smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000001357815 1071455360 /nfs/dbraw/zinc/45/53/60/1071455360.db2.gz YRSXYRPQTQPMSN-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(=O)n(Cc3ccccc3)n2)c(=O)n(C)c1=O ZINC000003528962 1074478617 /nfs/dbraw/zinc/47/86/17/1074478617.db2.gz HBVNMCYMFMOXPD-UHFFFAOYSA-N 0 0 425.401 -0.689 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)OCC(=O)N2CCNC2=O)cc1 ZINC000008639460 1074424842 /nfs/dbraw/zinc/42/48/42/1074424842.db2.gz JPYIHOOYUSRVGH-UHFFFAOYSA-N 0 0 440.478 -0.458 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)OCC(=O)NC(=O)NC)cc1 ZINC000008639501 1074424754 /nfs/dbraw/zinc/42/47/54/1074424754.db2.gz FSEDOJSOFNBWRQ-UHFFFAOYSA-N 0 0 428.467 -0.554 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)CNC(=O)c1ccc(S(=O)(=O)N(CC)CC)cc1 ZINC000008639524 1074424616 /nfs/dbraw/zinc/42/46/16/1074424616.db2.gz ARECYNXLXDTIBW-UHFFFAOYSA-N 0 0 442.494 -0.164 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NC)cc1 ZINC000008639533 1074424689 /nfs/dbraw/zinc/42/46/89/1074424689.db2.gz HAIOAVVOBPTZRC-LBPRGKRZSA-N 0 0 442.494 -0.166 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NC)cc1 ZINC000008639534 1074424539 /nfs/dbraw/zinc/42/45/39/1074424539.db2.gz HAIOAVVOBPTZRC-GFCCVEGCSA-N 0 0 442.494 -0.166 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)O[C@@H](C)C(=O)NC(N)=O)cc1 ZINC000008639539 1074424806 /nfs/dbraw/zinc/42/48/06/1074424806.db2.gz XSMSUVXEYGJSFF-NSHDSACASA-N 0 0 428.467 -0.427 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)O[C@H](C)C(=O)NC(N)=O)cc1 ZINC000008639540 1074424524 /nfs/dbraw/zinc/42/45/24/1074424524.db2.gz XSMSUVXEYGJSFF-LLVKDONJSA-N 0 0 428.467 -0.427 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)OCC(=O)N2CCOCC2)cc1 ZINC000008639621 1074424603 /nfs/dbraw/zinc/42/46/03/1074424603.db2.gz VGTUYRBVEFWKIC-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN COc1ccc(CC(=O)OCC(=O)N2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000008853628 1074426220 /nfs/dbraw/zinc/42/62/20/1074426220.db2.gz KBEUYOOCRXLUPK-UHFFFAOYSA-N 0 0 441.462 -0.646 20 0 IBADRN COc1ccc(CC(=O)OCC(=O)N2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000008853660 1074426056 /nfs/dbraw/zinc/42/60/56/1074426056.db2.gz REXWGJHGSOQZHX-UHFFFAOYSA-N 0 0 440.474 -0.049 20 0 IBADRN COc1ccc(CC(=O)O[C@@H](C)C(=O)NC(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000008853689 1074425986 /nfs/dbraw/zinc/42/59/86/1074425986.db2.gz DXHNFVVBWAHXMU-NSHDSACASA-N 0 0 429.451 -0.615 20 0 IBADRN COc1ccc(CC(=O)O[C@H](C)C(=O)NC(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000008853691 1074426206 /nfs/dbraw/zinc/42/62/06/1074426206.db2.gz DXHNFVVBWAHXMU-LLVKDONJSA-N 0 0 429.451 -0.615 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000008946318 1074426824 /nfs/dbraw/zinc/42/68/24/1074426824.db2.gz HJYHGCCELCAMCC-CYBMUJFWSA-N 0 0 448.501 -0.056 20 0 IBADRN CSCC[C@H](NC(=O)c1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000008946321 1074426480 /nfs/dbraw/zinc/42/64/80/1074426480.db2.gz HJYHGCCELCAMCC-ZDUSSCGKSA-N 0 0 448.501 -0.056 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCC(=O)N1CCNC1=O ZINC000009082797 1074426687 /nfs/dbraw/zinc/42/66/87/1074426687.db2.gz QSMLGXYOQXFIAI-SJCJKPOMSA-N 0 0 435.458 -0.038 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCC(=O)N1CCNC1=O ZINC000009082798 1074426650 /nfs/dbraw/zinc/42/66/50/1074426650.db2.gz QSMLGXYOQXFIAI-PXAZEXFGSA-N 0 0 435.458 -0.038 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCC(=O)N1CCNC1=O ZINC000009082799 1074426814 /nfs/dbraw/zinc/42/68/14/1074426814.db2.gz QSMLGXYOQXFIAI-YVEFUNNKSA-N 0 0 435.458 -0.038 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCC(=O)N1CCNC1=O ZINC000009082800 1074426789 /nfs/dbraw/zinc/42/67/89/1074426789.db2.gz QSMLGXYOQXFIAI-SJKOYZFVSA-N 0 0 435.458 -0.038 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)OCC(=O)N1CCNC1=O)n2C ZINC000009093222 1074426716 /nfs/dbraw/zinc/42/67/16/1074426716.db2.gz VPZIOTCTLSRULL-UHFFFAOYSA-N 0 0 437.478 -0.149 20 0 IBADRN CNC(=O)NC(=O)COC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000009093271 1074426494 /nfs/dbraw/zinc/42/64/94/1074426494.db2.gz VZWWTKLEGKBMBK-UHFFFAOYSA-N 0 0 425.467 -0.245 20 0 IBADRN C[C@H](OC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C)C(=O)NC(N)=O ZINC000009093306 1074427274 /nfs/dbraw/zinc/42/72/74/1074427274.db2.gz OOTVFTYPCSMQHG-JTQLQIEISA-N 0 0 425.467 -0.117 20 0 IBADRN C[C@@H](OC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C)C(=O)NC(N)=O ZINC000009093307 1074427336 /nfs/dbraw/zinc/42/73/36/1074427336.db2.gz OOTVFTYPCSMQHG-SNVBAGLBSA-N 0 0 425.467 -0.117 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(n4c(=O)[nH]c5ccccc54)CC3)c2c(=O)n(C)c1=O ZINC000009115760 1073176186 /nfs/dbraw/zinc/17/61/86/1073176186.db2.gz KJPHKRIBDJKWCQ-UHFFFAOYSA-N 0 0 437.460 -0.060 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000009334105 1074428293 /nfs/dbraw/zinc/42/82/93/1074428293.db2.gz WFRJESGYWSMPEG-UHFFFAOYSA-N 0 0 438.462 -0.931 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)CN2C(=O)NC(C)(C)C2=O)c1 ZINC000009389398 1074428250 /nfs/dbraw/zinc/42/82/50/1074428250.db2.gz QOJACGRDFSJEOX-UHFFFAOYSA-N 0 0 426.451 -0.251 20 0 IBADRN COC(=O)CNC(=O)COC(=O)C1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000009392416 1074428261 /nfs/dbraw/zinc/42/82/61/1074428261.db2.gz OAIPHJNYYCZSRM-UHFFFAOYSA-N 0 0 428.463 -0.072 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)OCC(=O)N1CCNC1=O ZINC000009444130 1074428450 /nfs/dbraw/zinc/42/84/50/1074428450.db2.gz UZEBXNLLTSUZCH-UHFFFAOYSA-N 0 0 427.435 -0.389 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000009444265 1074428553 /nfs/dbraw/zinc/42/85/53/1074428553.db2.gz IHLPSXFKLOLYLO-UHFFFAOYSA-N 0 0 429.451 -0.095 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000009444284 1074429152 /nfs/dbraw/zinc/42/91/52/1074429152.db2.gz MPOGDCIVDFCPFP-NSHDSACASA-N 0 0 429.451 -0.096 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000009444287 1074429139 /nfs/dbraw/zinc/42/91/39/1074429139.db2.gz MPOGDCIVDFCPFP-LLVKDONJSA-N 0 0 429.451 -0.096 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)OCC(=O)N1CCOCC1 ZINC000009444441 1074429127 /nfs/dbraw/zinc/42/91/27/1074429127.db2.gz GZBDWIRGNMJVOA-UHFFFAOYSA-N 0 0 428.463 -0.082 20 0 IBADRN C[C@H](OC(=O)C1=NNC(=O)CC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000009538768 1074429182 /nfs/dbraw/zinc/42/91/82/1074429182.db2.gz GCSNGWIIOUCGEV-LBPRGKRZSA-N 0 0 438.462 -0.156 20 0 IBADRN C[C@@H](OC(=O)C1=NNC(=O)CC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000009538772 1074429143 /nfs/dbraw/zinc/42/91/43/1074429143.db2.gz GCSNGWIIOUCGEV-GFCCVEGCSA-N 0 0 438.462 -0.156 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)C2=NNC(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000009538991 1074429174 /nfs/dbraw/zinc/42/91/74/1074429174.db2.gz KCLYXUWLUHGJEZ-UHFFFAOYSA-N 0 0 438.462 -0.236 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccco1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000009698463 1074429177 /nfs/dbraw/zinc/42/91/77/1074429177.db2.gz NWJJWMWZOPPDIG-SNVBAGLBSA-N 0 0 438.462 -0.463 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000009698468 1074429056 /nfs/dbraw/zinc/42/90/56/1074429056.db2.gz NWJJWMWZOPPDIG-JTQLQIEISA-N 0 0 438.462 -0.463 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3nccnc3N)CC2)c1 ZINC000009725366 1074429115 /nfs/dbraw/zinc/42/91/15/1074429115.db2.gz KYLGYORZCTZHJM-UHFFFAOYSA-N 0 0 447.473 -0.049 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)C(=O)N(C(C)C)C2=O)CC1 ZINC000009744723 1072546694 /nfs/dbraw/zinc/54/66/94/1072546694.db2.gz WCNCTVKKKLHAKT-UHFFFAOYSA-N 0 0 441.466 -0.676 20 0 IBADRN COC(=O)[C@@H](F)C(Nc1ccc(S(=O)(=O)N(C)C)cc1)=C1C(=O)NC(=S)NC1=O ZINC000009768918 1074429080 /nfs/dbraw/zinc/42/90/80/1074429080.db2.gz LRZLYTMQHMNQPC-NSHDSACASA-N 0 0 444.466 -0.355 20 0 IBADRN COC(=O)[C@H](F)C(Nc1ccc(S(=O)(=O)N(C)C)cc1)=C1C(=O)NC(=S)NC1=O ZINC000009768919 1074429857 /nfs/dbraw/zinc/42/98/57/1074429857.db2.gz LRZLYTMQHMNQPC-LLVKDONJSA-N 0 0 444.466 -0.355 20 0 IBADRN COCCN1C(=O)C(=C(Nc2ccccc2C(N)=O)[C@H](F)C(=O)OC)C(=O)NC1=S ZINC000009769080 1074429893 /nfs/dbraw/zinc/42/98/93/1074429893.db2.gz DEIITGLPONXDDH-OWRWYXLESA-N 0 0 438.437 -0.148 20 0 IBADRN COCCN1C(=O)C(=C(Nc2ccccc2C(N)=O)[C@@H](F)C(=O)OC)C(=O)NC1=S ZINC000009769081 1074429658 /nfs/dbraw/zinc/42/96/58/1074429658.db2.gz DEIITGLPONXDDH-RVROTUBLSA-N 0 0 438.437 -0.148 20 0 IBADRN COC(=O)[C@H](F)C(Nc1ccccc1SCC(N)=O)=C1C(=O)NC(=S)NC1=O ZINC000009770214 1074429752 /nfs/dbraw/zinc/42/97/52/1074429752.db2.gz RORDIQOVNLONRK-LLVKDONJSA-N 0 0 426.451 -0.028 20 0 IBADRN COC(=O)[C@@H](F)C(Nc1ccccc1SCC(N)=O)=C1C(=O)NC(=S)NC1=O ZINC000009770215 1074429729 /nfs/dbraw/zinc/42/97/29/1074429729.db2.gz RORDIQOVNLONRK-NSHDSACASA-N 0 0 426.451 -0.028 20 0 IBADRN COC(=O)[C@@H](F)C(Nc1cccc(S(N)(=O)=O)c1)=C1C(=O)N(C)C(=O)N(C)C1=O ZINC000009771593 1074429846 /nfs/dbraw/zinc/42/98/46/1074429846.db2.gz IBGSWMRQKFCRCB-NSHDSACASA-N 0 0 428.398 -0.439 20 0 IBADRN COC(=O)[C@H](F)C(Nc1cccc(S(N)(=O)=O)c1)=C1C(=O)N(C)C(=O)N(C)C1=O ZINC000009771594 1074429887 /nfs/dbraw/zinc/42/98/87/1074429887.db2.gz IBGSWMRQKFCRCB-LLVKDONJSA-N 0 0 428.398 -0.439 20 0 IBADRN COCCN1C(=O)C(=C(C)Nc2ccc(OC)c(S(N)(=O)=O)c2)C(=O)NC1=S ZINC000009772209 1074429910 /nfs/dbraw/zinc/42/99/10/1074429910.db2.gz UUYUYTJBOAZBMZ-LCYFTJDESA-N 0 0 428.492 -0.082 20 0 IBADRN COC(=O)[C@@H](F)C(Nc1ccc(OC)c(S(N)(=O)=O)c1)=C1C(=O)NC(=S)NC1=O ZINC000009772220 1074429868 /nfs/dbraw/zinc/42/98/68/1074429868.db2.gz RWQUCULHCBTIAU-JTQLQIEISA-N 0 0 446.438 -0.949 20 0 IBADRN COC(=O)[C@H](F)C(Nc1ccc(OC)c(S(N)(=O)=O)c1)=C1C(=O)NC(=S)NC1=O ZINC000009772221 1074429784 /nfs/dbraw/zinc/42/97/84/1074429784.db2.gz RWQUCULHCBTIAU-SNVBAGLBSA-N 0 0 446.438 -0.949 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CCCNC2=NS(=O)(=O)c3ccccc32)CC1 ZINC000009787275 1074429711 /nfs/dbraw/zinc/42/97/11/1074429711.db2.gz KRMPNBWBJPQURO-UHFFFAOYSA-N 0 0 436.490 -0.261 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(S(=O)(=O)C(F)F)cc2)c(=O)n(C)c1=O ZINC000009788593 1074429771 /nfs/dbraw/zinc/42/97/71/1074429771.db2.gz QAJLMTLSVAQZPR-UHFFFAOYSA-N 0 0 431.373 -0.298 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000009790797 1074429899 /nfs/dbraw/zinc/42/98/99/1074429899.db2.gz SGFNGSAFEYJAJP-UHFFFAOYSA-N 0 0 438.462 -0.607 20 0 IBADRN O=C(CN1CCN(C(=O)NCc2ccc(N3CCOCC3)nc2)CC1)N1CCOCC1 ZINC000009809646 1072548173 /nfs/dbraw/zinc/54/81/73/1072548173.db2.gz SGXOPGLQDSVIKM-UHFFFAOYSA-N 0 0 432.525 -0.396 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000009809767 1072710891 /nfs/dbraw/zinc/71/08/91/1072710891.db2.gz BKCDDYYYKCCCJR-UHFFFAOYSA-N 0 0 446.508 -0.869 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccccc2-n2cnnn2)c(=O)n(C)c1=O ZINC000009839195 1074429806 /nfs/dbraw/zinc/42/98/06/1074429806.db2.gz NBQGJTJBFTYNQM-UHFFFAOYSA-N 0 0 427.421 -0.199 20 0 IBADRN COC(=O)[C@@H](F)C(Nc1cccc2c(=O)[nH][nH]c(=O)c12)=C1C(=O)N(C)C(=O)N(C)C1=O ZINC000009873630 1074429766 /nfs/dbraw/zinc/42/97/66/1074429766.db2.gz HUXAKWHGZKBIHJ-NSHDSACASA-N 0 0 433.352 -0.556 20 0 IBADRN COC(=O)[C@H](F)C(Nc1cccc2c(=O)[nH][nH]c(=O)c12)=C1C(=O)N(C)C(=O)N(C)C1=O ZINC000009873635 1074429692 /nfs/dbraw/zinc/42/96/92/1074429692.db2.gz HUXAKWHGZKBIHJ-LLVKDONJSA-N 0 0 433.352 -0.556 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(C)=C1C(=O)NC(=S)NC1=O ZINC000009873864 1074430133 /nfs/dbraw/zinc/43/01/33/1074430133.db2.gz NGIDGDVHIYJSBZ-UHFFFAOYSA-N 0 0 440.503 -0.067 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=C2C(=O)NC(=S)NC2=O)[C@H](F)C(=O)OC)cc1 ZINC000009876381 1074430207 /nfs/dbraw/zinc/43/02/07/1074430207.db2.gz YCRLPVMXFROMKM-JTQLQIEISA-N 0 0 430.439 -0.697 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=C2C(=O)NC(=S)NC2=O)[C@@H](F)C(=O)OC)cc1 ZINC000009876386 1074430264 /nfs/dbraw/zinc/43/02/64/1074430264.db2.gz YCRLPVMXFROMKM-SNVBAGLBSA-N 0 0 430.439 -0.697 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=C2C(=O)N(C)C(=O)N(C)C2=O)[C@H](F)C(=O)OC)cc1 ZINC000009876389 1074430335 /nfs/dbraw/zinc/43/03/35/1074430335.db2.gz ACLZWTNRKDFUIS-LBPRGKRZSA-N 0 0 442.425 -0.178 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=C2C(=O)N(C)C(=O)N(C)C2=O)[C@@H](F)C(=O)OC)cc1 ZINC000009876395 1074430239 /nfs/dbraw/zinc/43/02/39/1074430239.db2.gz ACLZWTNRKDFUIS-GFCCVEGCSA-N 0 0 442.425 -0.178 20 0 IBADRN Cn1c(CN2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)cc(=O)n(C)c1=O ZINC000009881925 1072555342 /nfs/dbraw/zinc/55/53/42/1072555342.db2.gz MAFCHMSEHHKNNO-UHFFFAOYSA-N 0 0 436.490 -0.638 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000009893064 1073193200 /nfs/dbraw/zinc/19/32/00/1073193200.db2.gz LHGMLDLFAYHVIZ-FQEVSTJZSA-N 0 0 444.532 -0.411 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000009893065 1073192919 /nfs/dbraw/zinc/19/29/19/1073192919.db2.gz LHGMLDLFAYHVIZ-HXUWFJFHSA-N 0 0 444.532 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000009898439 1072733319 /nfs/dbraw/zinc/73/33/19/1072733319.db2.gz RSLOYHSFUKEDPN-UHFFFAOYSA-N 0 0 434.478 -1.000 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000009898953 1072733032 /nfs/dbraw/zinc/73/30/32/1072733032.db2.gz WWEHENRCTRHRLQ-UHFFFAOYSA-N 0 0 434.478 -1.000 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(C(N)=O)ccc3N3CCCC3)c2c(=O)n(C)c1=O ZINC000009902808 1071064065 /nfs/dbraw/zinc/06/40/65/1071064065.db2.gz GLBUPRMWMUBVTR-UHFFFAOYSA-N 0 0 425.449 -0.229 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cc(C(N)=O)ccc1N1CCCC1)c(=O)n2C ZINC000009902818 1070983913 /nfs/dbraw/zinc/98/39/13/1070983913.db2.gz GOOVLHSVPNUQCD-UHFFFAOYSA-N 0 0 425.449 -0.229 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(C(=O)NCc3ccccc3)CC1)c(=O)n2C ZINC000009919431 1072589924 /nfs/dbraw/zinc/58/99/24/1072589924.db2.gz RFNSHYUOUZXONG-UHFFFAOYSA-N 0 0 438.488 -0.011 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000009945439 1074430278 /nfs/dbraw/zinc/43/02/78/1074430278.db2.gz RSGZQTQZSZYHON-GOSISDBHSA-N 0 0 440.478 -0.744 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000009945440 1074430322 /nfs/dbraw/zinc/43/03/22/1074430322.db2.gz RSGZQTQZSZYHON-SFHVURJKSA-N 0 0 440.478 -0.744 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1=O ZINC000009945674 1074430111 /nfs/dbraw/zinc/43/01/11/1074430111.db2.gz MFEGXSFDJYNMOJ-LJQANCHMSA-N 0 0 437.453 -0.768 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1=O ZINC000009945675 1074430189 /nfs/dbraw/zinc/43/01/89/1074430189.db2.gz MFEGXSFDJYNMOJ-IBGZPJMESA-N 0 0 437.453 -0.768 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)O[C@@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000009945726 1074430329 /nfs/dbraw/zinc/43/03/29/1074430329.db2.gz GHEANCZDBPOPAR-DYZYQPBXSA-N 0 0 426.451 -0.075 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)O[C@@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000009945727 1074430294 /nfs/dbraw/zinc/43/02/94/1074430294.db2.gz GHEANCZDBPOPAR-BTDLBPIBSA-N 0 0 426.451 -0.075 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)O[C@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000009945728 1074430231 /nfs/dbraw/zinc/43/02/31/1074430231.db2.gz GHEANCZDBPOPAR-BMLIUANNSA-N 0 0 426.451 -0.075 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)O[C@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000009945729 1074430154 /nfs/dbraw/zinc/43/01/54/1074430154.db2.gz GHEANCZDBPOPAR-QGHHPUGFSA-N 0 0 426.451 -0.075 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)C1=O ZINC000009945810 1074430165 /nfs/dbraw/zinc/43/01/65/1074430165.db2.gz CICADYYYWXZTHX-NCMFDSATSA-N 0 0 437.453 -0.218 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)C1=O ZINC000009945811 1074430199 /nfs/dbraw/zinc/43/01/99/1074430199.db2.gz CICADYYYWXZTHX-ONAFVYFWSA-N 0 0 437.453 -0.218 20 0 IBADRN Cn1c2ccccc2n(CC(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)c1=O ZINC000009960810 1074430702 /nfs/dbraw/zinc/43/07/02/1074430702.db2.gz SLIJMWVEDPDNSW-UHFFFAOYSA-N 0 0 445.520 -0.058 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)C2CCN(S(C)(=O)=O)CC2)CC1 ZINC000009985111 1074430817 /nfs/dbraw/zinc/43/08/17/1074430817.db2.gz RUZHNQXYURMIHR-UHFFFAOYSA-N 0 0 446.570 -0.740 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCC(=O)N2CCC(c3ccccc3)=N2)c(=O)n(C)c1=O ZINC000010016281 1074430725 /nfs/dbraw/zinc/43/07/25/1074430725.db2.gz HVZJSTXXLHGGPO-UHFFFAOYSA-N 0 0 441.444 -0.191 20 0 IBADRN COc1cc(NC(C)=O)c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1OC ZINC000010021067 1074430848 /nfs/dbraw/zinc/43/08/48/1074430848.db2.gz WMHWQJXFGMFAOJ-UHFFFAOYSA-N 0 0 434.405 -0.319 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)Nc3ccc(S(N)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000010021736 1073194557 /nfs/dbraw/zinc/19/45/57/1073194557.db2.gz FQZHTSKKWZRQAD-UHFFFAOYSA-N 0 0 426.842 -0.627 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC(NS(=O)(=O)c3cccs3)CC2)c(=O)n(C)c1=O ZINC000010043278 1071041621 /nfs/dbraw/zinc/04/16/21/1071041621.db2.gz JCBWIQIVBACTPS-UHFFFAOYSA-N 0 0 441.535 -0.647 20 0 IBADRN C[C@@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000010044180 1072612459 /nfs/dbraw/zinc/61/24/59/1072612459.db2.gz OODAMWHFPJQBFC-GFCCVEGCSA-N 0 0 449.489 -0.532 20 0 IBADRN C[C@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000010044181 1072612585 /nfs/dbraw/zinc/61/25/85/1072612585.db2.gz OODAMWHFPJQBFC-LBPRGKRZSA-N 0 0 449.489 -0.532 20 0 IBADRN CCOC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000010052514 1074430863 /nfs/dbraw/zinc/43/08/63/1074430863.db2.gz LKLMIPKJQNBXIV-UHFFFAOYSA-N 0 0 443.526 -0.102 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C(=O)C3CC3)CC2)CC1 ZINC000010054231 1072613690 /nfs/dbraw/zinc/61/36/90/1072613690.db2.gz LVVRISLZLWWDDF-UHFFFAOYSA-N 0 0 439.538 -0.321 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2)c1 ZINC000010057024 1072812784 /nfs/dbraw/zinc/81/27/84/1072812784.db2.gz ZTOSKNQFZDHYSR-UHFFFAOYSA-N 0 0 443.548 -0.353 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010057028 1072613516 /nfs/dbraw/zinc/61/35/16/1072613516.db2.gz BPVGFYYJDGUZSH-IBGZPJMESA-N 0 0 443.548 -0.273 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010057029 1072613602 /nfs/dbraw/zinc/61/36/02/1072613602.db2.gz BPVGFYYJDGUZSH-LJQANCHMSA-N 0 0 443.548 -0.273 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000010069756 1074430810 /nfs/dbraw/zinc/43/08/10/1074430810.db2.gz BYDWOCIJNPMIRN-LLVKDONJSA-N 0 0 429.451 -0.096 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000010069758 1074430680 /nfs/dbraw/zinc/43/06/80/1074430680.db2.gz BYDWOCIJNPMIRN-NSHDSACASA-N 0 0 429.451 -0.096 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000010069778 1074430841 /nfs/dbraw/zinc/43/08/41/1074430841.db2.gz DRCXCDBBUJBJDE-UHFFFAOYSA-N 0 0 429.451 -0.095 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC(N)=O ZINC000010069780 1074430831 /nfs/dbraw/zinc/43/08/31/1074430831.db2.gz WEJOTZCOXVWAFZ-HNNXBMFYSA-N 0 0 429.451 -0.111 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC(N)=O ZINC000010069782 1074430874 /nfs/dbraw/zinc/43/08/74/1074430874.db2.gz WEJOTZCOXVWAFZ-OAHLLOKOSA-N 0 0 429.451 -0.111 20 0 IBADRN NC(=O)NC(=O)COC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000010070656 1074430805 /nfs/dbraw/zinc/43/08/05/1074430805.db2.gz GKFGTYJRXOALQI-UHFFFAOYSA-N 0 0 441.462 -0.013 20 0 IBADRN CCCNC(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000010076809 1072613590 /nfs/dbraw/zinc/61/35/90/1072613590.db2.gz LFBLCGDCLYZMDM-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC(=O)N(C)C)CC2)cc1 ZINC000010080748 1072613721 /nfs/dbraw/zinc/61/37/21/1072613721.db2.gz KNUOYTUQSTVISH-UHFFFAOYSA-N 0 0 426.495 -0.033 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C[C@@H]3NC(=O)NC3=O)CC2)c1 ZINC000010082345 1073079479 /nfs/dbraw/zinc/07/94/79/1073079479.db2.gz HDTJKHJZNNENBX-LBPRGKRZSA-N 0 0 426.451 -0.865 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN(C(=O)CN3CCOCC3)CC1)CCC2 ZINC000010094581 1074430665 /nfs/dbraw/zinc/43/06/65/1074430665.db2.gz HWBFEWYYZIEFTD-UHFFFAOYSA-N 0 0 435.550 -0.249 20 0 IBADRN COc1cc2nc(CN3CCN(C(=O)CN4CCOCC4)CC3)[nH]c(=O)c2cc1OC ZINC000010094880 1072862136 /nfs/dbraw/zinc/86/21/36/1072862136.db2.gz YHQBHRADCGGHFS-UHFFFAOYSA-N 0 0 431.493 -0.083 20 0 IBADRN Cn1c2nnc(CN3CCN(C(=O)CN4CCOCC4)CC3)n2c2ccccc2c1=O ZINC000010095146 1071356559 /nfs/dbraw/zinc/35/65/59/1071356559.db2.gz YMQPAPCSMFEMSQ-UHFFFAOYSA-N 0 0 425.493 -0.442 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000010095213 1072836729 /nfs/dbraw/zinc/83/67/29/1072836729.db2.gz GBXWINCJKQNQMZ-UHFFFAOYSA-N 0 0 435.550 -0.321 20 0 IBADRN Cc1oc(NC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)c2c1c(C)n[nH]c2=O ZINC000010095819 1072836775 /nfs/dbraw/zinc/83/67/75/1072836775.db2.gz XJNQIHFRPLACKL-UHFFFAOYSA-N 0 0 432.481 -0.452 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CCN2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000010095964 1072862256 /nfs/dbraw/zinc/86/22/56/1072862256.db2.gz JDRVUCURMDCWQA-UHFFFAOYSA-N 0 0 439.538 -0.861 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)Cn2cnc3c(cnn3C)c2=O)CC1 ZINC000010097597 1072836610 /nfs/dbraw/zinc/83/66/10/1072836610.db2.gz SNRRWKAUOGJYSI-UHFFFAOYSA-N 0 0 449.493 -0.342 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC(=O)OC)c(=O)n2Cc1ccccc1 ZINC000010123724 1073195429 /nfs/dbraw/zinc/19/54/29/1073195429.db2.gz IJHSRHNLPBVSHN-UHFFFAOYSA-N 0 0 429.433 -0.656 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2=O ZINC000010136187 1074430890 /nfs/dbraw/zinc/43/08/90/1074430890.db2.gz XHUSGRHUEUBWPZ-UHFFFAOYSA-N 0 0 449.464 -0.717 20 0 IBADRN CCN(CC(=O)Nc1cccc(S(C)(=O)=O)c1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000010140033 1074430744 /nfs/dbraw/zinc/43/07/44/1074430744.db2.gz SCERDDSNCXIOSM-CQSZACIVSA-N 0 0 431.536 -0.346 20 0 IBADRN CCN(CC(=O)Nc1cccc(S(C)(=O)=O)c1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000010140039 1074430836 /nfs/dbraw/zinc/43/08/36/1074430836.db2.gz SCERDDSNCXIOSM-AWEZNQCLSA-N 0 0 431.536 -0.346 20 0 IBADRN O=C(COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)NC(=O)NC1CC1 ZINC000010149120 1074431253 /nfs/dbraw/zinc/43/12/53/1074431253.db2.gz LDVKJSSNOJSHLU-UHFFFAOYSA-N 0 0 429.426 -0.008 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)C1=O ZINC000010166500 1074431183 /nfs/dbraw/zinc/43/11/83/1074431183.db2.gz DZXTXUXGULQBSU-UHFFFAOYSA-N 0 0 426.451 -0.074 20 0 IBADRN COc1ccccc1N1C(=O)C[C@@H](N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000010170128 1072871684 /nfs/dbraw/zinc/87/16/84/1072871684.db2.gz GRRVHIACFDWYPX-MRXNPFEDSA-N 0 0 430.461 -0.670 20 0 IBADRN O=C(C(=O)N1CCN([C@@H]2CC(=O)N(CCc3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000010170242 1072871734 /nfs/dbraw/zinc/87/17/34/1072871734.db2.gz QZSUWDKZNPXKLA-GOSISDBHSA-N 0 0 428.489 -0.640 20 0 IBADRN CCOc1ccc(N2C(=O)C[C@@H](N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000010170248 1072871773 /nfs/dbraw/zinc/87/17/73/1072871773.db2.gz FLPNVOMPEOSIBF-GOSISDBHSA-N 0 0 444.488 -0.280 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)c(OC)c1 ZINC000010170489 1072871614 /nfs/dbraw/zinc/87/16/14/1072871614.db2.gz MWNRNLGXRDPQQQ-GOSISDBHSA-N 0 0 446.504 -0.188 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)cc1OC ZINC000010170508 1072871748 /nfs/dbraw/zinc/87/17/48/1072871748.db2.gz UDKVPPNTPUQTJW-QGZVFWFLSA-N 0 0 446.504 -0.188 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000010192296 1074431342 /nfs/dbraw/zinc/43/13/42/1074431342.db2.gz IPSPPVVBKAOVON-UHFFFAOYSA-N 0 0 445.432 -0.019 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2=O)cc1 ZINC000010203432 1073079346 /nfs/dbraw/zinc/07/93/46/1073079346.db2.gz ABQQDUMBIVTWSI-LJQANCHMSA-N 0 0 442.516 -0.127 20 0 IBADRN Cc1ccc(CN2C[C@@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2=O)cc1 ZINC000010203439 1073079419 /nfs/dbraw/zinc/07/94/19/1073079419.db2.gz ABQQDUMBIVTWSI-IBGZPJMESA-N 0 0 442.516 -0.127 20 0 IBADRN O=C(COc1ccc2ccc(=O)oc2c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010203519 1074431213 /nfs/dbraw/zinc/43/12/13/1074431213.db2.gz GVFVHYMNSGZMKV-UHFFFAOYSA-N 0 0 429.429 -0.299 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)C(F)F)cc2)CC1)N1CCOCC1 ZINC000010203708 1074431335 /nfs/dbraw/zinc/43/13/35/1074431335.db2.gz YTTKGYIWFJZEAE-UHFFFAOYSA-N 0 0 445.444 -0.174 20 0 IBADRN CC(C)[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010203882 1073079470 /nfs/dbraw/zinc/07/94/70/1073079470.db2.gz NERBTVVKIHCSPO-FQEVSTJZSA-N 0 0 444.532 -0.101 20 0 IBADRN CC(C)[C@@H](NC(=O)Cc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010203886 1073079370 /nfs/dbraw/zinc/07/93/70/1073079370.db2.gz NERBTVVKIHCSPO-HXUWFJFHSA-N 0 0 444.532 -0.101 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1=O ZINC000010204082 1073079484 /nfs/dbraw/zinc/07/94/84/1073079484.db2.gz MXDWENSHZNQAOL-MRXNPFEDSA-N 0 0 444.488 -0.422 20 0 IBADRN COc1ccccc1N1C[C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1=O ZINC000010204084 1073079327 /nfs/dbraw/zinc/07/93/27/1073079327.db2.gz MXDWENSHZNQAOL-INIZCTEOSA-N 0 0 444.488 -0.422 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000010204119 1071080221 /nfs/dbraw/zinc/08/02/21/1071080221.db2.gz QNTJXMUEAOZRIJ-VFNWGFHPSA-N 0 0 449.508 -0.983 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000010204121 1071080174 /nfs/dbraw/zinc/08/01/74/1071080174.db2.gz QNTJXMUEAOZRIJ-BTYIYWSLSA-N 0 0 449.508 -0.983 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000010204125 1071080329 /nfs/dbraw/zinc/08/03/29/1071080329.db2.gz QNTJXMUEAOZRIJ-QVKFZJNVSA-N 0 0 449.508 -0.983 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000010204127 1071080192 /nfs/dbraw/zinc/08/01/92/1071080192.db2.gz QNTJXMUEAOZRIJ-YCRPNKLZSA-N 0 0 449.508 -0.983 20 0 IBADRN O=C(CCCc1nc2ccccc2c(=O)[nH]1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010204244 1073079387 /nfs/dbraw/zinc/07/93/87/1073079387.db2.gz VYRKMRYTLUNETB-UHFFFAOYSA-N 0 0 441.488 -0.225 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000010204294 1071081011 /nfs/dbraw/zinc/08/10/11/1071081011.db2.gz BQUMJICXUSWKOK-UHFFFAOYSA-N 0 0 449.508 -0.983 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)no1 ZINC000010204346 1073079431 /nfs/dbraw/zinc/07/94/31/1073079431.db2.gz MVNBKPOAUVRAJL-UHFFFAOYSA-N 0 0 439.494 -0.816 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(Cc3ccccc3)C2)CC1)N1CCOCC1 ZINC000010204583 1073079395 /nfs/dbraw/zinc/07/93/95/1073079395.db2.gz VHAJLAWAAXOMFW-GOSISDBHSA-N 0 0 428.489 -0.435 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CC(=O)N(Cc3ccccc3)C2)CC1)N1CCOCC1 ZINC000010204586 1073079460 /nfs/dbraw/zinc/07/94/60/1073079460.db2.gz VHAJLAWAAXOMFW-SFHVURJKSA-N 0 0 428.489 -0.435 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000010204773 1071080943 /nfs/dbraw/zinc/08/09/43/1071080943.db2.gz AOESFZABOMRZBQ-UHFFFAOYSA-N 0 0 438.506 -0.920 20 0 IBADRN COc1cc(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc(OC)c1OC ZINC000010204893 1073079465 /nfs/dbraw/zinc/07/94/65/1073079465.db2.gz MVJVEOHBQOUVEC-UHFFFAOYSA-N 0 0 435.477 -0.216 20 0 IBADRN C[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010205300 1073079647 /nfs/dbraw/zinc/07/96/47/1073079647.db2.gz CRBUHOMRCOJKFC-AWEZNQCLSA-N 0 0 436.896 -0.012 20 0 IBADRN C[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010205304 1073079611 /nfs/dbraw/zinc/07/96/11/1073079611.db2.gz CRBUHOMRCOJKFC-CQSZACIVSA-N 0 0 436.896 -0.012 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010205452 1071583732 /nfs/dbraw/zinc/58/37/32/1071583732.db2.gz CKNRBRBZXFJRSB-SFHVURJKSA-N 0 0 430.505 -0.029 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010205454 1073079744 /nfs/dbraw/zinc/07/97/44/1073079744.db2.gz CKNRBRBZXFJRSB-GOSISDBHSA-N 0 0 430.505 -0.029 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)c2cccs2)CC1)N1CCOCC1 ZINC000010205461 1071583756 /nfs/dbraw/zinc/58/37/56/1071583756.db2.gz RJAXZHNABNXRNC-HNNXBMFYSA-N 0 0 434.518 -0.118 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3ccccc3F)C2)CC1)N1CCOCC1 ZINC000010205528 1073079619 /nfs/dbraw/zinc/07/96/19/1073079619.db2.gz OAODVXQNPFXPCF-OAHLLOKOSA-N 0 0 432.452 -0.292 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3ccccc3F)C2)CC1)N1CCOCC1 ZINC000010205531 1073079637 /nfs/dbraw/zinc/07/96/37/1073079637.db2.gz OAODVXQNPFXPCF-HNNXBMFYSA-N 0 0 432.452 -0.292 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010205842 1073079583 /nfs/dbraw/zinc/07/95/83/1073079583.db2.gz ODCOCKAXMXOBGC-UHFFFAOYSA-N 0 0 429.481 -0.797 20 0 IBADRN CC(=O)N1C[C@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)Oc2ccccc21 ZINC000010205845 1074431278 /nfs/dbraw/zinc/43/12/78/1074431278.db2.gz RMBNGXIZCWSFKE-GOSISDBHSA-N 0 0 430.461 -0.670 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)Oc2ccccc21 ZINC000010205847 1074431220 /nfs/dbraw/zinc/43/12/20/1074431220.db2.gz RMBNGXIZCWSFKE-SFHVURJKSA-N 0 0 430.461 -0.670 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)oc1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010205875 1071080709 /nfs/dbraw/zinc/08/07/09/1071080709.db2.gz ROXVTOQJPRBAJR-UHFFFAOYSA-N 0 0 429.433 -0.967 20 0 IBADRN Cn1nc(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ccccc2c1=O ZINC000010205891 1073079626 /nfs/dbraw/zinc/07/96/26/1073079626.db2.gz SRZJFQBOMSEVTB-UHFFFAOYSA-N 0 0 427.461 -0.994 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010205935 1073079720 /nfs/dbraw/zinc/07/97/20/1073079720.db2.gz CHNMAYMDIILDDP-UHFFFAOYSA-N 0 0 432.502 -0.345 20 0 IBADRN O=C(CCN1C(=O)COc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010206149 1073079678 /nfs/dbraw/zinc/07/96/78/1073079678.db2.gz XUKTWTQMRJLBNR-UHFFFAOYSA-N 0 0 430.461 -0.668 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010206289 1073079765 /nfs/dbraw/zinc/07/97/65/1073079765.db2.gz ZKMMEIOMWWTJPM-UHFFFAOYSA-N 0 0 432.477 -0.655 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000010206316 1073079751 /nfs/dbraw/zinc/07/97/51/1073079751.db2.gz PAULGJICHLLJJG-UHFFFAOYSA-N 0 0 432.477 -0.655 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010206350 1073079775 /nfs/dbraw/zinc/07/97/75/1073079775.db2.gz GECMZZXRTHIETB-UHFFFAOYSA-N 0 0 435.506 -0.658 20 0 IBADRN O=C(COc1ccc(N2CCCC2=O)cc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010206575 1073079709 /nfs/dbraw/zinc/07/97/09/1073079709.db2.gz BXIMPIQTUNAXSL-UHFFFAOYSA-N 0 0 444.488 -0.278 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010206610 1071081024 /nfs/dbraw/zinc/08/10/24/1071081024.db2.gz CDPNJCAMXQCQIS-UHFFFAOYSA-N 0 0 444.488 -0.278 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nn1-c1ccccc1 ZINC000010206623 1073079602 /nfs/dbraw/zinc/07/96/02/1073079602.db2.gz ADFXSVCXNNCZGE-UHFFFAOYSA-N 0 0 439.472 -0.316 20 0 IBADRN Cc1nc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nn1-c1ccc(F)cc1 ZINC000010206708 1073079594 /nfs/dbraw/zinc/07/95/94/1073079594.db2.gz PJRMIVPPXIZMDA-UHFFFAOYSA-N 0 0 430.440 -0.142 20 0 IBADRN C[C@H]1Oc2ccccc2N(CCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000010206896 1073079702 /nfs/dbraw/zinc/07/97/02/1073079702.db2.gz UYELTGKTPJWDHM-MRXNPFEDSA-N 0 0 444.488 -0.280 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000010206901 1073079689 /nfs/dbraw/zinc/07/96/89/1073079689.db2.gz UYELTGKTPJWDHM-INIZCTEOSA-N 0 0 444.488 -0.280 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010207201 1074431297 /nfs/dbraw/zinc/43/12/97/1074431297.db2.gz KFGQXYVOGSHEBT-INIZCTEOSA-N 0 0 432.477 -0.169 20 0 IBADRN O=C(CCCCCNC1=NS(=O)(=O)c2ccccc21)OCC(=O)N1CCNC(=O)C1 ZINC000010207247 1074431146 /nfs/dbraw/zinc/43/11/46/1074431146.db2.gz IXQNOWGVTYAWIN-UHFFFAOYSA-N 0 0 436.490 -0.213 20 0 IBADRN CCn1c2ccccc2n(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1=O ZINC000010207336 1071592200 /nfs/dbraw/zinc/59/22/00/1071592200.db2.gz KVMRGKXKIDONAW-UHFFFAOYSA-N 0 0 429.477 -0.648 20 0 IBADRN O=C(CCn1cnc2ccccc2c1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010207467 1071592215 /nfs/dbraw/zinc/59/22/15/1071592215.db2.gz MNPDBOPMUQYJOV-UHFFFAOYSA-N 0 0 427.461 -0.684 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000010207675 1073079668 /nfs/dbraw/zinc/07/96/68/1073079668.db2.gz IALCGNGUMIMHCZ-OAHLLOKOSA-N 0 0 430.461 -0.670 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000010207679 1073079658 /nfs/dbraw/zinc/07/96/58/1073079658.db2.gz IALCGNGUMIMHCZ-HNNXBMFYSA-N 0 0 430.461 -0.670 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000010207697 1072894326 /nfs/dbraw/zinc/89/43/26/1072894326.db2.gz BBHFHPRIGLPWBH-UHFFFAOYSA-N 0 0 438.506 -0.954 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000010207700 1073079733 /nfs/dbraw/zinc/07/97/33/1073079733.db2.gz PXMQTIQGGQDNNB-UHFFFAOYSA-N 0 0 448.542 -0.764 20 0 IBADRN COc1ccc([C@H](CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)NC(C)=O)cc1 ZINC000010207779 1073080116 /nfs/dbraw/zinc/08/01/16/1073080116.db2.gz QCWPNZYBIZVBAA-IBGZPJMESA-N 0 0 446.504 -0.208 20 0 IBADRN COc1ccc([C@@H](CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)NC(C)=O)cc1 ZINC000010207781 1073080103 /nfs/dbraw/zinc/08/01/03/1073080103.db2.gz QCWPNZYBIZVBAA-LJQANCHMSA-N 0 0 446.504 -0.208 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000010207885 1073080013 /nfs/dbraw/zinc/08/00/13/1073080013.db2.gz NNQHRTVLJGTRTP-UHFFFAOYSA-N 0 0 433.490 -0.622 20 0 IBADRN Cc1ccc(CNC(=O)NCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000010207925 1073080075 /nfs/dbraw/zinc/08/00/75/1073080075.db2.gz NZFBKKDVHKGLAM-UHFFFAOYSA-N 0 0 431.493 -0.676 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C(=O)C(C)C)CC2)CC1 ZINC000010225479 1072899964 /nfs/dbraw/zinc/89/99/64/1072899964.db2.gz XRXVQEYFHMYNHZ-UHFFFAOYSA-N 0 0 441.554 -0.075 20 0 IBADRN CCN(CC)C(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000010226390 1072900010 /nfs/dbraw/zinc/90/00/10/1072900010.db2.gz NMWUHKBNKKDLKA-UHFFFAOYSA-N 0 0 429.543 -0.075 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)CC(=O)N2CCCC2)CC1 ZINC000010228929 1072900083 /nfs/dbraw/zinc/90/00/83/1072900083.db2.gz VXXAFPQXEPGPEU-UHFFFAOYSA-N 0 0 427.527 -0.321 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2cnc3c(cnn3C)c2=O)CC1 ZINC000010229175 1073079974 /nfs/dbraw/zinc/07/99/74/1073079974.db2.gz OSUUTYMBWMWXLI-UHFFFAOYSA-N 0 0 435.466 -0.732 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)Cn2c3ccccc3c(=O)[nH]c2=O)cc1 ZINC000010236196 1074431282 /nfs/dbraw/zinc/43/12/82/1074431282.db2.gz YYXGRSDIDCSXTK-UHFFFAOYSA-N 0 0 432.414 -0.481 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(Cl)c(S(C)(=O)=O)c2)c(=O)n(C)c1=O ZINC000010242452 1074431243 /nfs/dbraw/zinc/43/12/43/1074431243.db2.gz MKQFCAOJVCBTHS-UHFFFAOYSA-N 0 0 429.838 -0.237 20 0 IBADRN O=C(COC(=O)c1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)N1CCNC(=O)C1 ZINC000010253177 1074431316 /nfs/dbraw/zinc/43/13/16/1074431316.db2.gz WLCXRAWRWKQKIY-UHFFFAOYSA-N 0 0 445.881 -0.524 20 0 IBADRN O=C(COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)N1CCNC(=O)C1 ZINC000010253245 1074431167 /nfs/dbraw/zinc/43/11/67/1074431167.db2.gz RNEQRZITEZCAMH-UHFFFAOYSA-N 0 0 445.881 -0.524 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccccc1N1CCCC1=O ZINC000010295904 1072955449 /nfs/dbraw/zinc/95/54/49/1072955449.db2.gz FWQPHTIXARJKQP-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1cccc(N2CCCC2=O)c1 ZINC000010295908 1072955494 /nfs/dbraw/zinc/95/54/94/1072955494.db2.gz VZRRSPJFOGVALT-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000010296109 1074431195 /nfs/dbraw/zinc/43/11/95/1074431195.db2.gz MTZUOHAWAIYNCY-UHFFFAOYSA-N 0 0 438.506 -0.968 20 0 IBADRN CC(=O)N1CCCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000010297232 1072955951 /nfs/dbraw/zinc/95/59/51/1072955951.db2.gz ONUSFNNTMFTXMC-UHFFFAOYSA-N 0 0 427.527 -0.321 20 0 IBADRN COCCNC(=O)CNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000010340501 1071451561 /nfs/dbraw/zinc/45/15/61/1071451561.db2.gz OPHXIMHLCVXUIV-UHFFFAOYSA-N 0 0 425.511 -0.365 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000010349578 1074431949 /nfs/dbraw/zinc/43/19/49/1074431949.db2.gz ZKPBVNUITUBFHN-UHFFFAOYSA-N 0 0 446.485 -0.069 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000010361766 1073080143 /nfs/dbraw/zinc/08/01/43/1073080143.db2.gz LZJPKEQNSRDBNO-UHFFFAOYSA-N 0 0 439.538 -0.256 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cc1C(N)=O ZINC000010368298 1074431863 /nfs/dbraw/zinc/43/18/63/1074431863.db2.gz SFLNPENWHJESIK-UHFFFAOYSA-N 0 0 437.503 -0.421 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000010373488 1074431873 /nfs/dbraw/zinc/43/18/73/1074431873.db2.gz AFHBUBZJGMJSFO-UHFFFAOYSA-N 0 0 430.435 -0.838 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNC2=NS(=O)(=O)c3ccccc32)CC1 ZINC000010373929 1074431942 /nfs/dbraw/zinc/43/19/42/1074431942.db2.gz XKVFPMNMEKKQQF-UHFFFAOYSA-N 0 0 443.551 -0.544 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000010374415 1074431880 /nfs/dbraw/zinc/43/18/80/1074431880.db2.gz FXKKQVLVDBBAPA-UHFFFAOYSA-N 0 0 431.540 -0.785 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CCc2c(C)nc3nc(N)nn3c2C)CC1 ZINC000010374505 1074431961 /nfs/dbraw/zinc/43/19/61/1074431961.db2.gz FPOSADRVZGGYMX-UHFFFAOYSA-N 0 0 438.558 -0.013 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)CC1 ZINC000010374530 1074431849 /nfs/dbraw/zinc/43/18/49/1074431849.db2.gz FMPAAIMIXZWIEX-UHFFFAOYSA-N 0 0 426.495 -0.124 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)NC)c2)CC1 ZINC000010374634 1074431971 /nfs/dbraw/zinc/43/19/71/1074431971.db2.gz QETSEJXJBLHMRL-UHFFFAOYSA-N 0 0 448.567 -0.052 20 0 IBADRN COCCn1c(N)c(C(=O)CSc2n[nH]c(=O)n2CCc2ccccc2)c(=O)[nH]c1=O ZINC000010378236 1071477311 /nfs/dbraw/zinc/47/73/11/1071477311.db2.gz IQTRLBXDVKZPRL-UHFFFAOYSA-N 0 0 446.489 -0.132 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)c2c(=O)n(C)c1=O ZINC000010427265 1073080199 /nfs/dbraw/zinc/08/01/99/1073080199.db2.gz HQIYLBLXXVRXJS-UHFFFAOYSA-N 0 0 445.480 -0.805 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN(C)c2c(N)n(Cc3ccccc3)c(=O)[nH]c2=O)CC1 ZINC000010428651 1074431973 /nfs/dbraw/zinc/43/19/73/1074431973.db2.gz GPEVYDAASWJJHP-UHFFFAOYSA-N 0 0 444.492 -0.096 20 0 IBADRN CCN(C(=O)CN(C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000010429399 1072988215 /nfs/dbraw/zinc/98/82/15/1072988215.db2.gz AESFCDNKIAXGHE-OAHLLOKOSA-N 0 0 449.533 -0.361 20 0 IBADRN CCN(C(=O)CN(C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000010429401 1072988164 /nfs/dbraw/zinc/98/81/64/1072988164.db2.gz AESFCDNKIAXGHE-HNNXBMFYSA-N 0 0 449.533 -0.361 20 0 IBADRN CN(CC(=O)NC(=O)NCC(F)(F)F)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000010430022 1073198053 /nfs/dbraw/zinc/19/80/53/1073198053.db2.gz AQEQCXWJDKDNHA-UHFFFAOYSA-N 0 0 428.371 -0.009 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)Cn3ccc(=O)[nH]c3=O)CC2)c1 ZINC000010443550 1073080036 /nfs/dbraw/zinc/08/00/36/1073080036.db2.gz BUALDMUSLYTRBY-UHFFFAOYSA-N 0 0 438.462 -0.913 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000010443719 1073080217 /nfs/dbraw/zinc/08/02/17/1073080217.db2.gz HAWQFDCTSYYUNO-CYBMUJFWSA-N 0 0 432.520 -0.029 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000010443725 1073080185 /nfs/dbraw/zinc/08/01/85/1073080185.db2.gz HAWQFDCTSYYUNO-ZDUSSCGKSA-N 0 0 432.520 -0.029 20 0 IBADRN COc1ccc(C(=O)OCC(=O)NC(=O)NC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000010446809 1074431911 /nfs/dbraw/zinc/43/19/11/1074431911.db2.gz FBVSKLZGOFJEHC-UHFFFAOYSA-N 0 0 441.462 -0.139 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000010447209 1074431967 /nfs/dbraw/zinc/43/19/67/1074431967.db2.gz RCNOSSFNHXYWOB-UHFFFAOYSA-N 0 0 427.435 -0.575 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000010454134 1074431901 /nfs/dbraw/zinc/43/19/01/1074431901.db2.gz KZCDMVKTCFKUAC-UHFFFAOYSA-N 0 0 429.451 -0.200 20 0 IBADRN CCN(C(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000010454515 1074431977 /nfs/dbraw/zinc/43/19/77/1074431977.db2.gz DYKCZFVCRKEQKD-LLVKDONJSA-N 0 0 434.492 -0.465 20 0 IBADRN CCN(C(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000010454518 1074431957 /nfs/dbraw/zinc/43/19/57/1074431957.db2.gz DYKCZFVCRKEQKD-NSHDSACASA-N 0 0 434.492 -0.465 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000010473798 1074431982 /nfs/dbraw/zinc/43/19/82/1074431982.db2.gz JCVAQKYPNJHFOG-UHFFFAOYSA-N 0 0 430.435 -0.838 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000010473923 1074431980 /nfs/dbraw/zinc/43/19/80/1074431980.db2.gz HVLMTRAHWVMRFW-UHFFFAOYSA-N 0 0 429.451 -0.281 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000010473974 1074431940 /nfs/dbraw/zinc/43/19/40/1074431940.db2.gz GMEDCRVEAZUBDG-NSHDSACASA-N 0 0 429.451 -0.283 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000010473976 1074431891 /nfs/dbraw/zinc/43/18/91/1074431891.db2.gz GMEDCRVEAZUBDG-LLVKDONJSA-N 0 0 429.451 -0.283 20 0 IBADRN COC(=O)c1cccn2cc(COC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)nc12 ZINC000010500631 1074432474 /nfs/dbraw/zinc/43/24/74/1074432474.db2.gz KLWNOJMWZDHKRI-UHFFFAOYSA-N 0 0 426.389 -0.389 20 0 IBADRN CCC(Nc1ccc(S(=O)(=O)NCC(=O)OC)cc1)=C1C(=O)NC(=S)NC1=O ZINC000010514301 1074432394 /nfs/dbraw/zinc/43/23/94/1074432394.db2.gz ZBDQPRXHIXMBPF-UHFFFAOYSA-N 0 0 426.476 -0.255 20 0 IBADRN COCCn1c(N)c(C(=O)CSc2nnnn2-c2ccc(OC)cc2)c(=O)[nH]c1=O ZINC000010523051 1073003186 /nfs/dbraw/zinc/00/31/86/1073003186.db2.gz JNEKOUWXBILOQX-UHFFFAOYSA-N 0 0 433.450 -0.276 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO)C(=O)NC(=O)NC1CC1 ZINC000010536164 1074432459 /nfs/dbraw/zinc/43/24/59/1074432459.db2.gz AGJRKSAFQQCNKV-NSHDSACASA-N 0 0 442.494 -0.125 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO)C(=O)NC(=O)NC1CC1 ZINC000010536165 1074432438 /nfs/dbraw/zinc/43/24/38/1074432438.db2.gz AGJRKSAFQQCNKV-LLVKDONJSA-N 0 0 442.494 -0.125 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)n1 ZINC000010536206 1074432504 /nfs/dbraw/zinc/43/25/04/1074432504.db2.gz GMVJKPVWVRZKPC-UHFFFAOYSA-N 0 0 437.482 -0.639 20 0 IBADRN C[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)NC(=O)NC1CC1 ZINC000010536219 1074432464 /nfs/dbraw/zinc/43/24/64/1074432464.db2.gz HCIOPZCMMZXIEN-NSHDSACASA-N 0 0 440.478 -0.296 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)NC(=O)NC1CC1 ZINC000010536220 1074432426 /nfs/dbraw/zinc/43/24/26/1074432426.db2.gz HCIOPZCMMZXIEN-LLVKDONJSA-N 0 0 440.478 -0.296 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000010548688 1073009830 /nfs/dbraw/zinc/00/98/30/1073009830.db2.gz GTQJEKGEWSNUOO-UHFFFAOYSA-N 0 0 431.268 -0.013 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)Cn3ccccc3=O)C2=O)cc1 ZINC000010558838 1074432500 /nfs/dbraw/zinc/43/25/00/1074432500.db2.gz DBKDCKXHRGAJPM-FQEVSTJZSA-N 0 0 428.401 -0.102 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)Cn3ccccc3=O)C2=O)cc1 ZINC000010558840 1074432452 /nfs/dbraw/zinc/43/24/52/1074432452.db2.gz DBKDCKXHRGAJPM-HXUWFJFHSA-N 0 0 428.401 -0.102 20 0 IBADRN CCCCN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1c(N)n(CCCC)c(=O)[nH]c1=O ZINC000010561896 1073080058 /nfs/dbraw/zinc/08/00/58/1073080058.db2.gz PEMABXRLOVJTFK-UHFFFAOYSA-N 0 0 449.512 -0.612 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)no1 ZINC000010570665 1074432485 /nfs/dbraw/zinc/43/24/85/1074432485.db2.gz POISOIQIGURIIG-SECBINFHSA-N 0 0 439.450 -0.551 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)no1 ZINC000010570669 1074432416 /nfs/dbraw/zinc/43/24/16/1074432416.db2.gz POISOIQIGURIIG-VIFPVBQESA-N 0 0 439.450 -0.551 20 0 IBADRN Cn1ccc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000010584606 1073080157 /nfs/dbraw/zinc/08/01/57/1073080157.db2.gz YQWUNJXUICCIAF-UHFFFAOYSA-N 0 0 428.496 -0.394 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000010601829 1073080473 /nfs/dbraw/zinc/08/04/73/1073080473.db2.gz WOFSGLKOZCQPIC-UHFFFAOYSA-N 0 0 438.462 -0.155 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000010601836 1073080394 /nfs/dbraw/zinc/08/03/94/1073080394.db2.gz XEIFAVPUJNVSGQ-UHFFFAOYSA-N 0 0 438.462 -0.155 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000010602655 1074432492 /nfs/dbraw/zinc/43/24/92/1074432492.db2.gz IWQQMKFCNLVHQK-UHFFFAOYSA-N 0 0 438.462 -0.607 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCc2cc(=O)n(C)c(=O)n2C)[C@@H](C)O)cc1C ZINC000010619799 1074432372 /nfs/dbraw/zinc/43/23/72/1074432372.db2.gz YGGLMKIFUCSKDU-DYVFJYSZSA-N 0 0 439.490 -0.528 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCc2cc(=O)n(C)c(=O)n2C)[C@@H](C)O)cc1C ZINC000010619803 1074432481 /nfs/dbraw/zinc/43/24/81/1074432481.db2.gz YGGLMKIFUCSKDU-CXAGYDPISA-N 0 0 439.490 -0.528 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCc2cc(=O)n(C)c(=O)n2C)[C@H](C)O)cc1C ZINC000010619807 1074432487 /nfs/dbraw/zinc/43/24/87/1074432487.db2.gz YGGLMKIFUCSKDU-GUYCJALGSA-N 0 0 439.490 -0.528 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCc2cc(=O)n(C)c(=O)n2C)[C@H](C)O)cc1C ZINC000010619809 1074432410 /nfs/dbraw/zinc/43/24/10/1074432410.db2.gz YGGLMKIFUCSKDU-SUMWQHHRSA-N 0 0 439.490 -0.528 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000010660427 1073054759 /nfs/dbraw/zinc/05/47/59/1073054759.db2.gz UGYWDQAYWCINBX-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC(NS(C)(=O)=O)CC2)cc1OC ZINC000010662431 1073018089 /nfs/dbraw/zinc/01/80/89/1073018089.db2.gz UVKBECLHMMZYJH-UHFFFAOYSA-N 0 0 449.551 -0.088 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)CC1 ZINC000010664186 1073199827 /nfs/dbraw/zinc/19/98/27/1073199827.db2.gz HRTDGGSPXOVDFJ-GOSISDBHSA-N 0 0 439.494 -0.155 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)CC1 ZINC000010664190 1073199849 /nfs/dbraw/zinc/19/98/49/1073199849.db2.gz HRTDGGSPXOVDFJ-SFHVURJKSA-N 0 0 439.494 -0.155 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(NS(C)(=O)=O)CC1 ZINC000010665422 1073054710 /nfs/dbraw/zinc/05/47/10/1073054710.db2.gz IRNIOHFTMWMAKR-UHFFFAOYSA-N 0 0 434.540 -0.800 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2nnc3ccccc3c2=O)CC1 ZINC000010666222 1073080373 /nfs/dbraw/zinc/08/03/73/1073080373.db2.gz FJMVXYRZXORCRM-UHFFFAOYSA-N 0 0 432.462 -0.071 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000010674265 1073199791 /nfs/dbraw/zinc/19/97/91/1073199791.db2.gz LYRQJCGHCUVMMN-UHFFFAOYSA-N 0 0 443.507 -0.425 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)Cn3cnc4c(cnn4C)c3=O)C2=O)cc1 ZINC000010678817 1074432442 /nfs/dbraw/zinc/43/24/42/1074432442.db2.gz GMZFYZRTUNIKCE-IBGZPJMESA-N 0 0 425.405 -0.363 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)Cn3cnc4c(cnn4C)c3=O)C2=O)cc1 ZINC000010678823 1074432882 /nfs/dbraw/zinc/43/28/82/1074432882.db2.gz GMZFYZRTUNIKCE-LJQANCHMSA-N 0 0 425.405 -0.363 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC(NS(C)(=O)=O)CC2)cc1 ZINC000010679847 1073199957 /nfs/dbraw/zinc/19/99/57/1073199957.db2.gz OFVLZPBMPAFPLD-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC(NS(C)(=O)=O)CC2)c1 ZINC000010679873 1073199967 /nfs/dbraw/zinc/19/99/67/1073199967.db2.gz PNKGRPTUUMRTID-UHFFFAOYSA-N 0 0 434.492 -0.038 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)c(=O)n(C)c1=O ZINC000010680517 1073035004 /nfs/dbraw/zinc/03/50/04/1073035004.db2.gz YPVXFTJEAYKDOC-UHFFFAOYSA-N 0 0 448.548 -0.723 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)OCC(=O)N1CCOCC1 ZINC000010688144 1074432807 /nfs/dbraw/zinc/43/28/07/1074432807.db2.gz WIYNECYJCZGNRP-UHFFFAOYSA-N 0 0 425.463 -0.506 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)NC[C@@H]1CCCO1 ZINC000010688746 1074432761 /nfs/dbraw/zinc/43/27/61/1074432761.db2.gz AABBGLMNRZHIDV-HNNXBMFYSA-N 0 0 439.490 -0.070 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)NC[C@H]1CCCO1 ZINC000010688753 1074432817 /nfs/dbraw/zinc/43/28/17/1074432817.db2.gz AABBGLMNRZHIDV-OAHLLOKOSA-N 0 0 439.490 -0.070 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000010689928 1074432749 /nfs/dbraw/zinc/43/27/49/1074432749.db2.gz FLLRMVQVGFMIBJ-UHFFFAOYSA-N 0 0 426.451 -0.519 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000010689971 1074432729 /nfs/dbraw/zinc/43/27/29/1074432729.db2.gz OTVBLEHVKLHZBX-NSHDSACASA-N 0 0 426.451 -0.521 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000010689975 1074432897 /nfs/dbraw/zinc/43/28/97/1074432897.db2.gz OTVBLEHVKLHZBX-LLVKDONJSA-N 0 0 426.451 -0.521 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000010690020 1074432936 /nfs/dbraw/zinc/43/29/36/1074432936.db2.gz JNCNALKCLDSNTC-GFCCVEGCSA-N 0 0 440.478 -0.131 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000010690025 1074432905 /nfs/dbraw/zinc/43/29/05/1074432905.db2.gz JNCNALKCLDSNTC-LBPRGKRZSA-N 0 0 440.478 -0.131 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000010690085 1074432888 /nfs/dbraw/zinc/43/28/88/1074432888.db2.gz KMSDVUPDBMHRHP-UHFFFAOYSA-N 0 0 440.478 -0.129 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)C(=O)NC(N)=O ZINC000010690088 1074432941 /nfs/dbraw/zinc/43/29/41/1074432941.db2.gz QCEAPAJZPCVVBW-INIZCTEOSA-N 0 0 440.478 -0.146 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)C(=O)NC(N)=O ZINC000010690094 1074432841 /nfs/dbraw/zinc/43/28/41/1074432841.db2.gz QCEAPAJZPCVVBW-MRXNPFEDSA-N 0 0 440.478 -0.146 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000010733755 1074433416 /nfs/dbraw/zinc/43/34/16/1074433416.db2.gz CDXTVDMZBMTIQK-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000010733776 1073055174 /nfs/dbraw/zinc/05/51/74/1073055174.db2.gz TZJUTJAPVXEUCQ-CQSZACIVSA-N 0 0 446.503 -0.832 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000010733779 1073219357 /nfs/dbraw/zinc/21/93/57/1073219357.db2.gz TZJUTJAPVXEUCQ-AWEZNQCLSA-N 0 0 446.503 -0.832 20 0 IBADRN COc1cc(OC)cc([C@@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c2nccn2C)c1 ZINC000010748809 1072513366 /nfs/dbraw/zinc/51/33/66/1072513366.db2.gz SXLCEDGBOYWMJJ-MRXNPFEDSA-N 0 0 449.489 -0.097 20 0 IBADRN COc1cc(OC)cc([C@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c2nccn2C)c1 ZINC000010748822 1072513422 /nfs/dbraw/zinc/51/34/22/1072513422.db2.gz SXLCEDGBOYWMJJ-INIZCTEOSA-N 0 0 449.489 -0.097 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNS(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000010761094 1072705177 /nfs/dbraw/zinc/70/51/77/1072705177.db2.gz YDZXTZJUHFWVNX-UHFFFAOYSA-N 0 0 444.535 -0.610 20 0 IBADRN C[C@H](OC(=O)CCn1c2ccccc2c(=O)[nH]c1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000010768767 1074433446 /nfs/dbraw/zinc/43/34/46/1074433446.db2.gz QOGKFCBTPBDFFD-QWHCGFSZSA-N 0 0 437.474 -0.343 20 0 IBADRN C[C@@H](OC(=O)CCn1c2ccccc2c(=O)[nH]c1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000010768782 1074433394 /nfs/dbraw/zinc/43/33/94/1074433394.db2.gz QOGKFCBTPBDFFD-CHWSQXEVSA-N 0 0 437.474 -0.343 20 0 IBADRN C[C@H](OC(=O)CCn1c2ccccc2c(=O)[nH]c1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000010768799 1074433410 /nfs/dbraw/zinc/43/34/10/1074433410.db2.gz QOGKFCBTPBDFFD-STQMWFEESA-N 0 0 437.474 -0.343 20 0 IBADRN C[C@@H](OC(=O)CCn1c2ccccc2c(=O)[nH]c1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000010768804 1074433380 /nfs/dbraw/zinc/43/33/80/1074433380.db2.gz QOGKFCBTPBDFFD-OLZOCXBDSA-N 0 0 437.474 -0.343 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)Cn1cc(S(=O)(=O)N(CC)CC)ccc1=O ZINC000010775134 1074433434 /nfs/dbraw/zinc/43/34/34/1074433434.db2.gz OENGJTDLTYPJNK-GFCCVEGCSA-N 0 0 430.483 -0.344 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)Cn1cc(S(=O)(=O)N(CC)CC)ccc1=O ZINC000010775147 1074433363 /nfs/dbraw/zinc/43/33/63/1074433363.db2.gz OENGJTDLTYPJNK-LBPRGKRZSA-N 0 0 430.483 -0.344 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)NC(=O)NCC(C)C)c1 ZINC000010775281 1074433431 /nfs/dbraw/zinc/43/34/31/1074433431.db2.gz SOLDTZRPWZNANT-UHFFFAOYSA-N 0 0 444.510 -0.096 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@H](C(=O)NC(N)=O)C(C)C)c1 ZINC000010775300 1074433442 /nfs/dbraw/zinc/43/34/42/1074433442.db2.gz FJFKKCNQPLRCLI-HNNXBMFYSA-N 0 0 430.483 -0.359 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@@H](C(=O)NC(N)=O)C(C)C)c1 ZINC000010775312 1074433336 /nfs/dbraw/zinc/43/33/36/1074433336.db2.gz FJFKKCNQPLRCLI-OAHLLOKOSA-N 0 0 430.483 -0.359 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000010792723 1074433399 /nfs/dbraw/zinc/43/33/99/1074433399.db2.gz JSKWIOJXZMTGLD-UHFFFAOYSA-N 0 0 434.854 -0.193 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(OC)c(S(=O)(=O)NC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000010793724 1074433307 /nfs/dbraw/zinc/43/33/07/1074433307.db2.gz SKBNLWSZLMBFPR-CYBMUJFWSA-N 0 0 448.519 -0.204 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(OC)c(S(=O)(=O)NC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000010793726 1074433848 /nfs/dbraw/zinc/43/38/48/1074433848.db2.gz SKBNLWSZLMBFPR-ZDUSSCGKSA-N 0 0 448.519 -0.204 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000010793810 1074434008 /nfs/dbraw/zinc/43/40/08/1074434008.db2.gz GUDDHIBTELAGTB-UHFFFAOYSA-N 0 0 434.854 -0.193 20 0 IBADRN Cn1c2ncn(CCC(=O)NN3C(=O)N[C@@](C)(c4ccccc4)C3=O)c2c(=O)n(C)c1=O ZINC000010805105 1074434019 /nfs/dbraw/zinc/43/40/19/1074434019.db2.gz ZQPVTRCOXJPBCT-FQEVSTJZSA-N 0 0 439.432 -0.678 20 0 IBADRN Cn1c2ncn(CCC(=O)NN3C(=O)N[C@](C)(c4ccccc4)C3=O)c2c(=O)n(C)c1=O ZINC000010805111 1074434037 /nfs/dbraw/zinc/43/40/37/1074434037.db2.gz ZQPVTRCOXJPBCT-HXUWFJFHSA-N 0 0 439.432 -0.678 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)Nc3cccc(S(N)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000010806836 1074433976 /nfs/dbraw/zinc/43/39/76/1074433976.db2.gz DQKHPLCUFROPFS-UHFFFAOYSA-N 0 0 426.842 -0.627 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)Cc3c(C)nc4nc(N)nn4c3C)CC2)no1 ZINC000010808273 1072705221 /nfs/dbraw/zinc/70/52/21/1072705221.db2.gz RAXOBMUZOCEAAM-UHFFFAOYSA-N 0 0 427.469 -0.055 20 0 IBADRN Cn1c2ccccc2n(CCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1=O ZINC000010824203 1073080378 /nfs/dbraw/zinc/08/03/78/1073080378.db2.gz GHDAEPVFYQJXLY-UHFFFAOYSA-N 0 0 429.477 -0.740 20 0 IBADRN CN1CC(=O)N(CCCC(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1=O ZINC000010826127 1074433990 /nfs/dbraw/zinc/43/39/90/1074433990.db2.gz XESVSZZKUSQNLA-UHFFFAOYSA-N 0 0 439.513 -0.737 20 0 IBADRN COCCN(C(=O)CNc1ccccc1C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000010844972 1073080536 /nfs/dbraw/zinc/08/05/36/1073080536.db2.gz AOHIMUGXRMPEOF-UHFFFAOYSA-N 0 0 432.481 -0.035 20 0 IBADRN C[C@@H]1CN(C(=O)COn2nnc3ccc(S(=O)(=O)N4CCOCC4)cc32)CCO1 ZINC000010855606 1074433947 /nfs/dbraw/zinc/43/39/47/1074433947.db2.gz UZJYFYMCUQJDJB-CYBMUJFWSA-N 0 0 425.467 -0.872 20 0 IBADRN C[C@H]1CN(C(=O)COn2nnc3ccc(S(=O)(=O)N4CCOCC4)cc32)CCO1 ZINC000010855614 1074434031 /nfs/dbraw/zinc/43/40/31/1074434031.db2.gz UZJYFYMCUQJDJB-ZDUSSCGKSA-N 0 0 425.467 -0.872 20 0 IBADRN Cn1c(=O)cc(COC(=O)CNS(=O)(=O)c2cccc(Br)c2)n(C)c1=O ZINC000010873463 1074434046 /nfs/dbraw/zinc/43/40/46/1074434046.db2.gz YHGQOPZIMDAYHM-UHFFFAOYSA-N 0 0 446.279 -0.132 20 0 IBADRN CCOc1ccc(NC(=O)CN2C(=O)CN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000010886777 1073080412 /nfs/dbraw/zinc/08/04/12/1073080412.db2.gz YBPKOFDENDNKLK-UHFFFAOYSA-N 0 0 440.478 -0.061 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)N2CCO[C@H](C)C2)c1 ZINC000010890605 1074433860 /nfs/dbraw/zinc/43/38/60/1074433860.db2.gz KSQVJZCNVTXYST-CQSZACIVSA-N 0 0 429.495 -0.331 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)N2CCO[C@@H](C)C2)c1 ZINC000010890611 1074433937 /nfs/dbraw/zinc/43/39/37/1074433937.db2.gz KSQVJZCNVTXYST-AWEZNQCLSA-N 0 0 429.495 -0.331 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(OCC(N)=O)c(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000010901892 1074433928 /nfs/dbraw/zinc/43/39/28/1074433928.db2.gz NLCUEZWOCZPGBC-CYBMUJFWSA-N 0 0 428.463 -0.248 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(OCC(N)=O)c(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000010901898 1074433898 /nfs/dbraw/zinc/43/38/98/1074433898.db2.gz NLCUEZWOCZPGBC-ZDUSSCGKSA-N 0 0 428.463 -0.248 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NC(=O)Nc1ccccc1 ZINC000010906264 1074434058 /nfs/dbraw/zinc/43/40/58/1074434058.db2.gz FPZBYGUGLRUAIH-NSHDSACASA-N 0 0 428.405 -0.286 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NC(=O)Nc1ccccc1 ZINC000010906273 1074433805 /nfs/dbraw/zinc/43/38/05/1074433805.db2.gz FPZBYGUGLRUAIH-LLVKDONJSA-N 0 0 428.405 -0.286 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000010906324 1074433836 /nfs/dbraw/zinc/43/38/36/1074433836.db2.gz ILAIJHHTNZPKTG-UHFFFAOYSA-N 0 0 449.445 -0.146 20 0 IBADRN COC(=O)c1sccc1NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000010906336 1074433920 /nfs/dbraw/zinc/43/39/20/1074433920.db2.gz NMEZWKBWHTYSON-UHFFFAOYSA-N 0 0 435.418 -0.536 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1ccc(S(C)(=O)=O)cc1)c(=O)n2C ZINC000010906342 1074433966 /nfs/dbraw/zinc/43/39/66/1074433966.db2.gz REPJGCFHIABPAW-UHFFFAOYSA-N 0 0 449.445 -0.981 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000010906876 1074434325 /nfs/dbraw/zinc/43/43/25/1074434325.db2.gz UKLGXCLEALCCIL-UHFFFAOYSA-N 0 0 443.416 -0.574 20 0 IBADRN COc1cc(C(=O)O[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)ccc1OCC(N)=O ZINC000010907132 1074434547 /nfs/dbraw/zinc/43/45/47/1074434547.db2.gz LOCILOCVBPQVSW-WCQYABFASA-N 0 0 428.463 -0.250 20 0 IBADRN COc1cc(C(=O)O[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)ccc1OCC(N)=O ZINC000010907140 1074434463 /nfs/dbraw/zinc/43/44/63/1074434463.db2.gz LOCILOCVBPQVSW-DGCLKSJQSA-N 0 0 428.463 -0.250 20 0 IBADRN COc1cc(C(=O)O[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)ccc1OCC(N)=O ZINC000010907147 1074434501 /nfs/dbraw/zinc/43/45/01/1074434501.db2.gz LOCILOCVBPQVSW-AAEUAGOBSA-N 0 0 428.463 -0.250 20 0 IBADRN COc1cc(C(=O)O[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)ccc1OCC(N)=O ZINC000010907154 1074434376 /nfs/dbraw/zinc/43/43/76/1074434376.db2.gz LOCILOCVBPQVSW-YPMHNXCESA-N 0 0 428.463 -0.250 20 0 IBADRN O=C(COC(=O)[C@@H]1CCS(=O)(=O)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000010908647 1074434414 /nfs/dbraw/zinc/43/44/14/1074434414.db2.gz PDPWSWOGAUMWIU-CYBMUJFWSA-N 0 0 446.503 -0.376 20 0 IBADRN O=C(COC(=O)[C@H]1CCS(=O)(=O)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000010908660 1074434508 /nfs/dbraw/zinc/43/45/08/1074434508.db2.gz PDPWSWOGAUMWIU-ZDUSSCGKSA-N 0 0 446.503 -0.376 20 0 IBADRN O=C(COC(=O)C1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1)N1CCNC(=O)C1 ZINC000010908784 1074434370 /nfs/dbraw/zinc/43/43/70/1074434370.db2.gz SFFXYJDKLPQSAB-UHFFFAOYSA-N 0 0 445.444 -0.133 20 0 IBADRN O=C(COC(=O)[C@@H]1CCS(=O)(=O)C1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000010908867 1074434388 /nfs/dbraw/zinc/43/43/88/1074434388.db2.gz YUJDJIIIZXNVBM-CYBMUJFWSA-N 0 0 446.503 -0.376 20 0 IBADRN O=C(COC(=O)[C@H]1CCS(=O)(=O)C1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000010908876 1074434335 /nfs/dbraw/zinc/43/43/35/1074434335.db2.gz YUJDJIIIZXNVBM-ZDUSSCGKSA-N 0 0 446.503 -0.376 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)Cn2c(C(F)(F)F)ccc(C(N)=O)c2=O)c(=O)n(C)c1=O ZINC000010911321 1073021974 /nfs/dbraw/zinc/02/19/74/1073021974.db2.gz WGYRLZHFHBRMET-UHFFFAOYSA-N 0 0 443.382 -0.053 20 0 IBADRN O=C(COC(=O)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000010911641 1074434403 /nfs/dbraw/zinc/43/44/03/1074434403.db2.gz JVDDBILURDBYMI-CQSZACIVSA-N 0 0 430.504 -0.503 20 0 IBADRN O=C(COC(=O)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000010911648 1074434346 /nfs/dbraw/zinc/43/43/46/1074434346.db2.gz JVDDBILURDBYMI-AWEZNQCLSA-N 0 0 430.504 -0.503 20 0 IBADRN Cc1oc(NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2c1c(C)n[nH]c2=O ZINC000010926642 1072727935 /nfs/dbraw/zinc/72/79/35/1072727935.db2.gz UJNIEUIZEHMXSH-UHFFFAOYSA-N 0 0 446.464 -0.926 20 0 IBADRN O=C(COC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)NC(=O)NC1CC1 ZINC000010930106 1074434435 /nfs/dbraw/zinc/43/44/35/1074434435.db2.gz PHWCJRISBBSXDR-UHFFFAOYSA-N 0 0 429.426 -0.008 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)Nc2sc3c(c2C(N)=O)CCC3)c(=O)n(C)c1=O ZINC000010936473 1074434475 /nfs/dbraw/zinc/43/44/75/1074434475.db2.gz BGALXGBIRGVJRD-VOTSOKGWSA-N 0 0 432.458 -0.072 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)c(=O)n(C)c1=O ZINC000010937329 1074434518 /nfs/dbraw/zinc/43/45/18/1074434518.db2.gz CUXJNRNLJIEIGP-ZZXKWVIFSA-N 0 0 444.400 -0.240 20 0 IBADRN C[C@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000010937442 1074434424 /nfs/dbraw/zinc/43/44/24/1074434424.db2.gz XLCLZJCHBNCYLS-VKJYCEFSSA-N 0 0 436.446 -0.685 20 0 IBADRN C[C@@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000010937446 1074434354 /nfs/dbraw/zinc/43/43/54/1074434354.db2.gz XLCLZJCHBNCYLS-VCFDLTMXSA-N 0 0 436.446 -0.685 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)C=Cc1cn(C)c(=O)n(C)c1=O)C2=O ZINC000010937796 1074434531 /nfs/dbraw/zinc/43/45/31/1074434531.db2.gz GBRBSIWSHRMXBG-SNAWJCMRSA-N 0 0 447.448 -0.828 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O ZINC000010938304 1074434453 /nfs/dbraw/zinc/43/44/53/1074434453.db2.gz OXSXNGSUKFCEES-SOFGYWHQSA-N 0 0 444.444 -0.288 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)cc1S(N)(=O)=O ZINC000010938602 1074434553 /nfs/dbraw/zinc/43/45/53/1074434553.db2.gz RUIKLJKGYPLENP-FNORWQNLSA-N 0 0 436.446 -0.765 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)c(=O)n(C)c1=O ZINC000010938637 1074435303 /nfs/dbraw/zinc/43/53/03/1074435303.db2.gz PQOJRCOIYZQCTD-AATRIKPKSA-N 0 0 446.485 -0.314 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)Nc2ccccc2SCC(N)=O)c(=O)n(C)c1=O ZINC000010938695 1074435163 /nfs/dbraw/zinc/43/51/63/1074435163.db2.gz VWZPBKPEYIARNN-BQYQJAHWSA-N 0 0 432.458 -0.144 20 0 IBADRN COc1cc(CNC(=O)COC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)cc(OC)c1OC ZINC000010938765 1074435289 /nfs/dbraw/zinc/43/52/89/1074435289.db2.gz WDJOXYDQQQMVEH-VOTSOKGWSA-N 0 0 447.444 -0.017 20 0 IBADRN C[C@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000010938851 1074435270 /nfs/dbraw/zinc/43/52/70/1074435270.db2.gz OGCDTODXGBNHGZ-AEZGRPFRSA-N 0 0 436.446 -0.685 20 0 IBADRN C[C@@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000010938852 1074435293 /nfs/dbraw/zinc/43/52/93/1074435293.db2.gz OGCDTODXGBNHGZ-WSKFYRRCSA-N 0 0 436.446 -0.685 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)c(=O)n(C)c1=O ZINC000010938985 1074435296 /nfs/dbraw/zinc/43/52/96/1074435296.db2.gz OFXSSFZOTGOTCW-ZZXKWVIFSA-N 0 0 430.373 -0.283 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O ZINC000010939016 1074435224 /nfs/dbraw/zinc/43/52/24/1074435224.db2.gz GJVWPBQPXIJNQX-CMDGGOBGSA-N 0 0 444.444 -0.442 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)N(CCC(N)=O)c2ccc(F)cc2)c(=O)n(C)c1=O ZINC000010939019 1074435151 /nfs/dbraw/zinc/43/51/51/1074435151.db2.gz LZUGWJFGSCTMLU-FPYGCLRLSA-N 0 0 432.408 -0.312 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)N(CCC(N)=O)Cc2ccccc2)c(=O)n(C)c1=O ZINC000010939090 1074435158 /nfs/dbraw/zinc/43/51/58/1074435158.db2.gz NMXICIMWJLPSSL-CMDGGOBGSA-N 0 0 428.445 -0.455 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000010947904 1073080492 /nfs/dbraw/zinc/08/04/92/1073080492.db2.gz UIFWHDAJZNZHNX-ZDUSSCGKSA-N 0 0 435.506 -0.466 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000010947908 1073080445 /nfs/dbraw/zinc/08/04/45/1073080445.db2.gz UIFWHDAJZNZHNX-CYBMUJFWSA-N 0 0 435.506 -0.466 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)Nc1ccccc1 ZINC000010952653 1074435277 /nfs/dbraw/zinc/43/52/77/1074435277.db2.gz LDURHYJNOCEWFX-NSHDSACASA-N 0 0 428.405 -0.286 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)Nc1ccccc1 ZINC000010952658 1074435215 /nfs/dbraw/zinc/43/52/15/1074435215.db2.gz LDURHYJNOCEWFX-LLVKDONJSA-N 0 0 428.405 -0.286 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000010952703 1074435235 /nfs/dbraw/zinc/43/52/35/1074435235.db2.gz DFJUIMLIUIFHTL-UHFFFAOYSA-N 0 0 449.445 -0.146 20 0 IBADRN COC(=O)c1sccc1NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000010952707 1074435203 /nfs/dbraw/zinc/43/52/03/1074435203.db2.gz TWBGYJSLNOKJNL-UHFFFAOYSA-N 0 0 435.418 -0.536 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3ccc(S(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000010952711 1074435300 /nfs/dbraw/zinc/43/53/00/1074435300.db2.gz UAMMZXDRCOLJST-UHFFFAOYSA-N 0 0 449.445 -0.981 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000010952959 1074435180 /nfs/dbraw/zinc/43/51/80/1074435180.db2.gz VTQRLILYCNRBDL-UHFFFAOYSA-N 0 0 443.416 -0.574 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)Cn1cc(S(=O)(=O)N(C)C)ccc1=O)C2=O ZINC000010969846 1074435248 /nfs/dbraw/zinc/43/52/48/1074435248.db2.gz NGWJRYCODWANLK-UHFFFAOYSA-N 0 0 439.494 -0.370 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)n1 ZINC000010974140 1074435170 /nfs/dbraw/zinc/43/51/70/1074435170.db2.gz QXZQOXMONNNXBE-UHFFFAOYSA-N 0 0 437.482 -0.757 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)OCc2nc(N)nc(N(C)C)n2)cc1OC ZINC000010993826 1074435868 /nfs/dbraw/zinc/43/58/68/1074435868.db2.gz LDOQPTWPGDDXLC-UHFFFAOYSA-N 0 0 440.482 -0.051 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@@H]2CCS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000011006599 1074436321 /nfs/dbraw/zinc/43/63/21/1074436321.db2.gz NOQVKXRMLJUHNG-CQSZACIVSA-N 0 0 449.485 -0.179 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@H]2CCS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000011006632 1074436377 /nfs/dbraw/zinc/43/63/77/1074436377.db2.gz NOQVKXRMLJUHNG-AWEZNQCLSA-N 0 0 449.485 -0.179 20 0 IBADRN O=C(COC(=O)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000011007629 1074436244 /nfs/dbraw/zinc/43/62/44/1074436244.db2.gz MIHWAFGHQCCHTP-CYBMUJFWSA-N 0 0 448.494 -0.364 20 0 IBADRN O=C(COC(=O)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000011007662 1074436415 /nfs/dbraw/zinc/43/64/15/1074436415.db2.gz MIHWAFGHQCCHTP-ZDUSSCGKSA-N 0 0 448.494 -0.364 20 0 IBADRN O=C(COC(=O)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000011007867 1074436237 /nfs/dbraw/zinc/43/62/37/1074436237.db2.gz VZBOUXXQQBBFCG-MRXNPFEDSA-N 0 0 444.531 -0.362 20 0 IBADRN O=C(COC(=O)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000011007891 1074436408 /nfs/dbraw/zinc/43/64/08/1074436408.db2.gz VZBOUXXQQBBFCG-INIZCTEOSA-N 0 0 444.531 -0.362 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC000011008971 1074436384 /nfs/dbraw/zinc/43/63/84/1074436384.db2.gz XAQVHBCPOUFKTH-LLVKDONJSA-N 0 0 434.492 -0.138 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC000011008993 1074436285 /nfs/dbraw/zinc/43/62/85/1074436285.db2.gz XAQVHBCPOUFKTH-NSHDSACASA-N 0 0 434.492 -0.138 20 0 IBADRN O=C(COC(=O)C1CCN(S(=O)(=O)c2cc(F)ccc2F)CC1)N1CCNC(=O)C1 ZINC000011015544 1074436251 /nfs/dbraw/zinc/43/62/51/1074436251.db2.gz HLHRJUPUZRNOAC-UHFFFAOYSA-N 0 0 445.444 -0.133 20 0 IBADRN Nc1c(N(CCO)C(=S)NCCN2CCOCC2)c(=O)[nH]c(=O)n1Cc1ccccc1 ZINC000011037455 1073057314 /nfs/dbraw/zinc/05/73/14/1073057314.db2.gz WNUYSPRUDPRPBO-UHFFFAOYSA-N 0 0 448.549 -0.828 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCCc2nc3ccccc3c(=O)[nH]2)c(=O)n(C)c1=O ZINC000011043795 1074436394 /nfs/dbraw/zinc/43/63/94/1074436394.db2.gz AAGHOGJUXWGZTG-UHFFFAOYSA-N 0 0 427.417 -0.349 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000011044985 1074436364 /nfs/dbraw/zinc/43/63/64/1074436364.db2.gz RURJJIQZSXEYNE-UHFFFAOYSA-N 0 0 429.451 -0.200 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000011086843 1073032080 /nfs/dbraw/zinc/03/20/80/1073032080.db2.gz GSPNNMSIWAOEDX-UHFFFAOYSA-N 0 0 432.506 -0.195 20 0 IBADRN Nc1nc(N)nc(SCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000011097571 1070825923 /nfs/dbraw/zinc/82/59/23/1070825923.db2.gz XNSRJUJNOYTURM-UHFFFAOYSA-N 0 0 425.496 -0.212 20 0 IBADRN Nc1nc(N)nc(SCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000011097627 1073080431 /nfs/dbraw/zinc/08/04/31/1073080431.db2.gz OCVSJTWLQOESGA-UHFFFAOYSA-N 0 0 425.496 -0.212 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(NC(=O)c3ccccc3)CC2)c(=O)[nH]c1=O ZINC000011102657 1073080481 /nfs/dbraw/zinc/08/04/81/1073080481.db2.gz AHDONXXOAUNBOO-UHFFFAOYSA-N 0 0 429.477 -0.158 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000011107692 1074436918 /nfs/dbraw/zinc/43/69/18/1074436918.db2.gz DFEOWZIGZHKDLP-UHFFFAOYSA-N 0 0 444.853 -0.733 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccc(N4CCOCC4)nc3)c2c(=O)n(C)c1=O ZINC000011117014 1073080569 /nfs/dbraw/zinc/08/05/69/1073080569.db2.gz HFGHZXOWGXMBFW-UHFFFAOYSA-N 0 0 427.465 -0.628 20 0 IBADRN CCN(C(=O)COC(=O)Cn1cccn1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000011117355 1074437079 /nfs/dbraw/zinc/43/70/79/1074437079.db2.gz UAHVHWNPKFPTBB-UHFFFAOYSA-N 0 0 426.433 -0.040 20 0 IBADRN Cn1c(=O)cc(COC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)n(C)c1=O ZINC000011148986 1074437617 /nfs/dbraw/zinc/43/76/17/1074437617.db2.gz SJBRPHXCBFHKTG-UHFFFAOYSA-N 0 0 441.437 -0.399 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000011149832 1074437703 /nfs/dbraw/zinc/43/77/03/1074437703.db2.gz JHUGFWJWFJJCFC-UHFFFAOYSA-N 0 0 445.432 -0.019 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCOCC1)c(=O)n2Cc1ccccc1 ZINC000011154732 1073033549 /nfs/dbraw/zinc/03/35/49/1073033549.db2.gz JXQMGEWICJEWOY-UHFFFAOYSA-N 0 0 427.461 -0.087 20 0 IBADRN O=C(CCN1C(=O)c2ccc(Br)cc2C1=O)OCC(=O)N1CCNC(=O)C1 ZINC000011175124 1074438426 /nfs/dbraw/zinc/43/84/26/1074438426.db2.gz VNSOLBRUOJQGRT-UHFFFAOYSA-N 0 0 438.234 -0.063 20 0 IBADRN CC(=O)N1CCN(C(=O)COc2ccc(S(=O)(=O)N3CCCC3)cc2C(N)=O)CC1 ZINC000011176641 1073203284 /nfs/dbraw/zinc/20/32/84/1073203284.db2.gz MCQIKWPBBJHNHI-UHFFFAOYSA-N 0 0 438.506 -0.361 20 0 IBADRN Cc1nnc(SCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n(N)c1=O ZINC000011207877 1074438900 /nfs/dbraw/zinc/43/89/00/1074438900.db2.gz QXWKODKERUBCTJ-UHFFFAOYSA-N 0 0 440.507 -0.588 20 0 IBADRN Cc1nnc(SCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)n(N)c1=O ZINC000011207928 1074438970 /nfs/dbraw/zinc/43/89/70/1074438970.db2.gz LZBKXPKCHKVXCG-UHFFFAOYSA-N 0 0 440.507 -0.588 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CCN3C(=O)CCC3=O)C2=O)cc1 ZINC000011209899 1074438955 /nfs/dbraw/zinc/43/89/55/1074438955.db2.gz FQMLBSORHNFXOJ-FQEVSTJZSA-N 0 0 446.416 -0.424 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CCN3C(=O)CCC3=O)C2=O)cc1 ZINC000011209920 1074438992 /nfs/dbraw/zinc/43/89/92/1074438992.db2.gz FQMLBSORHNFXOJ-HXUWFJFHSA-N 0 0 446.416 -0.424 20 0 IBADRN COC(=O)c1cccn2cc(COC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)nc12 ZINC000011213431 1074439084 /nfs/dbraw/zinc/43/90/84/1074439084.db2.gz GCAYOBJZKRJRBI-UHFFFAOYSA-N 0 0 426.389 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCc1cc(=O)n(C)c(=O)n1C ZINC000011219675 1074438860 /nfs/dbraw/zinc/43/88/60/1074438860.db2.gz UHPCECLTNNTFOZ-UHFFFAOYSA-N 0 0 437.474 -0.230 20 0 IBADRN Cc1ccc(C(=O)OCc2cc(=O)n(C)c(=O)n2C)cc1S(=O)(=O)N1CCOCC1 ZINC000011219881 1074439762 /nfs/dbraw/zinc/43/97/62/1074439762.db2.gz YFSHNFXTFMTYGT-UHFFFAOYSA-N 0 0 437.474 -0.230 20 0 IBADRN Cn1c(=O)cc(COC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)n(C)c1=O ZINC000011226155 1074439610 /nfs/dbraw/zinc/43/96/10/1074439610.db2.gz NAJMASOIJSYQTC-UHFFFAOYSA-N 0 0 441.437 -0.399 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H]3CCS(=O)(=O)C3)C2=O)cc1 ZINC000011229013 1074439758 /nfs/dbraw/zinc/43/97/58/1074439758.db2.gz UZHPEGNYRWMTCU-ZMZPIMSZSA-N 0 0 439.446 -0.529 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H]3CCS(=O)(=O)C3)C2=O)cc1 ZINC000011229024 1074439748 /nfs/dbraw/zinc/43/97/48/1074439748.db2.gz UZHPEGNYRWMTCU-ADLMAVQZSA-N 0 0 439.446 -0.529 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H]3CCS(=O)(=O)C3)C2=O)cc1 ZINC000011229036 1074439566 /nfs/dbraw/zinc/43/95/66/1074439566.db2.gz UZHPEGNYRWMTCU-VOJFVSQTSA-N 0 0 439.446 -0.529 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H]3CCS(=O)(=O)C3)C2=O)cc1 ZINC000011229048 1074439787 /nfs/dbraw/zinc/43/97/87/1074439787.db2.gz UZHPEGNYRWMTCU-BBATYDOGSA-N 0 0 439.446 -0.529 20 0 IBADRN Cn1c(COC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cc(=O)n(C)c1=O ZINC000011236324 1074439520 /nfs/dbraw/zinc/43/95/20/1074439520.db2.gz QVHCLVFUDASHSG-UHFFFAOYSA-N 0 0 446.485 -0.012 20 0 IBADRN CCCCn1c(N)c(N(C)CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)c(=O)[nH]c1=O ZINC000011237376 1074439634 /nfs/dbraw/zinc/43/96/34/1074439634.db2.gz UETOYSUQALJLNV-UHFFFAOYSA-N 0 0 449.512 -0.113 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(C(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000011239494 1073080554 /nfs/dbraw/zinc/08/05/54/1073080554.db2.gz QCJNUHJMWQJPTK-UHFFFAOYSA-N 0 0 434.493 -0.006 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C[C@@H]3NC(=O)NC3=O)CC2)cc1 ZINC000011240598 1073080848 /nfs/dbraw/zinc/08/08/48/1073080848.db2.gz WWFYMMUAPDZHBU-AWEZNQCLSA-N 0 0 438.462 -0.706 20 0 IBADRN CN1CC(=O)N(CCCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000011241964 1073080902 /nfs/dbraw/zinc/08/09/02/1073080902.db2.gz IUPZKHJNMFXQNN-UHFFFAOYSA-N 0 0 438.506 -0.002 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)Nc3nccs3)CC2)cc1 ZINC000011255425 1073080873 /nfs/dbraw/zinc/08/08/73/1073080873.db2.gz UFSIIFFKODJOAK-UHFFFAOYSA-N 0 0 445.548 -0.265 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NC2CC2)cc1OC ZINC000011259110 1074439546 /nfs/dbraw/zinc/43/95/46/1074439546.db2.gz ZSZJVCGNGOHACK-JTQLQIEISA-N 0 0 429.451 -0.098 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NC2CC2)cc1OC ZINC000011259134 1074439742 /nfs/dbraw/zinc/43/97/42/1074439742.db2.gz ZSZJVCGNGOHACK-SNVBAGLBSA-N 0 0 429.451 -0.098 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000011269772 1074439494 /nfs/dbraw/zinc/43/94/94/1074439494.db2.gz PREDAHMBSHCPSO-NWDGAFQWSA-N 0 0 437.496 -0.853 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000011269796 1074439709 /nfs/dbraw/zinc/43/97/09/1074439709.db2.gz PREDAHMBSHCPSO-VXGBXAGGSA-N 0 0 437.496 -0.853 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000011269817 1074439701 /nfs/dbraw/zinc/43/97/01/1074439701.db2.gz PREDAHMBSHCPSO-RYUDHWBXSA-N 0 0 437.496 -0.853 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000011269832 1074439589 /nfs/dbraw/zinc/43/95/89/1074439589.db2.gz PREDAHMBSHCPSO-NEPJUHHUSA-N 0 0 437.496 -0.853 20 0 IBADRN COCCn1c(N)c(C(=O)CSc2nnnn2Cc2ccc(OC)cc2)c(=O)[nH]c1=O ZINC000011277194 1073037786 /nfs/dbraw/zinc/03/77/86/1073037786.db2.gz BRCXUODQNMVMQG-UHFFFAOYSA-N 0 0 447.477 -0.216 20 0 IBADRN COC(=O)c1sccc1NC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000011280011 1074439721 /nfs/dbraw/zinc/43/97/21/1074439721.db2.gz XOMNXWULJCXNIS-UHFFFAOYSA-N 0 0 449.445 -0.146 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OCc2cc(=O)n(C)c(=O)n2C)cc1 ZINC000011283644 1074440300 /nfs/dbraw/zinc/44/03/00/1074440300.db2.gz ZCUBNAXBQPFKTO-UHFFFAOYSA-N 0 0 439.446 -0.718 20 0 IBADRN Cn1c(COC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)cc(=O)n(C)c1=O ZINC000011290811 1074440263 /nfs/dbraw/zinc/44/02/63/1074440263.db2.gz PELHZBJHYHCSLR-UHFFFAOYSA-N 0 0 439.446 -0.733 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)Cn3nnn(-c4ccccc4)c3=O)C2=O)cc1 ZINC000011295657 1074440242 /nfs/dbraw/zinc/44/02/42/1074440242.db2.gz GHLICHOFAHIILO-FQEVSTJZSA-N 0 0 437.416 -0.064 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)Cn3nnn(-c4ccccc4)c3=O)C2=O)cc1 ZINC000011295664 1074440233 /nfs/dbraw/zinc/44/02/33/1074440233.db2.gz GHLICHOFAHIILO-HXUWFJFHSA-N 0 0 437.416 -0.064 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2nnn(-c3ccccc3)c2=O)CC1 ZINC000011296091 1073082519 /nfs/dbraw/zinc/08/25/19/1073082519.db2.gz QJTNJYRGMVFTMN-UHFFFAOYSA-N 0 0 447.477 -0.433 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCc2cc(=O)n(C)c(=O)n2C)c1 ZINC000011296273 1074440249 /nfs/dbraw/zinc/44/02/49/1074440249.db2.gz MLYRYMGUCVWNQF-UHFFFAOYSA-N 0 0 440.478 -0.980 20 0 IBADRN Cc1noc(N)c1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000011299770 1074440284 /nfs/dbraw/zinc/44/02/84/1074440284.db2.gz QNJQTCLNFABFHQ-UHFFFAOYSA-N 0 0 427.439 -0.140 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC)cc1 ZINC000011301448 1074440200 /nfs/dbraw/zinc/44/02/00/1074440200.db2.gz HWOIVSGUKJEFAI-LLVKDONJSA-N 0 0 429.451 -0.081 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC)cc1 ZINC000011301453 1074440228 /nfs/dbraw/zinc/44/02/28/1074440228.db2.gz HWOIVSGUKJEFAI-NSHDSACASA-N 0 0 429.451 -0.081 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)CNS(=O)(=O)c2cccc(F)c2)cc1 ZINC000011302222 1074440307 /nfs/dbraw/zinc/44/03/07/1074440307.db2.gz NXQMAUJZCAESHB-UHFFFAOYSA-N 0 0 445.450 -0.067 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)COC(=O)CNS(=O)(=O)c2cccc(F)c2)c1 ZINC000011302231 1074440173 /nfs/dbraw/zinc/44/01/73/1074440173.db2.gz IIXJOCWEEOUOCJ-UHFFFAOYSA-N 0 0 445.450 -0.067 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cc(S(C)(=O)=O)ccc2Cl)c(=O)n(C)c1=O ZINC000011314919 1074440277 /nfs/dbraw/zinc/44/02/77/1074440277.db2.gz WGJQUJMRZWJVHE-UHFFFAOYSA-N 0 0 429.838 -0.237 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccccc1 ZINC000011319912 1074440292 /nfs/dbraw/zinc/44/02/92/1074440292.db2.gz SPYKZGFXLGEILE-CABCVRRESA-N 0 0 425.463 -0.190 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1cccc(S(=O)(=O)N3CCOCC3)c1)c2=O ZINC000011327642 1073204703 /nfs/dbraw/zinc/20/47/03/1073204703.db2.gz DSOIYUXASPTSKR-UHFFFAOYSA-N 0 0 432.462 -0.210 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)OCC(=O)N1CCNC1=O ZINC000011333642 1074440210 /nfs/dbraw/zinc/44/02/10/1074440210.db2.gz VALDQRUVPACRRI-UHFFFAOYSA-N 0 0 425.463 -0.265 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000011333808 1074440247 /nfs/dbraw/zinc/44/02/47/1074440247.db2.gz IOEMHZUNRDRQJB-UHFFFAOYSA-N 0 0 428.463 -0.528 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC000011337909 1073080833 /nfs/dbraw/zinc/08/08/33/1073080833.db2.gz FMFQOEPYUUQRNM-LBPRGKRZSA-N 0 0 445.295 -0.120 20 0 IBADRN CC(=O)N[C@H](CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccccc1 ZINC000011343004 1074440276 /nfs/dbraw/zinc/44/02/76/1074440276.db2.gz SPYKZGFXLGEILE-HUUCEWRRSA-N 0 0 425.463 -0.190 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccccc1 ZINC000011343005 1074440189 /nfs/dbraw/zinc/44/01/89/1074440189.db2.gz SPYKZGFXLGEILE-GJZGRUSLSA-N 0 0 425.463 -0.190 20 0 IBADRN CC(=O)N[C@H](CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccccc1 ZINC000011343006 1074440229 /nfs/dbraw/zinc/44/02/29/1074440229.db2.gz SPYKZGFXLGEILE-LSDHHAIUSA-N 0 0 425.463 -0.190 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)C3=NN(C)C(=O)CC3)C2=O)cc1 ZINC000011352105 1074440927 /nfs/dbraw/zinc/44/09/27/1074440927.db2.gz GIXHPKGMYFELSN-IBGZPJMESA-N 0 0 431.405 -0.355 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)C3=NN(C)C(=O)CC3)C2=O)cc1 ZINC000011352107 1074440909 /nfs/dbraw/zinc/44/09/09/1074440909.db2.gz GIXHPKGMYFELSN-LJQANCHMSA-N 0 0 431.405 -0.355 20 0 IBADRN COc1ccc(CC(=O)OCC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000011353763 1074441032 /nfs/dbraw/zinc/44/10/32/1074441032.db2.gz VICJUEYRRHTEDF-UHFFFAOYSA-N 0 0 442.490 -0.339 20 0 IBADRN CNC(=O)NC(=O)COC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000011353970 1074440845 /nfs/dbraw/zinc/44/08/45/1074440845.db2.gz GOHBHZAGTPNCHM-UHFFFAOYSA-N 0 0 429.451 -0.743 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000011353983 1074441011 /nfs/dbraw/zinc/44/10/11/1074441011.db2.gz RYHUIWREMGVRPP-UHFFFAOYSA-N 0 0 443.478 -0.353 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000011353998 1074440955 /nfs/dbraw/zinc/44/09/55/1074440955.db2.gz DXONJEUPLMQQLD-LBPRGKRZSA-N 0 0 443.478 -0.354 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000011354000 1074440946 /nfs/dbraw/zinc/44/09/46/1074440946.db2.gz DXONJEUPLMQQLD-GFCCVEGCSA-N 0 0 443.478 -0.354 20 0 IBADRN COC(=O)CNC(=O)COC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000011354057 1074440975 /nfs/dbraw/zinc/44/09/75/1074440975.db2.gz DDLQQTUZBDWEBW-UHFFFAOYSA-N 0 0 444.462 -0.909 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000011354067 1074441040 /nfs/dbraw/zinc/44/10/40/1074441040.db2.gz ASKKYYAZTBALES-AWEZNQCLSA-N 0 0 444.506 -0.047 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000011354068 1074440981 /nfs/dbraw/zinc/44/09/81/1074440981.db2.gz ASKKYYAZTBALES-CQSZACIVSA-N 0 0 444.506 -0.047 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cccc(NS(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000011360491 1073039701 /nfs/dbraw/zinc/03/97/01/1073039701.db2.gz QCTVTZNEIIZTAL-UHFFFAOYSA-N 0 0 434.478 -0.488 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H]3CCCN(C(N)=O)C3)C2=O)cc1 ZINC000011381157 1074440988 /nfs/dbraw/zinc/44/09/88/1074440988.db2.gz IKPBBBIQVUUBEI-ODXCJYRJSA-N 0 0 447.448 -0.173 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H]3CCCN(C(N)=O)C3)C2=O)cc1 ZINC000011381180 1074441037 /nfs/dbraw/zinc/44/10/37/1074441037.db2.gz IKPBBBIQVUUBEI-MPBGBICISA-N 0 0 447.448 -0.173 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H]3CCCN(C(N)=O)C3)C2=O)cc1 ZINC000011381220 1074440999 /nfs/dbraw/zinc/44/09/99/1074440999.db2.gz IKPBBBIQVUUBEI-YUNKPMOVSA-N 0 0 447.448 -0.173 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H]3CCCN(C(N)=O)C3)C2=O)cc1 ZINC000011381245 1074441044 /nfs/dbraw/zinc/44/10/44/1074441044.db2.gz IKPBBBIQVUUBEI-FKIZINRSSA-N 0 0 447.448 -0.173 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)OCc2cc(=O)n(C)c(=O)n2C)cc1 ZINC000011388389 1074440871 /nfs/dbraw/zinc/44/08/71/1074440871.db2.gz BLGHJOGYBFWFMW-UHFFFAOYSA-N 0 0 425.463 -0.106 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)OCc2cc(=O)n(C)c(=O)n2C)c1 ZINC000011394530 1074441021 /nfs/dbraw/zinc/44/10/21/1074441021.db2.gz OEHULKQPULZDMO-UHFFFAOYSA-N 0 0 425.463 -0.326 20 0 IBADRN COC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000011396511 1074440964 /nfs/dbraw/zinc/44/09/64/1074440964.db2.gz PEMXHRGEBBOQBN-UHFFFAOYSA-N 0 0 428.463 -0.126 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CCCN2S(C)(=O)=O)CC1 ZINC000011397689 1074440893 /nfs/dbraw/zinc/44/08/93/1074440893.db2.gz JQZTVVDBMUEBAR-AWEZNQCLSA-N 0 0 432.543 -0.988 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000011399938 1074440935 /nfs/dbraw/zinc/44/09/35/1074440935.db2.gz STQJNRFWKOHADR-GXTWGEPZSA-N 0 0 441.462 -0.143 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000011399940 1074441006 /nfs/dbraw/zinc/44/10/06/1074441006.db2.gz STQJNRFWKOHADR-JSGCOSHPSA-N 0 0 441.462 -0.143 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000011412248 1074441299 /nfs/dbraw/zinc/44/12/99/1074441299.db2.gz YNBVVJVCLDBPNU-NEPJUHHUSA-N 0 0 433.483 -0.058 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000011412258 1074441470 /nfs/dbraw/zinc/44/14/70/1074441470.db2.gz YNBVVJVCLDBPNU-RYUDHWBXSA-N 0 0 433.483 -0.058 20 0 IBADRN C[C@H](NC(=O)c1ccccc1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000011420881 1074441505 /nfs/dbraw/zinc/44/15/05/1074441505.db2.gz OKODDSABQWAYJT-DOTOQJQBSA-N 0 0 437.518 -0.321 20 0 IBADRN C[C@H](NC(=O)c1ccccc1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000011420882 1074441427 /nfs/dbraw/zinc/44/14/27/1074441427.db2.gz OKODDSABQWAYJT-RDJZCZTQSA-N 0 0 437.518 -0.321 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)OCc2cc(=O)n(C)c(=O)n2C)cc1 ZINC000011424019 1074441520 /nfs/dbraw/zinc/44/15/20/1074441520.db2.gz XCLCACRRCWRONY-UHFFFAOYSA-N 0 0 438.462 -0.546 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)OCc2cc(=O)n(C)c(=O)n2C)cc1 ZINC000011425070 1074441413 /nfs/dbraw/zinc/44/14/13/1074441413.db2.gz XNNYTVOHUAWNGS-UHFFFAOYSA-N 0 0 438.462 -0.594 20 0 IBADRN COc1ccc(C(=O)NCC(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)cc1 ZINC000011429292 1074441391 /nfs/dbraw/zinc/44/13/91/1074441391.db2.gz LLOGAIILSBSWPO-UHFFFAOYSA-N 0 0 446.460 -0.050 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)OCC(=O)N1CCNC(=O)C1 ZINC000011436100 1074441548 /nfs/dbraw/zinc/44/15/48/1074441548.db2.gz PMQIYJPSZDLWRI-UHFFFAOYSA-N 0 0 439.490 -0.858 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000011437149 1074441495 /nfs/dbraw/zinc/44/14/95/1074441495.db2.gz YIFAJEXLGUKXBG-UHFFFAOYSA-N 0 0 425.463 -0.869 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)N2CCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000011437414 1074441281 /nfs/dbraw/zinc/44/12/81/1074441281.db2.gz LBYPNOXSINFXSG-UHFFFAOYSA-N 0 0 425.463 -0.869 20 0 IBADRN COCCN(C(=O)COC(=O)C1=COCCO1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000011444920 1074441476 /nfs/dbraw/zinc/44/14/76/1074441476.db2.gz TYPUHKPHSFIWLN-UHFFFAOYSA-N 0 0 426.426 -0.814 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC(NS(C)(=O)=O)CC2)cc1 ZINC000011449289 1073106711 /nfs/dbraw/zinc/10/67/11/1073106711.db2.gz KPJFEPRQUONUAT-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCO[C@H](C)C2)c(=O)[nH]c1=O ZINC000011454770 1073080583 /nfs/dbraw/zinc/08/05/83/1073080583.db2.gz QQNVHSUQANKZEC-CYBMUJFWSA-N 0 0 425.486 -0.464 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCO[C@@H](C)C2)c(=O)[nH]c1=O ZINC000011454771 1073080403 /nfs/dbraw/zinc/08/04/03/1073080403.db2.gz QQNVHSUQANKZEC-ZDUSSCGKSA-N 0 0 425.486 -0.464 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCO[C@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000011454776 1073080500 /nfs/dbraw/zinc/08/05/00/1073080500.db2.gz JAAXLJKQWWKUKV-CQSZACIVSA-N 0 0 439.513 -0.218 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCO[C@@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000011454777 1073080513 /nfs/dbraw/zinc/08/05/13/1073080513.db2.gz JAAXLJKQWWKUKV-AWEZNQCLSA-N 0 0 439.513 -0.218 20 0 IBADRN Cn1c(COC(=O)c2cc(S(N)(=O)=O)ccc2Br)cc(=O)n(C)c1=O ZINC000011460700 1074441313 /nfs/dbraw/zinc/44/13/13/1074441313.db2.gz IAOGPNAVNAMKKC-UHFFFAOYSA-N 0 0 432.252 -0.149 20 0 IBADRN CCn1cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c(=O)c2ccc(C)nc21 ZINC000011474390 1074441345 /nfs/dbraw/zinc/44/13/45/1074441345.db2.gz NTTOJHYRZVQQQH-UHFFFAOYSA-N 0 0 427.417 -0.256 20 0 IBADRN Cn1c(COC(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)cc(=O)n(C)c1=O ZINC000011474830 1074441535 /nfs/dbraw/zinc/44/15/35/1074441535.db2.gz METFGZAWWPJYDQ-HNNXBMFYSA-N 0 0 437.474 -0.102 20 0 IBADRN Cn1c(COC(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)cc(=O)n(C)c1=O ZINC000011474841 1074441328 /nfs/dbraw/zinc/44/13/28/1074441328.db2.gz METFGZAWWPJYDQ-OAHLLOKOSA-N 0 0 437.474 -0.102 20 0 IBADRN CC(C)CN(C(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000011482727 1074441402 /nfs/dbraw/zinc/44/14/02/1074441402.db2.gz YMQJURJPIXHKNX-CYBMUJFWSA-N 0 0 439.494 -0.655 20 0 IBADRN CC(C)CN(C(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000011482728 1074441358 /nfs/dbraw/zinc/44/13/58/1074441358.db2.gz YMQJURJPIXHKNX-ZDUSSCGKSA-N 0 0 439.494 -0.655 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O ZINC000011482926 1074442150 /nfs/dbraw/zinc/44/21/50/1074442150.db2.gz ATRUJYUDGYWUFR-UHFFFAOYSA-N 0 0 446.489 -0.100 20 0 IBADRN CCCCN(C(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000011483230 1074442042 /nfs/dbraw/zinc/44/20/42/1074442042.db2.gz WWIKRCOXIILKGU-CYBMUJFWSA-N 0 0 439.494 -0.511 20 0 IBADRN CCCCN(C(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000011483231 1074442106 /nfs/dbraw/zinc/44/21/06/1074442106.db2.gz WWIKRCOXIILKGU-ZDUSSCGKSA-N 0 0 439.494 -0.511 20 0 IBADRN O=C(COC(=O)C1CCN(S(=O)(=O)c2ccccc2F)CC1)N1CCNC(=O)C1 ZINC000011499213 1074442126 /nfs/dbraw/zinc/44/21/26/1074442126.db2.gz AJASUPPRIYZFNG-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)NC(=O)NCC(F)(F)F ZINC000011505233 1074442134 /nfs/dbraw/zinc/44/21/34/1074442134.db2.gz GUGMAVUKFAPPGY-UHFFFAOYSA-N 0 0 442.350 -0.010 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000011508427 1074442124 /nfs/dbraw/zinc/44/21/24/1074442124.db2.gz NYKIAXAPTJGATM-BETUJISGSA-N 0 0 428.463 -0.070 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000011508435 1074442075 /nfs/dbraw/zinc/44/20/75/1074442075.db2.gz NYKIAXAPTJGATM-STQMWFEESA-N 0 0 428.463 -0.070 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000011508444 1074442072 /nfs/dbraw/zinc/44/20/72/1074442072.db2.gz NYKIAXAPTJGATM-CHWSQXEVSA-N 0 0 428.463 -0.070 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000011508777 1073080527 /nfs/dbraw/zinc/08/05/27/1073080527.db2.gz TYPJMWNKIHKGRU-UHFFFAOYSA-N 0 0 448.520 -0.417 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000011508902 1073206543 /nfs/dbraw/zinc/20/65/43/1073206543.db2.gz YRPVYTUFCKLJAZ-UHFFFAOYSA-N 0 0 438.506 -0.134 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000011509078 1073206630 /nfs/dbraw/zinc/20/66/30/1073206630.db2.gz WJLRUCLEFFMVMP-UHFFFAOYSA-N 0 0 432.477 -0.561 20 0 IBADRN COc1ccc2c(c1)CCCN2C(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000011509117 1073043480 /nfs/dbraw/zinc/04/34/80/1073043480.db2.gz STYYHZQVWHQYOJ-UHFFFAOYSA-N 0 0 430.505 -0.023 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)OCC(=O)N3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000011509299 1074442119 /nfs/dbraw/zinc/44/21/19/1074442119.db2.gz OIVNQBRFPOPCQS-OKILXGFUSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)OCC(=O)N3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000011509314 1074442051 /nfs/dbraw/zinc/44/20/51/1074442051.db2.gz OIVNQBRFPOPCQS-KBPBESRZSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)OCC(=O)N3CCNC(=O)C3)cc2)C[C@@H](C)O1 ZINC000011509326 1074442059 /nfs/dbraw/zinc/44/20/59/1074442059.db2.gz OIVNQBRFPOPCQS-ZIAGYGMSSA-N 0 0 439.490 -0.400 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)OCc2cc(=O)n(C)c(=O)n2C)cc1OC ZINC000011519090 1074442080 /nfs/dbraw/zinc/44/20/80/1074442080.db2.gz VVKYNONDGSZHHE-UHFFFAOYSA-N 0 0 441.462 -0.487 20 0 IBADRN CCCCN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000011552829 1073080911 /nfs/dbraw/zinc/08/09/11/1073080911.db2.gz JGIAMEZAMOUBGE-UHFFFAOYSA-N 0 0 449.512 -0.756 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)Cn2cnc3c(cnn3C)c2=O)c1 ZINC000011556668 1074442542 /nfs/dbraw/zinc/44/25/42/1074442542.db2.gz QSIAXKKEMTVJTE-UHFFFAOYSA-N 0 0 448.461 -0.438 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)Nc1sc3c(c1C(N)=O)CCC3)c2=O ZINC000011558328 1074442632 /nfs/dbraw/zinc/44/26/32/1074442632.db2.gz CDXFHMLPQPDUDC-UHFFFAOYSA-N 0 0 430.446 -0.039 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)NC(=O)Nc1ccc3c(c1)OCCO3)c2=O ZINC000011559833 1074442804 /nfs/dbraw/zinc/44/28/04/1074442804.db2.gz MRZYRHVXBWJRQG-UHFFFAOYSA-N 0 0 442.388 -0.207 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)Cn1cnc3c(cnn3C)c1=O)C2=O ZINC000011560509 1074442586 /nfs/dbraw/zinc/44/25/86/1074442586.db2.gz LEYJXORNKHYOET-UHFFFAOYSA-N 0 0 445.436 -0.795 20 0 IBADRN COCCN(CC(=O)N1CCC(C(=O)OC)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000011562846 1073080942 /nfs/dbraw/zinc/08/09/42/1073080942.db2.gz RURQTYMEMYHTFC-UHFFFAOYSA-N 0 0 439.513 -0.361 20 0 IBADRN COCCN(CC(=O)NC(=O)NCc1ccco1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000011562962 1073080997 /nfs/dbraw/zinc/08/09/97/1073080997.db2.gz DSKQQJFYBUOSSM-UHFFFAOYSA-N 0 0 436.469 -0.153 20 0 IBADRN COCCN(CC(=O)NC(=O)NCC(F)(F)F)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000011564927 1073080893 /nfs/dbraw/zinc/08/08/93/1073080893.db2.gz VYQRSJKNRMEXNA-UHFFFAOYSA-N 0 0 438.407 -0.384 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000011594806 1074442786 /nfs/dbraw/zinc/44/27/86/1074442786.db2.gz JOMUMVYVOSPEOV-NSHDSACASA-N 0 0 433.508 -0.124 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000011594810 1074442725 /nfs/dbraw/zinc/44/27/25/1074442725.db2.gz JOMUMVYVOSPEOV-LLVKDONJSA-N 0 0 433.508 -0.124 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCCC(=O)OCC(=O)N2CCNC(=O)C2)cc1 ZINC000011605341 1074442703 /nfs/dbraw/zinc/44/27/03/1074442703.db2.gz GQGSNZKLSQHVJA-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCNC(=O)C2)ccc1OC ZINC000011623125 1074442663 /nfs/dbraw/zinc/44/26/63/1074442663.db2.gz SNLXGXICZLKCOB-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN NC(=O)NC(=O)COC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000011625228 1074442684 /nfs/dbraw/zinc/44/26/84/1074442684.db2.gz CKIBMQDQPZQIKS-UHFFFAOYSA-N 0 0 426.451 -0.962 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC2)c(C(=O)OCC(=O)N2CCNC(=O)C2)c1 ZINC000011658705 1074442773 /nfs/dbraw/zinc/44/27/73/1074442773.db2.gz UDFVRHVUIDAQBQ-UHFFFAOYSA-N 0 0 438.506 -0.348 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000011670807 1074442801 /nfs/dbraw/zinc/44/28/01/1074442801.db2.gz NAZGUZZHYTZNDA-AWEZNQCLSA-N 0 0 447.583 -0.135 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000011670812 1074442741 /nfs/dbraw/zinc/44/27/41/1074442741.db2.gz NAZGUZZHYTZNDA-CQSZACIVSA-N 0 0 447.583 -0.135 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CC1 ZINC000011673472 1074442650 /nfs/dbraw/zinc/44/26/50/1074442650.db2.gz IQGSLUURWGNEGQ-UHFFFAOYSA-N 0 0 444.558 -0.838 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1cc(S(=O)(=O)N3CCOCC3)ccc1O)c2=O ZINC000011674153 1073047030 /nfs/dbraw/zinc/04/70/30/1073047030.db2.gz UIIHLBOLAXOKSF-UHFFFAOYSA-N 0 0 448.461 -0.505 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)OCC(=O)NC(N)=O)CC2)cc1 ZINC000011674634 1074442561 /nfs/dbraw/zinc/44/25/61/1074442561.db2.gz VJWALVPRMMJEGC-UHFFFAOYSA-N 0 0 440.478 -0.654 20 0 IBADRN CNC(=O)NC(=O)COC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000011675761 1074443338 /nfs/dbraw/zinc/44/33/38/1074443338.db2.gz IGSWHTBSYCRKLP-UHFFFAOYSA-N 0 0 440.478 -0.702 20 0 IBADRN C[C@H](OC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(=O)NC(N)=O ZINC000011675970 1074443350 /nfs/dbraw/zinc/44/33/50/1074443350.db2.gz MGOGANJQDWRNEQ-ZDUSSCGKSA-N 0 0 440.478 -0.574 20 0 IBADRN C[C@@H](OC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(=O)NC(N)=O ZINC000011675978 1074443192 /nfs/dbraw/zinc/44/31/92/1074443192.db2.gz MGOGANJQDWRNEQ-CYBMUJFWSA-N 0 0 440.478 -0.574 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000011705129 1071132220 /nfs/dbraw/zinc/13/22/20/1071132220.db2.gz YIIBYNSPVYOGFV-AWEZNQCLSA-N 0 0 439.538 -0.456 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000011705246 1073208980 /nfs/dbraw/zinc/20/89/80/1073208980.db2.gz ANOCDFSKOOXHDL-UHFFFAOYSA-N 0 0 441.554 -0.075 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000011720144 1073107316 /nfs/dbraw/zinc/10/73/16/1073107316.db2.gz HOARFRPAFFGKEU-LBPRGKRZSA-N 0 0 432.524 -0.584 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000011720240 1073107130 /nfs/dbraw/zinc/10/71/30/1073107130.db2.gz AHWNJGREIADOGI-UHFFFAOYSA-N 0 0 434.540 -0.204 20 0 IBADRN Cc1nn(C)c(C)c1CCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000011723475 1074443231 /nfs/dbraw/zinc/44/32/31/1074443231.db2.gz HULOZHMNNCBGBZ-MRXNPFEDSA-N 0 0 426.539 -0.156 20 0 IBADRN Cc1nn(C)c(C)c1CCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000011723479 1074443182 /nfs/dbraw/zinc/44/31/82/1074443182.db2.gz HULOZHMNNCBGBZ-INIZCTEOSA-N 0 0 426.539 -0.156 20 0 IBADRN Cn1cc(/C=C/C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c(=O)n(C)c1=O ZINC000011767964 1074443293 /nfs/dbraw/zinc/44/32/93/1074443293.db2.gz YVCCWLULGNUOLD-VOTSOKGWSA-N 0 0 434.474 -0.243 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)Cn3c(=O)[nH]c(=O)c4ccccc43)CC2)no1 ZINC000011972566 1073123276 /nfs/dbraw/zinc/12/32/76/1073123276.db2.gz KRWSLZJLNNFNMR-UHFFFAOYSA-N 0 0 426.433 -0.231 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O ZINC000012057076 1074445280 /nfs/dbraw/zinc/44/52/80/1074445280.db2.gz LYRKBTDXTMTGCE-UHFFFAOYSA-N 0 0 442.432 -0.255 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)Cn2cnc3c(cnn3C)c2=O)cc1S(N)(=O)=O ZINC000012057346 1074445270 /nfs/dbraw/zinc/44/52/70/1074445270.db2.gz DYOKOTISJPTAEK-UHFFFAOYSA-N 0 0 434.434 -0.732 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)N1CCN(C(=O)c3cccs3)CC1)c2=O ZINC000012057371 1074445371 /nfs/dbraw/zinc/44/53/71/1074445371.db2.gz BWWXHZWWKBEHPU-UHFFFAOYSA-N 0 0 444.473 -0.281 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O ZINC000012057947 1074445192 /nfs/dbraw/zinc/44/51/92/1074445192.db2.gz UXXMGCFACPVEHY-UHFFFAOYSA-N 0 0 442.432 -0.410 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)N(CCC(N)=O)c1ccc(F)cc1)c2=O ZINC000012057953 1074445261 /nfs/dbraw/zinc/44/52/61/1074445261.db2.gz NVRGGJJKJOVBSC-UHFFFAOYSA-N 0 0 430.396 -0.279 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)N(CCC(N)=O)Cc1ccccc1)c2=O ZINC000012058125 1074445392 /nfs/dbraw/zinc/44/53/92/1074445392.db2.gz NLFTZCYRIDOMKQ-UHFFFAOYSA-N 0 0 426.433 -0.423 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000012096130 1073208931 /nfs/dbraw/zinc/20/89/31/1073208931.db2.gz ZORQHZOIVMTLGJ-UHFFFAOYSA-N 0 0 429.481 -0.122 20 0 IBADRN O=C(COC(=O)c1cccc(-n2cnnn2)c1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000012096267 1074445338 /nfs/dbraw/zinc/44/53/38/1074445338.db2.gz MUFNOHPDOJDOJC-MRXNPFEDSA-N 0 0 434.478 -0.850 20 0 IBADRN O=C(COC(=O)c1cccc(-n2cnnn2)c1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000012096269 1074445361 /nfs/dbraw/zinc/44/53/61/1074445361.db2.gz MUFNOHPDOJDOJC-INIZCTEOSA-N 0 0 434.478 -0.850 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)c2c(=O)n(C)c1=O ZINC000012109263 1073066752 /nfs/dbraw/zinc/06/67/52/1073066752.db2.gz AUHPOUJLVDKFJE-UHFFFAOYSA-N 0 0 432.462 -0.487 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(S(=O)(=O)NC3CC3)cc1)c(=O)n2C ZINC000012110020 1073066700 /nfs/dbraw/zinc/06/67/00/1073066700.db2.gz TZKVWXJWFUPTHY-UHFFFAOYSA-N 0 0 432.462 -0.487 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)c2c(=O)n(C)c1=O ZINC000012110043 1073066660 /nfs/dbraw/zinc/06/66/60/1073066660.db2.gz KZUPKLUWEPXXHJ-UHFFFAOYSA-N 0 0 446.489 -0.097 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)OCC(=O)N1CCNC1=O ZINC000012177200 1074445696 /nfs/dbraw/zinc/44/56/96/1074445696.db2.gz OAMBGDWQBMRLRT-UHFFFAOYSA-N 0 0 425.341 -0.042 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000012284342 1073212581 /nfs/dbraw/zinc/21/25/81/1073212581.db2.gz SYTOJGAJHJGTKK-DZGCQCFKSA-N 0 0 448.567 -0.368 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000012284343 1073212653 /nfs/dbraw/zinc/21/26/53/1073212653.db2.gz SYTOJGAJHJGTKK-UKRRQHHQSA-N 0 0 448.567 -0.368 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000012284344 1073212573 /nfs/dbraw/zinc/21/25/73/1073212573.db2.gz SYTOJGAJHJGTKK-ZFWWWQNUSA-N 0 0 448.567 -0.368 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000012284345 1073212600 /nfs/dbraw/zinc/21/26/00/1073212600.db2.gz SYTOJGAJHJGTKK-HIFRSBDPSA-N 0 0 448.567 -0.368 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000012284427 1073131683 /nfs/dbraw/zinc/13/16/83/1073131683.db2.gz VOWNFVJGLZTECG-UHFFFAOYSA-N 0 0 429.543 -0.029 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(S(=O)(=O)c3cc(C(N)=O)n(C)c3)CC2)C1 ZINC000012329410 1073134864 /nfs/dbraw/zinc/13/48/64/1073134864.db2.gz JFTCPFIRIANKTB-GASCZTMLSA-N 0 0 425.555 -0.065 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(S(=O)(=O)c3cc(C(N)=O)n(C)c3)CC2)C1 ZINC000012329417 1073134806 /nfs/dbraw/zinc/13/48/06/1073134806.db2.gz JFTCPFIRIANKTB-GJZGRUSLSA-N 0 0 425.555 -0.065 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(S(=O)(=O)c3cc(C(N)=O)n(C)c3)CC2)C1 ZINC000012329423 1073134787 /nfs/dbraw/zinc/13/47/87/1073134787.db2.gz JFTCPFIRIANKTB-HUUCEWRRSA-N 0 0 425.555 -0.065 20 0 IBADRN CC(=O)N[C@@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)C(C)C ZINC000012349710 1074446319 /nfs/dbraw/zinc/44/63/19/1074446319.db2.gz PIKZZOZOARAPCF-MRXNPFEDSA-N 0 0 444.510 -0.172 20 0 IBADRN CC(=O)N[C@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)C(C)C ZINC000012349713 1074446363 /nfs/dbraw/zinc/44/63/63/1074446363.db2.gz PIKZZOZOARAPCF-INIZCTEOSA-N 0 0 444.510 -0.172 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCOCC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000012460437 1071625300 /nfs/dbraw/zinc/62/53/00/1071625300.db2.gz JLMUYUXECVRCKW-UHFFFAOYSA-N 0 0 425.486 -0.607 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCc2nc(N)nc(N(C)C)n2)c1 ZINC000012481274 1074446913 /nfs/dbraw/zinc/44/69/13/1074446913.db2.gz WHXBZFKJFDJPLS-UHFFFAOYSA-N 0 0 439.498 -0.545 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)CC(=O)N2C ZINC000012487132 1073385515 /nfs/dbraw/zinc/38/55/15/1073385515.db2.gz MZQNIFUWJFOXCT-CYBMUJFWSA-N 0 0 429.520 -0.481 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)CC(=O)N2C ZINC000012487142 1073080459 /nfs/dbraw/zinc/08/04/59/1073080459.db2.gz MZQNIFUWJFOXCT-ZDUSSCGKSA-N 0 0 429.520 -0.481 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000012598335 1074446943 /nfs/dbraw/zinc/44/69/43/1074446943.db2.gz LXSPHWUIOPRQHI-UHFFFAOYSA-N 0 0 447.535 -0.090 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)c2sccc2S(=O)(=O)N2CCOCC2)n1 ZINC000012598563 1074447051 /nfs/dbraw/zinc/44/70/51/1074447051.db2.gz LGIOABQIUAHVAN-UHFFFAOYSA-N 0 0 428.496 -0.041 20 0 IBADRN O=C(CCCn1c(=O)oc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000012611186 1073080789 /nfs/dbraw/zinc/08/07/89/1073080789.db2.gz UYXLUMPTBDUUAS-UHFFFAOYSA-N 0 0 430.461 -0.096 20 0 IBADRN O=C(CCN1C(=O)c2ccccc2C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000012611202 1073146561 /nfs/dbraw/zinc/14/65/61/1073146561.db2.gz OQJPOFZXFUKTPA-UHFFFAOYSA-N 0 0 428.445 -0.798 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000012611263 1073146579 /nfs/dbraw/zinc/14/65/79/1073146579.db2.gz QUIVRIFZJHNUKH-UHFFFAOYSA-N 0 0 438.506 -0.920 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cnc12 ZINC000012611310 1073080987 /nfs/dbraw/zinc/08/09/87/1073080987.db2.gz WCKGFNVAHYGBTA-UHFFFAOYSA-N 0 0 427.461 -0.765 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000012611319 1073080976 /nfs/dbraw/zinc/08/09/76/1073080976.db2.gz GEQISZFRZIBAJK-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)N2CCOCC2)o1 ZINC000012623096 1073080954 /nfs/dbraw/zinc/08/09/54/1073080954.db2.gz LMGRFMXFUWGALP-OAHLLOKOSA-N 0 0 444.492 -0.085 20 0 IBADRN Cc1ccc([C@H](CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)N2CCOCC2)o1 ZINC000012623098 1073081002 /nfs/dbraw/zinc/08/10/02/1073081002.db2.gz LMGRFMXFUWGALP-HNNXBMFYSA-N 0 0 444.492 -0.085 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000012677803 1073080970 /nfs/dbraw/zinc/08/09/70/1073080970.db2.gz CDIHLBNKBOAPLO-UHFFFAOYSA-N 0 0 434.478 -0.287 20 0 IBADRN Cn1c2ncn(CC(=O)NNC(=O)CCSc3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000012680434 1071320977 /nfs/dbraw/zinc/32/09/77/1071320977.db2.gz GBXPNVFDNOWSAV-UHFFFAOYSA-N 0 0 434.453 -0.097 20 0 IBADRN Cc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1S(=O)(=O)N(C)C ZINC000012680596 1073147928 /nfs/dbraw/zinc/14/79/28/1073147928.db2.gz DETPHCODLUVPSL-UHFFFAOYSA-N 0 0 434.478 -0.369 20 0 IBADRN Cn1c2ncn(CC(=O)NNC(=O)c3cncn3-c3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000012685387 1072654745 /nfs/dbraw/zinc/65/47/45/1072654745.db2.gz YDGFPVABUFCCBF-UHFFFAOYSA-N 0 0 440.395 -0.780 20 0 IBADRN NC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000012690774 1074447435 /nfs/dbraw/zinc/44/74/35/1074447435.db2.gz OHXIZGSXRKKPRC-OAHLLOKOSA-N 0 0 438.506 -0.147 20 0 IBADRN NC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000012690781 1074447425 /nfs/dbraw/zinc/44/74/25/1074447425.db2.gz OHXIZGSXRKKPRC-HNNXBMFYSA-N 0 0 438.506 -0.147 20 0 IBADRN CCOc1ccc(C(=O)NNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1OC ZINC000012692151 1071349291 /nfs/dbraw/zinc/34/92/91/1071349291.db2.gz JHGCXXRDJFHYAA-UHFFFAOYSA-N 0 0 430.421 -0.698 20 0 IBADRN CCOc1ccc(C(=O)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000012692651 1071350027 /nfs/dbraw/zinc/35/00/27/1071350027.db2.gz SJGPZGOZWMQRCQ-UHFFFAOYSA-N 0 0 430.421 -0.698 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)c2c(=O)n(C)c1=O ZINC000012694244 1073148050 /nfs/dbraw/zinc/14/80/50/1073148050.db2.gz NHROTBXDLNKYKW-UHFFFAOYSA-N 0 0 446.489 -0.097 20 0 IBADRN Cc1nc(N2CCOCC2)sc1C(=O)NNC(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC000012699348 1071354675 /nfs/dbraw/zinc/35/46/75/1071354675.db2.gz HWIFSVUTJFBJRA-UHFFFAOYSA-N 0 0 436.494 -0.086 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)c1cccs1 ZINC000012703455 1074447595 /nfs/dbraw/zinc/44/75/95/1074447595.db2.gz DDNXRURUXPVCKB-NEPJUHHUSA-N 0 0 431.492 -0.129 20 0 IBADRN CC(=O)N[C@H](CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)c1cccs1 ZINC000012703459 1074447511 /nfs/dbraw/zinc/44/75/11/1074447511.db2.gz DDNXRURUXPVCKB-VXGBXAGGSA-N 0 0 431.492 -0.129 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)c1cccs1 ZINC000012703464 1074447536 /nfs/dbraw/zinc/44/75/36/1074447536.db2.gz DDNXRURUXPVCKB-RYUDHWBXSA-N 0 0 431.492 -0.129 20 0 IBADRN CC(=O)N[C@H](CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)c1cccs1 ZINC000012703469 1074447447 /nfs/dbraw/zinc/44/74/47/1074447447.db2.gz DDNXRURUXPVCKB-NWDGAFQWSA-N 0 0 431.492 -0.129 20 0 IBADRN C[C@H](OC(=O)CNC1=NS(=O)(=O)c2ccccc21)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000012723283 1074447440 /nfs/dbraw/zinc/44/74/40/1074447440.db2.gz XVEMDXKELBMFIS-NWDGAFQWSA-N 0 0 443.503 -0.698 20 0 IBADRN C[C@H](OC(=O)CNC1=NS(=O)(=O)c2ccccc21)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000012723285 1074447516 /nfs/dbraw/zinc/44/75/16/1074447516.db2.gz XVEMDXKELBMFIS-RYUDHWBXSA-N 0 0 443.503 -0.698 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NC2CC2)cc1 ZINC000012724346 1074447395 /nfs/dbraw/zinc/44/73/95/1074447395.db2.gz RIDJQNGZKOZUOA-JTQLQIEISA-N 0 0 426.451 -0.157 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NC2CC2)cc1 ZINC000012724347 1074447459 /nfs/dbraw/zinc/44/74/59/1074447459.db2.gz RIDJQNGZKOZUOA-SNVBAGLBSA-N 0 0 426.451 -0.157 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1)N1CCNC(=O)C1 ZINC000012802496 1073149852 /nfs/dbraw/zinc/14/98/52/1073149852.db2.gz PLUHNEJFNZUBRI-UHFFFAOYSA-N 0 0 445.339 -0.286 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cccc(C(F)(F)F)c2)CC1)N1CCNC(=O)C1 ZINC000012805410 1073150002 /nfs/dbraw/zinc/15/00/02/1073150002.db2.gz LEDUWDPVQVTRBM-UHFFFAOYSA-N 0 0 434.440 -0.030 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccccc2C(F)(F)F)CC1)N1CCNC(=O)C1 ZINC000012805653 1074447497 /nfs/dbraw/zinc/44/74/97/1074447497.db2.gz BRTYKALDTNOCDQ-UHFFFAOYSA-N 0 0 434.440 -0.030 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCNC(=O)C3)CC2)cc1 ZINC000012806879 1072523494 /nfs/dbraw/zinc/52/34/94/1072523494.db2.gz HPNYGBMUQJHPPG-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cccc(N3CCCS3(=O)=O)c2)c(=O)n(C)c1=O ZINC000012885405 1074448103 /nfs/dbraw/zinc/44/81/03/1074448103.db2.gz JFCLMINUZKBANO-UHFFFAOYSA-N 0 0 436.446 -0.754 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)OCc2nc(N)nc(N(C)C)n2)cc1 ZINC000012938635 1074448155 /nfs/dbraw/zinc/44/81/55/1074448155.db2.gz SESPTJPBYQMHEI-UHFFFAOYSA-N 0 0 437.482 -0.110 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)OCc2nc(N)nc(N(C)C)n2)cc1 ZINC000012939518 1074448125 /nfs/dbraw/zinc/44/81/25/1074448125.db2.gz QUUHKPNTFMVBPD-UHFFFAOYSA-N 0 0 437.482 -0.158 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000012955473 1072654705 /nfs/dbraw/zinc/65/47/05/1072654705.db2.gz TYKGQJORDSHBQH-LLVKDONJSA-N 0 0 432.476 -0.432 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000012955479 1072654792 /nfs/dbraw/zinc/65/47/92/1072654792.db2.gz TYKGQJORDSHBQH-NSHDSACASA-N 0 0 432.476 -0.432 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000012957109 1074448801 /nfs/dbraw/zinc/44/88/01/1074448801.db2.gz XUMQBPFUKWBEFR-UHFFFAOYSA-N 0 0 437.474 -0.398 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CCN2C(=O)CCC2=O)cc1S(=O)(=O)N(C)C ZINC000012958863 1074448691 /nfs/dbraw/zinc/44/86/91/1074448691.db2.gz ILTGMOJYYDCCER-UHFFFAOYSA-N 0 0 441.462 -0.034 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000013106207 1074448647 /nfs/dbraw/zinc/44/86/47/1074448647.db2.gz LYLCCKISJBTWOR-UHFFFAOYSA-N 0 0 427.479 -0.207 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCNC(=O)C2)ccc1Br ZINC000013106634 1074448766 /nfs/dbraw/zinc/44/87/66/1074448766.db2.gz LIGSAEXMJGFQFT-UHFFFAOYSA-N 0 0 448.295 -0.185 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000013157313 1074448810 /nfs/dbraw/zinc/44/88/10/1074448810.db2.gz DQSVEFUPUOCVDO-HUUCEWRRSA-N 0 0 437.474 -0.409 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000013157314 1074448817 /nfs/dbraw/zinc/44/88/17/1074448817.db2.gz DQSVEFUPUOCVDO-LSDHHAIUSA-N 0 0 437.474 -0.409 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000013157315 1074448760 /nfs/dbraw/zinc/44/87/60/1074448760.db2.gz DQSVEFUPUOCVDO-CABCVRRESA-N 0 0 437.474 -0.409 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000013157316 1074448700 /nfs/dbraw/zinc/44/87/00/1074448700.db2.gz DQSVEFUPUOCVDO-GJZGRUSLSA-N 0 0 437.474 -0.409 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(N4CCCS4(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000013294460 1072538020 /nfs/dbraw/zinc/53/80/20/1072538020.db2.gz FNLIMCKODBJBKZ-UHFFFAOYSA-N 0 0 432.462 -0.388 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(N3CCCS3(=O)=O)cc1)c(=O)n2C ZINC000013296130 1073164676 /nfs/dbraw/zinc/16/46/76/1073164676.db2.gz PMEYRPKVJINYLG-UHFFFAOYSA-N 0 0 432.462 -0.388 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)c3cnn(C)c3C)CC2)cnn1C ZINC000013297115 1074448748 /nfs/dbraw/zinc/44/87/48/1074448748.db2.gz BQBOGLMALRQSOD-UHFFFAOYSA-N 0 0 446.464 -0.545 20 0 IBADRN COCCN(C(=O)COC(=O)c1cnn(C)c1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000013297396 1074448730 /nfs/dbraw/zinc/44/87/30/1074448730.db2.gz WPUVHNMBDMVRDN-UHFFFAOYSA-N 0 0 436.469 -0.347 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=O)NCc2ccccc2)[C@H](O)[C@@H]1O ZINC000013780803 563006529 /nfs/dbraw/zinc/00/65/29/563006529.db2.gz PNPZPGXLWMXQMG-QCUYGVNKSA-N 0 0 441.448 -0.097 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=O)NCc2ccco2)[C@H](O)[C@@H]1O ZINC000013780811 563006570 /nfs/dbraw/zinc/00/65/70/563006570.db2.gz RMHGHWPCCLKLAE-PFHKOEEOSA-N 0 0 431.409 -0.504 20 0 IBADRN O=C(CN1CCN(c2cnn(-c3ccccc3)c(=O)c2Cl)CC1)N1CCNC(=O)C1 ZINC000014043316 1073205131 /nfs/dbraw/zinc/20/51/31/1073205131.db2.gz FKLOZHYKQOQWOJ-UHFFFAOYSA-N 0 0 430.896 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)cc1 ZINC000014044588 1073081168 /nfs/dbraw/zinc/08/11/68/1073081168.db2.gz LGLHVMCOLNTDFL-UHFFFAOYSA-N 0 0 446.489 -0.328 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000014073907 1073081163 /nfs/dbraw/zinc/08/11/63/1073081163.db2.gz DVYYPWFWMJNQEB-LBPRGKRZSA-N 0 0 445.295 -0.120 20 0 IBADRN CCCCN(C(=O)Cn1cnc2c(cnn2C)c1=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000014075288 1073236329 /nfs/dbraw/zinc/23/63/29/1073236329.db2.gz GSYXSNVEVADBRI-UHFFFAOYSA-N 0 0 430.469 -0.195 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)on1 ZINC000014080279 1071118863 /nfs/dbraw/zinc/11/88/63/1071118863.db2.gz GOVPRNDGQRPSMZ-UHFFFAOYSA-N 0 0 443.507 -0.425 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000014080285 1073080885 /nfs/dbraw/zinc/08/08/85/1073080885.db2.gz NZPHHKLYIMVDOL-KRWDZBQOSA-N 0 0 438.531 -0.133 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000014080286 1073081264 /nfs/dbraw/zinc/08/12/64/1073081264.db2.gz NZPHHKLYIMVDOL-QGZVFWFLSA-N 0 0 438.531 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1NC(C)=O ZINC000014101446 1073238024 /nfs/dbraw/zinc/23/80/24/1073238024.db2.gz PVBZIBHOWFJUCC-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc21 ZINC000014101457 1073237986 /nfs/dbraw/zinc/23/79/86/1073237986.db2.gz RPLZGWAZKLRPDS-UHFFFAOYSA-N 0 0 436.534 -0.239 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)Cn2c3ccccc3n(C)c2=O)c(=O)n(C)c1=O ZINC000014167656 1074451143 /nfs/dbraw/zinc/45/11/43/1074451143.db2.gz TYPTVNOJXLFUJA-UHFFFAOYSA-N 0 0 443.460 -0.135 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)C2CCN(S(C)(=O)=O)CC2)c(=O)n(C)c1=O ZINC000014172146 1074451159 /nfs/dbraw/zinc/45/11/59/1074451159.db2.gz CVHYDJWWSILWPE-UHFFFAOYSA-N 0 0 444.510 -0.817 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)C1CCN(S(C)(=O)=O)CC1)C2=O ZINC000014172186 1074451257 /nfs/dbraw/zinc/45/12/57/1074451257.db2.gz LDWHCCAGPSKVRZ-UHFFFAOYSA-N 0 0 444.510 -0.267 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)C2CCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000014172292 1074451110 /nfs/dbraw/zinc/45/11/10/1074451110.db2.gz QJOTUHSGBGWZJF-UHFFFAOYSA-N 0 0 433.508 -0.204 20 0 IBADRN CS(=O)(=O)N1CCN(Cn2nc(N3CCOCC3)n(C[C@@H]3CCCO3)c2=S)CC1 ZINC000014216951 1074451166 /nfs/dbraw/zinc/45/11/66/1074451166.db2.gz SBHZBRXGSVJFIN-HNNXBMFYSA-N 0 0 446.599 -0.036 20 0 IBADRN CS(=O)(=O)N1CCN(Cn2nc(N3CCOCC3)n(C[C@H]3CCCO3)c2=S)CC1 ZINC000014216953 1074451298 /nfs/dbraw/zinc/45/12/98/1074451298.db2.gz SBHZBRXGSVJFIN-OAHLLOKOSA-N 0 0 446.599 -0.036 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000014276573 1073081203 /nfs/dbraw/zinc/08/12/03/1073081203.db2.gz HVISKDGKFMLJAE-UHFFFAOYSA-N 0 0 437.507 -0.478 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(Cn2nc(C(=O)NC)c3ccccc3c2=O)CC1 ZINC000014305651 1074451277 /nfs/dbraw/zinc/45/12/77/1074451277.db2.gz KKPKTMUPNIXBES-UHFFFAOYSA-N 0 0 436.538 -0.082 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)Nc3ccon3)CC2)cc1 ZINC000014310272 1073244289 /nfs/dbraw/zinc/24/42/89/1073244289.db2.gz WCFKZPHMVWOCSH-UHFFFAOYSA-N 0 0 429.480 -0.733 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cnc12 ZINC000014321719 1073081311 /nfs/dbraw/zinc/08/13/11/1073081311.db2.gz XMVKFNIZMWGYAM-UHFFFAOYSA-N 0 0 441.488 -0.375 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000014321731 1073081296 /nfs/dbraw/zinc/08/12/96/1073081296.db2.gz DBQLKILCIURRQS-UHFFFAOYSA-N 0 0 447.883 -0.477 20 0 IBADRN CS(=O)(=O)c1ccc(N2CCN(C(=O)CN3CCOCC3)CC2)c(S(C)(=O)=O)c1 ZINC000014325381 1073244854 /nfs/dbraw/zinc/24/48/54/1073244854.db2.gz MDKAWVCOMIMADH-UHFFFAOYSA-N 0 0 445.563 -0.526 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000014329543 1070804927 /nfs/dbraw/zinc/80/49/27/1070804927.db2.gz UGTVGDLXLUARQQ-UHFFFAOYSA-N 0 0 442.461 -0.596 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1)N1CCCCCC1 ZINC000014329654 1073244752 /nfs/dbraw/zinc/24/47/52/1073244752.db2.gz KVCHKJMFRHZJCS-UHFFFAOYSA-N 0 0 449.533 -0.075 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1)NC1CCCCC1 ZINC000014329861 1073081254 /nfs/dbraw/zinc/08/12/54/1073081254.db2.gz HXZBMCFAKLMFIV-UHFFFAOYSA-N 0 0 449.533 -0.028 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)no1 ZINC000014330071 1073244848 /nfs/dbraw/zinc/24/48/48/1073244848.db2.gz QBTRJDAIDSSURH-UHFFFAOYSA-N 0 0 448.461 -0.542 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000014330161 1073081186 /nfs/dbraw/zinc/08/11/86/1073081186.db2.gz CWZJERBJSVMJPT-CYBMUJFWSA-N 0 0 449.533 -0.030 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000014330162 1073081272 /nfs/dbraw/zinc/08/12/72/1073081272.db2.gz CWZJERBJSVMJPT-ZDUSSCGKSA-N 0 0 449.533 -0.030 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000014330651 1073244614 /nfs/dbraw/zinc/24/46/14/1073244614.db2.gz YNVVTXALJURPDX-CQSZACIVSA-N 0 0 449.533 -0.076 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000014330652 1073244707 /nfs/dbraw/zinc/24/47/07/1073244707.db2.gz YNVVTXALJURPDX-AWEZNQCLSA-N 0 0 449.533 -0.076 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(S(=O)(=O)c3ccccc3F)CC1)c2=O ZINC000014345358 1072322737 /nfs/dbraw/zinc/32/27/37/1072322737.db2.gz SXBOYZQERRPTEK-UHFFFAOYSA-N 0 0 434.453 -0.198 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000014363726 1073081228 /nfs/dbraw/zinc/08/12/28/1073081228.db2.gz OLCOOXWYDXQGRL-UHFFFAOYSA-N 0 0 448.505 -0.610 20 0 IBADRN CN(Cc1nc2ccsc2c(=O)[nH]1)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000014372234 1073246835 /nfs/dbraw/zinc/24/68/35/1073246835.db2.gz OPKWECQLULOACQ-UHFFFAOYSA-N 0 0 429.462 -0.220 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C(=O)NC(C)(C)C1=O ZINC000014374098 1073081294 /nfs/dbraw/zinc/08/12/94/1073081294.db2.gz CYDKLJCRUHDXIN-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN Cc1nc(CN2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cs1 ZINC000014381097 1070807453 /nfs/dbraw/zinc/80/74/53/1070807453.db2.gz QFNJEXMNXAPNSF-UHFFFAOYSA-N 0 0 431.522 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)CN2C(=O)c3ccccc3C2=O)c1 ZINC000014382843 1071354606 /nfs/dbraw/zinc/35/46/06/1071354606.db2.gz NTFNTMQAAGDDHA-UHFFFAOYSA-N 0 0 430.442 -0.006 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000014392683 1072335507 /nfs/dbraw/zinc/33/55/07/1072335507.db2.gz OVDIDSSURHYADY-UHFFFAOYSA-N 0 0 434.478 -0.241 20 0 IBADRN NC(=O)CN1CCC(NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000014482485 1074451244 /nfs/dbraw/zinc/45/12/44/1074451244.db2.gz NEDVFMFDDUJTEZ-UHFFFAOYSA-N 0 0 438.550 -0.294 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(S(=O)(=O)Cc3ccccc3)CC1)c2=O ZINC000014482697 1070808912 /nfs/dbraw/zinc/80/89/12/1070808912.db2.gz YODYMZSYLDUANA-UHFFFAOYSA-N 0 0 430.490 -0.196 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)N4CCCCC4)CC3)c2c(=O)n(C)c1=O ZINC000014491120 1073249457 /nfs/dbraw/zinc/24/94/57/1073249457.db2.gz VKVMQXALYKBNBM-UHFFFAOYSA-N 0 0 431.497 -0.426 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)c2ccc(=O)n(C)n2)c(=O)[nH]c1=O ZINC000014506400 1072374067 /nfs/dbraw/zinc/37/40/67/1072374067.db2.gz VLXQGIFCBWALJK-UHFFFAOYSA-N 0 0 426.433 -0.294 20 0 IBADRN COCCN(C(=O)CN1CSCC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000014511621 1072377819 /nfs/dbraw/zinc/37/78/19/1072377819.db2.gz DAWFMLHPGZNCOU-UHFFFAOYSA-N 0 0 433.490 -0.321 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)NCc1ccc(S(=O)(=O)N3CCOCC3)cc1)c2=O ZINC000014521155 1070809868 /nfs/dbraw/zinc/80/98/68/1070809868.db2.gz NRKKQCCKUDMUDQ-UHFFFAOYSA-N 0 0 446.489 -0.533 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)NC(C)(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000014578720 1070811989 /nfs/dbraw/zinc/81/19/89/1070811989.db2.gz MYHRHZKCJGIODH-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000014595098 1071078226 /nfs/dbraw/zinc/07/82/26/1071078226.db2.gz IGJJMFPKNFXADG-UHFFFAOYSA-N 0 0 431.405 -0.124 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3nnc4ccc(C(F)(F)F)cn43)c2c(=O)n(C)c1=O ZINC000014678631 1073219787 /nfs/dbraw/zinc/21/97/87/1073219787.db2.gz HDBZJUSPBQJPTF-UHFFFAOYSA-N 0 0 436.354 -0.188 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000014731331 1070812356 /nfs/dbraw/zinc/81/23/56/1070812356.db2.gz FBQCNKIOJCVPOH-UHFFFAOYSA-N 0 0 431.478 -0.018 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3ccc(N4CCCC4=O)cc3)c2c(=O)n(C)c1=O ZINC000014755009 1074451818 /nfs/dbraw/zinc/45/18/18/1074451818.db2.gz MOIATWBFACDSPD-UHFFFAOYSA-N 0 0 439.428 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000014770477 1074451887 /nfs/dbraw/zinc/45/18/87/1074451887.db2.gz UCIFSFJLOPUZHS-NSHDSACASA-N 0 0 438.462 -0.932 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000014777950 1074451752 /nfs/dbraw/zinc/45/17/52/1074451752.db2.gz JXQLHMPRKNKZGU-AWEZNQCLSA-N 0 0 447.583 -0.135 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000014777953 1074451928 /nfs/dbraw/zinc/45/19/28/1074451928.db2.gz JXQLHMPRKNKZGU-CQSZACIVSA-N 0 0 447.583 -0.135 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)cc1 ZINC000014789686 1073081278 /nfs/dbraw/zinc/08/12/78/1073081278.db2.gz FEJWIYDRKKIXQU-UHFFFAOYSA-N 0 0 430.490 -0.028 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCN2CCOCC2)c1 ZINC000014795867 1070814553 /nfs/dbraw/zinc/81/45/53/1070814553.db2.gz QORSHWUCJJUVMX-UHFFFAOYSA-N 0 0 426.539 -0.165 20 0 IBADRN COC(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000014797258 1070813758 /nfs/dbraw/zinc/81/37/58/1070813758.db2.gz KSPBLTSWZPXLJS-UHFFFAOYSA-N 0 0 427.479 -0.553 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000014813285 1071127365 /nfs/dbraw/zinc/12/73/65/1071127365.db2.gz JJKWFVNAUQTUME-UHFFFAOYSA-N 0 0 430.494 -0.229 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(S(=O)(=O)N3CCCC3)cc1)c(=O)n2C ZINC000014828950 1073257831 /nfs/dbraw/zinc/25/78/31/1073257831.db2.gz XKCNBVAYUQHOBZ-UHFFFAOYSA-N 0 0 446.489 -0.143 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)CN1C(=O)c2ccccc2S1(=O)=O ZINC000014853963 1074451796 /nfs/dbraw/zinc/45/17/96/1074451796.db2.gz APBZGYXVTBWWAR-UHFFFAOYSA-N 0 0 425.463 -0.253 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(c3ncnc4c3cnn4C)CC2)c(=O)[nH]c1=O ZINC000014856568 1071127330 /nfs/dbraw/zinc/12/73/30/1071127330.db2.gz WIPWCTHGAZQNLO-UHFFFAOYSA-N 0 0 427.469 -0.790 20 0 IBADRN CCOc1ccc(O[C@@H](C)C(=O)NNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000014864374 1072654798 /nfs/dbraw/zinc/65/47/98/1072654798.db2.gz KKNCQBMDFVLMCH-LBPRGKRZSA-N 0 0 444.448 -0.553 20 0 IBADRN CCOc1ccc(O[C@H](C)C(=O)NNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000014864375 1072654753 /nfs/dbraw/zinc/65/47/53/1072654753.db2.gz KKNCQBMDFVLMCH-GFCCVEGCSA-N 0 0 444.448 -0.553 20 0 IBADRN CCN(CC(=O)NCc1ccc(F)cc1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000014865200 1072645433 /nfs/dbraw/zinc/64/54/33/1072645433.db2.gz PSRUNEKUWLWSAB-UHFFFAOYSA-N 0 0 430.440 -0.262 20 0 IBADRN CCN(CC(=O)NCc1ccc(F)cc1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000014865273 1070817355 /nfs/dbraw/zinc/81/73/55/1070817355.db2.gz SWXKENCRZHTVSS-UHFFFAOYSA-N 0 0 430.440 -0.262 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]c2ccccc12)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000014886107 1073081242 /nfs/dbraw/zinc/08/12/42/1073081242.db2.gz FZFVQJBIAPJCFK-OAHLLOKOSA-N 0 0 438.444 -0.185 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)N2CCN(Cc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000014897582 1074451858 /nfs/dbraw/zinc/45/18/58/1074451858.db2.gz ZZHZKBLUCHBITG-CMDGGOBGSA-N 0 0 426.473 -0.015 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)NCC2(N3CCOCC3)CCCCC2)c(=O)n(C)c1=O ZINC000014897594 1074452553 /nfs/dbraw/zinc/45/25/53/1074452553.db2.gz NPSHQIOCKJKZON-VOTSOKGWSA-N 0 0 448.520 -0.208 20 0 IBADRN O=C(Cn1cnnn1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000014907730 1072648422 /nfs/dbraw/zinc/64/84/22/1072648422.db2.gz UOGPIWONRJKBIT-UHFFFAOYSA-N 0 0 437.482 -0.831 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cn2cnc3ccccc3c2=O)c(=O)[nH]c1=O ZINC000014911608 1072649806 /nfs/dbraw/zinc/64/98/06/1072649806.db2.gz SSFJPBROMIBESI-UHFFFAOYSA-N 0 0 428.449 -0.082 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CN2c3ccccc3OCC2=O)c(=O)[nH]c1=O ZINC000014911697 1073081174 /nfs/dbraw/zinc/08/11/74/1073081174.db2.gz KHNFNEYRVIMHAT-UHFFFAOYSA-N 0 0 431.449 -0.066 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CN2C(=O)c3ccccc3C2=O)c(=O)[nH]c1=O ZINC000014911800 1071439265 /nfs/dbraw/zinc/43/92/65/1071439265.db2.gz RKUPJBIKUSUAFC-UHFFFAOYSA-N 0 0 429.433 -0.196 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000014913616 1073061592 /nfs/dbraw/zinc/06/15/92/1073061592.db2.gz OFRGCPMTPJMYTK-CQSZACIVSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000014913617 1073061625 /nfs/dbraw/zinc/06/16/25/1073061625.db2.gz OFRGCPMTPJMYTK-AWEZNQCLSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCN1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000014913626 1073081509 /nfs/dbraw/zinc/08/15/09/1073081509.db2.gz LCLTZUVMQALKID-ILXRZTDVSA-N 0 0 427.523 -0.297 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000014916419 1071439073 /nfs/dbraw/zinc/43/90/73/1071439073.db2.gz BXDWVQPYWDZZOC-LSDHHAIUSA-N 0 0 445.563 -0.307 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000014916420 1071439117 /nfs/dbraw/zinc/43/91/17/1071439117.db2.gz BXDWVQPYWDZZOC-HUUCEWRRSA-N 0 0 445.563 -0.307 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000014916421 1071439254 /nfs/dbraw/zinc/43/92/54/1071439254.db2.gz BXDWVQPYWDZZOC-GJZGRUSLSA-N 0 0 445.563 -0.307 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000014916422 1071439243 /nfs/dbraw/zinc/43/92/43/1071439243.db2.gz BXDWVQPYWDZZOC-CABCVRRESA-N 0 0 445.563 -0.307 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000014916640 1073081559 /nfs/dbraw/zinc/08/15/59/1073081559.db2.gz WWBDDMKTXGHJCM-UKRRQHHQSA-N 0 0 433.527 -0.176 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000014916641 1073081593 /nfs/dbraw/zinc/08/15/93/1073081593.db2.gz WWBDDMKTXGHJCM-DZGCQCFKSA-N 0 0 433.527 -0.176 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000014916642 1073081466 /nfs/dbraw/zinc/08/14/66/1073081466.db2.gz WWBDDMKTXGHJCM-HIFRSBDPSA-N 0 0 433.527 -0.176 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000014916643 1073081502 /nfs/dbraw/zinc/08/15/02/1073081502.db2.gz WWBDDMKTXGHJCM-ZFWWWQNUSA-N 0 0 433.527 -0.176 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CC(N)=O)C(C)C)CC2)cc1OC ZINC000014927434 1073081569 /nfs/dbraw/zinc/08/15/69/1073081569.db2.gz NJPSXZILBIRDGO-UHFFFAOYSA-N 0 0 442.538 -0.268 20 0 IBADRN COC(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000014934386 1071440091 /nfs/dbraw/zinc/44/00/91/1071440091.db2.gz PIKYRAMFVOQBNR-UHFFFAOYSA-N 0 0 441.506 -0.163 20 0 IBADRN CC(=O)NCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000014934861 1071440924 /nfs/dbraw/zinc/44/09/24/1071440924.db2.gz NJNCBEKRYRKYBD-UHFFFAOYSA-N 0 0 440.522 -0.590 20 0 IBADRN CCN(CC(=O)Nc1cccc(OC)c1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000014997490 1073081551 /nfs/dbraw/zinc/08/15/51/1073081551.db2.gz RVYSIIDUPSAHCO-UHFFFAOYSA-N 0 0 428.449 -0.071 20 0 IBADRN CCN(CC(=O)Nc1cccc(OC)c1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000014997870 1073081523 /nfs/dbraw/zinc/08/15/23/1073081523.db2.gz SUDHTFBRZRJEST-UHFFFAOYSA-N 0 0 428.449 -0.071 20 0 IBADRN CCN(CC(=O)Nc1ccccc1OC)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000015000117 1072694754 /nfs/dbraw/zinc/69/47/54/1072694754.db2.gz MQMKALKHTZDVEO-UHFFFAOYSA-N 0 0 428.449 -0.071 20 0 IBADRN CCN(CC(=O)Nc1ccccc1OC)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000015000459 1073081611 /nfs/dbraw/zinc/08/16/11/1073081611.db2.gz HMVOPPLCJVHQRI-UHFFFAOYSA-N 0 0 428.449 -0.071 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCc3ccco3)CC2)cc1 ZINC000015034547 1073081530 /nfs/dbraw/zinc/08/15/30/1073081530.db2.gz LANZAIGOLYBFER-UHFFFAOYSA-N 0 0 442.519 -0.450 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CCCC3)CC2)cc1 ZINC000015034620 1073081536 /nfs/dbraw/zinc/08/15/36/1073081536.db2.gz UMTBDZWEHKZDFV-UHFFFAOYSA-N 0 0 430.552 -0.301 20 0 IBADRN C[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000015034694 1073081460 /nfs/dbraw/zinc/08/14/60/1073081460.db2.gz LTJUIZZADLDZFD-OAHLLOKOSA-N 0 0 444.579 -0.101 20 0 IBADRN C[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000015034697 1073081617 /nfs/dbraw/zinc/08/16/17/1073081617.db2.gz LTJUIZZADLDZFD-HNNXBMFYSA-N 0 0 444.579 -0.101 20 0 IBADRN CC1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000015034699 1073081487 /nfs/dbraw/zinc/08/14/87/1073081487.db2.gz IADNZQBRWSYBKT-UHFFFAOYSA-N 0 0 444.579 -0.101 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1 ZINC000015034702 1073081628 /nfs/dbraw/zinc/08/16/28/1073081628.db2.gz JFPYKAQXQZZLHT-UHFFFAOYSA-N 0 0 430.552 -0.347 20 0 IBADRN CC(C)[C@@H](C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035086 1073081546 /nfs/dbraw/zinc/08/15/46/1073081546.db2.gz DKBGLODTHHQPMQ-CQSZACIVSA-N 0 0 432.568 -0.199 20 0 IBADRN CC(C)[C@H](C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035089 1073081475 /nfs/dbraw/zinc/08/14/75/1073081475.db2.gz DKBGLODTHHQPMQ-AWEZNQCLSA-N 0 0 432.568 -0.199 20 0 IBADRN Cc1csc(=O)n1CCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035505 1073081483 /nfs/dbraw/zinc/08/14/83/1073081483.db2.gz VOXMCQVKAWIKHV-UHFFFAOYSA-N 0 0 446.576 -0.128 20 0 IBADRN C[C@H](C(=O)NC(C)(C)C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035747 1073081314 /nfs/dbraw/zinc/08/13/14/1073081314.db2.gz BLSSGTXAKVWYPV-CYBMUJFWSA-N 0 0 432.568 -0.057 20 0 IBADRN C[C@@H](C(=O)NC(C)(C)C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035750 1073081323 /nfs/dbraw/zinc/08/13/23/1073081323.db2.gz BLSSGTXAKVWYPV-ZDUSSCGKSA-N 0 0 432.568 -0.057 20 0 IBADRN CC(C)CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035762 1073081193 /nfs/dbraw/zinc/08/11/93/1073081193.db2.gz UMPDXXQHINWKCU-CQSZACIVSA-N 0 0 432.568 -0.199 20 0 IBADRN CC(C)CNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035764 1073081222 /nfs/dbraw/zinc/08/12/22/1073081222.db2.gz UMPDXXQHINWKCU-AWEZNQCLSA-N 0 0 432.568 -0.199 20 0 IBADRN CCCCCNC(=O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035799 1073081218 /nfs/dbraw/zinc/08/12/18/1073081218.db2.gz OPJPXZMAFWFPMS-UHFFFAOYSA-N 0 0 432.568 -0.053 20 0 IBADRN CCC(C)(C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015035911 1073081179 /nfs/dbraw/zinc/08/11/79/1073081179.db2.gz VSMFAHGZLYORLV-UHFFFAOYSA-N 0 0 432.568 -0.055 20 0 IBADRN COCCN(C(=O)CSc1nnnn1C1CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000015050525 1071571517 /nfs/dbraw/zinc/57/15/17/1071571517.db2.gz HARNRLPWTDNJSS-UHFFFAOYSA-N 0 0 438.514 -0.132 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000015104138 1074454260 /nfs/dbraw/zinc/45/42/60/1074454260.db2.gz QKZWEFIIJNHPSJ-UHFFFAOYSA-N 0 0 443.526 -0.102 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(CC(=O)NCCCN2CCCC2=O)CC1 ZINC000015104221 1071591736 /nfs/dbraw/zinc/59/17/36/1071591736.db2.gz VRCLYADIIZUOEO-UHFFFAOYSA-N 0 0 427.527 -0.274 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(CC(=O)NC(=O)NCC(F)(F)F)CC1 ZINC000015105150 1071592130 /nfs/dbraw/zinc/59/21/30/1071592130.db2.gz RGOYGOMFHCUDDS-UHFFFAOYSA-N 0 0 427.405 -0.014 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)c2c(C)noc2C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000015105382 1071592158 /nfs/dbraw/zinc/59/21/58/1071592158.db2.gz DHDTWHISYZUBNN-OAHLLOKOSA-N 0 0 448.567 -0.367 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)c2c(C)noc2C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000015105384 1071592244 /nfs/dbraw/zinc/59/22/44/1071592244.db2.gz DHDTWHISYZUBNN-HNNXBMFYSA-N 0 0 448.567 -0.367 20 0 IBADRN O=C(CN1CCN(c2ccnc(N3CCN(CC(=O)NC4CC4)CC3)n2)CC1)NC1CC1 ZINC000015116490 1074454874 /nfs/dbraw/zinc/45/48/74/1074454874.db2.gz VXYAMASIDUUOFV-UHFFFAOYSA-N 0 0 442.568 -0.722 20 0 IBADRN CN(C)C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000015134335 1070823531 /nfs/dbraw/zinc/82/35/31/1070823531.db2.gz PDSDOBQEAKZEMD-UHFFFAOYSA-N 0 0 440.522 -0.638 20 0 IBADRN CCN(CC(=O)NC(=O)Nc1ccc2c(c1)OCO2)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000015143992 1071138917 /nfs/dbraw/zinc/13/89/17/1071138917.db2.gz KTXCPBKZOOYJNZ-CYBMUJFWSA-N 0 0 440.478 -0.311 20 0 IBADRN CCN(CC(=O)NC(=O)Nc1ccc2c(c1)OCO2)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000015143993 1073081494 /nfs/dbraw/zinc/08/14/94/1073081494.db2.gz KTXCPBKZOOYJNZ-ZDUSSCGKSA-N 0 0 440.478 -0.311 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=S)NC[C@@H]3CCCO3)CC2)cc1 ZINC000015153157 1074454949 /nfs/dbraw/zinc/45/49/49/1074454949.db2.gz GDUUURWKTYCICO-ZDUSSCGKSA-N 0 0 448.592 -0.306 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=S)NC[C@H]3CCCO3)CC2)cc1 ZINC000015153161 1074454889 /nfs/dbraw/zinc/45/48/89/1074454889.db2.gz GDUUURWKTYCICO-CYBMUJFWSA-N 0 0 448.592 -0.306 20 0 IBADRN COC[C@@H](C)NC(=S)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015153212 1074454953 /nfs/dbraw/zinc/45/49/53/1074454953.db2.gz OZMBZXFMJURHDD-GFCCVEGCSA-N 0 0 436.581 -0.450 20 0 IBADRN COC[C@H](C)NC(=S)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000015153215 1074454958 /nfs/dbraw/zinc/45/49/58/1074454958.db2.gz OZMBZXFMJURHDD-LBPRGKRZSA-N 0 0 436.581 -0.450 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(C(=O)NCc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000015174743 1071599144 /nfs/dbraw/zinc/59/91/44/1071599144.db2.gz CNXCNBAAFWDXGS-UHFFFAOYSA-N 0 0 438.488 -0.011 20 0 IBADRN COCCN(C(=O)CSc1nnnn1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000015180941 1070824189 /nfs/dbraw/zinc/82/41/89/1070824189.db2.gz CNTFVMDGFMSKER-UHFFFAOYSA-N 0 0 446.493 -0.538 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000015181615 1074454833 /nfs/dbraw/zinc/45/48/33/1074454833.db2.gz POUAQLKUOKSKRW-LLVKDONJSA-N 0 0 443.416 -0.225 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000015181618 1074454886 /nfs/dbraw/zinc/45/48/86/1074454886.db2.gz POUAQLKUOKSKRW-NSHDSACASA-N 0 0 443.416 -0.225 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc2c(c1)OCO2 ZINC000015181620 1074454943 /nfs/dbraw/zinc/45/49/43/1074454943.db2.gz WGGOEDYICMUUKH-SNVBAGLBSA-N 0 0 429.389 -0.267 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc2c(c1)OCO2 ZINC000015181622 1074454935 /nfs/dbraw/zinc/45/49/35/1074454935.db2.gz WGGOEDYICMUUKH-JTQLQIEISA-N 0 0 429.389 -0.267 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000015181727 1074454847 /nfs/dbraw/zinc/45/48/47/1074454847.db2.gz CUNGKERUULMPPH-UHFFFAOYSA-N 0 0 428.405 -0.426 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)Cn2nnc3ccccc3c2=O)c(=O)[nH]c1=O ZINC000015203826 1073272877 /nfs/dbraw/zinc/27/28/77/1073272877.db2.gz HQFOLOXMKOXDPZ-UHFFFAOYSA-N 0 0 443.464 -0.297 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000015312654 1071007923 /nfs/dbraw/zinc/00/79/23/1071007923.db2.gz WCWLJZNAYLIASK-UHFFFAOYSA-N 0 0 434.478 -0.369 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000015321745 1072654663 /nfs/dbraw/zinc/65/46/63/1072654663.db2.gz SHTGQKQNFNDDJM-SNVBAGLBSA-N 0 0 429.451 -0.085 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000015321747 1072654673 /nfs/dbraw/zinc/65/46/73/1072654673.db2.gz SHTGQKQNFNDDJM-JTQLQIEISA-N 0 0 429.451 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000015326343 1073239904 /nfs/dbraw/zinc/23/99/04/1073239904.db2.gz RQIMDRWMILVGKC-UHFFFAOYSA-N 0 0 442.519 -0.912 20 0 IBADRN COc1ccc(OC)c(CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c1 ZINC000015329423 1073081514 /nfs/dbraw/zinc/08/15/14/1073081514.db2.gz DQAQKRLERQVRSR-UHFFFAOYSA-N 0 0 438.506 -0.392 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c1C ZINC000015331244 1073081913 /nfs/dbraw/zinc/08/19/13/1073081913.db2.gz BCHRTKOPZDRQOD-UHFFFAOYSA-N 0 0 449.533 -0.354 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000015332938 1073240284 /nfs/dbraw/zinc/24/02/84/1073240284.db2.gz DMSZEOWWZWJNDG-UHFFFAOYSA-N 0 0 439.469 -0.832 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000015334282 1073240412 /nfs/dbraw/zinc/24/04/12/1073240412.db2.gz OSNDMPMOLRCBBT-UHFFFAOYSA-N 0 0 444.535 -0.407 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000015334838 1073240351 /nfs/dbraw/zinc/24/03/51/1073240351.db2.gz YPSQHIXLBXLICK-UHFFFAOYSA-N 0 0 449.533 -0.354 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc21 ZINC000015334867 1073240396 /nfs/dbraw/zinc/24/03/96/1073240396.db2.gz XFGMICBWSLKZPU-UHFFFAOYSA-N 0 0 436.534 -0.239 20 0 IBADRN CC(C)[C@H](NC(=O)COc1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000015337986 1074455427 /nfs/dbraw/zinc/45/54/27/1074455427.db2.gz RUQNJMGPDFVSFN-KRWDZBQOSA-N 0 0 446.460 -0.388 20 0 IBADRN Cn1c2ncn(CC(=O)NNC(=O)c3ccc(Br)cc3)c2c(=O)n(C)c1=O ZINC000015343190 1071509141 /nfs/dbraw/zinc/50/91/41/1071509141.db2.gz UWPGXUHBTVIPJB-UHFFFAOYSA-N 0 0 435.238 -0.343 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NNC(=O)c1ccccc1Br)c(=O)n2C ZINC000015346330 1071509704 /nfs/dbraw/zinc/50/97/04/1071509704.db2.gz ZAGHLRPGHFRPSW-UHFFFAOYSA-N 0 0 435.238 -0.343 20 0 IBADRN Cn1c2ncn(CC(=O)NNC(=O)c3ccccc3Br)c2c(=O)n(C)c1=O ZINC000015346574 1071509728 /nfs/dbraw/zinc/50/97/28/1071509728.db2.gz NWWUQLQPWCWUKR-UHFFFAOYSA-N 0 0 435.238 -0.343 20 0 IBADRN CCOc1ccc(O[C@@H](C)C(=O)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000015355243 1072654693 /nfs/dbraw/zinc/65/46/93/1072654693.db2.gz HAECLNICZRLONY-LBPRGKRZSA-N 0 0 444.448 -0.553 20 0 IBADRN CCOc1ccc(O[C@H](C)C(=O)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000015355245 1072655515 /nfs/dbraw/zinc/65/55/15/1072655515.db2.gz HAECLNICZRLONY-GFCCVEGCSA-N 0 0 444.448 -0.553 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)c(OC)c1 ZINC000015357757 1072161898 /nfs/dbraw/zinc/16/18/98/1072161898.db2.gz ODVKQNYOPCADLU-GFCCVEGCSA-N 0 0 441.462 -0.316 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)c(OC)c1 ZINC000015357758 1072161916 /nfs/dbraw/zinc/16/19/16/1072161916.db2.gz ODVKQNYOPCADLU-LBPRGKRZSA-N 0 0 441.462 -0.316 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000015365718 1073242457 /nfs/dbraw/zinc/24/24/57/1073242457.db2.gz AVVYLKNDBVFIBB-UHFFFAOYSA-N 0 0 449.533 -0.409 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000015365816 1073242424 /nfs/dbraw/zinc/24/24/24/1073242424.db2.gz MFDSWBFUKIAUBD-UHFFFAOYSA-N 0 0 438.462 -0.496 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)CCN2C(C)=O ZINC000015365859 1073242445 /nfs/dbraw/zinc/24/24/45/1073242445.db2.gz CPGMWJJRTBLTSD-OAHLLOKOSA-N 0 0 443.547 -0.091 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)CCN2C(C)=O ZINC000015365860 1073242314 /nfs/dbraw/zinc/24/23/14/1073242314.db2.gz CPGMWJJRTBLTSD-HNNXBMFYSA-N 0 0 443.547 -0.091 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000015376310 1072194234 /nfs/dbraw/zinc/19/42/34/1072194234.db2.gz NLWGWFCPMKVAMR-OAHLLOKOSA-N 0 0 433.527 -0.223 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000015376311 1072194336 /nfs/dbraw/zinc/19/43/36/1072194336.db2.gz NLWGWFCPMKVAMR-HNNXBMFYSA-N 0 0 433.527 -0.223 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000015376320 1073081882 /nfs/dbraw/zinc/08/18/82/1073081882.db2.gz IYXSZYCBNKJIRH-QGZVFWFLSA-N 0 0 429.564 -0.221 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000015376321 1073081877 /nfs/dbraw/zinc/08/18/77/1073081877.db2.gz IYXSZYCBNKJIRH-KRWDZBQOSA-N 0 0 429.564 -0.221 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C(C)=O)CC3)CC2)cc1 ZINC000015377045 1073081816 /nfs/dbraw/zinc/08/18/16/1073081816.db2.gz ODDLVQHFBHAWTA-UHFFFAOYSA-N 0 0 436.534 -0.114 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000015384952 1073092130 /nfs/dbraw/zinc/09/21/30/1073092130.db2.gz QNUKBLYVEWPUAZ-UHFFFAOYSA-N 0 0 442.925 -0.089 20 0 IBADRN CN(CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)CNC(N)=O ZINC000015387884 1071140708 /nfs/dbraw/zinc/14/07/08/1071140708.db2.gz IPLWGPZBBMMFEB-UHFFFAOYSA-N 0 0 447.901 -0.574 20 0 IBADRN COC(=O)C1CCN(C(=O)COn2nnc3ccc(S(=O)(=O)N(C)C)cc32)CC1 ZINC000015392806 1072201962 /nfs/dbraw/zinc/20/19/62/1072201962.db2.gz LBZDQYDQPQLBKS-UHFFFAOYSA-N 0 0 425.467 -0.478 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000015400393 1073081763 /nfs/dbraw/zinc/08/17/63/1073081763.db2.gz GTYIKPMCHIZVEA-UHFFFAOYSA-N 0 0 449.533 -0.058 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2ccc(CN3CCN(S(C)(=O)=O)CC3)cc2)CC1 ZINC000015400672 1073242766 /nfs/dbraw/zinc/24/27/66/1073242766.db2.gz LYSKPGKNSSLJSW-UHFFFAOYSA-N 0 0 430.596 -0.159 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000015400701 1073081749 /nfs/dbraw/zinc/08/17/49/1073081749.db2.gz NTOBFHNDHUKNJL-UHFFFAOYSA-N 0 0 446.551 -0.777 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(S(C)(=O)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000015400847 1073242944 /nfs/dbraw/zinc/24/29/44/1073242944.db2.gz YKGXXKKCEAXHGN-UHFFFAOYSA-N 0 0 449.533 -0.580 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000015400884 1073081777 /nfs/dbraw/zinc/08/17/77/1073081777.db2.gz POEASBDGYMLDDB-UHFFFAOYSA-N 0 0 444.579 -0.595 20 0 IBADRN CCCCN(C(=O)CN1CCN(S(C)(=O)=O)CC1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000015400903 1073081825 /nfs/dbraw/zinc/08/18/25/1073081825.db2.gz AMCLXRADBZBHRQ-UHFFFAOYSA-N 0 0 444.558 -0.761 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000015400924 1073081892 /nfs/dbraw/zinc/08/18/92/1073081892.db2.gz MSGPAQDYLPNDPH-UHFFFAOYSA-N 0 0 430.552 -0.903 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000015401147 1073081732 /nfs/dbraw/zinc/08/17/32/1073081732.db2.gz QATUCKSRXBHOPN-UHFFFAOYSA-N 0 0 444.579 -0.762 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000015401188 1073242389 /nfs/dbraw/zinc/24/23/89/1073242389.db2.gz DGRKYWCSGODIQV-UHFFFAOYSA-N 0 0 434.540 -0.539 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000015401189 1073081834 /nfs/dbraw/zinc/08/18/34/1073081834.db2.gz IFPFMYBBEJYKIQ-UHFFFAOYSA-N 0 0 446.595 -0.090 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000015401258 1073242464 /nfs/dbraw/zinc/24/24/64/1073242464.db2.gz GBABNVAUUKOWMS-UHFFFAOYSA-N 0 0 446.551 -0.777 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000015401333 1071141688 /nfs/dbraw/zinc/14/16/88/1071141688.db2.gz VKFGMMGYHDLMLJ-UHFFFAOYSA-N 0 0 430.552 -0.013 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CSc3nnnn3C)CC2)cc1OC ZINC000015403044 1073081903 /nfs/dbraw/zinc/08/19/03/1073081903.db2.gz AXCVFGPHRHKLJC-UHFFFAOYSA-N 0 0 442.523 -0.148 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(c3ncccn3)CC2)c(=O)[nH]c1=O ZINC000015403298 1073081942 /nfs/dbraw/zinc/08/19/42/1073081942.db2.gz JTBIJCGCNFDVAJ-UHFFFAOYSA-N 0 0 430.513 -0.116 20 0 IBADRN CCCOc1ccc(C(=O)NNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1OC ZINC000015476772 1072655512 /nfs/dbraw/zinc/65/55/12/1072655512.db2.gz WROSPKARXLCXKH-UHFFFAOYSA-N 0 0 444.448 -0.308 20 0 IBADRN CCCOc1ccc(C(=O)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000015476966 1072655242 /nfs/dbraw/zinc/65/52/42/1072655242.db2.gz XJNHRFPXIWHFHB-UHFFFAOYSA-N 0 0 444.448 -0.308 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccccc2C(F)(F)F)CC1 ZINC000015479707 1074455598 /nfs/dbraw/zinc/45/55/98/1074455598.db2.gz PKDUOYJLHMWREU-UHFFFAOYSA-N 0 0 434.440 -0.030 20 0 IBADRN CCCCn1c(N)c(N(Cc2ccccc2)C(=O)CN2CCNC(=O)C2)c(=O)[nH]c1=O ZINC000015479710 1073081847 /nfs/dbraw/zinc/08/18/47/1073081847.db2.gz FDAUQKFHRVIHBQ-UHFFFAOYSA-N 0 0 428.493 -0.116 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCNC(=O)C1 ZINC000015479779 1074455386 /nfs/dbraw/zinc/45/53/86/1074455386.db2.gz PKLAYIMTGYGEOJ-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(CC(=O)NC(=O)NC3CC3)CC2)c1 ZINC000015516443 1073081803 /nfs/dbraw/zinc/08/18/03/1073081803.db2.gz NZYHVRNYOBDVFZ-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(=O)NC(C)C)CC2)cc1 ZINC000015520000 1073081868 /nfs/dbraw/zinc/08/18/68/1073081868.db2.gz JBPOFZRPJIOMKF-UHFFFAOYSA-N 0 0 426.539 -0.016 20 0 IBADRN COC(=O)c1cc(OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc(C(=O)OC)c1 ZINC000015540792 1072655522 /nfs/dbraw/zinc/65/55/22/1072655522.db2.gz SZXNSGVOXYNXBT-UHFFFAOYSA-N 0 0 430.373 -0.388 20 0 IBADRN Cn1c(N)c(C(=O)CSc2ccc(S(=O)(=O)N3CCCC3)cn2)c(=O)n(C)c1=O ZINC000015576240 1073081856 /nfs/dbraw/zinc/08/18/56/1073081856.db2.gz QLAQVGLTUHKWTJ-UHFFFAOYSA-N 0 0 439.519 -0.179 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)Cn2cc(S(=O)(=O)N(C)C)ccc2=O)c(=O)n(C)c1=O ZINC000015576258 1073081788 /nfs/dbraw/zinc/08/17/88/1073081788.db2.gz UHRAMPORUIVWPQ-UHFFFAOYSA-N 0 0 439.494 -0.920 20 0 IBADRN COC(=O)c1cc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(C(=O)OC)c1 ZINC000015589601 1073081923 /nfs/dbraw/zinc/08/19/23/1073081923.db2.gz JTJVXEDOLBVYSD-UHFFFAOYSA-N 0 0 429.389 -0.354 20 0 IBADRN COC(=O)c1cc(OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(C(=O)OC)c1 ZINC000015598496 1072655482 /nfs/dbraw/zinc/65/54/82/1072655482.db2.gz OXGNWXHCUSORHW-UHFFFAOYSA-N 0 0 430.373 -0.388 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000015614481 1071288373 /nfs/dbraw/zinc/28/83/73/1071288373.db2.gz RCNUZZPQPAVBTP-UHFFFAOYSA-N 0 0 440.503 -0.113 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000015622402 1071289283 /nfs/dbraw/zinc/28/92/83/1071289283.db2.gz IPGOMHBHGYSDKA-UHFFFAOYSA-N 0 0 434.478 -0.241 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccccc1C(=O)NC[C@@H]1CCCO1)c(=O)n2C ZINC000015639054 1074456179 /nfs/dbraw/zinc/45/61/79/1074456179.db2.gz DUZRALGVINMPLB-ZDUSSCGKSA-N 0 0 440.460 -0.019 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccccc1C(=O)NC[C@H]1CCCO1)c(=O)n2C ZINC000015639055 1074455894 /nfs/dbraw/zinc/45/58/94/1074455894.db2.gz DUZRALGVINMPLB-CYBMUJFWSA-N 0 0 440.460 -0.019 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000015718047 1074456102 /nfs/dbraw/zinc/45/61/02/1074456102.db2.gz LHUNJWYEFPBCSO-UHFFFAOYSA-N 0 0 446.489 -0.727 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000015718594 1074456142 /nfs/dbraw/zinc/45/61/42/1074456142.db2.gz VBTSUQYWJWPKGG-UHFFFAOYSA-N 0 0 446.489 -0.727 20 0 IBADRN CCn1nc(C(=O)NNC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)c2ccccc2c1=O ZINC000015721027 1072655307 /nfs/dbraw/zinc/65/53/07/1072655307.db2.gz DYHKHJWTVOTNAX-UHFFFAOYSA-N 0 0 442.457 -0.325 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000015735312 1073278631 /nfs/dbraw/zinc/27/86/31/1073278631.db2.gz GQRQJJQYVWOQCY-UHFFFAOYSA-N 0 0 434.478 -0.369 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCc2ccc(S(N)(=O)=O)s2)cc1 ZINC000015788850 1071290743 /nfs/dbraw/zinc/29/07/43/1071290743.db2.gz GIVINPLFBXGFND-UHFFFAOYSA-N 0 0 446.532 -0.051 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000015799757 1074456133 /nfs/dbraw/zinc/45/61/33/1074456133.db2.gz ZENLHQFTEMFIJF-LLVKDONJSA-N 0 0 440.416 -0.649 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000015799758 1074456049 /nfs/dbraw/zinc/45/60/49/1074456049.db2.gz ZENLHQFTEMFIJF-NSHDSACASA-N 0 0 440.416 -0.649 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3cccc(N4CCCC4=O)c3)c2c(=O)n(C)c1=O ZINC000015799763 1074456172 /nfs/dbraw/zinc/45/61/72/1074456172.db2.gz RJMUHXQXSXMJOC-UHFFFAOYSA-N 0 0 439.428 -0.013 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3cccc(S(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000015799766 1074456000 /nfs/dbraw/zinc/45/60/00/1074456000.db2.gz AVXYSOHLUNLQRX-UHFFFAOYSA-N 0 0 449.445 -0.981 20 0 IBADRN COc1ccccc1CNC(=O)[C@@H](C)OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000015799903 1074456084 /nfs/dbraw/zinc/45/60/84/1074456084.db2.gz RJSKJNDHVRUDFZ-GFCCVEGCSA-N 0 0 429.433 -0.310 20 0 IBADRN COc1ccccc1CNC(=O)[C@H](C)OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000015799905 1074456039 /nfs/dbraw/zinc/45/60/39/1074456039.db2.gz RJSKJNDHVRUDFZ-LBPRGKRZSA-N 0 0 429.433 -0.310 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000015799908 1074455913 /nfs/dbraw/zinc/45/59/13/1074455913.db2.gz LMFXVKXHAMBUAO-SECBINFHSA-N 0 0 441.404 -0.826 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000015799909 1074456067 /nfs/dbraw/zinc/45/60/67/1074456067.db2.gz LMFXVKXHAMBUAO-VIFPVBQESA-N 0 0 441.404 -0.826 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1sccc1C(N)=O ZINC000015799935 1074456122 /nfs/dbraw/zinc/45/61/22/1074456122.db2.gz IYJBVFYFZYAPBM-MRVPVSSYSA-N 0 0 434.434 -0.836 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1sccc1C(N)=O ZINC000015799937 1074456645 /nfs/dbraw/zinc/45/66/45/1074456645.db2.gz IYJBVFYFZYAPBM-QMMMGPOBSA-N 0 0 434.434 -0.836 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NCc1ccccc1 ZINC000015799979 1074456680 /nfs/dbraw/zinc/45/66/80/1074456680.db2.gz YITZOACWUGSZTJ-GFCCVEGCSA-N 0 0 442.432 -0.609 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NCc1ccccc1 ZINC000015799981 1074456782 /nfs/dbraw/zinc/45/67/82/1074456782.db2.gz YITZOACWUGSZTJ-LBPRGKRZSA-N 0 0 442.432 -0.609 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000015820277 1074456691 /nfs/dbraw/zinc/45/66/91/1074456691.db2.gz SAJYUQYJBHNNNV-ZIAGYGMSSA-N 0 0 432.520 -0.149 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000015820280 1074456736 /nfs/dbraw/zinc/45/67/36/1074456736.db2.gz SAJYUQYJBHNNNV-UONOGXRCSA-N 0 0 432.520 -0.149 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000015820282 1074456667 /nfs/dbraw/zinc/45/66/67/1074456667.db2.gz SAJYUQYJBHNNNV-KGLIPLIRSA-N 0 0 432.520 -0.149 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000015820284 1074456759 /nfs/dbraw/zinc/45/67/59/1074456759.db2.gz SAJYUQYJBHNNNV-KBPBESRZSA-N 0 0 432.520 -0.149 20 0 IBADRN CCOc1ccc(NC(=S)NNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000015875222 1072655366 /nfs/dbraw/zinc/65/53/66/1072655366.db2.gz PDKILEYGFWTLAI-UHFFFAOYSA-N 0 0 431.478 -0.150 20 0 IBADRN CCOc1ccc(NC(=S)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000015875432 1073072943 /nfs/dbraw/zinc/07/29/43/1073072943.db2.gz BNTRTKDBHYLFRO-UHFFFAOYSA-N 0 0 431.478 -0.150 20 0 IBADRN Cn1c2ncn(CC(=O)NNC(=O)CSc3nc4ccccc4o3)c2c(=O)n(C)c1=O ZINC000015888452 1071066634 /nfs/dbraw/zinc/06/66/34/1071066634.db2.gz SQSKASDPFJPZFS-UHFFFAOYSA-N 0 0 443.445 -0.485 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)CN2C(=O)[C@@H]3CCCC[C@H]3C2=O)c1 ZINC000015896018 1071659144 /nfs/dbraw/zinc/65/91/44/1071659144.db2.gz DPMKEBLESKGTEO-HUUCEWRRSA-N 0 0 436.490 -0.127 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)CN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)c1 ZINC000015896019 1071659060 /nfs/dbraw/zinc/65/90/60/1071659060.db2.gz DPMKEBLESKGTEO-GASCZTMLSA-N 0 0 436.490 -0.127 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NNC(=O)CN1CCCc3ccccc31)c(=O)n2C ZINC000015901115 1072655434 /nfs/dbraw/zinc/65/54/34/1072655434.db2.gz XHDFNGHNDJSNTK-UHFFFAOYSA-N 0 0 425.449 -0.966 20 0 IBADRN Cn1c2ncn(CC(=O)NNC(=O)CN3CCCc4ccccc43)c2c(=O)n(C)c1=O ZINC000015901243 1072655493 /nfs/dbraw/zinc/65/54/93/1072655493.db2.gz JUYDIOTZKRBGDU-UHFFFAOYSA-N 0 0 425.449 -0.966 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NNC(=O)CCC(=O)N2CCOCC2)cc1 ZINC000015902165 1072655386 /nfs/dbraw/zinc/65/53/86/1072655386.db2.gz NLSICDMDBZGRPU-UHFFFAOYSA-N 0 0 426.495 -0.598 20 0 IBADRN COc1ccc(CNC(=S)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000015908295 1073109508 /nfs/dbraw/zinc/10/95/08/1073109508.db2.gz HSAQFWNFADVSFL-UHFFFAOYSA-N 0 0 431.478 -0.862 20 0 IBADRN CC(C)COc1ccc(C(=O)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000015914891 1072655458 /nfs/dbraw/zinc/65/54/58/1072655458.db2.gz ALBDTRVMFNAYSG-UHFFFAOYSA-N 0 0 428.449 -0.070 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(c3ccc([N+](=O)[O-])cc3)CC1)c(=O)n2C ZINC000015924366 1072655326 /nfs/dbraw/zinc/65/53/26/1072655326.db2.gz JZBVHLUKTJYCDO-UHFFFAOYSA-N 0 0 427.421 -0.309 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NNC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000015948374 1072655518 /nfs/dbraw/zinc/65/55/18/1072655518.db2.gz QPMBOQKXJWSSRR-UHFFFAOYSA-N 0 0 438.506 -0.129 20 0 IBADRN CCc1nc2ccccc2n1CC(=O)NNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000015983092 1072655401 /nfs/dbraw/zinc/65/54/01/1072655401.db2.gz WJGPZQZOEIMMNW-UHFFFAOYSA-N 0 0 438.448 -0.807 20 0 IBADRN CCc1nc2ccccc2n1CC(=O)NNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000015983183 1072655268 /nfs/dbraw/zinc/65/52/68/1072655268.db2.gz DECCBSGEISZPHE-UHFFFAOYSA-N 0 0 438.448 -0.807 20 0 IBADRN COc1cc(C=NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc(OC)c1OC ZINC000015987684 1072655422 /nfs/dbraw/zinc/65/54/22/1072655422.db2.gz LYVKYSUJTAKKAL-UHFFFAOYSA-N 0 0 430.421 -0.390 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016056277 1073082153 /nfs/dbraw/zinc/08/21/53/1073082153.db2.gz DSAXXDIRCASQSN-UHFFFAOYSA-N 0 0 448.461 -0.282 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H]3CN(Cc4ccccc4)CCO3)c2c(=O)n(C)c1=O ZINC000016056423 1071294893 /nfs/dbraw/zinc/29/48/93/1071294893.db2.gz VZFDSAHGAGIDAZ-MRXNPFEDSA-N 0 0 426.477 -0.549 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H]3CN(Cc4ccccc4)CCO3)c2c(=O)n(C)c1=O ZINC000016056425 1071295033 /nfs/dbraw/zinc/29/50/33/1071295033.db2.gz VZFDSAHGAGIDAZ-INIZCTEOSA-N 0 0 426.477 -0.549 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(CCOc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000016056486 1072662201 /nfs/dbraw/zinc/66/22/01/1072662201.db2.gz RMPISNQUPYXDGG-UHFFFAOYSA-N 0 0 426.477 -0.343 20 0 IBADRN CCCCn1c2nc(Cn3cnc4c3c(=O)n(C)c(=O)n4C)n(CC)c2c(=O)[nH]c1=O ZINC000016056493 1073082131 /nfs/dbraw/zinc/08/21/31/1073082131.db2.gz IUQCTGNCKJTPOS-UHFFFAOYSA-N 0 0 428.453 -0.498 20 0 IBADRN Cc1cccc(O[C@H](C)C(=O)NNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000016070414 1072146141 /nfs/dbraw/zinc/14/61/41/1072146141.db2.gz PKKGEMSOORBYLQ-CYBMUJFWSA-N 0 0 428.449 -0.335 20 0 IBADRN Cc1cccc(O[C@@H](C)C(=O)NNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000016070416 1072655350 /nfs/dbraw/zinc/65/53/50/1072655350.db2.gz PKKGEMSOORBYLQ-ZDUSSCGKSA-N 0 0 428.449 -0.335 20 0 IBADRN Cc1cccc(O[C@H](C)C(=O)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000016070625 1072655442 /nfs/dbraw/zinc/65/54/42/1072655442.db2.gz LIWGMTPBZYUGDP-CYBMUJFWSA-N 0 0 428.449 -0.335 20 0 IBADRN Cc1cccc(O[C@@H](C)C(=O)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000016070627 1072655453 /nfs/dbraw/zinc/65/54/53/1072655453.db2.gz LIWGMTPBZYUGDP-ZDUSSCGKSA-N 0 0 428.449 -0.335 20 0 IBADRN CCOc1ccc(NC(=O)Cn2ccc(=O)[nH]c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000016110171 1073278609 /nfs/dbraw/zinc/27/86/09/1073278609.db2.gz QDBGJYHJDWWJLC-UHFFFAOYSA-N 0 0 438.462 -0.405 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cc1 ZINC000016114471 1074457180 /nfs/dbraw/zinc/45/71/80/1074457180.db2.gz NKJRMISFHMEFCO-UHFFFAOYSA-N 0 0 439.471 -0.273 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)[C@@]12CCC(=O)N1CCS2 ZINC000016119089 1074457320 /nfs/dbraw/zinc/45/73/20/1074457320.db2.gz YXWKOPZLSZRJFG-KRWDZBQOSA-N 0 0 425.488 -0.168 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)[C@]12CCC(=O)N1CCS2 ZINC000016119090 1074457229 /nfs/dbraw/zinc/45/72/29/1074457229.db2.gz YXWKOPZLSZRJFG-QGZVFWFLSA-N 0 0 425.488 -0.168 20 0 IBADRN CC(=O)NCCc1ccc(C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000016120513 1074457305 /nfs/dbraw/zinc/45/73/05/1074457305.db2.gz CKFRSCAEWCKSKM-UHFFFAOYSA-N 0 0 447.473 -0.400 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000016120955 1074457210 /nfs/dbraw/zinc/45/72/10/1074457210.db2.gz DAPIOJKZEXSRDX-ABAIWWIYSA-N 0 0 445.519 -0.421 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000016120957 1074457280 /nfs/dbraw/zinc/45/72/80/1074457280.db2.gz DAPIOJKZEXSRDX-NHYWBVRUSA-N 0 0 445.519 -0.421 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000016120959 1074457374 /nfs/dbraw/zinc/45/73/74/1074457374.db2.gz WXNWSERSRWGWHJ-AWEZNQCLSA-N 0 0 431.492 -0.810 20 0 IBADRN Cc1cc(S(=O)(=O)N(C)C)cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000016145136 1073082178 /nfs/dbraw/zinc/08/21/78/1073082178.db2.gz RTKSLDNCURXRPN-UHFFFAOYSA-N 0 0 448.505 -0.060 20 0 IBADRN Cc1cc(S(=O)(=O)N(C)C)cc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000016145438 1073082340 /nfs/dbraw/zinc/08/23/40/1073082340.db2.gz OMMZQIYJSSZJRN-UHFFFAOYSA-N 0 0 448.505 -0.060 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCN3C(=O)c4ccccc4C3=O)c2c(=O)n(C)c1=O ZINC000016160869 1074457341 /nfs/dbraw/zinc/45/73/41/1074457341.db2.gz FZMLLDNCGZLFLQ-UHFFFAOYSA-N 0 0 425.401 -0.337 20 0 IBADRN COc1ccccc1CNC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016160949 1074457197 /nfs/dbraw/zinc/45/71/97/1074457197.db2.gz IQWRSOZKQMRREW-UHFFFAOYSA-N 0 0 429.433 -0.308 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)Nc3ccc4c(c3)OCO4)c2c(=O)n(C)c1=O ZINC000016161038 1074457158 /nfs/dbraw/zinc/45/71/58/1074457158.db2.gz QQYPDMKUBLQBSF-UHFFFAOYSA-N 0 0 429.389 -0.266 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)N3CCc4ccccc4C3)c2c(=O)n(C)c1=O ZINC000016161062 1074457241 /nfs/dbraw/zinc/45/72/41/1074457241.db2.gz DXFDXMFOKSKVCI-UHFFFAOYSA-N 0 0 425.445 -0.048 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000016161069 1074457360 /nfs/dbraw/zinc/45/73/60/1074457360.db2.gz RTJCJSWDUGKWEB-UHFFFAOYSA-N 0 0 449.464 -0.831 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NCc3ccc4c(c3)OCO4)c2c(=O)n(C)c1=O ZINC000016161106 1074457332 /nfs/dbraw/zinc/45/73/32/1074457332.db2.gz SQTLQCAQRLJKSD-UHFFFAOYSA-N 0 0 443.416 -0.588 20 0 IBADRN COC(=O)c1ccc(NC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000016161129 1074457266 /nfs/dbraw/zinc/45/72/66/1074457266.db2.gz HPEBEJVTZMRSKD-UHFFFAOYSA-N 0 0 443.416 -0.208 20 0 IBADRN COC(=O)c1ccccc1NC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016161174 1074457337 /nfs/dbraw/zinc/45/73/37/1074457337.db2.gz CWUTUBBNFGXVQY-UHFFFAOYSA-N 0 0 443.416 -0.208 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000016161214 1074457353 /nfs/dbraw/zinc/45/73/53/1074457353.db2.gz RTFUDVDMPMZDFY-UHFFFAOYSA-N 0 0 442.432 -0.036 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000016161240 1074457287 /nfs/dbraw/zinc/45/72/87/1074457287.db2.gz KPDVANFDXQHCJL-UHFFFAOYSA-N 0 0 429.433 -0.308 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)c3ccc4c(c3)NC(=O)CO4)c2c(=O)n(C)c1=O ZINC000016161279 1074457814 /nfs/dbraw/zinc/45/78/14/1074457814.db2.gz DIMSNUIXDJNPPA-UHFFFAOYSA-N 0 0 441.400 -0.419 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)Nc3ccc4c(c3)OCCO4)c2c(=O)n(C)c1=O ZINC000016161360 1074457793 /nfs/dbraw/zinc/45/77/93/1074457793.db2.gz UMBOHTLIPPMKJK-UHFFFAOYSA-N 0 0 443.416 -0.223 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000016161370 1074457759 /nfs/dbraw/zinc/45/77/59/1074457759.db2.gz XQDRDTXFIXUJBF-UHFFFAOYSA-N 0 0 442.432 -0.036 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)N3CC(=O)Nc4ccccc43)c2c(=O)n(C)c1=O ZINC000016161701 1074457823 /nfs/dbraw/zinc/45/78/23/1074457823.db2.gz DNIZBELOSZAIBE-UHFFFAOYSA-N 0 0 440.416 -0.648 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NC(=O)NCc3ccccc3)c2c(=O)n(C)c1=O ZINC000016161761 1074457862 /nfs/dbraw/zinc/45/78/62/1074457862.db2.gz LCJQETIWYZCCGJ-UHFFFAOYSA-N 0 0 442.432 -0.607 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NC(=O)NC3CCCCC3)c2c(=O)n(C)c1=O ZINC000016161808 1074457798 /nfs/dbraw/zinc/45/77/98/1074457798.db2.gz VBMAPPXEAZEWTQ-UHFFFAOYSA-N 0 0 434.453 -0.475 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000016161967 1074457837 /nfs/dbraw/zinc/45/78/37/1074457837.db2.gz BCCJSZPWYAKANZ-UHFFFAOYSA-N 0 0 443.416 -0.668 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000016162328 1074457847 /nfs/dbraw/zinc/45/78/47/1074457847.db2.gz YWFMUGPXAYPIKL-UHFFFAOYSA-N 0 0 449.489 -0.183 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000016162345 1074457741 /nfs/dbraw/zinc/45/77/41/1074457741.db2.gz NVJDFMHZWHOUJM-UHFFFAOYSA-N 0 0 445.432 -0.019 20 0 IBADRN Cc1csc2nc(COC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)cc(=O)n12 ZINC000016162426 1074457855 /nfs/dbraw/zinc/45/78/55/1074457855.db2.gz XMZKFVNLVMORKK-UHFFFAOYSA-N 0 0 430.446 -0.055 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016162553 1074457769 /nfs/dbraw/zinc/45/77/69/1074457769.db2.gz WXFFKITYCSBPBN-OLZOCXBDSA-N 0 0 448.480 -0.229 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016162555 1074457829 /nfs/dbraw/zinc/45/78/29/1074457829.db2.gz WXFFKITYCSBPBN-STQMWFEESA-N 0 0 448.480 -0.229 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016162558 1074457881 /nfs/dbraw/zinc/45/78/81/1074457881.db2.gz WXFFKITYCSBPBN-CHWSQXEVSA-N 0 0 448.480 -0.229 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016162561 1074457851 /nfs/dbraw/zinc/45/78/51/1074457851.db2.gz WXFFKITYCSBPBN-QWHCGFSZSA-N 0 0 448.480 -0.229 20 0 IBADRN CC(=O)NCc1ccc(C(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000016162973 1074457749 /nfs/dbraw/zinc/45/77/49/1074457749.db2.gz HIVMSCXLGDPXTI-UHFFFAOYSA-N 0 0 441.444 -0.114 20 0 IBADRN Cc1cccc(OCCNC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000016162991 1074457806 /nfs/dbraw/zinc/45/78/06/1074457806.db2.gz WHMKXZRBUVPABK-UHFFFAOYSA-N 0 0 443.460 -0.129 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NCCOc3ccccc3)c2c(=O)n(C)c1=O ZINC000016163000 1074457866 /nfs/dbraw/zinc/45/78/66/1074457866.db2.gz FXCLYGAMEAZNTK-UHFFFAOYSA-N 0 0 429.433 -0.438 20 0 IBADRN COc1ccccc1CCNC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016163263 1074457875 /nfs/dbraw/zinc/45/78/75/1074457875.db2.gz ZLWATXOEKPVOFS-UHFFFAOYSA-N 0 0 443.460 -0.266 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NC(=O)Nc3ccccc3)c2c(=O)n(C)c1=O ZINC000016163328 1074457778 /nfs/dbraw/zinc/45/77/78/1074457778.db2.gz UAZHRLHIEBVUNQ-UHFFFAOYSA-N 0 0 428.405 -0.285 20 0 IBADRN C[C@@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1sccc1C(N)=O ZINC000016163442 1074457868 /nfs/dbraw/zinc/45/78/68/1074457868.db2.gz DDLZAEUNUAKMAF-SECBINFHSA-N 0 0 448.461 -0.445 20 0 IBADRN C[C@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1sccc1C(N)=O ZINC000016163443 1074458550 /nfs/dbraw/zinc/45/85/50/1074458550.db2.gz DDLZAEUNUAKMAF-VIFPVBQESA-N 0 0 448.461 -0.445 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NC(=O)NCc3cccs3)c2c(=O)n(C)c1=O ZINC000016163482 1074458475 /nfs/dbraw/zinc/45/84/75/1074458475.db2.gz WPMAYKSCCMMSHQ-UHFFFAOYSA-N 0 0 448.461 -0.546 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000016177843 1073082364 /nfs/dbraw/zinc/08/23/64/1073082364.db2.gz NOBWDKVCRPDBET-UHFFFAOYSA-N 0 0 430.845 -0.138 20 0 IBADRN O=C(Cc1csc(N2CCOCC2)n1)NNC(=S)NCCCN1CCOCC1 ZINC000016188489 1073571846 /nfs/dbraw/zinc/57/18/46/1073571846.db2.gz HUXKBVXQIOLTAY-UHFFFAOYSA-N 0 0 428.584 -0.260 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=S)NNC(=S)NCCN2CCOCC2)c1 ZINC000016189971 1073575339 /nfs/dbraw/zinc/57/53/39/1073575339.db2.gz GQSTZJOCTJOMGD-UHFFFAOYSA-N 0 0 446.624 -0.065 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)C1=O ZINC000016191793 1074458363 /nfs/dbraw/zinc/45/83/63/1074458363.db2.gz UMGBYRWTVYWVEV-NSHDSACASA-N 0 0 430.417 -0.404 20 0 IBADRN C[C@H]1Oc2ccccc2N(CCC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)C1=O ZINC000016191794 1074458449 /nfs/dbraw/zinc/45/84/49/1074458449.db2.gz UMGBYRWTVYWVEV-LLVKDONJSA-N 0 0 430.417 -0.404 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000016200143 1073279474 /nfs/dbraw/zinc/27/94/74/1073279474.db2.gz YYEGGUMFILKDFL-UHFFFAOYSA-N 0 0 428.492 -0.054 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000016201765 1073279385 /nfs/dbraw/zinc/27/93/85/1073279385.db2.gz QHTURGIGEASSFF-UHFFFAOYSA-N 0 0 428.492 -0.054 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1ccc(S(N)(=O)=O)cc1 ZINC000016206191 1073279933 /nfs/dbraw/zinc/27/99/33/1073279933.db2.gz OIYDHRLJCHOKFV-UHFFFAOYSA-N 0 0 442.519 -0.377 20 0 IBADRN Cc1cccc(NC(=O)NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000016214048 1071049883 /nfs/dbraw/zinc/04/98/83/1071049883.db2.gz NXPNRKQDQNDUED-UHFFFAOYSA-N 0 0 442.432 -0.058 20 0 IBADRN Cc1ccc(NC(=O)NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1C ZINC000016214495 1071049642 /nfs/dbraw/zinc/04/96/42/1071049642.db2.gz LRSOCZSGGOXYMB-UHFFFAOYSA-N 0 0 442.432 -0.058 20 0 IBADRN S=C(NCCN1CCOCC1)N1CCN(C(=S)NCCN2CCOCC2)CC1 ZINC000016215759 1072656097 /nfs/dbraw/zinc/65/60/97/1072656097.db2.gz OXDWVMWWCFCMHH-UHFFFAOYSA-N 0 0 430.644 -0.983 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NC(=O)NCc3cccs3)c2c(=O)n(C)c1=O ZINC000016215791 1071049689 /nfs/dbraw/zinc/04/96/89/1071049689.db2.gz XJJHBCIBGASVKB-UHFFFAOYSA-N 0 0 434.434 -0.936 20 0 IBADRN O=C(NNC(=S)NCCN1CCOCC1)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000016220471 1072656001 /nfs/dbraw/zinc/65/60/01/1072656001.db2.gz LAKWCZXZLGMUGE-UHFFFAOYSA-N 0 0 441.579 -0.088 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1ccc(S(N)(=O)=O)s1 ZINC000016228154 1073280749 /nfs/dbraw/zinc/28/07/49/1073280749.db2.gz XKJGEXDCFRLYIJ-UHFFFAOYSA-N 0 0 448.548 -0.315 20 0 IBADRN Cn1c2ncn(CCC(=O)NNC(=O)c3cncn3-c3ccccc3)c2c(=O)n(C)c1=O ZINC000016233974 1070823086 /nfs/dbraw/zinc/82/30/86/1070823086.db2.gz HWLRRAVTXAOIQG-UHFFFAOYSA-N 0 0 436.432 -0.529 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)Cn2nnc3ccccc3c2=O)cc1 ZINC000016258538 1072616721 /nfs/dbraw/zinc/61/67/21/1072616721.db2.gz XBCPHFFLBKTXJM-UHFFFAOYSA-N 0 0 445.457 -0.659 20 0 IBADRN Cc1sc2ncn(NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)c(=O)c2c1C ZINC000016261156 1072617981 /nfs/dbraw/zinc/61/79/81/1072617981.db2.gz QFGOKWRWBZPYBF-UHFFFAOYSA-N 0 0 429.462 -0.018 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=S)NCCCN2CCOCC2)c1 ZINC000016269911 1071146500 /nfs/dbraw/zinc/14/65/00/1071146500.db2.gz SSOHPVQICPVUBL-UHFFFAOYSA-N 0 0 429.568 -0.232 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NNC(=S)NCCN2CCOCC2)cc1 ZINC000016272071 1072656125 /nfs/dbraw/zinc/65/61/25/1072656125.db2.gz WYENCXWCGXKRND-UHFFFAOYSA-N 0 0 429.568 -0.557 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NNC(=S)NCCCN2CCOCC2)cc1 ZINC000016272129 1072652317 /nfs/dbraw/zinc/65/23/17/1072652317.db2.gz BLNRGOUIQQUQEL-UHFFFAOYSA-N 0 0 443.595 -0.167 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)Cn1nnc3ccccc3c1=O)C2=O ZINC000016274428 1072823435 /nfs/dbraw/zinc/82/34/35/1072823435.db2.gz TVYJWVAUAJBUCC-UHFFFAOYSA-N 0 0 442.432 -0.133 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)Cn2nnc3ccccc3c2=O)cc1S(N)(=O)=O ZINC000016275463 1072824062 /nfs/dbraw/zinc/82/40/62/1072824062.db2.gz USMJTFWFBOQHFO-UHFFFAOYSA-N 0 0 431.430 -0.071 20 0 IBADRN O=C(NNC(=S)NCCN1CCOCC1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000016280607 1072652218 /nfs/dbraw/zinc/65/22/18/1072652218.db2.gz GDAYJUZKEMMTBO-UHFFFAOYSA-N 0 0 441.579 -0.088 20 0 IBADRN CCNC(=S)NNC(=O)Cn1c(=O)c2c(ncn2CC)n(Cc2ccccc2)c1=O ZINC000016308806 1072656038 /nfs/dbraw/zinc/65/60/38/1072656038.db2.gz VXQRWLRQQKJZAV-UHFFFAOYSA-N 0 0 429.506 -0.057 20 0 IBADRN CCCCn1c2nc(CO)n(CCC)c2c(=O)n(Cc2nc(N)nc(N(C)C)n2)c1=O ZINC000016334723 1073082371 /nfs/dbraw/zinc/08/23/71/1073082371.db2.gz FIIULUQHDPILBS-UHFFFAOYSA-N 0 0 431.501 -0.056 20 0 IBADRN Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)N1CCN(CN2C(=O)C(=O)N(C)C2=O)CC1 ZINC000016342735 1072656078 /nfs/dbraw/zinc/65/60/78/1072656078.db2.gz OGWKGLCTGRXABM-UHFFFAOYSA-N 0 0 425.423 -0.412 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(CN3C(=O)C(=O)N(C)C3=O)CC2)cc1 ZINC000016346800 1072655963 /nfs/dbraw/zinc/65/59/63/1072655963.db2.gz SRUZDZULUGSYEV-UHFFFAOYSA-N 0 0 438.462 -0.452 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CN3C(=O)C(=O)N(C)C3=O)CC2)cc1[N+](=O)[O-] ZINC000016358466 1072656028 /nfs/dbraw/zinc/65/60/28/1072656028.db2.gz XFXOQJQBQHUINT-UHFFFAOYSA-N 0 0 441.422 -0.712 20 0 IBADRN O=C(Cn1nnn(-c2ccccc2)c1=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000016362247 1073364437 /nfs/dbraw/zinc/36/44/37/1073364437.db2.gz CKYUZMBDZVMFEH-UHFFFAOYSA-N 0 0 428.474 -0.038 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)Cn2nnn(-c3ccccc3)c2=O)c(=O)[nH]c1=O ZINC000016362781 1073364448 /nfs/dbraw/zinc/36/44/48/1073364448.db2.gz DGCMWYOXLQCBHR-UHFFFAOYSA-N 0 0 428.453 -0.286 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CSc2nnnn2C[C@@H]2CCCO2)cc1 ZINC000016410134 1073364651 /nfs/dbraw/zinc/36/46/51/1073364651.db2.gz LWLZNDUMHWDZNK-ZDUSSCGKSA-N 0 0 426.524 -0.050 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CSc2nnnn2C[C@H]2CCCO2)cc1 ZINC000016410137 1073364793 /nfs/dbraw/zinc/36/47/93/1073364793.db2.gz LWLZNDUMHWDZNK-CYBMUJFWSA-N 0 0 426.524 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000016438242 1073082141 /nfs/dbraw/zinc/08/21/41/1073082141.db2.gz YVUOSKDHQLCSHL-CQSZACIVSA-N 0 0 435.593 -0.159 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000016438245 1073082383 /nfs/dbraw/zinc/08/23/83/1073082383.db2.gz YVUOSKDHQLCSHL-AWEZNQCLSA-N 0 0 435.593 -0.159 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)CC1 ZINC000016438801 1073082115 /nfs/dbraw/zinc/08/21/15/1073082115.db2.gz GWSORJDCZDMMOQ-UHFFFAOYSA-N 0 0 438.550 -0.321 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000016439470 1073364689 /nfs/dbraw/zinc/36/46/89/1073364689.db2.gz KFZPTHWXUZRYEN-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN CN(CCCNC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000016439675 1073364781 /nfs/dbraw/zinc/36/47/81/1073364781.db2.gz SBNRUUYSFQVHDS-UHFFFAOYSA-N 0 0 431.537 -0.368 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1OC ZINC000016439812 1071033761 /nfs/dbraw/zinc/03/37/61/1071033761.db2.gz TVZHMRVKABTVBA-UHFFFAOYSA-N 0 0 448.520 -0.335 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccc2c(c1)OCCCO2 ZINC000016439831 1073364804 /nfs/dbraw/zinc/36/48/04/1073364804.db2.gz KNNDBCHOORHFOF-UHFFFAOYSA-N 0 0 432.477 -0.211 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000016439966 1073082276 /nfs/dbraw/zinc/08/22/76/1073082276.db2.gz XIYYXZXTFNCVIC-MRXNPFEDSA-N 0 0 448.520 -0.116 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000016439968 1073082219 /nfs/dbraw/zinc/08/22/19/1073082219.db2.gz XIYYXZXTFNCVIC-INIZCTEOSA-N 0 0 448.520 -0.116 20 0 IBADRN COCCCN(C(=O)CN1CCOCC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000016446377 1073082192 /nfs/dbraw/zinc/08/21/92/1073082192.db2.gz NJHYZENAZAEFGJ-UHFFFAOYSA-N 0 0 431.493 -0.131 20 0 IBADRN COc1ccc(NC(=O)CSc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000016483290 1073082094 /nfs/dbraw/zinc/08/20/94/1073082094.db2.gz ZGTSARYGECDIOR-UHFFFAOYSA-N 0 0 428.496 -0.030 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(c3ncccn3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000016483545 1073082353 /nfs/dbraw/zinc/08/23/53/1073082353.db2.gz OQAVETIZRTZCSD-UHFFFAOYSA-N 0 0 435.488 -0.028 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](CO)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000016484162 1073082285 /nfs/dbraw/zinc/08/22/85/1073082285.db2.gz ZQRIOXZWGAEJHY-QGZVFWFLSA-N 0 0 445.520 -0.149 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](CO)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000016484163 1073082238 /nfs/dbraw/zinc/08/22/38/1073082238.db2.gz ZQRIOXZWGAEJHY-KRWDZBQOSA-N 0 0 445.520 -0.149 20 0 IBADRN COCCN(C(=O)CN1CCC(C(=O)OC)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000016517039 1073082575 /nfs/dbraw/zinc/08/25/75/1073082575.db2.gz IUYMGOUSPMDLGT-UHFFFAOYSA-N 0 0 439.513 -0.361 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000016517570 1071296536 /nfs/dbraw/zinc/29/65/36/1071296536.db2.gz CVOOZPMYZPHUOP-CQSZACIVSA-N 0 0 449.533 -0.448 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000016517572 1071296607 /nfs/dbraw/zinc/29/66/07/1071296607.db2.gz CVOOZPMYZPHUOP-AWEZNQCLSA-N 0 0 449.533 -0.448 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000016517981 1073082620 /nfs/dbraw/zinc/08/26/20/1073082620.db2.gz IVUMAGGGOYDVTI-CYBMUJFWSA-N 0 0 435.506 -0.756 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000016517982 1073082652 /nfs/dbraw/zinc/08/26/52/1073082652.db2.gz IVUMAGGGOYDVTI-ZDUSSCGKSA-N 0 0 435.506 -0.756 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000016519356 1073082598 /nfs/dbraw/zinc/08/25/98/1073082598.db2.gz YONUVQYATLDDCA-UHFFFAOYSA-N 0 0 449.533 -0.528 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000016522449 1073082758 /nfs/dbraw/zinc/08/27/58/1073082758.db2.gz YRNZNTYYMQKVBW-CYBMUJFWSA-N 0 0 426.495 -0.002 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000016522450 1073082553 /nfs/dbraw/zinc/08/25/53/1073082553.db2.gz YRNZNTYYMQKVBW-ZDUSSCGKSA-N 0 0 426.495 -0.002 20 0 IBADRN CCCNC(=O)NC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000016522458 1072956491 /nfs/dbraw/zinc/95/64/91/1072956491.db2.gz NSPJKTRBXGPXQE-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC000016526565 1071116186 /nfs/dbraw/zinc/11/61/86/1071116186.db2.gz HXYNMRUHDIEXKY-MRXNPFEDSA-N 0 0 429.564 -0.087 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC000016526566 1071117239 /nfs/dbraw/zinc/11/72/39/1071117239.db2.gz HXYNMRUHDIEXKY-INIZCTEOSA-N 0 0 429.564 -0.087 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1C ZINC000016526753 1073082767 /nfs/dbraw/zinc/08/27/67/1073082767.db2.gz LNJVUBGHGYCOCQ-UHFFFAOYSA-N 0 0 449.533 -0.528 20 0 IBADRN NC(=O)NC(=O)COC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC000016537116 1074408715 /nfs/dbraw/zinc/40/87/15/1074408715.db2.gz DNVSPGQULKDYIE-UHFFFAOYSA-N 0 0 440.434 -0.493 20 0 IBADRN NC(=O)COc1ccc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cc1 ZINC000016548689 1074409918 /nfs/dbraw/zinc/40/99/18/1074409918.db2.gz OGMJCMOPUDNSAP-UHFFFAOYSA-N 0 0 433.442 -0.056 20 0 IBADRN CC1(C)NC(=O)N(CCC(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1=O ZINC000016555294 1074409942 /nfs/dbraw/zinc/40/99/42/1074409942.db2.gz ZMHSASRPWROZCF-UHFFFAOYSA-N 0 0 438.462 -0.513 20 0 IBADRN CCN(CC(=O)Nc1ccc(NC(C)=O)cc1)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000016557339 1072956994 /nfs/dbraw/zinc/95/69/94/1072956994.db2.gz YQDCNKJDCAZWAT-UHFFFAOYSA-N 0 0 430.465 -0.232 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000016566190 1073082712 /nfs/dbraw/zinc/08/27/12/1073082712.db2.gz HTZRDQWWOPWQBT-UHFFFAOYSA-N 0 0 427.508 -0.063 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)N2CCN(c3ccc(F)cc3)CC2)C1=O ZINC000016573872 1073364769 /nfs/dbraw/zinc/36/47/69/1073364769.db2.gz USIFXWBJIKEIRM-HNNXBMFYSA-N 0 0 426.470 -0.171 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)N2CCN(c3ccc(F)cc3)CC2)C1=O ZINC000016573873 1073364607 /nfs/dbraw/zinc/36/46/07/1073364607.db2.gz USIFXWBJIKEIRM-OAHLLOKOSA-N 0 0 426.470 -0.171 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)N2CCN(c3ccccc3F)CC2)C1=O ZINC000016574032 1073364622 /nfs/dbraw/zinc/36/46/22/1073364622.db2.gz QTXFAIUEVRFORI-AWEZNQCLSA-N 0 0 426.470 -0.171 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)N2CCN(c3ccccc3F)CC2)C1=O ZINC000016574033 1073364748 /nfs/dbraw/zinc/36/47/48/1073364748.db2.gz QTXFAIUEVRFORI-CQSZACIVSA-N 0 0 426.470 -0.171 20 0 IBADRN CCCCN(C(=O)CN1CCNC(=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000016585517 1072958596 /nfs/dbraw/zinc/95/85/96/1072958596.db2.gz VBZGAUQAORENBP-UHFFFAOYSA-N 0 0 428.493 -0.268 20 0 IBADRN CCN(CC(=O)NC(=O)Nc1ccc(OC)cc1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000016586388 1072958619 /nfs/dbraw/zinc/95/86/19/1072958619.db2.gz VDUUEXIVQQOWHB-CQSZACIVSA-N 0 0 426.495 -0.032 20 0 IBADRN CCN(CC(=O)NC(=O)Nc1ccc(OC)cc1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000016586389 1072958420 /nfs/dbraw/zinc/95/84/20/1072958420.db2.gz VDUUEXIVQQOWHB-AWEZNQCLSA-N 0 0 426.495 -0.032 20 0 IBADRN CCN(CC(=O)NCc1ccc(OC)c(OC)c1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000016586678 1073082538 /nfs/dbraw/zinc/08/25/38/1073082538.db2.gz CJZITTTZFASDRJ-OAHLLOKOSA-N 0 0 427.523 -0.055 20 0 IBADRN CCN(CC(=O)NCc1ccc(OC)c(OC)c1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000016586679 1073082610 /nfs/dbraw/zinc/08/26/10/1073082610.db2.gz CJZITTTZFASDRJ-HNNXBMFYSA-N 0 0 427.523 -0.055 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000016588485 1073082698 /nfs/dbraw/zinc/08/26/98/1073082698.db2.gz XOVBZTHYQUOREG-UHFFFAOYSA-N 0 0 431.449 -0.419 20 0 IBADRN CN(CC(=O)Nc1ccc(N2CCOCC2)cc1)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000016589366 1072656019 /nfs/dbraw/zinc/65/60/19/1072656019.db2.gz MCYKAJUOWGJDBD-UHFFFAOYSA-N 0 0 444.492 -0.744 20 0 IBADRN CN(CC(=O)NC(N)=O)CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000016589424 1073082746 /nfs/dbraw/zinc/08/27/46/1073082746.db2.gz XKQPXPGUBZQEJD-UHFFFAOYSA-N 0 0 447.901 -0.574 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000016593752 1071710472 /nfs/dbraw/zinc/71/04/72/1071710472.db2.gz JSOKXOSNGJFLGT-UHFFFAOYSA-N 0 0 437.478 -0.438 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)CNC(=O)c2ccc3c(c2)OCO3)c1 ZINC000016594144 1072655950 /nfs/dbraw/zinc/65/59/50/1072655950.db2.gz CRPCUSXLHGYXAW-UHFFFAOYSA-N 0 0 448.457 -0.143 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)NNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)c1 ZINC000016594248 1072656110 /nfs/dbraw/zinc/65/61/10/1072656110.db2.gz BOOIRCBJSMMNEA-UHFFFAOYSA-N 0 0 440.503 -0.080 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)NNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000016594265 1072656032 /nfs/dbraw/zinc/65/60/32/1072656032.db2.gz WDNXCRCMXAPHRN-UHFFFAOYSA-N 0 0 440.503 -0.032 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)CNC(=O)COc2ccccc2)c1 ZINC000016594286 1072656088 /nfs/dbraw/zinc/65/60/88/1072656088.db2.gz GMNBQELWTVDEEK-UHFFFAOYSA-N 0 0 434.474 -0.107 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)Nc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000016617107 1072991362 /nfs/dbraw/zinc/99/13/62/1072991362.db2.gz NMGWDLBMMMBKTP-UHFFFAOYSA-N 0 0 425.449 -0.190 20 0 IBADRN CC(C)CN(C(=O)COC(=O)C1=NNC(=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000016623376 1072656049 /nfs/dbraw/zinc/65/60/49/1072656049.db2.gz PDGPEYUBHYRWSG-UHFFFAOYSA-N 0 0 436.469 -0.427 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)Cn2nnc3ccccc3c2=O)c(=O)n(C)c1=O ZINC000016654658 1074458461 /nfs/dbraw/zinc/45/84/61/1074458461.db2.gz UKBCLQJKWVUAEZ-UHFFFAOYSA-N 0 0 442.432 -0.684 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000016655361 1074458293 /nfs/dbraw/zinc/45/82/93/1074458293.db2.gz ZKLDXQIZEMUTQG-HNNXBMFYSA-N 0 0 441.444 -0.379 20 0 IBADRN Cc1cccc(NC(=O)NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000016670291 1074458538 /nfs/dbraw/zinc/45/85/38/1074458538.db2.gz CDGFFMRAHNZFFY-UHFFFAOYSA-N 0 0 442.432 -0.058 20 0 IBADRN Cc1ccc(NC(=O)NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1C ZINC000016670292 1074458544 /nfs/dbraw/zinc/45/85/44/1074458544.db2.gz QUDVLDRMSFRZDB-UHFFFAOYSA-N 0 0 442.432 -0.058 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NC(=O)NCc1cccs1)c(=O)n2C ZINC000016670293 1074458528 /nfs/dbraw/zinc/45/85/28/1074458528.db2.gz QWIYMCKRTGQHFT-UHFFFAOYSA-N 0 0 434.434 -0.936 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CN1CCCC1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000016670454 1074458433 /nfs/dbraw/zinc/45/84/33/1074458433.db2.gz OYFYLJMKCVYVPA-UHFFFAOYSA-N 0 0 437.497 -0.071 20 0 IBADRN Cn1c2nnc(SCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)n2c2ccccc2c1=O ZINC000016680660 1072308121 /nfs/dbraw/zinc/30/81/21/1072308121.db2.gz VEHUYLGVBNHNPI-UHFFFAOYSA-N 0 0 427.446 -0.464 20 0 IBADRN C[C@@H](Sc1nnc2n1c1ccccc1c(=O)n2C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000016680702 1073364613 /nfs/dbraw/zinc/36/46/13/1073364613.db2.gz SSNKCLYBFRYGFN-SECBINFHSA-N 0 0 441.473 -0.076 20 0 IBADRN C[C@H](Sc1nnc2n1c1ccccc1c(=O)n2C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000016680704 1073364640 /nfs/dbraw/zinc/36/46/40/1073364640.db2.gz SSNKCLYBFRYGFN-VIFPVBQESA-N 0 0 441.473 -0.076 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)c1ccc2c(c1)OCO2)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000016714780 1074458274 /nfs/dbraw/zinc/45/82/74/1074458274.db2.gz LURHZDOILOCZAD-DGCLKSJQSA-N 0 0 426.447 -0.278 20 0 IBADRN C[C@H](OC(=O)CNC(=O)c1ccc2c(c1)OCO2)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000016714781 1074458524 /nfs/dbraw/zinc/45/85/24/1074458524.db2.gz LURHZDOILOCZAD-WCQYABFASA-N 0 0 426.447 -0.278 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)c1ccc2c(c1)OCO2)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000016714782 1074458456 /nfs/dbraw/zinc/45/84/56/1074458456.db2.gz LURHZDOILOCZAD-YPMHNXCESA-N 0 0 426.447 -0.278 20 0 IBADRN C[C@H](OC(=O)CNC(=O)c1ccc2c(c1)OCO2)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000016714783 1074459040 /nfs/dbraw/zinc/45/90/40/1074459040.db2.gz LURHZDOILOCZAD-AAEUAGOBSA-N 0 0 426.447 -0.278 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1CCOCC1 ZINC000016735668 1073364700 /nfs/dbraw/zinc/36/47/00/1073364700.db2.gz FGXXQELQBPCWHZ-UHFFFAOYSA-N 0 0 425.463 -0.851 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000016735728 1072421327 /nfs/dbraw/zinc/42/13/27/1072421327.db2.gz UJKYMLAKNOWHOC-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000016735812 1073364757 /nfs/dbraw/zinc/36/47/57/1073364757.db2.gz NWCIXZLSOBERBL-UHFFFAOYSA-N 0 0 427.479 -0.605 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000016735815 1073364714 /nfs/dbraw/zinc/36/47/14/1073364714.db2.gz WOZZMSRRASAWRE-UHFFFAOYSA-N 0 0 427.479 -0.605 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000016735836 1072421403 /nfs/dbraw/zinc/42/14/03/1072421403.db2.gz VTTFCHRJXZUZPZ-UHFFFAOYSA-N 0 0 425.463 -0.835 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1)N1CCOCC1 ZINC000016735861 1073364678 /nfs/dbraw/zinc/36/46/78/1073364678.db2.gz NJALCNZCTIIPND-UHFFFAOYSA-N 0 0 439.490 -0.460 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000016737102 1074459067 /nfs/dbraw/zinc/45/90/67/1074459067.db2.gz MTFJTTYDBSVRBN-UHFFFAOYSA-N 0 0 428.492 -0.914 20 0 IBADRN O=C(C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCCC3)cn2)CC1)N1CCOCC1 ZINC000016738129 1073364735 /nfs/dbraw/zinc/36/47/35/1073364735.db2.gz LNBSZPIZESPHTO-UHFFFAOYSA-N 0 0 437.522 -0.627 20 0 IBADRN CC(=O)NCCc1ccc(C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000016750291 1074458982 /nfs/dbraw/zinc/45/89/82/1074458982.db2.gz VOIXKVIMNBZSRU-UHFFFAOYSA-N 0 0 447.473 -0.400 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)NC(=O)NCC(F)(F)F)c(=O)n(C)c1=O ZINC000016759389 1074459005 /nfs/dbraw/zinc/45/90/05/1074459005.db2.gz QLAFGHSGEPIZFT-UHFFFAOYSA-N 0 0 441.164 -0.416 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000016761039 1074458972 /nfs/dbraw/zinc/45/89/72/1074458972.db2.gz OTIDPZITGKSWPG-HUUCEWRRSA-N 0 0 449.485 -0.059 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000016761041 1074459102 /nfs/dbraw/zinc/45/91/02/1074459102.db2.gz OTIDPZITGKSWPG-GASCZTMLSA-N 0 0 449.485 -0.059 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016761204 1073082504 /nfs/dbraw/zinc/08/25/04/1073082504.db2.gz DFGLTQABTYMXEE-SNVBAGLBSA-N 0 0 432.462 -0.941 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000016761206 1073082565 /nfs/dbraw/zinc/08/25/65/1073082565.db2.gz DFGLTQABTYMXEE-JTQLQIEISA-N 0 0 432.462 -0.941 20 0 IBADRN Cn1c2ncn(CCOc3ccc(S(=O)(=O)N4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000016761209 1073364720 /nfs/dbraw/zinc/36/47/20/1073364720.db2.gz AACXNULPZNYKMN-UHFFFAOYSA-N 0 0 449.489 -0.466 20 0 IBADRN Cn1c2ncn(CC(=O)c3ccc(CCNS(C)(=O)=O)s3)c2c(=O)n(C)c1=O ZINC000016761215 1073364598 /nfs/dbraw/zinc/36/45/98/1073364598.db2.gz ARIBGHZWCLIGAH-UHFFFAOYSA-N 0 0 425.492 -0.530 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CNC(=O)COc1ccccc1 ZINC000016761552 1074459076 /nfs/dbraw/zinc/45/90/76/1074459076.db2.gz DHZAVIFAGFJCDL-UHFFFAOYSA-N 0 0 447.469 -0.039 20 0 IBADRN Cc1nnc(SCC(=O)N2CCCc3cc(S(=O)(=O)N(C)C)ccc32)n(N)c1=O ZINC000016764554 1074459012 /nfs/dbraw/zinc/45/90/12/1074459012.db2.gz QWMNGMCUEOABCN-UHFFFAOYSA-N 0 0 438.535 -0.018 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CN1C(=O)NC(C)(C)C1=O ZINC000016801216 1074459044 /nfs/dbraw/zinc/45/90/44/1074459044.db2.gz KAZMKBTYORVVBW-SNVBAGLBSA-N 0 0 438.462 -0.515 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CN1C(=O)NC(C)(C)C1=O ZINC000016801218 1074459113 /nfs/dbraw/zinc/45/91/13/1074459113.db2.gz KAZMKBTYORVVBW-JTQLQIEISA-N 0 0 438.462 -0.515 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)Cn1nnc2ccccc2c1=O ZINC000016810422 1074459056 /nfs/dbraw/zinc/45/90/56/1074459056.db2.gz KJBARHAPFBHVML-UHFFFAOYSA-N 0 0 443.441 -0.429 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1=O ZINC000016817078 1074459081 /nfs/dbraw/zinc/45/90/81/1074459081.db2.gz PJIFLOFUEZLIHM-GOSISDBHSA-N 0 0 438.462 -0.513 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1=O ZINC000016817079 1074459092 /nfs/dbraw/zinc/45/90/92/1074459092.db2.gz PJIFLOFUEZLIHM-SFHVURJKSA-N 0 0 438.462 -0.513 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000016829737 1072443886 /nfs/dbraw/zinc/44/38/86/1072443886.db2.gz UZASURVBDAKUCB-QGZVFWFLSA-N 0 0 440.504 -0.068 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000016829739 1072443753 /nfs/dbraw/zinc/44/37/53/1072443753.db2.gz UZASURVBDAKUCB-KRWDZBQOSA-N 0 0 440.504 -0.068 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H](CN1CCOCC1)c1ccccc1)c(=O)n2C ZINC000016831121 1072443841 /nfs/dbraw/zinc/44/38/41/1072443841.db2.gz BGYYGODOSMCCCB-INIZCTEOSA-N 0 0 426.477 -0.377 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H](CN1CCOCC1)c1ccccc1)c(=O)n2C ZINC000016831123 1072443704 /nfs/dbraw/zinc/44/37/04/1072443704.db2.gz BGYYGODOSMCCCB-MRXNPFEDSA-N 0 0 426.477 -0.377 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(CN3C(=O)C(=O)N(C)C3=O)CC2)c1 ZINC000016848829 1074459034 /nfs/dbraw/zinc/45/90/34/1074459034.db2.gz VTUCZZWBAKNLNR-UHFFFAOYSA-N 0 0 426.451 -0.612 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@]2(CCCC[C@H]2C)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000016859008 1074459106 /nfs/dbraw/zinc/45/91/06/1074459106.db2.gz RMSPBPRQTSBKMR-WLHTULFXSA-N 0 0 429.495 -0.278 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@]2(CCCC[C@@H]2C)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000016859009 1074459021 /nfs/dbraw/zinc/45/90/21/1074459021.db2.gz RMSPBPRQTSBKMR-JWXAPSBJSA-N 0 0 429.495 -0.278 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)O[C@@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000016943767 1074459722 /nfs/dbraw/zinc/45/97/22/1074459722.db2.gz WPHASMAYNCUASW-WDEREUQCSA-N 0 0 434.492 -0.467 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)O[C@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000016943772 1074459641 /nfs/dbraw/zinc/45/96/41/1074459641.db2.gz WPHASMAYNCUASW-GHMZBOCLSA-N 0 0 434.492 -0.467 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)O[C@@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000016943777 1074459690 /nfs/dbraw/zinc/45/96/90/1074459690.db2.gz WPHASMAYNCUASW-QWRGUYRKSA-N 0 0 434.492 -0.467 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)O[C@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000016943781 1074459682 /nfs/dbraw/zinc/45/96/82/1074459682.db2.gz WPHASMAYNCUASW-MNOVXSKESA-N 0 0 434.492 -0.467 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000016943792 1074459829 /nfs/dbraw/zinc/45/98/29/1074459829.db2.gz PSZMKSNNSCNVGS-VXGBXAGGSA-N 0 0 448.519 -0.077 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000016943798 1074459819 /nfs/dbraw/zinc/45/98/19/1074459819.db2.gz PSZMKSNNSCNVGS-NEPJUHHUSA-N 0 0 448.519 -0.077 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000016943802 1074459775 /nfs/dbraw/zinc/45/97/75/1074459775.db2.gz PSZMKSNNSCNVGS-NWDGAFQWSA-N 0 0 448.519 -0.077 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000016943806 1074459825 /nfs/dbraw/zinc/45/98/25/1074459825.db2.gz PSZMKSNNSCNVGS-RYUDHWBXSA-N 0 0 448.519 -0.077 20 0 IBADRN COc1ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC000016948061 1074459804 /nfs/dbraw/zinc/45/98/04/1074459804.db2.gz GIYNHPAWOIAZKC-WCQYABFASA-N 0 0 448.519 -0.206 20 0 IBADRN COc1ccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC000016948065 1074459618 /nfs/dbraw/zinc/45/96/18/1074459618.db2.gz GIYNHPAWOIAZKC-DGCLKSJQSA-N 0 0 448.519 -0.206 20 0 IBADRN COc1ccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC000016948068 1074459832 /nfs/dbraw/zinc/45/98/32/1074459832.db2.gz GIYNHPAWOIAZKC-AAEUAGOBSA-N 0 0 448.519 -0.206 20 0 IBADRN COc1ccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC000016948073 1074459822 /nfs/dbraw/zinc/45/98/22/1074459822.db2.gz GIYNHPAWOIAZKC-YPMHNXCESA-N 0 0 448.519 -0.206 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000016950134 1074459696 /nfs/dbraw/zinc/45/96/96/1074459696.db2.gz HPLMANKBQUDQNY-WCQYABFASA-N 0 0 448.519 -0.206 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000016950135 1074459656 /nfs/dbraw/zinc/45/96/56/1074459656.db2.gz HPLMANKBQUDQNY-DGCLKSJQSA-N 0 0 448.519 -0.206 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000016950136 1074459784 /nfs/dbraw/zinc/45/97/84/1074459784.db2.gz HPLMANKBQUDQNY-AAEUAGOBSA-N 0 0 448.519 -0.206 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000016950137 1074459675 /nfs/dbraw/zinc/45/96/75/1074459675.db2.gz HPLMANKBQUDQNY-YPMHNXCESA-N 0 0 448.519 -0.206 20 0 IBADRN C[C@H](OC(=O)CCNC1=NS(=O)(=O)c2ccccc21)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000016998676 1074459812 /nfs/dbraw/zinc/45/98/12/1074459812.db2.gz YUQILDXKKFOFIO-NWDGAFQWSA-N 0 0 443.503 -0.650 20 0 IBADRN C[C@H](OC(=O)CCNC1=NS(=O)(=O)c2ccccc21)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000016998682 1074459792 /nfs/dbraw/zinc/45/97/92/1074459792.db2.gz YUQILDXKKFOFIO-RYUDHWBXSA-N 0 0 443.503 -0.650 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3cccnc3)CC2)cc1 ZINC000017067623 1073365177 /nfs/dbraw/zinc/36/51/77/1073365177.db2.gz NRTLAWQEOPFNAV-UHFFFAOYSA-N 0 0 439.519 -0.055 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)N1CC(=O)Nc2ccccc21 ZINC000017109982 1074460239 /nfs/dbraw/zinc/46/02/39/1074460239.db2.gz JXRKRNQQYPHPHJ-LLVKDONJSA-N 0 0 440.416 -0.649 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)N1CC(=O)Nc2ccccc21 ZINC000017109984 1074460265 /nfs/dbraw/zinc/46/02/65/1074460265.db2.gz JXRKRNQQYPHPHJ-NSHDSACASA-N 0 0 440.416 -0.649 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1cccc(N3CCCC3=O)c1)c(=O)n2C ZINC000017109993 1074460349 /nfs/dbraw/zinc/46/03/49/1074460349.db2.gz MISPCLJQGSVAOB-UHFFFAOYSA-N 0 0 439.428 -0.013 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1cccc(S(C)(=O)=O)c1)c(=O)n2C ZINC000017109996 1074460337 /nfs/dbraw/zinc/46/03/37/1074460337.db2.gz VHYHVPCAUDONKT-UHFFFAOYSA-N 0 0 449.445 -0.981 20 0 IBADRN COc1ccccc1CNC(=O)[C@@H](C)OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000017110156 1074460199 /nfs/dbraw/zinc/46/01/99/1074460199.db2.gz IFHASUQBFHGZMR-GFCCVEGCSA-N 0 0 429.433 -0.310 20 0 IBADRN COc1ccccc1CNC(=O)[C@H](C)OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000017110158 1074460298 /nfs/dbraw/zinc/46/02/98/1074460298.db2.gz IFHASUQBFHGZMR-LBPRGKRZSA-N 0 0 429.433 -0.310 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000017110165 1074460135 /nfs/dbraw/zinc/46/01/35/1074460135.db2.gz YCILENRJPBBNOT-SECBINFHSA-N 0 0 441.404 -0.826 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000017110166 1074460342 /nfs/dbraw/zinc/46/03/42/1074460342.db2.gz YCILENRJPBBNOT-VIFPVBQESA-N 0 0 441.404 -0.826 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1sccc1C(N)=O ZINC000017110200 1074460152 /nfs/dbraw/zinc/46/01/52/1074460152.db2.gz XDRHJZKAYKHVNH-MRVPVSSYSA-N 0 0 434.434 -0.836 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1sccc1C(N)=O ZINC000017110202 1074460180 /nfs/dbraw/zinc/46/01/80/1074460180.db2.gz XDRHJZKAYKHVNH-QMMMGPOBSA-N 0 0 434.434 -0.836 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NC(=O)NCc1ccccc1 ZINC000017110250 1074460188 /nfs/dbraw/zinc/46/01/88/1074460188.db2.gz ZDTSMYWVQSGILF-GFCCVEGCSA-N 0 0 442.432 -0.609 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NC(=O)NCc1ccccc1 ZINC000017110253 1074460194 /nfs/dbraw/zinc/46/01/94/1074460194.db2.gz ZDTSMYWVQSGILF-LBPRGKRZSA-N 0 0 442.432 -0.609 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000017115256 1074460213 /nfs/dbraw/zinc/46/02/13/1074460213.db2.gz CQSRYFJXTWAIGS-UHFFFAOYSA-N 0 0 439.494 -0.055 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCC(=O)NC(=O)NC)c1 ZINC000017124708 1072656147 /nfs/dbraw/zinc/65/61/47/1072656147.db2.gz PROMLERZHDMENR-UHFFFAOYSA-N 0 0 430.483 -0.266 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCO)c(C(=O)O[C@@H](C)C(=O)NC(N)=O)c1 ZINC000017124883 1072656065 /nfs/dbraw/zinc/65/60/65/1072656065.db2.gz MTALTGGUXONBPG-NSHDSACASA-N 0 0 430.483 -0.139 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCO)c(C(=O)O[C@H](C)C(=O)NC(N)=O)c1 ZINC000017124887 1072656574 /nfs/dbraw/zinc/65/65/74/1072656574.db2.gz MTALTGGUXONBPG-LLVKDONJSA-N 0 0 430.483 -0.139 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCC(=O)N2CCNC2=O)c1 ZINC000017124953 1072656601 /nfs/dbraw/zinc/65/66/01/1072656601.db2.gz URMIUFVMPLRQBJ-UHFFFAOYSA-N 0 0 442.494 -0.170 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCc1nc(N)nc(Nc3ccccc3)n1)c(=O)n2C ZINC000017144731 1072656553 /nfs/dbraw/zinc/65/65/53/1072656553.db2.gz YFGHYTYYZAYTEL-UHFFFAOYSA-N 0 0 437.420 -0.312 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)Cn2cnc3c4ccccc4oc3c2=O)c(=O)n(C)c1=O ZINC000017152056 1074460162 /nfs/dbraw/zinc/46/01/62/1074460162.db2.gz MMCCMAUORMZVJV-UHFFFAOYSA-N 0 0 439.384 -0.452 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1ccc(S(=O)(=O)N3CCOCC3)cc1)c2=O ZINC000017180130 1073082641 /nfs/dbraw/zinc/08/26/41/1073082641.db2.gz XTNHFTMZDRISRM-UHFFFAOYSA-N 0 0 432.462 -0.210 20 0 IBADRN CCn1nc(C(=O)NNC(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)c2ccccc2c1=O ZINC000017189864 1072656546 /nfs/dbraw/zinc/65/65/46/1072656546.db2.gz OBDNWDLXOUUJII-FQEVSTJZSA-N 0 0 426.433 -0.102 20 0 IBADRN CCn1nc(C(=O)NNC(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)c2ccccc2c1=O ZINC000017189867 1072656658 /nfs/dbraw/zinc/65/66/58/1072656658.db2.gz OBDNWDLXOUUJII-HXUWFJFHSA-N 0 0 426.433 -0.102 20 0 IBADRN Cn1nc(C(=O)NNC(=O)CNC2=NS(=O)(=O)c3ccccc32)c2ccccc2c1=O ZINC000017191216 1072656560 /nfs/dbraw/zinc/65/65/60/1072656560.db2.gz KLTHKPIUFALRDP-UHFFFAOYSA-N 0 0 440.441 -0.567 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc3c(c2)CCN3S(C)(=O)=O)c(=O)n(C)c1=O ZINC000017191495 1074460284 /nfs/dbraw/zinc/46/02/84/1074460284.db2.gz TVOSPYRYCJWDSP-UHFFFAOYSA-N 0 0 436.446 -0.972 20 0 IBADRN Cn1nc(C(=O)NNC(=O)CN2C(=O)NC3(CCCCC3)C2=O)c2ccccc2c1=O ZINC000017191816 1072656477 /nfs/dbraw/zinc/65/64/77/1072656477.db2.gz IYVPXJSUAXMSEN-UHFFFAOYSA-N 0 0 426.433 -0.051 20 0 IBADRN Cn1nc(C(=O)NNC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)c2ccccc2c1=O ZINC000017192891 1072656580 /nfs/dbraw/zinc/65/65/80/1072656580.db2.gz NPRJSTURGLTVIH-UHFFFAOYSA-N 0 0 428.430 -0.808 20 0 IBADRN Cn1nc(C(=O)NNC(=O)CCN2C(=O)NC3(CCCC3)C2=O)c2ccccc2c1=O ZINC000017192925 1072656608 /nfs/dbraw/zinc/65/66/08/1072656608.db2.gz ROMFHOFPEWQZFT-UHFFFAOYSA-N 0 0 426.433 -0.051 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NNC(=O)c1nn(C)c(=O)c2ccccc21 ZINC000017193574 1072656512 /nfs/dbraw/zinc/65/65/12/1072656512.db2.gz WKDRQBNDEAVKQB-UHFFFAOYSA-N 0 0 431.430 -0.336 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NNC(=O)c2nn(C)c(=O)c3ccccc32)ccc1OC ZINC000017193595 1072656596 /nfs/dbraw/zinc/65/65/96/1072656596.db2.gz JVNWUUAWHMPOGF-UHFFFAOYSA-N 0 0 445.457 -0.075 20 0 IBADRN O=C(CNC1=NS(=O)(=O)c2ccccc21)NNC(=O)c1n[nH]c(=O)c2ccccc21 ZINC000017194112 1072656675 /nfs/dbraw/zinc/65/66/75/1072656675.db2.gz SIDWACWNTACANJ-UHFFFAOYSA-N 0 0 426.414 -0.577 20 0 IBADRN O=C(CCNC1=NS(=O)(=O)c2ccccc21)NNC(=O)c1n[nH]c(=O)c2ccccc21 ZINC000017195823 1070844496 /nfs/dbraw/zinc/84/44/96/1070844496.db2.gz ICXFYMCTUXNWJF-UHFFFAOYSA-N 0 0 440.441 -0.187 20 0 IBADRN CC(C)Cn1c(CCC(N)=O)nnc1S[C@@H](C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000017274942 1073082963 /nfs/dbraw/zinc/08/29/63/1073082963.db2.gz LYWMQACQFWNYBH-JTQLQIEISA-N 0 0 437.526 -0.305 20 0 IBADRN CC(C)Cn1c(CCC(N)=O)nnc1S[C@H](C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000017274944 1073082909 /nfs/dbraw/zinc/08/29/09/1073082909.db2.gz LYWMQACQFWNYBH-SNVBAGLBSA-N 0 0 437.526 -0.305 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2CCNC(=O)C2)c1C(=O)OC ZINC000017288748 1070843833 /nfs/dbraw/zinc/84/38/33/1070843833.db2.gz ZJJAYKFQOJTQKG-UHFFFAOYSA-N 0 0 427.435 -0.593 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000017299479 1072604746 /nfs/dbraw/zinc/60/47/46/1072604746.db2.gz DNPSSEZWCMIOCA-UHFFFAOYSA-N 0 0 432.506 -0.195 20 0 IBADRN CCN(C(=O)CN(C)CC(=O)NC(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000017306638 1073082933 /nfs/dbraw/zinc/08/29/33/1073082933.db2.gz XYCJTFJCQFKADE-UHFFFAOYSA-N 0 0 430.509 -0.024 20 0 IBADRN CCN(C(=O)CN(C)CC(=O)NC1CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000017306948 1073083084 /nfs/dbraw/zinc/08/30/84/1073083084.db2.gz PMYOVHGKLOLWSZ-UHFFFAOYSA-N 0 0 428.493 -0.270 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@@H](C)O[C@@H](C)C2)c(=O)[nH]c1=O ZINC000017324992 1073082897 /nfs/dbraw/zinc/08/28/97/1073082897.db2.gz LXPCUDGISJQPAY-OKILXGFUSA-N 0 0 439.513 -0.076 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000017332750 1073083050 /nfs/dbraw/zinc/08/30/50/1073083050.db2.gz HONGQGMQXAEGHE-UHFFFAOYSA-N 0 0 430.552 -0.478 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000017332770 1073083134 /nfs/dbraw/zinc/08/31/34/1073083134.db2.gz KNFIUSXUYVTLCR-UHFFFAOYSA-N 0 0 439.490 -0.155 20 0 IBADRN CCCCn1c(N)c(N(C)C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)c(=O)[nH]c1=O ZINC000017344349 1074460249 /nfs/dbraw/zinc/46/02/49/1074460249.db2.gz IIDYMOWMWHBLSJ-UHFFFAOYSA-N 0 0 448.505 -0.037 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)N2CCOCC2)c1 ZINC000017531443 1074460894 /nfs/dbraw/zinc/46/08/94/1074460894.db2.gz PRBBIEOWCYCURA-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)NC(=O)NC)c1 ZINC000017531561 1074461634 /nfs/dbraw/zinc/46/16/34/1074461634.db2.gz YLYXJWWKCVXFBJ-UHFFFAOYSA-N 0 0 428.467 -0.554 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NC)c1 ZINC000017531565 1074461563 /nfs/dbraw/zinc/46/15/63/1074461563.db2.gz WTFVFSMIUZCLFV-LBPRGKRZSA-N 0 0 442.494 -0.166 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NC)c1 ZINC000017531567 1074461618 /nfs/dbraw/zinc/46/16/18/1074461618.db2.gz WTFVFSMIUZCLFV-GFCCVEGCSA-N 0 0 442.494 -0.166 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)O[C@@H](C)C(=O)NC(N)=O)c1 ZINC000017531595 1074461648 /nfs/dbraw/zinc/46/16/48/1074461648.db2.gz QZDCYVVRGDKGAO-NSHDSACASA-N 0 0 428.467 -0.427 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)O[C@H](C)C(=O)NC(N)=O)c1 ZINC000017531596 1074461456 /nfs/dbraw/zinc/46/14/56/1074461456.db2.gz QZDCYVVRGDKGAO-LLVKDONJSA-N 0 0 428.467 -0.427 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)N2CCNC2=O)c1 ZINC000017531610 1074461467 /nfs/dbraw/zinc/46/14/67/1074461467.db2.gz OSVKYCIQRJKBRO-UHFFFAOYSA-N 0 0 440.478 -0.458 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000017594773 1072656617 /nfs/dbraw/zinc/65/66/17/1072656617.db2.gz RBOCRLRXMWQANN-UHFFFAOYSA-N 0 0 439.490 -0.017 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(CN3C(=O)CCC3=O)cc2)c(=O)n(C)c1=O ZINC000017596390 1072657233 /nfs/dbraw/zinc/65/72/33/1072657233.db2.gz GXOOQCVBDZHJNB-UHFFFAOYSA-N 0 0 428.401 -0.645 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000017597775 1071323772 /nfs/dbraw/zinc/32/37/72/1071323772.db2.gz VDKZEKVCSAQDOA-OAHLLOKOSA-N 0 0 437.474 -0.069 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000017597776 1071323854 /nfs/dbraw/zinc/32/38/54/1071323854.db2.gz VDKZEKVCSAQDOA-HNNXBMFYSA-N 0 0 437.474 -0.069 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000017637498 1073082951 /nfs/dbraw/zinc/08/29/51/1073082951.db2.gz VZZHTLQWSDQVRN-CQSZACIVSA-N 0 0 431.536 -0.250 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000017637500 1073083189 /nfs/dbraw/zinc/08/31/89/1073083189.db2.gz VZZHTLQWSDQVRN-AWEZNQCLSA-N 0 0 431.536 -0.250 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000017655407 1074461573 /nfs/dbraw/zinc/46/15/73/1074461573.db2.gz YUDRTLCQCJSXLN-CYBMUJFWSA-N 0 0 429.495 -0.182 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000017655408 1074461586 /nfs/dbraw/zinc/46/15/86/1074461586.db2.gz YUDRTLCQCJSXLN-ZDUSSCGKSA-N 0 0 429.495 -0.182 20 0 IBADRN CN1N=C(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCC1=O ZINC000017733858 1074461522 /nfs/dbraw/zinc/46/15/22/1074461522.db2.gz OGHUSBLHGHFXHI-UHFFFAOYSA-N 0 0 438.462 -0.203 20 0 IBADRN CN1N=C(C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCC1=O ZINC000017734078 1074461507 /nfs/dbraw/zinc/46/15/07/1074461507.db2.gz APRIVDSSDDRPDQ-UHFFFAOYSA-N 0 0 427.417 -0.488 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)C2=NN(C)C(=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000017734079 1074461557 /nfs/dbraw/zinc/46/15/57/1074461557.db2.gz KTFPRYABHPCCKX-UHFFFAOYSA-N 0 0 441.444 -0.006 20 0 IBADRN CCCCN(C(=O)COC(=O)C1=NN(C)C(=O)CC1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000017734157 1074461613 /nfs/dbraw/zinc/46/16/13/1074461613.db2.gz KNFKLOQXWZQWTE-UHFFFAOYSA-N 0 0 436.469 -0.187 20 0 IBADRN CN1N=C(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCC1=O ZINC000017734405 1074461477 /nfs/dbraw/zinc/46/14/77/1074461477.db2.gz NHVCEQKTGXUYBW-UHFFFAOYSA-N 0 0 440.453 -0.190 20 0 IBADRN CN1N=C(C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCC1=O ZINC000017734429 1074461652 /nfs/dbraw/zinc/46/16/52/1074461652.db2.gz XPIZSJUTBHFANW-UHFFFAOYSA-N 0 0 436.490 -0.188 20 0 IBADRN CN1N=C(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCC1=O ZINC000017734551 1074461965 /nfs/dbraw/zinc/46/19/65/1074461965.db2.gz CIAPQAQLGMSYGH-UHFFFAOYSA-N 0 0 438.462 -0.203 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCc2nc(N)nc(N)n2)c1 ZINC000017742152 1074462054 /nfs/dbraw/zinc/46/20/54/1074462054.db2.gz NNDTUPYJTAKUPQ-UHFFFAOYSA-N 0 0 437.482 -0.520 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000017798807 1073083009 /nfs/dbraw/zinc/08/30/09/1073083009.db2.gz LAWSCDFMKFOILQ-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000017799803 1073126057 /nfs/dbraw/zinc/12/60/57/1073126057.db2.gz RLJFMPPFJCEZCV-UHFFFAOYSA-N 0 0 439.538 -0.502 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1)NC1CC1 ZINC000017811398 1072548832 /nfs/dbraw/zinc/54/88/32/1072548832.db2.gz NELJATNGHVEXSR-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN COCCNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000017814561 1073083167 /nfs/dbraw/zinc/08/31/67/1073083167.db2.gz FSOCXMGLTGAULR-UHFFFAOYSA-N 0 0 441.506 -0.263 20 0 IBADRN COCCNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000017814865 1073083208 /nfs/dbraw/zinc/08/32/08/1073083208.db2.gz GUPAQBPLOSOZLY-UHFFFAOYSA-N 0 0 443.522 -0.017 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000017815505 1073368653 /nfs/dbraw/zinc/36/86/53/1073368653.db2.gz OYPKDWHNTUDDTF-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000017815701 1073368648 /nfs/dbraw/zinc/36/86/48/1073368648.db2.gz XREXDDLOEOFGAJ-UHFFFAOYSA-N 0 0 447.535 -0.567 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000017815768 1073368508 /nfs/dbraw/zinc/36/85/08/1073368508.db2.gz HWPLRILHWHIYBP-UHFFFAOYSA-N 0 0 445.563 -0.256 20 0 IBADRN O=C(CCc1nc2ccccc2c(=O)[nH]1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000017816012 1073368727 /nfs/dbraw/zinc/36/87/27/1073368727.db2.gz KWLKXFYNCHJCLU-UHFFFAOYSA-N 0 0 427.461 -0.615 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)C(N)=O ZINC000017817261 1073082919 /nfs/dbraw/zinc/08/29/19/1073082919.db2.gz WUGAWISHOBLICY-GFCCVEGCSA-N 0 0 430.914 -0.057 20 0 IBADRN C[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)C(N)=O ZINC000017817264 1073082993 /nfs/dbraw/zinc/08/29/93/1073082993.db2.gz WUGAWISHOBLICY-LBPRGKRZSA-N 0 0 430.914 -0.057 20 0 IBADRN CCN(CC(=O)Nc1ccc(NC(C)=O)cc1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000018030452 1073082973 /nfs/dbraw/zinc/08/29/73/1073082973.db2.gz OYKVURJAXOGPCW-UHFFFAOYSA-N 0 0 437.478 -0.308 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H](c1ccc(F)cc1)N1CCOCC1)c(=O)n2C ZINC000018046546 1073083067 /nfs/dbraw/zinc/08/30/67/1073083067.db2.gz OGOGZXOFRQXVEE-MRXNPFEDSA-N 0 0 444.467 -0.238 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H](c1ccc(F)cc1)N1CCOCC1)c(=O)n2C ZINC000018046548 1073083031 /nfs/dbraw/zinc/08/30/31/1073083031.db2.gz OGOGZXOFRQXVEE-INIZCTEOSA-N 0 0 444.467 -0.238 20 0 IBADRN Cn1c2ncn(CCC(=O)NCC(=O)Nc3ccc(F)c(F)c3F)c2c(=O)n(C)c1=O ZINC000018050134 1073369779 /nfs/dbraw/zinc/36/97/79/1073369779.db2.gz TUHZCLUDTPBXSK-UHFFFAOYSA-N 0 0 438.366 -0.004 20 0 IBADRN COC(=O)c1cc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1OC ZINC000018069686 1073146034 /nfs/dbraw/zinc/14/60/34/1073146034.db2.gz FKMFWXKLGQUVTB-UHFFFAOYSA-N 0 0 429.433 -0.065 20 0 IBADRN CCCCn1c(N)c(N(C)C(=O)CCn2[nH]c(=O)c3ccccc3c2=O)c(=O)[nH]c1=O ZINC000018119183 1072550159 /nfs/dbraw/zinc/55/01/59/1072550159.db2.gz UXQKNRXXXZWCTM-UHFFFAOYSA-N 0 0 428.449 -0.025 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=S)NCCN2CCOCC2)c(=O)[nH]c1=O ZINC000018139180 1072412786 /nfs/dbraw/zinc/41/27/86/1072412786.db2.gz ZVZNSNCBWXDFCW-UHFFFAOYSA-N 0 0 428.559 -0.422 20 0 IBADRN COCCN(C(=S)NCCCN1CCOCC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000018140173 1074462110 /nfs/dbraw/zinc/46/21/10/1074462110.db2.gz YZMHAFBBZOSLNK-UHFFFAOYSA-N 0 0 442.586 -0.176 20 0 IBADRN COCCCN(C(=S)NCCN1CCOCC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000018142383 1074462028 /nfs/dbraw/zinc/46/20/28/1074462028.db2.gz JZZWSVVJSNIZDD-UHFFFAOYSA-N 0 0 442.586 -0.176 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000018151864 1073083445 /nfs/dbraw/zinc/08/34/45/1073083445.db2.gz TWVCFFOVIZSICF-UHFFFAOYSA-N 0 0 425.507 -0.037 20 0 IBADRN O=C(CCNC1=NS(=O)(=O)c2ccccc21)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000018154660 1072657336 /nfs/dbraw/zinc/65/73/36/1072657336.db2.gz OEIKOSVBVRLGEB-UHFFFAOYSA-N 0 0 449.533 -0.882 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000018154667 1073083381 /nfs/dbraw/zinc/08/33/81/1073083381.db2.gz KTHSDQSFPYQGLM-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN O=C(COC(=O)c1cccc(C(=O)OCC(=O)NC(=O)NC2CC2)c1)NC(=O)NC1CC1 ZINC000018189746 1074461948 /nfs/dbraw/zinc/46/19/48/1074461948.db2.gz USUULLSELGAJML-UHFFFAOYSA-N 0 0 446.416 -0.023 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000018195567 1074462869 /nfs/dbraw/zinc/46/28/69/1074462869.db2.gz ZOGWZEXBCIVGKV-UHFFFAOYSA-N 0 0 432.426 -0.317 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccccc3CN3CCOCC3)c2c(=O)n(C)c1=O ZINC000018214206 1073083460 /nfs/dbraw/zinc/08/34/60/1073083460.db2.gz MBEOHTJMQYJCLE-UHFFFAOYSA-N 0 0 440.504 -0.028 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccc(CN3CCOCC3)cc1)c(=O)n2C ZINC000018217357 1073083338 /nfs/dbraw/zinc/08/33/38/1073083338.db2.gz WYEMOYXPQMEAPW-UHFFFAOYSA-N 0 0 426.477 -0.418 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccc(CN4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000018217540 1073083542 /nfs/dbraw/zinc/08/35/42/1073083542.db2.gz PTDJTMBQUNURQN-UHFFFAOYSA-N 0 0 426.477 -0.418 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CN(C)C3=O)CC2)cc1OC ZINC000018218027 1073224372 /nfs/dbraw/zinc/22/43/72/1073224372.db2.gz YEJCMIRXTJCWEU-UHFFFAOYSA-N 0 0 440.478 -0.569 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C(=O)CN(C)C1=O ZINC000018218043 1073083427 /nfs/dbraw/zinc/08/34/27/1073083427.db2.gz PUVAOKDDPSZVAR-UHFFFAOYSA-N 0 0 440.478 -0.061 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)Cn2c(Cl)nc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000018218750 1074462862 /nfs/dbraw/zinc/46/28/62/1074462862.db2.gz CATLAANBNXPAQX-UHFFFAOYSA-N 0 0 426.861 -0.176 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000018223948 1074462760 /nfs/dbraw/zinc/46/27/60/1074462760.db2.gz SYBODDUXFXYULR-UHFFFAOYSA-N 0 0 442.432 -0.635 20 0 IBADRN COc1ccccc1CCNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000018223951 1074462777 /nfs/dbraw/zinc/46/27/77/1074462777.db2.gz SREKMAANOLTIIW-UHFFFAOYSA-N 0 0 429.433 -0.656 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000018225235 1074462799 /nfs/dbraw/zinc/46/27/99/1074462799.db2.gz IBVZXPIKRCUQGY-UHFFFAOYSA-N 0 0 428.463 -0.148 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000018226489 1074462707 /nfs/dbraw/zinc/46/27/07/1074462707.db2.gz UUNCOQBWOQRMHO-UHFFFAOYSA-N 0 0 428.463 -0.148 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3=COCCO3)CC2)cc1 ZINC000018226935 1074462740 /nfs/dbraw/zinc/46/27/40/1074462740.db2.gz JUANKHQSGKXERD-UHFFFAOYSA-N 0 0 426.447 -0.041 20 0 IBADRN O=C(COC(=O)C1=COCCO1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000018226960 1074462838 /nfs/dbraw/zinc/46/28/38/1074462838.db2.gz SUBLDKTUZMMBKT-UHFFFAOYSA-N 0 0 426.447 -0.245 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000018242017 1074462842 /nfs/dbraw/zinc/46/28/42/1074462842.db2.gz PPHVYNPFRCPLJW-UHFFFAOYSA-N 0 0 432.426 -0.317 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000018250399 1074462794 /nfs/dbraw/zinc/46/27/94/1074462794.db2.gz WALSBBVRTFNAND-UHFFFAOYSA-N 0 0 444.462 -0.448 20 0 IBADRN Cn1c2ncn(CC(=O)NCC(=O)Nc3ccccc3Br)c2c(=O)n(C)c1=O ZINC000018277163 1071336465 /nfs/dbraw/zinc/33/64/65/1071336465.db2.gz UYEVRAPRPYIIIE-UHFFFAOYSA-N 0 0 449.265 -0.049 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CSc2nnc(C)n2N)c(=O)[nH]c1=O ZINC000018287289 1072657196 /nfs/dbraw/zinc/65/71/96/1072657196.db2.gz OIOCYQFYGNMBHW-UHFFFAOYSA-N 0 0 426.503 -0.696 20 0 IBADRN Cc1nnc(SCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n(N)c1=O ZINC000018348393 1074462767 /nfs/dbraw/zinc/46/27/67/1074462767.db2.gz PELNXZYJSQJTIE-UHFFFAOYSA-N 0 0 442.498 -0.575 20 0 IBADRN Cc1nnc(SCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)n(N)c1=O ZINC000018348401 1074462850 /nfs/dbraw/zinc/46/28/50/1074462850.db2.gz BBWQOMVGEHQURL-UHFFFAOYSA-N 0 0 442.498 -0.575 20 0 IBADRN Cc1nnc(SCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)n(N)c1=O ZINC000018348425 1074462822 /nfs/dbraw/zinc/46/28/22/1074462822.db2.gz BSRPICVFOBIEDQ-UHFFFAOYSA-N 0 0 442.498 -0.575 20 0 IBADRN Cc1nnc(SCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n(N)c1=O ZINC000018348449 1074462724 /nfs/dbraw/zinc/46/27/24/1074462724.db2.gz MCCGPAZUOMETAZ-UHFFFAOYSA-N 0 0 438.535 -0.573 20 0 IBADRN COc1ccc(NC(=O)CSc2nnc(C)c(=O)n2N)cc1S(=O)(=O)N(C)C ZINC000018348498 1074462818 /nfs/dbraw/zinc/46/28/18/1074462818.db2.gz YSHSRLIZSDOYFI-UHFFFAOYSA-N 0 0 428.496 -0.350 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1ccc(N3CCCC3=O)cc1)c(=O)n2C ZINC000018359323 1074462783 /nfs/dbraw/zinc/46/27/83/1074462783.db2.gz UEUIANVQMVKAFB-UHFFFAOYSA-N 0 0 439.428 -0.013 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)[C@@H]2CCCN2S(C)(=O)=O)c1 ZINC000018360344 1074462855 /nfs/dbraw/zinc/46/28/55/1074462855.db2.gz WNGCFSVAKRJWQF-AWEZNQCLSA-N 0 0 433.508 -0.157 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@@H]1CCCN1S(C)(=O)=O)C2=O ZINC000018360872 1074463382 /nfs/dbraw/zinc/46/33/82/1074463382.db2.gz BBAIXBIALBTIMX-JKGQDXBKSA-N 0 0 430.483 -0.515 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cs1 ZINC000018389315 1074463367 /nfs/dbraw/zinc/46/33/67/1074463367.db2.gz WQPJUWFKMQECPH-UHFFFAOYSA-N 0 0 445.500 -0.211 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)NC(=O)NC(C)(C)C ZINC000018392805 1074463411 /nfs/dbraw/zinc/46/34/11/1074463411.db2.gz PIXIPHMNQXBQML-UHFFFAOYSA-N 0 0 430.483 -0.173 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)NC(=O)NC1CCCC1 ZINC000018392943 1074463363 /nfs/dbraw/zinc/46/33/63/1074463363.db2.gz UGELGMCNKFRMPV-UHFFFAOYSA-N 0 0 442.494 -0.029 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000018393056 1074463329 /nfs/dbraw/zinc/46/33/29/1074463329.db2.gz NBMOZYXCCJTXFZ-UHFFFAOYSA-N 0 0 430.483 -0.315 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000018393665 1074463360 /nfs/dbraw/zinc/46/33/60/1074463360.db2.gz PQQDCDYZGQCRED-GFCCVEGCSA-N 0 0 430.483 -0.175 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000018393667 1074463357 /nfs/dbraw/zinc/46/33/57/1074463357.db2.gz PQQDCDYZGQCRED-LBPRGKRZSA-N 0 0 430.483 -0.175 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000018413636 1074463317 /nfs/dbraw/zinc/46/33/17/1074463317.db2.gz VSBWEDCOYBMLCF-UHFFFAOYSA-N 0 0 426.426 -0.532 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000018413641 1074463403 /nfs/dbraw/zinc/46/34/03/1074463403.db2.gz KUNOOMSAWHCWCG-UHFFFAOYSA-N 0 0 448.501 -0.183 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)c1ccc(C(=O)OCC(=O)NC(=O)NCC)s1 ZINC000018418097 1074463379 /nfs/dbraw/zinc/46/33/79/1074463379.db2.gz HBOPXYARUYIDBC-UHFFFAOYSA-N 0 0 428.423 -0.247 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NC(=O)NC)s1 ZINC000018418146 1074463339 /nfs/dbraw/zinc/46/33/39/1074463339.db2.gz VFINKHQTVYWOBI-YUMQZZPRSA-N 0 0 428.423 -0.250 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(C(=O)O[C@H](C)C(=O)NC(=O)NC)s1 ZINC000018418148 1074463400 /nfs/dbraw/zinc/46/34/00/1074463400.db2.gz VFINKHQTVYWOBI-HTQZYQBOSA-N 0 0 428.423 -0.250 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NC(=O)NC)s1 ZINC000018418150 1074463407 /nfs/dbraw/zinc/46/34/07/1074463407.db2.gz VFINKHQTVYWOBI-OCAPTIKFSA-N 0 0 428.423 -0.250 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O ZINC000018470788 1074463416 /nfs/dbraw/zinc/46/34/16/1074463416.db2.gz ZWVIKYSTEFNQHF-SECBINFHSA-N 0 0 444.286 -0.100 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O ZINC000018470790 1074463349 /nfs/dbraw/zinc/46/33/49/1074463349.db2.gz ZWVIKYSTEFNQHF-VIFPVBQESA-N 0 0 444.286 -0.100 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)COC(=O)CNC1=NS(=O)(=O)c2ccccc21 ZINC000018483492 1074463295 /nfs/dbraw/zinc/46/32/95/1074463295.db2.gz UYYYHLPSYMFMQN-CYBMUJFWSA-N 0 0 425.463 -0.028 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)CNC1=NS(=O)(=O)c2ccccc21 ZINC000018483494 1074463274 /nfs/dbraw/zinc/46/32/74/1074463274.db2.gz UYYYHLPSYMFMQN-ZDUSSCGKSA-N 0 0 425.463 -0.028 20 0 IBADRN CCCCNC(=O)NC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000018521921 1074463419 /nfs/dbraw/zinc/46/34/19/1074463419.db2.gz WDFRZEUFZWMUSO-UHFFFAOYSA-N 0 0 442.494 -0.047 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000018522005 1074463375 /nfs/dbraw/zinc/46/33/75/1074463375.db2.gz YUSGYQMYPFWRSV-GFCCVEGCSA-N 0 0 442.494 -0.050 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000018522006 1074463302 /nfs/dbraw/zinc/46/33/02/1074463302.db2.gz YUSGYQMYPFWRSV-LBPRGKRZSA-N 0 0 442.494 -0.050 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000018528048 1074463831 /nfs/dbraw/zinc/46/38/31/1074463831.db2.gz JFYPLYMZEWMAKL-VXGBXAGGSA-N 0 0 444.487 -0.592 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000018528051 1074463800 /nfs/dbraw/zinc/46/38/00/1074463800.db2.gz JFYPLYMZEWMAKL-NWDGAFQWSA-N 0 0 444.487 -0.592 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000018528054 1074463824 /nfs/dbraw/zinc/46/38/24/1074463824.db2.gz JFYPLYMZEWMAKL-NEPJUHHUSA-N 0 0 444.487 -0.592 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000018528057 1074463828 /nfs/dbraw/zinc/46/38/28/1074463828.db2.gz JFYPLYMZEWMAKL-RYUDHWBXSA-N 0 0 444.487 -0.592 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000018531990 1074463747 /nfs/dbraw/zinc/46/37/47/1074463747.db2.gz MRHMHKBNYLDCKE-GFCCVEGCSA-N 0 0 442.494 -0.167 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000018531994 1074463787 /nfs/dbraw/zinc/46/37/87/1074463787.db2.gz MRHMHKBNYLDCKE-LBPRGKRZSA-N 0 0 442.494 -0.167 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)COC(=O)Cn2ccc(=O)[nH]c2=O)cc1 ZINC000018579865 1074463755 /nfs/dbraw/zinc/46/37/55/1074463755.db2.gz XJWHVGNYBJDRGC-UHFFFAOYSA-N 0 0 438.462 -0.251 20 0 IBADRN O=C(COC(=O)Cn1ccc(=O)[nH]c1=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000018579882 1074463762 /nfs/dbraw/zinc/46/37/62/1074463762.db2.gz MZRNNMVMJVFUME-UHFFFAOYSA-N 0 0 436.446 -0.497 20 0 IBADRN COC(=O)c1sc(NC(=O)COC(=O)Cn2ccc(=O)[nH]c2=O)c(C(=O)OC)c1C ZINC000018580007 1074463777 /nfs/dbraw/zinc/46/37/77/1074463777.db2.gz XKHYEERQCGHYQT-UHFFFAOYSA-N 0 0 439.402 -0.338 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)COC(=O)Cn2ccc(=O)[nH]c2=O)ccc1Cl ZINC000018580058 1074463816 /nfs/dbraw/zinc/46/38/16/1074463816.db2.gz MWODRMUDQKEJPL-UHFFFAOYSA-N 0 0 444.853 -0.378 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@@H](C)OC(=O)Cn2ccc(=O)[nH]c2=O)cc1 ZINC000018580344 1074463819 /nfs/dbraw/zinc/46/38/19/1074463819.db2.gz ZSBDGNFCGBPJQP-GFCCVEGCSA-N 0 0 438.462 -0.206 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@H](C)OC(=O)Cn2ccc(=O)[nH]c2=O)cc1 ZINC000018580347 1074463782 /nfs/dbraw/zinc/46/37/82/1074463782.db2.gz ZSBDGNFCGBPJQP-LBPRGKRZSA-N 0 0 438.462 -0.206 20 0 IBADRN O=C(CNC(=O)COC(=O)Cn1ccc(=O)[nH]c1=O)Nc1ccccc1Br ZINC000018580600 1074463730 /nfs/dbraw/zinc/46/37/30/1074463730.db2.gz DAHGVMNXRBPIKV-UHFFFAOYSA-N 0 0 439.222 -0.403 20 0 IBADRN C[C@@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000018590958 1074463810 /nfs/dbraw/zinc/46/38/10/1074463810.db2.gz YRRZDHBVEZSDQP-DGCLKSJQSA-N 0 0 431.492 -0.939 20 0 IBADRN C[C@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000018590960 1074463768 /nfs/dbraw/zinc/46/37/68/1074463768.db2.gz YRRZDHBVEZSDQP-WCQYABFASA-N 0 0 431.492 -0.939 20 0 IBADRN C[C@@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000018590962 1074463791 /nfs/dbraw/zinc/46/37/91/1074463791.db2.gz YRRZDHBVEZSDQP-YPMHNXCESA-N 0 0 431.492 -0.939 20 0 IBADRN C[C@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000018590964 1074463796 /nfs/dbraw/zinc/46/37/96/1074463796.db2.gz YRRZDHBVEZSDQP-AAEUAGOBSA-N 0 0 431.492 -0.939 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)[C@@H]1CCS(=O)(=O)C1 ZINC000018590971 1074463812 /nfs/dbraw/zinc/46/38/12/1074463812.db2.gz UHZWLMIYUPSSLP-TZMCWYRMSA-N 0 0 445.519 -0.549 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)[C@H]1CCS(=O)(=O)C1 ZINC000018590973 1074463806 /nfs/dbraw/zinc/46/38/06/1074463806.db2.gz UHZWLMIYUPSSLP-OCCSQVGLSA-N 0 0 445.519 -0.549 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)[C@@H]1CCS(=O)(=O)C1 ZINC000018590975 1074463821 /nfs/dbraw/zinc/46/38/21/1074463821.db2.gz UHZWLMIYUPSSLP-GXTWGEPZSA-N 0 0 445.519 -0.549 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)[C@H]1CCS(=O)(=O)C1 ZINC000018590977 1074464304 /nfs/dbraw/zinc/46/43/04/1074464304.db2.gz UHZWLMIYUPSSLP-JSGCOSHPSA-N 0 0 445.519 -0.549 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000018591891 1074464279 /nfs/dbraw/zinc/46/42/79/1074464279.db2.gz FKZKUJFATHFCKP-IUODEOHRSA-N 0 0 437.474 -0.071 20 0 IBADRN C[C@H](OC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000018591894 1074464249 /nfs/dbraw/zinc/46/42/49/1074464249.db2.gz FKZKUJFATHFCKP-SWLSCSKDSA-N 0 0 437.474 -0.071 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000018591896 1074464167 /nfs/dbraw/zinc/46/41/67/1074464167.db2.gz FKZKUJFATHFCKP-DOMZBBRYSA-N 0 0 437.474 -0.071 20 0 IBADRN C[C@H](OC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000018591899 1074464296 /nfs/dbraw/zinc/46/42/96/1074464296.db2.gz FKZKUJFATHFCKP-WFASDCNBSA-N 0 0 437.474 -0.071 20 0 IBADRN CN(CC(=O)OCC(=O)NC(=O)NCC(F)(F)F)C1=NS(=O)(=O)c2ccccc21 ZINC000018599606 1074464209 /nfs/dbraw/zinc/46/42/09/1074464209.db2.gz FLFITIUEGJWAPE-UHFFFAOYSA-N 0 0 436.368 -0.001 20 0 IBADRN CNC(=O)NC(=O)COC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1 ZINC000018628503 1074464118 /nfs/dbraw/zinc/46/41/18/1074464118.db2.gz JSZQADJMEPAWHT-GFCCVEGCSA-N 0 0 433.870 -0.128 20 0 IBADRN CNC(=O)NC(=O)COC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1 ZINC000018628506 1074464291 /nfs/dbraw/zinc/46/42/91/1074464291.db2.gz JSZQADJMEPAWHT-LBPRGKRZSA-N 0 0 433.870 -0.128 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1)C(=O)NC(N)=O ZINC000018628637 1074464285 /nfs/dbraw/zinc/46/42/85/1074464285.db2.gz TVIRVZTWGPXNKT-BXKDBHETSA-N 0 0 433.870 0.000 20 0 IBADRN C[C@H](OC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1)C(=O)NC(N)=O ZINC000018628640 1074464146 /nfs/dbraw/zinc/46/41/46/1074464146.db2.gz TVIRVZTWGPXNKT-JOYOIKCWSA-N 0 0 433.870 0.000 20 0 IBADRN C[C@@H](OC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1)C(=O)NC(N)=O ZINC000018628643 1074464273 /nfs/dbraw/zinc/46/42/73/1074464273.db2.gz TVIRVZTWGPXNKT-SKDRFNHKSA-N 0 0 433.870 0.000 20 0 IBADRN C[C@H](OC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1)C(=O)NC(N)=O ZINC000018628646 1074464317 /nfs/dbraw/zinc/46/43/17/1074464317.db2.gz TVIRVZTWGPXNKT-CABZTGNLSA-N 0 0 433.870 0.000 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)N1CCNC1=O ZINC000018628718 1074464201 /nfs/dbraw/zinc/46/42/01/1074464201.db2.gz GXMGJPFSNUPJOF-CYBMUJFWSA-N 0 0 445.881 -0.032 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)N1CCNC1=O ZINC000018628722 1074464134 /nfs/dbraw/zinc/46/41/34/1074464134.db2.gz GXMGJPFSNUPJOF-ZDUSSCGKSA-N 0 0 445.881 -0.032 20 0 IBADRN CNC(=O)COC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000018628939 1072823149 /nfs/dbraw/zinc/82/31/49/1072823149.db2.gz JIWGXXOUFDYRNO-GFCCVEGCSA-N 0 0 426.900 -0.289 20 0 IBADRN CNC(=O)COC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000018628942 1072823141 /nfs/dbraw/zinc/82/31/41/1072823141.db2.gz JIWGXXOUFDYRNO-LBPRGKRZSA-N 0 0 426.900 -0.289 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1)C(N)=O ZINC000018629074 1074464154 /nfs/dbraw/zinc/46/41/54/1074464154.db2.gz SYLSJNJXRPHKBS-BXKDBHETSA-N 0 0 426.900 -0.161 20 0 IBADRN C[C@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1)C(N)=O ZINC000018629077 1074464193 /nfs/dbraw/zinc/46/41/93/1074464193.db2.gz SYLSJNJXRPHKBS-JOYOIKCWSA-N 0 0 426.900 -0.161 20 0 IBADRN C[C@@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1)C(N)=O ZINC000018629080 1074464181 /nfs/dbraw/zinc/46/41/81/1074464181.db2.gz SYLSJNJXRPHKBS-SKDRFNHKSA-N 0 0 426.900 -0.161 20 0 IBADRN C[C@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1)C(N)=O ZINC000018629083 1074464312 /nfs/dbraw/zinc/46/43/12/1074464312.db2.gz SYLSJNJXRPHKBS-CABZTGNLSA-N 0 0 426.900 -0.161 20 0 IBADRN CCCCN(C(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000018708508 1073225827 /nfs/dbraw/zinc/22/58/27/1073225827.db2.gz CKFBGKVERAJAOS-CYBMUJFWSA-N 0 0 429.543 -0.219 20 0 IBADRN CCCCN(C(=O)CN(C)[C@H]1CCS(=O)(=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000018708509 1073225740 /nfs/dbraw/zinc/22/57/40/1073225740.db2.gz CKFBGKVERAJAOS-ZDUSSCGKSA-N 0 0 429.543 -0.219 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1ccc(NS(C)(=O)=O)cc1)c(=O)n2C ZINC000018780904 1072657225 /nfs/dbraw/zinc/65/72/25/1072657225.db2.gz XBPDVQMKJRVOOH-UHFFFAOYSA-N 0 0 449.445 -0.769 20 0 IBADRN COc1ccccc1CN(C)C(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000018780907 1072657356 /nfs/dbraw/zinc/65/73/56/1072657356.db2.gz PFOVMGXIKIXCEP-UHFFFAOYSA-N 0 0 429.433 -0.356 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)n1cnc2c1c(=O)n(C)c(=O)n2C ZINC000018816533 1073225749 /nfs/dbraw/zinc/22/57/49/1073225749.db2.gz SGQDITBMPBHQSY-NSHDSACASA-N 0 0 434.478 -0.999 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)n1cnc2c1c(=O)n(C)c(=O)n2C ZINC000018816536 1072446705 /nfs/dbraw/zinc/44/67/05/1072446705.db2.gz SGQDITBMPBHQSY-LLVKDONJSA-N 0 0 434.478 -0.999 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccc(OCC(N)=O)cc2)c(=O)n(C)c1=O ZINC000018821148 1074464724 /nfs/dbraw/zinc/46/47/24/1074464724.db2.gz IJHZNQDQDNLSFA-UHFFFAOYSA-N 0 0 432.433 -0.311 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(CCOc3ccccc3)CC1)c(=O)n2C ZINC000018826705 1073083390 /nfs/dbraw/zinc/08/33/90/1073083390.db2.gz PENZFKNVGLMVGT-UHFFFAOYSA-N 0 0 426.477 -0.343 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1[N+](=O)[O-] ZINC000018898731 1072657301 /nfs/dbraw/zinc/65/73/01/1072657301.db2.gz VGIIYKUNUXMSGW-UHFFFAOYSA-N 0 0 441.510 -0.174 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)c1ccc([N+](=O)[O-])cc1S(=O)(=O)N1CCOCC1 ZINC000018898735 1072657322 /nfs/dbraw/zinc/65/73/22/1072657322.db2.gz MDHBLWFYVVFTGP-UHFFFAOYSA-N 0 0 441.510 -0.174 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cccc(S(=O)(=O)NC3CC3)c2)c(=O)n(C)c1=O ZINC000018998039 1072657170 /nfs/dbraw/zinc/65/71/70/1072657170.db2.gz YGXZOJPLGLEVJK-UHFFFAOYSA-N 0 0 436.446 -0.853 20 0 IBADRN COC(=O)C1CCN(C(=O)Cn2c(Br)nc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000018998402 1072657159 /nfs/dbraw/zinc/65/71/59/1072657159.db2.gz AAVXTIBRHSFYRZ-UHFFFAOYSA-N 0 0 442.270 -0.392 20 0 IBADRN CCOC(=O)CCCNC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O ZINC000018998551 1072657350 /nfs/dbraw/zinc/65/73/50/1072657350.db2.gz BCWYOHPWGOXLLK-UHFFFAOYSA-N 0 0 430.259 -0.344 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)NC(=O)NC2CCCC2)c(=O)n(C)c1=O ZINC000018998600 1072657206 /nfs/dbraw/zinc/65/72/06/1072657206.db2.gz BDECCTFVACKHSP-UHFFFAOYSA-N 0 0 427.259 -0.035 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)NC(=O)NCc2ccco2)c(=O)n(C)c1=O ZINC000018998602 1072657242 /nfs/dbraw/zinc/65/72/42/1072657242.db2.gz ZGOFEWXGNNRTKW-UHFFFAOYSA-N 0 0 439.226 -0.185 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CC[C@@H]2NC(=O)NC2=O)cc1S(=O)(=O)N(C)C ZINC000019001147 1072657176 /nfs/dbraw/zinc/65/71/76/1072657176.db2.gz JXRIVTLNAPHMIK-LBPRGKRZSA-N 0 0 426.451 -0.285 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CC[C@H]2NC(=O)NC2=O)cc1S(=O)(=O)N(C)C ZINC000019001149 1072657216 /nfs/dbraw/zinc/65/72/16/1072657216.db2.gz JXRIVTLNAPHMIK-GFCCVEGCSA-N 0 0 426.451 -0.285 20 0 IBADRN O=C(CC[C@@H]1NC(=O)NC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000019001255 1072657219 /nfs/dbraw/zinc/65/72/19/1072657219.db2.gz WRSQEZLVKXOGIB-AWEZNQCLSA-N 0 0 438.462 -0.949 20 0 IBADRN O=C(CC[C@H]1NC(=O)NC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000019001258 1072657190 /nfs/dbraw/zinc/65/71/90/1072657190.db2.gz WRSQEZLVKXOGIB-CQSZACIVSA-N 0 0 438.462 -0.949 20 0 IBADRN O=C(COC(=O)CC[C@@H]1NC(=O)NC1=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000019002334 1072657807 /nfs/dbraw/zinc/65/78/07/1072657807.db2.gz HXZRGNMBLCHOHS-AWEZNQCLSA-N 0 0 438.462 -0.059 20 0 IBADRN O=C(COC(=O)CC[C@H]1NC(=O)NC1=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000019002337 1072657890 /nfs/dbraw/zinc/65/78/90/1072657890.db2.gz HXZRGNMBLCHOHS-CQSZACIVSA-N 0 0 438.462 -0.059 20 0 IBADRN Nc1nc(N)nc(SCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC000019026446 1073083595 /nfs/dbraw/zinc/08/35/95/1073083595.db2.gz FCBABFMQBLAHTN-UHFFFAOYSA-N 0 0 427.487 -0.200 20 0 IBADRN Nc1nc(N)nc(SCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)n1 ZINC000019026454 1073083661 /nfs/dbraw/zinc/08/36/61/1073083661.db2.gz FMPPZWBVHSOTPY-UHFFFAOYSA-N 0 0 427.487 -0.200 20 0 IBADRN Nc1nc(N)nc(SCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)n1 ZINC000019026483 1073083528 /nfs/dbraw/zinc/08/35/28/1073083528.db2.gz XOZYQJROGBVCDQ-UHFFFAOYSA-N 0 0 427.487 -0.200 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CSc3nc(N)nc(N)n3)CC2)cc1 ZINC000019026510 1073083686 /nfs/dbraw/zinc/08/36/86/1073083686.db2.gz HATXKXIBWUSIMA-UHFFFAOYSA-N 0 0 439.523 -0.330 20 0 IBADRN Nc1nc(N)nc(SCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000019026575 1073083499 /nfs/dbraw/zinc/08/34/99/1073083499.db2.gz OSNMNZMKNRIZQF-UHFFFAOYSA-N 0 0 439.523 -0.535 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000019038272 1073083630 /nfs/dbraw/zinc/08/36/30/1073083630.db2.gz LYHRBRBZAFCXCQ-UHFFFAOYSA-N 0 0 440.453 -0.142 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1=O ZINC000019038276 1073083673 /nfs/dbraw/zinc/08/36/73/1073083673.db2.gz BZYZBWVKQJZVHA-UHFFFAOYSA-N 0 0 440.453 -0.142 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1=O ZINC000019038283 1073083612 /nfs/dbraw/zinc/08/36/12/1073083612.db2.gz WQCUAGLKRZHIQW-UHFFFAOYSA-N 0 0 440.453 -0.142 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1=O ZINC000019038290 1073084070 /nfs/dbraw/zinc/08/40/70/1073084070.db2.gz CPZHAVSSGWPAGW-UHFFFAOYSA-N 0 0 436.490 -0.140 20 0 IBADRN CC(C)CN(C(=O)Cn1cc(S(=O)(=O)N(C)C)ccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000019067192 1073083833 /nfs/dbraw/zinc/08/38/33/1073083833.db2.gz VGDFANYDIKMLCQ-CQSZACIVSA-N 0 0 433.552 -0.230 20 0 IBADRN CC(C)CN(C(=O)Cn1cc(S(=O)(=O)N(C)C)ccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000019067195 1073083882 /nfs/dbraw/zinc/08/38/82/1073083882.db2.gz VGDFANYDIKMLCQ-AWEZNQCLSA-N 0 0 433.552 -0.230 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000019097388 1073083984 /nfs/dbraw/zinc/08/39/84/1073083984.db2.gz PECDBNYHCWXDLI-LLVKDONJSA-N 0 0 430.533 -0.041 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000019097390 1073084033 /nfs/dbraw/zinc/08/40/33/1073084033.db2.gz PECDBNYHCWXDLI-NSHDSACASA-N 0 0 430.533 -0.041 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1)N1CCOCC1 ZINC000019097392 1073370118 /nfs/dbraw/zinc/37/01/18/1073370118.db2.gz OJDPFFVKWBZMHN-UHFFFAOYSA-N 0 0 425.492 -0.617 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000019098836 1073370225 /nfs/dbraw/zinc/37/02/25/1073370225.db2.gz MYGQIQBLULSKNJ-UHFFFAOYSA-N 0 0 436.475 -0.926 20 0 IBADRN COC(=O)CCNC(=O)CN1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000019100712 1073084043 /nfs/dbraw/zinc/08/40/43/1073084043.db2.gz QPFNECJIYXYTJC-UHFFFAOYSA-N 0 0 427.508 -0.323 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1=O ZINC000019112515 1074465222 /nfs/dbraw/zinc/46/52/22/1074465222.db2.gz RIIGTGVZIHGTQL-UHFFFAOYSA-N 0 0 438.462 -0.153 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)COC(=O)CN2C(=O)CN(C)C2=O)ccc1Cl ZINC000019112840 1074465290 /nfs/dbraw/zinc/46/52/90/1074465290.db2.gz YSJIUVRRTHNJCD-UHFFFAOYSA-N 0 0 446.869 -0.034 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2C(=O)CN(C)C2=O)cc1S(=O)(=O)N(C)C ZINC000019113530 1074465394 /nfs/dbraw/zinc/46/53/94/1074465394.db2.gz ULFZVZQDJDDRFB-UHFFFAOYSA-N 0 0 426.451 -0.379 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)NCC(=O)Nc2ccccc2Br)C1=O ZINC000019113594 1074465321 /nfs/dbraw/zinc/46/53/21/1074465321.db2.gz BJVVRSDGMLAYBW-UHFFFAOYSA-N 0 0 441.238 -0.059 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CN2C(=O)CN(C)C2=O)cc1S(=O)(=O)N(C)C ZINC000019113632 1074465352 /nfs/dbraw/zinc/46/53/52/1074465352.db2.gz VDCJKELSYWQDIC-UHFFFAOYSA-N 0 0 442.450 -0.679 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3nccnc3N)CC2)cc1 ZINC000019143352 1074465410 /nfs/dbraw/zinc/46/54/10/1074465410.db2.gz BRFGMWGUZORJPI-UHFFFAOYSA-N 0 0 447.473 -0.049 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3nccnc3N)CC2)cc1 ZINC000019143400 1074465453 /nfs/dbraw/zinc/46/54/53/1074465453.db2.gz RSNPISUSZXEDEY-UHFFFAOYSA-N 0 0 435.462 -0.243 20 0 IBADRN Nc1nccnc1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000019143583 1074465304 /nfs/dbraw/zinc/46/53/04/1074465304.db2.gz ULWBZYFHCOYTHA-UHFFFAOYSA-N 0 0 435.462 -0.447 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000019181331 1074465333 /nfs/dbraw/zinc/46/53/33/1074465333.db2.gz ZTFTYASEAAEYIZ-UHFFFAOYSA-N 0 0 442.432 -0.635 20 0 IBADRN COc1ccccc1CCNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000019181334 1074465465 /nfs/dbraw/zinc/46/54/65/1074465465.db2.gz ZJCKQDOYHRHFBZ-UHFFFAOYSA-N 0 0 429.433 -0.656 20 0 IBADRN O=C(CN1CCCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000019181662 1074465385 /nfs/dbraw/zinc/46/53/85/1074465385.db2.gz DBRSGPMAWBCKFJ-UHFFFAOYSA-N 0 0 427.454 -0.176 20 0 IBADRN Nc1nc(N)nc(CSCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000019220987 1073083943 /nfs/dbraw/zinc/08/39/43/1073083943.db2.gz RHMJXGNMDYTZHL-UHFFFAOYSA-N 0 0 439.523 -0.071 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000019280011 1074465250 /nfs/dbraw/zinc/46/52/50/1074465250.db2.gz OWMWIRBUEVFTJZ-UHFFFAOYSA-N 0 0 444.462 -0.448 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(S(=O)(=O)c3ccc(F)cc3)CC1)c2=O ZINC000019299404 1073084015 /nfs/dbraw/zinc/08/40/15/1073084015.db2.gz KOYAZZREOKYDEH-UHFFFAOYSA-N 0 0 434.453 -0.198 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(S(=O)(=O)c3cccc(F)c3)CC1)c2=O ZINC000019299412 1072868617 /nfs/dbraw/zinc/86/86/17/1072868617.db2.gz DIFYIQPGZSNULK-UHFFFAOYSA-N 0 0 434.453 -0.198 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000019417770 1074465787 /nfs/dbraw/zinc/46/57/87/1074465787.db2.gz QTBCDCBTSLEUHF-UHFFFAOYSA-N 0 0 440.478 -0.236 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)OCC(=O)N1CCNC1=O ZINC000019420214 1074465635 /nfs/dbraw/zinc/46/56/35/1074465635.db2.gz LQSNTFOSXTYGLM-HXUWFJFHSA-N 0 0 430.417 -0.293 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)OCC(=O)N1CCNC1=O ZINC000019420215 1074465832 /nfs/dbraw/zinc/46/58/32/1074465832.db2.gz LQSNTFOSXTYGLM-FQEVSTJZSA-N 0 0 430.417 -0.293 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)OCc1nc(N)nc(N)n1 ZINC000019420292 1074465702 /nfs/dbraw/zinc/46/57/02/1074465702.db2.gz IQMAXTCFWCZERZ-LJQANCHMSA-N 0 0 427.421 -0.295 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)OCc1nc(N)nc(N)n1 ZINC000019420293 1074465781 /nfs/dbraw/zinc/46/57/81/1074465781.db2.gz IQMAXTCFWCZERZ-IBGZPJMESA-N 0 0 427.421 -0.295 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000019427104 1074465753 /nfs/dbraw/zinc/46/57/53/1074465753.db2.gz ATQLBXTYGDNLMJ-UHFFFAOYSA-N 0 0 433.508 -0.123 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000019427106 1074465655 /nfs/dbraw/zinc/46/56/55/1074465655.db2.gz CGKXGJXBOOJZLG-UHFFFAOYSA-N 0 0 433.508 -0.123 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000019529572 1073084000 /nfs/dbraw/zinc/08/40/00/1073084000.db2.gz CIBUIFANVCAJJL-MRXNPFEDSA-N 0 0 429.564 -0.053 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000019529574 1073083925 /nfs/dbraw/zinc/08/39/25/1073083925.db2.gz CIBUIFANVCAJJL-INIZCTEOSA-N 0 0 429.564 -0.053 20 0 IBADRN COCCN(C(=O)CN(C)CC(=O)N(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000019537775 1073083953 /nfs/dbraw/zinc/08/39/53/1073083953.db2.gz XCGUBNKZCATCLX-UHFFFAOYSA-N 0 0 446.508 -0.834 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN(CC(=O)N3CCOCC3)CC1)CCC2 ZINC000019541457 1073106245 /nfs/dbraw/zinc/10/62/45/1073106245.db2.gz KMTAEFRYGIHLRZ-UHFFFAOYSA-N 0 0 435.550 -0.249 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000019541506 1073083969 /nfs/dbraw/zinc/08/39/69/1073083969.db2.gz CLPMHXZQJJBWSY-UHFFFAOYSA-N 0 0 447.492 -0.418 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000019541550 1073083912 /nfs/dbraw/zinc/08/39/12/1073083912.db2.gz HBCAAZRZEIJEAS-UHFFFAOYSA-N 0 0 431.537 -0.132 20 0 IBADRN COc1cc2nc(CN3CCN(CC(=O)N4CCOCC4)CC3)[nH]c(=O)c2cc1OC ZINC000019541600 1073083854 /nfs/dbraw/zinc/08/38/54/1073083854.db2.gz AFWJMVXNEVEFHN-UHFFFAOYSA-N 0 0 431.493 -0.083 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000019541612 1073084059 /nfs/dbraw/zinc/08/40/59/1073084059.db2.gz WDPHWJSXRRPFAO-OAHLLOKOSA-N 0 0 439.538 -0.863 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000019541613 1073084092 /nfs/dbraw/zinc/08/40/92/1073084092.db2.gz WDPHWJSXRRPFAO-HNNXBMFYSA-N 0 0 439.538 -0.863 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000019541666 1073084084 /nfs/dbraw/zinc/08/40/84/1073084084.db2.gz ZZSJPAYFPIVIFI-UHFFFAOYSA-N 0 0 447.536 -0.465 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C[C@@H]2CCS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000019607692 1074466356 /nfs/dbraw/zinc/46/63/56/1074466356.db2.gz QFNPEDORWFICHF-AWEZNQCLSA-N 0 0 449.485 -0.272 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C[C@H]2CCS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000019607694 1074466412 /nfs/dbraw/zinc/46/64/12/1074466412.db2.gz QFNPEDORWFICHF-CQSZACIVSA-N 0 0 449.485 -0.272 20 0 IBADRN O=C(C[C@@H]1CCS(=O)(=O)C1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000019607916 1074466436 /nfs/dbraw/zinc/46/64/36/1074466436.db2.gz DIFXKFRVVUYFMB-HNNXBMFYSA-N 0 0 444.531 -0.113 20 0 IBADRN O=C(C[C@H]1CCS(=O)(=O)C1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000019607917 1074466428 /nfs/dbraw/zinc/46/64/28/1074466428.db2.gz DIFXKFRVVUYFMB-OAHLLOKOSA-N 0 0 444.531 -0.113 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000019610195 1074466432 /nfs/dbraw/zinc/46/64/32/1074466432.db2.gz MPYBJXQZDFMHJZ-UHFFFAOYSA-N 0 0 431.467 -0.085 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000019610416 1074466415 /nfs/dbraw/zinc/46/64/15/1074466415.db2.gz NIFPWRIHVSNQKF-UHFFFAOYSA-N 0 0 432.455 -0.586 20 0 IBADRN CCN(C(=O)COC(=O)CNS(=O)(=O)c1c(C)noc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000019610744 1074466400 /nfs/dbraw/zinc/46/64/00/1074466400.db2.gz WIRGRBXOOMRHRO-GFCCVEGCSA-N 0 0 437.496 -0.851 20 0 IBADRN CCN(C(=O)COC(=O)CNS(=O)(=O)c1c(C)noc1C)[C@H]1CCS(=O)(=O)C1 ZINC000019610746 1074466439 /nfs/dbraw/zinc/46/64/39/1074466439.db2.gz WIRGRBXOOMRHRO-LBPRGKRZSA-N 0 0 437.496 -0.851 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCCN1C(=O)c2ccccc2S1(=O)=O ZINC000019610959 1074466419 /nfs/dbraw/zinc/46/64/19/1074466419.db2.gz CCUNUUNLTSYTRJ-UHFFFAOYSA-N 0 0 443.459 -0.042 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c(C)n2n1 ZINC000019614249 1074466447 /nfs/dbraw/zinc/46/64/47/1074466447.db2.gz ANLMAHDSHZWZNO-UHFFFAOYSA-N 0 0 447.477 -0.589 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)OCC(=O)N[C@@H]3CCS(=O)(=O)C3)c(C)n2n1 ZINC000019614617 1073187783 /nfs/dbraw/zinc/18/77/83/1073187783.db2.gz IROMXYRORLNPMJ-LLVKDONJSA-N 0 0 427.508 -0.148 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)OCC(=O)N[C@H]3CCS(=O)(=O)C3)c(C)n2n1 ZINC000019614619 1073187722 /nfs/dbraw/zinc/18/77/22/1073187722.db2.gz IROMXYRORLNPMJ-NSHDSACASA-N 0 0 427.508 -0.148 20 0 IBADRN CCn1c(COC(=O)Cn2ccc(=O)[nH]c2=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000019675175 1071336035 /nfs/dbraw/zinc/33/60/35/1071336035.db2.gz BNJLXEHAEASKMK-UHFFFAOYSA-N 0 0 435.462 -0.100 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OC)c(NC(C)=O)c1 ZINC000019684149 1073230605 /nfs/dbraw/zinc/23/06/05/1073230605.db2.gz LEXAVKOBFHDYAC-CYBMUJFWSA-N 0 0 447.535 -0.033 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OC)c(NC(C)=O)c1 ZINC000019684151 1073230773 /nfs/dbraw/zinc/23/07/73/1073230773.db2.gz LEXAVKOBFHDYAC-ZDUSSCGKSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1NC(C)=O ZINC000020004071 1073232714 /nfs/dbraw/zinc/23/27/14/1073232714.db2.gz ZXQIQWYCDXZVIH-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN Cn1c2ncn(CC(=O)OCc3nc(N)nc(Nc4ccccc4)n3)c2c(=O)n(C)c1=O ZINC000020033773 1071336211 /nfs/dbraw/zinc/33/62/11/1071336211.db2.gz PWHQEYKEHVMCMQ-UHFFFAOYSA-N 0 0 437.420 -0.312 20 0 IBADRN CN(C)C(=O)CN1CCN(c2ccc([N+](=O)[O-])cc2S(=O)(=O)N2CCOCC2)CC1 ZINC000020669464 1072657736 /nfs/dbraw/zinc/65/77/36/1072657736.db2.gz RMUPFOHEOWSVOY-UHFFFAOYSA-N 0 0 441.510 -0.174 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000020678190 1073083866 /nfs/dbraw/zinc/08/38/66/1073083866.db2.gz LMLURHLLFCHWAH-OAHLLOKOSA-N 0 0 431.536 -0.235 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000020678193 1073083894 /nfs/dbraw/zinc/08/38/94/1073083894.db2.gz LMLURHLLFCHWAH-HNNXBMFYSA-N 0 0 431.536 -0.235 20 0 IBADRN CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000020678229 1073083904 /nfs/dbraw/zinc/08/39/04/1073083904.db2.gz YBTGCOYNKODBHF-OAHLLOKOSA-N 0 0 431.536 -0.235 20 0 IBADRN CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000020678233 1073084469 /nfs/dbraw/zinc/08/44/69/1073084469.db2.gz YBTGCOYNKODBHF-HNNXBMFYSA-N 0 0 431.536 -0.235 20 0 IBADRN CCn1c(=O)c(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000020679128 1073084227 /nfs/dbraw/zinc/08/42/27/1073084227.db2.gz HHEPSEWIVHQFIR-OAHLLOKOSA-N 0 0 434.518 -0.038 20 0 IBADRN CCn1c(=O)c(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000020679132 1073084405 /nfs/dbraw/zinc/08/44/05/1073084405.db2.gz HHEPSEWIVHQFIR-HNNXBMFYSA-N 0 0 434.518 -0.038 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000020679301 1073084203 /nfs/dbraw/zinc/08/42/03/1073084203.db2.gz BVNHTOHPYBTACX-MRXNPFEDSA-N 0 0 429.564 -0.053 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000020679304 1073084216 /nfs/dbraw/zinc/08/42/16/1073084216.db2.gz BVNHTOHPYBTACX-INIZCTEOSA-N 0 0 429.564 -0.053 20 0 IBADRN CCN(C(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000020679595 1073084443 /nfs/dbraw/zinc/08/44/43/1073084443.db2.gz HZYJMCZYNCEQIN-OAHLLOKOSA-N 0 0 449.533 -0.361 20 0 IBADRN CCN(C(=O)CN(C)[C@H]1CCS(=O)(=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000020679599 1073084294 /nfs/dbraw/zinc/08/42/94/1073084294.db2.gz HZYJMCZYNCEQIN-HNNXBMFYSA-N 0 0 449.533 -0.361 20 0 IBADRN CC(C)CN(C(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000020680226 1073084427 /nfs/dbraw/zinc/08/44/27/1073084427.db2.gz XEEMOXKWULLIRE-CQSZACIVSA-N 0 0 443.570 -0.117 20 0 IBADRN CC(C)CN(C(=O)CN(C)[C@H]1CCS(=O)(=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000020680229 1073084253 /nfs/dbraw/zinc/08/42/53/1073084253.db2.gz XEEMOXKWULLIRE-AWEZNQCLSA-N 0 0 443.570 -0.117 20 0 IBADRN CCNC(=O)CN(CC)CC(=O)N(CC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000020798821 1073084326 /nfs/dbraw/zinc/08/43/26/1073084326.db2.gz IDIJEONKWZOYKY-UHFFFAOYSA-N 0 0 430.509 -0.022 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(CC(=O)Nc3ccccc3Cl)CC2)c(=O)n(C)c1=O ZINC000020803967 1073084417 /nfs/dbraw/zinc/08/44/17/1073084417.db2.gz YYHCFYSNVDJDKG-UHFFFAOYSA-N 0 0 448.911 -0.241 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1C ZINC000020807383 1073084308 /nfs/dbraw/zinc/08/43/08/1073084308.db2.gz NVLCXESYNYEFJQ-UHFFFAOYSA-N 0 0 442.520 -0.278 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000020810270 1073084279 /nfs/dbraw/zinc/08/42/79/1073084279.db2.gz GWCUIGAHASIVID-UHFFFAOYSA-N 0 0 442.520 -0.278 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000020810985 1073235273 /nfs/dbraw/zinc/23/52/73/1073235273.db2.gz MFLRYOWHYCGRAK-UHFFFAOYSA-N 0 0 442.520 -0.934 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(CC(=O)Nc3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000020811304 1073084266 /nfs/dbraw/zinc/08/42/66/1073084266.db2.gz WSRVDMLEQGBHDP-UHFFFAOYSA-N 0 0 432.456 -0.756 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000020811730 1073084192 /nfs/dbraw/zinc/08/41/92/1073084192.db2.gz PXVFHBHUTWUQSQ-CYBMUJFWSA-N 0 0 446.483 -0.367 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000020811732 1073084455 /nfs/dbraw/zinc/08/44/55/1073084455.db2.gz PXVFHBHUTWUQSQ-ZDUSSCGKSA-N 0 0 446.483 -0.367 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000020812298 1073084685 /nfs/dbraw/zinc/08/46/85/1073084685.db2.gz CZNSIDRDICTYIT-UHFFFAOYSA-N 0 0 442.520 -0.332 20 0 IBADRN CCOC(=O)CSc1nnc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000020836436 1073235165 /nfs/dbraw/zinc/23/51/65/1073235165.db2.gz PZNVGFNDPKHTNS-UHFFFAOYSA-N 0 0 439.479 -0.421 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000020944381 1071467289 /nfs/dbraw/zinc/46/72/89/1071467289.db2.gz JUGQTFNMBDVBLL-HIFRSBDPSA-N 0 0 443.547 -0.373 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000020944385 1073084776 /nfs/dbraw/zinc/08/47/76/1073084776.db2.gz JUGQTFNMBDVBLL-UKRRQHHQSA-N 0 0 443.547 -0.373 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)c3ccccc3C1=O)c(=O)n2C ZINC000021005297 1074467607 /nfs/dbraw/zinc/46/76/07/1074467607.db2.gz FJJYBLSAFYRCCF-UHFFFAOYSA-N 0 0 425.401 -0.337 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(S(=O)(=O)NC3CC3)c1)c(=O)n2C ZINC000021069761 1073372695 /nfs/dbraw/zinc/37/26/95/1073372695.db2.gz JWDBHILGUOEPIO-UHFFFAOYSA-N 0 0 432.462 -0.487 20 0 IBADRN CCN(C(=O)CN1CCC(C(N)=O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000021131294 1073084876 /nfs/dbraw/zinc/08/48/76/1073084876.db2.gz HRLSYTOLKFZAQY-UHFFFAOYSA-N 0 0 428.493 -0.283 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000021132715 1071438247 /nfs/dbraw/zinc/43/82/47/1071438247.db2.gz UPOSUGJPCWIHSG-LBPRGKRZSA-N 0 0 448.509 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)OCC(=O)NC(N)=O)CC2)cc1OC ZINC000021136425 1073106302 /nfs/dbraw/zinc/10/63/02/1073106302.db2.gz HLSJUWKKYFMSNP-UHFFFAOYSA-N 0 0 429.451 -0.157 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)c(=O)n(C)c1=O ZINC000021138171 1070796218 /nfs/dbraw/zinc/79/62/18/1070796218.db2.gz FYQQECFFEHXFSO-FQEVSTJZSA-N 0 0 449.464 -0.768 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)c(=O)n(C)c1=O ZINC000021138175 1070796615 /nfs/dbraw/zinc/79/66/15/1070796615.db2.gz FYQQECFFEHXFSO-HXUWFJFHSA-N 0 0 449.464 -0.768 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000021138382 1070795834 /nfs/dbraw/zinc/79/58/34/1070795834.db2.gz KKFQGKSSAWQHAT-MGNBDDOMSA-N 0 0 438.462 -0.075 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000021138387 1070795318 /nfs/dbraw/zinc/79/53/18/1070795318.db2.gz KKFQGKSSAWQHAT-YPMLDQLKSA-N 0 0 438.462 -0.075 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000021138390 1070795456 /nfs/dbraw/zinc/79/54/56/1070795456.db2.gz KKFQGKSSAWQHAT-MLCYQJTMSA-N 0 0 438.462 -0.075 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000021138393 1070795366 /nfs/dbraw/zinc/79/53/66/1070795366.db2.gz KKFQGKSSAWQHAT-XTZNXHDOSA-N 0 0 438.462 -0.075 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CN1C(=O)N[C@@](C)(C3CC3)C1=O)C2=O ZINC000021138624 1073106234 /nfs/dbraw/zinc/10/62/34/1073106234.db2.gz LWLJNJQZBVCILB-FWWXJMDLSA-N 0 0 449.464 -0.218 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CN1C(=O)N[C@](C)(C3CC3)C1=O)C2=O ZINC000021138627 1073106216 /nfs/dbraw/zinc/10/62/16/1073106216.db2.gz LWLJNJQZBVCILB-ZTMZXYGCSA-N 0 0 449.464 -0.218 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000021168583 1070800893 /nfs/dbraw/zinc/80/08/93/1070800893.db2.gz XBNCNBKFAUFQGF-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cn2c(=O)cnc3ccccc32)c(=O)[nH]c1=O ZINC000021169464 1070921717 /nfs/dbraw/zinc/92/17/17/1070921717.db2.gz UEEFRAHXKSCBIO-UHFFFAOYSA-N 0 0 428.449 -0.082 20 0 IBADRN CC(=O)NCc1ccc(C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000021180352 1070803023 /nfs/dbraw/zinc/80/30/23/1070803023.db2.gz WENVRMLMEOQUCM-UHFFFAOYSA-N 0 0 427.417 -0.504 20 0 IBADRN Cc1cccc(OCCNC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000021180379 1070803663 /nfs/dbraw/zinc/80/36/63/1070803663.db2.gz GIZHEQYDHMBPMP-UHFFFAOYSA-N 0 0 429.433 -0.519 20 0 IBADRN CC(=O)NCCc1ccc(C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000021180417 1070803055 /nfs/dbraw/zinc/80/30/55/1070803055.db2.gz UGYJWMNELSGSIQ-UHFFFAOYSA-N 0 0 441.444 -0.462 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3ccc4c(c3)CCC(=O)N4)c2c(=O)n(C)c1=O ZINC000021180451 1070803379 /nfs/dbraw/zinc/80/33/79/1070803379.db2.gz LPMYMTCYTRVARZ-UHFFFAOYSA-N 0 0 425.401 -0.256 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000021185903 1070803681 /nfs/dbraw/zinc/80/36/81/1070803681.db2.gz HKCYBDPDNGFWPX-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)Nc2nncs2)c(=O)[nH]c1=O ZINC000021213396 1073084636 /nfs/dbraw/zinc/08/46/36/1073084636.db2.gz MGGYVRYSZBJFCM-UHFFFAOYSA-N 0 0 425.471 -0.221 20 0 IBADRN CCCNC(=O)CN(C)C(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O ZINC000021257032 1070807838 /nfs/dbraw/zinc/80/78/38/1070807838.db2.gz INDNDZJXLINFLK-UHFFFAOYSA-N 0 0 429.275 -0.819 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000021309087 1073236686 /nfs/dbraw/zinc/23/66/86/1073236686.db2.gz NUXMMJMNZPYLEZ-QGZVFWFLSA-N 0 0 432.521 -0.046 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000021309090 1073236730 /nfs/dbraw/zinc/23/67/30/1073236730.db2.gz NUXMMJMNZPYLEZ-KRWDZBQOSA-N 0 0 432.521 -0.046 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000021315046 1073372809 /nfs/dbraw/zinc/37/28/09/1073372809.db2.gz LYCOLKPEIDHGHG-UHFFFAOYSA-N 0 0 430.483 -0.042 20 0 IBADRN CCCCn1c(N)c(N(CC)CC(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000021387800 1072873299 /nfs/dbraw/zinc/87/32/99/1072873299.db2.gz RKLBOYPGNBVAGM-CYBMUJFWSA-N 0 0 429.543 -0.219 20 0 IBADRN CCCCn1c(N)c(N(CC)CC(=O)N(CC)[C@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000021387805 1073084835 /nfs/dbraw/zinc/08/48/35/1073084835.db2.gz RKLBOYPGNBVAGM-ZDUSSCGKSA-N 0 0 429.543 -0.219 20 0 IBADRN O=C(CN1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1)N1CCOCC1 ZINC000021390722 1073879227 /nfs/dbraw/zinc/87/92/27/1073879227.db2.gz YYSISAGGOIZZGR-UHFFFAOYSA-N 0 0 439.538 -0.917 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000021392341 1073373180 /nfs/dbraw/zinc/37/31/80/1073373180.db2.gz FJTJMYCGCAIEOB-UHFFFAOYSA-N 0 0 442.519 -0.377 20 0 IBADRN CC(=O)NCc1ccc(C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000021392941 1070815918 /nfs/dbraw/zinc/81/59/18/1070815918.db2.gz QMKOQGOEQJXMQQ-UHFFFAOYSA-N 0 0 427.417 -0.504 20 0 IBADRN Cc1cccc(OCCNC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000021392976 1070816049 /nfs/dbraw/zinc/81/60/49/1070816049.db2.gz DXZOELXQCNKJDD-UHFFFAOYSA-N 0 0 429.433 -0.519 20 0 IBADRN CC(=O)NCCc1ccc(C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000021393022 1070816132 /nfs/dbraw/zinc/81/61/32/1070816132.db2.gz FCDMVJOYSRHPSZ-UHFFFAOYSA-N 0 0 441.444 -0.462 20 0 IBADRN COc1ccccc1[C@@H](C)NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000021393056 1070815594 /nfs/dbraw/zinc/81/55/94/1070815594.db2.gz GYZSSZWZWFKXHC-GFCCVEGCSA-N 0 0 429.433 -0.137 20 0 IBADRN COc1ccccc1[C@H](C)NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000021393063 1070816101 /nfs/dbraw/zinc/81/61/01/1070816101.db2.gz GYZSSZWZWFKXHC-LBPRGKRZSA-N 0 0 429.433 -0.137 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1ccc3c(c1)CCC(=O)N3)c(=O)n2C ZINC000021393072 1070815828 /nfs/dbraw/zinc/81/58/28/1070815828.db2.gz NFFZWCSCOAJNTH-UHFFFAOYSA-N 0 0 425.401 -0.256 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000021420330 1071467313 /nfs/dbraw/zinc/46/73/13/1071467313.db2.gz MUIAAHSNKCNPNR-UHFFFAOYSA-N 0 0 434.537 -0.161 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000021420668 1073084860 /nfs/dbraw/zinc/08/48/60/1073084860.db2.gz WUSBSVBOCWPPQI-UHFFFAOYSA-N 0 0 431.537 -0.132 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000021420790 1073084785 /nfs/dbraw/zinc/08/47/85/1073084785.db2.gz SWJIQSBFNGNLIP-UHFFFAOYSA-N 0 0 447.492 -0.418 20 0 IBADRN COC(=O)c1ccc2c(c1)nc(CN1CCN(C(=O)CN3CCOCC3)CC1)[nH]c2=O ZINC000021421049 1073084663 /nfs/dbraw/zinc/08/46/63/1073084663.db2.gz YHVQRZKVDMSFCF-UHFFFAOYSA-N 0 0 429.477 -0.314 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000021421264 1073084675 /nfs/dbraw/zinc/08/46/75/1073084675.db2.gz CKEBMHBSYFKTPV-UHFFFAOYSA-N 0 0 447.536 -0.465 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)CN3CCN(C(=O)CN4CCOCC4)CC3)cc2C1=O ZINC000021421655 1073084769 /nfs/dbraw/zinc/08/47/69/1073084769.db2.gz MXTZLUDGKMUNRC-UHFFFAOYSA-N 0 0 429.477 -0.673 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000021421848 1073084624 /nfs/dbraw/zinc/08/46/24/1073084624.db2.gz ZXMMFMWFWJVDTI-UHFFFAOYSA-N 0 0 447.536 -0.432 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000021421958 1073084747 /nfs/dbraw/zinc/08/47/47/1073084747.db2.gz XFZGEOHJAXUMBI-UHFFFAOYSA-N 0 0 439.463 -0.504 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000021422658 1073084825 /nfs/dbraw/zinc/08/48/25/1073084825.db2.gz YGLRTSJETWMPBY-OAHLLOKOSA-N 0 0 439.538 -0.863 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000021422662 1073084814 /nfs/dbraw/zinc/08/48/14/1073084814.db2.gz YGLRTSJETWMPBY-HNNXBMFYSA-N 0 0 439.538 -0.863 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000021433691 1073084702 /nfs/dbraw/zinc/08/47/02/1073084702.db2.gz SSMZBOCHHRRCQW-UHFFFAOYSA-N 0 0 433.465 -0.460 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000021433834 1071472350 /nfs/dbraw/zinc/47/23/50/1071472350.db2.gz SHNNYPXCDTUVHE-UHFFFAOYSA-N 0 0 431.537 -0.633 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000021433977 1073084866 /nfs/dbraw/zinc/08/48/66/1073084866.db2.gz RENVYFPXFAYWHV-QGZVFWFLSA-N 0 0 432.521 -0.046 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000021433980 1073084648 /nfs/dbraw/zinc/08/46/48/1073084648.db2.gz RENVYFPXFAYWHV-KRWDZBQOSA-N 0 0 432.521 -0.046 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000021434962 1073084848 /nfs/dbraw/zinc/08/48/48/1073084848.db2.gz ZBCSGLLEBAFAPW-OAHLLOKOSA-N 0 0 442.520 -0.829 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000021434965 1073085219 /nfs/dbraw/zinc/08/52/19/1073085219.db2.gz ZBCSGLLEBAFAPW-HNNXBMFYSA-N 0 0 442.520 -0.829 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)NCc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000021435101 1073085230 /nfs/dbraw/zinc/08/52/30/1073085230.db2.gz IURZJVYTQDIHGQ-UHFFFAOYSA-N 0 0 442.520 -0.355 20 0 IBADRN Cn1c2ncn(CCN3CCN(CC(=O)NCc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000021436096 1071473238 /nfs/dbraw/zinc/47/32/38/1071473238.db2.gz HBSXYEXBHCSWCE-UHFFFAOYSA-N 0 0 439.520 -0.632 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(CC(=O)NCc3ccccc3)CC2)CC1 ZINC000021436110 1071473010 /nfs/dbraw/zinc/47/30/10/1071473010.db2.gz XTTIIRYHXOYNTO-UHFFFAOYSA-N 0 0 444.580 -0.847 20 0 IBADRN Nc1c(C(=O)CN2CCN(CC(=O)NCc3ccccc3)CC2)c(=O)[nH]c(=O)n1C1CC1 ZINC000021436302 1073085241 /nfs/dbraw/zinc/08/52/41/1073085241.db2.gz GUJZJKLWXIMAAM-UHFFFAOYSA-N 0 0 440.504 -0.430 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(Cc3cc(=O)n4ccsc4n3)CC1)c2=O ZINC000021437123 1071472983 /nfs/dbraw/zinc/47/29/83/1071472983.db2.gz AMTBLYRNBTUTFP-UHFFFAOYSA-N 0 0 440.489 -0.456 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1ccc(S(=O)(=O)N3CCN(C)CC3)cc1)c2=O ZINC000021465905 1071475755 /nfs/dbraw/zinc/47/57/55/1071475755.db2.gz HKJFWBKZTUXCEO-UHFFFAOYSA-N 0 0 445.505 -0.295 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O ZINC000021478997 1074468125 /nfs/dbraw/zinc/46/81/25/1074468125.db2.gz LFLSOFYMPJHBSD-UHFFFAOYSA-N 0 0 443.302 -0.431 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000021489214 1074468171 /nfs/dbraw/zinc/46/81/71/1074468171.db2.gz YUNSGRHFSHAJNM-UHFFFAOYSA-N 0 0 432.568 -0.003 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000021489221 1074468242 /nfs/dbraw/zinc/46/82/42/1074468242.db2.gz GZAZADRIVLKMDK-UHFFFAOYSA-N 0 0 429.543 -0.028 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2ccc(OCC(N)=O)c(OC)c2)CC1 ZINC000021489292 1074468231 /nfs/dbraw/zinc/46/82/31/1074468231.db2.gz FTFZLTSAAWTJKE-UHFFFAOYSA-N 0 0 428.511 -0.096 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1)N1CCCC1 ZINC000021557582 1073085120 /nfs/dbraw/zinc/08/51/20/1073085120.db2.gz DYIRNVGJYRLYIU-UHFFFAOYSA-N 0 0 439.516 -0.175 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000021561960 1071474693 /nfs/dbraw/zinc/47/46/93/1071474693.db2.gz QLGXHEQIWYUTGY-UHFFFAOYSA-N 0 0 442.270 -0.330 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cn2ncc(=O)c3ccccc32)c(=O)[nH]c1=O ZINC000021598364 1073085135 /nfs/dbraw/zinc/08/51/35/1073085135.db2.gz SKDOOXBVAAPWFO-UHFFFAOYSA-N 0 0 428.449 -0.082 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCCc1ccc(F)cc1 ZINC000021613360 1074468140 /nfs/dbraw/zinc/46/81/40/1074468140.db2.gz IKEGINJIGXMXBH-GFCCVEGCSA-N 0 0 431.424 -0.137 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCCc1ccc(F)cc1 ZINC000021613363 1074468246 /nfs/dbraw/zinc/46/82/46/1074468246.db2.gz IKEGINJIGXMXBH-LBPRGKRZSA-N 0 0 431.424 -0.137 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)Cc2csc(NC(=O)c3ccco3)n2)c(=O)n(C)c1=O ZINC000021623827 1070826708 /nfs/dbraw/zinc/82/67/08/1070826708.db2.gz WCHYMPJNBMDMJW-UHFFFAOYSA-N 0 0 447.429 -0.063 20 0 IBADRN O=C(CN1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1)NCc1ccccc1 ZINC000021631818 1074468091 /nfs/dbraw/zinc/46/80/91/1074468091.db2.gz LFQFXILJRGPIJA-UHFFFAOYSA-N 0 0 443.529 -0.055 20 0 IBADRN C[C@@H](Sc1nnc(N2CCOCC2)n1C1CC1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000021690421 1071494001 /nfs/dbraw/zinc/49/40/01/1071494001.db2.gz LBGPFCDBGLCQRZ-SNVBAGLBSA-N 0 0 435.510 -0.207 20 0 IBADRN C[C@H](Sc1nnc(N2CCOCC2)n1C1CC1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000021690424 1071494049 /nfs/dbraw/zinc/49/40/49/1071494049.db2.gz LBGPFCDBGLCQRZ-JTQLQIEISA-N 0 0 435.510 -0.207 20 0 IBADRN Cn1c2ccccc2n(CCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1=O ZINC000021734082 1074468072 /nfs/dbraw/zinc/46/80/72/1074468072.db2.gz NHEWXEDNMMEVBO-UHFFFAOYSA-N 0 0 426.433 -0.279 20 0 IBADRN COCCN(C(=O)CSc1nnc(N)s1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000021734957 1073085049 /nfs/dbraw/zinc/08/50/49/1073085049.db2.gz BZYVBJBBYZTAID-UHFFFAOYSA-N 0 0 429.528 -0.020 20 0 IBADRN O=C(CN1CCCc2ccccc21)NNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000021778224 1070833053 /nfs/dbraw/zinc/83/30/53/1070833053.db2.gz NYCFGNJZCUHRPJ-OAHLLOKOSA-N 0 0 447.517 -0.248 20 0 IBADRN O=C(CN1CCCc2ccccc21)NNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000021778228 1070833162 /nfs/dbraw/zinc/83/31/62/1070833162.db2.gz NYCFGNJZCUHRPJ-HNNXBMFYSA-N 0 0 447.517 -0.248 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CNC(C)=O)cc1 ZINC000021821713 1073892027 /nfs/dbraw/zinc/89/20/27/1073892027.db2.gz GJTUTKZOBTTZRI-OAHLLOKOSA-N 0 0 431.536 -0.363 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CNC(C)=O)cc1 ZINC000021821714 1073891997 /nfs/dbraw/zinc/89/19/97/1073891997.db2.gz GJTUTKZOBTTZRI-HNNXBMFYSA-N 0 0 431.536 -0.363 20 0 IBADRN COc1ccc(NC(=O)CN2CCC(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000021847221 1073085183 /nfs/dbraw/zinc/08/51/83/1073085183.db2.gz XCWUJDLNKFNOEG-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000021851914 1070840545 /nfs/dbraw/zinc/84/05/45/1070840545.db2.gz AACUTHQRMWGWSW-UHFFFAOYSA-N 0 0 425.463 -0.171 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)cc1 ZINC000021853131 1070840885 /nfs/dbraw/zinc/84/08/85/1070840885.db2.gz BZHVWVTULNBNJH-UHFFFAOYSA-N 0 0 440.459 -0.687 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)c1 ZINC000021853136 1070841165 /nfs/dbraw/zinc/84/11/65/1070841165.db2.gz ZPWRUZFBGOAZCQ-UHFFFAOYSA-N 0 0 440.459 -0.687 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000021853519 1070840785 /nfs/dbraw/zinc/84/07/85/1070840785.db2.gz OBJZGSKHVQYQBO-UHFFFAOYSA-N 0 0 426.451 -0.672 20 0 IBADRN CCN(C(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)[C@@H]1CCS(=O)(=O)C1 ZINC000021855483 1070841005 /nfs/dbraw/zinc/84/10/05/1070841005.db2.gz NRERGNWQCHGZNN-CYBMUJFWSA-N 0 0 431.492 -0.937 20 0 IBADRN CCN(C(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)[C@H]1CCS(=O)(=O)C1 ZINC000021855488 1070841294 /nfs/dbraw/zinc/84/12/94/1070841294.db2.gz NRERGNWQCHGZNN-ZDUSSCGKSA-N 0 0 431.492 -0.937 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nnc1-c1ccco1 ZINC000021879450 1074468518 /nfs/dbraw/zinc/46/85/18/1074468518.db2.gz LSABRHIZAQYZGI-GFCCVEGCSA-N 0 0 426.524 -0.325 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nnc1-c1ccco1 ZINC000021879453 1074468614 /nfs/dbraw/zinc/46/86/14/1074468614.db2.gz LSABRHIZAQYZGI-LBPRGKRZSA-N 0 0 426.524 -0.325 20 0 IBADRN O=C(CNC(=O)c1ccco1)NNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000021894530 1070846400 /nfs/dbraw/zinc/84/64/00/1070846400.db2.gz UAUGRMLXOVRISK-UHFFFAOYSA-N 0 0 436.446 -0.509 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cn2c3ccccc3n(C)c2=O)c(=O)[nH]c1=O ZINC000021894859 1073085263 /nfs/dbraw/zinc/08/52/63/1073085263.db2.gz HXICFCRBNOEKPA-UHFFFAOYSA-N 0 0 430.465 -0.138 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000021965802 1073085481 /nfs/dbraw/zinc/08/54/81/1073085481.db2.gz HIDNRMLNLPCGBQ-UHFFFAOYSA-N 0 0 440.569 -0.773 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)CNC2=NS(=O)(=O)c3ccccc32)cc1 ZINC000021977199 1074468564 /nfs/dbraw/zinc/46/85/64/1074468564.db2.gz VRJBZYORKFRGLW-UHFFFAOYSA-N 0 0 439.471 -0.005 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)C2=NNC(=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000021991347 1070863113 /nfs/dbraw/zinc/86/31/13/1070863113.db2.gz HURLCMQTRQLJIN-UHFFFAOYSA-N 0 0 427.417 -0.348 20 0 IBADRN CCN(C(=O)COC(=O)C1=NNC(=O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000021991359 1070863036 /nfs/dbraw/zinc/86/30/36/1070863036.db2.gz VBRQGAZFRGOABM-UHFFFAOYSA-N 0 0 442.432 -0.671 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000022023820 1071510990 /nfs/dbraw/zinc/51/09/90/1071510990.db2.gz NPPAVAYJACYVDA-UHFFFAOYSA-N 0 0 444.535 -0.610 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000022063464 1071519747 /nfs/dbraw/zinc/51/97/47/1071519747.db2.gz YUQBSIUHGKMMRB-UHFFFAOYSA-N 0 0 431.537 -0.633 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)Cn2c(Cl)nc3c2c(=O)n(C)c(=O)n3C)c(=O)[nH]c1=O ZINC000022066718 1074468587 /nfs/dbraw/zinc/46/85/87/1074468587.db2.gz IFZPSSKRFXYCIX-UHFFFAOYSA-N 0 0 437.844 -0.942 20 0 IBADRN Cn1nnnc1SCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000022066820 1071527458 /nfs/dbraw/zinc/52/74/58/1071527458.db2.gz PQPSTTJZQAMXFB-UHFFFAOYSA-N 0 0 440.507 -0.394 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)C(=O)N(C3CCCC3)C2=O)CC1 ZINC000022085649 1073085005 /nfs/dbraw/zinc/08/50/05/1073085005.db2.gz NPBCNBMUPFQFLO-UHFFFAOYSA-N 0 0 440.464 -0.255 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000022130694 1071571609 /nfs/dbraw/zinc/57/16/09/1071571609.db2.gz IJWYTNIERQOMDD-HNNXBMFYSA-N 0 0 431.493 -0.133 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000022130696 1071571430 /nfs/dbraw/zinc/57/14/30/1071571430.db2.gz IJWYTNIERQOMDD-OAHLLOKOSA-N 0 0 431.493 -0.133 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(CC(=O)N2CCC[C@@H](C(N)=O)C2)CC1 ZINC000022135845 1073085030 /nfs/dbraw/zinc/08/50/30/1073085030.db2.gz KICOAMOVYXLHLB-OAHLLOKOSA-N 0 0 427.527 -0.288 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000022135945 1073375505 /nfs/dbraw/zinc/37/55/05/1073375505.db2.gz LYPMQNBVAYPQOD-UHFFFAOYSA-N 0 0 429.543 -0.028 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)N[C@@]3(CCSC3)C2=O)CC1 ZINC000022160165 1073085092 /nfs/dbraw/zinc/08/50/92/1073085092.db2.gz KKLXUBHRWMLTJC-GOSISDBHSA-N 0 0 430.494 -0.561 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)N[C@]3(CCSC3)C2=O)CC1 ZINC000022160169 1073084980 /nfs/dbraw/zinc/08/49/80/1073084980.db2.gz KKLXUBHRWMLTJC-SFHVURJKSA-N 0 0 430.494 -0.561 20 0 IBADRN CC1(C)NC(=O)N(CCC(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000022161361 1070903177 /nfs/dbraw/zinc/90/31/77/1070903177.db2.gz GNBZYOPWGABSSF-UHFFFAOYSA-N 0 0 440.478 -0.744 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CCN2C(=O)NC(C)(C)C2=O)c(=O)n(C)c1=O ZINC000022161639 1070903046 /nfs/dbraw/zinc/90/30/46/1070903046.db2.gz YCBQXACZAIREDC-UHFFFAOYSA-N 0 0 437.453 -0.768 20 0 IBADRN C[C@@H](OC(=O)CCN1C(=O)NC(C)(C)C1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000022162405 1070903641 /nfs/dbraw/zinc/90/36/41/1070903641.db2.gz ITHRRHVAFAGJEF-SNVBAGLBSA-N 0 0 426.451 -0.075 20 0 IBADRN C[C@H](OC(=O)CCN1C(=O)NC(C)(C)C1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000022162409 1070903708 /nfs/dbraw/zinc/90/37/08/1070903708.db2.gz ITHRRHVAFAGJEF-JTQLQIEISA-N 0 0 426.451 -0.075 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CCN1C(=O)NC(C)(C)C1=O)C2=O ZINC000022162445 1070904515 /nfs/dbraw/zinc/90/45/15/1070904515.db2.gz SFIFYZNISFVOHZ-UHFFFAOYSA-N 0 0 437.453 -0.218 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCC(=O)NC[C@@H]2CCCO2)c1 ZINC000022175894 1070905905 /nfs/dbraw/zinc/90/59/05/1070905905.db2.gz DGNFEOZFTFTYEQ-ZDUSSCGKSA-N 0 0 427.479 -0.377 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCC(=O)NC[C@H]2CCCO2)c1 ZINC000022175897 1070905944 /nfs/dbraw/zinc/90/59/44/1070905944.db2.gz DGNFEOZFTFTYEQ-CYBMUJFWSA-N 0 0 427.479 -0.377 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@@H](C)O1 ZINC000022176106 1070905767 /nfs/dbraw/zinc/90/57/67/1070905767.db2.gz USDQSCFLLSAWKG-ZIAGYGMSSA-N 0 0 441.506 -0.037 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@H](C)O1 ZINC000022176109 1070905895 /nfs/dbraw/zinc/90/58/95/1070905895.db2.gz USDQSCFLLSAWKG-OKILXGFUSA-N 0 0 441.506 -0.037 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@H](C)O1 ZINC000022176115 1070905697 /nfs/dbraw/zinc/90/56/97/1070905697.db2.gz USDQSCFLLSAWKG-KBPBESRZSA-N 0 0 441.506 -0.037 20 0 IBADRN C=CCNC(=O)NC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000022176457 1070905646 /nfs/dbraw/zinc/90/56/46/1070905646.db2.gz UCUMYNDZIVGRGI-UHFFFAOYSA-N 0 0 426.451 -0.661 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000022176500 1070905929 /nfs/dbraw/zinc/90/59/29/1070905929.db2.gz CYHIRCQIORGMAP-UHFFFAOYSA-N 0 0 442.494 -0.048 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000022176634 1070905755 /nfs/dbraw/zinc/90/57/55/1070905755.db2.gz CBBXHJGIPILGLK-LLVKDONJSA-N 0 0 428.467 -0.438 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000022176637 1070906232 /nfs/dbraw/zinc/90/62/32/1070906232.db2.gz CBBXHJGIPILGLK-NSHDSACASA-N 0 0 428.467 -0.438 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000022176858 1070906546 /nfs/dbraw/zinc/90/65/46/1070906546.db2.gz IONAFIHTTIUBRL-UHFFFAOYSA-N 0 0 442.494 -0.191 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000022176861 1070906576 /nfs/dbraw/zinc/90/65/76/1070906576.db2.gz XSRJUKHJVLFSHC-UHFFFAOYSA-N 0 0 428.467 -0.437 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCC(=O)NC(=O)NC2CC2)c1 ZINC000022177485 1070905733 /nfs/dbraw/zinc/90/57/33/1070905733.db2.gz PWOHHRGOZOBDLV-UHFFFAOYSA-N 0 0 426.451 -0.684 20 0 IBADRN CC(C)CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1=O ZINC000022206053 1071548016 /nfs/dbraw/zinc/54/80/16/1071548016.db2.gz MHWOVLVJXPLJES-UHFFFAOYSA-N 0 0 436.490 -0.034 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CNC(=O)Cc2ccccc2)c(=O)n(C)c1=O ZINC000022211447 1074468475 /nfs/dbraw/zinc/46/84/75/1074468475.db2.gz HCBAIFINPLPZPD-UHFFFAOYSA-N 0 0 430.461 -0.130 20 0 IBADRN CC(C)CN(C(=O)Cn1cnc2c(cnn2C)c1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000022241265 1071551757 /nfs/dbraw/zinc/55/17/57/1071551757.db2.gz XSHXNVWXEAOLQI-UHFFFAOYSA-N 0 0 444.496 -0.093 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000022249213 1070982370 /nfs/dbraw/zinc/98/23/70/1070982370.db2.gz UOLMYWXRVOWCLU-GFCCVEGCSA-N 0 0 431.515 -0.151 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000022249216 1070982116 /nfs/dbraw/zinc/98/21/16/1070982116.db2.gz UOLMYWXRVOWCLU-LBPRGKRZSA-N 0 0 431.515 -0.151 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCC(=O)NC[C@@H]2CCCO2)c1 ZINC000022268721 1070928446 /nfs/dbraw/zinc/92/84/46/1070928446.db2.gz AGXMTXYCXLJGRO-ZDUSSCGKSA-N 0 0 429.495 -0.207 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCC(=O)NC[C@H]2CCCO2)c1 ZINC000022268724 1070928459 /nfs/dbraw/zinc/92/84/59/1070928459.db2.gz AGXMTXYCXLJGRO-CYBMUJFWSA-N 0 0 429.495 -0.207 20 0 IBADRN C=CCNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO ZINC000022269180 1070928528 /nfs/dbraw/zinc/92/85/28/1070928528.db2.gz WAKZADRMYPJXCO-UHFFFAOYSA-N 0 0 428.467 -0.490 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO ZINC000022269326 1070928397 /nfs/dbraw/zinc/92/83/97/1070928397.db2.gz CQMQLXCPRQMIHZ-LLVKDONJSA-N 0 0 430.483 -0.268 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO ZINC000022269329 1070928602 /nfs/dbraw/zinc/92/86/02/1070928602.db2.gz CQMQLXCPRQMIHZ-NSHDSACASA-N 0 0 430.483 -0.268 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO ZINC000022269496 1070929152 /nfs/dbraw/zinc/92/91/52/1070929152.db2.gz FOFLIKCVEAVJAG-UHFFFAOYSA-N 0 0 444.510 -0.020 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO ZINC000022269499 1070929177 /nfs/dbraw/zinc/92/91/77/1070929177.db2.gz NWDBBTQYWILWFE-UHFFFAOYSA-N 0 0 430.483 -0.266 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000022276078 1073085275 /nfs/dbraw/zinc/08/52/75/1073085275.db2.gz KOOISSWJGNUXIR-HNNXBMFYSA-N 0 0 445.519 -0.477 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000022276083 1073085064 /nfs/dbraw/zinc/08/50/64/1073085064.db2.gz KOOISSWJGNUXIR-OAHLLOKOSA-N 0 0 445.519 -0.477 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)cc1 ZINC000022276086 1073085290 /nfs/dbraw/zinc/08/52/90/1073085290.db2.gz OZXHYZNJUUXPPK-HNNXBMFYSA-N 0 0 447.535 -0.231 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)cc1 ZINC000022276091 1073085078 /nfs/dbraw/zinc/08/50/78/1073085078.db2.gz OZXHYZNJUUXPPK-OAHLLOKOSA-N 0 0 447.535 -0.231 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000022276154 1073085165 /nfs/dbraw/zinc/08/51/65/1073085165.db2.gz STMSMESHCHKRJD-ZDUSSCGKSA-N 0 0 428.419 -0.688 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000022276159 1073085252 /nfs/dbraw/zinc/08/52/52/1073085252.db2.gz STMSMESHCHKRJD-CYBMUJFWSA-N 0 0 428.419 -0.688 20 0 IBADRN CN(C)C(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000022325003 1070951703 /nfs/dbraw/zinc/95/17/03/1070951703.db2.gz MAZLJRQLXDDRRR-UHFFFAOYSA-N 0 0 441.506 -0.211 20 0 IBADRN CNC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000022325075 1070951715 /nfs/dbraw/zinc/95/17/15/1070951715.db2.gz GFOYZFZKPSQCFA-UHFFFAOYSA-N 0 0 427.479 -0.553 20 0 IBADRN CCNC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000022325077 1070951730 /nfs/dbraw/zinc/95/17/30/1070951730.db2.gz OLXLZCHOBWVYAB-UHFFFAOYSA-N 0 0 441.506 -0.163 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(N)=O ZINC000022325165 1070951750 /nfs/dbraw/zinc/95/17/50/1070951750.db2.gz JSDHKGMUBOLSKB-CYBMUJFWSA-N 0 0 427.479 -0.425 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(N)=O ZINC000022325167 1070951839 /nfs/dbraw/zinc/95/18/39/1070951839.db2.gz JSDHKGMUBOLSKB-ZDUSSCGKSA-N 0 0 427.479 -0.425 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCC(=O)NC(N)=O)c1 ZINC000022326933 1070951783 /nfs/dbraw/zinc/95/17/83/1070951783.db2.gz XOUAEDQPAINOOY-UHFFFAOYSA-N 0 0 442.494 -0.095 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@@]23CCC(=O)N2CCS3)cc1 ZINC000022331644 1070953786 /nfs/dbraw/zinc/95/37/86/1070953786.db2.gz LEBNGRSZZVFHBA-KRWDZBQOSA-N 0 0 427.504 -0.399 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@]23CCC(=O)N2CCS3)cc1 ZINC000022331647 1070953925 /nfs/dbraw/zinc/95/39/25/1070953925.db2.gz LEBNGRSZZVFHBA-QGZVFWFLSA-N 0 0 427.504 -0.399 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)cc1 ZINC000022381195 1073085506 /nfs/dbraw/zinc/08/55/06/1073085506.db2.gz UQFYEONQRVUJEQ-UHFFFAOYSA-N 0 0 439.222 -0.159 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)no1 ZINC000022413250 1071124663 /nfs/dbraw/zinc/12/46/63/1071124663.db2.gz GWOVDPBFGINBBP-UHFFFAOYSA-N 0 0 443.507 -0.425 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)NNC(=O)c3cccc([N+](=O)[O-])c3)c2c(=O)n(C)c1=O ZINC000022424954 1070975715 /nfs/dbraw/zinc/97/57/15/1070975715.db2.gz ZLLNPAHIRLIVTC-UHFFFAOYSA-N 0 0 435.784 -0.544 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)NNC(=O)c3ccc([N+](=O)[O-])cc3)c2c(=O)n(C)c1=O ZINC000022424998 1070975658 /nfs/dbraw/zinc/97/56/58/1070975658.db2.gz YOWWUWNAKYNPQJ-UHFFFAOYSA-N 0 0 435.784 -0.544 20 0 IBADRN CCCCN(C(=O)Cn1c(Cl)nc2c1c(=O)n(C)c(=O)n2C)[C@@H]1CCS(=O)(=O)C1 ZINC000022425149 1070975821 /nfs/dbraw/zinc/97/58/21/1070975821.db2.gz HCERWGFYWDJIOA-LLVKDONJSA-N 0 0 445.929 -0.097 20 0 IBADRN CCCCN(C(=O)Cn1c(Cl)nc2c1c(=O)n(C)c(=O)n2C)[C@H]1CCS(=O)(=O)C1 ZINC000022425152 1070975738 /nfs/dbraw/zinc/97/57/38/1070975738.db2.gz HCERWGFYWDJIOA-NSHDSACASA-N 0 0 445.929 -0.097 20 0 IBADRN Cc1ccc(NC(=O)Cn2c(Cl)nc3c2c(=O)n(C)c(=O)n3C)cc1S(N)(=O)=O ZINC000022425394 1070975760 /nfs/dbraw/zinc/97/57/60/1070975760.db2.gz NFZKDTXYCWZSGF-UHFFFAOYSA-N 0 0 440.869 -0.318 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCC(=O)N3CCOCC3)cc2)CC1 ZINC000022425549 1070975582 /nfs/dbraw/zinc/97/55/82/1070975582.db2.gz GRTXRMMLXJQWFW-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000022425929 1070975692 /nfs/dbraw/zinc/97/56/92/1070975692.db2.gz KUWUKYPUXOBOIL-UHFFFAOYSA-N 0 0 440.478 -0.458 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCC(=O)N3CCCC3=O)cc2)CC1 ZINC000022426048 1070975725 /nfs/dbraw/zinc/97/57/25/1070975725.db2.gz WEQOURUDTRNADA-UHFFFAOYSA-N 0 0 437.474 -0.155 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000022426051 1070976314 /nfs/dbraw/zinc/97/63/14/1070976314.db2.gz CHPWVLSQIVNZCR-GFCCVEGCSA-N 0 0 440.478 -0.460 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000022426054 1070976532 /nfs/dbraw/zinc/97/65/32/1070976532.db2.gz CHPWVLSQIVNZCR-LBPRGKRZSA-N 0 0 440.478 -0.460 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)O[C@H](C)C(=O)NC(N)=O)cc2)CC1 ZINC000022426292 1070976445 /nfs/dbraw/zinc/97/64/45/1070976445.db2.gz DLFLFUBLYAFUNP-LLVKDONJSA-N 0 0 426.451 -0.720 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)O[C@@H](C)C(=O)NC(N)=O)cc2)CC1 ZINC000022426295 1070976452 /nfs/dbraw/zinc/97/64/52/1070976452.db2.gz DLFLFUBLYAFUNP-NSHDSACASA-N 0 0 426.451 -0.720 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCC(=O)N3CCNC3=O)cc2)CC1 ZINC000022426390 1070976524 /nfs/dbraw/zinc/97/65/24/1070976524.db2.gz HAJFEQVPAMLBHY-UHFFFAOYSA-N 0 0 438.462 -0.752 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)cc1 ZINC000022428681 1071126151 /nfs/dbraw/zinc/12/61/51/1071126151.db2.gz YHQRVGLBIPXJSS-UHFFFAOYSA-N 0 0 445.295 -0.335 20 0 IBADRN CCN(C(=O)CSc1nnc(C)n1N)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000022433156 1070976512 /nfs/dbraw/zinc/97/65/12/1070976512.db2.gz MSFJRESACIFTFE-UHFFFAOYSA-N 0 0 430.494 -0.074 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1CCCO1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000022436425 1074469216 /nfs/dbraw/zinc/46/92/16/1074469216.db2.gz RXEKPCPZJFRQTB-HNNXBMFYSA-N 0 0 446.460 -0.131 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1CCCO1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000022436429 1074469220 /nfs/dbraw/zinc/46/92/20/1074469220.db2.gz RXEKPCPZJFRQTB-OAHLLOKOSA-N 0 0 446.460 -0.131 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NNC(=O)Cn2nc(C)cc2C)cc1 ZINC000022440471 1070976350 /nfs/dbraw/zinc/97/63/50/1070976350.db2.gz IJGXABAWRKWCCK-UHFFFAOYSA-N 0 0 436.494 -0.074 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCCNC(=O)c2ccc(F)cc2F)c(=O)n(C)c1=O ZINC000022444949 1070976410 /nfs/dbraw/zinc/97/64/10/1070976410.db2.gz VZLKWNGPNPEMPN-UHFFFAOYSA-N 0 0 438.387 -0.120 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000022458392 1073085393 /nfs/dbraw/zinc/08/53/93/1073085393.db2.gz AAHCLZNZUYXUMD-UHFFFAOYSA-N 0 0 440.522 -0.067 20 0 IBADRN O=C(COC(=O)CN1CCCC1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000022467420 1070978459 /nfs/dbraw/zinc/97/84/59/1070978459.db2.gz IFJRAOXICWXNLD-UHFFFAOYSA-N 0 0 425.463 -0.188 20 0 IBADRN O=C(COC(=O)CN1CCCC1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000022467481 1070979266 /nfs/dbraw/zinc/97/92/66/1070979266.db2.gz PJAWJVMQQDFXBG-UHFFFAOYSA-N 0 0 425.463 -0.188 20 0 IBADRN CCN(C(=O)COC(=O)CN1CCCC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000022469916 1070979318 /nfs/dbraw/zinc/97/93/18/1070979318.db2.gz UKWGLUVSUBKBLZ-UHFFFAOYSA-N 0 0 443.460 -0.314 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000022476410 1074469219 /nfs/dbraw/zinc/46/92/19/1074469219.db2.gz XITLMFCIWUDSLX-OAHLLOKOSA-N 0 0 439.538 -0.098 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000022476412 1074469222 /nfs/dbraw/zinc/46/92/22/1074469222.db2.gz XITLMFCIWUDSLX-HNNXBMFYSA-N 0 0 439.538 -0.098 20 0 IBADRN O=C(CSc1nnnn1Cc1ccco1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000022492469 1073085693 /nfs/dbraw/zinc/08/56/93/1073085693.db2.gz ROHKCWKHXDOYOU-CYBMUJFWSA-N 0 0 426.524 -0.262 20 0 IBADRN O=C(CSc1nnnn1Cc1ccco1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000022492474 1073085677 /nfs/dbraw/zinc/08/56/77/1073085677.db2.gz ROHKCWKHXDOYOU-ZDUSSCGKSA-N 0 0 426.524 -0.262 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2=O ZINC000022518329 1070990906 /nfs/dbraw/zinc/99/09/06/1070990906.db2.gz IADUDXYGOKJCAR-JTQLQIEISA-N 0 0 428.401 -0.615 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2=O ZINC000022518333 1070990789 /nfs/dbraw/zinc/99/07/89/1070990789.db2.gz IADUDXYGOKJCAR-SNVBAGLBSA-N 0 0 428.401 -0.615 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000022547060 1073085562 /nfs/dbraw/zinc/08/55/62/1073085562.db2.gz UDBDCQSDDXWVBO-SFHVURJKSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000022547061 1073085590 /nfs/dbraw/zinc/08/55/90/1073085590.db2.gz UDBDCQSDDXWVBO-GOSISDBHSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(C)(CNC(=O)CN1CCN(S(=O)(=O)N2CCCCCC2)CC1)N1CCOCC1 ZINC000022547169 1073085424 /nfs/dbraw/zinc/08/54/24/1073085424.db2.gz QZBZPKSIXOTWMS-UHFFFAOYSA-N 0 0 445.630 -0.048 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000022547294 1073085535 /nfs/dbraw/zinc/08/55/35/1073085535.db2.gz XQOTTYCXQMRWAF-HZPDHXFCSA-N 0 0 436.600 -0.583 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000022547296 1073085629 /nfs/dbraw/zinc/08/56/29/1073085629.db2.gz XQOTTYCXQMRWAF-JKSUJKDBSA-N 0 0 436.600 -0.583 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000022547298 1073085550 /nfs/dbraw/zinc/08/55/50/1073085550.db2.gz XQOTTYCXQMRWAF-CVEARBPZSA-N 0 0 436.600 -0.583 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000022547300 1073085602 /nfs/dbraw/zinc/08/56/02/1073085602.db2.gz XQOTTYCXQMRWAF-HOTGVXAUSA-N 0 0 436.600 -0.583 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000022548573 1074469191 /nfs/dbraw/zinc/46/91/91/1074469191.db2.gz GRKVGDWLCVZQJK-UHFFFAOYSA-N 0 0 441.554 -0.232 20 0 IBADRN NC(=O)CCN(C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1)c1ccc(F)cc1 ZINC000022550442 1071580570 /nfs/dbraw/zinc/58/05/70/1071580570.db2.gz VSNBJYYUFZMHMB-UHFFFAOYSA-N 0 0 435.500 -0.490 20 0 IBADRN CC(C)CN(C(=O)CN1CCN([C@@H](C)C(=O)NC2CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000022590939 1073085641 /nfs/dbraw/zinc/08/56/41/1073085641.db2.gz LPJZQDCEQONGBR-FUHWJXTLSA-N 0 0 428.599 -0.057 20 0 IBADRN CC(C)CN(C(=O)CN1CCN([C@@H](C)C(=O)NC2CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000022590947 1073085615 /nfs/dbraw/zinc/08/56/15/1073085615.db2.gz LPJZQDCEQONGBR-WMZOPIPTSA-N 0 0 428.599 -0.057 20 0 IBADRN CC(C)CN(C(=O)CN1CCN([C@H](C)C(=O)NC2CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000022590953 1073085496 /nfs/dbraw/zinc/08/54/96/1073085496.db2.gz LPJZQDCEQONGBR-SJLPKXTDSA-N 0 0 428.599 -0.057 20 0 IBADRN CC(C)CN(C(=O)CN1CCN([C@H](C)C(=O)NC2CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000022590958 1073085457 /nfs/dbraw/zinc/08/54/57/1073085457.db2.gz LPJZQDCEQONGBR-AEFFLSMTSA-N 0 0 428.599 -0.057 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000022598585 1073085472 /nfs/dbraw/zinc/08/54/72/1073085472.db2.gz GZAQJDNZXHGUIL-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc3c(c2)nc2n(c3=O)CCC2)CC1 ZINC000022598633 1071582206 /nfs/dbraw/zinc/58/22/06/1071582206.db2.gz UYBFIZTVIKYAOU-UHFFFAOYSA-N 0 0 425.489 -0.041 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000022600630 1074469200 /nfs/dbraw/zinc/46/92/00/1074469200.db2.gz BMMQDGMQTYJFBN-CMDGGOBGSA-N 0 0 425.489 -0.442 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)c(OC)c1 ZINC000022730031 1071613712 /nfs/dbraw/zinc/61/37/12/1071613712.db2.gz MWNRNLGXRDPQQQ-SFHVURJKSA-N 0 0 446.504 -0.188 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)cc1OC ZINC000022730039 1071613632 /nfs/dbraw/zinc/61/36/32/1071613632.db2.gz UDKVPPNTPUQTJW-KRWDZBQOSA-N 0 0 446.504 -0.188 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nnc1C(F)(F)F ZINC000022742194 1073943627 /nfs/dbraw/zinc/94/36/27/1073943627.db2.gz XJDHEEWCAUUUEA-SECBINFHSA-N 0 0 428.462 -0.566 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nnc1C(F)(F)F ZINC000022742198 1073943577 /nfs/dbraw/zinc/94/35/77/1073943577.db2.gz XJDHEEWCAUUUEA-VIFPVBQESA-N 0 0 428.462 -0.566 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000022742214 1074469447 /nfs/dbraw/zinc/46/94/47/1074469447.db2.gz IUASDZKXRQMNDF-UHFFFAOYSA-N 0 0 440.547 -0.049 20 0 IBADRN Cc1occc1-c1nnc(SCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1N ZINC000022743280 1074469460 /nfs/dbraw/zinc/46/94/60/1074469460.db2.gz ODXUCPWJWVCYMY-CYBMUJFWSA-N 0 0 440.551 -0.016 20 0 IBADRN Cc1occc1-c1nnc(SCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1N ZINC000022743285 1074469582 /nfs/dbraw/zinc/46/95/82/1074469582.db2.gz ODXUCPWJWVCYMY-ZDUSSCGKSA-N 0 0 440.551 -0.016 20 0 IBADRN CC(=O)NCCNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000022756276 1071171164 /nfs/dbraw/zinc/17/11/64/1071171164.db2.gz SSLOEKMSOIZMTP-UHFFFAOYSA-N 0 0 437.522 -0.221 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)no1 ZINC000022760542 1071171965 /nfs/dbraw/zinc/17/19/65/1071171965.db2.gz DXHWWFWLHXKVMR-UHFFFAOYSA-N 0 0 441.448 -0.675 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)Sc2nnnn2C)c(=O)[nH]c1=O ZINC000022785407 1071614168 /nfs/dbraw/zinc/61/41/68/1071614168.db2.gz IWZWKTNUAKKNJA-SNVBAGLBSA-N 0 0 426.503 -0.397 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)Sc2nnnn2C)c(=O)[nH]c1=O ZINC000022785414 1071614179 /nfs/dbraw/zinc/61/41/79/1071614179.db2.gz IWZWKTNUAKKNJA-JTQLQIEISA-N 0 0 426.503 -0.397 20 0 IBADRN CCN(C(=O)CN1CCN(CC(=O)NCc2ccccc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000022801308 1073085659 /nfs/dbraw/zinc/08/56/59/1073085659.db2.gz VYUSYRJCSXMLIM-LJQANCHMSA-N 0 0 436.578 -0.044 20 0 IBADRN CCN(C(=O)CN1CCN(CC(=O)NCc2ccccc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000022801314 1073086089 /nfs/dbraw/zinc/08/60/89/1073086089.db2.gz VYUSYRJCSXMLIM-IBGZPJMESA-N 0 0 436.578 -0.044 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000022802992 1072320931 /nfs/dbraw/zinc/32/09/31/1072320931.db2.gz DUSYCHUAHDBZSN-PKOBYXMFSA-N 0 0 436.578 -0.046 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000022802998 1072321090 /nfs/dbraw/zinc/32/10/90/1072321090.db2.gz DUSYCHUAHDBZSN-IEBWSBKVSA-N 0 0 436.578 -0.046 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000022803004 1072321081 /nfs/dbraw/zinc/32/10/81/1072321081.db2.gz DUSYCHUAHDBZSN-HKUYNNGSSA-N 0 0 436.578 -0.046 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000022803011 1072321141 /nfs/dbraw/zinc/32/11/41/1072321141.db2.gz DUSYCHUAHDBZSN-MJGOQNOKSA-N 0 0 436.578 -0.046 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)cs1 ZINC000022813690 1073085861 /nfs/dbraw/zinc/08/58/61/1073085861.db2.gz KKEGGJSSTULHRR-GOSISDBHSA-N 0 0 441.623 -0.100 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)cs1 ZINC000022813696 1073086032 /nfs/dbraw/zinc/08/60/32/1073086032.db2.gz KKEGGJSSTULHRR-SFHVURJKSA-N 0 0 441.623 -0.100 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(Cc3nc(N)c4ccccc4n3)CC2)c(=O)n(C)c1=O ZINC000022814107 1073085846 /nfs/dbraw/zinc/08/58/46/1073085846.db2.gz DEWOJKROEZPASV-UHFFFAOYSA-N 0 0 438.492 -0.808 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCC3(c4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000022815347 1074470096 /nfs/dbraw/zinc/47/00/96/1074470096.db2.gz KPPQZHDIYPKFDX-UHFFFAOYSA-N 0 0 425.445 -0.175 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000022817688 1070819558 /nfs/dbraw/zinc/81/95/58/1070819558.db2.gz XQTTUMXZSKSKFC-UHFFFAOYSA-N 0 0 426.539 -0.436 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000022837962 1073085995 /nfs/dbraw/zinc/08/59/95/1073085995.db2.gz JKVCINKNUHEGSG-UHFFFAOYSA-N 0 0 436.494 -0.175 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)Nc3cccc(S(N)(=O)=O)c3)CC2)no1 ZINC000022837967 1073085978 /nfs/dbraw/zinc/08/59/78/1073085978.db2.gz FVNSJBASHHQYNA-UHFFFAOYSA-N 0 0 436.494 -0.175 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)Nc3cc(C)on3)CC2)c(=O)[nH]c1=O ZINC000022839031 1073086060 /nfs/dbraw/zinc/08/60/60/1073086060.db2.gz ZIMSFLABDURVOH-UHFFFAOYSA-N 0 0 433.469 -0.736 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)c3c(N)n(C4CC4)c(=O)[nH]c3=O)CC2)no1 ZINC000022842884 1073086020 /nfs/dbraw/zinc/08/60/20/1073086020.db2.gz DCWWUBJZVPGAMU-UHFFFAOYSA-N 0 0 431.453 -0.811 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)[nH]c3=O)CC2)no1 ZINC000022843503 1073085966 /nfs/dbraw/zinc/08/59/66/1073085966.db2.gz FVKSPKQQKWYUJH-UHFFFAOYSA-N 0 0 447.496 -0.490 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000022844448 1073380673 /nfs/dbraw/zinc/38/06/73/1073380673.db2.gz FBAIITNKJZRBLV-UHFFFAOYSA-N 0 0 428.530 -0.077 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000022845517 1070820312 /nfs/dbraw/zinc/82/03/12/1070820312.db2.gz VQEFCPRHQOOBSW-FQEVSTJZSA-N 0 0 444.532 -0.411 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000022845523 1070820432 /nfs/dbraw/zinc/82/04/32/1070820432.db2.gz VQEFCPRHQOOBSW-HXUWFJFHSA-N 0 0 444.532 -0.411 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000022846499 1073085891 /nfs/dbraw/zinc/08/58/91/1073085891.db2.gz GNEQEGDCMFBXBH-MOPGFXCFSA-N 0 0 435.546 -0.082 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000022846502 1073086043 /nfs/dbraw/zinc/08/60/43/1073086043.db2.gz GNEQEGDCMFBXBH-RTBURBONSA-N 0 0 435.546 -0.082 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000022846507 1073085831 /nfs/dbraw/zinc/08/58/31/1073085831.db2.gz GNEQEGDCMFBXBH-OALUTQOASA-N 0 0 435.546 -0.082 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000022846511 1073085905 /nfs/dbraw/zinc/08/59/05/1073085905.db2.gz GNEQEGDCMFBXBH-RBUKOAKNSA-N 0 0 435.546 -0.082 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2Cc3ccccc3C[C@@H]2C(=O)OC)CC1 ZINC000022847607 1070820279 /nfs/dbraw/zinc/82/02/79/1070820279.db2.gz UCSRASZSTGNNKD-LJQANCHMSA-N 0 0 432.521 -0.507 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2Cc3ccccc3C[C@H]2C(=O)OC)CC1 ZINC000022847611 1070820880 /nfs/dbraw/zinc/82/08/80/1070820880.db2.gz UCSRASZSTGNNKD-IBGZPJMESA-N 0 0 432.521 -0.507 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(CC(=O)Nc2cc(C)on2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000022852329 1073380658 /nfs/dbraw/zinc/38/06/58/1073380658.db2.gz RLGYQBHPTIPMLG-HZPDHXFCSA-N 0 0 441.554 -0.037 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(CC(=O)Nc2cc(C)on2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000022852331 1073380810 /nfs/dbraw/zinc/38/08/10/1073380810.db2.gz RLGYQBHPTIPMLG-CVEARBPZSA-N 0 0 441.554 -0.037 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(CC(=O)Nc2cc(C)on2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000022852333 1073380720 /nfs/dbraw/zinc/38/07/20/1073380720.db2.gz RLGYQBHPTIPMLG-JKSUJKDBSA-N 0 0 441.554 -0.037 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(CC(=O)Nc2cc(C)on2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000022852335 1073380844 /nfs/dbraw/zinc/38/08/44/1073380844.db2.gz RLGYQBHPTIPMLG-HOTGVXAUSA-N 0 0 441.554 -0.037 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CNC2=NS(=O)(=O)c3ccccc32)NC(=O)N[C@@H]1C ZINC000022863976 1074470154 /nfs/dbraw/zinc/47/01/54/1074470154.db2.gz ZJKJIIVVEWUPHN-SNVBAGLBSA-N 0 0 436.446 -0.213 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CNC2=NS(=O)(=O)c3ccccc32)NC(=O)N[C@H]1C ZINC000022863979 1074470195 /nfs/dbraw/zinc/47/01/95/1074470195.db2.gz ZJKJIIVVEWUPHN-JTQLQIEISA-N 0 0 436.446 -0.213 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCN(CC(=O)N4CCCCC4)CC3)cnc2n(C)c1=O ZINC000022872706 1071204877 /nfs/dbraw/zinc/20/48/77/1071204877.db2.gz LNSPOLFQQUKMLO-UHFFFAOYSA-N 0 0 428.493 -0.598 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000022873609 1071614066 /nfs/dbraw/zinc/61/40/66/1071614066.db2.gz VPYBMTLEOGKIJI-UHFFFAOYSA-N 0 0 430.494 -0.229 20 0 IBADRN CC(=O)N1CCN(C(=O)CSc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000022885666 1073086073 /nfs/dbraw/zinc/08/60/73/1073086073.db2.gz FJJQGUPXEWBSKW-UHFFFAOYSA-N 0 0 428.536 -0.115 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000022909513 1074470090 /nfs/dbraw/zinc/47/00/90/1074470090.db2.gz UQXDYEHYTIIIBA-UHFFFAOYSA-N 0 0 444.535 -0.438 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000022912217 1071617266 /nfs/dbraw/zinc/61/72/66/1071617266.db2.gz KLXKPKGGRISMFS-UHFFFAOYSA-N 0 0 429.543 -0.612 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)cc1OC ZINC000022941863 1073086294 /nfs/dbraw/zinc/08/62/94/1073086294.db2.gz ARPDYSQHISOLST-KRWDZBQOSA-N 0 0 446.504 -0.188 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)c(OC)c1 ZINC000022941901 1073086511 /nfs/dbraw/zinc/08/65/11/1073086511.db2.gz SDRXPTYEFWYNSG-SFHVURJKSA-N 0 0 446.504 -0.188 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(CC(=O)N3CCCCC3)CC1)c(=O)n2C ZINC000023004329 1072322077 /nfs/dbraw/zinc/32/20/77/1072322077.db2.gz WEDRTEMBIHAYJB-UHFFFAOYSA-N 0 0 431.541 -0.546 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CCCn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)no1 ZINC000023004395 1073085940 /nfs/dbraw/zinc/08/59/40/1073085940.db2.gz RUGUQYLLTWTXQO-UHFFFAOYSA-N 0 0 444.496 -0.623 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N1CCOCC1 ZINC000023035090 1071122135 /nfs/dbraw/zinc/12/21/35/1071122135.db2.gz FVMIFMSHTIJFEJ-UHFFFAOYSA-N 0 0 428.463 -0.268 20 0 IBADRN C=CCNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000023035276 1071122107 /nfs/dbraw/zinc/12/21/07/1071122107.db2.gz ZJORADZNZYVDGW-UHFFFAOYSA-N 0 0 441.462 -0.115 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000023035277 1071121956 /nfs/dbraw/zinc/12/19/56/1071121956.db2.gz DGKAIRWFAYLWCA-UHFFFAOYSA-N 0 0 429.451 -0.281 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000023035329 1071122122 /nfs/dbraw/zinc/12/21/22/1071122122.db2.gz AINPUFKICVLWRE-LLVKDONJSA-N 0 0 429.451 -0.283 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000023035330 1071121920 /nfs/dbraw/zinc/12/19/20/1071121920.db2.gz AINPUFKICVLWRE-NSHDSACASA-N 0 0 429.451 -0.283 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N1CCNC1=O ZINC000023035506 1071122054 /nfs/dbraw/zinc/12/20/54/1071122054.db2.gz RHDDNXHZYWIAER-UHFFFAOYSA-N 0 0 427.435 -0.575 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)NC(=O)NC1CC1 ZINC000023035534 1071121982 /nfs/dbraw/zinc/12/19/82/1071121982.db2.gz CWTAGOWUOUFNMD-UHFFFAOYSA-N 0 0 441.462 -0.139 20 0 IBADRN COc1ccc([C@@H](CC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)NC(C)=O)cc1 ZINC000023037742 1071122081 /nfs/dbraw/zinc/12/20/81/1071122081.db2.gz KGNPIJOZKPRPOC-CQSZACIVSA-N 0 0 432.433 -0.332 20 0 IBADRN COc1ccc([C@H](CC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)NC(C)=O)cc1 ZINC000023037743 1071122066 /nfs/dbraw/zinc/12/20/66/1071122066.db2.gz KGNPIJOZKPRPOC-AWEZNQCLSA-N 0 0 432.433 -0.332 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)NC(=O)NC(C)(C)C)c1 ZINC000023043345 1071122911 /nfs/dbraw/zinc/12/29/11/1071122911.db2.gz FTEBTBSYGDXKEL-UHFFFAOYSA-N 0 0 442.494 -0.166 20 0 IBADRN C=CCNC(=O)NC(=O)COC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000023043562 1071122991 /nfs/dbraw/zinc/12/29/91/1071122991.db2.gz BBTGOWLGIMIXRN-UHFFFAOYSA-N 0 0 426.451 -0.778 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000023043584 1071122958 /nfs/dbraw/zinc/12/29/58/1071122958.db2.gz VQLPSRGXXPYLRS-LLVKDONJSA-N 0 0 428.467 -0.556 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000023043586 1071122944 /nfs/dbraw/zinc/12/29/44/1071122944.db2.gz VQLPSRGXXPYLRS-NSHDSACASA-N 0 0 428.467 -0.556 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000023043600 1073107120 /nfs/dbraw/zinc/10/71/20/1073107120.db2.gz TYLJMFKZHBTRBG-UHFFFAOYSA-N 0 0 442.494 -0.308 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000023043604 1071122746 /nfs/dbraw/zinc/12/27/46/1071122746.db2.gz UVQQMQYZAKMOQN-UHFFFAOYSA-N 0 0 428.467 -0.554 20 0 IBADRN CC(C)[C@@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NC(N)=O ZINC000023043606 1071122965 /nfs/dbraw/zinc/12/29/65/1071122965.db2.gz NCFASLSDAAEJRV-CQSZACIVSA-N 0 0 428.467 -0.571 20 0 IBADRN CC(C)[C@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NC(N)=O ZINC000023043608 1071122845 /nfs/dbraw/zinc/12/28/45/1071122845.db2.gz NCFASLSDAAEJRV-AWEZNQCLSA-N 0 0 428.467 -0.571 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCCN(C(=O)c3ccccc3)CC2)c(=O)[nH]c1=O ZINC000023057791 1073085813 /nfs/dbraw/zinc/08/58/13/1073085813.db2.gz NSOXECIFHWKCON-UHFFFAOYSA-N 0 0 429.477 -0.204 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)c(=O)n(C)c1=O ZINC000023062060 1071125176 /nfs/dbraw/zinc/12/51/76/1071125176.db2.gz DCUULYMDIBQEJO-UHFFFAOYSA-N 0 0 436.446 -0.853 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000023066887 1071126255 /nfs/dbraw/zinc/12/62/55/1071126255.db2.gz WGTXOUWXSIJCFS-UHFFFAOYSA-N 0 0 439.446 -0.325 20 0 IBADRN CCN(C(=O)COC(=O)CN1C(=O)c2ccccc2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000023067722 1071126501 /nfs/dbraw/zinc/12/65/01/1071126501.db2.gz FIOYLPPYWYPFMM-GFCCVEGCSA-N 0 0 444.487 -0.590 20 0 IBADRN CCN(C(=O)COC(=O)CN1C(=O)c2ccccc2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000023067724 1071126565 /nfs/dbraw/zinc/12/65/65/1071126565.db2.gz FIOYLPPYWYPFMM-LBPRGKRZSA-N 0 0 444.487 -0.590 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000023113123 1073085918 /nfs/dbraw/zinc/08/59/18/1073085918.db2.gz JAYFDUOLUSBEHA-UHFFFAOYSA-N 0 0 425.401 -0.213 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)no1 ZINC000023113472 1073085802 /nfs/dbraw/zinc/08/58/02/1073085802.db2.gz RRNRTZOCQLKAOW-UHFFFAOYSA-N 0 0 441.448 -0.675 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCN(CC(=O)N4CCCCC4)CC3)nc2n(C)c1=O ZINC000023129552 1073085875 /nfs/dbraw/zinc/08/58/75/1073085875.db2.gz BVVHIRNOYQCAPE-UHFFFAOYSA-N 0 0 428.493 -0.598 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000023130648 1073086007 /nfs/dbraw/zinc/08/60/07/1073086007.db2.gz BUXXIHSIVNUCMY-UHFFFAOYSA-N 0 0 431.474 -0.351 20 0 IBADRN CNC(=O)c1ccc(CN(CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)C2CC2)cc1 ZINC000023146259 1071144747 /nfs/dbraw/zinc/14/47/47/1071144747.db2.gz SGOUNRFGKYIKFQ-UHFFFAOYSA-N 0 0 429.477 -0.028 20 0 IBADRN CCc1ccc(C(=O)N2CCN(CC(=O)c3c(N)n(CCOC)c(=O)[nH]c3=O)CC2)cc1 ZINC000023148168 1073086442 /nfs/dbraw/zinc/08/64/42/1073086442.db2.gz DTLWXIIGRMSLKR-UHFFFAOYSA-N 0 0 443.504 -0.032 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)NNC(=O)c1ccc(-n2cnnn2)cc1 ZINC000023160007 1071147470 /nfs/dbraw/zinc/14/74/70/1071147470.db2.gz WYGHKBJTKKXVGC-OAQYLSRUSA-N 0 0 446.427 -0.183 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NNC(=O)c1ccc(-n2cnnn2)cc1 ZINC000023160008 1071147370 /nfs/dbraw/zinc/14/73/70/1071147370.db2.gz WYGHKBJTKKXVGC-NRFANRHFSA-N 0 0 446.427 -0.183 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)c1ncccn1 ZINC000023173693 1071148099 /nfs/dbraw/zinc/14/80/99/1071148099.db2.gz PZOCENIKCPTNEY-UHFFFAOYSA-N 0 0 433.490 -0.011 20 0 IBADRN O=C(CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1)NC1CC1 ZINC000023187537 1073086327 /nfs/dbraw/zinc/08/63/27/1073086327.db2.gz REQKJIQVMNAQRK-UHFFFAOYSA-N 0 0 435.550 -0.095 20 0 IBADRN CC(C)C[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000023195107 1071150127 /nfs/dbraw/zinc/15/01/27/1071150127.db2.gz NLXWTDYMGYNPRT-XHSDSOJGSA-N 0 0 431.559 -0.118 20 0 IBADRN CC(C)C[C@H]1NC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000023195110 1071150174 /nfs/dbraw/zinc/15/01/74/1071150174.db2.gz NLXWTDYMGYNPRT-OWCLPIDISA-N 0 0 431.559 -0.118 20 0 IBADRN CC(C)C[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000023195113 1071150248 /nfs/dbraw/zinc/15/02/48/1071150248.db2.gz NLXWTDYMGYNPRT-JYJNAYRXSA-N 0 0 431.559 -0.118 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)cc1S(N)(=O)=O ZINC000023263734 1071267436 /nfs/dbraw/zinc/26/74/36/1071267436.db2.gz LJIGIPVGWQHMEO-SFHVURJKSA-N 0 0 438.462 -0.155 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)cc1S(N)(=O)=O ZINC000023263738 1071267652 /nfs/dbraw/zinc/26/76/52/1071267652.db2.gz LJIGIPVGWQHMEO-GOSISDBHSA-N 0 0 438.462 -0.155 20 0 IBADRN Cc1nnc(SCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)n1N ZINC000023280676 1074470145 /nfs/dbraw/zinc/47/01/45/1074470145.db2.gz HDJGLBDUARQUSQ-UHFFFAOYSA-N 0 0 426.524 -0.270 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000023287060 1073086455 /nfs/dbraw/zinc/08/64/55/1073086455.db2.gz QCGYHZIBOCDMTJ-MSOLQXFVSA-N 0 0 444.598 -0.677 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000023287062 1073086342 /nfs/dbraw/zinc/08/63/42/1073086342.db2.gz QCGYHZIBOCDMTJ-QZTJIDSGSA-N 0 0 444.598 -0.677 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000023287064 1073086480 /nfs/dbraw/zinc/08/64/80/1073086480.db2.gz QCGYHZIBOCDMTJ-ROUUACIJSA-N 0 0 444.598 -0.677 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000023287066 1073086403 /nfs/dbraw/zinc/08/64/03/1073086403.db2.gz QCGYHZIBOCDMTJ-ZWKOTPCHSA-N 0 0 444.598 -0.677 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000023289037 1073086492 /nfs/dbraw/zinc/08/64/92/1073086492.db2.gz GKTCGTRREKRIIY-UHFFFAOYSA-N 0 0 434.537 -0.161 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000023289555 1071039837 /nfs/dbraw/zinc/03/98/37/1071039837.db2.gz SQPOTGVTOMPMOB-MRXNPFEDSA-N 0 0 442.607 -0.030 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000023289557 1071039746 /nfs/dbraw/zinc/03/97/46/1071039746.db2.gz SQPOTGVTOMPMOB-INIZCTEOSA-N 0 0 442.607 -0.030 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1CCN(Cc2ccccc2)CC1 ZINC000023290758 1073086218 /nfs/dbraw/zinc/08/62/18/1073086218.db2.gz KSXYFORXUGERGG-LJQANCHMSA-N 0 0 436.578 -0.044 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1CCN(Cc2ccccc2)CC1 ZINC000023290761 1073086376 /nfs/dbraw/zinc/08/63/76/1073086376.db2.gz KSXYFORXUGERGG-IBGZPJMESA-N 0 0 436.578 -0.044 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)n1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(S(N)(=O)=O)cc1 ZINC000023295496 1071643835 /nfs/dbraw/zinc/64/38/35/1071643835.db2.gz JILSZDQCWQKZSW-GHMZBOCLSA-N 0 0 434.478 -0.481 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)n1cnc2c1c(=O)n(C)c(=O)n2C ZINC000023295499 1071643899 /nfs/dbraw/zinc/64/38/99/1071643899.db2.gz JILSZDQCWQKZSW-WDEREUQCSA-N 0 0 434.478 -0.481 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)n1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(S(N)(=O)=O)cc1 ZINC000023295502 1071643762 /nfs/dbraw/zinc/64/37/62/1071643762.db2.gz JILSZDQCWQKZSW-MNOVXSKESA-N 0 0 434.478 -0.481 20 0 IBADRN C[C@H](NC(=O)[C@H](C)n1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(S(N)(=O)=O)cc1 ZINC000023295505 1071643779 /nfs/dbraw/zinc/64/37/79/1071643779.db2.gz JILSZDQCWQKZSW-QWRGUYRKSA-N 0 0 434.478 -0.481 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1)NC1CC1 ZINC000023300186 1073086263 /nfs/dbraw/zinc/08/62/63/1073086263.db2.gz BWSJBXKFGLNGBI-UHFFFAOYSA-N 0 0 449.577 -0.584 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(CC(=O)NC3CC3)CC2)c(=O)[nH]c1=O ZINC000023300355 1073086312 /nfs/dbraw/zinc/08/63/12/1073086312.db2.gz SXJAWCGLGUCWIU-UHFFFAOYSA-N 0 0 449.556 -0.832 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000023301262 1071041087 /nfs/dbraw/zinc/04/10/87/1071041087.db2.gz IVYLJXRGNVFREF-OAHLLOKOSA-N 0 0 434.541 -0.742 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000023301264 1071040979 /nfs/dbraw/zinc/04/09/79/1071040979.db2.gz IVYLJXRGNVFREF-HNNXBMFYSA-N 0 0 434.541 -0.742 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCN(CC(=O)N3CCCC3)CC1)C2=O ZINC000023301699 1074470125 /nfs/dbraw/zinc/47/01/25/1074470125.db2.gz GJDSSWJCFHLJOA-UHFFFAOYSA-N 0 0 434.541 -0.242 20 0 IBADRN Cn1c2nnc(CN3CCN(CC(=O)N4CCOCC4)CC3)n2c2ccccc2c1=O ZINC000023302900 1073086191 /nfs/dbraw/zinc/08/61/91/1073086191.db2.gz RKKYNWCLLFAMNJ-UHFFFAOYSA-N 0 0 425.493 -0.442 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)Nc1ccc(CN2CCOCC2)cc1 ZINC000023302926 1073086416 /nfs/dbraw/zinc/08/64/16/1073086416.db2.gz RFKBCMFMPHXQFM-UHFFFAOYSA-N 0 0 445.564 -0.066 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000023304331 1071042190 /nfs/dbraw/zinc/04/21/90/1071042190.db2.gz BHCPHGQOEILARD-GOSISDBHSA-N 0 0 444.598 -0.675 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000023304333 1071042415 /nfs/dbraw/zinc/04/24/15/1071042415.db2.gz BHCPHGQOEILARD-SFHVURJKSA-N 0 0 444.598 -0.675 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2CCc3cc(OC)c(OC)cc3C2)CC1 ZINC000023304391 1073086359 /nfs/dbraw/zinc/08/63/59/1073086359.db2.gz YFOKXFGVQRCHOO-UHFFFAOYSA-N 0 0 434.537 -0.031 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3nc(CN4CCOCC4)cs3)c2c(=O)n(C)c1=O ZINC000023312553 1073086281 /nfs/dbraw/zinc/08/62/81/1073086281.db2.gz XSTMQBSWWPXKTO-UHFFFAOYSA-N 0 0 433.494 -0.249 20 0 IBADRN CCCCNC(=O)NC(=O)CN(CCO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000023357514 1073086470 /nfs/dbraw/zinc/08/64/70/1073086470.db2.gz HRFGXAUGBKPBSQ-UHFFFAOYSA-N 0 0 432.481 -0.408 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000023366013 1070807291 /nfs/dbraw/zinc/80/72/91/1070807291.db2.gz DRYYBRUFAVIZOR-UHFFFAOYSA-N 0 0 433.465 -0.460 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000023366259 1070806786 /nfs/dbraw/zinc/80/67/86/1070806786.db2.gz RGFCDICEICGWJL-UHFFFAOYSA-N 0 0 441.554 -0.744 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000023366783 1073086661 /nfs/dbraw/zinc/08/66/61/1073086661.db2.gz FWOANZNPQYZLBM-UHFFFAOYSA-N 0 0 435.481 -0.514 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)Nc2ccc(CN3CCOCC3)cc2)CC1 ZINC000023367726 1071085303 /nfs/dbraw/zinc/08/53/03/1071085303.db2.gz RUEXXKIEBFUZMS-UHFFFAOYSA-N 0 0 433.553 -0.163 20 0 IBADRN COCCNC(=O)CN1CCN([C@@H](C)C(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000023367996 1070808324 /nfs/dbraw/zinc/80/83/24/1070808324.db2.gz XZMODSNRWQMQOW-HNNXBMFYSA-N 0 0 449.508 -0.125 20 0 IBADRN COCCNC(=O)CN1CCN([C@H](C)C(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000023368000 1070807941 /nfs/dbraw/zinc/80/79/41/1070807941.db2.gz XZMODSNRWQMQOW-OAHLLOKOSA-N 0 0 449.508 -0.125 20 0 IBADRN COCCNC(=O)CN1CCN(Cc2nc3sc(C(=O)OC)c(C)c3c(=O)[nH]2)CC1 ZINC000023368054 1070807974 /nfs/dbraw/zinc/80/79/74/1070807974.db2.gz RDJLFLKMKGJIAA-UHFFFAOYSA-N 0 0 437.522 -0.040 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCCCC3)CC2)c(=O)[nH]c1=O ZINC000023368418 1073086636 /nfs/dbraw/zinc/08/66/36/1073086636.db2.gz VYAIJNNHJQWEOQ-UHFFFAOYSA-N 0 0 434.541 -0.268 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000023370807 1071085731 /nfs/dbraw/zinc/08/57/31/1071085731.db2.gz DQXXFMUTIGAECR-OAGGEKHMSA-N 0 0 448.568 -0.641 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000023370810 1071085985 /nfs/dbraw/zinc/08/59/85/1071085985.db2.gz DQXXFMUTIGAECR-XHSDSOJGSA-N 0 0 448.568 -0.641 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)c(=O)[nH]c1=O ZINC000023370858 1073086623 /nfs/dbraw/zinc/08/66/23/1073086623.db2.gz UDYWXLYAMYUAHF-HZPDHXFCSA-N 0 0 448.568 -0.166 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)c(=O)[nH]c1=O ZINC000023370861 1073086704 /nfs/dbraw/zinc/08/67/04/1073086704.db2.gz UDYWXLYAMYUAHF-HOTGVXAUSA-N 0 0 448.568 -0.166 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)c(=O)[nH]c1=O ZINC000023370864 1073086646 /nfs/dbraw/zinc/08/66/46/1073086646.db2.gz UDYWXLYAMYUAHF-IYBDPMFKSA-N 0 0 448.568 -0.166 20 0 IBADRN O=C1NC2(CCCC2)C(=O)N1CCCNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000023401018 1071258936 /nfs/dbraw/zinc/25/89/36/1071258936.db2.gz YWHMUUJRGSZMIX-UHFFFAOYSA-N 0 0 435.462 -0.251 20 0 IBADRN COCCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000023401719 1071086954 /nfs/dbraw/zinc/08/69/54/1071086954.db2.gz NXJLGEKIDXZNGS-GFCCVEGCSA-N 0 0 426.538 -0.452 20 0 IBADRN COCCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000023401721 1071086903 /nfs/dbraw/zinc/08/69/03/1071086903.db2.gz NXJLGEKIDXZNGS-LBPRGKRZSA-N 0 0 426.538 -0.452 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000023404535 1071261074 /nfs/dbraw/zinc/26/10/74/1071261074.db2.gz DOEAYRPDRAMIQA-UHFFFAOYSA-N 0 0 430.552 -0.347 20 0 IBADRN Cn1c(CCC(=O)N2CCNC(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000023419128 1073086913 /nfs/dbraw/zinc/08/69/13/1073086913.db2.gz PRCNDZADPOATDG-UHFFFAOYSA-N 0 0 435.506 -0.515 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCNC(=O)C1 ZINC000023420532 1073086719 /nfs/dbraw/zinc/08/67/19/1073086719.db2.gz UOVJGTLIUANFRJ-CYBMUJFWSA-N 0 0 437.927 -0.620 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCNC(=O)C1 ZINC000023420535 1073086735 /nfs/dbraw/zinc/08/67/35/1073086735.db2.gz UOVJGTLIUANFRJ-ZDUSSCGKSA-N 0 0 437.927 -0.620 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCNC(=O)C3)CC2)c1 ZINC000023421089 1071261282 /nfs/dbraw/zinc/26/12/82/1071261282.db2.gz VEFHPAFURWOZDP-UHFFFAOYSA-N 0 0 436.534 -0.125 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cc1 ZINC000023434764 1071260937 /nfs/dbraw/zinc/26/09/37/1071260937.db2.gz VIWKNEMOEQXEFK-UHFFFAOYSA-N 0 0 438.487 -0.256 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000023436099 1074470080 /nfs/dbraw/zinc/47/00/80/1074470080.db2.gz UPKGZCGKUKJQIG-UHFFFAOYSA-N 0 0 445.523 -0.891 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000023436111 1074470110 /nfs/dbraw/zinc/47/01/10/1074470110.db2.gz XRVOAZBITZBQFL-UHFFFAOYSA-N 0 0 440.569 -0.773 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CNC2=NS(=O)(=O)c3ccccc32)CC1 ZINC000023461346 1074470116 /nfs/dbraw/zinc/47/01/16/1074470116.db2.gz LLCJUNWBOCEWBL-UHFFFAOYSA-N 0 0 440.489 -0.249 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000023462725 1074470137 /nfs/dbraw/zinc/47/01/37/1074470137.db2.gz YNGSZRFPZXHMAH-UHFFFAOYSA-N 0 0 428.478 -0.491 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000023463279 1071261183 /nfs/dbraw/zinc/26/11/83/1071261183.db2.gz LBUPPGWDCCAQHU-UHFFFAOYSA-N 0 0 449.269 -0.675 20 0 IBADRN COCCCN(C(=O)CN1CCN(C)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000023467742 1073086818 /nfs/dbraw/zinc/08/68/18/1073086818.db2.gz CQVRUZCKYJFBEN-UHFFFAOYSA-N 0 0 444.536 -0.216 20 0 IBADRN O=C(CN1CCN(CC(=O)NC(=O)NCc2ccco2)CC1)NC(=O)NCc1ccco1 ZINC000023468547 1071089064 /nfs/dbraw/zinc/08/90/64/1071089064.db2.gz CAFSAHDVTGFGHM-UHFFFAOYSA-N 0 0 446.464 -0.158 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000023479127 1073966180 /nfs/dbraw/zinc/96/61/80/1073966180.db2.gz IOOYYKHYBBWVQN-UHFFFAOYSA-N 0 0 435.550 -0.321 20 0 IBADRN Cn1c(N)c(C(=O)CSc2nc3ccccc3c(=O)n2CCCO)c(=O)n(C)c1=O ZINC000023529375 1073086612 /nfs/dbraw/zinc/08/66/12/1073086612.db2.gz QDXCZPVSOKYYSY-UHFFFAOYSA-N 0 0 431.474 -0.267 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H](c3cccs3)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000023596710 1071264195 /nfs/dbraw/zinc/26/41/95/1071264195.db2.gz DWZVDFJKMMDPGM-CYBMUJFWSA-N 0 0 432.506 -0.315 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H](c3cccs3)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000023596714 1071264026 /nfs/dbraw/zinc/26/40/26/1071264026.db2.gz DWZVDFJKMMDPGM-ZDUSSCGKSA-N 0 0 432.506 -0.315 20 0 IBADRN C[C@@H](Nc1ccc(NC(=O)CN2CCOCC2)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000023627655 1071264821 /nfs/dbraw/zinc/26/48/21/1071264821.db2.gz CQQCWVVADKXUSE-CYBMUJFWSA-N 0 0 444.492 -0.380 20 0 IBADRN C[C@H](Nc1ccc(NC(=O)CN2CCOCC2)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000023627657 1071264939 /nfs/dbraw/zinc/26/49/39/1071264939.db2.gz CQQCWVVADKXUSE-ZDUSSCGKSA-N 0 0 444.492 -0.380 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000023629337 1074470160 /nfs/dbraw/zinc/47/01/60/1074470160.db2.gz MSFWKPCZWUHNOA-NEPJUHHUSA-N 0 0 434.453 -0.619 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000023629340 1074470178 /nfs/dbraw/zinc/47/01/78/1074470178.db2.gz MSFWKPCZWUHNOA-RYUDHWBXSA-N 0 0 434.453 -0.619 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000023629343 1074470103 /nfs/dbraw/zinc/47/01/03/1074470103.db2.gz MSFWKPCZWUHNOA-VXGBXAGGSA-N 0 0 434.453 -0.619 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000023629346 1074470112 /nfs/dbraw/zinc/47/01/12/1074470112.db2.gz MSFWKPCZWUHNOA-NWDGAFQWSA-N 0 0 434.453 -0.619 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(c3ncnc4c3cnn4C)CC2)c(=O)[nH]c1=O ZINC000023662593 1073086790 /nfs/dbraw/zinc/08/67/90/1073086790.db2.gz QNPKPDQJNDWBPP-UHFFFAOYSA-N 0 0 441.496 -0.544 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000023664354 1070813560 /nfs/dbraw/zinc/81/35/60/1070813560.db2.gz DUDMGPFXPBDIPN-CQSZACIVSA-N 0 0 428.493 -0.506 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000023664358 1070813634 /nfs/dbraw/zinc/81/36/34/1070813634.db2.gz DUDMGPFXPBDIPN-AWEZNQCLSA-N 0 0 428.493 -0.506 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)Nc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000023664410 1071264833 /nfs/dbraw/zinc/26/48/33/1071264833.db2.gz FQFGYQUARSKBLJ-UHFFFAOYSA-N 0 0 428.493 -0.032 20 0 IBADRN Cn1c2ncn(CCN3CCN(CC(=O)Nc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000023665052 1073086856 /nfs/dbraw/zinc/08/68/56/1073086856.db2.gz WUOFLZRIWDYBCR-UHFFFAOYSA-N 0 0 425.493 -0.310 20 0 IBADRN Nc1c(C(=O)CN2CCN(CC(=O)Nc3ccccc3)CC2)c(=O)[nH]c(=O)n1C1CC1 ZINC000023665554 1070813596 /nfs/dbraw/zinc/81/35/96/1070813596.db2.gz IYVUSQCTERKMCN-UHFFFAOYSA-N 0 0 426.477 -0.107 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(CC(=O)Nc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000023665744 1070813702 /nfs/dbraw/zinc/81/37/02/1070813702.db2.gz YALXRMSNPCSJGB-UHFFFAOYSA-N 0 0 444.492 -0.796 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC000023668761 1073970413 /nfs/dbraw/zinc/97/04/13/1073970413.db2.gz VUZNYFMHQJFOSA-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN O=C(CCN1CCN(C(=O)N2CCOCC2)CC1)NN1C(=O)NC2(CCCCC2)C1=O ZINC000023670550 1073971335 /nfs/dbraw/zinc/97/13/35/1073971335.db2.gz WQSFFIZJPSWNCD-UHFFFAOYSA-N 0 0 436.513 -0.268 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)CC3)CC2)c1 ZINC000023693852 1073086677 /nfs/dbraw/zinc/08/66/77/1073086677.db2.gz QPQWZRVAOPUWJY-UHFFFAOYSA-N 0 0 426.539 -0.216 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000023703310 1073086926 /nfs/dbraw/zinc/08/69/26/1073086926.db2.gz HTXOFPYSTGIKQV-UHFFFAOYSA-N 0 0 426.539 -0.014 20 0 IBADRN Cn1c2ncn(CCN3CCN(S(=O)(=O)c4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000023705194 1073086804 /nfs/dbraw/zinc/08/68/04/1073086804.db2.gz OIUGUUWGWJNIEM-UHFFFAOYSA-N 0 0 432.506 -0.560 20 0 IBADRN Cc1cc(C)[nH]c(=NNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000023744239 1071319846 /nfs/dbraw/zinc/31/98/46/1071319846.db2.gz DFSJMUMQLUFIAK-UHFFFAOYSA-N 0 0 427.508 -0.158 20 0 IBADRN CN(C)C(=O)CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000023746982 1073383067 /nfs/dbraw/zinc/38/30/67/1073383067.db2.gz YIFNLKLIQDEOEV-UHFFFAOYSA-N 0 0 437.522 -0.269 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1)N1CCOCC1 ZINC000023748246 1073086843 /nfs/dbraw/zinc/08/68/43/1073086843.db2.gz CKEIJCZRRIRRRO-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000023748979 1073086902 /nfs/dbraw/zinc/08/69/02/1073086902.db2.gz WGNREJMOBKPBQM-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(CC(=O)Nc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000023761852 1071266801 /nfs/dbraw/zinc/26/68/01/1071266801.db2.gz LURBMIHXSKQMSC-UHFFFAOYSA-N 0 0 439.476 -0.783 20 0 IBADRN O=C(CCNC1=NS(=O)(=O)c2ccccc21)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000023846752 1074470810 /nfs/dbraw/zinc/47/08/10/1074470810.db2.gz VOFMHAZCIJZNII-CQSZACIVSA-N 0 0 440.547 -0.554 20 0 IBADRN O=C(CCNC1=NS(=O)(=O)c2ccccc21)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000023846757 1074470764 /nfs/dbraw/zinc/47/07/64/1074470764.db2.gz VOFMHAZCIJZNII-AWEZNQCLSA-N 0 0 440.547 -0.554 20 0 IBADRN O=C(CN1CCN(C(=O)CCCNC2=NS(=O)(=O)c3ccccc32)CC1)NC1CC1 ZINC000023929953 1074470844 /nfs/dbraw/zinc/47/08/44/1074470844.db2.gz MWKISBWQBKKPEV-UHFFFAOYSA-N 0 0 433.534 -0.072 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCCC2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000023931936 1074470925 /nfs/dbraw/zinc/47/09/25/1074470925.db2.gz BMFDPDDDHJIEOG-UHFFFAOYSA-N 0 0 433.534 -0.166 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000023946254 1074470702 /nfs/dbraw/zinc/47/07/02/1074470702.db2.gz BYRLPFVBYINGDY-MPBGBICISA-N 0 0 432.433 -0.216 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000023946258 1074470836 /nfs/dbraw/zinc/47/08/36/1074470836.db2.gz BYRLPFVBYINGDY-FKIZINRSSA-N 0 0 432.433 -0.216 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000023946261 1074470774 /nfs/dbraw/zinc/47/07/74/1074470774.db2.gz BYRLPFVBYINGDY-ODXCJYRJSA-N 0 0 432.433 -0.216 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000023946265 1074470803 /nfs/dbraw/zinc/47/08/03/1074470803.db2.gz BYRLPFVBYINGDY-YUNKPMOVSA-N 0 0 432.433 -0.216 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1=O ZINC000023953867 1074470689 /nfs/dbraw/zinc/47/06/89/1074470689.db2.gz BBMCTIDDCSHXLH-FQEVSTJZSA-N 0 0 439.432 -0.678 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1=O ZINC000023953868 1074470934 /nfs/dbraw/zinc/47/09/34/1074470934.db2.gz BBMCTIDDCSHXLH-HXUWFJFHSA-N 0 0 439.432 -0.678 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccc(CN4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000023958650 1073087351 /nfs/dbraw/zinc/08/73/51/1073087351.db2.gz SEAJMAZYJTVTNY-UHFFFAOYSA-N 0 0 440.504 -0.028 20 0 IBADRN CC(C)CN1C(=O)C(=O)N(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1=O ZINC000024013636 1073087106 /nfs/dbraw/zinc/08/71/06/1073087106.db2.gz QERODXQDRCCCRK-UHFFFAOYSA-N 0 0 428.453 -0.541 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000024030423 1073087225 /nfs/dbraw/zinc/08/72/25/1073087225.db2.gz RNTCLNBYEMKIAM-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)oc(=O)n2C ZINC000024051215 1071278842 /nfs/dbraw/zinc/27/88/42/1071278842.db2.gz CGAAVRRPXLLMHI-LLVKDONJSA-N 0 0 431.492 -0.555 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)oc(=O)n2C ZINC000024051216 1071278956 /nfs/dbraw/zinc/27/89/56/1071278956.db2.gz CGAAVRRPXLLMHI-NSHDSACASA-N 0 0 431.492 -0.555 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000024052384 1071033830 /nfs/dbraw/zinc/03/38/30/1071033830.db2.gz UXAIINKQPQFNGH-UHFFFAOYSA-N 0 0 439.581 -0.017 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)ccc1OC ZINC000024061968 1071334438 /nfs/dbraw/zinc/33/44/38/1071334438.db2.gz YMLQHKGHYQWJRG-UHFFFAOYSA-N 0 0 431.434 -0.346 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@H](N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000024084930 1073087256 /nfs/dbraw/zinc/08/72/56/1073087256.db2.gz FXRAJJJCGYSNHB-FQEVSTJZSA-N 0 0 444.532 -0.158 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCC1(c3ccccc3)CC1)c(=O)n2C ZINC000024149296 1074471218 /nfs/dbraw/zinc/47/12/18/1074471218.db2.gz PCPGXUYBMBREQT-UHFFFAOYSA-N 0 0 425.445 -0.175 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@]3(C)CCS(=O)(=O)C3)CC2)cc1 ZINC000024167410 1073087092 /nfs/dbraw/zinc/08/70/92/1073087092.db2.gz UNUWDUSSDPLTRA-SFHVURJKSA-N 0 0 429.564 -0.005 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@]3(C)CCS(=O)(=O)C3)CC2)cc1 ZINC000024167414 1073087373 /nfs/dbraw/zinc/08/73/73/1073087373.db2.gz UNUWDUSSDPLTRA-GOSISDBHSA-N 0 0 429.564 -0.005 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000024168176 1071207043 /nfs/dbraw/zinc/20/70/43/1071207043.db2.gz IBAZZFKWJXEXKX-CQSZACIVSA-N 0 0 428.511 -0.138 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000024168179 1071207178 /nfs/dbraw/zinc/20/71/78/1071207178.db2.gz IBAZZFKWJXEXKX-AWEZNQCLSA-N 0 0 428.511 -0.138 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cc4c(cc3[N+](=O)[O-])OCCO4)c2c(=O)n(C)c1=O ZINC000024193402 1071335337 /nfs/dbraw/zinc/33/53/37/1071335337.db2.gz IUTHTHSIAOIWGT-UHFFFAOYSA-N 0 0 431.361 -0.030 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000024222789 1073383039 /nfs/dbraw/zinc/38/30/39/1073383039.db2.gz DPMYHZOGYZBTBB-LJQANCHMSA-N 0 0 436.578 -0.044 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000024222796 1073382945 /nfs/dbraw/zinc/38/29/45/1073382945.db2.gz DPMYHZOGYZBTBB-IBGZPJMESA-N 0 0 436.578 -0.044 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000024243370 1073087078 /nfs/dbraw/zinc/08/70/78/1073087078.db2.gz CNAHCUKJALDVEE-QGZVFWFLSA-N 0 0 436.601 -0.670 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000024243374 1073087194 /nfs/dbraw/zinc/08/71/94/1073087194.db2.gz CNAHCUKJALDVEE-KRWDZBQOSA-N 0 0 436.601 -0.670 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000024249478 1071295024 /nfs/dbraw/zinc/29/50/24/1071295024.db2.gz LCUWEURQOIVEDW-UHFFFAOYSA-N 0 0 430.553 -0.525 20 0 IBADRN Cc1ccc(NC(=O)NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(C)c1 ZINC000024271326 1074471242 /nfs/dbraw/zinc/47/12/42/1074471242.db2.gz ZYRBNYZLABAMPA-UHFFFAOYSA-N 0 0 442.432 -0.058 20 0 IBADRN C[C@@H](Oc1ccc(F)cc1)C(=O)NNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000024295041 1071468225 /nfs/dbraw/zinc/46/82/25/1071468225.db2.gz LBMUTXROANBHJB-DGCLKSJQSA-N 0 0 440.453 -0.094 20 0 IBADRN C[C@H](Oc1ccc(F)cc1)C(=O)NNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000024295048 1071336690 /nfs/dbraw/zinc/33/66/90/1071336690.db2.gz LBMUTXROANBHJB-WCQYABFASA-N 0 0 440.453 -0.094 20 0 IBADRN C[C@@H](Oc1ccc(F)cc1)C(=O)NNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000024295054 1071468292 /nfs/dbraw/zinc/46/82/92/1071468292.db2.gz LBMUTXROANBHJB-YPMHNXCESA-N 0 0 440.453 -0.094 20 0 IBADRN C[C@H](Oc1ccc(F)cc1)C(=O)NNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000024295059 1071336419 /nfs/dbraw/zinc/33/64/19/1071336419.db2.gz LBMUTXROANBHJB-AAEUAGOBSA-N 0 0 440.453 -0.094 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4ccc5c(c4)CCO5)CC3)c2c(=O)n(C)c1=O ZINC000024300302 1073087165 /nfs/dbraw/zinc/08/71/65/1073087165.db2.gz YJMRWMVPNQPZFW-UHFFFAOYSA-N 0 0 438.488 -0.287 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)Cn2cc(S(=O)(=O)N3CCCC3)ccc2=O)c1 ZINC000024307257 1071303966 /nfs/dbraw/zinc/30/39/66/1071303966.db2.gz ODSSEGGYGYEKOZ-UHFFFAOYSA-N 0 0 440.503 -0.081 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000024307541 1073087303 /nfs/dbraw/zinc/08/73/03/1073087303.db2.gz GUQQEQJBBBRDIH-UHFFFAOYSA-N 0 0 447.525 -0.275 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC(=O)N(C)C)c(=O)n2Cc1ccccc1 ZINC000024348157 1072870499 /nfs/dbraw/zinc/87/04/99/1072870499.db2.gz FVWHZOOQZBQFFQ-UHFFFAOYSA-N 0 0 442.476 -0.741 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000024374778 1073087125 /nfs/dbraw/zinc/08/71/25/1073087125.db2.gz BKUIYOBTCCXTFN-UHFFFAOYSA-N 0 0 430.552 -0.478 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000024385854 1073087270 /nfs/dbraw/zinc/08/72/70/1073087270.db2.gz GVQSZWVFBVDWNL-UHFFFAOYSA-N 0 0 444.467 -0.074 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000024387244 1073087154 /nfs/dbraw/zinc/08/71/54/1073087154.db2.gz ZCYGYJNGWVTMFD-UHFFFAOYSA-N 0 0 444.467 -0.074 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000024428687 1071480578 /nfs/dbraw/zinc/48/05/78/1071480578.db2.gz LFVKCFLFBVWXSP-CQSZACIVSA-N 0 0 444.579 -0.090 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000024428689 1071311989 /nfs/dbraw/zinc/31/19/89/1071311989.db2.gz LFVKCFLFBVWXSP-AWEZNQCLSA-N 0 0 444.579 -0.090 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000024436733 1071341951 /nfs/dbraw/zinc/34/19/51/1071341951.db2.gz CKYBLECZGISLLZ-UHFFFAOYSA-N 0 0 434.492 -0.084 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000024437185 1071342253 /nfs/dbraw/zinc/34/22/53/1071342253.db2.gz RJFMORUAKNCITN-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)c3cccc(Cl)c3)CC2)c(=O)n(C)c1=O ZINC000024458958 1073087140 /nfs/dbraw/zinc/08/71/40/1073087140.db2.gz BPYPUFBSLZQAEX-UHFFFAOYSA-N 0 0 426.882 -0.116 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)cc1 ZINC000024463932 1071345120 /nfs/dbraw/zinc/34/51/20/1071345120.db2.gz SLMRETLALWFVSU-UHFFFAOYSA-N 0 0 433.533 -0.101 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cc1 ZINC000024464056 1071312789 /nfs/dbraw/zinc/31/27/89/1071312789.db2.gz PSRGUZQIQSDTDV-UHFFFAOYSA-N 0 0 438.487 -0.218 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)cc1 ZINC000024464099 1071345219 /nfs/dbraw/zinc/34/52/19/1071345219.db2.gz QHJZRDNIFMARRN-UHFFFAOYSA-N 0 0 433.533 -0.101 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000024470259 1073383082 /nfs/dbraw/zinc/38/30/82/1073383082.db2.gz ZWUQVOFUZOYBSE-UHFFFAOYSA-N 0 0 434.478 -0.287 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000024475246 1073087288 /nfs/dbraw/zinc/08/72/88/1073087288.db2.gz YJZCEGKQRYYKPP-CQSZACIVSA-N 0 0 433.552 -0.086 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(CC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000024475252 1073087329 /nfs/dbraw/zinc/08/73/29/1073087329.db2.gz YJZCEGKQRYYKPP-AWEZNQCLSA-N 0 0 433.552 -0.086 20 0 IBADRN CN(C)c1cccc(C(=O)NNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)c1 ZINC000024487057 1071344766 /nfs/dbraw/zinc/34/47/66/1071344766.db2.gz BFLJHYXBBBQLJM-UHFFFAOYSA-N 0 0 445.501 -0.052 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000024493172 1074471378 /nfs/dbraw/zinc/47/13/78/1074471378.db2.gz CKBMNPCDUPJBNV-NEPJUHHUSA-N 0 0 434.453 -0.619 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000024493177 1074471330 /nfs/dbraw/zinc/47/13/30/1074471330.db2.gz CKBMNPCDUPJBNV-RYUDHWBXSA-N 0 0 434.453 -0.619 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000024493182 1074471373 /nfs/dbraw/zinc/47/13/73/1074471373.db2.gz CKBMNPCDUPJBNV-VXGBXAGGSA-N 0 0 434.453 -0.619 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000024493187 1074471230 /nfs/dbraw/zinc/47/12/30/1074471230.db2.gz CKBMNPCDUPJBNV-NWDGAFQWSA-N 0 0 434.453 -0.619 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000024508862 1073087061 /nfs/dbraw/zinc/08/70/61/1073087061.db2.gz ZWGGVXZFWAWALP-UHFFFAOYSA-N 0 0 448.542 -0.764 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000024508871 1073087210 /nfs/dbraw/zinc/08/72/10/1073087210.db2.gz JFWXFPIPOATONH-UHFFFAOYSA-N 0 0 448.542 -0.764 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000024508890 1073087581 /nfs/dbraw/zinc/08/75/81/1073087581.db2.gz PDAUXQAOSULCOX-UHFFFAOYSA-N 0 0 441.529 -0.514 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000024509359 1074471204 /nfs/dbraw/zinc/47/12/04/1074471204.db2.gz QNHVWQYYPDNYRU-IBGZPJMESA-N 0 0 437.522 -0.462 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000024509364 1074471346 /nfs/dbraw/zinc/47/13/46/1074471346.db2.gz QNHVWQYYPDNYRU-LJQANCHMSA-N 0 0 437.522 -0.462 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCc3cc(S(=O)(=O)N(C)C)ccc32)CC1 ZINC000024512125 1074471334 /nfs/dbraw/zinc/47/13/34/1074471334.db2.gz MPAGAWNAFGCBRY-UHFFFAOYSA-N 0 0 437.566 -0.468 20 0 IBADRN O=C(NNC(=O)c1cccc([N+](=O)[O-])c1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000024537418 1071345394 /nfs/dbraw/zinc/34/53/94/1071345394.db2.gz ICNNRJQWLJYAJS-UHFFFAOYSA-N 0 0 447.429 -0.210 20 0 IBADRN CN(CC(=O)NCc1ccco1)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000024537917 1071345242 /nfs/dbraw/zinc/34/52/42/1071345242.db2.gz QLJGZXZWOBHOGF-UHFFFAOYSA-N 0 0 434.474 -0.212 20 0 IBADRN O=C(NNC(=O)c1ccc2c(c1)OCO2)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000024554966 1071345162 /nfs/dbraw/zinc/34/51/62/1071345162.db2.gz MYRIYRYQBIBUHX-UHFFFAOYSA-N 0 0 446.441 -0.389 20 0 IBADRN O=C(NN1C(=O)NC2(CCCCC2)C1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000024564586 1071592107 /nfs/dbraw/zinc/59/21/07/1071592107.db2.gz FHRNDJZYPMZZGD-UHFFFAOYSA-N 0 0 449.489 -0.293 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000024588603 1073087702 /nfs/dbraw/zinc/08/77/02/1073087702.db2.gz WGTLOFLHGBTNIF-UHFFFAOYSA-N 0 0 445.567 -0.188 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(C(=O)C3CC3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000024592993 1073087758 /nfs/dbraw/zinc/08/77/58/1073087758.db2.gz PTKHNHMISPSOBV-UHFFFAOYSA-N 0 0 425.489 -0.086 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)c1 ZINC000024621486 1073087483 /nfs/dbraw/zinc/08/74/83/1073087483.db2.gz KGEMZIPGIYKKAS-UHFFFAOYSA-N 0 0 440.522 -0.096 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)c(=O)[nH]c1=O ZINC000024632224 1071592258 /nfs/dbraw/zinc/59/22/58/1071592258.db2.gz FMCCJQDFHNABID-UHFFFAOYSA-N 0 0 436.494 -0.231 20 0 IBADRN Cc1cc(C)n(CC(=O)NNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC000024644885 1071592233 /nfs/dbraw/zinc/59/22/33/1071592233.db2.gz CEZGXQKJLATKGR-UHFFFAOYSA-N 0 0 434.478 -0.918 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000024676359 1071598003 /nfs/dbraw/zinc/59/80/03/1071598003.db2.gz KIGUELROAJKXRY-QWHCGFSZSA-N 0 0 434.540 -0.918 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000024676364 1071347779 /nfs/dbraw/zinc/34/77/79/1071347779.db2.gz KIGUELROAJKXRY-CHWSQXEVSA-N 0 0 434.540 -0.918 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000024676370 1071348029 /nfs/dbraw/zinc/34/80/29/1071348029.db2.gz KIGUELROAJKXRY-STQMWFEESA-N 0 0 434.540 -0.918 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000024676376 1071347881 /nfs/dbraw/zinc/34/78/81/1071347881.db2.gz KIGUELROAJKXRY-OLZOCXBDSA-N 0 0 434.540 -0.918 20 0 IBADRN CN(CC(=O)N1CCCC[C@@H]1CNS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000024679574 1071348117 /nfs/dbraw/zinc/34/81/17/1071348117.db2.gz SAUNXHLTSHDJHK-CYBMUJFWSA-N 0 0 428.536 -0.002 20 0 IBADRN CN(CC(=O)N1CCCC[C@H]1CNS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000024679579 1071598401 /nfs/dbraw/zinc/59/84/01/1071598401.db2.gz SAUNXHLTSHDJHK-ZDUSSCGKSA-N 0 0 428.536 -0.002 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000024679812 1073087497 /nfs/dbraw/zinc/08/74/97/1073087497.db2.gz GYPQHZKCSVKQRQ-KRWDZBQOSA-N 0 0 434.518 -0.011 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000024679817 1073087735 /nfs/dbraw/zinc/08/77/35/1073087735.db2.gz GYPQHZKCSVKQRQ-QGZVFWFLSA-N 0 0 434.518 -0.011 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000024680450 1073087678 /nfs/dbraw/zinc/08/76/78/1073087678.db2.gz DFPYUBUUJHLWSP-ZDUSSCGKSA-N 0 0 434.540 -0.421 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000024680455 1073087692 /nfs/dbraw/zinc/08/76/92/1073087692.db2.gz DFPYUBUUJHLWSP-CYBMUJFWSA-N 0 0 434.540 -0.421 20 0 IBADRN CCNC(=O)CN(CC)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000024680724 1073087647 /nfs/dbraw/zinc/08/76/47/1073087647.db2.gz QEVBBKFRDHANNE-UHFFFAOYSA-N 0 0 426.539 -0.218 20 0 IBADRN CCNC(=O)CN(CC)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000024680757 1073087712 /nfs/dbraw/zinc/08/77/12/1073087712.db2.gz MWILJPYTHBIOIG-UHFFFAOYSA-N 0 0 426.539 -0.014 20 0 IBADRN CCNC(=O)CN(CC)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000024681189 1073087662 /nfs/dbraw/zinc/08/76/62/1073087662.db2.gz PRWDYDNCIPGDSV-UHFFFAOYSA-N 0 0 426.539 -0.218 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000024682284 1071314450 /nfs/dbraw/zinc/31/44/50/1071314450.db2.gz KCVLXGBGVNGLHW-CQSZACIVSA-N 0 0 448.567 -0.410 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000024682289 1071314377 /nfs/dbraw/zinc/31/43/77/1071314377.db2.gz KCVLXGBGVNGLHW-AWEZNQCLSA-N 0 0 448.567 -0.410 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)CC1 ZINC000024684198 1071441491 /nfs/dbraw/zinc/44/14/91/1071441491.db2.gz LVDWFMPPUSIAIG-UHFFFAOYSA-N 0 0 446.580 -0.510 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000024684206 1071314468 /nfs/dbraw/zinc/31/44/68/1071314468.db2.gz MJLXFXMQIHYLOP-OAHLLOKOSA-N 0 0 441.554 -0.222 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000024684211 1071314533 /nfs/dbraw/zinc/31/45/33/1071314533.db2.gz MJLXFXMQIHYLOP-HNNXBMFYSA-N 0 0 441.554 -0.222 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)CC1 ZINC000024684247 1073087467 /nfs/dbraw/zinc/08/74/67/1073087467.db2.gz FQPFATICAINIIV-UHFFFAOYSA-N 0 0 442.485 -0.098 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000024684328 1073087614 /nfs/dbraw/zinc/08/76/14/1073087614.db2.gz TVXKVXDXOJLOAA-UHFFFAOYSA-N 0 0 430.552 -0.312 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1 ZINC000024684387 1073087512 /nfs/dbraw/zinc/08/75/12/1073087512.db2.gz JGNYQGVBYSUNTF-UHFFFAOYSA-N 0 0 446.551 -0.744 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000024684442 1073087527 /nfs/dbraw/zinc/08/75/27/1073087527.db2.gz AWZJZBPAHUCBIS-UHFFFAOYSA-N 0 0 445.567 -0.557 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000024684511 1073087769 /nfs/dbraw/zinc/08/77/69/1073087769.db2.gz AAHLKCNKMVBWPK-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000024684542 1073087553 /nfs/dbraw/zinc/08/75/53/1073087553.db2.gz UPPAGDYRPHLFKZ-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000024685042 1073087724 /nfs/dbraw/zinc/08/77/24/1073087724.db2.gz VYSUNIRHBVTIEV-NSHDSACASA-N 0 0 446.507 -0.828 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000024685045 1073087596 /nfs/dbraw/zinc/08/75/96/1073087596.db2.gz VYSUNIRHBVTIEV-LLVKDONJSA-N 0 0 446.507 -0.828 20 0 IBADRN CNC(=O)[C@@H]1CCCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000024685766 1073088055 /nfs/dbraw/zinc/08/80/55/1073088055.db2.gz XWRSCAWJLKPRTM-INIZCTEOSA-N 0 0 431.536 -0.003 20 0 IBADRN CNC(=O)[C@H]1CCCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000024685770 1073087968 /nfs/dbraw/zinc/08/79/68/1073087968.db2.gz XWRSCAWJLKPRTM-MRXNPFEDSA-N 0 0 431.536 -0.003 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000024687875 1071314358 /nfs/dbraw/zinc/31/43/58/1071314358.db2.gz ZKMWDAKHYSICKW-ZDUSSCGKSA-N 0 0 435.524 -0.156 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000024687878 1071314333 /nfs/dbraw/zinc/31/43/33/1071314333.db2.gz ZKMWDAKHYSICKW-CYBMUJFWSA-N 0 0 435.524 -0.156 20 0 IBADRN Cn1cnnc1C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000024695069 1073992268 /nfs/dbraw/zinc/99/22/68/1073992268.db2.gz ABRDELGGLPXHSW-UHFFFAOYSA-N 0 0 432.506 -0.045 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)NC(=O)CS4)CC2)CC1 ZINC000024696078 1073088745 /nfs/dbraw/zinc/08/87/45/1073088745.db2.gz NGXMEETZQPMSFO-UHFFFAOYSA-N 0 0 439.563 -0.019 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3cnc4ccccc4c3=O)CC2)CC1 ZINC000024696139 1072870792 /nfs/dbraw/zinc/87/07/92/1072870792.db2.gz RGOLIJIBCHFJAT-UHFFFAOYSA-N 0 0 434.522 -0.967 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc(F)cc3F)CC2)CC1 ZINC000024696395 1073088823 /nfs/dbraw/zinc/08/88/23/1073088823.db2.gz RMTLILPHQOVFEE-UHFFFAOYSA-N 0 0 445.492 -0.669 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCc3nc(-c4ccncc4)no3)CC2)CC1 ZINC000024696404 1071314414 /nfs/dbraw/zinc/31/44/14/1071314414.db2.gz YMYMUVSRVSJLES-UHFFFAOYSA-N 0 0 449.537 -0.299 20 0 IBADRN CN1C(=O)c2ccc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc2C1=O ZINC000024696545 1073088950 /nfs/dbraw/zinc/08/89/50/1073088950.db2.gz JVZVPGFGCREGQF-UHFFFAOYSA-N 0 0 435.506 -0.838 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(NS(C)(=O)=O)cc3)CC2)CC1 ZINC000024696732 1073088993 /nfs/dbraw/zinc/08/89/93/1073088993.db2.gz JUWXUTCOECZZDO-UHFFFAOYSA-N 0 0 445.567 -0.692 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)Cc3ccccc3)CC2)CC1 ZINC000024696761 1073088916 /nfs/dbraw/zinc/08/89/16/1073088916.db2.gz DYSKPRRGCMJBRO-UHFFFAOYSA-N 0 0 437.566 -0.628 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)Nc4cc(F)ccc43)CC2)CC1 ZINC000024696782 1073088855 /nfs/dbraw/zinc/08/88/55/1073088855.db2.gz TWHJVAQYILLVGB-MRXNPFEDSA-N 0 0 439.513 -0.112 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)Nc4cc(F)ccc43)CC2)CC1 ZINC000024696788 1073088729 /nfs/dbraw/zinc/08/87/29/1073088729.db2.gz TWHJVAQYILLVGB-INIZCTEOSA-N 0 0 439.513 -0.112 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccco1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000024696956 1072871572 /nfs/dbraw/zinc/87/15/72/1072871572.db2.gz AAXZTCTWXIYMOL-QGZVFWFLSA-N 0 0 441.554 -0.330 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccco1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000024696964 1072871494 /nfs/dbraw/zinc/87/14/94/1072871494.db2.gz AAXZTCTWXIYMOL-KRWDZBQOSA-N 0 0 441.554 -0.330 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(OC)c1OC ZINC000024697224 1073088869 /nfs/dbraw/zinc/08/88/69/1073088869.db2.gz JZDNPOFFISBIIB-UHFFFAOYSA-N 0 0 442.538 -0.038 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCCNC(=O)c3ccco3)CC2)CC1 ZINC000024697522 1073088713 /nfs/dbraw/zinc/08/87/13/1073088713.db2.gz DLJLCSBJJTUVKS-UHFFFAOYSA-N 0 0 427.527 -0.574 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc2c1OCCO2 ZINC000024697681 1073088187 /nfs/dbraw/zinc/08/81/87/1073088187.db2.gz NLCQDVQPDFCYBR-UHFFFAOYSA-N 0 0 440.522 -0.284 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCn3c4ccccc4oc3=O)CC2)CC1 ZINC000024697710 1073088207 /nfs/dbraw/zinc/08/82/07/1073088207.db2.gz PCPYPXGQWJJDBP-UHFFFAOYSA-N 0 0 437.522 -0.379 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)c3ccsc3)CC2)CC1 ZINC000024697728 1073088080 /nfs/dbraw/zinc/08/80/80/1073088080.db2.gz YTCZPHZEWYDUQB-UHFFFAOYSA-N 0 0 429.568 -0.496 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3nn(C)c(=O)c4ccccc34)CC2)CC1 ZINC000024697763 1073087983 /nfs/dbraw/zinc/08/79/83/1073087983.db2.gz KDRWLSZJDZUWGC-UHFFFAOYSA-N 0 0 448.549 -0.888 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1C ZINC000024697830 1073087894 /nfs/dbraw/zinc/08/78/94/1073087894.db2.gz PHHBGYQHKKABNG-UHFFFAOYSA-N 0 0 437.566 -0.330 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccc(F)c3)CC2)CC1 ZINC000024697863 1073088124 /nfs/dbraw/zinc/08/81/24/1073088124.db2.gz KMLFXYDQZIBHQE-UHFFFAOYSA-N 0 0 427.502 -0.808 20 0 IBADRN Cc1cc(C)cc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000024697877 1073087925 /nfs/dbraw/zinc/08/79/25/1073087925.db2.gz ALCVVTVODGSOLV-UHFFFAOYSA-N 0 0 437.566 -0.330 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cnc12 ZINC000024698360 1072871514 /nfs/dbraw/zinc/87/15/14/1072871514.db2.gz JVMISCPMPQFANT-UHFFFAOYSA-N 0 0 448.549 -0.659 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(NS(C)(=O)=O)c3)CC2)CC1 ZINC000024698572 1071349260 /nfs/dbraw/zinc/34/92/60/1071349260.db2.gz AVQVIXVOEKJCCC-UHFFFAOYSA-N 0 0 445.567 -0.692 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(CS(C)(=O)=O)cc3)CC2)CC1 ZINC000024698824 1073088041 /nfs/dbraw/zinc/08/80/41/1073088041.db2.gz ZTQLLAONKXSTAK-UHFFFAOYSA-N 0 0 444.579 -0.519 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(C)(=O)=O)cc3)CC2)CC1 ZINC000024698832 1072871439 /nfs/dbraw/zinc/87/14/39/1072871439.db2.gz SYBOHRZRARPQFM-UHFFFAOYSA-N 0 0 430.552 -0.660 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3n[nH]c(=O)c4ccccc34)CC2)CC1 ZINC000024698873 1071314298 /nfs/dbraw/zinc/31/42/98/1071314298.db2.gz UFKUXQMSUHJFKB-UHFFFAOYSA-N 0 0 434.522 -0.898 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000024698899 1073088216 /nfs/dbraw/zinc/08/82/16/1073088216.db2.gz GWOWFJNOTWDGOE-UHFFFAOYSA-N 0 0 427.527 -0.332 20 0 IBADRN Cc1ccc(N2C[C@@H](C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)CC2=O)cc1 ZINC000024698956 1071349456 /nfs/dbraw/zinc/34/94/56/1071349456.db2.gz KEBVSKQPCCARSX-SFHVURJKSA-N 0 0 449.577 -0.016 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)CC2=O)cc1 ZINC000024698960 1071349323 /nfs/dbraw/zinc/34/93/23/1071349323.db2.gz KEBVSKQPCCARSX-GOSISDBHSA-N 0 0 449.577 -0.016 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CN3c4ccccc4OCC3=O)CC2)CC1 ZINC000024699156 1073087871 /nfs/dbraw/zinc/08/78/71/1073087871.db2.gz KVJNKOZLKSKMRN-UHFFFAOYSA-N 0 0 437.522 -0.952 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000024699183 1073088095 /nfs/dbraw/zinc/08/80/95/1073088095.db2.gz MXDKXZOTNPUTST-UHFFFAOYSA-N 0 0 444.579 -0.351 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)CC1 ZINC000024699203 1073088239 /nfs/dbraw/zinc/08/82/39/1073088239.db2.gz VEJLNBBVDPJBHP-UHFFFAOYSA-N 0 0 430.552 -0.660 20 0 IBADRN CC(=O)N1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000024710551 1073383017 /nfs/dbraw/zinc/38/30/17/1073383017.db2.gz NZVGMSYAKHQODJ-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN COc1ccc(C(=O)NCC(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000024716492 1074471263 /nfs/dbraw/zinc/47/12/63/1074471263.db2.gz CWBMYXIPDXVESU-UHFFFAOYSA-N 0 0 429.433 -0.194 20 0 IBADRN COc1cccc(C(=O)NCC(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000024717116 1074471294 /nfs/dbraw/zinc/47/12/94/1074471294.db2.gz PTDRKTSVLIQRSY-UHFFFAOYSA-N 0 0 429.433 -0.194 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)[C@@H]3CCCC[C@@H]3C1=O)c(=O)n2C ZINC000024717286 1074471324 /nfs/dbraw/zinc/47/13/24/1074471324.db2.gz DWEPWGZOUPCBKC-BETUJISGSA-N 0 0 431.449 -0.458 20 0 IBADRN COc1ccccc1C(=O)NCC(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000024717800 1074471316 /nfs/dbraw/zinc/47/13/16/1074471316.db2.gz VGAIMWKSLPEWQN-UHFFFAOYSA-N 0 0 429.433 -0.194 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1ccc3c(c1)C(=O)N(C)C3=O)c(=O)n2C ZINC000024722176 1074471191 /nfs/dbraw/zinc/47/11/91/1074471191.db2.gz WHRPOJVVXQOSAH-UHFFFAOYSA-N 0 0 425.401 -0.093 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NC(=O)NCc1ccccc1)c(=O)n2C ZINC000024725173 1074471253 /nfs/dbraw/zinc/47/12/53/1074471253.db2.gz LOHJZTIJTCIARX-UHFFFAOYSA-N 0 0 428.405 -0.997 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000024745657 1073088136 /nfs/dbraw/zinc/08/81/36/1073088136.db2.gz NPASOIBRLSMGHG-UHFFFAOYSA-N 0 0 435.448 -0.729 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000024746042 1073088068 /nfs/dbraw/zinc/08/80/68/1073088068.db2.gz FWSAVFGLONDEBN-UHFFFAOYSA-N 0 0 431.474 -0.188 20 0 IBADRN CC(C)[C@@H](CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCOCC1 ZINC000024756395 1073088161 /nfs/dbraw/zinc/08/81/61/1073088161.db2.gz WFMQNWYEBQXCHF-GOSISDBHSA-N 0 0 438.550 -0.106 20 0 IBADRN CC(C)[C@H](CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCOCC1 ZINC000024756399 1073088000 /nfs/dbraw/zinc/08/80/00/1073088000.db2.gz WFMQNWYEBQXCHF-SFHVURJKSA-N 0 0 438.550 -0.106 20 0 IBADRN NC(=O)COc1cccc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)c1 ZINC000024758352 1073087952 /nfs/dbraw/zinc/08/79/52/1073087952.db2.gz KINHOSUGTHDKTQ-UHFFFAOYSA-N 0 0 432.458 -0.077 20 0 IBADRN Cc1cc(N2CCN(C(=O)CNC3=NS(=O)(=O)c4ccccc43)CC2)n2ncnc2n1 ZINC000024767592 1071355407 /nfs/dbraw/zinc/35/54/07/1071355407.db2.gz XEBAGRZPQHSTNF-UHFFFAOYSA-N 0 0 440.489 -0.180 20 0 IBADRN Cc1cc(N2CCN(C(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)CC2)n2ncnc2n1 ZINC000024767833 1071355435 /nfs/dbraw/zinc/35/54/35/1071355435.db2.gz CKVGUVLTQVXYKT-UHFFFAOYSA-N 0 0 428.478 -0.421 20 0 IBADRN Cc1cc(N2CCN(C(=O)CN3C(=O)c4ccccc4S3(=O)=O)CC2)n2ncnc2n1 ZINC000024770377 1071355697 /nfs/dbraw/zinc/35/56/97/1071355697.db2.gz ICORPDFTNSUQSG-UHFFFAOYSA-N 0 0 441.473 -0.074 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)n2ncnc2n1 ZINC000024770424 1073088585 /nfs/dbraw/zinc/08/85/85/1073088585.db2.gz UIPXFDSMDKIJLQ-LSDHHAIUSA-N 0 0 447.521 -0.883 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)n2ncnc2n1 ZINC000024770429 1073088399 /nfs/dbraw/zinc/08/83/99/1073088399.db2.gz UIPXFDSMDKIJLQ-HUUCEWRRSA-N 0 0 447.521 -0.883 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)n2ncnc2n1 ZINC000024770435 1073088530 /nfs/dbraw/zinc/08/85/30/1073088530.db2.gz UIPXFDSMDKIJLQ-GJZGRUSLSA-N 0 0 447.521 -0.883 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)n2ncnc2n1 ZINC000024770440 1073088544 /nfs/dbraw/zinc/08/85/44/1073088544.db2.gz UIPXFDSMDKIJLQ-CABCVRRESA-N 0 0 447.521 -0.883 20 0 IBADRN Cc1cc(N2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)n2ncnc2n1 ZINC000024770574 1073088479 /nfs/dbraw/zinc/08/84/79/1073088479.db2.gz WNSLAKGNASCXPS-UHFFFAOYSA-N 0 0 435.448 -0.659 20 0 IBADRN Cc1cc(N2CCN(C(=O)CCNS(=O)(=O)c3cccnc3)CC2)n2ncnc2n1 ZINC000024770904 1073088518 /nfs/dbraw/zinc/08/85/18/1073088518.db2.gz LCFFEIRXYRZMDZ-UHFFFAOYSA-N 0 0 430.494 -0.155 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000024776588 1073088591 /nfs/dbraw/zinc/08/85/91/1073088591.db2.gz WIWRNYWGVJIZQH-UHFFFAOYSA-N 0 0 448.567 -0.627 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCCN(S(C)(=O)=O)CC2)cc(OC)c1OC ZINC000024778815 1071315043 /nfs/dbraw/zinc/31/50/43/1071315043.db2.gz GJGZPTMYFOIVFP-UHFFFAOYSA-N 0 0 429.495 -0.064 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCCc1cc(N)n(-c3ccccc3)n1)c(=O)n2C ZINC000024785076 1073088410 /nfs/dbraw/zinc/08/84/10/1073088410.db2.gz WXMYMFSQYZYRKL-UHFFFAOYSA-N 0 0 436.476 -0.049 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)Cn2cc(S(=O)(=O)N(C)C)ccc2=O)c1 ZINC000024787716 1071314916 /nfs/dbraw/zinc/31/49/16/1071314916.db2.gz IPVFFKWBZCHVNR-UHFFFAOYSA-N 0 0 442.519 -0.012 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000024787983 1073088374 /nfs/dbraw/zinc/08/83/74/1073088374.db2.gz GCBODOHOEJCNMW-UHFFFAOYSA-N 0 0 435.524 -0.615 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000024788540 1073088573 /nfs/dbraw/zinc/08/85/73/1073088573.db2.gz DDJANCXCOQNOPU-UHFFFAOYSA-N 0 0 435.524 -0.615 20 0 IBADRN O=C(NCCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccccc1 ZINC000024788600 1071315059 /nfs/dbraw/zinc/31/50/59/1071315059.db2.gz ZTYOXGLTNNOJON-UHFFFAOYSA-N 0 0 430.486 -0.033 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCCCN3CCN(c4ncccn4)CC3)nc2n(C)c1=O ZINC000024793664 1073088425 /nfs/dbraw/zinc/08/84/25/1073088425.db2.gz IFWQLEYZELBRLN-UHFFFAOYSA-N 0 0 438.492 -0.636 20 0 IBADRN O=C(COC(=O)c1ccc(C(=O)OCC(=O)NC(=O)NC2CC2)cc1)NC(=O)NC1CC1 ZINC000024798026 1074471306 /nfs/dbraw/zinc/47/13/06/1074471306.db2.gz UQPRNHXBSPJRCG-UHFFFAOYSA-N 0 0 446.416 -0.023 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000024807151 1073088464 /nfs/dbraw/zinc/08/84/64/1073088464.db2.gz ASJCTIIRLFMUMK-ZDUSSCGKSA-N 0 0 442.494 -0.753 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000024807156 1073088506 /nfs/dbraw/zinc/08/85/06/1073088506.db2.gz ASJCTIIRLFMUMK-CYBMUJFWSA-N 0 0 442.494 -0.753 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCNC(=O)[C@@H]2CC(=O)OC)C1=O ZINC000024807220 1074471350 /nfs/dbraw/zinc/47/13/50/1074471350.db2.gz YCZAOJIAKIXJSJ-XOBRGWDASA-N 0 0 431.449 -0.762 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCNC(=O)[C@@H]2CC(=O)OC)C1=O ZINC000024807226 1074471980 /nfs/dbraw/zinc/47/19/80/1074471980.db2.gz YCZAOJIAKIXJSJ-VBKZILBWSA-N 0 0 431.449 -0.762 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000024807231 1074471997 /nfs/dbraw/zinc/47/19/97/1074471997.db2.gz LORMOILHUJYDHO-BTYIYWSLSA-N 0 0 445.476 -0.676 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000024807236 1074471922 /nfs/dbraw/zinc/47/19/22/1074471922.db2.gz LORMOILHUJYDHO-VFNWGFHPSA-N 0 0 445.476 -0.676 20 0 IBADRN CCN(CC(=O)NC[C@@H]1COc2ccccc2O1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000024814982 1073088333 /nfs/dbraw/zinc/08/83/33/1073088333.db2.gz XHUZTRWZKIZDOQ-HUUCEWRRSA-N 0 0 425.507 -0.432 20 0 IBADRN CCN(CC(=O)NC[C@H]1COc2ccccc2O1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000024814986 1073088360 /nfs/dbraw/zinc/08/83/60/1073088360.db2.gz XHUZTRWZKIZDOQ-CABCVRRESA-N 0 0 425.507 -0.432 20 0 IBADRN CCN(CC(=O)NCC(=O)Nc1ccc(F)c(F)c1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000024815081 1073088347 /nfs/dbraw/zinc/08/83/47/1073088347.db2.gz UBOWHKZPSYZHHQ-CYBMUJFWSA-N 0 0 446.476 -0.355 20 0 IBADRN CCN(CC(=O)NCC(=O)Nc1ccc(F)c(F)c1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000024815086 1073088387 /nfs/dbraw/zinc/08/83/87/1073088387.db2.gz UBOWHKZPSYZHHQ-ZDUSSCGKSA-N 0 0 446.476 -0.355 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000024815101 1073088615 /nfs/dbraw/zinc/08/86/15/1073088615.db2.gz QLEURXONWWOOHN-MRXNPFEDSA-N 0 0 438.550 -0.071 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000024815105 1073088452 /nfs/dbraw/zinc/08/84/52/1073088452.db2.gz QLEURXONWWOOHN-INIZCTEOSA-N 0 0 438.550 -0.071 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000024819409 1073088559 /nfs/dbraw/zinc/08/85/59/1073088559.db2.gz ZNZUICQLZQEIHB-UHFFFAOYSA-N 0 0 430.477 -0.038 20 0 IBADRN NC(=O)[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1 ZINC000024819634 1073088812 /nfs/dbraw/zinc/08/88/12/1073088812.db2.gz KCDHFYHJYDSWFK-CYBMUJFWSA-N 0 0 430.477 -0.005 20 0 IBADRN NC(=O)[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1 ZINC000024819638 1073088799 /nfs/dbraw/zinc/08/87/99/1073088799.db2.gz KCDHFYHJYDSWFK-ZDUSSCGKSA-N 0 0 430.477 -0.005 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)CC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000024821129 1071445785 /nfs/dbraw/zinc/44/57/85/1071445785.db2.gz GFARMBCQTHSZEB-UHFFFAOYSA-N 0 0 447.536 -0.049 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC(=O)NC1CC1 ZINC000024843161 1074471987 /nfs/dbraw/zinc/47/19/87/1074471987.db2.gz DZOTUIOGGVVVPR-UHFFFAOYSA-N 0 0 427.435 -0.342 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCCN1C(=O)c3ccccc3C1=O)c(=O)n2C ZINC000024848957 1072149700 /nfs/dbraw/zinc/14/97/00/1072149700.db2.gz RDIYREFOQSGLCY-UHFFFAOYSA-N 0 0 425.401 -0.337 20 0 IBADRN O=C(CCN1CCN(CC(=O)N2CCCC2)CC1)NN1C(=O)NC2(CCCCC2)C1=O ZINC000024880820 1074471931 /nfs/dbraw/zinc/47/19/31/1074471931.db2.gz RQDWIOVFWSLVEZ-UHFFFAOYSA-N 0 0 434.541 -0.098 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)n1cnc2c1c(=O)n(C)c(=O)n2C ZINC000024907128 1073088983 /nfs/dbraw/zinc/08/89/83/1073088983.db2.gz JZPWHNQCVSHSGH-NSHDSACASA-N 0 0 434.478 -0.116 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)n1cnc2c1c(=O)n(C)c(=O)n2C ZINC000024907137 1073088783 /nfs/dbraw/zinc/08/87/83/1073088783.db2.gz JZPWHNQCVSHSGH-LLVKDONJSA-N 0 0 434.478 -0.116 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1CCN(c2nccc(OC)n2)CC1 ZINC000024911721 1073088928 /nfs/dbraw/zinc/08/89/28/1073088928.db2.gz OUCREKRMACOZBT-OAHLLOKOSA-N 0 0 439.538 -0.147 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1CCN(c2nccc(OC)n2)CC1 ZINC000024911728 1073088760 /nfs/dbraw/zinc/08/87/60/1073088760.db2.gz OUCREKRMACOZBT-HNNXBMFYSA-N 0 0 439.538 -0.147 20 0 IBADRN CS(=O)(=O)N1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000024922717 1071315546 /nfs/dbraw/zinc/31/55/46/1071315546.db2.gz APYDVGNBMQDZRW-UHFFFAOYSA-N 0 0 444.579 -0.513 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCCN(S(C)(=O)=O)CC1 ZINC000024924973 1073088973 /nfs/dbraw/zinc/08/89/73/1073088973.db2.gz YCHOOKSIXBRLGH-CQSZACIVSA-N 0 0 432.568 -0.652 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCCN(S(C)(=O)=O)CC1 ZINC000024924977 1073089168 /nfs/dbraw/zinc/08/91/68/1073089168.db2.gz YCHOOKSIXBRLGH-AWEZNQCLSA-N 0 0 432.568 -0.652 20 0 IBADRN CS(=O)(=O)N1CCCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000024927055 1073089281 /nfs/dbraw/zinc/08/92/81/1073089281.db2.gz QYTARMYRMUWMQB-UHFFFAOYSA-N 0 0 438.550 -0.258 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCCN(S(C)(=O)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000024928034 1073089258 /nfs/dbraw/zinc/08/92/58/1073089258.db2.gz OJWMSMIIJKXVHI-ZIAGYGMSSA-N 0 0 432.568 -0.133 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCCN(S(C)(=O)=O)CC1 ZINC000024928039 1073089246 /nfs/dbraw/zinc/08/92/46/1073089246.db2.gz OJWMSMIIJKXVHI-UONOGXRCSA-N 0 0 432.568 -0.133 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCCN(S(C)(=O)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000024928043 1073089109 /nfs/dbraw/zinc/08/91/09/1073089109.db2.gz OJWMSMIIJKXVHI-KGLIPLIRSA-N 0 0 432.568 -0.133 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCCN(S(C)(=O)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000024928047 1073089155 /nfs/dbraw/zinc/08/91/55/1073089155.db2.gz OJWMSMIIJKXVHI-KBPBESRZSA-N 0 0 432.568 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000024932514 1071966393 /nfs/dbraw/zinc/96/63/93/1071966393.db2.gz RDZGDSXPOVMINL-AWEZNQCLSA-N 0 0 432.524 -0.488 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000024932519 1071966343 /nfs/dbraw/zinc/96/63/43/1071966343.db2.gz RDZGDSXPOVMINL-CQSZACIVSA-N 0 0 432.524 -0.488 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000024944364 1071452917 /nfs/dbraw/zinc/45/29/17/1071452917.db2.gz DJMFMCDRWNYUNF-CQSZACIVSA-N 0 0 427.527 -0.323 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000024944365 1071452884 /nfs/dbraw/zinc/45/28/84/1071452884.db2.gz DJMFMCDRWNYUNF-AWEZNQCLSA-N 0 0 427.527 -0.323 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@H](C)C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC000024944765 1073089302 /nfs/dbraw/zinc/08/93/02/1073089302.db2.gz GKQMFFCNVQBICV-CYBMUJFWSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@@H](C)C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC000024944769 1073089270 /nfs/dbraw/zinc/08/92/70/1073089270.db2.gz GKQMFFCNVQBICV-ZDUSSCGKSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)CC1 ZINC000024944914 1073089328 /nfs/dbraw/zinc/08/93/28/1073089328.db2.gz URNUPXDJZLYZLC-UKRRQHHQSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)CC1 ZINC000024944915 1073089122 /nfs/dbraw/zinc/08/91/22/1073089122.db2.gz URNUPXDJZLYZLC-DZGCQCFKSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)CC1 ZINC000024944918 1073089142 /nfs/dbraw/zinc/08/91/42/1073089142.db2.gz URNUPXDJZLYZLC-HIFRSBDPSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)CC1 ZINC000024944921 1072871751 /nfs/dbraw/zinc/87/17/51/1072871751.db2.gz URNUPXDJZLYZLC-ZFWWWQNUSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@H](C)C(=O)N(C)CC(=O)NC2CC2)CC1 ZINC000024944957 1073089183 /nfs/dbraw/zinc/08/91/83/1073089183.db2.gz PBAATHZJXNDOGE-CYBMUJFWSA-N 0 0 427.527 -0.277 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@@H](C)C(=O)N(C)CC(=O)NC2CC2)CC1 ZINC000024944959 1073089216 /nfs/dbraw/zinc/08/92/16/1073089216.db2.gz PBAATHZJXNDOGE-ZDUSSCGKSA-N 0 0 427.527 -0.277 20 0 IBADRN CS(=O)(=O)c1nc2ccccc2n1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000024959677 1073089229 /nfs/dbraw/zinc/08/92/29/1073089229.db2.gz POHGQMDGSOFGTE-CQSZACIVSA-N 0 0 440.547 -0.229 20 0 IBADRN CS(=O)(=O)c1nc2ccccc2n1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000024959683 1073089314 /nfs/dbraw/zinc/08/93/14/1073089314.db2.gz POHGQMDGSOFGTE-AWEZNQCLSA-N 0 0 440.547 -0.229 20 0 IBADRN CCOC(=O)C(NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)OCC ZINC000024980500 1071474093 /nfs/dbraw/zinc/47/40/93/1071474093.db2.gz OHLKMXLGRXIJAS-UHFFFAOYSA-N 0 0 441.462 -0.968 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000025019865 1073089290 /nfs/dbraw/zinc/08/92/90/1073089290.db2.gz FGHHIBFCNINHID-AWEZNQCLSA-N 0 0 439.490 -0.017 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000025019868 1073089135 /nfs/dbraw/zinc/08/91/35/1073089135.db2.gz FGHHIBFCNINHID-CQSZACIVSA-N 0 0 439.490 -0.017 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000025020884 1071511913 /nfs/dbraw/zinc/51/19/13/1071511913.db2.gz QFIARWCBVUSGIJ-HNNXBMFYSA-N 0 0 440.478 -0.844 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000025020887 1071512179 /nfs/dbraw/zinc/51/21/79/1071512179.db2.gz QFIARWCBVUSGIJ-OAHLLOKOSA-N 0 0 440.478 -0.844 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000025021911 1074472001 /nfs/dbraw/zinc/47/20/01/1074472001.db2.gz KDVHVHNGWDSGNS-HNNXBMFYSA-N 0 0 448.501 -0.262 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000025021914 1074471950 /nfs/dbraw/zinc/47/19/50/1074471950.db2.gz KDVHVHNGWDSGNS-OAHLLOKOSA-N 0 0 448.501 -0.262 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000025022226 1074471974 /nfs/dbraw/zinc/47/19/74/1074471974.db2.gz GWDMAQVUVLNBEZ-NSHDSACASA-N 0 0 434.268 -0.400 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000025022230 1074472010 /nfs/dbraw/zinc/47/20/10/1074472010.db2.gz GWDMAQVUVLNBEZ-LLVKDONJSA-N 0 0 434.268 -0.400 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000025022559 1071513227 /nfs/dbraw/zinc/51/32/27/1071513227.db2.gz QQAIHIKKIBITJU-ZDUSSCGKSA-N 0 0 426.447 -0.488 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000025022562 1071513240 /nfs/dbraw/zinc/51/32/40/1071513240.db2.gz QQAIHIKKIBITJU-CYBMUJFWSA-N 0 0 426.447 -0.488 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000025023534 1073089202 /nfs/dbraw/zinc/08/92/02/1073089202.db2.gz FOBAGSUJZYANEK-ZDUSSCGKSA-N 0 0 425.463 -0.360 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000025023537 1073089096 /nfs/dbraw/zinc/08/90/96/1073089096.db2.gz FOBAGSUJZYANEK-CYBMUJFWSA-N 0 0 425.463 -0.360 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000025023828 1071374343 /nfs/dbraw/zinc/37/43/43/1071374343.db2.gz KSBMXTJCMJOVFZ-XOBRGWDASA-N 0 0 432.433 -0.648 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000025023831 1071374456 /nfs/dbraw/zinc/37/44/56/1071374456.db2.gz KSBMXTJCMJOVFZ-VLIAUNLRSA-N 0 0 432.433 -0.648 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000025023834 1071373946 /nfs/dbraw/zinc/37/39/46/1071373946.db2.gz KSBMXTJCMJOVFZ-VBKZILBWSA-N 0 0 432.433 -0.648 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000025023837 1071375263 /nfs/dbraw/zinc/37/52/63/1071375263.db2.gz KSBMXTJCMJOVFZ-JLTOFOAXSA-N 0 0 432.433 -0.648 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000025024094 1073089074 /nfs/dbraw/zinc/08/90/74/1073089074.db2.gz YYOULIUBOCAZAM-AWEZNQCLSA-N 0 0 442.494 -0.418 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000025024097 1073089464 /nfs/dbraw/zinc/08/94/64/1073089464.db2.gz YYOULIUBOCAZAM-CQSZACIVSA-N 0 0 442.494 -0.418 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000025025857 1071514961 /nfs/dbraw/zinc/51/49/61/1071514961.db2.gz ZZKIQATUVUKAOH-KGLIPLIRSA-N 0 0 442.494 -0.418 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000025025861 1071515181 /nfs/dbraw/zinc/51/51/81/1071515181.db2.gz ZZKIQATUVUKAOH-ZIAGYGMSSA-N 0 0 442.494 -0.418 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000025025865 1071515601 /nfs/dbraw/zinc/51/56/01/1071515601.db2.gz ZZKIQATUVUKAOH-KBPBESRZSA-N 0 0 442.494 -0.418 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000025025869 1071515548 /nfs/dbraw/zinc/51/55/48/1071515548.db2.gz ZZKIQATUVUKAOH-UONOGXRCSA-N 0 0 442.494 -0.418 20 0 IBADRN Cn1c2ncn(CCN3CCN(Cc4nc(N)c5ccccc5n4)CC3)c2c(=O)n(C)c1=O ZINC000025026751 1073089416 /nfs/dbraw/zinc/08/94/16/1073089416.db2.gz OBMHGGHRXBMLHZ-UHFFFAOYSA-N 0 0 449.519 -0.223 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCCN(C)S(=O)(=O)c1ccc(OC)cc1 ZINC000025027579 1073089663 /nfs/dbraw/zinc/08/96/63/1073089663.db2.gz RGLAETGBYCBPKM-INIZCTEOSA-N 0 0 441.506 -0.014 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCCN(C)S(=O)(=O)c1ccc(OC)cc1 ZINC000025027583 1073089594 /nfs/dbraw/zinc/08/95/94/1073089594.db2.gz RGLAETGBYCBPKM-MRXNPFEDSA-N 0 0 441.506 -0.014 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC000025028368 1071516449 /nfs/dbraw/zinc/51/64/49/1071516449.db2.gz XTDKOJYPQCCWLW-LBPRGKRZSA-N 0 0 438.234 -0.065 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC000025028372 1071516362 /nfs/dbraw/zinc/51/63/62/1071516362.db2.gz XTDKOJYPQCCWLW-GFCCVEGCSA-N 0 0 438.234 -0.065 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc2c(c1)C(=O)N(C[C@@H]1CCCO1)C2=O ZINC000025028375 1073089492 /nfs/dbraw/zinc/08/94/92/1073089492.db2.gz LMQJFYXOFLEBNG-BBRMVZONSA-N 0 0 429.429 -0.035 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc2c(c1)C(=O)N(C[C@@H]1CCCO1)C2=O ZINC000025028379 1073089526 /nfs/dbraw/zinc/08/95/26/1073089526.db2.gz LMQJFYXOFLEBNG-XJKSGUPXSA-N 0 0 429.429 -0.035 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc2c(c1)C(=O)N(C[C@H]1CCCO1)C2=O ZINC000025028383 1073089574 /nfs/dbraw/zinc/08/95/74/1073089574.db2.gz LMQJFYXOFLEBNG-CJNGLKHVSA-N 0 0 429.429 -0.035 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc2c(c1)C(=O)N(C[C@H]1CCCO1)C2=O ZINC000025028387 1073089676 /nfs/dbraw/zinc/08/96/76/1073089676.db2.gz LMQJFYXOFLEBNG-CZUORRHYSA-N 0 0 429.429 -0.035 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)N3CCNC(=O)[C@@H]3CC(=O)OC)cc(C3CC3)nc21 ZINC000025029457 1073089610 /nfs/dbraw/zinc/08/96/10/1073089610.db2.gz ADQDJJPNSCWOTG-AWEZNQCLSA-N 0 0 443.460 -0.124 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)N3CCNC(=O)[C@H]3CC(=O)OC)cc(C3CC3)nc21 ZINC000025029461 1073089559 /nfs/dbraw/zinc/08/95/59/1073089559.db2.gz ADQDJJPNSCWOTG-CQSZACIVSA-N 0 0 443.460 -0.124 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC000025029728 1073089651 /nfs/dbraw/zinc/08/96/51/1073089651.db2.gz MCEZZEVWXRQAAS-JSGCOSHPSA-N 0 0 449.460 -0.045 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC000025029734 1073089453 /nfs/dbraw/zinc/08/94/53/1073089453.db2.gz MCEZZEVWXRQAAS-GXTWGEPZSA-N 0 0 449.460 -0.045 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC000025029737 1073089473 /nfs/dbraw/zinc/08/94/73/1073089473.db2.gz MCEZZEVWXRQAAS-OCCSQVGLSA-N 0 0 449.460 -0.045 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC000025029742 1073089625 /nfs/dbraw/zinc/08/96/25/1073089625.db2.gz MCEZZEVWXRQAAS-TZMCWYRMSA-N 0 0 449.460 -0.045 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000025030572 1073089440 /nfs/dbraw/zinc/08/94/40/1073089440.db2.gz ZYPFUZGQHFCXTL-AWEZNQCLSA-N 0 0 426.495 -0.297 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000025030577 1073089584 /nfs/dbraw/zinc/08/95/84/1073089584.db2.gz ZYPFUZGQHFCXTL-CQSZACIVSA-N 0 0 426.495 -0.297 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000025032928 1073089543 /nfs/dbraw/zinc/08/95/43/1073089543.db2.gz IUERWAMXGYPNOY-HNNXBMFYSA-N 0 0 439.490 -0.480 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000025032933 1073089638 /nfs/dbraw/zinc/08/96/38/1073089638.db2.gz IUERWAMXGYPNOY-OAHLLOKOSA-N 0 0 439.490 -0.480 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000025032938 1073089687 /nfs/dbraw/zinc/08/96/87/1073089687.db2.gz DUVZMIOSIPWZGU-INIZCTEOSA-N 0 0 439.490 -0.480 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000025032943 1073089511 /nfs/dbraw/zinc/08/95/11/1073089511.db2.gz DUVZMIOSIPWZGU-MRXNPFEDSA-N 0 0 439.490 -0.480 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000025033672 1071528117 /nfs/dbraw/zinc/52/81/17/1071528117.db2.gz KKRMFCSNOGSGHE-HNNXBMFYSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000025033677 1071528654 /nfs/dbraw/zinc/52/86/54/1071528654.db2.gz KKRMFCSNOGSGHE-OAHLLOKOSA-N 0 0 425.463 -0.789 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC(NS(=O)(=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000025043145 1073090002 /nfs/dbraw/zinc/09/00/02/1073090002.db2.gz KBEHFYOATIARFY-UHFFFAOYSA-N 0 0 435.506 -0.708 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000025045885 1073089924 /nfs/dbraw/zinc/08/99/24/1073089924.db2.gz SRZVOSAUCJBCIK-CYBMUJFWSA-N 0 0 449.533 -0.320 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000025045891 1073089825 /nfs/dbraw/zinc/08/98/25/1073089825.db2.gz SRZVOSAUCJBCIK-ZDUSSCGKSA-N 0 0 449.533 -0.320 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)Cn4ccc(=O)[nH]c4=O)CC3)n2)cc1 ZINC000025069826 1072807466 /nfs/dbraw/zinc/80/74/66/1072807466.db2.gz BQQZMFWHOIQMFB-UHFFFAOYSA-N 0 0 426.433 -0.060 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cc1nn(C)c(=O)c3ccccc13)c(=O)n2C ZINC000025073313 1071375767 /nfs/dbraw/zinc/37/57/67/1071375767.db2.gz FTWGRKUXDTXZFU-UHFFFAOYSA-N 0 0 438.444 -0.143 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)OCCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000025084385 1071375752 /nfs/dbraw/zinc/37/57/52/1071375752.db2.gz RDERKZKJQXNUMN-LBPRGKRZSA-N 0 0 439.428 -0.030 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)OCCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000025084389 1071375917 /nfs/dbraw/zinc/37/59/17/1071375917.db2.gz RDERKZKJQXNUMN-GFCCVEGCSA-N 0 0 439.428 -0.030 20 0 IBADRN CCOC(=O)[C@@H]1CSCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000025085286 1071375860 /nfs/dbraw/zinc/37/58/60/1071375860.db2.gz GUOPCUMGIYNCNA-HNNXBMFYSA-N 0 0 441.531 -0.072 20 0 IBADRN CCOC(=O)[C@H]1CSCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000025085290 1071375937 /nfs/dbraw/zinc/37/59/37/1071375937.db2.gz GUOPCUMGIYNCNA-OAHLLOKOSA-N 0 0 441.531 -0.072 20 0 IBADRN COCCCn1c(SCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)n[nH]c1=O ZINC000025108582 1072824284 /nfs/dbraw/zinc/82/42/84/1072824284.db2.gz JGLORVIRFPFBSW-UHFFFAOYSA-N 0 0 426.499 -0.319 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000025134798 1073091189 /nfs/dbraw/zinc/09/11/89/1073091189.db2.gz HDZQKGDZCAKIAO-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCOC[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000025139751 1073091006 /nfs/dbraw/zinc/09/10/06/1073091006.db2.gz IKNKPSDEEYPDHQ-CQSZACIVSA-N 0 0 439.513 -0.218 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000025147648 1071584788 /nfs/dbraw/zinc/58/47/88/1071584788.db2.gz UYOQKVPJYGEXIU-UHFFFAOYSA-N 0 0 438.487 -0.055 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000025148410 1073091114 /nfs/dbraw/zinc/09/11/14/1073091114.db2.gz UCNPAMIAMFEBDI-UHFFFAOYSA-N 0 0 439.519 -0.322 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)s1 ZINC000025148416 1071317526 /nfs/dbraw/zinc/31/75/26/1071317526.db2.gz LYNMMRLRLRTYLT-UHFFFAOYSA-N 0 0 430.533 -0.134 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000025148443 1073090994 /nfs/dbraw/zinc/09/09/94/1073090994.db2.gz PZDCTIAWFFIPSO-UHFFFAOYSA-N 0 0 430.533 -0.046 20 0 IBADRN Cn1cc(S(=O)(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000025168534 1071316828 /nfs/dbraw/zinc/31/68/28/1071316828.db2.gz CFXFSOABMIGHLE-UHFFFAOYSA-N 0 0 435.506 -0.535 20 0 IBADRN Cc1nnc(SCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)n(N)c1=O ZINC000025179821 1074472009 /nfs/dbraw/zinc/47/20/09/1074472009.db2.gz QVLSUFWTIFDJGA-HNNXBMFYSA-N 0 0 446.489 -0.737 20 0 IBADRN Cc1nnc(SCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)n(N)c1=O ZINC000025179829 1074472012 /nfs/dbraw/zinc/47/20/12/1074472012.db2.gz QVLSUFWTIFDJGA-OAHLLOKOSA-N 0 0 446.489 -0.737 20 0 IBADRN CCn1c(CCC(=O)NCC(=O)NC)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000025198461 1071592488 /nfs/dbraw/zinc/59/24/88/1071592488.db2.gz LBGCSZKBCZKMFC-UHFFFAOYSA-N 0 0 437.522 -0.128 20 0 IBADRN COc1ccc(CN2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cc1F ZINC000025198701 1071592474 /nfs/dbraw/zinc/59/24/74/1071592474.db2.gz HXKLBLVMPNKVKG-UHFFFAOYSA-N 0 0 444.467 -0.074 20 0 IBADRN CNC(=O)CNC(=O)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(C)C ZINC000025199684 1071592714 /nfs/dbraw/zinc/59/27/14/1071592714.db2.gz BONGZOKARPSYLK-KRWDZBQOSA-N 0 0 440.522 -0.676 20 0 IBADRN CNC(=O)CNC(=O)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(C)C ZINC000025199691 1071592583 /nfs/dbraw/zinc/59/25/83/1071592583.db2.gz BONGZOKARPSYLK-QGZVFWFLSA-N 0 0 440.522 -0.676 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000025204661 1071317202 /nfs/dbraw/zinc/31/72/02/1071317202.db2.gz KVVHYLKJNIMSQF-UHFFFAOYSA-N 0 0 448.567 -0.296 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OCC(=O)NC(N)=O ZINC000025206402 1074471985 /nfs/dbraw/zinc/47/19/85/1074471985.db2.gz NVWUTRLOXHAKTR-OAHLLOKOSA-N 0 0 429.451 -0.111 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OCC(=O)NC(N)=O ZINC000025206407 1074471983 /nfs/dbraw/zinc/47/19/83/1074471983.db2.gz NVWUTRLOXHAKTR-HNNXBMFYSA-N 0 0 429.451 -0.111 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000025206772 1074472007 /nfs/dbraw/zinc/47/20/07/1074472007.db2.gz PTBZERLWYOWJJA-UHFFFAOYSA-N 0 0 445.432 -0.019 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)CCCN3S(C)(=O)=O)CC1 ZINC000025207490 1072719438 /nfs/dbraw/zinc/71/94/38/1072719438.db2.gz BZSVIRNEQRDFOR-UHFFFAOYSA-N 0 0 430.552 -0.037 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)ccc2N1S(C)(=O)=O ZINC000025207536 1072719398 /nfs/dbraw/zinc/71/93/98/1072719398.db2.gz WJTDTZMBSOEVKX-CYBMUJFWSA-N 0 0 430.552 -0.039 20 0 IBADRN C[C@H]1Cc2cc(C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)ccc2N1S(C)(=O)=O ZINC000025207542 1072719465 /nfs/dbraw/zinc/71/94/65/1072719465.db2.gz WJTDTZMBSOEVKX-ZDUSSCGKSA-N 0 0 430.552 -0.039 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(OC)c1OC ZINC000025207771 1072719494 /nfs/dbraw/zinc/71/94/94/1072719494.db2.gz HTKTXKLJUBFTJS-UHFFFAOYSA-N 0 0 444.510 -0.607 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000025208058 1072719406 /nfs/dbraw/zinc/71/94/06/1072719406.db2.gz JCYNTOLVWBIEPI-UHFFFAOYSA-N 0 0 435.506 -0.991 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(OC)c1OCC(N)=O ZINC000025208236 1074000534 /nfs/dbraw/zinc/00/05/34/1074000534.db2.gz KNUYJCXDUXORIG-UHFFFAOYSA-N 0 0 430.483 -0.868 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n(C)c1 ZINC000025208395 1072719478 /nfs/dbraw/zinc/71/94/78/1072719478.db2.gz NPFZTRAGGSELSY-UHFFFAOYSA-N 0 0 435.572 -0.380 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000025208552 1071317566 /nfs/dbraw/zinc/31/75/66/1071317566.db2.gz CVOUASGPBVRBPP-UHFFFAOYSA-N 0 0 438.959 -0.041 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1S(=O)(=O)NC1CC1 ZINC000025208780 1072719499 /nfs/dbraw/zinc/71/94/99/1072719499.db2.gz SZFXQJQERJWJLH-UHFFFAOYSA-N 0 0 446.551 -0.300 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000025209135 1072719350 /nfs/dbraw/zinc/71/93/50/1072719350.db2.gz IJKPEQYGNVGBDR-UHFFFAOYSA-N 0 0 430.552 0.000 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)c(C)n2n1 ZINC000025209902 1072719363 /nfs/dbraw/zinc/71/93/63/1072719363.db2.gz QSNKMOSLTNYEQG-UHFFFAOYSA-N 0 0 427.556 -0.044 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1C ZINC000025209993 1072719458 /nfs/dbraw/zinc/71/94/58/1072719458.db2.gz XZYPBJDKHKWYIH-UHFFFAOYSA-N 0 0 432.568 -0.125 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000025210133 1072719473 /nfs/dbraw/zinc/71/94/73/1072719473.db2.gz SATGOAWZDJKXSC-OAHLLOKOSA-N 0 0 426.474 -0.804 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000025210136 1072719444 /nfs/dbraw/zinc/71/94/44/1072719444.db2.gz SATGOAWZDJKXSC-HNNXBMFYSA-N 0 0 426.474 -0.804 20 0 IBADRN COc1cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(C(=O)N(C)C)cc1OC ZINC000025213659 1071377586 /nfs/dbraw/zinc/37/75/86/1071377586.db2.gz ZOSJEEZQSQSTAI-UHFFFAOYSA-N 0 0 444.448 -0.209 20 0 IBADRN C[C@@H](On1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21)C(=O)NCC(F)(F)F ZINC000025215643 1073091438 /nfs/dbraw/zinc/09/14/38/1073091438.db2.gz SXVJJJUQIZMRNM-SNVBAGLBSA-N 0 0 437.400 -0.052 20 0 IBADRN C[C@H](On1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21)C(=O)NCC(F)(F)F ZINC000025215649 1073091575 /nfs/dbraw/zinc/09/15/75/1073091575.db2.gz SXVJJJUQIZMRNM-JTQLQIEISA-N 0 0 437.400 -0.052 20 0 IBADRN COc1cc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(C(=O)N(C)C)cc1OC ZINC000025216236 1071377795 /nfs/dbraw/zinc/37/77/95/1071377795.db2.gz CQYBSSOJFITELF-UHFFFAOYSA-N 0 0 444.448 -0.209 20 0 IBADRN O=S(=O)(c1cccc2nsnc21)N1CCN(C[C@@H](O)CN2CCOCC2)CC1 ZINC000025226416 1073383368 /nfs/dbraw/zinc/38/33/68/1073383368.db2.gz WJFWDLUYANYJDE-CQSZACIVSA-N 0 0 427.552 -0.309 20 0 IBADRN O=S(=O)(c1cccc2nsnc21)N1CCN(C[C@H](O)CN2CCOCC2)CC1 ZINC000025226421 1073383444 /nfs/dbraw/zinc/38/34/44/1073383444.db2.gz WJFWDLUYANYJDE-AWEZNQCLSA-N 0 0 427.552 -0.309 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4cccc(F)c4)CC3)c2c(=O)n(C)c1=O ZINC000025227333 1073091020 /nfs/dbraw/zinc/09/10/20/1073091020.db2.gz NEPWZBBSZNRPMQ-UHFFFAOYSA-N 0 0 428.424 -0.443 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000025227689 1072719825 /nfs/dbraw/zinc/71/98/25/1072719825.db2.gz NMCKTDJXIHZTLD-UHFFFAOYSA-N 0 0 442.513 -0.213 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000025227751 1073091202 /nfs/dbraw/zinc/09/12/02/1073091202.db2.gz YDRPIGLJSDQYQU-UHFFFAOYSA-N 0 0 438.550 -0.044 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000025229072 1073090973 /nfs/dbraw/zinc/09/09/73/1073090973.db2.gz HMDKSFGJVCXQBX-ZDUSSCGKSA-N 0 0 439.538 -0.362 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4cccc(F)c4)CC3)c2c(=O)n(C)c1=O ZINC000025229303 1073091151 /nfs/dbraw/zinc/09/11/51/1073091151.db2.gz YDJPHWZKWZABGN-UHFFFAOYSA-N 0 0 442.451 -0.053 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)NCCOC)ccc2C)CC1 ZINC000025230128 1073091129 /nfs/dbraw/zinc/09/11/29/1073091129.db2.gz MPQWCFWPYWVLNK-UHFFFAOYSA-N 0 0 426.539 -0.140 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000025230230 1073091285 /nfs/dbraw/zinc/09/12/85/1073091285.db2.gz QZRFVWRMSHJNGR-UHFFFAOYSA-N 0 0 425.507 -0.052 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000025232988 1073091237 /nfs/dbraw/zinc/09/12/37/1073091237.db2.gz UWIYZZLYJHZDNA-UHFFFAOYSA-N 0 0 430.552 -0.291 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000025234665 1073091097 /nfs/dbraw/zinc/09/10/97/1073091097.db2.gz RJSQHICIPPOFTG-HOCLYGCPSA-N 0 0 435.433 -0.618 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000025234670 1073091412 /nfs/dbraw/zinc/09/14/12/1073091412.db2.gz RJSQHICIPPOFTG-ZBFHGGJFSA-N 0 0 435.433 -0.618 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000025234677 1073091597 /nfs/dbraw/zinc/09/15/97/1073091597.db2.gz RJSQHICIPPOFTG-GOEBONIOSA-N 0 0 435.433 -0.618 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000025234682 1073091468 /nfs/dbraw/zinc/09/14/68/1073091468.db2.gz RJSQHICIPPOFTG-GDBMZVCRSA-N 0 0 435.433 -0.618 20 0 IBADRN CCOC(=O)c1cccc(OC[C@@H](O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000025234696 1071592653 /nfs/dbraw/zinc/59/26/53/1071592653.db2.gz KOGXXZNGBLSRBO-RDJZCZTQSA-N 0 0 449.460 -0.228 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000025234701 1071592684 /nfs/dbraw/zinc/59/26/84/1071592684.db2.gz KOGXXZNGBLSRBO-WBVHZDCISA-N 0 0 449.460 -0.228 20 0 IBADRN CCOC(=O)c1cccc(OC[C@@H](O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000025234706 1071592706 /nfs/dbraw/zinc/59/27/06/1071592706.db2.gz KOGXXZNGBLSRBO-DOTOQJQBSA-N 0 0 449.460 -0.228 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000025234711 1071592619 /nfs/dbraw/zinc/59/26/19/1071592619.db2.gz KOGXXZNGBLSRBO-NVXWUHKLSA-N 0 0 449.460 -0.228 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NC3CCN(CC(=O)NC)CC3)cc(C3CC3)nc21 ZINC000025235941 1073091607 /nfs/dbraw/zinc/09/16/07/1073091607.db2.gz VYACKSDPTYHWMR-UHFFFAOYSA-N 0 0 428.493 -0.078 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC000025238883 1074471999 /nfs/dbraw/zinc/47/19/99/1074471999.db2.gz CKQHSXPPCMZUMB-CQSZACIVSA-N 0 0 442.519 -0.160 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC000025238886 1074471961 /nfs/dbraw/zinc/47/19/61/1074471961.db2.gz CKQHSXPPCMZUMB-AWEZNQCLSA-N 0 0 442.519 -0.160 20 0 IBADRN CNC(=O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000025265985 1074002362 /nfs/dbraw/zinc/00/23/62/1074002362.db2.gz AEWDSRXNMRLDLV-GFCCVEGCSA-N 0 0 425.916 -0.716 20 0 IBADRN CNC(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000025265988 1074002443 /nfs/dbraw/zinc/00/24/43/1074002443.db2.gz AEWDSRXNMRLDLV-LBPRGKRZSA-N 0 0 425.916 -0.716 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1cccc(S(N)(=O)=O)c1 ZINC000025270938 1072720002 /nfs/dbraw/zinc/72/00/02/1072720002.db2.gz SOTYKYJLQNFJOG-UHFFFAOYSA-N 0 0 442.519 -0.377 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccnc(N4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000025290905 1073091527 /nfs/dbraw/zinc/09/15/27/1073091527.db2.gz DJOQMMOANMDEQZ-UHFFFAOYSA-N 0 0 427.465 -0.628 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccc(C(=O)N4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000025341036 1072719920 /nfs/dbraw/zinc/71/99/20/1072719920.db2.gz JRDMUTMUOIVZNE-UHFFFAOYSA-N 0 0 440.460 -0.778 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000025345827 1074472003 /nfs/dbraw/zinc/47/20/03/1074472003.db2.gz YVHHLAJOAIUFRQ-CMDGGOBGSA-N 0 0 432.502 -0.229 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)Cn3c(Cl)nc4c3c(=O)n(C)c(=O)n4C)cc2C1=O ZINC000025380466 1072719867 /nfs/dbraw/zinc/71/98/67/1072719867.db2.gz PCJORYLKFHMZBW-UHFFFAOYSA-N 0 0 430.808 -0.048 20 0 IBADRN O=C(CNC1=NS(=O)(=O)c2ccccc21)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000025383123 1074471992 /nfs/dbraw/zinc/47/19/92/1074471992.db2.gz SRZFWUHUJOFBHT-UHFFFAOYSA-N 0 0 433.534 -0.118 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000025394889 1074002987 /nfs/dbraw/zinc/00/29/87/1074002987.db2.gz WVZUWAHHUGJSMU-UHFFFAOYSA-N 0 0 432.525 -0.730 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000025437223 1072719839 /nfs/dbraw/zinc/71/98/39/1072719839.db2.gz RMUPBNXIMZDEGB-UHFFFAOYSA-N 0 0 448.548 -0.315 20 0 IBADRN NC(=O)C1CCN(C(=O)CSc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000025442093 1072720498 /nfs/dbraw/zinc/72/04/98/1072720498.db2.gz QFOUWDBEBFTKIA-UHFFFAOYSA-N 0 0 428.536 -0.082 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)Nc1ccccc1F ZINC000025462227 1072536939 /nfs/dbraw/zinc/53/69/39/1072536939.db2.gz BAKXRIKXCDBFOV-SNVBAGLBSA-N 0 0 446.395 -0.147 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)Nc1ccccc1F ZINC000025462233 1072536821 /nfs/dbraw/zinc/53/68/21/1072536821.db2.gz BAKXRIKXCDBFOV-JTQLQIEISA-N 0 0 446.395 -0.147 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC000025469970 1072536912 /nfs/dbraw/zinc/53/69/12/1072536912.db2.gz FFBKBXBFWMTTLQ-UHFFFAOYSA-N 0 0 429.529 -0.204 20 0 IBADRN C=CCn1c(=O)n(CC=C)c(=O)n(CN2CCN(c3ccc([N+](=O)[O-])nc3)CC2)c1=O ZINC000025486583 1071379189 /nfs/dbraw/zinc/37/91/89/1071379189.db2.gz YIFQWOPUGVOJIA-UHFFFAOYSA-N 0 0 429.437 -0.373 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CCN(C(C)=O)CC2)c(=O)[nH]c1=O ZINC000025504250 1071425542 /nfs/dbraw/zinc/42/55/42/1071425542.db2.gz UBHOUTYEDYOTSA-CQSZACIVSA-N 0 0 438.529 -0.549 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CCN(C(C)=O)CC2)c(=O)[nH]c1=O ZINC000025504253 1071425286 /nfs/dbraw/zinc/42/52/86/1071425286.db2.gz UBHOUTYEDYOTSA-AWEZNQCLSA-N 0 0 438.529 -0.549 20 0 IBADRN O=C(CN1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1)N1CCCCC1 ZINC000025507551 1074003620 /nfs/dbraw/zinc/00/36/20/1074003620.db2.gz ANSSSZYIGZIIPB-UHFFFAOYSA-N 0 0 441.540 -0.108 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000025574869 1071409529 /nfs/dbraw/zinc/40/95/29/1071409529.db2.gz UIESGLIRYJGFRW-ZDUSSCGKSA-N 0 0 446.551 -0.194 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2C(=O)c3ccccc3S2(=O)=O)NC(=O)N[C@@H]1C ZINC000025578581 1072720388 /nfs/dbraw/zinc/72/03/88/1072720388.db2.gz QYOZYJIRZSJOMF-SNVBAGLBSA-N 0 0 437.430 -0.107 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2C(=O)c3ccccc3S2(=O)=O)NC(=O)N[C@H]1C ZINC000025578587 1072720477 /nfs/dbraw/zinc/72/04/77/1072720477.db2.gz QYOZYJIRZSJOMF-JTQLQIEISA-N 0 0 437.430 -0.107 20 0 IBADRN CNC(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000025601440 1071640245 /nfs/dbraw/zinc/64/02/45/1071640245.db2.gz AFXHCYGBJFWFIR-UHFFFAOYSA-N 0 0 426.495 -0.980 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)N1CCNC(=O)C1 ZINC000025609121 1071414158 /nfs/dbraw/zinc/41/41/58/1071414158.db2.gz MOGSNULXBCUIFD-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)N1CCC(C(=O)NN2CC(=O)NC2=O)CC1 ZINC000025612134 1071379834 /nfs/dbraw/zinc/37/98/34/1071379834.db2.gz AUMSCPOWCOYADP-UHFFFAOYSA-N 0 0 425.423 -0.113 20 0 IBADRN O=C(NN1CC(=O)NC1=O)c1ccc(NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)cc1 ZINC000025612216 1074472233 /nfs/dbraw/zinc/47/22/33/1074472233.db2.gz JHOIXUSRZPRSEH-UHFFFAOYSA-N 0 0 430.402 -0.146 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NN3CC(=O)NC3=O)CC2)cc1 ZINC000025612346 1071379738 /nfs/dbraw/zinc/37/97/38/1071379738.db2.gz WHJDQWDFTPEFRN-UHFFFAOYSA-N 0 0 438.462 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NN3CC(=O)NC3=O)CC2)cc1OC ZINC000025612696 1074472481 /nfs/dbraw/zinc/47/24/81/1074472481.db2.gz JJGIBRWVZRGQCW-UHFFFAOYSA-N 0 0 426.451 -0.313 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000025651699 1071149544 /nfs/dbraw/zinc/14/95/44/1071149544.db2.gz ZNGAKYIDAXCFGD-UHFFFAOYSA-N 0 0 428.449 -0.023 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000025657590 1071313486 /nfs/dbraw/zinc/31/34/86/1071313486.db2.gz IRUFCTZBXFUSQV-UHFFFAOYSA-N 0 0 428.449 -0.071 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000025676026 1073383437 /nfs/dbraw/zinc/38/34/37/1073383437.db2.gz MLSLHYNSQKXUFS-CYBMUJFWSA-N 0 0 432.520 -0.025 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000025676031 1073383386 /nfs/dbraw/zinc/38/33/86/1073383386.db2.gz MLSLHYNSQKXUFS-ZDUSSCGKSA-N 0 0 432.520 -0.025 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccc(CNC(N)=O)cc2)c(=O)n(C)c1=O ZINC000025679463 1071381381 /nfs/dbraw/zinc/38/13/81/1071381381.db2.gz MUQSVTROIJDHJA-UHFFFAOYSA-N 0 0 431.449 -0.007 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(CN4C(=O)CCC4=O)cc3)c2c(=O)n(C)c1=O ZINC000025682183 1071381196 /nfs/dbraw/zinc/38/11/96/1071381196.db2.gz VOAXZQFORKEECC-UHFFFAOYSA-N 0 0 439.428 -0.060 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cc2nn(C)c(=O)c3ccccc23)c(=O)[nH]c1=O ZINC000025731223 1071430957 /nfs/dbraw/zinc/43/09/57/1071430957.db2.gz RTLWRAHUOURQNW-UHFFFAOYSA-N 0 0 442.476 -0.002 20 0 IBADRN Cn1cc(/C=C/C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)c(=O)n(C)c1=O ZINC000025731371 1071381410 /nfs/dbraw/zinc/38/14/10/1071381410.db2.gz POONMUUNTLZPPT-VOTSOKGWSA-N 0 0 426.882 -0.155 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCCNC2=NS(=O)(=O)c3ccccc32)CC1 ZINC000025738161 1072720397 /nfs/dbraw/zinc/72/03/97/1072720397.db2.gz CNBOUTMUYGFYGM-UHFFFAOYSA-N 0 0 443.551 -0.544 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000025738337 1071445751 /nfs/dbraw/zinc/44/57/51/1071445751.db2.gz SAUNQAHKZMGWIM-UHFFFAOYSA-N 0 0 447.535 -0.428 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1 ZINC000025739288 1072720899 /nfs/dbraw/zinc/72/08/99/1072720899.db2.gz GOGKHPORGKEGAE-IBGZPJMESA-N 0 0 437.522 -0.287 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1 ZINC000025739292 1071382954 /nfs/dbraw/zinc/38/29/54/1071382954.db2.gz GOGKHPORGKEGAE-LJQANCHMSA-N 0 0 437.522 -0.287 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)CC1 ZINC000025739312 1071446533 /nfs/dbraw/zinc/44/65/33/1071446533.db2.gz RKOGRFSIPGBGSZ-UHFFFAOYSA-N 0 0 443.551 -0.469 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000025739720 1071383531 /nfs/dbraw/zinc/38/35/31/1071383531.db2.gz XHBOFQXSGRKUCG-SFHVURJKSA-N 0 0 441.485 -0.457 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000025739724 1071383453 /nfs/dbraw/zinc/38/34/53/1071383453.db2.gz XHBOFQXSGRKUCG-GOSISDBHSA-N 0 0 441.485 -0.457 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000025740311 1072720934 /nfs/dbraw/zinc/72/09/34/1072720934.db2.gz KEZJCDZZPSEBKY-HOTGVXAUSA-N 0 0 444.554 -0.081 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000025740315 1072720909 /nfs/dbraw/zinc/72/09/09/1072720909.db2.gz KEZJCDZZPSEBKY-CVEARBPZSA-N 0 0 444.554 -0.081 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000025740319 1071446586 /nfs/dbraw/zinc/44/65/86/1071446586.db2.gz KEZJCDZZPSEBKY-JKSUJKDBSA-N 0 0 444.554 -0.081 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000025740323 1072720942 /nfs/dbraw/zinc/72/09/42/1072720942.db2.gz KEZJCDZZPSEBKY-HZPDHXFCSA-N 0 0 444.554 -0.081 20 0 IBADRN COc1ccc(F)cc1CN1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000025751919 1070892044 /nfs/dbraw/zinc/89/20/44/1070892044.db2.gz ZAMVPSHNVNBTFS-MRXNPFEDSA-N 0 0 442.513 -0.035 20 0 IBADRN COc1ccc(F)cc1CN1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000025751922 1070891990 /nfs/dbraw/zinc/89/19/90/1070891990.db2.gz ZAMVPSHNVNBTFS-INIZCTEOSA-N 0 0 442.513 -0.035 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cc1C(N)=O ZINC000025767650 1071430855 /nfs/dbraw/zinc/43/08/55/1071430855.db2.gz CNYDRJZXKCPYRV-UHFFFAOYSA-N 0 0 426.520 -0.040 20 0 IBADRN CNC(=O)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000025767964 1071433682 /nfs/dbraw/zinc/43/36/82/1071433682.db2.gz ZQVDSKPBBKAASJ-UHFFFAOYSA-N 0 0 431.536 -0.146 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)N1CCc2ccccc2C1 ZINC000025774066 1074472305 /nfs/dbraw/zinc/47/23/05/1074472305.db2.gz HVSJEACVPRJPPW-CYBMUJFWSA-N 0 0 425.445 -0.050 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)N1CCc2ccccc2C1 ZINC000025774068 1074472325 /nfs/dbraw/zinc/47/23/25/1074472325.db2.gz HVSJEACVPRJPPW-ZDUSSCGKSA-N 0 0 425.445 -0.050 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NC(=O)NC1CCCCC1 ZINC000025774352 1074472290 /nfs/dbraw/zinc/47/22/90/1074472290.db2.gz ZMNYOJCMIBOWKY-LLVKDONJSA-N 0 0 434.453 -0.476 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NC(=O)NC1CCCCC1 ZINC000025774353 1074472386 /nfs/dbraw/zinc/47/23/86/1074472386.db2.gz ZMNYOJCMIBOWKY-NSHDSACASA-N 0 0 434.453 -0.476 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CCc2c(C)[nH]c(=O)[nH]c2=O)CC1 ZINC000025774503 1074472365 /nfs/dbraw/zinc/47/23/65/1074472365.db2.gz AQQCKAYQWMCRRX-UHFFFAOYSA-N 0 0 425.467 -0.558 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2c(=O)[nH]c(=O)c3ccccc32)CC1 ZINC000025774525 1073383850 /nfs/dbraw/zinc/38/38/50/1073383850.db2.gz DSRVFIMCBQOSES-UHFFFAOYSA-N 0 0 447.473 -0.172 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NCCc1ccc(F)cc1 ZINC000025774703 1074472317 /nfs/dbraw/zinc/47/23/17/1074472317.db2.gz ISQUHPWRBSYIBV-GFCCVEGCSA-N 0 0 431.424 -0.137 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NCCc1ccc(F)cc1 ZINC000025774705 1074472355 /nfs/dbraw/zinc/47/23/55/1074472355.db2.gz ISQUHPWRBSYIBV-LBPRGKRZSA-N 0 0 431.424 -0.137 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000025774921 1074472376 /nfs/dbraw/zinc/47/23/76/1074472376.db2.gz NVLBVWHWEIZKTN-UHFFFAOYSA-N 0 0 431.405 -0.409 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000025774984 1074472463 /nfs/dbraw/zinc/47/24/63/1074472463.db2.gz XGYWIAMXJIRFIS-LLVKDONJSA-N 0 0 445.432 -0.020 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000025774986 1074472329 /nfs/dbraw/zinc/47/23/29/1074472329.db2.gz XGYWIAMXJIRFIS-NSHDSACASA-N 0 0 445.432 -0.020 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000025787101 1072874296 /nfs/dbraw/zinc/87/42/96/1072874296.db2.gz UNMQDXXTVGLPSG-INIZCTEOSA-N 0 0 438.506 -0.227 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000025787103 1072874231 /nfs/dbraw/zinc/87/42/31/1072874231.db2.gz UNMQDXXTVGLPSG-MRXNPFEDSA-N 0 0 438.506 -0.227 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)Nc1sc(C(=O)OC)c(C)c1C(=O)OC ZINC000025787356 1072269468 /nfs/dbraw/zinc/26/94/68/1072269468.db2.gz YGBBBRLCJVNSRS-JTQLQIEISA-N 0 0 441.462 -0.068 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)Nc1sc(C(=O)OC)c(C)c1C(=O)OC ZINC000025787359 1072269920 /nfs/dbraw/zinc/26/99/20/1072269920.db2.gz YGBBBRLCJVNSRS-SNVBAGLBSA-N 0 0 441.462 -0.068 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000025787433 1072874287 /nfs/dbraw/zinc/87/42/87/1072874287.db2.gz MKHCJDHNKDDGKI-ZDUSSCGKSA-N 0 0 446.913 -0.108 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000025787437 1072874272 /nfs/dbraw/zinc/87/42/72/1072874272.db2.gz MKHCJDHNKDDGKI-CYBMUJFWSA-N 0 0 446.913 -0.108 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000025788121 1072876043 /nfs/dbraw/zinc/87/60/43/1072876043.db2.gz URTJBTNQRBJCHF-CVEARBPZSA-N 0 0 429.539 -0.302 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000025788124 1072875966 /nfs/dbraw/zinc/87/59/66/1072875966.db2.gz URTJBTNQRBJCHF-HZPDHXFCSA-N 0 0 429.539 -0.302 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000025788770 1073092153 /nfs/dbraw/zinc/09/21/53/1073092153.db2.gz GJWBPNZOECQKRF-CYBMUJFWSA-N 0 0 436.490 -0.524 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000025788773 1072876056 /nfs/dbraw/zinc/87/60/56/1072876056.db2.gz GJWBPNZOECQKRF-ZDUSSCGKSA-N 0 0 436.490 -0.524 20 0 IBADRN COc1cccc(OCc2nnc(SCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)n2N)c1 ZINC000025791822 1074472391 /nfs/dbraw/zinc/47/23/91/1074472391.db2.gz MBJIWCUBZLVUCY-UHFFFAOYSA-N 0 0 447.477 -0.466 20 0 IBADRN COc1ccc(NC(=O)CN(C)CC(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000025793854 1072273124 /nfs/dbraw/zinc/27/31/24/1072273124.db2.gz RIKROSQYPVNTMA-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN CCCCNC(=O)CN1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CC1 ZINC000025795099 1072273365 /nfs/dbraw/zinc/27/33/65/1072273365.db2.gz VLUOICOSTVGAKK-UHFFFAOYSA-N 0 0 436.557 -0.498 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)Nc1ccc(N2CCOCC2)cc1 ZINC000025801473 1071389107 /nfs/dbraw/zinc/38/91/07/1071389107.db2.gz ZTBDXYCRGURRCO-UHFFFAOYSA-N 0 0 431.537 -0.062 20 0 IBADRN COCCNC(=O)C1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000025809807 1072721036 /nfs/dbraw/zinc/72/10/36/1072721036.db2.gz NUFNMKFLUWZBND-MSOLQXFVSA-N 0 0 445.582 -0.344 20 0 IBADRN COCCNC(=O)C1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000025809811 1072720994 /nfs/dbraw/zinc/72/09/94/1072720994.db2.gz NUFNMKFLUWZBND-QZTJIDSGSA-N 0 0 445.582 -0.344 20 0 IBADRN COCCNC(=O)C1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000025809816 1072720892 /nfs/dbraw/zinc/72/08/92/1072720892.db2.gz NUFNMKFLUWZBND-ROUUACIJSA-N 0 0 445.582 -0.344 20 0 IBADRN COCCNC(=O)C1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000025809821 1071457750 /nfs/dbraw/zinc/45/77/50/1071457750.db2.gz NUFNMKFLUWZBND-ZWKOTPCHSA-N 0 0 445.582 -0.344 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000025833921 1071119448 /nfs/dbraw/zinc/11/94/48/1071119448.db2.gz XRSHWMZIKPBTRA-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000025837302 1071139010 /nfs/dbraw/zinc/13/90/10/1071139010.db2.gz AHXZKLDYVJQCSF-UHFFFAOYSA-N 0 0 437.503 -0.105 20 0 IBADRN COC(=O)c1ccc2c(c1)nc(CN1CCN(CC(=O)N3CCOCC3)CC1)[nH]c2=O ZINC000025861788 1071352623 /nfs/dbraw/zinc/35/26/23/1071352623.db2.gz ANLANNOZGHLWRR-UHFFFAOYSA-N 0 0 429.477 -0.314 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)CN3CCN(CC(=O)N4CCOCC4)CC3)cc2C1=O ZINC000025861882 1073091883 /nfs/dbraw/zinc/09/18/83/1073091883.db2.gz NPIXZBHRUUFVHM-UHFFFAOYSA-N 0 0 429.477 -0.673 20 0 IBADRN COc1ccc(C(=O)N2CCC(NC(=O)Cn3ccc(=O)n(C)c3=O)CC2)c(OC)c1 ZINC000025866982 1072721400 /nfs/dbraw/zinc/72/14/00/1072721400.db2.gz UIOZDXCKDUNZOQ-UHFFFAOYSA-N 0 0 430.461 -0.015 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000025876681 1074472429 /nfs/dbraw/zinc/47/24/29/1074472429.db2.gz LOOQKCKQRVFQKO-UHFFFAOYSA-N 0 0 429.543 -0.028 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)c2c(=O)n(C)c1=O ZINC000025883176 1073383830 /nfs/dbraw/zinc/38/38/30/1073383830.db2.gz WBJHIPLVVLSTPS-UHFFFAOYSA-N 0 0 432.462 -0.487 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000025894592 1071452377 /nfs/dbraw/zinc/45/23/77/1071452377.db2.gz JKYUFLAEEQMVMT-UHFFFAOYSA-N 0 0 433.446 -0.032 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)cc1 ZINC000025913363 1070901348 /nfs/dbraw/zinc/90/13/48/1070901348.db2.gz MUJLUISTPLESKZ-UHFFFAOYSA-N 0 0 432.506 -0.225 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)cc1 ZINC000025914232 1070901379 /nfs/dbraw/zinc/90/13/79/1070901379.db2.gz JPGCQDVUTWRYCA-UHFFFAOYSA-N 0 0 448.505 -0.525 20 0 IBADRN O=C(CN1CSCC1=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000025923914 1073092072 /nfs/dbraw/zinc/09/20/72/1073092072.db2.gz XUWNGPLKOWNMTJ-GFCCVEGCSA-N 0 0 433.533 -0.377 20 0 IBADRN O=C(CN1CSCC1=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000025923920 1073092445 /nfs/dbraw/zinc/09/24/45/1073092445.db2.gz XUWNGPLKOWNMTJ-LBPRGKRZSA-N 0 0 433.533 -0.377 20 0 IBADRN Cn1c2[nH]c(CN3CCN(S(=O)(=O)Cc4ccccc4)CC3)nc2c(=O)n(C)c1=O ZINC000025945597 1073092359 /nfs/dbraw/zinc/09/23/59/1073092359.db2.gz OYTKDRBBNCYQJC-UHFFFAOYSA-N 0 0 432.506 -0.392 20 0 IBADRN Cn1c2[nH]c(CN3CCN(Cc4nc(N)c5ccccc5n4)CC3)nc2c(=O)n(C)c1=O ZINC000025946503 1073092603 /nfs/dbraw/zinc/09/26/03/1073092603.db2.gz GITKURHBJMHVCW-UHFFFAOYSA-N 0 0 435.492 -0.197 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000025954430 1074472269 /nfs/dbraw/zinc/47/22/69/1074472269.db2.gz AIQDWATXVOTGFX-RUDMXATFSA-N 0 0 448.501 -0.361 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000025954480 1074472401 /nfs/dbraw/zinc/47/24/01/1074472401.db2.gz SVNLZGHQNUZZJN-FPYGCLRLSA-N 0 0 436.465 -0.231 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000025956148 1073092492 /nfs/dbraw/zinc/09/24/92/1073092492.db2.gz GGMISAMTZRBPRS-UHFFFAOYSA-N 0 0 434.518 -0.011 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000025956280 1072721738 /nfs/dbraw/zinc/72/17/38/1072721738.db2.gz NHZNSBSIJQCMMD-UHFFFAOYSA-N 0 0 448.567 -0.410 20 0 IBADRN Cn1cc(/C=C/C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000025961434 1074472446 /nfs/dbraw/zinc/47/24/46/1074472446.db2.gz LNONUYMPXSKJBO-VMPITWQZSA-N 0 0 448.501 -0.566 20 0 IBADRN CCOC(=O)C(NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)OCC ZINC000025973312 1073092592 /nfs/dbraw/zinc/09/25/92/1073092592.db2.gz MSUBBRUXSHAHEE-UHFFFAOYSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)C(NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OCC ZINC000025973787 1072527301 /nfs/dbraw/zinc/52/73/01/1072527301.db2.gz YOPPMWUTOFWMIF-UHFFFAOYSA-N 0 0 428.463 -0.068 20 0 IBADRN COc1cc(OC)cc(C(=O)NNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC000025977184 1071407634 /nfs/dbraw/zinc/40/76/34/1071407634.db2.gz LJUUQNLNKQLUMK-GFCCVEGCSA-N 0 0 438.462 -0.370 20 0 IBADRN COc1cc(OC)cc(C(=O)NNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC000025977192 1071407542 /nfs/dbraw/zinc/40/75/42/1071407542.db2.gz LJUUQNLNKQLUMK-LBPRGKRZSA-N 0 0 438.462 -0.370 20 0 IBADRN CCOC(=O)C(NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)OCC ZINC000025987925 1072529411 /nfs/dbraw/zinc/52/94/11/1072529411.db2.gz SDEIQHRLQYZFKU-UHFFFAOYSA-N 0 0 431.467 -0.729 20 0 IBADRN CCOC(=O)C(NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)OCC ZINC000025995140 1073092380 /nfs/dbraw/zinc/09/23/80/1073092380.db2.gz BEJSAHFXJGJIJY-UHFFFAOYSA-N 0 0 441.462 -0.968 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000026042324 1071315486 /nfs/dbraw/zinc/31/54/86/1071315486.db2.gz RLWYXJRBGXCGDR-UHFFFAOYSA-N 0 0 431.474 -0.167 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CNC3=NS(=O)(=O)c4ccccc43)CC2)no1 ZINC000026048382 1074472470 /nfs/dbraw/zinc/47/24/70/1074472470.db2.gz UCQCLLNBHOZUIM-UHFFFAOYSA-N 0 0 446.489 -0.196 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1F ZINC000026087998 1071349487 /nfs/dbraw/zinc/34/94/87/1071349487.db2.gz ZXSOCZOBNPLQNE-UHFFFAOYSA-N 0 0 430.440 -0.182 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000026112026 1073258244 /nfs/dbraw/zinc/25/82/44/1073258244.db2.gz QUAUJGKAEHRRFW-LLVKDONJSA-N 0 0 431.492 -0.669 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000026112033 1073258200 /nfs/dbraw/zinc/25/82/00/1073258200.db2.gz QUAUJGKAEHRRFW-NSHDSACASA-N 0 0 431.492 -0.669 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000026113105 1073259028 /nfs/dbraw/zinc/25/90/28/1073259028.db2.gz SPBCXENQUMFLQB-UHFFFAOYSA-N 0 0 432.524 -0.090 20 0 IBADRN CCN(C(=O)CN(CC(N)=O)CC(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000026125736 1071354915 /nfs/dbraw/zinc/35/49/15/1071354915.db2.gz XCLNXSJFBBQNMY-UHFFFAOYSA-N 0 0 430.509 -0.037 20 0 IBADRN CC(C)CN(CC(N)=O)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000026127058 1072722246 /nfs/dbraw/zinc/72/22/46/1072722246.db2.gz HXLIEUXEZPKTAW-UHFFFAOYSA-N 0 0 426.539 -0.233 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CC(N)=O)CC(C)C)CC2)cc1 ZINC000026127229 1071354621 /nfs/dbraw/zinc/35/46/21/1071354621.db2.gz PGPAWAQKGPZBPQ-UHFFFAOYSA-N 0 0 426.539 -0.029 20 0 IBADRN CC(C)CN(CC(N)=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000026128332 1073092560 /nfs/dbraw/zinc/09/25/60/1073092560.db2.gz YIKCXELVBCFOLT-UHFFFAOYSA-N 0 0 426.539 -0.233 20 0 IBADRN CCOC(=O)c1ccc(N2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)nc1 ZINC000026136343 1071376042 /nfs/dbraw/zinc/37/60/42/1071376042.db2.gz PKCXMDAAESQXMV-ZDUSSCGKSA-N 0 0 444.492 -0.369 20 0 IBADRN CCOC(=O)c1ccc(N2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)nc1 ZINC000026136348 1071375965 /nfs/dbraw/zinc/37/59/65/1071375965.db2.gz PKCXMDAAESQXMV-CYBMUJFWSA-N 0 0 444.492 -0.369 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)nc1 ZINC000026138790 1072629089 /nfs/dbraw/zinc/62/90/89/1072629089.db2.gz JMMXMKREWLGSGY-UHFFFAOYSA-N 0 0 446.552 -0.387 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)nc1 ZINC000026138820 1073092333 /nfs/dbraw/zinc/09/23/33/1073092333.db2.gz WINBASRLMSBRRY-UHFFFAOYSA-N 0 0 441.492 -0.172 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)CN2C(=O)CCC2=O)c(N)n(Cc2ccccc2)c1=O ZINC000026139614 1074472342 /nfs/dbraw/zinc/47/23/42/1074472342.db2.gz SDMONDSVAOLYNH-UHFFFAOYSA-N 0 0 428.401 -0.948 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)nc1 ZINC000026144686 1071424721 /nfs/dbraw/zinc/42/47/21/1071424721.db2.gz AGSZAVFRDMEXGT-UHFFFAOYSA-N 0 0 427.465 -0.146 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000026149556 1071629792 /nfs/dbraw/zinc/62/97/92/1071629792.db2.gz GRYVZYJQOHUKAU-UHFFFAOYSA-N 0 0 433.556 -0.430 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000026150391 1072722464 /nfs/dbraw/zinc/72/24/64/1072722464.db2.gz LXXSAPPYXBFXFU-UHFFFAOYSA-N 0 0 433.556 -0.631 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)Cc2ccc(C(=O)NC3CC3)cc2)c(=O)[nH]c1=O ZINC000026154326 1072722415 /nfs/dbraw/zinc/72/24/15/1072722415.db2.gz LVIGEMJBDLEPDC-UHFFFAOYSA-N 0 0 429.477 -0.028 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NCC(=O)OC)CC2)cc1 ZINC000026157879 1071427163 /nfs/dbraw/zinc/42/71/63/1071427163.db2.gz YXRXCKYAYLSWNL-AWEZNQCLSA-N 0 0 441.506 -0.153 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)NCC(=O)OC)CC2)cc1 ZINC000026157888 1071426854 /nfs/dbraw/zinc/42/68/54/1071426854.db2.gz YXRXCKYAYLSWNL-CQSZACIVSA-N 0 0 441.506 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)cc1 ZINC000026159641 1073383901 /nfs/dbraw/zinc/38/39/01/1073383901.db2.gz OJGRGGIKWIPVEQ-UHFFFAOYSA-N 0 0 442.519 -0.172 20 0 IBADRN COCCn1c(N)c(C(=O)CN2Cc3c(OC)ccc(OC)c3[C@H](O)C2)c(=O)[nH]c1=O ZINC000026159787 1071409418 /nfs/dbraw/zinc/40/94/18/1071409418.db2.gz UHCWGDUZINSWFC-GFCCVEGCSA-N 0 0 434.449 -0.486 20 0 IBADRN COCCn1c(N)c(C(=O)CN2Cc3c(OC)ccc(OC)c3[C@@H](O)C2)c(=O)[nH]c1=O ZINC000026159793 1071409349 /nfs/dbraw/zinc/40/93/49/1071409349.db2.gz UHCWGDUZINSWFC-LBPRGKRZSA-N 0 0 434.449 -0.486 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)NC3CCCCC3)CC2)c(=O)[nH]c1=O ZINC000026167538 1073092399 /nfs/dbraw/zinc/09/23/99/1073092399.db2.gz HCAXAAJJLNQFRB-UHFFFAOYSA-N 0 0 436.513 -0.392 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1CC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000026172232 1071428625 /nfs/dbraw/zinc/42/86/25/1071428625.db2.gz IYNRSXAIFUESSI-HNNXBMFYSA-N 0 0 432.568 -0.604 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1CC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000026172237 1071428576 /nfs/dbraw/zinc/42/85/76/1071428576.db2.gz IYNRSXAIFUESSI-OAHLLOKOSA-N 0 0 432.568 -0.604 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000026173111 1071429506 /nfs/dbraw/zinc/42/95/06/1071429506.db2.gz YGERVPIBWLSRDR-MRXNPFEDSA-N 0 0 431.603 -0.551 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000026173115 1071429282 /nfs/dbraw/zinc/42/92/82/1071429282.db2.gz YGERVPIBWLSRDR-INIZCTEOSA-N 0 0 431.603 -0.551 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000026182226 1072722349 /nfs/dbraw/zinc/72/23/49/1072722349.db2.gz OKRSTRDFAHSPGS-UHFFFAOYSA-N 0 0 448.505 -0.773 20 0 IBADRN CCOC(=O)CCCNC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000026191488 1072807888 /nfs/dbraw/zinc/80/78/88/1072807888.db2.gz YSPCHFGUWXSCQT-IYBDPMFKSA-N 0 0 434.559 -0.583 20 0 IBADRN CCOC(=O)CCCNC(=O)CN1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000026191491 1072808740 /nfs/dbraw/zinc/80/87/40/1072808740.db2.gz YSPCHFGUWXSCQT-HOTGVXAUSA-N 0 0 434.559 -0.583 20 0 IBADRN CCOC(=O)CCCNC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000026191493 1072808731 /nfs/dbraw/zinc/80/87/31/1072808731.db2.gz YSPCHFGUWXSCQT-HZPDHXFCSA-N 0 0 434.559 -0.583 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCC(F)(F)F)CC2)C[C@@H](C)O1 ZINC000026192467 1072808923 /nfs/dbraw/zinc/80/89/23/1072808923.db2.gz SLUOWZOTSSWEFI-VXGBXAGGSA-N 0 0 445.464 -0.654 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCC(F)(F)F)CC2)C[C@H](C)O1 ZINC000026192470 1072808829 /nfs/dbraw/zinc/80/88/29/1072808829.db2.gz SLUOWZOTSSWEFI-TXEJJXNPSA-N 0 0 445.464 -0.654 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCC(F)(F)F)CC2)C[C@H](C)O1 ZINC000026192474 1072808706 /nfs/dbraw/zinc/80/87/06/1072808706.db2.gz SLUOWZOTSSWEFI-RYUDHWBXSA-N 0 0 445.464 -0.654 20 0 IBADRN Cc1cc2nc(C)c(CCC(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c(C)n2n1 ZINC000026197616 1074472410 /nfs/dbraw/zinc/47/24/10/1074472410.db2.gz JFXHNERQLJKNCP-UHFFFAOYSA-N 0 0 428.449 -0.007 20 0 IBADRN CC(C)Cn1c(CCC(N)=O)nnc1SCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000026225931 1073092261 /nfs/dbraw/zinc/09/22/61/1073092261.db2.gz MJKHILYOSBCVSM-UHFFFAOYSA-N 0 0 434.526 -0.109 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC000026280452 1073046641 /nfs/dbraw/zinc/04/66/41/1073046641.db2.gz LEALDKYDNIFUEU-UHFFFAOYSA-N 0 0 428.515 -0.327 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NC(=O)Nc1ccccc1F ZINC000026302918 1073107140 /nfs/dbraw/zinc/10/71/40/1073107140.db2.gz XVKJBZUPWNWNGS-SNVBAGLBSA-N 0 0 446.395 -0.147 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NC(=O)Nc1ccccc1F ZINC000026302921 1071411116 /nfs/dbraw/zinc/41/11/16/1071411116.db2.gz XVKJBZUPWNWNGS-JTQLQIEISA-N 0 0 446.395 -0.147 20 0 IBADRN CCOC(=O)[C@@H]1CSCCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000026338075 1073092290 /nfs/dbraw/zinc/09/22/90/1073092290.db2.gz NKQPKJHLEOSTIH-HNNXBMFYSA-N 0 0 433.538 -0.008 20 0 IBADRN CCOC(=O)[C@H]1CSCCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000026338078 1073092416 /nfs/dbraw/zinc/09/24/16/1073092416.db2.gz NKQPKJHLEOSTIH-OAHLLOKOSA-N 0 0 433.538 -0.008 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC000026373596 1071412686 /nfs/dbraw/zinc/41/26/86/1071412686.db2.gz WMFGOATXRQUPKQ-CQSZACIVSA-N 0 0 436.490 -0.293 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC000026373598 1071412531 /nfs/dbraw/zinc/41/25/31/1071412531.db2.gz WMFGOATXRQUPKQ-AWEZNQCLSA-N 0 0 436.490 -0.293 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000026376467 1073092520 /nfs/dbraw/zinc/09/25/20/1073092520.db2.gz DTBBMVYKGMZNII-UHFFFAOYSA-N 0 0 425.239 -0.146 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000026387867 1074472964 /nfs/dbraw/zinc/47/29/64/1074472964.db2.gz LDDNJEIHCLLADM-UHFFFAOYSA-N 0 0 436.450 -0.746 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000026389590 1074472933 /nfs/dbraw/zinc/47/29/33/1074472933.db2.gz MKYLRZSLQHHMFL-UHFFFAOYSA-N 0 0 436.450 -0.746 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000026443286 1073092551 /nfs/dbraw/zinc/09/25/51/1073092551.db2.gz NUBICRTXIDDGHD-LLVKDONJSA-N 0 0 435.431 -0.026 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000026443289 1073092613 /nfs/dbraw/zinc/09/26/13/1073092613.db2.gz NUBICRTXIDDGHD-NSHDSACASA-N 0 0 435.431 -0.026 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(C(=O)c3ccc(F)c(F)c3)CC2)CC1 ZINC000026444564 1071446500 /nfs/dbraw/zinc/44/65/00/1071446500.db2.gz DXPWXORSOZHMJS-UHFFFAOYSA-N 0 0 437.491 -0.045 20 0 IBADRN Cc1ccc(N2C[C@@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1F ZINC000026448033 1074472987 /nfs/dbraw/zinc/47/29/87/1074472987.db2.gz NHTDMNMFHZYXED-NSHDSACASA-N 0 0 432.408 -0.107 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1F ZINC000026448036 1074472943 /nfs/dbraw/zinc/47/29/43/1074472943.db2.gz NHTDMNMFHZYXED-LLVKDONJSA-N 0 0 432.408 -0.107 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@H]1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000026451269 1073092320 /nfs/dbraw/zinc/09/23/20/1073092320.db2.gz USIRBPAVKQXQEP-OAHLLOKOSA-N 0 0 431.493 -0.133 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)cc1 ZINC000026457059 1072824114 /nfs/dbraw/zinc/82/41/14/1072824114.db2.gz YAPWSYUTNTUWDA-UHFFFAOYSA-N 0 0 431.268 -0.695 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000026464179 1073092862 /nfs/dbraw/zinc/09/28/62/1073092862.db2.gz UHQNZAXQIAIJKC-LLVKDONJSA-N 0 0 427.508 -0.325 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000026464185 1073092804 /nfs/dbraw/zinc/09/28/04/1073092804.db2.gz UHQNZAXQIAIJKC-NSHDSACASA-N 0 0 427.508 -0.325 20 0 IBADRN CN(CC(=O)NCc1ccco1)CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000026482971 1074472955 /nfs/dbraw/zinc/47/29/55/1074472955.db2.gz AWRFXUHRVLQVEP-UHFFFAOYSA-N 0 0 426.481 -0.147 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)cc1 ZINC000026522403 1073092925 /nfs/dbraw/zinc/09/29/25/1073092925.db2.gz PTFXCTCYZGSBHI-UHFFFAOYSA-N 0 0 442.519 -0.345 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000026532539 1074472949 /nfs/dbraw/zinc/47/29/49/1074472949.db2.gz POTUWAHSVCRYBL-UHFFFAOYSA-N 0 0 441.473 -0.143 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]c2ccccc12)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000026539945 1073093025 /nfs/dbraw/zinc/09/30/25/1073093025.db2.gz PTSPFUXTFJKHPY-OAHLLOKOSA-N 0 0 438.444 -0.185 20 0 IBADRN COc1ccccc1C(=O)N1CCN([C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000026613101 1071644424 /nfs/dbraw/zinc/64/44/24/1071644424.db2.gz PPCRYZHZYXQBSP-ZDUSSCGKSA-N 0 0 429.477 -0.296 20 0 IBADRN COc1ccccc1C(=O)N1CCN([C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000026613106 1071645035 /nfs/dbraw/zinc/64/50/35/1071645035.db2.gz PPCRYZHZYXQBSP-CYBMUJFWSA-N 0 0 429.477 -0.296 20 0 IBADRN COc1ccccc1C(=O)N1CCN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000026616117 1071645051 /nfs/dbraw/zinc/64/50/51/1071645051.db2.gz NMJPWRFCYLIXRM-UHFFFAOYSA-N 0 0 431.537 -0.315 20 0 IBADRN COc1ccccc1C(=O)N1CCN(CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000026616155 1071644803 /nfs/dbraw/zinc/64/48/03/1071644803.db2.gz BQBVWXXUHFGAPZ-UHFFFAOYSA-N 0 0 426.477 -0.100 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)c3ccccc3OC)CC2)c(=O)[nH]c1=O ZINC000026617806 1071645104 /nfs/dbraw/zinc/64/51/04/1071645104.db2.gz FDUJLEIEQNLQOL-UHFFFAOYSA-N 0 0 445.476 -0.586 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CN2C(=O)N[C@@](C)(c3ccc([N+](=O)[O-])cc3)C2=O)CC1 ZINC000026648388 1071412639 /nfs/dbraw/zinc/41/26/39/1071412639.db2.gz BJDRIYMAKKLEPB-KRWDZBQOSA-N 0 0 440.482 -0.257 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CN2C(=O)N[C@](C)(c3ccc([N+](=O)[O-])cc3)C2=O)CC1 ZINC000026648393 1071412423 /nfs/dbraw/zinc/41/24/23/1071412423.db2.gz BJDRIYMAKKLEPB-QGZVFWFLSA-N 0 0 440.482 -0.257 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CN2C(=O)C(=O)N(CCc3cccs3)C2=O)CC1 ZINC000026648893 1071412672 /nfs/dbraw/zinc/41/26/72/1071412672.db2.gz FXFCRRRWAMNTNI-UHFFFAOYSA-N 0 0 429.524 -0.537 20 0 IBADRN CCCN(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000026662554 1073092975 /nfs/dbraw/zinc/09/29/75/1073092975.db2.gz HJDLAMHOVCZJAR-OAHLLOKOSA-N 0 0 435.554 -0.089 20 0 IBADRN CCCN(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000026662558 1073092897 /nfs/dbraw/zinc/09/28/97/1073092897.db2.gz HJDLAMHOVCZJAR-HNNXBMFYSA-N 0 0 435.554 -0.089 20 0 IBADRN CC(C)Cn1c(SCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nnc1N1CCOCC1 ZINC000026662939 1073092944 /nfs/dbraw/zinc/09/29/44/1073092944.db2.gz CLSGIUJXDCBDKJ-UHFFFAOYSA-N 0 0 437.526 -0.275 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)cc1 ZINC000026671645 1071656253 /nfs/dbraw/zinc/65/62/53/1071656253.db2.gz KCHDWPWIQANCAT-UHFFFAOYSA-N 0 0 445.295 -0.298 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000026672971 1071659104 /nfs/dbraw/zinc/65/91/04/1071659104.db2.gz WZNUFCAGEBUQAY-UHFFFAOYSA-N 0 0 431.474 -0.351 20 0 IBADRN O=C(CC[C@@H]1NC(=O)NC1=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000026677254 1071660780 /nfs/dbraw/zinc/66/07/80/1071660780.db2.gz QCRMWYXPDVIFKM-NSHDSACASA-N 0 0 438.491 -0.488 20 0 IBADRN O=C(CC[C@H]1NC(=O)NC1=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000026677256 1071660784 /nfs/dbraw/zinc/66/07/84/1071660784.db2.gz QCRMWYXPDVIFKM-LLVKDONJSA-N 0 0 438.491 -0.488 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c2ccccc12 ZINC000026683125 1074472925 /nfs/dbraw/zinc/47/29/25/1074472925.db2.gz YDJSARCDLFZXCR-UHFFFAOYSA-N 0 0 428.401 -0.166 20 0 IBADRN C=CCNC(=O)NC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000026699081 1073516961 /nfs/dbraw/zinc/51/69/61/1073516961.db2.gz FXBACZSOCGJPMT-NSHDSACASA-N 0 0 429.451 -0.074 20 0 IBADRN C=CCNC(=O)NC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000026699085 1073517031 /nfs/dbraw/zinc/51/70/31/1073517031.db2.gz FXBACZSOCGJPMT-LLVKDONJSA-N 0 0 429.451 -0.074 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H](O)CN3CCOCC3)CC2)cc1 ZINC000026712271 1073092819 /nfs/dbraw/zinc/09/28/19/1073092819.db2.gz AAHAGAVEAYWDQS-GOSISDBHSA-N 0 0 441.550 -0.137 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C[C@H](O)CN3CCOCC3)CC2)cc1 ZINC000026712275 1073093041 /nfs/dbraw/zinc/09/30/41/1073093041.db2.gz AAHAGAVEAYWDQS-SFHVURJKSA-N 0 0 441.550 -0.137 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)c2ccc(S(N)(=O)=O)cc2)c1 ZINC000026745690 1071413360 /nfs/dbraw/zinc/41/33/60/1071413360.db2.gz FRXYBLYSKUVUCY-UHFFFAOYSA-N 0 0 426.476 -0.341 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(F)c1 ZINC000026760232 1071674607 /nfs/dbraw/zinc/67/46/07/1071674607.db2.gz NUXSYPAPGZKUAF-UHFFFAOYSA-N 0 0 428.486 -0.051 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(C(N)=O)c2)CC1 ZINC000026767339 1071413355 /nfs/dbraw/zinc/41/33/55/1071413355.db2.gz FKHOBYFLETVYND-UHFFFAOYSA-N 0 0 434.540 -0.313 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)cn1C ZINC000026767529 1070890367 /nfs/dbraw/zinc/89/03/67/1070890367.db2.gz KAYLZBNVMPQGKR-UHFFFAOYSA-N 0 0 447.583 -0.188 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(F)c1 ZINC000026767979 1073107159 /nfs/dbraw/zinc/10/71/59/1073107159.db2.gz IEHNXBRWHIATQN-UHFFFAOYSA-N 0 0 428.486 -0.051 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000026768671 1073092789 /nfs/dbraw/zinc/09/27/89/1073092789.db2.gz WOGLTGSJLVOCNQ-UHFFFAOYSA-N 0 0 436.240 -0.653 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cc1C(N)=O ZINC000026769413 1073092987 /nfs/dbraw/zinc/09/29/87/1073092987.db2.gz DTQGXZLZDUMGSZ-UHFFFAOYSA-N 0 0 431.478 -0.018 20 0 IBADRN CS(=O)(=O)NCCNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000026769938 1073092878 /nfs/dbraw/zinc/09/28/78/1073092878.db2.gz QBRGFGWWTLCDBA-UHFFFAOYSA-N 0 0 428.286 -0.393 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)cn1C ZINC000026809839 1070902974 /nfs/dbraw/zinc/90/29/74/1070902974.db2.gz DJRXMHBHDVHXCY-UHFFFAOYSA-N 0 0 445.523 -0.315 20 0 IBADRN CS(=O)(=O)NCCCNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000026813349 1073092710 /nfs/dbraw/zinc/09/27/10/1073092710.db2.gz GRWFDKVHJYDGQJ-UHFFFAOYSA-N 0 0 442.313 -0.002 20 0 IBADRN O=C1NC(=O)C2(CCN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)CC2)N1 ZINC000026813936 1073092770 /nfs/dbraw/zinc/09/27/70/1073092770.db2.gz YZOVAUBSZJOIPE-UHFFFAOYSA-N 0 0 428.492 -0.510 20 0 IBADRN C[C@@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NCC(F)(F)F ZINC000026815422 1073526410 /nfs/dbraw/zinc/52/64/10/1073526410.db2.gz BSQUGXBPZMSINJ-MRVPVSSYSA-N 0 0 448.358 -0.856 20 0 IBADRN C[C@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NCC(F)(F)F ZINC000026815426 1073526471 /nfs/dbraw/zinc/52/64/71/1073526471.db2.gz BSQUGXBPZMSINJ-QMMMGPOBSA-N 0 0 448.358 -0.856 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000026825715 1073092953 /nfs/dbraw/zinc/09/29/53/1073092953.db2.gz DQLJDOYIBAXMEO-UHFFFAOYSA-N 0 0 432.568 -0.101 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000026825783 1070918058 /nfs/dbraw/zinc/91/80/58/1070918058.db2.gz LIEUZPOPAQANDJ-UHFFFAOYSA-N 0 0 437.522 -0.219 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(Cc3ccc4c(c3)OCCO4)CC2)c(=O)n(C)c1=O ZINC000026842451 1072953826 /nfs/dbraw/zinc/95/38/26/1072953826.db2.gz VNAPGRNNCWPZNZ-UHFFFAOYSA-N 0 0 429.477 -0.562 20 0 IBADRN CN(CCS(=O)(=O)NCCS(=O)(=O)NC1CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000026842621 1073092845 /nfs/dbraw/zinc/09/28/45/1073092845.db2.gz BUJKONTXCWETMZ-UHFFFAOYSA-N 0 0 443.544 -0.553 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1C(N)=O ZINC000026854800 1070961127 /nfs/dbraw/zinc/96/11/27/1070961127.db2.gz WUOHPJPZUWVYKC-UHFFFAOYSA-N 0 0 440.503 -0.116 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1C ZINC000026854817 1070961151 /nfs/dbraw/zinc/96/11/51/1070961151.db2.gz VJBXIDXVHUVXCB-UHFFFAOYSA-N 0 0 427.508 -0.525 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000026854858 1070961245 /nfs/dbraw/zinc/96/12/45/1070961245.db2.gz LKJCMAKFQWKWNY-OAHLLOKOSA-N 0 0 446.551 -0.092 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000026854864 1073093012 /nfs/dbraw/zinc/09/30/12/1073093012.db2.gz LKJCMAKFQWKWNY-HNNXBMFYSA-N 0 0 446.551 -0.092 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000026854875 1073092962 /nfs/dbraw/zinc/09/29/62/1073092962.db2.gz MTCOVTCKTKTQLU-UHFFFAOYSA-N 0 0 446.551 -0.092 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC(O)CC3)CC2)cc1OC ZINC000026858985 1073092741 /nfs/dbraw/zinc/09/27/41/1073092741.db2.gz IOBTZPXCDKXAHR-UHFFFAOYSA-N 0 0 427.523 -0.007 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCC(O)CC3)CC2)c1 ZINC000026859240 1070962019 /nfs/dbraw/zinc/96/20/19/1070962019.db2.gz JFRNIXRJSCREJY-UHFFFAOYSA-N 0 0 427.523 -0.007 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@@H](C(N)=O)C3)CC2)cc1 ZINC000026864228 1073093399 /nfs/dbraw/zinc/09/33/99/1073093399.db2.gz DCKLTPDXIRQGFR-QGZVFWFLSA-N 0 0 436.534 -0.081 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@H](C(N)=O)C3)CC2)cc1 ZINC000026864238 1073093332 /nfs/dbraw/zinc/09/33/32/1073093332.db2.gz DCKLTPDXIRQGFR-KRWDZBQOSA-N 0 0 436.534 -0.081 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000026866194 1073093455 /nfs/dbraw/zinc/09/34/55/1073093455.db2.gz ZPWDUMKAIZFWCM-UHFFFAOYSA-N 0 0 442.432 -0.635 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1nnc3ccc(C(F)(F)F)cn31)c(=O)n2C ZINC000026877878 1073383855 /nfs/dbraw/zinc/38/38/55/1073383855.db2.gz JYVFNBPJRRUWFO-UHFFFAOYSA-N 0 0 436.354 -0.188 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(=O)N(C)C)CC2)cc1OC ZINC000026882737 1073093499 /nfs/dbraw/zinc/09/34/99/1073093499.db2.gz COJVYUPSGWRAHH-UHFFFAOYSA-N 0 0 442.538 -0.443 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(=O)N(C)C)CC2)cc1 ZINC000026882947 1070794745 /nfs/dbraw/zinc/79/47/45/1070794745.db2.gz BDHFMAWCYHTYPL-UHFFFAOYSA-N 0 0 426.539 -0.062 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)CC(=O)N(C)C)CC2)c1 ZINC000026882963 1070794805 /nfs/dbraw/zinc/79/48/05/1070794805.db2.gz WOXCBMIVESMTSL-UHFFFAOYSA-N 0 0 442.538 -0.443 20 0 IBADRN CCN(CCC(=O)N1CCN(c2ncccn2)CC1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000026900412 1073093225 /nfs/dbraw/zinc/09/32/25/1073093225.db2.gz NOYYHYQAUNBJJW-MRXNPFEDSA-N 0 0 438.554 -0.860 20 0 IBADRN CCN(CCC(=O)N1CCN(c2ncccn2)CC1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000026900416 1073093176 /nfs/dbraw/zinc/09/31/76/1073093176.db2.gz NOYYHYQAUNBJJW-INIZCTEOSA-N 0 0 438.554 -0.860 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CSc3nnnn3C)CC2)c1 ZINC000026908712 1073093291 /nfs/dbraw/zinc/09/32/91/1073093291.db2.gz PJCYLMPKSNXVLK-UHFFFAOYSA-N 0 0 442.523 -0.148 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000026912951 1071413175 /nfs/dbraw/zinc/41/31/75/1071413175.db2.gz SRMXODSZQBYJFC-UHFFFAOYSA-N 0 0 439.586 -0.281 20 0 IBADRN COCCN(CCN1C(=O)NC(C)(C)C1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000026918401 1073093274 /nfs/dbraw/zinc/09/32/74/1073093274.db2.gz QLARTPNKOUTAMA-UHFFFAOYSA-N 0 0 444.492 -0.050 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000026928457 1073107751 /nfs/dbraw/zinc/10/77/51/1073107751.db2.gz TUUNBIXSTLWLMI-CQSZACIVSA-N 0 0 427.527 -0.242 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000026928460 1073107720 /nfs/dbraw/zinc/10/77/20/1073107720.db2.gz TUUNBIXSTLWLMI-AWEZNQCLSA-N 0 0 427.527 -0.242 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000026930303 1073093472 /nfs/dbraw/zinc/09/34/72/1073093472.db2.gz VAXMWMYATIOEIX-UHFFFAOYSA-N 0 0 439.494 -0.152 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000026932656 1071008751 /nfs/dbraw/zinc/00/87/51/1071008751.db2.gz VABFXGDCIXXTAV-UHFFFAOYSA-N 0 0 425.511 -0.390 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(C(=O)N(C)C)CC2)c1 ZINC000026933354 1073093344 /nfs/dbraw/zinc/09/33/44/1073093344.db2.gz XRCBUEQFQLGHDW-UHFFFAOYSA-N 0 0 427.527 -0.295 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NNC(=O)c2ccc3c(c2)OCO3)c1 ZINC000026965335 1071414960 /nfs/dbraw/zinc/41/49/60/1071414960.db2.gz VBRBYJVQBQPXST-UHFFFAOYSA-N 0 0 448.457 -0.143 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000026975695 1073093354 /nfs/dbraw/zinc/09/33/54/1073093354.db2.gz LLWKPLXXDAMUAB-UHFFFAOYSA-N 0 0 430.552 -0.384 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000026976366 1073093425 /nfs/dbraw/zinc/09/34/25/1073093425.db2.gz AALWWSXBGIZHDO-UHFFFAOYSA-N 0 0 427.479 -0.252 20 0 IBADRN CCn1c2ccccc2n(CC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1=O ZINC000027006387 1074472938 /nfs/dbraw/zinc/47/29/38/1074472938.db2.gz HLKUZKMVFGBGOV-UHFFFAOYSA-N 0 0 426.433 -0.187 20 0 IBADRN NC(=O)[C@@H]1CCCN(C(=O)CSc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000027018957 1073093375 /nfs/dbraw/zinc/09/33/75/1073093375.db2.gz AIFPYZZWOAKHET-CYBMUJFWSA-N 0 0 428.536 -0.082 20 0 IBADRN NC(=O)[C@H]1CCCN(C(=O)CSc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000027018965 1073093389 /nfs/dbraw/zinc/09/33/89/1073093389.db2.gz AIFPYZZWOAKHET-ZDUSSCGKSA-N 0 0 428.536 -0.082 20 0 IBADRN COc1cc(N[C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)ccc1NS(C)(=O)=O ZINC000027021936 1073093160 /nfs/dbraw/zinc/09/31/60/1073093160.db2.gz RJHXVXRVJCJSEQ-VIFPVBQESA-N 0 0 425.467 -0.270 20 0 IBADRN COc1cc(N[C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)ccc1NS(C)(=O)=O ZINC000027021942 1073093147 /nfs/dbraw/zinc/09/31/47/1073093147.db2.gz RJHXVXRVJCJSEQ-SECBINFHSA-N 0 0 425.467 -0.270 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000027053796 1071715726 /nfs/dbraw/zinc/71/57/26/1071715726.db2.gz XNLVIHCCIYWVJG-UHFFFAOYSA-N 0 0 448.567 -0.030 20 0 IBADRN CNC(=O)c1cc(OC)c(OC)cc1NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000027061465 1071415782 /nfs/dbraw/zinc/41/57/82/1071415782.db2.gz LKPFYBBXVLJSKM-UHFFFAOYSA-N 0 0 444.448 -0.161 20 0 IBADRN CNC(=O)c1cc(OC)c(OC)cc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000027065198 1071415714 /nfs/dbraw/zinc/41/57/14/1071415714.db2.gz MTSZKCRXVAVKLA-UHFFFAOYSA-N 0 0 430.421 -0.551 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CSc3nnc(C)n3N)CC2)cc1 ZINC000027095676 1074472960 /nfs/dbraw/zinc/47/29/60/1074472960.db2.gz JFPKAVAHTSGHJJ-UHFFFAOYSA-N 0 0 426.524 -0.066 20 0 IBADRN Cc1nnc(SCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1N ZINC000027095800 1074472982 /nfs/dbraw/zinc/47/29/82/1074472982.db2.gz XNBAWPBSXJISJY-UHFFFAOYSA-N 0 0 426.524 -0.270 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(CC(=O)Nc3ccccc3)CC1)c(=O)n2C ZINC000027127968 1071720908 /nfs/dbraw/zinc/72/09/08/1071720908.db2.gz ITMRGSMDUAVTBJ-UHFFFAOYSA-N 0 0 439.476 -0.783 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)NNC(=O)CNC2=NS(=O)(=O)c3ccccc32)c1 ZINC000027147126 1071417284 /nfs/dbraw/zinc/41/72/84/1071417284.db2.gz ODHPJYPZNJMKOK-UHFFFAOYSA-N 0 0 436.471 -0.410 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)NNC(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)c1 ZINC000027148887 1071417292 /nfs/dbraw/zinc/41/72/92/1071417292.db2.gz CSJGNXQFILLMLA-MRXNPFEDSA-N 0 0 429.458 -0.029 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)NNC(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)c1 ZINC000027148891 1071417111 /nfs/dbraw/zinc/41/71/11/1071417111.db2.gz CSJGNXQFILLMLA-INIZCTEOSA-N 0 0 429.458 -0.029 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)NNC(=O)CN2C(=O)c3ccccc3S2(=O)=O)c1 ZINC000027149107 1071417334 /nfs/dbraw/zinc/41/73/34/1071417334.db2.gz PQHSFUBRNXKSGZ-UHFFFAOYSA-N 0 0 437.455 -0.304 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000027157625 1070850205 /nfs/dbraw/zinc/85/02/05/1070850205.db2.gz YTOUDOCDFNXWMQ-UHFFFAOYSA-N 0 0 434.430 -0.181 20 0 IBADRN O=C(c1ccco1)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000027158845 1071744515 /nfs/dbraw/zinc/74/45/15/1071744515.db2.gz ZJZJCXSQPCYBQC-UHFFFAOYSA-N 0 0 446.485 -0.002 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000027162342 1071128065 /nfs/dbraw/zinc/12/80/65/1071128065.db2.gz YEJDBFZEFBOJNP-UHFFFAOYSA-N 0 0 431.536 -0.267 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000027204202 1074035197 /nfs/dbraw/zinc/03/51/97/1074035197.db2.gz OIFOQEROUUHBKU-UHFFFAOYSA-N 0 0 431.537 -0.519 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O)C(=O)OC ZINC000027209907 1074472920 /nfs/dbraw/zinc/47/29/20/1074472920.db2.gz PGCWJTSSRFFZOM-PSASIEDQSA-N 0 0 444.286 -0.100 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O)C(=O)OC ZINC000027209911 1074472947 /nfs/dbraw/zinc/47/29/47/1074472947.db2.gz PGCWJTSSRFFZOM-WCBMZHEXSA-N 0 0 444.286 -0.100 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O)C(=O)OC ZINC000027209915 1074472953 /nfs/dbraw/zinc/47/29/53/1074472953.db2.gz PGCWJTSSRFFZOM-SCZZXKLOSA-N 0 0 444.286 -0.100 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O)C(=O)OC ZINC000027209918 1074472972 /nfs/dbraw/zinc/47/29/72/1074472972.db2.gz PGCWJTSSRFFZOM-WPRPVWTQSA-N 0 0 444.286 -0.100 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000027216756 1071141631 /nfs/dbraw/zinc/14/16/31/1071141631.db2.gz KHDFVBDQNCQWPD-KRWDZBQOSA-N 0 0 432.477 -0.861 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000027216760 1073093484 /nfs/dbraw/zinc/09/34/84/1073093484.db2.gz KHDFVBDQNCQWPD-QGZVFWFLSA-N 0 0 432.477 -0.861 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2Cc3ccccc3C[C@H]2C(N)=O)CC1 ZINC000027217406 1070852507 /nfs/dbraw/zinc/85/25/07/1070852507.db2.gz RFGXPXSGDAMIJZ-SFHVURJKSA-N 0 0 434.504 -0.076 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)NCc1cnn(-c2ccccc2)c1 ZINC000027221069 1073093310 /nfs/dbraw/zinc/09/33/10/1073093310.db2.gz XLNKEOFRMIHAJP-UHFFFAOYSA-N 0 0 426.521 -0.035 20 0 IBADRN C[C@H](C(=O)Nc1ccccc1S(C)(=O)=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000027221891 1073093321 /nfs/dbraw/zinc/09/33/21/1073093321.db2.gz MCJSZWQYDNECDT-MRXNPFEDSA-N 0 0 438.550 -0.107 20 0 IBADRN C[C@@H](C(=O)Nc1ccccc1S(C)(=O)=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000027221895 1073093716 /nfs/dbraw/zinc/09/37/16/1073093716.db2.gz MCJSZWQYDNECDT-INIZCTEOSA-N 0 0 438.550 -0.107 20 0 IBADRN COc1ccccc1C(=O)N1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000027232673 1072683824 /nfs/dbraw/zinc/68/38/24/1072683824.db2.gz MXWISBXEEJMCAN-CQSZACIVSA-N 0 0 438.506 -0.534 20 0 IBADRN COc1ccccc1C(=O)N1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000027232681 1072683848 /nfs/dbraw/zinc/68/38/48/1072683848.db2.gz MXWISBXEEJMCAN-AWEZNQCLSA-N 0 0 438.506 -0.534 20 0 IBADRN COc1cc(C(=O)NNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1OC(C)C ZINC000027236793 1073108271 /nfs/dbraw/zinc/10/82/71/1073108271.db2.gz YELZOZUADJOXGJ-UHFFFAOYSA-N 0 0 444.448 -0.309 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000027262912 1073093636 /nfs/dbraw/zinc/09/36/36/1073093636.db2.gz DSOMRIJQPYSDDL-UHFFFAOYSA-N 0 0 434.478 -0.381 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000027264256 1072146055 /nfs/dbraw/zinc/14/60/55/1072146055.db2.gz PXQRHNVOBZWMKI-UHFFFAOYSA-N 0 0 448.505 -0.371 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000027265507 1070891277 /nfs/dbraw/zinc/89/12/77/1070891277.db2.gz UVVMAUZBFZILLS-UHFFFAOYSA-N 0 0 441.492 -0.183 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000027266164 1073093759 /nfs/dbraw/zinc/09/37/59/1073093759.db2.gz OKOVCLIFKZXFHL-UHFFFAOYSA-N 0 0 446.445 -0.788 20 0 IBADRN COCCn1c(N)c(N(CC(=O)NC(=O)NC2CC2)Cc2ccccc2)c(=O)[nH]c1=O ZINC000027274369 1070895227 /nfs/dbraw/zinc/89/52/27/1070895227.db2.gz AGJPXZZVLWPLTM-UHFFFAOYSA-N 0 0 430.465 -0.240 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)c1 ZINC000027303444 1073093794 /nfs/dbraw/zinc/09/37/94/1073093794.db2.gz BZWCBLYAHQKGPS-UHFFFAOYSA-N 0 0 442.519 -0.126 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000027304679 1073093688 /nfs/dbraw/zinc/09/36/88/1073093688.db2.gz XHSOJLCDIGZXMN-UHFFFAOYSA-N 0 0 434.478 -0.952 20 0 IBADRN CC(C)CN(CC(=O)N1CCNC(=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000027311413 1073093842 /nfs/dbraw/zinc/09/38/42/1073093842.db2.gz QYMMCHBALGRAOF-UHFFFAOYSA-N 0 0 428.493 -0.412 20 0 IBADRN CCCCn1c(N)c(N(CC(=O)N2CCNC(=O)C2)Cc2ccccc2)c(=O)[nH]c1=O ZINC000027315275 1073093702 /nfs/dbraw/zinc/09/37/02/1073093702.db2.gz ZQYWUKBCRFMQPO-UHFFFAOYSA-N 0 0 428.493 -0.116 20 0 IBADRN CCOC(=O)C1=C(COC(=O)C2CCN(c3ccc4nnnn4n3)CC2)NC(=O)N[C@@H]1C ZINC000027327141 1073549647 /nfs/dbraw/zinc/54/96/47/1073549647.db2.gz SMFAPFNFTMSWMQ-LLVKDONJSA-N 0 0 444.452 -0.203 20 0 IBADRN CCOC(=O)C1=C(COC(=O)C2CCN(c3ccc4nnnn4n3)CC2)NC(=O)N[C@H]1C ZINC000027327146 1073549824 /nfs/dbraw/zinc/54/98/24/1073549824.db2.gz SMFAPFNFTMSWMQ-NSHDSACASA-N 0 0 444.452 -0.203 20 0 IBADRN CCN(CCOc1ccc(S(=O)(=O)N(C)C)cc1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000027349274 1073383756 /nfs/dbraw/zinc/38/37/56/1073383756.db2.gz KLNUTJDTVVXTRP-OAHLLOKOSA-N 0 0 447.579 -0.059 20 0 IBADRN CCN(CCOc1ccc(S(=O)(=O)N(C)C)cc1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000027349277 1073383730 /nfs/dbraw/zinc/38/37/30/1073383730.db2.gz KLNUTJDTVVXTRP-HNNXBMFYSA-N 0 0 447.579 -0.059 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000027352141 1073093611 /nfs/dbraw/zinc/09/36/11/1073093611.db2.gz WFBOCUGDSBEYNS-KDOFPFPSSA-N 0 0 436.534 -0.065 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000027352145 1071309589 /nfs/dbraw/zinc/30/95/89/1071309589.db2.gz WFBOCUGDSBEYNS-KSSFIOAISA-N 0 0 436.534 -0.065 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000027352149 1071310994 /nfs/dbraw/zinc/31/09/94/1071310994.db2.gz WFBOCUGDSBEYNS-RDTXWAMCSA-N 0 0 436.534 -0.065 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000027352152 1071310891 /nfs/dbraw/zinc/31/08/91/1071310891.db2.gz WFBOCUGDSBEYNS-KBXCAEBGSA-N 0 0 436.534 -0.065 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(CC(=O)N2CCCC2)CC1 ZINC000027363212 1073093624 /nfs/dbraw/zinc/09/36/24/1073093624.db2.gz SOPANZTZYHKWHB-MRXNPFEDSA-N 0 0 449.577 -0.148 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(CC(=O)N2CCCC2)CC1 ZINC000027363215 1073093597 /nfs/dbraw/zinc/09/35/97/1073093597.db2.gz SOPANZTZYHKWHB-INIZCTEOSA-N 0 0 449.577 -0.148 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCN(CC(=O)N2CCCC2)CC1 ZINC000027363223 1073383746 /nfs/dbraw/zinc/38/37/46/1073383746.db2.gz HMRHMRGKCWIPII-UHFFFAOYSA-N 0 0 435.550 -0.537 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000027364227 1073383835 /nfs/dbraw/zinc/38/38/35/1073383835.db2.gz ZOORNTNUSRDNLJ-UHFFFAOYSA-N 0 0 440.566 -0.208 20 0 IBADRN CCCCNC(=O)CN1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000027364305 1073383885 /nfs/dbraw/zinc/38/38/85/1073383885.db2.gz HQQLZWRVHOHABC-UHFFFAOYSA-N 0 0 437.566 -0.243 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(CC(=O)NC2CC2)CC1 ZINC000027366895 1073093674 /nfs/dbraw/zinc/09/36/74/1073093674.db2.gz QXQRCLABWAIGNK-CQSZACIVSA-N 0 0 435.550 -0.492 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(CC(=O)NC2CC2)CC1 ZINC000027366898 1073093860 /nfs/dbraw/zinc/09/38/60/1073093860.db2.gz QXQRCLABWAIGNK-AWEZNQCLSA-N 0 0 435.550 -0.492 20 0 IBADRN NC(=O)c1ccc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cc1 ZINC000027374710 1073093822 /nfs/dbraw/zinc/09/38/22/1073093822.db2.gz XDFXZOXLNZFUSY-UHFFFAOYSA-N 0 0 438.531 -0.061 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2CC(=O)c1c(N)n(C2CC2)c(=O)[nH]c1=O ZINC000027375367 1074472929 /nfs/dbraw/zinc/47/29/29/1074472929.db2.gz SWRWKYUQMHAHSM-UHFFFAOYSA-N 0 0 433.490 -0.051 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000027375538 1073383890 /nfs/dbraw/zinc/38/38/90/1073383890.db2.gz ALQOCXQBSKJVQL-UHFFFAOYSA-N 0 0 444.579 -0.207 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCCC3)CC2)c(=O)n(C)c1=O ZINC000027376500 1073093652 /nfs/dbraw/zinc/09/36/52/1073093652.db2.gz GUUDCDZFNIZRRV-UHFFFAOYSA-N 0 0 448.568 -0.402 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCN(CC(=O)N2CCCCC2)CC1 ZINC000027377977 1073093786 /nfs/dbraw/zinc/09/37/86/1073093786.db2.gz GSYWPRWDKXLZFI-UHFFFAOYSA-N 0 0 449.577 -0.147 20 0 IBADRN Cn1c2ncn(CC(=O)Nn3cnc4sc5c(c4c3=O)CCCC5)c2c(=O)n(C)c1=O ZINC000027387003 1074472939 /nfs/dbraw/zinc/47/29/39/1074472939.db2.gz XCPITVDAJTYFHO-UHFFFAOYSA-N 0 0 441.473 -0.146 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000027387008 1073093731 /nfs/dbraw/zinc/09/37/31/1073093731.db2.gz LJTVQHWSUZMTDG-LLVKDONJSA-N 0 0 434.478 -0.699 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000027387014 1073093778 /nfs/dbraw/zinc/09/37/78/1073093778.db2.gz LJTVQHWSUZMTDG-NSHDSACASA-N 0 0 434.478 -0.699 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)Nc2cccc(S(N)(=O)=O)c2)c1 ZINC000027399106 1073010383 /nfs/dbraw/zinc/01/03/83/1073010383.db2.gz HNQQEABZFZWSOA-UHFFFAOYSA-N 0 0 440.503 -0.047 20 0 IBADRN O=C(CN1CCN(CC(=O)NC2CC2)CC1)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000027403755 1074037848 /nfs/dbraw/zinc/03/78/48/1074037848.db2.gz VRQGHPJCSGGEAS-UHFFFAOYSA-N 0 0 443.548 -0.329 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000027407226 1073018292 /nfs/dbraw/zinc/01/82/92/1073018292.db2.gz CJZBVVNGJODVFK-CYBMUJFWSA-N 0 0 429.495 -0.312 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000027407229 1073018670 /nfs/dbraw/zinc/01/86/70/1073018670.db2.gz CJZBVVNGJODVFK-ZDUSSCGKSA-N 0 0 429.495 -0.312 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)CCN2C(=O)CCC2=O)c(N)n(Cc2ccccc2)c1=O ZINC000027417227 1074472977 /nfs/dbraw/zinc/47/29/77/1074472977.db2.gz PGLKKCFRWXETNX-UHFFFAOYSA-N 0 0 442.428 -0.558 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000027428581 1073090834 /nfs/dbraw/zinc/09/08/34/1073090834.db2.gz PRGUUQTVAYNNIS-UHFFFAOYSA-N 0 0 427.483 -0.470 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCN(S(=O)(=O)N(C)C)CC1 ZINC000027429267 1072168872 /nfs/dbraw/zinc/16/88/72/1072168872.db2.gz AGQYJVNTNWINTH-UHFFFAOYSA-N 0 0 427.527 -0.518 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)N2CCN(c3ccc([N+](=O)[O-])cc3)CC2)CC1 ZINC000027429421 1071419847 /nfs/dbraw/zinc/41/98/47/1071419847.db2.gz UKEPAQHCJTXLNK-UHFFFAOYSA-N 0 0 440.526 -0.333 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)c1C ZINC000027429954 1072168807 /nfs/dbraw/zinc/16/88/07/1072168807.db2.gz DLBHGJYARAKYNP-UHFFFAOYSA-N 0 0 433.556 -0.687 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000027430484 1072168820 /nfs/dbraw/zinc/16/88/20/1072168820.db2.gz WCGLMEXFNAZFJC-UHFFFAOYSA-N 0 0 427.527 -0.484 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000027430498 1072169504 /nfs/dbraw/zinc/16/95/04/1072169504.db2.gz PUWAIMCERVVPQW-UHFFFAOYSA-N 0 0 439.582 -0.441 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000027430751 1073093741 /nfs/dbraw/zinc/09/37/41/1073093741.db2.gz KUWFWFUPBXQQSW-GFCCVEGCSA-N 0 0 426.495 -0.338 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000027430756 1073093802 /nfs/dbraw/zinc/09/38/02/1073093802.db2.gz KUWFWFUPBXQQSW-LBPRGKRZSA-N 0 0 426.495 -0.338 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)N(C)C)CC2)NC(=O)N[C@@H]1c1ccco1 ZINC000027431024 1071419704 /nfs/dbraw/zinc/41/97/04/1071419704.db2.gz ZGKIPFBNFQBVPN-MRXNPFEDSA-N 0 0 441.510 -0.125 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)N(C)C)CC2)NC(=O)N[C@H]1c1ccco1 ZINC000027431029 1071419738 /nfs/dbraw/zinc/41/97/38/1071419738.db2.gz ZGKIPFBNFQBVPN-INIZCTEOSA-N 0 0 441.510 -0.125 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCCO3)CC1 ZINC000027431158 1073090790 /nfs/dbraw/zinc/09/07/90/1073090790.db2.gz GWOJAVBBHFHUNC-UHFFFAOYSA-N 0 0 441.510 -0.080 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000027431700 1073090585 /nfs/dbraw/zinc/09/05/85/1073090585.db2.gz VCONYDINKUHNQN-CYBMUJFWSA-N 0 0 441.510 -0.082 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000027431705 1073091228 /nfs/dbraw/zinc/09/12/28/1073091228.db2.gz VCONYDINKUHNQN-ZDUSSCGKSA-N 0 0 441.510 -0.082 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(S(=O)(=O)N(C)C)CC1 ZINC000027431804 1072168786 /nfs/dbraw/zinc/16/87/86/1072168786.db2.gz KPFBNBQBGSCXEM-CYBMUJFWSA-N 0 0 445.567 -0.964 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(S(=O)(=O)N(C)C)CC1 ZINC000027431808 1072168893 /nfs/dbraw/zinc/16/88/93/1072168893.db2.gz KPFBNBQBGSCXEM-ZDUSSCGKSA-N 0 0 445.567 -0.964 20 0 IBADRN COc1ccc(Cl)cc1C(=O)NNC(=O)CN1CCN(S(=O)(=O)N(C)C)CC1 ZINC000027432039 1071419750 /nfs/dbraw/zinc/41/97/50/1071419750.db2.gz IDTMJJSXFGLOQE-UHFFFAOYSA-N 0 0 433.918 -0.466 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)NCc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000027433342 1072172876 /nfs/dbraw/zinc/17/28/76/1072172876.db2.gz OFGVCYPNWZFNBJ-UHFFFAOYSA-N 0 0 437.566 -0.037 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(S(=O)(=O)N(C)C)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000027434035 1072172324 /nfs/dbraw/zinc/17/23/24/1072172324.db2.gz DDNBCIDJVPZGAA-ZIAGYGMSSA-N 0 0 447.583 -0.676 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000027434039 1072172841 /nfs/dbraw/zinc/17/28/41/1072172841.db2.gz DDNBCIDJVPZGAA-UONOGXRCSA-N 0 0 447.583 -0.676 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(S(=O)(=O)N(C)C)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000027434044 1072172986 /nfs/dbraw/zinc/17/29/86/1072172986.db2.gz DDNBCIDJVPZGAA-KGLIPLIRSA-N 0 0 447.583 -0.676 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(S(=O)(=O)N(C)C)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000027434047 1072172211 /nfs/dbraw/zinc/17/22/11/1072172211.db2.gz DDNBCIDJVPZGAA-KBPBESRZSA-N 0 0 447.583 -0.676 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)C2=NN(C)C(=O)CC2)c(=O)[nH]c1=O ZINC000027448524 1074472969 /nfs/dbraw/zinc/47/29/69/1074472969.db2.gz WSDDCILZNFBLSV-UHFFFAOYSA-N 0 0 428.449 -0.093 20 0 IBADRN COCCN(C(=O)C1=NN(C)C(=O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000027449738 1074473528 /nfs/dbraw/zinc/47/35/28/1074473528.db2.gz FFURICOKQPJSDR-UHFFFAOYSA-N 0 0 428.449 -0.245 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCn2nnc3ccccc3c2=O)c(=O)[nH]c1=O ZINC000027452132 1073383674 /nfs/dbraw/zinc/38/36/74/1073383674.db2.gz GROCXEWYXKDFRF-UHFFFAOYSA-N 0 0 443.464 -0.297 20 0 IBADRN COCCNC(=O)c1ccccc1NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000027455499 1074473543 /nfs/dbraw/zinc/47/35/43/1074473543.db2.gz FZIROWOPZZRAAF-UHFFFAOYSA-N 0 0 428.449 -0.161 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000027494843 1073093767 /nfs/dbraw/zinc/09/37/67/1073093767.db2.gz ICMKVDCLMWYLQZ-UHFFFAOYSA-N 0 0 428.492 -0.054 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000027507062 1073094022 /nfs/dbraw/zinc/09/40/22/1073094022.db2.gz XDTKHRQIPDHEOW-UHFFFAOYSA-N 0 0 428.449 -0.023 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)Cn2nnc(-c3ccc(C(N)=O)cc3)n2)CC1 ZINC000027509158 1073094225 /nfs/dbraw/zinc/09/42/25/1073094225.db2.gz JOFHPUQDJYNHAQ-UHFFFAOYSA-N 0 0 447.463 -0.536 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000027543039 1073094096 /nfs/dbraw/zinc/09/40/96/1073094096.db2.gz VLIOWIABECKDCG-OAHLLOKOSA-N 0 0 439.538 -0.863 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000027543041 1073094288 /nfs/dbraw/zinc/09/42/88/1073094288.db2.gz VLIOWIABECKDCG-HNNXBMFYSA-N 0 0 439.538 -0.863 20 0 IBADRN Cn1c2ncn(CCN3CCN(CC(=O)N4CCCCCC4)CC3)c2c(=O)n(C)c1=O ZINC000027544574 1073094066 /nfs/dbraw/zinc/09/40/66/1073094066.db2.gz FULFDGOBJGOTIM-UHFFFAOYSA-N 0 0 431.541 -0.546 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCC(F)(F)F)CC2)cc1 ZINC000027548180 1073094182 /nfs/dbraw/zinc/09/41/82/1073094182.db2.gz NQBRMDMCASLYMQ-UHFFFAOYSA-N 0 0 444.457 -0.681 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000027548287 1073093996 /nfs/dbraw/zinc/09/39/96/1073093996.db2.gz IENYAIVEVUALLS-CQSZACIVSA-N 0 0 430.552 -0.349 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000027548291 1073093970 /nfs/dbraw/zinc/09/39/70/1073093970.db2.gz IENYAIVEVUALLS-AWEZNQCLSA-N 0 0 430.552 -0.349 20 0 IBADRN CCCCn1nnnc1CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000027548376 1073094212 /nfs/dbraw/zinc/09/42/12/1073094212.db2.gz PCSMTGVYIARRAD-UHFFFAOYSA-N 0 0 443.555 -0.373 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CCc3cc(S(N)(=O)=O)ccc32)cc1 ZINC000027549967 1071349923 /nfs/dbraw/zinc/34/99/23/1071349923.db2.gz VBADXDIYLLXMHU-UHFFFAOYSA-N 0 0 438.531 -0.297 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000027550929 1071349942 /nfs/dbraw/zinc/34/99/42/1071349942.db2.gz SDVXQTGTEVEWER-UHFFFAOYSA-N 0 0 437.566 -0.245 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000027551360 1073094050 /nfs/dbraw/zinc/09/40/50/1073094050.db2.gz IKUKHOIBFUPJNK-OAHLLOKOSA-N 0 0 442.563 -0.972 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000027551364 1073094205 /nfs/dbraw/zinc/09/42/05/1073094205.db2.gz IKUKHOIBFUPJNK-HNNXBMFYSA-N 0 0 442.563 -0.972 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000027551760 1073094193 /nfs/dbraw/zinc/09/41/93/1073094193.db2.gz CVFXQVITIVITHD-UHFFFAOYSA-N 0 0 436.515 -0.067 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000027561436 1073094128 /nfs/dbraw/zinc/09/41/28/1073094128.db2.gz SVJIQVAYCKSABM-CQSZACIVSA-N 0 0 439.513 -0.218 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCCN(C(C)=O)CC2)c(=O)[nH]c1=O ZINC000027564763 1071351178 /nfs/dbraw/zinc/35/11/78/1071351178.db2.gz UTTBKYDBZVJFNJ-UHFFFAOYSA-N 0 0 438.529 -0.548 20 0 IBADRN COCCN(C(=O)CN1CCCN(C(C)=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000027564826 1073383866 /nfs/dbraw/zinc/38/38/66/1073383866.db2.gz NNDONASRUWCKPP-UHFFFAOYSA-N 0 0 438.529 -0.692 20 0 IBADRN CC(=O)N1CCCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000027565141 1073094147 /nfs/dbraw/zinc/09/41/47/1073094147.db2.gz CCPYWPDKGHIGMC-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)Cn2cc(S(=O)(=O)N(C)C)ccc2=O)ccc1C ZINC000027589943 1073094010 /nfs/dbraw/zinc/09/40/10/1073094010.db2.gz CHUWVTFSQZAIMY-UHFFFAOYSA-N 0 0 442.519 -0.046 20 0 IBADRN Cc1cc(C)n(CC(=O)NNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)n1 ZINC000027609682 1071430411 /nfs/dbraw/zinc/43/04/11/1071430411.db2.gz YSRGYMIPZKNMCV-UHFFFAOYSA-N 0 0 436.494 -0.672 20 0 IBADRN Cn1c2ncn(CC(=O)NCCN3CCN(c4ccc(F)cc4)CC3)c2c(=O)n(C)c1=O ZINC000027617085 1071157503 /nfs/dbraw/zinc/15/75/03/1071157503.db2.gz KGZADKDJUNGWOS-UHFFFAOYSA-N 0 0 443.483 -0.489 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(c3cnccn3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000027629378 1070901416 /nfs/dbraw/zinc/90/14/16/1070901416.db2.gz BOYZUNTVWAZCRR-UHFFFAOYSA-N 0 0 435.488 -0.028 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(c3cnccn3)CC2)c(=O)[nH]c1=O ZINC000027633364 1073094111 /nfs/dbraw/zinc/09/41/11/1073094111.db2.gz QRFUXVNFUFNPEZ-UHFFFAOYSA-N 0 0 430.513 -0.116 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(c3cnccn3)CC2)CC1 ZINC000027635008 1073094250 /nfs/dbraw/zinc/09/42/50/1073094250.db2.gz FPTRGTFPVNBOPK-UHFFFAOYSA-N 0 0 449.537 -0.263 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000027665410 1074473603 /nfs/dbraw/zinc/47/36/03/1074473603.db2.gz XMYCCIOQRVBXKA-MRVPVSSYSA-N 0 0 430.339 -0.016 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000027665411 1074473580 /nfs/dbraw/zinc/47/35/80/1074473580.db2.gz XMYCCIOQRVBXKA-QMMMGPOBSA-N 0 0 430.339 -0.016 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCCNC(C)=O)c(=O)n2Cc1ccccc1 ZINC000027671984 1072299535 /nfs/dbraw/zinc/29/95/35/1072299535.db2.gz VHZYVJUPDHKJJZ-UHFFFAOYSA-N 0 0 442.476 -0.693 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)Nc2ccc(S(N)(=O)=O)cc2)c1 ZINC000027672929 1073163562 /nfs/dbraw/zinc/16/35/62/1073163562.db2.gz MGUSJOWLEMOWRD-UHFFFAOYSA-N 0 0 440.503 -0.047 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000027762844 1071384229 /nfs/dbraw/zinc/38/42/29/1071384229.db2.gz MMLMIGNCYSWWMP-OAHLLOKOSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000027762852 1071384434 /nfs/dbraw/zinc/38/44/34/1071384434.db2.gz MMLMIGNCYSWWMP-HNNXBMFYSA-N 0 0 425.507 -0.235 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)CC(=O)N1CCCC1 ZINC000027764260 1073189959 /nfs/dbraw/zinc/18/99/59/1073189959.db2.gz ZGXJKCQAJXXZPZ-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000027765400 1071385861 /nfs/dbraw/zinc/38/58/61/1071385861.db2.gz AQPXRHPXXRQBFB-UHFFFAOYSA-N 0 0 446.595 -0.292 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000027765441 1073094255 /nfs/dbraw/zinc/09/42/55/1073094255.db2.gz VCKSHZVUSUXFJR-UHFFFAOYSA-N 0 0 437.566 -0.217 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000027765464 1073093982 /nfs/dbraw/zinc/09/39/82/1073093982.db2.gz BUHJAVOIALDORT-UHFFFAOYSA-N 0 0 446.595 -0.292 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000027765929 1073094035 /nfs/dbraw/zinc/09/40/35/1073094035.db2.gz NQOUVYPKVFKWBD-UHFFFAOYSA-N 0 0 439.538 -0.527 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000027765975 1071386856 /nfs/dbraw/zinc/38/68/56/1071386856.db2.gz VIQCZWKKZXGOSG-UHFFFAOYSA-N 0 0 446.551 -0.348 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CC1 ZINC000027766084 1073094617 /nfs/dbraw/zinc/09/46/17/1073094617.db2.gz BUSZPXQZYBYVDR-UHFFFAOYSA-N 0 0 437.566 -0.976 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN(S(C)(=O)=O)CC1 ZINC000027766452 1073094479 /nfs/dbraw/zinc/09/44/79/1073094479.db2.gz ZOSJSWVQIATEAR-CYBMUJFWSA-N 0 0 448.567 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN(S(C)(=O)=O)CC1 ZINC000027766462 1073094578 /nfs/dbraw/zinc/09/45/78/1073094578.db2.gz ZOSJSWVQIATEAR-ZDUSSCGKSA-N 0 0 448.567 -0.150 20 0 IBADRN COC(=O)Cc1csc(NC(=O)Cn2c(Cl)nc3c2c(=O)n(C)c(=O)n3C)n1 ZINC000027774495 1071439367 /nfs/dbraw/zinc/43/93/67/1071439367.db2.gz JZQYRNASCXQLID-UHFFFAOYSA-N 0 0 426.842 -0.102 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(C(=O)NCC(F)(F)F)CC2)c(=O)[nH]c1=O ZINC000027781322 1072372483 /nfs/dbraw/zinc/37/24/83/1072372483.db2.gz ZSQYRWYNKVNFRN-UHFFFAOYSA-N 0 0 435.403 -0.662 20 0 IBADRN COc1cccc(C(=O)N2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)c1 ZINC000027860426 1073094645 /nfs/dbraw/zinc/09/46/45/1073094645.db2.gz VAZKGFUPTNKBRQ-UHFFFAOYSA-N 0 0 431.537 -0.315 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)c3cccc(OC)c3)CC2)c(=O)[nH]c1=O ZINC000027861149 1073094801 /nfs/dbraw/zinc/09/48/01/1073094801.db2.gz SGIGFJLUKIZEJY-UHFFFAOYSA-N 0 0 445.476 -0.586 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000027865455 1072503317 /nfs/dbraw/zinc/50/33/17/1072503317.db2.gz TZYVWHPUJZDVLA-ZDUSSCGKSA-N 0 0 429.477 -0.296 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000027865461 1072503484 /nfs/dbraw/zinc/50/34/84/1072503484.db2.gz TZYVWHPUJZDVLA-CYBMUJFWSA-N 0 0 429.477 -0.296 20 0 IBADRN COc1ccc(C(=O)N2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cc1 ZINC000027869380 1073094705 /nfs/dbraw/zinc/09/47/05/1073094705.db2.gz OACAZHQWNANCRJ-UHFFFAOYSA-N 0 0 426.477 -0.100 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)cc1 ZINC000027869426 1072503369 /nfs/dbraw/zinc/50/33/69/1072503369.db2.gz AYIZLIWXYLCIGK-UHFFFAOYSA-N 0 0 431.537 -0.315 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)c3ccc(OC)cc3)CC2)c(=O)[nH]c1=O ZINC000027871466 1073094723 /nfs/dbraw/zinc/09/47/23/1073094723.db2.gz OOZPCNRHDDONHJ-UHFFFAOYSA-N 0 0 445.476 -0.586 20 0 IBADRN O=C(CN1CCN(Cc2nc3sc4c(c3c(=O)[nH]2)CCCC4)CC1)N1CCNC(=O)C1 ZINC000027877970 1073383721 /nfs/dbraw/zinc/38/37/21/1073383721.db2.gz DIGDBXPCACBGCD-UHFFFAOYSA-N 0 0 444.561 -0.061 20 0 IBADRN CC(=O)N1CCCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000027911381 1071427766 /nfs/dbraw/zinc/42/77/66/1071427766.db2.gz DROBZQBXWTVWKW-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CSc2nnnn2C[C@@H]2CCCO2)CC1 ZINC000027942011 1073094547 /nfs/dbraw/zinc/09/45/47/1073094547.db2.gz OLBMBMVFDSWWIG-ZDUSSCGKSA-N 0 0 444.525 -0.030 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CSc2nnnn2C[C@H]2CCCO2)CC1 ZINC000027942016 1073094446 /nfs/dbraw/zinc/09/44/46/1073094446.db2.gz OLBMBMVFDSWWIG-CYBMUJFWSA-N 0 0 444.525 -0.030 20 0 IBADRN CNC(=O)C1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000027966020 1073094592 /nfs/dbraw/zinc/09/45/92/1073094592.db2.gz OSFUACQZCVXNIZ-UHFFFAOYSA-N 0 0 438.550 -0.218 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccccc3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000027972206 1071397001 /nfs/dbraw/zinc/39/70/01/1071397001.db2.gz NYZUCJMABUKZCP-UHFFFAOYSA-N 0 0 426.433 -0.455 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccccc1C(=O)N1CCOCC1)c(=O)n2C ZINC000027974240 1073094630 /nfs/dbraw/zinc/09/46/30/1073094630.db2.gz OESIEEYPFJOHKH-UHFFFAOYSA-N 0 0 426.433 -0.455 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccccc3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000027976053 1073094510 /nfs/dbraw/zinc/09/45/10/1073094510.db2.gz WRZKJAAMDVMBDJ-UHFFFAOYSA-N 0 0 440.460 -0.065 20 0 IBADRN CCCNC(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000028004906 1073094678 /nfs/dbraw/zinc/09/46/78/1073094678.db2.gz UMONRFSXRFZDOP-UHFFFAOYSA-N 0 0 429.495 -0.019 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc21 ZINC000028006967 1073094776 /nfs/dbraw/zinc/09/47/76/1073094776.db2.gz HZWYHZGLWZGZSA-UHFFFAOYSA-N 0 0 436.534 -0.375 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC(=O)CSc1nnc(N)s1 ZINC000028008590 1071458305 /nfs/dbraw/zinc/45/83/05/1071458305.db2.gz JKLTXASWMRGMFW-UHFFFAOYSA-N 0 0 446.536 -0.472 20 0 IBADRN CCOC(=O)CSCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000028008645 1073109702 /nfs/dbraw/zinc/10/97/02/1073109702.db2.gz OWUZGMXHRCTLET-UHFFFAOYSA-N 0 0 433.508 -0.401 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC(=O)CSc1nncs1 ZINC000028011857 1071459123 /nfs/dbraw/zinc/45/91/23/1071459123.db2.gz YCPTUDCZQAHNRU-UHFFFAOYSA-N 0 0 431.521 -0.054 20 0 IBADRN CCn1cnnc1SCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000028014961 1071459887 /nfs/dbraw/zinc/45/98/87/1071459887.db2.gz PHWJWSCTSFPWCT-UHFFFAOYSA-N 0 0 442.523 -0.294 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC(=O)NC(C)C)c1OC ZINC000028023921 1071433898 /nfs/dbraw/zinc/43/38/98/1071433898.db2.gz BHSGUCRGAJYBTM-UHFFFAOYSA-N 0 0 429.495 -0.021 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(CC(=O)NC4CC4)CC3)C2=O)cc1 ZINC000028025149 1074473651 /nfs/dbraw/zinc/47/36/51/1074473651.db2.gz DUYPINSMYQVQPE-JOCHJYFZSA-N 0 0 443.504 -0.115 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(CC(=O)NC4CC4)CC3)C2=O)cc1 ZINC000028025153 1074473593 /nfs/dbraw/zinc/47/35/93/1074473593.db2.gz DUYPINSMYQVQPE-QFIPXVFZSA-N 0 0 443.504 -0.115 20 0 IBADRN O=C(CNC(=O)c1ccccc1)NNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000028027891 1073109668 /nfs/dbraw/zinc/10/96/68/1073109668.db2.gz NLKDXNVSWFTUFY-UHFFFAOYSA-N 0 0 446.485 -0.102 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)OCC(=O)N1CCNC(=O)C1 ZINC000028031974 1074473645 /nfs/dbraw/zinc/47/36/45/1074473645.db2.gz BBMFRWIJNRCKDO-UHFFFAOYSA-N 0 0 445.213 -0.477 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CNS(=O)(=O)c2c(C)noc2C)NC(=O)N[C@@H]1C ZINC000028037268 1074473606 /nfs/dbraw/zinc/47/36/06/1074473606.db2.gz RBGDHWSVDWIHLS-MRVPVSSYSA-N 0 0 430.439 -0.369 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CNS(=O)(=O)c2c(C)noc2C)NC(=O)N[C@H]1C ZINC000028037271 1074473627 /nfs/dbraw/zinc/47/36/27/1074473627.db2.gz RBGDHWSVDWIHLS-QMMMGPOBSA-N 0 0 430.439 -0.369 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000028038292 1073094424 /nfs/dbraw/zinc/09/44/24/1073094424.db2.gz OYMVSPZVGASPER-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCCN(CC(=O)N3CCCC3)CC2)c1 ZINC000028038464 1073094791 /nfs/dbraw/zinc/09/47/91/1073094791.db2.gz GSIDHLNIMHEZNK-UHFFFAOYSA-N 0 0 430.552 -0.347 20 0 IBADRN O=C(CN1CCCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1)N1CCCC1 ZINC000028038476 1073094494 /nfs/dbraw/zinc/09/44/94/1073094494.db2.gz ZZPBSYKFOVKSHV-UHFFFAOYSA-N 0 0 435.506 -0.465 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000028038503 1072531546 /nfs/dbraw/zinc/53/15/46/1072531546.db2.gz MJCQPFYNXUFZHN-UHFFFAOYSA-N 0 0 430.552 -0.347 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCCC4)CC3)cc2N1 ZINC000028044631 1071435499 /nfs/dbraw/zinc/43/54/99/1071435499.db2.gz HTGSZCJDBZZNBL-UHFFFAOYSA-N 0 0 430.508 -0.336 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000028047210 1071435357 /nfs/dbraw/zinc/43/53/57/1071435357.db2.gz UTXWMBHZJSSYIA-UHFFFAOYSA-N 0 0 430.508 -0.751 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2Cl)CC1 ZINC000028052336 1071465157 /nfs/dbraw/zinc/46/51/57/1071465157.db2.gz QFGCCCWSEHGCEY-UHFFFAOYSA-N 0 0 445.972 -0.144 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCCN(CC(=O)N2CCCC2)CC1 ZINC000028080954 1073094737 /nfs/dbraw/zinc/09/47/37/1073094737.db2.gz YHOKPEJAUQDBLM-UHFFFAOYSA-N 0 0 449.577 -0.147 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000028088251 1071473079 /nfs/dbraw/zinc/47/30/79/1071473079.db2.gz KYARHUDPUFVGBN-SECBINFHSA-N 0 0 437.268 -0.052 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000028088260 1071473017 /nfs/dbraw/zinc/47/30/17/1071473017.db2.gz KYARHUDPUFVGBN-VIFPVBQESA-N 0 0 437.268 -0.052 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000028153660 1074473559 /nfs/dbraw/zinc/47/35/59/1074473559.db2.gz UQMGNJSFZYSDGP-KRWDZBQOSA-N 0 0 439.586 -0.282 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000028153664 1074473653 /nfs/dbraw/zinc/47/36/53/1074473653.db2.gz UQMGNJSFZYSDGP-QGZVFWFLSA-N 0 0 439.586 -0.282 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000028176904 1073302305 /nfs/dbraw/zinc/30/23/05/1073302305.db2.gz JERAIJTURQLCLW-UHFFFAOYSA-N 0 0 440.566 -0.208 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)NCc1cnn(-c2ccccc2)c1 ZINC000028177077 1070914911 /nfs/dbraw/zinc/91/49/11/1070914911.db2.gz WTBGRRQJLXRLJZ-UHFFFAOYSA-N 0 0 426.521 -0.035 20 0 IBADRN C[C@H](C(=O)Nc1ccccc1S(C)(=O)=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000028177533 1070914714 /nfs/dbraw/zinc/91/47/14/1070914714.db2.gz YCXMRYDXTFJQBM-MRXNPFEDSA-N 0 0 438.550 -0.107 20 0 IBADRN C[C@@H](C(=O)Nc1ccccc1S(C)(=O)=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000028177535 1073095062 /nfs/dbraw/zinc/09/50/62/1073095062.db2.gz YCXMRYDXTFJQBM-INIZCTEOSA-N 0 0 438.550 -0.107 20 0 IBADRN CCCCN(C(=O)Cn1cc(S(=O)(=O)N(C)C)ccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000028188773 1071437653 /nfs/dbraw/zinc/43/76/53/1071437653.db2.gz NCGJCVJREHKSOS-CQSZACIVSA-N 0 0 433.552 -0.086 20 0 IBADRN CCCCN(C(=O)Cn1cc(S(=O)(=O)N(C)C)ccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000028188775 1071437721 /nfs/dbraw/zinc/43/77/21/1071437721.db2.gz NCGJCVJREHKSOS-AWEZNQCLSA-N 0 0 433.552 -0.086 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000028197747 1071548117 /nfs/dbraw/zinc/54/81/17/1071548117.db2.gz YXPZQPPQABZFSO-UHFFFAOYSA-N 0 0 426.495 -0.033 20 0 IBADRN Cc1nnc(SCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)n(N)c1=O ZINC000028211839 1071552315 /nfs/dbraw/zinc/55/23/15/1071552315.db2.gz QRFIPZCRUDUDHZ-UHFFFAOYSA-N 0 0 427.508 -0.147 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000028231736 1074473599 /nfs/dbraw/zinc/47/35/99/1074473599.db2.gz WBBLDCLEKLUYFJ-CQSZACIVSA-N 0 0 432.433 -0.538 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000028231740 1074473573 /nfs/dbraw/zinc/47/35/73/1074473573.db2.gz WBBLDCLEKLUYFJ-AWEZNQCLSA-N 0 0 432.433 -0.538 20 0 IBADRN Nc1c(N(CCO)CC(=O)NC(=O)NC2CCCC2)c(=O)[nH]c(=O)n1Cc1ccccc1 ZINC000028269634 1073095215 /nfs/dbraw/zinc/09/52/15/1073095215.db2.gz NUDFPAQRVHIVIU-UHFFFAOYSA-N 0 0 444.492 -0.266 20 0 IBADRN COCCCN(CC(=O)N1CCOCC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000028271964 1073094995 /nfs/dbraw/zinc/09/49/95/1073094995.db2.gz WVEKLFJFHUMBJF-UHFFFAOYSA-N 0 0 431.493 -0.131 20 0 IBADRN COCCCN(CC(=O)N1CCNC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000028272522 1073095013 /nfs/dbraw/zinc/09/50/13/1073095013.db2.gz ULMOUJGENYNRMA-UHFFFAOYSA-N 0 0 430.465 -0.438 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000028332807 1073095038 /nfs/dbraw/zinc/09/50/38/1073095038.db2.gz WVOOSIWYTWOBJL-LLVKDONJSA-N 0 0 434.478 -0.699 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000028332814 1073095171 /nfs/dbraw/zinc/09/51/71/1073095171.db2.gz WVOOSIWYTWOBJL-NSHDSACASA-N 0 0 434.478 -0.699 20 0 IBADRN COCCn1c(SCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nnc1-c1ccncc1 ZINC000028385459 1071592225 /nfs/dbraw/zinc/59/22/25/1071592225.db2.gz KURLWUYEQQUGKP-UHFFFAOYSA-N 0 0 431.478 -0.059 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)c2ccc(C(F)(F)F)cc2)c(=O)n(C)c1=O ZINC000028390404 1074473641 /nfs/dbraw/zinc/47/36/41/1074473641.db2.gz JQBQWDIMGLROSG-UHFFFAOYSA-N 0 0 442.350 -0.159 20 0 IBADRN O=C(NCc1ccccc1Cn1cncn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000028401557 1073095084 /nfs/dbraw/zinc/09/50/84/1073095084.db2.gz MHICKLPXURWVNO-UHFFFAOYSA-N 0 0 449.537 -0.269 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CSc1nnnn1C ZINC000028417423 1073095026 /nfs/dbraw/zinc/09/50/26/1073095026.db2.gz YCLOEUQXBCNWQY-UHFFFAOYSA-N 0 0 428.496 -0.030 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1 ZINC000028421504 1073095095 /nfs/dbraw/zinc/09/50/95/1073095095.db2.gz XNTJIXWAIOLSTA-UHFFFAOYSA-N 0 0 447.565 -0.206 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CC1 ZINC000028422298 1073095121 /nfs/dbraw/zinc/09/51/21/1073095121.db2.gz GPJMEHXRTXRWRD-UHFFFAOYSA-N 0 0 430.553 -0.160 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)CC1 ZINC000028422318 1073094934 /nfs/dbraw/zinc/09/49/34/1073094934.db2.gz PBGXAZLJNSASEX-UHFFFAOYSA-N 0 0 444.602 -0.355 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000028422698 1073095232 /nfs/dbraw/zinc/09/52/32/1073095232.db2.gz AODGRLDYHNBJIY-UHFFFAOYSA-N 0 0 442.542 -0.482 20 0 IBADRN CCn1nc(C(=O)NNC(=O)CCNS(=O)(=O)c2cccnc2)c2ccccc2c1=O ZINC000028428514 1071643801 /nfs/dbraw/zinc/64/38/01/1071643801.db2.gz AAINNRZAKHMCGF-UHFFFAOYSA-N 0 0 444.473 -0.059 20 0 IBADRN CCn1nc(C(=O)NNC(=O)Cn2c(=O)[nH]c3ccccc3c2=O)c2ccccc2c1=O ZINC000028428518 1071643879 /nfs/dbraw/zinc/64/38/79/1071643879.db2.gz HHDNSUXQKNUGSR-UHFFFAOYSA-N 0 0 434.412 -0.119 20 0 IBADRN Cn1ccc(C(=O)NNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cc1=O ZINC000028438212 1071653119 /nfs/dbraw/zinc/65/31/19/1071653119.db2.gz RQCVGPRNVGPFFH-UHFFFAOYSA-N 0 0 448.501 -0.200 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NNC(=O)c1ccc[nH]c1=O ZINC000028581030 1071825155 /nfs/dbraw/zinc/82/51/55/1071825155.db2.gz ULUIIVYTODABQW-UHFFFAOYSA-N 0 0 434.474 -0.210 20 0 IBADRN Cc1ccc(CN2C[C@@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000028609632 1074473638 /nfs/dbraw/zinc/47/36/38/1074473638.db2.gz UNRVUOQRDUZWSH-AWEZNQCLSA-N 0 0 428.445 -0.251 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000028609633 1074473631 /nfs/dbraw/zinc/47/36/31/1074473631.db2.gz UNRVUOQRDUZWSH-CQSZACIVSA-N 0 0 428.445 -0.251 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCNC(=O)C1)c(=O)n2Cc1ccccc1 ZINC000028630247 1073095073 /nfs/dbraw/zinc/09/50/73/1073095073.db2.gz VLEIXNOLLDTYBR-UHFFFAOYSA-N 0 0 440.460 -0.987 20 0 IBADRN C[C@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000028645267 1074474076 /nfs/dbraw/zinc/47/40/76/1074474076.db2.gz ASMSOEIATOZERU-QJXJPNQISA-N 0 0 429.429 -0.015 20 0 IBADRN C[C@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000028645275 1074474092 /nfs/dbraw/zinc/47/40/92/1074474092.db2.gz ASMSOEIATOZERU-JTMKOSTRSA-N 0 0 429.429 -0.015 20 0 IBADRN CS(=O)(=O)N1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000028653120 1073095051 /nfs/dbraw/zinc/09/50/51/1073095051.db2.gz HZCACGPQQOVXCP-UHFFFAOYSA-N 0 0 433.552 -0.337 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000028659051 1073242276 /nfs/dbraw/zinc/24/22/76/1073242276.db2.gz UYOMXHCWEAGQPZ-KRWDZBQOSA-N 0 0 441.506 -0.550 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000028659053 1073242349 /nfs/dbraw/zinc/24/23/49/1073242349.db2.gz UYOMXHCWEAGQPZ-QGZVFWFLSA-N 0 0 441.506 -0.550 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)N1CCc2ccccc2C1 ZINC000028670756 1074473975 /nfs/dbraw/zinc/47/39/75/1074473975.db2.gz SWTIRDYOJOIDHM-CYBMUJFWSA-N 0 0 425.445 -0.050 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)N1CCc2ccccc2C1 ZINC000028670759 1074474088 /nfs/dbraw/zinc/47/40/88/1074474088.db2.gz SWTIRDYOJOIDHM-ZDUSSCGKSA-N 0 0 425.445 -0.050 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(CC(=O)NC3CC3)CC2)C1=O ZINC000028721214 1074473966 /nfs/dbraw/zinc/47/39/66/1074473966.db2.gz JIFYYLHYMBBKOX-QFIPXVFZSA-N 0 0 442.520 -0.229 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(CC(=O)NC3CC3)CC2)C1=O ZINC000028721216 1074473925 /nfs/dbraw/zinc/47/39/25/1074473925.db2.gz JIFYYLHYMBBKOX-JOCHJYFZSA-N 0 0 442.520 -0.229 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000028724083 1073094977 /nfs/dbraw/zinc/09/49/77/1073094977.db2.gz DVBGNZXSKGOZAY-UHFFFAOYSA-N 0 0 448.543 -0.386 20 0 IBADRN Cn1c2ncn(CCN3CCN(CC(=O)Nc4ccc(F)cc4)CC3)c2c(=O)n(C)c1=O ZINC000028724133 1073095190 /nfs/dbraw/zinc/09/51/90/1073095190.db2.gz LYQZCCYWSRFJJC-UHFFFAOYSA-N 0 0 443.483 -0.171 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(=O)NC3CC3)CC2)cc1 ZINC000028726766 1073094966 /nfs/dbraw/zinc/09/49/66/1073094966.db2.gz ZHHBZZMOIGWJKG-UHFFFAOYSA-N 0 0 436.534 -0.068 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)CC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000028727591 1073242921 /nfs/dbraw/zinc/24/29/21/1073242921.db2.gz GYKDLYCXROOCPA-OAHLLOKOSA-N 0 0 425.555 -0.441 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)CC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000028727593 1073242999 /nfs/dbraw/zinc/24/29/99/1073242999.db2.gz GYKDLYCXROOCPA-HNNXBMFYSA-N 0 0 425.555 -0.441 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000028729345 1073095254 /nfs/dbraw/zinc/09/52/54/1073095254.db2.gz AJXLFHMEPQXFOW-UHFFFAOYSA-N 0 0 447.536 -0.432 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000028729383 1072891933 /nfs/dbraw/zinc/89/19/33/1072891933.db2.gz MTQYAQUPTSJNGJ-UHFFFAOYSA-N 0 0 439.463 -0.504 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000028729386 1073094954 /nfs/dbraw/zinc/09/49/54/1073094954.db2.gz JGRWRSVOLYHNEY-UHFFFAOYSA-N 0 0 431.537 -0.220 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000028729748 1073242750 /nfs/dbraw/zinc/24/27/50/1073242750.db2.gz YWJSBGYROYTFLA-UHFFFAOYSA-N 0 0 448.543 -0.386 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(CC(=O)N(C)C)CC2)cc1S(=O)(=O)N(C)C ZINC000028730029 1071577420 /nfs/dbraw/zinc/57/74/20/1071577420.db2.gz GMFGEKZPODNQGN-UHFFFAOYSA-N 0 0 441.554 -0.410 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000028730044 1074474000 /nfs/dbraw/zinc/47/40/00/1074474000.db2.gz WAMYRJRSPYPPJI-NRFANRHFSA-N 0 0 430.509 -0.419 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000028730048 1074474048 /nfs/dbraw/zinc/47/40/48/1074474048.db2.gz WAMYRJRSPYPPJI-OAQYLSRUSA-N 0 0 430.509 -0.419 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000028730051 1074473994 /nfs/dbraw/zinc/47/39/94/1074473994.db2.gz MJYCUMHIZWFQLL-QFIPXVFZSA-N 0 0 444.536 -0.333 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000028730054 1074473943 /nfs/dbraw/zinc/47/39/43/1074473943.db2.gz MJYCUMHIZWFQLL-JOCHJYFZSA-N 0 0 444.536 -0.333 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000028750373 1074046338 /nfs/dbraw/zinc/04/63/38/1074046338.db2.gz FOMBFMZURFZZJE-OAHLLOKOSA-N 0 0 437.566 -0.723 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000028750376 1074046380 /nfs/dbraw/zinc/04/63/80/1074046380.db2.gz FOMBFMZURFZZJE-HNNXBMFYSA-N 0 0 437.566 -0.723 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN([C@@H](C)C(=O)NC3CC3)CC2)c(=O)n(C)c1=O ZINC000028750607 1073095150 /nfs/dbraw/zinc/09/51/50/1073095150.db2.gz NPAGMXRYTQASFM-AWEZNQCLSA-N 0 0 434.541 -0.747 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN([C@H](C)C(=O)NC3CC3)CC2)c(=O)n(C)c1=O ZINC000028750610 1073095366 /nfs/dbraw/zinc/09/53/66/1073095366.db2.gz NPAGMXRYTQASFM-CQSZACIVSA-N 0 0 434.541 -0.747 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(CCC(=O)NN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000028752200 1074474037 /nfs/dbraw/zinc/47/40/37/1074474037.db2.gz WEEWAMQMOVMKQA-OAHLLOKOSA-N 0 0 434.541 -0.053 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(CCC(=O)NN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000028752201 1074474018 /nfs/dbraw/zinc/47/40/18/1074474018.db2.gz WEEWAMQMOVMKQA-HNNXBMFYSA-N 0 0 434.541 -0.053 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(C(=O)Nc3cc(C)on3)CC2)c(=O)[nH]c1=O ZINC000028753497 1073095638 /nfs/dbraw/zinc/09/56/38/1073095638.db2.gz WTUXLZDMTPWZSB-UHFFFAOYSA-N 0 0 434.453 -0.405 20 0 IBADRN COCCN(C(=O)CCC(=O)Nc1ccn(C)n1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000028803019 1073095802 /nfs/dbraw/zinc/09/58/02/1073095802.db2.gz NEACDMFZTAEADO-UHFFFAOYSA-N 0 0 435.485 -0.093 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)cc1C(N)=O ZINC000028811902 1073095493 /nfs/dbraw/zinc/09/54/93/1073095493.db2.gz VEJQAYPMNRFXST-UHFFFAOYSA-N 0 0 430.490 -0.133 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)cc1C(N)=O ZINC000028811931 1073095510 /nfs/dbraw/zinc/09/55/10/1073095510.db2.gz NWQBLJDFNLWYSP-UHFFFAOYSA-N 0 0 436.519 -0.300 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)cc1C(N)=O ZINC000028812996 1073095777 /nfs/dbraw/zinc/09/57/77/1073095777.db2.gz VIXCRQGFMFVDBE-UHFFFAOYSA-N 0 0 441.554 -0.637 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)Cn2c(=O)[nH]c3ccccc3c2=O)c1 ZINC000028838738 1073113105 /nfs/dbraw/zinc/11/31/05/1073113105.db2.gz GGRGKZLQGWFGFR-UHFFFAOYSA-N 0 0 445.457 -0.599 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NC1CCCCC1 ZINC000028845991 1074474068 /nfs/dbraw/zinc/47/40/68/1074474068.db2.gz OHKAEKQIIPMAPY-LLVKDONJSA-N 0 0 434.453 -0.476 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NC1CCCCC1 ZINC000028845992 1074474056 /nfs/dbraw/zinc/47/40/56/1074474056.db2.gz OHKAEKQIIPMAPY-NSHDSACASA-N 0 0 434.453 -0.476 20 0 IBADRN COc1ccccc1C(=O)NCC(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000028853522 1074473954 /nfs/dbraw/zinc/47/39/54/1074473954.db2.gz CQNDJKIAXJCUIT-UHFFFAOYSA-N 0 0 446.460 -0.050 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000028878500 1072225836 /nfs/dbraw/zinc/22/58/36/1072225836.db2.gz KUXCKDWTSXEXBP-UHFFFAOYSA-N 0 0 442.538 -0.025 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000028879884 1072225869 /nfs/dbraw/zinc/22/58/69/1072225869.db2.gz XLDXHFRKVWMLKN-XBHMSOGKSA-N 0 0 448.501 -0.090 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000028879899 1072225669 /nfs/dbraw/zinc/22/56/69/1072225669.db2.gz XLDXHFRKVWMLKN-WAWZGNHOSA-N 0 0 448.501 -0.090 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1)NC1CC1 ZINC000028880702 1072604620 /nfs/dbraw/zinc/60/46/20/1072604620.db2.gz NRDHKTMAQWHOCW-UHFFFAOYSA-N 0 0 426.495 -0.663 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1OC ZINC000028895653 1072606312 /nfs/dbraw/zinc/60/63/12/1072606312.db2.gz NYHXINDGWJZMOF-AWEZNQCLSA-N 0 0 426.495 -0.240 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(S(=O)(=O)N(C)C)CC3)C2=O)c(OC)c1 ZINC000028895703 1072606410 /nfs/dbraw/zinc/60/64/10/1072606410.db2.gz KZUVOOUUJKPOKR-HNNXBMFYSA-N 0 0 426.495 -0.240 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C(C)=O)CC3)CC2)c1 ZINC000028904121 1073095469 /nfs/dbraw/zinc/09/54/69/1073095469.db2.gz GQXBNJQHPAMPOA-UHFFFAOYSA-N 0 0 436.534 -0.114 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCSc1nnc(C3CC3)n1CCC(N)=O)c(=O)n2C ZINC000028908763 1073244272 /nfs/dbraw/zinc/24/42/72/1073244272.db2.gz LMRSPSLCFAVDDG-UHFFFAOYSA-N 0 0 432.510 -0.040 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(c3ncccn3)CC2)CC1 ZINC000028927948 1073302291 /nfs/dbraw/zinc/30/22/91/1073302291.db2.gz MPOAVAQJBXEBPL-UHFFFAOYSA-N 0 0 449.537 -0.263 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(S(=O)(=O)c3ccccc3)CC2)c(=O)[nH]c1=O ZINC000028928491 1073095663 /nfs/dbraw/zinc/09/56/63/1073095663.db2.gz DDOTWBXTPPQZTE-UHFFFAOYSA-N 0 0 449.533 -0.036 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000028929394 1072281169 /nfs/dbraw/zinc/28/11/69/1072281169.db2.gz QWZFVUTZDYEVTA-BBEJJTJUSA-N 0 0 434.474 -0.480 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000028929402 1072281068 /nfs/dbraw/zinc/28/10/68/1072281068.db2.gz QWZFVUTZDYEVTA-BBEGDGIKSA-N 0 0 434.474 -0.480 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000028932768 1074474540 /nfs/dbraw/zinc/47/45/40/1074474540.db2.gz JGHMLOQVZLSOBW-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN CCN(CC(=O)Nc1ccc(S(C)(=O)=O)cc1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000028933225 1073095396 /nfs/dbraw/zinc/09/53/96/1073095396.db2.gz OOULBOTWTYCHKG-CQSZACIVSA-N 0 0 431.536 -0.346 20 0 IBADRN CCN(CC(=O)Nc1ccc(S(C)(=O)=O)cc1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000028933228 1073095624 /nfs/dbraw/zinc/09/56/24/1073095624.db2.gz OOULBOTWTYCHKG-AWEZNQCLSA-N 0 0 431.536 -0.346 20 0 IBADRN Cn1c(N)c(C(=O)CSc2nnc(-c3cccs3)n2CCC(N)=O)c(=O)n(C)c1=O ZINC000028947549 1073244791 /nfs/dbraw/zinc/24/47/91/1073244791.db2.gz WBCUKTPYGBJTQS-UHFFFAOYSA-N 0 0 449.518 -0.163 20 0 IBADRN O=C(CN1CCC(O)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000028967896 1073095597 /nfs/dbraw/zinc/09/55/97/1073095597.db2.gz INVWHYZNXJLQMS-UHFFFAOYSA-N 0 0 425.507 -0.253 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NCCCN1CCN(c2ncccn2)CC1 ZINC000028971638 1073095566 /nfs/dbraw/zinc/09/55/66/1073095566.db2.gz BNWYMWWBQYHFEC-UHFFFAOYSA-N 0 0 433.538 -0.132 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCN(S(=O)(=O)N(C)C)CC1 ZINC000028974541 1072614229 /nfs/dbraw/zinc/61/42/29/1072614229.db2.gz NRHTZIVBFWOLQL-UHFFFAOYSA-N 0 0 431.584 -0.363 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCN(S(=O)(=O)N(C)C)CC2)c(OC)c1 ZINC000028974987 1073244889 /nfs/dbraw/zinc/24/48/89/1073244889.db2.gz GKMYLHHLFTZMJU-HNNXBMFYSA-N 0 0 431.511 -0.355 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCN(S(=O)(=O)N(C)C)CC2)c(OC)c1 ZINC000028974992 1073127874 /nfs/dbraw/zinc/12/78/74/1073127874.db2.gz GKMYLHHLFTZMJU-OAHLLOKOSA-N 0 0 431.511 -0.355 20 0 IBADRN O=C(NNC=C1C(=O)NC(=S)NC1=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000028978211 1072291500 /nfs/dbraw/zinc/29/15/00/1072291500.db2.gz FCYFNYMQZNEZQL-UHFFFAOYSA-N 0 0 439.475 -0.803 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OCC(=O)NN2CC(=O)NC2=O)c([N+](=O)[O-])c1 ZINC000029018354 1072301302 /nfs/dbraw/zinc/30/13/02/1072301302.db2.gz XPYDPKBMXCEPOO-UHFFFAOYSA-N 0 0 429.411 -0.413 20 0 IBADRN O=C(CCCCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NN1CC(=O)NC1=O ZINC000029019612 1074474583 /nfs/dbraw/zinc/47/45/83/1074474583.db2.gz JHDURAVQCBGISW-UHFFFAOYSA-N 0 0 426.451 -0.121 20 0 IBADRN O=C(NN1CC(=O)NC1=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000029023420 1072307572 /nfs/dbraw/zinc/30/75/72/1072307572.db2.gz WEMJCJPFNCXDKR-UHFFFAOYSA-N 0 0 438.462 -0.168 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NN3CC(=O)NC3=O)CC2=O)cc1 ZINC000029023520 1074474573 /nfs/dbraw/zinc/47/45/73/1074474573.db2.gz JPYBYXHZJHIJHW-LBPRGKRZSA-N 0 0 437.478 -0.347 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NN3CC(=O)NC3=O)CC2=O)cc1 ZINC000029023523 1074474480 /nfs/dbraw/zinc/47/44/80/1074474480.db2.gz JPYBYXHZJHIJHW-GFCCVEGCSA-N 0 0 437.478 -0.347 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)CC1 ZINC000029023946 1073095414 /nfs/dbraw/zinc/09/54/14/1073095414.db2.gz KMOUJNKYMUBFQY-UHFFFAOYSA-N 0 0 447.473 -0.172 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCCN(CC(=O)N4CCCC4)CC3)cnc2n(C)c1=O ZINC000029026055 1073095525 /nfs/dbraw/zinc/09/55/25/1073095525.db2.gz MTNQAZNFFIWJCK-UHFFFAOYSA-N 0 0 428.493 -0.598 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000029028196 1073095693 /nfs/dbraw/zinc/09/56/93/1073095693.db2.gz MLSZZKSHMBVQCA-UHFFFAOYSA-N 0 0 430.509 -0.352 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)c1 ZINC000029051889 1073095380 /nfs/dbraw/zinc/09/53/80/1073095380.db2.gz XBEJSUNJJXAJHE-UHFFFAOYSA-N 0 0 438.487 -0.293 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(CCOc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000029054141 1073096194 /nfs/dbraw/zinc/09/61/94/1073096194.db2.gz XZHFNUXSUPSXTO-UHFFFAOYSA-N 0 0 431.493 -0.356 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(Cc3cc(F)ccc3OC)CC2)c(=O)[nH]c1=O ZINC000029056710 1070960555 /nfs/dbraw/zinc/96/05/55/1070960555.db2.gz VCHAHTPGCZIXAC-UHFFFAOYSA-N 0 0 449.483 -0.087 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)[C@@H](C)c2ccc(S(N)(=O)=O)cc2)c(=O)[nH]c1=O ZINC000029062388 1073302790 /nfs/dbraw/zinc/30/27/90/1073302790.db2.gz BKTKDCGFIAAZLU-NSHDSACASA-N 0 0 439.494 -0.712 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)[C@H](C)c2ccc(S(N)(=O)=O)cc2)c(=O)[nH]c1=O ZINC000029062393 1073302826 /nfs/dbraw/zinc/30/28/26/1073302826.db2.gz BKTKDCGFIAAZLU-LLVKDONJSA-N 0 0 439.494 -0.712 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(CC(=O)N(C)C)CC3)C2=O)cc1 ZINC000029064102 1074474527 /nfs/dbraw/zinc/47/45/27/1074474527.db2.gz OCONZGBHDMTMSR-OAQYLSRUSA-N 0 0 446.508 -0.801 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(CC(=O)N(C)C)CC3)C2=O)cc1 ZINC000029064108 1074474550 /nfs/dbraw/zinc/47/45/50/1074474550.db2.gz OCONZGBHDMTMSR-NRFANRHFSA-N 0 0 446.508 -0.801 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(Cc3ccc(OC)c(F)c3)CC2)c(=O)[nH]c1=O ZINC000029067101 1073302878 /nfs/dbraw/zinc/30/28/78/1073302878.db2.gz MECHDHGDQWYPNC-UHFFFAOYSA-N 0 0 449.483 -0.087 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC000029067502 1073096160 /nfs/dbraw/zinc/09/61/60/1073096160.db2.gz YOQVCEXHWFVYBR-UHFFFAOYSA-N 0 0 429.521 -0.006 20 0 IBADRN CN(C)C(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000029068688 1073095953 /nfs/dbraw/zinc/09/59/53/1073095953.db2.gz MKTIDBLNVHAHIZ-UHFFFAOYSA-N 0 0 440.522 -0.689 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(=O)NC3CC3)CC2)c1 ZINC000029071077 1073096183 /nfs/dbraw/zinc/09/61/83/1073096183.db2.gz AUNKQQPILSWCBS-UHFFFAOYSA-N 0 0 436.534 -0.068 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H](c3ccccc3)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000029085379 1073096110 /nfs/dbraw/zinc/09/61/10/1073096110.db2.gz HFDYVFPCMVOFGU-MRXNPFEDSA-N 0 0 426.477 -0.377 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H](c3ccccc3)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000029085384 1073096217 /nfs/dbraw/zinc/09/62/17/1073096217.db2.gz HFDYVFPCMVOFGU-INIZCTEOSA-N 0 0 426.477 -0.377 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000029086691 1073302545 /nfs/dbraw/zinc/30/25/45/1073302545.db2.gz WPGBMBNYRBQTSI-IIBYNOLFSA-N 0 0 435.525 -0.510 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000029086696 1073302394 /nfs/dbraw/zinc/30/23/94/1073302394.db2.gz WPGBMBNYRBQTSI-HRAATJIYSA-N 0 0 435.525 -0.510 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000029086700 1073302527 /nfs/dbraw/zinc/30/25/27/1073302527.db2.gz WPGBMBNYRBQTSI-IERDGZPVSA-N 0 0 435.525 -0.510 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000029086704 1073302242 /nfs/dbraw/zinc/30/22/42/1073302242.db2.gz WPGBMBNYRBQTSI-KKSFZXQISA-N 0 0 435.525 -0.510 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)no1 ZINC000029086839 1073095979 /nfs/dbraw/zinc/09/59/79/1073095979.db2.gz XDQDJHXQJWPQHS-UHFFFAOYSA-N 0 0 425.511 -0.342 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2[nH]c(=O)c1=O ZINC000029087053 1073096104 /nfs/dbraw/zinc/09/61/04/1073096104.db2.gz OCJSHIFBJMLWHG-UHFFFAOYSA-N 0 0 429.477 -0.674 20 0 IBADRN O=C(CCC(=O)N1CC(=O)Nc2ccccc21)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000029087788 1073302504 /nfs/dbraw/zinc/30/25/04/1073302504.db2.gz CNFATQBZYUIIBX-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000029087845 1073096081 /nfs/dbraw/zinc/09/60/81/1073096081.db2.gz VOSLVWLZIILHGL-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000029088048 1073095966 /nfs/dbraw/zinc/09/59/66/1073095966.db2.gz IWRQCXGXEAQJNQ-UHFFFAOYSA-N 0 0 428.489 -0.048 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc21 ZINC000029088068 1073095927 /nfs/dbraw/zinc/09/59/27/1073095927.db2.gz DTQLPDOAMSCMND-UHFFFAOYSA-N 0 0 436.534 -0.375 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000029088125 1074474515 /nfs/dbraw/zinc/47/45/15/1074474515.db2.gz GDSHLSZFSYDUQC-UHFFFAOYSA-N 0 0 440.522 -0.515 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000029088257 1073302430 /nfs/dbraw/zinc/30/24/30/1073302430.db2.gz MXYGFOFDAZVOSE-UHFFFAOYSA-N 0 0 434.493 -0.563 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)o1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000029088325 1073302417 /nfs/dbraw/zinc/30/24/17/1073302417.db2.gz ONNRCQANVGJNAO-UHFFFAOYSA-N 0 0 443.298 -0.225 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000029088329 1073302221 /nfs/dbraw/zinc/30/22/21/1073302221.db2.gz IKUFGAGNNBTLTB-UHFFFAOYSA-N 0 0 434.493 -0.563 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1=O ZINC000029088738 1074474500 /nfs/dbraw/zinc/47/45/00/1074474500.db2.gz AHARMUBZWGEEDT-UHFFFAOYSA-N 0 0 443.504 -0.814 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000029088808 1073302404 /nfs/dbraw/zinc/30/24/04/1073302404.db2.gz YJLMJVIYEKNTJJ-UHFFFAOYSA-N 0 0 438.550 -0.605 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000029088832 1072559310 /nfs/dbraw/zinc/55/93/10/1072559310.db2.gz HFLIAQWEXJMHSH-UHFFFAOYSA-N 0 0 444.579 -0.544 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000029088848 1073302497 /nfs/dbraw/zinc/30/24/97/1073302497.db2.gz ALAPBYHIVKWEEA-UHFFFAOYSA-N 0 0 429.477 -0.917 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1OC ZINC000029088944 1072559628 /nfs/dbraw/zinc/55/96/28/1072559628.db2.gz RTDVAPFXTYIKJY-UHFFFAOYSA-N 0 0 440.522 -0.780 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000029088968 1073096132 /nfs/dbraw/zinc/09/61/32/1073096132.db2.gz CFGQTUUJIQQNRE-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN C#CCNS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000029089053 1072323582 /nfs/dbraw/zinc/32/35/82/1072323582.db2.gz ZYYDEUHPAZMTQI-UHFFFAOYSA-N 0 0 434.518 -0.785 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000029089109 1072323750 /nfs/dbraw/zinc/32/37/50/1072323750.db2.gz AKFJKKGKWNLWEI-UHFFFAOYSA-N 0 0 444.941 -0.135 20 0 IBADRN C#CCNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000029089241 1073113906 /nfs/dbraw/zinc/11/39/06/1073113906.db2.gz UEKQUNDVGNWXGJ-UHFFFAOYSA-N 0 0 434.518 -0.785 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000029089424 1073096008 /nfs/dbraw/zinc/09/60/08/1073096008.db2.gz FRAOIKPSERVLRK-UHFFFAOYSA-N 0 0 436.534 -0.157 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(NC(=O)c3ccc(F)cc3)CC1)c(=O)n2C ZINC000029094388 1073096095 /nfs/dbraw/zinc/09/60/95/1073096095.db2.gz IUMBWYUMPIMNAR-UHFFFAOYSA-N 0 0 442.451 -0.006 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3cccc(F)c3)CC1)c(=O)n2C ZINC000029101192 1073095995 /nfs/dbraw/zinc/09/59/95/1073095995.db2.gz TVTXJLHGUDLZKI-UHFFFAOYSA-N 0 0 428.424 -0.443 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)c1 ZINC000029110539 1072628162 /nfs/dbraw/zinc/62/81/62/1072628162.db2.gz AFNYMDSWYGQFKB-UHFFFAOYSA-N 0 0 440.460 -0.573 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)c1 ZINC000029111270 1072628467 /nfs/dbraw/zinc/62/84/67/1072628467.db2.gz BUBFXMHLYOKLAI-UHFFFAOYSA-N 0 0 440.460 -0.573 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)CC(=O)NC(C)(C)C)CC1 ZINC000029115203 1073302962 /nfs/dbraw/zinc/30/29/62/1073302962.db2.gz BPBDBBTZFUAZTN-UHFFFAOYSA-N 0 0 429.543 -0.029 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(C(=O)NCc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000029116240 1073096143 /nfs/dbraw/zinc/09/61/43/1073096143.db2.gz BSHJHHNOFKUUPA-UHFFFAOYSA-N 0 0 443.504 -0.024 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cc1 ZINC000029118028 1072629716 /nfs/dbraw/zinc/62/97/16/1072629716.db2.gz DABVSRVHGQBLCB-UHFFFAOYSA-N 0 0 440.460 -0.573 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)cc1 ZINC000029118835 1072629730 /nfs/dbraw/zinc/62/97/30/1072629730.db2.gz DFNWHACTVUJJEQ-UHFFFAOYSA-N 0 0 440.460 -0.573 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)Cc2ccccc2N2CCOCC2)c(=O)[nH]c1=O ZINC000029119778 1073096046 /nfs/dbraw/zinc/09/60/46/1073096046.db2.gz HOEWOCDJMHFAIT-UHFFFAOYSA-N 0 0 431.493 -0.084 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cccc(Br)c2)CC1)N1CCNC(=O)C1 ZINC000029121844 1073384167 /nfs/dbraw/zinc/38/41/67/1073384167.db2.gz XGBNQNNXKFYFTI-UHFFFAOYSA-N 0 0 445.339 -0.286 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(c3cc(C)nc(C(C)C)n3)CC2)c(=O)[nH]c1=O ZINC000029144222 1073096020 /nfs/dbraw/zinc/09/60/20/1073096020.db2.gz ITTNGNBRMONKQL-UHFFFAOYSA-N 0 0 445.524 -0.008 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCCCC3)CC2)c(=O)[nH]c1=O ZINC000029148011 1073096172 /nfs/dbraw/zinc/09/61/72/1073096172.db2.gz VVKITNQQUJLNJL-UHFFFAOYSA-N 0 0 448.568 -0.022 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000029149335 1073096124 /nfs/dbraw/zinc/09/61/24/1073096124.db2.gz QXBGSMARIFMQAS-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCCC3)CC2)c(=O)[nH]c1=O ZINC000029149739 1073096548 /nfs/dbraw/zinc/09/65/48/1073096548.db2.gz FKXKGEBQHKAWGO-UHFFFAOYSA-N 0 0 434.541 -0.412 20 0 IBADRN CCN(C(=O)CN1CCC(C(=O)NC)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000029162986 1073096361 /nfs/dbraw/zinc/09/63/61/1073096361.db2.gz TZRDMPMSEKTVMP-UHFFFAOYSA-N 0 0 442.520 -0.022 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)cc1 ZINC000029164379 1072633025 /nfs/dbraw/zinc/63/30/25/1072633025.db2.gz RWVPKNKXANIYAA-UHFFFAOYSA-N 0 0 438.488 -0.019 20 0 IBADRN CNC(=O)C1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000029164712 1073096331 /nfs/dbraw/zinc/09/63/31/1073096331.db2.gz AGBSRMFNGOPEJK-UHFFFAOYSA-N 0 0 438.550 -0.218 20 0 IBADRN NC(=O)c1cccc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)c1 ZINC000029171261 1073096435 /nfs/dbraw/zinc/09/64/35/1073096435.db2.gz VJMRXUSMQRDTKS-UHFFFAOYSA-N 0 0 438.531 -0.061 20 0 IBADRN CC(C)CN1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000029174151 1072522985 /nfs/dbraw/zinc/52/29/85/1072522985.db2.gz LTVZITBTTPVQDV-GASCZTMLSA-N 0 0 445.542 -0.638 20 0 IBADRN CC(C)CN1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000029174154 1072523032 /nfs/dbraw/zinc/52/30/32/1072523032.db2.gz LTVZITBTTPVQDV-GJZGRUSLSA-N 0 0 445.542 -0.638 20 0 IBADRN CC(C)CN1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1=O ZINC000029174157 1072523049 /nfs/dbraw/zinc/52/30/49/1072523049.db2.gz LTVZITBTTPVQDV-HUUCEWRRSA-N 0 0 445.542 -0.638 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(CN3C(=O)CNC3=O)cc1)c(=O)n2C ZINC000029175316 1072650940 /nfs/dbraw/zinc/65/09/40/1072650940.db2.gz ZEFHGGFIBBXZML-UHFFFAOYSA-N 0 0 425.405 -0.876 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000029175627 1073096530 /nfs/dbraw/zinc/09/65/30/1073096530.db2.gz ROKOFLMGMOZBHL-UHFFFAOYSA-N 0 0 436.222 -0.010 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2O1 ZINC000029189058 1073096514 /nfs/dbraw/zinc/09/65/14/1073096514.db2.gz IZPNDBKWQQJXRX-QAPCUYQASA-N 0 0 436.534 -0.669 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2O1 ZINC000029189060 1073096637 /nfs/dbraw/zinc/09/66/37/1073096637.db2.gz IZPNDBKWQQJXRX-CRAIPNDOSA-N 0 0 436.534 -0.669 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000029189902 1072698689 /nfs/dbraw/zinc/69/86/89/1072698689.db2.gz IALGVVHOTMBWIY-SWLSCSKDSA-N 0 0 446.551 -0.148 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000029189904 1072698882 /nfs/dbraw/zinc/69/88/82/1072698882.db2.gz IALGVVHOTMBWIY-WFASDCNBSA-N 0 0 446.551 -0.148 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000029190274 1072698767 /nfs/dbraw/zinc/69/87/67/1072698767.db2.gz IEUJQLQTPKPENC-OAHLLOKOSA-N 0 0 446.551 -0.194 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000029190276 1072698910 /nfs/dbraw/zinc/69/89/10/1072698910.db2.gz IEUJQLQTPKPENC-HNNXBMFYSA-N 0 0 446.551 -0.194 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000029191720 1072705092 /nfs/dbraw/zinc/70/50/92/1072705092.db2.gz HPTYHKHVXQOKEZ-CYBMUJFWSA-N 0 0 446.551 -0.676 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000029191721 1072704993 /nfs/dbraw/zinc/70/49/93/1072704993.db2.gz HPTYHKHVXQOKEZ-ZDUSSCGKSA-N 0 0 446.551 -0.676 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000029193842 1072750823 /nfs/dbraw/zinc/75/08/23/1072750823.db2.gz MMVQEFVTKRBRJY-CQSZACIVSA-N 0 0 448.567 -0.581 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000029193845 1072750878 /nfs/dbraw/zinc/75/08/78/1072750878.db2.gz MMVQEFVTKRBRJY-AWEZNQCLSA-N 0 0 448.567 -0.581 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)CC1 ZINC000029194505 1072750718 /nfs/dbraw/zinc/75/07/18/1072750718.db2.gz BXESNUXSTURUHT-OAHLLOKOSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)CC1 ZINC000029194508 1072750810 /nfs/dbraw/zinc/75/08/10/1072750810.db2.gz BXESNUXSTURUHT-HNNXBMFYSA-N 0 0 447.583 -0.033 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000029194915 1072750737 /nfs/dbraw/zinc/75/07/37/1072750737.db2.gz JMEJNXGRSQEFSM-CYBMUJFWSA-N 0 0 434.540 -0.800 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000029194917 1072751484 /nfs/dbraw/zinc/75/14/84/1072751484.db2.gz JMEJNXGRSQEFSM-ZDUSSCGKSA-N 0 0 434.540 -0.800 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000029195392 1072751443 /nfs/dbraw/zinc/75/14/43/1072751443.db2.gz BMJDWXZBQYYWNH-GFCCVEGCSA-N 0 0 437.565 -0.077 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000029195395 1072751354 /nfs/dbraw/zinc/75/13/54/1072751354.db2.gz BMJDWXZBQYYWNH-LBPRGKRZSA-N 0 0 437.565 -0.077 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000029202915 1073114731 /nfs/dbraw/zinc/11/47/31/1073114731.db2.gz KRUIDMLKGYYHJY-CQSZACIVSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000029202919 1072775845 /nfs/dbraw/zinc/77/58/45/1072775845.db2.gz KRUIDMLKGYYHJY-AWEZNQCLSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000029206641 1072784052 /nfs/dbraw/zinc/78/40/52/1072784052.db2.gz BWIQCDNZRYLXJH-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000029206645 1072784114 /nfs/dbraw/zinc/78/41/14/1072784114.db2.gz BWIQCDNZRYLXJH-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000029207487 1072784649 /nfs/dbraw/zinc/78/46/49/1072784649.db2.gz MNDYOVWZVHHBKW-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000029207491 1072784630 /nfs/dbraw/zinc/78/46/30/1072784630.db2.gz MNDYOVWZVHHBKW-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000029209363 1073246198 /nfs/dbraw/zinc/24/61/98/1073246198.db2.gz HPRRDUVWORTING-MRXNPFEDSA-N 0 0 431.536 -0.252 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000029209368 1073246264 /nfs/dbraw/zinc/24/62/64/1073246264.db2.gz HPRRDUVWORTING-INIZCTEOSA-N 0 0 431.536 -0.252 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000029219805 1073096667 /nfs/dbraw/zinc/09/66/67/1073096667.db2.gz GNBZDEKGPNUEBH-UHFFFAOYSA-N 0 0 441.554 -0.244 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)c(=O)n(C)c1=O ZINC000029229266 1073114595 /nfs/dbraw/zinc/11/45/95/1073114595.db2.gz XQVGHKWVWFZZOX-GQCTYLIASA-N 0 0 426.429 -0.190 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000029262620 1072824243 /nfs/dbraw/zinc/82/42/43/1072824243.db2.gz MSKKIFODHMCVSK-UHFFFAOYSA-N 0 0 428.449 -0.275 20 0 IBADRN Nc1n[nH]c(SCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)n1 ZINC000029273211 1073302960 /nfs/dbraw/zinc/30/29/60/1073302960.db2.gz FFVSWRMRUAVLIW-UHFFFAOYSA-N 0 0 440.507 -0.217 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)N(CCC(N)=O)Cc3ccccc3)c2c(=O)n(C)c1=O ZINC000029273917 1074475106 /nfs/dbraw/zinc/47/51/06/1074475106.db2.gz XUCMDZDCFJHZMC-UHFFFAOYSA-N 0 0 432.868 -0.009 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCCN3CCN(c4ncccn4)CC3)cnc2n(C)c1=O ZINC000029278748 1073096463 /nfs/dbraw/zinc/09/64/63/1073096463.db2.gz WAUSPWJDQQKTPS-UHFFFAOYSA-N 0 0 438.492 -0.636 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4ccc(F)cc4)CC3)c2c(=O)n(C)c1=O ZINC000029316776 1073096387 /nfs/dbraw/zinc/09/63/87/1073096387.db2.gz VQZGQJNQWRWIEX-UHFFFAOYSA-N 0 0 428.424 -0.443 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3ccc(F)cc3)CC1)c(=O)n2C ZINC000029318551 1070806139 /nfs/dbraw/zinc/80/61/39/1070806139.db2.gz HGAWDSJLBIHRIU-UHFFFAOYSA-N 0 0 428.424 -0.443 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4ccc(F)cc4)CC3)c2c(=O)n(C)c1=O ZINC000029320199 1073096588 /nfs/dbraw/zinc/09/65/88/1073096588.db2.gz PFPZMTXQIQEHNY-UHFFFAOYSA-N 0 0 442.451 -0.053 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000029334857 1073096574 /nfs/dbraw/zinc/09/65/74/1073096574.db2.gz BYFFBYIIFJPYRI-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)cc1 ZINC000029335662 1072608130 /nfs/dbraw/zinc/60/81/30/1072608130.db2.gz ZEMPBLYVKXWRLB-UHFFFAOYSA-N 0 0 444.477 -0.107 20 0 IBADRN COCCn1c(N)c(C(=O)CSc2nnnn2-c2ccccc2OC)c(=O)[nH]c1=O ZINC000029347843 1073302945 /nfs/dbraw/zinc/30/29/45/1073302945.db2.gz OJNNNFOKJDTYKC-UHFFFAOYSA-N 0 0 433.450 -0.276 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000029362982 1073096423 /nfs/dbraw/zinc/09/64/23/1073096423.db2.gz QIEBNEOUYYQILG-OAHLLOKOSA-N 0 0 442.520 -0.209 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000029362983 1073096450 /nfs/dbraw/zinc/09/64/50/1073096450.db2.gz QIEBNEOUYYQILG-HNNXBMFYSA-N 0 0 442.520 -0.209 20 0 IBADRN COc1ccc(C(=O)NCCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000029368725 1073114746 /nfs/dbraw/zinc/11/47/46/1073114746.db2.gz IVCBJHACSICHHS-UHFFFAOYSA-N 0 0 435.524 -0.615 20 0 IBADRN O=C(C1=NN(c2ccccc2)C(=O)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029384160 1073651980 /nfs/dbraw/zinc/65/19/80/1073651980.db2.gz ZINZZGVHFIHXRH-UHFFFAOYSA-N 0 0 435.506 -0.109 20 0 IBADRN O=C(c1cccc(N2C(=O)CCC2=O)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029384185 1070810919 /nfs/dbraw/zinc/81/09/19/1070810919.db2.gz ZLUHJUGFTBGMBG-UHFFFAOYSA-N 0 0 436.490 -0.325 20 0 IBADRN O=C(CCc1nc2ccccc2c(=O)[nH]1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029384200 1070810825 /nfs/dbraw/zinc/81/08/25/1070810825.db2.gz DYCJBKOJYWZIPM-UHFFFAOYSA-N 0 0 435.506 -0.423 20 0 IBADRN C[C@@H](NC(=O)COc1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029384357 1073096562 /nfs/dbraw/zinc/09/65/62/1073096562.db2.gz RQJFLQVQCWPKAP-MRXNPFEDSA-N 0 0 440.522 -0.709 20 0 IBADRN C[C@H](NC(=O)COc1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029384360 1073096405 /nfs/dbraw/zinc/09/64/05/1073096405.db2.gz RQJFLQVQCWPKAP-INIZCTEOSA-N 0 0 440.522 -0.709 20 0 IBADRN CS(=O)(=O)Nc1cccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029384437 1073652061 /nfs/dbraw/zinc/65/20/61/1073652061.db2.gz OFFGZGNVFHQPRF-UHFFFAOYSA-N 0 0 432.524 -0.607 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029384815 1070811114 /nfs/dbraw/zinc/81/11/14/1070811114.db2.gz DPMYRQUEVSSODD-HNNXBMFYSA-N 0 0 446.551 -0.925 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029385183 1073096653 /nfs/dbraw/zinc/09/66/53/1073096653.db2.gz OKSKPGYBKRVAID-UHFFFAOYSA-N 0 0 431.536 -0.267 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029385261 1073096604 /nfs/dbraw/zinc/09/66/04/1073096604.db2.gz AQWHDVPDKKYFNR-UHFFFAOYSA-N 0 0 446.551 -0.680 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029385285 1070810758 /nfs/dbraw/zinc/81/07/58/1070810758.db2.gz NNOQHAXKXLGYSF-UHFFFAOYSA-N 0 0 446.551 -0.680 20 0 IBADRN O=C([C@H]1CC(=O)N(c2ccccc2F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029385339 1073097013 /nfs/dbraw/zinc/09/70/13/1073097013.db2.gz ZGYAQVRZHZCHPG-HNNXBMFYSA-N 0 0 440.497 -0.100 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029385342 1073096926 /nfs/dbraw/zinc/09/69/26/1073096926.db2.gz ZGYAQVRZHZCHPG-OAHLLOKOSA-N 0 0 440.497 -0.100 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029385402 1073096911 /nfs/dbraw/zinc/09/69/11/1073096911.db2.gz IAQSYPGNVKEBPU-UHFFFAOYSA-N 0 0 437.526 -0.605 20 0 IBADRN O=C(CCCNC(=O)c1ccsc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029385505 1073096987 /nfs/dbraw/zinc/09/69/87/1073096987.db2.gz JQAWNDYHCNKTEC-UHFFFAOYSA-N 0 0 430.552 -0.021 20 0 IBADRN Cn1nc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c2ccccc2c1=O ZINC000029385527 1073096945 /nfs/dbraw/zinc/09/69/45/1073096945.db2.gz CJDLAMSKXVIALE-UHFFFAOYSA-N 0 0 435.506 -0.803 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc2c1OCCO2 ZINC000029385599 1073096963 /nfs/dbraw/zinc/09/69/63/1073096963.db2.gz XBKIGRJFKJZMJM-UHFFFAOYSA-N 0 0 427.479 -0.199 20 0 IBADRN O=C(CN1c2ccccc2OCCC1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029385760 1073652028 /nfs/dbraw/zinc/65/20/28/1073652028.db2.gz DAEVGNYCKIEYHC-UHFFFAOYSA-N 0 0 438.506 -0.477 20 0 IBADRN O=C(Cn1cnc2sccc2c1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029385781 1070810570 /nfs/dbraw/zinc/81/05/70/1070810570.db2.gz LUWLDPHVYOQKDL-UHFFFAOYSA-N 0 0 427.508 -0.821 20 0 IBADRN CN(C)c1ccc([N+](=O)[O-])cc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029385867 1073652173 /nfs/dbraw/zinc/65/21/73/1073652173.db2.gz DKVLLDFXFCXABB-UHFFFAOYSA-N 0 0 427.483 -0.004 20 0 IBADRN O=C(c1ccc2c(c1)nc1n(c2=O)CCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029386118 1070812025 /nfs/dbraw/zinc/81/20/25/1070812025.db2.gz ABCXDBBISNHMPB-UHFFFAOYSA-N 0 0 447.517 -0.323 20 0 IBADRN CCS(=O)(=O)c1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029386298 1073096919 /nfs/dbraw/zinc/09/69/19/1073096919.db2.gz QFDNHEGEJJKHTF-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN NC(=O)CSc1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029386364 1073096847 /nfs/dbraw/zinc/09/68/47/1073096847.db2.gz UTRRQJSJBWEOGK-UHFFFAOYSA-N 0 0 428.536 -0.401 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cnc12 ZINC000029386509 1073096827 /nfs/dbraw/zinc/09/68/27/1073096827.db2.gz VVXZLYKXEWGKCG-UHFFFAOYSA-N 0 0 435.506 -0.574 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cnc12 ZINC000029386537 1073096935 /nfs/dbraw/zinc/09/69/35/1073096935.db2.gz IFSMPZGPHVVABL-UHFFFAOYSA-N 0 0 449.533 -0.184 20 0 IBADRN O=C(CCCc1nc2ccccc2c(=O)[nH]1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029386554 1070811474 /nfs/dbraw/zinc/81/14/74/1070811474.db2.gz CWMZAWJCTBOSQD-UHFFFAOYSA-N 0 0 449.533 -0.033 20 0 IBADRN CSc1nc(C)c(CCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(=O)[nH]1 ZINC000029386783 1070811389 /nfs/dbraw/zinc/81/13/89/1070811389.db2.gz GAGJJMPKPVZGBT-UHFFFAOYSA-N 0 0 445.567 -0.134 20 0 IBADRN O=C(c1cccnc1N1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029386825 1073096871 /nfs/dbraw/zinc/09/68/71/1073096871.db2.gz LCQUXOZOMBOEOF-UHFFFAOYSA-N 0 0 425.511 -0.747 20 0 IBADRN O=C(NCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)NCc1ccc(F)cc1 ZINC000029386852 1073096904 /nfs/dbraw/zinc/09/69/04/1073096904.db2.gz POXPPWIFNGBTHW-UHFFFAOYSA-N 0 0 443.501 -0.654 20 0 IBADRN O=C(COc1ccc2c(c1)CCC(=O)N2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029387108 1073096890 /nfs/dbraw/zinc/09/68/90/1073096890.db2.gz KDZHQLGCDKXJFC-UHFFFAOYSA-N 0 0 438.506 -0.329 20 0 IBADRN O=C(CSc1nnnn1C1CCCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029387558 1070811506 /nfs/dbraw/zinc/81/15/06/1070811506.db2.gz AEUDHDOQWMUYFF-UHFFFAOYSA-N 0 0 445.571 -0.399 20 0 IBADRN Cc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1NS(C)(=O)=O ZINC000029387717 1073096975 /nfs/dbraw/zinc/09/69/75/1073096975.db2.gz RSESWBSFRUSSQI-UHFFFAOYSA-N 0 0 446.551 -0.299 20 0 IBADRN Cc1cc(NC(=O)CN2C(=O)C(=O)N(CC(C)C)C2=O)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000029412034 1073304441 /nfs/dbraw/zinc/30/44/41/1073304441.db2.gz FEBIMHAOTBKRJT-GFCCVEGCSA-N 0 0 425.467 -0.064 20 0 IBADRN Cc1cc(NC(=O)CN2C(=O)C(=O)N(CC(C)C)C2=O)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000029412037 1073304356 /nfs/dbraw/zinc/30/43/56/1073304356.db2.gz FEBIMHAOTBKRJT-LBPRGKRZSA-N 0 0 425.467 -0.064 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCNS(=O)(=O)Cc3ccccc3)c2c(=O)n(C)c1=O ZINC000029418898 1072623932 /nfs/dbraw/zinc/62/39/32/1072623932.db2.gz WTKVKKLJOJAIGA-UHFFFAOYSA-N 0 0 448.505 -0.940 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)N2CCOCC2)c1 ZINC000029419887 1073096881 /nfs/dbraw/zinc/09/68/81/1073096881.db2.gz VFKPGYQFORYCQJ-QGZVFWFLSA-N 0 0 440.504 -0.068 20 0 IBADRN Cc1cccc([C@H](CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)N2CCOCC2)c1 ZINC000029419888 1073097000 /nfs/dbraw/zinc/09/70/00/1073097000.db2.gz VFKPGYQFORYCQJ-KRWDZBQOSA-N 0 0 440.504 -0.068 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(=O)n(CC(=O)NN2C(=O)N[C@@](C)(c3ccccc3)C2=O)c1 ZINC000029422882 1074475078 /nfs/dbraw/zinc/47/50/78/1074475078.db2.gz JBVQDBNYWPZDMH-IBGZPJMESA-N 0 0 447.473 -0.403 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(=O)n(CC(=O)NN2C(=O)N[C@](C)(c3ccccc3)C2=O)c1 ZINC000029422883 1074475170 /nfs/dbraw/zinc/47/51/70/1074475170.db2.gz JBVQDBNYWPZDMH-LJQANCHMSA-N 0 0 447.473 -0.403 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000029448451 1072626377 /nfs/dbraw/zinc/62/63/77/1072626377.db2.gz KTNHJBYITKTDDE-HZPDHXFCSA-N 0 0 443.547 -0.742 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000029448457 1072626301 /nfs/dbraw/zinc/62/63/01/1072626301.db2.gz KTNHJBYITKTDDE-JKSUJKDBSA-N 0 0 443.547 -0.742 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000029448463 1072626221 /nfs/dbraw/zinc/62/62/21/1072626221.db2.gz KTNHJBYITKTDDE-CVEARBPZSA-N 0 0 443.547 -0.742 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000029448467 1072626181 /nfs/dbraw/zinc/62/61/81/1072626181.db2.gz KTNHJBYITKTDDE-HOTGVXAUSA-N 0 0 443.547 -0.742 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NNC(=O)COc1ccc(F)cc1 ZINC000029456284 1072627465 /nfs/dbraw/zinc/62/74/65/1072627465.db2.gz UQSIZTBCZJOZKV-UHFFFAOYSA-N 0 0 438.393 -0.393 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000029459970 1070811626 /nfs/dbraw/zinc/81/16/26/1070811626.db2.gz VFNKIFFNXKYJFP-UHFFFAOYSA-N 0 0 431.474 -0.389 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)cnc2n(C)c1=O ZINC000029463515 1070813111 /nfs/dbraw/zinc/81/31/11/1070813111.db2.gz FJSACAPPRMSLRX-UHFFFAOYSA-N 0 0 428.449 -0.383 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000029466116 1073096855 /nfs/dbraw/zinc/09/68/55/1073096855.db2.gz PFYSOHKZVALWLM-UHFFFAOYSA-N 0 0 435.448 -0.729 20 0 IBADRN CC(C)CCN(CC(=O)N1CCNC(=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000029466197 1073096898 /nfs/dbraw/zinc/09/68/98/1073096898.db2.gz CUVYALPRUQDRHE-UHFFFAOYSA-N 0 0 442.520 -0.022 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)CCN2C(=O)CCC2=O)c(=O)[nH]c1=O ZINC000029475307 1073096837 /nfs/dbraw/zinc/09/68/37/1073096837.db2.gz KCHMXQJGRLLCIE-UHFFFAOYSA-N 0 0 443.460 -0.163 20 0 IBADRN COCCN(C(=O)CCN1C(=O)CCC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000029477409 1073096953 /nfs/dbraw/zinc/09/69/53/1073096953.db2.gz APFSBBIVMAOOQH-UHFFFAOYSA-N 0 0 443.460 -0.314 20 0 IBADRN CCn1c(SCC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)nnc1N1CCOCC1 ZINC000029503516 1073308289 /nfs/dbraw/zinc/30/82/89/1073308289.db2.gz YHOHTTXYCLWOJZ-UHFFFAOYSA-N 0 0 439.498 -0.812 20 0 IBADRN CCNC(=O)CN1CCN(c2ccc([N+](=O)[O-])cc2S(=O)(=O)N2CCOCC2)CC1 ZINC000029529220 1073657532 /nfs/dbraw/zinc/65/75/32/1073657532.db2.gz ZMYLTBHPFPOUQQ-UHFFFAOYSA-N 0 0 441.510 -0.126 20 0 IBADRN O=S(=O)(c1ccc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)nc1)N1CCCC1 ZINC000029531229 1070846254 /nfs/dbraw/zinc/84/62/54/1070846254.db2.gz CSNFXPIFHQFEAR-UHFFFAOYSA-N 0 0 445.567 -0.435 20 0 IBADRN Cc1cc2c(c(F)c1)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C(=O)C2=O ZINC000029543185 1072711411 /nfs/dbraw/zinc/71/14/11/1072711411.db2.gz FVGRFOYGSBGHDD-UHFFFAOYSA-N 0 0 426.470 -0.184 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)NC4(CCCCC4)C3=O)CC2)CC1 ZINC000029545806 1072713058 /nfs/dbraw/zinc/71/30/58/1072713058.db2.gz NVCXONPXHLDRNH-UHFFFAOYSA-N 0 0 428.559 -0.692 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)NC4(CCCCCC4)C3=O)CC2)CC1 ZINC000029545852 1072713023 /nfs/dbraw/zinc/71/30/23/1072713023.db2.gz GOFQFYSUBXKPDA-UHFFFAOYSA-N 0 0 442.586 -0.302 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CN1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)C2=O ZINC000029545855 1072712770 /nfs/dbraw/zinc/71/27/70/1072712770.db2.gz HDMGOFDOFZEMAB-UHFFFAOYSA-N 0 0 442.586 -0.446 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)CC4(CCCCC4)C3=O)CC2)CC1 ZINC000029546024 1072712902 /nfs/dbraw/zinc/71/29/02/1072712902.db2.gz VAYGZWDPDFOCHY-UHFFFAOYSA-N 0 0 427.571 -0.237 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NNC(=O)Cn2nc3ccccn3c2=O)c1 ZINC000029555474 1073659765 /nfs/dbraw/zinc/65/97/65/1073659765.db2.gz VCGTUHNRFCCMJH-UHFFFAOYSA-N 0 0 446.489 -0.012 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)NNC(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000029556088 1073659430 /nfs/dbraw/zinc/65/94/30/1073659430.db2.gz LNYWCRJMMRNUHV-UHFFFAOYSA-N 0 0 444.473 -0.258 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1=O ZINC000029580381 1073660933 /nfs/dbraw/zinc/66/09/33/1073660933.db2.gz JDXOVXBRFGUBLN-YJYMSZOUSA-N 0 0 447.473 -0.350 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1=O ZINC000029580384 1073660835 /nfs/dbraw/zinc/66/08/35/1073660835.db2.gz JDXOVXBRFGUBLN-BFUOFWGJSA-N 0 0 447.473 -0.350 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1=O ZINC000029580388 1073660818 /nfs/dbraw/zinc/66/08/18/1073660818.db2.gz JDXOVXBRFGUBLN-DJJJIMSYSA-N 0 0 447.473 -0.350 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1=O ZINC000029580391 1073660830 /nfs/dbraw/zinc/66/08/30/1073660830.db2.gz JDXOVXBRFGUBLN-ORAYPTAESA-N 0 0 447.473 -0.350 20 0 IBADRN CC[C@H](c1nnc(SCC(=O)N2CCNC(=O)C2)n1CCN1CCOCC1)N(C)C ZINC000029594990 1073310253 /nfs/dbraw/zinc/31/02/53/1073310253.db2.gz UWCZQVHECPPQFJ-OAHLLOKOSA-N 0 0 439.586 -0.327 20 0 IBADRN CC[C@@H](c1nnc(SCC(=O)N2CCNC(=O)C2)n1CCN1CCOCC1)N(C)C ZINC000029594991 1073310281 /nfs/dbraw/zinc/31/02/81/1073310281.db2.gz UWCZQVHECPPQFJ-HNNXBMFYSA-N 0 0 439.586 -0.327 20 0 IBADRN CCN(C(=O)CN1CCN(CC(=O)Nc2cc(C)on2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000029596055 1074052678 /nfs/dbraw/zinc/05/26/78/1074052678.db2.gz WPJLEFJLIWJTGQ-OAHLLOKOSA-N 0 0 427.527 -0.425 20 0 IBADRN CCN(C(=O)CN1CCN(CC(=O)Nc2cc(C)on2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000029596056 1074052595 /nfs/dbraw/zinc/05/25/95/1074052595.db2.gz WPJLEFJLIWJTGQ-HNNXBMFYSA-N 0 0 427.527 -0.425 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000029629949 1074052638 /nfs/dbraw/zinc/05/26/38/1074052638.db2.gz NBPWIGPQGDWBQU-CYBMUJFWSA-N 0 0 433.556 -0.969 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000029629951 1074052632 /nfs/dbraw/zinc/05/26/32/1074052632.db2.gz NBPWIGPQGDWBQU-ZDUSSCGKSA-N 0 0 433.556 -0.969 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000029630182 1073097273 /nfs/dbraw/zinc/09/72/73/1073097273.db2.gz FCJXHGKEZZGMNT-LJQANCHMSA-N 0 0 434.562 -0.224 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000029630183 1073097257 /nfs/dbraw/zinc/09/72/57/1073097257.db2.gz FCJXHGKEZZGMNT-IBGZPJMESA-N 0 0 434.562 -0.224 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000029633105 1073097181 /nfs/dbraw/zinc/09/71/81/1073097181.db2.gz SJPGLNDKOYAORV-NSHDSACASA-N 0 0 430.508 -0.035 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000029633552 1073097414 /nfs/dbraw/zinc/09/74/14/1073097414.db2.gz QKASTRBAODRXRO-UHFFFAOYSA-N 0 0 428.559 -0.241 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000029633565 1073097143 /nfs/dbraw/zinc/09/71/43/1073097143.db2.gz XZUREHCAIPJRQE-KGLIPLIRSA-N 0 0 448.567 -0.105 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000029633568 1073097319 /nfs/dbraw/zinc/09/73/19/1073097319.db2.gz XZUREHCAIPJRQE-ZIAGYGMSSA-N 0 0 448.567 -0.105 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000029633571 1073097310 /nfs/dbraw/zinc/09/73/10/1073097310.db2.gz XZUREHCAIPJRQE-KBPBESRZSA-N 0 0 448.567 -0.105 20 0 IBADRN COCCCn1c(=O)[nH]nc1SCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000029633574 1073097287 /nfs/dbraw/zinc/09/72/87/1073097287.db2.gz XZUREHCAIPJRQE-UONOGXRCSA-N 0 0 448.567 -0.105 20 0 IBADRN CC(C)(C)C(=O)NCC(=O)NNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000029653843 1073115257 /nfs/dbraw/zinc/11/52/57/1073115257.db2.gz MGJSPKGDKFTKBC-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN O=C(CN1CSCC1=O)NNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000029653845 1073665362 /nfs/dbraw/zinc/66/53/62/1073665362.db2.gz GHAUCPGJTOSNJV-UHFFFAOYSA-N 0 0 428.492 -0.999 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)c3ccc(F)cc3)CC2)c(=O)[nH]c1=O ZINC000029660776 1073097205 /nfs/dbraw/zinc/09/72/05/1073097205.db2.gz AJNQSWVRRGGZIS-UHFFFAOYSA-N 0 0 433.440 -0.455 20 0 IBADRN Cn1c2ncn(CCSc3nnc(-c4ccco4)n3CCC(N)=O)c2c(=O)n(C)c1=O ZINC000029665047 1070996517 /nfs/dbraw/zinc/99/65/17/1070996517.db2.gz PVCJRRVFTVXOJI-UHFFFAOYSA-N 0 0 444.477 -0.047 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000029668771 1073097355 /nfs/dbraw/zinc/09/73/55/1073097355.db2.gz FPEOZQKNBPOJGT-UHFFFAOYSA-N 0 0 442.520 -0.886 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000029668978 1073097160 /nfs/dbraw/zinc/09/71/60/1073097160.db2.gz ATMYDHUMDXYGRO-UHFFFAOYSA-N 0 0 437.566 -0.727 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000029671121 1073097371 /nfs/dbraw/zinc/09/73/71/1073097371.db2.gz XIHZADTWAGXMIZ-UHFFFAOYSA-N 0 0 448.543 -0.338 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000029671323 1073097426 /nfs/dbraw/zinc/09/74/26/1073097426.db2.gz JGNCFNNPNUSNPO-UHFFFAOYSA-N 0 0 441.554 -0.362 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000029671356 1073666624 /nfs/dbraw/zinc/66/66/24/1073666624.db2.gz SWVLPZXKTJMDGG-NRFANRHFSA-N 0 0 446.508 -0.753 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000029671360 1073666606 /nfs/dbraw/zinc/66/66/06/1073666606.db2.gz SWVLPZXKTJMDGG-OAQYLSRUSA-N 0 0 446.508 -0.753 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@@](CC)(c3ccccc3)C2=O)CC1 ZINC000029671363 1073666512 /nfs/dbraw/zinc/66/65/12/1073666512.db2.gz PEOSWKLWBBWSOS-NRFANRHFSA-N 0 0 430.509 -0.371 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@](CC)(c3ccccc3)C2=O)CC1 ZINC000029671366 1073666723 /nfs/dbraw/zinc/66/67/23/1073666723.db2.gz PEOSWKLWBBWSOS-OAQYLSRUSA-N 0 0 430.509 -0.371 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000029671369 1073666523 /nfs/dbraw/zinc/66/65/23/1073666523.db2.gz SWHQDPKCAIWJPY-QFIPXVFZSA-N 0 0 444.536 -0.285 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000029671372 1073666634 /nfs/dbraw/zinc/66/66/34/1073666634.db2.gz SWHQDPKCAIWJPY-JOCHJYFZSA-N 0 0 444.536 -0.285 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(CC(=O)NCC)CC2)c(=O)[nH]c1=O ZINC000029671445 1073097333 /nfs/dbraw/zinc/09/73/33/1073097333.db2.gz VGKDMHOVJAPPSH-UHFFFAOYSA-N 0 0 437.545 -0.975 20 0 IBADRN CCNC(=O)CN1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000029672555 1073097233 /nfs/dbraw/zinc/09/72/33/1073097233.db2.gz BSCBUTNUIADPDE-UHFFFAOYSA-N 0 0 440.566 -0.160 20 0 IBADRN CCNC(=O)CN1CCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000029674480 1073097219 /nfs/dbraw/zinc/09/72/19/1073097219.db2.gz JYTBJAUAFOZZAA-GJZGRUSLSA-N 0 0 425.555 -0.347 20 0 IBADRN CCNC(=O)CN1CCN([C@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000029674483 1073097343 /nfs/dbraw/zinc/09/73/43/1073097343.db2.gz JYTBJAUAFOZZAA-LSDHHAIUSA-N 0 0 425.555 -0.347 20 0 IBADRN CCNC(=O)CN1CCN([C@@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000029674487 1073097405 /nfs/dbraw/zinc/09/74/05/1073097405.db2.gz JYTBJAUAFOZZAA-CABCVRRESA-N 0 0 425.555 -0.347 20 0 IBADRN CCNC(=O)CN1CCN([C@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000029674491 1073097389 /nfs/dbraw/zinc/09/73/89/1073097389.db2.gz JYTBJAUAFOZZAA-HUUCEWRRSA-N 0 0 425.555 -0.347 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000029677968 1073097298 /nfs/dbraw/zinc/09/72/98/1073097298.db2.gz LJWUYEICDLLLSJ-CABCVRRESA-N 0 0 434.541 -0.744 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000029677972 1073097610 /nfs/dbraw/zinc/09/76/10/1073097610.db2.gz LJWUYEICDLLLSJ-GJZGRUSLSA-N 0 0 434.541 -0.744 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000029677976 1073097720 /nfs/dbraw/zinc/09/77/20/1073097720.db2.gz LJWUYEICDLLLSJ-HUUCEWRRSA-N 0 0 434.541 -0.744 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000029677980 1073097762 /nfs/dbraw/zinc/09/77/62/1073097762.db2.gz LJWUYEICDLLLSJ-LSDHHAIUSA-N 0 0 434.541 -0.744 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)c(=O)[nH]c1=O ZINC000029678130 1073097672 /nfs/dbraw/zinc/09/76/72/1073097672.db2.gz YPSUCFRCNKUYKH-HNNXBMFYSA-N 0 0 434.541 -0.270 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCC[C@H]3C)CC2)c(=O)[nH]c1=O ZINC000029678135 1073097620 /nfs/dbraw/zinc/09/76/20/1073097620.db2.gz YPSUCFRCNKUYKH-OAHLLOKOSA-N 0 0 434.541 -0.270 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCNS(C)(=O)=O ZINC000029678463 1071004254 /nfs/dbraw/zinc/00/42/54/1071004254.db2.gz PLXNZJQECYCZSI-HNNXBMFYSA-N 0 0 435.524 -0.574 20 0 IBADRN CS(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC000029678614 1073097586 /nfs/dbraw/zinc/09/75/86/1073097586.db2.gz LYYDAMWYMVBIDF-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CCn1c(CCC(=O)NCCNS(C)(=O)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000029678728 1073097681 /nfs/dbraw/zinc/09/76/81/1073097681.db2.gz LUQSUOCHPGZLRO-UHFFFAOYSA-N 0 0 445.567 -0.096 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000029678766 1071003989 /nfs/dbraw/zinc/00/39/89/1071003989.db2.gz XSDIAOBUUVZSCL-UHFFFAOYSA-N 0 0 447.535 -0.476 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NCCNS(C)(=O)=O)CC1 ZINC000029678836 1073097730 /nfs/dbraw/zinc/09/77/30/1073097730.db2.gz BWWXPCRAIBIWDA-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCNS(C)(=O)=O ZINC000029679335 1071004067 /nfs/dbraw/zinc/00/40/67/1071004067.db2.gz XEBBFPAWUJDVRL-MRXNPFEDSA-N 0 0 449.551 -0.184 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCNS(C)(=O)=O ZINC000029679339 1071004236 /nfs/dbraw/zinc/00/42/36/1071004236.db2.gz XEBBFPAWUJDVRL-INIZCTEOSA-N 0 0 449.551 -0.184 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000029680479 1073097775 /nfs/dbraw/zinc/09/77/75/1073097775.db2.gz BDLJBVTVBNNAKS-MRXNPFEDSA-N 0 0 431.541 -0.548 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000029680483 1073097857 /nfs/dbraw/zinc/09/78/57/1073097857.db2.gz BDLJBVTVBNNAKS-INIZCTEOSA-N 0 0 431.541 -0.548 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2[nH]c(=O)cc(C(=O)NCCNS(C)(=O)=O)c2c1 ZINC000029680723 1073097692 /nfs/dbraw/zinc/09/76/92/1073097692.db2.gz LIXPPSUREKWIKV-UHFFFAOYSA-N 0 0 444.535 -0.162 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCNS(C)(=O)=O)CC2)cc1OC ZINC000029681018 1073097641 /nfs/dbraw/zinc/09/76/41/1073097641.db2.gz AJISGBOFPVMBMU-UHFFFAOYSA-N 0 0 449.551 -0.230 20 0 IBADRN CCCn1c(CCC(=O)NCCNS(C)(=O)=O)nc2cc(S(N)(=O)=O)ccc21 ZINC000029681588 1072877322 /nfs/dbraw/zinc/87/73/22/1072877322.db2.gz RHOIOWVUBVTYSD-UHFFFAOYSA-N 0 0 431.540 -0.308 20 0 IBADRN CS(=O)(=O)NCCNC(=O)CNC(=O)c1ccccc1I ZINC000029682085 1072877361 /nfs/dbraw/zinc/87/73/61/1072877361.db2.gz SXTUXLXCXNIWEV-UHFFFAOYSA-N 0 0 425.248 -0.314 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)c(=O)[nH]c1=O ZINC000029682224 1073097632 /nfs/dbraw/zinc/09/76/32/1073097632.db2.gz FZLZOYHOLAAXEA-INIZCTEOSA-N 0 0 448.568 -0.024 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCC[C@H]3C)CC2)c(=O)[nH]c1=O ZINC000029682229 1073097662 /nfs/dbraw/zinc/09/76/62/1073097662.db2.gz FZLZOYHOLAAXEA-MRXNPFEDSA-N 0 0 448.568 -0.024 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(CCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000029682905 1073097829 /nfs/dbraw/zinc/09/78/29/1073097829.db2.gz KDNIKBYVRTUQNE-QGZVFWFLSA-N 0 0 445.568 -0.158 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(CCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000029682910 1073097802 /nfs/dbraw/zinc/09/78/02/1073097802.db2.gz KDNIKBYVRTUQNE-KRWDZBQOSA-N 0 0 445.568 -0.158 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NCCNS(C)(=O)=O)n2C ZINC000029683101 1071004610 /nfs/dbraw/zinc/00/46/10/1071004610.db2.gz VPJLSWFMANKPLD-UHFFFAOYSA-N 0 0 431.540 -0.578 20 0 IBADRN CS(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000029683149 1073097705 /nfs/dbraw/zinc/09/77/05/1073097705.db2.gz KZEMVPYIUAHMFL-UHFFFAOYSA-N 0 0 433.430 -0.081 20 0 IBADRN CS(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC000029683203 1072877019 /nfs/dbraw/zinc/87/70/19/1072877019.db2.gz ZYNUKPSCPWDWCX-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CS(=O)(=O)NCCNC(=O)CCCCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000029683402 1073097597 /nfs/dbraw/zinc/09/75/97/1073097597.db2.gz WMQVDNWXKWJKBV-UHFFFAOYSA-N 0 0 449.551 -0.038 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCNS(C)(=O)=O)c1 ZINC000029685034 1073097748 /nfs/dbraw/zinc/09/77/48/1073097748.db2.gz MMYJRIIPXZUEKT-UHFFFAOYSA-N 0 0 434.540 -0.948 20 0 IBADRN O=C(c1ccc(O)cc1)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000029687454 1072866034 /nfs/dbraw/zinc/86/60/34/1072866034.db2.gz BVTYBNOLIIJENH-OAHLLOKOSA-N 0 0 448.501 -0.158 20 0 IBADRN O=C(c1ccc(O)cc1)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000029687460 1072865705 /nfs/dbraw/zinc/86/57/05/1072865705.db2.gz BVTYBNOLIIJENH-HNNXBMFYSA-N 0 0 448.501 -0.158 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4ccc(O)cc4)CC3)c2c(=O)n(C)c1=O ZINC000029689429 1073097559 /nfs/dbraw/zinc/09/75/59/1073097559.db2.gz UOZHWBUZGXOLKY-UHFFFAOYSA-N 0 0 440.460 -0.486 20 0 IBADRN COc1ccc(OC)c(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029700181 1073098159 /nfs/dbraw/zinc/09/81/59/1073098159.db2.gz LGLOBBRLXXIBSS-UHFFFAOYSA-N 0 0 428.511 -0.163 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NCc1ccc2c(c1)OCO2 ZINC000029700398 1073098135 /nfs/dbraw/zinc/09/81/35/1073098135.db2.gz PQQBWTPVRWCZAH-UHFFFAOYSA-N 0 0 426.495 -0.774 20 0 IBADRN COC(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029700463 1073098250 /nfs/dbraw/zinc/09/82/50/1073098250.db2.gz WWZVXIJUIIYZEQ-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029700468 1073098202 /nfs/dbraw/zinc/09/82/02/1073098202.db2.gz PTGTXHPSYDAZPY-UHFFFAOYSA-N 0 0 440.522 -0.004 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1OC ZINC000029700505 1071005039 /nfs/dbraw/zinc/00/50/39/1071005039.db2.gz GQLLNUDQDZYKHX-UHFFFAOYSA-N 0 0 428.511 -0.163 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029700539 1073098010 /nfs/dbraw/zinc/09/80/10/1073098010.db2.gz RSOHUIGVFPGAEV-UHFFFAOYSA-N 0 0 440.522 -0.004 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029700594 1071005158 /nfs/dbraw/zinc/00/51/58/1071005158.db2.gz AQTOVFSJWVBLIO-UHFFFAOYSA-N 0 0 425.511 -0.222 20 0 IBADRN COc1cc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc(OC)c1 ZINC000029700643 1073098067 /nfs/dbraw/zinc/09/80/67/1073098067.db2.gz NIEDNJOHDFWRLA-UHFFFAOYSA-N 0 0 428.511 -0.163 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000029700706 1071004954 /nfs/dbraw/zinc/00/49/54/1071004954.db2.gz PQKZMABLZJLKBL-UHFFFAOYSA-N 0 0 428.511 -0.163 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1ccc2c(c1)OCCO2 ZINC000029700711 1071004873 /nfs/dbraw/zinc/00/48/73/1071004873.db2.gz CSUDZXCKDRTBAO-UHFFFAOYSA-N 0 0 426.495 -0.409 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1OC ZINC000029700790 1071004823 /nfs/dbraw/zinc/00/48/23/1071004823.db2.gz NJEAQARUYJACRQ-UHFFFAOYSA-N 0 0 442.538 -0.485 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NC(=O)NCc1ccccc1 ZINC000029701063 1073098022 /nfs/dbraw/zinc/09/80/22/1073098022.db2.gz MCTLCQVCJJNUJY-UHFFFAOYSA-N 0 0 425.511 -0.793 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029701253 1071004930 /nfs/dbraw/zinc/00/49/30/1071004930.db2.gz WZNPWDSYVMDCRH-UHFFFAOYSA-N 0 0 432.568 -0.369 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1cccc2nsnc21 ZINC000029701317 1073098148 /nfs/dbraw/zinc/09/81/48/1073098148.db2.gz GESZZPPYSVKENM-UHFFFAOYSA-N 0 0 426.524 -0.176 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029701394 1071004975 /nfs/dbraw/zinc/00/49/75/1071004975.db2.gz LJZWPGLVDVXAMN-UHFFFAOYSA-N 0 0 439.538 -0.479 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029701404 1071005079 /nfs/dbraw/zinc/00/50/79/1071005079.db2.gz DTZMUHPKFAODKW-LJQANCHMSA-N 0 0 438.550 -0.503 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029701408 1071005173 /nfs/dbraw/zinc/00/51/73/1071005173.db2.gz DTZMUHPKFAODKW-IBGZPJMESA-N 0 0 438.550 -0.503 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029701439 1073248548 /nfs/dbraw/zinc/24/85/48/1073248548.db2.gz MAMUAICPZGFARD-CVEARBPZSA-N 0 0 431.559 -0.415 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029701445 1073248499 /nfs/dbraw/zinc/24/84/99/1073248499.db2.gz MAMUAICPZGFARD-HOTGVXAUSA-N 0 0 431.559 -0.415 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029701452 1073248577 /nfs/dbraw/zinc/24/85/77/1073248577.db2.gz MAMUAICPZGFARD-HZPDHXFCSA-N 0 0 431.559 -0.415 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029701458 1073248490 /nfs/dbraw/zinc/24/84/90/1073248490.db2.gz MAMUAICPZGFARD-JKSUJKDBSA-N 0 0 431.559 -0.415 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029701476 1073098054 /nfs/dbraw/zinc/09/80/54/1073098054.db2.gz NXFZQILPARCUJB-UHFFFAOYSA-N 0 0 441.510 -0.462 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1ccc2c(c1)OCCCO2 ZINC000029701557 1071005590 /nfs/dbraw/zinc/00/55/90/1071005590.db2.gz HRNNYTBDLXRMNH-UHFFFAOYSA-N 0 0 440.522 -0.019 20 0 IBADRN COC(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029701672 1073098094 /nfs/dbraw/zinc/09/80/94/1073098094.db2.gz IWEWDGLVOADHNS-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN Cc1cccc(OCCNC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029701950 1071005321 /nfs/dbraw/zinc/00/53/21/1071005321.db2.gz ZURYDSASGWPHFB-UHFFFAOYSA-N 0 0 426.539 -0.315 20 0 IBADRN Cn1c2nnc(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)n2c2ccccc2c1=O ZINC000029701985 1073097968 /nfs/dbraw/zinc/09/79/68/1073097968.db2.gz AVSBNHYMAFXJHY-UHFFFAOYSA-N 0 0 447.521 -0.724 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029702288 1073097980 /nfs/dbraw/zinc/09/79/80/1073097980.db2.gz VKYDMMBFKDYEPU-UHFFFAOYSA-N 0 0 439.538 -0.431 20 0 IBADRN COc1ccccc1CCNC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029702293 1071005299 /nfs/dbraw/zinc/00/52/99/1071005299.db2.gz HJAASTMRXRGHMY-UHFFFAOYSA-N 0 0 426.539 -0.452 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NC[C@@H]1COc2ccccc2O1 ZINC000029702517 1071006290 /nfs/dbraw/zinc/00/62/90/1071006290.db2.gz NGHCDLFIQVPFHV-MRXNPFEDSA-N 0 0 440.522 -0.863 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NC[C@H]1COc2ccccc2O1 ZINC000029702523 1071006310 /nfs/dbraw/zinc/00/63/10/1071006310.db2.gz NGHCDLFIQVPFHV-INIZCTEOSA-N 0 0 440.522 -0.863 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029702534 1073667981 /nfs/dbraw/zinc/66/79/81/1073667981.db2.gz ZCCMUDVQCNPERC-UHFFFAOYSA-N 0 0 446.551 -0.777 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029702665 1073248559 /nfs/dbraw/zinc/24/85/59/1073248559.db2.gz UVAAEZJXCVMYFX-KRWDZBQOSA-N 0 0 443.522 -0.593 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029702671 1073248537 /nfs/dbraw/zinc/24/85/37/1073248537.db2.gz UVAAEZJXCVMYFX-QGZVFWFLSA-N 0 0 443.522 -0.593 20 0 IBADRN COC(=O)c1sccc1NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029702724 1073248479 /nfs/dbraw/zinc/24/84/79/1073248479.db2.gz RHMNGUVWQXUQLK-UHFFFAOYSA-N 0 0 432.524 -0.332 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000029702729 1073098034 /nfs/dbraw/zinc/09/80/34/1073098034.db2.gz QGPMHJLHAISESQ-UHFFFAOYSA-N 0 0 446.551 -0.777 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NCc1cnn(-c2ccccc2)c1 ZINC000029703149 1073098081 /nfs/dbraw/zinc/09/80/81/1073098081.db2.gz PDVLZYCOKRNRPP-UHFFFAOYSA-N 0 0 448.549 -0.317 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000029703328 1073098262 /nfs/dbraw/zinc/09/82/62/1073098262.db2.gz LUGQSONMKQIVNC-UHFFFAOYSA-N 0 0 439.538 -0.479 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000029730775 1073098222 /nfs/dbraw/zinc/09/82/22/1073098222.db2.gz AZTIESUWRLOLDW-UHFFFAOYSA-N 0 0 425.559 -0.288 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)OCC(=O)NC(=O)NC2CC2)CC1 ZINC000029742427 1073669297 /nfs/dbraw/zinc/66/92/97/1073669297.db2.gz FQFPSNYXFWUJOR-UHFFFAOYSA-N 0 0 427.483 -0.041 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)c2ccccc2O1 ZINC000029767474 1073672486 /nfs/dbraw/zinc/67/24/86/1073672486.db2.gz VNBWUUNLRLYDEF-WBMJQRKESA-N 0 0 434.474 -0.308 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)c2ccccc2O1 ZINC000029767475 1073672630 /nfs/dbraw/zinc/67/26/30/1073672630.db2.gz VNBWUUNLRLYDEF-MLGOLLRUSA-N 0 0 434.474 -0.308 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)c2ccccc2O1 ZINC000029767476 1073672332 /nfs/dbraw/zinc/67/23/32/1073672332.db2.gz VNBWUUNLRLYDEF-LRDDRELGSA-N 0 0 434.474 -0.308 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)c2ccccc2O1 ZINC000029767477 1073672404 /nfs/dbraw/zinc/67/24/04/1073672404.db2.gz VNBWUUNLRLYDEF-BLLLJJGKSA-N 0 0 434.474 -0.308 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000029800153 1073098174 /nfs/dbraw/zinc/09/81/74/1073098174.db2.gz IBWKFPXFNDEWMS-IEBWSBKVSA-N 0 0 434.562 -0.040 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000029800155 1073098277 /nfs/dbraw/zinc/09/82/77/1073098277.db2.gz IBWKFPXFNDEWMS-PKOBYXMFSA-N 0 0 434.562 -0.040 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000029800158 1073097992 /nfs/dbraw/zinc/09/79/92/1073097992.db2.gz IBWKFPXFNDEWMS-MJGOQNOKSA-N 0 0 434.562 -0.040 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000029800160 1073098107 /nfs/dbraw/zinc/09/81/07/1073098107.db2.gz IBWKFPXFNDEWMS-HKUYNNGSSA-N 0 0 434.562 -0.040 20 0 IBADRN COc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1NS(C)(=O)=O ZINC000029817374 1073098587 /nfs/dbraw/zinc/09/85/87/1073098587.db2.gz SQHRGIGRJOKHHG-UHFFFAOYSA-N 0 0 436.450 -0.548 20 0 IBADRN COc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1NS(C)(=O)=O ZINC000029817534 1073098518 /nfs/dbraw/zinc/09/85/18/1073098518.db2.gz JZGFOIFEGOBXME-UHFFFAOYSA-N 0 0 436.450 -0.548 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NNC(=O)c1n[nH]c(=O)c2ccccc21 ZINC000029818159 1072873199 /nfs/dbraw/zinc/87/31/99/1072873199.db2.gz DZGBGNYXBBIHCI-UHFFFAOYSA-N 0 0 427.398 -0.471 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CN3C(=O)c4ccccc4S3(=O)=O)CC2)no1 ZINC000029818408 1072424886 /nfs/dbraw/zinc/42/48/86/1072424886.db2.gz YEQXRXGQIYNTKY-UHFFFAOYSA-N 0 0 447.473 -0.090 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCN(C(=O)c2ccco2)CC1 ZINC000029818841 1073098690 /nfs/dbraw/zinc/09/86/90/1073098690.db2.gz QYOHGMXPGMXVTI-UHFFFAOYSA-N 0 0 447.517 -0.035 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)c2cccnc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000029818918 1073098659 /nfs/dbraw/zinc/09/86/59/1073098659.db2.gz HWFAIEHWGDVZHJ-OAHLLOKOSA-N 0 0 430.552 -0.577 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)c2cccnc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000029818921 1073098629 /nfs/dbraw/zinc/09/86/29/1073098629.db2.gz HWFAIEHWGDVZHJ-HNNXBMFYSA-N 0 0 430.552 -0.577 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000029819539 1073098550 /nfs/dbraw/zinc/09/85/50/1073098550.db2.gz OTYNDUJKBACUTR-HUUCEWRRSA-N 0 0 430.552 -0.578 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000029819540 1073098499 /nfs/dbraw/zinc/09/84/99/1073098499.db2.gz OTYNDUJKBACUTR-LSDHHAIUSA-N 0 0 430.552 -0.578 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000029819541 1073098564 /nfs/dbraw/zinc/09/85/64/1073098564.db2.gz OTYNDUJKBACUTR-CABCVRRESA-N 0 0 430.552 -0.578 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000029819542 1073098458 /nfs/dbraw/zinc/09/84/58/1073098458.db2.gz OTYNDUJKBACUTR-GJZGRUSLSA-N 0 0 430.552 -0.578 20 0 IBADRN Nc1c(C(=O)CN2CCN(S(=O)(=O)c3cccnc3)CC2)c(=O)[nH]c(=O)n1C1CC1 ZINC000029819580 1073098483 /nfs/dbraw/zinc/09/84/83/1073098483.db2.gz LTSXJAIDYLCZOY-UHFFFAOYSA-N 0 0 434.478 -0.962 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000029820152 1073098507 /nfs/dbraw/zinc/09/85/07/1073098507.db2.gz XUAOYJPEUZDCTB-QGZVFWFLSA-N 0 0 445.549 -0.085 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000029820153 1073098575 /nfs/dbraw/zinc/09/85/75/1073098575.db2.gz XUAOYJPEUZDCTB-KRWDZBQOSA-N 0 0 445.549 -0.085 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCN(c2ncccn2)CC1 ZINC000029820375 1073098435 /nfs/dbraw/zinc/09/84/35/1073098435.db2.gz SWKMNLQUANMNDH-UHFFFAOYSA-N 0 0 445.549 -0.083 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(CC(=O)N3CCNC(=O)C3)CC2)c1 ZINC000029835244 1073098643 /nfs/dbraw/zinc/09/86/43/1073098643.db2.gz PXFAVMNYAIXLNT-UHFFFAOYSA-N 0 0 431.518 -0.192 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(Cc3nc(N)c4ccccc4n3)CC1)c2=O ZINC000029862315 1073311354 /nfs/dbraw/zinc/31/13/54/1073311354.db2.gz BHKUOPNYQXUPMI-UHFFFAOYSA-N 0 0 433.476 0.000 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)c(=O)n(C)c1=O ZINC000029862454 1073115887 /nfs/dbraw/zinc/11/58/87/1073115887.db2.gz AYIUVLUADHHGRZ-BQYQJAHWSA-N 0 0 435.488 -0.033 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCNS(C)(=O)=O)cc1 ZINC000029869788 1071081062 /nfs/dbraw/zinc/08/10/62/1071081062.db2.gz KWOJGMKZMPTPNI-UHFFFAOYSA-N 0 0 434.540 -0.888 20 0 IBADRN CS(=O)(=O)NCCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000029869889 1073098614 /nfs/dbraw/zinc/09/86/14/1073098614.db2.gz WGDJNCMIIXXNCC-UHFFFAOYSA-N 0 0 435.524 -0.428 20 0 IBADRN CS(=O)(=O)N1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)CC1 ZINC000029871069 1074054042 /nfs/dbraw/zinc/05/40/42/1074054042.db2.gz SCGIBPKSFLWDGC-ZDUSSCGKSA-N 0 0 432.572 -0.454 20 0 IBADRN CS(=O)(=O)N1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)CC1 ZINC000029871070 1074053951 /nfs/dbraw/zinc/05/39/51/1074053951.db2.gz SCGIBPKSFLWDGC-CYBMUJFWSA-N 0 0 432.572 -0.454 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C2=O ZINC000029898760 1072581848 /nfs/dbraw/zinc/58/18/48/1072581848.db2.gz YMXNKAOIEPJNRH-UHFFFAOYSA-N 0 0 429.543 -0.361 20 0 IBADRN O=C1NC2(CCCCCC2)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029898764 1072581984 /nfs/dbraw/zinc/58/19/84/1072581984.db2.gz RJXYNMZHAFYORL-UHFFFAOYSA-N 0 0 429.543 -0.217 20 0 IBADRN O=C1N[C@@H](Cc2ccccc2)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029898870 1072581893 /nfs/dbraw/zinc/58/18/93/1072581893.db2.gz JLQNAGLEEAMPSV-KRWDZBQOSA-N 0 0 437.522 -0.698 20 0 IBADRN O=C1N[C@H](Cc2ccccc2)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029898874 1072581919 /nfs/dbraw/zinc/58/19/19/1072581919.db2.gz JLQNAGLEEAMPSV-QGZVFWFLSA-N 0 0 437.522 -0.698 20 0 IBADRN O=C1c2cccc([N+](=O)[O-])c2C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029898886 1072581992 /nfs/dbraw/zinc/58/19/92/1072581992.db2.gz SXXPBFAYMMRPIC-UHFFFAOYSA-N 0 0 439.450 -0.657 20 0 IBADRN C[C@H]1C(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1 ZINC000029899098 1072581911 /nfs/dbraw/zinc/58/19/11/1072581911.db2.gz KGGZKMZXTKEHPB-INIZCTEOSA-N 0 0 437.522 -0.004 20 0 IBADRN C[C@@H]1C(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1 ZINC000029899102 1072581932 /nfs/dbraw/zinc/58/19/32/1072581932.db2.gz KGGZKMZXTKEHPB-MRXNPFEDSA-N 0 0 437.522 -0.004 20 0 IBADRN C[C@@H]1CCC[C@@H](C)C12NC(=O)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C2=O ZINC000029899105 1072582001 /nfs/dbraw/zinc/58/20/01/1072582001.db2.gz CLMABODDWNAWSA-HZPDHXFCSA-N 0 0 443.570 -0.115 20 0 IBADRN C[C@H]1CCC[C@H](C)C12NC(=O)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C2=O ZINC000029899114 1072581953 /nfs/dbraw/zinc/58/19/53/1072581953.db2.gz CLMABODDWNAWSA-HOTGVXAUSA-N 0 0 443.570 -0.115 20 0 IBADRN O=C1C(=O)N(C2CCCCC2)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000029899117 1072581801 /nfs/dbraw/zinc/58/18/01/1072581801.db2.gz LREYKZJARYCHHO-UHFFFAOYSA-N 0 0 443.526 -0.738 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000029924709 1073098409 /nfs/dbraw/zinc/09/84/09/1073098409.db2.gz UWIAYLULNNJATJ-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000029924737 1071123634 /nfs/dbraw/zinc/12/36/34/1071123634.db2.gz PXAPGOBBYXRCGG-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000029925160 1072588042 /nfs/dbraw/zinc/58/80/42/1072588042.db2.gz WCLNXJZVSMSSAF-UHFFFAOYSA-N 0 0 428.493 -0.341 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)N(C)C)CC1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000029925622 1073098666 /nfs/dbraw/zinc/09/86/66/1073098666.db2.gz XYNBZQYJMXHNNV-UHFFFAOYSA-N 0 0 437.545 -0.039 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000029925708 1073098679 /nfs/dbraw/zinc/09/86/79/1073098679.db2.gz MSGZWBHKQKEPND-UHFFFAOYSA-N 0 0 437.566 -0.040 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000029925723 1073098540 /nfs/dbraw/zinc/09/85/40/1073098540.db2.gz OMGAWTGBFFQFRA-UHFFFAOYSA-N 0 0 441.529 -0.042 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000029925813 1073098471 /nfs/dbraw/zinc/09/84/71/1073098471.db2.gz WVYHGCZTVSDPNW-UHFFFAOYSA-N 0 0 441.529 -0.042 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000029925817 1073098869 /nfs/dbraw/zinc/09/88/69/1073098869.db2.gz PZVOIOHNEFHOFH-UHFFFAOYSA-N 0 0 441.529 -0.042 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000029938223 1073099147 /nfs/dbraw/zinc/09/91/47/1073099147.db2.gz LNWMTCBLQWIAJC-CQSZACIVSA-N 0 0 439.556 -0.869 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000029938225 1073098823 /nfs/dbraw/zinc/09/88/23/1073098823.db2.gz LNWMTCBLQWIAJC-AWEZNQCLSA-N 0 0 439.556 -0.869 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000029940422 1073098838 /nfs/dbraw/zinc/09/88/38/1073098838.db2.gz GBWJPKZBEBQECE-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3nnnn3C3CC3)CC2)cc1 ZINC000029965133 1073098893 /nfs/dbraw/zinc/09/88/93/1073098893.db2.gz QCPWYRZUNQRYRH-UHFFFAOYSA-N 0 0 427.512 -0.838 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)c(=O)n(C)c1=O ZINC000029966724 1072584160 /nfs/dbraw/zinc/58/41/60/1072584160.db2.gz SNBSBCGYBCKNJC-ZZXKWVIFSA-N 0 0 436.371 -0.327 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O ZINC000029966731 1072584233 /nfs/dbraw/zinc/58/42/33/1072584233.db2.gz SJNPXYQKZFGSFV-MDZDMXLPSA-N 0 0 428.445 -0.042 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1)NC1CC1 ZINC000029969680 1073099082 /nfs/dbraw/zinc/09/90/82/1073099082.db2.gz AMQKCHRFAOHZOV-UHFFFAOYSA-N 0 0 442.563 -0.288 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000029972574 1071142198 /nfs/dbraw/zinc/14/21/98/1071142198.db2.gz LVZLOLXFNAUKIA-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000029973660 1073099071 /nfs/dbraw/zinc/09/90/71/1073099071.db2.gz FGWHKXUWESEEAX-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)nc2n(C)c1=O ZINC000029974249 1073099131 /nfs/dbraw/zinc/09/91/31/1073099131.db2.gz VAHRFRIVIYRRGJ-UHFFFAOYSA-N 0 0 443.485 -0.221 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)=NN1c1ccccc1 ZINC000029975334 1072584801 /nfs/dbraw/zinc/58/48/01/1072584801.db2.gz WSSHUUYXDUXYGV-SFHVURJKSA-N 0 0 428.493 -0.890 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)=NN1c1ccccc1 ZINC000029975338 1072584739 /nfs/dbraw/zinc/58/47/39/1072584739.db2.gz WSSHUUYXDUXYGV-GOSISDBHSA-N 0 0 428.493 -0.890 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)=NN1c1ccc(F)cc1 ZINC000029975342 1072584824 /nfs/dbraw/zinc/58/48/24/1072584824.db2.gz PWAPROXXUIFYQI-SFHVURJKSA-N 0 0 446.483 -0.751 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)=NN1c1ccc(F)cc1 ZINC000029975346 1072584705 /nfs/dbraw/zinc/58/47/05/1072584705.db2.gz PWAPROXXUIFYQI-GOSISDBHSA-N 0 0 446.483 -0.751 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc2c1=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000029975458 1073098966 /nfs/dbraw/zinc/09/89/66/1073098966.db2.gz ZODHKTFKSPGXJY-UHFFFAOYSA-N 0 0 429.458 -0.382 20 0 IBADRN Cn1c2ncn(CCN3CCN(C(=O)c4ccc5c(c4)OCO5)CC3)c2c(=O)n(C)c1=O ZINC000030002818 1073098981 /nfs/dbraw/zinc/09/89/81/1073098981.db2.gz FEOJKLNMOOLBCD-UHFFFAOYSA-N 0 0 440.460 -0.380 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCCN2CCN(c3ncccn3)CC2)cc1 ZINC000030004324 1073099101 /nfs/dbraw/zinc/09/91/01/1073099101.db2.gz WRKYUAYZAUVTBL-UHFFFAOYSA-N 0 0 440.551 -0.385 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCCN3CCN(c4ncccn4)CC3)cc2[nH]c1=O ZINC000030004362 1073098878 /nfs/dbraw/zinc/09/88/78/1073098878.db2.gz FFXTVVRAFGMYJY-UHFFFAOYSA-N 0 0 445.505 -0.503 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCCN2CCN(c3ncccn3)CC2)c1 ZINC000030004373 1073098852 /nfs/dbraw/zinc/09/88/52/1073098852.db2.gz JMKSATNDHYMSGC-UHFFFAOYSA-N 0 0 440.551 -0.385 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000030008359 1073099021 /nfs/dbraw/zinc/09/90/21/1073099021.db2.gz DRBGOPZFOFKRGS-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000030008414 1073099032 /nfs/dbraw/zinc/09/90/32/1073099032.db2.gz NPUMMTHDSHJQFT-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000030008816 1071591773 /nfs/dbraw/zinc/59/17/73/1071591773.db2.gz SIIUBZVFRJBTBG-CQSZACIVSA-N 0 0 432.568 -0.184 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000030008817 1071591674 /nfs/dbraw/zinc/59/16/74/1071591674.db2.gz SIIUBZVFRJBTBG-AWEZNQCLSA-N 0 0 432.568 -0.184 20 0 IBADRN CC(C)(CNC(=O)Cn1cc(S(=O)(=O)N2CCCC2)ccc1=O)N1CCOCC1 ZINC000030021959 1071215337 /nfs/dbraw/zinc/21/53/37/1071215337.db2.gz SOUMXEQOSZTFAJ-UHFFFAOYSA-N 0 0 426.539 -0.140 20 0 IBADRN COc1ccc(N[C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1NS(C)(=O)=O ZINC000030026545 1072588030 /nfs/dbraw/zinc/58/80/30/1072588030.db2.gz GKVLEGIZVZSPFF-VIFPVBQESA-N 0 0 425.467 -0.270 20 0 IBADRN COc1ccc(N[C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1NS(C)(=O)=O ZINC000030026546 1072588104 /nfs/dbraw/zinc/58/81/04/1072588104.db2.gz GKVLEGIZVZSPFF-SECBINFHSA-N 0 0 425.467 -0.270 20 0 IBADRN CCCS(=O)(=O)NC1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000030132091 1073098904 /nfs/dbraw/zinc/09/89/04/1073098904.db2.gz VQJCKIMSCFEWHF-UHFFFAOYSA-N 0 0 446.595 -0.214 20 0 IBADRN CCCS(=O)(=O)NC1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CC1 ZINC000030132386 1073098948 /nfs/dbraw/zinc/09/89/48/1073098948.db2.gz VACXXKOVSZYXAK-UHFFFAOYSA-N 0 0 443.570 -0.238 20 0 IBADRN CCCS(=O)(=O)NC1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000030133412 1073098921 /nfs/dbraw/zinc/09/89/21/1073098921.db2.gz DBZYBZVSCPWQPP-UHFFFAOYSA-N 0 0 445.630 -0.161 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(C(=O)NCC(F)(F)F)CC1)c(=O)n2C ZINC000030133773 1071256604 /nfs/dbraw/zinc/25/66/04/1071256604.db2.gz BQDYVVKFXXKTRK-UHFFFAOYSA-N 0 0 430.387 -0.649 20 0 IBADRN CCCS(=O)(=O)NC1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CC1 ZINC000030135325 1073099003 /nfs/dbraw/zinc/09/90/03/1073099003.db2.gz YTKVUEUFXNQQQR-UHFFFAOYSA-N 0 0 429.543 -0.249 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(c3ccc(Br)cn3)CC2)c(=O)n(C)c1=O ZINC000030199678 1073099394 /nfs/dbraw/zinc/09/93/94/1073099394.db2.gz WEHKKKGJIXPKMB-UHFFFAOYSA-N 0 0 437.298 -0.171 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000030250669 1073099534 /nfs/dbraw/zinc/09/95/34/1073099534.db2.gz VWTIPERGRZHVKR-OAHLLOKOSA-N 0 0 442.520 -0.209 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000030250671 1073099456 /nfs/dbraw/zinc/09/94/56/1073099456.db2.gz VWTIPERGRZHVKR-HNNXBMFYSA-N 0 0 442.520 -0.209 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCCNS(C)(=O)=O)cc2)CC1 ZINC000030251021 1071256662 /nfs/dbraw/zinc/25/66/62/1071256662.db2.gz UTWDAPZSCWRYNL-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)Nc3ccc(F)cc3)CC1)c(=O)n2C ZINC000030257828 1071256879 /nfs/dbraw/zinc/25/68/79/1071256879.db2.gz PTLNFOVEVNKVKH-UHFFFAOYSA-N 0 0 443.439 -0.051 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)Nc4ccc(F)cc4)CC3)c2c(=O)n(C)c1=O ZINC000030259965 1071256763 /nfs/dbraw/zinc/25/67/63/1071256763.db2.gz ATQSMAUIRWPIGG-UHFFFAOYSA-N 0 0 443.439 -0.051 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCN(C(=O)c3cccc(F)c3)CC1)c(=O)n2C ZINC000030269316 1073099294 /nfs/dbraw/zinc/09/92/94/1073099294.db2.gz IVJGWURROATZSQ-UHFFFAOYSA-N 0 0 442.451 -0.053 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCCC2)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000030286776 1073099384 /nfs/dbraw/zinc/09/93/84/1073099384.db2.gz MADKVBGTJKRJPX-CQSZACIVSA-N 0 0 444.535 -0.104 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCCC2)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000030286779 1073099340 /nfs/dbraw/zinc/09/93/40/1073099340.db2.gz MADKVBGTJKRJPX-AWEZNQCLSA-N 0 0 444.535 -0.104 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CNc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000030291403 1073034113 /nfs/dbraw/zinc/03/41/13/1073034113.db2.gz XEGVPYGVFXPLRM-CYBMUJFWSA-N 0 0 444.510 -0.011 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CNc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000030291404 1073034481 /nfs/dbraw/zinc/03/44/81/1073034481.db2.gz XEGVPYGVFXPLRM-ZDUSSCGKSA-N 0 0 444.510 -0.011 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000030323732 1073250196 /nfs/dbraw/zinc/25/01/96/1073250196.db2.gz DDFMNPNODKRNCX-HNNXBMFYSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000030323734 1073250256 /nfs/dbraw/zinc/25/02/56/1073250256.db2.gz DDFMNPNODKRNCX-OAHLLOKOSA-N 0 0 425.463 -0.789 20 0 IBADRN COCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000030324175 1071256589 /nfs/dbraw/zinc/25/65/89/1071256589.db2.gz RSVINCJICMLSOK-MRXNPFEDSA-N 0 0 446.547 -0.017 20 0 IBADRN COCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000030324177 1071256570 /nfs/dbraw/zinc/25/65/70/1071256570.db2.gz RSVINCJICMLSOK-INIZCTEOSA-N 0 0 446.547 -0.017 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1)NC1CC1 ZINC000030324925 1071256863 /nfs/dbraw/zinc/25/68/63/1071256863.db2.gz QKXJLHBQXLNCAM-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN CS(=O)(=O)N1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000030332040 1071257255 /nfs/dbraw/zinc/25/72/55/1071257255.db2.gz XAEBOOMDEULOIS-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000030333415 1073116744 /nfs/dbraw/zinc/11/67/44/1073116744.db2.gz IKESXJRVSBWVJM-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000030334073 1071256748 /nfs/dbraw/zinc/25/67/48/1071256748.db2.gz FJALSQQHTYZLBG-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000030334778 1073116866 /nfs/dbraw/zinc/11/68/66/1073116866.db2.gz XZLNASWFNYCWBJ-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1F ZINC000030338568 1073099432 /nfs/dbraw/zinc/09/94/32/1073099432.db2.gz BGBXRGGVRJKXBB-UHFFFAOYSA-N 0 0 436.531 -0.367 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1C ZINC000030338571 1071620559 /nfs/dbraw/zinc/62/05/59/1071620559.db2.gz PSAMBLNFSUGXRM-UHFFFAOYSA-N 0 0 432.568 -0.198 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000030338596 1073099481 /nfs/dbraw/zinc/09/94/81/1073099481.db2.gz YXTSAEVTMQJMCL-UHFFFAOYSA-N 0 0 432.568 -0.116 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000030338600 1071257489 /nfs/dbraw/zinc/25/74/89/1071257489.db2.gz GPWHMTRXANIUCO-UHFFFAOYSA-N 0 0 430.552 -0.312 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)N3CCc4ccccc4C3)CC2)CC1 ZINC000030338620 1071257290 /nfs/dbraw/zinc/25/72/90/1071257290.db2.gz HZNITOICPJPDBG-UHFFFAOYSA-N 0 0 443.595 -0.601 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3nc(-c4ccccc4)no3)CC2)c(=O)n(C)c1=O ZINC000030354928 1071257366 /nfs/dbraw/zinc/25/73/66/1071257366.db2.gz PSAYTCWPSIHKLG-UHFFFAOYSA-N 0 0 446.489 -0.360 20 0 IBADRN CN(C)C(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000030360746 1073099317 /nfs/dbraw/zinc/09/93/17/1073099317.db2.gz SNOJYRPSXGOVGR-UHFFFAOYSA-N 0 0 446.551 -0.305 20 0 IBADRN CCCS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000030362331 1071257351 /nfs/dbraw/zinc/25/73/51/1071257351.db2.gz NBXWSUXECRVLKE-UHFFFAOYSA-N 0 0 430.508 -0.301 20 0 IBADRN CCCS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000030362346 1073250261 /nfs/dbraw/zinc/25/02/61/1073250261.db2.gz SLOBWBMKVKXFQH-UHFFFAOYSA-N 0 0 425.554 -0.184 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCc2cccc(S(=O)(=O)NC)c2)cc1 ZINC000030370444 1073250306 /nfs/dbraw/zinc/25/03/06/1073250306.db2.gz MFRFIXRSMNQICR-UHFFFAOYSA-N 0 0 433.533 -0.019 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(c3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000030377112 1071258323 /nfs/dbraw/zinc/25/83/23/1071258323.db2.gz AIUSEDNIXSLIEB-UHFFFAOYSA-N 0 0 425.486 -0.676 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(c3ccc(Br)cn3)CC2)c(=O)n(C)c1=O ZINC000030382367 1073099469 /nfs/dbraw/zinc/09/94/69/1073099469.db2.gz VMCXLGSKVLMABX-UHFFFAOYSA-N 0 0 444.311 -0.248 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(CC(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000030387659 1073250291 /nfs/dbraw/zinc/25/02/91/1073250291.db2.gz VVHSXEAYURZZIE-UHFFFAOYSA-N 0 0 443.570 -0.175 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NCCc3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000030405443 1072590300 /nfs/dbraw/zinc/59/03/00/1072590300.db2.gz KZSYRECMHYGCQU-UHFFFAOYSA-N 0 0 431.424 -0.135 20 0 IBADRN C[C@@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NC1CCCCC1 ZINC000030405489 1072590293 /nfs/dbraw/zinc/59/02/93/1072590293.db2.gz JHWTXTLHRYAYNO-GFCCVEGCSA-N 0 0 448.480 -0.086 20 0 IBADRN C[C@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NC1CCCCC1 ZINC000030405491 1072590284 /nfs/dbraw/zinc/59/02/84/1072590284.db2.gz JHWTXTLHRYAYNO-LBPRGKRZSA-N 0 0 448.480 -0.086 20 0 IBADRN CCCNC(=O)CN1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000030424547 1071258369 /nfs/dbraw/zinc/25/83/69/1071258369.db2.gz GUCBXQNRZBXEAQ-UHFFFAOYSA-N 0 0 432.568 -0.136 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NC[C@@]3(N4CCOCC4)CCSC3)cc2[nH]c1=O ZINC000030427858 1073099420 /nfs/dbraw/zinc/09/94/20/1073099420.db2.gz ZZPWSHGSWKBPFX-KRWDZBQOSA-N 0 0 426.520 -0.297 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NC[C@]3(N4CCOCC4)CCSC3)cc2[nH]c1=O ZINC000030427861 1073099523 /nfs/dbraw/zinc/09/95/23/1073099523.db2.gz ZZPWSHGSWKBPFX-QGZVFWFLSA-N 0 0 426.520 -0.297 20 0 IBADRN CN(CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)S(C)(=O)=O ZINC000030430804 1073250773 /nfs/dbraw/zinc/25/07/73/1073250773.db2.gz SCYLBSLSTNXBAN-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCNS(=O)(=O)Cc3ccccc3)cc2[nH]c1=O ZINC000030437747 1073128352 /nfs/dbraw/zinc/12/83/52/1073128352.db2.gz HWLNWHWXYUZFMV-UHFFFAOYSA-N 0 0 438.487 -0.386 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccccc2)cc1 ZINC000030437823 1073128433 /nfs/dbraw/zinc/12/84/33/1073128433.db2.gz RUHGIANLFWDGIW-UHFFFAOYSA-N 0 0 433.533 -0.268 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccccc2)cc1 ZINC000030437876 1073128443 /nfs/dbraw/zinc/12/84/43/1073128443.db2.gz XSAZCDJSTFTRRV-UHFFFAOYSA-N 0 0 447.560 -0.007 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccccc2)c1 ZINC000030437960 1073116756 /nfs/dbraw/zinc/11/67/56/1073116756.db2.gz FMTLMZYWHPGYGX-UHFFFAOYSA-N 0 0 433.533 -0.268 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)c(=O)n(C)c1=O ZINC000030495853 1073384644 /nfs/dbraw/zinc/38/46/44/1073384644.db2.gz NDTMXIKCLUYHGC-UHFFFAOYSA-N 0 0 436.490 -0.638 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000030496144 1073384684 /nfs/dbraw/zinc/38/46/84/1073384684.db2.gz XJACMOKPBNBBCL-UHFFFAOYSA-N 0 0 430.508 -0.133 20 0 IBADRN COCCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000030497205 1073250711 /nfs/dbraw/zinc/25/07/11/1073250711.db2.gz JHZRRVHKJYTMHZ-GFCCVEGCSA-N 0 0 426.538 -0.452 20 0 IBADRN COCCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000030497207 1073250661 /nfs/dbraw/zinc/25/06/61/1073250661.db2.gz JHZRRVHKJYTMHZ-LBPRGKRZSA-N 0 0 426.538 -0.452 20 0 IBADRN COc1ccc(CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1F ZINC000030497243 1073099356 /nfs/dbraw/zinc/09/93/56/1073099356.db2.gz KVFRPNQYDRVHLT-UHFFFAOYSA-N 0 0 426.470 -0.262 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc(N3CCCC3=O)cc2)CC1)N1CCOCC1 ZINC000030497736 1073384719 /nfs/dbraw/zinc/38/47/19/1073384719.db2.gz JVQISAUQHNQYSC-UHFFFAOYSA-N 0 0 436.534 -0.022 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)cc1 ZINC000030500807 1073250760 /nfs/dbraw/zinc/25/07/60/1073250760.db2.gz KOCDLKOOZHDVOR-UHFFFAOYSA-N 0 0 445.476 -0.017 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000030518042 1071260924 /nfs/dbraw/zinc/26/09/24/1071260924.db2.gz NGGNGZJPHMDMTK-CQSZACIVSA-N 0 0 425.536 -0.176 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000030518045 1071261085 /nfs/dbraw/zinc/26/10/85/1071261085.db2.gz NGGNGZJPHMDMTK-AWEZNQCLSA-N 0 0 425.536 -0.176 20 0 IBADRN CC(=O)Nc1ccc(OC[C@@H](O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000030530921 1071262699 /nfs/dbraw/zinc/26/26/99/1071262699.db2.gz BENLOGAXEFEABC-RDJZCZTQSA-N 0 0 434.449 -0.446 20 0 IBADRN CC(=O)Nc1ccc(OC[C@H](O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000030530923 1071262771 /nfs/dbraw/zinc/26/27/71/1071262771.db2.gz BENLOGAXEFEABC-WBVHZDCISA-N 0 0 434.449 -0.446 20 0 IBADRN CC(=O)Nc1ccc(OC[C@@H](O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000030530925 1071262844 /nfs/dbraw/zinc/26/28/44/1071262844.db2.gz BENLOGAXEFEABC-DOTOQJQBSA-N 0 0 434.449 -0.446 20 0 IBADRN CC(=O)Nc1ccc(OC[C@H](O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000030530927 1071262754 /nfs/dbraw/zinc/26/27/54/1071262754.db2.gz BENLOGAXEFEABC-NVXWUHKLSA-N 0 0 434.449 -0.446 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cc1 ZINC000030568718 1073099305 /nfs/dbraw/zinc/09/93/05/1073099305.db2.gz RIHTZSZBUXGHRX-UHFFFAOYSA-N 0 0 446.533 -0.251 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000030575342 1073250676 /nfs/dbraw/zinc/25/06/76/1073250676.db2.gz KEQVTRICQBEYDU-UHFFFAOYSA-N 0 0 437.566 -0.775 20 0 IBADRN COc1ccc([C@@H](CNC(=O)Cn2ccc(=O)n(C)c2=O)N2CCOCC2)cc1OC ZINC000030577434 1071264055 /nfs/dbraw/zinc/26/40/55/1071264055.db2.gz QYXDWCOHTSKWAX-MRXNPFEDSA-N 0 0 432.477 -0.246 20 0 IBADRN COc1ccc([C@H](CNC(=O)Cn2ccc(=O)n(C)c2=O)N2CCOCC2)cc1OC ZINC000030577438 1071264290 /nfs/dbraw/zinc/26/42/90/1071264290.db2.gz QYXDWCOHTSKWAX-INIZCTEOSA-N 0 0 432.477 -0.246 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000030589667 1073099673 /nfs/dbraw/zinc/09/96/73/1073099673.db2.gz RRGSZGNBVHDXKL-AWEZNQCLSA-N 0 0 430.552 -0.373 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000030589668 1073099760 /nfs/dbraw/zinc/09/97/60/1073099760.db2.gz RRGSZGNBVHDXKL-CQSZACIVSA-N 0 0 430.552 -0.373 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(Cc3nc(-c4ccccc4)no3)CC2)c(=O)n(C)c1=O ZINC000030590642 1073099903 /nfs/dbraw/zinc/09/99/03/1073099903.db2.gz DBYNEENXKOITHF-UHFFFAOYSA-N 0 0 439.476 -0.283 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(c3cc(C)nc4ncnn43)CC2)c(=O)[nH]c1=O ZINC000030591695 1073099656 /nfs/dbraw/zinc/09/96/56/1073099656.db2.gz OROSNTLXTZFEKW-UHFFFAOYSA-N 0 0 427.469 -0.720 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NNC(=O)[C@@H]2CCCN2S(C)(=O)=O)cc1 ZINC000030598744 1072592005 /nfs/dbraw/zinc/59/20/05/1072592005.db2.gz CMRIHYYDGOPIDK-AWEZNQCLSA-N 0 0 432.524 -0.813 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NNC(=O)[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000030598749 1072592075 /nfs/dbraw/zinc/59/20/75/1072592075.db2.gz CMRIHYYDGOPIDK-CQSZACIVSA-N 0 0 432.524 -0.813 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)NNC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000030599570 1072592050 /nfs/dbraw/zinc/59/20/50/1072592050.db2.gz HOHOSXGJICERKL-OAHLLOKOSA-N 0 0 444.535 -0.344 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)NNC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000030599573 1072591837 /nfs/dbraw/zinc/59/18/37/1072591837.db2.gz HOHOSXGJICERKL-HNNXBMFYSA-N 0 0 444.535 -0.344 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCNS(C)(=O)=O)o1 ZINC000030601184 1073099804 /nfs/dbraw/zinc/09/98/04/1073099804.db2.gz ZCKMPMLIMOEYCM-LLVKDONJSA-N 0 0 437.496 -0.725 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCNS(C)(=O)=O)o1 ZINC000030601185 1073099717 /nfs/dbraw/zinc/09/97/17/1073099717.db2.gz ZCKMPMLIMOEYCM-NSHDSACASA-N 0 0 437.496 -0.725 20 0 IBADRN O=C(CCc1nc(-c2ccccn2)no1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000030606752 1073099678 /nfs/dbraw/zinc/09/96/78/1073099678.db2.gz YNLGIUJKLIQKTN-UHFFFAOYSA-N 0 0 436.494 -0.215 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)CC1 ZINC000030619569 1072592594 /nfs/dbraw/zinc/59/25/94/1072592594.db2.gz HWZOTKDEDHEXCC-QGZVFWFLSA-N 0 0 436.538 -0.163 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)CC1 ZINC000030619571 1072592496 /nfs/dbraw/zinc/59/24/96/1072592496.db2.gz HWZOTKDEDHEXCC-KRWDZBQOSA-N 0 0 436.538 -0.163 20 0 IBADRN O=C(CNC1=NS(=O)(=O)c2ccccc21)NCCCN1CCN(c2ncccn2)CC1 ZINC000030643840 1072593195 /nfs/dbraw/zinc/59/31/95/1072593195.db2.gz FLJKKOXTOXPERS-UHFFFAOYSA-N 0 0 443.533 -0.156 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(C(=O)NCc3cccnc3)CC1)c(=O)n2C ZINC000030655211 1074055783 /nfs/dbraw/zinc/05/57/83/1074055783.db2.gz PUGILHOLFOHCGI-UHFFFAOYSA-N 0 0 439.476 -0.616 20 0 IBADRN Cc1cc(N2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n2ncnc2n1 ZINC000030659324 1073099933 /nfs/dbraw/zinc/09/99/33/1073099933.db2.gz KIQSBDAFUUXIFG-UHFFFAOYSA-N 0 0 435.448 -0.659 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3ccc(O)cc3)CC1)c(=O)n2C ZINC000030661507 1073099860 /nfs/dbraw/zinc/09/98/60/1073099860.db2.gz RSTYBFITBUOEAY-UHFFFAOYSA-N 0 0 426.433 -0.876 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(-n3ncc4c3nc[nH]c4=O)cc1)c(=O)n2C ZINC000030663108 1071267296 /nfs/dbraw/zinc/26/72/96/1071267296.db2.gz HAXPCFRNUSPCGS-UHFFFAOYSA-N 0 0 447.415 -0.505 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)Cc3ccccc3)CC2)C[C@@H](C)O1 ZINC000030664587 1073099818 /nfs/dbraw/zinc/09/98/18/1073099818.db2.gz RPJPIRGILWWHPU-IAGOWNOFSA-N 0 0 438.550 -0.157 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)Cc3ccccc3)CC2)C[C@H](C)O1 ZINC000030664590 1073099748 /nfs/dbraw/zinc/09/97/48/1073099748.db2.gz RPJPIRGILWWHPU-CALCHBBNSA-N 0 0 438.550 -0.157 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)Cc3ccccc3)CC2)C[C@H](C)O1 ZINC000030664595 1073099946 /nfs/dbraw/zinc/09/99/46/1073099946.db2.gz RPJPIRGILWWHPU-IRXDYDNUSA-N 0 0 438.550 -0.157 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)c3ccco3)CC2)C[C@@H](C)O1 ZINC000030665819 1073099845 /nfs/dbraw/zinc/09/98/45/1073099845.db2.gz AGDHJTPLWDIWSO-HUUCEWRRSA-N 0 0 428.511 -0.102 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)c3ccco3)CC2)C[C@H](C)O1 ZINC000030665822 1073099773 /nfs/dbraw/zinc/09/97/73/1073099773.db2.gz AGDHJTPLWDIWSO-GASCZTMLSA-N 0 0 428.511 -0.102 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)c3ccco3)CC2)C[C@H](C)O1 ZINC000030665827 1073099832 /nfs/dbraw/zinc/09/98/32/1073099832.db2.gz AGDHJTPLWDIWSO-GJZGRUSLSA-N 0 0 428.511 -0.102 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3n[nH]c(=O)c4ccccc34)CC2)C[C@@H](C)O1 ZINC000030666084 1071267528 /nfs/dbraw/zinc/26/75/28/1071267528.db2.gz LITMBUJRSXZPDS-HUUCEWRRSA-N 0 0 449.533 -0.036 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3n[nH]c(=O)c4ccccc34)CC2)C[C@H](C)O1 ZINC000030666087 1071267272 /nfs/dbraw/zinc/26/72/72/1071267272.db2.gz LITMBUJRSXZPDS-GASCZTMLSA-N 0 0 449.533 -0.036 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3n[nH]c(=O)c4ccccc34)CC2)C[C@H](C)O1 ZINC000030666092 1071267589 /nfs/dbraw/zinc/26/75/89/1071267589.db2.gz LITMBUJRSXZPDS-GJZGRUSLSA-N 0 0 449.533 -0.036 20 0 IBADRN Cc1nc(N2CCOCC2)sc1C(=O)NNC(=O)Cn1c(=O)[nH]c2ccccc2c1=O ZINC000030673726 1073219359 /nfs/dbraw/zinc/21/93/59/1073219359.db2.gz RZCXVEDTAMTGMP-UHFFFAOYSA-N 0 0 444.473 -0.247 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)Cn3c(=O)[nH]c4ccccc4c3=O)CC2)no1 ZINC000030674476 1073099734 /nfs/dbraw/zinc/09/97/34/1073099734.db2.gz PBSBHXBAEBQSQD-UHFFFAOYSA-N 0 0 426.433 -0.231 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc2c1=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000030674734 1073099917 /nfs/dbraw/zinc/09/99/17/1073099917.db2.gz LIYWUPCHDKMTHI-UHFFFAOYSA-N 0 0 449.533 -0.045 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)OCCN1C(=O)CNC1=O ZINC000030680525 1073224672 /nfs/dbraw/zinc/22/46/72/1073224672.db2.gz ZRZNBEFLHUPKJX-UHFFFAOYSA-N 0 0 425.341 -0.042 20 0 IBADRN COC(=O)CNC(=O)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(C)C ZINC000030692593 1073251204 /nfs/dbraw/zinc/25/12/04/1073251204.db2.gz QGOOPYLAZFOTGE-KRWDZBQOSA-N 0 0 441.506 -0.249 20 0 IBADRN COC(=O)CNC(=O)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(C)C ZINC000030692600 1073251179 /nfs/dbraw/zinc/25/11/79/1073251179.db2.gz QGOOPYLAZFOTGE-QGZVFWFLSA-N 0 0 441.506 -0.249 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCc3nc(-c4ccccn4)no3)CC2)CC1 ZINC000030694242 1073099789 /nfs/dbraw/zinc/09/97/89/1073099789.db2.gz IRRQTLMRRMJOSM-UHFFFAOYSA-N 0 0 449.537 -0.299 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCCN(S(C)(=O)=O)CC2)C1=O ZINC000030694321 1072594565 /nfs/dbraw/zinc/59/45/65/1072594565.db2.gz SNUCBPSZXDXMCD-IBGZPJMESA-N 0 0 437.522 -0.158 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCCN(S(C)(=O)=O)CC2)C1=O ZINC000030694326 1072594456 /nfs/dbraw/zinc/59/44/56/1072594456.db2.gz SNUCBPSZXDXMCD-LJQANCHMSA-N 0 0 437.522 -0.158 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(c4ccccc4)C3)CC2)CC1 ZINC000030694545 1073118109 /nfs/dbraw/zinc/11/81/09/1073118109.db2.gz WZADROYHMDFLRM-KRWDZBQOSA-N 0 0 435.550 -0.324 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(c4ccccc4)C3)CC2)CC1 ZINC000030694552 1071277446 /nfs/dbraw/zinc/27/74/46/1071277446.db2.gz WZADROYHMDFLRM-QGZVFWFLSA-N 0 0 435.550 -0.324 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1-n1cnnn1 ZINC000030694867 1071277924 /nfs/dbraw/zinc/27/79/24/1071277924.db2.gz KLHPPONBLRUDKO-UHFFFAOYSA-N 0 0 434.526 -0.779 20 0 IBADRN Cc1nn(C)c2nc(C)c(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc12 ZINC000030694946 1073099691 /nfs/dbraw/zinc/09/96/91/1073099691.db2.gz HLJCYCPCQOOIFO-UHFFFAOYSA-N 0 0 435.554 -0.165 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@@H](C(F)(F)F)NC3=O)CC2)CC1 ZINC000030694993 1073099887 /nfs/dbraw/zinc/09/98/87/1073099887.db2.gz FWGWYEYERSDFGH-OLZOCXBDSA-N 0 0 441.476 -0.920 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC[C@@H](C(F)(F)F)NC3=O)CC2)CC1 ZINC000030694998 1073100282 /nfs/dbraw/zinc/10/02/82/1073100282.db2.gz FWGWYEYERSDFGH-STQMWFEESA-N 0 0 441.476 -0.920 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@H](C(F)(F)F)NC3=O)CC2)CC1 ZINC000030695004 1071651486 /nfs/dbraw/zinc/65/14/86/1071651486.db2.gz FWGWYEYERSDFGH-CHWSQXEVSA-N 0 0 441.476 -0.920 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC[C@H](C(F)(F)F)NC3=O)CC2)CC1 ZINC000030695011 1071651437 /nfs/dbraw/zinc/65/14/37/1071651437.db2.gz FWGWYEYERSDFGH-QWHCGFSZSA-N 0 0 441.476 -0.920 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000030695248 1073100349 /nfs/dbraw/zinc/10/03/49/1073100349.db2.gz HBJBANGDVZDPSR-UHFFFAOYSA-N 0 0 448.549 -0.659 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3CCN(c4cnccn4)CC3)CC2)CC1 ZINC000030695266 1073100338 /nfs/dbraw/zinc/10/03/38/1073100338.db2.gz ANBYYTJFXUFIDA-UHFFFAOYSA-N 0 0 437.570 -0.671 20 0 IBADRN Cc1ccc2ncc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c(=O)n2c1 ZINC000030695598 1073100319 /nfs/dbraw/zinc/10/03/19/1073100319.db2.gz VJIKCBSEYFCYCG-UHFFFAOYSA-N 0 0 434.522 -0.747 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3ncc4ccccc4c3=O)CC2)CC1 ZINC000030695645 1073100296 /nfs/dbraw/zinc/10/02/96/1073100296.db2.gz DYUXPAWMMNKCDX-UHFFFAOYSA-N 0 0 434.522 -0.967 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCc3nc(-c4ccco4)no3)CC2)CC1 ZINC000030695813 1073100261 /nfs/dbraw/zinc/10/02/61/1073100261.db2.gz QOLQXEZBOUEVSQ-UHFFFAOYSA-N 0 0 438.510 -0.101 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cn(Cc4ccccc4)nn3)CC2)CC1 ZINC000030696279 1073100301 /nfs/dbraw/zinc/10/03/01/1073100301.db2.gz DWESKBWHNUKDMF-UHFFFAOYSA-N 0 0 433.538 -0.424 20 0 IBADRN Cc1nn(C)c2nc(C)cc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c12 ZINC000030696316 1073100312 /nfs/dbraw/zinc/10/03/12/1073100312.db2.gz QALUNXQWCAARRT-UHFFFAOYSA-N 0 0 435.554 -0.165 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(Cn4cncn4)cc3)CC2)CC1 ZINC000030696348 1071278265 /nfs/dbraw/zinc/27/82/65/1071278265.db2.gz WEIHSKAJTWPZQG-UHFFFAOYSA-N 0 0 433.538 -0.424 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1cccs1 ZINC000030696546 1073100305 /nfs/dbraw/zinc/10/03/05/1073100305.db2.gz BZHJLXKVOXDQJT-MRXNPFEDSA-N 0 0 443.595 -0.048 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1cccs1 ZINC000030696553 1073100343 /nfs/dbraw/zinc/10/03/43/1073100343.db2.gz BZHJLXKVOXDQJT-INIZCTEOSA-N 0 0 443.595 -0.048 20 0 IBADRN C[C@@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)NC(=O)c1ccccc1 ZINC000030696588 1073100355 /nfs/dbraw/zinc/10/03/55/1073100355.db2.gz HYVHUQYSPXAZHA-KRWDZBQOSA-N 0 0 437.566 -0.169 20 0 IBADRN C[C@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)NC(=O)c1ccccc1 ZINC000030696599 1073100332 /nfs/dbraw/zinc/10/03/32/1073100332.db2.gz HYVHUQYSPXAZHA-QGZVFWFLSA-N 0 0 437.566 -0.169 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(N4CCOCC4)nc3)CC2)CC1 ZINC000030696640 1073238124 /nfs/dbraw/zinc/23/81/24/1073238124.db2.gz VFIJQXMNGIMIDJ-UHFFFAOYSA-N 0 0 438.554 -0.832 20 0 IBADRN C[C@@H](NC(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000030696702 1073100284 /nfs/dbraw/zinc/10/02/84/1073100284.db2.gz WNJZOQFVLTVDKQ-CQSZACIVSA-N 0 0 429.568 -0.497 20 0 IBADRN C[C@H](NC(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000030696711 1071651328 /nfs/dbraw/zinc/65/13/28/1071651328.db2.gz WNJZOQFVLTVDKQ-AWEZNQCLSA-N 0 0 429.568 -0.497 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(C[S@@](C)=O)c3)CC2)CC1 ZINC000030696742 1073100316 /nfs/dbraw/zinc/10/03/16/1073100316.db2.gz NFRYBASIQHSTMJ-MHZLTWQESA-N 0 0 428.580 -0.185 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(C[S@](C)=O)c3)CC2)CC1 ZINC000030696749 1073100288 /nfs/dbraw/zinc/10/02/88/1073100288.db2.gz NFRYBASIQHSTMJ-HHHXNRCGSA-N 0 0 428.580 -0.185 20 0 IBADRN C=C1c2ccccc2C(=O)N1CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000030696844 1073118182 /nfs/dbraw/zinc/11/81/82/1073118182.db2.gz LFOIHVYPBHHGMO-UHFFFAOYSA-N 0 0 433.534 -0.251 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccccc1 ZINC000030696868 1073100292 /nfs/dbraw/zinc/10/02/92/1073100292.db2.gz NQQSXYMJNWIXKN-LJQANCHMSA-N 0 0 437.566 -0.110 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccccc1 ZINC000030696875 1073100274 /nfs/dbraw/zinc/10/02/74/1073100274.db2.gz NQQSXYMJNWIXKN-IBGZPJMESA-N 0 0 437.566 -0.110 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCn3cnc4ccccc4c3=O)CC2)CC1 ZINC000030696938 1073100270 /nfs/dbraw/zinc/10/02/70/1073100270.db2.gz HDXBWLKZQJDLNB-UHFFFAOYSA-N 0 0 448.549 -0.577 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc(F)cc3)CC2)CC1 ZINC000030697079 1073100279 /nfs/dbraw/zinc/10/02/79/1073100279.db2.gz UEIFBSZGGQQJBH-UHFFFAOYSA-N 0 0 427.502 -0.808 20 0 IBADRN CC(=O)N1CCc2cc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)ccc21 ZINC000030697262 1073100325 /nfs/dbraw/zinc/10/03/25/1073100325.db2.gz SSEBKRANGRNGNT-UHFFFAOYSA-N 0 0 435.550 -0.154 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccc(Cl)c3)CC2)CC1 ZINC000030697740 1073100734 /nfs/dbraw/zinc/10/07/34/1073100734.db2.gz VGCRVWNMSFULDS-UHFFFAOYSA-N 0 0 443.957 -0.294 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000030697757 1073100758 /nfs/dbraw/zinc/10/07/58/1073100758.db2.gz IZKXKPDZKCMYQF-UHFFFAOYSA-N 0 0 439.538 -0.939 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCCNC(=O)c3ccsc3)CC2)CC1 ZINC000030697948 1073100745 /nfs/dbraw/zinc/10/07/45/1073100745.db2.gz QOZLASRGBKTYKI-UHFFFAOYSA-N 0 0 443.595 -0.106 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCCNC(=O)c3ccccc3)CC2)CC1 ZINC000030698150 1073100793 /nfs/dbraw/zinc/10/07/93/1073100793.db2.gz JNLIKUXGIVWUIC-UHFFFAOYSA-N 0 0 437.566 -0.167 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)c3cccs3)CC2)CC1 ZINC000030698155 1073100816 /nfs/dbraw/zinc/10/08/16/1073100816.db2.gz IMQBPWKCJCEUPV-UHFFFAOYSA-N 0 0 429.568 -0.496 20 0 IBADRN CCc1[nH]c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(C)c1C(=O)OC ZINC000030698167 1073100829 /nfs/dbraw/zinc/10/08/29/1073100829.db2.gz HLDKCVFYYUHPAP-UHFFFAOYSA-N 0 0 441.554 -0.078 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H](c1ccccc1)N1CCOCC1)c(=O)n2C ZINC000030698401 1071278046 /nfs/dbraw/zinc/27/80/46/1071278046.db2.gz PBWDQTKEBNWIAW-MRXNPFEDSA-N 0 0 426.477 -0.377 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H](c1ccccc1)N1CCOCC1)c(=O)n2C ZINC000030698406 1071278120 /nfs/dbraw/zinc/27/81/20/1071278120.db2.gz PBWDQTKEBNWIAW-INIZCTEOSA-N 0 0 426.477 -0.377 20 0 IBADRN COc1cc(OC)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1OC ZINC000030698468 1073100674 /nfs/dbraw/zinc/10/06/74/1073100674.db2.gz WMBZDUKKMSVHQU-UHFFFAOYSA-N 0 0 442.538 -0.038 20 0 IBADRN COc1ccc(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1OC ZINC000030698559 1072601835 /nfs/dbraw/zinc/60/18/35/1072601835.db2.gz FDEGXYBOYPFKML-UHFFFAOYSA-N 0 0 426.539 -0.117 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3nn(C)c(=O)c4ccccc43)CC2)CC1 ZINC000030698912 1073118133 /nfs/dbraw/zinc/11/81/33/1073118133.db2.gz YBLCUIDZFOTGBB-UHFFFAOYSA-N 0 0 434.522 -0.817 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc([N+](=O)[O-])cc([N+](=O)[O-])c3)CC2)CC1 ZINC000030698923 1073238991 /nfs/dbraw/zinc/23/89/91/1073238991.db2.gz BPDHKUNGFGVDOC-UHFFFAOYSA-N 0 0 442.454 -0.247 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(Cc4ccccc4)C3)CC2)CC1 ZINC000030698952 1073100789 /nfs/dbraw/zinc/10/07/89/1073100789.db2.gz MGVHFPNTVYEJQQ-IBGZPJMESA-N 0 0 449.577 -0.329 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(Cc4ccccc4)C3)CC2)CC1 ZINC000030698960 1073100809 /nfs/dbraw/zinc/10/08/09/1073100809.db2.gz MGVHFPNTVYEJQQ-LJQANCHMSA-N 0 0 449.577 -0.329 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)cnc4ccccc43)CC2)CC1 ZINC000030699164 1073100770 /nfs/dbraw/zinc/10/07/70/1073100770.db2.gz RSMFMRVQKFEVDM-UHFFFAOYSA-N 0 0 434.522 -0.967 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCc3nc4ccccc4c(=O)[nH]3)CC2)CC1 ZINC000030699936 1071277976 /nfs/dbraw/zinc/27/79/76/1071277976.db2.gz LPCXGRQEVBVYEU-UHFFFAOYSA-N 0 0 448.549 -0.508 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCCN(S(C)(=O)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000030702468 1073100690 /nfs/dbraw/zinc/10/06/90/1073100690.db2.gz AXEOKBNYCXTBSP-UHFFFAOYSA-N 0 0 449.533 -0.673 20 0 IBADRN COc1ccc(NC(=O)CN2CCCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000030703621 1073100721 /nfs/dbraw/zinc/10/07/21/1073100721.db2.gz CNMQUASDZZNDTI-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCCN(S(C)(=O)=O)CC2)c(=O)[nH]c1=O ZINC000030703691 1073100711 /nfs/dbraw/zinc/10/07/11/1073100711.db2.gz VNNHIXKNHHAGDE-UHFFFAOYSA-N 0 0 444.558 -0.761 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCCN(S(C)(=O)=O)CC1 ZINC000030703976 1071278217 /nfs/dbraw/zinc/27/82/17/1071278217.db2.gz SZLODCIFPFXZNA-CYBMUJFWSA-N 0 0 430.552 -0.421 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCCN(S(C)(=O)=O)CC1 ZINC000030703983 1071277960 /nfs/dbraw/zinc/27/79/60/1071277960.db2.gz SZLODCIFPFXZNA-ZDUSSCGKSA-N 0 0 430.552 -0.421 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(CC(=O)N3CCCCCC3)CC1)c(=O)n2C ZINC000030710218 1073100847 /nfs/dbraw/zinc/10/08/47/1073100847.db2.gz WOWIAVAANAETTC-UHFFFAOYSA-N 0 0 445.568 -0.156 20 0 IBADRN Cn1c2ncn(CCSc3nnc(N4CCOCC4)n3C3CC3)c2c(=O)n(C)c1=O ZINC000030744516 1073100836 /nfs/dbraw/zinc/10/08/36/1073100836.db2.gz HBVAMZTVPNLNAL-UHFFFAOYSA-N 0 0 432.510 -0.011 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4cccs4)CC3)c2c(=O)n(C)c1=O ZINC000030785526 1073100817 /nfs/dbraw/zinc/10/08/17/1073100817.db2.gz SACOQGCSIHKIJX-UHFFFAOYSA-N 0 0 430.490 -0.130 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN2c2ncccn2)c(=O)n(C)c1=O ZINC000030828157 1073296065 /nfs/dbraw/zinc/29/60/65/1073296065.db2.gz KHRATKUHRXYZDJ-ZDUSSCGKSA-N 0 0 430.465 -0.030 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN2c2ncccn2)c(=O)n(C)c1=O ZINC000030828160 1073296108 /nfs/dbraw/zinc/29/61/08/1073296108.db2.gz KHRATKUHRXYZDJ-CYBMUJFWSA-N 0 0 430.465 -0.030 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCO[C@@H](CC)C2)c(=O)[nH]c1=O ZINC000030858859 1073101373 /nfs/dbraw/zinc/10/13/73/1073101373.db2.gz JOTGOSRGXGPUFZ-AWEZNQCLSA-N 0 0 439.513 -0.074 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCO[C@H](CC)C2)c(=O)[nH]c1=O ZINC000030858867 1073101314 /nfs/dbraw/zinc/10/13/14/1073101314.db2.gz JOTGOSRGXGPUFZ-CQSZACIVSA-N 0 0 439.513 -0.074 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)o1 ZINC000030870765 1073101377 /nfs/dbraw/zinc/10/13/77/1073101377.db2.gz HENJAVLJTWMKBJ-VXGBXAGGSA-N 0 0 434.492 -0.087 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)o1 ZINC000030870772 1073101298 /nfs/dbraw/zinc/10/12/98/1073101298.db2.gz HENJAVLJTWMKBJ-NEPJUHHUSA-N 0 0 434.492 -0.087 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)c1=O ZINC000030889443 1073101321 /nfs/dbraw/zinc/10/13/21/1073101321.db2.gz OJXSOURRSXGSTH-UHFFFAOYSA-N 0 0 432.502 -0.431 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000030916635 1073101288 /nfs/dbraw/zinc/10/12/88/1073101288.db2.gz ZVILCLMYFISDIO-UHFFFAOYSA-N 0 0 431.496 -0.576 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000030917248 1072599586 /nfs/dbraw/zinc/59/95/86/1072599586.db2.gz IDUCXKGOFIKIGU-AATRIKPKSA-N 0 0 437.478 -0.765 20 0 IBADRN N#Cc1ccnc(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)c1 ZINC000030936351 1072600639 /nfs/dbraw/zinc/60/06/39/1072600639.db2.gz HXFXUBLLXJBXQL-OAHLLOKOSA-N 0 0 430.490 -0.233 20 0 IBADRN N#Cc1ccnc(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)c1 ZINC000030936353 1072600613 /nfs/dbraw/zinc/60/06/13/1072600613.db2.gz HXFXUBLLXJBXQL-HNNXBMFYSA-N 0 0 430.490 -0.233 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000030962954 1073388574 /nfs/dbraw/zinc/38/85/74/1073388574.db2.gz ZJNHLGNVPFIQBF-UHFFFAOYSA-N 0 0 448.505 -0.811 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000030968712 1073101294 /nfs/dbraw/zinc/10/12/94/1073101294.db2.gz HCVWXWGNUNQQDQ-IBGZPJMESA-N 0 0 445.520 -0.204 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000030968714 1073101251 /nfs/dbraw/zinc/10/12/51/1073101251.db2.gz HCVWXWGNUNQQDQ-LJQANCHMSA-N 0 0 445.520 -0.204 20 0 IBADRN Cn1c(SCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)nnc1N1CCOCC1 ZINC000030974680 1071318829 /nfs/dbraw/zinc/31/88/29/1071318829.db2.gz LGWIHXCDMLRAKY-UHFFFAOYSA-N 0 0 439.586 -0.197 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3nc(-c4c[nH]c(C(N)=O)c4)cs3)c2c(=O)n(C)c1=O ZINC000030982223 1071318706 /nfs/dbraw/zinc/31/87/06/1071318706.db2.gz NADGDCYQXJVQKS-UHFFFAOYSA-N 0 0 428.434 -0.377 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000031000914 1072601284 /nfs/dbraw/zinc/60/12/84/1072601284.db2.gz PZTYOPSRQBBFIJ-UHFFFAOYSA-N 0 0 428.536 -0.002 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CC(=O)N(c3ccc4c(c3)CCC4)C2)c(=O)n(C)c1=O ZINC000031003149 1073362702 /nfs/dbraw/zinc/36/27/02/1073362702.db2.gz BNYQNUXLLXCLSJ-AWEZNQCLSA-N 0 0 440.456 -0.066 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)CCC4)C2)c(=O)n(C)c1=O ZINC000031003153 1073362620 /nfs/dbraw/zinc/36/26/20/1073362620.db2.gz BNYQNUXLLXCLSJ-CQSZACIVSA-N 0 0 440.456 -0.066 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000031041328 1073101360 /nfs/dbraw/zinc/10/13/60/1073101360.db2.gz PSIDKANAUKVUHX-UHFFFAOYSA-N 0 0 433.512 -0.940 20 0 IBADRN C[C@@H](Oc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000031069968 1073101353 /nfs/dbraw/zinc/10/13/53/1073101353.db2.gz SLPCBOYQIWQQDU-ZIAGYGMSSA-N 0 0 432.520 -0.222 20 0 IBADRN C[C@H](Oc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000031069971 1073101283 /nfs/dbraw/zinc/10/12/83/1073101283.db2.gz SLPCBOYQIWQQDU-UONOGXRCSA-N 0 0 432.520 -0.222 20 0 IBADRN C[C@@H](Oc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000031069975 1073101369 /nfs/dbraw/zinc/10/13/69/1073101369.db2.gz SLPCBOYQIWQQDU-KGLIPLIRSA-N 0 0 432.520 -0.222 20 0 IBADRN C[C@H](Oc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000031069978 1073101342 /nfs/dbraw/zinc/10/13/42/1073101342.db2.gz SLPCBOYQIWQQDU-KBPBESRZSA-N 0 0 432.520 -0.222 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)NCc1ccco1 ZINC000031071605 1073101330 /nfs/dbraw/zinc/10/13/30/1073101330.db2.gz YQIYMBMPCUWQHI-UHFFFAOYSA-N 0 0 443.503 -0.105 20 0 IBADRN COc1ccc(F)cc1CN1CCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000031074847 1073389652 /nfs/dbraw/zinc/38/96/52/1073389652.db2.gz QXNCHPBQWZQQQZ-UHFFFAOYSA-N 0 0 426.470 -0.262 20 0 IBADRN COCCN(C(=O)CN(C)CC(=O)N1CCCC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000031085748 1073389701 /nfs/dbraw/zinc/38/97/01/1073389701.db2.gz CBIVPALOFRNZET-UHFFFAOYSA-N 0 0 438.529 -0.692 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccc4c(c3)OCO4)CC2)CC1 ZINC000031086049 1073101263 /nfs/dbraw/zinc/10/12/63/1073101263.db2.gz NMAPZUOEVNJSIL-UHFFFAOYSA-N 0 0 425.511 -0.265 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000031086067 1073101356 /nfs/dbraw/zinc/10/13/56/1073101356.db2.gz ASRGCIZFQRDOQJ-MRXNPFEDSA-N 0 0 439.538 -0.354 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000031086072 1073101347 /nfs/dbraw/zinc/10/13/47/1073101347.db2.gz ASRGCIZFQRDOQJ-INIZCTEOSA-N 0 0 439.538 -0.354 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)CC(=O)N1CCCC1 ZINC000031086330 1073389569 /nfs/dbraw/zinc/38/95/69/1073389569.db2.gz XJDZJSAKVKDPKI-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000031103038 1073407605 /nfs/dbraw/zinc/40/76/05/1073407605.db2.gz NUKCTAAKHHYGQR-UHFFFAOYSA-N 0 0 436.498 -0.190 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000031116301 1071319380 /nfs/dbraw/zinc/31/93/80/1071319380.db2.gz PFYRYPDOYWBDQP-UHFFFAOYSA-N 0 0 427.508 -0.193 20 0 IBADRN Cc1nc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)sc1SCC(N)=O ZINC000031119937 1071319360 /nfs/dbraw/zinc/31/93/60/1071319360.db2.gz HNSUXYQISMVALF-UHFFFAOYSA-N 0 0 437.507 -0.195 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)N(CC)CC)CC2)CC1 ZINC000031159484 1073418763 /nfs/dbraw/zinc/41/87/63/1073418763.db2.gz UAOFMAHCRXWUCW-UHFFFAOYSA-N 0 0 446.618 -0.109 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000031159790 1072608070 /nfs/dbraw/zinc/60/80/70/1072608070.db2.gz HJVFEJFIKRCSQB-UHFFFAOYSA-N 0 0 434.478 -0.239 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000031163092 1073101305 /nfs/dbraw/zinc/10/13/05/1073101305.db2.gz LMLKVUNGWGRGTO-UHFFFAOYSA-N 0 0 434.478 -0.239 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CN2C(=O)NC3(CCCC3)C2=O)c(=O)[nH]c1=O ZINC000031180072 1073316721 /nfs/dbraw/zinc/31/67/21/1073316721.db2.gz OXTXJXVZMIYXGH-UHFFFAOYSA-N 0 0 436.469 -0.627 20 0 IBADRN Cc1nc(C)c(CC(=O)NNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)c(=O)[nH]1 ZINC000031213468 1073434600 /nfs/dbraw/zinc/43/46/00/1073434600.db2.gz KFXKHTZDXILKMQ-UHFFFAOYSA-N 0 0 449.489 -0.589 20 0 IBADRN CCOC(=O)NCCC(=O)NNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000031213472 1073434771 /nfs/dbraw/zinc/43/47/71/1073434771.db2.gz RQMFYBOXWUWFCO-UHFFFAOYSA-N 0 0 428.467 -0.395 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCCNS(C)(=O)=O)c2)CC1 ZINC000031216044 1073101867 /nfs/dbraw/zinc/10/18/67/1073101867.db2.gz PKJSPCPOJFEOMW-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN COc1ccc(CC(=O)NCCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000031216645 1073101881 /nfs/dbraw/zinc/10/18/81/1073101881.db2.gz BTIQZMRKCPTQIR-UHFFFAOYSA-N 0 0 449.551 -0.686 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000031217834 1072603961 /nfs/dbraw/zinc/60/39/61/1072603961.db2.gz LYXZWASALYTBKN-UHFFFAOYSA-N 0 0 428.536 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCNS(C)(=O)=O ZINC000031217869 1071319808 /nfs/dbraw/zinc/31/98/08/1071319808.db2.gz ZUPJAUCSNRKZCI-UHFFFAOYSA-N 0 0 435.524 -0.615 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NCCCNS(C)(=O)=O)n2C ZINC000031218896 1073101892 /nfs/dbraw/zinc/10/18/92/1073101892.db2.gz NBZOYSWXOXFPKG-UHFFFAOYSA-N 0 0 445.567 -0.188 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NCCCNS(C)(=O)=O)c1 ZINC000031219224 1072603780 /nfs/dbraw/zinc/60/37/80/1072603780.db2.gz JMUDOLUUNMPYQP-UHFFFAOYSA-N 0 0 427.932 -0.066 20 0 IBADRN CCc1ccc(C(=O)NCCNS(=O)(=O)CC)cc1S(=O)(=O)N1CCOCC1 ZINC000031219297 1071711549 /nfs/dbraw/zinc/71/15/49/1071711549.db2.gz SXJCYSYCGDQWOM-UHFFFAOYSA-N 0 0 433.552 -0.061 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCCNS(C)(=O)=O)c1 ZINC000031219939 1071319770 /nfs/dbraw/zinc/31/97/70/1071319770.db2.gz QKFFLHCDSRCZJD-UHFFFAOYSA-N 0 0 448.567 -0.557 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)c1ccc(C(=O)NCCCNS(C)(=O)=O)cc1 ZINC000031220014 1073101877 /nfs/dbraw/zinc/10/18/77/1073101877.db2.gz MLBOSIJITALNDA-UHFFFAOYSA-N 0 0 434.540 -0.975 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1ccc(C(=O)NCCNS(=O)(=O)CC)cc1 ZINC000031220028 1071712019 /nfs/dbraw/zinc/71/20/19/1071712019.db2.gz LLUMKFVWFCBKTL-UHFFFAOYSA-N 0 0 434.540 -0.975 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000031220168 1073101855 /nfs/dbraw/zinc/10/18/55/1073101855.db2.gz ADEWUFRHPRZYLD-UHFFFAOYSA-N 0 0 448.567 -0.557 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1cc(S(=O)(=O)NCCOC)ccc1Cl ZINC000031221045 1073435492 /nfs/dbraw/zinc/43/54/92/1073435492.db2.gz SBCXRTWPTRQLRC-UHFFFAOYSA-N 0 0 427.932 -0.066 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000031221309 1073101872 /nfs/dbraw/zinc/10/18/72/1073101872.db2.gz GDLFMTMBRSHEAT-LBPRGKRZSA-N 0 0 435.524 -0.430 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC000031222023 1071319697 /nfs/dbraw/zinc/31/96/97/1071319697.db2.gz BDIYDNXQFSXAKH-UHFFFAOYSA-N 0 0 429.529 -0.252 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000031222453 1073101844 /nfs/dbraw/zinc/10/18/44/1073101844.db2.gz ILHUCFHFWMJJIW-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000031222527 1073435373 /nfs/dbraw/zinc/43/53/73/1073435373.db2.gz AOULHSODRSJYDD-UHFFFAOYSA-N 0 0 428.536 -0.097 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000031222537 1071319636 /nfs/dbraw/zinc/31/96/36/1071319636.db2.gz UTMWBTOSPDZWMO-UHFFFAOYSA-N 0 0 442.538 -0.073 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000031223692 1073101829 /nfs/dbraw/zinc/10/18/29/1073101829.db2.gz ISAGLXSURWVADY-UHFFFAOYSA-N 0 0 449.551 -0.686 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000031224348 1073101833 /nfs/dbraw/zinc/10/18/33/1073101833.db2.gz WGTXMKKDSOHQTR-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000031224489 1073101852 /nfs/dbraw/zinc/10/18/52/1073101852.db2.gz BITJFPLSBBIRCI-INIZCTEOSA-N 0 0 449.551 -0.184 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCCNC(C)=O)c1OC ZINC000031244106 1073119349 /nfs/dbraw/zinc/11/93/49/1073119349.db2.gz NUXZUEWHTKRMGJ-UHFFFAOYSA-N 0 0 429.495 -0.019 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031247933 1073101860 /nfs/dbraw/zinc/10/18/60/1073101860.db2.gz GHDIERDRWKUXNX-UHFFFAOYSA-N 0 0 446.551 -0.924 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)no1 ZINC000031247997 1073101839 /nfs/dbraw/zinc/10/18/39/1073101839.db2.gz XLBWIZNYMNVFPN-UHFFFAOYSA-N 0 0 447.539 -0.624 20 0 IBADRN O=C([C@@H]1CC(=O)N(Cc2ccccc2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248051 1073101887 /nfs/dbraw/zinc/10/18/87/1073101887.db2.gz OZMXMLAPZRUMCM-GOSISDBHSA-N 0 0 436.534 -0.244 20 0 IBADRN O=C([C@H]1CC(=O)N(Cc2ccccc2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248053 1073101864 /nfs/dbraw/zinc/10/18/64/1073101864.db2.gz OZMXMLAPZRUMCM-SFHVURJKSA-N 0 0 436.534 -0.244 20 0 IBADRN O=C(c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248055 1072605158 /nfs/dbraw/zinc/60/51/58/1072605158.db2.gz NFVIVBHKCCEBNX-UHFFFAOYSA-N 0 0 429.411 -0.162 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccco1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248122 1073101875 /nfs/dbraw/zinc/10/18/75/1073101875.db2.gz GXAUDADYOWMVIC-MRXNPFEDSA-N 0 0 428.511 -0.245 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccco1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248124 1073101880 /nfs/dbraw/zinc/10/18/80/1073101880.db2.gz GXAUDADYOWMVIC-INIZCTEOSA-N 0 0 428.511 -0.245 20 0 IBADRN COc1cc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc(OC)c1OC ZINC000031248138 1073101848 /nfs/dbraw/zinc/10/18/48/1073101848.db2.gz YDNOVEWOUMMBSM-UHFFFAOYSA-N 0 0 443.522 -0.024 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000031248199 1071319260 /nfs/dbraw/zinc/31/92/60/1071319260.db2.gz APUFWPDEEFHQEY-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)Oc2ccccc21 ZINC000031248344 1072605260 /nfs/dbraw/zinc/60/52/60/1072605260.db2.gz SNZCOLPTSAQAEM-SFHVURJKSA-N 0 0 438.506 -0.478 20 0 IBADRN CC(=O)N1C[C@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)Oc2ccccc21 ZINC000031248346 1072605167 /nfs/dbraw/zinc/60/51/67/1072605167.db2.gz SNZCOLPTSAQAEM-GOSISDBHSA-N 0 0 438.506 -0.478 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248364 1073101885 /nfs/dbraw/zinc/10/18/85/1073101885.db2.gz SVWXWJSDBXKBSM-UHFFFAOYSA-N 0 0 440.547 -0.154 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000031248447 1073101890 /nfs/dbraw/zinc/10/18/90/1073101890.db2.gz ZPTXQVOKUKBJTD-UHFFFAOYSA-N 0 0 440.522 -0.464 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248457 1073101821 /nfs/dbraw/zinc/10/18/21/1073101821.db2.gz LCSNOCJFUBZONR-UHFFFAOYSA-N 0 0 443.551 -0.466 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)nn1-c1ccccc1 ZINC000031248515 1073102392 /nfs/dbraw/zinc/10/23/92/1073102392.db2.gz RFEMWNYGBPHNTB-UHFFFAOYSA-N 0 0 447.517 -0.124 20 0 IBADRN CCn1c2ccccc2n(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1=O ZINC000031248622 1073102469 /nfs/dbraw/zinc/10/24/69/1073102469.db2.gz SRMMHSVHJITLMH-UHFFFAOYSA-N 0 0 437.522 -0.456 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000031248673 1073102335 /nfs/dbraw/zinc/10/23/35/1073102335.db2.gz DSLHEPNYAMUPSB-HNNXBMFYSA-N 0 0 438.506 -0.478 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000031248675 1073102375 /nfs/dbraw/zinc/10/23/75/1073102375.db2.gz DSLHEPNYAMUPSB-OAHLLOKOSA-N 0 0 438.506 -0.478 20 0 IBADRN O=C(c1ccc(N2CCOCC2)nc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248707 1073119344 /nfs/dbraw/zinc/11/93/44/1073119344.db2.gz COJULEKUORBQRT-UHFFFAOYSA-N 0 0 425.511 -0.747 20 0 IBADRN O=C(CCc1nc(-c2ccncc2)no1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248727 1073119353 /nfs/dbraw/zinc/11/93/53/1073119353.db2.gz BWZQHBQKHXUDJU-UHFFFAOYSA-N 0 0 436.494 -0.215 20 0 IBADRN O=C(CNC(=O)c1ccc(F)cc1F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248729 1073102381 /nfs/dbraw/zinc/10/23/81/1073102381.db2.gz YALNZDDWFOEFML-UHFFFAOYSA-N 0 0 432.449 -0.584 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248739 1073102426 /nfs/dbraw/zinc/10/24/26/1073102426.db2.gz HEXWEXHWFYNLJJ-UHFFFAOYSA-N 0 0 441.535 -0.431 20 0 IBADRN O=C([C@H]1CC(=O)Nc2cc(F)ccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248743 1073102373 /nfs/dbraw/zinc/10/23/73/1073102373.db2.gz VXSGKEWLKJVXJQ-HNNXBMFYSA-N 0 0 426.470 -0.027 20 0 IBADRN O=C([C@@H]1CC(=O)Nc2cc(F)ccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248745 1073102479 /nfs/dbraw/zinc/10/24/79/1073102479.db2.gz VXSGKEWLKJVXJQ-OAHLLOKOSA-N 0 0 426.470 -0.027 20 0 IBADRN CS(=O)(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000031248789 1071319322 /nfs/dbraw/zinc/31/93/22/1071319322.db2.gz GSMRNNNJKATVTK-UHFFFAOYSA-N 0 0 432.524 -0.607 20 0 IBADRN O=C(Cn1cccc(C(F)(F)F)c1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000031248906 1072605097 /nfs/dbraw/zinc/60/50/97/1072605097.db2.gz KNXYIHRYMLHEEI-UHFFFAOYSA-N 0 0 438.428 -0.412 20 0 IBADRN O=C(c1ccoc1)N1CCC(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000031248963 1073102446 /nfs/dbraw/zinc/10/24/46/1073102446.db2.gz ZUCHTKQIGORWIM-UHFFFAOYSA-N 0 0 440.522 -0.147 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCN(C(=O)c4cccc(F)c4)CC3)c2c(=O)n(C)c1=O ZINC000031268897 1073102433 /nfs/dbraw/zinc/10/24/33/1073102433.db2.gz DECHCZUERUJEQO-UHFFFAOYSA-N 0 0 442.451 -0.053 20 0 IBADRN Cc1nc(C)c(CC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c(=O)[nH]1 ZINC000031288359 1071319828 /nfs/dbraw/zinc/31/98/28/1071319828.db2.gz CICFOZCSPBJIFQ-CHWSQXEVSA-N 0 0 427.527 -0.573 20 0 IBADRN Cc1nc(C)c(CC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c(=O)[nH]1 ZINC000031288363 1071319861 /nfs/dbraw/zinc/31/98/61/1071319861.db2.gz CICFOZCSPBJIFQ-BETUJISGSA-N 0 0 427.527 -0.573 20 0 IBADRN Cc1nc(C)c(CC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c(=O)[nH]1 ZINC000031288368 1071319604 /nfs/dbraw/zinc/31/96/04/1071319604.db2.gz CICFOZCSPBJIFQ-STQMWFEESA-N 0 0 427.527 -0.573 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cccnc3N3CCOCC3)c2c(=O)n(C)c1=O ZINC000031328280 1073102302 /nfs/dbraw/zinc/10/23/02/1073102302.db2.gz KKLLSLRQZFATRK-UHFFFAOYSA-N 0 0 427.465 -0.628 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000031334763 1073119978 /nfs/dbraw/zinc/11/99/78/1073119978.db2.gz KWJYQIBWTBTZQY-AWEZNQCLSA-N 0 0 431.449 -0.309 20 0 IBADRN COc1ccc(OC)c([C@H](O)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000031334768 1073477762 /nfs/dbraw/zinc/47/77/62/1073477762.db2.gz KWJYQIBWTBTZQY-CQSZACIVSA-N 0 0 431.449 -0.309 20 0 IBADRN COc1ccc(NC(=O)CN(CC(N)=O)C(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000031345472 1073255433 /nfs/dbraw/zinc/25/54/33/1073255433.db2.gz WROHAFDAEGUWCH-UHFFFAOYSA-N 0 0 428.511 -0.150 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(CC(N)=O)C(C)C)CC2)c1 ZINC000031345836 1073102397 /nfs/dbraw/zinc/10/23/97/1073102397.db2.gz NWHBVOLBWWFVPW-UHFFFAOYSA-N 0 0 442.538 -0.268 20 0 IBADRN CC(C)N(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000031345904 1073102322 /nfs/dbraw/zinc/10/23/22/1073102322.db2.gz JVJJTSKRGICYEL-UHFFFAOYSA-N 0 0 440.522 -0.514 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H]1CC[C@@H](C(F)(F)F)NC1=O)c(=O)n2C ZINC000031360756 1073498605 /nfs/dbraw/zinc/49/86/05/1073498605.db2.gz RTHSOTSNKQKMNL-ZJUUUORDSA-N 0 0 431.371 -0.176 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H]1CC[C@@H](C(F)(F)F)NC1=O)c(=O)n2C ZINC000031360757 1073498524 /nfs/dbraw/zinc/49/85/24/1073498524.db2.gz RTHSOTSNKQKMNL-UWVGGRQHSA-N 0 0 431.371 -0.176 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H]1CC[C@H](C(F)(F)F)NC1=O)c(=O)n2C ZINC000031360758 1073498559 /nfs/dbraw/zinc/49/85/59/1073498559.db2.gz RTHSOTSNKQKMNL-NXEZZACHSA-N 0 0 431.371 -0.176 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H]1CC[C@H](C(F)(F)F)NC1=O)c(=O)n2C ZINC000031360759 1073498615 /nfs/dbraw/zinc/49/86/15/1073498615.db2.gz RTHSOTSNKQKMNL-VHSXEESVSA-N 0 0 431.371 -0.176 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCc3nc(C(C)(C)C)no3)CC2)CC1 ZINC000031371390 1073102417 /nfs/dbraw/zinc/10/24/17/1073102417.db2.gz DYQXOZPGRNINFP-UHFFFAOYSA-N 0 0 428.559 -0.064 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H]2CC[C@@H](C(F)(F)F)NC2=O)c(=O)n(C)c1=O ZINC000031376161 1073119987 /nfs/dbraw/zinc/11/99/87/1073119987.db2.gz YQPBBRXEELNBRF-KOLCDFICSA-N 0 0 448.398 -0.032 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H]2CC[C@@H](C(F)(F)F)NC2=O)c(=O)n(C)c1=O ZINC000031376165 1073504106 /nfs/dbraw/zinc/50/41/06/1073504106.db2.gz YQPBBRXEELNBRF-ONGXEEELSA-N 0 0 448.398 -0.032 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H]2CC[C@H](C(F)(F)F)NC2=O)c(=O)n(C)c1=O ZINC000031376170 1073504125 /nfs/dbraw/zinc/50/41/25/1073504125.db2.gz YQPBBRXEELNBRF-MWLCHTKSSA-N 0 0 448.398 -0.032 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H]2CC[C@H](C(F)(F)F)NC2=O)c(=O)n(C)c1=O ZINC000031376174 1073503949 /nfs/dbraw/zinc/50/39/49/1073503949.db2.gz YQPBBRXEELNBRF-GXSJLCMTSA-N 0 0 448.398 -0.032 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN(C(C)=O)CC3)CC2)cc1 ZINC000031415752 1073102363 /nfs/dbraw/zinc/10/23/63/1073102363.db2.gz CGMARVMBXCRKGH-UHFFFAOYSA-N 0 0 436.534 -0.114 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCC(=O)NCC(=O)NCc2ccccc2)cc1 ZINC000031423470 1073517468 /nfs/dbraw/zinc/51/74/68/1073517468.db2.gz BTOFLCFXRYJMPT-UHFFFAOYSA-N 0 0 432.502 -0.178 20 0 IBADRN O=c1[nH]c(C(N[C@@H]2CCS(=O)(=O)C2)=C2C(=O)NC(=S)NC2=O)cc2ccccc21 ZINC000031433853 1074061225 /nfs/dbraw/zinc/06/12/25/1074061225.db2.gz DKOSAHMVQREKOW-SNVBAGLBSA-N 0 0 432.483 -0.453 20 0 IBADRN O=c1[nH]c(C(N[C@H]2CCS(=O)(=O)C2)=C2C(=O)NC(=S)NC2=O)cc2ccccc21 ZINC000031433856 1074061279 /nfs/dbraw/zinc/06/12/79/1074061279.db2.gz DKOSAHMVQREKOW-JTQLQIEISA-N 0 0 432.483 -0.453 20 0 IBADRN O=C(CN(CCNC(=O)c1ccco1)CC(=O)NC(=O)NC1CC1)NC(=O)NC1CC1 ZINC000031462095 1071453729 /nfs/dbraw/zinc/45/37/29/1071453729.db2.gz CQJXGHCLRAYKAR-UHFFFAOYSA-N 0 0 434.453 -0.712 20 0 IBADRN CNC(=O)Cc1ccc(N(CC(=O)NC(=O)NC2CC2)CC(=O)NC(=O)NC2CC2)cc1 ZINC000031464083 1073119874 /nfs/dbraw/zinc/11/98/74/1073119874.db2.gz LCUMXLQCTHPBDC-UHFFFAOYSA-N 0 0 444.492 -0.242 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000031464394 1073102343 /nfs/dbraw/zinc/10/23/43/1073102343.db2.gz QJJUOQCCZRYQBJ-UHFFFAOYSA-N 0 0 427.450 -0.230 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000031465720 1073102354 /nfs/dbraw/zinc/10/23/54/1073102354.db2.gz CKONOCBYRJUQAI-UHFFFAOYSA-N 0 0 427.450 -0.230 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)cc1 ZINC000031484537 1073102449 /nfs/dbraw/zinc/10/24/49/1073102449.db2.gz NZBXFAZZTOTGRO-UHFFFAOYSA-N 0 0 434.474 -0.717 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)c1=O ZINC000031486585 1073102878 /nfs/dbraw/zinc/10/28/78/1073102878.db2.gz ADLQEAXZUQROKU-UHFFFAOYSA-N 0 0 432.911 -0.205 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1ccc(=O)n(C)c1=O ZINC000031488878 1073102894 /nfs/dbraw/zinc/10/28/94/1073102894.db2.gz ZLUSPVREWKYJRF-UHFFFAOYSA-N 0 0 438.462 -0.785 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)c2ccc(F)c(S(N)(=O)=O)c2)c1 ZINC000031489161 1073529488 /nfs/dbraw/zinc/52/94/88/1073529488.db2.gz JVSXXDPJZCSUFL-UHFFFAOYSA-N 0 0 444.466 -0.202 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)NNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)c1 ZINC000031489176 1073529683 /nfs/dbraw/zinc/52/96/83/1073529683.db2.gz HTAYUTLMTICDFI-UHFFFAOYSA-N 0 0 443.507 -0.410 20 0 IBADRN COc1ccc(NC(=O)Cn2ccc(=O)n(C)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000031491638 1073102890 /nfs/dbraw/zinc/10/28/90/1073102890.db2.gz YTONZXWOVREPEO-UHFFFAOYSA-N 0 0 438.462 -0.785 20 0 IBADRN COCCn1nc(C(=O)N2CCN(S(=O)(=O)c3ccc(C#N)cc3)CC2)ccc1=O ZINC000031497004 1074061360 /nfs/dbraw/zinc/06/13/60/1074061360.db2.gz GBUMFEMKWXAQJO-UHFFFAOYSA-N 0 0 431.474 -0.092 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)c2cccc(C(=O)N3CCN(C(=O)N(C)C)CC3)n2)CC1 ZINC000031504990 1073102999 /nfs/dbraw/zinc/10/29/99/1073102999.db2.gz LHMGVLZOVXARTQ-UHFFFAOYSA-N 0 0 445.524 -0.040 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000031507025 1073102930 /nfs/dbraw/zinc/10/29/30/1073102930.db2.gz PTQYJFUJGWOWLI-UHFFFAOYSA-N 0 0 425.511 -0.508 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)c1=O ZINC000031521607 1073102989 /nfs/dbraw/zinc/10/29/89/1073102989.db2.gz AUWVOEPENNVLPZ-UHFFFAOYSA-N 0 0 444.872 -0.127 20 0 IBADRN Cc1nc(C#N)c(N2CCN(C(=O)Cn3c(Cl)nc4c3c(=O)n(C)c(=O)n4C)CC2)o1 ZINC000031529109 1073119858 /nfs/dbraw/zinc/11/98/58/1073119858.db2.gz CEDHSJZZGVCEQF-UHFFFAOYSA-N 0 0 446.855 -0.396 20 0 IBADRN Cc1nc(N2CCOCC2)sc1C(=O)NNC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1 ZINC000031540467 1073541268 /nfs/dbraw/zinc/54/12/68/1073541268.db2.gz ZFFZDHCHRHOERK-UHFFFAOYSA-N 0 0 442.523 -0.059 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NNC(=O)Cn2ccc(=O)n(C)c2=O)c1 ZINC000031541878 1073541305 /nfs/dbraw/zinc/54/13/05/1073541305.db2.gz XSUHJWAHOFFAIA-UHFFFAOYSA-N 0 0 437.478 -0.961 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NNC(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)c1=O ZINC000031542194 1073541230 /nfs/dbraw/zinc/54/12/30/1073541230.db2.gz KIVYEUODYUPQGN-UHFFFAOYSA-N 0 0 449.489 -0.817 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NNC(=O)Cn2nc(C)cc2C)cc1 ZINC000031549629 1072612008 /nfs/dbraw/zinc/61/20/08/1072612008.db2.gz FQCNXQKWTJUIDT-UHFFFAOYSA-N 0 0 436.494 -0.026 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)nc1 ZINC000031558097 1073102912 /nfs/dbraw/zinc/10/29/12/1073102912.db2.gz UHQZEOOXKPUZMM-UHFFFAOYSA-N 0 0 430.465 -0.757 20 0 IBADRN O=S(=O)(NCCNc1cnccn1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000031584550 1073102962 /nfs/dbraw/zinc/10/29/62/1073102962.db2.gz BBEBSUAEFVCNOB-UHFFFAOYSA-N 0 0 427.508 -0.112 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000031593745 1073102883 /nfs/dbraw/zinc/10/28/83/1073102883.db2.gz RUFLBDRFNZQSHI-CQSZACIVSA-N 0 0 449.533 -0.615 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000031593749 1073102935 /nfs/dbraw/zinc/10/29/35/1073102935.db2.gz RUFLBDRFNZQSHI-AWEZNQCLSA-N 0 0 449.533 -0.615 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)Cc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000031593842 1073102977 /nfs/dbraw/zinc/10/29/77/1073102977.db2.gz FBKJBNSGLXDRMJ-UHFFFAOYSA-N 0 0 449.533 -0.141 20 0 IBADRN Cn1c2ncn(CCN3CCN(S(=O)(=O)Cc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000031595048 1073102942 /nfs/dbraw/zinc/10/29/42/1073102942.db2.gz GPRJHTKSAYQQPN-UHFFFAOYSA-N 0 0 446.533 -0.419 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000031597601 1071321487 /nfs/dbraw/zinc/32/14/87/1071321487.db2.gz MXAANYDGLMAEAG-UHFFFAOYSA-N 0 0 437.316 -0.381 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000031598065 1073103013 /nfs/dbraw/zinc/10/30/13/1073103013.db2.gz ZEBXTMCXBXPSJC-UHFFFAOYSA-N 0 0 440.526 -0.856 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)c2)CC1 ZINC000031610993 1074061957 /nfs/dbraw/zinc/06/19/57/1074061957.db2.gz YONLVGWSYQZNLP-MRXNPFEDSA-N 0 0 443.547 -0.202 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C)[C@H]3CCS(=O)(=O)C3)c2)CC1 ZINC000031610995 1074061791 /nfs/dbraw/zinc/06/17/91/1074061791.db2.gz YONLVGWSYQZNLP-INIZCTEOSA-N 0 0 443.547 -0.202 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H]3CCS(=O)(=O)C3)c2)CC1 ZINC000031612480 1074061761 /nfs/dbraw/zinc/06/17/61/1074061761.db2.gz SZVKZPCSBJYANN-OAHLLOKOSA-N 0 0 429.520 -0.544 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H]3CCS(=O)(=O)C3)c2)CC1 ZINC000031612483 1074061904 /nfs/dbraw/zinc/06/19/04/1074061904.db2.gz SZVKZPCSBJYANN-HNNXBMFYSA-N 0 0 429.520 -0.544 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)o1 ZINC000031617241 1071321548 /nfs/dbraw/zinc/32/15/48/1071321548.db2.gz CVPLSWHMWGVRDR-UHFFFAOYSA-N 0 0 432.480 -0.061 20 0 IBADRN Cc1cccc(NC(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000031639214 1071321698 /nfs/dbraw/zinc/32/16/98/1071321698.db2.gz NCEFNLJYORFUJW-UHFFFAOYSA-N 0 0 425.511 -0.162 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000031646159 1073102918 /nfs/dbraw/zinc/10/29/18/1073102918.db2.gz YTWDGOLLWOFZLI-UHFFFAOYSA-N 0 0 436.494 -0.674 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)c1 ZINC000031646329 1073102971 /nfs/dbraw/zinc/10/29/71/1073102971.db2.gz OGRIXWNUWDZYEM-UHFFFAOYSA-N 0 0 437.478 -0.471 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC(C)C)c1 ZINC000031650160 1071454264 /nfs/dbraw/zinc/45/42/64/1071454264.db2.gz QLXQDNFGWKRSCS-ZDUSSCGKSA-N 0 0 427.479 -0.114 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC(C)C)c1 ZINC000031650163 1071454639 /nfs/dbraw/zinc/45/46/39/1071454639.db2.gz QLXQDNFGWKRSCS-CYBMUJFWSA-N 0 0 427.479 -0.114 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cc1 ZINC000031667386 1073102904 /nfs/dbraw/zinc/10/29/04/1073102904.db2.gz DVEMFXGAABKPOM-UHFFFAOYSA-N 0 0 432.414 -0.080 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)NNC(=O)CCNS(=O)(=O)c2cccnc2)c1 ZINC000031672637 1073566403 /nfs/dbraw/zinc/56/64/03/1073566403.db2.gz RUQJXJXBXKECPU-UHFFFAOYSA-N 0 0 426.476 -0.385 20 0 IBADRN Cn1c2ncc(C(=O)NNC(=O)c3cccc(S(C)(=O)=O)c3)cc2c(=O)n(C)c1=O ZINC000031673153 1073566240 /nfs/dbraw/zinc/56/62/40/1073566240.db2.gz FCRDVOLMAOXSLV-UHFFFAOYSA-N 0 0 431.430 -0.890 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)NNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000031673474 1073119768 /nfs/dbraw/zinc/11/97/68/1073119768.db2.gz GSUKQFGHISQSTH-UHFFFAOYSA-N 0 0 445.251 -0.836 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)NNC(=O)CCn2c3ccccc3c(=O)[nH]c2=O)c1 ZINC000031673684 1073568645 /nfs/dbraw/zinc/56/86/45/1073568645.db2.gz MIFYHTQDLMHANB-UHFFFAOYSA-N 0 0 430.442 -0.055 20 0 IBADRN O=C(c1ccco1)N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000031685238 1071322377 /nfs/dbraw/zinc/32/23/77/1071322377.db2.gz RIIJTDDOJUALKO-UHFFFAOYSA-N 0 0 446.485 -0.002 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)Cn2cc(S(=O)(=O)N3CCCC3)ccc2=O)cc1 ZINC000031709018 1073102936 /nfs/dbraw/zinc/10/29/36/1073102936.db2.gz LAEQJIMFLGXNRO-UHFFFAOYSA-N 0 0 440.503 -0.081 20 0 IBADRN CCOC(=O)N1CCN(C(=O)Cn2cc(S(=O)(=O)N3CCCC3)ccc2=O)CC1 ZINC000031709068 1072620895 /nfs/dbraw/zinc/62/08/95/1072620895.db2.gz LSLRZUXZUHUMOK-UHFFFAOYSA-N 0 0 426.495 -0.067 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCn1cc(S(=O)(=O)N3CCCC3)ccc1=O)c(=O)n2C ZINC000031709448 1073102954 /nfs/dbraw/zinc/10/29/54/1073102954.db2.gz FUMDKCDAKZVGPB-UHFFFAOYSA-N 0 0 448.505 -0.530 20 0 IBADRN Cn1c2ncn(CCn3cc(S(=O)(=O)N4CCCC4)ccc3=O)c2c(=O)n(C)c1=O ZINC000031709481 1073102927 /nfs/dbraw/zinc/10/29/27/1073102927.db2.gz XOSSPPKINAWVPQ-UHFFFAOYSA-N 0 0 434.478 -0.920 20 0 IBADRN Nc1c(C(=O)Cn2cc(S(=O)(=O)N3CCCC3)ccc2=O)c(=O)[nH]c(=O)n1C1CC1 ZINC000031709740 1073102900 /nfs/dbraw/zinc/10/29/00/1073102900.db2.gz LUULQXWDLIOOQR-UHFFFAOYSA-N 0 0 435.462 -0.717 20 0 IBADRN COc1ccc(C(=O)NNC(=O)Cn2cc(S(=O)(=O)N(C)C)ccc2=O)cc1OC ZINC000031710724 1072620836 /nfs/dbraw/zinc/62/08/36/1072620836.db2.gz HDYWDPNNSKBCCF-UHFFFAOYSA-N 0 0 438.462 -0.423 20 0 IBADRN Cc1ccc(NC(=O)Cn2cc(S(=O)(=O)N(C)C)ccc2=O)cc1S(N)(=O)=O ZINC000031711121 1073399984 /nfs/dbraw/zinc/39/99/84/1073399984.db2.gz YHOMJKUHORHWCN-UHFFFAOYSA-N 0 0 428.492 -0.307 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)Cn2cc(S(=O)(=O)N(C)C)ccc2=O)c(=O)[nH]c1=O ZINC000031711488 1073103611 /nfs/dbraw/zinc/10/36/11/1073103611.db2.gz KUOMFTWUMKKMFJ-UHFFFAOYSA-N 0 0 425.467 -0.930 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000031717684 1071322272 /nfs/dbraw/zinc/32/22/72/1071322272.db2.gz DHGXEJNAYAYYIP-UHFFFAOYSA-N 0 0 435.462 -0.116 20 0 IBADRN COc1cc[nH]c(=NNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000031721273 1073578430 /nfs/dbraw/zinc/57/84/30/1073578430.db2.gz RNIOWDOKZNSKIU-UHFFFAOYSA-N 0 0 429.480 -0.189 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000031747424 1073103603 /nfs/dbraw/zinc/10/36/03/1073103603.db2.gz KXHINRXKAGXBHZ-UHFFFAOYSA-N 0 0 431.268 -0.476 20 0 IBADRN Cn1c(=O)c(C(=O)Cn2nnn(-c3ccccc3)c2=O)c(N)n(Cc2ccccc2)c1=O ZINC000031764825 1073103595 /nfs/dbraw/zinc/10/35/95/1073103595.db2.gz UCOGBTPETYESMB-UHFFFAOYSA-N 0 0 433.428 -0.197 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000031786324 1073103657 /nfs/dbraw/zinc/10/36/57/1073103657.db2.gz RTMFOAUYJFWDBU-UHFFFAOYSA-N 0 0 439.519 -0.326 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)c3cccnc3)CC2)cc1 ZINC000031786328 1073103663 /nfs/dbraw/zinc/10/36/63/1073103663.db2.gz FFMBNGDJZNCJBJ-UHFFFAOYSA-N 0 0 439.519 -0.326 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)c3cccnc3)CC2)c(=O)[nH]c1=O ZINC000031786782 1073103591 /nfs/dbraw/zinc/10/35/91/1073103591.db2.gz LRBBUUWWCPZWKP-UHFFFAOYSA-N 0 0 436.494 -0.887 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(S(=O)(=O)c3cccnc3)CC1)c(=O)n2C ZINC000031788496 1073103606 /nfs/dbraw/zinc/10/36/06/1073103606.db2.gz BQHZRGUVQSHANW-UHFFFAOYSA-N 0 0 447.521 -0.775 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCNC(=O)c2cccnc2)c1 ZINC000031797083 1071331002 /nfs/dbraw/zinc/33/10/02/1071331002.db2.gz WYVVVTZEIDNJGC-UHFFFAOYSA-N 0 0 435.506 -0.180 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCn1c(C(F)(F)F)ccc(C(N)=O)c1=O)c(=O)n2C ZINC000031799061 1073103638 /nfs/dbraw/zinc/10/36/38/1073103638.db2.gz SHGUGQUPOZVMBC-UHFFFAOYSA-N 0 0 426.355 -0.197 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)Cn2c(C(F)(F)F)ccc(C(N)=O)c2=O)c(=O)[nH]c1=O ZINC000031799580 1072988285 /nfs/dbraw/zinc/98/82/85/1072988285.db2.gz WJFVOWBJXLXLJK-UHFFFAOYSA-N 0 0 429.355 -0.063 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000031922810 1073103646 /nfs/dbraw/zinc/10/36/46/1073103646.db2.gz CNKKKTDPZJLYNE-UHFFFAOYSA-N 0 0 430.303 -0.594 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC000031932510 1074062756 /nfs/dbraw/zinc/06/27/56/1074062756.db2.gz HRAAWGBRDGDJKV-UHFFFAOYSA-N 0 0 448.568 -0.046 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000031933770 1074062863 /nfs/dbraw/zinc/06/28/63/1074062863.db2.gz AVDGASFYNKDJRN-OAHLLOKOSA-N 0 0 441.554 -0.741 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000031933772 1074062736 /nfs/dbraw/zinc/06/27/36/1074062736.db2.gz AVDGASFYNKDJRN-HNNXBMFYSA-N 0 0 441.554 -0.741 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@]3(N4CCOCC4)CCSC3)c2c(=O)n(C)c1=O ZINC000031938810 1073103629 /nfs/dbraw/zinc/10/36/29/1073103629.db2.gz XJNKHPCBEVINAY-IBGZPJMESA-N 0 0 436.538 -0.852 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@]3(N4CCOCC4)CCSC3)c2c(=O)n(C)c1=O ZINC000031938813 1073103653 /nfs/dbraw/zinc/10/36/53/1073103653.db2.gz XJNKHPCBEVINAY-LJQANCHMSA-N 0 0 436.538 -0.852 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000031939186 1073103582 /nfs/dbraw/zinc/10/35/82/1073103582.db2.gz OJBPLXWQMLVBKT-SFHVURJKSA-N 0 0 444.579 -0.027 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000031939189 1073103598 /nfs/dbraw/zinc/10/35/98/1073103598.db2.gz OJBPLXWQMLVBKT-GOSISDBHSA-N 0 0 444.579 -0.027 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000031997566 1073103565 /nfs/dbraw/zinc/10/35/65/1073103565.db2.gz BKMYXHOKDOUWTF-UHFFFAOYSA-N 0 0 430.552 -0.024 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)N2CCOCC2)c1 ZINC000031998639 1073103665 /nfs/dbraw/zinc/10/36/65/1073103665.db2.gz WRLJPPKHKOQCAF-QGZVFWFLSA-N 0 0 440.504 -0.068 20 0 IBADRN Cc1cccc([C@H](CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)N2CCOCC2)c1 ZINC000031998642 1073103664 /nfs/dbraw/zinc/10/36/64/1073103664.db2.gz WRLJPPKHKOQCAF-KRWDZBQOSA-N 0 0 440.504 -0.068 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCNS(=O)(=O)Cc1ccccc1 ZINC000032018899 1072162989 /nfs/dbraw/zinc/16/29/89/1072162989.db2.gz KSAQSLWAOIPRHT-UHFFFAOYSA-N 0 0 445.295 -0.465 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NCCNS(=O)(=O)Cc1ccccc1 ZINC000032020882 1072163078 /nfs/dbraw/zinc/16/30/78/1072163078.db2.gz FMGYELVOTLWEIQ-UHFFFAOYSA-N 0 0 426.520 -0.014 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCCNS(=O)(=O)Cc3ccccc3)nc2n(C)c1=O ZINC000032020919 1072163058 /nfs/dbraw/zinc/16/30/58/1072163058.db2.gz CAVHYQGNCHBRLX-UHFFFAOYSA-N 0 0 431.474 -0.518 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCNS(=O)(=O)Cc3ccccc3)cnc2n(C)c1=O ZINC000032021138 1072162905 /nfs/dbraw/zinc/16/29/05/1072162905.db2.gz KJWPUCFNPCCUOJ-UHFFFAOYSA-N 0 0 431.474 -0.518 20 0 IBADRN O=C(CNC1=NS(=O)(=O)c2ccccc21)NCCNS(=O)(=O)Cc1ccccc1 ZINC000032022900 1072163624 /nfs/dbraw/zinc/16/36/24/1072163624.db2.gz NRYSPZOBRRUUHB-UHFFFAOYSA-N 0 0 436.515 -0.039 20 0 IBADRN COc1ccc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC3CC3)c[nH]2)cc1C(N)=O ZINC000032092238 1072193439 /nfs/dbraw/zinc/19/34/39/1072193439.db2.gz UMBWXRQZWKOQEB-UHFFFAOYSA-N 0 0 441.491 -0.065 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC3CC3)c[nH]2)cn1C ZINC000032092247 1072193506 /nfs/dbraw/zinc/19/35/06/1072193506.db2.gz GMZJIKHKTBDBCH-UHFFFAOYSA-N 0 0 428.496 -0.474 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC(C)C)c[nH]2)cn1C ZINC000032094107 1072200017 /nfs/dbraw/zinc/20/00/17/1072200017.db2.gz ILPKCWKSPHWSSL-UHFFFAOYSA-N 0 0 430.512 -0.228 20 0 IBADRN O=C(Cn1ncc2ccc([N+](=O)[O-])cc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000032160773 1073119948 /nfs/dbraw/zinc/11/99/48/1073119948.db2.gz GQTSCIYIRIEBTD-UHFFFAOYSA-N 0 0 438.466 -0.334 20 0 IBADRN C#CCNS(=O)(=O)c1cccc(C(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)c1 ZINC000032194696 1072666292 /nfs/dbraw/zinc/66/62/92/1072666292.db2.gz JGAKVORHYGBHPN-UHFFFAOYSA-N 0 0 425.430 -0.351 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)s1 ZINC000032194741 1072666243 /nfs/dbraw/zinc/66/62/43/1072666243.db2.gz FTDFFLQJKPFPOG-UHFFFAOYSA-N 0 0 435.491 -0.110 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)cc1 ZINC000032194744 1072666311 /nfs/dbraw/zinc/66/63/11/1072666311.db2.gz AXBWYXPOGYLILE-UHFFFAOYSA-N 0 0 429.462 -0.171 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NNC(=O)CCn2nnc3ccccc3c2=O)c1 ZINC000032199568 1072667918 /nfs/dbraw/zinc/66/79/18/1072667918.db2.gz LDIDFXUIJGJQKT-UHFFFAOYSA-N 0 0 444.473 -0.107 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1C ZINC000032206932 1073435928 /nfs/dbraw/zinc/43/59/28/1073435928.db2.gz AYSALGNUOOPNFX-UHFFFAOYSA-N 0 0 434.478 -0.321 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1)N1CCCC1 ZINC000032233565 1071861415 /nfs/dbraw/zinc/86/14/15/1071861415.db2.gz ITVKGJMDWTUWTO-UHFFFAOYSA-N 0 0 428.287 -0.934 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1)N1CCCC1 ZINC000032233591 1072863030 /nfs/dbraw/zinc/86/30/30/1072863030.db2.gz UWMRGBHDNIGOKY-UHFFFAOYSA-N 0 0 426.477 -0.200 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1)N1CCCC1 ZINC000032240885 1072862787 /nfs/dbraw/zinc/86/27/87/1072862787.db2.gz ZJWNZMHKUDDROE-UHFFFAOYSA-N 0 0 440.522 -0.319 20 0 IBADRN O=C(CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1)NC1CC1 ZINC000032242818 1073104087 /nfs/dbraw/zinc/10/40/87/1073104087.db2.gz QWMZDSFTGUPXLC-UHFFFAOYSA-N 0 0 442.563 -0.195 20 0 IBADRN O=C(CCNC(=O)CS(=O)(=O)CCCc1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000032253404 1072862702 /nfs/dbraw/zinc/86/27/02/1072862702.db2.gz BKSSUITYBIUCSJ-MRXNPFEDSA-N 0 0 430.548 -0.156 20 0 IBADRN O=C(CCNC(=O)CS(=O)(=O)CCCc1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC000032253407 1072862959 /nfs/dbraw/zinc/86/29/59/1072862959.db2.gz BKSSUITYBIUCSJ-INIZCTEOSA-N 0 0 430.548 -0.156 20 0 IBADRN COc1ccc(CC(=O)NNC(=O)[C@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000032257160 1072679083 /nfs/dbraw/zinc/67/90/83/1072679083.db2.gz UKEFMOXKLIWJJY-OAHLLOKOSA-N 0 0 427.479 -0.415 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NNC(=O)[C@H]1CCCO1 ZINC000032257231 1072678987 /nfs/dbraw/zinc/67/89/87/1072678987.db2.gz IANQENHICCCJCX-MRXNPFEDSA-N 0 0 438.506 -0.374 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NNC(=O)[C@H]2CCCO2)c1OC ZINC000032262626 1072679046 /nfs/dbraw/zinc/67/90/46/1072679046.db2.gz GRDPTSRBIJWEPH-CQSZACIVSA-N 0 0 443.478 -0.335 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000032272332 1073104168 /nfs/dbraw/zinc/10/41/68/1073104168.db2.gz NVLYNMWFNQTWOM-UHFFFAOYSA-N 0 0 442.314 -0.544 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCN(CC(=O)N4CCCCCC4)CC3)cnc2n(C)c1=O ZINC000032273553 1073104183 /nfs/dbraw/zinc/10/41/83/1073104183.db2.gz SSUMWSWNMKXRTN-UHFFFAOYSA-N 0 0 442.520 -0.207 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000032274304 1072680817 /nfs/dbraw/zinc/68/08/17/1072680817.db2.gz ZKKGKESJZRRUHV-UHFFFAOYSA-N 0 0 434.518 -0.012 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000032274587 1073104216 /nfs/dbraw/zinc/10/42/16/1073104216.db2.gz OILBMPFQMXKVKT-UHFFFAOYSA-N 0 0 441.554 -0.338 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000032296236 1073104017 /nfs/dbraw/zinc/10/40/17/1073104017.db2.gz BTZBCUKPFUDTOX-LLVKDONJSA-N 0 0 429.271 -0.604 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000032296239 1073104052 /nfs/dbraw/zinc/10/40/52/1073104052.db2.gz BTZBCUKPFUDTOX-NSHDSACASA-N 0 0 429.271 -0.604 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000032300021 1073104198 /nfs/dbraw/zinc/10/41/98/1073104198.db2.gz ZAVDDPCMKYTNNP-CQSZACIVSA-N 0 0 439.538 -0.540 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000032300022 1073104003 /nfs/dbraw/zinc/10/40/03/1073104003.db2.gz ZAVDDPCMKYTNNP-AWEZNQCLSA-N 0 0 439.538 -0.540 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)CC1 ZINC000032300853 1072683225 /nfs/dbraw/zinc/68/32/25/1072683225.db2.gz WTOWUAMRBWXOAW-UHFFFAOYSA-N 0 0 440.522 -0.319 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000032333752 1072685736 /nfs/dbraw/zinc/68/57/36/1072685736.db2.gz WGRQKPLBANSKKN-UHFFFAOYSA-N 0 0 431.522 -0.398 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000032334260 1072685760 /nfs/dbraw/zinc/68/57/60/1072685760.db2.gz HRWFWOFCCWEMJH-UHFFFAOYSA-N 0 0 444.517 -0.050 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1 ZINC000032355615 1072869333 /nfs/dbraw/zinc/86/93/33/1072869333.db2.gz SSXPBRIRKWMSHB-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000032356017 1072869205 /nfs/dbraw/zinc/86/92/05/1072869205.db2.gz HHOVAAVDXJLIJI-OAHLLOKOSA-N 0 0 441.554 -0.292 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000032356018 1072869242 /nfs/dbraw/zinc/86/92/42/1072869242.db2.gz HHOVAAVDXJLIJI-HNNXBMFYSA-N 0 0 441.554 -0.292 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCNC(=O)c2cccnc2)c1 ZINC000032363707 1073103978 /nfs/dbraw/zinc/10/39/78/1073103978.db2.gz CDSHORACIWMUKZ-UHFFFAOYSA-N 0 0 433.490 -0.275 20 0 IBADRN O=C(NCCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cccnc1 ZINC000032365080 1072869534 /nfs/dbraw/zinc/86/95/34/1072869534.db2.gz LOMUUCFZQYWQJQ-UHFFFAOYSA-N 0 0 431.474 -0.638 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000032365214 1072869523 /nfs/dbraw/zinc/86/95/23/1072869523.db2.gz YENLTXCAHWYTFI-UHFFFAOYSA-N 0 0 431.474 -0.638 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000032395495 1072692915 /nfs/dbraw/zinc/69/29/15/1072692915.db2.gz FUSJBHOVUCGGHJ-BQYQJAHWSA-N 0 0 449.508 -0.269 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)Cn2cnc3c(cnn3C)c2=O)CC1 ZINC000032395562 1072693019 /nfs/dbraw/zinc/69/30/19/1072693019.db2.gz QVDHEKNTXUPSKP-UHFFFAOYSA-N 0 0 447.496 -0.237 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000032395955 1072693057 /nfs/dbraw/zinc/69/30/57/1072693057.db2.gz RQXULWKDNAHSEA-UHFFFAOYSA-N 0 0 447.558 -0.465 20 0 IBADRN Cc1oc2nc[nH]c(=O)c2c1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000032402483 1072869255 /nfs/dbraw/zinc/86/92/55/1072869255.db2.gz PYGXSFHAIUOGGI-VXGBXAGGSA-N 0 0 439.494 -0.064 20 0 IBADRN Cc1oc2nc[nH]c(=O)c2c1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000032402486 1072869950 /nfs/dbraw/zinc/86/99/50/1072869950.db2.gz PYGXSFHAIUOGGI-TXEJJXNPSA-N 0 0 439.494 -0.064 20 0 IBADRN Cc1oc2nc[nH]c(=O)c2c1C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000032402491 1072870080 /nfs/dbraw/zinc/87/00/80/1072870080.db2.gz PYGXSFHAIUOGGI-RYUDHWBXSA-N 0 0 439.494 -0.064 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccccc1C(=O)N1CCN(C)CC1)c(=O)n2C ZINC000032431625 1072869777 /nfs/dbraw/zinc/86/97/77/1072869777.db2.gz CIHCHVXQVBOKFS-UHFFFAOYSA-N 0 0 439.476 -0.540 20 0 IBADRN CCS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000032436685 1073104206 /nfs/dbraw/zinc/10/42/06/1073104206.db2.gz FPLMXKINXGRHOX-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2C[C@H]1C ZINC000032438397 1072870253 /nfs/dbraw/zinc/87/02/53/1072870253.db2.gz GZBVBQZKTAAVDD-IUODEOHRSA-N 0 0 443.547 -0.044 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2C[C@@H]1C ZINC000032438398 1072870286 /nfs/dbraw/zinc/87/02/86/1072870286.db2.gz GZBVBQZKTAAVDD-SWLSCSKDSA-N 0 0 443.547 -0.044 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc2C[C@H]1C ZINC000032438399 1072870467 /nfs/dbraw/zinc/87/04/67/1072870467.db2.gz GZBVBQZKTAAVDD-DOMZBBRYSA-N 0 0 443.547 -0.044 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc2C[C@@H]1C ZINC000032438400 1072870518 /nfs/dbraw/zinc/87/05/18/1072870518.db2.gz GZBVBQZKTAAVDD-WFASDCNBSA-N 0 0 443.547 -0.044 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc21 ZINC000032438423 1074465732 /nfs/dbraw/zinc/46/57/32/1074465732.db2.gz QMHMUMHZKNZDEE-CQSZACIVSA-N 0 0 429.520 -0.433 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)ccc21 ZINC000032438424 1074465826 /nfs/dbraw/zinc/46/58/26/1074465826.db2.gz QMHMUMHZKNZDEE-AWEZNQCLSA-N 0 0 429.520 -0.433 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000032438429 1072870330 /nfs/dbraw/zinc/87/03/30/1072870330.db2.gz HMCPGVIXINWSKZ-CYBMUJFWSA-N 0 0 429.520 -0.215 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC000032438430 1072870452 /nfs/dbraw/zinc/87/04/52/1072870452.db2.gz HMCPGVIXINWSKZ-ZDUSSCGKSA-N 0 0 429.520 -0.215 20 0 IBADRN COCCOc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000032438539 1071918090 /nfs/dbraw/zinc/91/80/90/1071918090.db2.gz LSLWVGXBAXDWSL-CQSZACIVSA-N 0 0 434.536 -0.008 20 0 IBADRN COCCOc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000032438540 1071918036 /nfs/dbraw/zinc/91/80/36/1071918036.db2.gz LSLWVGXBAXDWSL-AWEZNQCLSA-N 0 0 434.536 -0.008 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1Cl ZINC000032438762 1072695405 /nfs/dbraw/zinc/69/54/05/1072695405.db2.gz ZNPISWRXIZLBDF-UHFFFAOYSA-N 0 0 437.887 -0.539 20 0 IBADRN Cn1cnc(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1Cl ZINC000032439675 1072695299 /nfs/dbraw/zinc/69/52/99/1072695299.db2.gz QSRKNERNIBDZFM-UHFFFAOYSA-N 0 0 448.958 -0.101 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000032441622 1072870531 /nfs/dbraw/zinc/87/05/31/1072870531.db2.gz ANRZLKGFLHZONC-UHFFFAOYSA-N 0 0 430.508 -0.301 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000032441641 1071456898 /nfs/dbraw/zinc/45/68/98/1071456898.db2.gz DOUAHWOWRORMJY-UHFFFAOYSA-N 0 0 425.554 -0.184 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000032441673 1071456876 /nfs/dbraw/zinc/45/68/76/1071456876.db2.gz LYSHCFDGKZMCIK-UHFFFAOYSA-N 0 0 425.554 -0.184 20 0 IBADRN CCS(=O)(=O)N(C)CCCNS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000032442482 1071921430 /nfs/dbraw/zinc/92/14/30/1071921430.db2.gz XBTPWQMGKFEYKP-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCCCC1 ZINC000032444701 1070885552 /nfs/dbraw/zinc/88/55/52/1070885552.db2.gz CIDYBAPNLYIEKA-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000032466553 1072871376 /nfs/dbraw/zinc/87/13/76/1072871376.db2.gz ICAPFGKYZSSKIA-UHFFFAOYSA-N 0 0 431.474 -0.389 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000032471867 1073104190 /nfs/dbraw/zinc/10/41/90/1073104190.db2.gz DBTYXUDPKRPSBR-QGZVFWFLSA-N 0 0 439.516 -0.130 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000032471871 1073104226 /nfs/dbraw/zinc/10/42/26/1073104226.db2.gz DBTYXUDPKRPSBR-KRWDZBQOSA-N 0 0 439.516 -0.130 20 0 IBADRN Cc1cc(NC(=O)C2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)no1 ZINC000032473689 1073104115 /nfs/dbraw/zinc/10/41/15/1073104115.db2.gz ZMNRISKROWOAFL-UHFFFAOYSA-N 0 0 429.437 -0.393 20 0 IBADRN Cc1cc(CN2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)on1 ZINC000032491106 1073444412 /nfs/dbraw/zinc/44/44/12/1073444412.db2.gz JIBOHYRDHBOPOR-QGZVFWFLSA-N 0 0 425.555 -0.568 20 0 IBADRN Cc1cc(CN2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)on1 ZINC000032491107 1073444378 /nfs/dbraw/zinc/44/43/78/1073444378.db2.gz JIBOHYRDHBOPOR-KRWDZBQOSA-N 0 0 425.555 -0.568 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O ZINC000032515223 1072446253 /nfs/dbraw/zinc/44/62/53/1072446253.db2.gz QSENBLVOUPIIFY-SSDOTTSWSA-N 0 0 431.247 -0.943 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O ZINC000032515224 1072446189 /nfs/dbraw/zinc/44/61/89/1072446189.db2.gz QSENBLVOUPIIFY-ZETCQYMHSA-N 0 0 431.247 -0.943 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)cc1 ZINC000032521278 1072450893 /nfs/dbraw/zinc/45/08/93/1072450893.db2.gz BYSKFZAWMMAURP-UHFFFAOYSA-N 0 0 429.462 -0.036 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000032524737 1073259983 /nfs/dbraw/zinc/25/99/83/1073259983.db2.gz NADWVXIVQKMPAK-UHFFFAOYSA-N 0 0 438.550 -0.138 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCCC3)CC2)c(=O)n(C)c1=O ZINC000032539123 1073104148 /nfs/dbraw/zinc/10/41/48/1073104148.db2.gz SBUZKQANPHPFIN-UHFFFAOYSA-N 0 0 434.541 -0.792 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCN(CC(=O)N(C)C)CC1 ZINC000032543052 1071456799 /nfs/dbraw/zinc/45/67/99/1071456799.db2.gz RPCPOERDDRNMRY-UHFFFAOYSA-N 0 0 439.538 -0.024 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000032543083 1070819626 /nfs/dbraw/zinc/81/96/26/1070819626.db2.gz NQBHEENLFGGUOS-UHFFFAOYSA-N 0 0 437.566 -0.775 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN(CC(=O)N(C)C)CC1 ZINC000032543196 1070819798 /nfs/dbraw/zinc/81/97/98/1070819798.db2.gz CFGKUIHTEWDYBA-OAHLLOKOSA-N 0 0 425.555 -0.030 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN(CC(=O)N(C)C)CC1 ZINC000032543197 1070820058 /nfs/dbraw/zinc/82/00/58/1070820058.db2.gz CFGKUIHTEWDYBA-HNNXBMFYSA-N 0 0 425.555 -0.030 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000032645982 1072874926 /nfs/dbraw/zinc/87/49/26/1072874926.db2.gz RFTQDGYNQHJUSG-UHFFFAOYSA-N 0 0 432.524 -0.439 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000032650667 1073104162 /nfs/dbraw/zinc/10/41/62/1073104162.db2.gz VQXAICOYIHFHHV-GXTWGEPZSA-N 0 0 429.520 -0.089 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000032650671 1073104035 /nfs/dbraw/zinc/10/40/35/1073104035.db2.gz VQXAICOYIHFHHV-TZMCWYRMSA-N 0 0 429.520 -0.089 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000032650674 1073104758 /nfs/dbraw/zinc/10/47/58/1073104758.db2.gz VQXAICOYIHFHHV-JSGCOSHPSA-N 0 0 429.520 -0.089 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000032650676 1073104739 /nfs/dbraw/zinc/10/47/39/1073104739.db2.gz VQXAICOYIHFHHV-OCCSQVGLSA-N 0 0 429.520 -0.089 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NNC(=O)[C@@H]2CCCN2S(C)(=O)=O)c1 ZINC000032651072 1072724470 /nfs/dbraw/zinc/72/44/70/1072724470.db2.gz LFNGAJNTWRALLW-HNNXBMFYSA-N 0 0 446.551 -0.098 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NNC(=O)[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000032651075 1072724402 /nfs/dbraw/zinc/72/44/02/1072724402.db2.gz LFNGAJNTWRALLW-OAHLLOKOSA-N 0 0 446.551 -0.098 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)NNC(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000032651190 1072724377 /nfs/dbraw/zinc/72/43/77/1072724377.db2.gz WNLUBISGDBESEI-OAHLLOKOSA-N 0 0 444.535 -0.344 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)NNC(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000032651193 1072724407 /nfs/dbraw/zinc/72/44/07/1072724407.db2.gz WNLUBISGDBESEI-HNNXBMFYSA-N 0 0 444.535 -0.344 20 0 IBADRN COc1ccc(C(=O)NNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1OC ZINC000032660967 1072725045 /nfs/dbraw/zinc/72/50/45/1072725045.db2.gz KORVZCXPZPGXCK-QWHCGFSZSA-N 0 0 425.463 -0.500 20 0 IBADRN COc1ccc(C(=O)NNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1OC ZINC000032660969 1072724981 /nfs/dbraw/zinc/72/49/81/1072724981.db2.gz KORVZCXPZPGXCK-CHWSQXEVSA-N 0 0 425.463 -0.500 20 0 IBADRN COc1ccc(C(=O)NNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1OC ZINC000032660972 1072725003 /nfs/dbraw/zinc/72/50/03/1072725003.db2.gz KORVZCXPZPGXCK-STQMWFEESA-N 0 0 425.463 -0.500 20 0 IBADRN COc1ccc(C(=O)NNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1OC ZINC000032660974 1072724990 /nfs/dbraw/zinc/72/49/90/1072724990.db2.gz KORVZCXPZPGXCK-OLZOCXBDSA-N 0 0 425.463 -0.500 20 0 IBADRN Cn1cnnc1SCc1ccc(C(=O)NNC(=O)Cn2ccc(=O)n(C)c2=O)cc1 ZINC000032661926 1072725016 /nfs/dbraw/zinc/72/50/16/1072725016.db2.gz IBAYGEXRNQFEMZ-UHFFFAOYSA-N 0 0 429.462 -0.571 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)cc1 ZINC000032670315 1073104753 /nfs/dbraw/zinc/10/47/53/1073104753.db2.gz DFSQPPOGJQJWNA-UHFFFAOYSA-N 0 0 430.552 -0.257 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)cc1 ZINC000032670705 1073104728 /nfs/dbraw/zinc/10/47/28/1073104728.db2.gz BTKQDOKOOIZADN-UHFFFAOYSA-N 0 0 446.551 -0.556 20 0 IBADRN CCCn1nc(C(=O)NNC(=O)C2CCN(S(N)(=O)=O)CC2)c2ccccc2c1=O ZINC000032671561 1072726148 /nfs/dbraw/zinc/72/61/48/1072726148.db2.gz AYEBBQRPLQJYRJ-UHFFFAOYSA-N 0 0 436.494 -0.517 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cccnc3=O)CC2)cc1 ZINC000032672529 1073104736 /nfs/dbraw/zinc/10/47/36/1073104736.db2.gz RBNYHEAGMWQBSO-UHFFFAOYSA-N 0 0 434.474 -0.047 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C/c1ccccc1)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000032693679 1072727521 /nfs/dbraw/zinc/72/75/21/1072727521.db2.gz KAWSLTBPUHKWFV-WDBUWWRISA-N 0 0 443.547 -0.224 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C/c1ccccc1)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000032693681 1072727399 /nfs/dbraw/zinc/72/73/99/1072727399.db2.gz KAWSLTBPUHKWFV-OIQJVACTSA-N 0 0 443.547 -0.224 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)o1 ZINC000032693712 1073104719 /nfs/dbraw/zinc/10/47/19/1073104719.db2.gz MLEQNEQURIBPGP-LLVKDONJSA-N 0 0 435.524 -0.220 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)o1 ZINC000032693714 1073104731 /nfs/dbraw/zinc/10/47/31/1073104731.db2.gz MLEQNEQURIBPGP-NSHDSACASA-N 0 0 435.524 -0.220 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc(N4CCOCC4)nc3)CC2)o1 ZINC000032697396 1072727313 /nfs/dbraw/zinc/72/73/13/1072727313.db2.gz SZHCIADFZNEYGY-UHFFFAOYSA-N 0 0 449.489 -0.243 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3ccccc3Cl)CC2)c1=O ZINC000032697989 1073104749 /nfs/dbraw/zinc/10/47/49/1073104749.db2.gz DVNYMMGPGCFMDS-UHFFFAOYSA-N 0 0 426.882 -0.267 20 0 IBADRN O=C(CN1CSCC1=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000032704933 1073104677 /nfs/dbraw/zinc/10/46/77/1073104677.db2.gz QZZMENKOVQOSGB-UHFFFAOYSA-N 0 0 425.492 -0.778 20 0 IBADRN CC(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)CC1 ZINC000032704962 1073104682 /nfs/dbraw/zinc/10/46/82/1073104682.db2.gz PMSDRVFFKZOQQV-UHFFFAOYSA-N 0 0 435.506 -0.052 20 0 IBADRN O=C(CNC(=O)c1ccco1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000032705376 1073104691 /nfs/dbraw/zinc/10/46/91/1073104691.db2.gz FUJMRNXJRCQOIB-UHFFFAOYSA-N 0 0 433.446 -0.288 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000032705389 1073104743 /nfs/dbraw/zinc/10/47/43/1073104743.db2.gz KHROREXRFMJWDT-UHFFFAOYSA-N 0 0 435.462 -0.772 20 0 IBADRN O=C([C@@H]1CC(=O)N(C2CC2)C1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000032705740 1073455404 /nfs/dbraw/zinc/45/54/04/1073455404.db2.gz RDVSPLNHSNPUGY-GFCCVEGCSA-N 0 0 433.490 -0.300 20 0 IBADRN O=C([C@H]1CC(=O)N(C2CC2)C1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000032705741 1073455460 /nfs/dbraw/zinc/45/54/60/1073455460.db2.gz RDVSPLNHSNPUGY-LBPRGKRZSA-N 0 0 433.490 -0.300 20 0 IBADRN CCOC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000032705870 1072727944 /nfs/dbraw/zinc/72/79/44/1072727944.db2.gz DBBSJPFIJAPUGR-UHFFFAOYSA-N 0 0 425.467 -0.175 20 0 IBADRN O=C(CCCNC(=O)C1CC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000032705897 1073104695 /nfs/dbraw/zinc/10/46/95/1073104695.db2.gz YMVTYTKYQPOTPU-UHFFFAOYSA-N 0 0 435.506 -0.005 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000032706354 1073456119 /nfs/dbraw/zinc/45/61/19/1073456119.db2.gz CMJBYIBEYMOGAI-QGZVFWFLSA-N 0 0 445.563 -0.111 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000032706355 1073456026 /nfs/dbraw/zinc/45/60/26/1073456026.db2.gz CMJBYIBEYMOGAI-KRWDZBQOSA-N 0 0 445.563 -0.111 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)c1=O ZINC000032706512 1073104741 /nfs/dbraw/zinc/10/47/41/1073104741.db2.gz NEOGTGXHAIRCEY-UHFFFAOYSA-N 0 0 436.490 -0.975 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(CC(=O)N3CCOCC3)cc1)c(=O)n2C ZINC000032707397 1073104685 /nfs/dbraw/zinc/10/46/85/1073104685.db2.gz HABOQTLGIHQYLU-UHFFFAOYSA-N 0 0 440.460 -0.526 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(CC(=O)N4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000032707638 1070856637 /nfs/dbraw/zinc/85/66/37/1070856637.db2.gz XWVRIGBPEXCGGL-UHFFFAOYSA-N 0 0 440.460 -0.526 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NNC(=O)Cn1cccnc1=O ZINC000032709812 1072728075 /nfs/dbraw/zinc/72/80/75/1072728075.db2.gz LRSHOUMVMBPMSV-UHFFFAOYSA-N 0 0 449.489 -0.956 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NNC(=O)[C@@H]1COCCO1 ZINC000032709813 1072727972 /nfs/dbraw/zinc/72/79/72/1072727972.db2.gz CISIUZKYVJRZCA-INIZCTEOSA-N 0 0 427.479 -0.797 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NNC(=O)[C@H]1COCCO1 ZINC000032709814 1072727875 /nfs/dbraw/zinc/72/78/75/1072727875.db2.gz CISIUZKYVJRZCA-MRXNPFEDSA-N 0 0 427.479 -0.797 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)c1=O ZINC000032713340 1073104760 /nfs/dbraw/zinc/10/47/60/1073104760.db2.gz ICTUCHKGMIZAJV-UHFFFAOYSA-N 0 0 428.417 -0.642 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1)NC1CC1 ZINC000032713393 1073104745 /nfs/dbraw/zinc/10/47/45/1073104745.db2.gz HXPMUCWQFIXCAX-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccc(-n2cnnn2)cc1 ZINC000032716989 1073104699 /nfs/dbraw/zinc/10/46/99/1073104699.db2.gz SLZAIFPSNPUWRT-UHFFFAOYSA-N 0 0 442.505 -0.061 20 0 IBADRN NC(=O)COc1ccc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cc1 ZINC000032717738 1073104708 /nfs/dbraw/zinc/10/47/08/1073104708.db2.gz VIYNFRWHGVAGNW-UHFFFAOYSA-N 0 0 447.517 -0.173 20 0 IBADRN NC(=O)COc1ccccc1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000032718065 1073104714 /nfs/dbraw/zinc/10/47/14/1073104714.db2.gz VVARYTHFNRASHZ-UHFFFAOYSA-N 0 0 447.517 -0.173 20 0 IBADRN NC(=O)COc1cccc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000032718128 1073105265 /nfs/dbraw/zinc/10/52/65/1073105265.db2.gz MOSVJDWSMIJJMI-UHFFFAOYSA-N 0 0 447.517 -0.173 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000032718154 1072875411 /nfs/dbraw/zinc/87/54/11/1072875411.db2.gz XRHRBXHBJVXZOJ-OAHLLOKOSA-N 0 0 445.567 -0.926 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000032718155 1072875452 /nfs/dbraw/zinc/87/54/52/1072875452.db2.gz XRHRBXHBJVXZOJ-HNNXBMFYSA-N 0 0 445.567 -0.926 20 0 IBADRN O=C(CNC(=O)c1ccncc1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000032718200 1072875559 /nfs/dbraw/zinc/87/55/59/1072875559.db2.gz RFELZRFZQZFLFQ-UHFFFAOYSA-N 0 0 432.506 -0.525 20 0 IBADRN Cc1nc(C)c(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c(=O)[nH]1 ZINC000032718331 1073105341 /nfs/dbraw/zinc/10/53/41/1073105341.db2.gz XXUSMEFDWGADKU-UHFFFAOYSA-N 0 0 434.522 -0.408 20 0 IBADRN C[C@@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)N1CCN(c2ccccc2)CC1 ZINC000032723173 1073105305 /nfs/dbraw/zinc/10/53/05/1073105305.db2.gz YLPUKDWZNCRLTN-INIZCTEOSA-N 0 0 439.520 -0.239 20 0 IBADRN C[C@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)N1CCN(c2ccccc2)CC1 ZINC000032723174 1073105247 /nfs/dbraw/zinc/10/52/47/1073105247.db2.gz YLPUKDWZNCRLTN-MRXNPFEDSA-N 0 0 439.520 -0.239 20 0 IBADRN C[C@@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)N1CCN(c2ccccc2)CC1 ZINC000032723699 1072875661 /nfs/dbraw/zinc/87/56/61/1072875661.db2.gz KCKXUQLKWXTTKH-INIZCTEOSA-N 0 0 439.520 -0.239 20 0 IBADRN C[C@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)N1CCN(c2ccccc2)CC1 ZINC000032723700 1072875628 /nfs/dbraw/zinc/87/56/28/1072875628.db2.gz KCKXUQLKWXTTKH-MRXNPFEDSA-N 0 0 439.520 -0.239 20 0 IBADRN COc1ccc(CN2CCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)c(OC)c1OC ZINC000032725062 1073105335 /nfs/dbraw/zinc/10/53/35/1073105335.db2.gz BBFGYMPPWSIFNZ-UHFFFAOYSA-N 0 0 432.477 -0.083 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000032737003 1073105378 /nfs/dbraw/zinc/10/53/78/1073105378.db2.gz OZLIGJMUJFYKHA-UHFFFAOYSA-N 0 0 437.316 -0.381 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O ZINC000032740134 1073105204 /nfs/dbraw/zinc/10/52/04/1073105204.db2.gz CRIDHGIPECWKOK-UHFFFAOYSA-N 0 0 429.543 -0.365 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000032746801 1072729588 /nfs/dbraw/zinc/72/95/88/1072729588.db2.gz HWSJMRDBRYXOPD-UHFFFAOYSA-N 0 0 443.526 -0.080 20 0 IBADRN C[C@@H](Oc1ccccc1F)C(=O)NNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000032750664 1072729728 /nfs/dbraw/zinc/72/97/28/1072729728.db2.gz BGWWMWGICUPWMF-VXGBXAGGSA-N 0 0 440.453 -0.094 20 0 IBADRN C[C@H](Oc1ccccc1F)C(=O)NNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000032750665 1072729654 /nfs/dbraw/zinc/72/96/54/1072729654.db2.gz BGWWMWGICUPWMF-NWDGAFQWSA-N 0 0 440.453 -0.094 20 0 IBADRN C[C@@H](Oc1ccccc1F)C(=O)NNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000032750666 1072729759 /nfs/dbraw/zinc/72/97/59/1072729759.db2.gz BGWWMWGICUPWMF-NEPJUHHUSA-N 0 0 440.453 -0.094 20 0 IBADRN C[C@H](Oc1ccccc1F)C(=O)NNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000032750667 1072729750 /nfs/dbraw/zinc/72/97/50/1072729750.db2.gz BGWWMWGICUPWMF-RYUDHWBXSA-N 0 0 440.453 -0.094 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)OC ZINC000032770626 1073105385 /nfs/dbraw/zinc/10/53/85/1073105385.db2.gz RVDKYEYKKCNVSA-IRXDYDNUSA-N 0 0 430.461 -0.268 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)OC ZINC000032770629 1070859258 /nfs/dbraw/zinc/85/92/58/1070859258.db2.gz RVDKYEYKKCNVSA-DLBZAZTESA-N 0 0 430.461 -0.268 20 0 IBADRN NC(=O)CCN(C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000032771679 1073458823 /nfs/dbraw/zinc/45/88/23/1073458823.db2.gz VUJCFTGDODRTBT-UHFFFAOYSA-N 0 0 435.500 -0.490 20 0 IBADRN NC(=O)CCN(C(=O)CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC000032772140 1074474450 /nfs/dbraw/zinc/47/44/50/1074474450.db2.gz RZNIWNPVHGHWGW-QGZVFWFLSA-N 0 0 426.514 -0.161 20 0 IBADRN NC(=O)CCN(C(=O)CN1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC000032772142 1074474519 /nfs/dbraw/zinc/47/45/19/1074474519.db2.gz RZNIWNPVHGHWGW-KRWDZBQOSA-N 0 0 426.514 -0.161 20 0 IBADRN COc1ccc(CN2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000032775447 1073105282 /nfs/dbraw/zinc/10/52/82/1073105282.db2.gz FYTAPWYVPMFJPD-OAHLLOKOSA-N 0 0 442.513 -0.035 20 0 IBADRN COc1ccc(CN2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000032775448 1073105288 /nfs/dbraw/zinc/10/52/88/1073105288.db2.gz FYTAPWYVPMFJPD-HNNXBMFYSA-N 0 0 442.513 -0.035 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000032805049 1074478140 /nfs/dbraw/zinc/47/81/40/1074478140.db2.gz CXXYJIMBRATOPX-DZGCQCFKSA-N 0 0 437.474 -0.437 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000032805050 1074478136 /nfs/dbraw/zinc/47/81/36/1074478136.db2.gz CXXYJIMBRATOPX-UKRRQHHQSA-N 0 0 437.474 -0.437 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000032805051 1074478049 /nfs/dbraw/zinc/47/80/49/1074478049.db2.gz CXXYJIMBRATOPX-ZFWWWQNUSA-N 0 0 437.474 -0.437 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000032805052 1074478116 /nfs/dbraw/zinc/47/81/16/1074478116.db2.gz CXXYJIMBRATOPX-HIFRSBDPSA-N 0 0 437.474 -0.437 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(Cc2ccccn2)CC1 ZINC000032823051 1072877060 /nfs/dbraw/zinc/87/70/60/1072877060.db2.gz GTKVWKYTWDOWCJ-UHFFFAOYSA-N 0 0 431.474 -0.019 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000032826770 1072877309 /nfs/dbraw/zinc/87/73/09/1072877309.db2.gz ACNASIDHFIHKAL-UHFFFAOYSA-N 0 0 449.555 -0.570 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)Cn2ccc(=O)n(C)c2=O)CC1 ZINC000032826946 1073105317 /nfs/dbraw/zinc/10/53/17/1073105317.db2.gz ZYRQFKZYZKEKNR-UHFFFAOYSA-N 0 0 425.467 -0.925 20 0 IBADRN COC(=O)c1cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc([N+](=O)[O-])c1 ZINC000032851893 1072736931 /nfs/dbraw/zinc/73/69/31/1072736931.db2.gz SYXKRVUXSFFJJI-UHFFFAOYSA-N 0 0 431.361 -0.015 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000032852375 1072737922 /nfs/dbraw/zinc/73/79/22/1072737922.db2.gz QULVVSMJLCYZEP-UHFFFAOYSA-N 0 0 429.433 -0.194 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000032858384 1072737999 /nfs/dbraw/zinc/73/79/99/1072737999.db2.gz IGZJXLSOLZZVBT-UHFFFAOYSA-N 0 0 429.433 -0.194 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCNC(=O)c3ccc([N+](=O)[O-])cc3)c2c(=O)n(C)c1=O ZINC000032864175 1072711721 /nfs/dbraw/zinc/71/17/21/1072711721.db2.gz AYDVEQWNXULRKF-UHFFFAOYSA-N 0 0 444.404 -0.295 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(c2ncnc3c2cnn3C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000032899576 1072884319 /nfs/dbraw/zinc/88/43/19/1072884319.db2.gz ZXLPTOVGSNLMKU-HUUCEWRRSA-N 0 0 435.554 -0.091 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000032899577 1072884243 /nfs/dbraw/zinc/88/42/43/1072884243.db2.gz ZXLPTOVGSNLMKU-CABCVRRESA-N 0 0 435.554 -0.091 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(c2ncnc3c2cnn3C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000032899578 1072884174 /nfs/dbraw/zinc/88/41/74/1072884174.db2.gz ZXLPTOVGSNLMKU-LSDHHAIUSA-N 0 0 435.554 -0.091 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000032899579 1072884313 /nfs/dbraw/zinc/88/43/13/1072884313.db2.gz ZXLPTOVGSNLMKU-GJZGRUSLSA-N 0 0 435.554 -0.091 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)=NN(c2ccccc2)C1=O ZINC000032923125 1072739872 /nfs/dbraw/zinc/73/98/72/1072739872.db2.gz JTCKIBXTGTYBMH-INIZCTEOSA-N 0 0 428.493 -0.672 20 0 IBADRN C[C@H]1NC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)=NN(c2ccccc2)C1=O ZINC000032923127 1072739953 /nfs/dbraw/zinc/73/99/53/1072739953.db2.gz JTCKIBXTGTYBMH-MRXNPFEDSA-N 0 0 428.493 -0.672 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)o1 ZINC000032923171 1073105325 /nfs/dbraw/zinc/10/53/25/1073105325.db2.gz PJBRELKTACZBLP-UHFFFAOYSA-N 0 0 442.538 -0.027 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000032925547 1073105295 /nfs/dbraw/zinc/10/52/95/1073105295.db2.gz WSTUEZACMMJISE-UHFFFAOYSA-N 0 0 425.511 -0.189 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)Cn3ccc(=O)n(C)c3=O)c2)CC1 ZINC000032938608 1073105373 /nfs/dbraw/zinc/10/53/73/1073105373.db2.gz MWKSQHXSUYNCKP-UHFFFAOYSA-N 0 0 435.506 -0.488 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000032963556 1073105354 /nfs/dbraw/zinc/10/53/54/1073105354.db2.gz LCYLCULASHLHHD-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CCn1c(NNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)nc2ccccc2c1=O ZINC000032966693 1072743595 /nfs/dbraw/zinc/74/35/95/1072743595.db2.gz ALXGJCMWRXSBOM-QWHCGFSZSA-N 0 0 433.490 -0.105 20 0 IBADRN CCn1c(NNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)nc2ccccc2c1=O ZINC000032966696 1072743426 /nfs/dbraw/zinc/74/34/26/1072743426.db2.gz ALXGJCMWRXSBOM-CHWSQXEVSA-N 0 0 433.490 -0.105 20 0 IBADRN CCn1c(NNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)nc2ccccc2c1=O ZINC000032966699 1072743588 /nfs/dbraw/zinc/74/35/88/1072743588.db2.gz ALXGJCMWRXSBOM-STQMWFEESA-N 0 0 433.490 -0.105 20 0 IBADRN CCn1c(NNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)nc2ccccc2c1=O ZINC000032966701 1072743648 /nfs/dbraw/zinc/74/36/48/1072743648.db2.gz ALXGJCMWRXSBOM-OLZOCXBDSA-N 0 0 433.490 -0.105 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)nc2ccccc12 ZINC000032967277 1073105219 /nfs/dbraw/zinc/10/52/19/1073105219.db2.gz FNVIMILLEZCRCC-UHFFFAOYSA-N 0 0 433.538 -0.228 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NS(=O)(=O)c1ccc(C)cc1 ZINC000032968191 1073461660 /nfs/dbraw/zinc/46/16/60/1073461660.db2.gz VURJPTBLEIZVGI-LSDHHAIUSA-N 0 0 445.563 -0.186 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NS(=O)(=O)c1ccc(C)cc1 ZINC000032968192 1073461610 /nfs/dbraw/zinc/46/16/10/1073461610.db2.gz VURJPTBLEIZVGI-GJZGRUSLSA-N 0 0 445.563 -0.186 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](C)NC(=O)COc1ccccc1 ZINC000032968193 1073105311 /nfs/dbraw/zinc/10/53/11/1073105311.db2.gz HVEHPLCYOYEAAI-HUUCEWRRSA-N 0 0 425.507 -0.278 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](C)NC(=O)COc1ccccc1 ZINC000032968194 1073105368 /nfs/dbraw/zinc/10/53/68/1073105368.db2.gz HVEHPLCYOYEAAI-CABCVRRESA-N 0 0 425.507 -0.278 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NC(=O)COc1ccccc1 ZINC000032968195 1073105237 /nfs/dbraw/zinc/10/52/37/1073105237.db2.gz HVEHPLCYOYEAAI-LSDHHAIUSA-N 0 0 425.507 -0.278 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NC(=O)COc1ccccc1 ZINC000032968196 1073105908 /nfs/dbraw/zinc/10/59/08/1073105908.db2.gz HVEHPLCYOYEAAI-GJZGRUSLSA-N 0 0 425.507 -0.278 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccccc2)C(=O)[C@@H](C)N1 ZINC000032968265 1072743440 /nfs/dbraw/zinc/74/34/40/1072743440.db2.gz AOIZSNRVEJMPAI-ZIAGYGMSSA-N 0 0 435.506 -0.523 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccccc2)C(=O)[C@@H](C)N1 ZINC000032968266 1072743519 /nfs/dbraw/zinc/74/35/19/1072743519.db2.gz AOIZSNRVEJMPAI-KGLIPLIRSA-N 0 0 435.506 -0.523 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccccc2)C(=O)[C@H](C)N1 ZINC000032968267 1072744106 /nfs/dbraw/zinc/74/41/06/1072744106.db2.gz AOIZSNRVEJMPAI-UONOGXRCSA-N 0 0 435.506 -0.523 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccccc2)C(=O)[C@H](C)N1 ZINC000032968268 1072744100 /nfs/dbraw/zinc/74/41/00/1072744100.db2.gz AOIZSNRVEJMPAI-KBPBESRZSA-N 0 0 435.506 -0.523 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000032976907 1072955388 /nfs/dbraw/zinc/95/53/88/1072955388.db2.gz IKJOMFXVHLRNQE-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)c1=O ZINC000032981575 1073105801 /nfs/dbraw/zinc/10/58/01/1073105801.db2.gz JNDXRGDOHRQQPX-UHFFFAOYSA-N 0 0 446.407 -0.503 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000032992541 1073105825 /nfs/dbraw/zinc/10/58/25/1073105825.db2.gz YKRCFNGGFYFLGN-UHFFFAOYSA-N 0 0 430.552 -0.424 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000032994387 1072955480 /nfs/dbraw/zinc/95/54/80/1072955480.db2.gz RYIAKKYMXVKVJO-UHFFFAOYSA-N 0 0 435.528 -0.960 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)CC1 ZINC000033000648 1073105900 /nfs/dbraw/zinc/10/59/00/1073105900.db2.gz KOUDEDYEYSQFKF-UHFFFAOYSA-N 0 0 430.552 -0.129 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)CC1 ZINC000033000851 1072955982 /nfs/dbraw/zinc/95/59/82/1072955982.db2.gz CKSXDMDJGQFRJI-UHFFFAOYSA-N 0 0 436.581 -0.067 20 0 IBADRN O=C(c1cc(Cl)ccc1-n1cnnn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000033014594 1073105891 /nfs/dbraw/zinc/10/58/91/1073105891.db2.gz YAERXYPVOBDJLZ-UHFFFAOYSA-N 0 0 441.901 -0.350 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)nc1 ZINC000033020665 1073105874 /nfs/dbraw/zinc/10/58/74/1073105874.db2.gz DUEQWSQLDINSAU-UHFFFAOYSA-N 0 0 425.511 -0.178 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000033046285 1072955753 /nfs/dbraw/zinc/95/57/53/1072955753.db2.gz PHZPMCDTDCGOCM-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN COc1cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1NS(C)(=O)=O ZINC000033067339 1073105842 /nfs/dbraw/zinc/10/58/42/1073105842.db2.gz XRWHKZTZEGNUTN-UHFFFAOYSA-N 0 0 436.450 -0.548 20 0 IBADRN COc1cc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)ccc1NS(C)(=O)=O ZINC000033069556 1073105787 /nfs/dbraw/zinc/10/57/87/1073105787.db2.gz ZRKBNADASDELHQ-UHFFFAOYSA-N 0 0 436.450 -0.548 20 0 IBADRN O=C(CSCC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCOCC1 ZINC000033090077 1071462924 /nfs/dbraw/zinc/46/29/24/1071462924.db2.gz BPHUURRQYXQUCB-UHFFFAOYSA-N 0 0 428.536 -0.494 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000033090134 1073105818 /nfs/dbraw/zinc/10/58/18/1073105818.db2.gz YZUBZCQTWWEXHX-UHFFFAOYSA-N 0 0 440.503 -0.116 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CSc2nnc(N3CCOCC3)n2C)c(=O)n(C)c1=O ZINC000033143005 1073105858 /nfs/dbraw/zinc/10/58/58/1073105858.db2.gz GYNQJAKIZLEMNR-UHFFFAOYSA-N 0 0 437.526 -0.275 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)c1=O ZINC000033147021 1073105753 /nfs/dbraw/zinc/10/57/53/1073105753.db2.gz NAKLSGJXODFFFC-UHFFFAOYSA-N 0 0 442.881 -0.140 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)[C@@H]1COCCO1 ZINC000033147114 1073105779 /nfs/dbraw/zinc/10/57/79/1073105779.db2.gz MFUZYALRNJWKCH-SFHVURJKSA-N 0 0 441.506 -0.102 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)[C@H]1COCCO1 ZINC000033147115 1073105854 /nfs/dbraw/zinc/10/58/54/1073105854.db2.gz MFUZYALRNJWKCH-GOSISDBHSA-N 0 0 441.506 -0.102 20 0 IBADRN CCCCn1c(N)c(N(CCC(C)C)C(=O)Cn2ccc(=O)n(C)c2=O)c(=O)[nH]c1=O ZINC000033151147 1073105833 /nfs/dbraw/zinc/10/58/33/1073105833.db2.gz KWOYBBCAXFRUPF-UHFFFAOYSA-N 0 0 434.497 -0.141 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)Cn3ccc(=O)n(C)c3=O)cc2)C[C@@H](C)O1 ZINC000033151594 1073105813 /nfs/dbraw/zinc/10/58/13/1073105813.db2.gz BYRNHANSHKDDOZ-ZIAGYGMSSA-N 0 0 436.490 -0.016 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)Cn3ccc(=O)n(C)c3=O)cc2)C[C@H](C)O1 ZINC000033151595 1073105809 /nfs/dbraw/zinc/10/58/09/1073105809.db2.gz BYRNHANSHKDDOZ-OKILXGFUSA-N 0 0 436.490 -0.016 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)Cn3ccc(=O)n(C)c3=O)cc2)C[C@H](C)O1 ZINC000033151596 1073105868 /nfs/dbraw/zinc/10/58/68/1073105868.db2.gz BYRNHANSHKDDOZ-KBPBESRZSA-N 0 0 436.490 -0.016 20 0 IBADRN CCCCn1c(N)c(N(Cc2ccco2)C(=O)Cn2ccc(=O)n(C)c2=O)c(=O)[nH]c1=O ZINC000033151714 1073105770 /nfs/dbraw/zinc/10/57/70/1073105770.db2.gz KRQGXYAZCYACLU-UHFFFAOYSA-N 0 0 444.448 -0.394 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN(C)S(=O)(=O)c2cccnc2)c(=O)[nH]c1=O ZINC000033153991 1073105912 /nfs/dbraw/zinc/10/59/12/1073105912.db2.gz IJADEPSBZWEGMP-UHFFFAOYSA-N 0 0 438.510 -0.013 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)Cn3ccc(=O)[nH]c3=O)CC2)c1 ZINC000033197869 1072958029 /nfs/dbraw/zinc/95/80/29/1072958029.db2.gz QEHMIOWSUISTIQ-UHFFFAOYSA-N 0 0 443.485 -0.074 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)C[C@@H]3NC(=O)NC3=O)CC2)c1 ZINC000033198062 1073105880 /nfs/dbraw/zinc/10/58/80/1073105880.db2.gz UQBDGNXYPSCVMX-AWEZNQCLSA-N 0 0 431.474 -0.026 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000033231673 1073106313 /nfs/dbraw/zinc/10/63/13/1073106313.db2.gz BCXIIXFTIMZLMX-GFCCVEGCSA-N 0 0 448.505 -0.309 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000033231674 1073106406 /nfs/dbraw/zinc/10/64/06/1073106406.db2.gz BCXIIXFTIMZLMX-LBPRGKRZSA-N 0 0 448.505 -0.309 20 0 IBADRN COc1cc([N+](=O)[O-])ccc1NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000033558356 1072821639 /nfs/dbraw/zinc/82/16/39/1072821639.db2.gz HXYISOFTZOYRDW-UHFFFAOYSA-N 0 0 446.376 -0.468 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3ccc(F)c([N+](=O)[O-])c3)c2c(=O)n(C)c1=O ZINC000033649167 1072846407 /nfs/dbraw/zinc/84/64/07/1072846407.db2.gz UVWVGMHBAKWPET-UHFFFAOYSA-N 0 0 434.340 -0.337 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3ccc4c(c3)OCCO4)c2c(=O)n(C)c1=O ZINC000033681068 1072857684 /nfs/dbraw/zinc/85/76/84/1072857684.db2.gz IYXQWDJLNSMINT-UHFFFAOYSA-N 0 0 429.389 -0.613 20 0 IBADRN COc1ccc(OC)c(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000033738238 1072885217 /nfs/dbraw/zinc/88/52/17/1072885217.db2.gz IJVXDJVDCZRYRT-UHFFFAOYSA-N 0 0 431.405 -0.367 20 0 IBADRN COc1ccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(OC)c1 ZINC000033795198 1072900071 /nfs/dbraw/zinc/90/00/71/1072900071.db2.gz XQWKDOHKJRWEPS-UHFFFAOYSA-N 0 0 431.405 -0.367 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCc3ccc(Cl)cc3)c2c(=O)n(C)c1=O ZINC000033860464 1072926859 /nfs/dbraw/zinc/92/68/59/1072926859.db2.gz YOZFSHVQLPJQON-UHFFFAOYSA-N 0 0 433.852 -0.011 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)COC(=O)/C=C/C(=O)OCC(=O)NC(=O)NC(C)(C)C ZINC000034887956 1072225337 /nfs/dbraw/zinc/22/53/37/1072225337.db2.gz FQRFMLNAZRIAMB-BQYQJAHWSA-N 0 0 428.442 -0.122 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000035213320 1073068538 /nfs/dbraw/zinc/06/85/38/1073068538.db2.gz GTGRIBAMLURAHO-CQSZACIVSA-N 0 0 430.504 -0.069 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC000035213323 1073068442 /nfs/dbraw/zinc/06/84/42/1073068442.db2.gz GTGRIBAMLURAHO-AWEZNQCLSA-N 0 0 430.504 -0.069 20 0 IBADRN CCOc1ccc(N2C[C@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000036189139 1072313056 /nfs/dbraw/zinc/31/30/56/1072313056.db2.gz YAYVPRWDXKKVDO-GFCCVEGCSA-N 0 0 444.444 -0.156 20 0 IBADRN CCOc1ccc(N2C[C@@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000036189142 1072313165 /nfs/dbraw/zinc/31/31/65/1072313165.db2.gz YAYVPRWDXKKVDO-LBPRGKRZSA-N 0 0 444.444 -0.156 20 0 IBADRN CCN(C(=O)COC(=O)CN1C(=O)NC2(CCCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000036245500 1072313566 /nfs/dbraw/zinc/31/35/66/1072313566.db2.gz MKHMNDQQWMHXGG-CYBMUJFWSA-N 0 0 429.495 -0.180 20 0 IBADRN CCN(C(=O)COC(=O)CN1C(=O)NC2(CCCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000036245501 1072313661 /nfs/dbraw/zinc/31/36/61/1072313661.db2.gz MKHMNDQQWMHXGG-ZDUSSCGKSA-N 0 0 429.495 -0.180 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C(C)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000036274744 1070992184 /nfs/dbraw/zinc/99/21/84/1070992184.db2.gz BNCYYYSSEJMCLU-UHFFFAOYSA-N 0 0 425.507 -0.051 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCN2CCOCC2)c1OC ZINC000036332614 1070992918 /nfs/dbraw/zinc/99/29/18/1070992918.db2.gz AKRHKXQSAOUIIW-UHFFFAOYSA-N 0 0 443.522 -0.213 20 0 IBADRN O=C(CCNC1=NS(=O)(=O)c2ccccc21)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000036646505 1074118239 /nfs/dbraw/zinc/11/82/39/1074118239.db2.gz FPNQHTQIXYIXMQ-UHFFFAOYSA-N 0 0 449.533 -0.882 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000036646508 1071003555 /nfs/dbraw/zinc/00/35/55/1071003555.db2.gz PQKNFIGXKMPVSD-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000036647763 1071003602 /nfs/dbraw/zinc/00/36/02/1071003602.db2.gz VBSONFLNPMOLPC-HNNXBMFYSA-N 0 0 439.538 -0.863 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000036647764 1071003750 /nfs/dbraw/zinc/00/37/50/1071003750.db2.gz VBSONFLNPMOLPC-OAHLLOKOSA-N 0 0 439.538 -0.863 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)Nc1ccccc1C(=O)NC1CC1 ZINC000036647779 1074118302 /nfs/dbraw/zinc/11/83/02/1074118302.db2.gz SBZAEACHWOXPJH-UHFFFAOYSA-N 0 0 429.521 -0.006 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)Nc1ccc(CN2CCOCC2)cc1 ZINC000036647788 1071003519 /nfs/dbraw/zinc/00/35/19/1071003519.db2.gz UQCJGIFTZZYCQW-UHFFFAOYSA-N 0 0 445.564 -0.066 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)cc1S(=O)(=O)N(C)C ZINC000036744818 1071008632 /nfs/dbraw/zinc/00/86/32/1071008632.db2.gz QYAQTWWLVUDEIB-UHFFFAOYSA-N 0 0 447.583 -0.392 20 0 IBADRN CCc1c(C(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)cnc2ncnn21 ZINC000036753189 1074118984 /nfs/dbraw/zinc/11/89/84/1074118984.db2.gz KRQRQJJSZITXII-UHFFFAOYSA-N 0 0 429.437 -0.175 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)COC(=O)CNS(=O)(=O)c2cccs2)c1 ZINC000036753363 1074118853 /nfs/dbraw/zinc/11/88/53/1074118853.db2.gz NJSZAOORWXPMQG-UHFFFAOYSA-N 0 0 433.489 -0.144 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)CNS(=O)(=O)c2cccs2)cc1 ZINC000036753386 1074118969 /nfs/dbraw/zinc/11/89/69/1074118969.db2.gz ZTRLYOXBDZJFLC-UHFFFAOYSA-N 0 0 433.489 -0.144 20 0 IBADRN CC(=O)NCc1ccc(C(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000036795424 1074118924 /nfs/dbraw/zinc/11/89/24/1074118924.db2.gz TZJHMHRWXUUKSG-UHFFFAOYSA-N 0 0 447.473 -0.053 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000036799639 1074118821 /nfs/dbraw/zinc/11/88/21/1074118821.db2.gz BYQYEIHIEXZXTK-UHFFFAOYSA-N 0 0 433.556 -0.523 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000036799640 1074118865 /nfs/dbraw/zinc/11/88/65/1074118865.db2.gz XRYCJJHNGZUPOD-UHFFFAOYSA-N 0 0 433.556 -0.523 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000036800057 1073106137 /nfs/dbraw/zinc/10/61/37/1073106137.db2.gz RRDBXEBYQLLYAD-UHFFFAOYSA-N 0 0 447.536 -0.620 20 0 IBADRN CCCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000036811421 1071010982 /nfs/dbraw/zinc/01/09/82/1071010982.db2.gz KEMOKCZCKYKUAP-OAHLLOKOSA-N 0 0 443.547 -0.154 20 0 IBADRN CCCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000036811422 1071010830 /nfs/dbraw/zinc/01/08/30/1071010830.db2.gz KEMOKCZCKYKUAP-HNNXBMFYSA-N 0 0 443.547 -0.154 20 0 IBADRN C[C@H](Oc1ccc(F)cc1)C(=O)NNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000036811602 1074118978 /nfs/dbraw/zinc/11/89/78/1074118978.db2.gz QJKPYBDMSTZRNV-OUCADQQQSA-N 0 0 427.454 -0.224 20 0 IBADRN C[C@H](Oc1ccc(F)cc1)C(=O)NNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000036811604 1074118941 /nfs/dbraw/zinc/11/89/41/1074118941.db2.gz QJKPYBDMSTZRNV-SGMGOOAPSA-N 0 0 427.454 -0.224 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000036813919 1073106329 /nfs/dbraw/zinc/10/63/29/1073106329.db2.gz VSZDBRYKIWDJCP-UHFFFAOYSA-N 0 0 446.551 -0.761 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(S(=O)(=O)N(C)C)CC1 ZINC000036822063 1071012337 /nfs/dbraw/zinc/01/23/37/1071012337.db2.gz VYYOOVGDCCZNIO-UHFFFAOYSA-N 0 0 427.527 -0.672 20 0 IBADRN C[C@H](Oc1ccccc1F)C(=O)NNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000036830435 1074119505 /nfs/dbraw/zinc/11/95/05/1074119505.db2.gz FGQKZKJXOLMVPA-YNEHKIRRSA-N 0 0 427.454 -0.224 20 0 IBADRN C[C@H](Oc1ccccc1F)C(=O)NNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000036830440 1074119472 /nfs/dbraw/zinc/11/94/72/1074119472.db2.gz FGQKZKJXOLMVPA-RWMBFGLXSA-N 0 0 427.454 -0.224 20 0 IBADRN O=C(NNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1cc(Br)c[nH]1 ZINC000036830597 1074119432 /nfs/dbraw/zinc/11/94/32/1074119432.db2.gz UZXQAOGGDZCTIB-PSASIEDQSA-N 0 0 433.284 -0.426 20 0 IBADRN O=C(NNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1cc(Br)c[nH]1 ZINC000036830599 1074119398 /nfs/dbraw/zinc/11/93/98/1074119398.db2.gz UZXQAOGGDZCTIB-WCBMZHEXSA-N 0 0 433.284 -0.426 20 0 IBADRN O=C(NNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1cc(Br)c[nH]1 ZINC000036830601 1074119467 /nfs/dbraw/zinc/11/94/67/1074119467.db2.gz UZXQAOGGDZCTIB-SCZZXKLOSA-N 0 0 433.284 -0.426 20 0 IBADRN O=C(NNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1cc(Br)c[nH]1 ZINC000036830604 1074119531 /nfs/dbraw/zinc/11/95/31/1074119531.db2.gz UZXQAOGGDZCTIB-WPRPVWTQSA-N 0 0 433.284 -0.426 20 0 IBADRN O=C(CN1CCCc2ccccc21)NNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000036830658 1074119502 /nfs/dbraw/zinc/11/95/02/1074119502.db2.gz MKZOKGCRXZNLMM-HZPDHXFCSA-N 0 0 434.518 -0.378 20 0 IBADRN O=C(CN1CCCc2ccccc21)NNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000036830661 1074119526 /nfs/dbraw/zinc/11/95/26/1074119526.db2.gz MKZOKGCRXZNLMM-JKSUJKDBSA-N 0 0 434.518 -0.378 20 0 IBADRN CC(C)C[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1=O ZINC000036831832 1074118954 /nfs/dbraw/zinc/11/89/54/1074118954.db2.gz NLXWTDYMGYNPRT-OAGGEKHMSA-N 0 0 431.559 -0.118 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000036831844 1074118881 /nfs/dbraw/zinc/11/88/81/1074118881.db2.gz APRFAHLSAZBVCD-OKILXGFUSA-N 0 0 431.515 -0.885 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000036831846 1074118959 /nfs/dbraw/zinc/11/89/59/1074118959.db2.gz APRFAHLSAZBVCD-KBPBESRZSA-N 0 0 431.515 -0.885 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1=O ZINC000036831848 1074118914 /nfs/dbraw/zinc/11/89/14/1074118914.db2.gz APRFAHLSAZBVCD-ZIAGYGMSSA-N 0 0 431.515 -0.885 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)c4cccc(N)c4C3=O)CC2)C[C@H](C)O1 ZINC000036831901 1074118990 /nfs/dbraw/zinc/11/89/90/1074118990.db2.gz ZGSTUGRVRBFLBF-OKILXGFUSA-N 0 0 437.522 -0.206 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)c4cccc(N)c4C3=O)CC2)C[C@H](C)O1 ZINC000036831903 1074119001 /nfs/dbraw/zinc/11/90/01/1074119001.db2.gz ZGSTUGRVRBFLBF-KBPBESRZSA-N 0 0 437.522 -0.206 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)c4cccc(N)c4C3=O)CC2)C[C@@H](C)O1 ZINC000036831905 1074119538 /nfs/dbraw/zinc/11/95/38/1074119538.db2.gz ZGSTUGRVRBFLBF-ZIAGYGMSSA-N 0 0 437.522 -0.206 20 0 IBADRN COCCn1cnn(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1=S ZINC000036831947 1074119454 /nfs/dbraw/zinc/11/94/54/1074119454.db2.gz AJCWIUMPMZRYTO-GASCZTMLSA-N 0 0 434.588 -0.010 20 0 IBADRN COCCn1cnn(CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1=S ZINC000036831949 1074119462 /nfs/dbraw/zinc/11/94/62/1074119462.db2.gz AJCWIUMPMZRYTO-GJZGRUSLSA-N 0 0 434.588 -0.010 20 0 IBADRN COCCn1cnn(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1=S ZINC000036831952 1074119408 /nfs/dbraw/zinc/11/94/08/1074119408.db2.gz AJCWIUMPMZRYTO-HUUCEWRRSA-N 0 0 434.588 -0.010 20 0 IBADRN O=S(=O)(CCNC(=S)NCCN1CCOCC1)N1CCN(c2ccccn2)CC1 ZINC000036847259 1074119518 /nfs/dbraw/zinc/11/95/18/1074119518.db2.gz ZDTIVJLCNBILEG-UHFFFAOYSA-N 0 0 442.611 -0.670 20 0 IBADRN O=C1N=c2ccccc2=C1NNc1ccc(S(=O)(=O)N2CCOCC2)cc1[N+](=O)[O-] ZINC000038198266 1072598226 /nfs/dbraw/zinc/59/82/26/1072598226.db2.gz YUGSHVMHWXLCIY-UHFFFAOYSA-N 0 0 431.430 -0.500 20 0 IBADRN COc1ccccc1N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000038399795 1070806262 /nfs/dbraw/zinc/80/62/62/1070806262.db2.gz DMCFFIKDAPRNLN-QTQZEZTPSA-N 0 0 442.476 -0.227 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cc1 ZINC000038522360 1072875932 /nfs/dbraw/zinc/87/59/32/1072875932.db2.gz MNHLHWCRUDXBCH-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN Cn1c2ncn(CC(=O)NCCN3CCN(c4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000038587794 1071337248 /nfs/dbraw/zinc/33/72/48/1071337248.db2.gz QDRNZAMOZMYESR-UHFFFAOYSA-N 0 0 425.493 -0.628 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC(O)(c3ccccc3)CC2)[C@H](O)[C@@H]1O ZINC000039264786 1072330127 /nfs/dbraw/zinc/33/01/27/1072330127.db2.gz PVBAIYNYZKTWNZ-WVSUBDOOSA-N 0 0 427.461 -0.074 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN2C(=O)c3ccccc3C2=O)c(=O)n(C)c1=O ZINC000039585614 1072337529 /nfs/dbraw/zinc/33/75/29/1072337529.db2.gz IDUPARFOPDASNG-UHFFFAOYSA-N 0 0 442.428 -0.193 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1C(=O)c2ccccc2C1=O ZINC000039656008 1072341765 /nfs/dbraw/zinc/34/17/65/1072341765.db2.gz BMJKDLBBZHZLBW-HNNXBMFYSA-N 0 0 442.428 -0.287 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1C(=O)c2ccccc2C1=O ZINC000039656010 1072341788 /nfs/dbraw/zinc/34/17/88/1072341788.db2.gz BMJKDLBBZHZLBW-OAHLLOKOSA-N 0 0 442.428 -0.287 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCC(=O)NCC(=O)OCc1ccccc1 ZINC000040918027 563111683 /nfs/dbraw/zinc/11/16/83/563111683.db2.gz VGVOUQMBYWKJIM-UHFFFAOYSA-N 0 0 436.465 -0.397 20 0 IBADRN CC(C)CN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000043734058 1072603525 /nfs/dbraw/zinc/60/35/25/1072603525.db2.gz XAXVSEOAHPVYCO-UHFFFAOYSA-N 0 0 449.512 -0.900 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000043749812 1071413338 /nfs/dbraw/zinc/41/33/38/1071413338.db2.gz CUEYIJCENOKBOW-UHFFFAOYSA-N 0 0 448.567 -0.516 20 0 IBADRN CCc1c(C(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cnc2ncnn21 ZINC000043774651 1072433567 /nfs/dbraw/zinc/43/35/67/1072433567.db2.gz CWWISVRYTMJLFW-UHFFFAOYSA-N 0 0 432.462 -0.150 20 0 IBADRN Cn1c2ncn(CC(=O)NCC3CCN(C(=O)C(F)(F)F)CC3)c2c(=O)n(C)c1=O ZINC000044003331 1072437580 /nfs/dbraw/zinc/43/75/80/1072437580.db2.gz KDWVKDDZCRDSTM-UHFFFAOYSA-N 0 0 430.387 -0.649 20 0 IBADRN Cn1c2ncn(CC(=O)NCCN3CCN(C(=O)OC(C)(C)C)CC3)c2c(=O)n(C)c1=O ZINC000044456204 1072451582 /nfs/dbraw/zinc/45/15/82/1072451582.db2.gz BXAGCWWOGKYEMR-UHFFFAOYSA-N 0 0 449.512 -0.897 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CNC(=O)COc1ccccc1)c(=O)n2C ZINC000045222169 1072183734 /nfs/dbraw/zinc/18/37/34/1072183734.db2.gz YJNQOJDCJWGBLU-UHFFFAOYSA-N 0 0 429.433 -0.438 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)N3CCN(C(=O)c4ccco4)CC3)c2c(=O)n(C)c1=O ZINC000045243259 1072184405 /nfs/dbraw/zinc/18/44/05/1072184405.db2.gz RMVMMTZBIPQKDV-UHFFFAOYSA-N 0 0 434.840 -0.335 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000045258463 1072184372 /nfs/dbraw/zinc/18/43/72/1072184372.db2.gz LNMNPYYDYLHFSN-UHFFFAOYSA-N 0 0 435.462 -0.363 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000045441712 1072474866 /nfs/dbraw/zinc/47/48/66/1072474866.db2.gz BCJRIDTUXMBWGJ-FQEVSTJZSA-N 0 0 439.432 -0.678 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000045441714 1072474889 /nfs/dbraw/zinc/47/48/89/1072474889.db2.gz BCJRIDTUXMBWGJ-HXUWFJFHSA-N 0 0 439.432 -0.678 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCc2nc3ccccc3c(=O)n2C)c(=O)n(C)c1=O ZINC000045494894 1072201351 /nfs/dbraw/zinc/20/13/51/1072201351.db2.gz AKESATROBSBSHX-UHFFFAOYSA-N 0 0 427.417 -0.728 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2sccc2-n2cnnn2)c(=O)n(C)c1=O ZINC000046667306 1070915836 /nfs/dbraw/zinc/91/58/36/1070915836.db2.gz USDBCWQCAORNFO-UHFFFAOYSA-N 0 0 433.450 -0.138 20 0 IBADRN COCCN(C(=O)COC(=O)c1cc[nH]n1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000046669026 1072266167 /nfs/dbraw/zinc/26/61/67/1072266167.db2.gz IQVQMTGTJUMIEQ-UHFFFAOYSA-N 0 0 442.432 -0.273 20 0 IBADRN C[C@H](Oc1cccc(Br)c1)C(=O)NNC(=O)Cn1ccc(=O)n(C)c1=O ZINC000046670688 1072266338 /nfs/dbraw/zinc/26/63/38/1072266338.db2.gz LLJXWNVTAZSQHK-JTQLQIEISA-N 0 0 425.239 -0.076 20 0 IBADRN C[C@@H](Oc1cccc(Br)c1)C(=O)NNC(=O)Cn1ccc(=O)n(C)c1=O ZINC000046670690 1072266346 /nfs/dbraw/zinc/26/63/46/1072266346.db2.gz LLJXWNVTAZSQHK-SNVBAGLBSA-N 0 0 425.239 -0.076 20 0 IBADRN CCCC1N=NC(=S)N1CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000046680568 1072266200 /nfs/dbraw/zinc/26/62/00/1072266200.db2.gz ACJMNPAVJKHUMU-UHFFFAOYSA-N 0 0 431.588 -0.844 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC000046706783 1072322515 /nfs/dbraw/zinc/32/25/15/1072322515.db2.gz MTJQTMKTKIXYSF-CVEARBPZSA-N 0 0 447.488 -0.015 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC000046706785 1072322698 /nfs/dbraw/zinc/32/26/98/1072322698.db2.gz MTJQTMKTKIXYSF-HOTGVXAUSA-N 0 0 447.488 -0.015 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC000046706787 1072322647 /nfs/dbraw/zinc/32/26/47/1072322647.db2.gz MTJQTMKTKIXYSF-HZPDHXFCSA-N 0 0 447.488 -0.015 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC000046706789 1072322561 /nfs/dbraw/zinc/32/25/61/1072322561.db2.gz MTJQTMKTKIXYSF-JKSUJKDBSA-N 0 0 447.488 -0.015 20 0 IBADRN CC(C)NC(=O)C(=O)NNC(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1 ZINC000046772970 1072267802 /nfs/dbraw/zinc/26/78/02/1072267802.db2.gz SCIBKFTUTGBQNK-UHFFFAOYSA-N 0 0 435.300 -0.258 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000046814765 1072268333 /nfs/dbraw/zinc/26/83/33/1072268333.db2.gz PBMZHFBTYFSIQS-OAHLLOKOSA-N 0 0 433.490 -0.273 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000046814767 1072268411 /nfs/dbraw/zinc/26/84/11/1072268411.db2.gz PBMZHFBTYFSIQS-HNNXBMFYSA-N 0 0 433.490 -0.273 20 0 IBADRN CN(CC(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)c1ncccn1 ZINC000046863905 1070917102 /nfs/dbraw/zinc/91/71/02/1070917102.db2.gz ASQHTTNKBBVESC-UHFFFAOYSA-N 0 0 438.444 -0.170 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(Cl)c3)CC2)C(=O)C1=O ZINC000046900908 1071385307 /nfs/dbraw/zinc/38/53/07/1071385307.db2.gz SOHVSVNCPIIAIV-UHFFFAOYSA-N 0 0 442.925 -0.136 20 0 IBADRN CN(CC(=O)NNC(=O)C(=O)NC1CC1)S(=O)(=O)c1ccc(Br)cc1 ZINC000046901884 1072270278 /nfs/dbraw/zinc/27/02/78/1072270278.db2.gz MMQITRXGVKJXJW-UHFFFAOYSA-N 0 0 433.284 -0.504 20 0 IBADRN COc1ccc2c(c1)ncc(C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)c2O ZINC000046903582 1071384868 /nfs/dbraw/zinc/38/48/68/1071384868.db2.gz KOCUFVNFXMJYNP-UHFFFAOYSA-N 0 0 428.445 -0.320 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCCN3CCOCC3)c2)CC1 ZINC000047156074 1070818688 /nfs/dbraw/zinc/81/86/88/1070818688.db2.gz KUCANTFOZCDEAO-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000048288299 1071427071 /nfs/dbraw/zinc/42/70/71/1071427071.db2.gz KGDHSBOCFJBDKY-HUUCEWRRSA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000048288301 1071427010 /nfs/dbraw/zinc/42/70/10/1071427010.db2.gz KGDHSBOCFJBDKY-LSDHHAIUSA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000048288303 1071427171 /nfs/dbraw/zinc/42/71/71/1071427171.db2.gz KGDHSBOCFJBDKY-CABCVRRESA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000048288305 1071427107 /nfs/dbraw/zinc/42/71/07/1071427107.db2.gz KGDHSBOCFJBDKY-GJZGRUSLSA-N 0 0 443.547 -0.411 20 0 IBADRN Cc1cc(NC(=O)C2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)no1 ZINC000049412052 1072156578 /nfs/dbraw/zinc/15/65/78/1072156578.db2.gz ZWJZDGDFZSCGGS-UHFFFAOYSA-N 0 0 429.437 -0.393 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)N2CCOCC2)cc1 ZINC000049488791 1071444584 /nfs/dbraw/zinc/44/45/84/1071444584.db2.gz NVSDSFVUGLEZGL-QGZVFWFLSA-N 0 0 440.504 -0.068 20 0 IBADRN Cc1ccc([C@H](CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)N2CCOCC2)cc1 ZINC000049488794 1071444525 /nfs/dbraw/zinc/44/45/25/1071444525.db2.gz NVSDSFVUGLEZGL-KRWDZBQOSA-N 0 0 440.504 -0.068 20 0 IBADRN O=C(COc1ccccc1)NCC(=O)OCC(=O)NNC(=O)[C@@H]1COc2ccccc2O1 ZINC000057491482 1072212539 /nfs/dbraw/zinc/21/25/39/1072212539.db2.gz OWKUNAQGWHJYKG-KRWDZBQOSA-N 0 0 443.412 -0.288 20 0 IBADRN O=C(COc1ccccc1)NCC(=O)OCC(=O)NNC(=O)[C@H]1COc2ccccc2O1 ZINC000057491486 1072212497 /nfs/dbraw/zinc/21/24/97/1072212497.db2.gz OWKUNAQGWHJYKG-QGZVFWFLSA-N 0 0 443.412 -0.288 20 0 IBADRN C[C@H](OC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1)C(=O)NC(N)=O ZINC000057506584 1070943395 /nfs/dbraw/zinc/94/33/95/1070943395.db2.gz HDTGBFOYPYEFBJ-OIBJUYFYSA-N 0 0 438.256 -0.785 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1)C(=O)NC(N)=O ZINC000057506589 1072213073 /nfs/dbraw/zinc/21/30/73/1072213073.db2.gz HDTGBFOYPYEFBJ-GMSGAONNSA-N 0 0 438.256 -0.785 20 0 IBADRN C[C@H](OC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1)C(=O)NC(N)=O ZINC000057506593 1072213080 /nfs/dbraw/zinc/21/30/80/1072213080.db2.gz HDTGBFOYPYEFBJ-XVKPBYJWSA-N 0 0 438.256 -0.785 20 0 IBADRN C[C@@H](OC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1)C(=O)NC(N)=O ZINC000057506596 1072213194 /nfs/dbraw/zinc/21/31/94/1072213194.db2.gz HDTGBFOYPYEFBJ-XCBNKYQSSA-N 0 0 438.256 -0.785 20 0 IBADRN CNC(=O)NC(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000057506700 1072213004 /nfs/dbraw/zinc/21/30/04/1072213004.db2.gz JKNNISCUPIFJJT-SNVBAGLBSA-N 0 0 438.256 -0.913 20 0 IBADRN CNC(=O)NC(=O)COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000057506704 1072213152 /nfs/dbraw/zinc/21/31/52/1072213152.db2.gz JKNNISCUPIFJJT-JTQLQIEISA-N 0 0 438.256 -0.913 20 0 IBADRN O=C(COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1)N1CCCC1=O ZINC000057506707 1072213137 /nfs/dbraw/zinc/21/31/37/1072213137.db2.gz ZFZHGPBGEMIHPP-LBPRGKRZSA-N 0 0 449.279 -0.220 20 0 IBADRN O=C(COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1)N1CCCC1=O ZINC000057506712 1072213021 /nfs/dbraw/zinc/21/30/21/1072213021.db2.gz ZFZHGPBGEMIHPP-GFCCVEGCSA-N 0 0 449.279 -0.220 20 0 IBADRN COCCOc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000057507325 1073107848 /nfs/dbraw/zinc/10/78/48/1073107848.db2.gz KHAPOGYHOJZRHI-UHFFFAOYSA-N 0 0 429.499 -0.167 20 0 IBADRN C=CCNC(=O)NC(=O)COC(=O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)O ZINC000057515452 1072213123 /nfs/dbraw/zinc/21/31/23/1072213123.db2.gz ZLSYFPRGVGFADT-HZMBPMFUSA-N 0 0 433.870 -0.077 20 0 IBADRN C=CCNC(=O)NC(=O)COC(=O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC000057515455 1072213090 /nfs/dbraw/zinc/21/30/90/1072213090.db2.gz ZLSYFPRGVGFADT-YGRLFVJLSA-N 0 0 433.870 -0.077 20 0 IBADRN C=CCNC(=O)NC(=O)COC(=O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)O ZINC000057515460 1072212992 /nfs/dbraw/zinc/21/29/92/1072212992.db2.gz ZLSYFPRGVGFADT-IINYFYTJSA-N 0 0 433.870 -0.077 20 0 IBADRN C=CCNC(=O)NC(=O)COC(=O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC000057515463 1072213146 /nfs/dbraw/zinc/21/31/46/1072213146.db2.gz ZLSYFPRGVGFADT-QMTHXVAHSA-N 0 0 433.870 -0.077 20 0 IBADRN COc1cccc(C(=O)NCC(=O)OCC(=O)NNC(=O)[C@@H]2COc3ccccc3O2)c1 ZINC000057533478 1072216207 /nfs/dbraw/zinc/21/62/07/1072216207.db2.gz QCSAVRUEXNBGQL-KRWDZBQOSA-N 0 0 443.412 -0.044 20 0 IBADRN COc1cccc(C(=O)NCC(=O)OCC(=O)NNC(=O)[C@H]2COc3ccccc3O2)c1 ZINC000057533481 1071437847 /nfs/dbraw/zinc/43/78/47/1071437847.db2.gz QCSAVRUEXNBGQL-QGZVFWFLSA-N 0 0 443.412 -0.044 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)c2ccc(Br)o2)c(=O)n(C)c1=O ZINC000057534240 1072216350 /nfs/dbraw/zinc/21/63/50/1072216350.db2.gz CMLKPFIOJOPJPD-UHFFFAOYSA-N 0 0 443.210 -0.822 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000057562332 1073107787 /nfs/dbraw/zinc/10/77/87/1073107787.db2.gz JOZNCZZSKNPMEJ-UHFFFAOYSA-N 0 0 431.449 -0.456 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000057564042 1073107902 /nfs/dbraw/zinc/10/79/02/1073107902.db2.gz WHVZQNIJHKHANQ-UHFFFAOYSA-N 0 0 448.567 -0.413 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000057599050 1073107929 /nfs/dbraw/zinc/10/79/29/1073107929.db2.gz RFQJDQUAEPTGDI-UHFFFAOYSA-N 0 0 440.569 -0.493 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)cc1OC ZINC000057614487 1072218449 /nfs/dbraw/zinc/21/84/49/1072218449.db2.gz CCAAAQBKPJNBEN-VQHVLOKHSA-N 0 0 430.461 -0.063 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)Cn2cnc3c(cnn3C)c2=O)cc1OC ZINC000057615198 1073107866 /nfs/dbraw/zinc/10/78/66/1073107866.db2.gz ZOGILSPYLNUOBY-UHFFFAOYSA-N 0 0 428.449 -0.030 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NCc1nnc2n1CCC2 ZINC000057668805 1073107816 /nfs/dbraw/zinc/10/78/16/1073107816.db2.gz QYTFMQSVXWGYNQ-UHFFFAOYSA-N 0 0 434.526 -0.430 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000057703703 1071152549 /nfs/dbraw/zinc/15/25/49/1071152549.db2.gz JQOCYLHBOJBEHH-UHFFFAOYSA-N 0 0 441.510 -0.219 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000057705289 1073107912 /nfs/dbraw/zinc/10/79/12/1073107912.db2.gz CWUSPNOORMJUHL-UHFFFAOYSA-N 0 0 433.465 -0.410 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000057721487 1072220035 /nfs/dbraw/zinc/22/00/35/1072220035.db2.gz VSTIHXUTXIQWPA-LBPRGKRZSA-N 0 0 427.483 -0.961 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000057721493 1072219982 /nfs/dbraw/zinc/21/99/82/1072219982.db2.gz VSTIHXUTXIQWPA-GFCCVEGCSA-N 0 0 427.483 -0.961 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000057748787 1071217533 /nfs/dbraw/zinc/21/75/33/1071217533.db2.gz JAGLJDZSVGQZFS-UHFFFAOYSA-N 0 0 431.493 -0.148 20 0 IBADRN O=C(COC(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)NNC(=O)[C@H]1COc2ccccc2O1 ZINC000057757211 1072221053 /nfs/dbraw/zinc/22/10/53/1072221053.db2.gz ULTCKOAUMFGCMK-DVOMOZLQSA-N 0 0 445.428 -0.308 20 0 IBADRN O=C(COC(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)NNC(=O)[C@@H]1COc2ccccc2O1 ZINC000057757214 1072220995 /nfs/dbraw/zinc/22/09/95/1072220995.db2.gz ULTCKOAUMFGCMK-ZENOOKHLSA-N 0 0 445.428 -0.308 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000057861623 1073107757 /nfs/dbraw/zinc/10/77/57/1073107757.db2.gz RSGVAPWTSOZAIB-UHFFFAOYSA-N 0 0 427.575 -0.041 20 0 IBADRN COCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)OC)C2)cc1 ZINC000057902251 1073148426 /nfs/dbraw/zinc/14/84/26/1073148426.db2.gz CLAOMYAPKULIIZ-ZDUSSCGKSA-N 0 0 427.479 -0.039 20 0 IBADRN COCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)OC)C2)cc1 ZINC000057902254 1073148475 /nfs/dbraw/zinc/14/84/75/1073148475.db2.gz CLAOMYAPKULIIZ-CYBMUJFWSA-N 0 0 427.479 -0.039 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H]1CCCO1 ZINC000057905128 1071316810 /nfs/dbraw/zinc/31/68/10/1071316810.db2.gz XUPBIELJZRZBGD-ZDUSSCGKSA-N 0 0 427.479 -0.050 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H]1CCCO1 ZINC000057905133 1071316793 /nfs/dbraw/zinc/31/67/93/1071316793.db2.gz XUPBIELJZRZBGD-CYBMUJFWSA-N 0 0 427.479 -0.050 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000057905149 1073107924 /nfs/dbraw/zinc/10/79/24/1073107924.db2.gz ZGNZNUWPGYJCNL-AWEZNQCLSA-N 0 0 427.479 -0.050 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000057905153 1073107775 /nfs/dbraw/zinc/10/77/75/1073107775.db2.gz ZGNZNUWPGYJCNL-CQSZACIVSA-N 0 0 427.479 -0.050 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@H]1CCCO1 ZINC000057905468 1071316704 /nfs/dbraw/zinc/31/67/04/1071316704.db2.gz LQHPCDZXERIUBB-AWEZNQCLSA-N 0 0 440.522 -0.135 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@H]1CCCO1 ZINC000057905469 1071316599 /nfs/dbraw/zinc/31/65/99/1071316599.db2.gz LQHPCDZXERIUBB-CQSZACIVSA-N 0 0 440.522 -0.135 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCn3ccnc3)cc2)CC1 ZINC000057921339 1071318146 /nfs/dbraw/zinc/31/81/46/1071318146.db2.gz SFOKNPVUDJJAEG-UHFFFAOYSA-N 0 0 434.522 -0.036 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCCCN2CCOCC2)cc1 ZINC000057921430 1071318281 /nfs/dbraw/zinc/31/82/81/1071318281.db2.gz UJSLAKUZAPGIMC-UHFFFAOYSA-N 0 0 433.509 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCCN1CCOCC1 ZINC000057921446 1071317988 /nfs/dbraw/zinc/31/79/88/1071317988.db2.gz UHEVVEIZHOOWQJ-UHFFFAOYSA-N 0 0 440.522 -0.087 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000057921545 1071318056 /nfs/dbraw/zinc/31/80/56/1071318056.db2.gz ZUYWJHDIMKYFPO-UHFFFAOYSA-N 0 0 440.522 -0.516 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000057921557 1073107883 /nfs/dbraw/zinc/10/78/83/1073107883.db2.gz JACVBJMDQOEKRW-UHFFFAOYSA-N 0 0 440.522 -0.516 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCN1CCOCC1 ZINC000057921750 1071318174 /nfs/dbraw/zinc/31/81/74/1071318174.db2.gz YVKRGISWIZRDCU-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCOCC2)cc1 ZINC000057922174 1071318337 /nfs/dbraw/zinc/31/83/37/1071318337.db2.gz JBQAERJSPQROTK-HNNXBMFYSA-N 0 0 442.538 -0.223 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCOCC2)cc1 ZINC000057922182 1071317950 /nfs/dbraw/zinc/31/79/50/1071317950.db2.gz JBQAERJSPQROTK-OAHLLOKOSA-N 0 0 442.538 -0.223 20 0 IBADRN COCCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000057922725 1073127244 /nfs/dbraw/zinc/12/72/44/1073127244.db2.gz HTOJRSSAQZRGCD-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN O=C(NCCCO)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000057922793 1071317912 /nfs/dbraw/zinc/31/79/12/1071317912.db2.gz LHCKFAYPRLJSLW-UHFFFAOYSA-N 0 0 440.522 -0.255 20 0 IBADRN CCN(CC)c1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCO ZINC000057922802 1071318382 /nfs/dbraw/zinc/31/83/82/1071318382.db2.gz BYCHIHWDCGKHGY-UHFFFAOYSA-N 0 0 442.538 -0.009 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCO ZINC000057922897 1071318128 /nfs/dbraw/zinc/31/81/28/1071318128.db2.gz BUNUGVBBPZAYOC-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCCO)cc1)N1CCN(c2ncccn2)CC1 ZINC000057922934 1071318035 /nfs/dbraw/zinc/31/80/35/1071318035.db2.gz QCGKXUDREYFVFL-UHFFFAOYSA-N 0 0 426.477 -0.195 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCO)cc2)C1 ZINC000057922955 1073107878 /nfs/dbraw/zinc/10/78/78/1073107878.db2.gz LEWDRRZLUGERNX-CYBMUJFWSA-N 0 0 427.479 -0.303 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCO)cc2)C1 ZINC000057922960 1073107813 /nfs/dbraw/zinc/10/78/13/1073107813.db2.gz LEWDRRZLUGERNX-ZDUSSCGKSA-N 0 0 427.479 -0.303 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](CC)CO ZINC000057923953 1073107732 /nfs/dbraw/zinc/10/77/32/1073107732.db2.gz ILQZBETXROLJOS-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CC)CO ZINC000057923958 1073107894 /nfs/dbraw/zinc/10/78/94/1073107894.db2.gz ILQZBETXROLJOS-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H](CC)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000057935388 1073108413 /nfs/dbraw/zinc/10/84/13/1073108413.db2.gz LMJDSPMSJGVAEM-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H](CC)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000057935391 1071319588 /nfs/dbraw/zinc/31/95/88/1071319588.db2.gz LMJDSPMSJGVAEM-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000057935392 1073108397 /nfs/dbraw/zinc/10/83/97/1073108397.db2.gz ZZWRVGRKRASOIY-ZDUSSCGKSA-N 0 0 428.511 -0.543 20 0 IBADRN CC[C@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000057935396 1073108407 /nfs/dbraw/zinc/10/84/07/1073108407.db2.gz ZZWRVGRKRASOIY-CYBMUJFWSA-N 0 0 428.511 -0.543 20 0 IBADRN CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000057936562 1071319745 /nfs/dbraw/zinc/31/97/45/1071319745.db2.gz UEALFTWHPZBDKK-UHFFFAOYSA-N 0 0 426.495 -0.381 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCN1CCOCC1 ZINC000057944488 1071319714 /nfs/dbraw/zinc/31/97/14/1071319714.db2.gz REGVTYGQAUWGEZ-UHFFFAOYSA-N 0 0 426.495 -0.477 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000057944514 1071319801 /nfs/dbraw/zinc/31/98/01/1071319801.db2.gz YLFWQTMDGKIPJX-UHFFFAOYSA-N 0 0 426.495 -0.906 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000057944517 1071319820 /nfs/dbraw/zinc/31/98/20/1071319820.db2.gz FMPAVUWBRSXLLK-UHFFFAOYSA-N 0 0 426.495 -0.906 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCOCC1 ZINC000057944534 1073108388 /nfs/dbraw/zinc/10/83/88/1073108388.db2.gz KQBJURXMGMLDKB-UHFFFAOYSA-N 0 0 440.522 -0.597 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOCC2)cc1 ZINC000057944690 1073108373 /nfs/dbraw/zinc/10/83/73/1073108373.db2.gz KJJUBIOEFYGKGV-AWEZNQCLSA-N 0 0 428.511 -0.613 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOCC2)cc1 ZINC000057944694 1073108312 /nfs/dbraw/zinc/10/83/12/1073108312.db2.gz KJJUBIOEFYGKGV-CQSZACIVSA-N 0 0 428.511 -0.613 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000057944733 1071319758 /nfs/dbraw/zinc/31/97/58/1071319758.db2.gz CXIPPBONSHRIQL-UHFFFAOYSA-N 0 0 439.538 -0.990 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000057944735 1073108304 /nfs/dbraw/zinc/10/83/04/1073108304.db2.gz HWOVMQULULDACQ-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN CS(=O)(=O)NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000058067336 1072231296 /nfs/dbraw/zinc/23/12/96/1072231296.db2.gz NDAKRXIMYGXBFD-LBPRGKRZSA-N 0 0 431.492 -0.711 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000058119334 1071353458 /nfs/dbraw/zinc/35/34/58/1071353458.db2.gz HEJUXNOSAZFEES-UHFFFAOYSA-N 0 0 437.474 -0.170 20 0 IBADRN CC(C)CN(C(=O)CN1CCNC(=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000058131763 1073025464 /nfs/dbraw/zinc/02/54/64/1073025464.db2.gz XGFBGDYNCGRTAA-UHFFFAOYSA-N 0 0 428.493 -0.412 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)/C=C/C(=O)O[C@@H](C)C(=O)NC(=O)NC(C)C ZINC000058149201 1072234801 /nfs/dbraw/zinc/23/48/01/1072234801.db2.gz BHAIGIGORAPTIG-GRPTYIHUSA-N 0 0 428.442 -0.126 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)/C=C/C(=O)O[C@@H](C)C(=O)NC(=O)NC(C)C ZINC000058149208 1072234747 /nfs/dbraw/zinc/23/47/47/1072234747.db2.gz BHAIGIGORAPTIG-BRQSLIGTSA-N 0 0 428.442 -0.126 20 0 IBADRN C[C@H](OC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)cc1)C(=O)N1CCNC1=O ZINC000058152981 1072234680 /nfs/dbraw/zinc/23/46/80/1072234680.db2.gz VYXCZHHUPMWEFO-RYUDHWBXSA-N 0 0 446.416 -0.119 20 0 IBADRN C[C@@H](OC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)cc1)C(=O)N1CCNC1=O ZINC000058152985 1072234842 /nfs/dbraw/zinc/23/48/42/1072234842.db2.gz VYXCZHHUPMWEFO-TXEJJXNPSA-N 0 0 446.416 -0.119 20 0 IBADRN C[C@@H](OC(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCNC2=O)cc1)C(=O)N1CCNC1=O ZINC000058152989 1072234848 /nfs/dbraw/zinc/23/48/48/1072234848.db2.gz VYXCZHHUPMWEFO-VXGBXAGGSA-N 0 0 446.416 -0.119 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000058178142 1071465845 /nfs/dbraw/zinc/46/58/45/1071465845.db2.gz SUQDFYFDVOWXQZ-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCCN2CCN(C(C)=O)CC2)c1 ZINC000058178201 1073251564 /nfs/dbraw/zinc/25/15/64/1073251564.db2.gz LXOMFDSEVOGFQU-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000058178222 1073108294 /nfs/dbraw/zinc/10/82/94/1073108294.db2.gz UXLBXESEGGCUKU-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)C[C@]4(CCSC4)C3=O)CC2)C[C@H](C)O1 ZINC000058205564 1072238522 /nfs/dbraw/zinc/23/85/22/1072238522.db2.gz TVCZTNINCCJVCA-DAYGRLMNSA-N 0 0 446.595 -0.202 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CN3C(=O)C[C@]4(CCSC4)C3=O)CC2)o1 ZINC000058205765 1072238385 /nfs/dbraw/zinc/23/83/85/1072238385.db2.gz BVARRGCFULHBJG-KRWDZBQOSA-N 0 0 442.519 -0.476 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCCNS(C)(=O)=O)cc1 ZINC000058207872 1072240250 /nfs/dbraw/zinc/24/02/50/1072240250.db2.gz RVBVGPPUFDFCTQ-UHFFFAOYSA-N 0 0 428.554 -0.282 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000058208015 1072240094 /nfs/dbraw/zinc/24/00/94/1072240094.db2.gz MGFIMMJVFJHKMW-UHFFFAOYSA-N 0 0 447.579 -0.058 20 0 IBADRN COC(=O)CCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000058208274 1073108355 /nfs/dbraw/zinc/10/83/55/1073108355.db2.gz XNGZHMCEFOUGAR-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000058220083 1073251606 /nfs/dbraw/zinc/25/16/06/1073251606.db2.gz ZIFVMGUJYIARCP-UHFFFAOYSA-N 0 0 447.583 -0.888 20 0 IBADRN C[C@@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000058220396 1073251635 /nfs/dbraw/zinc/25/16/35/1073251635.db2.gz RJCRXWLYRMCSRP-CYBMUJFWSA-N 0 0 433.556 -0.729 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)NNC(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000058220421 1072239420 /nfs/dbraw/zinc/23/94/20/1072239420.db2.gz FUWLOIDXZAIXME-UHFFFAOYSA-N 0 0 443.512 -0.107 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NNC(=O)c2cc(Br)c[nH]2)CC1 ZINC000058220469 1072239543 /nfs/dbraw/zinc/23/95/43/1072239543.db2.gz YLZBRZJDUBKGIW-UHFFFAOYSA-N 0 0 437.320 -0.702 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCC(=O)Nc2ccc(F)c(F)c2F)CC1 ZINC000058220705 1073251580 /nfs/dbraw/zinc/25/15/80/1073251580.db2.gz VEXVLVVZHAMUKL-UHFFFAOYSA-N 0 0 437.444 -0.081 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000058220721 1072892303 /nfs/dbraw/zinc/89/23/03/1072892303.db2.gz NWORWRPXZCPJJO-UHFFFAOYSA-N 0 0 439.582 -0.105 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000058221164 1072892410 /nfs/dbraw/zinc/89/24/10/1072892410.db2.gz QSPCCSDYIFNSGW-UHFFFAOYSA-N 0 0 447.583 -0.687 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000058221219 1073251676 /nfs/dbraw/zinc/25/16/76/1073251676.db2.gz PFPMQPNSSOAWAO-UHFFFAOYSA-N 0 0 444.558 -0.883 20 0 IBADRN COc1ccc(C(=O)NNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000058221401 1072240148 /nfs/dbraw/zinc/24/01/48/1072240148.db2.gz QZYALVGFZKZKQF-UHFFFAOYSA-N 0 0 429.499 -0.775 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000058221702 1073251588 /nfs/dbraw/zinc/25/15/88/1073251588.db2.gz IAYNWGQJSCEKFB-UHFFFAOYSA-N 0 0 429.518 -0.260 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCNC(=O)c2ccc(Cl)cc2)CC1 ZINC000058221768 1073251648 /nfs/dbraw/zinc/25/16/48/1073251648.db2.gz ROIKLOYLCHQCCM-UHFFFAOYSA-N 0 0 431.946 -0.054 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000058221907 1073251665 /nfs/dbraw/zinc/25/16/65/1073251665.db2.gz CDLBIJVAWHWUHV-UHFFFAOYSA-N 0 0 426.543 -0.705 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000058221950 1073251672 /nfs/dbraw/zinc/25/16/72/1073251672.db2.gz WAYMQFXYLOPMBU-UHFFFAOYSA-N 0 0 427.527 -0.352 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000058222424 1073251599 /nfs/dbraw/zinc/25/15/99/1073251599.db2.gz GUWZNFFFHNLUIA-UHFFFAOYSA-N 0 0 439.604 -0.933 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000058222469 1073303799 /nfs/dbraw/zinc/30/37/99/1073303799.db2.gz CLFROLSMXMBKBL-UHFFFAOYSA-N 0 0 433.556 -0.566 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000058223081 1073251593 /nfs/dbraw/zinc/25/15/93/1073251593.db2.gz HQOVTFRITLGWNU-UHFFFAOYSA-N 0 0 447.583 -0.687 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000058223561 1073251545 /nfs/dbraw/zinc/25/15/45/1073251545.db2.gz GPDDALPDTXREFP-UHFFFAOYSA-N 0 0 441.554 -0.470 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000058235336 1073252080 /nfs/dbraw/zinc/25/20/80/1073252080.db2.gz XGQMYDHTKAPZTE-UHFFFAOYSA-N 0 0 438.598 -0.315 20 0 IBADRN CS(=O)(=O)CCCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000058235865 1073108323 /nfs/dbraw/zinc/10/83/23/1073108323.db2.gz RQJHEJWVBAOPGO-UHFFFAOYSA-N 0 0 433.556 -0.733 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000058236079 1073252052 /nfs/dbraw/zinc/25/20/52/1073252052.db2.gz XRJSOTVVYZOEOC-UHFFFAOYSA-N 0 0 446.595 -0.132 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000058238119 1073251986 /nfs/dbraw/zinc/25/19/86/1073251986.db2.gz GWYSEAMFNURCTG-CQSZACIVSA-N 0 0 447.583 -0.468 20 0 IBADRN CCN(Cc1ccccc1)C(=O)CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000058238867 1072916015 /nfs/dbraw/zinc/91/60/15/1072916015.db2.gz ACMUIWDTPGLGNT-UHFFFAOYSA-N 0 0 425.555 -0.089 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCS(=O)(=O)c2ccccc2)CC1 ZINC000058239852 1073252063 /nfs/dbraw/zinc/25/20/63/1073252063.db2.gz IYRIOWUKRCUIKG-UHFFFAOYSA-N 0 0 432.568 -0.273 20 0 IBADRN CS(=O)(=O)CCNC(=O)N1CCN(c2ccc(S(C)(=O)=O)cc2[N+](=O)[O-])CC1 ZINC000058241974 1072240716 /nfs/dbraw/zinc/24/07/16/1072240716.db2.gz DOEMQIMFXGZUKR-UHFFFAOYSA-N 0 0 434.496 -0.126 20 0 IBADRN CS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000058242155 1072240533 /nfs/dbraw/zinc/24/05/33/1072240533.db2.gz OAEAQYXGMKZITR-UHFFFAOYSA-N 0 0 433.537 -0.248 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCS(C)(=O)=O)CC2)C[C@H](C)O1 ZINC000058242727 1073108419 /nfs/dbraw/zinc/10/84/19/1073108419.db2.gz IZASFBWJDYCKRO-OKILXGFUSA-N 0 0 426.561 -0.898 20 0 IBADRN CS(=O)(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000058243341 1073108283 /nfs/dbraw/zinc/10/82/83/1073108283.db2.gz SQRSQRUKUCXGCN-UHFFFAOYSA-N 0 0 447.535 -0.092 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000058322456 1072242877 /nfs/dbraw/zinc/24/28/77/1072242877.db2.gz WTAJBBUAAZLHDH-UHFFFAOYSA-N 0 0 443.424 -0.250 20 0 IBADRN O=C(CCn1cc([N+](=O)[O-])cn1)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000058324197 1072242322 /nfs/dbraw/zinc/24/23/22/1072242322.db2.gz JAEWQMOWTKTBEJ-DZGCQCFKSA-N 0 0 444.466 -0.081 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000058334349 1072243883 /nfs/dbraw/zinc/24/38/83/1072243883.db2.gz ZDJJQWSXYDQLAW-UHFFFAOYSA-N 0 0 425.507 -0.131 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)COc2ccc([N+](=O)[O-])c(F)c2)CC1 ZINC000058345359 1072242775 /nfs/dbraw/zinc/24/27/75/1072242775.db2.gz XDOZYVVQBZSDOV-UHFFFAOYSA-N 0 0 426.401 -0.112 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000058353709 1072244376 /nfs/dbraw/zinc/24/43/76/1072244376.db2.gz GITXDXGHQWXCDB-UHFFFAOYSA-N 0 0 432.524 -0.907 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000058353797 1072244366 /nfs/dbraw/zinc/24/43/66/1072244366.db2.gz CWARZNXHRNBADU-UHFFFAOYSA-N 0 0 427.526 -0.742 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000058358870 1072244032 /nfs/dbraw/zinc/24/40/32/1072244032.db2.gz KRNHGVOXEHOZOH-UHFFFAOYSA-N 0 0 427.464 -0.692 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000058399176 1073108363 /nfs/dbraw/zinc/10/83/63/1073108363.db2.gz FEDOTCFDACVMTQ-IRXDYDNUSA-N 0 0 448.520 -0.082 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000058399529 1072244853 /nfs/dbraw/zinc/24/48/53/1072244853.db2.gz ITLNAVGMOCUOSK-UHFFFAOYSA-N 0 0 426.470 -0.313 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(Cc3nc(C)no3)CC2)c(=O)[nH]c1=O ZINC000058469777 1073109097 /nfs/dbraw/zinc/10/90/97/1073109097.db2.gz ACMRBBAEUPBDQZ-UHFFFAOYSA-N 0 0 448.528 -0.219 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCN3CCN(C(C)=O)CC3)C2=O)cc1 ZINC000058487274 1072248397 /nfs/dbraw/zinc/24/83/97/1072248397.db2.gz XDQQEWLOFORJKK-OAQYLSRUSA-N 0 0 431.493 -0.258 20 0 IBADRN CN(CC(=O)NCCNS(C)(=O)=O)S(=O)(=O)c1ccc(Br)cc1 ZINC000058492277 1073027192 /nfs/dbraw/zinc/02/71/92/1073027192.db2.gz VJHFCHZNSLLFAQ-UHFFFAOYSA-N 0 0 428.330 -0.265 20 0 IBADRN CCOc1ccc(C[C@H](CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C(=O)OC)cc1 ZINC000058500764 1073108939 /nfs/dbraw/zinc/10/89/39/1073108939.db2.gz KCJBGWISCBAVPV-CQSZACIVSA-N 0 0 439.490 -0.207 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H](C(N)=O)c2cccc(Br)c2)c(=O)n(C)c1=O ZINC000058508240 1073109029 /nfs/dbraw/zinc/10/90/29/1073109029.db2.gz FOMSDACZPRDGLX-LLVKDONJSA-N 0 0 431.268 -0.649 20 0 IBADRN COc1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1OC ZINC000058523744 1073108924 /nfs/dbraw/zinc/10/89/24/1073108924.db2.gz RZPVWRDWPKDFLC-CQSZACIVSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1cccc(C(=O)N2CCN(c3nc4c(c(=O)[nH]c(=O)n4C)n3C)CC2)c1OC ZINC000058543504 1073108958 /nfs/dbraw/zinc/10/89/58/1073108958.db2.gz JSQULPDNYXOFEC-UHFFFAOYSA-N 0 0 428.449 -0.060 20 0 IBADRN CCN(CC)C(=O)N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000058576569 1072284705 /nfs/dbraw/zinc/28/47/05/1072284705.db2.gz ZEOBRLREAWNXBL-UHFFFAOYSA-N 0 0 438.573 -0.931 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000058580398 1073255889 /nfs/dbraw/zinc/25/58/89/1073255889.db2.gz WTRPVPFJKVQWDV-UHFFFAOYSA-N 0 0 437.474 -0.155 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NNC(=O)CN2C(=O)[C@@H]3CCCC[C@H]3C2=O)ccc1Cl ZINC000058582806 1072249979 /nfs/dbraw/zinc/24/99/79/1072249979.db2.gz QOJNXZMDGSLHNN-GHMZBOCLSA-N 0 0 442.881 -0.076 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000058597946 1072249820 /nfs/dbraw/zinc/24/98/20/1072249820.db2.gz WQLMTGUBNHRRBU-FQEVSTJZSA-N 0 0 442.472 -0.304 20 0 IBADRN O=C(COC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)NCC(=O)N1CCCC1 ZINC000058598051 1072249869 /nfs/dbraw/zinc/24/98/69/1072249869.db2.gz HVIUJWXXMHDPEX-FQEVSTJZSA-N 0 0 442.472 -0.256 20 0 IBADRN Cn1c(COC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)cc(=O)n(C)c1=O ZINC000058598107 1072249779 /nfs/dbraw/zinc/24/97/79/1072249779.db2.gz KQYYJOSNHPQAQH-SFHVURJKSA-N 0 0 426.429 -0.397 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000058598232 1072249949 /nfs/dbraw/zinc/24/99/49/1072249949.db2.gz IBOQPRUWVONNDQ-XIKOKIGWSA-N 0 0 446.460 -0.134 20 0 IBADRN C[C@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000058691725 1072252950 /nfs/dbraw/zinc/25/29/50/1072252950.db2.gz IDDFPFSCYHTYDG-INIZCTEOSA-N 0 0 444.488 -0.373 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cc(Cl)ccc3-n3cnnn3)c2c(=O)n(C)c1=O ZINC000058705545 1072252938 /nfs/dbraw/zinc/25/29/38/1072252938.db2.gz ADNPHMUBXSWMNA-UHFFFAOYSA-N 0 0 430.812 -0.080 20 0 IBADRN O=C(CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NCCc1ccccc1 ZINC000058709704 1073109087 /nfs/dbraw/zinc/10/90/87/1073109087.db2.gz FZCOPEHUNYWXGD-UHFFFAOYSA-N 0 0 444.513 -0.104 20 0 IBADRN O=C(CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NCCc1ccccc1 ZINC000058710097 1073109047 /nfs/dbraw/zinc/10/90/47/1073109047.db2.gz GYZDFEOIGHEQNI-UHFFFAOYSA-N 0 0 444.513 -0.104 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN2C(=O)c2ccccc2O)c(=O)n(C)c1=O ZINC000058721218 1072252714 /nfs/dbraw/zinc/25/27/14/1072252714.db2.gz SJNKSYGHIOGSOH-LBPRGKRZSA-N 0 0 430.417 -0.598 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CCCN3C(=O)c3ccccc3O)c2c(=O)n(C)c1=O ZINC000058721279 1072253016 /nfs/dbraw/zinc/25/30/16/1072253016.db2.gz YJQPJORKJYCCBS-CQSZACIVSA-N 0 0 441.444 -0.013 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)OCCN2C(=O)CNC2=O)o1 ZINC000058746907 1072253333 /nfs/dbraw/zinc/25/33/33/1072253333.db2.gz GRQNITNPRNESIJ-NSHDSACASA-N 0 0 443.434 -0.296 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)C2CCN(C(=O)N(C)C)CC2)c(=O)n(C)c1=O ZINC000058748619 1072253396 /nfs/dbraw/zinc/25/33/96/1072253396.db2.gz UEJWHXQZVAGAGJ-UHFFFAOYSA-N 0 0 437.497 -0.095 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H](C(N)=O)c1cccc(Br)c1)c(=O)n2C ZINC000058751121 1073109002 /nfs/dbraw/zinc/10/90/02/1073109002.db2.gz XWGCMCIBNKVDQO-GFCCVEGCSA-N 0 0 449.265 -0.461 20 0 IBADRN Cn1c(COC(=O)c2ccc(Br)c(S(N)(=O)=O)c2)cc(=O)n(C)c1=O ZINC000058756963 1072253959 /nfs/dbraw/zinc/25/39/59/1072253959.db2.gz HTUTVDIGBSADNN-UHFFFAOYSA-N 0 0 432.252 -0.149 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(-c3ccccn3)[nH]c2=O)CC1)N1CCOCC1 ZINC000058789254 1073109011 /nfs/dbraw/zinc/10/90/11/1073109011.db2.gz WVHVAPRJOKQJOB-UHFFFAOYSA-N 0 0 425.445 -0.420 20 0 IBADRN O=C(COCCc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000058811048 1072254435 /nfs/dbraw/zinc/25/44/35/1072254435.db2.gz HXFRUIBXLHSKDL-KRWDZBQOSA-N 0 0 430.548 -0.093 20 0 IBADRN CCCC(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000058861150 1073108989 /nfs/dbraw/zinc/10/89/89/1073108989.db2.gz XVMMIGKXUBDHJR-KRWDZBQOSA-N 0 0 429.587 -0.196 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(C(=O)c3cccc(OC)c3OC)CC2)C(=O)C1=O ZINC000058886112 1073109679 /nfs/dbraw/zinc/10/96/79/1073109679.db2.gz PYQMCMMRWNFXKT-UHFFFAOYSA-N 0 0 432.477 -0.321 20 0 IBADRN Cc1cc(C(=O)NCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1[N+](=O)[O-] ZINC000058895223 1072256909 /nfs/dbraw/zinc/25/69/09/1072256909.db2.gz HMBOOUFRAXOUGS-UHFFFAOYSA-N 0 0 444.404 -0.376 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCN3C(=O)[C@@H]4CCCC[C@H]4C3=O)c2c(=O)n(C)c1=O ZINC000058895436 1072256928 /nfs/dbraw/zinc/25/69/28/1072256928.db2.gz SZZJPZWZKVXXPB-CHWSQXEVSA-N 0 0 431.449 -0.458 20 0 IBADRN Cn1c2ncn(CCOC(=O)C3CCN(C(=O)N4CCCC4)CC3)c2c(=O)n(C)c1=O ZINC000058914339 1072258072 /nfs/dbraw/zinc/25/80/72/1072258072.db2.gz XXXUHMRDYIWLGU-UHFFFAOYSA-N 0 0 432.481 -0.095 20 0 IBADRN Cn1c2ncn(CCOC(=O)CNC(=O)c3cccc([N+](=O)[O-])c3)c2c(=O)n(C)c1=O ZINC000058916200 1072257938 /nfs/dbraw/zinc/25/79/38/1072257938.db2.gz XUBKXQJTZDENNZ-UHFFFAOYSA-N 0 0 430.377 -0.685 20 0 IBADRN CN(C1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1)S(C)(=O)=O ZINC000058922996 1073109633 /nfs/dbraw/zinc/10/96/33/1073109633.db2.gz ZISSBDURRFEXFU-UHFFFAOYSA-N 0 0 431.603 -0.599 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC(N(C)S(C)(=O)=O)CC1)S(C)(=O)=O ZINC000058925604 1073109581 /nfs/dbraw/zinc/10/95/81/1073109581.db2.gz ADHYTURZJHTHQW-UHFFFAOYSA-N 0 0 426.605 -0.482 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(=O)n(Cc4ccccc4)n3)c2c(=O)n(C)c1=O ZINC000058929387 1072258502 /nfs/dbraw/zinc/25/85/02/1072258502.db2.gz IDNVRPVXBFPLPY-UHFFFAOYSA-N 0 0 436.428 -0.104 20 0 IBADRN COc1cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c(N)cc1OC(F)F ZINC000058931342 1072258568 /nfs/dbraw/zinc/25/85/68/1072258568.db2.gz CCZQPAVSVIIKEL-UHFFFAOYSA-N 0 0 428.348 -0.102 20 0 IBADRN COc1cccc(C(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1OC ZINC000058933176 1073109563 /nfs/dbraw/zinc/10/95/63/1073109563.db2.gz CXAZKNXIXHYBQN-UHFFFAOYSA-N 0 0 445.476 -0.676 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(C(=O)c3cccc(OC)c3OC)CC2)c(=O)[nH]c1=O ZINC000058933396 1073109649 /nfs/dbraw/zinc/10/96/49/1073109649.db2.gz QPOJYSRONJDIPY-UHFFFAOYSA-N 0 0 445.476 -0.203 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)OCCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000058935140 1072258468 /nfs/dbraw/zinc/25/84/68/1072258468.db2.gz XBWNYRPIBNWILV-UHFFFAOYSA-N 0 0 425.401 -0.418 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)NCc1ccccc1CN1CCOCC1 ZINC000059038767 1072866636 /nfs/dbraw/zinc/86/66/36/1072866636.db2.gz SFQLBDVIFNSZFU-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN COc1cc(CNC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)cc(OC)c1OC ZINC000059038780 1072866661 /nfs/dbraw/zinc/86/66/61/1072866661.db2.gz ZFSHKBQIIQACFA-UHFFFAOYSA-N 0 0 434.493 -0.249 20 0 IBADRN O=C(CSc1nnnn1C1CC1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000059252978 1072872258 /nfs/dbraw/zinc/87/22/58/1072872258.db2.gz VKZZXIUREUGLJR-UHFFFAOYSA-N 0 0 437.507 -0.141 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000059278685 1072294048 /nfs/dbraw/zinc/29/40/48/1072294048.db2.gz BUUAQUWJOSSHTE-UHFFFAOYSA-N 0 0 449.899 -0.059 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC000059289029 1073109522 /nfs/dbraw/zinc/10/95/22/1073109522.db2.gz JTOQINCKRCSHCA-UHFFFAOYSA-N 0 0 443.547 -0.548 20 0 IBADRN CCCCN(C(=O)CN1CCS(=O)(=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000059327861 1073258660 /nfs/dbraw/zinc/25/86/60/1073258660.db2.gz PTOCQOBIYQQWBG-UHFFFAOYSA-N 0 0 429.543 -0.362 20 0 IBADRN CC(C)CN(C(=O)CN1CCS(=O)(=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000059327868 1072317340 /nfs/dbraw/zinc/31/73/40/1072317340.db2.gz ORHPQISTZDFQAV-UHFFFAOYSA-N 0 0 429.543 -0.506 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000059333449 1072264976 /nfs/dbraw/zinc/26/49/76/1072264976.db2.gz MDARMVJRJNFLAD-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1OC ZINC000059334803 1073109691 /nfs/dbraw/zinc/10/96/91/1073109691.db2.gz LTGOTYZBBVIKHU-UHFFFAOYSA-N 0 0 432.477 -0.273 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC4(CC3)NC(=O)NC4=O)CC2)cc1 ZINC000059368150 1073109534 /nfs/dbraw/zinc/10/95/34/1073109534.db2.gz BQNYLEMAIYTSAY-UHFFFAOYSA-N 0 0 449.533 -0.498 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000059368690 1073109624 /nfs/dbraw/zinc/10/96/24/1073109624.db2.gz GZUPHOFFCOMUDS-UHFFFAOYSA-N 0 0 439.472 -0.560 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(-n4cncn4)c([N+](=O)[O-])c3)c2c(=O)n(C)c1=O ZINC000059369086 1072266815 /nfs/dbraw/zinc/26/68/15/1072266815.db2.gz NMLNLLHPYAZZGU-UHFFFAOYSA-N 0 0 440.376 -0.220 20 0 IBADRN CCOc1ccc(OC[C@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000059385287 1073259164 /nfs/dbraw/zinc/25/91/64/1073259164.db2.gz CLFXBFRQPLPPDW-GOSISDBHSA-N 0 0 442.582 -0.065 20 0 IBADRN CCOc1ccc(OC[C@@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000059385290 1073258946 /nfs/dbraw/zinc/25/89/46/1073258946.db2.gz CLFXBFRQPLPPDW-SFHVURJKSA-N 0 0 442.582 -0.065 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000059385393 1073259120 /nfs/dbraw/zinc/25/91/20/1073259120.db2.gz WWHQQYAVGRFSQX-KRWDZBQOSA-N 0 0 445.586 -0.960 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000059385394 1073259059 /nfs/dbraw/zinc/25/90/59/1073259059.db2.gz WWHQQYAVGRFSQX-QGZVFWFLSA-N 0 0 445.586 -0.960 20 0 IBADRN CN(Cc1ccc(F)cc1)C(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000059385496 1073258975 /nfs/dbraw/zinc/25/89/75/1073258975.db2.gz FLUCMKRUIIJKAV-UHFFFAOYSA-N 0 0 427.546 -0.106 20 0 IBADRN C[C@@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000059870943 1072271482 /nfs/dbraw/zinc/27/14/82/1072271482.db2.gz INCGWUNLVQBQIM-YNEHKIRRSA-N 0 0 431.449 -0.459 20 0 IBADRN O=C1NC2(CCCCC2)C(=O)N1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000060061413 1072273039 /nfs/dbraw/zinc/27/30/39/1072273039.db2.gz METCUHFXIAAMLL-CQSZACIVSA-N 0 0 448.567 -0.667 20 0 IBADRN O=C1NC2(CCCCC2)C(=O)N1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000060061414 1072273095 /nfs/dbraw/zinc/27/30/95/1072273095.db2.gz METCUHFXIAAMLL-AWEZNQCLSA-N 0 0 448.567 -0.667 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2ccccc2NC(=O)Cn2cnnn2)CC1 ZINC000060201635 1072273481 /nfs/dbraw/zinc/27/34/81/1072273481.db2.gz HSYHSPFNFRVDAY-UHFFFAOYSA-N 0 0 430.421 -0.120 20 0 IBADRN O=C([C@H]1CSCN1S(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)N1CCSCC1 ZINC000060244404 1073109547 /nfs/dbraw/zinc/10/95/47/1073109547.db2.gz DOEJQTGQXDUMAW-CYBMUJFWSA-N 0 0 442.544 -0.145 20 0 IBADRN O=C([C@@H]1CSCN1S(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)N1CCSCC1 ZINC000060244405 1073109710 /nfs/dbraw/zinc/10/97/10/1073109710.db2.gz DOEJQTGQXDUMAW-ZDUSSCGKSA-N 0 0 442.544 -0.145 20 0 IBADRN O=C([C@H]1CSCN1S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1)N1CCSCC1 ZINC000060244406 1073109574 /nfs/dbraw/zinc/10/95/74/1073109574.db2.gz JEMYPQWATRWUME-CYBMUJFWSA-N 0 0 442.544 -0.145 20 0 IBADRN O=C([C@@H]1CSCN1S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1)N1CCSCC1 ZINC000060244407 1073109689 /nfs/dbraw/zinc/10/96/89/1073109689.db2.gz JEMYPQWATRWUME-ZDUSSCGKSA-N 0 0 442.544 -0.145 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1ccccc1CN1CCOCC1 ZINC000060271951 1072273585 /nfs/dbraw/zinc/27/35/85/1072273585.db2.gz RPKYBATXJOXTAZ-UHFFFAOYSA-N 0 0 426.539 -0.020 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)c1 ZINC000060295126 1073109596 /nfs/dbraw/zinc/10/95/96/1073109596.db2.gz DMOWGWYQFHNBNE-UHFFFAOYSA-N 0 0 447.470 -0.671 20 0 IBADRN CCOc1ccc(NC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1S(=O)(=O)N(C)C ZINC000060295230 1073109661 /nfs/dbraw/zinc/10/96/61/1073109661.db2.gz SLOWZLBIUGABNP-UHFFFAOYSA-N 0 0 431.496 -0.182 20 0 IBADRN Cc1ccc(CCC(=O)NCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000060324092 1073109608 /nfs/dbraw/zinc/10/96/08/1073109608.db2.gz ZTMPIWWMMBBENE-UHFFFAOYSA-N 0 0 430.505 -0.427 20 0 IBADRN O=C(Cn1c(=O)onc1-c1ncccn1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000060330829 1073109705 /nfs/dbraw/zinc/10/97/05/1073109705.db2.gz UPHLYVVHSJMDEV-UHFFFAOYSA-N 0 0 430.446 -0.174 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)CC2)no1 ZINC000060342510 1073110084 /nfs/dbraw/zinc/11/00/84/1073110084.db2.gz CDAYASAUICAVLS-UHFFFAOYSA-N 0 0 439.498 -0.914 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)Nc1cccnc1 ZINC000060347819 1073110220 /nfs/dbraw/zinc/11/02/20/1073110220.db2.gz VIVGQTBVLXDWHF-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)=NN1c1ccccc1 ZINC000060348532 1072274395 /nfs/dbraw/zinc/27/43/95/1072274395.db2.gz MYCITSZUSDIQKX-IBGZPJMESA-N 0 0 441.488 -0.260 20 0 IBADRN CC(=O)[C@H]1CC(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)=NN1c1ccccc1 ZINC000060348533 1072274451 /nfs/dbraw/zinc/27/44/51/1072274451.db2.gz MYCITSZUSDIQKX-LJQANCHMSA-N 0 0 441.488 -0.260 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCNS(=O)(=O)CC)cc1 ZINC000060357986 1072274604 /nfs/dbraw/zinc/27/46/04/1072274604.db2.gz WUQFCPPUOSOCRP-UHFFFAOYSA-N 0 0 428.554 -0.282 20 0 IBADRN O=C(Cn1c(=O)onc1-c1cnccn1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000060359892 1073110194 /nfs/dbraw/zinc/11/01/94/1073110194.db2.gz DTEJJYVKNIDHKZ-UHFFFAOYSA-N 0 0 430.446 -0.174 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)c1 ZINC000060366345 1073110045 /nfs/dbraw/zinc/11/00/45/1073110045.db2.gz LCJKMXRAWOEKFL-UHFFFAOYSA-N 0 0 430.486 -0.122 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN(CC(=O)OC)CC(=O)OC)c1=O ZINC000060377114 1072274379 /nfs/dbraw/zinc/27/43/79/1072274379.db2.gz VPIHIWJHYVGHES-UHFFFAOYSA-N 0 0 442.494 -0.321 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)Nc1nn[nH]n1)c(=O)n2Cc1ccccc1 ZINC000060380365 1073149868 /nfs/dbraw/zinc/14/98/68/1073149868.db2.gz IAOZPGBYBAMXKQ-UHFFFAOYSA-N 0 0 425.409 -0.794 20 0 IBADRN COc1ccccc1C(=O)NCC(=O)OCC(=O)NNC(=O)[C@@H]1COc2ccccc2O1 ZINC000060384319 1071439381 /nfs/dbraw/zinc/43/93/81/1071439381.db2.gz WRZCQZDWQXDHHY-KRWDZBQOSA-N 0 0 443.412 -0.044 20 0 IBADRN COc1ccccc1C(=O)NCC(=O)OCC(=O)NNC(=O)[C@H]1COc2ccccc2O1 ZINC000060384320 1072274422 /nfs/dbraw/zinc/27/44/22/1072274422.db2.gz WRZCQZDWQXDHHY-QGZVFWFLSA-N 0 0 443.412 -0.044 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NNC(=O)NCC(F)(F)F)c1 ZINC000060414753 1072275634 /nfs/dbraw/zinc/27/56/34/1072275634.db2.gz XDYODXSJYDCKCP-UHFFFAOYSA-N 0 0 425.389 -0.441 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N[C@@H](C(N)=O)c3cccc(Br)c3)nc2c(=O)[nH]c1=O ZINC000060472928 1073110314 /nfs/dbraw/zinc/11/03/14/1073110314.db2.gz QNDMLSHXSCFAFK-GFCCVEGCSA-N 0 0 449.265 -0.012 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCCN2CCN(C(C)=O)CC2)c1 ZINC000060507845 1073110066 /nfs/dbraw/zinc/11/00/66/1073110066.db2.gz BLLPLGYTCAKPSL-UHFFFAOYSA-N 0 0 426.539 -0.186 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000060508493 1073110234 /nfs/dbraw/zinc/11/02/34/1073110234.db2.gz PUDMPDFUWBARRB-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000060520761 1072278392 /nfs/dbraw/zinc/27/83/92/1072278392.db2.gz PNAJSMLKVCVZBH-UHFFFAOYSA-N 0 0 446.508 -0.240 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000060520842 1072278280 /nfs/dbraw/zinc/27/82/80/1072278280.db2.gz GRTBZWOAADSIPH-UHFFFAOYSA-N 0 0 441.510 -0.075 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000060521609 1072278372 /nfs/dbraw/zinc/27/83/72/1072278372.db2.gz RURJDSQJJKREBL-UHFFFAOYSA-N 0 0 441.448 -0.025 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000060521746 1072278444 /nfs/dbraw/zinc/27/84/44/1072278444.db2.gz RWNCOXFFYVWSTD-UHFFFAOYSA-N 0 0 430.421 -0.610 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000060534910 1073149938 /nfs/dbraw/zinc/14/99/38/1073149938.db2.gz ZXLGJJMSWIHIKB-UHFFFAOYSA-N 0 0 425.463 -0.314 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc3ccccc3c2)CC1 ZINC000060561759 1073110244 /nfs/dbraw/zinc/11/02/44/1073110244.db2.gz SLELSFTXBNEANZ-UHFFFAOYSA-N 0 0 432.502 -0.075 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCCN1C(=O)S/C(=C/c2ccc3c(c2)OCO3)C1=O ZINC000060563918 1072279474 /nfs/dbraw/zinc/27/94/74/1072279474.db2.gz LKQJHGOHMSZJOQ-VIZOYTHASA-N 0 0 448.457 -0.180 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)OCC(F)(F)F)CC2)CC1 ZINC000060581545 1072279894 /nfs/dbraw/zinc/27/98/94/1072279894.db2.gz LEARRWBCYOZFMC-UHFFFAOYSA-N 0 0 445.464 -0.699 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000060600327 1073110256 /nfs/dbraw/zinc/11/02/56/1073110256.db2.gz AGGUZNPPVXHOMH-UHFFFAOYSA-N 0 0 444.492 -0.579 20 0 IBADRN NC(=O)NC(=O)CN(CC(=O)NC(N)=O)C1CCN(c2ccc(C(F)(F)F)cn2)CC1 ZINC000060600669 1073150026 /nfs/dbraw/zinc/15/00/26/1073150026.db2.gz MUSLPLIGLUFGDM-UHFFFAOYSA-N 0 0 445.402 -0.239 20 0 IBADRN O=C(CN(CC(=O)N1CCNC1=O)C1CCN(c2ccccn2)CC1)N1CCNC1=O ZINC000060600704 1072874524 /nfs/dbraw/zinc/87/45/24/1072874524.db2.gz IHRDOUCICFHZGG-UHFFFAOYSA-N 0 0 429.481 -0.544 20 0 IBADRN COC(=O)COc1ccc(N(CC(=O)N2CCNC2=O)CC(=O)N2CCNC2=O)cc1 ZINC000060600819 1072281584 /nfs/dbraw/zinc/28/15/84/1072281584.db2.gz RJYHDDLDOWYXEP-UHFFFAOYSA-N 0 0 433.421 -0.852 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NNC(=O)c2cc(Br)c[nH]2)CC1 ZINC000060602543 1072280298 /nfs/dbraw/zinc/28/02/98/1072280298.db2.gz SPJRUKAICGNQCG-UHFFFAOYSA-N 0 0 427.259 -0.446 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000060609875 1073110095 /nfs/dbraw/zinc/11/00/95/1073110095.db2.gz RCLHZBUYKGOSBH-UHFFFAOYSA-N 0 0 430.512 -0.872 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000060622670 1073110302 /nfs/dbraw/zinc/11/03/02/1073110302.db2.gz LHNLSZSQSKXJNG-UHFFFAOYSA-N 0 0 436.534 -0.064 20 0 IBADRN CS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000060622678 1073110298 /nfs/dbraw/zinc/11/02/98/1073110298.db2.gz SZANZQXBKSEZOK-UHFFFAOYSA-N 0 0 436.534 -0.064 20 0 IBADRN CS(=O)(=O)Cc1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000060622681 1073110150 /nfs/dbraw/zinc/11/01/50/1073110150.db2.gz MJABUQQPECNGEQ-UHFFFAOYSA-N 0 0 436.534 -0.064 20 0 IBADRN COc1ccc(OCCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000060623779 1073110113 /nfs/dbraw/zinc/11/01/13/1073110113.db2.gz LHKZHLZIPUXFTH-UHFFFAOYSA-N 0 0 441.554 -0.107 20 0 IBADRN COc1ccc(OCCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000060623914 1073110306 /nfs/dbraw/zinc/11/03/06/1073110306.db2.gz DIXUZRAWQQJBRO-UHFFFAOYSA-N 0 0 428.511 -0.022 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2C)CC1 ZINC000060629473 1072281983 /nfs/dbraw/zinc/28/19/83/1072281983.db2.gz GESFTLCACSHMBB-UHFFFAOYSA-N 0 0 425.511 -0.237 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000060634374 1073110726 /nfs/dbraw/zinc/11/07/26/1073110726.db2.gz KSOZZNMRDWGZBE-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c(OC)c1 ZINC000060683779 1073110677 /nfs/dbraw/zinc/11/06/77/1073110677.db2.gz TVNQCRUMIJXNDT-UHFFFAOYSA-N 0 0 441.444 -0.053 20 0 IBADRN CCC(O)(CC)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000060710295 1073110715 /nfs/dbraw/zinc/11/07/15/1073110715.db2.gz ZXNLIASAWDJTGR-UHFFFAOYSA-N 0 0 426.495 -0.587 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC(=O)NCCc3ccccc3)cnc2n(C)c1=O ZINC000060713118 1073110631 /nfs/dbraw/zinc/11/06/31/1073110631.db2.gz IIPPBMFIURSLBS-UHFFFAOYSA-N 0 0 438.444 -0.954 20 0 IBADRN COCCCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000060743855 1073110696 /nfs/dbraw/zinc/11/06/96/1073110696.db2.gz XGMHACVKOPNVGD-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(CC(N)=O)C2CCCC2)CC1 ZINC000060755028 1072674768 /nfs/dbraw/zinc/67/47/68/1072674768.db2.gz NHXDRBDFJWOFQO-UHFFFAOYSA-N 0 0 427.527 -0.146 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)N1CCN(Cc2ccco2)CC1 ZINC000060761287 1072285954 /nfs/dbraw/zinc/28/59/54/1072285954.db2.gz ZVMMSRAMMYJNJW-UHFFFAOYSA-N 0 0 435.462 -0.563 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CNC(=O)c2ccccc2I)CC1 ZINC000060782775 1073150016 /nfs/dbraw/zinc/15/00/16/1073150016.db2.gz JNJYVAHPRNVKPS-UHFFFAOYSA-N 0 0 444.273 -0.089 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000060841145 1073110691 /nfs/dbraw/zinc/11/06/91/1073110691.db2.gz WPEKICXIVMPZQV-UHFFFAOYSA-N 0 0 442.925 -0.090 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000060841301 1072741801 /nfs/dbraw/zinc/74/18/01/1072741801.db2.gz OTHMNLMHQWISJB-UHFFFAOYSA-N 0 0 434.518 -0.001 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)Nc1ccc(CN2CCOCC2)cc1 ZINC000060843153 1072874985 /nfs/dbraw/zinc/87/49/85/1072874985.db2.gz GJUYTYMOVDEKNY-UHFFFAOYSA-N 0 0 429.521 -0.120 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1=O ZINC000060847512 1072288233 /nfs/dbraw/zinc/28/82/33/1072288233.db2.gz LCAGUVIAJPPYTE-UHFFFAOYSA-N 0 0 427.461 -0.868 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000060847541 1073110744 /nfs/dbraw/zinc/11/07/44/1073110744.db2.gz YALZPQGHPYTEQO-CQSZACIVSA-N 0 0 442.472 -0.240 20 0 IBADRN C[C@H]1Cc2cc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc2N1S(C)(=O)=O ZINC000060847677 1073110707 /nfs/dbraw/zinc/11/07/07/1073110707.db2.gz UNUUCSCZDHNGKT-ZDUSSCGKSA-N 0 0 434.518 -0.040 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Cl)c1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000060847687 1073150472 /nfs/dbraw/zinc/15/04/72/1073150472.db2.gz QZONUVQRQGIGQY-UHFFFAOYSA-N 0 0 442.925 -0.042 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000060847716 1072288174 /nfs/dbraw/zinc/28/81/74/1072288174.db2.gz KIHFZCIMQQDAGD-NRFANRHFSA-N 0 0 445.451 -0.458 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1C ZINC000060847864 1073150318 /nfs/dbraw/zinc/15/03/18/1073150318.db2.gz QEDTVKUWGYGRKM-UHFFFAOYSA-N 0 0 436.534 -0.079 20 0 IBADRN Cn1c(N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)nc2c1c(=O)[nH]c(=O)n2C ZINC000060875144 1072288843 /nfs/dbraw/zinc/28/88/43/1072288843.db2.gz ZYDCKNHKWLGKNK-GFCCVEGCSA-N 0 0 449.512 -0.182 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCN(Cc2ccco2)CC1 ZINC000060886424 1072289604 /nfs/dbraw/zinc/28/96/04/1072289604.db2.gz PQVITLZEDUBCHO-UHFFFAOYSA-N 0 0 434.474 -0.035 20 0 IBADRN C=CCn1c(=O)n(CC=C)c(=O)n(CC(=O)N2CCN(c3ccc(OC)cc3)CC2)c1=O ZINC000060892019 1072289659 /nfs/dbraw/zinc/28/96/59/1072289659.db2.gz YUTCEZRCBKSSSA-UHFFFAOYSA-N 0 0 441.488 -0.099 20 0 IBADRN CN(CCCOc1cccc(S(C)(=O)=O)c1)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000060905785 1073110648 /nfs/dbraw/zinc/11/06/48/1073110648.db2.gz YVKZRLVLUUPQAR-UHFFFAOYSA-N 0 0 438.506 -0.347 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000060924674 1070951105 /nfs/dbraw/zinc/95/11/05/1070951105.db2.gz HSHDZWAVZDDCOB-UHFFFAOYSA-N 0 0 440.565 -0.186 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000060924854 1073110687 /nfs/dbraw/zinc/11/06/87/1073110687.db2.gz ZLNGPZLYBYGPOM-INIZCTEOSA-N 0 0 446.507 -0.936 20 0 IBADRN CN(CCS(=O)(=O)NCCN1CCCS1(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000060925024 1073110612 /nfs/dbraw/zinc/11/06/12/1073110612.db2.gz XTTAIOMNEWQIOF-UHFFFAOYSA-N 0 0 443.544 -0.599 20 0 IBADRN O=S(=O)(NCCN1CCCS1(=O)=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000060925283 1073110661 /nfs/dbraw/zinc/11/06/61/1073110661.db2.gz RWODINFGUUEFNV-UHFFFAOYSA-N 0 0 437.565 -0.215 20 0 IBADRN CN(CCCOc1cccc(S(C)(=O)=O)c1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000060925370 1073110595 /nfs/dbraw/zinc/11/05/95/1073110595.db2.gz AIRCAEAKWMVRCY-UHFFFAOYSA-N 0 0 445.519 -0.423 20 0 IBADRN CN(CC(=O)N1CCOCC1)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000060941714 1073378916 /nfs/dbraw/zinc/37/89/16/1073378916.db2.gz KXZBQTVVOOBPEY-IBGZPJMESA-N 0 0 446.504 -0.712 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000060981990 1072290201 /nfs/dbraw/zinc/29/02/01/1072290201.db2.gz MODXAUQWZBAFSM-NRFANRHFSA-N 0 0 430.461 -0.046 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NNC(=O)c3ccc(C#N)cc3)CC2)CC1 ZINC000060994059 1072290638 /nfs/dbraw/zinc/29/06/38/1072290638.db2.gz WPMGBUOTOHUUAB-UHFFFAOYSA-N 0 0 435.510 -0.978 20 0 IBADRN O=C(CCOc1ccccc1)NNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000060996795 1072290700 /nfs/dbraw/zinc/29/07/00/1072290700.db2.gz BBFUCUKORSEBCF-UHFFFAOYSA-N 0 0 441.510 -0.609 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCc1c(F)cccc1F)c(=O)n2C ZINC000060998495 1072290692 /nfs/dbraw/zinc/29/06/92/1072290692.db2.gz WJBUMAIXNPUGNU-UHFFFAOYSA-N 0 0 435.387 -0.386 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)N1CCC[C@H](C(F)(F)F)C1)c(=O)n2C ZINC000060998510 1072290630 /nfs/dbraw/zinc/29/06/30/1072290630.db2.gz MFIPRSLRYNCHRF-JTQLQIEISA-N 0 0 431.371 -0.222 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000061038172 1071120201 /nfs/dbraw/zinc/12/02/01/1071120201.db2.gz JGNZZIFDXNWZGY-UHFFFAOYSA-N 0 0 434.540 -0.554 20 0 IBADRN COc1cc(CNC(=O)NCCN2CCCS2(=O)=O)ccc1OCC(=O)N(C)C ZINC000061038248 1073110621 /nfs/dbraw/zinc/11/06/21/1073110621.db2.gz IRIGBZXCFOHZMY-UHFFFAOYSA-N 0 0 428.511 -0.003 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000061038268 1073111447 /nfs/dbraw/zinc/11/14/47/1073111447.db2.gz OLEBTGUQOUPPOW-UHFFFAOYSA-N 0 0 449.555 -0.267 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000061038869 1072290844 /nfs/dbraw/zinc/29/08/44/1072290844.db2.gz GNWGMGPTCGJMPN-UHFFFAOYSA-N 0 0 447.558 -0.162 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2CCCS2(=O)=O)CC1)N1CCc2ccccc21 ZINC000061039524 1071120374 /nfs/dbraw/zinc/12/03/74/1071120374.db2.gz SXEYHNLBYXAYQO-UHFFFAOYSA-N 0 0 435.550 -0.062 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NCCN3CCCS3(=O)=O)CC2)cc1 ZINC000061039541 1071121110 /nfs/dbraw/zinc/12/11/10/1071121110.db2.gz LPUDTDVFEZMWDN-UHFFFAOYSA-N 0 0 431.540 -0.799 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCN3CCCS3(=O)=O)CC2)cc1 ZINC000061039638 1073111432 /nfs/dbraw/zinc/11/14/32/1073111432.db2.gz XYXOZQYOSUYLSA-UHFFFAOYSA-N 0 0 446.551 -0.253 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NCCN3CCCS3(=O)=O)CC2)C1 ZINC000061039701 1073111428 /nfs/dbraw/zinc/11/14/28/1073111428.db2.gz NPRGQIZOZWQUHY-CALCHBBNSA-N 0 0 429.587 -0.146 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000061039729 1073111346 /nfs/dbraw/zinc/11/13/46/1073111346.db2.gz MKYNNFYMZFPCSA-UHFFFAOYSA-N 0 0 430.552 -0.121 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000061039775 1073111342 /nfs/dbraw/zinc/11/13/42/1073111342.db2.gz FVTUFTNJXTXKGH-UHFFFAOYSA-N 0 0 434.522 -0.214 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000061039810 1073111333 /nfs/dbraw/zinc/11/13/33/1073111333.db2.gz JHGFBNIVSZOOPZ-UHFFFAOYSA-N 0 0 446.551 -0.458 20 0 IBADRN COCCNc1nnc(S(=O)(=O)CC(=O)N2CCN(C(=O)c3ccco3)CC2)s1 ZINC000061042326 1073111308 /nfs/dbraw/zinc/11/13/08/1073111308.db2.gz NXQRAADRFQCICE-UHFFFAOYSA-N 0 0 443.507 -0.052 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(NC[C@@H]2CCCO2)s1)N1CCN(C(=O)C2CC2)CC1 ZINC000061063916 1073111318 /nfs/dbraw/zinc/11/13/18/1073111318.db2.gz WSAUSIKCAOSQRG-ZDUSSCGKSA-N 0 0 443.551 -0.017 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)c2nnc(NC[C@@H]3CCCO3)s2)CC1 ZINC000061064687 1073111385 /nfs/dbraw/zinc/11/13/85/1073111385.db2.gz NLFWETSTTGJNMF-AAEUAGOBSA-N 0 0 431.540 -0.018 20 0 IBADRN CC(C)NC(=O)C(=O)NNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC000061088103 1072292011 /nfs/dbraw/zinc/29/20/11/1072292011.db2.gz SQJYGSSWNMHHSJ-UHFFFAOYSA-N 0 0 435.300 -0.211 20 0 IBADRN CCOC(=O)c1cnn2c1[nH]c(COC(=O)C=Cc1cn(C)c(=O)n(C)c1=O)cc2=O ZINC000061103374 1072292040 /nfs/dbraw/zinc/29/20/40/1072292040.db2.gz LANJODKUOTZPDW-AATRIKPKSA-N 0 0 429.389 -0.234 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)N3CCN(Cc4ccco4)CC3)nc2n(C)c1=O ZINC000061153247 1072296769 /nfs/dbraw/zinc/29/67/69/1072296769.db2.gz YUNKWWPSECKXRU-UHFFFAOYSA-N 0 0 441.444 -0.274 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)CNC(=O)C2CC2)c(N)n(Cc2ccccc2)c1=O ZINC000061201097 1072299600 /nfs/dbraw/zinc/29/96/00/1072299600.db2.gz VDMMMAIREITHIH-UHFFFAOYSA-N 0 0 428.445 -0.088 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3ccco3)CC2)cn1 ZINC000061247212 1072301164 /nfs/dbraw/zinc/30/11/64/1072301164.db2.gz LYQDAZUPBSSHPT-UHFFFAOYSA-N 0 0 425.467 -0.821 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3ccsc3)CC2)cn1 ZINC000061247289 1072301219 /nfs/dbraw/zinc/30/12/19/1072301219.db2.gz CTAXIPVZQDHJHF-UHFFFAOYSA-N 0 0 441.535 -0.353 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000061262798 1073111378 /nfs/dbraw/zinc/11/13/78/1073111378.db2.gz LLJWUUQAZVTBEU-UHFFFAOYSA-N 0 0 425.463 -0.314 20 0 IBADRN CC(C)C(=O)NCCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000061285958 1073111436 /nfs/dbraw/zinc/11/14/36/1073111436.db2.gz XLBVRJNIPJFVIF-UHFFFAOYSA-N 0 0 426.543 -0.395 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000061304271 1072875991 /nfs/dbraw/zinc/87/59/91/1072875991.db2.gz QJVLXIDYUTZBSV-UHFFFAOYSA-N 0 0 435.550 -0.364 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000061304381 1072875914 /nfs/dbraw/zinc/87/59/14/1072875914.db2.gz MMWGJAHSPYNMQT-MRXNPFEDSA-N 0 0 428.555 -0.775 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000061305594 1073111373 /nfs/dbraw/zinc/11/13/73/1073111373.db2.gz WSRSFUVQSFLGLL-MRXNPFEDSA-N 0 0 428.555 -0.919 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1 ZINC000061305724 1073151996 /nfs/dbraw/zinc/15/19/96/1073151996.db2.gz LPROXSJWICHJOI-MRXNPFEDSA-N 0 0 435.477 -0.356 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC2CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000061305854 1073111278 /nfs/dbraw/zinc/11/12/78/1073111278.db2.gz AZEBICZMEDOYLB-GDBMZVCRSA-N 0 0 428.555 -0.777 20 0 IBADRN CNC(=O)CN(C)C(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000061322892 1073111393 /nfs/dbraw/zinc/11/13/93/1073111393.db2.gz OXDBDDRPGUFQDK-UHFFFAOYSA-N 0 0 442.476 -0.741 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCS(=O)(=O)NCc3ccccc3)cnc2n(C)c1=O ZINC000061378039 1073111357 /nfs/dbraw/zinc/11/13/57/1073111357.db2.gz JHBYFLKKIZOIGJ-UHFFFAOYSA-N 0 0 446.489 -0.127 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000061378355 1073111412 /nfs/dbraw/zinc/11/14/12/1073111412.db2.gz UUIGZYVNTZOUBZ-UHFFFAOYSA-N 0 0 438.510 -0.042 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000061378535 1072876647 /nfs/dbraw/zinc/87/66/47/1072876647.db2.gz UQUMEAIHAWIUCD-CYBMUJFWSA-N 0 0 431.497 -0.304 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000061378934 1073111402 /nfs/dbraw/zinc/11/14/02/1073111402.db2.gz DUXMOWOXFUUJCQ-UHFFFAOYSA-N 0 0 432.462 -0.138 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCNS(=O)(=O)Cc3ccccc3)cnc2n(C)c1=O ZINC000061379055 1073127882 /nfs/dbraw/zinc/12/78/82/1073127882.db2.gz AFLAGOCTPKSUMP-UHFFFAOYSA-N 0 0 446.489 -0.127 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000061379058 1073111452 /nfs/dbraw/zinc/11/14/52/1073111452.db2.gz AEPBMFMFXQUURJ-UHFFFAOYSA-N 0 0 431.497 -0.256 20 0 IBADRN Cn1c2ncc(NC(=O)NCCc3ccc(S(N)(=O)=O)cc3)cc2c(=O)n(C)c1=O ZINC000061379157 1073111425 /nfs/dbraw/zinc/11/14/25/1073111425.db2.gz VBNZPIDMLCBBSZ-UHFFFAOYSA-N 0 0 432.462 -0.356 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCN(CC(=O)N4CCCC4)CC3)cnc2n(C)c1=O ZINC000061379667 1073111419 /nfs/dbraw/zinc/11/14/19/1073111419.db2.gz GDALBACMIAJHNT-UHFFFAOYSA-N 0 0 429.481 -0.596 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCN(CC(=O)N4CCCCC4)CC3)cnc2n(C)c1=O ZINC000061380137 1072876459 /nfs/dbraw/zinc/87/64/59/1072876459.db2.gz CPXACBCVBQKCQD-UHFFFAOYSA-N 0 0 443.508 -0.206 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCN(C(=O)N4CCOCC4)CC3)cnc2n(C)c1=O ZINC000061380814 1071326929 /nfs/dbraw/zinc/32/69/29/1071326929.db2.gz FBVIWBCSRRSAOR-UHFFFAOYSA-N 0 0 431.453 -0.766 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NC[C@@]3(N4CCOCC4)CCSC3)cnc2n(C)c1=O ZINC000061381332 1073112008 /nfs/dbraw/zinc/11/20/08/1073112008.db2.gz PYAXNEARUNZLHQ-IBGZPJMESA-N 0 0 434.522 -0.038 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000061382107 1073111971 /nfs/dbraw/zinc/11/19/71/1073111971.db2.gz BQSIUYNXBOCXHD-UHFFFAOYSA-N 0 0 434.540 -0.554 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC000061386505 1072307388 /nfs/dbraw/zinc/30/73/88/1072307388.db2.gz KJGHOTFFKHCKGA-WYRIXSBYSA-N 0 0 438.412 -0.003 20 0 IBADRN C[C@@H](C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)N1C(=O)CCC1=O ZINC000061392915 1072307552 /nfs/dbraw/zinc/30/75/52/1072307552.db2.gz SNZBGHGAJLRQSM-LBPRGKRZSA-N 0 0 442.428 -0.559 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)Cn2ncc3ccccc3c2=O)c(=O)n(C)c1=O ZINC000061395166 1072307444 /nfs/dbraw/zinc/30/74/44/1072307444.db2.gz PPAMQVZIVILWTK-UHFFFAOYSA-N 0 0 441.444 -0.079 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cnc3sccn3c2=O)c(=O)n(C)c1=O ZINC000061397230 1072308105 /nfs/dbraw/zinc/30/81/05/1072308105.db2.gz PWRGGUXWWSEUDZ-UHFFFAOYSA-N 0 0 433.446 -0.106 20 0 IBADRN COC(=O)c1c(C)nc(=O)[nH]c1SCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000061397324 1073111990 /nfs/dbraw/zinc/11/19/90/1073111990.db2.gz PYVSZXBKOAKXIW-UHFFFAOYSA-N 0 0 437.478 -0.061 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C2=NN(Cc3ccccc3)C(=O)CC2)c(=O)n(C)c1=O ZINC000061424949 1072310060 /nfs/dbraw/zinc/31/00/60/1072310060.db2.gz IIAWBLBSWFCDPV-UHFFFAOYSA-N 0 0 427.417 -0.429 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(F)(F)F ZINC000061428553 1072310164 /nfs/dbraw/zinc/31/01/64/1072310164.db2.gz JGSCVQZQMKULTF-INIZCTEOSA-N 0 0 443.386 -0.876 20 0 IBADRN N#Cc1ccc(C(=O)NNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000061464056 1072312429 /nfs/dbraw/zinc/31/24/29/1072312429.db2.gz ZMZMZESNCAFKEL-UHFFFAOYSA-N 0 0 427.442 -0.246 20 0 IBADRN N#Cc1ccc(C(=O)NNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000061464210 1072312236 /nfs/dbraw/zinc/31/22/36/1072312236.db2.gz CWLHWDGAKNFXPV-UHFFFAOYSA-N 0 0 427.442 -0.246 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000061468468 1073111982 /nfs/dbraw/zinc/11/19/82/1073111982.db2.gz PHVAVSHUUBBJEN-UHFFFAOYSA-N 0 0 445.476 -0.527 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000061469035 1073111865 /nfs/dbraw/zinc/11/18/65/1073111865.db2.gz FSIWNQCEXANTLX-UHFFFAOYSA-N 0 0 431.493 -0.054 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000061469632 1073112000 /nfs/dbraw/zinc/11/20/00/1073112000.db2.gz PBDASTCJZJICOD-UHFFFAOYSA-N 0 0 447.492 -0.281 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@@H]2CCC(=O)NC2)c(N)n(Cc2ccccc2)c1=O ZINC000061470059 1072313521 /nfs/dbraw/zinc/31/35/21/1072313521.db2.gz LJTYLBIZELIXLN-CQSZACIVSA-N 0 0 428.445 -0.088 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000061495241 1073112048 /nfs/dbraw/zinc/11/20/48/1073112048.db2.gz PBLPJFDHQPTDLG-UHFFFAOYSA-N 0 0 426.543 -0.253 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3cnn(-c4ccccc4)n3)CC2)CC1 ZINC000061502422 1072314791 /nfs/dbraw/zinc/31/47/91/1072314791.db2.gz ZNBVSDTWIJRAEE-UHFFFAOYSA-N 0 0 433.538 -0.554 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCS(=O)(=O)C3CCCC3)CC2)CC1 ZINC000061502426 1073127878 /nfs/dbraw/zinc/12/78/78/1073127878.db2.gz OJHYXMAHGDVGIU-UHFFFAOYSA-N 0 0 436.600 -0.630 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C2=NN(Cc3ccc(F)cc3)C(=O)CC2)c(=O)n(C)c1=O ZINC000061519549 1072316696 /nfs/dbraw/zinc/31/66/96/1072316696.db2.gz YASUJXPPNZEPFW-UHFFFAOYSA-N 0 0 445.407 -0.290 20 0 IBADRN CC(C)NC(=O)C(=O)NNC(=O)CNC(=O)c1ccccc1I ZINC000061544438 1072316686 /nfs/dbraw/zinc/31/66/86/1072316686.db2.gz GOOYLODDLLEEFG-UHFFFAOYSA-N 0 0 432.218 -0.307 20 0 IBADRN CC(C)NC(=O)C(=O)NNC(=O)CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000061544724 1072316669 /nfs/dbraw/zinc/31/66/69/1072316669.db2.gz SVVWAPJIHOEXLN-UHFFFAOYSA-N 0 0 440.400 -0.074 20 0 IBADRN CC(C)NC(=O)C(=O)NNC(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC000061544733 1072316621 /nfs/dbraw/zinc/31/66/21/1072316621.db2.gz HEERMTIFACONOI-UHFFFAOYSA-N 0 0 435.300 -0.211 20 0 IBADRN CC(C)NC(=O)C(=O)NNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000061544755 1072316762 /nfs/dbraw/zinc/31/67/62/1072316762.db2.gz VTJWIMWDCWCYML-UHFFFAOYSA-N 0 0 428.467 -0.812 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@H]2C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000061597425 1072320848 /nfs/dbraw/zinc/32/08/48/1072320848.db2.gz HQCIGLPFQUJFLD-NADMHLTPSA-N 0 0 434.518 -0.059 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCN3CCCS3(=O)=O)CC2)c1 ZINC000061597468 1073112063 /nfs/dbraw/zinc/11/20/63/1073112063.db2.gz MCGOCZQDDLEOFE-UHFFFAOYSA-N 0 0 444.579 -0.401 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCN3CCCS3(=O)=O)cc2S1(=O)=O ZINC000061597527 1072320881 /nfs/dbraw/zinc/32/08/81/1072320881.db2.gz ZSBGCDSAZNTABX-UHFFFAOYSA-N 0 0 431.492 -0.757 20 0 IBADRN CCNc1nc2nnc(SCC(=O)NCCN3CCCS3(=O)=O)n2c(NCC)n1 ZINC000061597544 1072320800 /nfs/dbraw/zinc/32/08/00/1072320800.db2.gz GBHOTRLVNNNTNI-UHFFFAOYSA-N 0 0 443.559 -0.373 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCN3CCCS3(=O)=O)CC2)cc1 ZINC000061597638 1073111824 /nfs/dbraw/zinc/11/18/24/1073111824.db2.gz RIQGWIKKDSSCGR-UHFFFAOYSA-N 0 0 444.579 -0.547 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCN2CCCS2(=O)=O)C1 ZINC000061598224 1073112067 /nfs/dbraw/zinc/11/20/67/1073112067.db2.gz ZGLVYFMIKFQUJO-AWEZNQCLSA-N 0 0 434.540 -0.156 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCCS2(=O)=O)cc1OC ZINC000061598473 1073111885 /nfs/dbraw/zinc/11/18/85/1073111885.db2.gz GQDUNPJRYSVTDB-UHFFFAOYSA-N 0 0 435.524 -0.476 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000061598497 1073112044 /nfs/dbraw/zinc/11/20/44/1073112044.db2.gz ZUVMGFIKICCFDN-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCN1CCCS1(=O)=O ZINC000061598525 1073111963 /nfs/dbraw/zinc/11/19/63/1073111963.db2.gz KYMOEWYLPHEMPY-ZDUSSCGKSA-N 0 0 447.535 -0.334 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1CCCS1(=O)=O ZINC000061598530 1073112018 /nfs/dbraw/zinc/11/20/18/1073112018.db2.gz NOROMXXKNVNHKX-UHFFFAOYSA-N 0 0 447.535 -0.332 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000061598570 1072320817 /nfs/dbraw/zinc/32/08/17/1072320817.db2.gz OTNRIPDHHZODLX-UHFFFAOYSA-N 0 0 440.547 -0.001 20 0 IBADRN Cc1ccc(C(=O)NCCN2CCCS2(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000061598840 1073111896 /nfs/dbraw/zinc/11/18/96/1073111896.db2.gz MNBIFUWPDTUOMU-UHFFFAOYSA-N 0 0 431.536 -0.219 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCN1CCCS1(=O)=O ZINC000061598842 1073111933 /nfs/dbraw/zinc/11/19/33/1073111933.db2.gz OOUHYFDQEFTGAN-UHFFFAOYSA-N 0 0 431.536 -0.219 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000061606073 1072320810 /nfs/dbraw/zinc/32/08/10/1072320810.db2.gz LTKAMPCRYVJIQI-CQSZACIVSA-N 0 0 427.502 -0.882 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)CN2CCCS2(=O)=O)C1 ZINC000061606105 1072320910 /nfs/dbraw/zinc/32/09/10/1072320910.db2.gz DLNLIMSSBHQIQY-AWEZNQCLSA-N 0 0 432.543 -0.099 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)CN2CCS(=O)(=O)CC2)C1 ZINC000061606111 1072320993 /nfs/dbraw/zinc/32/09/93/1072320993.db2.gz FCUBJMAHXJPOBW-OAHLLOKOSA-N 0 0 446.570 -0.404 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000061610282 1072877296 /nfs/dbraw/zinc/87/72/96/1072877296.db2.gz KIXCPXFHXPECGK-UHFFFAOYSA-N 0 0 433.512 -0.788 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000061610624 1073111913 /nfs/dbraw/zinc/11/19/13/1073111913.db2.gz YFWCUFUKXNTAIG-UHFFFAOYSA-N 0 0 425.467 -0.980 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000061618852 1073111849 /nfs/dbraw/zinc/11/18/49/1073111849.db2.gz WEYRWSGZEWVGLT-UHFFFAOYSA-N 0 0 447.539 -0.679 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000061618982 1073112575 /nfs/dbraw/zinc/11/25/75/1073112575.db2.gz XUQVQEKLRHRCFR-UHFFFAOYSA-N 0 0 439.494 -0.870 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NNC(=O)c2ccc(C#N)cc2)c1 ZINC000061633221 1072321455 /nfs/dbraw/zinc/32/14/55/1072321455.db2.gz VEVDKRGTKAEOOB-UHFFFAOYSA-N 0 0 429.458 0.000 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000061637475 1073112653 /nfs/dbraw/zinc/11/26/53/1073112653.db2.gz MXBNCWMZVSASDJ-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(Cl)c(NS(C)(=O)=O)c2)c(=O)n(C)c1=O ZINC000061660806 1072321793 /nfs/dbraw/zinc/32/17/93/1072321793.db2.gz DHLDQBWBDLICEJ-UHFFFAOYSA-N 0 0 444.853 -0.269 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000061730196 1072327515 /nfs/dbraw/zinc/32/75/15/1072327515.db2.gz KUQQJHNNXASLTO-UHFFFAOYSA-N 0 0 437.518 -0.236 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000061730347 1072327366 /nfs/dbraw/zinc/32/73/66/1072327366.db2.gz CGZIUPXXFQVZAO-CQSZACIVSA-N 0 0 441.506 -0.066 20 0 IBADRN O=C(COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)NCC(=O)N1CCCC1 ZINC000061730374 1072327405 /nfs/dbraw/zinc/32/74/05/1072327405.db2.gz MROGARNLNFPPRU-UHFFFAOYSA-N 0 0 437.518 -0.188 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000061733970 1073112436 /nfs/dbraw/zinc/11/24/36/1073112436.db2.gz WVYQMROGYKKSCV-UHFFFAOYSA-N 0 0 432.524 -0.136 20 0 IBADRN CCOC(=O)c1cccnc1SCC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000061736171 1072326603 /nfs/dbraw/zinc/32/66/03/1072326603.db2.gz HNAXZIGABMNXIL-UHFFFAOYSA-N 0 0 436.446 -0.244 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NCCCO)c1 ZINC000061746042 1073112483 /nfs/dbraw/zinc/11/24/83/1073112483.db2.gz GHEXMBRZFBQBMD-UHFFFAOYSA-N 0 0 442.538 -0.009 20 0 IBADRN C[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000061759885 1073112524 /nfs/dbraw/zinc/11/25/24/1073112524.db2.gz PJXKHQXCHIMFAC-AWEZNQCLSA-N 0 0 440.522 -0.257 20 0 IBADRN CCN(CC)c1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](C)O ZINC000061759887 1073112612 /nfs/dbraw/zinc/11/26/12/1073112612.db2.gz ZYIFOYTZZZVRDE-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NC[C@@H](C)O)c1 ZINC000061759889 1073112533 /nfs/dbraw/zinc/11/25/33/1073112533.db2.gz PXUUVEIROJWIMM-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](C)O ZINC000061759914 1073112608 /nfs/dbraw/zinc/11/26/08/1073112608.db2.gz UDLWAAHEOCHNSN-CYBMUJFWSA-N 0 0 429.495 -0.070 20 0 IBADRN C[C@@H](O)CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000061759927 1073112563 /nfs/dbraw/zinc/11/25/63/1073112563.db2.gz XDSGWQLOYKYWJD-OAHLLOKOSA-N 0 0 426.477 -0.197 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H](C)O)cc2)C1 ZINC000061759950 1073112508 /nfs/dbraw/zinc/11/25/08/1073112508.db2.gz RTQLBSLASJCTIR-CHWSQXEVSA-N 0 0 427.479 -0.304 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCN3CCCS3(=O)=O)c2)CC1 ZINC000061776947 1073112585 /nfs/dbraw/zinc/11/25/85/1073112585.db2.gz QGBMIMGXGBONJC-UHFFFAOYSA-N 0 0 445.567 -0.220 20 0 IBADRN Cc1cc(CN2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)no1 ZINC000061801095 1073152621 /nfs/dbraw/zinc/15/26/21/1073152621.db2.gz YRXGHLTVKXGUKL-UHFFFAOYSA-N 0 0 434.541 -0.896 20 0 IBADRN Cc1cc(CN2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)no1 ZINC000061801099 1073152505 /nfs/dbraw/zinc/15/25/05/1073152505.db2.gz PFWTYYSNOYXBFF-GOSISDBHSA-N 0 0 425.555 -0.568 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)NCCNS(C)(=O)=O)C1 ZINC000061859906 1072331507 /nfs/dbraw/zinc/33/15/07/1072331507.db2.gz LYLXUEUHCAQMRH-CYBMUJFWSA-N 0 0 435.547 -0.402 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000061864706 1072331425 /nfs/dbraw/zinc/33/14/25/1072331425.db2.gz PNQFTEDFCOQBNM-CQSZACIVSA-N 0 0 449.574 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCNC(=O)C1CC1 ZINC000061897388 1073112618 /nfs/dbraw/zinc/11/26/18/1073112618.db2.gz UPKRSNPPRVJTLP-UHFFFAOYSA-N 0 0 438.506 -0.403 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCNC(=O)C3CC3)cc2)CC1 ZINC000061897844 1073112548 /nfs/dbraw/zinc/11/25/48/1073112548.db2.gz OCOGAJMNPWWCKP-UHFFFAOYSA-N 0 0 437.522 -0.796 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCn3ccnc3)c2)CC1 ZINC000061899272 1073112595 /nfs/dbraw/zinc/11/25/95/1073112595.db2.gz DQYYKSOTGQGLOF-UHFFFAOYSA-N 0 0 434.522 -0.036 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCNC(=O)C(C)C ZINC000061899318 1072900262 /nfs/dbraw/zinc/90/02/62/1072900262.db2.gz VAXNUTRIWJTOOW-UHFFFAOYSA-N 0 0 426.495 -0.037 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCNC(=O)C(C)C ZINC000061899367 1072900197 /nfs/dbraw/zinc/90/01/97/1072900197.db2.gz UFOGVQGHEUKEFT-UHFFFAOYSA-N 0 0 440.522 -0.157 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)C(C)C)cc1 ZINC000061899490 1073112467 /nfs/dbraw/zinc/11/24/67/1073112467.db2.gz NIETWQBCBIUFLT-ZDUSSCGKSA-N 0 0 428.511 -0.173 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000061899522 1073112661 /nfs/dbraw/zinc/11/26/61/1073112661.db2.gz YYCNCLVUYKDEBQ-UHFFFAOYSA-N 0 0 439.538 -0.550 20 0 IBADRN CNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1N(C)C ZINC000061911777 1072900267 /nfs/dbraw/zinc/90/02/67/1072900267.db2.gz DFTZKXQQXSTLKG-UHFFFAOYSA-N 0 0 425.511 -0.272 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)N(C)C)cc2)C[C@@H](C)O1 ZINC000061914167 1072903516 /nfs/dbraw/zinc/90/35/16/1072903516.db2.gz NECDXMHVYXOVPQ-CHWSQXEVSA-N 0 0 426.495 -0.373 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)N(C)C ZINC000061914205 1073112630 /nfs/dbraw/zinc/11/26/30/1073112630.db2.gz CTOXSFMWIGTSNS-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(=O)N(C)C)c2)CC1 ZINC000061914222 1072904931 /nfs/dbraw/zinc/90/49/31/1072904931.db2.gz TYFCCGRFWYJUBU-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000061924744 1072926794 /nfs/dbraw/zinc/92/67/94/1072926794.db2.gz PPLIIDGJSHBTDL-CHWSQXEVSA-N 0 0 426.495 -0.325 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OCC ZINC000061924865 1072926693 /nfs/dbraw/zinc/92/66/93/1072926693.db2.gz MOOCYRKFZNXQDT-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(CC)CC2)c1 ZINC000061924913 1072926675 /nfs/dbraw/zinc/92/66/75/1072926675.db2.gz GTTBFKWGRKWJSM-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000061937577 1073112643 /nfs/dbraw/zinc/11/26/43/1073112643.db2.gz FTZXDEOULIPOTH-NSHDSACASA-N 0 0 446.913 -0.060 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000061937603 1072953186 /nfs/dbraw/zinc/95/31/86/1072953186.db2.gz SOBRKLZAHXLDDU-ZDUSSCGKSA-N 0 0 426.495 -0.405 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(CC)CC2)c1 ZINC000061937813 1072953295 /nfs/dbraw/zinc/95/32/95/1072953295.db2.gz AEIOUEIESDNEQE-AWEZNQCLSA-N 0 0 439.538 -0.408 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000061937855 1072953837 /nfs/dbraw/zinc/95/38/37/1072953837.db2.gz YZLLQUJOANFULM-ZDUSSCGKSA-N 0 0 425.511 -0.798 20 0 IBADRN CC(C)NC(=O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000061960662 1073113011 /nfs/dbraw/zinc/11/30/11/1073113011.db2.gz JCCHVASUYPPYOT-UHFFFAOYSA-N 0 0 446.913 -0.060 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)NC(C)C ZINC000061960671 1073113159 /nfs/dbraw/zinc/11/31/59/1073113159.db2.gz UVVBVQBNBXVCFO-UHFFFAOYSA-N 0 0 426.495 -0.405 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(=O)NC(C)C)c2)CC1 ZINC000061960745 1072958626 /nfs/dbraw/zinc/95/86/26/1072958626.db2.gz FHRLAIMHRNASOV-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN CC(C)NC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000061960759 1073113156 /nfs/dbraw/zinc/11/31/56/1073113156.db2.gz QSOHXCBCJVUKLG-UHFFFAOYSA-N 0 0 425.511 -0.798 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000061961507 1073113050 /nfs/dbraw/zinc/11/30/50/1073113050.db2.gz PFOUHVSCLRCIBC-KRWDZBQOSA-N 0 0 440.570 -0.447 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000061964770 1073113002 /nfs/dbraw/zinc/11/30/02/1073113002.db2.gz WBIWEDAWLFOVDS-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000061964772 1072961434 /nfs/dbraw/zinc/96/14/34/1072961434.db2.gz SQWSQCXTCSKEJX-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)NC(C)(C)C ZINC000061964815 1072961514 /nfs/dbraw/zinc/96/15/14/1072961514.db2.gz DHZKKBLGYXVXGJ-UHFFFAOYSA-N 0 0 440.522 -0.015 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000061964951 1072962082 /nfs/dbraw/zinc/96/20/82/1072962082.db2.gz LCZHWRHERPKDAP-LBPRGKRZSA-N 0 0 428.511 -0.031 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NC(C)(C)C)cc2)CC1 ZINC000061964978 1073113149 /nfs/dbraw/zinc/11/31/49/1073113149.db2.gz KAGIJJGHNXARDL-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)NCc1ccco1 ZINC000061973410 1073112989 /nfs/dbraw/zinc/11/29/89/1073112989.db2.gz DJFWFZRREOSVLV-UHFFFAOYSA-N 0 0 443.460 -0.079 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)NCc1ccco1 ZINC000061973462 1073113088 /nfs/dbraw/zinc/11/30/88/1073113088.db2.gz KLDCMHIBFMFKII-UHFFFAOYSA-N 0 0 438.462 -0.090 20 0 IBADRN CN(CC(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000061985518 1072334514 /nfs/dbraw/zinc/33/45/14/1072334514.db2.gz MXWLJQCEJMYVNF-CYBMUJFWSA-N 0 0 428.536 -0.145 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C[C@H](C)O1 ZINC000062003513 1073050667 /nfs/dbraw/zinc/05/06/67/1073050667.db2.gz GXKYUBKVBONVKG-KBPBESRZSA-N 0 0 433.509 -0.156 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)N1CCCC1 ZINC000062006546 1073113017 /nfs/dbraw/zinc/11/30/17/1073113017.db2.gz JQFCUTKOCRRJKU-UHFFFAOYSA-N 0 0 438.506 -0.307 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)N3CCCC3)cc2)CC1 ZINC000062006603 1073113029 /nfs/dbraw/zinc/11/30/29/1073113029.db2.gz SENMHHUVAKXREN-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cc1 ZINC000062008448 1073153038 /nfs/dbraw/zinc/15/30/38/1073153038.db2.gz KBZZJJXADGDHGL-UHFFFAOYSA-N 0 0 426.429 -0.014 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)N1CCOCC1 ZINC000062015776 1073113127 /nfs/dbraw/zinc/11/31/27/1073113127.db2.gz BZXQYVFDVXSVFO-QGZVFWFLSA-N 0 0 433.509 -0.297 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N[C@@H](C)CO)c1 ZINC000062025655 1073113039 /nfs/dbraw/zinc/11/30/39/1073113039.db2.gz JVCONSYRDQSWET-AWEZNQCLSA-N 0 0 442.538 -0.011 20 0 IBADRN C[C@@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000062025656 1073112971 /nfs/dbraw/zinc/11/29/71/1073112971.db2.gz ITIRSUQZEFNSLO-AWEZNQCLSA-N 0 0 440.522 -0.257 20 0 IBADRN CCN(CC)c1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)CO ZINC000062025657 1073112999 /nfs/dbraw/zinc/11/29/99/1073112999.db2.gz IXRYAPVQACASOQ-AWEZNQCLSA-N 0 0 442.538 -0.011 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)CO ZINC000062025682 1073113042 /nfs/dbraw/zinc/11/30/42/1073113042.db2.gz FPWHEWBFKRQGIY-ZDUSSCGKSA-N 0 0 429.495 -0.070 20 0 IBADRN C[C@@H](CO)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000062025694 1073113144 /nfs/dbraw/zinc/11/31/44/1073113144.db2.gz YJHIZFNQJSQFMV-HNNXBMFYSA-N 0 0 426.477 -0.197 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H](C)CO)cc2)C1 ZINC000062025702 1073113165 /nfs/dbraw/zinc/11/31/65/1073113165.db2.gz PGPKSTXYKQFICO-QWHCGFSZSA-N 0 0 427.479 -0.304 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(=O)NC(C)C ZINC000062026355 1073228862 /nfs/dbraw/zinc/22/88/62/1073228862.db2.gz UBDNEEKCUIYUGD-UHFFFAOYSA-N 0 0 440.522 -0.015 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000062027361 1073230846 /nfs/dbraw/zinc/23/08/46/1073230846.db2.gz AJIHUSRBGWJFJF-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cc1F ZINC000062027607 1073113116 /nfs/dbraw/zinc/11/31/16/1073113116.db2.gz HMAULGQUQKNOTP-UHFFFAOYSA-N 0 0 443.435 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)cc1 ZINC000062027852 1073245638 /nfs/dbraw/zinc/24/56/38/1073245638.db2.gz QMZVUGIHSWOSQC-UHFFFAOYSA-N 0 0 434.474 -0.108 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000062028818 1073113658 /nfs/dbraw/zinc/11/36/58/1073113658.db2.gz RIDRXAFGWNSZKD-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000062028822 1073113716 /nfs/dbraw/zinc/11/37/16/1073113716.db2.gz JOGHJRMKWSKPEH-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000062028864 1073263725 /nfs/dbraw/zinc/26/37/25/1073263725.db2.gz PCLQJADWYGFKKP-UHFFFAOYSA-N 0 0 446.551 -0.226 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCNS(C)(=O)=O)ccc1C ZINC000062028868 1073113564 /nfs/dbraw/zinc/11/35/64/1073113564.db2.gz DHLKURXQTFDJJO-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000062028877 1073113553 /nfs/dbraw/zinc/11/35/53/1073113553.db2.gz NFYFIKDOGHVOAO-UHFFFAOYSA-N 0 0 446.551 -0.226 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc2)CC1 ZINC000062028929 1073264182 /nfs/dbraw/zinc/26/41/82/1073264182.db2.gz ZHWIFBDKNDKXRC-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1 ZINC000062028988 1073113637 /nfs/dbraw/zinc/11/36/37/1073113637.db2.gz ROLIIZGLVMCYHL-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc2)C1 ZINC000062029045 1073113546 /nfs/dbraw/zinc/11/35/46/1073113546.db2.gz LHEGQBPWHHMHPT-CYBMUJFWSA-N 0 0 446.551 -0.289 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)sc2c1 ZINC000062029106 1073113567 /nfs/dbraw/zinc/11/35/67/1073113567.db2.gz YWQFKXRMHFCMOD-UHFFFAOYSA-N 0 0 427.508 -0.263 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000062029117 1071440804 /nfs/dbraw/zinc/44/08/04/1071440804.db2.gz WPJQRSSDPUEWHN-UHFFFAOYSA-N 0 0 448.567 -0.062 20 0 IBADRN O=C(NC[C@H](O)C(F)(F)F)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000062041704 1072336444 /nfs/dbraw/zinc/33/64/44/1072336444.db2.gz ASMRWESGSMFQNF-LBPRGKRZSA-N 0 0 425.385 -0.315 20 0 IBADRN O=C(NC[C@@H](O)C(F)(F)F)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000062041706 1070799027 /nfs/dbraw/zinc/79/90/27/1070799027.db2.gz WPMKVHWRDZPOPA-GFCCVEGCSA-N 0 0 425.385 -0.315 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](O)C(F)(F)F ZINC000062041732 1072337008 /nfs/dbraw/zinc/33/70/08/1072337008.db2.gz QTNKJJPZPXTREK-ZDUSSCGKSA-N 0 0 439.412 -0.006 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](O)C(F)(F)F)cc1 ZINC000062041972 1070799167 /nfs/dbraw/zinc/79/91/67/1070799167.db2.gz GYLQMGISRIHRHP-JOYOIKCWSA-N 0 0 427.401 -0.022 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H](O)C(F)(F)F)cc2)CC1 ZINC000062041998 1070799142 /nfs/dbraw/zinc/79/91/42/1070799142.db2.gz GJSDWZXTFIGVNZ-CYBMUJFWSA-N 0 0 438.428 -0.399 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCn3cccn3)cc2)CC1 ZINC000062044059 1073113535 /nfs/dbraw/zinc/11/35/35/1073113535.db2.gz CEZLOKGOUHGKBA-UHFFFAOYSA-N 0 0 434.522 -0.036 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000062130811 1070829120 /nfs/dbraw/zinc/82/91/20/1070829120.db2.gz QQOOEECJHWRUIL-MRXNPFEDSA-N 0 0 433.509 -0.267 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H](C)CN1CCOCC1 ZINC000062130819 1070829176 /nfs/dbraw/zinc/82/91/76/1070829176.db2.gz DBTJHUVTTGXLLY-ZDUSSCGKSA-N 0 0 440.522 -0.089 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000062130856 1072443156 /nfs/dbraw/zinc/44/31/56/1072443156.db2.gz OSOXSWWDGRXNLN-OAHLLOKOSA-N 0 0 440.522 -0.517 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000062130857 1070828948 /nfs/dbraw/zinc/82/89/48/1070828948.db2.gz HSNSDCRBWHZQEQ-OAHLLOKOSA-N 0 0 440.522 -0.517 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](C)CN1CCOCC1 ZINC000062130933 1072443201 /nfs/dbraw/zinc/44/32/01/1072443201.db2.gz ZTZGLQCZWPQOFY-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CN2CCOCC2)cc1 ZINC000062131026 1072443262 /nfs/dbraw/zinc/44/32/62/1072443262.db2.gz ZCIVJBIQBUVGOQ-CABCVRRESA-N 0 0 442.538 -0.225 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCCCC1 ZINC000062132331 1072443632 /nfs/dbraw/zinc/44/36/32/1072443632.db2.gz LAKZWPHJARXKRC-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCCCC1 ZINC000062132332 1073113704 /nfs/dbraw/zinc/11/37/04/1073113704.db2.gz YTOYUIPMZLWEMI-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000062197135 1072602562 /nfs/dbraw/zinc/60/25/62/1072602562.db2.gz BQKYCCVWKKZKJO-UHFFFAOYSA-N 0 0 448.523 -0.870 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000062197227 1073113668 /nfs/dbraw/zinc/11/36/68/1073113668.db2.gz XMIKMZGNCPMXIX-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000062197228 1073113713 /nfs/dbraw/zinc/11/37/13/1073113713.db2.gz INKFYWMJWYDDTK-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000062197229 1073113559 /nfs/dbraw/zinc/11/35/59/1073113559.db2.gz XLMSINSGKMIBKK-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)CC)cc1 ZINC000062197231 1072602594 /nfs/dbraw/zinc/60/25/94/1072602594.db2.gz LUDHMBASUDNEFS-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000062197245 1073113688 /nfs/dbraw/zinc/11/36/88/1073113688.db2.gz ITWPBCCABDNJGT-UHFFFAOYSA-N 0 0 440.931 -0.416 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000062197368 1072350451 /nfs/dbraw/zinc/35/04/51/1072350451.db2.gz OXBRNGKLBFYQSF-UHFFFAOYSA-N 0 0 431.902 -0.730 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000062197374 1072602658 /nfs/dbraw/zinc/60/26/58/1072602658.db2.gz OFYRBRQLGNSDAT-UHFFFAOYSA-N 0 0 426.495 -0.318 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000062197431 1073113604 /nfs/dbraw/zinc/11/36/04/1073113604.db2.gz MLHNYUJKVURIRZ-UHFFFAOYSA-N 0 0 446.489 -0.462 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C(C)C)cc1 ZINC000062197448 1073113631 /nfs/dbraw/zinc/11/36/31/1073113631.db2.gz ARJNUMBQVKPAAK-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC(C)(C)C)c1 ZINC000062197463 1072602665 /nfs/dbraw/zinc/60/26/65/1072602665.db2.gz FVORUWMKAVMHFY-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000062197746 1072602627 /nfs/dbraw/zinc/60/26/27/1072602627.db2.gz PWWJYAVBYGDUAL-UHFFFAOYSA-N 0 0 429.477 -0.042 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000062204970 1072603231 /nfs/dbraw/zinc/60/32/31/1072603231.db2.gz WJNGWXBOIRNCGZ-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000062204971 1072603272 /nfs/dbraw/zinc/60/32/72/1072603272.db2.gz HOKXQOHYYWWRAK-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000062204972 1072603270 /nfs/dbraw/zinc/60/32/70/1072603270.db2.gz MIMWADVWLQCMQJ-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1 ZINC000062204973 1072603265 /nfs/dbraw/zinc/60/32/65/1072603265.db2.gz BMOXYHVTUFBBJX-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000062205009 1072350438 /nfs/dbraw/zinc/35/04/38/1072350438.db2.gz RZNVLPGDKPIINZ-UHFFFAOYSA-N 0 0 431.902 -0.730 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1 ZINC000062205033 1072603252 /nfs/dbraw/zinc/60/32/52/1072603252.db2.gz LAXYWJOHLMCEAJ-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c1 ZINC000062205041 1072603203 /nfs/dbraw/zinc/60/32/03/1072603203.db2.gz SIRLTHHNGTYLFU-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCNC(C)=O)c2)CC1 ZINC000062205115 1072603242 /nfs/dbraw/zinc/60/32/42/1072603242.db2.gz BIYQSFPSTRLVOO-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2ccc(C)[nH]c2=O)CC1 ZINC000062284146 1072354593 /nfs/dbraw/zinc/35/45/93/1072354593.db2.gz ISZHJWWLXUYSDB-UHFFFAOYSA-N 0 0 438.462 -0.022 20 0 IBADRN CCS(=O)(=O)Nc1ccccc1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000062294340 1072354473 /nfs/dbraw/zinc/35/44/73/1072354473.db2.gz CRYHMCUHSNHTAR-UHFFFAOYSA-N 0 0 440.522 -0.163 20 0 IBADRN Cc1nc([N+](=O)[O-])cn1CC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000062333188 1072356367 /nfs/dbraw/zinc/35/63/67/1072356367.db2.gz YVSYVZCCNGLCLZ-UHFFFAOYSA-N 0 0 443.424 -0.332 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCNC(=O)C2)sc1Br ZINC000062339901 1072355646 /nfs/dbraw/zinc/35/56/46/1072355646.db2.gz YCRMGEYBMAQEAT-UHFFFAOYSA-N 0 0 426.270 -0.727 20 0 IBADRN Cn1c(COC(=O)c2cc(S(N)(=O)=O)c(Br)s2)cc(=O)n(C)c1=O ZINC000062340196 1072355512 /nfs/dbraw/zinc/35/55/12/1072355512.db2.gz GHTBYEHTPWYVNB-UHFFFAOYSA-N 0 0 438.281 -0.088 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000062340266 1072356389 /nfs/dbraw/zinc/35/63/89/1072356389.db2.gz CMOYDXWKUOCOCH-YFKPBYRVSA-N 0 0 429.270 -0.008 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)Cn2nc(-c3ccccc3)ccc2=O)c(=O)n(C)c1=O ZINC000062470031 1072369022 /nfs/dbraw/zinc/36/90/22/1072369022.db2.gz JRJVOGZKMUNDDY-UHFFFAOYSA-N 0 0 425.401 -0.684 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(-n4ncc(C#N)c4N)cc3)c2c(=O)n(C)c1=O ZINC000062471077 1072369042 /nfs/dbraw/zinc/36/90/42/1072369042.db2.gz SFZOGOKDBZBPLZ-UHFFFAOYSA-N 0 0 434.416 -0.070 20 0 IBADRN CC[C@@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000062525189 1073113693 /nfs/dbraw/zinc/11/36/93/1073113693.db2.gz WKTTVGWFCNUGAI-NEPJUHHUSA-N 0 0 429.499 -0.302 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCC(=O)N2CCc3sccc3C2)c(=O)n(C)c1=O ZINC000062545039 1072369824 /nfs/dbraw/zinc/36/98/24/1072369824.db2.gz CEOSJTDYOBGDSJ-UHFFFAOYSA-N 0 0 434.474 -0.181 20 0 IBADRN O=C(NCc1ccccc1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000062563385 1073113676 /nfs/dbraw/zinc/11/36/76/1073113676.db2.gz YTPMZVMGBRNWTP-UHFFFAOYSA-N 0 0 445.520 -0.264 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000062582598 1071281465 /nfs/dbraw/zinc/28/14/65/1071281465.db2.gz HSWFSYADLASSAH-UHFFFAOYSA-N 0 0 429.477 -0.099 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000062584125 1071281353 /nfs/dbraw/zinc/28/13/53/1071281353.db2.gz KVUVZNMPUXEVBG-UHFFFAOYSA-N 0 0 445.476 -0.863 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000062584322 1071282040 /nfs/dbraw/zinc/28/20/40/1071282040.db2.gz VUKNVACXJMENCU-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN O=C(OCCN1C(=O)CNC1=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000062637841 1072373192 /nfs/dbraw/zinc/37/31/92/1072373192.db2.gz PPKSMWLMJNLKRV-LBPRGKRZSA-N 0 0 437.430 -0.281 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCOc2ccc3c(c2)OCO3)CC1 ZINC000062641052 1073113645 /nfs/dbraw/zinc/11/36/45/1073113645.db2.gz XDFBJICPOLLUKB-UHFFFAOYSA-N 0 0 432.433 -0.203 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000062646850 1073114236 /nfs/dbraw/zinc/11/42/36/1073114236.db2.gz RMWPZXNHFATSMA-UHFFFAOYSA-N 0 0 444.539 -0.482 20 0 IBADRN C[C@H](COCC(F)(F)F)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000062658967 1073114100 /nfs/dbraw/zinc/11/41/00/1073114100.db2.gz CABCLZSSUFNLKF-CYBMUJFWSA-N 0 0 431.481 -0.227 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H](C)C(=O)N1CCOCC1 ZINC000062885465 1073114172 /nfs/dbraw/zinc/11/41/72/1073114172.db2.gz YMKOCIZJIUUONJ-CQSZACIVSA-N 0 0 441.506 -0.307 20 0 IBADRN C[C@@H](NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1CCOCC1 ZINC000062885524 1073114073 /nfs/dbraw/zinc/11/40/73/1073114073.db2.gz KZJIJMSBCNYTCG-CYBMUJFWSA-N 0 0 431.536 -0.325 20 0 IBADRN C[C@H](NC(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000062885527 1073114141 /nfs/dbraw/zinc/11/41/41/1073114141.db2.gz BVJMLRNHEVSKSQ-HOTGVXAUSA-N 0 0 446.504 -0.359 20 0 IBADRN Cc1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000062885616 1073114086 /nfs/dbraw/zinc/11/40/86/1073114086.db2.gz XDTNBOGSPFDKIB-HNNXBMFYSA-N 0 0 425.507 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H](C)C(=O)N1CCOCC1 ZINC000062885630 1073114024 /nfs/dbraw/zinc/11/40/24/1073114024.db2.gz FSPKIARNXILDCQ-OAHLLOKOSA-N 0 0 425.507 -0.007 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H](C)C(=O)N2CCOCC2)cc1 ZINC000062885961 1073114245 /nfs/dbraw/zinc/11/42/45/1073114245.db2.gz QLJRCJDNDAXTJK-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000062886387 1073114201 /nfs/dbraw/zinc/11/42/01/1073114201.db2.gz QNRBRPKSEFURCM-QGZVFWFLSA-N 0 0 438.550 -0.190 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000062886557 1073114212 /nfs/dbraw/zinc/11/42/12/1073114212.db2.gz UBCTWARUROYMQB-QGZVFWFLSA-N 0 0 438.550 -0.335 20 0 IBADRN C[C@@H](NC(=O)c1cncc(C(=O)N[C@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000062886643 1073113880 /nfs/dbraw/zinc/11/38/80/1073113880.db2.gz MSUQMDQUMIJAEJ-HUUCEWRRSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@@H](NC(=O)c1cccc(C(=O)N[C@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000062886667 1073114008 /nfs/dbraw/zinc/11/40/08/1073114008.db2.gz ZQARFNYHRTXXKP-HZPDHXFCSA-N 0 0 446.504 -0.359 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NCCNS(C)(=O)=O ZINC000063122724 1073114222 /nfs/dbraw/zinc/11/42/22/1073114222.db2.gz OALLUIGRNSEKLX-UHFFFAOYSA-N 0 0 443.551 -0.265 20 0 IBADRN COCCn1c(N)c(C(=O)CSc2nnc(N3CCOCC3)s2)c(=O)[nH]c1=O ZINC000063129857 1073113973 /nfs/dbraw/zinc/11/39/73/1073113973.db2.gz KWROQKKGTIBBNT-UHFFFAOYSA-N 0 0 428.496 -0.572 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCOCC1 ZINC000063250035 1073114186 /nfs/dbraw/zinc/11/41/86/1073114186.db2.gz QJGUOSMIJAEDDV-UONOGXRCSA-N 0 0 441.506 -0.122 20 0 IBADRN C[C@@H](NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCOCC1 ZINC000063250058 1073114207 /nfs/dbraw/zinc/11/42/07/1073114207.db2.gz KLIFQGXHPSOETG-CQSZACIVSA-N 0 0 441.506 -0.120 20 0 IBADRN CS(=O)(=O)c1ccc(OCCCN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000063285891 1070827090 /nfs/dbraw/zinc/82/70/90/1070827090.db2.gz GWBIKUDENQFKPR-UHFFFAOYSA-N 0 0 439.534 -0.138 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC(C(=O)NCCc3cccs3)CC2)c(=O)n(C)c1=O ZINC000063302008 1073114665 /nfs/dbraw/zinc/11/46/65/1073114665.db2.gz VYDJAMVQFUWAEO-UHFFFAOYSA-N 0 0 433.534 -0.019 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)C(=O)N2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000063309643 1073114713 /nfs/dbraw/zinc/11/47/13/1073114713.db2.gz QKUDFONJZWHPQA-ZMZPIMSZSA-N 0 0 440.478 -0.725 20 0 IBADRN C[C@@H](NS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1)C(=O)N1CCOCC1 ZINC000063309688 1073114757 /nfs/dbraw/zinc/11/47/57/1073114757.db2.gz LWPJIIJONCZPJW-CYBMUJFWSA-N 0 0 437.515 -0.387 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000063309739 1073114736 /nfs/dbraw/zinc/11/47/36/1073114736.db2.gz YSLMPTVBYKXJPZ-AWEZNQCLSA-N 0 0 447.535 -0.767 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)N1CCOCC1 ZINC000063309740 1073114685 /nfs/dbraw/zinc/11/46/85/1073114685.db2.gz MVQKTDKZCMEDCO-AWEZNQCLSA-N 0 0 431.536 -0.003 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(C(=O)NCCc3cccs3)CC2)c(=O)n(C)c1=O ZINC000063310596 1073114761 /nfs/dbraw/zinc/11/47/61/1073114761.db2.gz HQWOTFAKGNUTKB-UHFFFAOYSA-N 0 0 440.547 -0.095 20 0 IBADRN CNC(=O)C1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000063329439 1073114567 /nfs/dbraw/zinc/11/45/67/1073114567.db2.gz JNBQDTNJZCIFQZ-RYTJFDOTSA-N 0 0 445.586 -0.028 20 0 IBADRN CNC(=O)C1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000063329440 1073114629 /nfs/dbraw/zinc/11/46/29/1073114629.db2.gz SZFVSHXLIGJFOJ-UHFFFAOYSA-N 0 0 430.575 -0.889 20 0 IBADRN O=C(NC1CCN(CC(F)(F)F)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000063355785 1073114613 /nfs/dbraw/zinc/11/46/13/1073114613.db2.gz PMIQHKIIRLVHLJ-UHFFFAOYSA-N 0 0 443.492 -0.083 20 0 IBADRN O=C(NC1CCN(CC(F)(F)F)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000063357371 1070849600 /nfs/dbraw/zinc/84/96/00/1070849600.db2.gz IKUJZXILZBYTNR-UHFFFAOYSA-N 0 0 435.447 -0.274 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)CN1CCOCC1 ZINC000063411053 1073114739 /nfs/dbraw/zinc/11/47/39/1073114739.db2.gz XVQWRCNOUNYCJS-HNNXBMFYSA-N 0 0 434.493 -0.057 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(C(=O)N2CCCC2)ccc1OC ZINC000063411130 1073161456 /nfs/dbraw/zinc/16/14/56/1073161456.db2.gz LVGJGSVRWDVJGU-UHFFFAOYSA-N 0 0 426.495 -0.075 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000063416990 1073114753 /nfs/dbraw/zinc/11/47/53/1073114753.db2.gz LGFDKIWUPCVOTG-UHFFFAOYSA-N 0 0 438.506 -0.027 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(=O)N(C)C)CC1 ZINC000063417169 1073114697 /nfs/dbraw/zinc/11/46/97/1073114697.db2.gz OXHUZJAMUOSREA-UHFFFAOYSA-N 0 0 447.492 -0.068 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)[N-]NC(=O)CC[NH+]2CCN(c3ccccc3)CC2)nn1 ZINC000063464970 1072390693 /nfs/dbraw/zinc/39/06/93/1072390693.db2.gz WIEISMUFSCROLR-UHFFFAOYSA-N 0 0 428.497 -0.660 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)nn1 ZINC000063466414 1073114765 /nfs/dbraw/zinc/11/47/65/1073114765.db2.gz NJXYNMYQKROYEW-UHFFFAOYSA-N 0 0 441.558 -0.367 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)OCc3ccccc3)CC2)CC1 ZINC000063466467 1072390535 /nfs/dbraw/zinc/39/05/35/1072390535.db2.gz PBJSENZGHUCHCU-UHFFFAOYSA-N 0 0 439.538 -0.451 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000063476750 1073114726 /nfs/dbraw/zinc/11/47/26/1073114726.db2.gz PSGFNCYBEAXLFY-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN COc1cccc(OC)c1OC[C@@H](O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000063483623 1073114581 /nfs/dbraw/zinc/11/45/81/1073114581.db2.gz HDAKLXPWIWUPRZ-INIZCTEOSA-N 0 0 437.493 -0.554 20 0 IBADRN COc1cccc(OC)c1OC[C@@H](O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000063492807 1073114723 /nfs/dbraw/zinc/11/47/23/1073114723.db2.gz FQAWMAISMYXUQP-INIZCTEOSA-N 0 0 445.538 -0.362 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(C[C@@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000063492895 1073114644 /nfs/dbraw/zinc/11/46/44/1073114644.db2.gz DVPZWNFQOMSXLY-OAHLLOKOSA-N 0 0 429.495 -0.650 20 0 IBADRN CCOC(=O)c1ccc(N2CCCN(C(=O)CN3CCN(CC)C(=O)C3=O)CC2)nc1 ZINC000063495235 1073115077 /nfs/dbraw/zinc/11/50/77/1073115077.db2.gz NMCARGORBKFANP-UHFFFAOYSA-N 0 0 431.493 -0.012 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)CC1 ZINC000063501604 1072392410 /nfs/dbraw/zinc/39/24/10/1072392410.db2.gz UIMDKWAKQAISQH-UHFFFAOYSA-N 0 0 432.343 -0.125 20 0 IBADRN CCn1cnc2c1c(=O)n(CC(=O)NNC(=O)[C@H]1CCOC1)c(=O)n2Cc1ccccc1 ZINC000063501972 1072392313 /nfs/dbraw/zinc/39/23/13/1072392313.db2.gz JKEORYXSCNUXCV-HNNXBMFYSA-N 0 0 440.460 -0.388 20 0 IBADRN COCCCN(C(=O)CN1CCC[C@@H]1CO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000063512729 1073115272 /nfs/dbraw/zinc/11/52/72/1073115272.db2.gz DAYFXWMKQIIKDQ-QGZVFWFLSA-N 0 0 445.520 -0.007 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000063517834 1070958128 /nfs/dbraw/zinc/95/81/28/1070958128.db2.gz IIVSPXDSRWLMNL-QGOAFFKASA-N 0 0 426.539 -0.557 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000063519504 1073115298 /nfs/dbraw/zinc/11/52/98/1073115298.db2.gz JDHNVXXCCAYQPL-UHFFFAOYSA-N 0 0 443.522 -0.059 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000063519523 1073115203 /nfs/dbraw/zinc/11/52/03/1073115203.db2.gz WQXBLLAZPCEWQZ-UHFFFAOYSA-N 0 0 443.522 -0.059 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000063519541 1073161911 /nfs/dbraw/zinc/16/19/11/1073161911.db2.gz CIYZZFKPYOKDRC-ZDUSSCGKSA-N 0 0 428.511 -0.077 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000063519612 1070904534 /nfs/dbraw/zinc/90/45/34/1070904534.db2.gz IIHSIZXFZONNOL-UHFFFAOYSA-N 0 0 428.511 -0.123 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000063545727 1072393135 /nfs/dbraw/zinc/39/31/35/1072393135.db2.gz UKCLOTARCVJPET-UHFFFAOYSA-N 0 0 449.555 -0.433 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000063545728 1071438323 /nfs/dbraw/zinc/43/83/23/1071438323.db2.gz SIRARXQSJSBQIT-UHFFFAOYSA-N 0 0 439.538 -0.142 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000063545737 1073161913 /nfs/dbraw/zinc/16/19/13/1073161913.db2.gz SXTJBDLGIILMFN-UHFFFAOYSA-N 0 0 439.538 -0.142 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@H](C)C(=O)N2CCOCC2)cc1OC ZINC000063661816 1071057325 /nfs/dbraw/zinc/05/73/25/1071057325.db2.gz XZLUJOIQHRWLQB-CYBMUJFWSA-N 0 0 429.495 -0.264 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]23)CC1 ZINC000063703626 1073115264 /nfs/dbraw/zinc/11/52/64/1073115264.db2.gz UZSZPRLPCFPILG-RVKKMQEKSA-N 0 0 427.571 -0.381 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCCN(CC(N)=O)CC3)CC2)c1 ZINC000063759149 1070803465 /nfs/dbraw/zinc/80/34/65/1070803465.db2.gz IVMNOLBIHWXZNH-UHFFFAOYSA-N 0 0 437.566 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCCN(CC(N)=O)CC3)CC2)cc1 ZINC000063759391 1072397209 /nfs/dbraw/zinc/39/72/09/1072397209.db2.gz UFEYCJPTQYAYRL-UHFFFAOYSA-N 0 0 437.566 -0.679 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000063807968 1073115294 /nfs/dbraw/zinc/11/52/94/1073115294.db2.gz DKFZKFHDUPEMGQ-UHFFFAOYSA-N 0 0 434.493 -0.041 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)C1=O ZINC000063809046 1072397633 /nfs/dbraw/zinc/39/76/33/1072397633.db2.gz BBKSINNSACMUIV-HXUWFJFHSA-N 0 0 439.432 -0.229 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(Cc4cn5ccccc5n4)CC3)nc2c(=O)[nH]c1=O ZINC000063809129 1073115282 /nfs/dbraw/zinc/11/52/82/1073115282.db2.gz JCBLTSUCUVGESM-UHFFFAOYSA-N 0 0 436.476 -0.125 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000063809718 1073115237 /nfs/dbraw/zinc/11/52/37/1073115237.db2.gz SKAMPNPVLAPWBO-UHFFFAOYSA-N 0 0 444.579 -0.270 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCCN3CCN(c4ccc(F)cc4)CC3)nc2c(=O)[nH]c1=O ZINC000063810028 1073115124 /nfs/dbraw/zinc/11/51/24/1073115124.db2.gz ILBHPDXOELCUDR-UHFFFAOYSA-N 0 0 443.483 -0.040 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(C(=O)Cc4ccccc4F)CC3)nc2c(=O)[nH]c1=O ZINC000063812105 1073115248 /nfs/dbraw/zinc/11/52/48/1073115248.db2.gz FLZRITNWUQZDLB-UHFFFAOYSA-N 0 0 442.451 -0.065 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCC(=O)N3CCN(c4ccccc4)CC3)nc2c(=O)[nH]c1=O ZINC000063812349 1073115195 /nfs/dbraw/zinc/11/51/95/1073115195.db2.gz RUAVIWKJNNKGOL-UHFFFAOYSA-N 0 0 439.476 -0.653 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)N1CCCCCC1 ZINC000063854767 1073115250 /nfs/dbraw/zinc/11/52/50/1073115250.db2.gz SHNSAEUALASIBS-HXUWFJFHSA-N 0 0 449.596 -0.286 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)N1CCCCC1 ZINC000063854804 1073115219 /nfs/dbraw/zinc/11/52/19/1073115219.db2.gz IGSLIZUBETZYCM-LJQANCHMSA-N 0 0 435.569 -0.676 20 0 IBADRN Cn1cc(Br)cc1C(=O)NNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000063854819 1072400315 /nfs/dbraw/zinc/40/03/15/1072400315.db2.gz BGDYVKWDTCJHAK-GFCCVEGCSA-N 0 0 442.314 -0.271 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000063854929 1072547177 /nfs/dbraw/zinc/54/71/77/1072547177.db2.gz BXQZKRBNTISERZ-KRWDZBQOSA-N 0 0 438.550 -0.266 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000063862031 1073115229 /nfs/dbraw/zinc/11/52/29/1073115229.db2.gz PLQGMLLPXJTEJR-IBGZPJMESA-N 0 0 445.568 -0.714 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)cc21 ZINC000063863441 1073115173 /nfs/dbraw/zinc/11/51/73/1073115173.db2.gz RCLLFJUIKDCWHZ-HNNXBMFYSA-N 0 0 437.522 -0.628 20 0 IBADRN C[C@@H](NC(=O)c1cccc(C(=O)N[C@H](C)C(=O)N2CCOCC2)n1)C(=O)N1CCOCC1 ZINC000063865977 1072837493 /nfs/dbraw/zinc/83/74/93/1072837493.db2.gz ALDVDVHFOJKRGL-HUUCEWRRSA-N 0 0 447.492 -0.964 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000064024408 1072856388 /nfs/dbraw/zinc/85/63/88/1072856388.db2.gz RQWOXTWYVBAYJO-GOSISDBHSA-N 0 0 438.550 -0.467 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000064025350 1072856244 /nfs/dbraw/zinc/85/62/44/1072856244.db2.gz JXGLWHKDKFRYSK-WOJBJXKFSA-N 0 0 449.596 -0.288 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n2ncnc2n1 ZINC000064032654 1073115915 /nfs/dbraw/zinc/11/59/15/1073115915.db2.gz AHGZLHUTASTEKH-QGZVFWFLSA-N 0 0 442.524 -0.738 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000064034242 1072858010 /nfs/dbraw/zinc/85/80/10/1072858010.db2.gz LVUQZDMUFWSPPQ-IBGZPJMESA-N 0 0 438.569 -0.052 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000064034260 1070806204 /nfs/dbraw/zinc/80/62/04/1070806204.db2.gz SRPSDSISAHHSNP-GOSISDBHSA-N 0 0 438.550 -0.597 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)CC1 ZINC000064034664 1072858580 /nfs/dbraw/zinc/85/85/80/1072858580.db2.gz DKOPWBUXMRFERG-IBGZPJMESA-N 0 0 435.569 -0.820 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000064034788 1072858337 /nfs/dbraw/zinc/85/83/37/1072858337.db2.gz TUFQHCXALXPSDP-QGZVFWFLSA-N 0 0 438.550 -0.266 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)cc1 ZINC000064034879 1072858612 /nfs/dbraw/zinc/85/86/12/1072858612.db2.gz VRQJVIHDMTXAEZ-HXUWFJFHSA-N 0 0 443.548 -0.390 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000064034897 1072858548 /nfs/dbraw/zinc/85/85/48/1072858548.db2.gz OXTLAQWEWZMUBK-GOSISDBHSA-N 0 0 438.550 -0.597 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000064035889 1072858517 /nfs/dbraw/zinc/85/85/17/1072858517.db2.gz KSBYXMZBORTSQC-GOSISDBHSA-N 0 0 432.521 -0.050 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000064040149 1072858389 /nfs/dbraw/zinc/85/83/89/1072858389.db2.gz CYMPHJMZRSCJSG-DOTOQJQBSA-N 0 0 438.550 -0.047 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCN(c3ccc(F)c(F)c3)C2=O)c(=O)n(C)c1=O ZINC000064040181 1070806481 /nfs/dbraw/zinc/80/64/81/1070806481.db2.gz NIQBEJSHXSIWAA-SNVBAGLBSA-N 0 0 436.371 -0.277 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000064046706 1072859413 /nfs/dbraw/zinc/85/94/13/1072859413.db2.gz GPCKZPJGJWGQJR-YLJYHZDGSA-N 0 0 426.583 -0.509 20 0 IBADRN CC[NH+](CC)Cc1ccc(C(=O)[N-]NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)o1 ZINC000064047670 1072408117 /nfs/dbraw/zinc/40/81/17/1072408117.db2.gz IXIYAMGTTFWHJO-UHFFFAOYSA-N 0 0 431.453 -0.670 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCN(c2ccccc2)CC1 ZINC000064052359 1073115846 /nfs/dbraw/zinc/11/58/46/1073115846.db2.gz PTZFURUXCUMEOQ-LJQANCHMSA-N 0 0 443.548 -0.368 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CC(=O)N(c3cc(F)cc(F)c3)C2)c(=O)n(C)c1=O ZINC000064064310 1070806331 /nfs/dbraw/zinc/80/63/31/1070806331.db2.gz KBLHUNSDRZEKKK-SECBINFHSA-N 0 0 436.371 -0.277 20 0 IBADRN O=C(N[C@H]1CCCNC1=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000064092438 1070834736 /nfs/dbraw/zinc/83/47/36/1070834736.db2.gz RBJLPVDRVZEGDW-INIZCTEOSA-N 0 0 434.474 -0.443 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN2C(=O)Cc2cccs2)c(=O)n(C)c1=O ZINC000064106614 1072409391 /nfs/dbraw/zinc/40/93/91/1072409391.db2.gz CDQMUHNZIMIMIK-LBPRGKRZSA-N 0 0 434.474 -0.313 20 0 IBADRN Cc1cc(-n2c(C)cn(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)c2=O)no1 ZINC000064110480 1072409388 /nfs/dbraw/zinc/40/93/88/1072409388.db2.gz USGIQHMEQAWNAJ-UHFFFAOYSA-N 0 0 426.499 -0.604 20 0 IBADRN Cc1cc(-n2c(C)cn(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c2=O)no1 ZINC000064110560 1072409294 /nfs/dbraw/zinc/40/92/94/1072409294.db2.gz KSYZMGZKYKAEPH-UHFFFAOYSA-N 0 0 439.542 -0.689 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000064114164 1070807688 /nfs/dbraw/zinc/80/76/88/1070807688.db2.gz IIMAGKWGZQICNO-UHFFFAOYSA-N 0 0 426.495 -0.164 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000064114172 1072409412 /nfs/dbraw/zinc/40/94/12/1072409412.db2.gz KIJNMQLISDJKSA-AWEZNQCLSA-N 0 0 426.495 -0.166 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)O[C@@H](C)C(=O)N3CCNC3=O)CC2)c1 ZINC000064114309 1072409265 /nfs/dbraw/zinc/40/92/65/1072409265.db2.gz VVZGAQIRCSBPEX-HNNXBMFYSA-N 0 0 438.506 -0.070 20 0 IBADRN CNC(=O)NC(=O)COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc([N+](=O)[O-])cc2)C1 ZINC000064149995 1072509714 /nfs/dbraw/zinc/50/97/14/1072509714.db2.gz BTJITTODJQNLIK-NSHDSACASA-N 0 0 428.423 -0.006 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cn(CC(=O)N(C)C)nn2)NC(=O)N[C@@H]1c1ccco1 ZINC000064161255 1072541339 /nfs/dbraw/zinc/54/13/39/1072541339.db2.gz KAMMDAGZJMGDKG-MRXNPFEDSA-N 0 0 446.420 -0.013 20 0 IBADRN COc1cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c(N)c(OC)c1OC ZINC000064164717 1070808611 /nfs/dbraw/zinc/80/86/11/1070808611.db2.gz GUZBYDJDVKNGAE-UHFFFAOYSA-N 0 0 433.421 -0.101 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@H](CO)NC(=O)c2cccc(Br)c2)n(C)c1=O ZINC000064166997 1072566374 /nfs/dbraw/zinc/56/63/74/1072566374.db2.gz OZCJZXUHVWWDBN-ZDUSSCGKSA-N 0 0 440.250 -0.319 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)c1F ZINC000064192187 1070808834 /nfs/dbraw/zinc/80/88/34/1070808834.db2.gz DAKXFBYBEZKKBH-UHFFFAOYSA-N 0 0 431.417 -0.150 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2c(F)ccc(S(N)(=O)=O)c2F)CC1 ZINC000064192193 1070808975 /nfs/dbraw/zinc/80/89/75/1070808975.db2.gz KFCUMQYJGNVHPU-UHFFFAOYSA-N 0 0 448.448 -0.999 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3c(F)ccc(S(N)(=O)=O)c3F)c2c(=O)n(C)c1=O ZINC000064192844 1072623264 /nfs/dbraw/zinc/62/32/64/1072623264.db2.gz OJEYWOWBBUNCLS-UHFFFAOYSA-N 0 0 443.388 -0.784 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN2C(=O)Cc2ccccc2)c(=O)n(C)c1=O ZINC000064214813 1072645406 /nfs/dbraw/zinc/64/54/06/1072645406.db2.gz DGVBAOSVEKOHCO-AWEZNQCLSA-N 0 0 428.445 -0.374 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000064252513 1073115833 /nfs/dbraw/zinc/11/58/33/1073115833.db2.gz LSKBGWKGLVCBGC-CYBMUJFWSA-N 0 0 429.465 -0.473 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(CC(F)(F)F)C3)CC2)CC1 ZINC000064253087 1072875025 /nfs/dbraw/zinc/87/50/25/1072875025.db2.gz UKAKASFXAGNQCU-CQSZACIVSA-N 0 0 442.508 -0.558 20 0 IBADRN O=C(NC1CCN(CC(F)F)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000064254598 1072874977 /nfs/dbraw/zinc/87/49/77/1072874977.db2.gz ZGCQLJVRHCWLSF-UHFFFAOYSA-N 0 0 425.502 -0.380 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC3CCN(CC(F)F)CC3)CC2)CC1 ZINC000064255366 1072874965 /nfs/dbraw/zinc/87/49/65/1072874965.db2.gz FFAPOYLDDPULOM-UHFFFAOYSA-N 0 0 438.545 -0.465 20 0 IBADRN Cn1c(=O)cc(COC(=O)CCNS(=O)(=O)c2ccc([N+](=O)[O-])cc2)n(C)c1=O ZINC000064266207 1072925834 /nfs/dbraw/zinc/92/58/34/1072925834.db2.gz PXGCLSHOFROHSZ-UHFFFAOYSA-N 0 0 426.407 -0.596 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3)cnc2n(C)c1=O ZINC000064295482 1072876540 /nfs/dbraw/zinc/87/65/40/1072876540.db2.gz GWTWEEWKYYFMSD-VIFPVBQESA-N 0 0 428.371 -0.677 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c(OCC(=O)N(C)C)c1 ZINC000064296683 1073115876 /nfs/dbraw/zinc/11/58/76/1073115876.db2.gz GUKBQHJCIMUQHS-UHFFFAOYSA-N 0 0 426.495 -0.448 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000064296776 1073115794 /nfs/dbraw/zinc/11/57/94/1073115794.db2.gz KUMOCPIIPJDEQA-UHFFFAOYSA-N 0 0 425.496 -0.526 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NCCN3CCCS3(=O)=O)cn2)C[C@H](C)O1 ZINC000064296866 1073115909 /nfs/dbraw/zinc/11/59/09/1073115909.db2.gz GFPQCLQZHGNXAX-OKILXGFUSA-N 0 0 425.511 -0.215 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCN2CCCS2(=O)=O)c2ccccc2O1 ZINC000064296900 1073115912 /nfs/dbraw/zinc/11/59/12/1073115912.db2.gz IZHOPYITKLPTEP-MRXNPFEDSA-N 0 0 438.506 -0.542 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000064297001 1073115815 /nfs/dbraw/zinc/11/58/15/1073115815.db2.gz ITTUZJRJWUTSCN-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000064297022 1073115864 /nfs/dbraw/zinc/11/58/64/1073115864.db2.gz QLOZNDHAIKYFDZ-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000064297024 1073115825 /nfs/dbraw/zinc/11/58/25/1073115825.db2.gz JTEGANBKVSDASQ-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000064297077 1072963419 /nfs/dbraw/zinc/96/34/19/1072963419.db2.gz VEPDSFIIERMDDZ-UHFFFAOYSA-N 0 0 443.913 -0.634 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000064297183 1073115859 /nfs/dbraw/zinc/11/58/59/1073115859.db2.gz KCJFFCRYGCWXRB-UHFFFAOYSA-N 0 0 444.535 -0.439 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000064297184 1073115804 /nfs/dbraw/zinc/11/58/04/1073115804.db2.gz WGRDTVAYPCCALW-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(F)F)CC2)cc1 ZINC000064303581 1073115921 /nfs/dbraw/zinc/11/59/21/1073115921.db2.gz ARFJEARSEYEAFW-UHFFFAOYSA-N 0 0 426.420 -0.134 20 0 IBADRN NC(=O)[C@@H]1Cc2ccccc2N1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000064316365 1073115777 /nfs/dbraw/zinc/11/57/77/1073115777.db2.gz IEPQOLVRSSWUDG-KRWDZBQOSA-N 0 0 428.470 -0.136 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000064326839 1072964031 /nfs/dbraw/zinc/96/40/31/1072964031.db2.gz HFCMXDXONCXFRE-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000064354525 1073115896 /nfs/dbraw/zinc/11/58/96/1073115896.db2.gz LQXVESWITIUGIE-UHFFFAOYSA-N 0 0 430.509 -0.013 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000064355182 1073115741 /nfs/dbraw/zinc/11/57/41/1073115741.db2.gz DGZROBDNIUSZOH-UHFFFAOYSA-N 0 0 442.476 -0.196 20 0 IBADRN CCN(CC)C(=O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000064413984 1070809893 /nfs/dbraw/zinc/80/98/93/1070809893.db2.gz JFMMXPCPERRJKX-UHFFFAOYSA-N 0 0 426.543 -0.299 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000064442228 1072969117 /nfs/dbraw/zinc/96/91/17/1072969117.db2.gz HFCMXDXONCXFRE-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCc3cc(S(N)(=O)=O)ccc32)c1 ZINC000064475121 1073115904 /nfs/dbraw/zinc/11/59/04/1073115904.db2.gz GCBLDVOMBFLINE-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)Nc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000064475925 1073116238 /nfs/dbraw/zinc/11/62/38/1073116238.db2.gz VWKFGXZFFVKALG-UHFFFAOYSA-N 0 0 426.476 -0.389 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](C(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000064487800 1073025393 /nfs/dbraw/zinc/02/53/93/1073025393.db2.gz PXIJYZGODQUNCI-INIZCTEOSA-N 0 0 425.507 -0.033 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CSC[C@H]3C(=O)N3CCSCC3)nc2n(C)c1=O ZINC000064523281 1073116253 /nfs/dbraw/zinc/11/62/53/1073116253.db2.gz XACXJDQPHDTFNC-ZDUSSCGKSA-N 0 0 435.531 -0.277 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000064523644 1072973243 /nfs/dbraw/zinc/97/32/43/1072973243.db2.gz BSULWRYGYBQZST-CYBMUJFWSA-N 0 0 428.561 -0.039 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1 ZINC000064572273 1073116165 /nfs/dbraw/zinc/11/61/65/1073116165.db2.gz GMSVEIHWIZLINS-UHFFFAOYSA-N 0 0 433.533 -0.237 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)N3CCOCC3)CC2)c1 ZINC000064572896 1073116153 /nfs/dbraw/zinc/11/61/53/1073116153.db2.gz QDJSCWRNHZXHJT-UHFFFAOYSA-N 0 0 431.536 -0.146 20 0 IBADRN CCCCNC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000064573065 1072974106 /nfs/dbraw/zinc/97/41/06/1072974106.db2.gz RRHUEURVWRLORE-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000064573672 1073116082 /nfs/dbraw/zinc/11/60/82/1073116082.db2.gz CNFMLXNQUOQVSZ-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CCN(CC)C(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000064573694 1073116135 /nfs/dbraw/zinc/11/61/35/1073116135.db2.gz YDNKJCBBKDAANJ-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC000064573925 1071328180 /nfs/dbraw/zinc/32/81/80/1071328180.db2.gz MTXJGFXZIGHEAI-UHFFFAOYSA-N 0 0 432.524 -0.647 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c1 ZINC000064595191 1073116097 /nfs/dbraw/zinc/11/60/97/1073116097.db2.gz PCEAXAVVNVXUOV-CQSZACIVSA-N 0 0 431.536 -0.146 20 0 IBADRN CCC(=O)Nc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000064595400 1073116107 /nfs/dbraw/zinc/11/61/07/1073116107.db2.gz JCSWAAZCLHSERM-UHFFFAOYSA-N 0 0 446.551 -0.082 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000064595405 1073116125 /nfs/dbraw/zinc/11/61/25/1073116125.db2.gz KQUXFMKSLKUIJM-AWEZNQCLSA-N 0 0 432.568 -0.184 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000064595411 1073166375 /nfs/dbraw/zinc/16/63/75/1073166375.db2.gz MDKAXWABZXYCOI-UHFFFAOYSA-N 0 0 447.535 -0.335 20 0 IBADRN CCCNC(=O)CN1CCC(NS(=O)(=O)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000064595910 1073116196 /nfs/dbraw/zinc/11/61/96/1073116196.db2.gz NDXCTYJDUVRZIP-UHFFFAOYSA-N 0 0 432.568 -0.136 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccccc2)c1 ZINC000064595954 1072976312 /nfs/dbraw/zinc/97/63/12/1072976312.db2.gz COKPTJXJXXUTKK-UHFFFAOYSA-N 0 0 447.560 -0.007 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NCc2ccccc2)c1 ZINC000064596346 1073116341 /nfs/dbraw/zinc/11/63/41/1073116341.db2.gz LXOBSQJJCPEQLA-UHFFFAOYSA-N 0 0 447.560 -0.007 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC[C@H]2CNS(C)(=O)=O)c1 ZINC000064596576 1073116185 /nfs/dbraw/zinc/11/61/85/1073116185.db2.gz KMISCSFEIDJXSP-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000064596602 1073116231 /nfs/dbraw/zinc/11/62/31/1073116231.db2.gz AJDZADSLZZNWHO-UHFFFAOYSA-N 0 0 444.535 -0.950 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000064596636 1073116217 /nfs/dbraw/zinc/11/62/17/1073116217.db2.gz HVUHATNARRREBF-UHFFFAOYSA-N 0 0 429.524 -0.342 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000064596787 1073116335 /nfs/dbraw/zinc/11/63/35/1073116335.db2.gz MWGJTHZHHZLEOX-UHFFFAOYSA-N 0 0 440.551 -0.515 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c1 ZINC000064598240 1073116066 /nfs/dbraw/zinc/11/60/66/1073116066.db2.gz NPOQXTZUNBWITJ-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CSC[C@H]2C(=O)N2CCSCC2)c1 ZINC000064599581 1073116304 /nfs/dbraw/zinc/11/63/04/1073116304.db2.gz VHRADYLOZPONRN-ZDUSSCGKSA-N 0 0 437.590 -0.027 20 0 IBADRN O=C(NNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cccc2cccnc21 ZINC000064630673 1072977932 /nfs/dbraw/zinc/97/79/32/1072977932.db2.gz MOAJKLOMOYBNOL-UHFFFAOYSA-N 0 0 448.505 -0.216 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)C2 ZINC000064656085 1073142347 /nfs/dbraw/zinc/14/23/47/1073142347.db2.gz DSYCSHWJZMDVOA-KRWDZBQOSA-N 0 0 438.550 -0.117 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCSCC2)c1 ZINC000064764700 1073116273 /nfs/dbraw/zinc/11/62/73/1073116273.db2.gz PBYLQKVYRHJBNY-UHFFFAOYSA-N 0 0 428.536 -0.130 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000064764720 1073116290 /nfs/dbraw/zinc/11/62/90/1073116290.db2.gz RNWJQHFMNUKMQQ-UHFFFAOYSA-N 0 0 430.509 -0.078 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2n(C)c1=O ZINC000064765765 1073116321 /nfs/dbraw/zinc/11/63/21/1073116321.db2.gz QMELEWVWEXTQOM-UHFFFAOYSA-N 0 0 442.476 -0.891 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000064765816 1073116805 /nfs/dbraw/zinc/11/68/05/1073116805.db2.gz RJBMNWLPGJFCRU-UHFFFAOYSA-N 0 0 446.460 -0.484 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)cc2n(C)c1=O ZINC000064767140 1073116856 /nfs/dbraw/zinc/11/68/56/1073116856.db2.gz LLVALACTLWRJGJ-UHFFFAOYSA-N 0 0 428.493 -0.418 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000064767366 1073116893 /nfs/dbraw/zinc/11/68/93/1073116893.db2.gz JKKLMPMZZJLABK-UHFFFAOYSA-N 0 0 432.477 -0.010 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCCCC4)CC3)cc2n(C)c1=O ZINC000064767659 1073116900 /nfs/dbraw/zinc/11/69/00/1073116900.db2.gz SATYRZMJMNGPNB-UHFFFAOYSA-N 0 0 442.520 -0.028 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)CC1 ZINC000064767669 1073116907 /nfs/dbraw/zinc/11/69/07/1073116907.db2.gz YYVCEGQQWCJSTJ-UHFFFAOYSA-N 0 0 440.547 -0.082 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000064767682 1073116928 /nfs/dbraw/zinc/11/69/28/1073116928.db2.gz BNVUEIJUWIKPFG-UHFFFAOYSA-N 0 0 448.476 -0.238 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000064767686 1073116800 /nfs/dbraw/zinc/11/68/00/1073116800.db2.gz CRGPQZHCLOKJCA-UHFFFAOYSA-N 0 0 430.465 -0.989 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000064768331 1073116750 /nfs/dbraw/zinc/11/67/50/1073116750.db2.gz VFUQGYDDOQZKRZ-CQSZACIVSA-N 0 0 430.509 -0.126 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)cc2n(C)c1=O ZINC000064769005 1073116911 /nfs/dbraw/zinc/11/69/11/1073116911.db2.gz PZODCRIZJBFHLK-UHFFFAOYSA-N 0 0 438.492 -0.456 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC(C(=O)N4CCN(C)CC4)CC3)cc2n(C)c1=O ZINC000064769043 1073116918 /nfs/dbraw/zinc/11/69/18/1073116918.db2.gz JOBXLILQIPJMBR-UHFFFAOYSA-N 0 0 442.520 -0.172 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)cc2n(C)c1=O ZINC000064776356 1073116768 /nfs/dbraw/zinc/11/67/68/1073116768.db2.gz RYSNUCBZIXQPQL-UHFFFAOYSA-N 0 0 427.465 -0.284 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCc1ccc(N2CCN(c3ncccn3)CC2)c(F)c1 ZINC000064780436 1072987031 /nfs/dbraw/zinc/98/70/31/1072987031.db2.gz HWBZMMJAYFERPA-UHFFFAOYSA-N 0 0 443.483 -0.189 20 0 IBADRN CCOC(=O)C(NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)OCC ZINC000064898217 1073116874 /nfs/dbraw/zinc/11/68/74/1073116874.db2.gz AZMVEBDQNNWUHA-UHFFFAOYSA-N 0 0 444.510 -0.260 20 0 IBADRN Cn1c(N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000064904717 1073116847 /nfs/dbraw/zinc/11/68/47/1073116847.db2.gz VODDXUZKFRQEKP-UHFFFAOYSA-N 0 0 440.432 -0.251 20 0 IBADRN Cn1c(N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000064904929 1073116826 /nfs/dbraw/zinc/11/68/26/1073116826.db2.gz VGRWPPVDSQXSDY-UHFFFAOYSA-N 0 0 444.517 -0.040 20 0 IBADRN Cn1c(N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000064905115 1073117488 /nfs/dbraw/zinc/11/74/88/1073117488.db2.gz IVULJLIOJWIEOB-UHFFFAOYSA-N 0 0 440.432 -0.251 20 0 IBADRN COc1ccc(C(=O)N2CCN(c3nc4c(c(=O)[nH]c(=O)n4C)n3C)CC2)cc1OC ZINC000064905671 1073117462 /nfs/dbraw/zinc/11/74/62/1073117462.db2.gz LZAHAAWWEWCIMU-UHFFFAOYSA-N 0 0 428.449 -0.060 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(c3nc4c(c(=O)[nH]c(=O)n4C)n3C)CC2)c1 ZINC000064905817 1073117609 /nfs/dbraw/zinc/11/76/09/1073117609.db2.gz PRTBGVGBDWTTAO-UHFFFAOYSA-N 0 0 428.449 -0.060 20 0 IBADRN COc1ccc(C(=O)N2CCN(c3nc4c(c(=O)[nH]c(=O)n4C)n3C)CC2)c(OC)c1 ZINC000064915127 1073117567 /nfs/dbraw/zinc/11/75/67/1073117567.db2.gz BKKKQIAIGYDWPV-UHFFFAOYSA-N 0 0 428.449 -0.060 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000064916553 1073117531 /nfs/dbraw/zinc/11/75/31/1073117531.db2.gz FHLYFFCFHQUKEQ-UHFFFAOYSA-N 0 0 429.543 -0.308 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)c2cccnc2S(=O)(=O)C(F)(F)F)CC1 ZINC000064918067 1070812823 /nfs/dbraw/zinc/81/28/23/1070812823.db2.gz MJXVCUTZJNJGQG-UHFFFAOYSA-N 0 0 434.396 -0.062 20 0 IBADRN CS(=O)(=O)NCCNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1Br ZINC000064943744 1070937573 /nfs/dbraw/zinc/93/75/73/1070937573.db2.gz FMGYBUYKMSTURY-UHFFFAOYSA-N 0 0 435.343 -0.320 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)c(=O)n(C)c1=O ZINC000065011956 1070936563 /nfs/dbraw/zinc/93/65/63/1070936563.db2.gz CZAJIXFBOLGRNW-UHFFFAOYSA-N 0 0 444.535 -0.361 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2cccc(OCCN3CCOCC3)c2)c(=O)n(C)c1=O ZINC000065012079 1073117305 /nfs/dbraw/zinc/11/73/05/1073117305.db2.gz OIPNDDRETBHJHF-UHFFFAOYSA-N 0 0 438.506 -0.727 20 0 IBADRN CN(CCCOc1ccc(S(C)(=O)=O)cc1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000065012502 1070936896 /nfs/dbraw/zinc/93/68/96/1070936896.db2.gz ALWUOOWGCWIXNI-UHFFFAOYSA-N 0 0 445.519 -0.423 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1ccc(C(=O)NCCN3CCOCC3)cc1)c2=O ZINC000065070598 1073173454 /nfs/dbraw/zinc/17/34/54/1073173454.db2.gz IOGQULBMDPHNLI-UHFFFAOYSA-N 0 0 439.476 -0.169 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)cn1C ZINC000065086167 1074131069 /nfs/dbraw/zinc/13/10/69/1074131069.db2.gz DGCFFJGFYVKDJU-UHFFFAOYSA-N 0 0 440.570 -0.638 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000065097108 1073117552 /nfs/dbraw/zinc/11/75/52/1073117552.db2.gz LSNWLZSZKLLXEK-UHFFFAOYSA-N 0 0 440.570 -0.590 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(C(=O)N(C)C)CC3)CC2)cn1C ZINC000065099684 1073117621 /nfs/dbraw/zinc/11/76/21/1073117621.db2.gz MWASMRCNJSSISV-UHFFFAOYSA-N 0 0 426.543 -0.045 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000065101102 1073117574 /nfs/dbraw/zinc/11/75/74/1073117574.db2.gz BBWMXUUYSQOOCR-UHFFFAOYSA-N 0 0 449.599 -0.083 20 0 IBADRN CCN(CCCNC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)S(C)(=O)=O ZINC000065112528 1073117404 /nfs/dbraw/zinc/11/74/04/1073117404.db2.gz HOZZIYNGCVXQPK-UHFFFAOYSA-N 0 0 449.599 -0.083 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000065174834 1073117571 /nfs/dbraw/zinc/11/75/71/1073117571.db2.gz ZONVAVZUPJIORW-MRXNPFEDSA-N 0 0 429.495 -0.704 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)c2ccc(NS(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)cc2)CCO1 ZINC000065174916 1073117438 /nfs/dbraw/zinc/11/74/38/1073117438.db2.gz RDVMPWUCPADNJE-MRXNPFEDSA-N 0 0 445.457 -0.017 20 0 IBADRN CCCCn1c2nc(CCC(=O)N3CCO[C@H](C(N)=O)C3)n(CC(C)C)c2c(=O)[nH]c1=O ZINC000065174951 1073117350 /nfs/dbraw/zinc/11/73/50/1073117350.db2.gz QJLULKMPYCVGAH-AWEZNQCLSA-N 0 0 448.524 -0.012 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N3CCO[C@H](C(N)=O)C3)c2)CC1 ZINC000065174956 1071043337 /nfs/dbraw/zinc/04/33/37/1071043337.db2.gz PHWUEMPUHHEQTL-INIZCTEOSA-N 0 0 444.941 -0.008 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)CCNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CCO1 ZINC000065175094 1073117424 /nfs/dbraw/zinc/11/74/24/1073117424.db2.gz AVAOANMNPAVSPU-LBPRGKRZSA-N 0 0 425.385 -0.034 20 0 IBADRN COc1ccc(CC(=O)NNC(=O)NCC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000065197345 1071045622 /nfs/dbraw/zinc/04/56/22/1071045622.db2.gz NSMSXTRCQZIXAC-UHFFFAOYSA-N 0 0 426.495 -0.001 20 0 IBADRN O=C(NCCc1cccs1)NNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000065203639 1071045696 /nfs/dbraw/zinc/04/56/96/1071045696.db2.gz DKYGSVKJAANUMI-LLVKDONJSA-N 0 0 427.508 -0.214 20 0 IBADRN COCCCNC(=O)NNC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000065204586 1071045734 /nfs/dbraw/zinc/04/57/34/1071045734.db2.gz KEMWBFBUCKITED-UHFFFAOYSA-N 0 0 427.527 -0.186 20 0 IBADRN COCCCNC(=O)NNC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000065205013 1071046189 /nfs/dbraw/zinc/04/61/89/1071046189.db2.gz QJKYGBJBOAHCRD-UHFFFAOYSA-N 0 0 427.527 -0.332 20 0 IBADRN COCCCNC(=O)NNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000065206847 1071046598 /nfs/dbraw/zinc/04/65/98/1071046598.db2.gz MEZOJOWLLRUTMQ-UHFFFAOYSA-N 0 0 430.483 -0.303 20 0 IBADRN COCCCNC(=O)NNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000065207720 1071046647 /nfs/dbraw/zinc/04/66/47/1071046647.db2.gz SPLZBJDODYZBES-UHFFFAOYSA-N 0 0 430.483 -0.117 20 0 IBADRN CCOC(=O)N1CCN(CCS(=O)(=O)CCN2CCN(C(=O)OCC)CC2)CC1 ZINC000065208297 1071046740 /nfs/dbraw/zinc/04/67/40/1071046740.db2.gz WPMKDOZYZQACJF-UHFFFAOYSA-N 0 0 434.559 -0.051 20 0 IBADRN COCCCNC(=O)NNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000065208356 1071046656 /nfs/dbraw/zinc/04/66/56/1071046656.db2.gz ZLTDPVHIHFXMOS-UHFFFAOYSA-N 0 0 444.510 -0.375 20 0 IBADRN O=C(C1CC1)N1CCN(CCS(=O)(=O)CCN2CCN(C(=O)C3CC3)CC2)CC1 ZINC000065208814 1071046695 /nfs/dbraw/zinc/04/66/95/1071046695.db2.gz MPTZDAGOBSOZHA-UHFFFAOYSA-N 0 0 426.583 -0.490 20 0 IBADRN COC(=O)CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1ccccc1 ZINC000065223402 1073176135 /nfs/dbraw/zinc/17/61/35/1073176135.db2.gz ZFWNQSKQJJJBCH-UHFFFAOYSA-N 0 0 432.521 -0.335 20 0 IBADRN CCN(CCCN1C(=O)CS/C1=C\C(=O)OC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000065234201 1071049337 /nfs/dbraw/zinc/04/93/37/1071049337.db2.gz UPAJWORGHCSGDA-WPBJZHHJSA-N 0 0 433.552 -0.409 20 0 IBADRN CCCCNC(=O)[C@@H]1CCCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000065253041 1070843868 /nfs/dbraw/zinc/84/38/68/1070843868.db2.gz FNRKGIBUXXLBQN-QZTJIDSGSA-N 0 0 428.599 -0.054 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2CCCc3cc(S(=O)(=O)N4CCCC4)ccc32)CCO1 ZINC000065263369 1073117474 /nfs/dbraw/zinc/11/74/74/1073117474.db2.gz RURKRTWGPSCVJH-SFHVURJKSA-N 0 0 436.534 -0.064 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2CCc3cc(S(=O)(=O)N4CCCCC4)ccc32)CCO1 ZINC000065263370 1073117562 /nfs/dbraw/zinc/11/75/62/1073117562.db2.gz MVNBNHXDIYRRNM-SFHVURJKSA-N 0 0 436.534 -0.064 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000065263373 1073117501 /nfs/dbraw/zinc/11/75/01/1073117501.db2.gz UPOWAIZRFMIEEG-HOCLYGCPSA-N 0 0 440.522 -0.471 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)CCO1 ZINC000065263410 1073117545 /nfs/dbraw/zinc/11/75/45/1073117545.db2.gz HOXYNBWJXWVLHC-SFHVURJKSA-N 0 0 436.534 -0.806 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)CCO1 ZINC000065263412 1073118053 /nfs/dbraw/zinc/11/80/53/1073118053.db2.gz XBXNOFKZXCUORV-HNNXBMFYSA-N 0 0 448.904 -0.502 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)CCO1 ZINC000065263416 1073118078 /nfs/dbraw/zinc/11/80/78/1073118078.db2.gz FPWNHGRWQAONAD-NSHDSACASA-N 0 0 436.943 -0.580 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCO[C@H](C(N)=O)C3)CC2)cc1 ZINC000065263421 1073118150 /nfs/dbraw/zinc/11/81/50/1073118150.db2.gz NYOXHQPGDJSADP-KRWDZBQOSA-N 0 0 440.522 -0.896 20 0 IBADRN CCCCn1c(N)c(N(C(=O)CN2CCO[C@@H](C(N)=O)C2)C2CCCC2)c(=O)[nH]c1=O ZINC000065263425 1073118116 /nfs/dbraw/zinc/11/81/16/1073118116.db2.gz RLVMTVILDBHERI-CQSZACIVSA-N 0 0 436.513 -0.619 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)CN2CCO[C@H](C(N)=O)C2)c1 ZINC000065263450 1073118084 /nfs/dbraw/zinc/11/80/84/1073118084.db2.gz KJUSNTCLNNHRKQ-INIZCTEOSA-N 0 0 428.511 -0.150 20 0 IBADRN Cc1ccc(NC(=O)CN2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000065263463 1073118144 /nfs/dbraw/zinc/11/81/44/1073118144.db2.gz BXPUIQMBPDEOCP-HNNXBMFYSA-N 0 0 426.495 -0.859 20 0 IBADRN CCCN(C(=O)CN1CCO[C@H](C(N)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000065263471 1070846606 /nfs/dbraw/zinc/84/66/06/1070846606.db2.gz OCTSLCMRTSIHAT-HNNXBMFYSA-N 0 0 444.492 -0.904 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)CCO1 ZINC000065263479 1070846841 /nfs/dbraw/zinc/84/68/41/1070846841.db2.gz FFOLYMSUDLFRNJ-HNNXBMFYSA-N 0 0 446.913 -0.515 20 0 IBADRN COc1ccc(NC(=O)CN2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000065263484 1070846992 /nfs/dbraw/zinc/84/69/92/1070846992.db2.gz KGQWSJJXAOXYOS-INIZCTEOSA-N 0 0 440.522 -0.006 20 0 IBADRN CCn1c(CN2CCO[C@@H](C(N)=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000065263500 1073118074 /nfs/dbraw/zinc/11/80/74/1073118074.db2.gz GDPASZGIWHYVES-QGZVFWFLSA-N 0 0 437.522 -0.237 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCO[C@H](C(N)=O)C1 ZINC000065263502 1073118070 /nfs/dbraw/zinc/11/80/70/1073118070.db2.gz QIUGAIDAWGWXAU-CJNGLKHVSA-N 0 0 426.495 -0.779 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3CCO[C@H](C(N)=O)C3)cc2)C[C@@H](C)O1 ZINC000065263507 1073118139 /nfs/dbraw/zinc/11/81/39/1073118139.db2.gz XVRWQVYKVVODGV-CPUCHLNUSA-N 0 0 440.522 -0.391 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4ccccc4c3)CC2)CCO1 ZINC000065263510 1070847228 /nfs/dbraw/zinc/84/72/28/1070847228.db2.gz IBYJFNVKAACSHF-IBGZPJMESA-N 0 0 446.529 -0.141 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CCO1 ZINC000065263527 1070846974 /nfs/dbraw/zinc/84/69/74/1070846974.db2.gz KMCXGMPVTIPKSF-HNNXBMFYSA-N 0 0 430.914 -0.641 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCO[C@H](C(N)=O)C1 ZINC000065263540 1073118169 /nfs/dbraw/zinc/11/81/69/1073118169.db2.gz CERKIPLDYVULJR-BBRMVZONSA-N 0 0 426.495 -0.779 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000065263547 1070846658 /nfs/dbraw/zinc/84/66/58/1070846658.db2.gz BJIKEVLQICRGFM-AWEZNQCLSA-N 0 0 446.913 -0.515 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000065263693 1073118127 /nfs/dbraw/zinc/11/81/27/1073118127.db2.gz YOMGDEBYHVKBAB-LBPRGKRZSA-N 0 0 444.535 -0.809 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2n(C)c1=O ZINC000065263804 1073118175 /nfs/dbraw/zinc/11/81/75/1073118175.db2.gz BZCGEPLYLSOEMR-UHFFFAOYSA-N 0 0 437.522 -0.958 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000065263930 1073512890 /nfs/dbraw/zinc/51/28/90/1073512890.db2.gz FXDVWATWCVHSTM-UHFFFAOYSA-N 0 0 445.567 -0.230 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2n(C)c1=O ZINC000065264370 1070846809 /nfs/dbraw/zinc/84/68/09/1070846809.db2.gz VTHXNYNFBHGCGH-UHFFFAOYSA-N 0 0 435.506 -0.668 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCN3CCN(c4ncccn4)CC3)cc2n(C)c1=O ZINC000065264412 1073118040 /nfs/dbraw/zinc/11/80/40/1073118040.db2.gz SCTPLOUSGBESSI-UHFFFAOYSA-N 0 0 431.522 -0.233 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000065267843 1073118061 /nfs/dbraw/zinc/11/80/61/1073118061.db2.gz CSMWZZZFYIUGBH-UHFFFAOYSA-N 0 0 425.554 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000065267857 1073118122 /nfs/dbraw/zinc/11/81/22/1073118122.db2.gz HVROKIJHLWDNKV-UHFFFAOYSA-N 0 0 445.560 -0.305 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000065282608 1073118153 /nfs/dbraw/zinc/11/81/53/1073118153.db2.gz IWCDICFARSNSLH-UHFFFAOYSA-N 0 0 448.567 -0.164 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NCC(=O)Nc2cccc3c(=O)[nH][nH]c(=O)c23)C1 ZINC000065309341 1073118089 /nfs/dbraw/zinc/11/80/89/1073118089.db2.gz KQOHERCUXNMKTN-NSHDSACASA-N 0 0 437.478 -0.255 20 0 IBADRN O=C(CNC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000065309426 1073118754 /nfs/dbraw/zinc/11/87/54/1073118754.db2.gz NOATUMREYAVVJQ-UHFFFAOYSA-N 0 0 436.384 -0.613 20 0 IBADRN O=C(CNC(=O)CN1C(=O)NC2(CCCCC2)C1=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000065309478 1073118739 /nfs/dbraw/zinc/11/87/39/1073118739.db2.gz LPDNHXGLHQJCNK-UHFFFAOYSA-N 0 0 442.432 -0.062 20 0 IBADRN O=C(CNC(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000065309489 1073118703 /nfs/dbraw/zinc/11/87/03/1073118703.db2.gz VQIMKUQSXYEACD-GHMZBOCLSA-N 0 0 427.417 -0.141 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000065320072 1073456791 /nfs/dbraw/zinc/45/67/91/1073456791.db2.gz OVRDDCZVVQXWOW-UHFFFAOYSA-N 0 0 446.595 -0.108 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000065320339 1073118811 /nfs/dbraw/zinc/11/88/11/1073118811.db2.gz AKRVWTAAWVHPIV-UHFFFAOYSA-N 0 0 446.595 -0.253 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000065321093 1073540760 /nfs/dbraw/zinc/54/07/60/1073540760.db2.gz PUTHVJCCHJXWQE-GOSISDBHSA-N 0 0 426.495 -0.092 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000065321109 1073118772 /nfs/dbraw/zinc/11/87/72/1073118772.db2.gz LEELROGLRXKBCN-UHFFFAOYSA-N 0 0 425.554 -0.172 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000065321252 1073118801 /nfs/dbraw/zinc/11/88/01/1073118801.db2.gz DUCAAWKBKOOCPF-UHFFFAOYSA-N 0 0 449.551 -0.225 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000065321257 1073118640 /nfs/dbraw/zinc/11/86/40/1073118640.db2.gz JTGZBOKAJUJGCA-UHFFFAOYSA-N 0 0 449.551 -0.225 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCCNS(=O)(=O)CC)c1 ZINC000065321271 1073118781 /nfs/dbraw/zinc/11/87/81/1073118781.db2.gz VISQODHZWPNQGY-UHFFFAOYSA-N 0 0 436.556 -0.676 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000065321282 1073456536 /nfs/dbraw/zinc/45/65/36/1073456536.db2.gz VIYCXXWRHXUOIM-ZDUSSCGKSA-N 0 0 449.551 -0.040 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000065321342 1073456771 /nfs/dbraw/zinc/45/67/71/1073456771.db2.gz HMYYQELYDBDRAT-LBPRGKRZSA-N 0 0 434.540 -0.243 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000065321488 1073118805 /nfs/dbraw/zinc/11/88/05/1073118805.db2.gz AHKZJZXDFCDPOQ-UHFFFAOYSA-N 0 0 434.540 -0.888 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000065321509 1073118689 /nfs/dbraw/zinc/11/86/89/1073118689.db2.gz MWLKNPZDHQCEJQ-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000065321526 1073541860 /nfs/dbraw/zinc/54/18/60/1073541860.db2.gz FZLGYPAWECGSKX-UHFFFAOYSA-N 0 0 433.508 -0.463 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCC(=O)N3CCN(C)CC3)CC2)cn1C ZINC000065323522 1073118787 /nfs/dbraw/zinc/11/87/87/1073118787.db2.gz FHEUNFGEEVTVFJ-UHFFFAOYSA-N 0 0 440.570 -0.590 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000065323533 1073118723 /nfs/dbraw/zinc/11/87/23/1073118723.db2.gz XPXJYQVHSJKQQG-UHFFFAOYSA-N 0 0 429.543 -0.260 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCO[C@H](C(N)=O)C1 ZINC000065365590 1073118657 /nfs/dbraw/zinc/11/86/57/1073118657.db2.gz OFAWCDIDKYEIHL-HNNXBMFYSA-N 0 0 428.467 -0.566 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCO[C@H](C(N)=O)C1 ZINC000065366315 1073118615 /nfs/dbraw/zinc/11/86/15/1073118615.db2.gz UUFCHRKWSDSJKF-INIZCTEOSA-N 0 0 442.494 -0.176 20 0 IBADRN CCOc1ccc(NC(=O)N2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000065366814 1073118564 /nfs/dbraw/zinc/11/85/64/1073118564.db2.gz GTJOLPBCHOZBEG-HNNXBMFYSA-N 0 0 442.494 -0.176 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000065379595 1073458209 /nfs/dbraw/zinc/45/82/09/1073458209.db2.gz LTOMRSUGSZGFBK-UHFFFAOYSA-N 0 0 425.511 -0.613 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CCO1 ZINC000065380402 1073458131 /nfs/dbraw/zinc/45/81/31/1073458131.db2.gz IJJXXBAIMMYYQT-HOCLYGCPSA-N 0 0 426.495 -0.460 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000065400407 1073118746 /nfs/dbraw/zinc/11/87/46/1073118746.db2.gz FLBZZSNZFFHKEU-GFCCVEGCSA-N 0 0 430.465 -0.665 20 0 IBADRN CCCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000065401084 1073118670 /nfs/dbraw/zinc/11/86/70/1073118670.db2.gz OUWZETLRSVCWBC-OAHLLOKOSA-N 0 0 431.493 -0.005 20 0 IBADRN CCCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000065401337 1073118628 /nfs/dbraw/zinc/11/86/28/1073118628.db2.gz KKGYSEAYAGZTQG-CYBMUJFWSA-N 0 0 444.492 -0.274 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000065401373 1073570233 /nfs/dbraw/zinc/57/02/33/1073570233.db2.gz IBOBMBGWRLTWRN-UHFFFAOYSA-N 0 0 445.929 -0.340 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000065401402 1073118589 /nfs/dbraw/zinc/11/85/89/1073118589.db2.gz DMWIMWKSTUHJFH-UHFFFAOYSA-N 0 0 432.524 -0.489 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000065401417 1073570225 /nfs/dbraw/zinc/57/02/25/1073570225.db2.gz DMCMZRCNDRETGT-UHFFFAOYSA-N 0 0 436.512 -0.747 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000065401425 1073119331 /nfs/dbraw/zinc/11/93/31/1073119331.db2.gz WJZWTAREYRRDQO-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000065401577 1073119370 /nfs/dbraw/zinc/11/93/70/1073119370.db2.gz LSSBKTSNIANIJM-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000065401612 1073119261 /nfs/dbraw/zinc/11/92/61/1073119261.db2.gz NTNUJFBQYUZUBQ-UHFFFAOYSA-N 0 0 427.512 -0.232 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C)cc1OCC(=O)N(C)C ZINC000065401629 1073119368 /nfs/dbraw/zinc/11/93/68/1073119368.db2.gz ZIKMUKJWUSMOAB-UHFFFAOYSA-N 0 0 428.511 -0.154 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1C ZINC000065401645 1073119328 /nfs/dbraw/zinc/11/93/28/1073119328.db2.gz IYDHSYDYKRPHJR-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000065401673 1073119213 /nfs/dbraw/zinc/11/92/13/1073119213.db2.gz OSGHNAYFCVWCCH-UHFFFAOYSA-N 0 0 426.539 -0.055 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCNS(=O)(=O)CC)c2ccccc2O1 ZINC000065401714 1073119373 /nfs/dbraw/zinc/11/93/73/1073119373.db2.gz GLAZRKSXSOGVGN-INIZCTEOSA-N 0 0 440.522 -0.248 20 0 IBADRN COc1c(C)cnc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1C ZINC000065402824 1073570243 /nfs/dbraw/zinc/57/02/43/1073570243.db2.gz WGHHDUXAFFHVSK-UHFFFAOYSA-N 0 0 426.433 -0.092 20 0 IBADRN CCCC[C@H](NC(N)=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000065586014 1073185759 /nfs/dbraw/zinc/18/57/59/1073185759.db2.gz AETPPGCJHNXFDZ-HNNXBMFYSA-N 0 0 426.543 -0.123 20 0 IBADRN O=C(CN1CCN(Cc2cscn2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000065952424 1073119358 /nfs/dbraw/zinc/11/93/58/1073119358.db2.gz CNQNXDDLCWYHTR-QGZVFWFLSA-N 0 0 427.596 -0.408 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000066042153 1073190886 /nfs/dbraw/zinc/19/08/86/1073190886.db2.gz PPBJDSIKBCNMPB-FQEVSTJZSA-N 0 0 427.505 -0.306 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCN3C(=O)c4ccccc4C3=O)c2c(=O)n(C)c1=O ZINC000069303240 1073013130 /nfs/dbraw/zinc/01/31/30/1073013130.db2.gz WFMIKGQBNCOQIO-UHFFFAOYSA-N 0 0 425.401 -0.337 20 0 IBADRN Cn1c2ncn(CC(=O)NCC3CCN(C(=O)c4cccnc4)CC3)c2c(=O)n(C)c1=O ZINC000070927056 1074205019 /nfs/dbraw/zinc/20/50/19/1074205019.db2.gz SPPNWKGSAFHCAL-UHFFFAOYSA-N 0 0 439.476 -0.503 20 0 IBADRN CCCCn1c2nc(Cn3c(Cl)nc4c3c(=O)n(C)c(=O)n4C)n(C)c2c(=O)[nH]c1=O ZINC000071802854 1073039813 /nfs/dbraw/zinc/03/98/13/1073039813.db2.gz ZFSBOPIUNUNQRN-UHFFFAOYSA-N 0 0 448.871 -0.328 20 0 IBADRN Cn1c(N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000072018776 1072882794 /nfs/dbraw/zinc/88/27/94/1072882794.db2.gz QYUVDJVAQOWWSZ-UHFFFAOYSA-N 0 0 428.478 -0.648 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3ccc(F)cc3)CC2)[C@H](O)[C@@H]1O ZINC000084729937 563178463 /nfs/dbraw/zinc/17/84/63/563178463.db2.gz AWBAZYGPMCFXKS-WVSUBDOOSA-N 0 0 430.440 -0.097 20 0 IBADRN CCOC(=O)c1cnn2ccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cc12 ZINC000090653549 1073335059 /nfs/dbraw/zinc/33/50/59/1073335059.db2.gz ZPODRMJLUSSBIF-UHFFFAOYSA-N 0 0 425.405 -0.103 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000095995813 1071043013 /nfs/dbraw/zinc/04/30/13/1071043013.db2.gz QUYADZUJWZDOMY-AWEZNQCLSA-N 0 0 427.435 -0.772 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)N3CCN(C)CC3)ncn2-c2ccccc2S1(=O)=O ZINC000096147543 1074127444 /nfs/dbraw/zinc/12/74/44/1074127444.db2.gz WWFCDZROHGITKT-UHFFFAOYSA-N 0 0 446.533 -0.148 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000097112054 1072535307 /nfs/dbraw/zinc/53/53/07/1072535307.db2.gz WYRJRWUDPKLICO-UHFFFAOYSA-N 0 0 425.474 -0.090 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cccc(-n3cnnn3)c2)c(=O)n(C)c1=O ZINC000104514766 1074393899 /nfs/dbraw/zinc/39/38/99/1074393899.db2.gz DMVBXJHNPNIEKC-UHFFFAOYSA-N 0 0 427.421 -0.199 20 0 IBADRN COc1cccc(C(=O)NCC(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c1 ZINC000104584472 1074394295 /nfs/dbraw/zinc/39/42/95/1074394295.db2.gz KTUYEFXBPSMRDS-UHFFFAOYSA-N 0 0 446.460 -0.050 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CSc2n[nH]c(=O)n2C[C@@H]2CCCO2)c(=O)n(C)c1=O ZINC000104603660 1072593398 /nfs/dbraw/zinc/59/33/98/1072593398.db2.gz BYDDUKGBYKDTDV-NSHDSACASA-N 0 0 438.510 -0.176 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CSc2n[nH]c(=O)n2C[C@H]2CCCO2)c(=O)n(C)c1=O ZINC000104603664 1072593315 /nfs/dbraw/zinc/59/33/15/1072593315.db2.gz BYDDUKGBYKDTDV-LLVKDONJSA-N 0 0 438.510 -0.176 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000104624514 1074478681 /nfs/dbraw/zinc/47/86/81/1074478681.db2.gz ZKLDXQIZEMUTQG-OAHLLOKOSA-N 0 0 441.444 -0.379 20 0 IBADRN COCCN(C(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000104636104 1073369375 /nfs/dbraw/zinc/36/93/75/1073369375.db2.gz CRXCUILNPXOXDY-OKILXGFUSA-N 0 0 449.508 -0.071 20 0 IBADRN COCCN(C(=O)CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000104636109 1073369317 /nfs/dbraw/zinc/36/93/17/1073369317.db2.gz CRXCUILNPXOXDY-KBPBESRZSA-N 0 0 449.508 -0.071 20 0 IBADRN COCCN(C(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000104636113 1073369382 /nfs/dbraw/zinc/36/93/82/1073369382.db2.gz CRXCUILNPXOXDY-ZIAGYGMSSA-N 0 0 449.508 -0.071 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)c(=O)[nH]c1=O ZINC000104636120 1073369275 /nfs/dbraw/zinc/36/92/75/1073369275.db2.gz ZOSBCZVSWFRJTD-BETUJISGSA-N 0 0 435.481 -0.317 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CN2C(=O)[C@H]3CCCC[C@@H]3C2=O)c(=O)[nH]c1=O ZINC000104636123 1073369427 /nfs/dbraw/zinc/36/94/27/1073369427.db2.gz ZOSBCZVSWFRJTD-STQMWFEESA-N 0 0 435.481 -0.317 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CN2C(=O)[C@@H]3CCCC[C@H]3C2=O)c(=O)[nH]c1=O ZINC000104636128 1073369387 /nfs/dbraw/zinc/36/93/87/1073369387.db2.gz ZOSBCZVSWFRJTD-CHWSQXEVSA-N 0 0 435.481 -0.317 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)O[C@@H](C)C(N)=O)c(=O)n2Cc1ccccc1 ZINC000104638604 1074478686 /nfs/dbraw/zinc/47/86/86/1074478686.db2.gz AUDVCTAZKHBAFF-ZDUSSCGKSA-N 0 0 429.433 -0.529 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)O[C@H](C)C(N)=O)c(=O)n2Cc1ccccc1 ZINC000104638608 1074478676 /nfs/dbraw/zinc/47/86/76/1074478676.db2.gz AUDVCTAZKHBAFF-CYBMUJFWSA-N 0 0 429.433 -0.529 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@@H]2CS[C@@]3(C)CCC(=O)N23)c(=O)[nH]c1=O ZINC000104722262 1071349305 /nfs/dbraw/zinc/34/93/05/1071349305.db2.gz PXQNFGUKXBUHPG-VOJFVSQTSA-N 0 0 425.511 -0.038 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@H]2CS[C@@]3(C)CCC(=O)N23)c(=O)[nH]c1=O ZINC000104722268 1071349518 /nfs/dbraw/zinc/34/95/18/1071349518.db2.gz PXQNFGUKXBUHPG-ZMZPIMSZSA-N 0 0 425.511 -0.038 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@@H]2CS[C@]3(C)CCC(=O)N23)c(=O)[nH]c1=O ZINC000104722273 1071349427 /nfs/dbraw/zinc/34/94/27/1071349427.db2.gz PXQNFGUKXBUHPG-BBATYDOGSA-N 0 0 425.511 -0.038 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@H]2CS[C@]3(C)CCC(=O)N23)c(=O)[nH]c1=O ZINC000104722278 1071349400 /nfs/dbraw/zinc/34/94/00/1071349400.db2.gz PXQNFGUKXBUHPG-ADLMAVQZSA-N 0 0 425.511 -0.038 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000104779553 1071431127 /nfs/dbraw/zinc/43/11/27/1071431127.db2.gz BSJPNVCMXRQUJL-UHFFFAOYSA-N 0 0 435.462 -0.736 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CSc1n[nH]c(=O)n1C ZINC000104783050 1071350478 /nfs/dbraw/zinc/35/04/78/1071350478.db2.gz WMJVEAMDQQESTE-UHFFFAOYSA-N 0 0 443.507 -0.131 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cn3c(=O)oc4cc([N+](=O)[O-])ccc43)c2c(=O)n(C)c1=O ZINC000104815029 1071433629 /nfs/dbraw/zinc/43/36/29/1071433629.db2.gz ZHBRQINDXIUJPI-UHFFFAOYSA-N 0 0 444.360 -0.507 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCN(CC(=O)N4CCCC4)CC3)nc2)CC1 ZINC000104826605 1072602439 /nfs/dbraw/zinc/60/24/39/1072602439.db2.gz GOKBAOJZMGBJQZ-UHFFFAOYSA-N 0 0 436.582 -0.238 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)NC[C@H]1COc2ccccc2O1 ZINC000104827200 1071434520 /nfs/dbraw/zinc/43/45/20/1071434520.db2.gz VJOYDFIAPQHZLN-AWEZNQCLSA-N 0 0 433.442 -0.017 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)NC[C@@H]1COc2ccccc2O1 ZINC000104827202 1071434547 /nfs/dbraw/zinc/43/45/47/1071434547.db2.gz VJOYDFIAPQHZLN-CQSZACIVSA-N 0 0 433.442 -0.017 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)CN2C(=O)CCC2=O)c(N)n(Cc2ccccc2)c1=O ZINC000104837963 1071436256 /nfs/dbraw/zinc/43/62/56/1071436256.db2.gz JAQLRKUJVSFUOL-UHFFFAOYSA-N 0 0 442.428 -0.465 20 0 IBADRN CNC(=O)CN1CCN(c2ccc([N+](=O)[O-])cc2S(=O)(=O)N2CCOCC2)CC1 ZINC000104861868 1071061827 /nfs/dbraw/zinc/06/18/27/1071061827.db2.gz JDWDADNOVVENRI-UHFFFAOYSA-N 0 0 427.483 -0.516 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)COC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)cc2C1=O ZINC000104871951 1071437809 /nfs/dbraw/zinc/43/78/09/1071437809.db2.gz FESVCDAUPUROGF-UHFFFAOYSA-N 0 0 444.425 -0.108 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000104908223 1072604135 /nfs/dbraw/zinc/60/41/35/1072604135.db2.gz SYDZCEHNVWPHMB-UHFFFAOYSA-N 0 0 439.490 -0.155 20 0 IBADRN NC(=O)NC(=O)CNc1cc(S(=O)(=O)N2CCOCC2)ccc1NC[C@@H]1CCCO1 ZINC000104909163 1074398488 /nfs/dbraw/zinc/39/84/88/1074398488.db2.gz KPQZWXRECPDBBV-ZDUSSCGKSA-N 0 0 441.510 -0.095 20 0 IBADRN NC(=O)NC(=O)CNc1cc(S(=O)(=O)N2CCOCC2)ccc1NC[C@H]1CCCO1 ZINC000104909166 1074398508 /nfs/dbraw/zinc/39/85/08/1074398508.db2.gz KPQZWXRECPDBBV-CYBMUJFWSA-N 0 0 441.510 -0.095 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000104978975 1074399403 /nfs/dbraw/zinc/39/94/03/1074399403.db2.gz XYDLFQUONWKNGX-UHFFFAOYSA-N 0 0 441.437 -0.649 20 0 IBADRN CCCCn1c2nc(COC(=O)CN3C(=O)N[C@](C)(CC)C3=O)n(C)c2c(=O)[nH]c1=O ZINC000104979603 1074399278 /nfs/dbraw/zinc/39/92/78/1074399278.db2.gz PGZLEJXUEVHBTN-LJQANCHMSA-N 0 0 434.453 -0.013 20 0 IBADRN CCCCn1c2nc(COC(=O)CN3C(=O)N[C@@](C)(CC)C3=O)n(C)c2c(=O)[nH]c1=O ZINC000104979605 1074399312 /nfs/dbraw/zinc/39/93/12/1074399312.db2.gz PGZLEJXUEVHBTN-IBGZPJMESA-N 0 0 434.453 -0.013 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cn3nc(-c4ccc(F)cc4)oc3=O)c2c(=O)n(C)c1=O ZINC000104990500 1074399866 /nfs/dbraw/zinc/39/98/66/1074399866.db2.gz VPZOLEBUYIXQHR-UHFFFAOYSA-N 0 0 444.379 -0.367 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)cc1 ZINC000104996060 1074399855 /nfs/dbraw/zinc/39/98/55/1074399855.db2.gz PAQUBBWZUJNMSE-UHFFFAOYSA-N 0 0 427.460 -0.246 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000105016172 1072604595 /nfs/dbraw/zinc/60/45/95/1072604595.db2.gz KVFQUXLYMGSLKV-UHFFFAOYSA-N 0 0 438.550 -0.044 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCO2)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000105022550 1072612479 /nfs/dbraw/zinc/61/24/79/1072612479.db2.gz PVIVNKHUVBATBZ-KURKYZTESA-N 0 0 443.566 -0.496 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCO2)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000105022552 1072612571 /nfs/dbraw/zinc/61/25/71/1072612571.db2.gz PVIVNKHUVBATBZ-SQNIBIBYSA-N 0 0 443.566 -0.496 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCO2)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000105022555 1072612517 /nfs/dbraw/zinc/61/25/17/1072612517.db2.gz PVIVNKHUVBATBZ-KSZLIROESA-N 0 0 443.566 -0.496 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCO2)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000105022558 1072612537 /nfs/dbraw/zinc/61/25/37/1072612537.db2.gz PVIVNKHUVBATBZ-BZSNNMDCSA-N 0 0 443.566 -0.496 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000105048300 1072860737 /nfs/dbraw/zinc/86/07/37/1072860737.db2.gz NTLLIZLRDRTKJT-INIZCTEOSA-N 0 0 426.495 -0.501 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000105048304 1072860565 /nfs/dbraw/zinc/86/05/65/1072860565.db2.gz NTLLIZLRDRTKJT-MRXNPFEDSA-N 0 0 426.495 -0.501 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCc3nc4ccccc4c(=O)n3C)c2c(=O)n(C)c1=O ZINC000105087103 1074402402 /nfs/dbraw/zinc/40/24/02/1074402402.db2.gz ZCVAXMGAPXVGKN-UHFFFAOYSA-N 0 0 438.444 -0.143 20 0 IBADRN O=C(COC(=O)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000105095410 1074402391 /nfs/dbraw/zinc/40/23/91/1074402391.db2.gz NJIPEPINHUHMCT-CYBMUJFWSA-N 0 0 448.494 -0.364 20 0 IBADRN O=C(COC(=O)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000105095414 1074402367 /nfs/dbraw/zinc/40/23/67/1074402367.db2.gz NJIPEPINHUHMCT-ZDUSSCGKSA-N 0 0 448.494 -0.364 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)[C@@H]3CCCN3S(C)(=O)=O)cc2)CC1 ZINC000105117490 1072891681 /nfs/dbraw/zinc/89/16/81/1072891681.db2.gz WOMMIKJSMONWKB-INIZCTEOSA-N 0 0 430.552 -0.015 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)[C@H]3CCCN3S(C)(=O)=O)cc2)CC1 ZINC000105117494 1072891741 /nfs/dbraw/zinc/89/17/41/1072891741.db2.gz WOMMIKJSMONWKB-MRXNPFEDSA-N 0 0 430.552 -0.015 20 0 IBADRN CCCCn1c2nc(CN3CCN(CC(=O)NCCOC)CC3)n(C)c2c(=O)[nH]c1=O ZINC000105137478 1072884394 /nfs/dbraw/zinc/88/43/94/1072884394.db2.gz SRJZPQKFGONGAS-UHFFFAOYSA-N 0 0 435.529 -0.896 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cc1 ZINC000105148544 1072884352 /nfs/dbraw/zinc/88/43/52/1072884352.db2.gz OFIZNARCGINFMI-UHFFFAOYSA-N 0 0 438.531 -0.039 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000105153144 1073369694 /nfs/dbraw/zinc/36/96/94/1073369694.db2.gz GVDRJLADYKPCKY-MRXNPFEDSA-N 0 0 434.584 -0.063 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000105153152 1073369771 /nfs/dbraw/zinc/36/97/71/1073369771.db2.gz GVDRJLADYKPCKY-INIZCTEOSA-N 0 0 434.584 -0.063 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)c(=O)n(C)c1=O ZINC000105154653 1073369805 /nfs/dbraw/zinc/36/98/05/1073369805.db2.gz SJQYPVKPRAYAML-HNNXBMFYSA-N 0 0 426.470 -0.425 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)c(=O)n(C)c1=O ZINC000105154661 1073369713 /nfs/dbraw/zinc/36/97/13/1073369713.db2.gz SJQYPVKPRAYAML-OAHLLOKOSA-N 0 0 426.470 -0.425 20 0 IBADRN CCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000105154723 1072884696 /nfs/dbraw/zinc/88/46/96/1072884696.db2.gz AKEPXEBWBDIBEX-UHFFFAOYSA-N 0 0 425.554 -0.230 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000105174800 1072957121 /nfs/dbraw/zinc/95/71/21/1072957121.db2.gz MEWWVAUJQCHXPX-UHFFFAOYSA-N 0 0 447.535 -0.380 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000105225544 1073000344 /nfs/dbraw/zinc/00/03/44/1073000344.db2.gz JDPKBZMAPVXYBG-UHFFFAOYSA-N 0 0 442.538 -0.270 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000105227682 1073000453 /nfs/dbraw/zinc/00/04/53/1073000453.db2.gz WPSCEHWMYNQWOC-UHFFFAOYSA-N 0 0 442.538 -0.270 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000105227686 1073000555 /nfs/dbraw/zinc/00/05/55/1073000555.db2.gz CICOIAYVZROOHA-UHFFFAOYSA-N 0 0 440.566 -0.255 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000105227797 1073000565 /nfs/dbraw/zinc/00/05/65/1073000565.db2.gz AWJVTGNOYLGDEV-UHFFFAOYSA-N 0 0 444.529 -0.257 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000105236091 1074403226 /nfs/dbraw/zinc/40/32/26/1074403226.db2.gz MVSGGXOMWZCVIG-OAHLLOKOSA-N 0 0 441.554 -0.836 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000105236095 1074403174 /nfs/dbraw/zinc/40/31/74/1074403174.db2.gz MVSGGXOMWZCVIG-HNNXBMFYSA-N 0 0 441.554 -0.836 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000105237552 1073589678 /nfs/dbraw/zinc/58/96/78/1073589678.db2.gz ZMCWMRRCIBSHKL-MRXNPFEDSA-N 0 0 445.563 -0.314 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000105237556 1071062543 /nfs/dbraw/zinc/06/25/43/1071062543.db2.gz ZMCWMRRCIBSHKL-INIZCTEOSA-N 0 0 445.563 -0.314 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000105237791 1071356241 /nfs/dbraw/zinc/35/62/41/1071356241.db2.gz HDWYNMDSUBYDJY-UHFFFAOYSA-N 0 0 425.463 -0.835 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000105242829 1074403112 /nfs/dbraw/zinc/40/31/12/1074403112.db2.gz QAEIUQKNRYPPKD-UHFFFAOYSA-N 0 0 426.447 -0.222 20 0 IBADRN CN1N=C(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCC1=O ZINC000105250802 1074403211 /nfs/dbraw/zinc/40/32/11/1074403211.db2.gz PKNXRLQKELKMMG-UHFFFAOYSA-N 0 0 440.453 -0.190 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)[C@@H]2CCCN2S(C)(=O)=O)CC1 ZINC000105264171 1073589522 /nfs/dbraw/zinc/58/95/22/1073589522.db2.gz BYSFRSYZPXVWSZ-AWEZNQCLSA-N 0 0 434.540 -0.062 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000105264175 1073589606 /nfs/dbraw/zinc/58/96/06/1073589606.db2.gz BYSFRSYZPXVWSZ-CQSZACIVSA-N 0 0 434.540 -0.062 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000105266605 1073000125 /nfs/dbraw/zinc/00/01/25/1073000125.db2.gz FONAVJMLNYGAKD-HZPDHXFCSA-N 0 0 434.493 -0.919 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000105266607 1073000356 /nfs/dbraw/zinc/00/03/56/1073000356.db2.gz FONAVJMLNYGAKD-IYBDPMFKSA-N 0 0 434.493 -0.919 20 0 IBADRN O=C(CCN1C(=O)[C@H]2CCCC[C@@H]2C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000105266612 1073000560 /nfs/dbraw/zinc/00/05/60/1073000560.db2.gz FONAVJMLNYGAKD-HOTGVXAUSA-N 0 0 434.493 -0.919 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(=O)C12CCCCC2 ZINC000105267792 1073000394 /nfs/dbraw/zinc/00/03/94/1073000394.db2.gz PTYCUJQWJAKDAN-UHFFFAOYSA-N 0 0 449.508 -0.887 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CN3C(=O)N[C@]4(CCc5ccccc54)C3=O)cnc2n(C)c1=O ZINC000105289233 1074404224 /nfs/dbraw/zinc/40/42/24/1074404224.db2.gz MBHDPKJFZGDHSF-QFIPXVFZSA-N 0 0 448.439 -0.036 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CN3C(=O)N[C@@]4(CCc5ccccc54)C3=O)cnc2n(C)c1=O ZINC000105289236 1074404233 /nfs/dbraw/zinc/40/42/33/1074404233.db2.gz MBHDPKJFZGDHSF-JOCHJYFZSA-N 0 0 448.439 -0.036 20 0 IBADRN COc1cc(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc(OC)c1OCC(N)=O ZINC000105291905 1073000536 /nfs/dbraw/zinc/00/05/36/1073000536.db2.gz UHULYDPLRXIJQR-UHFFFAOYSA-N 0 0 443.416 -0.234 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1=O ZINC000105292295 1074404146 /nfs/dbraw/zinc/40/41/46/1074404146.db2.gz YNDAJMCODJREBB-UHFFFAOYSA-N 0 0 436.428 -0.233 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2=O)c1 ZINC000105353784 1074406000 /nfs/dbraw/zinc/40/60/00/1074406000.db2.gz WZELHSKAEHBLDT-SFHVURJKSA-N 0 0 439.494 -0.929 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2=O)c1 ZINC000105353785 1074405900 /nfs/dbraw/zinc/40/59/00/1074405900.db2.gz WZELHSKAEHBLDT-GOSISDBHSA-N 0 0 439.494 -0.929 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000105383400 1074406518 /nfs/dbraw/zinc/40/65/18/1074406518.db2.gz IHPHNNIDIJYTGH-CYBMUJFWSA-N 0 0 428.463 -0.083 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000105383403 1074406436 /nfs/dbraw/zinc/40/64/36/1074406436.db2.gz IHPHNNIDIJYTGH-ZDUSSCGKSA-N 0 0 428.463 -0.083 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cc(S(=O)(=O)N(C)C)ccc2NCCO)CCO1 ZINC000105391392 1074406476 /nfs/dbraw/zinc/40/64/76/1074406476.db2.gz GPQMDIGSJOYHOR-CYBMUJFWSA-N 0 0 429.495 -0.255 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2cc(S(=O)(=O)N(C)C)ccc2NCCO)CCO1 ZINC000105391395 1074406616 /nfs/dbraw/zinc/40/66/16/1074406616.db2.gz GPQMDIGSJOYHOR-ZDUSSCGKSA-N 0 0 429.495 -0.255 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC000105391996 1074406491 /nfs/dbraw/zinc/40/64/91/1074406491.db2.gz IJDZKGYTZGETKB-CYBMUJFWSA-N 0 0 427.479 -0.425 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC000105391999 1074406604 /nfs/dbraw/zinc/40/66/04/1074406604.db2.gz IJDZKGYTZGETKB-ZDUSSCGKSA-N 0 0 427.479 -0.425 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC(NS(C)(=O)=O)CC2)c1 ZINC000105398782 1072899094 /nfs/dbraw/zinc/89/90/94/1072899094.db2.gz DQTHAJVANUDZHZ-UHFFFAOYSA-N 0 0 434.492 -0.038 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)CC1 ZINC000105430768 1071364366 /nfs/dbraw/zinc/36/43/66/1071364366.db2.gz OKBHOCCDIFWYRC-INIZCTEOSA-N 0 0 436.582 -0.193 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)CC1 ZINC000105430770 1071364284 /nfs/dbraw/zinc/36/42/84/1071364284.db2.gz OKBHOCCDIFWYRC-MRXNPFEDSA-N 0 0 436.582 -0.193 20 0 IBADRN CCCCn1c2nc(COC(=O)Cn3cnc4c(cnn4C)c3=O)n(C)c2c(=O)[nH]c1=O ZINC000105479230 1074407013 /nfs/dbraw/zinc/40/70/13/1074407013.db2.gz KDCBIBSCQOSSNN-UHFFFAOYSA-N 0 0 442.436 -0.590 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C(=O)C12CCCCC2 ZINC000105482724 1073000546 /nfs/dbraw/zinc/00/05/46/1073000546.db2.gz QPVOXTPSVUMFRO-UHFFFAOYSA-N 0 0 435.525 -0.414 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000105482731 1071367492 /nfs/dbraw/zinc/36/74/92/1071367492.db2.gz CFWRUBDTDKRCIB-UHFFFAOYSA-N 0 0 449.552 -0.120 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)Nc1ccc(N2CCOCC2)cc1 ZINC000105491798 1074407070 /nfs/dbraw/zinc/40/70/70/1074407070.db2.gz UMYQJUKVLUJCNN-UHFFFAOYSA-N 0 0 431.537 -0.062 20 0 IBADRN CCCCn1c2nc(CN3CCN(C(=O)CN4CCOCC4)CC3)n(C)c2c(=O)[nH]c1=O ZINC000105493404 1071368187 /nfs/dbraw/zinc/36/81/87/1071368187.db2.gz OAAWSMFUUHAKOM-UHFFFAOYSA-N 0 0 447.540 -0.800 20 0 IBADRN O=C(CN1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1)NCc1ccccc1 ZINC000105506915 1074407033 /nfs/dbraw/zinc/40/70/33/1074407033.db2.gz DVIZFWXZDWUMSV-UHFFFAOYSA-N 0 0 443.529 -0.055 20 0 IBADRN CC(C)CN(C(=O)COC(=O)C1CCN(S(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000105509667 1074407883 /nfs/dbraw/zinc/40/78/83/1074407883.db2.gz JUHUCMDQVAWFEI-OAHLLOKOSA-N 0 0 438.568 -0.127 20 0 IBADRN CC(C)CN(C(=O)COC(=O)C1CCN(S(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000105509670 1074407853 /nfs/dbraw/zinc/40/78/53/1074407853.db2.gz JUHUCMDQVAWFEI-HNNXBMFYSA-N 0 0 438.568 -0.127 20 0 IBADRN CCCCn1c2nc(COC(=O)C3CCN(S(C)(=O)=O)CC3)n(C)c2c(=O)[nH]c1=O ZINC000105511057 1074407946 /nfs/dbraw/zinc/40/79/46/1074407946.db2.gz VQUQNOMOANWYOT-UHFFFAOYSA-N 0 0 441.510 -0.062 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(CC(=O)N2CCN(c3ccccc3F)CC2)CC1 ZINC000105515650 1073000497 /nfs/dbraw/zinc/00/04/97/1073000497.db2.gz NNVQNTOHFSVDOB-UHFFFAOYSA-N 0 0 433.528 -0.049 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC000105517514 1073369864 /nfs/dbraw/zinc/36/98/64/1073369864.db2.gz AFAJWNNTPSFKQZ-UHFFFAOYSA-N 0 0 448.568 -0.140 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000105523913 1073369786 /nfs/dbraw/zinc/36/97/86/1073369786.db2.gz NIZQXZRYDFWIEP-SFHVURJKSA-N 0 0 447.536 -0.571 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000105523917 1073369802 /nfs/dbraw/zinc/36/98/02/1073369802.db2.gz NIZQXZRYDFWIEP-GOSISDBHSA-N 0 0 447.536 -0.571 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000105533658 1071370159 /nfs/dbraw/zinc/37/01/59/1071370159.db2.gz NAZPLFCIWVFKQK-UHFFFAOYSA-N 0 0 430.552 -0.451 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCNS(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000105547801 1071370235 /nfs/dbraw/zinc/37/02/35/1071370235.db2.gz ZPAAQOOSPAKECJ-NMWPEEMBSA-N 0 0 428.577 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCNS(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000105547803 1071369818 /nfs/dbraw/zinc/36/98/18/1071369818.db2.gz ZPAAQOOSPAKECJ-ZQDZILKHSA-N 0 0 428.577 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCNS(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000105547806 1071370224 /nfs/dbraw/zinc/37/02/24/1071370224.db2.gz ZPAAQOOSPAKECJ-TUVASFSCSA-N 0 0 428.577 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)C[C@H](C)O1 ZINC000105548454 1073000935 /nfs/dbraw/zinc/00/09/35/1073000935.db2.gz WYQDWHDQFAGTBY-IXDOHACOSA-N 0 0 439.600 -0.448 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)C[C@@H](C)O1 ZINC000105548462 1073000962 /nfs/dbraw/zinc/00/09/62/1073000962.db2.gz WYQDWHDQFAGTBY-BRWVUGGUSA-N 0 0 439.600 -0.448 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000105550438 1071370834 /nfs/dbraw/zinc/37/08/34/1071370834.db2.gz SJFYNSPCOFRBMO-OKILXGFUSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000105550440 1071370916 /nfs/dbraw/zinc/37/09/16/1071370916.db2.gz SJFYNSPCOFRBMO-KBPBESRZSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)cc3)CC2)C[C@@H](C)O1 ZINC000105550443 1071370596 /nfs/dbraw/zinc/37/05/96/1071370596.db2.gz SJFYNSPCOFRBMO-ZIAGYGMSSA-N 0 0 446.551 -0.554 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC000105569644 1074407931 /nfs/dbraw/zinc/40/79/31/1074407931.db2.gz RBORVVHCPZBJQB-KRWDZBQOSA-N 0 0 447.414 -0.910 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC000105569647 1074407889 /nfs/dbraw/zinc/40/78/89/1074407889.db2.gz RBORVVHCPZBJQB-QGZVFWFLSA-N 0 0 447.414 -0.910 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000105602592 1071062835 /nfs/dbraw/zinc/06/28/35/1071062835.db2.gz BXNCQHTYROPZDP-AWEZNQCLSA-N 0 0 439.490 -0.210 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000105602596 1074479086 /nfs/dbraw/zinc/47/90/86/1074479086.db2.gz BXNCQHTYROPZDP-CQSZACIVSA-N 0 0 439.490 -0.210 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000105626297 1073000327 /nfs/dbraw/zinc/00/03/27/1073000327.db2.gz IGTXOJKNLWMONB-UHFFFAOYSA-N 0 0 445.542 -0.791 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2C(=O)OC)CC1 ZINC000105643085 1074479005 /nfs/dbraw/zinc/47/90/05/1074479005.db2.gz ZVDWCJXKYIPRRY-UHFFFAOYSA-N 0 0 434.540 -0.171 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000105645252 1073127828 /nfs/dbraw/zinc/12/78/28/1073127828.db2.gz JOOPLMGMJCNVLP-UHFFFAOYSA-N 0 0 426.495 -0.899 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000105649383 1073001035 /nfs/dbraw/zinc/00/10/35/1073001035.db2.gz IKBYNBWCIRYARL-UHFFFAOYSA-N 0 0 426.495 -0.899 20 0 IBADRN COCCN(CC(=O)NC(=O)NC1CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000105656846 1073001417 /nfs/dbraw/zinc/00/14/17/1073001417.db2.gz RDPAVPAKUSNZPE-UHFFFAOYSA-N 0 0 430.465 -0.392 20 0 IBADRN O=C(CNc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)NCC(=O)N1CCCC1 ZINC000105681804 1073000952 /nfs/dbraw/zinc/00/09/52/1073000952.db2.gz AKCOHMWUEUMESK-UHFFFAOYSA-N 0 0 428.486 -0.003 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(CC(=O)N2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000105683204 1073107297 /nfs/dbraw/zinc/10/72/97/1073107297.db2.gz VAURJCWFJCGQNN-UHFFFAOYSA-N 0 0 433.528 -0.049 20 0 IBADRN O=C(Cn1cccc(C(F)(F)F)c1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000105695262 1073994933 /nfs/dbraw/zinc/99/49/33/1073994933.db2.gz KHNSIFALQGDFCB-UHFFFAOYSA-N 0 0 430.383 -0.603 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cn3cccc(C(F)(F)F)c3=O)c2c(=O)n(C)c1=O ZINC000105708366 1073995336 /nfs/dbraw/zinc/99/53/36/1073995336.db2.gz UHZUNCRZKOSVJM-UHFFFAOYSA-N 0 0 427.339 -0.142 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)C(F)(F)F ZINC000105772503 1073996002 /nfs/dbraw/zinc/99/60/02/1073996002.db2.gz NBAJGGBWTJYAED-KRWDZBQOSA-N 0 0 433.431 -0.437 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)C(F)(F)F ZINC000105772506 1073995918 /nfs/dbraw/zinc/99/59/18/1073995918.db2.gz NBAJGGBWTJYAED-QGZVFWFLSA-N 0 0 433.431 -0.437 20 0 IBADRN Cc1cc(C)n(-c2nnc(SCC(=O)c3c(N)n(CC(C)C)c(=O)[nH]c3=O)n2N)n1 ZINC000105783721 1073996507 /nfs/dbraw/zinc/99/65/07/1073996507.db2.gz IVMSLUHHZCJRCL-UHFFFAOYSA-N 0 0 433.498 -0.142 20 0 IBADRN Cc1cc(C)n(-c2nnc(SCC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)n2N)n1 ZINC000105785597 1073996523 /nfs/dbraw/zinc/99/65/23/1073996523.db2.gz XKTAUABQEXBCDV-UHFFFAOYSA-N 0 0 447.525 -0.132 20 0 IBADRN O=C([C@@H]1CC(=O)N(Cc2ccco2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000105791331 1073000972 /nfs/dbraw/zinc/00/09/72/1073000972.db2.gz FYFSPNVOZZRXDL-OAHLLOKOSA-N 0 0 426.495 -0.651 20 0 IBADRN O=C([C@H]1CC(=O)N(Cc2ccco2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000105791332 1073000989 /nfs/dbraw/zinc/00/09/89/1073000989.db2.gz FYFSPNVOZZRXDL-HNNXBMFYSA-N 0 0 426.495 -0.651 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC000105792060 1071378336 /nfs/dbraw/zinc/37/83/36/1071378336.db2.gz HJSPVTOZOFHVNK-MRXNPFEDSA-N 0 0 448.568 -0.094 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC000105792063 1071378141 /nfs/dbraw/zinc/37/81/41/1071378141.db2.gz HJSPVTOZOFHVNK-INIZCTEOSA-N 0 0 448.568 -0.094 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1ccc(Br)cn1 ZINC000105800702 1073000943 /nfs/dbraw/zinc/00/09/43/1073000943.db2.gz VXJWLIJPPMMENE-UHFFFAOYSA-N 0 0 448.343 -0.023 20 0 IBADRN Cn1c2ncc(NC(=O)C3=NN(c4ccc(F)cc4)[C@H](C(N)=O)C3)cc2c(=O)n(C)c1=O ZINC000105802847 1073996919 /nfs/dbraw/zinc/99/69/19/1073996919.db2.gz PFZHRZJTMRHJBO-HNNXBMFYSA-N 0 0 439.407 -0.170 20 0 IBADRN Cn1c2ncc(NC(=O)C3=NN(c4ccc(F)cc4)[C@@H](C(N)=O)C3)cc2c(=O)n(C)c1=O ZINC000105802850 1073996983 /nfs/dbraw/zinc/99/69/83/1073996983.db2.gz PFZHRZJTMRHJBO-OAHLLOKOSA-N 0 0 439.407 -0.170 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000105806471 1073000878 /nfs/dbraw/zinc/00/08/78/1073000878.db2.gz CBRRMUANZHLYJC-UHFFFAOYSA-N 0 0 441.554 -0.028 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cccc(OCC(N)=O)c2)c(=O)n(C)c1=O ZINC000105814610 1073996830 /nfs/dbraw/zinc/99/68/30/1073996830.db2.gz YZJGXORATYECBO-UHFFFAOYSA-N 0 0 432.433 -0.311 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000105817624 1073000867 /nfs/dbraw/zinc/00/08/67/1073000867.db2.gz SGRINCKMTNTDQY-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000105817974 1073000779 /nfs/dbraw/zinc/00/07/79/1073000779.db2.gz ZTJRBMQNXJKVCH-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(S(=O)(=O)N2CCc3ccccc3C2)CC1 ZINC000105823446 1071379102 /nfs/dbraw/zinc/37/91/02/1071379102.db2.gz WKGFOZWHUYUKKW-UHFFFAOYSA-N 0 0 430.552 -0.516 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCCN3CCN(c4ncccn4)CC3)cc2c(=O)[nH]1 ZINC000105826506 1073001017 /nfs/dbraw/zinc/00/10/17/1073001017.db2.gz YWRCEVOOAONQRI-UHFFFAOYSA-N 0 0 445.505 -0.503 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)=NN1c1ccc(F)cc1 ZINC000105827077 1073996908 /nfs/dbraw/zinc/99/69/08/1073996908.db2.gz OTXJNZUDTAJJOA-WBVHZDCISA-N 0 0 437.497 -0.423 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)=NN1c1ccc(F)cc1 ZINC000105827080 1073996978 /nfs/dbraw/zinc/99/69/78/1073996978.db2.gz OTXJNZUDTAJJOA-NVXWUHKLSA-N 0 0 437.497 -0.423 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)=NN1c1ccc(F)cc1 ZINC000105827083 1073996974 /nfs/dbraw/zinc/99/69/74/1073996974.db2.gz OTXJNZUDTAJJOA-RDJZCZTQSA-N 0 0 437.497 -0.423 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)=NN1c1ccc(F)cc1 ZINC000105827086 1073996935 /nfs/dbraw/zinc/99/69/35/1073996935.db2.gz OTXJNZUDTAJJOA-DOTOQJQBSA-N 0 0 437.497 -0.423 20 0 IBADRN O=C(CCN1CCN(C(=O)CN2CCOCC2)CC1)N1CCN(c2ccccn2)CC1 ZINC000105828243 1073000993 /nfs/dbraw/zinc/00/09/93/1073000993.db2.gz VGZOMZSQGAFQAL-UHFFFAOYSA-N 0 0 430.553 -0.403 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)no1 ZINC000105868071 1073001359 /nfs/dbraw/zinc/00/13/59/1073001359.db2.gz WWCATJWTJUSGGG-UHFFFAOYSA-N 0 0 435.462 -0.186 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000105919268 1071379590 /nfs/dbraw/zinc/37/95/90/1071379590.db2.gz ILDPAZZOQZUJCK-KRWDZBQOSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(C[C@H]2CCCO2)CC1 ZINC000105919271 1071379855 /nfs/dbraw/zinc/37/98/55/1071379855.db2.gz ILDPAZZOQZUJCK-QGZVFWFLSA-N 0 0 436.534 -0.256 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)c(=O)[nH]c1=O ZINC000105945965 1073001381 /nfs/dbraw/zinc/00/13/81/1073001381.db2.gz XCSAVLBNIKTCFP-UHFFFAOYSA-N 0 0 428.449 -0.025 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NC3CCCC3)CC2)C[C@H](C)O1 ZINC000105947055 1073001405 /nfs/dbraw/zinc/00/14/05/1073001405.db2.gz WTHGWMVVGRTQNU-GASCZTMLSA-N 0 0 431.559 -0.274 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NC3CCCC3)CC2)C[C@H](C)O1 ZINC000105947058 1073001492 /nfs/dbraw/zinc/00/14/92/1073001492.db2.gz WTHGWMVVGRTQNU-GJZGRUSLSA-N 0 0 431.559 -0.274 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NC3CCCC3)CC2)C[C@@H](C)O1 ZINC000105947061 1073001485 /nfs/dbraw/zinc/00/14/85/1073001485.db2.gz WTHGWMVVGRTQNU-HUUCEWRRSA-N 0 0 431.559 -0.274 20 0 IBADRN CCCCn1c(N)c(N(Cc2ccco2)C(=O)CN(C)S(C)(=O)=O)c(=O)[nH]c1=O ZINC000105969738 1071379713 /nfs/dbraw/zinc/37/97/13/1071379713.db2.gz GZMGZYKMYJGKCF-UHFFFAOYSA-N 0 0 427.483 -0.064 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)S(C)(=O)=O ZINC000105969771 1071380490 /nfs/dbraw/zinc/38/04/90/1071380490.db2.gz VBIDYRVARHGQPJ-UHFFFAOYSA-N 0 0 433.508 -0.818 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)CN(C)S(C)(=O)=O)c(=O)[nH]c1=O ZINC000105970062 1071380612 /nfs/dbraw/zinc/38/06/12/1071380612.db2.gz KEHVNNAIUJSPCB-UHFFFAOYSA-N 0 0 439.494 -0.810 20 0 IBADRN COCCN(C(=O)CN(C)S(C)(=O)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000105970239 1071380551 /nfs/dbraw/zinc/38/05/51/1071380551.db2.gz RCOSUMWXCOESCC-UHFFFAOYSA-N 0 0 439.494 -0.962 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN(C)S(C)(=O)=O ZINC000105972162 1071380410 /nfs/dbraw/zinc/38/04/10/1071380410.db2.gz LXZXKIQUCCGICA-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@@H]2CC(=O)N(CC(F)(F)F)C2)c(=O)[nH]c1=O ZINC000105972843 1071380541 /nfs/dbraw/zinc/38/05/41/1071380541.db2.gz DQISBJMRMNKWPB-SNVBAGLBSA-N 0 0 435.403 -0.081 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@H]2CC(=O)N(CC(F)(F)F)C2)c(=O)[nH]c1=O ZINC000105972846 1071380482 /nfs/dbraw/zinc/38/04/82/1071380482.db2.gz DQISBJMRMNKWPB-JTQLQIEISA-N 0 0 435.403 -0.081 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1)S(C)(=O)=O ZINC000105972849 1073001328 /nfs/dbraw/zinc/00/13/28/1073001328.db2.gz JEGXJLZIAPGNPK-UHFFFAOYSA-N 0 0 429.442 -0.172 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)S(C)(=O)=O)CC2)c1 ZINC000105977715 1073001300 /nfs/dbraw/zinc/00/13/00/1073001300.db2.gz GQIUTORIKGPYNV-UHFFFAOYSA-N 0 0 435.524 -0.572 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN(C)S(C)(=O)=O)CC2)c1 ZINC000105978415 1073127708 /nfs/dbraw/zinc/12/77/08/1073127708.db2.gz YSRCVTFDDJGTRB-UHFFFAOYSA-N 0 0 439.537 -0.741 20 0 IBADRN O=C(COc1cccc(NC(=O)C2CC2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000106010334 1073002374 /nfs/dbraw/zinc/00/23/74/1073002374.db2.gz HYNVJCVCDBMYFA-UHFFFAOYSA-N 0 0 444.488 -0.057 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3ncc(=O)c4ccccc43)CC2)C[C@H](C)O1 ZINC000106015149 1073001435 /nfs/dbraw/zinc/00/14/35/1073001435.db2.gz WSMQVFRFYNPKTB-IYBDPMFKSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3ncc(=O)c4ccccc43)CC2)C[C@H](C)O1 ZINC000106015151 1073001394 /nfs/dbraw/zinc/00/13/94/1073001394.db2.gz WSMQVFRFYNPKTB-HOTGVXAUSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3ncc(=O)c4ccccc43)CC2)C[C@@H](C)O1 ZINC000106015153 1073001426 /nfs/dbraw/zinc/00/14/26/1073001426.db2.gz WSMQVFRFYNPKTB-HZPDHXFCSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccccc3-n3cnnn3)CC2)C[C@H](C)O1 ZINC000106022586 1073001369 /nfs/dbraw/zinc/00/13/69/1073001369.db2.gz ZDXKCYBYTLAKDK-GASCZTMLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccccc3-n3cnnn3)CC2)C[C@H](C)O1 ZINC000106022588 1073001340 /nfs/dbraw/zinc/00/13/40/1073001340.db2.gz ZDXKCYBYTLAKDK-GJZGRUSLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccccc3-n3cnnn3)CC2)C[C@@H](C)O1 ZINC000106022590 1073001482 /nfs/dbraw/zinc/00/14/82/1073001482.db2.gz ZDXKCYBYTLAKDK-HUUCEWRRSA-N 0 0 435.510 -0.226 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCN3C(=O)c4ccccc4C3=O)CC2)CC1 ZINC000106027809 1073001694 /nfs/dbraw/zinc/00/16/94/1073001694.db2.gz VMKKGFJTDCQSFL-UHFFFAOYSA-N 0 0 449.533 -0.691 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000106036089 1074001734 /nfs/dbraw/zinc/00/17/34/1074001734.db2.gz BKTKLSDJENEBDM-VXGBXAGGSA-N 0 0 438.549 -0.006 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000106036091 1074001795 /nfs/dbraw/zinc/00/17/95/1074001795.db2.gz BKTKLSDJENEBDM-NEPJUHHUSA-N 0 0 438.549 -0.006 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000106036096 1074001802 /nfs/dbraw/zinc/00/18/02/1074001802.db2.gz BKTKLSDJENEBDM-NWDGAFQWSA-N 0 0 438.549 -0.006 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000106036098 1074001697 /nfs/dbraw/zinc/00/16/97/1074001697.db2.gz BKTKLSDJENEBDM-RYUDHWBXSA-N 0 0 438.549 -0.006 20 0 IBADRN NC(=O)c1ccc(NC(=O)CCN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000106042576 1071403619 /nfs/dbraw/zinc/40/36/19/1071403619.db2.gz ATURJKVBDQKPIH-UHFFFAOYSA-N 0 0 425.511 -0.691 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1ccc2c(c1)OCO2 ZINC000106042667 1073001672 /nfs/dbraw/zinc/00/16/72/1073001672.db2.gz ABNNQHAWIUBROZ-UHFFFAOYSA-N 0 0 426.495 -0.062 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(C(=O)N3CCOCC3)CC2)c(=O)n(C)c1=O ZINC000106043290 1074002141 /nfs/dbraw/zinc/00/21/41/1074002141.db2.gz OWUDXRMQCWZQSI-UHFFFAOYSA-N 0 0 436.513 -0.962 20 0 IBADRN C[C@@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000106045611 1073001823 /nfs/dbraw/zinc/00/18/23/1073001823.db2.gz SGGBLQMZDWXVDJ-ZNMIVQPWSA-N 0 0 433.575 -0.029 20 0 IBADRN C[C@@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000106045617 1073001710 /nfs/dbraw/zinc/00/17/10/1073001710.db2.gz SGGBLQMZDWXVDJ-KFWWJZLASA-N 0 0 433.575 -0.029 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000106046052 1073001846 /nfs/dbraw/zinc/00/18/46/1073001846.db2.gz ANSHSYASAPVYLL-IYBDPMFKSA-N 0 0 445.586 -0.915 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000106046055 1073001754 /nfs/dbraw/zinc/00/17/54/1073001754.db2.gz ANSHSYASAPVYLL-HOTGVXAUSA-N 0 0 445.586 -0.915 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000106046058 1073001797 /nfs/dbraw/zinc/00/17/97/1073001797.db2.gz ANSHSYASAPVYLL-HZPDHXFCSA-N 0 0 445.586 -0.915 20 0 IBADRN C[C@@H](c1nc(N)nc(N(C)C)n1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000106046072 1073001727 /nfs/dbraw/zinc/00/17/27/1073001727.db2.gz XGEMYZDMFRRRSF-MJBXVCDLSA-N 0 0 428.563 -0.448 20 0 IBADRN C[C@@H](c1nc(N)nc(N(C)C)n1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000106046079 1073001935 /nfs/dbraw/zinc/00/19/35/1073001935.db2.gz XGEMYZDMFRRRSF-MCIONIFRSA-N 0 0 428.563 -0.448 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)S(=O)(=O)c1ccccc1 ZINC000106049085 1071403586 /nfs/dbraw/zinc/40/35/86/1071403586.db2.gz FAFIYFIYWAQSCM-UHFFFAOYSA-N 0 0 446.551 -0.972 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1 ZINC000106050816 1073001921 /nfs/dbraw/zinc/00/19/21/1073001921.db2.gz KHPRJYCSASNVAJ-UHFFFAOYSA-N 0 0 437.522 -0.219 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ncn(C)c3Cl)CC2)C[C@H](C)O1 ZINC000106050982 1074002049 /nfs/dbraw/zinc/00/20/49/1074002049.db2.gz JXSBVUJYSAIWMY-TXEJJXNPSA-N 0 0 441.963 -0.266 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ncn(C)c3Cl)CC2)C[C@H](C)O1 ZINC000106050985 1074002004 /nfs/dbraw/zinc/00/20/04/1074002004.db2.gz JXSBVUJYSAIWMY-RYUDHWBXSA-N 0 0 441.963 -0.266 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ncn(C)c3Cl)CC2)C[C@@H](C)O1 ZINC000106050988 1074002162 /nfs/dbraw/zinc/00/21/62/1074002162.db2.gz JXSBVUJYSAIWMY-VXGBXAGGSA-N 0 0 441.963 -0.266 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000106051107 1073001786 /nfs/dbraw/zinc/00/17/86/1073001786.db2.gz SQTNJYZLWREZLK-UHFFFAOYSA-N 0 0 432.568 -0.101 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000106051462 1073001899 /nfs/dbraw/zinc/00/18/99/1073001899.db2.gz PUOXFKQEHJJRCI-UHFFFAOYSA-N 0 0 446.532 -0.576 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000106051532 1073001747 /nfs/dbraw/zinc/00/17/47/1073001747.db2.gz ZCIRETYSDIKUII-BETUJISGSA-N 0 0 435.572 -0.303 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000106051535 1073001810 /nfs/dbraw/zinc/00/18/10/1073001810.db2.gz ZCIRETYSDIKUII-CHWSQXEVSA-N 0 0 435.572 -0.303 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000106051538 1073001941 /nfs/dbraw/zinc/00/19/41/1073001941.db2.gz ZCIRETYSDIKUII-STQMWFEESA-N 0 0 435.572 -0.303 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000106055327 1071403610 /nfs/dbraw/zinc/40/36/10/1071403610.db2.gz IBOSYHAMIHTNIH-UHFFFAOYSA-N 0 0 428.486 -0.311 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1OC ZINC000106055395 1071403650 /nfs/dbraw/zinc/40/36/50/1071403650.db2.gz JBFPBJFIGBICNQ-UHFFFAOYSA-N 0 0 426.495 -0.588 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)CC1 ZINC000106057549 1073002349 /nfs/dbraw/zinc/00/23/49/1073002349.db2.gz PNWBVPYITLHBQY-UHFFFAOYSA-N 0 0 441.536 -0.039 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000106058949 1073002215 /nfs/dbraw/zinc/00/22/15/1073002215.db2.gz NAVUPEYSAZPZCK-UHFFFAOYSA-N 0 0 444.579 -0.040 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cc2ccc(-n3cnnn3)cc2)c(=O)[nH]c1=O ZINC000106060942 1073002916 /nfs/dbraw/zinc/00/29/16/1073002916.db2.gz QXLVGDJIKOQFMN-UHFFFAOYSA-N 0 0 428.453 -0.273 20 0 IBADRN COCCN(C(=O)Cc1ccc(-n2cnnn2)cc1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000106061357 1073002748 /nfs/dbraw/zinc/00/27/48/1073002748.db2.gz IKIHRBOQFYARSV-UHFFFAOYSA-N 0 0 442.480 -0.027 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c(C)c12 ZINC000106068751 1073002823 /nfs/dbraw/zinc/00/28/23/1073002823.db2.gz LZQUCAGBHKEKLB-UHFFFAOYSA-N 0 0 444.536 -0.186 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(=O)n(-c3ccc(F)cc3)n2)CC1 ZINC000106068942 1073002909 /nfs/dbraw/zinc/00/29/09/1073002909.db2.gz CHXIUKXSNGUJOF-UHFFFAOYSA-N 0 0 429.452 -0.012 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(=O)n(-c3ccc(F)cc3)n2)CC1)N1CCOCC1 ZINC000106069803 1073002922 /nfs/dbraw/zinc/00/29/22/1073002922.db2.gz QKMONHGOFRBQCX-UHFFFAOYSA-N 0 0 443.435 -0.485 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000106086384 1071404542 /nfs/dbraw/zinc/40/45/42/1071404542.db2.gz QLCPNKBRCKXNID-UHFFFAOYSA-N 0 0 427.299 -0.386 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000106087043 1071404320 /nfs/dbraw/zinc/40/43/20/1071404320.db2.gz JKXFNFXTQWGENG-UHFFFAOYSA-N 0 0 441.282 -0.860 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)nc1C ZINC000106097882 1073002238 /nfs/dbraw/zinc/00/22/38/1073002238.db2.gz PMFDYRMNRBIMBT-UHFFFAOYSA-N 0 0 426.495 -0.098 20 0 IBADRN CCCCn1c2nc(COC(=O)c3ccc(S(N)(=O)=O)o3)n(C)c2c(=O)[nH]c1=O ZINC000106104537 1074003027 /nfs/dbraw/zinc/00/30/27/1074003027.db2.gz FOOURBSJJUCRCP-UHFFFAOYSA-N 0 0 425.423 -0.179 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)c2ccc(S(N)(=O)=O)o2)c1 ZINC000106105397 1074002590 /nfs/dbraw/zinc/00/25/90/1074002590.db2.gz ZSDKYCBQAWHBBO-UHFFFAOYSA-N 0 0 431.448 -0.027 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3ccc(-n4cnnn4)cc3)CC2)C[C@H](C)O1 ZINC000106132929 1073002328 /nfs/dbraw/zinc/00/23/28/1073002328.db2.gz YPGDNOPTHDHKAP-IYBDPMFKSA-N 0 0 449.537 -0.297 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3ccc(-n4cnnn4)cc3)CC2)C[C@H](C)O1 ZINC000106132932 1073002405 /nfs/dbraw/zinc/00/24/05/1073002405.db2.gz YPGDNOPTHDHKAP-HOTGVXAUSA-N 0 0 449.537 -0.297 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3ccc(-n4cnnn4)cc3)CC2)C[C@@H](C)O1 ZINC000106132934 1073002472 /nfs/dbraw/zinc/00/24/72/1073002472.db2.gz YPGDNOPTHDHKAP-HZPDHXFCSA-N 0 0 449.537 -0.297 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)c1cccs1 ZINC000106141284 1073002390 /nfs/dbraw/zinc/00/23/90/1073002390.db2.gz QFONCUQKTLYMFE-UHFFFAOYSA-N 0 0 429.568 -0.544 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3ncc(=O)c4ccccc43)CC2)CC1 ZINC000106141298 1073002494 /nfs/dbraw/zinc/00/24/94/1073002494.db2.gz GAENEKZYRCRAFU-UHFFFAOYSA-N 0 0 434.522 -0.967 20 0 IBADRN Cc1oc2ncn(C)c(=O)c2c1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000106141420 1073002448 /nfs/dbraw/zinc/00/24/48/1073002448.db2.gz GOVYDWWTMPITSA-UHFFFAOYSA-N 0 0 438.510 -0.915 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(=O)n(-c4ccccc4)n3)CC2)CC1 ZINC000106141876 1073002154 /nfs/dbraw/zinc/00/21/54/1073002154.db2.gz WPHLPZRIQCDQSK-UHFFFAOYSA-N 0 0 446.533 -0.518 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(CS(C)(=O)=O)c3)CC2)CC1 ZINC000106142377 1071406042 /nfs/dbraw/zinc/40/60/42/1071406042.db2.gz MRVYRULHQBSDNT-UHFFFAOYSA-N 0 0 444.579 -0.519 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(C4CCCC4)C3)CC2)CC1 ZINC000106142528 1073002485 /nfs/dbraw/zinc/00/24/85/1073002485.db2.gz QLGSNLIEBWWXTF-MRXNPFEDSA-N 0 0 427.571 -0.586 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(C4CCCC4)C3)CC2)CC1 ZINC000106142530 1073002435 /nfs/dbraw/zinc/00/24/35/1073002435.db2.gz QLGSNLIEBWWXTF-INIZCTEOSA-N 0 0 427.571 -0.586 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000106185548 1073128292 /nfs/dbraw/zinc/12/82/92/1073128292.db2.gz OARQIGZWBLEQKC-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000106185551 1073128440 /nfs/dbraw/zinc/12/84/40/1073128440.db2.gz OARQIGZWBLEQKC-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)c(=O)[nH]c1=O ZINC000106197304 1073002762 /nfs/dbraw/zinc/00/27/62/1073002762.db2.gz ZMESLQDSFRGRAO-UHFFFAOYSA-N 0 0 444.448 -0.788 20 0 IBADRN CN(C(=O)Cn1c(=O)[nH]c2ccccc2c1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000106198851 1071407128 /nfs/dbraw/zinc/40/71/28/1071407128.db2.gz VKHNNZPRRXAOLA-UHFFFAOYSA-N 0 0 448.439 -0.167 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000106240003 1073002870 /nfs/dbraw/zinc/00/28/70/1073002870.db2.gz AISPGZJPJRZCBX-UHFFFAOYSA-N 0 0 438.487 -0.293 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCO4)CC2)CC1 ZINC000106265066 1071410035 /nfs/dbraw/zinc/41/00/35/1071410035.db2.gz INMVUIGKBOFDKW-UHFFFAOYSA-N 0 0 430.552 -0.580 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C(=O)N(C)C)CC2)CC1 ZINC000106269607 1071514422 /nfs/dbraw/zinc/51/44/22/1071514422.db2.gz UKMAAVHLUWVJIX-UHFFFAOYSA-N 0 0 442.542 -0.576 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(Cc4ccco4)C3)CC2)CC1 ZINC000106270593 1073003164 /nfs/dbraw/zinc/00/31/64/1073003164.db2.gz BIBQQLPVXDNECK-MRXNPFEDSA-N 0 0 439.538 -0.736 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(Cc4ccco4)C3)CC2)CC1 ZINC000106270596 1073003227 /nfs/dbraw/zinc/00/32/27/1073003227.db2.gz BIBQQLPVXDNECK-INIZCTEOSA-N 0 0 439.538 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)CN(C)C3=O)CC2)C[C@H](C)O1 ZINC000106280862 1073003178 /nfs/dbraw/zinc/00/31/78/1073003178.db2.gz AOYOGJQJMLENDG-GASCZTMLSA-N 0 0 445.542 -0.841 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)CN(C)C3=O)CC2)C[C@H](C)O1 ZINC000106280863 1073003314 /nfs/dbraw/zinc/00/33/14/1073003314.db2.gz AOYOGJQJMLENDG-GJZGRUSLSA-N 0 0 445.542 -0.841 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)CN(C)C3=O)CC2)C[C@@H](C)O1 ZINC000106280866 1073003286 /nfs/dbraw/zinc/00/32/86/1073003286.db2.gz AOYOGJQJMLENDG-HUUCEWRRSA-N 0 0 445.542 -0.841 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN(C(=O)C4CC4)C3)CC2)CC1 ZINC000106281245 1073003193 /nfs/dbraw/zinc/00/31/93/1073003193.db2.gz TZUDOCUOIRUGFE-QGZVFWFLSA-N 0 0 427.571 -0.729 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN(C(=O)C4CC4)C3)CC2)CC1 ZINC000106281248 1073003255 /nfs/dbraw/zinc/00/32/55/1073003255.db2.gz TZUDOCUOIRUGFE-KRWDZBQOSA-N 0 0 427.571 -0.729 20 0 IBADRN Cc1ccccc1C(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000106310979 1073003681 /nfs/dbraw/zinc/00/36/81/1073003681.db2.gz KQHXLTNBTUNTCK-UHFFFAOYSA-N 0 0 434.474 -0.050 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000106320919 1073003205 /nfs/dbraw/zinc/00/32/05/1073003205.db2.gz BAZBFWWVPJMSKW-UHFFFAOYSA-N 0 0 432.524 -0.183 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000107506213 1073135454 /nfs/dbraw/zinc/13/54/54/1073135454.db2.gz JCFGBEBAXQZBMG-UHFFFAOYSA-N 0 0 441.510 -0.002 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1-n1cnnn1 ZINC000107506585 1071418171 /nfs/dbraw/zinc/41/81/71/1071418171.db2.gz KTCLISZIZCUVFZ-UHFFFAOYSA-N 0 0 436.498 -0.303 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000107508557 1073004973 /nfs/dbraw/zinc/00/49/73/1073004973.db2.gz XYHRCIASTQUKOQ-UHFFFAOYSA-N 0 0 443.551 -0.010 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000107508819 1071418181 /nfs/dbraw/zinc/41/81/81/1071418181.db2.gz AFOZMRCEMCHROH-UHFFFAOYSA-N 0 0 436.498 -0.303 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C(=O)C1=O ZINC000107515477 1071418107 /nfs/dbraw/zinc/41/81/07/1071418107.db2.gz OQYXGMQCWSSIJR-UHFFFAOYSA-N 0 0 438.506 -0.986 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)c2ccc(OC(F)F)cc2)cn1 ZINC000107522812 1071467041 /nfs/dbraw/zinc/46/70/41/1071467041.db2.gz VSQZHSBIKSDMQL-UHFFFAOYSA-N 0 0 446.388 -0.200 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2CCS(=O)(=O)CC2)NC(=O)N[C@@H]1c1ccco1 ZINC000107524130 1071467085 /nfs/dbraw/zinc/46/70/85/1071467085.db2.gz KKXORLYFKHAEOH-MRXNPFEDSA-N 0 0 441.462 -0.276 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2CCS(=O)(=O)CC2)NC(=O)N[C@H]1c1ccco1 ZINC000107524131 1071467072 /nfs/dbraw/zinc/46/70/72/1071467072.db2.gz KKXORLYFKHAEOH-INIZCTEOSA-N 0 0 441.462 -0.276 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C(=O)C1=O ZINC000107526829 1073005015 /nfs/dbraw/zinc/00/50/15/1073005015.db2.gz ZQYLHLOOBKWFNJ-UHFFFAOYSA-N 0 0 442.925 -0.136 20 0 IBADRN C[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000107532497 1071418038 /nfs/dbraw/zinc/41/80/38/1071418038.db2.gz BNXBVGARHVKXJF-HNNXBMFYSA-N 0 0 433.552 -0.294 20 0 IBADRN C[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000107532500 1071418257 /nfs/dbraw/zinc/41/82/57/1071418257.db2.gz BNXBVGARHVKXJF-OAHLLOKOSA-N 0 0 433.552 -0.294 20 0 IBADRN Cn1c2ncn(CCOC(=O)CNS(=O)(=O)c3cccs3)c2c(=O)n(C)c1=O ZINC000107537266 1071467140 /nfs/dbraw/zinc/46/71/40/1071467140.db2.gz UGHGKRWZKSKBQL-UHFFFAOYSA-N 0 0 427.464 -0.983 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(N3CCNC(=O)C3)c(Cl)c1)c(=O)n2C ZINC000107568133 1071468385 /nfs/dbraw/zinc/46/83/85/1071468385.db2.gz JGTYIBYQDKAETI-UHFFFAOYSA-N 0 0 445.867 -0.338 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC1CCN(C(=O)c3ccccc3F)CC1)c(=O)n2C ZINC000107569115 1071418099 /nfs/dbraw/zinc/41/80/99/1071418099.db2.gz GEBTZSMRTYAKII-UHFFFAOYSA-N 0 0 442.451 -0.006 20 0 IBADRN C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1cccc(NS(C)(=O)=O)c1 ZINC000107570792 1071468304 /nfs/dbraw/zinc/46/83/04/1071468304.db2.gz AHZPOTLGBIRGKC-NSHDSACASA-N 0 0 434.478 -0.317 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1cccc(NS(C)(=O)=O)c1 ZINC000107570795 1071468259 /nfs/dbraw/zinc/46/82/59/1071468259.db2.gz AHZPOTLGBIRGKC-LLVKDONJSA-N 0 0 434.478 -0.317 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc([C@]3(C)NC(=O)NC3=O)c1)c(=O)n2C ZINC000107626137 1071418093 /nfs/dbraw/zinc/41/80/93/1071418093.db2.gz BJZLHVMXKWCGSK-IBGZPJMESA-N 0 0 425.405 -0.873 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc([C@@]3(C)NC(=O)NC3=O)c1)c(=O)n2C ZINC000107626141 1071418083 /nfs/dbraw/zinc/41/80/83/1071418083.db2.gz BJZLHVMXKWCGSK-LJQANCHMSA-N 0 0 425.405 -0.873 20 0 IBADRN CCOC(=O)CN(CCOC)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000107644253 1073005308 /nfs/dbraw/zinc/00/53/08/1073005308.db2.gz OIKGNPCNUTVMAO-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000107658004 1073005686 /nfs/dbraw/zinc/00/56/86/1073005686.db2.gz VPOLSZQDPUYSAW-UHFFFAOYSA-N 0 0 444.579 -0.040 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000107708843 1071418194 /nfs/dbraw/zinc/41/81/94/1071418194.db2.gz UFODEIYNBATBMR-UHFFFAOYSA-N 0 0 434.493 -0.249 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000107709107 1073005263 /nfs/dbraw/zinc/00/52/63/1073005263.db2.gz KIHMOOVWNUIISR-HNNXBMFYSA-N 0 0 431.493 -0.625 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000107709110 1073005301 /nfs/dbraw/zinc/00/53/01/1073005301.db2.gz KIHMOOVWNUIISR-OAHLLOKOSA-N 0 0 431.493 -0.625 20 0 IBADRN O=C(Cc1ccc(-n2cnnn2)cc1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000107799013 1071418883 /nfs/dbraw/zinc/41/88/83/1071418883.db2.gz ZFJNUSOAZNXKIY-UHFFFAOYSA-N 0 0 441.473 -0.036 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000107885225 1073006539 /nfs/dbraw/zinc/00/65/39/1073006539.db2.gz QMDFTBQMQUAJEZ-UHFFFAOYSA-N 0 0 439.428 -0.284 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NC(=O)NCc3ccccc3)c2c(=O)n(C)c1=O ZINC000107906419 1074415914 /nfs/dbraw/zinc/41/59/14/1074415914.db2.gz QSUZSKCQYXPYEL-UHFFFAOYSA-N 0 0 428.405 -0.997 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(-c2nnc(SCC(=O)NC(N)=O)n2N)c1 ZINC000107916751 1074416013 /nfs/dbraw/zinc/41/60/13/1074416013.db2.gz GMEZLFXGRXFENM-UHFFFAOYSA-N 0 0 427.512 -0.024 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)C(=O)C1=O ZINC000107927125 1073138985 /nfs/dbraw/zinc/13/89/85/1073138985.db2.gz OLFPJZDSBOZTIX-UHFFFAOYSA-N 0 0 444.460 -0.512 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCCS(=O)(=O)NCc3ccccc3)nc2n(C)c1=O ZINC000107946782 1073006240 /nfs/dbraw/zinc/00/62/40/1073006240.db2.gz QEQODCQLROCYND-UHFFFAOYSA-N 0 0 431.474 -0.518 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000108036856 1073139841 /nfs/dbraw/zinc/13/98/41/1073139841.db2.gz SWOGYDRVWCQQLO-CQSZACIVSA-N 0 0 431.602 -0.512 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000108036857 1073139829 /nfs/dbraw/zinc/13/98/29/1073139829.db2.gz SWOGYDRVWCQQLO-AWEZNQCLSA-N 0 0 431.602 -0.512 20 0 IBADRN O=S(=O)(c1ccc(F)c(F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000108037300 1071481352 /nfs/dbraw/zinc/48/13/52/1071481352.db2.gz RHRAWNDVZNTSOI-GFCCVEGCSA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)(c1ccc(F)c(F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000108037303 1071481438 /nfs/dbraw/zinc/48/14/38/1071481438.db2.gz RHRAWNDVZNTSOI-LBPRGKRZSA-N 0 0 444.503 -0.212 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000108057962 1073007061 /nfs/dbraw/zinc/00/70/61/1073007061.db2.gz KDVOXCAYZZFZGW-UHFFFAOYSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)CC1 ZINC000108059378 1073007133 /nfs/dbraw/zinc/00/71/33/1073007133.db2.gz IJDZVUPFUMOYEV-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)CC1 ZINC000108059381 1073007279 /nfs/dbraw/zinc/00/72/79/1073007279.db2.gz IJDZVUPFUMOYEV-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000108059424 1073007181 /nfs/dbraw/zinc/00/71/81/1073007181.db2.gz OAPSUTCFKFIPEJ-UHFFFAOYSA-N 0 0 443.508 -0.427 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cn3nc(-c4ccccc4)ccc3=O)c2c(=O)n(C)c1=O ZINC000108063235 1071484794 /nfs/dbraw/zinc/48/47/94/1071484794.db2.gz VLTVHJADUKZIBC-UHFFFAOYSA-N 0 0 436.428 -0.099 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)CC1 ZINC000108068594 1073007256 /nfs/dbraw/zinc/00/72/56/1073007256.db2.gz VNKNDCJQWJWMIJ-UHFFFAOYSA-N 0 0 439.538 -0.321 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cnc12 ZINC000108070705 1073007237 /nfs/dbraw/zinc/00/72/37/1073007237.db2.gz WRKXLZHPFFYWAS-UHFFFAOYSA-N 0 0 439.472 -0.085 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3ccccc3F)C2)CC1 ZINC000108075898 1073006529 /nfs/dbraw/zinc/00/65/29/1073006529.db2.gz KWSMQUIFDJYEJD-CQSZACIVSA-N 0 0 430.436 -0.002 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3ccccc3F)C2)CC1 ZINC000108075900 1073006584 /nfs/dbraw/zinc/00/65/84/1073006584.db2.gz KWSMQUIFDJYEJD-AWEZNQCLSA-N 0 0 430.436 -0.002 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2CCN(Cc3ccc(OC)cc3)CC2)CC1 ZINC000108079577 1073140345 /nfs/dbraw/zinc/14/03/45/1073140345.db2.gz CMPRCOQREZRBET-UHFFFAOYSA-N 0 0 447.580 -0.280 20 0 IBADRN COCCNC(=O)CN1CCN(Cc2nnc3n2c2ccc(C)cc2c(=O)n3C)CC1 ZINC000108087571 1073140433 /nfs/dbraw/zinc/14/04/33/1073140433.db2.gz KGZCCQHNAMRVFM-UHFFFAOYSA-N 0 0 427.509 -0.230 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Cn2nc(-c3ccccc3)ccc2=O)CC1 ZINC000108102643 1073007509 /nfs/dbraw/zinc/00/75/09/1073007509.db2.gz FMHJCIVZKBTPRB-UHFFFAOYSA-N 0 0 425.489 -0.087 20 0 IBADRN COc1ccccc1COC[C@@H](O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000108103614 1073140293 /nfs/dbraw/zinc/14/02/93/1073140293.db2.gz QGEFJMQMZJJUIE-IBGZPJMESA-N 0 0 442.582 -0.318 20 0 IBADRN COc1ccccc1COC[C@H](O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000108103616 1073140402 /nfs/dbraw/zinc/14/04/02/1073140402.db2.gz QGEFJMQMZJJUIE-LJQANCHMSA-N 0 0 442.582 -0.318 20 0 IBADRN O=C(CNC(=O)NCc1ccc(F)cc1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000108112209 1073007476 /nfs/dbraw/zinc/00/74/76/1073007476.db2.gz OWPLVKPNWVBXLU-UHFFFAOYSA-N 0 0 433.440 -0.555 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)n(-c2nc(C)cc(C)n2)n1 ZINC000108139672 1073007027 /nfs/dbraw/zinc/00/70/27/1073007027.db2.gz NFQKTROBWXGIRQ-UHFFFAOYSA-N 0 0 440.508 -0.051 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C3CC3)CC2)cc1OC ZINC000108140400 1073007083 /nfs/dbraw/zinc/00/70/83/1073007083.db2.gz KDSAETQHSMDFCI-UHFFFAOYSA-N 0 0 427.523 -0.007 20 0 IBADRN CCCCn1c2nc(CN3CCN(C(=O)C(=O)NC4CC4)CC3)n(C)c2c(=O)[nH]c1=O ZINC000108141516 1073110669 /nfs/dbraw/zinc/11/06/69/1073110669.db2.gz VKJGPMJZJTVGDX-UHFFFAOYSA-N 0 0 431.497 -0.854 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000108159021 1073141068 /nfs/dbraw/zinc/14/10/68/1073141068.db2.gz TZORYEUEDOMETH-UHFFFAOYSA-N 0 0 426.470 -0.557 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1Cl)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000108159026 1073140949 /nfs/dbraw/zinc/14/09/49/1073140949.db2.gz VHYWOKNXRGIKNB-UHFFFAOYSA-N 0 0 442.925 -0.042 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000108160196 1073141014 /nfs/dbraw/zinc/14/10/14/1073141014.db2.gz PFSKWEVXRDLHLC-UHFFFAOYSA-N 0 0 434.478 -1.000 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)cc1F ZINC000108163392 1073140961 /nfs/dbraw/zinc/14/09/61/1073140961.db2.gz MLEJSCFPMRIFJE-UHFFFAOYSA-N 0 0 442.451 -0.134 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3ccccc3O)CC1)c(=O)n2C ZINC000108172539 1073007683 /nfs/dbraw/zinc/00/76/83/1073007683.db2.gz WKOXOLUKCRGSON-UHFFFAOYSA-N 0 0 426.433 -0.876 20 0 IBADRN O=C(c1ccccc1O)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000108175990 1071556266 /nfs/dbraw/zinc/55/62/66/1071556266.db2.gz CTQSIOPAZCXTGZ-CQSZACIVSA-N 0 0 448.501 -0.158 20 0 IBADRN O=C(c1ccccc1O)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000108175993 1071556206 /nfs/dbraw/zinc/55/62/06/1071556206.db2.gz CTQSIOPAZCXTGZ-AWEZNQCLSA-N 0 0 448.501 -0.158 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)c(=O)n(C)c1=O ZINC000108176017 1074415969 /nfs/dbraw/zinc/41/59/69/1074415969.db2.gz MNDRIJZCTMOBKD-BETUJISGSA-N 0 0 448.476 -0.314 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN2C(=O)[C@H]3CCCC[C@@H]3C2=O)c(=O)n(C)c1=O ZINC000108176022 1074415963 /nfs/dbraw/zinc/41/59/63/1074415963.db2.gz MNDRIJZCTMOBKD-STQMWFEESA-N 0 0 448.476 -0.314 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN2C(=O)[C@@H]3CCCC[C@H]3C2=O)c(=O)n(C)c1=O ZINC000108176027 1074415897 /nfs/dbraw/zinc/41/58/97/1074415897.db2.gz MNDRIJZCTMOBKD-CHWSQXEVSA-N 0 0 448.476 -0.314 20 0 IBADRN CN(CCOc1ccc(S(=O)(=O)N(C)C)cc1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000108177386 1073007698 /nfs/dbraw/zinc/00/76/98/1073007698.db2.gz OGFUKDGVZLIDJG-CQSZACIVSA-N 0 0 433.552 -0.449 20 0 IBADRN CN(CCOc1ccc(S(=O)(=O)N(C)C)cc1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000108177389 1073007570 /nfs/dbraw/zinc/00/75/70/1073007570.db2.gz OGFUKDGVZLIDJG-AWEZNQCLSA-N 0 0 433.552 -0.449 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3C(=O)C(C)(C)C)CC2)CC1 ZINC000108183316 1073140991 /nfs/dbraw/zinc/14/09/91/1073140991.db2.gz OBZVJOPIXLHXAK-MRXNPFEDSA-N 0 0 429.587 -0.340 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3C(=O)C(C)(C)C)CC2)CC1 ZINC000108183319 1073140836 /nfs/dbraw/zinc/14/08/36/1073140836.db2.gz OBZVJOPIXLHXAK-INIZCTEOSA-N 0 0 429.587 -0.340 20 0 IBADRN Cc1cc(N2CCN(C(=O)CN(C)S(=O)(=O)c3cccnc3)CC2)n2ncnc2n1 ZINC000108186419 1071073044 /nfs/dbraw/zinc/07/30/44/1071073044.db2.gz JZIFDIFHUVKYLY-UHFFFAOYSA-N 0 0 430.494 -0.203 20 0 IBADRN CCCCn1c2nc(CN3CCC[C@H](CNS(C)(=O)=O)C3)n(C)c2c(=O)[nH]c1=O ZINC000108191163 1073110601 /nfs/dbraw/zinc/11/06/01/1073110601.db2.gz VSEPYGXVKNGSCO-CYBMUJFWSA-N 0 0 426.543 -0.015 20 0 IBADRN CCCCn1c2nc(CN3CCC[C@@H](CNS(C)(=O)=O)C3)n(C)c2c(=O)[nH]c1=O ZINC000108191168 1073110556 /nfs/dbraw/zinc/11/05/56/1073110556.db2.gz VSEPYGXVKNGSCO-ZDUSSCGKSA-N 0 0 426.543 -0.015 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc2c1=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000108213086 1073008115 /nfs/dbraw/zinc/00/81/15/1073008115.db2.gz NWVJNDLJHRCNRT-UHFFFAOYSA-N 0 0 435.440 -0.422 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCCCC3)CC2)CC1 ZINC000108219355 1071590588 /nfs/dbraw/zinc/59/05/88/1071590588.db2.gz VPBQRSOLPWTYNB-UHFFFAOYSA-N 0 0 431.559 -0.365 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(CCCN3C(=O)CNC3=O)CC2)c1 ZINC000108226334 1073140912 /nfs/dbraw/zinc/14/09/12/1073140912.db2.gz JEXUPQHFVIQEPA-UHFFFAOYSA-N 0 0 426.495 -0.048 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000108249356 1073141082 /nfs/dbraw/zinc/14/10/82/1073141082.db2.gz ZDELTBLXYPGDJE-UHFFFAOYSA-N 0 0 440.497 -0.213 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1OC ZINC000108249784 1073141348 /nfs/dbraw/zinc/14/13/48/1073141348.db2.gz MPXHNUVCXAIOCK-UHFFFAOYSA-N 0 0 438.506 -0.490 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCc3cc(S(C)(=O)=O)ccc31)c(=O)n2C ZINC000108268395 1073141401 /nfs/dbraw/zinc/14/14/01/1073141401.db2.gz XZZHLISRQXRJRR-UHFFFAOYSA-N 0 0 431.474 -0.183 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@@H]2CC(=O)N(Cc3ccccn3)C2)c(=O)[nH]c1=O ZINC000108274508 1073141514 /nfs/dbraw/zinc/14/15/14/1073141514.db2.gz IPQLVMJFHZFKES-CQSZACIVSA-N 0 0 444.492 -0.048 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@H]2CC(=O)N(Cc3ccccn3)C2)c(=O)[nH]c1=O ZINC000108274509 1073141452 /nfs/dbraw/zinc/14/14/52/1073141452.db2.gz IPQLVMJFHZFKES-AWEZNQCLSA-N 0 0 444.492 -0.048 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H](CO)NC(=O)c2ccccc2)c(=O)n(C)c1=O ZINC000108321096 1071715159 /nfs/dbraw/zinc/71/51/59/1071715159.db2.gz QYJBDSKXOZLOAA-CQSZACIVSA-N 0 0 446.460 -0.698 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H](CO)NC(=O)c2ccccc2)c(=O)n(C)c1=O ZINC000108321100 1071715212 /nfs/dbraw/zinc/71/52/12/1071715212.db2.gz QYJBDSKXOZLOAA-AWEZNQCLSA-N 0 0 446.460 -0.698 20 0 IBADRN C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000108348015 1073142186 /nfs/dbraw/zinc/14/21/86/1073142186.db2.gz URSOISKROGKRMQ-LBPRGKRZSA-N 0 0 448.505 -0.439 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000108348017 1073142132 /nfs/dbraw/zinc/14/21/32/1073142132.db2.gz URSOISKROGKRMQ-GFCCVEGCSA-N 0 0 448.505 -0.439 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)N2CCOCC2)cc1 ZINC000108377540 1073142172 /nfs/dbraw/zinc/14/21/72/1073142172.db2.gz VEWVVTVLWBQQHR-QGZVFWFLSA-N 0 0 440.504 -0.068 20 0 IBADRN Cc1ccc([C@H](CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)N2CCOCC2)cc1 ZINC000108377542 1073142062 /nfs/dbraw/zinc/14/20/62/1073142062.db2.gz VEWVVTVLWBQQHR-KRWDZBQOSA-N 0 0 440.504 -0.068 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000108396092 1073008621 /nfs/dbraw/zinc/00/86/21/1073008621.db2.gz IRGYJXWGMMAJNV-UHFFFAOYSA-N 0 0 433.508 -0.644 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(CCN3CCOCC3)cc1)c(=O)n2C ZINC000108397131 1073142694 /nfs/dbraw/zinc/14/26/94/1073142694.db2.gz YWXITPATIDDMJC-UHFFFAOYSA-N 0 0 426.477 -0.053 20 0 IBADRN CCN1CCN(CC(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)C(=O)C1=O ZINC000108405280 1073142721 /nfs/dbraw/zinc/14/27/21/1073142721.db2.gz XSTZIHPFIVGUFD-UHFFFAOYSA-N 0 0 440.497 -0.261 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)C(=O)C1=O ZINC000108409402 1073142547 /nfs/dbraw/zinc/14/25/47/1073142547.db2.gz IFZOLEYHMFJPAW-UHFFFAOYSA-N 0 0 443.570 -0.669 20 0 IBADRN NC(=O)CC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000108414514 1073009187 /nfs/dbraw/zinc/00/91/87/1073009187.db2.gz PBKXVHTVQNURKR-UHFFFAOYSA-N 0 0 431.536 -0.016 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000108417810 1073142567 /nfs/dbraw/zinc/14/25/67/1073142567.db2.gz FUQIRKRTKPHDQT-KGLIPLIRSA-N 0 0 431.536 -0.018 20 0 IBADRN C[C@H]1CC[C@H](C(N)=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000108417812 1073142536 /nfs/dbraw/zinc/14/25/36/1073142536.db2.gz FUQIRKRTKPHDQT-KBPBESRZSA-N 0 0 431.536 -0.018 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000108417815 1073142617 /nfs/dbraw/zinc/14/26/17/1073142617.db2.gz FUQIRKRTKPHDQT-ZIAGYGMSSA-N 0 0 431.536 -0.018 20 0 IBADRN C[C@H]1CC[C@@H](C(N)=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000108417817 1073142484 /nfs/dbraw/zinc/14/24/84/1073142484.db2.gz FUQIRKRTKPHDQT-UONOGXRCSA-N 0 0 431.536 -0.018 20 0 IBADRN C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccccc1NS(C)(=O)=O ZINC000108481997 1073143704 /nfs/dbraw/zinc/14/37/04/1073143704.db2.gz ACQKLZSBPUBLQP-NSHDSACASA-N 0 0 434.478 -0.317 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccccc1NS(C)(=O)=O ZINC000108481999 1073143783 /nfs/dbraw/zinc/14/37/83/1073143783.db2.gz ACQKLZSBPUBLQP-LLVKDONJSA-N 0 0 434.478 -0.317 20 0 IBADRN COc1cc(C(=O)NCCN2CCN(c3ncccn3)CC2)cc(OC)c1OCC(N)=O ZINC000108483209 1073143833 /nfs/dbraw/zinc/14/38/33/1073143833.db2.gz NYFVYLREKZNVRX-UHFFFAOYSA-N 0 0 444.492 -0.090 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCN2CCN(c3ncccn3)CC2)ccc1OC ZINC000108484126 1073143883 /nfs/dbraw/zinc/14/38/83/1073143883.db2.gz VLNNNHJIZUUCBH-UHFFFAOYSA-N 0 0 434.522 -0.055 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cc(N3CCCS3(=O)=O)ccc1O)c(=O)n2C ZINC000108484196 1073143816 /nfs/dbraw/zinc/14/38/16/1073143816.db2.gz SKSHOEBIFBZBQZ-UHFFFAOYSA-N 0 0 448.461 -0.682 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000108487576 1073009906 /nfs/dbraw/zinc/00/99/06/1073009906.db2.gz HXYWXJFZJPVFRH-AWEZNQCLSA-N 0 0 427.527 -0.275 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)CC1 ZINC000108489849 1073009882 /nfs/dbraw/zinc/00/98/82/1073009882.db2.gz NAXBICDKAZZJPC-AWEZNQCLSA-N 0 0 429.543 -0.029 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000108493177 1073590059 /nfs/dbraw/zinc/59/00/59/1073590059.db2.gz QOYNUQIAHWCYMO-LBPRGKRZSA-N 0 0 429.499 -0.846 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000108501195 1073010831 /nfs/dbraw/zinc/01/08/31/1073010831.db2.gz OHVDWULPRUPLMF-HNNXBMFYSA-N 0 0 442.513 -0.505 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000108512400 1073144373 /nfs/dbraw/zinc/14/43/73/1073144373.db2.gz CKDXQEYKUCFUSZ-AWEZNQCLSA-N 0 0 437.526 -0.313 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000108515354 1074416514 /nfs/dbraw/zinc/41/65/14/1074416514.db2.gz SJZMXVKJOFRCCQ-KBPBESRZSA-N 0 0 441.506 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000108515359 1074416466 /nfs/dbraw/zinc/41/64/66/1074416466.db2.gz SJZMXVKJOFRCCQ-UONOGXRCSA-N 0 0 441.506 -0.016 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000108551182 1073009840 /nfs/dbraw/zinc/00/98/40/1073009840.db2.gz CYLUHSNHSMCQLK-UHFFFAOYSA-N 0 0 448.501 -0.340 20 0 IBADRN CC1CCN(C(=O)C2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)CC1 ZINC000108552314 1073144894 /nfs/dbraw/zinc/14/48/94/1073144894.db2.gz AZYQZSPMQCRWEQ-UHFFFAOYSA-N 0 0 430.509 -0.069 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000108552374 1073144908 /nfs/dbraw/zinc/14/49/08/1073144908.db2.gz FLPFMKLEXUHGBV-AWEZNQCLSA-N 0 0 436.490 -0.827 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Cn2cccc(C(F)(F)F)c2=O)CC1 ZINC000108552397 1073145584 /nfs/dbraw/zinc/14/55/84/1073145584.db2.gz SNQATKQPJDRFRI-UHFFFAOYSA-N 0 0 428.367 -0.313 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1=O ZINC000108552953 1073145541 /nfs/dbraw/zinc/14/55/41/1073145541.db2.gz QCABKUKMNITAFM-OAHLLOKOSA-N 0 0 442.472 -0.132 20 0 IBADRN COc1ccccc1N1C[C@@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1=O ZINC000108552956 1073145393 /nfs/dbraw/zinc/14/53/93/1073145393.db2.gz QCABKUKMNITAFM-HNNXBMFYSA-N 0 0 442.472 -0.132 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000108553521 1073009942 /nfs/dbraw/zinc/00/99/42/1073009942.db2.gz HKXFYBPOITZHOT-UHFFFAOYSA-N 0 0 441.893 -0.067 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000108555949 1073010171 /nfs/dbraw/zinc/01/01/71/1073010171.db2.gz IETHKCOVQYLYTF-UHFFFAOYSA-N 0 0 425.445 -0.475 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CS(=O)(=O)CCCc2ccccc2)CC1 ZINC000108558867 1073010435 /nfs/dbraw/zinc/01/04/35/1073010435.db2.gz KVQGSVZGOBMQTD-UHFFFAOYSA-N 0 0 449.529 -0.146 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000108622378 1073012545 /nfs/dbraw/zinc/01/25/45/1073012545.db2.gz KPSLQXARHBPFBW-QGZVFWFLSA-N 0 0 430.571 -0.416 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000108622381 1073012573 /nfs/dbraw/zinc/01/25/73/1073012573.db2.gz KPSLQXARHBPFBW-KRWDZBQOSA-N 0 0 430.571 -0.416 20 0 IBADRN CN(CC(=O)NCCCn1nc2n(c1=O)CCCC2)C1=NS(=O)(=O)c2ccccc21 ZINC000108632566 1072384762 /nfs/dbraw/zinc/38/47/62/1072384762.db2.gz YZHPVIATJFYMBE-UHFFFAOYSA-N 0 0 432.506 -0.032 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1cccc(CN3CCOCC3)c1)c(=O)n2C ZINC000108639273 1073011476 /nfs/dbraw/zinc/01/14/76/1073011476.db2.gz NEESKEMKXBDADN-UHFFFAOYSA-N 0 0 426.477 -0.418 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)s1 ZINC000108666649 1073146076 /nfs/dbraw/zinc/14/60/76/1073146076.db2.gz GDXNICHMENPXLL-UHFFFAOYSA-N 0 0 430.490 -0.212 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C2=NN(Cc3ccccc3)C(=O)CC2)CC1 ZINC000108679107 1072521256 /nfs/dbraw/zinc/52/12/56/1072521256.db2.gz AOEIHTLXKDJYEU-UHFFFAOYSA-N 0 0 439.472 -0.015 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1 ZINC000108719448 1073015065 /nfs/dbraw/zinc/01/50/65/1073015065.db2.gz OWGGNQIXSROURC-HNNXBMFYSA-N 0 0 448.549 -0.052 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1 ZINC000108719452 1073014941 /nfs/dbraw/zinc/01/49/41/1073014941.db2.gz OWGGNQIXSROURC-OAHLLOKOSA-N 0 0 448.549 -0.052 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)ccc1=O ZINC000108757642 1072546550 /nfs/dbraw/zinc/54/65/50/1072546550.db2.gz QLAXHKKQFSXUDN-UHFFFAOYSA-N 0 0 438.437 -0.391 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000108757679 1072546739 /nfs/dbraw/zinc/54/67/39/1072546739.db2.gz YZXNHDRKFVVEIT-UHFFFAOYSA-N 0 0 426.451 -0.425 20 0 IBADRN COC(=O)c1sc(N)c(C(=O)OC)c1COC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000108758318 1072546509 /nfs/dbraw/zinc/54/65/09/1072546509.db2.gz NSXNSXZSFNETLS-UHFFFAOYSA-N 0 0 446.463 -0.341 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3ccccc3)CC2)cn1 ZINC000108758917 1072546422 /nfs/dbraw/zinc/54/64/22/1072546422.db2.gz XMKFEXLYHMJYFM-UHFFFAOYSA-N 0 0 435.506 -0.414 20 0 IBADRN O=C(COC(=O)C1(O)CCCC1)N1CCN(C(=O)COC(=O)C2(O)CCCC2)CC1 ZINC000108759519 1072547110 /nfs/dbraw/zinc/54/71/10/1072547110.db2.gz YPSSREYLLHOGCD-UHFFFAOYSA-N 0 0 426.466 -0.646 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)ccc1=O ZINC000108760653 1072547339 /nfs/dbraw/zinc/54/73/39/1072547339.db2.gz FKWOXQDLVFKFSY-UHFFFAOYSA-N 0 0 434.474 -0.389 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)COC(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000108761306 1072548190 /nfs/dbraw/zinc/54/81/90/1072548190.db2.gz WPLVUOHVYAPSOP-UHFFFAOYSA-N 0 0 449.533 -0.106 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)c2ccc(=O)n(C)n2)c(N)n(Cc2ccccc2)c1=O ZINC000108762490 1072548146 /nfs/dbraw/zinc/54/81/46/1072548146.db2.gz IDWYPRHWPLFCNJ-UHFFFAOYSA-N 0 0 439.428 -0.206 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)COC(=O)CN1CCS(=O)(=O)CC1 ZINC000108762614 1072548367 /nfs/dbraw/zinc/54/83/67/1072548367.db2.gz PWNPMBJSKNYRSI-UHFFFAOYSA-N 0 0 430.479 -0.398 20 0 IBADRN Cn1nc(C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)ccc1=O ZINC000108764565 1072548124 /nfs/dbraw/zinc/54/81/24/1072548124.db2.gz XOOBFNRCWYKZJI-UHFFFAOYSA-N 0 0 425.401 -0.689 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000108765909 1072548917 /nfs/dbraw/zinc/54/89/17/1072548917.db2.gz VDEBEEHJEHWPME-UHFFFAOYSA-N 0 0 446.507 -0.429 20 0 IBADRN Cc1cc(NC(=O)COC(=O)CN2CCS(=O)(=O)CC2)n(-c2nc(C)cc(C)n2)n1 ZINC000108765942 1072548945 /nfs/dbraw/zinc/54/89/45/1072548945.db2.gz UYIWAVHGJUJKOY-UHFFFAOYSA-N 0 0 436.494 -0.200 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000108766499 1072548935 /nfs/dbraw/zinc/54/89/35/1072548935.db2.gz SPTUSNQJKAYXSG-LBPRGKRZSA-N 0 0 440.478 -0.036 20 0 IBADRN COc1ccc(CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000108766502 1072548761 /nfs/dbraw/zinc/54/87/61/1072548761.db2.gz SPTUSNQJKAYXSG-GFCCVEGCSA-N 0 0 440.478 -0.036 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)o1 ZINC000108791888 1072552206 /nfs/dbraw/zinc/55/22/06/1072552206.db2.gz VYMDBMODIBKWDU-UHFFFAOYSA-N 0 0 425.423 -0.980 20 0 IBADRN O=C([C@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000108827279 1072555316 /nfs/dbraw/zinc/55/53/16/1072555316.db2.gz KEDAMKRMEPMPQO-CZUORRHYSA-N 0 0 430.504 -0.513 20 0 IBADRN O=C([C@@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000108827281 1072555296 /nfs/dbraw/zinc/55/52/96/1072555296.db2.gz KEDAMKRMEPMPQO-CJNGLKHVSA-N 0 0 430.504 -0.513 20 0 IBADRN O=C([C@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000108827284 1072555214 /nfs/dbraw/zinc/55/52/14/1072555214.db2.gz KEDAMKRMEPMPQO-XJKSGUPXSA-N 0 0 430.504 -0.513 20 0 IBADRN O=C([C@@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000108827286 1072555275 /nfs/dbraw/zinc/55/52/75/1072555275.db2.gz KEDAMKRMEPMPQO-BBRMVZONSA-N 0 0 430.504 -0.513 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000108845122 1073017233 /nfs/dbraw/zinc/01/72/33/1073017233.db2.gz JTYQAJHONYGURN-UHFFFAOYSA-N 0 0 434.468 -0.135 20 0 IBADRN O=C(Cc1cccs1)N1CCC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000108845444 1073017205 /nfs/dbraw/zinc/01/72/05/1073017205.db2.gz DRSTXYSIKDAUIT-QGZVFWFLSA-N 0 0 448.545 -0.189 20 0 IBADRN O=C(Cc1cccs1)N1CCC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000108845446 1073017175 /nfs/dbraw/zinc/01/71/75/1073017175.db2.gz DRSTXYSIKDAUIT-KRWDZBQOSA-N 0 0 448.545 -0.189 20 0 IBADRN Cc1cc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cc(C)nn3C)CC2)n(C)n1 ZINC000108851816 1073017190 /nfs/dbraw/zinc/01/71/90/1073017190.db2.gz MCEYZSMZRUTYQQ-UHFFFAOYSA-N 0 0 437.526 -0.712 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccc(Cn3ccccc3=O)cc1)c(=O)n2C ZINC000108892273 1073018237 /nfs/dbraw/zinc/01/82/37/1073018237.db2.gz UYALVDIXGXMCFW-UHFFFAOYSA-N 0 0 434.456 -0.040 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(NC(=O)c3ccsc3)CC1)c(=O)n2C ZINC000108898833 1073018178 /nfs/dbraw/zinc/01/81/78/1073018178.db2.gz NBVWHPAGSFGTJO-UHFFFAOYSA-N 0 0 430.490 -0.084 20 0 IBADRN CCc1nc(CN2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)cs1 ZINC000108903843 1073018278 /nfs/dbraw/zinc/01/82/78/1073018278.db2.gz STEJYEXHTPRIRH-UHFFFAOYSA-N 0 0 431.522 -0.203 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCCN(CC(=O)N(CC)CC)CC2)CC1 ZINC000108927806 1072588200 /nfs/dbraw/zinc/58/82/00/1072588200.db2.gz YPSFAFMCURAECT-UHFFFAOYSA-N 0 0 433.575 -0.119 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(S(=O)(=O)N(CC)CC)CC2)CC1 ZINC000108928146 1072588264 /nfs/dbraw/zinc/58/82/64/1072588264.db2.gz TWVNMCDNEHCAMS-UHFFFAOYSA-N 0 0 441.576 -0.791 20 0 IBADRN COCCn1c(C)cc(C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000108937112 1074417316 /nfs/dbraw/zinc/41/73/16/1074417316.db2.gz GTHPEPYNNLQIMX-UHFFFAOYSA-N 0 0 431.449 -0.075 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(CN3CCC[C@@H]3C(N)=O)c1)c(=O)n2C ZINC000108940132 1070798399 /nfs/dbraw/zinc/79/83/99/1070798399.db2.gz HTKFBDFYKDQLNJ-OAHLLOKOSA-N 0 0 439.476 -0.478 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(CN3CCC[C@H]3C(N)=O)c1)c(=O)n2C ZINC000108940134 1070798487 /nfs/dbraw/zinc/79/84/87/1070798487.db2.gz HTKFBDFYKDQLNJ-HNNXBMFYSA-N 0 0 439.476 -0.478 20 0 IBADRN CCN1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C(=O)C1=O ZINC000108942185 1070803821 /nfs/dbraw/zinc/80/38/21/1070803821.db2.gz RBGNBFHHPXDAQK-UHFFFAOYSA-N 0 0 437.522 -0.748 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)[C@@H]3CCCN3S(C)(=O)=O)c2)CC1 ZINC000108942272 1071421627 /nfs/dbraw/zinc/42/16/27/1071421627.db2.gz WGIKAZXLSGNSNQ-INIZCTEOSA-N 0 0 430.552 -0.015 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)[C@H]3CCCN3S(C)(=O)=O)c2)CC1 ZINC000108942274 1071421748 /nfs/dbraw/zinc/42/17/48/1071421748.db2.gz WGIKAZXLSGNSNQ-MRXNPFEDSA-N 0 0 430.552 -0.015 20 0 IBADRN COCCn1c(N)c(N(CCCN2C(=O)CNC2=O)Cc2ccccc2)c(=O)[nH]c1=O ZINC000108965882 1070809333 /nfs/dbraw/zinc/80/93/33/1070809333.db2.gz FCQKUJYHQCDFOQ-UHFFFAOYSA-N 0 0 430.465 -0.286 20 0 IBADRN O=C(NCCOC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccncc1 ZINC000108977969 1072605117 /nfs/dbraw/zinc/60/51/17/1072605117.db2.gz ZTMNIERAIPNCCS-UHFFFAOYSA-N 0 0 432.458 -0.211 20 0 IBADRN O=C(NCCOC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccncc1 ZINC000108978239 1073146900 /nfs/dbraw/zinc/14/69/00/1073146900.db2.gz CODQNIOEZBHTQP-UHFFFAOYSA-N 0 0 432.458 -0.211 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cc1 ZINC000109001719 1070812858 /nfs/dbraw/zinc/81/28/58/1070812858.db2.gz JNQRSVJDCHRSIH-UHFFFAOYSA-N 0 0 434.478 -0.714 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2S(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000109010729 1070812583 /nfs/dbraw/zinc/81/25/83/1070812583.db2.gz UJBQRKFBDYKYET-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN O=S(=O)(NCCCN1CCN(c2ncccn2)CC1)c1cccc(-n2cnnn2)c1 ZINC000109013409 1070813063 /nfs/dbraw/zinc/81/30/63/1070813063.db2.gz WSAQSRDIYRKHOR-UHFFFAOYSA-N 0 0 429.510 -0.057 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3cccc(-n4cnnn4)c3)CC2)no1 ZINC000109014621 1070812293 /nfs/dbraw/zinc/81/22/93/1070812293.db2.gz HPYSNJDMKFZLMO-UHFFFAOYSA-N 0 0 432.466 -0.096 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(CC(=O)N4CCCCCC4)CC3)nc2c(=O)[nH]c1=O ZINC000109015402 1070812895 /nfs/dbraw/zinc/81/28/95/1070812895.db2.gz INWZOOWDXLCMEZ-UHFFFAOYSA-N 0 0 445.524 -0.571 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000109041001 1070814972 /nfs/dbraw/zinc/81/49/72/1070814972.db2.gz OAXBZEUBHOGQKL-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000109041005 1070814884 /nfs/dbraw/zinc/81/48/84/1070814884.db2.gz OAXBZEUBHOGQKL-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000109042819 1070815625 /nfs/dbraw/zinc/81/56/25/1070815625.db2.gz IBVKNAZOAWPWPT-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000109042821 1070815565 /nfs/dbraw/zinc/81/55/65/1070815565.db2.gz IBVKNAZOAWPWPT-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000109047588 1070816371 /nfs/dbraw/zinc/81/63/71/1070816371.db2.gz PBRRZFDXGMEKGO-OAHLLOKOSA-N 0 0 446.551 -0.194 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000109047591 1070816774 /nfs/dbraw/zinc/81/67/74/1070816774.db2.gz PBRRZFDXGMEKGO-HNNXBMFYSA-N 0 0 446.551 -0.194 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000109048117 1071422475 /nfs/dbraw/zinc/42/24/75/1071422475.db2.gz PGRKMQNGPZITKN-AWEZNQCLSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000109048120 1071422516 /nfs/dbraw/zinc/42/25/16/1071422516.db2.gz PGRKMQNGPZITKN-CQSZACIVSA-N 0 0 431.536 -0.139 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000109048185 1070816888 /nfs/dbraw/zinc/81/68/88/1070816888.db2.gz IAGGLJWXZVPKPE-CQSZACIVSA-N 0 0 448.567 -0.581 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000109048188 1070816864 /nfs/dbraw/zinc/81/68/64/1070816864.db2.gz IAGGLJWXZVPKPE-AWEZNQCLSA-N 0 0 448.567 -0.581 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)c2)CCO1 ZINC000109049934 1070816405 /nfs/dbraw/zinc/81/64/05/1070816405.db2.gz MWRIUOVKRITLLW-OAHLLOKOSA-N 0 0 440.504 -0.029 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)c2)CCO1 ZINC000109049936 1070816228 /nfs/dbraw/zinc/81/62/28/1070816228.db2.gz MWRIUOVKRITLLW-HNNXBMFYSA-N 0 0 440.504 -0.029 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NC[C@H](O)COc3ccc4c(c3)OCO4)nc2n(C)c1=O ZINC000109052107 1070816950 /nfs/dbraw/zinc/81/69/50/1070816950.db2.gz GCOGLRXJXNUQAR-NSHDSACASA-N 0 0 428.401 -0.469 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NC[C@@H](O)COc3ccc4c(c3)OCO4)nc2n(C)c1=O ZINC000109052110 1070816603 /nfs/dbraw/zinc/81/66/03/1070816603.db2.gz GCOGLRXJXNUQAR-LLVKDONJSA-N 0 0 428.401 -0.469 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000109068639 1071422307 /nfs/dbraw/zinc/42/23/07/1071422307.db2.gz UFCUFNSTUYHLPK-CQSZACIVSA-N 0 0 446.551 -0.793 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000109068640 1071422362 /nfs/dbraw/zinc/42/23/62/1071422362.db2.gz UFCUFNSTUYHLPK-AWEZNQCLSA-N 0 0 446.551 -0.793 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1OC ZINC000109069043 1071422400 /nfs/dbraw/zinc/42/24/00/1071422400.db2.gz FARNPKDTDRXPRU-CYBMUJFWSA-N 0 0 449.551 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1OC ZINC000109069045 1071422372 /nfs/dbraw/zinc/42/23/72/1071422372.db2.gz FARNPKDTDRXPRU-ZDUSSCGKSA-N 0 0 449.551 -0.088 20 0 IBADRN COc1cc(C(=O)NCC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc(OC)c1OC ZINC000109069323 1070818118 /nfs/dbraw/zinc/81/81/18/1070818118.db2.gz JVDMMEXZZLGCDX-CYBMUJFWSA-N 0 0 429.495 -0.018 20 0 IBADRN COc1cc(C(=O)NCC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc(OC)c1OC ZINC000109069325 1070817974 /nfs/dbraw/zinc/81/79/74/1070817974.db2.gz JVDMMEXZZLGCDX-ZDUSSCGKSA-N 0 0 429.495 -0.018 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN(C(=O)C(C)(C)C)C3)CC2)CC1 ZINC000109070336 1070818360 /nfs/dbraw/zinc/81/83/60/1070818360.db2.gz GFPJUHLTUJHTHD-KRWDZBQOSA-N 0 0 443.614 -0.093 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN(C(=O)C(C)(C)C)C3)CC2)CC1 ZINC000109070338 1070817899 /nfs/dbraw/zinc/81/78/99/1070817899.db2.gz GFPJUHLTUJHTHD-QGZVFWFLSA-N 0 0 443.614 -0.093 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000109074288 1070818729 /nfs/dbraw/zinc/81/87/29/1070818729.db2.gz QFRBVIUGXKOCNL-INIZCTEOSA-N 0 0 430.571 -0.008 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000109074291 1070819312 /nfs/dbraw/zinc/81/93/12/1070819312.db2.gz QFRBVIUGXKOCNL-MRXNPFEDSA-N 0 0 430.571 -0.008 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000109104391 1070819073 /nfs/dbraw/zinc/81/90/73/1070819073.db2.gz QEOUHXRBWQLJQK-LJQANCHMSA-N 0 0 436.578 -0.126 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000109104392 1070818820 /nfs/dbraw/zinc/81/88/20/1070818820.db2.gz QEOUHXRBWQLJQK-IBGZPJMESA-N 0 0 436.578 -0.126 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC000109120327 1070820712 /nfs/dbraw/zinc/82/07/12/1070820712.db2.gz OWHSPLKDOLTAOD-UHFFFAOYSA-N 0 0 426.539 -0.105 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)[C@H](CO)NC(=O)c1ccccc1 ZINC000109129155 1072823352 /nfs/dbraw/zinc/82/33/52/1072823352.db2.gz NMWFSIMFHXEYOT-INIZCTEOSA-N 0 0 447.469 -0.443 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)[C@@H](CO)NC(=O)c1ccccc1 ZINC000109129156 1072823651 /nfs/dbraw/zinc/82/36/51/1072823651.db2.gz NMWFSIMFHXEYOT-MRXNPFEDSA-N 0 0 447.469 -0.443 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@@H](CO)NC(=O)c2ccccc2)cc1S(N)(=O)=O ZINC000109129535 1072823402 /nfs/dbraw/zinc/82/34/02/1072823402.db2.gz UKGGWNGSVJISTE-OAHLLOKOSA-N 0 0 435.458 -0.085 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@H](CO)NC(=O)c2ccccc2)cc1S(N)(=O)=O ZINC000109129536 1072823422 /nfs/dbraw/zinc/82/34/22/1072823422.db2.gz UKGGWNGSVJISTE-HNNXBMFYSA-N 0 0 435.458 -0.085 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3nc(S(C)(=O)=O)n4ccccc34)c2c(=O)n(C)c1=O ZINC000109130465 1073148164 /nfs/dbraw/zinc/14/81/64/1073148164.db2.gz BDYZFYHWZDQJQN-UHFFFAOYSA-N 0 0 446.445 -0.658 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(N4NC(=O)CCC4=O)cc3)c2c(=O)n(C)c1=O ZINC000109130799 1072823694 /nfs/dbraw/zinc/82/36/94/1072823694.db2.gz UPCLSZLKHHVFHV-UHFFFAOYSA-N 0 0 440.416 -0.551 20 0 IBADRN NC(=O)c1ccc(OCC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000109138421 1070822149 /nfs/dbraw/zinc/82/21/49/1070822149.db2.gz NWBRPHRUMPUIRC-UHFFFAOYSA-N 0 0 432.458 -0.077 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(C(=O)Cc3ccccc3Cl)CC2)c(=O)n(C)c1=O ZINC000109168289 1073117582 /nfs/dbraw/zinc/11/75/82/1073117582.db2.gz XVHFYFCJUNZCSV-UHFFFAOYSA-N 0 0 433.896 -0.111 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000109186976 1071422465 /nfs/dbraw/zinc/42/24/65/1071422465.db2.gz QGWHPAPINPBMGV-KRWDZBQOSA-N 0 0 430.486 -0.367 20 0 IBADRN NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000109186977 1071422445 /nfs/dbraw/zinc/42/24/45/1071422445.db2.gz QGWHPAPINPBMGV-QGZVFWFLSA-N 0 0 430.486 -0.367 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC(N(C)S(C)(=O)=O)CC1)C2=O ZINC000109197633 1073149065 /nfs/dbraw/zinc/14/90/65/1073149065.db2.gz ZVPNNMWGSIESKW-UHFFFAOYSA-N 0 0 429.543 -0.126 20 0 IBADRN Cc1cccc2c1ncn(CC(=O)NCC(=O)Nc1cccc3c(=O)[nH][nH]c(=O)c13)c2=O ZINC000109197936 1070824254 /nfs/dbraw/zinc/82/42/54/1070824254.db2.gz DEVRRPVPUQWRSV-UHFFFAOYSA-N 0 0 434.412 -0.010 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)c(C)s1 ZINC000109201172 1070823680 /nfs/dbraw/zinc/82/36/80/1070823680.db2.gz PZVUDKCXXNJMBZ-UHFFFAOYSA-N 0 0 426.520 -0.242 20 0 IBADRN CN(C)C(=O)CN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000109215554 1070827835 /nfs/dbraw/zinc/82/78/35/1070827835.db2.gz SUKQZSAHAXYOQB-UHFFFAOYSA-N 0 0 443.595 -0.012 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000109215630 1070826869 /nfs/dbraw/zinc/82/68/69/1070826869.db2.gz YFTRRGKRBNDZRI-UHFFFAOYSA-N 0 0 429.568 -0.354 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)NCC(=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000109217210 1070826935 /nfs/dbraw/zinc/82/69/35/1070826935.db2.gz HKZHMKVFBWQYCE-UHFFFAOYSA-N 0 0 439.384 -0.570 20 0 IBADRN CC(=O)NCc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000109310070 1070830121 /nfs/dbraw/zinc/83/01/21/1070830121.db2.gz CQCJDUHHALDYSO-UHFFFAOYSA-N 0 0 429.568 -0.366 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(CCCOCC(F)(F)F)CC1)c(=O)n2C ZINC000109342949 1070830731 /nfs/dbraw/zinc/83/07/31/1070830731.db2.gz WUUIJWGXQUIDIV-UHFFFAOYSA-N 0 0 446.430 -0.453 20 0 IBADRN O=C(CNC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(c2ccccc2)CC1 ZINC000109349725 1074417247 /nfs/dbraw/zinc/41/72/47/1074417247.db2.gz YUZBZMGWMLDOPV-UHFFFAOYSA-N 0 0 429.502 -0.051 20 0 IBADRN O=C(CNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCN(c2ccccc2)CC1 ZINC000109350262 1074417267 /nfs/dbraw/zinc/41/72/67/1074417267.db2.gz NJWWPXIBGJPTAM-UHFFFAOYSA-N 0 0 429.502 -0.051 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(c3ccccc3)CC2)c1 ZINC000109355200 1070830662 /nfs/dbraw/zinc/83/06/62/1070830662.db2.gz YLVAJKTYUIRRJF-UHFFFAOYSA-N 0 0 438.531 -0.039 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NC[C@@H]3CN(Cc4ccccc4)CCO3)nc2c(=O)[nH]c1=O ZINC000109412818 1070840134 /nfs/dbraw/zinc/84/01/34/1070840134.db2.gz QSZYQGLMEIHOPW-OAHLLOKOSA-N 0 0 426.477 -0.100 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NC[C@H]3CN(Cc4ccccc4)CCO3)nc2c(=O)[nH]c1=O ZINC000109412819 1070840326 /nfs/dbraw/zinc/84/03/26/1070840326.db2.gz QSZYQGLMEIHOPW-HNNXBMFYSA-N 0 0 426.477 -0.100 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)cc1 ZINC000109430612 1074417300 /nfs/dbraw/zinc/41/73/00/1074417300.db2.gz ULEWTKKWXAGMED-HNNXBMFYSA-N 0 0 448.519 -0.448 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)cc1 ZINC000109430616 1074417975 /nfs/dbraw/zinc/41/79/75/1074417975.db2.gz ULEWTKKWXAGMED-OAHLLOKOSA-N 0 0 448.519 -0.448 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccc(OCCS(=O)(=O)CC)cc2)CC1 ZINC000109431135 1074417947 /nfs/dbraw/zinc/41/79/47/1074417947.db2.gz KVQPKOSMCXHPFB-UHFFFAOYSA-N 0 0 447.579 -0.058 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)c1=O ZINC000109523627 1074417981 /nfs/dbraw/zinc/41/79/81/1074417981.db2.gz CDYCTRBQAJXWLQ-CQSZACIVSA-N 0 0 437.497 -0.574 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)c1=O ZINC000109523629 1074417936 /nfs/dbraw/zinc/41/79/36/1074417936.db2.gz CDYCTRBQAJXWLQ-AWEZNQCLSA-N 0 0 437.497 -0.574 20 0 IBADRN CCN1CCN(CC(=O)NCC2CCN(S(=O)(=O)c3ccccc3)CC2)C(=O)C1=O ZINC000109528029 1070847441 /nfs/dbraw/zinc/84/74/41/1070847441.db2.gz DFYFENGRGPTNBM-UHFFFAOYSA-N 0 0 436.534 -0.106 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)cc1 ZINC000109575812 1070847977 /nfs/dbraw/zinc/84/79/77/1070847977.db2.gz LIJDABYDOUTHEK-CYBMUJFWSA-N 0 0 437.590 -0.027 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CSC[C@H]2C(=O)N2CCSCC2)cc1 ZINC000109575815 1070847731 /nfs/dbraw/zinc/84/77/31/1070847731.db2.gz LIJDABYDOUTHEK-ZDUSSCGKSA-N 0 0 437.590 -0.027 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)CC1 ZINC000109575819 1074417969 /nfs/dbraw/zinc/41/79/69/1074417969.db2.gz ORDNQLQQXLMGPJ-CYBMUJFWSA-N 0 0 438.597 -0.044 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CSC[C@H]2C(=O)N2CCSCC2)CC1 ZINC000109575822 1074417988 /nfs/dbraw/zinc/41/79/88/1074417988.db2.gz ORDNQLQQXLMGPJ-ZDUSSCGKSA-N 0 0 438.597 -0.044 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCO1 ZINC000109597699 1070848369 /nfs/dbraw/zinc/84/83/69/1070848369.db2.gz FMVBWKGCCZCCBQ-INIZCTEOSA-N 0 0 438.506 -0.988 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCO1 ZINC000109597702 1070848582 /nfs/dbraw/zinc/84/85/82/1070848582.db2.gz FMVBWKGCCZCCBQ-MRXNPFEDSA-N 0 0 438.506 -0.988 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C)c1 ZINC000109605514 1070848712 /nfs/dbraw/zinc/84/87/12/1070848712.db2.gz BJOKJPDHZHZCCO-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000109605543 1070848606 /nfs/dbraw/zinc/84/86/06/1070848606.db2.gz UIZGYMRBYMGULR-UHFFFAOYSA-N 0 0 439.490 -0.527 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C)c1 ZINC000109605566 1070848402 /nfs/dbraw/zinc/84/84/02/1070848402.db2.gz AQLGFJLUTGFSIU-UHFFFAOYSA-N 0 0 447.535 -0.335 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C)c1 ZINC000109606555 1070848630 /nfs/dbraw/zinc/84/86/30/1070848630.db2.gz KXVCDNOHPIOAAK-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2n(C)c1=O ZINC000109606666 1070848741 /nfs/dbraw/zinc/84/87/41/1070848741.db2.gz GJUWPQRFXZYGMY-UHFFFAOYSA-N 0 0 437.522 -0.958 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)NCC(=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000109615127 1070848226 /nfs/dbraw/zinc/84/82/26/1070848226.db2.gz NJPGQAXIFLYFPP-UHFFFAOYSA-N 0 0 448.233 -0.105 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N(CC(F)(F)F)C1)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000109615419 1070848122 /nfs/dbraw/zinc/84/81/22/1070848122.db2.gz RIRVKACMWNCZBW-MRVPVSSYSA-N 0 0 427.339 -0.318 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N(CC(F)(F)F)C1)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000109615423 1070848272 /nfs/dbraw/zinc/84/82/72/1070848272.db2.gz RIRVKACMWNCZBW-QMMMGPOBSA-N 0 0 427.339 -0.318 20 0 IBADRN COc1ccc(C(=O)NCCS(=O)(=O)N2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000109627374 1074417921 /nfs/dbraw/zinc/41/79/21/1074417921.db2.gz CPTKUKQMOQNYIM-UHFFFAOYSA-N 0 0 447.535 -0.472 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000109627468 1074417899 /nfs/dbraw/zinc/41/78/99/1074417899.db2.gz GCOXZALEVYPAOJ-UHFFFAOYSA-N 0 0 449.551 -0.688 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000109627539 1074417882 /nfs/dbraw/zinc/41/78/82/1074417882.db2.gz AFGLWROJXNXUEV-UHFFFAOYSA-N 0 0 431.536 -0.172 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000109627654 1070849132 /nfs/dbraw/zinc/84/91/32/1070849132.db2.gz VOIHEMCNRGAVMP-SFHVURJKSA-N 0 0 434.518 -0.044 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000109627656 1070849215 /nfs/dbraw/zinc/84/92/15/1070849215.db2.gz VOIHEMCNRGAVMP-GOSISDBHSA-N 0 0 434.518 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000109627659 1074417985 /nfs/dbraw/zinc/41/79/85/1074417985.db2.gz UEVKCEIZRUOHTK-UHFFFAOYSA-N 0 0 435.524 -0.997 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000109627673 1074418534 /nfs/dbraw/zinc/41/85/34/1074418534.db2.gz HBRHHNXRIWQAOG-UHFFFAOYSA-N 0 0 449.551 -0.468 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000109627684 1074418398 /nfs/dbraw/zinc/41/83/98/1074418398.db2.gz QCYLFRGMLCAABY-UHFFFAOYSA-N 0 0 435.524 -0.997 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000109627856 1074418558 /nfs/dbraw/zinc/41/85/58/1074418558.db2.gz FHXUSXDXIXLEJJ-ZDUSSCGKSA-N 0 0 435.524 -0.860 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Cl)c1)NCCS(=O)(=O)N1CCOCC1 ZINC000109627873 1074418542 /nfs/dbraw/zinc/41/85/42/1074418542.db2.gz HGRGMVRNXFCWBG-UHFFFAOYSA-N 0 0 439.943 -0.213 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1cccc(S(=O)(=O)NCC2CC2)c1 ZINC000109628133 1074418563 /nfs/dbraw/zinc/41/85/63/1074418563.db2.gz OUHICTAAVCLGJF-UHFFFAOYSA-N 0 0 431.536 -0.233 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)NC2CC2)cc1)NCCS(=O)(=O)N1CCOCC1 ZINC000109628136 1074418462 /nfs/dbraw/zinc/41/84/62/1074418462.db2.gz XKMDKONCPGUTDY-UHFFFAOYSA-N 0 0 445.563 -0.162 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)NCCS(=O)(=O)N1CCOCC1 ZINC000109628164 1074418409 /nfs/dbraw/zinc/41/84/09/1074418409.db2.gz NYPAMVCFCHUTPP-UHFFFAOYSA-N 0 0 441.478 -0.589 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCS(=O)(=O)N3CCOCC3)C2=O)cc1 ZINC000109628339 1074418538 /nfs/dbraw/zinc/41/85/38/1074418538.db2.gz WQLQCXONRMWYOH-LJQANCHMSA-N 0 0 438.506 -0.460 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCS(=O)(=O)N3CCOCC3)C2=O)cc1 ZINC000109628343 1074418524 /nfs/dbraw/zinc/41/85/24/1074418524.db2.gz WQLQCXONRMWYOH-IBGZPJMESA-N 0 0 438.506 -0.460 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCCS(=O)(=O)N2CCOCC2)cc1C ZINC000109628373 1074418436 /nfs/dbraw/zinc/41/84/36/1074418436.db2.gz ZFGUBBWHRAJXMY-UHFFFAOYSA-N 0 0 433.552 -0.250 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000109628382 1074418496 /nfs/dbraw/zinc/41/84/96/1074418496.db2.gz IOTNPIGVHLQEKT-UHFFFAOYSA-N 0 0 425.916 -0.360 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000109628466 1074418554 /nfs/dbraw/zinc/41/85/54/1074418554.db2.gz ZNRBNJPFZRRWFY-UHFFFAOYSA-N 0 0 433.552 -0.250 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(Cl)c(C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000109628544 1074418489 /nfs/dbraw/zinc/41/84/89/1074418489.db2.gz DWWJSLFHRXAQTO-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000109629190 1074418518 /nfs/dbraw/zinc/41/85/18/1074418518.db2.gz RLPYYNNEQWDMIE-UHFFFAOYSA-N 0 0 445.563 -0.135 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000109629594 1074418514 /nfs/dbraw/zinc/41/85/14/1074418514.db2.gz YWURMOLTNTWFGT-CQSZACIVSA-N 0 0 439.490 -0.411 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000109629596 1074418481 /nfs/dbraw/zinc/41/84/81/1074418481.db2.gz YWURMOLTNTWFGT-AWEZNQCLSA-N 0 0 439.490 -0.411 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000109629699 1074418472 /nfs/dbraw/zinc/41/84/72/1074418472.db2.gz NISSSLDUPSNXGS-KUHUBIRLSA-N 0 0 444.554 -0.099 20 0 IBADRN C[C@@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000109629702 1074418448 /nfs/dbraw/zinc/41/84/48/1074418448.db2.gz NISSSLDUPSNXGS-LIRRHRJNSA-N 0 0 444.554 -0.099 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000109629705 1074418502 /nfs/dbraw/zinc/41/85/02/1074418502.db2.gz NISSSLDUPSNXGS-AUUYWEPGSA-N 0 0 444.554 -0.099 20 0 IBADRN C[C@@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000109629707 1074418509 /nfs/dbraw/zinc/41/85/09/1074418509.db2.gz NISSSLDUPSNXGS-IFXJQAMLSA-N 0 0 444.554 -0.099 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)NCCS(=O)(=O)N3CCOCC3)cc2S1(=O)=O ZINC000109629802 1074418992 /nfs/dbraw/zinc/41/89/92/1074418992.db2.gz STUOLFGJVJADNS-UHFFFAOYSA-N 0 0 445.519 -0.369 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000109630087 1074418885 /nfs/dbraw/zinc/41/88/85/1074418885.db2.gz LFLUHHQYLYMOAF-UHFFFAOYSA-N 0 0 447.535 -0.901 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000109630216 1074418875 /nfs/dbraw/zinc/41/88/75/1074418875.db2.gz KLLUPDCEKWWUMG-UHFFFAOYSA-N 0 0 447.535 -0.901 20 0 IBADRN Cc1oc(C(=O)NCCS(=O)(=O)N2CCOCC2)cc1S(=O)(=O)N1CCCC1 ZINC000109630361 1074418953 /nfs/dbraw/zinc/41/89/53/1074418953.db2.gz CTOCHEHZKRLSQE-UHFFFAOYSA-N 0 0 435.524 -0.236 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCS(=O)(=O)N2CCOCC2)=NN1c1ccc(F)cc1 ZINC000109630388 1074418968 /nfs/dbraw/zinc/41/89/68/1074418968.db2.gz WNORLKLDGJANRL-HNNXBMFYSA-N 0 0 427.458 -0.976 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCS(=O)(=O)N2CCOCC2)=NN1c1ccc(F)cc1 ZINC000109630391 1074418959 /nfs/dbraw/zinc/41/89/59/1074418959.db2.gz WNORLKLDGJANRL-OAHLLOKOSA-N 0 0 427.458 -0.976 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1sccc1S(=O)(=O)N1CCCC1 ZINC000109630409 1074418964 /nfs/dbraw/zinc/41/89/64/1074418964.db2.gz AJRGVFGAFDEVMB-UHFFFAOYSA-N 0 0 437.565 -0.076 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCOCC2)n(C)c1 ZINC000109630412 1074418986 /nfs/dbraw/zinc/41/89/86/1074418986.db2.gz HHOMHMNZSSUNKT-UHFFFAOYSA-N 0 0 436.556 -0.553 20 0 IBADRN Cc1cc(C(=O)NCCS(=O)(=O)N2CCOCC2)cc(S(=O)(=O)N(C)C)c1C ZINC000109630429 1074418939 /nfs/dbraw/zinc/41/89/39/1074418939.db2.gz APINQIQXZAVWSZ-UHFFFAOYSA-N 0 0 433.552 -0.054 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)NCCS(=O)(=O)N3CCOCC3)c(C)n2n1 ZINC000109630738 1074418980 /nfs/dbraw/zinc/41/89/80/1074418980.db2.gz GFAWORSNLXHBRF-UHFFFAOYSA-N 0 0 428.540 -0.216 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1OCC(=O)NC(C)C ZINC000109630795 1074418926 /nfs/dbraw/zinc/41/89/26/1074418926.db2.gz BFOGHLQHOXOZQN-UHFFFAOYSA-N 0 0 443.522 -0.010 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NCCS(=O)(=O)N2CCOCC2)cc1C ZINC000109630820 1074418857 /nfs/dbraw/zinc/41/88/57/1074418857.db2.gz XHDLDDRJGDNIHZ-UHFFFAOYSA-N 0 0 433.552 -0.298 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCCS(=O)(=O)N2CCOCC2)C1=O ZINC000109630828 1074418974 /nfs/dbraw/zinc/41/89/74/1074418974.db2.gz AKKRPUWQSKNCFI-SFHVURJKSA-N 0 0 442.469 -0.629 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCCS(=O)(=O)N2CCOCC2)C1=O ZINC000109630831 1074418919 /nfs/dbraw/zinc/41/89/19/1074418919.db2.gz AKKRPUWQSKNCFI-GOSISDBHSA-N 0 0 442.469 -0.629 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)N2CCOCC2)cc(Cl)c1OCC(N)=O ZINC000109630965 1074418905 /nfs/dbraw/zinc/41/89/05/1074418905.db2.gz LEWDEFMOOKCTHK-UHFFFAOYSA-N 0 0 435.886 -0.396 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)NCCS(=O)(=O)N3CCOCC3)ccc2N1S(C)(=O)=O ZINC000109630981 1074418933 /nfs/dbraw/zinc/41/89/33/1074418933.db2.gz WCRGIWIIUKVRGK-CYBMUJFWSA-N 0 0 431.536 -0.211 20 0 IBADRN C[C@H]1Cc2cc(C(=O)NCCS(=O)(=O)N3CCOCC3)ccc2N1S(C)(=O)=O ZINC000109630984 1074418911 /nfs/dbraw/zinc/41/89/11/1074418911.db2.gz WCRGIWIIUKVRGK-ZDUSSCGKSA-N 0 0 431.536 -0.211 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000109631154 1074418867 /nfs/dbraw/zinc/41/88/67/1074418867.db2.gz PQIONLXLTMIUSD-UHFFFAOYSA-N 0 0 430.874 -0.284 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000109631216 1074418894 /nfs/dbraw/zinc/41/88/94/1074418894.db2.gz XPDHDPFNFUDHMR-INIZCTEOSA-N 0 0 445.563 -0.135 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000109631219 1074418945 /nfs/dbraw/zinc/41/89/45/1074418945.db2.gz XPDHDPFNFUDHMR-MRXNPFEDSA-N 0 0 445.563 -0.135 20 0 IBADRN COc1ccc(C(=O)NCCS(=O)(=O)N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000109631235 1074419261 /nfs/dbraw/zinc/41/92/61/1074419261.db2.gz PNMLCURTLSHITM-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000109631344 1074419237 /nfs/dbraw/zinc/41/92/37/1074419237.db2.gz PMJMARKHJXMWDP-UHFFFAOYSA-N 0 0 430.527 -0.345 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000109631488 1074419072 /nfs/dbraw/zinc/41/90/72/1074419072.db2.gz CLJCDTBJABYXPP-UHFFFAOYSA-N 0 0 438.510 -0.844 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H]1CCCN1S(=O)(=O)c1ccccc1 ZINC000109631514 1070972790 /nfs/dbraw/zinc/97/27/90/1070972790.db2.gz GNMYCFHUZYCCMN-MRXNPFEDSA-N 0 0 431.536 -0.382 20 0 IBADRN COc1ccc(C(=O)NCCS(=O)(=O)N2CCOCC2)cc1S(=O)(=O)NC(C)C ZINC000109631526 1074419290 /nfs/dbraw/zinc/41/92/90/1074419290.db2.gz SFXYSOGLMCUWJY-UHFFFAOYSA-N 0 0 449.551 -0.226 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000109631538 1074419218 /nfs/dbraw/zinc/41/92/18/1074419218.db2.gz IPGFIHMRQQMSLY-UHFFFAOYSA-N 0 0 448.567 -0.409 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000109631586 1074419285 /nfs/dbraw/zinc/41/92/85/1074419285.db2.gz LZIFIQSANQJDTH-KRWDZBQOSA-N 0 0 445.563 -0.074 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000109631715 1074419193 /nfs/dbraw/zinc/41/91/93/1074419193.db2.gz WFXDALIRRPSSJL-UHFFFAOYSA-N 0 0 425.573 -0.394 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000109631798 1074419099 /nfs/dbraw/zinc/41/90/99/1074419099.db2.gz PUXVDOUYUTZERR-UHFFFAOYSA-N 0 0 431.536 -0.137 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1)Nc1ccccc1 ZINC000109632263 1074419206 /nfs/dbraw/zinc/41/92/06/1074419206.db2.gz YBFYYMGFYMGTRO-UHFFFAOYSA-N 0 0 439.538 -0.386 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000109632817 1074419113 /nfs/dbraw/zinc/41/91/13/1074419113.db2.gz MSXGWJRUILPZSU-UHFFFAOYSA-N 0 0 446.551 -0.636 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)NCCS(=O)(=O)N2CCOCC2)cc1OCC ZINC000109635277 1074419275 /nfs/dbraw/zinc/41/92/75/1074419275.db2.gz DEMHLOWUAZOZTO-UHFFFAOYSA-N 0 0 443.522 -0.008 20 0 IBADRN O=C(CNC(=O)c1cccc(Br)c1)NCCS(=O)(=O)N1CCOCC1 ZINC000109635280 1074419167 /nfs/dbraw/zinc/41/91/67/1074419167.db2.gz XYKMSQRXCGVZKO-UHFFFAOYSA-N 0 0 434.312 -0.043 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCS(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000109635368 1074419251 /nfs/dbraw/zinc/41/92/51/1074419251.db2.gz MCYZOEFLDIWNCK-UHFFFAOYSA-N 0 0 445.494 -0.780 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000109635892 1074419179 /nfs/dbraw/zinc/41/91/79/1074419179.db2.gz AIJKHTFKSPJJFP-UHFFFAOYSA-N 0 0 447.535 -0.664 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C/c1ccccc1)NCCS(=O)(=O)N1CCOCC1 ZINC000109636506 1074419229 /nfs/dbraw/zinc/41/92/29/1074419229.db2.gz HXDCJUNKCBFWIJ-VGOFMYFVSA-N 0 0 431.536 -0.255 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1Cl)NCCS(=O)(=O)N1CCOCC1 ZINC000109636509 1074419268 /nfs/dbraw/zinc/41/92/68/1074419268.db2.gz BXBWPWITKYJERB-UHFFFAOYSA-N 0 0 439.943 -0.213 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1cccc(CN2CCOCC2)c1 ZINC000109636785 1074419246 /nfs/dbraw/zinc/41/92/46/1074419246.db2.gz QMRFPFPZTRSHMM-UHFFFAOYSA-N 0 0 426.539 -0.020 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000109636828 1074419147 /nfs/dbraw/zinc/41/91/47/1074419147.db2.gz MYUIVRXQTJIABF-UHFFFAOYSA-N 0 0 426.561 -0.848 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000109636851 1070849161 /nfs/dbraw/zinc/84/91/61/1070849161.db2.gz MBYQIJMBTFNUMY-UHFFFAOYSA-N 0 0 449.533 -0.673 20 0 IBADRN CCS(=O)(=O)N1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000109636928 1070849242 /nfs/dbraw/zinc/84/92/42/1070849242.db2.gz ATJOMFCIJPCNNB-AWEZNQCLSA-N 0 0 432.568 -0.652 20 0 IBADRN CCS(=O)(=O)N1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000109636930 1070848911 /nfs/dbraw/zinc/84/89/11/1070848911.db2.gz ATJOMFCIJPCNNB-CQSZACIVSA-N 0 0 432.568 -0.652 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000109637021 1070972658 /nfs/dbraw/zinc/97/26/58/1070972658.db2.gz LIGAXYJEYBHBMT-CQSZACIVSA-N 0 0 433.556 -0.543 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC000109637024 1070972898 /nfs/dbraw/zinc/97/28/98/1070972898.db2.gz LIGAXYJEYBHBMT-AWEZNQCLSA-N 0 0 433.556 -0.543 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(S(=O)(=O)CC)CC2)c(=O)[nH]c1=O ZINC000109637920 1070848948 /nfs/dbraw/zinc/84/89/48/1070848948.db2.gz QUUMLTKEZJKRKF-UHFFFAOYSA-N 0 0 444.558 -0.761 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1 ZINC000109638021 1070849290 /nfs/dbraw/zinc/84/92/90/1070849290.db2.gz JVORAMDAQSLIOQ-ZDUSSCGKSA-N 0 0 430.552 -0.421 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1 ZINC000109638023 1070849423 /nfs/dbraw/zinc/84/94/23/1070849423.db2.gz JVORAMDAQSLIOQ-CYBMUJFWSA-N 0 0 430.552 -0.421 20 0 IBADRN CCS(=O)(=O)N1CCN(CCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000109638126 1070849008 /nfs/dbraw/zinc/84/90/08/1070849008.db2.gz XUBDIAGNNRNLOQ-UHFFFAOYSA-N 0 0 432.568 -0.650 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000109638372 1070849192 /nfs/dbraw/zinc/84/91/92/1070849192.db2.gz OCNRAWKWGLGXPD-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(S(=O)(=O)CC)CC2)C1=O ZINC000109638478 1074419782 /nfs/dbraw/zinc/41/97/82/1074419782.db2.gz WLCSUFZFNUCFGZ-IBGZPJMESA-N 0 0 437.522 -0.158 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(S(=O)(=O)CC)CC2)C1=O ZINC000109638479 1074419759 /nfs/dbraw/zinc/41/97/59/1074419759.db2.gz WLCSUFZFNUCFGZ-LJQANCHMSA-N 0 0 437.522 -0.158 20 0 IBADRN Cn1cc(/C=C/C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000109657307 1074419750 /nfs/dbraw/zinc/41/97/50/1074419750.db2.gz DMRZPBMSWYXCNL-CMDGGOBGSA-N 0 0 425.489 -0.048 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000109711759 1074419786 /nfs/dbraw/zinc/41/97/86/1074419786.db2.gz IKHUPKIGEHHCIA-LLVKDONJSA-N 0 0 429.499 -0.093 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000109711761 1074419826 /nfs/dbraw/zinc/41/98/26/1074419826.db2.gz IKHUPKIGEHHCIA-NSHDSACASA-N 0 0 429.499 -0.093 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(N3CCC(C(N)=O)CC3)cc1)c(=O)n2C ZINC000109791958 1074419772 /nfs/dbraw/zinc/41/97/72/1074419772.db2.gz UEQFJRUNOFIUOS-UHFFFAOYSA-N 0 0 439.476 -0.226 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000109827137 1070877896 /nfs/dbraw/zinc/87/78/96/1070877896.db2.gz WOTZKXSORIVSEV-UHFFFAOYSA-N 0 0 428.511 -0.722 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000109840948 1070888117 /nfs/dbraw/zinc/88/81/17/1070888117.db2.gz ODLONZGTWRGSSH-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000109842192 1071083634 /nfs/dbraw/zinc/08/36/34/1071083634.db2.gz GREICSMFJBDQJL-ZDUSSCGKSA-N 0 0 426.495 -0.970 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000109842193 1070887964 /nfs/dbraw/zinc/88/79/64/1070887964.db2.gz GREICSMFJBDQJL-CYBMUJFWSA-N 0 0 426.495 -0.970 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2ccccc2NC(=O)Cn2cnnn2)NC(=O)N[C@@H]1C ZINC000109847089 1074419811 /nfs/dbraw/zinc/41/98/11/1074419811.db2.gz WKXOTBXMZMCKNT-LLVKDONJSA-N 0 0 443.420 -0.013 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2ccccc2NC(=O)Cn2cnnn2)NC(=O)N[C@H]1C ZINC000109847092 1074419730 /nfs/dbraw/zinc/41/97/30/1074419730.db2.gz WKXOTBXMZMCKNT-NSHDSACASA-N 0 0 443.420 -0.013 20 0 IBADRN CCCCN(C(=O)Cn1c(-c2ncccn2)noc1=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000109847845 1070888019 /nfs/dbraw/zinc/88/80/19/1070888019.db2.gz KYMFHWAOWJAWFQ-UHFFFAOYSA-N 0 0 444.452 -0.031 20 0 IBADRN O=C(Cn1c(=O)onc1-c1ncccn1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000109854894 1070888424 /nfs/dbraw/zinc/88/84/24/1070888424.db2.gz YJRRMQUILJNPTB-UHFFFAOYSA-N 0 0 448.436 -0.035 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000109910357 1074419724 /nfs/dbraw/zinc/41/97/24/1074419724.db2.gz KUFOEEJPNUPKBA-CYBMUJFWSA-N 0 0 437.565 -0.321 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000109910360 1074419804 /nfs/dbraw/zinc/41/98/04/1074419804.db2.gz KUFOEEJPNUPKBA-ZDUSSCGKSA-N 0 0 437.565 -0.321 20 0 IBADRN CCN1CCN(CC(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C(=O)C1=O ZINC000109946166 1070909583 /nfs/dbraw/zinc/90/95/83/1070909583.db2.gz BSKLZUJAJWGWIV-UHFFFAOYSA-N 0 0 435.912 -0.205 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN([C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000109959818 1070969064 /nfs/dbraw/zinc/96/90/64/1070969064.db2.gz VVQNSXCVGJTINE-HNNXBMFYSA-N 0 0 427.505 -0.067 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN([C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000109959821 1070969254 /nfs/dbraw/zinc/96/92/54/1070969254.db2.gz VVQNSXCVGJTINE-OAHLLOKOSA-N 0 0 427.505 -0.067 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)Cc3ccccc3C)CC2)c(=O)[nH]c1=O ZINC000109960423 1070969818 /nfs/dbraw/zinc/96/98/18/1070969818.db2.gz JWALUBWOTMYIGP-UHFFFAOYSA-N 0 0 443.504 -0.357 20 0 IBADRN COC(=O)c1ccc(N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)[C@H](C)C2)cc1 ZINC000109960902 1070971268 /nfs/dbraw/zinc/97/12/68/1070971268.db2.gz IZGPYKKVGJTHCD-CYBMUJFWSA-N 0 0 429.477 -0.154 20 0 IBADRN COC(=O)c1ccc(N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)[C@@H](C)C2)cc1 ZINC000109960905 1070971444 /nfs/dbraw/zinc/97/14/44/1070971444.db2.gz IZGPYKKVGJTHCD-ZDUSSCGKSA-N 0 0 429.477 -0.154 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000109976515 1071084144 /nfs/dbraw/zinc/08/41/44/1071084144.db2.gz RBSFEXVEXODQKH-UHFFFAOYSA-N 0 0 435.502 -0.021 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000109999971 1074420471 /nfs/dbraw/zinc/42/04/71/1074420471.db2.gz PXELFICREAYHRW-UHFFFAOYSA-N 0 0 430.483 -0.648 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)NC(=O)NCc2ccccc2)cn1C ZINC000110002197 1074420299 /nfs/dbraw/zinc/42/02/99/1074420299.db2.gz OJBVTWQZJVQWHN-UHFFFAOYSA-N 0 0 437.478 -0.034 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000110005096 1074420373 /nfs/dbraw/zinc/42/03/73/1074420373.db2.gz KRRMKLOYLYIGHO-UHFFFAOYSA-N 0 0 438.462 -0.095 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)NC(=O)N[C@@H]1C ZINC000110007251 1074420481 /nfs/dbraw/zinc/42/04/81/1074420481.db2.gz QKSDPYNHADQAMF-SNVBAGLBSA-N 0 0 443.482 -0.541 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)NC(=O)N[C@H]1C ZINC000110007254 1074420415 /nfs/dbraw/zinc/42/04/15/1074420415.db2.gz QKSDPYNHADQAMF-JTQLQIEISA-N 0 0 443.482 -0.541 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)NC3(CCC3)C2=O)CC1 ZINC000110085621 1071534402 /nfs/dbraw/zinc/53/44/02/1071534402.db2.gz ZBFRBSCERGREJH-UHFFFAOYSA-N 0 0 425.467 -0.401 20 0 IBADRN COc1cc2c(cc1OC)S(=O)(=O)N(CC(=O)N1CCN(C(=O)C3CC3)CC1)C2=O ZINC000110086741 1074420511 /nfs/dbraw/zinc/42/05/11/1074420511.db2.gz SSPPBDJBDFRATP-UHFFFAOYSA-N 0 0 437.474 -0.071 20 0 IBADRN CCN(C(=O)CN1C(=O)c2cc(OC)c(OC)cc2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000110088316 1074420322 /nfs/dbraw/zinc/42/03/22/1074420322.db2.gz MDWWGKBTKWXTOG-LLVKDONJSA-N 0 0 446.503 -0.116 20 0 IBADRN CCN(C(=O)CN1C(=O)c2cc(OC)c(OC)cc2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000110088319 1074420428 /nfs/dbraw/zinc/42/04/28/1074420428.db2.gz MDWWGKBTKWXTOG-NSHDSACASA-N 0 0 446.503 -0.116 20 0 IBADRN O=C(CN1C(=O)NC2(CCC2)C1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000110088736 1071534944 /nfs/dbraw/zinc/53/49/44/1071534944.db2.gz VISLGEFYCUATMY-UHFFFAOYSA-N 0 0 436.490 -0.202 20 0 IBADRN O=C(CN1C(=O)NC2(CCC2)C1=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000110091225 1071535638 /nfs/dbraw/zinc/53/56/38/1071535638.db2.gz QIVBJJQICBDEAY-MRXNPFEDSA-N 0 0 428.445 -0.028 20 0 IBADRN O=C(CN1C(=O)NC2(CCC2)C1=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000110091228 1071535799 /nfs/dbraw/zinc/53/57/99/1071535799.db2.gz QIVBJJQICBDEAY-INIZCTEOSA-N 0 0 428.445 -0.028 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)=NN1c1ccccc1 ZINC000110135243 1074420396 /nfs/dbraw/zinc/42/03/96/1074420396.db2.gz MDVAPUIYFQQCCV-IBGZPJMESA-N 0 0 449.533 -0.069 20 0 IBADRN CC(=O)[C@H]1CC(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)=NN1c1ccccc1 ZINC000110135246 1074420502 /nfs/dbraw/zinc/42/05/02/1074420502.db2.gz MDVAPUIYFQQCCV-LJQANCHMSA-N 0 0 449.533 -0.069 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@H](CNS(C)(=O)=O)C2)c(=O)n(C)c1=O ZINC000110181445 1071542238 /nfs/dbraw/zinc/54/22/38/1071542238.db2.gz TXYGZTKWPMAEOA-CYBMUJFWSA-N 0 0 429.543 -0.771 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@@H](CNS(C)(=O)=O)C2)c(=O)n(C)c1=O ZINC000110181450 1071542390 /nfs/dbraw/zinc/54/23/90/1071542390.db2.gz TXYGZTKWPMAEOA-ZDUSSCGKSA-N 0 0 429.543 -0.771 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCCN(C(=O)OCC(C)C)CC2)c(=O)[nH]c1=O ZINC000110188775 1074421045 /nfs/dbraw/zinc/42/10/45/1074421045.db2.gz HHZHEPHGRPIGFO-UHFFFAOYSA-N 0 0 425.486 -0.252 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCC(=O)Nc1ccc(-n3cccn3)cc1)c(=O)n2C ZINC000110199207 1071542210 /nfs/dbraw/zinc/54/22/10/1071542210.db2.gz CMXYFWCNFZINQP-UHFFFAOYSA-N 0 0 436.432 -0.626 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)o1 ZINC000110239818 1074421096 /nfs/dbraw/zinc/42/10/96/1074421096.db2.gz IIKOECOLQRDFGO-UHFFFAOYSA-N 0 0 435.572 -0.860 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CCCCO3)CC2)C[C@H](C)O1 ZINC000110248805 1071542786 /nfs/dbraw/zinc/54/27/86/1071542786.db2.gz QAPYIKGDAQIZSK-XHSDSOJGSA-N 0 0 425.573 -0.143 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CCCCO3)CC2)C[C@@H](C)O1 ZINC000110248811 1071542806 /nfs/dbraw/zinc/54/28/06/1071542806.db2.gz QAPYIKGDAQIZSK-OAGGEKHMSA-N 0 0 425.573 -0.143 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C1 ZINC000110254569 1074421193 /nfs/dbraw/zinc/42/11/93/1074421193.db2.gz DIWLXJVBGBGPOK-OAHLLOKOSA-N 0 0 438.506 -0.256 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C1 ZINC000110254572 1074420838 /nfs/dbraw/zinc/42/08/38/1074420838.db2.gz DIWLXJVBGBGPOK-HNNXBMFYSA-N 0 0 438.506 -0.256 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)CN(C)S(=O)(=O)c2cccnc2)C1 ZINC000110255070 1071543613 /nfs/dbraw/zinc/54/36/13/1071543613.db2.gz OCZYZZFGCPIPSG-OAHLLOKOSA-N 0 0 440.522 -0.038 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)CN(C)S(=O)(=O)c2cccnc2)C1 ZINC000110255073 1071543441 /nfs/dbraw/zinc/54/34/41/1071543441.db2.gz OCZYZZFGCPIPSG-HNNXBMFYSA-N 0 0 440.522 -0.038 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)C1 ZINC000110259771 1071543627 /nfs/dbraw/zinc/54/36/27/1071543627.db2.gz OEUXDLZAIPJVKH-CQSZACIVSA-N 0 0 430.461 -0.050 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)C1 ZINC000110259774 1071543660 /nfs/dbraw/zinc/54/36/60/1071543660.db2.gz OEUXDLZAIPJVKH-AWEZNQCLSA-N 0 0 430.461 -0.050 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000110261736 1071543400 /nfs/dbraw/zinc/54/34/00/1071543400.db2.gz FCPQKTTVEDIBNQ-CYBMUJFWSA-N 0 0 445.476 -0.494 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000110261740 1071543414 /nfs/dbraw/zinc/54/34/14/1071543414.db2.gz FCPQKTTVEDIBNQ-ZDUSSCGKSA-N 0 0 445.476 -0.494 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCC(=O)N3CCO[C@H](C)C3)CC2)cn1C ZINC000110263808 1074421023 /nfs/dbraw/zinc/42/10/23/1074421023.db2.gz GIGQWTMJCMLVMZ-CYBMUJFWSA-N 0 0 428.511 -0.080 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCC(=O)N3CCO[C@@H](C)C3)CC2)cn1C ZINC000110263810 1074421170 /nfs/dbraw/zinc/42/11/70/1074421170.db2.gz GIGQWTMJCMLVMZ-ZDUSSCGKSA-N 0 0 428.511 -0.080 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)O[C@@H](C)C(=O)N3CCOCC3)CC2)cn1C ZINC000110264505 1074420941 /nfs/dbraw/zinc/42/09/41/1074420941.db2.gz LIGVOXSCUOOPOY-ZDUSSCGKSA-N 0 0 428.511 -0.080 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)O[C@H](C)C(=O)N3CCOCC3)CC2)cn1C ZINC000110264507 1074421144 /nfs/dbraw/zinc/42/11/44/1074421144.db2.gz LIGVOXSCUOOPOY-CYBMUJFWSA-N 0 0 428.511 -0.080 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000110268895 1074421150 /nfs/dbraw/zinc/42/11/50/1074421150.db2.gz WREYETIDKMLRBK-UHFFFAOYSA-N 0 0 429.499 -0.092 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)C1 ZINC000110271175 1071542935 /nfs/dbraw/zinc/54/29/35/1071542935.db2.gz MUUCEXXKMDRCKA-GFCCVEGCSA-N 0 0 448.480 -0.857 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)C1 ZINC000110271177 1071542911 /nfs/dbraw/zinc/54/29/11/1071542911.db2.gz MUUCEXXKMDRCKA-LBPRGKRZSA-N 0 0 448.480 -0.857 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)O[C@H](C(=O)NC(N)=O)C(C)C)CC2)cn1C ZINC000110272275 1074421119 /nfs/dbraw/zinc/42/11/19/1074421119.db2.gz OUEQCRJCUFPQRD-AWEZNQCLSA-N 0 0 429.499 -0.108 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)O[C@@H](C(=O)NC(N)=O)C(C)C)CC2)cn1C ZINC000110272277 1074420866 /nfs/dbraw/zinc/42/08/66/1074420866.db2.gz OUEQCRJCUFPQRD-CQSZACIVSA-N 0 0 429.499 -0.108 20 0 IBADRN Cc1ccc(CC(=O)N2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000110304688 1071542797 /nfs/dbraw/zinc/54/27/97/1071542797.db2.gz XJZZYUTZHURUJG-HNNXBMFYSA-N 0 0 427.505 -0.067 20 0 IBADRN Cc1ccc(CC(=O)N2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000110304692 1071542894 /nfs/dbraw/zinc/54/28/94/1071542894.db2.gz XJZZYUTZHURUJG-OAHLLOKOSA-N 0 0 427.505 -0.067 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)Cc3ccc(C)cc3)CC2)c(=O)[nH]c1=O ZINC000110306425 1071543583 /nfs/dbraw/zinc/54/35/83/1071543583.db2.gz JMGSOWWPVUGQJL-UHFFFAOYSA-N 0 0 443.504 -0.357 20 0 IBADRN Cn1nc(Br)c2c1ncnc2N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000110330844 1074421158 /nfs/dbraw/zinc/42/11/58/1074421158.db2.gz RYWGGCMMTMASNI-UHFFFAOYSA-N 0 0 446.331 -0.175 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000110339555 1071543560 /nfs/dbraw/zinc/54/35/60/1071543560.db2.gz BERCMDPIMKANFL-ZDUSSCGKSA-N 0 0 433.465 -0.941 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000110339557 1071543502 /nfs/dbraw/zinc/54/35/02/1071543502.db2.gz BERCMDPIMKANFL-CYBMUJFWSA-N 0 0 433.465 -0.941 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000110343551 1074421068 /nfs/dbraw/zinc/42/10/68/1074421068.db2.gz AYGKLXATJCRIHO-UHFFFAOYSA-N 0 0 438.506 -0.256 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)CC1 ZINC000110354237 1071544072 /nfs/dbraw/zinc/54/40/72/1071544072.db2.gz RTNJNEXHFYTAPX-UHFFFAOYSA-N 0 0 430.461 -0.050 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000110355673 1073152075 /nfs/dbraw/zinc/15/20/75/1073152075.db2.gz LGSBFLKHYPUFJC-UHFFFAOYSA-N 0 0 445.476 -0.494 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC000110360282 1073152497 /nfs/dbraw/zinc/15/24/97/1073152497.db2.gz QPHVMDJYGSHSHZ-UHFFFAOYSA-N 0 0 440.522 -0.038 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)N2CC(=O)Nc3ccccc32)cn1C ZINC000110419025 1074421184 /nfs/dbraw/zinc/42/11/84/1074421184.db2.gz QNLPGOLTYPHCIZ-UHFFFAOYSA-N 0 0 435.462 -0.075 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)NCc2ccc3c(c2)OCO3)cn1C ZINC000110423457 1074420892 /nfs/dbraw/zinc/42/08/92/1074420892.db2.gz XGXZSHSYJAKYHW-UHFFFAOYSA-N 0 0 438.462 -0.015 20 0 IBADRN Cc1onc2c1c(C)nn(CN1CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC1)c2=O ZINC000110450274 1074421638 /nfs/dbraw/zinc/42/16/38/1074421638.db2.gz SEZBMVFTXXSZHF-BETUJISGSA-N 0 0 440.526 -0.070 20 0 IBADRN Cc1onc2c1c(C)nn(CN1CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC1)c2=O ZINC000110450278 1074421722 /nfs/dbraw/zinc/42/17/22/1074421722.db2.gz SEZBMVFTXXSZHF-CHWSQXEVSA-N 0 0 440.526 -0.070 20 0 IBADRN Cc1onc2c1c(C)nn(CN1CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC1)c2=O ZINC000110450282 1074421539 /nfs/dbraw/zinc/42/15/39/1074421539.db2.gz SEZBMVFTXXSZHF-STQMWFEESA-N 0 0 440.526 -0.070 20 0 IBADRN COc1cccc(S(=O)(=O)CCN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000110476425 1071602817 /nfs/dbraw/zinc/60/28/17/1071602817.db2.gz GZZHAJJCWOMPBH-UHFFFAOYSA-N 0 0 425.507 -0.528 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCNC(=O)c2[nH]nc3ccccc32)c(=O)n(C)c1=O ZINC000110496889 1074421504 /nfs/dbraw/zinc/42/15/04/1074421504.db2.gz FKLFQVRDEIVODF-UHFFFAOYSA-N 0 0 428.405 -0.912 20 0 IBADRN CCOC(=O)C(NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)OCC ZINC000110508593 1074421607 /nfs/dbraw/zinc/42/16/07/1074421607.db2.gz NHMUFNKXLXRBJT-UHFFFAOYSA-N 0 0 444.242 -0.497 20 0 IBADRN O=C(CNC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)Nc1ccc(-n2cncn2)cc1 ZINC000110602109 1074421555 /nfs/dbraw/zinc/42/15/55/1074421555.db2.gz ATMPECGBNDIRAT-UHFFFAOYSA-N 0 0 427.446 -0.180 20 0 IBADRN O=c1sc2c(n1CN1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)CCCC2 ZINC000110632077 1074421700 /nfs/dbraw/zinc/42/17/00/1074421700.db2.gz PTTPVFNCBRVVIY-CYBMUJFWSA-N 0 0 435.593 -0.119 20 0 IBADRN O=c1sc2c(n1CN1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)CCCC2 ZINC000110632080 1074421734 /nfs/dbraw/zinc/42/17/34/1074421734.db2.gz PTTPVFNCBRVVIY-ZDUSSCGKSA-N 0 0 435.593 -0.119 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000110643278 1073153006 /nfs/dbraw/zinc/15/30/06/1073153006.db2.gz WZILDEVFVVKSCW-ZDUSSCGKSA-N 0 0 431.467 -0.133 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000110643280 1073153064 /nfs/dbraw/zinc/15/30/64/1073153064.db2.gz WZILDEVFVVKSCW-CYBMUJFWSA-N 0 0 431.467 -0.133 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000110649484 1074421626 /nfs/dbraw/zinc/42/16/26/1074421626.db2.gz LQWLVEDZPGTIJT-UHFFFAOYSA-N 0 0 432.426 -0.365 20 0 IBADRN O=C(CCC(=O)N1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000110660467 1073153061 /nfs/dbraw/zinc/15/30/61/1073153061.db2.gz CJEIJYSOAWORDR-UHFFFAOYSA-N 0 0 443.547 -0.443 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000110663985 1073153042 /nfs/dbraw/zinc/15/30/42/1073153042.db2.gz DOVMYHYUULHHDD-UHFFFAOYSA-N 0 0 444.462 -0.309 20 0 IBADRN CCS(=O)(=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000110693697 1074422312 /nfs/dbraw/zinc/42/23/12/1074422312.db2.gz VYMTYKHLTYABKZ-UHFFFAOYSA-N 0 0 445.563 -0.149 20 0 IBADRN CCS(=O)(=O)CCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000110695863 1074422353 /nfs/dbraw/zinc/42/23/53/1074422353.db2.gz XSIZNZVEUVHOKO-UHFFFAOYSA-N 0 0 431.536 -0.296 20 0 IBADRN CCS(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000110698002 1074422256 /nfs/dbraw/zinc/42/22/56/1074422256.db2.gz SCQUVCXXWALUCG-UHFFFAOYSA-N 0 0 433.552 -0.062 20 0 IBADRN CCS(=O)(=O)CCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000110698019 1074422347 /nfs/dbraw/zinc/42/23/47/1074422347.db2.gz UAOBVIJDMDMNER-UHFFFAOYSA-N 0 0 431.536 -0.296 20 0 IBADRN CCCCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000110753217 1072148835 /nfs/dbraw/zinc/14/88/35/1072148835.db2.gz JVZOELVAXWQNKV-UHFFFAOYSA-N 0 0 435.524 -0.184 20 0 IBADRN CN(CC(=O)Nc1ccc(Br)cn1)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000110791667 1072148880 /nfs/dbraw/zinc/14/88/80/1072148880.db2.gz ODFVEDCRMSJAOT-UHFFFAOYSA-N 0 0 439.270 -0.423 20 0 IBADRN COc1cc2nc(N3CCN(S(=O)(=O)N4CCOCC4)CC3)nc(N)c2cc1OC ZINC000110792720 1073154234 /nfs/dbraw/zinc/15/42/34/1073154234.db2.gz LBSURQJTFHNIPN-UHFFFAOYSA-N 0 0 438.510 -0.072 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN([C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000110793769 1071089178 /nfs/dbraw/zinc/08/91/78/1071089178.db2.gz QVSKWPJRTHIMMM-AWEZNQCLSA-N 0 0 437.541 -0.119 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN([C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000110793772 1073119891 /nfs/dbraw/zinc/11/98/91/1073119891.db2.gz QVSKWPJRTHIMMM-CQSZACIVSA-N 0 0 437.541 -0.119 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCS(=O)(=O)CC1 ZINC000110796545 1073154170 /nfs/dbraw/zinc/15/41/70/1073154170.db2.gz XSSIKRJFHJPPPS-AWEZNQCLSA-N 0 0 431.536 -0.235 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCS(=O)(=O)CC1 ZINC000110796547 1073154186 /nfs/dbraw/zinc/15/41/86/1073154186.db2.gz XSSIKRJFHJPPPS-CQSZACIVSA-N 0 0 431.536 -0.235 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000110830927 1072148227 /nfs/dbraw/zinc/14/82/27/1072148227.db2.gz IPKJKDOUSZKOAN-UHFFFAOYSA-N 0 0 428.463 -0.196 20 0 IBADRN Cn1nc(Br)c2c1ncnc2N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000110848340 1074422976 /nfs/dbraw/zinc/42/29/76/1074422976.db2.gz LZDYUXSEPWFVEV-UHFFFAOYSA-N 0 0 438.286 -0.367 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)N(C)C)CC3)C2=O)c1 ZINC000110872776 1074422899 /nfs/dbraw/zinc/42/28/99/1074422899.db2.gz PIMLHINWBIJJSB-FQEVSTJZSA-N 0 0 432.481 -0.207 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)N(C)C)CC3)C2=O)c1 ZINC000110872779 1074422990 /nfs/dbraw/zinc/42/29/90/1074422990.db2.gz PIMLHINWBIJJSB-HXUWFJFHSA-N 0 0 432.481 -0.207 20 0 IBADRN COCC(=O)N1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000110875130 1074422972 /nfs/dbraw/zinc/42/29/72/1074422972.db2.gz OFDVWYNRQJYXBI-FQEVSTJZSA-N 0 0 433.465 -0.716 20 0 IBADRN COCC(=O)N1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000110875133 1074422771 /nfs/dbraw/zinc/42/27/71/1074422771.db2.gz OFDVWYNRQJYXBI-HXUWFJFHSA-N 0 0 433.465 -0.716 20 0 IBADRN CCN1CCN(CC(=O)NCC(=O)N2CCN(c3cccc(Cl)c3)CC2)C(=O)C1=O ZINC000110885823 1073154693 /nfs/dbraw/zinc/15/46/93/1073154693.db2.gz XSXZTMDNXHCHGD-UHFFFAOYSA-N 0 0 435.912 -0.205 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@H](C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000110901349 1074422802 /nfs/dbraw/zinc/42/28/02/1074422802.db2.gz NUXYHIUQICFDCG-KRWDZBQOSA-N 0 0 438.506 -0.037 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000110901353 1074423012 /nfs/dbraw/zinc/42/30/12/1074423012.db2.gz NUXYHIUQICFDCG-QGZVFWFLSA-N 0 0 438.506 -0.037 20 0 IBADRN O=C(c1cccc(CN2CCOCC2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000110943188 1073155564 /nfs/dbraw/zinc/15/55/64/1073155564.db2.gz QBGQWHMCIJSETR-UHFFFAOYSA-N 0 0 438.550 -0.146 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000110971113 1074422747 /nfs/dbraw/zinc/42/27/47/1074422747.db2.gz HGVISEMBGBGGKR-CYBMUJFWSA-N 0 0 439.556 -0.486 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000110971115 1074422965 /nfs/dbraw/zinc/42/29/65/1074422965.db2.gz HGVISEMBGBGGKR-ZDUSSCGKSA-N 0 0 439.556 -0.486 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(NC(=O)OC(C)(C)C)CC2)c(=O)[nH]c1=O ZINC000110981600 1074422668 /nfs/dbraw/zinc/42/26/68/1074422668.db2.gz XWGGJNNQMFAIQU-UHFFFAOYSA-N 0 0 425.486 -0.063 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC(N(C)S(C)(=O)=O)CC2)c(=O)n(C)c1=O ZINC000110985952 1072149822 /nfs/dbraw/zinc/14/98/22/1072149822.db2.gz ZFTRALXGSYQTMA-UHFFFAOYSA-N 0 0 429.543 -0.676 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cc2c(C)nc3cc(=O)[nH]n3c2C)c(=O)[nH]c1=O ZINC000111008432 1072151297 /nfs/dbraw/zinc/15/12/97/1072151297.db2.gz GFRRYCFPLDFLKA-UHFFFAOYSA-N 0 0 445.480 -0.296 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cnc2ccccc2c1O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111013899 1072151315 /nfs/dbraw/zinc/15/13/15/1072151315.db2.gz UTFRWIUODGPNDV-LBPRGKRZSA-N 0 0 434.474 -0.568 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cnc2ccccc2c1O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111013902 1072151778 /nfs/dbraw/zinc/15/17/78/1072151778.db2.gz UTFRWIUODGPNDV-GFCCVEGCSA-N 0 0 434.474 -0.568 20 0 IBADRN Cc1oc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000111014027 1073156437 /nfs/dbraw/zinc/15/64/37/1073156437.db2.gz KKISATSOIZKAGR-LBPRGKRZSA-N 0 0 447.535 -0.252 20 0 IBADRN Cc1oc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000111014030 1073156452 /nfs/dbraw/zinc/15/64/52/1073156452.db2.gz KKISATSOIZKAGR-GFCCVEGCSA-N 0 0 447.535 -0.252 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1C ZINC000111014033 1074423463 /nfs/dbraw/zinc/42/34/63/1074423463.db2.gz ANCSNTUABCTIRM-ZDUSSCGKSA-N 0 0 429.495 -0.190 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1C ZINC000111014036 1074423512 /nfs/dbraw/zinc/42/35/12/1074423512.db2.gz ANCSNTUABCTIRM-CYBMUJFWSA-N 0 0 429.495 -0.190 20 0 IBADRN C[C@H](NC(=O)c1cccc(S(=O)(=O)NCC2CC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111014328 1072151727 /nfs/dbraw/zinc/15/17/27/1072151727.db2.gz QVCZLEQSBBSPNX-ZDUSSCGKSA-N 0 0 443.547 -0.250 20 0 IBADRN C[C@@H](NC(=O)c1cccc(S(=O)(=O)NCC2CC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111014331 1072151737 /nfs/dbraw/zinc/15/17/37/1072151737.db2.gz QVCZLEQSBBSPNX-CYBMUJFWSA-N 0 0 443.547 -0.250 20 0 IBADRN C[C@H](NC(=O)c1nc(S(C)(=O)=O)n2ccccc12)C(=O)N1CCS(=O)(=O)CC1 ZINC000111014478 1072151753 /nfs/dbraw/zinc/15/17/53/1072151753.db2.gz NBZGJMBQZUHHGY-NSHDSACASA-N 0 0 428.492 -0.887 20 0 IBADRN C[C@@H](NC(=O)c1nc(S(C)(=O)=O)n2ccccc12)C(=O)N1CCS(=O)(=O)CC1 ZINC000111014481 1072151792 /nfs/dbraw/zinc/15/17/92/1072151792.db2.gz NBZGJMBQZUHHGY-LLVKDONJSA-N 0 0 428.492 -0.887 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000111015783 1072151705 /nfs/dbraw/zinc/15/17/05/1072151705.db2.gz BRNSRBZTTOXQRC-ZDUSSCGKSA-N 0 0 431.536 -0.331 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000111015786 1072151785 /nfs/dbraw/zinc/15/17/85/1072151785.db2.gz BRNSRBZTTOXQRC-CYBMUJFWSA-N 0 0 431.536 -0.331 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111015975 1073156388 /nfs/dbraw/zinc/15/63/88/1073156388.db2.gz SJJITTBDRAPRJW-LBPRGKRZSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111015978 1073156431 /nfs/dbraw/zinc/15/64/31/1073156431.db2.gz SJJITTBDRAPRJW-GFCCVEGCSA-N 0 0 429.520 -0.497 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1C ZINC000111015981 1072151773 /nfs/dbraw/zinc/15/17/73/1072151773.db2.gz FJHIZBXHWMTBNI-HNNXBMFYSA-N 0 0 445.563 -0.266 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1C ZINC000111015984 1072151741 /nfs/dbraw/zinc/15/17/41/1072151741.db2.gz FJHIZBXHWMTBNI-OAHLLOKOSA-N 0 0 445.563 -0.266 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111016181 1072151762 /nfs/dbraw/zinc/15/17/62/1072151762.db2.gz CKJGLMWQMYNDHQ-XYEKJYRLSA-N 0 0 428.511 -0.751 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111016185 1072151746 /nfs/dbraw/zinc/15/17/46/1072151746.db2.gz CKJGLMWQMYNDHQ-YNAXMUEKSA-N 0 0 428.511 -0.751 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000111016248 1073156464 /nfs/dbraw/zinc/15/64/64/1073156464.db2.gz LWBWIGDDZZXEJE-ZDUSSCGKSA-N 0 0 431.536 -0.251 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000111016252 1073156471 /nfs/dbraw/zinc/15/64/71/1073156471.db2.gz LWBWIGDDZZXEJE-CYBMUJFWSA-N 0 0 431.536 -0.251 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000111016356 1073156930 /nfs/dbraw/zinc/15/69/30/1073156930.db2.gz GKPWXAMMGWVUHQ-ZDUSSCGKSA-N 0 0 431.536 -0.251 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000111016358 1073156889 /nfs/dbraw/zinc/15/68/89/1073156889.db2.gz GKPWXAMMGWVUHQ-CYBMUJFWSA-N 0 0 431.536 -0.251 20 0 IBADRN C[C@H](NC(=O)c1ccc2c(c1)C[C@@H](C)N2S(C)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111016496 1073156938 /nfs/dbraw/zinc/15/69/38/1073156938.db2.gz JFFXZQRSJHEXMT-OLZOCXBDSA-N 0 0 443.547 -0.228 20 0 IBADRN C[C@H](NC(=O)c1ccc2c(c1)C[C@H](C)N2S(C)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111016499 1073156872 /nfs/dbraw/zinc/15/68/72/1073156872.db2.gz JFFXZQRSJHEXMT-STQMWFEESA-N 0 0 443.547 -0.228 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1sccc1S(=O)(=O)N1CCCC1 ZINC000111017528 1072151696 /nfs/dbraw/zinc/15/16/96/1072151696.db2.gz YHELVVRBKQEJMF-LBPRGKRZSA-N 0 0 428.536 -0.001 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1sccc1S(=O)(=O)N1CCCC1 ZINC000111017531 1072151719 /nfs/dbraw/zinc/15/17/19/1072151719.db2.gz YHELVVRBKQEJMF-GFCCVEGCSA-N 0 0 428.536 -0.001 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000111017676 1072151759 /nfs/dbraw/zinc/15/17/59/1072151759.db2.gz GHWRWVVKHQCAIH-AWEZNQCLSA-N 0 0 443.551 -0.781 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000111017678 1072151713 /nfs/dbraw/zinc/15/17/13/1072151713.db2.gz GHWRWVVKHQCAIH-CQSZACIVSA-N 0 0 443.551 -0.781 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCCC2)c(C)o1 ZINC000111017873 1073156913 /nfs/dbraw/zinc/15/69/13/1073156913.db2.gz INGWSVMGHXNXPW-CYBMUJFWSA-N 0 0 426.495 -0.161 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCCC2)c(C)o1 ZINC000111017874 1073156918 /nfs/dbraw/zinc/15/69/18/1073156918.db2.gz INGWSVMGHXNXPW-ZDUSSCGKSA-N 0 0 426.495 -0.161 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H](NS(=O)(=O)c1ccccc1F)C(C)C ZINC000111019188 1073156863 /nfs/dbraw/zinc/15/68/63/1073156863.db2.gz JECLOSYXFLUZSM-PBHICJAKSA-N 0 0 442.513 -0.018 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H](NS(=O)(=O)c1ccccc1F)C(C)C ZINC000111019190 1073156894 /nfs/dbraw/zinc/15/68/94/1073156894.db2.gz JECLOSYXFLUZSM-YOEHRIQHSA-N 0 0 442.513 -0.018 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000111019320 1073156891 /nfs/dbraw/zinc/15/68/91/1073156891.db2.gz BDGWSLDLZDSSQE-AWEZNQCLSA-N 0 0 438.506 -0.397 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000111019322 1073156908 /nfs/dbraw/zinc/15/69/08/1073156908.db2.gz BDGWSLDLZDSSQE-CQSZACIVSA-N 0 0 438.506 -0.397 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(S(=O)(=O)NCCOC)cc1 ZINC000111019705 1072151788 /nfs/dbraw/zinc/15/17/88/1072151788.db2.gz YUHRYITVOBIPHK-HNNXBMFYSA-N 0 0 426.495 -0.922 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(S(=O)(=O)NCCOC)cc1 ZINC000111019707 1072151734 /nfs/dbraw/zinc/15/17/34/1072151734.db2.gz YUHRYITVOBIPHK-OAHLLOKOSA-N 0 0 426.495 -0.922 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(OC)c(S(=O)(=O)NC(C)C)c1 ZINC000111019852 1072151733 /nfs/dbraw/zinc/15/17/33/1072151733.db2.gz BNCKAXZDHLEZRX-CQSZACIVSA-N 0 0 440.522 -0.151 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(OC)c(S(=O)(=O)NC(C)C)c1 ZINC000111019855 1072151723 /nfs/dbraw/zinc/15/17/23/1072151723.db2.gz BNCKAXZDHLEZRX-AWEZNQCLSA-N 0 0 440.522 -0.151 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC000111019941 1074423518 /nfs/dbraw/zinc/42/35/18/1074423518.db2.gz DAXOMXXUGNQPDF-VLIAUNLRSA-N 0 0 433.440 -0.554 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC000111019943 1074423475 /nfs/dbraw/zinc/42/34/75/1074423475.db2.gz DAXOMXXUGNQPDF-JLTOFOAXSA-N 0 0 433.440 -0.554 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC000111019946 1074423504 /nfs/dbraw/zinc/42/35/04/1074423504.db2.gz DAXOMXXUGNQPDF-XOBRGWDASA-N 0 0 433.440 -0.554 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC000111019948 1074423507 /nfs/dbraw/zinc/42/35/07/1074423507.db2.gz DAXOMXXUGNQPDF-VBKZILBWSA-N 0 0 433.440 -0.554 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC3N=NC(=S)N3C)cc2)CC1 ZINC000111022887 1074423427 /nfs/dbraw/zinc/42/34/27/1074423427.db2.gz DSAVLDSXPMHODO-UHFFFAOYSA-N 0 0 438.535 -0.113 20 0 IBADRN O=C(NCC1N=NC(=S)N1C1CC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000111032350 1074423523 /nfs/dbraw/zinc/42/35/23/1074423523.db2.gz IRDSKSFWTSXCIZ-UHFFFAOYSA-N 0 0 436.519 -0.048 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000111040597 1073157498 /nfs/dbraw/zinc/15/74/98/1073157498.db2.gz RJCULCHNCTZQHU-LJQANCHMSA-N 0 0 438.550 -0.220 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000111040600 1073157402 /nfs/dbraw/zinc/15/74/02/1073157402.db2.gz RJCULCHNCTZQHU-IBGZPJMESA-N 0 0 438.550 -0.220 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000111046039 1074424227 /nfs/dbraw/zinc/42/42/27/1074424227.db2.gz YRKXWKLNBRHHMH-UHFFFAOYSA-N 0 0 430.531 -0.261 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000111046061 1072150280 /nfs/dbraw/zinc/15/02/80/1072150280.db2.gz LBOSWEQUUFFUKT-UHFFFAOYSA-N 0 0 436.494 -0.063 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000111049541 1073157834 /nfs/dbraw/zinc/15/78/34/1073157834.db2.gz DAVVCAWMLLWOSF-MRXNPFEDSA-N 0 0 431.536 -0.147 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000111049542 1073157816 /nfs/dbraw/zinc/15/78/16/1073157816.db2.gz DAVVCAWMLLWOSF-INIZCTEOSA-N 0 0 431.536 -0.147 20 0 IBADRN CCN(C(=O)CN1CCNC(=O)[C@@H]1C(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000111054533 1073157875 /nfs/dbraw/zinc/15/78/75/1073157875.db2.gz GXVCMLUUHDOJER-KRWDZBQOSA-N 0 0 442.520 -0.024 20 0 IBADRN CCN(C(=O)CN1CCNC(=O)[C@H]1C(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000111054536 1073157796 /nfs/dbraw/zinc/15/77/96/1073157796.db2.gz GXVCMLUUHDOJER-QGZVFWFLSA-N 0 0 442.520 -0.024 20 0 IBADRN CCN(C(=O)CN1CCNC(=O)C1(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000111056138 1072150471 /nfs/dbraw/zinc/15/04/71/1072150471.db2.gz CUOAWTXNESRKQN-UHFFFAOYSA-N 0 0 428.493 -0.270 20 0 IBADRN COCCN(C(=O)CN1CCNC(=O)[C@@H]1C(C)C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000111056701 1073157788 /nfs/dbraw/zinc/15/77/88/1073157788.db2.gz BDJZEQQTDWDBCJ-HNNXBMFYSA-N 0 0 438.529 -0.789 20 0 IBADRN COCCN(C(=O)CN1CCNC(=O)[C@H]1C(C)C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000111056704 1073157878 /nfs/dbraw/zinc/15/78/78/1073157878.db2.gz BDJZEQQTDWDBCJ-OAHLLOKOSA-N 0 0 438.529 -0.789 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)C(=O)N(C[C@@H]1CCCO1)C2=O ZINC000111061581 1072150824 /nfs/dbraw/zinc/15/08/24/1072150824.db2.gz CGTFKCGFBWWESY-YOEHRIQHSA-N 0 0 442.472 -0.072 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)C(=O)N(C[C@@H]1CCCO1)C2=O ZINC000111061584 1072150867 /nfs/dbraw/zinc/15/08/67/1072150867.db2.gz CGTFKCGFBWWESY-WMLDXEAASA-N 0 0 442.472 -0.072 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)C(=O)N(C[C@H]1CCCO1)C2=O ZINC000111061587 1072150819 /nfs/dbraw/zinc/15/08/19/1072150819.db2.gz CGTFKCGFBWWESY-PBHICJAKSA-N 0 0 442.472 -0.072 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)C(=O)N(C[C@H]1CCCO1)C2=O ZINC000111061589 1072150830 /nfs/dbraw/zinc/15/08/30/1072150830.db2.gz CGTFKCGFBWWESY-RHSMWYFYSA-N 0 0 442.472 -0.072 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000111061593 1072150898 /nfs/dbraw/zinc/15/08/98/1072150898.db2.gz XBXXVFWWPPBXIA-ZDUSSCGKSA-N 0 0 426.495 -0.588 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000111061596 1072150886 /nfs/dbraw/zinc/15/08/86/1072150886.db2.gz XBXXVFWWPPBXIA-CYBMUJFWSA-N 0 0 426.495 -0.588 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC000111061938 1072150894 /nfs/dbraw/zinc/15/08/94/1072150894.db2.gz WREDMKHLQCZKDU-MRXNPFEDSA-N 0 0 440.522 -0.393 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC000111061941 1072150880 /nfs/dbraw/zinc/15/08/80/1072150880.db2.gz WREDMKHLQCZKDU-INIZCTEOSA-N 0 0 440.522 -0.393 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000111062135 1073157862 /nfs/dbraw/zinc/15/78/62/1073157862.db2.gz HTQOCPRWYQMXFE-STQMWFEESA-N 0 0 428.536 -0.246 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000111062139 1073157836 /nfs/dbraw/zinc/15/78/36/1073157836.db2.gz HTQOCPRWYQMXFE-OLZOCXBDSA-N 0 0 428.536 -0.246 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000111062141 1073158294 /nfs/dbraw/zinc/15/82/94/1073158294.db2.gz HTQOCPRWYQMXFE-QWHCGFSZSA-N 0 0 428.536 -0.246 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000111062142 1073158316 /nfs/dbraw/zinc/15/83/16/1073158316.db2.gz HTQOCPRWYQMXFE-CHWSQXEVSA-N 0 0 428.536 -0.246 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000111062951 1073158318 /nfs/dbraw/zinc/15/83/18/1073158318.db2.gz MXHFIDNIJZAZGO-HNNXBMFYSA-N 0 0 439.538 -0.334 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000111062954 1073158334 /nfs/dbraw/zinc/15/83/34/1073158334.db2.gz MXHFIDNIJZAZGO-OAHLLOKOSA-N 0 0 439.538 -0.334 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000111063130 1073158278 /nfs/dbraw/zinc/15/82/78/1073158278.db2.gz JYEDJUCIBFYBOJ-WBVHZDCISA-N 0 0 436.534 -0.060 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000111063132 1073158303 /nfs/dbraw/zinc/15/83/03/1073158303.db2.gz JYEDJUCIBFYBOJ-NVXWUHKLSA-N 0 0 436.534 -0.060 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000111063135 1073158307 /nfs/dbraw/zinc/15/83/07/1073158307.db2.gz JYEDJUCIBFYBOJ-RDJZCZTQSA-N 0 0 436.534 -0.060 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000111063138 1073158340 /nfs/dbraw/zinc/15/83/40/1073158340.db2.gz JYEDJUCIBFYBOJ-DOTOQJQBSA-N 0 0 436.534 -0.060 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000111063262 1072150846 /nfs/dbraw/zinc/15/08/46/1072150846.db2.gz DIXVDAQBQARKFG-SWLSCSKDSA-N 0 0 426.495 -0.785 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000111063265 1072150852 /nfs/dbraw/zinc/15/08/52/1072150852.db2.gz DIXVDAQBQARKFG-WFASDCNBSA-N 0 0 426.495 -0.785 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000111063303 1074424266 /nfs/dbraw/zinc/42/42/66/1074424266.db2.gz XVQDZDPDNMAQLY-VFNWGFHPSA-N 0 0 445.476 -0.685 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000111063305 1074424102 /nfs/dbraw/zinc/42/41/02/1074424102.db2.gz XVQDZDPDNMAQLY-QVKFZJNVSA-N 0 0 445.476 -0.685 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000111063306 1074424282 /nfs/dbraw/zinc/42/42/82/1074424282.db2.gz XVQDZDPDNMAQLY-BTYIYWSLSA-N 0 0 445.476 -0.685 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000111063308 1074424279 /nfs/dbraw/zinc/42/42/79/1074424279.db2.gz XVQDZDPDNMAQLY-YCRPNKLZSA-N 0 0 445.476 -0.685 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)NCCOC)c1 ZINC000111063319 1073158310 /nfs/dbraw/zinc/15/83/10/1073158310.db2.gz HRZXAKRVXAEDQT-HNNXBMFYSA-N 0 0 426.495 -0.922 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)NCCOC)c1 ZINC000111063323 1073158323 /nfs/dbraw/zinc/15/83/23/1073158323.db2.gz HRZXAKRVXAEDQT-OAHLLOKOSA-N 0 0 426.495 -0.922 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000111063446 1072150890 /nfs/dbraw/zinc/15/08/90/1072150890.db2.gz GPEVYFMRHOKFTC-INIZCTEOSA-N 0 0 438.506 -0.826 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000111063449 1072150850 /nfs/dbraw/zinc/15/08/50/1072150850.db2.gz GPEVYFMRHOKFTC-MRXNPFEDSA-N 0 0 438.506 -0.826 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000111063459 1073158308 /nfs/dbraw/zinc/15/83/08/1073158308.db2.gz FXOORLFBJBRIFP-MRXNPFEDSA-N 0 0 438.506 -0.589 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000111063462 1073158336 /nfs/dbraw/zinc/15/83/36/1073158336.db2.gz FXOORLFBJBRIFP-INIZCTEOSA-N 0 0 438.506 -0.589 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000111063608 1072150903 /nfs/dbraw/zinc/15/09/03/1072150903.db2.gz QNIVBPKLPWLJGT-INIZCTEOSA-N 0 0 438.506 -0.826 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000111063611 1072150861 /nfs/dbraw/zinc/15/08/61/1072150861.db2.gz QNIVBPKLPWLJGT-MRXNPFEDSA-N 0 0 438.506 -0.826 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)NCCOC)ccc1C ZINC000111063918 1072150857 /nfs/dbraw/zinc/15/08/57/1072150857.db2.gz KILNWMWBGARTGH-MRXNPFEDSA-N 0 0 440.522 -0.613 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)NCCOC)ccc1C ZINC000111063921 1072150870 /nfs/dbraw/zinc/15/08/70/1072150870.db2.gz KILNWMWBGARTGH-INIZCTEOSA-N 0 0 440.522 -0.613 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1ccccc1Cl ZINC000111064084 1072150842 /nfs/dbraw/zinc/15/08/42/1072150842.db2.gz JPVBSXUSYUIILQ-ZDUSSCGKSA-N 0 0 430.914 -0.138 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1ccccc1Cl ZINC000111064087 1072151346 /nfs/dbraw/zinc/15/13/46/1072151346.db2.gz JPVBSXUSYUIILQ-CYBMUJFWSA-N 0 0 430.914 -0.138 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cccc(Br)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111064921 1072151352 /nfs/dbraw/zinc/15/13/52/1072151352.db2.gz SPWMIKUIZICXTP-NSHDSACASA-N 0 0 446.323 -0.059 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cccc(Br)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111064924 1072151409 /nfs/dbraw/zinc/15/14/09/1072151409.db2.gz SPWMIKUIZICXTP-LLVKDONJSA-N 0 0 446.323 -0.059 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1ccc(Br)o1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065085 1073158332 /nfs/dbraw/zinc/15/83/32/1073158332.db2.gz BOUOZGUVNHLBRK-VIFPVBQESA-N 0 0 436.284 -0.466 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1ccc(Br)o1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065087 1073158330 /nfs/dbraw/zinc/15/83/30/1073158330.db2.gz BOUOZGUVNHLBRK-SECBINFHSA-N 0 0 436.284 -0.466 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065245 1072151366 /nfs/dbraw/zinc/15/13/66/1072151366.db2.gz CSHJNQZMAQZVBJ-ZDUSSCGKSA-N 0 0 428.511 -0.655 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065247 1072151281 /nfs/dbraw/zinc/15/12/81/1072151281.db2.gz CSHJNQZMAQZVBJ-CYBMUJFWSA-N 0 0 428.511 -0.655 20 0 IBADRN C[C@H](NC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065398 1072151371 /nfs/dbraw/zinc/15/13/71/1072151371.db2.gz QOEZSDJFJPRVRQ-LBPRGKRZSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@@H](NC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065400 1072151338 /nfs/dbraw/zinc/15/13/38/1072151338.db2.gz QOEZSDJFJPRVRQ-GFCCVEGCSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@]2(CCCC[C@H]2C)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065559 1072151331 /nfs/dbraw/zinc/15/13/31/1072151331.db2.gz YNQTWJVATUUQNX-VBHSOAQHSA-N 0 0 428.511 -0.751 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@]2(CCCC[C@@H]2C)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065562 1072151327 /nfs/dbraw/zinc/15/13/27/1072151327.db2.gz YNQTWJVATUUQNX-LXIYXOSZSA-N 0 0 428.511 -0.751 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@@]2(CCCC[C@H]2C)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065565 1072151289 /nfs/dbraw/zinc/15/12/89/1072151289.db2.gz YNQTWJVATUUQNX-FHSNZYRGSA-N 0 0 428.511 -0.751 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@@]2(CCCC[C@@H]2C)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000111065567 1072151307 /nfs/dbraw/zinc/15/13/07/1072151307.db2.gz YNQTWJVATUUQNX-ZJNRKIDTSA-N 0 0 428.511 -0.751 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1)C2=O ZINC000111065866 1073158321 /nfs/dbraw/zinc/15/83/21/1073158321.db2.gz ZFYFHVWQBOPEIY-CDJWAVJASA-N 0 0 442.538 -0.361 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1)C2=O ZINC000111065869 1073158326 /nfs/dbraw/zinc/15/83/26/1073158326.db2.gz ZFYFHVWQBOPEIY-VFPJAPOESA-N 0 0 442.538 -0.361 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000111065989 1072151356 /nfs/dbraw/zinc/15/13/56/1072151356.db2.gz CCBAPEVWDINRNA-STQMWFEESA-N 0 0 447.535 -0.876 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000111065991 1072151363 /nfs/dbraw/zinc/15/13/63/1072151363.db2.gz CCBAPEVWDINRNA-QWHCGFSZSA-N 0 0 447.535 -0.876 20 0 IBADRN C[C@H](NC(=O)CCNS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111066617 1073158315 /nfs/dbraw/zinc/15/83/15/1073158315.db2.gz WBKWOHYULMSCCH-LBPRGKRZSA-N 0 0 435.499 -0.744 20 0 IBADRN C[C@@H](NC(=O)CCNS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111066620 1073158312 /nfs/dbraw/zinc/15/83/12/1073158312.db2.gz WBKWOHYULMSCCH-GFCCVEGCSA-N 0 0 435.499 -0.744 20 0 IBADRN O=C(NCC1N=NC(=S)N1C1CC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000111074734 1074424245 /nfs/dbraw/zinc/42/42/45/1074424245.db2.gz VIQURNYKNBZPOA-UHFFFAOYSA-N 0 0 436.519 -0.048 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000111088925 1074424209 /nfs/dbraw/zinc/42/42/09/1074424209.db2.gz CMNQYVXYLQKFKN-UHFFFAOYSA-N 0 0 447.579 -0.058 20 0 IBADRN COCCN(CCCS(=O)(=O)N(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000111091254 1073120446 /nfs/dbraw/zinc/12/04/46/1073120446.db2.gz ZXQAZXJCUTVEMX-UHFFFAOYSA-N 0 0 439.538 -0.099 20 0 IBADRN CN(C)S(=O)(=O)CCCN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000111092579 1072152221 /nfs/dbraw/zinc/15/22/21/1072152221.db2.gz CDLAMAIFCDTZBT-UHFFFAOYSA-N 0 0 431.540 -0.556 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000111125819 1073158783 /nfs/dbraw/zinc/15/87/83/1073158783.db2.gz OHQJAILRPRNRBU-UHFFFAOYSA-N 0 0 426.495 -0.466 20 0 IBADRN COc1ccc(C(=O)NCCCN2C(=O)CCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000111126909 1072152328 /nfs/dbraw/zinc/15/23/28/1072152328.db2.gz GRYQHKHKBLYCDS-UHFFFAOYSA-N 0 0 439.490 -0.015 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCN1C(=O)CCC1=O ZINC000111127104 1072152258 /nfs/dbraw/zinc/15/22/58/1072152258.db2.gz WVNQFNCSBRFBAN-UHFFFAOYSA-N 0 0 439.490 -0.015 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCCN3C(=O)CCC3=O)CC2)cc1 ZINC000111127749 1072152275 /nfs/dbraw/zinc/15/22/75/1072152275.db2.gz JIDOWRIXBJUKIE-UHFFFAOYSA-N 0 0 436.534 -0.043 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000111138821 1074424720 /nfs/dbraw/zinc/42/47/20/1074424720.db2.gz KQCWYHNDFDSRRX-UHFFFAOYSA-N 0 0 438.535 -0.320 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000111140694 1074424771 /nfs/dbraw/zinc/42/47/71/1074424771.db2.gz QVNWHRDRBLFIPO-UHFFFAOYSA-N 0 0 438.535 -0.320 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC000111147355 1072152267 /nfs/dbraw/zinc/15/22/67/1072152267.db2.gz ZLJFVSPQZPUEOD-UHFFFAOYSA-N 0 0 430.552 -0.518 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000111147507 1072152205 /nfs/dbraw/zinc/15/22/05/1072152205.db2.gz HOKXHIXHFOOPTJ-CYBMUJFWSA-N 0 0 432.568 -0.226 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000111147509 1072152299 /nfs/dbraw/zinc/15/22/99/1072152299.db2.gz HOKXHIXHFOOPTJ-ZDUSSCGKSA-N 0 0 432.568 -0.226 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000111148243 1073159162 /nfs/dbraw/zinc/15/91/62/1073159162.db2.gz FYEMLEHJZPZVNE-UHFFFAOYSA-N 0 0 444.579 -0.128 20 0 IBADRN CC1(C)CC(=O)c2cc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c(=O)[nH]c2C1 ZINC000111164142 1072152717 /nfs/dbraw/zinc/15/27/17/1072152717.db2.gz BSXWLJSBGDLNOU-UHFFFAOYSA-N 0 0 444.488 -0.327 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)C3(C)C)CC2)cc1 ZINC000111191652 1072153874 /nfs/dbraw/zinc/15/38/74/1072153874.db2.gz KAVSEJQOFBMRCH-UHFFFAOYSA-N 0 0 436.534 -0.068 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCNC(=O)[C@@H]2C(C)C)CC1 ZINC000111191988 1073159591 /nfs/dbraw/zinc/15/95/91/1073159591.db2.gz YHSQEMKYAHDZNJ-INIZCTEOSA-N 0 0 427.527 -0.419 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCNC(=O)[C@H]2C(C)C)CC1 ZINC000111191990 1073159622 /nfs/dbraw/zinc/15/96/22/1073159622.db2.gz YHSQEMKYAHDZNJ-MRXNPFEDSA-N 0 0 427.527 -0.419 20 0 IBADRN CCN1C(=S)N=NC1CNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000111196476 1074425520 /nfs/dbraw/zinc/42/55/20/1074425520.db2.gz PZOVSGGKGCMHEP-UHFFFAOYSA-N 0 0 426.524 -0.117 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC3N=NC(=S)N3C)c2)CC1 ZINC000111198996 1074425507 /nfs/dbraw/zinc/42/55/07/1074425507.db2.gz XTXRXBDJZQZXCE-UHFFFAOYSA-N 0 0 438.535 -0.113 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000111202957 1072152640 /nfs/dbraw/zinc/15/26/40/1072152640.db2.gz WZFQLCOBUGCWHT-UHFFFAOYSA-N 0 0 432.568 -0.272 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1NCCN1CCN(c2ncccn2)CC1 ZINC000111203069 1072152606 /nfs/dbraw/zinc/15/26/06/1072152606.db2.gz UBHCXKYGKZWWMF-UHFFFAOYSA-N 0 0 440.551 -0.238 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000111203259 1073160044 /nfs/dbraw/zinc/16/00/44/1073160044.db2.gz NHWUVELMQXUBEQ-LBPRGKRZSA-N 0 0 430.552 -0.473 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000111203260 1073160058 /nfs/dbraw/zinc/16/00/58/1073160058.db2.gz NHWUVELMQXUBEQ-GFCCVEGCSA-N 0 0 430.552 -0.473 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000111208361 1073160053 /nfs/dbraw/zinc/16/00/53/1073160053.db2.gz NDLFTDYQKIXEFT-RYUDHWBXSA-N 0 0 435.499 -0.746 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccccc1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000111208362 1073160040 /nfs/dbraw/zinc/16/00/40/1073160040.db2.gz NDLFTDYQKIXEFT-NWDGAFQWSA-N 0 0 435.499 -0.746 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)NC(C)(C)C)o1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111208461 1073159951 /nfs/dbraw/zinc/15/99/51/1073159951.db2.gz QTYBYDQGNVNWTL-NSHDSACASA-N 0 0 435.524 -0.268 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)NC(C)(C)C)o1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111208464 1073160059 /nfs/dbraw/zinc/16/00/59/1073160059.db2.gz QTYBYDQGNVNWTL-LLVKDONJSA-N 0 0 435.524 -0.268 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000111208530 1073160050 /nfs/dbraw/zinc/16/00/50/1073160050.db2.gz SGDRUCQZCNOSNE-UHFFFAOYSA-N 0 0 441.506 -0.524 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000111208756 1072152574 /nfs/dbraw/zinc/15/25/74/1072152574.db2.gz BKJDZJIPAXJFAG-HNNXBMFYSA-N 0 0 445.563 -0.266 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000111208758 1072152543 /nfs/dbraw/zinc/15/25/43/1072152543.db2.gz BKJDZJIPAXJFAG-OAHLLOKOSA-N 0 0 445.563 -0.266 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1C ZINC000111209133 1072152558 /nfs/dbraw/zinc/15/25/58/1072152558.db2.gz VECODTIUTFQSME-HNNXBMFYSA-N 0 0 445.563 -0.314 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1C ZINC000111209135 1072152564 /nfs/dbraw/zinc/15/25/64/1072152564.db2.gz VECODTIUTFQSME-OAHLLOKOSA-N 0 0 445.563 -0.314 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111209338 1072152624 /nfs/dbraw/zinc/15/26/24/1072152624.db2.gz VASVZEZHXNQRPO-AWEZNQCLSA-N 0 0 443.547 -0.154 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111209339 1072152735 /nfs/dbraw/zinc/15/27/35/1072152735.db2.gz VASVZEZHXNQRPO-CQSZACIVSA-N 0 0 443.547 -0.154 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)n(C)c1 ZINC000111209445 1074425400 /nfs/dbraw/zinc/42/54/00/1074425400.db2.gz IKCCXPCQIDHKLE-ZDUSSCGKSA-N 0 0 448.567 -0.569 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)n(C)c1 ZINC000111209447 1074425485 /nfs/dbraw/zinc/42/54/85/1074425485.db2.gz IKCCXPCQIDHKLE-CYBMUJFWSA-N 0 0 448.567 -0.569 20 0 IBADRN Cc1cc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc(S(=O)(=O)N(C)C)c1C ZINC000111209455 1072152766 /nfs/dbraw/zinc/15/27/66/1072152766.db2.gz OUQOBEKPEZNZOK-AWEZNQCLSA-N 0 0 445.563 -0.071 20 0 IBADRN Cc1cc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc(S(=O)(=O)N(C)C)c1C ZINC000111209456 1072152646 /nfs/dbraw/zinc/15/26/46/1072152646.db2.gz OUQOBEKPEZNZOK-CQSZACIVSA-N 0 0 445.563 -0.071 20 0 IBADRN C[C@H](NC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111209462 1074425546 /nfs/dbraw/zinc/42/55/46/1074425546.db2.gz IJXIAJDEXXRBRD-NHYWBVRUSA-N 0 0 439.469 -0.993 20 0 IBADRN C[C@@H](NC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111209464 1074425450 /nfs/dbraw/zinc/42/54/50/1074425450.db2.gz IJXIAJDEXXRBRD-ABAIWWIYSA-N 0 0 439.469 -0.993 20 0 IBADRN C[C@H](NC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111209466 1074425462 /nfs/dbraw/zinc/42/54/62/1074425462.db2.gz IJXIAJDEXXRBRD-XHDPSFHLSA-N 0 0 439.469 -0.993 20 0 IBADRN C[C@@H](NC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111209468 1074425412 /nfs/dbraw/zinc/42/54/12/1074425412.db2.gz IJXIAJDEXXRBRD-IAQYHMDHSA-N 0 0 439.469 -0.993 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cc(-c2ccccc2)on1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111209559 1073159979 /nfs/dbraw/zinc/15/99/79/1073159979.db2.gz VBRIZIUPTVJGJW-ZDUSSCGKSA-N 0 0 434.474 -0.167 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cc(-c2ccccc2)on1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111209560 1073160042 /nfs/dbraw/zinc/16/00/42/1073160042.db2.gz VBRIZIUPTVJGJW-CYBMUJFWSA-N 0 0 434.474 -0.167 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000111209627 1072152617 /nfs/dbraw/zinc/15/26/17/1072152617.db2.gz FVAXENLFUVPLBC-UHFFFAOYSA-N 0 0 428.463 -0.196 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000111209837 1073159969 /nfs/dbraw/zinc/15/99/69/1073159969.db2.gz ONSDQLMDGUYPFB-NSHDSACASA-N 0 0 429.451 -0.514 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000111212346 1074425470 /nfs/dbraw/zinc/42/54/70/1074425470.db2.gz DHSWQRKAFNCIKC-UHFFFAOYSA-N 0 0 440.551 -0.074 20 0 IBADRN CNC(=O)CN1CCN(c2nc3ccccc3c(N3CCN(CC(=O)NC)CC3)n2)CC1 ZINC000111220927 1072153345 /nfs/dbraw/zinc/15/33/45/1072153345.db2.gz CXPRNPKRKLSDDF-UHFFFAOYSA-N 0 0 440.552 -0.634 20 0 IBADRN CCc1cc(=O)[nH]c(-c2ccc(N3CCN(C(=O)C(=O)N4CCOCC4)CC3)nc2)n1 ZINC000111221421 1072154356 /nfs/dbraw/zinc/15/43/56/1072154356.db2.gz OUOAFUVGMSBGLU-UHFFFAOYSA-N 0 0 426.477 -0.098 20 0 IBADRN C[C@H](NC(=O)CCNS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111225624 1074426070 /nfs/dbraw/zinc/42/60/70/1074426070.db2.gz OMSGXRXRONJIAM-JQVXPOPVSA-N 0 0 443.547 -0.272 20 0 IBADRN C[C@@H](NC(=O)CCNS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000111225626 1074426184 /nfs/dbraw/zinc/42/61/84/1074426184.db2.gz OMSGXRXRONJIAM-INDWDQIESA-N 0 0 443.547 -0.272 20 0 IBADRN O=S(=O)(Cc1cccc(F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000111227487 1074426202 /nfs/dbraw/zinc/42/62/02/1074426202.db2.gz XTIFZIWRZFZDRP-OAHLLOKOSA-N 0 0 440.540 -0.210 20 0 IBADRN O=S(=O)(Cc1cccc(F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000111227488 1074425965 /nfs/dbraw/zinc/42/59/65/1074425965.db2.gz XTIFZIWRZFZDRP-HNNXBMFYSA-N 0 0 440.540 -0.210 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Cn2cnc3c4ccccc4oc3c2=O)CC1 ZINC000115835364 1073205165 /nfs/dbraw/zinc/20/51/65/1073205165.db2.gz AQGPPSURQYKWDX-UHFFFAOYSA-N 0 0 433.490 -0.164 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000115835402 1073205234 /nfs/dbraw/zinc/20/52/34/1073205234.db2.gz RJRDBVONZYQGKC-UHFFFAOYSA-N 0 0 432.568 -0.320 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc2N1S(C)(=O)=O ZINC000115835436 1073205084 /nfs/dbraw/zinc/20/50/84/1073205084.db2.gz QFIUNRVGCIYQAP-CQSZACIVSA-N 0 0 444.579 -0.296 20 0 IBADRN C[C@H]1Cc2cc(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc2N1S(C)(=O)=O ZINC000115835439 1073205293 /nfs/dbraw/zinc/20/52/93/1073205293.db2.gz QFIUNRVGCIYQAP-AWEZNQCLSA-N 0 0 444.579 -0.296 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000115835504 1073205280 /nfs/dbraw/zinc/20/52/80/1073205280.db2.gz YBWBRRVJGVNJJC-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1NC(C)=O ZINC000115844862 1073205298 /nfs/dbraw/zinc/20/52/98/1073205298.db2.gz KPTQNXQEEVXJNE-UHFFFAOYSA-N 0 0 434.540 -0.491 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2ccc(N3CCCC3=O)cc2)CC1 ZINC000115844864 1073205096 /nfs/dbraw/zinc/20/50/96/1073205096.db2.gz HTQJKUGPUXESDO-UHFFFAOYSA-N 0 0 430.552 -0.331 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)c(F)c1 ZINC000115845835 1072536843 /nfs/dbraw/zinc/53/68/43/1072536843.db2.gz FNXMKBYKKREZQZ-UHFFFAOYSA-N 0 0 434.515 -0.218 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000115849674 1073205308 /nfs/dbraw/zinc/20/53/08/1073205308.db2.gz DKWUYEWVMCVQGZ-UHFFFAOYSA-N 0 0 430.552 -0.467 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000115849721 1073205152 /nfs/dbraw/zinc/20/51/52/1073205152.db2.gz ZNWHKQMOMTVXEM-UHFFFAOYSA-N 0 0 444.579 -0.222 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n(C)c1 ZINC000115849855 1072656012 /nfs/dbraw/zinc/65/60/12/1072656012.db2.gz QKTLTKWMQIPPDW-UHFFFAOYSA-N 0 0 449.599 -0.637 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNC(=O)c2ccc(Br)o2)CC1 ZINC000115849941 1073205316 /nfs/dbraw/zinc/20/53/16/1073205316.db2.gz BEQBSFLUXJDQFR-UHFFFAOYSA-N 0 0 437.316 -0.535 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2cccc(OCCN3CCOCC3)c2)cn1 ZINC000115943615 1072539120 /nfs/dbraw/zinc/53/91/20/1072539120.db2.gz HNQLUVJNVWHJRQ-UHFFFAOYSA-N 0 0 437.522 -0.274 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000115969489 1073206595 /nfs/dbraw/zinc/20/65/95/1073206595.db2.gz QZOOUGXBRZDWRL-UHFFFAOYSA-N 0 0 429.568 -0.402 20 0 IBADRN Cc1oc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000115999897 1073206751 /nfs/dbraw/zinc/20/67/51/1073206751.db2.gz JAUKUFJGZLDHNQ-UHFFFAOYSA-N 0 0 448.567 -0.320 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000115999953 1073206746 /nfs/dbraw/zinc/20/67/46/1073206746.db2.gz RGKUJVSGHDMJMP-UHFFFAOYSA-N 0 0 443.570 -0.429 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000115999986 1073206777 /nfs/dbraw/zinc/20/67/77/1073206777.db2.gz DCXWQMSRCJUBNI-AWEZNQCLSA-N 0 0 432.568 -0.176 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccco1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000115999988 1073207080 /nfs/dbraw/zinc/20/70/80/1073207080.db2.gz DCXWQMSRCJUBNI-CQSZACIVSA-N 0 0 432.568 -0.176 20 0 IBADRN COc1cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(Cl)c1OCC(N)=O ZINC000116000062 1073207153 /nfs/dbraw/zinc/20/71/53/1073207153.db2.gz ZLHAPEBDJLTALV-UHFFFAOYSA-N 0 0 448.929 -0.480 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000116000075 1072656538 /nfs/dbraw/zinc/65/65/38/1072656538.db2.gz MYTQEHKCHATQBQ-UHFFFAOYSA-N 0 0 438.959 -0.445 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCNS(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000116007982 1072656666 /nfs/dbraw/zinc/65/66/66/1072656666.db2.gz WTCAHKXKPRAJBA-LZYBPNLTSA-N 0 0 444.579 -0.340 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCCC(=O)N2CCN(c3ccc(F)cc3)CC2)nn1 ZINC000116039396 1072540297 /nfs/dbraw/zinc/54/02/97/1072540297.db2.gz TWFLIBHMVISLBM-UHFFFAOYSA-N 0 0 431.472 -0.026 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(C)(C)NC(=O)OC(C)(C)C)CC2)CC1 ZINC000116108150 1074446864 /nfs/dbraw/zinc/44/68/64/1074446864.db2.gz PHUXSUDBWQUFNS-UHFFFAOYSA-N 0 0 433.575 -0.074 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCN2CCCS2(=O)=O)c1 ZINC000116192922 1072542289 /nfs/dbraw/zinc/54/22/89/1072542289.db2.gz NHFBFFAUTUMYME-UHFFFAOYSA-N 0 0 434.540 -0.970 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000116193257 1072542180 /nfs/dbraw/zinc/54/21/80/1072542180.db2.gz MYXYTUIZWXIGIS-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000116193275 1072542303 /nfs/dbraw/zinc/54/23/03/1072542303.db2.gz XOLDNDDRPLSTNM-LBPRGKRZSA-N 0 0 432.524 -0.537 20 0 IBADRN COc1ccc(C(=O)NCCN2CCCS2(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000116193372 1072542416 /nfs/dbraw/zinc/54/24/16/1072542416.db2.gz MGRCIWWIVSUFAL-UHFFFAOYSA-N 0 0 447.535 -0.519 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCCN1CCCS1(=O)=O ZINC000116193385 1072542311 /nfs/dbraw/zinc/54/23/11/1072542311.db2.gz QWWCEGRPTFMEKV-UHFFFAOYSA-N 0 0 445.563 -0.208 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000116222667 1071115551 /nfs/dbraw/zinc/11/55/51/1071115551.db2.gz WXDIOUBUEDWBJG-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000116250591 1074446809 /nfs/dbraw/zinc/44/68/09/1074446809.db2.gz GNPPJEFVEIVQMA-DTQAZKPQSA-N 0 0 448.567 -0.839 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000116255150 1072542200 /nfs/dbraw/zinc/54/22/00/1072542200.db2.gz MVIBULKRJRVZKR-MRXNPFEDSA-N 0 0 448.523 -0.643 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000116255154 1072542451 /nfs/dbraw/zinc/54/24/51/1072542451.db2.gz MVIBULKRJRVZKR-INIZCTEOSA-N 0 0 448.523 -0.643 20 0 IBADRN CN(CC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)S(=O)(=O)c1cccnc1 ZINC000116280624 1072542396 /nfs/dbraw/zinc/54/23/96/1072542396.db2.gz WGIMLSDGIRKPLS-UHFFFAOYSA-N 0 0 446.533 -0.048 20 0 IBADRN NC(=O)CNC(=O)c1ccc(NC(=O)CCNC2=NS(=O)(=O)c3ccccc32)cc1 ZINC000116302337 1074447031 /nfs/dbraw/zinc/44/70/31/1074447031.db2.gz KMONWBZVLURIDR-UHFFFAOYSA-N 0 0 429.458 -0.031 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cc(Cl)ccc2-n2cnnn2)CC1 ZINC000116432762 1072544170 /nfs/dbraw/zinc/54/41/70/1072544170.db2.gz WHVUHSVSYPYGII-UHFFFAOYSA-N 0 0 431.840 -0.251 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000116432966 1072158071 /nfs/dbraw/zinc/15/80/71/1072158071.db2.gz PMLGJPLWOPNQJQ-UHFFFAOYSA-N 0 0 433.552 -0.586 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(-c4ccccn4)[nH]c3=O)CC2)CC1 ZINC000116448418 1072544022 /nfs/dbraw/zinc/54/40/22/1072544022.db2.gz QRKYUCRCAFYCDP-UHFFFAOYSA-N 0 0 446.533 -0.313 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000116449142 1074447541 /nfs/dbraw/zinc/44/75/41/1074447541.db2.gz OEFQTRNAYPGJPP-UHFFFAOYSA-N 0 0 444.558 -0.020 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)Nc1ccncc1 ZINC000116488745 1072259336 /nfs/dbraw/zinc/25/93/36/1072259336.db2.gz CPWJOTNWXXXOSW-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1C ZINC000116494934 1072867505 /nfs/dbraw/zinc/86/75/05/1072867505.db2.gz MAXSEFGSTKYKDZ-UHFFFAOYSA-N 0 0 441.535 -0.460 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(CC(=O)N3CCCC3)CC2)cn1C ZINC000116495535 1072868205 /nfs/dbraw/zinc/86/82/05/1072868205.db2.gz ADDMGHSJOHCYKR-UHFFFAOYSA-N 0 0 426.543 -0.836 20 0 IBADRN CC(C)(C)n1ncc2c1nc(N1CCN(S(=O)(=O)N3CCOCC3)CC1)[nH]c2=O ZINC000116497636 1072868178 /nfs/dbraw/zinc/86/81/78/1072868178.db2.gz PBPKYIZBEWHQCS-UHFFFAOYSA-N 0 0 425.515 -0.426 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@]2(N3CCOCC3)CCSC2)cn1C ZINC000116507321 1072869889 /nfs/dbraw/zinc/86/98/89/1072869889.db2.gz UAUBSFYNKIPRGV-QGZVFWFLSA-N 0 0 431.584 -0.279 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@]2(N3CCOCC3)CCSC2)cn1C ZINC000116507324 1072870087 /nfs/dbraw/zinc/87/00/87/1072870087.db2.gz UAUBSFYNKIPRGV-KRWDZBQOSA-N 0 0 431.584 -0.279 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)CC3CCCC3)CC2)o1 ZINC000116532471 1072884758 /nfs/dbraw/zinc/88/47/58/1072884758.db2.gz VRHNKXCDHVUMSR-UHFFFAOYSA-N 0 0 426.495 -0.092 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000116541956 1072885169 /nfs/dbraw/zinc/88/51/69/1072885169.db2.gz BOVMARKSYMBKMA-UHFFFAOYSA-N 0 0 436.490 -0.074 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)c1c(N)n(C2CC2)c(=O)[nH]c1=O ZINC000116542044 1072885216 /nfs/dbraw/zinc/88/52/16/1072885216.db2.gz YSOAASREFDINIF-UHFFFAOYSA-N 0 0 449.445 -0.505 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCc3ccc(S(C)(=O)=O)cc31)c(=O)n2C ZINC000116558981 1072290520 /nfs/dbraw/zinc/29/05/20/1072290520.db2.gz XBYCCNVIPFBRJO-UHFFFAOYSA-N 0 0 431.474 -0.183 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000116613749 1072957512 /nfs/dbraw/zinc/95/75/12/1072957512.db2.gz UTMIDRRLKVYIMB-UHFFFAOYSA-N 0 0 449.533 -0.071 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cn1C ZINC000116614104 1072957535 /nfs/dbraw/zinc/95/75/35/1072957535.db2.gz KGWLYLMKMMUKSD-UHFFFAOYSA-N 0 0 434.522 -0.528 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2c(=O)onc2-c2cnccn2)CC1 ZINC000116622988 1072957554 /nfs/dbraw/zinc/95/75/54/1072957554.db2.gz XZWRXGPHSMCJPD-UHFFFAOYSA-N 0 0 449.449 -0.569 20 0 IBADRN COc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1-n1nnnc1C ZINC000116659062 1072988797 /nfs/dbraw/zinc/98/87/97/1072988797.db2.gz ITXCNGUWXATQKU-UHFFFAOYSA-N 0 0 425.409 -0.635 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000116671706 1072989406 /nfs/dbraw/zinc/98/94/06/1072989406.db2.gz MQBBKKZLDVRBQE-IYBDPMFKSA-N 0 0 428.493 -0.193 20 0 IBADRN O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000116671709 1072989355 /nfs/dbraw/zinc/98/93/55/1072989355.db2.gz MQBBKKZLDVRBQE-HOTGVXAUSA-N 0 0 428.493 -0.193 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000116671711 1072989466 /nfs/dbraw/zinc/98/94/66/1072989466.db2.gz MQBBKKZLDVRBQE-HZPDHXFCSA-N 0 0 428.493 -0.193 20 0 IBADRN CN(CC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)S(=O)(=O)c1ccccc1 ZINC000116672030 1073211299 /nfs/dbraw/zinc/21/12/99/1073211299.db2.gz SDHCTMJKQHZJNA-UHFFFAOYSA-N 0 0 446.533 -0.048 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000116704226 1073211774 /nfs/dbraw/zinc/21/17/74/1073211774.db2.gz GHJBIRHWJWPPKB-UHFFFAOYSA-N 0 0 430.571 -0.513 20 0 IBADRN C[C@@H]1C(=O)NCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000116753840 1072995048 /nfs/dbraw/zinc/99/50/48/1072995048.db2.gz BRSGIHQZQUXYHH-CQSZACIVSA-N 0 0 438.506 -0.889 20 0 IBADRN C[C@H]1C(=O)NCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000116753843 1072995212 /nfs/dbraw/zinc/99/52/12/1072995212.db2.gz BRSGIHQZQUXYHH-AWEZNQCLSA-N 0 0 438.506 -0.889 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ccc(CN4CCOCC4)cc3)cnc21 ZINC000116755714 1073212141 /nfs/dbraw/zinc/21/21/41/1073212141.db2.gz AWZKMCNGNHSCLS-UHFFFAOYSA-N 0 0 445.501 -0.068 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)cnc21 ZINC000116755774 1074447413 /nfs/dbraw/zinc/44/74/13/1074447413.db2.gz PZVDYQAAZAIVBD-UHFFFAOYSA-N 0 0 425.467 -0.137 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ccc(N4CCOCC4)nc3)cnc21 ZINC000116755783 1073212211 /nfs/dbraw/zinc/21/22/11/1073212211.db2.gz IYSGRSNZKOXXQS-UHFFFAOYSA-N 0 0 432.462 -0.668 20 0 IBADRN Cc1nc(CN2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)cs1 ZINC000116755789 1071117152 /nfs/dbraw/zinc/11/71/52/1071117152.db2.gz JZUZEUSDKAPMQH-UHFFFAOYSA-N 0 0 436.519 -0.107 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ccccc3N3CCOCC3)cnc21 ZINC000116755888 1073212131 /nfs/dbraw/zinc/21/21/31/1073212131.db2.gz BCVOHHCVUFKQET-UHFFFAOYSA-N 0 0 431.474 -0.063 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000116762829 1074447476 /nfs/dbraw/zinc/44/74/76/1074447476.db2.gz MEZOYJNQQWQBCY-UHFFFAOYSA-N 0 0 429.477 -0.096 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(O)c1 ZINC000116773600 1072995250 /nfs/dbraw/zinc/99/52/50/1072995250.db2.gz SWYGZLLWYPKTAQ-UHFFFAOYSA-N 0 0 432.477 -0.650 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000116774031 1073212115 /nfs/dbraw/zinc/21/21/15/1073212115.db2.gz QHNBUKZPOTTWKY-UHFFFAOYSA-N 0 0 426.477 -0.024 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC3CCN(C(=O)c4ccoc4)CC3)cnc21 ZINC000116792926 1072995179 /nfs/dbraw/zinc/99/51/79/1072995179.db2.gz VFSXBYOJVVPHTP-UHFFFAOYSA-N 0 0 433.446 -0.202 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cnn3c2[nH]c(=O)c2ccccc23)CC1)N1CCOCC1 ZINC000117348161 1073019190 /nfs/dbraw/zinc/01/91/90/1073019190.db2.gz NMWUHGBVFLITDE-UHFFFAOYSA-N 0 0 438.444 -0.681 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)CNC3=NS(=O)(=O)c4ccccc43)cc21 ZINC000117355933 1072657278 /nfs/dbraw/zinc/65/72/78/1072657278.db2.gz WUSCHLGWOLYDCP-UHFFFAOYSA-N 0 0 435.487 -0.070 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CC(=O)N(Cc3ccccn3)C2)CC1 ZINC000117378532 1073215272 /nfs/dbraw/zinc/21/52/72/1073215272.db2.gz BJNLOBDQTCRTAC-OAHLLOKOSA-N 0 0 427.461 -0.750 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CC(=O)N(Cc3ccccn3)C2)CC1 ZINC000117378536 1073215258 /nfs/dbraw/zinc/21/52/58/1073215258.db2.gz BJNLOBDQTCRTAC-HNNXBMFYSA-N 0 0 427.461 -0.750 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)NCCN1CCN(c2ncccn2)CC1 ZINC000117643841 1073216528 /nfs/dbraw/zinc/21/65/28/1073216528.db2.gz PAYMHRFMZIHKSL-UHFFFAOYSA-N 0 0 429.529 -0.317 20 0 IBADRN CC(=O)N1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000137790774 1071227637 /nfs/dbraw/zinc/22/76/37/1071227637.db2.gz SVOQNSMXLQVLLA-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000138743660 1073406067 /nfs/dbraw/zinc/40/60/67/1073406067.db2.gz FFIZOVNURHNGSR-MRXNPFEDSA-N 0 0 431.493 -0.397 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000140260755 1071227583 /nfs/dbraw/zinc/22/75/83/1071227583.db2.gz MUTPEJBYJBBGON-UHFFFAOYSA-N 0 0 438.506 -0.887 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000143181846 1072577354 /nfs/dbraw/zinc/57/73/54/1072577354.db2.gz GOQBBZINHGYSTR-UHFFFAOYSA-N 0 0 445.432 -0.690 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000147417275 1071227703 /nfs/dbraw/zinc/22/77/03/1071227703.db2.gz COUKFXMQXSJEJQ-UHFFFAOYSA-N 0 0 437.474 -0.170 20 0 IBADRN COC(=O)c1ccccc1NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000164973159 1072187944 /nfs/dbraw/zinc/18/79/44/1072187944.db2.gz LIFABATYPWSALN-UHFFFAOYSA-N 0 0 429.389 -0.598 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC000169917969 1071265771 /nfs/dbraw/zinc/26/57/71/1071265771.db2.gz XTAIBTXGPLEHQZ-UHFFFAOYSA-N 0 0 433.284 -0.443 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cc1 ZINC000170008344 1073568657 /nfs/dbraw/zinc/56/86/57/1073568657.db2.gz XZGZFUHCVCAJSV-UHFFFAOYSA-N 0 0 429.476 -0.122 20 0 IBADRN CN(C)CCN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)[C@@H]1CCS(=O)(=O)C1 ZINC000170248120 1074095629 /nfs/dbraw/zinc/09/56/29/1074095629.db2.gz YXSCSPAJJSOWHS-CQSZACIVSA-N 0 0 449.551 -0.509 20 0 IBADRN CN(C)CCN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)[C@H]1CCS(=O)(=O)C1 ZINC000170248122 1074095851 /nfs/dbraw/zinc/09/58/51/1074095851.db2.gz YXSCSPAJJSOWHS-AWEZNQCLSA-N 0 0 449.551 -0.509 20 0 IBADRN COCCN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)[C@@H]1CCS(=O)(=O)C1 ZINC000170248124 1074095787 /nfs/dbraw/zinc/09/57/87/1074095787.db2.gz XQLSLLOQUMNAAI-CYBMUJFWSA-N 0 0 436.508 -0.424 20 0 IBADRN COCCN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)[C@H]1CCS(=O)(=O)C1 ZINC000170248126 1074096152 /nfs/dbraw/zinc/09/61/52/1074096152.db2.gz XQLSLLOQUMNAAI-ZDUSSCGKSA-N 0 0 436.508 -0.424 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(Cc3nccn3C(F)F)CC1)c(=O)n2C ZINC000195723488 1072644434 /nfs/dbraw/zinc/64/44/34/1072644434.db2.gz ZCJNPPRVGQIRNR-UHFFFAOYSA-N 0 0 436.423 -0.630 20 0 IBADRN Cc1cc(C)n2nc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)nc2n1 ZINC000196405606 1073430184 /nfs/dbraw/zinc/43/01/84/1073430184.db2.gz WQMIYAWMYCIGJD-CQSZACIVSA-N 0 0 436.494 -0.771 20 0 IBADRN Cc1cc(C)n2nc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)nc2n1 ZINC000196405616 1073430949 /nfs/dbraw/zinc/43/09/49/1073430949.db2.gz WQMIYAWMYCIGJD-AWEZNQCLSA-N 0 0 436.494 -0.771 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000196425341 1071419024 /nfs/dbraw/zinc/41/90/24/1071419024.db2.gz FCKSMUXSUHQEKG-OAHLLOKOSA-N 0 0 433.527 -0.223 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000196425349 1071418954 /nfs/dbraw/zinc/41/89/54/1071418954.db2.gz FCKSMUXSUHQEKG-HNNXBMFYSA-N 0 0 433.527 -0.223 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CCCN2C(=O)CN(C)C2=O)CC1 ZINC000196493489 1073017773 /nfs/dbraw/zinc/01/77/73/1073017773.db2.gz JTEFUFHGVJUWNY-UHFFFAOYSA-N 0 0 427.483 -0.201 20 0 IBADRN O=C1CCCN1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000196526534 1073017787 /nfs/dbraw/zinc/01/77/87/1073017787.db2.gz KJNYVFZGTCPEJR-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3cc([N+](=O)[O-])ccc3F)c2c(=O)n(C)c1=O ZINC000197749584 1072595408 /nfs/dbraw/zinc/59/54/08/1072595408.db2.gz WIQLVSNKLDSRSJ-UHFFFAOYSA-N 0 0 434.340 -0.337 20 0 IBADRN C[C@H](NC(=O)CCNS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000208919243 1074464683 /nfs/dbraw/zinc/46/46/83/1074464683.db2.gz OMSGXRXRONJIAM-PINLSGDHSA-N 0 0 443.547 -0.272 20 0 IBADRN C[C@@H](NC(=O)CCNS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000208919288 1074464802 /nfs/dbraw/zinc/46/48/02/1074464802.db2.gz OMSGXRXRONJIAM-LDCOFTPGSA-N 0 0 443.547 -0.272 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000208975311 1073195125 /nfs/dbraw/zinc/19/51/25/1073195125.db2.gz OIAJCLACUMZKTL-UHFFFAOYSA-N 0 0 440.478 -0.013 20 0 IBADRN O=C([C@@H]1CCCNC1=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000209819912 1071013492 /nfs/dbraw/zinc/01/34/92/1071013492.db2.gz HSZGGWKJMWBJCD-MRXNPFEDSA-N 0 0 437.522 -0.723 20 0 IBADRN O=C([C@H]1CCCNC1=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000209819943 1071013738 /nfs/dbraw/zinc/01/37/38/1071013738.db2.gz HSZGGWKJMWBJCD-INIZCTEOSA-N 0 0 437.522 -0.723 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C\c1ccccc1)NCCS(=O)(=O)N1CCOCC1 ZINC000212414616 1074472013 /nfs/dbraw/zinc/47/20/13/1074472013.db2.gz HXDCJUNKCBFWIJ-AUWJEWJLSA-N 0 0 431.536 -0.255 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CCCCO3)CC2)C[C@@H](C)O1 ZINC000228735082 1072656098 /nfs/dbraw/zinc/65/60/98/1072656098.db2.gz QAPYIKGDAQIZSK-BZUAXINKSA-N 0 0 425.573 -0.143 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CCCCO3)CC2)C[C@H](C)O1 ZINC000228735094 1072655924 /nfs/dbraw/zinc/65/59/24/1072655924.db2.gz QAPYIKGDAQIZSK-OWCLPIDISA-N 0 0 425.573 -0.143 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCNS(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000229073383 1072154343 /nfs/dbraw/zinc/15/43/43/1072154343.db2.gz ZPAAQOOSPAKECJ-VLRMEEEOSA-N 0 0 428.577 -0.736 20 0 IBADRN C[C@@H](NC(=O)c1ccc2c(c1)C[C@@H](C)N2S(C)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000229569372 1073258640 /nfs/dbraw/zinc/25/86/40/1073258640.db2.gz JFFXZQRSJHEXMT-CHWSQXEVSA-N 0 0 443.547 -0.228 20 0 IBADRN C[C@@H](NC(=O)c1ccc2c(c1)C[C@H](C)N2S(C)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000229569382 1073258686 /nfs/dbraw/zinc/25/86/86/1073258686.db2.gz JFFXZQRSJHEXMT-QWHCGFSZSA-N 0 0 443.547 -0.228 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@]2(C[C@@H](C)CC(C)(C)C2)C1=O ZINC000229611063 1072818956 /nfs/dbraw/zinc/81/89/56/1072818956.db2.gz RCCJGAWKETZNNJ-DGCWBMGLSA-N 0 0 435.525 -0.024 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@]2(C[C@@H](C)CC(C)(C)C2)C1=O ZINC000229611079 1072818972 /nfs/dbraw/zinc/81/89/72/1072818972.db2.gz RCCJGAWKETZNNJ-RXSFTSLZSA-N 0 0 435.525 -0.024 20 0 IBADRN C[C@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000229756856 1072663558 /nfs/dbraw/zinc/66/35/58/1072663558.db2.gz SGGBLQMZDWXVDJ-QLFBSQMISA-N 0 0 433.575 -0.029 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000229757027 1072290352 /nfs/dbraw/zinc/29/03/52/1072290352.db2.gz LHCMFRZTYQQVML-YYIAUSFCSA-N 0 0 445.586 -0.930 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000229757034 1072290369 /nfs/dbraw/zinc/29/03/69/1072290369.db2.gz LHCMFRZTYQQVML-TWMKSMIVSA-N 0 0 445.586 -0.930 20 0 IBADRN C[C@H](c1nc(N)nc(N(C)C)n1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000229757257 1072663442 /nfs/dbraw/zinc/66/34/42/1072663442.db2.gz XGEMYZDMFRRRSF-HZSPNIEDSA-N 0 0 428.563 -0.448 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@]2(C[C@H](C)CC(C)(C)C2)C1=O ZINC000252170517 1073018161 /nfs/dbraw/zinc/01/81/61/1073018161.db2.gz RCCJGAWKETZNNJ-HKZYLEAXSA-N 0 0 435.525 -0.024 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@]2(C[C@H](C)CC(C)(C)C2)C1=O ZINC000252170519 1073018176 /nfs/dbraw/zinc/01/81/76/1073018176.db2.gz RCCJGAWKETZNNJ-YPENRWOSSA-N 0 0 435.525 -0.024 20 0 IBADRN Cc1nnc(CN2CCN(c3cc(N4CCN(Cc5nnc(C)[nH]5)CC4)ncn3)CC2)[nH]1 ZINC000302473512 1072615507 /nfs/dbraw/zinc/61/55/07/1072615507.db2.gz WUPSKBNMZCCVFG-UHFFFAOYSA-N 0 0 438.544 -0.026 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000302806399 1072149361 /nfs/dbraw/zinc/14/93/61/1072149361.db2.gz OERSRLPWEPGFAO-CALCHBBNSA-N 0 0 445.568 -0.444 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000302806400 1072149326 /nfs/dbraw/zinc/14/93/26/1072149326.db2.gz OERSRLPWEPGFAO-IAGOWNOFSA-N 0 0 445.568 -0.444 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000302806401 1072149346 /nfs/dbraw/zinc/14/93/46/1072149346.db2.gz OERSRLPWEPGFAO-IRXDYDNUSA-N 0 0 445.568 -0.444 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(Cc2cn3ccccc3n2)CC1 ZINC000302911232 1070793202 /nfs/dbraw/zinc/79/32/02/1070793202.db2.gz MXICJZSSGJRBTJ-AWEZNQCLSA-N 0 0 425.493 -0.297 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(Cc2cn3ccccc3n2)CC1 ZINC000302911233 1070793564 /nfs/dbraw/zinc/79/35/64/1070793564.db2.gz MXICJZSSGJRBTJ-CQSZACIVSA-N 0 0 425.493 -0.297 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(Br)c(S(N)(=O)=O)c2)CC1 ZINC000321065298 1072862719 /nfs/dbraw/zinc/86/27/19/1072862719.db2.gz JQSNSXRXJMIISP-UHFFFAOYSA-N 0 0 426.314 -0.186 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)CC1 ZINC000322164820 1072974789 /nfs/dbraw/zinc/97/47/89/1072974789.db2.gz KQAIKVKZALPSEE-UHFFFAOYSA-N 0 0 426.314 -0.186 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(Br)n1C ZINC000323393016 1073036149 /nfs/dbraw/zinc/03/61/49/1073036149.db2.gz LDJICOWAQQZOQX-UHFFFAOYSA-N 0 0 430.350 -0.396 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c(Br)n1C ZINC000323495071 1071436984 /nfs/dbraw/zinc/43/69/84/1071436984.db2.gz TYFKYRIOVCAGDM-SECBINFHSA-N 0 0 430.350 -0.315 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c(Br)n1C ZINC000323495072 1071437024 /nfs/dbraw/zinc/43/70/24/1071437024.db2.gz TYFKYRIOVCAGDM-VIFPVBQESA-N 0 0 430.350 -0.315 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cnn(C)c2I)CC1 ZINC000379130468 1073462313 /nfs/dbraw/zinc/46/23/13/1073462313.db2.gz UJWSFLLNMUDIDB-UHFFFAOYSA-N 0 0 427.268 -0.411 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2cc(Br)ccc2[N+](=O)[O-])CC1 ZINC000390957294 1072823434 /nfs/dbraw/zinc/82/34/34/1072823434.db2.gz BBCJJTIJYBPUAB-UHFFFAOYSA-N 0 0 429.274 -0.133 20 0 IBADRN Cn1ncc(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1I ZINC000393596367 1072824326 /nfs/dbraw/zinc/82/43/26/1072824326.db2.gz ZSAFWOXFFJRTHL-MRVPVSSYSA-N 0 0 427.268 -0.330 20 0 IBADRN Cn1ncc(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1I ZINC000393596368 1072824344 /nfs/dbraw/zinc/82/43/44/1072824344.db2.gz ZSAFWOXFFJRTHL-QMMMGPOBSA-N 0 0 427.268 -0.330 20 0 IBADRN O=C(CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)N1CCN(c2ccccc2F)CC1 ZINC000409454991 1074490372 /nfs/dbraw/zinc/49/03/72/1074490372.db2.gz CBOQTNWQQMGZDQ-UHFFFAOYSA-N 0 0 438.481 -0.027 20 0 IBADRN CC(=O)Nc1cccc(OCCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000409456607 1074490481 /nfs/dbraw/zinc/49/04/81/1074490481.db2.gz HCGMVNJBLSFWNH-UHFFFAOYSA-N 0 0 447.492 -0.264 20 0 IBADRN O=C(CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000409460492 1074490413 /nfs/dbraw/zinc/49/04/13/1074490413.db2.gz SZBYJBGKWRYANG-UHFFFAOYSA-N 0 0 438.481 -0.027 20 0 IBADRN O=C(CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)N1CCN(Cc2ccccc2)CC1 ZINC000409460732 1074490446 /nfs/dbraw/zinc/49/04/46/1074490446.db2.gz VGJITMBCVJNBMX-UHFFFAOYSA-N 0 0 434.518 -0.170 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000409462921 1074490520 /nfs/dbraw/zinc/49/05/20/1074490520.db2.gz CIXJUKGADJFVJF-UHFFFAOYSA-N 0 0 439.537 -0.582 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000409466859 1074490250 /nfs/dbraw/zinc/49/02/50/1074490250.db2.gz VIVQEVRTKYBDTJ-UHFFFAOYSA-N 0 0 447.535 -0.253 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000409471737 1074491101 /nfs/dbraw/zinc/49/11/01/1074491101.db2.gz ZLDXFEOKXZVMRT-LLVKDONJSA-N 0 0 436.533 -0.198 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000409471745 1074491061 /nfs/dbraw/zinc/49/10/61/1074491061.db2.gz ZLDXFEOKXZVMRT-NSHDSACASA-N 0 0 436.533 -0.198 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000409474456 1074491040 /nfs/dbraw/zinc/49/10/40/1074491040.db2.gz AMMQDINMUALJDI-AWEZNQCLSA-N 0 0 440.522 -0.652 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000409474457 1074491035 /nfs/dbraw/zinc/49/10/35/1074491035.db2.gz AMMQDINMUALJDI-CQSZACIVSA-N 0 0 440.522 -0.652 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC000409475111 1074491075 /nfs/dbraw/zinc/49/10/75/1074491075.db2.gz CKOXEQJXDDGPNC-JQHMZKTJSA-N 0 0 449.552 -0.121 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC000409475114 1074491016 /nfs/dbraw/zinc/49/10/16/1074491016.db2.gz CKOXEQJXDDGPNC-PSCUFVCCSA-N 0 0 449.552 -0.121 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2nc(S(C)(=O)=O)n3ccccc23)CC1 ZINC000409477401 1074491049 /nfs/dbraw/zinc/49/10/49/1074491049.db2.gz JSFRLLZTLBJGLB-HNNXBMFYSA-N 0 0 449.533 -0.257 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2nc(S(C)(=O)=O)n3ccccc23)CC1 ZINC000409477402 1074491079 /nfs/dbraw/zinc/49/10/79/1074491079.db2.gz JSFRLLZTLBJGLB-OAHLLOKOSA-N 0 0 449.533 -0.257 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC000409478850 1074490999 /nfs/dbraw/zinc/49/09/99/1074490999.db2.gz OENKZYXUAZSTHS-INIZCTEOSA-N 0 0 439.538 -0.906 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC000409478854 1074491012 /nfs/dbraw/zinc/49/10/12/1074491012.db2.gz OENKZYXUAZSTHS-MRXNPFEDSA-N 0 0 439.538 -0.906 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCn2nnc3ccccc3c2=O)CC1 ZINC000409479146 1074491025 /nfs/dbraw/zinc/49/10/25/1074491025.db2.gz PDUUSMBEERSSBF-INIZCTEOSA-N 0 0 428.493 -0.427 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCn2nnc3ccccc3c2=O)CC1 ZINC000409479147 1074491068 /nfs/dbraw/zinc/49/10/68/1074491068.db2.gz PDUUSMBEERSSBF-MRXNPFEDSA-N 0 0 428.493 -0.427 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)cc2[nH]c(=O)c1=O ZINC000409479510 1074491104 /nfs/dbraw/zinc/49/11/04/1074491104.db2.gz QMVRGUUWQOUWLB-HNNXBMFYSA-N 0 0 443.504 -0.285 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)cc2[nH]c(=O)c1=O ZINC000409479511 1074491043 /nfs/dbraw/zinc/49/10/43/1074491043.db2.gz QMVRGUUWQOUWLB-OAHLLOKOSA-N 0 0 443.504 -0.285 20 0 IBADRN COc1ccc(Br)c(CS(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000409505642 1074491670 /nfs/dbraw/zinc/49/16/70/1074491670.db2.gz CUCFMUUCSNSDCA-LLVKDONJSA-N 0 0 432.296 -0.070 20 0 IBADRN COc1ccc(Br)c(CS(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000409505647 1074491588 /nfs/dbraw/zinc/49/15/88/1074491588.db2.gz CUCFMUUCSNSDCA-NSHDSACASA-N 0 0 432.296 -0.070 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000409523330 1074491972 /nfs/dbraw/zinc/49/19/72/1074491972.db2.gz SVEYPZRZYJRHRL-UHFFFAOYSA-N 0 0 438.491 -0.534 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)N(C)C3(CCCCC3)C2=O)CC1 ZINC000409531337 1074492016 /nfs/dbraw/zinc/49/20/16/1074492016.db2.gz AJLGEUNUUTWTTG-KRWDZBQOSA-N 0 0 449.552 -0.025 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)N(C)C3(CCCCC3)C2=O)CC1 ZINC000409531338 1074491850 /nfs/dbraw/zinc/49/18/50/1074491850.db2.gz AJLGEUNUUTWTTG-QGZVFWFLSA-N 0 0 449.552 -0.025 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000409531470 1074491914 /nfs/dbraw/zinc/49/19/14/1074491914.db2.gz BIDDKFJQXFAEDY-INIZCTEOSA-N 0 0 438.550 -0.058 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000409531475 1074492013 /nfs/dbraw/zinc/49/20/13/1074492013.db2.gz BIDDKFJQXFAEDY-MRXNPFEDSA-N 0 0 438.550 -0.058 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000409531861 1074492326 /nfs/dbraw/zinc/49/23/26/1074492326.db2.gz APHQKOHRMBRKIA-KRWDZBQOSA-N 0 0 438.550 -0.254 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000409531867 1074492316 /nfs/dbraw/zinc/49/23/16/1074492316.db2.gz APHQKOHRMBRKIA-QGZVFWFLSA-N 0 0 438.550 -0.254 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCn2c3ccccc3c(=O)[nH]c2=O)CC1 ZINC000409532438 1074492406 /nfs/dbraw/zinc/49/24/06/1074492406.db2.gz ACJWCSASAMXLTD-INIZCTEOSA-N 0 0 443.504 -0.116 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCn2c3ccccc3c(=O)[nH]c2=O)CC1 ZINC000409532448 1074492401 /nfs/dbraw/zinc/49/24/01/1074492401.db2.gz ACJWCSASAMXLTD-MRXNPFEDSA-N 0 0 443.504 -0.116 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)CC1 ZINC000409532455 1074492281 /nfs/dbraw/zinc/49/22/81/1074492281.db2.gz BQSWFDHNUDIXPT-HNNXBMFYSA-N 0 0 432.477 -0.463 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)CC1 ZINC000409532462 1074492395 /nfs/dbraw/zinc/49/23/95/1074492395.db2.gz BQSWFDHNUDIXPT-OAHLLOKOSA-N 0 0 432.477 -0.463 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCNC(C)=O)CC2)cc1 ZINC000409534814 1074491999 /nfs/dbraw/zinc/49/19/99/1074491999.db2.gz LFRJWFSDNDVKPM-UHFFFAOYSA-N 0 0 440.522 -0.439 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1OC ZINC000409536706 1074492350 /nfs/dbraw/zinc/49/23/50/1074492350.db2.gz NPFAVDSDOKQWKK-INIZCTEOSA-N 0 0 448.520 -0.175 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1OC ZINC000409537088 1071012584 /nfs/dbraw/zinc/01/25/84/1071012584.db2.gz NPFAVDSDOKQWKK-MRXNPFEDSA-N 0 0 448.520 -0.175 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)no1 ZINC000409538428 1074493506 /nfs/dbraw/zinc/49/35/06/1074493506.db2.gz WEHHYGPQWBQRBY-HNNXBMFYSA-N 0 0 439.538 0.046 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)no1 ZINC000409538439 1074493273 /nfs/dbraw/zinc/49/32/73/1074493273.db2.gz WEHHYGPQWBQRBY-OAHLLOKOSA-N 0 0 439.538 0.046 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cc2nn(C)c(=O)c3ccccc23)CC1 ZINC000409538610 1074492905 /nfs/dbraw/zinc/49/29/05/1074492905.db2.gz WYHHNUIXPXWNTO-INIZCTEOSA-N 0 0 427.505 -0.133 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cc2nn(C)c(=O)c3ccccc23)CC1 ZINC000409538629 1074492843 /nfs/dbraw/zinc/49/28/43/1074492843.db2.gz WYHHNUIXPXWNTO-MRXNPFEDSA-N 0 0 427.505 -0.133 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCCC[C@H]3C)C2=O)CC1 ZINC000409540750 1074492835 /nfs/dbraw/zinc/49/28/35/1074492835.db2.gz ILPNYZHATNYIBB-DRSNIGMVSA-N 0 0 449.552 -0.121 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCCC[C@@H]3C)C2=O)CC1 ZINC000409540751 1074492896 /nfs/dbraw/zinc/49/28/96/1074492896.db2.gz ILPNYZHATNYIBB-GSHUGGBRSA-N 0 0 449.552 -0.121 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)N[C@]3(CCCC[C@@H]3C)C2=O)CC1 ZINC000409540752 1074492892 /nfs/dbraw/zinc/49/28/92/1074492892.db2.gz ILPNYZHATNYIBB-JKSBSHDWSA-N 0 0 449.552 -0.121 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)N[C@]3(CCCC[C@H]3C)C2=O)CC1 ZINC000409540753 1074492887 /nfs/dbraw/zinc/49/28/87/1074492887.db2.gz ILPNYZHATNYIBB-YVHKJVDXSA-N 0 0 449.552 -0.121 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)CC1 ZINC000409540956 1074493489 /nfs/dbraw/zinc/49/34/89/1074493489.db2.gz LPURXOQESAQAPS-HNNXBMFYSA-N 0 0 429.477 -0.506 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)CC1 ZINC000409540957 1074493313 /nfs/dbraw/zinc/49/33/13/1074493313.db2.gz LPURXOQESAQAPS-OAHLLOKOSA-N 0 0 429.477 -0.506 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccccc2)CC1 ZINC000409541027 1074493406 /nfs/dbraw/zinc/49/34/06/1074493406.db2.gz MQQNUEPCSHUGHU-KRWDZBQOSA-N 0 0 438.550 -0.301 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccccc2)CC1 ZINC000409541028 1074493302 /nfs/dbraw/zinc/49/33/02/1074493302.db2.gz MQQNUEPCSHUGHU-QGZVFWFLSA-N 0 0 438.550 -0.301 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC000409541153 1074492804 /nfs/dbraw/zinc/49/28/04/1074492804.db2.gz OFSDZOTYFKBEGA-KURKYZTESA-N 0 0 434.537 -0.057 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCN2C(=O)[C@@H]3CCCC[C@H]3C2=O)CC1 ZINC000409541154 1074492861 /nfs/dbraw/zinc/49/28/61/1074492861.db2.gz OFSDZOTYFKBEGA-KZNAEPCWSA-N 0 0 434.537 -0.057 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC000409541155 1074492911 /nfs/dbraw/zinc/49/29/11/1074492911.db2.gz OFSDZOTYFKBEGA-OKZBNKHCSA-N 0 0 434.537 -0.057 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCN2C(=O)[C@H]3CCCC[C@@H]3C2=O)CC1 ZINC000409541156 1074492883 /nfs/dbraw/zinc/49/28/83/1074492883.db2.gz OFSDZOTYFKBEGA-SQNIBIBYSA-N 0 0 434.537 -0.057 20 0 IBADRN CC(=O)N1CC(C(=O)NCc2cccnc2N2CCN(C(=O)C3CN(C(C)=O)C3)CC2)C1 ZINC000409543029 1074492865 /nfs/dbraw/zinc/49/28/65/1074492865.db2.gz FGRCWINOYNTGPW-UHFFFAOYSA-N 0 0 442.520 -0.697 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)C(=O)NCC2CC2)CC1)C(=O)NCC1CC1 ZINC000409544792 1074492339 /nfs/dbraw/zinc/49/23/39/1074492339.db2.gz NCZULHXGJICGHM-UHFFFAOYSA-N 0 0 442.520 -0.601 20 0 IBADRN CC(=O)N1CC(C(=O)NCc2ccccc2N2CCN(C(=O)C3CN(C(C)=O)C3)CC2)C1 ZINC000409551907 1074493430 /nfs/dbraw/zinc/49/34/30/1074493430.db2.gz JHFDJLLDWMJQPV-UHFFFAOYSA-N 0 0 441.532 -0.092 20 0 IBADRN O=C(CCCNC(=O)[C@H]1CC=CCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000409566296 1074493518 /nfs/dbraw/zinc/49/35/18/1074493518.db2.gz WMYJDTBQWFNNBX-KRWDZBQOSA-N 0 0 428.555 -0.040 20 0 IBADRN O=C(CCCNC(=O)[C@@H]1CC=CCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000409566297 1074493705 /nfs/dbraw/zinc/49/37/05/1074493705.db2.gz WMYJDTBQWFNNBX-QGZVFWFLSA-N 0 0 428.555 -0.040 20 0 IBADRN COc1ccc(F)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1OC ZINC000409567826 1074493760 /nfs/dbraw/zinc/49/37/60/1074493760.db2.gz UBDYAUMZFDMYSF-CYBMUJFWSA-N 0 0 443.497 -0.096 20 0 IBADRN COc1ccc(F)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1OC ZINC000409567827 1074493819 /nfs/dbraw/zinc/49/38/19/1074493819.db2.gz UBDYAUMZFDMYSF-ZDUSSCGKSA-N 0 0 443.497 -0.096 20 0 IBADRN Cc1ccc(CCS(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000409578355 1074493681 /nfs/dbraw/zinc/49/36/81/1074493681.db2.gz ACBLGPXTZAJDDO-UHFFFAOYSA-N 0 0 430.596 -0.023 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC3CCOCC3)CC2)cc1 ZINC000409581261 1074493799 /nfs/dbraw/zinc/49/37/99/1074493799.db2.gz RXHLHASSXYRWEI-UHFFFAOYSA-N 0 0 446.551 -0.224 20 0 IBADRN CCOc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000409582138 1074493835 /nfs/dbraw/zinc/49/38/35/1074493835.db2.gz YMTSJESLGCGKDF-UHFFFAOYSA-N 0 0 432.568 -0.116 20 0 IBADRN Cn1nncc1C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000409584354 1074493745 /nfs/dbraw/zinc/49/37/45/1074493745.db2.gz KMHARKFAMDJBRI-UHFFFAOYSA-N 0 0 440.869 -0.054 20 0 IBADRN COC(=O)[C@](C)(CNC(=O)N1CCN(CCS(N)(=O)=O)CC1)c1ccc(F)cc1 ZINC000409590617 1074494414 /nfs/dbraw/zinc/49/44/14/1074494414.db2.gz HMWTZYHKTFSZFV-GOSISDBHSA-N 0 0 430.502 -0.128 20 0 IBADRN COC(=O)[C@@](C)(CNC(=O)N1CCN(CCS(N)(=O)=O)CC1)c1ccc(F)cc1 ZINC000409590618 1074494447 /nfs/dbraw/zinc/49/44/47/1074494447.db2.gz HMWTZYHKTFSZFV-SFHVURJKSA-N 0 0 430.502 -0.128 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)cc1 ZINC000409594780 1074493711 /nfs/dbraw/zinc/49/37/11/1074493711.db2.gz NRGBYZVIYOCESR-UHFFFAOYSA-N 0 0 429.477 -0.870 20 0 IBADRN Cn1c2ncn(CC(=O)NCCS(=O)(=O)c3ccc(Cl)cc3)c2c(=O)n(C)c1=O ZINC000409599967 1074495126 /nfs/dbraw/zinc/49/51/26/1074495126.db2.gz YCGGNIURMGYAJD-UHFFFAOYSA-N 0 0 439.881 -0.323 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000409600351 1074494470 /nfs/dbraw/zinc/49/44/70/1074494470.db2.gz LZRHIIUNUVSAEX-UHFFFAOYSA-N 0 0 448.567 -0.778 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000409601648 1074494407 /nfs/dbraw/zinc/49/44/07/1074494407.db2.gz RJTMFXRWQWFSGU-INIZCTEOSA-N 0 0 427.502 -0.458 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000409601649 1074494444 /nfs/dbraw/zinc/49/44/44/1074494444.db2.gz RJTMFXRWQWFSGU-MRXNPFEDSA-N 0 0 427.502 -0.458 20 0 IBADRN C[C@@H](CNC(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)S(N)(=O)=O ZINC000409606619 1074494452 /nfs/dbraw/zinc/49/44/52/1074494452.db2.gz AXYFMOXLCNTKPJ-DZGCQCFKSA-N 0 0 430.502 -0.181 20 0 IBADRN C[C@H](CNC(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)S(N)(=O)=O ZINC000409606620 1074494460 /nfs/dbraw/zinc/49/44/60/1074494460.db2.gz AXYFMOXLCNTKPJ-HIFRSBDPSA-N 0 0 430.502 -0.181 20 0 IBADRN C[C@H](CNC(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)S(N)(=O)=O ZINC000409606621 1074494483 /nfs/dbraw/zinc/49/44/83/1074494483.db2.gz AXYFMOXLCNTKPJ-UKRRQHHQSA-N 0 0 430.502 -0.181 20 0 IBADRN C[C@@H](CNC(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)S(N)(=O)=O ZINC000409606622 1074494432 /nfs/dbraw/zinc/49/44/32/1074494432.db2.gz AXYFMOXLCNTKPJ-ZFWWWQNUSA-N 0 0 430.502 -0.181 20 0 IBADRN CC(C)(C)c1nc(CN2CCCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)no1 ZINC000409607484 1074495180 /nfs/dbraw/zinc/49/51/80/1074495180.db2.gz PAPFUECGRMHLIL-UHFFFAOYSA-N 0 0 425.515 -0.055 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC000409610116 1074494835 /nfs/dbraw/zinc/49/48/35/1074494835.db2.gz ZDQSXYLQXNGVTG-UHFFFAOYSA-N 0 0 438.959 -0.141 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000409611964 1074494899 /nfs/dbraw/zinc/49/48/99/1074494899.db2.gz GTBNGEACTWKGGN-UHFFFAOYSA-N 0 0 440.522 -0.644 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC000409613282 1074494952 /nfs/dbraw/zinc/49/49/52/1074494952.db2.gz OPVGAGQOIYXBMU-DOTOQJQBSA-N 0 0 442.513 -0.084 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC000409613290 1074494917 /nfs/dbraw/zinc/49/49/17/1074494917.db2.gz OPVGAGQOIYXBMU-NVXWUHKLSA-N 0 0 442.513 -0.084 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC000409613293 1074494959 /nfs/dbraw/zinc/49/49/59/1074494959.db2.gz OPVGAGQOIYXBMU-RDJZCZTQSA-N 0 0 442.513 -0.084 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC000409613295 1074494858 /nfs/dbraw/zinc/49/48/58/1074494858.db2.gz OPVGAGQOIYXBMU-WBVHZDCISA-N 0 0 442.513 -0.084 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000409633758 1074494970 /nfs/dbraw/zinc/49/49/70/1074494970.db2.gz XCEWRESKOYMGHI-UHFFFAOYSA-N 0 0 425.577 -0.582 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCC(=O)NC3=O)CC2)c1 ZINC000409637079 1074494879 /nfs/dbraw/zinc/49/48/79/1074494879.db2.gz FXRQSFMQLJVAFG-UHFFFAOYSA-N 0 0 440.478 -0.521 20 0 IBADRN O=C1CN(c2nc(N3CCOCC3)nc(N3CCN(C4CC4)C(=O)C3)n2)CCN1C1CC1 ZINC000409638334 1074494991 /nfs/dbraw/zinc/49/49/91/1074494991.db2.gz MCAMMYHKMWQJDF-UHFFFAOYSA-N 0 0 442.524 -0.670 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)NC3=O)CC2)c1 ZINC000409639576 1074494932 /nfs/dbraw/zinc/49/49/32/1074494932.db2.gz RSKCKIUUTDFROV-UHFFFAOYSA-N 0 0 426.451 -0.912 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCS(=O)(=O)c1ccc(Cl)cc1)c(=O)n2C ZINC000409641644 1074495084 /nfs/dbraw/zinc/49/50/84/1074495084.db2.gz NTZONRNIBBSUQN-UHFFFAOYSA-N 0 0 439.881 -0.323 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000409649937 1074495547 /nfs/dbraw/zinc/49/55/47/1074495547.db2.gz BLHRFVMEIUFJET-UHFFFAOYSA-N 0 0 443.504 -0.716 20 0 IBADRN CO[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)c1cnn(C)c1 ZINC000409663769 1074495616 /nfs/dbraw/zinc/49/56/16/1074495616.db2.gz CDTJSIQQIXTCIT-GOSISDBHSA-N 0 0 449.489 -0.028 20 0 IBADRN CO[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)c1cnn(C)c1 ZINC000409663775 1074495603 /nfs/dbraw/zinc/49/56/03/1074495603.db2.gz CDTJSIQQIXTCIT-SFHVURJKSA-N 0 0 449.489 -0.028 20 0 IBADRN C[C@]1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCC(=O)NC1 ZINC000409667647 1074495560 /nfs/dbraw/zinc/49/55/60/1074495560.db2.gz UWRSIOHWXHVINH-IBGZPJMESA-N 0 0 436.490 -0.233 20 0 IBADRN C[C@@]1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCC(=O)NC1 ZINC000409667650 1074495569 /nfs/dbraw/zinc/49/55/69/1074495569.db2.gz UWRSIOHWXHVINH-LJQANCHMSA-N 0 0 436.490 -0.233 20 0 IBADRN COCCn1cc(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000409683448 1074495622 /nfs/dbraw/zinc/49/56/22/1074495622.db2.gz DKRQIWGVTYIZOF-HOTGVXAUSA-N 0 0 444.558 -0.289 20 0 IBADRN COCCn1cc(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000409683449 1074495598 /nfs/dbraw/zinc/49/55/98/1074495598.db2.gz DKRQIWGVTYIZOF-HZPDHXFCSA-N 0 0 444.558 -0.289 20 0 IBADRN COCCn1cc(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000409683450 1074495516 /nfs/dbraw/zinc/49/55/16/1074495516.db2.gz DKRQIWGVTYIZOF-IYBDPMFKSA-N 0 0 444.558 -0.289 20 0 IBADRN COCCn1cc(CNC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1 ZINC000409683618 1074495440 /nfs/dbraw/zinc/49/54/40/1074495440.db2.gz CMGQNCYEMDTJOC-UHFFFAOYSA-N 0 0 425.515 -0.212 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000409683725 1074495480 /nfs/dbraw/zinc/49/54/80/1074495480.db2.gz FMQPSLASFVLNKP-UHFFFAOYSA-N 0 0 442.513 -0.085 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000409684165 1074495640 /nfs/dbraw/zinc/49/56/40/1074495640.db2.gz HUNFJQMOHVRYKZ-UHFFFAOYSA-N 0 0 439.538 -0.007 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000409693640 1074495575 /nfs/dbraw/zinc/49/55/75/1074495575.db2.gz GNAOYWSAAZDXGT-UHFFFAOYSA-N 0 0 442.513 -0.085 20 0 IBADRN COCCn1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000409694303 1074495628 /nfs/dbraw/zinc/49/56/28/1074495628.db2.gz LBMASWIPWXTJHI-UHFFFAOYSA-N 0 0 440.482 -0.563 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000409695393 1074495505 /nfs/dbraw/zinc/49/55/05/1074495505.db2.gz CEPYBFOXNKDNOM-UHFFFAOYSA-N 0 0 426.470 -0.138 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000409717324 1074495939 /nfs/dbraw/zinc/49/59/39/1074495939.db2.gz QEKQJSYVYAOBKW-GOSISDBHSA-N 0 0 437.566 -0.164 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000409717325 1074495960 /nfs/dbraw/zinc/49/59/60/1074495960.db2.gz QEKQJSYVYAOBKW-SFHVURJKSA-N 0 0 437.566 -0.164 20 0 IBADRN O=C(C1CCC1)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000409727646 1074495871 /nfs/dbraw/zinc/49/58/71/1074495871.db2.gz NZDAMIODECOJOH-HNNXBMFYSA-N 0 0 432.568 -0.591 20 0 IBADRN O=C(C1CCC1)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000409727650 1071014047 /nfs/dbraw/zinc/01/40/47/1071014047.db2.gz NZDAMIODECOJOH-OAHLLOKOSA-N 0 0 432.568 -0.591 20 0 IBADRN CC[C@@H](C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000409729767 1074496025 /nfs/dbraw/zinc/49/60/25/1074496025.db2.gz URUXKQPJVDWRQH-CABCVRRESA-N 0 0 434.584 -0.345 20 0 IBADRN CC[C@H](C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000409729771 1074495890 /nfs/dbraw/zinc/49/58/90/1074495890.db2.gz URUXKQPJVDWRQH-GJZGRUSLSA-N 0 0 434.584 -0.345 20 0 IBADRN CC[C@@H](C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000409729773 1074496021 /nfs/dbraw/zinc/49/60/21/1074496021.db2.gz URUXKQPJVDWRQH-HUUCEWRRSA-N 0 0 434.584 -0.345 20 0 IBADRN CC[C@H](C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000409729776 1074496074 /nfs/dbraw/zinc/49/60/74/1074496074.db2.gz URUXKQPJVDWRQH-LSDHHAIUSA-N 0 0 434.584 -0.345 20 0 IBADRN CS(=O)(=O)Nc1ccc(OCCNC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000409737266 1074496047 /nfs/dbraw/zinc/49/60/47/1074496047.db2.gz PZNBXDUBFPTIDZ-UHFFFAOYSA-N 0 0 449.555 -0.947 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)N2CCN(CCS(N)(=O)=O)CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000409739180 1074495840 /nfs/dbraw/zinc/49/58/40/1074495840.db2.gz IBJSVBWYZOQHEQ-DOTOQJQBSA-N 0 0 445.492 -0.148 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)N2CCN(CCS(N)(=O)=O)CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000409739188 1074495954 /nfs/dbraw/zinc/49/59/54/1074495954.db2.gz IBJSVBWYZOQHEQ-NVXWUHKLSA-N 0 0 445.492 -0.148 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)N2CCN(CCS(N)(=O)=O)CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000409739190 1074495906 /nfs/dbraw/zinc/49/59/06/1074495906.db2.gz IBJSVBWYZOQHEQ-RDJZCZTQSA-N 0 0 445.492 -0.148 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)N2CCN(CCS(N)(=O)=O)CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000409739192 1074495966 /nfs/dbraw/zinc/49/59/66/1074495966.db2.gz IBJSVBWYZOQHEQ-WBVHZDCISA-N 0 0 445.492 -0.148 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000409746135 1074496061 /nfs/dbraw/zinc/49/60/61/1074496061.db2.gz BBAJVRXNLRNBES-UHFFFAOYSA-N 0 0 439.538 -0.725 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CC(=O)N(c3c(F)cccc3F)C2)CC1 ZINC000409751770 1074496505 /nfs/dbraw/zinc/49/65/05/1074496505.db2.gz NKJFMNADLFUWKN-GFCCVEGCSA-N 0 0 431.465 -0.314 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@H]2CC(=O)N(c3c(F)cccc3F)C2)CC1 ZINC000409751771 1074496495 /nfs/dbraw/zinc/49/64/95/1074496495.db2.gz NKJFMNADLFUWKN-LBPRGKRZSA-N 0 0 431.465 -0.314 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000409758833 1074496433 /nfs/dbraw/zinc/49/64/33/1074496433.db2.gz AKYKMHPBNDVHNY-UHFFFAOYSA-N 0 0 444.558 -0.753 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)C1=O ZINC000409765503 1074496472 /nfs/dbraw/zinc/49/64/72/1074496472.db2.gz OHZRSONBKZRZFN-HNNXBMFYSA-N 0 0 430.527 -0.250 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)C1=O ZINC000409765504 1074496466 /nfs/dbraw/zinc/49/64/66/1074496466.db2.gz OHZRSONBKZRZFN-OAHLLOKOSA-N 0 0 430.527 -0.250 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000409773821 1074496390 /nfs/dbraw/zinc/49/63/90/1074496390.db2.gz MLBRAMQYJNOCEY-UHFFFAOYSA-N 0 0 433.556 -0.947 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000409774527 1074496376 /nfs/dbraw/zinc/49/63/76/1074496376.db2.gz NRPDNZQJQBMOPU-UHFFFAOYSA-N 0 0 445.567 -0.658 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)C1=O ZINC000409776773 1074496428 /nfs/dbraw/zinc/49/64/28/1074496428.db2.gz XWLVAYSUGCNQSC-ILXRZTDVSA-N 0 0 426.543 -0.072 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)C1=O ZINC000409776774 1074496523 /nfs/dbraw/zinc/49/65/23/1074496523.db2.gz XWLVAYSUGCNQSC-KFWWJZLASA-N 0 0 426.543 -0.072 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)C1=O ZINC000409776775 1074496408 /nfs/dbraw/zinc/49/64/08/1074496408.db2.gz XWLVAYSUGCNQSC-KKUMJFAQSA-N 0 0 426.543 -0.072 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)C1=O ZINC000409776776 1074496460 /nfs/dbraw/zinc/49/64/60/1074496460.db2.gz XWLVAYSUGCNQSC-ZNMIVQPWSA-N 0 0 426.543 -0.072 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2ncn(Cc3cccc(F)c3)n2)CC1 ZINC000409779183 1074497000 /nfs/dbraw/zinc/49/70/00/1074497000.db2.gz HXGFLSNVIJXVCV-UHFFFAOYSA-N 0 0 425.490 -0.419 20 0 IBADRN CN(Cc1cc(=O)[nH]c(N)n1)[C@H]1CCN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000409782579 1074497040 /nfs/dbraw/zinc/49/70/40/1074497040.db2.gz IVMZKXCXQRIHSS-AWEZNQCLSA-N 0 0 446.512 -0.155 20 0 IBADRN CN(Cc1cc(=O)[nH]c(N)n1)[C@@H]1CCN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000409782580 1074496439 /nfs/dbraw/zinc/49/64/39/1074496439.db2.gz IVMZKXCXQRIHSS-CQSZACIVSA-N 0 0 446.512 -0.155 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000409783172 1074496481 /nfs/dbraw/zinc/49/64/81/1074496481.db2.gz ASPHJMGNORXHIH-CHWSQXEVSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000409783174 1074496420 /nfs/dbraw/zinc/49/64/20/1074496420.db2.gz ASPHJMGNORXHIH-OLZOCXBDSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000409783176 1074496514 /nfs/dbraw/zinc/49/65/14/1074496514.db2.gz ASPHJMGNORXHIH-QWHCGFSZSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000409783178 1074496527 /nfs/dbraw/zinc/49/65/27/1074496527.db2.gz ASPHJMGNORXHIH-STQMWFEESA-N 0 0 439.581 -0.067 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCC[C@@H]3CNS(C)(=O)=O)cnc21 ZINC000409783803 1074496398 /nfs/dbraw/zinc/49/63/98/1074496398.db2.gz CEIKFRNZIJESRJ-BXKDBHETSA-N 0 0 431.496 -0.970 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCC[C@H]3CNS(C)(=O)=O)cnc21 ZINC000409783818 1074496475 /nfs/dbraw/zinc/49/64/75/1074496475.db2.gz CEIKFRNZIJESRJ-CABZTGNLSA-N 0 0 431.496 -0.970 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCC[C@H]3CNS(C)(=O)=O)cnc21 ZINC000409783822 1074496509 /nfs/dbraw/zinc/49/65/09/1074496509.db2.gz CEIKFRNZIJESRJ-JOYOIKCWSA-N 0 0 431.496 -0.970 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCC[C@@H]3CNS(C)(=O)=O)cnc21 ZINC000409783826 1074496489 /nfs/dbraw/zinc/49/64/89/1074496489.db2.gz CEIKFRNZIJESRJ-SKDRFNHKSA-N 0 0 431.496 -0.970 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000409787494 1074496996 /nfs/dbraw/zinc/49/69/96/1074496996.db2.gz AKWGLBLDJHFVSQ-DOMZBBRYSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000409787496 1074497067 /nfs/dbraw/zinc/49/70/67/1074497067.db2.gz AKWGLBLDJHFVSQ-IUODEOHRSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000409787497 1074496972 /nfs/dbraw/zinc/49/69/72/1074496972.db2.gz AKWGLBLDJHFVSQ-SWLSCSKDSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000409787499 1074497035 /nfs/dbraw/zinc/49/70/35/1074497035.db2.gz AKWGLBLDJHFVSQ-WFASDCNBSA-N 0 0 439.581 -0.067 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1C ZINC000409790084 1074497075 /nfs/dbraw/zinc/49/70/75/1074497075.db2.gz NQLXWVJMCPUZGX-GXTWGEPZSA-N 0 0 439.581 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1C ZINC000409790091 1074497018 /nfs/dbraw/zinc/49/70/18/1074497018.db2.gz NQLXWVJMCPUZGX-JSGCOSHPSA-N 0 0 439.581 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1C ZINC000409790095 1074496966 /nfs/dbraw/zinc/49/69/66/1074496966.db2.gz NQLXWVJMCPUZGX-OCCSQVGLSA-N 0 0 439.581 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1C ZINC000409790099 1074496957 /nfs/dbraw/zinc/49/69/57/1074496957.db2.gz NQLXWVJMCPUZGX-TZMCWYRMSA-N 0 0 439.581 -0.101 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000409794204 1074497010 /nfs/dbraw/zinc/49/70/10/1074497010.db2.gz XEDLNSGQKPKCOD-UHFFFAOYSA-N 0 0 445.567 -0.658 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000409799056 1074496981 /nfs/dbraw/zinc/49/69/81/1074496981.db2.gz ZFNXWURVZAOLTE-DOMZBBRYSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000409799059 1074497081 /nfs/dbraw/zinc/49/70/81/1074497081.db2.gz ZFNXWURVZAOLTE-IUODEOHRSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000409799061 1074497023 /nfs/dbraw/zinc/49/70/23/1074497023.db2.gz ZFNXWURVZAOLTE-SWLSCSKDSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000409799063 1074497091 /nfs/dbraw/zinc/49/70/91/1074497091.db2.gz ZFNXWURVZAOLTE-WFASDCNBSA-N 0 0 439.581 -0.067 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCC(=O)N[C@H]3CCOc4ccccc43)cnc21 ZINC000409799701 1074497057 /nfs/dbraw/zinc/49/70/57/1074497057.db2.gz XDHVZTYDVRVRMA-AWEZNQCLSA-N 0 0 445.457 -0.048 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCC(=O)N[C@@H]3CCOc4ccccc43)cnc21 ZINC000409799702 1074497087 /nfs/dbraw/zinc/49/70/87/1074497087.db2.gz XDHVZTYDVRVRMA-CQSZACIVSA-N 0 0 445.457 -0.048 20 0 IBADRN O=S(=O)(NCC1(OCCO)CCOCC1)c1ccccc1S(=O)(=O)NC1CC1 ZINC000409809993 1074497685 /nfs/dbraw/zinc/49/76/85/1074497685.db2.gz FMRKRCZRBNAXME-UHFFFAOYSA-N 0 0 434.536 -0.036 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000409811900 1074497678 /nfs/dbraw/zinc/49/76/78/1074497678.db2.gz OAHRUORPTHNHLE-DZGCQCFKSA-N 0 0 439.581 -0.398 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000409811901 1074497598 /nfs/dbraw/zinc/49/75/98/1074497598.db2.gz OAHRUORPTHNHLE-HIFRSBDPSA-N 0 0 439.581 -0.398 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000409811902 1074497638 /nfs/dbraw/zinc/49/76/38/1074497638.db2.gz OAHRUORPTHNHLE-UKRRQHHQSA-N 0 0 439.581 -0.398 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000409811903 1074497542 /nfs/dbraw/zinc/49/75/42/1074497542.db2.gz OAHRUORPTHNHLE-ZFWWWQNUSA-N 0 0 439.581 -0.398 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000409816231 1074497622 /nfs/dbraw/zinc/49/76/22/1074497622.db2.gz CYNNTYWNMNUDBK-KBPBESRZSA-N 0 0 431.602 -0.705 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000409816233 1074497608 /nfs/dbraw/zinc/49/76/08/1074497608.db2.gz CYNNTYWNMNUDBK-KGLIPLIRSA-N 0 0 431.602 -0.705 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000409816235 1074497652 /nfs/dbraw/zinc/49/76/52/1074497652.db2.gz CYNNTYWNMNUDBK-UONOGXRCSA-N 0 0 431.602 -0.705 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000409816237 1074497646 /nfs/dbraw/zinc/49/76/46/1074497646.db2.gz CYNNTYWNMNUDBK-ZIAGYGMSSA-N 0 0 431.602 -0.705 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NCC2(OCCO)CCOCC2)cc1)N1CCOCC1 ZINC000409821313 1074497589 /nfs/dbraw/zinc/49/75/89/1074497589.db2.gz BMTBUSRWBUNJJN-UHFFFAOYSA-N 0 0 428.507 -0.005 20 0 IBADRN O=S(=O)(NCC1(OCCO)CCOCC1)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000409822169 1074497568 /nfs/dbraw/zinc/49/75/68/1074497568.db2.gz VCNNUKSNYKBJPT-UHFFFAOYSA-N 0 0 434.536 -0.036 20 0 IBADRN O=S(=O)(NCC1(OCCO)CCOCC1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000409826935 1074497713 /nfs/dbraw/zinc/49/77/13/1074497713.db2.gz XJQFFEBTOGPOTA-UHFFFAOYSA-N 0 0 434.536 -0.036 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCC(=O)N[C@H]2CCOc3ccccc32)CC1 ZINC000409837452 1074497510 /nfs/dbraw/zinc/49/75/10/1074497510.db2.gz FWRMGNSEBBVPTL-AWEZNQCLSA-N 0 0 432.524 -0.962 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCC(=O)N[C@@H]2CCOc3ccccc32)CC1 ZINC000409837453 1074497583 /nfs/dbraw/zinc/49/75/83/1074497583.db2.gz FWRMGNSEBBVPTL-CQSZACIVSA-N 0 0 432.524 -0.962 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c1 ZINC000409869740 1074497555 /nfs/dbraw/zinc/49/75/55/1074497555.db2.gz RFGPYBIKNYIWRP-UHFFFAOYSA-N 0 0 444.492 -0.444 20 0 IBADRN COc1ccc(CC(=O)N[C@H](C)C(=O)NCCF)cc1S(=O)(=O)N1CCOCC1 ZINC000409893405 1074498298 /nfs/dbraw/zinc/49/82/98/1074498298.db2.gz YTBNMZNYODLHMN-CYBMUJFWSA-N 0 0 431.486 -0.151 20 0 IBADRN COc1ccc(CC(=O)N[C@@H](C)C(=O)NCCF)cc1S(=O)(=O)N1CCOCC1 ZINC000409893406 1074498255 /nfs/dbraw/zinc/49/82/55/1074498255.db2.gz YTBNMZNYODLHMN-ZDUSSCGKSA-N 0 0 431.486 -0.151 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](C)C(=O)NCCF)cc2)CC1 ZINC000409893507 1074497661 /nfs/dbraw/zinc/49/76/61/1074497661.db2.gz WEOVEPXZHNXMDO-CYBMUJFWSA-N 0 0 428.486 -0.257 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](C)C(=O)NCCF)cc2)CC1 ZINC000409893510 1074497702 /nfs/dbraw/zinc/49/77/02/1074497702.db2.gz WEOVEPXZHNXMDO-ZDUSSCGKSA-N 0 0 428.486 -0.257 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000409902513 1074498237 /nfs/dbraw/zinc/49/82/37/1074498237.db2.gz GQBKFIOJZXIUTA-HNNXBMFYSA-N 0 0 438.549 -0.485 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000409902514 1074498250 /nfs/dbraw/zinc/49/82/50/1074498250.db2.gz GQBKFIOJZXIUTA-OAHLLOKOSA-N 0 0 438.549 -0.485 20 0 IBADRN C[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(=O)NCCF ZINC000409903989 1074498235 /nfs/dbraw/zinc/49/82/35/1074498235.db2.gz ULVAVHNCUYBZFW-HNNXBMFYSA-N 0 0 442.513 -0.110 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(=O)NCCF ZINC000409903991 1074498251 /nfs/dbraw/zinc/49/82/51/1074498251.db2.gz ULVAVHNCUYBZFW-OAHLLOKOSA-N 0 0 442.513 -0.110 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC(=O)N(C)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000409910125 1074498230 /nfs/dbraw/zinc/49/82/30/1074498230.db2.gz JYNXCPATWZSZJR-AWEZNQCLSA-N 0 0 431.536 -0.133 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC(=O)N(C)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000409910127 1074498259 /nfs/dbraw/zinc/49/82/59/1074498259.db2.gz JYNXCPATWZSZJR-CQSZACIVSA-N 0 0 431.536 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000409914429 1074498232 /nfs/dbraw/zinc/49/82/32/1074498232.db2.gz SGHPILPJFHALMD-BDJLRTHQSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000409914430 1074498240 /nfs/dbraw/zinc/49/82/40/1074498240.db2.gz SGHPILPJFHALMD-BZNIZROVSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000409914431 1074498283 /nfs/dbraw/zinc/49/82/83/1074498283.db2.gz SGHPILPJFHALMD-MEDUHNTESA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000409914432 1074498245 /nfs/dbraw/zinc/49/82/45/1074498245.db2.gz SGHPILPJFHALMD-ZBEGNZNMSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000409914890 1074498279 /nfs/dbraw/zinc/49/82/79/1074498279.db2.gz GYBABXJSHJSMRJ-INIZCTEOSA-N 0 0 448.505 -0.049 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000409914892 1074498304 /nfs/dbraw/zinc/49/83/04/1074498304.db2.gz GYBABXJSHJSMRJ-MRXNPFEDSA-N 0 0 448.505 -0.049 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@H](S(C)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000409922758 1074498242 /nfs/dbraw/zinc/49/82/42/1074498242.db2.gz NOAVFYSMFYMQIY-AWEZNQCLSA-N 0 0 428.529 -0.105 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@@H](S(C)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000409922761 1074498247 /nfs/dbraw/zinc/49/82/47/1074498247.db2.gz NOAVFYSMFYMQIY-CQSZACIVSA-N 0 0 428.529 -0.105 20 0 IBADRN C[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(=O)NCCF ZINC000409928879 1074498725 /nfs/dbraw/zinc/49/87/25/1074498725.db2.gz PIFNBHCVBMSEDI-CYBMUJFWSA-N 0 0 430.502 -0.023 20 0 IBADRN C[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(=O)NCCF ZINC000409928880 1074498809 /nfs/dbraw/zinc/49/88/09/1074498809.db2.gz PIFNBHCVBMSEDI-ZDUSSCGKSA-N 0 0 430.502 -0.023 20 0 IBADRN Cc1cc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cc(C)n(C)n3)CC2)nn1C ZINC000409929209 1074498630 /nfs/dbraw/zinc/49/86/30/1074498630.db2.gz JDXFXHYWJUREJU-UHFFFAOYSA-N 0 0 437.526 -0.712 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](C)C(=O)NCCF)c2)CC1 ZINC000409930657 1074498758 /nfs/dbraw/zinc/49/87/58/1074498758.db2.gz OLJRHNCMZBABTI-CYBMUJFWSA-N 0 0 428.486 -0.257 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](C)C(=O)NCCF)c2)CC1 ZINC000409930658 1074498708 /nfs/dbraw/zinc/49/87/08/1074498708.db2.gz OLJRHNCMZBABTI-ZDUSSCGKSA-N 0 0 428.486 -0.257 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H](C)C(=O)NCCF)c1OC ZINC000409930797 1074498288 /nfs/dbraw/zinc/49/82/88/1074498288.db2.gz FVNMYFHZRIMVFO-GFCCVEGCSA-N 0 0 447.485 -0.071 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H](C)C(=O)NCCF)c1OC ZINC000409930799 1074498222 /nfs/dbraw/zinc/49/82/22/1074498222.db2.gz FVNMYFHZRIMVFO-LBPRGKRZSA-N 0 0 447.485 -0.071 20 0 IBADRN Cc1ncncc1C(=O)NCCS(=O)(=O)N1CCN(C(=O)c2cncnc2C)CC1 ZINC000409931029 1074498292 /nfs/dbraw/zinc/49/82/92/1074498292.db2.gz PSADSFZTASSSNV-UHFFFAOYSA-N 0 0 433.494 -0.599 20 0 IBADRN CCc1cc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cc(CC)n[nH]3)CC2)[nH]n1 ZINC000409932739 1074498263 /nfs/dbraw/zinc/49/82/63/1074498263.db2.gz WLEDHKCVEXQWLA-UHFFFAOYSA-N 0 0 437.526 -0.225 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN(C4CC4)C(=O)C3)cc2)CC1 ZINC000409962620 1074498747 /nfs/dbraw/zinc/49/87/47/1074498747.db2.gz LQZMLNHURBNWOH-UHFFFAOYSA-N 0 0 434.518 -0.014 20 0 IBADRN COc1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000409974505 1074498829 /nfs/dbraw/zinc/49/88/29/1074498829.db2.gz VPYUHMVNHWRBPA-AWEZNQCLSA-N 0 0 441.506 -0.307 20 0 IBADRN COc1ccc(C(=O)N[C@H](C)C(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000409974506 1074498816 /nfs/dbraw/zinc/49/88/16/1074498816.db2.gz VPYUHMVNHWRBPA-CQSZACIVSA-N 0 0 441.506 -0.307 20 0 IBADRN C[C@@H](NC(=O)c1cccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)n1)C(=O)N1CCOCC1 ZINC000409976240 1071255121 /nfs/dbraw/zinc/25/51/21/1071255121.db2.gz ALDVDVHFOJKRGL-GASCZTMLSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@H](NC(=O)c1cccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)n1)C(=O)N1CCOCC1 ZINC000409976242 1071255373 /nfs/dbraw/zinc/25/53/73/1071255373.db2.gz ALDVDVHFOJKRGL-GJZGRUSLSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@@H](NC(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000409984150 1074498675 /nfs/dbraw/zinc/49/86/75/1074498675.db2.gz BVJMLRNHEVSKSQ-HZPDHXFCSA-N 0 0 446.504 -0.359 20 0 IBADRN C[C@@H](NC(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000409984151 1074498739 /nfs/dbraw/zinc/49/87/39/1074498739.db2.gz BVJMLRNHEVSKSQ-IYBDPMFKSA-N 0 0 446.504 -0.359 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1 ZINC000409988673 1074498654 /nfs/dbraw/zinc/49/86/54/1074498654.db2.gz QLJRCJDNDAXTJK-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1OC ZINC000409988976 1074498764 /nfs/dbraw/zinc/49/87/64/1074498764.db2.gz XZLUJOIQHRWLQB-ZDUSSCGKSA-N 0 0 429.495 -0.264 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H](C)C(=O)N2CCOCC2)c1 ZINC000409997651 1074498784 /nfs/dbraw/zinc/49/87/84/1074498784.db2.gz JQMGTHDYTVYABO-AWEZNQCLSA-N 0 0 428.511 -0.758 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H](C)C(=O)N2CCOCC2)c1 ZINC000409997652 1074498854 /nfs/dbraw/zinc/49/88/54/1074498854.db2.gz JQMGTHDYTVYABO-CQSZACIVSA-N 0 0 428.511 -0.758 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCSCC1)C2=O ZINC000410000684 1074498822 /nfs/dbraw/zinc/49/88/22/1074498822.db2.gz BPNDUQPJVOAPCM-CXAGYDPISA-N 0 0 432.568 -0.018 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCSCC1)C2=O ZINC000410000687 1074498849 /nfs/dbraw/zinc/49/88/49/1074498849.db2.gz BPNDUQPJVOAPCM-DYVFJYSZSA-N 0 0 432.568 -0.018 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCSCC1)C2=O ZINC000410000688 1074498717 /nfs/dbraw/zinc/49/87/17/1074498717.db2.gz BPNDUQPJVOAPCM-GUYCJALGSA-N 0 0 432.568 -0.018 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCSCC1)C2=O ZINC000410000690 1074498690 /nfs/dbraw/zinc/49/86/90/1074498690.db2.gz BPNDUQPJVOAPCM-SUMWQHHRSA-N 0 0 432.568 -0.018 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410001129 1074499218 /nfs/dbraw/zinc/49/92/18/1074499218.db2.gz DZIKIXACAPGZNJ-UHFFFAOYSA-N 0 0 426.520 -0.013 20 0 IBADRN CNC(=O)COc1ccc(C(=O)NCCS(=O)(=O)N2CCSCC2)cc1OC ZINC000410004508 1074499246 /nfs/dbraw/zinc/49/92/46/1074499246.db2.gz MRHYEKLCJRNIEQ-UHFFFAOYSA-N 0 0 431.536 -0.072 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410005309 1074499158 /nfs/dbraw/zinc/49/91/58/1074499158.db2.gz WUNJSKXWTBQEDK-UHFFFAOYSA-N 0 0 427.556 -0.249 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)NCCS(=O)(=O)N2CCSCC2)no1 ZINC000410005838 1074498795 /nfs/dbraw/zinc/49/87/95/1074498795.db2.gz VSJAYINTYACPGU-GFCCVEGCSA-N 0 0 436.581 0.538 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)NCCS(=O)(=O)N2CCSCC2)no1 ZINC000410005839 1074499179 /nfs/dbraw/zinc/49/91/79/1074499179.db2.gz VSJAYINTYACPGU-LBPRGKRZSA-N 0 0 436.581 0.538 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)NCCS(=O)(=O)N1CCSCC1 ZINC000410007323 1074499214 /nfs/dbraw/zinc/49/92/14/1074499214.db2.gz QWBBSWJBXOLWFE-UHFFFAOYSA-N 0 0 434.491 -0.178 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)[C@H]1COCC(=O)N1Cc1ccccc1 ZINC000410007991 1074499220 /nfs/dbraw/zinc/49/92/20/1074499220.db2.gz ZZDSRYGQWXODOH-MRXNPFEDSA-N 0 0 427.548 -0.091 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCS(=O)(=O)N2CCSCC2)s1 ZINC000410012403 1074499229 /nfs/dbraw/zinc/49/92/29/1074499229.db2.gz BZNBJCMDFFICEI-UHFFFAOYSA-N 0 0 441.622 -0.052 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC000410013257 1074499199 /nfs/dbraw/zinc/49/91/99/1074499199.db2.gz FEHOOADUYJVCOD-UHFFFAOYSA-N 0 0 426.520 -0.047 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C1CCN(c2ccc3nncn3n2)CC1 ZINC000410013370 1074499151 /nfs/dbraw/zinc/49/91/51/1074499151.db2.gz UPKSMFFLFMWSET-UHFFFAOYSA-N 0 0 439.567 -0.165 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)c1cccc(CN2C(=O)CNC2=O)c1 ZINC000410015819 1074499163 /nfs/dbraw/zinc/49/91/63/1074499163.db2.gz PIECEKKHQIBCSM-UHFFFAOYSA-N 0 0 426.520 -0.153 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCSCC1)C2=O ZINC000410016672 1074499238 /nfs/dbraw/zinc/49/92/38/1074499238.db2.gz ANBGFFAQNIPQHM-UHFFFAOYSA-N 0 0 432.568 -0.018 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)N2CCSCC2)cc(OC)c1OCC(N)=O ZINC000410022057 1074499147 /nfs/dbraw/zinc/49/91/47/1074499147.db2.gz ZECNXOPSFCKWDE-UHFFFAOYSA-N 0 0 447.535 -0.324 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410023174 1074499205 /nfs/dbraw/zinc/49/92/05/1074499205.db2.gz WJTBCJNJTCQLTK-GFCCVEGCSA-N 0 0 433.643 -0.458 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410023175 1074499694 /nfs/dbraw/zinc/49/96/94/1074499694.db2.gz WJTBCJNJTCQLTK-LBPRGKRZSA-N 0 0 433.643 -0.458 20 0 IBADRN CN(C)c1nccc([C@@H]2CN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CCO2)n1 ZINC000410024039 1074499194 /nfs/dbraw/zinc/49/91/94/1074499194.db2.gz BUQFXLHQWCPDCE-HNNXBMFYSA-N 0 0 427.465 -0.505 20 0 IBADRN CN(C)c1nccc([C@H]2CN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CCO2)n1 ZINC000410024040 1074499256 /nfs/dbraw/zinc/49/92/56/1074499256.db2.gz BUQFXLHQWCPDCE-OAHLLOKOSA-N 0 0 427.465 -0.505 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)NCCS(=O)(=O)N2CCSCC2)c2ccccn21 ZINC000410028436 1074499698 /nfs/dbraw/zinc/49/96/98/1074499698.db2.gz RTWFWWVJNIAZIK-UHFFFAOYSA-N 0 0 432.549 -0.154 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)c1nc2ncc(Br)cn2n1 ZINC000410033908 1074499589 /nfs/dbraw/zinc/49/95/89/1074499589.db2.gz QWVUZFGUANGCND-UHFFFAOYSA-N 0 0 435.329 -0.005 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000410052363 1074499721 /nfs/dbraw/zinc/49/97/21/1074499721.db2.gz MRRFTBYCUPWMMK-UHFFFAOYSA-N 0 0 446.460 -0.747 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CCN(c3ccc(F)cc3)C2=O)CC1 ZINC000410053820 1074499652 /nfs/dbraw/zinc/49/96/52/1074499652.db2.gz VYKVTNTXYMDINK-INIZCTEOSA-N 0 0 430.436 -0.002 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CCN(c3ccc(F)cc3)C2=O)CC1 ZINC000410053822 1074499603 /nfs/dbraw/zinc/49/96/03/1074499603.db2.gz VYKVTNTXYMDINK-MRXNPFEDSA-N 0 0 430.436 -0.002 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cnn3c2[nH]c(=O)c2ccccc23)CC1 ZINC000410054001 1074499573 /nfs/dbraw/zinc/49/95/73/1074499573.db2.gz WVHGSMZYNGHJFD-UHFFFAOYSA-N 0 0 436.428 -0.391 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000410058827 1074499558 /nfs/dbraw/zinc/49/95/58/1074499558.db2.gz VDCUIESLJDABMB-LLVKDONJSA-N 0 0 434.478 -0.781 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000410058832 1074500220 /nfs/dbraw/zinc/50/02/20/1074500220.db2.gz VDCUIESLJDABMB-NSHDSACASA-N 0 0 434.478 -0.781 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000410061191 1074499501 /nfs/dbraw/zinc/49/95/01/1074499501.db2.gz JBLZRZOPZYBEFR-LLVKDONJSA-N 0 0 434.478 -0.781 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000410061192 1074499737 /nfs/dbraw/zinc/49/97/37/1074499737.db2.gz JBLZRZOPZYBEFR-NSHDSACASA-N 0 0 434.478 -0.781 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000410062340 1074499732 /nfs/dbraw/zinc/49/97/32/1074499732.db2.gz PPVRPWIJQUUTHF-GFCCVEGCSA-N 0 0 448.505 -0.391 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000410062341 1074499613 /nfs/dbraw/zinc/49/96/13/1074499613.db2.gz PPVRPWIJQUUTHF-LBPRGKRZSA-N 0 0 448.505 -0.391 20 0 IBADRN Cc1ccc(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)c(N2CCOCC2)c1 ZINC000410062425 1074499629 /nfs/dbraw/zinc/49/96/29/1074499629.db2.gz MUFSLSZYENKJNM-UHFFFAOYSA-N 0 0 425.555 -0.053 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000410063485 1074499641 /nfs/dbraw/zinc/49/96/41/1074499641.db2.gz BRAWVDYYWLUXDU-AEGPPILISA-N 0 0 443.547 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000410063489 1074499542 /nfs/dbraw/zinc/49/95/42/1074499542.db2.gz BRAWVDYYWLUXDU-CFVMTHIKSA-N 0 0 443.547 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000410063492 1074499711 /nfs/dbraw/zinc/49/97/11/1074499711.db2.gz BRAWVDYYWLUXDU-NWANDNLSSA-N 0 0 443.547 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000410063496 1074499659 /nfs/dbraw/zinc/49/96/59/1074499659.db2.gz BRAWVDYYWLUXDU-QEJZJMRPSA-N 0 0 443.547 -0.193 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCCNS(=O)(=O)c2ccccc2Cl)c1 ZINC000410084764 1074500244 /nfs/dbraw/zinc/50/02/44/1074500244.db2.gz GIBYNOAQLAZJRM-UHFFFAOYSA-N 0 0 435.915 -0.331 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCCNS(=O)(=O)c2ccccc2Cl)cn1 ZINC000410098406 1074500163 /nfs/dbraw/zinc/50/01/63/1074500163.db2.gz DAAUPQHMTHOFCN-UHFFFAOYSA-N 0 0 449.942 -0.163 20 0 IBADRN CC(C)(C)n1ncnc1CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000410112250 1074500105 /nfs/dbraw/zinc/50/01/05/1074500105.db2.gz AWXFBMYPBSYCNO-UHFFFAOYSA-N 0 0 439.498 -0.059 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000410116058 1074500154 /nfs/dbraw/zinc/50/01/54/1074500154.db2.gz ADGTUTFEZQTJCE-HNNXBMFYSA-N 0 0 440.460 -0.010 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000410116061 1074500179 /nfs/dbraw/zinc/50/01/79/1074500179.db2.gz ADGTUTFEZQTJCE-OAHLLOKOSA-N 0 0 440.460 -0.010 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000410123672 1074500120 /nfs/dbraw/zinc/50/01/20/1074500120.db2.gz HISDUVOMVCPXOD-HNNXBMFYSA-N 0 0 440.460 -0.010 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000410123674 1074500172 /nfs/dbraw/zinc/50/01/72/1074500172.db2.gz HISDUVOMVCPXOD-OAHLLOKOSA-N 0 0 440.460 -0.010 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)c1 ZINC000410161613 1074500727 /nfs/dbraw/zinc/50/07/27/1074500727.db2.gz AZZWXTSCPDSHPX-UHFFFAOYSA-N 0 0 437.565 -0.087 20 0 IBADRN CC(=O)Nc1cccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000410167301 1074500849 /nfs/dbraw/zinc/50/08/49/1074500849.db2.gz PUPHQUHUTBUYPS-UHFFFAOYSA-N 0 0 438.554 -0.036 20 0 IBADRN CCN1CCN(CC(=O)NCCCNS(=O)(=O)c2ccccc2Cl)C(=O)C1=O ZINC000410168477 1074501772 /nfs/dbraw/zinc/50/17/72/1074501772.db2.gz FMLGUSOXLLKHFI-UHFFFAOYSA-N 0 0 430.914 -0.185 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ncnn3C(C)(C)C)CC2)CC1 ZINC000410173174 1074502206 /nfs/dbraw/zinc/50/22/06/1074502206.db2.gz OHAQXVJLGZVQLK-UHFFFAOYSA-N 0 0 428.563 -0.648 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000410175903 1074502256 /nfs/dbraw/zinc/50/22/56/1074502256.db2.gz GAKHCSXAVLWWBV-UHFFFAOYSA-N 0 0 431.602 -0.512 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000410176287 1074501844 /nfs/dbraw/zinc/50/18/44/1074501844.db2.gz JOPUHIOZEROQKQ-KFWWJZLASA-N 0 0 438.572 -0.898 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000410176290 1074501739 /nfs/dbraw/zinc/50/17/39/1074501739.db2.gz JOPUHIOZEROQKQ-QLFBSQMISA-N 0 0 438.572 -0.898 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000410176293 1074501825 /nfs/dbraw/zinc/50/18/25/1074501825.db2.gz JOPUHIOZEROQKQ-RBSFLKMASA-N 0 0 438.572 -0.898 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000410176296 1074501815 /nfs/dbraw/zinc/50/18/15/1074501815.db2.gz JOPUHIOZEROQKQ-ZNMIVQPWSA-N 0 0 438.572 -0.898 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000410186029 1074502806 /nfs/dbraw/zinc/50/28/06/1074502806.db2.gz RTIIOIGJYOMXPF-GDBMZVCRSA-N 0 0 445.567 -0.185 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000410186030 1074502793 /nfs/dbraw/zinc/50/27/93/1074502793.db2.gz RTIIOIGJYOMXPF-GOEBONIOSA-N 0 0 445.567 -0.185 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000410186131 1074502824 /nfs/dbraw/zinc/50/28/24/1074502824.db2.gz RTIIOIGJYOMXPF-HOCLYGCPSA-N 0 0 445.567 -0.185 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000410186132 1074502818 /nfs/dbraw/zinc/50/28/18/1074502818.db2.gz RTIIOIGJYOMXPF-ZBFHGGJFSA-N 0 0 445.567 -0.185 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000410186707 1074502722 /nfs/dbraw/zinc/50/27/22/1074502722.db2.gz GDVQDRFXQBHTQX-BBWFWOEESA-N 0 0 447.602 -0.427 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000410186831 1074502767 /nfs/dbraw/zinc/50/27/67/1074502767.db2.gz GDVQDRFXQBHTQX-GVDBMIGSSA-N 0 0 447.602 -0.427 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000410186832 1074502812 /nfs/dbraw/zinc/50/28/12/1074502812.db2.gz GDVQDRFXQBHTQX-ULQDDVLXSA-N 0 0 447.602 -0.427 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000410186833 1074502756 /nfs/dbraw/zinc/50/27/56/1074502756.db2.gz GDVQDRFXQBHTQX-YESZJQIVSA-N 0 0 447.602 -0.427 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N[C@H]3CCC[C@@H]3CNS(C)(=O)=O)CC2)no1 ZINC000410188492 1073330692 /nfs/dbraw/zinc/33/06/92/1073330692.db2.gz LLMPEGFMGFDSRA-CABCVRRESA-N 0 0 442.542 -0.033 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N[C@H]3CCC[C@H]3CNS(C)(=O)=O)CC2)no1 ZINC000410188493 1074502761 /nfs/dbraw/zinc/50/27/61/1074502761.db2.gz LLMPEGFMGFDSRA-GJZGRUSLSA-N 0 0 442.542 -0.033 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N[C@@H]3CCC[C@@H]3CNS(C)(=O)=O)CC2)no1 ZINC000410188494 1074502831 /nfs/dbraw/zinc/50/28/31/1074502831.db2.gz LLMPEGFMGFDSRA-HUUCEWRRSA-N 0 0 442.542 -0.033 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N[C@@H]3CCC[C@H]3CNS(C)(=O)=O)CC2)no1 ZINC000410188495 1074502743 /nfs/dbraw/zinc/50/27/43/1074502743.db2.gz LLMPEGFMGFDSRA-LSDHHAIUSA-N 0 0 442.542 -0.033 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N[C@H]1CCOc2ccccc21 ZINC000410189089 1074502769 /nfs/dbraw/zinc/50/27/69/1074502769.db2.gz MUIFFAUWKWUOCW-INIZCTEOSA-N 0 0 429.477 -0.241 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N[C@@H]1CCOc2ccccc21 ZINC000410189090 1074502800 /nfs/dbraw/zinc/50/28/00/1074502800.db2.gz MUIFFAUWKWUOCW-MRXNPFEDSA-N 0 0 429.477 -0.241 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)cc2)CC1 ZINC000410191118 1074502779 /nfs/dbraw/zinc/50/27/79/1074502779.db2.gz LIHUHTMOOAJBMC-QZTJIDSGSA-N 0 0 434.518 -0.110 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)c2)CC1 ZINC000410193746 1071027126 /nfs/dbraw/zinc/02/71/26/1071027126.db2.gz XQOOXSYHCQZQHE-QZTJIDSGSA-N 0 0 434.518 -0.110 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H]1CCS(=O)(=O)C1 ZINC000410194081 1074503235 /nfs/dbraw/zinc/50/32/35/1074503235.db2.gz JMIPJIKPMATRIP-HNNXBMFYSA-N 0 0 445.567 -0.733 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H]1CCS(=O)(=O)C1 ZINC000410194082 1074503189 /nfs/dbraw/zinc/50/31/89/1074503189.db2.gz JMIPJIKPMATRIP-OAHLLOKOSA-N 0 0 445.567 -0.733 20 0 IBADRN COc1ccc(CC(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1S(=O)(=O)N1CCOCC1 ZINC000410194517 1074502712 /nfs/dbraw/zinc/50/27/12/1074502712.db2.gz ZCIRVYHHNCLCBQ-HZPDHXFCSA-N 0 0 437.518 -0.004 20 0 IBADRN NC(=O)COc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000410196122 1074503212 /nfs/dbraw/zinc/50/32/12/1074503212.db2.gz PFOPEKFUUBZKHI-UHFFFAOYSA-N 0 0 427.483 -0.723 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000410196204 1074502842 /nfs/dbraw/zinc/50/28/42/1074502842.db2.gz PXOWICKQYLKUQE-KKUMJFAQSA-N 0 0 440.588 -0.508 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000410196205 1074502751 /nfs/dbraw/zinc/50/27/51/1074502751.db2.gz PXOWICKQYLKUQE-RRFJBIMHSA-N 0 0 440.588 -0.508 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000410196206 1074502836 /nfs/dbraw/zinc/50/28/36/1074502836.db2.gz PXOWICKQYLKUQE-SOUVJXGZSA-N 0 0 440.588 -0.508 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000410196207 1074502733 /nfs/dbraw/zinc/50/27/33/1074502733.db2.gz PXOWICKQYLKUQE-ZNMIVQPWSA-N 0 0 440.588 -0.508 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000410200248 1074503259 /nfs/dbraw/zinc/50/32/59/1074503259.db2.gz KMXAWPJBFYZVHW-DZGCQCFKSA-N 0 0 449.555 -0.451 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000410200252 1074503372 /nfs/dbraw/zinc/50/33/72/1074503372.db2.gz KMXAWPJBFYZVHW-HIFRSBDPSA-N 0 0 449.555 -0.451 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000410200254 1074503294 /nfs/dbraw/zinc/50/32/94/1074503294.db2.gz KMXAWPJBFYZVHW-UKRRQHHQSA-N 0 0 449.555 -0.451 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000410200256 1074503249 /nfs/dbraw/zinc/50/32/49/1074503249.db2.gz KMXAWPJBFYZVHW-ZFWWWQNUSA-N 0 0 449.555 -0.451 20 0 IBADRN COc1ccc(CCNC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000410202099 1074503382 /nfs/dbraw/zinc/50/33/82/1074503382.db2.gz OXRLOHYBTXMKBE-KBPBESRZSA-N 0 0 448.567 -0.098 20 0 IBADRN COc1ccc(CCNC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000410202101 1074503266 /nfs/dbraw/zinc/50/32/66/1074503266.db2.gz OXRLOHYBTXMKBE-KGLIPLIRSA-N 0 0 448.567 -0.098 20 0 IBADRN COc1ccc(CCNC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000410202103 1074503389 /nfs/dbraw/zinc/50/33/89/1074503389.db2.gz OXRLOHYBTXMKBE-UONOGXRCSA-N 0 0 448.567 -0.098 20 0 IBADRN COc1ccc(CCNC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000410202105 1074503202 /nfs/dbraw/zinc/50/32/02/1074503202.db2.gz OXRLOHYBTXMKBE-ZIAGYGMSSA-N 0 0 448.567 -0.098 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410202652 1074503300 /nfs/dbraw/zinc/50/33/00/1074503300.db2.gz KTMJRNNSCXCVLH-CHWSQXEVSA-N 0 0 428.602 -0.618 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410202653 1074503762 /nfs/dbraw/zinc/50/37/62/1074503762.db2.gz KTMJRNNSCXCVLH-OLZOCXBDSA-N 0 0 428.602 -0.618 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410202654 1074503744 /nfs/dbraw/zinc/50/37/44/1074503744.db2.gz KTMJRNNSCXCVLH-QWHCGFSZSA-N 0 0 428.602 -0.618 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410202655 1074503690 /nfs/dbraw/zinc/50/36/90/1074503690.db2.gz KTMJRNNSCXCVLH-STQMWFEESA-N 0 0 428.602 -0.618 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](O)C(F)(F)F ZINC000410203697 1074503173 /nfs/dbraw/zinc/50/31/73/1074503173.db2.gz BNZZZVNRAVPJKB-GFCCVEGCSA-N 0 0 425.433 -0.244 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](O)C(F)(F)F ZINC000410203699 1074503222 /nfs/dbraw/zinc/50/32/22/1074503222.db2.gz BNZZZVNRAVPJKB-LBPRGKRZSA-N 0 0 425.433 -0.244 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCC(=O)N[C@H]2CCOc3ccccc32)CC1 ZINC000410205591 1074503344 /nfs/dbraw/zinc/50/33/44/1074503344.db2.gz UNONPPUFGRPCRM-HNNXBMFYSA-N 0 0 425.511 -0.758 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCC(=O)N[C@@H]2CCOc3ccccc32)CC1 ZINC000410205592 1074503365 /nfs/dbraw/zinc/50/33/65/1074503365.db2.gz UNONPPUFGRPCRM-OAHLLOKOSA-N 0 0 425.511 -0.758 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000410210635 1074503724 /nfs/dbraw/zinc/50/37/24/1074503724.db2.gz SPXUPQKDMAWEJP-GDBMZVCRSA-N 0 0 433.552 -0.030 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000410210636 1074503729 /nfs/dbraw/zinc/50/37/29/1074503729.db2.gz SPXUPQKDMAWEJP-GOEBONIOSA-N 0 0 433.552 -0.030 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000410210637 1074503721 /nfs/dbraw/zinc/50/37/21/1074503721.db2.gz SPXUPQKDMAWEJP-HOCLYGCPSA-N 0 0 433.552 -0.030 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000410210638 1074503752 /nfs/dbraw/zinc/50/37/52/1074503752.db2.gz SPXUPQKDMAWEJP-ZBFHGGJFSA-N 0 0 433.552 -0.030 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000410210955 1074503688 /nfs/dbraw/zinc/50/36/88/1074503688.db2.gz OMGHPDZTRZUUJK-DLBZAZTESA-N 0 0 429.587 -0.052 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000410210956 1074503739 /nfs/dbraw/zinc/50/37/39/1074503739.db2.gz OMGHPDZTRZUUJK-IAGOWNOFSA-N 0 0 429.587 -0.052 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000410210957 1074503727 /nfs/dbraw/zinc/50/37/27/1074503727.db2.gz OMGHPDZTRZUUJK-IRXDYDNUSA-N 0 0 429.587 -0.052 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000410210958 1074503757 /nfs/dbraw/zinc/50/37/57/1074503757.db2.gz OMGHPDZTRZUUJK-SJORKVTESA-N 0 0 429.587 -0.052 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000410213541 1074503712 /nfs/dbraw/zinc/50/37/12/1074503712.db2.gz GOURAWRFWJQTPF-CVEARBPZSA-N 0 0 425.559 -0.384 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000410213542 1074503703 /nfs/dbraw/zinc/50/37/03/1074503703.db2.gz GOURAWRFWJQTPF-HOTGVXAUSA-N 0 0 425.559 -0.384 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000410213543 1074503693 /nfs/dbraw/zinc/50/36/93/1074503693.db2.gz GOURAWRFWJQTPF-HZPDHXFCSA-N 0 0 425.559 -0.384 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000410213544 1074503734 /nfs/dbraw/zinc/50/37/34/1074503734.db2.gz GOURAWRFWJQTPF-JKSUJKDBSA-N 0 0 425.559 -0.384 20 0 IBADRN NC(=O)CCNC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000410214267 1074503699 /nfs/dbraw/zinc/50/36/99/1074503699.db2.gz GHACRXOEZVRVRP-UHFFFAOYSA-N 0 0 441.510 -0.459 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000410214293 1074504006 /nfs/dbraw/zinc/50/40/06/1074504006.db2.gz GOMCCWKOXRTQEM-CABCVRRESA-N 0 0 429.543 -0.820 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000410214294 1074504159 /nfs/dbraw/zinc/50/41/59/1074504159.db2.gz GOMCCWKOXRTQEM-GJZGRUSLSA-N 0 0 429.543 -0.820 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000410214295 1074504060 /nfs/dbraw/zinc/50/40/60/1074504060.db2.gz GOMCCWKOXRTQEM-HUUCEWRRSA-N 0 0 429.543 -0.820 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000410214296 1074504043 /nfs/dbraw/zinc/50/40/43/1074504043.db2.gz GOMCCWKOXRTQEM-LSDHHAIUSA-N 0 0 429.543 -0.820 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@H]3CCC[C@H]3CNS(C)(=O)=O)CC2)n1 ZINC000410214557 1074503731 /nfs/dbraw/zinc/50/37/31/1074503731.db2.gz LIXYEECUXZCFPV-KBPBESRZSA-N 0 0 430.531 -0.239 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@H]3CCC[C@@H]3CNS(C)(=O)=O)CC2)n1 ZINC000410214558 1074503747 /nfs/dbraw/zinc/50/37/47/1074503747.db2.gz LIXYEECUXZCFPV-KGLIPLIRSA-N 0 0 430.531 -0.239 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3CCC[C@H]3CNS(C)(=O)=O)CC2)n1 ZINC000410214559 1074503707 /nfs/dbraw/zinc/50/37/07/1074503707.db2.gz LIXYEECUXZCFPV-UONOGXRCSA-N 0 0 430.531 -0.239 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3CCC[C@@H]3CNS(C)(=O)=O)CC2)n1 ZINC000410214560 1074503717 /nfs/dbraw/zinc/50/37/17/1074503717.db2.gz LIXYEECUXZCFPV-ZIAGYGMSSA-N 0 0 430.531 -0.239 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000410221900 1074504119 /nfs/dbraw/zinc/50/41/19/1074504119.db2.gz XXAANLAIKFQQEI-AVGNSLFASA-N 0 0 439.581 -0.100 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000410221901 1074504174 /nfs/dbraw/zinc/50/41/74/1074504174.db2.gz XXAANLAIKFQQEI-RWMBFGLXSA-N 0 0 439.581 -0.100 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000410221902 1074504148 /nfs/dbraw/zinc/50/41/48/1074504148.db2.gz XXAANLAIKFQQEI-XQQFMLRXSA-N 0 0 439.581 -0.100 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000410221903 1074504140 /nfs/dbraw/zinc/50/41/40/1074504140.db2.gz XXAANLAIKFQQEI-YNEHKIRRSA-N 0 0 439.581 -0.100 20 0 IBADRN O=C(CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1)NC1CC1 ZINC000410222892 1074504072 /nfs/dbraw/zinc/50/40/72/1074504072.db2.gz GPFSBKAXFGZFIG-UHFFFAOYSA-N 0 0 429.525 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1)NC1CC1 ZINC000410223555 1074504025 /nfs/dbraw/zinc/50/40/25/1074504025.db2.gz KCDMPBJLLRPVOK-UHFFFAOYSA-N 0 0 428.537 -0.067 20 0 IBADRN CCS(=O)(=O)c1cccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000410225787 1074504180 /nfs/dbraw/zinc/50/41/80/1074504180.db2.gz VXLXYLXRYJGDSB-UHFFFAOYSA-N 0 0 433.490 -0.066 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)c2)nn1 ZINC000410227853 1074504154 /nfs/dbraw/zinc/50/41/54/1074504154.db2.gz CKZAVCKJWOXQCX-UHFFFAOYSA-N 0 0 438.404 -0.560 20 0 IBADRN Cn1cnn(CC(=O)Nc2ccc3c(c2)[C@@H](NC(=O)Cn2ncn(C)c2=O)CCC3)c1=O ZINC000410227854 1074504080 /nfs/dbraw/zinc/50/40/80/1074504080.db2.gz CLQRXIYZONKFPG-INIZCTEOSA-N 0 0 440.464 -0.691 20 0 IBADRN Cn1cnn(CC(=O)Nc2ccc3c(c2)[C@H](NC(=O)Cn2ncn(C)c2=O)CCC3)c1=O ZINC000410227855 1074504167 /nfs/dbraw/zinc/50/41/67/1074504167.db2.gz CLQRXIYZONKFPG-MRXNPFEDSA-N 0 0 440.464 -0.691 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1ccc2c(c1)[C@H](NC(=O)CN1CCOCC1=O)CCC2 ZINC000410229128 1074504101 /nfs/dbraw/zinc/50/41/01/1074504101.db2.gz YDMZZCMNKGSYOG-GOSISDBHSA-N 0 0 444.488 -0.164 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1ccc2c(c1)[C@@H](NC(=O)CN1CCOCC1=O)CCC2 ZINC000410229129 1074504016 /nfs/dbraw/zinc/50/40/16/1074504016.db2.gz YDMZZCMNKGSYOG-SFHVURJKSA-N 0 0 444.488 -0.164 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN(C4CC4)C(=O)C3)c2)CC1 ZINC000410230033 1074504051 /nfs/dbraw/zinc/50/40/51/1074504051.db2.gz LCHMDPQXZZMOQB-UHFFFAOYSA-N 0 0 434.518 -0.014 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)c2)nn1 ZINC000410232505 1074504107 /nfs/dbraw/zinc/50/41/07/1074504107.db2.gz RXYDOWKBTHWVHV-UHFFFAOYSA-N 0 0 438.404 -0.560 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N[C@@H]1CCCCNC1=O ZINC000410238910 1074504129 /nfs/dbraw/zinc/50/41/29/1074504129.db2.gz JMFWFSSXTWSKAJ-DZGCQCFKSA-N 0 0 444.535 -0.058 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N[C@H]1CCCCNC1=O ZINC000410238911 1074504091 /nfs/dbraw/zinc/50/40/91/1074504091.db2.gz JMFWFSSXTWSKAJ-HIFRSBDPSA-N 0 0 444.535 -0.058 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N[C@@H]1CCCCNC1=O ZINC000410238913 1074504608 /nfs/dbraw/zinc/50/46/08/1074504608.db2.gz JMFWFSSXTWSKAJ-UKRRQHHQSA-N 0 0 444.535 -0.058 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N[C@H]1CCCCNC1=O ZINC000410238915 1074504602 /nfs/dbraw/zinc/50/46/02/1074504602.db2.gz JMFWFSSXTWSKAJ-ZFWWWQNUSA-N 0 0 444.535 -0.058 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000410267779 1074504687 /nfs/dbraw/zinc/50/46/87/1074504687.db2.gz NANCTCJRNROMTM-CABCVRRESA-N 0 0 432.543 -0.195 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000410267783 1074504573 /nfs/dbraw/zinc/50/45/73/1074504573.db2.gz NANCTCJRNROMTM-GJZGRUSLSA-N 0 0 432.543 -0.195 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000410267785 1074504559 /nfs/dbraw/zinc/50/45/59/1074504559.db2.gz NANCTCJRNROMTM-HUUCEWRRSA-N 0 0 432.543 -0.195 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000410267787 1074504658 /nfs/dbraw/zinc/50/46/58/1074504658.db2.gz NANCTCJRNROMTM-LSDHHAIUSA-N 0 0 432.543 -0.195 20 0 IBADRN CNC(=O)c1c(C)[nH]nc1NC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000410281342 1074504692 /nfs/dbraw/zinc/50/46/92/1074504692.db2.gz HWWLZODGYDQGKJ-AWEZNQCLSA-N 0 0 425.449 0.566 20 0 IBADRN CNC(=O)c1c(C)[nH]nc1NC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000410281343 1074504699 /nfs/dbraw/zinc/50/46/99/1074504699.db2.gz HWWLZODGYDQGKJ-CQSZACIVSA-N 0 0 425.449 0.566 20 0 IBADRN CNC(=O)c1c(C)[nH]nc1NC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000410286504 1074504636 /nfs/dbraw/zinc/50/46/36/1074504636.db2.gz HBHLZXCGKIAYFT-UHFFFAOYSA-N 0 0 437.478 0.360 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000410287168 1074504548 /nfs/dbraw/zinc/50/45/48/1074504548.db2.gz WZCOFGXCUFUFDW-UHFFFAOYSA-N 0 0 425.511 -0.064 20 0 IBADRN CC(C)C(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000410294023 1074504588 /nfs/dbraw/zinc/50/45/88/1074504588.db2.gz MPQCGWKIZKAIHK-UHFFFAOYSA-N 0 0 431.559 -0.462 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000410296285 1074504509 /nfs/dbraw/zinc/50/45/09/1074504509.db2.gz KPQLLIZEAMHERV-UHFFFAOYSA-N 0 0 443.570 -0.333 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000410298147 1074504620 /nfs/dbraw/zinc/50/46/20/1074504620.db2.gz OCHWUKFTQHWKPZ-UHFFFAOYSA-N 0 0 445.586 -0.623 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000410298360 1074504580 /nfs/dbraw/zinc/50/45/80/1074504580.db2.gz PHKIFMSQCLZPAP-UHFFFAOYSA-N 0 0 429.543 -0.677 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000410299015 1074504613 /nfs/dbraw/zinc/50/46/13/1074504613.db2.gz IIUAOSPNCJZCKB-UHFFFAOYSA-N 0 0 444.471 -0.029 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)CC1 ZINC000410301166 1074504595 /nfs/dbraw/zinc/50/45/95/1074504595.db2.gz FCJOTZFFRXTHPS-UHFFFAOYSA-N 0 0 431.559 -0.431 20 0 IBADRN CNC(=O)c1c(C)[nH]nc1NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000410303390 1074504680 /nfs/dbraw/zinc/50/46/80/1074504680.db2.gz CPUCNFOGBSXFGD-UHFFFAOYSA-N 0 0 448.505 0.183 20 0 IBADRN CC(C)C(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000410303754 1074504532 /nfs/dbraw/zinc/50/45/32/1074504532.db2.gz SJTSPBRCMNHAJM-UHFFFAOYSA-N 0 0 444.602 -0.547 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H](C(N)=O)C(C)C)c1OC ZINC000410306204 1074504523 /nfs/dbraw/zinc/50/45/23/1074504523.db2.gz MLFDZBPVOODDOV-OAHLLOKOSA-N 0 0 429.495 -0.036 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(S(=O)(=O)c2cc(C(N)=O)n(C)c2)C1 ZINC000410319951 1074504663 /nfs/dbraw/zinc/50/46/63/1074504663.db2.gz YMBHEYMWLPUASL-GFCCVEGCSA-N 0 0 438.510 -0.208 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(S(=O)(=O)c2cc(C(N)=O)n(C)c2)C1 ZINC000410319952 1074505125 /nfs/dbraw/zinc/50/51/25/1074505125.db2.gz YMBHEYMWLPUASL-LBPRGKRZSA-N 0 0 438.510 -0.208 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000410323473 1074505067 /nfs/dbraw/zinc/50/50/67/1074505067.db2.gz CSRKOZUNQQKVPP-UHFFFAOYSA-N 0 0 438.456 -0.216 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(S(=O)(=O)N(C)CCC(=O)OC)C1 ZINC000410324084 1074505092 /nfs/dbraw/zinc/50/50/92/1074505092.db2.gz QOIXJXWWXHKDHQ-CYBMUJFWSA-N 0 0 431.515 -0.295 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(S(=O)(=O)N(C)CCC(=O)OC)C1 ZINC000410324085 1074505118 /nfs/dbraw/zinc/50/51/18/1074505118.db2.gz QOIXJXWWXHKDHQ-ZDUSSCGKSA-N 0 0 431.515 -0.295 20 0 IBADRN C[C@H](Sc1nncn1C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000410327631 1074505147 /nfs/dbraw/zinc/50/51/47/1074505147.db2.gz KTAXYAPJHKCFJU-AWEZNQCLSA-N 0 0 439.567 -0.041 20 0 IBADRN C[C@@H](Sc1nncn1C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000410327632 1074505079 /nfs/dbraw/zinc/50/50/79/1074505079.db2.gz KTAXYAPJHKCFJU-CQSZACIVSA-N 0 0 439.567 -0.041 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(N2CCNC2=O)cc1 ZINC000410377897 1074505650 /nfs/dbraw/zinc/50/56/50/1074505650.db2.gz IWQXYAWRFKCQAX-UHFFFAOYSA-N 0 0 441.535 -0.351 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410378158 1074505026 /nfs/dbraw/zinc/50/50/26/1074505026.db2.gz ASVGBWHHKCFEGJ-UHFFFAOYSA-N 0 0 428.536 -0.178 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000410378537 1074505019 /nfs/dbraw/zinc/50/50/19/1074505019.db2.gz BSIGTZHVQVMHSF-UHFFFAOYSA-N 0 0 428.536 -0.212 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000410379225 1074505045 /nfs/dbraw/zinc/50/50/45/1074505045.db2.gz OLDJMYOLUCENQL-UHFFFAOYSA-N 0 0 425.496 -0.904 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410379383 1074505105 /nfs/dbraw/zinc/50/51/05/1074505105.db2.gz GCGSOXKXJZXDMF-UHFFFAOYSA-N 0 0 449.576 -0.087 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000410379884 1074505074 /nfs/dbraw/zinc/50/50/74/1074505074.db2.gz RDAISVNWFPYHSQ-UHFFFAOYSA-N 0 0 425.496 -0.904 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)ccc1Cl ZINC000410380480 1074505010 /nfs/dbraw/zinc/50/50/10/1074505010.db2.gz GGHPGJNFJRMPPP-UHFFFAOYSA-N 0 0 434.927 -0.128 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000410380482 1074505038 /nfs/dbraw/zinc/50/50/38/1074505038.db2.gz GHCHIJQPDIAZIS-UHFFFAOYSA-N 0 0 432.524 -0.460 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000410381050 1074505034 /nfs/dbraw/zinc/50/50/34/1074505034.db2.gz KVHQXFNMLHWEOR-UHFFFAOYSA-N 0 0 444.535 -0.512 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410381205 1074505112 /nfs/dbraw/zinc/50/51/12/1074505112.db2.gz WPAGZTQCOCDIET-UHFFFAOYSA-N 0 0 435.549 -0.477 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1C ZINC000410381493 1074505004 /nfs/dbraw/zinc/50/50/04/1074505004.db2.gz LXKQGYKCFGLHBT-UHFFFAOYSA-N 0 0 428.536 -0.212 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410381742 1074505052 /nfs/dbraw/zinc/50/50/52/1074505052.db2.gz KBFADXVBRTZSKU-UHFFFAOYSA-N 0 0 442.563 -0.249 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410382749 1074505061 /nfs/dbraw/zinc/50/50/61/1074505061.db2.gz MNIFXOPYMHVZRY-UHFFFAOYSA-N 0 0 444.535 -0.755 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccccc1Cn1cncn1 ZINC000410384604 1074505485 /nfs/dbraw/zinc/50/54/85/1074505485.db2.gz TYPQEYRBVRJHRN-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410385189 1074505632 /nfs/dbraw/zinc/50/56/32/1074505632.db2.gz AZXFSVNMSSIGCT-UHFFFAOYSA-N 0 0 445.519 -0.328 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccnc1N1CCOCC1 ZINC000410386199 1074505465 /nfs/dbraw/zinc/50/54/65/1074505465.db2.gz ZHFOPWQGTDAWRH-UHFFFAOYSA-N 0 0 443.551 -0.649 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410386619 1074505476 /nfs/dbraw/zinc/50/54/76/1074505476.db2.gz GDCQNRACSKXQRX-UHFFFAOYSA-N 0 0 435.549 -0.477 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)NCc1ccccc1)S(=O)(=O)c1cccnc1 ZINC000410388033 1074505432 /nfs/dbraw/zinc/50/54/32/1074505432.db2.gz JXXFUMNZZYUJTD-UHFFFAOYSA-N 0 0 426.520 -0.062 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccc(N2CCNC2=O)c1 ZINC000410388115 1074505608 /nfs/dbraw/zinc/50/56/08/1074505608.db2.gz ADQOOBKYFIWUDO-UHFFFAOYSA-N 0 0 441.535 -0.351 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCS(=O)(=O)NCc3ccccc3)c2c(=O)n(C)c1=O ZINC000410390254 1074505642 /nfs/dbraw/zinc/50/56/42/1074505642.db2.gz HVDKBWSVCGKFLM-UHFFFAOYSA-N 0 0 448.505 -0.940 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1-n1cnnn1 ZINC000410390272 1074505618 /nfs/dbraw/zinc/50/56/18/1074505618.db2.gz IDICUMRKMJUROP-UHFFFAOYSA-N 0 0 439.523 -0.596 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000410391453 1074505525 /nfs/dbraw/zinc/50/55/25/1074505525.db2.gz LPULZNXZFLKJLQ-UHFFFAOYSA-N 0 0 428.536 -0.178 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410391933 1074505552 /nfs/dbraw/zinc/50/55/52/1074505552.db2.gz NNOVPRATQUCJSW-UHFFFAOYSA-N 0 0 444.535 -0.626 20 0 IBADRN Cn1cnnc1-c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000410392235 1074505513 /nfs/dbraw/zinc/50/55/13/1074505513.db2.gz OHOMUFMGYCUZNF-UHFFFAOYSA-N 0 0 438.535 -0.085 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410393498 1074505564 /nfs/dbraw/zinc/50/55/64/1074505564.db2.gz WKXKBKNZXXGEGO-UHFFFAOYSA-N 0 0 429.520 -0.165 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410393926 1074505495 /nfs/dbraw/zinc/50/54/95/1074505495.db2.gz ZIXVGSIDKXHGRO-UHFFFAOYSA-N 0 0 449.576 -0.336 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000410393954 1074505547 /nfs/dbraw/zinc/50/55/47/1074505547.db2.gz ZTDHDAFRJZRPBL-UHFFFAOYSA-N 0 0 445.519 -0.328 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(Cn2cncn2)cc1 ZINC000410395299 1074505572 /nfs/dbraw/zinc/50/55/72/1074505572.db2.gz BGPQBXGCWDTNER-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc2n(C)c1=O ZINC000410395417 1074505532 /nfs/dbraw/zinc/50/55/32/1074505532.db2.gz BPJWUUMFTWNQKR-UHFFFAOYSA-N 0 0 441.535 -0.690 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000410397537 1074506048 /nfs/dbraw/zinc/50/60/48/1074506048.db2.gz FZXCKPYTQAXXAB-UHFFFAOYSA-N 0 0 443.551 -0.649 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000410398945 1074506064 /nfs/dbraw/zinc/50/60/64/1074506064.db2.gz MZSDRZYISNGRDN-UHFFFAOYSA-N 0 0 428.536 -0.130 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc2NC1=O ZINC000410400041 1074506036 /nfs/dbraw/zinc/50/60/36/1074506036.db2.gz GQOATJCLTNCXEI-LLVKDONJSA-N 0 0 442.519 -0.161 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc2NC1=O ZINC000410400044 1074506076 /nfs/dbraw/zinc/50/60/76/1074506076.db2.gz GQOATJCLTNCXEI-NSHDSACASA-N 0 0 442.519 -0.161 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000410405356 1074506114 /nfs/dbraw/zinc/50/61/14/1074506114.db2.gz YDJAGDRLBRYYLR-UHFFFAOYSA-N 0 0 435.549 -0.477 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCS(=O)(=O)NCc3ccccc3)cnc2n(C)c1=O ZINC000410405525 1074506093 /nfs/dbraw/zinc/50/60/93/1074506093.db2.gz RDDJXZXSDZZETL-UHFFFAOYSA-N 0 0 431.474 -0.518 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccc(Cn2cncn2)c1 ZINC000410411237 1074506018 /nfs/dbraw/zinc/50/60/18/1074506018.db2.gz MHUGNAVGWRLFTI-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410411775 1074506435 /nfs/dbraw/zinc/50/64/35/1074506435.db2.gz UGGCVAQSDGWFRF-UHFFFAOYSA-N 0 0 432.524 -0.460 20 0 IBADRN CS(=O)(=O)Cc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000410413982 1074506426 /nfs/dbraw/zinc/50/64/26/1074506426.db2.gz MZPAEBCETDYHTG-UHFFFAOYSA-N 0 0 449.576 -0.336 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1Cl ZINC000410414360 1074506055 /nfs/dbraw/zinc/50/60/55/1074506055.db2.gz AUCSENOKRDJGAD-UHFFFAOYSA-N 0 0 434.927 -0.128 20 0 IBADRN COc1ncc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)c(C)n1 ZINC000410416619 1074506028 /nfs/dbraw/zinc/50/60/28/1074506028.db2.gz HOVAXOUJEMQLAQ-UHFFFAOYSA-N 0 0 441.535 -0.052 20 0 IBADRN C[C@@](O)(CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)C(F)(F)F ZINC000410416644 1074506088 /nfs/dbraw/zinc/50/60/88/1074506088.db2.gz HQVCSPJVGURZLE-CYBMUJFWSA-N 0 0 431.458 -0.046 20 0 IBADRN C[C@](O)(CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)C(F)(F)F ZINC000410416653 1074505949 /nfs/dbraw/zinc/50/59/49/1074505949.db2.gz HQVCSPJVGURZLE-ZDUSSCGKSA-N 0 0 431.458 -0.046 20 0 IBADRN CS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000410418144 1074506328 /nfs/dbraw/zinc/50/63/28/1074506328.db2.gz KBBTVDFDWJPUTN-UHFFFAOYSA-N 0 0 449.576 -0.336 20 0 IBADRN CN(C)c1noc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000410418618 1074506537 /nfs/dbraw/zinc/50/65/37/1074506537.db2.gz NPCNVEPPFHTBBJ-UHFFFAOYSA-N 0 0 430.512 -0.711 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000410419767 1074506456 /nfs/dbraw/zinc/50/64/56/1074506456.db2.gz QOLNAGLJLGTVBY-UHFFFAOYSA-N 0 0 439.523 -0.596 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc2C1=O ZINC000410421330 1074506377 /nfs/dbraw/zinc/50/63/77/1074506377.db2.gz VFPHNBWXXXSONF-UHFFFAOYSA-N 0 0 440.503 -0.654 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc2oc1=O ZINC000410421635 1074506493 /nfs/dbraw/zinc/50/64/93/1074506493.db2.gz VROVDKWOPWDDFW-UHFFFAOYSA-N 0 0 428.492 -0.435 20 0 IBADRN C[C@H]1COCCN1CCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000410422372 1074506398 /nfs/dbraw/zinc/50/63/98/1074506398.db2.gz YFGQKJZPLVITIQ-HNNXBMFYSA-N 0 0 432.568 -0.639 20 0 IBADRN C[C@@H]1COCCN1CCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000410422378 1074506357 /nfs/dbraw/zinc/50/63/57/1074506357.db2.gz YFGQKJZPLVITIQ-OAHLLOKOSA-N 0 0 432.568 -0.639 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000410424293 1074506416 /nfs/dbraw/zinc/50/64/16/1074506416.db2.gz RITSPFTZNRRPLF-UHFFFAOYSA-N 0 0 428.492 -0.549 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)N2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000410425020 1074506514 /nfs/dbraw/zinc/50/65/14/1074506514.db2.gz AMLOWEIEVRKTRT-UHFFFAOYSA-N 0 0 428.511 -0.003 20 0 IBADRN CCN1CCN(CC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)[C@@H](C)C1=O ZINC000425912381 1071984204 /nfs/dbraw/zinc/98/42/04/1071984204.db2.gz DMKXZUBISXGUJY-AWEZNQCLSA-N 0 0 438.529 -0.281 20 0 IBADRN CCN1CCN(CC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)[C@H](C)C1=O ZINC000425912388 1071984167 /nfs/dbraw/zinc/98/41/67/1071984167.db2.gz DMKXZUBISXGUJY-CQSZACIVSA-N 0 0 438.529 -0.281 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)c3cccc([C@]4(C)NC(=O)NC4=O)c3)cn2)CC1 ZINC000425914009 1071984693 /nfs/dbraw/zinc/98/46/93/1071984693.db2.gz HEXBRVQQLXMONN-NRFANRHFSA-N 0 0 439.476 -0.036 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)c3cccc([C@@]4(C)NC(=O)NC4=O)c3)cn2)CC1 ZINC000425914014 1071984604 /nfs/dbraw/zinc/98/46/04/1071984604.db2.gz HEXBRVQQLXMONN-OAQYLSRUSA-N 0 0 439.476 -0.036 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H]1CCCOC1 ZINC000425923366 1071986637 /nfs/dbraw/zinc/98/66/37/1071986637.db2.gz FVPHVSSWBNFAMV-OCCSQVGLSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H]1CCCOC1 ZINC000425923380 1071986739 /nfs/dbraw/zinc/98/67/39/1071986739.db2.gz FVPHVSSWBNFAMV-TZMCWYRMSA-N 0 0 427.479 -0.335 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@@]2(CCCC(C)(C)C2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000425924963 1072285892 /nfs/dbraw/zinc/28/58/92/1072285892.db2.gz VPOOLDPTSDVQRL-BFUOFWGJSA-N 0 0 442.538 -0.361 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@]2(CCCC(C)(C)C2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000425924978 1071987898 /nfs/dbraw/zinc/98/78/98/1071987898.db2.gz VPOOLDPTSDVQRL-DJJJIMSYSA-N 0 0 442.538 -0.361 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@@]2(CCCC(C)(C)C2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000425924981 1072285870 /nfs/dbraw/zinc/28/58/70/1072285870.db2.gz VPOOLDPTSDVQRL-ORAYPTAESA-N 0 0 442.538 -0.361 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@]2(CCCC(C)(C)C2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000425924983 1072285878 /nfs/dbraw/zinc/28/58/78/1072285878.db2.gz VPOOLDPTSDVQRL-YJYMSZOUSA-N 0 0 442.538 -0.361 20 0 IBADRN CCC1(CC)CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CCS1(=O)=O ZINC000425925142 1071987758 /nfs/dbraw/zinc/98/77/58/1071987758.db2.gz NMFTYUDHKNHZQG-INIZCTEOSA-N 0 0 435.612 -0.393 20 0 IBADRN CCC1(CC)CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CCS1(=O)=O ZINC000425925151 1072285936 /nfs/dbraw/zinc/28/59/36/1072285936.db2.gz NMFTYUDHKNHZQG-MRXNPFEDSA-N 0 0 435.612 -0.393 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCN3CCN(C(C)=O)CC3)ccc21 ZINC000425935211 1071989006 /nfs/dbraw/zinc/98/90/06/1071989006.db2.gz HGDNOJVGWNPSAM-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCN3CCN(C(C)=O)CC3)ccc21 ZINC000425936217 1071990300 /nfs/dbraw/zinc/99/03/00/1071990300.db2.gz LOXHZNNTOZDJJJ-UHFFFAOYSA-N 0 0 445.520 -0.169 20 0 IBADRN C[C@@]1(c2cccc(C(=O)NCCS(=O)(=O)N3CCSCC3)c2)NC(=O)NC1=O ZINC000425936708 1071991041 /nfs/dbraw/zinc/99/10/41/1071991041.db2.gz OCSXLJQUEPDDHX-KRWDZBQOSA-N 0 0 426.520 -0.150 20 0 IBADRN C[C@]1(c2cccc(C(=O)NCCS(=O)(=O)N3CCSCC3)c2)NC(=O)NC1=O ZINC000425936712 1071990917 /nfs/dbraw/zinc/99/09/17/1071990917.db2.gz OCSXLJQUEPDDHX-QGZVFWFLSA-N 0 0 426.520 -0.150 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NCCN3CCN(C(C)=O)CC3)n2)cc1 ZINC000425937591 1071992354 /nfs/dbraw/zinc/99/23/54/1071992354.db2.gz SIBHNRUORPPFMU-UHFFFAOYSA-N 0 0 428.493 0.159 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000425943273 1071994919 /nfs/dbraw/zinc/99/49/19/1071994919.db2.gz QAYMICXSSPJBED-UHFFFAOYSA-N 0 0 440.522 -0.052 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NC3CCN(S(C)(=O)=O)CC3)n2)cc1 ZINC000425948521 1071996696 /nfs/dbraw/zinc/99/66/96/1071996696.db2.gz AVRSTSVANUHSJS-UHFFFAOYSA-N 0 0 435.506 0.419 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)ccc21 ZINC000425949262 1071996503 /nfs/dbraw/zinc/99/65/03/1071996503.db2.gz HFUZDKYHKYVZQR-UHFFFAOYSA-N 0 0 432.477 -0.084 20 0 IBADRN O=C(Nc1cc2c(cc1F)NC(=O)CC2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000425951690 1071996726 /nfs/dbraw/zinc/99/67/26/1071996726.db2.gz DRCVCIVVJZJURO-UHFFFAOYSA-N 0 0 445.451 -0.058 20 0 IBADRN CCC1(CC)CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCS1(=O)=O ZINC000425954157 1071136575 /nfs/dbraw/zinc/13/65/75/1071136575.db2.gz MQMHPOZFYHWGTM-UHFFFAOYSA-N 0 0 444.598 -0.721 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@]3(CCCC(C)(C)C3)C2=O)CC1 ZINC000425955728 1071997747 /nfs/dbraw/zinc/99/77/47/1071997747.db2.gz AHZIXSSXJHBSIW-NRFANRHFSA-N 0 0 437.541 -0.216 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@]3(CCCC(C)(C)C3)C2=O)CC1 ZINC000425955729 1071997764 /nfs/dbraw/zinc/99/77/64/1071997764.db2.gz AHZIXSSXJHBSIW-OAQYLSRUSA-N 0 0 437.541 -0.216 20 0 IBADRN Cn1nc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1OC(F)F ZINC000425957019 1071998418 /nfs/dbraw/zinc/99/84/18/1071998418.db2.gz GVCCVRXHONEXKU-UHFFFAOYSA-N 0 0 428.396 -0.747 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCCNS(C)(=O)=O)ccc21 ZINC000425957529 1071998406 /nfs/dbraw/zinc/99/84/06/1071998406.db2.gz BUYHDZANXJZKGS-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)n1 ZINC000425961606 1071999651 /nfs/dbraw/zinc/99/96/51/1071999651.db2.gz TXQWSBLESVQVDP-INIZCTEOSA-N 0 0 430.513 0.148 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)n1 ZINC000425961607 1071999583 /nfs/dbraw/zinc/99/95/83/1071999583.db2.gz TXQWSBLESVQVDP-MRXNPFEDSA-N 0 0 430.513 0.148 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000425962470 1072000280 /nfs/dbraw/zinc/00/02/80/1072000280.db2.gz HTNGFDAQBHQNAI-UHFFFAOYSA-N 0 0 430.458 -0.708 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2c(c1)CCC(=O)N2CCOC ZINC000425963940 1072000370 /nfs/dbraw/zinc/00/03/70/1072000370.db2.gz NVXVHFMSYFTLIZ-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)CC1 ZINC000425964146 1072000137 /nfs/dbraw/zinc/00/01/37/1072000137.db2.gz OSWNVHNSLUWBGP-UHFFFAOYSA-N 0 0 447.602 -0.331 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)CC1 ZINC000425968636 1073312826 /nfs/dbraw/zinc/31/28/26/1073312826.db2.gz TTZMKINHZLNTOC-UHFFFAOYSA-N 0 0 428.493 0.205 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)CC1 ZINC000425972815 1072002411 /nfs/dbraw/zinc/00/24/11/1072002411.db2.gz COGHHJPXPUHNDZ-UHFFFAOYSA-N 0 0 445.586 -0.043 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000425975051 1072002880 /nfs/dbraw/zinc/00/28/80/1072002880.db2.gz GCGCQMSFZCVEGZ-UHFFFAOYSA-N 0 0 443.570 -0.289 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000425978856 1072003832 /nfs/dbraw/zinc/00/38/32/1072003832.db2.gz QXOUKSLHAXVPLZ-HNNXBMFYSA-N 0 0 435.506 0.562 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000425978857 1072004056 /nfs/dbraw/zinc/00/40/56/1072004056.db2.gz QXOUKSLHAXVPLZ-OAHLLOKOSA-N 0 0 435.506 0.562 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCC[C@H]2S(C)(=O)=O)CC1 ZINC000425985052 1072005860 /nfs/dbraw/zinc/00/58/60/1072005860.db2.gz NJUNOUKDTJGSRZ-BRWVUGGUSA-N 0 0 430.571 -0.083 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCC[C@H]2S(C)(=O)=O)CC1 ZINC000425985053 1072005990 /nfs/dbraw/zinc/00/59/90/1072005990.db2.gz NJUNOUKDTJGSRZ-GVDBMIGSSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1 ZINC000425990102 1072007425 /nfs/dbraw/zinc/00/74/25/1072007425.db2.gz WLOIWDPCTAOODU-UHFFFAOYSA-N 0 0 442.546 -0.880 20 0 IBADRN Nc1nsc(N2CCN(C(=O)NCCS(=O)(=O)NCc3ccccc3)CC2)n1 ZINC000425992642 1072008749 /nfs/dbraw/zinc/00/87/49/1072008749.db2.gz GDLPCRHHFJTYQC-UHFFFAOYSA-N 0 0 425.540 0.072 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)OCCO2 ZINC000425999748 1072009771 /nfs/dbraw/zinc/00/97/71/1072009771.db2.gz FNLDNCQBNHAAGQ-UHFFFAOYSA-N 0 0 446.460 -0.256 20 0 IBADRN CN(CCN1CCOCC1)C(=O)CC1(C(=O)N(C)CCN2CCOCC2)CCOCC1 ZINC000426000405 1072009607 /nfs/dbraw/zinc/00/96/07/1072009607.db2.gz CAHMRXAJRXEACI-UHFFFAOYSA-N 0 0 440.585 -0.246 20 0 IBADRN O=C(Nc1cc2c(cc1F)NC(=O)CC2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000426002337 1072009872 /nfs/dbraw/zinc/00/98/72/1072009872.db2.gz OTCCCOBARQEALN-UHFFFAOYSA-N 0 0 431.424 -0.402 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000426015700 1072011349 /nfs/dbraw/zinc/01/13/49/1072011349.db2.gz ICLSDHDZJIWONJ-UHFFFAOYSA-N 0 0 441.554 -0.298 20 0 IBADRN C[C@H]1C(=O)Nc2cc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2N1C ZINC000426045657 1072013687 /nfs/dbraw/zinc/01/36/87/1072013687.db2.gz CFNMSVIFCWLYEX-AWEZNQCLSA-N 0 0 429.477 -0.393 20 0 IBADRN C[C@@H]1C(=O)Nc2cc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2N1C ZINC000426045661 1072013609 /nfs/dbraw/zinc/01/36/09/1072013609.db2.gz CFNMSVIFCWLYEX-CQSZACIVSA-N 0 0 429.477 -0.393 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)N3CC[C@H](S(N)(=O)=O)C3)c2)CC1 ZINC000426061067 1072015016 /nfs/dbraw/zinc/01/50/16/1072015016.db2.gz JQPFMCKXVBTXAC-INIZCTEOSA-N 0 0 445.567 -0.093 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)N3CC[C@@H](S(N)(=O)=O)C3)c2)CC1 ZINC000426061068 1072014777 /nfs/dbraw/zinc/01/47/77/1072014777.db2.gz JQPFMCKXVBTXAC-MRXNPFEDSA-N 0 0 445.567 -0.093 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)NCCNS(=O)(=O)Cc3ccon3)CCN2C1=O ZINC000426067585 1072015522 /nfs/dbraw/zinc/01/55/22/1072015522.db2.gz SQLNVRFYYHXVKS-HNNXBMFYSA-N 0 0 428.515 -0.119 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)NCCNS(=O)(=O)Cc3ccon3)CCN2C1=O ZINC000426067586 1072015321 /nfs/dbraw/zinc/01/53/21/1072015321.db2.gz SQLNVRFYYHXVKS-OAHLLOKOSA-N 0 0 428.515 -0.119 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000426079797 1072016659 /nfs/dbraw/zinc/01/66/59/1072016659.db2.gz PUFGMQMEIYQUOS-AWEZNQCLSA-N 0 0 425.511 -0.023 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000426079798 1072016587 /nfs/dbraw/zinc/01/65/87/1072016587.db2.gz PUFGMQMEIYQUOS-CQSZACIVSA-N 0 0 425.511 -0.023 20 0 IBADRN COC(=O)[C@H]([C@@H](C)O)N(Cc1ccccc1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000426089837 1072018076 /nfs/dbraw/zinc/01/80/76/1072018076.db2.gz PAXRZDUIQJTARD-CJNGLKHVSA-N 0 0 443.460 -0.615 20 0 IBADRN COC(=O)[C@H]([C@@H](C)O)N(Cc1ccccc1)C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000426092431 1072018113 /nfs/dbraw/zinc/01/81/13/1072018113.db2.gz QVVNNKUDIFCTRS-QAPCUYQASA-N 0 0 427.523 -0.485 20 0 IBADRN COCc1n[nH]c([C@H]2CCCCN2C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)n1 ZINC000426096872 1072018828 /nfs/dbraw/zinc/01/88/28/1072018828.db2.gz AOBXNMAPFMGDPE-CYBMUJFWSA-N 0 0 430.531 -0.161 20 0 IBADRN COCc1n[nH]c([C@@H]2CCCCN2C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)n1 ZINC000426096873 1072018735 /nfs/dbraw/zinc/01/87/35/1072018735.db2.gz AOBXNMAPFMGDPE-ZDUSSCGKSA-N 0 0 430.531 -0.161 20 0 IBADRN Cn1cc(N2CCN([C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)CC2=O)cn1 ZINC000426099215 1072018219 /nfs/dbraw/zinc/01/82/19/1072018219.db2.gz AWNJJCKZPKCSBB-HNNXBMFYSA-N 0 0 432.462 -0.952 20 0 IBADRN Cn1cc(N2CCN([C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)CC2=O)cn1 ZINC000426099216 1072018196 /nfs/dbraw/zinc/01/81/96/1072018196.db2.gz AWNJJCKZPKCSBB-OAHLLOKOSA-N 0 0 432.462 -0.952 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)CC1 ZINC000426101485 1072019223 /nfs/dbraw/zinc/01/92/23/1072019223.db2.gz QNABCTOOKRLNKL-UHFFFAOYSA-N 0 0 426.514 -0.822 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CC[C@H](S(N)(=O)=O)C3)c2)CC1 ZINC000426105100 1072019621 /nfs/dbraw/zinc/01/96/21/1072019621.db2.gz HBZMRENAWHDRAN-HNNXBMFYSA-N 0 0 431.540 -0.483 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CC[C@@H](S(N)(=O)=O)C3)c2)CC1 ZINC000426105101 1072019856 /nfs/dbraw/zinc/01/98/56/1072019856.db2.gz HBZMRENAWHDRAN-OAHLLOKOSA-N 0 0 431.540 -0.483 20 0 IBADRN COC(=O)[C@H]([C@@H](C)O)N(Cc1ccccc1)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000426106146 1072018771 /nfs/dbraw/zinc/01/87/71/1072018771.db2.gz MSBSSISEOLYGCN-KDOFPFPSSA-N 0 0 442.472 -0.010 20 0 IBADRN COC(=O)[C@H]([C@@H](C)O)N(Cc1ccccc1)C(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000426108855 1072019945 /nfs/dbraw/zinc/01/99/45/1072019945.db2.gz JQWDIBROUQBDDM-ACJLOTCBSA-N 0 0 438.506 -0.042 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CC[C@@H](C(=O)NCC(F)(F)F)C2)c(=O)n(C)c1=O ZINC000426110455 1072019805 /nfs/dbraw/zinc/01/98/05/1072019805.db2.gz FLJCVRKILUZGGQ-LLVKDONJSA-N 0 0 433.431 -0.032 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CC[C@H](C(=O)NCC(F)(F)F)C2)c(=O)n(C)c1=O ZINC000426110460 1072020173 /nfs/dbraw/zinc/02/01/73/1072020173.db2.gz FLJCVRKILUZGGQ-NSHDSACASA-N 0 0 433.431 -0.032 20 0 IBADRN COC(=O)[C@H]([C@@H](C)O)N(Cc1ccccc1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000426113831 1072022042 /nfs/dbraw/zinc/02/20/42/1072022042.db2.gz LBURTUSVCBHOEV-CJNGLKHVSA-N 0 0 443.460 -0.615 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](C(=O)NCC(F)(F)F)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000426114368 1072022216 /nfs/dbraw/zinc/02/22/16/1072022216.db2.gz PSERQBLREPFGMY-CHWSQXEVSA-N 0 0 429.461 -0.351 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](C(=O)NCC(F)(F)F)C1)[C@H]1CCS(=O)(=O)C1 ZINC000426114374 1072022230 /nfs/dbraw/zinc/02/22/30/1072022230.db2.gz PSERQBLREPFGMY-OLZOCXBDSA-N 0 0 429.461 -0.351 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C(=O)NCC(F)(F)F)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000426114375 1072022154 /nfs/dbraw/zinc/02/21/54/1072022154.db2.gz PSERQBLREPFGMY-QWHCGFSZSA-N 0 0 429.461 -0.351 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C(=O)NCC(F)(F)F)C1)[C@H]1CCS(=O)(=O)C1 ZINC000426114376 1072022179 /nfs/dbraw/zinc/02/21/79/1072022179.db2.gz PSERQBLREPFGMY-STQMWFEESA-N 0 0 429.461 -0.351 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C(=O)[C@@H]3C)CC2)cc1 ZINC000426140931 1072026039 /nfs/dbraw/zinc/02/60/39/1072026039.db2.gz RBISGPOMVMFDAJ-HNNXBMFYSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C(=O)[C@H]3C)CC2)cc1 ZINC000426140932 1072026611 /nfs/dbraw/zinc/02/66/11/1072026611.db2.gz RBISGPOMVMFDAJ-OAHLLOKOSA-N 0 0 436.534 -0.115 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CC[C@](CO)(Cc3ccc(F)cc3)C2)c[nH]1 ZINC000426141664 1072027291 /nfs/dbraw/zinc/02/72/91/1072027291.db2.gz DWLGEOAEMPPBHC-IBGZPJMESA-N 0 0 438.481 -0.015 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CC[C@@](CO)(Cc3ccc(F)cc3)C2)c[nH]1 ZINC000426141666 1072027171 /nfs/dbraw/zinc/02/71/71/1072027171.db2.gz DWLGEOAEMPPBHC-LJQANCHMSA-N 0 0 438.481 -0.015 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000426143373 1071141497 /nfs/dbraw/zinc/14/14/97/1071141497.db2.gz MPFYHEPCCZQRRF-UHFFFAOYSA-N 0 0 443.526 -0.021 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C(=O)[C@@H]3C)CC2)c1 ZINC000426143485 1072027335 /nfs/dbraw/zinc/02/73/35/1072027335.db2.gz NGUYGKMUOHKWCR-HNNXBMFYSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C(=O)[C@H]3C)CC2)c1 ZINC000426143487 1073122034 /nfs/dbraw/zinc/12/20/34/1073122034.db2.gz NGUYGKMUOHKWCR-OAHLLOKOSA-N 0 0 436.534 -0.115 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000426146103 1072027235 /nfs/dbraw/zinc/02/72/35/1072027235.db2.gz QZKOBJCVMMLUGZ-CYBMUJFWSA-N 0 0 430.477 -0.040 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000426146104 1072027407 /nfs/dbraw/zinc/02/74/07/1072027407.db2.gz QZKOBJCVMMLUGZ-ZDUSSCGKSA-N 0 0 430.477 -0.040 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)C(=O)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000426147241 1072026748 /nfs/dbraw/zinc/02/67/48/1072026748.db2.gz AIGGZDMVHRXYKG-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)C(=O)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000426147242 1072026543 /nfs/dbraw/zinc/02/65/43/1072026543.db2.gz AIGGZDMVHRXYKG-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(CC(C)C)C(=O)C2)CC1 ZINC000426147831 1072027725 /nfs/dbraw/zinc/02/77/25/1072027725.db2.gz HYZUMGPRKHXULF-UHFFFAOYSA-N 0 0 441.554 -0.075 20 0 IBADRN CCCc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000426158367 1072029063 /nfs/dbraw/zinc/02/90/63/1072029063.db2.gz AXKIADZSQRVIIX-UHFFFAOYSA-N 0 0 431.540 -0.245 20 0 IBADRN CC(C)c1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000426164293 1072030802 /nfs/dbraw/zinc/03/08/02/1072030802.db2.gz YSLCFJMFYWUXQO-UHFFFAOYSA-N 0 0 431.540 -0.074 20 0 IBADRN CCCc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000426171451 1072030715 /nfs/dbraw/zinc/03/07/15/1072030715.db2.gz AWAGRVZWJRXISR-UHFFFAOYSA-N 0 0 444.583 -0.330 20 0 IBADRN CCc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000426172807 1072031253 /nfs/dbraw/zinc/03/12/53/1072031253.db2.gz CYBIXGVWGYSVDH-UHFFFAOYSA-N 0 0 430.556 -0.720 20 0 IBADRN CCc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)s1 ZINC000426173447 1072031285 /nfs/dbraw/zinc/03/12/85/1072031285.db2.gz HFKPWMSWFRJYEU-UHFFFAOYSA-N 0 0 441.491 -0.131 20 0 IBADRN CC(C)c1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000426175538 1072031744 /nfs/dbraw/zinc/03/17/44/1072031744.db2.gz UEMOQDWLYFPRPN-UHFFFAOYSA-N 0 0 444.583 -0.159 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1Br ZINC000426177390 1072032488 /nfs/dbraw/zinc/03/24/88/1072032488.db2.gz SJYJWJZUPOZUIV-UHFFFAOYSA-N 0 0 428.239 -0.163 20 0 IBADRN C[C@@H]1C(=O)Nc2cc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2N1C ZINC000426178464 1072032419 /nfs/dbraw/zinc/03/24/19/1072032419.db2.gz MRNUJCRJVHJAJJ-CYBMUJFWSA-N 0 0 427.461 -0.103 20 0 IBADRN C[C@H]1C(=O)Nc2cc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2N1C ZINC000426178465 1072032316 /nfs/dbraw/zinc/03/23/16/1072032316.db2.gz MRNUJCRJVHJAJJ-ZDUSSCGKSA-N 0 0 427.461 -0.103 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2CN2CCOCC2)s1 ZINC000426186024 1072032893 /nfs/dbraw/zinc/03/28/93/1072032893.db2.gz FXNXZYKZCUWQRZ-HNNXBMFYSA-N 0 0 444.579 -0.014 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000426187716 1072033495 /nfs/dbraw/zinc/03/34/95/1072033495.db2.gz CXGWYSVNLSVUOE-HNNXBMFYSA-N 0 0 449.533 -0.626 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000426187725 1072033917 /nfs/dbraw/zinc/03/39/17/1072033917.db2.gz CXGWYSVNLSVUOE-OAHLLOKOSA-N 0 0 449.533 -0.626 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1Br ZINC000426196839 1072035007 /nfs/dbraw/zinc/03/50/07/1072035007.db2.gz PGJIIRFNRGLVDP-UHFFFAOYSA-N 0 0 427.255 -0.278 20 0 IBADRN C[C@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@@H](C(N)=O)C1 ZINC000426205836 1072035948 /nfs/dbraw/zinc/03/59/48/1072035948.db2.gz BHLUWVMRMXRNCV-DOTOQJQBSA-N 0 0 438.550 -0.091 20 0 IBADRN C[C@@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@@H](C(N)=O)C1 ZINC000426205838 1072036072 /nfs/dbraw/zinc/03/60/72/1072036072.db2.gz BHLUWVMRMXRNCV-NVXWUHKLSA-N 0 0 438.550 -0.091 20 0 IBADRN C[C@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@H](C(N)=O)C1 ZINC000426205840 1072036160 /nfs/dbraw/zinc/03/61/60/1072036160.db2.gz BHLUWVMRMXRNCV-RDJZCZTQSA-N 0 0 438.550 -0.091 20 0 IBADRN C[C@@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@H](C(N)=O)C1 ZINC000426205842 1072035936 /nfs/dbraw/zinc/03/59/36/1072035936.db2.gz BHLUWVMRMXRNCV-WBVHZDCISA-N 0 0 438.550 -0.091 20 0 IBADRN C[C@@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C(N)=O)C1 ZINC000426213038 1072036606 /nfs/dbraw/zinc/03/66/06/1072036606.db2.gz AMBAHNFNGXRKJJ-CRAIPNDOSA-N 0 0 438.550 -0.091 20 0 IBADRN C[C@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C(N)=O)C1 ZINC000426213039 1072036642 /nfs/dbraw/zinc/03/66/42/1072036642.db2.gz AMBAHNFNGXRKJJ-MAUKXSAKSA-N 0 0 438.550 -0.091 20 0 IBADRN C[C@@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C(N)=O)C1 ZINC000426213040 1072036576 /nfs/dbraw/zinc/03/65/76/1072036576.db2.gz AMBAHNFNGXRKJJ-QAPCUYQASA-N 0 0 438.550 -0.091 20 0 IBADRN C[C@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C(N)=O)C1 ZINC000426213042 1072036626 /nfs/dbraw/zinc/03/66/26/1072036626.db2.gz AMBAHNFNGXRKJJ-YJBOKZPZSA-N 0 0 438.550 -0.091 20 0 IBADRN COc1ncc(-c2noc(-c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)n2)c(N)n1 ZINC000426215459 1072036465 /nfs/dbraw/zinc/03/64/65/1072036465.db2.gz JUAKIQHTEWMVNU-UHFFFAOYSA-N 0 0 431.434 -0.095 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@H](C)C[C@H]2C(N)=O)c(=O)[nH]c1=O ZINC000426216212 1072037025 /nfs/dbraw/zinc/03/70/25/1072037025.db2.gz UIAGCZWZDXONAO-KBPBESRZSA-N 0 0 438.529 -0.104 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@@H](C)C[C@H]2C(N)=O)c(=O)[nH]c1=O ZINC000426216213 1072037061 /nfs/dbraw/zinc/03/70/61/1072037061.db2.gz UIAGCZWZDXONAO-KGLIPLIRSA-N 0 0 438.529 -0.104 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@H](C)C[C@@H]2C(N)=O)c(=O)[nH]c1=O ZINC000426216214 1072037209 /nfs/dbraw/zinc/03/72/09/1072037209.db2.gz UIAGCZWZDXONAO-UONOGXRCSA-N 0 0 438.529 -0.104 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@@H](C)C[C@@H]2C(N)=O)c(=O)[nH]c1=O ZINC000426216215 1072037180 /nfs/dbraw/zinc/03/71/80/1072037180.db2.gz UIAGCZWZDXONAO-ZIAGYGMSSA-N 0 0 438.529 -0.104 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1Br ZINC000426219353 1072037157 /nfs/dbraw/zinc/03/71/57/1072037157.db2.gz XHHULPQABBBJRP-JTQLQIEISA-N 0 0 439.222 -0.063 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1Br ZINC000426219354 1072037233 /nfs/dbraw/zinc/03/72/33/1072037233.db2.gz XHHULPQABBBJRP-SNVBAGLBSA-N 0 0 439.222 -0.063 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C)C[C@H]1C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000426224004 1072038175 /nfs/dbraw/zinc/03/81/75/1072038175.db2.gz VQRIPUFKCVQZAZ-KBPBESRZSA-N 0 0 438.529 -0.248 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](C)C[C@H]1C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000426224005 1072038334 /nfs/dbraw/zinc/03/83/34/1072038334.db2.gz VQRIPUFKCVQZAZ-KGLIPLIRSA-N 0 0 438.529 -0.248 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C)C[C@@H]1C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000426224006 1072038321 /nfs/dbraw/zinc/03/83/21/1072038321.db2.gz VQRIPUFKCVQZAZ-UONOGXRCSA-N 0 0 438.529 -0.248 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](C)C[C@@H]1C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000426224008 1072038452 /nfs/dbraw/zinc/03/84/52/1072038452.db2.gz VQRIPUFKCVQZAZ-ZIAGYGMSSA-N 0 0 438.529 -0.248 20 0 IBADRN CCCCc1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000426226239 1072038720 /nfs/dbraw/zinc/03/87/20/1072038720.db2.gz GWGQDWBUAOEDIA-UHFFFAOYSA-N 0 0 448.505 0.450 20 0 IBADRN CC(C)Cc1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000426231313 1072039945 /nfs/dbraw/zinc/03/99/45/1072039945.db2.gz IHFOGCWYEMWDDD-UHFFFAOYSA-N 0 0 448.505 0.306 20 0 IBADRN Nc1nsc(N2CCN(C(=O)NCCCN3CCN(c4ncccn4)CC3)CC2)n1 ZINC000426235115 1072042064 /nfs/dbraw/zinc/04/20/64/1072042064.db2.gz BNJATKYOIWUFLN-UHFFFAOYSA-N 0 0 432.558 -0.046 20 0 IBADRN Nc1nsc(N2CCN(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)CC2)n1 ZINC000426239349 1072041450 /nfs/dbraw/zinc/04/14/50/1072041450.db2.gz QXONVYHGGAXXSE-UHFFFAOYSA-N 0 0 444.521 -0.276 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000426242386 1072042541 /nfs/dbraw/zinc/04/25/41/1072042541.db2.gz XDGHNEXNPNDSOY-UHFFFAOYSA-N 0 0 430.509 -0.650 20 0 IBADRN C[C@@H]1C[C@H]1c1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000426259820 1072045856 /nfs/dbraw/zinc/04/58/56/1072045856.db2.gz BBMYWMRONUEARC-BXUZGUMPSA-N 0 0 446.489 0.231 20 0 IBADRN C[C@H]1C[C@@H]1c1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000426259821 1072046086 /nfs/dbraw/zinc/04/60/86/1072046086.db2.gz BBMYWMRONUEARC-FZMZJTMJSA-N 0 0 446.489 0.231 20 0 IBADRN C[C@@H]1C[C@@H]1c1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000426259822 1072045873 /nfs/dbraw/zinc/04/58/73/1072045873.db2.gz BBMYWMRONUEARC-RISCZKNCSA-N 0 0 446.489 0.231 20 0 IBADRN C[C@H]1C[C@H]1c1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000426259823 1072045969 /nfs/dbraw/zinc/04/59/69/1072045969.db2.gz BBMYWMRONUEARC-SMDDNHRTSA-N 0 0 446.489 0.231 20 0 IBADRN Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(=O)n1C ZINC000426266934 1072048103 /nfs/dbraw/zinc/04/81/03/1072048103.db2.gz MTYCSGJGYHNGOJ-UHFFFAOYSA-N 0 0 426.543 -0.987 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@@H](C)C[C@H]2C(N)=O)CC1 ZINC000426267435 1072048155 /nfs/dbraw/zinc/04/81/55/1072048155.db2.gz OXRPNFXLBDOZMH-DOMZBBRYSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@@H](C)C[C@@H]2C(N)=O)CC1 ZINC000426267437 1072048037 /nfs/dbraw/zinc/04/80/37/1072048037.db2.gz OXRPNFXLBDOZMH-IUODEOHRSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@H](C)C[C@@H]2C(N)=O)CC1 ZINC000426267438 1072048199 /nfs/dbraw/zinc/04/81/99/1072048199.db2.gz OXRPNFXLBDOZMH-SWLSCSKDSA-N 0 0 427.527 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@H](C)C[C@H]2C(N)=O)CC1 ZINC000426267441 1072047977 /nfs/dbraw/zinc/04/79/77/1072047977.db2.gz OXRPNFXLBDOZMH-WFASDCNBSA-N 0 0 427.527 -0.290 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000426276093 1072051253 /nfs/dbraw/zinc/05/12/53/1072051253.db2.gz HKYTTYSYNZOSNJ-UHFFFAOYSA-N 0 0 434.478 0.231 20 0 IBADRN Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c(=O)n1C ZINC000426277408 1072051822 /nfs/dbraw/zinc/05/18/22/1072051822.db2.gz KGMMLGSCXVNETF-HOTGVXAUSA-N 0 0 441.554 -0.125 20 0 IBADRN Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c(=O)n1C ZINC000426277409 1072051694 /nfs/dbraw/zinc/05/16/94/1072051694.db2.gz KGMMLGSCXVNETF-HZPDHXFCSA-N 0 0 441.554 -0.125 20 0 IBADRN Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c(=O)n1C ZINC000426277410 1072051872 /nfs/dbraw/zinc/05/18/72/1072051872.db2.gz KGMMLGSCXVNETF-IYBDPMFKSA-N 0 0 441.554 -0.125 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cnn1CCC(C)C ZINC000426277957 1072050583 /nfs/dbraw/zinc/05/05/83/1072050583.db2.gz OGIHZXBOBPOTSY-UHFFFAOYSA-N 0 0 431.501 -0.212 20 0 IBADRN Nc1nsc(N2CCN(C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)CC2)n1 ZINC000426282093 1072052809 /nfs/dbraw/zinc/05/28/09/1072052809.db2.gz GFLOCHJYLCPTAE-UHFFFAOYSA-N 0 0 445.553 0.086 20 0 IBADRN COC[C@]1(CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)OCCc2ccccc21 ZINC000426286558 1072056370 /nfs/dbraw/zinc/05/63/70/1072056370.db2.gz PPCTYJAWNCIRHV-IBGZPJMESA-N 0 0 436.490 -0.377 20 0 IBADRN COC[C@@]1(CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)OCCc2ccccc21 ZINC000426286559 1072056517 /nfs/dbraw/zinc/05/65/17/1072056517.db2.gz PPCTYJAWNCIRHV-LJQANCHMSA-N 0 0 436.490 -0.377 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000426286596 1073126131 /nfs/dbraw/zinc/12/61/31/1073126131.db2.gz PYJZHJMDDJERON-UHFFFAOYSA-N 0 0 431.541 -0.289 20 0 IBADRN COC[C@]1(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)OCCc2ccccc21 ZINC000426287174 1072057537 /nfs/dbraw/zinc/05/75/37/1072057537.db2.gz WNFZBMRQZRULJE-IBGZPJMESA-N 0 0 437.474 -0.112 20 0 IBADRN COC[C@@]1(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)OCCc2ccccc21 ZINC000426287175 1072057632 /nfs/dbraw/zinc/05/76/32/1072057632.db2.gz WNFZBMRQZRULJE-LJQANCHMSA-N 0 0 437.474 -0.112 20 0 IBADRN NC(=O)c1ncccc1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000426291408 1072058491 /nfs/dbraw/zinc/05/84/91/1072058491.db2.gz LLABFLQCSHILTH-UHFFFAOYSA-N 0 0 445.457 -0.342 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CCN1c1nccn2cnnc12 ZINC000426293467 1072059109 /nfs/dbraw/zinc/05/91/09/1072059109.db2.gz HPGFICGBHMXIAT-GFCCVEGCSA-N 0 0 444.477 -0.553 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CCN1c1nccn2cnnc12 ZINC000426293473 1072059581 /nfs/dbraw/zinc/05/95/81/1072059581.db2.gz HPGFICGBHMXIAT-LBPRGKRZSA-N 0 0 444.477 -0.553 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)cc1 ZINC000426293819 1072059668 /nfs/dbraw/zinc/05/96/68/1072059668.db2.gz IDLXKTCOASHKLQ-UHFFFAOYSA-N 0 0 432.505 -0.571 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)s1 ZINC000426295204 1072060568 /nfs/dbraw/zinc/06/05/68/1072060568.db2.gz MRJMOBGGUIRPGS-UHFFFAOYSA-N 0 0 446.532 -0.263 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cnn1CCC(C)C ZINC000426301126 1072061726 /nfs/dbraw/zinc/06/17/26/1072061726.db2.gz LQLHZPLMQZGPAO-UHFFFAOYSA-N 0 0 428.559 -0.137 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000426303943 1072062935 /nfs/dbraw/zinc/06/29/35/1072062935.db2.gz PNJWMNGRWSAVIM-AWEZNQCLSA-N 0 0 437.522 -0.572 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000426303946 1072062900 /nfs/dbraw/zinc/06/29/00/1072062900.db2.gz PNJWMNGRWSAVIM-CQSZACIVSA-N 0 0 437.522 -0.572 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1cn(Cc2noc(C)n2)nn1)C(=O)NCCN1CCOCC1 ZINC000426311605 1072063373 /nfs/dbraw/zinc/06/33/73/1072063373.db2.gz QOVOJESROSLQGQ-CXAGYDPISA-N 0 0 434.501 -0.389 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1cn(Cc2noc(C)n2)nn1)C(=O)NCCN1CCOCC1 ZINC000426311606 1072063395 /nfs/dbraw/zinc/06/33/95/1072063395.db2.gz QOVOJESROSLQGQ-DYVFJYSZSA-N 0 0 434.501 -0.389 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1cn(Cc2noc(C)n2)nn1)C(=O)NCCN1CCOCC1 ZINC000426311607 1072063441 /nfs/dbraw/zinc/06/34/41/1072063441.db2.gz QOVOJESROSLQGQ-GUYCJALGSA-N 0 0 434.501 -0.389 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1cn(Cc2noc(C)n2)nn1)C(=O)NCCN1CCOCC1 ZINC000426311608 1072063428 /nfs/dbraw/zinc/06/34/28/1072063428.db2.gz QOVOJESROSLQGQ-SUMWQHHRSA-N 0 0 434.501 -0.389 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1nnn(Cc2noc(C)n2)c1C)C(=O)NCCN1CCOCC1 ZINC000426313190 1072064157 /nfs/dbraw/zinc/06/41/57/1072064157.db2.gz VNPHUQKVGSEGDH-CXAGYDPISA-N 0 0 448.528 -0.081 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1nnn(Cc2noc(C)n2)c1C)C(=O)NCCN1CCOCC1 ZINC000426313191 1072063925 /nfs/dbraw/zinc/06/39/25/1072063925.db2.gz VNPHUQKVGSEGDH-DYVFJYSZSA-N 0 0 448.528 -0.081 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1nnn(Cc2noc(C)n2)c1C)C(=O)NCCN1CCOCC1 ZINC000426313192 1072063996 /nfs/dbraw/zinc/06/39/96/1072063996.db2.gz VNPHUQKVGSEGDH-GUYCJALGSA-N 0 0 448.528 -0.081 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1nnn(Cc2noc(C)n2)c1C)C(=O)NCCN1CCOCC1 ZINC000426313193 1072064418 /nfs/dbraw/zinc/06/44/18/1072064418.db2.gz VNPHUQKVGSEGDH-SUMWQHHRSA-N 0 0 448.528 -0.081 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000426313674 1072064474 /nfs/dbraw/zinc/06/44/74/1072064474.db2.gz NNDKTDANHJSGIB-UHFFFAOYSA-N 0 0 432.529 -0.894 20 0 IBADRN Nc1nsc(N2CCN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)CC2)n1 ZINC000426315195 1072064647 /nfs/dbraw/zinc/06/46/47/1072064647.db2.gz UFQFWZDRGRRCRJ-UHFFFAOYSA-N 0 0 446.541 -0.519 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1)S(=O)(=O)c1cccs1 ZINC000426324284 1072065624 /nfs/dbraw/zinc/06/56/24/1072065624.db2.gz FBRIREYOZHVUJF-UHFFFAOYSA-N 0 0 446.532 -0.229 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1Br ZINC000426324886 1072065285 /nfs/dbraw/zinc/06/52/85/1072065285.db2.gz GUDAGVQDHMEDEQ-UHFFFAOYSA-N 0 0 427.211 -0.158 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)Nc1cc(C)[nH]n1 ZINC000426328753 1072066957 /nfs/dbraw/zinc/06/69/57/1072066957.db2.gz DEAPGUXUSVUJEY-UHFFFAOYSA-N 0 0 436.494 0.240 20 0 IBADRN O=C(Nc1cc(C2CC2)[nH]n1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000426342450 1072070249 /nfs/dbraw/zinc/07/02/49/1072070249.db2.gz LHQJCFVPYMROBL-UHFFFAOYSA-N 0 0 432.462 -0.015 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000426345059 1072069594 /nfs/dbraw/zinc/06/95/94/1072069594.db2.gz CUZBCIYHFJSTMF-AOMKIAJQSA-N 0 0 449.552 -0.074 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000426345062 1072069577 /nfs/dbraw/zinc/06/95/77/1072069577.db2.gz CUZBCIYHFJSTMF-KSFYIVLOSA-N 0 0 449.552 -0.074 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000426345064 1072069547 /nfs/dbraw/zinc/06/95/47/1072069547.db2.gz CUZBCIYHFJSTMF-OPAMFIHVSA-N 0 0 449.552 -0.074 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000426345066 1072069615 /nfs/dbraw/zinc/06/96/15/1072069615.db2.gz CUZBCIYHFJSTMF-ZHRRBRCNSA-N 0 0 449.552 -0.074 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C2=O ZINC000426347202 1072070664 /nfs/dbraw/zinc/07/06/64/1072070664.db2.gz KKODJMBAJGDBFK-NRFANRHFSA-N 0 0 446.508 0.571 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C2=O ZINC000426347203 1073325657 /nfs/dbraw/zinc/32/56/57/1073325657.db2.gz KKODJMBAJGDBFK-OAQYLSRUSA-N 0 0 446.508 0.571 20 0 IBADRN C[C@@]1(c2cccc(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)c2)NC(=O)NC1=O ZINC000426348381 1072071363 /nfs/dbraw/zinc/07/13/63/1072071363.db2.gz QQUNGLTVMXVHPR-FQEVSTJZSA-N 0 0 426.433 0.049 20 0 IBADRN C[C@]1(c2cccc(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)c2)NC(=O)NC1=O ZINC000426348382 1072071315 /nfs/dbraw/zinc/07/13/15/1072071315.db2.gz QQUNGLTVMXVHPR-HXUWFJFHSA-N 0 0 426.433 0.049 20 0 IBADRN Nc1nsc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)n1 ZINC000426353749 1072071829 /nfs/dbraw/zinc/07/18/29/1072071829.db2.gz WHXMHIIEVNSKLC-GFCCVEGCSA-N 0 0 433.560 -0.560 20 0 IBADRN Nc1nsc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)n1 ZINC000426353750 1072071731 /nfs/dbraw/zinc/07/17/31/1072071731.db2.gz WHXMHIIEVNSKLC-LBPRGKRZSA-N 0 0 433.560 -0.560 20 0 IBADRN C[C@]1(c2cccc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC000426363414 1072074481 /nfs/dbraw/zinc/07/44/81/1072074481.db2.gz VUNMXLJTKCVLAG-HRAATJIYSA-N 0 0 429.477 -0.596 20 0 IBADRN C[C@@]1(c2cccc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC000426363417 1072074378 /nfs/dbraw/zinc/07/43/78/1072074378.db2.gz VUNMXLJTKCVLAG-IERDGZPVSA-N 0 0 429.477 -0.596 20 0 IBADRN C[C@]1(c2cccc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC000426363418 1072074494 /nfs/dbraw/zinc/07/44/94/1072074494.db2.gz VUNMXLJTKCVLAG-IIBYNOLFSA-N 0 0 429.477 -0.596 20 0 IBADRN C[C@@]1(c2cccc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC000426363421 1072074527 /nfs/dbraw/zinc/07/45/27/1072074527.db2.gz VUNMXLJTKCVLAG-KKSFZXQISA-N 0 0 429.477 -0.596 20 0 IBADRN O=C(CN1CCN(C(=O)NC2CCCCC2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000426374017 1072077435 /nfs/dbraw/zinc/07/74/35/1072077435.db2.gz VMGAGLZPNRMKGF-HNNXBMFYSA-N 0 0 429.543 -0.341 20 0 IBADRN O=C(CN1CCN(C(=O)NC2CCCCC2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000426374019 1072077533 /nfs/dbraw/zinc/07/75/33/1072077533.db2.gz VMGAGLZPNRMKGF-OAHLLOKOSA-N 0 0 429.543 -0.341 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000426374767 1072077332 /nfs/dbraw/zinc/07/73/32/1072077332.db2.gz YKTOINVTJUVMAI-CYBMUJFWSA-N 0 0 429.568 -0.164 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000426374768 1072077444 /nfs/dbraw/zinc/07/74/44/1072077444.db2.gz YKTOINVTJUVMAI-ZDUSSCGKSA-N 0 0 429.568 -0.164 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000426374957 1072078009 /nfs/dbraw/zinc/07/80/09/1072078009.db2.gz ZBDWKZMPWYNVDR-IVZQSRNASA-N 0 0 443.504 -0.254 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000426374958 1072077803 /nfs/dbraw/zinc/07/78/03/1072077803.db2.gz ZBDWKZMPWYNVDR-NYHFZMIOSA-N 0 0 443.504 -0.254 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000426374959 1072077776 /nfs/dbraw/zinc/07/77/76/1072077776.db2.gz ZBDWKZMPWYNVDR-OYHNWAKOSA-N 0 0 443.504 -0.254 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000426374960 1072077891 /nfs/dbraw/zinc/07/78/91/1072077891.db2.gz ZBDWKZMPWYNVDR-QRQCRPRQSA-N 0 0 443.504 -0.254 20 0 IBADRN O=C(CN1CCN(c2ncnc3sccc32)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000426385012 1072080140 /nfs/dbraw/zinc/08/01/40/1072080140.db2.gz JYZQSJDTBSPUJM-GFCCVEGCSA-N 0 0 438.535 -0.174 20 0 IBADRN O=C(CN1CCN(c2ncnc3sccc32)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000426385013 1072080105 /nfs/dbraw/zinc/08/01/05/1072080105.db2.gz JYZQSJDTBSPUJM-LBPRGKRZSA-N 0 0 438.535 -0.174 20 0 IBADRN Cc1cc(NC(=O)COn2nnc3ccc(S(=O)(=O)N4CCOCC4)cc32)n(C)n1 ZINC000426386002 1072082511 /nfs/dbraw/zinc/08/25/11/1072082511.db2.gz UCJQVEDWZDCUII-UHFFFAOYSA-N 0 0 435.466 -0.439 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000426406158 1072084387 /nfs/dbraw/zinc/08/43/87/1072084387.db2.gz UKHXNSYVDBNPCG-UHFFFAOYSA-N 0 0 431.541 -0.126 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)no1 ZINC000426424130 1072085990 /nfs/dbraw/zinc/08/59/90/1072085990.db2.gz FPQRPPZWVGHDFL-INIZCTEOSA-N 0 0 440.570 -0.919 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)no1 ZINC000426424131 1072086092 /nfs/dbraw/zinc/08/60/92/1072086092.db2.gz FPQRPPZWVGHDFL-MRXNPFEDSA-N 0 0 440.570 -0.919 20 0 IBADRN Cc1nc2n(n1)CCN(CC(=O)N1CCN(C(=O)[C@H]3COc4ccccc4O3)CC1)C2 ZINC000426426301 1072086448 /nfs/dbraw/zinc/08/64/48/1072086448.db2.gz GSVIZXWXNYCCMQ-GOSISDBHSA-N 0 0 426.477 -0.087 20 0 IBADRN Cc1nc2n(n1)CCN(CC(=O)N1CCN(C(=O)[C@@H]3COc4ccccc4O3)CC1)C2 ZINC000426426304 1072086418 /nfs/dbraw/zinc/08/64/18/1072086418.db2.gz GSVIZXWXNYCCMQ-SFHVURJKSA-N 0 0 426.477 -0.087 20 0 IBADRN Cc1nc2n(n1)CCN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)C2 ZINC000426426956 1072087248 /nfs/dbraw/zinc/08/72/48/1072087248.db2.gz LFCYWYOWUKRCCJ-UHFFFAOYSA-N 0 0 434.522 -0.261 20 0 IBADRN CCc1nsc(N2CCCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000426429145 1072087545 /nfs/dbraw/zinc/08/75/45/1072087545.db2.gz VSPLHYXFWJFACS-GFCCVEGCSA-N 0 0 430.556 -0.375 20 0 IBADRN CCc1nsc(N2CCCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000426429146 1072087741 /nfs/dbraw/zinc/08/77/41/1072087741.db2.gz VSPLHYXFWJFACS-LBPRGKRZSA-N 0 0 430.556 -0.375 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCn4nc(C)nc4C3)CC2)cc1 ZINC000426430613 1072087750 /nfs/dbraw/zinc/08/77/50/1072087750.db2.gz BDJWGHMQBZKPRQ-UHFFFAOYSA-N 0 0 434.522 -0.056 20 0 IBADRN Cn1cc(C(=O)NC[C@H](NC(=O)c2cn(C)c(=O)[nH]c2=O)c2ccccc2)c(=O)[nH]c1=O ZINC000426435717 1072087704 /nfs/dbraw/zinc/08/77/04/1072087704.db2.gz MTLSGMZRBNQCJY-AWEZNQCLSA-N 0 0 440.416 -0.814 20 0 IBADRN Cn1cc(C(=O)NC[C@@H](NC(=O)c2cn(C)c(=O)[nH]c2=O)c2ccccc2)c(=O)[nH]c1=O ZINC000426435720 1072087691 /nfs/dbraw/zinc/08/76/91/1072087691.db2.gz MTLSGMZRBNQCJY-CQSZACIVSA-N 0 0 440.416 -0.814 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)N(CCOC)[C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000426438458 1072088842 /nfs/dbraw/zinc/08/88/42/1072088842.db2.gz UBBGNMUGNFELHK-HNNXBMFYSA-N 0 0 429.543 -0.588 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)N(CCOC)[C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000426438461 1072088955 /nfs/dbraw/zinc/08/89/55/1072088955.db2.gz UBBGNMUGNFELHK-OAHLLOKOSA-N 0 0 429.543 -0.588 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(C)(C)C)CC1 ZINC000426443209 1072089430 /nfs/dbraw/zinc/08/94/30/1072089430.db2.gz CIPYZKCNRGYMAO-UHFFFAOYSA-N 0 0 443.526 0.244 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCn4c(CO)nnc4C3)CC2)cc1 ZINC000426447123 1072090344 /nfs/dbraw/zinc/09/03/44/1072090344.db2.gz GURSTBJREOMPBU-INIZCTEOSA-N 0 0 448.549 -0.184 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCn4c(CO)nnc4C3)CC2)cc1 ZINC000426447124 1072090230 /nfs/dbraw/zinc/09/02/30/1072090230.db2.gz GURSTBJREOMPBU-MRXNPFEDSA-N 0 0 448.549 -0.184 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000426448410 1072090293 /nfs/dbraw/zinc/09/02/93/1072090293.db2.gz BMWIQWHDJVSUAB-CYBMUJFWSA-N 0 0 444.535 -0.640 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CC2 ZINC000426448416 1072090377 /nfs/dbraw/zinc/09/03/77/1072090377.db2.gz BMWIQWHDJVSUAB-ZDUSSCGKSA-N 0 0 444.535 -0.640 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCN1CCO ZINC000426457312 1072090794 /nfs/dbraw/zinc/09/07/94/1072090794.db2.gz GDUZDXBPROMEJB-INIZCTEOSA-N 0 0 428.530 -0.343 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCN1CCO ZINC000426457313 1072090538 /nfs/dbraw/zinc/09/05/38/1072090538.db2.gz GDUZDXBPROMEJB-MRXNPFEDSA-N 0 0 428.530 -0.343 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCn3c(CO)nnc3C2)CC1)Nc1ccc(F)cc1 ZINC000426458855 1072090665 /nfs/dbraw/zinc/09/06/65/1072090665.db2.gz IWHYYZFJTKSNQS-UHFFFAOYSA-N 0 0 431.472 -0.492 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CC2)no1 ZINC000426461343 1072091112 /nfs/dbraw/zinc/09/11/12/1072091112.db2.gz OTSRWZHBWFIGQC-UHFFFAOYSA-N 0 0 430.575 -0.074 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CCO)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000426461777 1072090828 /nfs/dbraw/zinc/09/08/28/1072090828.db2.gz UEVTXVSLKGJELT-KRWDZBQOSA-N 0 0 440.566 -0.047 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CCO)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000426461778 1072090863 /nfs/dbraw/zinc/09/08/63/1072090863.db2.gz UEVTXVSLKGJELT-QGZVFWFLSA-N 0 0 440.566 -0.047 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCN1CCO ZINC000426462520 1072091296 /nfs/dbraw/zinc/09/12/96/1072091296.db2.gz VJELSARVWIALSO-FXAWDEMLSA-N 0 0 432.521 -0.504 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCN1CCO ZINC000426462521 1072091195 /nfs/dbraw/zinc/09/11/95/1072091195.db2.gz VJELSARVWIALSO-PXNSSMCTSA-N 0 0 432.521 -0.504 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCN1CCO ZINC000426462522 1072091359 /nfs/dbraw/zinc/09/13/59/1072091359.db2.gz VJELSARVWIALSO-XLIONFOSSA-N 0 0 432.521 -0.504 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCN1CCO ZINC000426462523 1072091257 /nfs/dbraw/zinc/09/12/57/1072091257.db2.gz VJELSARVWIALSO-YLJYHZDGSA-N 0 0 432.521 -0.504 20 0 IBADRN O=C(CN1CCn2c(CO)nnc2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000426465003 1072091428 /nfs/dbraw/zinc/09/14/28/1072091428.db2.gz ZTYZAEYKJGZEOU-KRWDZBQOSA-N 0 0 442.476 -0.903 20 0 IBADRN O=C(CN1CCn2c(CO)nnc2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000426465004 1072091147 /nfs/dbraw/zinc/09/11/47/1072091147.db2.gz ZTYZAEYKJGZEOU-QGZVFWFLSA-N 0 0 442.476 -0.903 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000426467331 1072091440 /nfs/dbraw/zinc/09/14/40/1072091440.db2.gz GPSUCFIMGXXQIT-KRWDZBQOSA-N 0 0 440.566 -0.678 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000426467333 1072091073 /nfs/dbraw/zinc/09/10/73/1072091073.db2.gz GPSUCFIMGXXQIT-QGZVFWFLSA-N 0 0 440.566 -0.678 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCN1CCO ZINC000426469660 1072092100 /nfs/dbraw/zinc/09/21/00/1072092100.db2.gz QVLZIUWFIFTMSS-KRWDZBQOSA-N 0 0 440.566 -0.678 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCN1CCO ZINC000426469661 1072092226 /nfs/dbraw/zinc/09/22/26/1072092226.db2.gz QVLZIUWFIFTMSS-QGZVFWFLSA-N 0 0 440.566 -0.678 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000426469917 1072092323 /nfs/dbraw/zinc/09/23/23/1072092323.db2.gz JJKPCSLYMFHHKA-AWEZNQCLSA-N 0 0 446.551 -0.782 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000426469918 1072091720 /nfs/dbraw/zinc/09/17/20/1072091720.db2.gz JJKPCSLYMFHHKA-CQSZACIVSA-N 0 0 446.551 -0.782 20 0 IBADRN O=C(CN1CCN(C(=O)c2csc(NC3CC3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000426472949 1072092720 /nfs/dbraw/zinc/09/27/20/1072092720.db2.gz DJDRIFOCFBUTBL-CYBMUJFWSA-N 0 0 427.552 -0.222 20 0 IBADRN O=C(CN1CCN(C(=O)c2csc(NC3CC3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000426472950 1072092681 /nfs/dbraw/zinc/09/26/81/1072092681.db2.gz DJDRIFOCFBUTBL-ZDUSSCGKSA-N 0 0 427.552 -0.222 20 0 IBADRN COC(=O)c1[nH]c(C)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000426474220 1072092628 /nfs/dbraw/zinc/09/26/28/1072092628.db2.gz ZXMLSNWOZVKLAC-UHFFFAOYSA-N 0 0 427.527 -0.332 20 0 IBADRN CCc1c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nnn1Cc1ccc(F)cc1 ZINC000426474279 1072092706 /nfs/dbraw/zinc/09/27/06/1072092706.db2.gz SXTHFNBXMNZNNO-UHFFFAOYSA-N 0 0 427.444 -0.001 20 0 IBADRN O=C(CN(CC(=O)N1CCCC1)C[C@@H]1CCCO1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000426474769 1072092545 /nfs/dbraw/zinc/09/25/45/1072092545.db2.gz VJWCOUYGAOOZNC-CABCVRRESA-N 0 0 430.527 -0.897 20 0 IBADRN O=C(CN(CC(=O)N1CCCC1)C[C@@H]1CCCO1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000426474770 1072092743 /nfs/dbraw/zinc/09/27/43/1072092743.db2.gz VJWCOUYGAOOZNC-GJZGRUSLSA-N 0 0 430.527 -0.897 20 0 IBADRN O=C(CN(CC(=O)N1CCCC1)C[C@H]1CCCO1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000426474771 1072092572 /nfs/dbraw/zinc/09/25/72/1072092572.db2.gz VJWCOUYGAOOZNC-HUUCEWRRSA-N 0 0 430.527 -0.897 20 0 IBADRN O=C(CN(CC(=O)N1CCCC1)C[C@H]1CCCO1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000426474772 1072092669 /nfs/dbraw/zinc/09/26/69/1072092669.db2.gz VJWCOUYGAOOZNC-LSDHHAIUSA-N 0 0 430.527 -0.897 20 0 IBADRN CC1(C)c2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)ccc2NC1=O ZINC000426476463 1072093046 /nfs/dbraw/zinc/09/30/46/1072093046.db2.gz TVXCJOUFLCPOQW-HNNXBMFYSA-N 0 0 448.545 -0.023 20 0 IBADRN CC1(C)c2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)ccc2NC1=O ZINC000426476464 1072093032 /nfs/dbraw/zinc/09/30/32/1072093032.db2.gz TVXCJOUFLCPOQW-OAHLLOKOSA-N 0 0 448.545 -0.023 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000426476849 1072092654 /nfs/dbraw/zinc/09/26/54/1072092654.db2.gz XFCAOBRZDCBMCP-CVEARBPZSA-N 0 0 429.543 -0.520 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000426476850 1072092696 /nfs/dbraw/zinc/09/26/96/1072092696.db2.gz XFCAOBRZDCBMCP-HOTGVXAUSA-N 0 0 429.543 -0.520 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000426476851 1072093006 /nfs/dbraw/zinc/09/30/06/1072093006.db2.gz XFCAOBRZDCBMCP-HZPDHXFCSA-N 0 0 429.543 -0.520 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000426476852 1072092970 /nfs/dbraw/zinc/09/29/70/1072092970.db2.gz XFCAOBRZDCBMCP-JKSUJKDBSA-N 0 0 429.543 -0.520 20 0 IBADRN CCN(CCNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)CCC(F)(F)F ZINC000426478556 1072093877 /nfs/dbraw/zinc/09/38/77/1072093877.db2.gz DDOMNYUATBMVSN-UHFFFAOYSA-N 0 0 444.414 -0.039 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(CCO)[C@@H](C)C3)CC2)cc1 ZINC000426483352 1072095654 /nfs/dbraw/zinc/09/56/54/1072095654.db2.gz CNVVWMNYTIGCHH-KRWDZBQOSA-N 0 0 440.566 -0.474 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(CCO)[C@H](C)C3)CC2)cc1 ZINC000426483355 1072095925 /nfs/dbraw/zinc/09/59/25/1072095925.db2.gz CNVVWMNYTIGCHH-QGZVFWFLSA-N 0 0 440.566 -0.474 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000426483372 1072095641 /nfs/dbraw/zinc/09/56/41/1072095641.db2.gz COSQNOCCLAVGJJ-UHFFFAOYSA-N 0 0 441.554 -0.610 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000426484546 1072095901 /nfs/dbraw/zinc/09/59/01/1072095901.db2.gz UFPISMCDSLLTOP-UHFFFAOYSA-N 0 0 441.554 -0.298 20 0 IBADRN C[C@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000426485004 1072094908 /nfs/dbraw/zinc/09/49/08/1072094908.db2.gz HUGIYVHAGMBERO-INIZCTEOSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000426485005 1072094697 /nfs/dbraw/zinc/09/46/97/1072094697.db2.gz HUGIYVHAGMBERO-MRXNPFEDSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1CCO ZINC000426485157 1072094757 /nfs/dbraw/zinc/09/47/57/1072094757.db2.gz MZDUVSKBNFTLBG-INIZCTEOSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1CCO ZINC000426485159 1072094872 /nfs/dbraw/zinc/09/48/72/1072094872.db2.gz MZDUVSKBNFTLBG-MRXNPFEDSA-N 0 0 426.539 -0.356 20 0 IBADRN CCN(C(=O)CN1CCN(CCO)[C@@H](C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000426485686 1072094999 /nfs/dbraw/zinc/09/49/99/1072094999.db2.gz OQROHCDVKYUZNU-INIZCTEOSA-N 0 0 444.536 -0.069 20 0 IBADRN CCN(C(=O)CN1CCN(CCO)[C@H](C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000426485687 1072094963 /nfs/dbraw/zinc/09/49/63/1072094963.db2.gz OQROHCDVKYUZNU-MRXNPFEDSA-N 0 0 444.536 -0.069 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(CCO)[C@@H](C)C2)c(N)n(Cc2ccccc2)c1=O ZINC000426488921 1072095685 /nfs/dbraw/zinc/09/56/85/1072095685.db2.gz YERZBBIWFFVXIQ-INIZCTEOSA-N 0 0 429.521 -0.159 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(CCO)[C@H](C)C2)c(N)n(Cc2ccccc2)c1=O ZINC000426488922 1072095841 /nfs/dbraw/zinc/09/58/41/1072095841.db2.gz YERZBBIWFFVXIQ-MRXNPFEDSA-N 0 0 429.521 -0.159 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN(CCO)[C@H](C)C3)nc(=O)c12 ZINC000426490546 1072097675 /nfs/dbraw/zinc/09/76/75/1072097675.db2.gz BOAWEVXLOCUDTP-LLVKDONJSA-N 0 0 438.506 -0.015 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN(CCO)[C@@H](C)C3)nc(=O)c12 ZINC000426490549 1072097469 /nfs/dbraw/zinc/09/74/69/1072097469.db2.gz BOAWEVXLOCUDTP-NSHDSACASA-N 0 0 438.506 -0.015 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCN1CCO ZINC000426490806 1072097569 /nfs/dbraw/zinc/09/75/69/1072097569.db2.gz CFQWQEMZRYXDCM-HNNXBMFYSA-N 0 0 446.520 -0.204 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCN1CCO ZINC000426490807 1072097407 /nfs/dbraw/zinc/09/74/07/1072097407.db2.gz CFQWQEMZRYXDCM-OAHLLOKOSA-N 0 0 446.520 -0.204 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)CC1(C(=O)NCCN2CCOC[C@@H]2C)CCOCC1 ZINC000426491763 1072096318 /nfs/dbraw/zinc/09/63/18/1072096318.db2.gz JXPVBJUUQXUBFV-MOPGFXCFSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)CC1(C(=O)NCCN2CCOC[C@@H]2C)CCOCC1 ZINC000426491764 1072096243 /nfs/dbraw/zinc/09/62/43/1072096243.db2.gz JXPVBJUUQXUBFV-OALUTQOASA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C1(CC(=O)NCCN2CCOC[C@@H]2C)CCOCC1 ZINC000426491765 1072096161 /nfs/dbraw/zinc/09/61/61/1072096161.db2.gz JXPVBJUUQXUBFV-RBUKOAKNSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)CC1(C(=O)NCCN2CCOC[C@H]2C)CCOCC1 ZINC000426491766 1072096404 /nfs/dbraw/zinc/09/64/04/1072096404.db2.gz JXPVBJUUQXUBFV-RTBURBONSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCN1CCO ZINC000426492276 1072096826 /nfs/dbraw/zinc/09/68/26/1072096826.db2.gz PMSHIXGVLGFNNA-INIZCTEOSA-N 0 0 428.530 -0.343 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCN1CCO ZINC000426492277 1072096728 /nfs/dbraw/zinc/09/67/28/1072096728.db2.gz PMSHIXGVLGFNNA-MRXNPFEDSA-N 0 0 428.530 -0.343 20 0 IBADRN CN(CCn1cc(Br)cn1)C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000426497816 1072098159 /nfs/dbraw/zinc/09/81/59/1072098159.db2.gz FTXSJJZGFNRBBW-UHFFFAOYSA-N 0 0 434.272 -0.226 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)n1 ZINC000426499414 1073337837 /nfs/dbraw/zinc/33/78/37/1073337837.db2.gz QYSUYLYGOJKABV-INIZCTEOSA-N 0 0 428.493 0.494 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)n1 ZINC000426499415 1072098629 /nfs/dbraw/zinc/09/86/29/1072098629.db2.gz QYSUYLYGOJKABV-MRXNPFEDSA-N 0 0 428.493 0.494 20 0 IBADRN CN(CCn1cc(Br)cn1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000426500928 1072098572 /nfs/dbraw/zinc/09/85/72/1072098572.db2.gz PNZRIMWMMZEISO-UHFFFAOYSA-N 0 0 433.288 -0.491 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000426502026 1072098927 /nfs/dbraw/zinc/09/89/27/1072098927.db2.gz WUKJTAVABJLCHQ-INIZCTEOSA-N 0 0 443.508 0.279 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000426502027 1072099006 /nfs/dbraw/zinc/09/90/06/1072099006.db2.gz WUKJTAVABJLCHQ-MRXNPFEDSA-N 0 0 443.508 0.279 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)n1 ZINC000426504322 1072098521 /nfs/dbraw/zinc/09/85/21/1072098521.db2.gz MWWMDNHVSBYAKO-KBPBESRZSA-N 0 0 431.515 -0.366 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)n1 ZINC000426504323 1072098670 /nfs/dbraw/zinc/09/86/70/1072098670.db2.gz MWWMDNHVSBYAKO-KGLIPLIRSA-N 0 0 431.515 -0.366 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)n1 ZINC000426504324 1072098560 /nfs/dbraw/zinc/09/85/60/1072098560.db2.gz MWWMDNHVSBYAKO-UONOGXRCSA-N 0 0 431.515 -0.366 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)n1 ZINC000426504325 1072098686 /nfs/dbraw/zinc/09/86/86/1072098686.db2.gz MWWMDNHVSBYAKO-ZIAGYGMSSA-N 0 0 431.515 -0.366 20 0 IBADRN Cn1nc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1OC(F)F ZINC000426504864 1072099303 /nfs/dbraw/zinc/09/93/03/1072099303.db2.gz QFJHXMQBEBXEKH-JTQLQIEISA-N 0 0 439.441 -0.435 20 0 IBADRN Cn1nc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1OC(F)F ZINC000426504865 1072099376 /nfs/dbraw/zinc/09/93/76/1072099376.db2.gz QFJHXMQBEBXEKH-SNVBAGLBSA-N 0 0 439.441 -0.435 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)ccc21 ZINC000426506162 1072100233 /nfs/dbraw/zinc/10/02/33/1072100233.db2.gz CMBLAXUYCGVNAK-INIZCTEOSA-N 0 0 440.460 -0.184 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)ccc21 ZINC000426506163 1072100215 /nfs/dbraw/zinc/10/02/15/1072100215.db2.gz CMBLAXUYCGVNAK-MRXNPFEDSA-N 0 0 440.460 -0.184 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)ccc21 ZINC000426511147 1072102750 /nfs/dbraw/zinc/10/27/50/1072102750.db2.gz YJTTVMJVNHLBFF-KRWDZBQOSA-N 0 0 432.477 -0.086 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)ccc21 ZINC000426511150 1072102849 /nfs/dbraw/zinc/10/28/49/1072102849.db2.gz YJTTVMJVNHLBFF-QGZVFWFLSA-N 0 0 432.477 -0.086 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)n2)cc1 ZINC000426511431 1072102837 /nfs/dbraw/zinc/10/28/37/1072102837.db2.gz RTXNNJQBUHPVAF-KRWDZBQOSA-N 0 0 437.460 0.534 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)n2)cc1 ZINC000426511432 1072102874 /nfs/dbraw/zinc/10/28/74/1072102874.db2.gz RTXNNJQBUHPVAF-QGZVFWFLSA-N 0 0 437.460 0.534 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)CCn1cc(Br)cn1 ZINC000426511573 1072102310 /nfs/dbraw/zinc/10/23/10/1072102310.db2.gz SSSTXIWWUPGHTI-UHFFFAOYSA-N 0 0 438.348 -0.062 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1 ZINC000426516466 1072104518 /nfs/dbraw/zinc/10/45/18/1072104518.db2.gz VSSKVBRCELRUMI-CYBMUJFWSA-N 0 0 442.469 -0.613 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1 ZINC000426516473 1072104556 /nfs/dbraw/zinc/10/45/56/1072104556.db2.gz VSSKVBRCELRUMI-ZDUSSCGKSA-N 0 0 442.469 -0.613 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CC[C@H](NS(C)(=O)=O)C3)ccc21 ZINC000426516644 1072103873 /nfs/dbraw/zinc/10/38/73/1072103873.db2.gz WFVRZAXQOLDNLC-HNNXBMFYSA-N 0 0 438.506 -0.299 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)ccc21 ZINC000426516645 1072104018 /nfs/dbraw/zinc/10/40/18/1072104018.db2.gz WFVRZAXQOLDNLC-OAHLLOKOSA-N 0 0 438.506 -0.299 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCn3nc(C)nc3C2)c(=O)[nH]c1=O ZINC000426521257 1072105022 /nfs/dbraw/zinc/10/50/22/1072105022.db2.gz HGGKOFOGXREFCI-UHFFFAOYSA-N 0 0 434.501 -0.274 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(CCO)[C@@H](C)C2)CC1 ZINC000426523042 1072104448 /nfs/dbraw/zinc/10/44/48/1072104448.db2.gz LDOUZEDZRKLLII-AWEZNQCLSA-N 0 0 429.543 -0.877 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(CCO)[C@H](C)C2)CC1 ZINC000426523043 1072104498 /nfs/dbraw/zinc/10/44/98/1072104498.db2.gz LDOUZEDZRKLLII-CQSZACIVSA-N 0 0 429.543 -0.877 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCN1CCO ZINC000426527125 1072105591 /nfs/dbraw/zinc/10/55/91/1072105591.db2.gz VOADAZWPQFZJAG-INIZCTEOSA-N 0 0 428.530 -0.343 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCN1CCO ZINC000426527126 1072105532 /nfs/dbraw/zinc/10/55/32/1072105532.db2.gz VOADAZWPQFZJAG-MRXNPFEDSA-N 0 0 428.530 -0.343 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)CC1 ZINC000426532202 1072107144 /nfs/dbraw/zinc/10/71/44/1072107144.db2.gz KIRICWOPTDCAIN-KRWDZBQOSA-N 0 0 439.557 -0.412 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)CC1 ZINC000426532203 1072107102 /nfs/dbraw/zinc/10/71/02/1072107102.db2.gz KIRICWOPTDCAIN-QGZVFWFLSA-N 0 0 439.557 -0.412 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)n1 ZINC000426533573 1073337353 /nfs/dbraw/zinc/33/73/53/1073337353.db2.gz RQVRIDIPNBAHOM-INIZCTEOSA-N 0 0 434.541 0.432 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)n1 ZINC000426533574 1073336698 /nfs/dbraw/zinc/33/66/98/1073336698.db2.gz RQVRIDIPNBAHOM-MRXNPFEDSA-N 0 0 434.541 0.432 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCc3cc[nH]c(=O)c3C2)n1)N1CCCCCC1 ZINC000426537921 1073323213 /nfs/dbraw/zinc/32/32/13/1073323213.db2.gz JGKSXAVVDTZJIQ-UHFFFAOYSA-N 0 0 427.465 0.305 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(C)Cc2ccc(Br)c(F)c2)n1 ZINC000426539659 1072110898 /nfs/dbraw/zinc/11/08/98/1072110898.db2.gz NMOBTSDBQLPFIV-UHFFFAOYSA-N 0 0 427.234 0.523 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC)OCCO2 ZINC000426542495 1072111344 /nfs/dbraw/zinc/11/13/44/1072111344.db2.gz YZPMMNYEIREFAW-CYBMUJFWSA-N 0 0 434.449 -0.352 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC)OCCO2 ZINC000426542496 1072111392 /nfs/dbraw/zinc/11/13/92/1072111392.db2.gz YZPMMNYEIREFAW-ZDUSSCGKSA-N 0 0 434.449 -0.352 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccn(Cc2ccc(OC)cc2)n1 ZINC000426543218 1073316764 /nfs/dbraw/zinc/31/67/64/1073316764.db2.gz HLTSRSVLBSDVCM-INIZCTEOSA-N 0 0 442.476 -0.268 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccn(Cc2ccc(OC)cc2)n1 ZINC000426543219 1073317182 /nfs/dbraw/zinc/31/71/82/1073317182.db2.gz HLTSRSVLBSDVCM-MRXNPFEDSA-N 0 0 442.476 -0.268 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(CCO)Cc1cc(Br)cs1)S(N)(=O)=O ZINC000426545271 1072112453 /nfs/dbraw/zinc/11/24/53/1072112453.db2.gz PDSSCPVJXPGSFZ-MRVPVSSYSA-N 0 0 428.330 -0.375 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(CCO)Cc1cc(Br)cs1)S(N)(=O)=O ZINC000426545273 1072112490 /nfs/dbraw/zinc/11/24/90/1072112490.db2.gz PDSSCPVJXPGSFZ-QMMMGPOBSA-N 0 0 428.330 -0.375 20 0 IBADRN Cn1nc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1OC(F)F ZINC000426551226 1072114697 /nfs/dbraw/zinc/11/46/97/1072114697.db2.gz YTODLUOREMTNAM-UHFFFAOYSA-N 0 0 427.455 -0.545 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N(CCO)Cc2cccc(F)c2)cn1)N1CCOCC1 ZINC000426555849 1072115347 /nfs/dbraw/zinc/11/53/47/1072115347.db2.gz MVHIYIOKMLMESA-UHFFFAOYSA-N 0 0 433.440 -0.159 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1)OCCO2 ZINC000426556971 1072115763 /nfs/dbraw/zinc/11/57/63/1072115763.db2.gz DCRIKLDNWPVKAS-UHFFFAOYSA-N 0 0 448.476 -0.008 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)n2)cc1 ZINC000426558349 1072117545 /nfs/dbraw/zinc/11/75/45/1072117545.db2.gz IMMIFAWQQXPARM-NRFANRHFSA-N 0 0 431.493 0.078 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)n2)cc1 ZINC000426558350 1072117524 /nfs/dbraw/zinc/11/75/24/1072117524.db2.gz IMMIFAWQQXPARM-OAQYLSRUSA-N 0 0 431.493 0.078 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N(CCO)Cc3cccc(F)c3)cnc2n(C)c1=O ZINC000426558911 1072117072 /nfs/dbraw/zinc/11/70/72/1072117072.db2.gz WUKOSMBVYBRBQD-UHFFFAOYSA-N 0 0 429.408 -0.269 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N(CCO)Cc2cccc(F)c2)n1)N1CCOCC1 ZINC000426559621 1072116672 /nfs/dbraw/zinc/11/66/72/1072116672.db2.gz JNYQFUCSFRTXFS-UHFFFAOYSA-N 0 0 433.440 -0.159 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)ccc21 ZINC000426561103 1072117146 /nfs/dbraw/zinc/11/71/46/1072117146.db2.gz TWDNJHUYVWRLFG-JOCHJYFZSA-N 0 0 448.520 -0.250 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)ccc21 ZINC000426561104 1072117081 /nfs/dbraw/zinc/11/70/81/1072117081.db2.gz TWDNJHUYVWRLFG-QFIPXVFZSA-N 0 0 448.520 -0.250 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)ccc21 ZINC000426561809 1072118753 /nfs/dbraw/zinc/11/87/53/1072118753.db2.gz XQFDITFOCOGZSE-NRFANRHFSA-N 0 0 434.493 -0.640 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)ccc21 ZINC000426561811 1072118842 /nfs/dbraw/zinc/11/88/42/1072118842.db2.gz XQFDITFOCOGZSE-OAQYLSRUSA-N 0 0 434.493 -0.640 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000426563726 1072117508 /nfs/dbraw/zinc/11/75/08/1072117508.db2.gz XZVQIAYQRLNKAV-UHFFFAOYSA-N 0 0 433.440 -0.154 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000426569656 1072119394 /nfs/dbraw/zinc/11/93/94/1072119394.db2.gz CFVGWAYGCLQOLK-UHFFFAOYSA-N 0 0 440.522 -0.052 20 0 IBADRN CC(C)(CNC(=O)[C@H]1CC[C@@H](C(=O)NCC(C)(C)N2CCOCC2)O1)N1CCOCC1 ZINC000426573583 1072121814 /nfs/dbraw/zinc/12/18/14/1072121814.db2.gz NRQRCBITBMYAME-HDICACEKSA-N 0 0 440.585 -0.012 20 0 IBADRN CC(C)(CNC(=O)[C@H]1CC[C@H](C(=O)NCC(C)(C)N2CCOCC2)O1)N1CCOCC1 ZINC000426573584 1072121720 /nfs/dbraw/zinc/12/17/20/1072121720.db2.gz NRQRCBITBMYAME-QZTJIDSGSA-N 0 0 440.585 -0.012 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CC[C@@H](C(=O)NCC(C)(C)N2CCOCC2)O1)N1CCOCC1 ZINC000426573585 1072121683 /nfs/dbraw/zinc/12/16/83/1072121683.db2.gz NRQRCBITBMYAME-ROUUACIJSA-N 0 0 440.585 -0.012 20 0 IBADRN O=C(Cn1ccc(=NC(=O)C(=O)N=c2cc(C3CCCCC3)[nH][nH]2)[nH]1)N1CCOCC1 ZINC000426574306 1072123189 /nfs/dbraw/zinc/12/31/89/1072123189.db2.gz WORFGYRSKAGMQW-UHFFFAOYSA-N 0 0 429.481 -0.066 20 0 IBADRN CCCc1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000426604792 1072128927 /nfs/dbraw/zinc/12/89/27/1072128927.db2.gz KHHWYZUNOAWIMY-UHFFFAOYSA-N 0 0 434.478 0.060 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000426618915 1072130492 /nfs/dbraw/zinc/13/04/92/1072130492.db2.gz ICJZKCMXFCDGSI-UHFFFAOYSA-N 0 0 427.465 -0.263 20 0 IBADRN Cc1cnn(-c2c(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)c(C)nn2C)c1 ZINC000426619241 1072131910 /nfs/dbraw/zinc/13/19/10/1072131910.db2.gz PULRZYLWPAPUCA-UHFFFAOYSA-N 0 0 427.465 -0.344 20 0 IBADRN COc1ncc(-c2noc(-c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)n2)c(N)n1 ZINC000426633208 1072138031 /nfs/dbraw/zinc/13/80/31/1072138031.db2.gz CUMVFSYTPDOLGH-UHFFFAOYSA-N 0 0 431.434 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1C ZINC000426652904 1072144551 /nfs/dbraw/zinc/14/45/51/1072144551.db2.gz XRQKDWPBOCLXFZ-ABFRBSLYSA-N 0 0 430.548 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1C ZINC000426652905 1072144529 /nfs/dbraw/zinc/14/45/29/1072144529.db2.gz XRQKDWPBOCLXFZ-DIECFANBSA-N 0 0 430.548 -0.023 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)n1 ZINC000426657584 1073337945 /nfs/dbraw/zinc/33/79/45/1073337945.db2.gz PZHHFCBNETZFAX-DZGCQCFKSA-N 0 0 445.476 0.470 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)n1 ZINC000426657585 1072148253 /nfs/dbraw/zinc/14/82/53/1072148253.db2.gz PZHHFCBNETZFAX-HIFRSBDPSA-N 0 0 445.476 0.470 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)n1 ZINC000426657586 1072148343 /nfs/dbraw/zinc/14/83/43/1072148343.db2.gz PZHHFCBNETZFAX-UKRRQHHQSA-N 0 0 445.476 0.470 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)n1 ZINC000426657587 1073338030 /nfs/dbraw/zinc/33/80/30/1073338030.db2.gz PZHHFCBNETZFAX-ZFWWWQNUSA-N 0 0 445.476 0.470 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCCN(S(C)(=O)=O)CC3)n2)cc1 ZINC000426659265 1073345531 /nfs/dbraw/zinc/34/55/31/1073345531.db2.gz CZHNGGXNYSSRJD-UHFFFAOYSA-N 0 0 435.506 0.372 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC)S(C)(=O)=O ZINC000426660068 1072149888 /nfs/dbraw/zinc/14/98/88/1072149888.db2.gz LERHUOPNESMKLB-UHFFFAOYSA-N 0 0 440.522 -0.052 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)n1 ZINC000426663690 1072156649 /nfs/dbraw/zinc/15/66/49/1072156649.db2.gz BCHHBMQYJDVHOP-KRWDZBQOSA-N 0 0 442.520 0.490 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)n1 ZINC000426663691 1072156528 /nfs/dbraw/zinc/15/65/28/1072156528.db2.gz BCHHBMQYJDVHOP-QGZVFWFLSA-N 0 0 442.520 0.490 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)n2)cc1 ZINC000426663927 1072156499 /nfs/dbraw/zinc/15/64/99/1072156499.db2.gz OHKXIHRUQOGOFU-UHFFFAOYSA-N 0 0 442.520 0.547 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2cc(OC(F)F)n(C)n2)CC1 ZINC000426664487 1072158622 /nfs/dbraw/zinc/15/86/22/1072158622.db2.gz FZWDDNONQBWPOY-UHFFFAOYSA-N 0 0 435.395 -0.015 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1C ZINC000426664492 1072158769 /nfs/dbraw/zinc/15/87/69/1072158769.db2.gz RFBKFTQBVCQCOL-CWRNSKLLSA-N 0 0 445.563 -0.235 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1C ZINC000426664493 1072158574 /nfs/dbraw/zinc/15/85/74/1072158574.db2.gz RFBKFTQBVCQCOL-NUEKZKHPSA-N 0 0 445.563 -0.235 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)ccc21 ZINC000426664589 1072158746 /nfs/dbraw/zinc/15/87/46/1072158746.db2.gz SBONPZBJCJCMSE-UHFFFAOYSA-N 0 0 445.520 -0.171 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCCN(S(C)(=O)=O)CC3)ccc21 ZINC000426665983 1072159248 /nfs/dbraw/zinc/15/92/48/1072159248.db2.gz ZTKLMYCCFFKKAA-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n1 ZINC000426666223 1072161885 /nfs/dbraw/zinc/16/18/85/1072161885.db2.gz PEXNKXYGPQNGBK-CYBMUJFWSA-N 0 0 427.469 0.055 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n1 ZINC000426666224 1072161936 /nfs/dbraw/zinc/16/19/36/1072161936.db2.gz PEXNKXYGPQNGBK-ZDUSSCGKSA-N 0 0 427.469 0.055 20 0 IBADRN CCc1nc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000426666646 1072159226 /nfs/dbraw/zinc/15/92/26/1072159226.db2.gz TZCDXKIDTMIMFC-UHFFFAOYSA-N 0 0 444.583 -0.411 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000426667549 1072161942 /nfs/dbraw/zinc/16/19/42/1072161942.db2.gz ZDZWVYNUSMECFQ-UHFFFAOYSA-N 0 0 447.467 -0.348 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCn2cc(CO)nn2)cc1C ZINC000426668621 1072162556 /nfs/dbraw/zinc/16/25/56/1072162556.db2.gz GMFICCIWVVQKQY-AEFFLSMTSA-N 0 0 437.522 -0.282 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCn2cc(CO)nn2)cc1C ZINC000426668622 1072162608 /nfs/dbraw/zinc/16/26/08/1072162608.db2.gz GMFICCIWVVQKQY-WMZOPIPTSA-N 0 0 437.522 -0.282 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000426669948 1072165137 /nfs/dbraw/zinc/16/51/37/1072165137.db2.gz BUQNVZBRWZRUJQ-UHFFFAOYSA-N 0 0 442.469 -0.660 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)n2)cc1 ZINC000426673757 1073345509 /nfs/dbraw/zinc/34/55/09/1073345509.db2.gz GUPKICZNWVDDOA-UHFFFAOYSA-N 0 0 428.493 0.159 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NCCCn1cc(CO)nn1 ZINC000426674208 1072170712 /nfs/dbraw/zinc/17/07/12/1072170712.db2.gz JKZSCRFEOKYAGP-UHFFFAOYSA-N 0 0 439.494 -0.193 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)ccc21 ZINC000426674520 1072170897 /nfs/dbraw/zinc/17/08/97/1072170897.db2.gz KGEIUEYTEBNVCH-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)ccc21 ZINC000426675388 1073130934 /nfs/dbraw/zinc/13/09/34/1073130934.db2.gz RQKXIQDHEUXBII-UHFFFAOYSA-N 0 0 445.520 -0.169 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000426675968 1072170954 /nfs/dbraw/zinc/17/09/54/1072170954.db2.gz XSGATCBKFYDPLM-UHFFFAOYSA-N 0 0 449.483 -0.483 20 0 IBADRN C[C@H](C1CC1)n1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000426676236 1072170843 /nfs/dbraw/zinc/17/08/43/1072170843.db2.gz ZSHVIUODAULLLG-CYBMUJFWSA-N 0 0 430.465 -0.388 20 0 IBADRN C[C@@H](C1CC1)n1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000426676240 1072170754 /nfs/dbraw/zinc/17/07/54/1072170754.db2.gz ZSHVIUODAULLLG-ZDUSSCGKSA-N 0 0 430.465 -0.388 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000426678762 1072170669 /nfs/dbraw/zinc/17/06/69/1072170669.db2.gz RSKPYWLRGPOJRJ-ZBFHGGJFSA-N 0 0 427.458 -0.760 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)ccc21 ZINC000426679166 1072171672 /nfs/dbraw/zinc/17/16/72/1072171672.db2.gz UJQDNUZPQYMVRF-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)n1 ZINC000426681969 1072170390 /nfs/dbraw/zinc/17/03/90/1072170390.db2.gz DGUMZJWDGCISCL-DLBZAZTESA-N 0 0 434.541 0.574 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)n1 ZINC000426681970 1072170243 /nfs/dbraw/zinc/17/02/43/1072170243.db2.gz DGUMZJWDGCISCL-IAGOWNOFSA-N 0 0 434.541 0.574 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)n1 ZINC000426681971 1072170401 /nfs/dbraw/zinc/17/04/01/1072170401.db2.gz DGUMZJWDGCISCL-IRXDYDNUSA-N 0 0 434.541 0.574 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)n1 ZINC000426681972 1072170307 /nfs/dbraw/zinc/17/03/07/1072170307.db2.gz DGUMZJWDGCISCL-SJORKVTESA-N 0 0 434.541 0.574 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)CC1 ZINC000426682593 1072170285 /nfs/dbraw/zinc/17/02/85/1072170285.db2.gz JYGWNIQZBBJGCH-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)CC1 ZINC000426683259 1072170312 /nfs/dbraw/zinc/17/03/12/1072170312.db2.gz QDSVIQHNYYZAFH-UHFFFAOYSA-N 0 0 435.506 0.372 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1)OCCO2 ZINC000426685530 1072170876 /nfs/dbraw/zinc/17/08/76/1072170876.db2.gz IEWWOJUQJFSEBF-UHFFFAOYSA-N 0 0 448.476 -0.010 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000426686159 1072170368 /nfs/dbraw/zinc/17/03/68/1072170368.db2.gz LUGKOWXYBPBJJJ-UHFFFAOYSA-N 0 0 433.440 -0.156 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000426686811 1072171010 /nfs/dbraw/zinc/17/10/10/1072171010.db2.gz NYWIBHNYJSXUNV-HOCLYGCPSA-N 0 0 443.913 -0.246 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000426686812 1072170927 /nfs/dbraw/zinc/17/09/27/1072170927.db2.gz NYWIBHNYJSXUNV-ZBFHGGJFSA-N 0 0 443.913 -0.246 20 0 IBADRN CCc1nc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000426687023 1072170996 /nfs/dbraw/zinc/17/09/96/1072170996.db2.gz IMMNFVZTNFWDBY-UHFFFAOYSA-N 0 0 431.540 -0.326 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)ccc21 ZINC000426687213 1072172297 /nfs/dbraw/zinc/17/22/97/1072172297.db2.gz JMVKPHSZNARXJN-HNNXBMFYSA-N 0 0 438.506 -0.299 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)ccc21 ZINC000426687214 1072172319 /nfs/dbraw/zinc/17/23/19/1072172319.db2.gz JMVKPHSZNARXJN-OAHLLOKOSA-N 0 0 438.506 -0.299 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)n2)cc1 ZINC000426687319 1072172285 /nfs/dbraw/zinc/17/22/85/1072172285.db2.gz JWBFDWNZVJANDN-HNNXBMFYSA-N 0 0 435.506 0.419 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)n2)cc1 ZINC000426687320 1072172233 /nfs/dbraw/zinc/17/22/33/1072172233.db2.gz JWBFDWNZVJANDN-OAHLLOKOSA-N 0 0 435.506 0.419 20 0 IBADRN C[C@@H](C1CC1)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000426688962 1072172174 /nfs/dbraw/zinc/17/21/74/1072172174.db2.gz STYFZOCFIWQCNH-AWEZNQCLSA-N 0 0 440.526 -0.486 20 0 IBADRN C[C@H](C1CC1)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000426688963 1072172366 /nfs/dbraw/zinc/17/23/66/1072172366.db2.gz STYFZOCFIWQCNH-CQSZACIVSA-N 0 0 440.526 -0.486 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(C(=O)COC)CC3)ccc21 ZINC000426691090 1072172823 /nfs/dbraw/zinc/17/28/23/1072172823.db2.gz GELAVZDKNHEUMV-UHFFFAOYSA-N 0 0 432.477 -0.132 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n1 ZINC000426691761 1072172831 /nfs/dbraw/zinc/17/28/31/1072172831.db2.gz LERUPWDGYFVIFA-AWEZNQCLSA-N 0 0 436.494 -0.043 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n1 ZINC000426691762 1073336687 /nfs/dbraw/zinc/33/66/87/1073336687.db2.gz LERUPWDGYFVIFA-CQSZACIVSA-N 0 0 436.494 -0.043 20 0 IBADRN Cn1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1OC(F)F ZINC000426692277 1072172961 /nfs/dbraw/zinc/17/29/61/1072172961.db2.gz QIPVIJSNMXYMDE-UHFFFAOYSA-N 0 0 444.420 -0.112 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000426692737 1072173013 /nfs/dbraw/zinc/17/30/13/1072173013.db2.gz VNBMARBGYVXRDM-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN CNC(=O)N1CCN(C(=O)Cc2c(C(=O)N3CCN(C(=O)NC)CC3)c(C)cn2C)CC1 ZINC000426694113 1071148912 /nfs/dbraw/zinc/14/89/12/1071148912.db2.gz HGRCUQWCQCSTRC-UHFFFAOYSA-N 0 0 447.540 -0.543 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cc(OC(F)F)n(C)n3)CC2)no1 ZINC000426695541 1072171519 /nfs/dbraw/zinc/17/15/19/1072171519.db2.gz KBBZMVOSOWXKFO-UHFFFAOYSA-N 0 0 441.395 0.039 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)n1 ZINC000426696083 1072171538 /nfs/dbraw/zinc/17/15/38/1072171538.db2.gz MKHHKYQCVQXOGS-FKIZINRSSA-N 0 0 428.449 0.400 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)n1 ZINC000426696084 1073336972 /nfs/dbraw/zinc/33/69/72/1073336972.db2.gz MKHHKYQCVQXOGS-MPBGBICISA-N 0 0 428.449 0.400 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)n1 ZINC000426696085 1072171555 /nfs/dbraw/zinc/17/15/55/1072171555.db2.gz MKHHKYQCVQXOGS-ODXCJYRJSA-N 0 0 428.449 0.400 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)n1 ZINC000426696086 1072171531 /nfs/dbraw/zinc/17/15/31/1072171531.db2.gz MKHHKYQCVQXOGS-YUNKPMOVSA-N 0 0 428.449 0.400 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000426699147 1072171569 /nfs/dbraw/zinc/17/15/69/1072171569.db2.gz FZBBUYNAOPZTQR-UHFFFAOYSA-N 0 0 449.483 -0.483 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)CC1 ZINC000426700386 1072171548 /nfs/dbraw/zinc/17/15/48/1072171548.db2.gz QLONPGOPUUYFTH-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)n1 ZINC000426701305 1072171543 /nfs/dbraw/zinc/17/15/43/1072171543.db2.gz ZWUSVGIDPPSYLU-KRWDZBQOSA-N 0 0 434.541 0.576 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)n1 ZINC000426701306 1072171563 /nfs/dbraw/zinc/17/15/63/1072171563.db2.gz ZWUSVGIDPPSYLU-QGZVFWFLSA-N 0 0 434.541 0.576 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3CCOC)CC1 ZINC000426702239 1072171485 /nfs/dbraw/zinc/17/14/85/1072171485.db2.gz ABVDBFODFHTLQQ-UHFFFAOYSA-N 0 0 445.520 -0.169 20 0 IBADRN O=C(CC1(C(=O)N[C@H]2CC(=O)N(C3CC3)C2)CCOCC1)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000426704461 1072172274 /nfs/dbraw/zinc/17/22/74/1072172274.db2.gz RATLXJWRUYUJAY-CABCVRRESA-N 0 0 432.521 -0.068 20 0 IBADRN O=C(CC1(C(=O)N[C@H]2CC(=O)N(C3CC3)C2)CCOCC1)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000426704462 1072172357 /nfs/dbraw/zinc/17/23/57/1072172357.db2.gz RATLXJWRUYUJAY-GJZGRUSLSA-N 0 0 432.521 -0.068 20 0 IBADRN O=C(CC1(C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)CCOCC1)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000426704463 1072172244 /nfs/dbraw/zinc/17/22/44/1072172244.db2.gz RATLXJWRUYUJAY-HUUCEWRRSA-N 0 0 432.521 -0.068 20 0 IBADRN O=C(CC1(C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)CCOCC1)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000426704464 1072172263 /nfs/dbraw/zinc/17/22/63/1072172263.db2.gz RATLXJWRUYUJAY-LSDHHAIUSA-N 0 0 432.521 -0.068 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)n1 ZINC000426705102 1072172117 /nfs/dbraw/zinc/17/21/17/1072172117.db2.gz NOJLTUDKBOZXCN-CRAIPNDOSA-N 0 0 428.493 0.400 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)n1 ZINC000426705105 1072172349 /nfs/dbraw/zinc/17/23/49/1072172349.db2.gz NOJLTUDKBOZXCN-MAUKXSAKSA-N 0 0 428.493 0.400 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)n1 ZINC000426705107 1072172154 /nfs/dbraw/zinc/17/21/54/1072172154.db2.gz NOJLTUDKBOZXCN-QAPCUYQASA-N 0 0 428.493 0.400 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)n1 ZINC000426705109 1072172223 /nfs/dbraw/zinc/17/22/23/1072172223.db2.gz NOJLTUDKBOZXCN-YJBOKZPZSA-N 0 0 428.493 0.400 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)CC1 ZINC000426706801 1072173573 /nfs/dbraw/zinc/17/35/73/1072173573.db2.gz XDKVHISGDZKJIB-UHFFFAOYSA-N 0 0 428.493 0.159 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000426707913 1072173521 /nfs/dbraw/zinc/17/35/21/1072173521.db2.gz DYTSCNXPYUEFPD-UHFFFAOYSA-N 0 0 444.485 -0.318 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000426708372 1072173615 /nfs/dbraw/zinc/17/36/15/1072173615.db2.gz JBXSGYVKVBVQRM-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc2c(c1)CCC(=O)N2CCOC ZINC000426708486 1072173376 /nfs/dbraw/zinc/17/33/76/1072173376.db2.gz KFLZLKPHLPWZDE-UHFFFAOYSA-N 0 0 440.522 -0.004 20 0 IBADRN C[C@@H](C1CC1)n1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000426714719 1072172904 /nfs/dbraw/zinc/17/29/04/1072172904.db2.gz DYGMIPWTNRDRPT-AWEZNQCLSA-N 0 0 432.481 -0.678 20 0 IBADRN C[C@H](C1CC1)n1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000426714720 1072172845 /nfs/dbraw/zinc/17/28/45/1072172845.db2.gz DYGMIPWTNRDRPT-CQSZACIVSA-N 0 0 432.481 -0.678 20 0 IBADRN Cn1nc(NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cc1OC(F)F ZINC000426714860 1072172947 /nfs/dbraw/zinc/17/29/47/1072172947.db2.gz OSJGTRDJVDGEFK-UHFFFAOYSA-N 0 0 428.440 -0.027 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)ccc21 ZINC000426715095 1072173028 /nfs/dbraw/zinc/17/30/28/1072173028.db2.gz GNBYFYJPCXIGBM-UHFFFAOYSA-N 0 0 443.504 -0.417 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)ccc21 ZINC000426715311 1072172912 /nfs/dbraw/zinc/17/29/12/1072172912.db2.gz HVTSLOQQVUBHMR-UHFFFAOYSA-N 0 0 426.495 -0.395 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCNC(=O)c3cnccn3)ccc21 ZINC000426715666 1072172930 /nfs/dbraw/zinc/17/29/30/1072172930.db2.gz JQHHHPSTVQVGFE-UHFFFAOYSA-N 0 0 440.460 -0.113 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCN3CCCS3(=O)=O)ccc21 ZINC000426716048 1072173022 /nfs/dbraw/zinc/17/30/22/1072173022.db2.gz UQBJVAPURNPBTR-UHFFFAOYSA-N 0 0 438.506 -0.298 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000426716426 1072173530 /nfs/dbraw/zinc/17/35/30/1072173530.db2.gz LZTKSZIQOFOQJP-UHFFFAOYSA-N 0 0 444.485 -0.319 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCNC(=O)c3cnccn3)ccc21 ZINC000426717307 1072173413 /nfs/dbraw/zinc/17/34/13/1072173413.db2.gz OIVZMRZATKAWRY-UHFFFAOYSA-N 0 0 426.433 -0.503 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)n2)cc1 ZINC000426717814 1072173476 /nfs/dbraw/zinc/17/34/76/1072173476.db2.gz SBXXDPIGNRVNJE-UHFFFAOYSA-N 0 0 440.504 0.301 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)ccc21 ZINC000426718113 1072173508 /nfs/dbraw/zinc/17/35/08/1072173508.db2.gz VGBFWHIJHAKJJP-UHFFFAOYSA-N 0 0 440.522 -0.005 20 0 IBADRN COC(=O)c1[nH]c(C)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000426732131 1072174099 /nfs/dbraw/zinc/17/40/99/1072174099.db2.gz RRPWCYYPDZEQNB-AWEZNQCLSA-N 0 0 440.522 -0.521 20 0 IBADRN COC(=O)c1[nH]c(C)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000426732132 1072174243 /nfs/dbraw/zinc/17/42/43/1072174243.db2.gz RRPWCYYPDZEQNB-CQSZACIVSA-N 0 0 440.522 -0.521 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3cc(C4CC4)[nH]n3)CC2)CC1)N1CCOCC1 ZINC000426760660 1071150099 /nfs/dbraw/zinc/15/00/99/1071150099.db2.gz TVMRESYBIMXGIX-UHFFFAOYSA-N 0 0 445.568 -0.588 20 0 IBADRN COc1cc(NC(=O)CN(C)CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1 ZINC000426762727 1072175066 /nfs/dbraw/zinc/17/50/66/1072175066.db2.gz MUORHMMUKZSLNR-GFCCVEGCSA-N 0 0 442.494 -0.413 20 0 IBADRN COc1cc(NC(=O)CN(C)CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1 ZINC000426762728 1072174960 /nfs/dbraw/zinc/17/49/60/1072174960.db2.gz MUORHMMUKZSLNR-LBPRGKRZSA-N 0 0 442.494 -0.413 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](N3CCOCC3)[C@H]2C)s1 ZINC000426763686 1072174158 /nfs/dbraw/zinc/17/41/58/1072174158.db2.gz CWGOIYNSYDARHV-CZUORRHYSA-N 0 0 444.579 -0.016 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(c3cnn(C)c3)C(=O)C2)c(=O)[nH]c1=O ZINC000426765030 1072174335 /nfs/dbraw/zinc/17/43/35/1072174335.db2.gz ZYIPKUKLFDDTJV-UHFFFAOYSA-N 0 0 446.512 -0.234 20 0 IBADRN O=C(CN1CCN(c2cc(C3CC3)[nH]n2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000426765294 1072176168 /nfs/dbraw/zinc/17/61/68/1072176168.db2.gz LZZRRSMZTXIHJW-KRWDZBQOSA-N 0 0 436.582 -0.260 20 0 IBADRN O=C(CN1CCN(c2cc(C3CC3)[nH]n2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000426765295 1072176274 /nfs/dbraw/zinc/17/62/74/1072176274.db2.gz LZZRRSMZTXIHJW-QGZVFWFLSA-N 0 0 436.582 -0.260 20 0 IBADRN COc1cc(NC(=O)CN(C)CC(=O)N2CCN(CC(=O)N(C)C)CC2)cc(OC)c1 ZINC000426767260 1072176261 /nfs/dbraw/zinc/17/62/61/1072176261.db2.gz LIGALHQHQLKDEA-UHFFFAOYSA-N 0 0 435.525 -0.194 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(c2cnn(C)c2)C(=O)C1 ZINC000426767701 1072176105 /nfs/dbraw/zinc/17/61/05/1072176105.db2.gz PPWKEYNNTIOQOK-CYBMUJFWSA-N 0 0 432.506 -0.306 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(c2cnn(C)c2)C(=O)C1 ZINC000426767702 1072176269 /nfs/dbraw/zinc/17/62/69/1072176269.db2.gz PPWKEYNNTIOQOK-ZDUSSCGKSA-N 0 0 432.506 -0.306 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N3CCN(c4ncnc5c4cnn5C)CC3)CC2=O)cn1 ZINC000426775316 1072175647 /nfs/dbraw/zinc/17/56/47/1072175647.db2.gz ZIAMRSAFUWFQOD-UHFFFAOYSA-N 0 0 438.496 -0.906 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)CC2=O)cn1 ZINC000426780879 1072175558 /nfs/dbraw/zinc/17/55/58/1072175558.db2.gz WBAXPZRCTYALDM-UHFFFAOYSA-N 0 0 446.533 -0.398 20 0 IBADRN NC(=O)NC(=O)C1CCC(NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000426789985 1072176158 /nfs/dbraw/zinc/17/61/58/1072176158.db2.gz ZITGXKLAJHBUPT-UHFFFAOYSA-N 0 0 431.497 -0.225 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000426790413 1072176281 /nfs/dbraw/zinc/17/62/81/1072176281.db2.gz DEPRCEAJLOWFAK-AWEZNQCLSA-N 0 0 427.531 -0.003 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000426790414 1072176939 /nfs/dbraw/zinc/17/69/39/1072176939.db2.gz DEPRCEAJLOWFAK-CQSZACIVSA-N 0 0 427.531 -0.003 20 0 IBADRN NC(=O)NC(=O)C1CCC(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000426793331 1072176899 /nfs/dbraw/zinc/17/68/99/1072176899.db2.gz PZIHMNLLTQBULW-UHFFFAOYSA-N 0 0 427.461 -0.072 20 0 IBADRN Cn1cc(N2CCN(CCC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2=O)cn1 ZINC000426800688 1072177465 /nfs/dbraw/zinc/17/74/65/1072177465.db2.gz PXAPLXUIBHARHE-UHFFFAOYSA-N 0 0 434.522 -0.535 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCc2cc(C(N)=O)no2)cc1 ZINC000426806246 1072176190 /nfs/dbraw/zinc/17/61/90/1072176190.db2.gz IZJFQRARXVSTNO-UHFFFAOYSA-N 0 0 437.478 -0.150 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(c2cnn(C)c2)C(=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000426806480 1072176211 /nfs/dbraw/zinc/17/62/11/1072176211.db2.gz JXWHIXNIMQAYTQ-KBPBESRZSA-N 0 0 434.522 -0.018 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(c2cnn(C)c2)C(=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000426806481 1072176133 /nfs/dbraw/zinc/17/61/33/1072176133.db2.gz JXWHIXNIMQAYTQ-KGLIPLIRSA-N 0 0 434.522 -0.018 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000426806482 1072176122 /nfs/dbraw/zinc/17/61/22/1072176122.db2.gz JXWHIXNIMQAYTQ-UONOGXRCSA-N 0 0 434.522 -0.018 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(c2cnn(C)c2)C(=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000426806483 1072176086 /nfs/dbraw/zinc/17/60/86/1072176086.db2.gz JXWHIXNIMQAYTQ-ZIAGYGMSSA-N 0 0 434.522 -0.018 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2=O)cn1 ZINC000426808496 1072177601 /nfs/dbraw/zinc/17/76/01/1072177601.db2.gz MVFSHUQSKDHWTF-DOTOQJQBSA-N 0 0 439.538 -0.737 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2=O)cn1 ZINC000426808499 1072177446 /nfs/dbraw/zinc/17/74/46/1072177446.db2.gz MVFSHUQSKDHWTF-NVXWUHKLSA-N 0 0 439.538 -0.737 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2=O)cn1 ZINC000426808500 1072177485 /nfs/dbraw/zinc/17/74/85/1072177485.db2.gz MVFSHUQSKDHWTF-RDJZCZTQSA-N 0 0 439.538 -0.737 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2=O)cn1 ZINC000426808501 1072177458 /nfs/dbraw/zinc/17/74/58/1072177458.db2.gz MVFSHUQSKDHWTF-WBVHZDCISA-N 0 0 439.538 -0.737 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)n1 ZINC000426809566 1072177573 /nfs/dbraw/zinc/17/75/73/1072177573.db2.gz VTZHTLPFVCEFTA-HNNXBMFYSA-N 0 0 446.574 -0.318 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)n1 ZINC000426809570 1072177506 /nfs/dbraw/zinc/17/75/06/1072177506.db2.gz VTZHTLPFVCEFTA-OAHLLOKOSA-N 0 0 446.574 -0.318 20 0 IBADRN Cn1c(N)c(C(=O)CN[C@](C)(C(N)=O)c2cccc(C(F)(F)F)c2)c(=O)n(C)c1=O ZINC000426810924 1072177596 /nfs/dbraw/zinc/17/75/96/1072177596.db2.gz KCCIEVNZRSEXRI-KRWDZBQOSA-N 0 0 427.383 -0.142 20 0 IBADRN Cn1c(N)c(C(=O)CN[C@@](C)(C(N)=O)c2cccc(C(F)(F)F)c2)c(=O)n(C)c1=O ZINC000426810925 1072177561 /nfs/dbraw/zinc/17/75/61/1072177561.db2.gz KCCIEVNZRSEXRI-QGZVFWFLSA-N 0 0 427.383 -0.142 20 0 IBADRN Cn1cc(N2CCN(CC(=O)NCc3ccc(C(=O)N4CCOCC4)cc3)CC2=O)cn1 ZINC000426814869 1072177615 /nfs/dbraw/zinc/17/76/15/1072177615.db2.gz BNSWOPFVBBUASH-UHFFFAOYSA-N 0 0 440.504 -0.143 20 0 IBADRN C[C@@](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)(C(N)=O)c1cccc(Cl)c1 ZINC000426819831 1072177474 /nfs/dbraw/zinc/17/74/74/1072177474.db2.gz WRBJEJVWGGRKNZ-APWZRJJASA-N 0 0 442.969 -0.039 20 0 IBADRN C[C@@](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)(C(N)=O)c1cccc(Cl)c1 ZINC000426819838 1072177627 /nfs/dbraw/zinc/17/76/27/1072177627.db2.gz WRBJEJVWGGRKNZ-LPHOPBHVSA-N 0 0 442.969 -0.039 20 0 IBADRN C[C@](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)(C(N)=O)c1cccc(Cl)c1 ZINC000426819840 1072177632 /nfs/dbraw/zinc/17/76/32/1072177632.db2.gz WRBJEJVWGGRKNZ-QFBILLFUSA-N 0 0 442.969 -0.039 20 0 IBADRN C[C@](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)(C(N)=O)c1cccc(Cl)c1 ZINC000426819841 1072177550 /nfs/dbraw/zinc/17/75/50/1072177550.db2.gz WRBJEJVWGGRKNZ-VQIMIIECSA-N 0 0 442.969 -0.039 20 0 IBADRN COc1cc(NC(=O)CN(C)[C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc(OC)c1 ZINC000426821180 1072177532 /nfs/dbraw/zinc/17/75/32/1072177532.db2.gz QPHNFHMCDQZQOY-LLVKDONJSA-N 0 0 433.465 -0.175 20 0 IBADRN COc1cc(NC(=O)CN(C)[C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc(OC)c1 ZINC000426821181 1072178083 /nfs/dbraw/zinc/17/80/83/1072178083.db2.gz QPHNFHMCDQZQOY-NSHDSACASA-N 0 0 433.465 -0.175 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000426824517 1072178091 /nfs/dbraw/zinc/17/80/91/1072178091.db2.gz IEXJBPFIBLFGKR-GFCCVEGCSA-N 0 0 435.485 -0.853 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000426824520 1072178072 /nfs/dbraw/zinc/17/80/72/1072178072.db2.gz IEXJBPFIBLFGKR-LBPRGKRZSA-N 0 0 435.485 -0.853 20 0 IBADRN CN(C)c1ncc(CNC(=O)[C@@H]2CC[C@@H](C(=O)NCc3cnc(N(C)C)n3C)O2)n1C ZINC000426824925 1072178037 /nfs/dbraw/zinc/17/80/37/1072178037.db2.gz ORRWPURYNMAZGF-HOTGVXAUSA-N 0 0 432.529 -0.234 20 0 IBADRN CN(C)c1ncc(CNC(=O)[C@H]2CC[C@H](C(=O)NCc3cnc(N(C)C)n3C)O2)n1C ZINC000426824934 1072178212 /nfs/dbraw/zinc/17/82/12/1072178212.db2.gz ORRWPURYNMAZGF-HZPDHXFCSA-N 0 0 432.529 -0.234 20 0 IBADRN CN(C)c1ncc(CNC(=O)[C@H]2CC[C@@H](C(=O)NCc3cnc(N(C)C)n3C)O2)n1C ZINC000426824935 1072178030 /nfs/dbraw/zinc/17/80/30/1072178030.db2.gz ORRWPURYNMAZGF-IYBDPMFKSA-N 0 0 432.529 -0.234 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000426828683 1072178152 /nfs/dbraw/zinc/17/81/52/1072178152.db2.gz ZLASLUGTSRTKAB-AWEZNQCLSA-N 0 0 434.522 -0.537 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000426828686 1072178056 /nfs/dbraw/zinc/17/80/56/1072178056.db2.gz ZLASLUGTSRTKAB-CQSZACIVSA-N 0 0 434.522 -0.537 20 0 IBADRN C[C@H](CCN1CCOCC1)NC(=O)[C@@H]1CC[C@H](C(=O)N[C@@H](C)CCN2CCOCC2)O1 ZINC000426828992 1072178112 /nfs/dbraw/zinc/17/81/12/1072178112.db2.gz NIHLUYYOEZQKTC-JVSBHGNQSA-N 0 0 440.585 -0.012 20 0 IBADRN C[C@H](CCN1CCOCC1)NC(=O)[C@H]1CC[C@@H](C(=O)N[C@H](C)CCN2CCOCC2)O1 ZINC000426828993 1072178132 /nfs/dbraw/zinc/17/81/32/1072178132.db2.gz NIHLUYYOEZQKTC-WTGUMLROSA-N 0 0 440.585 -0.012 20 0 IBADRN C[C@H](CCN1CCOCC1)NC(=O)[C@@H]1CC[C@@H](C(=O)N[C@@H](C)CCN2CCOCC2)O1 ZINC000426828994 1072178096 /nfs/dbraw/zinc/17/80/96/1072178096.db2.gz NIHLUYYOEZQKTC-YRPNKDGESA-N 0 0 440.585 -0.012 20 0 IBADRN C[C@H](CCN1CCOCC1)NC(=O)[C@@H]1CC[C@@H](C(=O)N[C@H](C)CCN2CCOCC2)O1 ZINC000426828995 1072178161 /nfs/dbraw/zinc/17/81/61/1072178161.db2.gz NIHLUYYOEZQKTC-ZRNYENFQSA-N 0 0 440.585 -0.012 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000426830725 1072177588 /nfs/dbraw/zinc/17/75/88/1072177588.db2.gz AHKVMWULJPQEHH-CHWSQXEVSA-N 0 0 449.512 -0.464 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000426830726 1072178199 /nfs/dbraw/zinc/17/81/99/1072178199.db2.gz AHKVMWULJPQEHH-OLZOCXBDSA-N 0 0 449.512 -0.464 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000426830727 1072178126 /nfs/dbraw/zinc/17/81/26/1072178126.db2.gz AHKVMWULJPQEHH-QWHCGFSZSA-N 0 0 449.512 -0.464 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000426830728 1072179382 /nfs/dbraw/zinc/17/93/82/1072179382.db2.gz AHKVMWULJPQEHH-STQMWFEESA-N 0 0 449.512 -0.464 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1C[C@H](O)C1(C)C ZINC000426832305 1072179331 /nfs/dbraw/zinc/17/93/31/1072179331.db2.gz HFHJLKJXMPFGRR-CVEARBPZSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1C[C@H](O)C1(C)C ZINC000426832308 1072180065 /nfs/dbraw/zinc/18/00/65/1072180065.db2.gz HFHJLKJXMPFGRR-HOTGVXAUSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1C[C@@H](O)C1(C)C ZINC000426832309 1072180076 /nfs/dbraw/zinc/18/00/76/1072180076.db2.gz HFHJLKJXMPFGRR-HZPDHXFCSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1C[C@@H](O)C1(C)C ZINC000426832311 1072179922 /nfs/dbraw/zinc/17/99/22/1072179922.db2.gz HFHJLKJXMPFGRR-JKSUJKDBSA-N 0 0 441.506 -0.070 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)c[nH]1 ZINC000426837424 1072178713 /nfs/dbraw/zinc/17/87/13/1072178713.db2.gz OFQUNBAKHPLTPM-INIZCTEOSA-N 0 0 447.517 -0.258 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)c[nH]1 ZINC000426837425 1072178699 /nfs/dbraw/zinc/17/86/99/1072178699.db2.gz OFQUNBAKHPLTPM-MRXNPFEDSA-N 0 0 447.517 -0.258 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000426839282 1072178724 /nfs/dbraw/zinc/17/87/24/1072178724.db2.gz AGBOVRRPPLKXNS-UHFFFAOYSA-N 0 0 448.505 -0.126 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3cc(S(=O)(=O)N4CCSCC4)c[nH]3)CC2=O)cn1 ZINC000426839444 1072178806 /nfs/dbraw/zinc/17/88/06/1072178806.db2.gz BUZFQWBGVNSVDX-UHFFFAOYSA-N 0 0 438.535 -0.025 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000426839574 1072178825 /nfs/dbraw/zinc/17/88/25/1072178825.db2.gz DCIFBNIINMBXPQ-UHFFFAOYSA-N 0 0 430.508 -0.037 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000426839976 1072178691 /nfs/dbraw/zinc/17/86/91/1072178691.db2.gz INTDIEWAXNSVPH-UHFFFAOYSA-N 0 0 433.490 -0.229 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000426842775 1072178798 /nfs/dbraw/zinc/17/87/98/1072178798.db2.gz JOSLDBQKXHXFAR-ZDUSSCGKSA-N 0 0 448.505 -0.079 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000426842952 1072178707 /nfs/dbraw/zinc/17/87/07/1072178707.db2.gz LGLUJDPTHYYANB-GOSISDBHSA-N 0 0 429.543 -0.690 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000426842953 1072178803 /nfs/dbraw/zinc/17/88/03/1072178803.db2.gz LGLUJDPTHYYANB-SFHVURJKSA-N 0 0 429.543 -0.690 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCc2ncccc2S(C)(=O)=O)CC1 ZINC000426845663 1072179348 /nfs/dbraw/zinc/17/93/48/1072179348.db2.gz NSXCQSMAXXPYII-HNNXBMFYSA-N 0 0 441.554 -0.147 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCc2ncccc2S(C)(=O)=O)CC1 ZINC000426845664 1072179373 /nfs/dbraw/zinc/17/93/73/1072179373.db2.gz NSXCQSMAXXPYII-OAHLLOKOSA-N 0 0 441.554 -0.147 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCN(CCCc3ccccc3)C1=O)c(=O)n2C ZINC000426846690 1072179417 /nfs/dbraw/zinc/17/94/17/1072179417.db2.gz AMIMEMPOXNJYCZ-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCN(CCCc3ccccc3)C1=O)c(=O)n2C ZINC000426846691 1072179257 /nfs/dbraw/zinc/17/92/57/1072179257.db2.gz AMIMEMPOXNJYCZ-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ncccc2S(C)(=O)=O)CC1 ZINC000426847995 1072179506 /nfs/dbraw/zinc/17/95/06/1072179506.db2.gz KJVRDYVBMUBHMX-HNNXBMFYSA-N 0 0 439.538 -0.440 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ncccc2S(C)(=O)=O)CC1 ZINC000426847996 1072179311 /nfs/dbraw/zinc/17/93/11/1072179311.db2.gz KJVRDYVBMUBHMX-OAHLLOKOSA-N 0 0 439.538 -0.440 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000426848060 1072179266 /nfs/dbraw/zinc/17/92/66/1072179266.db2.gz KYVPZKBFMJUPQU-UHFFFAOYSA-N 0 0 430.508 -0.113 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000426849105 1072179450 /nfs/dbraw/zinc/17/94/50/1072179450.db2.gz SJMIFXQYGXDIFQ-ZDUSSCGKSA-N 0 0 430.508 -0.114 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCN(CCCc4ccccc4)C3=O)c2c(=O)n(C)c1=O ZINC000426849897 1072179366 /nfs/dbraw/zinc/17/93/66/1072179366.db2.gz WNDCLNYTGSBYOI-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCN(CCCc4ccccc4)C3=O)c2c(=O)n(C)c1=O ZINC000426849899 1072179234 /nfs/dbraw/zinc/17/92/34/1072179234.db2.gz WNDCLNYTGSBYOI-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2ncccc2S(C)(=O)=O)CC1 ZINC000426850811 1072179273 /nfs/dbraw/zinc/17/92/73/1072179273.db2.gz KJPDCXPVPPGEIA-UHFFFAOYSA-N 0 0 434.540 -0.321 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CC2=O)cn1 ZINC000426851773 1072179302 /nfs/dbraw/zinc/17/93/02/1072179302.db2.gz TXCFIBVQGSFHCI-UHFFFAOYSA-N 0 0 433.490 -0.070 20 0 IBADRN CS(=O)(=O)c1cccnc1CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000426852811 1072181142 /nfs/dbraw/zinc/18/11/42/1072181142.db2.gz CSGLUBUJQYQUES-UHFFFAOYSA-N 0 0 425.511 -0.829 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3ccccc3S(=O)(=O)N3CCOCC3)CC2=O)cn1 ZINC000426853280 1072181074 /nfs/dbraw/zinc/18/10/74/1072181074.db2.gz HRZQYDCXBNPMLN-UHFFFAOYSA-N 0 0 433.490 -0.070 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)CC2=O)cn1 ZINC000426853639 1072181727 /nfs/dbraw/zinc/18/17/27/1072181727.db2.gz LASHXQBKRGURRJ-UHFFFAOYSA-N 0 0 439.519 -0.009 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000426855324 1072181744 /nfs/dbraw/zinc/18/17/44/1072181744.db2.gz FDNPTILKGHCBSL-UHFFFAOYSA-N 0 0 446.507 -0.551 20 0 IBADRN CS(=O)(=O)c1cccnc1CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000426856281 1072181736 /nfs/dbraw/zinc/18/17/36/1072181736.db2.gz AWLRPZKJLGXIIY-UHFFFAOYSA-N 0 0 425.511 -0.829 20 0 IBADRN CS(=O)(=O)c1cccnc1CNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000426856817 1072181877 /nfs/dbraw/zinc/18/18/77/1072181877.db2.gz DQGAGXDWNKWKHU-AWEZNQCLSA-N 0 0 446.551 -0.179 20 0 IBADRN CS(=O)(=O)c1cccnc1CNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000426856818 1072181885 /nfs/dbraw/zinc/18/18/85/1072181885.db2.gz DQGAGXDWNKWKHU-CQSZACIVSA-N 0 0 446.551 -0.179 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)CC2=O)cn1 ZINC000426857630 1072180023 /nfs/dbraw/zinc/18/00/23/1072180023.db2.gz IMGSSEXLISZKHJ-UHFFFAOYSA-N 0 0 433.490 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@H](O)C2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000426857809 1072180000 /nfs/dbraw/zinc/18/00/00/1072180000.db2.gz REJMJTOAXJZPKP-CVEARBPZSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2C[C@H](O)C2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000426857811 1072179955 /nfs/dbraw/zinc/17/99/55/1072179955.db2.gz REJMJTOAXJZPKP-HOTGVXAUSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@@H](O)C2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000426857812 1072179994 /nfs/dbraw/zinc/17/99/94/1072179994.db2.gz REJMJTOAXJZPKP-HZPDHXFCSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2C[C@@H](O)C2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000426857814 1072179964 /nfs/dbraw/zinc/17/99/64/1072179964.db2.gz REJMJTOAXJZPKP-JKSUJKDBSA-N 0 0 441.506 -0.070 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2cc(C(=O)NCCNS(=O)(=O)Cc3ccon3)cnc21 ZINC000426858595 1072180051 /nfs/dbraw/zinc/18/00/51/1072180051.db2.gz WDZWEEMXHDJBGX-UHFFFAOYSA-N 0 0 436.450 -0.256 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000426859848 1072179988 /nfs/dbraw/zinc/17/99/88/1072179988.db2.gz UAOLRIZYHGPLBM-UHFFFAOYSA-N 0 0 446.507 -0.551 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2=O)cn1 ZINC000426860719 1072179931 /nfs/dbraw/zinc/17/99/31/1072179931.db2.gz CJZIBYYNDQQINT-UHFFFAOYSA-N 0 0 446.489 -0.970 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)NCCNS(=O)(=O)Cc1ccon1 ZINC000426862443 1072180466 /nfs/dbraw/zinc/18/04/66/1072180466.db2.gz SJNZADDNDZCSBX-UHFFFAOYSA-N 0 0 434.471 -0.282 20 0 IBADRN Cc1c(C(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000426864540 1072180649 /nfs/dbraw/zinc/18/06/49/1072180649.db2.gz OOHUIGBJHVUWTK-UHFFFAOYSA-N 0 0 448.443 -0.110 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCc3ncccc3S(C)(=O)=O)CC2)no1 ZINC000426864933 1072180487 /nfs/dbraw/zinc/18/04/87/1072180487.db2.gz RSXVHXFJVDJWMS-UHFFFAOYSA-N 0 0 436.494 0.247 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)CC2)cn1C ZINC000426866692 1072180613 /nfs/dbraw/zinc/18/06/13/1072180613.db2.gz MMAYZLKYNZXPRL-UHFFFAOYSA-N 0 0 449.537 -0.262 20 0 IBADRN Cn1cc(N2CCN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2=O)cn1 ZINC000426866801 1072180640 /nfs/dbraw/zinc/18/06/40/1072180640.db2.gz NUJXHCAGXXVJRJ-CYBMUJFWSA-N 0 0 446.489 -0.704 20 0 IBADRN Cn1cc(N2CCN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2=O)cn1 ZINC000426866802 1072180588 /nfs/dbraw/zinc/18/05/88/1072180588.db2.gz NUJXHCAGXXVJRJ-ZDUSSCGKSA-N 0 0 446.489 -0.704 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC(=O)Nc1ccc(-n2cncn2)cc1 ZINC000426867542 1072181102 /nfs/dbraw/zinc/18/11/02/1072181102.db2.gz ARDSSDIVMGHDME-GFCCVEGCSA-N 0 0 432.466 -0.578 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC(=O)Nc1ccc(-n2cncn2)cc1 ZINC000426867543 1072181156 /nfs/dbraw/zinc/18/11/56/1072181156.db2.gz ARDSSDIVMGHDME-LBPRGKRZSA-N 0 0 432.466 -0.578 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000426868954 1072181176 /nfs/dbraw/zinc/18/11/76/1072181176.db2.gz MDYPVPSNRGXQQF-NSHDSACASA-N 0 0 434.471 -0.284 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)s1)NCCNS(=O)(=O)Cc1ccon1 ZINC000426868985 1072181110 /nfs/dbraw/zinc/18/11/10/1072181110.db2.gz MMRYWZJTEFBMAP-UHFFFAOYSA-N 0 0 442.928 -0.096 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000426869531 1072181090 /nfs/dbraw/zinc/18/10/90/1072181090.db2.gz SLKOUENUZUYLDA-LBPRGKRZSA-N 0 0 446.507 -0.414 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)NCCNS(=O)(=O)Cc1ccon1 ZINC000426870630 1072181187 /nfs/dbraw/zinc/18/11/87/1072181187.db2.gz DZLMAKDNZJFVJK-UHFFFAOYSA-N 0 0 434.471 -0.282 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CC2=O)cn1 ZINC000426873755 1072181169 /nfs/dbraw/zinc/18/11/69/1072181169.db2.gz DJIFZEIEKIHTTQ-UHFFFAOYSA-N 0 0 428.434 -0.680 20 0 IBADRN O=C(CN1C(=O)Cn2c(cc3ccccc32)C1=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000426873979 1072181220 /nfs/dbraw/zinc/18/12/20/1072181220.db2.gz HSDJWQQPFJEZGQ-UHFFFAOYSA-N 0 0 445.457 -0.153 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2=O)cn1 ZINC000426874453 1072181838 /nfs/dbraw/zinc/18/18/38/1072181838.db2.gz JLYAMLYUGMXSPO-UHFFFAOYSA-N 0 0 446.489 -0.970 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)CC2=O)cn1 ZINC000426874793 1072181719 /nfs/dbraw/zinc/18/17/19/1072181719.db2.gz KIAXNDVMCDTYBP-UHFFFAOYSA-N 0 0 448.505 -0.607 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3csc(S(=O)(=O)N4CCOCC4)c3)CC2=O)cn1 ZINC000426874831 1072181865 /nfs/dbraw/zinc/18/18/65/1072181865.db2.gz KRUHEPCRDCTWLS-UHFFFAOYSA-N 0 0 439.519 -0.009 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000426875403 1072181896 /nfs/dbraw/zinc/18/18/96/1072181896.db2.gz NVYATQPBYPLORM-HNNXBMFYSA-N 0 0 434.482 -0.502 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000426875404 1072181730 /nfs/dbraw/zinc/18/17/30/1072181730.db2.gz NVYATQPBYPLORM-OAHLLOKOSA-N 0 0 434.482 -0.502 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1ccc(CC(=O)NCCO)cc1 ZINC000426876754 1072181768 /nfs/dbraw/zinc/18/17/68/1072181768.db2.gz WBDYYFOYAHZKMR-CYBMUJFWSA-N 0 0 448.501 -0.258 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1ccc(CC(=O)NCCO)cc1 ZINC000426876755 1072181859 /nfs/dbraw/zinc/18/18/59/1072181859.db2.gz WBDYYFOYAHZKMR-ZDUSSCGKSA-N 0 0 448.501 -0.258 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)NCCNS(=O)(=O)Cc1ccon1 ZINC000426878466 1072182442 /nfs/dbraw/zinc/18/24/42/1072182442.db2.gz HUUMKFHAAHCZCI-UHFFFAOYSA-N 0 0 433.446 -0.518 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1S(N)(=O)=O ZINC000426878849 1072182466 /nfs/dbraw/zinc/18/24/66/1072182466.db2.gz MCMDWRXWTHRZOK-UHFFFAOYSA-N 0 0 425.442 -0.367 20 0 IBADRN Cn1cc(N2CCN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2=O)cn1 ZINC000426878989 1072182432 /nfs/dbraw/zinc/18/24/32/1072182432.db2.gz NRBLZOHJYQAWSR-INIZCTEOSA-N 0 0 438.444 -0.474 20 0 IBADRN Cn1cc(N2CCN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2=O)cn1 ZINC000426878990 1072182457 /nfs/dbraw/zinc/18/24/57/1072182457.db2.gz NRBLZOHJYQAWSR-MRXNPFEDSA-N 0 0 438.444 -0.474 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)Nc1ccc(CC(=O)NCCO)cc1 ZINC000426882306 1072182462 /nfs/dbraw/zinc/18/24/62/1072182462.db2.gz NMABRONNEPSNNN-IBGZPJMESA-N 0 0 432.521 -0.011 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)Nc1ccc(CC(=O)NCCO)cc1 ZINC000426882307 1072182447 /nfs/dbraw/zinc/18/24/47/1072182447.db2.gz NMABRONNEPSNNN-LJQANCHMSA-N 0 0 432.521 -0.011 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(CC(=O)NCCO)cc3)c2c(=O)n(C)c1=O ZINC000426883024 1072182436 /nfs/dbraw/zinc/18/24/36/1072182436.db2.gz QXXKYHZXGHQEKO-UHFFFAOYSA-N 0 0 428.449 -0.887 20 0 IBADRN COc1nc(N2CCN(Cc3ncc[nH]3)CC2)nc(N2CCN(Cc3ncc[nH]3)CC2)n1 ZINC000426885271 1072182485 /nfs/dbraw/zinc/18/24/85/1072182485.db2.gz ZRRXBRNMSBPBBU-UHFFFAOYSA-N 0 0 439.528 -0.029 20 0 IBADRN O=C(Cc1ccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)cc1)NCCO ZINC000426885439 1072183022 /nfs/dbraw/zinc/18/30/22/1072183022.db2.gz BLSYWKOIEFWTQS-UHFFFAOYSA-N 0 0 436.490 -0.061 20 0 IBADRN CN1C[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2C1=O ZINC000426886133 1072183035 /nfs/dbraw/zinc/18/30/35/1072183035.db2.gz IVGKDCRUFNMKNM-CRAIPNDOSA-N 0 0 448.545 -0.697 20 0 IBADRN CN1C[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2C1=O ZINC000426886134 1072183226 /nfs/dbraw/zinc/18/32/26/1072183226.db2.gz IVGKDCRUFNMKNM-MAUKXSAKSA-N 0 0 448.545 -0.697 20 0 IBADRN CN1C[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2C1=O ZINC000426886135 1072183130 /nfs/dbraw/zinc/18/31/30/1072183130.db2.gz IVGKDCRUFNMKNM-QAPCUYQASA-N 0 0 448.545 -0.697 20 0 IBADRN CN1C[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2C1=O ZINC000426886136 1072183117 /nfs/dbraw/zinc/18/31/17/1072183117.db2.gz IVGKDCRUFNMKNM-YJBOKZPZSA-N 0 0 448.545 -0.697 20 0 IBADRN CC(=O)N[C@H](CC1CCC1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000426886638 1071039182 /nfs/dbraw/zinc/03/91/82/1071039182.db2.gz NVXVRAWTZFDASF-DLBZAZTESA-N 0 0 428.555 -0.871 20 0 IBADRN CC(=O)N[C@H](CC1CCC1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000426886639 1072183063 /nfs/dbraw/zinc/18/30/63/1072183063.db2.gz NVXVRAWTZFDASF-IAGOWNOFSA-N 0 0 428.555 -0.871 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(CC(=O)NCCO)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000426888486 1072183006 /nfs/dbraw/zinc/18/30/06/1072183006.db2.gz IEOVHRXRQKXAPU-UHFFFAOYSA-N 0 0 425.445 -0.156 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nc(OC)nc(N3CCO[C@@H](CC(=O)OC)C3)n2)CCO1 ZINC000426892971 1072181805 /nfs/dbraw/zinc/18/18/05/1072181805.db2.gz CHDCIPKYVDAARF-BETUJISGSA-N 0 0 425.442 -0.583 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nc(OC)nc(N3CCO[C@H](CC(=O)OC)C3)n2)CCO1 ZINC000426892972 1072181830 /nfs/dbraw/zinc/18/18/30/1072181830.db2.gz CHDCIPKYVDAARF-CHWSQXEVSA-N 0 0 425.442 -0.583 20 0 IBADRN COC(=O)C[C@H]1CN(c2nc(OC)nc(N3CCO[C@@H](CC(=O)OC)C3)n2)CCO1 ZINC000426892973 1072181779 /nfs/dbraw/zinc/18/17/79/1072181779.db2.gz CHDCIPKYVDAARF-STQMWFEESA-N 0 0 425.442 -0.583 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1ccc(CC(=O)NCCO)cc1 ZINC000426897543 1072184463 /nfs/dbraw/zinc/18/44/63/1072184463.db2.gz POVBLLJTUPAACW-CYBMUJFWSA-N 0 0 437.522 -0.007 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1ccc(CC(=O)NCCO)cc1 ZINC000426897544 1072184335 /nfs/dbraw/zinc/18/43/35/1072184335.db2.gz POVBLLJTUPAACW-ZDUSSCGKSA-N 0 0 437.522 -0.007 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3nnn(C)n3)CC2)cc1 ZINC000426901705 1072183202 /nfs/dbraw/zinc/18/32/02/1072183202.db2.gz WFGUGZSXVMOOCT-UHFFFAOYSA-N 0 0 437.482 -0.397 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2COCCO2)cc1S(=O)(=O)N1CCCC1 ZINC000426907608 1072183626 /nfs/dbraw/zinc/18/36/26/1072183626.db2.gz APJYYRQBALATMI-AWEZNQCLSA-N 0 0 427.479 -0.050 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2COCCO2)cc1S(=O)(=O)N1CCCC1 ZINC000426907609 1072183769 /nfs/dbraw/zinc/18/37/69/1072183769.db2.gz APJYYRQBALATMI-CQSZACIVSA-N 0 0 427.479 -0.050 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC1(C(N)=O)CC1)c(=O)n2Cc1ccccc1 ZINC000426911152 1072183653 /nfs/dbraw/zinc/18/36/53/1072183653.db2.gz GYYCSIHSYBBGCA-UHFFFAOYSA-N 0 0 440.460 -0.812 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1C(=O)N1CCOCC1 ZINC000426912774 1072183667 /nfs/dbraw/zinc/18/36/67/1072183667.db2.gz YLQMENYIGWTNHM-UHFFFAOYSA-N 0 0 440.460 -0.258 20 0 IBADRN Cn1c(=O)ccc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc21 ZINC000426913025 1072183611 /nfs/dbraw/zinc/18/36/11/1072183611.db2.gz ARTTZLJPZBRPMT-UHFFFAOYSA-N 0 0 438.531 -0.028 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000426914322 1072183640 /nfs/dbraw/zinc/18/36/40/1072183640.db2.gz PBCKWALUUAEYEB-UHFFFAOYSA-N 0 0 448.523 -0.916 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC3(C(N)=O)CC3)CC2)cc1 ZINC000426915487 1072184966 /nfs/dbraw/zinc/18/49/66/1072184966.db2.gz WKITYSDEDGDXBP-UHFFFAOYSA-N 0 0 438.506 -0.558 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C)c(C(=O)N2CCOCC2)c1 ZINC000426919524 1072184920 /nfs/dbraw/zinc/18/49/20/1072184920.db2.gz VXQQGASCEQIZOI-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000426920348 1072185049 /nfs/dbraw/zinc/18/50/49/1072185049.db2.gz FLJXJRRSCVBYMH-UHFFFAOYSA-N 0 0 430.400 -0.994 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)Cn3cnnn3)CC1)OCCO2 ZINC000426920575 1072185486 /nfs/dbraw/zinc/18/54/86/1072185486.db2.gz ICKYVVJUYOTNSE-UHFFFAOYSA-N 0 0 445.436 -0.848 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)n2)cc1 ZINC000426925561 1073345498 /nfs/dbraw/zinc/34/54/98/1073345498.db2.gz LKDUWTDFGZREAP-HNNXBMFYSA-N 0 0 435.506 0.276 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)n2)cc1 ZINC000426925562 1073345747 /nfs/dbraw/zinc/34/57/47/1073345747.db2.gz LKDUWTDFGZREAP-OAHLLOKOSA-N 0 0 435.506 0.276 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1=O ZINC000426925826 1072185581 /nfs/dbraw/zinc/18/55/81/1072185581.db2.gz VSQHYMQYNODZOH-HNNXBMFYSA-N 0 0 434.424 -0.111 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1=O ZINC000426925827 1072185466 /nfs/dbraw/zinc/18/54/66/1072185466.db2.gz VSQHYMQYNODZOH-OAHLLOKOSA-N 0 0 434.424 -0.111 20 0 IBADRN NC(=O)C1(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000426925981 1072185688 /nfs/dbraw/zinc/18/56/88/1072185688.db2.gz NJPSIWUXPURYSP-UHFFFAOYSA-N 0 0 426.470 -0.427 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)ccc21 ZINC000426927634 1072184398 /nfs/dbraw/zinc/18/43/98/1072184398.db2.gz CZJKJPYWJFUGCV-UHFFFAOYSA-N 0 0 445.520 -0.217 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000426927760 1072184362 /nfs/dbraw/zinc/18/43/62/1072184362.db2.gz WCFGNTFBWPXQHJ-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)CC1(C(=O)N(C)CCNC(=O)N(C)C)CCOCC1 ZINC000426927993 1072184449 /nfs/dbraw/zinc/18/44/49/1072184449.db2.gz WRIALAIXVFCDGI-UHFFFAOYSA-N 0 0 442.561 -0.368 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)n2)cc1 ZINC000426928140 1073345595 /nfs/dbraw/zinc/34/55/95/1073345595.db2.gz HGLYPKVJBVCYPJ-UHFFFAOYSA-N 0 0 442.520 0.501 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000426929073 1072184459 /nfs/dbraw/zinc/18/44/59/1072184459.db2.gz NIMKWSRTYBHMKF-HNNXBMFYSA-N 0 0 438.506 -0.299 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000426929074 1072184348 /nfs/dbraw/zinc/18/43/48/1072184348.db2.gz NIMKWSRTYBHMKF-OAHLLOKOSA-N 0 0 438.506 -0.299 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc(OC(F)F)n(C)n2)CC1 ZINC000426929138 1072184412 /nfs/dbraw/zinc/18/44/12/1072184412.db2.gz NXNQKNMDPVNPIH-GFCCVEGCSA-N 0 0 444.439 -0.649 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc(OC(F)F)n(C)n2)CC1 ZINC000426929139 1072184385 /nfs/dbraw/zinc/18/43/85/1072184385.db2.gz NXNQKNMDPVNPIH-LBPRGKRZSA-N 0 0 444.439 -0.649 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)n1 ZINC000426930030 1072185020 /nfs/dbraw/zinc/18/50/20/1072185020.db2.gz WZPVHBVDBSFMKN-KBPBESRZSA-N 0 0 427.469 0.204 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)n1 ZINC000426930031 1072185057 /nfs/dbraw/zinc/18/50/57/1072185057.db2.gz WZPVHBVDBSFMKN-KGLIPLIRSA-N 0 0 427.469 0.204 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)n1 ZINC000426930032 1073336719 /nfs/dbraw/zinc/33/67/19/1073336719.db2.gz WZPVHBVDBSFMKN-UONOGXRCSA-N 0 0 427.469 0.204 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)n1 ZINC000426930033 1072184857 /nfs/dbraw/zinc/18/48/57/1072184857.db2.gz WZPVHBVDBSFMKN-ZIAGYGMSSA-N 0 0 427.469 0.204 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cc(OC(F)F)n(C)n2)CC1 ZINC000426930225 1072184499 /nfs/dbraw/zinc/18/44/99/1072184499.db2.gz YXPPBBWLABGQJW-GFCCVEGCSA-N 0 0 446.455 -0.355 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cc(OC(F)F)n(C)n2)CC1 ZINC000426930226 1072184305 /nfs/dbraw/zinc/18/43/05/1072184305.db2.gz YXPPBBWLABGQJW-LBPRGKRZSA-N 0 0 446.455 -0.355 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccn(Cc2ccc(OC)cc2)n1 ZINC000426930962 1072185076 /nfs/dbraw/zinc/18/50/76/1072185076.db2.gz GVBKFOIVAWFCLC-HNNXBMFYSA-N 0 0 435.506 0.419 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccn(Cc2ccc(OC)cc2)n1 ZINC000426930963 1072184902 /nfs/dbraw/zinc/18/49/02/1072184902.db2.gz GVBKFOIVAWFCLC-OAHLLOKOSA-N 0 0 435.506 0.419 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)n2)cc1 ZINC000426932621 1073345696 /nfs/dbraw/zinc/34/56/96/1073345696.db2.gz HZAWXSWDDVRHJS-OAHLLOKOSA-N 0 0 435.506 0.419 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)ccc21 ZINC000426934297 1072184791 /nfs/dbraw/zinc/18/47/91/1072184791.db2.gz POVIMVKASIMPIK-OAHLLOKOSA-N 0 0 438.506 -0.299 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)n1 ZINC000426934919 1073336661 /nfs/dbraw/zinc/33/66/61/1073336661.db2.gz SRPOLDFSRYGWEY-LLVKDONJSA-N 0 0 429.524 0.494 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)n1 ZINC000426934920 1073337861 /nfs/dbraw/zinc/33/78/61/1073337861.db2.gz SRPOLDFSRYGWEY-NSHDSACASA-N 0 0 429.524 0.494 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)n1 ZINC000426935253 1072184989 /nfs/dbraw/zinc/18/49/89/1072184989.db2.gz BKGBVGUTCWTCTO-AWEZNQCLSA-N 0 0 431.497 0.431 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)n1 ZINC000426935254 1073336570 /nfs/dbraw/zinc/33/65/70/1073336570.db2.gz BKGBVGUTCWTCTO-CQSZACIVSA-N 0 0 431.497 0.431 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)ccc21 ZINC000426935671 1072185642 /nfs/dbraw/zinc/18/56/42/1072185642.db2.gz DERDIOREJWKJRR-UHFFFAOYSA-N 0 0 440.460 -0.231 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1=O ZINC000426936224 1072185509 /nfs/dbraw/zinc/18/55/09/1072185509.db2.gz FYGNYUMPSPXVRW-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)n2)cc1 ZINC000426936679 1073345585 /nfs/dbraw/zinc/34/55/85/1073345585.db2.gz IPILZFAHPKULTD-UHFFFAOYSA-N 0 0 437.460 0.487 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000426937571 1072185552 /nfs/dbraw/zinc/18/55/52/1072185552.db2.gz RHRRPQMXQHDKHF-UHFFFAOYSA-N 0 0 435.456 -0.444 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3CCOC)CC1=O ZINC000426937629 1072186272 /nfs/dbraw/zinc/18/62/72/1072186272.db2.gz RUDZMLYFYKLOTQ-UHFFFAOYSA-N 0 0 432.477 -0.132 20 0 IBADRN COc1ccc(Cn2ccc(=NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)[nH]2)cc1 ZINC000426938562 1073355675 /nfs/dbraw/zinc/35/56/75/1073355675.db2.gz AFHCNRKGAYLFOJ-UHFFFAOYSA-N 0 0 442.505 0.083 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1)N1CCOCC1 ZINC000426939041 1072186108 /nfs/dbraw/zinc/18/61/08/1072186108.db2.gz CSPURLKNFODICV-UHFFFAOYSA-N 0 0 447.467 -0.348 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)C1 ZINC000426940254 1072186250 /nfs/dbraw/zinc/18/62/50/1072186250.db2.gz MTZDGWZLWAKWSP-INIZCTEOSA-N 0 0 435.506 0.419 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)C1 ZINC000426940255 1072186244 /nfs/dbraw/zinc/18/62/44/1072186244.db2.gz MTZDGWZLWAKWSP-MRXNPFEDSA-N 0 0 435.506 0.419 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)ccc21 ZINC000426940270 1073356128 /nfs/dbraw/zinc/35/61/28/1073356128.db2.gz MVXMIWDKLFIBHS-UHFFFAOYSA-N 0 0 445.505 -0.057 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCC([C@@H](O)C(=O)OC)CC3)ccc21 ZINC000426940802 1072186267 /nfs/dbraw/zinc/18/62/67/1072186267.db2.gz RLOWLFYLMTUMCD-GOSISDBHSA-N 0 0 433.461 -0.067 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCC([C@H](O)C(=O)OC)CC3)ccc21 ZINC000426940803 1072186066 /nfs/dbraw/zinc/18/60/66/1072186066.db2.gz RLOWLFYLMTUMCD-SFHVURJKSA-N 0 0 433.461 -0.067 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)C1 ZINC000426941690 1072186132 /nfs/dbraw/zinc/18/61/32/1072186132.db2.gz ZYWHVEJDWDBYLU-HNNXBMFYSA-N 0 0 438.506 -0.299 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)C1 ZINC000426941691 1072186159 /nfs/dbraw/zinc/18/61/59/1072186159.db2.gz ZYWHVEJDWDBYLU-OAHLLOKOSA-N 0 0 438.506 -0.299 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000426946367 1072186260 /nfs/dbraw/zinc/18/62/60/1072186260.db2.gz UZLGQBLPSJEBHU-UHFFFAOYSA-N 0 0 449.483 -0.355 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)n2)cc1 ZINC000426946465 1073345704 /nfs/dbraw/zinc/34/57/04/1073345704.db2.gz VRTMGUNZAQJGKP-UHFFFAOYSA-N 0 0 428.493 0.287 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)ccc21 ZINC000426946512 1072186793 /nfs/dbraw/zinc/18/67/93/1072186793.db2.gz WFXXJZGCSZDHJA-UHFFFAOYSA-N 0 0 431.493 -0.431 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)[C@@H](C)C3)ccc21 ZINC000426947832 1072186812 /nfs/dbraw/zinc/18/68/12/1072186812.db2.gz HUHKCFKFRBDKCY-ZDUSSCGKSA-N 0 0 438.506 -0.347 20 0 IBADRN COCCN1c2ccc(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cc2CCC1=O ZINC000426948281 1072186773 /nfs/dbraw/zinc/18/67/73/1072186773.db2.gz LMVGLAKRDVQANA-UHFFFAOYSA-N 0 0 445.520 -0.041 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)[C@@H](C)C3)n2)cc1 ZINC000426948565 1073345563 /nfs/dbraw/zinc/34/55/63/1073345563.db2.gz NWROFKSCDNZOCP-AWEZNQCLSA-N 0 0 435.506 0.371 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC)C(=O)N1CCOCC1 ZINC000426949846 1072186742 /nfs/dbraw/zinc/18/67/42/1072186742.db2.gz YQZDILYWWMVCFS-INIZCTEOSA-N 0 0 432.477 -0.086 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC)C(=O)N1CCOCC1 ZINC000426949847 1072186764 /nfs/dbraw/zinc/18/67/64/1072186764.db2.gz YQZDILYWWMVCFS-MRXNPFEDSA-N 0 0 432.477 -0.086 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)n1 ZINC000426949921 1073337883 /nfs/dbraw/zinc/33/78/83/1073337883.db2.gz ZJLWCKYRUISJSE-HNNXBMFYSA-N 0 0 445.542 -0.072 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)n1 ZINC000426949922 1073336551 /nfs/dbraw/zinc/33/65/51/1073336551.db2.gz ZJLWCKYRUISJSE-OAHLLOKOSA-N 0 0 445.542 -0.072 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2cccs2)n1 ZINC000426952563 1073336671 /nfs/dbraw/zinc/33/66/71/1073336671.db2.gz XXYLQNUVCAJBGC-GFCCVEGCSA-N 0 0 429.524 0.527 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2cccs2)n1 ZINC000426952564 1073337872 /nfs/dbraw/zinc/33/78/72/1073337872.db2.gz XXYLQNUVCAJBGC-LBPRGKRZSA-N 0 0 429.524 0.527 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000426953452 1072185602 /nfs/dbraw/zinc/18/56/02/1072185602.db2.gz IJHMQMZLLZOFGL-UHFFFAOYSA-N 0 0 427.458 -0.918 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(OC(F)F)n(C)n2)CC1 ZINC000426954247 1072185443 /nfs/dbraw/zinc/18/54/43/1072185443.db2.gz CFBMGBAXJWOIHS-UHFFFAOYSA-N 0 0 439.441 -0.530 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1)OCCO2 ZINC000426954317 1072185426 /nfs/dbraw/zinc/18/54/26/1072185426.db2.gz MYYFSQGUQOJRRJ-UHFFFAOYSA-N 0 0 442.494 -0.772 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000426954647 1072185593 /nfs/dbraw/zinc/18/55/93/1072185593.db2.gz FFGZYCGMFWNCGD-UHFFFAOYSA-N 0 0 432.412 -0.494 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NC[C@@H]3CC[C@H](C(=O)N(C)C)O3)ccc21 ZINC000426955784 1072186693 /nfs/dbraw/zinc/18/66/93/1072186693.db2.gz KPGRHQADRLZLHV-DOTOQJQBSA-N 0 0 432.477 -0.087 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NC[C@H]3CC[C@H](C(=O)N(C)C)O3)ccc21 ZINC000426955785 1072186682 /nfs/dbraw/zinc/18/66/82/1072186682.db2.gz KPGRHQADRLZLHV-NVXWUHKLSA-N 0 0 432.477 -0.087 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NC[C@@H]3CC[C@@H](C(=O)N(C)C)O3)ccc21 ZINC000426955786 1072186802 /nfs/dbraw/zinc/18/68/02/1072186802.db2.gz KPGRHQADRLZLHV-RDJZCZTQSA-N 0 0 432.477 -0.087 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NC[C@H]3CC[C@@H](C(=O)N(C)C)O3)ccc21 ZINC000426955787 1072186697 /nfs/dbraw/zinc/18/66/97/1072186697.db2.gz KPGRHQADRLZLHV-WBVHZDCISA-N 0 0 432.477 -0.087 20 0 IBADRN COc1cc(NC(=O)CN(C)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(OC)c1 ZINC000426957584 1072186851 /nfs/dbraw/zinc/18/68/51/1072186851.db2.gz TZYCMIUCSUJPEJ-UHFFFAOYSA-N 0 0 444.448 -0.452 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)ccc21 ZINC000426958323 1072186723 /nfs/dbraw/zinc/18/67/23/1072186723.db2.gz YGWAQEXNAAGMLI-UHFFFAOYSA-N 0 0 428.449 -0.180 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)nn1 ZINC000426958889 1072186883 /nfs/dbraw/zinc/18/68/83/1072186883.db2.gz DGHMCLUWIMJVGW-UHFFFAOYSA-N 0 0 427.421 -0.041 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCSC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000426960280 1072186783 /nfs/dbraw/zinc/18/67/83/1072186783.db2.gz POZOTTPJEOXROJ-BEFAXECRSA-N 0 0 426.539 -0.246 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCSC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000426960281 1072186827 /nfs/dbraw/zinc/18/68/27/1072186827.db2.gz POZOTTPJEOXROJ-DNVCBOLYSA-N 0 0 426.539 -0.246 20 0 IBADRN O=C(N[C@H]1CCO[C@]2(CCSC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000426960282 1072187295 /nfs/dbraw/zinc/18/72/95/1072187295.db2.gz POZOTTPJEOXROJ-HNAYVOBHSA-N 0 0 426.539 -0.246 20 0 IBADRN O=C(N[C@H]1CCO[C@@]2(CCSC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000426960283 1072187442 /nfs/dbraw/zinc/18/74/42/1072187442.db2.gz POZOTTPJEOXROJ-KXBFYZLASA-N 0 0 426.539 -0.246 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cc(OC(F)F)n(C)n2)CC1 ZINC000426963885 1072187311 /nfs/dbraw/zinc/18/73/11/1072187311.db2.gz JGALZNVIJJUANL-UHFFFAOYSA-N 0 0 431.396 -0.122 20 0 IBADRN COc1cc(NC(=O)CN(C)C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc(OC)c1 ZINC000426966284 1072187300 /nfs/dbraw/zinc/18/73/00/1072187300.db2.gz XPJYQWVDDHLLPG-UHFFFAOYSA-N 0 0 444.448 -0.452 20 0 IBADRN O=C(N[C@H]1CCO[C@]2(CCSC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000426966466 1072187477 /nfs/dbraw/zinc/18/74/77/1072187477.db2.gz YMIRUNJOJSKFKB-DOTOQJQBSA-N 0 0 434.584 -0.055 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCSC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000426966469 1072187372 /nfs/dbraw/zinc/18/73/72/1072187372.db2.gz YMIRUNJOJSKFKB-NVXWUHKLSA-N 0 0 434.584 -0.055 20 0 IBADRN O=C(N[C@H]1CCO[C@@]2(CCSC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000426966471 1072187402 /nfs/dbraw/zinc/18/74/02/1072187402.db2.gz YMIRUNJOJSKFKB-RDJZCZTQSA-N 0 0 434.584 -0.055 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCSC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000426966473 1072187409 /nfs/dbraw/zinc/18/74/09/1072187409.db2.gz YMIRUNJOJSKFKB-WBVHZDCISA-N 0 0 434.584 -0.055 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N[C@H]3CCN(CCC(=O)OC)C3=O)ccc21 ZINC000426979451 1072188440 /nfs/dbraw/zinc/18/84/40/1072188440.db2.gz BHTAXAYWQZSCAY-HNNXBMFYSA-N 0 0 446.460 -0.559 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N[C@@H]3CCN(CCC(=O)OC)C3=O)ccc21 ZINC000426979452 1072188643 /nfs/dbraw/zinc/18/86/43/1072188643.db2.gz BHTAXAYWQZSCAY-OAHLLOKOSA-N 0 0 446.460 -0.559 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(C(=O)[C@H](C)O)CC3)ccc21 ZINC000426980671 1072188448 /nfs/dbraw/zinc/18/84/48/1072188448.db2.gz BHBMBBHOZPPUQT-AWEZNQCLSA-N 0 0 432.477 -0.398 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)ccc21 ZINC000426980672 1072188501 /nfs/dbraw/zinc/18/85/01/1072188501.db2.gz BHBMBBHOZPPUQT-CQSZACIVSA-N 0 0 432.477 -0.398 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000426980870 1072188685 /nfs/dbraw/zinc/18/86/85/1072188685.db2.gz BYYFGLIYJBIMCJ-CYBMUJFWSA-N 0 0 436.440 -0.712 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000426980871 1072188627 /nfs/dbraw/zinc/18/86/27/1072188627.db2.gz BYYFGLIYJBIMCJ-ZDUSSCGKSA-N 0 0 436.440 -0.712 20 0 IBADRN COc1cc(NC(=O)CN(C)C(=O)CNS(=O)(=O)c2cnn(C)c2)cc(OC)c1 ZINC000426981486 1072188651 /nfs/dbraw/zinc/18/86/51/1072188651.db2.gz FJMSCGADQDUDFW-UHFFFAOYSA-N 0 0 425.467 -0.187 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCO[C@@]4(CCSC4)C3)CC2)CC1 ZINC000426983944 1072187456 /nfs/dbraw/zinc/18/74/56/1072187456.db2.gz PSBKUOCCJYPCAG-AEFFLSMTSA-N 0 0 447.627 -0.140 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCO[C@]4(CCSC4)C3)CC2)CC1 ZINC000426983945 1072187249 /nfs/dbraw/zinc/18/72/49/1072187249.db2.gz PSBKUOCCJYPCAG-FUHWJXTLSA-N 0 0 447.627 -0.140 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCO[C@]4(CCSC4)C3)CC2)CC1 ZINC000426983946 1072187344 /nfs/dbraw/zinc/18/73/44/1072187344.db2.gz PSBKUOCCJYPCAG-SJLPKXTDSA-N 0 0 447.627 -0.140 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCO[C@@]4(CCSC4)C3)CC2)CC1 ZINC000426983947 1072187260 /nfs/dbraw/zinc/18/72/60/1072187260.db2.gz PSBKUOCCJYPCAG-WMZOPIPTSA-N 0 0 447.627 -0.140 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)C1=O ZINC000426984316 1073324046 /nfs/dbraw/zinc/32/40/46/1073324046.db2.gz ZSRKSZLTEYSUIX-INIZCTEOSA-N 0 0 443.460 0.159 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)C1=O ZINC000426984317 1073324114 /nfs/dbraw/zinc/32/41/14/1073324114.db2.gz ZSRKSZLTEYSUIX-MRXNPFEDSA-N 0 0 443.460 0.159 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1 ZINC000426985137 1072187869 /nfs/dbraw/zinc/18/78/69/1072187869.db2.gz DZOKVNONTVCFDP-UHFFFAOYSA-N 0 0 436.440 -0.448 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000426985699 1072187984 /nfs/dbraw/zinc/18/79/84/1072187984.db2.gz GUAKTGAFIJIBAA-CABCVRRESA-N 0 0 443.526 -0.318 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000426985707 1073337987 /nfs/dbraw/zinc/33/79/87/1073337987.db2.gz GUAKTGAFIJIBAA-GJZGRUSLSA-N 0 0 443.526 -0.318 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000426985709 1072188001 /nfs/dbraw/zinc/18/80/01/1072188001.db2.gz GUAKTGAFIJIBAA-HUUCEWRRSA-N 0 0 443.526 -0.318 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000426985710 1072187995 /nfs/dbraw/zinc/18/79/95/1072187995.db2.gz GUAKTGAFIJIBAA-LSDHHAIUSA-N 0 0 443.526 -0.318 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CC(OCC(=O)N(C)C)C3)ccc21 ZINC000426986161 1072187912 /nfs/dbraw/zinc/18/79/12/1072187912.db2.gz IXCATMBPVCYHQF-UHFFFAOYSA-N 0 0 432.477 -0.134 20 0 IBADRN COc1cc(OC)c(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1Br ZINC000426986461 1072187958 /nfs/dbraw/zinc/18/79/58/1072187958.db2.gz LDHTYASMARFEEH-UHFFFAOYSA-N 0 0 429.271 -0.047 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCC4(CC3)NC(=O)N(C)C4=O)n2)cc1 ZINC000426986539 1072188086 /nfs/dbraw/zinc/18/80/86/1072188086.db2.gz LWATZWQGSYFECS-UHFFFAOYSA-N 0 0 440.460 0.421 20 0 IBADRN O=C(CNC(=O)c1cccc(O)c1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000426991986 1072188055 /nfs/dbraw/zinc/18/80/55/1072188055.db2.gz PEVMDHMPGOBLPA-KRWDZBQOSA-N 0 0 439.534 -0.140 20 0 IBADRN O=C(CNC(=O)c1cccc(O)c1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000426991987 1072188044 /nfs/dbraw/zinc/18/80/44/1072188044.db2.gz PEVMDHMPGOBLPA-QGZVFWFLSA-N 0 0 439.534 -0.140 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)c3cn(Cc4noc(C)n4)nn3)C2)cc1 ZINC000426993312 1072187883 /nfs/dbraw/zinc/18/78/83/1072187883.db2.gz XHHLEYHUDUUSTC-UHFFFAOYSA-N 0 0 433.450 -0.171 20 0 IBADRN CN1CCO[C@@H]2CN(C(=O)CC3(C(=O)N4C[C@H]5OCCN(C)[C@H]5C4)CCOCC3)C[C@@H]21 ZINC000426994371 1072188009 /nfs/dbraw/zinc/18/80/09/1072188009.db2.gz ZAOXRXRVEMQPCZ-INDMIFKZSA-N 0 0 436.553 -0.744 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1C(=O)N1CCOCC1 ZINC000426998849 1072189819 /nfs/dbraw/zinc/18/98/19/1072189819.db2.gz SSOUBAILJMSWRL-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN CN(C(=O)CNC(=O)c1cccc(O)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000426999995 1072189858 /nfs/dbraw/zinc/18/98/58/1072189858.db2.gz NGKLYEUHODCTKQ-UHFFFAOYSA-N 0 0 431.537 -0.181 20 0 IBADRN CCOCCN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(CCOCC)CC3)O2)CC1 ZINC000427000316 1072189745 /nfs/dbraw/zinc/18/97/45/1072189745.db2.gz BVHRTKBKVXQAPA-BGYRXZFFSA-N 0 0 440.585 -0.105 20 0 IBADRN CCOCCN1CCN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCN(CCOCC)CC3)O2)CC1 ZINC000427000317 1072189798 /nfs/dbraw/zinc/18/97/98/1072189798.db2.gz BVHRTKBKVXQAPA-PMACEKPBSA-N 0 0 440.585 -0.105 20 0 IBADRN CCOCCN1CCN(C(=O)[C@H]2CC[C@H](C(=O)N3CCN(CCOCC)CC3)O2)CC1 ZINC000427000318 1072189808 /nfs/dbraw/zinc/18/98/08/1072189808.db2.gz BVHRTKBKVXQAPA-WOJBJXKFSA-N 0 0 440.585 -0.105 20 0 IBADRN COc1cc(C)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000427002367 1072189763 /nfs/dbraw/zinc/18/97/63/1072189763.db2.gz OFBKPLODBPEWKD-UHFFFAOYSA-N 0 0 440.522 -0.028 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000427005167 1072189855 /nfs/dbraw/zinc/18/98/55/1072189855.db2.gz OZTSFMPUNJSGJY-CYBMUJFWSA-N 0 0 444.535 -0.688 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CC2 ZINC000427005168 1072189845 /nfs/dbraw/zinc/18/98/45/1072189845.db2.gz OZTSFMPUNJSGJY-ZDUSSCGKSA-N 0 0 444.535 -0.688 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1C(=O)N1CCOCC1 ZINC000427007452 1072188664 /nfs/dbraw/zinc/18/86/64/1072188664.db2.gz HACPGUMKMUJLQI-UHFFFAOYSA-N 0 0 438.506 -0.490 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C[C@@H](O)CC)CC3)O2)CC1 ZINC000427010065 1072188465 /nfs/dbraw/zinc/18/84/65/1072188465.db2.gz UVCCABWFLVTXJM-FGYAAKKASA-N 0 0 440.585 -0.636 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C[C@@H](O)CC)CC3)O2)CC1 ZINC000427010066 1072188551 /nfs/dbraw/zinc/18/85/51/1072188551.db2.gz UVCCABWFLVTXJM-LWYYNNOASA-N 0 0 440.585 -0.636 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCN(C[C@@H](O)CC)CC3)O2)CC1 ZINC000427010067 1072188511 /nfs/dbraw/zinc/18/85/11/1072188511.db2.gz UVCCABWFLVTXJM-MUGJNUQGSA-N 0 0 440.585 -0.636 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCN(C[C@@H](O)CC)CC3)O2)CC1 ZINC000427010068 1072188588 /nfs/dbraw/zinc/18/85/88/1072188588.db2.gz UVCCABWFLVTXJM-YRPNKDGESA-N 0 0 440.585 -0.636 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)[C@H]1CC[C@@H](C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)O1 ZINC000427011398 1072189182 /nfs/dbraw/zinc/18/91/82/1072189182.db2.gz FMPDIAWJJRXSPJ-OJFNHCPVSA-N 0 0 442.465 -0.888 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)[C@H]1CC[C@@H](C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)O1 ZINC000427011399 1072189034 /nfs/dbraw/zinc/18/90/34/1072189034.db2.gz FMPDIAWJJRXSPJ-PLZKBRGSSA-N 0 0 442.465 -0.888 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)[C@H]1CC[C@@H](C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)O1 ZINC000427011400 1072189112 /nfs/dbraw/zinc/18/91/12/1072189112.db2.gz FMPDIAWJJRXSPJ-SQNSNAQRSA-N 0 0 442.465 -0.888 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)[C@H]1CC[C@@H](C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)O1 ZINC000427011401 1072189123 /nfs/dbraw/zinc/18/91/23/1072189123.db2.gz FMPDIAWJJRXSPJ-WGGGBPLMSA-N 0 0 442.465 -0.888 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1C(=O)N1CCOCC1 ZINC000427012473 1072189046 /nfs/dbraw/zinc/18/90/46/1072189046.db2.gz PYQSFGMOZOWJPD-UHFFFAOYSA-N 0 0 432.477 -0.229 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000427013006 1072189303 /nfs/dbraw/zinc/18/93/03/1072189303.db2.gz AOQGCIWCMJHYTB-AWEZNQCLSA-N 0 0 441.554 -0.534 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000427013588 1072189323 /nfs/dbraw/zinc/18/93/23/1072189323.db2.gz BWOPKNBZJRLESJ-HNNXBMFYSA-N 0 0 440.522 -0.829 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C)c(C(=O)N2CCOCC2)c1 ZINC000427014243 1072189133 /nfs/dbraw/zinc/18/91/33/1072189133.db2.gz ZEFLVPUZRVLCAM-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000427015475 1072189176 /nfs/dbraw/zinc/18/91/76/1072189176.db2.gz LQQHWJAXGYLBCW-AWEZNQCLSA-N 0 0 440.478 -0.869 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000427015478 1072189277 /nfs/dbraw/zinc/18/92/77/1072189277.db2.gz LQQHWJAXGYLBCW-CQSZACIVSA-N 0 0 440.478 -0.869 20 0 IBADRN O=C(CN1CCN(CC(=O)NC2CCCCC2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000427016494 1072189767 /nfs/dbraw/zinc/18/97/67/1072189767.db2.gz QPQSLHGJCQTZCV-INIZCTEOSA-N 0 0 443.570 -0.934 20 0 IBADRN O=C(CN1CCN(CC(=O)NC2CCCCC2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000427016500 1072189774 /nfs/dbraw/zinc/18/97/74/1072189774.db2.gz QPQSLHGJCQTZCV-MRXNPFEDSA-N 0 0 443.570 -0.934 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(CC(C)(C)O)CC3)O2)CC1 ZINC000427017072 1072189058 /nfs/dbraw/zinc/18/90/58/1072189058.db2.gz UGSJARXIGGPPRE-HDICACEKSA-N 0 0 440.585 -0.636 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)[C@H]2CC[C@H](C(=O)N3CCN(CC(C)(C)O)CC3)O2)CC1 ZINC000427017073 1072189143 /nfs/dbraw/zinc/18/91/43/1072189143.db2.gz UGSJARXIGGPPRE-QZTJIDSGSA-N 0 0 440.585 -0.636 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCN(CC(C)(C)O)CC3)O2)CC1 ZINC000427017074 1072189258 /nfs/dbraw/zinc/18/92/58/1072189258.db2.gz UGSJARXIGGPPRE-ROUUACIJSA-N 0 0 440.585 -0.636 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000427017397 1072189091 /nfs/dbraw/zinc/18/90/91/1072189091.db2.gz SMLPRAAZGNLSQO-HNNXBMFYSA-N 0 0 434.493 -0.369 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cccc1N1CCNC1=O ZINC000427018985 1072191097 /nfs/dbraw/zinc/19/10/97/1072191097.db2.gz FVQMXLSZFHMZCG-UHFFFAOYSA-N 0 0 444.492 -0.341 20 0 IBADRN O=C(CN(CCO)Cc1cnn(Cc2ccccc2)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000427019491 1072190997 /nfs/dbraw/zinc/19/09/97/1072190997.db2.gz MCOOANIZTZQQNQ-GOSISDBHSA-N 0 0 449.533 -0.261 20 0 IBADRN O=C(CN(CCO)Cc1cnn(Cc2ccccc2)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000427019492 1072190964 /nfs/dbraw/zinc/19/09/64/1072190964.db2.gz MCOOANIZTZQQNQ-SFHVURJKSA-N 0 0 449.533 -0.261 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000427019904 1072191036 /nfs/dbraw/zinc/19/10/36/1072191036.db2.gz OJQBNDJRYCRPGR-ZDUSSCGKSA-N 0 0 428.511 -0.591 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1N1CCNC1=O ZINC000427019989 1072190957 /nfs/dbraw/zinc/19/09/57/1072190957.db2.gz CVXRTYIDADDTNU-UHFFFAOYSA-N 0 0 444.492 -0.341 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)cn1 ZINC000427020160 1072190987 /nfs/dbraw/zinc/19/09/87/1072190987.db2.gz OXZGUTHVSQVMNY-UHFFFAOYSA-N 0 0 444.496 -0.467 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000427020355 1072191130 /nfs/dbraw/zinc/19/11/30/1072191130.db2.gz AZMTVGCJHXLIFI-CABCVRRESA-N 0 0 442.538 -0.537 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000427020356 1072191150 /nfs/dbraw/zinc/19/11/50/1072191150.db2.gz AZMTVGCJHXLIFI-GJZGRUSLSA-N 0 0 442.538 -0.537 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1N1CCNC1=O ZINC000427020915 1072191111 /nfs/dbraw/zinc/19/11/11/1072191111.db2.gz SBARFQNPTQPANX-UHFFFAOYSA-N 0 0 442.476 -0.587 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cccc1N1CCNC1=O ZINC000427021168 1072191076 /nfs/dbraw/zinc/19/10/76/1072191076.db2.gz SHYBRKKYGDSSNT-UHFFFAOYSA-N 0 0 442.476 -0.587 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3ccc(=O)n(C)c3c2)CC1 ZINC000427022014 1072190950 /nfs/dbraw/zinc/19/09/50/1072190950.db2.gz WEMYFDNWBWNYKJ-UHFFFAOYSA-N 0 0 427.461 -0.328 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000427022118 1072191216 /nfs/dbraw/zinc/19/12/16/1072191216.db2.gz IEPFAQWGVGWMBZ-HNNXBMFYSA-N 0 0 434.493 -0.227 20 0 IBADRN Cn1c(=O)ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc21 ZINC000427023127 1072191894 /nfs/dbraw/zinc/19/18/94/1072191894.db2.gz ZPOFYBOESACCNS-UHFFFAOYSA-N 0 0 425.445 -0.574 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000427023455 1072191008 /nfs/dbraw/zinc/19/10/08/1072191008.db2.gz NREJKECOZIJPJE-ZDUSSCGKSA-N 0 0 440.522 -0.401 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000427025138 1072191856 /nfs/dbraw/zinc/19/18/56/1072191856.db2.gz XIPBEDKHIZBRLR-GJZGRUSLSA-N 0 0 434.493 -0.169 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000427025139 1072191761 /nfs/dbraw/zinc/19/17/61/1072191761.db2.gz XIPBEDKHIZBRLR-LSDHHAIUSA-N 0 0 434.493 -0.169 20 0 IBADRN Cn1c(=O)ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc21 ZINC000427025329 1072191846 /nfs/dbraw/zinc/19/18/46/1072191846.db2.gz ZMQQFCXTVBTDHD-UHFFFAOYSA-N 0 0 439.472 -0.230 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC000427025522 1072189918 /nfs/dbraw/zinc/18/99/18/1072189918.db2.gz BQABWNHHXLWPQV-ZDUSSCGKSA-N 0 0 428.486 -0.171 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000427026126 1072189900 /nfs/dbraw/zinc/18/99/00/1072189900.db2.gz HSIMCYGZLNNDKF-UHFFFAOYSA-N 0 0 442.524 0.103 20 0 IBADRN COc1cc(NC(=O)CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc(OC)c1 ZINC000427026276 1072189786 /nfs/dbraw/zinc/18/97/86/1072189786.db2.gz BXAKWKCWJMSEHZ-HNNXBMFYSA-N 0 0 434.493 -0.061 20 0 IBADRN COc1cc(NC(=O)CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc(OC)c1 ZINC000427026277 1072189876 /nfs/dbraw/zinc/18/98/76/1072189876.db2.gz BXAKWKCWJMSEHZ-OAHLLOKOSA-N 0 0 434.493 -0.061 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)CC1 ZINC000427026488 1072189734 /nfs/dbraw/zinc/18/97/34/1072189734.db2.gz JMJFIAMEGGOCEL-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)ccc1S(=O)(=O)N(C)C ZINC000427027009 1072189779 /nfs/dbraw/zinc/18/97/79/1072189779.db2.gz GTQGETGSPUCHBW-ZDUSSCGKSA-N 0 0 428.511 -0.591 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000427027308 1072189754 /nfs/dbraw/zinc/18/97/54/1072189754.db2.gz ATPRJNDHZVNAAA-INIZCTEOSA-N 0 0 434.493 -0.612 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000427027818 1072190464 /nfs/dbraw/zinc/19/04/64/1072190464.db2.gz CNZLRPQKJZMSTA-ZDUSSCGKSA-N 0 0 440.522 -0.401 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000427027966 1072190655 /nfs/dbraw/zinc/19/06/55/1072190655.db2.gz KRFVYMDTZHLSNQ-UHFFFAOYSA-N 0 0 438.492 -0.094 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1C(=O)N1CCOCC1 ZINC000427028289 1072190591 /nfs/dbraw/zinc/19/05/91/1072190591.db2.gz MFDRNEHPEBHART-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN COc1cc(NC(=O)CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(OC)c1 ZINC000427028748 1072190675 /nfs/dbraw/zinc/19/06/75/1072190675.db2.gz HATJOXSFEQODPM-UHFFFAOYSA-N 0 0 430.421 -0.794 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1OCC(=O)NC1CC1 ZINC000427029223 1072190399 /nfs/dbraw/zinc/19/03/99/1072190399.db2.gz UTPXXIGTBNUHEW-AWEZNQCLSA-N 0 0 434.493 -0.184 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1 ZINC000427029767 1072190575 /nfs/dbraw/zinc/19/05/75/1072190575.db2.gz QOUOKAFVNFAQQK-ZDUSSCGKSA-N 0 0 428.486 -0.171 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1S(=O)(=O)N(C)C ZINC000427030243 1072190555 /nfs/dbraw/zinc/19/05/55/1072190555.db2.gz MDKYBAIRLOTFGG-AWEZNQCLSA-N 0 0 442.538 -0.201 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000427030395 1072190602 /nfs/dbraw/zinc/19/06/02/1072190602.db2.gz SUTLSAHVZHKCAI-KRWDZBQOSA-N 0 0 447.536 -0.788 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000427030527 1072190431 /nfs/dbraw/zinc/19/04/31/1072190431.db2.gz ZTEJQUZUMLLPSI-HNNXBMFYSA-N 0 0 427.523 -0.040 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CC1 ZINC000427032179 1072190666 /nfs/dbraw/zinc/19/06/66/1072190666.db2.gz ZJQGAQUSRVHPJX-AWEZNQCLSA-N 0 0 435.506 -0.261 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000427032217 1072190447 /nfs/dbraw/zinc/19/04/47/1072190447.db2.gz ZUJBLSRWWSUURA-AWEZNQCLSA-N 0 0 442.538 -0.201 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)CC1 ZINC000427032517 1072190420 /nfs/dbraw/zinc/19/04/20/1072190420.db2.gz CUUKQYWICKWRHV-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CC1 ZINC000427034445 1072190483 /nfs/dbraw/zinc/19/04/83/1072190483.db2.gz NVOWEYLFMINXLD-GJZGRUSLSA-N 0 0 429.481 -0.059 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1)n1cncn1 ZINC000427034446 1072191051 /nfs/dbraw/zinc/19/10/51/1072191051.db2.gz NVOWEYLFMINXLD-LSDHHAIUSA-N 0 0 429.481 -0.059 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000427034900 1072192462 /nfs/dbraw/zinc/19/24/62/1072192462.db2.gz QHQBOHSRLLHDEI-INIZCTEOSA-N 0 0 433.509 -0.579 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000427036006 1072192217 /nfs/dbraw/zinc/19/22/17/1072192217.db2.gz XAXZGKXUGIAMOQ-KRWDZBQOSA-N 0 0 431.537 -0.533 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1C(=O)N1CCOCC1 ZINC000427036864 1072192332 /nfs/dbraw/zinc/19/23/32/1072192332.db2.gz SKUNCCZIKHPRCE-UHFFFAOYSA-N 0 0 438.506 -0.442 20 0 IBADRN COc1cc(NC(=O)CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc(OC)c1 ZINC000427038270 1072192275 /nfs/dbraw/zinc/19/22/75/1072192275.db2.gz LGBMTKSMKVJKNE-GXTWGEPZSA-N 0 0 439.490 -0.206 20 0 IBADRN COc1cc(NC(=O)CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc(OC)c1 ZINC000427038271 1072192200 /nfs/dbraw/zinc/19/22/00/1072192200.db2.gz LGBMTKSMKVJKNE-JSGCOSHPSA-N 0 0 439.490 -0.206 20 0 IBADRN COc1cc(NC(=O)CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc(OC)c1 ZINC000427038272 1072193063 /nfs/dbraw/zinc/19/30/63/1072193063.db2.gz LGBMTKSMKVJKNE-OCCSQVGLSA-N 0 0 439.490 -0.206 20 0 IBADRN COc1cc(NC(=O)CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc(OC)c1 ZINC000427038273 1072193089 /nfs/dbraw/zinc/19/30/89/1072193089.db2.gz LGBMTKSMKVJKNE-TZMCWYRMSA-N 0 0 439.490 -0.206 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc(S(C)(=O)=O)c1 ZINC000427039105 1072193052 /nfs/dbraw/zinc/19/30/52/1072193052.db2.gz UPIWEQFJEXNHNN-ZDUSSCGKSA-N 0 0 441.506 -0.270 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCn4ccnc4C3)cc2)CC1 ZINC000427039809 1072193116 /nfs/dbraw/zinc/19/31/16/1072193116.db2.gz BNDAUHSPBUKWEK-INIZCTEOSA-N 0 0 446.533 -0.111 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCn4ccnc4C3)cc2)CC1 ZINC000427039810 1072192935 /nfs/dbraw/zinc/19/29/35/1072192935.db2.gz BNDAUHSPBUKWEK-MRXNPFEDSA-N 0 0 446.533 -0.111 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000427040163 1072193011 /nfs/dbraw/zinc/19/30/11/1072193011.db2.gz FSBXKEGQKLIZQA-LBPRGKRZSA-N 0 0 439.465 -0.038 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000427040605 1072192917 /nfs/dbraw/zinc/19/29/17/1072192917.db2.gz KCVHIVKNDIXVIX-LBPRGKRZSA-N 0 0 428.486 -0.270 20 0 IBADRN O=C(N[C@@H]1CCn2ccnc2C1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000427042079 1072191778 /nfs/dbraw/zinc/19/17/78/1072191778.db2.gz BBSSGWLXDYQYPN-CRAIPNDOSA-N 0 0 439.472 -0.033 20 0 IBADRN O=C(N[C@H]1CCn2ccnc2C1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000427042083 1072191869 /nfs/dbraw/zinc/19/18/69/1072191869.db2.gz BBSSGWLXDYQYPN-MAUKXSAKSA-N 0 0 439.472 -0.033 20 0 IBADRN O=C(N[C@@H]1CCn2ccnc2C1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000427042084 1072191861 /nfs/dbraw/zinc/19/18/61/1072191861.db2.gz BBSSGWLXDYQYPN-QAPCUYQASA-N 0 0 439.472 -0.033 20 0 IBADRN O=C(N[C@H]1CCn2ccnc2C1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000427042087 1072191741 /nfs/dbraw/zinc/19/17/41/1072191741.db2.gz BBSSGWLXDYQYPN-YJBOKZPZSA-N 0 0 439.472 -0.033 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)c1 ZINC000427042258 1072193083 /nfs/dbraw/zinc/19/30/83/1072193083.db2.gz XTMCVJBPPFGKPF-UHFFFAOYSA-N 0 0 439.476 -0.211 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC1 ZINC000427042667 1072191877 /nfs/dbraw/zinc/19/18/77/1072191877.db2.gz ZRUGMSVYEJIBTD-INIZCTEOSA-N 0 0 444.536 -0.396 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000427043263 1072191820 /nfs/dbraw/zinc/19/18/20/1072191820.db2.gz CEAJGJIYEFONHK-INIZCTEOSA-N 0 0 447.536 -0.454 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000427043667 1072191884 /nfs/dbraw/zinc/19/18/84/1072191884.db2.gz DXRNAYBYPOXDIU-HNNXBMFYSA-N 0 0 440.522 -0.829 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN(C[C@H](C)O)CC3)cc2)CC1 ZINC000427047959 1072192474 /nfs/dbraw/zinc/19/24/74/1072192474.db2.gz ZSWCTRAXXKZLRO-KRWDZBQOSA-N 0 0 431.537 -0.186 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1OC ZINC000427048847 1072192348 /nfs/dbraw/zinc/19/23/48/1072192348.db2.gz JMBQPHBGMCLETP-ZDUSSCGKSA-N 0 0 428.511 -0.080 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1 ZINC000427055053 1072193096 /nfs/dbraw/zinc/19/30/96/1072193096.db2.gz RIFWFTXZLUSABC-AWEZNQCLSA-N 0 0 437.522 -0.379 20 0 IBADRN COc1cc(NC(=O)CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc(OC)c1 ZINC000427055522 1072193001 /nfs/dbraw/zinc/19/30/01/1072193001.db2.gz WFIJFLHXYJFSBT-UHFFFAOYSA-N 0 0 425.467 -0.221 20 0 IBADRN COc1cc(NC(=O)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc(OC)c1 ZINC000427057348 1072192983 /nfs/dbraw/zinc/19/29/83/1072192983.db2.gz PPILCXKUHSITJR-UHFFFAOYSA-N 0 0 444.448 -0.404 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCn4ccnc4C3)c2)CC1 ZINC000427062428 1072193664 /nfs/dbraw/zinc/19/36/64/1072193664.db2.gz RLSJEDGNLQGIPO-INIZCTEOSA-N 0 0 446.533 -0.111 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCn4ccnc4C3)c2)CC1 ZINC000427062429 1072193511 /nfs/dbraw/zinc/19/35/11/1072193511.db2.gz RLSJEDGNLQGIPO-MRXNPFEDSA-N 0 0 446.533 -0.111 20 0 IBADRN COc1cc(NC(=O)CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc(OC)c1 ZINC000427062803 1072193657 /nfs/dbraw/zinc/19/36/57/1072193657.db2.gz UNZAMKNSJDZKNC-UHFFFAOYSA-N 0 0 429.433 -0.189 20 0 IBADRN COc1cc(NC(=O)CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc(OC)c1 ZINC000427064981 1072193070 /nfs/dbraw/zinc/19/30/70/1072193070.db2.gz PXVFKQQUFJGSMN-UHFFFAOYSA-N 0 0 430.421 -0.794 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1C(=O)N1CCOCC1 ZINC000427070676 1072193669 /nfs/dbraw/zinc/19/36/69/1072193669.db2.gz XBIWRMNBTWMPIM-HNNXBMFYSA-N 0 0 438.506 -0.444 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)N1CCOCC1 ZINC000427070677 1072193526 /nfs/dbraw/zinc/19/35/26/1072193526.db2.gz XBIWRMNBTWMPIM-OAHLLOKOSA-N 0 0 438.506 -0.444 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNc2cnccn2)cc1S(=O)(=O)N1CCCC1 ZINC000427072774 1073346408 /nfs/dbraw/zinc/34/64/08/1073346408.db2.gz ODQFTBUMYBUYPZ-UHFFFAOYSA-N 0 0 448.505 0.437 20 0 IBADRN CC1(C)COCCN1CCNC(=O)[C@H]1CC[C@@H](C(=O)NCCN2CCOCC2(C)C)O1 ZINC000427078936 1072193690 /nfs/dbraw/zinc/19/36/90/1072193690.db2.gz WWTMCQKWBFJWME-HDICACEKSA-N 0 0 440.585 -0.012 20 0 IBADRN CC1(C)COCCN1CCNC(=O)[C@H]1CC[C@H](C(=O)NCCN2CCOCC2(C)C)O1 ZINC000427079025 1072193549 /nfs/dbraw/zinc/19/35/49/1072193549.db2.gz WWTMCQKWBFJWME-QZTJIDSGSA-N 0 0 440.585 -0.012 20 0 IBADRN CC1(C)COCCN1CCNC(=O)[C@@H]1CC[C@@H](C(=O)NCCN2CCOCC2(C)C)O1 ZINC000427079026 1072193588 /nfs/dbraw/zinc/19/35/88/1072193588.db2.gz WWTMCQKWBFJWME-ROUUACIJSA-N 0 0 440.585 -0.012 20 0 IBADRN O=C(CS(=O)(=O)c1nc2c([nH]1)CCC2)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000427084121 1072193728 /nfs/dbraw/zinc/19/37/28/1072193728.db2.gz HPOVCTOQCFBQLL-CHWSQXEVSA-N 0 0 431.536 -0.133 20 0 IBADRN O=C(CS(=O)(=O)c1nc2c([nH]1)CCC2)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000427084122 1072193648 /nfs/dbraw/zinc/19/36/48/1072193648.db2.gz HPOVCTOQCFBQLL-OLZOCXBDSA-N 0 0 431.536 -0.133 20 0 IBADRN O=C(CS(=O)(=O)c1nc2c([nH]1)CCC2)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000427084123 1072193491 /nfs/dbraw/zinc/19/34/91/1072193491.db2.gz HPOVCTOQCFBQLL-QWHCGFSZSA-N 0 0 431.536 -0.133 20 0 IBADRN O=C(CS(=O)(=O)c1nc2c([nH]1)CCC2)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000427084124 1072193722 /nfs/dbraw/zinc/19/37/22/1072193722.db2.gz HPOVCTOQCFBQLL-STQMWFEESA-N 0 0 431.536 -0.133 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000427088040 1072194251 /nfs/dbraw/zinc/19/42/51/1072194251.db2.gz QJVYVMLJTXAPPZ-GFCCVEGCSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000427088043 1072194265 /nfs/dbraw/zinc/19/42/65/1072194265.db2.gz QJVYVMLJTXAPPZ-LBPRGKRZSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1cc(Br)ccc1CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000427089832 1072194936 /nfs/dbraw/zinc/19/49/36/1072194936.db2.gz QYNHPVWEXURCLP-UHFFFAOYSA-N 0 0 439.270 0.392 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000427090469 1072194829 /nfs/dbraw/zinc/19/48/29/1072194829.db2.gz XOCMBLWCLKXMDV-UHFFFAOYSA-N 0 0 445.519 -0.325 20 0 IBADRN CCNC(=O)Nc1ccc(C)c(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1 ZINC000427090892 1072194912 /nfs/dbraw/zinc/19/49/12/1072194912.db2.gz ANDVFTVJTGSHEY-HNNXBMFYSA-N 0 0 432.481 -0.072 20 0 IBADRN CCNC(=O)Nc1ccc(C)c(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1 ZINC000427090893 1072194869 /nfs/dbraw/zinc/19/48/69/1072194869.db2.gz ANDVFTVJTGSHEY-OAHLLOKOSA-N 0 0 432.481 -0.072 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CC[C@@H](C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)O1)[C@@H](C)N1CCOCC1 ZINC000427093297 1072194208 /nfs/dbraw/zinc/19/42/08/1072194208.db2.gz MHUSULQWTIJDTD-BGSOWLKRSA-N 0 0 440.585 -0.015 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CC[C@@H](C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)O1)[C@H](C)N1CCOCC1 ZINC000427093298 1072194216 /nfs/dbraw/zinc/19/42/16/1072194216.db2.gz MHUSULQWTIJDTD-DWIKVQACSA-N 0 0 440.585 -0.015 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CC[C@@H](C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)O1)[C@H](C)N1CCOCC1 ZINC000427093299 1072194316 /nfs/dbraw/zinc/19/43/16/1072194316.db2.gz MHUSULQWTIJDTD-XAWFRAJHSA-N 0 0 440.585 -0.015 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CC[C@@H](C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)O1)[C@@H](C)N1CCOCC1 ZINC000427093300 1072194156 /nfs/dbraw/zinc/19/41/56/1072194156.db2.gz MHUSULQWTIJDTD-YUNYCSSDSA-N 0 0 440.585 -0.015 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)CCO1 ZINC000427096548 1072194282 /nfs/dbraw/zinc/19/42/82/1072194282.db2.gz ITNNXOZDHJRKHK-KRWDZBQOSA-N 0 0 432.477 -0.231 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)CCO1 ZINC000427096549 1072194185 /nfs/dbraw/zinc/19/41/85/1072194185.db2.gz ITNNXOZDHJRKHK-QGZVFWFLSA-N 0 0 432.477 -0.231 20 0 IBADRN CC1(C)CN(CCNC(=O)[C@H]2CC[C@@H](C(=O)NCCN3CCOC(C)(C)C3)O2)CCO1 ZINC000427101435 1072194821 /nfs/dbraw/zinc/19/48/21/1072194821.db2.gz QJFDPPMUOMHFCZ-HDICACEKSA-N 0 0 440.585 -0.012 20 0 IBADRN CC1(C)CN(CCNC(=O)[C@H]2CC[C@H](C(=O)NCCN3CCOC(C)(C)C3)O2)CCO1 ZINC000427101436 1072194755 /nfs/dbraw/zinc/19/47/55/1072194755.db2.gz QJFDPPMUOMHFCZ-QZTJIDSGSA-N 0 0 440.585 -0.012 20 0 IBADRN CC1(C)CN(CCNC(=O)[C@@H]2CC[C@@H](C(=O)NCCN3CCOC(C)(C)C3)O2)CCO1 ZINC000427101437 1072194844 /nfs/dbraw/zinc/19/48/44/1072194844.db2.gz QJFDPPMUOMHFCZ-ROUUACIJSA-N 0 0 440.585 -0.012 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC[C@@H](C(=O)NCC(=O)Nc2cccnc2)O1)Nc1cccnc1 ZINC000427101973 1072194792 /nfs/dbraw/zinc/19/47/92/1072194792.db2.gz DMRQASYMLQDYMF-HOTGVXAUSA-N 0 0 426.433 -0.166 20 0 IBADRN O=C(CNC(=O)[C@H]1CC[C@H](C(=O)NCC(=O)Nc2cccnc2)O1)Nc1cccnc1 ZINC000427101974 1072194859 /nfs/dbraw/zinc/19/48/59/1072194859.db2.gz DMRQASYMLQDYMF-HZPDHXFCSA-N 0 0 426.433 -0.166 20 0 IBADRN O=C(CNC(=O)[C@H]1CC[C@@H](C(=O)NCC(=O)Nc2cccnc2)O1)Nc1cccnc1 ZINC000427101975 1072194899 /nfs/dbraw/zinc/19/48/99/1072194899.db2.gz DMRQASYMLQDYMF-IYBDPMFKSA-N 0 0 426.433 -0.166 20 0 IBADRN CCOC[C@@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000427102163 1072194732 /nfs/dbraw/zinc/19/47/32/1072194732.db2.gz FGDMDUAMZRBFGG-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOC[C@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000427102170 1072194904 /nfs/dbraw/zinc/19/49/04/1072194904.db2.gz FGDMDUAMZRBFGG-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1ccc(Cn2cc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cn2)cc1OC ZINC000427102370 1072194929 /nfs/dbraw/zinc/19/49/29/1072194929.db2.gz GHNMEOHDTOUNKG-UHFFFAOYSA-N 0 0 440.464 -0.080 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)[C@@H]1CC[C@@H](C(=O)N2CSC[C@@H]2C(=O)N(C)C)O1 ZINC000427106306 1072195567 /nfs/dbraw/zinc/19/55/67/1072195567.db2.gz DLKJYLJGKFEMIN-CRWXNKLISA-N 0 0 444.579 -0.487 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)[C@@H]1CC[C@H](C(=O)N2CSC[C@@H]2C(=O)N(C)C)O1 ZINC000427106307 1072195323 /nfs/dbraw/zinc/19/53/23/1072195323.db2.gz DLKJYLJGKFEMIN-KPWCQOOUSA-N 0 0 444.579 -0.487 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CSC[C@H]2C(=O)N(C)C)O1 ZINC000427106308 1072195358 /nfs/dbraw/zinc/19/53/58/1072195358.db2.gz DLKJYLJGKFEMIN-XDQVBPFNSA-N 0 0 444.579 -0.487 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)[C@@H]1CC[C@@H](C(=O)N2CSC[C@H]2C(=O)N(C)C)O1 ZINC000427106309 1072195586 /nfs/dbraw/zinc/19/55/86/1072195586.db2.gz DLKJYLJGKFEMIN-XUXIUFHCSA-N 0 0 444.579 -0.487 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1C(=O)N1CCOCC1 ZINC000427110183 1072195340 /nfs/dbraw/zinc/19/53/40/1072195340.db2.gz KFKXNCLUVAAVPZ-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCc3nnn(C)n3)CC2)c1 ZINC000427111857 1072195271 /nfs/dbraw/zinc/19/52/71/1072195271.db2.gz WQWCBFLTUQDIRL-UHFFFAOYSA-N 0 0 429.484 -0.726 20 0 IBADRN NC(=O)NC[C@H]1CCCCN1C(=O)[C@@H]1CC[C@@H](C(=O)N2CCCC[C@H]2CNC(N)=O)O1 ZINC000427111970 1072195557 /nfs/dbraw/zinc/19/55/57/1072195557.db2.gz XUCNTRDDWYJKMJ-FZKCQIBNSA-N 0 0 438.529 -0.367 20 0 IBADRN NC(=O)NC[C@H]1CCCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCCC[C@H]2CNC(N)=O)O1 ZINC000427111971 1072195488 /nfs/dbraw/zinc/19/54/88/1072195488.db2.gz XUCNTRDDWYJKMJ-GEEKYZPCSA-N 0 0 438.529 -0.367 20 0 IBADRN NC(=O)NC[C@@H]1CCCCN1C(=O)[C@@H]1CC[C@@H](C(=O)N2CCCC[C@H]2CNC(N)=O)O1 ZINC000427111972 1072195464 /nfs/dbraw/zinc/19/54/64/1072195464.db2.gz XUCNTRDDWYJKMJ-VGWMRTNUSA-N 0 0 438.529 -0.367 20 0 IBADRN NC(=O)NC[C@@H]1CCCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCCC[C@H]2CNC(N)=O)O1 ZINC000427111973 1072195576 /nfs/dbraw/zinc/19/55/76/1072195576.db2.gz XUCNTRDDWYJKMJ-YHUYYLMFSA-N 0 0 438.529 -0.367 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)NCc2nnn(C)n2)CC1 ZINC000427114682 1072195435 /nfs/dbraw/zinc/19/54/35/1072195435.db2.gz NXXRCWGVMLMFJI-UHFFFAOYSA-N 0 0 441.470 -0.577 20 0 IBADRN Cn1c(=O)ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc21 ZINC000427114780 1072195307 /nfs/dbraw/zinc/19/53/07/1072195307.db2.gz OQHTVVOLQMJSRS-UHFFFAOYSA-N 0 0 441.488 -0.520 20 0 IBADRN Cn1nnc(CNC(=O)N2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)n1 ZINC000427116902 1072196103 /nfs/dbraw/zinc/19/61/03/1072196103.db2.gz ZSXKKSKAMGYUJR-UHFFFAOYSA-N 0 0 437.482 -0.413 20 0 IBADRN CC(C)N1C[C@H](NC(=O)Cn2nc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3)ccc2=O)CC1=O ZINC000427118292 1072196046 /nfs/dbraw/zinc/19/60/46/1072196046.db2.gz VUFDBBSETYIZLN-CABCVRRESA-N 0 0 446.508 -0.892 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)Cn2nc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3)ccc2=O)CC1=O ZINC000427118293 1072196153 /nfs/dbraw/zinc/19/61/53/1072196153.db2.gz VUFDBBSETYIZLN-GJZGRUSLSA-N 0 0 446.508 -0.892 20 0 IBADRN CC(C)N1C[C@H](NC(=O)Cn2nc(C(=O)N[C@@H]3CC(=O)N(C(C)C)C3)ccc2=O)CC1=O ZINC000427118294 1072195982 /nfs/dbraw/zinc/19/59/82/1072195982.db2.gz VUFDBBSETYIZLN-HUUCEWRRSA-N 0 0 446.508 -0.892 20 0 IBADRN CC(C)N1C[C@H](NC(=O)c2ccc(=O)n(CC(=O)N[C@H]3CC(=O)N(C(C)C)C3)n2)CC1=O ZINC000427118295 1072196161 /nfs/dbraw/zinc/19/61/61/1072196161.db2.gz VUFDBBSETYIZLN-LSDHHAIUSA-N 0 0 446.508 -0.892 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)NCc3nnn(C)n3)CC2)c1 ZINC000427120890 1072195968 /nfs/dbraw/zinc/19/59/68/1072195968.db2.gz FKPRPVVRNBKUQP-UHFFFAOYSA-N 0 0 425.471 -0.557 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCOCC2)cc1S(=O)(=O)N1CCCC1 ZINC000427131588 1072196068 /nfs/dbraw/zinc/19/60/68/1072196068.db2.gz SYOHATAPDPKBAM-UHFFFAOYSA-N 0 0 440.522 -0.133 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(OC[C@@H]3CCCCO3)CC2)c[nH]1 ZINC000427132755 1072196200 /nfs/dbraw/zinc/19/62/00/1072196200.db2.gz GWHZRXRCKODSLJ-AWEZNQCLSA-N 0 0 428.511 -0.031 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(OC[C@H]3CCCCO3)CC2)c[nH]1 ZINC000427132756 1072196118 /nfs/dbraw/zinc/19/61/18/1072196118.db2.gz GWHZRXRCKODSLJ-CQSZACIVSA-N 0 0 428.511 -0.031 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)CC1 ZINC000427134097 1072196530 /nfs/dbraw/zinc/19/65/30/1072196530.db2.gz VYAKDCDSVGKRFV-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1N1CCNC1=O ZINC000427139909 1072196670 /nfs/dbraw/zinc/19/66/70/1072196670.db2.gz LJTWPFKMCMBUEP-UHFFFAOYSA-N 0 0 428.493 -0.114 20 0 IBADRN COc1cc(C)cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000427140047 1072196600 /nfs/dbraw/zinc/19/66/00/1072196600.db2.gz MYLSXPIICGQLNA-UHFFFAOYSA-N 0 0 432.477 -0.220 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cccc1N1CCNC1=O ZINC000427141176 1072196770 /nfs/dbraw/zinc/19/67/70/1072196770.db2.gz ZHAXEVKOIKFDOG-UHFFFAOYSA-N 0 0 428.493 -0.114 20 0 IBADRN COCCOc1ccccc1N1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000427144736 1072196859 /nfs/dbraw/zinc/19/68/59/1072196859.db2.gz VKPIWSARXIHUNP-UHFFFAOYSA-N 0 0 440.566 -0.062 20 0 IBADRN Cn1c2ncc(C(=O)N[C@@H](Cc3ccccc3)C(=O)NCCC(N)=O)cc2c(=O)[nH]c1=O ZINC000427145244 1072196562 /nfs/dbraw/zinc/19/65/62/1072196562.db2.gz YMQUQTPKTOQVIT-HNNXBMFYSA-N 0 0 438.444 -0.633 20 0 IBADRN Cn1c2ncc(C(=O)N[C@H](Cc3ccccc3)C(=O)NCCC(N)=O)cc2c(=O)[nH]c1=O ZINC000427145245 1072196683 /nfs/dbraw/zinc/19/66/83/1072196683.db2.gz YMQUQTPKTOQVIT-OAHLLOKOSA-N 0 0 438.444 -0.633 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000427152791 1072197441 /nfs/dbraw/zinc/19/74/41/1072197441.db2.gz MXMQWCNQGMVTIQ-KRWDZBQOSA-N 0 0 432.502 -0.073 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000427152792 1072197310 /nfs/dbraw/zinc/19/73/10/1072197310.db2.gz MXMQWCNQGMVTIQ-QGZVFWFLSA-N 0 0 432.502 -0.073 20 0 IBADRN CN1CCN(C(=O)Cn2nc(C(=O)N3CCN(C)C(=O)C3(C)C)ccc2=O)C(C)(C)C1=O ZINC000427155852 1072197425 /nfs/dbraw/zinc/19/74/25/1072197425.db2.gz SCYITHRDMQASQO-UHFFFAOYSA-N 0 0 446.508 -0.985 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)C1=O ZINC000427156600 1072197397 /nfs/dbraw/zinc/19/73/97/1072197397.db2.gz AOYHQLVZHINPMH-BTYIYWSLSA-N 0 0 429.477 -0.574 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)C1=O ZINC000427156601 1072197336 /nfs/dbraw/zinc/19/73/36/1072197336.db2.gz AOYHQLVZHINPMH-QVKFZJNVSA-N 0 0 429.477 -0.574 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)C1=O ZINC000427156602 1072197283 /nfs/dbraw/zinc/19/72/83/1072197283.db2.gz AOYHQLVZHINPMH-VFNWGFHPSA-N 0 0 429.477 -0.574 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)C1=O ZINC000427156603 1072197301 /nfs/dbraw/zinc/19/73/01/1072197301.db2.gz AOYHQLVZHINPMH-YCRPNKLZSA-N 0 0 429.477 -0.574 20 0 IBADRN CN1CCO[C@@H]2CN(C(=O)CC3(C(=O)N4C[C@@H]5OCCN(C)[C@H]5C4)CCOCC3)C[C@H]21 ZINC000427172853 1072198598 /nfs/dbraw/zinc/19/85/98/1072198598.db2.gz ZAOXRXRVEMQPCZ-HCXYKTFWSA-N 0 0 436.553 -0.744 20 0 IBADRN CN1CCO[C@H]2CN(C(=O)C3(CC(=O)N4C[C@H]5OCCN(C)[C@H]5C4)CCOCC3)C[C@H]21 ZINC000427172856 1072198504 /nfs/dbraw/zinc/19/85/04/1072198504.db2.gz ZAOXRXRVEMQPCZ-MANSERQUSA-N 0 0 436.553 -0.744 20 0 IBADRN CN1CCO[C@@H]2CN(C(=O)CC3(C(=O)N4C[C@@H]5[C@H](C4)OCCN5C)CCOCC3)C[C@H]21 ZINC000427172858 1072198637 /nfs/dbraw/zinc/19/86/37/1072198637.db2.gz ZAOXRXRVEMQPCZ-MKXGPGLRSA-N 0 0 436.553 -0.744 20 0 IBADRN CN1CCO[C@@H]2CN(C(=O)CC3(C(=O)N4C[C@@H]5OCCN(C)[C@H]5C4)CCOCC3)C[C@@H]21 ZINC000427172861 1072198365 /nfs/dbraw/zinc/19/83/65/1072198365.db2.gz ZAOXRXRVEMQPCZ-OKYOBFRVSA-N 0 0 436.553 -0.744 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCO[C@H](CC(=O)OC)C3)O2)CCO1 ZINC000427174243 1072198491 /nfs/dbraw/zinc/19/84/91/1072198491.db2.gz HZLOBMBZMUIPLL-FPCVCCKLSA-N 0 0 442.465 -0.885 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCO[C@@H](CC(=O)OC)C3)O2)CCO1 ZINC000427174244 1072198456 /nfs/dbraw/zinc/19/84/56/1072198456.db2.gz HZLOBMBZMUIPLL-FZKCQIBNSA-N 0 0 442.465 -0.885 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCO[C@@H](CC(=O)OC)C3)O2)CCO1 ZINC000427174245 1072198427 /nfs/dbraw/zinc/19/84/27/1072198427.db2.gz HZLOBMBZMUIPLL-SYMSYNOKSA-N 0 0 442.465 -0.885 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCO[C@H](CC(=O)OC)C3)O2)CCO1 ZINC000427174246 1072198590 /nfs/dbraw/zinc/19/85/90/1072198590.db2.gz HZLOBMBZMUIPLL-WCVJEAGWSA-N 0 0 442.465 -0.885 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)Cc2c(C(=O)N3CC[C@H](NC(=O)NC)C3)c(C)cn2C)C1 ZINC000427192121 1071154325 /nfs/dbraw/zinc/15/43/25/1071154325.db2.gz KQJNHXFQPSJHSV-CABCVRRESA-N 0 0 447.540 -0.451 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)Cc2c(C(=O)N3CC[C@H](NC(=O)NC)C3)c(C)cn2C)C1 ZINC000427192122 1071154281 /nfs/dbraw/zinc/15/42/81/1071154281.db2.gz KQJNHXFQPSJHSV-GJZGRUSLSA-N 0 0 447.540 -0.451 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)Cc2c(C(=O)N3CC[C@@H](NC(=O)NC)C3)c(C)cn2C)C1 ZINC000427192123 1071154418 /nfs/dbraw/zinc/15/44/18/1071154418.db2.gz KQJNHXFQPSJHSV-HUUCEWRRSA-N 0 0 447.540 -0.451 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)c2c(C)cn(C)c2CC(=O)N2CC[C@H](NC(=O)NC)C2)C1 ZINC000427192124 1071154270 /nfs/dbraw/zinc/15/42/70/1071154270.db2.gz KQJNHXFQPSJHSV-LSDHHAIUSA-N 0 0 447.540 -0.451 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)CC2(C(=O)N3CCN(C[C@H](C)O)CC3)CCOCC2)CC1 ZINC000427193922 1072199030 /nfs/dbraw/zinc/19/90/30/1072199030.db2.gz WNWFCOXBAPIYKM-OALUTQOASA-N 0 0 440.585 -0.777 20 0 IBADRN O=C(CC1(C(=O)N2CC(NC(=O)C3CC3)C2)CCOCC1)N1CC(NC(=O)C2CC2)C1 ZINC000427197052 1072198935 /nfs/dbraw/zinc/19/89/35/1072198935.db2.gz JGULXNCKPDSWKF-UHFFFAOYSA-N 0 0 432.521 -0.353 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN(C[C@H](C)O)CC2)c1C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000427198061 1071154302 /nfs/dbraw/zinc/15/43/02/1071154302.db2.gz SKSSYXFPEXDIIZ-OALUTQOASA-N 0 0 449.596 -0.460 20 0 IBADRN C[C@@H]1CN(C(=O)CC2(C(=O)N3CCN(CCO)[C@@H](C)C3)CCOCC2)CCN1CCO ZINC000427211146 1072201329 /nfs/dbraw/zinc/20/13/29/1072201329.db2.gz VHWMZJCPQGECQH-MOPGFXCFSA-N 0 0 440.585 -0.777 20 0 IBADRN C[C@H]1CN(C(=O)CC2(C(=O)N3CCN(CCO)[C@@H](C)C3)CCOCC2)CCN1CCO ZINC000427211148 1072201256 /nfs/dbraw/zinc/20/12/56/1072201256.db2.gz VHWMZJCPQGECQH-OALUTQOASA-N 0 0 440.585 -0.777 20 0 IBADRN C[C@@H]1CN(C(=O)C2(CC(=O)N3CCN(CCO)[C@@H](C)C3)CCOCC2)CCN1CCO ZINC000427211151 1072201208 /nfs/dbraw/zinc/20/12/08/1072201208.db2.gz VHWMZJCPQGECQH-RBUKOAKNSA-N 0 0 440.585 -0.777 20 0 IBADRN C[C@@H]1CN(C(=O)CC2(C(=O)N3CCN(CCO)[C@H](C)C3)CCOCC2)CCN1CCO ZINC000427211152 1072201181 /nfs/dbraw/zinc/20/11/81/1072201181.db2.gz VHWMZJCPQGECQH-RTBURBONSA-N 0 0 440.585 -0.777 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc1S(=O)(=O)N1CCCC1 ZINC000427222507 1072199598 /nfs/dbraw/zinc/19/95/98/1072199598.db2.gz ANTOBXNBNPGQTD-UHFFFAOYSA-N 0 0 441.510 -0.194 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)[C@@H]2CC[C@H](C(=O)N[C@@H]3CC(=O)N([C@H]4C[C@@H]4C)C3)O2)CC1=O ZINC000427226016 1072200828 /nfs/dbraw/zinc/20/08/28/1072200828.db2.gz QUGNJWXMUXACCI-FVBJMKTCSA-N 0 0 432.521 -0.215 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@@H]3CC(=O)N([C@H]4C[C@H]4C)C3)O2)CC1=O ZINC000427226019 1072200604 /nfs/dbraw/zinc/20/06/04/1072200604.db2.gz QUGNJWXMUXACCI-GQNBXCONSA-N 0 0 432.521 -0.215 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@@H]3CC(=O)N([C@H]4C[C@H]4C)C3)O2)CC1=O ZINC000427226021 1072200638 /nfs/dbraw/zinc/20/06/38/1072200638.db2.gz QUGNJWXMUXACCI-KPILYKAFSA-N 0 0 432.521 -0.215 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)[C@@H]2CC[C@H](C(=O)N[C@@H]3CC(=O)N([C@@H]4C[C@@H]4C)C3)O2)CC1=O ZINC000427226022 1072200616 /nfs/dbraw/zinc/20/06/16/1072200616.db2.gz QUGNJWXMUXACCI-LNTKLQAVSA-N 0 0 432.521 -0.215 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1C(=O)N1CCOCC1 ZINC000427226443 1072200722 /nfs/dbraw/zinc/20/07/22/1072200722.db2.gz IHYPICZYYIKANO-KRWDZBQOSA-N 0 0 432.477 -0.495 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1C(=O)N1CCOCC1 ZINC000427226451 1072200705 /nfs/dbraw/zinc/20/07/05/1072200705.db2.gz IHYPICZYYIKANO-QGZVFWFLSA-N 0 0 432.477 -0.495 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1C(=O)N1CCOCC1 ZINC000427226608 1072200621 /nfs/dbraw/zinc/20/06/21/1072200621.db2.gz ISIHZHTZEAMVPM-HNNXBMFYSA-N 0 0 429.433 -0.831 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1C(=O)N1CCOCC1 ZINC000427226610 1072200637 /nfs/dbraw/zinc/20/06/37/1072200637.db2.gz ISIHZHTZEAMVPM-OAHLLOKOSA-N 0 0 429.433 -0.831 20 0 IBADRN O=C(Nc1cc(F)cc(Br)c1F)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000427229346 1072203629 /nfs/dbraw/zinc/20/36/29/1072203629.db2.gz HQYZXMAHDQCKLU-MRVPVSSYSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C(Nc1cc(F)cc(Br)c1F)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000427229347 1072203515 /nfs/dbraw/zinc/20/35/15/1072203515.db2.gz HQYZXMAHDQCKLU-QMMMGPOBSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)O1)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000427229926 1072203644 /nfs/dbraw/zinc/20/36/44/1072203644.db2.gz BDIFUNUISPHUFV-FHTPNYMGSA-N 0 0 432.521 -0.209 20 0 IBADRN O=C([C@@H]1CC[C@@H](C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)O1)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000427229927 1072203558 /nfs/dbraw/zinc/20/35/58/1072203558.db2.gz BDIFUNUISPHUFV-RZTYQLBFSA-N 0 0 432.521 -0.209 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)O1)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000427229928 1072203626 /nfs/dbraw/zinc/20/36/26/1072203626.db2.gz BDIFUNUISPHUFV-WGKKAQPQSA-N 0 0 432.521 -0.209 20 0 IBADRN O=C([C@@H]1CC[C@@H](C(=O)N2CCC[C@]3(CNC(=O)C3)C2)O1)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000427229929 1072203536 /nfs/dbraw/zinc/20/35/36/1072203536.db2.gz BDIFUNUISPHUFV-WWLNLUSPSA-N 0 0 432.521 -0.209 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1C(=O)N1CCCCC1 ZINC000427230268 1072203609 /nfs/dbraw/zinc/20/36/09/1072203609.db2.gz OYXOCBIRIQZZLM-INIZCTEOSA-N 0 0 441.488 -0.271 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1C(=O)N1CCCCC1 ZINC000427230269 1072203520 /nfs/dbraw/zinc/20/35/20/1072203520.db2.gz OYXOCBIRIQZZLM-MRXNPFEDSA-N 0 0 441.488 -0.271 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1C(=O)N(C)C(C)C ZINC000427230692 1072203566 /nfs/dbraw/zinc/20/35/66/1072203566.db2.gz QYLDSFOWSIMJPS-HNNXBMFYSA-N 0 0 429.477 -0.417 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1C(=O)N(C)C(C)C ZINC000427230693 1072203590 /nfs/dbraw/zinc/20/35/90/1072203590.db2.gz QYLDSFOWSIMJPS-OAHLLOKOSA-N 0 0 429.477 -0.417 20 0 IBADRN Cn1nc(-c2ccc(Cl)s2)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000427231498 1072203505 /nfs/dbraw/zinc/20/35/05/1072203505.db2.gz WKDZZDQHSHPMLH-SECBINFHSA-N 0 0 436.881 -0.090 20 0 IBADRN Cn1nc(-c2ccc(Cl)s2)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000427231499 1072203650 /nfs/dbraw/zinc/20/36/50/1072203650.db2.gz WKDZZDQHSHPMLH-VIFPVBQESA-N 0 0 436.881 -0.090 20 0 IBADRN O=C(Nc1ccc(-c2noc(C(F)F)n2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000427231906 1072201394 /nfs/dbraw/zinc/20/13/94/1072201394.db2.gz YSRDZFCZJIBWEG-LLVKDONJSA-N 0 0 434.359 -0.218 20 0 IBADRN O=C(Nc1ccc(-c2noc(C(F)F)n2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000427231907 1072201384 /nfs/dbraw/zinc/20/13/84/1072201384.db2.gz YSRDZFCZJIBWEG-NSHDSACASA-N 0 0 434.359 -0.218 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)CCCO)cc1S(=O)(=O)N1CCCC1 ZINC000427234287 1072201802 /nfs/dbraw/zinc/20/18/02/1072201802.db2.gz KPGULXVPUUPCNG-UHFFFAOYSA-N 0 0 429.495 -0.379 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)N1CCOCC1 ZINC000427234553 1072201882 /nfs/dbraw/zinc/20/18/82/1072201882.db2.gz NGEVXQJGFBPHHG-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)N1CCOCC1 ZINC000427234554 1072201786 /nfs/dbraw/zinc/20/17/86/1072201786.db2.gz NGEVXQJGFBPHHG-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)s1 ZINC000427237178 1072202417 /nfs/dbraw/zinc/20/24/17/1072202417.db2.gz CFDPHVJIYOYHAV-UHFFFAOYSA-N 0 0 439.493 -0.071 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCN(C[C@H](C)O)[C@@H](C)C3)O2)C[C@H]1C ZINC000427238458 1072202606 /nfs/dbraw/zinc/20/26/06/1072202606.db2.gz VNPRQVGMYMRDDV-APNJTCTJSA-N 0 0 440.585 -0.639 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C[C@H](C)O)[C@@H](C)C3)O2)C[C@H]1C ZINC000427238459 1072202441 /nfs/dbraw/zinc/20/24/41/1072202441.db2.gz VNPRQVGMYMRDDV-DWIKVQACSA-N 0 0 440.585 -0.639 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C[C@H](C)O)[C@H](C)C3)O2)C[C@H]1C ZINC000427238460 1072202335 /nfs/dbraw/zinc/20/23/35/1072202335.db2.gz VNPRQVGMYMRDDV-JWLCQNFESA-N 0 0 440.585 -0.639 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C[C@H](C)O)[C@@H](C)C3)O2)C[C@@H]1C ZINC000427238461 1072202256 /nfs/dbraw/zinc/20/22/56/1072202256.db2.gz VNPRQVGMYMRDDV-RPZLJYRGSA-N 0 0 440.585 -0.639 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2csc(CNC(=O)c3ccccc3)n2)c(=O)n(C)c1=O ZINC000427241274 1072202643 /nfs/dbraw/zinc/20/26/43/1072202643.db2.gz BHEHUHCQUYFDNZ-UHFFFAOYSA-N 0 0 449.514 -0.051 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1C(=O)N1CCOCC1 ZINC000427241706 1071155137 /nfs/dbraw/zinc/15/51/37/1071155137.db2.gz FCGFSDCUFVDSMR-UHFFFAOYSA-N 0 0 448.520 -0.441 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)ccc1OC ZINC000427242599 1072202584 /nfs/dbraw/zinc/20/25/84/1072202584.db2.gz KRAOZMLOGOCZJK-UHFFFAOYSA-N 0 0 433.464 -0.132 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000427243266 1072202912 /nfs/dbraw/zinc/20/29/12/1072202912.db2.gz RWDITDAHKGPGME-UHFFFAOYSA-N 0 0 433.464 -0.132 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCCC1 ZINC000427243352 1072203141 /nfs/dbraw/zinc/20/31/41/1072203141.db2.gz OVIRFSZQPFXDNX-GFCCVEGCSA-N 0 0 438.462 -0.660 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCCC1 ZINC000427243353 1072202883 /nfs/dbraw/zinc/20/28/83/1072202883.db2.gz OVIRFSZQPFXDNX-LBPRGKRZSA-N 0 0 438.462 -0.660 20 0 IBADRN O=C(NC1CC1)c1cccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000427245047 1072203030 /nfs/dbraw/zinc/20/30/30/1072203030.db2.gz YDQFELDZMGJCHX-UHFFFAOYSA-N 0 0 428.492 -0.035 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NC3CCN(S(=O)(=O)C(C)(C)C)CC3)nc2n(C)c1=O ZINC000427245650 1072203264 /nfs/dbraw/zinc/20/32/64/1072203264.db2.gz IEBDHYZLWYQHPF-UHFFFAOYSA-N 0 0 437.522 -0.045 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)NCCNS(=O)(=O)Cc3ccon3)ccc21 ZINC000427245924 1072203095 /nfs/dbraw/zinc/20/30/95/1072203095.db2.gz KTERAYICFTWNQX-UHFFFAOYSA-N 0 0 428.492 -0.019 20 0 IBADRN COc1cc(NC(=O)CN(C)S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc(OC)c1 ZINC000427247475 1072204082 /nfs/dbraw/zinc/20/40/82/1072204082.db2.gz AKOAIWSHJFDQEK-UHFFFAOYSA-N 0 0 426.451 -0.640 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000427249977 1072204147 /nfs/dbraw/zinc/20/41/47/1072204147.db2.gz MRCVIUOQZCQDGG-UHFFFAOYSA-N 0 0 437.521 -0.216 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1C(=O)N1CCOCC1 ZINC000427250570 1072204100 /nfs/dbraw/zinc/20/41/00/1072204100.db2.gz PNJHYKXGVOPHJO-GOSISDBHSA-N 0 0 448.520 -0.443 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1C(=O)N1CCOCC1 ZINC000427250572 1072204089 /nfs/dbraw/zinc/20/40/89/1072204089.db2.gz PNJHYKXGVOPHJO-SFHVURJKSA-N 0 0 448.520 -0.443 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc1S(=O)(=O)N1CCCC1 ZINC000427253156 1072204492 /nfs/dbraw/zinc/20/44/92/1072204492.db2.gz LUXIKMFUIAOKFM-UHFFFAOYSA-N 0 0 443.522 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)cc1C(=O)N(C)C ZINC000427254634 1072204581 /nfs/dbraw/zinc/20/45/81/1072204581.db2.gz BDBKXSCLNIARTA-UHFFFAOYSA-N 0 0 446.507 -0.217 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1C(=O)N1CCOCC1 ZINC000427254941 1072204663 /nfs/dbraw/zinc/20/46/63/1072204663.db2.gz BKAWERDQZKCZRO-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1C(=O)N1CCOCC1 ZINC000427254942 1072204473 /nfs/dbraw/zinc/20/44/73/1072204473.db2.gz BKAWERDQZKCZRO-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000427256308 1072204651 /nfs/dbraw/zinc/20/46/51/1072204651.db2.gz KMNWGBNPZATBSX-UHFFFAOYSA-N 0 0 427.508 -0.292 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)c3ccc(NS(C)(=O)=O)cc3F)CC2=O)cn1 ZINC000427256581 1072204536 /nfs/dbraw/zinc/20/45/36/1072204536.db2.gz KTADYEHTOSSMFX-UHFFFAOYSA-N 0 0 431.471 -0.032 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000427257415 1072204638 /nfs/dbraw/zinc/20/46/38/1072204638.db2.gz QTFIGQBUNWTBIZ-UHFFFAOYSA-N 0 0 427.468 -0.737 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)CC2=O)cn1 ZINC000427257912 1072205105 /nfs/dbraw/zinc/20/51/05/1072205105.db2.gz TWPUEXGVRUAOBK-UHFFFAOYSA-N 0 0 439.519 -0.102 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000427258251 1072205126 /nfs/dbraw/zinc/20/51/26/1072205126.db2.gz VEKMQOIRHAYQLR-UHFFFAOYSA-N 0 0 427.508 -0.292 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000427258690 1072204988 /nfs/dbraw/zinc/20/49/88/1072204988.db2.gz WPCQZUFWUAIBML-UHFFFAOYSA-N 0 0 433.464 -0.376 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CC[C@H](N3CCOCC3)C2)O1)N1CC[C@@H](N2CCOCC2)C1 ZINC000427260773 1072205130 /nfs/dbraw/zinc/20/51/30/1072205130.db2.gz QAQLFKRVMXYILP-FGYAAKKASA-N 0 0 436.553 -0.600 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CC[C@@H](N3CCOCC3)C2)O1)N1CC[C@H](N2CCOCC2)C1 ZINC000427260774 1072205053 /nfs/dbraw/zinc/20/50/53/1072205053.db2.gz QAQLFKRVMXYILP-JVSBHGNQSA-N 0 0 436.553 -0.600 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CC[C@H](N3CCOCC3)C2)O1)N1CC[C@H](N2CCOCC2)C1 ZINC000427260775 1072205072 /nfs/dbraw/zinc/20/50/72/1072205072.db2.gz QAQLFKRVMXYILP-LWYYNNOASA-N 0 0 436.553 -0.600 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CC[C@@H](N3CCOCC3)C2)O1)N1CC[C@@H](N2CCOCC2)C1 ZINC000427260776 1072205068 /nfs/dbraw/zinc/20/50/68/1072205068.db2.gz QAQLFKRVMXYILP-WTGUMLROSA-N 0 0 436.553 -0.600 20 0 IBADRN CC1(C)CC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)O1 ZINC000427265729 1072203595 /nfs/dbraw/zinc/20/35/95/1072203595.db2.gz DVOZLCGYQFNMGL-AWEZNQCLSA-N 0 0 438.506 -0.181 20 0 IBADRN CC1(C)CC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)O1 ZINC000427265730 1072204070 /nfs/dbraw/zinc/20/40/70/1072204070.db2.gz DVOZLCGYQFNMGL-CQSZACIVSA-N 0 0 438.506 -0.181 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCCC1 ZINC000427265785 1072207194 /nfs/dbraw/zinc/20/71/94/1072207194.db2.gz OUYSKSJRNYSTSP-KBPBESRZSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)cc1S(=O)(=O)N1CCCC1 ZINC000427265786 1072207086 /nfs/dbraw/zinc/20/70/86/1072207086.db2.gz OUYSKSJRNYSTSP-KGLIPLIRSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCCC1 ZINC000427265788 1072207224 /nfs/dbraw/zinc/20/72/24/1072207224.db2.gz OUYSKSJRNYSTSP-UONOGXRCSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)cc1S(=O)(=O)N1CCCC1 ZINC000427265789 1072207231 /nfs/dbraw/zinc/20/72/31/1072207231.db2.gz OUYSKSJRNYSTSP-ZIAGYGMSSA-N 0 0 427.479 -0.628 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)CC2=O)cn1 ZINC000427266433 1072207027 /nfs/dbraw/zinc/20/70/27/1072207027.db2.gz SOGNQJIXYSGFAF-UHFFFAOYSA-N 0 0 439.519 -0.102 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)CC2=O)cn1 ZINC000427267005 1072207156 /nfs/dbraw/zinc/20/71/56/1072207156.db2.gz WMHSNSXVGITFCR-UHFFFAOYSA-N 0 0 439.519 -0.003 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1C(=O)N1CCOCC1 ZINC000427268355 1072205610 /nfs/dbraw/zinc/20/56/10/1072205610.db2.gz ARMJVGNHZLOAPZ-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]c2cc(F)ccc12)NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000427268997 1072205541 /nfs/dbraw/zinc/20/55/41/1072205541.db2.gz FKAAIZLGKVPTJZ-AWEZNQCLSA-N 0 0 438.437 -0.233 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]c2cc(F)ccc12)NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000427268998 1072205504 /nfs/dbraw/zinc/20/55/04/1072205504.db2.gz FKAAIZLGKVPTJZ-CQSZACIVSA-N 0 0 438.437 -0.233 20 0 IBADRN Cc1c(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)cnn1-c1ccccn1 ZINC000427271231 1072206060 /nfs/dbraw/zinc/20/60/60/1072206060.db2.gz CJDICIXOTOFQGO-UHFFFAOYSA-N 0 0 426.480 -0.038 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000427273500 1072206097 /nfs/dbraw/zinc/20/60/97/1072206097.db2.gz GLXACOPQRPOBAH-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)NCCNS(=O)(=O)Cc3ccon3)cc2C1=O ZINC000427274328 1072205941 /nfs/dbraw/zinc/20/59/41/1072205941.db2.gz PEJZJDGVHCUTKR-UHFFFAOYSA-N 0 0 428.448 -0.702 20 0 IBADRN COc1cc(NC(=O)CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)cc(OC)c1 ZINC000427276072 1072206625 /nfs/dbraw/zinc/20/66/25/1072206625.db2.gz SAALLVMUVVXBSE-UHFFFAOYSA-N 0 0 449.445 -0.032 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)CC2=O)cn1 ZINC000427276118 1072206583 /nfs/dbraw/zinc/20/65/83/1072206583.db2.gz SEWSJTVGCQNARV-UHFFFAOYSA-N 0 0 433.490 -0.070 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)cn1C ZINC000427276276 1072206555 /nfs/dbraw/zinc/20/65/55/1072206555.db2.gz OHDJRZOFBMVJBW-UHFFFAOYSA-N 0 0 435.572 -0.284 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000427278269 1072207183 /nfs/dbraw/zinc/20/71/83/1072207183.db2.gz XSBLHGHFVNYPJF-UHFFFAOYSA-N 0 0 437.521 -0.134 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ncn(Cc3ccc(Cl)cc3)n2)C1 ZINC000427278691 1072207216 /nfs/dbraw/zinc/20/72/16/1072207216.db2.gz CEQBLYWENZXWFY-UHFFFAOYSA-N 0 0 426.886 -0.074 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCCNS(=O)(=O)Cc3ccon3)cc21 ZINC000427279599 1072207124 /nfs/dbraw/zinc/20/71/24/1072207124.db2.gz IBWINWYHDURFNN-UHFFFAOYSA-N 0 0 428.492 -0.019 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]c2cc(F)ccc12)NS(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000427280242 1072207168 /nfs/dbraw/zinc/20/71/68/1072207168.db2.gz KWENOAABRYAKJL-HNNXBMFYSA-N 0 0 433.483 -0.044 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]c2cc(F)ccc12)NS(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000427280245 1072207237 /nfs/dbraw/zinc/20/72/37/1072207237.db2.gz KWENOAABRYAKJL-OAHLLOKOSA-N 0 0 433.483 -0.044 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCNS(=O)(=O)Cc3ccon3)cc2n(C)c1=O ZINC000427282588 1072207137 /nfs/dbraw/zinc/20/71/37/1072207137.db2.gz UTMLBXPMJVGPGN-UHFFFAOYSA-N 0 0 429.480 -0.737 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000427285186 1072208209 /nfs/dbraw/zinc/20/82/09/1072208209.db2.gz TVNHDPWXDPMIPG-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000427285187 1072208178 /nfs/dbraw/zinc/20/81/78/1072208178.db2.gz TVNHDPWXDPMIPG-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(N3CCNC3=O)c2C)C1=O ZINC000427286905 1072207453 /nfs/dbraw/zinc/20/74/53/1072207453.db2.gz CPIWLAIXSSYWQU-AWEZNQCLSA-N 0 0 431.449 -0.257 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(N3CCNC3=O)c2C)C1=O ZINC000427286906 1072207482 /nfs/dbraw/zinc/20/74/82/1072207482.db2.gz CPIWLAIXSSYWQU-CQSZACIVSA-N 0 0 431.449 -0.257 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCOCCO)cc1S(=O)(=O)N1CCCC1 ZINC000427291639 1072207542 /nfs/dbraw/zinc/20/75/42/1072207542.db2.gz IHYDXNHSFXXKQF-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)C1 ZINC000427291740 1072207750 /nfs/dbraw/zinc/20/77/50/1072207750.db2.gz JHHOGNFKUHQWPO-UHFFFAOYSA-N 0 0 429.462 -0.238 20 0 IBADRN Cc1cnccc1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000427292799 1072207792 /nfs/dbraw/zinc/20/77/92/1072207792.db2.gz URZZUBWEJZTJPY-UHFFFAOYSA-N 0 0 431.474 -0.235 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000427294573 1072207561 /nfs/dbraw/zinc/20/75/61/1072207561.db2.gz GBECXSXQOGKDBI-UHFFFAOYSA-N 0 0 444.535 -0.583 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C)c(N3CCNC3=O)c2)C1=O ZINC000427295087 1072207741 /nfs/dbraw/zinc/20/77/41/1072207741.db2.gz MAXVRBXNIQWBCB-AWEZNQCLSA-N 0 0 431.449 -0.257 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C)c(N3CCNC3=O)c2)C1=O ZINC000427295088 1072207657 /nfs/dbraw/zinc/20/76/57/1072207657.db2.gz MAXVRBXNIQWBCB-CQSZACIVSA-N 0 0 431.449 -0.257 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000427300587 1072208311 /nfs/dbraw/zinc/20/83/11/1072208311.db2.gz FDDNRWHLLQTZHP-INIZCTEOSA-N 0 0 438.506 -0.686 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000427300588 1072208298 /nfs/dbraw/zinc/20/82/98/1072208298.db2.gz FDDNRWHLLQTZHP-MRXNPFEDSA-N 0 0 438.506 -0.686 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)CC1 ZINC000427301581 1072208325 /nfs/dbraw/zinc/20/83/25/1072208325.db2.gz KGUFLUVCIBEPRF-UHFFFAOYSA-N 0 0 433.461 -0.068 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000427302091 1072208193 /nfs/dbraw/zinc/20/81/93/1072208193.db2.gz MXANCCMEHCFDNX-UHFFFAOYSA-N 0 0 437.457 -0.375 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCO)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000427307635 1072208766 /nfs/dbraw/zinc/20/87/66/1072208766.db2.gz IHZUMSDVKKOSKQ-UHFFFAOYSA-N 0 0 440.522 -0.445 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(N3CCNC3=O)ccc2Cl)C1 ZINC000427309054 1072208685 /nfs/dbraw/zinc/20/86/85/1072208685.db2.gz ODOZLZPSUXWHLD-UHFFFAOYSA-N 0 0 429.886 -0.184 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1C(=O)N1CCOCC1 ZINC000427309232 1072208821 /nfs/dbraw/zinc/20/88/21/1072208821.db2.gz OTJBHDSKMIWPFP-UHFFFAOYSA-N 0 0 428.449 -0.325 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)cc(OC)cc1OC ZINC000427309332 1072208788 /nfs/dbraw/zinc/20/87/88/1072208788.db2.gz PDVXNTWVSPLXDP-UHFFFAOYSA-N 0 0 443.478 -0.173 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000427310614 1072209420 /nfs/dbraw/zinc/20/94/20/1072209420.db2.gz VQVDAMZKAGZFDM-UHFFFAOYSA-N 0 0 448.498 -0.788 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)CC1=O ZINC000427314072 1072209275 /nfs/dbraw/zinc/20/92/75/1072209275.db2.gz OROCQHKFHCPFMZ-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1C(=O)N1CCOCC1 ZINC000427318199 1072208846 /nfs/dbraw/zinc/20/88/46/1072208846.db2.gz AHAIPPJSNOXDKK-HNNXBMFYSA-N 0 0 432.477 -0.542 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1C(=O)N1CCOCC1 ZINC000427318200 1072208902 /nfs/dbraw/zinc/20/89/02/1072208902.db2.gz AHAIPPJSNOXDKK-OAHLLOKOSA-N 0 0 432.477 -0.542 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1C(=O)N1CCOCC1 ZINC000427319018 1072208892 /nfs/dbraw/zinc/20/88/92/1072208892.db2.gz DBWFGWJKQJPRRU-UHFFFAOYSA-N 0 0 445.520 -0.186 20 0 IBADRN Cn1c(=O)ccc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc21 ZINC000427321374 1072209844 /nfs/dbraw/zinc/20/98/44/1072209844.db2.gz PQJQLPZTPREADJ-UHFFFAOYSA-N 0 0 441.488 -0.520 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)c1 ZINC000427322875 1072209794 /nfs/dbraw/zinc/20/97/94/1072209794.db2.gz UUIJNIHRLRNHLH-UHFFFAOYSA-N 0 0 449.489 -0.087 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccn(Cc3ccc(F)cc3)c2=O)C1 ZINC000427324105 1072209764 /nfs/dbraw/zinc/20/97/64/1072209764.db2.gz YQUIUONCFINMNM-UHFFFAOYSA-N 0 0 436.465 -0.018 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@]2(O)CCOC2)cc1S(=O)(=O)N1CCCC1 ZINC000427326820 1072210356 /nfs/dbraw/zinc/21/03/56/1072210356.db2.gz AYTMVLWMMODOOK-GOSISDBHSA-N 0 0 427.479 -0.314 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@]2(O)CCOC2)cc1S(=O)(=O)N1CCCC1 ZINC000427326821 1072210323 /nfs/dbraw/zinc/21/03/23/1072210323.db2.gz AYTMVLWMMODOOK-SFHVURJKSA-N 0 0 427.479 -0.314 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccn(-c3ncccc3C(F)(F)F)n2)C1 ZINC000427331178 1072210314 /nfs/dbraw/zinc/21/03/14/1072210314.db2.gz XZICGEUSIKNZAH-UHFFFAOYSA-N 0 0 446.411 0.232 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(C(=O)N2CCOCC2)c1 ZINC000427338737 1072209354 /nfs/dbraw/zinc/20/93/54/1072209354.db2.gz KSJISVDHRHDBIN-DLBZAZTESA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(C(=O)N2CCOCC2)c1 ZINC000427338738 1072209464 /nfs/dbraw/zinc/20/94/64/1072209464.db2.gz KSJISVDHRHDBIN-IAGOWNOFSA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(C(=O)N2CCOCC2)c1 ZINC000427338739 1072209444 /nfs/dbraw/zinc/20/94/44/1072209444.db2.gz KSJISVDHRHDBIN-IRXDYDNUSA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(C(=O)N2CCOCC2)c1 ZINC000427338740 1072209372 /nfs/dbraw/zinc/20/93/72/1072209372.db2.gz KSJISVDHRHDBIN-SJORKVTESA-N 0 0 433.461 -0.069 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000427340000 1072210916 /nfs/dbraw/zinc/21/09/16/1072210916.db2.gz YDZLQAZRSJABBU-CYBMUJFWSA-N 0 0 439.494 -0.313 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000427340001 1072210977 /nfs/dbraw/zinc/21/09/77/1072210977.db2.gz YDZLQAZRSJABBU-ZDUSSCGKSA-N 0 0 439.494 -0.313 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1C(=O)N1CCOCC1 ZINC000427343273 1072210835 /nfs/dbraw/zinc/21/08/35/1072210835.db2.gz AVMCEEKPNGCBEK-UHFFFAOYSA-N 0 0 432.477 -0.278 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)c[nH]1 ZINC000427346257 1072210864 /nfs/dbraw/zinc/21/08/64/1072210864.db2.gz IFESZNJPVIUGIY-CYBMUJFWSA-N 0 0 439.881 -0.033 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)c[nH]1 ZINC000427346258 1072210969 /nfs/dbraw/zinc/21/09/69/1072210969.db2.gz IFESZNJPVIUGIY-ZDUSSCGKSA-N 0 0 439.881 -0.033 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(N4CCNC4=O)c3C)C2)nn1 ZINC000427356066 1071048176 /nfs/dbraw/zinc/04/81/76/1071048176.db2.gz AQGZEUVLRGFLJZ-UHFFFAOYSA-N 0 0 427.421 -0.075 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C)c(N4CCNC4=O)c3)C2)nn1 ZINC000427356737 1072210891 /nfs/dbraw/zinc/21/08/91/1072210891.db2.gz HWLVCAJPJDDFSU-UHFFFAOYSA-N 0 0 427.421 -0.075 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(C(=O)NCCCO)CC2)cc1 ZINC000427357693 1072210821 /nfs/dbraw/zinc/21/08/21/1072210821.db2.gz NTCBKSVDOJASSZ-UHFFFAOYSA-N 0 0 425.507 -0.095 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN3C(=O)N(CC(C)C)C[C@@H]3C2)c1=O ZINC000427359101 1072211368 /nfs/dbraw/zinc/21/13/68/1072211368.db2.gz MNKKZTRZPRHPRZ-HNNXBMFYSA-N 0 0 447.492 -0.046 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN3C(=O)N(CC(C)C)C[C@H]3C2)c1=O ZINC000427359105 1072211376 /nfs/dbraw/zinc/21/13/76/1072211376.db2.gz MNKKZTRZPRHPRZ-OAHLLOKOSA-N 0 0 447.492 -0.046 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CCN2C1=O ZINC000427367706 1072212068 /nfs/dbraw/zinc/21/20/68/1072212068.db2.gz UNUSXRFJECGPFC-INIZCTEOSA-N 0 0 431.497 -0.295 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CCN2C1=O ZINC000427367708 1072211961 /nfs/dbraw/zinc/21/19/61/1072211961.db2.gz UNUSXRFJECGPFC-MRXNPFEDSA-N 0 0 431.497 -0.295 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCC(C(=O)NCCCO)CC1 ZINC000427367919 1072212011 /nfs/dbraw/zinc/21/20/11/1072212011.db2.gz VODDSTKWJVAMQL-UHFFFAOYSA-N 0 0 428.489 -0.205 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cccc1N1CCNC1=O ZINC000427382339 1071147464 /nfs/dbraw/zinc/14/74/64/1071147464.db2.gz MKZSTYNQFSPBLJ-UHFFFAOYSA-N 0 0 426.499 -0.588 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1N1CCNC1=O ZINC000427385524 1072213258 /nfs/dbraw/zinc/21/32/58/1072213258.db2.gz WTQZLYCBSXJRFM-UHFFFAOYSA-N 0 0 426.499 -0.588 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCC(Oc2cnccn2)CC1 ZINC000427388323 1072213988 /nfs/dbraw/zinc/21/39/88/1072213988.db2.gz AFYNFASYVWKULQ-UHFFFAOYSA-N 0 0 429.481 0.014 20 0 IBADRN COc1cc(C)cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000427388329 1072213718 /nfs/dbraw/zinc/21/37/18/1072213718.db2.gz BFRZGGPEAIPIDE-UHFFFAOYSA-N 0 0 430.465 -0.261 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)cn1)N1CCOCC1 ZINC000427390703 1072213819 /nfs/dbraw/zinc/21/38/19/1072213819.db2.gz KRDKKBQWNIRSQE-UHFFFAOYSA-N 0 0 443.464 -0.460 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN(CCO)[C@@H](C)C3)cc2)CC1 ZINC000427395261 1072212535 /nfs/dbraw/zinc/21/25/35/1072212535.db2.gz OQEZGQMMHQCOKJ-KRWDZBQOSA-N 0 0 431.537 -0.186 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN(CCO)[C@H](C)C3)cc2)CC1 ZINC000427395262 1072212564 /nfs/dbraw/zinc/21/25/64/1072212564.db2.gz OQEZGQMMHQCOKJ-QGZVFWFLSA-N 0 0 431.537 -0.186 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN3C(=O)N(CC(C)C)C[C@@H]3C2)cn1 ZINC000427395453 1072211877 /nfs/dbraw/zinc/21/18/77/1072211877.db2.gz PMYFFUGPPMIEGR-INIZCTEOSA-N 0 0 449.512 -0.811 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN3C(=O)N(CC(C)C)C[C@H]3C2)cn1 ZINC000427395454 1072211888 /nfs/dbraw/zinc/21/18/88/1072211888.db2.gz PMYFFUGPPMIEGR-MRXNPFEDSA-N 0 0 449.512 -0.811 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1OCC(=O)NC1CC1 ZINC000427395544 1072211910 /nfs/dbraw/zinc/21/19/10/1072211910.db2.gz BMYKQURIHRTGJE-AWEZNQCLSA-N 0 0 434.493 -0.184 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1OCC(=O)NC1CC1 ZINC000427395545 1072212084 /nfs/dbraw/zinc/21/20/84/1072212084.db2.gz BMYKQURIHRTGJE-CQSZACIVSA-N 0 0 434.493 -0.184 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCN1CCO ZINC000427395669 1072211978 /nfs/dbraw/zinc/21/19/78/1072211978.db2.gz QJDVBYJMWWTNLL-INIZCTEOSA-N 0 0 434.493 -0.612 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCN1CCO ZINC000427395670 1072211848 /nfs/dbraw/zinc/21/18/48/1072211848.db2.gz QJDVBYJMWWTNLL-MRXNPFEDSA-N 0 0 434.493 -0.612 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000427395928 1072212459 /nfs/dbraw/zinc/21/24/59/1072212459.db2.gz QYEJGOSKXDNYEN-UHFFFAOYSA-N 0 0 448.523 -0.916 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000427396139 1072212573 /nfs/dbraw/zinc/21/25/73/1072212573.db2.gz DBLLSPWVKDOZQI-CABCVRRESA-N 0 0 434.493 -0.169 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000427396140 1072212546 /nfs/dbraw/zinc/21/25/46/1072212546.db2.gz DBLLSPWVKDOZQI-GJZGRUSLSA-N 0 0 434.493 -0.169 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000427396141 1072212718 /nfs/dbraw/zinc/21/27/18/1072212718.db2.gz DBLLSPWVKDOZQI-HUUCEWRRSA-N 0 0 434.493 -0.169 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000427396142 1072212649 /nfs/dbraw/zinc/21/26/49/1072212649.db2.gz DBLLSPWVKDOZQI-LSDHHAIUSA-N 0 0 434.493 -0.169 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000427396217 1072212696 /nfs/dbraw/zinc/21/26/96/1072212696.db2.gz RUSOCMAKPVYIHE-CYBMUJFWSA-N 0 0 428.511 -0.591 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000427396218 1072212469 /nfs/dbraw/zinc/21/24/69/1072212469.db2.gz RUSOCMAKPVYIHE-ZDUSSCGKSA-N 0 0 428.511 -0.591 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CCN1CCO ZINC000427396547 1072212604 /nfs/dbraw/zinc/21/26/04/1072212604.db2.gz SUKSTLRFEQDUQE-CYBMUJFWSA-N 0 0 428.486 -0.171 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CCN1CCO ZINC000427396548 1072212622 /nfs/dbraw/zinc/21/26/22/1072212622.db2.gz SUKSTLRFEQDUQE-ZDUSSCGKSA-N 0 0 428.486 -0.171 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1S(=O)(=O)NC1CC1 ZINC000427397583 1072212683 /nfs/dbraw/zinc/21/26/83/1072212683.db2.gz HLELUBOKFJSJBM-CYBMUJFWSA-N 0 0 440.522 -0.401 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1S(=O)(=O)NC1CC1 ZINC000427397584 1072212516 /nfs/dbraw/zinc/21/25/16/1072212516.db2.gz HLELUBOKFJSJBM-ZDUSSCGKSA-N 0 0 440.522 -0.401 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CCN2C1=O ZINC000427397711 1072212666 /nfs/dbraw/zinc/21/26/66/1072212666.db2.gz IBECZDHGBUBFKU-GOSISDBHSA-N 0 0 447.540 -0.242 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CCN2C1=O ZINC000427397714 1072212498 /nfs/dbraw/zinc/21/24/98/1072212498.db2.gz IBECZDHGBUBFKU-SFHVURJKSA-N 0 0 447.540 -0.242 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CCN2C1=O ZINC000427399181 1072212588 /nfs/dbraw/zinc/21/25/88/1072212588.db2.gz LZXYPIRYSOOESQ-KRWDZBQOSA-N 0 0 447.540 -0.242 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CCN2C1=O ZINC000427399182 1072213130 /nfs/dbraw/zinc/21/31/30/1072213130.db2.gz LZXYPIRYSOOESQ-QGZVFWFLSA-N 0 0 447.540 -0.242 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000427399789 1072213198 /nfs/dbraw/zinc/21/31/98/1072213198.db2.gz OJZWHGLQVRZKDD-HNNXBMFYSA-N 0 0 434.493 -0.227 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000427399790 1072213230 /nfs/dbraw/zinc/21/32/30/1072213230.db2.gz OJZWHGLQVRZKDD-OAHLLOKOSA-N 0 0 434.493 -0.227 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN(CCO)[C@H](C)C3)cc2)CC1 ZINC000427401379 1072213147 /nfs/dbraw/zinc/21/31/47/1072213147.db2.gz VCSMXYJDFSCPLK-GOSISDBHSA-N 0 0 445.564 -0.143 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN(CCO)[C@@H](C)C3)cc2)CC1 ZINC000427401380 1072213298 /nfs/dbraw/zinc/21/32/98/1072213298.db2.gz VCSMXYJDFSCPLK-SFHVURJKSA-N 0 0 445.564 -0.143 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1CCO ZINC000427402222 1072213263 /nfs/dbraw/zinc/21/32/63/1072213263.db2.gz AQHBCLUQTBIGTB-HNNXBMFYSA-N 0 0 440.522 -0.829 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1CCO ZINC000427402224 1072213284 /nfs/dbraw/zinc/21/32/84/1072213284.db2.gz AQHBCLUQTBIGTB-OAHLLOKOSA-N 0 0 440.522 -0.829 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCN1CCO ZINC000427402463 1072213036 /nfs/dbraw/zinc/21/30/36/1072213036.db2.gz BNMZVVONNGKZGU-AWEZNQCLSA-N 0 0 441.554 -0.534 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCN1CCO ZINC000427402464 1072213325 /nfs/dbraw/zinc/21/33/25/1072213325.db2.gz BNMZVVONNGKZGU-CQSZACIVSA-N 0 0 441.554 -0.534 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC(Oc2cnccn2)CC1 ZINC000427402689 1072213180 /nfs/dbraw/zinc/21/31/80/1072213180.db2.gz CRVQPEXNUFBAKC-UHFFFAOYSA-N 0 0 425.449 -0.184 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCN1CCO ZINC000427403724 1072213354 /nfs/dbraw/zinc/21/33/54/1072213354.db2.gz JQPVDIQRGMIJRE-KRWDZBQOSA-N 0 0 447.536 -0.788 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCN1CCO ZINC000427403725 1072213311 /nfs/dbraw/zinc/21/33/11/1072213311.db2.gz JQPVDIQRGMIJRE-QGZVFWFLSA-N 0 0 447.536 -0.788 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCN1CCO ZINC000427403761 1072213342 /nfs/dbraw/zinc/21/33/42/1072213342.db2.gz KCNYMHFKVJOCOW-KRWDZBQOSA-N 0 0 431.537 -0.533 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCN1CCO ZINC000427403762 1072213072 /nfs/dbraw/zinc/21/30/72/1072213072.db2.gz KCNYMHFKVJOCOW-QGZVFWFLSA-N 0 0 431.537 -0.533 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCN1CCO ZINC000427405542 1072213904 /nfs/dbraw/zinc/21/39/04/1072213904.db2.gz QUAIVWUUIXBRME-GFCCVEGCSA-N 0 0 428.486 -0.270 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCN1CCO ZINC000427405543 1072213752 /nfs/dbraw/zinc/21/37/52/1072213752.db2.gz QUAIVWUUIXBRME-LBPRGKRZSA-N 0 0 428.486 -0.270 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CCN1CCO ZINC000427406422 1071148829 /nfs/dbraw/zinc/14/88/29/1071148829.db2.gz UFYBASLEELNZJV-AWEZNQCLSA-N 0 0 435.506 -0.261 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CCN1CCO ZINC000427406423 1071148861 /nfs/dbraw/zinc/14/88/61/1071148861.db2.gz UFYBASLEELNZJV-CQSZACIVSA-N 0 0 435.506 -0.261 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1S(=O)(=O)N(C)C ZINC000427406750 1072213769 /nfs/dbraw/zinc/21/37/69/1072213769.db2.gz VFCDVUSKAURVCP-AWEZNQCLSA-N 0 0 442.538 -0.201 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1S(=O)(=O)N(C)C ZINC000427406751 1072213936 /nfs/dbraw/zinc/21/39/36/1072213936.db2.gz VFCDVUSKAURVCP-CQSZACIVSA-N 0 0 442.538 -0.201 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000427406974 1072214403 /nfs/dbraw/zinc/21/44/03/1072214403.db2.gz RBGFJDSOURGLNO-CYBMUJFWSA-N 0 0 428.511 -0.591 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000427406975 1072214554 /nfs/dbraw/zinc/21/45/54/1072214554.db2.gz RBGFJDSOURGLNO-ZDUSSCGKSA-N 0 0 428.511 -0.591 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CCN2C1=O ZINC000427407016 1072214574 /nfs/dbraw/zinc/21/45/74/1072214574.db2.gz VYUVBKWJGIJIEV-INIZCTEOSA-N 0 0 444.492 -0.555 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CCN2C1=O ZINC000427407017 1072214581 /nfs/dbraw/zinc/21/45/81/1072214581.db2.gz VYUVBKWJGIJIEV-MRXNPFEDSA-N 0 0 444.492 -0.555 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC(Oc4cnccn4)CC3)cnc2n(C)c1=O ZINC000427407220 1072214470 /nfs/dbraw/zinc/21/44/70/1072214470.db2.gz RLHKIPWKSWQNBE-UHFFFAOYSA-N 0 0 439.432 -0.569 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCN1CCO ZINC000427407665 1072213874 /nfs/dbraw/zinc/21/38/74/1072213874.db2.gz XZXXZMMNNSIODK-APWZRJJASA-N 0 0 446.504 -0.836 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCN1CCO ZINC000427407666 1072214007 /nfs/dbraw/zinc/21/40/07/1072214007.db2.gz XZXXZMMNNSIODK-LPHOPBHVSA-N 0 0 446.504 -0.836 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCN1CCO ZINC000427407667 1072214654 /nfs/dbraw/zinc/21/46/54/1072214654.db2.gz XZXXZMMNNSIODK-QFBILLFUSA-N 0 0 446.504 -0.836 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCN1CCO ZINC000427407668 1072214517 /nfs/dbraw/zinc/21/45/17/1072214517.db2.gz XZXXZMMNNSIODK-VQIMIIECSA-N 0 0 446.504 -0.836 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CCN2C1=O ZINC000427407785 1072213707 /nfs/dbraw/zinc/21/37/07/1072213707.db2.gz MYKXEZWEIVAHMT-INIZCTEOSA-N 0 0 443.508 -0.439 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CCN2C1=O ZINC000427407786 1072213841 /nfs/dbraw/zinc/21/38/41/1072213841.db2.gz MYKXEZWEIVAHMT-MRXNPFEDSA-N 0 0 443.508 -0.439 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000427411886 1072214587 /nfs/dbraw/zinc/21/45/87/1072214587.db2.gz HRTVDXQRPKSERC-CABCVRRESA-N 0 0 442.538 -0.537 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000427411887 1072214624 /nfs/dbraw/zinc/21/46/24/1072214624.db2.gz HRTVDXQRPKSERC-GJZGRUSLSA-N 0 0 442.538 -0.537 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000427411888 1072214429 /nfs/dbraw/zinc/21/44/29/1072214429.db2.gz HRTVDXQRPKSERC-HUUCEWRRSA-N 0 0 442.538 -0.537 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000427411889 1072214538 /nfs/dbraw/zinc/21/45/38/1072214538.db2.gz HRTVDXQRPKSERC-LSDHHAIUSA-N 0 0 442.538 -0.537 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000427414608 1072214639 /nfs/dbraw/zinc/21/46/39/1072214639.db2.gz PJLQXECZWLLINC-INIZCTEOSA-N 0 0 444.598 -0.124 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000427414609 1072214666 /nfs/dbraw/zinc/21/46/66/1072214666.db2.gz PJLQXECZWLLINC-MRXNPFEDSA-N 0 0 444.598 -0.124 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000427414730 1072214507 /nfs/dbraw/zinc/21/45/07/1072214507.db2.gz NOQNNEITPDOJHG-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000427415841 1072214461 /nfs/dbraw/zinc/21/44/61/1072214461.db2.gz RALOMWUKSAEFBX-UHFFFAOYSA-N 0 0 426.543 -0.509 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CCN1CCO ZINC000427418319 1072213732 /nfs/dbraw/zinc/21/37/32/1072213732.db2.gz APLDDSNZEYKILJ-CYBMUJFWSA-N 0 0 428.486 -0.171 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CCN1CCO ZINC000427418320 1072213656 /nfs/dbraw/zinc/21/36/56/1072213656.db2.gz APLDDSNZEYKILJ-ZDUSSCGKSA-N 0 0 428.486 -0.171 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC1CCN(S(=O)(=O)C(C)(C)C)CC1 ZINC000427426057 1072215176 /nfs/dbraw/zinc/21/51/76/1072215176.db2.gz CMXULAHCYGTPAJ-GFCCVEGCSA-N 0 0 435.572 -0.204 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC1CCN(S(=O)(=O)C(C)(C)C)CC1 ZINC000427426058 1072215093 /nfs/dbraw/zinc/21/50/93/1072215093.db2.gz CMXULAHCYGTPAJ-LBPRGKRZSA-N 0 0 435.572 -0.204 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000427430208 1072214959 /nfs/dbraw/zinc/21/49/59/1072214959.db2.gz WWDNHLSBUMPPSB-CYBMUJFWSA-N 0 0 441.506 -0.270 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000427430209 1072215343 /nfs/dbraw/zinc/21/53/43/1072215343.db2.gz WWDNHLSBUMPPSB-ZDUSSCGKSA-N 0 0 441.506 -0.270 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000427430300 1072215185 /nfs/dbraw/zinc/21/51/85/1072215185.db2.gz XCVCFQQWZUVYEC-GFCCVEGCSA-N 0 0 427.479 -0.660 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000427430305 1072215317 /nfs/dbraw/zinc/21/53/17/1072215317.db2.gz XCVCFQQWZUVYEC-LBPRGKRZSA-N 0 0 427.479 -0.660 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1)n1cncn1 ZINC000427433251 1072215799 /nfs/dbraw/zinc/21/57/99/1072215799.db2.gz AMMBENKGCAQQJE-CABCVRRESA-N 0 0 429.481 -0.059 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1)n1cncn1 ZINC000427433252 1071151027 /nfs/dbraw/zinc/15/10/27/1071151027.db2.gz AMMBENKGCAQQJE-GJZGRUSLSA-N 0 0 429.481 -0.059 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1)n1cncn1 ZINC000427433253 1072215896 /nfs/dbraw/zinc/21/58/96/1072215896.db2.gz AMMBENKGCAQQJE-HUUCEWRRSA-N 0 0 429.481 -0.059 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1)n1cncn1 ZINC000427433254 1072215684 /nfs/dbraw/zinc/21/56/84/1072215684.db2.gz AMMBENKGCAQQJE-LSDHHAIUSA-N 0 0 429.481 -0.059 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000427433369 1072215927 /nfs/dbraw/zinc/21/59/27/1072215927.db2.gz AWMFCCBCSZOZRB-HNNXBMFYSA-N 0 0 434.493 -0.369 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000427433371 1072216569 /nfs/dbraw/zinc/21/65/69/1072216569.db2.gz AWMFCCBCSZOZRB-OAHLLOKOSA-N 0 0 434.493 -0.369 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)n1 ZINC000427434976 1072216663 /nfs/dbraw/zinc/21/66/63/1072216663.db2.gz MDNLNPRQVVDYTJ-UHFFFAOYSA-N 0 0 449.489 -0.005 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000427437531 1072216399 /nfs/dbraw/zinc/21/63/99/1072216399.db2.gz XPAALYCSTDUKTF-UHFFFAOYSA-N 0 0 434.474 -0.361 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000427437900 1072216487 /nfs/dbraw/zinc/21/64/87/1072216487.db2.gz AGSFGXDBSODADK-INIZCTEOSA-N 0 0 447.536 -0.454 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000427437901 1072216591 /nfs/dbraw/zinc/21/65/91/1072216591.db2.gz AGSFGXDBSODADK-MRXNPFEDSA-N 0 0 447.536 -0.454 20 0 IBADRN CCSc1cc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)ccn1 ZINC000427438154 1072216402 /nfs/dbraw/zinc/21/64/02/1072216402.db2.gz CVZDSCSEJSBTRD-UHFFFAOYSA-N 0 0 432.506 0.504 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000427438660 1072216510 /nfs/dbraw/zinc/21/65/10/1072216510.db2.gz ILESBFFPVKLALH-HNNXBMFYSA-N 0 0 427.523 -0.040 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000427438661 1072216443 /nfs/dbraw/zinc/21/64/43/1072216443.db2.gz ILESBFFPVKLALH-OAHLLOKOSA-N 0 0 427.523 -0.040 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H](Oc3ncccc3F)C2)c[nH]1 ZINC000427439156 1072217162 /nfs/dbraw/zinc/21/71/62/1072217162.db2.gz NVVATBUBKCFCPG-LLVKDONJSA-N 0 0 425.442 -0.004 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC[C@H](Oc3ncccc3F)C2)c[nH]1 ZINC000427439157 1072217171 /nfs/dbraw/zinc/21/71/71/1072217171.db2.gz NVVATBUBKCFCPG-NSHDSACASA-N 0 0 425.442 -0.004 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000427439300 1072216411 /nfs/dbraw/zinc/21/64/11/1072216411.db2.gz PFXCEROXBYBQEV-HNNXBMFYSA-N 0 0 440.522 -0.829 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000427439301 1071150997 /nfs/dbraw/zinc/15/09/97/1071150997.db2.gz PFXCEROXBYBQEV-OAHLLOKOSA-N 0 0 440.522 -0.829 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000427439348 1072216627 /nfs/dbraw/zinc/21/66/27/1072216627.db2.gz PULIQEXNAWOEQA-GFCCVEGCSA-N 0 0 439.465 -0.038 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000427439349 1072216530 /nfs/dbraw/zinc/21/65/30/1072216530.db2.gz PULIQEXNAWOEQA-LBPRGKRZSA-N 0 0 439.465 -0.038 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000427439692 1072216551 /nfs/dbraw/zinc/21/65/51/1072216551.db2.gz SWDOEGWHGMNKAP-CYBMUJFWSA-N 0 0 440.522 -0.401 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000427439693 1072216681 /nfs/dbraw/zinc/21/66/81/1072216681.db2.gz SWDOEGWHGMNKAP-ZDUSSCGKSA-N 0 0 440.522 -0.401 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CCN1CCO ZINC000427439924 1072217324 /nfs/dbraw/zinc/21/73/24/1072217324.db2.gz WEOVDDCGWWVVNV-AWEZNQCLSA-N 0 0 437.522 -0.379 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CCN1CCO ZINC000427439925 1072217269 /nfs/dbraw/zinc/21/72/69/1072217269.db2.gz WEOVDDCGWWVVNV-CQSZACIVSA-N 0 0 437.522 -0.379 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CCN1CCO ZINC000427440194 1072217025 /nfs/dbraw/zinc/21/70/25/1072217025.db2.gz XQVUZDXYIYCLHQ-INIZCTEOSA-N 0 0 444.536 -0.396 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CCN1CCO ZINC000427440195 1072217053 /nfs/dbraw/zinc/21/70/53/1072217053.db2.gz XQVUZDXYIYCLHQ-MRXNPFEDSA-N 0 0 444.536 -0.396 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1OC ZINC000427440758 1072217090 /nfs/dbraw/zinc/21/70/90/1072217090.db2.gz DWPKMOLDNHEFSP-CYBMUJFWSA-N 0 0 428.511 -0.080 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1OC ZINC000427440759 1072217183 /nfs/dbraw/zinc/21/71/83/1072217183.db2.gz DWPKMOLDNHEFSP-ZDUSSCGKSA-N 0 0 428.511 -0.080 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000427442052 1072217216 /nfs/dbraw/zinc/21/72/16/1072217216.db2.gz NWXBHOOWLFMVKW-AWEZNQCLSA-N 0 0 442.538 -0.201 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000427442053 1072217118 /nfs/dbraw/zinc/21/71/18/1072217118.db2.gz NWXBHOOWLFMVKW-CQSZACIVSA-N 0 0 442.538 -0.201 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCN1CCO ZINC000427443015 1072217389 /nfs/dbraw/zinc/21/73/89/1072217389.db2.gz JNIFTJHUDCMCTA-INIZCTEOSA-N 0 0 433.509 -0.579 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCN1CCO ZINC000427443016 1072217288 /nfs/dbraw/zinc/21/72/88/1072217288.db2.gz JNIFTJHUDCMCTA-MRXNPFEDSA-N 0 0 433.509 -0.579 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000427443162 1072217071 /nfs/dbraw/zinc/21/70/71/1072217071.db2.gz KDRZXSHDMHSNGO-AWEZNQCLSA-N 0 0 428.511 -0.925 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000427443163 1072217351 /nfs/dbraw/zinc/21/73/51/1072217351.db2.gz KDRZXSHDMHSNGO-CQSZACIVSA-N 0 0 428.511 -0.925 20 0 IBADRN O=C(CNC(=O)c1cccc(O)c1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000427445512 1072215669 /nfs/dbraw/zinc/21/56/69/1072215669.db2.gz BYAMCUFYPVSUOS-UHFFFAOYSA-N 0 0 426.495 -0.720 20 0 IBADRN COCCN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(CCOC)[C@@H](C)C3)O2)C[C@H]1C ZINC000427450103 1072218253 /nfs/dbraw/zinc/21/82/53/1072218253.db2.gz KOMMPRDBRJFNQX-FGYAAKKASA-N 0 0 440.585 -0.108 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCN(CCOC)[C@@H](C)C3)O2)C[C@H]1C ZINC000427450204 1072217709 /nfs/dbraw/zinc/21/77/09/1072217709.db2.gz KOMMPRDBRJFNQX-JVSBHGNQSA-N 0 0 440.585 -0.108 20 0 IBADRN COCCN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(CCOC)[C@@H](C)C3)O2)C[C@@H]1C ZINC000427450205 1072217698 /nfs/dbraw/zinc/21/76/98/1072217698.db2.gz KOMMPRDBRJFNQX-LWYYNNOASA-N 0 0 440.585 -0.108 20 0 IBADRN COCCN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(CCOC)[C@H](C)C3)O2)C[C@H]1C ZINC000427450206 1072217843 /nfs/dbraw/zinc/21/78/43/1072217843.db2.gz KOMMPRDBRJFNQX-WTGUMLROSA-N 0 0 440.585 -0.108 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCCF)CC2)cc1 ZINC000427453052 1072218430 /nfs/dbraw/zinc/21/84/30/1072218430.db2.gz NKXKGZUIHBNVFJ-UHFFFAOYSA-N 0 0 429.517 -0.670 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN3CCOC[C@H]3C2)c1C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000427455932 1071156495 /nfs/dbraw/zinc/15/64/95/1071156495.db2.gz MNGFCRXGJQAUIV-MOPGFXCFSA-N 0 0 445.564 -0.424 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN3CCOC[C@@H]3C2)c1C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000427455933 1071156745 /nfs/dbraw/zinc/15/67/45/1071156745.db2.gz MNGFCRXGJQAUIV-OALUTQOASA-N 0 0 445.564 -0.424 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN3CCOC[C@@H]3C2)c1C(=O)N1CCN2CCOC[C@H]2C1 ZINC000427455934 1071156752 /nfs/dbraw/zinc/15/67/52/1071156752.db2.gz MNGFCRXGJQAUIV-RBUKOAKNSA-N 0 0 445.564 -0.424 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN3CCOC[C@H]3C2)c1C(=O)N1CCN2CCOC[C@H]2C1 ZINC000427455935 1071156674 /nfs/dbraw/zinc/15/66/74/1071156674.db2.gz MNGFCRXGJQAUIV-RTBURBONSA-N 0 0 445.564 -0.424 20 0 IBADRN O=C(CC1(C(=O)N2CCN3CCOC[C@@H]3C2)CCOCC1)N1CCN2CCOC[C@H]2C1 ZINC000427456356 1072218396 /nfs/dbraw/zinc/21/83/96/1072218396.db2.gz GUKCZVMYIIWXPM-MOPGFXCFSA-N 0 0 436.553 -0.741 20 0 IBADRN O=C(CC1(C(=O)N2CCN3CCOC[C@@H]3C2)CCOCC1)N1CCN2CCOC[C@@H]2C1 ZINC000427456360 1072218541 /nfs/dbraw/zinc/21/85/41/1072218541.db2.gz GUKCZVMYIIWXPM-OALUTQOASA-N 0 0 436.553 -0.741 20 0 IBADRN O=C(CC1(C(=O)N2CCN3CCOC[C@H]3C2)CCOCC1)N1CCN2CCOC[C@@H]2C1 ZINC000427456362 1072218337 /nfs/dbraw/zinc/21/83/37/1072218337.db2.gz GUKCZVMYIIWXPM-RBUKOAKNSA-N 0 0 436.553 -0.741 20 0 IBADRN O=C(CC1(C(=O)N2CCN3CCOC[C@H]3C2)CCOCC1)N1CCN2CCOC[C@H]2C1 ZINC000427456364 1072218385 /nfs/dbraw/zinc/21/83/85/1072218385.db2.gz GUKCZVMYIIWXPM-RTBURBONSA-N 0 0 436.553 -0.741 20 0 IBADRN C[C@]1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCCNC1=O ZINC000427456574 1072218301 /nfs/dbraw/zinc/21/83/01/1072218301.db2.gz BBHUJDOPAVOPSQ-IBGZPJMESA-N 0 0 436.490 -0.233 20 0 IBADRN C[C@@]1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCCNC1=O ZINC000427456576 1071152615 /nfs/dbraw/zinc/15/26/15/1071152615.db2.gz BBHUJDOPAVOPSQ-LJQANCHMSA-N 0 0 436.490 -0.233 20 0 IBADRN O=C(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)NCC1CC1 ZINC000427460889 1072217376 /nfs/dbraw/zinc/21/73/76/1072217376.db2.gz WDDZCXWHGYXAEW-UHFFFAOYSA-N 0 0 436.490 -0.233 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1CC[C@@H](C(=O)NC[C@@H](C)CN2CCOCC2)O1)CN1CCOCC1 ZINC000427463856 1072220189 /nfs/dbraw/zinc/22/01/89/1072220189.db2.gz HOEGNZJZNPDJBJ-ZRNYENFQSA-N 0 0 440.585 -0.297 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000427465279 1072220164 /nfs/dbraw/zinc/22/01/64/1072220164.db2.gz ZPHJSXZCLZHWOH-GOSISDBHSA-N 0 0 427.548 -0.112 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000427465280 1072220292 /nfs/dbraw/zinc/22/02/92/1072220292.db2.gz ZPHJSXZCLZHWOH-SFHVURJKSA-N 0 0 427.548 -0.112 20 0 IBADRN C[C@@H](NC(=O)CC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000427466715 1072220101 /nfs/dbraw/zinc/22/01/01/1072220101.db2.gz WRIWDJRKIHMMBJ-CABCVRRESA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@H](NC(=O)CC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000427466716 1072220151 /nfs/dbraw/zinc/22/01/51/1072220151.db2.gz WRIWDJRKIHMMBJ-GJZGRUSLSA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@@H](NC(=O)CC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000427466717 1072220258 /nfs/dbraw/zinc/22/02/58/1072220258.db2.gz WRIWDJRKIHMMBJ-HUUCEWRRSA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@H](NC(=O)CC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000427466718 1072220209 /nfs/dbraw/zinc/22/02/09/1072220209.db2.gz WRIWDJRKIHMMBJ-LSDHHAIUSA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@](C)(O)CN2Cc3ccccc3C2=O)CC1 ZINC000427466783 1072220299 /nfs/dbraw/zinc/22/02/99/1072220299.db2.gz XJPHOWAUOMBSNU-GAJHUEQPSA-N 0 0 444.532 -0.215 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@](C)(O)CN2Cc3ccccc3C2=O)CC1 ZINC000427466784 1072220143 /nfs/dbraw/zinc/22/01/43/1072220143.db2.gz XJPHOWAUOMBSNU-HXOBKFHXSA-N 0 0 444.532 -0.215 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@](C)(O)CN2Cc3ccccc3C2=O)CC1 ZINC000427466785 1072220052 /nfs/dbraw/zinc/22/00/52/1072220052.db2.gz XJPHOWAUOMBSNU-SBUREZEXSA-N 0 0 444.532 -0.215 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@](C)(O)CN2Cc3ccccc3C2=O)CC1 ZINC000427466786 1072220247 /nfs/dbraw/zinc/22/02/47/1072220247.db2.gz XJPHOWAUOMBSNU-UZUQRXQVSA-N 0 0 444.532 -0.215 20 0 IBADRN CCn1cc(-c2n[nH]cc2C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000427470684 1072219078 /nfs/dbraw/zinc/21/90/78/1072219078.db2.gz TVHDJYDJRTYEGA-HNNXBMFYSA-N 0 0 449.537 -0.646 20 0 IBADRN CCn1cc(-c2n[nH]cc2C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000427470685 1072219002 /nfs/dbraw/zinc/21/90/02/1072219002.db2.gz TVHDJYDJRTYEGA-OAHLLOKOSA-N 0 0 449.537 -0.646 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N(CCCOC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000427471996 1072219057 /nfs/dbraw/zinc/21/90/57/1072219057.db2.gz OHCYKSUGIYHLTC-UHFFFAOYSA-N 0 0 433.531 -0.162 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](O)[C@@H](C)C1 ZINC000427480802 1072221209 /nfs/dbraw/zinc/22/12/09/1072221209.db2.gz QWWINRRZBOLONZ-BBRMVZONSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](O)[C@H](C)C1 ZINC000427480803 1072221197 /nfs/dbraw/zinc/22/11/97/1072221197.db2.gz QWWINRRZBOLONZ-CJNGLKHVSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](O)[C@H](C)C1 ZINC000427480804 1072221141 /nfs/dbraw/zinc/22/11/41/1072221141.db2.gz QWWINRRZBOLONZ-CZUORRHYSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](O)[C@@H](C)C1 ZINC000427480805 1072221122 /nfs/dbraw/zinc/22/11/22/1072221122.db2.gz QWWINRRZBOLONZ-XJKSGUPXSA-N 0 0 441.506 -0.116 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CC[C@@H](C(=O)NCC(F)(F)F)C2)c1 ZINC000427485452 1072221096 /nfs/dbraw/zinc/22/10/96/1072221096.db2.gz CRJGNYFTWYNTKY-MRVPVSSYSA-N 0 0 440.375 -0.061 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CC[C@H](C(=O)NCC(F)(F)F)C2)c1 ZINC000427485453 1072221186 /nfs/dbraw/zinc/22/11/86/1072221186.db2.gz CRJGNYFTWYNTKY-QMMMGPOBSA-N 0 0 440.375 -0.061 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cc(C(N)=O)co3)cc2)CC1 ZINC000427487415 1072220178 /nfs/dbraw/zinc/22/01/78/1072220178.db2.gz PBGMKPDCTOMYQT-UHFFFAOYSA-N 0 0 449.489 -0.431 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC000427487551 1073334668 /nfs/dbraw/zinc/33/46/68/1073334668.db2.gz PUMCANBDXKCJRR-CYBMUJFWSA-N 0 0 446.430 -0.319 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC000427487552 1073334515 /nfs/dbraw/zinc/33/45/15/1073334515.db2.gz PUMCANBDXKCJRR-ZDUSSCGKSA-N 0 0 446.430 -0.319 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CCNS(=O)(=O)c2ccc(C(C)=O)cc2)C1 ZINC000427487867 1072221612 /nfs/dbraw/zinc/22/16/12/1072221612.db2.gz NVSJOGYOKVILFP-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC000427489592 1072220548 /nfs/dbraw/zinc/22/05/48/1072220548.db2.gz YAIMBRDUUPAITD-CYBMUJFWSA-N 0 0 446.430 -0.319 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC000427489593 1072220765 /nfs/dbraw/zinc/22/07/65/1072220765.db2.gz YAIMBRDUUPAITD-ZDUSSCGKSA-N 0 0 446.430 -0.319 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CC[C@@H](C(=O)NCC(F)(F)F)C2)c1=O ZINC000427489907 1072220608 /nfs/dbraw/zinc/22/06/08/1072220608.db2.gz FEFVUDJVRDKCHF-LLVKDONJSA-N 0 0 446.382 -0.123 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CC[C@H](C(=O)NCC(F)(F)F)C2)c1=O ZINC000427489910 1072220741 /nfs/dbraw/zinc/22/07/41/1072220741.db2.gz FEFVUDJVRDKCHF-NSHDSACASA-N 0 0 446.382 -0.123 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](O)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000427490647 1072220716 /nfs/dbraw/zinc/22/07/16/1072220716.db2.gz NBPRPYQULFBOAO-DZGCQCFKSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](O)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000427490648 1072220638 /nfs/dbraw/zinc/22/06/38/1072220638.db2.gz NBPRPYQULFBOAO-HIFRSBDPSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](O)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000427490649 1072220675 /nfs/dbraw/zinc/22/06/75/1072220675.db2.gz NBPRPYQULFBOAO-UKRRQHHQSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](O)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000427490650 1072220757 /nfs/dbraw/zinc/22/07/57/1072220757.db2.gz NBPRPYQULFBOAO-ZFWWWQNUSA-N 0 0 441.506 -0.116 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3cc(C(N)=O)co3)c2)CC1 ZINC000427497048 1072221586 /nfs/dbraw/zinc/22/15/86/1072221586.db2.gz LCSOVNRXCWKHJP-UHFFFAOYSA-N 0 0 449.489 -0.431 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN(C[C@@H](C)O)CC2)c1C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000427497801 1071156698 /nfs/dbraw/zinc/15/66/98/1071156698.db2.gz SKSSYXFPEXDIIZ-RTBURBONSA-N 0 0 449.596 -0.460 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC(Cn3cncn3)CC2)cc1 ZINC000427503541 1072221562 /nfs/dbraw/zinc/22/15/62/1072221562.db2.gz CDNKIMXMBAHWAA-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN NC(=O)c1coc(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)c1 ZINC000427505014 1072222016 /nfs/dbraw/zinc/22/20/16/1072222016.db2.gz GEIRDRAGSUZTOB-KRWDZBQOSA-N 0 0 442.428 -0.352 20 0 IBADRN NC(=O)c1coc(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)c1 ZINC000427505015 1072222059 /nfs/dbraw/zinc/22/20/59/1072222059.db2.gz GEIRDRAGSUZTOB-QGZVFWFLSA-N 0 0 442.428 -0.352 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000427505671 1072222044 /nfs/dbraw/zinc/22/20/44/1072222044.db2.gz OOYZLBPGQBIYPM-AWEZNQCLSA-N 0 0 446.551 -0.411 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000427505672 1072222158 /nfs/dbraw/zinc/22/21/58/1072222158.db2.gz OOYZLBPGQBIYPM-CQSZACIVSA-N 0 0 446.551 -0.411 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@](C)(O)CN1Cc2ccccc2C1=O ZINC000427507651 1072222105 /nfs/dbraw/zinc/22/21/05/1072222105.db2.gz VGDZATKVHLKGMX-FOIQADDNSA-N 0 0 437.518 -0.455 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@](C)(O)CN1Cc2ccccc2C1=O ZINC000427507655 1072222023 /nfs/dbraw/zinc/22/20/23/1072222023.db2.gz VGDZATKVHLKGMX-MGPUTAFESA-N 0 0 437.518 -0.455 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@](C)(O)CN1Cc2ccccc2C1=O ZINC000427507657 1072222126 /nfs/dbraw/zinc/22/21/26/1072222126.db2.gz VGDZATKVHLKGMX-QRWLVFNGSA-N 0 0 437.518 -0.455 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@](C)(O)CN1Cc2ccccc2C1=O ZINC000427507659 1072222148 /nfs/dbraw/zinc/22/21/48/1072222148.db2.gz VGDZATKVHLKGMX-YWZLYKJASA-N 0 0 437.518 -0.455 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000427508583 1072221620 /nfs/dbraw/zinc/22/16/20/1072221620.db2.gz CMXAKECYSGSPGP-UHFFFAOYSA-N 0 0 442.538 -0.027 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@](C)(O)CN3Cc4ccccc4C3=O)CC2)no1 ZINC000427510323 1073331074 /nfs/dbraw/zinc/33/10/74/1073331074.db2.gz XIABXSIVMXEOBC-JOCHJYFZSA-N 0 0 441.488 0.473 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@](C)(O)CN3Cc4ccccc4C3=O)CC2)no1 ZINC000427510324 1073331099 /nfs/dbraw/zinc/33/10/99/1073331099.db2.gz XIABXSIVMXEOBC-QFIPXVFZSA-N 0 0 441.488 0.473 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC000427511848 1071155847 /nfs/dbraw/zinc/15/58/47/1071155847.db2.gz OCXGFNPADHDXAF-LLVKDONJSA-N 0 0 442.398 -0.517 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC000427511849 1071155948 /nfs/dbraw/zinc/15/59/48/1071155948.db2.gz OCXGFNPADHDXAF-NSHDSACASA-N 0 0 442.398 -0.517 20 0 IBADRN O=C(C(=O)N1CCN(c2nc3ccccc3nc2N2CCOCC2)CC1)N1CCOCC1 ZINC000427513707 1072222736 /nfs/dbraw/zinc/22/27/36/1072222736.db2.gz JPDZHVYQJXDRND-UHFFFAOYSA-N 0 0 440.504 -0.026 20 0 IBADRN CCNC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000427518418 1072222655 /nfs/dbraw/zinc/22/26/55/1072222655.db2.gz FOKFUDXUAYWSOT-UHFFFAOYSA-N 0 0 448.524 -0.018 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2C)CC1 ZINC000427519024 1072222606 /nfs/dbraw/zinc/22/26/06/1072222606.db2.gz KTXPMYQXRDJQDG-UHFFFAOYSA-N 0 0 446.508 -0.630 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(N3CCNC3=O)c2)CC1 ZINC000427519159 1072222768 /nfs/dbraw/zinc/22/27/68/1072222768.db2.gz MNUONYUENSXBBL-UHFFFAOYSA-N 0 0 446.508 -0.630 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000427519396 1072222638 /nfs/dbraw/zinc/22/26/38/1072222638.db2.gz PCASHNJVCWFRAD-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000427519397 1072222598 /nfs/dbraw/zinc/22/25/98/1072222598.db2.gz PCASHNJVCWFRAD-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(-c3ccsc3)nn2C)CC1 ZINC000427519761 1072222731 /nfs/dbraw/zinc/22/27/31/1072222731.db2.gz SHSDJLJFHKISDX-UHFFFAOYSA-N 0 0 434.522 -0.006 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3ccc(=O)n(C)c3c2)CC1 ZINC000427520098 1072222647 /nfs/dbraw/zinc/22/26/47/1072222647.db2.gz WMOWOKXTMZBTDX-UHFFFAOYSA-N 0 0 429.477 -0.616 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000427523844 1072222698 /nfs/dbraw/zinc/22/26/98/1072222698.db2.gz IJHMYPNPZKYGIQ-JOCHJYFZSA-N 0 0 430.505 -0.604 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000427523848 1072222706 /nfs/dbraw/zinc/22/27/06/1072222706.db2.gz IJHMYPNPZKYGIQ-QFIPXVFZSA-N 0 0 430.505 -0.604 20 0 IBADRN CN(C)c1nc(COn2nnc3ccc(S(=O)(=O)N4CCOCC4)cc32)ns1 ZINC000427524941 1072223259 /nfs/dbraw/zinc/22/32/59/1072223259.db2.gz NHSDUGLLLZNSHW-UHFFFAOYSA-N 0 0 425.496 -0.002 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)Nc1ccc2c(c1)OCCO2 ZINC000427525364 1072223076 /nfs/dbraw/zinc/22/30/76/1072223076.db2.gz QOUDJEKWJVYZKE-UHFFFAOYSA-N 0 0 432.433 -0.091 20 0 IBADRN CCNC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000427532897 1072224233 /nfs/dbraw/zinc/22/42/33/1072224233.db2.gz CWHBOAJXDJKCQV-UHFFFAOYSA-N 0 0 443.468 -0.568 20 0 IBADRN Cn1nc(-c2ccsc2)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000427534126 1072224210 /nfs/dbraw/zinc/22/42/10/1072224210.db2.gz NWIPNNRCQFJPGA-UHFFFAOYSA-N 0 0 429.466 -0.555 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(F)c(OC(F)F)cc2F)CC1 ZINC000427534370 1072224198 /nfs/dbraw/zinc/22/41/98/1072224198.db2.gz PRPGISHBLUJNML-UHFFFAOYSA-N 0 0 445.333 -0.138 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cccc1N1CCNC1=O ZINC000427542921 1072223178 /nfs/dbraw/zinc/22/31/78/1072223178.db2.gz IHMIIKUFWZGKKX-UHFFFAOYSA-N 0 0 439.476 -0.094 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1C(=O)N1CCOCC1 ZINC000427544134 1072224825 /nfs/dbraw/zinc/22/48/25/1072224825.db2.gz QWPYADGTLPIIIO-JOCHJYFZSA-N 0 0 448.520 -0.395 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1C(=O)N1CCOCC1 ZINC000427544135 1072224742 /nfs/dbraw/zinc/22/47/42/1072224742.db2.gz QWPYADGTLPIIIO-QFIPXVFZSA-N 0 0 448.520 -0.395 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1N1CCNC1=O ZINC000427545953 1072224614 /nfs/dbraw/zinc/22/46/14/1072224614.db2.gz YXBWUPPYSBQFHA-UHFFFAOYSA-N 0 0 439.476 -0.094 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(CC(=O)OC)c2)CC1 ZINC000427568033 1072284759 /nfs/dbraw/zinc/28/47/59/1072284759.db2.gz CENNLVXTVBLZAC-UHFFFAOYSA-N 0 0 441.506 -0.149 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1N1CCNC1=O ZINC000427583848 1072293761 /nfs/dbraw/zinc/29/37/61/1072293761.db2.gz RMBHWFAKTMPFJG-UHFFFAOYSA-N 0 0 446.489 -0.047 20 0 IBADRN Cn1c(=O)ccc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cc21 ZINC000427583911 1072293528 /nfs/dbraw/zinc/29/35/28/1072293528.db2.gz RXRXTYLCIIOOMR-UHFFFAOYSA-N 0 0 429.458 -0.033 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cccc1N1CCNC1=O ZINC000427585002 1072294121 /nfs/dbraw/zinc/29/41/21/1072294121.db2.gz YHHNBXFAILZGCE-UHFFFAOYSA-N 0 0 446.489 -0.047 20 0 IBADRN CCNC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000427593285 1072298507 /nfs/dbraw/zinc/29/85/07/1072298507.db2.gz JRSVWSWTRZDSHD-UHFFFAOYSA-N 0 0 440.526 -0.492 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)c(OC(F)F)cc2F)CC1 ZINC000427593943 1072297895 /nfs/dbraw/zinc/29/78/95/1072297895.db2.gz MVKMPIMAKJJLKJ-UHFFFAOYSA-N 0 0 442.391 -0.063 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000427598910 1072300607 /nfs/dbraw/zinc/30/06/07/1072300607.db2.gz JWEPMQOCKTZHSW-MSOLQXFVSA-N 0 0 442.582 -0.274 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000427598911 1072300575 /nfs/dbraw/zinc/30/05/75/1072300575.db2.gz JWEPMQOCKTZHSW-QZTJIDSGSA-N 0 0 442.582 -0.274 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000427598912 1072300688 /nfs/dbraw/zinc/30/06/88/1072300688.db2.gz JWEPMQOCKTZHSW-ROUUACIJSA-N 0 0 442.582 -0.274 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000427598913 1072300855 /nfs/dbraw/zinc/30/08/55/1072300855.db2.gz JWEPMQOCKTZHSW-ZWKOTPCHSA-N 0 0 442.582 -0.274 20 0 IBADRN CO[C@H](C)[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000427604754 1072305106 /nfs/dbraw/zinc/30/51/06/1072305106.db2.gz RMOUZRJNUUVJFN-BZPMIXESSA-N 0 0 429.495 -0.090 20 0 IBADRN CO[C@@H](C)[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000427604755 1072305134 /nfs/dbraw/zinc/30/51/34/1072305134.db2.gz RMOUZRJNUUVJFN-DYEKYZERSA-N 0 0 429.495 -0.090 20 0 IBADRN CO[C@@H](C)[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000427604756 1072305097 /nfs/dbraw/zinc/30/50/97/1072305097.db2.gz RMOUZRJNUUVJFN-MBNYWOFBSA-N 0 0 429.495 -0.090 20 0 IBADRN CO[C@H](C)[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000427604757 1072305538 /nfs/dbraw/zinc/30/55/38/1072305538.db2.gz RMOUZRJNUUVJFN-YRGRVCCFSA-N 0 0 429.495 -0.090 20 0 IBADRN COc1ccc(C[C@](C)(O)CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000427617224 1072314687 /nfs/dbraw/zinc/31/46/87/1072314687.db2.gz DVAXVJBVIASXRV-IBGZPJMESA-N 0 0 429.539 -0.309 20 0 IBADRN COc1ccc(C[C@@](C)(O)CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000427617225 1072314813 /nfs/dbraw/zinc/31/48/13/1072314813.db2.gz DVAXVJBVIASXRV-LJQANCHMSA-N 0 0 429.539 -0.309 20 0 IBADRN COc1cccc2c1CCN(C(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)CC2 ZINC000427630965 1073348505 /nfs/dbraw/zinc/34/85/05/1073348505.db2.gz OVMZTNUOFLKJFZ-UHFFFAOYSA-N 0 0 441.488 0.316 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCC[C@H]1CO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000427634227 1072320617 /nfs/dbraw/zinc/32/06/17/1072320617.db2.gz QYOYHBQBXZTTKH-AWEZNQCLSA-N 0 0 439.513 -0.070 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@](C)(O)CN2Cc3ccccc3C2=O)CC1 ZINC000427636586 1072320914 /nfs/dbraw/zinc/32/09/14/1072320914.db2.gz LHMONDBTIQWVRY-FQEVSTJZSA-N 0 0 439.534 -0.096 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@](C)(O)CN2Cc3ccccc3C2=O)CC1 ZINC000427636587 1072321121 /nfs/dbraw/zinc/32/11/21/1072321121.db2.gz LHMONDBTIQWVRY-HXUWFJFHSA-N 0 0 439.534 -0.096 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCC[C@H]2CO)c(=O)[nH]c1=O ZINC000427650733 1072323505 /nfs/dbraw/zinc/32/35/05/1072323505.db2.gz KEDRXEXIHMSDES-ZDUSSCGKSA-N 0 0 425.486 -0.316 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000427653947 1072324167 /nfs/dbraw/zinc/32/41/67/1072324167.db2.gz ADYFNZRTPRQECV-NXEZZACHSA-N 0 0 431.442 -0.260 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000427653951 1072324111 /nfs/dbraw/zinc/32/41/11/1072324111.db2.gz ADYFNZRTPRQECV-UWVGGRQHSA-N 0 0 431.442 -0.260 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000427653953 1072324157 /nfs/dbraw/zinc/32/41/57/1072324157.db2.gz ADYFNZRTPRQECV-VHSXEESVSA-N 0 0 431.442 -0.260 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000427653955 1072324123 /nfs/dbraw/zinc/32/41/23/1072324123.db2.gz ADYFNZRTPRQECV-ZJUUUORDSA-N 0 0 431.442 -0.260 20 0 IBADRN CO[C@@H]1CCCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000427655633 1072324568 /nfs/dbraw/zinc/32/45/68/1072324568.db2.gz HPWRLDHAGPXHFY-HZPDHXFCSA-N 0 0 438.506 -0.181 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@@H]2CC[C@@H](C(=O)N[C@@]3(C(=O)OC)CCSC3)O2)CCSC1 ZINC000427656296 1072324055 /nfs/dbraw/zinc/32/40/55/1072324055.db2.gz WHQARIDSIIFRTG-BMEPFDOTSA-N 0 0 446.547 -0.136 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@H]2CC[C@@H](C(=O)N[C@@]3(C(=O)OC)CCSC3)O2)CCSC1 ZINC000427656300 1072324134 /nfs/dbraw/zinc/32/41/34/1072324134.db2.gz WHQARIDSIIFRTG-CMKMFDCUSA-N 0 0 446.547 -0.136 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@@H]2CC[C@H](C(=O)N[C@]3(C(=O)OC)CCSC3)O2)CCSC1 ZINC000427656302 1072324072 /nfs/dbraw/zinc/32/40/72/1072324072.db2.gz WHQARIDSIIFRTG-FRVJLOGJSA-N 0 0 446.547 -0.136 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@@H]2CC[C@@H](C(=O)N[C@]3(C(=O)OC)CCSC3)O2)CCSC1 ZINC000427656303 1072324175 /nfs/dbraw/zinc/32/41/75/1072324175.db2.gz WHQARIDSIIFRTG-GNTOHDJUSA-N 0 0 446.547 -0.136 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC ZINC000427656485 1072324024 /nfs/dbraw/zinc/32/40/24/1072324024.db2.gz KXUJXLXBPZKATD-CHWSQXEVSA-N 0 0 448.476 -0.267 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC ZINC000427656490 1072324144 /nfs/dbraw/zinc/32/41/44/1072324144.db2.gz KXUJXLXBPZKATD-OLZOCXBDSA-N 0 0 448.476 -0.267 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC ZINC000427656492 1072324089 /nfs/dbraw/zinc/32/40/89/1072324089.db2.gz KXUJXLXBPZKATD-QWHCGFSZSA-N 0 0 448.476 -0.267 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC ZINC000427656495 1072324184 /nfs/dbraw/zinc/32/41/84/1072324184.db2.gz KXUJXLXBPZKATD-STQMWFEESA-N 0 0 448.476 -0.267 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000427658263 1072325943 /nfs/dbraw/zinc/32/59/43/1072325943.db2.gz WWRXAGQNXXNBKX-GHMZBOCLSA-N 0 0 449.493 -0.105 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000427658264 1072325960 /nfs/dbraw/zinc/32/59/60/1072325960.db2.gz WWRXAGQNXXNBKX-MNOVXSKESA-N 0 0 449.493 -0.105 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000427658265 1072325954 /nfs/dbraw/zinc/32/59/54/1072325954.db2.gz WWRXAGQNXXNBKX-QWRGUYRKSA-N 0 0 449.493 -0.105 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000427658266 1072325929 /nfs/dbraw/zinc/32/59/29/1072325929.db2.gz WWRXAGQNXXNBKX-WDEREUQCSA-N 0 0 449.493 -0.105 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000427661200 1072324723 /nfs/dbraw/zinc/32/47/23/1072324723.db2.gz BYYHFUMETFVBTK-NEPJUHHUSA-N 0 0 432.437 -0.918 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000427661201 1072324407 /nfs/dbraw/zinc/32/44/07/1072324407.db2.gz BYYHFUMETFVBTK-NWDGAFQWSA-N 0 0 432.437 -0.918 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000427661202 1072324685 /nfs/dbraw/zinc/32/46/85/1072324685.db2.gz BYYHFUMETFVBTK-RYUDHWBXSA-N 0 0 432.437 -0.918 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000427661203 1072324649 /nfs/dbraw/zinc/32/46/49/1072324649.db2.gz BYYHFUMETFVBTK-VXGBXAGGSA-N 0 0 432.437 -0.918 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1 ZINC000427662269 1072324597 /nfs/dbraw/zinc/32/45/97/1072324597.db2.gz ISSIVJMRWMEMCU-NXEZZACHSA-N 0 0 435.416 -0.379 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1 ZINC000427662270 1072324436 /nfs/dbraw/zinc/32/44/36/1072324436.db2.gz ISSIVJMRWMEMCU-UWVGGRQHSA-N 0 0 435.416 -0.379 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1 ZINC000427662271 1072324711 /nfs/dbraw/zinc/32/47/11/1072324711.db2.gz ISSIVJMRWMEMCU-VHSXEESVSA-N 0 0 435.416 -0.379 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1 ZINC000427662272 1072324586 /nfs/dbraw/zinc/32/45/86/1072324586.db2.gz ISSIVJMRWMEMCU-ZJUUUORDSA-N 0 0 435.416 -0.379 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(F)cc1CS(C)(=O)=O ZINC000427665920 1072324551 /nfs/dbraw/zinc/32/45/51/1072324551.db2.gz ORJXFRRCFXCKSS-GHMZBOCLSA-N 0 0 445.469 -0.119 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(F)cc1CS(C)(=O)=O ZINC000427665921 1072325127 /nfs/dbraw/zinc/32/51/27/1072325127.db2.gz ORJXFRRCFXCKSS-MNOVXSKESA-N 0 0 445.469 -0.119 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(F)cc1CS(C)(=O)=O ZINC000427665922 1072325014 /nfs/dbraw/zinc/32/50/14/1072325014.db2.gz ORJXFRRCFXCKSS-QWRGUYRKSA-N 0 0 445.469 -0.119 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(F)cc1CS(C)(=O)=O ZINC000427665923 1072324980 /nfs/dbraw/zinc/32/49/80/1072324980.db2.gz ORJXFRRCFXCKSS-WDEREUQCSA-N 0 0 445.469 -0.119 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)CC)cc1 ZINC000427671183 1072325633 /nfs/dbraw/zinc/32/56/33/1072325633.db2.gz HWSMMDUNMGWLMB-NEPJUHHUSA-N 0 0 427.479 -0.009 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)CC)cc1 ZINC000427671184 1072325525 /nfs/dbraw/zinc/32/55/25/1072325525.db2.gz HWSMMDUNMGWLMB-NWDGAFQWSA-N 0 0 427.479 -0.009 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)CC)cc1 ZINC000427671185 1072325507 /nfs/dbraw/zinc/32/55/07/1072325507.db2.gz HWSMMDUNMGWLMB-RYUDHWBXSA-N 0 0 427.479 -0.009 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)CC)cc1 ZINC000427671186 1072325655 /nfs/dbraw/zinc/32/56/55/1072325655.db2.gz HWSMMDUNMGWLMB-VXGBXAGGSA-N 0 0 427.479 -0.009 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000427671563 1072325165 /nfs/dbraw/zinc/32/51/65/1072325165.db2.gz JAPYRVWPTWZXGQ-DYEKYZERSA-N 0 0 446.460 -0.597 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000427671564 1072325187 /nfs/dbraw/zinc/32/51/87/1072325187.db2.gz JAPYRVWPTWZXGQ-MBNYWOFBSA-N 0 0 446.460 -0.597 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000427671565 1072324947 /nfs/dbraw/zinc/32/49/47/1072324947.db2.gz JAPYRVWPTWZXGQ-OBJOEFQTSA-N 0 0 446.460 -0.597 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000427671566 1072325113 /nfs/dbraw/zinc/32/51/13/1072325113.db2.gz JAPYRVWPTWZXGQ-SGMGOOAPSA-N 0 0 446.460 -0.597 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000427671696 1072325175 /nfs/dbraw/zinc/32/51/75/1072325175.db2.gz JWOJBXNIBDQYBZ-KBPBESRZSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000427671697 1072325053 /nfs/dbraw/zinc/32/50/53/1072325053.db2.gz JWOJBXNIBDQYBZ-KGLIPLIRSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000427671698 1072325025 /nfs/dbraw/zinc/32/50/25/1072325025.db2.gz JWOJBXNIBDQYBZ-UONOGXRCSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000427671699 1072325040 /nfs/dbraw/zinc/32/50/40/1072325040.db2.gz JWOJBXNIBDQYBZ-ZIAGYGMSSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000427672712 1072325491 /nfs/dbraw/zinc/32/54/91/1072325491.db2.gz OFWYPOATLDUANO-NEPJUHHUSA-N 0 0 442.494 -0.407 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000427672713 1072325640 /nfs/dbraw/zinc/32/56/40/1072325640.db2.gz OFWYPOATLDUANO-NWDGAFQWSA-N 0 0 442.494 -0.407 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000427672714 1072325598 /nfs/dbraw/zinc/32/55/98/1072325598.db2.gz OFWYPOATLDUANO-RYUDHWBXSA-N 0 0 442.494 -0.407 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000427672715 1072325659 /nfs/dbraw/zinc/32/56/59/1072325659.db2.gz OFWYPOATLDUANO-VXGBXAGGSA-N 0 0 442.494 -0.407 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(NS(=O)(=O)CC)cc1 ZINC000427673875 1072325621 /nfs/dbraw/zinc/32/56/21/1072325621.db2.gz XPNFRJLKAYOFOC-NEPJUHHUSA-N 0 0 442.494 -0.041 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(NS(=O)(=O)CC)cc1 ZINC000427673876 1072325609 /nfs/dbraw/zinc/32/56/09/1072325609.db2.gz XPNFRJLKAYOFOC-NWDGAFQWSA-N 0 0 442.494 -0.041 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(NS(=O)(=O)CC)cc1 ZINC000427673877 1072325586 /nfs/dbraw/zinc/32/55/86/1072325586.db2.gz XPNFRJLKAYOFOC-RYUDHWBXSA-N 0 0 442.494 -0.041 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(NS(=O)(=O)CC)cc1 ZINC000427673878 1072325648 /nfs/dbraw/zinc/32/56/48/1072325648.db2.gz XPNFRJLKAYOFOC-VXGBXAGGSA-N 0 0 442.494 -0.041 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000427674348 1072325862 /nfs/dbraw/zinc/32/58/62/1072325862.db2.gz OQFINOBCUGAJHQ-KBPBESRZSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000427674349 1072326002 /nfs/dbraw/zinc/32/60/02/1072326002.db2.gz OQFINOBCUGAJHQ-KGLIPLIRSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000427674350 1072325896 /nfs/dbraw/zinc/32/58/96/1072325896.db2.gz OQFINOBCUGAJHQ-UONOGXRCSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000427674351 1072325905 /nfs/dbraw/zinc/32/59/05/1072325905.db2.gz OQFINOBCUGAJHQ-ZIAGYGMSSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000427674561 1072324700 /nfs/dbraw/zinc/32/47/00/1072324700.db2.gz QDSDLQBTCMOGPF-KBPBESRZSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000427674562 1072324449 /nfs/dbraw/zinc/32/44/49/1072324449.db2.gz QDSDLQBTCMOGPF-KGLIPLIRSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000427674563 1072324503 /nfs/dbraw/zinc/32/45/03/1072324503.db2.gz QDSDLQBTCMOGPF-UONOGXRCSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000427674564 1072325664 /nfs/dbraw/zinc/32/56/64/1072325664.db2.gz QDSDLQBTCMOGPF-ZIAGYGMSSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000427676036 1072326521 /nfs/dbraw/zinc/32/65/21/1072326521.db2.gz CRYWSGFEDUNPPW-BDAKNGLRSA-N 0 0 445.270 -0.341 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000427676037 1072326357 /nfs/dbraw/zinc/32/63/57/1072326357.db2.gz CRYWSGFEDUNPPW-DTWKUNHWSA-N 0 0 445.270 -0.341 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000427676038 1072326375 /nfs/dbraw/zinc/32/63/75/1072326375.db2.gz CRYWSGFEDUNPPW-IUCAKERBSA-N 0 0 445.270 -0.341 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000427676039 1072326420 /nfs/dbraw/zinc/32/64/20/1072326420.db2.gz CRYWSGFEDUNPPW-RKDXNWHRSA-N 0 0 445.270 -0.341 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000427676349 1072326389 /nfs/dbraw/zinc/32/63/89/1072326389.db2.gz FZJJHDFASHMVCX-NEPJUHHUSA-N 0 0 442.494 -0.552 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000427676350 1072326473 /nfs/dbraw/zinc/32/64/73/1072326473.db2.gz FZJJHDFASHMVCX-NWDGAFQWSA-N 0 0 442.494 -0.552 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000427676351 1072326411 /nfs/dbraw/zinc/32/64/11/1072326411.db2.gz FZJJHDFASHMVCX-RYUDHWBXSA-N 0 0 442.494 -0.552 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000427676352 1072326886 /nfs/dbraw/zinc/32/68/86/1072326886.db2.gz FZJJHDFASHMVCX-VXGBXAGGSA-N 0 0 442.494 -0.552 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1 ZINC000427676453 1072326791 /nfs/dbraw/zinc/32/67/91/1072326791.db2.gz GXVCUWOUHYXYBF-GHMZBOCLSA-N 0 0 447.452 -0.510 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1 ZINC000427676454 1072326924 /nfs/dbraw/zinc/32/69/24/1072326924.db2.gz GXVCUWOUHYXYBF-MNOVXSKESA-N 0 0 447.452 -0.510 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1 ZINC000427676455 1072326987 /nfs/dbraw/zinc/32/69/87/1072326987.db2.gz GXVCUWOUHYXYBF-QWRGUYRKSA-N 0 0 447.452 -0.510 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1 ZINC000427676456 1072326896 /nfs/dbraw/zinc/32/68/96/1072326896.db2.gz GXVCUWOUHYXYBF-WDEREUQCSA-N 0 0 447.452 -0.510 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)NC)Oc2ccccc21 ZINC000427676674 1072326994 /nfs/dbraw/zinc/32/69/94/1072326994.db2.gz HJWHZSVAYMJUGX-HUBLWGQQSA-N 0 0 434.449 -0.901 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)N1C[C@H](C(=O)NC)Oc2ccccc21 ZINC000427676675 1072326853 /nfs/dbraw/zinc/32/68/53/1072326853.db2.gz HJWHZSVAYMJUGX-SLEUVZQESA-N 0 0 434.449 -0.901 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)N1C[C@H](C(=O)NC)Oc2ccccc21 ZINC000427676677 1072326762 /nfs/dbraw/zinc/32/67/62/1072326762.db2.gz HJWHZSVAYMJUGX-TYNCELHUSA-N 0 0 434.449 -0.901 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)NC)Oc2ccccc21 ZINC000427676679 1072326869 /nfs/dbraw/zinc/32/68/69/1072326869.db2.gz HJWHZSVAYMJUGX-XUJVJEKNSA-N 0 0 434.449 -0.901 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1 ZINC000427677642 1072326826 /nfs/dbraw/zinc/32/68/26/1072326826.db2.gz HTRKPJPZWDEKQF-NEPJUHHUSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1 ZINC000427677643 1072326979 /nfs/dbraw/zinc/32/69/79/1072326979.db2.gz HTRKPJPZWDEKQF-NWDGAFQWSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1 ZINC000427677644 1072326909 /nfs/dbraw/zinc/32/69/09/1072326909.db2.gz HTRKPJPZWDEKQF-RYUDHWBXSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1 ZINC000427677645 1072326958 /nfs/dbraw/zinc/32/69/58/1072326958.db2.gz HTRKPJPZWDEKQF-VXGBXAGGSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(-c2nnnn2CC)c1 ZINC000427678214 1071171112 /nfs/dbraw/zinc/17/11/12/1071171112.db2.gz MGFXWSUNUZEJID-NEPJUHHUSA-N 0 0 431.453 -0.129 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(-c2nnnn2CC)c1 ZINC000427678215 1072326969 /nfs/dbraw/zinc/32/69/69/1072326969.db2.gz MGFXWSUNUZEJID-NWDGAFQWSA-N 0 0 431.453 -0.129 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(-c2nnnn2CC)c1 ZINC000427678216 1072326948 /nfs/dbraw/zinc/32/69/48/1072326948.db2.gz MGFXWSUNUZEJID-RYUDHWBXSA-N 0 0 431.453 -0.129 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(-c2nnnn2CC)c1 ZINC000427678217 1072326937 /nfs/dbraw/zinc/32/69/37/1072326937.db2.gz MGFXWSUNUZEJID-VXGBXAGGSA-N 0 0 431.453 -0.129 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000427678226 1072326845 /nfs/dbraw/zinc/32/68/45/1072326845.db2.gz MHQFNPOXTLSDPV-GHMZBOCLSA-N 0 0 428.467 -0.894 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000427678227 1072326814 /nfs/dbraw/zinc/32/68/14/1072326814.db2.gz MHQFNPOXTLSDPV-MNOVXSKESA-N 0 0 428.467 -0.894 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000427678228 1072326776 /nfs/dbraw/zinc/32/67/76/1072326776.db2.gz MHQFNPOXTLSDPV-QWRGUYRKSA-N 0 0 428.467 -0.894 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000427678229 1072326803 /nfs/dbraw/zinc/32/68/03/1072326803.db2.gz MHQFNPOXTLSDPV-WDEREUQCSA-N 0 0 428.467 -0.894 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)cc1C ZINC000427679293 1072327276 /nfs/dbraw/zinc/32/72/76/1072327276.db2.gz PFUXFWLRZJYPFN-NEPJUHHUSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)cc1C ZINC000427679294 1072327386 /nfs/dbraw/zinc/32/73/86/1072327386.db2.gz PFUXFWLRZJYPFN-NWDGAFQWSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)cc1C ZINC000427679295 1072327313 /nfs/dbraw/zinc/32/73/13/1072327313.db2.gz PFUXFWLRZJYPFN-RYUDHWBXSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)cc1C ZINC000427679296 1072327290 /nfs/dbraw/zinc/32/72/90/1072327290.db2.gz PFUXFWLRZJYPFN-VXGBXAGGSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000427681163 1072327206 /nfs/dbraw/zinc/32/72/06/1072327206.db2.gz WPWFLYCMTWUDOQ-CHWSQXEVSA-N 0 0 446.460 -0.153 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000427681164 1072327265 /nfs/dbraw/zinc/32/72/65/1072327265.db2.gz WPWFLYCMTWUDOQ-OLZOCXBDSA-N 0 0 446.460 -0.153 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000427681165 1072327246 /nfs/dbraw/zinc/32/72/46/1072327246.db2.gz WPWFLYCMTWUDOQ-QWHCGFSZSA-N 0 0 446.460 -0.153 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000427681166 1072327219 /nfs/dbraw/zinc/32/72/19/1072327219.db2.gz WPWFLYCMTWUDOQ-STQMWFEESA-N 0 0 446.460 -0.153 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC[C@H](O)C1)c(=O)n2Cc1ccccc1 ZINC000427682099 1072327400 /nfs/dbraw/zinc/32/74/00/1072327400.db2.gz HJJWBIUJMKKKLV-INIZCTEOSA-N 0 0 427.461 -0.352 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(C)nn1-c1ccc(C)nn1 ZINC000427683974 1072327373 /nfs/dbraw/zinc/32/73/73/1072327373.db2.gz APCVKFWCFBNVLM-CHWSQXEVSA-N 0 0 431.453 -0.210 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(C)nn1-c1ccc(C)nn1 ZINC000427683975 1072327299 /nfs/dbraw/zinc/32/72/99/1072327299.db2.gz APCVKFWCFBNVLM-OLZOCXBDSA-N 0 0 431.453 -0.210 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(C)nn1-c1ccc(C)nn1 ZINC000427683976 1072327338 /nfs/dbraw/zinc/32/73/38/1072327338.db2.gz APCVKFWCFBNVLM-QWHCGFSZSA-N 0 0 431.453 -0.210 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(C)nn1-c1ccc(C)nn1 ZINC000427683977 1072327351 /nfs/dbraw/zinc/32/73/51/1072327351.db2.gz APCVKFWCFBNVLM-STQMWFEESA-N 0 0 431.453 -0.210 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000427684757 1072327882 /nfs/dbraw/zinc/32/78/82/1072327882.db2.gz ILEKUISALAVUQI-NEPJUHHUSA-N 0 0 447.448 -0.751 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000427684758 1072327870 /nfs/dbraw/zinc/32/78/70/1072327870.db2.gz ILEKUISALAVUQI-NWDGAFQWSA-N 0 0 447.448 -0.751 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000427684759 1072327797 /nfs/dbraw/zinc/32/77/97/1072327797.db2.gz ILEKUISALAVUQI-RYUDHWBXSA-N 0 0 447.448 -0.751 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000427684760 1072327846 /nfs/dbraw/zinc/32/78/46/1072327846.db2.gz ILEKUISALAVUQI-VXGBXAGGSA-N 0 0 447.448 -0.751 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C ZINC000427684843 1072327698 /nfs/dbraw/zinc/32/76/98/1072327698.db2.gz IZYBFVFHMIJRGJ-NEPJUHHUSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C ZINC000427684844 1072327819 /nfs/dbraw/zinc/32/78/19/1072327819.db2.gz IZYBFVFHMIJRGJ-NWDGAFQWSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C ZINC000427684845 1072327746 /nfs/dbraw/zinc/32/77/46/1072327746.db2.gz IZYBFVFHMIJRGJ-RYUDHWBXSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C ZINC000427684846 1072327742 /nfs/dbraw/zinc/32/77/42/1072327742.db2.gz IZYBFVFHMIJRGJ-VXGBXAGGSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000427685058 1072327194 /nfs/dbraw/zinc/32/71/94/1072327194.db2.gz KHQINUXRBPYRHU-CHWSQXEVSA-N 0 0 433.465 -0.277 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000427685059 1072327325 /nfs/dbraw/zinc/32/73/25/1072327325.db2.gz KHQINUXRBPYRHU-OLZOCXBDSA-N 0 0 433.465 -0.277 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000427685060 1072327225 /nfs/dbraw/zinc/32/72/25/1072327225.db2.gz KHQINUXRBPYRHU-QWHCGFSZSA-N 0 0 433.465 -0.277 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000427685061 1072327254 /nfs/dbraw/zinc/32/72/54/1072327254.db2.gz KHQINUXRBPYRHU-STQMWFEESA-N 0 0 433.465 -0.277 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C ZINC000427685062 1072327238 /nfs/dbraw/zinc/32/72/38/1072327238.db2.gz KHZOAQYHMHVANN-NEPJUHHUSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C ZINC000427685063 1072327363 /nfs/dbraw/zinc/32/73/63/1072327363.db2.gz KHZOAQYHMHVANN-NWDGAFQWSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C ZINC000427685064 1072327715 /nfs/dbraw/zinc/32/77/15/1072327715.db2.gz KHZOAQYHMHVANN-RYUDHWBXSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C ZINC000427685065 1072327808 /nfs/dbraw/zinc/32/78/08/1072327808.db2.gz KHZOAQYHMHVANN-VXGBXAGGSA-N 0 0 442.494 -0.586 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1 ZINC000427685736 1072327832 /nfs/dbraw/zinc/32/78/32/1072327832.db2.gz NXWFVXKAAPROIV-KBPBESRZSA-N 0 0 443.460 -0.197 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1 ZINC000427685737 1072327709 /nfs/dbraw/zinc/32/77/09/1072327709.db2.gz NXWFVXKAAPROIV-KGLIPLIRSA-N 0 0 443.460 -0.197 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1 ZINC000427685738 1072327677 /nfs/dbraw/zinc/32/76/77/1072327677.db2.gz NXWFVXKAAPROIV-UONOGXRCSA-N 0 0 443.460 -0.197 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1 ZINC000427685739 1072327860 /nfs/dbraw/zinc/32/78/60/1072327860.db2.gz NXWFVXKAAPROIV-ZIAGYGMSSA-N 0 0 443.460 -0.197 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000427686153 1072327686 /nfs/dbraw/zinc/32/76/86/1072327686.db2.gz PUFIZUMQAFPRBR-HNNXBMFYSA-N 0 0 446.503 -0.644 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000427686157 1072327760 /nfs/dbraw/zinc/32/77/60/1072327760.db2.gz PUFIZUMQAFPRBR-OAHLLOKOSA-N 0 0 446.503 -0.644 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000427687273 1072328225 /nfs/dbraw/zinc/32/82/25/1072328225.db2.gz ICMUMEHBHUDSNW-NEPJUHHUSA-N 0 0 442.494 -0.552 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000427687274 1072328209 /nfs/dbraw/zinc/32/82/09/1072328209.db2.gz ICMUMEHBHUDSNW-NWDGAFQWSA-N 0 0 442.494 -0.552 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000427687275 1072328348 /nfs/dbraw/zinc/32/83/48/1072328348.db2.gz ICMUMEHBHUDSNW-RYUDHWBXSA-N 0 0 442.494 -0.552 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000427687276 1072328333 /nfs/dbraw/zinc/32/83/33/1072328333.db2.gz ICMUMEHBHUDSNW-VXGBXAGGSA-N 0 0 442.494 -0.552 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C(=O)N(C)C)cc1OC ZINC000427689464 1072328424 /nfs/dbraw/zinc/32/84/24/1072328424.db2.gz PFGLTGOOLJSMBM-NEPJUHHUSA-N 0 0 436.465 -0.092 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C(=O)N(C)C)cc1OC ZINC000427689465 1072328307 /nfs/dbraw/zinc/32/83/07/1072328307.db2.gz PFGLTGOOLJSMBM-NWDGAFQWSA-N 0 0 436.465 -0.092 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C(=O)N(C)C)cc1OC ZINC000427689466 1072328409 /nfs/dbraw/zinc/32/84/09/1072328409.db2.gz PFGLTGOOLJSMBM-RYUDHWBXSA-N 0 0 436.465 -0.092 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C(=O)N(C)C)cc1OC ZINC000427689467 1072328319 /nfs/dbraw/zinc/32/83/19/1072328319.db2.gz PFGLTGOOLJSMBM-VXGBXAGGSA-N 0 0 436.465 -0.092 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)Nc2cnn(CC(=O)NCCO)c2)cc1 ZINC000427689635 1072328199 /nfs/dbraw/zinc/32/81/99/1072328199.db2.gz PYVRQUPDVFHUJE-UHFFFAOYSA-N 0 0 437.478 -0.499 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)c(C)c1 ZINC000427690535 1072328298 /nfs/dbraw/zinc/32/82/98/1072328298.db2.gz UDKBPFGEXWNKSB-NEPJUHHUSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)c(C)c1 ZINC000427690536 1072328233 /nfs/dbraw/zinc/32/82/33/1072328233.db2.gz UDKBPFGEXWNKSB-NWDGAFQWSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)c(C)c1 ZINC000427690537 1072328219 /nfs/dbraw/zinc/32/82/19/1072328219.db2.gz UDKBPFGEXWNKSB-RYUDHWBXSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)c(C)c1 ZINC000427690538 1072328141 /nfs/dbraw/zinc/32/81/41/1072328141.db2.gz UDKBPFGEXWNKSB-VXGBXAGGSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(C(=O)N(C)C)ccc1OC ZINC000427693718 1072326328 /nfs/dbraw/zinc/32/63/28/1072326328.db2.gz GRTLSBZLOHHBMU-NEPJUHHUSA-N 0 0 436.465 -0.092 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(C(=O)N(C)C)ccc1OC ZINC000427693720 1072326281 /nfs/dbraw/zinc/32/62/81/1072326281.db2.gz GRTLSBZLOHHBMU-NWDGAFQWSA-N 0 0 436.465 -0.092 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(C(=O)N(C)C)ccc1OC ZINC000427693722 1072326264 /nfs/dbraw/zinc/32/62/64/1072326264.db2.gz GRTLSBZLOHHBMU-RYUDHWBXSA-N 0 0 436.465 -0.092 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(C(=O)N(C)C)ccc1OC ZINC000427693724 1072326343 /nfs/dbraw/zinc/32/63/43/1072326343.db2.gz GRTLSBZLOHHBMU-VXGBXAGGSA-N 0 0 436.465 -0.092 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)CC(=O)NCC3CC3)CCN2C(=O)CC(=O)NCC2CC2)cn1 ZINC000427695110 1072326403 /nfs/dbraw/zinc/32/64/03/1072326403.db2.gz LNEMVINEECOGTP-GOSISDBHSA-N 0 0 444.536 -0.035 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)CC(=O)NCC3CC3)CCN2C(=O)CC(=O)NCC2CC2)cn1 ZINC000427695111 1072326462 /nfs/dbraw/zinc/32/64/62/1072326462.db2.gz LNEMVINEECOGTP-SFHVURJKSA-N 0 0 444.536 -0.035 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000427700012 1072328726 /nfs/dbraw/zinc/32/87/26/1072328726.db2.gz ZJTOHYDXARWPTK-CHWSQXEVSA-N 0 0 446.460 -0.153 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000427700013 1072328741 /nfs/dbraw/zinc/32/87/41/1072328741.db2.gz ZJTOHYDXARWPTK-OLZOCXBDSA-N 0 0 446.460 -0.153 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000427700014 1072328746 /nfs/dbraw/zinc/32/87/46/1072328746.db2.gz ZJTOHYDXARWPTK-QWHCGFSZSA-N 0 0 446.460 -0.153 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000427700015 1072328969 /nfs/dbraw/zinc/32/89/69/1072328969.db2.gz ZJTOHYDXARWPTK-STQMWFEESA-N 0 0 446.460 -0.153 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000427702125 1072328829 /nfs/dbraw/zinc/32/88/29/1072328829.db2.gz QQXKBZDKUULJHC-BDAKNGLRSA-N 0 0 449.432 -0.121 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000427702126 1072329580 /nfs/dbraw/zinc/32/95/80/1072329580.db2.gz QQXKBZDKUULJHC-DTWKUNHWSA-N 0 0 449.432 -0.121 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000427702127 1071173525 /nfs/dbraw/zinc/17/35/25/1071173525.db2.gz QQXKBZDKUULJHC-IUCAKERBSA-N 0 0 449.432 -0.121 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000427702128 1072329521 /nfs/dbraw/zinc/32/95/21/1072329521.db2.gz QQXKBZDKUULJHC-RKDXNWHRSA-N 0 0 449.432 -0.121 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C ZINC000427702761 1072329336 /nfs/dbraw/zinc/32/93/36/1072329336.db2.gz VJDGSDIFQZNUEK-NEPJUHHUSA-N 0 0 434.428 0.226 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C ZINC000427702762 1072329455 /nfs/dbraw/zinc/32/94/55/1072329455.db2.gz VJDGSDIFQZNUEK-NWDGAFQWSA-N 0 0 434.428 0.226 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C ZINC000427702763 1072329559 /nfs/dbraw/zinc/32/95/59/1072329559.db2.gz VJDGSDIFQZNUEK-RYUDHWBXSA-N 0 0 434.428 0.226 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C ZINC000427702764 1072329565 /nfs/dbraw/zinc/32/95/65/1072329565.db2.gz VJDGSDIFQZNUEK-VXGBXAGGSA-N 0 0 434.428 0.226 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000427702988 1072329529 /nfs/dbraw/zinc/32/95/29/1072329529.db2.gz WHUHTQVHHHMCAN-NEPJUHHUSA-N 0 0 442.494 -0.753 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000427702989 1072329550 /nfs/dbraw/zinc/32/95/50/1072329550.db2.gz WHUHTQVHHHMCAN-NWDGAFQWSA-N 0 0 442.494 -0.753 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000427702990 1072329296 /nfs/dbraw/zinc/32/92/96/1072329296.db2.gz WHUHTQVHHHMCAN-RYUDHWBXSA-N 0 0 442.494 -0.753 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000427702991 1072329439 /nfs/dbraw/zinc/32/94/39/1072329439.db2.gz WHUHTQVHHHMCAN-VXGBXAGGSA-N 0 0 442.494 -0.753 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000427704090 1072329386 /nfs/dbraw/zinc/32/93/86/1072329386.db2.gz HRADCCUVFPXTKF-GHMZBOCLSA-N 0 0 425.463 -0.473 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000427704091 1072329569 /nfs/dbraw/zinc/32/95/69/1072329569.db2.gz HRADCCUVFPXTKF-MNOVXSKESA-N 0 0 425.463 -0.473 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000427704092 1072329312 /nfs/dbraw/zinc/32/93/12/1072329312.db2.gz HRADCCUVFPXTKF-QWRGUYRKSA-N 0 0 425.463 -0.473 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000427704093 1072329373 /nfs/dbraw/zinc/32/93/73/1072329373.db2.gz HRADCCUVFPXTKF-WDEREUQCSA-N 0 0 425.463 -0.473 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1 ZINC000427706859 1072329539 /nfs/dbraw/zinc/32/95/39/1072329539.db2.gz VZYVVXYFAVEFTO-BDAKNGLRSA-N 0 0 445.270 -0.341 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1 ZINC000427706860 1072330051 /nfs/dbraw/zinc/33/00/51/1072330051.db2.gz VZYVVXYFAVEFTO-DTWKUNHWSA-N 0 0 445.270 -0.341 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1 ZINC000427706861 1072329865 /nfs/dbraw/zinc/32/98/65/1072329865.db2.gz VZYVVXYFAVEFTO-IUCAKERBSA-N 0 0 445.270 -0.341 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1 ZINC000427706862 1072329996 /nfs/dbraw/zinc/32/99/96/1072329996.db2.gz VZYVVXYFAVEFTO-RKDXNWHRSA-N 0 0 445.270 -0.341 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000427707566 1072329812 /nfs/dbraw/zinc/32/98/12/1072329812.db2.gz ZHVSUEZMFDBKMH-KBPBESRZSA-N 0 0 435.481 -0.262 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000427707567 1072329945 /nfs/dbraw/zinc/32/99/45/1072329945.db2.gz ZHVSUEZMFDBKMH-KGLIPLIRSA-N 0 0 435.481 -0.262 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000427707568 1072329926 /nfs/dbraw/zinc/32/99/26/1072329926.db2.gz ZHVSUEZMFDBKMH-UONOGXRCSA-N 0 0 435.481 -0.262 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000427707569 1072330029 /nfs/dbraw/zinc/33/00/29/1072330029.db2.gz ZHVSUEZMFDBKMH-ZIAGYGMSSA-N 0 0 435.481 -0.262 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000427710326 1072330433 /nfs/dbraw/zinc/33/04/33/1072330433.db2.gz DQPPWUUEULEPEY-GOSISDBHSA-N 0 0 434.478 -0.955 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000427710327 1072330445 /nfs/dbraw/zinc/33/04/45/1072330445.db2.gz DQPPWUUEULEPEY-SFHVURJKSA-N 0 0 434.478 -0.955 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccnn1-c1nc(C)cc(C)n1 ZINC000427712955 1072332534 /nfs/dbraw/zinc/33/25/34/1072332534.db2.gz YJRRMVBJZGVADC-CHWSQXEVSA-N 0 0 431.453 -0.210 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccnn1-c1nc(C)cc(C)n1 ZINC000427712957 1072332572 /nfs/dbraw/zinc/33/25/72/1072332572.db2.gz YJRRMVBJZGVADC-OLZOCXBDSA-N 0 0 431.453 -0.210 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccnn1-c1nc(C)cc(C)n1 ZINC000427712958 1072332426 /nfs/dbraw/zinc/33/24/26/1072332426.db2.gz YJRRMVBJZGVADC-QWHCGFSZSA-N 0 0 431.453 -0.210 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccnn1-c1nc(C)cc(C)n1 ZINC000427712960 1072332412 /nfs/dbraw/zinc/33/24/12/1072332412.db2.gz YJRRMVBJZGVADC-STQMWFEESA-N 0 0 431.453 -0.210 20 0 IBADRN CN(C)CCN(C(=O)c1nc(S(C)(=O)=O)n2ccccc12)[C@@H]1CCS(=O)(=O)C1 ZINC000427716875 1071173698 /nfs/dbraw/zinc/17/36/98/1071173698.db2.gz CEXBLTHCOQXGAI-CYBMUJFWSA-N 0 0 428.536 -0.071 20 0 IBADRN CN(C)CCN(C(=O)c1nc(S(C)(=O)=O)n2ccccc12)[C@H]1CCS(=O)(=O)C1 ZINC000427716876 1072330957 /nfs/dbraw/zinc/33/09/57/1072330957.db2.gz CEXBLTHCOQXGAI-ZDUSSCGKSA-N 0 0 428.536 -0.071 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(CS(C)(=O)=O)c(F)c1 ZINC000427717764 1072331060 /nfs/dbraw/zinc/33/10/60/1072331060.db2.gz DOEICQLIKALFLZ-GHMZBOCLSA-N 0 0 445.469 -0.119 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(CS(C)(=O)=O)c(F)c1 ZINC000427717765 1072331000 /nfs/dbraw/zinc/33/10/00/1072331000.db2.gz DOEICQLIKALFLZ-MNOVXSKESA-N 0 0 445.469 -0.119 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(CS(C)(=O)=O)c(F)c1 ZINC000427717766 1072330931 /nfs/dbraw/zinc/33/09/31/1072330931.db2.gz DOEICQLIKALFLZ-QWRGUYRKSA-N 0 0 445.469 -0.119 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(CS(C)(=O)=O)c(F)c1 ZINC000427717767 1072331072 /nfs/dbraw/zinc/33/10/72/1072331072.db2.gz DOEICQLIKALFLZ-WDEREUQCSA-N 0 0 445.469 -0.119 20 0 IBADRN CN(C)CCN(C(=O)CNC(=O)c1cnc2ccccc2c1O)[C@H]1CCS(=O)(=O)C1 ZINC000427717879 1072331083 /nfs/dbraw/zinc/33/10/83/1072331083.db2.gz DQPQYOQZECSQCJ-AWEZNQCLSA-N 0 0 434.518 -0.165 20 0 IBADRN CN(C)CCN(C(=O)CNC(=O)c1cnc2ccccc2c1O)[C@@H]1CCS(=O)(=O)C1 ZINC000427717880 1072331011 /nfs/dbraw/zinc/33/10/11/1072331011.db2.gz DQPQYOQZECSQCJ-CQSZACIVSA-N 0 0 434.518 -0.165 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2 ZINC000427718660 1072330945 /nfs/dbraw/zinc/33/09/45/1072330945.db2.gz ILLBRSWSJQMYKQ-NXEZZACHSA-N 0 0 437.405 -0.287 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2 ZINC000427718661 1072331134 /nfs/dbraw/zinc/33/11/34/1072331134.db2.gz ILLBRSWSJQMYKQ-UWVGGRQHSA-N 0 0 437.405 -0.287 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2 ZINC000427718662 1072331122 /nfs/dbraw/zinc/33/11/22/1072331122.db2.gz ILLBRSWSJQMYKQ-VHSXEESVSA-N 0 0 437.405 -0.287 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2 ZINC000427718663 1072330885 /nfs/dbraw/zinc/33/08/85/1072330885.db2.gz ILLBRSWSJQMYKQ-ZJUUUORDSA-N 0 0 437.405 -0.287 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc2ccn(CC(=O)NC)c2c1 ZINC000427720213 1072331430 /nfs/dbraw/zinc/33/14/30/1072331430.db2.gz MQIVAMQHPKDUMJ-CHWSQXEVSA-N 0 0 445.476 -0.102 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc2ccn(CC(=O)NC)c2c1 ZINC000427720214 1072331474 /nfs/dbraw/zinc/33/14/74/1072331474.db2.gz MQIVAMQHPKDUMJ-OLZOCXBDSA-N 0 0 445.476 -0.102 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc2ccn(CC(=O)NC)c2c1 ZINC000427720215 1072331513 /nfs/dbraw/zinc/33/15/13/1072331513.db2.gz MQIVAMQHPKDUMJ-QWHCGFSZSA-N 0 0 445.476 -0.102 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc2ccn(CC(=O)NC)c2c1 ZINC000427720216 1072331411 /nfs/dbraw/zinc/33/14/11/1072331411.db2.gz MQIVAMQHPKDUMJ-STQMWFEESA-N 0 0 445.476 -0.102 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)Cc3cc(S(N)(=O)=O)cn3C)C2)cc1 ZINC000427720312 1072331632 /nfs/dbraw/zinc/33/16/32/1072331632.db2.gz DXTMHGSZFHVDOU-UHFFFAOYSA-N 0 0 426.520 -0.287 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C)c(NS(C)(=O)=O)c1 ZINC000427720837 1072331494 /nfs/dbraw/zinc/33/14/94/1072331494.db2.gz OPYDEKVQUYHOEH-NEPJUHHUSA-N 0 0 442.494 -0.122 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C)c(NS(C)(=O)=O)c1 ZINC000427720838 1072331576 /nfs/dbraw/zinc/33/15/76/1072331576.db2.gz OPYDEKVQUYHOEH-NWDGAFQWSA-N 0 0 442.494 -0.122 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C)c(NS(C)(=O)=O)c1 ZINC000427720839 1072331451 /nfs/dbraw/zinc/33/14/51/1072331451.db2.gz OPYDEKVQUYHOEH-RYUDHWBXSA-N 0 0 442.494 -0.122 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C)c(NS(C)(=O)=O)c1 ZINC000427720840 1072331563 /nfs/dbraw/zinc/33/15/63/1072331563.db2.gz OPYDEKVQUYHOEH-VXGBXAGGSA-N 0 0 442.494 -0.122 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000427721104 1072331422 /nfs/dbraw/zinc/33/14/22/1072331422.db2.gz PIGSJKCOLWUWPU-CHWSQXEVSA-N 0 0 448.476 -0.267 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000427721105 1072331523 /nfs/dbraw/zinc/33/15/23/1072331523.db2.gz PIGSJKCOLWUWPU-OLZOCXBDSA-N 0 0 448.476 -0.267 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000427721106 1072331618 /nfs/dbraw/zinc/33/16/18/1072331618.db2.gz PIGSJKCOLWUWPU-QWHCGFSZSA-N 0 0 448.476 -0.267 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)COC ZINC000427721107 1072331551 /nfs/dbraw/zinc/33/15/51/1072331551.db2.gz PIGSJKCOLWUWPU-STQMWFEESA-N 0 0 448.476 -0.267 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCOc3ccc(F)cc3C2)n1)N1CCOCC1 ZINC000427722142 1072331858 /nfs/dbraw/zinc/33/18/58/1072331858.db2.gz KIIXCCIEQXNLJS-UHFFFAOYSA-N 0 0 431.424 0.241 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C)c(S(C)(=O)=O)c1 ZINC000427722238 1072331917 /nfs/dbraw/zinc/33/19/17/1072331917.db2.gz SRWUSIYEHKENHH-NEPJUHHUSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C)c(S(C)(=O)=O)c1 ZINC000427722239 1072332117 /nfs/dbraw/zinc/33/21/17/1072332117.db2.gz SRWUSIYEHKENHH-NWDGAFQWSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C)c(S(C)(=O)=O)c1 ZINC000427722240 1072331977 /nfs/dbraw/zinc/33/19/77/1072331977.db2.gz SRWUSIYEHKENHH-RYUDHWBXSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C)c(S(C)(=O)=O)c1 ZINC000427722241 1072331925 /nfs/dbraw/zinc/33/19/25/1072331925.db2.gz SRWUSIYEHKENHH-VXGBXAGGSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1 ZINC000427722262 1072332014 /nfs/dbraw/zinc/33/20/14/1072332014.db2.gz SWYQSDOVYFLUBS-GHMZBOCLSA-N 0 0 433.425 -0.818 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1 ZINC000427722263 1072332175 /nfs/dbraw/zinc/33/21/75/1072332175.db2.gz SWYQSDOVYFLUBS-MNOVXSKESA-N 0 0 433.425 -0.818 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1 ZINC000427722264 1072331988 /nfs/dbraw/zinc/33/19/88/1072331988.db2.gz SWYQSDOVYFLUBS-QWRGUYRKSA-N 0 0 433.425 -0.818 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1 ZINC000427722265 1072331892 /nfs/dbraw/zinc/33/18/92/1072331892.db2.gz SWYQSDOVYFLUBS-WDEREUQCSA-N 0 0 433.425 -0.818 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(C)C ZINC000427723231 1072332000 /nfs/dbraw/zinc/33/20/00/1072332000.db2.gz PPJUHCDKEQSDQF-HNNXBMFYSA-N 0 0 426.495 -0.467 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC[C@@](O)(C2CC2)C1 ZINC000427725114 1072331866 /nfs/dbraw/zinc/33/18/66/1072331866.db2.gz FGVIHJSHVRQSFM-IBGZPJMESA-N 0 0 436.490 -0.881 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC[C@](O)(C2CC2)C1 ZINC000427725115 1072332058 /nfs/dbraw/zinc/33/20/58/1072332058.db2.gz FGVIHJSHVRQSFM-LJQANCHMSA-N 0 0 436.490 -0.881 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(C)C ZINC000427726247 1072331968 /nfs/dbraw/zinc/33/19/68/1072331968.db2.gz FRLJGSSBBXGYBK-KRWDZBQOSA-N 0 0 433.509 -0.426 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1)C(C)C ZINC000427727508 1072331932 /nfs/dbraw/zinc/33/19/32/1072331932.db2.gz LSEHSNSOAMGJQG-HNNXBMFYSA-N 0 0 426.495 -0.039 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000427727517 1072331948 /nfs/dbraw/zinc/33/19/48/1072331948.db2.gz QYSBJWRFYAURPE-INIZCTEOSA-N 0 0 447.579 -0.198 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000427727518 1072332028 /nfs/dbraw/zinc/33/20/28/1072332028.db2.gz QYSBJWRFYAURPE-MRXNPFEDSA-N 0 0 447.579 -0.198 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000427728036 1072331901 /nfs/dbraw/zinc/33/19/01/1072331901.db2.gz ODGCODULPHNGEQ-INIZCTEOSA-N 0 0 447.579 -0.198 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000427728037 1072331876 /nfs/dbraw/zinc/33/18/76/1072331876.db2.gz ODGCODULPHNGEQ-MRXNPFEDSA-N 0 0 447.579 -0.198 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)C2)cc1 ZINC000427728233 1072332585 /nfs/dbraw/zinc/33/25/85/1072332585.db2.gz PEVDKJMJWZKXST-RBUKOAKNSA-N 0 0 447.517 -0.184 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(C)C ZINC000427732640 1072333819 /nfs/dbraw/zinc/33/38/19/1072333819.db2.gz BEPFSGUZNDWXEX-INIZCTEOSA-N 0 0 439.538 -0.552 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC)C(C)C ZINC000427734324 1072333923 /nfs/dbraw/zinc/33/39/23/1072333923.db2.gz CTTFYNDELHNAHU-HNNXBMFYSA-N 0 0 426.495 -0.039 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000427735805 1072333838 /nfs/dbraw/zinc/33/38/38/1072333838.db2.gz SQKSDRYQWRLJOX-AWEZNQCLSA-N 0 0 433.552 -0.206 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000427735809 1072334305 /nfs/dbraw/zinc/33/43/05/1072334305.db2.gz SQKSDRYQWRLJOX-CQSZACIVSA-N 0 0 433.552 -0.206 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC)C(C)C ZINC000427736502 1072334173 /nfs/dbraw/zinc/33/41/73/1072334173.db2.gz NNPUSKPWOSKOBX-KRWDZBQOSA-N 0 0 433.509 -0.092 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)[C@@H]2CC[C@H](C(=O)NCCN3CCO[C@@H](CC)C3)O2)CCO1 ZINC000427739313 1072334329 /nfs/dbraw/zinc/33/43/29/1072334329.db2.gz FSLFKGLMEHGKKD-JVSBHGNQSA-N 0 0 440.585 -0.012 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)[C@H]2CC[C@@H](C(=O)NCCN3CCO[C@H](CC)C3)O2)CCO1 ZINC000427739316 1072334210 /nfs/dbraw/zinc/33/42/10/1072334210.db2.gz FSLFKGLMEHGKKD-WTGUMLROSA-N 0 0 440.585 -0.012 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)[C@@H]2CC[C@@H](C(=O)NCCN3CCO[C@@H](CC)C3)O2)CCO1 ZINC000427739317 1072334284 /nfs/dbraw/zinc/33/42/84/1072334284.db2.gz FSLFKGLMEHGKKD-YRPNKDGESA-N 0 0 440.585 -0.012 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)[C@@H]2CC[C@@H](C(=O)NCCN3CCO[C@H](CC)C3)O2)CCO1 ZINC000427739320 1072334402 /nfs/dbraw/zinc/33/44/02/1072334402.db2.gz FSLFKGLMEHGKKD-ZRNYENFQSA-N 0 0 440.585 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)CN3CCN(S(C)(=O)=O)CC3)C2)cc1 ZINC000427745709 1072332984 /nfs/dbraw/zinc/33/29/84/1072332984.db2.gz XXTNDTFQTGGLFQ-UHFFFAOYSA-N 0 0 430.552 -0.939 20 0 IBADRN O=C(CNC(=O)c1cc(I)ccc1N1CCOCC1)NCCO ZINC000427747514 1072333002 /nfs/dbraw/zinc/33/30/02/1072333002.db2.gz UVOOORWUEDSXRO-UHFFFAOYSA-N 0 0 433.246 -0.034 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)[C@@H]2CC[C@@H](C(=O)N[C@H]3CCN(C(=O)NCC)C3)O2)C1 ZINC000427750593 1072333037 /nfs/dbraw/zinc/33/30/37/1072333037.db2.gz ZLIOJOLRQWXXLK-FZKCQIBNSA-N 0 0 438.529 -0.626 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@H]3CCN(C(=O)NCC)C3)O2)C1 ZINC000427750594 1072332913 /nfs/dbraw/zinc/33/29/13/1072332913.db2.gz ZLIOJOLRQWXXLK-GEEKYZPCSA-N 0 0 438.529 -0.626 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)[C@@H]2CC[C@@H](C(=O)N[C@H]3CCN(C(=O)NCC)C3)O2)C1 ZINC000427750595 1072333343 /nfs/dbraw/zinc/33/33/43/1072333343.db2.gz ZLIOJOLRQWXXLK-VGWMRTNUSA-N 0 0 438.529 -0.626 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@H]3CCN(C(=O)NCC)C3)O2)C1 ZINC000427750596 1072333425 /nfs/dbraw/zinc/33/34/25/1072333425.db2.gz ZLIOJOLRQWXXLK-YHUYYLMFSA-N 0 0 438.529 -0.626 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)C(C)C ZINC000427750623 1072333441 /nfs/dbraw/zinc/33/34/41/1072333441.db2.gz ZSBPFXWBGLSHRS-INIZCTEOSA-N 0 0 439.538 -0.552 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC)C(C)C ZINC000427751688 1072333369 /nfs/dbraw/zinc/33/33/69/1072333369.db2.gz HFIWSUHACJOZFO-INIZCTEOSA-N 0 0 440.522 -0.245 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C)C(C)C ZINC000427751954 1072333419 /nfs/dbraw/zinc/33/34/19/1072333419.db2.gz JUEGMUPONRFBGS-INIZCTEOSA-N 0 0 440.522 -0.159 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(C)C ZINC000427753474 1071184735 /nfs/dbraw/zinc/18/47/35/1071184735.db2.gz DXERYKQWIQIUII-HNNXBMFYSA-N 0 0 426.495 -0.467 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(C)C ZINC000427754402 1072335315 /nfs/dbraw/zinc/33/53/15/1072335315.db2.gz GAAXLIKBNORMTI-KRWDZBQOSA-N 0 0 430.509 -0.035 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@](O)(C4CC4)C3)c2)CC1 ZINC000427761148 1072335703 /nfs/dbraw/zinc/33/57/03/1072335703.db2.gz ODDTXTWYTZSJOZ-FQEVSTJZSA-N 0 0 436.534 -0.065 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@](O)(C4CC4)C3)c2)CC1 ZINC000427761152 1072335768 /nfs/dbraw/zinc/33/57/68/1072335768.db2.gz ODDTXTWYTZSJOZ-HXUWFJFHSA-N 0 0 436.534 -0.065 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(C)C ZINC000427763086 1072335686 /nfs/dbraw/zinc/33/56/86/1072335686.db2.gz IMACMZSAAWDCGG-HNNXBMFYSA-N 0 0 444.485 -0.328 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@](O)(C4CC4)C3)cc2)CC1 ZINC000427767129 1072336325 /nfs/dbraw/zinc/33/63/25/1072336325.db2.gz YSPOBINGQPPFSN-FQEVSTJZSA-N 0 0 436.534 -0.065 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@](O)(C4CC4)C3)cc2)CC1 ZINC000427767130 1072336234 /nfs/dbraw/zinc/33/62/34/1072336234.db2.gz YSPOBINGQPPFSN-HXUWFJFHSA-N 0 0 436.534 -0.065 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCN([C@@H](CC)CO)CC3)O2)CC1 ZINC000427772148 1072334852 /nfs/dbraw/zinc/33/48/52/1072334852.db2.gz UCBHPHVAXGGXBJ-JVSBHGNQSA-N 0 0 440.585 -0.636 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN([C@H](CC)CO)CC3)O2)CC1 ZINC000427772150 1072334758 /nfs/dbraw/zinc/33/47/58/1072334758.db2.gz UCBHPHVAXGGXBJ-WTGUMLROSA-N 0 0 440.585 -0.636 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCN([C@@H](CC)CO)CC3)O2)CC1 ZINC000427772153 1072334733 /nfs/dbraw/zinc/33/47/33/1072334733.db2.gz UCBHPHVAXGGXBJ-YRPNKDGESA-N 0 0 440.585 -0.636 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCN([C@H](CC)CO)CC3)O2)CC1 ZINC000427772155 1072334698 /nfs/dbraw/zinc/33/46/98/1072334698.db2.gz UCBHPHVAXGGXBJ-ZRNYENFQSA-N 0 0 440.585 -0.636 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)C(C)C ZINC000427773821 1072335328 /nfs/dbraw/zinc/33/53/28/1072335328.db2.gz MYEHVTLMRJGWAM-HNNXBMFYSA-N 0 0 427.527 -0.172 20 0 IBADRN O=c1[nH]c2cc(Br)c(S(=O)(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2[nH]1 ZINC000427774025 1072334960 /nfs/dbraw/zinc/33/49/60/1072334960.db2.gz ASLABAYDRPHGTH-SSDOTTSWSA-N 0 0 444.267 -0.638 20 0 IBADRN O=c1[nH]c2cc(Br)c(S(=O)(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2[nH]1 ZINC000427774026 1072334900 /nfs/dbraw/zinc/33/49/00/1072334900.db2.gz ASLABAYDRPHGTH-ZETCQYMHSA-N 0 0 444.267 -0.638 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1)C(C)C ZINC000427774227 1072334815 /nfs/dbraw/zinc/33/48/15/1072334815.db2.gz PQVCUCMGTGEMRG-DOMZBBRYSA-N 0 0 428.511 -0.175 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1)C(C)C ZINC000427774230 1072334946 /nfs/dbraw/zinc/33/49/46/1072334946.db2.gz PQVCUCMGTGEMRG-WFASDCNBSA-N 0 0 428.511 -0.175 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(Br)c1 ZINC000427775349 1072336787 /nfs/dbraw/zinc/33/67/87/1072336787.db2.gz GBPSLYXMSAIYEY-JTQLQIEISA-N 0 0 446.279 -0.433 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(Br)c1 ZINC000427775351 1072336811 /nfs/dbraw/zinc/33/68/11/1072336811.db2.gz GBPSLYXMSAIYEY-SNVBAGLBSA-N 0 0 446.279 -0.433 20 0 IBADRN Cc1ccc(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1C(=O)N1CCOCC1 ZINC000427775773 1072336837 /nfs/dbraw/zinc/33/68/37/1072336837.db2.gz DUYIRJONZRIWMX-UHFFFAOYSA-N 0 0 449.489 -0.189 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CCS1(=O)=O ZINC000427777159 1072337255 /nfs/dbraw/zinc/33/72/55/1072337255.db2.gz HCPNRLYYBQIGCJ-UHFFFAOYSA-N 0 0 441.554 -0.030 20 0 IBADRN Nc1nsc(N2CCN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)CC2)n1 ZINC000427777993 1072337164 /nfs/dbraw/zinc/33/71/64/1072337164.db2.gz IJWQIWIUHZBRFL-UHFFFAOYSA-N 0 0 444.564 0.072 20 0 IBADRN COc1ccc(Cl)cc1-n1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cn1 ZINC000427781906 1072337648 /nfs/dbraw/zinc/33/76/48/1072337648.db2.gz MABUTSAOYPXDGS-GFCCVEGCSA-N 0 0 439.881 -0.134 20 0 IBADRN COc1ccc(Cl)cc1-n1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cn1 ZINC000427781911 1072337784 /nfs/dbraw/zinc/33/77/84/1072337784.db2.gz MABUTSAOYPXDGS-LBPRGKRZSA-N 0 0 439.881 -0.134 20 0 IBADRN Nc1nsc(N2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)n1 ZINC000427782641 1073363925 /nfs/dbraw/zinc/36/39/25/1073363925.db2.gz VSPCPICTBGZANO-UHFFFAOYSA-N 0 0 432.553 -0.449 20 0 IBADRN O=C(NCC(F)(F)F)c1cccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000427782736 1072337720 /nfs/dbraw/zinc/33/77/20/1072337720.db2.gz LAKJUKJUJLAKJQ-LLVKDONJSA-N 0 0 434.396 -0.690 20 0 IBADRN O=C(NCC(F)(F)F)c1cccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000427782743 1072337866 /nfs/dbraw/zinc/33/78/66/1072337866.db2.gz LAKJUKJUJLAKJQ-NSHDSACASA-N 0 0 434.396 -0.690 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000427784149 1072337766 /nfs/dbraw/zinc/33/77/66/1072337766.db2.gz XNSNJBZGVNRBKW-JOCHJYFZSA-N 0 0 430.505 -0.604 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000427784150 1072337912 /nfs/dbraw/zinc/33/79/12/1072337912.db2.gz XNSNJBZGVNRBKW-QFIPXVFZSA-N 0 0 430.505 -0.604 20 0 IBADRN COCCOc1ccccc1N1CCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000427785205 1072337668 /nfs/dbraw/zinc/33/76/68/1072337668.db2.gz DKBKMUWISIMAEN-UHFFFAOYSA-N 0 0 438.506 -0.380 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CCS1(=O)=O ZINC000427785798 1072338413 /nfs/dbraw/zinc/33/84/13/1072338413.db2.gz QZJVRZBZXMMMIB-UHFFFAOYSA-N 0 0 438.506 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1(CCO)CC1 ZINC000427786995 1072338424 /nfs/dbraw/zinc/33/84/24/1072338424.db2.gz IBYOTSXBAKZNMM-UHFFFAOYSA-N 0 0 441.506 -0.067 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000427788772 1072336382 /nfs/dbraw/zinc/33/63/82/1072336382.db2.gz KUVSGOVPGIGVPS-CYBMUJFWSA-N 0 0 444.535 -0.952 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000427788775 1072336248 /nfs/dbraw/zinc/33/62/48/1072336248.db2.gz KUVSGOVPGIGVPS-ZDUSSCGKSA-N 0 0 444.535 -0.952 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000427788898 1072336370 /nfs/dbraw/zinc/33/63/70/1072336370.db2.gz MKWYXSPJZIYCOR-UHFFFAOYSA-N 0 0 432.553 -0.119 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)CCS1(=O)=O ZINC000427789154 1072336193 /nfs/dbraw/zinc/33/61/93/1072336193.db2.gz LTWZXKWEIFQIMR-UHFFFAOYSA-N 0 0 443.526 -0.600 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CCS1(=O)=O ZINC000427789262 1072336175 /nfs/dbraw/zinc/33/61/75/1072336175.db2.gz ZKCAJGKOOMCUAY-UHFFFAOYSA-N 0 0 425.511 -0.084 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H]1CCC[C@H]1CCO ZINC000427790279 1072336752 /nfs/dbraw/zinc/33/67/52/1072336752.db2.gz RGFJLGZBKHOYGU-BBRMVZONSA-N 0 0 438.506 -0.587 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H]1CCC[C@@H]1CCO ZINC000427790280 1072336801 /nfs/dbraw/zinc/33/68/01/1072336801.db2.gz RGFJLGZBKHOYGU-CJNGLKHVSA-N 0 0 438.506 -0.587 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1CCC[C@@H]1CCO ZINC000427790281 1072336907 /nfs/dbraw/zinc/33/69/07/1072336907.db2.gz RGFJLGZBKHOYGU-CZUORRHYSA-N 0 0 438.506 -0.587 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1CCC[C@H]1CCO ZINC000427790282 1072336920 /nfs/dbraw/zinc/33/69/20/1072336920.db2.gz RGFJLGZBKHOYGU-XJKSGUPXSA-N 0 0 438.506 -0.587 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000427794421 1072336851 /nfs/dbraw/zinc/33/68/51/1072336851.db2.gz AVHDZQRFUGDOHF-UHFFFAOYSA-N 0 0 432.553 -0.119 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000427796185 1072336876 /nfs/dbraw/zinc/33/68/76/1072336876.db2.gz HHBFHAOJMXLCLP-AWEZNQCLSA-N 0 0 444.535 -0.440 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000427796187 1072336760 /nfs/dbraw/zinc/33/67/60/1072336760.db2.gz HHBFHAOJMXLCLP-CQSZACIVSA-N 0 0 444.535 -0.440 20 0 IBADRN COC(=O)c1cc(Br)cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000427796522 1072336827 /nfs/dbraw/zinc/33/68/27/1072336827.db2.gz IKAQNKQZGZLRRM-LLVKDONJSA-N 0 0 446.279 -0.433 20 0 IBADRN COC(=O)c1cc(Br)cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000427796524 1072336731 /nfs/dbraw/zinc/33/67/31/1072336731.db2.gz IKAQNKQZGZLRRM-NSHDSACASA-N 0 0 446.279 -0.433 20 0 IBADRN CC(=O)Nc1cc(F)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(F)c1Cl ZINC000427796691 1072336684 /nfs/dbraw/zinc/33/66/84/1072336684.db2.gz KRDSFUAKTNZLKN-MRVPVSSYSA-N 0 0 436.824 -0.092 20 0 IBADRN CC(=O)Nc1cc(F)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(F)c1Cl ZINC000427796692 1072336697 /nfs/dbraw/zinc/33/66/97/1072336697.db2.gz KRDSFUAKTNZLKN-QMMMGPOBSA-N 0 0 436.824 -0.092 20 0 IBADRN COc1ccc(NC(=O)c2cn(CCO)c(=O)[nH]c2=O)cc1S(=O)(=O)N1CCCC1 ZINC000427797326 1072339832 /nfs/dbraw/zinc/33/98/32/1072339832.db2.gz KJNZSFVOIVXGCY-UHFFFAOYSA-N 0 0 438.462 -0.013 20 0 IBADRN Nc1nsc(N2CCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)CC2)n1 ZINC000427798370 1072338336 /nfs/dbraw/zinc/33/83/36/1072338336.db2.gz CLAMCPSRDRVYKW-UHFFFAOYSA-N 0 0 444.564 0.072 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CCS1(=O)=O ZINC000427798432 1071198562 /nfs/dbraw/zinc/19/85/62/1071198562.db2.gz NIJGCIIZDLINIX-UHFFFAOYSA-N 0 0 437.522 -0.228 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CCS1(=O)=O ZINC000427798722 1072339973 /nfs/dbraw/zinc/33/99/73/1072339973.db2.gz CTWJCVQEDVXLAC-UHFFFAOYSA-N 0 0 441.554 -0.030 20 0 IBADRN Nc1nsc(N2CCN(S(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)CC2)n1 ZINC000427802152 1072338475 /nfs/dbraw/zinc/33/84/75/1072338475.db2.gz COQNRLXNVRHESR-UHFFFAOYSA-N 0 0 438.535 0.104 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1Br ZINC000427802999 1072338403 /nfs/dbraw/zinc/33/84/03/1072338403.db2.gz XONKPJFHURRDQH-SECBINFHSA-N 0 0 448.295 -0.202 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1Br ZINC000427803005 1072338310 /nfs/dbraw/zinc/33/83/10/1072338310.db2.gz XONKPJFHURRDQH-VIFPVBQESA-N 0 0 448.295 -0.202 20 0 IBADRN COCCOc1ccccc1N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000427804078 1072338293 /nfs/dbraw/zinc/33/82/93/1072338293.db2.gz XGVSTQXQMROLQW-UHFFFAOYSA-N 0 0 433.552 -0.191 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CCN2C1=O ZINC000427805786 1072338953 /nfs/dbraw/zinc/33/89/53/1072338953.db2.gz POOYNXWAYKREMK-HNNXBMFYSA-N 0 0 431.559 -0.575 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CCN2C1=O ZINC000427805792 1072338907 /nfs/dbraw/zinc/33/89/07/1072338907.db2.gz POOYNXWAYKREMK-OAHLLOKOSA-N 0 0 431.559 -0.575 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(c3nc(N)ns3)CC2)c(F)c1 ZINC000427806153 1073357437 /nfs/dbraw/zinc/35/74/37/1073357437.db2.gz JZJWTBUXPOXQNA-UHFFFAOYSA-N 0 0 436.516 0.142 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3cccc(I)c3)CCN2C1=O ZINC000427806217 1072338945 /nfs/dbraw/zinc/33/89/45/1072338945.db2.gz RBFUBPTYSJCZEG-JTQLQIEISA-N 0 0 435.243 -0.378 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3cccc(I)c3)CCN2C1=O ZINC000427806218 1072338826 /nfs/dbraw/zinc/33/88/26/1072338826.db2.gz RBFUBPTYSJCZEG-SNVBAGLBSA-N 0 0 435.243 -0.378 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3cc4c(cc3Br)OCCO4)CCN2C1=O ZINC000427807755 1072338934 /nfs/dbraw/zinc/33/89/34/1072338934.db2.gz VOTFPOYBKGZMDX-SECBINFHSA-N 0 0 446.279 -0.448 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3cc4c(cc3Br)OCCO4)CCN2C1=O ZINC000427807766 1072338886 /nfs/dbraw/zinc/33/88/86/1072338886.db2.gz VOTFPOYBKGZMDX-VIFPVBQESA-N 0 0 446.279 -0.448 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)N[C@@H](C)CO)cn2)cc1S(=O)(=O)N[C@@H](C)CO ZINC000427807961 1072338851 /nfs/dbraw/zinc/33/88/51/1072338851.db2.gz OICHHILHTHMGJD-STQMWFEESA-N 0 0 432.524 -0.501 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CCN2C1=O ZINC000427808259 1071199298 /nfs/dbraw/zinc/19/92/98/1071199298.db2.gz PAHRNFLWHHVJAM-JYJNAYRXSA-N 0 0 426.539 -0.374 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CCN2C1=O ZINC000427808266 1071199013 /nfs/dbraw/zinc/19/90/13/1071199013.db2.gz PAHRNFLWHHVJAM-OAGGEKHMSA-N 0 0 426.539 -0.374 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CCN2C1=O ZINC000427808267 1072338782 /nfs/dbraw/zinc/33/87/82/1072338782.db2.gz PAHRNFLWHHVJAM-PMPSAXMXSA-N 0 0 426.539 -0.374 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CCN2C1=O ZINC000427808268 1072338970 /nfs/dbraw/zinc/33/89/70/1072338970.db2.gz PAHRNFLWHHVJAM-XHSDSOJGSA-N 0 0 426.539 -0.374 20 0 IBADRN O=C(NC1CN(C(=O)[C@H]2CC[C@@H](C(=O)N3CC(NC(=O)C4CCC4)C3)O2)C1)C1CCC1 ZINC000427810948 1072339290 /nfs/dbraw/zinc/33/92/90/1072339290.db2.gz KDBANZQSLOCXIQ-HDICACEKSA-N 0 0 432.521 -0.212 20 0 IBADRN O=C(NC1CN(C(=O)[C@H]2CC[C@H](C(=O)N3CC(NC(=O)C4CCC4)C3)O2)C1)C1CCC1 ZINC000427810949 1072339348 /nfs/dbraw/zinc/33/93/48/1072339348.db2.gz KDBANZQSLOCXIQ-QZTJIDSGSA-N 0 0 432.521 -0.212 20 0 IBADRN O=C(NC1CN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CC(NC(=O)C4CCC4)C3)O2)C1)C1CCC1 ZINC000427810950 1072339427 /nfs/dbraw/zinc/33/94/27/1072339427.db2.gz KDBANZQSLOCXIQ-ROUUACIJSA-N 0 0 432.521 -0.212 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000427812417 1072339901 /nfs/dbraw/zinc/33/99/01/1072339901.db2.gz NHUPAJNVKAVCIY-JOCHJYFZSA-N 0 0 428.489 -0.313 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000427812419 1072340046 /nfs/dbraw/zinc/34/00/46/1072340046.db2.gz NHUPAJNVKAVCIY-QFIPXVFZSA-N 0 0 428.489 -0.313 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@@H]2CC[C@@H](C(=O)N[C@@]3(CC(=O)OC)CCOC3)O2)CCOC1 ZINC000427813191 1072339262 /nfs/dbraw/zinc/33/92/62/1072339262.db2.gz RIDOPMDLNRNNET-AFHBHXEDSA-N 0 0 442.465 -0.789 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@@H]2CC[C@H](C(=O)N[C@]3(CC(=O)OC)CCOC3)O2)CCOC1 ZINC000427813192 1072339247 /nfs/dbraw/zinc/33/92/47/1072339247.db2.gz RIDOPMDLNRNNET-GOFCXKROSA-N 0 0 442.465 -0.789 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@H]2CC[C@@H](C(=O)N[C@@]3(CC(=O)OC)CCOC3)O2)CCOC1 ZINC000427813193 1072339440 /nfs/dbraw/zinc/33/94/40/1072339440.db2.gz RIDOPMDLNRNNET-RYMBOPBQSA-N 0 0 442.465 -0.789 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@@H]2CC[C@@H](C(=O)N[C@]3(CC(=O)OC)CCOC3)O2)CCOC1 ZINC000427813195 1072339369 /nfs/dbraw/zinc/33/93/69/1072339369.db2.gz RIDOPMDLNRNNET-WZBLMQSHSA-N 0 0 442.465 -0.789 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000427814099 1071199035 /nfs/dbraw/zinc/19/90/35/1071199035.db2.gz BLHHRNJPPKQNDG-GFCCVEGCSA-N 0 0 435.524 -0.268 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000427814101 1072340021 /nfs/dbraw/zinc/34/00/21/1072340021.db2.gz BLHHRNJPPKQNDG-LBPRGKRZSA-N 0 0 435.524 -0.268 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000427814171 1072339962 /nfs/dbraw/zinc/33/99/62/1072339962.db2.gz BYHDKIKFVLEJIS-JOCHJYFZSA-N 0 0 442.472 -0.787 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000427814172 1072339843 /nfs/dbraw/zinc/33/98/43/1072339843.db2.gz BYHDKIKFVLEJIS-QFIPXVFZSA-N 0 0 442.472 -0.787 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CCN2C1=O ZINC000427814612 1072339855 /nfs/dbraw/zinc/33/98/55/1072339855.db2.gz XOYYORXYXZISGP-GFCCVEGCSA-N 0 0 427.483 -0.739 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CCN2C1=O ZINC000427814617 1072340057 /nfs/dbraw/zinc/34/00/57/1072340057.db2.gz XOYYORXYXZISGP-LBPRGKRZSA-N 0 0 427.483 -0.739 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000427824263 1072340578 /nfs/dbraw/zinc/34/05/78/1072340578.db2.gz VXTGCDNGEMQQKX-GFCCVEGCSA-N 0 0 435.524 -0.220 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000427824268 1072340549 /nfs/dbraw/zinc/34/05/49/1072340549.db2.gz VXTGCDNGEMQQKX-LBPRGKRZSA-N 0 0 435.524 -0.220 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCC[C@@H]2CO)c(=O)[nH]c1=O ZINC000427825781 1072340991 /nfs/dbraw/zinc/34/09/91/1072340991.db2.gz KEDRXEXIHMSDES-CYBMUJFWSA-N 0 0 425.486 -0.316 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1C ZINC000427828005 1072340907 /nfs/dbraw/zinc/34/09/07/1072340907.db2.gz OKDXORLXEVBRGK-UHFFFAOYSA-N 0 0 426.495 -0.080 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1C ZINC000427828426 1072341051 /nfs/dbraw/zinc/34/10/51/1072341051.db2.gz AJNBGLUJAFKXJA-HNNXBMFYSA-N 0 0 435.506 -0.734 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1C ZINC000427828427 1072340949 /nfs/dbraw/zinc/34/09/49/1072340949.db2.gz AJNBGLUJAFKXJA-OAHLLOKOSA-N 0 0 435.506 -0.734 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000427830823 1072341325 /nfs/dbraw/zinc/34/13/25/1072341325.db2.gz HWQLWASPYAWOSR-JXFKEZNVSA-N 0 0 439.534 -0.002 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000427830824 1072341294 /nfs/dbraw/zinc/34/12/94/1072341294.db2.gz HWQLWASPYAWOSR-OXJNMPFZSA-N 0 0 439.534 -0.002 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000427830825 1072341376 /nfs/dbraw/zinc/34/13/76/1072341376.db2.gz HWQLWASPYAWOSR-OXQOHEQNSA-N 0 0 439.534 -0.002 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000427830826 1072341405 /nfs/dbraw/zinc/34/14/05/1072341405.db2.gz HWQLWASPYAWOSR-UZLBHIALSA-N 0 0 439.534 -0.002 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000427831479 1072341338 /nfs/dbraw/zinc/34/13/38/1072341338.db2.gz KDPSXRVLAWJJBI-NRFANRHFSA-N 0 0 427.461 0.087 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000427831482 1072341393 /nfs/dbraw/zinc/34/13/93/1072341393.db2.gz KDPSXRVLAWJJBI-OAQYLSRUSA-N 0 0 427.461 0.087 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1 ZINC000427834091 1072341557 /nfs/dbraw/zinc/34/15/57/1072341557.db2.gz QWGYRYRLYHPNKK-KRWDZBQOSA-N 0 0 432.502 -0.073 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1 ZINC000427834092 1071201682 /nfs/dbraw/zinc/20/16/82/1071201682.db2.gz QWGYRYRLYHPNKK-QGZVFWFLSA-N 0 0 432.502 -0.073 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)CC1 ZINC000427837239 1072342903 /nfs/dbraw/zinc/34/29/03/1072342903.db2.gz ZUPSLRMQVYHACN-INIZCTEOSA-N 0 0 440.522 -0.691 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)CC1 ZINC000427837241 1072342969 /nfs/dbraw/zinc/34/29/69/1072342969.db2.gz ZUPSLRMQVYHACN-MRXNPFEDSA-N 0 0 440.522 -0.691 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCC[C@@H]1CO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000427842223 1072341981 /nfs/dbraw/zinc/34/19/81/1072341981.db2.gz QYOYHBQBXZTTKH-CQSZACIVSA-N 0 0 439.513 -0.070 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000427845846 1072342017 /nfs/dbraw/zinc/34/20/17/1072342017.db2.gz YHJBGURRSGFGEJ-UHFFFAOYSA-N 0 0 442.538 -0.027 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1C ZINC000427845956 1072341963 /nfs/dbraw/zinc/34/19/63/1072341963.db2.gz QSJBXALRHDVGNW-HNNXBMFYSA-N 0 0 436.490 -0.307 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1C ZINC000427845957 1072342398 /nfs/dbraw/zinc/34/23/98/1072342398.db2.gz QSJBXALRHDVGNW-OAHLLOKOSA-N 0 0 436.490 -0.307 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1C(N)=O ZINC000427848080 1072342582 /nfs/dbraw/zinc/34/25/82/1072342582.db2.gz BQVSYYRSYPUTQS-KRWDZBQOSA-N 0 0 432.502 -0.025 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1C(N)=O ZINC000427848082 1071202240 /nfs/dbraw/zinc/20/22/40/1071202240.db2.gz BQVSYYRSYPUTQS-QGZVFWFLSA-N 0 0 432.502 -0.025 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000427851334 1072342464 /nfs/dbraw/zinc/34/24/64/1072342464.db2.gz LDRHSPGBAZYWDU-UHFFFAOYSA-N 0 0 440.522 -0.878 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000427856148 1072342510 /nfs/dbraw/zinc/34/25/10/1072342510.db2.gz MSJKDKOTQNZRMO-HTAPYJJXSA-N 0 0 430.505 -0.557 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000427856150 1072342543 /nfs/dbraw/zinc/34/25/43/1072342543.db2.gz MSJKDKOTQNZRMO-JTSKRJEESA-N 0 0 430.505 -0.557 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000427856153 1072342440 /nfs/dbraw/zinc/34/24/40/1072342440.db2.gz MSJKDKOTQNZRMO-VGOFRKELSA-N 0 0 430.505 -0.557 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000427856155 1072342417 /nfs/dbraw/zinc/34/24/17/1072342417.db2.gz MSJKDKOTQNZRMO-VGSWGCGISA-N 0 0 430.505 -0.557 20 0 IBADRN CC[C@H]1CN(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)CCO1 ZINC000427857901 1072343922 /nfs/dbraw/zinc/34/39/22/1072343922.db2.gz TUVGSHGGASQGFM-INIZCTEOSA-N 0 0 442.538 -0.223 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)CCO1 ZINC000427857908 1072343984 /nfs/dbraw/zinc/34/39/84/1072343984.db2.gz TUVGSHGGASQGFM-MRXNPFEDSA-N 0 0 442.538 -0.223 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cc2c(cc1O)n(C)c(=O)n2C ZINC000427866791 1072344735 /nfs/dbraw/zinc/34/47/35/1072344735.db2.gz MLRKKYPKCRTLBC-UHFFFAOYSA-N 0 0 427.483 -0.645 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000427871391 1072344727 /nfs/dbraw/zinc/34/47/27/1072344727.db2.gz ZXKFQKBAFPDBOI-KRWDZBQOSA-N 0 0 443.489 -0.457 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NS(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000427871395 1072344715 /nfs/dbraw/zinc/34/47/15/1072344715.db2.gz ZXKFQKBAFPDBOI-QGZVFWFLSA-N 0 0 443.489 -0.457 20 0 IBADRN Cn1c2cc(O)c(NC(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)cc2n(C)c1=O ZINC000427871970 1072344532 /nfs/dbraw/zinc/34/45/32/1072344532.db2.gz LHHOQAKINOFMSL-UHFFFAOYSA-N 0 0 447.429 -0.223 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H](CO)C1CC1 ZINC000427873400 1072343268 /nfs/dbraw/zinc/34/32/68/1072343268.db2.gz LAGDDPHLIJOZSW-DZGCQCFKSA-N 0 0 441.506 -0.495 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H](CO)C1CC1 ZINC000427873402 1072343474 /nfs/dbraw/zinc/34/34/74/1072343474.db2.gz LAGDDPHLIJOZSW-HIFRSBDPSA-N 0 0 441.506 -0.495 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H](CO)C1CC1 ZINC000427873403 1072343320 /nfs/dbraw/zinc/34/33/20/1072343320.db2.gz LAGDDPHLIJOZSW-UKRRQHHQSA-N 0 0 441.506 -0.495 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H](CO)C1CC1 ZINC000427873405 1072343434 /nfs/dbraw/zinc/34/34/34/1072343434.db2.gz LAGDDPHLIJOZSW-ZFWWWQNUSA-N 0 0 441.506 -0.495 20 0 IBADRN CC[C@H]1CN(CCNC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCO1 ZINC000427874000 1072344515 /nfs/dbraw/zinc/34/45/15/1072344515.db2.gz HQPPDIBZPKGUII-INIZCTEOSA-N 0 0 435.529 -0.154 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCO1 ZINC000427874001 1072344598 /nfs/dbraw/zinc/34/45/98/1072344598.db2.gz HQPPDIBZPKGUII-MRXNPFEDSA-N 0 0 435.529 -0.154 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@H](C)S1(=O)=O ZINC000427875222 1072343508 /nfs/dbraw/zinc/34/35/08/1072343508.db2.gz JOPZYWLNXIDQJJ-BETUJISGSA-N 0 0 431.536 -0.182 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@@H](C)S1(=O)=O ZINC000427875227 1072343340 /nfs/dbraw/zinc/34/33/40/1072343340.db2.gz JOPZYWLNXIDQJJ-CHWSQXEVSA-N 0 0 431.536 -0.182 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@H](C)S1(=O)=O ZINC000427875231 1072343297 /nfs/dbraw/zinc/34/32/97/1072343297.db2.gz JOPZYWLNXIDQJJ-STQMWFEESA-N 0 0 431.536 -0.182 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C[C@H](C)S1(=O)=O ZINC000427875548 1072343498 /nfs/dbraw/zinc/34/34/98/1072343498.db2.gz KSBCQOQZYLBYRK-FIRUKDTASA-N 0 0 434.511 -0.016 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C[C@H](C)S1(=O)=O ZINC000427875552 1072343443 /nfs/dbraw/zinc/34/34/43/1072343443.db2.gz KSBCQOQZYLBYRK-GMZLATJGSA-N 0 0 434.511 -0.016 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C[C@@H](C)S1(=O)=O ZINC000427875554 1072343389 /nfs/dbraw/zinc/34/33/89/1072343389.db2.gz KSBCQOQZYLBYRK-NCZKRNLISA-N 0 0 434.511 -0.016 20 0 IBADRN CC(C)(C)c1nnsc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000427878083 1072344041 /nfs/dbraw/zinc/34/40/41/1072344041.db2.gz ZVDCMGNNMWZWJF-GFCCVEGCSA-N 0 0 429.568 -0.103 20 0 IBADRN CC(C)(C)c1nnsc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000427878084 1072343974 /nfs/dbraw/zinc/34/39/74/1072343974.db2.gz ZVDCMGNNMWZWJF-LBPRGKRZSA-N 0 0 429.568 -0.103 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)n1C ZINC000427881062 1072343327 /nfs/dbraw/zinc/34/33/27/1072343327.db2.gz HRTAAIHLXMYEDW-UHFFFAOYSA-N 0 0 448.501 -0.030 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CCN(c2cccc(Br)c2)C1)S(N)(=O)=O ZINC000427881531 1072345206 /nfs/dbraw/zinc/34/52/06/1072345206.db2.gz ZCAOVCFDUSAZGF-CMPLNLGQSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CCN(c2cccc(Br)c2)C1)S(N)(=O)=O ZINC000427881536 1072345041 /nfs/dbraw/zinc/34/50/41/1072345041.db2.gz ZCAOVCFDUSAZGF-JQWIXIFHSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCN(c2cccc(Br)c2)C1)S(N)(=O)=O ZINC000427881538 1072345217 /nfs/dbraw/zinc/34/52/17/1072345217.db2.gz ZCAOVCFDUSAZGF-PWSUYJOCSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCN(c2cccc(Br)c2)C1)S(N)(=O)=O ZINC000427881539 1072345256 /nfs/dbraw/zinc/34/52/56/1072345256.db2.gz ZCAOVCFDUSAZGF-ZYHUDNBSSA-N 0 0 433.328 -0.063 20 0 IBADRN CC[C@H]1CN(CCNC(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCO1 ZINC000427883009 1072345185 /nfs/dbraw/zinc/34/51/85/1072345185.db2.gz CQSXPOWKFXBTPD-KRWDZBQOSA-N 0 0 432.525 -0.365 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCO1 ZINC000427883013 1072345055 /nfs/dbraw/zinc/34/50/55/1072345055.db2.gz CQSXPOWKFXBTPD-QGZVFWFLSA-N 0 0 432.525 -0.365 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCCNC(=O)c1cccc(O)c1 ZINC000427885036 1072345140 /nfs/dbraw/zinc/34/51/40/1072345140.db2.gz VYNSTICOSYEXHH-UHFFFAOYSA-N 0 0 448.457 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCCCO ZINC000427887254 1072345608 /nfs/dbraw/zinc/34/56/08/1072345608.db2.gz SDOZBDVCOABQJE-UHFFFAOYSA-N 0 0 428.511 -0.542 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@H](C)S1(=O)=O ZINC000427888130 1072345542 /nfs/dbraw/zinc/34/55/42/1072345542.db2.gz VVUVKAJQYHDCNJ-FRRDWIJNSA-N 0 0 429.520 -0.279 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@@H](C)S1(=O)=O ZINC000427888134 1072345677 /nfs/dbraw/zinc/34/56/77/1072345677.db2.gz VVUVKAJQYHDCNJ-JHJVBQTASA-N 0 0 429.520 -0.279 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@@H](C)S1(=O)=O ZINC000427888135 1072345512 /nfs/dbraw/zinc/34/55/12/1072345512.db2.gz VVUVKAJQYHDCNJ-UPJWGTAASA-N 0 0 429.520 -0.279 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@H](C)S1(=O)=O ZINC000427888138 1072345571 /nfs/dbraw/zinc/34/55/71/1072345571.db2.gz VVUVKAJQYHDCNJ-XQQFMLRXSA-N 0 0 429.520 -0.279 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)s1 ZINC000427889830 1072345749 /nfs/dbraw/zinc/34/57/49/1072345749.db2.gz YNJOPXHPQYIUKH-GHMZBOCLSA-N 0 0 437.565 -0.304 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)s1 ZINC000427889831 1072345583 /nfs/dbraw/zinc/34/55/83/1072345583.db2.gz YNJOPXHPQYIUKH-PHIMTYICSA-N 0 0 437.565 -0.304 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)s1 ZINC000427889832 1072345716 /nfs/dbraw/zinc/34/57/16/1072345716.db2.gz YNJOPXHPQYIUKH-QWRGUYRKSA-N 0 0 437.565 -0.304 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c(=O)n1C ZINC000427891430 1072346036 /nfs/dbraw/zinc/34/60/36/1072346036.db2.gz FJNWFUBOZAAPEK-UHFFFAOYSA-N 0 0 448.501 -0.030 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](CO)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000427892109 1072345690 /nfs/dbraw/zinc/34/56/90/1072345690.db2.gz GVOOYBMXIRJVMQ-KBPBESRZSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](CO)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000427892115 1072345705 /nfs/dbraw/zinc/34/57/05/1072345705.db2.gz GVOOYBMXIRJVMQ-KGLIPLIRSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](CO)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000427892118 1072345530 /nfs/dbraw/zinc/34/55/30/1072345530.db2.gz GVOOYBMXIRJVMQ-UONOGXRCSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](CO)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000427892121 1072346029 /nfs/dbraw/zinc/34/60/29/1072346029.db2.gz GVOOYBMXIRJVMQ-ZIAGYGMSSA-N 0 0 441.506 -0.116 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCc2nnn(C)n2)cc1S(=O)(=O)N(CC)CC ZINC000427892803 1072346047 /nfs/dbraw/zinc/34/60/47/1072346047.db2.gz DSDWHBWPDVXWEC-UHFFFAOYSA-N 0 0 439.498 -0.106 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N[C@H](CO)Cc3ccc(O)cc3)nc2n(C)c1=O ZINC000427894372 1073354016 /nfs/dbraw/zinc/35/40/16/1073354016.db2.gz KVUQMHCUSAKIRN-AWEZNQCLSA-N 0 0 427.461 0.416 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCCO ZINC000427895568 1072344765 /nfs/dbraw/zinc/34/47/65/1072344765.db2.gz SXILZQYMXJUNOW-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN Cn1nnc(CNC(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCCC3)c2)n1 ZINC000427896277 1072344501 /nfs/dbraw/zinc/34/45/01/1072344501.db2.gz NKWYJLZQFJNWQT-UHFFFAOYSA-N 0 0 427.874 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](CO)[C@@H]1C ZINC000427900112 1072344773 /nfs/dbraw/zinc/34/47/73/1072344773.db2.gz XXMMYPHBBGOITO-KBPBESRZSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](CO)[C@H]1C ZINC000427900114 1072344426 /nfs/dbraw/zinc/34/44/26/1072344426.db2.gz XXMMYPHBBGOITO-KGLIPLIRSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](CO)[C@@H]1C ZINC000427900116 1072344648 /nfs/dbraw/zinc/34/46/48/1072344648.db2.gz XXMMYPHBBGOITO-UONOGXRCSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](CO)[C@H]1C ZINC000427900118 1072344782 /nfs/dbraw/zinc/34/47/82/1072344782.db2.gz XXMMYPHBBGOITO-ZIAGYGMSSA-N 0 0 441.506 -0.116 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCOC)[C@H]2CCOC2)cc1 ZINC000427903344 1072345237 /nfs/dbraw/zinc/34/52/37/1072345237.db2.gz KHIATBRPSONYKJ-HNNXBMFYSA-N 0 0 429.495 -0.186 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCOC)[C@@H]2CCOC2)cc1 ZINC000427903346 1072345129 /nfs/dbraw/zinc/34/51/29/1072345129.db2.gz KHIATBRPSONYKJ-OAHLLOKOSA-N 0 0 429.495 -0.186 20 0 IBADRN Cc1nc(=O)[nH]c(C)c1CCC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000427904502 1072346098 /nfs/dbraw/zinc/34/60/98/1072346098.db2.gz LCSPOTHSCVHOBU-UHFFFAOYSA-N 0 0 426.543 -0.632 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCc2nnn(C)n2)cc1S(=O)(=O)N1CCCCC1 ZINC000427905753 1072346580 /nfs/dbraw/zinc/34/65/80/1072346580.db2.gz OOHZIDOBHDXHFV-UHFFFAOYSA-N 0 0 437.482 -0.352 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000427905878 1072345992 /nfs/dbraw/zinc/34/59/92/1072345992.db2.gz GRIKCDHPXJBQNN-KRWDZBQOSA-N 0 0 440.478 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000427905880 1072346199 /nfs/dbraw/zinc/34/61/99/1072346199.db2.gz GRIKCDHPXJBQNN-QGZVFWFLSA-N 0 0 440.478 -0.146 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)Nc3ccc(OCC(N)=O)cc3)nc2n(C)c1=O ZINC000427906019 1072346250 /nfs/dbraw/zinc/34/62/50/1072346250.db2.gz PCWXNADYDZDMNG-UHFFFAOYSA-N 0 0 426.433 0.494 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCc1nnn(C)n1 ZINC000427906128 1072346262 /nfs/dbraw/zinc/34/62/62/1072346262.db2.gz YWOHTIZSJPTYLE-UHFFFAOYSA-N 0 0 437.482 -0.352 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCc2nnn(C)n2)cc1S(=O)(=O)N1CCCC1 ZINC000427906207 1072346231 /nfs/dbraw/zinc/34/62/31/1072346231.db2.gz GZVDEYABYFYDMJ-UHFFFAOYSA-N 0 0 437.482 -0.352 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)NCc1nnn(C)n1 ZINC000427907109 1072346568 /nfs/dbraw/zinc/34/65/68/1072346568.db2.gz SXIOPFIOJFOKJL-UHFFFAOYSA-N 0 0 425.471 -0.450 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)[C@@H]1CCOC1 ZINC000427907256 1072346592 /nfs/dbraw/zinc/34/65/92/1072346592.db2.gz KHZMUFNUFIZHCT-HLLBOEOZSA-N 0 0 437.497 -0.279 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)[C@H]1CCOC1 ZINC000427907261 1072346728 /nfs/dbraw/zinc/34/67/28/1072346728.db2.gz KHZMUFNUFIZHCT-UXLLHSPISA-N 0 0 437.497 -0.279 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)[C@@H]1CCOC1 ZINC000427907263 1072346800 /nfs/dbraw/zinc/34/68/00/1072346800.db2.gz KHZMUFNUFIZHCT-YQQAZPJKSA-N 0 0 437.497 -0.279 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)[C@H]1CCOC1 ZINC000427907265 1072346751 /nfs/dbraw/zinc/34/67/51/1072346751.db2.gz KHZMUFNUFIZHCT-ZOBUZTSGSA-N 0 0 437.497 -0.279 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NCc3cccc(S(N)(=O)=O)c3)nc2n(C)c1=O ZINC000427909319 1073354046 /nfs/dbraw/zinc/35/40/46/1073354046.db2.gz ARMREKWZRSKXHY-UHFFFAOYSA-N 0 0 446.489 -0.046 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000427909717 1072346633 /nfs/dbraw/zinc/34/66/33/1072346633.db2.gz CWNLLXGHGMYPSC-KRWDZBQOSA-N 0 0 440.522 -0.048 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000427909718 1072346685 /nfs/dbraw/zinc/34/66/85/1072346685.db2.gz CWNLLXGHGMYPSC-QGZVFWFLSA-N 0 0 440.522 -0.048 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)Nc3cnn(CC(=O)NC4CC4)c3)nc2n(C)c1=O ZINC000427911306 1072346788 /nfs/dbraw/zinc/34/67/88/1072346788.db2.gz CLJZBXYXAALSSH-UHFFFAOYSA-N 0 0 440.464 0.105 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCOC1 ZINC000427912965 1072346713 /nfs/dbraw/zinc/34/67/13/1072346713.db2.gz MZFBAVPBNKXQLE-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCOC1 ZINC000427912968 1072346766 /nfs/dbraw/zinc/34/67/66/1072346766.db2.gz MZFBAVPBNKXQLE-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)nc2n(C)c1=O ZINC000427913413 1072346619 /nfs/dbraw/zinc/34/66/19/1072346619.db2.gz FBSOGXXQBDTDAT-HNNXBMFYSA-N 0 0 444.492 -0.160 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)nc2n(C)c1=O ZINC000427913416 1072346660 /nfs/dbraw/zinc/34/66/60/1072346660.db2.gz FBSOGXXQBDTDAT-OAHLLOKOSA-N 0 0 444.492 -0.160 20 0 IBADRN CC[C@@H](CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)OC ZINC000427915037 1072347090 /nfs/dbraw/zinc/34/70/90/1072347090.db2.gz MQGSXXBOPIFSJY-GXTWGEPZSA-N 0 0 429.495 -0.089 20 0 IBADRN CC[C@@H](CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)OC ZINC000427915038 1072347158 /nfs/dbraw/zinc/34/71/58/1072347158.db2.gz MQGSXXBOPIFSJY-JSGCOSHPSA-N 0 0 429.495 -0.089 20 0 IBADRN CC[C@H](CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)OC ZINC000427915039 1072347047 /nfs/dbraw/zinc/34/70/47/1072347047.db2.gz MQGSXXBOPIFSJY-OCCSQVGLSA-N 0 0 429.495 -0.089 20 0 IBADRN CC[C@H](CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)OC ZINC000427915040 1072347144 /nfs/dbraw/zinc/34/71/44/1072347144.db2.gz MQGSXXBOPIFSJY-TZMCWYRMSA-N 0 0 429.495 -0.089 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H]1CCOC1 ZINC000427916755 1072346055 /nfs/dbraw/zinc/34/60/55/1072346055.db2.gz SNHJVVOPRADPPD-IBGZPJMESA-N 0 0 448.520 -0.049 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H]1CCOC1 ZINC000427916758 1072346258 /nfs/dbraw/zinc/34/62/58/1072346258.db2.gz SNHJVVOPRADPPD-LJQANCHMSA-N 0 0 448.520 -0.049 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)no1 ZINC000427918589 1072347667 /nfs/dbraw/zinc/34/76/67/1072347667.db2.gz WCFRDBBYFUFRLD-UHFFFAOYSA-N 0 0 429.437 -0.772 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC000427920584 1073318268 /nfs/dbraw/zinc/31/82/68/1073318268.db2.gz BXCWAOASOLQITR-UHFFFAOYSA-N 0 0 445.524 -0.341 20 0 IBADRN COCCN(C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CCOC1 ZINC000427921413 1072348227 /nfs/dbraw/zinc/34/82/27/1072348227.db2.gz HOEOKMSBMPFBDY-APWZRJJASA-N 0 0 447.488 -0.097 20 0 IBADRN COCCN(C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CCOC1 ZINC000427921416 1072348290 /nfs/dbraw/zinc/34/82/90/1072348290.db2.gz HOEOKMSBMPFBDY-LPHOPBHVSA-N 0 0 447.488 -0.097 20 0 IBADRN COCCN(C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CCOC1 ZINC000427921418 1072348150 /nfs/dbraw/zinc/34/81/50/1072348150.db2.gz HOEOKMSBMPFBDY-QFBILLFUSA-N 0 0 447.488 -0.097 20 0 IBADRN COCCN(C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CCOC1 ZINC000427921419 1072348077 /nfs/dbraw/zinc/34/80/77/1072348077.db2.gz HOEOKMSBMPFBDY-VQIMIIECSA-N 0 0 447.488 -0.097 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)NCc2nnn(C)n2)c(OC)c1 ZINC000427922566 1072348336 /nfs/dbraw/zinc/34/83/36/1072348336.db2.gz KWXVUTAEZNQBPR-UHFFFAOYSA-N 0 0 430.425 -0.264 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)Cc1ncnn1CC(F)F)CC2 ZINC000427923102 1072348018 /nfs/dbraw/zinc/34/80/18/1072348018.db2.gz FAAXFKBKFMYOSJ-UHFFFAOYSA-N 0 0 442.448 -0.001 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NCc2nnn(C)n2)c1 ZINC000427924369 1072348243 /nfs/dbraw/zinc/34/82/43/1072348243.db2.gz ORYQSLMABNPVHB-UHFFFAOYSA-N 0 0 425.471 -0.496 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NCc2nnn(C)n2)c1 ZINC000427924974 1072348304 /nfs/dbraw/zinc/34/83/04/1072348304.db2.gz RCVVWGOVSIIUPZ-UHFFFAOYSA-N 0 0 438.514 -0.439 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCc1nnn(C)n1 ZINC000427925623 1072348112 /nfs/dbraw/zinc/34/81/12/1072348112.db2.gz UCUFHXKXPIWRAY-UHFFFAOYSA-N 0 0 425.471 -0.498 20 0 IBADRN Cn1nnc(CNC(=O)C(=O)N2CCc3cc(S(=O)(=O)N4CCCCC4)ccc32)n1 ZINC000427926249 1072348270 /nfs/dbraw/zinc/34/82/70/1072348270.db2.gz QNBWBEZISZKSTM-UHFFFAOYSA-N 0 0 433.494 -0.410 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)NCc1nnn(C)n1 ZINC000427926661 1072348520 /nfs/dbraw/zinc/34/85/20/1072348520.db2.gz XZROKMUKMGNDJN-UHFFFAOYSA-N 0 0 439.498 -0.106 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)NCc2nnn(C)n2)c1 ZINC000427927355 1072348314 /nfs/dbraw/zinc/34/83/14/1072348314.db2.gz VDCANXWRKAKPDN-UHFFFAOYSA-N 0 0 444.189 -0.144 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CCOC1 ZINC000427929274 1072347202 /nfs/dbraw/zinc/34/72/02/1072347202.db2.gz GBKOTJSJCCFEAN-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCOC1 ZINC000427929275 1072347230 /nfs/dbraw/zinc/34/72/30/1072347230.db2.gz GBKOTJSJCCFEAN-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3nnn(C)n3)cc2)C[C@H](C)O1 ZINC000427930049 1072347075 /nfs/dbraw/zinc/34/70/75/1072347075.db2.gz ILXSTDYKJLQCKQ-RYUDHWBXSA-N 0 0 437.482 -0.737 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3nnn(C)n3)cc2)C[C@H](C)O1 ZINC000427930057 1072347027 /nfs/dbraw/zinc/34/70/27/1072347027.db2.gz ILXSTDYKJLQCKQ-TXEJJXNPSA-N 0 0 437.482 -0.737 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3nnn(C)n3)cc2)C[C@@H](C)O1 ZINC000427930059 1072347131 /nfs/dbraw/zinc/34/71/31/1072347131.db2.gz ILXSTDYKJLQCKQ-VXGBXAGGSA-N 0 0 437.482 -0.737 20 0 IBADRN CC[C@@H](CO)NC(=O)Cc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000427931445 1072347255 /nfs/dbraw/zinc/34/72/55/1072347255.db2.gz LTJZTNBKBPJUOL-INIZCTEOSA-N 0 0 426.539 -0.368 20 0 IBADRN CC[C@H](CO)NC(=O)Cc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000427931448 1072347117 /nfs/dbraw/zinc/34/71/17/1072347117.db2.gz LTJZTNBKBPJUOL-MRXNPFEDSA-N 0 0 426.539 -0.368 20 0 IBADRN CC(C)[C@H](CNC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C)N1CCOCC1 ZINC000427940799 1072348622 /nfs/dbraw/zinc/34/86/22/1072348622.db2.gz XZLJEAZGEFQVJR-INIZCTEOSA-N 0 0 432.525 0.464 20 0 IBADRN CC(C)[C@@H](CNC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C)N1CCOCC1 ZINC000427940800 1072348748 /nfs/dbraw/zinc/34/87/48/1072348748.db2.gz XZLJEAZGEFQVJR-MRXNPFEDSA-N 0 0 432.525 0.464 20 0 IBADRN CC[C@@H](CO)NC(=O)Cc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000427951813 1072349331 /nfs/dbraw/zinc/34/93/31/1072349331.db2.gz QNSBJEQJFDSUAC-AWEZNQCLSA-N 0 0 442.476 -0.498 20 0 IBADRN CC[C@H](CO)NC(=O)Cc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000427951815 1072349374 /nfs/dbraw/zinc/34/93/74/1072349374.db2.gz QNSBJEQJFDSUAC-CQSZACIVSA-N 0 0 442.476 -0.498 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000427962979 1072349954 /nfs/dbraw/zinc/34/99/54/1072349954.db2.gz FHJPMEDZHPHRIW-UHFFFAOYSA-N 0 0 444.491 -0.609 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC(Cn2cncn2)CC1 ZINC000427965458 1072349878 /nfs/dbraw/zinc/34/98/78/1072349878.db2.gz MSWNGKPUCPXSPU-UHFFFAOYSA-N 0 0 432.506 -0.049 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000427969206 1072349777 /nfs/dbraw/zinc/34/97/77/1072349777.db2.gz IYZJKGOVOJCFFC-UHFFFAOYSA-N 0 0 448.505 -0.078 20 0 IBADRN Cn1cc(N2CCN(C(=O)CNS(=O)(=O)c3ccc4c(c3)OCCCO4)CC2=O)cn1 ZINC000427971335 1072349904 /nfs/dbraw/zinc/34/99/04/1072349904.db2.gz LXVXLAXEVCNCEE-UHFFFAOYSA-N 0 0 449.489 -0.265 20 0 IBADRN CS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c(S(C)(=O)=O)c1 ZINC000427980720 1072349922 /nfs/dbraw/zinc/34/99/22/1072349922.db2.gz CDFCLCCPGNRGLT-UHFFFAOYSA-N 0 0 448.548 -0.272 20 0 IBADRN COCC(=O)N1CCN(c2cc(C3CC3)nc(N3CCN(C(=O)COC)CC3)n2)CC1 ZINC000427983181 1072349649 /nfs/dbraw/zinc/34/96/49/1072349649.db2.gz KNAYRUFEBXQFMF-UHFFFAOYSA-N 0 0 432.525 -0.056 20 0 IBADRN O=C(CCn1cnccc1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000427992785 1072351168 /nfs/dbraw/zinc/35/11/68/1072351168.db2.gz CCVWNXZSRCPZIK-UHFFFAOYSA-N 0 0 434.474 -0.062 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000428017479 1073344709 /nfs/dbraw/zinc/34/47/09/1073344709.db2.gz NAQHLXZAMLPUSF-GFCCVEGCSA-N 0 0 425.511 0.272 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000428017480 1073344541 /nfs/dbraw/zinc/34/45/41/1073344541.db2.gz NAQHLXZAMLPUSF-LBPRGKRZSA-N 0 0 425.511 0.272 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000428018218 1072352173 /nfs/dbraw/zinc/35/21/73/1072352173.db2.gz SPRWISTZPFXCAX-UHFFFAOYSA-N 0 0 432.568 -0.180 20 0 IBADRN CN(C)c1nccc(CNC(=O)[C@@H]2CC[C@@H](C(=O)NCc3ccnc(N(C)C)n3)O2)n1 ZINC000428018421 1072352032 /nfs/dbraw/zinc/35/20/32/1072352032.db2.gz USCPXKPBRKTWBB-HOTGVXAUSA-N 0 0 428.497 -0.121 20 0 IBADRN CN(C)c1nccc(CNC(=O)[C@H]2CC[C@H](C(=O)NCc3ccnc(N(C)C)n3)O2)n1 ZINC000428018422 1072352223 /nfs/dbraw/zinc/35/22/23/1072352223.db2.gz USCPXKPBRKTWBB-HZPDHXFCSA-N 0 0 428.497 -0.121 20 0 IBADRN CN(C)c1nccc(CNC(=O)[C@H]2CC[C@@H](C(=O)NCc3ccnc(N(C)C)n3)O2)n1 ZINC000428018423 1072352208 /nfs/dbraw/zinc/35/22/08/1072352208.db2.gz USCPXKPBRKTWBB-IYBDPMFKSA-N 0 0 428.497 -0.121 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000428018532 1072352093 /nfs/dbraw/zinc/35/20/93/1072352093.db2.gz VQIFDOABYXJXJR-CYBMUJFWSA-N 0 0 430.618 -0.612 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000428018533 1072352130 /nfs/dbraw/zinc/35/21/30/1072352130.db2.gz VQIFDOABYXJXJR-ZDUSSCGKSA-N 0 0 430.618 -0.612 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)nc2n(C)c1=O ZINC000428018568 1073353872 /nfs/dbraw/zinc/35/38/72/1073353872.db2.gz VXRMGFZYPCDLES-JTQLQIEISA-N 0 0 442.398 0.270 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)nc2n(C)c1=O ZINC000428018569 1073353953 /nfs/dbraw/zinc/35/39/53/1073353953.db2.gz VXRMGFZYPCDLES-SNVBAGLBSA-N 0 0 442.398 0.270 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000428020444 1072352114 /nfs/dbraw/zinc/35/21/14/1072352114.db2.gz CIOUBRGZGRRSGA-KRWDZBQOSA-N 0 0 445.586 -0.960 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000428020446 1072352180 /nfs/dbraw/zinc/35/21/80/1072352180.db2.gz CIOUBRGZGRRSGA-QGZVFWFLSA-N 0 0 445.586 -0.960 20 0 IBADRN COc1cc2c(cc1OC)CN(CC(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1)CC2 ZINC000428021181 1072352079 /nfs/dbraw/zinc/35/20/79/1072352079.db2.gz HRCPGHJKHIDINL-AWEZNQCLSA-N 0 0 439.534 -0.176 20 0 IBADRN COc1cc2c(cc1OC)CN(CC(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1)CC2 ZINC000428021182 1072352193 /nfs/dbraw/zinc/35/21/93/1072352193.db2.gz HRCPGHJKHIDINL-CQSZACIVSA-N 0 0 439.534 -0.176 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2nccc3c2cccc3S(N)(=O)=O)CC1 ZINC000428021790 1072353302 /nfs/dbraw/zinc/35/33/02/1072353302.db2.gz JQIZZPMTPBKMFR-UHFFFAOYSA-N 0 0 441.535 -0.164 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000428025576 1072353535 /nfs/dbraw/zinc/35/35/35/1072353535.db2.gz TWTDEADJNJNDCJ-UHFFFAOYSA-N 0 0 448.567 -0.746 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CSc2nnnn2CC(F)(F)F)CC1 ZINC000428026651 1072353516 /nfs/dbraw/zinc/35/35/16/1072353516.db2.gz DFOGAPVHSCBHQN-UHFFFAOYSA-N 0 0 431.466 -0.332 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000428028260 1072353484 /nfs/dbraw/zinc/35/34/84/1072353484.db2.gz RMTXXSPCUUZSQM-UHFFFAOYSA-N 0 0 446.595 -0.024 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCN(Cc4ccon4)CC3)nc2n(C)c1=O ZINC000428029642 1072354048 /nfs/dbraw/zinc/35/40/48/1072354048.db2.gz GAMYTQIZFHZDHI-UHFFFAOYSA-N 0 0 427.465 0.323 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C)C(=O)NCc1ccco1 ZINC000428032390 1072354161 /nfs/dbraw/zinc/35/41/61/1072354161.db2.gz OBTMTJPRBQDTIP-GFCCVEGCSA-N 0 0 428.449 0.405 20 0 IBADRN C[C@H](NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C)C(=O)NCc1ccco1 ZINC000428032393 1072353954 /nfs/dbraw/zinc/35/39/54/1072353954.db2.gz OBTMTJPRBQDTIP-LBPRGKRZSA-N 0 0 428.449 0.405 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000428035469 1072352925 /nfs/dbraw/zinc/35/29/25/1072352925.db2.gz GIOOICQRHCSBOX-UHFFFAOYSA-N 0 0 440.478 -0.662 20 0 IBADRN CCc1c(C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000428040484 1072352968 /nfs/dbraw/zinc/35/29/68/1072352968.db2.gz KHDWUYKXJVFKSB-UHFFFAOYSA-N 0 0 431.493 -0.096 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000428042139 1072354623 /nfs/dbraw/zinc/35/46/23/1072354623.db2.gz TYABLIONJTVGEY-UHFFFAOYSA-N 0 0 441.496 -0.040 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000428043073 1072354644 /nfs/dbraw/zinc/35/46/44/1072354644.db2.gz XDGNQVAYACHYCA-UHFFFAOYSA-N 0 0 428.511 -0.255 20 0 IBADRN Cc1nc(CN2CCN(C(=O)CCC(=O)Nc3ccc4c(n3)n(C)c(=O)n4C)CC2)no1 ZINC000428044401 1073349660 /nfs/dbraw/zinc/34/96/60/1073349660.db2.gz SWQLMXRXSFWNKF-UHFFFAOYSA-N 0 0 442.480 0.027 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)cnc21 ZINC000428048098 1072354589 /nfs/dbraw/zinc/35/45/89/1072354589.db2.gz LEUWNSITZRHSOI-UHFFFAOYSA-N 0 0 438.510 -0.139 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC000428053358 1072355162 /nfs/dbraw/zinc/35/51/62/1072355162.db2.gz KTUXLCVVVBZRJZ-UHFFFAOYSA-N 0 0 446.508 0.087 20 0 IBADRN CC[C@H]1CN(CCNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000428056372 1072353895 /nfs/dbraw/zinc/35/38/95/1072353895.db2.gz HEJAADOWLFITKM-INIZCTEOSA-N 0 0 426.539 -0.106 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000428056378 1072354131 /nfs/dbraw/zinc/35/41/31/1072354131.db2.gz HEJAADOWLFITKM-MRXNPFEDSA-N 0 0 426.539 -0.106 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000428056658 1072354071 /nfs/dbraw/zinc/35/40/71/1072354071.db2.gz MWFTYVVQDDWSTL-UHFFFAOYSA-N 0 0 426.495 -0.123 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000428057493 1072353930 /nfs/dbraw/zinc/35/39/30/1072353930.db2.gz ODEMHCYURPYICF-CYBMUJFWSA-N 0 0 440.522 -0.452 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000428057497 1072353942 /nfs/dbraw/zinc/35/39/42/1072353942.db2.gz ODEMHCYURPYICF-ZDUSSCGKSA-N 0 0 440.522 -0.452 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000428058327 1072354171 /nfs/dbraw/zinc/35/41/71/1072354171.db2.gz KRRUKPXQXJFWTO-AYDFFVQHSA-N 0 0 443.522 -0.405 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000428058328 1072354019 /nfs/dbraw/zinc/35/40/19/1072354019.db2.gz KRRUKPXQXJFWTO-RZLSGREXSA-N 0 0 443.522 -0.405 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000428058329 1072354010 /nfs/dbraw/zinc/35/40/10/1072354010.db2.gz KRRUKPXQXJFWTO-TTZDDIAXSA-N 0 0 443.522 -0.405 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000428058332 1072354082 /nfs/dbraw/zinc/35/40/82/1072354082.db2.gz KRRUKPXQXJFWTO-YXWQFLTLSA-N 0 0 443.522 -0.405 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000428059192 1072353998 /nfs/dbraw/zinc/35/39/98/1072353998.db2.gz ABQNJUCHPBIQBN-UHFFFAOYSA-N 0 0 434.478 -0.193 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000428060346 1072354678 /nfs/dbraw/zinc/35/46/78/1072354678.db2.gz KRZIZMBPSIKHKM-CABCVRRESA-N 0 0 434.497 -0.522 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000428060347 1072354543 /nfs/dbraw/zinc/35/45/43/1072354543.db2.gz KRZIZMBPSIKHKM-GJZGRUSLSA-N 0 0 434.497 -0.522 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000428060348 1072354608 /nfs/dbraw/zinc/35/46/08/1072354608.db2.gz KRZIZMBPSIKHKM-HUUCEWRRSA-N 0 0 434.497 -0.522 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000428060349 1072354440 /nfs/dbraw/zinc/35/44/40/1072354440.db2.gz KRZIZMBPSIKHKM-LSDHHAIUSA-N 0 0 434.497 -0.522 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1nc(C)n(-c2nc(C(F)(F)F)n[nH]2)n1 ZINC000428060904 1072354557 /nfs/dbraw/zinc/35/45/57/1072354557.db2.gz FMSVGXKLEADEPS-BQBZGAKWSA-N 0 0 432.363 -0.101 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1nc(C)n(-c2nc(C(F)(F)F)n[nH]2)n1 ZINC000428060905 1072354712 /nfs/dbraw/zinc/35/47/12/1072354712.db2.gz FMSVGXKLEADEPS-NKWVEPMBSA-N 0 0 432.363 -0.101 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1nc(C)n(-c2nc(C(F)(F)F)n[nH]2)n1 ZINC000428060906 1072354451 /nfs/dbraw/zinc/35/44/51/1072354451.db2.gz FMSVGXKLEADEPS-RNFRBKRXSA-N 0 0 432.363 -0.101 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1nc(C)n(-c2nc(C(F)(F)F)n[nH]2)n1 ZINC000428060907 1072354689 /nfs/dbraw/zinc/35/46/89/1072354689.db2.gz FMSVGXKLEADEPS-RQJHMYQMSA-N 0 0 432.363 -0.101 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1c(OC)ccc(S(N)(=O)=O)c1OC ZINC000428061508 1072354729 /nfs/dbraw/zinc/35/47/29/1072354729.db2.gz NWJICAXAYXKPOB-NXEZZACHSA-N 0 0 431.467 -0.463 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1c(OC)ccc(S(N)(=O)=O)c1OC ZINC000428061509 1072354657 /nfs/dbraw/zinc/35/46/57/1072354657.db2.gz NWJICAXAYXKPOB-UWVGGRQHSA-N 0 0 431.467 -0.463 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1c(OC)ccc(S(N)(=O)=O)c1OC ZINC000428061510 1072354665 /nfs/dbraw/zinc/35/46/65/1072354665.db2.gz NWJICAXAYXKPOB-VHSXEESVSA-N 0 0 431.467 -0.463 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1c(OC)ccc(S(N)(=O)=O)c1OC ZINC000428061511 1072354429 /nfs/dbraw/zinc/35/44/29/1072354429.db2.gz NWJICAXAYXKPOB-ZJUUUORDSA-N 0 0 431.467 -0.463 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000428065569 1072356126 /nfs/dbraw/zinc/35/61/26/1072356126.db2.gz VXMFQAYJTPRPCD-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000428066885 1072356023 /nfs/dbraw/zinc/35/60/23/1072356023.db2.gz OCQNWMWSSYMUDX-CYBMUJFWSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000428066886 1072355959 /nfs/dbraw/zinc/35/59/59/1072355959.db2.gz OCQNWMWSSYMUDX-ZDUSSCGKSA-N 0 0 429.543 -0.200 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000428072008 1072356091 /nfs/dbraw/zinc/35/60/91/1072356091.db2.gz BOKNAYJMMHSTAM-AGIUHOORSA-N 0 0 429.495 -0.066 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000428072011 1072355918 /nfs/dbraw/zinc/35/59/18/1072355918.db2.gz BOKNAYJMMHSTAM-AVGNSLFASA-N 0 0 429.495 -0.066 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000428072013 1072356052 /nfs/dbraw/zinc/35/60/52/1072356052.db2.gz BOKNAYJMMHSTAM-FRRDWIJNSA-N 0 0 429.495 -0.066 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000428072014 1072355978 /nfs/dbraw/zinc/35/59/78/1072355978.db2.gz BOKNAYJMMHSTAM-RWMBFGLXSA-N 0 0 429.495 -0.066 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)CCC3)CC1 ZINC000428073443 1072356110 /nfs/dbraw/zinc/35/61/10/1072356110.db2.gz FJWZFRMCEDOWGW-UHFFFAOYSA-N 0 0 440.456 -0.036 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000428074668 1072355945 /nfs/dbraw/zinc/35/59/45/1072355945.db2.gz XCQXHWACDMXYFA-SRVKXCTJSA-N 0 0 431.515 -0.724 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000428074670 1072356551 /nfs/dbraw/zinc/35/65/51/1072356551.db2.gz XCQXHWACDMXYFA-TUAOUCFPSA-N 0 0 431.515 -0.724 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000428074674 1072356517 /nfs/dbraw/zinc/35/65/17/1072356517.db2.gz XCQXHWACDMXYFA-UTUOFQBUSA-N 0 0 431.515 -0.724 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000428074678 1072356527 /nfs/dbraw/zinc/35/65/27/1072356527.db2.gz XCQXHWACDMXYFA-WOPDTQHZSA-N 0 0 431.515 -0.724 20 0 IBADRN CC[C@H]1CN(CCNC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCO1 ZINC000428088435 1072356867 /nfs/dbraw/zinc/35/68/67/1072356867.db2.gz GGZBMSPIGWALHE-AWEZNQCLSA-N 0 0 432.568 -0.111 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCO1 ZINC000428088438 1072357016 /nfs/dbraw/zinc/35/70/16/1072357016.db2.gz GGZBMSPIGWALHE-CQSZACIVSA-N 0 0 432.568 -0.111 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)CCC2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000428093707 1072356571 /nfs/dbraw/zinc/35/65/71/1072356571.db2.gz RCRIVGFNQCFNJC-UHFFFAOYSA-N 0 0 442.472 -0.326 20 0 IBADRN Cc1nc(S(=O)(=O)NCCN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1C ZINC000428100379 1072356559 /nfs/dbraw/zinc/35/65/59/1072356559.db2.gz PGZQRVFERXKTPJ-UHFFFAOYSA-N 0 0 445.571 -0.945 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)cn1 ZINC000428103839 1072356989 /nfs/dbraw/zinc/35/69/89/1072356989.db2.gz ZSFAGBMCTZNOBR-AKJBCIBTSA-N 0 0 446.576 -0.166 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)cn1 ZINC000428103840 1072357087 /nfs/dbraw/zinc/35/70/87/1072357087.db2.gz ZSFAGBMCTZNOBR-DXPJPUQTSA-N 0 0 446.576 -0.166 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)cn1 ZINC000428103841 1072357049 /nfs/dbraw/zinc/35/70/49/1072357049.db2.gz ZSFAGBMCTZNOBR-QMTYFTJSSA-N 0 0 446.576 -0.166 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)cn1 ZINC000428103842 1072357179 /nfs/dbraw/zinc/35/71/79/1072357179.db2.gz ZSFAGBMCTZNOBR-YHAMSUFESA-N 0 0 446.576 -0.166 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)c2C)on1 ZINC000428110676 1072358369 /nfs/dbraw/zinc/35/83/69/1072358369.db2.gz IIKFCZJYCZZJNP-UHFFFAOYSA-N 0 0 439.498 -0.734 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCCN1CCN(c2ncccn2)CC1 ZINC000428113721 1072357516 /nfs/dbraw/zinc/35/75/16/1072357516.db2.gz CFHLQHNTPVNGKD-UHFFFAOYSA-N 0 0 438.514 -0.995 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000428118693 1072358000 /nfs/dbraw/zinc/35/80/00/1072358000.db2.gz CWIRAJHGHVDPLS-UHFFFAOYSA-N 0 0 445.523 -0.320 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000428119620 1072358022 /nfs/dbraw/zinc/35/80/22/1072358022.db2.gz GSYFGSYMILQLKX-UHFFFAOYSA-N 0 0 430.531 -0.616 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)CC2=O)cn1 ZINC000428124993 1072359470 /nfs/dbraw/zinc/35/94/70/1072359470.db2.gz MSVKJJJKEFUUQT-UHFFFAOYSA-N 0 0 439.476 -0.450 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000428127014 1072359514 /nfs/dbraw/zinc/35/95/14/1072359514.db2.gz DPGOXQALXJHONG-UHFFFAOYSA-N 0 0 431.496 -0.035 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)NCCNS(=O)(=O)Cc1ccon1 ZINC000428127940 1072359524 /nfs/dbraw/zinc/35/95/24/1072359524.db2.gz YXMXEZFURGBQHJ-UHFFFAOYSA-N 0 0 427.487 -0.822 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000428128442 1072359433 /nfs/dbraw/zinc/35/94/33/1072359433.db2.gz BSIDIIZJMYGRAC-UHFFFAOYSA-N 0 0 431.496 -0.499 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000428130246 1072359534 /nfs/dbraw/zinc/35/95/34/1072359534.db2.gz KVHISHBUHRNXQM-UHFFFAOYSA-N 0 0 431.496 -0.035 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000428131477 1072359343 /nfs/dbraw/zinc/35/93/43/1072359343.db2.gz QEEHGBHZNYZTSW-UHFFFAOYSA-N 0 0 435.524 -0.476 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000428133128 1072358560 /nfs/dbraw/zinc/35/85/60/1072358560.db2.gz DINJIIXOOWWNAP-INIZCTEOSA-N 0 0 433.556 -0.399 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NC[C@]1(N2CCOCC2)CCSC1 ZINC000428133129 1072358387 /nfs/dbraw/zinc/35/83/87/1072358387.db2.gz DINJIIXOOWWNAP-MRXNPFEDSA-N 0 0 433.556 -0.399 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCS(=O)(=O)N(C)C)cc1C ZINC000428133197 1072358549 /nfs/dbraw/zinc/35/85/49/1072358549.db2.gz XNWZJKAAQWIJQO-HOCLYGCPSA-N 0 0 433.552 -0.565 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCS(=O)(=O)N(C)C)cc1C ZINC000428133199 1072358451 /nfs/dbraw/zinc/35/84/51/1072358451.db2.gz XNWZJKAAQWIJQO-ZBFHGGJFSA-N 0 0 433.552 -0.565 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000428135369 1072358611 /nfs/dbraw/zinc/35/86/11/1072358611.db2.gz FCUVEHOVUGGRNN-UHFFFAOYSA-N 0 0 425.554 -0.546 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000428138133 1072320439 /nfs/dbraw/zinc/32/04/39/1072320439.db2.gz HUHGYLKXJUXKRF-UHFFFAOYSA-N 0 0 437.544 -0.403 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000428138295 1072358495 /nfs/dbraw/zinc/35/84/95/1072358495.db2.gz AJPFXYWADARMTL-JSGCOSHPSA-N 0 0 439.943 -0.529 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000428138296 1072358527 /nfs/dbraw/zinc/35/85/27/1072358527.db2.gz AJPFXYWADARMTL-OCCSQVGLSA-N 0 0 439.943 -0.529 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000428138563 1072358355 /nfs/dbraw/zinc/35/83/55/1072358355.db2.gz JAZPQCJAUSYNJP-UHFFFAOYSA-N 0 0 445.523 -0.156 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCCS(=O)(=O)N(C)C)cc1C ZINC000428140535 1072359078 /nfs/dbraw/zinc/35/90/78/1072359078.db2.gz JIJAYLOESVAPDV-HNNXBMFYSA-N 0 0 448.567 -0.777 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCCS(=O)(=O)N(C)C)cc1C ZINC000428140543 1072358887 /nfs/dbraw/zinc/35/88/87/1072358887.db2.gz JIJAYLOESVAPDV-OAHLLOKOSA-N 0 0 448.567 -0.777 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000428141187 1072885424 /nfs/dbraw/zinc/88/54/24/1072885424.db2.gz HKBMPKGHOJCBBW-UHFFFAOYSA-N 0 0 425.467 -0.168 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCS(=O)(=O)N(C)C)c(C)c1 ZINC000428142596 1072358982 /nfs/dbraw/zinc/35/89/82/1072358982.db2.gz SXJQLQOCVOXHLW-CVEARBPZSA-N 0 0 447.579 -0.257 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCS(=O)(=O)N(C)C)c(C)c1 ZINC000428142602 1072358917 /nfs/dbraw/zinc/35/89/17/1072358917.db2.gz SXJQLQOCVOXHLW-HOTGVXAUSA-N 0 0 447.579 -0.257 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCCCN3CCN(c4ncccn4)CC3)CC2=O)cn1 ZINC000428151411 1072360009 /nfs/dbraw/zinc/36/00/09/1072360009.db2.gz DRBGWUWMPOSQQK-UHFFFAOYSA-N 0 0 427.513 -0.219 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cc(Cn4cccn4)on3)CC2)o1 ZINC000428156931 1072360382 /nfs/dbraw/zinc/36/03/82/1072360382.db2.gz AFCXMRUJFKVOKR-UHFFFAOYSA-N 0 0 434.434 -0.242 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000428166575 1072359457 /nfs/dbraw/zinc/35/94/57/1072359457.db2.gz SEZDDPURKWXKAE-UHFFFAOYSA-N 0 0 445.523 -0.156 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000428171943 1072360818 /nfs/dbraw/zinc/36/08/18/1072360818.db2.gz WLNLRSIWOFGDTQ-CYBMUJFWSA-N 0 0 427.479 -0.039 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000428171944 1072360932 /nfs/dbraw/zinc/36/09/32/1072360932.db2.gz WLNLRSIWOFGDTQ-ZDUSSCGKSA-N 0 0 427.479 -0.039 20 0 IBADRN O[C@@]1(CNc2nc(NC[C@]3(O)CCSC3)nc(N3CCOCC3)n2)CCSC1 ZINC000428173120 1072361259 /nfs/dbraw/zinc/36/12/59/1072361259.db2.gz XVFHNLILSDYSMK-IAGOWNOFSA-N 0 0 428.584 -0.888 20 0 IBADRN O[C@]1(CNc2nc(NC[C@@]3(O)CCSC3)nc(N3CCOCC3)n2)CCSC1 ZINC000428173123 1072361173 /nfs/dbraw/zinc/36/11/73/1072361173.db2.gz XVFHNLILSDYSMK-IRXDYDNUSA-N 0 0 428.584 -0.888 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000428175978 1072361340 /nfs/dbraw/zinc/36/13/40/1072361340.db2.gz NACUTWHNJURAIG-AWEZNQCLSA-N 0 0 440.522 -0.123 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000428175980 1072361157 /nfs/dbraw/zinc/36/11/57/1072361157.db2.gz NACUTWHNJURAIG-CQSZACIVSA-N 0 0 440.522 -0.123 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000428176907 1072361228 /nfs/dbraw/zinc/36/12/28/1072361228.db2.gz OLUQNDOGUQJCNX-AWEZNQCLSA-N 0 0 433.556 -0.565 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000428176909 1072361361 /nfs/dbraw/zinc/36/13/61/1072361361.db2.gz OLUQNDOGUQJCNX-CQSZACIVSA-N 0 0 433.556 -0.565 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCn3c4ccccc4[nH]c3=O)cnc2n(C)c1=O ZINC000428180693 1072361367 /nfs/dbraw/zinc/36/13/67/1072361367.db2.gz YPKBFMSOCCERFU-UHFFFAOYSA-N 0 0 437.416 -0.558 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000428180951 1072361313 /nfs/dbraw/zinc/36/13/13/1072361313.db2.gz FOIUEAYMQXEPMX-PBHICJAKSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000428180956 1072361417 /nfs/dbraw/zinc/36/14/17/1072361417.db2.gz FOIUEAYMQXEPMX-RHSMWYFYSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000428180959 1072361397 /nfs/dbraw/zinc/36/13/97/1072361397.db2.gz FOIUEAYMQXEPMX-WMLDXEAASA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000428180962 1072361244 /nfs/dbraw/zinc/36/12/44/1072361244.db2.gz FOIUEAYMQXEPMX-YOEHRIQHSA-N 0 0 433.461 -0.045 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000428181840 1072361384 /nfs/dbraw/zinc/36/13/84/1072361384.db2.gz BJUZMBDWUJUAGD-UHFFFAOYSA-N 0 0 442.498 -0.352 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000428184968 1072360473 /nfs/dbraw/zinc/36/04/73/1072360473.db2.gz QSFYHHBSKPGMDR-CYBMUJFWSA-N 0 0 427.479 -0.039 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000428184971 1072360316 /nfs/dbraw/zinc/36/03/16/1072360316.db2.gz QSFYHHBSKPGMDR-ZDUSSCGKSA-N 0 0 427.479 -0.039 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000428185639 1072360370 /nfs/dbraw/zinc/36/03/70/1072360370.db2.gz SPIGLRARKBYRAD-AWEZNQCLSA-N 0 0 440.522 -0.123 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000428185640 1072360421 /nfs/dbraw/zinc/36/04/21/1072360421.db2.gz SPIGLRARKBYRAD-CQSZACIVSA-N 0 0 440.522 -0.123 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000428187486 1072360941 /nfs/dbraw/zinc/36/09/41/1072360941.db2.gz ZRWZTMZPKZKKNH-GFCCVEGCSA-N 0 0 440.478 -0.939 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000428187488 1072360842 /nfs/dbraw/zinc/36/08/42/1072360842.db2.gz ZRWZTMZPKZKKNH-LBPRGKRZSA-N 0 0 440.478 -0.939 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428199786 1072361861 /nfs/dbraw/zinc/36/18/61/1072361861.db2.gz AOIUKLRIUQPGGT-UHFFFAOYSA-N 0 0 428.449 -0.251 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(Cn4cccnc4=O)c3)CC2=O)cn1 ZINC000428200191 1072361774 /nfs/dbraw/zinc/36/17/74/1072361774.db2.gz BPCPYAJGBFRINQ-UHFFFAOYSA-N 0 0 435.444 -0.161 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000428200701 1071173738 /nfs/dbraw/zinc/17/37/38/1071173738.db2.gz CQQNDJNCYKGFKT-UHFFFAOYSA-N 0 0 434.478 -0.516 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccccc3CN3C(=O)CCC3=O)CC2=O)cn1 ZINC000428201111 1072362262 /nfs/dbraw/zinc/36/22/62/1072362262.db2.gz BBSRABRJENXBCO-UHFFFAOYSA-N 0 0 438.444 -0.117 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000428201353 1072362387 /nfs/dbraw/zinc/36/23/87/1072362387.db2.gz REQDWHPKCKFWSF-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000428201354 1072362340 /nfs/dbraw/zinc/36/23/40/1072362340.db2.gz REQDWHPKCKFWSF-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c2ccccc2O1 ZINC000428201980 1072362249 /nfs/dbraw/zinc/36/22/49/1072362249.db2.gz HATGBZQXWATDEK-INIZCTEOSA-N 0 0 426.433 -0.865 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c2ccccc2O1 ZINC000428201981 1072362123 /nfs/dbraw/zinc/36/21/23/1072362123.db2.gz HATGBZQXWATDEK-MRXNPFEDSA-N 0 0 426.433 -0.865 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1S(N)(=O)=O ZINC000428202542 1072362374 /nfs/dbraw/zinc/36/23/74/1072362374.db2.gz IVNJIIFMJWUIMU-UHFFFAOYSA-N 0 0 434.478 -0.556 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000428202578 1072362307 /nfs/dbraw/zinc/36/23/07/1072362307.db2.gz JBFXHSDGIZBSKN-UHFFFAOYSA-N 0 0 428.449 -0.251 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000428205321 1072362217 /nfs/dbraw/zinc/36/22/17/1072362217.db2.gz HUEZBAWNSFFAMB-UHFFFAOYSA-N 0 0 441.477 -0.068 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1C ZINC000428205720 1072362751 /nfs/dbraw/zinc/36/27/51/1072362751.db2.gz ITOHKRLEVQFJMO-UHFFFAOYSA-N 0 0 434.478 -0.502 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000428206352 1072362694 /nfs/dbraw/zinc/36/26/94/1072362694.db2.gz SDZFCNOAPVUXIQ-UHFFFAOYSA-N 0 0 434.478 -0.549 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@@H](O)C3(CC3)C2)c(=O)[nH]c1=O ZINC000428207737 1072361721 /nfs/dbraw/zinc/36/17/21/1072361721.db2.gz MFRJCRSWRSZQOF-CYBMUJFWSA-N 0 0 437.497 -0.316 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@H](O)C3(CC3)C2)c(=O)[nH]c1=O ZINC000428207741 1072361792 /nfs/dbraw/zinc/36/17/92/1072361792.db2.gz MFRJCRSWRSZQOF-ZDUSSCGKSA-N 0 0 437.497 -0.316 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428208367 1072361881 /nfs/dbraw/zinc/36/18/81/1072361881.db2.gz DFZFFJQWIHZRFP-UHFFFAOYSA-N 0 0 448.505 -0.079 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000428208874 1072363428 /nfs/dbraw/zinc/36/34/28/1072363428.db2.gz OWLKBNUVPFLUTP-UHFFFAOYSA-N 0 0 428.398 -0.225 20 0 IBADRN Cc1nc(C)n(Cc2cccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)n1 ZINC000428209638 1072361820 /nfs/dbraw/zinc/36/18/20/1072361820.db2.gz GMCONVRHUSTCJX-UHFFFAOYSA-N 0 0 449.537 -0.686 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428209692 1072363337 /nfs/dbraw/zinc/36/33/37/1072363337.db2.gz YSGQYDANSNFYRK-UHFFFAOYSA-N 0 0 434.478 -0.004 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428210616 1072363273 /nfs/dbraw/zinc/36/32/73/1072363273.db2.gz JCLSGSRCJWOLMT-UHFFFAOYSA-N 0 0 428.449 -0.251 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428211505 1072363485 /nfs/dbraw/zinc/36/34/85/1072363485.db2.gz UZWBTEJTTABAIR-UHFFFAOYSA-N 0 0 442.432 -0.863 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000428211782 1072363356 /nfs/dbraw/zinc/36/33/56/1072363356.db2.gz YDEMCVUVVWEIOK-UHFFFAOYSA-N 0 0 428.449 -0.056 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c(F)c1 ZINC000428211810 1072363439 /nfs/dbraw/zinc/36/34/39/1072363439.db2.gz YMCCXNOMDLLWTK-UHFFFAOYSA-N 0 0 428.398 -0.225 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)CC2=O)cn1 ZINC000428212831 1072363321 /nfs/dbraw/zinc/36/33/21/1072363321.db2.gz XYGQVFXXEXGQCB-UHFFFAOYSA-N 0 0 439.432 -0.714 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cc(F)c(S(C)(=O)=O)c(F)c3)CC2=O)cn1 ZINC000428213221 1072363346 /nfs/dbraw/zinc/36/33/46/1072363346.db2.gz RAKDESCXTKZLGH-UHFFFAOYSA-N 0 0 441.416 -0.084 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000428216774 1072363799 /nfs/dbraw/zinc/36/37/99/1072363799.db2.gz DZTWLRQLPRBTCQ-UHFFFAOYSA-N 0 0 428.449 -0.056 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCCS4(=O)=O)cc3)CC2=O)cn1 ZINC000428217997 1072363852 /nfs/dbraw/zinc/36/38/52/1072363852.db2.gz ABSZUCDUSOAXFD-UHFFFAOYSA-N 0 0 446.489 -0.226 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CO)C(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000428219913 1072363949 /nfs/dbraw/zinc/36/39/49/1072363949.db2.gz QENSDWPPYYVZHA-CQSZACIVSA-N 0 0 429.495 -0.212 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)ccc1C ZINC000428220108 1072363837 /nfs/dbraw/zinc/36/38/37/1072363837.db2.gz GYALZKPHACVVRJ-UHFFFAOYSA-N 0 0 434.478 -0.549 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1C(=O)OC ZINC000428220425 1072363974 /nfs/dbraw/zinc/36/39/74/1072363974.db2.gz ONCYAXQASJBKSJ-UHFFFAOYSA-N 0 0 443.416 -0.193 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(C(=O)N4CCOCC4)cc3)CC2=O)cn1 ZINC000428220514 1072363937 /nfs/dbraw/zinc/36/39/37/1072363937.db2.gz HTPOINDRURHXMF-UHFFFAOYSA-N 0 0 440.460 -0.294 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000428220845 1072363985 /nfs/dbraw/zinc/36/39/85/1072363985.db2.gz PPOIWRSGCOGYJK-UHFFFAOYSA-N 0 0 428.449 -0.299 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1OCC(N)=O ZINC000428222328 1072364006 /nfs/dbraw/zinc/36/40/06/1072364006.db2.gz HRUVQFVWZGYKOO-UHFFFAOYSA-N 0 0 430.421 -0.893 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000428222628 1072363776 /nfs/dbraw/zinc/36/37/76/1072363776.db2.gz NVVSPAGSBCGRMW-NRFANRHFSA-N 0 0 436.509 -0.075 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000428222629 1072363809 /nfs/dbraw/zinc/36/38/09/1072363809.db2.gz NVVSPAGSBCGRMW-OAQYLSRUSA-N 0 0 436.509 -0.075 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000428222726 1072363864 /nfs/dbraw/zinc/36/38/64/1072363864.db2.gz ULNCBNCWYCUGLE-KRWDZBQOSA-N 0 0 442.520 -0.588 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000428222727 1072363897 /nfs/dbraw/zinc/36/38/97/1072363897.db2.gz ULNCBNCWYCUGLE-QGZVFWFLSA-N 0 0 442.520 -0.588 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CO)C(C)C ZINC000428224687 1072363762 /nfs/dbraw/zinc/36/37/62/1072363762.db2.gz QZTSNLKDKBUNPA-OAHLLOKOSA-N 0 0 429.495 -0.212 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428225686 1072362738 /nfs/dbraw/zinc/36/27/38/1072362738.db2.gz SMXXJHGQQJRBRB-UHFFFAOYSA-N 0 0 448.505 -0.078 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428225697 1072363039 /nfs/dbraw/zinc/36/30/39/1072363039.db2.gz YXWHTEOPXCLELO-UHFFFAOYSA-N 0 0 428.449 -0.299 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000428225734 1072362705 /nfs/dbraw/zinc/36/27/05/1072362705.db2.gz ZBGVGKDAMZPWFS-UHFFFAOYSA-N 0 0 437.478 -0.011 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1S(=O)(=O)N(C)C ZINC000428225906 1072362873 /nfs/dbraw/zinc/36/28/73/1072362873.db2.gz ZKSBYVVEZDHMCG-UHFFFAOYSA-N 0 0 448.505 -0.207 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(C(=O)N4CCOCC4)c3)CC2=O)cn1 ZINC000428228436 1072363381 /nfs/dbraw/zinc/36/33/81/1072363381.db2.gz VGAUYXWLDWJBBK-UHFFFAOYSA-N 0 0 440.460 -0.294 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)CC(=O)N2C)cn1 ZINC000428229266 1072364971 /nfs/dbraw/zinc/36/49/71/1072364971.db2.gz WQEGMSQNGPODST-AEFFLSMTSA-N 0 0 432.506 -0.132 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)CC(=O)N2C)cn1 ZINC000428229269 1072364865 /nfs/dbraw/zinc/36/48/65/1072364865.db2.gz WQEGMSQNGPODST-FUHWJXTLSA-N 0 0 432.506 -0.132 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)CC(=O)N2C)cn1 ZINC000428229272 1072364837 /nfs/dbraw/zinc/36/48/37/1072364837.db2.gz WQEGMSQNGPODST-SJLPKXTDSA-N 0 0 432.506 -0.132 20 0 IBADRN Cn1cc([C@H]2[C@@H](C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)CC(=O)N2C)cn1 ZINC000428229273 1072365478 /nfs/dbraw/zinc/36/54/78/1072365478.db2.gz WQEGMSQNGPODST-WMZOPIPTSA-N 0 0 432.506 -0.132 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(N4CCCS4(=O)=O)c3)CC2=O)cn1 ZINC000428229759 1072365501 /nfs/dbraw/zinc/36/55/01/1072365501.db2.gz FZNXRDUBUYKKCK-UHFFFAOYSA-N 0 0 446.489 -0.226 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c(C)c1 ZINC000428230224 1071162226 /nfs/dbraw/zinc/16/22/26/1071162226.db2.gz HAQBUUONUCNXFN-UHFFFAOYSA-N 0 0 437.478 -0.093 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c(F)cc1F ZINC000428232622 1072365405 /nfs/dbraw/zinc/36/54/05/1072365405.db2.gz OCAXGHNZIAYZQV-UHFFFAOYSA-N 0 0 446.388 -0.086 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)Nc1cccc(N2CCCC2=O)c1 ZINC000428233467 1072364451 /nfs/dbraw/zinc/36/44/51/1072364451.db2.gz SFYCZULWZKCWCL-UHFFFAOYSA-N 0 0 435.462 -0.024 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)Nc1ccc(N2CCCC2=O)cc1 ZINC000428233725 1072364413 /nfs/dbraw/zinc/36/44/13/1072364413.db2.gz TUJHYLDJERGEEP-UHFFFAOYSA-N 0 0 435.462 -0.024 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428233905 1072364326 /nfs/dbraw/zinc/36/43/26/1072364326.db2.gz VOCRIWTUTFADFJ-UHFFFAOYSA-N 0 0 449.489 -0.346 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)ccc1F ZINC000428235162 1072366423 /nfs/dbraw/zinc/36/64/23/1072366423.db2.gz GHJUBVXXSAKNFV-UHFFFAOYSA-N 0 0 427.400 -0.343 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000428236773 1072370195 /nfs/dbraw/zinc/37/01/95/1072370195.db2.gz GXHABGDNOSVGNI-UHFFFAOYSA-N 0 0 427.465 -0.266 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(Cn2nc(C)nc2C)c1 ZINC000428236783 1072370111 /nfs/dbraw/zinc/37/01/11/1072370111.db2.gz HAVBQGGVAPTPIJ-KRWDZBQOSA-N 0 0 441.492 -0.265 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(Cn2nc(C)nc2C)c1 ZINC000428236784 1072370225 /nfs/dbraw/zinc/37/02/25/1072370225.db2.gz HAVBQGGVAPTPIJ-QGZVFWFLSA-N 0 0 441.492 -0.265 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1-n1nnnc1C ZINC000428236802 1072370026 /nfs/dbraw/zinc/37/00/26/1072370026.db2.gz HEJYNQYMGHJQQP-UHFFFAOYSA-N 0 0 439.436 -0.473 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3cnn(C)c3)C(=O)C1)CC2 ZINC000428237841 1072371576 /nfs/dbraw/zinc/37/15/76/1072371576.db2.gz KESBPUDCMUOYKH-UHFFFAOYSA-N 0 0 446.489 -0.907 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccccc3C(=O)N3CCOCC3)CC2=O)cn1 ZINC000428238426 1072370230 /nfs/dbraw/zinc/37/02/30/1072370230.db2.gz NLVNOEXDWNHEJG-UHFFFAOYSA-N 0 0 440.460 -0.294 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)cc21 ZINC000428238704 1072370180 /nfs/dbraw/zinc/37/01/80/1072370180.db2.gz PDOUMLSHZFIUDM-UHFFFAOYSA-N 0 0 437.460 -0.065 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ncn(Cc4ccc(Cl)cc4)n3)CC2=O)cn1 ZINC000428240151 1072373076 /nfs/dbraw/zinc/37/30/76/1072373076.db2.gz QYGAZHUNXCFPBG-UHFFFAOYSA-N 0 0 442.867 0.527 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000428241545 1072393063 /nfs/dbraw/zinc/39/30/63/1072393063.db2.gz RESLVCGQJMUGKD-UHFFFAOYSA-N 0 0 434.478 -0.516 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1-n1cnnn1 ZINC000428244146 1072406564 /nfs/dbraw/zinc/40/65/64/1072406564.db2.gz VDSGYIXBZRMMGF-UHFFFAOYSA-N 0 0 425.409 -0.782 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CC2=O)cn1 ZINC000428244963 1072409488 /nfs/dbraw/zinc/40/94/88/1072409488.db2.gz YSQFXJHZCZVPRA-UHFFFAOYSA-N 0 0 434.478 -0.717 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000428245378 1072405910 /nfs/dbraw/zinc/40/59/10/1072405910.db2.gz ZADLMGOIVGTWGZ-UHFFFAOYSA-N 0 0 437.478 -0.093 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(CN4C(=O)CCC4=O)cc3)CC2=O)cn1 ZINC000428247032 1072414368 /nfs/dbraw/zinc/41/43/68/1072414368.db2.gz PDILSWBJYUHCHJ-UHFFFAOYSA-N 0 0 438.444 -0.117 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000428249343 1072430242 /nfs/dbraw/zinc/43/02/42/1072430242.db2.gz XLXXFYGXDQHIHE-CBGKMPLWSA-N 0 0 435.506 -0.233 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000428249349 1072430074 /nfs/dbraw/zinc/43/00/74/1072430074.db2.gz XLXXFYGXDQHIHE-KSFUHJJTSA-N 0 0 435.506 -0.233 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000428249353 1072430104 /nfs/dbraw/zinc/43/01/04/1072430104.db2.gz XLXXFYGXDQHIHE-XKWGOFCGSA-N 0 0 435.506 -0.233 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000428249356 1072430271 /nfs/dbraw/zinc/43/02/71/1072430271.db2.gz XLXXFYGXDQHIHE-YEQDRDISSA-N 0 0 435.506 -0.233 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000428251211 1072433520 /nfs/dbraw/zinc/43/35/20/1072433520.db2.gz UARPHWYMKJREOV-UHFFFAOYSA-N 0 0 437.478 -0.011 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](CO)C(C)C ZINC000428259537 1072450829 /nfs/dbraw/zinc/45/08/29/1072450829.db2.gz OHZBKLLXQFDACQ-MRXNPFEDSA-N 0 0 442.538 -0.297 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)s1 ZINC000428266782 1072468856 /nfs/dbraw/zinc/46/88/56/1072468856.db2.gz FRVWHQQVWCVJCN-UHFFFAOYSA-N 0 0 437.525 -0.437 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000428271438 1072475481 /nfs/dbraw/zinc/47/54/81/1072475481.db2.gz ZWGUSZCPFRIEAR-UHFFFAOYSA-N 0 0 436.494 -0.275 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000428273158 1072485431 /nfs/dbraw/zinc/48/54/31/1072485431.db2.gz BSUBNQBKUQQHTQ-CYBMUJFWSA-N 0 0 428.515 -0.912 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000428273159 1072485947 /nfs/dbraw/zinc/48/59/47/1072485947.db2.gz BSUBNQBKUQQHTQ-ZDUSSCGKSA-N 0 0 428.515 -0.912 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCN(C(=O)c2cccc(O)c2)CC1 ZINC000428277466 1072498980 /nfs/dbraw/zinc/49/89/80/1072498980.db2.gz OBWBUTPIRLQJSM-UHFFFAOYSA-N 0 0 437.478 -0.033 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000428278451 1072501945 /nfs/dbraw/zinc/50/19/45/1072501945.db2.gz DFKMOKKHTKSGRQ-UHFFFAOYSA-N 0 0 425.467 -0.168 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCCNS(=O)(=O)c1ccccc1 ZINC000428278658 1072501261 /nfs/dbraw/zinc/50/12/61/1072501261.db2.gz STPORDFBNWPRAD-UHFFFAOYSA-N 0 0 431.496 -0.628 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCCS(=O)(=O)NCc1ccccc1 ZINC000428278679 1072501228 /nfs/dbraw/zinc/50/12/28/1072501228.db2.gz WKPFIUCPIRRDIC-UHFFFAOYSA-N 0 0 445.523 -0.487 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000428279913 1072512362 /nfs/dbraw/zinc/51/23/62/1072512362.db2.gz YWGOAPUPKLKWII-UHFFFAOYSA-N 0 0 444.558 -0.320 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000428280368 1072512042 /nfs/dbraw/zinc/51/20/42/1072512042.db2.gz FZJFAQPFQMOBFB-UHFFFAOYSA-N 0 0 425.467 -0.282 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)n1 ZINC000428280836 1072515051 /nfs/dbraw/zinc/51/50/51/1072515051.db2.gz BTWJDUYVUFIKEN-UHFFFAOYSA-N 0 0 425.471 -0.576 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000428282245 1072516013 /nfs/dbraw/zinc/51/60/13/1072516013.db2.gz OWRAUEBVORKYHH-UHFFFAOYSA-N 0 0 427.458 -0.109 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)nc1 ZINC000428283149 1072518080 /nfs/dbraw/zinc/51/80/80/1072518080.db2.gz ZTONZWQJDOHXTR-UHFFFAOYSA-N 0 0 437.482 -0.880 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000428285132 1072520651 /nfs/dbraw/zinc/52/06/51/1072520651.db2.gz FNMFANGDYQDULZ-AWEZNQCLSA-N 0 0 430.531 -0.664 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000428285135 1072520755 /nfs/dbraw/zinc/52/07/55/1072520755.db2.gz FNMFANGDYQDULZ-CQSZACIVSA-N 0 0 430.531 -0.664 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000428285262 1072520552 /nfs/dbraw/zinc/52/05/52/1072520552.db2.gz NROYSBFHULDZGH-HNNXBMFYSA-N 0 0 429.529 -0.150 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000428285263 1072520709 /nfs/dbraw/zinc/52/07/09/1072520709.db2.gz NROYSBFHULDZGH-OAHLLOKOSA-N 0 0 429.529 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NCc3nccn3S(=O)(=O)N(C)C)C2)cc1 ZINC000428288761 1072524012 /nfs/dbraw/zinc/52/40/12/1072524012.db2.gz SFIZSFSFAQSZFE-UHFFFAOYSA-N 0 0 429.524 -0.291 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1)N1CCCC1 ZINC000428292932 1072532853 /nfs/dbraw/zinc/53/28/53/1072532853.db2.gz DFOCIZMUSMVPOU-UHFFFAOYSA-N 0 0 428.515 -0.956 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NCCNS(=O)(=O)Cc3ccon3)C2=O)n(C)n1 ZINC000428293666 1072532356 /nfs/dbraw/zinc/53/23/56/1072532356.db2.gz CGMDJXXZRNBBQV-CYBMUJFWSA-N 0 0 425.471 -0.759 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NCCNS(=O)(=O)Cc3ccon3)C2=O)n(C)n1 ZINC000428293669 1072532099 /nfs/dbraw/zinc/53/20/99/1072532099.db2.gz CGMDJXXZRNBBQV-ZDUSSCGKSA-N 0 0 425.471 -0.759 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)ccc21 ZINC000428298629 1072537941 /nfs/dbraw/zinc/53/79/41/1072537941.db2.gz CHUVQZVJTSZRHF-UHFFFAOYSA-N 0 0 440.522 -0.005 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@@H]2C[C@@H](O)C2(C)C)c(=O)[nH]c1=O ZINC000428302821 1072548244 /nfs/dbraw/zinc/54/82/44/1072548244.db2.gz RPOVAICDZCPNHS-CHWSQXEVSA-N 0 0 439.513 -0.024 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@@H]2C[C@H](O)C2(C)C)c(=O)[nH]c1=O ZINC000428302823 1072548162 /nfs/dbraw/zinc/54/81/62/1072548162.db2.gz RPOVAICDZCPNHS-OLZOCXBDSA-N 0 0 439.513 -0.024 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@H]2C[C@@H](O)C2(C)C)c(=O)[nH]c1=O ZINC000428302824 1072548286 /nfs/dbraw/zinc/54/82/86/1072548286.db2.gz RPOVAICDZCPNHS-QWHCGFSZSA-N 0 0 439.513 -0.024 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@H]2C[C@H](O)C2(C)C)c(=O)[nH]c1=O ZINC000428302826 1072548308 /nfs/dbraw/zinc/54/83/08/1072548308.db2.gz RPOVAICDZCPNHS-STQMWFEESA-N 0 0 439.513 -0.024 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000428305019 1072551011 /nfs/dbraw/zinc/55/10/11/1072551011.db2.gz YMMGVMOTVHPCNK-UHFFFAOYSA-N 0 0 434.474 -0.248 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000428307460 1072553708 /nfs/dbraw/zinc/55/37/08/1072553708.db2.gz UXLXYOLRSISJSN-UHFFFAOYSA-N 0 0 435.462 -0.853 20 0 IBADRN CCn1nccc1N1CCC[C@H](NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1=O ZINC000428311150 1072558692 /nfs/dbraw/zinc/55/86/92/1072558692.db2.gz QXPGEGOUOYZCSG-HNNXBMFYSA-N 0 0 447.583 -0.011 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1=O ZINC000428311151 1072558566 /nfs/dbraw/zinc/55/85/66/1072558566.db2.gz QXPGEGOUOYZCSG-OAHLLOKOSA-N 0 0 447.583 -0.011 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000428311855 1072560238 /nfs/dbraw/zinc/56/02/38/1072560238.db2.gz UARSIIDHHSBKBX-UHFFFAOYSA-N 0 0 432.458 -0.041 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)ccc21 ZINC000428318764 1072561773 /nfs/dbraw/zinc/56/17/73/1072561773.db2.gz CALDFTRHURUBOT-UHFFFAOYSA-N 0 0 436.446 -0.169 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC(Cn4cncn4)CC3)nc2n(C)c1=O ZINC000428321895 1072566111 /nfs/dbraw/zinc/56/61/11/1072566111.db2.gz FUDQRVXNZZQBPF-UHFFFAOYSA-N 0 0 426.481 0.521 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000428322573 1072563427 /nfs/dbraw/zinc/56/34/27/1072563427.db2.gz FRNHWNAPYROGQI-AJXXGZDZSA-N 0 0 428.555 -0.221 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000428322578 1072563395 /nfs/dbraw/zinc/56/33/95/1072563395.db2.gz FRNHWNAPYROGQI-DUOAEJKSSA-N 0 0 428.555 -0.221 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000428322580 1072563632 /nfs/dbraw/zinc/56/36/32/1072563632.db2.gz FRNHWNAPYROGQI-LXLLPEIISA-N 0 0 428.555 -0.221 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000428322581 1072563645 /nfs/dbraw/zinc/56/36/45/1072563645.db2.gz FRNHWNAPYROGQI-YPOBSOSXSA-N 0 0 428.555 -0.221 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)NC1CCC(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)CC1 ZINC000428326770 1072574157 /nfs/dbraw/zinc/57/41/57/1072574157.db2.gz PCGQPWZHPIDUPN-FEPKRQSRSA-N 0 0 440.588 -0.910 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)NC1CCC(NS(=O)(=O)[C@H](C)C(=O)N(C)C)CC1 ZINC000428326771 1072574148 /nfs/dbraw/zinc/57/41/48/1072574148.db2.gz PCGQPWZHPIDUPN-IWMBGFJWSA-N 0 0 440.588 -0.910 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)NC1CCC(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)CC1 ZINC000428326773 1072574189 /nfs/dbraw/zinc/57/41/89/1072574189.db2.gz PCGQPWZHPIDUPN-VTXSZYRJSA-N 0 0 440.588 -0.910 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000428328727 1072576756 /nfs/dbraw/zinc/57/67/56/1072576756.db2.gz ZDQKQKOPAWPWEI-ACDPGZMHSA-N 0 0 449.643 -0.114 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000428328731 1072577337 /nfs/dbraw/zinc/57/73/37/1072577337.db2.gz ZDQKQKOPAWPWEI-IIZOCFLVSA-N 0 0 449.643 -0.114 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000428328732 1072577609 /nfs/dbraw/zinc/57/76/09/1072577609.db2.gz ZDQKQKOPAWPWEI-VUFWKLLHSA-N 0 0 449.643 -0.114 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000428328734 1072577539 /nfs/dbraw/zinc/57/75/39/1072577539.db2.gz ZDQKQKOPAWPWEI-YAYPDYNLSA-N 0 0 449.643 -0.114 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000428333015 1072582951 /nfs/dbraw/zinc/58/29/51/1072582951.db2.gz SGNLDNIPWAARAT-JQQNFUDXSA-N 0 0 436.600 -0.030 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000428333017 1072583265 /nfs/dbraw/zinc/58/32/65/1072583265.db2.gz SGNLDNIPWAARAT-KSRHRBNTSA-N 0 0 436.600 -0.030 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000428333019 1072583057 /nfs/dbraw/zinc/58/30/57/1072583057.db2.gz SGNLDNIPWAARAT-MPEQGZPJSA-N 0 0 436.600 -0.030 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000428333021 1072583248 /nfs/dbraw/zinc/58/32/48/1072583248.db2.gz SGNLDNIPWAARAT-RSJDLPBQSA-N 0 0 436.600 -0.030 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)ccc21 ZINC000428333718 1072582396 /nfs/dbraw/zinc/58/23/96/1072582396.db2.gz VOCULVLEAZWCPS-UHFFFAOYSA-N 0 0 435.462 -0.434 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(Cn2cncn2)CC1 ZINC000428339448 1072596279 /nfs/dbraw/zinc/59/62/79/1072596279.db2.gz XJDPSMLNVUAIKC-UHFFFAOYSA-N 0 0 432.506 -0.049 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2cc(C3CC3)nc(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000428361396 1072628222 /nfs/dbraw/zinc/62/82/22/1072628222.db2.gz MMTXBUKARBWEFY-CABCVRRESA-N 0 0 432.525 -0.587 20 0 IBADRN C[C@H](O)C(=O)N1CCN(c2cc(C3CC3)nc(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000428361398 1072628139 /nfs/dbraw/zinc/62/81/39/1072628139.db2.gz MMTXBUKARBWEFY-GJZGRUSLSA-N 0 0 432.525 -0.587 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2cc(C3CC3)nc(N3CCN(C(=O)[C@@H](C)O)CC3)n2)CC1 ZINC000428361399 1072628228 /nfs/dbraw/zinc/62/82/28/1072628228.db2.gz MMTXBUKARBWEFY-HUUCEWRRSA-N 0 0 432.525 -0.587 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc(C3CC3)cc(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000428361400 1072628170 /nfs/dbraw/zinc/62/81/70/1072628170.db2.gz MMTXBUKARBWEFY-LSDHHAIUSA-N 0 0 432.525 -0.587 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC000428372427 1072649019 /nfs/dbraw/zinc/64/90/19/1072649019.db2.gz IVXQKNXKKVOHLN-UHFFFAOYSA-N 0 0 447.988 -0.005 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000428372880 1072649607 /nfs/dbraw/zinc/64/96/07/1072649607.db2.gz JOZUVGRXIAUGJL-UHFFFAOYSA-N 0 0 426.538 -0.829 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](CO)Cc2ccc(Br)cc2)c(=O)n(C)c1=O ZINC000428374244 1072651102 /nfs/dbraw/zinc/65/11/02/1072651102.db2.gz BBZXHBDPNOEINQ-GFCCVEGCSA-N 0 0 446.323 -0.024 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](CO)Cc2ccc(Br)cc2)c(=O)n(C)c1=O ZINC000428374608 1072651077 /nfs/dbraw/zinc/65/10/77/1072651077.db2.gz BBZXHBDPNOEINQ-LBPRGKRZSA-N 0 0 446.323 -0.024 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC000428377391 1072657569 /nfs/dbraw/zinc/65/75/69/1072657569.db2.gz HCIPKGMBKLNJPP-UHFFFAOYSA-N 0 0 438.959 -0.084 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000428379933 1072662703 /nfs/dbraw/zinc/66/27/03/1072662703.db2.gz XKVXRGZJQAEAQS-UHFFFAOYSA-N 0 0 428.920 -0.685 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000428384104 1072663903 /nfs/dbraw/zinc/66/39/03/1072663903.db2.gz WBSOGOJTKQHUAF-INIZCTEOSA-N 0 0 439.534 -0.120 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000428384107 1072663869 /nfs/dbraw/zinc/66/38/69/1072663869.db2.gz WBSOGOJTKQHUAF-MRXNPFEDSA-N 0 0 439.534 -0.120 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000428385348 1072665166 /nfs/dbraw/zinc/66/51/66/1072665166.db2.gz YUYBADLDCJRWSC-UHFFFAOYSA-N 0 0 437.496 -0.630 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000428385698 1072665091 /nfs/dbraw/zinc/66/50/91/1072665091.db2.gz ZGCKYIXFEDBMQY-UHFFFAOYSA-N 0 0 434.540 -0.730 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccc(S(=O)(=O)CCOC)cc1 ZINC000428389270 1072670185 /nfs/dbraw/zinc/67/01/85/1072670185.db2.gz PZGVIUQWBVRHKE-UHFFFAOYSA-N 0 0 428.554 -0.535 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCNS(=O)(=O)NC(C)C ZINC000428390220 1072670849 /nfs/dbraw/zinc/67/08/49/1072670849.db2.gz BSXOAOIJLQYNKZ-UHFFFAOYSA-N 0 0 428.558 -0.905 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000428392129 1072676147 /nfs/dbraw/zinc/67/61/47/1072676147.db2.gz ILAFGKDERCGHMY-UHFFFAOYSA-N 0 0 437.496 -0.630 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000428394964 1072684613 /nfs/dbraw/zinc/68/46/13/1072684613.db2.gz XZKNGPSEFAOYDL-UHFFFAOYSA-N 0 0 432.528 -0.495 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000428396453 1072685165 /nfs/dbraw/zinc/68/51/65/1072685165.db2.gz FPYOAQNDQAVUCN-UHFFFAOYSA-N 0 0 449.477 -0.572 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000428397482 1072685243 /nfs/dbraw/zinc/68/52/43/1072685243.db2.gz GARIMWLKZHSJFA-UHFFFAOYSA-N 0 0 432.524 -0.576 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000428398087 1072687419 /nfs/dbraw/zinc/68/74/19/1072687419.db2.gz JBEKDZUIRXGTLS-UHFFFAOYSA-N 0 0 432.458 -0.041 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000428399063 1072688676 /nfs/dbraw/zinc/68/86/76/1072688676.db2.gz KZSYBHSVNCDIAM-UHFFFAOYSA-N 0 0 428.558 -0.952 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)ccc2O1 ZINC000428399588 1072687494 /nfs/dbraw/zinc/68/74/94/1072687494.db2.gz MAOZHBPTNFCHPS-HNNXBMFYSA-N 0 0 435.524 -0.336 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)ccc2O1 ZINC000428399589 1072687519 /nfs/dbraw/zinc/68/75/19/1072687519.db2.gz MAOZHBPTNFCHPS-OAHLLOKOSA-N 0 0 435.524 -0.336 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccn1)NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000428400262 1072688706 /nfs/dbraw/zinc/68/87/06/1072688706.db2.gz CTPZDUBXVMADTN-AWEZNQCLSA-N 0 0 433.446 -0.118 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccn1)NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000428400267 1072688656 /nfs/dbraw/zinc/68/86/56/1072688656.db2.gz CTPZDUBXVMADTN-CQSZACIVSA-N 0 0 433.446 -0.118 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](CO)Cc2ccccc2Br)c(=O)n(C)c1=O ZINC000428401137 1072689700 /nfs/dbraw/zinc/68/97/00/1072689700.db2.gz KLQCXEFDBGEITI-LLVKDONJSA-N 0 0 446.323 -0.024 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](CO)Cc2ccccc2Br)c(=O)n(C)c1=O ZINC000428401139 1072689631 /nfs/dbraw/zinc/68/96/31/1072689631.db2.gz KLQCXEFDBGEITI-NSHDSACASA-N 0 0 446.323 -0.024 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000428401584 1072689269 /nfs/dbraw/zinc/68/92/69/1072689269.db2.gz LFGXHDQCQBYEIQ-UHFFFAOYSA-N 0 0 440.569 -0.762 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000428401803 1072689168 /nfs/dbraw/zinc/68/91/68/1072689168.db2.gz GTPXBMKNSGJMFF-UHFFFAOYSA-N 0 0 435.462 -0.853 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000428402185 1072689693 /nfs/dbraw/zinc/68/96/93/1072689693.db2.gz RHFHSSCRMIRXTH-UHFFFAOYSA-N 0 0 449.489 -0.463 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000428402427 1072689665 /nfs/dbraw/zinc/68/96/65/1072689665.db2.gz PBNSKVNUKJZFDY-GFCCVEGCSA-N 0 0 430.508 -0.200 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000428402428 1072689807 /nfs/dbraw/zinc/68/98/07/1072689807.db2.gz PBNSKVNUKJZFDY-LBPRGKRZSA-N 0 0 430.508 -0.200 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)c(C(=O)OC)s1 ZINC000428402935 1072693218 /nfs/dbraw/zinc/69/32/18/1072693218.db2.gz OBIGGGIGOWPVPV-UHFFFAOYSA-N 0 0 443.525 -0.568 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2ccc(S(=O)(=O)CCO)cc2C)cn1C ZINC000428403267 1072692670 /nfs/dbraw/zinc/69/26/70/1072692670.db2.gz TYVYAXQXCFFEOJ-UHFFFAOYSA-N 0 0 430.508 -0.280 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000428403388 1072692627 /nfs/dbraw/zinc/69/26/27/1072692627.db2.gz AODRUMAWFIVXKH-UHFFFAOYSA-N 0 0 440.569 -0.663 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)cc1F ZINC000428405432 1072693817 /nfs/dbraw/zinc/69/38/17/1072693817.db2.gz WWMCTWYGOHVDMD-UHFFFAOYSA-N 0 0 446.548 -0.302 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000428407721 1072695401 /nfs/dbraw/zinc/69/54/01/1072695401.db2.gz REIVXFZWVREMGO-UHFFFAOYSA-N 0 0 428.558 -0.952 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)c1OC ZINC000428408172 1072696591 /nfs/dbraw/zinc/69/65/91/1072696591.db2.gz YJKMPILUOSCZJG-UHFFFAOYSA-N 0 0 439.512 -0.399 20 0 IBADRN CS(=O)(=O)c1ccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000428408562 1072696087 /nfs/dbraw/zinc/69/60/87/1072696087.db2.gz YOULGYBJBFAJEL-UHFFFAOYSA-N 0 0 445.972 -0.345 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)ccc1OC ZINC000428410054 1072698264 /nfs/dbraw/zinc/69/82/64/1072698264.db2.gz DHGVNKTWJAFYOJ-UHFFFAOYSA-N 0 0 436.556 -0.168 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNS(=O)(=O)c2cnn(CC(=O)OC)c2)cc1 ZINC000428411967 1072700025 /nfs/dbraw/zinc/70/00/25/1072700025.db2.gz URGPIUGADWKBMV-UHFFFAOYSA-N 0 0 431.492 -0.045 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000428412631 1072698923 /nfs/dbraw/zinc/69/89/23/1072698923.db2.gz XDGFWZVSHPVLGT-UHFFFAOYSA-N 0 0 432.521 -0.692 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000428418358 1072706590 /nfs/dbraw/zinc/70/65/90/1072706590.db2.gz AYMNPRKZCBSADC-UHFFFAOYSA-N 0 0 434.540 -0.730 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)NCCNS(=O)(=O)NC(C)C ZINC000428418931 1072707528 /nfs/dbraw/zinc/70/75/28/1072707528.db2.gz DATJFQUXIUYDOX-UHFFFAOYSA-N 0 0 430.531 -0.792 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NCCNS(=O)(=O)NC(C)C)ccc21 ZINC000428419547 1072708068 /nfs/dbraw/zinc/70/80/68/1072708068.db2.gz BKWLLINUOLUXRJ-UHFFFAOYSA-N 0 0 425.511 -0.726 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1cccc(Cl)c1 ZINC000428419853 1072707126 /nfs/dbraw/zinc/70/71/26/1072707126.db2.gz CNBKUOZSKUQUPA-UHFFFAOYSA-N 0 0 426.948 -0.043 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](CO)Cc2cccc(Br)c2)c(=O)n(C)c1=O ZINC000428419889 1072707045 /nfs/dbraw/zinc/70/70/45/1072707045.db2.gz MWZGRPSUQBXQGW-GFCCVEGCSA-N 0 0 446.323 -0.024 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](CO)Cc2cccc(Br)c2)c(=O)n(C)c1=O ZINC000428419891 1072707091 /nfs/dbraw/zinc/70/70/91/1072707091.db2.gz MWZGRPSUQBXQGW-LBPRGKRZSA-N 0 0 446.323 -0.024 20 0 IBADRN CSC[C@H](C(=O)NCCNS(=O)(=O)NC(C)C)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000428419966 1072708620 /nfs/dbraw/zinc/70/86/20/1072708620.db2.gz CSGIQXLTMHTXBC-HZSPNIEDSA-N 0 0 434.584 -0.158 20 0 IBADRN CSC[C@H](C(=O)NCCNS(=O)(=O)NC(C)C)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000428419970 1072708708 /nfs/dbraw/zinc/70/87/08/1072708708.db2.gz CSGIQXLTMHTXBC-MELADBBJSA-N 0 0 434.584 -0.158 20 0 IBADRN CSC[C@H](C(=O)NCCNS(=O)(=O)NC(C)C)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000428419974 1072708597 /nfs/dbraw/zinc/70/85/97/1072708597.db2.gz CSGIQXLTMHTXBC-MGPQQGTHSA-N 0 0 434.584 -0.158 20 0 IBADRN CSC[C@@H](C(=O)NCCNS(=O)(=O)NC(C)C)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000428419976 1072708605 /nfs/dbraw/zinc/70/86/05/1072708605.db2.gz CSGIQXLTMHTXBC-MJBXVCDLSA-N 0 0 434.584 -0.158 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000428420480 1072708168 /nfs/dbraw/zinc/70/81/68/1072708168.db2.gz HGOMGNFLZJNJNT-UHFFFAOYSA-N 0 0 449.551 -0.040 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCNS(=O)(=O)NC(C)C)cc(OC)c1OC ZINC000428421616 1072708633 /nfs/dbraw/zinc/70/86/33/1072708633.db2.gz KMIALHIPIKIBSW-UHFFFAOYSA-N 0 0 432.499 -0.609 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000428421759 1072708657 /nfs/dbraw/zinc/70/86/57/1072708657.db2.gz KRTAVAJBLIGPCD-UHFFFAOYSA-N 0 0 427.570 -0.182 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000428423538 1072711206 /nfs/dbraw/zinc/71/12/06/1072711206.db2.gz UWQLCQQWPDHAPB-UHFFFAOYSA-N 0 0 432.521 -0.692 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000428423774 1072711168 /nfs/dbraw/zinc/71/11/68/1072711168.db2.gz QABUOMZLCXFJDT-UHFFFAOYSA-N 0 0 428.558 -0.952 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000428423881 1072710637 /nfs/dbraw/zinc/71/06/37/1072710637.db2.gz RVYRRBVULZKJHM-HNNXBMFYSA-N 0 0 448.567 -0.294 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000428423882 1072711428 /nfs/dbraw/zinc/71/14/28/1072711428.db2.gz RVYRRBVULZKJHM-OAHLLOKOSA-N 0 0 448.567 -0.294 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC000428424270 1072712438 /nfs/dbraw/zinc/71/24/38/1072712438.db2.gz ANSJSEUPHXLSOG-CABCVRRESA-N 0 0 432.543 -0.083 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC000428424272 1072712328 /nfs/dbraw/zinc/71/23/28/1072712328.db2.gz ANSJSEUPHXLSOG-GJZGRUSLSA-N 0 0 432.543 -0.083 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC000428424273 1072712488 /nfs/dbraw/zinc/71/24/88/1072712488.db2.gz ANSJSEUPHXLSOG-HUUCEWRRSA-N 0 0 432.543 -0.083 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC000428424275 1072712296 /nfs/dbraw/zinc/71/22/96/1072712296.db2.gz ANSJSEUPHXLSOG-LSDHHAIUSA-N 0 0 432.543 -0.083 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000428424371 1072712526 /nfs/dbraw/zinc/71/25/26/1072712526.db2.gz XJNMEZCIOBTOMU-UHFFFAOYSA-N 0 0 440.569 -0.762 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC000428425510 1072712362 /nfs/dbraw/zinc/71/23/62/1072712362.db2.gz DDBYOVXURUZBSW-UHFFFAOYSA-N 0 0 428.483 -0.418 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCNS(=O)(=O)NC(C)C ZINC000428425862 1072712414 /nfs/dbraw/zinc/71/24/14/1072712414.db2.gz XVYMSOGNHDFUJA-UHFFFAOYSA-N 0 0 448.567 -0.422 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000428425911 1072712944 /nfs/dbraw/zinc/71/29/44/1072712944.db2.gz MUQGDSCISFMDIQ-UHFFFAOYSA-N 0 0 449.555 -0.738 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000428426311 1072712878 /nfs/dbraw/zinc/71/28/78/1072712878.db2.gz XPFMNUGDLRTHJH-UHFFFAOYSA-N 0 0 440.569 -0.762 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000428428510 1072716220 /nfs/dbraw/zinc/71/62/20/1072716220.db2.gz XPOLXJVTCFQJNP-UHFFFAOYSA-N 0 0 434.540 -0.494 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000428428595 1072716019 /nfs/dbraw/zinc/71/60/19/1072716019.db2.gz XRZGNTXTUGTLHC-UHFFFAOYSA-N 0 0 436.556 -0.298 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000428428668 1072716089 /nfs/dbraw/zinc/71/60/89/1072716089.db2.gz YFEJZMQMJXMNGQ-UHFFFAOYSA-N 0 0 434.540 -0.889 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000428429640 1072717234 /nfs/dbraw/zinc/71/72/34/1072717234.db2.gz ICKQPEOYCSFFIY-UHFFFAOYSA-N 0 0 449.551 -0.466 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccc(S(C)(=O)=O)c(Cl)c1)c(=O)n2C ZINC000428429745 1072717180 /nfs/dbraw/zinc/71/71/80/1072717180.db2.gz JHLRNAJLWPSDSL-UHFFFAOYSA-N 0 0 439.881 -0.193 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CN(C)S(=O)(=O)c1ccc(Cl)cc1 ZINC000428430895 1072717333 /nfs/dbraw/zinc/71/73/33/1072717333.db2.gz PSYKANSETXLRTG-UHFFFAOYSA-N 0 0 426.948 -0.091 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000428431478 1072718054 /nfs/dbraw/zinc/71/80/54/1072718054.db2.gz UHEMXOFIHKNLML-UHFFFAOYSA-N 0 0 436.556 -0.518 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000428432147 1072720553 /nfs/dbraw/zinc/72/05/53/1072720553.db2.gz XIXQYALUVKBCCD-UHFFFAOYSA-N 0 0 434.540 -0.730 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000428433371 1072720442 /nfs/dbraw/zinc/72/04/42/1072720442.db2.gz HAMQEYHSDSZQPD-UHFFFAOYSA-N 0 0 435.524 -0.430 20 0 IBADRN COc1ccc(C(=O)NCCNS(=O)(=O)NC(C)C)cc1S(=O)(=O)NC1CC1 ZINC000428433923 1072722399 /nfs/dbraw/zinc/72/23/99/1072722399.db2.gz ITLPBGDBUPZJGA-UHFFFAOYSA-N 0 0 434.540 -0.302 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000428433978 1072722366 /nfs/dbraw/zinc/72/23/66/1072722366.db2.gz JHYRKYMBIOOBHP-UHFFFAOYSA-N 0 0 449.555 -0.786 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000428435532 1072722852 /nfs/dbraw/zinc/72/28/52/1072722852.db2.gz ANFQMHSTFCIOLV-UHFFFAOYSA-N 0 0 449.489 -0.830 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)NCCNS(=O)(=O)NC(C)C)cc1OC ZINC000428435989 1072725513 /nfs/dbraw/zinc/72/55/13/1072725513.db2.gz PBYZQTOGFGKTCB-UHFFFAOYSA-N 0 0 437.540 -0.184 20 0 IBADRN Cc1ccc(C(=O)NCCNS(=O)(=O)NC(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000428436080 1072725443 /nfs/dbraw/zinc/72/54/43/1072725443.db2.gz PLSZJAMUAOKBBX-UHFFFAOYSA-N 0 0 448.567 -0.422 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000428437270 1072726070 /nfs/dbraw/zinc/72/60/70/1072726070.db2.gz HOZFUMCEIBGFHS-UHFFFAOYSA-N 0 0 439.585 -0.686 20 0 IBADRN O=C(NC1CCN(c2cccnn2)CC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000428437298 1072726158 /nfs/dbraw/zinc/72/61/58/1072726158.db2.gz HSCRNPDTMCDSFR-UHFFFAOYSA-N 0 0 444.517 -0.004 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1ccccc1Cl ZINC000428437691 1072726031 /nfs/dbraw/zinc/72/60/31/1072726031.db2.gz IBCXAGFANNFACE-UHFFFAOYSA-N 0 0 426.948 -0.043 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000428437848 1072725481 /nfs/dbraw/zinc/72/54/81/1072725481.db2.gz ULFXOMNXPWJCPV-UHFFFAOYSA-N 0 0 435.572 -0.238 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000428441956 1072728655 /nfs/dbraw/zinc/72/86/55/1072728655.db2.gz RLEMHSRBBFZQDU-HNNXBMFYSA-N 0 0 448.567 -0.294 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000428441957 1072728750 /nfs/dbraw/zinc/72/87/50/1072728750.db2.gz RLEMHSRBBFZQDU-OAHLLOKOSA-N 0 0 448.567 -0.294 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCNS(=O)(=O)NC(C)C)ccc1OC(F)F ZINC000428443316 1072732296 /nfs/dbraw/zinc/73/22/96/1072732296.db2.gz YFCQRYXTKFLFPM-UHFFFAOYSA-N 0 0 438.453 -0.025 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccc(S(C)(=O)=O)c(Cl)c3)c2c(=O)n(C)c1=O ZINC000428447682 1072738838 /nfs/dbraw/zinc/73/88/38/1072738838.db2.gz PHWDOCBVOZGSFL-UHFFFAOYSA-N 0 0 439.881 -0.193 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000428449830 1073141647 /nfs/dbraw/zinc/14/16/47/1073141647.db2.gz VEVOLWQEBQUHOU-UHFFFAOYSA-N 0 0 449.489 -0.830 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000428451847 1072742633 /nfs/dbraw/zinc/74/26/33/1072742633.db2.gz GNNGGEOMIAYFTK-UHFFFAOYSA-N 0 0 446.485 -0.018 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000428456617 1072751749 /nfs/dbraw/zinc/75/17/49/1072751749.db2.gz KVIYZLSBABNOJZ-CYBMUJFWSA-N 0 0 426.495 -0.241 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000428456622 1072751841 /nfs/dbraw/zinc/75/18/41/1072751841.db2.gz KVIYZLSBABNOJZ-ZDUSSCGKSA-N 0 0 426.495 -0.241 20 0 IBADRN COc1ccc(C(=O)NCCNS(=O)(=O)NC(C)C)cc1S(=O)(=O)NC(C)C ZINC000428458463 1072755663 /nfs/dbraw/zinc/75/56/63/1072755663.db2.gz LAFGGNQINNRGHX-UHFFFAOYSA-N 0 0 436.556 -0.056 20 0 IBADRN COc1cc(CNC(=O)NCCNS(=O)(=O)NC(C)C)ccc1OCC(=O)N(C)C ZINC000428463468 1072759105 /nfs/dbraw/zinc/75/91/05/1072759105.db2.gz NXPQSAKWGFJWNI-UHFFFAOYSA-N 0 0 445.542 -0.206 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000428466543 1072760373 /nfs/dbraw/zinc/76/03/73/1072760373.db2.gz VYUPKSSDEGYFGK-UHFFFAOYSA-N 0 0 448.501 -0.225 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000428488091 1072782449 /nfs/dbraw/zinc/78/24/49/1072782449.db2.gz UPRCUAICHNOLMW-UHFFFAOYSA-N 0 0 426.543 -0.230 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)CC(=O)N2C)cnn1C ZINC000428490931 1072782932 /nfs/dbraw/zinc/78/29/32/1072782932.db2.gz QNHVISLLTLLOAE-MJGOQNOKSA-N 0 0 441.540 -0.088 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)Cc2ccc(CC(=O)N3CCN(C[C@H](C)O)CC3)cc2)CC1 ZINC000428492167 1072784486 /nfs/dbraw/zinc/78/44/86/1072784486.db2.gz CSCMXJKVPNVUSR-PMACEKPBSA-N 0 0 446.592 -0.178 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CC1 ZINC000428492999 1072785713 /nfs/dbraw/zinc/78/57/13/1072785713.db2.gz HQJZQIBPCOFBGP-INIZCTEOSA-N 0 0 440.566 -0.088 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000428493889 1072784426 /nfs/dbraw/zinc/78/44/26/1072784426.db2.gz MHLOUFXRLCFFKT-LXZKKBNFSA-N 0 0 431.942 -0.011 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000428493890 1072784521 /nfs/dbraw/zinc/78/45/21/1072784521.db2.gz MHLOUFXRLCFFKT-QRTARXTBSA-N 0 0 431.942 -0.011 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000428494751 1072786916 /nfs/dbraw/zinc/78/69/16/1072786916.db2.gz BLKOKRGSQMWXEG-DOTOQJQBSA-N 0 0 441.550 -0.247 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000428494753 1072786767 /nfs/dbraw/zinc/78/67/67/1072786767.db2.gz BLKOKRGSQMWXEG-RDJZCZTQSA-N 0 0 441.550 -0.247 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(C[C@H](C)O)CC2)c1 ZINC000428495309 1072810987 /nfs/dbraw/zinc/81/09/87/1072810987.db2.gz CLVMUXWQEZATDH-INIZCTEOSA-N 0 0 440.566 -0.028 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000428495686 1072800950 /nfs/dbraw/zinc/80/09/50/1072800950.db2.gz AOXHGFDZVZIEPX-DOTOQJQBSA-N 0 0 447.579 -0.707 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000428495701 1072801117 /nfs/dbraw/zinc/80/11/17/1072801117.db2.gz AOXHGFDZVZIEPX-RDJZCZTQSA-N 0 0 447.579 -0.707 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(C[C@H](C)O)CC3)nc2n(CC)c1=O ZINC000428496078 1072822934 /nfs/dbraw/zinc/82/29/34/1072822934.db2.gz DWWILCHDBUKZCJ-HNNXBMFYSA-N 0 0 432.525 0.482 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C[C@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000428497177 1072823181 /nfs/dbraw/zinc/82/31/81/1072823181.db2.gz HAYYWBNNFWMIEE-INIZCTEOSA-N 0 0 441.550 -0.216 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN(C[C@H](C)O)CC3)c2)CC1 ZINC000428500980 1072824209 /nfs/dbraw/zinc/82/42/09/1072824209.db2.gz SJIKSFBWNKHATH-INIZCTEOSA-N 0 0 438.550 -0.322 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)n2)cc1 ZINC000428501255 1072824311 /nfs/dbraw/zinc/82/43/11/1072824311.db2.gz USEVWTITEKFZJY-UHFFFAOYSA-N 0 0 433.446 0.549 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C[C@H](C)O)CC2)cc1C ZINC000428501574 1072823675 /nfs/dbraw/zinc/82/36/75/1072823675.db2.gz WQMKGZIJKYBFPU-LNLFQRSKSA-N 0 0 425.551 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C[C@H](C)O)CC2)cc1C ZINC000428501578 1072823450 /nfs/dbraw/zinc/82/34/50/1072823450.db2.gz WQMKGZIJKYBFPU-SCTDSRPQSA-N 0 0 425.551 -0.048 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000428503575 1072827529 /nfs/dbraw/zinc/82/75/29/1072827529.db2.gz NABLMZKVZYKWBQ-HNNXBMFYSA-N 0 0 427.523 -0.145 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN(C[C@H](C)O)CC3)cc2)CC1 ZINC000428505238 1072860640 /nfs/dbraw/zinc/86/06/40/1072860640.db2.gz ZGTJMFNCONMCCE-INIZCTEOSA-N 0 0 438.550 -0.322 20 0 IBADRN COc1ccc(C(=O)N2CCN(C[C@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000428507268 1072861190 /nfs/dbraw/zinc/86/11/90/1072861190.db2.gz QCUGYQANNAIJBB-HNNXBMFYSA-N 0 0 427.523 -0.145 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)n2)cc1 ZINC000428507553 1072861177 /nfs/dbraw/zinc/86/11/77/1072861177.db2.gz RABOYUHMTSICJO-UHFFFAOYSA-N 0 0 432.462 0.284 20 0 IBADRN Cn1nnc(CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC000428510255 1072861056 /nfs/dbraw/zinc/86/10/56/1072861056.db2.gz MJGRTSNPHDGJHP-UHFFFAOYSA-N 0 0 439.473 -0.721 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCN1CCO ZINC000428511800 1072861466 /nfs/dbraw/zinc/86/14/66/1072861466.db2.gz CJTXLDWRMBFANF-KMFMINBZSA-N 0 0 431.942 -0.011 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCN1CCO ZINC000428511802 1072861806 /nfs/dbraw/zinc/86/18/06/1072861806.db2.gz CJTXLDWRMBFANF-LXZKKBNFSA-N 0 0 431.942 -0.011 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCN1CCO ZINC000428511803 1072861509 /nfs/dbraw/zinc/86/15/09/1072861509.db2.gz CJTXLDWRMBFANF-QRTARXTBSA-N 0 0 431.942 -0.011 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCN1CCO ZINC000428511805 1072861605 /nfs/dbraw/zinc/86/16/05/1072861605.db2.gz CJTXLDWRMBFANF-UNEWFSDZSA-N 0 0 431.942 -0.011 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCn2c(nnc2-c2cccnc2)C1 ZINC000428514097 1072861548 /nfs/dbraw/zinc/86/15/48/1072861548.db2.gz HAJSPYFFAKTDEK-KRWDZBQOSA-N 0 0 425.493 -0.137 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCn2c(nnc2-c2cccnc2)C1 ZINC000428514101 1072862461 /nfs/dbraw/zinc/86/24/61/1072862461.db2.gz HAJSPYFFAKTDEK-QGZVFWFLSA-N 0 0 425.493 -0.137 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)CCSCCC(=O)N2CCN(C[C@H](C)O)CC2)CC1 ZINC000428517888 1072862065 /nfs/dbraw/zinc/86/20/65/1072862065.db2.gz WPRFOWUKOGGYOO-ROUUACIJSA-N 0 0 430.615 -0.450 20 0 IBADRN C[C@H]1CN(C(=O)Cc2ccc(CC(=O)N3CCN(CCO)[C@@H](C)C3)cc2)CCN1CCO ZINC000428518179 1072863217 /nfs/dbraw/zinc/86/32/17/1072863217.db2.gz AAQQZNRGFDZJKB-PMACEKPBSA-N 0 0 446.592 -0.178 20 0 IBADRN C[C@@H]1CN(C(=O)Cc2ccc(CC(=O)N3CCN(CCO)[C@H](C)C3)cc2)CCN1CCO ZINC000428518180 1072863402 /nfs/dbraw/zinc/86/34/02/1072863402.db2.gz AAQQZNRGFDZJKB-WOJBJXKFSA-N 0 0 446.592 -0.178 20 0 IBADRN COc1ccc(C(=O)N2CCN(CCO)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000428519241 1072863325 /nfs/dbraw/zinc/86/33/25/1072863325.db2.gz BSXZLCDVVAZKQK-HNNXBMFYSA-N 0 0 427.523 -0.145 20 0 IBADRN COc1ccc(C(=O)N2CCN(CCO)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000428519244 1072863576 /nfs/dbraw/zinc/86/35/76/1072863576.db2.gz BSXZLCDVVAZKQK-OAHLLOKOSA-N 0 0 427.523 -0.145 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CCO)[C@@H](C)C2)c1 ZINC000428521195 1072864200 /nfs/dbraw/zinc/86/42/00/1072864200.db2.gz ZSRNDLSUOKVRCG-INIZCTEOSA-N 0 0 440.566 -0.028 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CCO)[C@H](C)C2)c1 ZINC000428521196 1072863848 /nfs/dbraw/zinc/86/38/48/1072863848.db2.gz ZSRNDLSUOKVRCG-MRXNPFEDSA-N 0 0 440.566 -0.028 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN(CCO)[C@@H](C)C3)cc2)CC1 ZINC000428522434 1072864101 /nfs/dbraw/zinc/86/41/01/1072864101.db2.gz MNJQQXDUVAOBCD-INIZCTEOSA-N 0 0 438.550 -0.322 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN(CCO)[C@H](C)C3)cc2)CC1 ZINC000428522436 1072864017 /nfs/dbraw/zinc/86/40/17/1072864017.db2.gz MNJQQXDUVAOBCD-MRXNPFEDSA-N 0 0 438.550 -0.322 20 0 IBADRN Cc1c2cc(C(=O)NCc3nnn(C)n3)cnc2sc1C(=O)NCc1nnn(C)n1 ZINC000428522508 1072864059 /nfs/dbraw/zinc/86/40/59/1072864059.db2.gz ZRJRQCCUWOUMDA-UHFFFAOYSA-N 0 0 427.454 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000428523303 1072864619 /nfs/dbraw/zinc/86/46/19/1072864619.db2.gz PRJHTCSNTVRLMN-HNNXBMFYSA-N 0 0 427.523 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000428523304 1072864577 /nfs/dbraw/zinc/86/45/77/1072864577.db2.gz PRJHTCSNTVRLMN-OAHLLOKOSA-N 0 0 427.523 -0.145 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCN1CCO ZINC000428525638 1072864569 /nfs/dbraw/zinc/86/45/69/1072864569.db2.gz FEAGXNQTSJFTAN-DOTOQJQBSA-N 0 0 447.579 -0.707 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCN1CCO ZINC000428525641 1072864784 /nfs/dbraw/zinc/86/47/84/1072864784.db2.gz FEAGXNQTSJFTAN-NVXWUHKLSA-N 0 0 447.579 -0.707 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCN1CCO ZINC000428525644 1072864607 /nfs/dbraw/zinc/86/46/07/1072864607.db2.gz FEAGXNQTSJFTAN-RDJZCZTQSA-N 0 0 447.579 -0.707 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCN1CCO ZINC000428525647 1072864515 /nfs/dbraw/zinc/86/45/15/1072864515.db2.gz FEAGXNQTSJFTAN-WBVHZDCISA-N 0 0 447.579 -0.707 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CCO)[C@H](C)C2)cc1C ZINC000428526070 1072865236 /nfs/dbraw/zinc/86/52/36/1072865236.db2.gz GQSDSZKQAWWLDG-AOIWGVFYSA-N 0 0 425.551 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CCO)[C@H](C)C2)cc1C ZINC000428526071 1072865161 /nfs/dbraw/zinc/86/51/61/1072865161.db2.gz GQSDSZKQAWWLDG-LMMKCTJWSA-N 0 0 425.551 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CCO)[C@@H](C)C2)cc1C ZINC000428526072 1072865284 /nfs/dbraw/zinc/86/52/84/1072865284.db2.gz GQSDSZKQAWWLDG-LNLFQRSKSA-N 0 0 425.551 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CCO)[C@@H](C)C2)cc1C ZINC000428526073 1072865104 /nfs/dbraw/zinc/86/51/04/1072865104.db2.gz GQSDSZKQAWWLDG-SCTDSRPQSA-N 0 0 425.551 -0.048 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(CCO)[C@@H](C)C3)nc2n(CC)c1=O ZINC000428528485 1072865940 /nfs/dbraw/zinc/86/59/40/1072865940.db2.gz PLMNVUJULCONJQ-HNNXBMFYSA-N 0 0 432.525 0.482 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(CCO)[C@H](C)C3)nc2n(CC)c1=O ZINC000428528486 1072865856 /nfs/dbraw/zinc/86/58/56/1072865856.db2.gz PLMNVUJULCONJQ-OAHLLOKOSA-N 0 0 432.525 0.482 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CCO)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000428528894 1072866046 /nfs/dbraw/zinc/86/60/46/1072866046.db2.gz RPEGYCMQBCUDQY-INIZCTEOSA-N 0 0 441.550 -0.216 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CCO)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000428528896 1072865989 /nfs/dbraw/zinc/86/59/89/1072865989.db2.gz RPEGYCMQBCUDQY-MRXNPFEDSA-N 0 0 441.550 -0.216 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN(CCO)[C@@H](C)C3)c2)CC1 ZINC000428529089 1072866613 /nfs/dbraw/zinc/86/66/13/1072866613.db2.gz SNFZYGTWKLDMIG-INIZCTEOSA-N 0 0 438.550 -0.322 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN(CCO)[C@H](C)C3)c2)CC1 ZINC000428529091 1072866372 /nfs/dbraw/zinc/86/63/72/1072866372.db2.gz SNFZYGTWKLDMIG-MRXNPFEDSA-N 0 0 438.550 -0.322 20 0 IBADRN Cn1nnc(CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2cccc(C(F)(F)F)c2)n1 ZINC000428529677 1072866602 /nfs/dbraw/zinc/86/66/02/1072866602.db2.gz HRJUXBPKQOZACF-LLVKDONJSA-N 0 0 449.415 -0.542 20 0 IBADRN Cn1nnc(CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2cccc(C(F)(F)F)c2)n1 ZINC000428529679 1072866464 /nfs/dbraw/zinc/86/64/64/1072866464.db2.gz HRJUXBPKQOZACF-NSHDSACASA-N 0 0 449.415 -0.542 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000428531931 1072866515 /nfs/dbraw/zinc/86/65/15/1072866515.db2.gz LFODOSZFTQHLIV-DOTOQJQBSA-N 0 0 441.550 -0.247 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000428531934 1072866299 /nfs/dbraw/zinc/86/62/99/1072866299.db2.gz LFODOSZFTQHLIV-NVXWUHKLSA-N 0 0 441.550 -0.247 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000428531936 1072866668 /nfs/dbraw/zinc/86/66/68/1072866668.db2.gz LFODOSZFTQHLIV-RDJZCZTQSA-N 0 0 441.550 -0.247 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000428531939 1072866279 /nfs/dbraw/zinc/86/62/79/1072866279.db2.gz LFODOSZFTQHLIV-WBVHZDCISA-N 0 0 441.550 -0.247 20 0 IBADRN C[C@@H]1CN(C(=O)CCSCCC(=O)N2CCN(CCO)[C@@H](C)C2)CCN1CCO ZINC000428536893 1072868061 /nfs/dbraw/zinc/86/80/61/1072868061.db2.gz KFWSIQIWQAYUQP-HDICACEKSA-N 0 0 430.615 -0.450 20 0 IBADRN C[C@@H]1CN(C(=O)CCSCCC(=O)N2CCN(CCO)[C@H](C)C2)CCN1CCO ZINC000428536896 1072868296 /nfs/dbraw/zinc/86/82/96/1072868296.db2.gz KFWSIQIWQAYUQP-QZTJIDSGSA-N 0 0 430.615 -0.450 20 0 IBADRN C[C@H]1CN(C(=O)CCSCCC(=O)N2CCN(CCO)[C@@H](C)C2)CCN1CCO ZINC000428536899 1072868812 /nfs/dbraw/zinc/86/88/12/1072868812.db2.gz KFWSIQIWQAYUQP-ROUUACIJSA-N 0 0 430.615 -0.450 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CCN1CCO ZINC000428542986 1072870829 /nfs/dbraw/zinc/87/08/29/1072870829.db2.gz YLFFUAFYECNUCO-INIZCTEOSA-N 0 0 440.566 -0.088 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CCN1CCO ZINC000428542990 1072871114 /nfs/dbraw/zinc/87/11/14/1072871114.db2.gz YLFFUAFYECNUCO-MRXNPFEDSA-N 0 0 440.566 -0.088 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000428550404 1072873936 /nfs/dbraw/zinc/87/39/36/1072873936.db2.gz RNZZCEUEIJPTDC-UHFFFAOYSA-N 0 0 441.963 -0.250 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000428560050 1072877244 /nfs/dbraw/zinc/87/72/44/1072877244.db2.gz OZGYQEZQIVFTEQ-UHFFFAOYSA-N 0 0 441.558 -0.748 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000428564986 1072878705 /nfs/dbraw/zinc/87/87/05/1072878705.db2.gz JMMIDIKWCCBFGE-UHFFFAOYSA-N 0 0 426.543 -0.533 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCc1cccc(C(=O)N2CCOCC2)c1 ZINC000428566119 1072892706 /nfs/dbraw/zinc/89/27/06/1072892706.db2.gz KOYJUSFCUOUNNV-UHFFFAOYSA-N 0 0 427.527 -0.209 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NCCNS(=O)(=O)NC(C)C)C2=O)cc1 ZINC000428566539 1072891240 /nfs/dbraw/zinc/89/12/40/1072891240.db2.gz MHBMFRDYMNUJTM-INIZCTEOSA-N 0 0 427.527 -0.072 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NCCNS(=O)(=O)NC(C)C)C2=O)cc1 ZINC000428566542 1072891781 /nfs/dbraw/zinc/89/17/81/1072891781.db2.gz MHBMFRDYMNUJTM-MRXNPFEDSA-N 0 0 427.527 -0.072 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000428569030 1072895339 /nfs/dbraw/zinc/89/53/39/1072895339.db2.gz FNELVQPHPREUFA-UHFFFAOYSA-N 0 0 440.570 -0.143 20 0 IBADRN COC(=O)[C@@](C)(NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000428571780 1072953254 /nfs/dbraw/zinc/95/32/54/1072953254.db2.gz KYPMCAKMUAICGY-KRWDZBQOSA-N 0 0 426.426 -0.264 20 0 IBADRN COC(=O)[C@](C)(NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000428571785 1072953290 /nfs/dbraw/zinc/95/32/90/1072953290.db2.gz KYPMCAKMUAICGY-QGZVFWFLSA-N 0 0 426.426 -0.264 20 0 IBADRN CN(CCCNC(=O)C1CCC1)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000428578909 1072957079 /nfs/dbraw/zinc/95/70/79/1072957079.db2.gz FLPSTXALXAIMSL-UHFFFAOYSA-N 0 0 445.586 -0.024 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000428582193 1072958457 /nfs/dbraw/zinc/95/84/57/1072958457.db2.gz NESCPOLXMWXGKZ-CYBMUJFWSA-N 0 0 428.486 -0.119 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000428582200 1072958609 /nfs/dbraw/zinc/95/86/09/1072958609.db2.gz NESCPOLXMWXGKZ-ZDUSSCGKSA-N 0 0 428.486 -0.119 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(C)C)C(=O)C2)s1 ZINC000428584974 1072958488 /nfs/dbraw/zinc/95/84/88/1072958488.db2.gz WWFYVYSHDGFFMZ-UHFFFAOYSA-N 0 0 430.552 -0.011 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000428587130 1072958969 /nfs/dbraw/zinc/95/89/69/1072958969.db2.gz QIZAEYFJVRNVPR-INIZCTEOSA-N 0 0 427.575 -0.276 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1 ZINC000428587131 1072958914 /nfs/dbraw/zinc/95/89/14/1072958914.db2.gz QIZAEYFJVRNVPR-MRXNPFEDSA-N 0 0 427.575 -0.276 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000428613174 1072960138 /nfs/dbraw/zinc/96/01/38/1072960138.db2.gz AFSDTTVOMOGYQX-UHFFFAOYSA-N 0 0 446.618 -0.158 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000428625530 1072960618 /nfs/dbraw/zinc/96/06/18/1072960618.db2.gz QVCALYWYVHSHCP-HNNXBMFYSA-N 0 0 427.527 -0.202 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000428625533 1072960467 /nfs/dbraw/zinc/96/04/67/1072960467.db2.gz QVCALYWYVHSHCP-OAHLLOKOSA-N 0 0 427.527 -0.202 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC000428631683 1072960624 /nfs/dbraw/zinc/96/06/24/1072960624.db2.gz RBELZGBKKCTRAU-UHFFFAOYSA-N 0 0 441.558 -0.585 20 0 IBADRN CNC(=O)[C@@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(C)C ZINC000428650277 1072962541 /nfs/dbraw/zinc/96/25/41/1072962541.db2.gz NQIYEIJZDIGPQU-INIZCTEOSA-N 0 0 426.543 -0.397 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)NCCNS(=O)(=O)NC(C)C)CC1 ZINC000428650280 1072962478 /nfs/dbraw/zinc/96/24/78/1072962478.db2.gz NRYRQNZDCWZTFC-UHFFFAOYSA-N 0 0 440.570 -0.013 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000428658599 1072962572 /nfs/dbraw/zinc/96/25/72/1072962572.db2.gz XPJXGRMYHQZWAH-UHFFFAOYSA-N 0 0 444.492 -0.886 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC(NC(=O)C4CC4)CC3)nc2n(C)c1=O ZINC000428660940 1072962491 /nfs/dbraw/zinc/96/24/91/1072962491.db2.gz PPRLWPLNZRPVDV-UHFFFAOYSA-N 0 0 428.493 0.508 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)cn1 ZINC000428662639 1072963121 /nfs/dbraw/zinc/96/31/21/1072963121.db2.gz CNVAMSWEDNYIPP-UHFFFAOYSA-N 0 0 425.442 -0.051 20 0 IBADRN COc1nc(N2CC[C@@H](C)S(=O)(=O)CC2)nc(N2CC[C@H](C)S(=O)(=O)CC2)n1 ZINC000428665965 1072963037 /nfs/dbraw/zinc/96/30/37/1072963037.db2.gz GSGOVHSVTXHXCT-BETUJISGSA-N 0 0 433.556 -0.093 20 0 IBADRN COc1nc(N2CC[C@@H](C)S(=O)(=O)CC2)nc(N2CC[C@@H](C)S(=O)(=O)CC2)n1 ZINC000428665967 1072963096 /nfs/dbraw/zinc/96/30/96/1072963096.db2.gz GSGOVHSVTXHXCT-CHWSQXEVSA-N 0 0 433.556 -0.093 20 0 IBADRN COc1nc(N2CC[C@H](C)S(=O)(=O)CC2)nc(N2CC[C@H](C)S(=O)(=O)CC2)n1 ZINC000428665969 1072963134 /nfs/dbraw/zinc/96/31/34/1072963134.db2.gz GSGOVHSVTXHXCT-STQMWFEESA-N 0 0 433.556 -0.093 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)o1 ZINC000428666792 1072963641 /nfs/dbraw/zinc/96/36/41/1072963641.db2.gz JEOPCANOSLWCOD-UHFFFAOYSA-N 0 0 435.462 -0.773 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000428672663 1072963785 /nfs/dbraw/zinc/96/37/85/1072963785.db2.gz CMTBDKRKRKGHRB-UHFFFAOYSA-N 0 0 428.486 -0.186 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000428683829 1072964729 /nfs/dbraw/zinc/96/47/29/1072964729.db2.gz TURHNKIECBDBCF-UHFFFAOYSA-N 0 0 439.538 -0.609 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC000428684905 1072964753 /nfs/dbraw/zinc/96/47/53/1072964753.db2.gz POTJGQFXIBDJKS-LLVKDONJSA-N 0 0 430.449 -0.653 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC000428684914 1072964800 /nfs/dbraw/zinc/96/48/00/1072964800.db2.gz POTJGQFXIBDJKS-NSHDSACASA-N 0 0 430.449 -0.653 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[C@@H](C(=O)NCC(F)(F)F)C2)cn1C ZINC000428686202 1072964101 /nfs/dbraw/zinc/96/41/01/1072964101.db2.gz SYVBGFCQXYGPRH-LLVKDONJSA-N 0 0 425.433 -0.076 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[C@H](C(=O)NCC(F)(F)F)C2)cn1C ZINC000428686209 1072964189 /nfs/dbraw/zinc/96/41/89/1072964189.db2.gz SYVBGFCQXYGPRH-NSHDSACASA-N 0 0 425.433 -0.076 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)Cn3ncsc3=O)CC2)c1 ZINC000428697852 1072964744 /nfs/dbraw/zinc/96/47/44/1072964744.db2.gz FLAMSVHBEJYYIU-UHFFFAOYSA-N 0 0 428.492 -0.145 20 0 IBADRN O=C(Cn1ncsc1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000428698277 1072965271 /nfs/dbraw/zinc/96/52/71/1072965271.db2.gz DCZIQRHZJFTELW-UHFFFAOYSA-N 0 0 426.476 -0.391 20 0 IBADRN CCC1(CC)CN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CCS1(=O)=O ZINC000428699319 1072965778 /nfs/dbraw/zinc/96/57/78/1072965778.db2.gz HRLCOAJECQDBHP-UHFFFAOYSA-N 0 0 425.511 -0.360 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000428699871 1072965100 /nfs/dbraw/zinc/96/51/00/1072965100.db2.gz LYFPUVLCBLZLPS-UHFFFAOYSA-N 0 0 432.547 -0.237 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000428702244 1072965179 /nfs/dbraw/zinc/96/51/79/1072965179.db2.gz UUKIOLPHLSEPKH-UHFFFAOYSA-N 0 0 428.563 -0.880 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC3(CC2)CCS(=O)(=O)CC3)c(=O)[nH]c1=O ZINC000428706035 1072965200 /nfs/dbraw/zinc/96/52/00/1072965200.db2.gz HOCLCOXTPZZGLM-UHFFFAOYSA-N 0 0 428.511 -0.349 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC([C@@H]3OCC[C@H]3C(=O)OC)CC2)c(=O)[nH]c1=O ZINC000428723726 1071548887 /nfs/dbraw/zinc/54/88/87/1071548887.db2.gz NVGVCABUOBETSP-CJNGLKHVSA-N 0 0 438.481 -0.350 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000428724054 1071548869 /nfs/dbraw/zinc/54/88/69/1071548869.db2.gz OSRSEPMVJJEJHO-UHFFFAOYSA-N 0 0 429.481 0.399 20 0 IBADRN CCN1CCN(C(=O)Cn2nc(C(=O)N3CCN(CC)C(=O)[C@@H]3C)ccc2=O)[C@H](C)C1=O ZINC000428728218 1071549482 /nfs/dbraw/zinc/54/94/82/1071549482.db2.gz KABYLOAIRCZCLG-CABCVRRESA-N 0 0 446.508 -0.985 20 0 IBADRN CCN1CCN(C(=O)Cn2nc(C(=O)N3CCN(CC)C(=O)[C@@H]3C)ccc2=O)[C@@H](C)C1=O ZINC000428728219 1071549384 /nfs/dbraw/zinc/54/93/84/1071549384.db2.gz KABYLOAIRCZCLG-GJZGRUSLSA-N 0 0 446.508 -0.985 20 0 IBADRN CCN1CCN(C(=O)Cn2nc(C(=O)N3CCN(CC)C(=O)[C@H]3C)ccc2=O)[C@H](C)C1=O ZINC000428728220 1071549372 /nfs/dbraw/zinc/54/93/72/1071549372.db2.gz KABYLOAIRCZCLG-HUUCEWRRSA-N 0 0 446.508 -0.985 20 0 IBADRN CCN1CCN(C(=O)c2ccc(=O)n(CC(=O)N3CCN(CC)C(=O)[C@@H]3C)n2)[C@H](C)C1=O ZINC000428728221 1071549478 /nfs/dbraw/zinc/54/94/78/1071549478.db2.gz KABYLOAIRCZCLG-LSDHHAIUSA-N 0 0 446.508 -0.985 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000428740938 1071554112 /nfs/dbraw/zinc/55/41/12/1071554112.db2.gz NZTKWOZOWCUXOC-FMKPAKJESA-N 0 0 431.511 -0.711 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000428740942 1071554116 /nfs/dbraw/zinc/55/41/16/1071554116.db2.gz NZTKWOZOWCUXOC-LZWOXQAQSA-N 0 0 431.511 -0.711 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC(=O)N2C)cnn1C ZINC000428753644 1071583638 /nfs/dbraw/zinc/58/36/38/1071583638.db2.gz OCQCPAPHQHJFML-PBHICJAKSA-N 0 0 427.465 -0.831 20 0 IBADRN COCCN(C(=O)CN1CCC([C@@H]2OCC[C@H]2C(=O)OC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000428759124 1072412532 /nfs/dbraw/zinc/41/25/32/1072412532.db2.gz NYUVXIAHHFJCKU-LMMKCTJWSA-N 0 0 446.566 -0.061 20 0 IBADRN COCCN(C(=O)CN1CCC([C@@H]2OCC[C@H]2C(=O)OC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000428759128 1072412596 /nfs/dbraw/zinc/41/25/96/1072412596.db2.gz NYUVXIAHHFJCKU-SCTDSRPQSA-N 0 0 446.566 -0.061 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1cccc(C(=O)N2CCSCC2)c1 ZINC000428761443 1072416202 /nfs/dbraw/zinc/41/62/02/1072416202.db2.gz VMUUIJZUQUMZCJ-INIZCTEOSA-N 0 0 431.518 -0.543 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1cccc(C(=O)N2CCSCC2)c1 ZINC000428761444 1072416221 /nfs/dbraw/zinc/41/62/21/1072416221.db2.gz VMUUIJZUQUMZCJ-MRXNPFEDSA-N 0 0 431.518 -0.543 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000428764549 1072418590 /nfs/dbraw/zinc/41/85/90/1072418590.db2.gz GVLMYSHVMCUDDF-UHFFFAOYSA-N 0 0 440.570 -0.294 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000428766666 1072419125 /nfs/dbraw/zinc/41/91/25/1072419125.db2.gz HZJPJKOJECAHRV-INIZCTEOSA-N 0 0 426.543 -0.627 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000428766667 1072419805 /nfs/dbraw/zinc/41/98/05/1072419805.db2.gz HZJPJKOJECAHRV-MRXNPFEDSA-N 0 0 426.543 -0.627 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1cccc(I)c1 ZINC000428767797 1072422109 /nfs/dbraw/zinc/42/21/09/1072422109.db2.gz LLLPKZZUKMIXPG-GFCCVEGCSA-N 0 0 428.230 -0.128 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1cccc(I)c1 ZINC000428767799 1072422033 /nfs/dbraw/zinc/42/20/33/1072422033.db2.gz LLLPKZZUKMIXPG-LBPRGKRZSA-N 0 0 428.230 -0.128 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000428767951 1072421278 /nfs/dbraw/zinc/42/12/78/1072421278.db2.gz LTXGWBJHBFEXPQ-HNNXBMFYSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000428767955 1072421413 /nfs/dbraw/zinc/42/14/13/1072421413.db2.gz LTXGWBJHBFEXPQ-OAHLLOKOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428769441 1072423685 /nfs/dbraw/zinc/42/36/85/1072423685.db2.gz XOEJDXGFDLJBSP-JYJNAYRXSA-N 0 0 437.584 -0.853 20 0 IBADRN CN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428769442 1072423635 /nfs/dbraw/zinc/42/36/35/1072423635.db2.gz XOEJDXGFDLJBSP-OAGGEKHMSA-N 0 0 437.584 -0.853 20 0 IBADRN CN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428769443 1072423622 /nfs/dbraw/zinc/42/36/22/1072423622.db2.gz XOEJDXGFDLJBSP-PMPSAXMXSA-N 0 0 437.584 -0.853 20 0 IBADRN CN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428769444 1072423662 /nfs/dbraw/zinc/42/36/62/1072423662.db2.gz XOEJDXGFDLJBSP-XHSDSOJGSA-N 0 0 437.584 -0.853 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000428774823 1072430224 /nfs/dbraw/zinc/43/02/24/1072430224.db2.gz AEGKTQQUKLJCNE-HNNXBMFYSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000428774825 1072430209 /nfs/dbraw/zinc/43/02/09/1072430209.db2.gz AEGKTQQUKLJCNE-OAHLLOKOSA-N 0 0 437.522 -0.702 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1ccc(I)cc1 ZINC000428781627 1072443069 /nfs/dbraw/zinc/44/30/69/1072443069.db2.gz HHAYEXZCUTXVKQ-GFCCVEGCSA-N 0 0 428.230 -0.128 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000428781905 1072442634 /nfs/dbraw/zinc/44/26/34/1072442634.db2.gz SLZWHDAHHKUUGV-HNNXBMFYSA-N 0 0 435.506 -0.948 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000428781906 1072442426 /nfs/dbraw/zinc/44/24/26/1072442426.db2.gz SLZWHDAHHKUUGV-OAHLLOKOSA-N 0 0 435.506 -0.948 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1ccc(I)cc1 ZINC000428781947 1072443090 /nfs/dbraw/zinc/44/30/90/1072443090.db2.gz HHAYEXZCUTXVKQ-LBPRGKRZSA-N 0 0 428.230 -0.128 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN(C)[C@@H]2CCN(S(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000428782153 1072442642 /nfs/dbraw/zinc/44/26/42/1072442642.db2.gz GAIDWNFNBVVHGO-CYBMUJFWSA-N 0 0 444.558 -0.350 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN(C)[C@H]2CCN(S(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000428782154 1072442541 /nfs/dbraw/zinc/44/25/41/1072442541.db2.gz GAIDWNFNBVVHGO-ZDUSSCGKSA-N 0 0 444.558 -0.350 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@H](C)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000428782266 1072443692 /nfs/dbraw/zinc/44/36/92/1072443692.db2.gz KRHHSKMOXZQYKJ-DZGCQCFKSA-N 0 0 437.522 -0.657 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@@H](C)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000428782267 1072443672 /nfs/dbraw/zinc/44/36/72/1072443672.db2.gz KRHHSKMOXZQYKJ-HIFRSBDPSA-N 0 0 437.522 -0.657 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@@H](C)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000428782268 1072443862 /nfs/dbraw/zinc/44/38/62/1072443862.db2.gz KRHHSKMOXZQYKJ-UKRRQHHQSA-N 0 0 437.522 -0.657 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@H](C)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000428782269 1072443740 /nfs/dbraw/zinc/44/37/40/1072443740.db2.gz KRHHSKMOXZQYKJ-ZFWWWQNUSA-N 0 0 437.522 -0.657 20 0 IBADRN COc1ccc(CCNC(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2)cc1S(N)(=O)=O ZINC000428783491 1072444382 /nfs/dbraw/zinc/44/43/82/1072444382.db2.gz VZJYIPLLSAECJO-KBXCAEBGSA-N 0 0 435.506 -0.046 20 0 IBADRN COc1ccc(CCNC(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2)cc1S(N)(=O)=O ZINC000428783496 1072444258 /nfs/dbraw/zinc/44/42/58/1072444258.db2.gz VZJYIPLLSAECJO-KDOFPFPSSA-N 0 0 435.506 -0.046 20 0 IBADRN COc1ccc(CCNC(=O)[C@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2)cc1S(N)(=O)=O ZINC000428783499 1072444376 /nfs/dbraw/zinc/44/43/76/1072444376.db2.gz VZJYIPLLSAECJO-KSSFIOAISA-N 0 0 435.506 -0.046 20 0 IBADRN COc1ccc(CCNC(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2)cc1S(N)(=O)=O ZINC000428783501 1072445158 /nfs/dbraw/zinc/44/51/58/1072445158.db2.gz VZJYIPLLSAECJO-RDTXWAMCSA-N 0 0 435.506 -0.046 20 0 IBADRN O=C(CNC(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1ccccc1Br ZINC000428785790 1072448719 /nfs/dbraw/zinc/44/87/19/1072448719.db2.gz RCWUEQNYSXXRHI-LLVKDONJSA-N 0 0 438.282 -0.854 20 0 IBADRN O=C(CNC(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1ccccc1Br ZINC000428785794 1072448709 /nfs/dbraw/zinc/44/87/09/1072448709.db2.gz RCWUEQNYSXXRHI-NSHDSACASA-N 0 0 438.282 -0.854 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1cc(C(F)(F)F)ccc1-n1cncn1 ZINC000428786610 1072451022 /nfs/dbraw/zinc/45/10/22/1072451022.db2.gz SGKPZNFSWMRDTQ-GFCCVEGCSA-N 0 0 437.382 -0.133 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1cc(C(F)(F)F)ccc1-n1cncn1 ZINC000428786612 1072451085 /nfs/dbraw/zinc/45/10/85/1072451085.db2.gz SGKPZNFSWMRDTQ-LBPRGKRZSA-N 0 0 437.382 -0.133 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428787865 1072454937 /nfs/dbraw/zinc/45/49/37/1072454937.db2.gz QWIYHEXKWGENFD-GXTWGEPZSA-N 0 0 430.552 -0.423 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428787867 1072455093 /nfs/dbraw/zinc/45/50/93/1072455093.db2.gz QWIYHEXKWGENFD-JSGCOSHPSA-N 0 0 430.552 -0.423 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428787869 1072454856 /nfs/dbraw/zinc/45/48/56/1072454856.db2.gz QWIYHEXKWGENFD-OCCSQVGLSA-N 0 0 430.552 -0.423 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428787871 1072455554 /nfs/dbraw/zinc/45/55/54/1072455554.db2.gz QWIYHEXKWGENFD-TZMCWYRMSA-N 0 0 430.552 -0.423 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428788302 1072457775 /nfs/dbraw/zinc/45/77/75/1072457775.db2.gz RSGMNBPNXFUFMF-INIZCTEOSA-N 0 0 444.579 -0.515 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428788305 1072458646 /nfs/dbraw/zinc/45/86/46/1072458646.db2.gz RSGMNBPNXFUFMF-MRXNPFEDSA-N 0 0 444.579 -0.515 20 0 IBADRN CN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428790355 1072463327 /nfs/dbraw/zinc/46/33/27/1072463327.db2.gz XCJWJYZUOIXTBS-HNNXBMFYSA-N 0 0 449.533 -0.674 20 0 IBADRN CN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428790356 1072463359 /nfs/dbraw/zinc/46/33/59/1072463359.db2.gz XCJWJYZUOIXTBS-OAHLLOKOSA-N 0 0 449.533 -0.674 20 0 IBADRN CCCn1c(CN2CCN3C(=O)C(=O)NC[C@H]3C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000428792334 1072465119 /nfs/dbraw/zinc/46/51/19/1072465119.db2.gz GVISTZASWPFFLH-AWEZNQCLSA-N 0 0 448.549 -0.161 20 0 IBADRN CCCn1c(CN2CCN3C(=O)C(=O)NC[C@@H]3C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000428792339 1072465007 /nfs/dbraw/zinc/46/50/07/1072465007.db2.gz GVISTZASWPFFLH-CQSZACIVSA-N 0 0 448.549 -0.161 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1ccccc1I ZINC000428801711 1072480872 /nfs/dbraw/zinc/48/08/72/1072480872.db2.gz BVLPUUXVRKMQBG-JTQLQIEISA-N 0 0 428.230 -0.128 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1ccccc1I ZINC000428801713 1072480848 /nfs/dbraw/zinc/48/08/48/1072480848.db2.gz BVLPUUXVRKMQBG-SNVBAGLBSA-N 0 0 428.230 -0.128 20 0 IBADRN NC(=O)c1ccc(CN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C2CC2)c(F)c1 ZINC000428805497 1072491982 /nfs/dbraw/zinc/49/19/82/1072491982.db2.gz WMGDGNJSVPLBAS-CYBMUJFWSA-N 0 0 426.470 -0.098 20 0 IBADRN NC(=O)c1ccc(CN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C2CC2)c(F)c1 ZINC000428805505 1072492015 /nfs/dbraw/zinc/49/20/15/1072492015.db2.gz WMGDGNJSVPLBAS-ZDUSSCGKSA-N 0 0 426.470 -0.098 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000428809464 1072501480 /nfs/dbraw/zinc/50/14/80/1072501480.db2.gz PYGXQWBYLHUOCP-AWEZNQCLSA-N 0 0 448.567 -0.150 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000428809465 1072501679 /nfs/dbraw/zinc/50/16/79/1072501679.db2.gz PYGXQWBYLHUOCP-CQSZACIVSA-N 0 0 448.567 -0.150 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000428809938 1072501409 /nfs/dbraw/zinc/50/14/09/1072501409.db2.gz RJACCGJTZCCRPJ-GOSISDBHSA-N 0 0 438.550 -0.259 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000428809939 1072501252 /nfs/dbraw/zinc/50/12/52/1072501252.db2.gz RJACCGJTZCCRPJ-SFHVURJKSA-N 0 0 438.550 -0.259 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)CC2=O)cn1 ZINC000428811002 1072505137 /nfs/dbraw/zinc/50/51/37/1072505137.db2.gz ZHOQLVLCVSUJOS-UHFFFAOYSA-N 0 0 440.508 -0.088 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)NCCNS(=O)(=O)NC(C)C)C2=O)c1 ZINC000428821515 1072715590 /nfs/dbraw/zinc/71/55/90/1072715590.db2.gz WSJFQFZPLOOWEO-INIZCTEOSA-N 0 0 443.526 -0.059 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)NCCNS(=O)(=O)NC(C)C)C2=O)c1 ZINC000428821522 1072715486 /nfs/dbraw/zinc/71/54/86/1072715486.db2.gz WSJFQFZPLOOWEO-MRXNPFEDSA-N 0 0 443.526 -0.059 20 0 IBADRN Cn1nnc(CNC(=O)c2cc(-c3ccc4c(c3)OCO4)nc3c2c(=O)[nH]c(=O)n3C)n1 ZINC000428832913 1073132985 /nfs/dbraw/zinc/13/29/85/1073132985.db2.gz YGWQYYGIVDFRHB-UHFFFAOYSA-N 0 0 436.388 -0.117 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)CC2=O)cn1 ZINC000428833411 1073134699 /nfs/dbraw/zinc/13/46/99/1073134699.db2.gz QKDQVTGTKLHFIP-UHFFFAOYSA-N 0 0 441.496 -0.693 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)NCCNS(=O)(=O)NC(C)C)C1=O ZINC000428834660 1073139817 /nfs/dbraw/zinc/13/98/17/1073139817.db2.gz NTKDPTYWQJGKCL-GFCCVEGCSA-N 0 0 447.539 -0.228 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)NCCNS(=O)(=O)NC(C)C)C1=O ZINC000428834665 1073139782 /nfs/dbraw/zinc/13/97/82/1073139782.db2.gz NTKDPTYWQJGKCL-LBPRGKRZSA-N 0 0 447.539 -0.228 20 0 IBADRN Cn1nnc(CNC(=O)CC[C@H](Cc2ccc(Cl)cc2)C(=O)NCc2nnn(C)n2)n1 ZINC000428836124 1073144315 /nfs/dbraw/zinc/14/43/15/1073144315.db2.gz BAJAOBFOTSJKGM-CYBMUJFWSA-N 0 0 446.903 -0.041 20 0 IBADRN Cn1nnc(CNC(=O)CC[C@@H](Cc2ccc(Cl)cc2)C(=O)NCc2nnn(C)n2)n1 ZINC000428836127 1073144903 /nfs/dbraw/zinc/14/49/03/1073144903.db2.gz BAJAOBFOTSJKGM-ZDUSSCGKSA-N 0 0 446.903 -0.041 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C)Cc1ncnn1CC(F)F ZINC000428841215 1073148911 /nfs/dbraw/zinc/14/89/11/1073148911.db2.gz QDFUSMQRYBEPDB-JTQLQIEISA-N 0 0 430.437 -0.033 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C)Cc1ncnn1CC(F)F ZINC000428841221 1073148967 /nfs/dbraw/zinc/14/89/67/1073148967.db2.gz QDFUSMQRYBEPDB-SNVBAGLBSA-N 0 0 430.437 -0.033 20 0 IBADRN CS(=O)(=O)CC[C@@H]1CCCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000428844095 1073152518 /nfs/dbraw/zinc/15/25/18/1073152518.db2.gz XRRLHSBACJNDID-DLBZAZTESA-N 0 0 435.612 -0.393 20 0 IBADRN CS(=O)(=O)CC[C@H]1CCCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000428844121 1073152558 /nfs/dbraw/zinc/15/25/58/1073152558.db2.gz XRRLHSBACJNDID-IAGOWNOFSA-N 0 0 435.612 -0.393 20 0 IBADRN CS(=O)(=O)CC[C@@H]1CCCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000428844123 1073152484 /nfs/dbraw/zinc/15/24/84/1073152484.db2.gz XRRLHSBACJNDID-IRXDYDNUSA-N 0 0 435.612 -0.393 20 0 IBADRN CS(=O)(=O)CC[C@H]1CCCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000428844126 1073152493 /nfs/dbraw/zinc/15/24/93/1073152493.db2.gz XRRLHSBACJNDID-SJORKVTESA-N 0 0 435.612 -0.393 20 0 IBADRN Cn1nnc(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)c(Cl)c2)n1 ZINC000428846101 1073164158 /nfs/dbraw/zinc/16/41/58/1073164158.db2.gz OURDLXYRZICJGJ-PELKAZGASA-N 0 0 435.293 -0.043 20 0 IBADRN Cn1nnc(CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)c(Cl)c2)n1 ZINC000428846104 1073163957 /nfs/dbraw/zinc/16/39/57/1073163957.db2.gz OURDLXYRZICJGJ-UFBFGSQYSA-N 0 0 435.293 -0.043 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)Nc2cnn(CC(=O)NCCO)c2)cc1 ZINC000428846766 1073213733 /nfs/dbraw/zinc/21/37/33/1073213733.db2.gz DDPCGQUPTFEBGS-UHFFFAOYSA-N 0 0 440.482 -0.440 20 0 IBADRN CN(Cc1ncnn1CC(F)F)C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000428846868 1073197324 /nfs/dbraw/zinc/19/73/24/1073197324.db2.gz SDEDIWGKIGJQTA-UHFFFAOYSA-N 0 0 436.423 0.506 20 0 IBADRN CCC1(CC)CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CCS1(=O)=O ZINC000428848463 1073224434 /nfs/dbraw/zinc/22/44/34/1073224434.db2.gz IACUMEBKDJERSZ-UHFFFAOYSA-N 0 0 425.573 -0.364 20 0 IBADRN CS(=O)(=O)CC[C@H]1CCCCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000428849760 1072876445 /nfs/dbraw/zinc/87/64/45/1072876445.db2.gz JYVKXLGYKOJWOV-GOSISDBHSA-N 0 0 444.598 -0.721 20 0 IBADRN CS(=O)(=O)CC[C@@H]1CCCCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000428849761 1072876593 /nfs/dbraw/zinc/87/65/93/1072876593.db2.gz JYVKXLGYKOJWOV-SFHVURJKSA-N 0 0 444.598 -0.721 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)ccc21 ZINC000428850908 1073224407 /nfs/dbraw/zinc/22/44/07/1073224407.db2.gz ONXFOXTZHGYJOX-UHFFFAOYSA-N 0 0 449.489 -0.044 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)Nc3cnn(CC(=O)NCCO)c3)cn2)C[C@H](C)O1 ZINC000428852521 1073224890 /nfs/dbraw/zinc/22/48/90/1073224890.db2.gz VJLFGGOYQYPKPW-KBPBESRZSA-N 0 0 448.484 -0.532 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Nc3cnn(CC(=O)NCCO)c3)cn2)C[C@H](C)O1 ZINC000428852522 1073224983 /nfs/dbraw/zinc/22/49/83/1073224983.db2.gz VJLFGGOYQYPKPW-OKILXGFUSA-N 0 0 448.484 -0.532 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Nc3cnn(CC(=O)NCCO)c3)cn2)C[C@@H](C)O1 ZINC000428852523 1073225260 /nfs/dbraw/zinc/22/52/60/1073225260.db2.gz VJLFGGOYQYPKPW-ZIAGYGMSSA-N 0 0 448.484 -0.532 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](C)S(=O)(=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000428867761 1073226204 /nfs/dbraw/zinc/22/62/04/1073226204.db2.gz NJFOBWSFAYXKHO-APPDUMDISA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](C)S(=O)(=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000428867766 1073226223 /nfs/dbraw/zinc/22/62/23/1073226223.db2.gz NJFOBWSFAYXKHO-DIFFPNOSSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](C)S(=O)(=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000428867768 1073226121 /nfs/dbraw/zinc/22/61/21/1073226121.db2.gz NJFOBWSFAYXKHO-GTNSWQLSSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](C)S(=O)(=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000428867769 1073226156 /nfs/dbraw/zinc/22/61/56/1073226156.db2.gz NJFOBWSFAYXKHO-PIGZYNQJSA-N 0 0 445.519 -0.053 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000428874937 1073226684 /nfs/dbraw/zinc/22/66/84/1073226684.db2.gz BTMHMIDPLIAQCO-UHFFFAOYSA-N 0 0 437.565 -0.546 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000428880598 1073227507 /nfs/dbraw/zinc/22/75/07/1073227507.db2.gz IJTSXTPVWBTIFT-LBPRGKRZSA-N 0 0 447.535 -0.478 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2CN(S(C)(=O)=O)C2)cc1C ZINC000428880936 1073227384 /nfs/dbraw/zinc/22/73/84/1073227384.db2.gz JOXWHXIPPTVMKB-RDJZCZTQSA-N 0 0 445.563 -0.565 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2CN(S(C)(=O)=O)C2)cc1C ZINC000428880938 1073227443 /nfs/dbraw/zinc/22/74/43/1073227443.db2.gz JOXWHXIPPTVMKB-WBVHZDCISA-N 0 0 445.563 -0.565 20 0 IBADRN O=C(Cn1cc(NC(=O)Nc2ccccc2OCC(=O)N2CCOCC2)cn1)NCCO ZINC000428890203 1073228505 /nfs/dbraw/zinc/22/85/05/1073228505.db2.gz SUKQXHBBMNUTKI-UHFFFAOYSA-N 0 0 446.464 -0.127 20 0 IBADRN COc1cc(NC(=O)CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc(OC)c1 ZINC000428890260 1073228523 /nfs/dbraw/zinc/22/85/23/1073228523.db2.gz YWTKPVHSZUVVGM-UHFFFAOYSA-N 0 0 446.464 0.519 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)NCC2CN(S(C)(=O)=O)C2)CCCC1 ZINC000428891903 1073228554 /nfs/dbraw/zinc/22/85/54/1073228554.db2.gz ZKPWYZRSQLHQLC-UHFFFAOYSA-N 0 0 434.540 -0.110 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000428916910 1073231542 /nfs/dbraw/zinc/23/15/42/1073231542.db2.gz HYVYIMHTTROEGP-HNNXBMFYSA-N 0 0 436.538 -0.404 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000428916911 1073231422 /nfs/dbraw/zinc/23/14/22/1073231422.db2.gz HYVYIMHTTROEGP-OAHLLOKOSA-N 0 0 436.538 -0.404 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000428923565 1073232027 /nfs/dbraw/zinc/23/20/27/1073232027.db2.gz RHNPJJRJXFCRED-INIZCTEOSA-N 0 0 438.554 -0.158 20 0 IBADRN CC(C)N1C[C@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000428923569 1073232004 /nfs/dbraw/zinc/23/20/04/1073232004.db2.gz RHNPJJRJXFCRED-MRXNPFEDSA-N 0 0 438.554 -0.158 20 0 IBADRN CC(C)(C)N1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000428931372 1073231901 /nfs/dbraw/zinc/23/19/01/1073231901.db2.gz HPAQDJYSIAOUQR-GFCCVEGCSA-N 0 0 441.510 -0.206 20 0 IBADRN CC(C)(C)N1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000428931373 1073231877 /nfs/dbraw/zinc/23/18/77/1073231877.db2.gz HPAQDJYSIAOUQR-LBPRGKRZSA-N 0 0 441.510 -0.206 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)CC2)o1 ZINC000428936491 1073232824 /nfs/dbraw/zinc/23/28/24/1073232824.db2.gz RMGOZZCGBBHEJO-LLVKDONJSA-N 0 0 425.467 -0.842 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N(C4CC4)C3)CC2)o1 ZINC000428936492 1073232703 /nfs/dbraw/zinc/23/27/03/1073232703.db2.gz RMGOZZCGBBHEJO-NSHDSACASA-N 0 0 425.467 -0.842 20 0 IBADRN CC(C)N1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000428936585 1073232731 /nfs/dbraw/zinc/23/27/31/1073232731.db2.gz RZQNQHIWDMOQCG-GFCCVEGCSA-N 0 0 427.483 -0.596 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000428936588 1073232743 /nfs/dbraw/zinc/23/27/43/1073232743.db2.gz RZQNQHIWDMOQCG-LBPRGKRZSA-N 0 0 427.483 -0.596 20 0 IBADRN COCCN(C(=O)CN1CCC2(CC1)CCS(=O)(=O)CC2)[C@H]1CCS(=O)(=O)C1 ZINC000428938202 1073232867 /nfs/dbraw/zinc/23/28/67/1073232867.db2.gz IKNKORQCHKHYSM-INIZCTEOSA-N 0 0 436.596 -0.061 20 0 IBADRN COCCN(C(=O)CN1CCC2(CC1)CCS(=O)(=O)CC2)[C@@H]1CCS(=O)(=O)C1 ZINC000428938204 1073232830 /nfs/dbraw/zinc/23/28/30/1073232830.db2.gz IKNKORQCHKHYSM-MRXNPFEDSA-N 0 0 436.596 -0.061 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000428939250 1073232673 /nfs/dbraw/zinc/23/26/73/1073232673.db2.gz YAPWURJNCPCRDF-AGIUHOORSA-N 0 0 439.494 -0.596 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000428939251 1073232859 /nfs/dbraw/zinc/23/28/59/1073232859.db2.gz YAPWURJNCPCRDF-FRRDWIJNSA-N 0 0 439.494 -0.596 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000428939252 1073232885 /nfs/dbraw/zinc/23/28/85/1073232885.db2.gz YAPWURJNCPCRDF-JHJVBQTASA-N 0 0 439.494 -0.596 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000428939253 1073232695 /nfs/dbraw/zinc/23/26/95/1073232695.db2.gz YAPWURJNCPCRDF-UPJWGTAASA-N 0 0 439.494 -0.596 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000428963199 1073234114 /nfs/dbraw/zinc/23/41/14/1073234114.db2.gz DFSDXWPTNUGCMV-UHFFFAOYSA-N 0 0 433.556 -0.142 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)NCCNS(=O)(=O)NC(C)C)C2)nc1 ZINC000428965821 1073234209 /nfs/dbraw/zinc/23/42/09/1073234209.db2.gz IZRBJOXLLCOHTK-UHFFFAOYSA-N 0 0 428.515 -0.422 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)NCCNS(=O)(=O)NC(C)C)CC1 ZINC000428974120 1073234251 /nfs/dbraw/zinc/23/42/51/1073234251.db2.gz ZPJOTSBZGHLVSD-UHFFFAOYSA-N 0 0 427.593 -0.432 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)NC(C)C)c(C)s1 ZINC000428975362 1073234713 /nfs/dbraw/zinc/23/47/13/1073234713.db2.gz HYIIKJUFVJUZKF-UHFFFAOYSA-N 0 0 441.601 -0.225 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCCCN1C(=O)NC2(CCCC2)C1=O ZINC000428975838 1073234674 /nfs/dbraw/zinc/23/46/74/1073234674.db2.gz IFVHMWCJBFCFBJ-UHFFFAOYSA-N 0 0 432.547 -0.237 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCN(C)S(=O)(=O)c1cccs1 ZINC000428977414 1073234731 /nfs/dbraw/zinc/23/47/31/1073234731.db2.gz MZVOYOLUAHURGB-UHFFFAOYSA-N 0 0 427.574 -0.500 20 0 IBADRN CC[C@H](NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCOCC1 ZINC000428985403 1073234698 /nfs/dbraw/zinc/23/46/98/1073234698.db2.gz ZYOPKSLAYSPECS-KRWDZBQOSA-N 0 0 431.493 -0.305 20 0 IBADRN CC[C@@H](NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCOCC1 ZINC000428985404 1073234680 /nfs/dbraw/zinc/23/46/80/1073234680.db2.gz ZYOPKSLAYSPECS-QGZVFWFLSA-N 0 0 431.493 -0.305 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000428985433 1073234767 /nfs/dbraw/zinc/23/47/67/1073234767.db2.gz VDCOJOOVTIBYCT-AWEZNQCLSA-N 0 0 439.546 -0.528 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000428985434 1073234690 /nfs/dbraw/zinc/23/46/90/1073234690.db2.gz VDCOJOOVTIBYCT-CQSZACIVSA-N 0 0 439.546 -0.528 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000429001303 1073235196 /nfs/dbraw/zinc/23/51/96/1073235196.db2.gz XRIXGDDVRHDAGZ-UHFFFAOYSA-N 0 0 433.556 -0.142 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)c1ccc(Cl)s1 ZINC000429003057 1073235225 /nfs/dbraw/zinc/23/52/25/1073235225.db2.gz JZIQRPCCXKACBF-UHFFFAOYSA-N 0 0 447.992 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)NC(C)C)s1 ZINC000429009855 1073235677 /nfs/dbraw/zinc/23/56/77/1073235677.db2.gz PVIRTVRRICOBFZ-UHFFFAOYSA-N 0 0 427.574 -0.534 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NC1CCN(S(=O)(=O)C(C)(C)C)CC1 ZINC000429020359 1073236298 /nfs/dbraw/zinc/23/62/98/1073236298.db2.gz LLTDSTQDVZQZLD-UHFFFAOYSA-N 0 0 427.593 -0.289 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429027215 1073236073 /nfs/dbraw/zinc/23/60/73/1073236073.db2.gz ZIUBITDPMTYKML-UHFFFAOYSA-N 0 0 436.556 -0.262 20 0 IBADRN Cn1cnn(CC(=O)Nc2ccc(NC(=O)Cn3ncn(C)c3=O)c3cnccc23)c1=O ZINC000429030300 1073236110 /nfs/dbraw/zinc/23/61/10/1073236110.db2.gz QSNWPGGFQKDKKD-UHFFFAOYSA-N 0 0 437.420 -0.697 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000429043489 1073237103 /nfs/dbraw/zinc/23/71/03/1073237103.db2.gz FXFMLMBTGVSKFJ-KRWDZBQOSA-N 0 0 429.539 -0.059 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000429043493 1073237201 /nfs/dbraw/zinc/23/72/01/1073237201.db2.gz FXFMLMBTGVSKFJ-QGZVFWFLSA-N 0 0 429.539 -0.059 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@H](CS(C)(=O)=O)C2)CC1 ZINC000429046989 1073237180 /nfs/dbraw/zinc/23/71/80/1073237180.db2.gz YCTBQDPPURSYPV-HNNXBMFYSA-N 0 0 448.567 -0.509 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@@H](CS(C)(=O)=O)C2)CC1 ZINC000429046993 1073237302 /nfs/dbraw/zinc/23/73/02/1073237302.db2.gz YCTBQDPPURSYPV-OAHLLOKOSA-N 0 0 448.567 -0.509 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H]2C[N@H+](Cc3ccccc3)CCCO2)CC1 ZINC000429048099 1073237242 /nfs/dbraw/zinc/23/72/42/1073237242.db2.gz YGMOAMFDSNKHQO-IBGZPJMESA-N 0 0 442.524 -0.182 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@@H]2C[N@H+](Cc3ccccc3)CCCO2)CC1 ZINC000429048101 1073237138 /nfs/dbraw/zinc/23/71/38/1073237138.db2.gz YGMOAMFDSNKHQO-LJQANCHMSA-N 0 0 442.524 -0.182 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)Nc2cnn(CC(=O)NCCO)c2)C1 ZINC000429057879 1073237687 /nfs/dbraw/zinc/23/76/87/1073237687.db2.gz BHUPLMVNSXCEDZ-UHFFFAOYSA-N 0 0 436.494 -0.047 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3CC(F)(F)F)CC2)C[C@H](C)O1 ZINC000429060318 1073238029 /nfs/dbraw/zinc/23/80/29/1073238029.db2.gz OTIJQQNSHDYZKF-RYUDHWBXSA-N 0 0 427.453 -0.293 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3CC(F)(F)F)CC2)C[C@H](C)O1 ZINC000429060337 1073237998 /nfs/dbraw/zinc/23/79/98/1073237998.db2.gz OTIJQQNSHDYZKF-TXEJJXNPSA-N 0 0 427.453 -0.293 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3CC(F)(F)F)CC2)C[C@@H](C)O1 ZINC000429060338 1073238174 /nfs/dbraw/zinc/23/81/74/1073238174.db2.gz OTIJQQNSHDYZKF-VXGBXAGGSA-N 0 0 427.453 -0.293 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)NCCNS(=O)(=O)c1ccccc1 ZINC000429065660 1073238610 /nfs/dbraw/zinc/23/86/10/1073238610.db2.gz RKHNIVKDYONBQV-UHFFFAOYSA-N 0 0 432.462 0.477 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)Cc1c(C)nc2nc(SC)nn2c1C ZINC000429088331 1073238870 /nfs/dbraw/zinc/23/88/70/1073238870.db2.gz RUQLTOGOHRBKBR-GFCCVEGCSA-N 0 0 427.508 -0.196 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)Cc1c(C)nc2nc(SC)nn2c1C ZINC000429088332 1073238885 /nfs/dbraw/zinc/23/88/85/1073238885.db2.gz RUQLTOGOHRBKBR-LBPRGKRZSA-N 0 0 427.508 -0.196 20 0 IBADRN O=C(CCC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000429091906 1073239057 /nfs/dbraw/zinc/23/90/57/1073239057.db2.gz SIPHJCQZDTUQSB-UHFFFAOYSA-N 0 0 443.464 -0.325 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000429103276 1073239834 /nfs/dbraw/zinc/23/98/34/1073239834.db2.gz KXIMZIYZKPEXIN-UHFFFAOYSA-N 0 0 437.544 -0.432 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CC2)nc1 ZINC000429111413 1073318056 /nfs/dbraw/zinc/31/80/56/1073318056.db2.gz CILFGHDDAQXNCG-UHFFFAOYSA-N 0 0 438.448 0.225 20 0 IBADRN CCCN(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000429111437 1073240434 /nfs/dbraw/zinc/24/04/34/1073240434.db2.gz CJSKTRUOMBJXMC-UHFFFAOYSA-N 0 0 442.538 -0.266 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000429112209 1073240888 /nfs/dbraw/zinc/24/08/88/1073240888.db2.gz IHYLKAPPYGEAQF-UHFFFAOYSA-N 0 0 439.450 -0.182 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)ccn1 ZINC000429112529 1073240990 /nfs/dbraw/zinc/24/09/90/1073240990.db2.gz BZPOKHAALXSIED-KBPBESRZSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)ccn1 ZINC000429112530 1073240876 /nfs/dbraw/zinc/24/08/76/1073240876.db2.gz BZPOKHAALXSIED-OKILXGFUSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)ccn1 ZINC000429112531 1073240999 /nfs/dbraw/zinc/24/09/99/1073240999.db2.gz BZPOKHAALXSIED-ZIAGYGMSSA-N 0 0 426.495 -0.020 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CC2)CC1 ZINC000429115640 1073311631 /nfs/dbraw/zinc/31/16/31/1073311631.db2.gz PJZAEKBLQPOFOA-UHFFFAOYSA-N 0 0 443.508 0.395 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000429117089 1073243298 /nfs/dbraw/zinc/24/32/98/1073243298.db2.gz PBKFJYYTSCHUNT-CYBMUJFWSA-N 0 0 425.519 -0.823 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000429117093 1073243248 /nfs/dbraw/zinc/24/32/48/1073243248.db2.gz PBKFJYYTSCHUNT-ZDUSSCGKSA-N 0 0 425.519 -0.823 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)cc1 ZINC000429128514 1073243867 /nfs/dbraw/zinc/24/38/67/1073243867.db2.gz BJFGVNKVVXOCAG-UHFFFAOYSA-N 0 0 427.527 -0.005 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000429131936 1073244203 /nfs/dbraw/zinc/24/42/03/1073244203.db2.gz DELREIHNKILFMO-AWEZNQCLSA-N 0 0 436.542 -0.079 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000429131938 1073244215 /nfs/dbraw/zinc/24/42/15/1073244215.db2.gz DELREIHNKILFMO-CQSZACIVSA-N 0 0 436.542 -0.079 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000429134102 1073244781 /nfs/dbraw/zinc/24/47/81/1073244781.db2.gz PZGQJSFZVMYMAF-UHFFFAOYSA-N 0 0 447.492 -0.068 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000429135287 1073244729 /nfs/dbraw/zinc/24/47/29/1073244729.db2.gz NDDYDOSKSIROQT-UHFFFAOYSA-N 0 0 447.583 -0.324 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000429135639 1073245364 /nfs/dbraw/zinc/24/53/64/1073245364.db2.gz NSXLMIVYJKZQDI-UHFFFAOYSA-N 0 0 437.522 -0.661 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000429137571 1073245339 /nfs/dbraw/zinc/24/53/39/1073245339.db2.gz CMAXNOKTAPFIMM-UHFFFAOYSA-N 0 0 446.618 -0.063 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000429137590 1073245249 /nfs/dbraw/zinc/24/52/49/1073245249.db2.gz ZFFSWLJCDINVNO-UHFFFAOYSA-N 0 0 433.556 -0.465 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000429139001 1073245814 /nfs/dbraw/zinc/24/58/14/1073245814.db2.gz WWNAGDVGENSLFD-UHFFFAOYSA-N 0 0 432.591 -0.596 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000429139377 1073245259 /nfs/dbraw/zinc/24/52/59/1073245259.db2.gz FGBIPDUPTGXENL-UHFFFAOYSA-N 0 0 432.591 -0.451 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000429139882 1073245318 /nfs/dbraw/zinc/24/53/18/1073245318.db2.gz IHDCWBLOBCRIEI-UHFFFAOYSA-N 0 0 444.533 -0.076 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000429140655 1073245701 /nfs/dbraw/zinc/24/57/01/1073245701.db2.gz JLAKRXSHWXVYHP-KRWDZBQOSA-N 0 0 441.554 -0.412 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000429140658 1073245652 /nfs/dbraw/zinc/24/56/52/1073245652.db2.gz JLAKRXSHWXVYHP-QGZVFWFLSA-N 0 0 441.554 -0.412 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000429142404 1073245667 /nfs/dbraw/zinc/24/56/67/1073245667.db2.gz MJHVKOVZRKRJKL-UHFFFAOYSA-N 0 0 444.533 -0.076 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000429145238 1073245759 /nfs/dbraw/zinc/24/57/59/1073245759.db2.gz XHGGKHDQFRUQJL-UHFFFAOYSA-N 0 0 440.570 -0.538 20 0 IBADRN CCCN(CC(N)=O)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000429146102 1073245801 /nfs/dbraw/zinc/24/58/01/1073245801.db2.gz WHGLVVFHQDHINR-UHFFFAOYSA-N 0 0 428.511 -0.148 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)c1 ZINC000429146460 1073245710 /nfs/dbraw/zinc/24/57/10/1073245710.db2.gz XLNXJOYQNLUBOY-UHFFFAOYSA-N 0 0 427.527 -0.005 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000429146581 1073246115 /nfs/dbraw/zinc/24/61/15/1073246115.db2.gz YMQBYWFNRZBERQ-CALCHBBNSA-N 0 0 446.618 -0.350 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000429146582 1073246231 /nfs/dbraw/zinc/24/62/31/1073246231.db2.gz YMQBYWFNRZBERQ-IAGOWNOFSA-N 0 0 446.618 -0.350 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000429146583 1073246224 /nfs/dbraw/zinc/24/62/24/1073246224.db2.gz YMQBYWFNRZBERQ-IRXDYDNUSA-N 0 0 446.618 -0.350 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000429147513 1073246169 /nfs/dbraw/zinc/24/61/69/1073246169.db2.gz GAFZVJGRKYGDGV-IBGZPJMESA-N 0 0 429.539 -0.011 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000429147516 1073246155 /nfs/dbraw/zinc/24/61/55/1073246155.db2.gz GAFZVJGRKYGDGV-LJQANCHMSA-N 0 0 429.539 -0.011 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)n1 ZINC000429148259 1073246272 /nfs/dbraw/zinc/24/62/72/1073246272.db2.gz HCSPFULVQCMUPE-AWEZNQCLSA-N 0 0 447.562 -0.173 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)n1 ZINC000429148260 1073246129 /nfs/dbraw/zinc/24/61/29/1073246129.db2.gz HCSPFULVQCMUPE-CQSZACIVSA-N 0 0 447.562 -0.173 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1Cl ZINC000429149427 1073246826 /nfs/dbraw/zinc/24/68/26/1073246826.db2.gz LBJDFCPORGTCOV-UHFFFAOYSA-N 0 0 441.901 -0.216 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)s1 ZINC000429150450 1073246664 /nfs/dbraw/zinc/24/66/64/1073246664.db2.gz OERXRFPNZBDTIH-UHFFFAOYSA-N 0 0 448.571 -0.016 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000429151394 1073246770 /nfs/dbraw/zinc/24/67/70/1073246770.db2.gz RWKRCOWCVZMNLC-UHFFFAOYSA-N 0 0 429.547 -0.596 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000429152947 1073246812 /nfs/dbraw/zinc/24/68/12/1073246812.db2.gz BSONYHGIBLMRCN-LLVKDONJSA-N 0 0 428.558 -0.986 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000429152948 1073246802 /nfs/dbraw/zinc/24/68/02/1073246802.db2.gz BSONYHGIBLMRCN-NSHDSACASA-N 0 0 428.558 -0.986 20 0 IBADRN CCCN(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000429157155 1073249024 /nfs/dbraw/zinc/24/90/24/1073249024.db2.gz MKTQJVYFHALJRR-UHFFFAOYSA-N 0 0 440.522 -0.512 20 0 IBADRN CCCN(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000429160272 1073248934 /nfs/dbraw/zinc/24/89/34/1073248934.db2.gz RYXDHXKNIGJAJU-UHFFFAOYSA-N 0 0 442.538 -0.266 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)cc1 ZINC000429161485 1073248964 /nfs/dbraw/zinc/24/89/64/1073248964.db2.gz VVHHBPCQJQYKTR-UHFFFAOYSA-N 0 0 447.583 -0.157 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)CC1 ZINC000429161872 1073249376 /nfs/dbraw/zinc/24/93/76/1073249376.db2.gz WPBYMZDFUZHRCN-UHFFFAOYSA-N 0 0 446.618 -0.205 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000429163364 1073249440 /nfs/dbraw/zinc/24/94/40/1073249440.db2.gz OGMXDARIEDRKJP-UHFFFAOYSA-N 0 0 445.567 -0.395 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429163383 1073249368 /nfs/dbraw/zinc/24/93/68/1073249368.db2.gz UWVOVZNPSZYWAZ-UHFFFAOYSA-N 0 0 435.572 -0.253 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000429164709 1073249904 /nfs/dbraw/zinc/24/99/04/1073249904.db2.gz XJPHCKXHKIEXII-UHFFFAOYSA-N 0 0 433.556 -0.125 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000429165347 1073249866 /nfs/dbraw/zinc/24/98/66/1073249866.db2.gz ZQYXSZXKXBKMJH-UHFFFAOYSA-N 0 0 429.481 -0.552 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000429168510 1073250289 /nfs/dbraw/zinc/25/02/89/1073250289.db2.gz DHNAYILAGQKRDM-HNNXBMFYSA-N 0 0 432.591 -0.407 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000429168511 1073250230 /nfs/dbraw/zinc/25/02/30/1073250230.db2.gz DHNAYILAGQKRDM-OAHLLOKOSA-N 0 0 432.591 -0.407 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000429171408 1073250335 /nfs/dbraw/zinc/25/03/35/1073250335.db2.gz HAPAKOMNJCBLNM-CYBMUJFWSA-N 0 0 442.502 -0.615 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000429171412 1073250184 /nfs/dbraw/zinc/25/01/84/1073250184.db2.gz HAPAKOMNJCBLNM-ZDUSSCGKSA-N 0 0 442.502 -0.615 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000429178644 1073251061 /nfs/dbraw/zinc/25/10/61/1073251061.db2.gz UPNOVKUDVNCUFD-HNNXBMFYSA-N 0 0 439.604 -0.145 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000429178645 1073251199 /nfs/dbraw/zinc/25/11/99/1073251199.db2.gz UPNOVKUDVNCUFD-OAHLLOKOSA-N 0 0 439.604 -0.145 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000429179019 1073251165 /nfs/dbraw/zinc/25/11/65/1073251165.db2.gz VDBUEULGYYTNDN-UHFFFAOYSA-N 0 0 432.591 -0.405 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000429179051 1073251195 /nfs/dbraw/zinc/25/11/95/1073251195.db2.gz GFNYWIUROJYTON-UHFFFAOYSA-N 0 0 441.510 -0.285 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)nc1 ZINC000429180678 1073251642 /nfs/dbraw/zinc/25/16/42/1073251642.db2.gz JLGRIRLTJSLZJX-UHFFFAOYSA-N 0 0 442.542 -0.078 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)C2)no1 ZINC000429182950 1071394513 /nfs/dbraw/zinc/39/45/13/1071394513.db2.gz PVECRXBLRAKQKB-AWEZNQCLSA-N 0 0 445.546 -0.403 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)C2)no1 ZINC000429182951 1071394607 /nfs/dbraw/zinc/39/46/07/1071394607.db2.gz PVECRXBLRAKQKB-CQSZACIVSA-N 0 0 445.546 -0.403 20 0 IBADRN O=C(NC1CCN(c2cccnn2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000429184130 1073253060 /nfs/dbraw/zinc/25/30/60/1073253060.db2.gz PPDOZYNFESAQBE-UHFFFAOYSA-N 0 0 431.497 -0.842 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)NCCNS(=O)(=O)NC(C)C)cn1 ZINC000429185111 1073252534 /nfs/dbraw/zinc/25/25/34/1073252534.db2.gz UUBZYEUHGKJSMF-AWEZNQCLSA-N 0 0 436.560 -0.784 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)NCCNS(=O)(=O)NC(C)C)cn1 ZINC000429185113 1073252523 /nfs/dbraw/zinc/25/25/23/1073252523.db2.gz UUBZYEUHGKJSMF-CQSZACIVSA-N 0 0 436.560 -0.784 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCNS(=O)(=O)NC(C)C)C2)cc1 ZINC000429185311 1073252662 /nfs/dbraw/zinc/25/26/62/1073252662.db2.gz CPFCYRRXDHMMIU-UHFFFAOYSA-N 0 0 449.555 -0.800 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429188224 1073254008 /nfs/dbraw/zinc/25/40/08/1073254008.db2.gz MJNLUHHSYZAHOA-UHFFFAOYSA-N 0 0 429.499 -0.067 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000429188431 1073253874 /nfs/dbraw/zinc/25/38/74/1073253874.db2.gz NAFSFYCMISDKSZ-UHFFFAOYSA-N 0 0 446.618 -0.159 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)c1 ZINC000429190089 1073255372 /nfs/dbraw/zinc/25/53/72/1073255372.db2.gz MDNQCICSSCCRFC-UHFFFAOYSA-N 0 0 441.554 -0.076 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000429190756 1073255823 /nfs/dbraw/zinc/25/58/23/1073255823.db2.gz FNBMXAALLCENRR-UHFFFAOYSA-N 0 0 447.583 -0.029 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000429190827 1073255799 /nfs/dbraw/zinc/25/57/99/1073255799.db2.gz VLTUCASVWNPKOR-UHFFFAOYSA-N 0 0 445.517 -0.109 20 0 IBADRN O=C(NC1CCN(c2cccnn2)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000429190894 1073255934 /nfs/dbraw/zinc/25/59/34/1073255934.db2.gz VYKPDKFXQRYLLR-UHFFFAOYSA-N 0 0 439.542 -0.650 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000429191073 1073255295 /nfs/dbraw/zinc/25/52/95/1073255295.db2.gz BUQQMJXMXBXELD-UHFFFAOYSA-N 0 0 433.556 -0.190 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000429192324 1073255713 /nfs/dbraw/zinc/25/57/13/1073255713.db2.gz HKCSLJZUPMYHHP-INIZCTEOSA-N 0 0 432.591 -0.453 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000429192326 1073255916 /nfs/dbraw/zinc/25/59/16/1073255916.db2.gz HKCSLJZUPMYHHP-MRXNPFEDSA-N 0 0 432.591 -0.453 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)n1 ZINC000429192580 1073255816 /nfs/dbraw/zinc/25/58/16/1073255816.db2.gz ICIGHEATNVICCM-AWEZNQCLSA-N 0 0 447.562 -0.173 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)n1 ZINC000429192581 1073255667 /nfs/dbraw/zinc/25/56/67/1073255667.db2.gz ICIGHEATNVICCM-CQSZACIVSA-N 0 0 447.562 -0.173 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000429192693 1073256296 /nfs/dbraw/zinc/25/62/96/1073256296.db2.gz IQVSHXDYSRBKPI-UHFFFAOYSA-N 0 0 442.542 -0.089 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000429195195 1073256240 /nfs/dbraw/zinc/25/62/40/1073256240.db2.gz UYBXNWXGZABJLZ-UHFFFAOYSA-N 0 0 425.519 -0.973 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000429197593 1073256218 /nfs/dbraw/zinc/25/62/18/1073256218.db2.gz PAPPMXNWNQPUHA-UHFFFAOYSA-N 0 0 449.555 -0.339 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000429199827 1073256650 /nfs/dbraw/zinc/25/66/50/1073256650.db2.gz UPHUQJDLSSLIDI-UHFFFAOYSA-N 0 0 426.543 -0.215 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000429199915 1073256694 /nfs/dbraw/zinc/25/66/94/1073256694.db2.gz CQBQJDQQWNOVMK-UHFFFAOYSA-N 0 0 432.524 -0.280 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)n1 ZINC000429200749 1073256798 /nfs/dbraw/zinc/25/67/98/1073256798.db2.gz RGLPWSJCOUOVEE-UHFFFAOYSA-N 0 0 429.547 -0.071 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000429201256 1073256730 /nfs/dbraw/zinc/25/67/30/1073256730.db2.gz RPGMOGRFSCEWTR-UHFFFAOYSA-N 0 0 447.583 -0.075 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H](C)C2)CC1 ZINC000429201606 1073256701 /nfs/dbraw/zinc/25/67/01/1073256701.db2.gz YHKXSFSWUDMGAU-DLBZAZTESA-N 0 0 446.618 -0.159 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000429201607 1073256761 /nfs/dbraw/zinc/25/67/61/1073256761.db2.gz YHKXSFSWUDMGAU-IAGOWNOFSA-N 0 0 446.618 -0.159 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H](C)C2)CC1 ZINC000429201608 1073256789 /nfs/dbraw/zinc/25/67/89/1073256789.db2.gz YHKXSFSWUDMGAU-IRXDYDNUSA-N 0 0 446.618 -0.159 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000429201609 1073257357 /nfs/dbraw/zinc/25/73/57/1073257357.db2.gz YHKXSFSWUDMGAU-SJORKVTESA-N 0 0 446.618 -0.159 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429202541 1073257099 /nfs/dbraw/zinc/25/70/99/1073257099.db2.gz ZVJGIZWDZZYBEP-UHFFFAOYSA-N 0 0 429.499 -0.528 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000429216172 1073259003 /nfs/dbraw/zinc/25/90/03/1073259003.db2.gz OTHJCNICLFRAFM-UHFFFAOYSA-N 0 0 446.464 0.300 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)NCCNS(=O)(=O)c1cccs1 ZINC000429220303 1073259457 /nfs/dbraw/zinc/25/94/57/1073259457.db2.gz NNEKYEMDVUFPJV-UHFFFAOYSA-N 0 0 438.491 0.538 20 0 IBADRN O=C(CCC(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000429220688 1073259134 /nfs/dbraw/zinc/25/91/34/1073259134.db2.gz HCKNZVUBYZRJGH-GFCCVEGCSA-N 0 0 436.494 0.409 20 0 IBADRN O=C(CCC(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000429220689 1073259075 /nfs/dbraw/zinc/25/90/75/1073259075.db2.gz HCKNZVUBYZRJGH-LBPRGKRZSA-N 0 0 436.494 0.409 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)Cc3cc(C(C)(C)C)on3)CC2)CC1 ZINC000429232260 1073269399 /nfs/dbraw/zinc/26/93/99/1073269399.db2.gz ASLUPVDZSFCCLP-UHFFFAOYSA-N 0 0 449.599 -0.088 20 0 IBADRN O=C(Cn1cc(NC(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)cn1)NCCO ZINC000429241681 1073273630 /nfs/dbraw/zinc/27/36/30/1073273630.db2.gz YAALNXPJXCVZQV-UHFFFAOYSA-N 0 0 445.480 -0.094 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000429258367 1073304864 /nfs/dbraw/zinc/30/48/64/1073304864.db2.gz UQFMCKVRBBRVEE-GXTWGEPZSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000429258369 1073304746 /nfs/dbraw/zinc/30/47/46/1073304746.db2.gz UQFMCKVRBBRVEE-JSGCOSHPSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000429258370 1073304876 /nfs/dbraw/zinc/30/48/76/1073304876.db2.gz UQFMCKVRBBRVEE-OCCSQVGLSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000429258371 1073304794 /nfs/dbraw/zinc/30/47/94/1073304794.db2.gz UQFMCKVRBBRVEE-TZMCWYRMSA-N 0 0 444.535 -0.154 20 0 IBADRN CC(C)(C)c1cc(CS(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)no1 ZINC000429258610 1073304444 /nfs/dbraw/zinc/30/44/44/1073304444.db2.gz HZVUQFZVBFBDAF-UHFFFAOYSA-N 0 0 428.511 -0.195 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2c(F)c(F)cc(F)c2F)CC1)N1CCOCC1 ZINC000429258636 1073304301 /nfs/dbraw/zinc/30/43/01/1073304301.db2.gz IAJPENIOYYECCU-UHFFFAOYSA-N 0 0 439.387 -0.065 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000429258729 1073304323 /nfs/dbraw/zinc/30/43/23/1073304323.db2.gz IHZUTAFDAZEMSD-UHFFFAOYSA-N 0 0 425.511 -0.030 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC(CS(C)(=O)=O)CC2)CC1 ZINC000429271004 1073311364 /nfs/dbraw/zinc/31/13/64/1073311364.db2.gz YZGWEPHTCMVYTN-UHFFFAOYSA-N 0 0 435.554 -0.232 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CCO2)n1 ZINC000429273317 1073349620 /nfs/dbraw/zinc/34/96/20/1073349620.db2.gz GBLBYUGJASOHPK-CYBMUJFWSA-N 0 0 428.453 0.518 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CCO2)n1 ZINC000429273323 1073349568 /nfs/dbraw/zinc/34/95/68/1073349568.db2.gz GBLBYUGJASOHPK-ZDUSSCGKSA-N 0 0 428.453 0.518 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)C2=O)n1 ZINC000429274618 1073354710 /nfs/dbraw/zinc/35/47/10/1073354710.db2.gz PAWRMTXGZHCNME-GFCCVEGCSA-N 0 0 426.437 0.428 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)C2=O)n1 ZINC000429274622 1073311276 /nfs/dbraw/zinc/31/12/76/1073311276.db2.gz PAWRMTXGZHCNME-LBPRGKRZSA-N 0 0 426.437 0.428 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC000429280356 1073312895 /nfs/dbraw/zinc/31/28/95/1073312895.db2.gz PIALBGMRBQVGSL-JTQLQIEISA-N 0 0 433.450 0.577 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC000429280361 1073319377 /nfs/dbraw/zinc/31/93/77/1073319377.db2.gz PIALBGMRBQVGSL-SNVBAGLBSA-N 0 0 433.450 0.577 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CC2)c1=O ZINC000429280764 1073312710 /nfs/dbraw/zinc/31/27/10/1073312710.db2.gz RMFZXSUBWDUQPY-UHFFFAOYSA-N 0 0 426.437 -0.175 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)C2=O)n(C)n1 ZINC000429281798 1073315926 /nfs/dbraw/zinc/31/59/26/1073315926.db2.gz XOSBOYFVNFJJOL-GFCCVEGCSA-N 0 0 426.437 0.346 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)C2=O)n(C)n1 ZINC000429281799 1073315948 /nfs/dbraw/zinc/31/59/48/1073315948.db2.gz XOSBOYFVNFJJOL-LBPRGKRZSA-N 0 0 426.437 0.346 20 0 IBADRN C[C@@]1(C2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CC2)NC(=O)NC1=O ZINC000429283957 1073314984 /nfs/dbraw/zinc/31/49/84/1073314984.db2.gz KOUJAZQWOBWYRT-IBGZPJMESA-N 0 0 429.437 0.219 20 0 IBADRN C[C@]1(C2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CC2)NC(=O)NC1=O ZINC000429283960 1073316385 /nfs/dbraw/zinc/31/63/85/1073316385.db2.gz KOUJAZQWOBWYRT-LJQANCHMSA-N 0 0 429.437 0.219 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCc4nn[nH]c4C3)CC2)cc1 ZINC000429289263 1073316876 /nfs/dbraw/zinc/31/68/76/1073316876.db2.gz CXUJQLOZLCGUIB-UHFFFAOYSA-N 0 0 432.506 -0.102 20 0 IBADRN COc1ccc(Cn2cc(C(=O)N3CCN(CCS(N)(=O)=O)CC3)cn2)cc1OC ZINC000429295544 1073318098 /nfs/dbraw/zinc/31/80/98/1073318098.db2.gz UNSPONGSZSBPLH-UHFFFAOYSA-N 0 0 437.522 -0.005 20 0 IBADRN O=C(CN1CCc2nn[nH]c2C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000429295770 1073318325 /nfs/dbraw/zinc/31/83/25/1073318325.db2.gz QXRVJQKBIKSKJD-UHFFFAOYSA-N 0 0 448.505 -0.533 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000429299804 1073319220 /nfs/dbraw/zinc/31/92/20/1073319220.db2.gz GTBLRPAONQTZDA-IHRRRGAJSA-N 0 0 432.485 -0.199 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000429299807 1073319130 /nfs/dbraw/zinc/31/91/30/1073319130.db2.gz GTBLRPAONQTZDA-MCIONIFRSA-N 0 0 432.485 -0.199 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000429299809 1073319387 /nfs/dbraw/zinc/31/93/87/1073319387.db2.gz GTBLRPAONQTZDA-MJBXVCDLSA-N 0 0 432.485 -0.199 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000429299811 1073319427 /nfs/dbraw/zinc/31/94/27/1073319427.db2.gz GTBLRPAONQTZDA-RDBSUJKOSA-N 0 0 432.485 -0.199 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000429300545 1073318711 /nfs/dbraw/zinc/31/87/11/1073318711.db2.gz IQUOVXRXXMXMRK-KBPBESRZSA-N 0 0 441.510 -0.446 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000429300546 1073318742 /nfs/dbraw/zinc/31/87/42/1073318742.db2.gz IQUOVXRXXMXMRK-KGLIPLIRSA-N 0 0 441.510 -0.446 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000429300547 1073318637 /nfs/dbraw/zinc/31/86/37/1073318637.db2.gz IQUOVXRXXMXMRK-UONOGXRCSA-N 0 0 441.510 -0.446 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000429300548 1073318831 /nfs/dbraw/zinc/31/88/31/1073318831.db2.gz IQUOVXRXXMXMRK-ZIAGYGMSSA-N 0 0 441.510 -0.446 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000429302163 1073319565 /nfs/dbraw/zinc/31/95/65/1073319565.db2.gz QVMSUNQCKSLOEZ-HRCADAONSA-N 0 0 425.530 -0.219 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000429302164 1073319471 /nfs/dbraw/zinc/31/94/71/1073319471.db2.gz QVMSUNQCKSLOEZ-JYJNAYRXSA-N 0 0 425.530 -0.219 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000429302165 1073319413 /nfs/dbraw/zinc/31/94/13/1073319413.db2.gz QVMSUNQCKSLOEZ-OWCLPIDISA-N 0 0 425.530 -0.219 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000429302166 1073319590 /nfs/dbraw/zinc/31/95/90/1073319590.db2.gz QVMSUNQCKSLOEZ-PMPSAXMXSA-N 0 0 425.530 -0.219 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000429302913 1073320351 /nfs/dbraw/zinc/32/03/51/1073320351.db2.gz AMOLWQDARMRKJF-CHWSQXEVSA-N 0 0 445.498 -0.712 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000429302915 1073320496 /nfs/dbraw/zinc/32/04/96/1073320496.db2.gz AMOLWQDARMRKJF-OLZOCXBDSA-N 0 0 445.498 -0.712 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000429302917 1073320319 /nfs/dbraw/zinc/32/03/19/1073320319.db2.gz AMOLWQDARMRKJF-QWHCGFSZSA-N 0 0 445.498 -0.712 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000429302919 1073320203 /nfs/dbraw/zinc/32/02/03/1073320203.db2.gz AMOLWQDARMRKJF-STQMWFEESA-N 0 0 445.498 -0.712 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000429303466 1073320300 /nfs/dbraw/zinc/32/03/00/1073320300.db2.gz WICAJCHUEHJKFR-INIZCTEOSA-N 0 0 440.566 -0.028 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000429303685 1073340203 /nfs/dbraw/zinc/34/02/03/1073340203.db2.gz WSZZLFUXKLBYJL-KBPBESRZSA-N 0 0 438.485 -0.295 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000429303690 1073339777 /nfs/dbraw/zinc/33/97/77/1073339777.db2.gz WSZZLFUXKLBYJL-KGLIPLIRSA-N 0 0 438.485 -0.295 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000429303693 1073339931 /nfs/dbraw/zinc/33/99/31/1073339931.db2.gz WSZZLFUXKLBYJL-UONOGXRCSA-N 0 0 438.485 -0.295 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000429303694 1073339916 /nfs/dbraw/zinc/33/99/16/1073339916.db2.gz WSZZLFUXKLBYJL-ZIAGYGMSSA-N 0 0 438.485 -0.295 20 0 IBADRN COc1ccc(NC(=O)CN2CCc3nn[nH]c3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000429304545 1073320248 /nfs/dbraw/zinc/32/02/48/1073320248.db2.gz GXOPQFFXEVGKAI-UHFFFAOYSA-N 0 0 436.494 -0.169 20 0 IBADRN O=C(CN1CCc2nn[nH]c2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000429305120 1073319903 /nfs/dbraw/zinc/31/99/03/1073319903.db2.gz ZMOPDNDTPOTTSX-UHFFFAOYSA-N 0 0 426.449 -0.026 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CCc3nn[nH]c3C2)c(=O)[nH]c1=O ZINC000429305325 1073319847 /nfs/dbraw/zinc/31/98/47/1073319847.db2.gz CECMXUGJAGELFJ-GFCCVEGCSA-N 0 0 434.501 -0.125 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CCc3nn[nH]c3C2)c(=O)[nH]c1=O ZINC000429305327 1073319756 /nfs/dbraw/zinc/31/97/56/1073319756.db2.gz CECMXUGJAGELFJ-LBPRGKRZSA-N 0 0 434.501 -0.125 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000429305601 1073319812 /nfs/dbraw/zinc/31/98/12/1073319812.db2.gz JUWGEDKRFPLXRK-UHFFFAOYSA-N 0 0 443.508 0.443 20 0 IBADRN Cn1ccc(NC(=O)CNS(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)n1 ZINC000429306411 1073319792 /nfs/dbraw/zinc/31/97/92/1073319792.db2.gz LLGAZNFWXJGNNB-UHFFFAOYSA-N 0 0 444.267 0.431 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000429306596 1073319960 /nfs/dbraw/zinc/31/99/60/1073319960.db2.gz GMOZCOBSDBUGFV-AWEZNQCLSA-N 0 0 429.499 -0.626 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000429306599 1073320022 /nfs/dbraw/zinc/32/00/22/1073320022.db2.gz GMOZCOBSDBUGFV-CQSZACIVSA-N 0 0 429.499 -0.626 20 0 IBADRN O=c1[nH]cnc2c1CN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000429307304 1073320886 /nfs/dbraw/zinc/32/08/86/1073320886.db2.gz OITGKKCQPBFWQY-UHFFFAOYSA-N 0 0 440.503 -0.050 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000429308920 1073321311 /nfs/dbraw/zinc/32/13/11/1073321311.db2.gz MBSVQZAZLOVULK-CHWSQXEVSA-N 0 0 426.474 -0.501 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000429308925 1073321291 /nfs/dbraw/zinc/32/12/91/1073321291.db2.gz MBSVQZAZLOVULK-OLZOCXBDSA-N 0 0 426.474 -0.501 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000429308927 1073321235 /nfs/dbraw/zinc/32/12/35/1073321235.db2.gz MBSVQZAZLOVULK-QWHCGFSZSA-N 0 0 426.474 -0.501 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000429308928 1073321318 /nfs/dbraw/zinc/32/13/18/1073321318.db2.gz MBSVQZAZLOVULK-STQMWFEESA-N 0 0 426.474 -0.501 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000429310480 1073321325 /nfs/dbraw/zinc/32/13/25/1073321325.db2.gz XGLIDWUQGKBQSL-UHFFFAOYSA-N 0 0 446.507 -0.124 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000429312603 1073321279 /nfs/dbraw/zinc/32/12/79/1073321279.db2.gz BWKYJVUYDKSRSY-UHFFFAOYSA-N 0 0 449.497 -0.698 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000429316380 1073323603 /nfs/dbraw/zinc/32/36/03/1073323603.db2.gz LMANJMFCOVYAIW-KBPBESRZSA-N 0 0 431.515 -0.333 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000429316383 1073323633 /nfs/dbraw/zinc/32/36/33/1073323633.db2.gz LMANJMFCOVYAIW-KGLIPLIRSA-N 0 0 431.515 -0.333 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000429316387 1073323591 /nfs/dbraw/zinc/32/35/91/1073323591.db2.gz LMANJMFCOVYAIW-UONOGXRCSA-N 0 0 431.515 -0.333 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000429316388 1073323705 /nfs/dbraw/zinc/32/37/05/1073323705.db2.gz LMANJMFCOVYAIW-ZIAGYGMSSA-N 0 0 431.515 -0.333 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3nc[nH]c(=O)c3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000429316928 1073324581 /nfs/dbraw/zinc/32/45/81/1073324581.db2.gz MQTBIKPGQUQSTF-GOSISDBHSA-N 0 0 433.446 -0.008 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3nc[nH]c(=O)c3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000429316931 1073324703 /nfs/dbraw/zinc/32/47/03/1073324703.db2.gz MQTBIKPGQUQSTF-SFHVURJKSA-N 0 0 433.446 -0.008 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCc4nn[nH]c4C3)CC2)c1 ZINC000429317038 1073324710 /nfs/dbraw/zinc/32/47/10/1073324710.db2.gz JDRBWBOLKXZYHN-UHFFFAOYSA-N 0 0 432.506 -0.102 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1)N1CCCCC1 ZINC000429319905 1073325080 /nfs/dbraw/zinc/32/50/80/1073325080.db2.gz UGCZEFNKFNDHNE-UHFFFAOYSA-N 0 0 442.542 -0.566 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000429322050 1073326039 /nfs/dbraw/zinc/32/60/39/1073326039.db2.gz HJLIDBGMIAXZKP-CYBMUJFWSA-N 0 0 442.450 -0.438 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000429322051 1073326043 /nfs/dbraw/zinc/32/60/43/1073326043.db2.gz HJLIDBGMIAXZKP-ZDUSSCGKSA-N 0 0 442.450 -0.438 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000429322087 1073325928 /nfs/dbraw/zinc/32/59/28/1073325928.db2.gz HTEKINUKXHYYBQ-GOSISDBHSA-N 0 0 440.508 -0.239 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000429322088 1073326058 /nfs/dbraw/zinc/32/60/58/1073326058.db2.gz HTEKINUKXHYYBQ-SFHVURJKSA-N 0 0 440.508 -0.239 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000429322690 1073325985 /nfs/dbraw/zinc/32/59/85/1073325985.db2.gz NUBCALRAIUJCOW-UHFFFAOYSA-N 0 0 430.531 -0.710 20 0 IBADRN NC(=O)[C@@H](CNC(=O)NCCNS(=O)(=O)Cc1ccon1)Cc1ccc(F)cc1 ZINC000429323206 1073326451 /nfs/dbraw/zinc/32/64/51/1073326451.db2.gz TYLCPVAOSVOQGP-CYBMUJFWSA-N 0 0 427.458 -0.124 20 0 IBADRN NC(=O)[C@H](CNC(=O)NCCNS(=O)(=O)Cc1ccon1)Cc1ccc(F)cc1 ZINC000429323207 1073326476 /nfs/dbraw/zinc/32/64/76/1073326476.db2.gz TYLCPVAOSVOQGP-ZDUSSCGKSA-N 0 0 427.458 -0.124 20 0 IBADRN Cc1nnc(CCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1C ZINC000429323758 1073325691 /nfs/dbraw/zinc/32/56/91/1073325691.db2.gz ACOBEIPELHCCEQ-UHFFFAOYSA-N 0 0 429.524 -0.335 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000429324491 1073326439 /nfs/dbraw/zinc/32/64/39/1073326439.db2.gz CNUJLJQDDWNGJK-UHFFFAOYSA-N 0 0 437.482 -0.880 20 0 IBADRN OC1CN(Cc2nnnn2CC(F)(F)F)CCN(Cc2nnnn2CC(F)(F)F)C1 ZINC000429325468 1073326888 /nfs/dbraw/zinc/32/68/88/1073326888.db2.gz DGXUSLSQUPBQKL-UHFFFAOYSA-N 0 0 444.344 -0.537 20 0 IBADRN O=C(NCCn1c(=O)[nH]c2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000429332605 1073328251 /nfs/dbraw/zinc/32/82/51/1073328251.db2.gz HPQOHDCYCAHKCN-UHFFFAOYSA-N 0 0 438.510 -0.354 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000429333384 1073328506 /nfs/dbraw/zinc/32/85/06/1073328506.db2.gz VHWKGJQPNHBDHY-AWEZNQCLSA-N 0 0 437.544 -0.403 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000429333386 1073328474 /nfs/dbraw/zinc/32/84/74/1073328474.db2.gz VHWKGJQPNHBDHY-CQSZACIVSA-N 0 0 437.544 -0.403 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000429334224 1073328415 /nfs/dbraw/zinc/32/84/15/1073328415.db2.gz OYKMUFGBBKFHHQ-UHFFFAOYSA-N 0 0 426.495 -0.063 20 0 IBADRN O=C(NCCn1c(=O)[nH]c2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000429335622 1073328637 /nfs/dbraw/zinc/32/86/37/1073328637.db2.gz OWHAOJNKXLIWPB-UHFFFAOYSA-N 0 0 430.465 -0.546 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N(C(C)(C)C)C3)CC2)CC1 ZINC000429342289 1073331816 /nfs/dbraw/zinc/33/18/16/1073331816.db2.gz XBLAYSQGVYXSGV-HNNXBMFYSA-N 0 0 430.575 -0.795 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N(C(C)(C)C)C3)CC2)CC1 ZINC000429342290 1073331845 /nfs/dbraw/zinc/33/18/45/1073331845.db2.gz XBLAYSQGVYXSGV-OAHLLOKOSA-N 0 0 430.575 -0.795 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000429345551 1073332267 /nfs/dbraw/zinc/33/22/67/1073332267.db2.gz QNRCBXPZXJYLBS-UHFFFAOYSA-N 0 0 446.485 -0.018 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCC3CN(S(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000429347747 1073332718 /nfs/dbraw/zinc/33/27/18/1073332718.db2.gz GUZDTGRHKUIYLU-BETUJISGSA-N 0 0 428.515 -0.468 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCC3CN(S(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000429347750 1073332582 /nfs/dbraw/zinc/33/25/82/1073332582.db2.gz GUZDTGRHKUIYLU-CHWSQXEVSA-N 0 0 428.515 -0.468 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)NCC3CN(S(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000429347753 1073332731 /nfs/dbraw/zinc/33/27/31/1073332731.db2.gz GUZDTGRHKUIYLU-STQMWFEESA-N 0 0 428.515 -0.468 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@H](CS(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000429354536 1073333665 /nfs/dbraw/zinc/33/36/65/1073333665.db2.gz OKCVJKZWXKKKMJ-HNNXBMFYSA-N 0 0 434.518 -0.273 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@@H](CS(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000429354537 1073333426 /nfs/dbraw/zinc/33/34/26/1073333426.db2.gz OKCVJKZWXKKKMJ-OAHLLOKOSA-N 0 0 434.518 -0.273 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCn2c(=O)[nH]c3ccccc32)CC1 ZINC000429357395 1073335160 /nfs/dbraw/zinc/33/51/60/1073335160.db2.gz OCHOVCNVABVMFA-UHFFFAOYSA-N 0 0 428.449 -0.255 20 0 IBADRN CC(C)(C)N1C[C@@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1=O ZINC000429358168 1073335598 /nfs/dbraw/zinc/33/55/98/1073335598.db2.gz ZPUVXFWJAAQUKH-HNNXBMFYSA-N 0 0 431.559 -0.274 20 0 IBADRN CC(C)(C)N1C[C@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1=O ZINC000429358181 1073335667 /nfs/dbraw/zinc/33/56/67/1073335667.db2.gz ZPUVXFWJAAQUKH-OAHLLOKOSA-N 0 0 431.559 -0.274 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000429369200 1073337899 /nfs/dbraw/zinc/33/78/99/1073337899.db2.gz BRHSOZVXQQEJHZ-HNNXBMFYSA-N 0 0 432.872 -0.014 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000429369203 1073338058 /nfs/dbraw/zinc/33/80/58/1073338058.db2.gz BRHSOZVXQQEJHZ-OAHLLOKOSA-N 0 0 432.872 -0.014 20 0 IBADRN CS(=O)(=O)C[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000429385957 1073340761 /nfs/dbraw/zinc/34/07/61/1073340761.db2.gz YLFHUYIWPRBLEP-INIZCTEOSA-N 0 0 429.564 -0.114 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000429385958 1073340816 /nfs/dbraw/zinc/34/08/16/1073340816.db2.gz YLFHUYIWPRBLEP-MRXNPFEDSA-N 0 0 429.564 -0.114 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCC3CN(S(C)(=O)=O)C3)c2)CC1 ZINC000429388231 1073341607 /nfs/dbraw/zinc/34/16/07/1073341607.db2.gz RGJLTRNHDNFEOT-UHFFFAOYSA-N 0 0 445.567 -0.364 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)cn1 ZINC000429390505 1073341509 /nfs/dbraw/zinc/34/15/09/1073341509.db2.gz VBEJJYXYGNMCMG-UHFFFAOYSA-N 0 0 430.425 -0.020 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000429391658 1073342613 /nfs/dbraw/zinc/34/26/13/1073342613.db2.gz DFPFNCFIXAHKBO-UHFFFAOYSA-N 0 0 442.436 0.076 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)Nc1cnn(CCN2CCOCC2)c1 ZINC000429399581 1073345677 /nfs/dbraw/zinc/34/56/77/1073345677.db2.gz CHQILMYDGBYFSW-UHFFFAOYSA-N 0 0 428.453 0.550 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000429404499 1073346774 /nfs/dbraw/zinc/34/67/74/1073346774.db2.gz HDMBBBQBBAZNPQ-AWEZNQCLSA-N 0 0 429.481 0.147 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000429404500 1073346954 /nfs/dbraw/zinc/34/69/54/1073346954.db2.gz HDMBBBQBBAZNPQ-CQSZACIVSA-N 0 0 429.481 0.147 20 0 IBADRN CCOC(=O)c1ccc2c(c1)NC(=O)[C@H](C)N2S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000429410569 1073347182 /nfs/dbraw/zinc/34/71/82/1073347182.db2.gz QZUAZLCRRHNUEM-JTQLQIEISA-N 0 0 436.446 -0.203 20 0 IBADRN CCOC(=O)c1ccc2c(c1)NC(=O)[C@@H](C)N2S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000429410570 1073347692 /nfs/dbraw/zinc/34/76/92/1073347692.db2.gz QZUAZLCRRHNUEM-SNVBAGLBSA-N 0 0 436.446 -0.203 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CC[C@@H](C(=O)NCC(F)(F)F)C2)n1)N1CCOCC1 ZINC000429412976 1073348078 /nfs/dbraw/zinc/34/80/78/1073348078.db2.gz RJYQGQDPABLTCK-GFCCVEGCSA-N 0 0 432.403 0.274 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CC[C@H](C(=O)NCC(F)(F)F)C2)n1)N1CCOCC1 ZINC000429412977 1073347987 /nfs/dbraw/zinc/34/79/87/1073347987.db2.gz RJYQGQDPABLTCK-LBPRGKRZSA-N 0 0 432.403 0.274 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCn3c(nnc3C3CCCC3)C2)CC1)N1CCOCC1 ZINC000429415929 1071397507 /nfs/dbraw/zinc/39/75/07/1071397507.db2.gz JHHDDFMICXKRGE-UHFFFAOYSA-N 0 0 445.568 -0.246 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000429419787 1073349122 /nfs/dbraw/zinc/34/91/22/1073349122.db2.gz BPRUJBURCCHRAP-UHFFFAOYSA-N 0 0 438.448 0.225 20 0 IBADRN Cc1nc2n(n1)CCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000429422152 1073349196 /nfs/dbraw/zinc/34/91/96/1073349196.db2.gz YVSUNJLCQMVNNV-UHFFFAOYSA-N 0 0 427.508 -0.188 20 0 IBADRN CCC1(CC)CN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CCS1(=O)=O ZINC000429423010 1073349591 /nfs/dbraw/zinc/34/95/91/1073349591.db2.gz XXCDPHSRRRABNC-UHFFFAOYSA-N 0 0 427.527 0.563 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N(C4CC4)C3)CC2)C[C@@H](C)O1 ZINC000429438573 1073352270 /nfs/dbraw/zinc/35/22/70/1073352270.db2.gz WFXQWEIAUGPTND-KFWWJZLASA-N 0 0 429.543 -0.569 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)CC2)C[C@H](C)O1 ZINC000429438574 1073352455 /nfs/dbraw/zinc/35/24/55/1073352455.db2.gz WFXQWEIAUGPTND-QLFBSQMISA-N 0 0 429.543 -0.569 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)CC2)C[C@@H](C)O1 ZINC000429438575 1073352356 /nfs/dbraw/zinc/35/23/56/1073352356.db2.gz WFXQWEIAUGPTND-RBSFLKMASA-N 0 0 429.543 -0.569 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N(C4CC4)C3)CC2)C[C@H](C)O1 ZINC000429438576 1073352491 /nfs/dbraw/zinc/35/24/91/1073352491.db2.gz WFXQWEIAUGPTND-ZNMIVQPWSA-N 0 0 429.543 -0.569 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CC2)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000429440577 1073352441 /nfs/dbraw/zinc/35/24/41/1073352441.db2.gz CQNHBYUHFXVICJ-AWEZNQCLSA-N 0 0 447.517 -0.040 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CC2)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000429440581 1073352514 /nfs/dbraw/zinc/35/25/14/1073352514.db2.gz CQNHBYUHFXVICJ-CQSZACIVSA-N 0 0 447.517 -0.040 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000429442284 1073352877 /nfs/dbraw/zinc/35/28/77/1073352877.db2.gz CSAKLFIUIKDWNM-UHFFFAOYSA-N 0 0 432.524 -0.487 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000429443500 1073352740 /nfs/dbraw/zinc/35/27/40/1073352740.db2.gz AWJXEFXOQNBWJI-UHFFFAOYSA-N 0 0 434.540 -0.323 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCS(N)(=O)=O ZINC000429456961 1073356772 /nfs/dbraw/zinc/35/67/72/1073356772.db2.gz UWTHRBFWVNQHLU-UHFFFAOYSA-N 0 0 432.524 -0.487 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)c(OCC(F)(F)F)c1 ZINC000429457637 1073356513 /nfs/dbraw/zinc/35/65/13/1073356513.db2.gz UHMUUONOZHULEF-UHFFFAOYSA-N 0 0 427.357 -0.243 20 0 IBADRN NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CCn2nnc(-c3ccccc3)n2)cc1 ZINC000429462212 1073358151 /nfs/dbraw/zinc/35/81/51/1073358151.db2.gz AHNGYNBJBPNSME-UHFFFAOYSA-N 0 0 443.489 -0.074 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)N1CCNC(=O)C1 ZINC000429465115 1073359050 /nfs/dbraw/zinc/35/90/50/1073359050.db2.gz BRDFTGKNEIUNIF-LLVKDONJSA-N 0 0 436.412 -0.424 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)N1CCNC(=O)C1 ZINC000429465116 1073359186 /nfs/dbraw/zinc/35/91/86/1073359186.db2.gz BRDFTGKNEIUNIF-NSHDSACASA-N 0 0 436.412 -0.424 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2)C1 ZINC000429465428 1073359165 /nfs/dbraw/zinc/35/91/65/1073359165.db2.gz FZXQWNTZYCYGBF-GFCCVEGCSA-N 0 0 432.524 -0.550 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2)C1 ZINC000429465430 1073359018 /nfs/dbraw/zinc/35/90/18/1073359018.db2.gz FZXQWNTZYCYGBF-LBPRGKRZSA-N 0 0 432.524 -0.550 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000429465732 1073358700 /nfs/dbraw/zinc/35/87/00/1073358700.db2.gz LGCSPAXSVBKOKW-UHFFFAOYSA-N 0 0 436.512 -0.931 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc1S(=O)(=O)N1CCCCCC1 ZINC000429466496 1073360604 /nfs/dbraw/zinc/36/06/04/1073360604.db2.gz FLMOFGFGKTULLJ-UHFFFAOYSA-N 0 0 446.551 -0.097 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@@H](CO)C(=O)OC)c1 ZINC000429466853 1073360057 /nfs/dbraw/zinc/36/00/57/1073360057.db2.gz ANASSGZQZKXJAD-INIZCTEOSA-N 0 0 443.522 -0.183 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@H](CO)C(=O)OC)c1 ZINC000429466855 1073360533 /nfs/dbraw/zinc/36/05/33/1073360533.db2.gz ANASSGZQZKXJAD-MRXNPFEDSA-N 0 0 443.522 -0.183 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2)CC1 ZINC000429467979 1073360191 /nfs/dbraw/zinc/36/01/91/1073360191.db2.gz AZLICPLYUIQJKY-UHFFFAOYSA-N 0 0 432.524 -0.550 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000429469265 1073360942 /nfs/dbraw/zinc/36/09/42/1073360942.db2.gz CXOYBAQAPUJICI-LLVKDONJSA-N 0 0 437.268 -0.548 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000429469270 1073360911 /nfs/dbraw/zinc/36/09/11/1073360911.db2.gz CXOYBAQAPUJICI-NSHDSACASA-N 0 0 437.268 -0.548 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000429469375 1073361009 /nfs/dbraw/zinc/36/10/09/1073361009.db2.gz NJZHEJHHSQFASY-UHFFFAOYSA-N 0 0 449.555 -0.874 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCS(N)(=O)=O ZINC000429470209 1073361389 /nfs/dbraw/zinc/36/13/89/1073361389.db2.gz MPBKQMUUKUMTGJ-UHFFFAOYSA-N 0 0 448.523 -0.787 20 0 IBADRN NS(=O)(=O)CCNC(=O)C(=O)Nc1cc(F)c(I)c(F)c1 ZINC000429470860 1073360978 /nfs/dbraw/zinc/36/09/78/1073360978.db2.gz HMWRHVRKDCPURT-UHFFFAOYSA-N 0 0 433.174 -0.087 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCS(N)(=O)=O)ccc1Cl ZINC000429471515 1073361775 /nfs/dbraw/zinc/36/17/75/1073361775.db2.gz RELQPQUTKXZABB-UHFFFAOYSA-N 0 0 440.931 -0.286 20 0 IBADRN C[C@@H]1CCCCN1CC(=O)Nc1ccc(F)c(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000429471710 1073361330 /nfs/dbraw/zinc/36/13/30/1073361330.db2.gz RLXKSNZTDBJGEG-GFCCVEGCSA-N 0 0 443.501 -0.018 20 0 IBADRN C[C@H]1CCCCN1CC(=O)Nc1ccc(F)c(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000429471727 1073361351 /nfs/dbraw/zinc/36/13/51/1073361351.db2.gz RLXKSNZTDBJGEG-LBPRGKRZSA-N 0 0 443.501 -0.018 20 0 IBADRN CN(c1ccccc1)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000429471801 1073361265 /nfs/dbraw/zinc/36/12/65/1073361265.db2.gz ITGTXUOJYMZGLA-UHFFFAOYSA-N 0 0 440.503 -0.145 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000429472110 1073361664 /nfs/dbraw/zinc/36/16/64/1073361664.db2.gz IYFBMUWXFHJCRV-HNNXBMFYSA-N 0 0 445.469 -0.911 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000429472115 1073361843 /nfs/dbraw/zinc/36/18/43/1073361843.db2.gz IYFBMUWXFHJCRV-OAHLLOKOSA-N 0 0 445.469 -0.911 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc1 ZINC000429472648 1073361730 /nfs/dbraw/zinc/36/17/30/1073361730.db2.gz IJKUPZXZUMVFKV-UHFFFAOYSA-N 0 0 446.551 -0.017 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc1NC(=O)CN1CCCCC1 ZINC000429472748 1073360889 /nfs/dbraw/zinc/36/08/89/1073360889.db2.gz UJPCJZNZDLRSKT-UHFFFAOYSA-N 0 0 425.511 -0.237 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)Nc1cnn(CC(=O)N(C)C)c1)CC2 ZINC000429475073 1073362463 /nfs/dbraw/zinc/36/24/63/1073362463.db2.gz LUUMSCSTFYQZAO-UHFFFAOYSA-N 0 0 448.505 -0.203 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2)C1 ZINC000429476654 1073362833 /nfs/dbraw/zinc/36/28/33/1073362833.db2.gz VGHGPFOHZUVVDO-BETUJISGSA-N 0 0 446.551 -0.304 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2)C1 ZINC000429476656 1073362920 /nfs/dbraw/zinc/36/29/20/1073362920.db2.gz VGHGPFOHZUVVDO-CHWSQXEVSA-N 0 0 446.551 -0.304 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2)C1 ZINC000429476657 1073362822 /nfs/dbraw/zinc/36/28/22/1073362822.db2.gz VGHGPFOHZUVVDO-STQMWFEESA-N 0 0 446.551 -0.304 20 0 IBADRN C[C@H]1C[C@H]1N1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC000429476739 1073362958 /nfs/dbraw/zinc/36/29/58/1073362958.db2.gz OPIBTCGQYPDQIS-FIDHJVLJSA-N 0 0 443.570 -0.323 20 0 IBADRN C[C@H]1C[C@H]1N1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1=O ZINC000429476741 1073362851 /nfs/dbraw/zinc/36/28/51/1073362851.db2.gz OPIBTCGQYPDQIS-MZBWOGCUSA-N 0 0 443.570 -0.323 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC000429476742 1073362797 /nfs/dbraw/zinc/36/27/97/1073362797.db2.gz OPIBTCGQYPDQIS-NQNKBUKLSA-N 0 0 443.570 -0.323 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1=O ZINC000429476743 1073362810 /nfs/dbraw/zinc/36/28/10/1073362810.db2.gz OPIBTCGQYPDQIS-WRQOLXDDSA-N 0 0 443.570 -0.323 20 0 IBADRN NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1 ZINC000429476889 1073362885 /nfs/dbraw/zinc/36/28/85/1073362885.db2.gz ZCQNVUMVJARQJN-UHFFFAOYSA-N 0 0 438.915 -0.532 20 0 IBADRN COC(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429477063 1073364373 /nfs/dbraw/zinc/36/43/73/1073364373.db2.gz ZNVUDDLLALDFPK-JTQLQIEISA-N 0 0 425.385 -0.093 20 0 IBADRN COC(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429477067 1073364480 /nfs/dbraw/zinc/36/44/80/1073364480.db2.gz ZNVUDDLLALDFPK-SNVBAGLBSA-N 0 0 425.385 -0.093 20 0 IBADRN O=C(NCc1nncn1C1CC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000429477849 1073362142 /nfs/dbraw/zinc/36/21/42/1073362142.db2.gz TWGQVHMNXWJCQG-KRWDZBQOSA-N 0 0 440.460 -0.118 20 0 IBADRN O=C(NCc1nncn1C1CC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000429477850 1073362524 /nfs/dbraw/zinc/36/25/24/1073362524.db2.gz TWGQVHMNXWJCQG-QGZVFWFLSA-N 0 0 440.460 -0.118 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1=O ZINC000429478149 1073363357 /nfs/dbraw/zinc/36/33/57/1073363357.db2.gz UPSICMDJHLGKMX-BMFZPTHFSA-N 0 0 429.543 -0.664 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1=O ZINC000429478150 1073363195 /nfs/dbraw/zinc/36/31/95/1073363195.db2.gz UPSICMDJHLGKMX-FVQBIDKESA-N 0 0 429.543 -0.664 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1=O ZINC000429478151 1073363361 /nfs/dbraw/zinc/36/33/61/1073363361.db2.gz UPSICMDJHLGKMX-KBMXLJTQSA-N 0 0 429.543 -0.664 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1=O ZINC000429478152 1073363284 /nfs/dbraw/zinc/36/32/84/1073363284.db2.gz UPSICMDJHLGKMX-VNQPRFMTSA-N 0 0 429.543 -0.664 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000429478542 1073362873 /nfs/dbraw/zinc/36/28/73/1073362873.db2.gz TVKUBVFLCUVWRA-UHFFFAOYSA-N 0 0 448.523 -0.787 20 0 IBADRN NS(=O)(=O)CCNC(=O)C(=O)Nc1cc(Br)ccc1N1CCOCC1 ZINC000429479373 1073364077 /nfs/dbraw/zinc/36/40/77/1073364077.db2.gz YVAWHIDQKDOHRW-UHFFFAOYSA-N 0 0 435.300 -0.371 20 0 IBADRN CN1CCN(CC(=O)Nc2cc(F)cc(NC(=O)CN3CCN(C)C(=O)C3)c2)CC1=O ZINC000429479691 1073363611 /nfs/dbraw/zinc/36/36/11/1073363611.db2.gz CQXODCMXJUEIPU-UHFFFAOYSA-N 0 0 434.472 -0.749 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000429479733 1073363334 /nfs/dbraw/zinc/36/33/34/1073363334.db2.gz CTAGIWYWCJHFQN-UHFFFAOYSA-N 0 0 446.551 -0.017 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCS(N)(=O)=O ZINC000429481175 1072417923 /nfs/dbraw/zinc/41/79/23/1072417923.db2.gz OLHCJZCFWNPYAF-UHFFFAOYSA-N 0 0 436.512 -0.933 20 0 IBADRN NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1 ZINC000429482557 1072417912 /nfs/dbraw/zinc/41/79/12/1072417912.db2.gz UGHGANRQZCTYBU-UHFFFAOYSA-N 0 0 432.524 -0.406 20 0 IBADRN CN1CC(=O)N(CC(=O)Nc2cc(F)cc(NC(=O)CN3C(=O)CN(C)C3=O)c2)C1=O ZINC000429485592 1072417941 /nfs/dbraw/zinc/41/79/41/1072417941.db2.gz TZZMUKKPOJLTGO-UHFFFAOYSA-N 0 0 434.384 -0.509 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2=O)cn1 ZINC000429497607 1074409331 /nfs/dbraw/zinc/40/93/31/1074409331.db2.gz RHAUEQZMILYKQU-HNNXBMFYSA-N 0 0 428.515 -0.733 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2=O)cn1 ZINC000429497608 1074409450 /nfs/dbraw/zinc/40/94/50/1074409450.db2.gz RHAUEQZMILYKQU-OAHLLOKOSA-N 0 0 428.515 -0.733 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000429499019 1074415361 /nfs/dbraw/zinc/41/53/61/1074415361.db2.gz CIBWHQKFWAQGLO-NEPJUHHUSA-N 0 0 427.479 -0.260 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000429499020 1074415098 /nfs/dbraw/zinc/41/50/98/1074415098.db2.gz CIBWHQKFWAQGLO-NWDGAFQWSA-N 0 0 427.479 -0.260 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000429499021 1074415961 /nfs/dbraw/zinc/41/59/61/1074415961.db2.gz CIBWHQKFWAQGLO-RYUDHWBXSA-N 0 0 427.479 -0.260 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000429499022 1074416017 /nfs/dbraw/zinc/41/60/17/1074416017.db2.gz CIBWHQKFWAQGLO-VXGBXAGGSA-N 0 0 427.479 -0.260 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)c1cnc2sc(C(=O)N[C@@H](CO)C(=O)OC)c(C)c2c1 ZINC000429499223 1074415972 /nfs/dbraw/zinc/41/59/72/1074415972.db2.gz VZRZGZNPEIYZNE-NEPJUHHUSA-N 0 0 439.446 -0.868 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)c1sc2ncc(C(=O)N[C@@H](CO)C(=O)OC)cc2c1C ZINC000429499224 1074415974 /nfs/dbraw/zinc/41/59/74/1074415974.db2.gz VZRZGZNPEIYZNE-NWDGAFQWSA-N 0 0 439.446 -0.868 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)c1sc2ncc(C(=O)N[C@@H](CO)C(=O)OC)cc2c1C ZINC000429499225 1074415932 /nfs/dbraw/zinc/41/59/32/1074415932.db2.gz VZRZGZNPEIYZNE-RYUDHWBXSA-N 0 0 439.446 -0.868 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)c1sc2ncc(C(=O)N[C@H](CO)C(=O)OC)cc2c1C ZINC000429499226 1074415967 /nfs/dbraw/zinc/41/59/67/1074415967.db2.gz VZRZGZNPEIYZNE-VXGBXAGGSA-N 0 0 439.446 -0.868 20 0 IBADRN CC(C)N1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1=O ZINC000429503679 1074417238 /nfs/dbraw/zinc/41/72/38/1074417238.db2.gz NJGMPRURJUTCOT-BZUAXINKSA-N 0 0 431.559 -0.323 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1=O ZINC000429503680 1074417230 /nfs/dbraw/zinc/41/72/30/1074417230.db2.gz NJGMPRURJUTCOT-OAGGEKHMSA-N 0 0 431.559 -0.323 20 0 IBADRN CC(C)N1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC000429503681 1074417150 /nfs/dbraw/zinc/41/71/50/1074417150.db2.gz NJGMPRURJUTCOT-OWCLPIDISA-N 0 0 431.559 -0.323 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC000429503682 1074417118 /nfs/dbraw/zinc/41/71/18/1074417118.db2.gz NJGMPRURJUTCOT-XHSDSOJGSA-N 0 0 431.559 -0.323 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CC2)n1 ZINC000429511142 1074428351 /nfs/dbraw/zinc/42/83/51/1074428351.db2.gz OPLGYHTZPZCNKM-UHFFFAOYSA-N 0 0 444.452 0.256 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@@H](C)S(=O)(=O)CC2)CC1 ZINC000429529201 1074433960 /nfs/dbraw/zinc/43/39/60/1074433960.db2.gz LPPJOFGDMDVLLJ-CYBMUJFWSA-N 0 0 448.567 -0.367 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@H](C)S(=O)(=O)CC2)CC1 ZINC000429529203 1074433821 /nfs/dbraw/zinc/43/38/21/1074433821.db2.gz LPPJOFGDMDVLLJ-ZDUSSCGKSA-N 0 0 448.567 -0.367 20 0 IBADRN C[C@H]1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCS1(=O)=O ZINC000429537044 1074439733 /nfs/dbraw/zinc/43/97/33/1074439733.db2.gz GMPULDPVRXXLOQ-AWEZNQCLSA-N 0 0 434.518 -0.131 20 0 IBADRN C[C@@H]1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCS1(=O)=O ZINC000429537045 1074439685 /nfs/dbraw/zinc/43/96/85/1074439685.db2.gz GMPULDPVRXXLOQ-CQSZACIVSA-N 0 0 434.518 -0.131 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@H](C)[S@@](=O)CC2)CC1 ZINC000429557062 1074474456 /nfs/dbraw/zinc/47/44/56/1074474456.db2.gz GAQUYVYDMUNIGT-CEXHIMGSSA-N 0 0 432.568 -0.033 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@H](C)[S@](=O)CC2)CC1 ZINC000429557066 1074475116 /nfs/dbraw/zinc/47/51/16/1074475116.db2.gz GAQUYVYDMUNIGT-JGTBNHKDSA-N 0 0 432.568 -0.033 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@@H](C)[S@](=O)CC2)CC1 ZINC000429557069 1074475218 /nfs/dbraw/zinc/47/52/18/1074475218.db2.gz GAQUYVYDMUNIGT-PFUSGODGSA-N 0 0 432.568 -0.033 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@@H](C)[S@@](=O)CC2)CC1 ZINC000429557072 1074475207 /nfs/dbraw/zinc/47/52/07/1074475207.db2.gz GAQUYVYDMUNIGT-QWVSSGJLSA-N 0 0 432.568 -0.033 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000429579045 1071505497 /nfs/dbraw/zinc/50/54/97/1071505497.db2.gz UXCSVUXTELQVSE-UHFFFAOYSA-N 0 0 433.512 -0.885 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCSCC1 ZINC000429588514 1071665623 /nfs/dbraw/zinc/66/56/23/1071665623.db2.gz BLVTUZQYBBMGQO-CYBMUJFWSA-N 0 0 429.520 -0.054 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCSCC1 ZINC000429588516 1071666083 /nfs/dbraw/zinc/66/60/83/1071666083.db2.gz BLVTUZQYBBMGQO-ZDUSSCGKSA-N 0 0 429.520 -0.054 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCn1cccn1 ZINC000429591133 1071700797 /nfs/dbraw/zinc/70/07/97/1071700797.db2.gz IIYROIOXQFMUBR-AWEZNQCLSA-N 0 0 437.478 -0.617 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCn1cccn1 ZINC000429591134 1071700846 /nfs/dbraw/zinc/70/08/46/1071700846.db2.gz IIYROIOXQFMUBR-CQSZACIVSA-N 0 0 437.478 -0.617 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCCNc2cnccn2)cc1C ZINC000429592878 1073342141 /nfs/dbraw/zinc/34/21/41/1073342141.db2.gz MZHURMSPLFXPTA-INIZCTEOSA-N 0 0 434.522 0.234 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCCNc2cnccn2)cc1C ZINC000429592879 1073341963 /nfs/dbraw/zinc/34/19/63/1073341963.db2.gz MZHURMSPLFXPTA-MRXNPFEDSA-N 0 0 434.522 0.234 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CCCOC1 ZINC000429596081 1072031190 /nfs/dbraw/zinc/03/11/90/1072031190.db2.gz WJHDILBBJULKPB-DZGCQCFKSA-N 0 0 441.506 -0.087 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CCCOC1 ZINC000429596085 1072031198 /nfs/dbraw/zinc/03/11/98/1072031198.db2.gz WJHDILBBJULKPB-HIFRSBDPSA-N 0 0 441.506 -0.087 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CCCOC1 ZINC000429596087 1072031249 /nfs/dbraw/zinc/03/12/49/1072031249.db2.gz WJHDILBBJULKPB-UKRRQHHQSA-N 0 0 441.506 -0.087 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CCCOC1 ZINC000429596089 1072031279 /nfs/dbraw/zinc/03/12/79/1072031279.db2.gz WJHDILBBJULKPB-ZFWWWQNUSA-N 0 0 441.506 -0.087 20 0 IBADRN COc1cc(NC(=O)NCCNS(=O)(=O)NC(C)C)ccc1S(=O)(=O)N(C)C ZINC000429600697 1072030624 /nfs/dbraw/zinc/03/06/24/1072030624.db2.gz JEPOGKOMNIVHIX-UHFFFAOYSA-N 0 0 437.544 -0.101 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429602879 1072030857 /nfs/dbraw/zinc/03/08/57/1072030857.db2.gz CZAUBPYLGLZLFH-GFCCVEGCSA-N 0 0 429.451 -0.951 20 0 IBADRN CCOC(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429602880 1072030783 /nfs/dbraw/zinc/03/07/83/1072030783.db2.gz CZAUBPYLGLZLFH-LBPRGKRZSA-N 0 0 429.451 -0.951 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCCCS(C)(=O)=O)cc1C ZINC000429605198 1072030656 /nfs/dbraw/zinc/03/06/56/1072030656.db2.gz OWIYIXBYPBMMKK-HNNXBMFYSA-N 0 0 433.552 -0.233 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCCCS(C)(=O)=O)cc1C ZINC000429605199 1072030791 /nfs/dbraw/zinc/03/07/91/1072030791.db2.gz OWIYIXBYPBMMKK-OAHLLOKOSA-N 0 0 433.552 -0.233 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000429615507 1072034069 /nfs/dbraw/zinc/03/40/69/1072034069.db2.gz ZUODSAHZVLJRMP-UHFFFAOYSA-N 0 0 427.531 -0.577 20 0 IBADRN COCCCN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429636419 1072035114 /nfs/dbraw/zinc/03/51/14/1072035114.db2.gz OTHUSTBHQFWQQS-AWEZNQCLSA-N 0 0 429.495 -0.135 20 0 IBADRN COCCCN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429636421 1072034946 /nfs/dbraw/zinc/03/49/46/1072034946.db2.gz OTHUSTBHQFWQQS-CQSZACIVSA-N 0 0 429.495 -0.135 20 0 IBADRN CNC(=O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429638904 1072036043 /nfs/dbraw/zinc/03/60/43/1072036043.db2.gz WOACHJNVHQRFOD-LLVKDONJSA-N 0 0 438.428 -0.130 20 0 IBADRN CNC(=O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429638912 1072035962 /nfs/dbraw/zinc/03/59/62/1072035962.db2.gz WOACHJNVHQRFOD-NSHDSACASA-N 0 0 438.428 -0.130 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCCOCC1 ZINC000429641519 1071408558 /nfs/dbraw/zinc/40/85/58/1071408558.db2.gz CAKRSXHCYZGRFZ-AWEZNQCLSA-N 0 0 427.479 -0.381 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCCOCC1 ZINC000429641529 1071408473 /nfs/dbraw/zinc/40/84/73/1071408473.db2.gz CAKRSXHCYZGRFZ-CQSZACIVSA-N 0 0 427.479 -0.381 20 0 IBADRN CCOC(=O)CN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429642816 1072035379 /nfs/dbraw/zinc/03/53/79/1072035379.db2.gz BFORYRXPOYLDGI-CYBMUJFWSA-N 0 0 443.478 -0.608 20 0 IBADRN CCOC(=O)CN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429642819 1072035585 /nfs/dbraw/zinc/03/55/85/1072035585.db2.gz BFORYRXPOYLDGI-ZDUSSCGKSA-N 0 0 443.478 -0.608 20 0 IBADRN Cn1nc(NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1OC(F)F ZINC000429643233 1072035576 /nfs/dbraw/zinc/03/55/76/1072035576.db2.gz DCTKAYBCVQEGAS-UHFFFAOYSA-N 0 0 448.408 0.252 20 0 IBADRN COC[C@@H](C)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429644107 1072035406 /nfs/dbraw/zinc/03/54/06/1072035406.db2.gz HCZBPNJMXRFJEZ-GXTWGEPZSA-N 0 0 429.495 -0.231 20 0 IBADRN COC[C@@H](C)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429644110 1072035596 /nfs/dbraw/zinc/03/55/96/1072035596.db2.gz HCZBPNJMXRFJEZ-JSGCOSHPSA-N 0 0 429.495 -0.231 20 0 IBADRN COC[C@H](C)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429644112 1072035457 /nfs/dbraw/zinc/03/54/57/1072035457.db2.gz HCZBPNJMXRFJEZ-OCCSQVGLSA-N 0 0 429.495 -0.231 20 0 IBADRN COC[C@H](C)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429644114 1072035364 /nfs/dbraw/zinc/03/53/64/1072035364.db2.gz HCZBPNJMXRFJEZ-TZMCWYRMSA-N 0 0 429.495 -0.231 20 0 IBADRN Cn1nc(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1OC(F)F ZINC000429648797 1072035434 /nfs/dbraw/zinc/03/54/34/1072035434.db2.gz XYNPXWKGPFGMSI-UHFFFAOYSA-N 0 0 438.457 0.184 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnns3)CC2)c1 ZINC000429655543 1072086584 /nfs/dbraw/zinc/08/65/84/1072086584.db2.gz VLLCMWIOBJNCHD-UHFFFAOYSA-N 0 0 445.504 -0.142 20 0 IBADRN C[C@@H](C1CC1)n1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000429655803 1072086363 /nfs/dbraw/zinc/08/63/63/1072086363.db2.gz AMRGLIOPEPSRAU-AWEZNQCLSA-N 0 0 440.526 -0.486 20 0 IBADRN C[C@H](C1CC1)n1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000429655805 1072086459 /nfs/dbraw/zinc/08/64/59/1072086459.db2.gz AMRGLIOPEPSRAU-CQSZACIVSA-N 0 0 440.526 -0.486 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000429659194 1072085609 /nfs/dbraw/zinc/08/56/09/1072085609.db2.gz KIQJENFXRKQQCZ-HNNXBMFYSA-N 0 0 448.567 -0.729 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000429659195 1072085713 /nfs/dbraw/zinc/08/57/13/1072085713.db2.gz KIQJENFXRKQQCZ-OAHLLOKOSA-N 0 0 448.567 -0.729 20 0 IBADRN C[C@H](C1CC1)n1nccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000429664980 1072086167 /nfs/dbraw/zinc/08/61/67/1072086167.db2.gz KUXKZKGBHNRQJI-CYBMUJFWSA-N 0 0 430.465 -0.388 20 0 IBADRN C[C@@H](C1CC1)n1nccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000429664983 1072085973 /nfs/dbraw/zinc/08/59/73/1072085973.db2.gz KUXKZKGBHNRQJI-ZDUSSCGKSA-N 0 0 430.465 -0.388 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1CCOCC1 ZINC000429665767 1072086000 /nfs/dbraw/zinc/08/60/00/1072086000.db2.gz MIEJINYOYTZSRD-HNNXBMFYSA-N 0 0 441.506 -0.087 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1CCOCC1 ZINC000429665770 1072086182 /nfs/dbraw/zinc/08/61/82/1072086182.db2.gz MIEJINYOYTZSRD-OAHLLOKOSA-N 0 0 441.506 -0.087 20 0 IBADRN COCC[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429668395 1072085940 /nfs/dbraw/zinc/08/59/40/1072085940.db2.gz BKSOGYLDPGHNON-GXTWGEPZSA-N 0 0 429.495 -0.089 20 0 IBADRN COCC[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429668396 1072086077 /nfs/dbraw/zinc/08/60/77/1072086077.db2.gz BKSOGYLDPGHNON-JSGCOSHPSA-N 0 0 429.495 -0.089 20 0 IBADRN COCC[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429668397 1072086369 /nfs/dbraw/zinc/08/63/69/1072086369.db2.gz BKSOGYLDPGHNON-OCCSQVGLSA-N 0 0 429.495 -0.089 20 0 IBADRN COCC[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429668398 1072086613 /nfs/dbraw/zinc/08/66/13/1072086613.db2.gz BKSOGYLDPGHNON-TZMCWYRMSA-N 0 0 429.495 -0.089 20 0 IBADRN O=C(Nc1cnns1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000429669188 1072086426 /nfs/dbraw/zinc/08/64/26/1072086426.db2.gz CCXGGOWXWWSQEF-UHFFFAOYSA-N 0 0 436.475 -0.496 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000429669788 1072086477 /nfs/dbraw/zinc/08/64/77/1072086477.db2.gz HIZFUKROCOZFRH-INIZCTEOSA-N 0 0 440.566 -0.028 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000429669791 1072086627 /nfs/dbraw/zinc/08/66/27/1072086627.db2.gz HIZFUKROCOZFRH-MRXNPFEDSA-N 0 0 440.566 -0.028 20 0 IBADRN Cc1ccnc(-n2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000429669913 1072086437 /nfs/dbraw/zinc/08/64/37/1072086437.db2.gz HXJCALJZIZVRER-UHFFFAOYSA-N 0 0 439.476 -0.193 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccnn2-c2cc(C)ccn2)CC1 ZINC000429670337 1072146078 /nfs/dbraw/zinc/14/60/78/1072146078.db2.gz GYRKXKZWMYQZFV-UHFFFAOYSA-N 0 0 427.465 -0.289 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccnn2Cc2ccc(C)o2)CC1 ZINC000429672587 1072285245 /nfs/dbraw/zinc/28/52/45/1072285245.db2.gz OVEQCWUQQVLVFW-UHFFFAOYSA-N 0 0 430.465 -0.032 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCO[C@@H](CNC(C)=O)C1 ZINC000429675327 1072502403 /nfs/dbraw/zinc/50/24/03/1072502403.db2.gz GAXIUQBVZLGVBW-HNNXBMFYSA-N 0 0 441.554 -0.044 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCO[C@H](CNC(C)=O)C1 ZINC000429675329 1072502262 /nfs/dbraw/zinc/50/22/62/1072502262.db2.gz GAXIUQBVZLGVBW-OAHLLOKOSA-N 0 0 441.554 -0.044 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)n1 ZINC000429678907 1072511001 /nfs/dbraw/zinc/51/10/01/1072511001.db2.gz BDAHPGRTYYMPAW-UHFFFAOYSA-N 0 0 426.481 -0.360 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)Nc1cnns1 ZINC000429679822 1072510969 /nfs/dbraw/zinc/51/09/69/1072510969.db2.gz GAYRHJBQNZBLNP-UHFFFAOYSA-N 0 0 425.496 -0.860 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000429680394 1072519478 /nfs/dbraw/zinc/51/94/78/1072519478.db2.gz HYKHXHKHPWUIEL-MLGOLLRUSA-N 0 0 427.527 -0.307 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000429680395 1072519454 /nfs/dbraw/zinc/51/94/54/1072519454.db2.gz HYKHXHKHPWUIEL-WBMJQRKESA-N 0 0 427.527 -0.307 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)o1 ZINC000429681779 1072520160 /nfs/dbraw/zinc/52/01/60/1072520160.db2.gz LOZZMEPUVBOHKP-UHFFFAOYSA-N 0 0 428.449 -0.279 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)CO)CC1 ZINC000429685476 1072520080 /nfs/dbraw/zinc/52/00/80/1072520080.db2.gz MRXTVBOSLFDCEG-UHFFFAOYSA-N 0 0 427.527 -0.745 20 0 IBADRN O=C(Nc1cnns1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000429686057 1072520023 /nfs/dbraw/zinc/52/00/23/1072520023.db2.gz QQYVBVKNZVQVCM-UHFFFAOYSA-N 0 0 439.475 -0.219 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCO[C@H](C(=O)OC)C1 ZINC000429690509 1072607471 /nfs/dbraw/zinc/60/74/71/1072607471.db2.gz JGRBWZJXLDTNDA-INIZCTEOSA-N 0 0 428.511 -0.008 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCO[C@@H](C(=O)OC)C1 ZINC000429690510 1072607530 /nfs/dbraw/zinc/60/75/30/1072607530.db2.gz JGRBWZJXLDTNDA-MRXNPFEDSA-N 0 0 428.511 -0.008 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCO[C@H](C(=O)NC)C1 ZINC000429691566 1072607632 /nfs/dbraw/zinc/60/76/32/1072607632.db2.gz WXOZCBGSVCWENI-INIZCTEOSA-N 0 0 427.527 -0.435 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCO[C@@H](C(=O)NC)C1 ZINC000429691567 1072607506 /nfs/dbraw/zinc/60/75/06/1072607506.db2.gz WXOZCBGSVCWENI-MRXNPFEDSA-N 0 0 427.527 -0.435 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[C@@H](NS(C)(=O)=O)C1 ZINC000429695129 1072761721 /nfs/dbraw/zinc/76/17/21/1072761721.db2.gz OAGIGYMOPPDRRG-CYBMUJFWSA-N 0 0 447.583 -0.258 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[C@H](NS(C)(=O)=O)C1 ZINC000429695130 1072761855 /nfs/dbraw/zinc/76/18/55/1072761855.db2.gz OAGIGYMOPPDRRG-ZDUSSCGKSA-N 0 0 447.583 -0.258 20 0 IBADRN C[C@@H](C1CC1)n1nccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000429695864 1072761744 /nfs/dbraw/zinc/76/17/44/1072761744.db2.gz HXNSNMLARJQTNW-AWEZNQCLSA-N 0 0 432.481 -0.678 20 0 IBADRN C[C@H](C1CC1)n1nccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000429695865 1072761778 /nfs/dbraw/zinc/76/17/78/1072761778.db2.gz HXNSNMLARJQTNW-CQSZACIVSA-N 0 0 432.481 -0.678 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n1 ZINC000429696927 1072761820 /nfs/dbraw/zinc/76/18/20/1072761820.db2.gz HTLBKCUSMDPCON-UHFFFAOYSA-N 0 0 440.464 -0.833 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)n1 ZINC000429697571 1072761765 /nfs/dbraw/zinc/76/17/65/1072761765.db2.gz AENKSZYDFYXJHA-UHFFFAOYSA-N 0 0 440.508 -0.016 20 0 IBADRN CCOC(=O)c1ncn(C2CCN([C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1N ZINC000429697593 1072762166 /nfs/dbraw/zinc/76/21/66/1072762166.db2.gz AIWHKFINDQWURP-CHWSQXEVSA-N 0 0 427.527 -0.029 20 0 IBADRN CCOC(=O)c1ncn(C2CCN([C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1N ZINC000429697594 1072762329 /nfs/dbraw/zinc/76/23/29/1072762329.db2.gz AIWHKFINDQWURP-OLZOCXBDSA-N 0 0 427.527 -0.029 20 0 IBADRN CCOC(=O)c1ncn(C2CCN([C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1N ZINC000429697595 1072762175 /nfs/dbraw/zinc/76/21/75/1072762175.db2.gz AIWHKFINDQWURP-QWHCGFSZSA-N 0 0 427.527 -0.029 20 0 IBADRN CCOC(=O)c1ncn(C2CCN([C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1N ZINC000429697596 1072762269 /nfs/dbraw/zinc/76/22/69/1072762269.db2.gz AIWHKFINDQWURP-STQMWFEESA-N 0 0 427.527 -0.029 20 0 IBADRN Cc1ccnc(-n2nccc2NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000429699212 1072762122 /nfs/dbraw/zinc/76/21/22/1072762122.db2.gz NYLUEUOAPGIWEZ-UHFFFAOYSA-N 0 0 441.492 -0.483 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n1 ZINC000429699308 1072762340 /nfs/dbraw/zinc/76/23/40/1072762340.db2.gz OUWXBTCCXVRKJW-UHFFFAOYSA-N 0 0 442.480 -0.587 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)o1 ZINC000429700117 1072762360 /nfs/dbraw/zinc/76/23/60/1072762360.db2.gz QMXMTVUYNUJKGY-UHFFFAOYSA-N 0 0 444.492 -0.226 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)o1 ZINC000429701604 1072762189 /nfs/dbraw/zinc/76/21/89/1072762189.db2.gz VDGYEALZDIIUAA-UHFFFAOYSA-N 0 0 430.465 -0.033 20 0 IBADRN Cc1ccnc(-n2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000429701831 1072762372 /nfs/dbraw/zinc/76/23/72/1072762372.db2.gz VJADUMMZEXYISX-UHFFFAOYSA-N 0 0 427.465 -0.290 20 0 IBADRN CCOC(=O)c1ncn(C2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1N ZINC000429704059 1072762944 /nfs/dbraw/zinc/76/29/44/1072762944.db2.gz UBDCBFIXGPATDJ-LLVKDONJSA-N 0 0 447.496 -0.470 20 0 IBADRN CCOC(=O)c1ncn(C2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1N ZINC000429704060 1072762750 /nfs/dbraw/zinc/76/27/50/1072762750.db2.gz UBDCBFIXGPATDJ-NSHDSACASA-N 0 0 447.496 -0.470 20 0 IBADRN O=C(Nc1ccnn1Cc1ccc(F)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000429706007 1072762791 /nfs/dbraw/zinc/76/27/91/1072762791.db2.gz UWAWMHVPNGOQEJ-UHFFFAOYSA-N 0 0 442.451 -0.042 20 0 IBADRN CCCN(CCO)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429706574 1072763495 /nfs/dbraw/zinc/76/34/95/1072763495.db2.gz DUXSCXKFWWOURZ-AWEZNQCLSA-N 0 0 429.495 -0.399 20 0 IBADRN CCCN(CCO)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429706575 1072763406 /nfs/dbraw/zinc/76/34/06/1072763406.db2.gz DUXSCXKFWWOURZ-CQSZACIVSA-N 0 0 429.495 -0.399 20 0 IBADRN Cc1ccnc(-n2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000429706977 1072762979 /nfs/dbraw/zinc/76/29/79/1072762979.db2.gz XTKCVBWXOKRQTR-UHFFFAOYSA-N 0 0 425.449 -0.536 20 0 IBADRN COCCOc1ccc(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000429707584 1072763535 /nfs/dbraw/zinc/76/35/35/1072763535.db2.gz JLOYCLGQSISZBT-UHFFFAOYSA-N 0 0 440.566 -0.109 20 0 IBADRN COC(=O)C12CCC(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)(CC1)C2 ZINC000429708359 1072763281 /nfs/dbraw/zinc/76/32/81/1072763281.db2.gz MDSRZAVOVBCQES-UHFFFAOYSA-N 0 0 428.555 -0.254 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cn(C)c(=O)c4ccccc34)CC2)CC1 ZINC000429710719 1072763363 /nfs/dbraw/zinc/76/33/63/1072763363.db2.gz ZBISVPUKGZXRMX-UHFFFAOYSA-N 0 0 433.534 -0.212 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)COC)CC1 ZINC000429712453 1072761495 /nfs/dbraw/zinc/76/14/95/1072761495.db2.gz BOPMJMPOSSAMRB-UHFFFAOYSA-N 0 0 441.554 -0.091 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)n1 ZINC000429718815 1072784544 /nfs/dbraw/zinc/78/45/44/1072784544.db2.gz JZMDDLBWOSGBFR-UHFFFAOYSA-N 0 0 428.497 -0.114 20 0 IBADRN COC(=O)CN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429722493 1072784667 /nfs/dbraw/zinc/78/46/67/1072784667.db2.gz ZCJSWEVNHXDVME-GFCCVEGCSA-N 0 0 429.451 -0.998 20 0 IBADRN COC(=O)CN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429722494 1072784568 /nfs/dbraw/zinc/78/45/68/1072784568.db2.gz ZCJSWEVNHXDVME-LBPRGKRZSA-N 0 0 429.451 -0.998 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCCCNS(C)(=O)=O)cc1C ZINC000429722580 1072785114 /nfs/dbraw/zinc/78/51/14/1072785114.db2.gz ZPBSINNAQTXEJX-HNNXBMFYSA-N 0 0 448.567 -0.729 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCCCNS(C)(=O)=O)cc1C ZINC000429722584 1072785215 /nfs/dbraw/zinc/78/52/15/1072785215.db2.gz ZPBSINNAQTXEJX-OAHLLOKOSA-N 0 0 448.567 -0.729 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000429722819 1072785106 /nfs/dbraw/zinc/78/51/06/1072785106.db2.gz TXRKLMQXXYYVPB-UHFFFAOYSA-N 0 0 433.508 -0.866 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@](C)(O)CN2c3ccccc3C(C)(C)C2=O)CC1 ZINC000429728304 1072882441 /nfs/dbraw/zinc/88/24/41/1072882441.db2.gz FGTFGYFLAOUDOG-HSZRJFAPSA-N 0 0 446.548 -0.031 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@](C)(O)CN2c3ccccc3C(C)(C)C2=O)CC1 ZINC000429728308 1072882724 /nfs/dbraw/zinc/88/27/24/1072882724.db2.gz FGTFGYFLAOUDOG-QHCPKHFHSA-N 0 0 446.548 -0.031 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429731723 1072900925 /nfs/dbraw/zinc/90/09/25/1072900925.db2.gz SQOQLVFRDWVCKA-LLVKDONJSA-N 0 0 438.428 -0.178 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429731724 1072901065 /nfs/dbraw/zinc/90/10/65/1072901065.db2.gz SQOQLVFRDWVCKA-NSHDSACASA-N 0 0 438.428 -0.178 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000429754334 1072960149 /nfs/dbraw/zinc/96/01/49/1072960149.db2.gz BSKBGWSLASSWHD-UHFFFAOYSA-N 0 0 433.556 -0.042 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000429759234 1072965293 /nfs/dbraw/zinc/96/52/93/1072965293.db2.gz PBCBREURCBWCBX-UHFFFAOYSA-N 0 0 443.526 -0.122 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000429760248 1072965810 /nfs/dbraw/zinc/96/58/10/1072965810.db2.gz MXXLIZVCEAOOPJ-UHFFFAOYSA-N 0 0 428.496 -0.998 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429762137 1072965709 /nfs/dbraw/zinc/96/57/09/1072965709.db2.gz FKPLOSKFOQVNKW-UHFFFAOYSA-N 0 0 437.544 -0.435 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000429762271 1072965798 /nfs/dbraw/zinc/96/57/98/1072965798.db2.gz WAYJAQUCENUWHX-KBPBESRZSA-N 0 0 445.546 -0.527 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000429762272 1072965632 /nfs/dbraw/zinc/96/56/32/1072965632.db2.gz WAYJAQUCENUWHX-OKILXGFUSA-N 0 0 445.546 -0.527 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000429762273 1072965647 /nfs/dbraw/zinc/96/56/47/1072965647.db2.gz WAYJAQUCENUWHX-ZIAGYGMSSA-N 0 0 445.546 -0.527 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000429767122 1072965762 /nfs/dbraw/zinc/96/57/62/1072965762.db2.gz DMNKSMLYDCAYHA-UHFFFAOYSA-N 0 0 427.508 -0.242 20 0 IBADRN COC(=O)c1cc(NC(=O)NCCNS(=O)(=O)NC(C)C)cc(S(C)(=O)=O)c1 ZINC000429768542 1072965790 /nfs/dbraw/zinc/96/57/90/1072965790.db2.gz QDUZRLWQWPYHML-UHFFFAOYSA-N 0 0 436.512 -0.169 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000429769517 1072965221 /nfs/dbraw/zinc/96/52/21/1072965221.db2.gz DRDYIXWOAQLFTI-UHFFFAOYSA-N 0 0 428.496 -0.998 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429771000 1072965262 /nfs/dbraw/zinc/96/52/62/1072965262.db2.gz VBWUREZBRPFWDW-KRWDZBQOSA-N 0 0 447.517 -0.158 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429771005 1072965234 /nfs/dbraw/zinc/96/52/34/1072965234.db2.gz VBWUREZBRPFWDW-QGZVFWFLSA-N 0 0 447.517 -0.158 20 0 IBADRN Cn1c2nnc(CN3CCN(S(=O)(=O)c4cc[nH]n4)CC3)n2c2ccsc2c1=O ZINC000429771263 1072965062 /nfs/dbraw/zinc/96/50/62/1072965062.db2.gz MHNJDNOMRBRHRP-UHFFFAOYSA-N 0 0 434.507 -0.128 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429772452 1072424473 /nfs/dbraw/zinc/42/44/73/1072424473.db2.gz IRMZRWQBKRZTOL-HNNXBMFYSA-N 0 0 434.526 -0.757 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429772453 1072424418 /nfs/dbraw/zinc/42/44/18/1072424418.db2.gz IRMZRWQBKRZTOL-OAHLLOKOSA-N 0 0 434.526 -0.757 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429774178 1072424927 /nfs/dbraw/zinc/42/49/27/1072424927.db2.gz MNQVYXFVOFWDEI-GFCCVEGCSA-N 0 0 442.523 -0.609 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429774203 1072424916 /nfs/dbraw/zinc/42/49/16/1072424916.db2.gz MNQVYXFVOFWDEI-LBPRGKRZSA-N 0 0 442.523 -0.609 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000429776139 1072424995 /nfs/dbraw/zinc/42/49/95/1072424995.db2.gz QZMRVETVVWPLQQ-UHFFFAOYSA-N 0 0 436.450 -0.207 20 0 IBADRN Cn1c2nnc(CN3CCN(S(=O)(=O)c4cc[nH]n4)CC3)n2c2ccccc2c1=O ZINC000429776415 1072424898 /nfs/dbraw/zinc/42/48/98/1072424898.db2.gz SBQGTDFRKSHSDR-UHFFFAOYSA-N 0 0 428.478 -0.189 20 0 IBADRN C[C@]1(CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCOC1 ZINC000429776651 1072424909 /nfs/dbraw/zinc/42/49/09/1072424909.db2.gz TTZMEISPRVDDOM-AUUYWEPGSA-N 0 0 441.506 -0.087 20 0 IBADRN C[C@]1(CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCOC1 ZINC000429776652 1072424990 /nfs/dbraw/zinc/42/49/90/1072424990.db2.gz TTZMEISPRVDDOM-IFXJQAMLSA-N 0 0 441.506 -0.087 20 0 IBADRN C[C@@]1(CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCOC1 ZINC000429776653 1072424873 /nfs/dbraw/zinc/42/48/73/1072424873.db2.gz TTZMEISPRVDDOM-KUHUBIRLSA-N 0 0 441.506 -0.087 20 0 IBADRN C[C@@]1(CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCOC1 ZINC000429776654 1072424957 /nfs/dbraw/zinc/42/49/57/1072424957.db2.gz TTZMEISPRVDDOM-LIRRHRJNSA-N 0 0 441.506 -0.087 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)NCCCN1CCCCCC1=O ZINC000429776832 1072425006 /nfs/dbraw/zinc/42/50/06/1072425006.db2.gz UNTNTMDLDVSKRG-UHFFFAOYSA-N 0 0 426.543 -0.375 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429778089 1072425020 /nfs/dbraw/zinc/42/50/20/1072425020.db2.gz YKVYUSBHJWBDAT-UHFFFAOYSA-N 0 0 438.535 -0.068 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](CO)Cc1ccc(Br)cc1)S(N)(=O)=O ZINC000429781174 1072424976 /nfs/dbraw/zinc/42/49/76/1072424976.db2.gz GDCYNFURRRBFTJ-CMPLNLGQSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1ccc(Br)cc1)S(N)(=O)=O ZINC000429781176 1072424933 /nfs/dbraw/zinc/42/49/33/1072424933.db2.gz GDCYNFURRRBFTJ-JQWIXIFHSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1ccc(Br)cc1)S(N)(=O)=O ZINC000429781178 1072424963 /nfs/dbraw/zinc/42/49/63/1072424963.db2.gz GDCYNFURRRBFTJ-PWSUYJOCSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](CO)Cc1ccc(Br)cc1)S(N)(=O)=O ZINC000429781179 1072424961 /nfs/dbraw/zinc/42/49/61/1072424961.db2.gz GDCYNFURRRBFTJ-ZYHUDNBSSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429781733 1072424987 /nfs/dbraw/zinc/42/49/87/1072424987.db2.gz FJCCYFVMTRTICA-GFCCVEGCSA-N 0 0 442.523 -0.609 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000429781734 1072425636 /nfs/dbraw/zinc/42/56/36/1072425636.db2.gz FJCCYFVMTRTICA-LBPRGKRZSA-N 0 0 442.523 -0.609 20 0 IBADRN NC(=O)NC1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000429782896 1072425730 /nfs/dbraw/zinc/42/57/30/1072425730.db2.gz XFYXVWGSGAOOGO-UHFFFAOYSA-N 0 0 445.492 -0.070 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1(O)CCCC1 ZINC000429785537 1072425743 /nfs/dbraw/zinc/42/57/43/1072425743.db2.gz LJRYGTIKXVXJEP-AWEZNQCLSA-N 0 0 441.506 -0.209 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1(O)CCCC1 ZINC000429785539 1072425723 /nfs/dbraw/zinc/42/57/23/1072425723.db2.gz LJRYGTIKXVXJEP-CQSZACIVSA-N 0 0 441.506 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc1C ZINC000429786101 1072425640 /nfs/dbraw/zinc/42/56/40/1072425640.db2.gz LNELJPJGEMYQFK-DZGCQCFKSA-N 0 0 433.552 -0.235 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc1C ZINC000429786104 1072425687 /nfs/dbraw/zinc/42/56/87/1072425687.db2.gz LNELJPJGEMYQFK-HIFRSBDPSA-N 0 0 433.552 -0.235 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc1C ZINC000429786107 1072425679 /nfs/dbraw/zinc/42/56/79/1072425679.db2.gz LNELJPJGEMYQFK-UKRRQHHQSA-N 0 0 433.552 -0.235 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc1C ZINC000429786110 1072425737 /nfs/dbraw/zinc/42/57/37/1072425737.db2.gz LNELJPJGEMYQFK-ZFWWWQNUSA-N 0 0 433.552 -0.235 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NC[C@H]2CSCCN2Cc2ccccc2)n1 ZINC000429786428 1073305824 /nfs/dbraw/zinc/30/58/24/1073305824.db2.gz NBHYHKSQLPULEN-INIZCTEOSA-N 0 0 445.549 0.039 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NC[C@@H]2CSCCN2Cc2ccccc2)n1 ZINC000429786437 1073305810 /nfs/dbraw/zinc/30/58/10/1073305810.db2.gz NBHYHKSQLPULEN-MRXNPFEDSA-N 0 0 445.549 0.039 20 0 IBADRN NC(=O)NC1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000429794630 1072424323 /nfs/dbraw/zinc/42/43/23/1072424323.db2.gz WHNGHUJRKHLIKH-GOSISDBHSA-N 0 0 431.493 -0.370 20 0 IBADRN NC(=O)NC1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000429794632 1072424280 /nfs/dbraw/zinc/42/42/80/1072424280.db2.gz WHNGHUJRKHLIKH-SFHVURJKSA-N 0 0 431.493 -0.370 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](CO)Cc1ccccc1Br)S(N)(=O)=O ZINC000429796624 1071519214 /nfs/dbraw/zinc/51/92/14/1071519214.db2.gz PVPNGOSYLPEBFZ-GHMZBOCLSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1ccccc1Br)S(N)(=O)=O ZINC000429796625 1071518854 /nfs/dbraw/zinc/51/88/54/1071518854.db2.gz PVPNGOSYLPEBFZ-MNOVXSKESA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1ccccc1Br)S(N)(=O)=O ZINC000429796626 1071518841 /nfs/dbraw/zinc/51/88/41/1071518841.db2.gz PVPNGOSYLPEBFZ-QWRGUYRKSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](CO)Cc1ccccc1Br)S(N)(=O)=O ZINC000429796627 1071519168 /nfs/dbraw/zinc/51/91/68/1071519168.db2.gz PVPNGOSYLPEBFZ-WDEREUQCSA-N 0 0 436.328 -0.491 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2c1=O ZINC000429797004 1071519121 /nfs/dbraw/zinc/51/91/21/1071519121.db2.gz RJLXAJDXFNOXOF-HNNXBMFYSA-N 0 0 446.529 -0.400 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2c1=O ZINC000429797005 1071518822 /nfs/dbraw/zinc/51/88/22/1071518822.db2.gz RJLXAJDXFNOXOF-OAHLLOKOSA-N 0 0 446.529 -0.400 20 0 IBADRN COC(=O)C12CCC(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)(CC1)C2 ZINC000429797273 1074508423 /nfs/dbraw/zinc/50/84/23/1074508423.db2.gz UYKOKYHEEFNKRG-APMMTJHUSA-N 0 0 441.550 -0.443 20 0 IBADRN COC(=O)C12CCC(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)(CC1)C2 ZINC000429797275 1074508878 /nfs/dbraw/zinc/50/88/78/1074508878.db2.gz UYKOKYHEEFNKRG-VMEOHVCESA-N 0 0 441.550 -0.443 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@H]2CSCCN2Cc2ccccc2)n1 ZINC000429797815 1073313936 /nfs/dbraw/zinc/31/39/36/1073313936.db2.gz XPQCQXXTEKYZKD-HNNXBMFYSA-N 0 0 431.522 -0.304 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@@H]2CSCCN2Cc2ccccc2)n1 ZINC000429797838 1071529702 /nfs/dbraw/zinc/52/97/02/1071529702.db2.gz XPQCQXXTEKYZKD-OAHLLOKOSA-N 0 0 431.522 -0.304 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(C)Cc2cc(OC)ccc2Br)n1 ZINC000429801363 1071396103 /nfs/dbraw/zinc/39/61/03/1071396103.db2.gz HHWITHLOFRZQKB-UHFFFAOYSA-N 0 0 439.270 0.392 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](CO)Cc1cccc(Br)c1)S(N)(=O)=O ZINC000429803741 1071440683 /nfs/dbraw/zinc/44/06/83/1071440683.db2.gz QZWLQQNYTXFXPH-CMPLNLGQSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1cccc(Br)c1)S(N)(=O)=O ZINC000429803742 1071440966 /nfs/dbraw/zinc/44/09/66/1071440966.db2.gz QZWLQQNYTXFXPH-JQWIXIFHSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1cccc(Br)c1)S(N)(=O)=O ZINC000429803744 1071440917 /nfs/dbraw/zinc/44/09/17/1071440917.db2.gz QZWLQQNYTXFXPH-PWSUYJOCSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](CO)Cc1cccc(Br)c1)S(N)(=O)=O ZINC000429803745 1071440943 /nfs/dbraw/zinc/44/09/43/1071440943.db2.gz QZWLQQNYTXFXPH-ZYHUDNBSSA-N 0 0 436.328 -0.491 20 0 IBADRN C[C@]1(O)CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000429805356 1071470558 /nfs/dbraw/zinc/47/05/58/1071470558.db2.gz ARVQALRCYDSBSB-ACJLOTCBSA-N 0 0 427.479 -0.647 20 0 IBADRN C[C@@]1(O)CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000429805362 1071470510 /nfs/dbraw/zinc/47/05/10/1071470510.db2.gz ARVQALRCYDSBSB-FZKQIMNGSA-N 0 0 427.479 -0.647 20 0 IBADRN C[C@@]1(O)CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000429805365 1071470657 /nfs/dbraw/zinc/47/06/57/1071470657.db2.gz ARVQALRCYDSBSB-SCLBCKFNSA-N 0 0 427.479 -0.647 20 0 IBADRN C[C@]1(O)CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000429805367 1071470373 /nfs/dbraw/zinc/47/03/73/1071470373.db2.gz ARVQALRCYDSBSB-UGSOOPFHSA-N 0 0 427.479 -0.647 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H](O)C1CC1 ZINC000429806664 1071469711 /nfs/dbraw/zinc/46/97/11/1071469711.db2.gz HKRDJEFMFUOKJG-KBPBESRZSA-N 0 0 427.479 -0.743 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H](O)C1CC1 ZINC000429806675 1071470530 /nfs/dbraw/zinc/47/05/30/1071470530.db2.gz HKRDJEFMFUOKJG-KGLIPLIRSA-N 0 0 427.479 -0.743 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H](O)C1CC1 ZINC000429806678 1071470545 /nfs/dbraw/zinc/47/05/45/1071470545.db2.gz HKRDJEFMFUOKJG-UONOGXRCSA-N 0 0 427.479 -0.743 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H](O)C1CC1 ZINC000429806681 1071470649 /nfs/dbraw/zinc/47/06/49/1071470649.db2.gz HKRDJEFMFUOKJG-ZIAGYGMSSA-N 0 0 427.479 -0.743 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCCC[C@H]1CCO ZINC000429807551 1071475529 /nfs/dbraw/zinc/47/55/29/1071475529.db2.gz FNEVHWNWTCVJNC-HNNXBMFYSA-N 0 0 438.506 -0.491 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCCC[C@@H]1CCO ZINC000429807555 1071475650 /nfs/dbraw/zinc/47/56/50/1071475650.db2.gz FNEVHWNWTCVJNC-OAHLLOKOSA-N 0 0 438.506 -0.491 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000429807814 1071472310 /nfs/dbraw/zinc/47/23/10/1071472310.db2.gz JMPCXWROWBOQGI-INIZCTEOSA-N 0 0 439.538 -0.301 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000429807818 1071472291 /nfs/dbraw/zinc/47/22/91/1071472291.db2.gz JMPCXWROWBOQGI-MRXNPFEDSA-N 0 0 439.538 -0.301 20 0 IBADRN COC(C)(C)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429808215 1071472262 /nfs/dbraw/zinc/47/22/62/1071472262.db2.gz GZVYBOWFACGNFA-CYBMUJFWSA-N 0 0 429.495 -0.089 20 0 IBADRN COC(C)(C)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429808219 1071472370 /nfs/dbraw/zinc/47/23/70/1071472370.db2.gz GZVYBOWFACGNFA-ZDUSSCGKSA-N 0 0 429.495 -0.089 20 0 IBADRN CN(C[C@@H]1CCOC1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429809716 1071484718 /nfs/dbraw/zinc/48/47/18/1071484718.db2.gz SHCXITCKPKVCLI-DZGCQCFKSA-N 0 0 441.506 -0.135 20 0 IBADRN CN(C[C@H]1CCOC1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429809717 1071484712 /nfs/dbraw/zinc/48/47/12/1071484712.db2.gz SHCXITCKPKVCLI-HIFRSBDPSA-N 0 0 441.506 -0.135 20 0 IBADRN CN(C[C@H]1CCOC1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429809718 1071484635 /nfs/dbraw/zinc/48/46/35/1071484635.db2.gz SHCXITCKPKVCLI-UKRRQHHQSA-N 0 0 441.506 -0.135 20 0 IBADRN CN(C[C@@H]1CCOC1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429809719 1071484731 /nfs/dbraw/zinc/48/47/31/1071484731.db2.gz SHCXITCKPKVCLI-ZFWWWQNUSA-N 0 0 441.506 -0.135 20 0 IBADRN CNc1ccc(S(=O)(=O)NC)cc1NS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000429811360 1071484781 /nfs/dbraw/zinc/48/47/81/1071484781.db2.gz DNPZERHSAVEXMA-UHFFFAOYSA-N 0 0 428.558 -0.340 20 0 IBADRN CCN(CC(C)(C)O)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429812123 1071484653 /nfs/dbraw/zinc/48/46/53/1071484653.db2.gz GBCPIUFOYCKZQY-AWEZNQCLSA-N 0 0 443.522 -0.010 20 0 IBADRN CCN(CC(C)(C)O)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429812125 1071484801 /nfs/dbraw/zinc/48/48/01/1071484801.db2.gz GBCPIUFOYCKZQY-CQSZACIVSA-N 0 0 443.522 -0.010 20 0 IBADRN CC[C@@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)OC ZINC000429812345 1071495536 /nfs/dbraw/zinc/49/55/36/1071495536.db2.gz YKIUFHCDOOBCJL-CHWSQXEVSA-N 0 0 443.478 -0.562 20 0 IBADRN CC[C@@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)OC ZINC000429812347 1071495623 /nfs/dbraw/zinc/49/56/23/1071495623.db2.gz YKIUFHCDOOBCJL-OLZOCXBDSA-N 0 0 443.478 -0.562 20 0 IBADRN CC[C@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)OC ZINC000429812349 1071495645 /nfs/dbraw/zinc/49/56/45/1071495645.db2.gz YKIUFHCDOOBCJL-QWHCGFSZSA-N 0 0 443.478 -0.562 20 0 IBADRN CC[C@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)OC ZINC000429812352 1071495654 /nfs/dbraw/zinc/49/56/54/1071495654.db2.gz YKIUFHCDOOBCJL-STQMWFEESA-N 0 0 443.478 -0.562 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)OCC(=O)N2 ZINC000429814263 1071496343 /nfs/dbraw/zinc/49/63/43/1071496343.db2.gz LCGLYZACAUAPPM-LLVKDONJSA-N 0 0 433.508 -0.024 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)OCC(=O)N2 ZINC000429814264 1071496249 /nfs/dbraw/zinc/49/62/49/1071496249.db2.gz LCGLYZACAUAPPM-NSHDSACASA-N 0 0 433.508 -0.024 20 0 IBADRN CO[C@H]1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000429814859 1071500074 /nfs/dbraw/zinc/50/00/74/1071500074.db2.gz XOBQQUYVSKJSAF-GXTWGEPZSA-N 0 0 427.479 -0.383 20 0 IBADRN CO[C@H]1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000429814860 1071500225 /nfs/dbraw/zinc/50/02/25/1071500225.db2.gz XOBQQUYVSKJSAF-JSGCOSHPSA-N 0 0 427.479 -0.383 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)CC1 ZINC000429818522 1071504788 /nfs/dbraw/zinc/50/47/88/1071504788.db2.gz HNPDEZQEZPPEIO-UHFFFAOYSA-N 0 0 426.495 -0.141 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000429820187 1071505022 /nfs/dbraw/zinc/50/50/22/1071505022.db2.gz ARDAUPXRGNWPLD-UHFFFAOYSA-N 0 0 443.478 -0.478 20 0 IBADRN CC(C)[C@@H](CCO)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429821127 1071504963 /nfs/dbraw/zinc/50/49/63/1071504963.db2.gz ZMKLCUGEYRXNAP-CABCVRRESA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@H](CCO)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429821130 1071504891 /nfs/dbraw/zinc/50/48/91/1071504891.db2.gz ZMKLCUGEYRXNAP-GJZGRUSLSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@@H](CCO)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429821132 1071504932 /nfs/dbraw/zinc/50/49/32/1071504932.db2.gz ZMKLCUGEYRXNAP-HUUCEWRRSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@H](CCO)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429821133 1071504921 /nfs/dbraw/zinc/50/49/21/1071504921.db2.gz ZMKLCUGEYRXNAP-LSDHHAIUSA-N 0 0 443.522 -0.107 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)NCCn1ncnn1 ZINC000429821482 1071504908 /nfs/dbraw/zinc/50/49/08/1071504908.db2.gz OGHWYVKEOOYARN-LLVKDONJSA-N 0 0 449.415 -0.579 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)NCCn1ncnn1 ZINC000429821483 1071505069 /nfs/dbraw/zinc/50/50/69/1071505069.db2.gz OGHWYVKEOOYARN-NSHDSACASA-N 0 0 449.415 -0.579 20 0 IBADRN C[C@H](O)CCN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429822415 1071511540 /nfs/dbraw/zinc/51/15/40/1071511540.db2.gz RINVSBMKBGMIAZ-GXTWGEPZSA-N 0 0 429.495 -0.401 20 0 IBADRN C[C@H](O)CCN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429822416 1071511440 /nfs/dbraw/zinc/51/14/40/1071511440.db2.gz RINVSBMKBGMIAZ-JSGCOSHPSA-N 0 0 429.495 -0.401 20 0 IBADRN C[C@@H](O)CCN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429822418 1071511517 /nfs/dbraw/zinc/51/15/17/1071511517.db2.gz RINVSBMKBGMIAZ-OCCSQVGLSA-N 0 0 429.495 -0.401 20 0 IBADRN C[C@@H](O)CCN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429822419 1071511362 /nfs/dbraw/zinc/51/13/62/1071511362.db2.gz RINVSBMKBGMIAZ-TZMCWYRMSA-N 0 0 429.495 -0.401 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)OCC(=O)N2 ZINC000429822859 1071511422 /nfs/dbraw/zinc/51/14/22/1071511422.db2.gz SEYUXZPPXNEHJJ-UHFFFAOYSA-N 0 0 438.462 -0.862 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)c1 ZINC000429825637 1071511869 /nfs/dbraw/zinc/51/18/69/1071511869.db2.gz ILXYLTRHKHFQON-WBVHZDCISA-N 0 0 448.505 -0.337 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(c3nccn(C)c3=O)CC1)OCC(=O)N2 ZINC000429827812 1071512719 /nfs/dbraw/zinc/51/27/19/1071512719.db2.gz KTYFKKOVDJZINI-UHFFFAOYSA-N 0 0 435.462 -0.369 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC(=O)N1C ZINC000429830413 1071514381 /nfs/dbraw/zinc/51/43/81/1071514381.db2.gz RZIHMMOLYVRSHB-JXFKEZNVSA-N 0 0 438.488 -0.172 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC(=O)N1C ZINC000429830416 1071514393 /nfs/dbraw/zinc/51/43/93/1071514393.db2.gz RZIHMMOLYVRSHB-OXJNMPFZSA-N 0 0 438.488 -0.172 20 0 IBADRN Cn1nccc1[C@H]1[C@H](C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC(=O)N1C ZINC000429830419 1071514553 /nfs/dbraw/zinc/51/45/53/1071514553.db2.gz RZIHMMOLYVRSHB-OXQOHEQNSA-N 0 0 438.488 -0.172 20 0 IBADRN Cn1nccc1[C@@H]1[C@H](C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC(=O)N1C ZINC000429830421 1071514458 /nfs/dbraw/zinc/51/44/58/1071514458.db2.gz RZIHMMOLYVRSHB-UZLBHIALSA-N 0 0 438.488 -0.172 20 0 IBADRN CO[C@@H]1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000429831004 1071515091 /nfs/dbraw/zinc/51/50/91/1071515091.db2.gz XOBQQUYVSKJSAF-OCCSQVGLSA-N 0 0 427.479 -0.383 20 0 IBADRN CO[C@@H]1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000429831007 1071515001 /nfs/dbraw/zinc/51/50/01/1071515001.db2.gz XOBQQUYVSKJSAF-TZMCWYRMSA-N 0 0 427.479 -0.383 20 0 IBADRN Cn1ncc(Cl)c1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000429833194 1071515253 /nfs/dbraw/zinc/51/52/53/1071515253.db2.gz XSPKRRCTUBIFIL-UHFFFAOYSA-N 0 0 448.958 -0.101 20 0 IBADRN Cn1ncc(Cl)c1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000429833563 1071514976 /nfs/dbraw/zinc/51/49/76/1071514976.db2.gz YOAOTJRXHHOTCU-UHFFFAOYSA-N 0 0 437.887 -0.539 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(C)CC1)OCC(=O)N2 ZINC000429833971 1071515145 /nfs/dbraw/zinc/51/51/45/1071515145.db2.gz XQWDCYOZAXSQJZ-AWEZNQCLSA-N 0 0 438.506 -0.047 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(C)CC1)OCC(=O)N2 ZINC000429833972 1071515197 /nfs/dbraw/zinc/51/51/97/1071515197.db2.gz XQWDCYOZAXSQJZ-CQSZACIVSA-N 0 0 438.506 -0.047 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)Nc3ccc(N4CCOCC4)nc3)CC(=O)N2C)cnn1C ZINC000429834437 1071516268 /nfs/dbraw/zinc/51/62/68/1071516268.db2.gz ZEACLDBKMXGVNI-APWZRJJASA-N 0 0 441.492 -0.013 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NCC1CCN(S(C)(=O)=O)CC1)OCC(=O)N2 ZINC000429835111 1071516381 /nfs/dbraw/zinc/51/63/81/1071516381.db2.gz WUOYHLKVAIPHGX-UHFFFAOYSA-N 0 0 433.508 -0.024 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NCCC(=O)N1CCN(C(C)=O)CC1)OCC(=O)N2 ZINC000429835676 1071517059 /nfs/dbraw/zinc/51/70/59/1071517059.db2.gz ZFYBIXUZVXSKDX-UHFFFAOYSA-N 0 0 440.478 -0.615 20 0 IBADRN O=C(N[C@@H](CO)CC(F)F)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000429836158 1071516414 /nfs/dbraw/zinc/51/64/14/1071516414.db2.gz GJYPMXPELLKBTJ-DOMZBBRYSA-N 0 0 427.404 -0.228 20 0 IBADRN O=C(N[C@@H](CO)CC(F)F)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000429836159 1071516546 /nfs/dbraw/zinc/51/65/46/1071516546.db2.gz GJYPMXPELLKBTJ-IUODEOHRSA-N 0 0 427.404 -0.228 20 0 IBADRN O=C(N[C@H](CO)CC(F)F)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000429836160 1071516247 /nfs/dbraw/zinc/51/62/47/1071516247.db2.gz GJYPMXPELLKBTJ-SWLSCSKDSA-N 0 0 427.404 -0.228 20 0 IBADRN O=C(N[C@H](CO)CC(F)F)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000429836161 1071516399 /nfs/dbraw/zinc/51/63/99/1071516399.db2.gz GJYPMXPELLKBTJ-WFASDCNBSA-N 0 0 427.404 -0.228 20 0 IBADRN Cn1ncc(Cl)c1S(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000429836686 1071549308 /nfs/dbraw/zinc/54/93/08/1071549308.db2.gz BXFYOUTYEGICNM-UHFFFAOYSA-N 0 0 427.936 -0.607 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000429837513 1071550543 /nfs/dbraw/zinc/55/05/43/1071550543.db2.gz CPBUJEZIVQUIGK-UHFFFAOYSA-N 0 0 447.277 -0.359 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H](CO)CC(F)F)cc2)CC1 ZINC000429838186 1071549358 /nfs/dbraw/zinc/54/93/58/1071549358.db2.gz NPASPDSROZNPLD-CYBMUJFWSA-N 0 0 434.465 -0.307 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H](CO)CC(F)F)cc2)CC1 ZINC000429838187 1071549326 /nfs/dbraw/zinc/54/93/26/1071549326.db2.gz NPASPDSROZNPLD-ZDUSSCGKSA-N 0 0 434.465 -0.307 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)C1=O ZINC000429838655 1071550533 /nfs/dbraw/zinc/55/05/33/1071550533.db2.gz PPPMDWYMRWZAAD-JTQLQIEISA-N 0 0 427.435 -0.532 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)C1=O ZINC000429838660 1071550596 /nfs/dbraw/zinc/55/05/96/1071550596.db2.gz PPPMDWYMRWZAAD-SNVBAGLBSA-N 0 0 427.435 -0.532 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCS1(=O)=O ZINC000429840298 1071550479 /nfs/dbraw/zinc/55/04/79/1071550479.db2.gz MPPVERZZFIDTDS-CYBMUJFWSA-N 0 0 443.547 -0.022 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCS1(=O)=O ZINC000429840299 1071550526 /nfs/dbraw/zinc/55/05/26/1071550526.db2.gz MPPVERZZFIDTDS-ZDUSSCGKSA-N 0 0 443.547 -0.022 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C)S(=O)(=O)CC2)cc1 ZINC000429841235 1071550576 /nfs/dbraw/zinc/55/05/76/1071550576.db2.gz OUFHFDLQVXJGOG-CYBMUJFWSA-N 0 0 439.490 -0.046 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C)S(=O)(=O)CC2)cc1 ZINC000429841237 1071550486 /nfs/dbraw/zinc/55/04/86/1071550486.db2.gz OUFHFDLQVXJGOG-ZDUSSCGKSA-N 0 0 439.490 -0.046 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000429844171 1071550007 /nfs/dbraw/zinc/55/00/07/1071550007.db2.gz KXRHEISIQACFNI-UHFFFAOYSA-N 0 0 431.234 -0.412 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@@H](C)S(=O)(=O)CC2)ccc1S(=O)(=O)N(C)C ZINC000429849962 1071560205 /nfs/dbraw/zinc/56/02/05/1071560205.db2.gz LKAJUFLLJTXJPA-GFCCVEGCSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@H](C)S(=O)(=O)CC2)ccc1S(=O)(=O)N(C)C ZINC000429849963 1071560052 /nfs/dbraw/zinc/56/00/52/1071560052.db2.gz LKAJUFLLJTXJPA-LBPRGKRZSA-N 0 0 447.535 -0.080 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](CO)CC(F)F)c2)CC1 ZINC000429850282 1071560145 /nfs/dbraw/zinc/56/01/45/1071560145.db2.gz MPQOFAJALNYKOO-CYBMUJFWSA-N 0 0 434.465 -0.307 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](CO)CC(F)F)c2)CC1 ZINC000429850284 1071560196 /nfs/dbraw/zinc/56/01/96/1071560196.db2.gz MPQOFAJALNYKOO-ZDUSSCGKSA-N 0 0 434.465 -0.307 20 0 IBADRN CN(CCCNC(=O)C1CCC1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000429853177 1071564133 /nfs/dbraw/zinc/56/41/33/1071564133.db2.gz RGGSYSOVHGCJRO-UHFFFAOYSA-N 0 0 430.465 -0.665 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC1CCN(CC(=O)N(C)C)CC1)OCC(=O)N2 ZINC000429856365 1071564166 /nfs/dbraw/zinc/56/41/66/1071564166.db2.gz HPTVMRCNSJFJIU-UHFFFAOYSA-N 0 0 426.495 -0.143 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NCCNS(=O)(=O)c1cccnc1)OCC(=O)N2 ZINC000429859012 1071564755 /nfs/dbraw/zinc/56/47/55/1071564755.db2.gz OSQJEEMMHYBIRJ-UHFFFAOYSA-N 0 0 442.475 -0.322 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC(c3ccncc3)CC2)n1)N1CCOCC1 ZINC000429866188 1073321645 /nfs/dbraw/zinc/32/16/45/1073321645.db2.gz MMWVAYHSUOAYGN-UHFFFAOYSA-N 0 0 426.477 0.482 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)OCC(=O)N2 ZINC000429866343 1071565501 /nfs/dbraw/zinc/56/55/01/1071565501.db2.gz KQVSGRDGCFJQDP-JTQLQIEISA-N 0 0 434.496 -0.780 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)OCC(=O)N2 ZINC000429866344 1071565446 /nfs/dbraw/zinc/56/54/46/1071565446.db2.gz KQVSGRDGCFJQDP-SNVBAGLBSA-N 0 0 434.496 -0.780 20 0 IBADRN CCOC(=O)c1csc(C2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)n1 ZINC000429870246 1071564738 /nfs/dbraw/zinc/56/47/38/1071564738.db2.gz JFFZZEQIMLBWCR-JTQLQIEISA-N 0 0 432.524 -0.181 20 0 IBADRN CCOC(=O)c1csc(C2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)n1 ZINC000429870247 1071564925 /nfs/dbraw/zinc/56/49/25/1071564925.db2.gz JFFZZEQIMLBWCR-SNVBAGLBSA-N 0 0 432.524 -0.181 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC(O)(CN3CCOCC3)CC1)OCC(=O)N2 ZINC000429872963 1071570353 /nfs/dbraw/zinc/57/03/53/1071570353.db2.gz ZBYCLKXSFGIZJD-UHFFFAOYSA-N 0 0 441.506 -0.126 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(c3nc(N)ns3)CC1)OCC(=O)N2 ZINC000429872977 1071570320 /nfs/dbraw/zinc/57/03/20/1071570320.db2.gz PZCHJPXSEQHECA-UHFFFAOYSA-N 0 0 426.480 -0.029 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000429873224 1071570403 /nfs/dbraw/zinc/57/04/03/1071570403.db2.gz XXJOWUXAJFJRJM-LLVKDONJSA-N 0 0 426.451 -0.959 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000429873227 1071570299 /nfs/dbraw/zinc/57/02/99/1071570299.db2.gz XXJOWUXAJFJRJM-NSHDSACASA-N 0 0 426.451 -0.959 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NCCN1CCN(c3ncccn3)CC1)OCC(=O)N2 ZINC000429873642 1071570542 /nfs/dbraw/zinc/57/05/42/1071570542.db2.gz QXZHAYGPTQBMBX-UHFFFAOYSA-N 0 0 448.505 -0.083 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(CCC(=O)N(C)C)CC1)OCC(=O)N2 ZINC000429875009 1071569790 /nfs/dbraw/zinc/56/97/90/1071569790.db2.gz ZPMIIGAHEVCFMS-UHFFFAOYSA-N 0 0 426.495 -0.189 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000429875187 1071569546 /nfs/dbraw/zinc/56/95/46/1071569546.db2.gz DBUGJXVKHOBSMS-NXEZZACHSA-N 0 0 429.451 -0.239 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000429875188 1071569678 /nfs/dbraw/zinc/56/96/78/1071569678.db2.gz DBUGJXVKHOBSMS-UWVGGRQHSA-N 0 0 429.451 -0.239 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000429875189 1071569631 /nfs/dbraw/zinc/56/96/31/1071569631.db2.gz DBUGJXVKHOBSMS-VHSXEESVSA-N 0 0 429.451 -0.239 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000429875190 1071569580 /nfs/dbraw/zinc/56/95/80/1071569580.db2.gz DBUGJXVKHOBSMS-ZJUUUORDSA-N 0 0 429.451 -0.239 20 0 IBADRN CN(CCCNC(=O)C1CCC1)C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000429875299 1071569750 /nfs/dbraw/zinc/56/97/50/1071569750.db2.gz ZWAHQIBNUBUBIX-UHFFFAOYSA-N 0 0 447.540 0.384 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CCS1(=O)=O ZINC000429881217 1071572103 /nfs/dbraw/zinc/57/21/03/1071572103.db2.gz DELXLYCZMJNCKX-GFCCVEGCSA-N 0 0 429.520 -0.017 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CCS1(=O)=O ZINC000429881218 1071572224 /nfs/dbraw/zinc/57/22/24/1071572224.db2.gz DELXLYCZMJNCKX-LBPRGKRZSA-N 0 0 429.520 -0.017 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](C)S(=O)(=O)CC1 ZINC000429882780 1071571593 /nfs/dbraw/zinc/57/15/93/1071571593.db2.gz UOIBUTSLGCADJY-GFCCVEGCSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](C)S(=O)(=O)CC1 ZINC000429882782 1071571451 /nfs/dbraw/zinc/57/14/51/1071571451.db2.gz UOIBUTSLGCADJY-LBPRGKRZSA-N 0 0 447.535 -0.080 20 0 IBADRN CCN(CC(=O)OC)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429883521 1071572077 /nfs/dbraw/zinc/57/20/77/1071572077.db2.gz CPXAOXYFQMVZEW-CYBMUJFWSA-N 0 0 443.478 -0.608 20 0 IBADRN CCN(CC(=O)OC)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429883523 1071572180 /nfs/dbraw/zinc/57/21/80/1071572180.db2.gz CPXAOXYFQMVZEW-ZDUSSCGKSA-N 0 0 443.478 -0.608 20 0 IBADRN COCCN(C)S(=O)(=O)NC[C@H](NS(=O)(=O)N(C)CCOC)c1ccccc1 ZINC000429889263 1071572068 /nfs/dbraw/zinc/57/20/68/1071572068.db2.gz HTJIGPGXQOBADT-INIZCTEOSA-N 0 0 438.572 -0.447 20 0 IBADRN COCCN(C)S(=O)(=O)NC[C@@H](NS(=O)(=O)N(C)CCOC)c1ccccc1 ZINC000429889264 1071571999 /nfs/dbraw/zinc/57/19/99/1071571999.db2.gz HTJIGPGXQOBADT-MRXNPFEDSA-N 0 0 438.572 -0.447 20 0 IBADRN CC[C@@H](COC)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429892518 1071572703 /nfs/dbraw/zinc/57/27/03/1071572703.db2.gz PEIIMZMLWWDZKX-GXTWGEPZSA-N 0 0 429.495 -0.089 20 0 IBADRN CC[C@@H](COC)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429892519 1071572619 /nfs/dbraw/zinc/57/26/19/1071572619.db2.gz PEIIMZMLWWDZKX-JSGCOSHPSA-N 0 0 429.495 -0.089 20 0 IBADRN CC[C@H](COC)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429892520 1071572691 /nfs/dbraw/zinc/57/26/91/1071572691.db2.gz PEIIMZMLWWDZKX-OCCSQVGLSA-N 0 0 429.495 -0.089 20 0 IBADRN CC[C@H](COC)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429892521 1071572773 /nfs/dbraw/zinc/57/27/73/1071572773.db2.gz PEIIMZMLWWDZKX-TZMCWYRMSA-N 0 0 429.495 -0.089 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1(O)CCC1 ZINC000429892630 1071572588 /nfs/dbraw/zinc/57/25/88/1071572588.db2.gz VMUZDIJHCVDGHA-CYBMUJFWSA-N 0 0 427.479 -0.599 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1(O)CCC1 ZINC000429892631 1071572679 /nfs/dbraw/zinc/57/26/79/1071572679.db2.gz VMUZDIJHCVDGHA-ZDUSSCGKSA-N 0 0 427.479 -0.599 20 0 IBADRN C[C@@H](CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C[C@H](C)O ZINC000429892651 1071572803 /nfs/dbraw/zinc/57/28/03/1071572803.db2.gz WMDPOTNZJXOKBN-IPYPFGDCSA-N 0 0 443.522 -0.107 20 0 IBADRN C[C@H](O)C[C@H](C)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429892653 1071572651 /nfs/dbraw/zinc/57/26/51/1071572651.db2.gz WMDPOTNZJXOKBN-KCQAQPDRSA-N 0 0 443.522 -0.107 20 0 IBADRN C[C@@H](CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C[C@H](C)O ZINC000429892654 1071572784 /nfs/dbraw/zinc/57/27/84/1071572784.db2.gz WMDPOTNZJXOKBN-VNHYZAJKSA-N 0 0 443.522 -0.107 20 0 IBADRN C[C@H](O)C[C@H](C)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429892656 1071572641 /nfs/dbraw/zinc/57/26/41/1071572641.db2.gz WMDPOTNZJXOKBN-YDHLFZDLSA-N 0 0 443.522 -0.107 20 0 IBADRN O=S(=O)(NC[C@H](NS(=O)(=O)N1CCOCC1)c1ccccc1)N1CCOCC1 ZINC000429894761 1071575302 /nfs/dbraw/zinc/57/53/02/1071575302.db2.gz YUPFBHFHCYDMIU-INIZCTEOSA-N 0 0 434.540 -0.939 20 0 IBADRN O=S(=O)(NC[C@@H](NS(=O)(=O)N1CCOCC1)c1ccccc1)N1CCOCC1 ZINC000429894762 1071575402 /nfs/dbraw/zinc/57/54/02/1071575402.db2.gz YUPFBHFHCYDMIU-MRXNPFEDSA-N 0 0 434.540 -0.939 20 0 IBADRN CC(C)[C@@H](O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429897003 1071575369 /nfs/dbraw/zinc/57/53/69/1071575369.db2.gz JUNGRECIYOBKIM-CABCVRRESA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@@H](O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429897005 1071575443 /nfs/dbraw/zinc/57/54/43/1071575443.db2.gz JUNGRECIYOBKIM-GJZGRUSLSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@H](O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429897008 1071575348 /nfs/dbraw/zinc/57/53/48/1071575348.db2.gz JUNGRECIYOBKIM-HUUCEWRRSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@H](O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429897012 1071575419 /nfs/dbraw/zinc/57/54/19/1071575419.db2.gz JUNGRECIYOBKIM-LSDHHAIUSA-N 0 0 443.522 -0.107 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429897085 1071574268 /nfs/dbraw/zinc/57/42/68/1071574268.db2.gz SLSWOCPPKDDHAT-GXSJLCMTSA-N 0 0 438.428 -0.002 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429897086 1071574432 /nfs/dbraw/zinc/57/44/32/1071574432.db2.gz SLSWOCPPKDDHAT-KOLCDFICSA-N 0 0 438.428 -0.002 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429897087 1071574345 /nfs/dbraw/zinc/57/43/45/1071574345.db2.gz SLSWOCPPKDDHAT-MWLCHTKSSA-N 0 0 438.428 -0.002 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000429897088 1071574340 /nfs/dbraw/zinc/57/43/40/1071574340.db2.gz SLSWOCPPKDDHAT-ONGXEEELSA-N 0 0 438.428 -0.002 20 0 IBADRN C[C@@](O)(CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000429904418 1071574853 /nfs/dbraw/zinc/57/48/53/1071574853.db2.gz CADRPIIRWNSWFR-AUUYWEPGSA-N 0 0 441.506 -0.353 20 0 IBADRN C[C@@](O)(CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000429904424 1071574840 /nfs/dbraw/zinc/57/48/40/1071574840.db2.gz CADRPIIRWNSWFR-IFXJQAMLSA-N 0 0 441.506 -0.353 20 0 IBADRN C[C@](O)(CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000429904426 1071574938 /nfs/dbraw/zinc/57/49/38/1071574938.db2.gz CADRPIIRWNSWFR-KUHUBIRLSA-N 0 0 441.506 -0.353 20 0 IBADRN C[C@](O)(CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000429904431 1071574916 /nfs/dbraw/zinc/57/49/16/1071574916.db2.gz CADRPIIRWNSWFR-LIRRHRJNSA-N 0 0 441.506 -0.353 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000429905817 1071574902 /nfs/dbraw/zinc/57/49/02/1071574902.db2.gz DMDXIPZWABGLCD-UHFFFAOYSA-N 0 0 445.261 -0.069 20 0 IBADRN CC(C)[C@](C)(O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429906116 1071574924 /nfs/dbraw/zinc/57/49/24/1071574924.db2.gz DQRFBNVCBWBUEK-AUUYWEPGSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@](C)(O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429906117 1071575336 /nfs/dbraw/zinc/57/53/36/1071575336.db2.gz DQRFBNVCBWBUEK-IFXJQAMLSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@@](C)(O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429906118 1071575388 /nfs/dbraw/zinc/57/53/88/1071575388.db2.gz DQRFBNVCBWBUEK-KUHUBIRLSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)[C@@](C)(O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429906120 1071575330 /nfs/dbraw/zinc/57/53/30/1071575330.db2.gz DQRFBNVCBWBUEK-LIRRHRJNSA-N 0 0 443.522 -0.107 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCn1cccc1 ZINC000429910243 1071575863 /nfs/dbraw/zinc/57/58/63/1071575863.db2.gz NAABNQYZLBEIRQ-HNNXBMFYSA-N 0 0 436.490 -0.012 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCn1cccc1 ZINC000429910244 1071575920 /nfs/dbraw/zinc/57/59/20/1071575920.db2.gz NAABNQYZLBEIRQ-OAHLLOKOSA-N 0 0 436.490 -0.012 20 0 IBADRN CC[C@](C)(O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429911880 1071575858 /nfs/dbraw/zinc/57/58/58/1071575858.db2.gz UROYNRLLWWDMCE-ACJLOTCBSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@@](C)(O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429911882 1071575938 /nfs/dbraw/zinc/57/59/38/1071575938.db2.gz UROYNRLLWWDMCE-FZKQIMNGSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@@](C)(O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429911884 1071575850 /nfs/dbraw/zinc/57/58/50/1071575850.db2.gz UROYNRLLWWDMCE-SCLBCKFNSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@](C)(O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429911886 1071575956 /nfs/dbraw/zinc/57/59/56/1071575956.db2.gz UROYNRLLWWDMCE-UGSOOPFHSA-N 0 0 429.495 -0.353 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1C[C@H]2CC[C@@H](C1)O2 ZINC000429913699 1071577352 /nfs/dbraw/zinc/57/73/52/1071577352.db2.gz IYHSUQNEZZLKFH-GUTXKFCHSA-N 0 0 439.490 -0.240 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1C[C@H]2CC[C@@H](C1)O2 ZINC000429913700 1071577332 /nfs/dbraw/zinc/57/73/32/1071577332.db2.gz IYHSUQNEZZLKFH-VNHYZAJKSA-N 0 0 439.490 -0.240 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429915343 1071577855 /nfs/dbraw/zinc/57/78/55/1071577855.db2.gz AQKGMBWIABHZRL-UHFFFAOYSA-N 0 0 449.555 -0.738 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(Cl)c(S(C)(=O)=O)c1 ZINC000429915378 1071577872 /nfs/dbraw/zinc/57/78/72/1071577872.db2.gz BACTYZQZUHLCFX-UHFFFAOYSA-N 0 0 440.931 -0.369 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(CS(=O)(=O)C(C)C)c1 ZINC000429915489 1071577978 /nfs/dbraw/zinc/57/79/78/1071577978.db2.gz CAULGTSEZGTCAL-UHFFFAOYSA-N 0 0 448.567 -0.103 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccn(Cc2ccccc2)c1=O ZINC000429915905 1071577892 /nfs/dbraw/zinc/57/78/92/1071577892.db2.gz HCJDSWKRIIOURS-UHFFFAOYSA-N 0 0 435.506 -0.216 20 0 IBADRN Cc1nc(C)n(Cc2cccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c2)n1 ZINC000429916075 1071577926 /nfs/dbraw/zinc/57/79/26/1071577926.db2.gz ITSSMOQYZHMEPL-UHFFFAOYSA-N 0 0 437.526 -0.170 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)CCOCC1 ZINC000429916306 1071577960 /nfs/dbraw/zinc/57/79/60/1071577960.db2.gz LCYYOVONOXDVJS-UHFFFAOYSA-N 0 0 437.584 -0.155 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2c(I)cnn2C)CC1 ZINC000429916339 1071560826 /nfs/dbraw/zinc/56/08/26/1071560826.db2.gz LLVMDWFBHCKLJI-UHFFFAOYSA-N 0 0 433.250 -0.166 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000429916359 1071577850 /nfs/dbraw/zinc/57/78/50/1071577850.db2.gz LRCGNOXWVBOWCW-UHFFFAOYSA-N 0 0 438.304 -0.965 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)c2nccn2C)cc1 ZINC000429916362 1071577896 /nfs/dbraw/zinc/57/78/96/1071577896.db2.gz LTBRZOJASVTPOR-UHFFFAOYSA-N 0 0 436.494 -0.462 20 0 IBADRN Cc1nc(COc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc2)no1 ZINC000429916376 1071577921 /nfs/dbraw/zinc/57/79/21/1071577921.db2.gz LUOMRAAGPPPFBA-UHFFFAOYSA-N 0 0 440.482 -0.156 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2c(I)cnn2C)CC1 ZINC000429916544 1071577933 /nfs/dbraw/zinc/57/79/33/1071577933.db2.gz MIZIREHQIMBSRY-UHFFFAOYSA-N 0 0 435.266 -0.455 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c(C)c1 ZINC000429916830 1071577902 /nfs/dbraw/zinc/57/79/02/1071577902.db2.gz NHGXGEKWAINJLQ-UHFFFAOYSA-N 0 0 430.483 -0.566 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)nn1-c1cccc(F)c1 ZINC000429917333 1071577953 /nfs/dbraw/zinc/57/79/53/1071577953.db2.gz NXYQVWHIVYFKMA-UHFFFAOYSA-N 0 0 426.474 0.207 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429917344 1071577840 /nfs/dbraw/zinc/57/78/40/1071577840.db2.gz AYFPAVDBJZRZEV-UHFFFAOYSA-N 0 0 427.527 -0.281 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cnn(-c2cnc3ccccc3n2)c1 ZINC000429917484 1071578353 /nfs/dbraw/zinc/57/83/53/1071578353.db2.gz CJVOWVNYYWVTNV-UHFFFAOYSA-N 0 0 446.493 -0.297 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(OCC(=O)N(C)C)cc1 ZINC000429917667 1071578473 /nfs/dbraw/zinc/57/84/73/1071578473.db2.gz AAIPNNWHZNSRHW-UHFFFAOYSA-N 0 0 429.499 -0.959 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cn1 ZINC000429917998 1071578337 /nfs/dbraw/zinc/57/83/37/1071578337.db2.gz CCNZGSCHJKSNFM-UHFFFAOYSA-N 0 0 432.503 -0.932 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(C2CC2)nn1-c1ncccn1 ZINC000429918002 1071578358 /nfs/dbraw/zinc/57/83/58/1071578358.db2.gz BURSHBVOHFOSGY-UHFFFAOYSA-N 0 0 436.498 -0.573 20 0 IBADRN CC(=O)N1CCCc2cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)ccc21 ZINC000429918769 1071578345 /nfs/dbraw/zinc/57/83/45/1071578345.db2.gz DKMQKWCLRHOZPV-UHFFFAOYSA-N 0 0 425.511 -0.127 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000429918788 1071578375 /nfs/dbraw/zinc/57/83/75/1071578375.db2.gz PRAGNPUMHBAFPC-UHFFFAOYSA-N 0 0 441.510 -0.954 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc([C@H](C)N2CCOCC2)c1 ZINC000429918974 1071578391 /nfs/dbraw/zinc/57/83/91/1071578391.db2.gz FWWVQJPWRCQLAE-HNNXBMFYSA-N 0 0 441.554 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc([C@@H](C)N2CCOCC2)c1 ZINC000429918976 1071578439 /nfs/dbraw/zinc/57/84/39/1071578439.db2.gz FWWVQJPWRCQLAE-OAHLLOKOSA-N 0 0 441.554 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N(C)C)c(Cl)c1 ZINC000429919117 1071578462 /nfs/dbraw/zinc/57/84/62/1071578462.db2.gz DGJLRRMGGICQKK-UHFFFAOYSA-N 0 0 433.918 -0.071 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1C ZINC000429919130 1071578413 /nfs/dbraw/zinc/57/84/13/1071578413.db2.gz DIMWXEAEOQUEKP-UHFFFAOYSA-N 0 0 449.555 -0.356 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429919380 1071578301 /nfs/dbraw/zinc/57/83/01/1071578301.db2.gz FDSXPJONJNVSFJ-UHFFFAOYSA-N 0 0 443.526 -0.326 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000429919628 1071577429 /nfs/dbraw/zinc/57/74/29/1071577429.db2.gz GKXDJCTVAGYMJK-UHFFFAOYSA-N 0 0 449.555 -0.740 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)ccc21 ZINC000429919855 1071577344 /nfs/dbraw/zinc/57/73/44/1071577344.db2.gz GZVNUQKAERXALS-UHFFFAOYSA-N 0 0 441.510 -0.891 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CCS(C)(=O)=O)cc1 ZINC000429919998 1071577398 /nfs/dbraw/zinc/57/73/98/1071577398.db2.gz RYOFZTJTGLURPF-UHFFFAOYSA-N 0 0 434.540 -0.839 20 0 IBADRN Cc1ccc(C(=O)NC2CC2)cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429920630 1071577410 /nfs/dbraw/zinc/57/74/10/1071577410.db2.gz GMLIUVAANHSJJK-UHFFFAOYSA-N 0 0 425.511 -0.226 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(-c2nnc(N(C)C)o2)cc1 ZINC000429920852 1071577360 /nfs/dbraw/zinc/57/73/60/1071577360.db2.gz UIIGEFFHNZJZJC-UHFFFAOYSA-N 0 0 439.498 -0.310 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1 ZINC000429920866 1071577448 /nfs/dbraw/zinc/57/74/48/1071577448.db2.gz UNNYUFUIBIROCR-UHFFFAOYSA-N 0 0 436.494 -0.821 20 0 IBADRN Cc1noc(COc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc2)n1 ZINC000429921985 1071579027 /nfs/dbraw/zinc/57/90/27/1071579027.db2.gz IUXYXXSZTAZQBQ-UHFFFAOYSA-N 0 0 440.482 -0.156 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(N2CCCNC2=O)c1 ZINC000429922095 1071579035 /nfs/dbraw/zinc/57/90/35/1071579035.db2.gz VVEDZTXVWYDDHR-UHFFFAOYSA-N 0 0 426.499 -0.507 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc21 ZINC000429922103 1071579083 /nfs/dbraw/zinc/57/90/83/1071579083.db2.gz VXFVCOUMSBDESX-UHFFFAOYSA-N 0 0 440.526 -0.334 20 0 IBADRN CC(C)NC(=O)Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429922712 1071579016 /nfs/dbraw/zinc/57/90/16/1071579016.db2.gz JKDBSRDJYBNJIZ-UHFFFAOYSA-N 0 0 427.527 -0.359 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1SCCC(N)=O ZINC000429922882 1071579060 /nfs/dbraw/zinc/57/90/60/1071579060.db2.gz XDJCFNVYUXFQOE-UHFFFAOYSA-N 0 0 431.540 -0.459 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NC[C@]2(Cc3ccc(F)cc3)CCC[C@@H]2O)n1 ZINC000429923161 1071578893 /nfs/dbraw/zinc/57/88/93/1071578893.db2.gz IYZFUFNADLZOCZ-HRAATJIYSA-N 0 0 446.483 0.334 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NC[C@@]2(Cc3ccc(F)cc3)CCC[C@H]2O)n1 ZINC000429923163 1071579000 /nfs/dbraw/zinc/57/90/00/1071579000.db2.gz IYZFUFNADLZOCZ-IERDGZPVSA-N 0 0 446.483 0.334 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NC[C@]2(Cc3ccc(F)cc3)CCC[C@H]2O)n1 ZINC000429923165 1071578931 /nfs/dbraw/zinc/57/89/31/1071578931.db2.gz IYZFUFNADLZOCZ-IIBYNOLFSA-N 0 0 446.483 0.334 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NC[C@@]2(Cc3ccc(F)cc3)CCC[C@@H]2O)n1 ZINC000429923167 1071578919 /nfs/dbraw/zinc/57/89/19/1071578919.db2.gz IYZFUFNADLZOCZ-KKSFZXQISA-N 0 0 446.483 0.334 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)ccc1N1CCCC1=O ZINC000429923648 1071579431 /nfs/dbraw/zinc/57/94/31/1071579431.db2.gz XOKQPROCRZVQSU-UHFFFAOYSA-N 0 0 441.510 -0.291 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000429924146 1071579379 /nfs/dbraw/zinc/57/93/79/1071579379.db2.gz NEWRVIZLFYIZBW-UHFFFAOYSA-N 0 0 426.499 -0.901 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000429924470 1071579537 /nfs/dbraw/zinc/57/95/37/1071579537.db2.gz NBIOJTZTCFPFCL-UHFFFAOYSA-N 0 0 441.510 -0.954 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c(=O)n(-c2ccccc2)n1C ZINC000429924535 1071579473 /nfs/dbraw/zinc/57/94/73/1071579473.db2.gz LELXCWKYDYDGIS-UHFFFAOYSA-N 0 0 438.510 -0.628 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429925025 1071579424 /nfs/dbraw/zinc/57/94/24/1071579424.db2.gz MYIAABSOIQDJGX-UHFFFAOYSA-N 0 0 438.503 -0.494 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1Cl ZINC000429925076 1071579548 /nfs/dbraw/zinc/57/95/48/1071579548.db2.gz NEWCDNMSUYBEPU-UHFFFAOYSA-N 0 0 440.931 -0.369 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CS(=O)(=O)C(C)C)cc1 ZINC000429925238 1071579360 /nfs/dbraw/zinc/57/93/60/1071579360.db2.gz ZMZZGVYHBLTANU-UHFFFAOYSA-N 0 0 448.567 -0.103 20 0 IBADRN Cc1c(CS(C)(=O)=O)cccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429925311 1071579374 /nfs/dbraw/zinc/57/93/74/1071579374.db2.gz ZZOIITUVKGTZAR-UHFFFAOYSA-N 0 0 434.540 -0.573 20 0 IBADRN CO[C@H](C)C(=O)Nc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000429925335 1071579454 /nfs/dbraw/zinc/57/94/54/1071579454.db2.gz OLXPNLVMVGIKLT-GFCCVEGCSA-N 0 0 429.499 -0.453 20 0 IBADRN CO[C@@H](C)C(=O)Nc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000429925336 1071579369 /nfs/dbraw/zinc/57/93/69/1071579369.db2.gz OLXPNLVMVGIKLT-LBPRGKRZSA-N 0 0 429.499 -0.453 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc([C@H](C)N2CCOCC2)cc1 ZINC000429926172 1071579387 /nfs/dbraw/zinc/57/93/87/1071579387.db2.gz MGCXKEGKXBGTSJ-HNNXBMFYSA-N 0 0 441.554 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc([C@@H](C)N2CCOCC2)cc1 ZINC000429926175 1071579402 /nfs/dbraw/zinc/57/94/02/1071579402.db2.gz MGCXKEGKXBGTSJ-OAHLLOKOSA-N 0 0 441.554 -0.033 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000429926342 1071579525 /nfs/dbraw/zinc/57/95/25/1071579525.db2.gz AORWWTOUCFXSPC-UHFFFAOYSA-N 0 0 447.277 -0.359 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(-c2nnnn2C2CC2)c1 ZINC000429926488 1071579954 /nfs/dbraw/zinc/57/99/54/1071579954.db2.gz PNKVFWZYSBPIND-UHFFFAOYSA-N 0 0 436.498 -0.438 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1C(=O)OC ZINC000429926926 1071579412 /nfs/dbraw/zinc/57/94/12/1071579412.db2.gz NMEKFWAFNPHUQK-UHFFFAOYSA-N 0 0 444.466 -0.853 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000429926980 1071580080 /nfs/dbraw/zinc/58/00/80/1071580080.db2.gz AXRITLDVUINNIA-UHFFFAOYSA-N 0 0 442.527 -0.729 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1 ZINC000429927067 1071579417 /nfs/dbraw/zinc/57/94/17/1071579417.db2.gz BXECFYUJMBSSIG-UHFFFAOYSA-N 0 0 438.304 -0.965 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NC(=O)[C@@H]2CCCO2)cc1 ZINC000429927888 1071578453 /nfs/dbraw/zinc/57/84/53/1071578453.db2.gz QWPYDNOUEKGNQF-HNNXBMFYSA-N 0 0 441.510 -0.309 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NC(=O)[C@H]2CCCO2)cc1 ZINC000429927891 1071578381 /nfs/dbraw/zinc/57/83/81/1071578381.db2.gz QWPYDNOUEKGNQF-OAHLLOKOSA-N 0 0 441.510 -0.309 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000429928774 1071578323 /nfs/dbraw/zinc/57/83/23/1071578323.db2.gz RLDQOLYQUXVKJF-UHFFFAOYSA-N 0 0 447.539 -0.886 20 0 IBADRN COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429928796 1071578307 /nfs/dbraw/zinc/57/83/07/1071578307.db2.gz ROVGWOPXLPTJRZ-CYBMUJFWSA-N 0 0 428.511 -0.075 20 0 IBADRN COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429928798 1071578366 /nfs/dbraw/zinc/57/83/66/1071578366.db2.gz ROVGWOPXLPTJRZ-ZDUSSCGKSA-N 0 0 428.511 -0.075 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)OCO2 ZINC000429929251 1071578486 /nfs/dbraw/zinc/57/84/86/1071578486.db2.gz SGIMBOUUPKPFES-UHFFFAOYSA-N 0 0 430.439 -0.911 20 0 IBADRN CCN(CC)C(=O)Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429929376 1071578331 /nfs/dbraw/zinc/57/83/31/1071578331.db2.gz WJGKCHBAKASUGF-UHFFFAOYSA-N 0 0 441.554 -0.015 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429929555 1071578905 /nfs/dbraw/zinc/57/89/05/1071578905.db2.gz SKYPIWCLFURFLE-UHFFFAOYSA-N 0 0 443.526 -0.326 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429929622 1071579009 /nfs/dbraw/zinc/57/90/09/1071579009.db2.gz SRVPCKSSQGXQOE-UHFFFAOYSA-N 0 0 429.499 -0.911 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(OCC(=O)N(C)C)c1 ZINC000429929840 1071578946 /nfs/dbraw/zinc/57/89/46/1071578946.db2.gz SVRSGDABFCGAAA-UHFFFAOYSA-N 0 0 429.499 -0.959 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(N2CCNC2=O)ccc1Cl ZINC000429931275 1074508803 /nfs/dbraw/zinc/50/88/03/1074508803.db2.gz WPDXESDMLQITEC-UHFFFAOYSA-N 0 0 446.917 -0.243 20 0 IBADRN CCCn1ccnc1CCCN(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000429931969 1071582192 /nfs/dbraw/zinc/58/21/92/1071582192.db2.gz YJQKADNKGUFVEQ-UHFFFAOYSA-N 0 0 445.524 0.378 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000429932012 1071582266 /nfs/dbraw/zinc/58/22/66/1071582266.db2.gz XMROXFUQVHVCKG-UHFFFAOYSA-N 0 0 439.494 -0.777 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429932186 1071583291 /nfs/dbraw/zinc/58/32/91/1071583291.db2.gz ULTLPQLSKCMHLS-UHFFFAOYSA-N 0 0 435.528 -0.665 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1N1CCCC1=O ZINC000429932715 1071582644 /nfs/dbraw/zinc/58/26/44/1071582644.db2.gz KRINTAYXHDTIQQ-UHFFFAOYSA-N 0 0 441.510 -0.291 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1 ZINC000429932822 1071582634 /nfs/dbraw/zinc/58/26/34/1071582634.db2.gz VGVHNKYHSSKETD-UHFFFAOYSA-N 0 0 443.917 -0.133 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000429933331 1071582774 /nfs/dbraw/zinc/58/27/74/1071582774.db2.gz WFYKLKOFGWJFGB-UHFFFAOYSA-N 0 0 447.539 -0.886 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1-c1nnnn1C(C)C ZINC000429934213 1071582824 /nfs/dbraw/zinc/58/28/24/1071582824.db2.gz ZJZBAWBQYCVDKF-UHFFFAOYSA-N 0 0 438.514 -0.192 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429935122 1071582765 /nfs/dbraw/zinc/58/27/65/1071582765.db2.gz ZTQQTXOGWBUUBN-UHFFFAOYSA-N 0 0 428.515 -0.886 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429936684 1071582704 /nfs/dbraw/zinc/58/27/04/1071582704.db2.gz AMXCTAYXRGTQPM-UHFFFAOYSA-N 0 0 427.462 -0.398 20 0 IBADRN O=C(NC[C@]1(CO)C[C@H]1c1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000429939807 1071582689 /nfs/dbraw/zinc/58/26/89/1071582689.db2.gz WJIICNNZHQWXSH-AVRDEDQJSA-N 0 0 445.495 0.575 20 0 IBADRN O=C(NC[C@]1(CO)C[C@@H]1c1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000429939809 1071582729 /nfs/dbraw/zinc/58/27/29/1071582729.db2.gz WJIICNNZHQWXSH-GCJKJVERSA-N 0 0 445.495 0.575 20 0 IBADRN O=C(NC[C@@]1(CO)C[C@H]1c1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000429939811 1071582782 /nfs/dbraw/zinc/58/27/82/1071582782.db2.gz WJIICNNZHQWXSH-PGRDOPGGSA-N 0 0 445.495 0.575 20 0 IBADRN O=C(NC[C@@]1(CO)C[C@@H]1c1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000429939813 1071582608 /nfs/dbraw/zinc/58/26/08/1071582608.db2.gz WJIICNNZHQWXSH-XMSQKQJNSA-N 0 0 445.495 0.575 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1S(=O)(=O)N(C)C ZINC000429941234 1071582750 /nfs/dbraw/zinc/58/27/50/1071582750.db2.gz HEBJUNMEAJTSAB-UHFFFAOYSA-N 0 0 449.555 -0.868 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCCC2)cc1 ZINC000429942011 1071582756 /nfs/dbraw/zinc/58/27/56/1071582756.db2.gz CMAVBTZFLKJWRU-UHFFFAOYSA-N 0 0 425.511 -0.190 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)OCCO2 ZINC000429942123 1071582715 /nfs/dbraw/zinc/58/27/15/1071582715.db2.gz JVEWVWHWDFGQFH-UHFFFAOYSA-N 0 0 430.483 -0.256 20 0 IBADRN NC(=O)c1coc(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000429944285 1071583121 /nfs/dbraw/zinc/58/31/21/1071583121.db2.gz GWFIJWDZXQBJOX-UHFFFAOYSA-N 0 0 436.494 -0.275 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000429944587 1071584750 /nfs/dbraw/zinc/58/47/50/1071584750.db2.gz NMJSHEXGWKYRFK-UHFFFAOYSA-N 0 0 442.466 -0.745 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc21 ZINC000429946481 1071584797 /nfs/dbraw/zinc/58/47/97/1071584797.db2.gz JRCHOVXXDIITSW-UHFFFAOYSA-N 0 0 438.510 -0.726 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(F)cc1CS(C)(=O)=O ZINC000429947208 1071584688 /nfs/dbraw/zinc/58/46/88/1071584688.db2.gz LAKJROQAOFFBFM-UHFFFAOYSA-N 0 0 438.503 -0.743 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(F)cc(N2CCOCC2)c1 ZINC000429948764 1071584810 /nfs/dbraw/zinc/58/48/10/1071584810.db2.gz SNGPSAUNRCNKTH-UHFFFAOYSA-N 0 0 431.490 -0.451 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1CN1CCOCC1 ZINC000429949463 1071584697 /nfs/dbraw/zinc/58/46/97/1071584697.db2.gz NUYREKBYIZOZNE-UHFFFAOYSA-N 0 0 427.527 -0.594 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(C(C)C)nn1-c1ncccn1 ZINC000429950038 1071584765 /nfs/dbraw/zinc/58/47/65/1071584765.db2.gz OZAVEUMDWZFTSM-UHFFFAOYSA-N 0 0 438.514 -0.327 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c(OC)c(OC)c1 ZINC000429950052 1071584706 /nfs/dbraw/zinc/58/47/06/1071584706.db2.gz PASULBCDHGTHNR-UHFFFAOYSA-N 0 0 446.482 -0.623 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCCC2=O)c(F)c1 ZINC000429950322 1071584761 /nfs/dbraw/zinc/58/47/61/1071584761.db2.gz SEKZRULWVVLVMR-UHFFFAOYSA-N 0 0 429.474 -0.160 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1NS(C)(=O)=O ZINC000429950329 1071584711 /nfs/dbraw/zinc/58/47/11/1071584711.db2.gz SFNKFHZJEYLLQT-UHFFFAOYSA-N 0 0 435.528 -0.746 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(Cl)c(C(=O)N(C)C)c1 ZINC000429951052 1071584756 /nfs/dbraw/zinc/58/47/56/1071584756.db2.gz UGEGVHUYELGIIL-UHFFFAOYSA-N 0 0 433.918 -0.071 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@]2(Cc3ccc(F)cc3)CCC[C@H]2O)n1 ZINC000429951645 1071585269 /nfs/dbraw/zinc/58/52/69/1071585269.db2.gz JLKPVFZAIOREEE-FOIQADDNSA-N 0 0 432.456 -0.008 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@]2(Cc3ccc(F)cc3)CCC[C@@H]2O)n1 ZINC000429951647 1071585041 /nfs/dbraw/zinc/58/50/41/1071585041.db2.gz JLKPVFZAIOREEE-MGPUTAFESA-N 0 0 432.456 -0.008 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@@]2(Cc3ccc(F)cc3)CCC[C@H]2O)n1 ZINC000429951648 1071585057 /nfs/dbraw/zinc/58/50/57/1071585057.db2.gz JLKPVFZAIOREEE-QRWLVFNGSA-N 0 0 432.456 -0.008 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@@]2(Cc3ccc(F)cc3)CCC[C@@H]2O)n1 ZINC000429951650 1071584716 /nfs/dbraw/zinc/58/47/16/1071584716.db2.gz JLKPVFZAIOREEE-YWZLYKJASA-N 0 0 432.456 -0.008 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429952657 1071585256 /nfs/dbraw/zinc/58/52/56/1071585256.db2.gz WCZYYXKYPZHOBG-UHFFFAOYSA-N 0 0 429.499 -0.716 20 0 IBADRN CC(C)CNC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429954292 1071584275 /nfs/dbraw/zinc/58/42/75/1071584275.db2.gz NCQJFRXHZPYKFP-UHFFFAOYSA-N 0 0 427.527 -0.041 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CS(C)(=O)=O)c(F)c1 ZINC000429955459 1071584204 /nfs/dbraw/zinc/58/42/04/1071584204.db2.gz ZUUQPEUKNPYTBB-UHFFFAOYSA-N 0 0 438.503 -0.743 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCCC2)c1 ZINC000429955913 1071584057 /nfs/dbraw/zinc/58/40/57/1071584057.db2.gz ZSSKUCJYGBSZNN-UHFFFAOYSA-N 0 0 425.511 -0.190 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccn(-c2ccccc2Cl)n1 ZINC000429956689 1071584793 /nfs/dbraw/zinc/58/47/93/1071584793.db2.gz BFESLROQJXDAHA-UHFFFAOYSA-N 0 0 428.902 0.413 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000429956710 1071584772 /nfs/dbraw/zinc/58/47/72/1071584772.db2.gz BLROAMYATYSJBC-UHFFFAOYSA-N 0 0 429.499 -0.911 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(OCC(F)(F)F)nc1 ZINC000429956942 1071584727 /nfs/dbraw/zinc/58/47/27/1071584727.db2.gz DBQGUEFFNCKSAO-UHFFFAOYSA-N 0 0 427.405 -0.090 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429956992 1071584104 /nfs/dbraw/zinc/58/41/04/1071584104.db2.gz XHYNTXOSHPHRRG-UHFFFAOYSA-N 0 0 441.510 -0.891 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429957536 1071586621 /nfs/dbraw/zinc/58/66/21/1071586621.db2.gz ZSXGOYBOFSLCFD-UHFFFAOYSA-N 0 0 446.482 -0.623 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(Cl)c1-n1cncn1 ZINC000429958159 1071584679 /nfs/dbraw/zinc/58/46/79/1071584679.db2.gz MEDHYJBZONNESG-UHFFFAOYSA-N 0 0 429.890 -0.192 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(Cl)c1S(C)(=O)=O ZINC000429958339 1071584778 /nfs/dbraw/zinc/58/47/78/1071584778.db2.gz NTIPBLWEOGXBBP-UHFFFAOYSA-N 0 0 440.931 -0.369 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000429958472 1071586717 /nfs/dbraw/zinc/58/67/17/1071586717.db2.gz OWQPSRPWBFQYKC-UHFFFAOYSA-N 0 0 429.499 -0.716 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccnc(OCC(F)(F)F)c1 ZINC000429958796 1071586825 /nfs/dbraw/zinc/58/68/25/1071586825.db2.gz AZVUICFORFNEAR-UHFFFAOYSA-N 0 0 427.405 -0.090 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NC(=O)CN(C)C)cc1 ZINC000429958828 1071586650 /nfs/dbraw/zinc/58/66/50/1071586650.db2.gz BCIMWPQBEMGOKE-UHFFFAOYSA-N 0 0 428.515 -0.926 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)C(C)=O ZINC000429959305 1071586631 /nfs/dbraw/zinc/58/66/31/1071586631.db2.gz DCGGHDZJDMUXCD-UHFFFAOYSA-N 0 0 427.527 -0.058 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccn(CC(F)(F)F)c1=O ZINC000429959426 1071586766 /nfs/dbraw/zinc/58/67/66/1071586766.db2.gz UFOITBMYWIUGAR-UHFFFAOYSA-N 0 0 427.405 -0.702 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000429959626 1071586830 /nfs/dbraw/zinc/58/68/30/1071586830.db2.gz FLOUKFZZRLSXSX-UHFFFAOYSA-N 0 0 447.539 -0.986 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000429959870 1071586668 /nfs/dbraw/zinc/58/66/68/1071586668.db2.gz GIBVCTCSVBBOEI-UHFFFAOYSA-N 0 0 449.555 -0.275 20 0 IBADRN CCN(Cc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c1)C(C)=O ZINC000429960185 1071586739 /nfs/dbraw/zinc/58/67/39/1071586739.db2.gz BCGKSLFELNKQJT-UHFFFAOYSA-N 0 0 427.527 -0.058 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000429960311 1071586816 /nfs/dbraw/zinc/58/68/16/1071586816.db2.gz HPAJOVULOSKNAH-UHFFFAOYSA-N 0 0 439.494 -0.777 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CN2CCCC2=O)cc1 ZINC000429960368 1071586776 /nfs/dbraw/zinc/58/67/76/1071586776.db2.gz BIGGMBUXQBCVCS-UHFFFAOYSA-N 0 0 425.511 -0.304 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000429960902 1071586747 /nfs/dbraw/zinc/58/67/47/1071586747.db2.gz CYYRAMMUKUQRSX-UHFFFAOYSA-N 0 0 441.554 -0.552 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(Cc2nnc3n2CCC3)cc1 ZINC000429961842 1071587243 /nfs/dbraw/zinc/58/72/43/1071587243.db2.gz MBWITIOTKXJUBB-UHFFFAOYSA-N 0 0 449.537 -0.298 20 0 IBADRN CCN1c2ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc2CCC1=O ZINC000429962944 1071587308 /nfs/dbraw/zinc/58/73/08/1071587308.db2.gz DDNKVGJEMGVRFL-UHFFFAOYSA-N 0 0 425.511 -0.127 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccnn1Cc1ccccc1F ZINC000429963124 1071587367 /nfs/dbraw/zinc/58/73/67/1071587367.db2.gz AHTMKIRDHMKEKG-UHFFFAOYSA-N 0 0 426.474 -0.042 20 0 IBADRN COc1cc2c(cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)NC(=O)CC2 ZINC000429964020 1071587326 /nfs/dbraw/zinc/58/73/26/1071587326.db2.gz LXMANWQAEVSVSP-UHFFFAOYSA-N 0 0 427.483 -0.533 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c(OCC(=O)N(C)C)c1 ZINC000429965002 1071587213 /nfs/dbraw/zinc/58/72/13/1071587213.db2.gz NFTPDQHAMNSKDK-UHFFFAOYSA-N 0 0 443.526 -0.651 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCCC1 ZINC000429965043 1071587233 /nfs/dbraw/zinc/58/72/33/1071587233.db2.gz NHLQSUFKFNTMTK-UHFFFAOYSA-N 0 0 425.511 -0.190 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429965915 1071586239 /nfs/dbraw/zinc/58/62/39/1071586239.db2.gz UQPOPNHQHJWHTA-UHFFFAOYSA-N 0 0 429.499 -0.911 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccnn1Cc1ccc(F)cc1 ZINC000429967443 1071586293 /nfs/dbraw/zinc/58/62/93/1071586293.db2.gz SAAJLRFYTBBNFN-UHFFFAOYSA-N 0 0 426.474 -0.042 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000429969351 1071586796 /nfs/dbraw/zinc/58/67/96/1071586796.db2.gz WELVYRFWHJYKPW-UHFFFAOYSA-N 0 0 441.510 -0.954 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000429969906 1071586708 /nfs/dbraw/zinc/58/67/08/1071586708.db2.gz XGBBRIXEXDRZAQ-UHFFFAOYSA-N 0 0 449.555 -0.275 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)n2)cc1 ZINC000429970562 1073345667 /nfs/dbraw/zinc/34/56/67/1073345667.db2.gz YFKHETVQSRDYFR-UHFFFAOYSA-N 0 0 438.510 -0.173 20 0 IBADRN COc1cccc(-c2cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)n(C)n2)c1 ZINC000429974439 1071589528 /nfs/dbraw/zinc/58/95/28/1071589528.db2.gz QBDNMDHPRZRLRL-UHFFFAOYSA-N 0 0 438.510 -0.017 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N(CCO)CCO ZINC000429980399 1071588504 /nfs/dbraw/zinc/58/85/04/1071588504.db2.gz ASAXOOAFFTUTON-UHFFFAOYSA-N 0 0 429.495 -0.379 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N(CCO)CCO ZINC000429980939 1071588354 /nfs/dbraw/zinc/58/83/54/1071588354.db2.gz DKZDYPGWLLOMJC-UHFFFAOYSA-N 0 0 442.538 -0.321 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)Nc3cnn(CC(=O)N4CCN(C)CC4)c3)CC(=O)N2C)cn1 ZINC000429981384 1071588618 /nfs/dbraw/zinc/58/86/18/1071588618.db2.gz XRJJZUZOASPTDI-APWZRJJASA-N 0 0 428.497 -0.451 20 0 IBADRN Cn1cc([C@H]2[C@@H](C(=O)Nc3cnn(CC(=O)N4CCN(C)CC4)c3)CC(=O)N2C)cn1 ZINC000429981386 1071588322 /nfs/dbraw/zinc/58/83/22/1071588322.db2.gz XRJJZUZOASPTDI-LPHOPBHVSA-N 0 0 428.497 -0.451 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)Nc3cnn(CC(=O)N4CCN(C)CC4)c3)CC(=O)N2C)cn1 ZINC000429981387 1071588475 /nfs/dbraw/zinc/58/84/75/1071588475.db2.gz XRJJZUZOASPTDI-QFBILLFUSA-N 0 0 428.497 -0.451 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)Nc3cnn(CC(=O)N4CCN(C)CC4)c3)CC(=O)N2C)cn1 ZINC000429981389 1071588428 /nfs/dbraw/zinc/58/84/28/1071588428.db2.gz XRJJZUZOASPTDI-VQIMIIECSA-N 0 0 428.497 -0.451 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(CCO)CCO ZINC000429982272 1071588496 /nfs/dbraw/zinc/58/84/96/1071588496.db2.gz OJIJKJSWVDLCQZ-UHFFFAOYSA-N 0 0 435.886 -0.887 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N(CCO)CCO)c1 ZINC000429982355 1071588446 /nfs/dbraw/zinc/58/84/46/1071588446.db2.gz PNGXMVZLMWSLEQ-UHFFFAOYSA-N 0 0 430.527 -0.465 20 0 IBADRN C[C@@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000429982790 1071589043 /nfs/dbraw/zinc/58/90/43/1071589043.db2.gz SGCHYYFBZNJCTM-JOCHJYFZSA-N 0 0 432.521 -0.374 20 0 IBADRN C[C@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000429982791 1071589055 /nfs/dbraw/zinc/58/90/55/1071589055.db2.gz SGCHYYFBZNJCTM-QFIPXVFZSA-N 0 0 432.521 -0.374 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000429983404 1071589028 /nfs/dbraw/zinc/58/90/28/1071589028.db2.gz VOIHTGMUQKHVNT-CVEARBPZSA-N 0 0 441.506 -0.384 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000429983405 1071589068 /nfs/dbraw/zinc/58/90/68/1071589068.db2.gz VOIHTGMUQKHVNT-HOTGVXAUSA-N 0 0 441.506 -0.384 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000429983406 1071589059 /nfs/dbraw/zinc/58/90/59/1071589059.db2.gz VOIHTGMUQKHVNT-HZPDHXFCSA-N 0 0 441.506 -0.384 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000429983407 1071589032 /nfs/dbraw/zinc/58/90/32/1071589032.db2.gz VOIHTGMUQKHVNT-JKSUJKDBSA-N 0 0 441.506 -0.384 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1C ZINC000429983408 1071589077 /nfs/dbraw/zinc/58/90/77/1071589077.db2.gz VPFHRKBKMJZUBZ-GDBMZVCRSA-N 0 0 445.563 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@H]2CCCS(=O)(=O)C2)cc1C ZINC000429983409 1071589048 /nfs/dbraw/zinc/58/90/48/1071589048.db2.gz VPFHRKBKMJZUBZ-GOEBONIOSA-N 0 0 445.563 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N[C@H]2CCCS(=O)(=O)C2)cc1C ZINC000429983410 1071589021 /nfs/dbraw/zinc/58/90/21/1071589021.db2.gz VPFHRKBKMJZUBZ-HOCLYGCPSA-N 0 0 445.563 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1C ZINC000429983411 1071589102 /nfs/dbraw/zinc/58/91/02/1071589102.db2.gz VPFHRKBKMJZUBZ-ZBFHGGJFSA-N 0 0 445.563 -0.091 20 0 IBADRN CN(Cc1cc[nH]n1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429983501 1071589561 /nfs/dbraw/zinc/58/95/61/1071589561.db2.gz HNFARJCHEFSRPI-AWEZNQCLSA-N 0 0 437.478 -0.248 20 0 IBADRN CN(Cc1cc[nH]n1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429983502 1071589624 /nfs/dbraw/zinc/58/96/24/1071589624.db2.gz HNFARJCHEFSRPI-CQSZACIVSA-N 0 0 437.478 -0.248 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000429984406 1071589034 /nfs/dbraw/zinc/58/90/34/1071589034.db2.gz LOCJAHGOKRDNRY-AYBZRNKSSA-N 0 0 432.525 -0.669 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC000429984408 1071589072 /nfs/dbraw/zinc/58/90/72/1071589072.db2.gz LOCJAHGOKRDNRY-HHXXYDBFSA-N 0 0 432.525 -0.669 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000429984409 1071589065 /nfs/dbraw/zinc/58/90/65/1071589065.db2.gz LOCJAHGOKRDNRY-SUMDDJOVSA-N 0 0 432.525 -0.669 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC000429984411 1071589013 /nfs/dbraw/zinc/58/90/13/1071589013.db2.gz LOCJAHGOKRDNRY-SZVBFZGTSA-N 0 0 432.525 -0.669 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(CCO)CCO)cc1S(=O)(=O)N1CCCC1 ZINC000429985084 1071589545 /nfs/dbraw/zinc/58/95/45/1071589545.db2.gz BOTZJBBMWUXKTE-UHFFFAOYSA-N 0 0 429.495 -0.379 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1CCCOC1 ZINC000429986053 1071592498 /nfs/dbraw/zinc/59/24/98/1071592498.db2.gz FVPHVSSWBNFAMV-GXTWGEPZSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1CCCOC1 ZINC000429986057 1071592608 /nfs/dbraw/zinc/59/26/08/1071592608.db2.gz FVPHVSSWBNFAMV-JSGCOSHPSA-N 0 0 427.479 -0.335 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccnn2-c2nc(C)cc(C)n2)C1=O ZINC000429988796 1071593728 /nfs/dbraw/zinc/59/37/28/1071593728.db2.gz HJTKZYKXTCQBKB-CYBMUJFWSA-N 0 0 429.437 -0.502 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccnn2-c2nc(C)cc(C)n2)C1=O ZINC000429988801 1071593639 /nfs/dbraw/zinc/59/36/39/1071593639.db2.gz HJTKZYKXTCQBKB-ZDUSSCGKSA-N 0 0 429.437 -0.502 20 0 IBADRN C[C@H]1CN(C)CCN1C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429992274 1071593600 /nfs/dbraw/zinc/59/36/00/1071593600.db2.gz YEWVTHUSCRHBQN-DZGCQCFKSA-N 0 0 440.522 -0.467 20 0 IBADRN C[C@@H]1CN(C)CCN1C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429992275 1071594084 /nfs/dbraw/zinc/59/40/84/1071594084.db2.gz YEWVTHUSCRHBQN-HIFRSBDPSA-N 0 0 440.522 -0.467 20 0 IBADRN C[C@@H]1CN(C)CCN1C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429992276 1071594203 /nfs/dbraw/zinc/59/42/03/1071594203.db2.gz YEWVTHUSCRHBQN-UKRRQHHQSA-N 0 0 440.522 -0.467 20 0 IBADRN C[C@H]1CN(C)CCN1C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000429992277 1071594068 /nfs/dbraw/zinc/59/40/68/1071594068.db2.gz YEWVTHUSCRHBQN-ZFWWWQNUSA-N 0 0 440.522 -0.467 20 0 IBADRN Cc1ccnc(-n2nccc2NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000429992551 1071594153 /nfs/dbraw/zinc/59/41/53/1071594153.db2.gz OHKFKBAYODREMB-UHFFFAOYSA-N 0 0 441.492 -0.483 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(CCO)CCO)cc2)C[C@H](C)O1 ZINC000429996534 1071617219 /nfs/dbraw/zinc/61/72/19/1071617219.db2.gz FVSUNIROJUUZJQ-KBPBESRZSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(CCO)CCO)cc2)C[C@H](C)O1 ZINC000429996535 1071617255 /nfs/dbraw/zinc/61/72/55/1071617255.db2.gz FVSUNIROJUUZJQ-OKILXGFUSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(CCO)CCO)cc2)C[C@@H](C)O1 ZINC000429996536 1071617207 /nfs/dbraw/zinc/61/72/07/1071617207.db2.gz FVSUNIROJUUZJQ-ZIAGYGMSSA-N 0 0 429.495 -0.764 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)CCO)cc1S(=O)(=O)N1CCCCC1 ZINC000429997340 1071603423 /nfs/dbraw/zinc/60/34/23/1071603423.db2.gz MQXAHZXVDPDQQO-UHFFFAOYSA-N 0 0 429.495 -0.379 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)n2)cc1 ZINC000429999469 1071617241 /nfs/dbraw/zinc/61/72/41/1071617241.db2.gz CCMZKRVBBOFPQF-UHFFFAOYSA-N 0 0 425.453 0.303 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)n1 ZINC000430000735 1071617223 /nfs/dbraw/zinc/61/72/23/1071617223.db2.gz PYBVVFBIGQRYEN-UHFFFAOYSA-N 0 0 428.482 0.000 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000430004482 1071617261 /nfs/dbraw/zinc/61/72/61/1071617261.db2.gz ZTIWDIPCIKGNPF-KRWDZBQOSA-N 0 0 431.536 -0.146 20 0 IBADRN CNC(=O)[C@]1(C)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000430004487 1071617214 /nfs/dbraw/zinc/61/72/14/1071617214.db2.gz ZTIWDIPCIKGNPF-QGZVFWFLSA-N 0 0 431.536 -0.146 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)Nc4cnns4)CC3)C2=O)n(C)n1 ZINC000430006880 1071617256 /nfs/dbraw/zinc/61/72/56/1071617256.db2.gz GFJIDXBAQYAKLX-CYBMUJFWSA-N 0 0 432.510 -0.142 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)Nc4cnns4)CC3)C2=O)n(C)n1 ZINC000430006883 1071617306 /nfs/dbraw/zinc/61/73/06/1071617306.db2.gz GFJIDXBAQYAKLX-ZDUSSCGKSA-N 0 0 432.510 -0.142 20 0 IBADRN CN(C(=O)[C@H]1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1)c1ccccc1 ZINC000430008061 1071617739 /nfs/dbraw/zinc/61/77/39/1071617739.db2.gz SKVIIUZIGMNBGN-HNNXBMFYSA-N 0 0 430.552 -0.207 20 0 IBADRN CN(C(=O)[C@@H]1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1)c1ccccc1 ZINC000430008062 1071617936 /nfs/dbraw/zinc/61/79/36/1071617936.db2.gz SKVIIUZIGMNBGN-OAHLLOKOSA-N 0 0 430.552 -0.207 20 0 IBADRN C[C@H](C1CC1)n1nccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000430010870 1071617724 /nfs/dbraw/zinc/61/77/24/1071617724.db2.gz GBMAIURTIGRYQA-GFCCVEGCSA-N 0 0 430.469 -0.719 20 0 IBADRN C[C@@H](C1CC1)n1nccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000430010871 1071617961 /nfs/dbraw/zinc/61/79/61/1071617961.db2.gz GBMAIURTIGRYQA-LBPRGKRZSA-N 0 0 430.469 -0.719 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)n1 ZINC000430013086 1071616695 /nfs/dbraw/zinc/61/66/95/1071616695.db2.gz OGMXYNDMLBTITF-UHFFFAOYSA-N 0 0 444.477 -0.293 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccnn3-c3nc(C)cc(C)n3)C2)nn1 ZINC000430014172 1071616646 /nfs/dbraw/zinc/61/66/46/1071616646.db2.gz UGNYSGFWGNYMDH-UHFFFAOYSA-N 0 0 425.409 -0.321 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N(CCO)CCO)c1 ZINC000430014932 1071633787 /nfs/dbraw/zinc/63/37/87/1071633787.db2.gz FWQFAEHXKSVYBJ-UHFFFAOYSA-N 0 0 436.202 -0.171 20 0 IBADRN O=C(Nc1cnns1)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430015053 1071617202 /nfs/dbraw/zinc/61/72/02/1071617202.db2.gz HAIPKDDWYCOURB-UHFFFAOYSA-N 0 0 425.448 -0.563 20 0 IBADRN CCN(C[C@@H](O)C(F)(F)F)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000430043914 1071682122 /nfs/dbraw/zinc/68/21/22/1071682122.db2.gz GQGHJUYOTGWXPI-CYBMUJFWSA-N 0 0 432.465 -0.018 20 0 IBADRN CCN(C[C@H](O)C(F)(F)F)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000430043915 1071682224 /nfs/dbraw/zinc/68/22/24/1071682224.db2.gz GQGHJUYOTGWXPI-ZDUSSCGKSA-N 0 0 432.465 -0.018 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnn1Cc1ccc(F)cc1 ZINC000430044352 1071677869 /nfs/dbraw/zinc/67/78/69/1071677869.db2.gz CQWUMNUDCXZPQL-HNNXBMFYSA-N 0 0 430.440 -0.138 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnn1Cc1ccc(F)cc1 ZINC000430044353 1071677947 /nfs/dbraw/zinc/67/79/47/1071677947.db2.gz CQWUMNUDCXZPQL-OAHLLOKOSA-N 0 0 430.440 -0.138 20 0 IBADRN CC(C)CN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@@H](C)C1=O ZINC000430045401 1071680614 /nfs/dbraw/zinc/68/06/14/1071680614.db2.gz KELCYOJJSSHOLV-INIZCTEOSA-N 0 0 445.586 -0.074 20 0 IBADRN CC(C)CN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@H](C)C1=O ZINC000430045405 1071680607 /nfs/dbraw/zinc/68/06/07/1071680607.db2.gz KELCYOJJSSHOLV-MRXNPFEDSA-N 0 0 445.586 -0.074 20 0 IBADRN CC(C)CN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1=O ZINC000430046544 1071694604 /nfs/dbraw/zinc/69/46/04/1071694604.db2.gz PCUKENKIEDBXAJ-UHFFFAOYSA-N 0 0 431.559 -0.462 20 0 IBADRN C[C@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000430047896 1071696957 /nfs/dbraw/zinc/69/69/57/1071696957.db2.gz URLPJKDEFOWNCL-NRFANRHFSA-N 0 0 441.492 -0.581 20 0 IBADRN C[C@@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000430047897 1071696851 /nfs/dbraw/zinc/69/68/51/1071696851.db2.gz URLPJKDEFOWNCL-OAQYLSRUSA-N 0 0 441.492 -0.581 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000430048704 1071698145 /nfs/dbraw/zinc/69/81/45/1071698145.db2.gz YJKRQSFAGKENJS-INIZCTEOSA-N 0 0 431.559 -0.320 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000430048706 1071698240 /nfs/dbraw/zinc/69/82/40/1071698240.db2.gz YJKRQSFAGKENJS-MRXNPFEDSA-N 0 0 431.559 -0.320 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccc(C)o2)CC1 ZINC000430049051 1071697449 /nfs/dbraw/zinc/69/74/49/1071697449.db2.gz GKKUSDBEUGZDHT-UHFFFAOYSA-N 0 0 432.481 -0.322 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2-c2cc(C)ccn2)CC1 ZINC000430051932 1071710919 /nfs/dbraw/zinc/71/09/19/1071710919.db2.gz NKQMWSRRIMHKCE-UHFFFAOYSA-N 0 0 429.481 -0.579 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2-c2nc(C)cc(C)n2)CC1 ZINC000430052102 1071711540 /nfs/dbraw/zinc/71/15/40/1071711540.db2.gz OFCVBIQDDCAXKY-UHFFFAOYSA-N 0 0 444.496 -0.876 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)o1 ZINC000430052457 1071710924 /nfs/dbraw/zinc/71/09/24/1071710924.db2.gz OUPOTNDPXFWFET-UHFFFAOYSA-N 0 0 427.425 -0.871 20 0 IBADRN O=C(Nc1ccnn1Cc1ccc(F)cc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000430052479 1071710977 /nfs/dbraw/zinc/71/09/77/1071710977.db2.gz OYRXEHBTWBLWTI-UHFFFAOYSA-N 0 0 441.427 -0.634 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccc(F)cc2)CC1 ZINC000430054168 1071713669 /nfs/dbraw/zinc/71/36/69/1071713669.db2.gz VORFPBASWXSFFM-UHFFFAOYSA-N 0 0 446.483 -0.084 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccc(F)cc2)CC1 ZINC000430054789 1071714590 /nfs/dbraw/zinc/71/45/90/1071714590.db2.gz AYKQLSQYGJRPCM-UHFFFAOYSA-N 0 0 438.485 -0.558 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@](C)(O)CN1c2ccccc2C(C)(C)C1=O ZINC000430055449 1071714609 /nfs/dbraw/zinc/71/46/09/1071714609.db2.gz YSBSSSRBLBUKRN-AOMKIAJQSA-N 0 0 430.505 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@](C)(O)CN1c2ccccc2C(C)(C)C1=O ZINC000430055456 1071714533 /nfs/dbraw/zinc/71/45/33/1071714533.db2.gz YSBSSSRBLBUKRN-KSFYIVLOSA-N 0 0 430.505 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@](C)(O)CN1c2ccccc2C(C)(C)C1=O ZINC000430055461 1071714559 /nfs/dbraw/zinc/71/45/59/1071714559.db2.gz YSBSSSRBLBUKRN-OPAMFIHVSA-N 0 0 430.505 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@](C)(O)CN1c2ccccc2C(C)(C)C1=O ZINC000430055466 1071714740 /nfs/dbraw/zinc/71/47/40/1071714740.db2.gz YSBSSSRBLBUKRN-ZHRRBRCNSA-N 0 0 430.505 -0.085 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)n1 ZINC000430060859 1071720937 /nfs/dbraw/zinc/72/09/37/1071720937.db2.gz OYAJCBHPFJDKLK-UHFFFAOYSA-N 0 0 428.497 -0.160 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2Cc3ccccc3C[C@H]2C(=O)NC(C(N)=O)C(N)=O)c1 ZINC000430061517 1071720955 /nfs/dbraw/zinc/72/09/55/1071720955.db2.gz QQHYYBCSDWQPMA-INIZCTEOSA-N 0 0 444.513 -0.126 20 0 IBADRN CC(C)(Oc1ccc(Cl)cc1)C(=O)N1CSC[C@@H]1C(=O)NC(C(N)=O)C(N)=O ZINC000430063166 1071721857 /nfs/dbraw/zinc/72/18/57/1071721857.db2.gz XMPLAGFPCOIYNK-LLVKDONJSA-N 0 0 428.898 -0.146 20 0 IBADRN CC(C)(Oc1ccc(Cl)cc1)C(=O)N1CSC[C@H]1C(=O)NC(C(N)=O)C(N)=O ZINC000430063167 1071721975 /nfs/dbraw/zinc/72/19/75/1071721975.db2.gz XMPLAGFPCOIYNK-NSHDSACASA-N 0 0 428.898 -0.146 20 0 IBADRN CS(=O)(=O)CC[C@@H](Cc1cccc(Br)c1)C(=O)NC(C(N)=O)C(N)=O ZINC000430066058 1071723837 /nfs/dbraw/zinc/72/38/37/1071723837.db2.gz KQTQOOSWYRZQGS-JTQLQIEISA-N 0 0 434.312 -0.502 20 0 IBADRN CS(=O)(=O)CC[C@H](Cc1cccc(Br)c1)C(=O)NC(C(N)=O)C(N)=O ZINC000430066059 1071723830 /nfs/dbraw/zinc/72/38/30/1071723830.db2.gz KQTQOOSWYRZQGS-SNVBAGLBSA-N 0 0 434.312 -0.502 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)n1 ZINC000430067547 1071731523 /nfs/dbraw/zinc/73/15/23/1071731523.db2.gz UDAXLUQSUJKXFG-UHFFFAOYSA-N 0 0 437.464 -0.340 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC000430068621 1071731996 /nfs/dbraw/zinc/73/19/96/1071731996.db2.gz GSOAGIAPUXSVLP-UHFFFAOYSA-N 0 0 428.536 -0.336 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430069196 1071732117 /nfs/dbraw/zinc/73/21/17/1071732117.db2.gz YDIHJBABTGIZLL-AAEUAGOBSA-N 0 0 443.478 -0.705 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430069200 1071731991 /nfs/dbraw/zinc/73/19/91/1071731991.db2.gz YDIHJBABTGIZLL-DGCLKSJQSA-N 0 0 443.478 -0.705 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430069202 1071731985 /nfs/dbraw/zinc/73/19/85/1071731985.db2.gz YDIHJBABTGIZLL-WCQYABFASA-N 0 0 443.478 -0.705 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430069204 1071732058 /nfs/dbraw/zinc/73/20/58/1071732058.db2.gz YDIHJBABTGIZLL-YPMHNXCESA-N 0 0 443.478 -0.705 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)o1 ZINC000430070954 1071734611 /nfs/dbraw/zinc/73/46/11/1071734611.db2.gz LSZFQOMCMQORMO-UHFFFAOYSA-N 0 0 436.450 -0.005 20 0 IBADRN CO[C@@H](C)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430072697 1071738903 /nfs/dbraw/zinc/73/89/03/1071738903.db2.gz UZSURZAYYDPBMW-GXTWGEPZSA-N 0 0 429.495 -0.089 20 0 IBADRN CO[C@@H](C)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430072698 1071738928 /nfs/dbraw/zinc/73/89/28/1071738928.db2.gz UZSURZAYYDPBMW-JSGCOSHPSA-N 0 0 429.495 -0.089 20 0 IBADRN CO[C@H](C)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430072699 1071739061 /nfs/dbraw/zinc/73/90/61/1071739061.db2.gz UZSURZAYYDPBMW-OCCSQVGLSA-N 0 0 429.495 -0.089 20 0 IBADRN CO[C@H](C)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430072700 1071738937 /nfs/dbraw/zinc/73/89/37/1071738937.db2.gz UZSURZAYYDPBMW-TZMCWYRMSA-N 0 0 429.495 -0.089 20 0 IBADRN NC(=O)C(NC(=O)c1cc(S(=O)(=O)N2CCCCC2)ccc1N1CCCC1)C(N)=O ZINC000430073662 1071740984 /nfs/dbraw/zinc/74/09/84/1071740984.db2.gz ZTBTURQHHFOVJN-UHFFFAOYSA-N 0 0 437.522 -0.470 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCc2nnnn2CC2CC2)cc1C ZINC000430077210 1071798351 /nfs/dbraw/zinc/79/83/51/1071798351.db2.gz LAHRWPOVCWYGKV-INIZCTEOSA-N 0 0 449.537 -0.071 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCc2nnnn2CC2CC2)cc1C ZINC000430077214 1071798258 /nfs/dbraw/zinc/79/82/58/1071798258.db2.gz LAHRWPOVCWYGKV-MRXNPFEDSA-N 0 0 449.537 -0.071 20 0 IBADRN CN(CC1(O)CCC1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430077946 1071798450 /nfs/dbraw/zinc/79/84/50/1071798450.db2.gz NIZVQDACJBGBOD-AWEZNQCLSA-N 0 0 441.506 -0.256 20 0 IBADRN CN(CC1(O)CCC1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430077947 1071798281 /nfs/dbraw/zinc/79/82/81/1071798281.db2.gz NIZVQDACJBGBOD-CQSZACIVSA-N 0 0 441.506 -0.256 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1)[C@H](C)O ZINC000430078554 1071798336 /nfs/dbraw/zinc/79/83/36/1071798336.db2.gz KLUHTJPZCVEARF-DUVNUKRYSA-N 0 0 429.495 -0.746 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1)[C@@H](C)O ZINC000430078556 1071798314 /nfs/dbraw/zinc/79/83/14/1071798314.db2.gz KLUHTJPZCVEARF-IVMMDQJWSA-N 0 0 429.495 -0.746 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1)[C@H](C)O ZINC000430078558 1071798366 /nfs/dbraw/zinc/79/83/66/1071798366.db2.gz KLUHTJPZCVEARF-JGGQBBKZSA-N 0 0 429.495 -0.746 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1)[C@@H](C)O ZINC000430078560 1071798460 /nfs/dbraw/zinc/79/84/60/1071798460.db2.gz KLUHTJPZCVEARF-XNRPHZJLSA-N 0 0 429.495 -0.746 20 0 IBADRN NC(=O)C(NC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(Br)cc1)C(N)=O ZINC000430079740 1071798859 /nfs/dbraw/zinc/79/88/59/1071798859.db2.gz NCSITNQUMHHUTO-JTQLQIEISA-N 0 0 433.284 -0.942 20 0 IBADRN NC(=O)C(NC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(Br)cc1)C(N)=O ZINC000430079741 1071798870 /nfs/dbraw/zinc/79/88/70/1071798870.db2.gz NCSITNQUMHHUTO-SNVBAGLBSA-N 0 0 433.284 -0.942 20 0 IBADRN C/C=C\C[C@H](CO)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430080269 1071798206 /nfs/dbraw/zinc/79/82/06/1071798206.db2.gz UWDSNTHFDACVIX-CLGDDFHISA-N 0 0 441.506 -0.187 20 0 IBADRN C/C=C/C[C@H](CO)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430080271 1071798457 /nfs/dbraw/zinc/79/84/57/1071798457.db2.gz UWDSNTHFDACVIX-DQNOIUNYSA-N 0 0 441.506 -0.187 20 0 IBADRN C/C=C\C[C@H](CO)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430080273 1071798216 /nfs/dbraw/zinc/79/82/16/1071798216.db2.gz UWDSNTHFDACVIX-KXAWDHGWSA-N 0 0 441.506 -0.187 20 0 IBADRN C/C=C/C[C@H](CO)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430080275 1071798915 /nfs/dbraw/zinc/79/89/15/1071798915.db2.gz UWDSNTHFDACVIX-MZSZDEQASA-N 0 0 441.506 -0.187 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1C[C@H](O)C2(CC2)C1 ZINC000430082468 1071798850 /nfs/dbraw/zinc/79/88/50/1071798850.db2.gz FFXNUWGSPZTKTB-BBRMVZONSA-N 0 0 439.490 -0.647 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1C[C@H](O)C2(CC2)C1 ZINC000430082469 1071798826 /nfs/dbraw/zinc/79/88/26/1071798826.db2.gz FFXNUWGSPZTKTB-CJNGLKHVSA-N 0 0 439.490 -0.647 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1C[C@@H](O)C2(CC2)C1 ZINC000430082471 1071798847 /nfs/dbraw/zinc/79/88/47/1071798847.db2.gz FFXNUWGSPZTKTB-CZUORRHYSA-N 0 0 439.490 -0.647 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1C[C@@H](O)C2(CC2)C1 ZINC000430082472 1071799000 /nfs/dbraw/zinc/79/90/00/1071799000.db2.gz FFXNUWGSPZTKTB-XJKSGUPXSA-N 0 0 439.490 -0.647 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3ccccc32)cc1C(=O)NC(C(N)=O)C(N)=O ZINC000430085122 1071797355 /nfs/dbraw/zinc/79/73/55/1071797355.db2.gz ZPYHZSYUIBSCLR-UHFFFAOYSA-N 0 0 432.458 -0.484 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1C ZINC000430085660 1071797899 /nfs/dbraw/zinc/79/78/99/1071797899.db2.gz NSNZEAQTGMNNDO-AWEZNQCLSA-N 0 0 436.494 -0.445 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1C ZINC000430085674 1071797792 /nfs/dbraw/zinc/79/77/92/1071797792.db2.gz NSNZEAQTGMNNDO-CQSZACIVSA-N 0 0 436.494 -0.445 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1(CO)CCC1 ZINC000430085905 1071797880 /nfs/dbraw/zinc/79/78/80/1071797880.db2.gz OJZJJKWYCKVCLR-AWEZNQCLSA-N 0 0 441.506 -0.351 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1(CO)CCC1 ZINC000430085908 1071797910 /nfs/dbraw/zinc/79/79/10/1071797910.db2.gz OJZJJKWYCKVCLR-CQSZACIVSA-N 0 0 441.506 -0.351 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC[C@H]1CCOC1 ZINC000430085919 1071797960 /nfs/dbraw/zinc/79/79/60/1071797960.db2.gz CPDNUAWZTGAJDL-DZGCQCFKSA-N 0 0 441.506 -0.087 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)CC1 ZINC000430086189 1071797944 /nfs/dbraw/zinc/79/79/44/1071797944.db2.gz QGGWIWAEUGFBMQ-UHFFFAOYSA-N 0 0 429.587 -0.146 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC[C@@H]1CCOC1 ZINC000430086232 1071797919 /nfs/dbraw/zinc/79/79/19/1071797919.db2.gz CPDNUAWZTGAJDL-HIFRSBDPSA-N 0 0 441.506 -0.087 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC[C@@H]1CCOC1 ZINC000430086234 1071797818 /nfs/dbraw/zinc/79/78/18/1071797818.db2.gz CPDNUAWZTGAJDL-UKRRQHHQSA-N 0 0 441.506 -0.087 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC[C@H]1CCOC1 ZINC000430086237 1071797832 /nfs/dbraw/zinc/79/78/32/1071797832.db2.gz CPDNUAWZTGAJDL-ZFWWWQNUSA-N 0 0 441.506 -0.087 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000430086932 1071797939 /nfs/dbraw/zinc/79/79/39/1071797939.db2.gz CQIUBVIFLVQCAB-CVEARBPZSA-N 0 0 440.522 -0.811 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000430086936 1071797867 /nfs/dbraw/zinc/79/78/67/1071797867.db2.gz CQIUBVIFLVQCAB-HOTGVXAUSA-N 0 0 440.522 -0.811 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000430086937 1071797970 /nfs/dbraw/zinc/79/79/70/1071797970.db2.gz CQIUBVIFLVQCAB-HZPDHXFCSA-N 0 0 440.522 -0.811 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000430086939 1071797956 /nfs/dbraw/zinc/79/79/56/1071797956.db2.gz CQIUBVIFLVQCAB-JKSUJKDBSA-N 0 0 440.522 -0.811 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H]1CCCC[C@H]1O ZINC000430087438 1071797926 /nfs/dbraw/zinc/79/79/26/1071797926.db2.gz HGYAXPFMJSEZJZ-QLFBSQMISA-N 0 0 441.506 -0.210 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H]1CCCC[C@H]1O ZINC000430087442 1071797851 /nfs/dbraw/zinc/79/78/51/1071797851.db2.gz HGYAXPFMJSEZJZ-RBSFLKMASA-N 0 0 441.506 -0.210 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000430087854 1071798415 /nfs/dbraw/zinc/79/84/15/1071798415.db2.gz VETIEIDXWFYDNM-GDBMZVCRSA-N 0 0 439.538 -0.254 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000430087856 1071798325 /nfs/dbraw/zinc/79/83/25/1071798325.db2.gz VETIEIDXWFYDNM-GOEBONIOSA-N 0 0 439.538 -0.254 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000430087858 1071798153 /nfs/dbraw/zinc/79/81/53/1071798153.db2.gz VETIEIDXWFYDNM-HOCLYGCPSA-N 0 0 439.538 -0.254 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000430087860 1071798299 /nfs/dbraw/zinc/79/82/99/1071798299.db2.gz VETIEIDXWFYDNM-ZBFHGGJFSA-N 0 0 439.538 -0.254 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1C ZINC000430088046 1071797809 /nfs/dbraw/zinc/79/78/09/1071797809.db2.gz FZTFTBZOTDPCOT-AEFFLSMTSA-N 0 0 438.550 -0.241 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1C ZINC000430088047 1071797934 /nfs/dbraw/zinc/79/79/34/1071797934.db2.gz FZTFTBZOTDPCOT-FUHWJXTLSA-N 0 0 438.550 -0.241 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1C ZINC000430088048 1071797966 /nfs/dbraw/zinc/79/79/66/1071797966.db2.gz FZTFTBZOTDPCOT-SJLPKXTDSA-N 0 0 438.550 -0.241 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1C ZINC000430088049 1071797750 /nfs/dbraw/zinc/79/77/50/1071797750.db2.gz FZTFTBZOTDPCOT-WMZOPIPTSA-N 0 0 438.550 -0.241 20 0 IBADRN CCOC[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430091266 1071798399 /nfs/dbraw/zinc/79/83/99/1071798399.db2.gz WHUPNIPZCPLVKS-GXTWGEPZSA-N 0 0 429.495 -0.089 20 0 IBADRN CCOC[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430091267 1071798380 /nfs/dbraw/zinc/79/83/80/1071798380.db2.gz WHUPNIPZCPLVKS-JSGCOSHPSA-N 0 0 429.495 -0.089 20 0 IBADRN CC(C)(O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430093353 1071802099 /nfs/dbraw/zinc/80/20/99/1071802099.db2.gz GEOJIRMPHGAKLB-CYBMUJFWSA-N 0 0 429.495 -0.353 20 0 IBADRN CC(C)(O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430093354 1071802083 /nfs/dbraw/zinc/80/20/83/1071802083.db2.gz GEOJIRMPHGAKLB-ZDUSSCGKSA-N 0 0 429.495 -0.353 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1CCCC[C@@H]1O ZINC000430093846 1071802490 /nfs/dbraw/zinc/80/24/90/1071802490.db2.gz HGYAXPFMJSEZJZ-KKUMJFAQSA-N 0 0 441.506 -0.210 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1CCCC[C@@H]1O ZINC000430093847 1071802224 /nfs/dbraw/zinc/80/22/24/1071802224.db2.gz HGYAXPFMJSEZJZ-ZNMIVQPWSA-N 0 0 441.506 -0.210 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1C ZINC000430094212 1071802424 /nfs/dbraw/zinc/80/24/24/1071802424.db2.gz KVMXOKRDNGRYTJ-AEFFLSMTSA-N 0 0 440.566 -0.259 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1C ZINC000430094213 1071802449 /nfs/dbraw/zinc/80/24/49/1071802449.db2.gz KVMXOKRDNGRYTJ-FUHWJXTLSA-N 0 0 440.566 -0.259 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN(CCO)[C@H](C)C2)cc1C ZINC000430094214 1071802116 /nfs/dbraw/zinc/80/21/16/1071802116.db2.gz KVMXOKRDNGRYTJ-SJLPKXTDSA-N 0 0 440.566 -0.259 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN(CCO)[C@@H](C)C2)cc1C ZINC000430094215 1071802247 /nfs/dbraw/zinc/80/22/47/1071802247.db2.gz KVMXOKRDNGRYTJ-WMZOPIPTSA-N 0 0 440.566 -0.259 20 0 IBADRN CC1(C)[C@H](O)C[C@H]1NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430094354 1071802196 /nfs/dbraw/zinc/80/21/96/1071802196.db2.gz LIABLZHHVYUQIH-APHBMKBZSA-N 0 0 441.506 -0.354 20 0 IBADRN CC1(C)[C@H](O)C[C@H]1NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430094356 1071802330 /nfs/dbraw/zinc/80/23/30/1071802330.db2.gz LIABLZHHVYUQIH-DAXOMENPSA-N 0 0 441.506 -0.354 20 0 IBADRN CC1(C)[C@H](O)C[C@@H]1NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430094358 1071802174 /nfs/dbraw/zinc/80/21/74/1071802174.db2.gz LIABLZHHVYUQIH-UHOFOFEASA-N 0 0 441.506 -0.354 20 0 IBADRN CC1(C)[C@H](O)C[C@@H]1NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430094360 1071802344 /nfs/dbraw/zinc/80/23/44/1071802344.db2.gz LIABLZHHVYUQIH-VBNZEHGJSA-N 0 0 441.506 -0.354 20 0 IBADRN CC[C@@]1(O)CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430095167 1071802758 /nfs/dbraw/zinc/80/27/58/1071802758.db2.gz OTIICNSHQHYFGS-AUUYWEPGSA-N 0 0 441.506 -0.256 20 0 IBADRN CC[C@@]1(O)CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430095168 1071803157 /nfs/dbraw/zinc/80/31/57/1071803157.db2.gz OTIICNSHQHYFGS-IFXJQAMLSA-N 0 0 441.506 -0.256 20 0 IBADRN CC[C@]1(O)CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430095169 1071802991 /nfs/dbraw/zinc/80/29/91/1071802991.db2.gz OTIICNSHQHYFGS-KUHUBIRLSA-N 0 0 441.506 -0.256 20 0 IBADRN CC[C@]1(O)CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430095170 1071803167 /nfs/dbraw/zinc/80/31/67/1071803167.db2.gz OTIICNSHQHYFGS-LIRRHRJNSA-N 0 0 441.506 -0.256 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1C ZINC000430095201 1071802859 /nfs/dbraw/zinc/80/28/59/1071802859.db2.gz PCJXFZXUDVNXOX-FUHWJXTLSA-N 0 0 440.566 -0.259 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN(C[C@H](C)O)CC2)cc1C ZINC000430095202 1071803016 /nfs/dbraw/zinc/80/30/16/1071803016.db2.gz PCJXFZXUDVNXOX-WMZOPIPTSA-N 0 0 440.566 -0.259 20 0 IBADRN C[C@H](C[C@H](C)O)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430095343 1071802313 /nfs/dbraw/zinc/80/23/13/1071802313.db2.gz MZYJPPKEVSVWPS-DYEKYZERSA-N 0 0 429.495 -0.354 20 0 IBADRN C[C@H](C[C@H](C)O)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430095345 1071803176 /nfs/dbraw/zinc/80/31/76/1071803176.db2.gz MZYJPPKEVSVWPS-MBNYWOFBSA-N 0 0 429.495 -0.354 20 0 IBADRN C[C@H](O)C[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430095346 1071802739 /nfs/dbraw/zinc/80/27/39/1071802739.db2.gz MZYJPPKEVSVWPS-OBJOEFQTSA-N 0 0 429.495 -0.354 20 0 IBADRN C[C@H](O)C[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430095347 1071802923 /nfs/dbraw/zinc/80/29/23/1071802923.db2.gz MZYJPPKEVSVWPS-SGMGOOAPSA-N 0 0 429.495 -0.354 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000430096608 1071802943 /nfs/dbraw/zinc/80/29/43/1071802943.db2.gz AQJUMIGXHRMQRN-UHFFFAOYSA-N 0 0 444.535 -0.257 20 0 IBADRN CC(C)OCCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430099228 1071803120 /nfs/dbraw/zinc/80/31/20/1071803120.db2.gz XPVNJTSFGQICSF-AWEZNQCLSA-N 0 0 429.495 -0.089 20 0 IBADRN CC(C)OCCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430099232 1071803109 /nfs/dbraw/zinc/80/31/09/1071803109.db2.gz XPVNJTSFGQICSF-CQSZACIVSA-N 0 0 429.495 -0.089 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000430105265 1071802411 /nfs/dbraw/zinc/80/24/11/1071802411.db2.gz OWPMZGUAEYMFBR-CYBMUJFWSA-N 0 0 444.535 -0.177 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000430105268 1071802066 /nfs/dbraw/zinc/80/20/66/1071802066.db2.gz OWPMZGUAEYMFBR-ZDUSSCGKSA-N 0 0 444.535 -0.177 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000430110545 1071803817 /nfs/dbraw/zinc/80/38/17/1071803817.db2.gz IWULTJMMAVTOQB-UHFFFAOYSA-N 0 0 430.508 -0.565 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1 ZINC000430111155 1071803767 /nfs/dbraw/zinc/80/37/67/1071803767.db2.gz OLKCHOPGAHROSJ-UHFFFAOYSA-N 0 0 441.529 -0.226 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000430112233 1071803845 /nfs/dbraw/zinc/80/38/45/1071803845.db2.gz WWPKXUAAIKMJDD-UHFFFAOYSA-N 0 0 433.552 -0.700 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1Cl ZINC000430118668 1071802840 /nfs/dbraw/zinc/80/28/40/1071802840.db2.gz DUCYVQRPUXMMFM-UHFFFAOYSA-N 0 0 448.910 -0.235 20 0 IBADRN O=C(Cn1c(=O)oc2cc(S(=O)(=O)CCO)ccc21)NC(=O)NCC(F)(F)F ZINC000430118763 1071803101 /nfs/dbraw/zinc/80/31/01/1071803101.db2.gz HPAMYPFAMDMVRO-UHFFFAOYSA-N 0 0 425.341 -0.251 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C1 ZINC000430122380 1071843116 /nfs/dbraw/zinc/84/31/16/1071843116.db2.gz NQBRGSOSPWLMBF-UHFFFAOYSA-N 0 0 433.538 -0.069 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000430137019 1071842500 /nfs/dbraw/zinc/84/25/00/1071842500.db2.gz KGIPFKLDTRRAQN-AWEZNQCLSA-N 0 0 426.495 -0.498 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000430137021 1071842479 /nfs/dbraw/zinc/84/24/79/1071842479.db2.gz KGIPFKLDTRRAQN-CQSZACIVSA-N 0 0 426.495 -0.498 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCC3CN(S(C)(=O)=O)C3)C2)cc1 ZINC000430148707 1070800316 /nfs/dbraw/zinc/80/03/16/1070800316.db2.gz SNUSNAWAAJPCHL-UHFFFAOYSA-N 0 0 432.524 -0.741 20 0 IBADRN CCOC[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000430162088 1070804020 /nfs/dbraw/zinc/80/40/20/1070804020.db2.gz LHZVOLURZYKFTB-ZDUSSCGKSA-N 0 0 434.540 -0.225 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(S(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000430169772 1070804754 /nfs/dbraw/zinc/80/47/54/1070804754.db2.gz TYOYJXNVANTWSV-KRWDZBQOSA-N 0 0 431.536 -0.455 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(S(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000430169775 1070804787 /nfs/dbraw/zinc/80/47/87/1070804787.db2.gz TYOYJXNVANTWSV-QGZVFWFLSA-N 0 0 431.536 -0.455 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000430184842 1070808410 /nfs/dbraw/zinc/80/84/10/1070808410.db2.gz HJSYMASWAPRMDQ-IBGZPJMESA-N 0 0 438.554 -0.299 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000430184843 1070808238 /nfs/dbraw/zinc/80/82/38/1070808238.db2.gz HJSYMASWAPRMDQ-LJQANCHMSA-N 0 0 438.554 -0.299 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](CO)[C@H]1CCOC1 ZINC000430186541 1070808180 /nfs/dbraw/zinc/80/81/80/1070808180.db2.gz MAFQGLIZIJNYPA-DLBZAZTESA-N 0 0 441.554 -0.522 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](CO)[C@@H]1CCOC1 ZINC000430186542 1070808355 /nfs/dbraw/zinc/80/83/55/1070808355.db2.gz MAFQGLIZIJNYPA-IAGOWNOFSA-N 0 0 441.554 -0.522 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](CO)[C@H]1CCOC1 ZINC000430186543 1070808070 /nfs/dbraw/zinc/80/80/70/1070808070.db2.gz MAFQGLIZIJNYPA-IRXDYDNUSA-N 0 0 441.554 -0.522 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](CO)[C@@H]1CCOC1 ZINC000430186544 1070808127 /nfs/dbraw/zinc/80/81/27/1070808127.db2.gz MAFQGLIZIJNYPA-SJORKVTESA-N 0 0 441.554 -0.522 20 0 IBADRN CCN1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CC1=O ZINC000430191402 1070809176 /nfs/dbraw/zinc/80/91/76/1070809176.db2.gz JRSCXQYJNUCSGH-CYBMUJFWSA-N 0 0 440.478 -0.982 20 0 IBADRN CCN1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CC1=O ZINC000430191403 1070808575 /nfs/dbraw/zinc/80/85/75/1070808575.db2.gz JRSCXQYJNUCSGH-ZDUSSCGKSA-N 0 0 440.478 -0.982 20 0 IBADRN CNS(=O)(=O)CC(=O)Nc1ccc(NC(=O)CS(=O)(=O)NC)c2cnccc12 ZINC000430197974 1070811849 /nfs/dbraw/zinc/81/18/49/1070811849.db2.gz PSGYKWZLNSZSAX-UHFFFAOYSA-N 0 0 429.480 -0.790 20 0 IBADRN O=C(CN1CC(=O)NC1=O)Nc1ccc(NC(=O)CN2CC(=O)NC2=O)c2cnccc12 ZINC000430199126 1070811535 /nfs/dbraw/zinc/81/15/35/1070811535.db2.gz UBHGZDTYUBEZEJ-UHFFFAOYSA-N 0 0 439.388 -0.785 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2C[C@H](C(N)=O)CC[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000430207643 1070813373 /nfs/dbraw/zinc/81/33/73/1070813373.db2.gz RERATJVZESNRAL-GHMZBOCLSA-N 0 0 425.463 -0.072 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000430207645 1070813787 /nfs/dbraw/zinc/81/37/87/1070813787.db2.gz RERATJVZESNRAL-MNOVXSKESA-N 0 0 425.463 -0.072 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000430207647 1070813463 /nfs/dbraw/zinc/81/34/63/1070813463.db2.gz RERATJVZESNRAL-QWRGUYRKSA-N 0 0 425.463 -0.072 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000430207648 1070813729 /nfs/dbraw/zinc/81/37/29/1070813729.db2.gz RERATJVZESNRAL-WDEREUQCSA-N 0 0 425.463 -0.072 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1CO ZINC000430208988 1070814371 /nfs/dbraw/zinc/81/43/71/1070814371.db2.gz VKGKWKVTCFBHNZ-IPYPFGDCSA-N 0 0 441.506 -0.543 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1CO ZINC000430208991 1070814492 /nfs/dbraw/zinc/81/44/92/1070814492.db2.gz VKGKWKVTCFBHNZ-VNHYZAJKSA-N 0 0 441.506 -0.543 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H]1CN1CCOCC1)CC2 ZINC000430210585 1070815364 /nfs/dbraw/zinc/81/53/64/1070815364.db2.gz DTNDYNZLXKDBCW-INIZCTEOSA-N 0 0 436.534 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H]1CN1CCOCC1)CC2 ZINC000430210586 1070814127 /nfs/dbraw/zinc/81/41/27/1070814127.db2.gz DTNDYNZLXKDBCW-MRXNPFEDSA-N 0 0 436.534 -0.193 20 0 IBADRN C[C@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000430212614 1070814945 /nfs/dbraw/zinc/81/49/45/1070814945.db2.gz OSSPIBQZMOCZJK-DOTOQJQBSA-N 0 0 445.520 -0.201 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000430212615 1070815890 /nfs/dbraw/zinc/81/58/90/1070815890.db2.gz OSSPIBQZMOCZJK-NVXWUHKLSA-N 0 0 445.520 -0.201 20 0 IBADRN C[C@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000430212616 1070816016 /nfs/dbraw/zinc/81/60/16/1070816016.db2.gz OSSPIBQZMOCZJK-RDJZCZTQSA-N 0 0 445.520 -0.201 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000430212617 1070815796 /nfs/dbraw/zinc/81/57/96/1070815796.db2.gz OSSPIBQZMOCZJK-WBVHZDCISA-N 0 0 445.520 -0.201 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H]3CN3CCOCC3)ccn2)CC1 ZINC000430215125 1070816693 /nfs/dbraw/zinc/81/66/93/1070816693.db2.gz BUIOAFURQCYWFF-GOSISDBHSA-N 0 0 444.536 -0.269 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCC[C@H]3CN3CCOCC3)ccn2)CC1 ZINC000430215126 1070816805 /nfs/dbraw/zinc/81/68/05/1070816805.db2.gz BUIOAFURQCYWFF-SFHVURJKSA-N 0 0 444.536 -0.269 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)ccc1S(=O)(=O)N(C)C ZINC000430215139 1070816981 /nfs/dbraw/zinc/81/69/81/1070816981.db2.gz BWKKLDKPBXVODM-NEPJUHHUSA-N 0 0 426.495 -0.004 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)ccc1S(=O)(=O)N(C)C ZINC000430215142 1070817109 /nfs/dbraw/zinc/81/71/09/1070817109.db2.gz BWKKLDKPBXVODM-NWDGAFQWSA-N 0 0 426.495 -0.004 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)ccc1S(=O)(=O)N(C)C ZINC000430215144 1070817459 /nfs/dbraw/zinc/81/74/59/1070817459.db2.gz BWKKLDKPBXVODM-RYUDHWBXSA-N 0 0 426.495 -0.004 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@H](C(N)=O)CC[C@H]2C)ccc1S(=O)(=O)N(C)C ZINC000430215146 1070817139 /nfs/dbraw/zinc/81/71/39/1070817139.db2.gz BWKKLDKPBXVODM-VXGBXAGGSA-N 0 0 426.495 -0.004 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](C(N)=O)CC[C@H]3C)cn2)C[C@H](C)O1 ZINC000430215315 1070816664 /nfs/dbraw/zinc/81/66/64/1070816664.db2.gz BOSRKEOCKRZXTA-APIJFGDWSA-N 0 0 434.497 -0.430 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](C(N)=O)CC[C@@H]3C)cn2)C[C@H](C)O1 ZINC000430215316 1070816635 /nfs/dbraw/zinc/81/66/35/1070816635.db2.gz BOSRKEOCKRZXTA-BYNSBNAKSA-N 0 0 434.497 -0.430 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](C(N)=O)CC[C@@H]3C)cn2)C[C@@H](C)O1 ZINC000430215317 1070816745 /nfs/dbraw/zinc/81/67/45/1070816745.db2.gz BOSRKEOCKRZXTA-GBJTYRQASA-N 0 0 434.497 -0.430 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](C(N)=O)CC[C@H]3C)cn2)C[C@@H](C)O1 ZINC000430215318 1070816517 /nfs/dbraw/zinc/81/65/17/1070816517.db2.gz BOSRKEOCKRZXTA-KBUPBQIOSA-N 0 0 434.497 -0.430 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1=O ZINC000430215470 1070816546 /nfs/dbraw/zinc/81/65/46/1070816546.db2.gz DYDJIJXVCRZZTH-KRWDZBQOSA-N 0 0 426.499 -0.718 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1=O ZINC000430215473 1070816336 /nfs/dbraw/zinc/81/63/36/1070816336.db2.gz DYDJIJXVCRZZTH-QGZVFWFLSA-N 0 0 426.499 -0.718 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cc(C(N)=O)co3)CC2)C[C@H](C)O1 ZINC000430216106 1070817585 /nfs/dbraw/zinc/81/75/85/1070817585.db2.gz DPBJUPFGNVWIKU-BETUJISGSA-N 0 0 429.499 -0.440 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cc(C(N)=O)co3)CC2)C[C@@H](C)O1 ZINC000430216111 1070817166 /nfs/dbraw/zinc/81/71/66/1070817166.db2.gz DPBJUPFGNVWIKU-CHWSQXEVSA-N 0 0 429.499 -0.440 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cc(C(N)=O)co3)CC2)C[C@H](C)O1 ZINC000430216114 1070817480 /nfs/dbraw/zinc/81/74/80/1070817480.db2.gz DPBJUPFGNVWIKU-STQMWFEESA-N 0 0 429.499 -0.440 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)cn1)N1CCOCC1 ZINC000430216830 1070817252 /nfs/dbraw/zinc/81/72/52/1070817252.db2.gz IHZGOAJPJOYFNI-KRWDZBQOSA-N 0 0 434.497 -0.996 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)cn1)N1CCOCC1 ZINC000430216831 1070817673 /nfs/dbraw/zinc/81/76/73/1070817673.db2.gz IHZGOAJPJOYFNI-QGZVFWFLSA-N 0 0 434.497 -0.996 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000430218721 1070817409 /nfs/dbraw/zinc/81/74/09/1070817409.db2.gz NRQCVMQBBFUPLH-IIDMSEBBSA-N 0 0 444.488 -0.248 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000430218722 1070817285 /nfs/dbraw/zinc/81/72/85/1070817285.db2.gz NRQCVMQBBFUPLH-RKVPGOIHSA-N 0 0 444.488 -0.248 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000430218723 1070817196 /nfs/dbraw/zinc/81/71/96/1070817196.db2.gz NRQCVMQBBFUPLH-RVKKMQEKSA-N 0 0 444.488 -0.248 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000430218724 1070818387 /nfs/dbraw/zinc/81/83/87/1070818387.db2.gz NRQCVMQBBFUPLH-VKJFTORMSA-N 0 0 444.488 -0.248 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)cc1 ZINC000430220514 1070818063 /nfs/dbraw/zinc/81/80/63/1070818063.db2.gz BQVYPJSDYRNXNO-GOSISDBHSA-N 0 0 446.504 -0.220 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)cc1 ZINC000430220518 1070818088 /nfs/dbraw/zinc/81/80/88/1070818088.db2.gz BQVYPJSDYRNXNO-SFHVURJKSA-N 0 0 446.504 -0.220 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)cc1 ZINC000430221054 1070818309 /nfs/dbraw/zinc/81/83/09/1070818309.db2.gz CRYWYVUCYWZGNQ-KRWDZBQOSA-N 0 0 432.477 -0.149 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)cc1 ZINC000430221056 1070817874 /nfs/dbraw/zinc/81/78/74/1070817874.db2.gz CRYWYVUCYWZGNQ-QGZVFWFLSA-N 0 0 432.477 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](C(N)=O)CC[C@H]1C ZINC000430224623 1070818198 /nfs/dbraw/zinc/81/81/98/1070818198.db2.gz ZCGZRIFHHZSMTG-NEPJUHHUSA-N 0 0 426.495 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](C(N)=O)CC[C@@H]1C ZINC000430224624 1070819006 /nfs/dbraw/zinc/81/90/06/1070819006.db2.gz ZCGZRIFHHZSMTG-NWDGAFQWSA-N 0 0 426.495 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](C(N)=O)CC[C@@H]1C ZINC000430224627 1070818889 /nfs/dbraw/zinc/81/88/89/1070818889.db2.gz ZCGZRIFHHZSMTG-RYUDHWBXSA-N 0 0 426.495 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](C(N)=O)CC[C@H]1C ZINC000430224628 1070818787 /nfs/dbraw/zinc/81/87/87/1070818787.db2.gz ZCGZRIFHHZSMTG-VXGBXAGGSA-N 0 0 426.495 -0.004 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C(N)=O)CC[C@H]2C)cc1 ZINC000430234236 1070819890 /nfs/dbraw/zinc/81/98/90/1070819890.db2.gz VRFMIDSTWRRGQT-CHWSQXEVSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)cc1 ZINC000430234237 1070819862 /nfs/dbraw/zinc/81/98/62/1070819862.db2.gz VRFMIDSTWRRGQT-OLZOCXBDSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)cc1 ZINC000430234238 1070820079 /nfs/dbraw/zinc/82/00/79/1070820079.db2.gz VRFMIDSTWRRGQT-QWHCGFSZSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)cc1 ZINC000430234239 1070819931 /nfs/dbraw/zinc/81/99/31/1070819931.db2.gz VRFMIDSTWRRGQT-STQMWFEESA-N 0 0 426.495 -0.338 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)n1)N1CCCCCC1 ZINC000430237190 1070819996 /nfs/dbraw/zinc/81/99/96/1070819996.db2.gz ICJNOSZMTXLIKT-KRWDZBQOSA-N 0 0 447.540 -0.058 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)n1)N1CCCCCC1 ZINC000430237191 1070819734 /nfs/dbraw/zinc/81/97/34/1070819734.db2.gz ICJNOSZMTXLIKT-QGZVFWFLSA-N 0 0 447.540 -0.058 20 0 IBADRN C[C@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000430238075 1070820456 /nfs/dbraw/zinc/82/04/56/1070820456.db2.gz JPPCKZXRTCXHNI-KBPBESRZSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000430238079 1070820662 /nfs/dbraw/zinc/82/06/62/1070820662.db2.gz JPPCKZXRTCXHNI-KGLIPLIRSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000430238081 1070820248 /nfs/dbraw/zinc/82/02/48/1070820248.db2.gz JPPCKZXRTCXHNI-UONOGXRCSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000430238082 1070820543 /nfs/dbraw/zinc/82/05/43/1070820543.db2.gz JPPCKZXRTCXHNI-ZIAGYGMSSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000430242288 1070820914 /nfs/dbraw/zinc/82/09/14/1070820914.db2.gz RSBSGRAFAVJSCF-KBPBESRZSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000430242289 1070821492 /nfs/dbraw/zinc/82/14/92/1070821492.db2.gz RSBSGRAFAVJSCF-KGLIPLIRSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000430242290 1070821644 /nfs/dbraw/zinc/82/16/44/1070821644.db2.gz RSBSGRAFAVJSCF-UONOGXRCSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000430242291 1070821258 /nfs/dbraw/zinc/82/12/58/1070821258.db2.gz RSBSGRAFAVJSCF-ZIAGYGMSSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000430242400 1070821187 /nfs/dbraw/zinc/82/11/87/1070821187.db2.gz SMNJZIVINGANNA-CABCVRRESA-N 0 0 432.477 -0.025 20 0 IBADRN C[C@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000430242401 1070821091 /nfs/dbraw/zinc/82/10/91/1070821091.db2.gz SMNJZIVINGANNA-GJZGRUSLSA-N 0 0 432.477 -0.025 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000430242402 1070821119 /nfs/dbraw/zinc/82/11/19/1070821119.db2.gz SMNJZIVINGANNA-HUUCEWRRSA-N 0 0 432.477 -0.025 20 0 IBADRN C[C@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000430242403 1070821321 /nfs/dbraw/zinc/82/13/21/1070821321.db2.gz SMNJZIVINGANNA-LSDHHAIUSA-N 0 0 432.477 -0.025 20 0 IBADRN O=C(CN1CCN(Cc2cnnn2Cc2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000430245254 1070822390 /nfs/dbraw/zinc/82/23/90/1070822390.db2.gz ZYCYTYMRSVRZED-GOSISDBHSA-N 0 0 432.550 -0.253 20 0 IBADRN O=C(CN1CCN(Cc2cnnn2Cc2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000430245255 1070821868 /nfs/dbraw/zinc/82/18/68/1070821868.db2.gz ZYCYTYMRSVRZED-SFHVURJKSA-N 0 0 432.550 -0.253 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)C[C@H](C)O2 ZINC000430248784 1070824223 /nfs/dbraw/zinc/82/42/23/1070824223.db2.gz GPAOXUQAXPMQTC-AJYBTWMASA-N 0 0 429.583 -0.024 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)C[C@H](C)O2 ZINC000430248785 1070823802 /nfs/dbraw/zinc/82/38/02/1070823802.db2.gz GPAOXUQAXPMQTC-DOADOZAASA-N 0 0 429.583 -0.024 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C[C@]2(CCO[C@H](C)C2)O1 ZINC000430248786 1070823947 /nfs/dbraw/zinc/82/39/47/1070823947.db2.gz GPAOXUQAXPMQTC-FTEYMNFISA-N 0 0 429.583 -0.024 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C[C@]2(CCO[C@H](C)C2)O1 ZINC000430248787 1070824311 /nfs/dbraw/zinc/82/43/11/1070824311.db2.gz GPAOXUQAXPMQTC-SOAMZJECSA-N 0 0 429.583 -0.024 20 0 IBADRN CC[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1O ZINC000430256864 1070826383 /nfs/dbraw/zinc/82/63/83/1070826383.db2.gz JXNYOJUNRKNWPZ-BPLDGKMQSA-N 0 0 441.506 -0.401 20 0 IBADRN CC[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@@H]1O ZINC000430256866 1070826340 /nfs/dbraw/zinc/82/63/40/1070826340.db2.gz JXNYOJUNRKNWPZ-SNPRPXQTSA-N 0 0 441.506 -0.401 20 0 IBADRN CC[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1O ZINC000430256868 1070826612 /nfs/dbraw/zinc/82/66/12/1070826612.db2.gz JXNYOJUNRKNWPZ-VHDGCEQUSA-N 0 0 441.506 -0.401 20 0 IBADRN CC[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@@H]1O ZINC000430256870 1070826648 /nfs/dbraw/zinc/82/66/48/1070826648.db2.gz JXNYOJUNRKNWPZ-YUELXQCFSA-N 0 0 441.506 -0.401 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC(=O)C1CC1 ZINC000430259356 1070827431 /nfs/dbraw/zinc/82/74/31/1070827431.db2.gz QEVBLJYFJFDLTM-CYBMUJFWSA-N 0 0 425.463 -0.535 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC(=O)C1CC1 ZINC000430259359 1070827300 /nfs/dbraw/zinc/82/73/00/1070827300.db2.gz QEVBLJYFJFDLTM-ZDUSSCGKSA-N 0 0 425.463 -0.535 20 0 IBADRN CC(C)[C@@H](CO)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430259492 1070827592 /nfs/dbraw/zinc/82/75/92/1070827592.db2.gz FCYZCJLSYRXJMB-UONOGXRCSA-N 0 0 429.495 -0.497 20 0 IBADRN CC(C)[C@@H](CO)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430259494 1070827649 /nfs/dbraw/zinc/82/76/49/1070827649.db2.gz FCYZCJLSYRXJMB-ZIAGYGMSSA-N 0 0 429.495 -0.497 20 0 IBADRN CCOCCN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430267472 1070828822 /nfs/dbraw/zinc/82/88/22/1070828822.db2.gz FXVFWJDSTSECIM-AWEZNQCLSA-N 0 0 429.495 -0.135 20 0 IBADRN CCOCCN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430267473 1070829205 /nfs/dbraw/zinc/82/92/05/1070829205.db2.gz FXVFWJDSTSECIM-CQSZACIVSA-N 0 0 429.495 -0.135 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C[C@H](C)O2 ZINC000430269553 1071434750 /nfs/dbraw/zinc/43/47/50/1071434750.db2.gz OWJBXDXDUMXAJM-DXIQSLLYSA-N 0 0 438.569 -0.352 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C[C@@H](C)O2 ZINC000430269554 1071434828 /nfs/dbraw/zinc/43/48/28/1071434828.db2.gz OWJBXDXDUMXAJM-KNKQGSTJSA-N 0 0 438.569 -0.352 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C[C@@H](C)O2 ZINC000430269555 1071434678 /nfs/dbraw/zinc/43/46/78/1071434678.db2.gz OWJBXDXDUMXAJM-WOIUINJBSA-N 0 0 438.569 -0.352 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C[C@H](C)O2 ZINC000430269556 1071434788 /nfs/dbraw/zinc/43/47/88/1071434788.db2.gz OWJBXDXDUMXAJM-XQBPLPMBSA-N 0 0 438.569 -0.352 20 0 IBADRN Cc1cc(C)n(CC(=O)NC[C@H](NC(=O)Cn2c(C)cc(C)nc2=O)C(C)C)c(=O)n1 ZINC000430270197 1070830272 /nfs/dbraw/zinc/83/02/72/1070830272.db2.gz QZWFNUPDITXEJJ-KRWDZBQOSA-N 0 0 430.509 -0.009 20 0 IBADRN Cc1cc(C)n(CC(=O)NC[C@@H](NC(=O)Cn2c(C)cc(C)nc2=O)C(C)C)c(=O)n1 ZINC000430270200 1070830082 /nfs/dbraw/zinc/83/00/82/1070830082.db2.gz QZWFNUPDITXEJJ-QGZVFWFLSA-N 0 0 430.509 -0.009 20 0 IBADRN C[C@@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)c1cn[nH]c1 ZINC000430274834 1070831624 /nfs/dbraw/zinc/83/16/24/1070831624.db2.gz SANUWFQENFIKMT-BXUZGUMPSA-N 0 0 437.478 -0.029 20 0 IBADRN C[C@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)c1cn[nH]c1 ZINC000430274835 1070831525 /nfs/dbraw/zinc/83/15/25/1070831525.db2.gz SANUWFQENFIKMT-FZMZJTMJSA-N 0 0 437.478 -0.029 20 0 IBADRN C[C@@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)c1cn[nH]c1 ZINC000430274836 1070831332 /nfs/dbraw/zinc/83/13/32/1070831332.db2.gz SANUWFQENFIKMT-RISCZKNCSA-N 0 0 437.478 -0.029 20 0 IBADRN C[C@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)c1cn[nH]c1 ZINC000430274837 1070831143 /nfs/dbraw/zinc/83/11/43/1070831143.db2.gz SANUWFQENFIKMT-SMDDNHRTSA-N 0 0 437.478 -0.029 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@@H]1O ZINC000430275280 1070830701 /nfs/dbraw/zinc/83/07/01/1070830701.db2.gz AQCOUNUEAKLRHE-BNOWGMLFSA-N 0 0 427.479 -0.791 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1O ZINC000430275281 1070830818 /nfs/dbraw/zinc/83/08/18/1070830818.db2.gz AQCOUNUEAKLRHE-KWCYVHTRSA-N 0 0 427.479 -0.791 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1O ZINC000430275282 1070831400 /nfs/dbraw/zinc/83/14/00/1070831400.db2.gz AQCOUNUEAKLRHE-MRVWCRGKSA-N 0 0 427.479 -0.791 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@@H]1O ZINC000430275283 1070831364 /nfs/dbraw/zinc/83/13/64/1070831364.db2.gz AQCOUNUEAKLRHE-XBFCOCLRSA-N 0 0 427.479 -0.791 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N(C)CCNC(=O)N(C)C)cc1C ZINC000430277822 1070832723 /nfs/dbraw/zinc/83/27/23/1070832723.db2.gz NSEZMFUBUOEWID-INIZCTEOSA-N 0 0 441.554 -0.055 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N(C)CCNC(=O)N(C)C)cc1C ZINC000430277823 1070832240 /nfs/dbraw/zinc/83/22/40/1070832240.db2.gz NSEZMFUBUOEWID-MRXNPFEDSA-N 0 0 441.554 -0.055 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1O ZINC000430278464 1070832764 /nfs/dbraw/zinc/83/27/64/1070832764.db2.gz PXSRWJRSYPBCDL-BPLDGKMQSA-N 0 0 441.506 -0.401 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@@H]1O ZINC000430278465 1070832133 /nfs/dbraw/zinc/83/21/33/1070832133.db2.gz PXSRWJRSYPBCDL-SNPRPXQTSA-N 0 0 441.506 -0.401 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1O ZINC000430278466 1070831973 /nfs/dbraw/zinc/83/19/73/1070831973.db2.gz PXSRWJRSYPBCDL-VHDGCEQUSA-N 0 0 441.506 -0.401 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@@H]1O ZINC000430278467 1070832208 /nfs/dbraw/zinc/83/22/08/1070832208.db2.gz PXSRWJRSYPBCDL-YUELXQCFSA-N 0 0 441.506 -0.401 20 0 IBADRN CN1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccccc2C(F)(F)F)CC1=O ZINC000430278743 1070832326 /nfs/dbraw/zinc/83/23/26/1070832326.db2.gz QPQFXKBMCFBUGA-LLVKDONJSA-N 0 0 436.412 -0.124 20 0 IBADRN CN1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccccc2C(F)(F)F)CC1=O ZINC000430278744 1070832005 /nfs/dbraw/zinc/83/20/05/1070832005.db2.gz QPQFXKBMCFBUGA-NSHDSACASA-N 0 0 436.412 -0.124 20 0 IBADRN COC1(C)CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430283095 1070832986 /nfs/dbraw/zinc/83/29/86/1070832986.db2.gz IGYAWILWQPXXKJ-CYBMUJFWSA-N 0 0 427.479 -0.383 20 0 IBADRN COC1(C)CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430283096 1070833189 /nfs/dbraw/zinc/83/31/89/1070833189.db2.gz IGYAWILWQPXXKJ-ZDUSSCGKSA-N 0 0 427.479 -0.383 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430283545 1070833354 /nfs/dbraw/zinc/83/33/54/1070833354.db2.gz ZKTGFRZTVXQULP-AUUYWEPGSA-N 0 0 441.506 -0.256 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430283547 1070833454 /nfs/dbraw/zinc/83/34/54/1070833454.db2.gz ZKTGFRZTVXQULP-IFXJQAMLSA-N 0 0 441.506 -0.256 20 0 IBADRN C[C@]1(O)CCCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430283549 1070833213 /nfs/dbraw/zinc/83/32/13/1070833213.db2.gz ZKTGFRZTVXQULP-KUHUBIRLSA-N 0 0 441.506 -0.256 20 0 IBADRN C[C@]1(O)CCCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430283550 1070833023 /nfs/dbraw/zinc/83/30/23/1070833023.db2.gz ZKTGFRZTVXQULP-LIRRHRJNSA-N 0 0 441.506 -0.256 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N(C)CC(C)(CO)CO)cc1C ZINC000430284127 1070834424 /nfs/dbraw/zinc/83/44/24/1070834424.db2.gz KVRHJVQJJYGKOD-INIZCTEOSA-N 0 0 429.539 -0.335 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N(C)CC(C)(CO)CO)cc1C ZINC000430284128 1070834093 /nfs/dbraw/zinc/83/40/93/1070834093.db2.gz KVRHJVQJJYGKOD-MRXNPFEDSA-N 0 0 429.539 -0.335 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H]1CC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000430287614 1070834661 /nfs/dbraw/zinc/83/46/61/1070834661.db2.gz XZJBCVQGHOTKLN-AAEUAGOBSA-N 0 0 442.523 -0.495 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC000430287615 1070834989 /nfs/dbraw/zinc/83/49/89/1070834989.db2.gz XZJBCVQGHOTKLN-DGCLKSJQSA-N 0 0 442.523 -0.495 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC000430287616 1070835258 /nfs/dbraw/zinc/83/52/58/1070835258.db2.gz XZJBCVQGHOTKLN-WCQYABFASA-N 0 0 442.523 -0.495 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H]1CC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000430287617 1070835077 /nfs/dbraw/zinc/83/50/77/1070835077.db2.gz XZJBCVQGHOTKLN-YPMHNXCESA-N 0 0 442.523 -0.495 20 0 IBADRN C[C@@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@H](C)CO ZINC000430288176 1070834634 /nfs/dbraw/zinc/83/46/34/1070834634.db2.gz DCOKLRGMDSMXMY-BZPMIXESSA-N 0 0 429.495 -0.497 20 0 IBADRN C[C@H](CO)[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430288177 1070835407 /nfs/dbraw/zinc/83/54/07/1070835407.db2.gz DCOKLRGMDSMXMY-DYEKYZERSA-N 0 0 429.495 -0.497 20 0 IBADRN C[C@H](CO)[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430288178 1070835382 /nfs/dbraw/zinc/83/53/82/1070835382.db2.gz DCOKLRGMDSMXMY-MBNYWOFBSA-N 0 0 429.495 -0.497 20 0 IBADRN C[C@@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@H](C)CO ZINC000430288179 1070835134 /nfs/dbraw/zinc/83/51/34/1070835134.db2.gz DCOKLRGMDSMXMY-YRGRVCCFSA-N 0 0 429.495 -0.497 20 0 IBADRN CC[C@H](C)[C@H](O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430288948 1070835796 /nfs/dbraw/zinc/83/57/96/1070835796.db2.gz HMVBIRDMIXUDLR-AEGPPILISA-N 0 0 443.522 -0.107 20 0 IBADRN CC[C@H](C)[C@@H](O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430288949 1070835626 /nfs/dbraw/zinc/83/56/26/1070835626.db2.gz HMVBIRDMIXUDLR-CFVMTHIKSA-N 0 0 443.522 -0.107 20 0 IBADRN CC[C@H](C)[C@H](O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430288950 1070835961 /nfs/dbraw/zinc/83/59/61/1070835961.db2.gz HMVBIRDMIXUDLR-NWANDNLSSA-N 0 0 443.522 -0.107 20 0 IBADRN CC[C@H](C)[C@@H](O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430288951 1070835989 /nfs/dbraw/zinc/83/59/89/1070835989.db2.gz HMVBIRDMIXUDLR-QEJZJMRPSA-N 0 0 443.522 -0.107 20 0 IBADRN CCC[C@H](O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430290106 1070835736 /nfs/dbraw/zinc/83/57/36/1070835736.db2.gz LYPPTVPXJPEHEZ-GXTWGEPZSA-N 0 0 429.495 -0.353 20 0 IBADRN CCC[C@H](O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430290108 1070835702 /nfs/dbraw/zinc/83/57/02/1070835702.db2.gz LYPPTVPXJPEHEZ-JSGCOSHPSA-N 0 0 429.495 -0.353 20 0 IBADRN CCC[C@@H](O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430290110 1070836014 /nfs/dbraw/zinc/83/60/14/1070836014.db2.gz LYPPTVPXJPEHEZ-OCCSQVGLSA-N 0 0 429.495 -0.353 20 0 IBADRN CCC[C@@H](O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430290112 1070836189 /nfs/dbraw/zinc/83/61/89/1070836189.db2.gz LYPPTVPXJPEHEZ-TZMCWYRMSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@H](O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430291056 1070836762 /nfs/dbraw/zinc/83/67/62/1070836762.db2.gz SRBJGXXTPFSSMH-GXTWGEPZSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@H](O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430291058 1070836967 /nfs/dbraw/zinc/83/69/67/1070836967.db2.gz SRBJGXXTPFSSMH-JSGCOSHPSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@@H](O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430291060 1070836941 /nfs/dbraw/zinc/83/69/41/1070836941.db2.gz SRBJGXXTPFSSMH-OCCSQVGLSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@@H](O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430291062 1070836628 /nfs/dbraw/zinc/83/66/28/1070836628.db2.gz SRBJGXXTPFSSMH-TZMCWYRMSA-N 0 0 429.495 -0.353 20 0 IBADRN CC(C)[C@@H](O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430291631 1070836143 /nfs/dbraw/zinc/83/61/43/1070836143.db2.gz HEBYXCXIAQMIFH-KBPBESRZSA-N 0 0 429.495 -0.497 20 0 IBADRN CC(C)[C@@H](O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430291632 1070836038 /nfs/dbraw/zinc/83/60/38/1070836038.db2.gz HEBYXCXIAQMIFH-KGLIPLIRSA-N 0 0 429.495 -0.497 20 0 IBADRN CC(C)[C@H](O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430291633 1070835826 /nfs/dbraw/zinc/83/58/26/1070835826.db2.gz HEBYXCXIAQMIFH-UONOGXRCSA-N 0 0 429.495 -0.497 20 0 IBADRN CC(C)[C@H](O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430291634 1070835763 /nfs/dbraw/zinc/83/57/63/1070835763.db2.gz HEBYXCXIAQMIFH-ZIAGYGMSSA-N 0 0 429.495 -0.497 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)N[C@@H]1CNC(=O)C1 ZINC000430291900 1070836653 /nfs/dbraw/zinc/83/66/53/1070836653.db2.gz WACQGPBSXRFGCY-GXSJLCMTSA-N 0 0 436.412 -0.378 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)N[C@H]1CNC(=O)C1 ZINC000430291901 1070836730 /nfs/dbraw/zinc/83/67/30/1070836730.db2.gz WACQGPBSXRFGCY-KOLCDFICSA-N 0 0 436.412 -0.378 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)N[C@H]1CNC(=O)C1 ZINC000430291902 1070837128 /nfs/dbraw/zinc/83/71/28/1070837128.db2.gz WACQGPBSXRFGCY-MWLCHTKSSA-N 0 0 436.412 -0.378 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)N[C@@H]1CNC(=O)C1 ZINC000430291903 1070837813 /nfs/dbraw/zinc/83/78/13/1070837813.db2.gz WACQGPBSXRFGCY-ONGXEEELSA-N 0 0 436.412 -0.378 20 0 IBADRN CC[C@@H](CCO)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430292514 1070836677 /nfs/dbraw/zinc/83/66/77/1070836677.db2.gz SZVSNFYBGDHNJL-GXTWGEPZSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@@H](CCO)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430292515 1070836526 /nfs/dbraw/zinc/83/65/26/1070836526.db2.gz SZVSNFYBGDHNJL-JSGCOSHPSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@H](CCO)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430292516 1070836795 /nfs/dbraw/zinc/83/67/95/1070836795.db2.gz SZVSNFYBGDHNJL-OCCSQVGLSA-N 0 0 429.495 -0.353 20 0 IBADRN CC[C@H](CCO)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430292517 1070836605 /nfs/dbraw/zinc/83/66/05/1070836605.db2.gz SZVSNFYBGDHNJL-TZMCWYRMSA-N 0 0 429.495 -0.353 20 0 IBADRN COC(=O)[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430293696 1070837619 /nfs/dbraw/zinc/83/76/19/1070837619.db2.gz VWZNHKBTXSKIGD-PWSUYJOCSA-N 0 0 429.451 -0.952 20 0 IBADRN COC(=O)[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430293699 1070837922 /nfs/dbraw/zinc/83/79/22/1070837922.db2.gz VWZNHKBTXSKIGD-ZYHUDNBSSA-N 0 0 429.451 -0.952 20 0 IBADRN Cc1cc(NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)nn1C ZINC000430294757 1070837306 /nfs/dbraw/zinc/83/73/06/1070837306.db2.gz AGSCSBHAVKXYJX-CYBMUJFWSA-N 0 0 437.478 0.051 20 0 IBADRN Cc1cc(NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)nn1C ZINC000430294758 1070837684 /nfs/dbraw/zinc/83/76/84/1070837684.db2.gz AGSCSBHAVKXYJX-ZDUSSCGKSA-N 0 0 437.478 0.051 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@H]2CCCS2(=O)=O)cc1C ZINC000430295068 1070837582 /nfs/dbraw/zinc/83/75/82/1070837582.db2.gz GSKSOHXLFBKOLI-CVEARBPZSA-N 0 0 445.563 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@@H]2CCCS2(=O)=O)cc1C ZINC000430295072 1070837182 /nfs/dbraw/zinc/83/71/82/1070837182.db2.gz GSKSOHXLFBKOLI-HOTGVXAUSA-N 0 0 445.563 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@H]2CCCS2(=O)=O)cc1C ZINC000430295075 1070837420 /nfs/dbraw/zinc/83/74/20/1070837420.db2.gz GSKSOHXLFBKOLI-HZPDHXFCSA-N 0 0 445.563 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@@H]2CCCS2(=O)=O)cc1C ZINC000430295078 1070837453 /nfs/dbraw/zinc/83/74/53/1070837453.db2.gz GSKSOHXLFBKOLI-JKSUJKDBSA-N 0 0 445.563 -0.091 20 0 IBADRN CC(C)(CCO)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430307649 1070848890 /nfs/dbraw/zinc/84/88/90/1070848890.db2.gz RBEQQUHGVGCBBS-AWEZNQCLSA-N 0 0 443.522 -0.105 20 0 IBADRN CC(C)(CCO)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430307651 1070848978 /nfs/dbraw/zinc/84/89/78/1070848978.db2.gz RBEQQUHGVGCBBS-CQSZACIVSA-N 0 0 443.522 -0.105 20 0 IBADRN C[C@@H]1COCCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430308040 1070849454 /nfs/dbraw/zinc/84/94/54/1070849454.db2.gz WNMBLTRTYBAHAM-DZGCQCFKSA-N 0 0 441.506 -0.135 20 0 IBADRN C[C@H]1COCCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430308043 1070850233 /nfs/dbraw/zinc/85/02/33/1070850233.db2.gz WNMBLTRTYBAHAM-HIFRSBDPSA-N 0 0 441.506 -0.135 20 0 IBADRN C[C@H]1COCCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430308045 1070849975 /nfs/dbraw/zinc/84/99/75/1070849975.db2.gz WNMBLTRTYBAHAM-UKRRQHHQSA-N 0 0 441.506 -0.135 20 0 IBADRN C[C@@H]1COCCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000430308047 1070849852 /nfs/dbraw/zinc/84/98/52/1070849852.db2.gz WNMBLTRTYBAHAM-ZFWWWQNUSA-N 0 0 441.506 -0.135 20 0 IBADRN COC[C@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000430309533 1070850522 /nfs/dbraw/zinc/85/05/22/1070850522.db2.gz WOHFLTXXVHKXQX-CABCVRRESA-N 0 0 441.506 -0.089 20 0 IBADRN COC[C@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000430309534 1070850969 /nfs/dbraw/zinc/85/09/69/1070850969.db2.gz WOHFLTXXVHKXQX-GJZGRUSLSA-N 0 0 441.506 -0.089 20 0 IBADRN COC[C@@H](NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000430309535 1070850547 /nfs/dbraw/zinc/85/05/47/1070850547.db2.gz WOHFLTXXVHKXQX-HUUCEWRRSA-N 0 0 441.506 -0.089 20 0 IBADRN COC[C@@H](NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000430309536 1070850937 /nfs/dbraw/zinc/85/09/37/1070850937.db2.gz WOHFLTXXVHKXQX-LSDHHAIUSA-N 0 0 441.506 -0.089 20 0 IBADRN CC(=O)C[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430310527 1070851259 /nfs/dbraw/zinc/85/12/59/1070851259.db2.gz BTRQUGDMIOEXIO-BXUZGUMPSA-N 0 0 427.479 -0.146 20 0 IBADRN CC(=O)C[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430310528 1070851467 /nfs/dbraw/zinc/85/14/67/1070851467.db2.gz BTRQUGDMIOEXIO-FZMZJTMJSA-N 0 0 427.479 -0.146 20 0 IBADRN CC(=O)C[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430310529 1070851496 /nfs/dbraw/zinc/85/14/96/1070851496.db2.gz BTRQUGDMIOEXIO-RISCZKNCSA-N 0 0 427.479 -0.146 20 0 IBADRN CC(=O)C[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430310530 1070851542 /nfs/dbraw/zinc/85/15/42/1070851542.db2.gz BTRQUGDMIOEXIO-SMDDNHRTSA-N 0 0 427.479 -0.146 20 0 IBADRN O=C(Nc1ccc(Cl)c(CN2CCOCC2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000430314642 1070851801 /nfs/dbraw/zinc/85/18/01/1070851801.db2.gz KPSZKROTYTWPLY-HNNXBMFYSA-N 0 0 449.895 -0.720 20 0 IBADRN O=C(Nc1ccc(Cl)c(CN2CCOCC2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000430314643 1070851829 /nfs/dbraw/zinc/85/18/29/1070851829.db2.gz KPSZKROTYTWPLY-OAHLLOKOSA-N 0 0 449.895 -0.720 20 0 IBADRN COC(=O)c1cc(Cl)c(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000430317780 1070852484 /nfs/dbraw/zinc/85/24/84/1070852484.db2.gz RMUWLWANDHTUJW-MRVPVSSYSA-N 0 0 443.243 -0.112 20 0 IBADRN COC(=O)c1cc(Cl)c(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000430317783 1070852433 /nfs/dbraw/zinc/85/24/33/1070852433.db2.gz RMUWLWANDHTUJW-QMMMGPOBSA-N 0 0 443.243 -0.112 20 0 IBADRN CN(CC1(CO)CC1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430320450 1070852652 /nfs/dbraw/zinc/85/26/52/1070852652.db2.gz XRRXBHVCOYEVRS-AWEZNQCLSA-N 0 0 441.506 -0.399 20 0 IBADRN CN(CC1(CO)CC1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430320451 1070852618 /nfs/dbraw/zinc/85/26/18/1070852618.db2.gz XRRXBHVCOYEVRS-CQSZACIVSA-N 0 0 441.506 -0.399 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1C ZINC000430320832 1070853146 /nfs/dbraw/zinc/85/31/46/1070853146.db2.gz PCJXFZXUDVNXOX-AEFFLSMTSA-N 0 0 440.566 -0.259 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1C ZINC000430320835 1070853013 /nfs/dbraw/zinc/85/30/13/1070853013.db2.gz PCJXFZXUDVNXOX-SJLPKXTDSA-N 0 0 440.566 -0.259 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC(OC(F)F)C1 ZINC000430325952 1070853959 /nfs/dbraw/zinc/85/39/59/1070853959.db2.gz URRXRXPBTOURFO-GFCCVEGCSA-N 0 0 449.432 -0.180 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC(OC(F)F)C1 ZINC000430325953 1070854018 /nfs/dbraw/zinc/85/40/18/1070854018.db2.gz URRXRXPBTOURFO-LBPRGKRZSA-N 0 0 449.432 -0.180 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(Cl)c(CN4CCOCC4)c3)C2)nn1 ZINC000430331595 1070854090 /nfs/dbraw/zinc/85/40/90/1070854090.db2.gz ODROTBKMRDUCFV-UHFFFAOYSA-N 0 0 447.883 -0.115 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1C ZINC000430332589 1070855174 /nfs/dbraw/zinc/85/51/74/1070855174.db2.gz QKVKQAQEOUOFLP-BFYDXBDKSA-N 0 0 427.523 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1C ZINC000430332590 1070855070 /nfs/dbraw/zinc/85/50/70/1070855070.db2.gz QKVKQAQEOUOFLP-HLLBOEOZSA-N 0 0 427.523 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)cc1C ZINC000430332591 1070854518 /nfs/dbraw/zinc/85/45/18/1070854518.db2.gz QKVKQAQEOUOFLP-YQQAZPJKSA-N 0 0 427.523 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1C ZINC000430332592 1070854492 /nfs/dbraw/zinc/85/44/92/1070854492.db2.gz QKVKQAQEOUOFLP-ZMSDIMECSA-N 0 0 427.523 -0.176 20 0 IBADRN CO[C@@H](C)[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430333534 1070854575 /nfs/dbraw/zinc/85/45/75/1070854575.db2.gz RMOUZRJNUUVJFN-OBJOEFQTSA-N 0 0 429.495 -0.090 20 0 IBADRN CO[C@H](C)[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430333535 1070854851 /nfs/dbraw/zinc/85/48/51/1070854851.db2.gz RMOUZRJNUUVJFN-OUCADQQQSA-N 0 0 429.495 -0.090 20 0 IBADRN CO[C@H](C)[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430333536 1070854972 /nfs/dbraw/zinc/85/49/72/1070854972.db2.gz RMOUZRJNUUVJFN-SCRDCRAPSA-N 0 0 429.495 -0.090 20 0 IBADRN CO[C@@H](C)[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000430333537 1070855149 /nfs/dbraw/zinc/85/51/49/1070855149.db2.gz RMOUZRJNUUVJFN-SGMGOOAPSA-N 0 0 429.495 -0.090 20 0 IBADRN O=C(Nc1ccc(Cl)c(CN2CCOCC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000430363427 1070856369 /nfs/dbraw/zinc/85/63/69/1070856369.db2.gz XINSJBHDEFFGTP-HNNXBMFYSA-N 0 0 435.868 -0.127 20 0 IBADRN O=C(Nc1ccc(Cl)c(CN2CCOCC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000430363429 1070856434 /nfs/dbraw/zinc/85/64/34/1070856434.db2.gz XINSJBHDEFFGTP-OAHLLOKOSA-N 0 0 435.868 -0.127 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS1(=O)=O ZINC000430373136 1070858197 /nfs/dbraw/zinc/85/81/97/1070858197.db2.gz DHIASKGOZSNQAS-CHWSQXEVSA-N 0 0 429.520 -0.278 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS1(=O)=O ZINC000430373139 1070858145 /nfs/dbraw/zinc/85/81/45/1070858145.db2.gz DHIASKGOZSNQAS-OLZOCXBDSA-N 0 0 429.520 -0.278 20 0 IBADRN C[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS1(=O)=O ZINC000430373143 1070857924 /nfs/dbraw/zinc/85/79/24/1070857924.db2.gz DHIASKGOZSNQAS-QWHCGFSZSA-N 0 0 429.520 -0.278 20 0 IBADRN C[C@H]1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS1(=O)=O ZINC000430373145 1070858080 /nfs/dbraw/zinc/85/80/80/1070858080.db2.gz DHIASKGOZSNQAS-STQMWFEESA-N 0 0 429.520 -0.278 20 0 IBADRN C[C@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCS1(=O)=O ZINC000430373979 1070858025 /nfs/dbraw/zinc/85/80/25/1070858025.db2.gz ACFXOQJGXUPKCL-BJJXKVORSA-N 0 0 434.511 -0.015 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCS1(=O)=O ZINC000430373980 1070857870 /nfs/dbraw/zinc/85/78/70/1070857870.db2.gz ACFXOQJGXUPKCL-XPKDYRNWSA-N 0 0 434.511 -0.015 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000430380104 1070859524 /nfs/dbraw/zinc/85/95/24/1070859524.db2.gz XGIOWFAZCKKQCO-HNNXBMFYSA-N 0 0 434.559 -0.246 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000430380106 1070859088 /nfs/dbraw/zinc/85/90/88/1070859088.db2.gz XGIOWFAZCKKQCO-OAHLLOKOSA-N 0 0 434.559 -0.246 20 0 IBADRN C[C@@H]1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS1(=O)=O ZINC000430383666 1070860052 /nfs/dbraw/zinc/86/00/52/1070860052.db2.gz HLDAWXFDQXLTPV-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN C[C@H]1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS1(=O)=O ZINC000430383667 1070860337 /nfs/dbraw/zinc/86/03/37/1070860337.db2.gz HLDAWXFDQXLTPV-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@H](C)S(=O)(=O)CC2)c1 ZINC000430385014 1070860110 /nfs/dbraw/zinc/86/01/10/1070860110.db2.gz KZBOLYYJUXYGPB-AWEZNQCLSA-N 0 0 433.552 -0.086 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@@H](C)S(=O)(=O)CC2)c1 ZINC000430385015 1070859957 /nfs/dbraw/zinc/85/99/57/1070859957.db2.gz KZBOLYYJUXYGPB-CQSZACIVSA-N 0 0 433.552 -0.086 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000430409456 1070864176 /nfs/dbraw/zinc/86/41/76/1070864176.db2.gz STDAECRJHKOQCC-UHFFFAOYSA-N 0 0 447.540 -0.206 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N[C@H](C)C(=O)N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000430413358 1070864634 /nfs/dbraw/zinc/86/46/34/1070864634.db2.gz JUJMYNNHFRZEFZ-BFUOFWGJSA-N 0 0 425.507 -0.195 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N[C@@H](C)C(=O)N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000430413360 1070864585 /nfs/dbraw/zinc/86/45/85/1070864585.db2.gz JUJMYNNHFRZEFZ-DJJJIMSYSA-N 0 0 425.507 -0.195 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N[C@@H](C)C(=O)N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000430413361 1070864439 /nfs/dbraw/zinc/86/44/39/1070864439.db2.gz JUJMYNNHFRZEFZ-ORAYPTAESA-N 0 0 425.507 -0.195 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N[C@H](C)C(=O)N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000430413363 1070864473 /nfs/dbraw/zinc/86/44/73/1070864473.db2.gz JUJMYNNHFRZEFZ-YJYMSZOUSA-N 0 0 425.507 -0.195 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCS(=O)(=O)NC(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC000430415635 1070864935 /nfs/dbraw/zinc/86/49/35/1070864935.db2.gz PJJKBEXKKJSALI-KRWDZBQOSA-N 0 0 433.552 -0.115 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCS(=O)(=O)NC(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC000430415636 1070864780 /nfs/dbraw/zinc/86/47/80/1070864780.db2.gz PJJKBEXKKJSALI-QGZVFWFLSA-N 0 0 433.552 -0.115 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000430433150 1070879747 /nfs/dbraw/zinc/87/97/47/1070879747.db2.gz LOYSRBMCGSSHIM-UHFFFAOYSA-N 0 0 426.495 -0.899 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000430445112 1070886506 /nfs/dbraw/zinc/88/65/06/1070886506.db2.gz AFBUOESDQYUQDP-LBPRGKRZSA-N 0 0 449.555 -0.740 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)Nc1ccn(CCN3CCOCC3)n1)C[C@@H](C)O2 ZINC000430445234 1070886392 /nfs/dbraw/zinc/88/63/92/1070886392.db2.gz JAZCFGSPVITADV-CBGDNZLLSA-N 0 0 435.525 0.339 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)Nc1ccn(CCN3CCOCC3)n1)C[C@H](C)O2 ZINC000430445235 1070886695 /nfs/dbraw/zinc/88/66/95/1070886695.db2.gz JAZCFGSPVITADV-LLGFUMIMSA-N 0 0 435.525 0.339 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)Nc1ccn(CCN3CCOCC3)n1)C[C@@H](C)O2 ZINC000430445236 1070886638 /nfs/dbraw/zinc/88/66/38/1070886638.db2.gz JAZCFGSPVITADV-LZJOCLMNSA-N 0 0 435.525 0.339 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)Nc1ccn(CCN3CCOCC3)n1)C[C@H](C)O2 ZINC000430445237 1070886799 /nfs/dbraw/zinc/88/67/99/1070886799.db2.gz JAZCFGSPVITADV-WWMYMODYSA-N 0 0 435.525 0.339 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cn([C@@H]2CCS(=O)(=O)C2)nc1C(C)C ZINC000430445514 1070886423 /nfs/dbraw/zinc/88/64/23/1070886423.db2.gz AZELKLHQRDBGPL-CYBMUJFWSA-N 0 0 435.572 -0.072 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cn([C@H]2CCS(=O)(=O)C2)nc1C(C)C ZINC000430445515 1070886484 /nfs/dbraw/zinc/88/64/84/1070886484.db2.gz AZELKLHQRDBGPL-ZDUSSCGKSA-N 0 0 435.572 -0.072 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C[C@H](C)O2 ZINC000430446301 1070886557 /nfs/dbraw/zinc/88/65/57/1070886557.db2.gz KWIDZAQPPZRWAX-VWKPWSFCSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@]2(CCO[C@H](C)C2)O1 ZINC000430446302 1070887326 /nfs/dbraw/zinc/88/73/26/1070887326.db2.gz KWIDZAQPPZRWAX-WHSLLNHNSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C[C@H](C)O2 ZINC000430446303 1070886311 /nfs/dbraw/zinc/88/63/11/1070886311.db2.gz KWIDZAQPPZRWAX-XFQAVAEZSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@]2(CCO[C@H](C)C2)O1 ZINC000430446304 1070887492 /nfs/dbraw/zinc/88/74/92/1070887492.db2.gz KWIDZAQPPZRWAX-ZOCZFRKYSA-N 0 0 449.508 -0.135 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCO[C@@H](C)C3)C2)cn1 ZINC000430447797 1070887019 /nfs/dbraw/zinc/88/70/19/1070887019.db2.gz NXPDHRIDSSFJMC-AUSJPIAWSA-N 0 0 437.497 -0.231 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@H](C)O[C@]3(CCO[C@@H](C)C3)C2)cn1 ZINC000430447800 1070887519 /nfs/dbraw/zinc/88/75/19/1070887519.db2.gz NXPDHRIDSSFJMC-AVYPCKFXSA-N 0 0 437.497 -0.231 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCO[C@H](C)C3)C2)cn1 ZINC000430447803 1070887064 /nfs/dbraw/zinc/88/70/64/1070887064.db2.gz NXPDHRIDSSFJMC-QEEYODRMSA-N 0 0 437.497 -0.231 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@H](C)O[C@]3(CCO[C@H](C)C3)C2)cn1 ZINC000430447805 1070887383 /nfs/dbraw/zinc/88/73/83/1070887383.db2.gz NXPDHRIDSSFJMC-SIFCLUCFSA-N 0 0 437.497 -0.231 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCO[C@H]2CCC[C@H]21 ZINC000430448384 1070887216 /nfs/dbraw/zinc/88/72/16/1070887216.db2.gz PGIHSFNZLYIBQV-CVEARBPZSA-N 0 0 436.490 -0.475 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000430449956 1070887844 /nfs/dbraw/zinc/88/78/44/1070887844.db2.gz LATMCDSRMNBIKW-UHFFFAOYSA-N 0 0 434.540 -0.730 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000430452629 1070888497 /nfs/dbraw/zinc/88/84/97/1070888497.db2.gz XUIVXNLIAHSOIK-AWEZNQCLSA-N 0 0 426.495 -0.241 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000430452630 1070888765 /nfs/dbraw/zinc/88/87/65/1070888765.db2.gz XUIVXNLIAHSOIK-CQSZACIVSA-N 0 0 426.495 -0.241 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@H](C(=O)N(C)c3ccccc3)C2)cc1C ZINC000430456603 1070889576 /nfs/dbraw/zinc/88/95/76/1070889576.db2.gz OSVBYNVEAPYMOF-HNNXBMFYSA-N 0 0 426.477 0.388 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@@H](C(=O)N(C)c3ccccc3)C2)cc1C ZINC000430456604 1070889188 /nfs/dbraw/zinc/88/91/88/1070889188.db2.gz OSVBYNVEAPYMOF-OAHLLOKOSA-N 0 0 426.477 0.388 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000430459243 1070892359 /nfs/dbraw/zinc/89/23/59/1070892359.db2.gz JITPRQXJNIJMCX-UHFFFAOYSA-N 0 0 426.543 -0.541 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000430461303 1070894891 /nfs/dbraw/zinc/89/48/91/1070894891.db2.gz YIHDAUFWPSUPDQ-ARGWCVDVSA-N 0 0 434.497 -0.558 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000430461306 1070895418 /nfs/dbraw/zinc/89/54/18/1070895418.db2.gz YIHDAUFWPSUPDQ-LAPQFRIASA-N 0 0 434.497 -0.558 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000430461309 1070895359 /nfs/dbraw/zinc/89/53/59/1070895359.db2.gz YIHDAUFWPSUPDQ-MNVSYLFESA-N 0 0 434.497 -0.558 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000430461312 1070895117 /nfs/dbraw/zinc/89/51/17/1070895117.db2.gz YIHDAUFWPSUPDQ-PJSUUKDQSA-N 0 0 434.497 -0.558 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000430462996 1070896973 /nfs/dbraw/zinc/89/69/73/1070896973.db2.gz AAYSMJYCNKNKLT-UHFFFAOYSA-N 0 0 434.540 -0.494 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000430465014 1070900718 /nfs/dbraw/zinc/90/07/18/1070900718.db2.gz OPSQOILBAGTKSA-NSHDSACASA-N 0 0 426.948 -0.045 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000430465053 1070900876 /nfs/dbraw/zinc/90/08/76/1070900876.db2.gz XMSNPEDUUYYKIA-NRFANRHFSA-N 0 0 431.493 -0.120 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000430465059 1070901367 /nfs/dbraw/zinc/90/13/67/1070901367.db2.gz XMSNPEDUUYYKIA-OAQYLSRUSA-N 0 0 431.493 -0.120 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)CC1 ZINC000430469058 1070902169 /nfs/dbraw/zinc/90/21/69/1070902169.db2.gz BPJWWFCEDBDNBR-JZXOWHBKSA-N 0 0 433.553 -0.295 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)CC1 ZINC000430469060 1070902366 /nfs/dbraw/zinc/90/23/66/1070902366.db2.gz BPJWWFCEDBDNBR-NUJGCVRESA-N 0 0 433.553 -0.295 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000430469988 1070903016 /nfs/dbraw/zinc/90/30/16/1070903016.db2.gz GADKVPBLHRVDMK-IBGZPJMESA-N 0 0 438.506 -0.369 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000430469989 1070902875 /nfs/dbraw/zinc/90/28/75/1070902875.db2.gz GADKVPBLHRVDMK-LJQANCHMSA-N 0 0 438.506 -0.369 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000430472549 1070904440 /nfs/dbraw/zinc/90/44/40/1070904440.db2.gz QEXUNYCOOKNUKX-JOCHJYFZSA-N 0 0 445.520 -0.328 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000430472552 1070904387 /nfs/dbraw/zinc/90/43/87/1070904387.db2.gz QEXUNYCOOKNUKX-QFIPXVFZSA-N 0 0 445.520 -0.328 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)CC1 ZINC000430474074 1070907758 /nfs/dbraw/zinc/90/77/58/1070907758.db2.gz DCPFXAOVQMLFEC-KSZLIROESA-N 0 0 435.569 -0.047 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)CC1 ZINC000430474076 1070907682 /nfs/dbraw/zinc/90/76/82/1070907682.db2.gz DCPFXAOVQMLFEC-KURKYZTESA-N 0 0 435.569 -0.047 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430474523 1070907191 /nfs/dbraw/zinc/90/71/91/1070907191.db2.gz PMSMOVWSHVUNKM-BDXSIMOUSA-N 0 0 425.526 -0.234 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430474532 1070907141 /nfs/dbraw/zinc/90/71/41/1070907141.db2.gz PMSMOVWSHVUNKM-MHORFTMASA-N 0 0 425.526 -0.234 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430474536 1070907258 /nfs/dbraw/zinc/90/72/58/1070907258.db2.gz PMSMOVWSHVUNKM-XWTMOSNGSA-N 0 0 425.526 -0.234 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430474539 1070907074 /nfs/dbraw/zinc/90/70/74/1070907074.db2.gz PMSMOVWSHVUNKM-ZJPYXAASSA-N 0 0 425.526 -0.234 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CC[C@H](C(=O)N(C)c3ccccc3)C2)n1 ZINC000430475334 1073303478 /nfs/dbraw/zinc/30/34/78/1073303478.db2.gz GHRKTKYSPZGJDF-HNNXBMFYSA-N 0 0 426.477 0.421 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CC[C@@H](C(=O)N(C)c3ccccc3)C2)n1 ZINC000430475335 1070907727 /nfs/dbraw/zinc/90/77/27/1070907727.db2.gz GHRKTKYSPZGJDF-OAHLLOKOSA-N 0 0 426.477 0.421 20 0 IBADRN COc1cccc(C[C@@H](C(=O)NCCNS(=O)(=O)NC(C)C)n2nnnc2C)c1 ZINC000430476787 1070907781 /nfs/dbraw/zinc/90/77/81/1070907781.db2.gz KXIJRVJXPBQLDA-INIZCTEOSA-N 0 0 425.515 -0.277 20 0 IBADRN COc1cccc(C[C@H](C(=O)NCCNS(=O)(=O)NC(C)C)n2nnnc2C)c1 ZINC000430476896 1070907612 /nfs/dbraw/zinc/90/76/12/1070907612.db2.gz KXIJRVJXPBQLDA-MRXNPFEDSA-N 0 0 425.515 -0.277 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000430478986 1070911264 /nfs/dbraw/zinc/91/12/64/1070911264.db2.gz OEYVIQFIVRRBSH-GOSISDBHSA-N 0 0 426.495 -0.131 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000430478991 1070911195 /nfs/dbraw/zinc/91/11/95/1070911195.db2.gz OEYVIQFIVRRBSH-SFHVURJKSA-N 0 0 426.495 -0.131 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C[C@H](C)O2 ZINC000430479435 1070910322 /nfs/dbraw/zinc/91/03/22/1070910322.db2.gz CMHSJNJEVFMJTG-VWKPWSFCSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@]2(CCO[C@H](C)C2)O1 ZINC000430479436 1070910481 /nfs/dbraw/zinc/91/04/81/1070910481.db2.gz CMHSJNJEVFMJTG-WHSLLNHNSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C[C@H](C)O2 ZINC000430479437 1070910448 /nfs/dbraw/zinc/91/04/48/1070910448.db2.gz CMHSJNJEVFMJTG-XFQAVAEZSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@]2(CCO[C@H](C)C2)O1 ZINC000430479438 1070910394 /nfs/dbraw/zinc/91/03/94/1070910394.db2.gz CMHSJNJEVFMJTG-ZOCZFRKYSA-N 0 0 449.508 -0.135 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000430480031 1070914780 /nfs/dbraw/zinc/91/47/80/1070914780.db2.gz YBDDSKZBEGENLS-BFUOFWGJSA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000430480032 1070914897 /nfs/dbraw/zinc/91/48/97/1070914897.db2.gz YBDDSKZBEGENLS-DJJJIMSYSA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000430480033 1070914803 /nfs/dbraw/zinc/91/48/03/1070914803.db2.gz YBDDSKZBEGENLS-ORAYPTAESA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000430480034 1070915081 /nfs/dbraw/zinc/91/50/81/1070915081.db2.gz YBDDSKZBEGENLS-YJYMSZOUSA-N 0 0 440.522 -0.077 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000430481618 1070915865 /nfs/dbraw/zinc/91/58/65/1070915865.db2.gz GYHVNFSIRWIFAK-UHFFFAOYSA-N 0 0 433.556 -0.569 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC000430482678 1070919280 /nfs/dbraw/zinc/91/92/80/1070919280.db2.gz DQBOEGQYENTZSP-UHFFFAOYSA-N 0 0 447.311 -0.006 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000430483082 1070921756 /nfs/dbraw/zinc/92/17/56/1070921756.db2.gz JKXMUTDRBMXFLD-KRWDZBQOSA-N 0 0 437.522 -0.589 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000430483083 1070922874 /nfs/dbraw/zinc/92/28/74/1070922874.db2.gz JKXMUTDRBMXFLD-QGZVFWFLSA-N 0 0 437.522 -0.589 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccn1)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000430483321 1070921195 /nfs/dbraw/zinc/92/11/95/1070921195.db2.gz LAEGUKGPGKHOCA-AWEZNQCLSA-N 0 0 448.457 -0.073 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000430483322 1070921012 /nfs/dbraw/zinc/92/10/12/1070921012.db2.gz LAEGUKGPGKHOCA-CQSZACIVSA-N 0 0 448.457 -0.073 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000430483924 1070920154 /nfs/dbraw/zinc/92/01/54/1070920154.db2.gz LXZALWXFOBVONR-NRFANRHFSA-N 0 0 432.477 -0.153 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000430483926 1070920422 /nfs/dbraw/zinc/92/04/22/1070920422.db2.gz LXZALWXFOBVONR-OAQYLSRUSA-N 0 0 432.477 -0.153 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430484849 1070922847 /nfs/dbraw/zinc/92/28/47/1070922847.db2.gz ODGZKSVLUYMAOC-OAGGEKHMSA-N 0 0 428.555 -0.319 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430484850 1070922835 /nfs/dbraw/zinc/92/28/35/1070922835.db2.gz ODGZKSVLUYMAOC-XHSDSOJGSA-N 0 0 428.555 -0.319 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@]21C ZINC000430484880 1070922692 /nfs/dbraw/zinc/92/26/92/1070922692.db2.gz MCBNLLXCLUKZCQ-IBGZPJMESA-N 0 0 437.522 -0.066 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C ZINC000430484881 1070922510 /nfs/dbraw/zinc/92/25/10/1070922510.db2.gz MCBNLLXCLUKZCQ-LJQANCHMSA-N 0 0 437.522 -0.066 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)CC1 ZINC000430486729 1070926478 /nfs/dbraw/zinc/92/64/78/1070926478.db2.gz VLXORQDMOPZFEV-CVEARBPZSA-N 0 0 442.520 -0.264 20 0 IBADRN CN(Cc1nccc(N)n1)[C@H]1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430486931 1070927863 /nfs/dbraw/zinc/92/78/63/1070927863.db2.gz UEWJOFZWDZFTMT-IKGGRYGDSA-N 0 0 443.552 -0.001 20 0 IBADRN CN(Cc1nccc(N)n1)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430486932 1070927913 /nfs/dbraw/zinc/92/79/13/1070927913.db2.gz UEWJOFZWDZFTMT-IXDOHACOSA-N 0 0 443.552 -0.001 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430487207 1070929261 /nfs/dbraw/zinc/92/92/61/1070929261.db2.gz UUTQQKIBRNRMPN-OWCLPIDISA-N 0 0 428.555 -0.178 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)C1 ZINC000430487208 1070929048 /nfs/dbraw/zinc/92/90/48/1070929048.db2.gz UUTQQKIBRNRMPN-PMPSAXMXSA-N 0 0 428.555 -0.178 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)CC1 ZINC000430487441 1070928511 /nfs/dbraw/zinc/92/85/11/1070928511.db2.gz QWEOUHVXRFNDFQ-MSOLQXFVSA-N 0 0 435.569 -0.094 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC000430488297 1070929707 /nfs/dbraw/zinc/92/97/07/1070929707.db2.gz RZIFNQUDHMHJQI-UHFFFAOYSA-N 0 0 441.535 -0.134 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC3CCN(c4cccnn4)CC3)nc2n(C)c1=O ZINC000430490803 1073353893 /nfs/dbraw/zinc/35/38/93/1073353893.db2.gz QCMDQNZMYFRGMA-UHFFFAOYSA-N 0 0 438.492 0.566 20 0 IBADRN O=C(N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)C(=O)N1CCN(c2ccc3nncn3n2)CC1 ZINC000430492895 1070931407 /nfs/dbraw/zinc/93/14/07/1070931407.db2.gz FIVVZQGGRXZRNQ-CVEARBPZSA-N 0 0 440.508 -0.320 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000430493123 1070934161 /nfs/dbraw/zinc/93/41/61/1070934161.db2.gz FZAKEYBMIAUTIY-IBGZPJMESA-N 0 0 439.538 -0.074 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000430493125 1070934193 /nfs/dbraw/zinc/93/41/93/1070934193.db2.gz FZAKEYBMIAUTIY-LJQANCHMSA-N 0 0 439.538 -0.074 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000430493447 1070934100 /nfs/dbraw/zinc/93/41/00/1070934100.db2.gz XRAYTKMBVRDSSR-IBGZPJMESA-N 0 0 438.506 -0.369 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000430493449 1070934134 /nfs/dbraw/zinc/93/41/34/1070934134.db2.gz XRAYTKMBVRDSSR-LJQANCHMSA-N 0 0 438.506 -0.369 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000430493877 1070932798 /nfs/dbraw/zinc/93/27/98/1070932798.db2.gz QXHXGFYIFVTYHJ-GOSISDBHSA-N 0 0 426.495 -0.466 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000430493878 1070932790 /nfs/dbraw/zinc/93/27/90/1070932790.db2.gz QXHXGFYIFVTYHJ-SFHVURJKSA-N 0 0 426.495 -0.466 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)CC2)CC1 ZINC000430494352 1070935478 /nfs/dbraw/zinc/93/54/78/1070935478.db2.gz ZXCLTDWXDQENRC-MOPGFXCFSA-N 0 0 447.580 -0.094 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)CC2)c1=O ZINC000430495285 1070936444 /nfs/dbraw/zinc/93/64/44/1070936444.db2.gz KITVJWDBMNAOMH-CVEARBPZSA-N 0 0 430.509 -0.664 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)CC2)n1 ZINC000430495595 1070935940 /nfs/dbraw/zinc/93/59/40/1070935940.db2.gz LJXGULXGEIALGM-CVEARBPZSA-N 0 0 448.524 -0.233 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)CC2)nc1 ZINC000430497000 1070940211 /nfs/dbraw/zinc/94/02/11/1070940211.db2.gz GIYHIHCVCINZSO-WBVHZDCISA-N 0 0 442.520 -0.264 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000430497094 1070940440 /nfs/dbraw/zinc/94/04/40/1070940440.db2.gz IHYVIBFRWUVHPE-JOCHJYFZSA-N 0 0 429.521 -0.074 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000430497095 1070940545 /nfs/dbraw/zinc/94/05/45/1070940545.db2.gz IHYVIBFRWUVHPE-QFIPXVFZSA-N 0 0 429.521 -0.074 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C[C@H](C)O2 ZINC000430497651 1070939490 /nfs/dbraw/zinc/93/94/90/1070939490.db2.gz SZXMSTDKEUGWIF-BHVCSQLQSA-N 0 0 445.476 -0.244 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C[C@H](C)O2 ZINC000430497652 1070939645 /nfs/dbraw/zinc/93/96/45/1070939645.db2.gz SZXMSTDKEUGWIF-RRMDADRESA-N 0 0 445.476 -0.244 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@]2(CCO[C@H](C)C2)O1 ZINC000430497653 1070939391 /nfs/dbraw/zinc/93/93/91/1070939391.db2.gz SZXMSTDKEUGWIF-SQHYZVFZSA-N 0 0 445.476 -0.244 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@]2(CCO[C@H](C)C2)O1 ZINC000430497654 1070939636 /nfs/dbraw/zinc/93/96/36/1070939636.db2.gz SZXMSTDKEUGWIF-ZNLKAECVSA-N 0 0 445.476 -0.244 20 0 IBADRN Cc1nc(C(=O)NCCNS(=O)(=O)NC(C)C)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC000430499388 1070944242 /nfs/dbraw/zinc/94/42/42/1070944242.db2.gz CDCBBTCJFJOVSN-UHFFFAOYSA-N 0 0 425.397 -0.725 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000430499562 1070943666 /nfs/dbraw/zinc/94/36/66/1070943666.db2.gz NTOMSAFFJQVVPT-GOSISDBHSA-N 0 0 426.495 -0.131 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000430499564 1070943381 /nfs/dbraw/zinc/94/33/81/1070943381.db2.gz NTOMSAFFJQVVPT-SFHVURJKSA-N 0 0 426.495 -0.131 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000430499761 1070943524 /nfs/dbraw/zinc/94/35/24/1070943524.db2.gz MFTXNEZVHQLOOW-FQEVSTJZSA-N 0 0 429.481 0.270 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000430499765 1070943455 /nfs/dbraw/zinc/94/34/55/1070943455.db2.gz MFTXNEZVHQLOOW-HXUWFJFHSA-N 0 0 429.481 0.270 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000430500615 1070945245 /nfs/dbraw/zinc/94/52/45/1070945245.db2.gz FOVWEVLWBHRGOS-HTAPYJJXSA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000430500616 1070945159 /nfs/dbraw/zinc/94/51/59/1070945159.db2.gz FOVWEVLWBHRGOS-JTSKRJEESA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000430500617 1070945236 /nfs/dbraw/zinc/94/52/36/1070945236.db2.gz FOVWEVLWBHRGOS-VGOFRKELSA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000430500618 1070945071 /nfs/dbraw/zinc/94/50/71/1070945071.db2.gz FOVWEVLWBHRGOS-VGSWGCGISA-N 0 0 444.488 -0.376 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)CC1)N1CCCC1 ZINC000430502849 1070945041 /nfs/dbraw/zinc/94/50/41/1070945041.db2.gz VPNQDJXDSQEROB-MSOLQXFVSA-N 0 0 433.553 -0.340 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000430504453 1070945861 /nfs/dbraw/zinc/94/58/61/1070945861.db2.gz GZXMTCHWNATLHN-UHFFFAOYSA-N 0 0 432.568 -0.521 20 0 IBADRN O=C(N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000430505393 1070945925 /nfs/dbraw/zinc/94/59/25/1070945925.db2.gz QHJLPTKTADXJEP-IKGGRYGDSA-N 0 0 440.566 -0.080 20 0 IBADRN O=C(N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000430505394 1070946006 /nfs/dbraw/zinc/94/60/06/1070946006.db2.gz QHJLPTKTADXJEP-IXDOHACOSA-N 0 0 440.566 -0.080 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@H](C(=O)N(C)c3ccccc3)C2)n1 ZINC000430505660 1073305718 /nfs/dbraw/zinc/30/57/18/1073305718.db2.gz ZOHXOJRZMVIYCZ-AWEZNQCLSA-N 0 0 427.465 -0.184 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@@H](C(=O)N(C)c3ccccc3)C2)n1 ZINC000430505661 1070946039 /nfs/dbraw/zinc/94/60/39/1070946039.db2.gz ZOHXOJRZMVIYCZ-CQSZACIVSA-N 0 0 427.465 -0.184 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000430506311 1070945887 /nfs/dbraw/zinc/94/58/87/1070945887.db2.gz OAQJPNRXTXGIFT-UHFFFAOYSA-N 0 0 440.569 -0.669 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(CCn2ccnc2)Cc2cccc(Cl)c2)n1 ZINC000430507116 1070945956 /nfs/dbraw/zinc/94/59/56/1070945956.db2.gz QOPZJCJJFREWHR-UHFFFAOYSA-N 0 0 444.883 0.542 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000430507790 1070946092 /nfs/dbraw/zinc/94/60/92/1070946092.db2.gz SPMPXMZFVYLSIU-GOSISDBHSA-N 0 0 425.463 -0.200 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000430507791 1070946140 /nfs/dbraw/zinc/94/61/40/1070946140.db2.gz SPMPXMZFVYLSIU-SFHVURJKSA-N 0 0 425.463 -0.200 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)NCCNS(=O)(=O)NC(C)C ZINC000430509038 1070946731 /nfs/dbraw/zinc/94/67/31/1070946731.db2.gz WFQZKVIFTRTCPD-UHFFFAOYSA-N 0 0 425.515 -0.674 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)NCCNS(=O)(=O)NC(C)C ZINC000430512755 1070946939 /nfs/dbraw/zinc/94/69/39/1070946939.db2.gz CDROQQLPJGDGFD-UHFFFAOYSA-N 0 0 429.543 -0.448 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NCCNS(=O)(=O)NC(C)C)cc(C3CC3)nc21 ZINC000430513933 1070946906 /nfs/dbraw/zinc/94/69/06/1070946906.db2.gz ICUAOUIDHOAPTR-UHFFFAOYSA-N 0 0 438.510 -0.043 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000430514304 1070947556 /nfs/dbraw/zinc/94/75/56/1070947556.db2.gz JLNPAILVOHIXCH-UHFFFAOYSA-N 0 0 440.569 -0.669 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000430514716 1070947458 /nfs/dbraw/zinc/94/74/58/1070947458.db2.gz LMQLDJZWUKXPDE-AWEZNQCLSA-N 0 0 426.495 -0.032 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000430514717 1070947377 /nfs/dbraw/zinc/94/73/77/1070947377.db2.gz LMQLDJZWUKXPDE-CQSZACIVSA-N 0 0 426.495 -0.032 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Cn1c(CS(C)(=O)=O)nc2ccccc21 ZINC000430516747 1070948880 /nfs/dbraw/zinc/94/88/80/1070948880.db2.gz ZNDRNIYIYQQESD-UHFFFAOYSA-N 0 0 431.540 -0.471 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)CC1 ZINC000430525286 1070952368 /nfs/dbraw/zinc/95/23/68/1070952368.db2.gz OSFZYHVJVQVELY-CVEARBPZSA-N 0 0 428.555 -0.222 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)C1 ZINC000430525374 1070951845 /nfs/dbraw/zinc/95/18/45/1070951845.db2.gz BQKLENZSYOARGX-OWCLPIDISA-N 0 0 428.555 -0.176 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)C1 ZINC000430525375 1070951805 /nfs/dbraw/zinc/95/18/05/1070951805.db2.gz BQKLENZSYOARGX-PMPSAXMXSA-N 0 0 428.555 -0.176 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)CC1 ZINC000430527245 1070955321 /nfs/dbraw/zinc/95/53/21/1070955321.db2.gz JGOKQXSKISHHBO-MSOLQXFVSA-N 0 0 435.569 -0.047 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)CC1 ZINC000430527693 1070955333 /nfs/dbraw/zinc/95/53/33/1070955333.db2.gz KKCNAYRIOUSGSN-WBVHZDCISA-N 0 0 447.536 -0.767 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)CC1 ZINC000430528763 1070956100 /nfs/dbraw/zinc/95/61/00/1070956100.db2.gz LTXIEMIIMRUYFG-MSOLQXFVSA-N 0 0 435.569 -0.094 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCCC4)C3)CC2=O)cn1 ZINC000430531290 1070958337 /nfs/dbraw/zinc/95/83/37/1070958337.db2.gz NRKWYVIRCSEHQX-CVEARBPZSA-N 0 0 430.509 -0.107 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)CC1)NC1CC1 ZINC000430556183 1070969771 /nfs/dbraw/zinc/96/97/71/1070969771.db2.gz KBDXJCVCFZKCQN-AEFFLSMTSA-N 0 0 433.553 -0.293 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CC[C@@H](C(=O)N2CCCCC2)C1 ZINC000430558319 1070970674 /nfs/dbraw/zinc/97/06/74/1070970674.db2.gz QAUVLUAJPTWOSN-OWCLPIDISA-N 0 0 428.555 -0.176 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CC[C@@H](C(=O)N2CCCCC2)C1 ZINC000430558320 1070970480 /nfs/dbraw/zinc/97/04/80/1070970480.db2.gz QAUVLUAJPTWOSN-PMPSAXMXSA-N 0 0 428.555 -0.176 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCCC4)C3)CC2)n1 ZINC000430558476 1070970654 /nfs/dbraw/zinc/97/06/54/1070970654.db2.gz YNXWOGQOHAZMCY-KGLIPLIRSA-N 0 0 435.554 0.066 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCCC4)C3)CC2)c1=O ZINC000430567465 1070972813 /nfs/dbraw/zinc/97/28/13/1070972813.db2.gz DNXMGWBHOAIPAB-SJORKVTESA-N 0 0 444.536 -0.274 20 0 IBADRN COc1nc(N2CCN(C(=O)CN3CCCS3(=O)=O)CC2)ncc1Br ZINC000430627283 1072247125 /nfs/dbraw/zinc/24/71/25/1072247125.db2.gz NAKJZRAYTFOCGG-UHFFFAOYSA-N 0 0 434.316 -0.068 20 0 IBADRN COc1nc(N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)ncc1Br ZINC000430637015 1070990768 /nfs/dbraw/zinc/99/07/68/1070990768.db2.gz AGDLNGYCKLAMFI-UHFFFAOYSA-N 0 0 448.343 -0.373 20 0 IBADRN O=C(c1cnc(OCc2ccccc2)cn1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000430639544 1070990514 /nfs/dbraw/zinc/99/05/14/1070990514.db2.gz PKYVAOIRLMIYND-MSOLQXFVSA-N 0 0 432.502 -0.029 20 0 IBADRN O=C(c1cnc(OCc2ccccc2)cn1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000430639545 1070990543 /nfs/dbraw/zinc/99/05/43/1070990543.db2.gz PKYVAOIRLMIYND-QZTJIDSGSA-N 0 0 432.502 -0.029 20 0 IBADRN O=C(c1cnc(OCc2ccccc2)cn1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000430639546 1070991480 /nfs/dbraw/zinc/99/14/80/1070991480.db2.gz PKYVAOIRLMIYND-ROUUACIJSA-N 0 0 432.502 -0.029 20 0 IBADRN O=C(c1cnc(OCc2ccccc2)cn1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000430639547 1070991308 /nfs/dbraw/zinc/99/13/08/1070991308.db2.gz PKYVAOIRLMIYND-ZWKOTPCHSA-N 0 0 432.502 -0.029 20 0 IBADRN COc1nc(N2CCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)ncc1Br ZINC000430648103 1070992774 /nfs/dbraw/zinc/99/27/74/1070992774.db2.gz GQQNVIVHXDMLMU-UHFFFAOYSA-N 0 0 439.270 -0.543 20 0 IBADRN COc1nc(N2CCN(C(=O)CN3CCC(=O)NC3=O)CC2)ncc1Br ZINC000430651282 1070992931 /nfs/dbraw/zinc/99/29/31/1070992931.db2.gz LJEWFSJZLTTWNS-UHFFFAOYSA-N 0 0 427.259 -0.162 20 0 IBADRN COc1nc(N2CCN(C(=O)c3cn(CC(N)=O)nn3)CC2)ncc1Br ZINC000430667025 1071002227 /nfs/dbraw/zinc/00/22/27/1071002227.db2.gz OZQDVDIMGGBFAU-UHFFFAOYSA-N 0 0 425.247 -0.713 20 0 IBADRN COc1nc(N2CCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)ncc1Br ZINC000430672157 1071003660 /nfs/dbraw/zinc/00/36/60/1071003660.db2.gz YUDBBUGLDBRXCC-UHFFFAOYSA-N 0 0 441.242 -0.683 20 0 IBADRN COc1nc(N2CCN(C(=O)CNC(=O)CNC(C)=O)CC2)ncc1Br ZINC000430682187 1071005545 /nfs/dbraw/zinc/00/55/45/1071005545.db2.gz SLQNLNQQSWDHMF-UHFFFAOYSA-N 0 0 429.275 -0.851 20 0 IBADRN COc1nc(N2CCN(C(=O)Cn3ccc(=O)[nH]c3=O)CC2)ncc1Br ZINC000430684321 1071006193 /nfs/dbraw/zinc/00/61/93/1071006193.db2.gz DAJBFQORXYIXBI-UHFFFAOYSA-N 0 0 425.243 -0.141 20 0 IBADRN COc1nc(N2CCN(C(=O)CN3C(=O)CN(C)C3=O)CC2)ncc1Br ZINC000430687207 1071007419 /nfs/dbraw/zinc/00/74/19/1071007419.db2.gz PTYDTDMVVXCAKM-UHFFFAOYSA-N 0 0 427.259 -0.210 20 0 IBADRN COc1nc(N2CCN(C(=O)[C@@H](CCC(N)=O)NC(C)=O)CC2)ncc1Br ZINC000430696400 1071008517 /nfs/dbraw/zinc/00/85/17/1071008517.db2.gz BUZACAYXAXFRDS-GFCCVEGCSA-N 0 0 443.302 -0.334 20 0 IBADRN COc1nc(N2CCN(C(=O)[C@H](CCC(N)=O)NC(C)=O)CC2)ncc1Br ZINC000430696401 1071008690 /nfs/dbraw/zinc/00/86/90/1071008690.db2.gz BUZACAYXAXFRDS-LBPRGKRZSA-N 0 0 443.302 -0.334 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000430697529 1071008611 /nfs/dbraw/zinc/00/86/11/1071008611.db2.gz LNPSQKYUBIKZIF-UHFFFAOYSA-N 0 0 448.543 -0.210 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000430710374 1071011397 /nfs/dbraw/zinc/01/13/97/1071011397.db2.gz ABUKBWSTADTQNT-UHFFFAOYSA-N 0 0 437.566 -0.599 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2OC(F)F)CC1)N1CCOCC1 ZINC000430713359 1071011546 /nfs/dbraw/zinc/01/15/46/1071011546.db2.gz KKINVEUBWJPZHJ-HUUCEWRRSA-N 0 0 432.468 -0.344 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)C(=O)N[C@@H]3CCCC[C@H]3OC(F)F)CCO2)c1=O ZINC000430718977 1071012046 /nfs/dbraw/zinc/01/20/46/1071012046.db2.gz IBBIHNDLFSJUGH-BFHYXJOUSA-N 0 0 443.451 0.086 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)N[C@@H]3CCCC[C@H]3OC(F)F)CCO2)c1=O ZINC000430718978 1071012006 /nfs/dbraw/zinc/01/20/06/1071012006.db2.gz IBBIHNDLFSJUGH-MGPQQGTHSA-N 0 0 443.451 0.086 20 0 IBADRN O=C(CNC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)NCC(F)F ZINC000430719191 1071011340 /nfs/dbraw/zinc/01/13/40/1071011340.db2.gz YYQCPLLFCYXHJS-CYBMUJFWSA-N 0 0 427.456 -0.038 20 0 IBADRN O=C(CNC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)NCC(F)F ZINC000430719192 1071011438 /nfs/dbraw/zinc/01/14/38/1071011438.db2.gz YYQCPLLFCYXHJS-ZDUSSCGKSA-N 0 0 427.456 -0.038 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1OC(F)F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000430722564 1071011843 /nfs/dbraw/zinc/01/18/43/1071011843.db2.gz QJVAQNBXSVGZHW-ZIAGYGMSSA-N 0 0 446.451 -0.817 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2OC(F)F)CC1 ZINC000430724478 1071012140 /nfs/dbraw/zinc/01/21/40/1071012140.db2.gz RBGDSEJZWSBYMY-HUUCEWRRSA-N 0 0 432.468 -0.344 20 0 IBADRN COC(=O)[C@H]1CCCN2C(=O)N(Cc3ccc(C(=O)N4CCNC(=O)C4)cc3)C(=O)[C@@H]12 ZINC000430727212 1071012101 /nfs/dbraw/zinc/01/21/01/1071012101.db2.gz XQUJASNWCXRLBZ-DOTOQJQBSA-N 0 0 428.445 -0.026 20 0 IBADRN COC(=O)[C@@H]1CCCN2C(=O)N(Cc3ccc(C(=O)N4CCNC(=O)C4)cc3)C(=O)[C@@H]12 ZINC000430727213 1071012121 /nfs/dbraw/zinc/01/21/21/1071012121.db2.gz XQUJASNWCXRLBZ-NVXWUHKLSA-N 0 0 428.445 -0.026 20 0 IBADRN COC(=O)[C@H]1CCCN2C(=O)N(Cc3ccc(C(=O)N4CCNC(=O)C4)cc3)C(=O)[C@H]12 ZINC000430727214 1071011910 /nfs/dbraw/zinc/01/19/10/1071011910.db2.gz XQUJASNWCXRLBZ-RDJZCZTQSA-N 0 0 428.445 -0.026 20 0 IBADRN COC(=O)[C@@H]1CCCN2C(=O)N(Cc3ccc(C(=O)N4CCNC(=O)C4)cc3)C(=O)[C@H]12 ZINC000430727215 1071011949 /nfs/dbraw/zinc/01/19/49/1071011949.db2.gz XQUJASNWCXRLBZ-WBVHZDCISA-N 0 0 428.445 -0.026 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCCC[C@H]1OC(F)F)C2 ZINC000430732141 1071011968 /nfs/dbraw/zinc/01/19/68/1071011968.db2.gz ODYFDDNLDPLNNB-VXGBXAGGSA-N 0 0 444.439 -0.858 20 0 IBADRN CCOC(=O)[C@H]1NC(=O)N(Cc2ccccc2CS(=O)(=O)N2CCOCC2)C1=O ZINC000430758346 1071012621 /nfs/dbraw/zinc/01/26/21/1071012621.db2.gz ABXHWHUDRBCRJT-HNNXBMFYSA-N 0 0 425.463 -0.168 20 0 IBADRN CCOC(=O)[C@@H]1NC(=O)N(Cc2ccccc2CS(=O)(=O)N2CCOCC2)C1=O ZINC000430758348 1071012414 /nfs/dbraw/zinc/01/24/14/1071012414.db2.gz ABXHWHUDRBCRJT-OAHLLOKOSA-N 0 0 425.463 -0.168 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCCC34CCOCC4)CC2)no1 ZINC000430775593 1071013307 /nfs/dbraw/zinc/01/33/07/1071013307.db2.gz LUAVMVJIKFCUFV-INIZCTEOSA-N 0 0 433.509 0.531 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCCC34CCOCC4)CC2)no1 ZINC000430775594 1071013140 /nfs/dbraw/zinc/01/31/40/1071013140.db2.gz LUAVMVJIKFCUFV-MRXNPFEDSA-N 0 0 433.509 0.531 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3OC(F)F)CC2)cn1C ZINC000430779866 1071013002 /nfs/dbraw/zinc/01/30/02/1071013002.db2.gz GXSSTUFRLMJMCZ-CHWSQXEVSA-N 0 0 449.480 -0.162 20 0 IBADRN CN(C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCC[C@H]2OC(F)F)CCO1)c1nccn(C)c1=O ZINC000430784928 1071012858 /nfs/dbraw/zinc/01/28/58/1071012858.db2.gz JCJSQZUEPPPISW-BFHYXJOUSA-N 0 0 443.451 -0.280 20 0 IBADRN CN(C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC[C@H]2OC(F)F)CCO1)c1nccn(C)c1=O ZINC000430784929 1071013220 /nfs/dbraw/zinc/01/32/20/1071013220.db2.gz JCJSQZUEPPPISW-MGPQQGTHSA-N 0 0 443.451 -0.280 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H]2OC(F)F)CC1 ZINC000430786560 1071013056 /nfs/dbraw/zinc/01/30/56/1071013056.db2.gz BTCNLTANWWVHLV-RBSFLKMASA-N 0 0 432.468 -0.346 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H]2OC(F)F)CC1 ZINC000430786561 1071012772 /nfs/dbraw/zinc/01/27/72/1071012772.db2.gz BTCNLTANWWVHLV-RRFJBIMHSA-N 0 0 432.468 -0.346 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)N[C@@H]3CCC[C@H]3OC(F)F)CCO2)c1=O ZINC000430787302 1071012963 /nfs/dbraw/zinc/01/29/63/1071012963.db2.gz UOMSGQKTIPIPHQ-JHJVBQTASA-N 0 0 429.424 -0.304 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)C(=O)N[C@@H]3CCC[C@H]3OC(F)F)CCO2)c1=O ZINC000430787303 1071013288 /nfs/dbraw/zinc/01/32/88/1071013288.db2.gz UOMSGQKTIPIPHQ-YNEHKIRRSA-N 0 0 429.424 -0.304 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H]2OC(F)F)CC1 ZINC000430789915 1071013775 /nfs/dbraw/zinc/01/37/75/1071013775.db2.gz YTVFEMMRLALMGX-RBSFLKMASA-N 0 0 434.484 -0.052 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H]2OC(F)F)CC1 ZINC000430789916 1071013666 /nfs/dbraw/zinc/01/36/66/1071013666.db2.gz YTVFEMMRLALMGX-RRFJBIMHSA-N 0 0 434.484 -0.052 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCC23CCOCC3)CC1 ZINC000430794773 1071013756 /nfs/dbraw/zinc/01/37/56/1071013756.db2.gz RFXJYBGVGAOXBY-MSOLQXFVSA-N 0 0 436.553 -0.157 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCC23CCOCC3)CC1 ZINC000430794774 1071013569 /nfs/dbraw/zinc/01/35/69/1071013569.db2.gz RFXJYBGVGAOXBY-QZTJIDSGSA-N 0 0 436.553 -0.157 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCC23CCOCC3)CC1 ZINC000430794775 1071013549 /nfs/dbraw/zinc/01/35/49/1071013549.db2.gz RFXJYBGVGAOXBY-ROUUACIJSA-N 0 0 436.553 -0.157 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCC23CCOCC3)CC1 ZINC000430794776 1071013609 /nfs/dbraw/zinc/01/36/09/1071013609.db2.gz RFXJYBGVGAOXBY-ZWKOTPCHSA-N 0 0 436.553 -0.157 20 0 IBADRN O=C(N[C@@H]1CCC[C@H]1OC(F)F)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000430800329 1071013529 /nfs/dbraw/zinc/01/35/29/1071013529.db2.gz QTUBCSLFZTWVBP-CHWSQXEVSA-N 0 0 436.437 -0.075 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3OC(F)F)CC2)no1 ZINC000430802560 1071013474 /nfs/dbraw/zinc/01/34/74/1071013474.db2.gz NBHHYKLCCVYIRT-CHWSQXEVSA-N 0 0 429.424 0.342 20 0 IBADRN O=C(N[C@@H]1CCC[C@H]1OC(F)F)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000430810958 1071013511 /nfs/dbraw/zinc/01/35/11/1071013511.db2.gz XDFSUCNRTIAPCW-BFHYXJOUSA-N 0 0 439.481 -0.084 20 0 IBADRN O=C(N[C@@H]1CCC[C@H]1OC(F)F)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000430810959 1071013416 /nfs/dbraw/zinc/01/34/16/1071013416.db2.gz XDFSUCNRTIAPCW-MGPQQGTHSA-N 0 0 439.481 -0.084 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000430813011 1071014145 /nfs/dbraw/zinc/01/41/45/1071014145.db2.gz HCLDGQXFDYEXSG-SCLBCKFNSA-N 0 0 445.563 -0.066 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000430813014 1071013961 /nfs/dbraw/zinc/01/39/61/1071013961.db2.gz HCLDGQXFDYEXSG-UGSOOPFHSA-N 0 0 445.563 -0.066 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC[C@H]1CCCN1S(C)(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000430816838 1071013456 /nfs/dbraw/zinc/01/34/56/1071013456.db2.gz OEDXONRRXUOJPN-CRAIPNDOSA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC[C@H]1CCCN1S(C)(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000430816839 1071013391 /nfs/dbraw/zinc/01/33/91/1071013391.db2.gz OEDXONRRXUOJPN-QAPCUYQASA-N 0 0 445.563 -0.018 20 0 IBADRN COCCN1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1=O ZINC000430826241 1071013886 /nfs/dbraw/zinc/01/38/86/1071013886.db2.gz VAYCNBPHVVPDAW-IBGZPJMESA-N 0 0 425.507 -0.241 20 0 IBADRN COCCN1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1=O ZINC000430826242 1071014082 /nfs/dbraw/zinc/01/40/82/1071014082.db2.gz VAYCNBPHVVPDAW-LJQANCHMSA-N 0 0 425.507 -0.241 20 0 IBADRN CO[C@@H]1CCN2C(=O)N(CCS(=O)(=O)N3CCN(c4ccccn4)CC3)C(=O)[C@@H]2C1 ZINC000430881075 1071015371 /nfs/dbraw/zinc/01/53/71/1071015371.db2.gz YAJWNZWYKLUPFV-CVEARBPZSA-N 0 0 437.522 -0.025 20 0 IBADRN CO[C@H]1CCN2C(=O)N(CCS(=O)(=O)N3CCN(c4ccccn4)CC3)C(=O)[C@@H]2C1 ZINC000430881076 1071015125 /nfs/dbraw/zinc/01/51/25/1071015125.db2.gz YAJWNZWYKLUPFV-HOTGVXAUSA-N 0 0 437.522 -0.025 20 0 IBADRN CO[C@@H]1CCN2C(=O)N(CCS(=O)(=O)N3CCN(c4ccccn4)CC3)C(=O)[C@H]2C1 ZINC000430881077 1071015082 /nfs/dbraw/zinc/01/50/82/1071015082.db2.gz YAJWNZWYKLUPFV-HZPDHXFCSA-N 0 0 437.522 -0.025 20 0 IBADRN CO[C@H]1CCN2C(=O)N(CCS(=O)(=O)N3CCN(c4ccccn4)CC3)C(=O)[C@H]2C1 ZINC000430881078 1071015161 /nfs/dbraw/zinc/01/51/61/1071015161.db2.gz YAJWNZWYKLUPFV-JKSUJKDBSA-N 0 0 437.522 -0.025 20 0 IBADRN Cn1ccc([C@@H]2NC(=O)N(CCS(=O)(=O)N3CCN(c4ccccn4)CC3)C2=O)n1 ZINC000430886631 1071016116 /nfs/dbraw/zinc/01/61/16/1071016116.db2.gz CDMCJBSTVDNDST-INIZCTEOSA-N 0 0 433.494 -0.440 20 0 IBADRN Cn1ccc([C@H]2NC(=O)N(CCS(=O)(=O)N3CCN(c4ccccn4)CC3)C2=O)n1 ZINC000430886632 1071015907 /nfs/dbraw/zinc/01/59/07/1071015907.db2.gz CDMCJBSTVDNDST-MRXNPFEDSA-N 0 0 433.494 -0.440 20 0 IBADRN O=C1N[C@@H](C2CCOCC2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000430887137 1071016079 /nfs/dbraw/zinc/01/60/79/1071016079.db2.gz WBACYJABJRCZFX-KRWDZBQOSA-N 0 0 437.522 -0.120 20 0 IBADRN O=C1N[C@H](C2CCOCC2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000430887139 1071015834 /nfs/dbraw/zinc/01/58/34/1071015834.db2.gz WBACYJABJRCZFX-QGZVFWFLSA-N 0 0 437.522 -0.120 20 0 IBADRN O=C1N[C@H]([C@H]2CCCOC2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000430887460 1071015691 /nfs/dbraw/zinc/01/56/91/1071015691.db2.gz GBMXZABZBRGANO-DOTOQJQBSA-N 0 0 437.522 -0.120 20 0 IBADRN O=C1N[C@H]([C@@H]2CCCOC2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000430887461 1071015963 /nfs/dbraw/zinc/01/59/63/1071015963.db2.gz GBMXZABZBRGANO-NVXWUHKLSA-N 0 0 437.522 -0.120 20 0 IBADRN O=C1N[C@@H]([C@H]2CCCOC2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000430887462 1071015743 /nfs/dbraw/zinc/01/57/43/1071015743.db2.gz GBMXZABZBRGANO-RDJZCZTQSA-N 0 0 437.522 -0.120 20 0 IBADRN O=C1N[C@@H]([C@@H]2CCCOC2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000430887464 1071015997 /nfs/dbraw/zinc/01/59/97/1071015997.db2.gz GBMXZABZBRGANO-WBVHZDCISA-N 0 0 437.522 -0.120 20 0 IBADRN COC(=O)CC[C@H]1NC(=O)N(CCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000430894531 1071016842 /nfs/dbraw/zinc/01/68/42/1071016842.db2.gz NRGLZAIRWWTQIF-CQSZACIVSA-N 0 0 439.494 -0.593 20 0 IBADRN CCOC(=O)[C@H]1NC(=O)N(CCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000430895001 1071016246 /nfs/dbraw/zinc/01/62/46/1071016246.db2.gz RFUDDIBINHYIIW-AWEZNQCLSA-N 0 0 425.467 -0.983 20 0 IBADRN CCOC(=O)[C@@H]1NC(=O)N(CCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000430895002 1071015650 /nfs/dbraw/zinc/01/56/50/1071015650.db2.gz RFUDDIBINHYIIW-CQSZACIVSA-N 0 0 425.467 -0.983 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000430909577 1071017128 /nfs/dbraw/zinc/01/71/28/1071017128.db2.gz AUYQWALAUBAHCX-GOSISDBHSA-N 0 0 447.561 -0.282 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000430909578 1071017148 /nfs/dbraw/zinc/01/71/48/1071017148.db2.gz AUYQWALAUBAHCX-SFHVURJKSA-N 0 0 447.561 -0.282 20 0 IBADRN COc1nc(N2CCN(CC(=O)N[C@H](C)C(=O)N(C)C)CC2)ncc1Br ZINC000430912090 1071017235 /nfs/dbraw/zinc/01/72/35/1071017235.db2.gz FFKGUVONDFMSJA-LLVKDONJSA-N 0 0 429.319 -0.037 20 0 IBADRN COc1nc(N2CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC2)ncc1Br ZINC000430912091 1071016987 /nfs/dbraw/zinc/01/69/87/1071016987.db2.gz FFKGUVONDFMSJA-NSHDSACASA-N 0 0 429.319 -0.037 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC[C@H]4C3)CC2)cc1 ZINC000430913121 1071017008 /nfs/dbraw/zinc/01/70/08/1071017008.db2.gz RROZORFXVBBAGR-KRWDZBQOSA-N 0 0 449.533 -0.569 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC[C@@H]4C3)CC2)cc1 ZINC000430913122 1071017317 /nfs/dbraw/zinc/01/73/17/1071017317.db2.gz RROZORFXVBBAGR-QGZVFWFLSA-N 0 0 449.533 -0.569 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3C(=O)NC[C@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000430913359 1071017430 /nfs/dbraw/zinc/01/74/30/1071017430.db2.gz UNEJZOHLGVAYAV-HNNXBMFYSA-N 0 0 426.477 -0.448 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3C(=O)NC[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000430913360 1071016967 /nfs/dbraw/zinc/01/69/67/1071016967.db2.gz UNEJZOHLGVAYAV-OAHLLOKOSA-N 0 0 426.477 -0.448 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000430913580 1071017832 /nfs/dbraw/zinc/01/78/32/1071017832.db2.gz WKKWMHFMLOGLBR-GDBMZVCRSA-N 0 0 437.522 -0.256 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000430913581 1071017638 /nfs/dbraw/zinc/01/76/38/1071017638.db2.gz WKKWMHFMLOGLBR-GOEBONIOSA-N 0 0 437.522 -0.256 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)NC[C@H]2C1 ZINC000430913582 1071018009 /nfs/dbraw/zinc/01/80/09/1071018009.db2.gz WKKWMHFMLOGLBR-HOCLYGCPSA-N 0 0 437.522 -0.256 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)NC[C@H]2C1 ZINC000430913583 1071017656 /nfs/dbraw/zinc/01/76/56/1071017656.db2.gz WKKWMHFMLOGLBR-ZBFHGGJFSA-N 0 0 437.522 -0.256 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC[C@H]4C3)CC2)c1 ZINC000430913633 1071017506 /nfs/dbraw/zinc/01/75/06/1071017506.db2.gz WVKIJYJOMPMJPY-KRWDZBQOSA-N 0 0 449.533 -0.569 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC[C@@H]4C3)CC2)c1 ZINC000430913634 1071017752 /nfs/dbraw/zinc/01/77/52/1071017752.db2.gz WVKIJYJOMPMJPY-QGZVFWFLSA-N 0 0 449.533 -0.569 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC[C@H]4C3)CC2)cc1C ZINC000430914048 1071017335 /nfs/dbraw/zinc/01/73/35/1071017335.db2.gz HNVHSXBNMXUNJT-KRWDZBQOSA-N 0 0 435.550 -0.154 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC[C@@H]4C3)CC2)cc1C ZINC000430914049 1071017296 /nfs/dbraw/zinc/01/72/96/1071017296.db2.gz HNVHSXBNMXUNJT-QGZVFWFLSA-N 0 0 435.550 -0.154 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000430914676 1071017676 /nfs/dbraw/zinc/01/76/76/1071017676.db2.gz LYDGGMFQTZPYMD-HNNXBMFYSA-N 0 0 425.486 -0.632 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000430914677 1071018065 /nfs/dbraw/zinc/01/80/65/1071018065.db2.gz LYDGGMFQTZPYMD-OAHLLOKOSA-N 0 0 425.486 -0.632 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000430915054 1071017526 /nfs/dbraw/zinc/01/75/26/1071017526.db2.gz BMPKQTJYBOHVGL-INIZCTEOSA-N 0 0 437.522 -0.967 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000430915116 1071017870 /nfs/dbraw/zinc/01/78/70/1071017870.db2.gz BMPKQTJYBOHVGL-MRXNPFEDSA-N 0 0 437.522 -0.967 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN2C(=O)NC[C@@H]2C1 ZINC000430916874 1071017029 /nfs/dbraw/zinc/01/70/29/1071017029.db2.gz WTJFMERKRIMOFF-CHWSQXEVSA-N 0 0 425.511 -0.018 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN2C(=O)NC[C@H]2C1 ZINC000430916875 1071017355 /nfs/dbraw/zinc/01/73/55/1071017355.db2.gz WTJFMERKRIMOFF-OLZOCXBDSA-N 0 0 425.511 -0.018 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN2C(=O)NC[C@@H]2C1 ZINC000430916876 1071017563 /nfs/dbraw/zinc/01/75/63/1071017563.db2.gz WTJFMERKRIMOFF-QWHCGFSZSA-N 0 0 425.511 -0.018 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN2C(=O)NC[C@H]2C1 ZINC000430916877 1071017851 /nfs/dbraw/zinc/01/78/51/1071017851.db2.gz WTJFMERKRIMOFF-STQMWFEESA-N 0 0 425.511 -0.018 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCN4C(=O)NC[C@@H]4C3)CC2)cc1 ZINC000430920351 1071017955 /nfs/dbraw/zinc/01/79/55/1071017955.db2.gz UUELAGGDNWGKID-DLBZAZTESA-N 0 0 435.550 -0.074 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCN4C(=O)NC[C@@H]4C3)CC2)cc1 ZINC000430920352 1071017695 /nfs/dbraw/zinc/01/76/95/1071017695.db2.gz UUELAGGDNWGKID-IAGOWNOFSA-N 0 0 435.550 -0.074 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCN4C(=O)NC[C@H]4C3)CC2)cc1 ZINC000430920353 1071017583 /nfs/dbraw/zinc/01/75/83/1071017583.db2.gz UUELAGGDNWGKID-IRXDYDNUSA-N 0 0 435.550 -0.074 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCN4C(=O)NC[C@H]4C3)CC2)cc1 ZINC000430920354 1071018083 /nfs/dbraw/zinc/01/80/83/1071018083.db2.gz UUELAGGDNWGKID-SJORKVTESA-N 0 0 435.550 -0.074 20 0 IBADRN COc1nc(N2CCN(Cc3cc(=O)n(C)c(=O)n3C)CC2)ncc1Br ZINC000430920826 1071018026 /nfs/dbraw/zinc/01/80/26/1071018026.db2.gz QHVQLQIJLHXRQS-UHFFFAOYSA-N 0 0 425.287 -0.033 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000430928966 1071018586 /nfs/dbraw/zinc/01/85/86/1071018586.db2.gz VQFXZTZBOMYEJN-INIZCTEOSA-N 0 0 437.522 -0.967 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000430928968 1071018749 /nfs/dbraw/zinc/01/87/49/1071018749.db2.gz VQFXZTZBOMYEJN-MRXNPFEDSA-N 0 0 437.522 -0.967 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000430943066 1071018997 /nfs/dbraw/zinc/01/89/97/1071018997.db2.gz GBXFGGAAXKKQQB-AWEZNQCLSA-N 0 0 425.486 -0.632 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000430943069 1071019115 /nfs/dbraw/zinc/01/91/15/1071019115.db2.gz GBXFGGAAXKKQQB-CQSZACIVSA-N 0 0 425.486 -0.632 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC[C@H]4C3)CC2)cc1 ZINC000430944260 1071019411 /nfs/dbraw/zinc/01/94/11/1071019411.db2.gz LQPUQIOWVZBXDY-HNNXBMFYSA-N 0 0 437.522 -0.763 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC[C@@H]4C3)CC2)cc1 ZINC000430944261 1071019624 /nfs/dbraw/zinc/01/96/24/1071019624.db2.gz LQPUQIOWVZBXDY-OAHLLOKOSA-N 0 0 437.522 -0.763 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000430944763 1071019433 /nfs/dbraw/zinc/01/94/33/1071019433.db2.gz NUOVSERPVBNILF-GDBMZVCRSA-N 0 0 437.522 -0.256 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000430944765 1071019676 /nfs/dbraw/zinc/01/96/76/1071019676.db2.gz NUOVSERPVBNILF-GOEBONIOSA-N 0 0 437.522 -0.256 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)NC[C@H]2C1 ZINC000430944767 1071019825 /nfs/dbraw/zinc/01/98/25/1071019825.db2.gz NUOVSERPVBNILF-HOCLYGCPSA-N 0 0 437.522 -0.256 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)NC[C@H]2C1 ZINC000430944769 1071019467 /nfs/dbraw/zinc/01/94/67/1071019467.db2.gz NUOVSERPVBNILF-ZBFHGGJFSA-N 0 0 437.522 -0.256 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3C(=O)NC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000430945818 1071019606 /nfs/dbraw/zinc/01/96/06/1071019606.db2.gz TWHINDZBLIAKSB-INIZCTEOSA-N 0 0 437.522 -0.336 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3C(=O)NC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000430945819 1071019787 /nfs/dbraw/zinc/01/97/87/1071019787.db2.gz TWHINDZBLIAKSB-MRXNPFEDSA-N 0 0 437.522 -0.336 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000430946001 1071019770 /nfs/dbraw/zinc/01/97/70/1071019770.db2.gz UIRPMWMYFMEVFS-HNNXBMFYSA-N 0 0 441.941 -0.118 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000430946002 1071019657 /nfs/dbraw/zinc/01/96/57/1071019657.db2.gz UIRPMWMYFMEVFS-OAHLLOKOSA-N 0 0 441.941 -0.118 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3C(=O)NC[C@@H]3C2)c(=O)[nH]c1=O ZINC000430947311 1071019526 /nfs/dbraw/zinc/01/95/26/1071019526.db2.gz AONSTKRKCQHQAE-CYBMUJFWSA-N 0 0 437.501 -0.980 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3C(=O)NC[C@H]3C2)c(=O)[nH]c1=O ZINC000430947312 1071019389 /nfs/dbraw/zinc/01/93/89/1071019389.db2.gz AONSTKRKCQHQAE-ZDUSSCGKSA-N 0 0 437.501 -0.980 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000430951039 1071020368 /nfs/dbraw/zinc/02/03/68/1071020368.db2.gz KCBICFUHTGLYFA-CYBMUJFWSA-N 0 0 443.476 -0.493 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000430951040 1071020251 /nfs/dbraw/zinc/02/02/51/1071020251.db2.gz KCBICFUHTGLYFA-ZDUSSCGKSA-N 0 0 443.476 -0.493 20 0 IBADRN O=C([O-])CNC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC[NH+]2CCCCCC2)cc1 ZINC000430952247 1071020271 /nfs/dbraw/zinc/02/02/71/1071020271.db2.gz YHEJOGSKSGSQGQ-UHFFFAOYSA-N 0 0 440.522 -0.229 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000430953398 1071020571 /nfs/dbraw/zinc/02/05/71/1071020571.db2.gz TVKGZXWFBBPNSI-GFCCVEGCSA-N 0 0 447.970 -0.056 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000430953402 1071020552 /nfs/dbraw/zinc/02/05/52/1071020552.db2.gz TVKGZXWFBBPNSI-LBPRGKRZSA-N 0 0 447.970 -0.056 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000430955145 1071019966 /nfs/dbraw/zinc/01/99/66/1071019966.db2.gz YKSZPOLWEIACHZ-HNNXBMFYSA-N 0 0 425.486 -0.632 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000430955146 1071020232 /nfs/dbraw/zinc/02/02/32/1071020232.db2.gz YKSZPOLWEIACHZ-OAHLLOKOSA-N 0 0 425.486 -0.632 20 0 IBADRN CCN(C(=O)CN1CCN2C(=O)NC[C@H]2C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000430957993 1071020828 /nfs/dbraw/zinc/02/08/28/1071020828.db2.gz VREHDPHKRKUVIG-HNNXBMFYSA-N 0 0 441.492 -0.358 20 0 IBADRN CCN(C(=O)CN1CCN2C(=O)NC[C@@H]2C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000430957994 1071020749 /nfs/dbraw/zinc/02/07/49/1071020749.db2.gz VREHDPHKRKUVIG-OAHLLOKOSA-N 0 0 441.492 -0.358 20 0 IBADRN CC(C)CN(C(=O)CN1CCN2C(=O)NC[C@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000430958827 1071020709 /nfs/dbraw/zinc/02/07/09/1071020709.db2.gz UOESQAGXCXGWMA-AWEZNQCLSA-N 0 0 435.529 -0.115 20 0 IBADRN CC(C)CN(C(=O)CN1CCN2C(=O)NC[C@@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000430958828 1071020904 /nfs/dbraw/zinc/02/09/04/1071020904.db2.gz UOESQAGXCXGWMA-CQSZACIVSA-N 0 0 435.529 -0.115 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000430959337 1071020730 /nfs/dbraw/zinc/02/07/30/1071020730.db2.gz YIXHSSGDQDHNCP-CRAIPNDOSA-N 0 0 429.477 -0.793 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000430959338 1071020768 /nfs/dbraw/zinc/02/07/68/1071020768.db2.gz YIXHSSGDQDHNCP-MAUKXSAKSA-N 0 0 429.477 -0.793 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000430959339 1071020611 /nfs/dbraw/zinc/02/06/11/1071020611.db2.gz YIXHSSGDQDHNCP-QAPCUYQASA-N 0 0 429.477 -0.793 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000430959340 1071020920 /nfs/dbraw/zinc/02/09/20/1071020920.db2.gz YIXHSSGDQDHNCP-YJBOKZPZSA-N 0 0 429.477 -0.793 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CNc2ccc(CC(=O)NCCO)cc2)c(=O)n(C)c1=O ZINC000430983171 1071023559 /nfs/dbraw/zinc/02/35/59/1071023559.db2.gz ZYBMAOFUNUBNPV-UHFFFAOYSA-N 0 0 431.493 -0.269 20 0 IBADRN COC(=O)[C@@H]1CCCN2C(=O)N(CCC(=O)N3CCN(c4ncccn4)CC3)C(=O)[C@@H]12 ZINC000430988283 1071023448 /nfs/dbraw/zinc/02/34/48/1071023448.db2.gz KRWAUZCBIOOAJX-GDBMZVCRSA-N 0 0 430.465 -0.269 20 0 IBADRN COC(=O)[C@H]1CCCN2C(=O)N(CCC(=O)N3CCN(c4ncccn4)CC3)C(=O)[C@@H]12 ZINC000430988284 1071023534 /nfs/dbraw/zinc/02/35/34/1071023534.db2.gz KRWAUZCBIOOAJX-GOEBONIOSA-N 0 0 430.465 -0.269 20 0 IBADRN COC(=O)[C@H]1CCCN2C(=O)N(CCC(=O)N3CCN(c4ncccn4)CC3)C(=O)[C@H]12 ZINC000430988285 1071023551 /nfs/dbraw/zinc/02/35/51/1071023551.db2.gz KRWAUZCBIOOAJX-HOCLYGCPSA-N 0 0 430.465 -0.269 20 0 IBADRN COC(=O)[C@@H]1CCCN2C(=O)N(CCC(=O)N3CCN(c4ncccn4)CC3)C(=O)[C@H]12 ZINC000430988286 1071023179 /nfs/dbraw/zinc/02/31/79/1071023179.db2.gz KRWAUZCBIOOAJX-ZBFHGGJFSA-N 0 0 430.465 -0.269 20 0 IBADRN COC(=O)[C@@H]1CCN2C(=O)N(CCC(=O)N3CCN(c4ncccn4)CC3)C(=O)[C@@H]2C1 ZINC000430990181 1071023233 /nfs/dbraw/zinc/02/32/33/1071023233.db2.gz QZGVKCGBZGJHRM-CABCVRRESA-N 0 0 430.465 -0.269 20 0 IBADRN COC(=O)[C@H]1CCN2C(=O)N(CCC(=O)N3CCN(c4ncccn4)CC3)C(=O)[C@@H]2C1 ZINC000430990182 1071024044 /nfs/dbraw/zinc/02/40/44/1071024044.db2.gz QZGVKCGBZGJHRM-GJZGRUSLSA-N 0 0 430.465 -0.269 20 0 IBADRN COC(=O)[C@@H]1CCN2C(=O)N(CCC(=O)N3CCN(c4ncccn4)CC3)C(=O)[C@H]2C1 ZINC000430990183 1071023923 /nfs/dbraw/zinc/02/39/23/1071023923.db2.gz QZGVKCGBZGJHRM-HUUCEWRRSA-N 0 0 430.465 -0.269 20 0 IBADRN COC(=O)[C@H]1CCN2C(=O)N(CCC(=O)N3CCN(c4ncccn4)CC3)C(=O)[C@H]2C1 ZINC000430990184 1071024171 /nfs/dbraw/zinc/02/41/71/1071024171.db2.gz QZGVKCGBZGJHRM-LSDHHAIUSA-N 0 0 430.465 -0.269 20 0 IBADRN CCCCNC(=O)c1c(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)nc(C)n1C ZINC000431016658 1071024969 /nfs/dbraw/zinc/02/49/69/1071024969.db2.gz KHAIFJXTZFNPRA-UHFFFAOYSA-N 0 0 430.469 -0.356 20 0 IBADRN O=C(CN1CC(=O)NC1=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000431021134 1071025859 /nfs/dbraw/zinc/02/58/59/1071025859.db2.gz HDKILLJFEMBVBL-INIZCTEOSA-N 0 0 427.461 -0.554 20 0 IBADRN O=C(CN1CC(=O)NC1=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000431021135 1071025720 /nfs/dbraw/zinc/02/57/20/1071025720.db2.gz HDKILLJFEMBVBL-MRXNPFEDSA-N 0 0 427.461 -0.554 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000431022722 1071025913 /nfs/dbraw/zinc/02/59/13/1071025913.db2.gz FEVYVCWMMKRRDP-KRWDZBQOSA-N 0 0 441.488 -0.163 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000431022723 1071025548 /nfs/dbraw/zinc/02/55/48/1071025548.db2.gz FEVYVCWMMKRRDP-QGZVFWFLSA-N 0 0 441.488 -0.163 20 0 IBADRN O=C(CN1CCCS1(=O)=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000431022879 1071025693 /nfs/dbraw/zinc/02/56/93/1071025693.db2.gz PCOWPAOURRQRAT-GOSISDBHSA-N 0 0 448.545 -0.070 20 0 IBADRN O=C(CN1CCCS1(=O)=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000431022881 1071025879 /nfs/dbraw/zinc/02/58/79/1071025879.db2.gz PCOWPAOURRQRAT-SFHVURJKSA-N 0 0 448.545 -0.070 20 0 IBADRN NC(=O)Cn1cc(C(=O)NC2CCN([C@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)nn1 ZINC000431023597 1071024928 /nfs/dbraw/zinc/02/49/28/1071024928.db2.gz RYDNVIQJIAGRGR-KRWDZBQOSA-N 0 0 439.476 -0.715 20 0 IBADRN NC(=O)Cn1cc(C(=O)NC2CCN([C@@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)nn1 ZINC000431023599 1071025821 /nfs/dbraw/zinc/02/58/21/1071025821.db2.gz RYDNVIQJIAGRGR-QGZVFWFLSA-N 0 0 439.476 -0.715 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000431035674 1071026564 /nfs/dbraw/zinc/02/65/64/1071026564.db2.gz FLPMJQDVPBDQSS-JTQLQIEISA-N 0 0 438.291 -0.030 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000431035678 1071026377 /nfs/dbraw/zinc/02/63/77/1071026377.db2.gz FLPMJQDVPBDQSS-SNVBAGLBSA-N 0 0 438.291 -0.030 20 0 IBADRN COc1nc(N2CCN(C(=O)C[C@H](NC(C)=O)C(N)=O)CC2)ncc1Br ZINC000431043740 1071026952 /nfs/dbraw/zinc/02/69/52/1071026952.db2.gz FOAJDANHQNNLLF-NSHDSACASA-N 0 0 429.275 -0.724 20 0 IBADRN COc1nc(N2CCN(C(=O)c3[nH]c(=O)[nH]c(=O)c3N)CC2)ncc1Br ZINC000431051610 1071027152 /nfs/dbraw/zinc/02/71/52/1071027152.db2.gz HJWNXBPGPKPLQC-UHFFFAOYSA-N 0 0 426.231 -0.007 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(c3ncc(Br)c(OC)n3)CC2)nn1 ZINC000431057725 1071027232 /nfs/dbraw/zinc/02/72/32/1071027232.db2.gz PCNUQCSDRIQYFY-UHFFFAOYSA-N 0 0 440.258 -0.025 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CNc2ccc(C(=O)NCCC(N)=O)cc2)CC1 ZINC000431076868 1071028262 /nfs/dbraw/zinc/02/82/62/1071028262.db2.gz MJDDRDRVYMYNQW-UHFFFAOYSA-N 0 0 446.552 -0.237 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cc(Cl)cnc3N)CC2)CC1 ZINC000431086983 1071028186 /nfs/dbraw/zinc/02/81/86/1071028186.db2.gz SLRDUCKJZPAOMN-UHFFFAOYSA-N 0 0 438.963 -0.884 20 0 IBADRN CNC(=O)C[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000431088349 1071028752 /nfs/dbraw/zinc/02/87/52/1071028752.db2.gz SCZMONLBUXOPMO-KRWDZBQOSA-N 0 0 439.538 -0.232 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000431088350 1071028741 /nfs/dbraw/zinc/02/87/41/1071028741.db2.gz SCZMONLBUXOPMO-QGZVFWFLSA-N 0 0 439.538 -0.232 20 0 IBADRN CNC(=O)C[C@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000431097485 1071028888 /nfs/dbraw/zinc/02/88/88/1071028888.db2.gz DSJGTVXZZAFJFB-KRWDZBQOSA-N 0 0 431.493 -0.424 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000431097486 1071028767 /nfs/dbraw/zinc/02/87/67/1071028767.db2.gz DSJGTVXZZAFJFB-QGZVFWFLSA-N 0 0 431.493 -0.424 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CNc2ccc(C(=O)NCCC(N)=O)cc2)c(=O)n(C)c1=O ZINC000431104555 1071029376 /nfs/dbraw/zinc/02/93/76/1071029376.db2.gz GQIATVANWXJTLX-UHFFFAOYSA-N 0 0 444.492 -0.315 20 0 IBADRN CNC(=O)C[C@H](NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1ccccc1 ZINC000431112002 1071029454 /nfs/dbraw/zinc/02/94/54/1071029454.db2.gz ZYGTYRGWHZGBBM-INIZCTEOSA-N 0 0 429.477 -0.133 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1ccccc1 ZINC000431112003 1071029481 /nfs/dbraw/zinc/02/94/81/1071029481.db2.gz ZYGTYRGWHZGBBM-MRXNPFEDSA-N 0 0 429.477 -0.133 20 0 IBADRN CNC(=O)C[C@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000431118615 1071029290 /nfs/dbraw/zinc/02/92/90/1071029290.db2.gz ODAOXYSQFHNTMT-HNNXBMFYSA-N 0 0 429.481 -0.464 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000431118617 1071029308 /nfs/dbraw/zinc/02/93/08/1071029308.db2.gz ODAOXYSQFHNTMT-OAHLLOKOSA-N 0 0 429.481 -0.464 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000431125657 1071029911 /nfs/dbraw/zinc/02/99/11/1071029911.db2.gz CUZMTSBXVQAPCZ-UHFFFAOYSA-N 0 0 440.570 -0.501 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000431132215 1071029872 /nfs/dbraw/zinc/02/98/72/1071029872.db2.gz JKMCCRMHGXYTSK-UHFFFAOYSA-N 0 0 442.538 -0.452 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)NC[C@H]2COc3ccccc3O2)CC1 ZINC000431136592 1071029906 /nfs/dbraw/zinc/02/99/06/1071029906.db2.gz BTPXLBRWLPPGBQ-INIZCTEOSA-N 0 0 427.523 -0.074 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)NC[C@@H]2COc3ccccc3O2)CC1 ZINC000431136594 1071029958 /nfs/dbraw/zinc/02/99/58/1071029958.db2.gz BTPXLBRWLPPGBQ-MRXNPFEDSA-N 0 0 427.523 -0.074 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCN(S(=O)(=O)CCCOC)CC1)c1ccccc1 ZINC000431142714 1071030420 /nfs/dbraw/zinc/03/04/20/1071030420.db2.gz GLJZWFDOMSTWML-GOSISDBHSA-N 0 0 426.539 -0.426 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCN(S(=O)(=O)CCCOC)CC1)c1ccccc1 ZINC000431142716 1071030529 /nfs/dbraw/zinc/03/05/29/1071030529.db2.gz GLJZWFDOMSTWML-SFHVURJKSA-N 0 0 426.539 -0.426 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000431143159 1071030518 /nfs/dbraw/zinc/03/05/18/1071030518.db2.gz INQOOYKNPCYWRW-CABCVRRESA-N 0 0 425.573 -0.996 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000431143160 1071030372 /nfs/dbraw/zinc/03/03/72/1071030372.db2.gz INQOOYKNPCYWRW-GJZGRUSLSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000431143161 1071030500 /nfs/dbraw/zinc/03/05/00/1071030500.db2.gz INQOOYKNPCYWRW-HUUCEWRRSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000431143162 1071030441 /nfs/dbraw/zinc/03/04/41/1071030441.db2.gz INQOOYKNPCYWRW-LSDHHAIUSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)NCCOc2ccc3c(c2)OCO3)CC1 ZINC000431147233 1071030567 /nfs/dbraw/zinc/03/05/67/1071030567.db2.gz CKQWLOVKRSXMEF-UHFFFAOYSA-N 0 0 443.522 -0.106 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)N2CCC(C(=O)N3CCCC3)CC2)CC1 ZINC000431163081 1071031358 /nfs/dbraw/zinc/03/13/58/1071031358.db2.gz WHYOSHBSFMYYGY-UHFFFAOYSA-N 0 0 444.598 -0.169 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)CCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000431164311 1071031315 /nfs/dbraw/zinc/03/13/15/1071031315.db2.gz VFFVZENFZPPDRX-HNNXBMFYSA-N 0 0 425.573 -0.994 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)CCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000431164312 1071031558 /nfs/dbraw/zinc/03/15/58/1071031558.db2.gz VFFVZENFZPPDRX-OAHLLOKOSA-N 0 0 425.573 -0.994 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)CCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000431169969 1071032135 /nfs/dbraw/zinc/03/21/35/1071032135.db2.gz UZZZYYBVSIVYHU-CVEARBPZSA-N 0 0 439.600 -0.606 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)CCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000431169973 1071031979 /nfs/dbraw/zinc/03/19/79/1071031979.db2.gz UZZZYYBVSIVYHU-HOTGVXAUSA-N 0 0 439.600 -0.606 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)CCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000431169977 1071032098 /nfs/dbraw/zinc/03/20/98/1071032098.db2.gz UZZZYYBVSIVYHU-HZPDHXFCSA-N 0 0 439.600 -0.606 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)CCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000431169983 1071032026 /nfs/dbraw/zinc/03/20/26/1071032026.db2.gz UZZZYYBVSIVYHU-JKSUJKDBSA-N 0 0 439.600 -0.606 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431178570 1071032739 /nfs/dbraw/zinc/03/27/39/1071032739.db2.gz JXDISMQFVNZKBG-JXFKEZNVSA-N 0 0 432.525 -0.749 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431178572 1071032730 /nfs/dbraw/zinc/03/27/30/1071032730.db2.gz JXDISMQFVNZKBG-OXJNMPFZSA-N 0 0 432.525 -0.749 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431178574 1071032538 /nfs/dbraw/zinc/03/25/38/1071032538.db2.gz JXDISMQFVNZKBG-OXQOHEQNSA-N 0 0 432.525 -0.749 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431178576 1071032673 /nfs/dbraw/zinc/03/26/73/1071032673.db2.gz JXDISMQFVNZKBG-UZLBHIALSA-N 0 0 432.525 -0.749 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCN(S(=O)(=O)CCCOC)CC1 ZINC000431179018 1071032803 /nfs/dbraw/zinc/03/28/03/1071032803.db2.gz LCMLDZAZXVPNEL-UHFFFAOYSA-N 0 0 431.515 -0.481 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000431179842 1071032704 /nfs/dbraw/zinc/03/27/04/1071032704.db2.gz NCBCVSCBFZZGLC-KRWDZBQOSA-N 0 0 440.522 -0.152 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000431179843 1071032770 /nfs/dbraw/zinc/03/27/70/1071032770.db2.gz NCBCVSCBFZZGLC-QGZVFWFLSA-N 0 0 440.522 -0.152 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)NCCCN2CCCCCC2=O)CC1 ZINC000431180151 1071032759 /nfs/dbraw/zinc/03/27/59/1071032759.db2.gz NSPIPERSJRYLGK-UHFFFAOYSA-N 0 0 432.587 -0.121 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCC(=O)NC2(C)C)cn1 ZINC000431188381 1071033966 /nfs/dbraw/zinc/03/39/66/1071033966.db2.gz AZZQPRMMKWXIEZ-KBPBESRZSA-N 0 0 425.511 -0.626 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCC(=O)NC2(C)C)cn1 ZINC000431188382 1071033875 /nfs/dbraw/zinc/03/38/75/1071033875.db2.gz AZZQPRMMKWXIEZ-KGLIPLIRSA-N 0 0 425.511 -0.626 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCC(=O)NC2(C)C)cn1 ZINC000431188383 1071033662 /nfs/dbraw/zinc/03/36/62/1071033662.db2.gz AZZQPRMMKWXIEZ-UONOGXRCSA-N 0 0 425.511 -0.626 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCC(=O)NC2(C)C)cn1 ZINC000431188384 1071033943 /nfs/dbraw/zinc/03/39/43/1071033943.db2.gz AZZQPRMMKWXIEZ-ZIAGYGMSSA-N 0 0 425.511 -0.626 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H]3CCC(=O)NC34CCC4)C2)no1 ZINC000431192015 1073051801 /nfs/dbraw/zinc/05/18/01/1073051801.db2.gz KJJPHSYNFXQAKG-CABCVRRESA-N 0 0 446.508 -0.101 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)N[C@H]3CCC(=O)NC34CCC4)C2)no1 ZINC000431192016 1073051661 /nfs/dbraw/zinc/05/16/61/1073051661.db2.gz KJJPHSYNFXQAKG-GJZGRUSLSA-N 0 0 446.508 -0.101 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)NC34CCC4)C2)no1 ZINC000431192017 1073051823 /nfs/dbraw/zinc/05/18/23/1073051823.db2.gz KJJPHSYNFXQAKG-HUUCEWRRSA-N 0 0 446.508 -0.101 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)NC34CCC4)C2)no1 ZINC000431192018 1073051782 /nfs/dbraw/zinc/05/17/82/1073051782.db2.gz KJJPHSYNFXQAKG-LSDHHAIUSA-N 0 0 446.508 -0.101 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H]3CCC(=O)NC34CCC4)CC2)CC1 ZINC000431192083 1071034321 /nfs/dbraw/zinc/03/43/21/1071034321.db2.gz KYCPBTUSSGLJGQ-KRWDZBQOSA-N 0 0 433.553 -0.293 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)NC34CCC4)CC2)CC1 ZINC000431192084 1071034357 /nfs/dbraw/zinc/03/43/57/1071034357.db2.gz KYCPBTUSSGLJGQ-QGZVFWFLSA-N 0 0 433.553 -0.293 20 0 IBADRN CC1(C)NC(=O)CC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000431194182 1071034369 /nfs/dbraw/zinc/03/43/69/1071034369.db2.gz RLMFOQNPUQSKCU-HNNXBMFYSA-N 0 0 443.570 -0.575 20 0 IBADRN CC1(C)NC(=O)CC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000431194186 1071034413 /nfs/dbraw/zinc/03/44/13/1071034413.db2.gz RLMFOQNPUQSKCU-OAHLLOKOSA-N 0 0 443.570 -0.575 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)cn1 ZINC000431195328 1071035332 /nfs/dbraw/zinc/03/53/32/1071035332.db2.gz XPDWTLCTBXVWCG-CABCVRRESA-N 0 0 437.522 -0.481 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)cn1 ZINC000431195329 1071035349 /nfs/dbraw/zinc/03/53/49/1071035349.db2.gz XPDWTLCTBXVWCG-GJZGRUSLSA-N 0 0 437.522 -0.481 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)cn1 ZINC000431195330 1071035272 /nfs/dbraw/zinc/03/52/72/1071035272.db2.gz XPDWTLCTBXVWCG-HUUCEWRRSA-N 0 0 437.522 -0.481 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)cn1 ZINC000431195331 1071035257 /nfs/dbraw/zinc/03/52/57/1071035257.db2.gz XPDWTLCTBXVWCG-LSDHHAIUSA-N 0 0 437.522 -0.481 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1 ZINC000431196029 1071035319 /nfs/dbraw/zinc/03/53/19/1071035319.db2.gz JVNOGYDJHIUOSR-DLBZAZTESA-N 0 0 433.553 -0.151 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1 ZINC000431196033 1071035298 /nfs/dbraw/zinc/03/52/98/1071035298.db2.gz JVNOGYDJHIUOSR-IAGOWNOFSA-N 0 0 433.553 -0.151 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1 ZINC000431196035 1071035360 /nfs/dbraw/zinc/03/53/60/1071035360.db2.gz JVNOGYDJHIUOSR-IRXDYDNUSA-N 0 0 433.553 -0.151 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1 ZINC000431196038 1071035309 /nfs/dbraw/zinc/03/53/09/1071035309.db2.gz JVNOGYDJHIUOSR-SJORKVTESA-N 0 0 433.553 -0.151 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)C(=O)N[C@H]4CCC(=O)NC45CCC5)CC3)n2n1 ZINC000431196197 1071035220 /nfs/dbraw/zinc/03/52/20/1071035220.db2.gz FFDYMRYKKAAEDJ-AWEZNQCLSA-N 0 0 426.481 -0.601 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)C(=O)N[C@@H]4CCC(=O)NC45CCC5)CC3)n2n1 ZINC000431196198 1071035391 /nfs/dbraw/zinc/03/53/91/1071035391.db2.gz FFDYMRYKKAAEDJ-CQSZACIVSA-N 0 0 426.481 -0.601 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC2(C)C)C1 ZINC000431198761 1071035866 /nfs/dbraw/zinc/03/58/66/1071035866.db2.gz QFADUQQYBACVHW-DZGCQCFKSA-N 0 0 448.524 -0.335 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCC(=O)NC2(C)C)C1 ZINC000431198763 1071035924 /nfs/dbraw/zinc/03/59/24/1071035924.db2.gz QFADUQQYBACVHW-HIFRSBDPSA-N 0 0 448.524 -0.335 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC2(C)C)C1 ZINC000431198765 1071035796 /nfs/dbraw/zinc/03/57/96/1071035796.db2.gz QFADUQQYBACVHW-UKRRQHHQSA-N 0 0 448.524 -0.335 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCC(=O)NC2(C)C)C1 ZINC000431198767 1071035732 /nfs/dbraw/zinc/03/57/32/1071035732.db2.gz QFADUQQYBACVHW-ZFWWWQNUSA-N 0 0 448.524 -0.335 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3CC(=O)N(C)[C@H]3c3cnn(C)c3C)CC2)no1 ZINC000431199464 1071035961 /nfs/dbraw/zinc/03/59/61/1071035961.db2.gz XOZBQYCWSYBXSC-FOIQADDNSA-N 0 0 443.508 0.327 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H]3CC(=O)N(C)[C@H]3c3cnn(C)c3C)CC2)no1 ZINC000431199465 1071035833 /nfs/dbraw/zinc/03/58/33/1071035833.db2.gz XOZBQYCWSYBXSC-MGPUTAFESA-N 0 0 443.508 0.327 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3CC(=O)N(C)[C@@H]3c3cnn(C)c3C)CC2)no1 ZINC000431199466 1071035891 /nfs/dbraw/zinc/03/58/91/1071035891.db2.gz XOZBQYCWSYBXSC-QRWLVFNGSA-N 0 0 443.508 0.327 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H]3CC(=O)N(C)[C@@H]3c3cnn(C)c3C)CC2)no1 ZINC000431199467 1071035907 /nfs/dbraw/zinc/03/59/07/1071035907.db2.gz XOZBQYCWSYBXSC-YWZLYKJASA-N 0 0 443.508 0.327 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC12CCC2)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000431201578 1071036428 /nfs/dbraw/zinc/03/64/28/1071036428.db2.gz DSRCJGMHVNGPGC-KRWDZBQOSA-N 0 0 446.529 -0.093 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC12CCC2)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000431201579 1071036304 /nfs/dbraw/zinc/03/63/04/1071036304.db2.gz DSRCJGMHVNGPGC-QGZVFWFLSA-N 0 0 446.529 -0.093 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1 ZINC000431202193 1071035917 /nfs/dbraw/zinc/03/59/17/1071035917.db2.gz IDSNSXKKOGYUOO-HNNXBMFYSA-N 0 0 444.554 -0.797 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1 ZINC000431202194 1071035782 /nfs/dbraw/zinc/03/57/82/1071035782.db2.gz IDSNSXKKOGYUOO-OAHLLOKOSA-N 0 0 444.554 -0.797 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1)NC1CCCCC1 ZINC000431202398 1071036531 /nfs/dbraw/zinc/03/65/31/1071036531.db2.gz IGBZVRMVQFTIMX-KRWDZBQOSA-N 0 0 433.553 -0.103 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1)NC1CCCCC1 ZINC000431202399 1071036518 /nfs/dbraw/zinc/03/65/18/1071036518.db2.gz IGBZVRMVQFTIMX-QGZVFWFLSA-N 0 0 433.553 -0.103 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC12CCC2)C(=O)N1CCN(C[C@H](O)COc2ccccc2)CC1 ZINC000431202947 1071036448 /nfs/dbraw/zinc/03/64/48/1071036448.db2.gz MBUCDJBBMRJVLG-HKUYNNGSSA-N 0 0 444.532 -0.112 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC12CCC2)C(=O)N1CCN(C[C@@H](O)COc2ccccc2)CC1 ZINC000431202948 1071036461 /nfs/dbraw/zinc/03/64/61/1071036461.db2.gz MBUCDJBBMRJVLG-IEBWSBKVSA-N 0 0 444.532 -0.112 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC12CCC2)C(=O)N1CCN(C[C@@H](O)COc2ccccc2)CC1 ZINC000431202949 1071036542 /nfs/dbraw/zinc/03/65/42/1071036542.db2.gz MBUCDJBBMRJVLG-MJGOQNOKSA-N 0 0 444.532 -0.112 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC12CCC2)C(=O)N1CCN(C[C@H](O)COc2ccccc2)CC1 ZINC000431202950 1071036324 /nfs/dbraw/zinc/03/63/24/1071036324.db2.gz MBUCDJBBMRJVLG-PKOBYXMFSA-N 0 0 444.532 -0.112 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC12CCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000431204964 1071036441 /nfs/dbraw/zinc/03/64/41/1071036441.db2.gz HJTQJVDUASDTMH-HNNXBMFYSA-N 0 0 435.506 -0.768 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC12CCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000431204966 1071036257 /nfs/dbraw/zinc/03/62/57/1071036257.db2.gz HJTQJVDUASDTMH-OAHLLOKOSA-N 0 0 435.506 -0.768 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)N[C@H]3CCC(=O)NC34CCC4)no2)cn1 ZINC000431206853 1071036399 /nfs/dbraw/zinc/03/63/99/1071036399.db2.gz FUCWLAXFQANBLQ-KBPBESRZSA-N 0 0 443.464 -0.313 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)N[C@H]3CCC(=O)NC34CCC4)no2)cn1 ZINC000431206854 1071036414 /nfs/dbraw/zinc/03/64/14/1071036414.db2.gz FUCWLAXFQANBLQ-KGLIPLIRSA-N 0 0 443.464 -0.313 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)N[C@@H]3CCC(=O)NC34CCC4)no2)cn1 ZINC000431206855 1071036487 /nfs/dbraw/zinc/03/64/87/1071036487.db2.gz FUCWLAXFQANBLQ-UONOGXRCSA-N 0 0 443.464 -0.313 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)N[C@@H]3CCC(=O)NC34CCC4)no2)cn1 ZINC000431206856 1071036272 /nfs/dbraw/zinc/03/62/72/1071036272.db2.gz FUCWLAXFQANBLQ-ZIAGYGMSSA-N 0 0 443.464 -0.313 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1 ZINC000431210117 1071036386 /nfs/dbraw/zinc/03/63/86/1071036386.db2.gz RNLBHLWJGWCTCO-DOTOQJQBSA-N 0 0 433.553 -0.105 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1 ZINC000431210118 1071036286 /nfs/dbraw/zinc/03/62/86/1071036286.db2.gz RNLBHLWJGWCTCO-NVXWUHKLSA-N 0 0 433.553 -0.105 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1 ZINC000431210119 1071037076 /nfs/dbraw/zinc/03/70/76/1071037076.db2.gz RNLBHLWJGWCTCO-RDJZCZTQSA-N 0 0 433.553 -0.105 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1 ZINC000431210120 1071037101 /nfs/dbraw/zinc/03/71/01/1071037101.db2.gz RNLBHLWJGWCTCO-WBVHZDCISA-N 0 0 433.553 -0.105 20 0 IBADRN COC(=O)[C@@H]1CCCN2C(=O)N(C3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C(=O)[C@H]12 ZINC000431218407 1071037083 /nfs/dbraw/zinc/03/70/83/1071037083.db2.gz LBXWRMOTUHMILK-CABCVRRESA-N 0 0 439.494 -0.211 20 0 IBADRN COC(=O)[C@H]1CCCN2C(=O)N(C3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C(=O)[C@H]12 ZINC000431218408 1071036954 /nfs/dbraw/zinc/03/69/54/1071036954.db2.gz LBXWRMOTUHMILK-GJZGRUSLSA-N 0 0 439.494 -0.211 20 0 IBADRN COC(=O)[C@@H]1CCCN2C(=O)N(C3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C(=O)[C@@H]12 ZINC000431218409 1071036999 /nfs/dbraw/zinc/03/69/99/1071036999.db2.gz LBXWRMOTUHMILK-HUUCEWRRSA-N 0 0 439.494 -0.211 20 0 IBADRN COC(=O)[C@H]1CCCN2C(=O)N(C3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C(=O)[C@@H]12 ZINC000431218410 1071036875 /nfs/dbraw/zinc/03/68/75/1071036875.db2.gz LBXWRMOTUHMILK-LSDHHAIUSA-N 0 0 439.494 -0.211 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1)NCc1ccccc1 ZINC000431220996 1071037065 /nfs/dbraw/zinc/03/70/65/1071037065.db2.gz INKIYBMOWQGWPR-GOSISDBHSA-N 0 0 441.532 -0.236 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1)NCc1ccccc1 ZINC000431220997 1071036929 /nfs/dbraw/zinc/03/69/29/1071036929.db2.gz INKIYBMOWQGWPR-SFHVURJKSA-N 0 0 441.532 -0.236 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)NC34CCC4)CC2)C1 ZINC000431221817 1071037488 /nfs/dbraw/zinc/03/74/88/1071037488.db2.gz KOHNKHJSKGIVBX-FGTMMUONSA-N 0 0 447.580 -0.047 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCC(=O)NC34CCC4)CC2)C1 ZINC000431221818 1071037543 /nfs/dbraw/zinc/03/75/43/1071037543.db2.gz KOHNKHJSKGIVBX-KSZLIROESA-N 0 0 447.580 -0.047 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCC(=O)NC34CCC4)CC2)C1 ZINC000431221819 1071037504 /nfs/dbraw/zinc/03/75/04/1071037504.db2.gz KOHNKHJSKGIVBX-KURKYZTESA-N 0 0 447.580 -0.047 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)NC34CCC4)CC2)C1 ZINC000431221820 1071037480 /nfs/dbraw/zinc/03/74/80/1071037480.db2.gz KOHNKHJSKGIVBX-KZNAEPCWSA-N 0 0 447.580 -0.047 20 0 IBADRN Cn1c(CO)nnc1SCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000431221944 1071037394 /nfs/dbraw/zinc/03/73/94/1071037394.db2.gz VJDNKEJKSPXTEV-HNNXBMFYSA-N 0 0 433.490 -0.090 20 0 IBADRN Cn1c(CO)nnc1SCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000431221945 1071037436 /nfs/dbraw/zinc/03/74/36/1071037436.db2.gz VJDNKEJKSPXTEV-OAHLLOKOSA-N 0 0 433.490 -0.090 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1 ZINC000431227337 1071037570 /nfs/dbraw/zinc/03/75/70/1071037570.db2.gz XIXWVXKRKYXZPX-AWEZNQCLSA-N 0 0 426.481 -0.671 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1 ZINC000431227338 1071037516 /nfs/dbraw/zinc/03/75/16/1071037516.db2.gz XIXWVXKRKYXZPX-CQSZACIVSA-N 0 0 426.481 -0.671 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)Cn1ccc(=O)n(C)c1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000431228160 1071037444 /nfs/dbraw/zinc/03/74/44/1071037444.db2.gz FIORVLWRFAQGSS-IBGZPJMESA-N 0 0 437.474 -0.455 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)Cn1ccc(=O)n(C)c1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000431228161 1071037608 /nfs/dbraw/zinc/03/76/08/1071037608.db2.gz FIORVLWRFAQGSS-LJQANCHMSA-N 0 0 437.474 -0.455 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC1)N1CCCCCC1 ZINC000431228839 1071038149 /nfs/dbraw/zinc/03/81/49/1071038149.db2.gz AYJIYJHDCRPINP-KRWDZBQOSA-N 0 0 433.553 -0.149 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC1)N1CCCCCC1 ZINC000431228840 1071038016 /nfs/dbraw/zinc/03/80/16/1071038016.db2.gz AYJIYJHDCRPINP-QGZVFWFLSA-N 0 0 433.553 -0.149 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)CN1CCS(=O)(=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000431237120 1071038023 /nfs/dbraw/zinc/03/80/23/1071038023.db2.gz KTPXZAICZIEGRM-GOSISDBHSA-N 0 0 446.547 -0.285 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)CN1CCS(=O)(=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000431237121 1071038156 /nfs/dbraw/zinc/03/81/56/1071038156.db2.gz KTPXZAICZIEGRM-SFHVURJKSA-N 0 0 446.547 -0.285 20 0 IBADRN COC(=O)[C@@H]1CCCN2C(=O)N(C3CCN(S(=O)(=O)N4CCOCC4)CC3)C(=O)[C@H]12 ZINC000431237136 1071038129 /nfs/dbraw/zinc/03/81/29/1071038129.db2.gz NHTRKNOAHYIXHH-CABCVRRESA-N 0 0 444.510 -0.756 20 0 IBADRN COC(=O)[C@H]1CCCN2C(=O)N(C3CCN(S(=O)(=O)N4CCOCC4)CC3)C(=O)[C@H]12 ZINC000431237137 1071038067 /nfs/dbraw/zinc/03/80/67/1071038067.db2.gz NHTRKNOAHYIXHH-GJZGRUSLSA-N 0 0 444.510 -0.756 20 0 IBADRN COC(=O)[C@@H]1CCCN2C(=O)N(C3CCN(S(=O)(=O)N4CCOCC4)CC3)C(=O)[C@@H]12 ZINC000431237138 1071037964 /nfs/dbraw/zinc/03/79/64/1071037964.db2.gz NHTRKNOAHYIXHH-HUUCEWRRSA-N 0 0 444.510 -0.756 20 0 IBADRN COC(=O)[C@H]1CCCN2C(=O)N(C3CCN(S(=O)(=O)N4CCOCC4)CC3)C(=O)[C@@H]12 ZINC000431237139 1071038136 /nfs/dbraw/zinc/03/81/36/1071038136.db2.gz NHTRKNOAHYIXHH-LSDHHAIUSA-N 0 0 444.510 -0.756 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)CN1C(=O)C(=O)N(C)C1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000431237342 1071038051 /nfs/dbraw/zinc/03/80/51/1071038051.db2.gz KYRJHKNNKWJEBW-GOSISDBHSA-N 0 0 439.446 -0.595 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)CN1C(=O)C(=O)N(C)C1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000431237343 1071038037 /nfs/dbraw/zinc/03/80/37/1071038037.db2.gz KYRJHKNNKWJEBW-SFHVURJKSA-N 0 0 439.446 -0.595 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)C1 ZINC000431240803 1071037595 /nfs/dbraw/zinc/03/75/95/1071037595.db2.gz ZFYZTILGQJGZEM-CABCVRRESA-N 0 0 444.554 -0.797 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)C1 ZINC000431240804 1071037533 /nfs/dbraw/zinc/03/75/33/1071037533.db2.gz ZFYZTILGQJGZEM-GJZGRUSLSA-N 0 0 444.554 -0.797 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)C1 ZINC000431240805 1071037456 /nfs/dbraw/zinc/03/74/56/1071037456.db2.gz ZFYZTILGQJGZEM-HUUCEWRRSA-N 0 0 444.554 -0.797 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)C1 ZINC000431240806 1071038078 /nfs/dbraw/zinc/03/80/78/1071038078.db2.gz ZFYZTILGQJGZEM-LSDHHAIUSA-N 0 0 444.554 -0.797 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CSc3nnc(CO)n3C)CC2)cc1 ZINC000431250360 1071038486 /nfs/dbraw/zinc/03/84/86/1071038486.db2.gz NNAFIOPOAPNSKH-UHFFFAOYSA-N 0 0 441.535 -0.059 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)cc2)CC1 ZINC000431252871 1071038677 /nfs/dbraw/zinc/03/86/77/1071038677.db2.gz OTMZMXKBTZAKOI-UHFFFAOYSA-N 0 0 439.538 -0.874 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCNc3cnccn3)cc2)CC1 ZINC000431257805 1073336862 /nfs/dbraw/zinc/33/68/62/1073336862.db2.gz VDYJSZYPMAGQHK-UHFFFAOYSA-N 0 0 439.520 0.350 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](O)[C@H]3CCOC3)cc2)CC1 ZINC000431261210 1071042333 /nfs/dbraw/zinc/04/23/33/1071042333.db2.gz BIGXOEYMDKSLQR-HKUYNNGSSA-N 0 0 432.521 -0.155 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](O)[C@@H]3CCOC3)cc2)CC1 ZINC000431261211 1071042387 /nfs/dbraw/zinc/04/23/87/1071042387.db2.gz BIGXOEYMDKSLQR-IEBWSBKVSA-N 0 0 432.521 -0.155 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](O)[C@@H]3CCOC3)cc2)CC1 ZINC000431261213 1071042454 /nfs/dbraw/zinc/04/24/54/1071042454.db2.gz BIGXOEYMDKSLQR-MJGOQNOKSA-N 0 0 432.521 -0.155 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](O)[C@H]3CCOC3)cc2)CC1 ZINC000431261215 1071042426 /nfs/dbraw/zinc/04/24/26/1071042426.db2.gz BIGXOEYMDKSLQR-PKOBYXMFSA-N 0 0 432.521 -0.155 20 0 IBADRN Cc1cncc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000431263717 1071042355 /nfs/dbraw/zinc/04/23/55/1071042355.db2.gz MCOXEMVXTPGICT-UHFFFAOYSA-N 0 0 432.506 -0.008 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000431267368 1071049361 /nfs/dbraw/zinc/04/93/61/1071049361.db2.gz WHPKPXHSEVWNIF-UHFFFAOYSA-N 0 0 431.537 -0.220 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCO[C@@H](C(=O)OC)C3)cc2)CC1 ZINC000431267467 1071049762 /nfs/dbraw/zinc/04/97/62/1071049762.db2.gz XADLATKYCOQFGP-GOSISDBHSA-N 0 0 446.504 -0.268 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCO[C@H](C(=O)OC)C3)cc2)CC1 ZINC000431267470 1071049820 /nfs/dbraw/zinc/04/98/20/1071049820.db2.gz XADLATKYCOQFGP-SFHVURJKSA-N 0 0 446.504 -0.268 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000431271623 1071051321 /nfs/dbraw/zinc/05/13/21/1071051321.db2.gz PYVKGPCLDLEKJB-HNNXBMFYSA-N 0 0 427.531 -0.230 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000431271624 1071051197 /nfs/dbraw/zinc/05/11/97/1071051197.db2.gz PYVKGPCLDLEKJB-OAHLLOKOSA-N 0 0 427.531 -0.230 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCS(=O)(=O)CC3)cc2)CC1 ZINC000431274050 1071051904 /nfs/dbraw/zinc/05/19/04/1071051904.db2.gz FIGASZRJLQAJMJ-UHFFFAOYSA-N 0 0 436.534 -0.411 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc2)CC1 ZINC000431276625 1071052215 /nfs/dbraw/zinc/05/22/15/1071052215.db2.gz WOLPONQPZRVQSJ-HNNXBMFYSA-N 0 0 438.550 -0.119 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc2)CC1 ZINC000431276626 1071051824 /nfs/dbraw/zinc/05/18/24/1071051824.db2.gz WOLPONQPZRVQSJ-OAHLLOKOSA-N 0 0 438.550 -0.119 20 0 IBADRN Cc1cncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1 ZINC000431276847 1071052641 /nfs/dbraw/zinc/05/26/41/1071052641.db2.gz XQTIRZDXHBNDMH-GASCZTMLSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1cncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1 ZINC000431276848 1071052665 /nfs/dbraw/zinc/05/26/65/1071052665.db2.gz XQTIRZDXHBNDMH-GJZGRUSLSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1cncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1 ZINC000431276849 1071052682 /nfs/dbraw/zinc/05/26/82/1071052682.db2.gz XQTIRZDXHBNDMH-HUUCEWRRSA-N 0 0 425.511 -0.173 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC(=O)NCCOC)cc2)CC1 ZINC000431276989 1071052689 /nfs/dbraw/zinc/05/26/89/1071052689.db2.gz XVIQFDBOYPYXFC-UHFFFAOYSA-N 0 0 433.509 -0.790 20 0 IBADRN COCCCOc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000431280647 1071052518 /nfs/dbraw/zinc/05/25/18/1071052518.db2.gz JYPKXYNYVQGYAM-UHFFFAOYSA-N 0 0 432.477 -0.010 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000431286037 1071053547 /nfs/dbraw/zinc/05/35/47/1071053547.db2.gz WCZWCBRFWRKVKA-KRWDZBQOSA-N 0 0 436.534 -0.365 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000431286038 1071054315 /nfs/dbraw/zinc/05/43/15/1071054315.db2.gz WCZWCBRFWRKVKA-QGZVFWFLSA-N 0 0 436.534 -0.365 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCNC(=O)C3CC3)cc2)CC1 ZINC000431286270 1071053608 /nfs/dbraw/zinc/05/36/08/1071053608.db2.gz XOFLINVDJCFLAR-UHFFFAOYSA-N 0 0 429.521 -0.026 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000431291377 1071054662 /nfs/dbraw/zinc/05/46/62/1071054662.db2.gz QMURMGMYOJEEOR-UHFFFAOYSA-N 0 0 428.511 -0.008 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCN3C(=O)CCC3=O)cc2)CC1 ZINC000431291443 1071054769 /nfs/dbraw/zinc/05/47/69/1071054769.db2.gz RFTONIPFSFJIER-UHFFFAOYSA-N 0 0 443.504 -0.403 20 0 IBADRN CC[C@H](NC(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000431292360 1071055232 /nfs/dbraw/zinc/05/52/32/1071055232.db2.gz BJRWUDFNRHCXOX-INIZCTEOSA-N 0 0 428.511 -0.008 20 0 IBADRN CC[C@@H](NC(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000431292361 1071055151 /nfs/dbraw/zinc/05/51/51/1071055151.db2.gz BJRWUDFNRHCXOX-MRXNPFEDSA-N 0 0 428.511 -0.008 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCn4cnnc4C3)cc2)CC1 ZINC000431301453 1071057977 /nfs/dbraw/zinc/05/79/77/1071057977.db2.gz VNCLVDKPOZXRNZ-UHFFFAOYSA-N 0 0 425.493 -0.034 20 0 IBADRN COc1cc2c(c(OC)c1OC)CCN(S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC2 ZINC000431301664 1071058056 /nfs/dbraw/zinc/05/80/56/1071058056.db2.gz LCDQLPUTLCSJAK-UHFFFAOYSA-N 0 0 439.490 -0.101 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000431302903 1071058103 /nfs/dbraw/zinc/05/81/03/1071058103.db2.gz SSPYYNRDRZZCTM-CYBMUJFWSA-N 0 0 431.544 -0.801 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000431302904 1071058035 /nfs/dbraw/zinc/05/80/35/1071058035.db2.gz SSPYYNRDRZZCTM-ZDUSSCGKSA-N 0 0 431.544 -0.801 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000431303733 1071058508 /nfs/dbraw/zinc/05/85/08/1071058508.db2.gz ANGYGQPNDGXKRC-UHFFFAOYSA-N 0 0 441.553 -0.993 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(C(N)=O)c2)CC1 ZINC000431304190 1071058704 /nfs/dbraw/zinc/05/87/04/1071058704.db2.gz DTMNWFGCVVVZJO-UHFFFAOYSA-N 0 0 435.524 -0.533 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000431304283 1071058008 /nfs/dbraw/zinc/05/80/08/1071058008.db2.gz FSIXIGLOMHTVQW-AWEZNQCLSA-N 0 0 427.545 -0.757 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000431304284 1071057967 /nfs/dbraw/zinc/05/79/67/1071057967.db2.gz FSIXIGLOMHTVQW-CQSZACIVSA-N 0 0 427.545 -0.757 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000431304291 1071058497 /nfs/dbraw/zinc/05/84/97/1071058497.db2.gz FUCIDQPNTUEZOB-UHFFFAOYSA-N 0 0 446.551 -0.450 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)NCC3)CC1 ZINC000431304295 1071058583 /nfs/dbraw/zinc/05/85/83/1071058583.db2.gz FUYCTQTWQHSWQC-UHFFFAOYSA-N 0 0 431.536 -0.355 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000431304579 1071057950 /nfs/dbraw/zinc/05/79/50/1071057950.db2.gz HLRSCNLNUYRZPT-UHFFFAOYSA-N 0 0 430.512 -0.665 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000431304940 1071058658 /nfs/dbraw/zinc/05/86/58/1071058658.db2.gz KIHVFRGCPWASMO-UHFFFAOYSA-N 0 0 430.512 -0.665 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1 ZINC000431304946 1071058672 /nfs/dbraw/zinc/05/86/72/1071058672.db2.gz KLNLGVMZNFVVAI-UHFFFAOYSA-N 0 0 448.567 -0.077 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(-c3nnnn3C)c2)CC1 ZINC000431306050 1071058460 /nfs/dbraw/zinc/05/84/60/1071058460.db2.gz OQYFBZBDCWYAAL-UHFFFAOYSA-N 0 0 444.539 -0.450 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2csnc2C(=O)OC)CC1 ZINC000431306686 1071059257 /nfs/dbraw/zinc/05/92/57/1071059257.db2.gz PXGCIMKESZCEDE-UHFFFAOYSA-N 0 0 427.526 -0.398 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cnc3c(c2)c(C)nn3C)CC1 ZINC000431307796 1071059374 /nfs/dbraw/zinc/05/93/74/1071059374.db2.gz SSAJOYBJGSAVEF-UHFFFAOYSA-N 0 0 431.540 -0.051 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000431307846 1071059012 /nfs/dbraw/zinc/05/90/12/1071059012.db2.gz TWCQFXMOHBMLOZ-UHFFFAOYSA-N 0 0 440.565 -0.237 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(CNC(C)=O)cc2)CC1 ZINC000431308215 1071059034 /nfs/dbraw/zinc/05/90/34/1071059034.db2.gz UNJMPHSUQRFXQS-UHFFFAOYSA-N 0 0 433.552 -0.005 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)nn1 ZINC000431309442 1071059059 /nfs/dbraw/zinc/05/90/59/1071059059.db2.gz OVGBOMOFPBRDOA-UHFFFAOYSA-N 0 0 426.455 -0.568 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000431309599 1071059294 /nfs/dbraw/zinc/05/92/94/1071059294.db2.gz ZINGFZBYBXNZCT-UHFFFAOYSA-N 0 0 440.565 -0.237 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000431309648 1071059145 /nfs/dbraw/zinc/05/91/45/1071059145.db2.gz ZUGNUDCXWJEPQJ-UHFFFAOYSA-N 0 0 445.519 -0.415 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000431311845 1071059824 /nfs/dbraw/zinc/05/98/24/1071059824.db2.gz GXAIQDIUIAHOFJ-UHFFFAOYSA-N 0 0 427.545 -0.900 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(C)(=O)=O)CC1 ZINC000431313753 1071059970 /nfs/dbraw/zinc/05/99/70/1071059970.db2.gz NIJQZFOAPJMCBS-UHFFFAOYSA-N 0 0 440.565 -0.237 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)cn1 ZINC000431314478 1071059810 /nfs/dbraw/zinc/05/98/10/1071059810.db2.gz PDLBTGQFOIUWIN-CYBMUJFWSA-N 0 0 434.482 -0.311 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)cn1 ZINC000431314480 1071060807 /nfs/dbraw/zinc/06/08/07/1071060807.db2.gz PDLBTGQFOIUWIN-ZDUSSCGKSA-N 0 0 434.482 -0.311 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1 ZINC000431316036 1071060554 /nfs/dbraw/zinc/06/05/54/1071060554.db2.gz VGHGSRMYZIUGCX-UHFFFAOYSA-N 0 0 446.507 -0.286 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)oc(=O)n3C)CC1 ZINC000431316510 1071060529 /nfs/dbraw/zinc/06/05/29/1071060529.db2.gz WTVNLGKELUMMDF-UHFFFAOYSA-N 0 0 433.508 -0.196 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000431317688 1071060491 /nfs/dbraw/zinc/06/04/91/1071060491.db2.gz ZETDCDZNIGJMNV-UHFFFAOYSA-N 0 0 441.572 -0.510 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000431321772 1071061169 /nfs/dbraw/zinc/06/11/69/1071061169.db2.gz FWXPJJNVIJKWEX-UHFFFAOYSA-N 0 0 449.552 -0.072 20 0 IBADRN CN(CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)c1ncnc2nc[nH]c21 ZINC000431331495 1071062886 /nfs/dbraw/zinc/06/28/86/1071062886.db2.gz IOIXTNKXFKHORJ-UHFFFAOYSA-N 0 0 444.540 -0.134 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)C1=O ZINC000431332290 1071062803 /nfs/dbraw/zinc/06/28/03/1071062803.db2.gz LHTHPUHLPKXMNI-JOCHJYFZSA-N 0 0 449.552 -0.216 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)C1=O ZINC000431332293 1071062994 /nfs/dbraw/zinc/06/29/94/1071062994.db2.gz LHTHPUHLPKXMNI-QFIPXVFZSA-N 0 0 449.552 -0.216 20 0 IBADRN Cn1nnnc1SCCC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000431334301 1071063507 /nfs/dbraw/zinc/06/35/07/1071063507.db2.gz XOMHBYAIWVTYAL-UHFFFAOYSA-N 0 0 425.559 -0.231 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)n1cccn1 ZINC000431337030 1071064791 /nfs/dbraw/zinc/06/47/91/1071064791.db2.gz HHWRBIYOBHOWDX-GOSISDBHSA-N 0 0 448.568 -0.020 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)n1cccn1 ZINC000431337031 1071065127 /nfs/dbraw/zinc/06/51/27/1071065127.db2.gz HHWRBIYOBHOWDX-SFHVURJKSA-N 0 0 448.568 -0.020 20 0 IBADRN COCC(=O)N[C@H](C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)C(C)C ZINC000431337879 1071065183 /nfs/dbraw/zinc/06/51/83/1071065183.db2.gz PSFPSHUHICAVEA-IBGZPJMESA-N 0 0 426.558 -0.149 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000431339105 1071065536 /nfs/dbraw/zinc/06/55/36/1071065536.db2.gz WTMJMJVOXWEPRC-UHFFFAOYSA-N 0 0 425.574 -0.480 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(C(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)CC1 ZINC000431343676 1072864689 /nfs/dbraw/zinc/86/46/89/1072864689.db2.gz HJNVMXCBNQADHL-KRWDZBQOSA-N 0 0 432.525 -0.160 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(C(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)CC1 ZINC000431343677 1072864496 /nfs/dbraw/zinc/86/44/96/1072864496.db2.gz HJNVMXCBNQADHL-QGZVFWFLSA-N 0 0 432.525 -0.160 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000431344997 1071066668 /nfs/dbraw/zinc/06/66/68/1071066668.db2.gz PZIIKVATAIEZEG-UHFFFAOYSA-N 0 0 432.587 -0.265 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431345846 1071067187 /nfs/dbraw/zinc/06/71/87/1071067187.db2.gz AQUKFDHCBADTEY-JXFKEZNVSA-N 0 0 432.525 -0.749 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431345847 1071067450 /nfs/dbraw/zinc/06/74/50/1071067450.db2.gz AQUKFDHCBADTEY-OXJNMPFZSA-N 0 0 432.525 -0.749 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431345848 1071067365 /nfs/dbraw/zinc/06/73/65/1071067365.db2.gz AQUKFDHCBADTEY-OXQOHEQNSA-N 0 0 432.525 -0.749 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431345849 1071067215 /nfs/dbraw/zinc/06/72/15/1071067215.db2.gz AQUKFDHCBADTEY-UZLBHIALSA-N 0 0 432.525 -0.749 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@@H]4C3)cc2)CC1 ZINC000431346457 1071067244 /nfs/dbraw/zinc/06/72/44/1071067244.db2.gz DEMFDEXCKUPXIM-GOSISDBHSA-N 0 0 442.520 -0.432 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@H]4C3)cc2)CC1 ZINC000431346458 1071067377 /nfs/dbraw/zinc/06/73/77/1071067377.db2.gz DEMFDEXCKUPXIM-SFHVURJKSA-N 0 0 442.520 -0.432 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cnn(C)c1C ZINC000431347347 1071067438 /nfs/dbraw/zinc/06/74/38/1071067438.db2.gz FNFCTEADNKYFDN-GLJUWKHASA-N 0 0 439.538 -0.600 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CC(=O)N(C)[C@H]1c1cnn(C)c1C ZINC000431347348 1071067173 /nfs/dbraw/zinc/06/71/73/1071067173.db2.gz FNFCTEADNKYFDN-HBUWYVDXSA-N 0 0 439.538 -0.600 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1cnn(C)c1C ZINC000431347349 1071067411 /nfs/dbraw/zinc/06/74/11/1071067411.db2.gz FNFCTEADNKYFDN-LBTNJELSSA-N 0 0 439.538 -0.600 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CC(=O)N(C)[C@H]1c1cnn(C)c1C ZINC000431347350 1071067136 /nfs/dbraw/zinc/06/71/36/1071067136.db2.gz FNFCTEADNKYFDN-QWQRMKEZSA-N 0 0 439.538 -0.600 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000431348517 1071067989 /nfs/dbraw/zinc/06/79/89/1071067989.db2.gz JDFRWPFXKPSCJL-DOMZBBRYSA-N 0 0 431.449 -0.458 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000431348518 1071067733 /nfs/dbraw/zinc/06/77/33/1071067733.db2.gz JDFRWPFXKPSCJL-IUODEOHRSA-N 0 0 431.449 -0.458 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000431348519 1071067874 /nfs/dbraw/zinc/06/78/74/1071067874.db2.gz JDFRWPFXKPSCJL-SWLSCSKDSA-N 0 0 431.449 -0.458 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000431348520 1071067751 /nfs/dbraw/zinc/06/77/51/1071067751.db2.gz JDFRWPFXKPSCJL-WFASDCNBSA-N 0 0 431.449 -0.458 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)ccc1Cl ZINC000431348754 1071068238 /nfs/dbraw/zinc/06/82/38/1071068238.db2.gz GFUNNJJPDYOANC-LLVKDONJSA-N 0 0 429.886 -0.235 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)ccc1Cl ZINC000431348755 1071068464 /nfs/dbraw/zinc/06/84/64/1071068464.db2.gz GFUNNJJPDYOANC-NSHDSACASA-N 0 0 429.886 -0.235 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000431352414 1071069699 /nfs/dbraw/zinc/06/96/99/1071069699.db2.gz CLDSYJHENDWJCP-CYBMUJFWSA-N 0 0 437.478 -0.690 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000431352639 1071068773 /nfs/dbraw/zinc/06/87/73/1071068773.db2.gz CLDSYJHENDWJCP-ZDUSSCGKSA-N 0 0 437.478 -0.690 20 0 IBADRN O=C(Nc1ncn(Cc2cccc(Br)c2)n1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431352828 1071069747 /nfs/dbraw/zinc/06/97/47/1071069747.db2.gz DCVJDCLMCVZORC-CYBMUJFWSA-N 0 0 448.281 0.263 20 0 IBADRN O=C(Nc1ncn(Cc2cccc(Br)c2)n1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431352832 1071069594 /nfs/dbraw/zinc/06/95/94/1071069594.db2.gz DCVJDCLMCVZORC-ZDUSSCGKSA-N 0 0 448.281 0.263 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431353332 1071069223 /nfs/dbraw/zinc/06/92/23/1071069223.db2.gz DTDCVAHUXVPBSN-GFCCVEGCSA-N 0 0 439.494 -0.490 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431353336 1071069288 /nfs/dbraw/zinc/06/92/88/1071069288.db2.gz DTDCVAHUXVPBSN-LBPRGKRZSA-N 0 0 439.494 -0.490 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC(=O)N2C)cnn1C ZINC000431356535 1071070177 /nfs/dbraw/zinc/07/01/77/1071070177.db2.gz BYCQKHMKBUJATG-BLLLJJGKSA-N 0 0 425.449 -0.168 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC(=O)N2C)cnn1C ZINC000431356536 1071070396 /nfs/dbraw/zinc/07/03/96/1071070396.db2.gz BYCQKHMKBUJATG-LRDDRELGSA-N 0 0 425.449 -0.168 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC(=O)N2C)cnn1C ZINC000431356537 1071070140 /nfs/dbraw/zinc/07/01/40/1071070140.db2.gz BYCQKHMKBUJATG-MLGOLLRUSA-N 0 0 425.449 -0.168 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC(=O)N2C)cnn1C ZINC000431356538 1071070124 /nfs/dbraw/zinc/07/01/24/1071070124.db2.gz BYCQKHMKBUJATG-WBMJQRKESA-N 0 0 425.449 -0.168 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1F ZINC000431356731 1071070464 /nfs/dbraw/zinc/07/04/64/1071070464.db2.gz CUEUBDPOROUVOU-AAEUAGOBSA-N 0 0 449.439 -0.318 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1F ZINC000431356732 1071070424 /nfs/dbraw/zinc/07/04/24/1071070424.db2.gz CUEUBDPOROUVOU-DGCLKSJQSA-N 0 0 449.439 -0.318 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1F ZINC000431356733 1071070159 /nfs/dbraw/zinc/07/01/59/1071070159.db2.gz CUEUBDPOROUVOU-WCQYABFASA-N 0 0 449.439 -0.318 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1F ZINC000431356734 1071070410 /nfs/dbraw/zinc/07/04/10/1071070410.db2.gz CUEUBDPOROUVOU-YPMHNXCESA-N 0 0 449.439 -0.318 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1)N1CCSCC1 ZINC000431356960 1071070695 /nfs/dbraw/zinc/07/06/95/1071070695.db2.gz NUQQBCQDNPVSDF-INIZCTEOSA-N 0 0 431.518 -0.021 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1)N1CCSCC1 ZINC000431356963 1071071651 /nfs/dbraw/zinc/07/16/51/1071071651.db2.gz NUQQBCQDNPVSDF-MRXNPFEDSA-N 0 0 431.518 -0.021 20 0 IBADRN O=C(Nc1ccc(F)c(N2CCCS2(=O)=O)c1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431359710 1071071701 /nfs/dbraw/zinc/07/17/01/1071071701.db2.gz TUQOQBQQNQSMCC-GFCCVEGCSA-N 0 0 425.442 -0.460 20 0 IBADRN O=C(Nc1ccc(F)c(N2CCCS2(=O)=O)c1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431359711 1071071666 /nfs/dbraw/zinc/07/16/66/1071071666.db2.gz TUQOQBQQNQSMCC-LBPRGKRZSA-N 0 0 425.442 -0.460 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431361561 1071071686 /nfs/dbraw/zinc/07/16/86/1071071686.db2.gz JJTZKYUMGBQJDU-GFCCVEGCSA-N 0 0 425.442 -0.460 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431361562 1071072257 /nfs/dbraw/zinc/07/22/57/1071072257.db2.gz JJTZKYUMGBQJDU-LBPRGKRZSA-N 0 0 425.442 -0.460 20 0 IBADRN COCCCOc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000431363644 1071071915 /nfs/dbraw/zinc/07/19/15/1071071915.db2.gz LJRLSLDDNUEYPM-UHFFFAOYSA-N 0 0 428.511 -0.527 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431364951 1071073510 /nfs/dbraw/zinc/07/35/10/1071073510.db2.gz QSTZQTZQFBZJGG-HNNXBMFYSA-N 0 0 449.533 -0.158 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431364952 1071073663 /nfs/dbraw/zinc/07/36/63/1071073663.db2.gz QSTZQTZQFBZJGG-OAHLLOKOSA-N 0 0 449.533 -0.158 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@H]4C3)cc2)CC1 ZINC000431368017 1071074705 /nfs/dbraw/zinc/07/47/05/1071074705.db2.gz JCUCGNNSBMJHKU-KRWDZBQOSA-N 0 0 428.493 -0.822 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@@H]4C3)cc2)CC1 ZINC000431368021 1071074546 /nfs/dbraw/zinc/07/45/46/1071074546.db2.gz JCUCGNNSBMJHKU-QGZVFWFLSA-N 0 0 428.493 -0.822 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431368603 1071074691 /nfs/dbraw/zinc/07/46/91/1071074691.db2.gz IERGPBFWYBUWIJ-GFCCVEGCSA-N 0 0 438.510 -0.823 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431368605 1071074583 /nfs/dbraw/zinc/07/45/83/1071074583.db2.gz IERGPBFWYBUWIJ-LBPRGKRZSA-N 0 0 438.510 -0.823 20 0 IBADRN O=C(Nc1cccc(Cn2nc3ccccn3c2=O)c1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431370074 1071075162 /nfs/dbraw/zinc/07/51/62/1071075162.db2.gz OQJWJSJQAIDSQB-INIZCTEOSA-N 0 0 435.444 -0.281 20 0 IBADRN O=C(Nc1cccc(Cn2nc3ccccn3c2=O)c1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431370076 1071075242 /nfs/dbraw/zinc/07/52/42/1071075242.db2.gz OQJWJSJQAIDSQB-MRXNPFEDSA-N 0 0 435.444 -0.281 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1S(=O)(=O)N(C)C ZINC000431370305 1071075278 /nfs/dbraw/zinc/07/52/78/1071075278.db2.gz QIQNHRQHSKRYLN-CYBMUJFWSA-N 0 0 439.494 -0.490 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1S(=O)(=O)N(C)C ZINC000431370309 1071075356 /nfs/dbraw/zinc/07/53/56/1071075356.db2.gz QIQNHRQHSKRYLN-ZDUSSCGKSA-N 0 0 439.494 -0.490 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1OCC(=O)NC1CC1 ZINC000431370420 1071075490 /nfs/dbraw/zinc/07/54/90/1071075490.db2.gz QOVHVBPJBYCXFY-AWEZNQCLSA-N 0 0 431.449 -0.473 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1OCC(=O)NC1CC1 ZINC000431370424 1071075226 /nfs/dbraw/zinc/07/52/26/1071075226.db2.gz QOVHVBPJBYCXFY-CQSZACIVSA-N 0 0 431.449 -0.473 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1)N1CCOCC1 ZINC000431370872 1071075339 /nfs/dbraw/zinc/07/53/39/1071075339.db2.gz SPGAEYZHOXDIGE-AWEZNQCLSA-N 0 0 431.449 -0.901 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1)N1CCOCC1 ZINC000431370873 1071076305 /nfs/dbraw/zinc/07/63/05/1071076305.db2.gz SPGAEYZHOXDIGE-CQSZACIVSA-N 0 0 431.449 -0.901 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431372026 1071076256 /nfs/dbraw/zinc/07/62/56/1071076256.db2.gz VMAYAEXEAOEPRG-GFCCVEGCSA-N 0 0 437.478 -0.690 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431372029 1071075796 /nfs/dbraw/zinc/07/57/96/1071075796.db2.gz VMAYAEXEAOEPRG-LBPRGKRZSA-N 0 0 437.478 -0.690 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N(C)Cc2ccccc2S(N)(=O)=O)C1 ZINC000431373393 1071076756 /nfs/dbraw/zinc/07/67/56/1071076756.db2.gz CGKKGDQLAOUDIY-CQSZACIVSA-N 0 0 433.556 -0.254 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431376870 1071079774 /nfs/dbraw/zinc/07/97/74/1071079774.db2.gz NPHSNMCBAUXTPR-GFCCVEGCSA-N 0 0 425.442 -0.559 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431376871 1071079551 /nfs/dbraw/zinc/07/95/51/1071079551.db2.gz NPHSNMCBAUXTPR-LBPRGKRZSA-N 0 0 425.442 -0.559 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431377163 1071079224 /nfs/dbraw/zinc/07/92/24/1071079224.db2.gz OKYQOTODLVTTSL-CABCVRRESA-N 0 0 436.490 -0.186 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431377164 1071079206 /nfs/dbraw/zinc/07/92/06/1071079206.db2.gz OKYQOTODLVTTSL-GJZGRUSLSA-N 0 0 436.490 -0.186 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431377165 1071078954 /nfs/dbraw/zinc/07/89/54/1071078954.db2.gz OKYQOTODLVTTSL-HUUCEWRRSA-N 0 0 436.490 -0.186 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431377166 1071078734 /nfs/dbraw/zinc/07/87/34/1071078734.db2.gz OKYQOTODLVTTSL-LSDHHAIUSA-N 0 0 436.490 -0.186 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000431377846 1071079261 /nfs/dbraw/zinc/07/92/61/1071079261.db2.gz ZPETXXGIXGTDOG-AWEZNQCLSA-N 0 0 435.506 -0.081 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000431377847 1071079155 /nfs/dbraw/zinc/07/91/55/1071079155.db2.gz ZPETXXGIXGTDOG-CQSZACIVSA-N 0 0 435.506 -0.081 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1OC ZINC000431378985 1071081100 /nfs/dbraw/zinc/08/11/00/1071081100.db2.gz VVPDPROVKAOXGK-GFCCVEGCSA-N 0 0 425.467 -0.369 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1OC ZINC000431378987 1071080749 /nfs/dbraw/zinc/08/07/49/1071080749.db2.gz VVPDPROVKAOXGK-LBPRGKRZSA-N 0 0 425.467 -0.369 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431380319 1071081089 /nfs/dbraw/zinc/08/10/89/1071081089.db2.gz VVAJNWUWISVYOT-HNNXBMFYSA-N 0 0 444.492 -0.743 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431380320 1071080860 /nfs/dbraw/zinc/08/08/60/1071080860.db2.gz VVAJNWUWISVYOT-OAHLLOKOSA-N 0 0 444.492 -0.743 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431384424 1071084127 /nfs/dbraw/zinc/08/41/27/1071084127.db2.gz INDSCAVMRRIRSO-CYBMUJFWSA-N 0 0 435.868 -0.013 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431384426 1071084190 /nfs/dbraw/zinc/08/41/90/1071084190.db2.gz INDSCAVMRRIRSO-ZDUSSCGKSA-N 0 0 435.868 -0.013 20 0 IBADRN COCCCOc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000431385885 1071085041 /nfs/dbraw/zinc/08/50/41/1071085041.db2.gz DKANTJXIWFINHJ-UHFFFAOYSA-N 0 0 431.453 -0.602 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431387620 1071085148 /nfs/dbraw/zinc/08/51/48/1071085148.db2.gz SAGCJUXSBOWEQH-AWEZNQCLSA-N 0 0 431.449 -0.658 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431387621 1071085081 /nfs/dbraw/zinc/08/50/81/1071085081.db2.gz SAGCJUXSBOWEQH-CQSZACIVSA-N 0 0 431.449 -0.658 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000431388194 1071085782 /nfs/dbraw/zinc/08/57/82/1071085782.db2.gz CXCJZANETQENPW-GXTWGEPZSA-N 0 0 439.494 -0.826 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000431388195 1071085716 /nfs/dbraw/zinc/08/57/16/1071085716.db2.gz CXCJZANETQENPW-JSGCOSHPSA-N 0 0 439.494 -0.826 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000431388196 1071085631 /nfs/dbraw/zinc/08/56/31/1071085631.db2.gz CXCJZANETQENPW-OCCSQVGLSA-N 0 0 439.494 -0.826 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000431388197 1071085951 /nfs/dbraw/zinc/08/59/51/1071085951.db2.gz CXCJZANETQENPW-TZMCWYRMSA-N 0 0 439.494 -0.826 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431389385 1071086364 /nfs/dbraw/zinc/08/63/64/1071086364.db2.gz HDXSZNRKTORFLC-LLVKDONJSA-N 0 0 425.467 -0.880 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431389386 1071086538 /nfs/dbraw/zinc/08/65/38/1071086538.db2.gz HDXSZNRKTORFLC-NSHDSACASA-N 0 0 425.467 -0.880 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000431394655 1071087752 /nfs/dbraw/zinc/08/77/52/1071087752.db2.gz ZPOQXJQDCAOVOM-INIZCTEOSA-N 0 0 430.465 -0.868 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000431394657 1071087639 /nfs/dbraw/zinc/08/76/39/1071087639.db2.gz ZPOQXJQDCAOVOM-MRXNPFEDSA-N 0 0 430.465 -0.868 20 0 IBADRN CCOC(=O)c1cnc(NC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)n1C ZINC000431405703 1071090028 /nfs/dbraw/zinc/09/00/28/1071090028.db2.gz RHYKACXKMHHANB-UHFFFAOYSA-N 0 0 429.437 0.540 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000431430708 1071095655 /nfs/dbraw/zinc/09/56/55/1071095655.db2.gz CWSYHRXHQORWCM-CYBMUJFWSA-N 0 0 434.540 -0.222 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000431438658 1071096044 /nfs/dbraw/zinc/09/60/44/1071096044.db2.gz MYHMBHGCWOBQOB-UHFFFAOYSA-N 0 0 427.523 -0.136 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2ccc(OC)cc2OC)CC1 ZINC000431440685 1071096297 /nfs/dbraw/zinc/09/62/97/1071096297.db2.gz KWPAGDLJWKRTFK-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)nn1 ZINC000431443762 1071096988 /nfs/dbraw/zinc/09/69/88/1071096988.db2.gz HWSMNFCJKPQLER-UHFFFAOYSA-N 0 0 426.455 -0.681 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)CNS(=O)(=O)CCCOC)CC2)CC1 ZINC000431444231 1071096694 /nfs/dbraw/zinc/09/66/94/1071096694.db2.gz IFAGPKSCCMFTLS-UHFFFAOYSA-N 0 0 432.587 -0.265 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000431447089 1071097395 /nfs/dbraw/zinc/09/73/95/1071097395.db2.gz SYLRKMNDLKUESZ-CYBMUJFWSA-N 0 0 434.540 -0.364 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000431447090 1071097275 /nfs/dbraw/zinc/09/72/75/1071097275.db2.gz SYLRKMNDLKUESZ-ZDUSSCGKSA-N 0 0 434.540 -0.364 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000431450762 1071097202 /nfs/dbraw/zinc/09/72/02/1071097202.db2.gz QYACAYQMFZMIHG-UHFFFAOYSA-N 0 0 448.567 -0.483 20 0 IBADRN Cn1ccnc1N1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000431452038 1071097809 /nfs/dbraw/zinc/09/78/09/1071097809.db2.gz VLBNRXRCGHVLIC-UHFFFAOYSA-N 0 0 446.533 -0.061 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000431452986 1071097774 /nfs/dbraw/zinc/09/77/74/1071097774.db2.gz QPWSQVYUKVXFGJ-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2cccc(OC)c2OC)CC1 ZINC000431456131 1071098964 /nfs/dbraw/zinc/09/89/64/1071098964.db2.gz KMHDRHNWNBMHIA-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000431461477 1071102732 /nfs/dbraw/zinc/10/27/32/1071102732.db2.gz AMEJAUHRGHANFJ-UHFFFAOYSA-N 0 0 427.932 -0.310 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000431462025 1071105025 /nfs/dbraw/zinc/10/50/25/1071105025.db2.gz XNQTXRCDIJNOEO-UHFFFAOYSA-N 0 0 433.552 -0.135 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)O1 ZINC000431462249 1071104953 /nfs/dbraw/zinc/10/49/53/1071104953.db2.gz ZNTPUQWFCJHVKP-GDBMZVCRSA-N 0 0 428.511 -0.010 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)O1 ZINC000431462250 1071105055 /nfs/dbraw/zinc/10/50/55/1071105055.db2.gz ZNTPUQWFCJHVKP-GOEBONIOSA-N 0 0 428.511 -0.010 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)O1 ZINC000431462251 1071104982 /nfs/dbraw/zinc/10/49/82/1071104982.db2.gz ZNTPUQWFCJHVKP-HOCLYGCPSA-N 0 0 428.511 -0.010 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)O1 ZINC000431462252 1071105104 /nfs/dbraw/zinc/10/51/04/1071105104.db2.gz ZNTPUQWFCJHVKP-ZBFHGGJFSA-N 0 0 428.511 -0.010 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000431463313 1071106422 /nfs/dbraw/zinc/10/64/22/1071106422.db2.gz GSQSAJSUNDONIM-GOSISDBHSA-N 0 0 438.550 -0.108 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000431463314 1071107533 /nfs/dbraw/zinc/10/75/33/1071107533.db2.gz GSQSAJSUNDONIM-SFHVURJKSA-N 0 0 438.550 -0.108 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000431463932 1071105229 /nfs/dbraw/zinc/10/52/29/1071105229.db2.gz JTMYUBMJJRWWSO-HNNXBMFYSA-N 0 0 442.494 -0.482 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000431463933 1071106555 /nfs/dbraw/zinc/10/65/55/1071106555.db2.gz JTMYUBMJJRWWSO-OAHLLOKOSA-N 0 0 442.494 -0.482 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000431464457 1071111028 /nfs/dbraw/zinc/11/10/28/1071111028.db2.gz KBPWLOWXCVQMJY-UHFFFAOYSA-N 0 0 442.538 -0.023 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H](C)C2)CC1 ZINC000431465331 1071112671 /nfs/dbraw/zinc/11/26/71/1071112671.db2.gz NKWZFAZYVWGABE-DLBZAZTESA-N 0 0 432.587 -0.219 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000431465332 1071112568 /nfs/dbraw/zinc/11/25/68/1071112568.db2.gz NKWZFAZYVWGABE-IAGOWNOFSA-N 0 0 432.587 -0.219 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H](C)C2)CC1 ZINC000431465333 1071112647 /nfs/dbraw/zinc/11/26/47/1071112647.db2.gz NKWZFAZYVWGABE-IRXDYDNUSA-N 0 0 432.587 -0.219 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000431465334 1071112769 /nfs/dbraw/zinc/11/27/69/1071112769.db2.gz NKWZFAZYVWGABE-SJORKVTESA-N 0 0 432.587 -0.219 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000431465953 1071113638 /nfs/dbraw/zinc/11/36/38/1071113638.db2.gz QRVDQEJAXHANRD-UHFFFAOYSA-N 0 0 427.479 -0.344 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CNS(=O)(=O)CCCOC)CC1 ZINC000431465998 1071113656 /nfs/dbraw/zinc/11/36/56/1071113656.db2.gz RDKGFXQXGPHERT-UHFFFAOYSA-N 0 0 448.567 -0.801 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N(C)CC(=O)N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000431467322 1071114326 /nfs/dbraw/zinc/11/43/26/1071114326.db2.gz ZAOCZSPPAOFPPQ-IBGZPJMESA-N 0 0 425.507 -0.241 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N(C)CC(=O)N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000431467324 1071114501 /nfs/dbraw/zinc/11/45/01/1071114501.db2.gz ZAOCZSPPAOFPPQ-LJQANCHMSA-N 0 0 425.507 -0.241 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2cc(OC)cc(OC)c2)CC1 ZINC000431474220 1071118051 /nfs/dbraw/zinc/11/80/51/1071118051.db2.gz WLPKOUHCFVUJLI-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000431475283 1071118019 /nfs/dbraw/zinc/11/80/19/1071118019.db2.gz FIZYNGOWOUGELK-UHFFFAOYSA-N 0 0 429.495 -0.181 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000431475521 1071117985 /nfs/dbraw/zinc/11/79/85/1071117985.db2.gz XOZWMWXRIKRYPH-UHFFFAOYSA-N 0 0 432.587 -0.217 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000431475836 1071117935 /nfs/dbraw/zinc/11/79/35/1071117935.db2.gz GYSFPKKNSQOIRD-INIZCTEOSA-N 0 0 429.495 -0.119 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000431475837 1071118219 /nfs/dbraw/zinc/11/82/19/1071118219.db2.gz GYSFPKKNSQOIRD-MRXNPFEDSA-N 0 0 429.495 -0.119 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@@H](CS(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000431476376 1071117852 /nfs/dbraw/zinc/11/78/52/1071117852.db2.gz HMGSUZSOFBNKGG-GFCCVEGCSA-N 0 0 439.581 -0.155 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@H](CS(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000431476377 1071117784 /nfs/dbraw/zinc/11/77/84/1071117784.db2.gz HMGSUZSOFBNKGG-LBPRGKRZSA-N 0 0 439.581 -0.155 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)NCc3ccc(C(=O)NCC(N)=O)cc3)CC(=O)N2C)cnn1C ZINC000431477486 1071118880 /nfs/dbraw/zinc/11/88/80/1071118880.db2.gz LSEMKULTTPLZQM-BEFAXECRSA-N 0 0 426.477 -0.221 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)NCc3ccc(C(=O)NCC(N)=O)cc3)CC(=O)N2C)cnn1C ZINC000431477487 1071118834 /nfs/dbraw/zinc/11/88/34/1071118834.db2.gz LSEMKULTTPLZQM-DNVCBOLYSA-N 0 0 426.477 -0.221 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)NCc3ccc(C(=O)NCC(N)=O)cc3)CC(=O)N2C)cnn1C ZINC000431477488 1071118917 /nfs/dbraw/zinc/11/89/17/1071118917.db2.gz LSEMKULTTPLZQM-HNAYVOBHSA-N 0 0 426.477 -0.221 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)NCc3ccc(C(=O)NCC(N)=O)cc3)CC(=O)N2C)cnn1C ZINC000431477489 1071118961 /nfs/dbraw/zinc/11/89/61/1071118961.db2.gz LSEMKULTTPLZQM-KXBFYZLASA-N 0 0 426.477 -0.221 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000431481937 1071120304 /nfs/dbraw/zinc/12/03/04/1071120304.db2.gz DXZPGULZHVBHBE-GFCCVEGCSA-N 0 0 433.508 -0.288 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000431481942 1071120285 /nfs/dbraw/zinc/12/02/85/1071120285.db2.gz DXZPGULZHVBHBE-LBPRGKRZSA-N 0 0 433.508 -0.288 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)NCCN3CCN(c4ncccn4)CC3)CC(=O)N2C)cnn1C ZINC000431486512 1071122897 /nfs/dbraw/zinc/12/28/97/1071122897.db2.gz QSCPJMFAADHWHV-APWZRJJASA-N 0 0 426.525 -0.024 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)NCCN3CCN(c4ncccn4)CC3)CC(=O)N2C)cnn1C ZINC000431486515 1071122882 /nfs/dbraw/zinc/12/28/82/1071122882.db2.gz QSCPJMFAADHWHV-LPHOPBHVSA-N 0 0 426.525 -0.024 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)NCCN3CCN(c4ncccn4)CC3)CC(=O)N2C)cnn1C ZINC000431486517 1071122859 /nfs/dbraw/zinc/12/28/59/1071122859.db2.gz QSCPJMFAADHWHV-QFBILLFUSA-N 0 0 426.525 -0.024 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)NCCN3CCN(c4ncccn4)CC3)CC(=O)N2C)cnn1C ZINC000431486520 1071122792 /nfs/dbraw/zinc/12/27/92/1071122792.db2.gz QSCPJMFAADHWHV-VQIMIIECSA-N 0 0 426.525 -0.024 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2sccc2C(=O)OC)CC1 ZINC000431493382 1071126548 /nfs/dbraw/zinc/12/65/48/1071126548.db2.gz BMBRWVSAFCVQCB-UHFFFAOYSA-N 0 0 433.508 -0.016 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(-c3ccccc3)n2)CC1 ZINC000431493831 1073332189 /nfs/dbraw/zinc/33/21/89/1073332189.db2.gz JVODQAAUMKTZRK-UHFFFAOYSA-N 0 0 435.506 0.321 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000431493842 1071126206 /nfs/dbraw/zinc/12/62/06/1071126206.db2.gz JYMQCBXSNYAREP-UHFFFAOYSA-N 0 0 438.528 -0.920 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N(C)C)c2)CC1 ZINC000431494159 1071127262 /nfs/dbraw/zinc/12/72/62/1071127262.db2.gz FGDSCAGGRKEQJX-UHFFFAOYSA-N 0 0 441.510 -0.768 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1 ZINC000431494313 1071127164 /nfs/dbraw/zinc/12/71/64/1071127164.db2.gz GBXLQZNMUNCYRN-UHFFFAOYSA-N 0 0 440.522 -0.196 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)CC1 ZINC000431497308 1071127291 /nfs/dbraw/zinc/12/72/91/1071127291.db2.gz QRYSWIQZQVUKSL-UHFFFAOYSA-N 0 0 430.458 -0.626 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)cc2)CC1 ZINC000431498522 1071128023 /nfs/dbraw/zinc/12/80/23/1071128023.db2.gz XIJFQDSUVPZIKV-UHFFFAOYSA-N 0 0 427.479 -0.078 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)OC)cc2)CC1 ZINC000431498862 1071126982 /nfs/dbraw/zinc/12/69/82/1071126982.db2.gz YPKZTDJDCYUBGX-UHFFFAOYSA-N 0 0 441.506 -0.149 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1 ZINC000431499146 1071128211 /nfs/dbraw/zinc/12/82/11/1071128211.db2.gz DBQMLBDLTLWYPH-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN COc1cc2c(c(OC)c1OC)CCN(C(=O)C(=O)Nc1cnn(CC(N)=O)c1)CC2 ZINC000431499276 1071128141 /nfs/dbraw/zinc/12/81/41/1071128141.db2.gz FRTDKBSCWFGSJK-UHFFFAOYSA-N 0 0 431.449 -0.040 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccnc2OCCOC)CC1 ZINC000431500201 1071128847 /nfs/dbraw/zinc/12/88/47/1071128847.db2.gz PDYACPVXOQLHIZ-UHFFFAOYSA-N 0 0 444.510 -0.444 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)CC1 ZINC000431500647 1071128934 /nfs/dbraw/zinc/12/89/34/1071128934.db2.gz SOPXMDWWUOLFHF-UHFFFAOYSA-N 0 0 430.458 -0.626 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000431501026 1071130565 /nfs/dbraw/zinc/13/05/65/1071130565.db2.gz CGTSCJPDDYSLBE-CALCHBBNSA-N 0 0 432.587 -0.409 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000431501027 1071130697 /nfs/dbraw/zinc/13/06/97/1071130697.db2.gz CGTSCJPDDYSLBE-IAGOWNOFSA-N 0 0 432.587 -0.409 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000431501028 1071130719 /nfs/dbraw/zinc/13/07/19/1071130719.db2.gz CGTSCJPDDYSLBE-IRXDYDNUSA-N 0 0 432.587 -0.409 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1 ZINC000431501196 1071130447 /nfs/dbraw/zinc/13/04/47/1071130447.db2.gz WMDRPCIIMXOCDJ-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000431501589 1071130414 /nfs/dbraw/zinc/13/04/14/1071130414.db2.gz YNKOFLVCWFZAMK-UHFFFAOYSA-N 0 0 437.482 -0.889 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCCN(Cc2nc([C@H](C)OC)no2)CC1 ZINC000431501679 1071129601 /nfs/dbraw/zinc/12/96/01/1071129601.db2.gz HCBDSVYRQUDBBY-AWEZNQCLSA-N 0 0 433.531 -0.233 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCCN(Cc2nc([C@@H](C)OC)no2)CC1 ZINC000431501680 1071129753 /nfs/dbraw/zinc/12/97/53/1071129753.db2.gz HCBDSVYRQUDBBY-CQSZACIVSA-N 0 0 433.531 -0.233 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(C)(=O)=O)c2)CC1 ZINC000431501842 1071129818 /nfs/dbraw/zinc/12/98/18/1071129818.db2.gz HGSZKRMOKUNSHJ-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1 ZINC000431502301 1071131555 /nfs/dbraw/zinc/13/15/55/1071131555.db2.gz MWGIBXJYAGUTAX-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC(CO)(c3ccccc3)CC2)[C@H](O)[C@@H]1O ZINC000431502327 1071131579 /nfs/dbraw/zinc/13/15/79/1071131579.db2.gz NBFDTYNBFFTCJK-QTQZEZTPSA-N 0 0 441.488 -0.032 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)C)c2)CC1 ZINC000431502679 1071130530 /nfs/dbraw/zinc/13/05/30/1071130530.db2.gz QMKRRKQXVDGMLQ-UHFFFAOYSA-N 0 0 440.522 -0.163 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000431502941 1071130705 /nfs/dbraw/zinc/13/07/05/1071130705.db2.gz TWPQJKAPQNZRFW-UHFFFAOYSA-N 0 0 427.479 -0.093 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1C ZINC000431503111 1071132359 /nfs/dbraw/zinc/13/23/59/1071132359.db2.gz VDWXRFYSTOALJJ-UHFFFAOYSA-N 0 0 440.522 -0.196 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CNC(C)=O)cc2)CC1 ZINC000431504066 1071133495 /nfs/dbraw/zinc/13/34/95/1071133495.db2.gz ZDFQWJZOZLDCGM-UHFFFAOYSA-N 0 0 440.522 -0.228 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1 ZINC000431504108 1071133411 /nfs/dbraw/zinc/13/34/11/1071133411.db2.gz ZMXCMTFNXKJFAE-UHFFFAOYSA-N 0 0 437.482 -0.889 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2nnc(C(C)(C)C)s2)CC1 ZINC000431504201 1071132205 /nfs/dbraw/zinc/13/22/05/1071132205.db2.gz ZSPKLSKXGQIPJE-UHFFFAOYSA-N 0 0 433.556 0.285 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2C)CC1 ZINC000431504705 1071132119 /nfs/dbraw/zinc/13/21/19/1071132119.db2.gz GTXZWPKZYUAUGE-UHFFFAOYSA-N 0 0 426.495 -0.457 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000431505161 1071133994 /nfs/dbraw/zinc/13/39/94/1071133994.db2.gz IOHLYCKFWBUWAI-UHFFFAOYSA-N 0 0 437.482 -0.889 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2)CC1 ZINC000431505345 1071133438 /nfs/dbraw/zinc/13/34/38/1071133438.db2.gz CBEOGIFSOARORB-UHFFFAOYSA-N 0 0 440.522 -0.163 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(CCOC)nn2C)CC1 ZINC000431505480 1071133293 /nfs/dbraw/zinc/13/32/93/1071133293.db2.gz JURFXEIFQVVHCL-UHFFFAOYSA-N 0 0 431.515 -0.942 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc([S@@](C)=O)c2)CC1 ZINC000431505672 1071133187 /nfs/dbraw/zinc/13/31/87/1071133187.db2.gz JWWLXNKIZLDPOH-HHHXNRCGSA-N 0 0 431.536 -0.127 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc([S@](C)=O)c2)CC1 ZINC000431505673 1071133098 /nfs/dbraw/zinc/13/30/98/1071133098.db2.gz JWWLXNKIZLDPOH-MHZLTWQESA-N 0 0 431.536 -0.127 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCC(C)C)n2)CC1 ZINC000431506214 1071135026 /nfs/dbraw/zinc/13/50/26/1071135026.db2.gz LXDRFPXSRUPMCX-UHFFFAOYSA-N 0 0 429.543 0.378 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000431506362 1071134164 /nfs/dbraw/zinc/13/41/64/1071134164.db2.gz GTWJUTOPGAOBOE-UHFFFAOYSA-N 0 0 440.478 -0.534 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(Cl)c(C(N)=O)c2)CC1 ZINC000431506689 1071134172 /nfs/dbraw/zinc/13/41/72/1071134172.db2.gz ITMFQHJNUKXQGP-UHFFFAOYSA-N 0 0 446.913 -0.112 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(Cl)c2)CC1 ZINC000431507067 1071136350 /nfs/dbraw/zinc/13/63/50/1071136350.db2.gz RAUSLQBMHILWJV-UHFFFAOYSA-N 0 0 446.913 -0.112 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2S(C)(=O)=O)CC1 ZINC000431507804 1071136509 /nfs/dbraw/zinc/13/65/09/1071136509.db2.gz OORWTIZPXPOVIQ-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)OC)c2)CC1 ZINC000431508411 1071136310 /nfs/dbraw/zinc/13/63/10/1071136310.db2.gz RDEGZWAFKBCKMZ-UHFFFAOYSA-N 0 0 427.479 -0.078 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cc1 ZINC000431508650 1071136525 /nfs/dbraw/zinc/13/65/25/1071136525.db2.gz ABCFFNGNMMYVMY-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)CCO3)CC1 ZINC000431509277 1071138214 /nfs/dbraw/zinc/13/82/14/1071138214.db2.gz NXRGVFABCARVFC-UHFFFAOYSA-N 0 0 440.522 -0.036 20 0 IBADRN CCn1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cc1C1CC1 ZINC000431509686 1071138047 /nfs/dbraw/zinc/13/80/47/1071138047.db2.gz WHVGUDATSFBWOZ-UHFFFAOYSA-N 0 0 427.527 0.229 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1 ZINC000431509736 1071137173 /nfs/dbraw/zinc/13/71/73/1071137173.db2.gz DCTVNIFPXOCZNX-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1 ZINC000431510295 1071138764 /nfs/dbraw/zinc/13/87/64/1071138764.db2.gz SCCBGHAKRHRGFT-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)CC1 ZINC000431510743 1071138663 /nfs/dbraw/zinc/13/86/63/1071138663.db2.gz IAHRJBFORKRNRA-UHFFFAOYSA-N 0 0 440.478 -0.420 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1 ZINC000431511297 1071140689 /nfs/dbraw/zinc/14/06/89/1071140689.db2.gz XUBUNVJBNIZNAB-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cc1 ZINC000431511356 1071139872 /nfs/dbraw/zinc/13/98/72/1071139872.db2.gz KTZVTFZYNGDMPL-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1 ZINC000431511698 1071139925 /nfs/dbraw/zinc/13/99/25/1071139925.db2.gz LRUJGUZQYLGKJV-UHFFFAOYSA-N 0 0 437.482 -0.889 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CC(=O)OC)CC1 ZINC000431512085 1071142226 /nfs/dbraw/zinc/14/22/26/1071142226.db2.gz NJKDVPZUJHXKTM-UHFFFAOYSA-N 0 0 441.506 -0.149 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)(F)F)c2)CC1 ZINC000431512417 1071141724 /nfs/dbraw/zinc/14/17/24/1071141724.db2.gz OQTPLBJTGYHFCK-UHFFFAOYSA-N 0 0 441.432 -0.106 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000431512667 1071140482 /nfs/dbraw/zinc/14/04/82/1071140482.db2.gz QDRZGEMNCYKMKU-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCCOC)nc2)CC1 ZINC000431512672 1071140630 /nfs/dbraw/zinc/14/06/30/1071140630.db2.gz QEKGLEZQZYQAQI-UHFFFAOYSA-N 0 0 444.510 -0.444 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2nc3c(s2)COCC3)CC1 ZINC000431513105 1071142372 /nfs/dbraw/zinc/14/23/72/1071142372.db2.gz RUDGUMAOQAIYLU-UHFFFAOYSA-N 0 0 432.524 -0.335 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000431513499 1071142331 /nfs/dbraw/zinc/14/23/31/1071142331.db2.gz UPIZXUIJZPKIBH-UHFFFAOYSA-N 0 0 437.482 -0.889 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000431514029 1071143133 /nfs/dbraw/zinc/14/31/33/1071143133.db2.gz XIODPGJJYYCVQE-UHFFFAOYSA-N 0 0 426.495 -0.837 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(C)c2)CC1 ZINC000431514110 1071143114 /nfs/dbraw/zinc/14/31/14/1071143114.db2.gz OUWBARUDIMVCQA-UHFFFAOYSA-N 0 0 426.495 -0.457 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)CCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000431517346 1071145909 /nfs/dbraw/zinc/14/59/09/1071145909.db2.gz DZAMKVBUWRVCKW-UHFFFAOYSA-N 0 0 429.520 -0.030 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000431519933 1071145716 /nfs/dbraw/zinc/14/57/16/1071145716.db2.gz PUGKWAXFXUSZMN-FQEVSTJZSA-N 0 0 438.550 -0.278 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000431519934 1071145899 /nfs/dbraw/zinc/14/58/99/1071145899.db2.gz PUGKWAXFXUSZMN-HXUWFJFHSA-N 0 0 438.550 -0.278 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000431522518 1071148006 /nfs/dbraw/zinc/14/80/06/1071148006.db2.gz IKFILFKGOKIRAI-CYBMUJFWSA-N 0 0 434.474 -0.965 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000431522519 1071148132 /nfs/dbraw/zinc/14/81/32/1071148132.db2.gz IKFILFKGOKIRAI-ZDUSSCGKSA-N 0 0 434.474 -0.965 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000431528503 1071159047 /nfs/dbraw/zinc/15/90/47/1071159047.db2.gz NCQKVWBNWBEEPF-CYBMUJFWSA-N 0 0 430.504 -0.204 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)NC[C@H]1CS(=O)(=O)CCO1 ZINC000431528504 1071158953 /nfs/dbraw/zinc/15/89/53/1071158953.db2.gz NCQKVWBNWBEEPF-ZDUSSCGKSA-N 0 0 430.504 -0.204 20 0 IBADRN O=S(=O)(CCC1CCOCC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000431534714 1071172628 /nfs/dbraw/zinc/17/26/28/1071172628.db2.gz YGHNKKFYUFIBTN-UHFFFAOYSA-N 0 0 425.573 -0.236 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)CCCCN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000431536821 1071199282 /nfs/dbraw/zinc/19/92/82/1071199282.db2.gz FPKHCPHBNMAUGW-UHFFFAOYSA-N 0 0 432.462 -0.360 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCC3CCOCC3)CC2)o1 ZINC000431538264 1071207107 /nfs/dbraw/zinc/20/71/07/1071207107.db2.gz LQBIIKTUVXUXLM-UHFFFAOYSA-N 0 0 435.524 -0.169 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)CCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000431545035 1071219831 /nfs/dbraw/zinc/21/98/31/1071219831.db2.gz MLHXPKTXAQCWAE-UHFFFAOYSA-N 0 0 430.508 -0.786 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)CCCCN2C(=O)c3ccccc3C2=O)nn1 ZINC000431547781 1071221872 /nfs/dbraw/zinc/22/18/72/1071221872.db2.gz SABBKOUOXJXJPJ-UHFFFAOYSA-N 0 0 435.462 -0.053 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)CCCCN1C(=O)c2ccccc2C1=O ZINC000431556956 1071228156 /nfs/dbraw/zinc/22/81/56/1071228156.db2.gz LLYFBNKSNRIPFV-HNNXBMFYSA-N 0 0 437.474 -0.244 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)CCCCN1C(=O)c2ccccc2C1=O ZINC000431556958 1071228190 /nfs/dbraw/zinc/22/81/90/1071228190.db2.gz LLYFBNKSNRIPFV-OAHLLOKOSA-N 0 0 437.474 -0.244 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)CCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000431569312 1071239644 /nfs/dbraw/zinc/23/96/44/1071239644.db2.gz DZRVHPNMBWNNGL-UHFFFAOYSA-N 0 0 444.535 -0.526 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN3C(=O)NC[C@@H]3C2)o1 ZINC000431576454 1071240862 /nfs/dbraw/zinc/24/08/62/1071240862.db2.gz GEGBAACFBOKIRD-CHWSQXEVSA-N 0 0 440.478 -0.155 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN3C(=O)NC[C@@H]3C2)o1 ZINC000431576457 1071240754 /nfs/dbraw/zinc/24/07/54/1071240754.db2.gz GEGBAACFBOKIRD-OLZOCXBDSA-N 0 0 440.478 -0.155 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN3C(=O)NC[C@H]3C2)o1 ZINC000431576460 1071240846 /nfs/dbraw/zinc/24/08/46/1071240846.db2.gz GEGBAACFBOKIRD-QWHCGFSZSA-N 0 0 440.478 -0.155 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN3C(=O)NC[C@H]3C2)o1 ZINC000431576462 1071240831 /nfs/dbraw/zinc/24/08/31/1071240831.db2.gz GEGBAACFBOKIRD-STQMWFEESA-N 0 0 440.478 -0.155 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCc3c(nnn3-c3ccccc3)C2)CC1 ZINC000431577068 1073094805 /nfs/dbraw/zinc/09/48/05/1073094805.db2.gz IIVFETVRSLSEPH-UHFFFAOYSA-N 0 0 426.524 -0.553 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)NC[C@H]4C3)cc2)CC1 ZINC000431577925 1071241562 /nfs/dbraw/zinc/24/15/62/1071241562.db2.gz IUMBENONPQMLDF-INIZCTEOSA-N 0 0 435.506 -0.611 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)NC[C@@H]4C3)cc2)CC1 ZINC000431577928 1071241430 /nfs/dbraw/zinc/24/14/30/1071241430.db2.gz IUMBENONPQMLDF-MRXNPFEDSA-N 0 0 435.506 -0.611 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(CNC(C)=O)ccc2OC)CC1 ZINC000431577934 1071241416 /nfs/dbraw/zinc/24/14/16/1071241416.db2.gz IVMXIOKJOLBLMW-UHFFFAOYSA-N 0 0 434.540 -0.462 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCC(NS(=O)(=O)NC)CC3)ccc2O1 ZINC000431579524 1071242148 /nfs/dbraw/zinc/24/21/48/1071242148.db2.gz RDGJLALFOODJMR-INIZCTEOSA-N 0 0 447.535 -0.240 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCC(NS(=O)(=O)NC)CC3)ccc2O1 ZINC000431579527 1071241542 /nfs/dbraw/zinc/24/15/42/1071241542.db2.gz RDGJLALFOODJMR-MRXNPFEDSA-N 0 0 447.535 -0.240 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN3C(=O)NC[C@H]3C2)c1 ZINC000431580344 1071242395 /nfs/dbraw/zinc/24/23/95/1071242395.db2.gz VHUSSYHFMFLAEZ-AWEZNQCLSA-N 0 0 437.522 -0.377 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN3C(=O)NC[C@@H]3C2)c1 ZINC000431580348 1071242174 /nfs/dbraw/zinc/24/21/74/1071242174.db2.gz VHUSSYHFMFLAEZ-CQSZACIVSA-N 0 0 437.522 -0.377 20 0 IBADRN O=C(CCC(=O)N1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000431580837 1071241394 /nfs/dbraw/zinc/24/13/94/1071241394.db2.gz WLDLKVWHZGTOLA-INIZCTEOSA-N 0 0 449.533 -0.464 20 0 IBADRN O=C(CCC(=O)N1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000431580838 1071242313 /nfs/dbraw/zinc/24/23/13/1071242313.db2.gz WLDLKVWHZGTOLA-MRXNPFEDSA-N 0 0 449.533 -0.464 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cccc(C(=O)NCCOC)c2)CC1 ZINC000431581190 1071242218 /nfs/dbraw/zinc/24/22/18/1071242218.db2.gz XZKXVSAKTYEESY-UHFFFAOYSA-N 0 0 434.540 -0.730 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)CCCCN1C(=O)c2ccccc2C1=O ZINC000431582186 1071243155 /nfs/dbraw/zinc/24/31/55/1071243155.db2.gz IORZVSUJDFEXNX-UHFFFAOYSA-N 0 0 446.551 -0.185 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431582351 1071243143 /nfs/dbraw/zinc/24/31/43/1071243143.db2.gz KRQFRXNQLXPROA-DYVFJYSZSA-N 0 0 438.506 -0.003 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000431582352 1071242951 /nfs/dbraw/zinc/24/29/51/1071242951.db2.gz KRQFRXNQLXPROA-GUYCJALGSA-N 0 0 438.506 -0.003 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(COC)cc(C(=O)OC)c2)CC1 ZINC000431582399 1071243159 /nfs/dbraw/zinc/24/31/59/1071243159.db2.gz LEYKBBXKRBQXMX-UHFFFAOYSA-N 0 0 435.524 -0.174 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CCN3C(=O)NC[C@@H]3C1)n2C ZINC000431583582 1071243099 /nfs/dbraw/zinc/24/30/99/1071243099.db2.gz FJKUANYLLKZXNI-CYBMUJFWSA-N 0 0 434.522 -0.008 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CCN3C(=O)NC[C@H]3C1)n2C ZINC000431583583 1071242994 /nfs/dbraw/zinc/24/29/94/1071242994.db2.gz FJKUANYLLKZXNI-ZDUSSCGKSA-N 0 0 434.522 -0.008 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)CC1 ZINC000431584002 1071243080 /nfs/dbraw/zinc/24/30/80/1071243080.db2.gz HPGSSFGMUYWUIW-UHFFFAOYSA-N 0 0 434.496 -0.767 20 0 IBADRN O=C(CC1(CC(=O)N2CCN3C(=O)NC[C@H]3C2)CCCC1)N1CCN2C(=O)NC[C@H]2C1 ZINC000431584101 1071243957 /nfs/dbraw/zinc/24/39/57/1071243957.db2.gz UXDQKIOTNPUTOY-HOTGVXAUSA-N 0 0 432.525 -0.201 20 0 IBADRN O=C(CC1(CC(=O)N2CCN3C(=O)NC[C@@H]3C2)CCCC1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431584103 1071244019 /nfs/dbraw/zinc/24/40/19/1071244019.db2.gz UXDQKIOTNPUTOY-HZPDHXFCSA-N 0 0 432.525 -0.201 20 0 IBADRN O=C(CC1(CC(=O)N2CCN3C(=O)NC[C@H]3C2)CCCC1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000431584105 1071243717 /nfs/dbraw/zinc/24/37/17/1071243717.db2.gz UXDQKIOTNPUTOY-IYBDPMFKSA-N 0 0 432.525 -0.201 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000431584197 1071243802 /nfs/dbraw/zinc/24/38/02/1071243802.db2.gz AVULZHIGOGLJSK-UHFFFAOYSA-N 0 0 425.554 -0.562 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)CC1 ZINC000431585741 1071244496 /nfs/dbraw/zinc/24/44/96/1071244496.db2.gz NHGJPXCEEQVRKT-UHFFFAOYSA-N 0 0 441.553 -0.694 20 0 IBADRN CS(=O)(=O)N1CC(CNS(=O)(=O)CCCCN2C(=O)c3ccccc3C2=O)C1 ZINC000431586107 1071244475 /nfs/dbraw/zinc/24/44/75/1071244475.db2.gz IFDKWRODEHZQRA-UHFFFAOYSA-N 0 0 429.520 -0.126 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000431586339 1071244589 /nfs/dbraw/zinc/24/45/89/1071244589.db2.gz QSKFMFADRPJMJL-UHFFFAOYSA-N 0 0 440.569 -0.856 20 0 IBADRN COc1ccc(CC(=O)N2CCN3C(=O)NC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000431586360 1071244556 /nfs/dbraw/zinc/24/45/56/1071244556.db2.gz QWOMFVWZISUEIP-HNNXBMFYSA-N 0 0 438.506 -0.505 20 0 IBADRN COc1ccc(CC(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000431586363 1071244680 /nfs/dbraw/zinc/24/46/80/1071244680.db2.gz QWOMFVWZISUEIP-OAHLLOKOSA-N 0 0 438.506 -0.505 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)CC1 ZINC000431586831 1071243779 /nfs/dbraw/zinc/24/37/79/1071243779.db2.gz UIFAHDSXYSVXJO-UHFFFAOYSA-N 0 0 440.569 -0.890 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)NC[C@H]4C3)c2)CC1 ZINC000431589283 1071246762 /nfs/dbraw/zinc/24/67/62/1071246762.db2.gz XBLZXJSUZAKMQI-INIZCTEOSA-N 0 0 435.506 -0.611 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)NC[C@@H]4C3)c2)CC1 ZINC000431589284 1071247076 /nfs/dbraw/zinc/24/70/76/1071247076.db2.gz XBLZXJSUZAKMQI-MRXNPFEDSA-N 0 0 435.506 -0.611 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000431589497 1071245501 /nfs/dbraw/zinc/24/55/01/1071245501.db2.gz YAEMFZKXSLMXBL-INIZCTEOSA-N 0 0 440.522 -0.180 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000431589498 1071245515 /nfs/dbraw/zinc/24/55/15/1071245515.db2.gz YAEMFZKXSLMXBL-MRXNPFEDSA-N 0 0 440.522 -0.180 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC000431591879 1071248618 /nfs/dbraw/zinc/24/86/18/1071248618.db2.gz ITRIEUVBEOYWIZ-UHFFFAOYSA-N 0 0 428.536 -0.271 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc3c(c2)CCN(C(C)=O)CC3)CC1 ZINC000431593314 1071248586 /nfs/dbraw/zinc/24/85/86/1071248586.db2.gz XREVZQXWIGSREG-UHFFFAOYSA-N 0 0 444.579 -0.159 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CC[C@@H](CO)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000431600061 1071249161 /nfs/dbraw/zinc/24/91/61/1071249161.db2.gz LZVNDIALEMIUQR-CABCVRRESA-N 0 0 434.536 -0.166 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2sc(C(=O)OC)cc2OC)CC1 ZINC000431600767 1071249773 /nfs/dbraw/zinc/24/97/73/1071249773.db2.gz AHGJNZUREVBTJI-UHFFFAOYSA-N 0 0 427.526 -0.250 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CC1 ZINC000431606022 1071250718 /nfs/dbraw/zinc/25/07/18/1071250718.db2.gz BWUOIWXDGVABNC-UHFFFAOYSA-N 0 0 444.532 -0.596 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(C)cc(S(C)(=O)=O)c2C)CC1 ZINC000431606836 1071250585 /nfs/dbraw/zinc/25/05/85/1071250585.db2.gz VHSHEXGNDZLZKZ-UHFFFAOYSA-N 0 0 439.581 -0.086 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(OC)c(C(=O)N(C)C)c2)CC1 ZINC000431608542 1071250749 /nfs/dbraw/zinc/25/07/49/1071250749.db2.gz KJSVTRUAPDTCKZ-UHFFFAOYSA-N 0 0 434.540 -0.396 20 0 IBADRN C[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ncc(Br)cn1 ZINC000431613126 1071251438 /nfs/dbraw/zinc/25/14/38/1071251438.db2.gz CWMIPEITPKBOIT-SECBINFHSA-N 0 0 428.334 -0.288 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)[C@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000431618417 1071254598 /nfs/dbraw/zinc/25/45/98/1071254598.db2.gz CPWDNEAZMIBDLA-HCYDYPBKSA-N 0 0 431.492 -0.396 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)[C@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000431618420 1071254533 /nfs/dbraw/zinc/25/45/33/1071254533.db2.gz CPWDNEAZMIBDLA-RVIYUIFMSA-N 0 0 431.492 -0.396 20 0 IBADRN C[C@H]1[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS1(=O)=O ZINC000431619400 1071254370 /nfs/dbraw/zinc/25/43/70/1071254370.db2.gz GOPRPVXHOCPBKH-WFASDCNBSA-N 0 0 438.549 -0.439 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)S(=O)(=O)CC1CCS(=O)(=O)CC1 ZINC000431620970 1071254547 /nfs/dbraw/zinc/25/45/47/1071254547.db2.gz LWEAMCOMHWMYMX-UHFFFAOYSA-N 0 0 440.565 -0.201 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000431621810 1071255290 /nfs/dbraw/zinc/25/52/90/1071255290.db2.gz ZYTCMBGKNNEKER-UHFFFAOYSA-N 0 0 443.569 -0.744 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000431622399 1071255362 /nfs/dbraw/zinc/25/53/62/1071255362.db2.gz GELQISWZWNFBOQ-UHFFFAOYSA-N 0 0 449.551 -0.720 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)Nc3cnn(CC(=O)N4CCN(C)CC4)c3)CC(=O)N2C)cnn1C ZINC000431622402 1071255875 /nfs/dbraw/zinc/25/58/75/1071255875.db2.gz GESYZPJBKCFLTB-JXFKEZNVSA-N 0 0 442.524 -0.143 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)Nc3cnn(CC(=O)N4CCN(C)CC4)c3)CC(=O)N2C)cnn1C ZINC000431622404 1071256113 /nfs/dbraw/zinc/25/61/13/1071256113.db2.gz GESYZPJBKCFLTB-OXJNMPFZSA-N 0 0 442.524 -0.143 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)Nc3cnn(CC(=O)N4CCN(C)CC4)c3)CC(=O)N2C)cnn1C ZINC000431622406 1071255166 /nfs/dbraw/zinc/25/51/66/1071255166.db2.gz GESYZPJBKCFLTB-OXQOHEQNSA-N 0 0 442.524 -0.143 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)Nc3cnn(CC(=O)N4CCN(C)CC4)c3)CC(=O)N2C)cnn1C ZINC000431622408 1071255385 /nfs/dbraw/zinc/25/53/85/1071255385.db2.gz GESYZPJBKCFLTB-UZLBHIALSA-N 0 0 442.524 -0.143 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000431625763 1071256018 /nfs/dbraw/zinc/25/60/18/1071256018.db2.gz PYCKXALAAZZLCV-UHFFFAOYSA-N 0 0 435.524 -0.398 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)S(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000431629416 1071266075 /nfs/dbraw/zinc/26/60/75/1071266075.db2.gz DMKKQWLFBRYXCY-UHFFFAOYSA-N 0 0 449.532 -0.168 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCC(NS(=O)(=O)c2cccs2)CC1 ZINC000431630938 1071265621 /nfs/dbraw/zinc/26/56/21/1071265621.db2.gz OLEKPAROAFKPEV-UHFFFAOYSA-N 0 0 439.581 -0.027 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000431634029 1071266833 /nfs/dbraw/zinc/26/68/33/1071266833.db2.gz DUZOKUIUCVOQAL-UHFFFAOYSA-N 0 0 433.552 -0.216 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000431634330 1071266663 /nfs/dbraw/zinc/26/66/63/1071266663.db2.gz GAMLTFMIPPIYIU-UHFFFAOYSA-N 0 0 430.508 -0.268 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000431635621 1071266430 /nfs/dbraw/zinc/26/64/30/1071266430.db2.gz LMFTVDFRHXCEFL-UHFFFAOYSA-N 0 0 435.524 -0.226 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000431636245 1071266845 /nfs/dbraw/zinc/26/68/45/1071266845.db2.gz OLHLGTKPEQMBCZ-UHFFFAOYSA-N 0 0 432.480 -0.597 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000431638265 1071267462 /nfs/dbraw/zinc/26/74/62/1071267462.db2.gz GMILCRKGODSJFK-UHFFFAOYSA-N 0 0 439.475 -0.498 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)S(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000431638310 1071267556 /nfs/dbraw/zinc/26/75/56/1071267556.db2.gz GRHVBXJHVBUMMO-UHFFFAOYSA-N 0 0 449.532 -0.319 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000431638638 1071266525 /nfs/dbraw/zinc/26/65/25/1071266525.db2.gz HUACTIIQBSCNBK-UHFFFAOYSA-N 0 0 449.551 -0.516 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000431639034 1071266699 /nfs/dbraw/zinc/26/66/99/1071266699.db2.gz JQVSVXSNDLYUIQ-UHFFFAOYSA-N 0 0 426.539 -0.354 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000431640824 1071268186 /nfs/dbraw/zinc/26/81/86/1071268186.db2.gz SPLUVQZRSPKVIZ-UHFFFAOYSA-N 0 0 433.552 -0.088 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000431646556 1071272189 /nfs/dbraw/zinc/27/21/89/1071272189.db2.gz WYSHZQWCJMGIMY-HNNXBMFYSA-N 0 0 435.524 -0.083 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000431646557 1071272202 /nfs/dbraw/zinc/27/22/02/1071272202.db2.gz WYSHZQWCJMGIMY-OAHLLOKOSA-N 0 0 435.524 -0.083 20 0 IBADRN C[C@H]1[C@@H](NS(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CCS1(=O)=O ZINC000431646585 1071272422 /nfs/dbraw/zinc/27/24/22/1071272422.db2.gz XISQBBVWTKSKBJ-FZMZJTMJSA-N 0 0 428.529 -0.059 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000431647880 1071272171 /nfs/dbraw/zinc/27/21/71/1071272171.db2.gz MDTAVSJLXAEQPO-UHFFFAOYSA-N 0 0 440.588 -0.793 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000431650064 1071274688 /nfs/dbraw/zinc/27/46/88/1071274688.db2.gz YWDXMWLYGZGUTA-UHFFFAOYSA-N 0 0 431.486 -0.169 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000431652855 1071275345 /nfs/dbraw/zinc/27/53/45/1071275345.db2.gz GUKCSIBIIXAUHB-UHFFFAOYSA-N 0 0 426.539 -0.597 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCCCn2c(=O)ccn(C)c2=O)cc1 ZINC000431652974 1071275485 /nfs/dbraw/zinc/27/54/85/1071275485.db2.gz XRTOBUGGAAGVTA-UHFFFAOYSA-N 0 0 436.490 -0.771 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)NCCCn1c(=O)ccn(C)c1=O ZINC000431653457 1071275999 /nfs/dbraw/zinc/27/59/99/1071275999.db2.gz ASGWPMWEUJSIIP-UHFFFAOYSA-N 0 0 427.465 -0.555 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCCn2c(=O)ccn(C)c2=O)cc(OC)c1OC ZINC000431653894 1071276100 /nfs/dbraw/zinc/27/61/00/1071276100.db2.gz MUJSNCHZYKLBQR-UHFFFAOYSA-N 0 0 434.449 -0.491 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)c1=O ZINC000431654827 1071276668 /nfs/dbraw/zinc/27/66/68/1071276668.db2.gz KAXXAOXYFQFIJS-UHFFFAOYSA-N 0 0 436.490 -0.612 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000431656102 1071277389 /nfs/dbraw/zinc/27/73/89/1071277389.db2.gz USLOTZGGGVSVHF-UHFFFAOYSA-N 0 0 433.552 -0.384 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000431656112 1071277241 /nfs/dbraw/zinc/27/72/41/1071277241.db2.gz UYNUXKJCMWANIB-UHFFFAOYSA-N 0 0 428.511 -0.148 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)c1=O ZINC000431656417 1071279074 /nfs/dbraw/zinc/27/90/74/1071279074.db2.gz YGJRHHGJJDKZSW-UHFFFAOYSA-N 0 0 436.490 -0.612 20 0 IBADRN O=C(CCn1cnccc1=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000431657359 1071279159 /nfs/dbraw/zinc/27/91/59/1071279159.db2.gz BULLFFPMNJXLFP-UHFFFAOYSA-N 0 0 432.462 -0.252 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431658185 1071279608 /nfs/dbraw/zinc/27/96/08/1071279608.db2.gz ASUNLEMFMITHPL-LBPRGKRZSA-N 0 0 445.498 -0.251 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000431659211 1071280438 /nfs/dbraw/zinc/28/04/38/1071280438.db2.gz RFLDCYWIYRTUIV-UHFFFAOYSA-N 0 0 437.515 -0.386 20 0 IBADRN O=C([C@@H]1CCc2nncn2C1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000431659573 1071280421 /nfs/dbraw/zinc/28/04/21/1071280421.db2.gz KBNIVYUJIGXNHF-GFCCVEGCSA-N 0 0 431.478 -0.045 20 0 IBADRN O=C([C@H]1CCc2nncn2C1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000431659579 1071281562 /nfs/dbraw/zinc/28/15/62/1071281562.db2.gz KBNIVYUJIGXNHF-LBPRGKRZSA-N 0 0 431.478 -0.045 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)ccc1OC ZINC000431659994 1071280451 /nfs/dbraw/zinc/28/04/51/1071280451.db2.gz HNWICXOUVZSWFG-UHFFFAOYSA-N 0 0 443.507 -0.527 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1C ZINC000431661023 1071281581 /nfs/dbraw/zinc/28/15/81/1071281581.db2.gz LUKYKXHPHFOSME-UHFFFAOYSA-N 0 0 427.508 -0.179 20 0 IBADRN O=C(c1ccc(C[C@H]2CC(=O)NC2=O)cc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431661585 1071283014 /nfs/dbraw/zinc/28/30/14/1071283014.db2.gz NIGBQTDHPMNWIC-HNNXBMFYSA-N 0 0 431.474 -0.238 20 0 IBADRN O=C(c1ccc(C[C@@H]2CC(=O)NC2=O)cc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431661586 1071283084 /nfs/dbraw/zinc/28/30/84/1071283084.db2.gz NIGBQTDHPMNWIC-OAHLLOKOSA-N 0 0 431.474 -0.238 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431663179 1071283746 /nfs/dbraw/zinc/28/37/46/1071283746.db2.gz HMOULYOUBGHXPK-UHFFFAOYSA-N 0 0 429.480 -0.788 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)S(=O)(=O)c1ccccc1 ZINC000431664523 1071284704 /nfs/dbraw/zinc/28/47/04/1071284704.db2.gz YFBJWKJMJCVFRZ-UHFFFAOYSA-N 0 0 427.508 -0.437 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC[C@@H](CO)Cc3cccnc3)nc2n(C)c1=O ZINC000431666829 1071285246 /nfs/dbraw/zinc/28/52/46/1071285246.db2.gz LKLCSUZTOOVCED-HNNXBMFYSA-N 0 0 426.477 0.353 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC[C@H](CO)Cc3cccnc3)nc2n(C)c1=O ZINC000431666832 1073353946 /nfs/dbraw/zinc/35/39/46/1073353946.db2.gz LKLCSUZTOOVCED-OAHLLOKOSA-N 0 0 426.477 0.353 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)no1 ZINC000431667371 1073331487 /nfs/dbraw/zinc/33/14/87/1073331487.db2.gz YOPVKYZBTQVITQ-UHFFFAOYSA-N 0 0 428.496 -0.089 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000431669551 1071286709 /nfs/dbraw/zinc/28/67/09/1071286709.db2.gz IDUFSDREKKLHBZ-UHFFFAOYSA-N 0 0 442.519 -0.024 20 0 IBADRN O=C(NC[C@@H](CO)Cc1cccnc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000431671005 1071286938 /nfs/dbraw/zinc/28/69/38/1071286938.db2.gz RIYHRTOHGZSJCM-INIZCTEOSA-N 0 0 432.502 -0.217 20 0 IBADRN O=C(NC[C@H](CO)Cc1cccnc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000431671006 1071286955 /nfs/dbraw/zinc/28/69/55/1071286955.db2.gz RIYHRTOHGZSJCM-MRXNPFEDSA-N 0 0 432.502 -0.217 20 0 IBADRN O=C(NC[C@@H](CO)Cc1cccnc1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000431671069 1071286050 /nfs/dbraw/zinc/28/60/50/1071286050.db2.gz RUYMOCQVPHXSEL-INIZCTEOSA-N 0 0 432.502 -0.217 20 0 IBADRN O=C(NC[C@H](CO)Cc1cccnc1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000431671070 1071286196 /nfs/dbraw/zinc/28/61/96/1071286196.db2.gz RUYMOCQVPHXSEL-MRXNPFEDSA-N 0 0 432.502 -0.217 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3CC(=O)N(C)[C@H]3c3ccnn3C)CC2)no1 ZINC000431671239 1073330993 /nfs/dbraw/zinc/33/09/93/1073330993.db2.gz CHCBJKHPUQZFOM-AUUYWEPGSA-N 0 0 429.481 0.019 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H]3CC(=O)N(C)[C@H]3c3ccnn3C)CC2)no1 ZINC000431671240 1073331015 /nfs/dbraw/zinc/33/10/15/1073331015.db2.gz CHCBJKHPUQZFOM-IFXJQAMLSA-N 0 0 429.481 0.019 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3CC(=O)N(C)[C@@H]3c3ccnn3C)CC2)no1 ZINC000431671241 1073330979 /nfs/dbraw/zinc/33/09/79/1073330979.db2.gz CHCBJKHPUQZFOM-KUHUBIRLSA-N 0 0 429.481 0.019 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H]3CC(=O)N(C)[C@@H]3c3ccnn3C)CC2)no1 ZINC000431671242 1073331029 /nfs/dbraw/zinc/33/10/29/1073331029.db2.gz CHCBJKHPUQZFOM-LIRRHRJNSA-N 0 0 429.481 0.019 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3ccc4c(c3)NC(=O)CNC4=O)CC2)no1 ZINC000431672311 1073331108 /nfs/dbraw/zinc/33/11/08/1073331108.db2.gz GVHBABUHHDIAAP-UHFFFAOYSA-N 0 0 426.433 0.061 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000431672947 1071286769 /nfs/dbraw/zinc/28/67/69/1071286769.db2.gz JVYKLIDNEISPSY-INIZCTEOSA-N 0 0 429.529 -0.196 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000431672948 1071286964 /nfs/dbraw/zinc/28/69/64/1071286964.db2.gz JVYKLIDNEISPSY-MRXNPFEDSA-N 0 0 429.529 -0.196 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431677016 1071288328 /nfs/dbraw/zinc/28/83/28/1071288328.db2.gz SYDVZXCRLSPBNT-UHFFFAOYSA-N 0 0 432.462 -0.252 20 0 IBADRN COc1ccnc(N2CCC(C(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)CC2)n1 ZINC000431679653 1071289233 /nfs/dbraw/zinc/28/92/33/1071289233.db2.gz DAZBUIJFCZMGGY-UHFFFAOYSA-N 0 0 435.510 -0.042 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431682383 1071290041 /nfs/dbraw/zinc/29/00/41/1071290041.db2.gz SAVPNUJGFNDCIB-UHFFFAOYSA-N 0 0 427.508 -0.389 20 0 IBADRN COc1nc(N2CCN(C(=O)NCCN3C(=O)CNC3=O)CC2)ncc1Br ZINC000431683024 1071290006 /nfs/dbraw/zinc/29/00/06/1071290006.db2.gz WRNHVMLEGQHZOO-UHFFFAOYSA-N 0 0 442.274 -0.369 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c2ccccn21 ZINC000431686051 1071290756 /nfs/dbraw/zinc/29/07/56/1071290756.db2.gz OYYMFYCYMCYUGS-UHFFFAOYSA-N 0 0 438.491 -0.392 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431687176 1071291450 /nfs/dbraw/zinc/29/14/50/1071291450.db2.gz VZRAMMZHROVYPM-UHFFFAOYSA-N 0 0 445.498 -0.250 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431688813 1071291308 /nfs/dbraw/zinc/29/13/08/1071291308.db2.gz WRBLNALMUCSASH-UHFFFAOYSA-N 0 0 439.519 -0.003 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)cc2[nH]c(=O)c1=O ZINC000431689018 1071291382 /nfs/dbraw/zinc/29/13/82/1071291382.db2.gz ACBXSKJAQDVBKV-UHFFFAOYSA-N 0 0 432.462 -0.421 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)ccc21 ZINC000431693139 1071292228 /nfs/dbraw/zinc/29/22/28/1071292228.db2.gz RNAGFDMPZNJUCT-UHFFFAOYSA-N 0 0 439.519 -0.122 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000431693186 1071456116 /nfs/dbraw/zinc/45/61/16/1071456116.db2.gz CMRHLJIZUIOMNE-UHFFFAOYSA-N 0 0 427.508 -0.193 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431696088 1071292245 /nfs/dbraw/zinc/29/22/45/1071292245.db2.gz CIUMBIVLRHNJIP-UHFFFAOYSA-N 0 0 430.284 -0.133 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000431697880 1071293179 /nfs/dbraw/zinc/29/31/79/1071293179.db2.gz JSJXVPJJRJJLOQ-UHFFFAOYSA-N 0 0 432.525 -0.212 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000431698312 1071293098 /nfs/dbraw/zinc/29/30/98/1071293098.db2.gz RIFSIQHPCVEXEM-UHFFFAOYSA-N 0 0 441.535 -0.217 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)ccc2c1=O ZINC000431699306 1071292636 /nfs/dbraw/zinc/29/26/36/1071292636.db2.gz VOTJSOFXVUNZJC-UHFFFAOYSA-N 0 0 432.462 -0.008 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000431700452 1071292937 /nfs/dbraw/zinc/29/29/37/1071292937.db2.gz VIQBCLTYSFVPKP-UHFFFAOYSA-N 0 0 427.465 -0.226 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000431701063 1071293046 /nfs/dbraw/zinc/29/30/46/1071293046.db2.gz ZUASZUKNEKJBFL-UHFFFAOYSA-N 0 0 444.473 -0.486 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2C)CC1 ZINC000431702384 1071293663 /nfs/dbraw/zinc/29/36/63/1071293663.db2.gz AYYQGRIRMXMJTM-UHFFFAOYSA-N 0 0 448.524 -0.928 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000431703940 1071293758 /nfs/dbraw/zinc/29/37/58/1071293758.db2.gz TUHQDYCGIXZPPS-UHFFFAOYSA-N 0 0 441.535 -0.217 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCC(=O)N1CCN(C)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000431714769 1071295773 /nfs/dbraw/zinc/29/57/73/1071295773.db2.gz HLZSPDURNYZQOP-FQEVSTJZSA-N 0 0 438.550 -0.278 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCC(=O)N1CCN(C)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000431714770 1071295669 /nfs/dbraw/zinc/29/56/69/1071295669.db2.gz HLZSPDURNYZQOP-HXUWFJFHSA-N 0 0 438.550 -0.278 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000431716640 1071295631 /nfs/dbraw/zinc/29/56/31/1071295631.db2.gz UCIAJIXIRSIVNG-IBGZPJMESA-N 0 0 425.507 -0.241 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000431716641 1071295765 /nfs/dbraw/zinc/29/57/65/1071295765.db2.gz UCIAJIXIRSIVNG-LJQANCHMSA-N 0 0 425.507 -0.241 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000431720638 1071296318 /nfs/dbraw/zinc/29/63/18/1071296318.db2.gz ZBIMNZREDHDSNU-INIZCTEOSA-N 0 0 444.536 -0.024 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000431720639 1071296346 /nfs/dbraw/zinc/29/63/46/1071296346.db2.gz ZBIMNZREDHDSNU-MRXNPFEDSA-N 0 0 444.536 -0.024 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000431721455 1071297197 /nfs/dbraw/zinc/29/71/97/1071297197.db2.gz DGYGZTHPRUPYAT-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000431750445 1071300850 /nfs/dbraw/zinc/30/08/50/1071300850.db2.gz BEMZVRXQNQALRT-AWEZNQCLSA-N 0 0 425.511 -0.295 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000431750446 1071300685 /nfs/dbraw/zinc/30/06/85/1071300685.db2.gz BEMZVRXQNQALRT-CQSZACIVSA-N 0 0 425.511 -0.295 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000431753717 1071300759 /nfs/dbraw/zinc/30/07/59/1071300759.db2.gz WEKBMGJASFUBOB-GDBMZVCRSA-N 0 0 439.538 -0.001 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000431753718 1071300787 /nfs/dbraw/zinc/30/07/87/1071300787.db2.gz WEKBMGJASFUBOB-GOEBONIOSA-N 0 0 439.538 -0.001 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000431753719 1071300802 /nfs/dbraw/zinc/30/08/02/1071300802.db2.gz WEKBMGJASFUBOB-HOCLYGCPSA-N 0 0 439.538 -0.001 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000431753720 1071300718 /nfs/dbraw/zinc/30/07/18/1071300718.db2.gz WEKBMGJASFUBOB-ZBFHGGJFSA-N 0 0 439.538 -0.001 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000431759636 1071301332 /nfs/dbraw/zinc/30/13/32/1071301332.db2.gz JBPRCGFHUWGADL-AWEZNQCLSA-N 0 0 448.567 -0.355 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000431759638 1071301259 /nfs/dbraw/zinc/30/12/59/1071301259.db2.gz JBPRCGFHUWGADL-CQSZACIVSA-N 0 0 448.567 -0.355 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000431765995 1071302646 /nfs/dbraw/zinc/30/26/46/1071302646.db2.gz OFFRLBWDNLFOCM-UHFFFAOYSA-N 0 0 448.567 -0.435 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC000431768560 1071302785 /nfs/dbraw/zinc/30/27/85/1071302785.db2.gz FOGZCLCAGDTUAP-UHFFFAOYSA-N 0 0 434.540 -0.222 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000431776096 1071303365 /nfs/dbraw/zinc/30/33/65/1071303365.db2.gz MMXSROUJGMIONK-UHFFFAOYSA-N 0 0 426.539 -0.103 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CCC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000431789527 1071305415 /nfs/dbraw/zinc/30/54/15/1071305415.db2.gz SGGHWHFSELERKT-UHFFFAOYSA-N 0 0 448.567 -0.354 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC000431791287 1071305635 /nfs/dbraw/zinc/30/56/35/1071305635.db2.gz MPWFOWARNOENLN-UHFFFAOYSA-N 0 0 446.530 -0.839 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000431792181 1071305329 /nfs/dbraw/zinc/30/53/29/1071305329.db2.gz BMIMOQYJODKCAS-UHFFFAOYSA-N 0 0 446.508 -0.238 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000431795081 1071305384 /nfs/dbraw/zinc/30/53/84/1071305384.db2.gz OIZMOQOUXVMCHQ-UHFFFAOYSA-N 0 0 444.558 -0.269 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000431796086 1071305310 /nfs/dbraw/zinc/30/53/10/1071305310.db2.gz SEPAQYSNEMZUFK-IGCXYCKISA-N 0 0 425.511 -0.909 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000431796090 1071305606 /nfs/dbraw/zinc/30/56/06/1071305606.db2.gz SEPAQYSNEMZUFK-IIYDPXPESA-N 0 0 425.511 -0.909 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000431796092 1071305407 /nfs/dbraw/zinc/30/54/07/1071305407.db2.gz SEPAQYSNEMZUFK-PBFPGSCMSA-N 0 0 425.511 -0.909 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000431796094 1071305289 /nfs/dbraw/zinc/30/52/89/1071305289.db2.gz SEPAQYSNEMZUFK-XNJGSVPQSA-N 0 0 425.511 -0.909 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC([C@]4(C)NC(=O)NC4=O)CC3)nc2)CC1 ZINC000431804455 1071306170 /nfs/dbraw/zinc/30/61/70/1071306170.db2.gz JUOMAFPWTWWCOR-IBGZPJMESA-N 0 0 436.538 -0.168 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)nc2)CC1 ZINC000431804456 1071305983 /nfs/dbraw/zinc/30/59/83/1071305983.db2.gz JUOMAFPWTWWCOR-LJQANCHMSA-N 0 0 436.538 -0.168 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC(=O)N2C)cnn1C ZINC000431807499 1071305999 /nfs/dbraw/zinc/30/59/99/1071305999.db2.gz HEJFXIMZIDZJRC-DAYGRLMNSA-N 0 0 441.554 -0.147 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC(=O)N2C)cnn1C ZINC000431807500 1071306123 /nfs/dbraw/zinc/30/61/23/1071306123.db2.gz HEJFXIMZIDZJRC-MPGHIAIKSA-N 0 0 441.554 -0.147 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC(=O)N2C)cnn1C ZINC000431807501 1071306197 /nfs/dbraw/zinc/30/61/97/1071306197.db2.gz HEJFXIMZIDZJRC-RKVPGOIHSA-N 0 0 441.554 -0.147 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC(=O)N2C)cnn1C ZINC000431807502 1071306709 /nfs/dbraw/zinc/30/67/09/1071306709.db2.gz HEJFXIMZIDZJRC-VKJFTORMSA-N 0 0 441.554 -0.147 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)oc1C ZINC000431810363 1071306632 /nfs/dbraw/zinc/30/66/32/1071306632.db2.gz MZTDLLPIQVSTEH-UHFFFAOYSA-N 0 0 437.496 -0.742 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)n1 ZINC000431816079 1071306670 /nfs/dbraw/zinc/30/66/70/1071306670.db2.gz YXESLXRDTYGQBN-CYBMUJFWSA-N 0 0 427.473 -0.158 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)n1 ZINC000431816080 1071306753 /nfs/dbraw/zinc/30/67/53/1071306753.db2.gz YXESLXRDTYGQBN-ZDUSSCGKSA-N 0 0 427.473 -0.158 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC(=O)N2C)cnn1C ZINC000431819134 1071307230 /nfs/dbraw/zinc/30/72/30/1071307230.db2.gz XHHIWLYTRLJUHT-BEFAXECRSA-N 0 0 429.481 -0.058 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC(=O)N2C)cnn1C ZINC000431819135 1071307178 /nfs/dbraw/zinc/30/71/78/1071307178.db2.gz XHHIWLYTRLJUHT-DNVCBOLYSA-N 0 0 429.481 -0.058 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC(=O)N2C)cnn1C ZINC000431819136 1071306991 /nfs/dbraw/zinc/30/69/91/1071306991.db2.gz XHHIWLYTRLJUHT-HNAYVOBHSA-N 0 0 429.481 -0.058 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC(=O)N2C)cnn1C ZINC000431819137 1071307192 /nfs/dbraw/zinc/30/71/92/1071307192.db2.gz XHHIWLYTRLJUHT-KXBFYZLASA-N 0 0 429.481 -0.058 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC(=O)N2C)cnn1C ZINC000431826383 1071312568 /nfs/dbraw/zinc/31/25/68/1071312568.db2.gz WOJCXWSXGFPAOB-FTRWYGJKSA-N 0 0 432.525 -0.703 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC(=O)N2C)cnn1C ZINC000431826384 1071312735 /nfs/dbraw/zinc/31/27/35/1071312735.db2.gz WOJCXWSXGFPAOB-GUXCAODWSA-N 0 0 432.525 -0.703 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC(=O)N2C)cnn1C ZINC000431826385 1071312761 /nfs/dbraw/zinc/31/27/61/1071312761.db2.gz WOJCXWSXGFPAOB-QINHECLXSA-N 0 0 432.525 -0.703 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC(=O)N2C)cnn1C ZINC000431826386 1071312845 /nfs/dbraw/zinc/31/28/45/1071312845.db2.gz WOJCXWSXGFPAOB-YRNRMSPPSA-N 0 0 432.525 -0.703 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000431826899 1071312519 /nfs/dbraw/zinc/31/25/19/1071312519.db2.gz YXAGVKUIROEYSU-UHFFFAOYSA-N 0 0 427.527 -0.435 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431827728 1071313344 /nfs/dbraw/zinc/31/33/44/1071313344.db2.gz ILRKSWDIAPGIKN-HLIPFELVSA-N 0 0 446.552 -0.361 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431827729 1071313292 /nfs/dbraw/zinc/31/32/92/1071313292.db2.gz ILRKSWDIAPGIKN-QKLQHJQFSA-N 0 0 446.552 -0.361 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431827730 1071313885 /nfs/dbraw/zinc/31/38/85/1071313885.db2.gz ILRKSWDIAPGIKN-UWVAXJGDSA-N 0 0 446.552 -0.361 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)CC(=O)N2C)cnn1C ZINC000431827731 1071313706 /nfs/dbraw/zinc/31/37/06/1071313706.db2.gz ILRKSWDIAPGIKN-ZWOKBUDYSA-N 0 0 446.552 -0.361 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)c1ccc2c(c1)NC(=O)CNC2=O ZINC000431830700 1071316146 /nfs/dbraw/zinc/31/61/46/1071316146.db2.gz BWCLXYJGGNYYDV-UHFFFAOYSA-N 0 0 435.440 -0.130 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2nnc(CCCC(=O)OC)s2)CC1 ZINC000431835085 1073332336 /nfs/dbraw/zinc/33/23/36/1073332336.db2.gz DGFWIXDFOZNCEM-UHFFFAOYSA-N 0 0 449.555 0.550 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)CC(=O)N2C)cnn1C ZINC000431836954 1071324497 /nfs/dbraw/zinc/32/44/97/1071324497.db2.gz SUFCFQBDSYMSBQ-CXAGYDPISA-N 0 0 446.537 -0.118 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)CC(=O)N2C)cnn1C ZINC000431836955 1071324465 /nfs/dbraw/zinc/32/44/65/1071324465.db2.gz SUFCFQBDSYMSBQ-DYVFJYSZSA-N 0 0 446.537 -0.118 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)CC(=O)N2C)cnn1C ZINC000431836956 1071324430 /nfs/dbraw/zinc/32/44/30/1071324430.db2.gz SUFCFQBDSYMSBQ-GUYCJALGSA-N 0 0 446.537 -0.118 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)CC(=O)N2C)cnn1C ZINC000431836957 1071324481 /nfs/dbraw/zinc/32/44/81/1071324481.db2.gz SUFCFQBDSYMSBQ-SUMWQHHRSA-N 0 0 446.537 -0.118 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)oc1C ZINC000431840262 1071329635 /nfs/dbraw/zinc/32/96/35/1071329635.db2.gz LLMNQAQMXNHWHW-UHFFFAOYSA-N 0 0 427.435 -0.643 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H]1CC[C@@H](CO)O1 ZINC000431841330 1071333395 /nfs/dbraw/zinc/33/33/95/1071333395.db2.gz BTEAXTQKHRPLBE-CVEARBPZSA-N 0 0 427.527 -0.628 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)n1 ZINC000431843849 1071334829 /nfs/dbraw/zinc/33/48/29/1071334829.db2.gz KEECXTKRDVYWLE-AWEZNQCLSA-N 0 0 426.485 0.447 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)n1 ZINC000431843850 1071335018 /nfs/dbraw/zinc/33/50/18/1071335018.db2.gz KEECXTKRDVYWLE-CQSZACIVSA-N 0 0 426.485 0.447 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000431844626 1071335565 /nfs/dbraw/zinc/33/55/65/1071335565.db2.gz YBECKUVOSGDFIK-CRAIPNDOSA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000431844628 1071335406 /nfs/dbraw/zinc/33/54/06/1071335406.db2.gz YBECKUVOSGDFIK-MAUKXSAKSA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000431844629 1071335299 /nfs/dbraw/zinc/33/52/99/1071335299.db2.gz YBECKUVOSGDFIK-QAPCUYQASA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000431844630 1071335391 /nfs/dbraw/zinc/33/53/91/1071335391.db2.gz YBECKUVOSGDFIK-YJBOKZPZSA-N 0 0 445.563 -0.018 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000431851232 1071336920 /nfs/dbraw/zinc/33/69/20/1071336920.db2.gz MODIYOACJAWSEB-UHFFFAOYSA-N 0 0 444.558 -0.269 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2nnc(CCC(=O)OC)s2)CC1 ZINC000431853029 1073332316 /nfs/dbraw/zinc/33/23/16/1073332316.db2.gz CSWGGYQXNOGGSU-UHFFFAOYSA-N 0 0 435.528 0.160 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000431871235 1071351272 /nfs/dbraw/zinc/35/12/72/1071351272.db2.gz PQVXCRMQKYFJTP-CYBMUJFWSA-N 0 0 434.540 -0.222 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000431871236 1071351322 /nfs/dbraw/zinc/35/13/22/1071351322.db2.gz PQVXCRMQKYFJTP-ZDUSSCGKSA-N 0 0 434.540 -0.222 20 0 IBADRN COc1nc(N2CCN(C(=O)NCCNS(C)(=O)=O)CC2)ncc1Br ZINC000431878367 1071351260 /nfs/dbraw/zinc/35/12/60/1071351260.db2.gz ATRIMHRXKLNLBK-UHFFFAOYSA-N 0 0 437.320 -0.372 20 0 IBADRN CC(C)(C(N)=O)N1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000431893038 1071353627 /nfs/dbraw/zinc/35/36/27/1071353627.db2.gz ASYPZNNXOYWYQR-UHFFFAOYSA-N 0 0 430.552 -0.203 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)CC1 ZINC000431894299 1071353483 /nfs/dbraw/zinc/35/34/83/1071353483.db2.gz OZDRBAXBAXZLGI-CRAIPNDOSA-N 0 0 441.554 -0.242 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)CC1 ZINC000431894300 1071353514 /nfs/dbraw/zinc/35/35/14/1071353514.db2.gz OZDRBAXBAXZLGI-MAUKXSAKSA-N 0 0 441.554 -0.242 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)CC1 ZINC000431894301 1071353643 /nfs/dbraw/zinc/35/36/43/1071353643.db2.gz OZDRBAXBAXZLGI-QAPCUYQASA-N 0 0 441.554 -0.242 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)CC1 ZINC000431894302 1071353657 /nfs/dbraw/zinc/35/36/57/1071353657.db2.gz OZDRBAXBAXZLGI-YJBOKZPZSA-N 0 0 441.554 -0.242 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCC2CCN(CC(=O)NC(C)(C)C)CC2)cn1 ZINC000431898031 1071354334 /nfs/dbraw/zinc/35/43/34/1071354334.db2.gz JBOZXBCTGKPRFR-UHFFFAOYSA-N 0 0 429.543 -0.039 20 0 IBADRN CC(C)(C(N)=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000431905298 1071354875 /nfs/dbraw/zinc/35/48/75/1071354875.db2.gz UVBAKIGPIUDBDP-UHFFFAOYSA-N 0 0 430.552 -0.303 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)NCC(F)(F)F)cc3)CCN12 ZINC000431907250 1071354718 /nfs/dbraw/zinc/35/47/18/1071354718.db2.gz NSIDRPVIBIXCHR-JTQLQIEISA-N 0 0 442.441 -0.075 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)NCC(F)(F)F)cc3)CCN12 ZINC000431907251 1071354990 /nfs/dbraw/zinc/35/49/90/1071354990.db2.gz NSIDRPVIBIXCHR-SNVBAGLBSA-N 0 0 442.441 -0.075 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000431909722 1071355731 /nfs/dbraw/zinc/35/57/31/1071355731.db2.gz RMTGNJPLKPTRHI-UHFFFAOYSA-N 0 0 437.544 -0.813 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000431909871 1071355278 /nfs/dbraw/zinc/35/52/78/1071355278.db2.gz AXVAUACPLSOJFZ-CYBMUJFWSA-N 0 0 430.508 -0.894 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000431909872 1071355559 /nfs/dbraw/zinc/35/55/59/1071355559.db2.gz AXVAUACPLSOJFZ-ZDUSSCGKSA-N 0 0 430.508 -0.894 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000431912965 1071355346 /nfs/dbraw/zinc/35/53/46/1071355346.db2.gz RDLHYNFEODBLGQ-UHFFFAOYSA-N 0 0 434.540 -0.364 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000431917051 1071356045 /nfs/dbraw/zinc/35/60/45/1071356045.db2.gz SPYCNXFAIRRPCS-GOSISDBHSA-N 0 0 445.563 -0.065 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000431917052 1071356259 /nfs/dbraw/zinc/35/62/59/1071356259.db2.gz SPYCNXFAIRRPCS-SFHVURJKSA-N 0 0 445.563 -0.065 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000431918669 1071356215 /nfs/dbraw/zinc/35/62/15/1071356215.db2.gz KMUDCFYBEFIIEX-KRWDZBQOSA-N 0 0 433.552 -0.113 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000431918670 1071356063 /nfs/dbraw/zinc/35/60/63/1071356063.db2.gz KMUDCFYBEFIIEX-QGZVFWFLSA-N 0 0 433.552 -0.113 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000431925948 1071356707 /nfs/dbraw/zinc/35/67/07/1071356707.db2.gz NPWYOUSWHQYMHA-UHFFFAOYSA-N 0 0 426.539 -0.203 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000431926793 1071357093 /nfs/dbraw/zinc/35/70/93/1071357093.db2.gz QSEAHLGVNKEPEJ-UHFFFAOYSA-N 0 0 427.527 -0.808 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000431931949 1071357536 /nfs/dbraw/zinc/35/75/36/1071357536.db2.gz UTJADKUQXNMSNP-UHFFFAOYSA-N 0 0 444.529 -0.344 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000431939966 1071360520 /nfs/dbraw/zinc/36/05/20/1071360520.db2.gz UPWPPAWKMQBMDK-HNNXBMFYSA-N 0 0 437.522 -0.317 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000431939967 1071360558 /nfs/dbraw/zinc/36/05/58/1071360558.db2.gz UPWPPAWKMQBMDK-OAHLLOKOSA-N 0 0 437.522 -0.317 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000431941855 1071360502 /nfs/dbraw/zinc/36/05/02/1071360502.db2.gz PWPFZDWLTZLSRR-UHFFFAOYSA-N 0 0 436.581 -0.146 20 0 IBADRN Cn1nccc1[C@H]1[C@H](C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC(=O)N1C ZINC000431942049 1071360409 /nfs/dbraw/zinc/36/04/09/1071360409.db2.gz NTLCZRFTNLVUOV-CRAIPNDOSA-N 0 0 432.506 -0.132 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC(=O)N1C ZINC000431942053 1071360324 /nfs/dbraw/zinc/36/03/24/1071360324.db2.gz NTLCZRFTNLVUOV-MAUKXSAKSA-N 0 0 432.506 -0.132 20 0 IBADRN Cn1nccc1[C@@H]1[C@H](C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC(=O)N1C ZINC000431942055 1071360232 /nfs/dbraw/zinc/36/02/32/1071360232.db2.gz NTLCZRFTNLVUOV-QAPCUYQASA-N 0 0 432.506 -0.132 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC(=O)N1C ZINC000431942058 1071360340 /nfs/dbraw/zinc/36/03/40/1071360340.db2.gz NTLCZRFTNLVUOV-YJBOKZPZSA-N 0 0 432.506 -0.132 20 0 IBADRN O=C(c1ccc2c(c1)NC(=O)CNC2=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000431942602 1071361000 /nfs/dbraw/zinc/36/10/00/1071361000.db2.gz PNMVJVNETDWVQS-UHFFFAOYSA-N 0 0 429.458 -0.090 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@H]2CC[C@@H](CO)O2)CC1 ZINC000431949526 1071361571 /nfs/dbraw/zinc/36/15/71/1071361571.db2.gz IZGOCJVYJVHWMQ-SJORKVTESA-N 0 0 426.539 -0.038 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000431953876 1071361982 /nfs/dbraw/zinc/36/19/82/1071361982.db2.gz ODHBASTVTISFHS-UHFFFAOYSA-N 0 0 444.536 -0.068 20 0 IBADRN O=S(=O)(c1ccc2nnn(OCc3nnnn3CC(F)(F)F)c2c1)N1CCOCC1 ZINC000431957004 1071362972 /nfs/dbraw/zinc/36/29/72/1071362972.db2.gz ZKUSQBMOGBKCDR-UHFFFAOYSA-N 0 0 448.387 -0.370 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000431957677 1071364307 /nfs/dbraw/zinc/36/43/07/1071364307.db2.gz XMSLJUSIIZPTFW-UHFFFAOYSA-N 0 0 432.525 -0.166 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000431966670 1071366652 /nfs/dbraw/zinc/36/66/52/1071366652.db2.gz WJUNQWYBMSJWPG-AWEZNQCLSA-N 0 0 425.511 -0.295 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000431966671 1071366841 /nfs/dbraw/zinc/36/68/41/1071366841.db2.gz WJUNQWYBMSJWPG-CQSZACIVSA-N 0 0 425.511 -0.295 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000431967950 1071367580 /nfs/dbraw/zinc/36/75/80/1071367580.db2.gz WZHZCONABOJZGW-UHFFFAOYSA-N 0 0 444.492 -0.885 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000431968169 1071367663 /nfs/dbraw/zinc/36/76/63/1071367663.db2.gz YWVNCNZYZKMCJR-UHFFFAOYSA-N 0 0 432.506 -0.008 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000431969229 1071367395 /nfs/dbraw/zinc/36/73/95/1071367395.db2.gz LRGZLMYEPAEYPY-UHFFFAOYSA-N 0 0 427.527 -0.047 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000431970719 1071371489 /nfs/dbraw/zinc/37/14/89/1071371489.db2.gz CZFICVYKAWSJNE-INIZCTEOSA-N 0 0 427.465 -0.180 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000431970720 1071371540 /nfs/dbraw/zinc/37/15/40/1071371540.db2.gz CZFICVYKAWSJNE-MRXNPFEDSA-N 0 0 427.465 -0.180 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000431972287 1071371304 /nfs/dbraw/zinc/37/13/04/1071371304.db2.gz JJRSJTOAUYPLRY-UHFFFAOYSA-N 0 0 425.511 -0.341 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000431973169 1071373315 /nfs/dbraw/zinc/37/33/15/1071373315.db2.gz NINOZXZVVYARMK-UHFFFAOYSA-N 0 0 427.527 -0.047 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C)S(C)(=O)=O ZINC000431973547 1071378401 /nfs/dbraw/zinc/37/84/01/1071378401.db2.gz QFNCDVQUWWQYKU-UHFFFAOYSA-N 0 0 427.527 -0.047 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000431976100 1071390213 /nfs/dbraw/zinc/39/02/13/1071390213.db2.gz AAVBGANVOVFYLO-UHFFFAOYSA-N 0 0 430.509 -0.412 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000431987164 1071398956 /nfs/dbraw/zinc/39/89/56/1071398956.db2.gz QDYSNXTUWNKDFI-UHFFFAOYSA-N 0 0 441.554 -0.093 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)oc1C ZINC000431991343 1071398223 /nfs/dbraw/zinc/39/82/23/1071398223.db2.gz JUFUSSCTHBAYID-UHFFFAOYSA-N 0 0 429.451 -0.934 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000431993188 1071398273 /nfs/dbraw/zinc/39/82/73/1071398273.db2.gz RPCDNTISAZNQIM-GASCZTMLSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000431993193 1071398523 /nfs/dbraw/zinc/39/85/23/1071398523.db2.gz RPCDNTISAZNQIM-GJZGRUSLSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000431993195 1071398469 /nfs/dbraw/zinc/39/84/69/1071398469.db2.gz RPCDNTISAZNQIM-HUUCEWRRSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000431995248 1071398189 /nfs/dbraw/zinc/39/81/89/1071398189.db2.gz ZEFHVDQXZQMIJZ-HNNXBMFYSA-N 0 0 439.538 -0.047 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000431995249 1071398406 /nfs/dbraw/zinc/39/84/06/1071398406.db2.gz ZEFHVDQXZQMIJZ-OAHLLOKOSA-N 0 0 439.538 -0.047 20 0 IBADRN C[C@@](O)(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1CCOCC1 ZINC000431997132 1071399124 /nfs/dbraw/zinc/39/91/24/1071399124.db2.gz DFFMGLXJXOPQKT-IBGZPJMESA-N 0 0 426.539 -0.173 20 0 IBADRN C[C@](O)(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1CCOCC1 ZINC000431997133 1071399043 /nfs/dbraw/zinc/39/90/43/1071399043.db2.gz DFFMGLXJXOPQKT-LJQANCHMSA-N 0 0 426.539 -0.173 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000432001435 1071399527 /nfs/dbraw/zinc/39/95/27/1071399527.db2.gz XNVJIDYKIFSAFC-MRVPVSSYSA-N 0 0 427.268 -0.330 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000432001436 1071399540 /nfs/dbraw/zinc/39/95/40/1071399540.db2.gz XNVJIDYKIFSAFC-QMMMGPOBSA-N 0 0 427.268 -0.330 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000432003190 1071399663 /nfs/dbraw/zinc/39/96/63/1071399663.db2.gz ZDQYYRWTZJRCTK-UHFFFAOYSA-N 0 0 446.508 -0.639 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)c3ccc4c(c3)NC(=O)CNC4=O)CC2)cc1 ZINC000432011312 1071399730 /nfs/dbraw/zinc/39/97/30/1071399730.db2.gz FKFRTWIEYBXGGF-UHFFFAOYSA-N 0 0 443.485 -0.022 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCn3c(nnc3-c3cccnc3)C2)c(=O)[nH]c1=O ZINC000432013003 1071399593 /nfs/dbraw/zinc/39/95/93/1071399593.db2.gz KHFLTJLUJYOGPV-UHFFFAOYSA-N 0 0 426.437 -0.471 20 0 IBADRN Cn1nccc1[C@@H]1[C@H](C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC(=O)N1C ZINC000432016077 1071398940 /nfs/dbraw/zinc/39/89/40/1071398940.db2.gz VRPAOHSNQXBLCK-APWZRJJASA-N 0 0 446.533 -0.064 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC(=O)N1C ZINC000432016078 1071399148 /nfs/dbraw/zinc/39/91/48/1071399148.db2.gz VRPAOHSNQXBLCK-LPHOPBHVSA-N 0 0 446.533 -0.064 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC(=O)N1C ZINC000432016079 1071398844 /nfs/dbraw/zinc/39/88/44/1071398844.db2.gz VRPAOHSNQXBLCK-QFBILLFUSA-N 0 0 446.533 -0.064 20 0 IBADRN Cn1nccc1[C@H]1[C@H](C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC(=O)N1C ZINC000432016080 1071398923 /nfs/dbraw/zinc/39/89/23/1071398923.db2.gz VRPAOHSNQXBLCK-VQIMIIECSA-N 0 0 446.533 -0.064 20 0 IBADRN COc1cc2c(c(OC)c1OC)CCN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)CC2 ZINC000432018529 1071401258 /nfs/dbraw/zinc/40/12/58/1071401258.db2.gz GTJRBYGJAOJWQX-UHFFFAOYSA-N 0 0 432.477 -0.025 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)CCCOC)CC2)c(=O)[nH]c1=O ZINC000432024957 1071400526 /nfs/dbraw/zinc/40/05/26/1071400526.db2.gz HKIGKTOOFWKOOP-UHFFFAOYSA-N 0 0 431.515 -0.892 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000432030256 1071400497 /nfs/dbraw/zinc/40/04/97/1071400497.db2.gz CSZIQSUEUXPGRP-AWEZNQCLSA-N 0 0 448.567 -0.355 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000432030257 1071400468 /nfs/dbraw/zinc/40/04/68/1071400468.db2.gz CSZIQSUEUXPGRP-CQSZACIVSA-N 0 0 448.567 -0.355 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)N2CCN(Cc3ccco3)CC2)CC1 ZINC000432030467 1071400540 /nfs/dbraw/zinc/40/05/40/1071400540.db2.gz GJMVQIWHCLQAHA-UHFFFAOYSA-N 0 0 428.555 -0.092 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000432034472 1071401308 /nfs/dbraw/zinc/40/13/08/1071401308.db2.gz XZGGGGAGIMOJEN-UHFFFAOYSA-N 0 0 447.579 -0.310 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CC1 ZINC000432035517 1071401943 /nfs/dbraw/zinc/40/19/43/1071401943.db2.gz VHAXBJBWCPWRKO-UHFFFAOYSA-N 0 0 445.542 -0.646 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000432037088 1071402844 /nfs/dbraw/zinc/40/28/44/1071402844.db2.gz CSRFWCRNAZPDGL-UHFFFAOYSA-N 0 0 434.540 -0.744 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2C)CC1 ZINC000432038086 1071402982 /nfs/dbraw/zinc/40/29/82/1071402982.db2.gz HVDYINQWEAZTCB-UHFFFAOYSA-N 0 0 448.567 -0.435 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000432038340 1071402991 /nfs/dbraw/zinc/40/29/91/1071402991.db2.gz IIMGEDGMIYDRCN-UHFFFAOYSA-N 0 0 441.489 -0.276 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCCN(c3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000432039290 1071403006 /nfs/dbraw/zinc/40/30/06/1071403006.db2.gz VFVVLKGCRDGZSB-GOSISDBHSA-N 0 0 428.489 -0.041 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCN(c3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000432039292 1071402964 /nfs/dbraw/zinc/40/29/64/1071402964.db2.gz VFVVLKGCRDGZSB-SFHVURJKSA-N 0 0 428.489 -0.041 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CC1 ZINC000432039866 1071402890 /nfs/dbraw/zinc/40/28/90/1071402890.db2.gz MAQDCZKGTSOJNL-UHFFFAOYSA-N 0 0 429.499 -0.967 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000432042510 1071402874 /nfs/dbraw/zinc/40/28/74/1071402874.db2.gz XCADYESXKNYNSP-UHFFFAOYSA-N 0 0 438.506 -0.165 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000432042659 1071402859 /nfs/dbraw/zinc/40/28/59/1071402859.db2.gz XPODWSWFEREUSP-KRWDZBQOSA-N 0 0 440.570 -0.502 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000432042660 1071403463 /nfs/dbraw/zinc/40/34/63/1071403463.db2.gz XPODWSWFEREUSP-QGZVFWFLSA-N 0 0 440.570 -0.502 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000432043178 1071403533 /nfs/dbraw/zinc/40/35/33/1071403533.db2.gz ZOFJEUOKNULBAE-UHFFFAOYSA-N 0 0 434.540 -0.744 20 0 IBADRN COCCCS(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2C(=O)OC)CC1 ZINC000432056351 1071403723 /nfs/dbraw/zinc/40/37/23/1071403723.db2.gz ANYWDJOUNFAJNF-UHFFFAOYSA-N 0 0 435.524 -0.391 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(C)C ZINC000432079265 1071405334 /nfs/dbraw/zinc/40/53/34/1071405334.db2.gz MJEMRTWEDLIXLX-KRWDZBQOSA-N 0 0 440.570 -0.007 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432080595 1071405404 /nfs/dbraw/zinc/40/54/04/1071405404.db2.gz OSGHSAIFKBNPGF-HNNXBMFYSA-N 0 0 439.542 -0.199 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432080601 1071405303 /nfs/dbraw/zinc/40/53/03/1071405303.db2.gz OSGHSAIFKBNPGF-OAHLLOKOSA-N 0 0 439.542 -0.199 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432081278 1071405369 /nfs/dbraw/zinc/40/53/69/1071405369.db2.gz QQPNEOCUJULJST-AWEZNQCLSA-N 0 0 425.515 -0.587 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432081279 1071405262 /nfs/dbraw/zinc/40/52/62/1071405262.db2.gz QQPNEOCUJULJST-CQSZACIVSA-N 0 0 425.515 -0.587 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432083056 1071404464 /nfs/dbraw/zinc/40/44/64/1071404464.db2.gz VRNDMCWGPTZIMB-KBPBESRZSA-N 0 0 447.525 -0.443 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432083057 1071404337 /nfs/dbraw/zinc/40/43/37/1071404337.db2.gz VRNDMCWGPTZIMB-KGLIPLIRSA-N 0 0 447.525 -0.443 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432083058 1071404522 /nfs/dbraw/zinc/40/45/22/1071404522.db2.gz VRNDMCWGPTZIMB-UONOGXRCSA-N 0 0 447.525 -0.443 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432083059 1071405459 /nfs/dbraw/zinc/40/54/59/1071405459.db2.gz VRNDMCWGPTZIMB-ZIAGYGMSSA-N 0 0 447.525 -0.443 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)NCCCN1CCN(c3ncccn3)CC1)C2 ZINC000432101571 1071407027 /nfs/dbraw/zinc/40/70/27/1071407027.db2.gz BQOPYNLORZZSTP-UHFFFAOYSA-N 0 0 429.529 -0.006 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)cn1C ZINC000432111293 1071407146 /nfs/dbraw/zinc/40/71/46/1071407146.db2.gz JXUPILMLZGGOFB-AWEZNQCLSA-N 0 0 447.525 -0.523 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)cn1C ZINC000432111297 1071406992 /nfs/dbraw/zinc/40/69/92/1071406992.db2.gz JXUPILMLZGGOFB-CQSZACIVSA-N 0 0 447.525 -0.523 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(C(C)(C)C(N)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000432119733 1071407679 /nfs/dbraw/zinc/40/76/79/1071407679.db2.gz ILQWMRAUQSIDQC-FQEVSTJZSA-N 0 0 438.550 -0.151 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(C(C)(C)C(N)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000432119734 1071407607 /nfs/dbraw/zinc/40/76/07/1071407607.db2.gz ILQWMRAUQSIDQC-HXUWFJFHSA-N 0 0 438.550 -0.151 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CC(OCC(=O)N(C)C)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000432120188 1071407530 /nfs/dbraw/zinc/40/75/30/1071407530.db2.gz NKFSRZBVMYMKNV-IBGZPJMESA-N 0 0 425.507 -0.243 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CC(OCC(=O)N(C)C)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000432120189 1071407667 /nfs/dbraw/zinc/40/76/67/1071407667.db2.gz NKFSRZBVMYMKNV-LJQANCHMSA-N 0 0 425.507 -0.243 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000432156957 1071410051 /nfs/dbraw/zinc/41/00/51/1071410051.db2.gz WVNNLXBYCQZPOT-UHFFFAOYSA-N 0 0 437.497 0.566 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCc2cccc(N3CCOCC3)c2)[C@H](O)[C@@H]1O ZINC000432166315 1071411324 /nfs/dbraw/zinc/41/13/24/1071411324.db2.gz KVYYXPXWVZAUSG-QTQZEZTPSA-N 0 0 442.476 -0.114 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000432177682 1071411048 /nfs/dbraw/zinc/41/10/48/1071411048.db2.gz NQPJIJMJNUWVAJ-UHFFFAOYSA-N 0 0 442.523 -0.443 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC000432178626 1071411849 /nfs/dbraw/zinc/41/18/49/1071411849.db2.gz RFSKVEULWNTBFW-HNNXBMFYSA-N 0 0 447.535 -0.327 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC000432178628 1071411892 /nfs/dbraw/zinc/41/18/92/1071411892.db2.gz RFSKVEULWNTBFW-OAHLLOKOSA-N 0 0 447.535 -0.327 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000432179727 1071411912 /nfs/dbraw/zinc/41/19/12/1071411912.db2.gz RYYDMZSNAMBTNJ-INIZCTEOSA-N 0 0 429.529 -0.196 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000432179728 1071411934 /nfs/dbraw/zinc/41/19/34/1071411934.db2.gz RYYDMZSNAMBTNJ-MRXNPFEDSA-N 0 0 429.529 -0.196 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000432182625 1071411880 /nfs/dbraw/zinc/41/18/80/1071411880.db2.gz PEXPLTGVAQCMRX-GOSISDBHSA-N 0 0 449.595 -0.018 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000432182626 1071411871 /nfs/dbraw/zinc/41/18/71/1071411871.db2.gz PEXPLTGVAQCMRX-SFHVURJKSA-N 0 0 449.595 -0.018 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000432184611 1071411773 /nfs/dbraw/zinc/41/17/73/1071411773.db2.gz GRUGCDCCAFAYRN-AWEZNQCLSA-N 0 0 433.552 -0.165 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000432184612 1071411863 /nfs/dbraw/zinc/41/18/63/1071411863.db2.gz GRUGCDCCAFAYRN-CQSZACIVSA-N 0 0 433.552 -0.165 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000432184613 1071411886 /nfs/dbraw/zinc/41/18/86/1071411886.db2.gz GRWUQNSLUHKWPD-AWEZNQCLSA-N 0 0 425.554 -0.328 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000432184614 1071411954 /nfs/dbraw/zinc/41/19/54/1071411954.db2.gz GRWUQNSLUHKWPD-CQSZACIVSA-N 0 0 425.554 -0.328 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1)CC2 ZINC000432186303 1071412729 /nfs/dbraw/zinc/41/27/29/1071412729.db2.gz OQRFUNBQBBWRTC-UHFFFAOYSA-N 0 0 438.487 -0.230 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3c(c1)CCCC3=O)C2 ZINC000432186394 1071412594 /nfs/dbraw/zinc/41/25/94/1071412594.db2.gz LHFCZXOKNDKKRZ-UHFFFAOYSA-N 0 0 433.490 -0.144 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000432187827 1071412628 /nfs/dbraw/zinc/41/26/28/1071412628.db2.gz RMMMEPYHZQXNTH-HNNXBMFYSA-N 0 0 429.529 -0.068 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000432187828 1071412568 /nfs/dbraw/zinc/41/25/68/1071412568.db2.gz RMMMEPYHZQXNTH-OAHLLOKOSA-N 0 0 429.529 -0.068 20 0 IBADRN C[C@](O)(CNC(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)CN1CCOCC1 ZINC000432190066 1071412496 /nfs/dbraw/zinc/41/24/96/1071412496.db2.gz DPXPOZKEGXQNFL-JXFKEZNVSA-N 0 0 432.529 -0.277 20 0 IBADRN C[C@@](O)(CNC(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)CN1CCOCC1 ZINC000432190070 1071412735 /nfs/dbraw/zinc/41/27/35/1071412735.db2.gz DPXPOZKEGXQNFL-OXJNMPFZSA-N 0 0 432.529 -0.277 20 0 IBADRN C[C@@](O)(CNC(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)CN1CCOCC1 ZINC000432190071 1071412744 /nfs/dbraw/zinc/41/27/44/1071412744.db2.gz DPXPOZKEGXQNFL-OXQOHEQNSA-N 0 0 432.529 -0.277 20 0 IBADRN C[C@](O)(CNC(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)CN1CCOCC1 ZINC000432190072 1071412718 /nfs/dbraw/zinc/41/27/18/1071412718.db2.gz DPXPOZKEGXQNFL-UZLBHIALSA-N 0 0 432.529 -0.277 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2ccn(C)n2)cc1 ZINC000432192542 1071413199 /nfs/dbraw/zinc/41/31/99/1071413199.db2.gz NLZBXSRMMKTXDU-UHFFFAOYSA-N 0 0 429.524 0.271 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000432194302 1071413269 /nfs/dbraw/zinc/41/32/69/1071413269.db2.gz GYSJZYRSZQKGSX-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN Cc1nsc(N2CCN(C(=O)CCC(=O)Nc3ccc4c(n3)n(C)c(=O)n4C)CC2)n1 ZINC000432194965 1073352698 /nfs/dbraw/zinc/35/26/98/1073352698.db2.gz JTZDQTWCUJFUMI-UHFFFAOYSA-N 0 0 444.521 0.499 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000432197390 1071413306 /nfs/dbraw/zinc/41/33/06/1071413306.db2.gz XWMAKHYNQDQUAM-UHFFFAOYSA-N 0 0 427.570 -0.209 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000432197741 1071413393 /nfs/dbraw/zinc/41/33/93/1071413393.db2.gz FFBWBHWXQOOGBX-UHFFFAOYSA-N 0 0 439.581 -0.209 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC000432198154 1071413285 /nfs/dbraw/zinc/41/32/85/1071413285.db2.gz KEIFZHBGIFTPAI-UHFFFAOYSA-N 0 0 427.570 -0.162 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000432200070 1071414203 /nfs/dbraw/zinc/41/42/03/1071414203.db2.gz DPFOBONGSCICLC-AWEZNQCLSA-N 0 0 430.508 -0.454 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000432200071 1071414055 /nfs/dbraw/zinc/41/40/55/1071414055.db2.gz DPFOBONGSCICLC-CQSZACIVSA-N 0 0 430.508 -0.454 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2ccc(S(=O)(=O)NCC(C)C)cc2)nn1 ZINC000432200391 1071414221 /nfs/dbraw/zinc/41/42/21/1071414221.db2.gz GZLPMVSXDLHWCC-UHFFFAOYSA-N 0 0 445.523 -0.136 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000432201651 1071414146 /nfs/dbraw/zinc/41/41/46/1071414146.db2.gz FUJBWEONSFRMRM-KRWDZBQOSA-N 0 0 444.535 -0.016 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000432201652 1071414079 /nfs/dbraw/zinc/41/40/79/1071414079.db2.gz FUJBWEONSFRMRM-QGZVFWFLSA-N 0 0 444.535 -0.016 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000432203780 1071415005 /nfs/dbraw/zinc/41/50/05/1071415005.db2.gz WQPWMGDZNBKQIJ-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCN2CCNC(=O)C2)cc1 ZINC000432208561 1071415704 /nfs/dbraw/zinc/41/57/04/1071415704.db2.gz QOJVDNNYQLIIPO-UHFFFAOYSA-N 0 0 432.568 -0.279 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000432213079 1071415670 /nfs/dbraw/zinc/41/56/70/1071415670.db2.gz MLVRJMQDFXCRME-UHFFFAOYSA-N 0 0 432.524 -0.159 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)cc1 ZINC000432215739 1071415726 /nfs/dbraw/zinc/41/57/26/1071415726.db2.gz JLBYMSGXMGAMPP-UHFFFAOYSA-N 0 0 439.581 -0.019 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC000432216181 1071416337 /nfs/dbraw/zinc/41/63/37/1071416337.db2.gz LQTMXCIFHYVWKZ-AWEZNQCLSA-N 0 0 433.508 -0.717 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC000432216182 1071416552 /nfs/dbraw/zinc/41/65/52/1071416552.db2.gz LQTMXCIFHYVWKZ-CQSZACIVSA-N 0 0 433.508 -0.717 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000432216628 1071416477 /nfs/dbraw/zinc/41/64/77/1071416477.db2.gz AVAUYCSGPAVFRH-CYBMUJFWSA-N 0 0 439.581 -0.067 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000432216629 1071416387 /nfs/dbraw/zinc/41/63/87/1071416387.db2.gz AVAUYCSGPAVFRH-ZDUSSCGKSA-N 0 0 439.581 -0.067 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1 ZINC000432219612 1071416585 /nfs/dbraw/zinc/41/65/85/1071416585.db2.gz FMLBNSIPRCXDFD-CYBMUJFWSA-N 0 0 440.565 -0.287 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1 ZINC000432219613 1071416432 /nfs/dbraw/zinc/41/64/32/1071416432.db2.gz FMLBNSIPRCXDFD-ZDUSSCGKSA-N 0 0 440.565 -0.287 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000432227029 1071423984 /nfs/dbraw/zinc/42/39/84/1071423984.db2.gz RXIBHXQBKAYEJV-UHFFFAOYSA-N 0 0 440.569 -0.869 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCCC3=O)CC1)N1CCOCC1 ZINC000432230922 1071427115 /nfs/dbraw/zinc/42/71/15/1071427115.db2.gz ITXBLCZURDZPBE-UHFFFAOYSA-N 0 0 435.502 -0.103 20 0 IBADRN O=C1CCCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc21 ZINC000432231868 1071428684 /nfs/dbraw/zinc/42/86/84/1071428684.db2.gz NENNMBBTVILHIT-DLBZAZTESA-N 0 0 428.532 -0.018 20 0 IBADRN O=C1CCCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc21 ZINC000432231869 1071428691 /nfs/dbraw/zinc/42/86/91/1071428691.db2.gz NENNMBBTVILHIT-IAGOWNOFSA-N 0 0 428.532 -0.018 20 0 IBADRN O=C1CCCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc21 ZINC000432231870 1071428652 /nfs/dbraw/zinc/42/86/52/1071428652.db2.gz NENNMBBTVILHIT-IRXDYDNUSA-N 0 0 428.532 -0.018 20 0 IBADRN O=C1CCCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc21 ZINC000432231871 1071428671 /nfs/dbraw/zinc/42/86/71/1071428671.db2.gz NENNMBBTVILHIT-SJORKVTESA-N 0 0 428.532 -0.018 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCNS(C)(=O)=O)cc1 ZINC000432233419 1071429496 /nfs/dbraw/zinc/42/94/96/1071429496.db2.gz UOLRVKPLOLOVEJ-UHFFFAOYSA-N 0 0 427.570 -0.162 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2ccc(S(=O)(=O)NCC(C)C)cc2)nn1 ZINC000432233941 1071430400 /nfs/dbraw/zinc/43/04/00/1071430400.db2.gz WGXMRHKYJCKHIS-UHFFFAOYSA-N 0 0 445.523 -0.023 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)cc1 ZINC000432234534 1071429265 /nfs/dbraw/zinc/42/92/65/1071429265.db2.gz XZANYVWPXYUNSM-UHFFFAOYSA-N 0 0 444.535 -0.016 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@H]2CN(C3CC3)CCO2)c1 ZINC000432235026 1071430351 /nfs/dbraw/zinc/43/03/51/1071430351.db2.gz YACZWXIRVGCIKR-HNNXBMFYSA-N 0 0 425.511 -0.304 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@@H]2CN(C3CC3)CCO2)c1 ZINC000432235027 1071430296 /nfs/dbraw/zinc/43/02/96/1071430296.db2.gz YACZWXIRVGCIKR-OAHLLOKOSA-N 0 0 425.511 -0.304 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000432244257 1071431687 /nfs/dbraw/zinc/43/16/87/1071431687.db2.gz CKKXDESXFJRVMQ-AEFFLSMTSA-N 0 0 445.563 -0.018 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000432244258 1071431724 /nfs/dbraw/zinc/43/17/24/1071431724.db2.gz CKKXDESXFJRVMQ-FUHWJXTLSA-N 0 0 445.563 -0.018 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000432244259 1071432502 /nfs/dbraw/zinc/43/25/02/1071432502.db2.gz CKKXDESXFJRVMQ-SJLPKXTDSA-N 0 0 445.563 -0.018 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000432244260 1071432516 /nfs/dbraw/zinc/43/25/16/1071432516.db2.gz CKKXDESXFJRVMQ-WMZOPIPTSA-N 0 0 445.563 -0.018 20 0 IBADRN COCCn1nnc2c1CCN(S(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1)C2 ZINC000432256387 1071432917 /nfs/dbraw/zinc/43/29/17/1071432917.db2.gz CGAUBJPOAXBWKT-UHFFFAOYSA-N 0 0 429.524 -0.078 20 0 IBADRN COCCn1nnc2c1CCN(S(=O)(=O)CCNS(=O)(=O)c1ccccc1)C2 ZINC000432258666 1071433814 /nfs/dbraw/zinc/43/38/14/1071433814.db2.gz LDNYNLVOHGTPCE-UHFFFAOYSA-N 0 0 429.524 -0.409 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCc2c(nnn2CCOC)C1 ZINC000432269932 1071434772 /nfs/dbraw/zinc/43/47/72/1071434772.db2.gz JULWCXSERHWDGE-UHFFFAOYSA-N 0 0 429.524 -0.030 20 0 IBADRN COCCn1nnc2c1CCN(S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C2 ZINC000432275648 1071435480 /nfs/dbraw/zinc/43/54/80/1071435480.db2.gz UHSNTIKKQMPKAP-UHFFFAOYSA-N 0 0 429.524 -0.078 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2cccc3c2OCCCO3)n1)N1CCOCC1 ZINC000432277930 1071435655 /nfs/dbraw/zinc/43/56/55/1071435655.db2.gz VLTWDBIXQHQFIC-UHFFFAOYSA-N 0 0 443.460 0.158 20 0 IBADRN CN(C)c1cccc(CN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000432281946 1071436228 /nfs/dbraw/zinc/43/62/28/1071436228.db2.gz AJJIQDCJFVYZRN-UHFFFAOYSA-N 0 0 428.493 0.405 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCc3c(nnn3CCOC)C2)cc1C ZINC000432284081 1071436285 /nfs/dbraw/zinc/43/62/85/1071436285.db2.gz OFJFNXSLJZIBFK-UHFFFAOYSA-N 0 0 429.524 -0.112 20 0 IBADRN COCCn1nnc2c1CCN(S(=O)(=O)c1ccccc1S(=O)(=O)N(C)C)C2 ZINC000432284840 1071436319 /nfs/dbraw/zinc/43/63/19/1071436319.db2.gz JJWNRBGCSILCJG-UHFFFAOYSA-N 0 0 429.524 -0.078 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)CC2)cn1 ZINC000432296435 1071437133 /nfs/dbraw/zinc/43/71/33/1071437133.db2.gz LNGDLJALIGAREO-AWEZNQCLSA-N 0 0 435.572 -0.347 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)CC2)cn1 ZINC000432296436 1071436943 /nfs/dbraw/zinc/43/69/43/1071436943.db2.gz LNGDLJALIGAREO-CQSZACIVSA-N 0 0 435.572 -0.347 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)CC[C@@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000432312838 1071443723 /nfs/dbraw/zinc/44/37/23/1071443723.db2.gz ITLNWHXANIZPGB-APPDUMDISA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)CC[C@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000432312839 1071443693 /nfs/dbraw/zinc/44/36/93/1071443693.db2.gz ITLNWHXANIZPGB-DIFFPNOSSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)CC[C@@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000432312840 1071443907 /nfs/dbraw/zinc/44/39/07/1071443907.db2.gz ITLNWHXANIZPGB-GTNSWQLSSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)CC[C@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000432312841 1071443778 /nfs/dbraw/zinc/44/37/78/1071443778.db2.gz ITLNWHXANIZPGB-PIGZYNQJSA-N 0 0 445.519 -0.053 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)CC)c1ccc(NC(=O)CNS(=O)(=O)CC)cc1 ZINC000432323116 1071450744 /nfs/dbraw/zinc/45/07/44/1071450744.db2.gz OWXUJPWYWVIWAG-UHFFFAOYSA-N 0 0 434.540 -0.143 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1)NC1CC1 ZINC000432325416 1071450759 /nfs/dbraw/zinc/45/07/59/1071450759.db2.gz LIQKYVRUBBLSSE-INIZCTEOSA-N 0 0 441.540 -0.054 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1)NC1CC1 ZINC000432325417 1071450940 /nfs/dbraw/zinc/45/09/40/1071450940.db2.gz LIQKYVRUBBLSSE-MRXNPFEDSA-N 0 0 441.540 -0.054 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@@](C)(NC(C)=O)c3ccc(S(C)(=O)=O)cc3)C2)nn1 ZINC000432328212 1071450946 /nfs/dbraw/zinc/45/09/46/1071450946.db2.gz VUAPVGUTEYCPOI-IBGZPJMESA-N 0 0 449.489 -0.097 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@](C)(NC(C)=O)c3ccc(S(C)(=O)=O)cc3)C2)nn1 ZINC000432328213 1071450920 /nfs/dbraw/zinc/45/09/20/1071450920.db2.gz VUAPVGUTEYCPOI-LJQANCHMSA-N 0 0 449.489 -0.097 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432330225 1071451621 /nfs/dbraw/zinc/45/16/21/1071451621.db2.gz YTWRXJSBDCGJHI-DLBZAZTESA-N 0 0 432.529 -0.325 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432330226 1071451545 /nfs/dbraw/zinc/45/15/45/1071451545.db2.gz YTWRXJSBDCGJHI-IAGOWNOFSA-N 0 0 432.529 -0.325 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432330227 1071451365 /nfs/dbraw/zinc/45/13/65/1071451365.db2.gz YTWRXJSBDCGJHI-IRXDYDNUSA-N 0 0 432.529 -0.325 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000432330228 1071452172 /nfs/dbraw/zinc/45/21/72/1071452172.db2.gz YTWRXJSBDCGJHI-SJORKVTESA-N 0 0 432.529 -0.325 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H](C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000432335413 1071453900 /nfs/dbraw/zinc/45/39/00/1071453900.db2.gz JPGBWBZAWFISBU-APPDUMDISA-N 0 0 445.519 -0.195 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H](C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000432335414 1071453895 /nfs/dbraw/zinc/45/38/95/1071453895.db2.gz JPGBWBZAWFISBU-DIFFPNOSSA-N 0 0 445.519 -0.195 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H](C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000432335415 1071453887 /nfs/dbraw/zinc/45/38/87/1071453887.db2.gz JPGBWBZAWFISBU-GTNSWQLSSA-N 0 0 445.519 -0.195 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H](C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000432335416 1071453840 /nfs/dbraw/zinc/45/38/40/1071453840.db2.gz JPGBWBZAWFISBU-PIGZYNQJSA-N 0 0 445.519 -0.195 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C)C2 ZINC000432348752 1071455207 /nfs/dbraw/zinc/45/52/07/1071455207.db2.gz STJFHENSPPKKQC-AWEZNQCLSA-N 0 0 429.547 -0.347 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C)C2 ZINC000432348753 1071455227 /nfs/dbraw/zinc/45/52/27/1071455227.db2.gz STJFHENSPPKKQC-CQSZACIVSA-N 0 0 429.547 -0.347 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2 ZINC000432361233 1071456092 /nfs/dbraw/zinc/45/60/92/1071456092.db2.gz ZARWEJZEOKRZSE-GFCCVEGCSA-N 0 0 428.437 -0.186 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2 ZINC000432361234 1071456652 /nfs/dbraw/zinc/45/66/52/1071456652.db2.gz ZARWEJZEOKRZSE-LBPRGKRZSA-N 0 0 428.437 -0.186 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)c1 ZINC000432367460 1071458491 /nfs/dbraw/zinc/45/84/91/1071458491.db2.gz KTOHMCQKHBXVBY-UHFFFAOYSA-N 0 0 440.503 -0.158 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000432379837 1071460509 /nfs/dbraw/zinc/46/05/09/1071460509.db2.gz XIWZTKSHCIQNMX-AWEZNQCLSA-N 0 0 426.561 -0.896 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000432379842 1071460340 /nfs/dbraw/zinc/46/03/40/1071460340.db2.gz XIWZTKSHCIQNMX-CQSZACIVSA-N 0 0 426.561 -0.896 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000432384874 1071461155 /nfs/dbraw/zinc/46/11/55/1071461155.db2.gz DJOFMJHWXXYSBN-UHFFFAOYSA-N 0 0 448.567 -0.342 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000432386372 1071461173 /nfs/dbraw/zinc/46/11/73/1071461173.db2.gz IQSCNVQXCOYKNY-UHFFFAOYSA-N 0 0 433.575 -0.768 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NCC2CCC2)CC1 ZINC000432386759 1071461234 /nfs/dbraw/zinc/46/12/34/1071461234.db2.gz MOMRJWMVQLJIGZ-UHFFFAOYSA-N 0 0 440.588 -0.601 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000432391892 1071461910 /nfs/dbraw/zinc/46/19/10/1071461910.db2.gz OLXIDWBERLHFHZ-UHFFFAOYSA-N 0 0 438.506 -0.024 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000432396246 1071461972 /nfs/dbraw/zinc/46/19/72/1071461972.db2.gz CMVAOUUAFIAESR-GFCCVEGCSA-N 0 0 430.449 -0.157 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000432396247 1071461879 /nfs/dbraw/zinc/46/18/79/1071461879.db2.gz CMVAOUUAFIAESR-LBPRGKRZSA-N 0 0 430.449 -0.157 20 0 IBADRN Cc1c(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)nnn1-c1ccn(C)n1 ZINC000432398219 1071462912 /nfs/dbraw/zinc/46/29/12/1071462912.db2.gz ZRDHDOKXQHNRAU-UHFFFAOYSA-N 0 0 444.477 -0.318 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000432400020 1071461858 /nfs/dbraw/zinc/46/18/58/1071461858.db2.gz IXGAULDENAEXOH-HNNXBMFYSA-N 0 0 428.515 -0.781 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000432400021 1071461923 /nfs/dbraw/zinc/46/19/23/1071461923.db2.gz IXGAULDENAEXOH-OAHLLOKOSA-N 0 0 428.515 -0.781 20 0 IBADRN Cc1c(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)nnn1-c1ccn(C)n1 ZINC000432402586 1071462967 /nfs/dbraw/zinc/46/29/67/1071462967.db2.gz PPPDBEAWYMCDFI-UHFFFAOYSA-N 0 0 430.494 -0.081 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000432407333 1071462669 /nfs/dbraw/zinc/46/26/69/1071462669.db2.gz ZEWAJJAFEIFRPF-UHFFFAOYSA-N 0 0 441.554 -0.224 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000432451532 1071465152 /nfs/dbraw/zinc/46/51/52/1071465152.db2.gz ZCTZEDWRKUTSHH-HNNXBMFYSA-N 0 0 442.542 -0.391 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000432451534 1071465104 /nfs/dbraw/zinc/46/51/04/1071465104.db2.gz ZCTZEDWRKUTSHH-OAHLLOKOSA-N 0 0 442.542 -0.391 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000432451604 1071465170 /nfs/dbraw/zinc/46/51/70/1071465170.db2.gz TXCILTDAWCJMLN-UHFFFAOYSA-N 0 0 428.577 -0.649 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000432453776 1071465143 /nfs/dbraw/zinc/46/51/43/1071465143.db2.gz CVHWMEMXZWCIDW-INIZCTEOSA-N 0 0 432.543 -0.540 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000432453777 1071465167 /nfs/dbraw/zinc/46/51/67/1071465167.db2.gz CVHWMEMXZWCIDW-MRXNPFEDSA-N 0 0 432.543 -0.540 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000432459182 1071465070 /nfs/dbraw/zinc/46/50/70/1071465070.db2.gz IWXMLAVNOBVPAP-JYJNAYRXSA-N 0 0 433.575 -0.172 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C(C)C ZINC000432459183 1071465100 /nfs/dbraw/zinc/46/51/00/1071465100.db2.gz IWXMLAVNOBVPAP-OAGGEKHMSA-N 0 0 433.575 -0.172 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000432459184 1071465095 /nfs/dbraw/zinc/46/50/95/1071465095.db2.gz IWXMLAVNOBVPAP-XHSDSOJGSA-N 0 0 433.575 -0.172 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCc3nc(COC)nn3C2)CC1 ZINC000432466839 1071465820 /nfs/dbraw/zinc/46/58/20/1071465820.db2.gz ZITATZKIWJXABP-AWEZNQCLSA-N 0 0 430.531 -0.567 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCc3nc(COC)nn3C2)CC1 ZINC000432466840 1071465838 /nfs/dbraw/zinc/46/58/38/1071465838.db2.gz ZITATZKIWJXABP-CQSZACIVSA-N 0 0 430.531 -0.567 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000432466906 1071465888 /nfs/dbraw/zinc/46/58/88/1071465888.db2.gz VFNALZDQJBRNIK-UHFFFAOYSA-N 0 0 428.511 -0.256 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(C)C ZINC000432467330 1071465915 /nfs/dbraw/zinc/46/59/15/1071465915.db2.gz YCPWWDLWCHDPGS-AWEZNQCLSA-N 0 0 429.499 -0.445 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000432467559 1071465972 /nfs/dbraw/zinc/46/59/72/1071465972.db2.gz AHJCKTGSWRIBCN-HNNXBMFYSA-N 0 0 442.542 -0.473 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000432467560 1071465965 /nfs/dbraw/zinc/46/59/65/1071465965.db2.gz AHJCKTGSWRIBCN-OAHLLOKOSA-N 0 0 442.542 -0.473 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(N3CCOCC3)c1)C2 ZINC000432473367 1071466696 /nfs/dbraw/zinc/46/66/96/1071466696.db2.gz JWSUAUINNDJDEF-UHFFFAOYSA-N 0 0 443.508 -0.305 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000432476637 1071466599 /nfs/dbraw/zinc/46/65/99/1071466599.db2.gz KKZAMFXVHRLFLP-UHFFFAOYSA-N 0 0 445.520 -0.264 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC2CCN(C(=O)CCOC)CC2)CC1 ZINC000432476726 1071466516 /nfs/dbraw/zinc/46/65/16/1071466516.db2.gz NRZGTLVSVPORDH-UHFFFAOYSA-N 0 0 434.559 -0.293 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000432488172 1073551926 /nfs/dbraw/zinc/55/19/26/1073551926.db2.gz DBBXDLOVGWASKT-UHFFFAOYSA-N 0 0 435.348 -0.567 20 0 IBADRN CCS(=O)(=O)NCC(=O)NC[C@H](NC(=O)CNS(=O)(=O)CC)C1CCCCC1 ZINC000432493611 1071468162 /nfs/dbraw/zinc/46/81/62/1071468162.db2.gz LTYUPRAEUPKDFY-AWEZNQCLSA-N 0 0 440.588 -0.954 20 0 IBADRN CCS(=O)(=O)NCC(=O)NC[C@@H](NC(=O)CNS(=O)(=O)CC)C1CCCCC1 ZINC000432493616 1071468121 /nfs/dbraw/zinc/46/81/21/1071468121.db2.gz LTYUPRAEUPKDFY-CQSZACIVSA-N 0 0 440.588 -0.954 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000432498725 1071468101 /nfs/dbraw/zinc/46/81/01/1071468101.db2.gz BCJJCIRAEYQGIM-UHFFFAOYSA-N 0 0 430.527 -0.248 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1 ZINC000432498966 1071468209 /nfs/dbraw/zinc/46/82/09/1071468209.db2.gz AJZJVSGLYSFMFM-UHFFFAOYSA-N 0 0 425.554 -0.220 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000432499229 1071468948 /nfs/dbraw/zinc/46/89/48/1071468948.db2.gz FGSYBTPTMREUTP-UHFFFAOYSA-N 0 0 434.540 -0.740 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000432499417 1071468970 /nfs/dbraw/zinc/46/89/70/1071468970.db2.gz CUYZHVFHMQKSHC-UHFFFAOYSA-N 0 0 438.506 -0.138 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccccc2)CC1 ZINC000432499973 1071468897 /nfs/dbraw/zinc/46/88/97/1071468897.db2.gz GFBCYSWBBNMWST-HNNXBMFYSA-N 0 0 433.552 -0.136 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)ccc1OC ZINC000432500198 1071469061 /nfs/dbraw/zinc/46/90/61/1071469061.db2.gz HLMSCSSZLDPFRD-UHFFFAOYSA-N 0 0 449.551 -0.273 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CS(=O)(=O)NC2CCC(C)CC2)CC1 ZINC000432500615 1071468885 /nfs/dbraw/zinc/46/88/85/1071468885.db2.gz JSCCAVWYYCYLPK-UHFFFAOYSA-N 0 0 439.600 -0.005 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC000432500813 1071469673 /nfs/dbraw/zinc/46/96/73/1071469673.db2.gz NTKOAWGYZKRWRV-UHFFFAOYSA-N 0 0 444.554 -0.002 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CS[C@@H](C)C(=O)Nc2cc(C)on2)CC1 ZINC000432501443 1073332280 /nfs/dbraw/zinc/33/22/80/1073332280.db2.gz LZNZPSJLPSGGHW-AWEZNQCLSA-N 0 0 448.567 0.554 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CS[C@H](C)C(=O)Nc2cc(C)on2)CC1 ZINC000432501445 1071469016 /nfs/dbraw/zinc/46/90/16/1071469016.db2.gz LZNZPSJLPSGGHW-CQSZACIVSA-N 0 0 448.567 0.554 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000432501588 1071468978 /nfs/dbraw/zinc/46/89/78/1071468978.db2.gz MRPZJCGURUICLP-UHFFFAOYSA-N 0 0 430.527 -0.248 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)COCC(=O)NCc2cccnc2)CC1 ZINC000432501645 1071469069 /nfs/dbraw/zinc/46/90/69/1071469069.db2.gz QMRRAZJDOUADCC-UHFFFAOYSA-N 0 0 428.511 -0.775 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000432501919 1071468845 /nfs/dbraw/zinc/46/88/45/1071468845.db2.gz NYQJLESPDIOSLX-AWEZNQCLSA-N 0 0 445.629 -0.442 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000432501920 1071468876 /nfs/dbraw/zinc/46/88/76/1071468876.db2.gz NYQJLESPDIOSLX-CQSZACIVSA-N 0 0 445.629 -0.442 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)oc2C)CC1 ZINC000432502198 1071469687 /nfs/dbraw/zinc/46/96/87/1071469687.db2.gz HAHBTPSRMWMRKW-UHFFFAOYSA-N 0 0 437.540 -0.038 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cccs2)CC1 ZINC000432502352 1071469728 /nfs/dbraw/zinc/46/97/28/1071469728.db2.gz BDNQSLPYQBHVMU-UHFFFAOYSA-N 0 0 439.581 -0.073 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)c(C)o2)CC1 ZINC000432502535 1071469616 /nfs/dbraw/zinc/46/96/16/1071469616.db2.gz HSFWCNLSZVATIY-UHFFFAOYSA-N 0 0 437.540 -0.038 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CSCC(=O)Nc2cc(C)on2)CC1 ZINC000432502587 1071469762 /nfs/dbraw/zinc/46/97/62/1071469762.db2.gz PJNXJZKCSDAFAX-UHFFFAOYSA-N 0 0 434.540 0.165 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000432502595 1071469803 /nfs/dbraw/zinc/46/98/03/1071469803.db2.gz HXBFHHHLEUGDOX-AWEZNQCLSA-N 0 0 430.527 -0.250 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000432502598 1071469697 /nfs/dbraw/zinc/46/96/97/1071469697.db2.gz HXBFHHHLEUGDOX-CQSZACIVSA-N 0 0 430.527 -0.250 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000432502706 1071469785 /nfs/dbraw/zinc/46/97/85/1071469785.db2.gz QAIVIYYQEXADSM-UHFFFAOYSA-N 0 0 425.573 -0.441 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000432502745 1071469746 /nfs/dbraw/zinc/46/97/46/1071469746.db2.gz CEFLUEIHPCNRSB-GOSISDBHSA-N 0 0 439.534 -0.076 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000432502747 1071469644 /nfs/dbraw/zinc/46/96/44/1071469644.db2.gz CEFLUEIHPCNRSB-SFHVURJKSA-N 0 0 439.534 -0.076 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(CCCC[C@H]3C)C2=O)CC1 ZINC000432505506 1071470583 /nfs/dbraw/zinc/47/05/83/1071470583.db2.gz YYHCVAKQISXOPQ-BEFAXECRSA-N 0 0 444.554 -0.002 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCCC[C@H]3C)C2=O)CC1 ZINC000432505507 1071470473 /nfs/dbraw/zinc/47/04/73/1071470473.db2.gz YYHCVAKQISXOPQ-DNVCBOLYSA-N 0 0 444.554 -0.002 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCCC[C@@H]3C)C2=O)CC1 ZINC000432505509 1071470554 /nfs/dbraw/zinc/47/05/54/1071470554.db2.gz YYHCVAKQISXOPQ-HNAYVOBHSA-N 0 0 444.554 -0.002 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(CCCC[C@@H]3C)C2=O)CC1 ZINC000432505511 1071470416 /nfs/dbraw/zinc/47/04/16/1071470416.db2.gz YYHCVAKQISXOPQ-KXBFYZLASA-N 0 0 444.554 -0.002 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccccc2)CC1 ZINC000432506113 1071470432 /nfs/dbraw/zinc/47/04/32/1071470432.db2.gz RYIIIUVAYJMTGC-UHFFFAOYSA-N 0 0 433.552 -0.182 20 0 IBADRN CCC[C@@H](C)C(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000432508843 1071470393 /nfs/dbraw/zinc/47/03/93/1071470393.db2.gz GQEXZEAVDJRBGT-CYBMUJFWSA-N 0 0 443.526 -0.053 20 0 IBADRN CCC[C@H](C)C(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000432508844 1071471148 /nfs/dbraw/zinc/47/11/48/1071471148.db2.gz GQEXZEAVDJRBGT-ZDUSSCGKSA-N 0 0 443.526 -0.053 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CCCS(=O)(=O)N2CCCC2)CC1 ZINC000432509617 1071471424 /nfs/dbraw/zinc/47/14/24/1071471424.db2.gz NBSYDAHWJPLUPU-UHFFFAOYSA-N 0 0 425.573 -0.297 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC000432510593 1071471086 /nfs/dbraw/zinc/47/10/86/1071471086.db2.gz RDBYCWJDPLXNDJ-UHFFFAOYSA-N 0 0 434.540 -0.787 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)C1 ZINC000432510767 1071471378 /nfs/dbraw/zinc/47/13/78/1071471378.db2.gz FLWDBOAMWZGANE-HNNXBMFYSA-N 0 0 425.573 -0.441 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)C1 ZINC000432510768 1071471508 /nfs/dbraw/zinc/47/15/08/1071471508.db2.gz FLWDBOAMWZGANE-OAHLLOKOSA-N 0 0 425.573 -0.441 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000432513268 1071471339 /nfs/dbraw/zinc/47/13/39/1071471339.db2.gz ZSJQKYRDNHUBPF-AWEZNQCLSA-N 0 0 426.557 -0.521 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000432513269 1071471280 /nfs/dbraw/zinc/47/12/80/1071471280.db2.gz ZSJQKYRDNHUBPF-CQSZACIVSA-N 0 0 426.557 -0.521 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000432513963 1071471467 /nfs/dbraw/zinc/47/14/67/1071471467.db2.gz MINNKEWKZMEYGZ-UHFFFAOYSA-N 0 0 434.540 -0.473 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc(OCC(N)=O)c(OC)c2)CC1 ZINC000432513972 1071471130 /nfs/dbraw/zinc/47/11/30/1071471130.db2.gz MJZIISXQQNZBDX-UHFFFAOYSA-N 0 0 429.495 -0.317 20 0 IBADRN CCC[C@H](C)C(=O)NCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000432515889 1071472273 /nfs/dbraw/zinc/47/22/73/1071472273.db2.gz AIXPHQYIFOZRFJ-INIZCTEOSA-N 0 0 432.591 -0.642 20 0 IBADRN CCC[C@@H](C)C(=O)NCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000432515890 1071472323 /nfs/dbraw/zinc/47/23/23/1071472323.db2.gz AIXPHQYIFOZRFJ-MRXNPFEDSA-N 0 0 432.591 -0.642 20 0 IBADRN CCC[C@H](C)C(=O)NCCNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000432521463 1071473141 /nfs/dbraw/zinc/47/31/41/1071473141.db2.gz YMKOIARVFNZJES-HNNXBMFYSA-N 0 0 433.575 -0.121 20 0 IBADRN CCC[C@@H](C)C(=O)NCCNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000432521464 1071473163 /nfs/dbraw/zinc/47/31/63/1071473163.db2.gz YMKOIARVFNZJES-OAHLLOKOSA-N 0 0 433.575 -0.121 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000432525415 1071473966 /nfs/dbraw/zinc/47/39/66/1071473966.db2.gz HLCNGBDPLLUYNE-UHFFFAOYSA-N 0 0 438.487 -0.230 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)s2)CC1 ZINC000432547609 1071476376 /nfs/dbraw/zinc/47/63/76/1071476376.db2.gz BBGOOVXIDJLBOO-UHFFFAOYSA-N 0 0 440.569 -0.411 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCS(=O)(=O)C1 ZINC000432549940 1071476531 /nfs/dbraw/zinc/47/65/31/1071476531.db2.gz PGTWUATUBWTAPO-BJJXKVORSA-N 0 0 434.511 -0.157 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCS(=O)(=O)C1 ZINC000432549941 1071476339 /nfs/dbraw/zinc/47/63/39/1071476339.db2.gz PGTWUATUBWTAPO-XPKDYRNWSA-N 0 0 434.511 -0.157 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000432555924 1071476472 /nfs/dbraw/zinc/47/64/72/1071476472.db2.gz HQZWNBKUDRACEH-UHFFFAOYSA-N 0 0 428.577 -0.649 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000432556287 1071477510 /nfs/dbraw/zinc/47/75/10/1071477510.db2.gz IWDXLQZLQQVRFI-UHFFFAOYSA-N 0 0 447.602 -0.330 20 0 IBADRN CCN(CCCNC(=O)N1CCN(S(=O)(=O)CCCOC)CC1)S(C)(=O)=O ZINC000432558767 1071477574 /nfs/dbraw/zinc/47/75/74/1071477574.db2.gz BDAVVTSQUXKORV-UHFFFAOYSA-N 0 0 428.577 -0.649 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1 ZINC000432559298 1071477450 /nfs/dbraw/zinc/47/74/50/1071477450.db2.gz RDPXBZUJKMVNLH-UHFFFAOYSA-N 0 0 448.567 -0.212 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000432562100 1071477299 /nfs/dbraw/zinc/47/72/99/1071477299.db2.gz IARXCWYEUTWFSM-UHFFFAOYSA-N 0 0 426.561 -0.896 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)CC1 ZINC000432562263 1071477556 /nfs/dbraw/zinc/47/75/56/1071477556.db2.gz JHOBDHIXMBSQIT-UHFFFAOYSA-N 0 0 434.540 -0.473 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000432563579 1071477541 /nfs/dbraw/zinc/47/75/41/1071477541.db2.gz UHEJBJRSWJAHPA-UHFFFAOYSA-N 0 0 444.558 -0.535 20 0 IBADRN C[C@@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS(=O)(=O)C1 ZINC000432565621 1071477401 /nfs/dbraw/zinc/47/74/01/1071477401.db2.gz AGQGKZSGFOUUPP-CYBMUJFWSA-N 0 0 431.536 -0.440 20 0 IBADRN C[C@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS(=O)(=O)C1 ZINC000432565623 1071477613 /nfs/dbraw/zinc/47/76/13/1071477613.db2.gz AGQGKZSGFOUUPP-ZDUSSCGKSA-N 0 0 431.536 -0.440 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)C[C@@H](C)C2)c1 ZINC000432569601 1071478112 /nfs/dbraw/zinc/47/81/12/1071478112.db2.gz ZJFLQADHFBSTGX-AWEZNQCLSA-N 0 0 433.552 -0.228 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)C[C@H](C)C2)c1 ZINC000432569602 1071478263 /nfs/dbraw/zinc/47/82/63/1071478263.db2.gz ZJFLQADHFBSTGX-CQSZACIVSA-N 0 0 433.552 -0.228 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000432571315 1071478076 /nfs/dbraw/zinc/47/80/76/1071478076.db2.gz GWCKFKSHPZTYCI-CHWSQXEVSA-N 0 0 429.520 -0.278 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000432571316 1071478128 /nfs/dbraw/zinc/47/81/28/1071478128.db2.gz GWCKFKSHPZTYCI-OLZOCXBDSA-N 0 0 429.520 -0.278 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000432571317 1071478093 /nfs/dbraw/zinc/47/80/93/1071478093.db2.gz GWCKFKSHPZTYCI-QWHCGFSZSA-N 0 0 429.520 -0.278 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000432571318 1071478124 /nfs/dbraw/zinc/47/81/24/1071478124.db2.gz GWCKFKSHPZTYCI-STQMWFEESA-N 0 0 429.520 -0.278 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS(=O)(=O)C1 ZINC000432581913 1071479297 /nfs/dbraw/zinc/47/92/97/1071479297.db2.gz SUPQATBMSUKIJD-CHWSQXEVSA-N 0 0 429.520 -0.420 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS(=O)(=O)C1 ZINC000432581915 1071479104 /nfs/dbraw/zinc/47/91/04/1071479104.db2.gz SUPQATBMSUKIJD-OLZOCXBDSA-N 0 0 429.520 -0.420 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS(=O)(=O)C1 ZINC000432581917 1071479021 /nfs/dbraw/zinc/47/90/21/1071479021.db2.gz SUPQATBMSUKIJD-QWHCGFSZSA-N 0 0 429.520 -0.420 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS(=O)(=O)C1 ZINC000432581919 1071479227 /nfs/dbraw/zinc/47/92/27/1071479227.db2.gz SUPQATBMSUKIJD-STQMWFEESA-N 0 0 429.520 -0.420 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCS(=O)(=O)Cc1nnc3n1CCCCC3)c(=O)n2C ZINC000432624306 1071481261 /nfs/dbraw/zinc/48/12/61/1071481261.db2.gz UTWXRPFADDHFOH-UHFFFAOYSA-N 0 0 435.510 -0.243 20 0 IBADRN Cn1cc([C@H]2OCC[C@@H]2C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000432641581 1071482360 /nfs/dbraw/zinc/48/23/60/1071482360.db2.gz BRSPMOWCDOGZQQ-DLBZAZTESA-N 0 0 426.543 -0.866 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000432642282 1071482193 /nfs/dbraw/zinc/48/21/93/1071482193.db2.gz DMWIIPSHLCHOOK-HNNXBMFYSA-N 0 0 432.568 -0.054 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000432642283 1071483290 /nfs/dbraw/zinc/48/32/90/1071483290.db2.gz DMWIIPSHLCHOOK-OAHLLOKOSA-N 0 0 432.568 -0.054 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCO[C@@H]3c3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000432645107 1071483007 /nfs/dbraw/zinc/48/30/07/1071483007.db2.gz MPSKTVKYEHMDQY-CIRFHOKZSA-N 0 0 441.554 -0.004 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCO[C@@H]3c3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000432645109 1071483271 /nfs/dbraw/zinc/48/32/71/1071483271.db2.gz MPSKTVKYEHMDQY-UOVPBQLFSA-N 0 0 441.554 -0.004 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCO[C@@H]3c3cnn(C)c3)CC2)C[C@@H](C)O1 ZINC000432645111 1071483189 /nfs/dbraw/zinc/48/31/89/1071483189.db2.gz MPSKTVKYEHMDQY-XYVMCAHJSA-N 0 0 441.554 -0.004 20 0 IBADRN Cc1c([C@H]2OCC[C@@H]2C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cnn1C ZINC000432648793 1071483203 /nfs/dbraw/zinc/48/32/03/1071483203.db2.gz XXEJEBTUPZRHMX-WMZOPIPTSA-N 0 0 440.570 -0.557 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000432650683 1071483955 /nfs/dbraw/zinc/48/39/55/1071483955.db2.gz DNNAMBCWNBFEQK-HNNXBMFYSA-N 0 0 446.551 -0.291 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000432650684 1071483258 /nfs/dbraw/zinc/48/32/58/1071483258.db2.gz DNNAMBCWNBFEQK-OAHLLOKOSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000432650953 1071483130 /nfs/dbraw/zinc/48/31/30/1071483130.db2.gz CFXPYYYYFPHLAY-UHFFFAOYSA-N 0 0 432.462 -0.057 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000432659374 1071483806 /nfs/dbraw/zinc/48/38/06/1071483806.db2.gz PQRQWNBJLBRINL-AWEZNQCLSA-N 0 0 440.588 -0.892 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000432659375 1071483985 /nfs/dbraw/zinc/48/39/85/1071483985.db2.gz PQRQWNBJLBRINL-CQSZACIVSA-N 0 0 440.588 -0.892 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000432665129 1071483729 /nfs/dbraw/zinc/48/37/29/1071483729.db2.gz GUTXAFYJPMWKDG-KRWDZBQOSA-N 0 0 440.570 -0.074 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000432665131 1071483902 /nfs/dbraw/zinc/48/39/02/1071483902.db2.gz GUTXAFYJPMWKDG-QGZVFWFLSA-N 0 0 440.570 -0.074 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC000432695152 1071490947 /nfs/dbraw/zinc/49/09/47/1071490947.db2.gz YSKHJLNJSGMWCJ-CMPLNLGQSA-N 0 0 425.471 -0.488 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC000432695153 1071491006 /nfs/dbraw/zinc/49/10/06/1071491006.db2.gz YSKHJLNJSGMWCJ-JQWIXIFHSA-N 0 0 425.471 -0.488 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)CCCOC)CC2)nc1 ZINC000432696840 1071491612 /nfs/dbraw/zinc/49/16/12/1071491612.db2.gz QGTBYBUVIVEAPV-UHFFFAOYSA-N 0 0 428.511 -0.137 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1 ZINC000432703334 1071493975 /nfs/dbraw/zinc/49/39/75/1071493975.db2.gz ALUQSAOIQPEUIH-UHFFFAOYSA-N 0 0 438.506 -0.031 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2nc(S(C)(=O)=O)n3ccccc23)CC1 ZINC000432704122 1071494096 /nfs/dbraw/zinc/49/40/96/1071494096.db2.gz CLBXMPVODRJQOD-UHFFFAOYSA-N 0 0 444.535 -0.138 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cc1OC ZINC000432704850 1071493356 /nfs/dbraw/zinc/49/33/56/1071493356.db2.gz DSULCQZCVLAVSG-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000432705970 1071494124 /nfs/dbraw/zinc/49/41/24/1071494124.db2.gz JXMWIXYTFVWXFW-UHFFFAOYSA-N 0 0 430.502 -0.136 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CSc2nnnn2CC(F)(F)F)CC1 ZINC000432708048 1071494007 /nfs/dbraw/zinc/49/40/07/1071494007.db2.gz ODCMQPSFIYLLJL-UHFFFAOYSA-N 0 0 446.477 -0.162 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CCc2c(C)nc3nc(N)nn3c2C)CC1 ZINC000432711449 1071494825 /nfs/dbraw/zinc/49/48/25/1071494825.db2.gz XKKZMWUFIOUVOH-UHFFFAOYSA-N 0 0 439.542 -0.234 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000432713428 1071495574 /nfs/dbraw/zinc/49/55/74/1071495574.db2.gz IRPREJDLZXUAQG-UHFFFAOYSA-N 0 0 444.529 -0.064 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000432713853 1071495679 /nfs/dbraw/zinc/49/56/79/1071495679.db2.gz MPCXVTLQZNPEQU-UHFFFAOYSA-N 0 0 438.550 -0.324 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000432714374 1071495606 /nfs/dbraw/zinc/49/56/06/1071495606.db2.gz SMIXNIGQHMLHBH-UHFFFAOYSA-N 0 0 426.539 -0.597 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000432716634 1071496351 /nfs/dbraw/zinc/49/63/51/1071496351.db2.gz KFXBRMYNXAPNAK-HNNXBMFYSA-N 0 0 426.543 -0.405 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000432716637 1071496366 /nfs/dbraw/zinc/49/63/66/1071496366.db2.gz KFXBRMYNXAPNAK-OAHLLOKOSA-N 0 0 426.543 -0.405 20 0 IBADRN COC[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000432717213 1071496970 /nfs/dbraw/zinc/49/69/70/1071496970.db2.gz GHOWUOOKWRTAFK-INIZCTEOSA-N 0 0 427.523 -0.268 20 0 IBADRN COC[C@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000432717214 1071497018 /nfs/dbraw/zinc/49/70/18/1071497018.db2.gz GHOWUOOKWRTAFK-MRXNPFEDSA-N 0 0 427.523 -0.268 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1cccc(CC(=O)N2CCN(C)CC2)c1 ZINC000432720570 1071496178 /nfs/dbraw/zinc/49/61/78/1071496178.db2.gz XTAVZPIXQHKBLH-UHFFFAOYSA-N 0 0 426.539 -0.103 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCC(=O)NCCO)CC2)cc1OC ZINC000432721348 1071497133 /nfs/dbraw/zinc/49/71/33/1071497133.db2.gz VRUJAJPEYHBEDL-UHFFFAOYSA-N 0 0 429.495 -0.671 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCC(=O)NCCO)CC2=O)cc1 ZINC000432721573 1071497190 /nfs/dbraw/zinc/49/71/90/1071497190.db2.gz YDCRYHSPZGBMBO-AWEZNQCLSA-N 0 0 440.522 -0.705 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCC(=O)NCCO)CC2=O)cc1 ZINC000432721574 1071497166 /nfs/dbraw/zinc/49/71/66/1071497166.db2.gz YDCRYHSPZGBMBO-CQSZACIVSA-N 0 0 440.522 -0.705 20 0 IBADRN Cc1cc(F)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000432745400 1071500751 /nfs/dbraw/zinc/50/07/51/1071500751.db2.gz TXNYAQXBLPDWTB-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)CC2)cn1C ZINC000432756805 1071502060 /nfs/dbraw/zinc/50/20/60/1071502060.db2.gz JCPLCODJRORILS-AWEZNQCLSA-N 0 0 435.572 -0.475 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)CC2)cn1C ZINC000432756806 1071502790 /nfs/dbraw/zinc/50/27/90/1071502790.db2.gz JCPLCODJRORILS-CQSZACIVSA-N 0 0 435.572 -0.475 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000432768226 1071503406 /nfs/dbraw/zinc/50/34/06/1071503406.db2.gz OANZSBOQLWPYDH-UHFFFAOYSA-N 0 0 436.538 -0.188 20 0 IBADRN COc1cc(CN2CCN3C(=O)NC(=O)[C@H]3C2)cc(CN2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000432773556 1071504799 /nfs/dbraw/zinc/50/47/99/1071504799.db2.gz HOWAPMIBJWEUBF-CALCHBBNSA-N 0 0 442.476 -0.833 20 0 IBADRN COc1cc(CN2CCN3C(=O)NC(=O)[C@H]3C2)cc(CN2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000432773557 1071504707 /nfs/dbraw/zinc/50/47/07/1071504707.db2.gz HOWAPMIBJWEUBF-IAGOWNOFSA-N 0 0 442.476 -0.833 20 0 IBADRN COc1cc(CN2CCN3C(=O)NC(=O)[C@@H]3C2)cc(CN2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000432773558 1071504860 /nfs/dbraw/zinc/50/48/60/1071504860.db2.gz HOWAPMIBJWEUBF-IRXDYDNUSA-N 0 0 442.476 -0.833 20 0 IBADRN CCOC(=O)c1csc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000432777482 1071505719 /nfs/dbraw/zinc/50/57/19/1071505719.db2.gz CVXHXHLENIMRSA-CYBMUJFWSA-N 0 0 430.552 -0.259 20 0 IBADRN CCOC(=O)c1csc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000432777484 1071505683 /nfs/dbraw/zinc/50/56/83/1071505683.db2.gz CVXHXHLENIMRSA-ZDUSSCGKSA-N 0 0 430.552 -0.259 20 0 IBADRN Cn1cc(Br)c(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000432778130 1071505480 /nfs/dbraw/zinc/50/54/80/1071505480.db2.gz GGFDBTOYDYJEGN-GFCCVEGCSA-N 0 0 434.360 -0.397 20 0 IBADRN Cn1cc(Br)c(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000432778131 1071505562 /nfs/dbraw/zinc/50/55/62/1071505562.db2.gz GGFDBTOYDYJEGN-LBPRGKRZSA-N 0 0 434.360 -0.397 20 0 IBADRN COc1cc(CN2CCN(C(=O)[C@@H](C)O)CC2)cc(CN2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000432781898 1071506932 /nfs/dbraw/zinc/50/69/32/1071506932.db2.gz QIAIABAVZZPACZ-HDICACEKSA-N 0 0 448.564 -0.255 20 0 IBADRN COc1cc(CN2CCN(C(=O)[C@@H](C)O)CC2)cc(CN2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000432781899 1071506888 /nfs/dbraw/zinc/50/68/88/1071506888.db2.gz QIAIABAVZZPACZ-QZTJIDSGSA-N 0 0 448.564 -0.255 20 0 IBADRN COc1cc(CN2CCN(C(=O)[C@H](C)O)CC2)cc(CN2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000432781900 1071507087 /nfs/dbraw/zinc/50/70/87/1071507087.db2.gz QIAIABAVZZPACZ-ROUUACIJSA-N 0 0 448.564 -0.255 20 0 IBADRN COc1cc(CN2CC[C@@H](S(N)(=O)=O)C2)cc(CN2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000432783928 1071507412 /nfs/dbraw/zinc/50/74/12/1071507412.db2.gz LXPOKLRLRNGIIZ-CALCHBBNSA-N 0 0 432.568 -0.579 20 0 IBADRN COc1cc(CN2CC[C@@H](S(N)(=O)=O)C2)cc(CN2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000432783929 1071507815 /nfs/dbraw/zinc/50/78/15/1071507815.db2.gz LXPOKLRLRNGIIZ-IAGOWNOFSA-N 0 0 432.568 -0.579 20 0 IBADRN COc1cc(CN2CC[C@H](S(N)(=O)=O)C2)cc(CN2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000432783930 1071507753 /nfs/dbraw/zinc/50/77/53/1071507753.db2.gz LXPOKLRLRNGIIZ-IRXDYDNUSA-N 0 0 432.568 -0.579 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)nc1 ZINC000432785577 1071508295 /nfs/dbraw/zinc/50/82/95/1071508295.db2.gz MEIOLRVGZRRDTN-UHFFFAOYSA-N 0 0 437.482 0.031 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)nc1 ZINC000432786099 1071507499 /nfs/dbraw/zinc/50/74/99/1071507499.db2.gz BOXLZQRGCQEAKI-UHFFFAOYSA-N 0 0 438.510 -0.370 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)NCCNS(=O)(=O)c2cccnc2)nc1 ZINC000432787254 1071508422 /nfs/dbraw/zinc/50/84/22/1071508422.db2.gz ROOYFNOYCIUKTJ-UHFFFAOYSA-N 0 0 428.496 -0.173 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)nc1 ZINC000432790183 1071508361 /nfs/dbraw/zinc/50/83/61/1071508361.db2.gz BJBXTFRPBGCGQY-UHFFFAOYSA-N 0 0 440.526 -0.660 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)CC1 ZINC000432794852 1071509552 /nfs/dbraw/zinc/50/95/52/1071509552.db2.gz CMSAASCVGPMANS-UHFFFAOYSA-N 0 0 426.499 -0.467 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)NCCS(=O)(=O)N2CCSCC2)nc1 ZINC000432804097 1071510781 /nfs/dbraw/zinc/51/07/81/1071510781.db2.gz IKERSOVIBHZSOW-UHFFFAOYSA-N 0 0 437.569 -0.168 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)NCCNS(=O)(=O)Cc2ccon2)nc1 ZINC000432804363 1071511452 /nfs/dbraw/zinc/51/14/52/1071511452.db2.gz IMRQHOWWGXLLHY-UHFFFAOYSA-N 0 0 432.484 -0.439 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)CC1 ZINC000432812629 1071513803 /nfs/dbraw/zinc/51/38/03/1071513803.db2.gz JLQBGTIWPPDJPO-UHFFFAOYSA-N 0 0 426.499 -0.466 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)CC1 ZINC000432813261 1071513952 /nfs/dbraw/zinc/51/39/52/1071513952.db2.gz VYAPONRSYMQWJG-UHFFFAOYSA-N 0 0 428.515 -0.756 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)nc1 ZINC000432814601 1071514521 /nfs/dbraw/zinc/51/45/21/1071514521.db2.gz WCYVXGDMAAYIKN-UHFFFAOYSA-N 0 0 434.478 -0.131 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)nc1 ZINC000432815962 1071514347 /nfs/dbraw/zinc/51/43/47/1071514347.db2.gz LSZJMZDKKLGLIZ-CYBMUJFWSA-N 0 0 449.555 -0.058 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)nc1 ZINC000432815963 1071514586 /nfs/dbraw/zinc/51/45/86/1071514586.db2.gz LSZJMZDKKLGLIZ-ZDUSSCGKSA-N 0 0 449.555 -0.058 20 0 IBADRN COCCC(=O)N1CCN(c2nc(C)nc(N3CCN(C(=O)CCOC)CC3)n2)CC1 ZINC000432827200 1071517674 /nfs/dbraw/zinc/51/76/74/1071517674.db2.gz AYVVWKPAYIVGIW-UHFFFAOYSA-N 0 0 435.529 -0.450 20 0 IBADRN COCCN1CCCN(c2nc(C)nc(N3CCCN(CCOC)C(=O)C3)n2)CC1=O ZINC000432830899 1071518393 /nfs/dbraw/zinc/51/83/93/1071518393.db2.gz HLGOGWFHQDEFNX-UHFFFAOYSA-N 0 0 435.529 -0.450 20 0 IBADRN Cc1cc(C)cc(OCC(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000432833059 1071519726 /nfs/dbraw/zinc/51/97/26/1071519726.db2.gz BBKDPNYBDJLVRQ-UHFFFAOYSA-N 0 0 445.563 -0.198 20 0 IBADRN COc1nc(N2CCS(=O)(=O)C[C@H](C)C2)nc(N2CCS(=O)(=O)C[C@@H](C)C2)n1 ZINC000432846283 1071521737 /nfs/dbraw/zinc/52/17/37/1071521737.db2.gz NEDKFNXSTAEOJZ-BETUJISGSA-N 0 0 433.556 -0.378 20 0 IBADRN COc1nc(N2CCS(=O)(=O)C[C@H](C)C2)nc(N2CCS(=O)(=O)C[C@H](C)C2)n1 ZINC000432846284 1071521839 /nfs/dbraw/zinc/52/18/39/1071521839.db2.gz NEDKFNXSTAEOJZ-CHWSQXEVSA-N 0 0 433.556 -0.378 20 0 IBADRN COc1nc(N2CCS(=O)(=O)C[C@@H](C)C2)nc(N2CCS(=O)(=O)C[C@@H](C)C2)n1 ZINC000432846285 1071521659 /nfs/dbraw/zinc/52/16/59/1071521659.db2.gz NEDKFNXSTAEOJZ-STQMWFEESA-N 0 0 433.556 -0.378 20 0 IBADRN CC(C)NS(=O)(=O)c1cccnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000432850036 1071521675 /nfs/dbraw/zinc/52/16/75/1071521675.db2.gz CWEBCDQQVIJZFG-UHFFFAOYSA-N 0 0 433.556 -0.533 20 0 IBADRN CC(C)NS(=O)(=O)c1cccnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000432855503 1071525580 /nfs/dbraw/zinc/52/55/80/1071525580.db2.gz WGKCLLXKWAWSPQ-UHFFFAOYSA-N 0 0 425.511 -0.724 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1CCS(=O)(=O)CC1 ZINC000432856442 1071523698 /nfs/dbraw/zinc/52/36/98/1071523698.db2.gz WYCKUKKWCHWMPR-UHFFFAOYSA-N 0 0 445.519 -0.386 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000432857853 1071523785 /nfs/dbraw/zinc/52/37/85/1071523785.db2.gz GBRVEVGWPPCSGW-GOSISDBHSA-N 0 0 436.484 -0.146 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000432857854 1071523649 /nfs/dbraw/zinc/52/36/49/1071523649.db2.gz GBRVEVGWPPCSGW-SFHVURJKSA-N 0 0 436.484 -0.146 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000432870514 1071526573 /nfs/dbraw/zinc/52/65/73/1071526573.db2.gz GAMIRNSVDLBCAI-UHFFFAOYSA-N 0 0 447.535 -0.140 20 0 IBADRN CC(C)NS(=O)(=O)c1cccnc1N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000432875046 1071527127 /nfs/dbraw/zinc/52/71/27/1071527127.db2.gz FRBBZBSZEOILFB-UHFFFAOYSA-N 0 0 446.599 -0.618 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)N1CCS(=O)(=O)CC1 ZINC000432882384 1071528848 /nfs/dbraw/zinc/52/88/48/1071528848.db2.gz ZEEPTMOOVXBVQZ-UHFFFAOYSA-N 0 0 427.508 -0.280 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)CNS(=O)(=O)CCCOC)CC2)s1 ZINC000432888341 1071544349 /nfs/dbraw/zinc/54/43/49/1071544349.db2.gz CLMSBDNWFBGKDT-UHFFFAOYSA-N 0 0 434.540 -0.076 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)NCC(=O)N[C@@H](C)c2ccc(F)cc2)CC1 ZINC000432902317 1071548835 /nfs/dbraw/zinc/54/88/35/1071548835.db2.gz HFXLHBBURXNRPE-HNNXBMFYSA-N 0 0 435.500 -0.212 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)NCC(=O)N[C@H](C)c2ccc(F)cc2)CC1 ZINC000432902318 1071548904 /nfs/dbraw/zinc/54/89/04/1071548904.db2.gz HFXLHBBURXNRPE-OAHLLOKOSA-N 0 0 435.500 -0.212 20 0 IBADRN O=C(NCCO)c1ccc(NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)nn1 ZINC000432922265 1071551006 /nfs/dbraw/zinc/55/10/06/1071551006.db2.gz LINBOJLRXMGXAL-INIZCTEOSA-N 0 0 431.468 0.467 20 0 IBADRN O=C(NCCO)c1ccc(NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)nn1 ZINC000432922266 1071551209 /nfs/dbraw/zinc/55/12/09/1071551209.db2.gz LINBOJLRXMGXAL-MRXNPFEDSA-N 0 0 431.468 0.467 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1)N1CCS(=O)(=O)CC1 ZINC000432935479 1071551016 /nfs/dbraw/zinc/55/10/16/1071551016.db2.gz DJBVHDPQHLNUJL-UHFFFAOYSA-N 0 0 445.548 -0.152 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3C3CCOCC3)CC2)C[C@H](C)O1 ZINC000432953291 1071552243 /nfs/dbraw/zinc/55/22/43/1071552243.db2.gz GZBKHHAZGHSPQU-GASCZTMLSA-N 0 0 429.547 -0.504 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3C3CCOCC3)CC2)C[C@H](C)O1 ZINC000432953292 1071552220 /nfs/dbraw/zinc/55/22/20/1071552220.db2.gz GZBKHHAZGHSPQU-GJZGRUSLSA-N 0 0 429.547 -0.504 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3C3CCOCC3)CC2)C[C@@H](C)O1 ZINC000432953293 1071552304 /nfs/dbraw/zinc/55/23/04/1071552304.db2.gz GZBKHHAZGHSPQU-HUUCEWRRSA-N 0 0 429.547 -0.504 20 0 IBADRN Cc1oc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1S(=O)(=O)N(C)C ZINC000432958115 1071552840 /nfs/dbraw/zinc/55/28/40/1071552840.db2.gz GBESTYWTPMZXHG-UHFFFAOYSA-N 0 0 431.496 -0.292 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000432958328 1071552877 /nfs/dbraw/zinc/55/28/77/1071552877.db2.gz GBWVBUGXDYCUTK-UHFFFAOYSA-N 0 0 441.535 -0.004 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000432961924 1071552929 /nfs/dbraw/zinc/55/29/29/1071552929.db2.gz VCOQNNYCEQEWJR-UHFFFAOYSA-N 0 0 431.496 -0.292 20 0 IBADRN NC(=O)[C@H]1CN(Cc2ccc(Br)cc2CN2CCO[C@H](C(N)=O)C2)CCO1 ZINC000432963114 1071552898 /nfs/dbraw/zinc/55/28/98/1071552898.db2.gz ZCHJAMWKXSYLMH-CVEARBPZSA-N 0 0 441.326 -0.179 20 0 IBADRN NC(=O)[C@@H]1CN(Cc2ccc(Br)cc2CN2CCO[C@H](C(N)=O)C2)CCO1 ZINC000432963115 1071553291 /nfs/dbraw/zinc/55/32/91/1071553291.db2.gz ZCHJAMWKXSYLMH-HOTGVXAUSA-N 0 0 441.326 -0.179 20 0 IBADRN NC(=O)[C@H]1CN(Cc2ccc(Br)cc2CN2CCO[C@@H](C(N)=O)C2)CCO1 ZINC000432963116 1071553307 /nfs/dbraw/zinc/55/33/07/1071553307.db2.gz ZCHJAMWKXSYLMH-HZPDHXFCSA-N 0 0 441.326 -0.179 20 0 IBADRN NC(=O)[C@H]1CN(Cc2cc(Br)ccc2CN2CCO[C@H](C(N)=O)C2)CCO1 ZINC000432963117 1071553357 /nfs/dbraw/zinc/55/33/57/1071553357.db2.gz ZCHJAMWKXSYLMH-JKSUJKDBSA-N 0 0 441.326 -0.179 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000432964010 1071553410 /nfs/dbraw/zinc/55/34/10/1071553410.db2.gz CAJWQXBHHGTKHE-APWZRJJASA-N 0 0 449.508 0.564 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000432964407 1071553386 /nfs/dbraw/zinc/55/33/86/1071553386.db2.gz GVKPCRHKRLFAQQ-UHFFFAOYSA-N 0 0 436.494 0.053 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000432966175 1071552891 /nfs/dbraw/zinc/55/28/91/1071552891.db2.gz RFKUNRQCRGTYIE-LLVKDONJSA-N 0 0 433.537 -0.329 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000432966176 1071552863 /nfs/dbraw/zinc/55/28/63/1071552863.db2.gz RFKUNRQCRGTYIE-NSHDSACASA-N 0 0 433.537 -0.329 20 0 IBADRN CS(=O)(=O)CC[C@@H]1CCCCN1C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000432966426 1071553460 /nfs/dbraw/zinc/55/34/60/1071553460.db2.gz SPJPFNGSLNGKSD-HNNXBMFYSA-N 0 0 427.527 0.563 20 0 IBADRN CS(=O)(=O)CC[C@H]1CCCCN1C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000432966427 1071553441 /nfs/dbraw/zinc/55/34/41/1071553441.db2.gz SPJPFNGSLNGKSD-OAHLLOKOSA-N 0 0 427.527 0.563 20 0 IBADRN NC(=O)CN1CCc2ccc(NS(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)cc2C1 ZINC000432972889 1071554097 /nfs/dbraw/zinc/55/40/97/1071554097.db2.gz SVIFWJUWWKJKML-UHFFFAOYSA-N 0 0 429.458 -0.139 20 0 IBADRN O=C(c1ccc(CN2C(=O)CNC2=O)cc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000432978539 1071554693 /nfs/dbraw/zinc/55/46/93/1071554693.db2.gz SWFXNBHBUNUKLE-UHFFFAOYSA-N 0 0 432.462 -0.392 20 0 IBADRN COCCN(C(=O)CN(C)Cc1ccccc1S(N)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000432990066 1071555900 /nfs/dbraw/zinc/55/59/00/1071555900.db2.gz XHXHBLWDYBTDFS-HNNXBMFYSA-N 0 0 433.552 -0.572 20 0 IBADRN COCCN(C(=O)CN(C)Cc1ccccc1S(N)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000432990067 1071555859 /nfs/dbraw/zinc/55/58/59/1071555859.db2.gz XHXHBLWDYBTDFS-OAHLLOKOSA-N 0 0 433.552 -0.572 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cn1)N1CCOCC1 ZINC000432994551 1071555730 /nfs/dbraw/zinc/55/57/30/1071555730.db2.gz AECAXVDQTSLJGT-INIZCTEOSA-N 0 0 440.526 -0.226 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cn1)N1CCOCC1 ZINC000432994553 1071555846 /nfs/dbraw/zinc/55/58/46/1071555846.db2.gz AECAXVDQTSLJGT-MRXNPFEDSA-N 0 0 440.526 -0.226 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN(C)Cc2ccccc2S(N)(=O)=O)c(=O)n(C)c1=O ZINC000432998908 1071555613 /nfs/dbraw/zinc/55/56/13/1071555613.db2.gz RPTXAMAKNXDAHK-UHFFFAOYSA-N 0 0 437.522 -0.253 20 0 IBADRN CN(CC(=O)NCCc1ccc(S(N)(=O)=O)cc1)Cc1ccccc1S(N)(=O)=O ZINC000432999254 1071555773 /nfs/dbraw/zinc/55/57/73/1071555773.db2.gz SIRXGKBMKMUVLL-UHFFFAOYSA-N 0 0 440.547 -0.228 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)Cc2ccccc2S(N)(=O)=O)c(=O)[nH]c1=O ZINC000433004700 1071555885 /nfs/dbraw/zinc/55/58/85/1071555885.db2.gz ZWLKWHVRDIFFOM-UHFFFAOYSA-N 0 0 425.467 -0.860 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000433008614 1071555938 /nfs/dbraw/zinc/55/59/38/1071555938.db2.gz OYNZQVQWRUXNRC-UHFFFAOYSA-N 0 0 429.520 -0.302 20 0 IBADRN CC(C)N(CCCNC(=O)CN(C)Cc1ccccc1S(N)(=O)=O)S(C)(=O)=O ZINC000433008675 1071555922 /nfs/dbraw/zinc/55/59/22/1071555922.db2.gz PSIFKURYHVMBCQ-UHFFFAOYSA-N 0 0 434.584 -0.058 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000433012498 1071556167 /nfs/dbraw/zinc/55/61/67/1071556167.db2.gz KKIPGOIUIZEXJQ-KRWDZBQOSA-N 0 0 449.527 -0.405 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000433012499 1071556154 /nfs/dbraw/zinc/55/61/54/1071556154.db2.gz KKIPGOIUIZEXJQ-QGZVFWFLSA-N 0 0 449.527 -0.405 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCc2nccc(N)n2)c1OC ZINC000433031208 1073359929 /nfs/dbraw/zinc/35/99/29/1073359929.db2.gz MRZQGZLUFPDZHW-UHFFFAOYSA-N 0 0 437.478 0.027 20 0 IBADRN NC(=O)COCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000433032922 1071556812 /nfs/dbraw/zinc/55/68/12/1071556812.db2.gz CEVGMKFPJJLFKM-UHFFFAOYSA-N 0 0 444.485 -0.943 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCOCC(N)=O ZINC000433034861 1071558015 /nfs/dbraw/zinc/55/80/15/1071558015.db2.gz JPCDQXGIEIUKGI-KRWDZBQOSA-N 0 0 429.495 -0.231 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCOCC(N)=O ZINC000433034862 1071557952 /nfs/dbraw/zinc/55/79/52/1071557952.db2.gz JPCDQXGIEIUKGI-QGZVFWFLSA-N 0 0 429.495 -0.231 20 0 IBADRN O=C(Cn1nnc(C2CC2)n1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000433036689 1071557018 /nfs/dbraw/zinc/55/70/18/1071557018.db2.gz YDDCWUUUYMGGME-UHFFFAOYSA-N 0 0 434.478 -0.145 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCOCC(N)=O)CC2)cc1 ZINC000433040026 1071557498 /nfs/dbraw/zinc/55/74/98/1071557498.db2.gz OPDNDMOIDVJEMX-UHFFFAOYSA-N 0 0 440.522 -0.774 20 0 IBADRN NC(=O)COCCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000433040441 1071557523 /nfs/dbraw/zinc/55/75/23/1071557523.db2.gz SGJXGVCIVUWOBC-UHFFFAOYSA-N 0 0 441.506 -0.133 20 0 IBADRN CCCn1c(N)c(C(=O)CN(C)CCOc2ccc(S(N)(=O)=O)cc2)c(=O)[nH]c1=O ZINC000433040895 1071558088 /nfs/dbraw/zinc/55/80/88/1071558088.db2.gz SKPOWHXGMBGWDV-UHFFFAOYSA-N 0 0 439.494 -0.218 20 0 IBADRN NC(=O)COCCNC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000433047059 1071557972 /nfs/dbraw/zinc/55/79/72/1071557972.db2.gz JIGVPULIDMRAQX-UHFFFAOYSA-N 0 0 436.284 -0.580 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)CC(=O)c1c(N)n(C2CC2)c(=O)[nH]c1=O ZINC000433047759 1071558148 /nfs/dbraw/zinc/55/81/48/1071558148.db2.gz YISWBOZBTGENKB-UHFFFAOYSA-N 0 0 437.478 -0.293 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)CC(=O)NCC(C)(C)N1CCOCC1 ZINC000433049236 1071558069 /nfs/dbraw/zinc/55/80/69/1071558069.db2.gz RVEGHFZOIKRHGZ-UHFFFAOYSA-N 0 0 428.555 -0.128 20 0 IBADRN CCn1c(N)c(C(=O)CN(C)CCOc2ccc(S(N)(=O)=O)cc2)c(=O)[nH]c1=O ZINC000433055746 1071559064 /nfs/dbraw/zinc/55/90/64/1071559064.db2.gz BSKOOOOZCUJZDB-UHFFFAOYSA-N 0 0 425.467 -0.608 20 0 IBADRN NC(=O)COCCNC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC000433059959 1071559754 /nfs/dbraw/zinc/55/97/54/1071559754.db2.gz WCVGAPSIIKCTCM-UHFFFAOYSA-N 0 0 440.478 -0.613 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)CC(=O)N1CCN(c2cnccn2)CC1 ZINC000433062047 1071559673 /nfs/dbraw/zinc/55/96/73/1071559673.db2.gz RUZYJOVQERWKSS-UHFFFAOYSA-N 0 0 434.522 -0.217 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000433062655 1071559750 /nfs/dbraw/zinc/55/97/50/1071559750.db2.gz UZWIMJZTBFBDIG-KBPBESRZSA-N 0 0 433.552 -0.321 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000433062656 1071559635 /nfs/dbraw/zinc/55/96/35/1071559635.db2.gz UZWIMJZTBFBDIG-KGLIPLIRSA-N 0 0 433.552 -0.321 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000433062657 1071559777 /nfs/dbraw/zinc/55/97/77/1071559777.db2.gz UZWIMJZTBFBDIG-UONOGXRCSA-N 0 0 433.552 -0.321 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000433062658 1071559669 /nfs/dbraw/zinc/55/96/69/1071559669.db2.gz UZWIMJZTBFBDIG-ZIAGYGMSSA-N 0 0 433.552 -0.321 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000433064787 1073335125 /nfs/dbraw/zinc/33/51/25/1073335125.db2.gz ZCTILCGKPGCUAP-INIZCTEOSA-N 0 0 426.543 0.247 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000433064788 1073335072 /nfs/dbraw/zinc/33/50/72/1073335072.db2.gz ZCTILCGKPGCUAP-MRXNPFEDSA-N 0 0 426.543 0.247 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)n1)N1CCOCC1 ZINC000433067483 1071560710 /nfs/dbraw/zinc/56/07/10/1071560710.db2.gz NODLYOPJLDSPNH-HNNXBMFYSA-N 0 0 440.526 -0.226 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)n1)N1CCOCC1 ZINC000433067487 1071560646 /nfs/dbraw/zinc/56/06/46/1071560646.db2.gz NODLYOPJLDSPNH-OAHLLOKOSA-N 0 0 440.526 -0.226 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)CC(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000433069411 1071560663 /nfs/dbraw/zinc/56/06/63/1071560663.db2.gz CNOIPBZSRSEDRJ-UHFFFAOYSA-N 0 0 442.519 -0.069 20 0 IBADRN CCN(C(=O)CN(C)CCOc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000433072670 1071561388 /nfs/dbraw/zinc/56/13/88/1071561388.db2.gz PIDFKXUNMIXLPR-AWEZNQCLSA-N 0 0 433.552 -0.320 20 0 IBADRN CCN(C(=O)CN(C)CCOc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000433072672 1071561428 /nfs/dbraw/zinc/56/14/28/1071561428.db2.gz PIDFKXUNMIXLPR-CQSZACIVSA-N 0 0 433.552 -0.320 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)CC(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000433073206 1071561222 /nfs/dbraw/zinc/56/12/22/1071561222.db2.gz ATLSJQJPRQETGH-UHFFFAOYSA-N 0 0 442.519 -0.069 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000433083108 1071562040 /nfs/dbraw/zinc/56/20/40/1071562040.db2.gz MQUVGUWXJXBSHE-UHFFFAOYSA-N 0 0 433.450 -0.224 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1C ZINC000433095895 1071563139 /nfs/dbraw/zinc/56/31/39/1071563139.db2.gz FYKJDLXLBSQMEM-UHFFFAOYSA-N 0 0 437.526 -0.089 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)CC1 ZINC000433102110 1071563192 /nfs/dbraw/zinc/56/31/92/1071563192.db2.gz QQEDRZUCZRCHIA-AWEZNQCLSA-N 0 0 427.589 -0.151 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)CC1 ZINC000433102111 1071563041 /nfs/dbraw/zinc/56/30/41/1071563041.db2.gz QQEDRZUCZRCHIA-CQSZACIVSA-N 0 0 427.589 -0.151 20 0 IBADRN Cc1cc(C)cc(OCC(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1 ZINC000433124610 1071566095 /nfs/dbraw/zinc/56/60/95/1071566095.db2.gz DUFPZBPCNPGRTB-UHFFFAOYSA-N 0 0 442.476 -0.326 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)C[C@H](C)C2)CC1 ZINC000433146721 1071567890 /nfs/dbraw/zinc/56/78/90/1071567890.db2.gz WJSNEEDPLZMHAY-CYBMUJFWSA-N 0 0 448.567 -0.509 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)C[C@@H](C)C2)CC1 ZINC000433146722 1071567862 /nfs/dbraw/zinc/56/78/62/1071567862.db2.gz WJSNEEDPLZMHAY-ZDUSSCGKSA-N 0 0 448.567 -0.509 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCS(=O)(=O)C1 ZINC000433147286 1071567132 /nfs/dbraw/zinc/56/71/32/1071567132.db2.gz CMYPTSDZHGEEOJ-INIZCTEOSA-N 0 0 429.564 -0.114 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCS(=O)(=O)C1 ZINC000433147287 1071567896 /nfs/dbraw/zinc/56/78/96/1071567896.db2.gz CMYPTSDZHGEEOJ-MRXNPFEDSA-N 0 0 429.564 -0.114 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000433172298 1071569070 /nfs/dbraw/zinc/56/90/70/1071569070.db2.gz DSNYAHZDFRBBKT-KBPBESRZSA-N 0 0 431.515 -0.587 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000433172299 1071569026 /nfs/dbraw/zinc/56/90/26/1071569026.db2.gz DSNYAHZDFRBBKT-OKILXGFUSA-N 0 0 431.515 -0.587 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000433172300 1071569211 /nfs/dbraw/zinc/56/92/11/1071569211.db2.gz DSNYAHZDFRBBKT-ZIAGYGMSSA-N 0 0 431.515 -0.587 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@@H](CO)COC(C)C)CC1 ZINC000433172426 1071568967 /nfs/dbraw/zinc/56/89/67/1071568967.db2.gz HMTLOCLWDKWSFC-INIZCTEOSA-N 0 0 432.543 -0.158 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@H](CO)COC(C)C)CC1 ZINC000433172435 1071568932 /nfs/dbraw/zinc/56/89/32/1071568932.db2.gz HMTLOCLWDKWSFC-MRXNPFEDSA-N 0 0 432.543 -0.158 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000433175117 1071569016 /nfs/dbraw/zinc/56/90/16/1071569016.db2.gz NCVIWEJJRFWMJY-GXTWGEPZSA-N 0 0 434.511 -0.003 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000433175118 1071569170 /nfs/dbraw/zinc/56/91/70/1071569170.db2.gz NCVIWEJJRFWMJY-JSGCOSHPSA-N 0 0 434.511 -0.003 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000433175119 1071569001 /nfs/dbraw/zinc/56/90/01/1071569001.db2.gz NCVIWEJJRFWMJY-OCCSQVGLSA-N 0 0 434.511 -0.003 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000433175120 1071569151 /nfs/dbraw/zinc/56/91/51/1071569151.db2.gz NCVIWEJJRFWMJY-TZMCWYRMSA-N 0 0 434.511 -0.003 20 0 IBADRN C[C@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCS(=O)(=O)C1 ZINC000433176815 1071569869 /nfs/dbraw/zinc/56/98/69/1071569869.db2.gz CLLBWGLVLHZFOZ-AWEZNQCLSA-N 0 0 434.518 -0.273 20 0 IBADRN C[C@@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCS(=O)(=O)C1 ZINC000433176816 1071569722 /nfs/dbraw/zinc/56/97/22/1071569722.db2.gz CLLBWGLVLHZFOZ-CQSZACIVSA-N 0 0 434.518 -0.273 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000433182750 1071570334 /nfs/dbraw/zinc/57/03/34/1071570334.db2.gz PDFDKIAOSLVFEM-UHFFFAOYSA-N 0 0 432.587 -0.219 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@@](=O)CC[C@@H]2C)CC1 ZINC000433183973 1071570929 /nfs/dbraw/zinc/57/09/29/1071570929.db2.gz UPPIFESFXUMGEQ-CEXHIMGSSA-N 0 0 432.568 -0.033 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@](=O)CC[C@@H]2C)CC1 ZINC000433183974 1071571079 /nfs/dbraw/zinc/57/10/79/1071571079.db2.gz UPPIFESFXUMGEQ-JGTBNHKDSA-N 0 0 432.568 -0.033 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@](=O)CC[C@H]2C)CC1 ZINC000433183975 1071571076 /nfs/dbraw/zinc/57/10/76/1071571076.db2.gz UPPIFESFXUMGEQ-PFUSGODGSA-N 0 0 432.568 -0.033 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@@](=O)CC[C@H]2C)CC1 ZINC000433183976 1071570995 /nfs/dbraw/zinc/57/09/95/1071570995.db2.gz UPPIFESFXUMGEQ-QWVSSGJLSA-N 0 0 432.568 -0.033 20 0 IBADRN O=C(CN1CCc2c(nnn2-c2ccccc2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000433185182 1072656613 /nfs/dbraw/zinc/65/66/13/1072656613.db2.gz BHOSNTIZVWCJKS-GOSISDBHSA-N 0 0 444.561 -0.043 20 0 IBADRN O=C(CN1CCc2c(nnn2-c2ccccc2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000433185183 1072656600 /nfs/dbraw/zinc/65/66/00/1072656600.db2.gz BHOSNTIZVWCJKS-SFHVURJKSA-N 0 0 444.561 -0.043 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)c1 ZINC000433186027 1071570960 /nfs/dbraw/zinc/57/09/60/1071570960.db2.gz HHOJULPULLMVGQ-AWEZNQCLSA-N 0 0 434.540 -0.387 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)c1 ZINC000433186028 1071571014 /nfs/dbraw/zinc/57/10/14/1071571014.db2.gz HHOJULPULLMVGQ-CQSZACIVSA-N 0 0 434.540 -0.387 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000433186471 1071570939 /nfs/dbraw/zinc/57/09/39/1071570939.db2.gz JPEYXBKLKPTQSP-JTQLQIEISA-N 0 0 444.457 -0.280 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000433186526 1071570898 /nfs/dbraw/zinc/57/08/98/1071570898.db2.gz JYBZVWWXCNJBDZ-UHFFFAOYSA-N 0 0 437.526 -0.738 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccc(OC)nc1N1CCN(C(C)=O)CC1 ZINC000433188420 1071570948 /nfs/dbraw/zinc/57/09/48/1071570948.db2.gz QRGYTIZPODHXNX-UHFFFAOYSA-N 0 0 443.526 -0.347 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000433189041 1071570907 /nfs/dbraw/zinc/57/09/07/1071570907.db2.gz BXASBZWNQQSCJO-UHFFFAOYSA-N 0 0 436.556 -0.103 20 0 IBADRN CN(C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000433192090 1071570972 /nfs/dbraw/zinc/57/09/72/1071570972.db2.gz JJNRULUUKXJRBB-GOSISDBHSA-N 0 0 445.630 -0.353 20 0 IBADRN CN(C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000433192091 1071571017 /nfs/dbraw/zinc/57/10/17/1071571017.db2.gz JJNRULUUKXJRBB-SFHVURJKSA-N 0 0 445.630 -0.353 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000433195095 1071571090 /nfs/dbraw/zinc/57/10/90/1071571090.db2.gz QWWFETNTDIMTAG-UHFFFAOYSA-N 0 0 432.587 -0.122 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCc3c(nnn3-c3ccccc3)C2)c(=O)[nH]c1=O ZINC000433197636 1072660049 /nfs/dbraw/zinc/66/00/49/1072660049.db2.gz BRIDEQKKBIRTGV-UHFFFAOYSA-N 0 0 425.449 -0.001 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCc1ccccc1N1CCN(C(C)=O)CC1 ZINC000433197967 1071571659 /nfs/dbraw/zinc/57/16/59/1071571659.db2.gz VJIJSCWPWHIZNC-UHFFFAOYSA-N 0 0 426.539 -0.073 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCN(C(=O)CN2CCOCC2)CC1)c1ccc(F)cc1 ZINC000433206299 1071571634 /nfs/dbraw/zinc/57/16/34/1071571634.db2.gz GUGJUIRGMZVYPP-KRWDZBQOSA-N 0 0 449.527 -0.405 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCN(C(=O)CN2CCOCC2)CC1)c1ccc(F)cc1 ZINC000433206300 1071571670 /nfs/dbraw/zinc/57/16/70/1071571670.db2.gz GUGJUIRGMZVYPP-QGZVFWFLSA-N 0 0 449.527 -0.405 20 0 IBADRN NC(=O)c1ccccc1NCC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000433217283 1071573353 /nfs/dbraw/zinc/57/33/53/1071573353.db2.gz DVZWKMXGOUHDNV-UHFFFAOYSA-N 0 0 431.474 -0.043 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000433228069 1071573298 /nfs/dbraw/zinc/57/32/98/1071573298.db2.gz NXIDASPYKPJRQQ-COXVUDFISA-N 0 0 448.538 -0.151 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000433228070 1071573238 /nfs/dbraw/zinc/57/32/38/1071573238.db2.gz NXIDASPYKPJRQQ-KBRIMQKVSA-N 0 0 448.538 -0.151 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000433228071 1071573376 /nfs/dbraw/zinc/57/33/76/1071573376.db2.gz NXIDASPYKPJRQQ-XOKHGSTOSA-N 0 0 448.538 -0.151 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000433228072 1071573171 /nfs/dbraw/zinc/57/31/71/1071573171.db2.gz NXIDASPYKPJRQQ-XYPHTWIQSA-N 0 0 448.538 -0.151 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000433231256 1071573810 /nfs/dbraw/zinc/57/38/10/1071573810.db2.gz JPEYXBKLKPTQSP-SNVBAGLBSA-N 0 0 444.457 -0.280 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000433232786 1071574308 /nfs/dbraw/zinc/57/43/08/1071574308.db2.gz NFSZOCUKBVGXOL-AWEZNQCLSA-N 0 0 434.518 -0.131 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000433232790 1071574280 /nfs/dbraw/zinc/57/42/80/1071574280.db2.gz NFSZOCUKBVGXOL-CQSZACIVSA-N 0 0 434.518 -0.131 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)CC[C@H]2C)CC1 ZINC000433239240 1071573830 /nfs/dbraw/zinc/57/38/30/1071573830.db2.gz FORXGKZBYBSQCJ-CYBMUJFWSA-N 0 0 448.567 -0.367 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)CC[C@@H]2C)CC1 ZINC000433239241 1071573776 /nfs/dbraw/zinc/57/37/76/1071573776.db2.gz FORXGKZBYBSQCJ-ZDUSSCGKSA-N 0 0 448.567 -0.367 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)cn1 ZINC000433246881 1071575414 /nfs/dbraw/zinc/57/54/14/1071575414.db2.gz MPQOHDVWHIFRJM-CYBMUJFWSA-N 0 0 433.498 -0.831 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)cn1 ZINC000433246883 1071575967 /nfs/dbraw/zinc/57/59/67/1071575967.db2.gz MPQOHDVWHIFRJM-ZDUSSCGKSA-N 0 0 433.498 -0.831 20 0 IBADRN COCCN(C(=O)CN1CC[S@@](=O)C[C@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000433257148 1071576379 /nfs/dbraw/zinc/57/63/79/1071576379.db2.gz CJILFZVBPWERNO-ILHIWHGASA-N 0 0 443.570 -0.133 20 0 IBADRN COCCN(C(=O)CN1CC[S@](=O)C[C@@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000433257149 1071576444 /nfs/dbraw/zinc/57/64/44/1071576444.db2.gz CJILFZVBPWERNO-KJEZJMDVSA-N 0 0 443.570 -0.133 20 0 IBADRN COCCN(C(=O)CN1CC[S@@](=O)C[C@@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000433257150 1071576415 /nfs/dbraw/zinc/57/64/15/1071576415.db2.gz CJILFZVBPWERNO-NGFNCXNUSA-N 0 0 443.570 -0.133 20 0 IBADRN COCCN(C(=O)CN1CC[S@](=O)C[C@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000433257313 1071576404 /nfs/dbraw/zinc/57/64/04/1071576404.db2.gz CJILFZVBPWERNO-WYIRRWHOSA-N 0 0 443.570 -0.133 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NC[C@H]3CCCN(c4nccn5cnnc45)C3)nc2n(C)c1=O ZINC000433257735 1071576511 /nfs/dbraw/zinc/57/65/11/1071576511.db2.gz SITDMUDZUAXHGT-CYBMUJFWSA-N 0 0 449.475 -0.284 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NC[C@@H]3CCCN(c4nccn5cnnc45)C3)nc2n(C)c1=O ZINC000433257738 1071576490 /nfs/dbraw/zinc/57/64/90/1071576490.db2.gz SITDMUDZUAXHGT-ZDUSSCGKSA-N 0 0 449.475 -0.284 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC[C@H]3CCCN(c4nccn5cnnc45)C3)cnc2n(C)c1=O ZINC000433258848 1071576395 /nfs/dbraw/zinc/57/63/95/1071576395.db2.gz GYDNXINFOTWXOC-CYBMUJFWSA-N 0 0 449.475 -0.284 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC[C@@H]3CCCN(c4nccn5cnnc45)C3)cnc2n(C)c1=O ZINC000433258850 1071576438 /nfs/dbraw/zinc/57/64/38/1071576438.db2.gz GYDNXINFOTWXOC-ZDUSSCGKSA-N 0 0 449.475 -0.284 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)cnc2n(C)c1=O ZINC000433259683 1071576450 /nfs/dbraw/zinc/57/64/50/1071576450.db2.gz RBAWYXJAHHGCDH-CYBMUJFWSA-N 0 0 436.494 -0.336 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)cnc2n(C)c1=O ZINC000433259693 1071576504 /nfs/dbraw/zinc/57/65/04/1071576504.db2.gz RBAWYXJAHHGCDH-ZDUSSCGKSA-N 0 0 436.494 -0.336 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@](=O)C[C@@H](C)C2)CC1 ZINC000433269658 1071576937 /nfs/dbraw/zinc/57/69/37/1071576937.db2.gz PGDOWNZMQOYOJH-CEXHIMGSSA-N 0 0 432.568 -0.175 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@@](=O)C[C@@H](C)C2)CC1 ZINC000433269659 1071576981 /nfs/dbraw/zinc/57/69/81/1071576981.db2.gz PGDOWNZMQOYOJH-JGTBNHKDSA-N 0 0 432.568 -0.175 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@@](=O)C[C@H](C)C2)CC1 ZINC000433269660 1071576878 /nfs/dbraw/zinc/57/68/78/1071576878.db2.gz PGDOWNZMQOYOJH-PFUSGODGSA-N 0 0 432.568 -0.175 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@](=O)C[C@H](C)C2)CC1 ZINC000433269661 1071576986 /nfs/dbraw/zinc/57/69/86/1071576986.db2.gz PGDOWNZMQOYOJH-QWVSSGJLSA-N 0 0 432.568 -0.175 20 0 IBADRN CC(C)OC[C@H](CO)N(C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000433282602 1071577371 /nfs/dbraw/zinc/57/73/71/1071577371.db2.gz AGJZEVXSUGCBQA-KRWDZBQOSA-N 0 0 443.570 -0.039 20 0 IBADRN CC(C)OC[C@@H](CO)N(C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000433282606 1071577481 /nfs/dbraw/zinc/57/74/81/1071577481.db2.gz AGJZEVXSUGCBQA-QGZVFWFLSA-N 0 0 443.570 -0.039 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000433311736 1071581027 /nfs/dbraw/zinc/58/10/27/1071581027.db2.gz ITTRPQUKMSVFKC-FQEVSTJZSA-N 0 0 444.492 -0.555 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000433311737 1071581039 /nfs/dbraw/zinc/58/10/39/1071581039.db2.gz ITTRPQUKMSVFKC-HXUWFJFHSA-N 0 0 444.492 -0.555 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(S(=O)(=O)CCCOC)CC3)cc2[nH]c(=O)c1=O ZINC000433315325 1071580474 /nfs/dbraw/zinc/58/04/74/1071580474.db2.gz BMKPPNSYQJIGCL-UHFFFAOYSA-N 0 0 438.506 -0.166 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000433317112 1071581129 /nfs/dbraw/zinc/58/11/29/1071581129.db2.gz IALRJPQPEXAXJW-UHFFFAOYSA-N 0 0 433.552 -0.135 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2cccs2)CC1 ZINC000433317533 1071581094 /nfs/dbraw/zinc/58/10/94/1071581094.db2.gz DFIMPEGKFQEDJW-CYBMUJFWSA-N 0 0 439.581 -0.075 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2cccs2)CC1 ZINC000433317534 1071581071 /nfs/dbraw/zinc/58/10/71/1071581071.db2.gz DFIMPEGKFQEDJW-ZDUSSCGKSA-N 0 0 439.581 -0.075 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000433323355 1071581101 /nfs/dbraw/zinc/58/11/01/1071581101.db2.gz VUHYBEOXQZKDAJ-UHFFFAOYSA-N 0 0 447.535 -0.930 20 0 IBADRN C[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCS(=O)(=O)C1 ZINC000433324409 1071581005 /nfs/dbraw/zinc/58/10/05/1071581005.db2.gz AWWJPGMQHYSILX-AWEZNQCLSA-N 0 0 433.552 -0.125 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCS(=O)(=O)C1 ZINC000433324410 1071581058 /nfs/dbraw/zinc/58/10/58/1071581058.db2.gz AWWJPGMQHYSILX-CQSZACIVSA-N 0 0 433.552 -0.125 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000433327166 1071581080 /nfs/dbraw/zinc/58/10/80/1071581080.db2.gz REQQYMVIXKWAAJ-IBGZPJMESA-N 0 0 438.506 -0.135 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000433327167 1071581017 /nfs/dbraw/zinc/58/10/17/1071581017.db2.gz REQQYMVIXKWAAJ-LJQANCHMSA-N 0 0 438.506 -0.135 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000433328111 1071581171 /nfs/dbraw/zinc/58/11/71/1071581171.db2.gz RGKLKGFAUIBSAS-UHFFFAOYSA-N 0 0 435.524 -0.533 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Cc2c(C)nc3nc(N)nn3c2C)CC1 ZINC000433329131 1071581660 /nfs/dbraw/zinc/58/16/60/1071581660.db2.gz FPQKHVCREVTCJF-UHFFFAOYSA-N 0 0 425.515 -0.624 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000433329596 1071581675 /nfs/dbraw/zinc/58/16/75/1071581675.db2.gz YNAUXNHARFBRLZ-UHFFFAOYSA-N 0 0 438.506 -0.138 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN2CCN(c3ccccn3)CC2)CC1 ZINC000433333354 1071581556 /nfs/dbraw/zinc/58/15/56/1071581556.db2.gz GLUOERDOFFYBQE-UHFFFAOYSA-N 0 0 425.555 -0.286 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000433337699 1071581544 /nfs/dbraw/zinc/58/15/44/1071581544.db2.gz ZINJOBCYGBJVFY-IBGZPJMESA-N 0 0 438.506 -0.135 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000433337700 1071581772 /nfs/dbraw/zinc/58/17/72/1071581772.db2.gz ZINJOBCYGBJVFY-LJQANCHMSA-N 0 0 438.506 -0.135 20 0 IBADRN CC(C)[C@H](CN(C)C(=O)N1CCS(=O)(=O)CC1)NC(=O)N1CCS(=O)(=O)CC1 ZINC000433345150 1071581528 /nfs/dbraw/zinc/58/15/28/1071581528.db2.gz WDJDOUQMIGOGRX-AWEZNQCLSA-N 0 0 438.572 -0.767 20 0 IBADRN CC(C)[C@@H](CN(C)C(=O)N1CCS(=O)(=O)CC1)NC(=O)N1CCS(=O)(=O)CC1 ZINC000433345251 1071581626 /nfs/dbraw/zinc/58/16/26/1071581626.db2.gz WDJDOUQMIGOGRX-CQSZACIVSA-N 0 0 438.572 -0.767 20 0 IBADRN CCOC[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000433347817 1071581516 /nfs/dbraw/zinc/58/15/16/1071581516.db2.gz PUGNQLBYUMIZMA-INIZCTEOSA-N 0 0 427.523 -0.187 20 0 IBADRN CCOC[C@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000433347818 1071581597 /nfs/dbraw/zinc/58/15/97/1071581597.db2.gz PUGNQLBYUMIZMA-MRXNPFEDSA-N 0 0 427.523 -0.187 20 0 IBADRN CCOC[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000433353809 1071582147 /nfs/dbraw/zinc/58/21/47/1071582147.db2.gz LYDOMOMAHFHKIV-INIZCTEOSA-N 0 0 445.513 -0.048 20 0 IBADRN CCOC[C@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000433353810 1071582201 /nfs/dbraw/zinc/58/22/01/1071582201.db2.gz LYDOMOMAHFHKIV-MRXNPFEDSA-N 0 0 445.513 -0.048 20 0 IBADRN C[C@@H]1CC(C)(C)[C@H](CNC(=O)[C@@H]2CC[C@H](C(N)=O)O2)[C@@H]1NC(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC000433356585 1071582123 /nfs/dbraw/zinc/58/21/23/1071582123.db2.gz SOGZRIVJMVLAMJ-ILJVOIDVSA-N 0 0 438.525 -0.665 20 0 IBADRN C[C@@H]1CC(C)(C)[C@H](CNC(=O)[C@@H]2CC[C@H](C(N)=O)O2)[C@@H]1NC(=O)[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000433356588 1071582134 /nfs/dbraw/zinc/58/21/34/1071582134.db2.gz SOGZRIVJMVLAMJ-MISMKOALSA-N 0 0 438.525 -0.665 20 0 IBADRN C[C@@H]1CC(C)(C)[C@H](CNC(=O)[C@@H]2CC[C@H](C(N)=O)O2)[C@@H]1NC(=O)[C@@H]1CC[C@H](C(N)=O)O1 ZINC000433356590 1071582111 /nfs/dbraw/zinc/58/21/11/1071582111.db2.gz SOGZRIVJMVLAMJ-OTFNZQRDSA-N 0 0 438.525 -0.665 20 0 IBADRN C[C@@H]1CC(C)(C)[C@H](CNC(=O)[C@@H]2CC[C@H](C(N)=O)O2)[C@@H]1NC(=O)[C@H]1CC[C@H](C(N)=O)O1 ZINC000433356592 1071582233 /nfs/dbraw/zinc/58/22/33/1071582233.db2.gz SOGZRIVJMVLAMJ-QORBILMTSA-N 0 0 438.525 -0.665 20 0 IBADRN CCOC[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000433365792 1071583271 /nfs/dbraw/zinc/58/32/71/1071583271.db2.gz XTKYOOYRFUKIDG-CYBMUJFWSA-N 0 0 432.495 -0.148 20 0 IBADRN CCOC[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000433365793 1071583105 /nfs/dbraw/zinc/58/31/05/1071583105.db2.gz XTKYOOYRFUKIDG-ZDUSSCGKSA-N 0 0 432.495 -0.148 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000433374584 1071584118 /nfs/dbraw/zinc/58/41/18/1071584118.db2.gz NKWNOTGPOIWSGY-CABCVRRESA-N 0 0 444.535 -0.632 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000433374587 1071584171 /nfs/dbraw/zinc/58/41/71/1071584171.db2.gz NKWNOTGPOIWSGY-GJZGRUSLSA-N 0 0 444.535 -0.632 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000433374589 1071584091 /nfs/dbraw/zinc/58/40/91/1071584091.db2.gz NKWNOTGPOIWSGY-HUUCEWRRSA-N 0 0 444.535 -0.632 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000433374591 1071584125 /nfs/dbraw/zinc/58/41/25/1071584125.db2.gz NKWNOTGPOIWSGY-LSDHHAIUSA-N 0 0 444.535 -0.632 20 0 IBADRN O=C(CN1CCc2ccccc2C1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000433379433 1071584219 /nfs/dbraw/zinc/58/42/19/1071584219.db2.gz ULAORQHFZYQJEG-UHFFFAOYSA-N 0 0 436.534 -0.158 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc(Br)c1F ZINC000433385549 1071585714 /nfs/dbraw/zinc/58/57/14/1071585714.db2.gz YPPVUWMSMMCIAK-UHFFFAOYSA-N 0 0 445.245 -0.139 20 0 IBADRN Cc1cnc(NC[C@@](C)(O)CN2CCOCC2)nc1NC[C@](C)(O)CN1CCOCC1 ZINC000433389112 1071585137 /nfs/dbraw/zinc/58/51/37/1071585137.db2.gz WGLQQLKHPZQIDO-LEWJYISDSA-N 0 0 438.573 -0.225 20 0 IBADRN Cc1cnc(NC[C@@](C)(O)CN2CCOCC2)nc1NC[C@@](C)(O)CN1CCOCC1 ZINC000433389113 1071585095 /nfs/dbraw/zinc/58/50/95/1071585095.db2.gz WGLQQLKHPZQIDO-NHCUHLMSSA-N 0 0 438.573 -0.225 20 0 IBADRN Cc1cnc(NC[C@](C)(O)CN2CCOCC2)nc1NC[C@@](C)(O)CN1CCOCC1 ZINC000433389114 1071585087 /nfs/dbraw/zinc/58/50/87/1071585087.db2.gz WGLQQLKHPZQIDO-RTWAWAEBSA-N 0 0 438.573 -0.225 20 0 IBADRN Cc1cnc(NC[C@](C)(O)CN2CCOCC2)nc1NC[C@](C)(O)CN1CCOCC1 ZINC000433389115 1071585065 /nfs/dbraw/zinc/58/50/65/1071585065.db2.gz WGLQQLKHPZQIDO-SFTDATJTSA-N 0 0 438.573 -0.225 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N[C@H]2CCCN(CCO)C2=O)ccc1OC ZINC000433392291 1071585178 /nfs/dbraw/zinc/58/51/78/1071585178.db2.gz VPGMQTPTQHYGMS-AWEZNQCLSA-N 0 0 444.510 -0.275 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N[C@@H]2CCCN(CCO)C2=O)ccc1OC ZINC000433392292 1071585104 /nfs/dbraw/zinc/58/51/04/1071585104.db2.gz VPGMQTPTQHYGMS-CQSZACIVSA-N 0 0 444.510 -0.275 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCN3C(=O)N=NC3C2)ccc1OC ZINC000433392756 1071585189 /nfs/dbraw/zinc/58/51/89/1071585189.db2.gz YCGTZJWXEZPMBY-UHFFFAOYSA-N 0 0 426.455 -0.035 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)ccc1OC ZINC000433393168 1071585705 /nfs/dbraw/zinc/58/57/05/1071585705.db2.gz BQECYQLAROXIFH-GXTWGEPZSA-N 0 0 430.483 -0.226 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)ccc1OC ZINC000433393169 1071585763 /nfs/dbraw/zinc/58/57/63/1071585763.db2.gz BQECYQLAROXIFH-JSGCOSHPSA-N 0 0 430.483 -0.226 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)ccc1OC ZINC000433393170 1071585755 /nfs/dbraw/zinc/58/57/55/1071585755.db2.gz BQECYQLAROXIFH-OCCSQVGLSA-N 0 0 430.483 -0.226 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)ccc1OC ZINC000433393171 1071585709 /nfs/dbraw/zinc/58/57/09/1071585709.db2.gz BQECYQLAROXIFH-TZMCWYRMSA-N 0 0 430.483 -0.226 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCC(=O)N2CCOCC2)ccc1OC ZINC000433398482 1071585678 /nfs/dbraw/zinc/58/56/78/1071585678.db2.gz FJSRIGQJXYKNAW-UHFFFAOYSA-N 0 0 430.483 -0.400 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCCN2CCNC(=O)C2)ccc1OC ZINC000433399432 1071585638 /nfs/dbraw/zinc/58/56/38/1071585638.db2.gz JDXUHULTWLZGCA-UHFFFAOYSA-N 0 0 429.499 -0.827 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)CO)CC2)ccc1OC ZINC000433399807 1071585742 /nfs/dbraw/zinc/58/57/42/1071585742.db2.gz KNWHCOKGRUGMMB-UHFFFAOYSA-N 0 0 430.483 -0.712 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)CCO1 ZINC000433402710 1071586343 /nfs/dbraw/zinc/58/63/43/1071586343.db2.gz YVEKSPUIUFMGOP-AWEZNQCLSA-N 0 0 430.483 -0.401 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)CCO1 ZINC000433402712 1071586133 /nfs/dbraw/zinc/58/61/33/1071586133.db2.gz YVEKSPUIUFMGOP-CQSZACIVSA-N 0 0 430.483 -0.401 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCCN2C(=O)CCC2=O)ccc1OC ZINC000433404466 1071585689 /nfs/dbraw/zinc/58/56/89/1071585689.db2.gz XGWVDFRWXGAQJT-UHFFFAOYSA-N 0 0 428.467 -0.110 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)Nc2ccn(CC(N)=O)n2)ccc1OC ZINC000433404857 1071585730 /nfs/dbraw/zinc/58/57/30/1071585730.db2.gz BTSYXEVDISCNAA-UHFFFAOYSA-N 0 0 426.455 -0.054 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)ccc1OC ZINC000433410634 1071586310 /nfs/dbraw/zinc/58/63/10/1071586310.db2.gz LTECOQPUAZFBRH-CYBMUJFWSA-N 0 0 444.510 -0.323 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)[C@H](C)O)CC2)ccc1OC ZINC000433410637 1071586231 /nfs/dbraw/zinc/58/62/31/1071586231.db2.gz LTECOQPUAZFBRH-ZDUSSCGKSA-N 0 0 444.510 -0.323 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CC1 ZINC000433413030 1071586203 /nfs/dbraw/zinc/58/62/03/1071586203.db2.gz KOJWPZXCPNLDFZ-UHFFFAOYSA-N 0 0 436.531 -0.018 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NC[C@H](O)CN2CCOCC2)ccc1OC ZINC000433414465 1071586182 /nfs/dbraw/zinc/58/61/82/1071586182.db2.gz XEYDSXFWMVLZEP-HNNXBMFYSA-N 0 0 446.526 -0.566 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NC[C@@H](O)CN2CCOCC2)ccc1OC ZINC000433414466 1071586157 /nfs/dbraw/zinc/58/61/57/1071586157.db2.gz XEYDSXFWMVLZEP-OAHLLOKOSA-N 0 0 446.526 -0.566 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)COC)CC2)ccc1OC ZINC000433418570 1071586339 /nfs/dbraw/zinc/58/63/39/1071586339.db2.gz AYBWDCHYCPFKBX-UHFFFAOYSA-N 0 0 444.510 -0.058 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CC(OCC(=O)N(C)C)C2)ccc1OC ZINC000433423629 1071587342 /nfs/dbraw/zinc/58/73/42/1071587342.db2.gz KAKXSXIONWVVLR-UHFFFAOYSA-N 0 0 444.510 -0.059 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1 ZINC000433424003 1071587228 /nfs/dbraw/zinc/58/72/28/1071587228.db2.gz XBHCHPRBDLWTGK-UHFFFAOYSA-N 0 0 438.528 -0.319 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCO[C@@H](CNC(C)=O)C2)ccc1OC ZINC000433424864 1071587175 /nfs/dbraw/zinc/58/71/75/1071587175.db2.gz ZZQCRAQHXXZISV-HNNXBMFYSA-N 0 0 444.510 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCO[C@H](CNC(C)=O)C2)ccc1OC ZINC000433424868 1071587397 /nfs/dbraw/zinc/58/73/97/1071587397.db2.gz ZZQCRAQHXXZISV-OAHLLOKOSA-N 0 0 444.510 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N[C@H](C)C(=O)N2CCOCC2)ccc1OC ZINC000433426813 1071587749 /nfs/dbraw/zinc/58/77/49/1071587749.db2.gz DKHCADPNVSKJFA-CYBMUJFWSA-N 0 0 444.510 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N[C@@H](C)C(=O)N2CCOCC2)ccc1OC ZINC000433426814 1071587799 /nfs/dbraw/zinc/58/77/99/1071587799.db2.gz DKHCADPNVSKJFA-ZDUSSCGKSA-N 0 0 444.510 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCCCNS(C)(=O)=O)ccc1OC ZINC000433428210 1071587851 /nfs/dbraw/zinc/58/78/51/1071587851.db2.gz UQIDTHJMAMNKRG-UHFFFAOYSA-N 0 0 438.528 -0.319 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCCCN2C(=O)CNC2=O)ccc1OC ZINC000433428601 1071587706 /nfs/dbraw/zinc/58/77/06/1071587706.db2.gz YPDMIJJHKZBHOO-UHFFFAOYSA-N 0 0 443.482 -0.317 20 0 IBADRN Cn1nc([C@@H]2CCCO2)cc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000433428947 1071587810 /nfs/dbraw/zinc/58/78/10/1071587810.db2.gz AKEKNOOQGHVSIW-HNNXBMFYSA-N 0 0 428.515 -0.002 20 0 IBADRN Cn1nc([C@H]2CCCO2)cc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000433428948 1071587899 /nfs/dbraw/zinc/58/78/99/1071587899.db2.gz AKEKNOOQGHVSIW-OAHLLOKOSA-N 0 0 428.515 -0.002 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCN(CCOC)C(=O)C2)ccc1OC ZINC000433429187 1071587932 /nfs/dbraw/zinc/58/79/32/1071587932.db2.gz BVZBCOVNYSFYDK-UHFFFAOYSA-N 0 0 444.510 -0.058 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)Nc2ccn(CCC(N)=O)n2)ccc1OC ZINC000433429683 1071587871 /nfs/dbraw/zinc/58/78/71/1071587871.db2.gz GGKWKXDTOWRWSS-UHFFFAOYSA-N 0 0 440.482 0.336 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc(Br)c1F ZINC000433430920 1071587882 /nfs/dbraw/zinc/58/78/82/1071587882.db2.gz OSUBLZWUHLCALM-UHFFFAOYSA-N 0 0 440.247 -0.021 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCCNC(=O)c2ccn[nH]2)ccc1OC ZINC000433432785 1071587787 /nfs/dbraw/zinc/58/77/87/1071587787.db2.gz IXKLVUBNRANPFY-UHFFFAOYSA-N 0 0 440.482 -0.106 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCN3C(=O)NC[C@@H]3C2)ccc1OC ZINC000433432917 1071589506 /nfs/dbraw/zinc/58/95/06/1071589506.db2.gz IOEFEULMZLXOTM-CYBMUJFWSA-N 0 0 427.483 -0.139 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CCN3C(=O)NC[C@H]3C2)ccc1OC ZINC000433432918 1071589462 /nfs/dbraw/zinc/58/94/62/1071589462.db2.gz IOEFEULMZLXOTM-ZDUSSCGKSA-N 0 0 427.483 -0.139 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(c3nc(N)ns3)CC2)cc1F ZINC000433434896 1071590036 /nfs/dbraw/zinc/59/00/36/1071590036.db2.gz RBGOFAMRDRDMQX-UHFFFAOYSA-N 0 0 436.516 0.142 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000433439479 1071589633 /nfs/dbraw/zinc/58/96/33/1071589633.db2.gz KBGMDWUWOMSYLW-JTQLQIEISA-N 0 0 429.517 -0.101 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000433439480 1071589693 /nfs/dbraw/zinc/58/96/93/1071589693.db2.gz KBGMDWUWOMSYLW-SNVBAGLBSA-N 0 0 429.517 -0.101 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)c3ccc(NS(C)(=O)=O)c(F)c3)CC2=O)cn1 ZINC000433440693 1071589586 /nfs/dbraw/zinc/58/95/86/1071589586.db2.gz UOZFBZMGSWPUQH-UHFFFAOYSA-N 0 0 431.471 -0.032 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc(Br)c1F ZINC000433441760 1071590176 /nfs/dbraw/zinc/59/01/76/1071590176.db2.gz DUTHILCLVXGCHD-UHFFFAOYSA-N 0 0 445.201 -0.019 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC000433442127 1071589981 /nfs/dbraw/zinc/58/99/81/1071589981.db2.gz GEXZKJFDPCLPDS-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC000433442130 1071590017 /nfs/dbraw/zinc/59/00/17/1071590017.db2.gz GEXZKJFDPCLPDS-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc(Br)c1F ZINC000433442675 1071590025 /nfs/dbraw/zinc/59/00/25/1071590025.db2.gz IMEMGPLCJVQEBZ-UHFFFAOYSA-N 0 0 446.229 -0.024 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1CC1CC1 ZINC000433442874 1071590128 /nfs/dbraw/zinc/59/01/28/1071590128.db2.gz JNBJCHCYVPHQGV-UHFFFAOYSA-N 0 0 429.520 -0.092 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1 ZINC000433443359 1071589974 /nfs/dbraw/zinc/58/99/74/1071589974.db2.gz OBJGURAPWLYWLT-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1 ZINC000433443360 1071590155 /nfs/dbraw/zinc/59/01/55/1071590155.db2.gz OBJGURAPWLYWLT-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)cc2[nH]c1=O ZINC000433445542 1071590721 /nfs/dbraw/zinc/59/07/21/1071590721.db2.gz YTXGGTSERJKIMB-LLVKDONJSA-N 0 0 428.492 -0.595 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)cc2[nH]c1=O ZINC000433445545 1071590675 /nfs/dbraw/zinc/59/06/75/1071590675.db2.gz YTXGGTSERJKIMB-NSHDSACASA-N 0 0 428.492 -0.595 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(N)(=O)=O)cc(Br)c1F ZINC000433445592 1071590555 /nfs/dbraw/zinc/59/05/55/1071590555.db2.gz YXKXQYQWBMPMIV-UHFFFAOYSA-N 0 0 426.220 -0.282 20 0 IBADRN O=C1NC(=O)[C@@]2(CCCN(S(=O)(=O)c3ccccc3S(=O)(=O)N3CCCC3)C2)N1 ZINC000433446149 1071591237 /nfs/dbraw/zinc/59/12/37/1071591237.db2.gz ZHGUDNVJMWOFAF-KRWDZBQOSA-N 0 0 442.519 -0.166 20 0 IBADRN O=C1NC(=O)[C@]2(CCCN(S(=O)(=O)c3ccccc3S(=O)(=O)N3CCCC3)C2)N1 ZINC000433446151 1071591230 /nfs/dbraw/zinc/59/12/30/1071591230.db2.gz ZHGUDNVJMWOFAF-QGZVFWFLSA-N 0 0 442.519 -0.166 20 0 IBADRN O=C1NC(=O)[C@@]2(CCCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)C2)N1 ZINC000433446375 1071590806 /nfs/dbraw/zinc/59/08/06/1071590806.db2.gz GDIIEVCTEICXPP-INIZCTEOSA-N 0 0 428.492 -0.510 20 0 IBADRN O=C1NC(=O)[C@]2(CCCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)C2)N1 ZINC000433446376 1071590695 /nfs/dbraw/zinc/59/06/95/1071590695.db2.gz GDIIEVCTEICXPP-MRXNPFEDSA-N 0 0 428.492 -0.510 20 0 IBADRN C[C@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000433447450 1071591216 /nfs/dbraw/zinc/59/12/16/1071591216.db2.gz NVSJWZPFOYKYHC-BBRMVZONSA-N 0 0 446.551 -0.225 20 0 IBADRN C[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000433447451 1071591210 /nfs/dbraw/zinc/59/12/10/1071591210.db2.gz NVSJWZPFOYKYHC-CJNGLKHVSA-N 0 0 446.551 -0.225 20 0 IBADRN C[C@@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000433447452 1071591197 /nfs/dbraw/zinc/59/11/97/1071591197.db2.gz NVSJWZPFOYKYHC-CZUORRHYSA-N 0 0 446.551 -0.225 20 0 IBADRN C[C@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000433447453 1071591292 /nfs/dbraw/zinc/59/12/92/1071591292.db2.gz NVSJWZPFOYKYHC-XJKSGUPXSA-N 0 0 446.551 -0.225 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H]2CCCC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC000433447637 1071591135 /nfs/dbraw/zinc/59/11/35/1071591135.db2.gz PECXDASEGWXXNB-AWEZNQCLSA-N 0 0 431.536 -0.037 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CCCC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC000433447638 1071591176 /nfs/dbraw/zinc/59/11/76/1071591176.db2.gz PECXDASEGWXXNB-CQSZACIVSA-N 0 0 431.536 -0.037 20 0 IBADRN CCOC(=O)c1n[nH]c(-c2cccc(CNS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)c2)n1 ZINC000433448052 1071591125 /nfs/dbraw/zinc/59/11/25/1071591125.db2.gz SXRHULUGQFVFMT-UHFFFAOYSA-N 0 0 448.461 -0.476 20 0 IBADRN CN(CCS(=O)(=O)N1CCC[C@@]2(C1)NC(=O)NC2=O)S(=O)(=O)c1ccc(F)cc1 ZINC000433448231 1071591301 /nfs/dbraw/zinc/59/13/01/1071591301.db2.gz VBPVUAIKMCCXKU-INIZCTEOSA-N 0 0 448.498 -0.550 20 0 IBADRN CN(CCS(=O)(=O)N1CCC[C@]2(C1)NC(=O)NC2=O)S(=O)(=O)c1ccc(F)cc1 ZINC000433448232 1071591272 /nfs/dbraw/zinc/59/12/72/1071591272.db2.gz VBPVUAIKMCCXKU-MRXNPFEDSA-N 0 0 448.498 -0.550 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1F ZINC000433449085 1071591245 /nfs/dbraw/zinc/59/12/45/1071591245.db2.gz YRPJMQDDJAVFLI-HNNXBMFYSA-N 0 0 434.471 -0.050 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1F ZINC000433449088 1071591168 /nfs/dbraw/zinc/59/11/68/1071591168.db2.gz YRPJMQDDJAVFLI-OAHLLOKOSA-N 0 0 434.471 -0.050 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)cnc21 ZINC000433450085 1071591285 /nfs/dbraw/zinc/59/12/85/1071591285.db2.gz KVOIWWJCRPCTHV-LLVKDONJSA-N 0 0 443.507 -0.777 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)cnc21 ZINC000433450086 1071591146 /nfs/dbraw/zinc/59/11/46/1071591146.db2.gz KVOIWWJCRPCTHV-NSHDSACASA-N 0 0 443.507 -0.777 20 0 IBADRN O=C1NC(=O)[C@@]2(CCCN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)C2)N1 ZINC000433452215 1071591693 /nfs/dbraw/zinc/59/16/93/1071591693.db2.gz FXROSKIEARYETE-INIZCTEOSA-N 0 0 428.492 -0.411 20 0 IBADRN O=C1NC(=O)[C@]2(CCCN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)C2)N1 ZINC000433452216 1071591713 /nfs/dbraw/zinc/59/17/13/1071591713.db2.gz FXROSKIEARYETE-MRXNPFEDSA-N 0 0 428.492 -0.411 20 0 IBADRN O=C1NC(=O)[C@@]2(CCCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)C2)N1 ZINC000433452769 1071591680 /nfs/dbraw/zinc/59/16/80/1071591680.db2.gz HXWAZEWGMXYZII-KRWDZBQOSA-N 0 0 442.519 -0.166 20 0 IBADRN O=C1NC(=O)[C@]2(CCCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)C2)N1 ZINC000433452770 1071591796 /nfs/dbraw/zinc/59/17/96/1071591796.db2.gz HXWAZEWGMXYZII-QGZVFWFLSA-N 0 0 442.519 -0.166 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1C(=O)NCC(F)(F)F ZINC000433453218 1071591790 /nfs/dbraw/zinc/59/17/90/1071591790.db2.gz JUEUDKBXLWSHTL-AWEZNQCLSA-N 0 0 437.400 -0.320 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1C(=O)NCC(F)(F)F ZINC000433453219 1071591640 /nfs/dbraw/zinc/59/16/40/1071591640.db2.gz JUEUDKBXLWSHTL-CQSZACIVSA-N 0 0 437.400 -0.320 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c(OC)c1 ZINC000433454958 1071591662 /nfs/dbraw/zinc/59/16/62/1071591662.db2.gz QDMYBYUQWVGDBY-INIZCTEOSA-N 0 0 446.507 -0.181 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c(OC)c1 ZINC000433454959 1071591649 /nfs/dbraw/zinc/59/16/49/1071591649.db2.gz QDMYBYUQWVGDBY-MRXNPFEDSA-N 0 0 446.507 -0.181 20 0 IBADRN O=C1NC(=O)[C@@]2(CCCN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)C2)N1 ZINC000433455153 1071592074 /nfs/dbraw/zinc/59/20/74/1071592074.db2.gz QUGZHBVALJHRMS-INIZCTEOSA-N 0 0 428.492 -0.510 20 0 IBADRN O=C1NC(=O)[C@]2(CCCN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)C2)N1 ZINC000433455154 1071592194 /nfs/dbraw/zinc/59/21/94/1071592194.db2.gz QUGZHBVALJHRMS-MRXNPFEDSA-N 0 0 428.492 -0.510 20 0 IBADRN O=C1NC(=O)[C@@]2(CCCN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)C2)N1 ZINC000433456101 1071592525 /nfs/dbraw/zinc/59/25/25/1071592525.db2.gz UUFZDXZQLDEMRK-INIZCTEOSA-N 0 0 428.492 -0.510 20 0 IBADRN O=C1NC(=O)[C@]2(CCCN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)C2)N1 ZINC000433456102 1071592568 /nfs/dbraw/zinc/59/25/68/1071592568.db2.gz UUFZDXZQLDEMRK-MRXNPFEDSA-N 0 0 428.492 -0.510 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000433458853 1071592509 /nfs/dbraw/zinc/59/25/09/1071592509.db2.gz IXQZRQVYVASRIR-GOSISDBHSA-N 0 0 435.506 -0.175 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000433458854 1071593018 /nfs/dbraw/zinc/59/30/18/1071593018.db2.gz IXQZRQVYVASRIR-SFHVURJKSA-N 0 0 435.506 -0.175 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c(C(=O)OC)s1 ZINC000433459470 1071593200 /nfs/dbraw/zinc/59/32/00/1071593200.db2.gz LTESWQRVZRZHEM-HNNXBMFYSA-N 0 0 431.448 -0.316 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c(C(=O)OC)s1 ZINC000433459471 1071593233 /nfs/dbraw/zinc/59/32/33/1071593233.db2.gz LTESWQRVZRZHEM-OAHLLOKOSA-N 0 0 431.448 -0.316 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c1 ZINC000433462189 1071593050 /nfs/dbraw/zinc/59/30/50/1071593050.db2.gz YMCUTYHHNYBSFB-KRWDZBQOSA-N 0 0 425.419 -0.378 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c1 ZINC000433462190 1071593061 /nfs/dbraw/zinc/59/30/61/1071593061.db2.gz YMCUTYHHNYBSFB-QGZVFWFLSA-N 0 0 425.419 -0.378 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c1 ZINC000433462376 1071593065 /nfs/dbraw/zinc/59/30/65/1071593065.db2.gz ZJSKQWBOYQMXQH-KRWDZBQOSA-N 0 0 425.419 -0.378 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c1 ZINC000433462377 1071593079 /nfs/dbraw/zinc/59/30/79/1071593079.db2.gz ZJSKQWBOYQMXQH-QGZVFWFLSA-N 0 0 425.419 -0.378 20 0 IBADRN O=C1NC(=O)[C@@]2(CCCN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCC4)c3)C2)N1 ZINC000433463699 1071593267 /nfs/dbraw/zinc/59/32/67/1071593267.db2.gz SOTWXTFXIPHHKN-KRWDZBQOSA-N 0 0 442.519 -0.166 20 0 IBADRN O=C1NC(=O)[C@]2(CCCN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCC4)c3)C2)N1 ZINC000433463700 1071593241 /nfs/dbraw/zinc/59/32/41/1071593241.db2.gz SOTWXTFXIPHHKN-QGZVFWFLSA-N 0 0 442.519 -0.166 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c1OC ZINC000433467074 1071593583 /nfs/dbraw/zinc/59/35/83/1071593583.db2.gz PKBUOWNYZMSQCA-KRWDZBQOSA-N 0 0 427.435 -0.147 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c1OC ZINC000433467075 1071593744 /nfs/dbraw/zinc/59/37/44/1071593744.db2.gz PKBUOWNYZMSQCA-QGZVFWFLSA-N 0 0 427.435 -0.147 20 0 IBADRN O=C1c2ccccc2C(=O)N1C[C@H]1CN(S(=O)(=O)C2CCS(=O)(=O)CC2)CCO1 ZINC000433467526 1071593041 /nfs/dbraw/zinc/59/30/41/1071593041.db2.gz AUUFVVPACLJXNL-CYBMUJFWSA-N 0 0 442.515 -0.110 20 0 IBADRN O=C1c2ccccc2C(=O)N1C[C@@H]1CN(S(=O)(=O)C2CCS(=O)(=O)CC2)CCO1 ZINC000433467527 1071593029 /nfs/dbraw/zinc/59/30/29/1071593029.db2.gz AUUFVVPACLJXNL-ZDUSSCGKSA-N 0 0 442.515 -0.110 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@]2(O)CCO[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000433467546 1071593260 /nfs/dbraw/zinc/59/32/60/1071593260.db2.gz AZJCTJFOOUIQHQ-PBHICJAKSA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@]2(O)CCO[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000433467547 1071593647 /nfs/dbraw/zinc/59/36/47/1071593647.db2.gz AZJCTJFOOUIQHQ-RHSMWYFYSA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@]2(O)CCO[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000433467548 1071593674 /nfs/dbraw/zinc/59/36/74/1071593674.db2.gz AZJCTJFOOUIQHQ-WMLDXEAASA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@]2(O)CCO[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000433467549 1071593653 /nfs/dbraw/zinc/59/36/53/1071593653.db2.gz AZJCTJFOOUIQHQ-YOEHRIQHSA-N 0 0 434.536 -0.166 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000433468075 1071593697 /nfs/dbraw/zinc/59/36/97/1071593697.db2.gz CHVOAXUVVGDTRW-INIZCTEOSA-N 0 0 425.559 -0.290 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000433468076 1071593710 /nfs/dbraw/zinc/59/37/10/1071593710.db2.gz CHVOAXUVVGDTRW-MRXNPFEDSA-N 0 0 425.559 -0.290 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433468365 1071593591 /nfs/dbraw/zinc/59/35/91/1071593591.db2.gz DPBQFQHXERMTRC-CYBMUJFWSA-N 0 0 430.504 -0.252 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433468367 1071593682 /nfs/dbraw/zinc/59/36/82/1071593682.db2.gz DPBQFQHXERMTRC-ZDUSSCGKSA-N 0 0 430.504 -0.252 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000433468914 1071593574 /nfs/dbraw/zinc/59/35/74/1071593574.db2.gz JVNRMCZWVAKZLF-GOSISDBHSA-N 0 0 443.547 -0.083 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@]3(CNC(=O)C3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000433468915 1071593749 /nfs/dbraw/zinc/59/37/49/1071593749.db2.gz JVNRMCZWVAKZLF-SFHVURJKSA-N 0 0 443.547 -0.083 20 0 IBADRN O=C1C[C@@]2(CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2)CN1 ZINC000433471887 1071593658 /nfs/dbraw/zinc/59/36/58/1071593658.db2.gz SZARRPUKBXTQMY-KRWDZBQOSA-N 0 0 429.520 -0.392 20 0 IBADRN O=C1C[C@]2(CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2)CN1 ZINC000433471888 1071593631 /nfs/dbraw/zinc/59/36/31/1071593631.db2.gz SZARRPUKBXTQMY-QGZVFWFLSA-N 0 0 429.520 -0.392 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433474277 1071593666 /nfs/dbraw/zinc/59/36/66/1071593666.db2.gz HNGODEQHBMOMGM-HNNXBMFYSA-N 0 0 441.506 -0.177 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433474278 1071593736 /nfs/dbraw/zinc/59/37/36/1071593736.db2.gz HNGODEQHBMOMGM-OAHLLOKOSA-N 0 0 441.506 -0.177 20 0 IBADRN O=C(NCCNC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1)c1cnccn1 ZINC000433481291 1071594702 /nfs/dbraw/zinc/59/47/02/1071594702.db2.gz ATOFASMQAAWVNX-AWEZNQCLSA-N 0 0 438.444 -0.087 20 0 IBADRN O=C(NCCNC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1)c1cnccn1 ZINC000433481292 1071594672 /nfs/dbraw/zinc/59/46/72/1071594672.db2.gz ATOFASMQAAWVNX-CQSZACIVSA-N 0 0 438.444 -0.087 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)cc1C(N)=O ZINC000433481538 1071594531 /nfs/dbraw/zinc/59/45/31/1071594531.db2.gz VNLLZBAQQOVKQJ-GFCCVEGCSA-N 0 0 432.458 -0.190 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)cc1C(N)=O ZINC000433481539 1071594666 /nfs/dbraw/zinc/59/46/66/1071594666.db2.gz VNLLZBAQQOVKQJ-LBPRGKRZSA-N 0 0 432.458 -0.190 20 0 IBADRN O=C(CCNC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1)N1CCOCC1 ZINC000433481867 1071594556 /nfs/dbraw/zinc/59/45/56/1071594556.db2.gz DNURRENDGUQQLG-HNNXBMFYSA-N 0 0 430.461 -0.058 20 0 IBADRN O=C(CCNC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1)N1CCOCC1 ZINC000433481868 1071594680 /nfs/dbraw/zinc/59/46/80/1071594680.db2.gz DNURRENDGUQQLG-OAHLLOKOSA-N 0 0 430.461 -0.058 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CCN1c1nccn2cnnc12 ZINC000433482468 1071595090 /nfs/dbraw/zinc/59/50/90/1071595090.db2.gz ZZEZDDGYBMXWNL-LLVKDONJSA-N 0 0 442.461 -0.447 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CCN1c1nccn2cnnc12 ZINC000433482469 1071595103 /nfs/dbraw/zinc/59/51/03/1071595103.db2.gz ZZEZDDGYBMXWNL-NSHDSACASA-N 0 0 442.461 -0.447 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000433482641 1071595037 /nfs/dbraw/zinc/59/50/37/1071595037.db2.gz APZXNHNUBAWASP-HNNXBMFYSA-N 0 0 439.581 -0.303 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000433482642 1071595027 /nfs/dbraw/zinc/59/50/27/1071595027.db2.gz APZXNHNUBAWASP-OAHLLOKOSA-N 0 0 439.581 -0.303 20 0 IBADRN O=C(Cn1ccc(NC(=O)Nc2cccc(N3C(=O)COCC3=O)c2)n1)N1CCOCC1 ZINC000433482682 1071595061 /nfs/dbraw/zinc/59/50/61/1071595061.db2.gz HEBDIUROQLURNE-UHFFFAOYSA-N 0 0 442.432 0.276 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000433482944 1071594567 /nfs/dbraw/zinc/59/45/67/1071594567.db2.gz IAZDKFIGJBLYMD-UHFFFAOYSA-N 0 0 433.465 -0.512 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1 ZINC000433483278 1071594593 /nfs/dbraw/zinc/59/45/93/1071594593.db2.gz DLNFLTMTYRUXAE-AWEZNQCLSA-N 0 0 425.554 -0.315 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1 ZINC000433483279 1071594982 /nfs/dbraw/zinc/59/49/82/1071594982.db2.gz DLNFLTMTYRUXAE-CQSZACIVSA-N 0 0 425.554 -0.315 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433487380 1071595357 /nfs/dbraw/zinc/59/53/57/1071595357.db2.gz JEDZUJQVWLLUIY-CYBMUJFWSA-N 0 0 425.463 -0.277 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433487382 1071595418 /nfs/dbraw/zinc/59/54/18/1071595418.db2.gz JEDZUJQVWLLUIY-ZDUSSCGKSA-N 0 0 425.463 -0.277 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)CC1 ZINC000433488229 1071595543 /nfs/dbraw/zinc/59/55/43/1071595543.db2.gz UDCLEEZLGJULOI-INIZCTEOSA-N 0 0 443.504 -0.097 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)CC1 ZINC000433488231 1071595524 /nfs/dbraw/zinc/59/55/24/1071595524.db2.gz UDCLEEZLGJULOI-MRXNPFEDSA-N 0 0 443.504 -0.097 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433489364 1071595350 /nfs/dbraw/zinc/59/53/50/1071595350.db2.gz CRMWBEHWYWSJJZ-AWEZNQCLSA-N 0 0 436.490 -0.272 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433489365 1071595336 /nfs/dbraw/zinc/59/53/36/1071595336.db2.gz CRMWBEHWYWSJJZ-CQSZACIVSA-N 0 0 436.490 -0.272 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433490644 1071595497 /nfs/dbraw/zinc/59/54/97/1071595497.db2.gz YEXQUVQXTLFOQN-CYBMUJFWSA-N 0 0 445.519 -0.748 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433490645 1071595406 /nfs/dbraw/zinc/59/54/06/1071595406.db2.gz YEXQUVQXTLFOQN-ZDUSSCGKSA-N 0 0 445.519 -0.748 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000433497336 1071596472 /nfs/dbraw/zinc/59/64/72/1071596472.db2.gz PHMLDICSEZSJIL-CYBMUJFWSA-N 0 0 448.457 -0.258 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000433497337 1071596485 /nfs/dbraw/zinc/59/64/85/1071596485.db2.gz PHMLDICSEZSJIL-ZDUSSCGKSA-N 0 0 448.457 -0.258 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000433499498 1071597560 /nfs/dbraw/zinc/59/75/60/1071597560.db2.gz ZLHCJSCXBCRKAL-UHFFFAOYSA-N 0 0 429.433 -0.469 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CCN1c1nccn2cnnc12 ZINC000433499590 1071597518 /nfs/dbraw/zinc/59/75/18/1071597518.db2.gz ZYVHMMVWNFJFEF-CYBMUJFWSA-N 0 0 426.466 -0.001 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CCN1c1nccn2cnnc12 ZINC000433499595 1071597675 /nfs/dbraw/zinc/59/76/75/1071597675.db2.gz ZYVHMMVWNFJFEF-ZDUSSCGKSA-N 0 0 426.466 -0.001 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000433501252 1071597620 /nfs/dbraw/zinc/59/76/20/1071597620.db2.gz DDFGKJCVAWZQSV-UHFFFAOYSA-N 0 0 431.449 -0.223 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000433501421 1071597538 /nfs/dbraw/zinc/59/75/38/1071597538.db2.gz KWGWZPFGUQACTG-JTQLQIEISA-N 0 0 431.496 -0.875 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000433501423 1071597600 /nfs/dbraw/zinc/59/76/00/1071597600.db2.gz KWGWZPFGUQACTG-SNVBAGLBSA-N 0 0 431.496 -0.875 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000433502995 1071596824 /nfs/dbraw/zinc/59/68/24/1071596824.db2.gz NVCPWDOMMYGGEG-AWEZNQCLSA-N 0 0 425.554 -0.315 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000433502996 1071596839 /nfs/dbraw/zinc/59/68/39/1071596839.db2.gz NVCPWDOMMYGGEG-CQSZACIVSA-N 0 0 425.554 -0.315 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000433503418 1071596856 /nfs/dbraw/zinc/59/68/56/1071596856.db2.gz VFNWZYMDBULGNO-UHFFFAOYSA-N 0 0 443.460 -0.125 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CCN1c1nccn2cnnc12 ZINC000433503774 1071596354 /nfs/dbraw/zinc/59/63/54/1071596354.db2.gz SNRFYYSEDNJWDM-GFCCVEGCSA-N 0 0 437.507 -0.329 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CCN1c1nccn2cnnc12 ZINC000433503779 1071596374 /nfs/dbraw/zinc/59/63/74/1071596374.db2.gz SNRFYYSEDNJWDM-LBPRGKRZSA-N 0 0 437.507 -0.329 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CCN1c1nccn2cnnc12 ZINC000433506004 1071596791 /nfs/dbraw/zinc/59/67/91/1071596791.db2.gz KYUCGYQYNACYBR-CYBMUJFWSA-N 0 0 426.466 -0.001 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CCN1c1nccn2cnnc12 ZINC000433506005 1071596814 /nfs/dbraw/zinc/59/68/14/1071596814.db2.gz KYUCGYQYNACYBR-ZDUSSCGKSA-N 0 0 426.466 -0.001 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1)N1CCOCC1 ZINC000433506212 1071596831 /nfs/dbraw/zinc/59/68/31/1071596831.db2.gz MJIJVEAYXYUJAR-UHFFFAOYSA-N 0 0 445.476 -0.415 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000433515046 1071596808 /nfs/dbraw/zinc/59/68/08/1071596808.db2.gz AJMLEYXUAOUEKO-UHFFFAOYSA-N 0 0 433.870 -0.066 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1Cl ZINC000433523960 1071598060 /nfs/dbraw/zinc/59/80/60/1071598060.db2.gz FQWXGTXIWCYSQT-UHFFFAOYSA-N 0 0 433.870 -0.018 20 0 IBADRN CCC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000433526724 1071598454 /nfs/dbraw/zinc/59/84/54/1071598454.db2.gz WLMUMXLCVZDEPZ-UHFFFAOYSA-N 0 0 438.506 -0.061 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000433531070 1071599087 /nfs/dbraw/zinc/59/90/87/1071599087.db2.gz VGZASPCWGVEAGR-INIZCTEOSA-N 0 0 431.584 -0.076 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000433531071 1071598953 /nfs/dbraw/zinc/59/89/53/1071598953.db2.gz VGZASPCWGVEAGR-MRXNPFEDSA-N 0 0 431.584 -0.076 20 0 IBADRN CCC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000433531129 1071598979 /nfs/dbraw/zinc/59/89/79/1071598979.db2.gz VZSQAZNNEKMUMI-UHFFFAOYSA-N 0 0 430.461 -0.253 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCc4ccccc4C3=O)CC2)o1 ZINC000433537480 1071599974 /nfs/dbraw/zinc/59/99/74/1071599974.db2.gz IMRAKLYNVMZGDU-UHFFFAOYSA-N 0 0 446.485 -0.090 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)CC[C@@H]3C)cn2)C[C@H](C)O1 ZINC000433539548 1071600023 /nfs/dbraw/zinc/60/00/23/1071600023.db2.gz TUFHUASPTMKHSH-JIPNWQIVSA-N 0 0 439.538 -0.173 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)CC[C@@H]3C)cn2)C[C@@H](C)O1 ZINC000433539549 1071600607 /nfs/dbraw/zinc/60/06/07/1071600607.db2.gz TUFHUASPTMKHSH-MIUXXVPASA-N 0 0 439.538 -0.173 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)CC[C@H]3C)cn2)C[C@@H](C)O1 ZINC000433539550 1071600600 /nfs/dbraw/zinc/60/06/00/1071600600.db2.gz TUFHUASPTMKHSH-RQOGLZSVSA-N 0 0 439.538 -0.173 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)CC[C@H]3C)cn2)C[C@H](C)O1 ZINC000433539551 1071600449 /nfs/dbraw/zinc/60/04/49/1071600449.db2.gz TUFHUASPTMKHSH-WFTNRTDTSA-N 0 0 439.538 -0.173 20 0 IBADRN Cc1cc(C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000433543128 1071601713 /nfs/dbraw/zinc/60/17/13/1071601713.db2.gz IIAQDYAHGPLMNV-CYBMUJFWSA-N 0 0 449.475 -0.271 20 0 IBADRN Cc1cc(C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000433543129 1071601696 /nfs/dbraw/zinc/60/16/96/1071601696.db2.gz IIAQDYAHGPLMNV-ZDUSSCGKSA-N 0 0 449.475 -0.271 20 0 IBADRN C[C@H]1CN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CCN1c1nccn2cnnc12 ZINC000433545721 1071602806 /nfs/dbraw/zinc/60/28/06/1071602806.db2.gz VWCYNUHRFRCVOC-AWEZNQCLSA-N 0 0 430.494 -0.123 20 0 IBADRN C[C@@H]1CN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CCN1c1nccn2cnnc12 ZINC000433545722 1071602777 /nfs/dbraw/zinc/60/27/77/1071602777.db2.gz VWCYNUHRFRCVOC-CQSZACIVSA-N 0 0 430.494 -0.123 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC1CC(O)C1 ZINC000433546949 1071602868 /nfs/dbraw/zinc/60/28/68/1071602868.db2.gz XBJQZDXFPIXJIW-UHFFFAOYSA-N 0 0 441.506 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@@](=O)CC[C@@H]2C)cc1 ZINC000433547303 1071602795 /nfs/dbraw/zinc/60/27/95/1071602795.db2.gz GOOAXEUBWYWQTB-CEXHIMGSSA-N 0 0 431.536 -0.081 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@](=O)CC[C@@H]2C)cc1 ZINC000433547306 1071602766 /nfs/dbraw/zinc/60/27/66/1071602766.db2.gz GOOAXEUBWYWQTB-JGTBNHKDSA-N 0 0 431.536 -0.081 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@](=O)CC[C@H]2C)cc1 ZINC000433547307 1071602801 /nfs/dbraw/zinc/60/28/01/1071602801.db2.gz GOOAXEUBWYWQTB-PFUSGODGSA-N 0 0 431.536 -0.081 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@@](=O)CC[C@H]2C)cc1 ZINC000433547309 1071602827 /nfs/dbraw/zinc/60/28/27/1071602827.db2.gz GOOAXEUBWYWQTB-QWVSSGJLSA-N 0 0 431.536 -0.081 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C1)c2=O ZINC000433562023 1071614236 /nfs/dbraw/zinc/61/42/36/1071614236.db2.gz VEMUQCDPGZFUNY-CYBMUJFWSA-N 0 0 436.428 -0.346 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCO[C@H](CN3C(=O)c4ccccc4C3=O)C1)c2=O ZINC000433562024 1071614755 /nfs/dbraw/zinc/61/47/55/1071614755.db2.gz VEMUQCDPGZFUNY-ZDUSSCGKSA-N 0 0 436.428 -0.346 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CCN1c1nccn2cnnc12 ZINC000433573290 1071615969 /nfs/dbraw/zinc/61/59/69/1071615969.db2.gz XBPDLXSMYAHREE-CYBMUJFWSA-N 0 0 437.464 -0.786 20 0 IBADRN C[C@H]1CN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CCN1c1nccn2cnnc12 ZINC000433573291 1071616109 /nfs/dbraw/zinc/61/61/09/1071616109.db2.gz XBPDLXSMYAHREE-ZDUSSCGKSA-N 0 0 437.464 -0.786 20 0 IBADRN C[C@@H]1CN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCN1c1nccn2cnnc12 ZINC000433581969 1071614968 /nfs/dbraw/zinc/61/49/68/1071614968.db2.gz JGZTWCLLQPMVLM-GFCCVEGCSA-N 0 0 435.448 -0.579 20 0 IBADRN C[C@H]1CN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCN1c1nccn2cnnc12 ZINC000433581970 1071614811 /nfs/dbraw/zinc/61/48/11/1071614811.db2.gz JGZTWCLLQPMVLM-LBPRGKRZSA-N 0 0 435.448 -0.579 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000433581981 1071614947 /nfs/dbraw/zinc/61/49/47/1071614947.db2.gz JJKYTFHPPRCHLN-CYBMUJFWSA-N 0 0 426.433 -0.497 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000433581982 1071614767 /nfs/dbraw/zinc/61/47/67/1071614767.db2.gz JJKYTFHPPRCHLN-ZDUSSCGKSA-N 0 0 426.433 -0.497 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CCN1c1nccn2cnnc12 ZINC000433585754 1071616116 /nfs/dbraw/zinc/61/61/16/1071616116.db2.gz OXMJTFNMAHPVLT-GFCCVEGCSA-N 0 0 435.448 -0.579 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CCN1c1nccn2cnnc12 ZINC000433585755 1071616202 /nfs/dbraw/zinc/61/62/02/1071616202.db2.gz OXMJTFNMAHPVLT-LBPRGKRZSA-N 0 0 435.448 -0.579 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cc(N4CCCC[C@H]4CO)ncn3)CC2)CC1 ZINC000433599168 1071616008 /nfs/dbraw/zinc/61/60/08/1071616008.db2.gz KIKXNKCKGIKQEK-KRWDZBQOSA-N 0 0 439.586 -0.558 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cc(N4CCCC[C@@H]4CO)ncn3)CC2)CC1 ZINC000433599171 1071615955 /nfs/dbraw/zinc/61/59/55/1071615955.db2.gz KIKXNKCKGIKQEK-QGZVFWFLSA-N 0 0 439.586 -0.558 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cc(N4CCSCC4)ncn3)CC2)CC1 ZINC000433601436 1071616080 /nfs/dbraw/zinc/61/60/80/1071616080.db2.gz ZAIWWOLBFPHIQA-UHFFFAOYSA-N 0 0 427.600 -0.356 20 0 IBADRN CCn1cncc1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000433618069 1071616750 /nfs/dbraw/zinc/61/67/50/1071616750.db2.gz QJDYPOXOEAQAGX-UHFFFAOYSA-N 0 0 428.540 -0.272 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2cc(N3CCCC[C@H]3CO)ncn2)CC1 ZINC000433618410 1071616548 /nfs/dbraw/zinc/61/65/48/1071616548.db2.gz RNTGSURGAHHAEL-INIZCTEOSA-N 0 0 426.543 -0.473 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2cc(N3CCCC[C@@H]3CO)ncn2)CC1 ZINC000433618412 1071616704 /nfs/dbraw/zinc/61/67/04/1071616704.db2.gz RNTGSURGAHHAEL-MRXNPFEDSA-N 0 0 426.543 -0.473 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1F ZINC000433625428 1071617795 /nfs/dbraw/zinc/61/77/95/1071617795.db2.gz RAJGIALVOFGVNI-UHFFFAOYSA-N 0 0 448.498 -0.691 20 0 IBADRN CCNC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000433627214 1071617941 /nfs/dbraw/zinc/61/79/41/1071617941.db2.gz YBYJCEMGZLIQSE-GOSISDBHSA-N 0 0 439.494 -0.214 20 0 IBADRN CCNC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000433627215 1071617920 /nfs/dbraw/zinc/61/79/20/1071617920.db2.gz YBYJCEMGZLIQSE-SFHVURJKSA-N 0 0 439.494 -0.214 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CC1 ZINC000433629618 1071617890 /nfs/dbraw/zinc/61/78/90/1071617890.db2.gz YAFUPDWHDJZJHD-UHFFFAOYSA-N 0 0 429.517 -0.147 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)cc1F ZINC000433630709 1071618310 /nfs/dbraw/zinc/61/83/10/1071618310.db2.gz IAPWBXMPLHOUHX-BJOHPYRUSA-N 0 0 434.471 -0.146 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)cc1F ZINC000433630710 1071618327 /nfs/dbraw/zinc/61/83/27/1071618327.db2.gz IAPWBXMPLHOUHX-PSLIRLAXSA-N 0 0 434.471 -0.146 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)cc1F ZINC000433630711 1071618361 /nfs/dbraw/zinc/61/83/61/1071618361.db2.gz IAPWBXMPLHOUHX-RFAUZJTJSA-N 0 0 434.471 -0.146 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)cc1F ZINC000433630712 1071618336 /nfs/dbraw/zinc/61/83/36/1071618336.db2.gz IAPWBXMPLHOUHX-VFZGTOFNSA-N 0 0 434.471 -0.146 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H](C)C2)cc1 ZINC000433637010 1071617841 /nfs/dbraw/zinc/61/78/41/1071617841.db2.gz NULAULSBVDSYCI-CYBMUJFWSA-N 0 0 439.490 -0.189 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H](C)C2)cc1 ZINC000433637011 1071617836 /nfs/dbraw/zinc/61/78/36/1071617836.db2.gz NULAULSBVDSYCI-ZDUSSCGKSA-N 0 0 439.490 -0.189 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000433637982 1071617930 /nfs/dbraw/zinc/61/79/30/1071617930.db2.gz RRTRWMKLDZEZKE-GFCCVEGCSA-N 0 0 447.535 -0.223 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000433637983 1071617849 /nfs/dbraw/zinc/61/78/49/1071617849.db2.gz RRTRWMKLDZEZKE-LBPRGKRZSA-N 0 0 447.535 -0.223 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1F ZINC000433638472 1071617861 /nfs/dbraw/zinc/61/78/61/1071617861.db2.gz JJVDPMHQKJKUFR-JTQLQIEISA-N 0 0 429.517 -0.114 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1F ZINC000433638473 1071617948 /nfs/dbraw/zinc/61/79/48/1071617948.db2.gz JJVDPMHQKJKUFR-SNVBAGLBSA-N 0 0 429.517 -0.114 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)cc1F ZINC000433639195 1071618378 /nfs/dbraw/zinc/61/83/78/1071618378.db2.gz WZBRXZWEJKFZAH-UHFFFAOYSA-N 0 0 429.517 -0.101 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000433642425 1071619454 /nfs/dbraw/zinc/61/94/54/1071619454.db2.gz AKFBOGXDOHZRQE-CYBMUJFWSA-N 0 0 436.487 -0.788 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000433642426 1071619524 /nfs/dbraw/zinc/61/95/24/1071619524.db2.gz AKFBOGXDOHZRQE-ZDUSSCGKSA-N 0 0 436.487 -0.788 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)cc1F ZINC000433646183 1071619980 /nfs/dbraw/zinc/61/99/80/1071619980.db2.gz RPEUXIRGWKHYFA-UHFFFAOYSA-N 0 0 429.517 -0.147 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CCS(=O)(=O)C1 ZINC000433650166 1071618867 /nfs/dbraw/zinc/61/88/67/1071618867.db2.gz QNFXHRMDMXPEKC-LLVKDONJSA-N 0 0 435.499 -0.092 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CCS(=O)(=O)C1 ZINC000433650167 1071618934 /nfs/dbraw/zinc/61/89/34/1071618934.db2.gz QNFXHRMDMXPEKC-NSHDSACASA-N 0 0 435.499 -0.092 20 0 IBADRN CC(C)OC[C@H](CO)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000433651581 1071618884 /nfs/dbraw/zinc/61/88/84/1071618884.db2.gz VMYSGSAGEWWSTC-INIZCTEOSA-N 0 0 443.522 -0.110 20 0 IBADRN CC(C)OC[C@@H](CO)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000433651584 1071618968 /nfs/dbraw/zinc/61/89/68/1071618968.db2.gz VMYSGSAGEWWSTC-MRXNPFEDSA-N 0 0 443.522 -0.110 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1F ZINC000433651641 1071618953 /nfs/dbraw/zinc/61/89/53/1071618953.db2.gz VTLWUGCBVYCUOD-UHFFFAOYSA-N 0 0 434.515 -0.218 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCS(=O)(=O)C1 ZINC000433653314 1071619426 /nfs/dbraw/zinc/61/94/26/1071619426.db2.gz JHADQVOTBBHNNZ-CYBMUJFWSA-N 0 0 443.547 -0.164 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCS(=O)(=O)C1 ZINC000433653315 1071619504 /nfs/dbraw/zinc/61/95/04/1071619504.db2.gz JHADQVOTBBHNNZ-ZDUSSCGKSA-N 0 0 443.547 -0.164 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1F ZINC000433655435 1071619411 /nfs/dbraw/zinc/61/94/11/1071619411.db2.gz HHIFFYVGKRNAMW-JTQLQIEISA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1F ZINC000433655436 1071619436 /nfs/dbraw/zinc/61/94/36/1071619436.db2.gz HHIFFYVGKRNAMW-SNVBAGLBSA-N 0 0 429.517 -0.101 20 0 IBADRN Cn1ccnc(N2CCN(S(=O)(=O)c3ccc(NS(C)(=O)=O)c(F)c3)CC2)c1=O ZINC000433658324 1071620072 /nfs/dbraw/zinc/62/00/72/1071620072.db2.gz BKHVOZBVYFLTIJ-UHFFFAOYSA-N 0 0 445.498 -0.198 20 0 IBADRN Cn1cc(-c2ccc(NC(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)nn2)cn1 ZINC000433659430 1071620099 /nfs/dbraw/zinc/62/00/99/1071620099.db2.gz HEPIVKXDURUMKI-UHFFFAOYSA-N 0 0 436.480 0.528 20 0 IBADRN Cn1cc(-c2ccc(NC(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)nn2)cn1 ZINC000433661725 1071619996 /nfs/dbraw/zinc/61/99/96/1071619996.db2.gz BTXDAPKDQXJELP-UHFFFAOYSA-N 0 0 432.466 0.545 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CCS(=O)(=O)C1 ZINC000433662841 1071620060 /nfs/dbraw/zinc/62/00/60/1071620060.db2.gz GCCARZOIHPPZAT-GFCCVEGCSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CCS(=O)(=O)C1 ZINC000433662842 1071620119 /nfs/dbraw/zinc/62/01/19/1071620119.db2.gz GCCARZOIHPPZAT-LBPRGKRZSA-N 0 0 429.520 -0.041 20 0 IBADRN CC(C)OC[C@@H](CO)N(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000433663954 1071620629 /nfs/dbraw/zinc/62/06/29/1071620629.db2.gz YALBMLDMLWFFCS-HLLBOEOZSA-N 0 0 439.513 -0.298 20 0 IBADRN CC(C)OC[C@H](CO)N(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000433663957 1071620586 /nfs/dbraw/zinc/62/05/86/1071620586.db2.gz YALBMLDMLWFFCS-INMHGKMJSA-N 0 0 439.513 -0.298 20 0 IBADRN CC(C)OC[C@H](CO)N(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000433663960 1071620594 /nfs/dbraw/zinc/62/05/94/1071620594.db2.gz YALBMLDMLWFFCS-UXLLHSPISA-N 0 0 439.513 -0.298 20 0 IBADRN CC(C)OC[C@H](CO)N(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000433663963 1071620632 /nfs/dbraw/zinc/62/06/32/1071620632.db2.gz YALBMLDMLWFFCS-ZOBUZTSGSA-N 0 0 439.513 -0.298 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CCS(=O)(=O)C1 ZINC000433665863 1071620624 /nfs/dbraw/zinc/62/06/24/1071620624.db2.gz WRYLOTJPRNFCTR-GFCCVEGCSA-N 0 0 429.520 -0.160 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CCS(=O)(=O)C1 ZINC000433665864 1071620572 /nfs/dbraw/zinc/62/05/72/1071620572.db2.gz WRYLOTJPRNFCTR-LBPRGKRZSA-N 0 0 429.520 -0.160 20 0 IBADRN CC(C)OC[C@@H](CO)N(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000433666215 1071621155 /nfs/dbraw/zinc/62/11/55/1071621155.db2.gz YIOVYFBOJIPVDW-APWZRJJASA-N 0 0 449.504 -0.116 20 0 IBADRN CC(C)OC[C@H](CO)N(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000433666216 1071621105 /nfs/dbraw/zinc/62/11/05/1071621105.db2.gz YIOVYFBOJIPVDW-LPHOPBHVSA-N 0 0 449.504 -0.116 20 0 IBADRN CC(C)OC[C@H](CO)N(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000433666217 1071621094 /nfs/dbraw/zinc/62/10/94/1071621094.db2.gz YIOVYFBOJIPVDW-QFBILLFUSA-N 0 0 449.504 -0.116 20 0 IBADRN CC(C)OC[C@@H](CO)N(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000433666218 1071621044 /nfs/dbraw/zinc/62/10/44/1071621044.db2.gz YIOVYFBOJIPVDW-VQIMIIECSA-N 0 0 449.504 -0.116 20 0 IBADRN Cn1cc(-c2ccc(NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)nn2)cn1 ZINC000433666343 1071621099 /nfs/dbraw/zinc/62/10/99/1071621099.db2.gz GGEHUZUTVLMDEU-UHFFFAOYSA-N 0 0 426.437 -0.298 20 0 IBADRN Cn1cc(-c2ccc(NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)nn2)cn1 ZINC000433667375 1071621053 /nfs/dbraw/zinc/62/10/53/1071621053.db2.gz PGAXTSBRSIPOIR-UHFFFAOYSA-N 0 0 436.498 -0.396 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)nn1 ZINC000433668606 1071621102 /nfs/dbraw/zinc/62/11/02/1071621102.db2.gz CSNIQBYYZVSZMR-UHFFFAOYSA-N 0 0 430.874 -0.118 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)nn1 ZINC000433669146 1071621074 /nfs/dbraw/zinc/62/10/74/1071621074.db2.gz HIECLJZOYSNCQA-UHFFFAOYSA-N 0 0 436.494 -0.192 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CCS(=O)(=O)C1 ZINC000433669722 1071621084 /nfs/dbraw/zinc/62/10/84/1071621084.db2.gz LZYSXAMJISPQMY-HNNXBMFYSA-N 0 0 436.534 -0.094 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CCS(=O)(=O)C1 ZINC000433669723 1071621108 /nfs/dbraw/zinc/62/11/08/1071621108.db2.gz LZYSXAMJISPQMY-OAHLLOKOSA-N 0 0 436.534 -0.094 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CCS(=O)(=O)C1 ZINC000433671330 1071621088 /nfs/dbraw/zinc/62/10/88/1071621088.db2.gz VYCBHYRGZCERJG-HNNXBMFYSA-N 0 0 437.518 -0.081 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CCS(=O)(=O)C1 ZINC000433671331 1071621129 /nfs/dbraw/zinc/62/11/29/1071621129.db2.gz VYCBHYRGZCERJG-OAHLLOKOSA-N 0 0 437.518 -0.081 20 0 IBADRN Cn1cc(-c2ccc(NC(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)nn2)cn1 ZINC000433672509 1071622178 /nfs/dbraw/zinc/62/21/78/1071622178.db2.gz BRQLWBACHJWVBS-HNNXBMFYSA-N 0 0 435.510 0.535 20 0 IBADRN Cn1cc(-c2ccc(NC(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)nn2)cn1 ZINC000433672510 1071622098 /nfs/dbraw/zinc/62/20/98/1071622098.db2.gz BRQLWBACHJWVBS-OAHLLOKOSA-N 0 0 435.510 0.535 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCc1cccc(C(N)=O)c1)CC2 ZINC000433675169 1071621679 /nfs/dbraw/zinc/62/16/79/1071621679.db2.gz ASNOSPXMZKBEBT-UHFFFAOYSA-N 0 0 430.486 -0.058 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)nn1 ZINC000433676174 1071621633 /nfs/dbraw/zinc/62/16/33/1071621633.db2.gz UHTHKKOYZRETJV-UHFFFAOYSA-N 0 0 441.492 -0.132 20 0 IBADRN COc1ccc(NC(=O)CN2CCCS2(=O)=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433677519 1071621626 /nfs/dbraw/zinc/62/16/26/1071621626.db2.gz AWFPLEMBVSSWEX-CYBMUJFWSA-N 0 0 433.508 -0.264 20 0 IBADRN COc1ccc(NC(=O)CN2CCCS2(=O)=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433677520 1071621654 /nfs/dbraw/zinc/62/16/54/1071621654.db2.gz AWFPLEMBVSSWEX-ZDUSSCGKSA-N 0 0 433.508 -0.264 20 0 IBADRN COc1ccc(NC(=O)Cn2ccc(=O)n(C)c2=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433679395 1071621677 /nfs/dbraw/zinc/62/16/77/1071621677.db2.gz UOIIDQBFCHBHQJ-CYBMUJFWSA-N 0 0 438.462 -0.739 20 0 IBADRN COc1ccc(NC(=O)Cn2ccc(=O)n(C)c2=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433679397 1071621663 /nfs/dbraw/zinc/62/16/63/1071621663.db2.gz UOIIDQBFCHBHQJ-ZDUSSCGKSA-N 0 0 438.462 -0.739 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(Br)c(F)c(C(=O)OC)c1 ZINC000433679429 1071621658 /nfs/dbraw/zinc/62/16/58/1071621658.db2.gz UUEPMUKDLYJFRR-UHFFFAOYSA-N 0 0 440.247 -0.021 20 0 IBADRN CC(C)OC[C@H](CO)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000433680468 1071622241 /nfs/dbraw/zinc/62/22/41/1071622241.db2.gz OQBOOKQQNJLWKC-INIZCTEOSA-N 0 0 443.522 -0.110 20 0 IBADRN CC(C)OC[C@@H](CO)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000433680469 1071622210 /nfs/dbraw/zinc/62/22/10/1071622210.db2.gz OQBOOKQQNJLWKC-MRXNPFEDSA-N 0 0 443.522 -0.110 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)c2ccc(S(N)(=O)=O)nc2)ccc1OC ZINC000433681265 1071622192 /nfs/dbraw/zinc/62/21/92/1071622192.db2.gz CKBNOHKXFQWBLK-UHFFFAOYSA-N 0 0 444.491 -0.085 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)nn1 ZINC000433681413 1071622200 /nfs/dbraw/zinc/62/22/00/1071622200.db2.gz CVMKCDKXLUCSRG-UHFFFAOYSA-N 0 0 440.482 -0.937 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H](C)C1 ZINC000433681638 1071622088 /nfs/dbraw/zinc/62/20/88/1071622088.db2.gz DXVSXZYSPFPGBX-GFCCVEGCSA-N 0 0 447.535 -0.223 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H](C)C1 ZINC000433681639 1071622217 /nfs/dbraw/zinc/62/22/17/1071622217.db2.gz DXVSXZYSPFPGBX-LBPRGKRZSA-N 0 0 447.535 -0.223 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)NCc3cn(CC(=O)OC)nn3)CC2)CC1 ZINC000433684918 1071622158 /nfs/dbraw/zinc/62/21/58/1071622158.db2.gz TXNKSQNYYSPKMG-UHFFFAOYSA-N 0 0 435.529 -0.073 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)nn1 ZINC000433689117 1071622797 /nfs/dbraw/zinc/62/27/97/1071622797.db2.gz HLYNTMWUVJCFFX-UHFFFAOYSA-N 0 0 443.530 -0.601 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000433690969 1071622809 /nfs/dbraw/zinc/62/28/09/1071622809.db2.gz WWHWOTRFQUXQTD-AWEZNQCLSA-N 0 0 442.432 -0.342 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000433690970 1071622813 /nfs/dbraw/zinc/62/28/13/1071622813.db2.gz WWHWOTRFQUXQTD-CQSZACIVSA-N 0 0 442.432 -0.342 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000433691126 1071622816 /nfs/dbraw/zinc/62/28/16/1071622816.db2.gz RKVBIDOMKQZVSE-UHFFFAOYSA-N 0 0 441.470 -0.728 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000433695263 1071622750 /nfs/dbraw/zinc/62/27/50/1071622750.db2.gz VCXBORNTFHWOGO-CYBMUJFWSA-N 0 0 438.510 -0.243 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000433695264 1071622732 /nfs/dbraw/zinc/62/27/32/1071622732.db2.gz VCXBORNTFHWOGO-ZDUSSCGKSA-N 0 0 438.510 -0.243 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)nn1 ZINC000433696449 1071622819 /nfs/dbraw/zinc/62/28/19/1071622819.db2.gz KMSOZOOLINLFST-UHFFFAOYSA-N 0 0 430.421 -0.153 20 0 IBADRN CN(C)c1nc(C(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)cc(=O)[nH]1 ZINC000433699724 1071622714 /nfs/dbraw/zinc/62/27/14/1071622714.db2.gz QSUFPSURPNLDOM-UHFFFAOYSA-N 0 0 427.421 -0.007 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)nn1 ZINC000433701796 1071622741 /nfs/dbraw/zinc/62/27/41/1071622741.db2.gz HZGXCLIJNKXYNA-UHFFFAOYSA-N 0 0 447.471 -0.153 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(C[C@H](O)COc3ccccc3)CC2)nn1 ZINC000433707738 1071623674 /nfs/dbraw/zinc/62/36/74/1071623674.db2.gz OFVUIBABXQFUBH-KRWDZBQOSA-N 0 0 432.481 -0.282 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(C[C@@H](O)COc3ccccc3)CC2)nn1 ZINC000433707740 1071623706 /nfs/dbraw/zinc/62/37/06/1071623706.db2.gz OFVUIBABXQFUBH-QGZVFWFLSA-N 0 0 432.481 -0.282 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)nn1 ZINC000433708924 1071623696 /nfs/dbraw/zinc/62/36/96/1071623696.db2.gz LJBUJWRSCRFMQY-UHFFFAOYSA-N 0 0 432.503 -0.967 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2cnn3ncccc23)CCN1C(=O)c1cnn2ncccc12 ZINC000433710246 1071623256 /nfs/dbraw/zinc/62/32/56/1071623256.db2.gz YYTJVFMIHIQAIW-GOSISDBHSA-N 0 0 447.459 -0.173 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2cnn3ncccc23)CCN1C(=O)c1cnn2ncccc12 ZINC000433710247 1071623137 /nfs/dbraw/zinc/62/31/37/1071623137.db2.gz YYTJVFMIHIQAIW-SFHVURJKSA-N 0 0 447.459 -0.173 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)nn1 ZINC000433713302 1071623199 /nfs/dbraw/zinc/62/31/99/1071623199.db2.gz LLWOSYWYOODZHV-HOTGVXAUSA-N 0 0 435.529 -0.217 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)nn1 ZINC000433713303 1071623294 /nfs/dbraw/zinc/62/32/94/1071623294.db2.gz LLWOSYWYOODZHV-HZPDHXFCSA-N 0 0 435.529 -0.217 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)nn1 ZINC000433713304 1071623118 /nfs/dbraw/zinc/62/31/18/1071623118.db2.gz LLWOSYWYOODZHV-IYBDPMFKSA-N 0 0 435.529 -0.217 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)S(=O)(=O)c2cc(C(N)=O)n(C)c2)c1 ZINC000433731777 1071623724 /nfs/dbraw/zinc/62/37/24/1071623724.db2.gz UAWVIFASHYZPBN-UHFFFAOYSA-N 0 0 435.466 -0.062 20 0 IBADRN O=C(CSCC(=O)NCC1(N2CCOCC2)CC1)NCC1(N2CCOCC2)CC1 ZINC000433733664 1071624249 /nfs/dbraw/zinc/62/42/49/1071624249.db2.gz QOJYEZYWYQTWLC-UHFFFAOYSA-N 0 0 426.583 -0.318 20 0 IBADRN CCCC[C@H](NC(=O)NCC)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000433747960 1071624677 /nfs/dbraw/zinc/62/46/77/1071624677.db2.gz PDHRFMQQRBKVOJ-CVEARBPZSA-N 0 0 445.586 -0.688 20 0 IBADRN CCCC[C@H](NC(=O)NCC)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000433747961 1071624746 /nfs/dbraw/zinc/62/47/46/1071624746.db2.gz PDHRFMQQRBKVOJ-HOTGVXAUSA-N 0 0 445.586 -0.688 20 0 IBADRN CCCC[C@@H](NC(=O)NCC)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000433747962 1071624738 /nfs/dbraw/zinc/62/47/38/1071624738.db2.gz PDHRFMQQRBKVOJ-HZPDHXFCSA-N 0 0 445.586 -0.688 20 0 IBADRN CCCC[C@@H](NC(=O)NCC)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000433747963 1071624668 /nfs/dbraw/zinc/62/46/68/1071624668.db2.gz PDHRFMQQRBKVOJ-JKSUJKDBSA-N 0 0 445.586 -0.688 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCN(C(=O)CCc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000433748008 1071624698 /nfs/dbraw/zinc/62/46/98/1071624698.db2.gz IJXPCCDHAKMAQF-UHFFFAOYSA-N 0 0 434.518 -0.060 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000433748597 1071625270 /nfs/dbraw/zinc/62/52/70/1071625270.db2.gz AMKOEXLGDYGQAZ-UHFFFAOYSA-N 0 0 440.522 -0.878 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc(F)cc1)NCC(=O)NCCN1CCOCC1 ZINC000433749892 1071625211 /nfs/dbraw/zinc/62/52/11/1071625211.db2.gz QOBMPLOKIQJXDI-UHFFFAOYSA-N 0 0 430.502 -0.551 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)S(=O)(=O)N2CCS(=O)(=O)CC2)c1 ZINC000433755256 1071624655 /nfs/dbraw/zinc/62/46/55/1071624655.db2.gz DEIBSMQHYZLXBY-UHFFFAOYSA-N 0 0 446.511 -0.914 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)S(=O)(=O)CCCS(C)(=O)=O)c1 ZINC000433757066 1071625255 /nfs/dbraw/zinc/62/52/55/1071625255.db2.gz NCCSEGIEFJQUKR-UHFFFAOYSA-N 0 0 433.512 -0.124 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N(C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000433761961 1071625785 /nfs/dbraw/zinc/62/57/85/1071625785.db2.gz INVIBQNSOIKPDC-UHFFFAOYSA-N 0 0 428.471 -0.149 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)C1 ZINC000433777810 1071625803 /nfs/dbraw/zinc/62/58/03/1071625803.db2.gz PZRKWSPZIMOWOG-AWEZNQCLSA-N 0 0 426.499 -0.971 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)C1 ZINC000433777811 1071625765 /nfs/dbraw/zinc/62/57/65/1071625765.db2.gz PZRKWSPZIMOWOG-CQSZACIVSA-N 0 0 426.499 -0.971 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000433777877 1071625802 /nfs/dbraw/zinc/62/58/02/1071625802.db2.gz QTWNTKZMNYDZJZ-GFCCVEGCSA-N 0 0 436.487 -0.660 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000433777878 1071625790 /nfs/dbraw/zinc/62/57/90/1071625790.db2.gz QTWNTKZMNYDZJZ-LBPRGKRZSA-N 0 0 436.487 -0.660 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)ccc1C ZINC000433778039 1071625772 /nfs/dbraw/zinc/62/57/72/1071625772.db2.gz SQZBWLHJKPHZQH-AWEZNQCLSA-N 0 0 446.551 -0.230 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)ccc1C ZINC000433778040 1071625826 /nfs/dbraw/zinc/62/58/26/1071625826.db2.gz SQZBWLHJKPHZQH-CQSZACIVSA-N 0 0 446.551 -0.230 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1 ZINC000433779873 1071625855 /nfs/dbraw/zinc/62/58/55/1071625855.db2.gz PJZSQPVYZPKABL-CYBMUJFWSA-N 0 0 446.551 -0.050 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1 ZINC000433779874 1071625814 /nfs/dbraw/zinc/62/58/14/1071625814.db2.gz PJZSQPVYZPKABL-ZDUSSCGKSA-N 0 0 446.551 -0.050 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1-n1cnnn1 ZINC000433780044 1071625822 /nfs/dbraw/zinc/62/58/22/1071625822.db2.gz RGCUKNXHCPNXFI-CYBMUJFWSA-N 0 0 437.482 -0.462 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1-n1cnnn1 ZINC000433780045 1071625848 /nfs/dbraw/zinc/62/58/48/1071625848.db2.gz RGCUKNXHCPNXFI-ZDUSSCGKSA-N 0 0 437.482 -0.462 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1 ZINC000433780356 1071626197 /nfs/dbraw/zinc/62/61/97/1071626197.db2.gz BCHXXKDANIVBAW-HNNXBMFYSA-N 0 0 425.511 -0.215 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1 ZINC000433780357 1071626234 /nfs/dbraw/zinc/62/62/34/1071626234.db2.gz BCHXXKDANIVBAW-OAHLLOKOSA-N 0 0 425.511 -0.215 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)ccc1F ZINC000433780572 1071626275 /nfs/dbraw/zinc/62/62/75/1071626275.db2.gz TYWAUPPWSJSSMT-CYBMUJFWSA-N 0 0 439.473 -0.023 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)ccc1F ZINC000433780573 1071626343 /nfs/dbraw/zinc/62/63/43/1071626343.db2.gz TYWAUPPWSJSSMT-ZDUSSCGKSA-N 0 0 439.473 -0.023 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1C ZINC000433780822 1071626210 /nfs/dbraw/zinc/62/62/10/1071626210.db2.gz CCEGCTUSTUNEFS-CYBMUJFWSA-N 0 0 446.551 -0.182 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1C ZINC000433780823 1071626309 /nfs/dbraw/zinc/62/63/09/1071626309.db2.gz CCEGCTUSTUNEFS-ZDUSSCGKSA-N 0 0 446.551 -0.182 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000433781389 1071626256 /nfs/dbraw/zinc/62/62/56/1071626256.db2.gz DWSWJVCTZJSEGP-CYBMUJFWSA-N 0 0 432.524 -0.490 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000433781390 1071626347 /nfs/dbraw/zinc/62/63/47/1071626347.db2.gz DWSWJVCTZJSEGP-ZDUSSCGKSA-N 0 0 432.524 -0.490 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000433781415 1071626338 /nfs/dbraw/zinc/62/63/38/1071626338.db2.gz FDKUZYRVNLSHDH-AWEZNQCLSA-N 0 0 446.551 -0.236 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000433781416 1071626290 /nfs/dbraw/zinc/62/62/90/1071626290.db2.gz FDKUZYRVNLSHDH-CQSZACIVSA-N 0 0 446.551 -0.236 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)C1 ZINC000433781544 1071626263 /nfs/dbraw/zinc/62/62/63/1071626263.db2.gz XQVXQKGZPBPQCX-CYBMUJFWSA-N 0 0 426.495 -0.192 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)C1 ZINC000433781745 1071626175 /nfs/dbraw/zinc/62/61/75/1071626175.db2.gz XQVXQKGZPBPQCX-ZDUSSCGKSA-N 0 0 426.495 -0.192 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)C1 ZINC000433782004 1071626327 /nfs/dbraw/zinc/62/63/27/1071626327.db2.gz HDAKBEYJERWQGA-INIZCTEOSA-N 0 0 434.522 0.388 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)C1 ZINC000433782005 1071626242 /nfs/dbraw/zinc/62/62/42/1071626242.db2.gz HDAKBEYJERWQGA-MRXNPFEDSA-N 0 0 434.522 0.388 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)C1 ZINC000433782534 1071626772 /nfs/dbraw/zinc/62/67/72/1071626772.db2.gz JGKYYXXANZYJRE-AWEZNQCLSA-N 0 0 429.520 -0.116 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)C1 ZINC000433782538 1071626830 /nfs/dbraw/zinc/62/68/30/1071626830.db2.gz JGKYYXXANZYJRE-CQSZACIVSA-N 0 0 429.520 -0.116 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1C ZINC000433782618 1071626805 /nfs/dbraw/zinc/62/68/05/1071626805.db2.gz JUNXCFZHEBEYFL-CYBMUJFWSA-N 0 0 446.551 -0.230 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1C ZINC000433782620 1071626809 /nfs/dbraw/zinc/62/68/09/1071626809.db2.gz JUNXCFZHEBEYFL-ZDUSSCGKSA-N 0 0 446.551 -0.230 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C1 ZINC000433782894 1071626779 /nfs/dbraw/zinc/62/67/79/1071626779.db2.gz LKSOWZPVDZVBBN-HNNXBMFYSA-N 0 0 446.551 -0.397 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C1 ZINC000433782902 1071626765 /nfs/dbraw/zinc/62/67/65/1071626765.db2.gz LKSOWZPVDZVBBN-OAHLLOKOSA-N 0 0 446.551 -0.397 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000433782991 1071626840 /nfs/dbraw/zinc/62/68/40/1071626840.db2.gz MXMGECZRUSKLGV-AWEZNQCLSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000433782992 1071626784 /nfs/dbraw/zinc/62/67/84/1071626784.db2.gz MXMGECZRUSKLGV-CQSZACIVSA-N 0 0 432.524 -0.538 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000433783101 1071626790 /nfs/dbraw/zinc/62/67/90/1071626790.db2.gz MZJBPKAIYWWNIQ-HNNXBMFYSA-N 0 0 446.551 -0.196 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000433783102 1071626848 /nfs/dbraw/zinc/62/68/48/1071626848.db2.gz MZJBPKAIYWWNIQ-OAHLLOKOSA-N 0 0 446.551 -0.196 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000433783141 1071626843 /nfs/dbraw/zinc/62/68/43/1071626843.db2.gz NNWBOWHRGPCQOH-CYBMUJFWSA-N 0 0 426.495 -0.078 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000433783142 1071626823 /nfs/dbraw/zinc/62/68/23/1071626823.db2.gz NNWBOWHRGPCQOH-ZDUSSCGKSA-N 0 0 426.495 -0.078 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1OCC(N)=O ZINC000433783218 1071626813 /nfs/dbraw/zinc/62/68/13/1071626813.db2.gz MSUOPTWLIBWQOE-CYBMUJFWSA-N 0 0 442.494 -0.574 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1OCC(N)=O ZINC000433783219 1071626826 /nfs/dbraw/zinc/62/68/26/1071626826.db2.gz MSUOPTWLIBWQOE-ZDUSSCGKSA-N 0 0 442.494 -0.574 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c2ccccc2O1 ZINC000433783936 1071626801 /nfs/dbraw/zinc/62/68/01/1071626801.db2.gz AHWJLUZNVNSNSO-BBRMVZONSA-N 0 0 438.506 -0.545 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c2ccccc2O1 ZINC000433783937 1071626836 /nfs/dbraw/zinc/62/68/36/1071626836.db2.gz AHWJLUZNVNSNSO-CJNGLKHVSA-N 0 0 438.506 -0.545 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c2ccccc2O1 ZINC000433783938 1071626821 /nfs/dbraw/zinc/62/68/21/1071626821.db2.gz AHWJLUZNVNSNSO-CZUORRHYSA-N 0 0 438.506 -0.545 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c2ccccc2O1 ZINC000433783939 1071626752 /nfs/dbraw/zinc/62/67/52/1071626752.db2.gz AHWJLUZNVNSNSO-XJKSGUPXSA-N 0 0 438.506 -0.545 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000433784951 1071627394 /nfs/dbraw/zinc/62/73/94/1071627394.db2.gz ZHOQCLFADGYFSY-AWEZNQCLSA-N 0 0 446.551 -0.230 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000433784953 1071627354 /nfs/dbraw/zinc/62/73/54/1071627354.db2.gz ZHOQCLFADGYFSY-CQSZACIVSA-N 0 0 446.551 -0.230 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C1 ZINC000433785167 1071626795 /nfs/dbraw/zinc/62/67/95/1071626795.db2.gz PVIUYETWJBRNLF-HNNXBMFYSA-N 0 0 426.495 -0.192 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C1 ZINC000433785168 1071627428 /nfs/dbraw/zinc/62/74/28/1071627428.db2.gz PVIUYETWJBRNLF-OAHLLOKOSA-N 0 0 426.495 -0.192 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)C1 ZINC000433786020 1071627366 /nfs/dbraw/zinc/62/73/66/1071627366.db2.gz VFXDIEJIURGXEI-AWEZNQCLSA-N 0 0 425.511 -0.215 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)C1 ZINC000433786021 1071627415 /nfs/dbraw/zinc/62/74/15/1071627415.db2.gz VFXDIEJIURGXEI-CQSZACIVSA-N 0 0 425.511 -0.215 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1 ZINC000433786914 1071627431 /nfs/dbraw/zinc/62/74/31/1071627431.db2.gz PZOILKCFPYNMCM-HNNXBMFYSA-N 0 0 426.495 -0.321 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1 ZINC000433786915 1071627236 /nfs/dbraw/zinc/62/72/36/1071627236.db2.gz PZOILKCFPYNMCM-OAHLLOKOSA-N 0 0 426.495 -0.321 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000433787227 1071627411 /nfs/dbraw/zinc/62/74/11/1071627411.db2.gz RYKQAYIBSHKMRV-CYBMUJFWSA-N 0 0 446.551 -0.196 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000433787228 1071627385 /nfs/dbraw/zinc/62/73/85/1071627385.db2.gz RYKQAYIBSHKMRV-ZDUSSCGKSA-N 0 0 446.551 -0.196 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000433800002 1071627772 /nfs/dbraw/zinc/62/77/72/1071627772.db2.gz AUJAKNAAXUDVGI-CYBMUJFWSA-N 0 0 428.602 -0.523 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000433800003 1071627914 /nfs/dbraw/zinc/62/79/14/1071627914.db2.gz AUJAKNAAXUDVGI-ZDUSSCGKSA-N 0 0 428.602 -0.523 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2cc(N3CCN(C)C(=O)C3)ncn2)C1 ZINC000433806569 1071627758 /nfs/dbraw/zinc/62/77/58/1071627758.db2.gz VUOVFMDFTSUUQP-HNNXBMFYSA-N 0 0 426.543 -0.368 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2cc(N3CCN(C)C(=O)C3)ncn2)C1 ZINC000433806570 1071627839 /nfs/dbraw/zinc/62/78/39/1071627839.db2.gz VUOVFMDFTSUUQP-OAHLLOKOSA-N 0 0 426.543 -0.368 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)CC1 ZINC000433810494 1071627920 /nfs/dbraw/zinc/62/79/20/1071627920.db2.gz KGAXMZYCIBXILS-HNNXBMFYSA-N 0 0 443.512 -0.575 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)CC1 ZINC000433810495 1071627750 /nfs/dbraw/zinc/62/77/50/1071627750.db2.gz KGAXMZYCIBXILS-OAHLLOKOSA-N 0 0 443.512 -0.575 20 0 IBADRN C[C@@H]1CN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CCN1c1nccn2cnnc12 ZINC000433812897 1071627785 /nfs/dbraw/zinc/62/77/85/1071627785.db2.gz OTCFNZOZTFPJKD-CYBMUJFWSA-N 0 0 449.497 -0.548 20 0 IBADRN C[C@H]1CN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CCN1c1nccn2cnnc12 ZINC000433812898 1071627805 /nfs/dbraw/zinc/62/78/05/1071627805.db2.gz OTCFNZOZTFPJKD-ZDUSSCGKSA-N 0 0 449.497 -0.548 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(Br)c(C)n(C)c2=O)CC1 ZINC000433817126 1071627809 /nfs/dbraw/zinc/62/78/09/1071627809.db2.gz CKJNEXYXPUKFFE-UHFFFAOYSA-N 0 0 429.315 -0.023 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CCN1c1nccn2cnnc12 ZINC000433821035 1071628546 /nfs/dbraw/zinc/62/85/46/1071628546.db2.gz OKJSKUCDFLDCCJ-HNNXBMFYSA-N 0 0 429.529 -0.103 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CCN1c1nccn2cnnc12 ZINC000433821036 1071628392 /nfs/dbraw/zinc/62/83/92/1071628392.db2.gz OKJSKUCDFLDCCJ-OAHLLOKOSA-N 0 0 429.529 -0.103 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433822147 1071628484 /nfs/dbraw/zinc/62/84/84/1071628484.db2.gz RDTXGPVMPROIHQ-CYBMUJFWSA-N 0 0 429.433 -0.365 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000433822148 1071628432 /nfs/dbraw/zinc/62/84/32/1071628432.db2.gz RDTXGPVMPROIHQ-ZDUSSCGKSA-N 0 0 429.433 -0.365 20 0 IBADRN COc1ccc(NC(=O)NCCN2C(=O)CNC2=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433829072 1071628356 /nfs/dbraw/zinc/62/83/56/1071628356.db2.gz PZYPTKSMQHGNPS-GFCCVEGCSA-N 0 0 441.466 -0.564 20 0 IBADRN COc1ccc(NC(=O)NCCN2C(=O)CNC2=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433829073 1071628446 /nfs/dbraw/zinc/62/84/46/1071628446.db2.gz PZYPTKSMQHGNPS-LBPRGKRZSA-N 0 0 441.466 -0.564 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)CC1 ZINC000433829370 1071628382 /nfs/dbraw/zinc/62/83/82/1071628382.db2.gz DGHJLJAUYJAZBJ-UHFFFAOYSA-N 0 0 443.526 -0.485 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)CO)CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433829904 1071628388 /nfs/dbraw/zinc/62/83/88/1071628388.db2.gz AKWIWZACMPUAHI-AWEZNQCLSA-N 0 0 442.494 -0.569 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)CO)CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433829908 1071628373 /nfs/dbraw/zinc/62/83/73/1071628373.db2.gz AKWIWZACMPUAHI-CQSZACIVSA-N 0 0 442.494 -0.569 20 0 IBADRN COc1ccc(NC(=O)NCCNS(C)(=O)=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433832892 1071629092 /nfs/dbraw/zinc/62/90/92/1071629092.db2.gz LFZOXGVVCBHECU-GFCCVEGCSA-N 0 0 436.512 -0.567 20 0 IBADRN COc1ccc(NC(=O)NCCNS(C)(=O)=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433832893 1071629157 /nfs/dbraw/zinc/62/91/57/1071629157.db2.gz LFZOXGVVCBHECU-LBPRGKRZSA-N 0 0 436.512 -0.567 20 0 IBADRN COCCNC(=O)CNC(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000433833630 1071629185 /nfs/dbraw/zinc/62/91/85/1071629185.db2.gz ZPDGHFGVDCGRTK-CYBMUJFWSA-N 0 0 430.483 -0.354 20 0 IBADRN COCCNC(=O)CNC(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000433833660 1071629216 /nfs/dbraw/zinc/62/92/16/1071629216.db2.gz ZPDGHFGVDCGRTK-ZDUSSCGKSA-N 0 0 430.483 -0.354 20 0 IBADRN COc1ccc(NC(=O)N2CCN(CCO)CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433834489 1071629125 /nfs/dbraw/zinc/62/91/25/1071629125.db2.gz CCYOPUTYHUUGMU-HNNXBMFYSA-N 0 0 428.511 -0.096 20 0 IBADRN COc1ccc(NC(=O)N2CCN(CCO)CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433834490 1071629160 /nfs/dbraw/zinc/62/91/60/1071629160.db2.gz CCYOPUTYHUUGMU-OAHLLOKOSA-N 0 0 428.511 -0.096 20 0 IBADRN COc1ccc(NC(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433835618 1071628520 /nfs/dbraw/zinc/62/85/20/1071628520.db2.gz HRUZOQLJBDNZKY-AWEZNQCLSA-N 0 0 442.494 -0.257 20 0 IBADRN COc1ccc(NC(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433835619 1071628476 /nfs/dbraw/zinc/62/84/76/1071628476.db2.gz HRUZOQLJBDNZKY-CQSZACIVSA-N 0 0 442.494 -0.257 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433841904 1071629768 /nfs/dbraw/zinc/62/97/68/1071629768.db2.gz MPBVAQVDRVMHNM-IPYPFGDCSA-N 0 0 442.494 -0.083 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433841906 1071629806 /nfs/dbraw/zinc/62/98/06/1071629806.db2.gz MPBVAQVDRVMHNM-KCQAQPDRSA-N 0 0 442.494 -0.083 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433841909 1071629868 /nfs/dbraw/zinc/62/98/68/1071629868.db2.gz MPBVAQVDRVMHNM-VNHYZAJKSA-N 0 0 442.494 -0.083 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433841910 1071629814 /nfs/dbraw/zinc/62/98/14/1071629814.db2.gz MPBVAQVDRVMHNM-YDHLFZDLSA-N 0 0 442.494 -0.083 20 0 IBADRN COc1ccc(NC(=O)N[C@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433846245 1071629073 /nfs/dbraw/zinc/62/90/73/1071629073.db2.gz JSEHXSIWTAYUHJ-NEPJUHHUSA-N 0 0 426.451 -0.311 20 0 IBADRN COc1ccc(NC(=O)N[C@@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433846246 1071629082 /nfs/dbraw/zinc/62/90/82/1071629082.db2.gz JSEHXSIWTAYUHJ-NWDGAFQWSA-N 0 0 426.451 -0.311 20 0 IBADRN COc1ccc(NC(=O)N[C@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433846247 1071629899 /nfs/dbraw/zinc/62/98/99/1071629899.db2.gz JSEHXSIWTAYUHJ-RYUDHWBXSA-N 0 0 426.451 -0.311 20 0 IBADRN COc1ccc(NC(=O)N[C@@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433846248 1071629761 /nfs/dbraw/zinc/62/97/61/1071629761.db2.gz JSEHXSIWTAYUHJ-VXGBXAGGSA-N 0 0 426.451 -0.311 20 0 IBADRN COc1ccc(NC(=O)NCCN2CCNC(=O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433846305 1071628976 /nfs/dbraw/zinc/62/89/76/1071628976.db2.gz KDDFBKBRUIHQGX-AWEZNQCLSA-N 0 0 441.510 -0.684 20 0 IBADRN COc1ccc(NC(=O)NCCN2CCNC(=O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433846306 1071629041 /nfs/dbraw/zinc/62/90/41/1071629041.db2.gz KDDFBKBRUIHQGX-CQSZACIVSA-N 0 0 441.510 -0.684 20 0 IBADRN CNS(=O)(=O)CCNC(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000433847648 1071630433 /nfs/dbraw/zinc/63/04/33/1071630433.db2.gz SGAWDVMPHAWQJP-GFCCVEGCSA-N 0 0 436.512 -0.567 20 0 IBADRN CNS(=O)(=O)CCNC(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000433847683 1071630325 /nfs/dbraw/zinc/63/03/25/1071630325.db2.gz SGAWDVMPHAWQJP-LBPRGKRZSA-N 0 0 436.512 -0.567 20 0 IBADRN COc1ccc(NC(=O)NC[C@H](C)S(N)(=O)=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433848539 1071630396 /nfs/dbraw/zinc/63/03/96/1071630396.db2.gz WTVGXIKUOUBQEB-CMPLNLGQSA-N 0 0 436.512 -0.439 20 0 IBADRN COc1ccc(NC(=O)NC[C@H](C)S(N)(=O)=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433848541 1071630368 /nfs/dbraw/zinc/63/03/68/1071630368.db2.gz WTVGXIKUOUBQEB-JQWIXIFHSA-N 0 0 436.512 -0.439 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H](C)S(N)(=O)=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000433848543 1071630345 /nfs/dbraw/zinc/63/03/45/1071630345.db2.gz WTVGXIKUOUBQEB-PWSUYJOCSA-N 0 0 436.512 -0.439 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H](C)S(N)(=O)=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000433848545 1071630428 /nfs/dbraw/zinc/63/04/28/1071630428.db2.gz WTVGXIKUOUBQEB-ZYHUDNBSSA-N 0 0 436.512 -0.439 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCCN2C(=O)CNC2=O)ccc1OC ZINC000433860688 1071630401 /nfs/dbraw/zinc/63/04/01/1071630401.db2.gz YSZVZNDZBRJWHF-UHFFFAOYSA-N 0 0 429.455 -0.707 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1C ZINC000433873807 1071632165 /nfs/dbraw/zinc/63/21/65/1071632165.db2.gz SIWOUMYQMSWNIM-HNNXBMFYSA-N 0 0 436.556 -0.081 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1C ZINC000433873811 1071632079 /nfs/dbraw/zinc/63/20/79/1071632079.db2.gz SIWOUMYQMSWNIM-OAHLLOKOSA-N 0 0 436.556 -0.081 20 0 IBADRN C[C@@H]1CN(C(=O)N2CCS(=O)(=O)CC2)[C@@H](C)CN1C(=O)N1CCS(=O)(=O)CC1 ZINC000433875478 1071631463 /nfs/dbraw/zinc/63/14/63/1071631463.db2.gz RYPBIRDLNOOYQZ-OKILXGFUSA-N 0 0 436.556 -0.918 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CCN1c1nccn2cnnc12 ZINC000433875952 1071632119 /nfs/dbraw/zinc/63/21/19/1071632119.db2.gz XDYDYZCMYKLGRG-CYBMUJFWSA-N 0 0 438.448 -0.336 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CCN1c1nccn2cnnc12 ZINC000433875953 1071632159 /nfs/dbraw/zinc/63/21/59/1071632159.db2.gz XDYDYZCMYKLGRG-ZDUSSCGKSA-N 0 0 438.448 -0.336 20 0 IBADRN CCOc1cccc(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000433876065 1071632094 /nfs/dbraw/zinc/63/20/94/1071632094.db2.gz BMYUUOITJWIGTL-UHFFFAOYSA-N 0 0 429.477 0.438 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)n(C)n1 ZINC000433877144 1071631576 /nfs/dbraw/zinc/63/15/76/1071631576.db2.gz AQGIKCHYZLMRIQ-CYBMUJFWSA-N 0 0 427.469 -0.278 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)n(C)n1 ZINC000433877145 1071631432 /nfs/dbraw/zinc/63/14/32/1071631432.db2.gz AQGIKCHYZLMRIQ-ZDUSSCGKSA-N 0 0 427.469 -0.278 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1C ZINC000433878830 1071631538 /nfs/dbraw/zinc/63/15/38/1071631538.db2.gz SHYGCPPEUIHEPP-INIZCTEOSA-N 0 0 437.522 -0.015 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1C ZINC000433878831 1071631484 /nfs/dbraw/zinc/63/14/84/1071631484.db2.gz SHYGCPPEUIHEPP-MRXNPFEDSA-N 0 0 437.522 -0.015 20 0 IBADRN Cc1ccc(S(=O)(=O)NCc2nc(N(C)C)no2)cc1S(=O)(=O)N1CCOCC1 ZINC000433879390 1071631646 /nfs/dbraw/zinc/63/16/46/1071631646.db2.gz LVGGLCQCJJSQHE-UHFFFAOYSA-N 0 0 445.523 -0.057 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CCN1c1nccn2cnnc12 ZINC000433882005 1071631658 /nfs/dbraw/zinc/63/16/58/1071631658.db2.gz IVEKZVVHGFMKKU-CYBMUJFWSA-N 0 0 440.468 -0.915 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CCN1c1nccn2cnnc12 ZINC000433882006 1071631612 /nfs/dbraw/zinc/63/16/12/1071631612.db2.gz IVEKZVVHGFMKKU-ZDUSSCGKSA-N 0 0 440.468 -0.915 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CCN1c1nccn2cnnc12 ZINC000433882991 1071632064 /nfs/dbraw/zinc/63/20/64/1071632064.db2.gz CFTWZAWENOXVSM-CYBMUJFWSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CCN1c1nccn2cnnc12 ZINC000433882992 1071632135 /nfs/dbraw/zinc/63/21/35/1071632135.db2.gz CFTWZAWENOXVSM-ZDUSSCGKSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CCN1c1nccn2cnnc12 ZINC000433883288 1071631594 /nfs/dbraw/zinc/63/15/94/1071631594.db2.gz DXJCEDLAYLDOBR-CYBMUJFWSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CCN1c1nccn2cnnc12 ZINC000433883289 1071632075 /nfs/dbraw/zinc/63/20/75/1071632075.db2.gz DXJCEDLAYLDOBR-ZDUSSCGKSA-N 0 0 433.436 -0.224 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1CCS(=O)(=O)CC1 ZINC000433884297 1071632185 /nfs/dbraw/zinc/63/21/85/1071632185.db2.gz IXJMEVOOJLHINW-UHFFFAOYSA-N 0 0 431.492 -0.729 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CCN1c1nccn2cnnc12 ZINC000433888007 1071632744 /nfs/dbraw/zinc/63/27/44/1071632744.db2.gz MFVJBKFMNMOCCP-CYBMUJFWSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CCN1c1nccn2cnnc12 ZINC000433888008 1071632563 /nfs/dbraw/zinc/63/25/63/1071632563.db2.gz MFVJBKFMNMOCCP-ZDUSSCGKSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CCN1c1nccn2cnnc12 ZINC000433889017 1071632708 /nfs/dbraw/zinc/63/27/08/1071632708.db2.gz BLDZIMUSWLGIQP-GFCCVEGCSA-N 0 0 444.477 -0.553 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CCN1c1nccn2cnnc12 ZINC000433889018 1071633147 /nfs/dbraw/zinc/63/31/47/1071633147.db2.gz BLDZIMUSWLGIQP-LBPRGKRZSA-N 0 0 444.477 -0.553 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)N4CCS(=O)(=O)CC4)CC3)C2=O)n(C)n1 ZINC000433890502 1071633175 /nfs/dbraw/zinc/63/31/75/1071633175.db2.gz LLFITEGXISTAOB-INIZCTEOSA-N 0 0 438.554 -0.308 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)N4CCS(=O)(=O)CC4)CC3)C2=O)n(C)n1 ZINC000433890503 1071633151 /nfs/dbraw/zinc/63/31/51/1071633151.db2.gz LLFITEGXISTAOB-MRXNPFEDSA-N 0 0 438.554 -0.308 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cc1C(N)=O ZINC000433890530 1071633253 /nfs/dbraw/zinc/63/32/53/1071633253.db2.gz LUMZUFYLKBRSBO-LLVKDONJSA-N 0 0 439.436 -0.697 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cc1C(N)=O ZINC000433890531 1071633167 /nfs/dbraw/zinc/63/31/67/1071633167.db2.gz LUMZUFYLKBRSBO-NSHDSACASA-N 0 0 439.436 -0.697 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)n1 ZINC000433891448 1071632115 /nfs/dbraw/zinc/63/21/15/1071632115.db2.gz DESGMQREXXZEAE-AWEZNQCLSA-N 0 0 427.469 0.033 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)n1 ZINC000433891452 1071632105 /nfs/dbraw/zinc/63/21/05/1071632105.db2.gz DESGMQREXXZEAE-CQSZACIVSA-N 0 0 427.469 0.033 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CCN1c1nccn2cnnc12 ZINC000433893319 1071632216 /nfs/dbraw/zinc/63/22/16/1071632216.db2.gz FNMMEWQCEYVNOD-CYBMUJFWSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CCN1c1nccn2cnnc12 ZINC000433893320 1071632535 /nfs/dbraw/zinc/63/25/35/1071632535.db2.gz FNMMEWQCEYVNOD-ZDUSSCGKSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CCN1c1nccn2cnnc12 ZINC000433895256 1071632618 /nfs/dbraw/zinc/63/26/18/1071632618.db2.gz WTSBKLUSTQOQRP-CYBMUJFWSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CCN1c1nccn2cnnc12 ZINC000433895257 1071632508 /nfs/dbraw/zinc/63/25/08/1071632508.db2.gz WTSBKLUSTQOQRP-ZDUSSCGKSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CCN1c1nccn2cnnc12 ZINC000433895912 1071632627 /nfs/dbraw/zinc/63/26/27/1071632627.db2.gz IIGNEPQJHOPTIJ-GFCCVEGCSA-N 0 0 444.477 -0.401 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CCN1c1nccn2cnnc12 ZINC000433895913 1071632688 /nfs/dbraw/zinc/63/26/88/1071632688.db2.gz IIGNEPQJHOPTIJ-LBPRGKRZSA-N 0 0 444.477 -0.401 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)n1 ZINC000433895958 1071632730 /nfs/dbraw/zinc/63/27/30/1071632730.db2.gz HJEWPUOQOWZTJZ-AWEZNQCLSA-N 0 0 441.444 -0.008 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)n1 ZINC000433895960 1071632486 /nfs/dbraw/zinc/63/24/86/1071632486.db2.gz HJEWPUOQOWZTJZ-CQSZACIVSA-N 0 0 441.444 -0.008 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1Cl ZINC000433896039 1071632643 /nfs/dbraw/zinc/63/26/43/1071632643.db2.gz JDBBPJXONYYFJX-UHFFFAOYSA-N 0 0 426.813 -0.112 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CCN1c1nccn2cnnc12 ZINC000433898524 1071632674 /nfs/dbraw/zinc/63/26/74/1071632674.db2.gz TYLCFAIHEVIOJF-CYBMUJFWSA-N 0 0 438.448 -0.336 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CCN1c1nccn2cnnc12 ZINC000433898526 1071632579 /nfs/dbraw/zinc/63/25/79/1071632579.db2.gz TYLCFAIHEVIOJF-ZDUSSCGKSA-N 0 0 438.448 -0.336 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CCN1c1nccn2cnnc12 ZINC000433901270 1071633826 /nfs/dbraw/zinc/63/38/26/1071633826.db2.gz OIEOAOBCGHPZBO-CYBMUJFWSA-N 0 0 449.475 -0.010 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CCN1c1nccn2cnnc12 ZINC000433901271 1071633908 /nfs/dbraw/zinc/63/39/08/1071633908.db2.gz OIEOAOBCGHPZBO-ZDUSSCGKSA-N 0 0 449.475 -0.010 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000433907422 1071633173 /nfs/dbraw/zinc/63/31/73/1071633173.db2.gz KLNTVUDJPPUWGI-HNNXBMFYSA-N 0 0 441.444 -0.008 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000433907423 1071633162 /nfs/dbraw/zinc/63/31/62/1071633162.db2.gz KLNTVUDJPPUWGI-OAHLLOKOSA-N 0 0 441.444 -0.008 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1Cl ZINC000433910295 1071633231 /nfs/dbraw/zinc/63/32/31/1071633231.db2.gz WJTAQJHXZOAKSK-LLVKDONJSA-N 0 0 433.870 -0.020 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1Cl ZINC000433910297 1071633185 /nfs/dbraw/zinc/63/31/85/1071633185.db2.gz WJTAQJHXZOAKSK-NSHDSACASA-N 0 0 433.870 -0.020 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCC3(N4CCOCC4)CC3)CC2)cn1 ZINC000433928826 1071634994 /nfs/dbraw/zinc/63/49/94/1071634994.db2.gz CNCWAMZJNLTHNX-UHFFFAOYSA-N 0 0 426.543 -0.263 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000433936662 1071636209 /nfs/dbraw/zinc/63/62/09/1071636209.db2.gz LVFMTZCVRBWHJV-CRAIPNDOSA-N 0 0 427.527 -0.628 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000433936663 1071636033 /nfs/dbraw/zinc/63/60/33/1071636033.db2.gz LVFMTZCVRBWHJV-MAUKXSAKSA-N 0 0 427.527 -0.628 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000433936664 1071636052 /nfs/dbraw/zinc/63/60/52/1071636052.db2.gz LVFMTZCVRBWHJV-QAPCUYQASA-N 0 0 427.527 -0.628 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000433936665 1071636094 /nfs/dbraw/zinc/63/60/94/1071636094.db2.gz LVFMTZCVRBWHJV-YJBOKZPZSA-N 0 0 427.527 -0.628 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000433937922 1071634917 /nfs/dbraw/zinc/63/49/17/1071634917.db2.gz AHFYEJRQRXZJQI-UHFFFAOYSA-N 0 0 431.559 -0.808 20 0 IBADRN O=C(NCCOCC(F)(F)C(F)F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000433943115 1071635490 /nfs/dbraw/zinc/63/54/90/1071635490.db2.gz XQVKQIPFDXKSCR-UHFFFAOYSA-N 0 0 436.428 -0.192 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@]2(CNC(=O)C2)C1 ZINC000433947169 1071635476 /nfs/dbraw/zinc/63/54/76/1071635476.db2.gz AOYKVTOFYSJWGB-IBGZPJMESA-N 0 0 436.538 -0.545 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000433947170 1071635438 /nfs/dbraw/zinc/63/54/38/1071635438.db2.gz AOYKVTOFYSJWGB-LJQANCHMSA-N 0 0 436.538 -0.545 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@]3(CNC(=O)C3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000433948982 1071635503 /nfs/dbraw/zinc/63/55/03/1071635503.db2.gz HZTNERQPQVZCNM-FQEVSTJZSA-N 0 0 437.518 -0.003 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000433948983 1071635352 /nfs/dbraw/zinc/63/53/52/1071635352.db2.gz HZTNERQPQVZCNM-HXUWFJFHSA-N 0 0 437.518 -0.003 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCOCC(F)(F)C(F)F)CC2)CC1 ZINC000433949032 1071635340 /nfs/dbraw/zinc/63/53/40/1071635340.db2.gz OIEJZGIXYMTZEK-UHFFFAOYSA-N 0 0 449.471 -0.277 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC3(N4CCOCC4)CC3)CC2)cn1C ZINC000433951997 1071635418 /nfs/dbraw/zinc/63/54/18/1071635418.db2.gz DXIPGRLLOIVQQR-UHFFFAOYSA-N 0 0 426.543 -0.391 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000433952816 1071636248 /nfs/dbraw/zinc/63/62/48/1071636248.db2.gz HRRCAPGAMFXAHQ-CVEARBPZSA-N 0 0 438.506 -0.511 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000433952817 1071636168 /nfs/dbraw/zinc/63/61/68/1071636168.db2.gz HRRCAPGAMFXAHQ-HOTGVXAUSA-N 0 0 438.506 -0.511 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000433952818 1071636144 /nfs/dbraw/zinc/63/61/44/1071636144.db2.gz HRRCAPGAMFXAHQ-HZPDHXFCSA-N 0 0 438.506 -0.511 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000433952819 1071636160 /nfs/dbraw/zinc/63/61/60/1071636160.db2.gz HRRCAPGAMFXAHQ-JKSUJKDBSA-N 0 0 438.506 -0.511 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c(C)o1 ZINC000433952989 1071636060 /nfs/dbraw/zinc/63/60/60/1071636060.db2.gz YVUALUOHGKSLSG-UHFFFAOYSA-N 0 0 436.494 -0.245 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3(N4CCOCC4)CC3)CC2)C[C@H](C)O1 ZINC000433956388 1071636660 /nfs/dbraw/zinc/63/66/60/1071636660.db2.gz CEJXUBHHUSEYJN-CALCHBBNSA-N 0 0 445.586 -0.468 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3(N4CCOCC4)CC3)CC2)C[C@@H](C)O1 ZINC000433956389 1071636681 /nfs/dbraw/zinc/63/66/81/1071636681.db2.gz CEJXUBHHUSEYJN-IAGOWNOFSA-N 0 0 445.586 -0.468 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3(N4CCOCC4)CC3)CC2)C[C@H](C)O1 ZINC000433956390 1071636749 /nfs/dbraw/zinc/63/67/49/1071636749.db2.gz CEJXUBHHUSEYJN-IRXDYDNUSA-N 0 0 445.586 -0.468 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc4c(c3)CN(CC(N)=O)CC4)c2c(=O)n(C)c1=O ZINC000433960542 1071636698 /nfs/dbraw/zinc/63/66/98/1071636698.db2.gz YITFOQLMQQMVQX-UHFFFAOYSA-N 0 0 439.476 -0.694 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC3(N4CCOCC4)CC3)CC2)o1 ZINC000433961515 1071636716 /nfs/dbraw/zinc/63/67/16/1071636716.db2.gz JBVQCOUGUCNQJW-UHFFFAOYSA-N 0 0 441.510 -0.741 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCC2(N3CCOCC3)CC2)c1 ZINC000433963456 1071636723 /nfs/dbraw/zinc/63/67/23/1071636723.db2.gz YNKRDFVHQKIVHO-UHFFFAOYSA-N 0 0 425.511 -0.303 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCOCC(F)(F)C(F)F)C2 ZINC000433964244 1071636731 /nfs/dbraw/zinc/63/67/31/1071636731.db2.gz HTZSKTICXUHUGH-UHFFFAOYSA-N 0 0 426.371 -0.425 20 0 IBADRN O=C(NCCOCC(F)(F)C(F)F)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000433964966 1071636638 /nfs/dbraw/zinc/63/66/38/1071636638.db2.gz NPNIBDQFKANELW-UHFFFAOYSA-N 0 0 428.383 -0.384 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NCCn3ncnn3)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000433968910 1071636238 /nfs/dbraw/zinc/63/62/38/1071636238.db2.gz WWRPMVJLMVHPLX-UHFFFAOYSA-N 0 0 436.388 -0.154 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)C(=O)NCCN1CCOCC1 ZINC000433974190 1071637894 /nfs/dbraw/zinc/63/78/94/1071637894.db2.gz LORLHSSXGKLMIU-BQFCYCMXSA-N 0 0 432.587 -0.915 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)C(=O)NCCN1CCOCC1 ZINC000433974191 1071638509 /nfs/dbraw/zinc/63/85/09/1071638509.db2.gz LORLHSSXGKLMIU-JZXOWHBKSA-N 0 0 432.587 -0.915 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)C(=O)NCCN1CCOCC1 ZINC000433974192 1071638555 /nfs/dbraw/zinc/63/85/55/1071638555.db2.gz LORLHSSXGKLMIU-LZLYRXPVSA-N 0 0 432.587 -0.915 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)C(=O)NCCN1CCOCC1 ZINC000433974193 1071638597 /nfs/dbraw/zinc/63/85/97/1071638597.db2.gz LORLHSSXGKLMIU-XYJFISCASA-N 0 0 432.587 -0.915 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CN(C)[C@H]3CCS(=O)(=O)C3)cn2)C[C@@H](C)O1 ZINC000433978913 1071637355 /nfs/dbraw/zinc/63/73/55/1071637355.db2.gz NAISBYJTSPQIIL-FMKPAKJESA-N 0 0 427.527 -0.424 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)cn2)C[C@@H](C)O1 ZINC000433978914 1071637446 /nfs/dbraw/zinc/63/74/46/1071637446.db2.gz NAISBYJTSPQIIL-IIAWOOMASA-N 0 0 427.527 -0.424 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)cn2)C[C@H](C)O1 ZINC000433978915 1071637410 /nfs/dbraw/zinc/63/74/10/1071637410.db2.gz NAISBYJTSPQIIL-IJEWVQPXSA-N 0 0 427.527 -0.424 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CN(C)[C@H]3CCS(=O)(=O)C3)cn2)C[C@H](C)O1 ZINC000433978916 1071637401 /nfs/dbraw/zinc/63/74/01/1071637401.db2.gz NAISBYJTSPQIIL-LZWOXQAQSA-N 0 0 427.527 -0.424 20 0 IBADRN CN(C)c1nc(C(=O)N2CCCN(C(=O)c3cc(=O)[nH]c(N(C)C)n3)CC2)cc(=O)[nH]1 ZINC000433979111 1071636739 /nfs/dbraw/zinc/63/67/39/1071636739.db2.gz NTJPMINHMKJBDD-UHFFFAOYSA-N 0 0 430.469 -0.202 20 0 IBADRN Cc1c(Br)cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(=O)n1C ZINC000433981544 1071637987 /nfs/dbraw/zinc/63/79/87/1071637987.db2.gz QLABMWXYLJAXDB-KBPBESRZSA-N 0 0 448.339 -0.316 20 0 IBADRN Cc1c(Br)cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(=O)n1C ZINC000433981545 1071637972 /nfs/dbraw/zinc/63/79/72/1071637972.db2.gz QLABMWXYLJAXDB-KGLIPLIRSA-N 0 0 448.339 -0.316 20 0 IBADRN Cc1c(Br)cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(=O)n1C ZINC000433981546 1071637914 /nfs/dbraw/zinc/63/79/14/1071637914.db2.gz QLABMWXYLJAXDB-UONOGXRCSA-N 0 0 448.339 -0.316 20 0 IBADRN Cc1c(Br)cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(=O)n1C ZINC000433981547 1071637933 /nfs/dbraw/zinc/63/79/33/1071637933.db2.gz QLABMWXYLJAXDB-ZIAGYGMSSA-N 0 0 448.339 -0.316 20 0 IBADRN CC(C)[C@@H](CNC(=O)c1cc(=O)[nH]c(N(C)C)n1)NC(=O)c1cc(=O)[nH]c(N(C)C)n1 ZINC000433981827 1071637438 /nfs/dbraw/zinc/63/74/38/1071637438.db2.gz TYEHIQUDGJETMT-CYBMUJFWSA-N 0 0 432.485 -0.006 20 0 IBADRN CC(C)[C@H](CNC(=O)c1cc(=O)[nH]c(N(C)C)n1)NC(=O)c1cc(=O)[nH]c(N(C)C)n1 ZINC000433981829 1071637363 /nfs/dbraw/zinc/63/73/63/1071637363.db2.gz TYEHIQUDGJETMT-ZDUSSCGKSA-N 0 0 432.485 -0.006 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)c(C)o1 ZINC000433999320 1071638456 /nfs/dbraw/zinc/63/84/56/1071638456.db2.gz MODWQDUNTPIACZ-UHFFFAOYSA-N 0 0 444.491 -0.227 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000434005997 1071639687 /nfs/dbraw/zinc/63/96/87/1071639687.db2.gz LCQGIZJEBWBARW-HNNXBMFYSA-N 0 0 430.502 -0.308 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000434005998 1071639867 /nfs/dbraw/zinc/63/98/67/1071639867.db2.gz LCQGIZJEBWBARW-OAHLLOKOSA-N 0 0 430.502 -0.308 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000434008482 1071638533 /nfs/dbraw/zinc/63/85/33/1071638533.db2.gz NQTAYZHOLLXOEC-CYBMUJFWSA-N 0 0 449.533 -0.165 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000434008483 1071639185 /nfs/dbraw/zinc/63/91/85/1071639185.db2.gz NQTAYZHOLLXOEC-ZDUSSCGKSA-N 0 0 449.533 -0.165 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c(C)o1 ZINC000434011176 1071639147 /nfs/dbraw/zinc/63/91/47/1071639147.db2.gz HXQPKIZSIXXPJF-CYBMUJFWSA-N 0 0 428.511 -0.499 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c(C)o1 ZINC000434011179 1071639103 /nfs/dbraw/zinc/63/91/03/1071639103.db2.gz HXQPKIZSIXXPJF-ZDUSSCGKSA-N 0 0 428.511 -0.499 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000434011607 1071639170 /nfs/dbraw/zinc/63/91/70/1071639170.db2.gz MJVRMFPBRYTDJX-CYBMUJFWSA-N 0 0 435.506 -0.419 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000434011608 1071639142 /nfs/dbraw/zinc/63/91/42/1071639142.db2.gz MJVRMFPBRYTDJX-ZDUSSCGKSA-N 0 0 435.506 -0.419 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)c(C)o1 ZINC000434012789 1071639207 /nfs/dbraw/zinc/63/92/07/1071639207.db2.gz RGHKGSNYUWUFKK-CYBMUJFWSA-N 0 0 430.527 -0.205 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)c(C)o1 ZINC000434012790 1071639195 /nfs/dbraw/zinc/63/91/95/1071639195.db2.gz RGHKGSNYUWUFKK-ZDUSSCGKSA-N 0 0 430.527 -0.205 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)Cn2ccc(=O)n(C)c2=O)ccc1OC ZINC000434038969 1071640268 /nfs/dbraw/zinc/64/02/68/1071640268.db2.gz WFPMRJFKMSWULZ-UHFFFAOYSA-N 0 0 426.451 -0.881 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)CN2C(=O)NC(C)(C)C2=O)ccc1OC ZINC000434050639 1071640976 /nfs/dbraw/zinc/64/09/76/1071640976.db2.gz BCVSRVPTWHORLP-UHFFFAOYSA-N 0 0 428.467 -0.111 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Nc2ccc(-c3cnn(C)c3)nn2)c1 ZINC000434050724 1071640919 /nfs/dbraw/zinc/64/09/19/1071640919.db2.gz BIDNJQWXSJCCRR-UHFFFAOYSA-N 0 0 444.477 0.545 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000434053445 1071640972 /nfs/dbraw/zinc/64/09/72/1071640972.db2.gz OTWBYMWVTJIBRI-CABCVRRESA-N 0 0 440.588 -0.413 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000434053446 1071640890 /nfs/dbraw/zinc/64/08/90/1071640890.db2.gz OTWBYMWVTJIBRI-GJZGRUSLSA-N 0 0 440.588 -0.413 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000434053448 1071640834 /nfs/dbraw/zinc/64/08/34/1071640834.db2.gz OTWBYMWVTJIBRI-HUUCEWRRSA-N 0 0 440.588 -0.413 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000434053450 1071640930 /nfs/dbraw/zinc/64/09/30/1071640930.db2.gz OTWBYMWVTJIBRI-LSDHHAIUSA-N 0 0 440.588 -0.413 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)Nc1ccc(-c2cnn(C)c2)nn1 ZINC000434070495 1073350433 /nfs/dbraw/zinc/35/04/33/1073350433.db2.gz FGLVXGFEOAUCKO-UHFFFAOYSA-N 0 0 438.495 0.448 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000434072724 1071642009 /nfs/dbraw/zinc/64/20/09/1071642009.db2.gz QXTNZTYMHIAEPJ-CYBMUJFWSA-N 0 0 433.508 -0.805 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000434072725 1071642018 /nfs/dbraw/zinc/64/20/18/1071642018.db2.gz QXTNZTYMHIAEPJ-ZDUSSCGKSA-N 0 0 433.508 -0.805 20 0 IBADRN Cc1c(Br)cc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(=O)n1C ZINC000434073274 1071642030 /nfs/dbraw/zinc/64/20/30/1071642030.db2.gz UKVNBKFNHRTVPP-JTQLQIEISA-N 0 0 434.312 -0.121 20 0 IBADRN Cc1c(Br)cc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(=O)n1C ZINC000434073275 1071642004 /nfs/dbraw/zinc/64/20/04/1071642004.db2.gz UKVNBKFNHRTVPP-SNVBAGLBSA-N 0 0 434.312 -0.121 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c(C)o1 ZINC000434073434 1071642122 /nfs/dbraw/zinc/64/21/22/1071642122.db2.gz XHENGVZOFBUWCN-UHFFFAOYSA-N 0 0 428.496 -0.256 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)CC1 ZINC000434077319 1071642510 /nfs/dbraw/zinc/64/25/10/1071642510.db2.gz QDYQXHREDHGIES-INIZCTEOSA-N 0 0 443.504 -0.143 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)CC1 ZINC000434077320 1071642537 /nfs/dbraw/zinc/64/25/37/1071642537.db2.gz QDYQXHREDHGIES-MRXNPFEDSA-N 0 0 443.504 -0.143 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Nc3ccc(-c4cnn(C)c4)nn3)CC2)cn1C ZINC000434082230 1071642519 /nfs/dbraw/zinc/64/25/19/1071642519.db2.gz IXJNXSCKGGSMCU-UHFFFAOYSA-N 0 0 445.509 0.457 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1 ZINC000434083094 1071642689 /nfs/dbraw/zinc/64/26/89/1071642689.db2.gz BCBRVSWOBPRKIB-CYBMUJFWSA-N 0 0 434.540 -0.422 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1 ZINC000434083095 1071642656 /nfs/dbraw/zinc/64/26/56/1071642656.db2.gz BCBRVSWOBPRKIB-ZDUSSCGKSA-N 0 0 434.540 -0.422 20 0 IBADRN COc1c(F)cc(CC(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000434089617 1071643208 /nfs/dbraw/zinc/64/32/08/1071643208.db2.gz PIBHPUKETLUKHT-GFCCVEGCSA-N 0 0 437.515 -0.047 20 0 IBADRN COc1c(F)cc(CC(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000434089717 1071643193 /nfs/dbraw/zinc/64/31/93/1071643193.db2.gz PIBHPUKETLUKHT-LBPRGKRZSA-N 0 0 437.515 -0.047 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000434099803 1071644338 /nfs/dbraw/zinc/64/43/38/1071644338.db2.gz LRCWBHOTKXGJOG-GFCCVEGCSA-N 0 0 446.507 -0.829 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000434099804 1071644353 /nfs/dbraw/zinc/64/43/53/1071644353.db2.gz LRCWBHOTKXGJOG-LBPRGKRZSA-N 0 0 446.507 -0.829 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCN(C)S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000434101101 1071644415 /nfs/dbraw/zinc/64/44/15/1071644415.db2.gz ZPQOFZNJQCHCQY-FPCVCCKLSA-N 0 0 442.604 -0.394 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCN(C)S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000434101102 1071644501 /nfs/dbraw/zinc/64/45/01/1071644501.db2.gz ZPQOFZNJQCHCQY-FZKCQIBNSA-N 0 0 442.604 -0.394 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCN(C)S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000434101103 1071644450 /nfs/dbraw/zinc/64/44/50/1071644450.db2.gz ZPQOFZNJQCHCQY-GEEKYZPCSA-N 0 0 442.604 -0.394 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCN(C)S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000434101104 1071644376 /nfs/dbraw/zinc/64/43/76/1071644376.db2.gz ZPQOFZNJQCHCQY-WCVJEAGWSA-N 0 0 442.604 -0.394 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)S(=O)(=O)CCNS(=O)(=O)CC ZINC000434103892 1071645155 /nfs/dbraw/zinc/64/51/55/1071645155.db2.gz KOCJFZIASWSOEU-UHFFFAOYSA-N 0 0 435.524 -0.013 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000434104048 1071644754 /nfs/dbraw/zinc/64/47/54/1071644754.db2.gz MDWDNCYGIUUTKI-UHFFFAOYSA-N 0 0 433.508 -0.558 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)ccc3c2)CC1)N1CCOCC1 ZINC000434106907 1071646873 /nfs/dbraw/zinc/64/68/73/1071646873.db2.gz QEQXKURELKCHOE-UHFFFAOYSA-N 0 0 434.474 -0.780 20 0 IBADRN CN(C)c1nc(N)nc(COc2cccc(NC(=O)CN(C)[C@H]3CCS(=O)(=O)C3)c2)n1 ZINC000434108511 1071648068 /nfs/dbraw/zinc/64/80/68/1071648068.db2.gz HWDLXUXAHBUYHJ-AWEZNQCLSA-N 0 0 449.537 0.156 20 0 IBADRN CN(C)c1nc(N)nc(COc2cccc(NC(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)c2)n1 ZINC000434108512 1071648013 /nfs/dbraw/zinc/64/80/13/1071648013.db2.gz HWDLXUXAHBUYHJ-CQSZACIVSA-N 0 0 449.537 0.156 20 0 IBADRN CN(CC(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000434110487 1071650899 /nfs/dbraw/zinc/65/08/99/1071650899.db2.gz ZBZPZXGVVWTNEE-GOSISDBHSA-N 0 0 438.550 -0.194 20 0 IBADRN CN(CC(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000434110488 1071650890 /nfs/dbraw/zinc/65/08/90/1071650890.db2.gz ZBZPZXGVVWTNEE-SFHVURJKSA-N 0 0 438.550 -0.194 20 0 IBADRN CN(C)C(=O)COC1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000434111153 1071651340 /nfs/dbraw/zinc/65/13/40/1071651340.db2.gz JPHDOBJDSCNTHC-UHFFFAOYSA-N 0 0 447.535 -0.815 20 0 IBADRN CN(C)c1nc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cc(=O)[nH]1 ZINC000434114980 1071656170 /nfs/dbraw/zinc/65/61/70/1071656170.db2.gz HZZBGFYBOSOPNZ-AWEZNQCLSA-N 0 0 427.527 -0.400 20 0 IBADRN CN(C)c1nc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cc(=O)[nH]1 ZINC000434114981 1071656063 /nfs/dbraw/zinc/65/60/63/1071656063.db2.gz HZZBGFYBOSOPNZ-CQSZACIVSA-N 0 0 427.527 -0.400 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cn1 ZINC000434115104 1071656145 /nfs/dbraw/zinc/65/61/45/1071656145.db2.gz PVCWMMWBEWZSHY-AWEZNQCLSA-N 0 0 442.484 -0.174 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cn1 ZINC000434115105 1071656130 /nfs/dbraw/zinc/65/61/30/1071656130.db2.gz PVCWMMWBEWZSHY-CQSZACIVSA-N 0 0 442.484 -0.174 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)c(N2CCN(C(C)=O)CC2)n1 ZINC000434115585 1071657298 /nfs/dbraw/zinc/65/72/98/1071657298.db2.gz KUBRRJYKPYFHCF-HNNXBMFYSA-N 0 0 439.538 -0.184 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)c(N2CCN(C(C)=O)CC2)n1 ZINC000434115586 1071657318 /nfs/dbraw/zinc/65/73/18/1071657318.db2.gz KUBRRJYKPYFHCF-OAHLLOKOSA-N 0 0 439.538 -0.184 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)CC1 ZINC000434123644 1071668751 /nfs/dbraw/zinc/66/87/51/1071668751.db2.gz DMUSSAJWRDHYPY-INIZCTEOSA-N 0 0 429.543 -0.723 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)CC1 ZINC000434123645 1071668651 /nfs/dbraw/zinc/66/86/51/1071668651.db2.gz DMUSSAJWRDHYPY-MRXNPFEDSA-N 0 0 429.543 -0.723 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000434127488 1071671181 /nfs/dbraw/zinc/67/11/81/1071671181.db2.gz CPOAQWLSRSZGQU-HNNXBMFYSA-N 0 0 428.515 -0.324 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000434127489 1071671113 /nfs/dbraw/zinc/67/11/13/1071671113.db2.gz CPOAQWLSRSZGQU-OAHLLOKOSA-N 0 0 428.515 -0.324 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000434127648 1071676110 /nfs/dbraw/zinc/67/61/10/1071676110.db2.gz FDUXNOUIFUOODF-UHFFFAOYSA-N 0 0 447.602 -0.220 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000434128086 1071673372 /nfs/dbraw/zinc/67/33/72/1071673372.db2.gz KDKXMOZSUXYJCO-INIZCTEOSA-N 0 0 433.575 -0.610 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000434128087 1071673554 /nfs/dbraw/zinc/67/35/54/1071673554.db2.gz KDKXMOZSUXYJCO-MRXNPFEDSA-N 0 0 433.575 -0.610 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000434128420 1071673953 /nfs/dbraw/zinc/67/39/53/1071673953.db2.gz NOONWIGVVSXOTG-CALCHBBNSA-N 0 0 447.602 -0.222 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)n1 ZINC000434132221 1071680037 /nfs/dbraw/zinc/68/00/37/1071680037.db2.gz MMESJIRKOPCGAL-CYBMUJFWSA-N 0 0 441.448 -0.105 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)n1 ZINC000434132224 1071679934 /nfs/dbraw/zinc/67/99/34/1071679934.db2.gz MMESJIRKOPCGAL-ZDUSSCGKSA-N 0 0 441.448 -0.105 20 0 IBADRN Cc1c(Br)cc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c(=O)n1C ZINC000434138803 1071687241 /nfs/dbraw/zinc/68/72/41/1071687241.db2.gz ZDOFPUJYKJNCQH-SECBINFHSA-N 0 0 434.312 -0.168 20 0 IBADRN Cc1c(Br)cc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c(=O)n1C ZINC000434138804 1071687141 /nfs/dbraw/zinc/68/71/41/1071687141.db2.gz ZDOFPUJYKJNCQH-VIFPVBQESA-N 0 0 434.312 -0.168 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000434143792 1071691110 /nfs/dbraw/zinc/69/11/10/1071691110.db2.gz VKBZUWMHUJDTKK-BEFAXECRSA-N 0 0 426.539 -0.038 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000434143793 1071691105 /nfs/dbraw/zinc/69/11/05/1071691105.db2.gz VKBZUWMHUJDTKK-DNVCBOLYSA-N 0 0 426.539 -0.038 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000434143794 1071691090 /nfs/dbraw/zinc/69/10/90/1071691090.db2.gz VKBZUWMHUJDTKK-HNAYVOBHSA-N 0 0 426.539 -0.038 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000434143795 1071691074 /nfs/dbraw/zinc/69/10/74/1071691074.db2.gz VKBZUWMHUJDTKK-KXBFYZLASA-N 0 0 426.539 -0.038 20 0 IBADRN Cn1cc(Br)cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1=O ZINC000434157967 1071705223 /nfs/dbraw/zinc/70/52/23/1071705223.db2.gz RGIDRDZRVJJEFE-UHFFFAOYSA-N 0 0 437.254 -0.076 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)N3C[C@@H](O)[C@H](O)C3)cc2)C1 ZINC000434162895 1071708629 /nfs/dbraw/zinc/70/86/29/1071708629.db2.gz JFLFLYXYPNMHSB-DAXOMENPSA-N 0 0 427.479 -0.170 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)N3C[C@H](O)[C@@H](O)C3)cc2)C1 ZINC000434162897 1071708769 /nfs/dbraw/zinc/70/87/69/1071708769.db2.gz JFLFLYXYPNMHSB-KCXAZCMYSA-N 0 0 427.479 -0.170 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)N3C[C@@H](O)[C@@H](O)C3)cc2)C1 ZINC000434162899 1071708720 /nfs/dbraw/zinc/70/87/20/1071708720.db2.gz JFLFLYXYPNMHSB-VBNZEHGJSA-N 0 0 427.479 -0.170 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)N3C[C@@H](O)[C@@H](O)C3)cc2)C1 ZINC000434162901 1071708790 /nfs/dbraw/zinc/70/87/90/1071708790.db2.gz JFLFLYXYPNMHSB-WQVCFCJDSA-N 0 0 427.479 -0.170 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)c2cn(CC(=O)N(C)C)nn2)c1 ZINC000434170463 1071713938 /nfs/dbraw/zinc/71/39/38/1071713938.db2.gz QVRUMZJYZSYYKX-UHFFFAOYSA-N 0 0 429.441 -0.369 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)Cn2cnc3c(cnn3C)c2=O)c1 ZINC000434177849 1071715147 /nfs/dbraw/zinc/71/51/47/1071715147.db2.gz SHUUXGXLVQCOPG-UHFFFAOYSA-N 0 0 439.436 -0.219 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000434188569 1071716140 /nfs/dbraw/zinc/71/61/40/1071716140.db2.gz XSDHFKOBMMBKMA-AWEZNQCLSA-N 0 0 440.613 -0.426 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000434188570 1071716213 /nfs/dbraw/zinc/71/62/13/1071716213.db2.gz XSDHFKOBMMBKMA-CQSZACIVSA-N 0 0 440.613 -0.426 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000434193098 1071721345 /nfs/dbraw/zinc/72/13/45/1071721345.db2.gz GJIJXIWXDPGGBR-HNNXBMFYSA-N 0 0 435.456 -0.306 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000434193099 1071721304 /nfs/dbraw/zinc/72/13/04/1071721304.db2.gz GJIJXIWXDPGGBR-OAHLLOKOSA-N 0 0 435.456 -0.306 20 0 IBADRN NC(=O)c1cccc(OCCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000434196935 1071722965 /nfs/dbraw/zinc/72/29/65/1071722965.db2.gz NYTQQSFZSJPQAV-UHFFFAOYSA-N 0 0 426.495 -0.724 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000434204141 1071729312 /nfs/dbraw/zinc/72/93/12/1071729312.db2.gz VNFDRCLDHKCVPJ-AWEZNQCLSA-N 0 0 428.515 -0.324 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000434204142 1071729181 /nfs/dbraw/zinc/72/91/81/1071729181.db2.gz VNFDRCLDHKCVPJ-CQSZACIVSA-N 0 0 428.515 -0.324 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c(C)o1 ZINC000434204573 1071728476 /nfs/dbraw/zinc/72/84/76/1071728476.db2.gz BIAYRDQTSMIFHT-UHFFFAOYSA-N 0 0 434.474 -0.002 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c(C)o1 ZINC000434208746 1071733459 /nfs/dbraw/zinc/73/34/59/1071733459.db2.gz KIBFCBSCALKJNZ-UHFFFAOYSA-N 0 0 425.467 0.189 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)n1 ZINC000434213283 1071735724 /nfs/dbraw/zinc/73/57/24/1071735724.db2.gz GBQMGYOLMHABJW-AWEZNQCLSA-N 0 0 440.460 0.500 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)n1 ZINC000434213284 1071735612 /nfs/dbraw/zinc/73/56/12/1071735612.db2.gz GBQMGYOLMHABJW-CQSZACIVSA-N 0 0 440.460 0.500 20 0 IBADRN C[C@H]1CN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CCN1c1nccn2cnnc12 ZINC000434223589 1071741414 /nfs/dbraw/zinc/74/14/14/1071741414.db2.gz VTGPUDUTXQFUTE-INIZCTEOSA-N 0 0 440.512 0.396 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CCN1c1nccn2cnnc12 ZINC000434223590 1071741382 /nfs/dbraw/zinc/74/13/82/1071741382.db2.gz VTGPUDUTXQFUTE-MRXNPFEDSA-N 0 0 440.512 0.396 20 0 IBADRN CCCC[C@H](NC(=O)NCC)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000434225787 1071773377 /nfs/dbraw/zinc/77/33/77/1071773377.db2.gz LUUNRIVLAZTOTN-INIZCTEOSA-N 0 0 432.591 -0.499 20 0 IBADRN CCCC[C@@H](NC(=O)NCC)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000434225788 1071773496 /nfs/dbraw/zinc/77/34/96/1071773496.db2.gz LUUNRIVLAZTOTN-MRXNPFEDSA-N 0 0 432.591 -0.499 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1)N1C[C@@H](O)[C@@H](O)C1 ZINC000434229759 1071773511 /nfs/dbraw/zinc/77/35/11/1071773511.db2.gz WZABQKDEUYOPTF-HDICACEKSA-N 0 0 440.522 -0.123 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1)N1C[C@@H](O)[C@H](O)C1 ZINC000434229808 1071773562 /nfs/dbraw/zinc/77/35/62/1071773562.db2.gz WZABQKDEUYOPTF-QZTJIDSGSA-N 0 0 440.522 -0.123 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1)N1C[C@H](O)[C@@H](O)C1 ZINC000434229812 1071773546 /nfs/dbraw/zinc/77/35/46/1071773546.db2.gz WZABQKDEUYOPTF-ROUUACIJSA-N 0 0 440.522 -0.123 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000434231471 1071773353 /nfs/dbraw/zinc/77/33/53/1071773353.db2.gz PALDZEFNYOVTBM-AWEZNQCLSA-N 0 0 446.551 -0.411 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000434231472 1071773459 /nfs/dbraw/zinc/77/34/59/1071773459.db2.gz PALDZEFNYOVTBM-CQSZACIVSA-N 0 0 446.551 -0.411 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CCCNS(=O)(=O)c2ccc(F)cc2)C1 ZINC000434232194 1071773483 /nfs/dbraw/zinc/77/34/83/1071773483.db2.gz ZJWCZCSGZQNRTR-CYBMUJFWSA-N 0 0 436.531 -0.084 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCCNS(=O)(=O)c2ccc(F)cc2)C1 ZINC000434232195 1071773471 /nfs/dbraw/zinc/77/34/71/1071773471.db2.gz ZJWCZCSGZQNRTR-ZDUSSCGKSA-N 0 0 436.531 -0.084 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(N4C(=O)NC(C)(C)C4=O)c3)c2c(=O)n(C)c1=O ZINC000434234033 1071773367 /nfs/dbraw/zinc/77/33/67/1071773367.db2.gz IHYAFRMGFQIQCO-UHFFFAOYSA-N 0 0 439.432 -0.093 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCOc3cccc(C(N)=O)c3)CC2)CC1 ZINC000434236858 1071773406 /nfs/dbraw/zinc/77/34/06/1071773406.db2.gz WAAVZKXIUKAKHJ-UHFFFAOYSA-N 0 0 439.538 -0.809 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(N3C(=O)NC(C)(C)C3=O)c1)c(=O)n2C ZINC000434239252 1071774810 /nfs/dbraw/zinc/77/48/10/1071774810.db2.gz IJHVBPSFOUFAGH-UHFFFAOYSA-N 0 0 439.432 -0.093 20 0 IBADRN O=C(Cc1ccc(NC(=O)N2C[C@@H](O)[C@@H](O)C2)cc1)N1CCN(c2ncccn2)CC1 ZINC000434244234 1071774159 /nfs/dbraw/zinc/77/41/59/1071774159.db2.gz QXRVBKVEPUEJTF-HDICACEKSA-N 0 0 426.477 -0.063 20 0 IBADRN O=C(Cc1ccc(NC(=O)N2C[C@@H](O)[C@H](O)C2)cc1)N1CCN(c2ncccn2)CC1 ZINC000434244235 1071773989 /nfs/dbraw/zinc/77/39/89/1071773989.db2.gz QXRVBKVEPUEJTF-QZTJIDSGSA-N 0 0 426.477 -0.063 20 0 IBADRN O=C(Cc1ccc(NC(=O)N2C[C@H](O)[C@@H](O)C2)cc1)N1CCN(c2ncccn2)CC1 ZINC000434244236 1071773963 /nfs/dbraw/zinc/77/39/63/1071773963.db2.gz QXRVBKVEPUEJTF-ROUUACIJSA-N 0 0 426.477 -0.063 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)COC[C@H]2CCOC2)CCN1C(=O)COC[C@H]1CCOC1 ZINC000434249659 1071774167 /nfs/dbraw/zinc/77/41/67/1071774167.db2.gz NKXQQKQVEXQGNW-BZSNNMDCSA-N 0 0 441.525 -0.780 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)COC[C@H]2CCOC2)CCN1C(=O)COC[C@@H]1CCOC1 ZINC000434249660 1071773974 /nfs/dbraw/zinc/77/39/74/1071773974.db2.gz NKXQQKQVEXQGNW-KSZLIROESA-N 0 0 441.525 -0.780 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)COC[C@@H]2CCOC2)CCN1C(=O)COC[C@@H]1CCOC1 ZINC000434249661 1071774014 /nfs/dbraw/zinc/77/40/14/1071774014.db2.gz NKXQQKQVEXQGNW-KURKYZTESA-N 0 0 441.525 -0.780 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)COC[C@@H]2CCOC2)CCN1C(=O)COC[C@H]1CCOC1 ZINC000434249662 1071774190 /nfs/dbraw/zinc/77/41/90/1071774190.db2.gz NKXQQKQVEXQGNW-SQNIBIBYSA-N 0 0 441.525 -0.780 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2cnn3cccnc23)CCN1C(=O)c1cnn2cccnc12 ZINC000434255028 1071774128 /nfs/dbraw/zinc/77/41/28/1071774128.db2.gz SOSYGBZADQWGTM-INIZCTEOSA-N 0 0 447.459 -0.173 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2cnn3cccnc23)CCN1C(=O)c1cnn2cccnc12 ZINC000434255029 1071774725 /nfs/dbraw/zinc/77/47/25/1071774725.db2.gz SOSYGBZADQWGTM-MRXNPFEDSA-N 0 0 447.459 -0.173 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CN2CCCCC2=O)CCN1C(=O)CN1CCCCC1=O ZINC000434255113 1071774040 /nfs/dbraw/zinc/77/40/40/1071774040.db2.gz UCHKKIRASMATJC-INIZCTEOSA-N 0 0 435.525 -0.861 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CN2CCCCC2=O)CCN1C(=O)CN1CCCCC1=O ZINC000434255114 1071774140 /nfs/dbraw/zinc/77/41/40/1071774140.db2.gz UCHKKIRASMATJC-MRXNPFEDSA-N 0 0 435.525 -0.861 20 0 IBADRN Cc1c(Br)cc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c(=O)n1C ZINC000434255697 1071773997 /nfs/dbraw/zinc/77/39/97/1071773997.db2.gz WJRIXCLYLSUIFU-UHFFFAOYSA-N 0 0 445.299 -0.279 20 0 IBADRN CCn1cccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1=O ZINC000434260611 1071774670 /nfs/dbraw/zinc/77/46/70/1071774670.db2.gz QYLNEOWOHGFXON-UHFFFAOYSA-N 0 0 437.478 -0.224 20 0 IBADRN CN(C)C(=O)CCCC(=O)N1CCN(C(=O)CCCC(=O)N(C)C)[C@H](C(=O)N(C)C)C1 ZINC000434262495 1071774784 /nfs/dbraw/zinc/77/47/84/1071774784.db2.gz WTUYYGSJNHFMJA-INIZCTEOSA-N 0 0 439.557 -0.369 20 0 IBADRN CN(C)C(=O)CCCC(=O)N1CCN(C(=O)CCCC(=O)N(C)C)[C@@H](C(=O)N(C)C)C1 ZINC000434262496 1071774827 /nfs/dbraw/zinc/77/48/27/1071774827.db2.gz WTUYYGSJNHFMJA-MRXNPFEDSA-N 0 0 439.557 -0.369 20 0 IBADRN COC[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H]1CCCO1 ZINC000434262534 1071774775 /nfs/dbraw/zinc/77/47/75/1071774775.db2.gz XGTSZCIWTIFWKW-CHWSQXEVSA-N 0 0 430.483 -0.412 20 0 IBADRN COC[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H]1CCCO1 ZINC000434262535 1071774682 /nfs/dbraw/zinc/77/46/82/1071774682.db2.gz XGTSZCIWTIFWKW-OLZOCXBDSA-N 0 0 430.483 -0.412 20 0 IBADRN COC[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H]1CCCO1 ZINC000434262536 1071774745 /nfs/dbraw/zinc/77/47/45/1071774745.db2.gz XGTSZCIWTIFWKW-QWHCGFSZSA-N 0 0 430.483 -0.412 20 0 IBADRN COC[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H]1CCCO1 ZINC000434262537 1071774603 /nfs/dbraw/zinc/77/46/03/1071774603.db2.gz XGTSZCIWTIFWKW-STQMWFEESA-N 0 0 430.483 -0.412 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000434262802 1071775225 /nfs/dbraw/zinc/77/52/25/1071775225.db2.gz YUNMRZGIKFYSRT-NWDGAFQWSA-N 0 0 428.467 -0.264 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC(O)(C2CC2)C1 ZINC000434268193 1071775275 /nfs/dbraw/zinc/77/52/75/1071775275.db2.gz BIKLJOOFVBGPFL-AWEZNQCLSA-N 0 0 439.490 -0.647 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC(O)(C2CC2)C1 ZINC000434268194 1071775368 /nfs/dbraw/zinc/77/53/68/1071775368.db2.gz BIKLJOOFVBGPFL-CQSZACIVSA-N 0 0 439.490 -0.647 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000434268687 1071775138 /nfs/dbraw/zinc/77/51/38/1071775138.db2.gz XGOZOEXMXWYEJA-KRWDZBQOSA-N 0 0 437.570 -0.192 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000434268692 1071775111 /nfs/dbraw/zinc/77/51/11/1071775111.db2.gz XGOZOEXMXWYEJA-QGZVFWFLSA-N 0 0 437.570 -0.192 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000434275395 1071775255 /nfs/dbraw/zinc/77/52/55/1071775255.db2.gz PMXNPRGWVKPKAJ-HNNXBMFYSA-N 0 0 426.543 -0.019 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000434275396 1071775346 /nfs/dbraw/zinc/77/53/46/1071775346.db2.gz PMXNPRGWVKPKAJ-OAHLLOKOSA-N 0 0 426.543 -0.019 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000434299382 1071775358 /nfs/dbraw/zinc/77/53/58/1071775358.db2.gz VZJAPWUSKTVOGS-AWEZNQCLSA-N 0 0 431.540 -0.431 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000434299386 1071776063 /nfs/dbraw/zinc/77/60/63/1071776063.db2.gz VZJAPWUSKTVOGS-CQSZACIVSA-N 0 0 431.540 -0.431 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000434311258 1071775834 /nfs/dbraw/zinc/77/58/34/1071775834.db2.gz FXDQCTLVXUMSLT-CYBMUJFWSA-N 0 0 426.426 -0.396 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000434311260 1071776074 /nfs/dbraw/zinc/77/60/74/1071776074.db2.gz FXDQCTLVXUMSLT-ZDUSSCGKSA-N 0 0 426.426 -0.396 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1ccc(F)cc1 ZINC000434314917 1071775974 /nfs/dbraw/zinc/77/59/74/1071775974.db2.gz HVBKLZBRIFXNOV-GFCCVEGCSA-N 0 0 427.410 -0.131 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1ccc(F)cc1 ZINC000434314918 1071776040 /nfs/dbraw/zinc/77/60/40/1071776040.db2.gz HVBKLZBRIFXNOV-LBPRGKRZSA-N 0 0 427.410 -0.131 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@](C)(O)CN2CCOCC2)CC1 ZINC000434317853 1071776091 /nfs/dbraw/zinc/77/60/91/1071776091.db2.gz NCXSQAPSDOPBFJ-IBGZPJMESA-N 0 0 443.570 -0.373 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@@](C)(O)CN2CCOCC2)CC1 ZINC000434317855 1071776078 /nfs/dbraw/zinc/77/60/78/1071776078.db2.gz NCXSQAPSDOPBFJ-LJQANCHMSA-N 0 0 443.570 -0.373 20 0 IBADRN C[C@@](O)(CNC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)CN1CCOCC1 ZINC000434323187 1071776097 /nfs/dbraw/zinc/77/60/97/1071776097.db2.gz HIOAVAKWKPIWKB-HRAATJIYSA-N 0 0 432.477 -0.884 20 0 IBADRN C[C@](O)(CNC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)CN1CCOCC1 ZINC000434323189 1071775895 /nfs/dbraw/zinc/77/58/95/1071775895.db2.gz HIOAVAKWKPIWKB-IERDGZPVSA-N 0 0 432.477 -0.884 20 0 IBADRN C[C@@](O)(CNC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)CN1CCOCC1 ZINC000434323192 1071776104 /nfs/dbraw/zinc/77/61/04/1071776104.db2.gz HIOAVAKWKPIWKB-IIBYNOLFSA-N 0 0 432.477 -0.884 20 0 IBADRN C[C@](O)(CNC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)CN1CCOCC1 ZINC000434323194 1071775952 /nfs/dbraw/zinc/77/59/52/1071775952.db2.gz HIOAVAKWKPIWKB-KKSFZXQISA-N 0 0 432.477 -0.884 20 0 IBADRN C[C@](O)(CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)CN1CCOCC1 ZINC000434326305 1071776594 /nfs/dbraw/zinc/77/65/94/1071776594.db2.gz SJMIHRMARAGZHL-CLWJZODNSA-N 0 0 445.582 -0.705 20 0 IBADRN C[C@](O)(CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)CN1CCOCC1 ZINC000434326306 1071776571 /nfs/dbraw/zinc/77/65/71/1071776571.db2.gz SJMIHRMARAGZHL-VIPLHTEESA-N 0 0 445.582 -0.705 20 0 IBADRN C[C@](O)(CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)CN1CCOCC1 ZINC000434326307 1071776681 /nfs/dbraw/zinc/77/66/81/1071776681.db2.gz SJMIHRMARAGZHL-WWNBULGVSA-N 0 0 445.582 -0.705 20 0 IBADRN C[C@](O)(CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)CN1CCOCC1 ZINC000434326308 1071776645 /nfs/dbraw/zinc/77/66/45/1071776645.db2.gz SJMIHRMARAGZHL-XLSPSMHOSA-N 0 0 445.582 -0.705 20 0 IBADRN C[C@](O)(CNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)CN1CCOCC1 ZINC000434328892 1071776491 /nfs/dbraw/zinc/77/64/91/1071776491.db2.gz XIJFTVGIHCJFJY-AVRDEDQJSA-N 0 0 445.520 -0.264 20 0 IBADRN C[C@](O)(CNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)CN1CCOCC1 ZINC000434328893 1071776522 /nfs/dbraw/zinc/77/65/22/1071776522.db2.gz XIJFTVGIHCJFJY-GCJKJVERSA-N 0 0 445.520 -0.264 20 0 IBADRN C[C@@](O)(CNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)CN1CCOCC1 ZINC000434328894 1071776631 /nfs/dbraw/zinc/77/66/31/1071776631.db2.gz XIJFTVGIHCJFJY-PGRDOPGGSA-N 0 0 445.520 -0.264 20 0 IBADRN C[C@@](O)(CNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)CN1CCOCC1 ZINC000434328895 1071776608 /nfs/dbraw/zinc/77/66/08/1071776608.db2.gz XIJFTVGIHCJFJY-XMSQKQJNSA-N 0 0 445.520 -0.264 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000434338122 1071777233 /nfs/dbraw/zinc/77/72/33/1071777233.db2.gz HWFVFLQTJWXUIM-AWEZNQCLSA-N 0 0 425.515 -0.494 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000434338123 1071777121 /nfs/dbraw/zinc/77/71/21/1071777121.db2.gz HWFVFLQTJWXUIM-CQSZACIVSA-N 0 0 425.515 -0.494 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000434341343 1071777138 /nfs/dbraw/zinc/77/71/38/1071777138.db2.gz LBMUZVXVKAWHPP-AWEZNQCLSA-N 0 0 439.490 -0.534 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000434341344 1071777093 /nfs/dbraw/zinc/77/70/93/1071777093.db2.gz LBMUZVXVKAWHPP-CQSZACIVSA-N 0 0 439.490 -0.534 20 0 IBADRN CCN1CCN(CC(=O)N(C)Cc2nnnn2-c2cc(OC)cc(OC)c2)C(=O)C1=O ZINC000434346068 1071776410 /nfs/dbraw/zinc/77/64/10/1071776410.db2.gz HAOHPCGEIWVQLP-UHFFFAOYSA-N 0 0 431.453 -0.671 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCOCC(F)(F)C(F)F)CC1 ZINC000434346793 1071776584 /nfs/dbraw/zinc/77/65/84/1071776584.db2.gz OCMHDSSVSRFAOW-UHFFFAOYSA-N 0 0 426.367 -0.094 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c(C)o1 ZINC000434350928 1071776665 /nfs/dbraw/zinc/77/66/65/1071776665.db2.gz YVBDDBMJHJBVCV-UHFFFAOYSA-N 0 0 445.523 -0.187 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c(C)o1 ZINC000434350958 1071777219 /nfs/dbraw/zinc/77/72/19/1071777219.db2.gz ZFEPJTZKXQYWON-UHFFFAOYSA-N 0 0 432.480 -0.228 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000434353786 1071777194 /nfs/dbraw/zinc/77/71/94/1071777194.db2.gz BBOADTSDJIQMDJ-INIZCTEOSA-N 0 0 438.550 -0.103 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000434353787 1071777213 /nfs/dbraw/zinc/77/72/13/1071777213.db2.gz BBOADTSDJIQMDJ-MRXNPFEDSA-N 0 0 438.550 -0.103 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000434358298 1071777741 /nfs/dbraw/zinc/77/77/41/1071777741.db2.gz GORULFNQEACTKD-HNNXBMFYSA-N 0 0 430.502 -0.308 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000434358300 1071777179 /nfs/dbraw/zinc/77/71/79/1071777179.db2.gz GORULFNQEACTKD-OAHLLOKOSA-N 0 0 430.502 -0.308 20 0 IBADRN O=C(CCNC(=O)c1cnn2ncccc12)N1CCN(C(=O)c2cnn3ncccc23)CC1 ZINC000434363315 1071777751 /nfs/dbraw/zinc/77/77/51/1071777751.db2.gz IROYZSWHBGMDKM-UHFFFAOYSA-N 0 0 447.459 -0.124 20 0 IBADRN CN(CC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000434364886 1071777894 /nfs/dbraw/zinc/77/78/94/1071777894.db2.gz QPPQRURIDGRNNE-CABCVRRESA-N 0 0 435.502 -0.371 20 0 IBADRN CN(CC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000434364887 1071777854 /nfs/dbraw/zinc/77/78/54/1071777854.db2.gz QPPQRURIDGRNNE-GJZGRUSLSA-N 0 0 435.502 -0.371 20 0 IBADRN CN(CC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000434364888 1071777871 /nfs/dbraw/zinc/77/78/71/1071777871.db2.gz QPPQRURIDGRNNE-HUUCEWRRSA-N 0 0 435.502 -0.371 20 0 IBADRN CN(CC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000434364889 1071777884 /nfs/dbraw/zinc/77/78/84/1071777884.db2.gz QPPQRURIDGRNNE-LSDHHAIUSA-N 0 0 435.502 -0.371 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CCN(C(=O)c2ccccn2)C1 ZINC000434367980 1071777824 /nfs/dbraw/zinc/77/78/24/1071777824.db2.gz DSWTUNHDZUSSGZ-AWEZNQCLSA-N 0 0 425.511 -0.754 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1CCN(C(=O)c2ccccn2)C1 ZINC000434367981 1071777728 /nfs/dbraw/zinc/77/77/28/1071777728.db2.gz DSWTUNHDZUSSGZ-CQSZACIVSA-N 0 0 425.511 -0.754 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCN(C(=O)c2ccccn2)C1 ZINC000434369307 1071778381 /nfs/dbraw/zinc/77/83/81/1071778381.db2.gz JWIRNQQJALPVFI-DZGCQCFKSA-N 0 0 445.501 -0.122 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCN(C(=O)c2ccccn2)C1 ZINC000434369308 1071778302 /nfs/dbraw/zinc/77/83/02/1071778302.db2.gz JWIRNQQJALPVFI-HIFRSBDPSA-N 0 0 445.501 -0.122 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCN(C(=O)c2ccccn2)C1 ZINC000434369309 1071778406 /nfs/dbraw/zinc/77/84/06/1071778406.db2.gz JWIRNQQJALPVFI-UKRRQHHQSA-N 0 0 445.501 -0.122 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCN(C(=O)c2ccccn2)C1 ZINC000434369310 1071778350 /nfs/dbraw/zinc/77/83/50/1071778350.db2.gz JWIRNQQJALPVFI-ZFWWWQNUSA-N 0 0 445.501 -0.122 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000434372212 1071778186 /nfs/dbraw/zinc/77/81/86/1071778186.db2.gz VHWADAWVVWGPKI-KRWDZBQOSA-N 0 0 435.500 -0.426 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000434372213 1071778354 /nfs/dbraw/zinc/77/83/54/1071778354.db2.gz VHWADAWVVWGPKI-QGZVFWFLSA-N 0 0 435.500 -0.426 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CCN(C(=O)c4ccccn4)C3)c2c(=O)n(C)c1=O ZINC000434380438 1071778751 /nfs/dbraw/zinc/77/87/51/1071778751.db2.gz SNHSNWZPXNMCEP-CYBMUJFWSA-N 0 0 425.449 -0.750 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CCN(C(=O)c4ccccn4)C3)c2c(=O)n(C)c1=O ZINC000434380439 1071778823 /nfs/dbraw/zinc/77/88/23/1071778823.db2.gz SNHSNWZPXNMCEP-ZDUSSCGKSA-N 0 0 425.449 -0.750 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2cccc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)n2)C1=O ZINC000434381331 1071472997 /nfs/dbraw/zinc/47/29/97/1071472997.db2.gz AGQBOUKIGWUXNO-GASCZTMLSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)c2cccc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)n2)C1=O ZINC000434381332 1071473175 /nfs/dbraw/zinc/47/31/75/1071473175.db2.gz AGQBOUKIGWUXNO-GJZGRUSLSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2cccc(C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)n2)C1=O ZINC000434381333 1071472888 /nfs/dbraw/zinc/47/28/88/1071472888.db2.gz AGQBOUKIGWUXNO-HUUCEWRRSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC2(N3CCOCC3)CC2)s1 ZINC000434382791 1071778758 /nfs/dbraw/zinc/77/87/58/1071778758.db2.gz HUVYWAODVGQIHQ-UHFFFAOYSA-N 0 0 430.552 -0.357 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)[C@H]1CC[C@@H](C(=O)NCC2(N3CCOCC3)CC2)O1 ZINC000434383270 1071778827 /nfs/dbraw/zinc/77/88/27/1071778827.db2.gz KYBAWSYKGXVWNA-HDICACEKSA-N 0 0 436.553 -0.504 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)[C@H]1CC[C@H](C(=O)NCC2(N3CCOCC3)CC2)O1 ZINC000434383271 1071778927 /nfs/dbraw/zinc/77/89/27/1071778927.db2.gz KYBAWSYKGXVWNA-QZTJIDSGSA-N 0 0 436.553 -0.504 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)[C@@H]1CC[C@@H](C(=O)NCC2(N3CCOCC3)CC2)O1 ZINC000434383272 1071778972 /nfs/dbraw/zinc/77/89/72/1071778972.db2.gz KYBAWSYKGXVWNA-ROUUACIJSA-N 0 0 436.553 -0.504 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c(I)c1 ZINC000434388254 1071778340 /nfs/dbraw/zinc/77/83/40/1071778340.db2.gz DDQHIUJKQGMVQO-UHFFFAOYSA-N 0 0 441.247 -0.096 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)c1 ZINC000434388285 1071778228 /nfs/dbraw/zinc/77/82/28/1071778228.db2.gz DJJZRVOATGNKSW-AWEZNQCLSA-N 0 0 426.495 -0.469 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)c1 ZINC000434388286 1071778361 /nfs/dbraw/zinc/77/83/61/1071778361.db2.gz DJJZRVOATGNKSW-CQSZACIVSA-N 0 0 426.495 -0.469 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC000434389773 1071778214 /nfs/dbraw/zinc/77/82/14/1071778214.db2.gz IMURJQPXEBWUEM-CYBMUJFWSA-N 0 0 425.463 -0.222 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC000434389778 1071778329 /nfs/dbraw/zinc/77/83/29/1071778329.db2.gz IMURJQPXEBWUEM-ZDUSSCGKSA-N 0 0 425.463 -0.222 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000434390375 1071778242 /nfs/dbraw/zinc/77/82/42/1071778242.db2.gz XCHHJNVYKDWDIP-WBVHZDCISA-N 0 0 427.498 -0.069 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000434392931 1071778937 /nfs/dbraw/zinc/77/89/37/1071778937.db2.gz FBJVHAYDWUKWIC-HNNXBMFYSA-N 0 0 428.559 -0.065 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000434392932 1071778888 /nfs/dbraw/zinc/77/88/88/1071778888.db2.gz FBJVHAYDWUKWIC-OAHLLOKOSA-N 0 0 428.559 -0.065 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(=O)NC(N)=O)c(I)c1 ZINC000434393576 1071779011 /nfs/dbraw/zinc/77/90/11/1071779011.db2.gz ABRCWDXZEZDXSZ-UHFFFAOYSA-N 0 0 434.190 -0.061 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)c(I)c1 ZINC000434398098 1071779539 /nfs/dbraw/zinc/77/95/39/1071779539.db2.gz ZBKWXTLPDONGDX-UHFFFAOYSA-N 0 0 427.220 -0.357 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c(I)c1 ZINC000434398633 1071779418 /nfs/dbraw/zinc/77/94/18/1071779418.db2.gz KNXARKGWDUDMSL-LLVKDONJSA-N 0 0 433.202 -0.049 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c(I)c1 ZINC000434398636 1071779493 /nfs/dbraw/zinc/77/94/93/1071779493.db2.gz KNXARKGWDUDMSL-NSHDSACASA-N 0 0 433.202 -0.049 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c(I)c1 ZINC000434406889 1071778866 /nfs/dbraw/zinc/77/88/66/1071778866.db2.gz OKRIOWLZDKKPCO-UHFFFAOYSA-N 0 0 447.229 -0.099 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c(I)c1 ZINC000434412229 1071779445 /nfs/dbraw/zinc/77/94/45/1071779445.db2.gz AZFDAMLRIWUBHI-UHFFFAOYSA-N 0 0 446.245 -0.214 20 0 IBADRN COC(=O)c1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000434415284 1071779569 /nfs/dbraw/zinc/77/95/69/1071779569.db2.gz IEFTWSSSNYSLIW-UHFFFAOYSA-N 0 0 432.437 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c(I)c1 ZINC000434415621 1071779617 /nfs/dbraw/zinc/77/96/17/1071779617.db2.gz JTOSPCAKNVPWJN-UHFFFAOYSA-N 0 0 446.201 -0.094 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c2ccccc2O1 ZINC000434427096 1071779562 /nfs/dbraw/zinc/77/95/62/1071779562.db2.gz YMPDZHVAXNIVPC-INIZCTEOSA-N 0 0 438.444 -0.504 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c2ccccc2O1 ZINC000434427097 1071779465 /nfs/dbraw/zinc/77/94/65/1071779465.db2.gz YMPDZHVAXNIVPC-MRXNPFEDSA-N 0 0 438.444 -0.504 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(OC)cc1I ZINC000434430081 1071779530 /nfs/dbraw/zinc/77/95/30/1071779530.db2.gz APKSVXPJJAZTEL-UHFFFAOYSA-N 0 0 441.247 -0.096 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000434430436 1071779602 /nfs/dbraw/zinc/77/96/02/1071779602.db2.gz AVRUHNSVVWIRHV-UHFFFAOYSA-N 0 0 446.489 -0.155 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000434434013 1071780557 /nfs/dbraw/zinc/78/05/57/1071780557.db2.gz XNYSGDSVBGLTGX-INIZCTEOSA-N 0 0 438.554 -0.166 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000434434016 1071780847 /nfs/dbraw/zinc/78/08/47/1071780847.db2.gz XNYSGDSVBGLTGX-MRXNPFEDSA-N 0 0 438.554 -0.166 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000434434906 1071780802 /nfs/dbraw/zinc/78/08/02/1071780802.db2.gz NEUCNFZCHVCONQ-GFCCVEGCSA-N 0 0 440.507 -0.360 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000434434907 1071780740 /nfs/dbraw/zinc/78/07/40/1071780740.db2.gz NEUCNFZCHVCONQ-LBPRGKRZSA-N 0 0 440.507 -0.360 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000434436947 1071780682 /nfs/dbraw/zinc/78/06/82/1071780682.db2.gz AQXPLGBUZMMNPD-DOMZBBRYSA-N 0 0 446.551 -0.619 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000434436951 1071780540 /nfs/dbraw/zinc/78/05/40/1071780540.db2.gz AQXPLGBUZMMNPD-IUODEOHRSA-N 0 0 446.551 -0.619 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000434436953 1071780627 /nfs/dbraw/zinc/78/06/27/1071780627.db2.gz AQXPLGBUZMMNPD-SWLSCSKDSA-N 0 0 446.551 -0.619 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000434436955 1071780761 /nfs/dbraw/zinc/78/07/61/1071780761.db2.gz AQXPLGBUZMMNPD-WFASDCNBSA-N 0 0 446.551 -0.619 20 0 IBADRN C[C@H]1CN(C(=O)CCNS(=O)(=O)c2cccnc2)CCN1c1nccn2cnnc12 ZINC000434440546 1071781289 /nfs/dbraw/zinc/78/12/89/1071781289.db2.gz BORLZKPIVVEWFK-AWEZNQCLSA-N 0 0 430.494 -0.075 20 0 IBADRN C[C@@H]1CN(C(=O)CCNS(=O)(=O)c2cccnc2)CCN1c1nccn2cnnc12 ZINC000434440547 1071781204 /nfs/dbraw/zinc/78/12/04/1071781204.db2.gz BORLZKPIVVEWFK-CQSZACIVSA-N 0 0 430.494 -0.075 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000434440628 1071781447 /nfs/dbraw/zinc/78/14/47/1071781447.db2.gz JTLGWFCGZPIXCP-GXTWGEPZSA-N 0 0 435.572 -0.368 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000434440629 1071781384 /nfs/dbraw/zinc/78/13/84/1071781384.db2.gz JTLGWFCGZPIXCP-JSGCOSHPSA-N 0 0 435.572 -0.368 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000434440630 1071781420 /nfs/dbraw/zinc/78/14/20/1071781420.db2.gz JTLGWFCGZPIXCP-OCCSQVGLSA-N 0 0 435.572 -0.368 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000434440631 1071781351 /nfs/dbraw/zinc/78/13/51/1071781351.db2.gz JTLGWFCGZPIXCP-TZMCWYRMSA-N 0 0 435.572 -0.368 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CCN1c1nccn2cnnc12 ZINC000434440940 1071781311 /nfs/dbraw/zinc/78/13/11/1071781311.db2.gz DXPMSNJKRGBJFG-DLBZAZTESA-N 0 0 442.524 -0.658 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CCN1c1nccn2cnnc12 ZINC000434440941 1071781227 /nfs/dbraw/zinc/78/12/27/1071781227.db2.gz DXPMSNJKRGBJFG-IAGOWNOFSA-N 0 0 442.524 -0.658 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CCN1c1nccn2cnnc12 ZINC000434440942 1071781214 /nfs/dbraw/zinc/78/12/14/1071781214.db2.gz DXPMSNJKRGBJFG-IRXDYDNUSA-N 0 0 442.524 -0.658 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CCN1c1nccn2cnnc12 ZINC000434440943 1071781432 /nfs/dbraw/zinc/78/14/32/1071781432.db2.gz DXPMSNJKRGBJFG-SJORKVTESA-N 0 0 442.524 -0.658 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000434442275 1071780145 /nfs/dbraw/zinc/78/01/45/1071780145.db2.gz MVZLFBRHOVEESG-CHWSQXEVSA-N 0 0 433.498 -0.738 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000434442276 1071780122 /nfs/dbraw/zinc/78/01/22/1071780122.db2.gz MVZLFBRHOVEESG-OLZOCXBDSA-N 0 0 433.498 -0.738 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000434442277 1071780016 /nfs/dbraw/zinc/78/00/16/1071780016.db2.gz MVZLFBRHOVEESG-QWHCGFSZSA-N 0 0 433.498 -0.738 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000434442278 1071779930 /nfs/dbraw/zinc/77/99/30/1071779930.db2.gz MVZLFBRHOVEESG-STQMWFEESA-N 0 0 433.498 -0.738 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCN1c1nccn2cnnc12 ZINC000434442428 1071779516 /nfs/dbraw/zinc/77/95/16/1071779516.db2.gz NJLHFFPTGXUMER-ILXRZTDVSA-N 0 0 447.521 -0.803 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCN1c1nccn2cnnc12 ZINC000434442429 1071779579 /nfs/dbraw/zinc/77/95/79/1071779579.db2.gz NJLHFFPTGXUMER-KFWWJZLASA-N 0 0 447.521 -0.803 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCN1c1nccn2cnnc12 ZINC000434442430 1071780026 /nfs/dbraw/zinc/78/00/26/1071780026.db2.gz NJLHFFPTGXUMER-QLFBSQMISA-N 0 0 447.521 -0.803 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCN1c1nccn2cnnc12 ZINC000434442431 1071780084 /nfs/dbraw/zinc/78/00/84/1071780084.db2.gz NJLHFFPTGXUMER-RBSFLKMASA-N 0 0 447.521 -0.803 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cn1C ZINC000434443088 1071779947 /nfs/dbraw/zinc/77/99/47/1071779947.db2.gz UFGCYOUPKXYTEU-CYBMUJFWSA-N 0 0 447.525 -0.428 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cn1C ZINC000434443089 1071780096 /nfs/dbraw/zinc/78/00/96/1071780096.db2.gz UFGCYOUPKXYTEU-ZDUSSCGKSA-N 0 0 447.525 -0.428 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cn1C ZINC000434444528 1071779940 /nfs/dbraw/zinc/77/99/40/1071779940.db2.gz KECRFAKKAVGAFZ-GFCCVEGCSA-N 0 0 433.498 -0.818 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cn1C ZINC000434444529 1071779900 /nfs/dbraw/zinc/77/99/00/1071779900.db2.gz KECRFAKKAVGAFZ-LBPRGKRZSA-N 0 0 433.498 -0.818 20 0 IBADRN CCn1cccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1=O ZINC000434447279 1071779988 /nfs/dbraw/zinc/77/99/88/1071779988.db2.gz UMMZUVSABLQSMS-UHFFFAOYSA-N 0 0 426.543 -0.812 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCC1(N2CCOCC2)CC1 ZINC000434452472 1071782507 /nfs/dbraw/zinc/78/25/07/1071782507.db2.gz FGAJZNPWKCCXAJ-UHFFFAOYSA-N 0 0 426.539 -0.037 20 0 IBADRN CCN1CCN(CC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)C(=O)C1=O ZINC000434460534 1071781399 /nfs/dbraw/zinc/78/13/99/1071781399.db2.gz SETSBWAWDAHLPX-AWEZNQCLSA-N 0 0 428.445 -0.799 20 0 IBADRN CCN1CCN(CC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)C(=O)C1=O ZINC000434460535 1071781251 /nfs/dbraw/zinc/78/12/51/1071781251.db2.gz SETSBWAWDAHLPX-CQSZACIVSA-N 0 0 428.445 -0.799 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CCN1c1nccn2cnnc12 ZINC000434462321 1071781814 /nfs/dbraw/zinc/78/18/14/1071781814.db2.gz DVBBAJFINZHWBA-JTQLQIEISA-N 0 0 449.269 -0.114 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CCN1c1nccn2cnnc12 ZINC000434462322 1071781845 /nfs/dbraw/zinc/78/18/45/1071781845.db2.gz DVBBAJFINZHWBA-SNVBAGLBSA-N 0 0 449.269 -0.114 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000434464682 1071781780 /nfs/dbraw/zinc/78/17/80/1071781780.db2.gz FUDFBAOXBFOVKF-HNNXBMFYSA-N 0 0 430.461 -0.458 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000434464683 1071781898 /nfs/dbraw/zinc/78/18/98/1071781898.db2.gz FUDFBAOXBFOVKF-OAHLLOKOSA-N 0 0 430.461 -0.458 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)CC1 ZINC000434466192 1071781980 /nfs/dbraw/zinc/78/19/80/1071781980.db2.gz VFAQPPYVIXTKQQ-AWEZNQCLSA-N 0 0 436.490 -0.575 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)CC1 ZINC000434466193 1071781945 /nfs/dbraw/zinc/78/19/45/1071781945.db2.gz VFAQPPYVIXTKQQ-CQSZACIVSA-N 0 0 436.490 -0.575 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)CCO1 ZINC000434468621 1071781964 /nfs/dbraw/zinc/78/19/64/1071781964.db2.gz WXMFPGFNQJCGMC-AEFFLSMTSA-N 0 0 436.484 0.000 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)CCO1 ZINC000434468622 1071781790 /nfs/dbraw/zinc/78/17/90/1071781790.db2.gz WXMFPGFNQJCGMC-FUHWJXTLSA-N 0 0 436.484 0.000 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)CCO1 ZINC000434468623 1071781970 /nfs/dbraw/zinc/78/19/70/1071781970.db2.gz WXMFPGFNQJCGMC-SJLPKXTDSA-N 0 0 436.484 0.000 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)CCO1 ZINC000434468624 1071782371 /nfs/dbraw/zinc/78/23/71/1071782371.db2.gz WXMFPGFNQJCGMC-WMZOPIPTSA-N 0 0 436.484 0.000 20 0 IBADRN CN(CCN(Cc1ccccc1)C(=O)Cn1cccnc1=O)C(=O)Cn1cccnc1=O ZINC000434469506 1071782575 /nfs/dbraw/zinc/78/25/75/1071782575.db2.gz JAMYCMWRHHMDAY-UHFFFAOYSA-N 0 0 436.472 -0.013 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(C[C@H]4CCS(=O)(=O)C4)CC3)cc2N1 ZINC000434469897 1071782523 /nfs/dbraw/zinc/78/25/23/1071782523.db2.gz KZYKBMAXTJQHKM-CYBMUJFWSA-N 0 0 429.520 -0.242 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(C[C@@H]4CCS(=O)(=O)C4)CC3)cc2N1 ZINC000434469899 1071782450 /nfs/dbraw/zinc/78/24/50/1071782450.db2.gz KZYKBMAXTJQHKM-ZDUSSCGKSA-N 0 0 429.520 -0.242 20 0 IBADRN O=C(NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000434472075 1071782430 /nfs/dbraw/zinc/78/24/30/1071782430.db2.gz QOHWNVNVESCWGF-DOTOQJQBSA-N 0 0 447.467 -0.211 20 0 IBADRN O=C(NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000434472079 1071782481 /nfs/dbraw/zinc/78/24/81/1071782481.db2.gz QOHWNVNVESCWGF-NVXWUHKLSA-N 0 0 447.467 -0.211 20 0 IBADRN O=C(NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000434472082 1071782530 /nfs/dbraw/zinc/78/25/30/1071782530.db2.gz QOHWNVNVESCWGF-RDJZCZTQSA-N 0 0 447.467 -0.211 20 0 IBADRN O=C(NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000434472084 1071782395 /nfs/dbraw/zinc/78/23/95/1071782395.db2.gz QOHWNVNVESCWGF-WBVHZDCISA-N 0 0 447.467 -0.211 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000434478191 1071782936 /nfs/dbraw/zinc/78/29/36/1071782936.db2.gz SSDWFJMCHCNKOE-UHFFFAOYSA-N 0 0 427.527 -0.001 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000434478639 1071783183 /nfs/dbraw/zinc/78/31/83/1071783183.db2.gz VRZFANAUNSBCJU-VSZNYVQBSA-N 0 0 445.582 -0.752 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000434478640 1071782905 /nfs/dbraw/zinc/78/29/05/1071782905.db2.gz VRZFANAUNSBCJU-WNRNVDISSA-N 0 0 445.582 -0.752 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000434478641 1071783085 /nfs/dbraw/zinc/78/30/85/1071783085.db2.gz VRZFANAUNSBCJU-XLAORIBOSA-N 0 0 445.582 -0.752 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000434478642 1071782988 /nfs/dbraw/zinc/78/29/88/1071782988.db2.gz VRZFANAUNSBCJU-XMTFNYHQSA-N 0 0 445.582 -0.752 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)C2CCN(S(N)(=O)=O)CC2)c1 ZINC000434480249 1071783129 /nfs/dbraw/zinc/78/31/29/1071783129.db2.gz MSTJFTFLSOVJSO-UHFFFAOYSA-N 0 0 439.498 -0.447 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000434482362 1071783063 /nfs/dbraw/zinc/78/30/63/1071783063.db2.gz HQUPBFUQHKDTTP-PBHICJAKSA-N 0 0 432.477 -0.932 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000434482367 1071783040 /nfs/dbraw/zinc/78/30/40/1071783040.db2.gz HQUPBFUQHKDTTP-RHSMWYFYSA-N 0 0 432.477 -0.932 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000434482370 1071783014 /nfs/dbraw/zinc/78/30/14/1071783014.db2.gz HQUPBFUQHKDTTP-WMLDXEAASA-N 0 0 432.477 -0.932 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000434482373 1071783153 /nfs/dbraw/zinc/78/31/53/1071783153.db2.gz HQUPBFUQHKDTTP-YOEHRIQHSA-N 0 0 432.477 -0.932 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(C)C[C@H](O)CN2CCOCC2)CC1 ZINC000434484500 1071783102 /nfs/dbraw/zinc/78/31/02/1071783102.db2.gz QGJQNQHGECHGLW-KRWDZBQOSA-N 0 0 443.570 -0.421 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(C)C[C@@H](O)CN2CCOCC2)CC1 ZINC000434484501 1071783138 /nfs/dbraw/zinc/78/31/38/1071783138.db2.gz QGJQNQHGECHGLW-QGZVFWFLSA-N 0 0 443.570 -0.421 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000434485221 1071783687 /nfs/dbraw/zinc/78/36/87/1071783687.db2.gz UFJFKTPBIQDQJN-HNNXBMFYSA-N 0 0 428.507 -0.227 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000434485222 1071783596 /nfs/dbraw/zinc/78/35/96/1071783596.db2.gz UFJFKTPBIQDQJN-OAHLLOKOSA-N 0 0 428.507 -0.227 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000434490173 1071783734 /nfs/dbraw/zinc/78/37/34/1071783734.db2.gz WVFBSRSADLUAEY-INIZCTEOSA-N 0 0 427.523 -0.527 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000434490175 1071783627 /nfs/dbraw/zinc/78/36/27/1071783627.db2.gz WVFBSRSADLUAEY-MRXNPFEDSA-N 0 0 427.523 -0.527 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)c1 ZINC000434499317 1071784152 /nfs/dbraw/zinc/78/41/52/1071784152.db2.gz XUVAQHLTQKXAAH-CYBMUJFWSA-N 0 0 448.509 -0.272 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)c1 ZINC000434499319 1071784226 /nfs/dbraw/zinc/78/42/26/1071784226.db2.gz XUVAQHLTQKXAAH-ZDUSSCGKSA-N 0 0 448.509 -0.272 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CCN1c1nccn2cnnc12 ZINC000434499794 1071784261 /nfs/dbraw/zinc/78/42/61/1071784261.db2.gz NPQZZIFFMQULFJ-CYBMUJFWSA-N 0 0 443.489 -0.266 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CCN1c1nccn2cnnc12 ZINC000434499795 1071784303 /nfs/dbraw/zinc/78/43/03/1071784303.db2.gz NPQZZIFFMQULFJ-ZDUSSCGKSA-N 0 0 443.489 -0.266 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)c1 ZINC000434506007 1071784177 /nfs/dbraw/zinc/78/41/77/1071784177.db2.gz SWLBESFVHDGBKR-CYBMUJFWSA-N 0 0 447.473 -0.556 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)c1 ZINC000434506010 1071784343 /nfs/dbraw/zinc/78/43/43/1071784343.db2.gz SWLBESFVHDGBKR-ZDUSSCGKSA-N 0 0 447.473 -0.556 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000434507041 1071784350 /nfs/dbraw/zinc/78/43/50/1071784350.db2.gz YBDSXFUTJTVPOD-CYBMUJFWSA-N 0 0 447.473 -0.778 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000434507042 1071784319 /nfs/dbraw/zinc/78/43/19/1071784319.db2.gz YBDSXFUTJTVPOD-ZDUSSCGKSA-N 0 0 447.473 -0.778 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000434511841 1071784332 /nfs/dbraw/zinc/78/43/32/1071784332.db2.gz DPFCZOBJRXXUMJ-UHFFFAOYSA-N 0 0 434.544 -0.180 20 0 IBADRN Cc1c(Br)cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c(=O)n1C ZINC000434517118 1071784891 /nfs/dbraw/zinc/78/48/91/1071784891.db2.gz KYLFMBFNOBWTFF-KBPBESRZSA-N 0 0 448.339 -0.628 20 0 IBADRN Cc1c(Br)cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c(=O)n1C ZINC000434517119 1071784733 /nfs/dbraw/zinc/78/47/33/1071784733.db2.gz KYLFMBFNOBWTFF-KGLIPLIRSA-N 0 0 448.339 -0.628 20 0 IBADRN Cc1c(Br)cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c(=O)n1C ZINC000434517120 1071784881 /nfs/dbraw/zinc/78/48/81/1071784881.db2.gz KYLFMBFNOBWTFF-UONOGXRCSA-N 0 0 448.339 -0.628 20 0 IBADRN Cc1c(Br)cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c(=O)n1C ZINC000434517121 1071784900 /nfs/dbraw/zinc/78/49/00/1071784900.db2.gz KYLFMBFNOBWTFF-ZIAGYGMSSA-N 0 0 448.339 -0.628 20 0 IBADRN O=C(Cn1cc(NC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)cn1)NCCO ZINC000434519014 1071784873 /nfs/dbraw/zinc/78/48/73/1071784873.db2.gz WJMRCLQKWNXVPS-UHFFFAOYSA-N 0 0 437.482 -0.185 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000434521124 1071784935 /nfs/dbraw/zinc/78/49/35/1071784935.db2.gz AVQPKCIFQVUZFP-UHFFFAOYSA-N 0 0 449.497 -0.771 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000434529414 1071784867 /nfs/dbraw/zinc/78/48/67/1071784867.db2.gz LAHGLSDMNIKVSF-HNNXBMFYSA-N 0 0 436.542 -0.966 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000434529415 1071784745 /nfs/dbraw/zinc/78/47/45/1071784745.db2.gz LAHGLSDMNIKVSF-OAHLLOKOSA-N 0 0 436.542 -0.966 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000434532683 1071784922 /nfs/dbraw/zinc/78/49/22/1071784922.db2.gz ZGBSSEBDFIEMBW-UHFFFAOYSA-N 0 0 446.555 -0.696 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000434550277 1071785427 /nfs/dbraw/zinc/78/54/27/1071785427.db2.gz UWZYIRYXZICQFB-UHFFFAOYSA-N 0 0 439.542 -0.641 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(Cc3cc(=O)n4ccsc4n3)CC2)cn1 ZINC000434550745 1071785305 /nfs/dbraw/zinc/78/53/05/1071785305.db2.gz XEBYYBDXKCMFDU-UHFFFAOYSA-N 0 0 437.551 -0.414 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000434551899 1071785286 /nfs/dbraw/zinc/78/52/86/1071785286.db2.gz LWPCHSKVHUOKOB-UHFFFAOYSA-N 0 0 426.499 -0.556 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCOCC(F)(F)C(F)F)cn1)N1CCOCC1 ZINC000434553752 1071785462 /nfs/dbraw/zinc/78/54/62/1071785462.db2.gz CFUZDUUXYLDQNO-UHFFFAOYSA-N 0 0 439.366 -0.286 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCOCC(F)(F)C(F)F)cnc2n(C)c1=O ZINC000434557498 1071785350 /nfs/dbraw/zinc/78/53/50/1071785350.db2.gz FFPKSIVCEBVTLP-UHFFFAOYSA-N 0 0 435.334 -0.396 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCOCC(F)(F)C(F)F)n1)N1CCOCC1 ZINC000434558627 1073322096 /nfs/dbraw/zinc/32/20/96/1073322096.db2.gz WJWFMLRUXMSWFF-UHFFFAOYSA-N 0 0 439.366 -0.286 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)[C@@H](O)C1 ZINC000434562529 1071787237 /nfs/dbraw/zinc/78/72/37/1071787237.db2.gz WSOPHTONHSGUBX-CALCHBBNSA-N 0 0 440.522 -0.570 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)[C@H](O)C1 ZINC000434562530 1071787352 /nfs/dbraw/zinc/78/73/52/1071787352.db2.gz WSOPHTONHSGUBX-IAGOWNOFSA-N 0 0 440.522 -0.570 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@H](O)[C@@H](O)C1 ZINC000434562531 1071787446 /nfs/dbraw/zinc/78/74/46/1071787446.db2.gz WSOPHTONHSGUBX-IRXDYDNUSA-N 0 0 440.522 -0.570 20 0 IBADRN Cc1noc(C2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N4CCN(C)CC4)c3)C2)n1 ZINC000434564955 1071787148 /nfs/dbraw/zinc/78/71/48/1071787148.db2.gz MVRMGLIEXMQIOX-UHFFFAOYSA-N 0 0 448.505 -0.121 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000434565173 1071785502 /nfs/dbraw/zinc/78/55/02/1071785502.db2.gz IROOXPIFDIDGAD-UHFFFAOYSA-N 0 0 425.467 -0.842 20 0 IBADRN Cc1noc(C2CN(C(=O)C(=O)Nc3ccc(S(=O)(=O)N4CCN(C)CC4)cc3)C2)n1 ZINC000434565461 1071785255 /nfs/dbraw/zinc/78/52/55/1071785255.db2.gz PECFTABFHGTMAJ-UHFFFAOYSA-N 0 0 448.505 -0.121 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)NC(C)C)c[nH]2)CC1 ZINC000434565931 1071787323 /nfs/dbraw/zinc/78/73/23/1071787323.db2.gz LJZANRJBEHBZAK-UHFFFAOYSA-N 0 0 436.556 -0.175 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2C[C@@H](O)[C@H](O)C2)c1 ZINC000434566938 1071785295 /nfs/dbraw/zinc/78/52/95/1071785295.db2.gz VTWHLASENNQIKK-GHMZBOCLSA-N 0 0 434.186 -0.420 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2C[C@@H](O)[C@@H](O)C2)c1 ZINC000434566939 1071785476 /nfs/dbraw/zinc/78/54/76/1071785476.db2.gz VTWHLASENNQIKK-PHIMTYICSA-N 0 0 434.186 -0.420 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2C[C@H](O)[C@@H](O)C2)c1 ZINC000434566940 1071785939 /nfs/dbraw/zinc/78/59/39/1071785939.db2.gz VTWHLASENNQIKK-QWRGUYRKSA-N 0 0 434.186 -0.420 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2sc(S(N)(=O)=O)cc2C)CC1 ZINC000434567355 1071786128 /nfs/dbraw/zinc/78/61/28/1071786128.db2.gz UNDLXJCIHMJTLD-UHFFFAOYSA-N 0 0 425.554 -0.172 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)[C@@H](O)C3)cc2)CC1 ZINC000434568180 1071786150 /nfs/dbraw/zinc/78/61/50/1071786150.db2.gz TYZKJGPKAYHJER-CALCHBBNSA-N 0 0 433.461 -0.396 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)[C@H](O)C3)cc2)CC1 ZINC000434568181 1071786082 /nfs/dbraw/zinc/78/60/82/1071786082.db2.gz TYZKJGPKAYHJER-IAGOWNOFSA-N 0 0 433.461 -0.396 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)[C@@H](O)C3)cc2)CC1 ZINC000434568182 1071785892 /nfs/dbraw/zinc/78/58/92/1071785892.db2.gz TYZKJGPKAYHJER-IRXDYDNUSA-N 0 0 433.461 -0.396 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](O)[C@@H](O)C2)cc1S(=O)(=O)N(CC)CC ZINC000434568217 1071786009 /nfs/dbraw/zinc/78/60/09/1071786009.db2.gz YNESKPLRSZECJW-KBPBESRZSA-N 0 0 429.495 -0.382 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](O)[C@@H](O)C2)cc1S(=O)(=O)N(CC)CC ZINC000434568219 1071785924 /nfs/dbraw/zinc/78/59/24/1071785924.db2.gz YNESKPLRSZECJW-OKILXGFUSA-N 0 0 429.495 -0.382 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](O)[C@H](O)C2)cc1S(=O)(=O)N(CC)CC ZINC000434568221 1071786092 /nfs/dbraw/zinc/78/60/92/1071786092.db2.gz YNESKPLRSZECJW-ZIAGYGMSSA-N 0 0 429.495 -0.382 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc(OC)c(S(N)(=O)=O)s2)CC1 ZINC000434568363 1071785930 /nfs/dbraw/zinc/78/59/30/1071785930.db2.gz ZJUKFGSHWNMDTM-UHFFFAOYSA-N 0 0 441.553 -0.472 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)[C@@H](O)C3)cc2)C1 ZINC000434568862 1071786056 /nfs/dbraw/zinc/78/60/56/1071786056.db2.gz ACYREIQCNRZULB-AZQPONJRSA-N 0 0 425.507 -0.144 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)[C@H](O)C3)cc2)C1 ZINC000434568863 1071786158 /nfs/dbraw/zinc/78/61/58/1071786158.db2.gz ACYREIQCNRZULB-DLTLXFJOSA-N 0 0 425.507 -0.144 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)[C@@H](O)C3)cc2)C1 ZINC000434568864 1071786138 /nfs/dbraw/zinc/78/61/38/1071786138.db2.gz ACYREIQCNRZULB-KFZJALRRSA-N 0 0 425.507 -0.144 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)[C@@H](O)C3)cc2)C1 ZINC000434568865 1071786071 /nfs/dbraw/zinc/78/60/71/1071786071.db2.gz ACYREIQCNRZULB-RMHZUWNSSA-N 0 0 425.507 -0.144 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)c1 ZINC000434569189 1071786019 /nfs/dbraw/zinc/78/60/19/1071786019.db2.gz DRFIAWUXDYKIHN-UHFFFAOYSA-N 0 0 428.486 -0.005 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)cc(S(C)(=O)=O)c1 ZINC000434569436 1071785994 /nfs/dbraw/zinc/78/59/94/1071785994.db2.gz HHRPVSARXIOHKO-UHFFFAOYSA-N 0 0 439.490 -0.204 20 0 IBADRN COc1cc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)ccc1NS(C)(=O)=O ZINC000434569460 1071785947 /nfs/dbraw/zinc/78/59/47/1071785947.db2.gz HQBLXDYWXRRXBG-UHFFFAOYSA-N 0 0 426.495 -0.014 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)cc2)CC1 ZINC000434569643 1071785909 /nfs/dbraw/zinc/78/59/09/1071785909.db2.gz JZEFZAORTDNPAI-UHFFFAOYSA-N 0 0 443.548 -0.077 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)cc2)CC1 ZINC000434569648 1071786105 /nfs/dbraw/zinc/78/61/05/1071786105.db2.gz JZUGNMUWAQXPBX-UHFFFAOYSA-N 0 0 429.521 -0.006 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)cn1 ZINC000434573138 1071786603 /nfs/dbraw/zinc/78/66/03/1071786603.db2.gz HRQZFPNTYIUENZ-BXUZGUMPSA-N 0 0 435.403 -0.254 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H]([C@H](O)C(F)(F)F)C2)cn1 ZINC000434573144 1071786614 /nfs/dbraw/zinc/78/66/14/1071786614.db2.gz HRQZFPNTYIUENZ-FZMZJTMJSA-N 0 0 435.403 -0.254 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H]([C@H](O)C(F)(F)F)C2)cn1 ZINC000434573146 1071786705 /nfs/dbraw/zinc/78/67/05/1071786705.db2.gz HRQZFPNTYIUENZ-RISCZKNCSA-N 0 0 435.403 -0.254 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H]([C@@H](O)C(F)(F)F)C2)cn1 ZINC000434573148 1071786797 /nfs/dbraw/zinc/78/67/97/1071786797.db2.gz HRQZFPNTYIUENZ-SMDDNHRTSA-N 0 0 435.403 -0.254 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCN3CCOC[C@@H]3C)n2)c1C ZINC000434573481 1071786542 /nfs/dbraw/zinc/78/65/42/1071786542.db2.gz IVQKSGWOMKLAMF-AWEZNQCLSA-N 0 0 431.497 0.122 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCN3CCOC[C@H]3C)n2)c1C ZINC000434573483 1071786755 /nfs/dbraw/zinc/78/67/55/1071786755.db2.gz IVQKSGWOMKLAMF-CQSZACIVSA-N 0 0 431.497 0.122 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)[C@@H](O)C1 ZINC000434574038 1071786623 /nfs/dbraw/zinc/78/66/23/1071786623.db2.gz KAWYDGDQNBJALL-GASCZTMLSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@H](O)[C@@H](O)C1 ZINC000434574044 1071786588 /nfs/dbraw/zinc/78/65/88/1071786588.db2.gz KAWYDGDQNBJALL-GJZGRUSLSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)[C@H](O)C1 ZINC000434574047 1071786735 /nfs/dbraw/zinc/78/67/35/1071786735.db2.gz KAWYDGDQNBJALL-HUUCEWRRSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)n2)c1C ZINC000434574082 1071787277 /nfs/dbraw/zinc/78/72/77/1071787277.db2.gz HOYHRTYNKPXIEU-AWEZNQCLSA-N 0 0 429.481 0.411 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)n2)c1C ZINC000434574085 1071787422 /nfs/dbraw/zinc/78/74/22/1071787422.db2.gz HOYHRTYNKPXIEU-CQSZACIVSA-N 0 0 429.481 0.411 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCC[C@H]([C@@H](O)C(F)(F)F)C1 ZINC000434575291 1073334030 /nfs/dbraw/zinc/33/40/30/1073334030.db2.gz LNOQYGFPZFLWMF-DZGCQCFKSA-N 0 0 433.431 0.316 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCC[C@@H]([C@H](O)C(F)(F)F)C1 ZINC000434575292 1071788258 /nfs/dbraw/zinc/78/82/58/1071788258.db2.gz LNOQYGFPZFLWMF-HIFRSBDPSA-N 0 0 433.431 0.316 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCC[C@@H]([C@@H](O)C(F)(F)F)C1 ZINC000434575293 1073333946 /nfs/dbraw/zinc/33/39/46/1073333946.db2.gz LNOQYGFPZFLWMF-UKRRQHHQSA-N 0 0 433.431 0.316 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCC[C@H]([C@H](O)C(F)(F)F)C1 ZINC000434575294 1073333808 /nfs/dbraw/zinc/33/38/08/1073333808.db2.gz LNOQYGFPZFLWMF-ZFWWWQNUSA-N 0 0 433.431 0.316 20 0 IBADRN O=C(Nc1ccc(OCCN2C(=O)c3ccccc3C2=O)cc1)C(=O)N1C[C@@H](O)[C@@H](O)C1 ZINC000434575646 1071788456 /nfs/dbraw/zinc/78/84/56/1071788456.db2.gz RQPDCRIUMADHOX-HDICACEKSA-N 0 0 439.424 -0.136 20 0 IBADRN O=C(Nc1ccc(OCCN2C(=O)c3ccccc3C2=O)cc1)C(=O)N1C[C@@H](O)[C@H](O)C1 ZINC000434575647 1071788231 /nfs/dbraw/zinc/78/82/31/1071788231.db2.gz RQPDCRIUMADHOX-QZTJIDSGSA-N 0 0 439.424 -0.136 20 0 IBADRN O=C(Nc1ccc(OCCN2C(=O)c3ccccc3C2=O)cc1)C(=O)N1C[C@H](O)[C@@H](O)C1 ZINC000434575648 1071788443 /nfs/dbraw/zinc/78/84/43/1071788443.db2.gz RQPDCRIUMADHOX-ROUUACIJSA-N 0 0 439.424 -0.136 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)cc1NS(C)(=O)=O ZINC000434576106 1071788366 /nfs/dbraw/zinc/78/83/66/1071788366.db2.gz TUOQUMBAVVFLPP-UHFFFAOYSA-N 0 0 426.495 -0.014 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCC3(C(N)=O)CCCC3)n2)c1C ZINC000434577586 1073339294 /nfs/dbraw/zinc/33/92/94/1073339294.db2.gz RJWQQJWDEDOTPG-UHFFFAOYSA-N 0 0 429.481 0.447 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](O)[C@@H](O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000434579606 1071788396 /nfs/dbraw/zinc/78/83/96/1071788396.db2.gz BLTGHWNQYKMNSK-GASCZTMLSA-N 0 0 441.506 -0.238 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](O)[C@@H](O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000434579607 1071788344 /nfs/dbraw/zinc/78/83/44/1071788344.db2.gz BLTGHWNQYKMNSK-GJZGRUSLSA-N 0 0 441.506 -0.238 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](O)[C@H](O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000434579608 1071788287 /nfs/dbraw/zinc/78/82/87/1071788287.db2.gz BLTGHWNQYKMNSK-HUUCEWRRSA-N 0 0 441.506 -0.238 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2N1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000434580061 1071788299 /nfs/dbraw/zinc/78/82/99/1071788299.db2.gz FTNPLBXDVKBTDI-FHWLQOOXSA-N 0 0 436.553 -0.205 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2CCCC[C@H]2N1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000434580062 1071788942 /nfs/dbraw/zinc/78/89/42/1071788942.db2.gz FTNPLBXDVKBTDI-OTWHNJEPSA-N 0 0 436.553 -0.205 20 0 IBADRN COC(=O)[C@@H]1C[C@H]2CCCC[C@H]2N1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000434580063 1071789067 /nfs/dbraw/zinc/78/90/67/1071789067.db2.gz FTNPLBXDVKBTDI-QRVBRYPASA-N 0 0 436.553 -0.205 20 0 IBADRN COC(=O)[C@@H]1C[C@H]2CCCC[C@@H]2N1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000434580064 1071789010 /nfs/dbraw/zinc/78/90/10/1071789010.db2.gz FTNPLBXDVKBTDI-QYZOEREBSA-N 0 0 436.553 -0.205 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](O)[C@@H](O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000434580424 1071789156 /nfs/dbraw/zinc/78/91/56/1071789156.db2.gz IKPJXXYRDKWMHX-KBPBESRZSA-N 0 0 427.479 -0.628 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](O)[C@@H](O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000434580425 1071789103 /nfs/dbraw/zinc/78/91/03/1071789103.db2.gz IKPJXXYRDKWMHX-OKILXGFUSA-N 0 0 427.479 -0.628 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](O)[C@H](O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000434580426 1071788913 /nfs/dbraw/zinc/78/89/13/1071788913.db2.gz IKPJXXYRDKWMHX-ZIAGYGMSSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)n2)c1C ZINC000434580461 1073339483 /nfs/dbraw/zinc/33/94/83/1073339483.db2.gz IQFKMZUWHAHAFX-HNNXBMFYSA-N 0 0 445.480 -0.351 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)n2)c1C ZINC000434580462 1071788986 /nfs/dbraw/zinc/78/89/86/1071788986.db2.gz IQFKMZUWHAHAFX-OAHLLOKOSA-N 0 0 445.480 -0.351 20 0 IBADRN CCC[C@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434581499 1071788926 /nfs/dbraw/zinc/78/89/26/1071788926.db2.gz RRILRXIBLMHDKI-KBPBESRZSA-N 0 0 429.495 -0.022 20 0 IBADRN CCC[C@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434581500 1071788903 /nfs/dbraw/zinc/78/89/03/1071788903.db2.gz RRILRXIBLMHDKI-KGLIPLIRSA-N 0 0 429.495 -0.022 20 0 IBADRN CCC[C@@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434581501 1071788893 /nfs/dbraw/zinc/78/88/93/1071788893.db2.gz RRILRXIBLMHDKI-UONOGXRCSA-N 0 0 429.495 -0.022 20 0 IBADRN CCC[C@@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434581502 1071789107 /nfs/dbraw/zinc/78/91/07/1071789107.db2.gz RRILRXIBLMHDKI-ZIAGYGMSSA-N 0 0 429.495 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)[C@@H](O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000434581995 1071789146 /nfs/dbraw/zinc/78/91/46/1071789146.db2.gz BJJQCJSVADNNIN-KBPBESRZSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)[C@@H](O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000434581996 1071789122 /nfs/dbraw/zinc/78/91/22/1071789122.db2.gz BJJQCJSVADNNIN-OKILXGFUSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)[C@H](O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000434581998 1071788976 /nfs/dbraw/zinc/78/89/76/1071788976.db2.gz BJJQCJSVADNNIN-ZIAGYGMSSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@H](OCCO)C3)n2)c1C ZINC000434583527 1071789559 /nfs/dbraw/zinc/78/95/59/1071789559.db2.gz GUSCEMRENBHDDL-HNNXBMFYSA-N 0 0 432.481 0.285 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@@H](OCCO)C3)n2)c1C ZINC000434583528 1071789458 /nfs/dbraw/zinc/78/94/58/1071789458.db2.gz GUSCEMRENBHDDL-OAHLLOKOSA-N 0 0 432.481 0.285 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000434583564 1071789544 /nfs/dbraw/zinc/78/95/44/1071789544.db2.gz ZYOATMZSJJRAQP-UHFFFAOYSA-N 0 0 437.516 -0.055 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@H](O)[C@@H](O)C1 ZINC000434583579 1071789161 /nfs/dbraw/zinc/78/91/61/1071789161.db2.gz DXSQHJCTNIQXQC-HOTGVXAUSA-N 0 0 441.506 -0.238 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)[C@H](O)C1 ZINC000434583581 1071789481 /nfs/dbraw/zinc/78/94/81/1071789481.db2.gz DXSQHJCTNIQXQC-HZPDHXFCSA-N 0 0 441.506 -0.238 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)[C@@H](O)C1 ZINC000434583583 1071789640 /nfs/dbraw/zinc/78/96/40/1071789640.db2.gz DXSQHJCTNIQXQC-IYBDPMFKSA-N 0 0 441.506 -0.238 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434584526 1071789614 /nfs/dbraw/zinc/78/96/14/1071789614.db2.gz IFWJEXJLWXHCTJ-CYBMUJFWSA-N 0 0 443.478 -0.230 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434584527 1071789532 /nfs/dbraw/zinc/78/95/32/1071789532.db2.gz IFWJEXJLWXHCTJ-ZDUSSCGKSA-N 0 0 443.478 -0.230 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H]([C@@H](O)C(F)(F)F)C3)cnc2n(C)c1=O ZINC000434584765 1071789623 /nfs/dbraw/zinc/78/96/23/1071789623.db2.gz KKSNYDGQNPYDCZ-BXKDBHETSA-N 0 0 443.382 -0.268 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H]([C@H](O)C(F)(F)F)C3)cnc2n(C)c1=O ZINC000434584768 1071789647 /nfs/dbraw/zinc/78/96/47/1071789647.db2.gz KKSNYDGQNPYDCZ-CABZTGNLSA-N 0 0 443.382 -0.268 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H]([C@@H](O)C(F)(F)F)C3)cnc2n(C)c1=O ZINC000434584770 1071789588 /nfs/dbraw/zinc/78/95/88/1071789588.db2.gz KKSNYDGQNPYDCZ-JOYOIKCWSA-N 0 0 443.382 -0.268 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H]([C@H](O)C(F)(F)F)C3)cnc2n(C)c1=O ZINC000434584772 1071789670 /nfs/dbraw/zinc/78/96/70/1071789670.db2.gz KKSNYDGQNPYDCZ-SKDRFNHKSA-N 0 0 443.382 -0.268 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1 ZINC000434584824 1071789521 /nfs/dbraw/zinc/78/95/21/1071789521.db2.gz JFCNBUSPNZSGIZ-CYBMUJFWSA-N 0 0 442.494 -0.705 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1 ZINC000434584825 1071789664 /nfs/dbraw/zinc/78/96/64/1071789664.db2.gz JFCNBUSPNZSGIZ-ZDUSSCGKSA-N 0 0 442.494 -0.705 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCCC2)cc1NC(=O)C(=O)N1C[C@H](O)[C@@H](O)C1 ZINC000434585164 1071789489 /nfs/dbraw/zinc/78/94/89/1071789489.db2.gz FQFPQUQDVRRCRC-HOTGVXAUSA-N 0 0 441.506 -0.238 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)[C@H](O)C1 ZINC000434585165 1071789501 /nfs/dbraw/zinc/78/95/01/1071789501.db2.gz FQFPQUQDVRRCRC-HZPDHXFCSA-N 0 0 441.506 -0.238 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)[C@@H](O)C1 ZINC000434585166 1071789575 /nfs/dbraw/zinc/78/95/75/1071789575.db2.gz FQFPQUQDVRRCRC-IYBDPMFKSA-N 0 0 441.506 -0.238 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(F)cc1 ZINC000434587240 1071787414 /nfs/dbraw/zinc/78/74/14/1071787414.db2.gz QZIDUCBURHXNHY-AWEZNQCLSA-N 0 0 433.484 -0.458 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(F)cc1 ZINC000434587241 1071787252 /nfs/dbraw/zinc/78/72/52/1071787252.db2.gz QZIDUCBURHXNHY-CQSZACIVSA-N 0 0 433.484 -0.458 20 0 IBADRN CN1C(=O)Cc2cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)ccc21 ZINC000434587384 1071787391 /nfs/dbraw/zinc/78/73/91/1071787391.db2.gz QRCRKZRCQYJWTL-HNNXBMFYSA-N 0 0 438.506 -0.251 20 0 IBADRN CN1C(=O)Cc2cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)ccc21 ZINC000434587386 1071787118 /nfs/dbraw/zinc/78/71/18/1071787118.db2.gz QRCRKZRCQYJWTL-OAHLLOKOSA-N 0 0 438.506 -0.251 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434589517 1071787991 /nfs/dbraw/zinc/78/79/91/1071787991.db2.gz YHBCVBWQOCSKNX-CYBMUJFWSA-N 0 0 443.478 -0.230 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434589519 1071787722 /nfs/dbraw/zinc/78/77/22/1071787722.db2.gz YHBCVBWQOCSKNX-ZDUSSCGKSA-N 0 0 443.478 -0.230 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1)c1ccc(F)cc1 ZINC000434590040 1071787338 /nfs/dbraw/zinc/78/73/38/1071787338.db2.gz WHCKTNQDZPQYSY-INIZCTEOSA-N 0 0 447.511 -0.114 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1)c1ccc(F)cc1 ZINC000434590041 1071788017 /nfs/dbraw/zinc/78/80/17/1071788017.db2.gz WHCKTNQDZPQYSY-MRXNPFEDSA-N 0 0 447.511 -0.114 20 0 IBADRN Cc1noc(C2CN(C(=O)C(=O)N3C[C@@H](C(=O)N4CCOCC4)Oc4ccccc43)C2)n1 ZINC000434590497 1071787956 /nfs/dbraw/zinc/78/79/56/1071787956.db2.gz ZSBFFDCHDRYWMU-KRWDZBQOSA-N 0 0 441.444 -0.043 20 0 IBADRN Cc1noc(C2CN(C(=O)C(=O)N3C[C@H](C(=O)N4CCOCC4)Oc4ccccc43)C2)n1 ZINC000434590498 1071787964 /nfs/dbraw/zinc/78/79/64/1071787964.db2.gz ZSBFFDCHDRYWMU-QGZVFWFLSA-N 0 0 441.444 -0.043 20 0 IBADRN Cn1nc([C@H]2CCCO2)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000434590581 1071787856 /nfs/dbraw/zinc/78/78/56/1071787856.db2.gz AOOUPSHAPVSWBF-CYBMUJFWSA-N 0 0 431.540 -0.295 20 0 IBADRN Cn1nc([C@@H]2CCCO2)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000434590582 1071788020 /nfs/dbraw/zinc/78/80/20/1071788020.db2.gz AOOUPSHAPVSWBF-ZDUSSCGKSA-N 0 0 431.540 -0.295 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)C(=O)NCC2(N3CCOCC3)CC2)C1 ZINC000434590638 1071787845 /nfs/dbraw/zinc/78/78/45/1071787845.db2.gz BDDSLAHJYRIDIP-UHFFFAOYSA-N 0 0 436.534 -0.076 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000434590787 1071788002 /nfs/dbraw/zinc/78/80/02/1071788002.db2.gz CPLRUFZGADBJAW-UHFFFAOYSA-N 0 0 432.477 -0.101 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000434590818 1071787996 /nfs/dbraw/zinc/78/79/96/1071787996.db2.gz CZBVAPKEFIEOHF-UHFFFAOYSA-N 0 0 436.534 -0.159 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000434590927 1071787834 /nfs/dbraw/zinc/78/78/34/1071787834.db2.gz DYZYVGYCPDQSOA-UHFFFAOYSA-N 0 0 440.522 -0.469 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NCC1(N2CCOCC2)CC1 ZINC000434590949 1071473999 /nfs/dbraw/zinc/47/39/99/1071473999.db2.gz FHUYLYOAWYXWNR-UHFFFAOYSA-N 0 0 426.495 -0.014 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(N3CCOCC3)CC1)CC2 ZINC000434591402 1071788408 /nfs/dbraw/zinc/78/84/08/1071788408.db2.gz JVZJSXATNRZBQN-UHFFFAOYSA-N 0 0 436.534 -0.145 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCCOC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434591750 1071787933 /nfs/dbraw/zinc/78/79/33/1071787933.db2.gz NSUFUQIJDLAMBQ-KBPBESRZSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCCOC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434591751 1071787756 /nfs/dbraw/zinc/78/77/56/1071787756.db2.gz NSUFUQIJDLAMBQ-KGLIPLIRSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCCOC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434591752 1071787926 /nfs/dbraw/zinc/78/79/26/1071787926.db2.gz NSUFUQIJDLAMBQ-UONOGXRCSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCCOC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434591753 1071788354 /nfs/dbraw/zinc/78/83/54/1071788354.db2.gz NSUFUQIJDLAMBQ-ZIAGYGMSSA-N 0 0 427.479 -0.004 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCC2(O)CCCC2)ccc1OC ZINC000434592209 1071790277 /nfs/dbraw/zinc/79/02/77/1071790277.db2.gz TYWMONVPANRXLU-UHFFFAOYSA-N 0 0 429.495 -0.020 20 0 IBADRN Cn1cc(Br)cc(NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1=O ZINC000434593124 1071790871 /nfs/dbraw/zinc/79/08/71/1071790871.db2.gz BYJFJQNRFAJWRT-UHFFFAOYSA-N 0 0 433.240 -0.243 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)N1C[C@@H](O)[C@@H](O)C1 ZINC000434593182 1071790840 /nfs/dbraw/zinc/79/08/40/1071790840.db2.gz CGBXVXIDXNMECM-GASCZTMLSA-N 0 0 429.495 -0.382 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)N1C[C@H](O)[C@@H](O)C1 ZINC000434593184 1071790786 /nfs/dbraw/zinc/79/07/86/1071790786.db2.gz CGBXVXIDXNMECM-GJZGRUSLSA-N 0 0 429.495 -0.382 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)N1C[C@@H](O)[C@H](O)C1 ZINC000434593185 1071790897 /nfs/dbraw/zinc/79/08/97/1071790897.db2.gz CGBXVXIDXNMECM-HUUCEWRRSA-N 0 0 429.495 -0.382 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCC(=O)Nc3cccnc3)n2)c1C ZINC000434593199 1071790909 /nfs/dbraw/zinc/79/09/09/1071790909.db2.gz AGHOQEUEULELDU-UHFFFAOYSA-N 0 0 438.448 0.435 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000434593577 1071790856 /nfs/dbraw/zinc/79/08/56/1071790856.db2.gz BHCVUKQDJBNUQA-UHFFFAOYSA-N 0 0 445.520 -0.123 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)cn2)C[C@H](C)O1 ZINC000434594289 1071790926 /nfs/dbraw/zinc/79/09/26/1071790926.db2.gz GYBFHXODSYUZSI-HOTGVXAUSA-N 0 0 448.524 -0.562 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)cn2)C[C@@H](C)O1 ZINC000434594290 1071790771 /nfs/dbraw/zinc/79/07/71/1071790771.db2.gz GYBFHXODSYUZSI-HZPDHXFCSA-N 0 0 448.524 -0.562 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)cn2)C[C@H](C)O1 ZINC000434594291 1071790743 /nfs/dbraw/zinc/79/07/43/1071790743.db2.gz GYBFHXODSYUZSI-IYBDPMFKSA-N 0 0 448.524 -0.562 20 0 IBADRN CN1C(=O)Cc2cc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)ccc21 ZINC000434594887 1071790639 /nfs/dbraw/zinc/79/06/39/1071790639.db2.gz ISLWDJGXIIIMBO-UHFFFAOYSA-N 0 0 426.520 -0.361 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCOC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434594919 1071790829 /nfs/dbraw/zinc/79/08/29/1071790829.db2.gz IZPBUSPFOZXLEX-KBPBESRZSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCOC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434594920 1071790619 /nfs/dbraw/zinc/79/06/19/1071790619.db2.gz IZPBUSPFOZXLEX-KGLIPLIRSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCOC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434594921 1071790676 /nfs/dbraw/zinc/79/06/76/1071790676.db2.gz IZPBUSPFOZXLEX-UONOGXRCSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCOC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434594922 1071790815 /nfs/dbraw/zinc/79/08/15/1071790815.db2.gz IZPBUSPFOZXLEX-ZIAGYGMSSA-N 0 0 427.479 -0.052 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCC1(N2CCOCC2)CC1)N1CCOCC1 ZINC000434595014 1071790755 /nfs/dbraw/zinc/79/07/55/1071790755.db2.gz GRCLRKILCKMIFK-UHFFFAOYSA-N 0 0 446.504 -0.156 20 0 IBADRN COc1cc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)ccc1S(=O)(=O)N(C)C ZINC000434595255 1071790655 /nfs/dbraw/zinc/79/06/55/1071790655.db2.gz HHJHBEULDXFCSP-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(N)=O)c(OC(C)C)c1 ZINC000434595315 1071790848 /nfs/dbraw/zinc/79/08/48/1071790848.db2.gz HSKPCEBWTBEWJB-AWEZNQCLSA-N 0 0 433.465 -0.636 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(N)=O)c(OC(C)C)c1 ZINC000434595316 1071790803 /nfs/dbraw/zinc/79/08/03/1071790803.db2.gz HSKPCEBWTBEWJB-CQSZACIVSA-N 0 0 433.465 -0.636 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000434596033 1071790728 /nfs/dbraw/zinc/79/07/28/1071790728.db2.gz MBSSGGCMPRYCKD-UHFFFAOYSA-N 0 0 432.477 -0.562 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2C[C@H](O)[C@@H](O)C2)c1 ZINC000434596281 1071791426 /nfs/dbraw/zinc/79/14/26/1071791426.db2.gz MQRFKJSDJQLXFI-HOTGVXAUSA-N 0 0 428.511 -0.714 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2C[C@@H](O)[C@H](O)C2)c1 ZINC000434596285 1071791462 /nfs/dbraw/zinc/79/14/62/1071791462.db2.gz MQRFKJSDJQLXFI-HZPDHXFCSA-N 0 0 428.511 -0.714 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2C[C@@H](O)[C@@H](O)C2)c1 ZINC000434596288 1071791482 /nfs/dbraw/zinc/79/14/82/1071791482.db2.gz MQRFKJSDJQLXFI-IYBDPMFKSA-N 0 0 428.511 -0.714 20 0 IBADRN Cn1cc(Br)cc(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c1=O ZINC000434596797 1071791438 /nfs/dbraw/zinc/79/14/38/1071791438.db2.gz ONMUQSRPVGGQIR-UHFFFAOYSA-N 0 0 432.256 -0.508 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1C ZINC000434596974 1071791218 /nfs/dbraw/zinc/79/12/18/1071791218.db2.gz LVZJXHVAKVWKID-HNNXBMFYSA-N 0 0 432.481 -0.072 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1C ZINC000434596976 1071791273 /nfs/dbraw/zinc/79/12/73/1071791273.db2.gz LVZJXHVAKVWKID-OAHLLOKOSA-N 0 0 432.481 -0.072 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1(N2CCOCC2)CC1 ZINC000434597849 1071791378 /nfs/dbraw/zinc/79/13/78/1071791378.db2.gz SLMHGTZHOYZJDU-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cc(Br)cn(C)c1=O ZINC000434598516 1071791397 /nfs/dbraw/zinc/79/13/97/1071791397.db2.gz VCWGWZLNGIOTFT-UHFFFAOYSA-N 0 0 437.316 -0.080 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(C(N)=O)c(OC(C)C)c2)CC1 ZINC000434599732 1071791366 /nfs/dbraw/zinc/79/13/66/1071791366.db2.gz YDMGCVFOUWMVKI-UHFFFAOYSA-N 0 0 447.492 -0.292 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434601356 1071791806 /nfs/dbraw/zinc/79/18/06/1071791806.db2.gz GJXYLMCEIYFZQS-GFCCVEGCSA-N 0 0 429.451 -0.620 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434601357 1071791913 /nfs/dbraw/zinc/79/19/13/1071791913.db2.gz GJXYLMCEIYFZQS-LBPRGKRZSA-N 0 0 429.451 -0.620 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(O)CCC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434601606 1071790064 /nfs/dbraw/zinc/79/00/64/1071790064.db2.gz IMVGAYYCASVSOL-CYBMUJFWSA-N 0 0 427.479 -0.268 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(O)CCC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434601607 1071790006 /nfs/dbraw/zinc/79/00/06/1071790006.db2.gz IMVGAYYCASVSOL-ZDUSSCGKSA-N 0 0 427.479 -0.268 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434602029 1071791865 /nfs/dbraw/zinc/79/18/65/1071791865.db2.gz MKFMWWVFHKTAKO-AAEUAGOBSA-N 0 0 443.478 -0.374 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434602030 1071791921 /nfs/dbraw/zinc/79/19/21/1071791921.db2.gz MKFMWWVFHKTAKO-DGCLKSJQSA-N 0 0 443.478 -0.374 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434602031 1071791906 /nfs/dbraw/zinc/79/19/06/1071791906.db2.gz MKFMWWVFHKTAKO-WCQYABFASA-N 0 0 443.478 -0.374 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434602032 1071791829 /nfs/dbraw/zinc/79/18/29/1071791829.db2.gz MKFMWWVFHKTAKO-YPMHNXCESA-N 0 0 443.478 -0.374 20 0 IBADRN CC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434602285 1071790267 /nfs/dbraw/zinc/79/02/67/1071790267.db2.gz OYCVJYRNRNGKDS-ACJLOTCBSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434602286 1071790195 /nfs/dbraw/zinc/79/01/95/1071790195.db2.gz OYCVJYRNRNGKDS-FZKQIMNGSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434602287 1071789934 /nfs/dbraw/zinc/78/99/34/1071789934.db2.gz OYCVJYRNRNGKDS-SCLBCKFNSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434602288 1071790226 /nfs/dbraw/zinc/79/02/26/1071790226.db2.gz OYCVJYRNRNGKDS-UGSOOPFHSA-N 0 0 429.495 -0.022 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H](C)[C@H](C)N3CCOCC3)n2)c1C ZINC000434603109 1071789949 /nfs/dbraw/zinc/78/99/49/1071789949.db2.gz XAODNFFCRZHOAQ-CVEARBPZSA-N 0 0 445.524 0.511 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H](C)[C@H](C)N3CCOCC3)n2)c1C ZINC000434603110 1071790039 /nfs/dbraw/zinc/79/00/39/1071790039.db2.gz XAODNFFCRZHOAQ-HOTGVXAUSA-N 0 0 445.524 0.511 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H](C)[C@@H](C)N3CCOCC3)n2)c1C ZINC000434603111 1071790303 /nfs/dbraw/zinc/79/03/03/1071790303.db2.gz XAODNFFCRZHOAQ-HZPDHXFCSA-N 0 0 445.524 0.511 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H](C)[C@@H](C)N3CCOCC3)n2)c1C ZINC000434603112 1071790206 /nfs/dbraw/zinc/79/02/06/1071790206.db2.gz XAODNFFCRZHOAQ-JKSUJKDBSA-N 0 0 445.524 0.511 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CN(C)CCO2)ccc1OC ZINC000434604556 1071790240 /nfs/dbraw/zinc/79/02/40/1071790240.db2.gz BVCMXLUPKLZOOA-AWEZNQCLSA-N 0 0 444.510 -0.995 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CN(C)CCO2)ccc1OC ZINC000434604558 1071790133 /nfs/dbraw/zinc/79/01/33/1071790133.db2.gz BVCMXLUPKLZOOA-CQSZACIVSA-N 0 0 444.510 -0.995 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCC[C@H]2CCNS(C)(=O)=O)c(=O)n(C)c1=O ZINC000434604626 1071790128 /nfs/dbraw/zinc/79/01/28/1071790128.db2.gz FMJVRVDDIISDNM-AWEZNQCLSA-N 0 0 443.570 -0.238 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCC[C@@H]2CCNS(C)(=O)=O)c(=O)n(C)c1=O ZINC000434604627 1071790025 /nfs/dbraw/zinc/79/00/25/1071790025.db2.gz FMJVRVDDIISDNM-CQSZACIVSA-N 0 0 443.570 -0.238 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCc3cncnc3C2)ccc1OC ZINC000434606095 1071790074 /nfs/dbraw/zinc/79/00/74/1071790074.db2.gz HYMMVYVTRVRQOS-UHFFFAOYSA-N 0 0 449.489 -0.067 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000434609129 1073317169 /nfs/dbraw/zinc/31/71/69/1073317169.db2.gz UWHVUZWRHBLGBQ-AWEZNQCLSA-N 0 0 429.481 0.270 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000434609130 1073316643 /nfs/dbraw/zinc/31/66/43/1073316643.db2.gz UWHVUZWRHBLGBQ-CQSZACIVSA-N 0 0 429.481 0.270 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCCn2cc(C)cn2)ccc1OC ZINC000434609298 1071791975 /nfs/dbraw/zinc/79/19/75/1071791975.db2.gz VWIBMTYVVYMPEL-UHFFFAOYSA-N 0 0 439.494 -0.120 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCN3CCSCC3)n2)c1C ZINC000434609320 1071791842 /nfs/dbraw/zinc/79/18/42/1071791842.db2.gz RXGJCCDPYJPWTR-UHFFFAOYSA-N 0 0 433.538 0.450 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)CCn2cccn2)ccc1OC ZINC000434609732 1071791955 /nfs/dbraw/zinc/79/19/55/1071791955.db2.gz XDMSLNAWMVASLN-UHFFFAOYSA-N 0 0 439.494 -0.087 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC2(c3ccc4c(c3)OCO4)CC2)n1)N1CCOCC1 ZINC000434617314 1071792568 /nfs/dbraw/zinc/79/25/68/1071792568.db2.gz SRKQMAKLUICFCU-UHFFFAOYSA-N 0 0 441.444 0.215 20 0 IBADRN O=S(=O)(Cc1nnnn1CC1CCOCC1)c1nnc(NC[C@H]2CCCO2)s1 ZINC000434634374 1073339542 /nfs/dbraw/zinc/33/95/42/1073339542.db2.gz AOWJXPNOICWWRN-GFCCVEGCSA-N 0 0 429.528 0.516 20 0 IBADRN O=S(=O)(Cc1nnnn1CC1CCOCC1)c1nnc(NC[C@@H]2CCCO2)s1 ZINC000434634375 1073338930 /nfs/dbraw/zinc/33/89/30/1073338930.db2.gz AOWJXPNOICWWRN-LBPRGKRZSA-N 0 0 429.528 0.516 20 0 IBADRN Cn1cc(I)c(=O)n(Cc2nnnn2CC2CCOCC2)c1=O ZINC000434647203 1071793019 /nfs/dbraw/zinc/79/30/19/1071793019.db2.gz FVQQGJXXEHDEDV-UHFFFAOYSA-N 0 0 432.222 -0.387 20 0 IBADRN Cc1nn(Cc2nnnn2CC2CCOCC2)c(C)c1S(=O)(=O)N1CCOCC1 ZINC000434649655 1071793745 /nfs/dbraw/zinc/79/37/45/1071793745.db2.gz BQZFCTYRFHTNRJ-UHFFFAOYSA-N 0 0 425.515 -0.018 20 0 IBADRN CC(C)(NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)NCC1CCCCC1 ZINC000434650173 1071792957 /nfs/dbraw/zinc/79/29/57/1071792957.db2.gz JOCRQIDJYPLSKS-UHFFFAOYSA-N 0 0 427.527 -0.017 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCC(C)(C)N3CCOCC3)n2)c1C ZINC000434653087 1071793064 /nfs/dbraw/zinc/79/30/64/1071793064.db2.gz KEXXIKHVSRTZGS-UHFFFAOYSA-N 0 0 445.524 0.512 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)cn1 ZINC000434653458 1071793796 /nfs/dbraw/zinc/79/37/96/1071793796.db2.gz MDQKUBIYJGUMPW-UHFFFAOYSA-N 0 0 449.505 -0.017 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCCN3CCOCC3)n2)c1C ZINC000434657017 1071793912 /nfs/dbraw/zinc/79/39/12/1071793912.db2.gz YZBMVCCNUVHOJI-UHFFFAOYSA-N 0 0 431.497 0.124 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCO2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434658367 1071793898 /nfs/dbraw/zinc/79/38/98/1071793898.db2.gz CWZXLJHLWLSIFU-KBPBESRZSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCO2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434658368 1071793814 /nfs/dbraw/zinc/79/38/14/1071793814.db2.gz CWZXLJHLWLSIFU-KGLIPLIRSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCCO2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434658369 1071793875 /nfs/dbraw/zinc/79/38/75/1071793875.db2.gz CWZXLJHLWLSIFU-UONOGXRCSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCCO2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434658370 1071793833 /nfs/dbraw/zinc/79/38/33/1071793833.db2.gz CWZXLJHLWLSIFU-ZIAGYGMSSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCCN3CCCC3=O)n2)c1C ZINC000434660239 1071793581 /nfs/dbraw/zinc/79/35/81/1071793581.db2.gz BIBWVEPPPOXZNK-UHFFFAOYSA-N 0 0 429.481 0.414 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCCN2CCOCC2)ccc1OC ZINC000434660686 1071793934 /nfs/dbraw/zinc/79/39/34/1071793934.db2.gz DKVLAZYBJAMHJG-UHFFFAOYSA-N 0 0 444.510 -0.993 20 0 IBADRN CN(C)CC(=O)N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000434674742 1071794379 /nfs/dbraw/zinc/79/43/79/1071794379.db2.gz JJEBKFHJOIHCTK-UHFFFAOYSA-N 0 0 442.520 -0.934 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCC(=O)Nc3cc(N)ccc3F)CC2)CC1 ZINC000434676307 1071794883 /nfs/dbraw/zinc/79/48/83/1071794883.db2.gz PQCKWFRVGBWWFZ-UHFFFAOYSA-N 0 0 428.534 -0.154 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000434677490 1071795163 /nfs/dbraw/zinc/79/51/63/1071795163.db2.gz XEBKQLCIELWFCR-DLBZAZTESA-N 0 0 430.571 -0.372 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000434677491 1071794814 /nfs/dbraw/zinc/79/48/14/1071794814.db2.gz XEBKQLCIELWFCR-IAGOWNOFSA-N 0 0 430.571 -0.372 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000434677492 1071795027 /nfs/dbraw/zinc/79/50/27/1071795027.db2.gz XEBKQLCIELWFCR-IRXDYDNUSA-N 0 0 430.571 -0.372 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000434677493 1071794989 /nfs/dbraw/zinc/79/49/89/1071794989.db2.gz XEBKQLCIELWFCR-SJORKVTESA-N 0 0 430.571 -0.372 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCC(=O)NC(C)(C)C)ccc1OC ZINC000434679617 1071794273 /nfs/dbraw/zinc/79/42/73/1071794273.db2.gz PMMHAMCMAJIMHM-UHFFFAOYSA-N 0 0 444.510 -0.411 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCC(=O)NCc3ccco3)n2)c1C ZINC000434689491 1071795100 /nfs/dbraw/zinc/79/51/00/1071795100.db2.gz BCHKXJLTTLVECW-UHFFFAOYSA-N 0 0 441.448 0.311 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000434693050 1071474017 /nfs/dbraw/zinc/47/40/17/1071474017.db2.gz JWJPWRIGMPPJNC-UHFFFAOYSA-N 0 0 425.574 -0.968 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCN(CC(=O)N3CCCC3)CC2)c(=O)n(C)c1=O ZINC000434695880 1071794938 /nfs/dbraw/zinc/79/49/38/1071794938.db2.gz BIDDTVPCXPRECP-UHFFFAOYSA-N 0 0 448.568 -0.402 20 0 IBADRN C[C@@H]1CN(CCN(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000434696116 1071474840 /nfs/dbraw/zinc/47/48/40/1071474840.db2.gz WVOQTYWLNWZPMQ-KDURUIRLSA-N 0 0 425.574 -0.970 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCC(=O)c3ccccc3)CC2)C[C@H](C)O1 ZINC000434696399 1071795591 /nfs/dbraw/zinc/79/55/91/1071795591.db2.gz YMXBBLZYDUFUEW-CALCHBBNSA-N 0 0 438.550 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCC(=O)c3ccccc3)CC2)C[C@@H](C)O1 ZINC000434696400 1071795719 /nfs/dbraw/zinc/79/57/19/1071795719.db2.gz YMXBBLZYDUFUEW-IAGOWNOFSA-N 0 0 438.550 -0.043 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCC(=O)c3ccccc3)CC2)C[C@H](C)O1 ZINC000434696401 1071795566 /nfs/dbraw/zinc/79/55/66/1071795566.db2.gz YMXBBLZYDUFUEW-IRXDYDNUSA-N 0 0 438.550 -0.043 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCC[C@@H]2CNS(C)(=O)=O)c(=O)n(C)c1=O ZINC000434696435 1071795437 /nfs/dbraw/zinc/79/54/37/1071795437.db2.gz YYLZQCCCCFWGKY-CYBMUJFWSA-N 0 0 429.543 -0.628 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCC[C@H]2CNS(C)(=O)=O)c(=O)n(C)c1=O ZINC000434696436 1071795122 /nfs/dbraw/zinc/79/51/22/1071795122.db2.gz YYLZQCCCCFWGKY-ZDUSSCGKSA-N 0 0 429.543 -0.628 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@@H](C)CN2CCOCC2)CC1 ZINC000434699420 1071795683 /nfs/dbraw/zinc/79/56/83/1071795683.db2.gz KNCZVJMFRFEQHB-HNNXBMFYSA-N 0 0 443.570 -0.223 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@H](C)CN2CCOCC2)CC1 ZINC000434699421 1071795757 /nfs/dbraw/zinc/79/57/57/1071795757.db2.gz KNCZVJMFRFEQHB-OAHLLOKOSA-N 0 0 443.570 -0.223 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H](O)C(F)(F)F)ccc1OC ZINC000434715664 1071796883 /nfs/dbraw/zinc/79/68/83/1071796883.db2.gz AOQACRZCAKMANT-GFCCVEGCSA-N 0 0 443.400 -0.402 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H](O)C(F)(F)F)ccc1OC ZINC000434715665 1071796798 /nfs/dbraw/zinc/79/67/98/1071796798.db2.gz AOQACRZCAKMANT-LBPRGKRZSA-N 0 0 443.400 -0.402 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H](C)Cn2cccn2)ccc1OC ZINC000434715998 1071797468 /nfs/dbraw/zinc/79/74/68/1071797468.db2.gz APGOWIWDNWTRQL-CYBMUJFWSA-N 0 0 439.494 -0.040 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](C)Cn2cccn2)ccc1OC ZINC000434715999 1071797382 /nfs/dbraw/zinc/79/73/82/1071797382.db2.gz APGOWIWDNWTRQL-ZDUSSCGKSA-N 0 0 439.494 -0.040 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCC(=O)N3CCCCC3)n2)c1C ZINC000434719372 1071799736 /nfs/dbraw/zinc/79/97/36/1071799736.db2.gz QMQNTNBRYVCXHM-UHFFFAOYSA-N 0 0 429.481 0.414 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCNC(=O)c3ccco3)n2)c1C ZINC000434721048 1071799387 /nfs/dbraw/zinc/79/93/87/1071799387.db2.gz VZMKSANEDMCSCG-UHFFFAOYSA-N 0 0 441.448 0.425 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1 ZINC000434722768 1071799620 /nfs/dbraw/zinc/79/96/20/1071799620.db2.gz UQCKQPGKOBPDJL-CABCVRRESA-N 0 0 437.541 -0.169 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1 ZINC000434722769 1071800053 /nfs/dbraw/zinc/80/00/53/1071800053.db2.gz UQCKQPGKOBPDJL-GJZGRUSLSA-N 0 0 437.541 -0.169 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1 ZINC000434722770 1071800124 /nfs/dbraw/zinc/80/01/24/1071800124.db2.gz UQCKQPGKOBPDJL-HUUCEWRRSA-N 0 0 437.541 -0.169 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1 ZINC000434722771 1071800402 /nfs/dbraw/zinc/80/04/02/1071800402.db2.gz UQCKQPGKOBPDJL-LSDHHAIUSA-N 0 0 437.541 -0.169 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H](C)CN3CCOCC3)n2)c1C ZINC000434722905 1071799724 /nfs/dbraw/zinc/79/97/24/1071799724.db2.gz VTDIDLMJYWEMJM-AWEZNQCLSA-N 0 0 431.497 0.122 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H](C)CN3CCOCC3)n2)c1C ZINC000434722906 1071799520 /nfs/dbraw/zinc/79/95/20/1071799520.db2.gz VTDIDLMJYWEMJM-CQSZACIVSA-N 0 0 431.497 0.122 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H](C)Cn2ccnc2)ccc1OC ZINC000434727351 1071801236 /nfs/dbraw/zinc/80/12/36/1071801236.db2.gz QVSSDBZXYQJDGD-CYBMUJFWSA-N 0 0 439.494 -0.040 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](C)Cn2ccnc2)ccc1OC ZINC000434727352 1071801209 /nfs/dbraw/zinc/80/12/09/1071801209.db2.gz QVSSDBZXYQJDGD-ZDUSSCGKSA-N 0 0 439.494 -0.040 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCCC(=O)NC3CC3)n2)c1C ZINC000434730676 1071801702 /nfs/dbraw/zinc/80/17/02/1071801702.db2.gz SDXQEQQEORTZHN-UHFFFAOYSA-N 0 0 429.481 0.460 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@H](N3CCCS3(=O)=O)C2)c(=O)n(C)c1=O ZINC000434733114 1071801753 /nfs/dbraw/zinc/80/17/53/1071801753.db2.gz AGRIKYQMBOOWSF-AWEZNQCLSA-N 0 0 441.554 -0.532 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@@H](N3CCCS3(=O)=O)C2)c(=O)n(C)c1=O ZINC000434733118 1071801766 /nfs/dbraw/zinc/80/17/66/1071801766.db2.gz AGRIKYQMBOOWSF-CQSZACIVSA-N 0 0 441.554 -0.532 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@]3(CNC(=O)C3)C2)c(=O)[nH]c1=O ZINC000434736182 1071805617 /nfs/dbraw/zinc/80/56/17/1071805617.db2.gz KSIAYBRXDMRYPN-FQEVSTJZSA-N 0 0 436.513 -0.477 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@@]3(CNC(=O)C3)C2)c(=O)[nH]c1=O ZINC000434736185 1071805259 /nfs/dbraw/zinc/80/52/59/1071805259.db2.gz KSIAYBRXDMRYPN-HXUWFJFHSA-N 0 0 436.513 -0.477 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCC[C@H](N3CCCS3(=O)=O)C2)CC1 ZINC000434736866 1071806206 /nfs/dbraw/zinc/80/62/06/1071806206.db2.gz NAACUAKAWDVZEN-KRWDZBQOSA-N 0 0 443.614 -0.455 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCC[C@@H](N3CCCS3(=O)=O)C2)CC1 ZINC000434736867 1071806167 /nfs/dbraw/zinc/80/61/67/1071806167.db2.gz NAACUAKAWDVZEN-QGZVFWFLSA-N 0 0 443.614 -0.455 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC000434736968 1071805563 /nfs/dbraw/zinc/80/55/63/1071805563.db2.gz MSRLZQBTSAOKAC-INIZCTEOSA-N 0 0 444.579 -0.507 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC000434736969 1071805424 /nfs/dbraw/zinc/80/54/24/1071805424.db2.gz MSRLZQBTSAOKAC-MRXNPFEDSA-N 0 0 444.579 -0.507 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@@H](N3CCCS3(=O)=O)C2)c(=O)[nH]c1=O ZINC000434737180 1071805485 /nfs/dbraw/zinc/80/54/85/1071805485.db2.gz OFLXCMSPPVILMS-CYBMUJFWSA-N 0 0 427.527 -0.130 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@H](N3CCCS3(=O)=O)C2)c(=O)[nH]c1=O ZINC000434737181 1071805661 /nfs/dbraw/zinc/80/56/61/1071805661.db2.gz OFLXCMSPPVILMS-ZDUSSCGKSA-N 0 0 427.527 -0.130 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C[N@@H+]3CC[C@]4(CNC(=O)C4)C3)CC2)cc1 ZINC000434739077 1071803524 /nfs/dbraw/zinc/80/35/24/1071803524.db2.gz UWDMWMRQLUJJRL-NRFANRHFSA-N 0 0 448.545 -0.066 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C[N@@H+]3CC[C@@]4(CNC(=O)C4)C3)CC2)cc1 ZINC000434739079 1071803683 /nfs/dbraw/zinc/80/36/83/1071803683.db2.gz UWDMWMRQLUJJRL-OAQYLSRUSA-N 0 0 448.545 -0.066 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)n2)c1C ZINC000434742927 1071804388 /nfs/dbraw/zinc/80/43/88/1071804388.db2.gz CSNOVVDWXIETTD-UHFFFAOYSA-N 0 0 443.386 0.080 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1C(N)=O ZINC000434743649 1071804248 /nfs/dbraw/zinc/80/42/48/1071804248.db2.gz QXIKMEZOUZEMEU-UHFFFAOYSA-N 0 0 445.476 -0.539 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000434744519 1071804598 /nfs/dbraw/zinc/80/45/98/1071804598.db2.gz DQPASAMYKRIPQE-HNNXBMFYSA-N 0 0 442.563 -0.277 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000434744522 1071804432 /nfs/dbraw/zinc/80/44/32/1071804432.db2.gz DQPASAMYKRIPQE-OAHLLOKOSA-N 0 0 442.563 -0.277 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC[C@H](N2CCCS2(=O)=O)C1)S(C)(=O)=O ZINC000434746677 1071805212 /nfs/dbraw/zinc/80/52/12/1071805212.db2.gz NIPYVMHSSDAXAV-INIZCTEOSA-N 0 0 438.616 -0.337 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC[C@@H](N2CCCS2(=O)=O)C1)S(C)(=O)=O ZINC000434746678 1071805368 /nfs/dbraw/zinc/80/53/68/1071805368.db2.gz NIPYVMHSSDAXAV-MRXNPFEDSA-N 0 0 438.616 -0.337 20 0 IBADRN O=C1CN(S(=O)(=O)c2cccc(NCc3nnnn3CC3CCOCC3)c2)CCN1 ZINC000434746840 1071804305 /nfs/dbraw/zinc/80/43/05/1071804305.db2.gz OQWZALKRFPJUEA-UHFFFAOYSA-N 0 0 435.510 -0.168 20 0 IBADRN CN1C(=O)Cc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)ccc21 ZINC000434747015 1071804067 /nfs/dbraw/zinc/80/40/67/1071804067.db2.gz KMSRLVLALVJYSQ-UHFFFAOYSA-N 0 0 427.461 -0.563 20 0 IBADRN COCCN(C(=O)CN1CC[C@]2(CNC(=O)C2)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000434747792 1071805156 /nfs/dbraw/zinc/80/51/56/1071805156.db2.gz RIIUJHCEGCTTCF-FQEVSTJZSA-N 0 0 436.513 -0.622 20 0 IBADRN COCCN(C(=O)CN1CC[C@@]2(CNC(=O)C2)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000434747793 1071805676 /nfs/dbraw/zinc/80/56/76/1071805676.db2.gz RIIUJHCEGCTTCF-HXUWFJFHSA-N 0 0 436.513 -0.622 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](N2CCCS2(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000434749341 1071805511 /nfs/dbraw/zinc/80/55/11/1071805511.db2.gz VKSVSLNNTIYZGJ-CVEARBPZSA-N 0 0 437.584 -0.852 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](N2CCCS2(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000434749345 1071805538 /nfs/dbraw/zinc/80/55/38/1071805538.db2.gz VKSVSLNNTIYZGJ-HOTGVXAUSA-N 0 0 437.584 -0.852 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](N2CCCS2(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000434749347 1071805064 /nfs/dbraw/zinc/80/50/64/1071805064.db2.gz VKSVSLNNTIYZGJ-HZPDHXFCSA-N 0 0 437.584 -0.852 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](N2CCCS2(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000434749349 1071805140 /nfs/dbraw/zinc/80/51/40/1071805140.db2.gz VKSVSLNNTIYZGJ-JKSUJKDBSA-N 0 0 437.584 -0.852 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000434749956 1071805731 /nfs/dbraw/zinc/80/57/31/1071805731.db2.gz XMMCQRCDFBRMLC-CYBMUJFWSA-N 0 0 446.551 -0.219 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000434749957 1071805076 /nfs/dbraw/zinc/80/50/76/1071805076.db2.gz XMMCQRCDFBRMLC-ZDUSSCGKSA-N 0 0 446.551 -0.219 20 0 IBADRN Cn1nc([C@@H]2CCCO2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000434750779 1071806630 /nfs/dbraw/zinc/80/66/30/1071806630.db2.gz YZFLZFDDVKOQDX-HNNXBMFYSA-N 0 0 432.481 -0.497 20 0 IBADRN Cn1nc([C@H]2CCCO2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000434750780 1071806740 /nfs/dbraw/zinc/80/67/40/1071806740.db2.gz YZFLZFDDVKOQDX-OAHLLOKOSA-N 0 0 432.481 -0.497 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)=O)CC2)ccc1OC ZINC000434752117 1071806194 /nfs/dbraw/zinc/80/61/94/1071806194.db2.gz LCTPDGAQIUSQKZ-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN O=S(=O)(NCC1(N2CCOCC2)CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000434759678 1071806136 /nfs/dbraw/zinc/80/61/36/1071806136.db2.gz UPYHTZRVDYRNSK-UHFFFAOYSA-N 0 0 445.563 -0.149 20 0 IBADRN CC1(c2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)no2)CCCC1 ZINC000434762196 1071806348 /nfs/dbraw/zinc/80/63/48/1071806348.db2.gz GCONTEVSHKWINR-UHFFFAOYSA-N 0 0 447.492 -0.026 20 0 IBADRN CC(C)(C)c1cnc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000434762272 1071806855 /nfs/dbraw/zinc/80/68/55/1071806855.db2.gz GZGHYZPYDKLCEA-UHFFFAOYSA-N 0 0 437.522 -0.091 20 0 IBADRN C[C@@H]1CCc2nc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)sc2C1 ZINC000434763144 1071806282 /nfs/dbraw/zinc/80/62/82/1071806282.db2.gz JOIWZWCNSMXTTN-CYBMUJFWSA-N 0 0 449.533 -0.264 20 0 IBADRN C[C@H]1CCc2nc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)sc2C1 ZINC000434763146 1071806830 /nfs/dbraw/zinc/80/68/30/1071806830.db2.gz JOIWZWCNSMXTTN-ZDUSSCGKSA-N 0 0 449.533 -0.264 20 0 IBADRN Cn1nc([C@@H]2CCCO2)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000434763732 1071806781 /nfs/dbraw/zinc/80/67/81/1071806781.db2.gz GGDSGCKUZQHMIK-INIZCTEOSA-N 0 0 434.497 -0.787 20 0 IBADRN Cn1nc([C@H]2CCCO2)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000434763733 1071806668 /nfs/dbraw/zinc/80/66/68/1071806668.db2.gz GGDSGCKUZQHMIK-MRXNPFEDSA-N 0 0 434.497 -0.787 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1Cl ZINC000434764587 1071806839 /nfs/dbraw/zinc/80/68/39/1071806839.db2.gz JFAIZECFTJXIKC-UHFFFAOYSA-N 0 0 438.868 -0.183 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)C2CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434764743 1071806867 /nfs/dbraw/zinc/80/68/67/1071806867.db2.gz AMEZIZMUPZSZHE-CYBMUJFWSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)C2CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434764744 1071806648 /nfs/dbraw/zinc/80/66/48/1071806648.db2.gz AMEZIZMUPZSZHE-ZDUSSCGKSA-N 0 0 427.479 -0.316 20 0 IBADRN O=C(Nc1nc2c(s1)CCCC2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000434765991 1071806760 /nfs/dbraw/zinc/80/67/60/1071806760.db2.gz RVIIIBNCYIKCGG-UHFFFAOYSA-N 0 0 435.506 -0.510 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)ccc1C(N)=O ZINC000434766040 1071806717 /nfs/dbraw/zinc/80/67/17/1071806717.db2.gz OLKCBVKDKAQUBN-UHFFFAOYSA-N 0 0 431.493 -0.066 20 0 IBADRN CC1(c2cc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)no2)CCCC1 ZINC000434766084 1071806880 /nfs/dbraw/zinc/80/68/80/1071806880.db2.gz OPCHQYCFILKYGG-UHFFFAOYSA-N 0 0 433.509 0.448 20 0 IBADRN O=C(Nc1nc2c(s1)CCCCC2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000434766232 1071806913 /nfs/dbraw/zinc/80/69/13/1071806913.db2.gz NIHGKUANPGKXJA-UHFFFAOYSA-N 0 0 449.533 -0.120 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434766311 1071806895 /nfs/dbraw/zinc/80/68/95/1071806895.db2.gz OYVQSDSFOLDUKD-AWEZNQCLSA-N 0 0 426.495 -0.525 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434766312 1071806561 /nfs/dbraw/zinc/80/65/61/1071806561.db2.gz OYVQSDSFOLDUKD-CQSZACIVSA-N 0 0 426.495 -0.525 20 0 IBADRN CC(C)(C)c1csc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000434766614 1071806603 /nfs/dbraw/zinc/80/66/03/1071806603.db2.gz OFLNXAMRDQVONC-UHFFFAOYSA-N 0 0 437.522 -0.091 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CC1 ZINC000434767973 1073331381 /nfs/dbraw/zinc/33/13/81/1073331381.db2.gz RICDHJXZSLWVMN-UHFFFAOYSA-N 0 0 445.480 -0.397 20 0 IBADRN O=C(Nc1nc(C2CCC2)cs1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000434768520 1071807418 /nfs/dbraw/zinc/80/74/18/1071807418.db2.gz ZBELUEBHDJNHIP-UHFFFAOYSA-N 0 0 435.506 -0.121 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCCN(C(C)=O)CC3)n2)c1C ZINC000434769814 1071807451 /nfs/dbraw/zinc/80/74/51/1071807451.db2.gz XEOXBZAOGXZCKQ-UHFFFAOYSA-N 0 0 429.481 0.366 20 0 IBADRN CN1C(=O)Cc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc21 ZINC000434770039 1071807477 /nfs/dbraw/zinc/80/74/77/1071807477.db2.gz QACZSHZBJGJJKR-UHFFFAOYSA-N 0 0 429.477 -0.853 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@H]3CCOC3)c2)CC1 ZINC000434770702 1071807181 /nfs/dbraw/zinc/80/71/81/1071807181.db2.gz WAMNOKPVQRCYNN-HNNXBMFYSA-N 0 0 440.522 -0.135 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@@H]3CCOC3)c2)CC1 ZINC000434770703 1071807400 /nfs/dbraw/zinc/80/74/00/1071807400.db2.gz WAMNOKPVQRCYNN-OAHLLOKOSA-N 0 0 440.522 -0.135 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)CC1 ZINC000434770901 1071807496 /nfs/dbraw/zinc/80/74/96/1071807496.db2.gz YTMJKHPRFFMPRS-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)CC(=O)N4C)CC2)no1 ZINC000434772810 1073330240 /nfs/dbraw/zinc/33/02/40/1073330240.db2.gz BWKNAFZTEWXNFW-UHFFFAOYSA-N 0 0 440.460 0.223 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)CC(=O)NC(C)C)ccc1OC ZINC000434775730 1071806810 /nfs/dbraw/zinc/80/68/10/1071806810.db2.gz OYQZQZZMJXTJHD-UHFFFAOYSA-N 0 0 444.510 -0.459 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(OC(C)C)c2)CC1 ZINC000434776446 1071806539 /nfs/dbraw/zinc/80/65/39/1071806539.db2.gz MYXTUBXUGYGDSJ-UHFFFAOYSA-N 0 0 447.492 -0.293 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cc([C@@H]4CCCO4)nn3C)CC2)no1 ZINC000434777773 1073330116 /nfs/dbraw/zinc/33/01/16/1073330116.db2.gz QUALKWYHGFBHQU-HNNXBMFYSA-N 0 0 445.480 0.289 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cc([C@H]4CCCO4)nn3C)CC2)no1 ZINC000434777776 1073330196 /nfs/dbraw/zinc/33/01/96/1073330196.db2.gz QUALKWYHGFBHQU-OAHLLOKOSA-N 0 0 445.480 0.289 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(N3CCOCC3)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000434777869 1071806888 /nfs/dbraw/zinc/80/68/88/1071806888.db2.gz SUZCEJMWUNWNCY-GOSISDBHSA-N 0 0 438.506 -0.107 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(N3CCOCC3)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000434777872 1071807488 /nfs/dbraw/zinc/80/74/88/1071807488.db2.gz SUZCEJMWUNWNCY-SFHVURJKSA-N 0 0 438.506 -0.107 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(CO)CC2)ccc1OC ZINC000434779800 1071807384 /nfs/dbraw/zinc/80/73/84/1071807384.db2.gz WHMXMHWGICKLMZ-UHFFFAOYSA-N 0 0 429.495 -0.211 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC(CO)CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434780120 1071807227 /nfs/dbraw/zinc/80/72/27/1071807227.db2.gz XGTUKHARBJKGJX-HNNXBMFYSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC(CO)CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434780123 1071807484 /nfs/dbraw/zinc/80/74/84/1071807484.db2.gz XGTUKHARBJKGJX-OAHLLOKOSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434780518 1071807319 /nfs/dbraw/zinc/80/73/19/1071807319.db2.gz ZVTWSWONFVWXHK-KBPBESRZSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434780519 1071807156 /nfs/dbraw/zinc/80/71/56/1071807156.db2.gz ZVTWSWONFVWXHK-KGLIPLIRSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434780520 1071807521 /nfs/dbraw/zinc/80/75/21/1071807521.db2.gz ZVTWSWONFVWXHK-UONOGXRCSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434780521 1071807333 /nfs/dbraw/zinc/80/73/33/1071807333.db2.gz ZVTWSWONFVWXHK-ZIAGYGMSSA-N 0 0 427.479 -0.316 20 0 IBADRN O=C(CN1CC(=O)N(CC2CC2)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000434782319 1071807906 /nfs/dbraw/zinc/80/79/06/1071807906.db2.gz IEJXYCVBKLISKA-UHFFFAOYSA-N 0 0 436.534 -0.165 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@@]3(C2)NC(=O)NC3=O)CC1)Nc1ccc(F)cc1 ZINC000434784925 1071808199 /nfs/dbraw/zinc/80/81/99/1071808199.db2.gz LBTDUIUQDDRXMJ-NRFANRHFSA-N 0 0 446.483 -0.418 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@]3(C2)NC(=O)NC3=O)CC1)Nc1ccc(F)cc1 ZINC000434784928 1071808158 /nfs/dbraw/zinc/80/81/58/1071808158.db2.gz LBTDUIUQDDRXMJ-OAQYLSRUSA-N 0 0 446.483 -0.418 20 0 IBADRN COCCN(C(=O)CN1CC(=O)N(CC2CC2)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000434787413 1071807876 /nfs/dbraw/zinc/80/78/76/1071807876.db2.gz UKAGBPBCFCYCIY-UHFFFAOYSA-N 0 0 436.513 -0.322 20 0 IBADRN O=C(CN1CC(=O)N(CC2CC2)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000434787861 1071807951 /nfs/dbraw/zinc/80/79/51/1071807951.db2.gz LAFHKEKCPZHXCI-UHFFFAOYSA-N 0 0 436.534 -0.165 20 0 IBADRN CCc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)sc1C ZINC000434790028 1071808134 /nfs/dbraw/zinc/80/81/34/1071808134.db2.gz PYUKUWFEUZDPEK-UHFFFAOYSA-N 0 0 431.540 -0.326 20 0 IBADRN O=C(Nc1nc2c(s1)CCCC2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000434790413 1071808698 /nfs/dbraw/zinc/80/86/98/1071808698.db2.gz ULHFFZNCUHKHSZ-UHFFFAOYSA-N 0 0 443.551 -0.318 20 0 IBADRN O=C(CN1CCC[C@@]2(C1)NC(=O)NC2=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000434791052 1071808190 /nfs/dbraw/zinc/80/81/90/1071808190.db2.gz APTZRTLDJANISR-ACJLOTCBSA-N 0 0 440.547 -0.062 20 0 IBADRN O=C(CN1CCC[C@]2(C1)NC(=O)NC2=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000434791054 1071807919 /nfs/dbraw/zinc/80/79/19/1071807919.db2.gz APTZRTLDJANISR-FZKQIMNGSA-N 0 0 440.547 -0.062 20 0 IBADRN O=C(CN1CCC[C@]2(C1)NC(=O)NC2=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000434791055 1071808151 /nfs/dbraw/zinc/80/81/51/1071808151.db2.gz APTZRTLDJANISR-SCLBCKFNSA-N 0 0 440.547 -0.062 20 0 IBADRN O=C(CN1CCC[C@@]2(C1)NC(=O)NC2=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000434791057 1071808683 /nfs/dbraw/zinc/80/86/83/1071808683.db2.gz APTZRTLDJANISR-UGSOOPFHSA-N 0 0 440.547 -0.062 20 0 IBADRN CCCc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000434791777 1071808522 /nfs/dbraw/zinc/80/85/22/1071808522.db2.gz FATNRKWLLCBNFL-UHFFFAOYSA-N 0 0 444.583 -0.330 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)sc1C ZINC000434792327 1071808776 /nfs/dbraw/zinc/80/87/76/1071808776.db2.gz GVUGOGKGXSGPPZ-UHFFFAOYSA-N 0 0 430.556 -0.665 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4cc[nH]c4c3)CC2)CC1 ZINC000434792348 1071808817 /nfs/dbraw/zinc/80/88/17/1071808817.db2.gz HBDVPLPNDZSBOG-UHFFFAOYSA-N 0 0 434.522 -0.257 20 0 IBADRN CCc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)sc1C ZINC000434792676 1071808651 /nfs/dbraw/zinc/80/86/51/1071808651.db2.gz IOZPRCMXBMYPDF-UHFFFAOYSA-N 0 0 444.583 -0.411 20 0 IBADRN CCc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000434793093 1071808552 /nfs/dbraw/zinc/80/85/52/1071808552.db2.gz HHGJVHVSGUVZSA-UHFFFAOYSA-N 0 0 430.556 -0.720 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@]3(C2)NC(=O)NC3=O)cc1S(=O)(=O)N(C)C ZINC000434793149 1071808621 /nfs/dbraw/zinc/80/86/21/1071808621.db2.gz JNFRUPWNGATHJU-GOSISDBHSA-N 0 0 439.494 -0.442 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@@]3(C2)NC(=O)NC3=O)cc1S(=O)(=O)N(C)C ZINC000434793150 1071808712 /nfs/dbraw/zinc/80/87/12/1071808712.db2.gz JNFRUPWNGATHJU-SFHVURJKSA-N 0 0 439.494 -0.442 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC(CC(N)=O)CC3)n2)c1C ZINC000434793192 1071808581 /nfs/dbraw/zinc/80/85/81/1071808581.db2.gz KCKLJDWIHJKEEY-UHFFFAOYSA-N 0 0 429.481 0.399 20 0 IBADRN CCCCN(C(=O)CN1CCC[C@@]2(C1)NC(=O)NC2=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000434793939 1071808830 /nfs/dbraw/zinc/80/88/30/1071808830.db2.gz LKVXMSDMOQWWNJ-FQEVSTJZSA-N 0 0 449.512 -0.252 20 0 IBADRN CCCCN(C(=O)CN1CCC[C@]2(C1)NC(=O)NC2=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000434793940 1071808732 /nfs/dbraw/zinc/80/87/32/1071808732.db2.gz LKVXMSDMOQWWNJ-HXUWFJFHSA-N 0 0 449.512 -0.252 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](C(=O)OC)C2)ccc1OC ZINC000434794473 1071808636 /nfs/dbraw/zinc/80/86/36/1071808636.db2.gz DYUVJNUZXAFSPT-GFCCVEGCSA-N 0 0 443.478 -0.420 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](C(=O)OC)C2)ccc1OC ZINC000434794474 1071808451 /nfs/dbraw/zinc/80/84/51/1071808451.db2.gz DYUVJNUZXAFSPT-LBPRGKRZSA-N 0 0 443.478 -0.420 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC(=O)N(CC3CC3)C2)CC1 ZINC000434794969 1071808881 /nfs/dbraw/zinc/80/88/81/1071808881.db2.gz HKIHRQFHTIYNSR-UHFFFAOYSA-N 0 0 425.511 -0.364 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCOC[C@@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434795437 1071808806 /nfs/dbraw/zinc/80/88/06/1071808806.db2.gz NIPYUXUGHFGPTK-GXTWGEPZSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCOC[C@@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434795440 1071808890 /nfs/dbraw/zinc/80/88/90/1071808890.db2.gz NIPYUXUGHFGPTK-JSGCOSHPSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCOC[C@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434795442 1071809465 /nfs/dbraw/zinc/80/94/65/1071809465.db2.gz NIPYUXUGHFGPTK-OCCSQVGLSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCOC[C@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434795443 1071809409 /nfs/dbraw/zinc/80/94/09/1071809409.db2.gz NIPYUXUGHFGPTK-TZMCWYRMSA-N 0 0 427.479 -0.052 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCC[C@]2(C1)NC(=O)NC2=O ZINC000434797342 1071807937 /nfs/dbraw/zinc/80/79/37/1071807937.db2.gz XLTKZXQESMSXQP-GOSISDBHSA-N 0 0 437.478 -0.055 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000434797344 1071808114 /nfs/dbraw/zinc/80/81/14/1071808114.db2.gz XLTKZXQESMSXQP-SFHVURJKSA-N 0 0 437.478 -0.055 20 0 IBADRN CCCc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000434798667 1071811366 /nfs/dbraw/zinc/81/13/66/1071811366.db2.gz KKXZWLFTCKWQFK-UHFFFAOYSA-N 0 0 431.540 -0.245 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000434799637 1071809274 /nfs/dbraw/zinc/80/92/74/1071809274.db2.gz USTXSOWCKDDVGF-FQEVSTJZSA-N 0 0 449.533 -0.110 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCC[C@]2(C1)NC(=O)NC2=O ZINC000434799638 1071809525 /nfs/dbraw/zinc/80/95/25/1071809525.db2.gz USTXSOWCKDDVGF-HXUWFJFHSA-N 0 0 449.533 -0.110 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@]3(C2)NC(=O)NC3=O)c(N)n(Cc2ccccc2)c1=O ZINC000434799682 1071809503 /nfs/dbraw/zinc/80/95/03/1071809503.db2.gz VGUUFFYMVKEHPO-NRFANRHFSA-N 0 0 440.460 -0.966 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@]3(C2)NC(=O)NC3=O)c(N)n(Cc2ccccc2)c1=O ZINC000434799683 1071809390 /nfs/dbraw/zinc/80/93/90/1071809390.db2.gz VGUUFFYMVKEHPO-OAQYLSRUSA-N 0 0 440.460 -0.966 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CC1 ZINC000434800894 1073347619 /nfs/dbraw/zinc/34/76/19/1073347619.db2.gz FBVDXKHBUPXPCV-INIZCTEOSA-N 0 0 445.524 0.200 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CC1 ZINC000434800895 1073348429 /nfs/dbraw/zinc/34/84/29/1073348429.db2.gz FBVDXKHBUPXPCV-MRXNPFEDSA-N 0 0 445.524 0.200 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1 ZINC000434801028 1071809514 /nfs/dbraw/zinc/80/95/14/1071809514.db2.gz DKJCLNYWOURZGJ-CYBMUJFWSA-N 0 0 442.494 -0.705 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1 ZINC000434801029 1071809284 /nfs/dbraw/zinc/80/92/84/1071809284.db2.gz DKJCLNYWOURZGJ-ZDUSSCGKSA-N 0 0 442.494 -0.705 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3C)CC1 ZINC000434801400 1071809214 /nfs/dbraw/zinc/80/92/14/1071809214.db2.gz FYLAGWGRJAMXNJ-HNNXBMFYSA-N 0 0 443.504 -0.465 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3C)CC1 ZINC000434801401 1071809365 /nfs/dbraw/zinc/80/93/65/1071809365.db2.gz FYLAGWGRJAMXNJ-OAHLLOKOSA-N 0 0 443.504 -0.465 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CO)C(C)C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434801681 1071809168 /nfs/dbraw/zinc/80/91/68/1071809168.db2.gz HOVGCFGRQSTZKF-UONOGXRCSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CO)C(C)C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434801683 1071809205 /nfs/dbraw/zinc/80/92/05/1071809205.db2.gz HOVGCFGRQSTZKF-ZIAGYGMSSA-N 0 0 429.495 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[S@@](=O)[C@H](C)C2)ccc1OC ZINC000434801853 1071809495 /nfs/dbraw/zinc/80/94/95/1071809495.db2.gz JHJHMDVSEFPAAL-BODITIBLSA-N 0 0 447.535 -0.462 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[S@](=O)[C@@H](C)C2)ccc1OC ZINC000434801854 1071809481 /nfs/dbraw/zinc/80/94/81/1071809481.db2.gz JHJHMDVSEFPAAL-JNFKXCBWSA-N 0 0 447.535 -0.462 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[S@@](=O)[C@@H](C)C2)ccc1OC ZINC000434801855 1071809256 /nfs/dbraw/zinc/80/92/56/1071809256.db2.gz JHJHMDVSEFPAAL-PSBQPLHCSA-N 0 0 447.535 -0.462 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[S@](=O)[C@H](C)C2)ccc1OC ZINC000434801856 1071809188 /nfs/dbraw/zinc/80/91/88/1071809188.db2.gz JHJHMDVSEFPAAL-YASQENCXSA-N 0 0 447.535 -0.462 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc([C@H]3CCCO3)nn2C)CC1 ZINC000434803112 1071809350 /nfs/dbraw/zinc/80/93/50/1071809350.db2.gz OONHDMIJZPBPDJ-DOTOQJQBSA-N 0 0 448.524 -0.398 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc([C@H]3CCCO3)nn2C)CC1 ZINC000434803114 1071809235 /nfs/dbraw/zinc/80/92/35/1071809235.db2.gz OONHDMIJZPBPDJ-NVXWUHKLSA-N 0 0 448.524 -0.398 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc([C@@H]3CCCO3)nn2C)CC1 ZINC000434803116 1071809727 /nfs/dbraw/zinc/80/97/27/1071809727.db2.gz OONHDMIJZPBPDJ-RDJZCZTQSA-N 0 0 448.524 -0.398 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc([C@@H]3CCCO3)nn2C)CC1 ZINC000434803118 1071810040 /nfs/dbraw/zinc/81/00/40/1071810040.db2.gz OONHDMIJZPBPDJ-WBVHZDCISA-N 0 0 448.524 -0.398 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1)C(C)C ZINC000434803655 1071809908 /nfs/dbraw/zinc/80/99/08/1071809908.db2.gz QFCITRZLWMHHDJ-HNNXBMFYSA-N 0 0 444.510 -0.555 20 0 IBADRN CNC(=O)[C@H](NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1)C(C)C ZINC000434803658 1071809888 /nfs/dbraw/zinc/80/98/88/1071809888.db2.gz QFCITRZLWMHHDJ-OAHLLOKOSA-N 0 0 444.510 -0.555 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3C)CC1 ZINC000434804285 1071810011 /nfs/dbraw/zinc/81/00/11/1071810011.db2.gz SALZUBVYXLFYKY-HNNXBMFYSA-N 0 0 445.520 -0.171 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3C)CC1 ZINC000434804287 1071810081 /nfs/dbraw/zinc/81/00/81/1071810081.db2.gz SALZUBVYXLFYKY-OAHLLOKOSA-N 0 0 445.520 -0.171 20 0 IBADRN CC[C@H](O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434808700 1071810071 /nfs/dbraw/zinc/81/00/71/1071810071.db2.gz KUXUQTIODSOUSL-KBPBESRZSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@H](O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434808701 1071810060 /nfs/dbraw/zinc/81/00/60/1071810060.db2.gz KUXUQTIODSOUSL-KGLIPLIRSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434808702 1071809994 /nfs/dbraw/zinc/80/99/94/1071809994.db2.gz KUXUQTIODSOUSL-UONOGXRCSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434808703 1071809823 /nfs/dbraw/zinc/80/98/23/1071809823.db2.gz KUXUQTIODSOUSL-ZIAGYGMSSA-N 0 0 429.495 -0.022 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)OC[C@@H]2C)ccc1OC ZINC000434808960 1071809846 /nfs/dbraw/zinc/80/98/46/1071809846.db2.gz MFAVHWMXZWFACO-GXTWGEPZSA-N 0 0 445.494 -0.833 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@@H]2C)ccc1OC ZINC000434808961 1071810052 /nfs/dbraw/zinc/81/00/52/1071810052.db2.gz MFAVHWMXZWFACO-JSGCOSHPSA-N 0 0 445.494 -0.833 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@H]2C)ccc1OC ZINC000434808962 1071809969 /nfs/dbraw/zinc/80/99/69/1071809969.db2.gz MFAVHWMXZWFACO-OCCSQVGLSA-N 0 0 445.494 -0.833 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)OC[C@H]2C)ccc1OC ZINC000434808963 1071810088 /nfs/dbraw/zinc/81/00/88/1071810088.db2.gz MFAVHWMXZWFACO-TZMCWYRMSA-N 0 0 445.494 -0.833 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1C ZINC000434808992 1071809869 /nfs/dbraw/zinc/80/98/69/1071809869.db2.gz RVZGNWWNJVDZNO-UHFFFAOYSA-N 0 0 440.526 -0.492 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(-c3noc(C4CC4)n3)c2)CC1 ZINC000434809652 1071809707 /nfs/dbraw/zinc/80/97/07/1071809707.db2.gz VBTHKIOHDLIIND-UHFFFAOYSA-N 0 0 448.505 -0.015 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000434810255 1073337415 /nfs/dbraw/zinc/33/74/15/1073337415.db2.gz XPYSKISIOCWZRF-UHFFFAOYSA-N 0 0 431.497 0.564 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434810487 1071809981 /nfs/dbraw/zinc/80/99/81/1071809981.db2.gz YWMOIBZHCLUHPU-CHWSQXEVSA-N 0 0 440.478 -0.952 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434810488 1071810026 /nfs/dbraw/zinc/81/00/26/1071810026.db2.gz YWMOIBZHCLUHPU-OLZOCXBDSA-N 0 0 440.478 -0.952 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434810489 1071810780 /nfs/dbraw/zinc/81/07/80/1071810780.db2.gz YWMOIBZHCLUHPU-QWHCGFSZSA-N 0 0 440.478 -0.952 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434810490 1071810786 /nfs/dbraw/zinc/81/07/86/1071810786.db2.gz YWMOIBZHCLUHPU-STQMWFEESA-N 0 0 440.478 -0.952 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCOCC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434811024 1071474891 /nfs/dbraw/zinc/47/48/91/1071474891.db2.gz WHNFWEQHGTXQEC-AWEZNQCLSA-N 0 0 427.479 -0.050 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCOCC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434811025 1071474754 /nfs/dbraw/zinc/47/47/54/1071474754.db2.gz WHNFWEQHGTXQEC-CQSZACIVSA-N 0 0 427.479 -0.050 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc([C@@H]3CCCO3)nn2C)CC1 ZINC000434811629 1071810643 /nfs/dbraw/zinc/81/06/43/1071810643.db2.gz BGMNWBVIRJUDEA-HNNXBMFYSA-N 0 0 443.526 -0.279 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc([C@H]3CCCO3)nn2C)CC1 ZINC000434811630 1071810745 /nfs/dbraw/zinc/81/07/45/1071810745.db2.gz BGMNWBVIRJUDEA-OAHLLOKOSA-N 0 0 443.526 -0.279 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CCC[C@H](C(N)=O)C3)n2)c1C ZINC000434812108 1071810768 /nfs/dbraw/zinc/81/07/68/1071810768.db2.gz BEZGSHZVNWSSJV-KBPBESRZSA-N 0 0 429.481 0.446 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CCC[C@@H](C(N)=O)C3)n2)c1C ZINC000434812112 1071810618 /nfs/dbraw/zinc/81/06/18/1071810618.db2.gz BEZGSHZVNWSSJV-KGLIPLIRSA-N 0 0 429.481 0.446 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CCC[C@H](C(N)=O)C3)n2)c1C ZINC000434812114 1071810500 /nfs/dbraw/zinc/81/05/00/1071810500.db2.gz BEZGSHZVNWSSJV-UONOGXRCSA-N 0 0 429.481 0.446 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CCC[C@@H](C(N)=O)C3)n2)c1C ZINC000434812116 1071810699 /nfs/dbraw/zinc/81/06/99/1071810699.db2.gz BEZGSHZVNWSSJV-ZIAGYGMSSA-N 0 0 429.481 0.446 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N([C@@H]4C[C@H]4C)C3)n2)c1C ZINC000434813024 1071810670 /nfs/dbraw/zinc/81/06/70/1071810670.db2.gz GJFZOWPSJARSGN-DJSGYFEHSA-N 0 0 441.492 0.411 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N([C@H]4C[C@@H]4C)C3)n2)c1C ZINC000434813025 1071810728 /nfs/dbraw/zinc/81/07/28/1071810728.db2.gz GJFZOWPSJARSGN-PEYYIBSZSA-N 0 0 441.492 0.411 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N([C@@H]4C[C@@H]4C)C3)n2)c1C ZINC000434813026 1071810539 /nfs/dbraw/zinc/81/05/39/1071810539.db2.gz GJFZOWPSJARSGN-SGIREYDYSA-N 0 0 441.492 0.411 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N([C@H]4C[C@H]4C)C3)n2)c1C ZINC000434813027 1071810583 /nfs/dbraw/zinc/81/05/83/1071810583.db2.gz GJFZOWPSJARSGN-XFJVYGCCSA-N 0 0 441.492 0.411 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@](C)(O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434813720 1071810568 /nfs/dbraw/zinc/81/05/68/1071810568.db2.gz LCINJLTXPPJQLH-ACJLOTCBSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@](C)(O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434813722 1071810716 /nfs/dbraw/zinc/81/07/16/1071810716.db2.gz LCINJLTXPPJQLH-FZKQIMNGSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@](C)(O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434813724 1071810474 /nfs/dbraw/zinc/81/04/74/1071810474.db2.gz LCINJLTXPPJQLH-SCLBCKFNSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@](C)(O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434813726 1071810797 /nfs/dbraw/zinc/81/07/97/1071810797.db2.gz LCINJLTXPPJQLH-UGSOOPFHSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](CCO)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434813920 1071810791 /nfs/dbraw/zinc/81/07/91/1071810791.db2.gz JPIJKOBJXLQBPD-DZGCQCFKSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](CCO)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434813922 1071810761 /nfs/dbraw/zinc/81/07/61/1071810761.db2.gz JPIJKOBJXLQBPD-HIFRSBDPSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](CCO)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434813924 1071810752 /nfs/dbraw/zinc/81/07/52/1071810752.db2.gz JPIJKOBJXLQBPD-UKRRQHHQSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](CCO)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434813926 1071810519 /nfs/dbraw/zinc/81/05/19/1071810519.db2.gz JPIJKOBJXLQBPD-ZFWWWQNUSA-N 0 0 441.506 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](CCO)C2)ccc1OC ZINC000434814773 1071811249 /nfs/dbraw/zinc/81/12/49/1071811249.db2.gz AABGILYRAISVQD-CYBMUJFWSA-N 0 0 429.495 -0.211 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](CCO)C2)ccc1OC ZINC000434814777 1071811208 /nfs/dbraw/zinc/81/12/08/1071811208.db2.gz AABGILYRAISVQD-ZDUSSCGKSA-N 0 0 429.495 -0.211 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434815101 1071811171 /nfs/dbraw/zinc/81/11/71/1071811171.db2.gz BAHYJVYHPYFDPH-AWEZNQCLSA-N 0 0 426.495 -0.477 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434815102 1071811311 /nfs/dbraw/zinc/81/13/11/1071811311.db2.gz BAHYJVYHPYFDPH-CQSZACIVSA-N 0 0 426.495 -0.477 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000434815260 1073337491 /nfs/dbraw/zinc/33/74/91/1073337491.db2.gz QRVHTGOXGKYFHP-AWEZNQCLSA-N 0 0 444.496 0.205 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000434815268 1073337360 /nfs/dbraw/zinc/33/73/60/1073337360.db2.gz QRVHTGOXGKYFHP-CQSZACIVSA-N 0 0 444.496 0.205 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3C)CC1 ZINC000434815498 1071812015 /nfs/dbraw/zinc/81/20/15/1071812015.db2.gz RMUWVLUKTOZDDY-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)n2)c1C ZINC000434815950 1071811780 /nfs/dbraw/zinc/81/17/80/1071811780.db2.gz PWCSMNOWUCRUAC-CYBMUJFWSA-N 0 0 443.464 -0.063 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)n2)c1C ZINC000434815951 1071811980 /nfs/dbraw/zinc/81/19/80/1071811980.db2.gz PWCSMNOWUCRUAC-ZDUSSCGKSA-N 0 0 443.464 -0.063 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCn4nc(C)nc4C3)n2)c1C ZINC000434817814 1071811898 /nfs/dbraw/zinc/81/18/98/1071811898.db2.gz BAYIHOVJLPXILU-UHFFFAOYSA-N 0 0 425.453 0.228 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)n2)c1C ZINC000434818045 1071812090 /nfs/dbraw/zinc/81/20/90/1071812090.db2.gz BQIXGXKUZIAZPX-CYBMUJFWSA-N 0 0 427.465 0.165 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)n2)c1C ZINC000434818065 1071812033 /nfs/dbraw/zinc/81/20/33/1071812033.db2.gz BQIXGXKUZIAZPX-ZDUSSCGKSA-N 0 0 427.465 0.165 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@H]3C(=O)NC3CC3)n2)c1C ZINC000434820699 1071812522 /nfs/dbraw/zinc/81/25/22/1071812522.db2.gz GTIZZEDRHOMEOG-INIZCTEOSA-N 0 0 441.492 0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)NC3CC3)n2)c1C ZINC000434820700 1071811957 /nfs/dbraw/zinc/81/19/57/1071811957.db2.gz GTIZZEDRHOMEOG-MRXNPFEDSA-N 0 0 441.492 0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@H]3C(=O)N(C)C)n2)c1C ZINC000434820923 1071812074 /nfs/dbraw/zinc/81/20/74/1071812074.db2.gz HPXJKABWROYFAS-HNNXBMFYSA-N 0 0 429.481 0.365 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)N(C)C)n2)c1C ZINC000434820924 1071811932 /nfs/dbraw/zinc/81/19/32/1071811932.db2.gz HPXJKABWROYFAS-OAHLLOKOSA-N 0 0 429.481 0.365 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(C)(O)CC2)ccc1OC ZINC000434822125 1071812454 /nfs/dbraw/zinc/81/24/54/1071812454.db2.gz MCSDNICTYANOMF-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CCO1 ZINC000434823355 1073325592 /nfs/dbraw/zinc/32/55/92/1073325592.db2.gz SJSMQIMDNLKVPW-AWEZNQCLSA-N 0 0 446.464 0.076 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CCO1 ZINC000434823356 1073325099 /nfs/dbraw/zinc/32/50/99/1073325099.db2.gz SJSMQIMDNLKVPW-CQSZACIVSA-N 0 0 446.464 0.076 20 0 IBADRN CC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434824523 1071812417 /nfs/dbraw/zinc/81/24/17/1071812417.db2.gz BHUFLMXBDRCFKB-GXTWGEPZSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434824524 1071812401 /nfs/dbraw/zinc/81/24/01/1071812401.db2.gz BHUFLMXBDRCFKB-JSGCOSHPSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@H](CCO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434824525 1071812643 /nfs/dbraw/zinc/81/26/43/1071812643.db2.gz BHUFLMXBDRCFKB-OCCSQVGLSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@H](CCO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434824526 1071812425 /nfs/dbraw/zinc/81/24/25/1071812425.db2.gz BHUFLMXBDRCFKB-TZMCWYRMSA-N 0 0 429.495 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)[C@H](C)CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434825641 1071811156 /nfs/dbraw/zinc/81/11/56/1071811156.db2.gz JNYXPDWPBWTMPZ-BZPMIXESSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)[C@@H](C)CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434825642 1071811395 /nfs/dbraw/zinc/81/13/95/1071811395.db2.gz JNYXPDWPBWTMPZ-OUCADQQQSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)[C@@H](C)CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434825643 1071811281 /nfs/dbraw/zinc/81/12/81/1071811281.db2.gz JNYXPDWPBWTMPZ-SCRDCRAPSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)[C@H](C)CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434825644 1071811355 /nfs/dbraw/zinc/81/13/55/1071811355.db2.gz JNYXPDWPBWTMPZ-YRGRVCCFSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](C)[C@H](O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434825952 1071811142 /nfs/dbraw/zinc/81/11/42/1071811142.db2.gz DIEPXISMWVHWNI-FPMFFAJLSA-N 0 0 427.479 -0.460 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](C)[C@H](O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434825955 1071811197 /nfs/dbraw/zinc/81/11/97/1071811197.db2.gz DIEPXISMWVHWNI-IACUBPJLSA-N 0 0 427.479 -0.460 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)[C@@H](C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434825958 1071811383 /nfs/dbraw/zinc/81/13/83/1071811383.db2.gz DIEPXISMWVHWNI-UBHSHLNASA-N 0 0 427.479 -0.460 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)[C@@H](C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434825959 1071811338 /nfs/dbraw/zinc/81/13/38/1071811338.db2.gz DIEPXISMWVHWNI-YUTCNCBUSA-N 0 0 427.479 -0.460 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC[C@H](C)O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434827510 1071811819 /nfs/dbraw/zinc/81/18/19/1071811819.db2.gz RGJRPPYYEPETOP-GXTWGEPZSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC[C@H](C)O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434827511 1071812106 /nfs/dbraw/zinc/81/21/06/1071812106.db2.gz RGJRPPYYEPETOP-JSGCOSHPSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC[C@@H](C)O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434827512 1071812049 /nfs/dbraw/zinc/81/20/49/1071812049.db2.gz RGJRPPYYEPETOP-OCCSQVGLSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC[C@@H](C)O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434827513 1071812003 /nfs/dbraw/zinc/81/20/03/1071812003.db2.gz RGJRPPYYEPETOP-TZMCWYRMSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCc3ccnc(N(C)C)n3)n2)c1C ZINC000434827902 1071811090 /nfs/dbraw/zinc/81/10/90/1071811090.db2.gz LQDUTPXCBSRETR-UHFFFAOYSA-N 0 0 439.480 0.458 20 0 IBADRN CN(C)c1nc(N)nc(CN(CCO)c2c(N)n(Cc3ccccc3)c(=O)[nH]c2=O)n1 ZINC000434828214 1071811297 /nfs/dbraw/zinc/81/12/97/1071811297.db2.gz BPMLIILBFVYFFZ-UHFFFAOYSA-N 0 0 427.469 -0.589 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC(OCC(=O)N(C)C)C3)n2)c1C ZINC000434830240 1073339529 /nfs/dbraw/zinc/33/95/29/1073339529.db2.gz SBIBUSDRGZOGJY-UHFFFAOYSA-N 0 0 445.480 -0.399 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)C1 ZINC000434831527 1071813201 /nfs/dbraw/zinc/81/32/01/1071813201.db2.gz ODSMROYPPLWCNW-UHFFFAOYSA-N 0 0 442.494 -0.847 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H]([C@@H](C)O)C2)ccc1OC ZINC000434832751 1071813114 /nfs/dbraw/zinc/81/31/14/1071813114.db2.gz GPJLWPBFKRXDLS-CHWSQXEVSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H]([C@@H](C)O)C2)ccc1OC ZINC000434832752 1071813268 /nfs/dbraw/zinc/81/32/68/1071813268.db2.gz GPJLWPBFKRXDLS-OLZOCXBDSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H]([C@H](C)O)C2)ccc1OC ZINC000434832753 1071813099 /nfs/dbraw/zinc/81/30/99/1071813099.db2.gz GPJLWPBFKRXDLS-QWHCGFSZSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H]([C@H](C)O)C2)ccc1OC ZINC000434832754 1071813165 /nfs/dbraw/zinc/81/31/65/1071813165.db2.gz GPJLWPBFKRXDLS-STQMWFEESA-N 0 0 429.495 -0.212 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCC(=O)c3ccccc3)CC2)o1 ZINC000434833300 1071813239 /nfs/dbraw/zinc/81/32/39/1071813239.db2.gz VZCNCISPKICAQA-UHFFFAOYSA-N 0 0 434.474 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H]([C@@H](C)O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434834559 1071813663 /nfs/dbraw/zinc/81/36/63/1071813663.db2.gz RWZYOWRMKUCDFE-IPYPFGDCSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H]([C@H](C)O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434834560 1071813637 /nfs/dbraw/zinc/81/36/37/1071813637.db2.gz RWZYOWRMKUCDFE-KCQAQPDRSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H]([C@@H](C)O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434834561 1071813627 /nfs/dbraw/zinc/81/36/27/1071813627.db2.gz RWZYOWRMKUCDFE-VNHYZAJKSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H]([C@H](C)O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434834562 1071813654 /nfs/dbraw/zinc/81/36/54/1071813654.db2.gz RWZYOWRMKUCDFE-YDHLFZDLSA-N 0 0 441.506 -0.070 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)C[C@H]1O ZINC000434835585 1071813611 /nfs/dbraw/zinc/81/36/11/1071813611.db2.gz DNRDZCNMLCWICI-GXTWGEPZSA-N 0 0 429.495 -0.212 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)C[C@@H]1O ZINC000434835586 1071813613 /nfs/dbraw/zinc/81/36/13/1071813613.db2.gz DNRDZCNMLCWICI-JSGCOSHPSA-N 0 0 429.495 -0.212 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)C[C@@H]1O ZINC000434835587 1071813621 /nfs/dbraw/zinc/81/36/21/1071813621.db2.gz DNRDZCNMLCWICI-OCCSQVGLSA-N 0 0 429.495 -0.212 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)C[C@H]1O ZINC000434835588 1071813691 /nfs/dbraw/zinc/81/36/91/1071813691.db2.gz DNRDZCNMLCWICI-TZMCWYRMSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCN(Cc1nc(N)nc(N(C)C)n1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000434837267 1071813647 /nfs/dbraw/zinc/81/36/47/1071813647.db2.gz SDBHRFVWKHVKHD-UHFFFAOYSA-N 0 0 441.496 0.066 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@@H]3CCOC3)c2)C[C@H]1O ZINC000434838335 1071814989 /nfs/dbraw/zinc/81/49/89/1071814989.db2.gz YWAVITXPWBYPRE-BPLDGKMQSA-N 0 0 441.506 -0.070 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@H]3CCOC3)c2)C[C@@H]1O ZINC000434838336 1071814860 /nfs/dbraw/zinc/81/48/60/1071814860.db2.gz YWAVITXPWBYPRE-SNPRPXQTSA-N 0 0 441.506 -0.070 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@H]3CCOC3)c2)C[C@H]1O ZINC000434838337 1071814831 /nfs/dbraw/zinc/81/48/31/1071814831.db2.gz YWAVITXPWBYPRE-VHDGCEQUSA-N 0 0 441.506 -0.070 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@@H]3CCOC3)c2)C[C@@H]1O ZINC000434838338 1071814979 /nfs/dbraw/zinc/81/49/79/1071814979.db2.gz YWAVITXPWBYPRE-YUELXQCFSA-N 0 0 441.506 -0.070 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CCO1 ZINC000434846812 1073326859 /nfs/dbraw/zinc/32/68/59/1073326859.db2.gz SKIPUDKSVFAXJD-AWEZNQCLSA-N 0 0 432.437 -0.314 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CCO1 ZINC000434846813 1071817029 /nfs/dbraw/zinc/81/70/29/1071817029.db2.gz SKIPUDKSVFAXJD-CQSZACIVSA-N 0 0 432.437 -0.314 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCN3CCOCC3(C)C)n2)c1C ZINC000434847630 1071817099 /nfs/dbraw/zinc/81/70/99/1071817099.db2.gz XYWIFZWCLVGPAG-UHFFFAOYSA-N 0 0 445.524 0.512 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCCn2ccnc2C)ccc1OC ZINC000434847769 1071816925 /nfs/dbraw/zinc/81/69/25/1071816925.db2.gz DUNJLLIEWBFUQJ-UHFFFAOYSA-N 0 0 439.494 -0.120 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCN3CCOC(C)(C)C3)n2)c1C ZINC000434850302 1071817612 /nfs/dbraw/zinc/81/76/12/1071817612.db2.gz JKGZDUIEMJHLTR-UHFFFAOYSA-N 0 0 445.524 0.512 20 0 IBADRN CNS(=O)(=O)CC(=O)Nc1ccc(CN(C(=O)CS(=O)(=O)NC)C2CC2)cc1 ZINC000434850915 1071817592 /nfs/dbraw/zinc/81/75/92/1071817592.db2.gz MXCRQHCITQDHQN-UHFFFAOYSA-N 0 0 432.524 -0.786 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCNC(=O)C(C)(C)C)n2)c1C ZINC000434855594 1071816278 /nfs/dbraw/zinc/81/62/78/1071816278.db2.gz MMHJLOUBJHXFOF-UHFFFAOYSA-N 0 0 431.497 0.564 20 0 IBADRN O=C(NC[C@@H]1CCCC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000434862114 1071820807 /nfs/dbraw/zinc/82/08/07/1071820807.db2.gz QAFJIRUSSHRKKS-AWEZNQCLSA-N 0 0 435.506 -0.134 20 0 IBADRN O=C(NC[C@H]1CCCC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000434862115 1071820741 /nfs/dbraw/zinc/82/07/41/1071820741.db2.gz QAFJIRUSSHRKKS-CQSZACIVSA-N 0 0 435.506 -0.134 20 0 IBADRN COC[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)[C@H]1CCCO1 ZINC000434867574 1071821853 /nfs/dbraw/zinc/82/18/53/1071821853.db2.gz FPRQNXSIIKNKRV-LUKYLMHMSA-N 0 0 434.559 -0.138 20 0 IBADRN COC[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)[C@@H]1CCCO1 ZINC000434867575 1071821982 /nfs/dbraw/zinc/82/19/82/1071821982.db2.gz FPRQNXSIIKNKRV-QAETUUGQSA-N 0 0 434.559 -0.138 20 0 IBADRN COC[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@H]1CCCO1 ZINC000434867576 1071821888 /nfs/dbraw/zinc/82/18/88/1071821888.db2.gz FPRQNXSIIKNKRV-VVLHAWIVSA-N 0 0 434.559 -0.138 20 0 IBADRN COC[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@@H]1CCCO1 ZINC000434867577 1071821864 /nfs/dbraw/zinc/82/18/64/1071821864.db2.gz FPRQNXSIIKNKRV-YVSFHVDLSA-N 0 0 434.559 -0.138 20 0 IBADRN Cn1c(=O)[nH]cc(C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)c1=O ZINC000434871685 1071819541 /nfs/dbraw/zinc/81/95/41/1071819541.db2.gz XFLIGRCBUKJUGD-UHFFFAOYSA-N 0 0 436.475 -0.363 20 0 IBADRN CNS(=O)(=O)CC(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000434878073 1071820111 /nfs/dbraw/zinc/82/01/11/1071820111.db2.gz BWAFWKOBHLMUQO-UHFFFAOYSA-N 0 0 432.506 -0.144 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCO[C@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434881247 1071822715 /nfs/dbraw/zinc/82/27/15/1071822715.db2.gz UADFBXNFDPJEDV-FPMFFAJLSA-N 0 0 427.479 -0.006 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCO[C@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434881249 1071822489 /nfs/dbraw/zinc/82/24/89/1071822489.db2.gz UADFBXNFDPJEDV-IACUBPJLSA-N 0 0 427.479 -0.006 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCO[C@@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434881251 1071822645 /nfs/dbraw/zinc/82/26/45/1071822645.db2.gz UADFBXNFDPJEDV-KWCYVHTRSA-N 0 0 427.479 -0.006 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCO[C@@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434881252 1071822738 /nfs/dbraw/zinc/82/27/38/1071822738.db2.gz UADFBXNFDPJEDV-MRVWCRGKSA-N 0 0 427.479 -0.006 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCCNC(=O)C(C)C)n2)c1C ZINC000434882362 1071822547 /nfs/dbraw/zinc/82/25/47/1071822547.db2.gz CLGGDGNIAZTGBQ-UHFFFAOYSA-N 0 0 431.497 0.564 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(CNC(=O)c3ccccn3)CC1)c(=O)n2C ZINC000434905441 1071823117 /nfs/dbraw/zinc/82/31/17/1071823117.db2.gz RALYAANLZPSPLQ-UHFFFAOYSA-N 0 0 439.476 -0.503 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCOCC1)N(CCO)CCN1CCOCC1 ZINC000434906182 1071474741 /nfs/dbraw/zinc/47/47/41/1071474741.db2.gz VQYXQVHSRHIBSP-UHFFFAOYSA-N 0 0 427.523 -0.526 20 0 IBADRN C[C@]1(CCCC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)NC(=O)NC1=O ZINC000434906842 1071823324 /nfs/dbraw/zinc/82/33/24/1071823324.db2.gz OXNJWFIAUMNFPJ-GOSISDBHSA-N 0 0 437.478 -0.486 20 0 IBADRN C[C@@]1(CCCC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)NC(=O)NC1=O ZINC000434906843 1071823359 /nfs/dbraw/zinc/82/33/59/1071823359.db2.gz OXNJWFIAUMNFPJ-SFHVURJKSA-N 0 0 437.478 -0.486 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000434907973 1071823348 /nfs/dbraw/zinc/82/33/48/1071823348.db2.gz VJTGCFLYSIBSFQ-HNNXBMFYSA-N 0 0 426.539 -0.301 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000434907974 1071823283 /nfs/dbraw/zinc/82/32/83/1071823283.db2.gz VJTGCFLYSIBSFQ-OAHLLOKOSA-N 0 0 426.539 -0.301 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCn3ccnc3C2)ccc1OC ZINC000434911458 1071824380 /nfs/dbraw/zinc/82/43/80/1071824380.db2.gz CQSOLXJVBJJJMK-UHFFFAOYSA-N 0 0 437.478 -0.203 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCOCC1)N(CCO)CCN1CCOCC1 ZINC000434911583 1071474883 /nfs/dbraw/zinc/47/48/83/1071474883.db2.gz DAJNXLLULXBEJA-UHFFFAOYSA-N 0 0 433.552 -0.464 20 0 IBADRN CN1C(=O)Cc2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)ccc21 ZINC000434912633 1071824494 /nfs/dbraw/zinc/82/44/94/1071824494.db2.gz CWLNHORDFTWSQP-UHFFFAOYSA-N 0 0 447.473 -0.194 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@@H](CC(N)=O)C3)n2)c1C ZINC000434912835 1071824457 /nfs/dbraw/zinc/82/44/57/1071824457.db2.gz ICFJDKZJULHCNF-AWEZNQCLSA-N 0 0 429.481 0.399 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@H](CC(N)=O)C3)n2)c1C ZINC000434912838 1071824589 /nfs/dbraw/zinc/82/45/89/1071824589.db2.gz ICFJDKZJULHCNF-CQSZACIVSA-N 0 0 429.481 0.399 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](O)C(C)C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434914160 1071824415 /nfs/dbraw/zinc/82/44/15/1071824415.db2.gz IQXRRGDNGNTPAF-KBPBESRZSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](O)C(C)C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434914162 1071824441 /nfs/dbraw/zinc/82/44/41/1071824441.db2.gz IQXRRGDNGNTPAF-KGLIPLIRSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](O)C(C)C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434914164 1071824505 /nfs/dbraw/zinc/82/45/05/1071824505.db2.gz IQXRRGDNGNTPAF-UONOGXRCSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](O)C(C)C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434914166 1071824529 /nfs/dbraw/zinc/82/45/29/1071824529.db2.gz IQXRRGDNGNTPAF-ZIAGYGMSSA-N 0 0 429.495 -0.166 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)N(CCO)CCN1CCOCC1 ZINC000434914262 1071474782 /nfs/dbraw/zinc/47/47/82/1071474782.db2.gz MHTJZFLFNWSYRR-UHFFFAOYSA-N 0 0 428.507 -0.225 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3ccnc3C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434914880 1071825027 /nfs/dbraw/zinc/82/50/27/1071825027.db2.gz OKEVIUARSXUVFD-AWEZNQCLSA-N 0 0 449.489 -0.060 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3ccnc3C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434914881 1071825121 /nfs/dbraw/zinc/82/51/21/1071825121.db2.gz OKEVIUARSXUVFD-CQSZACIVSA-N 0 0 449.489 -0.060 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@](C)(O)C2CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434915357 1071824397 /nfs/dbraw/zinc/82/43/97/1071824397.db2.gz MBZOHZALLVHQHG-AUUYWEPGSA-N 0 0 441.506 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@](C)(O)C2CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434915359 1071825052 /nfs/dbraw/zinc/82/50/52/1071825052.db2.gz MBZOHZALLVHQHG-IFXJQAMLSA-N 0 0 441.506 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@](C)(O)C2CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434915362 1071825134 /nfs/dbraw/zinc/82/51/34/1071825134.db2.gz MBZOHZALLVHQHG-KUHUBIRLSA-N 0 0 441.506 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@](C)(O)C2CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434915364 1071825164 /nfs/dbraw/zinc/82/51/64/1071825164.db2.gz MBZOHZALLVHQHG-LIRRHRJNSA-N 0 0 441.506 -0.022 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)ccc1C(N)=O ZINC000434917183 1071825127 /nfs/dbraw/zinc/82/51/27/1071825127.db2.gz SLPNXFMBXDHVIC-UHFFFAOYSA-N 0 0 442.476 -0.046 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@](C)(O)C2CC2)ccc1OC ZINC000434918095 1071825141 /nfs/dbraw/zinc/82/51/41/1071825141.db2.gz YHHJKTAZFKANMK-GOSISDBHSA-N 0 0 429.495 -0.164 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@](C)(O)C2CC2)ccc1OC ZINC000434918096 1071825082 /nfs/dbraw/zinc/82/50/82/1071825082.db2.gz YHHJKTAZFKANMK-SFHVURJKSA-N 0 0 429.495 -0.164 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](CO)C2)ccc1OC ZINC000434920134 1071823232 /nfs/dbraw/zinc/82/32/32/1071823232.db2.gz DDRKGXYLZGYHAO-CYBMUJFWSA-N 0 0 429.495 -0.211 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](CO)C2)ccc1OC ZINC000434920135 1071823267 /nfs/dbraw/zinc/82/32/67/1071823267.db2.gz DDRKGXYLZGYHAO-ZDUSSCGKSA-N 0 0 429.495 -0.211 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](CO)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434920214 1071823247 /nfs/dbraw/zinc/82/32/47/1071823247.db2.gz PZFCGEDBJGOEOL-DZGCQCFKSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CO)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434920215 1071823273 /nfs/dbraw/zinc/82/32/73/1071823273.db2.gz PZFCGEDBJGOEOL-HIFRSBDPSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CO)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434920216 1071823854 /nfs/dbraw/zinc/82/38/54/1071823854.db2.gz PZFCGEDBJGOEOL-UKRRQHHQSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](CO)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434920217 1071823917 /nfs/dbraw/zinc/82/39/17/1071823917.db2.gz PZFCGEDBJGOEOL-ZFWWWQNUSA-N 0 0 441.506 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2O)ccc1OC ZINC000434921953 1071823922 /nfs/dbraw/zinc/82/39/22/1071823922.db2.gz CJNYUCDWBUDIFB-KBPBESRZSA-N 0 0 429.495 -0.022 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2O)ccc1OC ZINC000434921954 1071823878 /nfs/dbraw/zinc/82/38/78/1071823878.db2.gz CJNYUCDWBUDIFB-KGLIPLIRSA-N 0 0 429.495 -0.022 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2O)ccc1OC ZINC000434921955 1071823846 /nfs/dbraw/zinc/82/38/46/1071823846.db2.gz CJNYUCDWBUDIFB-UONOGXRCSA-N 0 0 429.495 -0.022 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2O)ccc1OC ZINC000434921956 1071823896 /nfs/dbraw/zinc/82/38/96/1071823896.db2.gz CJNYUCDWBUDIFB-ZIAGYGMSSA-N 0 0 429.495 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434921982 1071823867 /nfs/dbraw/zinc/82/38/67/1071823867.db2.gz CMXJWRQMHBMZQQ-AEGPPILISA-N 0 0 441.506 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434921983 1071823907 /nfs/dbraw/zinc/82/39/07/1071823907.db2.gz CMXJWRQMHBMZQQ-CFVMTHIKSA-N 0 0 441.506 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434921984 1071823883 /nfs/dbraw/zinc/82/38/83/1071823883.db2.gz CMXJWRQMHBMZQQ-NWANDNLSSA-N 0 0 441.506 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434921985 1071823872 /nfs/dbraw/zinc/82/38/72/1071823872.db2.gz CMXJWRQMHBMZQQ-QEJZJMRPSA-N 0 0 441.506 -0.022 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NC[C@H](C)CN3CCOCC3)n2)c1C ZINC000434922942 1071824536 /nfs/dbraw/zinc/82/45/36/1071824536.db2.gz BSUAQOVRFXCICZ-AWEZNQCLSA-N 0 0 445.524 0.370 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NC[C@@H](C)CN3CCOCC3)n2)c1C ZINC000434922943 1071823817 /nfs/dbraw/zinc/82/38/17/1071823817.db2.gz BSUAQOVRFXCICZ-CQSZACIVSA-N 0 0 445.524 0.370 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](OC)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434923777 1071824559 /nfs/dbraw/zinc/82/45/59/1071824559.db2.gz PYOFMOUBHSIZLK-KBPBESRZSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](OC)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434923778 1071824553 /nfs/dbraw/zinc/82/45/53/1071824553.db2.gz PYOFMOUBHSIZLK-KGLIPLIRSA-N 0 0 427.479 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2O)ccc1OC ZINC000434924840 1071824582 /nfs/dbraw/zinc/82/45/82/1071824582.db2.gz KEYKQSGSHQULMZ-GXTWGEPZSA-N 0 0 429.495 -0.164 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2O)ccc1OC ZINC000434924841 1071824600 /nfs/dbraw/zinc/82/46/00/1071824600.db2.gz KEYKQSGSHQULMZ-JSGCOSHPSA-N 0 0 429.495 -0.164 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2O)ccc1OC ZINC000434924842 1071824367 /nfs/dbraw/zinc/82/43/67/1071824367.db2.gz KEYKQSGSHQULMZ-OCCSQVGLSA-N 0 0 429.495 -0.164 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2O)ccc1OC ZINC000434924843 1071824521 /nfs/dbraw/zinc/82/45/21/1071824521.db2.gz KEYKQSGSHQULMZ-TZMCWYRMSA-N 0 0 429.495 -0.164 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C ZINC000434926469 1071824570 /nfs/dbraw/zinc/82/45/70/1071824570.db2.gz LKHRVNMUWSWYMM-UHFFFAOYSA-N 0 0 443.468 -0.568 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@]4(CNC(=O)C4)C3)n2)c1C ZINC000434928440 1071825551 /nfs/dbraw/zinc/82/55/51/1071825551.db2.gz STPZVSKJPUEVIM-NRFANRHFSA-N 0 0 441.492 0.414 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@@]4(CNC(=O)C4)C3)n2)c1C ZINC000434928443 1071825606 /nfs/dbraw/zinc/82/56/06/1071825606.db2.gz STPZVSKJPUEVIM-OAQYLSRUSA-N 0 0 441.492 0.414 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)n2)c1C ZINC000434928620 1071825641 /nfs/dbraw/zinc/82/56/41/1071825641.db2.gz UCQMQTJQHHUYAG-UHFFFAOYSA-N 0 0 445.524 0.200 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCO[C@H](COC)C2)ccc1OC ZINC000434930294 1071825619 /nfs/dbraw/zinc/82/56/19/1071825619.db2.gz GOLVDMSOFZBMPI-AWEZNQCLSA-N 0 0 445.494 -0.568 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCO[C@@H](COC)C2)ccc1OC ZINC000434930295 1071825533 /nfs/dbraw/zinc/82/55/33/1071825533.db2.gz GOLVDMSOFZBMPI-CQSZACIVSA-N 0 0 445.494 -0.568 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)C)C3)n2)c1C ZINC000434930724 1071825407 /nfs/dbraw/zinc/82/54/07/1071825407.db2.gz KCXGPXRXQGGVIJ-AWEZNQCLSA-N 0 0 429.481 0.411 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)C)C3)n2)c1C ZINC000434930725 1071825383 /nfs/dbraw/zinc/82/53/83/1071825383.db2.gz KCXGPXRXQGGVIJ-CQSZACIVSA-N 0 0 429.481 0.411 20 0 IBADRN CC[C@@H]1CCc2nc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)sc2C1 ZINC000434931492 1071825655 /nfs/dbraw/zinc/82/56/55/1071825655.db2.gz NWOFQRLKFWGSEX-GFCCVEGCSA-N 0 0 432.510 -0.046 20 0 IBADRN CC[C@H]1CCc2nc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)sc2C1 ZINC000434931493 1071825680 /nfs/dbraw/zinc/82/56/80/1071825680.db2.gz NWOFQRLKFWGSEX-LBPRGKRZSA-N 0 0 432.510 -0.046 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1C ZINC000434933812 1071826235 /nfs/dbraw/zinc/82/62/35/1071826235.db2.gz XVJLSFJSUVODKD-UHFFFAOYSA-N 0 0 448.524 -0.018 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CC1 ZINC000434933853 1073342489 /nfs/dbraw/zinc/34/24/89/1073342489.db2.gz YFGFQMIUSIEQPH-UHFFFAOYSA-N 0 0 445.524 0.466 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(OC(C)C)c2)CC1 ZINC000434934053 1071826223 /nfs/dbraw/zinc/82/62/23/1071826223.db2.gz ZDFRCUXWBKKFKQ-UHFFFAOYSA-N 0 0 449.508 -0.582 20 0 IBADRN CCN(CCCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434934238 1071825360 /nfs/dbraw/zinc/82/53/60/1071825360.db2.gz ZVAHTWCHFYSKTP-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN CCN(CCCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434934239 1071826306 /nfs/dbraw/zinc/82/63/06/1071826306.db2.gz ZVAHTWCHFYSKTP-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN CC1(c2cc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)no2)CCCC1 ZINC000434936541 1071826182 /nfs/dbraw/zinc/82/61/82/1071826182.db2.gz FVZATJNKFSGQAW-UHFFFAOYSA-N 0 0 445.476 0.265 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H]3CC[C@@H](C2)O3)ccc1OC ZINC000434936983 1071826363 /nfs/dbraw/zinc/82/63/63/1071826363.db2.gz IEHPYJFPAOWTOX-OKILXGFUSA-N 0 0 427.479 -0.052 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2nc3c(s2)CCCC3)CC1 ZINC000434937813 1071826444 /nfs/dbraw/zinc/82/64/44/1071826444.db2.gz KBBRJLXRAJBPOZ-UHFFFAOYSA-N 0 0 433.490 -0.220 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](OC)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434938747 1071826293 /nfs/dbraw/zinc/82/62/93/1071826293.db2.gz PYOFMOUBHSIZLK-UONOGXRCSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](OC)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434938748 1071826470 /nfs/dbraw/zinc/82/64/70/1071826470.db2.gz PYOFMOUBHSIZLK-ZIAGYGMSSA-N 0 0 427.479 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(C)C2=O)ccc1OC ZINC000434939423 1071825112 /nfs/dbraw/zinc/82/51/12/1071825112.db2.gz RLZVLUOFRJDMGU-CYBMUJFWSA-N 0 0 442.494 -0.705 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCN(C)C2=O)ccc1OC ZINC000434939424 1071825131 /nfs/dbraw/zinc/82/51/31/1071825131.db2.gz RLZVLUOFRJDMGU-ZDUSSCGKSA-N 0 0 442.494 -0.705 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CC1 ZINC000434940965 1073332925 /nfs/dbraw/zinc/33/29/25/1073332925.db2.gz ZUSVAFGUUOSMRV-UHFFFAOYSA-N 0 0 431.497 0.076 20 0 IBADRN CCc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000434941401 1071825146 /nfs/dbraw/zinc/82/51/46/1071825146.db2.gz BUEVTDWYNGERMN-UHFFFAOYSA-N 0 0 441.491 -0.131 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)sc1C ZINC000434943912 1071825059 /nfs/dbraw/zinc/82/50/59/1071825059.db2.gz RTMNIRKJBXGJPI-UHFFFAOYSA-N 0 0 441.491 -0.077 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCCNC2=O)ccc1OC ZINC000434947866 1071827112 /nfs/dbraw/zinc/82/71/12/1071827112.db2.gz SRCOCLVLXDMWFY-CYBMUJFWSA-N 0 0 442.494 -0.657 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCCNC2=O)ccc1OC ZINC000434947867 1071826676 /nfs/dbraw/zinc/82/66/76/1071826676.db2.gz SRCOCLVLXDMWFY-ZDUSSCGKSA-N 0 0 442.494 -0.657 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCCn2cccn2)ccc1OC ZINC000434949753 1071827077 /nfs/dbraw/zinc/82/70/77/1071827077.db2.gz DFBIAPNYJRIASK-UHFFFAOYSA-N 0 0 425.467 -0.429 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H](C)CN3CCCC3=O)n2)c1C ZINC000434952381 1071826702 /nfs/dbraw/zinc/82/67/02/1071826702.db2.gz UDFOVJDJZRKSTQ-CYBMUJFWSA-N 0 0 429.481 0.412 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H](C)CN3CCCC3=O)n2)c1C ZINC000434952382 1071827028 /nfs/dbraw/zinc/82/70/28/1071827028.db2.gz UDFOVJDJZRKSTQ-ZDUSSCGKSA-N 0 0 429.481 0.412 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCn2cccn2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434952957 1071826737 /nfs/dbraw/zinc/82/67/37/1071826737.db2.gz XGPSJLWVWVJHCM-AWEZNQCLSA-N 0 0 437.478 -0.286 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCn2cccn2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434952958 1071826896 /nfs/dbraw/zinc/82/68/96/1071826896.db2.gz XGPSJLWVWVJHCM-CQSZACIVSA-N 0 0 437.478 -0.286 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C3CC3)CC2)ccc1OC ZINC000434953614 1071827122 /nfs/dbraw/zinc/82/71/22/1071827122.db2.gz CHYZVBPTXHZOQI-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(C)c1 ZINC000434955518 1071827455 /nfs/dbraw/zinc/82/74/55/1071827455.db2.gz QEMBDNCWBZYPDG-UHFFFAOYSA-N 0 0 445.476 -0.055 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000434959779 1071827498 /nfs/dbraw/zinc/82/74/98/1071827498.db2.gz NYSGZWDQNXHLJK-UHFFFAOYSA-N 0 0 447.492 -0.345 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)n2)c1C ZINC000434960329 1071827724 /nfs/dbraw/zinc/82/77/24/1071827724.db2.gz RJOSXXRDFWMLBT-AWEZNQCLSA-N 0 0 427.465 0.119 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)n2)c1C ZINC000434960330 1071827588 /nfs/dbraw/zinc/82/75/88/1071827588.db2.gz RJOSXXRDFWMLBT-CQSZACIVSA-N 0 0 427.465 0.119 20 0 IBADRN CN1C(=O)Cc2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc21 ZINC000434961886 1071826147 /nfs/dbraw/zinc/82/61/47/1071826147.db2.gz CTJKWAVUCARXCB-UHFFFAOYSA-N 0 0 429.477 -0.853 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N(C)CCNC(=O)C(C)C)n2)c1C ZINC000434963582 1071827150 /nfs/dbraw/zinc/82/71/50/1071827150.db2.gz RTHRBVOOMDOZQM-UHFFFAOYSA-N 0 0 431.497 0.516 20 0 IBADRN Cn1nc([C@@H]2CCCO2)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000434964058 1071826205 /nfs/dbraw/zinc/82/62/05/1071826205.db2.gz OOGFITWLUYGNJT-INIZCTEOSA-N 0 0 434.497 -0.787 20 0 IBADRN Cn1nc([C@H]2CCCO2)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000434964059 1071826418 /nfs/dbraw/zinc/82/64/18/1071826418.db2.gz OOGFITWLUYGNJT-MRXNPFEDSA-N 0 0 434.497 -0.787 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C(N)=O)c(OC(C)C)c2)C1=O ZINC000434964093 1071826277 /nfs/dbraw/zinc/82/62/77/1071826277.db2.gz OWGWVZZZWPBIQJ-AWEZNQCLSA-N 0 0 434.449 -0.209 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(N)=O)c(OC(C)C)c2)C1=O ZINC000434964094 1071827055 /nfs/dbraw/zinc/82/70/55/1071827055.db2.gz OWGWVZZZWPBIQJ-CQSZACIVSA-N 0 0 434.449 -0.209 20 0 IBADRN CC1(c2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)no2)CCCC1 ZINC000434966620 1071827817 /nfs/dbraw/zinc/82/78/17/1071827817.db2.gz YMHOQWIJCGDGLD-UHFFFAOYSA-N 0 0 433.509 0.448 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@](C)(O)C2)ccc1OC ZINC000434968636 1071827766 /nfs/dbraw/zinc/82/77/66/1071827766.db2.gz URTBYHDMCJQANU-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@](C)(O)C2)ccc1OC ZINC000434968637 1071827483 /nfs/dbraw/zinc/82/74/83/1071827483.db2.gz URTBYHDMCJQANU-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000434968901 1071827757 /nfs/dbraw/zinc/82/77/57/1071827757.db2.gz ODGBWQWWNNLFJT-UHFFFAOYSA-N 0 0 436.513 -0.128 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CC1=O ZINC000434968968 1073333125 /nfs/dbraw/zinc/33/31/25/1073333125.db2.gz WDLSGNJGMDEKPM-UHFFFAOYSA-N 0 0 445.480 -0.397 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434970084 1071828464 /nfs/dbraw/zinc/82/84/64/1071828464.db2.gz WWIHPSMKBUTGNA-AAEUAGOBSA-N 0 0 443.478 -0.231 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434970085 1071828444 /nfs/dbraw/zinc/82/84/44/1071828444.db2.gz WWIHPSMKBUTGNA-DGCLKSJQSA-N 0 0 443.478 -0.231 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000434970086 1071828438 /nfs/dbraw/zinc/82/84/38/1071828438.db2.gz WWIHPSMKBUTGNA-WCQYABFASA-N 0 0 443.478 -0.231 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000434970087 1071828458 /nfs/dbraw/zinc/82/84/58/1071828458.db2.gz WWIHPSMKBUTGNA-YPMHNXCESA-N 0 0 443.478 -0.231 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000434970185 1071828337 /nfs/dbraw/zinc/82/83/37/1071828337.db2.gz AJZFRIDQIFLQEM-UHFFFAOYSA-N 0 0 447.535 -0.325 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](C)[C@H](O)C2)ccc1OC ZINC000434970618 1071828393 /nfs/dbraw/zinc/82/83/93/1071828393.db2.gz BUQHREWXAYWVNC-GXTWGEPZSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](C)[C@@H](O)C2)ccc1OC ZINC000434970619 1071828452 /nfs/dbraw/zinc/82/84/52/1071828452.db2.gz BUQHREWXAYWVNC-JSGCOSHPSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](C)[C@@H](O)C2)ccc1OC ZINC000434970620 1071828402 /nfs/dbraw/zinc/82/84/02/1071828402.db2.gz BUQHREWXAYWVNC-OCCSQVGLSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](C)[C@H](O)C2)ccc1OC ZINC000434970621 1071828371 /nfs/dbraw/zinc/82/83/71/1071828371.db2.gz BUQHREWXAYWVNC-TZMCWYRMSA-N 0 0 429.495 -0.212 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000434970686 1073317218 /nfs/dbraw/zinc/31/72/18/1073317218.db2.gz CNJFHDYDGZFSTR-KBPBESRZSA-N 0 0 429.481 0.316 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000434970687 1073316742 /nfs/dbraw/zinc/31/67/42/1073316742.db2.gz CNJFHDYDGZFSTR-KGLIPLIRSA-N 0 0 429.481 0.316 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000434970688 1073317258 /nfs/dbraw/zinc/31/72/58/1073317258.db2.gz CNJFHDYDGZFSTR-UONOGXRCSA-N 0 0 429.481 0.316 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000434970689 1073316822 /nfs/dbraw/zinc/31/68/22/1073316822.db2.gz CNJFHDYDGZFSTR-ZIAGYGMSSA-N 0 0 429.481 0.316 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2cccc(-c3nnnn3C)c2)CC1 ZINC000434970732 1071828207 /nfs/dbraw/zinc/82/82/07/1071828207.db2.gz CWOTXMNHMGFXNR-UHFFFAOYSA-N 0 0 436.494 -0.043 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000434970918 1071828409 /nfs/dbraw/zinc/82/84/09/1071828409.db2.gz FSANJPRSDICJCC-UHFFFAOYSA-N 0 0 438.506 -0.043 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@H](O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434971559 1071828313 /nfs/dbraw/zinc/82/83/13/1071828313.db2.gz KFVCTWWYZSRJHV-AEGPPILISA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@@H](O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434971561 1071828288 /nfs/dbraw/zinc/82/82/88/1071828288.db2.gz KFVCTWWYZSRJHV-CFVMTHIKSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@H](O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000434971563 1071828420 /nfs/dbraw/zinc/82/84/20/1071828420.db2.gz KFVCTWWYZSRJHV-NWANDNLSSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@@H](O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000434971565 1071828430 /nfs/dbraw/zinc/82/84/30/1071828430.db2.gz KFVCTWWYZSRJHV-QEJZJMRPSA-N 0 0 441.506 -0.070 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(C(N)=O)c2)CC1 ZINC000434972507 1071828221 /nfs/dbraw/zinc/82/82/21/1071828221.db2.gz HNXZIYJPKZEKPY-UHFFFAOYSA-N 0 0 427.479 -0.126 20 0 IBADRN CCn1c(N)c(C(=O)CN(C)Cc2nnnn2-c2cc(OC)cc(OC)c2)c(=O)[nH]c1=O ZINC000434976215 1071828268 /nfs/dbraw/zinc/82/82/68/1071828268.db2.gz JXSPQSAJNNINTL-UHFFFAOYSA-N 0 0 444.452 -0.141 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C[C@@H](O)CN1CCOCC1 ZINC000434976606 1071829073 /nfs/dbraw/zinc/82/90/73/1071829073.db2.gz DBCTZCODLPKQJT-GOSISDBHSA-N 0 0 440.566 -0.856 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C[C@H](O)CN1CCOCC1 ZINC000434976607 1071829133 /nfs/dbraw/zinc/82/91/33/1071829133.db2.gz DBCTZCODLPKQJT-SFHVURJKSA-N 0 0 440.566 -0.856 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000434976681 1071828765 /nfs/dbraw/zinc/82/87/65/1071828765.db2.gz MWJLRCONGOMCEA-UHFFFAOYSA-N 0 0 433.508 -0.586 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000434976907 1071829101 /nfs/dbraw/zinc/82/91/01/1071829101.db2.gz OAEAOJVTQRHRMH-UHFFFAOYSA-N 0 0 437.474 -0.008 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)N2CCC(C(=O)OCC)CC2)CC1 ZINC000434977223 1071828911 /nfs/dbraw/zinc/82/89/11/1071828911.db2.gz PDSMDJKABWWSQJ-UHFFFAOYSA-N 0 0 433.527 -0.102 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)CCNS(=O)(=O)c2cnn(C)c2)c1 ZINC000434977673 1071828964 /nfs/dbraw/zinc/82/89/64/1071828964.db2.gz JJHBVATXBCJAOL-UHFFFAOYSA-N 0 0 436.498 -0.177 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000434978351 1071829113 /nfs/dbraw/zinc/82/91/13/1071829113.db2.gz VEXYPHNGULSSDM-UHFFFAOYSA-N 0 0 447.540 -0.301 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000434978964 1071828982 /nfs/dbraw/zinc/82/89/82/1071828982.db2.gz YPVKHVDEGMZBBY-UHFFFAOYSA-N 0 0 433.508 -0.586 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000434978993 1071829012 /nfs/dbraw/zinc/82/90/12/1071829012.db2.gz YWKSQNKZLYUOKV-UHFFFAOYSA-N 0 0 447.535 -0.325 20 0 IBADRN CN(CC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1)C[C@H](O)CN1CCOCC1 ZINC000434980260 1071828927 /nfs/dbraw/zinc/82/89/27/1071828927.db2.gz CFRPFTLMCVWMAD-NRFANRHFSA-N 0 0 432.565 -0.109 20 0 IBADRN CN(CC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1)C[C@@H](O)CN1CCOCC1 ZINC000434980261 1071828793 /nfs/dbraw/zinc/82/87/93/1071828793.db2.gz CFRPFTLMCVWMAD-OAQYLSRUSA-N 0 0 432.565 -0.109 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N(C)C[C@@H](O)CN1CCOCC1 ZINC000434980542 1071827798 /nfs/dbraw/zinc/82/77/98/1071827798.db2.gz ADYGNFFPNFXPCN-DOTOQJQBSA-N 0 0 428.555 -0.111 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N(C)C[C@@H](O)CN1CCOCC1 ZINC000434980543 1071827773 /nfs/dbraw/zinc/82/77/73/1071827773.db2.gz ADYGNFFPNFXPCN-NVXWUHKLSA-N 0 0 428.555 -0.111 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N(C)C[C@H](O)CN1CCOCC1 ZINC000434980544 1071827516 /nfs/dbraw/zinc/82/75/16/1071827516.db2.gz ADYGNFFPNFXPCN-RDJZCZTQSA-N 0 0 428.555 -0.111 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N(C)C[C@H](O)CN1CCOCC1 ZINC000434980545 1071827844 /nfs/dbraw/zinc/82/78/44/1071827844.db2.gz ADYGNFFPNFXPCN-WBVHZDCISA-N 0 0 428.555 -0.111 20 0 IBADRN COc1ccc(NC(=O)CN(C)C[C@H](O)CN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000434982063 1071827552 /nfs/dbraw/zinc/82/75/52/1071827552.db2.gz JYRNGQVLLDTKSS-INIZCTEOSA-N 0 0 444.554 -0.491 20 0 IBADRN COc1ccc(NC(=O)CN(C)C[C@@H](O)CN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000434982164 1071827635 /nfs/dbraw/zinc/82/76/35/1071827635.db2.gz JYRNGQVLLDTKSS-MRXNPFEDSA-N 0 0 444.554 -0.491 20 0 IBADRN CN(CC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1)C[C@H](O)CN1CCOCC1 ZINC000434985662 1071829851 /nfs/dbraw/zinc/82/98/51/1071829851.db2.gz JSSDWBGIENUZLO-MOPGFXCFSA-N 0 0 431.599 -0.040 20 0 IBADRN CN(CC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1)C[C@H](O)CN1CCOCC1 ZINC000434985663 1071829769 /nfs/dbraw/zinc/82/97/69/1071829769.db2.gz JSSDWBGIENUZLO-OALUTQOASA-N 0 0 431.599 -0.040 20 0 IBADRN CN(CC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1)C[C@@H](O)CN1CCOCC1 ZINC000434985664 1071829796 /nfs/dbraw/zinc/82/97/96/1071829796.db2.gz JSSDWBGIENUZLO-RBUKOAKNSA-N 0 0 431.599 -0.040 20 0 IBADRN CN(CC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1)C[C@@H](O)CN1CCOCC1 ZINC000434985665 1071829544 /nfs/dbraw/zinc/82/95/44/1071829544.db2.gz JSSDWBGIENUZLO-RTBURBONSA-N 0 0 431.599 -0.040 20 0 IBADRN CN(CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1)C[C@@H](O)CN1CCOCC1 ZINC000434987602 1071830459 /nfs/dbraw/zinc/83/04/59/1071830459.db2.gz VITFOQDHEWHVRE-DLBZAZTESA-N 0 0 445.607 -0.111 20 0 IBADRN CN(CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1)C[C@@H](O)CN1CCOCC1 ZINC000434987603 1071830587 /nfs/dbraw/zinc/83/05/87/1071830587.db2.gz VITFOQDHEWHVRE-IAGOWNOFSA-N 0 0 445.607 -0.111 20 0 IBADRN CN(CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1)C[C@H](O)CN1CCOCC1 ZINC000434987604 1071830438 /nfs/dbraw/zinc/83/04/38/1071830438.db2.gz VITFOQDHEWHVRE-IRXDYDNUSA-N 0 0 445.607 -0.111 20 0 IBADRN CN(CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1)C[C@H](O)CN1CCOCC1 ZINC000434987605 1071830505 /nfs/dbraw/zinc/83/05/05/1071830505.db2.gz VITFOQDHEWHVRE-SJORKVTESA-N 0 0 445.607 -0.111 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)n2)c1C ZINC000434989221 1071830411 /nfs/dbraw/zinc/83/04/11/1071830411.db2.gz AZGARBFTTWNXFW-UHFFFAOYSA-N 0 0 445.480 -0.397 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](C(N)=O)C(C)(C)C)ccc1OC ZINC000434994120 1071830483 /nfs/dbraw/zinc/83/04/83/1071830483.db2.gz HKDAMAWVUOLEHV-AWEZNQCLSA-N 0 0 444.510 -0.425 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C)ccc1OC ZINC000434994121 1071830494 /nfs/dbraw/zinc/83/04/94/1071830494.db2.gz HKDAMAWVUOLEHV-CQSZACIVSA-N 0 0 444.510 -0.425 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000434997803 1071831119 /nfs/dbraw/zinc/83/11/19/1071831119.db2.gz XMFZQSLKKRIWIW-UHFFFAOYSA-N 0 0 440.504 -0.001 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)C[C@@H](O)CN1CCOCC1 ZINC000434998620 1071831150 /nfs/dbraw/zinc/83/11/50/1071831150.db2.gz BWWCTTOLWCWOJP-GDBMZVCRSA-N 0 0 426.539 -0.764 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)C[C@@H](O)CN1CCOCC1 ZINC000434998625 1071831363 /nfs/dbraw/zinc/83/13/63/1071831363.db2.gz BWWCTTOLWCWOJP-GOEBONIOSA-N 0 0 426.539 -0.764 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)C[C@H](O)CN1CCOCC1 ZINC000434998628 1071831226 /nfs/dbraw/zinc/83/12/26/1071831226.db2.gz BWWCTTOLWCWOJP-HOCLYGCPSA-N 0 0 426.539 -0.764 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)C[C@H](O)CN1CCOCC1 ZINC000434998630 1071831277 /nfs/dbraw/zinc/83/12/77/1071831277.db2.gz BWWCTTOLWCWOJP-ZBFHGGJFSA-N 0 0 426.539 -0.764 20 0 IBADRN COCCOc1cccc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000434999695 1071828897 /nfs/dbraw/zinc/82/88/97/1071828897.db2.gz MVOWRONZABVIDZ-UHFFFAOYSA-N 0 0 427.523 -0.025 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C[C@H](O)CN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000435000037 1071831331 /nfs/dbraw/zinc/83/13/31/1071831331.db2.gz LMWPIQSFRDRRHF-KRWDZBQOSA-N 0 0 428.555 -0.191 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C[C@@H](O)CN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000435000038 1071831199 /nfs/dbraw/zinc/83/11/99/1071831199.db2.gz LMWPIQSFRDRRHF-QGZVFWFLSA-N 0 0 428.555 -0.191 20 0 IBADRN O=C(CCCNC(=O)c1cccnc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000435000113 1071831258 /nfs/dbraw/zinc/83/12/58/1071831258.db2.gz PBUORRUARTZVNM-UHFFFAOYSA-N 0 0 425.511 -0.687 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)C[C@H](O)CN1CCOCC1 ZINC000435000918 1071829699 /nfs/dbraw/zinc/82/96/99/1071829699.db2.gz UWDHUNZIHCTGSY-FQEVSTJZSA-N 0 0 434.537 -0.600 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)C[C@@H](O)CN1CCOCC1 ZINC000435000921 1071829600 /nfs/dbraw/zinc/82/96/00/1071829600.db2.gz UWDHUNZIHCTGSY-HXUWFJFHSA-N 0 0 434.537 -0.600 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000435001435 1071829177 /nfs/dbraw/zinc/82/91/77/1071829177.db2.gz XHPNSQUDUOYKSF-UHFFFAOYSA-N 0 0 440.522 -0.925 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCc3cnc(N(C)C)n3C)n2)c1C ZINC000435001623 1071829924 /nfs/dbraw/zinc/82/99/24/1071829924.db2.gz YHQRBOPYXGSHAK-UHFFFAOYSA-N 0 0 441.496 0.401 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H]2CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435003222 1071829812 /nfs/dbraw/zinc/82/98/12/1071829812.db2.gz CGLSUFXXWPOSDO-KBPBESRZSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H]2CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435003223 1071829568 /nfs/dbraw/zinc/82/95/68/1071829568.db2.gz CGLSUFXXWPOSDO-KGLIPLIRSA-N 0 0 427.479 -0.316 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C)C(=O)[C@H]2C)ccc1OC ZINC000435007474 1071831966 /nfs/dbraw/zinc/83/19/66/1071831966.db2.gz HSSAENGBBAZXHW-GFCCVEGCSA-N 0 0 442.494 -0.753 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C)C(=O)[C@@H]2C)ccc1OC ZINC000435007476 1071831895 /nfs/dbraw/zinc/83/18/95/1071831895.db2.gz HSSAENGBBAZXHW-LBPRGKRZSA-N 0 0 442.494 -0.753 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000435007597 1071831904 /nfs/dbraw/zinc/83/19/04/1071831904.db2.gz ICQIUDXULDUHIO-UHFFFAOYSA-N 0 0 430.461 -0.826 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435009385 1071831822 /nfs/dbraw/zinc/83/18/22/1071831822.db2.gz SRAYQHAFFAWMKC-AAEUAGOBSA-N 0 0 440.478 -0.952 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435009386 1071831888 /nfs/dbraw/zinc/83/18/88/1071831888.db2.gz SRAYQHAFFAWMKC-DGCLKSJQSA-N 0 0 440.478 -0.952 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435009387 1071831781 /nfs/dbraw/zinc/83/17/81/1071831781.db2.gz SRAYQHAFFAWMKC-WCQYABFASA-N 0 0 440.478 -0.952 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435009388 1071831939 /nfs/dbraw/zinc/83/19/39/1071831939.db2.gz SRAYQHAFFAWMKC-YPMHNXCESA-N 0 0 440.478 -0.952 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@H]43)n2)c1C ZINC000435009496 1071831875 /nfs/dbraw/zinc/83/18/75/1071831875.db2.gz RZQVMBXQSVMPKX-GDBMZVCRSA-N 0 0 441.492 0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC(=O)N[C@H]4CCCC[C@H]43)n2)c1C ZINC000435009497 1071831759 /nfs/dbraw/zinc/83/17/59/1071831759.db2.gz RZQVMBXQSVMPKX-GOEBONIOSA-N 0 0 441.492 0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC(=O)N[C@H]4CCCC[C@@H]43)n2)c1C ZINC000435009498 1071831805 /nfs/dbraw/zinc/83/18/05/1071831805.db2.gz RZQVMBXQSVMPKX-HOCLYGCPSA-N 0 0 441.492 0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@@H]43)n2)c1C ZINC000435009499 1073339352 /nfs/dbraw/zinc/33/93/52/1073339352.db2.gz RZQVMBXQSVMPKX-ZBFHGGJFSA-N 0 0 441.492 0.555 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)n1 ZINC000435009631 1071831833 /nfs/dbraw/zinc/83/18/33/1071831833.db2.gz SSXXLQGTDYAZNA-UHFFFAOYSA-N 0 0 437.478 0.556 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1)NC1CCCC1 ZINC000435010637 1071832677 /nfs/dbraw/zinc/83/26/77/1071832677.db2.gz ZORYKCBDEBPTES-UHFFFAOYSA-N 0 0 444.492 -0.625 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC(C)(C)O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435011031 1071831859 /nfs/dbraw/zinc/83/18/59/1071831859.db2.gz FRLYTNLSBQNQJK-CYBMUJFWSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC(C)(C)O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435011032 1071832640 /nfs/dbraw/zinc/83/26/40/1071832640.db2.gz FRLYTNLSBQNQJK-ZDUSSCGKSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(C)(OC)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435013516 1071832626 /nfs/dbraw/zinc/83/26/26/1071832626.db2.gz PPIHKAKZUMGRPD-CYBMUJFWSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(C)(OC)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435013517 1071832692 /nfs/dbraw/zinc/83/26/92/1071832692.db2.gz PPIHKAKZUMGRPD-ZDUSSCGKSA-N 0 0 427.479 -0.052 20 0 IBADRN CCCN(CCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000435013749 1071832499 /nfs/dbraw/zinc/83/24/99/1071832499.db2.gz RJPNUNXGLMIZII-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN CCCN(CCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000435013750 1071832469 /nfs/dbraw/zinc/83/24/69/1071832469.db2.gz RJPNUNXGLMIZII-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N(C)CCN3CCOCC3)n2)c1C ZINC000435014736 1071832532 /nfs/dbraw/zinc/83/25/32/1071832532.db2.gz CDFPVGXMTQXABY-UHFFFAOYSA-N 0 0 431.497 0.076 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000435015814 1071832660 /nfs/dbraw/zinc/83/26/60/1071832660.db2.gz IVLKAVFJUXVJMQ-AWEZNQCLSA-N 0 0 445.494 -0.832 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000435015817 1071832482 /nfs/dbraw/zinc/83/24/82/1071832482.db2.gz IVLKAVFJUXVJMQ-CQSZACIVSA-N 0 0 445.494 -0.832 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3C(C)C)n2)c1C ZINC000435017938 1071833205 /nfs/dbraw/zinc/83/32/05/1071833205.db2.gz AGJAUHZRABYZNU-HNNXBMFYSA-N 0 0 429.481 0.268 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCNC(=O)[C@H]3C(C)C)n2)c1C ZINC000435017940 1071833170 /nfs/dbraw/zinc/83/31/70/1071833170.db2.gz AGJAUHZRABYZNU-OAHLLOKOSA-N 0 0 429.481 0.268 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H](C(N)=O)C(C)C)ccc1OC ZINC000435021597 1071833069 /nfs/dbraw/zinc/83/30/69/1071833069.db2.gz QRDMGJQBJNVSFU-AWEZNQCLSA-N 0 0 430.483 -0.816 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](C(N)=O)C(C)C)ccc1OC ZINC000435021600 1071833321 /nfs/dbraw/zinc/83/33/21/1071833321.db2.gz QRDMGJQBJNVSFU-CQSZACIVSA-N 0 0 430.483 -0.816 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)C[C@@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435021673 1071832999 /nfs/dbraw/zinc/83/29/99/1071832999.db2.gz RGEHBIOQDBIPIX-DZGCQCFKSA-N 0 0 440.522 -0.137 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)C[C@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435021674 1071833140 /nfs/dbraw/zinc/83/31/40/1071833140.db2.gz RGEHBIOQDBIPIX-HIFRSBDPSA-N 0 0 440.522 -0.137 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)C[C@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435021675 1071833234 /nfs/dbraw/zinc/83/32/34/1071833234.db2.gz RGEHBIOQDBIPIX-UKRRQHHQSA-N 0 0 440.522 -0.137 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)C[C@@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435021676 1071833042 /nfs/dbraw/zinc/83/30/42/1071833042.db2.gz RGEHBIOQDBIPIX-ZFWWWQNUSA-N 0 0 440.522 -0.137 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C)C[C@H]2C)ccc1OC ZINC000435022122 1071833263 /nfs/dbraw/zinc/83/32/63/1071833263.db2.gz UTXQWJCDVJTMQJ-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C)C[C@@H]2C)ccc1OC ZINC000435022123 1071832970 /nfs/dbraw/zinc/83/29/70/1071832970.db2.gz UTXQWJCDVJTMQJ-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN CCOCCOC1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000435026545 1071834092 /nfs/dbraw/zinc/83/40/92/1071834092.db2.gz MJQYZRXBINLKGT-UHFFFAOYSA-N 0 0 432.481 0.549 20 0 IBADRN O=C(Cn1cc(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1)NC1CCCC1 ZINC000435027832 1071833974 /nfs/dbraw/zinc/83/39/74/1071833974.db2.gz RJOCAVLTCWAZPR-UHFFFAOYSA-N 0 0 429.481 0.250 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)Cc2ccon2)CC1)NC(=O)NCC(F)(F)F ZINC000435029739 1071834640 /nfs/dbraw/zinc/83/46/40/1071834640.db2.gz ZUYDWUHYTFWDCC-UHFFFAOYSA-N 0 0 427.405 -0.100 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)CC2(O)CCC2)ccc1OC ZINC000435030167 1071834606 /nfs/dbraw/zinc/83/46/06/1071834606.db2.gz PIDVPDVILCEYGI-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(C(N)=O)c(OC(C)C)c2)C1 ZINC000435032227 1071834564 /nfs/dbraw/zinc/83/45/64/1071834564.db2.gz FMPFXZDIPAOBGH-UHFFFAOYSA-N 0 0 426.495 -0.091 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](F)C[C@H]2CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435032269 1071834768 /nfs/dbraw/zinc/83/47/68/1071834768.db2.gz FOEWSEDCVUYDNN-BNOWGMLFSA-N 0 0 445.469 -0.368 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](F)C[C@@H]2CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435032270 1071834664 /nfs/dbraw/zinc/83/46/64/1071834664.db2.gz FOEWSEDCVUYDNN-KWCYVHTRSA-N 0 0 445.469 -0.368 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](F)C[C@@H]2CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435032271 1071834538 /nfs/dbraw/zinc/83/45/38/1071834538.db2.gz FOEWSEDCVUYDNN-MRVWCRGKSA-N 0 0 445.469 -0.368 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](F)C[C@H]2CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435032272 1071834720 /nfs/dbraw/zinc/83/47/20/1071834720.db2.gz FOEWSEDCVUYDNN-XBFCOCLRSA-N 0 0 445.469 -0.368 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N(CCO)C2CCC2)ccc1OC ZINC000435033933 1071833119 /nfs/dbraw/zinc/83/31/19/1071833119.db2.gz LLTGJNWAYFHXMU-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCOC[C@H]3C[C@H](C)O)n2)c1C ZINC000435036220 1071833330 /nfs/dbraw/zinc/83/33/30/1071833330.db2.gz RXUOLVQVONQRCH-DZGCQCFKSA-N 0 0 432.481 0.284 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCOC[C@@H]3C[C@@H](C)O)n2)c1C ZINC000435036221 1071832951 /nfs/dbraw/zinc/83/29/51/1071832951.db2.gz RXUOLVQVONQRCH-HIFRSBDPSA-N 0 0 432.481 0.284 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCOC[C@H]3C[C@@H](C)O)n2)c1C ZINC000435036222 1071833395 /nfs/dbraw/zinc/83/33/95/1071833395.db2.gz RXUOLVQVONQRCH-UKRRQHHQSA-N 0 0 432.481 0.284 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCOC[C@@H]3C[C@H](C)O)n2)c1C ZINC000435036223 1071833088 /nfs/dbraw/zinc/83/30/88/1071833088.db2.gz RXUOLVQVONQRCH-ZFWWWQNUSA-N 0 0 432.481 0.284 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1)NC1CCCC1 ZINC000435036517 1071834059 /nfs/dbraw/zinc/83/40/59/1071834059.db2.gz UGCQCXQCCBQWTQ-UHFFFAOYSA-N 0 0 446.508 -0.915 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C(N)=O)c(OC(C)C)c3)C2)nn1 ZINC000435036610 1071833966 /nfs/dbraw/zinc/83/39/66/1071833966.db2.gz VBWUARHFHAEUQT-UHFFFAOYSA-N 0 0 430.421 -0.027 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](F)C[C@H]2CO)ccc1OC ZINC000435037491 1071833958 /nfs/dbraw/zinc/83/39/58/1071833958.db2.gz XRKIDGDBGMTBQU-AAEUAGOBSA-N 0 0 433.458 -0.510 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](F)C[C@@H]2CO)ccc1OC ZINC000435037492 1071833036 /nfs/dbraw/zinc/83/30/36/1071833036.db2.gz XRKIDGDBGMTBQU-DGCLKSJQSA-N 0 0 433.458 -0.510 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](F)C[C@@H]2CO)ccc1OC ZINC000435037494 1071833934 /nfs/dbraw/zinc/83/39/34/1071833934.db2.gz XRKIDGDBGMTBQU-WCQYABFASA-N 0 0 433.458 -0.510 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](F)C[C@H]2CO)ccc1OC ZINC000435037495 1071834037 /nfs/dbraw/zinc/83/40/37/1071834037.db2.gz XRKIDGDBGMTBQU-YPMHNXCESA-N 0 0 433.458 -0.510 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1)NC1CCCC1 ZINC000435038939 1071834107 /nfs/dbraw/zinc/83/41/07/1071834107.db2.gz YFIZWXAVXLJEGI-UHFFFAOYSA-N 0 0 432.525 -0.442 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCCNC(=O)c3cccnc3)CC2)CC1 ZINC000435039760 1071834075 /nfs/dbraw/zinc/83/40/75/1071834075.db2.gz AIVBWKKGCFDLKK-UHFFFAOYSA-N 0 0 438.554 -0.772 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C[C@H](C)O1 ZINC000435040464 1071834086 /nfs/dbraw/zinc/83/40/86/1071834086.db2.gz MBEAQGTTYOLNEG-DZGCQCFKSA-N 0 0 432.481 0.548 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C[C@@H](C)O1 ZINC000435040465 1071834048 /nfs/dbraw/zinc/83/40/48/1071834048.db2.gz MBEAQGTTYOLNEG-HIFRSBDPSA-N 0 0 432.481 0.548 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C[C@@H](C)O1 ZINC000435040466 1073338343 /nfs/dbraw/zinc/33/83/43/1073338343.db2.gz MBEAQGTTYOLNEG-UKRRQHHQSA-N 0 0 432.481 0.548 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C[C@H](C)O1 ZINC000435040467 1073337540 /nfs/dbraw/zinc/33/75/40/1073337540.db2.gz MBEAQGTTYOLNEG-ZFWWWQNUSA-N 0 0 432.481 0.548 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@H]2CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435040791 1071834006 /nfs/dbraw/zinc/83/40/06/1071834006.db2.gz GARYWBVHNHTNEW-AEGPPILISA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@@H]2CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435040792 1071834032 /nfs/dbraw/zinc/83/40/32/1071834032.db2.gz GARYWBVHNHTNEW-CFVMTHIKSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@H]2CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435040793 1071833907 /nfs/dbraw/zinc/83/39/07/1071833907.db2.gz GARYWBVHNHTNEW-NWANDNLSSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@@H]2CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435040794 1071834068 /nfs/dbraw/zinc/83/40/68/1071834068.db2.gz GARYWBVHNHTNEW-QEJZJMRPSA-N 0 0 441.506 -0.070 20 0 IBADRN COCCOc1cccc(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000435045664 1071834621 /nfs/dbraw/zinc/83/46/21/1071834621.db2.gz ZYWCROWFIKGGKC-UHFFFAOYSA-N 0 0 440.566 -0.109 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](C)[C@H]2CO)ccc1OC ZINC000435045833 1071834503 /nfs/dbraw/zinc/83/45/03/1071834503.db2.gz YMGRIIPNYLIMFA-GXTWGEPZSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](C)[C@@H]2CO)ccc1OC ZINC000435045834 1071834763 /nfs/dbraw/zinc/83/47/63/1071834763.db2.gz YMGRIIPNYLIMFA-JSGCOSHPSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](C)[C@@H]2CO)ccc1OC ZINC000435045835 1071834698 /nfs/dbraw/zinc/83/46/98/1071834698.db2.gz YMGRIIPNYLIMFA-OCCSQVGLSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](C)[C@H]2CO)ccc1OC ZINC000435045836 1071834712 /nfs/dbraw/zinc/83/47/12/1071834712.db2.gz YMGRIIPNYLIMFA-TZMCWYRMSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCSC[C@H]2CO)ccc1OC ZINC000435047022 1071834677 /nfs/dbraw/zinc/83/46/77/1071834677.db2.gz AFAHQBHOSJHQBH-CYBMUJFWSA-N 0 0 447.535 -0.505 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCSC[C@@H]2CO)ccc1OC ZINC000435047023 1071834591 /nfs/dbraw/zinc/83/45/91/1071834591.db2.gz AFAHQBHOSJHQBH-ZDUSSCGKSA-N 0 0 447.535 -0.505 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1)NC1CCCC1 ZINC000435047909 1071835323 /nfs/dbraw/zinc/83/53/23/1071835323.db2.gz KFHOEGRLMWDWCV-UHFFFAOYSA-N 0 0 432.525 -0.442 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(C(C)=O)C[C@H]3C)n2)c1C ZINC000435048558 1071835257 /nfs/dbraw/zinc/83/52/57/1071835257.db2.gz NNNIBOSFHGEPPO-CYBMUJFWSA-N 0 0 429.481 0.365 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(C(C)=O)C[C@@H]3C)n2)c1C ZINC000435048560 1071835159 /nfs/dbraw/zinc/83/51/59/1071835159.db2.gz NNNIBOSFHGEPPO-ZDUSSCGKSA-N 0 0 429.481 0.365 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@H]3C)n2)c1C ZINC000435049408 1071835406 /nfs/dbraw/zinc/83/54/06/1071835406.db2.gz GTASLNOWYIUEAL-GFCCVEGCSA-N 0 0 436.494 -0.069 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@@H]3C)n2)c1C ZINC000435049409 1071835350 /nfs/dbraw/zinc/83/53/50/1071835350.db2.gz GTASLNOWYIUEAL-LBPRGKRZSA-N 0 0 436.494 -0.069 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN4C(=O)N=NC4C3)n2)c1C ZINC000435050743 1071835302 /nfs/dbraw/zinc/83/53/02/1071835302.db2.gz MYZOXZFGXUXCQB-UHFFFAOYSA-N 0 0 427.425 -0.375 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CCCC[C@@H]3C(N)=O)n2)c1C ZINC000435051413 1071835374 /nfs/dbraw/zinc/83/53/74/1071835374.db2.gz CIMZVEOFQCKYKC-KBPBESRZSA-N 0 0 429.481 0.446 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CCCC[C@H]3C(N)=O)n2)c1C ZINC000435051415 1071835190 /nfs/dbraw/zinc/83/51/90/1071835190.db2.gz CIMZVEOFQCKYKC-KGLIPLIRSA-N 0 0 429.481 0.446 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CCCC[C@@H]3C(N)=O)n2)c1C ZINC000435051416 1071835440 /nfs/dbraw/zinc/83/54/40/1071835440.db2.gz CIMZVEOFQCKYKC-UONOGXRCSA-N 0 0 429.481 0.446 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CCCC[C@H]3C(N)=O)n2)c1C ZINC000435051417 1071835286 /nfs/dbraw/zinc/83/52/86/1071835286.db2.gz CIMZVEOFQCKYKC-ZIAGYGMSSA-N 0 0 429.481 0.446 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCCNC(=O)c3cccnc3)CC2)o1 ZINC000435053408 1071835390 /nfs/dbraw/zinc/83/53/90/1071835390.db2.gz QFCVNVHJZKRGGH-UHFFFAOYSA-N 0 0 449.489 -0.183 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1)NC1CCCC1 ZINC000435053827 1071835144 /nfs/dbraw/zinc/83/51/44/1071835144.db2.gz WOGYJUNRIRIGLT-UHFFFAOYSA-N 0 0 430.509 -0.151 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)[C@@H](C)C1=O ZINC000435057839 1073337139 /nfs/dbraw/zinc/33/71/39/1073337139.db2.gz LINKTOMVJQVOQI-AWEZNQCLSA-N 0 0 429.481 0.365 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)[C@H](C)C1=O ZINC000435057842 1071835222 /nfs/dbraw/zinc/83/52/22/1071835222.db2.gz LINKTOMVJQVOQI-CQSZACIVSA-N 0 0 429.481 0.365 20 0 IBADRN COCCCOC1CN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000435059884 1071835430 /nfs/dbraw/zinc/83/54/30/1071835430.db2.gz QVKBJXJMPPHFEE-UHFFFAOYSA-N 0 0 432.481 0.549 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC(n3ccnn3)C2)ccc1OC ZINC000435060988 1071835981 /nfs/dbraw/zinc/83/59/81/1071835981.db2.gz WDTIZBPQWXMFEB-UHFFFAOYSA-N 0 0 438.466 -0.767 20 0 IBADRN Cn1nc(-c2ccc(F)cc2F)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000435063763 1071835994 /nfs/dbraw/zinc/83/59/94/1071835994.db2.gz LJBUSEIUSHRDAI-LLVKDONJSA-N 0 0 432.387 -0.527 20 0 IBADRN Cn1nc(-c2ccc(F)cc2F)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000435063764 1071835949 /nfs/dbraw/zinc/83/59/49/1071835949.db2.gz LJBUSEIUSHRDAI-NSHDSACASA-N 0 0 432.387 -0.527 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000435069918 1071835838 /nfs/dbraw/zinc/83/58/38/1071835838.db2.gz JNFUKGZHPUUOFX-IBGZPJMESA-N 0 0 434.453 -0.284 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000435069919 1071835724 /nfs/dbraw/zinc/83/57/24/1071835724.db2.gz JNFUKGZHPUUOFX-LJQANCHMSA-N 0 0 434.453 -0.284 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000435076483 1073332620 /nfs/dbraw/zinc/33/26/20/1073332620.db2.gz IGPBGQDQHXGLLE-HNNXBMFYSA-N 0 0 429.481 0.412 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000435076484 1073332743 /nfs/dbraw/zinc/33/27/43/1073332743.db2.gz IGPBGQDQHXGLLE-OAHLLOKOSA-N 0 0 429.481 0.412 20 0 IBADRN COCCOCCCCNS(=O)(=O)c1cccc(NC(=O)N2C[C@@H](O)[C@@H](O)C2)c1 ZINC000435077592 1071836056 /nfs/dbraw/zinc/83/60/56/1071836056.db2.gz NZEAHYGBIBGWPN-CALCHBBNSA-N 0 0 431.511 -0.023 20 0 IBADRN COCCOCCCCNS(=O)(=O)c1cccc(NC(=O)N2C[C@@H](O)[C@H](O)C2)c1 ZINC000435077593 1071836053 /nfs/dbraw/zinc/83/60/53/1071836053.db2.gz NZEAHYGBIBGWPN-IAGOWNOFSA-N 0 0 431.511 -0.023 20 0 IBADRN COCCOCCCCNS(=O)(=O)c1cccc(NC(=O)N2C[C@H](O)[C@@H](O)C2)c1 ZINC000435077594 1071835660 /nfs/dbraw/zinc/83/56/60/1071835660.db2.gz NZEAHYGBIBGWPN-IRXDYDNUSA-N 0 0 431.511 -0.023 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000435086373 1071835810 /nfs/dbraw/zinc/83/58/10/1071835810.db2.gz BXQBITLWALLMFA-KRWDZBQOSA-N 0 0 442.538 -0.136 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000435086374 1071835759 /nfs/dbraw/zinc/83/57/59/1071835759.db2.gz BXQBITLWALLMFA-QGZVFWFLSA-N 0 0 442.538 -0.136 20 0 IBADRN CN(CCNC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)S(=O)(=O)c1cccs1 ZINC000435092900 1071836609 /nfs/dbraw/zinc/83/66/09/1071836609.db2.gz AGULJNHRFUDXKM-UHFFFAOYSA-N 0 0 443.551 -0.499 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)n1 ZINC000435093537 1071836487 /nfs/dbraw/zinc/83/64/87/1071836487.db2.gz FIANXKKQLVTOID-UHFFFAOYSA-N 0 0 428.493 0.423 20 0 IBADRN COCCO[C@H]1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000435094137 1073334006 /nfs/dbraw/zinc/33/40/06/1073334006.db2.gz AHIIOSORZPCVGW-HNNXBMFYSA-N 0 0 432.481 0.549 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000435094138 1071837012 /nfs/dbraw/zinc/83/70/12/1071837012.db2.gz AHIIOSORZPCVGW-OAHLLOKOSA-N 0 0 432.481 0.549 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)n2)c1C ZINC000435095879 1071837283 /nfs/dbraw/zinc/83/72/83/1071837283.db2.gz JNKCUVFRVHCPBU-FQEVSTJZSA-N 0 0 430.465 0.303 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)n2)c1C ZINC000435095881 1071837033 /nfs/dbraw/zinc/83/70/33/1071837033.db2.gz JNKCUVFRVHCPBU-HXUWFJFHSA-N 0 0 430.465 0.303 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)n2)c1C ZINC000435096656 1071837227 /nfs/dbraw/zinc/83/72/27/1071837227.db2.gz NVCBOEJQFFMNPL-HNNXBMFYSA-N 0 0 429.481 -0.172 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)n2)c1C ZINC000435096657 1071837346 /nfs/dbraw/zinc/83/73/46/1071837346.db2.gz NVCBOEJQFFMNPL-OAHLLOKOSA-N 0 0 429.481 -0.172 20 0 IBADRN CC[C@@]1(O)CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)C1 ZINC000435100209 1071840510 /nfs/dbraw/zinc/84/05/10/1071840510.db2.gz CYHXNMGONGNMGR-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@]1(O)CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)C1 ZINC000435100210 1071840497 /nfs/dbraw/zinc/84/04/97/1071840497.db2.gz CYHXNMGONGNMGR-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCC(F)(F)CO)ccc1OC ZINC000435100575 1071838037 /nfs/dbraw/zinc/83/80/37/1071838037.db2.gz HWJGKMUVVIXBOV-UHFFFAOYSA-N 0 0 425.410 -0.698 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)C[C@@H](C)O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435100865 1071838109 /nfs/dbraw/zinc/83/81/09/1071838109.db2.gz KTPKKXKLTXUYCF-BZPMIXESSA-N 0 0 429.495 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)C[C@H](C)O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435100866 1071837929 /nfs/dbraw/zinc/83/79/29/1071837929.db2.gz KTPKKXKLTXUYCF-DYEKYZERSA-N 0 0 429.495 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)C[C@H](C)O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435100867 1071838142 /nfs/dbraw/zinc/83/81/42/1071838142.db2.gz KTPKKXKLTXUYCF-MBNYWOFBSA-N 0 0 429.495 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)C[C@@H](C)O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435100868 1071838032 /nfs/dbraw/zinc/83/80/32/1071838032.db2.gz KTPKKXKLTXUYCF-YRGRVCCFSA-N 0 0 429.495 -0.024 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000435102432 1071837971 /nfs/dbraw/zinc/83/79/71/1071837971.db2.gz HNPGHUBKSMKHCS-CYBMUJFWSA-N 0 0 430.469 -0.185 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000435102433 1071838087 /nfs/dbraw/zinc/83/80/87/1071838087.db2.gz HNPGHUBKSMKHCS-ZDUSSCGKSA-N 0 0 430.469 -0.185 20 0 IBADRN CN(CCNC(=O)N1CCN(c2nccn(C)c2=O)CC1)S(=O)(=O)c1cccs1 ZINC000435102637 1071838559 /nfs/dbraw/zinc/83/85/59/1071838559.db2.gz IJAIJKHMIFPQPL-UHFFFAOYSA-N 0 0 440.551 -0.006 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000435102875 1071838574 /nfs/dbraw/zinc/83/85/74/1071838574.db2.gz JYQGJFNTLLQIRY-CABCVRRESA-N 0 0 446.464 -0.190 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000435102876 1071838696 /nfs/dbraw/zinc/83/86/96/1071838696.db2.gz JYQGJFNTLLQIRY-GJZGRUSLSA-N 0 0 446.464 -0.190 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000435102877 1071838617 /nfs/dbraw/zinc/83/86/17/1071838617.db2.gz JYQGJFNTLLQIRY-HUUCEWRRSA-N 0 0 446.464 -0.190 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000435102878 1071838743 /nfs/dbraw/zinc/83/87/43/1071838743.db2.gz JYQGJFNTLLQIRY-LSDHHAIUSA-N 0 0 446.464 -0.190 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(F)(F)CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435102990 1071837869 /nfs/dbraw/zinc/83/78/69/1071837869.db2.gz XGUVVYBETHCXLK-LLVKDONJSA-N 0 0 437.421 -0.555 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(F)(F)CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435102991 1071838608 /nfs/dbraw/zinc/83/86/08/1071838608.db2.gz XGUVVYBETHCXLK-NSHDSACASA-N 0 0 437.421 -0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(C[C@H](C)O)CC3)n2)c1C ZINC000435103005 1071838674 /nfs/dbraw/zinc/83/86/74/1071838674.db2.gz XJPIDNLBHKLPSV-AWEZNQCLSA-N 0 0 431.497 -0.190 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@]2(OC)CCOC2)ccc1OC ZINC000435103535 1071838019 /nfs/dbraw/zinc/83/80/19/1071838019.db2.gz MXSZDWWIYPLEIC-GOSISDBHSA-N 0 0 445.494 -0.520 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@]2(OC)CCOC2)ccc1OC ZINC000435103538 1071838117 /nfs/dbraw/zinc/83/81/17/1071838117.db2.gz MXSZDWWIYPLEIC-SFHVURJKSA-N 0 0 445.494 -0.520 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](O)[C@@H](C)C2)ccc1OC ZINC000435103910 1071838534 /nfs/dbraw/zinc/83/85/34/1071838534.db2.gz COLOJBOFSGIEJC-GXTWGEPZSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](O)[C@@H](C)C2)ccc1OC ZINC000435103912 1071838599 /nfs/dbraw/zinc/83/85/99/1071838599.db2.gz COLOJBOFSGIEJC-JSGCOSHPSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](O)[C@H](C)C2)ccc1OC ZINC000435103914 1071838643 /nfs/dbraw/zinc/83/86/43/1071838643.db2.gz COLOJBOFSGIEJC-OCCSQVGLSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](O)[C@H](C)C2)ccc1OC ZINC000435103916 1071838804 /nfs/dbraw/zinc/83/88/04/1071838804.db2.gz COLOJBOFSGIEJC-TZMCWYRMSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](O)[C@@H](C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435104125 1071838653 /nfs/dbraw/zinc/83/86/53/1071838653.db2.gz DLSIACHTUUAHFD-CFVMTHIKSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](O)[C@@H](C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435104127 1071838511 /nfs/dbraw/zinc/83/85/11/1071838511.db2.gz DLSIACHTUUAHFD-QEJZJMRPSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](O)[C@H](C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435104129 1071838730 /nfs/dbraw/zinc/83/87/30/1071838730.db2.gz DLSIACHTUUAHFD-SNPRPXQTSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](O)[C@H](C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435104131 1071838551 /nfs/dbraw/zinc/83/85/51/1071838551.db2.gz DLSIACHTUUAHFD-YUELXQCFSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCN3CCCOCC3)n2)c1C ZINC000435104145 1071838759 /nfs/dbraw/zinc/83/87/59/1071838759.db2.gz DNXXEXCJZKGLIU-UHFFFAOYSA-N 0 0 431.497 0.124 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H]3CC[C@@H](O)[C@H]3C2)ccc1OC ZINC000435106461 1071839271 /nfs/dbraw/zinc/83/92/71/1071839271.db2.gz BPEFHVYLTRLHQX-AEGPPILISA-N 0 0 441.506 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H]3CC[C@H](O)[C@@H]3C2)ccc1OC ZINC000435106462 1071839189 /nfs/dbraw/zinc/83/91/89/1071839189.db2.gz BPEFHVYLTRLHQX-CFVMTHIKSA-N 0 0 441.506 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H]3CC[C@@H](O)[C@@H]3C2)ccc1OC ZINC000435106463 1071839150 /nfs/dbraw/zinc/83/91/50/1071839150.db2.gz BPEFHVYLTRLHQX-NWANDNLSSA-N 0 0 441.506 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H]3CC[C@H](O)[C@H]3C2)ccc1OC ZINC000435106464 1071839260 /nfs/dbraw/zinc/83/92/60/1071839260.db2.gz BPEFHVYLTRLHQX-QEJZJMRPSA-N 0 0 441.506 -0.212 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(CCO)[C@@H](C)C3)n2)c1C ZINC000435107507 1071839281 /nfs/dbraw/zinc/83/92/81/1071839281.db2.gz UUTVPYODXGBIMK-AWEZNQCLSA-N 0 0 431.497 -0.190 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(CCO)[C@H](C)C3)n2)c1C ZINC000435107508 1071839346 /nfs/dbraw/zinc/83/93/46/1071839346.db2.gz UUTVPYODXGBIMK-CQSZACIVSA-N 0 0 431.497 -0.190 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCC2(CO)CCC2)ccc1OC ZINC000435107665 1071839248 /nfs/dbraw/zinc/83/92/48/1071839248.db2.gz ILLLBWUBLLNVDO-UHFFFAOYSA-N 0 0 429.495 -0.163 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCc3cnc(C)[nH]c3=O)n2)c1C ZINC000435108320 1071839210 /nfs/dbraw/zinc/83/92/10/1071839210.db2.gz YNYLMHYUABSWDA-UHFFFAOYSA-N 0 0 426.437 0.406 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3C[C@H](C(N)=O)CC[C@@H]3C)n2)c1C ZINC000435110339 1071840039 /nfs/dbraw/zinc/84/00/39/1071840039.db2.gz IXZMVLRGFCNJFL-GXTWGEPZSA-N 0 0 429.481 0.398 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3C[C@@H](C(N)=O)CC[C@@H]3C)n2)c1C ZINC000435110340 1071839424 /nfs/dbraw/zinc/83/94/24/1071839424.db2.gz IXZMVLRGFCNJFL-JSGCOSHPSA-N 0 0 429.481 0.398 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3C[C@@H](C(N)=O)CC[C@H]3C)n2)c1C ZINC000435110341 1071839923 /nfs/dbraw/zinc/83/99/23/1071839923.db2.gz IXZMVLRGFCNJFL-OCCSQVGLSA-N 0 0 429.481 0.398 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3C[C@H](C(N)=O)CC[C@H]3C)n2)c1C ZINC000435110342 1071839977 /nfs/dbraw/zinc/83/99/77/1071839977.db2.gz IXZMVLRGFCNJFL-TZMCWYRMSA-N 0 0 429.481 0.398 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(C[C@@H](C)O)CC3)n2)c1C ZINC000435110678 1071839858 /nfs/dbraw/zinc/83/98/58/1071839858.db2.gz XJPIDNLBHKLPSV-CQSZACIVSA-N 0 0 431.497 -0.190 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(CO)CCC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435110733 1071839995 /nfs/dbraw/zinc/83/99/95/1071839995.db2.gz XWTVQOLZQQRJFI-AWEZNQCLSA-N 0 0 441.506 -0.020 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(CO)CCC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435110734 1071840002 /nfs/dbraw/zinc/84/00/02/1071840002.db2.gz XWTVQOLZQQRJFI-CQSZACIVSA-N 0 0 441.506 -0.020 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1 ZINC000435110877 1071840032 /nfs/dbraw/zinc/84/00/32/1071840032.db2.gz MDKXWIJCBKULTR-UHFFFAOYSA-N 0 0 430.483 -0.847 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C2CC(O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435112701 1071840053 /nfs/dbraw/zinc/84/00/53/1071840053.db2.gz YPYWRJYTFMMEPT-HSBZDZAISA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C2CC(O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435112702 1071839840 /nfs/dbraw/zinc/83/98/40/1071839840.db2.gz YPYWRJYTFMMEPT-IYXRBSQSSA-N 0 0 427.479 -0.317 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)OC)ccc1OC ZINC000435113928 1071839936 /nfs/dbraw/zinc/83/99/36/1071839936.db2.gz ORLFJLVCQBTPRR-CYBMUJFWSA-N 0 0 443.478 -0.278 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H]2C(=O)OC)ccc1OC ZINC000435113929 1071839878 /nfs/dbraw/zinc/83/98/78/1071839878.db2.gz ORLFJLVCQBTPRR-ZDUSSCGKSA-N 0 0 443.478 -0.278 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)CC1=O ZINC000435115215 1071839963 /nfs/dbraw/zinc/83/99/63/1071839963.db2.gz YBTZGDIAJLTNRK-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@@H](O)C2(C)C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435115843 1071839905 /nfs/dbraw/zinc/83/99/05/1071839905.db2.gz KERJNKZCPKBCAI-APHBMKBZSA-N 0 0 441.506 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@@H](O)C2(C)C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435115844 1071839947 /nfs/dbraw/zinc/83/99/47/1071839947.db2.gz KERJNKZCPKBCAI-DAXOMENPSA-N 0 0 441.506 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@H](O)C2(C)C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435115845 1071840018 /nfs/dbraw/zinc/84/00/18/1071840018.db2.gz KERJNKZCPKBCAI-MAZHCROVSA-N 0 0 441.506 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@H](O)C2(C)C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435115846 1071840011 /nfs/dbraw/zinc/84/00/11/1071840011.db2.gz KERJNKZCPKBCAI-WQVCFCJDSA-N 0 0 441.506 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435116730 1071840062 /nfs/dbraw/zinc/84/00/62/1071840062.db2.gz CGLSUFXXWPOSDO-UONOGXRCSA-N 0 0 427.479 -0.316 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435116731 1071839894 /nfs/dbraw/zinc/83/98/94/1071839894.db2.gz CGLSUFXXWPOSDO-ZIAGYGMSSA-N 0 0 427.479 -0.316 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2C[C@H](O)C2(C)C)ccc1OC ZINC000435117671 1071840026 /nfs/dbraw/zinc/84/00/26/1071840026.db2.gz VFWGQNNKIFXUKC-CABCVRRESA-N 0 0 429.495 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2C[C@H](O)C2(C)C)ccc1OC ZINC000435117672 1071839952 /nfs/dbraw/zinc/83/99/52/1071839952.db2.gz VFWGQNNKIFXUKC-GJZGRUSLSA-N 0 0 429.495 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2C[C@@H](O)C2(C)C)ccc1OC ZINC000435117673 1071840533 /nfs/dbraw/zinc/84/05/33/1071840533.db2.gz VFWGQNNKIFXUKC-HUUCEWRRSA-N 0 0 429.495 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2C[C@@H](O)C2(C)C)ccc1OC ZINC000435117674 1071840453 /nfs/dbraw/zinc/84/04/53/1071840453.db2.gz VFWGQNNKIFXUKC-LSDHHAIUSA-N 0 0 429.495 -0.166 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)n1 ZINC000435117742 1071840447 /nfs/dbraw/zinc/84/04/47/1071840447.db2.gz HUMKXTJBIKAJMU-UHFFFAOYSA-N 0 0 425.467 0.366 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(C)(C)O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435120423 1071840486 /nfs/dbraw/zinc/84/04/86/1071840486.db2.gz RTVLOAQVBCVEEU-CYBMUJFWSA-N 0 0 429.495 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(C)(C)O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435120424 1071840520 /nfs/dbraw/zinc/84/05/20/1071840520.db2.gz RTVLOAQVBCVEEU-ZDUSSCGKSA-N 0 0 429.495 -0.022 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)n1 ZINC000435121675 1071840554 /nfs/dbraw/zinc/84/05/54/1071840554.db2.gz YCJLVMKTDKPJPU-UHFFFAOYSA-N 0 0 438.510 0.423 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)s1 ZINC000435122652 1071840479 /nfs/dbraw/zinc/84/04/79/1071840479.db2.gz BUTXJXXTDILBPJ-UHFFFAOYSA-N 0 0 439.562 -0.039 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)s1 ZINC000435125830 1071840542 /nfs/dbraw/zinc/84/05/42/1071840542.db2.gz ZAOCJYHASRAVNI-UHFFFAOYSA-N 0 0 444.516 -0.157 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCC[C@H](C)O ZINC000435141636 1071841820 /nfs/dbraw/zinc/84/18/20/1071841820.db2.gz AQSOPGQYUJXOEG-AWEZNQCLSA-N 0 0 442.538 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCC[C@@H](C)O ZINC000435141637 1071841737 /nfs/dbraw/zinc/84/17/37/1071841737.db2.gz AQSOPGQYUJXOEG-CQSZACIVSA-N 0 0 442.538 -0.153 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000435144800 1071841786 /nfs/dbraw/zinc/84/17/86/1071841786.db2.gz AADKHJVAYZILOO-UHFFFAOYSA-N 0 0 432.481 -0.860 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C[C@H](C)O1 ZINC000435144968 1071841856 /nfs/dbraw/zinc/84/18/56/1071841856.db2.gz MKFAQTFACRJYKM-CALCHBBNSA-N 0 0 449.556 0.187 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CCC[C@H](O)C2)c1 ZINC000435148624 1071841727 /nfs/dbraw/zinc/84/17/27/1071841727.db2.gz BYTRGPSYXFGVDH-AWEZNQCLSA-N 0 0 440.522 -0.305 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000435148744 1071841940 /nfs/dbraw/zinc/84/19/40/1071841940.db2.gz QRPCKAJYXXTHBJ-UHFFFAOYSA-N 0 0 446.552 -0.023 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC[C@H](O)C1 ZINC000435151045 1071841100 /nfs/dbraw/zinc/84/11/00/1071841100.db2.gz VTDCCQDQZUFQAW-ZDUSSCGKSA-N 0 0 427.479 -0.362 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000435152904 1071841136 /nfs/dbraw/zinc/84/11/36/1071841136.db2.gz DOVBVNDVKWSKNA-UHFFFAOYSA-N 0 0 436.513 -0.750 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000435153302 1071841216 /nfs/dbraw/zinc/84/12/16/1071841216.db2.gz HWUFJFVMGBVOGA-JFIYKMOQSA-N 0 0 438.529 -0.324 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000435153303 1071841037 /nfs/dbraw/zinc/84/10/37/1071841037.db2.gz HWUFJFVMGBVOGA-JZXOWHBKSA-N 0 0 438.529 -0.324 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000435153304 1071841120 /nfs/dbraw/zinc/84/11/20/1071841120.db2.gz HWUFJFVMGBVOGA-NUJGCVRESA-N 0 0 438.529 -0.324 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000435153305 1071841008 /nfs/dbraw/zinc/84/10/08/1071841008.db2.gz HWUFJFVMGBVOGA-SOLBZPMBSA-N 0 0 438.529 -0.324 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H](C)O1 ZINC000435157468 1071841768 /nfs/dbraw/zinc/84/17/68/1071841768.db2.gz CHIAGEBGCZZLHS-BETUJISGSA-N 0 0 432.481 -0.862 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@H](C)O1 ZINC000435157501 1071841714 /nfs/dbraw/zinc/84/17/14/1071841714.db2.gz CWDZJEYMZRWLCE-CALCHBBNSA-N 0 0 446.552 -0.025 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000435158580 1071841931 /nfs/dbraw/zinc/84/19/31/1071841931.db2.gz LHHKYVOSPBBGQN-AWEZNQCLSA-N 0 0 427.479 -0.362 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC[C@@H](C)O ZINC000435158642 1071842459 /nfs/dbraw/zinc/84/24/59/1071842459.db2.gz UXKDFSRQAAKKQA-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC[C@H](C)O ZINC000435158643 1071842518 /nfs/dbraw/zinc/84/25/18/1071842518.db2.gz UXKDFSRQAAKKQA-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN Cc1ccn(C2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000435160451 1071842431 /nfs/dbraw/zinc/84/24/31/1071842431.db2.gz HNVNLDYZDZLHDX-UHFFFAOYSA-N 0 0 425.449 -0.061 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(C(=O)OC)[nH]2)c(=O)n1CC(=O)N1CCOCC1 ZINC000435160589 1071842632 /nfs/dbraw/zinc/84/26/32/1071842632.db2.gz JNMWUFIPAAQUNF-UHFFFAOYSA-N 0 0 449.485 -0.944 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCC[C@H](O)C1 ZINC000435161505 1071842468 /nfs/dbraw/zinc/84/24/68/1071842468.db2.gz SRGJFSUFBZCWAJ-AWEZNQCLSA-N 0 0 440.522 -0.447 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000435161873 1071843132 /nfs/dbraw/zinc/84/31/32/1071843132.db2.gz VROUYYVOORJQPS-UHFFFAOYSA-N 0 0 449.556 0.188 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H](C)O1 ZINC000435164825 1071843185 /nfs/dbraw/zinc/84/31/85/1071843185.db2.gz HQUYHRVXNWOFIH-IYBDPMFKSA-N 0 0 436.513 -0.752 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000435166599 1071843121 /nfs/dbraw/zinc/84/31/21/1071843121.db2.gz SVFNPCWLJMOADO-INIZCTEOSA-N 0 0 430.527 -0.232 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000435166600 1071843209 /nfs/dbraw/zinc/84/32/09/1071843209.db2.gz SVFNPCWLJMOADO-MRXNPFEDSA-N 0 0 430.527 -0.232 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCN(C)S(=O)(=O)c2cccs2)CC1 ZINC000435172582 1071843908 /nfs/dbraw/zinc/84/39/08/1071843908.db2.gz GDNWMAZAOVZHJZ-UHFFFAOYSA-N 0 0 445.567 -0.253 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCCS(=O)(=O)NCC2CCC2)CC1 ZINC000435173860 1071843830 /nfs/dbraw/zinc/84/38/30/1071843830.db2.gz OZMNOYQPOKYHQW-UHFFFAOYSA-N 0 0 432.543 -0.193 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)Nc2ncn(CC(=O)N(C)C)n2)c1 ZINC000435179879 1071843888 /nfs/dbraw/zinc/84/38/88/1071843888.db2.gz ZGDHUULZNLUZTG-UHFFFAOYSA-N 0 0 444.456 0.023 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCN(C)S(=O)(=O)c2cccs2)CC1 ZINC000435181463 1071843775 /nfs/dbraw/zinc/84/37/75/1071843775.db2.gz FUWHLASMTWFNJG-UHFFFAOYSA-N 0 0 445.567 -0.251 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000435185064 1071843923 /nfs/dbraw/zinc/84/39/23/1071843923.db2.gz GCFOFCRWNIRYLQ-UHFFFAOYSA-N 0 0 445.567 -0.285 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)cc2C1=O ZINC000435196010 1071844333 /nfs/dbraw/zinc/84/43/33/1071844333.db2.gz BDIKDCPZHLHIMI-CYBMUJFWSA-N 0 0 434.474 -0.123 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)cc2C1=O ZINC000435196011 1071844361 /nfs/dbraw/zinc/84/43/61/1071844361.db2.gz BDIKDCPZHLHIMI-ZDUSSCGKSA-N 0 0 434.474 -0.123 20 0 IBADRN O=C(NC[C@H]1CCCC(=O)N1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000435196387 1071844317 /nfs/dbraw/zinc/84/43/17/1071844317.db2.gz DARRGIBRRTUWEJ-PBHICJAKSA-N 0 0 430.461 -0.576 20 0 IBADRN O=C(NC[C@H]1CCCC(=O)N1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000435196388 1071844355 /nfs/dbraw/zinc/84/43/55/1071844355.db2.gz DARRGIBRRTUWEJ-RHSMWYFYSA-N 0 0 430.461 -0.576 20 0 IBADRN O=C(NC[C@@H]1CCCC(=O)N1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000435196389 1071844423 /nfs/dbraw/zinc/84/44/23/1071844423.db2.gz DARRGIBRRTUWEJ-WMLDXEAASA-N 0 0 430.461 -0.576 20 0 IBADRN O=C(NC[C@@H]1CCCC(=O)N1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000435196390 1071844442 /nfs/dbraw/zinc/84/44/42/1071844442.db2.gz DARRGIBRRTUWEJ-YOEHRIQHSA-N 0 0 430.461 -0.576 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@]1(O)CCO[C@@H]1C ZINC000435197575 1071844308 /nfs/dbraw/zinc/84/43/08/1071844308.db2.gz KFQNKNBHCYUKPO-AUUYWEPGSA-N 0 0 441.506 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@]1(O)CCO[C@H]1C ZINC000435197576 1071844417 /nfs/dbraw/zinc/84/44/17/1071844417.db2.gz KFQNKNBHCYUKPO-IFXJQAMLSA-N 0 0 441.506 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@]1(O)CCO[C@@H]1C ZINC000435197577 1071844429 /nfs/dbraw/zinc/84/44/29/1071844429.db2.gz KFQNKNBHCYUKPO-KUHUBIRLSA-N 0 0 441.506 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@]1(O)CCO[C@H]1C ZINC000435197578 1071845111 /nfs/dbraw/zinc/84/51/11/1071845111.db2.gz KFQNKNBHCYUKPO-LIRRHRJNSA-N 0 0 441.506 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H]1CCCC(=O)N1 ZINC000435198794 1071845145 /nfs/dbraw/zinc/84/51/45/1071845145.db2.gz QSRZPMVORPAFAO-AWEZNQCLSA-N 0 0 438.506 -0.261 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H]1CCCC(=O)N1 ZINC000435198795 1071844978 /nfs/dbraw/zinc/84/49/78/1071844978.db2.gz QSRZPMVORPAFAO-CQSZACIVSA-N 0 0 438.506 -0.261 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1 ZINC000435199900 1071844971 /nfs/dbraw/zinc/84/49/71/1071844971.db2.gz CCVLLZHNJHPVCK-AWEZNQCLSA-N 0 0 444.535 -0.440 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1 ZINC000435199901 1071844948 /nfs/dbraw/zinc/84/49/48/1071844948.db2.gz CCVLLZHNJHPVCK-CQSZACIVSA-N 0 0 444.535 -0.440 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H]3CCCC(=O)N3)c2)CC1 ZINC000435200911 1071844958 /nfs/dbraw/zinc/84/49/58/1071844958.db2.gz CRFXWYKHOCNDBJ-HNNXBMFYSA-N 0 0 437.522 -0.654 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H]3CCCC(=O)N3)c2)CC1 ZINC000435200912 1071845033 /nfs/dbraw/zinc/84/50/33/1071845033.db2.gz CRFXWYKHOCNDBJ-OAHLLOKOSA-N 0 0 437.522 -0.654 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000435200981 1071845003 /nfs/dbraw/zinc/84/50/03/1071845003.db2.gz KLGURDJMCZNZFW-AVBTWRTFSA-N 0 0 433.461 -0.705 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000435200982 1071844962 /nfs/dbraw/zinc/84/49/62/1071844962.db2.gz KLGURDJMCZNZFW-LFRPXUGBSA-N 0 0 433.461 -0.705 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000435200983 1071845183 /nfs/dbraw/zinc/84/51/83/1071845183.db2.gz KLGURDJMCZNZFW-OXTHUFEASA-N 0 0 433.461 -0.705 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000435200984 1071844926 /nfs/dbraw/zinc/84/49/26/1071844926.db2.gz KLGURDJMCZNZFW-XXHMAFKTSA-N 0 0 433.461 -0.705 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1C(N)=O ZINC000435201122 1071845159 /nfs/dbraw/zinc/84/51/59/1071845159.db2.gz DWMWBSLSJAZPIA-GFCCVEGCSA-N 0 0 425.467 -0.846 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1C(N)=O ZINC000435201123 1071845120 /nfs/dbraw/zinc/84/51/20/1071845120.db2.gz DWMWBSLSJAZPIA-LBPRGKRZSA-N 0 0 425.467 -0.846 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435201728 1071845169 /nfs/dbraw/zinc/84/51/69/1071845169.db2.gz IHJGALBYEMALMP-GFCCVEGCSA-N 0 0 426.499 -0.484 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435201730 1071845908 /nfs/dbraw/zinc/84/59/08/1071845908.db2.gz IHJGALBYEMALMP-LBPRGKRZSA-N 0 0 426.499 -0.484 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435202214 1073335057 /nfs/dbraw/zinc/33/50/57/1073335057.db2.gz KLHNBFZCYNDLOA-KRWDZBQOSA-N 0 0 446.533 0.486 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435202218 1071845738 /nfs/dbraw/zinc/84/57/38/1071845738.db2.gz KLHNBFZCYNDLOA-QGZVFWFLSA-N 0 0 446.533 0.486 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)nn1 ZINC000435202323 1071845897 /nfs/dbraw/zinc/84/58/97/1071845897.db2.gz KTISOLQZWOGTLJ-AWEZNQCLSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)nn1 ZINC000435202325 1071845816 /nfs/dbraw/zinc/84/58/16/1071845816.db2.gz KTISOLQZWOGTLJ-CQSZACIVSA-N 0 0 433.494 -0.064 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@H]1CCCC(=O)N1 ZINC000435202675 1071845750 /nfs/dbraw/zinc/84/57/50/1071845750.db2.gz SMSCXZMVUSABLK-HNNXBMFYSA-N 0 0 431.493 -0.194 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@H]1CCCC(=O)N1 ZINC000435202676 1071845776 /nfs/dbraw/zinc/84/57/76/1071845776.db2.gz SMSCXZMVUSABLK-OAHLLOKOSA-N 0 0 431.493 -0.194 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)cc2)c1=O ZINC000435202893 1071845834 /nfs/dbraw/zinc/84/58/34/1071845834.db2.gz UIQVUMTVVBVLLB-INIZCTEOSA-N 0 0 448.505 -0.464 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)cc2)c1=O ZINC000435202894 1071845728 /nfs/dbraw/zinc/84/57/28/1071845728.db2.gz UIQVUMTVVBVLLB-MRXNPFEDSA-N 0 0 448.505 -0.464 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)c2cc3ccccc3s2)CC1)S(N)(=O)=O ZINC000435202897 1071845931 /nfs/dbraw/zinc/84/59/31/1071845931.db2.gz UIZAHVVRVCCKDU-GFCCVEGCSA-N 0 0 438.531 -0.021 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)c2cc3ccccc3s2)CC1)S(N)(=O)=O ZINC000435202898 1071845920 /nfs/dbraw/zinc/84/59/20/1071845920.db2.gz UIZAHVVRVCCKDU-LBPRGKRZSA-N 0 0 438.531 -0.021 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435203032 1071843915 /nfs/dbraw/zinc/84/39/15/1071843915.db2.gz OOKOFCGJEGFGAC-GFCCVEGCSA-N 0 0 427.483 -0.438 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435203033 1071843787 /nfs/dbraw/zinc/84/37/87/1071843787.db2.gz OOKOFCGJEGFGAC-LBPRGKRZSA-N 0 0 427.483 -0.438 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)cc21 ZINC000435203197 1071845844 /nfs/dbraw/zinc/84/58/44/1071845844.db2.gz PIHMNNOHFSWSKC-GFCCVEGCSA-N 0 0 434.478 -0.373 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)cc21 ZINC000435203198 1071845942 /nfs/dbraw/zinc/84/59/42/1071845942.db2.gz PIHMNNOHFSWSKC-LBPRGKRZSA-N 0 0 434.478 -0.373 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000435205561 1071847781 /nfs/dbraw/zinc/84/77/81/1071847781.db2.gz IVKNKTNHLCSWRK-ACJLOTCBSA-N 0 0 427.479 -0.698 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000435205562 1071847746 /nfs/dbraw/zinc/84/77/46/1071847746.db2.gz IVKNKTNHLCSWRK-FZKQIMNGSA-N 0 0 427.479 -0.698 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000435205563 1071847518 /nfs/dbraw/zinc/84/75/18/1071847518.db2.gz IVKNKTNHLCSWRK-SCLBCKFNSA-N 0 0 427.479 -0.698 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000435205564 1071847669 /nfs/dbraw/zinc/84/76/69/1071847669.db2.gz IVKNKTNHLCSWRK-UGSOOPFHSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(NC[C@@H]1CCCC(=O)N1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000435206013 1071847633 /nfs/dbraw/zinc/84/76/33/1071847633.db2.gz FLGUACDUQNLWOB-KRWDZBQOSA-N 0 0 431.493 -0.528 20 0 IBADRN O=C(NC[C@H]1CCCC(=O)N1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000435206017 1071847768 /nfs/dbraw/zinc/84/77/68/1071847768.db2.gz FLGUACDUQNLWOB-QGZVFWFLSA-N 0 0 431.493 -0.528 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCCC(=O)N2)cc(S(C)(=O)=O)c1 ZINC000435206035 1071847470 /nfs/dbraw/zinc/84/74/70/1071847470.db2.gz FODNCLXVLBHULP-GFCCVEGCSA-N 0 0 425.463 -0.010 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCCC(=O)N2)cc(S(C)(=O)=O)c1 ZINC000435206038 1071847754 /nfs/dbraw/zinc/84/77/54/1071847754.db2.gz FODNCLXVLBHULP-LBPRGKRZSA-N 0 0 425.463 -0.010 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@]2(O)CCO[C@H]2C)cc1S(=O)(=O)N(C)C ZINC000435206041 1071847549 /nfs/dbraw/zinc/84/75/49/1071847549.db2.gz KTBFOXNLSHJHOQ-KPZWWZAWSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@]2(O)CCO[C@@H]2C)cc1S(=O)(=O)N(C)C ZINC000435206043 1071847591 /nfs/dbraw/zinc/84/75/91/1071847591.db2.gz KTBFOXNLSHJHOQ-KZULUSFZSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@]2(O)CCO[C@H]2C)cc1S(=O)(=O)N(C)C ZINC000435206045 1071847790 /nfs/dbraw/zinc/84/77/90/1071847790.db2.gz KTBFOXNLSHJHOQ-SGTLLEGYSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@]2(O)CCO[C@@H]2C)cc1S(=O)(=O)N(C)C ZINC000435206047 1071847818 /nfs/dbraw/zinc/84/78/18/1071847818.db2.gz KTBFOXNLSHJHOQ-XIKOKIGWSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@]2(O)CCO[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000435206079 1071847499 /nfs/dbraw/zinc/84/74/99/1071847499.db2.gz FTQKTYVWYFPEEG-ADLMAVQZSA-N 0 0 428.463 -0.139 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@]2(O)CCO[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000435206081 1071847573 /nfs/dbraw/zinc/84/75/73/1071847573.db2.gz FTQKTYVWYFPEEG-BBATYDOGSA-N 0 0 428.463 -0.139 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@@]2(O)CCO[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000435206082 1071847611 /nfs/dbraw/zinc/84/76/11/1071847611.db2.gz FTQKTYVWYFPEEG-VOJFVSQTSA-N 0 0 428.463 -0.139 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@@]2(O)CCO[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000435206084 1071848458 /nfs/dbraw/zinc/84/84/58/1071848458.db2.gz FTQKTYVWYFPEEG-ZMZPIMSZSA-N 0 0 428.463 -0.139 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000435206104 1071848291 /nfs/dbraw/zinc/84/82/91/1071848291.db2.gz LCIUHFRHSGGUSD-AUUYWEPGSA-N 0 0 440.522 -0.783 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000435206107 1071848203 /nfs/dbraw/zinc/84/82/03/1071848203.db2.gz LCIUHFRHSGGUSD-IFXJQAMLSA-N 0 0 440.522 -0.783 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000435206109 1071848470 /nfs/dbraw/zinc/84/84/70/1071848470.db2.gz LCIUHFRHSGGUSD-KUHUBIRLSA-N 0 0 440.522 -0.783 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000435206110 1071848357 /nfs/dbraw/zinc/84/83/57/1071848357.db2.gz LCIUHFRHSGGUSD-LIRRHRJNSA-N 0 0 440.522 -0.783 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435206713 1071848320 /nfs/dbraw/zinc/84/83/20/1071848320.db2.gz IVKCCNYSHIXBKO-CYBMUJFWSA-N 0 0 437.457 -0.234 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435206716 1071848175 /nfs/dbraw/zinc/84/81/75/1071848175.db2.gz IVKCCNYSHIXBKO-ZDUSSCGKSA-N 0 0 437.457 -0.234 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c(C)s1 ZINC000435207550 1071848430 /nfs/dbraw/zinc/84/84/30/1071848430.db2.gz MJTYRNAGLCQRNU-UHFFFAOYSA-N 0 0 436.537 -0.981 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@]1(O)CCO[C@H]1C ZINC000435207622 1071848271 /nfs/dbraw/zinc/84/82/71/1071848271.db2.gz SCHOYOOJQPPYOM-LHSJRXKWSA-N 0 0 434.493 -0.323 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@]1(O)CCO[C@H]1C ZINC000435207624 1071848232 /nfs/dbraw/zinc/84/82/32/1071848232.db2.gz SCHOYOOJQPPYOM-QKKBWIMNSA-N 0 0 434.493 -0.323 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@]1(O)CCO[C@@H]1C ZINC000435207626 1071848335 /nfs/dbraw/zinc/84/83/35/1071848335.db2.gz SCHOYOOJQPPYOM-SPLOXXLWSA-N 0 0 434.493 -0.323 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@]1(O)CCO[C@@H]1C ZINC000435207628 1071848400 /nfs/dbraw/zinc/84/84/00/1071848400.db2.gz SCHOYOOJQPPYOM-SZNDQCEHSA-N 0 0 434.493 -0.323 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1-n1cnnn1 ZINC000435209578 1071848482 /nfs/dbraw/zinc/84/84/82/1071848482.db2.gz XOOMOSFFOKPZQW-HNNXBMFYSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1-n1cnnn1 ZINC000435209579 1071848385 /nfs/dbraw/zinc/84/83/85/1071848385.db2.gz XOOMOSFFOKPZQW-OAHLLOKOSA-N 0 0 433.494 -0.064 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435209955 1071849001 /nfs/dbraw/zinc/84/90/01/1071849001.db2.gz ZHCVMAPDIGXPFW-HNNXBMFYSA-N 0 0 437.522 -0.117 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435209956 1071849106 /nfs/dbraw/zinc/84/91/06/1071849106.db2.gz ZHCVMAPDIGXPFW-OAHLLOKOSA-N 0 0 437.522 -0.117 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1S(N)(=O)=O ZINC000435210265 1071848969 /nfs/dbraw/zinc/84/89/69/1071848969.db2.gz AGDYBGIADFFJDJ-AWEZNQCLSA-N 0 0 444.535 -0.393 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1S(N)(=O)=O ZINC000435210267 1071849073 /nfs/dbraw/zinc/84/90/73/1071849073.db2.gz AGDYBGIADFFJDJ-CQSZACIVSA-N 0 0 444.535 -0.393 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CCCC(=O)N1 ZINC000435210465 1071848371 /nfs/dbraw/zinc/84/83/71/1071848371.db2.gz IYJVWSGKWVVOSI-GFCCVEGCSA-N 0 0 425.511 -0.274 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H]1CCCC(=O)N1 ZINC000435210466 1071848419 /nfs/dbraw/zinc/84/84/19/1071848419.db2.gz IYJVWSGKWVVOSI-LBPRGKRZSA-N 0 0 425.511 -0.274 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435210532 1071848304 /nfs/dbraw/zinc/84/83/04/1071848304.db2.gz JGRJOVUBHALDSM-INIZCTEOSA-N 0 0 437.522 -0.117 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435210534 1071848217 /nfs/dbraw/zinc/84/82/17/1071848217.db2.gz JGRJOVUBHALDSM-MRXNPFEDSA-N 0 0 437.522 -0.117 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000435211223 1071848957 /nfs/dbraw/zinc/84/89/57/1071848957.db2.gz PAARQNMJXAHGCQ-BTYIYWSLSA-N 0 0 434.493 -0.657 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000435211224 1071849038 /nfs/dbraw/zinc/84/90/38/1071849038.db2.gz PAARQNMJXAHGCQ-QVKFZJNVSA-N 0 0 434.493 -0.657 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000435211225 1071848992 /nfs/dbraw/zinc/84/89/92/1071848992.db2.gz PAARQNMJXAHGCQ-VFNWGFHPSA-N 0 0 434.493 -0.657 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000435211226 1071849191 /nfs/dbraw/zinc/84/91/91/1071849191.db2.gz PAARQNMJXAHGCQ-YCRPNKLZSA-N 0 0 434.493 -0.657 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNS(=O)(=O)N2CCS(=O)(=O)CC2)c(C)s1 ZINC000435211287 1071849169 /nfs/dbraw/zinc/84/91/69/1071849169.db2.gz POHVCOOHTVFOSZ-UHFFFAOYSA-N 0 0 431.583 -0.792 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435211601 1071848932 /nfs/dbraw/zinc/84/89/32/1071848932.db2.gz SORAUOIDJNUONA-HNNXBMFYSA-N 0 0 441.531 -0.019 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435211602 1071849021 /nfs/dbraw/zinc/84/90/21/1071849021.db2.gz SORAUOIDJNUONA-OAHLLOKOSA-N 0 0 441.531 -0.019 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCC(=O)N2)cc1 ZINC000435211880 1071849866 /nfs/dbraw/zinc/84/98/66/1071849866.db2.gz WADCHWSXMKCNTD-GXTWGEPZSA-N 0 0 426.495 -0.277 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCC(=O)N2)cc1 ZINC000435211881 1071849813 /nfs/dbraw/zinc/84/98/13/1071849813.db2.gz WADCHWSXMKCNTD-JSGCOSHPSA-N 0 0 426.495 -0.277 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCC(=O)N2)cc1 ZINC000435211882 1071849686 /nfs/dbraw/zinc/84/96/86/1071849686.db2.gz WADCHWSXMKCNTD-OCCSQVGLSA-N 0 0 426.495 -0.277 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCC(=O)N2)cc1 ZINC000435211883 1071849670 /nfs/dbraw/zinc/84/96/70/1071849670.db2.gz WADCHWSXMKCNTD-TZMCWYRMSA-N 0 0 426.495 -0.277 20 0 IBADRN O=C(Nc1ccn(Cc2ccncc2)n1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435212147 1073335579 /nfs/dbraw/zinc/33/55/79/1073335579.db2.gz YWRJMSMYKHIFEA-INIZCTEOSA-N 0 0 432.506 0.291 20 0 IBADRN O=C(Nc1ccn(Cc2ccncc2)n1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435212148 1071849156 /nfs/dbraw/zinc/84/91/56/1071849156.db2.gz YWRJMSMYKHIFEA-MRXNPFEDSA-N 0 0 432.506 0.291 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@]2(O)CCO[C@@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000435212315 1071849117 /nfs/dbraw/zinc/84/91/17/1071849117.db2.gz CVPDNXBORCGFSJ-ADLMAVQZSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@]2(O)CCO[C@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000435212316 1071849136 /nfs/dbraw/zinc/84/91/36/1071849136.db2.gz CVPDNXBORCGFSJ-BBATYDOGSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@]2(O)CCO[C@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000435212317 1071848947 /nfs/dbraw/zinc/84/89/47/1071848947.db2.gz CVPDNXBORCGFSJ-VOJFVSQTSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@]2(O)CCO[C@@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000435212318 1071848919 /nfs/dbraw/zinc/84/89/19/1071848919.db2.gz CVPDNXBORCGFSJ-ZMZPIMSZSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@]1(O)CCO[C@@H]1C ZINC000435212738 1071845868 /nfs/dbraw/zinc/84/58/68/1071845868.db2.gz HWCDNMKHRSZKDA-ADLMAVQZSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@]1(O)CCO[C@H]1C ZINC000435212739 1071845719 /nfs/dbraw/zinc/84/57/19/1071845719.db2.gz HWCDNMKHRSZKDA-BBATYDOGSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@]1(O)CCO[C@H]1C ZINC000435212740 1071845701 /nfs/dbraw/zinc/84/57/01/1071845701.db2.gz HWCDNMKHRSZKDA-VOJFVSQTSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@]1(O)CCO[C@@H]1C ZINC000435212741 1071845877 /nfs/dbraw/zinc/84/58/77/1071845877.db2.gz HWCDNMKHRSZKDA-ZMZPIMSZSA-N 0 0 427.479 -0.270 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@]1(O)CCO[C@H]1C ZINC000435213137 1071845766 /nfs/dbraw/zinc/84/57/66/1071845766.db2.gz LJDNRGSTCSGUGZ-KPZWWZAWSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@]1(O)CCO[C@@H]1C ZINC000435213138 1071845797 /nfs/dbraw/zinc/84/57/97/1071845797.db2.gz LJDNRGSTCSGUGZ-KZULUSFZSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@]1(O)CCO[C@H]1C ZINC000435213139 1071845825 /nfs/dbraw/zinc/84/58/25/1071845825.db2.gz LJDNRGSTCSGUGZ-SGTLLEGYSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@]1(O)CCO[C@@H]1C ZINC000435213140 1071845888 /nfs/dbraw/zinc/84/58/88/1071845888.db2.gz LJDNRGSTCSGUGZ-XIKOKIGWSA-N 0 0 429.495 -0.070 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC000435213724 1071846669 /nfs/dbraw/zinc/84/66/69/1071846669.db2.gz PMBPZZZLZZHAOF-HNNXBMFYSA-N 0 0 438.506 -0.094 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC000435213725 1071846416 /nfs/dbraw/zinc/84/64/16/1071846416.db2.gz PMBPZZZLZZHAOF-OAHLLOKOSA-N 0 0 438.506 -0.094 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000435213891 1071846468 /nfs/dbraw/zinc/84/64/68/1071846468.db2.gz QAHCXPAKJBARMX-KPZWWZAWSA-N 0 0 428.511 -0.403 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000435213892 1071846327 /nfs/dbraw/zinc/84/63/27/1071846327.db2.gz QAHCXPAKJBARMX-KZULUSFZSA-N 0 0 428.511 -0.403 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000435213893 1071846554 /nfs/dbraw/zinc/84/65/54/1071846554.db2.gz QAHCXPAKJBARMX-SGTLLEGYSA-N 0 0 428.511 -0.403 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000435213894 1071846586 /nfs/dbraw/zinc/84/65/86/1071846586.db2.gz QAHCXPAKJBARMX-XIKOKIGWSA-N 0 0 428.511 -0.403 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000435215672 1071846542 /nfs/dbraw/zinc/84/65/42/1071846542.db2.gz WMXOWKOFGFBLPT-ACJLOTCBSA-N 0 0 427.479 -0.698 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000435215673 1071846494 /nfs/dbraw/zinc/84/64/94/1071846494.db2.gz WMXOWKOFGFBLPT-FZKQIMNGSA-N 0 0 427.479 -0.698 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000435215674 1071846687 /nfs/dbraw/zinc/84/66/87/1071846687.db2.gz WMXOWKOFGFBLPT-SCLBCKFNSA-N 0 0 427.479 -0.698 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000435215675 1071846649 /nfs/dbraw/zinc/84/66/49/1071846649.db2.gz WMXOWKOFGFBLPT-UGSOOPFHSA-N 0 0 427.479 -0.698 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(O)CCO[C@@H]2C)cc1 ZINC000435215810 1071846626 /nfs/dbraw/zinc/84/66/26/1071846626.db2.gz XCSWYSMWARVQOM-JCGVRSQUSA-N 0 0 429.495 -0.406 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(O)CCO[C@H]2C)cc1 ZINC000435215813 1071846261 /nfs/dbraw/zinc/84/62/61/1071846261.db2.gz XCSWYSMWARVQOM-LXIYXOSZSA-N 0 0 429.495 -0.406 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(O)CCO[C@@H]2C)cc1 ZINC000435215815 1071846316 /nfs/dbraw/zinc/84/63/16/1071846316.db2.gz XCSWYSMWARVQOM-VEVIJQCQSA-N 0 0 429.495 -0.406 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(O)CCO[C@H]2C)cc1 ZINC000435215816 1071846342 /nfs/dbraw/zinc/84/63/42/1071846342.db2.gz XCSWYSMWARVQOM-ZJNRKIDTSA-N 0 0 429.495 -0.406 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC000435216541 1071846382 /nfs/dbraw/zinc/84/63/82/1071846382.db2.gz MSNHJHLQZFIULW-UHFFFAOYSA-N 0 0 433.599 -0.498 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)NC)CC2)cc1 ZINC000435217098 1071846444 /nfs/dbraw/zinc/84/64/44/1071846444.db2.gz NKBRGGILJGRVSM-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCNS(=O)(=O)c2cc(C)sc2C)cn1 ZINC000435217212 1071847001 /nfs/dbraw/zinc/84/70/01/1071847001.db2.gz PWNCPQWBFVVUKO-UHFFFAOYSA-N 0 0 436.537 -0.009 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cn1 ZINC000435217316 1071846300 /nfs/dbraw/zinc/84/63/00/1071846300.db2.gz ONOJVFWCWVVLGV-CYBMUJFWSA-N 0 0 430.508 -0.550 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cn1 ZINC000435217317 1071846703 /nfs/dbraw/zinc/84/67/03/1071846703.db2.gz ONOJVFWCWVVLGV-ZDUSSCGKSA-N 0 0 430.508 -0.550 20 0 IBADRN O=C(NC[C@H]1CCCC(=O)N1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000435217777 1071847071 /nfs/dbraw/zinc/84/70/71/1071847071.db2.gz SYRUYOIMBFAGJR-CYBMUJFWSA-N 0 0 442.469 -0.430 20 0 IBADRN O=C(NC[C@@H]1CCCC(=O)N1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000435217778 1071847227 /nfs/dbraw/zinc/84/72/27/1071847227.db2.gz SYRUYOIMBFAGJR-ZDUSSCGKSA-N 0 0 442.469 -0.430 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1 ZINC000435217792 1071847124 /nfs/dbraw/zinc/84/71/24/1071847124.db2.gz TWZZEHBNLDNUBR-INIZCTEOSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1 ZINC000435217793 1071847087 /nfs/dbraw/zinc/84/70/87/1071847087.db2.gz TWZZEHBNLDNUBR-MRXNPFEDSA-N 0 0 433.494 -0.064 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)cc2n(C)c1=O ZINC000435218334 1071847114 /nfs/dbraw/zinc/84/71/14/1071847114.db2.gz VKRAAKLQJSXIPO-AWEZNQCLSA-N 0 0 435.506 -0.158 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)cc2n(C)c1=O ZINC000435218335 1071847129 /nfs/dbraw/zinc/84/71/29/1071847129.db2.gz VKRAAKLQJSXIPO-CQSZACIVSA-N 0 0 435.506 -0.158 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000435219336 1071846939 /nfs/dbraw/zinc/84/69/39/1071846939.db2.gz ZIHOSUYOSMCWSK-AWEZNQCLSA-N 0 0 438.506 -0.094 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000435219337 1071847185 /nfs/dbraw/zinc/84/71/85/1071847185.db2.gz ZIHOSUYOSMCWSK-CQSZACIVSA-N 0 0 438.506 -0.094 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@]3(O)CCO[C@H]3C)cc2)CC1 ZINC000435219764 1071846968 /nfs/dbraw/zinc/84/69/68/1071846968.db2.gz HWILMINKOXGSFD-AOMKIAJQSA-N 0 0 432.521 -0.012 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@]3(O)CCO[C@H]3C)cc2)CC1 ZINC000435219765 1071847161 /nfs/dbraw/zinc/84/71/61/1071847161.db2.gz HWILMINKOXGSFD-KSFYIVLOSA-N 0 0 432.521 -0.012 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@]3(O)CCO[C@@H]3C)cc2)CC1 ZINC000435219766 1071847141 /nfs/dbraw/zinc/84/71/41/1071847141.db2.gz HWILMINKOXGSFD-OPAMFIHVSA-N 0 0 432.521 -0.012 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@]3(O)CCO[C@@H]3C)cc2)CC1 ZINC000435219767 1071847715 /nfs/dbraw/zinc/84/77/15/1071847715.db2.gz HWILMINKOXGSFD-ZHRRBRCNSA-N 0 0 432.521 -0.012 20 0 IBADRN CN(CCNS(=O)(=O)c1cccc(S(N)(=O)=O)c1)S(=O)(=O)c1cccs1 ZINC000435219785 1071847646 /nfs/dbraw/zinc/84/76/46/1071847646.db2.gz HZZGOPHIKQDNIF-UHFFFAOYSA-N 0 0 439.562 -0.006 20 0 IBADRN CN(CCNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)S(=O)(=O)c1cccs1 ZINC000435220536 1071846953 /nfs/dbraw/zinc/84/69/53/1071846953.db2.gz POBPQBVXTOIURS-UHFFFAOYSA-N 0 0 444.516 -0.123 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)NC)CC2)cc1OCC ZINC000435221416 1071851913 /nfs/dbraw/zinc/85/19/13/1071851913.db2.gz BUXWYPYUUUBIGH-UHFFFAOYSA-N 0 0 449.551 -0.134 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)n(-c2ncccn2)n1 ZINC000435221569 1071847691 /nfs/dbraw/zinc/84/76/91/1071847691.db2.gz CFYNUKMDHXMZLO-AWEZNQCLSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)n(-c2ncccn2)n1 ZINC000435221570 1071847793 /nfs/dbraw/zinc/84/77/93/1071847793.db2.gz CFYNUKMDHXMZLO-CQSZACIVSA-N 0 0 433.494 -0.064 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H]3CCCC(=O)N3)cc2)CC1 ZINC000435221701 1071852506 /nfs/dbraw/zinc/85/25/06/1071852506.db2.gz ZOPKMLQQRWUYIA-HNNXBMFYSA-N 0 0 437.522 -0.654 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H]3CCCC(=O)N3)cc2)CC1 ZINC000435221702 1071852272 /nfs/dbraw/zinc/85/22/72/1071852272.db2.gz ZOPKMLQQRWUYIA-OAHLLOKOSA-N 0 0 437.522 -0.654 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC000435222935 1071849759 /nfs/dbraw/zinc/84/97/59/1071849759.db2.gz OSMWMXJGORMFSV-CYBMUJFWSA-N 0 0 430.508 -0.701 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC000435222936 1071849698 /nfs/dbraw/zinc/84/96/98/1071849698.db2.gz OSMWMXJGORMFSV-ZDUSSCGKSA-N 0 0 430.508 -0.701 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000435222995 1071849773 /nfs/dbraw/zinc/84/97/73/1071849773.db2.gz PERDNSIUEUGOJF-KPZWWZAWSA-N 0 0 445.469 -0.559 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000435222996 1071849732 /nfs/dbraw/zinc/84/97/32/1071849732.db2.gz PERDNSIUEUGOJF-KZULUSFZSA-N 0 0 445.469 -0.559 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000435222997 1071849743 /nfs/dbraw/zinc/84/97/43/1071849743.db2.gz PERDNSIUEUGOJF-SGTLLEGYSA-N 0 0 445.469 -0.559 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000435222998 1071849656 /nfs/dbraw/zinc/84/96/56/1071849656.db2.gz PERDNSIUEUGOJF-XIKOKIGWSA-N 0 0 445.469 -0.559 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000435223624 1071852365 /nfs/dbraw/zinc/85/23/65/1071852365.db2.gz UYQQAKFNGSNMCZ-AUUYWEPGSA-N 0 0 440.522 -0.783 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000435223625 1071852563 /nfs/dbraw/zinc/85/25/63/1071852563.db2.gz UYQQAKFNGSNMCZ-IFXJQAMLSA-N 0 0 440.522 -0.783 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000435223626 1071852538 /nfs/dbraw/zinc/85/25/38/1071852538.db2.gz UYQQAKFNGSNMCZ-KUHUBIRLSA-N 0 0 440.522 -0.783 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000435223627 1071852379 /nfs/dbraw/zinc/85/23/79/1071852379.db2.gz UYQQAKFNGSNMCZ-LIRRHRJNSA-N 0 0 440.522 -0.783 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1-n1cnnn1 ZINC000435223644 1071849605 /nfs/dbraw/zinc/84/96/05/1071849605.db2.gz VEQHDCLLNGWUKQ-AWEZNQCLSA-N 0 0 449.493 -0.364 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1-n1cnnn1 ZINC000435223645 1071849626 /nfs/dbraw/zinc/84/96/26/1071849626.db2.gz VEQHDCLLNGWUKQ-CQSZACIVSA-N 0 0 449.493 -0.364 20 0 IBADRN CCn1nccc1C1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000435224540 1071849792 /nfs/dbraw/zinc/84/97/92/1071849792.db2.gz CWOVRFXGUVHZKW-UHFFFAOYSA-N 0 0 443.508 0.303 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2cccc3cc(C)cnc32)CC1 ZINC000435225218 1071849850 /nfs/dbraw/zinc/84/98/50/1071849850.db2.gz IKJMMLQULKSROO-UHFFFAOYSA-N 0 0 426.520 -0.075 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1 ZINC000435226517 1071850503 /nfs/dbraw/zinc/85/05/03/1071850503.db2.gz MYUJFMONTMFFFO-CYBMUJFWSA-N 0 0 430.508 -0.701 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1 ZINC000435226518 1071850388 /nfs/dbraw/zinc/85/03/88/1071850388.db2.gz MYUJFMONTMFFFO-ZDUSSCGKSA-N 0 0 430.508 -0.701 20 0 IBADRN CNS(=O)(=O)CC(=O)N(CCCOC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000435226641 1071850431 /nfs/dbraw/zinc/85/04/31/1071850431.db2.gz AEEQBBYBLQUEAK-UHFFFAOYSA-N 0 0 439.494 -0.502 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1 ZINC000435227769 1071851136 /nfs/dbraw/zinc/85/11/36/1071851136.db2.gz SFJGLLWLYIQAKQ-CYBMUJFWSA-N 0 0 448.498 -0.562 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1 ZINC000435227770 1071850918 /nfs/dbraw/zinc/85/09/18/1071850918.db2.gz SFJGLLWLYIQAKQ-ZDUSSCGKSA-N 0 0 448.498 -0.562 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)NC[C@@H](C)O)cn2)cc1S(=O)(=O)NC[C@@H](C)O ZINC000435228091 1071850185 /nfs/dbraw/zinc/85/01/85/1071850185.db2.gz URJHNKKOIFUEJI-CHWSQXEVSA-N 0 0 432.524 -0.501 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)NC[C@@H](C)O)cn2)cc1S(=O)(=O)NC[C@H](C)O ZINC000435228094 1071850241 /nfs/dbraw/zinc/85/02/41/1071850241.db2.gz URJHNKKOIFUEJI-OLZOCXBDSA-N 0 0 432.524 -0.501 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)NC[C@H](C)O)cn2)cc1S(=O)(=O)NC[C@@H](C)O ZINC000435228096 1071850371 /nfs/dbraw/zinc/85/03/71/1071850371.db2.gz URJHNKKOIFUEJI-QWHCGFSZSA-N 0 0 432.524 -0.501 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)NC[C@H](C)O)cn2)cc1S(=O)(=O)NC[C@H](C)O ZINC000435228098 1071850358 /nfs/dbraw/zinc/85/03/58/1071850358.db2.gz URJHNKKOIFUEJI-STQMWFEESA-N 0 0 432.524 -0.501 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC000435228249 1071850203 /nfs/dbraw/zinc/85/02/03/1071850203.db2.gz VCSBMMCLYGLZDV-HNNXBMFYSA-N 0 0 438.506 -0.224 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC000435228250 1071850157 /nfs/dbraw/zinc/85/01/57/1071850157.db2.gz VCSBMMCLYGLZDV-OAHLLOKOSA-N 0 0 438.506 -0.224 20 0 IBADRN Cn1c(=O)[nH]cc(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)c1=O ZINC000435229637 1071850874 /nfs/dbraw/zinc/85/08/74/1071850874.db2.gz KAMKMLLUOZSHBY-UHFFFAOYSA-N 0 0 434.434 -0.786 20 0 IBADRN Cn1c(=O)[nH]cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)c1=O ZINC000435230604 1071851148 /nfs/dbraw/zinc/85/11/48/1071851148.db2.gz CRDHHVFJJOHFGK-UHFFFAOYSA-N 0 0 436.446 -0.596 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000435232243 1071851084 /nfs/dbraw/zinc/85/10/84/1071851084.db2.gz JYHHJNRXKWYICU-UHFFFAOYSA-N 0 0 440.341 -0.169 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435233748 1071851120 /nfs/dbraw/zinc/85/11/20/1071851120.db2.gz COXATYOTIWEMQV-NRFANRHFSA-N 0 0 430.461 -0.013 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435233750 1071851140 /nfs/dbraw/zinc/85/11/40/1071851140.db2.gz COXATYOTIWEMQV-OAQYLSRUSA-N 0 0 430.461 -0.013 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)cc1 ZINC000435234790 1071850903 /nfs/dbraw/zinc/85/09/03/1071850903.db2.gz AWNSCYMETUXDTM-HNNXBMFYSA-N 0 0 442.538 -0.271 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)cc1 ZINC000435234791 1071851051 /nfs/dbraw/zinc/85/10/51/1071851051.db2.gz AWNSCYMETUXDTM-OAHLLOKOSA-N 0 0 442.538 -0.271 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000435234829 1071851059 /nfs/dbraw/zinc/85/10/59/1071851059.db2.gz JOTYABWFYAPTOJ-CYBMUJFWSA-N 0 0 441.506 -0.006 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000435234830 1071851937 /nfs/dbraw/zinc/85/19/37/1071851937.db2.gz JOTYABWFYAPTOJ-ZDUSSCGKSA-N 0 0 441.506 -0.006 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435235689 1071851102 /nfs/dbraw/zinc/85/11/02/1071851102.db2.gz FQWPWTMWULJXSI-IBGZPJMESA-N 0 0 436.490 -0.615 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435235690 1071851187 /nfs/dbraw/zinc/85/11/87/1071851187.db2.gz FQWPWTMWULJXSI-LJQANCHMSA-N 0 0 436.490 -0.615 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@]4(CNC(=O)C4)C3)c2)CC1 ZINC000435236558 1071851672 /nfs/dbraw/zinc/85/16/72/1071851672.db2.gz JMKJIMRWCAMIIY-FQEVSTJZSA-N 0 0 449.533 -0.700 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@]4(CNC(=O)C4)C3)c2)CC1 ZINC000435236559 1071851794 /nfs/dbraw/zinc/85/17/94/1071851794.db2.gz JMKJIMRWCAMIIY-HXUWFJFHSA-N 0 0 449.533 -0.700 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@@H](Oc3ccncc3)C2)n1)N1CCOCC1 ZINC000435236641 1071851833 /nfs/dbraw/zinc/85/18/33/1071851833.db2.gz TXHLAJZSSXRZCR-MRXNPFEDSA-N 0 0 428.449 -0.245 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000435237236 1071851952 /nfs/dbraw/zinc/85/19/52/1071851952.db2.gz WYQAEBYANAPJFO-INIZCTEOSA-N 0 0 435.529 -0.202 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000435237238 1071851695 /nfs/dbraw/zinc/85/16/95/1071851695.db2.gz WYQAEBYANAPJFO-MRXNPFEDSA-N 0 0 435.529 -0.202 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000435237338 1071851764 /nfs/dbraw/zinc/85/17/64/1071851764.db2.gz XFNMERBFEQDOHV-UHFFFAOYSA-N 0 0 437.496 -0.935 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435237734 1071851721 /nfs/dbraw/zinc/85/17/21/1071851721.db2.gz ODQUEUJJASUPSF-JOCHJYFZSA-N 0 0 443.504 -0.240 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435237735 1071851802 /nfs/dbraw/zinc/85/18/02/1071851802.db2.gz ODQUEUJJASUPSF-QFIPXVFZSA-N 0 0 443.504 -0.240 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)cc1 ZINC000435238489 1071851928 /nfs/dbraw/zinc/85/19/28/1071851928.db2.gz RDINMLHYWJEIKL-HNNXBMFYSA-N 0 0 434.493 -0.364 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)cc1 ZINC000435238490 1071851597 /nfs/dbraw/zinc/85/15/97/1071851597.db2.gz RDINMLHYWJEIKL-OAHLLOKOSA-N 0 0 434.493 -0.364 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCC(C(=O)c3ccc(F)cc3)CC2)n1 ZINC000435240238 1071851976 /nfs/dbraw/zinc/85/19/76/1071851976.db2.gz NLLXEFXBILFDTE-UHFFFAOYSA-N 0 0 430.440 0.565 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@@H](Oc3ccncc3)C2)cn1)N1CCOCC1 ZINC000435240404 1071851870 /nfs/dbraw/zinc/85/18/70/1071851870.db2.gz NYVGJDAYKRMKNT-QGZVFWFLSA-N 0 0 428.449 -0.245 20 0 IBADRN CN(CCCCCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000435241046 1071853664 /nfs/dbraw/zinc/85/36/64/1071853664.db2.gz RSACFLHBKPPINL-UHFFFAOYSA-N 0 0 426.495 -0.633 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435241113 1071853764 /nfs/dbraw/zinc/85/37/64/1071853764.db2.gz DGMRTHCBAGCVRN-IBGZPJMESA-N 0 0 436.490 -0.187 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435241114 1071853961 /nfs/dbraw/zinc/85/39/61/1071853961.db2.gz DGMRTHCBAGCVRN-LJQANCHMSA-N 0 0 436.490 -0.187 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435242300 1071853679 /nfs/dbraw/zinc/85/36/79/1071853679.db2.gz KEJDDVCRAFRTFO-JOCHJYFZSA-N 0 0 443.504 -0.574 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435242301 1071853651 /nfs/dbraw/zinc/85/36/51/1071853651.db2.gz KEJDDVCRAFRTFO-QFIPXVFZSA-N 0 0 443.504 -0.574 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CC[C@]3(CNC(=O)C3)C2)cc1 ZINC000435243197 1071852396 /nfs/dbraw/zinc/85/23/96/1071852396.db2.gz RPKJNEDRQFJEIF-NRFANRHFSA-N 0 0 429.477 -0.366 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc1 ZINC000435243198 1071852336 /nfs/dbraw/zinc/85/23/36/1071852336.db2.gz RPKJNEDRQFJEIF-OAQYLSRUSA-N 0 0 429.477 -0.366 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@]3(CNC(=O)C3)C2)cc(S(C)(=O)=O)c1 ZINC000435244170 1071852261 /nfs/dbraw/zinc/85/22/61/1071852261.db2.gz ZKVZNHJGQKLMAI-IBGZPJMESA-N 0 0 437.474 -0.056 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc(S(C)(=O)=O)c1 ZINC000435244173 1071852223 /nfs/dbraw/zinc/85/22/23/1071852223.db2.gz ZKVZNHJGQKLMAI-LJQANCHMSA-N 0 0 437.474 -0.056 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435244508 1071852599 /nfs/dbraw/zinc/85/25/99/1071852599.db2.gz FOYKFVXVQMXSPF-IBGZPJMESA-N 0 0 437.522 -0.320 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435244509 1071852590 /nfs/dbraw/zinc/85/25/90/1071852590.db2.gz FOYKFVXVQMXSPF-LJQANCHMSA-N 0 0 437.522 -0.320 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc1 ZINC000435244876 1071853177 /nfs/dbraw/zinc/85/31/77/1071853177.db2.gz ISMVNILGMVWNMO-BFUOFWGJSA-N 0 0 438.506 -0.323 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@]3(CNC(=O)C3)C2)cc1 ZINC000435244877 1071853129 /nfs/dbraw/zinc/85/31/29/1071853129.db2.gz ISMVNILGMVWNMO-DJJJIMSYSA-N 0 0 438.506 -0.323 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc1 ZINC000435244878 1071853110 /nfs/dbraw/zinc/85/31/10/1071853110.db2.gz ISMVNILGMVWNMO-ORAYPTAESA-N 0 0 438.506 -0.323 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@]3(CNC(=O)C3)C2)cc1 ZINC000435244879 1071853342 /nfs/dbraw/zinc/85/33/42/1071853342.db2.gz ISMVNILGMVWNMO-YJYMSZOUSA-N 0 0 438.506 -0.323 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@]3(CNC(=O)C3)C2)cc1S(=O)(=O)NC1CC1 ZINC000435245674 1071853302 /nfs/dbraw/zinc/85/33/02/1071853302.db2.gz NFGMORGNDNGRQY-IBGZPJMESA-N 0 0 436.490 -0.187 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc1S(=O)(=O)NC1CC1 ZINC000435245675 1071853051 /nfs/dbraw/zinc/85/30/51/1071853051.db2.gz NFGMORGNDNGRQY-LJQANCHMSA-N 0 0 436.490 -0.187 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435246680 1071853329 /nfs/dbraw/zinc/85/33/29/1071853329.db2.gz SCDWDWOCJJLCQN-IBGZPJMESA-N 0 0 436.490 -0.615 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435246681 1071853276 /nfs/dbraw/zinc/85/32/76/1071853276.db2.gz SCDWDWOCJJLCQN-LJQANCHMSA-N 0 0 436.490 -0.615 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N(C)[C@@H](C)CN3CCOCC3)n2)c1C ZINC000435246897 1071853291 /nfs/dbraw/zinc/85/32/91/1071853291.db2.gz UFAVPHITXPPMIZ-HNNXBMFYSA-N 0 0 445.524 0.464 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N(C)[C@H](C)CN3CCOCC3)n2)c1C ZINC000435246898 1071853348 /nfs/dbraw/zinc/85/33/48/1071853348.db2.gz UFAVPHITXPPMIZ-OAHLLOKOSA-N 0 0 445.524 0.464 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000435252050 1071853881 /nfs/dbraw/zinc/85/38/81/1071853881.db2.gz NHJPHDHOGRICIR-INIZCTEOSA-N 0 0 432.525 -0.413 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000435252051 1071853704 /nfs/dbraw/zinc/85/37/04/1071853704.db2.gz NHJPHDHOGRICIR-MRXNPFEDSA-N 0 0 432.525 -0.413 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CC[C@@]4(CNC(=O)C4)C3)cc2)CC1 ZINC000435252470 1071853075 /nfs/dbraw/zinc/85/30/75/1071853075.db2.gz SJFPIAZVEYVROQ-JOCHJYFZSA-N 0 0 427.505 -0.320 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CC[C@]4(CNC(=O)C4)C3)cc2)CC1 ZINC000435252471 1071853898 /nfs/dbraw/zinc/85/38/98/1071853898.db2.gz SJFPIAZVEYVROQ-QFIPXVFZSA-N 0 0 427.505 -0.320 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@]4(CNC(=O)C4)C3)cc2)CC1 ZINC000435253496 1071853800 /nfs/dbraw/zinc/85/38/00/1071853800.db2.gz GVBZPAVMJJAVKP-FQEVSTJZSA-N 0 0 449.533 -0.700 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@]4(CNC(=O)C4)C3)cc2)CC1 ZINC000435253497 1071853940 /nfs/dbraw/zinc/85/39/40/1071853940.db2.gz GVBZPAVMJJAVKP-HXUWFJFHSA-N 0 0 449.533 -0.700 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CC[C@]2(CNC(=O)C2)C1)N1CCOCC1 ZINC000435254412 1071853954 /nfs/dbraw/zinc/85/39/54/1071853954.db2.gz RTPCFJXYWSLBQH-NRFANRHFSA-N 0 0 430.461 -0.399 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CC[C@@]2(CNC(=O)C2)C1)N1CCOCC1 ZINC000435254413 1071853948 /nfs/dbraw/zinc/85/39/48/1071853948.db2.gz RTPCFJXYWSLBQH-OAQYLSRUSA-N 0 0 430.461 -0.399 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC[C@]4(CNC(=O)C4)C3)n2)c1C ZINC000435255482 1071853740 /nfs/dbraw/zinc/85/37/40/1071853740.db2.gz HBABSXZCZQQBMS-FQEVSTJZSA-N 0 0 427.465 0.024 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC[C@@]4(CNC(=O)C4)C3)n2)c1C ZINC000435255483 1071853821 /nfs/dbraw/zinc/85/38/21/1071853821.db2.gz HBABSXZCZQQBMS-HXUWFJFHSA-N 0 0 427.465 0.024 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435258984 1071854689 /nfs/dbraw/zinc/85/46/89/1071854689.db2.gz FUQMNGHGOYZXJT-HTAPYJJXSA-N 0 0 442.472 -0.622 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435258985 1071854390 /nfs/dbraw/zinc/85/43/90/1071854390.db2.gz FUQMNGHGOYZXJT-JTSKRJEESA-N 0 0 442.472 -0.622 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000435258986 1071854601 /nfs/dbraw/zinc/85/46/01/1071854601.db2.gz FUQMNGHGOYZXJT-VGOFRKELSA-N 0 0 442.472 -0.622 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC[C@]2(CNC(=O)C2)C1 ZINC000435258987 1071854585 /nfs/dbraw/zinc/85/45/85/1071854585.db2.gz FUQMNGHGOYZXJT-VGSWGCGISA-N 0 0 442.472 -0.622 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1 ZINC000435261316 1071855173 /nfs/dbraw/zinc/85/51/73/1071855173.db2.gz ZIXDHEHLDYIAAR-UHFFFAOYSA-N 0 0 427.523 -0.136 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000435264174 1071854681 /nfs/dbraw/zinc/85/46/81/1071854681.db2.gz ARHNEBYLOLUCHL-UHFFFAOYSA-N 0 0 449.533 -0.071 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)cc2)CC1 ZINC000435272012 1071854373 /nfs/dbraw/zinc/85/43/73/1071854373.db2.gz PUWXUTZPFRKDSB-UHFFFAOYSA-N 0 0 449.533 -0.401 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)n2)c1C ZINC000435274094 1071854653 /nfs/dbraw/zinc/85/46/53/1071854653.db2.gz GDIXYXMRDVQEQN-UHFFFAOYSA-N 0 0 427.465 0.324 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](COC)[C@@H]2CCCO2)cc1 ZINC000435279725 1071855116 /nfs/dbraw/zinc/85/51/16/1071855116.db2.gz LGCSYXJRBUREGX-CVEARBPZSA-N 0 0 429.495 -0.140 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](COC)[C@@H]2CCCO2)cc1 ZINC000435279726 1071855058 /nfs/dbraw/zinc/85/50/58/1071855058.db2.gz LGCSYXJRBUREGX-HOTGVXAUSA-N 0 0 429.495 -0.140 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](COC)[C@H]2CCCO2)cc1 ZINC000435279727 1071855153 /nfs/dbraw/zinc/85/51/53/1071855153.db2.gz LGCSYXJRBUREGX-HZPDHXFCSA-N 0 0 429.495 -0.140 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](COC)[C@H]2CCCO2)cc1 ZINC000435279728 1071855037 /nfs/dbraw/zinc/85/50/37/1071855037.db2.gz LGCSYXJRBUREGX-JKSUJKDBSA-N 0 0 429.495 -0.140 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(=O)N(CC2CC2)C1 ZINC000435280935 1071855096 /nfs/dbraw/zinc/85/50/96/1071855096.db2.gz OFPUFVZHJRGPDA-UHFFFAOYSA-N 0 0 436.490 -0.316 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(=O)N(CC2CC2)C1 ZINC000435281685 1071855196 /nfs/dbraw/zinc/85/51/96/1071855196.db2.gz WYHAWWHRZCCVRX-GOSISDBHSA-N 0 0 442.472 -0.322 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(=O)N(CC2CC2)C1 ZINC000435281686 1071855227 /nfs/dbraw/zinc/85/52/27/1071855227.db2.gz WYHAWWHRZCCVRX-SFHVURJKSA-N 0 0 442.472 -0.322 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(C)Cc3nc[nH]n3)c2)CC1 ZINC000435282184 1071855292 /nfs/dbraw/zinc/85/52/92/1071855292.db2.gz RPLYVVRSHCZGHX-UHFFFAOYSA-N 0 0 435.510 -0.272 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)c2)CC1 ZINC000435282775 1071855794 /nfs/dbraw/zinc/85/57/94/1071855794.db2.gz UTDONZAWXCYVGK-UHFFFAOYSA-N 0 0 449.533 -0.401 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)cn2)C[C@H](C)O1 ZINC000435283122 1071855070 /nfs/dbraw/zinc/85/50/70/1071855070.db2.gz WDQRXKULUHVAPV-KBPBESRZSA-N 0 0 432.481 -0.504 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)cn2)C[C@H](C)O1 ZINC000435283123 1071855017 /nfs/dbraw/zinc/85/50/17/1071855017.db2.gz WDQRXKULUHVAPV-OKILXGFUSA-N 0 0 432.481 -0.504 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)cn2)C[C@@H](C)O1 ZINC000435283124 1071855862 /nfs/dbraw/zinc/85/58/62/1071855862.db2.gz WDQRXKULUHVAPV-ZIAGYGMSSA-N 0 0 432.481 -0.504 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC(=O)N(CC2CC2)C1 ZINC000435287502 1071855841 /nfs/dbraw/zinc/85/58/41/1071855841.db2.gz HTTPQMFDKRMQCA-UHFFFAOYSA-N 0 0 443.504 -0.275 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CCCO1 ZINC000435287777 1071855761 /nfs/dbraw/zinc/85/57/61/1071855761.db2.gz AVUIFFCIYPDVMJ-IEZWGBDMSA-N 0 0 447.488 -0.050 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CCCO1 ZINC000435287780 1071855883 /nfs/dbraw/zinc/85/58/83/1071855883.db2.gz AVUIFFCIYPDVMJ-KVSKMBFKSA-N 0 0 447.488 -0.050 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CCCO1 ZINC000435287782 1071855913 /nfs/dbraw/zinc/85/59/13/1071855913.db2.gz AVUIFFCIYPDVMJ-VDZJLULYSA-N 0 0 447.488 -0.050 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CCCO1 ZINC000435287783 1071855849 /nfs/dbraw/zinc/85/58/49/1071855849.db2.gz AVUIFFCIYPDVMJ-WDYCEAGBSA-N 0 0 447.488 -0.050 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CC3CC3)C2)cc1 ZINC000435287964 1071855909 /nfs/dbraw/zinc/85/59/09/1071855909.db2.gz BGRXVJGRPOKXOH-CYBMUJFWSA-N 0 0 438.506 -0.023 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CC3CC3)C2)cc1 ZINC000435287965 1071855739 /nfs/dbraw/zinc/85/57/39/1071855739.db2.gz BGRXVJGRPOKXOH-ZDUSSCGKSA-N 0 0 438.506 -0.023 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)[C@@H]1CCCO1 ZINC000435290025 1071856406 /nfs/dbraw/zinc/85/64/06/1071856406.db2.gz JJYZVEFVAOIVDJ-FSDCSDTHSA-N 0 0 437.497 -0.232 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)[C@H]1CCCO1 ZINC000435290027 1071856351 /nfs/dbraw/zinc/85/63/51/1071856351.db2.gz JJYZVEFVAOIVDJ-HDEZJCGLSA-N 0 0 437.497 -0.232 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)[C@H]1CCCO1 ZINC000435290029 1071856429 /nfs/dbraw/zinc/85/64/29/1071856429.db2.gz JJYZVEFVAOIVDJ-NXNVCVFFSA-N 0 0 437.497 -0.232 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)[C@@H]1CCCO1 ZINC000435290032 1071856464 /nfs/dbraw/zinc/85/64/64/1071856464.db2.gz JJYZVEFVAOIVDJ-OTRWWLKZSA-N 0 0 437.497 -0.232 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCCO1 ZINC000435290056 1071856364 /nfs/dbraw/zinc/85/63/64/1071856364.db2.gz PXVXMWGQNMWZHN-DLBZAZTESA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCCO1 ZINC000435290057 1071856274 /nfs/dbraw/zinc/85/62/74/1071856274.db2.gz PXVXMWGQNMWZHN-IAGOWNOFSA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCCO1 ZINC000435290058 1071856320 /nfs/dbraw/zinc/85/63/20/1071856320.db2.gz PXVXMWGQNMWZHN-IRXDYDNUSA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCCO1 ZINC000435290059 1071856339 /nfs/dbraw/zinc/85/63/39/1071856339.db2.gz PXVXMWGQNMWZHN-SJORKVTESA-N 0 0 441.506 -0.044 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000435290423 1071856288 /nfs/dbraw/zinc/85/62/88/1071856288.db2.gz KPEHJJNUVPLLOW-APIJFGDWSA-N 0 0 435.481 -0.085 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000435290426 1071856394 /nfs/dbraw/zinc/85/63/94/1071856394.db2.gz KPEHJJNUVPLLOW-LJISPDSOSA-N 0 0 435.481 -0.085 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000435290429 1071856381 /nfs/dbraw/zinc/85/63/81/1071856381.db2.gz KPEHJJNUVPLLOW-ZQDZILKHSA-N 0 0 435.481 -0.085 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC(=O)N(CC2CC2)C1 ZINC000435290543 1071856257 /nfs/dbraw/zinc/85/62/57/1071856257.db2.gz RDDUJUAUGXFJFE-UHFFFAOYSA-N 0 0 436.490 -0.316 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CC(=O)N(CC3CC3)C2)cc1 ZINC000435292521 1071857033 /nfs/dbraw/zinc/85/70/33/1071857033.db2.gz ZFVNBQSKEBGUPL-UHFFFAOYSA-N 0 0 429.477 -0.066 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC(=O)N(CC2CC2)C1 ZINC000435293165 1071857120 /nfs/dbraw/zinc/85/71/20/1071857120.db2.gz VYAIBJQVSPJFEO-UHFFFAOYSA-N 0 0 437.522 -0.020 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H]1CCCO1 ZINC000435294613 1071856919 /nfs/dbraw/zinc/85/69/19/1071856919.db2.gz KXTZAACOHCVFDO-MOPGFXCFSA-N 0 0 448.520 -0.003 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H]1CCCO1 ZINC000435294614 1071856974 /nfs/dbraw/zinc/85/69/74/1071856974.db2.gz KXTZAACOHCVFDO-OALUTQOASA-N 0 0 448.520 -0.003 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H]1CCCO1 ZINC000435294615 1071857090 /nfs/dbraw/zinc/85/70/90/1071857090.db2.gz KXTZAACOHCVFDO-RBUKOAKNSA-N 0 0 448.520 -0.003 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H]1CCCO1 ZINC000435294616 1071856980 /nfs/dbraw/zinc/85/69/80/1071856980.db2.gz KXTZAACOHCVFDO-RTBURBONSA-N 0 0 448.520 -0.003 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)cc2)CC1 ZINC000435294755 1071857072 /nfs/dbraw/zinc/85/70/72/1071857072.db2.gz MDWIUNOSMJJHAS-UHFFFAOYSA-N 0 0 427.505 -0.020 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CC(=O)N(CC2CC2)C1)N1CCOCC1 ZINC000435296226 1071858428 /nfs/dbraw/zinc/85/84/28/1071858428.db2.gz GCKSVOIFSZZFMA-UHFFFAOYSA-N 0 0 430.461 -0.099 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CCCO1 ZINC000435296624 1071858265 /nfs/dbraw/zinc/85/82/65/1071858265.db2.gz SOBZQWAQODEOEO-DLBZAZTESA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CCCO1 ZINC000435296626 1071858252 /nfs/dbraw/zinc/85/82/52/1071858252.db2.gz SOBZQWAQODEOEO-IAGOWNOFSA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCCO1 ZINC000435296629 1071858417 /nfs/dbraw/zinc/85/84/17/1071858417.db2.gz SOBZQWAQODEOEO-IRXDYDNUSA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCCO1 ZINC000435296631 1071858382 /nfs/dbraw/zinc/85/83/82/1071858382.db2.gz SOBZQWAQODEOEO-SJORKVTESA-N 0 0 441.506 -0.044 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCOc3c(F)cccc3C2)n1)N1CCOCC1 ZINC000435296669 1071858494 /nfs/dbraw/zinc/85/84/94/1071858494.db2.gz SSXTYCQXSUAVOJ-UHFFFAOYSA-N 0 0 431.424 0.241 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)CC1=O ZINC000435301282 1071859291 /nfs/dbraw/zinc/85/92/91/1071859291.db2.gz VXBTWVRLJNJWFO-UHFFFAOYSA-N 0 0 428.467 -0.793 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@@H]3CCOC3)c2)CC1=O ZINC000435302212 1071856987 /nfs/dbraw/zinc/85/69/87/1071856987.db2.gz ZNWXQGWRAVADJE-CYBMUJFWSA-N 0 0 440.478 -0.651 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@H]3CCOC3)c2)CC1=O ZINC000435302213 1071857130 /nfs/dbraw/zinc/85/71/30/1071857130.db2.gz ZNWXQGWRAVADJE-ZDUSSCGKSA-N 0 0 440.478 -0.651 20 0 IBADRN CC[C@H]1CN(CCNC(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CCO1 ZINC000435303408 1073349155 /nfs/dbraw/zinc/34/91/55/1073349155.db2.gz XRMAULYRTZWQRG-INIZCTEOSA-N 0 0 445.524 0.512 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CCO1 ZINC000435303409 1073348044 /nfs/dbraw/zinc/34/80/44/1073348044.db2.gz XRMAULYRTZWQRG-MRXNPFEDSA-N 0 0 445.524 0.512 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](OC)[C@@H](OC)C2)ccc1OC ZINC000435303737 1071856967 /nfs/dbraw/zinc/85/69/67/1071856967.db2.gz AZCQLDWMOLWYFG-GASCZTMLSA-N 0 0 445.494 -0.569 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2(CO)CCC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435305102 1071856996 /nfs/dbraw/zinc/85/69/96/1071856996.db2.gz FKEWIKBRIMYRTK-CYBMUJFWSA-N 0 0 427.479 -0.268 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2(CO)CCC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435305104 1071857112 /nfs/dbraw/zinc/85/71/12/1071857112.db2.gz FKEWIKBRIMYRTK-ZDUSSCGKSA-N 0 0 427.479 -0.268 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC[C@H](N4CCCC4=O)C3)n2)c1C ZINC000435305895 1071857536 /nfs/dbraw/zinc/85/75/36/1071857536.db2.gz RWJXZGOYWWJPTB-HNNXBMFYSA-N 0 0 441.492 0.509 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CC[C@@H](N4CCCC4=O)C3)n2)c1C ZINC000435305896 1071857017 /nfs/dbraw/zinc/85/70/17/1071857017.db2.gz RWJXZGOYWWJPTB-OAHLLOKOSA-N 0 0 441.492 0.509 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)n2)c1C ZINC000435306086 1071856940 /nfs/dbraw/zinc/85/69/40/1071856940.db2.gz SWIKJARNLGNUGJ-UHFFFAOYSA-N 0 0 443.508 0.266 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](OC)[C@@H](OC)C2)ccc1OC ZINC000435307324 1071857597 /nfs/dbraw/zinc/85/75/97/1071857597.db2.gz AZCQLDWMOLWYFG-GJZGRUSLSA-N 0 0 445.494 -0.569 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](OC)[C@H](OC)C2)ccc1OC ZINC000435307326 1071857800 /nfs/dbraw/zinc/85/78/00/1071857800.db2.gz AZCQLDWMOLWYFG-HUUCEWRRSA-N 0 0 445.494 -0.569 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)C1 ZINC000435308979 1073342463 /nfs/dbraw/zinc/34/24/63/1073342463.db2.gz XKMLWSOECRRQKR-UHFFFAOYSA-N 0 0 432.481 0.332 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N(C)[C@@H](CO)COC(C)C)n2)c1C ZINC000435310097 1071857619 /nfs/dbraw/zinc/85/76/19/1071857619.db2.gz LPGHKDGGSWIELH-HNNXBMFYSA-N 0 0 434.497 0.530 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N(C)[C@H](CO)COC(C)C)n2)c1C ZINC000435310098 1071857554 /nfs/dbraw/zinc/85/75/54/1071857554.db2.gz LPGHKDGGSWIELH-OAHLLOKOSA-N 0 0 434.497 0.530 20 0 IBADRN CSC1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000435310867 1071860049 /nfs/dbraw/zinc/86/00/49/1071860049.db2.gz POBAGPAOXUHGCV-UHFFFAOYSA-N 0 0 426.520 -0.243 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)ccc1OC ZINC000435312904 1071860073 /nfs/dbraw/zinc/86/00/73/1071860073.db2.gz CXTFTCMKMBNRMT-GXTWGEPZSA-N 0 0 445.494 -0.833 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)ccc1OC ZINC000435312905 1071859832 /nfs/dbraw/zinc/85/98/32/1071859832.db2.gz CXTFTCMKMBNRMT-JSGCOSHPSA-N 0 0 445.494 -0.833 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)ccc1OC ZINC000435312906 1071860101 /nfs/dbraw/zinc/86/01/01/1071860101.db2.gz CXTFTCMKMBNRMT-OCCSQVGLSA-N 0 0 445.494 -0.833 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)ccc1OC ZINC000435312907 1071860469 /nfs/dbraw/zinc/86/04/69/1071860469.db2.gz CXTFTCMKMBNRMT-TZMCWYRMSA-N 0 0 445.494 -0.833 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ncc(C(C)(C)C)s1)C2 ZINC000435313126 1071859843 /nfs/dbraw/zinc/85/98/43/1071859843.db2.gz GOWHIVKDOIJXAC-UHFFFAOYSA-N 0 0 435.510 -0.132 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCCNC(=O)[C@H]2C)ccc1OC ZINC000435313405 1071860095 /nfs/dbraw/zinc/86/00/95/1071860095.db2.gz ADFVULNMXGPUEF-GFCCVEGCSA-N 0 0 442.494 -0.705 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCCNC(=O)[C@@H]2C)ccc1OC ZINC000435313406 1071859751 /nfs/dbraw/zinc/85/97/51/1071859751.db2.gz ADFVULNMXGPUEF-LBPRGKRZSA-N 0 0 442.494 -0.705 20 0 IBADRN C[C@@H]1CCc2nc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)sc2C1 ZINC000435314537 1071860567 /nfs/dbraw/zinc/86/05/67/1071860567.db2.gz OZPSXHXBSMUAIP-LLVKDONJSA-N 0 0 447.521 -0.305 20 0 IBADRN C[C@H]1CCc2nc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)sc2C1 ZINC000435314538 1071860512 /nfs/dbraw/zinc/86/05/12/1071860512.db2.gz OZPSXHXBSMUAIP-NSHDSACASA-N 0 0 447.521 -0.305 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1Cl ZINC000435314813 1071860613 /nfs/dbraw/zinc/86/06/13/1071860613.db2.gz QPXXWZQAQILFAU-UHFFFAOYSA-N 0 0 436.856 -0.224 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cc(C3(C)CCCC3)on1)C2 ZINC000435314911 1071860434 /nfs/dbraw/zinc/86/04/34/1071860434.db2.gz RFMUGDFCCDGIDK-UHFFFAOYSA-N 0 0 445.480 -0.066 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2ncn(Cc3ncc(C)c(OC)c3C)n2)CC1=O ZINC000435315216 1073337036 /nfs/dbraw/zinc/33/70/36/1073337036.db2.gz SOQNTYHPTYCJHO-UHFFFAOYSA-N 0 0 429.481 0.366 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435315413 1071860576 /nfs/dbraw/zinc/86/05/76/1071860576.db2.gz UHSOQHWLMWBKCU-FPMFFAJLSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435315414 1071860520 /nfs/dbraw/zinc/86/05/20/1071860520.db2.gz UHSOQHWLMWBKCU-IACUBPJLSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435315415 1071860645 /nfs/dbraw/zinc/86/06/45/1071860645.db2.gz UHSOQHWLMWBKCU-KWCYVHTRSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435315416 1071860499 /nfs/dbraw/zinc/86/04/99/1071860499.db2.gz UHSOQHWLMWBKCU-MRVWCRGKSA-N 0 0 427.479 -0.317 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1nc(C(C)(C)C)cs1)C2 ZINC000435315429 1071860678 /nfs/dbraw/zinc/86/06/78/1071860678.db2.gz UKQVYIWIAIQREU-UHFFFAOYSA-N 0 0 435.510 -0.132 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1nc(C3CCC3)cs1)C2 ZINC000435315431 1071860601 /nfs/dbraw/zinc/86/06/01/1071860601.db2.gz UMMSIOSIIBXECE-UHFFFAOYSA-N 0 0 433.494 -0.162 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1nc3c(s1)CCCC3)C2 ZINC000435315457 1071860552 /nfs/dbraw/zinc/86/05/52/1071860552.db2.gz URDWIOADKZXVAD-UHFFFAOYSA-N 0 0 433.494 -0.551 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](CO)[C@@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435315491 1071860631 /nfs/dbraw/zinc/86/06/31/1071860631.db2.gz LBAZTIBSPWEWDW-GUTXKFCHSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](CO)[C@@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435315493 1071860586 /nfs/dbraw/zinc/86/05/86/1071860586.db2.gz LBAZTIBSPWEWDW-GZBFAFLISA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](CO)[C@H]2C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435315495 1071860539 /nfs/dbraw/zinc/86/05/39/1071860539.db2.gz LBAZTIBSPWEWDW-NFAWXSAZSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](CO)[C@H]2C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435315497 1071860717 /nfs/dbraw/zinc/86/07/17/1071860717.db2.gz LBAZTIBSPWEWDW-UMVBOHGHSA-N 0 0 441.506 -0.070 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1nc3c(s1)CCCCC3)C2 ZINC000435315792 1071861336 /nfs/dbraw/zinc/86/13/36/1071861336.db2.gz WJEBHLFQTMPJSI-UHFFFAOYSA-N 0 0 447.521 -0.161 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(N)=O)c(OC(C)C)c1 ZINC000435317157 1071861316 /nfs/dbraw/zinc/86/13/16/1071861316.db2.gz UVKLSYDZMGMZQE-UHFFFAOYSA-N 0 0 429.499 -0.540 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1)[C@H]1CCOC1 ZINC000435319096 1071859366 /nfs/dbraw/zinc/85/93/66/1071859366.db2.gz JYBCDUVSSMBAQS-HNNXBMFYSA-N 0 0 432.481 0.549 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1)[C@@H]1CCOC1 ZINC000435319097 1071858933 /nfs/dbraw/zinc/85/89/33/1071858933.db2.gz JYBCDUVSSMBAQS-OAHLLOKOSA-N 0 0 432.481 0.549 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(NC(C)=O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435319100 1071859056 /nfs/dbraw/zinc/85/90/56/1071859056.db2.gz IZQRMRVAQWVALE-CYBMUJFWSA-N 0 0 440.478 -0.952 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(NC(C)=O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435319101 1071859092 /nfs/dbraw/zinc/85/90/92/1071859092.db2.gz IZQRMRVAQWVALE-ZDUSSCGKSA-N 0 0 440.478 -0.952 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1 ZINC000435319329 1071861268 /nfs/dbraw/zinc/86/12/68/1071861268.db2.gz KJDOSFAAOGYBJM-GFCCVEGCSA-N 0 0 444.510 -0.459 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NCCOC)c1 ZINC000435319330 1071861476 /nfs/dbraw/zinc/86/14/76/1071861476.db2.gz KJDOSFAAOGYBJM-LBPRGKRZSA-N 0 0 444.510 -0.459 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@](O)(C3CC3)C2)ccc1OC ZINC000435319560 1071861443 /nfs/dbraw/zinc/86/14/43/1071861443.db2.gz MBHVAOOLUHPDCJ-IBGZPJMESA-N 0 0 441.506 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@](O)(C3CC3)C2)ccc1OC ZINC000435319562 1071861483 /nfs/dbraw/zinc/86/14/83/1071861483.db2.gz MBHVAOOLUHPDCJ-LJQANCHMSA-N 0 0 441.506 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](CO)[C@H]2C)ccc1OC ZINC000435320566 1071859120 /nfs/dbraw/zinc/85/91/20/1071859120.db2.gz QVTVDYGMXAEVRD-CHWSQXEVSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](CO)[C@H]2C)ccc1OC ZINC000435320568 1071859076 /nfs/dbraw/zinc/85/90/76/1071859076.db2.gz QVTVDYGMXAEVRD-OLZOCXBDSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](CO)[C@@H]2C)ccc1OC ZINC000435320570 1071858960 /nfs/dbraw/zinc/85/89/60/1071858960.db2.gz QVTVDYGMXAEVRD-QWHCGFSZSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](CO)[C@@H]2C)ccc1OC ZINC000435320572 1071859922 /nfs/dbraw/zinc/85/99/22/1071859922.db2.gz QVTVDYGMXAEVRD-STQMWFEESA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)[C@H](CO)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000435320882 1071860127 /nfs/dbraw/zinc/86/01/27/1071860127.db2.gz SMEJWUALSFYGCS-IPYPFGDCSA-N 0 0 441.506 -0.212 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)[C@H](CO)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000435320899 1071859874 /nfs/dbraw/zinc/85/98/74/1071859874.db2.gz SMEJWUALSFYGCS-VNHYZAJKSA-N 0 0 441.506 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)[C@H](CO)C2)ccc1OC ZINC000435321685 1071860091 /nfs/dbraw/zinc/86/00/91/1071860091.db2.gz YCIRWPUDAXWIRP-OLZOCXBDSA-N 0 0 429.495 -0.355 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC(=O)N(CC2CC2)C1 ZINC000435324133 1071860115 /nfs/dbraw/zinc/86/01/15/1071860115.db2.gz STFFCEJYTFFVPW-UHFFFAOYSA-N 0 0 436.538 -0.245 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1 ZINC000435328745 1071860453 /nfs/dbraw/zinc/86/04/53/1071860453.db2.gz SRTGGJISJNOFND-UHFFFAOYSA-N 0 0 429.477 0.433 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCN(C)S(=O)(=O)c1cccs1 ZINC000435341314 1071862507 /nfs/dbraw/zinc/86/25/07/1071862507.db2.gz VZKRUSCPGTWMKN-LLVKDONJSA-N 0 0 435.553 -0.415 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCN(C)S(=O)(=O)c1cccs1 ZINC000435341315 1071862628 /nfs/dbraw/zinc/86/26/28/1071862628.db2.gz VZKRUSCPGTWMKN-NSHDSACASA-N 0 0 435.553 -0.415 20 0 IBADRN CN(CCNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)S(=O)(=O)c1cccs1 ZINC000435344244 1071861261 /nfs/dbraw/zinc/86/12/61/1071861261.db2.gz TUZUCCJOBNDZTB-CHWSQXEVSA-N 0 0 449.576 -0.480 20 0 IBADRN CN(CCNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)S(=O)(=O)c1cccs1 ZINC000435344245 1071861406 /nfs/dbraw/zinc/86/14/06/1071861406.db2.gz TUZUCCJOBNDZTB-OLZOCXBDSA-N 0 0 449.576 -0.480 20 0 IBADRN CN(CCNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)S(=O)(=O)c1cccs1 ZINC000435344246 1071861326 /nfs/dbraw/zinc/86/13/26/1071861326.db2.gz TUZUCCJOBNDZTB-QWHCGFSZSA-N 0 0 449.576 -0.480 20 0 IBADRN CN(CCNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)S(=O)(=O)c1cccs1 ZINC000435344247 1071861388 /nfs/dbraw/zinc/86/13/88/1071861388.db2.gz TUZUCCJOBNDZTB-STQMWFEESA-N 0 0 449.576 -0.480 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCN(C)S(=O)(=O)c2cccs2)cn1C ZINC000435351537 1071863399 /nfs/dbraw/zinc/86/33/99/1071863399.db2.gz VIKVEYBSXPBWOM-UHFFFAOYSA-N 0 0 435.553 -0.495 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000435360668 1071862764 /nfs/dbraw/zinc/86/27/64/1071862764.db2.gz HBMRYNCDCGDIJA-UHFFFAOYSA-N 0 0 433.508 -0.770 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCCN(C)S(=O)(=O)c1cccs1 ZINC000435360815 1071862493 /nfs/dbraw/zinc/86/24/93/1071862493.db2.gz HUKZRRJWJLLKMK-UHFFFAOYSA-N 0 0 427.570 -0.171 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCN(C)S(=O)(=O)c2cccs2)cn1C ZINC000435361465 1071862570 /nfs/dbraw/zinc/86/25/70/1071862570.db2.gz LHOWTXAYVVEMLK-UHFFFAOYSA-N 0 0 449.580 -0.105 20 0 IBADRN CN(CCNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)S(=O)(=O)c1cccs1 ZINC000435364392 1071864167 /nfs/dbraw/zinc/86/41/67/1071864167.db2.gz ZGYIJABHNAPVSM-HNNXBMFYSA-N 0 0 444.579 -0.335 20 0 IBADRN CN(CCNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)S(=O)(=O)c1cccs1 ZINC000435364393 1071864739 /nfs/dbraw/zinc/86/47/39/1071864739.db2.gz ZGYIJABHNAPVSM-OAHLLOKOSA-N 0 0 444.579 -0.335 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000435364590 1071864212 /nfs/dbraw/zinc/86/42/12/1071864212.db2.gz CYPIZRIDUDNDKE-KRWDZBQOSA-N 0 0 427.523 -0.041 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000435364591 1071864157 /nfs/dbraw/zinc/86/41/57/1071864157.db2.gz CYPIZRIDUDNDKE-QGZVFWFLSA-N 0 0 427.523 -0.041 20 0 IBADRN O=C(CCCNC(=O)c1cccnc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000435365683 1071864660 /nfs/dbraw/zinc/86/46/60/1071864660.db2.gz VGBUEXLCJUDLLC-UHFFFAOYSA-N 0 0 439.538 -0.251 20 0 IBADRN CNS(=O)(=O)CC(=O)NC1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000435367448 1071864847 /nfs/dbraw/zinc/86/48/47/1071864847.db2.gz WIXRIWUYDHNKTJ-UHFFFAOYSA-N 0 0 435.524 -0.478 20 0 IBADRN CNS(=O)(=O)CC(=O)Nc1c(NCc2ccccc2)c(=O)[nH]c(=O)n1CCOC ZINC000435368045 1071864621 /nfs/dbraw/zinc/86/46/21/1071864621.db2.gz DTFJAGXZPNPZSW-UHFFFAOYSA-N 0 0 425.467 -0.305 20 0 IBADRN CNS(=O)(=O)CC(=O)NCCc1ccc(OCCN2CCN(C(C)=O)CC2)cc1 ZINC000435369836 1071864523 /nfs/dbraw/zinc/86/45/23/1071864523.db2.gz MXXSVVNPJWGREP-UHFFFAOYSA-N 0 0 426.539 -0.563 20 0 IBADRN CN(CCNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)S(=O)(=O)c1cccs1 ZINC000435371751 1071865417 /nfs/dbraw/zinc/86/54/17/1071865417.db2.gz OJIJBIQROFPFEL-UHFFFAOYSA-N 0 0 439.519 -0.463 20 0 IBADRN CN(CCNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)S(=O)(=O)c1cccs1 ZINC000435375152 1071864146 /nfs/dbraw/zinc/86/41/46/1071864146.db2.gz ZZEWKHBDGFZRKB-UHFFFAOYSA-N 0 0 437.503 -0.256 20 0 IBADRN CNS(=O)(=O)CC(=O)NCC1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000435381452 1071865363 /nfs/dbraw/zinc/86/53/63/1071865363.db2.gz WKGFLXYZHNRUIV-UHFFFAOYSA-N 0 0 449.551 -0.230 20 0 IBADRN CN(CCNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)S(=O)(=O)c1cccs1 ZINC000435381580 1071865393 /nfs/dbraw/zinc/86/53/93/1071865393.db2.gz UTNRPOGFCSPAHR-UHFFFAOYSA-N 0 0 437.503 -0.256 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000435390349 1071866319 /nfs/dbraw/zinc/86/63/19/1071866319.db2.gz RNIONQLMJXGUKK-UHFFFAOYSA-N 0 0 439.498 -0.144 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)CSCC(=O)Nc2cc(C)on2)CC1 ZINC000435393980 1073338374 /nfs/dbraw/zinc/33/83/74/1073338374.db2.gz JMWIQGNIYQVSPW-UHFFFAOYSA-N 0 0 426.495 0.573 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)CC1 ZINC000435398239 1071866007 /nfs/dbraw/zinc/86/60/07/1071866007.db2.gz NNMANLOXYZBHOR-LBPRGKRZSA-N 0 0 444.510 -0.124 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000435402896 1071866135 /nfs/dbraw/zinc/86/61/35/1071866135.db2.gz RPCDKNAHKBQDEK-KRWDZBQOSA-N 0 0 438.525 -0.915 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000435402897 1071866220 /nfs/dbraw/zinc/86/62/20/1071866220.db2.gz RPCDKNAHKBQDEK-QGZVFWFLSA-N 0 0 438.525 -0.915 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N(C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000435404989 1071865992 /nfs/dbraw/zinc/86/59/92/1071865992.db2.gz IVYCOSZIGFMAJY-UHFFFAOYSA-N 0 0 427.487 -0.240 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCCN(S(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435409747 1071866074 /nfs/dbraw/zinc/86/60/74/1071866074.db2.gz DHKGSFXBBZSJEV-GOSISDBHSA-N 0 0 445.563 -0.065 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCCN(S(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435409748 1071866048 /nfs/dbraw/zinc/86/60/48/1071866048.db2.gz DHKGSFXBBZSJEV-SFHVURJKSA-N 0 0 445.563 -0.065 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000435411314 1071866274 /nfs/dbraw/zinc/86/62/74/1071866274.db2.gz LSJOEJKSZPXUJU-UHFFFAOYSA-N 0 0 443.526 -0.685 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000435411443 1071866174 /nfs/dbraw/zinc/86/61/74/1071866174.db2.gz MGVRYGVWCVAMTG-UHFFFAOYSA-N 0 0 426.495 -0.332 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000435414006 1071866391 /nfs/dbraw/zinc/86/63/91/1071866391.db2.gz YBJBVUJASBVERC-UHFFFAOYSA-N 0 0 445.270 -0.371 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000435416912 1071867113 /nfs/dbraw/zinc/86/71/13/1071867113.db2.gz JVTXMYSGNNZNOW-UHFFFAOYSA-N 0 0 432.441 -0.237 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC000435426645 1071866979 /nfs/dbraw/zinc/86/69/79/1071866979.db2.gz JHJCYNSXBVGTFK-UHFFFAOYSA-N 0 0 427.570 -0.285 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)CNS(=O)(=O)CCOC(C)C)s1 ZINC000435454584 1071867649 /nfs/dbraw/zinc/86/76/49/1071867649.db2.gz QQGUYBYVIOHQLB-UHFFFAOYSA-N 0 0 427.570 -0.205 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000435466938 1071868526 /nfs/dbraw/zinc/86/85/26/1071868526.db2.gz AJPJLOJPWBVIKI-KRWDZBQOSA-N 0 0 446.552 -0.053 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000435466939 1071868544 /nfs/dbraw/zinc/86/85/44/1071868544.db2.gz AJPJLOJPWBVIKI-QGZVFWFLSA-N 0 0 446.552 -0.053 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)Nc2cnn(CC(=O)N3CCN(C)CC3)c2)c1 ZINC000435469468 1071868128 /nfs/dbraw/zinc/86/81/28/1071868128.db2.gz JYMXIZORBNDQPU-UHFFFAOYSA-N 0 0 428.493 -0.037 20 0 IBADRN O=C(Cn1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cn1)NC1CCCC1 ZINC000435469505 1071868538 /nfs/dbraw/zinc/86/85/38/1071868538.db2.gz VIFNRNBPVQRKLB-DLBZAZTESA-N 0 0 439.538 -0.831 20 0 IBADRN O=C(Cn1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cn1)NC1CCCC1 ZINC000435469506 1071868266 /nfs/dbraw/zinc/86/82/66/1071868266.db2.gz VIFNRNBPVQRKLB-IAGOWNOFSA-N 0 0 439.538 -0.831 20 0 IBADRN O=C(Cn1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cn1)NC1CCCC1 ZINC000435469507 1071868460 /nfs/dbraw/zinc/86/84/60/1071868460.db2.gz VIFNRNBPVQRKLB-IRXDYDNUSA-N 0 0 439.538 -0.831 20 0 IBADRN O=C(Cn1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cn1)NC1CCCC1 ZINC000435469508 1071868497 /nfs/dbraw/zinc/86/84/97/1071868497.db2.gz VIFNRNBPVQRKLB-SJORKVTESA-N 0 0 439.538 -0.831 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000435469959 1071869138 /nfs/dbraw/zinc/86/91/38/1071869138.db2.gz XYIVIKSJDJKYEY-KRWDZBQOSA-N 0 0 432.521 -0.255 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000435469960 1071868927 /nfs/dbraw/zinc/86/89/27/1071868927.db2.gz XYIVIKSJDJKYEY-QGZVFWFLSA-N 0 0 432.521 -0.255 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c1 ZINC000435472016 1071869004 /nfs/dbraw/zinc/86/90/04/1071869004.db2.gz VFDORPYABSDDOJ-UHFFFAOYSA-N 0 0 432.506 -0.012 20 0 IBADRN O=C(Nc1cccc([C@@H]2CNC(=O)C2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000435473041 1071869173 /nfs/dbraw/zinc/86/91/73/1071869173.db2.gz HKCCHXUTRSGUHH-INIZCTEOSA-N 0 0 441.488 -0.478 20 0 IBADRN O=C(Nc1cccc([C@H]2CNC(=O)C2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000435473046 1071869207 /nfs/dbraw/zinc/86/92/07/1071869207.db2.gz HKCCHXUTRSGUHH-MRXNPFEDSA-N 0 0 441.488 -0.478 20 0 IBADRN C[C@@H](NC(=O)c1cnn(CC(=O)NC2CCCC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000435473854 1071869110 /nfs/dbraw/zinc/86/91/10/1071869110.db2.gz RWVFDHCVMLAOLE-CYBMUJFWSA-N 0 0 425.511 -0.683 20 0 IBADRN C[C@H](NC(=O)c1cnn(CC(=O)NC2CCCC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000435473855 1071869254 /nfs/dbraw/zinc/86/92/54/1071869254.db2.gz RWVFDHCVMLAOLE-ZDUSSCGKSA-N 0 0 425.511 -0.683 20 0 IBADRN COc1cc(NC(C)=O)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000435475750 1071868906 /nfs/dbraw/zinc/86/89/06/1071868906.db2.gz XEBRZYSBBRDOIA-UHFFFAOYSA-N 0 0 445.476 -0.115 20 0 IBADRN O=C(Nc1cccc([C@@H]2CNC(=O)C2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000435476426 1071870216 /nfs/dbraw/zinc/87/02/16/1071870216.db2.gz FPPVSCSSSNYUNB-AWEZNQCLSA-N 0 0 427.461 -0.822 20 0 IBADRN O=C(Nc1cccc([C@H]2CNC(=O)C2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000435476427 1071870201 /nfs/dbraw/zinc/87/02/01/1071870201.db2.gz FPPVSCSSSNYUNB-CQSZACIVSA-N 0 0 427.461 -0.822 20 0 IBADRN Cc1conc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000435477173 1071870337 /nfs/dbraw/zinc/87/03/37/1071870337.db2.gz NDWVIKOAWBDMFF-UHFFFAOYSA-N 0 0 436.446 0.226 20 0 IBADRN Cc1conc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000435477708 1071870503 /nfs/dbraw/zinc/87/05/03/1071870503.db2.gz BZEFNYKFKLWGKZ-UHFFFAOYSA-N 0 0 449.445 -0.214 20 0 IBADRN COc1cc(NC(C)=O)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000435478264 1071870464 /nfs/dbraw/zinc/87/04/64/1071870464.db2.gz SSRMCXNUIAGTMK-UHFFFAOYSA-N 0 0 431.449 -0.459 20 0 IBADRN COc1cc(NC(C)=O)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000435478290 1071870543 /nfs/dbraw/zinc/87/05/43/1071870543.db2.gz SYJOPUYYDXNKLG-UHFFFAOYSA-N 0 0 447.492 -0.405 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc([C@@H]3CNC(=O)C3)c2)CC1 ZINC000435479380 1071869670 /nfs/dbraw/zinc/86/96/70/1071869670.db2.gz YTISTPGGYFVIBG-KRWDZBQOSA-N 0 0 443.504 -0.768 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc([C@H]3CNC(=O)C3)c2)CC1 ZINC000435479381 1071869594 /nfs/dbraw/zinc/86/95/94/1071869594.db2.gz YTISTPGGYFVIBG-QGZVFWFLSA-N 0 0 443.504 -0.768 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3nocc3C)CC2)c1 ZINC000435480753 1073330660 /nfs/dbraw/zinc/33/06/60/1073330660.db2.gz IELDJYINSKLDLA-UHFFFAOYSA-N 0 0 442.475 0.303 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc([C@@H]3CNC(=O)C3)c2)CC1)N1CCCC1 ZINC000435486114 1071869612 /nfs/dbraw/zinc/86/96/12/1071869612.db2.gz ZOMRLAMSKMAHAF-KRWDZBQOSA-N 0 0 427.505 -0.005 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc([C@H]3CNC(=O)C3)c2)CC1)N1CCCC1 ZINC000435486119 1071869695 /nfs/dbraw/zinc/86/96/95/1071869695.db2.gz ZOMRLAMSKMAHAF-QGZVFWFLSA-N 0 0 427.505 -0.005 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc([C@@H]3CNC(=O)C3)c2)CC1 ZINC000435487433 1071869547 /nfs/dbraw/zinc/86/95/47/1071869547.db2.gz RJCLRRZEOZQRBV-HNNXBMFYSA-N 0 0 429.477 -0.576 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc([C@H]3CNC(=O)C3)c2)CC1 ZINC000435487434 1071869735 /nfs/dbraw/zinc/86/97/35/1071869735.db2.gz RJCLRRZEOZQRBV-OAHLLOKOSA-N 0 0 429.477 -0.576 20 0 IBADRN COc1cc(NC(C)=O)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000435487935 1071869655 /nfs/dbraw/zinc/86/96/55/1071869655.db2.gz XAOXOEOTEDNGME-UHFFFAOYSA-N 0 0 433.465 -0.213 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3nocc3C)CC2)c1 ZINC000435489242 1073347291 /nfs/dbraw/zinc/34/72/91/1073347291.db2.gz AZPYARFTERDELJ-UHFFFAOYSA-N 0 0 438.462 0.472 20 0 IBADRN Cc1conc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000435490026 1071869717 /nfs/dbraw/zinc/86/97/17/1071869717.db2.gz FIRHTRLMKBWKCG-UHFFFAOYSA-N 0 0 433.446 -0.051 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000435491747 1071870575 /nfs/dbraw/zinc/87/05/75/1071870575.db2.gz USZGUISMLFCEPX-GOSISDBHSA-N 0 0 434.541 -0.545 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000435491865 1071870520 /nfs/dbraw/zinc/87/05/20/1071870520.db2.gz USZGUISMLFCEPX-SFHVURJKSA-N 0 0 434.541 -0.545 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccc([C@@H]2CNC(=O)C2)c1 ZINC000435495316 1071871021 /nfs/dbraw/zinc/87/10/21/1071871021.db2.gz FIZUVCRBBJKKNN-AWEZNQCLSA-N 0 0 440.547 -0.277 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccc([C@H]2CNC(=O)C2)c1 ZINC000435495319 1071871953 /nfs/dbraw/zinc/87/19/53/1071871953.db2.gz FIZUVCRBBJKKNN-CQSZACIVSA-N 0 0 440.547 -0.277 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000435496012 1071871931 /nfs/dbraw/zinc/87/19/31/1071871931.db2.gz ITEDLRMPLRWLSN-KRWDZBQOSA-N 0 0 444.554 -0.866 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000435496013 1071871879 /nfs/dbraw/zinc/87/18/79/1071871879.db2.gz ITEDLRMPLRWLSN-QGZVFWFLSA-N 0 0 444.554 -0.866 20 0 IBADRN Cc1conc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000435501149 1071871982 /nfs/dbraw/zinc/87/19/82/1071871982.db2.gz JZKVXWBVIOEZEG-UHFFFAOYSA-N 0 0 436.475 0.459 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cccc([C@@H]2CNC(=O)C2)c1 ZINC000435503744 1071872492 /nfs/dbraw/zinc/87/24/92/1071872492.db2.gz WQEGKLIJEGUSNS-KRWDZBQOSA-N 0 0 437.504 -0.043 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cccc([C@H]2CNC(=O)C2)c1 ZINC000435503745 1071872523 /nfs/dbraw/zinc/87/25/23/1071872523.db2.gz WQEGKLIJEGUSNS-QGZVFWFLSA-N 0 0 437.504 -0.043 20 0 IBADRN O=C(Nc1cccc([C@@H]2CNC(=O)C2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000435525272 1071872576 /nfs/dbraw/zinc/87/25/76/1071872576.db2.gz IXHQZCBOKADSNL-INIZCTEOSA-N 0 0 429.477 -0.175 20 0 IBADRN O=C(Nc1cccc([C@H]2CNC(=O)C2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000435525276 1071872476 /nfs/dbraw/zinc/87/24/76/1071872476.db2.gz IXHQZCBOKADSNL-MRXNPFEDSA-N 0 0 429.477 -0.175 20 0 IBADRN CN(C)c1ncc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1C ZINC000435537277 1071873148 /nfs/dbraw/zinc/87/31/48/1071873148.db2.gz OLTMNQJTHYOHJB-UHFFFAOYSA-N 0 0 439.498 -0.606 20 0 IBADRN CN(C)c1ncc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C ZINC000435551539 1071873279 /nfs/dbraw/zinc/87/32/79/1071873279.db2.gz MDGXHIZJBBZJAX-UHFFFAOYSA-N 0 0 429.547 -0.673 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cnc(N(C)C)n3C)CC2)C[C@H](C)O1 ZINC000435555396 1071874637 /nfs/dbraw/zinc/87/46/37/1071874637.db2.gz FTTLTNHMUVGCKV-GASCZTMLSA-N 0 0 443.574 -0.333 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cnc(N(C)C)n3C)CC2)C[C@H](C)O1 ZINC000435555397 1071874826 /nfs/dbraw/zinc/87/48/26/1071874826.db2.gz FTTLTNHMUVGCKV-GJZGRUSLSA-N 0 0 443.574 -0.333 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cnc(N(C)C)n3C)CC2)C[C@@H](C)O1 ZINC000435555398 1071874706 /nfs/dbraw/zinc/87/47/06/1071874706.db2.gz FTTLTNHMUVGCKV-HUUCEWRRSA-N 0 0 443.574 -0.333 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@H]1N1CCOCC1 ZINC000435559173 1071875417 /nfs/dbraw/zinc/87/54/17/1071875417.db2.gz HWXMXNJYFGCPOB-AEFFLSMTSA-N 0 0 445.586 -0.610 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H]1N1CCOCC1 ZINC000435559174 1071875370 /nfs/dbraw/zinc/87/53/70/1071875370.db2.gz HWXMXNJYFGCPOB-FUHWJXTLSA-N 0 0 445.586 -0.610 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H]1N1CCOCC1 ZINC000435559175 1071875432 /nfs/dbraw/zinc/87/54/32/1071875432.db2.gz HWXMXNJYFGCPOB-SJLPKXTDSA-N 0 0 445.586 -0.610 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@H]1N1CCOCC1 ZINC000435559176 1071875282 /nfs/dbraw/zinc/87/52/82/1071875282.db2.gz HWXMXNJYFGCPOB-WMZOPIPTSA-N 0 0 445.586 -0.610 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000435564363 1071873252 /nfs/dbraw/zinc/87/32/52/1071873252.db2.gz BXZBNTRXVZHTRR-KRWDZBQOSA-N 0 0 444.554 -0.554 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000435564364 1071873298 /nfs/dbraw/zinc/87/32/98/1071873298.db2.gz BXZBNTRXVZHTRR-QGZVFWFLSA-N 0 0 444.554 -0.554 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)N(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000435572165 1071874011 /nfs/dbraw/zinc/87/40/11/1071874011.db2.gz CNMFPRMUFAUCJZ-DZGCQCFKSA-N 0 0 440.522 -0.026 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)N(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000435572166 1071873846 /nfs/dbraw/zinc/87/38/46/1071873846.db2.gz CNMFPRMUFAUCJZ-HIFRSBDPSA-N 0 0 440.522 -0.026 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)N(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000435572167 1071873819 /nfs/dbraw/zinc/87/38/19/1071873819.db2.gz CNMFPRMUFAUCJZ-UKRRQHHQSA-N 0 0 440.522 -0.026 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)N(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000435572168 1071873699 /nfs/dbraw/zinc/87/36/99/1071873699.db2.gz CNMFPRMUFAUCJZ-ZFWWWQNUSA-N 0 0 440.522 -0.026 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000435575210 1071874745 /nfs/dbraw/zinc/87/47/45/1071874745.db2.gz CFZLSDXTVMKDIJ-INIZCTEOSA-N 0 0 425.530 -0.034 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000435575211 1071874426 /nfs/dbraw/zinc/87/44/26/1071874426.db2.gz CFZLSDXTVMKDIJ-MRXNPFEDSA-N 0 0 425.530 -0.034 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000435579801 1071876681 /nfs/dbraw/zinc/87/66/81/1071876681.db2.gz NEISUQQRMUXWMS-GOSISDBHSA-N 0 0 433.509 -0.907 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000435579803 1071876878 /nfs/dbraw/zinc/87/68/78/1071876878.db2.gz NEISUQQRMUXWMS-SFHVURJKSA-N 0 0 433.509 -0.907 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000435580482 1071875406 /nfs/dbraw/zinc/87/54/06/1071875406.db2.gz UFBASXGNSXGUGJ-FQEVSTJZSA-N 0 0 433.553 -0.091 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000435580483 1071875338 /nfs/dbraw/zinc/87/53/38/1071875338.db2.gz UFBASXGNSXGUGJ-HXUWFJFHSA-N 0 0 433.553 -0.091 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000435581308 1071875439 /nfs/dbraw/zinc/87/54/39/1071875439.db2.gz YACNCOZWBDXSEL-UHFFFAOYSA-N 0 0 446.512 -0.015 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)cn1 ZINC000435582527 1071876044 /nfs/dbraw/zinc/87/60/44/1071876044.db2.gz AWQBSETWDVYBNY-UHFFFAOYSA-N 0 0 434.471 -0.206 20 0 IBADRN CN(CCNS(=O)(=O)C1CCS(=O)(=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000435584218 1071876114 /nfs/dbraw/zinc/87/61/14/1071876114.db2.gz NHKHELSJSPFLJS-UHFFFAOYSA-N 0 0 428.529 -0.057 20 0 IBADRN O=C(Cn1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cn1)NC1CCCC1 ZINC000435584866 1071875886 /nfs/dbraw/zinc/87/58/86/1071875886.db2.gz QSKZFZYNSWZTMN-GOSISDBHSA-N 0 0 432.525 -0.395 20 0 IBADRN O=C(Cn1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cn1)NC1CCCC1 ZINC000435584868 1071876069 /nfs/dbraw/zinc/87/60/69/1071876069.db2.gz QSKZFZYNSWZTMN-SFHVURJKSA-N 0 0 432.525 -0.395 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000435585172 1071876061 /nfs/dbraw/zinc/87/60/61/1071876061.db2.gz QAALSMUAOSBTPQ-UHFFFAOYSA-N 0 0 431.533 -0.695 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000435586475 1071876100 /nfs/dbraw/zinc/87/61/00/1071876100.db2.gz YYNBVYJDTFBMFT-HNNXBMFYSA-N 0 0 434.946 -0.047 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000435586477 1071875919 /nfs/dbraw/zinc/87/59/19/1071875919.db2.gz YYNBVYJDTFBMFT-OAHLLOKOSA-N 0 0 434.946 -0.047 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000435586661 1071876131 /nfs/dbraw/zinc/87/61/31/1071876131.db2.gz YKEQMHYZFKAYNB-UHFFFAOYSA-N 0 0 445.560 -0.353 20 0 IBADRN CN(CCNS(=O)(=O)N1CCS(=O)(=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000435588208 1071876090 /nfs/dbraw/zinc/87/60/90/1071876090.db2.gz JBIHDJRCXQMTPT-UHFFFAOYSA-N 0 0 429.517 -0.989 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000435588282 1071875978 /nfs/dbraw/zinc/87/59/78/1071875978.db2.gz LHRJMFFLEKIUOP-UHFFFAOYSA-N 0 0 427.520 -0.125 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)Nc2ncn(CC(=O)N(C)C)n2)c(C)s1 ZINC000435597872 1071876772 /nfs/dbraw/zinc/87/67/72/1071876772.db2.gz NZARBBQVPYXWDR-UHFFFAOYSA-N 0 0 429.528 0.145 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000435610949 1071876809 /nfs/dbraw/zinc/87/68/09/1071876809.db2.gz OFOYXHASYWYLPO-KRWDZBQOSA-N 0 0 444.554 -0.554 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000435610950 1071876706 /nfs/dbraw/zinc/87/67/06/1071876706.db2.gz OFOYXHASYWYLPO-QGZVFWFLSA-N 0 0 444.554 -0.554 20 0 IBADRN COc1cc(CNC(=O)N(C)C[C@H](O)CN2CCOCC2)ccc1OCC(=O)N(C)C ZINC000435612166 1071876725 /nfs/dbraw/zinc/87/67/25/1071876725.db2.gz FERQDWIFLOWUOR-KRWDZBQOSA-N 0 0 438.525 -0.003 20 0 IBADRN COc1cc(CNC(=O)N(C)C[C@@H](O)CN2CCOCC2)ccc1OCC(=O)N(C)C ZINC000435612167 1071876844 /nfs/dbraw/zinc/87/68/44/1071876844.db2.gz FERQDWIFLOWUOR-QGZVFWFLSA-N 0 0 438.525 -0.003 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)Nc2nnc(CCC(=O)OC)s2)CC1 ZINC000435616022 1071877443 /nfs/dbraw/zinc/87/74/43/1071877443.db2.gz QZPIJVDEKSHPRN-UHFFFAOYSA-N 0 0 427.483 0.567 20 0 IBADRN COc1ccccc1CCNC(=O)CNS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000435617830 1071877393 /nfs/dbraw/zinc/87/73/93/1071877393.db2.gz QTHDVYYEGHLSHO-UHFFFAOYSA-N 0 0 447.473 -0.320 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1C(=O)N(C)C ZINC000435626248 1071877942 /nfs/dbraw/zinc/87/79/42/1071877942.db2.gz IVXDMKJWPPYTQX-UHFFFAOYSA-N 0 0 429.477 -0.415 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H]3[C@H](C2)OCCN3Cc2ccccc2)CC1 ZINC000435626250 1071878128 /nfs/dbraw/zinc/87/81/28/1071878128.db2.gz KBXCVHCHWMBWGA-MSOLQXFVSA-N 0 0 444.579 -0.606 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1C(=O)N(C)C ZINC000435630345 1071878177 /nfs/dbraw/zinc/87/81/77/1071878177.db2.gz WPBOZGKYTIFAJM-UHFFFAOYSA-N 0 0 443.504 -0.071 20 0 IBADRN COc1ccccc1CCNC(=O)CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000435633019 1071878789 /nfs/dbraw/zinc/87/87/89/1071878789.db2.gz ADFRQGUIEUXYDT-UHFFFAOYSA-N 0 0 447.579 -0.055 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1C(=O)N(C)C ZINC000435633972 1071877291 /nfs/dbraw/zinc/87/72/91/1071877291.db2.gz BTNXQVVPKYAKBN-UHFFFAOYSA-N 0 0 431.493 -0.169 20 0 IBADRN COc1ccccc1CCNC(=O)CNS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000435639435 1071877353 /nfs/dbraw/zinc/87/73/53/1071877353.db2.gz XSAUIUWBKZBROM-UHFFFAOYSA-N 0 0 427.504 -0.020 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@H]3C(=O)N(Cc4ccccc4)C(=O)[C@H]32)c(=O)n(C)c1=O ZINC000435639937 1071877319 /nfs/dbraw/zinc/87/73/19/1071877319.db2.gz ZTAMYUZPIYUVFB-ZBFHGGJFSA-N 0 0 446.485 -0.578 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000435644225 1071877310 /nfs/dbraw/zinc/87/73/10/1071877310.db2.gz HCWKQOVTBARRJL-UHFFFAOYSA-N 0 0 446.464 -0.445 20 0 IBADRN NC(=O)N1CCCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000435645091 1071877913 /nfs/dbraw/zinc/87/79/13/1071877913.db2.gz FRNVNMDBCCVVSW-UHFFFAOYSA-N 0 0 445.492 -0.116 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1C(=O)N(C)C ZINC000435645898 1071878848 /nfs/dbraw/zinc/87/88/48/1071878848.db2.gz NWFAVFQKQOTDGL-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@H]2C(=O)N(Cc3ccccc3)C(=O)[C@H]21 ZINC000435647280 1071878732 /nfs/dbraw/zinc/87/87/32/1071878732.db2.gz QPWFZWBUEVWMIS-CVEARBPZSA-N 0 0 443.547 -0.095 20 0 IBADRN Cc1ccc([C@@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H](C)S(C)(=O)=O)cc1 ZINC000435648613 1071878742 /nfs/dbraw/zinc/87/87/42/1071878742.db2.gz WJBUCCZKJUBHEC-DOMZBBRYSA-N 0 0 429.520 -0.155 20 0 IBADRN Cc1ccc([C@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H](C)S(C)(=O)=O)cc1 ZINC000435648772 1071878818 /nfs/dbraw/zinc/87/88/18/1071878818.db2.gz WJBUCCZKJUBHEC-IUODEOHRSA-N 0 0 429.520 -0.155 20 0 IBADRN Cc1ccc([C@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@H](C)S(C)(=O)=O)cc1 ZINC000435648773 1071878831 /nfs/dbraw/zinc/87/88/31/1071878831.db2.gz WJBUCCZKJUBHEC-SWLSCSKDSA-N 0 0 429.520 -0.155 20 0 IBADRN Cc1ccc([C@@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@H](C)S(C)(=O)=O)cc1 ZINC000435648774 1071878606 /nfs/dbraw/zinc/87/86/06/1071878606.db2.gz WJBUCCZKJUBHEC-WFASDCNBSA-N 0 0 429.520 -0.155 20 0 IBADRN NC(=O)N1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000435649438 1071878636 /nfs/dbraw/zinc/87/86/36/1071878636.db2.gz VUTQFIVEJXXNGO-UHFFFAOYSA-N 0 0 427.502 -0.255 20 0 IBADRN O=C1[C@@H]2CCCN(S(=O)(=O)N3CCS(=O)(=O)CC3)[C@@H]2C(=O)N1Cc1ccccc1 ZINC000435649893 1071878840 /nfs/dbraw/zinc/87/88/40/1071878840.db2.gz AGUICLDUFYMCBR-CVEARBPZSA-N 0 0 441.531 -0.389 20 0 IBADRN COc1ccccc1CCNC(=O)CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000435651466 1071879331 /nfs/dbraw/zinc/87/93/31/1071879331.db2.gz TVPALYKDZQGTTI-UHFFFAOYSA-N 0 0 432.458 -0.138 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1C(=O)N(C)C ZINC000435661928 1071878774 /nfs/dbraw/zinc/87/87/74/1071878774.db2.gz HVSHAPVKUYPENG-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N(C)C)c2)CC1 ZINC000435664054 1071878845 /nfs/dbraw/zinc/87/88/45/1071878845.db2.gz QUQLPIYXPAKLIK-UHFFFAOYSA-N 0 0 433.509 -0.458 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCC[C@H](CS(N)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000435677634 1071879994 /nfs/dbraw/zinc/87/99/94/1071879994.db2.gz IBDBUETZXDPCKV-KBXCAEBGSA-N 0 0 445.563 -0.032 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCC[C@@H](CS(N)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000435677635 1071880067 /nfs/dbraw/zinc/88/00/67/1071880067.db2.gz IBDBUETZXDPCKV-KDOFPFPSSA-N 0 0 445.563 -0.032 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCC[C@H](CS(N)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000435677636 1071880078 /nfs/dbraw/zinc/88/00/78/1071880078.db2.gz IBDBUETZXDPCKV-KSSFIOAISA-N 0 0 445.563 -0.032 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCC[C@@H](CS(N)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000435677637 1071879854 /nfs/dbraw/zinc/87/98/54/1071879854.db2.gz IBDBUETZXDPCKV-RDTXWAMCSA-N 0 0 445.563 -0.032 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCC(=O)NCCN3CCOCC3)CC(=O)N2C(C)(C)C)cn1 ZINC000435679454 1071879427 /nfs/dbraw/zinc/87/94/27/1071879427.db2.gz ZIHUSSKYBQJGBD-APWZRJJASA-N 0 0 434.541 -0.327 20 0 IBADRN Cn1cc([C@H]2[C@@H](C(=O)NCC(=O)NCCN3CCOCC3)CC(=O)N2C(C)(C)C)cn1 ZINC000435679455 1071879498 /nfs/dbraw/zinc/87/94/98/1071879498.db2.gz ZIHUSSKYBQJGBD-LPHOPBHVSA-N 0 0 434.541 -0.327 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCC(=O)NCCN3CCOCC3)CC(=O)N2C(C)(C)C)cn1 ZINC000435679456 1071879181 /nfs/dbraw/zinc/87/91/81/1071879181.db2.gz ZIHUSSKYBQJGBD-QFBILLFUSA-N 0 0 434.541 -0.327 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)NCC(=O)NCCN3CCOCC3)CC(=O)N2C(C)(C)C)cn1 ZINC000435679457 1071879279 /nfs/dbraw/zinc/87/92/79/1071879279.db2.gz ZIHUSSKYBQJGBD-VQIMIIECSA-N 0 0 434.541 -0.327 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)CCn2cc(S(N)(=O)=O)cn2)c(C)s1 ZINC000435680298 1071879297 /nfs/dbraw/zinc/87/92/97/1071879297.db2.gz CPGFOSQWGDDMDI-UHFFFAOYSA-N 0 0 435.553 -0.306 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)CN2CCN(S(C)(=O)=O)CC2)c(C)s1 ZINC000435681215 1071879476 /nfs/dbraw/zinc/87/94/76/1071879476.db2.gz JJKPZLHWTCFPJQ-UHFFFAOYSA-N 0 0 438.597 -0.663 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c2cnn(C)c2)c(C)s1 ZINC000435682023 1071879462 /nfs/dbraw/zinc/87/94/62/1071879462.db2.gz KWRASASDHKNIGV-UHFFFAOYSA-N 0 0 435.553 -0.529 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000435704415 1071880177 /nfs/dbraw/zinc/88/01/77/1071880177.db2.gz JKDXNUXBBZXECY-UHFFFAOYSA-N 0 0 431.449 -0.836 20 0 IBADRN CCSc1nccnc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000435705877 1071880138 /nfs/dbraw/zinc/88/01/38/1071880138.db2.gz LRDIRXKRMGERND-CYBMUJFWSA-N 0 0 427.552 -0.350 20 0 IBADRN CCSc1nccnc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000435705878 1071879939 /nfs/dbraw/zinc/87/99/39/1071879939.db2.gz LRDIRXKRMGERND-ZDUSSCGKSA-N 0 0 427.552 -0.350 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000435707418 1071880750 /nfs/dbraw/zinc/88/07/50/1071880750.db2.gz SLUHNEDYQULGLC-UHFFFAOYSA-N 0 0 445.476 -0.528 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(CCn2cncn2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435708201 1071880693 /nfs/dbraw/zinc/88/06/93/1071880693.db2.gz WZKKQWWGNIIUHL-FQEVSTJZSA-N 0 0 448.549 -0.123 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(CCn2cncn2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435708202 1071880615 /nfs/dbraw/zinc/88/06/15/1071880615.db2.gz WZKKQWWGNIIUHL-HXUWFJFHSA-N 0 0 448.549 -0.123 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000435709076 1071880842 /nfs/dbraw/zinc/88/08/42/1071880842.db2.gz MVRALNWXIQCNMY-UHFFFAOYSA-N 0 0 431.449 -0.836 20 0 IBADRN Cn1ccnc1-c1cc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n[nH]1 ZINC000435715248 1071880790 /nfs/dbraw/zinc/88/07/90/1071880790.db2.gz PADISXFUIAQMPW-UHFFFAOYSA-N 0 0 429.462 0.183 20 0 IBADRN CC(CC(=O)N=c1ccn(CC(=O)N(C)C)[nH]1)CC(=O)N=c1ccn(CC(=O)N(C)C)[nH]1 ZINC000435715682 1071880776 /nfs/dbraw/zinc/88/07/76/1071880776.db2.gz RDMDJQOVCXANPM-UHFFFAOYSA-N 0 0 446.512 -0.907 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)Nc2cc(-c3nccn3C)[nH]n2)c1 ZINC000435718058 1073309127 /nfs/dbraw/zinc/30/91/27/1073309127.db2.gz UPDISGVVTWLCKV-UHFFFAOYSA-N 0 0 431.478 0.429 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3C)c2)CC1 ZINC000435731307 1071880818 /nfs/dbraw/zinc/88/08/18/1071880818.db2.gz JERCHPHXLWQEPY-UHFFFAOYSA-N 0 0 443.464 -0.173 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2)CC1 ZINC000435731581 1071880761 /nfs/dbraw/zinc/88/07/61/1071880761.db2.gz LYIOEUPQOQQHIT-UHFFFAOYSA-N 0 0 448.476 -0.332 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)cc1C(N)=O ZINC000435731663 1071880824 /nfs/dbraw/zinc/88/08/24/1071880824.db2.gz KZIJSBVEEJUZJA-UHFFFAOYSA-N 0 0 441.491 -0.408 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)n1 ZINC000435733627 1073332254 /nfs/dbraw/zinc/33/22/54/1073332254.db2.gz UKZQRULNYDJESF-UHFFFAOYSA-N 0 0 429.524 0.323 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000435736636 1071882020 /nfs/dbraw/zinc/88/20/20/1071882020.db2.gz AZIQMCGRGTYSDJ-UHFFFAOYSA-N 0 0 429.437 -0.481 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(C)c(-n3cnnn3)c2)CC1 ZINC000435737316 1071881884 /nfs/dbraw/zinc/88/18/84/1071881884.db2.gz GYGSPWJIHCFPEV-UHFFFAOYSA-N 0 0 443.464 -0.173 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000435738388 1071882034 /nfs/dbraw/zinc/88/20/34/1071882034.db2.gz RMQQHBNMHLZLCW-UHFFFAOYSA-N 0 0 445.476 -0.267 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cc(C(=O)NC)ccc2OC)CC1 ZINC000435739189 1071881912 /nfs/dbraw/zinc/88/19/12/1071881912.db2.gz WLOPNUHAQISWQX-UHFFFAOYSA-N 0 0 448.476 -0.089 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)s1 ZINC000435742695 1071882059 /nfs/dbraw/zinc/88/20/59/1071882059.db2.gz WRTSGRCCKKCRNO-UHFFFAOYSA-N 0 0 446.532 -0.263 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000435742802 1071881854 /nfs/dbraw/zinc/88/18/54/1071881854.db2.gz OSLDNIAHOVXNGD-UHFFFAOYSA-N 0 0 432.433 -0.126 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)s1 ZINC000435743758 1071881896 /nfs/dbraw/zinc/88/18/96/1071881896.db2.gz BQHCBSODDZWORG-UHFFFAOYSA-N 0 0 440.503 -0.046 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cccc(OCC(N)=O)c2)s1 ZINC000435744125 1071882049 /nfs/dbraw/zinc/88/20/49/1071882049.db2.gz DCXUGXGPNMJNSU-UHFFFAOYSA-N 0 0 440.503 -0.046 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000435744612 1071881927 /nfs/dbraw/zinc/88/19/27/1071881927.db2.gz YCKICDHYPKVVGO-UHFFFAOYSA-N 0 0 429.437 -0.481 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000435744830 1071882091 /nfs/dbraw/zinc/88/20/91/1071882091.db2.gz ZNDGHVBTANPLSN-UHFFFAOYSA-N 0 0 444.444 -0.231 20 0 IBADRN CC(CC(=O)N[C@@H](C)C(=O)N1CCOCC1)CC(=O)N[C@@H](C)C(=O)N1CCOCC1 ZINC000435746982 1071882069 /nfs/dbraw/zinc/88/20/69/1071882069.db2.gz CNVZVESXELZWHA-HOTGVXAUSA-N 0 0 426.514 -0.870 20 0 IBADRN CC(CC(=O)N[C@H](C)C(=O)N1CCOCC1)CC(=O)N[C@H](C)C(=O)N1CCOCC1 ZINC000435746983 1071882677 /nfs/dbraw/zinc/88/26/77/1071882677.db2.gz CNVZVESXELZWHA-HZPDHXFCSA-N 0 0 426.514 -0.870 20 0 IBADRN C[C@@H](CC(=O)N[C@H](C)C(=O)N1CCOCC1)CC(=O)N[C@@H](C)C(=O)N1CCOCC1 ZINC000435746984 1071882474 /nfs/dbraw/zinc/88/24/74/1071882474.db2.gz CNVZVESXELZWHA-MUJYYYPQSA-N 0 0 426.514 -0.870 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)s1 ZINC000435747974 1071880711 /nfs/dbraw/zinc/88/07/11/1071880711.db2.gz LWVAXGGSGVVKGP-UHFFFAOYSA-N 0 0 446.532 -0.112 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)CC1 ZINC000435750352 1071881351 /nfs/dbraw/zinc/88/13/51/1071881351.db2.gz NECFYAKACPQOHG-UHFFFAOYSA-N 0 0 432.433 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)s1 ZINC000435750531 1071881290 /nfs/dbraw/zinc/88/12/90/1071881290.db2.gz NORRUDYRAWXVRE-UHFFFAOYSA-N 0 0 442.523 -0.626 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N(C)C[C@H](O)CN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435751219 1071881299 /nfs/dbraw/zinc/88/12/99/1071881299.db2.gz FFFPGQSMZTYWTP-FXAWDEMLSA-N 0 0 441.550 -0.407 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N(C)C[C@H](O)CN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435751220 1071881424 /nfs/dbraw/zinc/88/14/24/1071881424.db2.gz FFFPGQSMZTYWTP-PXNSSMCTSA-N 0 0 441.550 -0.407 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435751221 1071881167 /nfs/dbraw/zinc/88/11/67/1071881167.db2.gz FFFPGQSMZTYWTP-XLIONFOSSA-N 0 0 441.550 -0.407 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435751222 1071881377 /nfs/dbraw/zinc/88/13/77/1071881377.db2.gz FFFPGQSMZTYWTP-YLJYHZDGSA-N 0 0 441.550 -0.407 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000435751694 1071881418 /nfs/dbraw/zinc/88/14/18/1071881418.db2.gz HCKLTGUBMINQTG-CRAIPNDOSA-N 0 0 445.563 -0.018 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000435751696 1071881391 /nfs/dbraw/zinc/88/13/91/1071881391.db2.gz HCKLTGUBMINQTG-MAUKXSAKSA-N 0 0 445.563 -0.018 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000435751698 1071881335 /nfs/dbraw/zinc/88/13/35/1071881335.db2.gz HCKLTGUBMINQTG-QAPCUYQASA-N 0 0 445.563 -0.018 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000435751700 1071881264 /nfs/dbraw/zinc/88/12/64/1071881264.db2.gz HCKLTGUBMINQTG-YJBOKZPZSA-N 0 0 445.563 -0.018 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)CC1 ZINC000435752542 1071881837 /nfs/dbraw/zinc/88/18/37/1071881837.db2.gz KZCMSIIBJWUGID-UHFFFAOYSA-N 0 0 446.460 -0.102 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCC[C@H]2C(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000435755002 1071883338 /nfs/dbraw/zinc/88/33/38/1071883338.db2.gz GVDGKMBOIUTWJC-HNNXBMFYSA-N 0 0 435.525 -0.319 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCC[C@@H]2C(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000435755003 1071883174 /nfs/dbraw/zinc/88/31/74/1071883174.db2.gz GVDGKMBOIUTWJC-OAHLLOKOSA-N 0 0 435.525 -0.319 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(CC(=O)NC3CC3)CC2)s1 ZINC000435759962 1071883372 /nfs/dbraw/zinc/88/33/72/1071883372.db2.gz AAGGYYGETCHXHB-UHFFFAOYSA-N 0 0 429.568 -0.059 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)s1 ZINC000435760814 1071882730 /nfs/dbraw/zinc/88/27/30/1071882730.db2.gz OHSNNTYSCYNDTJ-UHFFFAOYSA-N 0 0 443.551 -0.533 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(c3nccn(C)c3=O)CC2)s1 ZINC000435763045 1071882576 /nfs/dbraw/zinc/88/25/76/1071882576.db2.gz KNXZIJSYCMZUSZ-UHFFFAOYSA-N 0 0 440.551 -0.040 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)s1 ZINC000435764807 1071882600 /nfs/dbraw/zinc/88/26/00/1071882600.db2.gz SZXAIGSZXFYKDZ-UHFFFAOYSA-N 0 0 445.567 -0.287 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000435766248 1071882558 /nfs/dbraw/zinc/88/25/58/1071882558.db2.gz WEWWCLUFWGNPDH-UHFFFAOYSA-N 0 0 447.583 -0.575 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000435781840 1071884659 /nfs/dbraw/zinc/88/46/59/1071884659.db2.gz LKGRUNUOMGEMHM-HNNXBMFYSA-N 0 0 434.497 -0.065 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000435781841 1071884679 /nfs/dbraw/zinc/88/46/79/1071884679.db2.gz LKGRUNUOMGEMHM-OAHLLOKOSA-N 0 0 434.497 -0.065 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCN(C)S(=O)(=O)c2cccs2)CC1 ZINC000435786642 1071883837 /nfs/dbraw/zinc/88/38/37/1071883837.db2.gz VEBBONFPVBXHIB-UHFFFAOYSA-N 0 0 447.583 -0.542 20 0 IBADRN CN(CCNC(=O)N1CCN(CC(=O)NC2CC2)CC1)S(=O)(=O)c1cccs1 ZINC000435786891 1071883968 /nfs/dbraw/zinc/88/39/68/1071883968.db2.gz WXFLOKOOCQPOSJ-UHFFFAOYSA-N 0 0 429.568 -0.026 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)N(C)C[C@H](O)CN2CCOCC2)c(C)s1 ZINC000435793124 1071883722 /nfs/dbraw/zinc/88/37/22/1071883722.db2.gz YMZBJYZYYCFVGO-HNNXBMFYSA-N 0 0 434.584 -0.022 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)N(C)C[C@@H](O)CN2CCOCC2)c(C)s1 ZINC000435793125 1071883893 /nfs/dbraw/zinc/88/38/93/1071883893.db2.gz YMZBJYZYYCFVGO-OAHLLOKOSA-N 0 0 434.584 -0.022 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCC[C@H](OCCO)C1 ZINC000435793975 1071884123 /nfs/dbraw/zinc/88/41/23/1071884123.db2.gz GJAAKULRDVFAMA-KRWDZBQOSA-N 0 0 441.554 -0.284 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCC[C@@H](OCCO)C1 ZINC000435793976 1071883692 /nfs/dbraw/zinc/88/36/92/1071883692.db2.gz GJAAKULRDVFAMA-QGZVFWFLSA-N 0 0 441.554 -0.284 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N(CCO)C1CCOCC1 ZINC000435794424 1071883774 /nfs/dbraw/zinc/88/37/74/1071883774.db2.gz KLMRTRNRIPYBPZ-UHFFFAOYSA-N 0 0 441.554 -0.284 20 0 IBADRN COCCO[C@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000435795203 1071883982 /nfs/dbraw/zinc/88/39/82/1071883982.db2.gz PSDNEOZHCPGVCP-KRWDZBQOSA-N 0 0 441.554 -0.020 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000435795204 1071883927 /nfs/dbraw/zinc/88/39/27/1071883927.db2.gz PSDNEOZHCPGVCP-QGZVFWFLSA-N 0 0 441.554 -0.020 20 0 IBADRN CN(CC1(O)CCOCC1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000435796540 1071883749 /nfs/dbraw/zinc/88/37/49/1071883749.db2.gz WGVUGILQYKQCHT-UHFFFAOYSA-N 0 0 441.554 -0.284 20 0 IBADRN COCCN(C(=O)COc1ccc(C(=O)NC[C@H](C)O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000435800727 1071885481 /nfs/dbraw/zinc/88/54/81/1071885481.db2.gz RCHFOBNRFGZPNJ-GOEBONIOSA-N 0 0 428.507 -0.162 20 0 IBADRN COCCN(C(=O)COc1ccc(C(=O)NC[C@H](C)O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000435800728 1071885319 /nfs/dbraw/zinc/88/53/19/1071885319.db2.gz RCHFOBNRFGZPNJ-HOCLYGCPSA-N 0 0 428.507 -0.162 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(C(=O)[C@@H](C)O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435803022 1071885449 /nfs/dbraw/zinc/88/54/49/1071885449.db2.gz ALOPDLNSCMLFTM-BFUOFWGJSA-N 0 0 425.507 -0.507 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(C(=O)[C@H](C)O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435803023 1071885285 /nfs/dbraw/zinc/88/52/85/1071885285.db2.gz ALOPDLNSCMLFTM-DJJJIMSYSA-N 0 0 425.507 -0.507 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(C(=O)[C@H](C)O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435803024 1071885384 /nfs/dbraw/zinc/88/53/84/1071885384.db2.gz ALOPDLNSCMLFTM-ORAYPTAESA-N 0 0 425.507 -0.507 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(C(=O)[C@@H](C)O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000435803025 1071885252 /nfs/dbraw/zinc/88/52/52/1071885252.db2.gz ALOPDLNSCMLFTM-YJYMSZOUSA-N 0 0 425.507 -0.507 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000435814401 1071884809 /nfs/dbraw/zinc/88/48/09/1071884809.db2.gz UXAGEPVJWIHLIH-IBGZPJMESA-N 0 0 425.507 -0.241 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000435814402 1071884798 /nfs/dbraw/zinc/88/47/98/1071884798.db2.gz UXAGEPVJWIHLIH-LJQANCHMSA-N 0 0 425.507 -0.241 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc[nH]c(=O)c3Br)CC2)CC1 ZINC000435818339 1071884687 /nfs/dbraw/zinc/88/46/87/1071884687.db2.gz RRCUONRYVLDCCD-UHFFFAOYSA-N 0 0 448.343 -0.200 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCNC(=O)[C@@H]2Cc2nc3ccccc3o2)CC1 ZINC000435819923 1071886644 /nfs/dbraw/zinc/88/66/44/1071886644.db2.gz YRQACCVSTMZDFM-HNNXBMFYSA-N 0 0 435.506 -0.726 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCNC(=O)[C@H]2Cc2nc3ccccc3o2)CC1 ZINC000435819925 1071886765 /nfs/dbraw/zinc/88/67/65/1071886765.db2.gz YRQACCVSTMZDFM-OAHLLOKOSA-N 0 0 435.506 -0.726 20 0 IBADRN O=C(NCCCc1ccccn1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000435821454 1071886694 /nfs/dbraw/zinc/88/66/94/1071886694.db2.gz DVEJNDUTCKBLSK-UHFFFAOYSA-N 0 0 445.501 -0.110 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000435822955 1071886737 /nfs/dbraw/zinc/88/67/37/1071886737.db2.gz WVLUSQWIFZUYKR-ZBFHGGJFSA-N 0 0 429.470 -0.733 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1cccc(OCC(N)=O)c1)S(=O)(=O)c1cccs1 ZINC000435830355 1071885493 /nfs/dbraw/zinc/88/54/93/1071885493.db2.gz DZURQPACECTEFE-UHFFFAOYSA-N 0 0 440.503 -0.012 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1ccc(OCC(N)=O)cc1)S(=O)(=O)c1cccs1 ZINC000435832218 1071885441 /nfs/dbraw/zinc/88/54/41/1071885441.db2.gz ZXGRRBOSTRVHDM-UHFFFAOYSA-N 0 0 440.503 -0.012 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2cccs2)cn1 ZINC000435832965 1071885228 /nfs/dbraw/zinc/88/52/28/1071885228.db2.gz CJPAXFPGSILQDX-UHFFFAOYSA-N 0 0 442.523 -0.592 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)NCc1ccco1 ZINC000435834037 1071886091 /nfs/dbraw/zinc/88/60/91/1071886091.db2.gz HWMNPMMGAXMTIX-CJNGLKHVSA-N 0 0 425.438 -0.025 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1)S(=O)(=O)c1cccs1 ZINC000435834675 1071885930 /nfs/dbraw/zinc/88/59/30/1071885930.db2.gz KDWWIMQENLDWOX-UHFFFAOYSA-N 0 0 446.532 -0.078 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc([C@@H]3CNC(=O)C3)c2)CC1 ZINC000435835059 1071886100 /nfs/dbraw/zinc/88/61/00/1071886100.db2.gz LMYZQKXATOKKNH-INIZCTEOSA-N 0 0 431.493 -0.865 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc([C@H]3CNC(=O)C3)c2)CC1 ZINC000435835060 1071886125 /nfs/dbraw/zinc/88/61/25/1071886125.db2.gz LMYZQKXATOKKNH-MRXNPFEDSA-N 0 0 431.493 -0.865 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(C)=O)cc2OC)CC1 ZINC000435838545 1071886752 /nfs/dbraw/zinc/88/67/52/1071886752.db2.gz YVEYBXBPZQCIHC-UHFFFAOYSA-N 0 0 435.481 -0.501 20 0 IBADRN COc1cc(NC(C)=O)ccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000435839328 1071886716 /nfs/dbraw/zinc/88/67/16/1071886716.db2.gz DHUFSJHOOUJKFR-UHFFFAOYSA-N 0 0 427.483 -0.975 20 0 IBADRN Cc1cnn(-c2ccc(C)cc2NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000435840907 1071886703 /nfs/dbraw/zinc/88/67/03/1071886703.db2.gz UUDALSWOZZJFFG-UHFFFAOYSA-N 0 0 434.522 -0.140 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cnn1-c1ccccc1F ZINC000435841310 1071887279 /nfs/dbraw/zinc/88/72/79/1071887279.db2.gz XOYHTPFSWWYDDH-UHFFFAOYSA-N 0 0 438.485 -0.309 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2cccs2)n1 ZINC000435845109 1073332215 /nfs/dbraw/zinc/33/22/15/1073332215.db2.gz KQKJGFUWYJFKBJ-UHFFFAOYSA-N 0 0 429.524 0.357 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2cccs2)cc1C(N)=O ZINC000435845185 1071887301 /nfs/dbraw/zinc/88/73/01/1071887301.db2.gz LFBCEEKRWSPFGE-UHFFFAOYSA-N 0 0 441.491 -0.374 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cnn(CC(N)=O)c2)c(C)s1 ZINC000435845471 1071887158 /nfs/dbraw/zinc/88/71/58/1071887158.db2.gz MDWOGJPAIVOCRV-UHFFFAOYSA-N 0 0 428.496 -0.920 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cc(C)sc2C)n1 ZINC000435846389 1071888054 /nfs/dbraw/zinc/88/80/54/1071888054.db2.gz PDEAFWACTBRJMG-UHFFFAOYSA-N 0 0 429.524 0.241 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cnn1-c1ccccc1F ZINC000435850578 1071887899 /nfs/dbraw/zinc/88/78/99/1071887899.db2.gz GQFMRLILVFIUJQ-UHFFFAOYSA-N 0 0 441.427 -0.384 20 0 IBADRN Cc1cnn(-c2ccc(C)cc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000435850938 1071888125 /nfs/dbraw/zinc/88/81/25/1071888125.db2.gz JHXOOLIHUPBRPZ-UHFFFAOYSA-N 0 0 437.464 -0.215 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1)S(=O)(=O)c1cccs1 ZINC000435851904 1071888144 /nfs/dbraw/zinc/88/81/44/1071888144.db2.gz RKTNPCIUUAJHIB-UHFFFAOYSA-N 0 0 446.532 -0.229 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000435864468 1071888039 /nfs/dbraw/zinc/88/80/39/1071888039.db2.gz HRGIAZNUNWMKCP-ZBFHGGJFSA-N 0 0 449.526 -0.510 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000435866373 1071887969 /nfs/dbraw/zinc/88/79/69/1071887969.db2.gz HASHRVJWIHHONL-WBVHZDCISA-N 0 0 442.513 -0.770 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)n1 ZINC000435875844 1071887942 /nfs/dbraw/zinc/88/79/42/1071887942.db2.gz FPSWIBYMDIXWRC-UHFFFAOYSA-N 0 0 445.528 -0.345 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)n1 ZINC000435881364 1071887859 /nfs/dbraw/zinc/88/78/59/1071887859.db2.gz UQLAGELJTBVNJW-UHFFFAOYSA-N 0 0 434.501 -0.172 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000435886906 1071889317 /nfs/dbraw/zinc/88/93/17/1071889317.db2.gz CVSGPYRXCBHRPX-UHFFFAOYSA-N 0 0 432.915 0.106 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCN(c2c[nH]c(=O)nc2N)CC1 ZINC000435890071 1071889356 /nfs/dbraw/zinc/88/93/56/1071889356.db2.gz XHTFDOKMSPSQJP-KRWDZBQOSA-N 0 0 442.398 0.045 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCN(c2c[nH]c(=O)nc2N)CC1 ZINC000435890072 1071889307 /nfs/dbraw/zinc/88/93/07/1071889307.db2.gz XHTFDOKMSPSQJP-QGZVFWFLSA-N 0 0 442.398 0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)s1 ZINC000435891621 1071889248 /nfs/dbraw/zinc/88/92/48/1071889248.db2.gz GCPWZZMGXCFMRS-UHFFFAOYSA-N 0 0 435.553 -0.529 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)s1 ZINC000435892453 1071889178 /nfs/dbraw/zinc/88/91/78/1071889178.db2.gz GYAGPITYGDSYOS-HNNXBMFYSA-N 0 0 444.579 -0.368 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)s1 ZINC000435892454 1071889395 /nfs/dbraw/zinc/88/93/95/1071889395.db2.gz GYAGPITYGDSYOS-OAHLLOKOSA-N 0 0 444.579 -0.368 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)s1 ZINC000435897815 1071888640 /nfs/dbraw/zinc/88/86/40/1071888640.db2.gz PKUAJJFDORBPOU-CHWSQXEVSA-N 0 0 449.576 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)s1 ZINC000435897821 1071888684 /nfs/dbraw/zinc/88/86/84/1071888684.db2.gz PKUAJJFDORBPOU-OLZOCXBDSA-N 0 0 449.576 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)s1 ZINC000435897823 1071888503 /nfs/dbraw/zinc/88/85/03/1071888503.db2.gz PKUAJJFDORBPOU-QWHCGFSZSA-N 0 0 449.576 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)s1 ZINC000435897825 1071888578 /nfs/dbraw/zinc/88/85/78/1071888578.db2.gz PKUAJJFDORBPOU-STQMWFEESA-N 0 0 449.576 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)s1 ZINC000435898012 1071888490 /nfs/dbraw/zinc/88/84/90/1071888490.db2.gz MXTYCGDIBVCRTD-UHFFFAOYSA-N 0 0 440.507 -0.241 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000435901800 1071888771 /nfs/dbraw/zinc/88/87/71/1071888771.db2.gz IYFWBAQKMUZRTM-UHFFFAOYSA-N 0 0 437.460 -0.515 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000435902550 1071888738 /nfs/dbraw/zinc/88/87/38/1071888738.db2.gz OPHHLTYZXDREDK-UHFFFAOYSA-N 0 0 426.495 -0.065 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(c3c[nH]c(=O)nc3N)CC1)C2=O ZINC000435902796 1071888722 /nfs/dbraw/zinc/88/87/22/1071888722.db2.gz QGMATXJYIIRLGW-CHWSQXEVSA-N 0 0 431.497 0.160 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(c3c[nH]c(=O)nc3N)CC1)C2=O ZINC000435902797 1071888657 /nfs/dbraw/zinc/88/86/57/1071888657.db2.gz QGMATXJYIIRLGW-NXCRSVRDSA-N 0 0 431.497 0.160 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(c3c[nH]c(=O)nc3N)CC1)C2=O ZINC000435902798 1071888729 /nfs/dbraw/zinc/88/87/29/1071888729.db2.gz QGMATXJYIIRLGW-STQMWFEESA-N 0 0 431.497 0.160 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)s1 ZINC000435903621 1071888440 /nfs/dbraw/zinc/88/84/40/1071888440.db2.gz SATTXVCIIHQLBM-UHFFFAOYSA-N 0 0 449.580 -0.138 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)s1 ZINC000435904425 1071889334 /nfs/dbraw/zinc/88/93/34/1071889334.db2.gz ZQTKWMLSZFGTPN-LLVKDONJSA-N 0 0 435.553 -0.448 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)s1 ZINC000435904427 1071889404 /nfs/dbraw/zinc/88/94/04/1071889404.db2.gz ZQTKWMLSZFGTPN-NSHDSACASA-N 0 0 435.553 -0.448 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)CC1 ZINC000435905422 1071889203 /nfs/dbraw/zinc/88/92/03/1071889203.db2.gz FOCCUKUMFIJZSC-UHFFFAOYSA-N 0 0 426.495 -0.065 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2c(Cl)cnn2C)c(=O)n1CC(=O)N1CCOCC1 ZINC000435906405 1071889260 /nfs/dbraw/zinc/88/92/60/1071889260.db2.gz KJPDNWOEVOUMDG-UHFFFAOYSA-N 0 0 440.909 -0.672 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000435908710 1071889274 /nfs/dbraw/zinc/88/92/74/1071889274.db2.gz PQAWUDHAZJTNTL-UHFFFAOYSA-N 0 0 435.444 0.050 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCNS(=O)(=O)NC(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC000435910461 1071889342 /nfs/dbraw/zinc/88/93/42/1071889342.db2.gz DVKIAFPEYUSURJ-KRWDZBQOSA-N 0 0 448.567 -0.610 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCNS(=O)(=O)NC(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC000435910462 1071889157 /nfs/dbraw/zinc/88/91/57/1071889157.db2.gz DVKIAFPEYUSURJ-QGZVFWFLSA-N 0 0 448.567 -0.610 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@H](CO)[C@@H]2CCOC2)cc1C ZINC000435922313 1071889905 /nfs/dbraw/zinc/88/99/05/1071889905.db2.gz HSWOAZBZOLCXIR-JFIYKMOQSA-N 0 0 441.550 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@H](CO)[C@H]2CCOC2)cc1C ZINC000435922314 1071889957 /nfs/dbraw/zinc/88/99/57/1071889957.db2.gz HSWOAZBZOLCXIR-LZLYRXPVSA-N 0 0 441.550 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@@H](CO)[C@@H]2CCOC2)cc1C ZINC000435922315 1071890012 /nfs/dbraw/zinc/89/00/12/1071890012.db2.gz HSWOAZBZOLCXIR-SOLBZPMBSA-N 0 0 441.550 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@@H](CO)[C@H]2CCOC2)cc1C ZINC000435922316 1071889920 /nfs/dbraw/zinc/88/99/20/1071889920.db2.gz HSWOAZBZOLCXIR-XYJFISCASA-N 0 0 441.550 -0.023 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NCC(=O)NCC(F)F)[C@H]1C(=O)NCC(=O)NCC(F)F ZINC000435936043 1071890567 /nfs/dbraw/zinc/89/05/67/1071890567.db2.gz FRBJRCYJUJXTED-FFIJEWMLSA-N 0 0 440.394 -0.787 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NCC(=O)NCC(F)F)[C@@H]1C(=O)NCC(=O)NCC(F)F ZINC000435936044 1071890552 /nfs/dbraw/zinc/89/05/52/1071890552.db2.gz FRBJRCYJUJXTED-FFTROKDMSA-N 0 0 440.394 -0.787 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NCC(=O)NCC(F)F)[C@H]1C(=O)NCC(=O)NCC(F)F ZINC000435936045 1071890586 /nfs/dbraw/zinc/89/05/86/1071890586.db2.gz FRBJRCYJUJXTED-OWCSCZDJSA-N 0 0 440.394 -0.787 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NCC(=O)NCC(F)F)[C@@H]1C(=O)NCC(=O)NCC(F)F ZINC000435936046 1071891057 /nfs/dbraw/zinc/89/10/57/1071891057.db2.gz FRBJRCYJUJXTED-UYNUJQBQSA-N 0 0 440.394 -0.787 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000435936858 1071890962 /nfs/dbraw/zinc/89/09/62/1071890962.db2.gz NYBLNODCEGVRBP-ZBFHGGJFSA-N 0 0 428.486 -0.177 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCC(=O)NCC(F)F)cc1C ZINC000435937834 1071891274 /nfs/dbraw/zinc/89/12/74/1071891274.db2.gz JOYZSLMBKJFKPB-CYBMUJFWSA-N 0 0 434.465 -0.287 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCC(=O)NCC(F)F)cc1C ZINC000435937835 1071891258 /nfs/dbraw/zinc/89/12/58/1071891258.db2.gz JOYZSLMBKJFKPB-ZDUSSCGKSA-N 0 0 434.465 -0.287 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C(=O)C3)CC2)c1 ZINC000435938409 1071891099 /nfs/dbraw/zinc/89/10/99/1071891099.db2.gz QTMPQERXIPLQLW-UHFFFAOYSA-N 0 0 444.535 -0.858 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)NCC(F)F ZINC000435940103 1071891040 /nfs/dbraw/zinc/89/10/40/1071891040.db2.gz ZLIBWJIFSASCHG-JQWIXIFHSA-N 0 0 425.841 -0.039 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)NCC(F)F ZINC000435940104 1071891245 /nfs/dbraw/zinc/89/12/45/1071891245.db2.gz ZLIBWJIFSASCHG-PWSUYJOCSA-N 0 0 425.841 -0.039 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000435940556 1071891068 /nfs/dbraw/zinc/89/10/68/1071891068.db2.gz XEIGOQGTOUVFAV-HIFRSBDPSA-N 0 0 435.499 -0.947 20 0 IBADRN CN(CCNC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O)S(=O)(=O)c1cccs1 ZINC000435963432 1071892357 /nfs/dbraw/zinc/89/23/57/1071892357.db2.gz JHGCYPFGDTZOCU-UHFFFAOYSA-N 0 0 440.507 -0.207 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)c(C)s1 ZINC000435965372 1071892238 /nfs/dbraw/zinc/89/22/38/1071892238.db2.gz MQFPHHDSSHPERF-LLVKDONJSA-N 0 0 449.580 -0.140 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)c(C)s1 ZINC000435965374 1071892273 /nfs/dbraw/zinc/89/22/73/1071892273.db2.gz MQFPHHDSSHPERF-NSHDSACASA-N 0 0 449.580 -0.140 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)c(C)s1 ZINC000435970517 1071891825 /nfs/dbraw/zinc/89/18/25/1071891825.db2.gz MJGUWZUJEOTLLP-UHFFFAOYSA-N 0 0 449.580 -0.220 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)CN1CCN(C)C(=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000435974583 1071891736 /nfs/dbraw/zinc/89/17/36/1071891736.db2.gz QVNMGISJIRFFER-IBGZPJMESA-N 0 0 425.507 -0.241 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)CN1CCN(C)C(=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000435974584 1071891818 /nfs/dbraw/zinc/89/18/18/1071891818.db2.gz QVNMGISJIRFFER-LJQANCHMSA-N 0 0 425.507 -0.241 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)CN1C(=O)CN(C)C1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000435976994 1071891779 /nfs/dbraw/zinc/89/17/79/1071891779.db2.gz CWXDXPZTEGRFRH-GOSISDBHSA-N 0 0 425.463 -0.121 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)CN1C(=O)CN(C)C1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000435976998 1071892416 /nfs/dbraw/zinc/89/24/16/1071892416.db2.gz CWXDXPZTEGRFRH-SFHVURJKSA-N 0 0 425.463 -0.121 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CCC(=O)NC3=O)CC2)o1 ZINC000435990403 1071892291 /nfs/dbraw/zinc/89/22/91/1071892291.db2.gz BXHZCOSWJOPPPC-GFCCVEGCSA-N 0 0 441.462 -0.222 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CCC(=O)NC3=O)CC2)o1 ZINC000435990404 1071893126 /nfs/dbraw/zinc/89/31/26/1071893126.db2.gz BXHZCOSWJOPPPC-LBPRGKRZSA-N 0 0 441.462 -0.222 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@H]2CCC(=O)NC2=O)CC1 ZINC000435994043 1071893007 /nfs/dbraw/zinc/89/30/07/1071893007.db2.gz NMEOMVCOLAVLSC-AWEZNQCLSA-N 0 0 437.474 -0.205 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@@H]2CCC(=O)NC2=O)CC1 ZINC000435994048 1071892998 /nfs/dbraw/zinc/89/29/98/1071892998.db2.gz NMEOMVCOLAVLSC-CQSZACIVSA-N 0 0 437.474 -0.205 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@H]1CCC(=O)NC1=O ZINC000435994284 1071893040 /nfs/dbraw/zinc/89/30/40/1071893040.db2.gz XFUOIPQINJWOLF-HNNXBMFYSA-N 0 0 436.490 -0.779 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H]1CCC(=O)NC1=O ZINC000435994286 1071893053 /nfs/dbraw/zinc/89/30/53/1071893053.db2.gz XFUOIPQINJWOLF-OAHLLOKOSA-N 0 0 436.490 -0.779 20 0 IBADRN CC(CC(=O)NCCC(=O)N1CCOCC1)CC(=O)NCCC(=O)N1CCOCC1 ZINC000436001112 1071893492 /nfs/dbraw/zinc/89/34/92/1071893492.db2.gz FCKPCUXZWSBPQW-UHFFFAOYSA-N 0 0 426.514 -0.867 20 0 IBADRN CN(C(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1)c1ccccc1 ZINC000436028814 1071893651 /nfs/dbraw/zinc/89/36/51/1071893651.db2.gz VVJZLDUWFAFILX-CYBMUJFWSA-N 0 0 433.490 -0.097 20 0 IBADRN CN(C(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1)c1ccccc1 ZINC000436028815 1071894356 /nfs/dbraw/zinc/89/43/56/1071894356.db2.gz VVJZLDUWFAFILX-ZDUSSCGKSA-N 0 0 433.490 -0.097 20 0 IBADRN COCCN1CCN(C(=O)CC(C)CC(=O)N2CCN(CCOC)C(=O)C2)CC1=O ZINC000436045579 1071895072 /nfs/dbraw/zinc/89/50/72/1071895072.db2.gz XXHACMUGWAKFML-UHFFFAOYSA-N 0 0 426.514 -0.963 20 0 IBADRN COCC(=O)N1CCC(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000436062981 1071896608 /nfs/dbraw/zinc/89/66/08/1071896608.db2.gz SITIXKUWWDGXFH-WBVHZDCISA-N 0 0 443.497 -0.297 20 0 IBADRN Cc1noc([C@H](C)N2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)n1 ZINC000436070188 1071477588 /nfs/dbraw/zinc/47/75/88/1071477588.db2.gz UTOZBYCSBIEJSS-KRWDZBQOSA-N 0 0 449.556 -0.940 20 0 IBADRN Cc1noc([C@@H](C)N2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)n1 ZINC000436070189 1071477337 /nfs/dbraw/zinc/47/73/37/1071477337.db2.gz UTOZBYCSBIEJSS-QGZVFWFLSA-N 0 0 449.556 -0.940 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000436076780 1071897688 /nfs/dbraw/zinc/89/76/88/1071897688.db2.gz RVUCGXOCJXOCPA-BJJXKVORSA-N 0 0 428.486 -0.144 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000436076782 1071897961 /nfs/dbraw/zinc/89/79/61/1071897961.db2.gz RVUCGXOCJXOCPA-XPKDYRNWSA-N 0 0 428.486 -0.144 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)n1 ZINC000436077937 1071897712 /nfs/dbraw/zinc/89/77/12/1071897712.db2.gz WJRNSVKORJVHCN-UHFFFAOYSA-N 0 0 448.571 -0.578 20 0 IBADRN Cn1cc(-c2nn(C)cc2C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000436087940 1071896942 /nfs/dbraw/zinc/89/69/42/1071896942.db2.gz ZXORXBNYRQGDFE-UHFFFAOYSA-N 0 0 436.542 -0.929 20 0 IBADRN NC(=O)C1(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCOCC1 ZINC000436088136 1071897352 /nfs/dbraw/zinc/89/73/52/1071897352.db2.gz LYQROCBQAAEJST-HIFRSBDPSA-N 0 0 429.470 -0.652 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3c[nH]nc3-c3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000436091514 1071897145 /nfs/dbraw/zinc/89/71/45/1071897145.db2.gz IYGALYLGHPYABJ-KBPBESRZSA-N 0 0 437.526 -0.078 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3c[nH]nc3-c3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000436091515 1071897221 /nfs/dbraw/zinc/89/72/21/1071897221.db2.gz IYGALYLGHPYABJ-OKILXGFUSA-N 0 0 437.526 -0.078 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3c[nH]nc3-c3cnn(C)c3)CC2)C[C@@H](C)O1 ZINC000436091516 1071896996 /nfs/dbraw/zinc/89/69/96/1071896996.db2.gz IYGALYLGHPYABJ-ZIAGYGMSSA-N 0 0 437.526 -0.078 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)c1cccnc1 ZINC000436095254 1071898615 /nfs/dbraw/zinc/89/86/15/1071898615.db2.gz ASKBYSVKUTVUPF-WBVHZDCISA-N 0 0 436.465 -0.109 20 0 IBADRN Cn1cc(-c2nn(C)cc2C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000436096721 1071898720 /nfs/dbraw/zinc/89/87/20/1071898720.db2.gz GPUIFBPAXWYVPI-UHFFFAOYSA-N 0 0 447.477 -0.341 20 0 IBADRN Cn1cc(-c2n[nH]cc2C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000436102726 1071897819 /nfs/dbraw/zinc/89/78/19/1071897819.db2.gz QZAIEPSZFBQKKB-UHFFFAOYSA-N 0 0 433.450 -0.351 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000436108818 1071898417 /nfs/dbraw/zinc/89/84/17/1071898417.db2.gz YQGZAUJMRZPKMY-WBVHZDCISA-N 0 0 442.513 -0.724 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000436111347 1071898746 /nfs/dbraw/zinc/89/87/46/1071898746.db2.gz OTGWSNQDLMMCTB-MOPGFXCFSA-N 0 0 439.582 -0.268 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000436111350 1071898733 /nfs/dbraw/zinc/89/87/33/1071898733.db2.gz OTGWSNQDLMMCTB-OALUTQOASA-N 0 0 439.582 -0.268 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000436111352 1071898680 /nfs/dbraw/zinc/89/86/80/1071898680.db2.gz OTGWSNQDLMMCTB-RBUKOAKNSA-N 0 0 439.582 -0.268 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000436111354 1071898479 /nfs/dbraw/zinc/89/84/79/1071898479.db2.gz OTGWSNQDLMMCTB-RTBURBONSA-N 0 0 439.582 -0.268 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(Cc2cnn(C)c2)CC1 ZINC000436114114 1071898505 /nfs/dbraw/zinc/89/85/05/1071898505.db2.gz XXKDZZZEWVSSRS-INIZCTEOSA-N 0 0 434.566 -0.068 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(Cc2cnn(C)c2)CC1 ZINC000436114115 1071899257 /nfs/dbraw/zinc/89/92/57/1071899257.db2.gz XXKDZZZEWVSSRS-MRXNPFEDSA-N 0 0 434.566 -0.068 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N3CCN(c4ncnc5c4cnn5C)CC3)CC2)cn1 ZINC000436115902 1071899106 /nfs/dbraw/zinc/89/91/06/1071899106.db2.gz RLRHKJAHNJSJET-UHFFFAOYSA-N 0 0 438.540 -0.437 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1nncn1-c1ccccc1)S(C)(=O)=O ZINC000436116542 1071899038 /nfs/dbraw/zinc/89/90/38/1071899038.db2.gz VUUZPYLNMKFHEP-UHFFFAOYSA-N 0 0 429.524 -0.420 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000436116904 1071899235 /nfs/dbraw/zinc/89/92/35/1071899235.db2.gz XJFYZKCOZWFTFZ-AEFFLSMTSA-N 0 0 442.513 -0.770 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)[C@@H](C)C(N)=O ZINC000436122536 1071899167 /nfs/dbraw/zinc/89/91/67/1071899167.db2.gz CKPQZKZVQFFLHN-AWEZNQCLSA-N 0 0 446.957 -0.005 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)[C@H](C)C(N)=O ZINC000436122537 1071899189 /nfs/dbraw/zinc/89/91/89/1071899189.db2.gz CKPQZKZVQFFLHN-CQSZACIVSA-N 0 0 446.957 -0.005 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1)[C@@H](C)C(N)=O ZINC000436123258 1071899816 /nfs/dbraw/zinc/89/98/16/1071899816.db2.gz LTGWNGOMDVMTHX-KRWDZBQOSA-N 0 0 440.566 -0.041 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1)[C@H](C)C(N)=O ZINC000436123308 1071899737 /nfs/dbraw/zinc/89/97/37/1071899737.db2.gz LTGWNGOMDVMTHX-QGZVFWFLSA-N 0 0 440.566 -0.041 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@@H](C)C(N)=O ZINC000436125593 1071899941 /nfs/dbraw/zinc/89/99/41/1071899941.db2.gz PKKONGMWRLJYBO-INIZCTEOSA-N 0 0 426.539 -0.517 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@H](C)C(N)=O ZINC000436125595 1071899720 /nfs/dbraw/zinc/89/97/20/1071899720.db2.gz PKKONGMWRLJYBO-MRXNPFEDSA-N 0 0 426.539 -0.517 20 0 IBADRN COCCN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@@H](C)C(N)=O ZINC000436126045 1071899703 /nfs/dbraw/zinc/89/97/03/1071899703.db2.gz IFVUONMPRASFLI-HNNXBMFYSA-N 0 0 442.538 -0.854 20 0 IBADRN COCCN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@H](C)C(N)=O ZINC000436126047 1071899931 /nfs/dbraw/zinc/89/99/31/1071899931.db2.gz IFVUONMPRASFLI-OAHLLOKOSA-N 0 0 442.538 -0.854 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)[C@H](C)C(N)=O ZINC000436127088 1071899664 /nfs/dbraw/zinc/89/96/64/1071899664.db2.gz SIXKQDQFOXBXKW-CYBMUJFWSA-N 0 0 448.492 -0.380 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)[C@@H](C)C(N)=O ZINC000436127090 1071899953 /nfs/dbraw/zinc/89/99/53/1071899953.db2.gz SIXKQDQFOXBXKW-ZDUSSCGKSA-N 0 0 448.492 -0.380 20 0 IBADRN COCCN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H](C)C(N)=O ZINC000436128411 1071899834 /nfs/dbraw/zinc/89/98/34/1071899834.db2.gz UJMCJJBCGCOSHT-HNNXBMFYSA-N 0 0 442.538 -0.854 20 0 IBADRN COCCN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H](C)C(N)=O ZINC000436128413 1071899900 /nfs/dbraw/zinc/89/99/00/1071899900.db2.gz UJMCJJBCGCOSHT-OAHLLOKOSA-N 0 0 442.538 -0.854 20 0 IBADRN COCCN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H](C)C(N)=O ZINC000436128816 1071899921 /nfs/dbraw/zinc/89/99/21/1071899921.db2.gz MSQRLMNMLUSFPW-AWEZNQCLSA-N 0 0 428.511 -0.532 20 0 IBADRN COCCN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H](C)C(N)=O ZINC000436128817 1071899771 /nfs/dbraw/zinc/89/97/71/1071899771.db2.gz MSQRLMNMLUSFPW-CQSZACIVSA-N 0 0 428.511 -0.532 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)[C@@H](C)C(N)=O ZINC000436130492 1071900533 /nfs/dbraw/zinc/90/05/33/1071900533.db2.gz XIVHEVODJRKCTQ-AWEZNQCLSA-N 0 0 430.502 -0.519 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)[C@H](C)C(N)=O ZINC000436130493 1071900516 /nfs/dbraw/zinc/90/05/16/1071900516.db2.gz XIVHEVODJRKCTQ-CQSZACIVSA-N 0 0 430.502 -0.519 20 0 IBADRN COCCN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)C(N)=O ZINC000436138539 1071900490 /nfs/dbraw/zinc/90/04/90/1071900490.db2.gz VMOKFWJOZHWICK-AWEZNQCLSA-N 0 0 428.511 -0.532 20 0 IBADRN COCCN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H](C)C(N)=O ZINC000436138541 1071900410 /nfs/dbraw/zinc/90/04/10/1071900410.db2.gz VMOKFWJOZHWICK-CQSZACIVSA-N 0 0 428.511 -0.532 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCS(=O)(=O)NCC3CCC3)CC2)n1 ZINC000436144928 1071900428 /nfs/dbraw/zinc/90/04/28/1071900428.db2.gz RMNFICSQUXROHA-UHFFFAOYSA-N 0 0 430.531 -0.237 20 0 IBADRN COCCCN(C(=O)CN1CN=NC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000436155329 1071900343 /nfs/dbraw/zinc/90/03/43/1071900343.db2.gz RYIWAHXLAUGMSD-UHFFFAOYSA-N 0 0 429.437 -0.054 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCOC[C@H]2C)c(=O)[nH]c1=O ZINC000436155509 1071900444 /nfs/dbraw/zinc/90/04/44/1071900444.db2.gz UGVIJMKHNQQBCW-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCOCCN1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C[C@@H]1C ZINC000436170383 1071478147 /nfs/dbraw/zinc/47/81/47/1071478147.db2.gz APOVREBQZRZONK-IBGZPJMESA-N 0 0 425.574 -0.968 20 0 IBADRN CCOCCN1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C[C@H]1C ZINC000436170444 1071478103 /nfs/dbraw/zinc/47/81/03/1071478103.db2.gz APOVREBQZRZONK-LJQANCHMSA-N 0 0 425.574 -0.968 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000436201982 1071900847 /nfs/dbraw/zinc/90/08/47/1071900847.db2.gz CLKOUANTWIRTKQ-AWEZNQCLSA-N 0 0 432.485 -0.028 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000436201984 1071900871 /nfs/dbraw/zinc/90/08/71/1071900871.db2.gz CLKOUANTWIRTKQ-CQSZACIVSA-N 0 0 432.485 -0.028 20 0 IBADRN Cc1ccccc1Nc1nc(N)nc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)n1 ZINC000436214542 1071902661 /nfs/dbraw/zinc/90/26/61/1071902661.db2.gz AUQAXLWINQIURI-UHFFFAOYSA-N 0 0 438.492 -0.279 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CC(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC000436214875 1071902637 /nfs/dbraw/zinc/90/26/37/1071902637.db2.gz BPXNLJPGHBHTAW-UHFFFAOYSA-N 0 0 441.532 -0.376 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CC(=O)N2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000436215451 1071902459 /nfs/dbraw/zinc/90/24/59/1071902459.db2.gz CZSQCGDHFDRXAB-UHFFFAOYSA-N 0 0 445.495 -0.233 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NCc1cnn(-c2ccccc2)c1 ZINC000436215895 1071902445 /nfs/dbraw/zinc/90/24/45/1071902445.db2.gz FDYVIRNQJNEQRC-UHFFFAOYSA-N 0 0 438.488 -0.218 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Nc1cc(-n2cnnn2)ccc1F ZINC000436216452 1071902635 /nfs/dbraw/zinc/90/26/35/1071902635.db2.gz GQGFIIIWRZRXIS-UHFFFAOYSA-N 0 0 444.427 -0.967 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000436216862 1071902525 /nfs/dbraw/zinc/90/25/25/1071902525.db2.gz HWINXHVYLKWDCM-AWEZNQCLSA-N 0 0 429.477 -0.334 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000436216864 1071902516 /nfs/dbraw/zinc/90/25/16/1071902516.db2.gz HWINXHVYLKWDCM-CQSZACIVSA-N 0 0 429.477 -0.334 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000436217310 1071902548 /nfs/dbraw/zinc/90/25/48/1071902548.db2.gz ICMQQWYQZXYVGO-UHFFFAOYSA-N 0 0 429.477 -0.332 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000436220057 1071901551 /nfs/dbraw/zinc/90/15/51/1071901551.db2.gz OVURLNUWKXEZFZ-UHFFFAOYSA-N 0 0 443.504 -0.315 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000436220453 1071901453 /nfs/dbraw/zinc/90/14/53/1071901453.db2.gz PVVHDDILWBLWQT-UHFFFAOYSA-N 0 0 436.490 -0.678 20 0 IBADRN Nc1nc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nc(Nc2ccc(F)cc2)n1 ZINC000436220779 1073363290 /nfs/dbraw/zinc/36/32/90/1073363290.db2.gz QJGPKYHDGKNIJM-UHFFFAOYSA-N 0 0 442.455 0.130 20 0 IBADRN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000436220823 1071901518 /nfs/dbraw/zinc/90/15/18/1071901518.db2.gz QRPCXLQGMVINHT-CVEARBPZSA-N 0 0 444.488 -0.376 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000436220825 1071901593 /nfs/dbraw/zinc/90/15/93/1071901593.db2.gz QRPCXLQGMVINHT-HOTGVXAUSA-N 0 0 444.488 -0.376 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000436220827 1071901491 /nfs/dbraw/zinc/90/14/91/1071901491.db2.gz QRPCXLQGMVINHT-HZPDHXFCSA-N 0 0 444.488 -0.376 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000436220829 1071901408 /nfs/dbraw/zinc/90/14/08/1071901408.db2.gz QRPCXLQGMVINHT-JKSUJKDBSA-N 0 0 444.488 -0.376 20 0 IBADRN C[C@@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000436220838 1073359076 /nfs/dbraw/zinc/35/90/76/1073359076.db2.gz QSPAUSMWQWAITJ-AWEZNQCLSA-N 0 0 438.492 -0.027 20 0 IBADRN C[C@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000436220843 1073361250 /nfs/dbraw/zinc/36/12/50/1073361250.db2.gz QSPAUSMWQWAITJ-CQSZACIVSA-N 0 0 438.492 -0.027 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)oc1C ZINC000436221144 1071478114 /nfs/dbraw/zinc/47/81/14/1071478114.db2.gz NJWIVTNMDYAGPJ-UHFFFAOYSA-N 0 0 448.568 -0.588 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)CC2)n1 ZINC000436222603 1071901538 /nfs/dbraw/zinc/90/15/38/1071901538.db2.gz VGMDASLEDUJHAB-UHFFFAOYSA-N 0 0 442.542 0.000 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CC(=O)N2CCN(Cc3ccco3)CC2)CC1 ZINC000436222806 1071901614 /nfs/dbraw/zinc/90/16/14/1071901614.db2.gz MHKAASIHPFVMKQ-UHFFFAOYSA-N 0 0 431.493 -0.783 20 0 IBADRN Cn1c2nnc(CN3CCN(C(=O)CN4C(=O)CCC4=O)CC3)n2c2ccsc2c1=O ZINC000436222855 1071901600 /nfs/dbraw/zinc/90/16/00/1071901600.db2.gz NANYXYCJWHLEOJ-UHFFFAOYSA-N 0 0 443.489 -0.564 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC000436223082 1071901506 /nfs/dbraw/zinc/90/15/06/1071901506.db2.gz TZRLNRHEDXMGQB-AWEZNQCLSA-N 0 0 432.485 -0.109 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC000436223087 1071901588 /nfs/dbraw/zinc/90/15/88/1071901588.db2.gz TZRLNRHEDXMGQB-CQSZACIVSA-N 0 0 432.485 -0.109 20 0 IBADRN COC(=O)c1ccc2c(c1)nc(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)[nH]c2=O ZINC000436223481 1071901526 /nfs/dbraw/zinc/90/15/26/1071901526.db2.gz XEURWRUMXLYNIY-UHFFFAOYSA-N 0 0 441.444 -0.085 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)oc1C ZINC000436223561 1071901573 /nfs/dbraw/zinc/90/15/73/1071901573.db2.gz UCLYZISWFZAABE-GOSISDBHSA-N 0 0 439.582 -0.260 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)oc1C ZINC000436223563 1071901566 /nfs/dbraw/zinc/90/15/66/1071901566.db2.gz UCLYZISWFZAABE-SFHVURJKSA-N 0 0 439.582 -0.260 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000436223612 1071901606 /nfs/dbraw/zinc/90/16/06/1071901606.db2.gz UJGSJDNFLUBDLN-ZWKOTPCHSA-N 0 0 444.448 -0.161 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CC(=O)N2CCN(Cc3ccsc3)CC2)CC1 ZINC000436224391 1071901426 /nfs/dbraw/zinc/90/14/26/1071901426.db2.gz QINWWFZOYIPXNB-UHFFFAOYSA-N 0 0 447.561 -0.315 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000436224767 1071902135 /nfs/dbraw/zinc/90/21/35/1071902135.db2.gz ZNLVTHQIQCCFAL-HNNXBMFYSA-N 0 0 444.488 -0.229 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000436224779 1071902000 /nfs/dbraw/zinc/90/20/00/1071902000.db2.gz ZNLVTHQIQCCFAL-OAHLLOKOSA-N 0 0 444.488 -0.229 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)CN3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2C1=O ZINC000436225399 1071901982 /nfs/dbraw/zinc/90/19/82/1071901982.db2.gz SAYBWHVOVYNBQR-UHFFFAOYSA-N 0 0 441.444 -0.856 20 0 IBADRN C[C@@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000436225921 1071902091 /nfs/dbraw/zinc/90/20/91/1071902091.db2.gz UBXKMMXBVWNYFD-AWEZNQCLSA-N 0 0 427.461 -0.347 20 0 IBADRN C[C@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000436225922 1071902037 /nfs/dbraw/zinc/90/20/37/1071902037.db2.gz UBXKMMXBVWNYFD-CQSZACIVSA-N 0 0 427.461 -0.347 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)c[nH]1 ZINC000436227631 1071901945 /nfs/dbraw/zinc/90/19/45/1071901945.db2.gz MXUSXFVCXVCWNP-OLZOCXBDSA-N 0 0 425.511 -0.311 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000436229592 1071902083 /nfs/dbraw/zinc/90/20/83/1071902083.db2.gz FRSADCUUXIBBSH-ZBFHGGJFSA-N 0 0 449.526 -0.557 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000436233041 1071903141 /nfs/dbraw/zinc/90/31/41/1071903141.db2.gz MGOYBQYRNHFKLQ-UHFFFAOYSA-N 0 0 440.416 -0.233 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O ZINC000436233439 1071903270 /nfs/dbraw/zinc/90/32/70/1071903270.db2.gz RYTOEIJEWFJTRE-UHFFFAOYSA-N 0 0 440.416 -0.233 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)o1 ZINC000436237327 1071903106 /nfs/dbraw/zinc/90/31/06/1071903106.db2.gz IQHJXOPOFVXNBG-OLZOCXBDSA-N 0 0 426.495 -0.046 20 0 IBADRN Cc1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1S(C)(=O)=O ZINC000436237794 1071902594 /nfs/dbraw/zinc/90/25/94/1071902594.db2.gz IRYQFYRAEUMANQ-UHFFFAOYSA-N 0 0 433.490 -0.148 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000436238547 1071903077 /nfs/dbraw/zinc/90/30/77/1071903077.db2.gz DNJOKKCMIRHWTD-HIFRSBDPSA-N 0 0 437.515 -0.606 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000436240842 1071902605 /nfs/dbraw/zinc/90/26/05/1071902605.db2.gz RVKNRKNDAZZOJV-HNNXBMFYSA-N 0 0 447.492 -0.312 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000436240843 1071902982 /nfs/dbraw/zinc/90/29/82/1071902982.db2.gz RVKNRKNDAZZOJV-OAHLLOKOSA-N 0 0 447.492 -0.312 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000436242111 1071903292 /nfs/dbraw/zinc/90/32/92/1071903292.db2.gz MXROTDNCIPJXKF-UHFFFAOYSA-N 0 0 427.483 -0.916 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000436244320 1071903308 /nfs/dbraw/zinc/90/33/08/1071903308.db2.gz YDNZJIVNQKFDGI-HIFRSBDPSA-N 0 0 435.499 -0.899 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)CNS(=O)(=O)CCOC(C)C ZINC000436247227 1071903256 /nfs/dbraw/zinc/90/32/56/1071903256.db2.gz NZDOULNDQZKOSQ-UHFFFAOYSA-N 0 0 430.483 -0.148 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000436251401 1071903675 /nfs/dbraw/zinc/90/36/75/1071903675.db2.gz IDDZAZPFQHNYKK-UHFFFAOYSA-N 0 0 442.432 -0.440 20 0 IBADRN C[C@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)N1CCOCC1 ZINC000436254678 1071903686 /nfs/dbraw/zinc/90/36/86/1071903686.db2.gz UKHSKVRUDIRPQE-BJJXKVORSA-N 0 0 429.470 -0.687 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000436254679 1071903815 /nfs/dbraw/zinc/90/38/15/1071903815.db2.gz RPQMCZORZLIPBQ-HIFRSBDPSA-N 0 0 437.515 -0.605 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)N1CCOCC1 ZINC000436254680 1071903771 /nfs/dbraw/zinc/90/37/71/1071903771.db2.gz UKHSKVRUDIRPQE-XPKDYRNWSA-N 0 0 429.470 -0.687 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)CC2)n1 ZINC000436258077 1071903872 /nfs/dbraw/zinc/90/38/72/1071903872.db2.gz GNTUTYAOVJUORT-UHFFFAOYSA-N 0 0 449.556 -0.013 20 0 IBADRN CCO[C@@H]1C[C@@](CO)(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1(C)C ZINC000436258087 1071903856 /nfs/dbraw/zinc/90/38/56/1071903856.db2.gz GPNMQBDUYYASDY-APWZRJJASA-N 0 0 448.586 -0.107 20 0 IBADRN CCO[C@H]1C[C@@](CO)(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1(C)C ZINC000436258096 1071903904 /nfs/dbraw/zinc/90/39/04/1071903904.db2.gz GPNMQBDUYYASDY-LPHOPBHVSA-N 0 0 448.586 -0.107 20 0 IBADRN CCO[C@H]1C[C@](CO)(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1(C)C ZINC000436258097 1071903660 /nfs/dbraw/zinc/90/36/60/1071903660.db2.gz GPNMQBDUYYASDY-QFBILLFUSA-N 0 0 448.586 -0.107 20 0 IBADRN CCO[C@@H]1C[C@](CO)(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1(C)C ZINC000436258099 1071903700 /nfs/dbraw/zinc/90/37/00/1071903700.db2.gz GPNMQBDUYYASDY-VQIMIIECSA-N 0 0 448.586 -0.107 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC[C@H]3C(=O)N(Cc4ccccc4)C(=O)[C@H]32)c(=O)n(C)c1=O ZINC000436258300 1071903617 /nfs/dbraw/zinc/90/36/17/1071903617.db2.gz IDXJJPDDCBBZHB-PBHICJAKSA-N 0 0 439.472 -0.502 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2C[C@@H]3[C@H](C2)OCCN3Cc2ccccc2)CC1 ZINC000436262135 1071904540 /nfs/dbraw/zinc/90/45/40/1071904540.db2.gz QKGSWBFBVGIKLM-RTWAWAEBSA-N 0 0 429.565 -0.196 20 0 IBADRN O=C(CN1C[C@@H]2[C@H](C1)OCCN2Cc1ccccc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000436262943 1071904531 /nfs/dbraw/zinc/90/45/31/1071904531.db2.gz RLZQCTWPIJIRFL-KSZLIROESA-N 0 0 436.534 -0.416 20 0 IBADRN O=C(CN1C[C@@H]2[C@H](C1)OCCN2Cc1ccccc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000436262945 1071904492 /nfs/dbraw/zinc/90/44/92/1071904492.db2.gz RLZQCTWPIJIRFL-KURKYZTESA-N 0 0 436.534 -0.416 20 0 IBADRN COCCn1c(N)c(C(=O)CN2C[C@@H]3[C@H](C2)OCCN3Cc2ccccc2)c(=O)[nH]c1=O ZINC000436266495 1071904625 /nfs/dbraw/zinc/90/46/25/1071904625.db2.gz AFLIMCIETJEWHU-AEFFLSMTSA-N 0 0 443.504 -0.055 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1C[C@@H]2[C@H](C1)OCCN2Cc1ccccc1 ZINC000436266616 1071904479 /nfs/dbraw/zinc/90/44/79/1071904479.db2.gz AZTZMYRIFLFFHG-OIISXLGYSA-N 0 0 427.505 -0.177 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1C[C@@H]2[C@H](C1)OCCN2Cc1ccccc1 ZINC000436266620 1071904332 /nfs/dbraw/zinc/90/43/32/1071904332.db2.gz AZTZMYRIFLFFHG-UAGQMJEPSA-N 0 0 427.505 -0.177 20 0 IBADRN CO[C@H]1C[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)N(S(C)(=O)=O)C1 ZINC000436272636 1071904949 /nfs/dbraw/zinc/90/49/49/1071904949.db2.gz HOWYLGGBLKZVLG-DOTOQJQBSA-N 0 0 445.563 -0.123 20 0 IBADRN Cc1cc(C)n(C[C@@H]2CN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCO2)n1 ZINC000436281769 1071478160 /nfs/dbraw/zinc/47/81/60/1071478160.db2.gz PHIDWFSSJFKOIM-FQEVSTJZSA-N 0 0 448.568 -0.796 20 0 IBADRN Cc1cc(C)n(C[C@H]2CN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCO2)n1 ZINC000436282070 1071478177 /nfs/dbraw/zinc/47/81/77/1071478177.db2.gz PHIDWFSSJFKOIM-HXUWFJFHSA-N 0 0 448.568 -0.796 20 0 IBADRN COCCn1c(=O)[nH]c(=O)c(NCc2ccccc2)c1NC(=O)CN1CCN(C)C(=O)C1 ZINC000436290019 1071905676 /nfs/dbraw/zinc/90/56/76/1071905676.db2.gz YIVGOVRZCREYLF-UHFFFAOYSA-N 0 0 444.492 -0.080 20 0 IBADRN O=C(CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)Nc1ccncc1 ZINC000436302016 1071905559 /nfs/dbraw/zinc/90/55/59/1071905559.db2.gz QMESHYSSQKCWFY-WBVHZDCISA-N 0 0 436.465 -0.089 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000436305412 1071906334 /nfs/dbraw/zinc/90/63/34/1071906334.db2.gz GMLWOOVKOMSKLA-FMKPAKJESA-N 0 0 449.526 -0.510 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000436305414 1071906443 /nfs/dbraw/zinc/90/64/43/1071906443.db2.gz GMLWOOVKOMSKLA-LZWOXQAQSA-N 0 0 449.526 -0.510 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000436307822 1071906316 /nfs/dbraw/zinc/90/63/16/1071906316.db2.gz LQABGHOQPMPOPH-ZBFHGGJFSA-N 0 0 431.486 -0.439 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)CC2)n1 ZINC000436321096 1071906496 /nfs/dbraw/zinc/90/64/96/1071906496.db2.gz JCZVMJVNHOVBIA-HNNXBMFYSA-N 0 0 446.512 -0.032 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)CC2)n1 ZINC000436321097 1071906285 /nfs/dbraw/zinc/90/62/85/1071906285.db2.gz JCZVMJVNHOVBIA-OAHLLOKOSA-N 0 0 446.512 -0.032 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000436332010 1071906889 /nfs/dbraw/zinc/90/68/89/1071906889.db2.gz PJSMGOPJOCASSI-UHFFFAOYSA-N 0 0 444.492 0.231 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)n1 ZINC000436352682 1073304893 /nfs/dbraw/zinc/30/48/93/1073304893.db2.gz JHLHGPPELITZHA-HIFRSBDPSA-N 0 0 439.469 -0.127 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)cn1 ZINC000436354744 1071907434 /nfs/dbraw/zinc/90/74/34/1071907434.db2.gz CISIMDIZTISPKD-ZBFHGGJFSA-N 0 0 439.469 -0.127 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)n1 ZINC000436357297 1071907530 /nfs/dbraw/zinc/90/75/30/1071907530.db2.gz ICRJJQIYMUXOQB-UHFFFAOYSA-N 0 0 426.474 0.553 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)n1 ZINC000436360260 1071907523 /nfs/dbraw/zinc/90/75/23/1071907523.db2.gz FMTYKCRBQFIDMI-OCCSQVGLSA-N 0 0 426.426 -0.042 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)c1cnccn1 ZINC000436363632 1071908644 /nfs/dbraw/zinc/90/86/44/1071908644.db2.gz RAYYEAPZVXXPOL-CJNGLKHVSA-N 0 0 437.453 -0.714 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCC[C@@H](CNS(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000436380916 1071909237 /nfs/dbraw/zinc/90/92/37/1071909237.db2.gz FKJWTKPDPPXZTD-KBPBESRZSA-N 0 0 429.518 -0.376 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCC[C@@H](CNS(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000436380917 1071909351 /nfs/dbraw/zinc/90/93/51/1071909351.db2.gz FKJWTKPDPPXZTD-KGLIPLIRSA-N 0 0 429.518 -0.376 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCC[C@H](CNS(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000436380918 1071909329 /nfs/dbraw/zinc/90/93/29/1071909329.db2.gz FKJWTKPDPPXZTD-UONOGXRCSA-N 0 0 429.518 -0.376 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCC[C@H](CNS(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000436380919 1071909301 /nfs/dbraw/zinc/90/93/01/1071909301.db2.gz FKJWTKPDPPXZTD-ZIAGYGMSSA-N 0 0 429.518 -0.376 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCNS(=O)(=O)c3cccnc3)CC2)n1 ZINC000436385091 1071909226 /nfs/dbraw/zinc/90/92/26/1071909226.db2.gz FFNFSDRHOITELX-UHFFFAOYSA-N 0 0 439.498 -0.583 20 0 IBADRN Cn1ncc2c1nc(N1CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC1)nc2N ZINC000436385864 1071909369 /nfs/dbraw/zinc/90/93/69/1071909369.db2.gz OUBVVXOZNFQGMX-UHFFFAOYSA-N 0 0 444.477 -0.213 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)n1 ZINC000436386955 1071909183 /nfs/dbraw/zinc/90/91/83/1071909183.db2.gz KCFUNYVMROURPL-UHFFFAOYSA-N 0 0 427.462 -0.052 20 0 IBADRN CN(CCNC(=O)Nc1nc(CS(C)(=O)=O)no1)S(=O)(=O)c1ccc(F)cc1 ZINC000436390120 1071909374 /nfs/dbraw/zinc/90/93/74/1071909374.db2.gz PDZAMHMNRLOCLE-UHFFFAOYSA-N 0 0 435.459 -0.383 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)cc1C ZINC000436396406 1073316406 /nfs/dbraw/zinc/31/64/06/1073316406.db2.gz XKADWUKUCYSQSL-UHFFFAOYSA-N 0 0 426.474 0.519 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN3CCN(C)C(=O)C3)cc2)CC1 ZINC000436415688 1071910684 /nfs/dbraw/zinc/91/06/84/1071910684.db2.gz SRGXHFXHQXSDGG-UHFFFAOYSA-N 0 0 445.564 -0.338 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCN3C(=O)NC4(CCCC4)C3=O)CC2)n1 ZINC000436426034 1071912570 /nfs/dbraw/zinc/91/25/70/1071912570.db2.gz VDERZXOZKJVFEI-UHFFFAOYSA-N 0 0 435.485 -0.092 20 0 IBADRN COCc1noc(CN2CCN(c3ccc(S(N)(=O)=O)cc3C(=O)OC)CC2)n1 ZINC000436426648 1071912369 /nfs/dbraw/zinc/91/23/69/1071912369.db2.gz DHMMSMYZYWAWGL-UHFFFAOYSA-N 0 0 425.467 -0.028 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000436433341 1071911721 /nfs/dbraw/zinc/91/17/21/1071911721.db2.gz UBBAODRVLGHPLS-WBVHZDCISA-N 0 0 429.514 -0.135 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(c4cncc(OCCO)n4)CC3)cc2N1 ZINC000436450401 1071912978 /nfs/dbraw/zinc/91/29/78/1071912978.db2.gz HMPGZSNVDVATMN-UHFFFAOYSA-N 0 0 435.462 -0.311 20 0 IBADRN CNC(=O)Cn1cc(NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)cn1 ZINC000436479045 1071914230 /nfs/dbraw/zinc/91/42/30/1071914230.db2.gz TVIRPULEZFWWTG-UHFFFAOYSA-N 0 0 435.462 -0.316 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000436485650 1071915815 /nfs/dbraw/zinc/91/58/15/1071915815.db2.gz GJZKFWRCJNQVBS-UHFFFAOYSA-N 0 0 447.539 -0.679 20 0 IBADRN COC(=O)CN(CCN1CC[NH+](C)CC1)C(=O)c1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC000436486153 1071915879 /nfs/dbraw/zinc/91/58/79/1071915879.db2.gz IKPZOJLFQYQCGQ-UHFFFAOYSA-N 0 0 442.538 -0.495 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000436489437 1071915756 /nfs/dbraw/zinc/91/57/56/1071915756.db2.gz PSQBAILJBAZSLH-UHFFFAOYSA-N 0 0 435.528 -0.775 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1 ZINC000436491455 1071914838 /nfs/dbraw/zinc/91/48/38/1071914838.db2.gz AANDMCJGZHKHQB-UHFFFAOYSA-N 0 0 427.483 -0.407 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)N1CCN(S(=O)(=O)NC)CC1 ZINC000436502282 1071917512 /nfs/dbraw/zinc/91/75/12/1071917512.db2.gz BXNNOLWSMAATCA-UHFFFAOYSA-N 0 0 449.555 -0.051 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)NCCNS(=O)(=O)N(C)C ZINC000436503495 1071916446 /nfs/dbraw/zinc/91/64/46/1071916446.db2.gz GYVJCEDGLGWAST-UHFFFAOYSA-N 0 0 437.544 -0.147 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000436505256 1071916455 /nfs/dbraw/zinc/91/64/55/1071916455.db2.gz NBXXEWFTBYIJPX-UHFFFAOYSA-N 0 0 432.459 -0.265 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)NCC3CC3)cc2)CC1 ZINC000436506004 1071916365 /nfs/dbraw/zinc/91/63/65/1071916365.db2.gz BALKSEOYTYHPAK-UHFFFAOYSA-N 0 0 431.540 -0.011 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000436506008 1071916431 /nfs/dbraw/zinc/91/64/31/1071916431.db2.gz BCCQLQUKXYSBED-UHFFFAOYSA-N 0 0 438.514 -0.523 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)nc1 ZINC000436507919 1071916465 /nfs/dbraw/zinc/91/64/65/1071916465.db2.gz HQWCMGDDPFHUQE-UHFFFAOYSA-N 0 0 429.484 -0.749 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)NCCNS(=O)(=O)N(C)C)cc1 ZINC000436510277 1071916218 /nfs/dbraw/zinc/91/62/18/1071916218.db2.gz ILPWZONJHPAEOY-UHFFFAOYSA-N 0 0 435.572 -0.088 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000436510481 1071916236 /nfs/dbraw/zinc/91/62/36/1071916236.db2.gz NJGYYFCSHRFNCB-UHFFFAOYSA-N 0 0 435.503 -0.120 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCC3(OCCO)CCOCC3)C2)cc1 ZINC000436510980 1071917006 /nfs/dbraw/zinc/91/70/06/1071917006.db2.gz JUZSRZAWTXNAOA-UHFFFAOYSA-N 0 0 443.522 -0.075 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCCN(S(C)(=O)=O)CC3)C2)cc1 ZINC000436514111 1071917496 /nfs/dbraw/zinc/91/74/96/1071917496.db2.gz QUSSPWDIDBHAPQ-UHFFFAOYSA-N 0 0 446.551 -0.255 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2)cc1 ZINC000436514589 1071916894 /nfs/dbraw/zinc/91/68/94/1071916894.db2.gz RXVKBGLEAQIIHQ-UHFFFAOYSA-N 0 0 448.505 -0.140 20 0 IBADRN COc1cc(C)cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1O ZINC000436526848 1071918014 /nfs/dbraw/zinc/91/80/14/1071918014.db2.gz FLSYDPQBZCUOME-AWEZNQCLSA-N 0 0 425.507 -0.230 20 0 IBADRN COc1cc(C)cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1O ZINC000436526851 1071918056 /nfs/dbraw/zinc/91/80/56/1071918056.db2.gz FLSYDPQBZCUOME-CQSZACIVSA-N 0 0 425.507 -0.230 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3c(cc2O)OCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000436533725 1073489034 /nfs/dbraw/zinc/48/90/34/1073489034.db2.gz HACDEYCDKDPDMI-GFCCVEGCSA-N 0 0 425.463 -0.818 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3c(cc2O)OCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000436533731 1073489113 /nfs/dbraw/zinc/48/91/13/1073489113.db2.gz HACDEYCDKDPDMI-LBPRGKRZSA-N 0 0 425.463 -0.818 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)nc1 ZINC000436563660 1071919695 /nfs/dbraw/zinc/91/96/95/1071919695.db2.gz NZCGCEROYBVZPS-UHFFFAOYSA-N 0 0 442.475 -0.292 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccccc2O)CC1 ZINC000436625336 1071923179 /nfs/dbraw/zinc/92/31/79/1071923179.db2.gz OARBIPNDHSHUGS-GOSISDBHSA-N 0 0 430.505 0.000 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccccc2O)CC1 ZINC000436625337 1071923282 /nfs/dbraw/zinc/92/32/82/1071923282.db2.gz OARBIPNDHSHUGS-SFHVURJKSA-N 0 0 430.505 0.000 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCN(C(=O)c4ccc(O)cc4)CC3)cnc21 ZINC000436627287 1071923204 /nfs/dbraw/zinc/92/32/04/1071923204.db2.gz VQNCAVQGWVLNHE-UHFFFAOYSA-N 0 0 445.457 -0.114 20 0 IBADRN O=C(NCCNC(=O)c1ccc(O)cc1)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000436627999 1071923126 /nfs/dbraw/zinc/92/31/26/1071923126.db2.gz ZKSSJPKYLLRZBO-UHFFFAOYSA-N 0 0 440.412 -0.233 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccccc2O)CC1)N1CCOCC1 ZINC000436628034 1071923232 /nfs/dbraw/zinc/92/32/32/1071923232.db2.gz ZVJUCPSFSNAJFT-KRWDZBQOSA-N 0 0 444.488 -0.474 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccccc2O)CC1)N1CCOCC1 ZINC000436628035 1071923260 /nfs/dbraw/zinc/92/32/60/1071923260.db2.gz ZVJUCPSFSNAJFT-QGZVFWFLSA-N 0 0 444.488 -0.474 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCNC(=O)c2ccc(O)cc2)c1 ZINC000436639883 1071923843 /nfs/dbraw/zinc/92/38/43/1071923843.db2.gz FSYOKAJBHXYABA-UHFFFAOYSA-N 0 0 448.501 -0.082 20 0 IBADRN O=C(NCCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccc(O)cc1 ZINC000436642940 1071924447 /nfs/dbraw/zinc/92/44/47/1071924447.db2.gz KRZQFXPFTYFIHY-UHFFFAOYSA-N 0 0 446.485 -0.328 20 0 IBADRN O=C(NCCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccc(O)cc1 ZINC000436644201 1071924198 /nfs/dbraw/zinc/92/41/98/1071924198.db2.gz TXEWXGDEUDWPHX-UHFFFAOYSA-N 0 0 446.485 -0.328 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cc(I)ccc2O)CC1 ZINC000436647116 1071924466 /nfs/dbraw/zinc/92/44/66/1071924466.db2.gz KJDBMBRTSYOLSS-UHFFFAOYSA-N 0 0 442.217 -0.032 20 0 IBADRN O=C(c1ccc(O)cc1)N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000436657623 1071924373 /nfs/dbraw/zinc/92/43/73/1071924373.db2.gz VWXNQAREUZBTCC-CVEARBPZSA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1ccc(O)cc1)N1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000436657635 1071924506 /nfs/dbraw/zinc/92/45/06/1071924506.db2.gz VWXNQAREUZBTCC-HOTGVXAUSA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1ccc(O)cc1)N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000436657638 1071924349 /nfs/dbraw/zinc/92/43/49/1071924349.db2.gz VWXNQAREUZBTCC-HZPDHXFCSA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1ccc(O)cc1)N1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000436657643 1071924407 /nfs/dbraw/zinc/92/44/07/1071924407.db2.gz VWXNQAREUZBTCC-JKSUJKDBSA-N 0 0 435.502 -0.288 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4ccc(O)cc4)CC3)c2c(=O)n(C)c1=O ZINC000436658163 1071925755 /nfs/dbraw/zinc/92/57/55/1071925755.db2.gz YAXUFOZCVHWMFO-UHFFFAOYSA-N 0 0 426.433 -0.876 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccc(O)cc2)cc1OCC(N)=O ZINC000436661408 1071925584 /nfs/dbraw/zinc/92/55/84/1071925584.db2.gz GECKKGAHTHLVGA-UHFFFAOYSA-N 0 0 430.417 -0.250 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(O)c1 ZINC000436664110 1071924280 /nfs/dbraw/zinc/92/42/80/1071924280.db2.gz KVHKEQTVQWKWQV-UHFFFAOYSA-N 0 0 440.522 -0.458 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c(O)c1 ZINC000436674369 1071924908 /nfs/dbraw/zinc/92/49/08/1071924908.db2.gz HQZJIAMCRBAHJY-KBPBESRZSA-N 0 0 425.507 -0.124 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c(O)c1 ZINC000436674373 1071924991 /nfs/dbraw/zinc/92/49/91/1071924991.db2.gz HQZJIAMCRBAHJY-KGLIPLIRSA-N 0 0 425.507 -0.124 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c(O)c1 ZINC000436674374 1071925005 /nfs/dbraw/zinc/92/50/05/1071925005.db2.gz HQZJIAMCRBAHJY-UONOGXRCSA-N 0 0 425.507 -0.124 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c(O)c1 ZINC000436674376 1071924860 /nfs/dbraw/zinc/92/48/60/1071924860.db2.gz HQZJIAMCRBAHJY-ZIAGYGMSSA-N 0 0 425.507 -0.124 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCNC(=O)c3ccc(O)cc3)CC2=O)c1 ZINC000436678271 1071925791 /nfs/dbraw/zinc/92/57/91/1071925791.db2.gz GULKNRJNNDAYRO-AWEZNQCLSA-N 0 0 446.485 -0.061 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCNC(=O)c3ccc(O)cc3)CC2=O)c1 ZINC000436678275 1071925812 /nfs/dbraw/zinc/92/58/12/1071925812.db2.gz GULKNRJNNDAYRO-CQSZACIVSA-N 0 0 446.485 -0.061 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCNC(=O)c1ccc(O)cc1 ZINC000436678897 1071925735 /nfs/dbraw/zinc/92/57/35/1071925735.db2.gz DPRKVXYNFGNAKA-GFCCVEGCSA-N 0 0 434.474 -0.296 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCNC(=O)c1ccc(O)cc1 ZINC000436678902 1071925801 /nfs/dbraw/zinc/92/58/01/1071925801.db2.gz DPRKVXYNFGNAKA-LBPRGKRZSA-N 0 0 434.474 -0.296 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCNC(=O)c1ccc(O)cc1 ZINC000436680039 1071925706 /nfs/dbraw/zinc/92/57/06/1071925706.db2.gz ICWKXVPWFDNWPC-UHFFFAOYSA-N 0 0 434.430 -0.506 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NCCNC(=O)c3ccc(O)cc3)nc2n(C)c1=O ZINC000436680428 1073353962 /nfs/dbraw/zinc/35/39/62/1073353962.db2.gz JLVJPAOVNOHGCX-UHFFFAOYSA-N 0 0 440.460 0.243 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccccc2O)CC1 ZINC000436682017 1071925675 /nfs/dbraw/zinc/92/56/75/1071925675.db2.gz ORZFVSCWFJLIMX-INIZCTEOSA-N 0 0 442.472 -0.183 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccccc2O)CC1 ZINC000436682018 1071925503 /nfs/dbraw/zinc/92/55/03/1071925503.db2.gz ORZFVSCWFJLIMX-MRXNPFEDSA-N 0 0 442.472 -0.183 20 0 IBADRN O=C(NCCNC(=O)c1ccc(O)cc1)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000436682997 1071925628 /nfs/dbraw/zinc/92/56/28/1071925628.db2.gz XYRDTOVQWXIKOY-UHFFFAOYSA-N 0 0 439.472 -0.191 20 0 IBADRN O=C(NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)c1ccc(O)cc1 ZINC000436683190 1071926280 /nfs/dbraw/zinc/92/62/80/1071926280.db2.gz TXDGBPYUTVPMOC-UHFFFAOYSA-N 0 0 428.430 -0.037 20 0 IBADRN Cc1ccccc1-c1cc(=NC(=O)C(=O)N=c2ccn(CC(=O)N3CCOCC3)[nH]2)[nH][nH]1 ZINC000436691743 1071926223 /nfs/dbraw/zinc/92/62/23/1071926223.db2.gz KMKCPBDGEVFWEU-UHFFFAOYSA-N 0 0 437.460 -0.139 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(O)cc2)c2ccccc2O1 ZINC000436703363 1071926921 /nfs/dbraw/zinc/92/69/21/1071926921.db2.gz IGCBVOBQNOBMCN-KRWDZBQOSA-N 0 0 426.429 -0.222 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(O)cc2)c2ccccc2O1 ZINC000436703369 1071926888 /nfs/dbraw/zinc/92/68/88/1071926888.db2.gz IGCBVOBQNOBMCN-QGZVFWFLSA-N 0 0 426.429 -0.222 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(O)c1 ZINC000436704145 1071926249 /nfs/dbraw/zinc/92/62/49/1071926249.db2.gz GASYXQODHXVCCZ-UHFFFAOYSA-N 0 0 430.461 -0.360 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2ccc(O)cc2)cc1 ZINC000436705151 1071926796 /nfs/dbraw/zinc/92/67/96/1071926796.db2.gz OENLRVHGENAMFI-UHFFFAOYSA-N 0 0 442.428 -0.220 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNC(=O)c1ccc(C)cc1O ZINC000436705775 1071926834 /nfs/dbraw/zinc/92/68/34/1071926834.db2.gz KYTUASVBAFEKMN-AWEZNQCLSA-N 0 0 425.507 -0.028 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNC(=O)c1ccc(C)cc1O ZINC000436705780 1071926959 /nfs/dbraw/zinc/92/69/59/1071926959.db2.gz KYTUASVBAFEKMN-CQSZACIVSA-N 0 0 425.507 -0.028 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3O)CC2)cn1 ZINC000436711320 1071926903 /nfs/dbraw/zinc/92/69/03/1071926903.db2.gz GQOWUQSGCHIINU-UHFFFAOYSA-N 0 0 428.449 -0.400 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)cn1 ZINC000436713622 1071926933 /nfs/dbraw/zinc/92/69/33/1071926933.db2.gz RDQDQMZBUVDJRM-UHFFFAOYSA-N 0 0 428.449 -0.400 20 0 IBADRN O=C(Nc1n[nH]nc1C(=O)N1CCCC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000436719661 1071927500 /nfs/dbraw/zinc/92/75/00/1071927500.db2.gz ATEXRUMMXJZJHG-UHFFFAOYSA-N 0 0 447.477 -0.587 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000436735173 1071928080 /nfs/dbraw/zinc/92/80/80/1071928080.db2.gz LSYBSMSNBGHKGP-UHFFFAOYSA-N 0 0 446.445 -0.193 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NCCNC(=O)c2ccc(O)cc2)c1=O ZINC000436743580 1071928219 /nfs/dbraw/zinc/92/82/19/1071928219.db2.gz HNXQJBMGRSDUEO-UHFFFAOYSA-N 0 0 430.417 -0.398 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3O)CC2)cn1)NC1CC1 ZINC000436744660 1071927974 /nfs/dbraw/zinc/92/79/74/1071927974.db2.gz OKHHFIAQAMYPFK-UHFFFAOYSA-N 0 0 440.460 -0.210 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)cn1)NC1CC1 ZINC000436745155 1071928193 /nfs/dbraw/zinc/92/81/93/1071928193.db2.gz SJUBJGWLSBFSKB-UHFFFAOYSA-N 0 0 440.460 -0.210 20 0 IBADRN O=C(c1ccccc1O)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000436746415 1071928620 /nfs/dbraw/zinc/92/86/20/1071928620.db2.gz GBKUCMCBFJQSQW-KRWDZBQOSA-N 0 0 430.505 -0.143 20 0 IBADRN O=C(c1ccccc1O)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000436746416 1071928736 /nfs/dbraw/zinc/92/87/36/1071928736.db2.gz GBKUCMCBFJQSQW-QGZVFWFLSA-N 0 0 430.505 -0.143 20 0 IBADRN O=C(c1ccc(O)cc1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000436747838 1071928778 /nfs/dbraw/zinc/92/87/78/1071928778.db2.gz OTQRIJWBEQYUBO-GOSISDBHSA-N 0 0 430.505 -0.143 20 0 IBADRN O=C(c1ccc(O)cc1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000436747839 1071928863 /nfs/dbraw/zinc/92/88/63/1071928863.db2.gz OTQRIJWBEQYUBO-SFHVURJKSA-N 0 0 430.505 -0.143 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccccc2O)CC1)N1CCOCC1 ZINC000436748912 1071928759 /nfs/dbraw/zinc/92/87/59/1071928759.db2.gz IGIGOCQDIVSKIQ-GOSISDBHSA-N 0 0 430.505 0.000 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccccc2O)CC1)N1CCOCC1 ZINC000436748913 1071928832 /nfs/dbraw/zinc/92/88/32/1071928832.db2.gz IGIGOCQDIVSKIQ-SFHVURJKSA-N 0 0 430.505 0.000 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(C(=O)c3ccccc3O)CC2)c(=O)n(C)c1=O ZINC000436761025 1071927991 /nfs/dbraw/zinc/92/79/91/1071927991.db2.gz HDSSPSWGOSBVPA-UHFFFAOYSA-N 0 0 425.445 -0.271 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)c(=O)n(C)c1=O ZINC000436763582 1071929747 /nfs/dbraw/zinc/92/97/47/1071929747.db2.gz YENCQMDWSPZZEF-UHFFFAOYSA-N 0 0 425.445 -0.271 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4ccccc4O)CC3)c2c(=O)n(C)c1=O ZINC000436767543 1071929824 /nfs/dbraw/zinc/92/98/24/1071929824.db2.gz BXGGULXETYUZOZ-UHFFFAOYSA-N 0 0 440.460 -0.486 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)n1)N1CCOCC1 ZINC000436772090 1071929337 /nfs/dbraw/zinc/92/93/37/1071929337.db2.gz ZUYHTPPMQYCEKS-UHFFFAOYSA-N 0 0 442.476 0.437 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4ccccc4O)CC3)c2c(=O)n(C)c1=O ZINC000436772745 1071929389 /nfs/dbraw/zinc/92/93/89/1071929389.db2.gz LXZROOAKWOPCKH-UHFFFAOYSA-N 0 0 426.433 -0.876 20 0 IBADRN O=C(c1ccccc1O)N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000436774582 1071929393 /nfs/dbraw/zinc/92/93/93/1071929393.db2.gz UBPHURUDSFXUMK-CABCVRRESA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1ccccc1O)N1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000436774583 1071929281 /nfs/dbraw/zinc/92/92/81/1071929281.db2.gz UBPHURUDSFXUMK-GJZGRUSLSA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1ccccc1O)N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000436774584 1071929373 /nfs/dbraw/zinc/92/93/73/1071929373.db2.gz UBPHURUDSFXUMK-HUUCEWRRSA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1ccccc1O)N1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000436774585 1071929901 /nfs/dbraw/zinc/92/99/01/1071929901.db2.gz UBPHURUDSFXUMK-LSDHHAIUSA-N 0 0 435.502 -0.288 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2ccccc2O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000436775132 1071929305 /nfs/dbraw/zinc/92/93/05/1071929305.db2.gz YSMZRJXYZSJGCJ-INIZCTEOSA-N 0 0 439.534 -0.188 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2ccccc2O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000436775136 1071929355 /nfs/dbraw/zinc/92/93/55/1071929355.db2.gz YSMZRJXYZSJGCJ-MRXNPFEDSA-N 0 0 439.534 -0.188 20 0 IBADRN CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)Nc2cnn(CC(=O)NCC[NH+](C)C)c2)c1 ZINC000436779098 1071929932 /nfs/dbraw/zinc/92/99/32/1071929932.db2.gz JJWQSTIGAFIIIM-UHFFFAOYSA-N 0 0 438.510 -0.231 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N1CCN(C(=O)Cn2c(C)cc(O)cc2=O)[C@@H](C)C1 ZINC000436812974 1071931085 /nfs/dbraw/zinc/93/10/85/1071931085.db2.gz GHFFRYXTYARTBA-HNNXBMFYSA-N 0 0 430.461 -0.202 20 0 IBADRN O=C(c1ccccc1O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000436822874 1071931617 /nfs/dbraw/zinc/93/16/17/1071931617.db2.gz XKRMRQUMCYOLEN-AWEZNQCLSA-N 0 0 434.478 -0.352 20 0 IBADRN O=C(c1ccccc1O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000436822875 1071931924 /nfs/dbraw/zinc/93/19/24/1071931924.db2.gz XKRMRQUMCYOLEN-CQSZACIVSA-N 0 0 434.478 -0.352 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCN(C(=O)c2ccccc2O)CC1 ZINC000436827178 1071931709 /nfs/dbraw/zinc/93/17/09/1071931709.db2.gz RSUDZEBKMYNZOD-UHFFFAOYSA-N 0 0 437.478 -0.033 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(C(=O)c4ccccc4O)CC3)nc2c(=O)[nH]c1=O ZINC000436838804 1071931756 /nfs/dbraw/zinc/93/17/56/1071931756.db2.gz LQYNTYKXGACLBL-UHFFFAOYSA-N 0 0 426.433 -0.015 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(O)c1 ZINC000436838818 1071931803 /nfs/dbraw/zinc/93/18/03/1071931803.db2.gz LXAOCFJQXJIGCF-UHFFFAOYSA-N 0 0 430.465 -0.691 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(C(=O)c4ccc(O)cc4)CC3)nc2c(=O)[nH]c1=O ZINC000436839972 1071931675 /nfs/dbraw/zinc/93/16/75/1071931675.db2.gz PAGJXCVHAYJGMO-UHFFFAOYSA-N 0 0 426.433 -0.015 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN1C(=O)c1ccccc1O)C2 ZINC000436841493 1071931631 /nfs/dbraw/zinc/93/16/31/1071931631.db2.gz SHYHQEJWBZQKOC-HNNXBMFYSA-N 0 0 442.476 -0.514 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN1C(=O)c1ccccc1O)C2 ZINC000436841494 1071931848 /nfs/dbraw/zinc/93/18/48/1071931848.db2.gz SHYHQEJWBZQKOC-OAHLLOKOSA-N 0 0 442.476 -0.514 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(C(=O)c3ccccc3O)CC1)c(=O)n2C ZINC000436842740 1071932607 /nfs/dbraw/zinc/93/26/07/1071932607.db2.gz FIJXRMDLWFXJFA-UHFFFAOYSA-N 0 0 426.477 -0.013 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000436848269 1071932592 /nfs/dbraw/zinc/93/25/92/1071932592.db2.gz NYVXJWGERSCLGZ-UHFFFAOYSA-N 0 0 437.478 -0.033 20 0 IBADRN COCCn1nc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)ccc1=O ZINC000436848497 1071932527 /nfs/dbraw/zinc/93/25/27/1071932527.db2.gz AGBOMCIDRPKKPY-UHFFFAOYSA-N 0 0 438.462 -0.132 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)c3ccccc3O)CC2)c(=O)[nH]c1=O ZINC000436848631 1071932580 /nfs/dbraw/zinc/93/25/80/1071932580.db2.gz WJEVQZHJURMYHI-UHFFFAOYSA-N 0 0 431.449 -0.476 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(C(=O)c2ccccc2O)CC1 ZINC000436849633 1071932360 /nfs/dbraw/zinc/93/23/60/1071932360.db2.gz GADXCBHLBCPEJM-UHFFFAOYSA-N 0 0 429.433 0.226 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000436855476 1071931872 /nfs/dbraw/zinc/93/18/72/1071931872.db2.gz WUVXXSKHQDKCKX-UHFFFAOYSA-N 0 0 429.433 0.226 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(C(=O)c3ccccc3O)CC2)CC1 ZINC000436860896 1071932451 /nfs/dbraw/zinc/93/24/51/1071932451.db2.gz QOEQKJHROYTPDC-UHFFFAOYSA-N 0 0 431.493 -0.060 20 0 IBADRN O=C(c1ccccc1O)N1CCC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000436861955 1071932651 /nfs/dbraw/zinc/93/26/51/1071932651.db2.gz GGKLJNRRABWGCE-BQFCYCMXSA-N 0 0 437.518 -0.701 20 0 IBADRN O=C(c1ccccc1O)N1CCC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000436861958 1071932488 /nfs/dbraw/zinc/93/24/88/1071932488.db2.gz GGKLJNRRABWGCE-JZXOWHBKSA-N 0 0 437.518 -0.701 20 0 IBADRN O=C(c1ccccc1O)N1CCC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000436861962 1071932396 /nfs/dbraw/zinc/93/23/96/1071932396.db2.gz GGKLJNRRABWGCE-LZLYRXPVSA-N 0 0 437.518 -0.701 20 0 IBADRN O=C(c1ccccc1O)N1CCC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000436861966 1071932323 /nfs/dbraw/zinc/93/23/23/1071932323.db2.gz GGKLJNRRABWGCE-XYJFISCASA-N 0 0 437.518 -0.701 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCN(C(=O)c3ccccc3O)CC2)n1)N1CCOCC1 ZINC000436882778 1071933492 /nfs/dbraw/zinc/93/34/92/1071933492.db2.gz VZNBLGVZYLRBFH-UHFFFAOYSA-N 0 0 442.476 0.437 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)CC1 ZINC000436883410 1071934305 /nfs/dbraw/zinc/93/43/05/1071934305.db2.gz FOASZRQOGKXVOC-UHFFFAOYSA-N 0 0 431.493 -0.060 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)c[nH]1 ZINC000436883497 1071934095 /nfs/dbraw/zinc/93/40/95/1071934095.db2.gz AGQJOELKWFIHLB-UHFFFAOYSA-N 0 0 435.462 -0.918 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccc(O)cc2)cc1 ZINC000436884279 1071933678 /nfs/dbraw/zinc/93/36/78/1071933678.db2.gz FBFFTLLTHINVQF-UHFFFAOYSA-N 0 0 434.474 -0.074 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)o1 ZINC000436884349 1071933473 /nfs/dbraw/zinc/93/34/73/1071933473.db2.gz FSKVISBHVSTVFC-UHFFFAOYSA-N 0 0 436.446 -0.653 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccccc3O)CC2)c[nH]1 ZINC000436885567 1071934275 /nfs/dbraw/zinc/93/42/75/1071934275.db2.gz KMPLAXNRRCQSOS-UHFFFAOYSA-N 0 0 435.462 -0.918 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000436887120 1071934217 /nfs/dbraw/zinc/93/42/17/1071934217.db2.gz OZWNGIIOPSIKPF-UHFFFAOYSA-N 0 0 440.522 -0.489 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3O)CC2)c1 ZINC000436894102 1071933594 /nfs/dbraw/zinc/93/35/94/1071933594.db2.gz PDHONLKYXOTYOI-UHFFFAOYSA-N 0 0 432.458 -0.037 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)c1 ZINC000436896371 1071934860 /nfs/dbraw/zinc/93/48/60/1071934860.db2.gz SNKIAEGDARPFDX-UHFFFAOYSA-N 0 0 432.458 -0.037 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)cc1 ZINC000436909372 1071934232 /nfs/dbraw/zinc/93/42/32/1071934232.db2.gz AGNMWCMJDOJXER-UHFFFAOYSA-N 0 0 432.458 -0.037 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3O)CC2)cc1 ZINC000436914706 1071935017 /nfs/dbraw/zinc/93/50/17/1071935017.db2.gz MRMXNDAWWZVDDK-UHFFFAOYSA-N 0 0 432.458 -0.037 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)[N-]c2cnn(CC(=O)NCC[NH+](C)C)c2)ccc1O ZINC000436925935 1071935544 /nfs/dbraw/zinc/93/55/44/1071935544.db2.gz HUPPGNZXVURJOW-UHFFFAOYSA-N 0 0 425.467 -0.146 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3O)CC2)cc1C(N)=O ZINC000436934599 1071936082 /nfs/dbraw/zinc/93/60/82/1071936082.db2.gz HNEPJBSKOLGTOP-UHFFFAOYSA-N 0 0 427.417 -0.182 20 0 IBADRN C[C@@H](NC(=O)N1CCO[C@H](CNc2nccn(C)c2=O)C1)C(=O)N1Cc2ccccc2C1 ZINC000436938631 1071936566 /nfs/dbraw/zinc/93/65/66/1071936566.db2.gz VEENXBMWJURQCY-CRAIPNDOSA-N 0 0 440.504 0.534 20 0 IBADRN C[C@H](NC(=O)N1CCO[C@H](CNc2nccn(C)c2=O)C1)C(=O)N1Cc2ccccc2C1 ZINC000436938632 1071936114 /nfs/dbraw/zinc/93/61/14/1071936114.db2.gz VEENXBMWJURQCY-MAUKXSAKSA-N 0 0 440.504 0.534 20 0 IBADRN C[C@@H](NC(=O)N1CCO[C@@H](CNc2nccn(C)c2=O)C1)C(=O)N1Cc2ccccc2C1 ZINC000436938633 1071936159 /nfs/dbraw/zinc/93/61/59/1071936159.db2.gz VEENXBMWJURQCY-QAPCUYQASA-N 0 0 440.504 0.534 20 0 IBADRN C[C@H](NC(=O)N1CCO[C@@H](CNc2nccn(C)c2=O)C1)C(=O)N1Cc2ccccc2C1 ZINC000436938634 1071936220 /nfs/dbraw/zinc/93/62/20/1071936220.db2.gz VEENXBMWJURQCY-YJBOKZPZSA-N 0 0 440.504 0.534 20 0 IBADRN Cn1c2ncn(CC(=O)NC3CCN(C(=O)c4ccccc4O)CC3)c2c(=O)n(C)c1=O ZINC000436942352 1071936771 /nfs/dbraw/zinc/93/67/71/1071936771.db2.gz GSMPMDFVXCRYQP-UHFFFAOYSA-N 0 0 440.460 -0.440 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)cc1C(N)=O ZINC000436942762 1071936683 /nfs/dbraw/zinc/93/66/83/1071936683.db2.gz ISBFRNMZWZNVGC-UHFFFAOYSA-N 0 0 427.417 -0.182 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC1CCN(C(=O)c3ccccc3O)CC1)c(=O)n2C ZINC000436943767 1071936798 /nfs/dbraw/zinc/93/67/98/1071936798.db2.gz KXJKPIUHNIEXGR-UHFFFAOYSA-N 0 0 440.460 -0.440 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)c3ccc(O)cc3)CC2)c(=O)[nH]c1=O ZINC000436946666 1071937108 /nfs/dbraw/zinc/93/71/08/1071937108.db2.gz DWIHBAMEIZUREW-UHFFFAOYSA-N 0 0 431.449 -0.476 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(C(=O)c3ccc(O)cc3)CC1)c(=O)n2C ZINC000436949067 1071937154 /nfs/dbraw/zinc/93/71/54/1071937154.db2.gz LICWPGKVFJIRLM-UHFFFAOYSA-N 0 0 426.477 -0.013 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2ccccc2O)CC1 ZINC000436952922 1071937851 /nfs/dbraw/zinc/93/78/51/1071937851.db2.gz ZWHXRJCSLJHRJM-UHFFFAOYSA-N 0 0 440.522 -0.489 20 0 IBADRN C[C@@H]1[C@H](N2CCOCC2)CCN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000436968608 1071940933 /nfs/dbraw/zinc/94/09/33/1071940933.db2.gz UMQXGGSVVBPQLF-VQIMIIECSA-N 0 0 444.536 -0.271 20 0 IBADRN O=C(NCCNC(=O)c1ccc(O)cc1)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000436985791 1071941387 /nfs/dbraw/zinc/94/13/87/1071941387.db2.gz PLQCNDNNKOWMNZ-UHFFFAOYSA-N 0 0 439.428 -0.071 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)c3ccccc3O)CC2)o1 ZINC000436985867 1071941662 /nfs/dbraw/zinc/94/16/62/1071941662.db2.gz DMBGJFMDXPETHD-UHFFFAOYSA-N 0 0 436.446 -0.653 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(O)c1 ZINC000436997462 1071941444 /nfs/dbraw/zinc/94/14/44/1071941444.db2.gz MYSZBVUUXBUWEE-CVEARBPZSA-N 0 0 425.507 -0.565 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(O)c1 ZINC000436997463 1071941475 /nfs/dbraw/zinc/94/14/75/1071941475.db2.gz MYSZBVUUXBUWEE-HOTGVXAUSA-N 0 0 425.507 -0.565 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(O)c1 ZINC000436997464 1071941301 /nfs/dbraw/zinc/94/13/01/1071941301.db2.gz MYSZBVUUXBUWEE-HZPDHXFCSA-N 0 0 425.507 -0.565 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(O)c1 ZINC000436997465 1071941619 /nfs/dbraw/zinc/94/16/19/1071941619.db2.gz MYSZBVUUXBUWEE-JKSUJKDBSA-N 0 0 425.507 -0.565 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCNC(=O)c2ccc(O)cc2)ccc1F ZINC000436999120 1071941673 /nfs/dbraw/zinc/94/16/73/1071941673.db2.gz JCYZBOYYEHUKGX-UHFFFAOYSA-N 0 0 438.437 -0.076 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)n1 ZINC000437024946 1071942148 /nfs/dbraw/zinc/94/21/48/1071942148.db2.gz WVBZJWIDMVMWCZ-UHFFFAOYSA-N 0 0 441.485 0.434 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1ncn(C(C)(C)C)n1)S(=O)(=O)c1ccc(F)cc1 ZINC000437030990 1071942690 /nfs/dbraw/zinc/94/26/90/1071942690.db2.gz LWMLDFMWCIVZSO-UHFFFAOYSA-N 0 0 426.474 0.548 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H]3CN4CCN3CC4)c2)CC1 ZINC000437042556 1071942640 /nfs/dbraw/zinc/94/26/40/1071942640.db2.gz NVMYHUVZXXDUNA-GOSISDBHSA-N 0 0 435.550 -0.731 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H]3CN4CCN3CC4)c2)CC1 ZINC000437042559 1071943140 /nfs/dbraw/zinc/94/31/40/1071943140.db2.gz NVMYHUVZXXDUNA-SFHVURJKSA-N 0 0 435.550 -0.731 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)cn1 ZINC000437043192 1071942725 /nfs/dbraw/zinc/94/27/25/1071942725.db2.gz OQNNPCSNCCKFNI-UHFFFAOYSA-N 0 0 440.457 -0.856 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)n1 ZINC000437046664 1071943405 /nfs/dbraw/zinc/94/34/05/1071943405.db2.gz XQLJREYGDIXUFW-UHFFFAOYSA-N 0 0 427.458 0.044 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@H]1CN3CCN1CC3)n2C ZINC000437047141 1071943118 /nfs/dbraw/zinc/94/31/18/1071943118.db2.gz UZUMUQLYLHMRBM-HNNXBMFYSA-N 0 0 434.566 -0.128 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@@H]1CN3CCN1CC3)n2C ZINC000437047146 1071943248 /nfs/dbraw/zinc/94/32/48/1071943248.db2.gz UZUMUQLYLHMRBM-OAHLLOKOSA-N 0 0 434.566 -0.128 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2C[C@@H]3[C@H](C2)OCCN3Cc2ccccc2)cc1C ZINC000437067854 1073314786 /nfs/dbraw/zinc/31/47/86/1073314786.db2.gz FFISCSCYMYOTCT-MSOLQXFVSA-N 0 0 440.504 -0.012 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)cnc2n(C)c1=O ZINC000437070787 1071944542 /nfs/dbraw/zinc/94/45/42/1071944542.db2.gz VUQSBZOSHQCPRD-OLZOCXBDSA-N 0 0 442.476 -0.522 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@@H]3[C@H](C2)OCCN3Cc2ccccc2)cn1 ZINC000437071627 1071944494 /nfs/dbraw/zinc/94/44/94/1071944494.db2.gz ZLGMTPWOAYIWGS-MSOLQXFVSA-N 0 0 426.477 -0.321 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H]3C(=O)N(Cc4ccccc4)C(=O)[C@H]32)cn1 ZINC000437077258 1071943810 /nfs/dbraw/zinc/94/38/10/1071943810.db2.gz XGXVSWCCAGZBJA-WBVHZDCISA-N 0 0 438.444 -0.517 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)C(=O)Nc1c(OC)ncnc1N(C)C ZINC000437083884 1071944378 /nfs/dbraw/zinc/94/43/78/1071944378.db2.gz JSBMVVKGWKKPNE-UHFFFAOYSA-N 0 0 430.465 -0.027 20 0 IBADRN Cc1ccc([C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)[C@H](C)S(C)(=O)=O)cc1 ZINC000437092006 1071945099 /nfs/dbraw/zinc/94/50/99/1071945099.db2.gz DSNYZQCLPWYTRC-KBXCAEBGSA-N 0 0 449.533 0.509 20 0 IBADRN Cc1ccc([C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)[C@@H](C)S(C)(=O)=O)cc1 ZINC000437092009 1073342258 /nfs/dbraw/zinc/34/22/58/1073342258.db2.gz DSNYZQCLPWYTRC-KDOFPFPSSA-N 0 0 449.533 0.509 20 0 IBADRN Cc1ccc([C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)[C@H](C)S(C)(=O)=O)cc1 ZINC000437092011 1073342000 /nfs/dbraw/zinc/34/20/00/1073342000.db2.gz DSNYZQCLPWYTRC-KSSFIOAISA-N 0 0 449.533 0.509 20 0 IBADRN Cc1ccc([C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)[C@@H](C)S(C)(=O)=O)cc1 ZINC000437092013 1073342685 /nfs/dbraw/zinc/34/26/85/1073342685.db2.gz DSNYZQCLPWYTRC-RDTXWAMCSA-N 0 0 449.533 0.509 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000437092033 1071944990 /nfs/dbraw/zinc/94/49/90/1071944990.db2.gz DWAXLWYEXGALEU-UHFFFAOYSA-N 0 0 434.474 -0.244 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H](c2ccc(C)cc2)[C@H](C)S(C)(=O)=O)cc1C ZINC000437092959 1073315441 /nfs/dbraw/zinc/31/54/41/1073315441.db2.gz MVINNOXPOMXAHD-KBXCAEBGSA-N 0 0 449.533 0.475 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H](c2ccc(C)cc2)[C@@H](C)S(C)(=O)=O)cc1C ZINC000437092962 1073315422 /nfs/dbraw/zinc/31/54/22/1073315422.db2.gz MVINNOXPOMXAHD-KDOFPFPSSA-N 0 0 449.533 0.475 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H](c2ccc(C)cc2)[C@H](C)S(C)(=O)=O)cc1C ZINC000437092963 1073315381 /nfs/dbraw/zinc/31/53/81/1073315381.db2.gz MVINNOXPOMXAHD-KSSFIOAISA-N 0 0 449.533 0.475 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H](c2ccc(C)cc2)[C@@H](C)S(C)(=O)=O)cc1C ZINC000437092964 1073315401 /nfs/dbraw/zinc/31/54/01/1073315401.db2.gz MVINNOXPOMXAHD-RDTXWAMCSA-N 0 0 449.533 0.475 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC)[C@@H]1CCS(=O)(=O)C1 ZINC000437093748 1071945061 /nfs/dbraw/zinc/94/50/61/1071945061.db2.gz JBYOPFDAXVYKMJ-GFCCVEGCSA-N 0 0 447.535 -0.080 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC)[C@H]1CCS(=O)(=O)C1 ZINC000437093750 1071945139 /nfs/dbraw/zinc/94/51/39/1071945139.db2.gz JBYOPFDAXVYKMJ-LBPRGKRZSA-N 0 0 447.535 -0.080 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000437094102 1071945083 /nfs/dbraw/zinc/94/50/83/1071945083.db2.gz HGJYQPRMUZMFIU-AWEZNQCLSA-N 0 0 443.547 -0.091 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000437094106 1071945016 /nfs/dbraw/zinc/94/50/16/1071945016.db2.gz HGJYQPRMUZMFIU-CQSZACIVSA-N 0 0 443.547 -0.091 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CC[C@@H](C(=O)N2CCCCC2)C1 ZINC000437094332 1073334464 /nfs/dbraw/zinc/33/44/64/1073334464.db2.gz HYQAZNLDCLOWME-MSOLQXFVSA-N 0 0 446.552 0.451 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)C(=O)Nc1cnc(OC)c(C(N)=O)c1 ZINC000437101034 1071945730 /nfs/dbraw/zinc/94/57/30/1071945730.db2.gz WUHKFDYSYJQCAS-UHFFFAOYSA-N 0 0 429.433 -0.389 20 0 IBADRN CCN(C(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21)[C@@H]1CCS(=O)(=O)C1 ZINC000437103330 1071946126 /nfs/dbraw/zinc/94/61/26/1071946126.db2.gz ZQPNFKPXAZZQBN-CYBMUJFWSA-N 0 0 429.520 -0.481 20 0 IBADRN CCN(C(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21)[C@H]1CCS(=O)(=O)C1 ZINC000437103331 1071946153 /nfs/dbraw/zinc/94/61/53/1071946153.db2.gz ZQPNFKPXAZZQBN-ZDUSSCGKSA-N 0 0 429.520 -0.481 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2C[C@@H]3[C@H](C2)OCCN3Cc2ccccc2)n1 ZINC000437107992 1071945598 /nfs/dbraw/zinc/94/55/98/1071945598.db2.gz CKZSPDLSZJZNEB-MSOLQXFVSA-N 0 0 440.504 0.022 20 0 IBADRN O=C(NCCF)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000437108459 1071945583 /nfs/dbraw/zinc/94/55/83/1071945583.db2.gz NLWDQMBHXPYPFX-UHFFFAOYSA-N 0 0 444.485 -0.432 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000437112562 1071946240 /nfs/dbraw/zinc/94/62/40/1071946240.db2.gz FFKMFRIFPYCSJP-UHFFFAOYSA-N 0 0 434.474 -0.093 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1 ZINC000437117055 1071946210 /nfs/dbraw/zinc/94/62/10/1071946210.db2.gz PSRVDLBICYXYFV-CABCVRRESA-N 0 0 434.497 0.467 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC2([S@@](C)=O)CCC2)cc1 ZINC000437124527 1071947368 /nfs/dbraw/zinc/94/73/68/1071947368.db2.gz IGYQUJCGNLOOQN-HHHXNRCGSA-N 0 0 431.536 -0.033 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC2([S@](C)=O)CCC2)cc1 ZINC000437124528 1071947174 /nfs/dbraw/zinc/94/71/74/1071947174.db2.gz IGYQUJCGNLOOQN-MHZLTWQESA-N 0 0 431.536 -0.033 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)CC(=O)Nc2ccc(C)cc2)cn1 ZINC000437133842 1071946647 /nfs/dbraw/zinc/94/66/47/1071946647.db2.gz DVKGMLAQSIRGQK-UHFFFAOYSA-N 0 0 430.465 -0.010 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000437135156 1071946698 /nfs/dbraw/zinc/94/66/98/1071946698.db2.gz GVRFAJHWJKJMCF-UHFFFAOYSA-N 0 0 442.476 0.086 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3([S@@](C)=O)CCC3)cn2)C[C@H](C)O1 ZINC000437135275 1071946774 /nfs/dbraw/zinc/94/67/74/1071946774.db2.gz XBWQNNCCGFFMRR-FZTYZDATSA-N 0 0 439.538 -0.125 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3([S@](C)=O)CCC3)cn2)C[C@@H](C)O1 ZINC000437135279 1071946611 /nfs/dbraw/zinc/94/66/11/1071946611.db2.gz XBWQNNCCGFFMRR-GKXFIBDASA-N 0 0 439.538 -0.125 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3([S@](C)=O)CCC3)cn2)C[C@H](C)O1 ZINC000437135281 1071946639 /nfs/dbraw/zinc/94/66/39/1071946639.db2.gz XBWQNNCCGFFMRR-PFBVRONTSA-N 0 0 439.538 -0.125 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3([S@@](C)=O)CCC3)cn2)C[C@@H](C)O1 ZINC000437135283 1071946724 /nfs/dbraw/zinc/94/67/24/1071946724.db2.gz XBWQNNCCGFFMRR-PWRODJMOSA-N 0 0 439.538 -0.125 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000437135418 1071946735 /nfs/dbraw/zinc/94/67/35/1071946735.db2.gz RMIXQUBKCFVUKL-UHFFFAOYSA-N 0 0 438.444 -0.024 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000437136561 1071946582 /nfs/dbraw/zinc/94/65/82/1071946582.db2.gz KLPMQVWSHNWAAK-UHFFFAOYSA-N 0 0 428.493 0.559 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1cnn(-c2ccccc2S(C)(=O)=O)c1 ZINC000437140715 1071948032 /nfs/dbraw/zinc/94/80/32/1071948032.db2.gz BNFRKPISKCGXNN-UHFFFAOYSA-N 0 0 449.489 -0.469 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000437141588 1071947917 /nfs/dbraw/zinc/94/79/17/1071947917.db2.gz CQKZMZKUDZIDCH-UHFFFAOYSA-N 0 0 428.445 -0.052 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000437143329 1071947798 /nfs/dbraw/zinc/94/77/98/1071947798.db2.gz LOFJMWDHUAWSMP-UHFFFAOYSA-N 0 0 442.469 -0.379 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000437144492 1071947952 /nfs/dbraw/zinc/94/79/52/1071947952.db2.gz QOCNGOULOAAZBG-UHFFFAOYSA-N 0 0 447.492 -0.787 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000437145438 1071948020 /nfs/dbraw/zinc/94/80/20/1071948020.db2.gz MHFGXXWRXMFGED-UHFFFAOYSA-N 0 0 442.469 -0.379 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000437145958 1071947897 /nfs/dbraw/zinc/94/78/97/1071947897.db2.gz WQJUJNGAONORHD-UHFFFAOYSA-N 0 0 438.506 -0.275 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000437146244 1071948026 /nfs/dbraw/zinc/94/80/26/1071948026.db2.gz XZHCJZKQBCDUNS-UHFFFAOYSA-N 0 0 438.506 -0.740 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000437146351 1071947711 /nfs/dbraw/zinc/94/77/11/1071947711.db2.gz YGTRJLSNGDNREJ-UHFFFAOYSA-N 0 0 445.520 -0.741 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000437146447 1071947983 /nfs/dbraw/zinc/94/79/83/1071947983.db2.gz BDCQJPUJPAWRFP-UHFFFAOYSA-N 0 0 441.506 -0.248 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1Cl ZINC000437146838 1071948307 /nfs/dbraw/zinc/94/83/07/1071948307.db2.gz ZWUBTOLWEUEIKJ-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1-n1nnnc1C1CC1 ZINC000437147607 1071947932 /nfs/dbraw/zinc/94/79/32/1071947932.db2.gz NGPYWDAHSLURQA-UHFFFAOYSA-N 0 0 443.464 -0.196 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)CC(=O)N1CCOCC1)CC2 ZINC000437147793 1071948444 /nfs/dbraw/zinc/94/84/44/1071948444.db2.gz AEHKRJRJGGPQFF-UHFFFAOYSA-N 0 0 438.506 -0.809 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)c1 ZINC000437147951 1071948456 /nfs/dbraw/zinc/94/84/56/1071948456.db2.gz GGQQNWKIDHRJQJ-UHFFFAOYSA-N 0 0 430.458 -0.668 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)CC1 ZINC000437149426 1071948374 /nfs/dbraw/zinc/94/83/74/1071948374.db2.gz GITFRONQHBWTHN-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000437149467 1071485458 /nfs/dbraw/zinc/48/54/58/1071485458.db2.gz GORGHJKKHCIDSV-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000437149920 1071948314 /nfs/dbraw/zinc/94/83/14/1071948314.db2.gz BPLUZQHMNHBMTG-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1N1CCCS1(=O)=O ZINC000437149940 1071948291 /nfs/dbraw/zinc/94/82/91/1071948291.db2.gz INLCAPKSJAYOHK-UHFFFAOYSA-N 0 0 438.506 -0.209 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)ccc21 ZINC000437151425 1071948362 /nfs/dbraw/zinc/94/83/62/1071948362.db2.gz MTEYICIKLQNUDW-UHFFFAOYSA-N 0 0 432.477 -0.132 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000437151815 1071948486 /nfs/dbraw/zinc/94/84/86/1071948486.db2.gz DHZGTEQRBJPHJK-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)ccc1NS(C)(=O)=O ZINC000437151869 1071948250 /nfs/dbraw/zinc/94/82/50/1071948250.db2.gz DNZMCCIFYKCYFQ-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000437152715 1071948493 /nfs/dbraw/zinc/94/84/93/1071948493.db2.gz UEMXMHNCMWQACX-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)ccc1S(=O)(=O)N(C)C ZINC000437152868 1071948415 /nfs/dbraw/zinc/94/84/15/1071948415.db2.gz JDSDLERDSWNXEQ-UHFFFAOYSA-N 0 0 442.494 -0.799 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)c1 ZINC000437153257 1071948352 /nfs/dbraw/zinc/94/83/52/1071948352.db2.gz KCSOZEIAVLKGAP-UHFFFAOYSA-N 0 0 428.449 -0.465 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000437153972 1071948340 /nfs/dbraw/zinc/94/83/40/1071948340.db2.gz SCGQRRAUAGAVPW-UHFFFAOYSA-N 0 0 438.506 -0.309 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000437154433 1071948344 /nfs/dbraw/zinc/94/83/44/1071948344.db2.gz SQQRBOVAVKQPAY-UHFFFAOYSA-N 0 0 431.428 -0.066 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000437154478 1071948332 /nfs/dbraw/zinc/94/83/32/1071948332.db2.gz TZQQCTNVUKMEMG-UHFFFAOYSA-N 0 0 431.449 -0.080 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)ccc1Cl ZINC000437154690 1071948905 /nfs/dbraw/zinc/94/89/05/1071948905.db2.gz NHUFMYAHGDKIDR-UHFFFAOYSA-N 0 0 446.913 -0.154 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)cc21 ZINC000437154984 1071949028 /nfs/dbraw/zinc/94/90/28/1071949028.db2.gz ZLNZSTNDWGRYGJ-UHFFFAOYSA-N 0 0 429.477 -0.015 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N(C)CC(=O)N2CCOCC2)c2ccccc2O1 ZINC000437155010 1071949077 /nfs/dbraw/zinc/94/90/77/1071949077.db2.gz UZYVPWQBBJCWBV-KRWDZBQOSA-N 0 0 432.477 -0.376 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N(C)CC(=O)N2CCOCC2)c2ccccc2O1 ZINC000437155012 1071948943 /nfs/dbraw/zinc/94/89/43/1071948943.db2.gz UZYVPWQBBJCWBV-QGZVFWFLSA-N 0 0 432.477 -0.376 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000437155213 1071949022 /nfs/dbraw/zinc/94/90/22/1071949022.db2.gz OEVMKPXHRIBTPQ-UHFFFAOYSA-N 0 0 440.522 -0.027 20 0 IBADRN COC(=O)c1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1C(=O)OC ZINC000437155339 1071949033 /nfs/dbraw/zinc/94/90/33/1071949033.db2.gz PIVDYTIKNFXGRN-UHFFFAOYSA-N 0 0 429.389 -0.354 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000437155534 1071948463 /nfs/dbraw/zinc/94/84/63/1071948463.db2.gz MSFGLKLRDANPBG-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)cc2)CC1 ZINC000437155568 1071948428 /nfs/dbraw/zinc/94/84/28/1071948428.db2.gz PCZNBJXXXJZYTP-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)c2)CC1 ZINC000437156112 1071949091 /nfs/dbraw/zinc/94/90/91/1071949091.db2.gz RAROHIYIJAHXNE-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccn(-c2ncccc2C(F)(F)F)n1 ZINC000437157830 1071949008 /nfs/dbraw/zinc/94/90/08/1071949008.db2.gz XXHKBFKKPBRSSC-UHFFFAOYSA-N 0 0 440.382 0.542 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000437158025 1071949083 /nfs/dbraw/zinc/94/90/83/1071949083.db2.gz ZAEZAUOKUQPXQJ-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1 ZINC000437158324 1071949110 /nfs/dbraw/zinc/94/91/10/1071949110.db2.gz YUDLREUIRZUHIG-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1OCC(=O)NC1CC1 ZINC000437158595 1071947765 /nfs/dbraw/zinc/94/77/65/1071947765.db2.gz CMHLFNLHSBUMNF-UHFFFAOYSA-N 0 0 448.476 -0.392 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000437159042 1071949612 /nfs/dbraw/zinc/94/96/12/1071949612.db2.gz GZBUBZXXYBTEBB-UHFFFAOYSA-N 0 0 434.449 -0.765 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000437159347 1071948995 /nfs/dbraw/zinc/94/89/95/1071948995.db2.gz JDONWWCUOARYQU-UHFFFAOYSA-N 0 0 440.522 -0.029 20 0 IBADRN COC(=O)c1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1C(=O)OC ZINC000437159420 1071949535 /nfs/dbraw/zinc/94/95/35/1071949535.db2.gz JJWWTAUMACIUQC-UHFFFAOYSA-N 0 0 429.389 -0.354 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1 ZINC000437160069 1071949603 /nfs/dbraw/zinc/94/96/03/1071949603.db2.gz OKFLONQYAPVVNW-UHFFFAOYSA-N 0 0 432.886 -0.033 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1OC ZINC000437161988 1071949593 /nfs/dbraw/zinc/94/95/93/1071949593.db2.gz ZOMJRBUHLFZYLK-UHFFFAOYSA-N 0 0 442.494 -0.288 20 0 IBADRN Cc1c(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cccc1S(=O)(=O)NC1CC1 ZINC000437162475 1071949631 /nfs/dbraw/zinc/94/96/31/1071949631.db2.gz ZJPOWYMDIRZYTK-UHFFFAOYSA-N 0 0 438.506 -0.309 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000437162492 1071949643 /nfs/dbraw/zinc/94/96/43/1071949643.db2.gz ZPUPCWIFCGCMHK-UHFFFAOYSA-N 0 0 442.469 -0.478 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1NS(C)(=O)=O ZINC000437162587 1071949548 /nfs/dbraw/zinc/94/95/48/1071949548.db2.gz BLIVTUKHEXJMBO-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000437163630 1071949619 /nfs/dbraw/zinc/94/96/19/1071949619.db2.gz NMXFWOQXPIVYDF-UHFFFAOYSA-N 0 0 426.495 -0.499 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000437164030 1071949580 /nfs/dbraw/zinc/94/95/80/1071949580.db2.gz JHDLUPLACSZCPI-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000437164239 1071949640 /nfs/dbraw/zinc/94/96/40/1071949640.db2.gz HIYZPKHXCKZRIS-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000437164570 1071949601 /nfs/dbraw/zinc/94/96/01/1071949601.db2.gz BWEUVFHHXHGZIT-AWEZNQCLSA-N 0 0 448.476 -0.376 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000437164574 1071949648 /nfs/dbraw/zinc/94/96/48/1071949648.db2.gz BWEUVFHHXHGZIT-CQSZACIVSA-N 0 0 448.476 -0.376 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000437165239 1071949572 /nfs/dbraw/zinc/94/95/72/1071949572.db2.gz LMZOBAWBRPAJDB-UHFFFAOYSA-N 0 0 448.476 -0.435 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)c2)CC1 ZINC000437166566 1071949509 /nfs/dbraw/zinc/94/95/09/1071949509.db2.gz ZUKJJPSJKWLOND-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN Cc1c(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cccc1C(=O)N1CCOCC1 ZINC000437167338 1071949563 /nfs/dbraw/zinc/94/95/63/1071949563.db2.gz NFHQELNKOBXMNQ-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000437168504 1071948919 /nfs/dbraw/zinc/94/89/19/1071948919.db2.gz ZRSJSABVNDDSBJ-UHFFFAOYSA-N 0 0 442.494 -0.799 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000437168837 1071949102 /nfs/dbraw/zinc/94/91/02/1071949102.db2.gz RUVIVEMHZCLFPJ-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000437169207 1071948962 /nfs/dbraw/zinc/94/89/62/1071948962.db2.gz SGOIHGZWTAUXJN-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000437169660 1071949039 /nfs/dbraw/zinc/94/90/39/1071949039.db2.gz HZQNKWTXNHVWCR-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000437170552 1071949054 /nfs/dbraw/zinc/94/90/54/1071949054.db2.gz XNDDYPXEPNKNSR-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000437171906 1071948982 /nfs/dbraw/zinc/94/89/82/1071948982.db2.gz PESOBLZNCQMPFK-UHFFFAOYSA-N 0 0 442.448 0.060 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000437175188 1073342568 /nfs/dbraw/zinc/34/25/68/1073342568.db2.gz DWYKFZSDLZACEM-UHFFFAOYSA-N 0 0 428.493 0.526 20 0 IBADRN CN(CC(=O)Nc1cccc(F)c1)C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000437177766 1073310336 /nfs/dbraw/zinc/31/03/36/1073310336.db2.gz WXHFRDIORHLWIQ-UHFFFAOYSA-N 0 0 432.456 0.390 20 0 IBADRN CSCc1noc(CCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000437178973 1071950173 /nfs/dbraw/zinc/95/01/73/1071950173.db2.gz BPZJXIHXRXOYME-UHFFFAOYSA-N 0 0 432.572 -0.498 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCOc3ncccc3F)CC2)CC1 ZINC000437185622 1071950140 /nfs/dbraw/zinc/95/01/40/1071950140.db2.gz ABBUXPWLIKSSNA-UHFFFAOYSA-N 0 0 430.506 -0.581 20 0 IBADRN CN(CC(=O)Nc1cccc(F)c1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000437193526 1071950088 /nfs/dbraw/zinc/95/00/88/1071950088.db2.gz HRCVUVBSPMRLFC-UHFFFAOYSA-N 0 0 446.439 -0.083 20 0 IBADRN CN(CC(=O)Nc1cccc(F)c1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000437194481 1071950148 /nfs/dbraw/zinc/95/01/48/1071950148.db2.gz NPOBBNMAFOYEBW-UHFFFAOYSA-N 0 0 446.439 -0.083 20 0 IBADRN O=C(NCCOc1ncccc1F)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000437196655 1071951141 /nfs/dbraw/zinc/95/11/41/1071951141.db2.gz VABCPOWILBJJFH-UHFFFAOYSA-N 0 0 431.490 -0.060 20 0 IBADRN Cc1cc(C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000437198277 1071951377 /nfs/dbraw/zinc/95/13/77/1071951377.db2.gz ZXOBRPXPTZVQBP-LLVKDONJSA-N 0 0 432.462 -0.251 20 0 IBADRN Cc1cc(C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000437198281 1071951196 /nfs/dbraw/zinc/95/11/96/1071951196.db2.gz ZXOBRPXPTZVQBP-NSHDSACASA-N 0 0 432.462 -0.251 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)CC2(CC(=O)OC)CC2)c1 ZINC000437202565 1071950825 /nfs/dbraw/zinc/95/08/25/1071950825.db2.gz OJMQTHAAVYOAGV-UHFFFAOYSA-N 0 0 433.508 -0.204 20 0 IBADRN Cn1cc(C(=O)NCC2CCC(CNC(=O)c3cn(C)c(=O)[nH]c3=O)CC2)c(=O)[nH]c1=O ZINC000437207034 1071951301 /nfs/dbraw/zinc/95/13/01/1071951301.db2.gz NTOBUPJWWNLRRI-UHFFFAOYSA-N 0 0 446.464 -0.749 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)CC(=O)Nc2cccc(F)c2)cn1 ZINC000437208444 1071951269 /nfs/dbraw/zinc/95/12/69/1071951269.db2.gz LKXVPUQOQCKOEM-UHFFFAOYSA-N 0 0 434.428 -0.180 20 0 IBADRN CN(CC(=O)Nc1cccc(F)c1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000437211438 1071951333 /nfs/dbraw/zinc/95/13/33/1071951333.db2.gz VKBIMEYVHSEZKM-UHFFFAOYSA-N 0 0 442.407 -0.193 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000437212668 1071951208 /nfs/dbraw/zinc/95/12/08/1071951208.db2.gz ZXIPDFRCNXMFIV-UHFFFAOYSA-N 0 0 438.444 -0.058 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)N3CC[C@H]4CCCC[C@H]4C3)CC2)CC1 ZINC000437221649 1071952629 /nfs/dbraw/zinc/95/26/29/1071952629.db2.gz PFDACCSREHWVAG-MSOLQXFVSA-N 0 0 449.643 -0.147 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)N3CC[C@H]4CCCC[C@@H]4C3)CC2)CC1 ZINC000437221651 1071952621 /nfs/dbraw/zinc/95/26/21/1071952621.db2.gz PFDACCSREHWVAG-QZTJIDSGSA-N 0 0 449.643 -0.147 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)N3CC[C@@H]4CCCC[C@H]4C3)CC2)CC1 ZINC000437221652 1071952637 /nfs/dbraw/zinc/95/26/37/1071952637.db2.gz PFDACCSREHWVAG-ROUUACIJSA-N 0 0 449.643 -0.147 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)N3CC[C@@H]4CCCC[C@@H]4C3)CC2)CC1 ZINC000437221653 1071952560 /nfs/dbraw/zinc/95/25/60/1071952560.db2.gz PFDACCSREHWVAG-ZWKOTPCHSA-N 0 0 449.643 -0.147 20 0 IBADRN COC(=O)CC1(CS(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000437223355 1071952497 /nfs/dbraw/zinc/95/24/97/1071952497.db2.gz VYWVMSMTUWKOMU-UHFFFAOYSA-N 0 0 434.540 -0.164 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(S(=O)(=O)N2CC[C@@H]3CCCC[C@@H]3C2)CC1 ZINC000437223456 1071953165 /nfs/dbraw/zinc/95/31/65/1071953165.db2.gz UEQHTKLTIDNSMS-DLBZAZTESA-N 0 0 436.600 -0.062 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(S(=O)(=O)N2CC[C@H]3CCCC[C@@H]3C2)CC1 ZINC000437223458 1071953047 /nfs/dbraw/zinc/95/30/47/1071953047.db2.gz UEQHTKLTIDNSMS-IAGOWNOFSA-N 0 0 436.600 -0.062 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(S(=O)(=O)N2CC[C@@H]3CCCC[C@H]3C2)CC1 ZINC000437223460 1071953054 /nfs/dbraw/zinc/95/30/54/1071953054.db2.gz UEQHTKLTIDNSMS-IRXDYDNUSA-N 0 0 436.600 -0.062 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(S(=O)(=O)N2CC[C@H]3CCCC[C@H]3C2)CC1 ZINC000437223462 1071953190 /nfs/dbraw/zinc/95/31/90/1071953190.db2.gz UEQHTKLTIDNSMS-SJORKVTESA-N 0 0 436.600 -0.062 20 0 IBADRN COC(=O)CC1(CS(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000437229699 1071953059 /nfs/dbraw/zinc/95/30/59/1071953059.db2.gz VQJIXOLOFNYARY-UHFFFAOYSA-N 0 0 425.511 -0.222 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CCCC2=O)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437229840 1071485432 /nfs/dbraw/zinc/48/54/32/1071485432.db2.gz AENAVSMVNRPKBD-UHFFFAOYSA-N 0 0 446.504 -0.183 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1 ZINC000437231881 1071486398 /nfs/dbraw/zinc/48/63/98/1071486398.db2.gz KDELZSKXFLACGT-UHFFFAOYSA-N 0 0 442.538 -0.070 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437232301 1071486279 /nfs/dbraw/zinc/48/62/79/1071486279.db2.gz LOOUVWLNTBYNAU-UHFFFAOYSA-N 0 0 444.488 -0.216 20 0 IBADRN O=C(Nc1cc(C2CC2)nn1-c1ncccn1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437232589 1071486268 /nfs/dbraw/zinc/48/62/68/1071486268.db2.gz MHMPNUSRFCTOGL-UHFFFAOYSA-N 0 0 429.481 -0.369 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)ccc1N1CCCC1=O ZINC000437232718 1071486846 /nfs/dbraw/zinc/48/68/46/1071486846.db2.gz BGQBGPXPACXKBG-UHFFFAOYSA-N 0 0 434.493 -0.086 20 0 IBADRN COC(=O)CC1(CS(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000437232923 1071953137 /nfs/dbraw/zinc/95/31/37/1071953137.db2.gz PBABAYZXOLNAHX-UHFFFAOYSA-N 0 0 439.556 -0.710 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1 ZINC000437233376 1071486223 /nfs/dbraw/zinc/48/62/23/1071486223.db2.gz DMFJHCQOMJEJLP-UHFFFAOYSA-N 0 0 435.510 -0.524 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1Cl ZINC000437234245 1071487043 /nfs/dbraw/zinc/48/70/43/1071487043.db2.gz GZIMMACZGBZUHO-UHFFFAOYSA-N 0 0 448.929 -0.197 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1C ZINC000437235717 1071487775 /nfs/dbraw/zinc/48/77/75/1071487775.db2.gz KVYDPLPEFNTTOZ-UHFFFAOYSA-N 0 0 442.538 -0.152 20 0 IBADRN O=C(Nc1ccc(F)c(-n2nnnc2C2CC2)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437236462 1071487667 /nfs/dbraw/zinc/48/76/67/1071487667.db2.gz XGCJYZIYKYIBPE-UHFFFAOYSA-N 0 0 447.471 -0.230 20 0 IBADRN NC(=O)C1CCN(c2ccccc2NC(=O)C(=O)N(CCO)CCN2CCOCC2)CC1 ZINC000437237306 1071487750 /nfs/dbraw/zinc/48/77/50/1071487750.db2.gz NXIQNFKBTCSHLU-UHFFFAOYSA-N 0 0 447.536 -0.520 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000437237819 1071594614 /nfs/dbraw/zinc/59/46/14/1071594614.db2.gz PPHBPINSFLFQRB-UHFFFAOYSA-N 0 0 442.538 -0.070 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437238982 1071487620 /nfs/dbraw/zinc/48/76/20/1071487620.db2.gz SPNQYSYNSGPBLQ-UHFFFAOYSA-N 0 0 440.522 -0.781 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1-n1nnnc1C ZINC000437240577 1071487035 /nfs/dbraw/zinc/48/70/35/1071487035.db2.gz YPLFNEFZRVDKHG-UHFFFAOYSA-N 0 0 433.469 -0.929 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1 ZINC000437247010 1071486881 /nfs/dbraw/zinc/48/68/81/1071486881.db2.gz BRDCTWBCSPTPQZ-UHFFFAOYSA-N 0 0 446.501 -0.832 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cn1)NC1CCCC1 ZINC000437247348 1071487019 /nfs/dbraw/zinc/48/70/19/1071487019.db2.gz CIFHXSSSPODAOL-UHFFFAOYSA-N 0 0 436.513 -0.967 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)n(-c2ncccn2)n1 ZINC000437248339 1071486871 /nfs/dbraw/zinc/48/68/71/1071486871.db2.gz FEIGYBINHPGXQJ-UHFFFAOYSA-N 0 0 431.497 -0.123 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)cc21 ZINC000437249308 1071487084 /nfs/dbraw/zinc/48/70/84/1071487084.db2.gz IYYOELDJVGYCSV-UHFFFAOYSA-N 0 0 433.509 -0.130 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000437250028 1071486982 /nfs/dbraw/zinc/48/69/82/1071486982.db2.gz JSJAORNUUMEEPY-UHFFFAOYSA-N 0 0 442.538 -0.534 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1C ZINC000437250171 1071487096 /nfs/dbraw/zinc/48/70/96/1071487096.db2.gz KFJQITOGSGKHKY-UHFFFAOYSA-N 0 0 428.511 -0.958 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1=O ZINC000437250246 1071486935 /nfs/dbraw/zinc/48/69/35/1071486935.db2.gz KMHLIDQHRQFKRG-UHFFFAOYSA-N 0 0 431.287 -0.761 20 0 IBADRN CS(=O)(=O)CCc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000437250311 1071486960 /nfs/dbraw/zinc/48/69/60/1071486960.db2.gz MFPXGEJLJGRTIA-UHFFFAOYSA-N 0 0 427.523 -0.635 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c(OCC(=O)N(C)C)c1 ZINC000437250373 1071486860 /nfs/dbraw/zinc/48/68/60/1071486860.db2.gz MMIDQBPRCZWBRE-UHFFFAOYSA-N 0 0 436.509 -0.446 20 0 IBADRN Cn1ccnc1C(=O)c1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000437251603 1071487061 /nfs/dbraw/zinc/48/70/61/1071487061.db2.gz OVCXFJBJJAEERY-UHFFFAOYSA-N 0 0 429.477 -0.257 20 0 IBADRN COC(=O)CC1(CS(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000437251846 1071953802 /nfs/dbraw/zinc/95/38/02/1071953802.db2.gz CSHGNINRQSMZSI-UHFFFAOYSA-N 0 0 449.507 -0.642 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437252630 1071487814 /nfs/dbraw/zinc/48/78/14/1071487814.db2.gz QSAGNLPVQOYDPF-UHFFFAOYSA-N 0 0 434.493 -0.749 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1 ZINC000437252648 1071487802 /nfs/dbraw/zinc/48/78/02/1071487802.db2.gz RKJKOKSWDIYTDJ-UHFFFAOYSA-N 0 0 444.492 -0.629 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1)S(C)(=O)=O ZINC000437252987 1071488527 /nfs/dbraw/zinc/48/85/27/1071488527.db2.gz GRXRJIAFTPTPFS-UHFFFAOYSA-N 0 0 428.511 -0.826 20 0 IBADRN O=C(Nc1ccc(CCN2CCOCC2)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437253231 1071488643 /nfs/dbraw/zinc/48/86/43/1071488643.db2.gz HULAHMIWXROGNV-UHFFFAOYSA-N 0 0 434.537 -0.347 20 0 IBADRN O=C(Nc1ccc(N2CCCS2(=O)=O)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437253388 1071488552 /nfs/dbraw/zinc/48/85/52/1071488552.db2.gz SQIQZYKOVSRPCF-UHFFFAOYSA-N 0 0 440.522 -0.682 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CC[C@@H]3CCCC[C@@H]3C2)CC1)N1CCOCC1 ZINC000437253494 1071953908 /nfs/dbraw/zinc/95/39/08/1071953908.db2.gz TVOKKUYQWOKUGE-DLBZAZTESA-N 0 0 428.555 -0.254 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CC[C@H]3CCCC[C@@H]3C2)CC1)N1CCOCC1 ZINC000437253503 1071953831 /nfs/dbraw/zinc/95/38/31/1071953831.db2.gz TVOKKUYQWOKUGE-IAGOWNOFSA-N 0 0 428.555 -0.254 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CC[C@@H]3CCCC[C@H]3C2)CC1)N1CCOCC1 ZINC000437253505 1071953651 /nfs/dbraw/zinc/95/36/51/1071953651.db2.gz TVOKKUYQWOKUGE-IRXDYDNUSA-N 0 0 428.555 -0.254 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CC[C@H]3CCCC[C@H]3C2)CC1)N1CCOCC1 ZINC000437253508 1071953862 /nfs/dbraw/zinc/95/38/62/1071953862.db2.gz TVOKKUYQWOKUGE-SJORKVTESA-N 0 0 428.555 -0.254 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1 ZINC000437254165 1071487834 /nfs/dbraw/zinc/48/78/34/1071487834.db2.gz WBEYTFFXTMULTM-UHFFFAOYSA-N 0 0 433.914 -0.165 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437255000 1071487713 /nfs/dbraw/zinc/48/77/13/1071487713.db2.gz WJLUEICMMZZXDL-UHFFFAOYSA-N 0 0 431.486 -0.289 20 0 IBADRN O=C(Nc1cccc(-c2nnnn2C2CC2)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437255382 1071488712 /nfs/dbraw/zinc/48/87/12/1071488712.db2.gz LVYAHPBGMPDFGH-UHFFFAOYSA-N 0 0 429.481 -0.233 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1F ZINC000437255686 1071488566 /nfs/dbraw/zinc/48/85/66/1071488566.db2.gz YHVFYHADZQGZLE-UHFFFAOYSA-N 0 0 435.449 -0.540 20 0 IBADRN Cc1c(CS(C)(=O)=O)cccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437256189 1071488591 /nfs/dbraw/zinc/48/85/91/1071488591.db2.gz ZWDVAMMTIBZUIU-UHFFFAOYSA-N 0 0 427.523 -0.369 20 0 IBADRN O=C(Nc1cccc(Cn2cccnc2=O)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437256566 1071488580 /nfs/dbraw/zinc/48/85/80/1071488580.db2.gz MEDLXICNWGVVTL-UHFFFAOYSA-N 0 0 429.477 -0.617 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1 ZINC000437256776 1071488688 /nfs/dbraw/zinc/48/86/88/1071488688.db2.gz PAHDQGZTXRKMAQ-UHFFFAOYSA-N 0 0 428.511 -0.972 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CCC2=O)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437256975 1071488516 /nfs/dbraw/zinc/48/85/16/1071488516.db2.gz PQIYYHHGPCAWFS-UHFFFAOYSA-N 0 0 432.477 -0.573 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1)N1CCOCC1 ZINC000437257300 1071488502 /nfs/dbraw/zinc/48/85/02/1071488502.db2.gz QLJFKTZLYXIILP-UHFFFAOYSA-N 0 0 448.520 -0.821 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437257922 1071488703 /nfs/dbraw/zinc/48/87/03/1071488703.db2.gz SCZGXRSJKAJMOJ-UHFFFAOYSA-N 0 0 448.520 -0.441 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000437258684 1071489512 /nfs/dbraw/zinc/48/95/12/1071489512.db2.gz REBIFUPUELZSNR-UHFFFAOYSA-N 0 0 442.538 -0.663 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1NS(C)(=O)=O ZINC000437259126 1071489426 /nfs/dbraw/zinc/48/94/26/1071489426.db2.gz XBCZNTBJFRHPQC-UHFFFAOYSA-N 0 0 444.510 -0.842 20 0 IBADRN CS(=O)(=O)c1c(Cl)cccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437260383 1071489466 /nfs/dbraw/zinc/48/94/66/1071489466.db2.gz DBUFVZZTJQQDOY-UHFFFAOYSA-N 0 0 433.914 -0.165 20 0 IBADRN O=C(Nc1ccc2nc(N3CCOCC3)oc2c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437261375 1071489345 /nfs/dbraw/zinc/48/93/45/1071489345.db2.gz GNIAUPZXAGKGMO-UHFFFAOYSA-N 0 0 447.492 -0.244 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCOCC2)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437262584 1071490336 /nfs/dbraw/zinc/49/03/36/1071490336.db2.gz KYDUOQFDXXWQAF-UHFFFAOYSA-N 0 0 434.493 -0.749 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437263411 1071490215 /nfs/dbraw/zinc/49/02/15/1071490215.db2.gz AUIWGXLFURRLRW-UHFFFAOYSA-N 0 0 436.509 -0.121 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N(CCO)CCN2CCOCC2)c2ccccc2O1 ZINC000437263419 1071490331 /nfs/dbraw/zinc/49/03/31/1071490331.db2.gz AUTCLEFOZUVBDU-IBGZPJMESA-N 0 0 448.520 -0.540 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N(CCO)CCN2CCOCC2)c2ccccc2O1 ZINC000437263420 1071490308 /nfs/dbraw/zinc/49/03/08/1071490308.db2.gz AUTCLEFOZUVBDU-LJQANCHMSA-N 0 0 448.520 -0.540 20 0 IBADRN Cc1c(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cccc1C(=O)N1CCOCC1 ZINC000437263487 1071490241 /nfs/dbraw/zinc/49/02/41/1071490241.db2.gz BCAZBKNKBPWCHX-UHFFFAOYSA-N 0 0 448.520 -0.441 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)c1)N1CCCC1 ZINC000437263857 1071490161 /nfs/dbraw/zinc/49/01/61/1071490161.db2.gz UXMJSMZVFZBCRS-UHFFFAOYSA-N 0 0 448.520 -0.221 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)cc21 ZINC000437263927 1071490316 /nfs/dbraw/zinc/49/03/16/1071490316.db2.gz CNXIFADJVAVLBO-UHFFFAOYSA-N 0 0 431.493 -0.521 20 0 IBADRN O=C(Nc1ccc(C(=O)NC2CCOCC2)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437263999 1071490203 /nfs/dbraw/zinc/49/02/03/1071490203.db2.gz VUMDWAQGAAUYCR-UHFFFAOYSA-N 0 0 448.520 -0.313 20 0 IBADRN O=C(Nc1ccccc1CN1C(=O)CCC1=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437264394 1071490172 /nfs/dbraw/zinc/49/01/72/1071490172.db2.gz DMPQBHDGLXQJMU-UHFFFAOYSA-N 0 0 432.477 -0.573 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000437264437 1071490283 /nfs/dbraw/zinc/49/02/83/1071490283.db2.gz DZTJHDVYDHSJKE-UHFFFAOYSA-N 0 0 442.538 -0.535 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1NS(C)(=O)=O ZINC000437264675 1071489327 /nfs/dbraw/zinc/48/93/27/1071489327.db2.gz GJORGHBBPADAFX-UHFFFAOYSA-N 0 0 428.511 -0.542 20 0 IBADRN O=C(Nc1cccc(N2CCCS2(=O)=O)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437264723 1071489380 /nfs/dbraw/zinc/48/93/80/1071489380.db2.gz GAFVEVKWPRSEOJ-UHFFFAOYSA-N 0 0 440.522 -0.682 20 0 IBADRN COc1ccc(Cn2ccc(=NC(=O)C(=O)N(CCO)CCN3CCOCC3)[nH]2)cc1 ZINC000437265546 1071490931 /nfs/dbraw/zinc/49/09/31/1071490931.db2.gz IBDGMCRWPBQILF-UHFFFAOYSA-N 0 0 431.493 -0.547 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)ccc21 ZINC000437265716 1071490875 /nfs/dbraw/zinc/49/08/75/1071490875.db2.gz IQMNIYFRQVCGPT-UHFFFAOYSA-N 0 0 434.493 -0.686 20 0 IBADRN O=C(Nc1ccccc1C(=O)N1CCOCC1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437266044 1071491084 /nfs/dbraw/zinc/49/10/84/1071491084.db2.gz JTUUEVCCUIQXLS-UHFFFAOYSA-N 0 0 434.493 -0.749 20 0 IBADRN O=C(Nc1cccn(Cc2ccccc2)c1=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437266090 1071490894 /nfs/dbraw/zinc/49/08/94/1071490894.db2.gz KCRDVBFOWIVHNK-UHFFFAOYSA-N 0 0 428.489 -0.012 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437266491 1071598489 /nfs/dbraw/zinc/59/84/89/1071598489.db2.gz LPNAAPOZPSWGHW-UHFFFAOYSA-N 0 0 436.509 -0.121 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000437266561 1071491144 /nfs/dbraw/zinc/49/11/44/1071491144.db2.gz KQYDQAGXSXZCPM-UHFFFAOYSA-N 0 0 428.511 -0.460 20 0 IBADRN O=C(Nc1cc(N2CCNC2=O)ccc1Cl)C(=O)N(CCO)CCN1CCOCC1 ZINC000437267409 1071491072 /nfs/dbraw/zinc/49/10/72/1071491072.db2.gz NPILLMNXXWZSFS-UHFFFAOYSA-N 0 0 439.900 -0.039 20 0 IBADRN CN(C)c1nnc(-c2ccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)cc2)o1 ZINC000437267566 1071491021 /nfs/dbraw/zinc/49/10/21/1071491021.db2.gz NJFPUTURHHRCMC-UHFFFAOYSA-N 0 0 432.481 -0.106 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)cc2)CC1 ZINC000437267872 1071491162 /nfs/dbraw/zinc/49/11/62/1071491162.db2.gz POCVVHXXVDPFES-UHFFFAOYSA-N 0 0 447.536 -0.834 20 0 IBADRN O=C(Nc1ccc(NC(=O)[C@H]2CCCO2)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437267926 1071598547 /nfs/dbraw/zinc/59/85/47/1071598547.db2.gz OCYAWIMDRUVIEW-GOSISDBHSA-N 0 0 434.493 -0.104 20 0 IBADRN O=C(Nc1ccc(NC(=O)[C@@H]2CCCO2)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437267927 1071490908 /nfs/dbraw/zinc/49/09/08/1071490908.db2.gz OCYAWIMDRUVIEW-SFHVURJKSA-N 0 0 434.493 -0.104 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437268622 1071491734 /nfs/dbraw/zinc/49/17/34/1071491734.db2.gz RIDKTDRDSJFMQE-UHFFFAOYSA-N 0 0 440.522 -0.900 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)ccc21 ZINC000437270258 1071491640 /nfs/dbraw/zinc/49/16/40/1071491640.db2.gz SYRGALFLBCIVAQ-UHFFFAOYSA-N 0 0 448.520 -0.296 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)c2)CC1 ZINC000437270625 1071491721 /nfs/dbraw/zinc/49/17/21/1071491721.db2.gz YBSNZMJAPPZHHH-UHFFFAOYSA-N 0 0 447.536 -0.553 20 0 IBADRN O=C(Nc1cnn(-c2cnc3ccccc3n2)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000437271539 1071491785 /nfs/dbraw/zinc/49/17/85/1071491785.db2.gz WKFAQRMJZWYRNH-UHFFFAOYSA-N 0 0 439.476 -0.093 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000437271544 1071491674 /nfs/dbraw/zinc/49/16/74/1071491674.db2.gz WKRQQCVGQQEQBE-UHFFFAOYSA-N 0 0 448.520 -0.441 20 0 IBADRN Cn1cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc(Br)c1=O ZINC000437272258 1071491700 /nfs/dbraw/zinc/49/17/00/1071491700.db2.gz XMWNRUVWUKMTHX-UHFFFAOYSA-N 0 0 431.287 -0.761 20 0 IBADRN COCCS(=O)(=O)NCc1ccccc1N1CCN(S(=O)(=O)CCOC)CC1 ZINC000437302179 1071955311 /nfs/dbraw/zinc/95/53/11/1071955311.db2.gz IIAXZRQMMNYOOJ-UHFFFAOYSA-N 0 0 435.568 -0.149 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000437303980 1071955374 /nfs/dbraw/zinc/95/53/74/1071955374.db2.gz KCIHADNDPFBDLT-CYBMUJFWSA-N 0 0 434.474 -0.089 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000437303985 1071955338 /nfs/dbraw/zinc/95/53/38/1071955338.db2.gz KCIHADNDPFBDLT-ZDUSSCGKSA-N 0 0 434.474 -0.089 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1C ZINC000437304089 1071955513 /nfs/dbraw/zinc/95/55/13/1071955513.db2.gz KJENNFKEPRQHNF-CYBMUJFWSA-N 0 0 432.524 -0.025 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1C ZINC000437304093 1071955502 /nfs/dbraw/zinc/95/55/02/1071955502.db2.gz KJENNFKEPRQHNF-ZDUSSCGKSA-N 0 0 432.524 -0.025 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000437305272 1071955362 /nfs/dbraw/zinc/95/53/62/1071955362.db2.gz LWBMMZWMRDKSGJ-HNNXBMFYSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000437305275 1071955932 /nfs/dbraw/zinc/95/59/32/1071955932.db2.gz LWBMMZWMRDKSGJ-OAHLLOKOSA-N 0 0 444.535 -0.311 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000437307078 1071955977 /nfs/dbraw/zinc/95/59/77/1071955977.db2.gz PLWKUEWQMMTRIR-AWEZNQCLSA-N 0 0 432.524 -0.409 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000437307083 1071956009 /nfs/dbraw/zinc/95/60/09/1071956009.db2.gz PLWKUEWQMMTRIR-CQSZACIVSA-N 0 0 432.524 -0.409 20 0 IBADRN COCCN(Cc1ccccn1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000437310196 1071956472 /nfs/dbraw/zinc/95/64/72/1071956472.db2.gz YDPLTJWSPRFLIR-UHFFFAOYSA-N 0 0 426.433 -0.359 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1OC ZINC000437311389 1071956550 /nfs/dbraw/zinc/95/65/50/1071956550.db2.gz ZJCRHHNSBDEBRZ-GFCCVEGCSA-N 0 0 448.523 -0.325 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1OC ZINC000437311393 1071956526 /nfs/dbraw/zinc/95/65/26/1071956526.db2.gz ZJCRHHNSBDEBRZ-LBPRGKRZSA-N 0 0 448.523 -0.325 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CN3CCN2CC3)c1 ZINC000437312867 1071957038 /nfs/dbraw/zinc/95/70/38/1071957038.db2.gz YUHJJZHTUWBAOH-KRWDZBQOSA-N 0 0 437.566 -0.437 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2CN3CCN2CC3)c1 ZINC000437312871 1071956980 /nfs/dbraw/zinc/95/69/80/1071956980.db2.gz YUHJJZHTUWBAOH-QGZVFWFLSA-N 0 0 437.566 -0.437 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@H](S(N)(=O)=O)C3)cc21 ZINC000437319642 1071955892 /nfs/dbraw/zinc/95/58/92/1071955892.db2.gz FCGGGFIEULYNIF-HNNXBMFYSA-N 0 0 435.506 -0.053 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cc21 ZINC000437319643 1071956055 /nfs/dbraw/zinc/95/60/55/1071956055.db2.gz FCGGGFIEULYNIF-OAHLLOKOSA-N 0 0 435.506 -0.053 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000437319703 1071956039 /nfs/dbraw/zinc/95/60/39/1071956039.db2.gz FQKNEGLMBHFODA-HNNXBMFYSA-N 0 0 447.535 -0.285 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000437319706 1071955952 /nfs/dbraw/zinc/95/59/52/1071955952.db2.gz FQKNEGLMBHFODA-OAHLLOKOSA-N 0 0 447.535 -0.285 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000437320044 1071956500 /nfs/dbraw/zinc/95/65/00/1071956500.db2.gz GDWRISWXINBLKB-AWEZNQCLSA-N 0 0 426.499 -0.840 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000437320045 1071956455 /nfs/dbraw/zinc/95/64/55/1071956455.db2.gz GDWRISWXINBLKB-CQSZACIVSA-N 0 0 426.499 -0.840 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000437320306 1071956514 /nfs/dbraw/zinc/95/65/14/1071956514.db2.gz CYTFXWZNDNWRHO-GFCCVEGCSA-N 0 0 425.496 -0.398 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000437320307 1071956463 /nfs/dbraw/zinc/95/64/63/1071956463.db2.gz CYTFXWZNDNWRHO-LBPRGKRZSA-N 0 0 425.496 -0.398 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000437320449 1071956012 /nfs/dbraw/zinc/95/60/12/1071956012.db2.gz HJDRNASJEJEOSU-GFCCVEGCSA-N 0 0 448.523 -0.837 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000437320450 1071956484 /nfs/dbraw/zinc/95/64/84/1071956484.db2.gz HJDRNASJEJEOSU-LBPRGKRZSA-N 0 0 448.523 -0.837 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000437320693 1071491854 /nfs/dbraw/zinc/49/18/54/1071491854.db2.gz DSYCKZUXJLWBND-LLVKDONJSA-N 0 0 434.496 -0.715 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000437320694 1071491794 /nfs/dbraw/zinc/49/17/94/1071491794.db2.gz DSYCKZUXJLWBND-NSHDSACASA-N 0 0 434.496 -0.715 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1F ZINC000437321566 1071956420 /nfs/dbraw/zinc/95/64/20/1071956420.db2.gz GKXPAQTVMMYRSO-SECBINFHSA-N 0 0 425.435 -0.414 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1F ZINC000437321568 1071956485 /nfs/dbraw/zinc/95/64/85/1071956485.db2.gz GKXPAQTVMMYRSO-VIFPVBQESA-N 0 0 425.435 -0.414 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H]3CN4CCN3CC4)cc2)CC1 ZINC000437323239 1071956392 /nfs/dbraw/zinc/95/63/92/1071956392.db2.gz GELDHSXTPVZWKY-GOSISDBHSA-N 0 0 435.550 -0.731 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H]3CN4CCN3CC4)cc2)CC1 ZINC000437323240 1071956541 /nfs/dbraw/zinc/95/65/41/1071956541.db2.gz GELDHSXTPVZWKY-SFHVURJKSA-N 0 0 435.550 -0.731 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCO[C@H](Cn3nc(C)nc3C)C2)c1 ZINC000437323649 1071956505 /nfs/dbraw/zinc/95/65/05/1071956505.db2.gz ONPRWAYAXZGPJE-AWEZNQCLSA-N 0 0 429.524 -0.107 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCO[C@@H](Cn3nc(C)nc3C)C2)c1 ZINC000437323657 1071956537 /nfs/dbraw/zinc/95/65/37/1071956537.db2.gz ONPRWAYAXZGPJE-CQSZACIVSA-N 0 0 429.524 -0.107 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000437324985 1071957365 /nfs/dbraw/zinc/95/73/65/1071957365.db2.gz NPZVOKLQCWBJCX-INIZCTEOSA-N 0 0 438.506 -0.694 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000437324995 1071957498 /nfs/dbraw/zinc/95/74/98/1071957498.db2.gz NPZVOKLQCWBJCX-MRXNPFEDSA-N 0 0 438.506 -0.694 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CN3CCN2CC3)cc1 ZINC000437325112 1071957472 /nfs/dbraw/zinc/95/74/72/1071957472.db2.gz KRJYOWFIDHHZPD-GOSISDBHSA-N 0 0 440.566 -0.300 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)NC[C@H]2CN3CCN2CC3)cc1 ZINC000437325115 1071957555 /nfs/dbraw/zinc/95/75/55/1071957555.db2.gz KRJYOWFIDHHZPD-SFHVURJKSA-N 0 0 440.566 -0.300 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000437325822 1071957562 /nfs/dbraw/zinc/95/75/62/1071957562.db2.gz HIRBOCDPESHFOZ-GFCCVEGCSA-N 0 0 437.457 -0.103 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000437325826 1071958158 /nfs/dbraw/zinc/95/81/58/1071958158.db2.gz HIRBOCDPESHFOZ-LBPRGKRZSA-N 0 0 437.457 -0.103 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000437326596 1071957973 /nfs/dbraw/zinc/95/79/73/1071957973.db2.gz WAXATYAZBOCHNO-AWEZNQCLSA-N 0 0 440.478 -0.803 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000437326602 1071958218 /nfs/dbraw/zinc/95/82/18/1071958218.db2.gz WAXATYAZBOCHNO-CQSZACIVSA-N 0 0 440.478 -0.803 20 0 IBADRN COc1ccc(CC(=O)NC[C@H]2CN3CCN2CC3)cc1S(=O)(=O)N1CCOCC1 ZINC000437327479 1071958083 /nfs/dbraw/zinc/95/80/83/1071958083.db2.gz YHNCJRXOPJHKAN-KRWDZBQOSA-N 0 0 438.550 -0.625 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H]2CN3CCN2CC3)cc1S(=O)(=O)N1CCOCC1 ZINC000437327480 1071958199 /nfs/dbraw/zinc/95/81/99/1071958199.db2.gz YHNCJRXOPJHKAN-QGZVFWFLSA-N 0 0 438.550 -0.625 20 0 IBADRN CC(C)N1C(=O)C[C@H](NS(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)C1=O ZINC000437327842 1071957990 /nfs/dbraw/zinc/95/79/90/1071957990.db2.gz UQNWTBAYQNMGNJ-AWEZNQCLSA-N 0 0 435.499 -0.099 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NS(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)C1=O ZINC000437327845 1071958000 /nfs/dbraw/zinc/95/80/00/1071958000.db2.gz UQNWTBAYQNMGNJ-CQSZACIVSA-N 0 0 435.499 -0.099 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000437328467 1071958046 /nfs/dbraw/zinc/95/80/46/1071958046.db2.gz WALNSSRQBZCYIP-LLVKDONJSA-N 0 0 434.496 -0.715 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000437328469 1071958181 /nfs/dbraw/zinc/95/81/81/1071958181.db2.gz WALNSSRQBZCYIP-NSHDSACASA-N 0 0 434.496 -0.715 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000437329069 1071958735 /nfs/dbraw/zinc/95/87/35/1071958735.db2.gz XSZXOULUAGFAEZ-AWEZNQCLSA-N 0 0 444.535 -0.346 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000437329070 1071958801 /nfs/dbraw/zinc/95/88/01/1071958801.db2.gz XSZXOULUAGFAEZ-CQSZACIVSA-N 0 0 444.535 -0.346 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@H](Cn3nc(C)nc3C)C2)cc1 ZINC000437330213 1071958751 /nfs/dbraw/zinc/95/87/51/1071958751.db2.gz CQASRWATKAIFDJ-AWEZNQCLSA-N 0 0 429.524 -0.107 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@@H](Cn3nc(C)nc3C)C2)cc1 ZINC000437330214 1071958636 /nfs/dbraw/zinc/95/86/36/1071958636.db2.gz CQASRWATKAIFDJ-CQSZACIVSA-N 0 0 429.524 -0.107 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1)CC2 ZINC000437330756 1071958844 /nfs/dbraw/zinc/95/88/44/1071958844.db2.gz UDDOIMXPXZRAOS-AWEZNQCLSA-N 0 0 444.535 -0.847 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1)CC2 ZINC000437330757 1071958708 /nfs/dbraw/zinc/95/87/08/1071958708.db2.gz UDDOIMXPXZRAOS-CQSZACIVSA-N 0 0 444.535 -0.847 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)C1 ZINC000437330969 1071958574 /nfs/dbraw/zinc/95/85/74/1071958574.db2.gz DHWVYBRFSYFWCA-INIZCTEOSA-N 0 0 438.506 -0.094 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)C1 ZINC000437330973 1071958722 /nfs/dbraw/zinc/95/87/22/1071958722.db2.gz DHWVYBRFSYFWCA-MRXNPFEDSA-N 0 0 438.506 -0.094 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1 ZINC000437332297 1071958694 /nfs/dbraw/zinc/95/86/94/1071958694.db2.gz ZOJKMMLGGHZRFX-CYBMUJFWSA-N 0 0 442.469 -0.484 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1 ZINC000437332299 1071958621 /nfs/dbraw/zinc/95/86/21/1071958621.db2.gz ZOJKMMLGGHZRFX-ZDUSSCGKSA-N 0 0 442.469 -0.484 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC000437332553 1071959331 /nfs/dbraw/zinc/95/93/31/1071959331.db2.gz MZZZNERMGJGFGU-CYBMUJFWSA-N 0 0 444.535 -0.778 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC000437332554 1071959145 /nfs/dbraw/zinc/95/91/45/1071959145.db2.gz MZZZNERMGJGFGU-ZDUSSCGKSA-N 0 0 444.535 -0.778 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000437332876 1071959176 /nfs/dbraw/zinc/95/91/76/1071959176.db2.gz ATBQYLAHOADLRP-CYBMUJFWSA-N 0 0 444.535 -0.346 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000437332879 1071959362 /nfs/dbraw/zinc/95/93/62/1071959362.db2.gz ATBQYLAHOADLRP-ZDUSSCGKSA-N 0 0 444.535 -0.346 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000437333032 1071959303 /nfs/dbraw/zinc/95/93/03/1071959303.db2.gz MGFLUPDEVUVKMR-AWEZNQCLSA-N 0 0 446.551 -0.019 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000437333033 1071959264 /nfs/dbraw/zinc/95/92/64/1071959264.db2.gz MGFLUPDEVUVKMR-CQSZACIVSA-N 0 0 446.551 -0.019 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N1CCOCC1 ZINC000437334483 1071959212 /nfs/dbraw/zinc/95/92/12/1071959212.db2.gz BNBJRLMLEKAQQR-CYBMUJFWSA-N 0 0 425.467 -0.804 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N1CCOCC1 ZINC000437334493 1071959341 /nfs/dbraw/zinc/95/93/41/1071959341.db2.gz BNBJRLMLEKAQQR-ZDUSSCGKSA-N 0 0 425.467 -0.804 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000437334554 1071959350 /nfs/dbraw/zinc/95/93/50/1071959350.db2.gz FNZAKRPAXVSPRR-GFCCVEGCSA-N 0 0 448.498 -0.516 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000437334560 1071959200 /nfs/dbraw/zinc/95/92/00/1071959200.db2.gz FNZAKRPAXVSPRR-LBPRGKRZSA-N 0 0 448.498 -0.516 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000437334583 1071959165 /nfs/dbraw/zinc/95/91/65/1071959165.db2.gz WAUVAOGUJIOVSE-DOMZBBRYSA-N 0 0 446.551 -0.019 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000437334586 1071959282 /nfs/dbraw/zinc/95/92/82/1071959282.db2.gz WAUVAOGUJIOVSE-IUODEOHRSA-N 0 0 446.551 -0.019 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000437334589 1071959226 /nfs/dbraw/zinc/95/92/26/1071959226.db2.gz WAUVAOGUJIOVSE-SWLSCSKDSA-N 0 0 446.551 -0.019 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000437334593 1071959294 /nfs/dbraw/zinc/95/92/94/1071959294.db2.gz WAUVAOGUJIOVSE-WFASDCNBSA-N 0 0 446.551 -0.019 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccn(-c3ncccc3C(F)(F)F)n2)C1 ZINC000437334882 1071959187 /nfs/dbraw/zinc/95/91/87/1071959187.db2.gz WZERUDUGEKDUKN-JTQLQIEISA-N 0 0 446.411 0.504 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(-c3ncccc3C(F)(F)F)n2)C1 ZINC000437334887 1071959236 /nfs/dbraw/zinc/95/92/36/1071959236.db2.gz WZERUDUGEKDUKN-SNVBAGLBSA-N 0 0 446.411 0.504 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)C1 ZINC000437337652 1071959254 /nfs/dbraw/zinc/95/92/54/1071959254.db2.gz ONSFWDIQGXNFJZ-CYBMUJFWSA-N 0 0 437.478 -0.118 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)C1 ZINC000437337658 1071959940 /nfs/dbraw/zinc/95/99/40/1071959940.db2.gz ONSFWDIQGXNFJZ-ZDUSSCGKSA-N 0 0 437.478 -0.118 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000437339310 1071960047 /nfs/dbraw/zinc/96/00/47/1071960047.db2.gz BYPQOROUBMELBV-UHFFFAOYSA-N 0 0 448.548 -0.195 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCC[C@H](S(N)(=O)=O)C3)c2)CC1 ZINC000437339427 1071959875 /nfs/dbraw/zinc/95/98/75/1071959875.db2.gz AUTYDBIBZWQKMU-KRWDZBQOSA-N 0 0 437.522 -0.427 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)c2)CC1 ZINC000437339429 1071959949 /nfs/dbraw/zinc/95/99/49/1071959949.db2.gz AUTYDBIBZWQKMU-QGZVFWFLSA-N 0 0 437.522 -0.427 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1Cl ZINC000437339594 1071960013 /nfs/dbraw/zinc/96/00/13/1071960013.db2.gz CJEOZGRHUBWBSQ-JTQLQIEISA-N 0 0 438.915 -0.071 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1Cl ZINC000437339600 1071959895 /nfs/dbraw/zinc/95/98/95/1071959895.db2.gz CJEOZGRHUBWBSQ-SNVBAGLBSA-N 0 0 438.915 -0.071 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000437339845 1071957403 /nfs/dbraw/zinc/95/74/03/1071957403.db2.gz BLNZEPRSWPRNAK-LLVKDONJSA-N 0 0 430.508 -0.773 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000437339846 1071957380 /nfs/dbraw/zinc/95/73/80/1071957380.db2.gz BLNZEPRSWPRNAK-NSHDSACASA-N 0 0 430.508 -0.773 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000437341620 1071957492 /nfs/dbraw/zinc/95/74/92/1071957492.db2.gz FLWLBQKHZNZRLI-CYBMUJFWSA-N 0 0 426.495 -0.320 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000437341621 1071957453 /nfs/dbraw/zinc/95/74/53/1071957453.db2.gz FLWLBQKHZNZRLI-ZDUSSCGKSA-N 0 0 426.495 -0.320 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)OC ZINC000437342329 1071961109 /nfs/dbraw/zinc/96/11/09/1071961109.db2.gz ATDQOGUPVROARG-LLVKDONJSA-N 0 0 427.435 -0.522 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)OC ZINC000437342331 1071961081 /nfs/dbraw/zinc/96/10/81/1071961081.db2.gz ATDQOGUPVROARG-NSHDSACASA-N 0 0 427.435 -0.522 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000437342869 1071961655 /nfs/dbraw/zinc/96/16/55/1071961655.db2.gz BMNQLKHLTRPPBS-GFCCVEGCSA-N 0 0 448.523 -0.837 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000437342872 1071961684 /nfs/dbraw/zinc/96/16/84/1071961684.db2.gz BMNQLKHLTRPPBS-LBPRGKRZSA-N 0 0 448.523 -0.837 20 0 IBADRN COCCN1c2ccc(NC(=O)C(=O)N3CCC[C@H](S(N)(=O)=O)C3)cc2CCC1=O ZINC000437342879 1071961611 /nfs/dbraw/zinc/96/16/11/1071961611.db2.gz BMYRHGFCEIZWRD-HNNXBMFYSA-N 0 0 438.506 -0.170 20 0 IBADRN COCCN1c2ccc(NC(=O)C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cc2CCC1=O ZINC000437342884 1071961714 /nfs/dbraw/zinc/96/17/14/1071961714.db2.gz BMYRHGFCEIZWRD-OAHLLOKOSA-N 0 0 438.506 -0.170 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000437342887 1071961580 /nfs/dbraw/zinc/96/15/80/1071961580.db2.gz HCSZVODLMWXBJS-CYBMUJFWSA-N 0 0 432.524 -0.537 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000437342894 1071961531 /nfs/dbraw/zinc/96/15/31/1071961531.db2.gz HCSZVODLMWXBJS-ZDUSSCGKSA-N 0 0 432.524 -0.537 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000437343150 1071961558 /nfs/dbraw/zinc/96/15/58/1071961558.db2.gz JZUPYHXOXXSAJS-HNNXBMFYSA-N 0 0 446.551 -0.017 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000437343151 1071961671 /nfs/dbraw/zinc/96/16/71/1071961671.db2.gz JZUPYHXOXXSAJS-OAHLLOKOSA-N 0 0 446.551 -0.017 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1N1CCCS1(=O)=O ZINC000437343440 1071961565 /nfs/dbraw/zinc/96/15/65/1071961565.db2.gz DAPLQJPNFZZHER-AWEZNQCLSA-N 0 0 444.535 -0.247 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1N1CCCS1(=O)=O ZINC000437343446 1071961631 /nfs/dbraw/zinc/96/16/31/1071961631.db2.gz DAPLQJPNFZZHER-CQSZACIVSA-N 0 0 444.535 -0.247 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000437343769 1071961035 /nfs/dbraw/zinc/96/10/35/1071961035.db2.gz DTAKMEJYKVNBJX-AWEZNQCLSA-N 0 0 430.508 -0.556 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000437343774 1071961030 /nfs/dbraw/zinc/96/10/30/1071961030.db2.gz DTAKMEJYKVNBJX-CQSZACIVSA-N 0 0 430.508 -0.556 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000437344385 1071961677 /nfs/dbraw/zinc/96/16/77/1071961677.db2.gz JXUALLSQAKTUGR-HNNXBMFYSA-N 0 0 444.535 -0.313 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000437344389 1071961539 /nfs/dbraw/zinc/96/15/39/1071961539.db2.gz JXUALLSQAKTUGR-OAHLLOKOSA-N 0 0 444.535 -0.313 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000437344403 1071961548 /nfs/dbraw/zinc/96/15/48/1071961548.db2.gz JYMSHRARGJSVJA-GFCCVEGCSA-N 0 0 448.498 -0.416 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000437344422 1071961574 /nfs/dbraw/zinc/96/15/74/1071961574.db2.gz JYMSHRARGJSVJA-LBPRGKRZSA-N 0 0 448.498 -0.416 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000437344880 1071962142 /nfs/dbraw/zinc/96/21/42/1071962142.db2.gz HNSCHEDSQKPEJS-GFCCVEGCSA-N 0 0 448.498 -0.416 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000437344881 1071962121 /nfs/dbraw/zinc/96/21/21/1071962121.db2.gz HNSCHEDSQKPEJS-LBPRGKRZSA-N 0 0 448.498 -0.416 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000437346283 1071962059 /nfs/dbraw/zinc/96/20/59/1071962059.db2.gz QWPXDOKKNZETSX-AWEZNQCLSA-N 0 0 430.508 -0.655 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000437346289 1071962259 /nfs/dbraw/zinc/96/22/59/1071962259.db2.gz QWPXDOKKNZETSX-CQSZACIVSA-N 0 0 430.508 -0.655 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)C1 ZINC000437346362 1071962185 /nfs/dbraw/zinc/96/21/85/1071962185.db2.gz KTUXVOHNVBJHMU-HNNXBMFYSA-N 0 0 436.490 -0.056 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)C1 ZINC000437346364 1071962231 /nfs/dbraw/zinc/96/22/31/1071962231.db2.gz KTUXVOHNVBJHMU-OAHLLOKOSA-N 0 0 436.490 -0.056 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCNS(=O)(=O)c2cccs2)c(=O)n(C)c1=O ZINC000437347535 1071962243 /nfs/dbraw/zinc/96/22/43/1071962243.db2.gz PNZKZESPYMLJBC-UHFFFAOYSA-N 0 0 425.492 -0.805 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1-n1nnnc1C1CC1 ZINC000437347618 1071962201 /nfs/dbraw/zinc/96/22/01/1071962201.db2.gz PMCRMWXABBEWTO-CYBMUJFWSA-N 0 0 449.493 -0.234 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1-n1nnnc1C1CC1 ZINC000437347624 1071962177 /nfs/dbraw/zinc/96/21/77/1071962177.db2.gz PMCRMWXABBEWTO-ZDUSSCGKSA-N 0 0 449.493 -0.234 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c2ccccc2O1 ZINC000437348473 1071959818 /nfs/dbraw/zinc/95/98/18/1071959818.db2.gz QEJDVGOEORYINP-BBRMVZONSA-N 0 0 438.506 -0.414 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c2ccccc2O1 ZINC000437348479 1071959851 /nfs/dbraw/zinc/95/98/51/1071959851.db2.gz QEJDVGOEORYINP-CJNGLKHVSA-N 0 0 438.506 -0.414 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c2ccccc2O1 ZINC000437348484 1071959929 /nfs/dbraw/zinc/95/99/29/1071959929.db2.gz QEJDVGOEORYINP-CZUORRHYSA-N 0 0 438.506 -0.414 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c2ccccc2O1 ZINC000437348489 1071959919 /nfs/dbraw/zinc/95/99/19/1071959919.db2.gz QEJDVGOEORYINP-XJKSGUPXSA-N 0 0 438.506 -0.414 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cccc1C(=O)N1CCOCC1 ZINC000437349288 1071960476 /nfs/dbraw/zinc/96/04/76/1071960476.db2.gz SLPQLYFPMMAVAP-AWEZNQCLSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cccc1C(=O)N1CCOCC1 ZINC000437349290 1071960555 /nfs/dbraw/zinc/96/05/55/1071960555.db2.gz SLPQLYFPMMAVAP-CQSZACIVSA-N 0 0 438.506 -0.315 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H](S(N)(=O)=O)C3)cc2)CC1 ZINC000437349307 1071960543 /nfs/dbraw/zinc/96/05/43/1071960543.db2.gz SNIISZSQJWYXFJ-INIZCTEOSA-N 0 0 437.522 -0.708 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cc2)CC1 ZINC000437349315 1071960515 /nfs/dbraw/zinc/96/05/15/1071960515.db2.gz SNIISZSQJWYXFJ-MRXNPFEDSA-N 0 0 437.522 -0.708 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1Cl ZINC000437349477 1071959842 /nfs/dbraw/zinc/95/98/42/1071959842.db2.gz VEUUDNTYFIUXDU-GFCCVEGCSA-N 0 0 446.913 -0.066 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1Cl ZINC000437349478 1071959986 /nfs/dbraw/zinc/95/99/86/1071959986.db2.gz VEUUDNTYFIUXDU-LBPRGKRZSA-N 0 0 446.913 -0.066 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000437350442 1071960534 /nfs/dbraw/zinc/96/05/34/1071960534.db2.gz CGSNQXBKFAIBIX-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000437350443 1071960567 /nfs/dbraw/zinc/96/05/67/1071960567.db2.gz CGSNQXBKFAIBIX-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000437350575 1071960573 /nfs/dbraw/zinc/96/05/73/1071960573.db2.gz WBIJRTWYWGZZLL-LLVKDONJSA-N 0 0 436.487 -0.706 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000437350580 1071960468 /nfs/dbraw/zinc/96/04/68/1071960468.db2.gz WBIJRTWYWGZZLL-NSHDSACASA-N 0 0 436.487 -0.706 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ncn(Cc3ccc(Cl)cc3)n2)C1 ZINC000437351048 1071960508 /nfs/dbraw/zinc/96/05/08/1071960508.db2.gz YHVDTBUJZBXOKI-CYBMUJFWSA-N 0 0 426.886 0.198 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ncn(Cc3ccc(Cl)cc3)n2)C1 ZINC000437351052 1071960557 /nfs/dbraw/zinc/96/05/57/1071960557.db2.gz YHVDTBUJZBXOKI-ZDUSSCGKSA-N 0 0 426.886 0.198 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000437351475 1071960537 /nfs/dbraw/zinc/96/05/37/1071960537.db2.gz ZEJCASYUCMBCEW-JTQLQIEISA-N 0 0 429.451 -0.292 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000437351476 1071960591 /nfs/dbraw/zinc/96/05/91/1071960591.db2.gz ZEJCASYUCMBCEW-SNVBAGLBSA-N 0 0 429.451 -0.292 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000437351722 1071960530 /nfs/dbraw/zinc/96/05/30/1071960530.db2.gz ILIKIMOIQDYPMH-AWEZNQCLSA-N 0 0 430.508 -0.556 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000437351723 1071960461 /nfs/dbraw/zinc/96/04/61/1071960461.db2.gz ILIKIMOIQDYPMH-CQSZACIVSA-N 0 0 430.508 -0.556 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)ccc1NS(C)(=O)=O ZINC000437352191 1071961071 /nfs/dbraw/zinc/96/10/71/1071961071.db2.gz BZCNHOZKZYJICC-LLVKDONJSA-N 0 0 434.496 -0.715 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)ccc1NS(C)(=O)=O ZINC000437352192 1071961059 /nfs/dbraw/zinc/96/10/59/1071961059.db2.gz BZCNHOZKZYJICC-NSHDSACASA-N 0 0 434.496 -0.715 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000437352373 1071961129 /nfs/dbraw/zinc/96/11/29/1071961129.db2.gz DDIFPMPQAZYNFC-HNNXBMFYSA-N 0 0 446.551 -0.065 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000437352375 1071961067 /nfs/dbraw/zinc/96/10/67/1071961067.db2.gz DDIFPMPQAZYNFC-OAHLLOKOSA-N 0 0 446.551 -0.065 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000437352386 1071961099 /nfs/dbraw/zinc/96/10/99/1071961099.db2.gz MZINRGWUEFGNFS-AWEZNQCLSA-N 0 0 432.524 -0.407 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000437352387 1071961007 /nfs/dbraw/zinc/96/10/07/1071961007.db2.gz MZINRGWUEFGNFS-CQSZACIVSA-N 0 0 432.524 -0.407 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c(OC)c(OC)c1 ZINC000437352764 1071961117 /nfs/dbraw/zinc/96/11/17/1071961117.db2.gz GEMDYRMRMCHUNC-LLVKDONJSA-N 0 0 429.451 -0.292 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c(OC)c(OC)c1 ZINC000437352766 1071961055 /nfs/dbraw/zinc/96/10/55/1071961055.db2.gz GEMDYRMRMCHUNC-NSHDSACASA-N 0 0 429.451 -0.292 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000437356704 1071961016 /nfs/dbraw/zinc/96/10/16/1071961016.db2.gz RLKQITJCIBTPPL-HNNXBMFYSA-N 0 0 446.551 -0.067 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000437356705 1071961042 /nfs/dbraw/zinc/96/10/42/1071961042.db2.gz RLKQITJCIBTPPL-OAHLLOKOSA-N 0 0 446.551 -0.067 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000437358787 1071962132 /nfs/dbraw/zinc/96/21/32/1071962132.db2.gz ZSYRGXJXBRMDLD-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000437358788 1071963093 /nfs/dbraw/zinc/96/30/93/1071963093.db2.gz ZSYRGXJXBRMDLD-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)Nc1ccccc1 ZINC000437370434 1071962100 /nfs/dbraw/zinc/96/21/00/1071962100.db2.gz AOKKFWXVDZSBSM-UHFFFAOYSA-N 0 0 427.465 0.374 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCNS(=O)(=O)c3cccs3)cnc2n(C)c1=O ZINC000437391733 1071963043 /nfs/dbraw/zinc/96/30/43/1071963043.db2.gz XXDNLWIIMDPIMR-UHFFFAOYSA-N 0 0 438.491 -0.206 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000437409763 1071963898 /nfs/dbraw/zinc/96/38/98/1071963898.db2.gz KPVFCSIMLCNWBK-UHFFFAOYSA-N 0 0 431.540 -0.595 20 0 IBADRN CCOc1ccc(NC(=O)NCCC(=O)NC(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000437410548 1071963910 /nfs/dbraw/zinc/96/39/10/1071963910.db2.gz UIABCAWMAIOIOH-UHFFFAOYSA-N 0 0 443.482 -0.187 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000437410937 1071963499 /nfs/dbraw/zinc/96/34/99/1071963499.db2.gz VADWPFUAVJOXFU-UHFFFAOYSA-N 0 0 443.551 -0.497 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)NCCN1CCN(c2ncccn2)CC1 ZINC000437421967 1071964640 /nfs/dbraw/zinc/96/46/40/1071964640.db2.gz XEKYQHFBDGRDHE-UHFFFAOYSA-N 0 0 439.567 -0.062 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000437424072 1071964626 /nfs/dbraw/zinc/96/46/26/1071964626.db2.gz DDSBJHGKVYYSQG-UHFFFAOYSA-N 0 0 445.476 -0.290 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1)N1CCCC1 ZINC000437428383 1071964538 /nfs/dbraw/zinc/96/45/38/1071964538.db2.gz RVBGDSYVCJXTFU-UHFFFAOYSA-N 0 0 429.568 -0.024 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000437429009 1071964512 /nfs/dbraw/zinc/96/45/12/1071964512.db2.gz HZEDQEWAWFKEEQ-UHFFFAOYSA-N 0 0 445.567 -0.788 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000437430422 1071964052 /nfs/dbraw/zinc/96/40/52/1071964052.db2.gz RNNYFUPQVYZIDG-UHFFFAOYSA-N 0 0 433.556 -0.884 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000437431542 1071965233 /nfs/dbraw/zinc/96/52/33/1071965233.db2.gz VDGUVYZDRYBOHH-UHFFFAOYSA-N 0 0 445.476 -0.290 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000437437059 1071965795 /nfs/dbraw/zinc/96/57/95/1071965795.db2.gz DATCTYTWFMUOOG-UHFFFAOYSA-N 0 0 431.449 0.344 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000437442440 1071965317 /nfs/dbraw/zinc/96/53/17/1071965317.db2.gz MEOLYEDDMGVNHX-UHFFFAOYSA-N 0 0 429.524 -0.841 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000437442896 1071965225 /nfs/dbraw/zinc/96/52/25/1071965225.db2.gz RMXAHPWPTNQUFX-UHFFFAOYSA-N 0 0 431.540 -0.594 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000437444802 1071965274 /nfs/dbraw/zinc/96/52/74/1071965274.db2.gz CXZLFSZUVXIAQH-UHFFFAOYSA-N 0 0 427.461 -0.014 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000437446505 1071964962 /nfs/dbraw/zinc/96/49/62/1071964962.db2.gz LKLDLMJDTZIYAZ-UHFFFAOYSA-N 0 0 441.444 -0.400 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1)N1CCOCC1 ZINC000437448058 1071965145 /nfs/dbraw/zinc/96/51/45/1071965145.db2.gz NRTRHIKDMFJRNR-UHFFFAOYSA-N 0 0 445.567 -0.788 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCNS(=O)(=O)c3cccs3)CC2)c1=O ZINC000437452111 1071965831 /nfs/dbraw/zinc/96/58/31/1071965831.db2.gz MFGHKRJDOJXWTL-UHFFFAOYSA-N 0 0 426.524 -0.348 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)NCCNS(=O)(=O)c1cccnc1 ZINC000437453763 1071965665 /nfs/dbraw/zinc/96/56/65/1071965665.db2.gz WYNIPHLWVSJVTE-UHFFFAOYSA-N 0 0 433.537 -0.301 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000437455725 1071965910 /nfs/dbraw/zinc/96/59/10/1071965910.db2.gz RVOPAXHEOCYIRF-UHFFFAOYSA-N 0 0 431.493 0.184 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000437463771 1071966416 /nfs/dbraw/zinc/96/64/16/1071966416.db2.gz VNPOOROVWYSKJP-UHFFFAOYSA-N 0 0 441.400 -0.239 20 0 IBADRN Cc1cc(C(=O)NCCNS(=O)(=O)c2cccs2)nc2c1c(=O)n(C)c(=O)n2C ZINC000437464748 1071966388 /nfs/dbraw/zinc/96/63/88/1071966388.db2.gz DEVAHQNNWDUZSH-UHFFFAOYSA-N 0 0 437.503 -0.290 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCNS(=O)(=O)c1cccs1 ZINC000437466513 1071965772 /nfs/dbraw/zinc/96/57/72/1071965772.db2.gz ACDVKEHYSSBGMA-UHFFFAOYSA-N 0 0 437.297 -0.132 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)c2cccs2)cn1C ZINC000437467618 1071966913 /nfs/dbraw/zinc/96/69/13/1071966913.db2.gz ABUOJLVGXKDLCU-UHFFFAOYSA-N 0 0 435.553 -0.447 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2cccs2)cc1 ZINC000437470484 1071966900 /nfs/dbraw/zinc/96/69/00/1071966900.db2.gz HNSODNQDPJPBAH-UHFFFAOYSA-N 0 0 431.517 -0.285 20 0 IBADRN CN(CCNC(=O)CCn1cc(S(N)(=O)=O)cn1)S(=O)(=O)c1ccc(F)cc1 ZINC000437470724 1071966927 /nfs/dbraw/zinc/96/69/27/1071966927.db2.gz UNUBQAXXKULUAE-UHFFFAOYSA-N 0 0 433.487 -0.503 20 0 IBADRN CN(CC(=O)Nc1ccccc1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000437472950 1071966865 /nfs/dbraw/zinc/96/68/65/1071966865.db2.gz GCXKIELNXAKKMT-UHFFFAOYSA-N 0 0 428.449 -0.223 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCNS(=O)(=O)c2cccs2)c1 ZINC000437473081 1071966767 /nfs/dbraw/zinc/96/67/67/1071966767.db2.gz LPVUOFSGOJPJGV-UHFFFAOYSA-N 0 0 436.537 -0.291 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000437473989 1071966889 /nfs/dbraw/zinc/96/68/89/1071966889.db2.gz PZAYOMZGSFWDLP-UHFFFAOYSA-N 0 0 439.531 -0.093 20 0 IBADRN CN(CC(=O)Nc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000437474620 1071966780 /nfs/dbraw/zinc/96/67/80/1071966780.db2.gz KKKWZMLZYYRIGE-UHFFFAOYSA-N 0 0 428.449 -0.223 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000437476232 1071966852 /nfs/dbraw/zinc/96/68/52/1071966852.db2.gz QLGPFAIQPMSQPM-UHFFFAOYSA-N 0 0 428.445 -0.130 20 0 IBADRN CCCN(CCc1ccccn1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000437478823 1071966752 /nfs/dbraw/zinc/96/67/52/1071966752.db2.gz VAORCGKIINIIDU-UHFFFAOYSA-N 0 0 428.493 0.557 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000437483799 1071966423 /nfs/dbraw/zinc/96/64/23/1071966423.db2.gz SHGIDNJHLFGXFP-AWEZNQCLSA-N 0 0 430.552 -0.677 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000437483801 1071966408 /nfs/dbraw/zinc/96/64/08/1071966408.db2.gz SHGIDNJHLFGXFP-CQSZACIVSA-N 0 0 430.552 -0.677 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCCNS(=O)(=O)c3cccs3)nc2c(=O)[nH]c1=O ZINC000437483844 1071966326 /nfs/dbraw/zinc/96/63/26/1071966326.db2.gz SQMXHPLPCMDECA-UHFFFAOYSA-N 0 0 426.480 -0.549 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000437487954 1071967519 /nfs/dbraw/zinc/96/75/19/1071967519.db2.gz CBMQBNRDHYORHC-NEPJUHHUSA-N 0 0 435.549 -0.822 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000437487957 1071967450 /nfs/dbraw/zinc/96/74/50/1071967450.db2.gz CBMQBNRDHYORHC-NWDGAFQWSA-N 0 0 435.549 -0.822 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000437487959 1071967372 /nfs/dbraw/zinc/96/73/72/1071967372.db2.gz CBMQBNRDHYORHC-RYUDHWBXSA-N 0 0 435.549 -0.822 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000437487961 1071967542 /nfs/dbraw/zinc/96/75/42/1071967542.db2.gz CBMQBNRDHYORHC-VXGBXAGGSA-N 0 0 435.549 -0.822 20 0 IBADRN CN(CCNC(=O)CN1CCN(S(C)(=O)=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000437489694 1071967463 /nfs/dbraw/zinc/96/74/63/1071967463.db2.gz IZQAXXQHLPMFKQ-UHFFFAOYSA-N 0 0 436.531 -0.860 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)COc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000437492331 1071968125 /nfs/dbraw/zinc/96/81/25/1071968125.db2.gz CNDGYDYFFAJRMM-CMPLNLGQSA-N 0 0 441.428 -0.303 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)C[C@H](O)COc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000437492333 1071968093 /nfs/dbraw/zinc/96/80/93/1071968093.db2.gz CNDGYDYFFAJRMM-JQWIXIFHSA-N 0 0 441.428 -0.303 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)C[C@H](O)COc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000437492334 1071968087 /nfs/dbraw/zinc/96/80/87/1071968087.db2.gz CNDGYDYFFAJRMM-PWSUYJOCSA-N 0 0 441.428 -0.303 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)C[C@@H](O)COc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000437492335 1071968031 /nfs/dbraw/zinc/96/80/31/1071968031.db2.gz CNDGYDYFFAJRMM-ZYHUDNBSSA-N 0 0 441.428 -0.303 20 0 IBADRN CN(CCNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)S(=O)(=O)c1ccc(F)cc1 ZINC000437492622 1071967984 /nfs/dbraw/zinc/96/79/84/1071967984.db2.gz JRPXFTIQYZBVPV-UHFFFAOYSA-N 0 0 449.464 -0.178 20 0 IBADRN CN(CCNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)S(=O)(=O)c1ccc(F)cc1 ZINC000437496766 1071968040 /nfs/dbraw/zinc/96/80/40/1071968040.db2.gz CEOZXRNOWDRCNH-UHFFFAOYSA-N 0 0 449.464 -0.178 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000437503628 1071968022 /nfs/dbraw/zinc/96/80/22/1071968022.db2.gz SFILZADTPRAILO-UHFFFAOYSA-N 0 0 425.504 -0.482 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)C[C@@H](O)COc1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000437504863 1071967533 /nfs/dbraw/zinc/96/75/33/1071967533.db2.gz MSBYTZJDZXSORY-GHMZBOCLSA-N 0 0 441.428 -0.303 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)C[C@H](O)COc1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000437504865 1071967473 /nfs/dbraw/zinc/96/74/73/1071967473.db2.gz MSBYTZJDZXSORY-MNOVXSKESA-N 0 0 441.428 -0.303 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)C[C@H](O)COc1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000437504867 1071967427 /nfs/dbraw/zinc/96/74/27/1071967427.db2.gz MSBYTZJDZXSORY-QWRGUYRKSA-N 0 0 441.428 -0.303 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)COc1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000437504869 1071967441 /nfs/dbraw/zinc/96/74/41/1071967441.db2.gz MSBYTZJDZXSORY-WDEREUQCSA-N 0 0 441.428 -0.303 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCNS(=O)(=O)c1cccs1 ZINC000437504944 1071967435 /nfs/dbraw/zinc/96/74/35/1071967435.db2.gz WHFFKXXJAULZAX-LLVKDONJSA-N 0 0 449.580 -0.140 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)c1cccs1 ZINC000437504946 1071967550 /nfs/dbraw/zinc/96/75/50/1071967550.db2.gz WHFFKXXJAULZAX-NSHDSACASA-N 0 0 449.580 -0.140 20 0 IBADRN CN(CCNC(=O)CNS(=O)(=O)c1cnn(C)c1)S(=O)(=O)c1ccc(F)cc1 ZINC000437505536 1071968677 /nfs/dbraw/zinc/96/86/77/1071968677.db2.gz PDOGAFUCZWIIRB-UHFFFAOYSA-N 0 0 433.487 -0.726 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)cn1C ZINC000437509309 1071967972 /nfs/dbraw/zinc/96/79/72/1071967972.db2.gz UVNFIVAAJRMCCJ-UHFFFAOYSA-N 0 0 447.514 -0.417 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000437518705 1071968751 /nfs/dbraw/zinc/96/87/51/1071968751.db2.gz VJKOLBFDWZSUPS-GFCCVEGCSA-N 0 0 447.514 -0.337 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000437518706 1071968557 /nfs/dbraw/zinc/96/85/57/1071968557.db2.gz VJKOLBFDWZSUPS-LBPRGKRZSA-N 0 0 447.514 -0.337 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)CC(=O)N1c2ccccc2NC(=O)C[C@H]1C)S(N)(=O)=O ZINC000437529973 1071968580 /nfs/dbraw/zinc/96/85/80/1071968580.db2.gz WFGDQIIFEIVRNA-NEPJUHHUSA-N 0 0 439.494 -0.998 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)CC(=O)N1c2ccccc2NC(=O)C[C@@H]1C)S(N)(=O)=O ZINC000437529974 1071969252 /nfs/dbraw/zinc/96/92/52/1071969252.db2.gz WFGDQIIFEIVRNA-NWDGAFQWSA-N 0 0 439.494 -0.998 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)CC(=O)N1c2ccccc2NC(=O)C[C@@H]1C)S(N)(=O)=O ZINC000437529975 1071969204 /nfs/dbraw/zinc/96/92/04/1071969204.db2.gz WFGDQIIFEIVRNA-RYUDHWBXSA-N 0 0 439.494 -0.998 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)CC(=O)N1c2ccccc2NC(=O)C[C@H]1C)S(N)(=O)=O ZINC000437529976 1071969105 /nfs/dbraw/zinc/96/91/05/1071969105.db2.gz WFGDQIIFEIVRNA-VXGBXAGGSA-N 0 0 439.494 -0.998 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCC(=O)NC(N)=O ZINC000437531174 1071969359 /nfs/dbraw/zinc/96/93/59/1071969359.db2.gz AMBCUAWWZGGHEG-UHFFFAOYSA-N 0 0 429.455 -0.577 20 0 IBADRN COc1ccc(NC(=O)NCCC(=O)NC(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000437533213 1071969269 /nfs/dbraw/zinc/96/92/69/1071969269.db2.gz FFBKBSUEGDFFMP-UHFFFAOYSA-N 0 0 429.455 -0.577 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)nn1 ZINC000437538422 1071969346 /nfs/dbraw/zinc/96/93/46/1071969346.db2.gz MVRDHWONWWZLOS-UHFFFAOYSA-N 0 0 428.446 -0.290 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCC(=O)NC(N)=O ZINC000437538962 1071969317 /nfs/dbraw/zinc/96/93/17/1071969317.db2.gz QKGDTAJYMGVCOO-UHFFFAOYSA-N 0 0 443.482 -0.187 20 0 IBADRN CCOC(=O)Cn1nc(C)c(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000437574579 1071970537 /nfs/dbraw/zinc/97/05/37/1071970537.db2.gz CXYMYBXHKLVOCC-UHFFFAOYSA-N 0 0 442.586 -0.327 20 0 IBADRN CCOC(=O)Cn1nc(C)c(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000437574855 1071970562 /nfs/dbraw/zinc/97/05/62/1071970562.db2.gz CDLIVWOGQJFSRS-UHFFFAOYSA-N 0 0 429.543 -0.242 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCNS(=O)(=O)c1cccs1 ZINC000437598174 1071971027 /nfs/dbraw/zinc/97/10/27/1071971027.db2.gz FNFYXRMLNZUHSE-HNNXBMFYSA-N 0 0 444.579 -0.144 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCNS(=O)(=O)c1cccs1 ZINC000437598175 1071971663 /nfs/dbraw/zinc/97/16/63/1071971663.db2.gz FNFYXRMLNZUHSE-OAHLLOKOSA-N 0 0 444.579 -0.144 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000437602347 1071972259 /nfs/dbraw/zinc/97/22/59/1071972259.db2.gz MPGZIMHSZMXXKO-UHFFFAOYSA-N 0 0 438.462 -0.523 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000437618025 1071971811 /nfs/dbraw/zinc/97/18/11/1071971811.db2.gz XUKGHWAOJSZBQD-UHFFFAOYSA-N 0 0 449.533 -0.084 20 0 IBADRN COc1cc(N2CCOC(C)(C)C2)ccc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000437625133 1071972338 /nfs/dbraw/zinc/97/23/38/1071972338.db2.gz ONVPAICIEMSMFV-UHFFFAOYSA-N 0 0 428.511 -0.086 20 0 IBADRN CCc1ncc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000437625527 1071972236 /nfs/dbraw/zinc/97/22/36/1071972236.db2.gz DENNJDDMALOKDA-UHFFFAOYSA-N 0 0 447.521 -0.359 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(CC(=O)NCCOC)CC2)ccc1C ZINC000437645498 1071973360 /nfs/dbraw/zinc/97/33/60/1071973360.db2.gz ZXYUCBUCBZRJHT-UHFFFAOYSA-N 0 0 427.527 -0.185 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000437648408 1071973458 /nfs/dbraw/zinc/97/34/58/1071973458.db2.gz GMARMIIKRHIFOL-UHFFFAOYSA-N 0 0 429.518 -0.044 20 0 IBADRN O=C(CN1CCN(C(=O)CSCc2cscn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000437650902 1071973418 /nfs/dbraw/zinc/97/34/18/1071973418.db2.gz NGEAXKUSBKHXNS-CYBMUJFWSA-N 0 0 432.593 -0.176 20 0 IBADRN O=C(CN1CCN(C(=O)CSCc2cscn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000437650906 1071973470 /nfs/dbraw/zinc/97/34/70/1071973470.db2.gz NGEAXKUSBKHXNS-ZDUSSCGKSA-N 0 0 432.593 -0.176 20 0 IBADRN NC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)o1 ZINC000437657965 1071974052 /nfs/dbraw/zinc/97/40/52/1071974052.db2.gz WCXIMXRYSHOETF-UHFFFAOYSA-N 0 0 434.430 -0.144 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1)NC(CO)CO ZINC000437662765 1071974005 /nfs/dbraw/zinc/97/40/05/1071974005.db2.gz TZDYDIYURRARSM-UHFFFAOYSA-N 0 0 428.511 -0.218 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)NC(CO)CO ZINC000437666211 1071974522 /nfs/dbraw/zinc/97/45/22/1071974522.db2.gz JFHZOZOPFLZBAG-UHFFFAOYSA-N 0 0 444.510 -0.981 20 0 IBADRN Cc1cc(C(=O)Nc2cccc(NC(=O)NCC(N)=O)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000437673823 1071974629 /nfs/dbraw/zinc/97/46/29/1071974629.db2.gz CASZECITFIXCSV-UHFFFAOYSA-N 0 0 439.432 -0.200 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000437677908 1071974688 /nfs/dbraw/zinc/97/46/88/1071974688.db2.gz VIOMWHKFFQESBQ-AWEZNQCLSA-N 0 0 436.534 -0.010 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000437677909 1071974595 /nfs/dbraw/zinc/97/45/95/1071974595.db2.gz VIOMWHKFFQESBQ-CQSZACIVSA-N 0 0 436.534 -0.010 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cccc(NC(=O)NCC(N)=O)c2)c(=O)n(C)c1=O ZINC000437681118 1071975054 /nfs/dbraw/zinc/97/50/54/1071975054.db2.gz OFJRDJHMBRPKPT-UHFFFAOYSA-N 0 0 427.421 -0.716 20 0 IBADRN NC(=O)CNC(=O)Nc1cccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000437684064 1071975258 /nfs/dbraw/zinc/97/52/58/1071975258.db2.gz DYLZIXLKFKIJAR-AWEZNQCLSA-N 0 0 432.481 -0.587 20 0 IBADRN NC(=O)CNC(=O)Nc1cccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000437684066 1071975110 /nfs/dbraw/zinc/97/51/10/1071975110.db2.gz DYLZIXLKFKIJAR-CQSZACIVSA-N 0 0 432.481 -0.587 20 0 IBADRN Cn1c2ncc(C(=O)Nc3cccc(NC(=O)NCC(N)=O)c3)cc2c(=O)n(C)c1=O ZINC000437685256 1071975209 /nfs/dbraw/zinc/97/52/09/1071975209.db2.gz ZJSHPKVONVPGHL-UHFFFAOYSA-N 0 0 425.405 -0.509 20 0 IBADRN NC(=O)CNC(=O)Nc1cccc(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000437689586 1071975191 /nfs/dbraw/zinc/97/51/91/1071975191.db2.gz TXUAHEWSAVZYLI-UHFFFAOYSA-N 0 0 439.226 -0.043 20 0 IBADRN NC(=O)CNC(=O)Nc1cccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000437696562 1071975841 /nfs/dbraw/zinc/97/58/41/1071975841.db2.gz RFEUHOUFJJYSFA-KRWDZBQOSA-N 0 0 446.508 -0.055 20 0 IBADRN NC(=O)CNC(=O)Nc1cccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000437696576 1071975823 /nfs/dbraw/zinc/97/58/23/1071975823.db2.gz RFEUHOUFJJYSFA-QGZVFWFLSA-N 0 0 446.508 -0.055 20 0 IBADRN Cn1c2nc(C(=O)Nc3cccc(NC(=O)NCC(N)=O)c3)ccc2c(=O)n(C)c1=O ZINC000437700842 1071976431 /nfs/dbraw/zinc/97/64/31/1071976431.db2.gz MHEHATDCSMSJBG-UHFFFAOYSA-N 0 0 425.405 -0.509 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000437701587 1071976311 /nfs/dbraw/zinc/97/63/11/1071976311.db2.gz GEAGIWWDLPCZLX-UHFFFAOYSA-N 0 0 438.462 -0.860 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000437703052 1071976358 /nfs/dbraw/zinc/97/63/58/1071976358.db2.gz OHGNJIPVCOJXHI-CVEARBPZSA-N 0 0 437.518 -0.494 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000437703055 1071976451 /nfs/dbraw/zinc/97/64/51/1071976451.db2.gz OHGNJIPVCOJXHI-HOTGVXAUSA-N 0 0 437.518 -0.494 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000437703057 1071976471 /nfs/dbraw/zinc/97/64/71/1071976471.db2.gz OHGNJIPVCOJXHI-HZPDHXFCSA-N 0 0 437.518 -0.494 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000437703059 1071976333 /nfs/dbraw/zinc/97/63/33/1071976333.db2.gz OHGNJIPVCOJXHI-JKSUJKDBSA-N 0 0 437.518 -0.494 20 0 IBADRN Cc1c(C(=O)Nc2cccc(NC(=O)NCC(N)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000437704103 1071976385 /nfs/dbraw/zinc/97/63/85/1071976385.db2.gz XRSHKUSRSGAJEK-UHFFFAOYSA-N 0 0 439.432 -0.200 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000437712417 1071978064 /nfs/dbraw/zinc/97/80/64/1071978064.db2.gz BDBUJCXFZLZZSM-GOSISDBHSA-N 0 0 432.521 -0.349 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000437712418 1071978178 /nfs/dbraw/zinc/97/81/78/1071978178.db2.gz BDBUJCXFZLZZSM-SFHVURJKSA-N 0 0 432.521 -0.349 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)C1 ZINC000437713257 1071978082 /nfs/dbraw/zinc/97/80/82/1071978082.db2.gz ZDALKNVCTXKIKD-CHWSQXEVSA-N 0 0 426.495 -0.187 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)C1 ZINC000437713258 1071978113 /nfs/dbraw/zinc/97/81/13/1071978113.db2.gz ZDALKNVCTXKIKD-OLZOCXBDSA-N 0 0 426.495 -0.187 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)C1 ZINC000437713259 1071978173 /nfs/dbraw/zinc/97/81/73/1071978173.db2.gz ZDALKNVCTXKIKD-QWHCGFSZSA-N 0 0 426.495 -0.187 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)C1 ZINC000437713260 1071978056 /nfs/dbraw/zinc/97/80/56/1071978056.db2.gz ZDALKNVCTXKIKD-STQMWFEESA-N 0 0 426.495 -0.187 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000437717566 1071978049 /nfs/dbraw/zinc/97/80/49/1071978049.db2.gz LXZQIYKWDNDGJB-HOTGVXAUSA-N 0 0 442.538 -0.249 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000437717570 1071978190 /nfs/dbraw/zinc/97/81/90/1071978190.db2.gz LXZQIYKWDNDGJB-HZPDHXFCSA-N 0 0 442.538 -0.249 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000437717574 1071978736 /nfs/dbraw/zinc/97/87/36/1071978736.db2.gz LXZQIYKWDNDGJB-IYBDPMFKSA-N 0 0 442.538 -0.249 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1 ZINC000437717642 1071978149 /nfs/dbraw/zinc/97/81/49/1071978149.db2.gz KGQKKGJBLVBDJZ-CHWSQXEVSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1 ZINC000437717643 1071978198 /nfs/dbraw/zinc/97/81/98/1071978198.db2.gz KGQKKGJBLVBDJZ-OLZOCXBDSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1 ZINC000437717644 1071978122 /nfs/dbraw/zinc/97/81/22/1071978122.db2.gz KGQKKGJBLVBDJZ-QWHCGFSZSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1 ZINC000437717645 1071978033 /nfs/dbraw/zinc/97/80/33/1071978033.db2.gz KGQKKGJBLVBDJZ-STQMWFEESA-N 0 0 426.495 -0.338 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000437718863 1071978572 /nfs/dbraw/zinc/97/85/72/1071978572.db2.gz DCDVTOAQMOZFTO-UHFFFAOYSA-N 0 0 433.509 -0.266 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000437720101 1071976924 /nfs/dbraw/zinc/97/69/24/1071976924.db2.gz GIGMDVPVUUNAAT-UHFFFAOYSA-N 0 0 442.476 -0.693 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C(=O)NCCOC)CC[C@@H]2C)c1 ZINC000437720739 1071976913 /nfs/dbraw/zinc/97/69/13/1071976913.db2.gz HGIDGGBGBNGFIZ-KBPBESRZSA-N 0 0 440.522 -0.077 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C(=O)NCCOC)CC[C@H]2C)c1 ZINC000437720957 1071976877 /nfs/dbraw/zinc/97/68/77/1071976877.db2.gz HGIDGGBGBNGFIZ-KGLIPLIRSA-N 0 0 440.522 -0.077 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](C(=O)NCCOC)CC[C@@H]2C)c1 ZINC000437720958 1071976936 /nfs/dbraw/zinc/97/69/36/1071976936.db2.gz HGIDGGBGBNGFIZ-UONOGXRCSA-N 0 0 440.522 -0.077 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](C(=O)NCCOC)CC[C@H]2C)c1 ZINC000437720959 1071977019 /nfs/dbraw/zinc/97/70/19/1071977019.db2.gz HGIDGGBGBNGFIZ-ZIAGYGMSSA-N 0 0 440.522 -0.077 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)C1 ZINC000437722473 1071977653 /nfs/dbraw/zinc/97/76/53/1071977653.db2.gz JIVAGFHHCBXFPK-KBPBESRZSA-N 0 0 434.497 -0.510 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)C1 ZINC000437722478 1071977675 /nfs/dbraw/zinc/97/76/75/1071977675.db2.gz JIVAGFHHCBXFPK-KGLIPLIRSA-N 0 0 434.497 -0.510 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)C1 ZINC000437722482 1071977468 /nfs/dbraw/zinc/97/74/68/1071977468.db2.gz JIVAGFHHCBXFPK-UONOGXRCSA-N 0 0 434.497 -0.510 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)C1 ZINC000437722485 1071977420 /nfs/dbraw/zinc/97/74/20/1071977420.db2.gz JIVAGFHHCBXFPK-ZIAGYGMSSA-N 0 0 434.497 -0.510 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000437722970 1071977631 /nfs/dbraw/zinc/97/76/31/1071977631.db2.gz JZPGXWNKPXRHBB-CABCVRRESA-N 0 0 446.508 -0.654 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000437722972 1071977483 /nfs/dbraw/zinc/97/74/83/1071977483.db2.gz JZPGXWNKPXRHBB-GJZGRUSLSA-N 0 0 446.508 -0.654 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000437722975 1071977507 /nfs/dbraw/zinc/97/75/07/1071977507.db2.gz JZPGXWNKPXRHBB-HUUCEWRRSA-N 0 0 446.508 -0.654 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000437722977 1071977621 /nfs/dbraw/zinc/97/76/21/1071977621.db2.gz JZPGXWNKPXRHBB-LSDHHAIUSA-N 0 0 446.508 -0.654 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)C1 ZINC000437724480 1071978138 /nfs/dbraw/zinc/97/81/38/1071978138.db2.gz XETNOCFBQARADX-KBPBESRZSA-N 0 0 445.480 -0.001 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)C1 ZINC000437724488 1071977601 /nfs/dbraw/zinc/97/76/01/1071977601.db2.gz XETNOCFBQARADX-KGLIPLIRSA-N 0 0 445.480 -0.001 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)C1 ZINC000437724491 1071977493 /nfs/dbraw/zinc/97/74/93/1071977493.db2.gz XETNOCFBQARADX-UONOGXRCSA-N 0 0 445.480 -0.001 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)C1 ZINC000437724494 1071978127 /nfs/dbraw/zinc/97/81/27/1071978127.db2.gz XETNOCFBQARADX-ZIAGYGMSSA-N 0 0 445.480 -0.001 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000437734612 1071978712 /nfs/dbraw/zinc/97/87/12/1071978712.db2.gz IWPZPIQMVYQVQA-CABCVRRESA-N 0 0 444.492 -0.101 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000437734613 1071978720 /nfs/dbraw/zinc/97/87/20/1071978720.db2.gz IWPZPIQMVYQVQA-GJZGRUSLSA-N 0 0 444.492 -0.101 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000437734614 1071978682 /nfs/dbraw/zinc/97/86/82/1071978682.db2.gz IWPZPIQMVYQVQA-HUUCEWRRSA-N 0 0 444.492 -0.101 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000437734615 1071978621 /nfs/dbraw/zinc/97/86/21/1071978621.db2.gz IWPZPIQMVYQVQA-LSDHHAIUSA-N 0 0 444.492 -0.101 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)C1 ZINC000437735041 1071978699 /nfs/dbraw/zinc/97/86/99/1071978699.db2.gz JFLCGKITBVPSKB-KBPBESRZSA-N 0 0 440.522 -0.029 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)C1 ZINC000437735044 1071978562 /nfs/dbraw/zinc/97/85/62/1071978562.db2.gz JFLCGKITBVPSKB-KGLIPLIRSA-N 0 0 440.522 -0.029 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)C1 ZINC000437735046 1071978614 /nfs/dbraw/zinc/97/86/14/1071978614.db2.gz JFLCGKITBVPSKB-UONOGXRCSA-N 0 0 440.522 -0.029 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)C1 ZINC000437735047 1071978691 /nfs/dbraw/zinc/97/86/91/1071978691.db2.gz JFLCGKITBVPSKB-ZIAGYGMSSA-N 0 0 440.522 -0.029 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000437742699 1071979190 /nfs/dbraw/zinc/97/91/90/1071979190.db2.gz GLOXFNXWQTYZNK-UHFFFAOYSA-N 0 0 427.461 -0.478 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000437742720 1071979245 /nfs/dbraw/zinc/97/92/45/1071979245.db2.gz GODMPRLCZCCKNV-VQTJNVASSA-N 0 0 429.477 -0.198 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)CCNS(=O)(=O)c1cn(C)c(C)n1 ZINC000437742909 1071979116 /nfs/dbraw/zinc/97/91/16/1071979116.db2.gz ABULVKZOGFXRNQ-UHFFFAOYSA-N 0 0 437.522 -0.119 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)NCCOC)CC[C@@H]2C)c2ccccc2O1 ZINC000437745093 1071979128 /nfs/dbraw/zinc/97/91/28/1071979128.db2.gz LYNGOIUHRPIPHY-DAYGRLMNSA-N 0 0 446.504 -0.084 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)NCCOC)CC[C@@H]2C)c2ccccc2O1 ZINC000437745094 1071979179 /nfs/dbraw/zinc/97/91/79/1071979179.db2.gz LYNGOIUHRPIPHY-MPGHIAIKSA-N 0 0 446.504 -0.084 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)NCCOC)CC[C@H]2C)c2ccccc2O1 ZINC000437745095 1071979256 /nfs/dbraw/zinc/97/92/56/1071979256.db2.gz LYNGOIUHRPIPHY-RKVPGOIHSA-N 0 0 446.504 -0.084 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)NCCOC)CC[C@H]2C)c2ccccc2O1 ZINC000437745096 1071979386 /nfs/dbraw/zinc/97/93/86/1071979386.db2.gz LYNGOIUHRPIPHY-VKJFTORMSA-N 0 0 446.504 -0.084 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)C1 ZINC000437747525 1071979838 /nfs/dbraw/zinc/97/98/38/1071979838.db2.gz OQXPYJYCKJZHPO-NEPJUHHUSA-N 0 0 430.465 -0.010 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)C1 ZINC000437747526 1071979876 /nfs/dbraw/zinc/97/98/76/1071979876.db2.gz OQXPYJYCKJZHPO-NWDGAFQWSA-N 0 0 430.465 -0.010 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)C1 ZINC000437747527 1071979791 /nfs/dbraw/zinc/97/97/91/1071979791.db2.gz OQXPYJYCKJZHPO-RYUDHWBXSA-N 0 0 430.465 -0.010 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)C1 ZINC000437747528 1071979973 /nfs/dbraw/zinc/97/99/73/1071979973.db2.gz OQXPYJYCKJZHPO-VXGBXAGGSA-N 0 0 430.465 -0.010 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000437748833 1071980395 /nfs/dbraw/zinc/98/03/95/1071980395.db2.gz GJYRMNDGUFLOMT-UHFFFAOYSA-N 0 0 425.445 -0.271 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000437749557 1071980468 /nfs/dbraw/zinc/98/04/68/1071980468.db2.gz SDXTXOYXTIIFHW-UHFFFAOYSA-N 0 0 434.474 -0.244 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000437751140 1071980528 /nfs/dbraw/zinc/98/05/28/1071980528.db2.gz VEYVQKIFHIARRG-UHFFFAOYSA-N 0 0 425.445 -0.271 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000437756363 1071980933 /nfs/dbraw/zinc/98/09/33/1071980933.db2.gz ZQPGEBROMNYUAX-NEPJUHHUSA-N 0 0 444.485 -0.199 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000437756367 1071980879 /nfs/dbraw/zinc/98/08/79/1071980879.db2.gz ZQPGEBROMNYUAX-NWDGAFQWSA-N 0 0 444.485 -0.199 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000437756369 1071980973 /nfs/dbraw/zinc/98/09/73/1071980973.db2.gz ZQPGEBROMNYUAX-RYUDHWBXSA-N 0 0 444.485 -0.199 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000437756371 1071981797 /nfs/dbraw/zinc/98/17/97/1071981797.db2.gz ZQPGEBROMNYUAX-VXGBXAGGSA-N 0 0 444.485 -0.199 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NCCNS(=O)(=O)c2cccs2)cn1 ZINC000437756956 1071980939 /nfs/dbraw/zinc/98/09/39/1071980939.db2.gz WPGHHJGXCUNRJL-UHFFFAOYSA-N 0 0 430.512 -0.193 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000437757249 1071981557 /nfs/dbraw/zinc/98/15/57/1071981557.db2.gz XQPMTEYFSGCNQE-UHFFFAOYSA-N 0 0 442.523 -0.097 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O ZINC000437758760 1071981743 /nfs/dbraw/zinc/98/17/43/1071981743.db2.gz NSKNSYJIQLFXCQ-UHFFFAOYSA-N 0 0 428.449 -0.222 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000437763996 1071979956 /nfs/dbraw/zinc/97/99/56/1071979956.db2.gz XNZJXQXVZMJHQL-DZGCQCFKSA-N 0 0 447.492 -0.770 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000437764002 1071979775 /nfs/dbraw/zinc/97/97/75/1071979775.db2.gz XNZJXQXVZMJHQL-HIFRSBDPSA-N 0 0 447.492 -0.770 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000437764010 1071979913 /nfs/dbraw/zinc/97/99/13/1071979913.db2.gz XNZJXQXVZMJHQL-UKRRQHHQSA-N 0 0 447.492 -0.770 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000437764015 1071979859 /nfs/dbraw/zinc/97/98/59/1071979859.db2.gz XNZJXQXVZMJHQL-ZFWWWQNUSA-N 0 0 447.492 -0.770 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)C1=O ZINC000437770487 1071981692 /nfs/dbraw/zinc/98/16/92/1071981692.db2.gz GSLIBKONKANJOG-HNNXBMFYSA-N 0 0 444.485 -0.091 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)C1=O ZINC000437770494 1071981802 /nfs/dbraw/zinc/98/18/02/1071981802.db2.gz GSLIBKONKANJOG-OAHLLOKOSA-N 0 0 444.485 -0.091 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)NCCC(=O)NC(N)=O)c2)CC1 ZINC000437772684 1071982356 /nfs/dbraw/zinc/98/23/56/1071982356.db2.gz JOOVNRQRCAHWBQ-UHFFFAOYSA-N 0 0 426.499 -0.281 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CCO2)n1 ZINC000437776986 1071982454 /nfs/dbraw/zinc/98/24/54/1071982454.db2.gz RVMBBKBBHRECBK-AWEZNQCLSA-N 0 0 428.453 -0.227 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CCO2)n1 ZINC000437776988 1071982422 /nfs/dbraw/zinc/98/24/22/1071982422.db2.gz RVMBBKBBHRECBK-CQSZACIVSA-N 0 0 428.453 -0.227 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000437781072 1071981779 /nfs/dbraw/zinc/98/17/79/1071981779.db2.gz FDLUYAARQZNJCX-GFCCVEGCSA-N 0 0 429.481 -0.551 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000437781077 1071981830 /nfs/dbraw/zinc/98/18/30/1071981830.db2.gz FDLUYAARQZNJCX-LBPRGKRZSA-N 0 0 429.481 -0.551 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)Nc1ccn(CCN2CCOCC2)n1 ZINC000437783020 1071981708 /nfs/dbraw/zinc/98/17/08/1071981708.db2.gz ZYHMQOTZMFJCEI-UHFFFAOYSA-N 0 0 428.540 0.377 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000437787158 1071982315 /nfs/dbraw/zinc/98/23/15/1071982315.db2.gz JZVMBVULHWUWDW-UHFFFAOYSA-N 0 0 431.497 -0.350 20 0 IBADRN Cn1c2ncc(NC(=O)N3CCN(c4ncccc4C(N)=O)CC3)cc2c(=O)n(C)c1=O ZINC000437791195 1071982848 /nfs/dbraw/zinc/98/28/48/1071982848.db2.gz YTUZYPRZHANJNH-UHFFFAOYSA-N 0 0 438.448 -0.520 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000437794592 1071982737 /nfs/dbraw/zinc/98/27/37/1071982737.db2.gz OZLBCONYHQMGSR-UHFFFAOYSA-N 0 0 442.523 -0.097 20 0 IBADRN Cn1c2nc(C(=O)Nc3cccc(NC(=O)NCCC(N)=O)c3)ccc2c(=O)n(C)c1=O ZINC000437838637 1071986012 /nfs/dbraw/zinc/98/60/12/1071986012.db2.gz GQGJLIDWOXYWJN-UHFFFAOYSA-N 0 0 439.432 -0.119 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cccc(NC(=O)NCCC(N)=O)c2)CC1 ZINC000437838676 1071985920 /nfs/dbraw/zinc/98/59/20/1071985920.db2.gz GSFWYAHTXHZNBP-UHFFFAOYSA-N 0 0 426.499 -0.801 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cccc(NC(=O)NCCC(N)=O)c2)c(=O)n(C)c1=O ZINC000437844305 1071984716 /nfs/dbraw/zinc/98/47/16/1071984716.db2.gz PZLCQKWERWSIAK-UHFFFAOYSA-N 0 0 441.448 -0.326 20 0 IBADRN NC(=O)CCNC(=O)Nc1cccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000437848665 1071985527 /nfs/dbraw/zinc/98/55/27/1071985527.db2.gz CQPFYBXKDWKMHA-HNNXBMFYSA-N 0 0 446.508 -0.197 20 0 IBADRN NC(=O)CCNC(=O)Nc1cccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000437848668 1071985279 /nfs/dbraw/zinc/98/52/79/1071985279.db2.gz CQPFYBXKDWKMHA-OAHLLOKOSA-N 0 0 446.508 -0.197 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000437856496 1071986703 /nfs/dbraw/zinc/98/67/03/1071986703.db2.gz WBDYTHAHXAOKNS-UHFFFAOYSA-N 0 0 433.553 -0.051 20 0 IBADRN Cn1c2ncc(C(=O)Nc3cccc(NC(=O)NCCC(N)=O)c3)cc2c(=O)n(C)c1=O ZINC000437863970 1071986571 /nfs/dbraw/zinc/98/65/71/1071986571.db2.gz RDZYIYZWJKRJFE-UHFFFAOYSA-N 0 0 439.432 -0.119 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000437864352 1071986555 /nfs/dbraw/zinc/98/65/55/1071986555.db2.gz DHAGJYDFQIOMTP-UHFFFAOYSA-N 0 0 439.535 -0.422 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CCC2 ZINC000437870744 1071987236 /nfs/dbraw/zinc/98/72/36/1071987236.db2.gz JQXANPWVUUFHNV-GFCCVEGCSA-N 0 0 430.508 -0.901 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CCC2 ZINC000437870749 1071987161 /nfs/dbraw/zinc/98/71/61/1071987161.db2.gz JQXANPWVUUFHNV-LBPRGKRZSA-N 0 0 430.508 -0.901 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000437873498 1071987908 /nfs/dbraw/zinc/98/79/08/1071987908.db2.gz IIZFXFCDADFEGL-KRWDZBQOSA-N 0 0 441.550 -0.010 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000437873502 1071987788 /nfs/dbraw/zinc/98/77/88/1071987788.db2.gz IIZFXFCDADFEGL-QGZVFWFLSA-N 0 0 441.550 -0.010 20 0 IBADRN CC(C)CN(C(=O)CN1CCC([C@]2(C)NC(=O)NC2=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000437879544 1071987851 /nfs/dbraw/zinc/98/78/51/1071987851.db2.gz BQDQPZQHTFCXGP-BEFAXECRSA-N 0 0 428.555 -0.032 20 0 IBADRN CC(C)CN(C(=O)CN1CCC([C@@]2(C)NC(=O)NC2=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000437879548 1071987892 /nfs/dbraw/zinc/98/78/92/1071987892.db2.gz BQDQPZQHTFCXGP-DNVCBOLYSA-N 0 0 428.555 -0.032 20 0 IBADRN CC(C)CN(C(=O)CN1CCC([C@@]2(C)NC(=O)NC2=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000437879550 1071987932 /nfs/dbraw/zinc/98/79/32/1071987932.db2.gz BQDQPZQHTFCXGP-HNAYVOBHSA-N 0 0 428.555 -0.032 20 0 IBADRN CC(C)CN(C(=O)CN1CCC([C@]2(C)NC(=O)NC2=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000437879552 1071987863 /nfs/dbraw/zinc/98/78/63/1071987863.db2.gz BQDQPZQHTFCXGP-KXBFYZLASA-N 0 0 428.555 -0.032 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000437884994 1071988428 /nfs/dbraw/zinc/98/84/28/1071988428.db2.gz ZCWAZZUACZDXOB-BRWVUGGUSA-N 0 0 435.612 -0.395 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000437884995 1071988519 /nfs/dbraw/zinc/98/85/19/1071988519.db2.gz ZCWAZZUACZDXOB-IKGGRYGDSA-N 0 0 435.612 -0.395 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000437884996 1071988367 /nfs/dbraw/zinc/98/83/67/1071988367.db2.gz ZCWAZZUACZDXOB-IXDOHACOSA-N 0 0 435.612 -0.395 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000437884997 1071988472 /nfs/dbraw/zinc/98/84/72/1071988472.db2.gz ZCWAZZUACZDXOB-ZACQAIPSSA-N 0 0 435.612 -0.395 20 0 IBADRN COC(=O)Cn1cc(CCN2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)nn1 ZINC000437887617 1071989201 /nfs/dbraw/zinc/98/92/01/1071989201.db2.gz FMBOTVAQKVLLHE-UHFFFAOYSA-N 0 0 435.506 -0.145 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@@H]1CN2CCN1CC2 ZINC000437903721 1071989029 /nfs/dbraw/zinc/98/90/29/1071989029.db2.gz VKPFMMWHPWZGPD-GOSISDBHSA-N 0 0 449.577 -0.584 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@H]1CN2CCN1CC2 ZINC000437903722 1071989134 /nfs/dbraw/zinc/98/91/34/1071989134.db2.gz VKPFMMWHPWZGPD-SFHVURJKSA-N 0 0 449.577 -0.584 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H]2CN3CCN2CC3)c1 ZINC000437907215 1071988374 /nfs/dbraw/zinc/98/83/74/1071988374.db2.gz TVJDUWOPEFKGIE-INIZCTEOSA-N 0 0 437.566 -0.497 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H]2CN3CCN2CC3)c1 ZINC000437907216 1071988527 /nfs/dbraw/zinc/98/85/27/1071988527.db2.gz TVJDUWOPEFKGIE-MRXNPFEDSA-N 0 0 437.566 -0.497 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CN2CCN1CC2 ZINC000437909790 1071989749 /nfs/dbraw/zinc/98/97/49/1071989749.db2.gz SXILFMDOAYHJGD-BEFAXECRSA-N 0 0 438.550 -0.123 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CN2CCN1CC2 ZINC000437909791 1071989619 /nfs/dbraw/zinc/98/96/19/1071989619.db2.gz SXILFMDOAYHJGD-KXBFYZLASA-N 0 0 438.550 -0.123 20 0 IBADRN COCCN(C(=O)CN1CCCc2ccc(S(N)(=O)=O)cc21)[C@H]1CCS(=O)(=O)C1 ZINC000437910084 1071989763 /nfs/dbraw/zinc/98/97/63/1071989763.db2.gz NYPHYIWSVUCWHS-HNNXBMFYSA-N 0 0 445.563 -0.251 20 0 IBADRN COCCN(C(=O)CN1CCCc2ccc(S(N)(=O)=O)cc21)[C@@H]1CCS(=O)(=O)C1 ZINC000437910085 1071989775 /nfs/dbraw/zinc/98/97/75/1071989775.db2.gz NYPHYIWSVUCWHS-OAHLLOKOSA-N 0 0 445.563 -0.251 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@@H]2CN3CCN2CC3)o1 ZINC000437910727 1071989697 /nfs/dbraw/zinc/98/96/97/1071989697.db2.gz YPNUIIXGMCIHRM-CABCVRRESA-N 0 0 440.522 -0.275 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@H]2CN3CCN2CC3)o1 ZINC000437910728 1071989683 /nfs/dbraw/zinc/98/96/83/1071989683.db2.gz YPNUIIXGMCIHRM-GJZGRUSLSA-N 0 0 440.522 -0.275 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@@H]2CN3CCN2CC3)o1 ZINC000437910729 1071989740 /nfs/dbraw/zinc/98/97/40/1071989740.db2.gz YPNUIIXGMCIHRM-HUUCEWRRSA-N 0 0 440.522 -0.275 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@H]2CN3CCN2CC3)o1 ZINC000437910730 1071989657 /nfs/dbraw/zinc/98/96/57/1071989657.db2.gz YPNUIIXGMCIHRM-LSDHHAIUSA-N 0 0 440.522 -0.275 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000437926632 1071990351 /nfs/dbraw/zinc/99/03/51/1071990351.db2.gz NPJYLYCEKXPTJV-GOSISDBHSA-N 0 0 432.477 -0.286 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000437926633 1071990274 /nfs/dbraw/zinc/99/02/74/1071990274.db2.gz NPJYLYCEKXPTJV-SFHVURJKSA-N 0 0 432.477 -0.286 20 0 IBADRN CC[C@@H](NC(=O)CCCC(=O)N[C@@H](CC)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000437939366 1071991066 /nfs/dbraw/zinc/99/10/66/1071991066.db2.gz YVKHMWYJCBYYKQ-CALCHBBNSA-N 0 0 440.541 -0.336 20 0 IBADRN CC[C@@H](NC(=O)CCCC(=O)N[C@H](CC)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000437939393 1071991020 /nfs/dbraw/zinc/99/10/20/1071991020.db2.gz YVKHMWYJCBYYKQ-IAGOWNOFSA-N 0 0 440.541 -0.336 20 0 IBADRN CC[C@H](NC(=O)CCCC(=O)N[C@@H](CC)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000437939396 1071990829 /nfs/dbraw/zinc/99/08/29/1071990829.db2.gz YVKHMWYJCBYYKQ-IRXDYDNUSA-N 0 0 440.541 -0.336 20 0 IBADRN CC[C@H](NC(=O)Cn1cc(S(=O)(=O)N(CC)CC)ccc1=O)C(=O)N1CCOCC1 ZINC000437941648 1071990286 /nfs/dbraw/zinc/99/02/86/1071990286.db2.gz KIJMDABEXUHVCO-INIZCTEOSA-N 0 0 442.538 -0.368 20 0 IBADRN CC[C@@H](NC(=O)Cn1cc(S(=O)(=O)N(CC)CC)ccc1=O)C(=O)N1CCOCC1 ZINC000437941651 1071990292 /nfs/dbraw/zinc/99/02/92/1071990292.db2.gz KIJMDABEXUHVCO-MRXNPFEDSA-N 0 0 442.538 -0.368 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000437942873 1071990316 /nfs/dbraw/zinc/99/03/16/1071990316.db2.gz PQNOXULFVSCHGG-CVEARBPZSA-N 0 0 425.511 -0.774 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000437942878 1071990322 /nfs/dbraw/zinc/99/03/22/1071990322.db2.gz PQNOXULFVSCHGG-HOTGVXAUSA-N 0 0 425.511 -0.774 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000437942880 1071990264 /nfs/dbraw/zinc/99/02/64/1071990264.db2.gz PQNOXULFVSCHGG-HZPDHXFCSA-N 0 0 425.511 -0.774 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000437942883 1071990161 /nfs/dbraw/zinc/99/01/61/1071990161.db2.gz PQNOXULFVSCHGG-JKSUJKDBSA-N 0 0 425.511 -0.774 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2C[C@@H]3[C@H](C2)OCCN3Cc2ccccc2)c[nH]1 ZINC000437963469 1071990962 /nfs/dbraw/zinc/99/09/62/1071990962.db2.gz MFHUQXDTUNQHHH-MSOLQXFVSA-N 0 0 447.517 -0.496 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)C(=O)N1CCOCC1 ZINC000437965086 1071990993 /nfs/dbraw/zinc/99/09/93/1071990993.db2.gz UMZLXELAEZSYEM-HNNXBMFYSA-N 0 0 434.478 -0.289 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)C(=O)N1CCOCC1 ZINC000437965089 1071990843 /nfs/dbraw/zinc/99/08/43/1071990843.db2.gz UMZLXELAEZSYEM-OAHLLOKOSA-N 0 0 434.478 -0.289 20 0 IBADRN CC[C@H](NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)C(=O)N1CCOCC1 ZINC000437965468 1071991078 /nfs/dbraw/zinc/99/10/78/1071991078.db2.gz SLVXKMGWQJPILP-INIZCTEOSA-N 0 0 425.507 -0.084 20 0 IBADRN CC[C@@H](NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)C(=O)N1CCOCC1 ZINC000437965473 1071990901 /nfs/dbraw/zinc/99/09/01/1071990901.db2.gz SLVXKMGWQJPILP-MRXNPFEDSA-N 0 0 425.507 -0.084 20 0 IBADRN CC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOCC1 ZINC000437968914 1071991688 /nfs/dbraw/zinc/99/16/88/1071991688.db2.gz JVOZTFDGZQCAKS-INIZCTEOSA-N 0 0 438.506 -0.826 20 0 IBADRN CC[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOCC1 ZINC000437968915 1071991505 /nfs/dbraw/zinc/99/15/05/1071991505.db2.gz JVOZTFDGZQCAKS-MRXNPFEDSA-N 0 0 438.506 -0.826 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H]4[C@H](C3)OCCN4Cc3ccccc3)c2c(=O)n(C)c1=O ZINC000437969971 1071991599 /nfs/dbraw/zinc/99/15/99/1071991599.db2.gz KZKMQHYYSZDSPY-SJORKVTESA-N 0 0 438.488 -0.455 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2C[C@@H]3[C@H](C2)OCCN3Cc2ccccc2)o1 ZINC000437978430 1071992369 /nfs/dbraw/zinc/99/23/69/1071992369.db2.gz MILOHWOCQLVSBW-WBVHZDCISA-N 0 0 448.501 -0.231 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000437980336 1071992330 /nfs/dbraw/zinc/99/23/30/1071992330.db2.gz YHXLMWZZPKTETL-KRWDZBQOSA-N 0 0 443.522 -0.245 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000437980337 1071992215 /nfs/dbraw/zinc/99/22/15/1071992215.db2.gz YHXLMWZZPKTETL-QGZVFWFLSA-N 0 0 443.522 -0.245 20 0 IBADRN CC[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCOCC1 ZINC000437980552 1071992196 /nfs/dbraw/zinc/99/21/96/1071992196.db2.gz YWXUNXAECHIJTC-INIZCTEOSA-N 0 0 438.506 -0.826 20 0 IBADRN CC[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCOCC1 ZINC000437980553 1071992341 /nfs/dbraw/zinc/99/23/41/1071992341.db2.gz YWXUNXAECHIJTC-MRXNPFEDSA-N 0 0 438.506 -0.826 20 0 IBADRN CC[C@H](NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)N1CCOCC1 ZINC000437987617 1071991026 /nfs/dbraw/zinc/99/10/26/1071991026.db2.gz PAWCZWFNEYGCEJ-INIZCTEOSA-N 0 0 441.554 -0.117 20 0 IBADRN CC[C@@H](NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)N1CCOCC1 ZINC000437987620 1071990974 /nfs/dbraw/zinc/99/09/74/1071990974.db2.gz PAWCZWFNEYGCEJ-MRXNPFEDSA-N 0 0 441.554 -0.117 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1C[C@@H]3[C@H](C1)OCCN3Cc1ccccc1)c(=O)n2C ZINC000437990079 1071991651 /nfs/dbraw/zinc/99/16/51/1071991651.db2.gz VERGNIROFOFWRK-SJORKVTESA-N 0 0 438.488 -0.455 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)NCCS(=O)(=O)N1CCSCC1 ZINC000437993523 1071992877 /nfs/dbraw/zinc/99/28/77/1071992877.db2.gz NSADELTVMVGVCY-UHFFFAOYSA-N 0 0 442.610 -0.296 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000437994513 1071993243 /nfs/dbraw/zinc/99/32/43/1071993243.db2.gz CECLGGWVKYZNAQ-UHFFFAOYSA-N 0 0 427.527 -0.151 20 0 IBADRN CN(CCNC(=O)N(CCO)CCN1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000437994909 1071502865 /nfs/dbraw/zinc/50/28/65/1071502865.db2.gz CTFBFVOFRZBFHS-UHFFFAOYSA-N 0 0 432.518 -0.218 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCCNS(=O)(=O)c1cccs1 ZINC000437998224 1071993735 /nfs/dbraw/zinc/99/37/35/1071993735.db2.gz VWWNCBDIKAUNSH-UHFFFAOYSA-N 0 0 437.525 -0.567 20 0 IBADRN CN(CCNC(=O)NCCC(=O)N1CCN(C)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000438007688 1071992892 /nfs/dbraw/zinc/99/28/92/1071992892.db2.gz QRKGMOCTRCHRFV-UHFFFAOYSA-N 0 0 429.518 -0.091 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000438007779 1071993156 /nfs/dbraw/zinc/99/31/56/1071993156.db2.gz DNHPVEGBQCEDAU-UHFFFAOYSA-N 0 0 443.464 -0.009 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000438008885 1071993066 /nfs/dbraw/zinc/99/30/66/1071993066.db2.gz SZICMEVPGCXJKP-INIZCTEOSA-N 0 0 432.518 -0.219 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000438008888 1071993093 /nfs/dbraw/zinc/99/30/93/1071993093.db2.gz SZICMEVPGCXJKP-MRXNPFEDSA-N 0 0 432.518 -0.219 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000438011149 1071993224 /nfs/dbraw/zinc/99/32/24/1071993224.db2.gz ZPQMDJVKHOVOSO-UHFFFAOYSA-N 0 0 428.511 -0.590 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000438019061 1071994348 /nfs/dbraw/zinc/99/43/48/1071994348.db2.gz XEKAYLXTALHOLG-UHFFFAOYSA-N 0 0 429.518 -0.091 20 0 IBADRN CN(CCNC(=O)NC[C@@](C)(O)CN1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000438019062 1071994299 /nfs/dbraw/zinc/99/42/99/1071994299.db2.gz XELBCOXBAUYJPH-GOSISDBHSA-N 0 0 432.518 -0.171 20 0 IBADRN CN(CCNC(=O)NC[C@](C)(O)CN1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000438019063 1071994435 /nfs/dbraw/zinc/99/44/35/1071994435.db2.gz XELBCOXBAUYJPH-SFHVURJKSA-N 0 0 432.518 -0.171 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000438019624 1071994256 /nfs/dbraw/zinc/99/42/56/1071994256.db2.gz YGLSQNGDYDMICH-KRWDZBQOSA-N 0 0 434.497 -0.529 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000438019631 1071994285 /nfs/dbraw/zinc/99/42/85/1071994285.db2.gz YGLSQNGDYDMICH-QGZVFWFLSA-N 0 0 434.497 -0.529 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@H]3C(=O)N(Cc4ccccc4)C(=O)[C@H]32)CC1 ZINC000438023777 1071994241 /nfs/dbraw/zinc/99/42/41/1071994241.db2.gz PBHUFOZLCRHSDC-MJGOQNOKSA-N 0 0 448.545 -0.260 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000438037940 1071994937 /nfs/dbraw/zinc/99/49/37/1071994937.db2.gz LSRZYCKYBZWHOD-UHFFFAOYSA-N 0 0 431.449 -0.234 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCC[C@H]2C(=O)N(Cc3ccccc3)C(=O)[C@H]21 ZINC000438040263 1071994952 /nfs/dbraw/zinc/99/49/52/1071994952.db2.gz SCCQPOGYFAPHJS-ZBFHGGJFSA-N 0 0 426.429 -0.269 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC[C@H]3C(=O)N(Cc4ccccc4)C(=O)[C@H]32)cn1 ZINC000438043371 1071995062 /nfs/dbraw/zinc/99/50/62/1071995062.db2.gz UOKVJENSFUGZHP-AEFFLSMTSA-N 0 0 445.501 -0.125 20 0 IBADRN CCN1CCN(CC(=O)N2CCC[C@H]3C(=O)N(Cc4ccccc4)C(=O)[C@H]32)C(=O)C1=O ZINC000438046472 1071994967 /nfs/dbraw/zinc/99/49/67/1071994967.db2.gz CXIKYEVXTLWUKG-AEFFLSMTSA-N 0 0 426.473 -0.147 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000438065963 1071995448 /nfs/dbraw/zinc/99/54/48/1071995448.db2.gz JSDCBKDXVYIZRB-CXAGYDPISA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000438065969 1071995378 /nfs/dbraw/zinc/99/53/78/1071995378.db2.gz JSDCBKDXVYIZRB-DYVFJYSZSA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000438065971 1071995235 /nfs/dbraw/zinc/99/52/35/1071995235.db2.gz JSDCBKDXVYIZRB-GUYCJALGSA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000438065973 1071995513 /nfs/dbraw/zinc/99/55/13/1071995513.db2.gz JSDCBKDXVYIZRB-SUMWQHHRSA-N 0 0 432.477 -0.090 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000438069110 1071995296 /nfs/dbraw/zinc/99/52/96/1071995296.db2.gz ZWTBGFPMSJBMOE-UHFFFAOYSA-N 0 0 443.526 -0.021 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000438072490 1071994983 /nfs/dbraw/zinc/99/49/83/1071994983.db2.gz KYGADZWGTFSXAI-UHFFFAOYSA-N 0 0 433.465 -0.524 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CC1 ZINC000438085402 1071996075 /nfs/dbraw/zinc/99/60/75/1071996075.db2.gz NRTACFFENXPCEQ-UHFFFAOYSA-N 0 0 431.536 -0.294 20 0 IBADRN CS(=O)(=O)CCc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000438085828 1071995338 /nfs/dbraw/zinc/99/53/38/1071995338.db2.gz QBAYUTATJZARIM-UHFFFAOYSA-N 0 0 431.536 -0.294 20 0 IBADRN CS(=O)(=O)CCc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000438089121 1071995470 /nfs/dbraw/zinc/99/54/70/1071995470.db2.gz ODONNOOKJJRMEY-UHFFFAOYSA-N 0 0 436.534 -0.365 20 0 IBADRN CCc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000438092726 1071995483 /nfs/dbraw/zinc/99/54/83/1071995483.db2.gz AOGLBNHDLLHAKC-KBPBESRZSA-N 0 0 440.526 -0.524 20 0 IBADRN CCc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000438092728 1071995508 /nfs/dbraw/zinc/99/55/08/1071995508.db2.gz AOGLBNHDLLHAKC-OKILXGFUSA-N 0 0 440.526 -0.524 20 0 IBADRN CCc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000438092730 1071995278 /nfs/dbraw/zinc/99/52/78/1071995278.db2.gz AOGLBNHDLLHAKC-ZIAGYGMSSA-N 0 0 440.526 -0.524 20 0 IBADRN CCc1ncsc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000438097165 1071995413 /nfs/dbraw/zinc/99/54/13/1071995413.db2.gz LEIUUYURLSEALN-UHFFFAOYSA-N 0 0 430.556 -0.720 20 0 IBADRN CCc1ncsc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000438097300 1071995201 /nfs/dbraw/zinc/99/52/01/1071995201.db2.gz SOLUNHOQMFVFTI-UHFFFAOYSA-N 0 0 441.491 -0.131 20 0 IBADRN CCc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000438099310 1071995954 /nfs/dbraw/zinc/99/59/54/1071995954.db2.gz ZIVLQGAKLKTRPU-UHFFFAOYSA-N 0 0 436.450 -0.798 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CC1 ZINC000438106301 1071995893 /nfs/dbraw/zinc/99/58/93/1071995893.db2.gz FGVQCYKMMFWWQM-UHFFFAOYSA-N 0 0 438.550 -0.119 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1 ZINC000438117655 1071996665 /nfs/dbraw/zinc/99/66/65/1071996665.db2.gz WDSVDNKPGHVNNZ-UHFFFAOYSA-N 0 0 432.485 -0.109 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CC[C@@H](C(=O)N3CCCCC3)C1)C2 ZINC000438119333 1071996089 /nfs/dbraw/zinc/99/60/89/1071996089.db2.gz JKTQVSIDRPPWFB-CVEARBPZSA-N 0 0 447.540 -0.161 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)Nc3ccn(CC(=O)N(C)C)n3)cn2)C[C@H](C)O1 ZINC000438121763 1071996564 /nfs/dbraw/zinc/99/65/64/1071996564.db2.gz OGJJWPZYZWXCTR-KBPBESRZSA-N 0 0 432.485 0.448 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Nc3ccn(CC(=O)N(C)C)n3)cn2)C[C@H](C)O1 ZINC000438121768 1071996718 /nfs/dbraw/zinc/99/67/18/1071996718.db2.gz OGJJWPZYZWXCTR-OKILXGFUSA-N 0 0 432.485 0.448 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Nc3ccn(CC(=O)N(C)C)n3)cn2)C[C@@H](C)O1 ZINC000438121770 1071996686 /nfs/dbraw/zinc/99/66/86/1071996686.db2.gz OGJJWPZYZWXCTR-ZIAGYGMSSA-N 0 0 432.485 0.448 20 0 IBADRN O=C(N[C@H]1CC[C@@H](C(=O)N2CCCCC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000438130809 1071996482 /nfs/dbraw/zinc/99/64/82/1071996482.db2.gz HZCMZGXWEACKAB-MSOLQXFVSA-N 0 0 449.552 -0.120 20 0 IBADRN C[S@@](=O)C1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCC1 ZINC000438139053 1071996448 /nfs/dbraw/zinc/99/64/48/1071996448.db2.gz KWBVIIXDNOHYIM-HHHXNRCGSA-N 0 0 432.524 -0.304 20 0 IBADRN C[S@](=O)C1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCC1 ZINC000438139063 1071997040 /nfs/dbraw/zinc/99/70/40/1071997040.db2.gz KWBVIIXDNOHYIM-MHZLTWQESA-N 0 0 432.524 -0.304 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3([S@@](C)=O)CCC3)CC2)C[C@H](C)O1 ZINC000438140329 1071997074 /nfs/dbraw/zinc/99/70/74/1071997074.db2.gz HQBJJBAYEUAWJJ-CLTPODQCSA-N 0 0 436.600 -0.031 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3([S@@](C)=O)CCC3)CC2)C[C@@H](C)O1 ZINC000438140330 1071997010 /nfs/dbraw/zinc/99/70/10/1071997010.db2.gz HQBJJBAYEUAWJJ-LGRMXARXSA-N 0 0 436.600 -0.031 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3([S@](C)=O)CCC3)CC2)C[C@@H](C)O1 ZINC000438140331 1071997133 /nfs/dbraw/zinc/99/71/33/1071997133.db2.gz HQBJJBAYEUAWJJ-RHFKOARHSA-N 0 0 436.600 -0.031 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3([S@](C)=O)CCC3)CC2)C[C@H](C)O1 ZINC000438140332 1071997125 /nfs/dbraw/zinc/99/71/25/1071997125.db2.gz HQBJJBAYEUAWJJ-WDYLCZHCSA-N 0 0 436.600 -0.031 20 0 IBADRN O=C(N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000438140820 1071997056 /nfs/dbraw/zinc/99/70/56/1071997056.db2.gz CMLOUYBQQCANFQ-SJORKVTESA-N 0 0 443.570 -0.318 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CC[C@@H](C(=O)N3CCCC3)C1)C2 ZINC000438143266 1071997102 /nfs/dbraw/zinc/99/71/02/1071997102.db2.gz OTKBDYXASHVJKG-CABCVRRESA-N 0 0 433.513 -0.551 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000438150201 1071996955 /nfs/dbraw/zinc/99/69/55/1071996955.db2.gz DKGVXYMQDLTPLO-UHFFFAOYSA-N 0 0 427.527 -0.185 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000438153208 1071997804 /nfs/dbraw/zinc/99/78/04/1071997804.db2.gz JSPIVVYSPRTJGR-CVEARBPZSA-N 0 0 431.559 -0.462 20 0 IBADRN CCc1ccc(NC(=O)N2CCN(CC(=O)NCCOC)CC2)cc1S(N)(=O)=O ZINC000438153456 1071997733 /nfs/dbraw/zinc/99/77/33/1071997733.db2.gz OGXMHINRPSFTDU-UHFFFAOYSA-N 0 0 427.527 -0.192 20 0 IBADRN O=C(N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000438157074 1071996924 /nfs/dbraw/zinc/99/69/24/1071996924.db2.gz PUWYIGYQCSINCQ-SJORKVTESA-N 0 0 435.525 -0.510 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCC1(CO)COC1 ZINC000438206872 1071998990 /nfs/dbraw/zinc/99/89/90/1071998990.db2.gz YQANQJGZDCJUCI-UHFFFAOYSA-N 0 0 443.497 -0.436 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCC3(CO)COC3)CC2)cc1 ZINC000438208297 1071999013 /nfs/dbraw/zinc/99/90/13/1071999013.db2.gz MEENRRAHFWOBLE-UHFFFAOYSA-N 0 0 439.534 -0.267 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCC1(CO)COC1 ZINC000438212251 1071999023 /nfs/dbraw/zinc/99/90/23/1071999023.db2.gz SHMOMKSDKNDZPJ-UHFFFAOYSA-N 0 0 425.507 -0.575 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000438212836 1071998975 /nfs/dbraw/zinc/99/89/75/1071998975.db2.gz HQENKIZXYSPIQZ-KRWDZBQOSA-N 0 0 439.490 -0.807 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000438212839 1071998931 /nfs/dbraw/zinc/99/89/31/1071998931.db2.gz HQENKIZXYSPIQZ-QGZVFWFLSA-N 0 0 439.490 -0.807 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000438213885 1071998969 /nfs/dbraw/zinc/99/89/69/1071998969.db2.gz JPAJULCOWDBQCR-KRWDZBQOSA-N 0 0 439.490 -0.807 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000438213888 1071998911 /nfs/dbraw/zinc/99/89/11/1071998911.db2.gz JPAJULCOWDBQCR-QGZVFWFLSA-N 0 0 439.490 -0.807 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000438217186 1071999033 /nfs/dbraw/zinc/99/90/33/1071999033.db2.gz RHBOLFUISQREBB-CXAGYDPISA-N 0 0 439.490 -0.541 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000438217190 1071999572 /nfs/dbraw/zinc/99/95/72/1071999572.db2.gz RHBOLFUISQREBB-DYVFJYSZSA-N 0 0 439.490 -0.541 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000438217192 1071999688 /nfs/dbraw/zinc/99/96/88/1071999688.db2.gz RHBOLFUISQREBB-GUYCJALGSA-N 0 0 439.490 -0.541 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000438217195 1071999627 /nfs/dbraw/zinc/99/96/27/1071999627.db2.gz RHBOLFUISQREBB-SUMWQHHRSA-N 0 0 439.490 -0.541 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)Nc1cccc(Br)c1)NCC1(CO)COC1 ZINC000438219344 1071999675 /nfs/dbraw/zinc/99/96/75/1071999675.db2.gz XPDIRXYWSBASLK-UHFFFAOYSA-N 0 0 435.296 -0.073 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC([C@H](O)C(=O)OC)CC2)cc1 ZINC000438219690 1071999642 /nfs/dbraw/zinc/99/96/42/1071999642.db2.gz MXVQHMMMNLVSKP-KRWDZBQOSA-N 0 0 442.490 -0.086 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC([C@@H](O)C(=O)OC)CC2)cc1 ZINC000438219692 1071999634 /nfs/dbraw/zinc/99/96/34/1071999634.db2.gz MXVQHMMMNLVSKP-QGZVFWFLSA-N 0 0 442.490 -0.086 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC([C@@H](O)C(=O)OC)CC2)c1 ZINC000438219751 1071999646 /nfs/dbraw/zinc/99/96/46/1071999646.db2.gz NHYQNJVPRMCADZ-GOSISDBHSA-N 0 0 443.522 -0.349 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC([C@H](O)C(=O)OC)CC2)c1 ZINC000438219752 1071999640 /nfs/dbraw/zinc/99/96/40/1071999640.db2.gz NHYQNJVPRMCADZ-SFHVURJKSA-N 0 0 443.522 -0.349 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000438220315 1071999693 /nfs/dbraw/zinc/99/96/93/1071999693.db2.gz AUTZEHDQJGALSG-ABAIWWIYSA-N 0 0 427.479 -0.775 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000438220316 1071999494 /nfs/dbraw/zinc/99/94/94/1071999494.db2.gz AUTZEHDQJGALSG-IAQYHMDHSA-N 0 0 427.479 -0.775 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000438220317 1071999556 /nfs/dbraw/zinc/99/95/56/1071999556.db2.gz AUTZEHDQJGALSG-NHYWBVRUSA-N 0 0 427.479 -0.775 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000438220318 1071999605 /nfs/dbraw/zinc/99/96/05/1071999605.db2.gz AUTZEHDQJGALSG-XHDPSFHLSA-N 0 0 427.479 -0.775 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000438245341 1072001239 /nfs/dbraw/zinc/00/12/39/1072001239.db2.gz CXDTZRPZFOGBKX-UHFFFAOYSA-N 0 0 449.537 0.247 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000438246471 1072001186 /nfs/dbraw/zinc/00/11/86/1072001186.db2.gz ATVSGNCIBGSONR-KRWDZBQOSA-N 0 0 435.481 -0.347 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000438246472 1072001269 /nfs/dbraw/zinc/00/12/69/1072001269.db2.gz ATVSGNCIBGSONR-QGZVFWFLSA-N 0 0 435.481 -0.347 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)cc1S(N)(=O)=O ZINC000438246827 1072001328 /nfs/dbraw/zinc/00/13/28/1072001328.db2.gz MZBOOINPKIHNER-HNNXBMFYSA-N 0 0 427.479 -0.393 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)cc1S(N)(=O)=O ZINC000438246833 1072001224 /nfs/dbraw/zinc/00/12/24/1072001224.db2.gz MZBOOINPKIHNER-OAHLLOKOSA-N 0 0 427.479 -0.393 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000438248100 1072001544 /nfs/dbraw/zinc/00/15/44/1072001544.db2.gz FVCONYGXYSJFIF-UHFFFAOYSA-N 0 0 441.492 0.056 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000438248993 1072001500 /nfs/dbraw/zinc/00/15/00/1072001500.db2.gz UTNAFSDOSAYXOC-INIZCTEOSA-N 0 0 439.490 -0.063 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000438248994 1072001528 /nfs/dbraw/zinc/00/15/28/1072001528.db2.gz UTNAFSDOSAYXOC-MRXNPFEDSA-N 0 0 439.490 -0.063 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC([C@H](O)C(=O)OC)CC1)CC2 ZINC000438250891 1072001634 /nfs/dbraw/zinc/00/16/34/1072001634.db2.gz UORJSAKCWVBMFZ-INIZCTEOSA-N 0 0 439.490 -0.744 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC([C@@H](O)C(=O)OC)CC1)CC2 ZINC000438250898 1072001561 /nfs/dbraw/zinc/00/15/61/1072001561.db2.gz UORJSAKCWVBMFZ-MRXNPFEDSA-N 0 0 439.490 -0.744 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H](C)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000438251443 1072001512 /nfs/dbraw/zinc/00/15/12/1072001512.db2.gz AGCLRSIKFJMUEE-INIZCTEOSA-N 0 0 440.566 -0.053 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](C)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000438251445 1072001597 /nfs/dbraw/zinc/00/15/97/1072001597.db2.gz AGCLRSIKFJMUEE-MRXNPFEDSA-N 0 0 440.566 -0.053 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000438252137 1072001613 /nfs/dbraw/zinc/00/16/13/1072001613.db2.gz BPANVXATBNFVME-HNNXBMFYSA-N 0 0 445.469 -0.213 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000438252138 1072001677 /nfs/dbraw/zinc/00/16/77/1072001677.db2.gz BPANVXATBNFVME-OAHLLOKOSA-N 0 0 445.469 -0.213 20 0 IBADRN O=C(NCC1(CO)COC1)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000438253354 1072001484 /nfs/dbraw/zinc/00/14/84/1072001484.db2.gz APCLHFBUSIESHK-UHFFFAOYSA-N 0 0 437.518 -0.125 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)ccc1C ZINC000438254617 1072001587 /nfs/dbraw/zinc/00/15/87/1072001587.db2.gz ROWBHPYKKNMXIZ-HNNXBMFYSA-N 0 0 427.479 -0.386 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)ccc1C ZINC000438254629 1072001648 /nfs/dbraw/zinc/00/16/48/1072001648.db2.gz ROWBHPYKKNMXIZ-OAHLLOKOSA-N 0 0 427.479 -0.386 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000438254818 1072002099 /nfs/dbraw/zinc/00/20/99/1072002099.db2.gz ZZPCLZCNJWFORD-KRWDZBQOSA-N 0 0 433.461 -0.130 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000438254819 1072001932 /nfs/dbraw/zinc/00/19/32/1072001932.db2.gz ZZPCLZCNJWFORD-QGZVFWFLSA-N 0 0 433.461 -0.130 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000438254991 1072001985 /nfs/dbraw/zinc/00/19/85/1072001985.db2.gz GOLKUPQDOGPPKN-HNNXBMFYSA-N 0 0 427.479 -0.352 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000438254994 1072001952 /nfs/dbraw/zinc/00/19/52/1072001952.db2.gz GOLKUPQDOGPPKN-OAHLLOKOSA-N 0 0 427.479 -0.352 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC1(CO)COC1 ZINC000438255268 1072001716 /nfs/dbraw/zinc/00/17/16/1072001716.db2.gz GQIMIZORYQSCLR-UHFFFAOYSA-N 0 0 425.507 -0.269 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000438255276 1072001734 /nfs/dbraw/zinc/00/17/34/1072001734.db2.gz NRENQRBHFSAHLY-INIZCTEOSA-N 0 0 441.506 -0.044 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000438255284 1072001922 /nfs/dbraw/zinc/00/19/22/1072001922.db2.gz NRENQRBHFSAHLY-MRXNPFEDSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H](O)Cc1ccccc1 ZINC000438255876 1073310742 /nfs/dbraw/zinc/31/07/42/1073310742.db2.gz UEOXHSJHLCGJHP-DOTOQJQBSA-N 0 0 429.477 -0.211 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H](O)Cc1ccccc1 ZINC000438255880 1073353117 /nfs/dbraw/zinc/35/31/17/1073353117.db2.gz UEOXHSJHLCGJHP-NVXWUHKLSA-N 0 0 429.477 -0.211 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H](O)Cc1ccccc1 ZINC000438255882 1073310654 /nfs/dbraw/zinc/31/06/54/1073310654.db2.gz UEOXHSJHLCGJHP-RDJZCZTQSA-N 0 0 429.477 -0.211 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H](O)Cc1ccccc1 ZINC000438255885 1073353142 /nfs/dbraw/zinc/35/31/42/1073353142.db2.gz UEOXHSJHLCGJHP-WBVHZDCISA-N 0 0 429.477 -0.211 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000438256147 1072000006 /nfs/dbraw/zinc/00/00/06/1072000006.db2.gz FZNJBCMIAYBOAM-HNNXBMFYSA-N 0 0 427.479 -0.352 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000438256150 1072000264 /nfs/dbraw/zinc/00/02/64/1072000264.db2.gz FZNJBCMIAYBOAM-OAHLLOKOSA-N 0 0 427.479 -0.352 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000438256672 1072002162 /nfs/dbraw/zinc/00/21/62/1072002162.db2.gz JWKIYZDYKKUDTO-UHFFFAOYSA-N 0 0 439.476 0.346 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)cc1C(=O)OC ZINC000438256886 1072000569 /nfs/dbraw/zinc/00/05/69/1072000569.db2.gz PWQLLQHBBADQOE-HNNXBMFYSA-N 0 0 436.417 -0.029 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)cc1C(=O)OC ZINC000438256893 1072000622 /nfs/dbraw/zinc/00/06/22/1072000622.db2.gz PWQLLQHBBADQOE-OAHLLOKOSA-N 0 0 436.417 -0.029 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCOc2ncccc2C(F)(F)F)n1 ZINC000438257378 1072000823 /nfs/dbraw/zinc/00/08/23/1072000823.db2.gz INCUZIHMTSJVTK-UHFFFAOYSA-N 0 0 428.371 0.519 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H](O)Cc1ccccc1 ZINC000438258063 1072000836 /nfs/dbraw/zinc/00/08/36/1072000836.db2.gz MPCABQQBNOBRHM-BLLLJJGKSA-N 0 0 425.445 -0.321 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H](O)Cc1ccccc1 ZINC000438258065 1072000527 /nfs/dbraw/zinc/00/05/27/1072000527.db2.gz MPCABQQBNOBRHM-LRDDRELGSA-N 0 0 425.445 -0.321 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H](O)Cc1ccccc1 ZINC000438258067 1072000590 /nfs/dbraw/zinc/00/05/90/1072000590.db2.gz MPCABQQBNOBRHM-MLGOLLRUSA-N 0 0 425.445 -0.321 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H](O)Cc1ccccc1 ZINC000438258069 1072000844 /nfs/dbraw/zinc/00/08/44/1072000844.db2.gz MPCABQQBNOBRHM-WBMJQRKESA-N 0 0 425.445 -0.321 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1 ZINC000438259900 1072000751 /nfs/dbraw/zinc/00/07/51/1072000751.db2.gz PYWAMCJMYIVRLB-INIZCTEOSA-N 0 0 432.437 -0.310 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1 ZINC000438259904 1072000794 /nfs/dbraw/zinc/00/07/94/1072000794.db2.gz PYWAMCJMYIVRLB-MRXNPFEDSA-N 0 0 432.437 -0.310 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000438260508 1072000687 /nfs/dbraw/zinc/00/06/87/1072000687.db2.gz RDUKLZJOOFMVCU-IBGZPJMESA-N 0 0 447.488 -0.201 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000438260509 1072000606 /nfs/dbraw/zinc/00/06/06/1072000606.db2.gz RDUKLZJOOFMVCU-LJQANCHMSA-N 0 0 447.488 -0.201 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000438260658 1072000780 /nfs/dbraw/zinc/00/07/80/1072000780.db2.gz SDMWNUAQMMMQSY-KRWDZBQOSA-N 0 0 433.461 -0.130 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000438260660 1072000764 /nfs/dbraw/zinc/00/07/64/1072000764.db2.gz SDMWNUAQMMMQSY-QGZVFWFLSA-N 0 0 433.461 -0.130 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)cc1 ZINC000438261590 1072000669 /nfs/dbraw/zinc/00/06/69/1072000669.db2.gz UCEGUBPYBCMEPQ-GOSISDBHSA-N 0 0 449.460 -0.771 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)cc1 ZINC000438261591 1072001151 /nfs/dbraw/zinc/00/11/51/1072001151.db2.gz UCEGUBPYBCMEPQ-SFHVURJKSA-N 0 0 449.460 -0.771 20 0 IBADRN Cc1oc(-c2cnn(C)c2)nc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000438262067 1072000544 /nfs/dbraw/zinc/00/05/44/1072000544.db2.gz UTWISOHZHSAVRX-UHFFFAOYSA-N 0 0 437.526 -0.366 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000438262268 1072001203 /nfs/dbraw/zinc/00/12/03/1072001203.db2.gz SIRNHYFOJXCZQE-KRWDZBQOSA-N 0 0 433.461 -0.130 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000438262271 1072001287 /nfs/dbraw/zinc/00/12/87/1072001287.db2.gz SIRNHYFOJXCZQE-QGZVFWFLSA-N 0 0 433.461 -0.130 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000438262437 1072001018 /nfs/dbraw/zinc/00/10/18/1072001018.db2.gz VSJYFUOUPKYFDC-INIZCTEOSA-N 0 0 448.520 -0.037 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000438262440 1072001256 /nfs/dbraw/zinc/00/12/56/1072001256.db2.gz VSJYFUOUPKYFDC-MRXNPFEDSA-N 0 0 448.520 -0.037 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000438262534 1072001134 /nfs/dbraw/zinc/00/11/34/1072001134.db2.gz VZOLGJWMIQVGGQ-INIZCTEOSA-N 0 0 439.490 -0.063 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000438262536 1072001032 /nfs/dbraw/zinc/00/10/32/1072001032.db2.gz VZOLGJWMIQVGGQ-MRXNPFEDSA-N 0 0 439.490 -0.063 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000438262554 1072001086 /nfs/dbraw/zinc/00/10/86/1072001086.db2.gz SFVCGOWBQWQDBU-HNNXBMFYSA-N 0 0 427.479 -0.207 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000438262558 1072001339 /nfs/dbraw/zinc/00/13/39/1072001339.db2.gz SFVCGOWBQWQDBU-OAHLLOKOSA-N 0 0 427.479 -0.207 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000438264766 1072003015 /nfs/dbraw/zinc/00/30/15/1072003015.db2.gz AGWDJOGCHWYDII-GOSISDBHSA-N 0 0 446.504 -0.215 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000438264771 1072002976 /nfs/dbraw/zinc/00/29/76/1072002976.db2.gz AGWDJOGCHWYDII-SFHVURJKSA-N 0 0 446.504 -0.215 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)cc1 ZINC000438264910 1072002600 /nfs/dbraw/zinc/00/26/00/1072002600.db2.gz ZCMCPDPLPCIPHM-INIZCTEOSA-N 0 0 435.433 -0.700 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)cc1 ZINC000438264914 1072003060 /nfs/dbraw/zinc/00/30/60/1072003060.db2.gz ZCMCPDPLPCIPHM-MRXNPFEDSA-N 0 0 435.433 -0.700 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)c1 ZINC000438266091 1072002970 /nfs/dbraw/zinc/00/29/70/1072002970.db2.gz KDGVTXQKVLFCHA-HNNXBMFYSA-N 0 0 427.479 -0.386 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)c1 ZINC000438266092 1072002850 /nfs/dbraw/zinc/00/28/50/1072002850.db2.gz KDGVTXQKVLFCHA-OAHLLOKOSA-N 0 0 427.479 -0.386 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000438266706 1072003033 /nfs/dbraw/zinc/00/30/33/1072003033.db2.gz CPJXEOIDLTZLFO-HNNXBMFYSA-N 0 0 427.479 -0.338 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000438266707 1072002896 /nfs/dbraw/zinc/00/28/96/1072002896.db2.gz CPJXEOIDLTZLFO-OAHLLOKOSA-N 0 0 427.479 -0.338 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)NC1(CO)Cc2ccccc2C1 ZINC000438268006 1072002047 /nfs/dbraw/zinc/00/20/47/1072002047.db2.gz CRWKWKYNQKOYMA-UHFFFAOYSA-N 0 0 438.550 -0.143 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000438268115 1072002084 /nfs/dbraw/zinc/00/20/84/1072002084.db2.gz HXNUPHGWGIKKLR-INIZCTEOSA-N 0 0 432.433 -0.551 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000438268124 1072002065 /nfs/dbraw/zinc/00/20/65/1072002065.db2.gz HXNUPHGWGIKKLR-MRXNPFEDSA-N 0 0 432.433 -0.551 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NCC2(CO)COC2)c1 ZINC000438270080 1072001907 /nfs/dbraw/zinc/00/19/07/1072001907.db2.gz GVPJFUQFJRABOU-UHFFFAOYSA-N 0 0 442.538 -0.153 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)cc1 ZINC000438270190 1072002178 /nfs/dbraw/zinc/00/21/78/1072002178.db2.gz HJAVZPKAYAJZNX-KRWDZBQOSA-N 0 0 449.460 -0.310 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)cc1 ZINC000438270191 1072001977 /nfs/dbraw/zinc/00/19/77/1072001977.db2.gz HJAVZPKAYAJZNX-QGZVFWFLSA-N 0 0 449.460 -0.310 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CC1 ZINC000438270580 1072002017 /nfs/dbraw/zinc/00/20/17/1072002017.db2.gz MNDRSRVDUVCKEW-INIZCTEOSA-N 0 0 426.491 -0.015 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CC1 ZINC000438270583 1072001965 /nfs/dbraw/zinc/00/19/65/1072001965.db2.gz MNDRSRVDUVCKEW-MRXNPFEDSA-N 0 0 426.491 -0.015 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)c1C ZINC000438270649 1072002150 /nfs/dbraw/zinc/00/21/50/1072002150.db2.gz NAIJHGLCJQPXSO-HNNXBMFYSA-N 0 0 427.479 -0.386 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)c1C ZINC000438270651 1072001999 /nfs/dbraw/zinc/00/19/99/1072001999.db2.gz NAIJHGLCJQPXSO-OAHLLOKOSA-N 0 0 427.479 -0.386 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)cc1 ZINC000438271462 1072002440 /nfs/dbraw/zinc/00/24/40/1072002440.db2.gz JRAYTPYBYRVCAV-INIZCTEOSA-N 0 0 442.490 -0.182 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)cc1 ZINC000438271468 1072002331 /nfs/dbraw/zinc/00/23/31/1072002331.db2.gz JRAYTPYBYRVCAV-MRXNPFEDSA-N 0 0 442.490 -0.182 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000438274728 1072002394 /nfs/dbraw/zinc/00/23/94/1072002394.db2.gz UAWCDCOXGDDQQY-HNNXBMFYSA-N 0 0 427.479 -0.553 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000438274731 1072002555 /nfs/dbraw/zinc/00/25/55/1072002555.db2.gz UAWCDCOXGDDQQY-OAHLLOKOSA-N 0 0 427.479 -0.553 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@H](O)Cc1ccccc1 ZINC000438276520 1072002569 /nfs/dbraw/zinc/00/25/69/1072002569.db2.gz WMLASXGIJBTAFN-CRAIPNDOSA-N 0 0 429.477 -0.211 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@H](O)Cc1ccccc1 ZINC000438276524 1072002450 /nfs/dbraw/zinc/00/24/50/1072002450.db2.gz WMLASXGIJBTAFN-MAUKXSAKSA-N 0 0 429.477 -0.211 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@@H](O)Cc1ccccc1 ZINC000438276527 1072002586 /nfs/dbraw/zinc/00/25/86/1072002586.db2.gz WMLASXGIJBTAFN-QAPCUYQASA-N 0 0 429.477 -0.211 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@@H](O)Cc1ccccc1 ZINC000438276531 1072002359 /nfs/dbraw/zinc/00/23/59/1072002359.db2.gz WMLASXGIJBTAFN-YJBOKZPZSA-N 0 0 429.477 -0.211 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1 ZINC000438277532 1072002527 /nfs/dbraw/zinc/00/25/27/1072002527.db2.gz SPMCOYUWHGGLRQ-GFCCVEGCSA-N 0 0 430.255 -0.141 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1 ZINC000438277533 1072002543 /nfs/dbraw/zinc/00/25/43/1072002543.db2.gz SPMCOYUWHGGLRQ-LBPRGKRZSA-N 0 0 430.255 -0.141 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000438289036 1072003053 /nfs/dbraw/zinc/00/30/53/1072003053.db2.gz JBZXCWORVRVRPU-UHFFFAOYSA-N 0 0 445.517 -0.012 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2cnc3cnccc3c2=O)CC1 ZINC000438302419 1072003492 /nfs/dbraw/zinc/00/34/92/1072003492.db2.gz IBYNIXNNXMDTEK-UHFFFAOYSA-N 0 0 432.462 -0.071 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)Nc1ccn(CCN2CCOCC2)n1 ZINC000438306631 1072003890 /nfs/dbraw/zinc/00/38/90/1072003890.db2.gz QDOVAIWBIGVRSB-UHFFFAOYSA-N 0 0 433.557 0.281 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000438309542 1072004044 /nfs/dbraw/zinc/00/40/44/1072004044.db2.gz YTQGNJHJWAQJRZ-UHFFFAOYSA-N 0 0 435.529 -0.240 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)Nc3ccn(CC(N)=O)n3)CC2)cc1 ZINC000438309652 1073342264 /nfs/dbraw/zinc/34/22/64/1073342264.db2.gz VRAJBCZHLGMSNM-HNNXBMFYSA-N 0 0 434.522 0.010 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)Nc3ccn(CC(N)=O)n3)CC2)cc1 ZINC000438309653 1073342206 /nfs/dbraw/zinc/34/22/06/1073342206.db2.gz VRAJBCZHLGMSNM-OAHLLOKOSA-N 0 0 434.522 0.010 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000438310476 1072003952 /nfs/dbraw/zinc/00/39/52/1072003952.db2.gz BVWVNCKOXZTWMA-UHFFFAOYSA-N 0 0 442.480 -0.410 20 0 IBADRN C[C@@H](Nc1ccn(CC(N)=O)n1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000438310884 1072004470 /nfs/dbraw/zinc/00/44/70/1072004470.db2.gz FIHMXDAXEPGVNP-CYBMUJFWSA-N 0 0 436.494 -0.172 20 0 IBADRN C[C@H](Nc1ccn(CC(N)=O)n1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000438310885 1072004406 /nfs/dbraw/zinc/00/44/06/1072004406.db2.gz FIHMXDAXEPGVNP-ZDUSSCGKSA-N 0 0 436.494 -0.172 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)n1)N1CCOCC1 ZINC000438312007 1072004486 /nfs/dbraw/zinc/00/44/86/1072004486.db2.gz NRUPDKYBYXJJBC-UHFFFAOYSA-N 0 0 447.540 -0.192 20 0 IBADRN C[C@@H]1CCN(c2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)ccn2)C1 ZINC000438314265 1072004559 /nfs/dbraw/zinc/00/45/59/1072004559.db2.gz XWKGMSUCLNBLEH-AEFFLSMTSA-N 0 0 449.577 -0.011 20 0 IBADRN C[C@H]1CCN(c2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)ccn2)C1 ZINC000438314267 1072004333 /nfs/dbraw/zinc/00/43/33/1072004333.db2.gz XWKGMSUCLNBLEH-FUHWJXTLSA-N 0 0 449.577 -0.011 20 0 IBADRN C[C@@H]1CCN(c2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)ccn2)C1 ZINC000438314269 1072004438 /nfs/dbraw/zinc/00/44/38/1072004438.db2.gz XWKGMSUCLNBLEH-SJLPKXTDSA-N 0 0 449.577 -0.011 20 0 IBADRN C[C@H]1CCN(c2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)ccn2)C1 ZINC000438314271 1072004340 /nfs/dbraw/zinc/00/43/40/1072004340.db2.gz XWKGMSUCLNBLEH-WMZOPIPTSA-N 0 0 449.577 -0.011 20 0 IBADRN C[C@@H](Nc1ccn(CC(N)=O)n1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000438315400 1072004529 /nfs/dbraw/zinc/00/45/29/1072004529.db2.gz CCJCNGBDWXPCTM-CYBMUJFWSA-N 0 0 436.494 -0.172 20 0 IBADRN C[C@H](Nc1ccn(CC(N)=O)n1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000438315401 1072004350 /nfs/dbraw/zinc/00/43/50/1072004350.db2.gz CCJCNGBDWXPCTM-ZDUSSCGKSA-N 0 0 436.494 -0.172 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000438317116 1072004871 /nfs/dbraw/zinc/00/48/71/1072004871.db2.gz QLOVOSKYRITSQG-UHFFFAOYSA-N 0 0 429.481 -0.248 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)CC1 ZINC000438321071 1072004943 /nfs/dbraw/zinc/00/49/43/1072004943.db2.gz DNJLBZHTCIOYER-CVEARBPZSA-N 0 0 433.509 -0.220 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)Nc1ccn(CCN2CCOCC2)n1 ZINC000438321838 1072005315 /nfs/dbraw/zinc/00/53/15/1072005315.db2.gz MVZSGPUDUHGVPU-UHFFFAOYSA-N 0 0 436.538 0.456 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)CCO2)c1=O ZINC000438323762 1072005350 /nfs/dbraw/zinc/00/53/50/1072005350.db2.gz DCZPZVNWLKFXSH-IKGGRYGDSA-N 0 0 432.525 0.394 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)CCO2)c1=O ZINC000438323768 1072005453 /nfs/dbraw/zinc/00/54/53/1072005453.db2.gz DCZPZVNWLKFXSH-IXDOHACOSA-N 0 0 432.525 0.394 20 0 IBADRN CCN(C1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1)S(C)(=O)=O ZINC000438324698 1072005433 /nfs/dbraw/zinc/00/54/33/1072005433.db2.gz FOQLSXQPCRQUIU-UHFFFAOYSA-N 0 0 428.559 0.493 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000438326340 1072005372 /nfs/dbraw/zinc/00/53/72/1072005372.db2.gz IZZYVZYPMNABPB-UHFFFAOYSA-N 0 0 428.497 0.063 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000438326826 1072005808 /nfs/dbraw/zinc/00/58/08/1072005808.db2.gz KVOJULSPYHFCMI-UHFFFAOYSA-N 0 0 428.559 0.493 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)Nc1ccn(CCN2CCOCC2)n1 ZINC000438327548 1073327788 /nfs/dbraw/zinc/32/77/88/1073327788.db2.gz YCIZGMBCTYMCDQ-HNNXBMFYSA-N 0 0 433.465 0.505 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)OCO2)Nc1ccn(CCN2CCOCC2)n1 ZINC000438327549 1072005868 /nfs/dbraw/zinc/00/58/68/1072005868.db2.gz YCIZGMBCTYMCDQ-OAHLLOKOSA-N 0 0 433.465 0.505 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)s1 ZINC000438327592 1072005840 /nfs/dbraw/zinc/00/58/40/1072005840.db2.gz XROVSAHNRLNQJV-UHFFFAOYSA-N 0 0 444.495 0.311 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)s1 ZINC000438328720 1073318018 /nfs/dbraw/zinc/31/80/18/1073318018.db2.gz OBCGVCBPYZWFNR-UHFFFAOYSA-N 0 0 442.523 0.033 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000438329876 1072004424 /nfs/dbraw/zinc/00/44/24/1072004424.db2.gz SCGUBNXPQGVQEL-UHFFFAOYSA-N 0 0 442.480 -0.105 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2=O)n1 ZINC000438330873 1073354833 /nfs/dbraw/zinc/35/48/33/1073354833.db2.gz MXDPJJXQLQRVFU-AWEZNQCLSA-N 0 0 430.469 -0.208 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2=O)n1 ZINC000438330874 1072004807 /nfs/dbraw/zinc/00/48/07/1072004807.db2.gz MXDPJJXQLQRVFU-CQSZACIVSA-N 0 0 430.469 -0.208 20 0 IBADRN CCc1nsc(N2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)n1 ZINC000438331352 1072004794 /nfs/dbraw/zinc/00/47/94/1072004794.db2.gz XMVJNNXKEHMXIX-UHFFFAOYSA-N 0 0 434.526 0.510 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)Nc1ccn(CCN2CCOCC2)n1 ZINC000438331572 1073326811 /nfs/dbraw/zinc/32/68/11/1073326811.db2.gz OJEABKQLYOCXHO-INIZCTEOSA-N 0 0 444.558 -0.175 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)Nc1ccn(CCN2CCOCC2)n1 ZINC000438331574 1072004843 /nfs/dbraw/zinc/00/48/43/1072004843.db2.gz OJEABKQLYOCXHO-MRXNPFEDSA-N 0 0 444.558 -0.175 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2=O)n(C)n1 ZINC000438331683 1072004919 /nfs/dbraw/zinc/00/49/19/1072004919.db2.gz OZGOXPFDXXRMCQ-AWEZNQCLSA-N 0 0 430.469 -0.289 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2=O)n(C)n1 ZINC000438331684 1073321258 /nfs/dbraw/zinc/32/12/58/1073321258.db2.gz OZGOXPFDXXRMCQ-CQSZACIVSA-N 0 0 430.469 -0.289 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1)N1CCCCC1 ZINC000438332246 1072005025 /nfs/dbraw/zinc/00/50/25/1072005025.db2.gz CYACHOWOKIOFSL-UHFFFAOYSA-N 0 0 433.557 0.377 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCC[C@H]2C(=O)N2CCSCC2)n1)N1CCOCC1 ZINC000438334020 1073322373 /nfs/dbraw/zinc/32/23/73/1073322373.db2.gz XQVRKFLGJTXSDV-HNNXBMFYSA-N 0 0 436.538 0.314 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)n1)N1CCOCC1 ZINC000438334023 1073322441 /nfs/dbraw/zinc/32/24/41/1073322441.db2.gz XQVRKFLGJTXSDV-OAHLLOKOSA-N 0 0 436.538 0.314 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)nc1 ZINC000438334960 1072005746 /nfs/dbraw/zinc/00/57/46/1072005746.db2.gz BKYUSPFYLIXTCW-UHFFFAOYSA-N 0 0 428.497 0.063 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC000438336241 1072005888 /nfs/dbraw/zinc/00/58/88/1072005888.db2.gz KHBVFSZHGMDZQC-UHFFFAOYSA-N 0 0 426.455 0.199 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)n1 ZINC000438337432 1073326094 /nfs/dbraw/zinc/32/60/94/1073326094.db2.gz GLCUWODSPFPJGX-UHFFFAOYSA-N 0 0 431.478 0.475 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000438338769 1072006221 /nfs/dbraw/zinc/00/62/21/1072006221.db2.gz LCCHHGDVBBIZOO-UHFFFAOYSA-N 0 0 425.471 -0.236 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2ccn(Cc3ccncc3)n2)CC1 ZINC000438339170 1072006414 /nfs/dbraw/zinc/00/64/14/1072006414.db2.gz RXIHWMRQOQEKSQ-UHFFFAOYSA-N 0 0 425.449 0.152 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000438339234 1072006363 /nfs/dbraw/zinc/00/63/63/1072006363.db2.gz MQRCZPWQDOGLQU-UHFFFAOYSA-N 0 0 437.478 0.399 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)n1 ZINC000438339470 1072006423 /nfs/dbraw/zinc/00/64/23/1072006423.db2.gz HZONMMCVKQNRLZ-UHFFFAOYSA-N 0 0 449.489 0.450 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)NCC(=O)NCCN3CCOCC3)CC2=O)c1 ZINC000438339959 1072006248 /nfs/dbraw/zinc/00/62/48/1072006248.db2.gz GCZLHWWUSZQILN-HNNXBMFYSA-N 0 0 434.493 -0.379 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)NCC(=O)NCCN3CCOCC3)CC2=O)c1 ZINC000438339963 1072006408 /nfs/dbraw/zinc/00/64/08/1072006408.db2.gz GCZLHWWUSZQILN-OAHLLOKOSA-N 0 0 434.493 -0.379 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)n1 ZINC000438340968 1072006282 /nfs/dbraw/zinc/00/62/82/1072006282.db2.gz SBWYRECFXYMQJN-UHFFFAOYSA-N 0 0 428.515 0.326 20 0 IBADRN C[C@H](C(=O)NCC(=O)NCCN1CCOCC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438342224 1072006780 /nfs/dbraw/zinc/00/67/80/1072006780.db2.gz MMTMXYGMLTXLDP-HNNXBMFYSA-N 0 0 426.539 -0.395 20 0 IBADRN C[C@@H](C(=O)NCC(=O)NCCN1CCOCC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438342236 1072006796 /nfs/dbraw/zinc/00/67/96/1072006796.db2.gz MMTMXYGMLTXLDP-OAHLLOKOSA-N 0 0 426.539 -0.395 20 0 IBADRN O=C(Nc1ccn(Cc2ccncc2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000438343192 1073335754 /nfs/dbraw/zinc/33/57/54/1073335754.db2.gz RHHZQYUGEVYSPD-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)n1 ZINC000438345893 1072006712 /nfs/dbraw/zinc/00/67/12/1072006712.db2.gz OAAFVFKGKLAZFB-UHFFFAOYSA-N 0 0 447.477 0.471 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)n1 ZINC000438347015 1072006816 /nfs/dbraw/zinc/00/68/16/1072006816.db2.gz RDPNYAWKXJHSQF-UHFFFAOYSA-N 0 0 443.507 0.460 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)Nc2ccn(CC(=O)OC)n2)c1 ZINC000438347256 1072006982 /nfs/dbraw/zinc/00/69/82/1072006982.db2.gz FWCUXKFYOCFZNR-UHFFFAOYSA-N 0 0 425.467 0.303 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)Nc2ccn(CC(=O)OC)n2)cc1 ZINC000438348055 1073333441 /nfs/dbraw/zinc/33/34/41/1073333441.db2.gz ITQLNMAVQDNGIE-UHFFFAOYSA-N 0 0 425.467 0.303 20 0 IBADRN CN(CCNC(=O)c1cnc2c(c1)NC(=O)CO2)C(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000438348128 1072006686 /nfs/dbraw/zinc/00/66/86/1072006686.db2.gz JMPFHHPFHMQMQM-UHFFFAOYSA-N 0 0 426.389 -0.360 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)Nc1ccn(CCN2CCOCC2)n1 ZINC000438349662 1072006896 /nfs/dbraw/zinc/00/68/96/1072006896.db2.gz PCLYDHYIPVADAY-UHFFFAOYSA-N 0 0 432.572 -0.285 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)Nc2ccn(CC(=O)OC)n2)c1 ZINC000438350205 1072005793 /nfs/dbraw/zinc/00/57/93/1072005793.db2.gz FKDOJLGQICDVQR-LLVKDONJSA-N 0 0 438.466 0.113 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)Nc2ccn(CC(=O)OC)n2)c1 ZINC000438350206 1073317873 /nfs/dbraw/zinc/31/78/73/1073317873.db2.gz FKDOJLGQICDVQR-NSHDSACASA-N 0 0 438.466 0.113 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000438350944 1072005900 /nfs/dbraw/zinc/00/59/00/1072005900.db2.gz ZDRMQQLMTCLCCD-UHFFFAOYSA-N 0 0 440.526 -0.259 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)n1 ZINC000438351605 1072005732 /nfs/dbraw/zinc/00/57/32/1072005732.db2.gz FMWDNSZCOLVRNR-UHFFFAOYSA-N 0 0 425.467 -0.009 20 0 IBADRN COC[C@H](CNC(=O)c1cnc2ccccn2c1=O)NC(=O)c1cnc2ccccn2c1=O ZINC000438352247 1072008319 /nfs/dbraw/zinc/00/83/19/1072008319.db2.gz KBQZUDZUNPLNGG-AWEZNQCLSA-N 0 0 448.439 -0.123 20 0 IBADRN COC[C@@H](CNC(=O)c1cnc2ccccn2c1=O)NC(=O)c1cnc2ccccn2c1=O ZINC000438352251 1072008296 /nfs/dbraw/zinc/00/82/96/1072008296.db2.gz KBQZUDZUNPLNGG-CQSZACIVSA-N 0 0 448.439 -0.123 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000438352616 1072005909 /nfs/dbraw/zinc/00/59/09/1072005909.db2.gz BIUWRTAVESCPPC-UHFFFAOYSA-N 0 0 430.469 0.037 20 0 IBADRN O=C(Nc1ccn(Cc2ccncc2)n1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000438354030 1072008313 /nfs/dbraw/zinc/00/83/13/1072008313.db2.gz CIDWKWCAPFQAFR-UHFFFAOYSA-N 0 0 449.537 0.489 20 0 IBADRN CN(CCNC(=O)Cc1ccc(-n2cnnn2)cc1)C(=O)Cc1ccc(-n2cnnn2)cc1 ZINC000438354754 1072008238 /nfs/dbraw/zinc/00/82/38/1072008238.db2.gz LMHCJABSKKKMOX-UHFFFAOYSA-N 0 0 446.475 -0.002 20 0 IBADRN CN(CCNC(=O)Cn1nnc2ccccc2c1=O)C(=O)Cn1nnc2ccccc2c1=O ZINC000438355372 1072008251 /nfs/dbraw/zinc/00/82/51/1072008251.db2.gz MYTHJOKPLCWBBS-UHFFFAOYSA-N 0 0 448.443 -0.829 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)Nc2ccn(CC(=O)OC)n2)CC1 ZINC000438355676 1073318286 /nfs/dbraw/zinc/31/82/86/1073318286.db2.gz SILAPSNAVSFEGM-UHFFFAOYSA-N 0 0 436.494 0.318 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N=c3ccn(CCN4CCOCC4)[nH]3)CC2)no1 ZINC000438358361 1072006842 /nfs/dbraw/zinc/00/68/42/1072006842.db2.gz PEUSJVUCFBLCQD-UHFFFAOYSA-N 0 0 446.512 -0.856 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000438358386 1072006754 /nfs/dbraw/zinc/00/67/54/1072006754.db2.gz PHWXHUYMKNGFDE-UHFFFAOYSA-N 0 0 440.512 0.246 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)Nc2ccn(CCN3CCOCC3)n2)s1 ZINC000438358824 1072007208 /nfs/dbraw/zinc/00/72/08/1072007208.db2.gz RANTXSHJWMRBGL-UHFFFAOYSA-N 0 0 428.540 0.507 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)n1 ZINC000438360785 1072007440 /nfs/dbraw/zinc/00/74/40/1072007440.db2.gz VINPUHXJNIBCDO-HNNXBMFYSA-N 0 0 444.496 0.473 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)n1 ZINC000438360786 1072007234 /nfs/dbraw/zinc/00/72/34/1072007234.db2.gz VINPUHXJNIBCDO-OAHLLOKOSA-N 0 0 444.496 0.473 20 0 IBADRN COC(=O)COc1c(C)cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000438360845 1072007337 /nfs/dbraw/zinc/00/73/37/1072007337.db2.gz WAEXIBMMAMSNTG-UHFFFAOYSA-N 0 0 447.488 -0.002 20 0 IBADRN CNC(=O)c1ccc(C(=O)NC[C@@H](COC)NC(=O)c2ccc(C(=O)NC)nc2)cn1 ZINC000438362243 1072007385 /nfs/dbraw/zinc/00/73/85/1072007385.db2.gz YLKQVJZLHXCNMD-AWEZNQCLSA-N 0 0 428.449 -0.629 20 0 IBADRN CNC(=O)c1ccc(C(=O)NC[C@H](COC)NC(=O)c2ccc(C(=O)NC)nc2)cn1 ZINC000438362244 1072007741 /nfs/dbraw/zinc/00/77/41/1072007741.db2.gz YLKQVJZLHXCNMD-CQSZACIVSA-N 0 0 428.449 -0.629 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)c1 ZINC000438362762 1072007349 /nfs/dbraw/zinc/00/73/49/1072007349.db2.gz IXHUAWNXHIOCJZ-AWEZNQCLSA-N 0 0 443.464 -0.586 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)c1 ZINC000438362763 1072007413 /nfs/dbraw/zinc/00/74/13/1072007413.db2.gz IXHUAWNXHIOCJZ-CQSZACIVSA-N 0 0 443.464 -0.586 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCN(C)C(=O)c2coc(S(=O)(=O)NC)c2)co1 ZINC000438364032 1072007804 /nfs/dbraw/zinc/00/78/04/1072007804.db2.gz IZYBBVYDJKBXOA-UHFFFAOYSA-N 0 0 448.479 -0.809 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438364178 1072007814 /nfs/dbraw/zinc/00/78/14/1072007814.db2.gz NNINXRSVIHTTPS-AWEZNQCLSA-N 0 0 435.510 -0.602 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438364181 1072007904 /nfs/dbraw/zinc/00/79/04/1072007904.db2.gz NNINXRSVIHTTPS-CQSZACIVSA-N 0 0 435.510 -0.602 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)NCCN3CCS(=O)(=O)CC3)CC2=O)c1 ZINC000438364370 1072007762 /nfs/dbraw/zinc/00/77/62/1072007762.db2.gz KCXNBUAXICSGQD-AWEZNQCLSA-N 0 0 425.507 -0.097 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)NCCN3CCS(=O)(=O)CC3)CC2=O)c1 ZINC000438364372 1072007854 /nfs/dbraw/zinc/00/78/54/1072007854.db2.gz KCXNBUAXICSGQD-CQSZACIVSA-N 0 0 425.507 -0.097 20 0 IBADRN C[C@H](NC(=O)[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000438364442 1072007773 /nfs/dbraw/zinc/00/77/73/1072007773.db2.gz OGVHKQPGSQEIGP-KBPBESRZSA-N 0 0 445.563 -0.198 20 0 IBADRN C[C@@H](C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438364443 1072007882 /nfs/dbraw/zinc/00/78/82/1072007882.db2.gz OGVHKQPGSQEIGP-KGLIPLIRSA-N 0 0 445.563 -0.198 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000438364444 1072007873 /nfs/dbraw/zinc/00/78/73/1072007873.db2.gz OGVHKQPGSQEIGP-UONOGXRCSA-N 0 0 445.563 -0.198 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000438364445 1072007689 /nfs/dbraw/zinc/00/76/89/1072007689.db2.gz OGVHKQPGSQEIGP-ZIAGYGMSSA-N 0 0 445.563 -0.198 20 0 IBADRN COC[C@H](CNC(=O)c1cccc(-n2cnnn2)c1)NC(=O)c1cccc(-n2cnnn2)c1 ZINC000438365486 1072007714 /nfs/dbraw/zinc/00/77/14/1072007714.db2.gz RSFHRSHQXXEGEQ-INIZCTEOSA-N 0 0 448.447 -0.187 20 0 IBADRN COC[C@@H](CNC(=O)c1cccc(-n2cnnn2)c1)NC(=O)c1cccc(-n2cnnn2)c1 ZINC000438365487 1072007916 /nfs/dbraw/zinc/00/79/16/1072007916.db2.gz RSFHRSHQXXEGEQ-MRXNPFEDSA-N 0 0 448.447 -0.187 20 0 IBADRN COC[C@H](CNC(=O)c1ccccc1-n1cnnn1)NC(=O)c1ccccc1-n1cnnn1 ZINC000438365553 1072007864 /nfs/dbraw/zinc/00/78/64/1072007864.db2.gz CAGZRUWUKVNFPB-AWEZNQCLSA-N 0 0 448.447 -0.187 20 0 IBADRN COC[C@@H](CNC(=O)c1ccccc1-n1cnnn1)NC(=O)c1ccccc1-n1cnnn1 ZINC000438365555 1072007786 /nfs/dbraw/zinc/00/77/86/1072007786.db2.gz CAGZRUWUKVNFPB-CQSZACIVSA-N 0 0 448.447 -0.187 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)NC[C@@H](COC)NC(=O)[C@H]1CSCN1C(=O)CC ZINC000438366534 1072007797 /nfs/dbraw/zinc/00/77/97/1072007797.db2.gz QVWRNEQZTSIDQA-BFHYXJOUSA-N 0 0 446.595 -0.143 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)N[C@H](CNC(=O)[C@H]1CSCN1C(=O)CC)COC ZINC000438366535 1072007725 /nfs/dbraw/zinc/00/77/25/1072007725.db2.gz QVWRNEQZTSIDQA-MCIONIFRSA-N 0 0 446.595 -0.143 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)NC[C@H](COC)NC(=O)[C@H]1CSCN1C(=O)CC ZINC000438366536 1072008218 /nfs/dbraw/zinc/00/82/18/1072008218.db2.gz QVWRNEQZTSIDQA-MGPQQGTHSA-N 0 0 446.595 -0.143 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)N[C@@H](CNC(=O)[C@H]1CSCN1C(=O)CC)COC ZINC000438366537 1072008183 /nfs/dbraw/zinc/00/81/83/1072008183.db2.gz QVWRNEQZTSIDQA-MJBXVCDLSA-N 0 0 446.595 -0.143 20 0 IBADRN CN(CCNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000438367084 1073607172 /nfs/dbraw/zinc/60/71/72/1073607172.db2.gz ZDJALBRTYYDTRF-CHWSQXEVSA-N 0 0 428.453 -0.887 20 0 IBADRN CN(CCNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000438367085 1073607223 /nfs/dbraw/zinc/60/72/23/1073607223.db2.gz ZDJALBRTYYDTRF-OLZOCXBDSA-N 0 0 428.453 -0.887 20 0 IBADRN CN(CCNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000438367086 1073607256 /nfs/dbraw/zinc/60/72/56/1073607256.db2.gz ZDJALBRTYYDTRF-QWHCGFSZSA-N 0 0 428.453 -0.887 20 0 IBADRN CN(CCNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000438367087 1073607383 /nfs/dbraw/zinc/60/73/83/1073607383.db2.gz ZDJALBRTYYDTRF-STQMWFEESA-N 0 0 428.453 -0.887 20 0 IBADRN COC[C@@H](CNC(=O)C1(N2CCOCC2)CCC1)NC(=O)C1(N2CCOCC2)CCC1 ZINC000438370312 1072007753 /nfs/dbraw/zinc/00/77/53/1072007753.db2.gz BVKBLABICLXRDL-GOSISDBHSA-N 0 0 438.569 -0.256 20 0 IBADRN COC[C@H](CNC(=O)C1(N2CCOCC2)CCC1)NC(=O)C1(N2CCOCC2)CCC1 ZINC000438370314 1072008226 /nfs/dbraw/zinc/00/82/26/1072008226.db2.gz BVKBLABICLXRDL-SFHVURJKSA-N 0 0 438.569 -0.256 20 0 IBADRN COC[C@H](CNC(=O)c1ccc(-n2cnnn2)cc1)NC(=O)c1ccc(-n2cnnn2)cc1 ZINC000438376335 1072008449 /nfs/dbraw/zinc/00/84/49/1072008449.db2.gz OTBRJJKVNGAHRL-INIZCTEOSA-N 0 0 448.447 -0.187 20 0 IBADRN COC[C@@H](CNC(=O)c1ccc(-n2cnnn2)cc1)NC(=O)c1ccc(-n2cnnn2)cc1 ZINC000438376339 1072008635 /nfs/dbraw/zinc/00/86/35/1072008635.db2.gz OTBRJJKVNGAHRL-MRXNPFEDSA-N 0 0 448.447 -0.187 20 0 IBADRN CN(CCNC(=O)CNC(=O)c1cccc(O)c1)C(=O)CNC(=O)c1cccc(O)c1 ZINC000438376668 1072008571 /nfs/dbraw/zinc/00/85/71/1072008571.db2.gz PHHFTEVSWMQRIO-UHFFFAOYSA-N 0 0 428.445 -0.168 20 0 IBADRN COC[C@H](CNC(=O)C(=O)c1c(C)nn(C)c1C)NC(=O)C(=O)c1c(C)nn(C)c1C ZINC000438379640 1072008269 /nfs/dbraw/zinc/00/82/69/1072008269.db2.gz YGMDORGVNDXJJV-AWEZNQCLSA-N 0 0 432.481 -0.300 20 0 IBADRN COC[C@@H](CNC(=O)C(=O)c1c(C)nn(C)c1C)NC(=O)C(=O)c1c(C)nn(C)c1C ZINC000438379650 1072008131 /nfs/dbraw/zinc/00/81/31/1072008131.db2.gz YGMDORGVNDXJJV-CQSZACIVSA-N 0 0 432.481 -0.300 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCCN(C)C(=O)c2ccc(S(=O)(=O)NC)o2)o1 ZINC000438379870 1072008737 /nfs/dbraw/zinc/00/87/37/1072008737.db2.gz XKWIEIANPFHRDX-UHFFFAOYSA-N 0 0 448.479 -0.809 20 0 IBADRN CC(C)N1C[C@@H](Nc2nc(N[C@H]3CC(=O)N(C(C)C)C3)nc(N3CCOCC3)n2)CC1=O ZINC000438390914 1072009024 /nfs/dbraw/zinc/00/90/24/1072009024.db2.gz JICRADMGPUZIMB-HOTGVXAUSA-N 0 0 446.556 -0.606 20 0 IBADRN CC(C)N1C[C@H](Nc2nc(N[C@@H]3CC(=O)N(C(C)C)C3)nc(N3CCOCC3)n2)CC1=O ZINC000438390918 1072009325 /nfs/dbraw/zinc/00/93/25/1072009325.db2.gz JICRADMGPUZIMB-HZPDHXFCSA-N 0 0 446.556 -0.606 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nc(N3CCOCC3)nc(N3CCC[C@H](C(=O)NC)C3)n2)C1 ZINC000438392576 1072008956 /nfs/dbraw/zinc/00/89/56/1072008956.db2.gz HOIUPZKBUMOMFW-HOTGVXAUSA-N 0 0 446.556 -0.367 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nc(N3CCOCC3)nc(N3CCC[C@@H](C(=O)NC)C3)n2)C1 ZINC000438392579 1072009352 /nfs/dbraw/zinc/00/93/52/1072009352.db2.gz HOIUPZKBUMOMFW-HZPDHXFCSA-N 0 0 446.556 -0.367 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nc(N3CCOCC3)nc(N3CCC[C@H](C(=O)NC)C3)n2)C1 ZINC000438392581 1072009155 /nfs/dbraw/zinc/00/91/55/1072009155.db2.gz HOIUPZKBUMOMFW-IYBDPMFKSA-N 0 0 446.556 -0.367 20 0 IBADRN CC(C)[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)C(=O)N1CCOCC1 ZINC000438394886 1072009221 /nfs/dbraw/zinc/00/92/21/1072009221.db2.gz RFKKWUUEODBVGW-INIZCTEOSA-N 0 0 428.511 -0.351 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)C(=O)N1CCOCC1 ZINC000438394888 1072009176 /nfs/dbraw/zinc/00/91/76/1072009176.db2.gz RFKKWUUEODBVGW-MRXNPFEDSA-N 0 0 428.511 -0.351 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(CCS(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000438396099 1072009257 /nfs/dbraw/zinc/00/92/57/1072009257.db2.gz AEEGCNBRANTMCV-INIZCTEOSA-N 0 0 425.507 -0.034 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(CCS(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000438396101 1072009282 /nfs/dbraw/zinc/00/92/82/1072009282.db2.gz AEEGCNBRANTMCV-MRXNPFEDSA-N 0 0 425.507 -0.034 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1-n1cnnn1 ZINC000438396223 1072009109 /nfs/dbraw/zinc/00/91/09/1072009109.db2.gz ASCZECJUOJGNKS-UHFFFAOYSA-N 0 0 442.436 -0.504 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C(=O)N1CCOCC1)C(C)C ZINC000438397148 1072009135 /nfs/dbraw/zinc/00/91/35/1072009135.db2.gz RACVKAXALWEYCP-DZGCQCFKSA-N 0 0 429.543 -0.297 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H](C(=O)N1CCOCC1)C(C)C ZINC000438397155 1072009734 /nfs/dbraw/zinc/00/97/34/1072009734.db2.gz RACVKAXALWEYCP-HIFRSBDPSA-N 0 0 429.543 -0.297 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H](C(=O)N1CCOCC1)C(C)C ZINC000438397157 1072009762 /nfs/dbraw/zinc/00/97/62/1072009762.db2.gz RACVKAXALWEYCP-UKRRQHHQSA-N 0 0 429.543 -0.297 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H](C(=O)N1CCOCC1)C(C)C ZINC000438397160 1072009752 /nfs/dbraw/zinc/00/97/52/1072009752.db2.gz RACVKAXALWEYCP-ZFWWWQNUSA-N 0 0 429.543 -0.297 20 0 IBADRN COc1cc(N2CCOC(C)(C)C2)ccc1NC(=O)C(=O)NCCN1C(=O)CNC1=O ZINC000438407548 1072009379 /nfs/dbraw/zinc/00/93/79/1072009379.db2.gz RHNGQAPAIDSGTC-UHFFFAOYSA-N 0 0 433.465 -0.083 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(CCS(C)(=O)=O)cc1 ZINC000438410616 1072009313 /nfs/dbraw/zinc/00/93/13/1072009313.db2.gz LBKIMOWUXPRTME-HNNXBMFYSA-N 0 0 431.536 -0.248 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(CCS(C)(=O)=O)cc1 ZINC000438410617 1072009387 /nfs/dbraw/zinc/00/93/87/1072009387.db2.gz LBKIMOWUXPRTME-OAHLLOKOSA-N 0 0 431.536 -0.248 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(CCS(C)(=O)=O)cc3)CC2=O)cn1 ZINC000438413284 1072009718 /nfs/dbraw/zinc/00/97/18/1072009718.db2.gz SAXJKLHXBDOJSQ-UHFFFAOYSA-N 0 0 433.490 -0.179 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)cn1 ZINC000438413738 1072009637 /nfs/dbraw/zinc/00/96/37/1072009637.db2.gz HJXGKZLEHIUGMT-UHFFFAOYSA-N 0 0 432.505 -0.420 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1-n1cnnn1 ZINC000438413955 1072009650 /nfs/dbraw/zinc/00/96/50/1072009650.db2.gz UPBKELPVHRCHAD-UHFFFAOYSA-N 0 0 430.469 -0.321 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CC1 ZINC000438414337 1072009802 /nfs/dbraw/zinc/00/98/02/1072009802.db2.gz WCWZBVHDBMLHRL-UHFFFAOYSA-N 0 0 438.550 -0.165 20 0 IBADRN CCc1ncc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000438415615 1072009658 /nfs/dbraw/zinc/00/96/58/1072009658.db2.gz ZJCOCKIOBQCQHY-UHFFFAOYSA-N 0 0 426.481 -0.047 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)cc1 ZINC000438417158 1072009704 /nfs/dbraw/zinc/00/97/04/1072009704.db2.gz QGOMUFDCHUHOEO-UHFFFAOYSA-N 0 0 426.476 -0.355 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1-n1cnnn1 ZINC000438417383 1072009883 /nfs/dbraw/zinc/00/98/83/1072009883.db2.gz KHAZBELTKJUDLG-UHFFFAOYSA-N 0 0 428.453 -0.031 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)c1 ZINC000438420432 1072010216 /nfs/dbraw/zinc/01/02/16/1072010216.db2.gz WGGXCUVJDBGZAR-UHFFFAOYSA-N 0 0 446.532 -0.311 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)N=NC2C1 ZINC000438423290 1072010274 /nfs/dbraw/zinc/01/02/74/1072010274.db2.gz SFURYQCJHPYWQA-AAEUAGOBSA-N 0 0 427.870 -0.197 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)N=NC2C1 ZINC000438423294 1072010454 /nfs/dbraw/zinc/01/04/54/1072010454.db2.gz SFURYQCJHPYWQA-YPMHNXCESA-N 0 0 427.870 -0.197 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1-n1cnnn1 ZINC000438424073 1072010151 /nfs/dbraw/zinc/01/01/51/1072010151.db2.gz TYTBSGYYDFQYSL-UHFFFAOYSA-N 0 0 444.452 -0.794 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)N=NC4C3)c2)CC1 ZINC000438424459 1072010166 /nfs/dbraw/zinc/01/01/66/1072010166.db2.gz WPSHEGLCWIDOOL-UHFFFAOYSA-N 0 0 434.478 -0.508 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN3C(=O)N=NC3C2)c1 ZINC000438425458 1072010181 /nfs/dbraw/zinc/01/01/81/1072010181.db2.gz ZBVVSQFGDUHVBR-UHFFFAOYSA-N 0 0 436.494 -0.214 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)C(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000438428036 1073325671 /nfs/dbraw/zinc/32/56/71/1073325671.db2.gz QZFFFWQWBRUIDY-UHFFFAOYSA-N 0 0 425.414 0.540 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)c1 ZINC000438430206 1072010896 /nfs/dbraw/zinc/01/08/96/1072010896.db2.gz XOCBINSQYHLDKI-UHFFFAOYSA-N 0 0 426.476 -0.355 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN3C(=O)N=NC3C2)o1 ZINC000438438367 1072011177 /nfs/dbraw/zinc/01/11/77/1072011177.db2.gz OZCJOBVEZGVFOA-LLVKDONJSA-N 0 0 439.450 -0.051 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN3C(=O)N=NC3C2)o1 ZINC000438438368 1072011383 /nfs/dbraw/zinc/01/13/83/1072011383.db2.gz OZCJOBVEZGVFOA-NSHDSACASA-N 0 0 439.450 -0.051 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)cc1S(N)(=O)=O ZINC000438439967 1072010299 /nfs/dbraw/zinc/01/02/99/1072010299.db2.gz STEFEANVZDKOJQ-UHFFFAOYSA-N 0 0 446.532 -0.263 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccs3)cc2n(C)c1=O ZINC000438440575 1072010203 /nfs/dbraw/zinc/01/02/03/1072010203.db2.gz VUOPJORIVMANBZ-UHFFFAOYSA-N 0 0 437.503 -0.028 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)N=NC4C3)cc2)CC1 ZINC000438440925 1072011193 /nfs/dbraw/zinc/01/11/93/1072011193.db2.gz FFCIHNNDCXEUGW-UHFFFAOYSA-N 0 0 434.478 -0.508 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC000438441221 1072011312 /nfs/dbraw/zinc/01/13/12/1072011312.db2.gz XOPPEAIYDMOZKX-UHFFFAOYSA-N 0 0 439.469 0.140 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)cc1 ZINC000438446161 1072011428 /nfs/dbraw/zinc/01/14/28/1072011428.db2.gz USOIONOAPOXMIR-UHFFFAOYSA-N 0 0 440.503 -0.094 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)c1 ZINC000438446345 1072011470 /nfs/dbraw/zinc/01/14/70/1072011470.db2.gz MOFZACVKBLTUEC-UHFFFAOYSA-N 0 0 432.505 -0.571 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)cc1C(N)=O ZINC000438446721 1072011218 /nfs/dbraw/zinc/01/12/18/1072011218.db2.gz MPFISGAZIOSPDO-UHFFFAOYSA-N 0 0 427.464 -0.716 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN4C(=O)N=NC4C3)nc2n(CC)c1=O ZINC000438451947 1072011394 /nfs/dbraw/zinc/01/13/94/1072011394.db2.gz BAUFQGDALPJGFJ-UHFFFAOYSA-N 0 0 428.453 0.296 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)N=NC3C2)cc1 ZINC000438464452 1072012408 /nfs/dbraw/zinc/01/24/08/1072012408.db2.gz WWCVISXWHKIUNI-UHFFFAOYSA-N 0 0 439.494 -0.077 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000438472475 1072011888 /nfs/dbraw/zinc/01/18/88/1072011888.db2.gz PMQGKJIMJVUYNX-CHWSQXEVSA-N 0 0 438.510 -0.197 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000438472477 1072011683 /nfs/dbraw/zinc/01/16/83/1072011683.db2.gz PMQGKJIMJVUYNX-OLZOCXBDSA-N 0 0 438.510 -0.197 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000438472479 1072011896 /nfs/dbraw/zinc/01/18/96/1072011896.db2.gz PMQGKJIMJVUYNX-QWHCGFSZSA-N 0 0 438.510 -0.197 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000438472481 1072011944 /nfs/dbraw/zinc/01/19/44/1072011944.db2.gz PMQGKJIMJVUYNX-STQMWFEESA-N 0 0 438.510 -0.197 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438483657 1072012133 /nfs/dbraw/zinc/01/21/33/1072012133.db2.gz FBLXJOIPWVOESU-DZGCQCFKSA-N 0 0 445.563 -0.198 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438483659 1072012330 /nfs/dbraw/zinc/01/23/30/1072012330.db2.gz FBLXJOIPWVOESU-HIFRSBDPSA-N 0 0 445.563 -0.198 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438483661 1072012292 /nfs/dbraw/zinc/01/22/92/1072012292.db2.gz FBLXJOIPWVOESU-UKRRQHHQSA-N 0 0 445.563 -0.198 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000438483663 1072012188 /nfs/dbraw/zinc/01/21/88/1072012188.db2.gz FBLXJOIPWVOESU-ZFWWWQNUSA-N 0 0 445.563 -0.198 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000438484456 1072012870 /nfs/dbraw/zinc/01/28/70/1072012870.db2.gz AUIHVMIBCRIWPA-UHFFFAOYSA-N 0 0 427.527 -0.352 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000438516872 1072013129 /nfs/dbraw/zinc/01/31/29/1072013129.db2.gz OXJWMMVPMKVFRG-INIZCTEOSA-N 0 0 449.577 -0.148 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000438516888 1072013308 /nfs/dbraw/zinc/01/33/08/1072013308.db2.gz OXJWMMVPMKVFRG-MRXNPFEDSA-N 0 0 449.577 -0.148 20 0 IBADRN CN1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C(C)(C)C1=O ZINC000438519209 1072014515 /nfs/dbraw/zinc/01/45/15/1072014515.db2.gz RDGZOJDIMAGNAJ-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CC1 ZINC000438519656 1072014396 /nfs/dbraw/zinc/01/43/96/1072014396.db2.gz WORUZMJVIYLZHK-KRWDZBQOSA-N 0 0 431.537 -0.205 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CC1 ZINC000438519658 1072014444 /nfs/dbraw/zinc/01/44/44/1072014444.db2.gz WORUZMJVIYLZHK-QGZVFWFLSA-N 0 0 431.537 -0.205 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN([C@@H](C)C(=O)N3CCCC3)CC2)c(=O)n(C)c1=O ZINC000438522478 1072013868 /nfs/dbraw/zinc/01/38/68/1072013868.db2.gz GRGJRJQILFCBMS-INIZCTEOSA-N 0 0 448.568 -0.404 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN([C@H](C)C(=O)N3CCCC3)CC2)c(=O)n(C)c1=O ZINC000438522479 1072013815 /nfs/dbraw/zinc/01/38/15/1072013815.db2.gz GRGJRJQILFCBMS-MRXNPFEDSA-N 0 0 448.568 -0.404 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(C)C(=O)C2(C)C)c(=O)[nH]c1=O ZINC000438522702 1072013950 /nfs/dbraw/zinc/01/39/50/1072013950.db2.gz HJSVBMPNGQJYDY-UHFFFAOYSA-N 0 0 438.529 -0.137 20 0 IBADRN CN1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C(C)(C)C1=O ZINC000438522714 1072013826 /nfs/dbraw/zinc/01/38/26/1072013826.db2.gz HOLGYGNTCANZKB-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(CCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000438524919 1072013750 /nfs/dbraw/zinc/01/37/50/1072013750.db2.gz XAZQCQWJQORJCX-INIZCTEOSA-N 0 0 431.541 -0.548 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(CCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000438524923 1072013646 /nfs/dbraw/zinc/01/36/46/1072013646.db2.gz XAZQCQWJQORJCX-MRXNPFEDSA-N 0 0 431.541 -0.548 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN([C@@H](C)C(=O)N3CCCC3)CC2)c(=O)[nH]c1=O ZINC000438525346 1072013930 /nfs/dbraw/zinc/01/39/30/1072013930.db2.gz CMHXBMDSMLYPJS-HNNXBMFYSA-N 0 0 434.541 -0.002 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN([C@H](C)C(=O)N3CCCC3)CC2)c(=O)[nH]c1=O ZINC000438525347 1072013629 /nfs/dbraw/zinc/01/36/29/1072013629.db2.gz CMHXBMDSMLYPJS-OAHLLOKOSA-N 0 0 434.541 -0.002 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCS(=O)(=O)C3(CCCCC3)C2)c(=O)[nH]c1=O ZINC000438542331 1072014300 /nfs/dbraw/zinc/01/43/00/1072014300.db2.gz BFXQFRZWTCABGW-UHFFFAOYSA-N 0 0 428.511 -0.207 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN(CCC(F)(F)F)C[C@H](O)CO)CC1 ZINC000438550985 1072014896 /nfs/dbraw/zinc/01/48/96/1072014896.db2.gz DTFHORSZHNUUBO-ZDUSSCGKSA-N 0 0 445.446 -0.381 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN(CCC(F)(F)F)C[C@H](O)CO ZINC000438557141 1072014835 /nfs/dbraw/zinc/01/48/35/1072014835.db2.gz JLLLKGLWKXRRJV-LBPRGKRZSA-N 0 0 425.429 -0.169 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC[C@@H](CO)C1)c(=O)n2Cc1ccccc1 ZINC000438582561 1072016027 /nfs/dbraw/zinc/01/60/27/1072016027.db2.gz KMIWORMMORTXLW-QGZVFWFLSA-N 0 0 441.488 -0.105 20 0 IBADRN C[NH+](C)CCN1C[C@H](C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)CC1=O ZINC000438594970 1072015892 /nfs/dbraw/zinc/01/58/92/1072015892.db2.gz HTHAXAWQVCWXAY-GFCCVEGCSA-N 0 0 443.492 -0.626 20 0 IBADRN C[NH+](C)CCN1C[C@@H](C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)CC1=O ZINC000438594972 1072015924 /nfs/dbraw/zinc/01/59/24/1072015924.db2.gz HTHAXAWQVCWXAY-LBPRGKRZSA-N 0 0 443.492 -0.626 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000438596761 1072015831 /nfs/dbraw/zinc/01/58/31/1072015831.db2.gz BQZPIKBUZHUGTH-GOSISDBHSA-N 0 0 430.527 -0.184 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000438596765 1072015911 /nfs/dbraw/zinc/01/59/11/1072015911.db2.gz BQZPIKBUZHUGTH-SFHVURJKSA-N 0 0 430.527 -0.184 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000438603178 1072016897 /nfs/dbraw/zinc/01/68/97/1072016897.db2.gz DPQRHUSESOKROH-UHFFFAOYSA-N 0 0 427.527 -0.103 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000438610016 1072016492 /nfs/dbraw/zinc/01/64/92/1072016492.db2.gz TZFBYRCRBRYUCI-UHFFFAOYSA-N 0 0 427.527 -0.057 20 0 IBADRN CC(C)(C)N(CC(N)=O)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000438625415 1072017729 /nfs/dbraw/zinc/01/77/29/1072017729.db2.gz HWSDLFNGJJAOCS-UHFFFAOYSA-N 0 0 426.539 -0.091 20 0 IBADRN CN(C[C@@H](O)COCc1ccccc1)C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000438650873 1072018601 /nfs/dbraw/zinc/01/86/01/1072018601.db2.gz RDKMNPOJGJACPC-GOSISDBHSA-N 0 0 441.488 -0.008 20 0 IBADRN CN(C[C@H](O)COCc1ccccc1)C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000438650875 1072018635 /nfs/dbraw/zinc/01/86/35/1072018635.db2.gz RDKMNPOJGJACPC-SFHVURJKSA-N 0 0 441.488 -0.008 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(Cc3nc(N)c4ccccc4n3)CC2)cn1 ZINC000438653352 1073362127 /nfs/dbraw/zinc/36/21/27/1073362127.db2.gz FCKPJNWTCWFTEY-UHFFFAOYSA-N 0 0 430.538 0.042 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000438662289 1072019554 /nfs/dbraw/zinc/01/95/54/1072019554.db2.gz WOVUFVFBZSIETR-CVEARBPZSA-N 0 0 444.579 -0.188 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000438662290 1072019974 /nfs/dbraw/zinc/01/99/74/1072019974.db2.gz WOVUFVFBZSIETR-HOTGVXAUSA-N 0 0 444.579 -0.188 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000438662291 1072019563 /nfs/dbraw/zinc/01/95/63/1072019563.db2.gz WOVUFVFBZSIETR-HZPDHXFCSA-N 0 0 444.579 -0.188 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000438662292 1072019788 /nfs/dbraw/zinc/01/97/88/1072019788.db2.gz WOVUFVFBZSIETR-JKSUJKDBSA-N 0 0 444.579 -0.188 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(F)cc1 ZINC000438667637 1072018695 /nfs/dbraw/zinc/01/86/95/1072018695.db2.gz CDOSOXBSQMTCHW-BBRMVZONSA-N 0 0 442.513 -0.646 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(F)cc1 ZINC000438667638 1072018818 /nfs/dbraw/zinc/01/88/18/1072018818.db2.gz CDOSOXBSQMTCHW-CJNGLKHVSA-N 0 0 442.513 -0.646 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(F)cc1 ZINC000438667639 1072018442 /nfs/dbraw/zinc/01/84/42/1072018442.db2.gz CDOSOXBSQMTCHW-CZUORRHYSA-N 0 0 442.513 -0.646 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(F)cc1 ZINC000438667640 1072018804 /nfs/dbraw/zinc/01/88/04/1072018804.db2.gz CDOSOXBSQMTCHW-XJKSGUPXSA-N 0 0 442.513 -0.646 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(Cc2cccs2)CC1 ZINC000438668464 1072019122 /nfs/dbraw/zinc/01/91/22/1072019122.db2.gz NJJQWDKKNQXMML-HNNXBMFYSA-N 0 0 428.580 -0.373 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(Cc2cccs2)CC1 ZINC000438668465 1072019055 /nfs/dbraw/zinc/01/90/55/1072019055.db2.gz NJJQWDKKNQXMML-OAHLLOKOSA-N 0 0 428.580 -0.373 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC(O)(C(F)(F)F)CC3)cnc2n(C)c1=O ZINC000438668915 1072019327 /nfs/dbraw/zinc/01/93/27/1072019327.db2.gz PQFBALXKXIKHHD-UHFFFAOYSA-N 0 0 429.355 -0.514 20 0 IBADRN Cc1cc(NC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)n(-c2nc(C)cc(C)n2)n1 ZINC000438669432 1072019246 /nfs/dbraw/zinc/01/92/46/1072019246.db2.gz JARDNCKHLBYKKU-HNNXBMFYSA-N 0 0 449.537 -0.239 20 0 IBADRN Cc1cc(NC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n(-c2nc(C)cc(C)n2)n1 ZINC000438669434 1072019076 /nfs/dbraw/zinc/01/90/76/1072019076.db2.gz JARDNCKHLBYKKU-OAHLLOKOSA-N 0 0 449.537 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCN3CCCOCC3)c2)CC1 ZINC000438669581 1072019284 /nfs/dbraw/zinc/01/92/84/1072019284.db2.gz AVJJWUZYPXZEGX-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N(CCC(N)=O)c1ccc(F)cc1 ZINC000438669742 1072019194 /nfs/dbraw/zinc/01/91/94/1072019194.db2.gz JNIJLOXFQONLBF-AWEZNQCLSA-N 0 0 428.486 -0.731 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N(CCC(N)=O)c1ccc(F)cc1 ZINC000438669744 1072019142 /nfs/dbraw/zinc/01/91/42/1072019142.db2.gz JNIJLOXFQONLBF-CQSZACIVSA-N 0 0 428.486 -0.731 20 0 IBADRN CCOC(=O)CN(C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccccc1 ZINC000438671411 1072019111 /nfs/dbraw/zinc/01/91/11/1072019111.db2.gz NFNHJCYICFJFDT-HNNXBMFYSA-N 0 0 425.507 -0.182 20 0 IBADRN CCOC(=O)CN(C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccccc1 ZINC000438671413 1072019354 /nfs/dbraw/zinc/01/93/54/1072019354.db2.gz NFNHJCYICFJFDT-OAHLLOKOSA-N 0 0 425.507 -0.182 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000438672406 1072020418 /nfs/dbraw/zinc/02/04/18/1072020418.db2.gz QMTLZXGYZAYLKE-AWEZNQCLSA-N 0 0 444.579 -0.552 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000438672409 1072020297 /nfs/dbraw/zinc/02/02/97/1072020297.db2.gz QMTLZXGYZAYLKE-CQSZACIVSA-N 0 0 444.579 -0.552 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000438674533 1072020282 /nfs/dbraw/zinc/02/02/82/1072020282.db2.gz XNFMUDLSPPMMFH-DLBZAZTESA-N 0 0 437.518 -0.652 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000438674566 1072020476 /nfs/dbraw/zinc/02/04/76/1072020476.db2.gz XNFMUDLSPPMMFH-IAGOWNOFSA-N 0 0 437.518 -0.652 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000438674567 1072020269 /nfs/dbraw/zinc/02/02/69/1072020269.db2.gz XNFMUDLSPPMMFH-IRXDYDNUSA-N 0 0 437.518 -0.652 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000438674568 1072020369 /nfs/dbraw/zinc/02/03/69/1072020369.db2.gz XNFMUDLSPPMMFH-SJORKVTESA-N 0 0 437.518 -0.652 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCN3CCCOCC3)cc2)CC1 ZINC000438674713 1072020441 /nfs/dbraw/zinc/02/04/41/1072020441.db2.gz BZYHKCLSCNFSEA-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN Cc1noc(C)c1CN1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000438680943 1071504839 /nfs/dbraw/zinc/50/48/39/1071504839.db2.gz MIECCMNCLMMKIN-UHFFFAOYSA-N 0 0 448.568 -0.588 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)c1=O ZINC000438690757 1072021051 /nfs/dbraw/zinc/02/10/51/1072021051.db2.gz KGOCZUNQDASIGN-UHFFFAOYSA-N 0 0 425.445 -0.875 20 0 IBADRN COC(=O)c1ccc(CN(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000438697047 1072021673 /nfs/dbraw/zinc/02/16/73/1072021673.db2.gz MYXJGMZIZGGDAJ-UHFFFAOYSA-N 0 0 432.521 -0.092 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C[C@@H](O)CN2CCOCC2)c(=O)[nH]c1=O ZINC000438698272 1072021480 /nfs/dbraw/zinc/02/14/80/1072021480.db2.gz MCPPMLDQNVIVBE-KRWDZBQOSA-N 0 0 433.509 -0.123 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C[C@H](O)CN2CCOCC2)c(=O)[nH]c1=O ZINC000438698276 1072021589 /nfs/dbraw/zinc/02/15/89/1072021589.db2.gz MCPPMLDQNVIVBE-QGZVFWFLSA-N 0 0 433.509 -0.123 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N(C)C[C@@H](O)COCCOC(C)C)c2ccccc2O1 ZINC000438699307 1072022168 /nfs/dbraw/zinc/02/21/68/1072022168.db2.gz JBXWCGMCWVWACU-CRAIPNDOSA-N 0 0 437.493 -0.213 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N(C)C[C@H](O)COCCOC(C)C)c2ccccc2O1 ZINC000438699309 1072022243 /nfs/dbraw/zinc/02/22/43/1072022243.db2.gz JBXWCGMCWVWACU-MAUKXSAKSA-N 0 0 437.493 -0.213 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N(C)C[C@@H](O)COCCOC(C)C)c2ccccc2O1 ZINC000438699311 1072022097 /nfs/dbraw/zinc/02/20/97/1072022097.db2.gz JBXWCGMCWVWACU-QAPCUYQASA-N 0 0 437.493 -0.213 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N(C)C[C@H](O)COCCOC(C)C)c2ccccc2O1 ZINC000438699312 1072022059 /nfs/dbraw/zinc/02/20/59/1072022059.db2.gz JBXWCGMCWVWACU-YJBOKZPZSA-N 0 0 437.493 -0.213 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2ccc(O)cc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000438699361 1072022013 /nfs/dbraw/zinc/02/20/13/1072022013.db2.gz VJHLVZCHGMKAKT-KRWDZBQOSA-N 0 0 439.534 -0.188 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2ccc(O)cc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000438699362 1072022194 /nfs/dbraw/zinc/02/21/94/1072022194.db2.gz VJHLVZCHGMKAKT-QGZVFWFLSA-N 0 0 439.534 -0.188 20 0 IBADRN COCCN(CCNS(=O)(=O)c1cnn(C)c1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000438704374 1072022575 /nfs/dbraw/zinc/02/25/75/1072022575.db2.gz IAIHNIRBZFCWML-UHFFFAOYSA-N 0 0 443.530 -0.648 20 0 IBADRN CCN(C[C@@H]1CCCO1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000438704456 1072022681 /nfs/dbraw/zinc/02/26/81/1072022681.db2.gz ZPLUQZVEICXRCM-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CCN(C[C@H]1CCCO1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000438704458 1072022623 /nfs/dbraw/zinc/02/26/23/1072022623.db2.gz ZPLUQZVEICXRCM-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN COCCN(C[C@@H](O)CN1CCOCC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000438704921 1072022469 /nfs/dbraw/zinc/02/24/69/1072022469.db2.gz FKEHXCZEAHZBCP-KRWDZBQOSA-N 0 0 433.509 -0.275 20 0 IBADRN COCCN(C[C@H](O)CN1CCOCC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000438704923 1072022445 /nfs/dbraw/zinc/02/24/45/1072022445.db2.gz FKEHXCZEAHZBCP-QGZVFWFLSA-N 0 0 433.509 -0.275 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCC(NC(=O)NC3CCCCC3)CC2)n1 ZINC000438705294 1073302735 /nfs/dbraw/zinc/30/27/35/1073302735.db2.gz LCXJJZVXFWWSKX-UHFFFAOYSA-N 0 0 447.540 0.533 20 0 IBADRN CC(=O)N1CCN(C(=O)CN(CCO)c2c(N)n(Cc3ccccc3)c(=O)[nH]c2=O)CC1 ZINC000438708944 1072022589 /nfs/dbraw/zinc/02/25/89/1072022589.db2.gz OJCGLVJDPDIXHQ-UHFFFAOYSA-N 0 0 444.492 -0.931 20 0 IBADRN COC(=O)CNC(=O)CN1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000438709760 1072022562 /nfs/dbraw/zinc/02/25/62/1072022562.db2.gz JTZMSZAQLAMFDO-UHFFFAOYSA-N 0 0 431.467 -0.721 20 0 IBADRN NC(=O)c1cccc2c1CCCN2CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000438711141 1071504976 /nfs/dbraw/zinc/50/49/76/1071504976.db2.gz FMZQBRKOVKITBI-UHFFFAOYSA-N 0 0 429.521 -0.459 20 0 IBADRN Cc1cc(N2CC[C@@H](N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)C2=O)n(C)n1 ZINC000438711200 1072023297 /nfs/dbraw/zinc/02/32/97/1072023297.db2.gz NJAGJTJJDFQOTD-CYBMUJFWSA-N 0 0 436.494 -0.468 20 0 IBADRN Cc1cc(N2CC[C@H](N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)C2=O)n(C)n1 ZINC000438711203 1072023171 /nfs/dbraw/zinc/02/31/71/1072023171.db2.gz NJAGJTJJDFQOTD-ZDUSSCGKSA-N 0 0 436.494 -0.468 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCC(NC(=O)NC3CCCCC3)CC2)cc1C ZINC000438713915 1073314330 /nfs/dbraw/zinc/31/43/30/1073314330.db2.gz SZMPWSHMZGLPIV-UHFFFAOYSA-N 0 0 447.540 0.499 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000438717235 1072021974 /nfs/dbraw/zinc/02/19/74/1072021974.db2.gz SUMHNMDWKWUSCG-UHFFFAOYSA-N 0 0 443.526 -0.942 20 0 IBADRN Cn1ccc(N2CCC[C@@H](N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)C2=O)n1 ZINC000438717283 1072022001 /nfs/dbraw/zinc/02/20/01/1072022001.db2.gz UAHSMZONCBEMDQ-CYBMUJFWSA-N 0 0 436.494 -0.386 20 0 IBADRN Cn1ccc(N2CCC[C@H](N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)C2=O)n1 ZINC000438717284 1072022085 /nfs/dbraw/zinc/02/20/85/1072022085.db2.gz UAHSMZONCBEMDQ-ZDUSSCGKSA-N 0 0 436.494 -0.386 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000438718191 1072021958 /nfs/dbraw/zinc/02/19/58/1072021958.db2.gz WWPUYGREPSYVFO-CYBMUJFWSA-N 0 0 434.540 -0.644 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000438718195 1072022072 /nfs/dbraw/zinc/02/20/72/1072022072.db2.gz WWPUYGREPSYVFO-ZDUSSCGKSA-N 0 0 434.540 -0.644 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](Cc1ccccc1)C(N)=O)CC2 ZINC000438718757 1072023672 /nfs/dbraw/zinc/02/36/72/1072023672.db2.gz QXVMLQYEGDNVCM-INIZCTEOSA-N 0 0 430.486 -0.303 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](Cc1ccccc1)C(N)=O)CC2 ZINC000438718758 1072023534 /nfs/dbraw/zinc/02/35/34/1072023534.db2.gz QXVMLQYEGDNVCM-MRXNPFEDSA-N 0 0 430.486 -0.303 20 0 IBADRN CCCCn1c(N)c(N(C)CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c(=O)[nH]c1=O ZINC000438720435 1072023712 /nfs/dbraw/zinc/02/37/12/1072023712.db2.gz XQBXECVYQYIVMR-UHFFFAOYSA-N 0 0 449.512 -0.251 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC000438722337 1072023614 /nfs/dbraw/zinc/02/36/14/1072023614.db2.gz LXEPZORRWHRUSW-KRWDZBQOSA-N 0 0 448.501 -0.237 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(N)=O)cc1 ZINC000438722338 1072023559 /nfs/dbraw/zinc/02/35/59/1072023559.db2.gz LXEPZORRWHRUSW-QGZVFWFLSA-N 0 0 448.501 -0.237 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC000438723184 1072023646 /nfs/dbraw/zinc/02/36/46/1072023646.db2.gz OANOJWCFKCWXRK-INIZCTEOSA-N 0 0 426.429 -0.259 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(N)=O)cc1 ZINC000438723186 1072023700 /nfs/dbraw/zinc/02/37/00/1072023700.db2.gz OANOJWCFKCWXRK-MRXNPFEDSA-N 0 0 426.429 -0.259 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H](CC)C(=O)N2CCOCC2)cc1S(N)(=O)=O ZINC000438726844 1072023680 /nfs/dbraw/zinc/02/36/80/1072023680.db2.gz ABVWTUJOFUKOOI-AWEZNQCLSA-N 0 0 426.495 -0.412 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H](CC)C(=O)N2CCOCC2)cc1S(N)(=O)=O ZINC000438726848 1072023547 /nfs/dbraw/zinc/02/35/47/1072023547.db2.gz ABVWTUJOFUKOOI-CQSZACIVSA-N 0 0 426.495 -0.412 20 0 IBADRN COC(=O)Cn1cc(CCN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)nn1 ZINC000438728268 1072023081 /nfs/dbraw/zinc/02/30/81/1072023081.db2.gz ZTLKFBQTNUWJHU-UHFFFAOYSA-N 0 0 433.494 -0.124 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000438728738 1072023185 /nfs/dbraw/zinc/02/31/85/1072023185.db2.gz CNSQKJRBWWQZPZ-INIZCTEOSA-N 0 0 432.477 -0.149 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000438728739 1072023265 /nfs/dbraw/zinc/02/32/65/1072023265.db2.gz CNSQKJRBWWQZPZ-MRXNPFEDSA-N 0 0 432.477 -0.149 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000438731413 1072023279 /nfs/dbraw/zinc/02/32/79/1072023279.db2.gz BUNREJZXVMNSIO-HNNXBMFYSA-N 0 0 426.495 -0.572 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000438731419 1072023046 /nfs/dbraw/zinc/02/30/46/1072023046.db2.gz BUNREJZXVMNSIO-OAHLLOKOSA-N 0 0 426.495 -0.572 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1)C(=O)N1CCOCC1 ZINC000438739195 1072023577 /nfs/dbraw/zinc/02/35/77/1072023577.db2.gz RSPGFNMMFCQGQH-KRWDZBQOSA-N 0 0 427.461 -0.016 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1)C(=O)N1CCOCC1 ZINC000438739197 1072024028 /nfs/dbraw/zinc/02/40/28/1072024028.db2.gz RSPGFNMMFCQGQH-QGZVFWFLSA-N 0 0 427.461 -0.016 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000438739247 1072023981 /nfs/dbraw/zinc/02/39/81/1072023981.db2.gz UKPFFTATRYVCTM-HNNXBMFYSA-N 0 0 426.495 -0.371 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000438739250 1072023993 /nfs/dbraw/zinc/02/39/93/1072023993.db2.gz UKPFFTATRYVCTM-OAHLLOKOSA-N 0 0 426.495 -0.371 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21)C(=O)N1CCOCC1 ZINC000438740108 1072024056 /nfs/dbraw/zinc/02/40/56/1072024056.db2.gz WUJCRNIDTQSFPN-HNNXBMFYSA-N 0 0 438.506 -0.763 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21)C(=O)N1CCOCC1 ZINC000438740117 1072024144 /nfs/dbraw/zinc/02/41/44/1072024144.db2.gz WUJCRNIDTQSFPN-OAHLLOKOSA-N 0 0 438.506 -0.763 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1)C(=O)N1CCOCC1 ZINC000438740170 1072024157 /nfs/dbraw/zinc/02/41/57/1072024157.db2.gz UVDFZSDRXJGVMG-AWEZNQCLSA-N 0 0 431.453 -0.329 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1)C(=O)N1CCOCC1 ZINC000438740173 1072024134 /nfs/dbraw/zinc/02/41/34/1072024134.db2.gz UVDFZSDRXJGVMG-CQSZACIVSA-N 0 0 431.453 -0.329 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F)C(=O)N1CCOCC1 ZINC000438740444 1072024064 /nfs/dbraw/zinc/02/40/64/1072024064.db2.gz VEFQGEZLXRLOBD-AWEZNQCLSA-N 0 0 444.485 -0.232 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F)C(=O)N1CCOCC1 ZINC000438740449 1072024005 /nfs/dbraw/zinc/02/40/05/1072024005.db2.gz VEFQGEZLXRLOBD-CQSZACIVSA-N 0 0 444.485 -0.232 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C)C(=O)N1CCOCC1 ZINC000438740603 1072024070 /nfs/dbraw/zinc/02/40/70/1072024070.db2.gz VRKHOCHYWXBYEQ-AWEZNQCLSA-N 0 0 426.495 -0.405 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C)C(=O)N1CCOCC1 ZINC000438740609 1072024081 /nfs/dbraw/zinc/02/40/81/1072024081.db2.gz VRKHOCHYWXBYEQ-CQSZACIVSA-N 0 0 426.495 -0.405 20 0 IBADRN CCOC(=O)CCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000438741332 1072024460 /nfs/dbraw/zinc/02/44/60/1072024460.db2.gz QYGQCAWUKHWKOW-UHFFFAOYSA-N 0 0 440.478 -0.843 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCOCC1 ZINC000438741937 1072024166 /nfs/dbraw/zinc/02/41/66/1072024166.db2.gz UHKKATWHIKFRMA-HNNXBMFYSA-N 0 0 431.449 -0.570 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCOCC1 ZINC000438741938 1072024152 /nfs/dbraw/zinc/02/41/52/1072024152.db2.gz UHKKATWHIKFRMA-OAHLLOKOSA-N 0 0 431.449 -0.570 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000438742842 1072024642 /nfs/dbraw/zinc/02/46/42/1072024642.db2.gz BHJYAQBTGQUEOH-GOSISDBHSA-N 0 0 446.504 -0.220 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000438742843 1072024571 /nfs/dbraw/zinc/02/45/71/1072024571.db2.gz BHJYAQBTGQUEOH-SFHVURJKSA-N 0 0 446.504 -0.220 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000438744264 1072024521 /nfs/dbraw/zinc/02/45/21/1072024521.db2.gz IAPVUDBTQWBXHX-KRWDZBQOSA-N 0 0 432.477 -0.149 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000438744265 1072024511 /nfs/dbraw/zinc/02/45/11/1072024511.db2.gz IAPVUDBTQWBXHX-QGZVFWFLSA-N 0 0 432.477 -0.149 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000438744579 1072024547 /nfs/dbraw/zinc/02/45/47/1072024547.db2.gz JDUNXCQKESBBSL-KRWDZBQOSA-N 0 0 432.477 -0.149 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000438744581 1072024403 /nfs/dbraw/zinc/02/44/03/1072024403.db2.gz JDUNXCQKESBBSL-QGZVFWFLSA-N 0 0 432.477 -0.149 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000438744918 1072024533 /nfs/dbraw/zinc/02/45/33/1072024533.db2.gz FMYIZTBURLUJHX-HNNXBMFYSA-N 0 0 440.522 -0.063 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000438744921 1072024501 /nfs/dbraw/zinc/02/45/01/1072024501.db2.gz FMYIZTBURLUJHX-OAHLLOKOSA-N 0 0 440.522 -0.063 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C)C(=O)N1CCOCC1 ZINC000438745169 1072024635 /nfs/dbraw/zinc/02/46/35/1072024635.db2.gz GFMUFTFFXZPDKC-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C)C(=O)N1CCOCC1 ZINC000438745171 1072024387 /nfs/dbraw/zinc/02/43/87/1072024387.db2.gz GFMUFTFFXZPDKC-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C)C(=O)N1CCOCC1 ZINC000438746958 1072024487 /nfs/dbraw/zinc/02/44/87/1072024487.db2.gz LOIMMXJXDNQFFP-AWEZNQCLSA-N 0 0 426.495 -0.357 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C)C(=O)N1CCOCC1 ZINC000438746959 1072024558 /nfs/dbraw/zinc/02/45/58/1072024558.db2.gz LOIMMXJXDNQFFP-CQSZACIVSA-N 0 0 426.495 -0.357 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCC(=O)OC)cc1)C(=O)N1CCOCC1 ZINC000438747363 1072025014 /nfs/dbraw/zinc/02/50/14/1072025014.db2.gz SROSPVQMENATCN-INIZCTEOSA-N 0 0 448.476 -0.328 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCC(=O)OC)cc1)C(=O)N1CCOCC1 ZINC000438747364 1072024950 /nfs/dbraw/zinc/02/49/50/1072024950.db2.gz SROSPVQMENATCN-MRXNPFEDSA-N 0 0 448.476 -0.328 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1)C(=O)N1CCOCC1 ZINC000438747587 1072024986 /nfs/dbraw/zinc/02/49/86/1072024986.db2.gz NUHBNYDBDLWOGS-GOSISDBHSA-N 0 0 445.520 -0.234 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1)C(=O)N1CCOCC1 ZINC000438747588 1072025052 /nfs/dbraw/zinc/02/50/52/1072025052.db2.gz NUHBNYDBDLWOGS-SFHVURJKSA-N 0 0 445.520 -0.234 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCOCC1 ZINC000438748606 1072025037 /nfs/dbraw/zinc/02/50/37/1072025037.db2.gz BYTFZVOFZMWLQN-INIZCTEOSA-N 0 0 438.506 -0.081 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCOCC1 ZINC000438748608 1072025080 /nfs/dbraw/zinc/02/50/80/1072025080.db2.gz BYTFZVOFZMWLQN-MRXNPFEDSA-N 0 0 438.506 -0.081 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000438748718 1072024835 /nfs/dbraw/zinc/02/48/35/1072024835.db2.gz ZFQQUYHOCJYIJF-AWEZNQCLSA-N 0 0 426.495 -0.405 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000438748720 1072024890 /nfs/dbraw/zinc/02/48/90/1072024890.db2.gz ZFQQUYHOCJYIJF-CQSZACIVSA-N 0 0 426.495 -0.405 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)CCOC)cc1)C(=O)N1CCOCC1 ZINC000438748762 1072025022 /nfs/dbraw/zinc/02/50/22/1072025022.db2.gz CQDFLXAJJHXQTA-INIZCTEOSA-N 0 0 441.506 -0.201 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)CCOC)cc1)C(=O)N1CCOCC1 ZINC000438748770 1072024878 /nfs/dbraw/zinc/02/48/78/1072024878.db2.gz CQDFLXAJJHXQTA-MRXNPFEDSA-N 0 0 441.506 -0.201 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C(=O)NCC(=O)OC)cc1)C(=O)N1CCOCC1 ZINC000438749728 1072023691 /nfs/dbraw/zinc/02/36/91/1072023691.db2.gz GKPSAPIGMHIIFE-HNNXBMFYSA-N 0 0 434.449 -0.719 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCC(=O)OC)cc1)C(=O)N1CCOCC1 ZINC000438749738 1072023664 /nfs/dbraw/zinc/02/36/64/1072023664.db2.gz GKPSAPIGMHIIFE-OAHLLOKOSA-N 0 0 434.449 -0.719 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000438755265 1072025450 /nfs/dbraw/zinc/02/54/50/1072025450.db2.gz LFAIKDKFRSIVLJ-INIZCTEOSA-N 0 0 438.506 -0.081 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000438755268 1072025411 /nfs/dbraw/zinc/02/54/11/1072025411.db2.gz LFAIKDKFRSIVLJ-MRXNPFEDSA-N 0 0 438.506 -0.081 20 0 IBADRN CCOC(=O)CCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000438755495 1072025530 /nfs/dbraw/zinc/02/55/30/1072025530.db2.gz LRYUXFDWHKOQMM-UHFFFAOYSA-N 0 0 440.522 -0.027 20 0 IBADRN CCOC(=O)CCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000438756193 1072025640 /nfs/dbraw/zinc/02/56/40/1072025640.db2.gz RMCQYSKUQZVMAM-UHFFFAOYSA-N 0 0 440.522 -0.027 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)Nc2cc(C(=O)NCCC(N)=O)ccc2F)cn1 ZINC000438756421 1072025345 /nfs/dbraw/zinc/02/53/45/1072025345.db2.gz UWYVRBLZRMDVKQ-UHFFFAOYSA-N 0 0 427.414 -0.399 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(CC(=O)NCC(=O)OC)cc1)C(=O)N1CCOCC1 ZINC000438757084 1072025914 /nfs/dbraw/zinc/02/59/14/1072025914.db2.gz ONXOPOCFPDVQLS-INIZCTEOSA-N 0 0 448.476 -0.790 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(CC(=O)NCC(=O)OC)cc1)C(=O)N1CCOCC1 ZINC000438757089 1072025945 /nfs/dbraw/zinc/02/59/45/1072025945.db2.gz ONXOPOCFPDVQLS-MRXNPFEDSA-N 0 0 448.476 -0.790 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C(=O)OC)c(C(=O)OC)c1)C(=O)N1CCOCC1 ZINC000438758268 1072026055 /nfs/dbraw/zinc/02/60/55/1072026055.db2.gz YZDSAWSBNYCICA-HNNXBMFYSA-N 0 0 435.433 -0.048 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)OC)c(C(=O)OC)c1)C(=O)N1CCOCC1 ZINC000438758273 1072026009 /nfs/dbraw/zinc/02/60/09/1072026009.db2.gz YZDSAWSBNYCICA-OAHLLOKOSA-N 0 0 435.433 -0.048 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@H](C)O1 ZINC000438769180 1072026617 /nfs/dbraw/zinc/02/66/17/1072026617.db2.gz AYPIYHMKYHSLNN-CEXWTWQISA-N 0 0 448.568 0.254 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@@H](C)O1 ZINC000438769184 1072026917 /nfs/dbraw/zinc/02/69/17/1072026917.db2.gz AYPIYHMKYHSLNN-GUDVDZBRSA-N 0 0 448.568 0.254 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@H](C)O1 ZINC000438769187 1072026829 /nfs/dbraw/zinc/02/68/29/1072026829.db2.gz AYPIYHMKYHSLNN-OTWHNJEPSA-N 0 0 448.568 0.254 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@@H](C)O1 ZINC000438769189 1072026948 /nfs/dbraw/zinc/02/69/48/1072026948.db2.gz AYPIYHMKYHSLNN-QRVBRYPASA-N 0 0 448.568 0.254 20 0 IBADRN CN(C)c1nc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)ns1 ZINC000438773740 1072027193 /nfs/dbraw/zinc/02/71/93/1072027193.db2.gz PSJDNGMQRIEEPW-UHFFFAOYSA-N 0 0 446.580 -0.242 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C[C@H](C)O1 ZINC000438780530 1072027822 /nfs/dbraw/zinc/02/78/22/1072027822.db2.gz RMVWFNMKGMEEGM-DAYGRLMNSA-N 0 0 445.520 -0.060 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C[C@@H](C)O1 ZINC000438780532 1072027882 /nfs/dbraw/zinc/02/78/82/1072027882.db2.gz RMVWFNMKGMEEGM-IIDMSEBBSA-N 0 0 445.520 -0.060 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C[C@@H](C)O1 ZINC000438780534 1072027951 /nfs/dbraw/zinc/02/79/51/1072027951.db2.gz RMVWFNMKGMEEGM-RKVPGOIHSA-N 0 0 445.520 -0.060 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C[C@H](C)O1 ZINC000438780536 1072027769 /nfs/dbraw/zinc/02/77/69/1072027769.db2.gz RMVWFNMKGMEEGM-RVKKMQEKSA-N 0 0 445.520 -0.060 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(CN3CCOCC3)cn2)CC1)N1CCOCC1 ZINC000438782786 1072028362 /nfs/dbraw/zinc/02/83/62/1072028362.db2.gz ARRUOAALOGSOMM-UHFFFAOYSA-N 0 0 431.493 -0.943 20 0 IBADRN COc1cc2c(O)c(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cnc2cc1F ZINC000438783147 1072028003 /nfs/dbraw/zinc/02/80/03/1072028003.db2.gz BMPIDUMFEGSSIC-UHFFFAOYSA-N 0 0 446.435 -0.181 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2c(F)cccc2Br)CC1 ZINC000438785407 1072028423 /nfs/dbraw/zinc/02/84/23/1072028423.db2.gz LQWOHBVEKGCHKH-UHFFFAOYSA-N 0 0 440.233 -0.116 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000438788482 1072029012 /nfs/dbraw/zinc/02/90/12/1072029012.db2.gz YXEAQEUVHHCPMA-LLVKDONJSA-N 0 0 437.478 0.402 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000438788485 1073340726 /nfs/dbraw/zinc/34/07/26/1073340726.db2.gz YXEAQEUVHHCPMA-NSHDSACASA-N 0 0 437.478 0.402 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(C(=O)N(C)C)c2)CC1 ZINC000438788531 1072028905 /nfs/dbraw/zinc/02/89/05/1072028905.db2.gz VOAVQBJKIGTEOD-UHFFFAOYSA-N 0 0 435.456 -0.339 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1F ZINC000438792830 1072029584 /nfs/dbraw/zinc/02/95/84/1072029584.db2.gz QMYVXVQPAPBAOQ-UHFFFAOYSA-N 0 0 433.440 -0.585 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2c(F)cccc2Br)CC1 ZINC000438794854 1072029675 /nfs/dbraw/zinc/02/96/75/1072029675.db2.gz WKBXHVTWHNAULJ-UHFFFAOYSA-N 0 0 437.291 -0.041 20 0 IBADRN CC(C)(C)[C@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000438798851 1072028974 /nfs/dbraw/zinc/02/89/74/1072028974.db2.gz FTSZIJNBLFNFKO-HNNXBMFYSA-N 0 0 440.522 -0.341 20 0 IBADRN CC(C)(C)[C@@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000438798852 1072028942 /nfs/dbraw/zinc/02/89/42/1072028942.db2.gz FTSZIJNBLFNFKO-OAHLLOKOSA-N 0 0 440.522 -0.341 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000438799187 1072028997 /nfs/dbraw/zinc/02/89/97/1072028997.db2.gz WSJOBAGPWZSXAS-UHFFFAOYSA-N 0 0 449.483 -0.531 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1F ZINC000438812847 1072029495 /nfs/dbraw/zinc/02/94/95/1072029495.db2.gz QRHZJKPFPXMGMK-UHFFFAOYSA-N 0 0 447.467 -0.241 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)ccc1F ZINC000438814342 1072029526 /nfs/dbraw/zinc/02/95/26/1072029526.db2.gz ORQOKHQOLJPTLH-UHFFFAOYSA-N 0 0 430.440 -0.092 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(C(=O)N(C)C)c2)CC1 ZINC000438815172 1072029461 /nfs/dbraw/zinc/02/94/61/1072029461.db2.gz QJOAFBULORXKHN-UHFFFAOYSA-N 0 0 437.472 -0.627 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)ccc1F ZINC000438819519 1072030882 /nfs/dbraw/zinc/03/08/82/1072030882.db2.gz PJDLULUVUYEIDX-UHFFFAOYSA-N 0 0 446.526 -0.039 20 0 IBADRN Cc1c(C(=O)N2CCN(c3ccnc(N(C)C)n3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438823705 1072031815 /nfs/dbraw/zinc/03/18/15/1072031815.db2.gz LDDCKCUUHVRLBK-UHFFFAOYSA-N 0 0 438.492 -0.241 20 0 IBADRN Cc1c(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438828090 1072032325 /nfs/dbraw/zinc/03/23/25/1072032325.db2.gz KZDBKSXDQOCINQ-UHFFFAOYSA-N 0 0 441.448 -0.752 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438828393 1072032291 /nfs/dbraw/zinc/03/22/91/1072032291.db2.gz MAFPVZAPHIULOK-HNNXBMFYSA-N 0 0 428.493 -0.681 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438828394 1072032441 /nfs/dbraw/zinc/03/24/41/1072032441.db2.gz MAFPVZAPHIULOK-OAHLLOKOSA-N 0 0 428.493 -0.681 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000438829085 1072032340 /nfs/dbraw/zinc/03/23/40/1072032340.db2.gz PBEAJWWUCLHFNY-UHFFFAOYSA-N 0 0 431.493 -0.054 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000438830847 1072032933 /nfs/dbraw/zinc/03/29/33/1072032933.db2.gz IGWSPVGOYVQWHB-CYBMUJFWSA-N 0 0 425.449 -0.482 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000438830851 1072033075 /nfs/dbraw/zinc/03/30/75/1072033075.db2.gz IGWSPVGOYVQWHB-ZDUSSCGKSA-N 0 0 425.449 -0.482 20 0 IBADRN Cc1c(C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438830966 1072032463 /nfs/dbraw/zinc/03/24/63/1072032463.db2.gz AKJZJJAKGAPDAI-UHFFFAOYSA-N 0 0 442.520 -0.289 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000438835012 1072032943 /nfs/dbraw/zinc/03/29/43/1072032943.db2.gz LOFQBZNQHJUNBI-UHFFFAOYSA-N 0 0 444.536 -0.043 20 0 IBADRN Cc1c(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438837225 1072032868 /nfs/dbraw/zinc/03/28/68/1072032868.db2.gz RKFNAHWBSHSNHH-CYBMUJFWSA-N 0 0 425.449 -0.400 20 0 IBADRN Cc1c(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438837229 1072033009 /nfs/dbraw/zinc/03/30/09/1072033009.db2.gz RKFNAHWBSHSNHH-ZDUSSCGKSA-N 0 0 425.449 -0.400 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)cn1 ZINC000438839218 1072033436 /nfs/dbraw/zinc/03/34/36/1072033436.db2.gz GVRXLWUSYBXUIH-UHFFFAOYSA-N 0 0 429.437 -0.848 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)cc2n(C)c1=O ZINC000438853286 1072034960 /nfs/dbraw/zinc/03/49/60/1072034960.db2.gz UEWNRGHTMMUKMQ-UHFFFAOYSA-N 0 0 437.416 -0.600 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc21 ZINC000438857658 1072035118 /nfs/dbraw/zinc/03/51/18/1072035118.db2.gz ZPQARFXQZOMAAG-UHFFFAOYSA-N 0 0 439.494 -0.232 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc21 ZINC000438859693 1072035069 /nfs/dbraw/zinc/03/50/69/1072035069.db2.gz FCENAJTVBXHVIF-UHFFFAOYSA-N 0 0 429.433 -0.133 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc21 ZINC000438863760 1072036497 /nfs/dbraw/zinc/03/64/97/1072036497.db2.gz MPDKRATUJQPLCB-UHFFFAOYSA-N 0 0 431.449 -0.423 20 0 IBADRN CCOC(=O)c1ccc(N2CCCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)nc1 ZINC000438865426 1072036588 /nfs/dbraw/zinc/03/65/88/1072036588.db2.gz GKYYWXCVDARVHJ-UHFFFAOYSA-N 0 0 443.464 -0.383 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC(=O)Nc3cccc(F)c3)cnc2n(C)c1=O ZINC000438867515 1072036990 /nfs/dbraw/zinc/03/69/90/1072036990.db2.gz YIAIGBSPHRADHJ-UHFFFAOYSA-N 0 0 428.380 -0.535 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)Nc1ccc(F)cc1 ZINC000438868892 1071506052 /nfs/dbraw/zinc/50/60/52/1071506052.db2.gz QJSLYIIHHQCQNJ-UHFFFAOYSA-N 0 0 432.412 -0.426 20 0 IBADRN CCOC(=O)c1ccc(N2CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)nc1 ZINC000438870699 1072037137 /nfs/dbraw/zinc/03/71/37/1072037137.db2.gz NDDYNMOXCZUSPT-CYBMUJFWSA-N 0 0 441.510 -0.910 20 0 IBADRN CCOC(=O)c1ccc(N2CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)nc1 ZINC000438870703 1072037241 /nfs/dbraw/zinc/03/72/41/1072037241.db2.gz NDDYNMOXCZUSPT-ZDUSSCGKSA-N 0 0 441.510 -0.910 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)Nc1cccc(F)c1 ZINC000438871436 1072035993 /nfs/dbraw/zinc/03/59/93/1072035993.db2.gz FUPWLJWLLZTDMF-UHFFFAOYSA-N 0 0 445.455 0.513 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC(=O)Nc3ccc(F)cc3)cnc2n(C)c1=O ZINC000438874211 1071506919 /nfs/dbraw/zinc/50/69/19/1071506919.db2.gz JZQZLJBAHQBWJA-UHFFFAOYSA-N 0 0 428.380 -0.535 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)Nc1cccc(F)c1 ZINC000438876454 1072036635 /nfs/dbraw/zinc/03/66/35/1072036635.db2.gz JHMPFELLJDRINV-UHFFFAOYSA-N 0 0 432.412 -0.426 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000438883782 1072037010 /nfs/dbraw/zinc/03/70/10/1072037010.db2.gz OFSNVKVWPQCXGW-UHFFFAOYSA-N 0 0 429.520 -0.126 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1 ZINC000438885439 1072036900 /nfs/dbraw/zinc/03/69/00/1072036900.db2.gz KARAQHPKUBYMMQ-UHFFFAOYSA-N 0 0 429.517 -0.243 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000438886459 1072037541 /nfs/dbraw/zinc/03/75/41/1072037541.db2.gz MXXNJZSHSFKEBZ-UHFFFAOYSA-N 0 0 425.554 -0.503 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1 ZINC000438887705 1072037836 /nfs/dbraw/zinc/03/78/36/1072037836.db2.gz RNSIWJOXWDOWRJ-UHFFFAOYSA-N 0 0 429.517 -0.243 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000438887789 1072037737 /nfs/dbraw/zinc/03/77/37/1072037737.db2.gz SIWOVVGFQJDNGQ-UHFFFAOYSA-N 0 0 437.565 -0.313 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)c1 ZINC000438891000 1072037712 /nfs/dbraw/zinc/03/77/12/1072037712.db2.gz AKYBXUVMJAGFAE-UHFFFAOYSA-N 0 0 431.474 -0.221 20 0 IBADRN Cc1c(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438893107 1072037816 /nfs/dbraw/zinc/03/78/16/1072037816.db2.gz ICWNDODLLWVTTJ-UHFFFAOYSA-N 0 0 431.474 -0.439 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)s1 ZINC000438894220 1072037753 /nfs/dbraw/zinc/03/77/53/1072037753.db2.gz GHKYVXZYBPXCFP-UHFFFAOYSA-N 0 0 437.503 -0.160 20 0 IBADRN Cc1c(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438894421 1072038466 /nfs/dbraw/zinc/03/84/66/1072038466.db2.gz JRBHNWQJALXARY-UHFFFAOYSA-N 0 0 437.416 -0.861 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000438895014 1072038361 /nfs/dbraw/zinc/03/83/61/1072038361.db2.gz IMSMHKMJXSERCA-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)cc1 ZINC000438895086 1072038194 /nfs/dbraw/zinc/03/81/94/1072038194.db2.gz NYDGMSLBNYDPRW-UHFFFAOYSA-N 0 0 445.501 -0.043 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)C1 ZINC000438899874 1072038873 /nfs/dbraw/zinc/03/88/73/1072038873.db2.gz DIUKJCVILJMHAM-UHFFFAOYSA-N 0 0 446.473 -0.123 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000438899942 1072038978 /nfs/dbraw/zinc/03/89/78/1072038978.db2.gz DRSJRWZOLZYXPJ-UHFFFAOYSA-N 0 0 437.565 -0.313 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000438900528 1072038953 /nfs/dbraw/zinc/03/89/53/1072038953.db2.gz GGMUDEDALFYNIO-UHFFFAOYSA-N 0 0 425.554 -0.834 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000438901523 1072038938 /nfs/dbraw/zinc/03/89/38/1072038938.db2.gz JHVGJSFPVMNNAV-UHFFFAOYSA-N 0 0 437.565 -0.214 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438902390 1072038674 /nfs/dbraw/zinc/03/86/74/1072038674.db2.gz MAKTZUSGVRKGNU-UHFFFAOYSA-N 0 0 442.520 -0.289 20 0 IBADRN Cc1c(C(=O)NCCN2CCN(c3ncccn3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438903030 1072038957 /nfs/dbraw/zinc/03/89/57/1072038957.db2.gz PDUQKJUWACZUPT-UHFFFAOYSA-N 0 0 438.492 -0.717 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)C1 ZINC000438903246 1072038928 /nfs/dbraw/zinc/03/89/28/1072038928.db2.gz ONSQPOCRORMQQF-UHFFFAOYSA-N 0 0 434.492 -0.180 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000438903389 1072038788 /nfs/dbraw/zinc/03/87/88/1072038788.db2.gz VZOFORCYNMIMFR-UHFFFAOYSA-N 0 0 430.509 -0.339 20 0 IBADRN Cc1c(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438903401 1072038707 /nfs/dbraw/zinc/03/87/07/1072038707.db2.gz WHPASVQXYWSPPM-FQEVSTJZSA-N 0 0 433.534 -0.122 20 0 IBADRN Cc1c(C(=O)NC[C@]2(N3CCOCC3)CCSC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438903402 1072038820 /nfs/dbraw/zinc/03/88/20/1072038820.db2.gz WHPASVQXYWSPPM-HXUWFJFHSA-N 0 0 433.534 -0.122 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000438903583 1072039297 /nfs/dbraw/zinc/03/92/97/1072039297.db2.gz QJMDYJYXKFFTCD-UHFFFAOYSA-N 0 0 425.916 -0.236 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCC1CN(S(=O)(=O)CC)C1 ZINC000438903676 1072039499 /nfs/dbraw/zinc/03/94/99/1072039499.db2.gz QXUNOKMIYYDWFP-UHFFFAOYSA-N 0 0 425.554 -0.455 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)C1 ZINC000438903905 1072038888 /nfs/dbraw/zinc/03/88/88/1072038888.db2.gz QZEJNRDFBRPMAS-UHFFFAOYSA-N 0 0 440.521 -0.119 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)C1 ZINC000438903992 1072039525 /nfs/dbraw/zinc/03/95/25/1072039525.db2.gz RYEOXQWMPAGWON-UHFFFAOYSA-N 0 0 434.492 -0.180 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000438904040 1072038775 /nfs/dbraw/zinc/03/87/75/1072038775.db2.gz SDQMTPRGEKGGRF-UHFFFAOYSA-N 0 0 425.554 -0.503 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000438904858 1072039316 /nfs/dbraw/zinc/03/93/16/1072039316.db2.gz VMXVLOUHPQRSQL-UHFFFAOYSA-N 0 0 437.565 -0.313 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000438905334 1072039403 /nfs/dbraw/zinc/03/94/03/1072039403.db2.gz QDTPXTUFFLIOEZ-UHFFFAOYSA-N 0 0 433.446 -0.151 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000438905440 1072039392 /nfs/dbraw/zinc/03/93/92/1072039392.db2.gz WYFFLGZDRIVOOS-UHFFFAOYSA-N 0 0 425.554 -0.503 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000438905472 1072039457 /nfs/dbraw/zinc/03/94/57/1072039457.db2.gz XHKKBOPYWDHVNA-UHFFFAOYSA-N 0 0 431.536 -0.281 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000438905516 1072039532 /nfs/dbraw/zinc/03/95/32/1072039532.db2.gz YRCFIUXXDYEZBA-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(OCc3ncnn3C)cc2)C1 ZINC000438905791 1072039425 /nfs/dbraw/zinc/03/94/25/1072039425.db2.gz YREABWBODAGKLR-UHFFFAOYSA-N 0 0 429.524 -0.046 20 0 IBADRN Cc1c(C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438909562 1072040005 /nfs/dbraw/zinc/04/00/05/1072040005.db2.gz YKTUUAIPVJGGSQ-UHFFFAOYSA-N 0 0 437.460 -0.603 20 0 IBADRN Cc1cc(N2CCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)CC2)n2ncnc2n1 ZINC000438910514 1072039960 /nfs/dbraw/zinc/03/99/60/1072039960.db2.gz BNSWQKGHRNGVDU-UHFFFAOYSA-N 0 0 449.475 -0.351 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000438913468 1072040511 /nfs/dbraw/zinc/04/05/11/1072040511.db2.gz BEAHZHWNLULURZ-UHFFFAOYSA-N 0 0 449.551 -0.230 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000438914411 1072040403 /nfs/dbraw/zinc/04/04/03/1072040403.db2.gz ALCCMEQPWBAWNS-UHFFFAOYSA-N 0 0 431.536 -0.281 20 0 IBADRN CS(=O)(=O)C[C@@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1ccccc1 ZINC000438915731 1072039469 /nfs/dbraw/zinc/03/94/69/1072039469.db2.gz AMPSCUDLUPPXNJ-GFCCVEGCSA-N 0 0 429.476 -0.441 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1ccccc1 ZINC000438915733 1072039354 /nfs/dbraw/zinc/03/93/54/1072039354.db2.gz AMPSCUDLUPPXNJ-LBPRGKRZSA-N 0 0 429.476 -0.441 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000438915917 1072039377 /nfs/dbraw/zinc/03/93/77/1072039377.db2.gz INLQGHQGWFKDTI-UHFFFAOYSA-N 0 0 446.551 -0.337 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000438920905 1072039342 /nfs/dbraw/zinc/03/93/42/1072039342.db2.gz VCROFHFZAKBHER-UHFFFAOYSA-N 0 0 431.536 -0.281 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC2CN(S(=O)(=O)CC)C2)c1 ZINC000438921432 1072039893 /nfs/dbraw/zinc/03/98/93/1072039893.db2.gz QMWVHDFNSDGYQL-UHFFFAOYSA-N 0 0 448.567 -0.724 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccccc1 ZINC000438921439 1072040040 /nfs/dbraw/zinc/04/00/40/1072040040.db2.gz QPCYHBQSCZZUHF-AWEZNQCLSA-N 0 0 428.492 -0.706 20 0 IBADRN CS(=O)(=O)C[C@@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccccc1 ZINC000438921440 1072039939 /nfs/dbraw/zinc/03/99/39/1072039939.db2.gz QPCYHBQSCZZUHF-CQSZACIVSA-N 0 0 428.492 -0.706 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1 ZINC000438923663 1072039981 /nfs/dbraw/zinc/03/99/81/1072039981.db2.gz TZZXKCBEZIIPCY-UHFFFAOYSA-N 0 0 431.536 -0.440 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000438926107 1072039846 /nfs/dbraw/zinc/03/98/46/1072039846.db2.gz BRBRNHQTBOXFME-UHFFFAOYSA-N 0 0 446.551 -0.936 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000438926627 1072041613 /nfs/dbraw/zinc/04/16/13/1072041613.db2.gz OGVGXTUPNSOUKN-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2cc(S(=O)(=O)NCCOC)ccc2C)C1 ZINC000438930155 1072041444 /nfs/dbraw/zinc/04/14/44/1072041444.db2.gz KVTKFCCZXJMAKD-UHFFFAOYSA-N 0 0 433.552 -0.069 20 0 IBADRN Cc1c(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438930216 1072041515 /nfs/dbraw/zinc/04/15/15/1072041515.db2.gz JRYVOISAIBXIEW-UHFFFAOYSA-N 0 0 435.506 -0.373 20 0 IBADRN Cc1c(C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438931081 1072042111 /nfs/dbraw/zinc/04/21/11/1072042111.db2.gz XQFDQZXMPNUASU-CYBMUJFWSA-N 0 0 437.522 -0.868 20 0 IBADRN Cc1c(C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438931082 1072041947 /nfs/dbraw/zinc/04/19/47/1072041947.db2.gz XQFDQZXMPNUASU-ZDUSSCGKSA-N 0 0 437.522 -0.868 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H](CS(C)(=O)=O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000438932536 1072040430 /nfs/dbraw/zinc/04/04/30/1072040430.db2.gz BYSDJQBTFOTDKN-AWEZNQCLSA-N 0 0 433.490 -0.274 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H](CS(C)(=O)=O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000438932542 1072040453 /nfs/dbraw/zinc/04/04/53/1072040453.db2.gz BYSDJQBTFOTDKN-CQSZACIVSA-N 0 0 433.490 -0.274 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000438932785 1072040504 /nfs/dbraw/zinc/04/05/04/1072040504.db2.gz PLKROSJDXSKJGR-UHFFFAOYSA-N 0 0 436.581 -0.237 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CCNS(=O)(=O)c2cccc(C(C)=O)c2)C1 ZINC000438934037 1072040573 /nfs/dbraw/zinc/04/05/73/1072040573.db2.gz RLDIGNSTQBPVBK-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)C1 ZINC000438934554 1072041122 /nfs/dbraw/zinc/04/11/22/1072041122.db2.gz WAEPYVNKHIBCMH-UHFFFAOYSA-N 0 0 429.495 -0.160 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H](CS(C)(=O)=O)c1ccccc1 ZINC000438935547 1072040922 /nfs/dbraw/zinc/04/09/22/1072040922.db2.gz VOCXXRCENQUBQL-HNNXBMFYSA-N 0 0 433.552 -0.277 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H](CS(C)(=O)=O)c1ccccc1 ZINC000438935548 1072040950 /nfs/dbraw/zinc/04/09/50/1072040950.db2.gz VOCXXRCENQUBQL-OAHLLOKOSA-N 0 0 433.552 -0.277 20 0 IBADRN Cc1c(C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438936700 1072040995 /nfs/dbraw/zinc/04/09/95/1072040995.db2.gz VYCLGJBHBXGXKQ-FQEVSTJZSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1c(C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438936703 1072041136 /nfs/dbraw/zinc/04/11/36/1072041136.db2.gz VYCLGJBHBXGXKQ-HXUWFJFHSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1c(C(=O)Nc2ccc(CC(=O)NCCO)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438938993 1072041080 /nfs/dbraw/zinc/04/10/80/1072041080.db2.gz CWWHLMSDUSVNRX-UHFFFAOYSA-N 0 0 425.445 -0.156 20 0 IBADRN Cc1c(C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438939078 1072040961 /nfs/dbraw/zinc/04/09/61/1072040961.db2.gz XBNPILISFSWFJR-HNNXBMFYSA-N 0 0 442.520 -0.291 20 0 IBADRN Cc1c(C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438939081 1072040980 /nfs/dbraw/zinc/04/09/80/1072040980.db2.gz XBNPILISFSWFJR-OAHLLOKOSA-N 0 0 442.520 -0.291 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000438949359 1072042567 /nfs/dbraw/zinc/04/25/67/1072042567.db2.gz ORQCNRIEGODUCQ-UHFFFAOYSA-N 0 0 437.565 -0.220 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000438955990 1072042838 /nfs/dbraw/zinc/04/28/38/1072042838.db2.gz NPYUZVXOYOVQEU-UHFFFAOYSA-N 0 0 431.536 -0.281 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000438957556 1072043382 /nfs/dbraw/zinc/04/33/82/1072043382.db2.gz RIUQZHUKGMQDGR-UHFFFAOYSA-N 0 0 437.565 -0.220 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1 ZINC000438960484 1072042895 /nfs/dbraw/zinc/04/28/95/1072042895.db2.gz HYQWQYQPLUMQSP-UHFFFAOYSA-N 0 0 429.564 -0.072 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1 ZINC000438963318 1072042953 /nfs/dbraw/zinc/04/29/53/1072042953.db2.gz NUBWYFKVFMOXQZ-UHFFFAOYSA-N 0 0 432.528 -0.733 20 0 IBADRN COCCn1cc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cn1 ZINC000438980682 1072043849 /nfs/dbraw/zinc/04/38/49/1072043849.db2.gz ACWHXMYTCSSFST-UHFFFAOYSA-N 0 0 432.528 -0.605 20 0 IBADRN Cc1c(C(=O)NCCS(=O)(=O)N2CCSCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438981603 1072043756 /nfs/dbraw/zinc/04/37/56/1072043756.db2.gz BXLHTHJEWZFTDG-UHFFFAOYSA-N 0 0 441.535 -0.951 20 0 IBADRN CCc1nc(CN2CCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)CC2)no1 ZINC000438982736 1072043742 /nfs/dbraw/zinc/04/37/42/1072043742.db2.gz GEZFLWXAPDHISJ-UHFFFAOYSA-N 0 0 427.465 -0.156 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)c2cnn(CCOC)c2)c1 ZINC000438983974 1072043896 /nfs/dbraw/zinc/04/38/96/1072043896.db2.gz DDGCSSPCHQPJOI-GFCCVEGCSA-N 0 0 445.523 -0.257 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)c2cnn(CCOC)c2)c1 ZINC000438983979 1072043863 /nfs/dbraw/zinc/04/38/63/1072043863.db2.gz DDGCSSPCHQPJOI-LBPRGKRZSA-N 0 0 445.523 -0.257 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000438984348 1072043912 /nfs/dbraw/zinc/04/39/12/1072043912.db2.gz DZAXCFSMHCUMDL-UHFFFAOYSA-N 0 0 437.526 -0.333 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000438985626 1072043903 /nfs/dbraw/zinc/04/39/03/1072043903.db2.gz JFZBGLHIVFAVHV-UHFFFAOYSA-N 0 0 437.522 -0.173 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)c2cnn(CCOC)c2)cc1 ZINC000438986382 1072043730 /nfs/dbraw/zinc/04/37/30/1072043730.db2.gz QEBPVMOPWZCEQE-UHFFFAOYSA-N 0 0 432.524 -0.067 20 0 IBADRN CCN(C1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1)S(C)(=O)=O ZINC000438991020 1072044456 /nfs/dbraw/zinc/04/44/56/1072044456.db2.gz LJAMTFBNXCMZGA-UHFFFAOYSA-N 0 0 437.522 -0.173 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NCC(=O)Nc2cc(C)ccc2OC)CC1 ZINC000438991975 1072044475 /nfs/dbraw/zinc/04/44/75/1072044475.db2.gz FPEGBGLGYTZNME-UHFFFAOYSA-N 0 0 427.527 -0.423 20 0 IBADRN Cc1c(C(=O)Nc2ccn(CCN3CCOCC3)n2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000438991993 1073317609 /nfs/dbraw/zinc/31/76/09/1073317609.db2.gz FTBQGGMFQWJCTQ-UHFFFAOYSA-N 0 0 427.465 -0.278 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)c2cnn(CCOC)c2)c1 ZINC000438992129 1072044447 /nfs/dbraw/zinc/04/44/47/1072044447.db2.gz PVUKWCAIHBTYSJ-UHFFFAOYSA-N 0 0 432.524 -0.067 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000438992477 1072044373 /nfs/dbraw/zinc/04/43/73/1072044373.db2.gz RMSPYFDFDXPARW-UHFFFAOYSA-N 0 0 426.480 -0.891 20 0 IBADRN CC(C)Cc1nnc(NC(=O)CN(C)[C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)s1 ZINC000438994678 1072044960 /nfs/dbraw/zinc/04/49/60/1072044960.db2.gz CDTGTXOFYDFCEH-JTQLQIEISA-N 0 0 437.526 -0.142 20 0 IBADRN CC(C)Cc1nnc(NC(=O)CN(C)[C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)s1 ZINC000438994681 1072044777 /nfs/dbraw/zinc/04/47/77/1072044777.db2.gz CDTGTXOFYDFCEH-SNVBAGLBSA-N 0 0 437.526 -0.142 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000438994949 1072044931 /nfs/dbraw/zinc/04/49/31/1072044931.db2.gz BGZZWNJSRQXWGF-INIZCTEOSA-N 0 0 436.600 -0.537 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000438994952 1072044987 /nfs/dbraw/zinc/04/49/87/1072044987.db2.gz BGZZWNJSRQXWGF-MRXNPFEDSA-N 0 0 436.600 -0.537 20 0 IBADRN CCCCn1c2nc(CN3CCC(NS(=O)(=O)NC)CC3)n(C)c2c(=O)[nH]c1=O ZINC000438996429 1072044836 /nfs/dbraw/zinc/04/48/36/1072044836.db2.gz DXVNGLNRCOUJLG-UHFFFAOYSA-N 0 0 427.531 -0.346 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000438997177 1072044893 /nfs/dbraw/zinc/04/48/93/1072044893.db2.gz GOXPVOPIXHLYKK-UHFFFAOYSA-N 0 0 433.556 -0.592 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)cn1 ZINC000439001386 1072044431 /nfs/dbraw/zinc/04/44/31/1072044431.db2.gz PBXBSHVYCLAANV-UHFFFAOYSA-N 0 0 443.570 -0.231 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)c2cnn(CCOC)c2)CC1 ZINC000439002385 1072044340 /nfs/dbraw/zinc/04/43/40/1072044340.db2.gz ZGYNAGGPFZNXCD-UHFFFAOYSA-N 0 0 443.551 -0.052 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000439002971 1072044949 /nfs/dbraw/zinc/04/49/49/1072044949.db2.gz BAQQMRSVTAYYAL-UHFFFAOYSA-N 0 0 447.583 -0.298 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cnn(CCOC)c2)c1 ZINC000439007701 1072044996 /nfs/dbraw/zinc/04/49/96/1072044996.db2.gz YZSCZMUSAZCPKE-UHFFFAOYSA-N 0 0 431.496 -0.645 20 0 IBADRN CCn1cnc2c(c1=O)CCN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C2 ZINC000439007884 1072044918 /nfs/dbraw/zinc/04/49/18/1072044918.db2.gz VUQIGURNOKHNES-CVEARBPZSA-N 0 0 438.550 -0.184 20 0 IBADRN CCn1cnc2c(c1=O)CCN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C2 ZINC000439007887 1072045006 /nfs/dbraw/zinc/04/50/06/1072045006.db2.gz VUQIGURNOKHNES-HOTGVXAUSA-N 0 0 438.550 -0.184 20 0 IBADRN CCn1cnc2c(c1=O)CCN(CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C2 ZINC000439007890 1072044848 /nfs/dbraw/zinc/04/48/48/1072044848.db2.gz VUQIGURNOKHNES-HZPDHXFCSA-N 0 0 438.550 -0.184 20 0 IBADRN CCn1cnc2c(c1=O)CCN(CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C2 ZINC000439007892 1072044864 /nfs/dbraw/zinc/04/48/64/1072044864.db2.gz VUQIGURNOKHNES-JKSUJKDBSA-N 0 0 438.550 -0.184 20 0 IBADRN CNS(=O)(=O)NC1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000439009076 1072044966 /nfs/dbraw/zinc/04/49/66/1072044966.db2.gz WUCLTGCJTSZGIS-UHFFFAOYSA-N 0 0 429.528 -0.916 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000439009433 1072044882 /nfs/dbraw/zinc/04/48/82/1072044882.db2.gz LREMZLFTYFJDQG-UHFFFAOYSA-N 0 0 442.494 -0.284 20 0 IBADRN Cc1c(C(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439010708 1072044792 /nfs/dbraw/zinc/04/47/92/1072044792.db2.gz WZJUTFOQFRJKOG-UHFFFAOYSA-N 0 0 444.473 -0.241 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N(CCC(N)=O)c2ccccc2OC)CC1 ZINC000439012871 1072046019 /nfs/dbraw/zinc/04/60/19/1072046019.db2.gz YXMPSNVZVQYZRF-UHFFFAOYSA-N 0 0 427.527 -0.578 20 0 IBADRN Cc1c(C(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439014807 1072046096 /nfs/dbraw/zinc/04/60/96/1072046096.db2.gz KKTHYBOGNUKKDM-CYBMUJFWSA-N 0 0 437.522 -0.125 20 0 IBADRN Cc1c(C(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439014808 1072045839 /nfs/dbraw/zinc/04/58/39/1072045839.db2.gz KKTHYBOGNUKKDM-ZDUSSCGKSA-N 0 0 437.522 -0.125 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCC(=O)Nc2ccc(-n3cccn3)cc2)cn1 ZINC000439016698 1072045986 /nfs/dbraw/zinc/04/59/86/1072045986.db2.gz ZBKHXVIIIZASOA-UHFFFAOYSA-N 0 0 438.448 -0.150 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCC(=O)Nc2ccc(-n3cccn3)cc2)n1 ZINC000439017663 1072046006 /nfs/dbraw/zinc/04/60/06/1072046006.db2.gz IKSFOVCMVISQPB-UHFFFAOYSA-N 0 0 438.448 -0.150 20 0 IBADRN CN(C)C(=O)Cn1ccc(=NC(=O)C(=O)NCCNc2ccc(-c3ccccc3)nn2)[nH]1 ZINC000439018895 1072045220 /nfs/dbraw/zinc/04/52/20/1072045220.db2.gz GDFYHNGMOSIAOF-UHFFFAOYSA-N 0 0 436.476 -0.561 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCC(=O)Nc2ccc(-n3cccn3)cc2)cc1C ZINC000439020986 1072045282 /nfs/dbraw/zinc/04/52/82/1072045282.db2.gz LUDWMQFCZCLLCN-UHFFFAOYSA-N 0 0 438.448 -0.183 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439021578 1072045267 /nfs/dbraw/zinc/04/52/67/1072045267.db2.gz QUFOVBWQFRHRRJ-AWEZNQCLSA-N 0 0 429.477 -0.348 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439021579 1072045516 /nfs/dbraw/zinc/04/55/16/1072045516.db2.gz QUFOVBWQFRHRRJ-CQSZACIVSA-N 0 0 429.477 -0.348 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1N ZINC000439023572 1072045326 /nfs/dbraw/zinc/04/53/26/1072045326.db2.gz VRIHFTFZSAWRAM-UHFFFAOYSA-N 0 0 433.469 -0.859 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCC(=O)Nc1ccc(-n2cccn2)cc1 ZINC000439024027 1072045471 /nfs/dbraw/zinc/04/54/71/1072045471.db2.gz WYEKJPJVEOUUCL-UHFFFAOYSA-N 0 0 439.432 0.476 20 0 IBADRN CNC(=O)Cn1[nH]c(=NC(=O)C(=O)NCCNc2ccc(-c3ccccc3)nn2)cc1C ZINC000439025294 1072045454 /nfs/dbraw/zinc/04/54/54/1072045454.db2.gz FZMCFWSCPSMLDM-UHFFFAOYSA-N 0 0 436.476 -0.595 20 0 IBADRN Cc1c(C(=O)N2CCC(C(=O)N3CCOCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439029395 1072046970 /nfs/dbraw/zinc/04/69/70/1072046970.db2.gz ROJBTLFFOYFPNB-UHFFFAOYSA-N 0 0 429.477 -0.348 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000439031180 1072047033 /nfs/dbraw/zinc/04/70/33/1072047033.db2.gz YARWNEZBLLNTPM-UHFFFAOYSA-N 0 0 430.509 -0.433 20 0 IBADRN Cc1c(C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439033492 1072045950 /nfs/dbraw/zinc/04/59/50/1072045950.db2.gz MJKGPWHWKUBIII-CYBMUJFWSA-N 0 0 428.493 -0.634 20 0 IBADRN Cc1c(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439033493 1072046375 /nfs/dbraw/zinc/04/63/75/1072046375.db2.gz MJKGPWHWKUBIII-ZDUSSCGKSA-N 0 0 428.493 -0.634 20 0 IBADRN Cc1c(C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439034889 1072046482 /nfs/dbraw/zinc/04/64/82/1072046482.db2.gz BHTMMUPKZZNEKS-UHFFFAOYSA-N 0 0 442.520 -0.433 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCCC[C@H]2CNC(=O)c2ccccc2)n1 ZINC000439035304 1072046600 /nfs/dbraw/zinc/04/66/00/1072046600.db2.gz CJUGSFNVXGAUSX-HNNXBMFYSA-N 0 0 427.465 -0.226 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCCC[C@@H]2CNC(=O)c2ccccc2)n1 ZINC000439035305 1072046528 /nfs/dbraw/zinc/04/65/28/1072046528.db2.gz CJUGSFNVXGAUSX-OAHLLOKOSA-N 0 0 427.465 -0.226 20 0 IBADRN COc1cc(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)ccc1OCC(N)=O ZINC000439036603 1072046566 /nfs/dbraw/zinc/04/65/66/1072046566.db2.gz BOATWHNPXVELJE-UHFFFAOYSA-N 0 0 441.444 -0.257 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NC2CC2)n1 ZINC000439039833 1073303824 /nfs/dbraw/zinc/30/38/24/1073303824.db2.gz CAXNKUHRQICBBP-INIZCTEOSA-N 0 0 426.477 -0.084 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NC2CC2)n1 ZINC000439039839 1072046588 /nfs/dbraw/zinc/04/65/88/1072046588.db2.gz CAXNKUHRQICBBP-MRXNPFEDSA-N 0 0 426.477 -0.084 20 0 IBADRN Cc1c(C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439039981 1072046403 /nfs/dbraw/zinc/04/64/03/1072046403.db2.gz MMRNZHBOPBHPPV-UHFFFAOYSA-N 0 0 449.475 -0.420 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCCC[C@H]2CNC(=O)c2ccccc2)n1 ZINC000439041536 1072046578 /nfs/dbraw/zinc/04/65/78/1072046578.db2.gz LJFQCKNMCFVRIW-INIZCTEOSA-N 0 0 441.492 0.116 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCCC[C@@H]2CNC(=O)c2ccccc2)n1 ZINC000439041537 1072046607 /nfs/dbraw/zinc/04/66/07/1072046607.db2.gz LJFQCKNMCFVRIW-MRXNPFEDSA-N 0 0 441.492 0.116 20 0 IBADRN CC[C@H](NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)N1CCOCC1 ZINC000439041616 1072046426 /nfs/dbraw/zinc/04/64/26/1072046426.db2.gz LSLOBCACSNHZON-INIZCTEOSA-N 0 0 440.522 -0.462 20 0 IBADRN CC[C@@H](NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)N1CCOCC1 ZINC000439041619 1072046544 /nfs/dbraw/zinc/04/65/44/1072046544.db2.gz LSLOBCACSNHZON-MRXNPFEDSA-N 0 0 440.522 -0.462 20 0 IBADRN Cc1c(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439043618 1072046357 /nfs/dbraw/zinc/04/63/57/1072046357.db2.gz QXIFKMJDQPYZAS-UHFFFAOYSA-N 0 0 442.476 -0.075 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@@H](Cc1ccccc1)C(=O)NC1CC1 ZINC000439047308 1073341574 /nfs/dbraw/zinc/34/15/74/1073341574.db2.gz PMOWCWOFMWHTJG-INIZCTEOSA-N 0 0 427.461 0.541 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@H](Cc1ccccc1)C(=O)NC1CC1 ZINC000439047309 1072048078 /nfs/dbraw/zinc/04/80/78/1072048078.db2.gz PMOWCWOFMWHTJG-MRXNPFEDSA-N 0 0 427.461 0.541 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NC2CC2)cc1C ZINC000439047681 1073315454 /nfs/dbraw/zinc/31/54/54/1073315454.db2.gz OCCFKOFVCNRLSS-INIZCTEOSA-N 0 0 426.477 -0.118 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NC2CC2)cc1C ZINC000439047682 1073315427 /nfs/dbraw/zinc/31/54/27/1073315427.db2.gz OCCFKOFVCNRLSS-MRXNPFEDSA-N 0 0 426.477 -0.118 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NC2CC2)cn1 ZINC000439049132 1072048001 /nfs/dbraw/zinc/04/80/01/1072048001.db2.gz VUUAGHAUWDDGOL-KRWDZBQOSA-N 0 0 426.477 -0.084 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NC2CC2)cn1 ZINC000439049133 1072048130 /nfs/dbraw/zinc/04/81/30/1072048130.db2.gz VUUAGHAUWDDGOL-QGZVFWFLSA-N 0 0 426.477 -0.084 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)CC1 ZINC000439051058 1072048214 /nfs/dbraw/zinc/04/82/14/1072048214.db2.gz VMHYSFARFMKNAF-UHFFFAOYSA-N 0 0 427.483 -0.361 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](CC)C(=O)N2CCOCC2)cc1 ZINC000439051948 1072048076 /nfs/dbraw/zinc/04/80/76/1072048076.db2.gz BDTNHHAQXPOPAW-INIZCTEOSA-N 0 0 441.506 -0.105 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@H](CC)C(=O)N2CCOCC2)cc1 ZINC000439051949 1072048119 /nfs/dbraw/zinc/04/81/19/1072048119.db2.gz BDTNHHAQXPOPAW-MRXNPFEDSA-N 0 0 441.506 -0.105 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)C1 ZINC000439053239 1072048033 /nfs/dbraw/zinc/04/80/33/1072048033.db2.gz BQXMQKBGLCHJFU-INIZCTEOSA-N 0 0 429.587 -0.004 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)C1 ZINC000439053240 1072048138 /nfs/dbraw/zinc/04/81/38/1072048138.db2.gz BQXMQKBGLCHJFU-MRXNPFEDSA-N 0 0 429.587 -0.004 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000439054432 1072048056 /nfs/dbraw/zinc/04/80/56/1072048056.db2.gz ZDAXSCYMBLDEAU-UHFFFAOYSA-N 0 0 425.559 -0.431 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000439055109 1072048699 /nfs/dbraw/zinc/04/86/99/1072048699.db2.gz JWZLVUSKGCFPSM-UHFFFAOYSA-N 0 0 430.552 -0.016 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000439055246 1072048608 /nfs/dbraw/zinc/04/86/08/1072048608.db2.gz CPWSIXKQWFMGJZ-UHFFFAOYSA-N 0 0 426.467 -0.470 20 0 IBADRN CC[C@H](NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N1CCOCC1 ZINC000439055461 1072048069 /nfs/dbraw/zinc/04/80/69/1072048069.db2.gz LRGNDXNRXZOEEN-HZMVEIRTSA-N 0 0 443.566 -0.150 20 0 IBADRN CC[C@H](NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N1CCOCC1 ZINC000439055462 1072048669 /nfs/dbraw/zinc/04/86/69/1072048669.db2.gz LRGNDXNRXZOEEN-NXOAAHMSSA-N 0 0 443.566 -0.150 20 0 IBADRN CC[C@H](NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N1CCOCC1 ZINC000439055463 1072048692 /nfs/dbraw/zinc/04/86/92/1072048692.db2.gz LRGNDXNRXZOEEN-TWMKSMIVSA-N 0 0 443.566 -0.150 20 0 IBADRN CC[C@H](NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N1CCOCC1 ZINC000439055464 1072048617 /nfs/dbraw/zinc/04/86/17/1072048617.db2.gz LRGNDXNRXZOEEN-VQHPVUNQSA-N 0 0 443.566 -0.150 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000439056023 1072048641 /nfs/dbraw/zinc/04/86/41/1072048641.db2.gz JUIBCOAXPQBGLQ-AWEZNQCLSA-N 0 0 427.531 -0.870 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000439056025 1072048726 /nfs/dbraw/zinc/04/87/26/1072048726.db2.gz JUIBCOAXPQBGLQ-CQSZACIVSA-N 0 0 427.531 -0.870 20 0 IBADRN CC[C@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)N1CCOCC1 ZINC000439057074 1072048602 /nfs/dbraw/zinc/04/86/02/1072048602.db2.gz PUHBGOLFSDISIN-BBRMVZONSA-N 0 0 438.506 -0.560 20 0 IBADRN CC[C@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)N1CCOCC1 ZINC000439057075 1072048747 /nfs/dbraw/zinc/04/87/47/1072048747.db2.gz PUHBGOLFSDISIN-CJNGLKHVSA-N 0 0 438.506 -0.560 20 0 IBADRN CC[C@@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)N1CCOCC1 ZINC000439057076 1072048779 /nfs/dbraw/zinc/04/87/79/1072048779.db2.gz PUHBGOLFSDISIN-CZUORRHYSA-N 0 0 438.506 -0.560 20 0 IBADRN CC[C@@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)N1CCOCC1 ZINC000439057077 1072048676 /nfs/dbraw/zinc/04/86/76/1072048676.db2.gz PUHBGOLFSDISIN-XJKSGUPXSA-N 0 0 438.506 -0.560 20 0 IBADRN Cc1c(C(=O)NCCS(=O)(=O)NCc2ccccc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439057296 1072048593 /nfs/dbraw/zinc/04/85/93/1072048593.db2.gz KWZXGPVYKCVLKY-UHFFFAOYSA-N 0 0 445.501 -0.210 20 0 IBADRN Cc1cc(N2CCC(NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2)n[nH]1 ZINC000439058101 1072048564 /nfs/dbraw/zinc/04/85/64/1072048564.db2.gz MOAXXNVAVARYOE-UHFFFAOYSA-N 0 0 433.513 -0.600 20 0 IBADRN CCc1c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[nH]c(C)c1C(N)=O ZINC000439058148 1072048706 /nfs/dbraw/zinc/04/87/06/1072048706.db2.gz MSZYCRFXVIWLML-UHFFFAOYSA-N 0 0 426.543 -0.766 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1 ZINC000439059130 1072046985 /nfs/dbraw/zinc/04/69/85/1072046985.db2.gz TYVYKLPNUWFYLK-UHFFFAOYSA-N 0 0 437.566 -0.089 20 0 IBADRN CC[C@@H](NC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)C(=O)N1CCOCC1 ZINC000439059221 1072047125 /nfs/dbraw/zinc/04/71/25/1072047125.db2.gz UQXJBOAVKSNOSK-CVEARBPZSA-N 0 0 430.461 -0.330 20 0 IBADRN CC[C@H](NC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)C(=O)N1CCOCC1 ZINC000439059224 1072047131 /nfs/dbraw/zinc/04/71/31/1072047131.db2.gz UQXJBOAVKSNOSK-HOTGVXAUSA-N 0 0 430.461 -0.330 20 0 IBADRN CC[C@@H](NC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)C(=O)N1CCOCC1 ZINC000439059226 1072047138 /nfs/dbraw/zinc/04/71/38/1072047138.db2.gz UQXJBOAVKSNOSK-HZPDHXFCSA-N 0 0 430.461 -0.330 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000439059435 1072047117 /nfs/dbraw/zinc/04/71/17/1072047117.db2.gz XCKPFWVXQMHWOY-UHFFFAOYSA-N 0 0 448.567 -0.308 20 0 IBADRN CC[C@H](NC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)C(=O)N1CCOCC1 ZINC000439059502 1072047053 /nfs/dbraw/zinc/04/70/53/1072047053.db2.gz UQXJBOAVKSNOSK-JKSUJKDBSA-N 0 0 430.461 -0.330 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000439059697 1072046994 /nfs/dbraw/zinc/04/69/94/1072046994.db2.gz RGCFVVWLDDYSEV-CYBMUJFWSA-N 0 0 449.537 -0.454 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000439059701 1072047058 /nfs/dbraw/zinc/04/70/58/1072047058.db2.gz RGCFVVWLDDYSEV-ZDUSSCGKSA-N 0 0 449.537 -0.454 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000439060254 1072047044 /nfs/dbraw/zinc/04/70/44/1072047044.db2.gz XRJVFPBLJWNWFZ-UHFFFAOYSA-N 0 0 448.523 -0.977 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000439060527 1072047210 /nfs/dbraw/zinc/04/72/10/1072047210.db2.gz YRQAIGJNMDJACC-UHFFFAOYSA-N 0 0 434.540 -0.350 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000439060655 1072047607 /nfs/dbraw/zinc/04/76/07/1072047607.db2.gz VONZKIXJWLKVDO-CYBMUJFWSA-N 0 0 430.509 -0.387 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000439060656 1072047527 /nfs/dbraw/zinc/04/75/27/1072047527.db2.gz VONZKIXJWLKVDO-ZDUSSCGKSA-N 0 0 430.509 -0.387 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000439060679 1072047598 /nfs/dbraw/zinc/04/75/98/1072047598.db2.gz VYCOTNOGCRXFCR-UHFFFAOYSA-N 0 0 431.540 -0.621 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000439061134 1072047557 /nfs/dbraw/zinc/04/75/57/1072047557.db2.gz ZBAQQJIMMSMYFP-UHFFFAOYSA-N 0 0 426.542 -0.883 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NCc1ccccc1OCC(=O)NC[C@@H]1CCCO1 ZINC000439061276 1072047641 /nfs/dbraw/zinc/04/76/41/1072047641.db2.gz ZSEABTVPOSLNMI-HNNXBMFYSA-N 0 0 428.511 -0.291 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NCc1ccccc1OCC(=O)NC[C@H]1CCCO1 ZINC000439061277 1072047647 /nfs/dbraw/zinc/04/76/47/1072047647.db2.gz ZSEABTVPOSLNMI-OAHLLOKOSA-N 0 0 428.511 -0.291 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)c1C ZINC000439061677 1072047612 /nfs/dbraw/zinc/04/76/12/1072047612.db2.gz URMAWRKBDYIGAT-UHFFFAOYSA-N 0 0 429.433 -0.007 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3O)CC2)c1C ZINC000439062304 1072047586 /nfs/dbraw/zinc/04/75/86/1072047586.db2.gz XRAIJAISKPBZRT-UHFFFAOYSA-N 0 0 429.433 -0.007 20 0 IBADRN CC[C@H](NC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)C(=O)N1CCOCC1 ZINC000439062920 1072047663 /nfs/dbraw/zinc/04/76/63/1072047663.db2.gz CRSYKIHTNIIMLN-AWEZNQCLSA-N 0 0 446.551 -0.584 20 0 IBADRN CC[C@@H](NC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)C(=O)N1CCOCC1 ZINC000439062927 1072047550 /nfs/dbraw/zinc/04/75/50/1072047550.db2.gz CRSYKIHTNIIMLN-CQSZACIVSA-N 0 0 446.551 -0.584 20 0 IBADRN Cc1c(C(=O)NCCCn2nc3n(c2=O)CCCC3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439063689 1072049662 /nfs/dbraw/zinc/04/96/62/1072049662.db2.gz IBEZCXHCRPVUDQ-UHFFFAOYSA-N 0 0 427.465 -0.545 20 0 IBADRN CC(C)[C@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C(=O)N1CCOCC1 ZINC000439064895 1072049751 /nfs/dbraw/zinc/04/97/51/1072049751.db2.gz NPFGMPOVRXNGEC-INIZCTEOSA-N 0 0 440.478 -0.759 20 0 IBADRN CC(C)[C@@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C(=O)N1CCOCC1 ZINC000439064900 1072049695 /nfs/dbraw/zinc/04/96/95/1072049695.db2.gz NPFGMPOVRXNGEC-MRXNPFEDSA-N 0 0 440.478 -0.759 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000439065125 1072049658 /nfs/dbraw/zinc/04/96/58/1072049658.db2.gz IOTHHDQARCEXJD-UHFFFAOYSA-N 0 0 449.555 -0.411 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000439065711 1072049625 /nfs/dbraw/zinc/04/96/25/1072049625.db2.gz JTGRIGGWKIWZML-UHFFFAOYSA-N 0 0 448.552 -0.744 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)NCC2CN(S(=O)(=O)CC)C2)C1 ZINC000439067745 1072049608 /nfs/dbraw/zinc/04/96/08/1072049608.db2.gz GWHARLGHGQFMTD-HNNXBMFYSA-N 0 0 432.543 -0.289 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)NCC2CN(S(=O)(=O)CC)C2)C1 ZINC000439067747 1072049719 /nfs/dbraw/zinc/04/97/19/1072049719.db2.gz GWHARLGHGQFMTD-OAHLLOKOSA-N 0 0 432.543 -0.289 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000439068834 1072050018 /nfs/dbraw/zinc/05/00/18/1072050018.db2.gz JCRAMDOABIZZEK-UHFFFAOYSA-N 0 0 434.540 -0.803 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000439069168 1072049941 /nfs/dbraw/zinc/04/99/41/1072049941.db2.gz XPDPMVJEEPUWEB-AWEZNQCLSA-N 0 0 434.540 -0.508 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000439069170 1072050091 /nfs/dbraw/zinc/05/00/91/1072050091.db2.gz XPDPMVJEEPUWEB-CQSZACIVSA-N 0 0 434.540 -0.508 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000439069262 1072050159 /nfs/dbraw/zinc/05/01/59/1072050159.db2.gz JJKJGLSMTLBHBV-AWEZNQCLSA-N 0 0 426.561 -0.944 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000439069263 1072050031 /nfs/dbraw/zinc/05/00/31/1072050031.db2.gz JJKJGLSMTLBHBV-CQSZACIVSA-N 0 0 426.561 -0.944 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000439069704 1072050183 /nfs/dbraw/zinc/05/01/83/1072050183.db2.gz LBYMVWJDBZYFST-UHFFFAOYSA-N 0 0 430.961 -0.033 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000439070316 1072050115 /nfs/dbraw/zinc/05/01/15/1072050115.db2.gz MFOHQMPXWITGRC-UHFFFAOYSA-N 0 0 429.524 -0.312 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000439070378 1072050168 /nfs/dbraw/zinc/05/01/68/1072050168.db2.gz MTYKXPTXCFHUAB-UHFFFAOYSA-N 0 0 435.528 -0.887 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000439071062 1072050125 /nfs/dbraw/zinc/05/01/25/1072050125.db2.gz OKXISBPERIFHKG-UHFFFAOYSA-N 0 0 445.567 -0.553 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000439071088 1072049972 /nfs/dbraw/zinc/04/99/72/1072049972.db2.gz OQFNRSSHKPXPTL-UHFFFAOYSA-N 0 0 430.552 -0.260 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000439072216 1072050102 /nfs/dbraw/zinc/05/01/02/1072050102.db2.gz SUOGWAAXIMYBLJ-UHFFFAOYSA-N 0 0 448.567 -0.308 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)C1 ZINC000439072776 1072049179 /nfs/dbraw/zinc/04/91/79/1072049179.db2.gz RQFKDXBRHCDITG-UHFFFAOYSA-N 0 0 429.587 -0.002 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)cn1 ZINC000439072889 1072049123 /nfs/dbraw/zinc/04/91/23/1072049123.db2.gz AIODXXFGGMSOFB-UHFFFAOYSA-N 0 0 435.572 -0.043 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)C1 ZINC000439072980 1072049304 /nfs/dbraw/zinc/04/93/04/1072049304.db2.gz VGQLADHHKSFWKQ-UHFFFAOYSA-N 0 0 447.539 -0.886 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(c2ncc(Br)c(OC)n2)CC1 ZINC000439073081 1072049172 /nfs/dbraw/zinc/04/91/72/1072049172.db2.gz BLVWRXMRHDRSSE-UHFFFAOYSA-N 0 0 437.320 -0.372 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NC[C@@H](c1ccc(OC)c(OC)c1)N1CCOCC1 ZINC000439073128 1072048719 /nfs/dbraw/zinc/04/87/19/1072048719.db2.gz BZEBKBZKPIBHAR-HNNXBMFYSA-N 0 0 430.527 -0.075 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NC[C@H](c1ccc(OC)c(OC)c1)N1CCOCC1 ZINC000439073129 1072048680 /nfs/dbraw/zinc/04/86/80/1072048680.db2.gz BZEBKBZKPIBHAR-OAHLLOKOSA-N 0 0 430.527 -0.075 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000439073748 1072049231 /nfs/dbraw/zinc/04/92/31/1072049231.db2.gz VAKIMEPKAGZVSR-GFCCVEGCSA-N 0 0 425.554 -0.537 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000439073751 1072049141 /nfs/dbraw/zinc/04/91/41/1072049141.db2.gz VAKIMEPKAGZVSR-LBPRGKRZSA-N 0 0 425.554 -0.537 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCS(=O)(=O)NC)c(OC)c1 ZINC000439074353 1072049272 /nfs/dbraw/zinc/04/92/72/1072049272.db2.gz BJIMFWYYURFKMN-UHFFFAOYSA-N 0 0 429.542 -0.326 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000439074418 1072049133 /nfs/dbraw/zinc/04/91/33/1072049133.db2.gz WHOBRYKWBCQRFI-UHFFFAOYSA-N 0 0 448.571 -0.974 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)C1 ZINC000439074986 1072049162 /nfs/dbraw/zinc/04/91/62/1072049162.db2.gz ZKMSBGWYZBKFKB-HNNXBMFYSA-N 0 0 433.575 -0.864 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)C1 ZINC000439075005 1072049203 /nfs/dbraw/zinc/04/92/03/1072049203.db2.gz ZKMSBGWYZBKFKB-OAHLLOKOSA-N 0 0 433.575 -0.864 20 0 IBADRN Cc1cc(N2CCC(NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)CC2)n[nH]1 ZINC000439075484 1072049213 /nfs/dbraw/zinc/04/92/13/1072049213.db2.gz YJDVVUHVDUEIRQ-UHFFFAOYSA-N 0 0 441.558 -0.409 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000439075497 1072049194 /nfs/dbraw/zinc/04/91/94/1072049194.db2.gz YKDQQOLIZNCJNI-UHFFFAOYSA-N 0 0 448.571 -0.846 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000439075757 1072049109 /nfs/dbraw/zinc/04/91/09/1072049109.db2.gz HIWBLQUAHISRNI-UHFFFAOYSA-N 0 0 432.524 -0.546 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000439078489 1072049260 /nfs/dbraw/zinc/04/92/60/1072049260.db2.gz ODENNMLMQVIAFQ-UHFFFAOYSA-N 0 0 428.286 -0.393 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)cn1 ZINC000439078769 1072049686 /nfs/dbraw/zinc/04/96/86/1072049686.db2.gz MVEVLBCQWJNLSX-UHFFFAOYSA-N 0 0 433.512 -0.170 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000439080348 1072049678 /nfs/dbraw/zinc/04/96/78/1072049678.db2.gz XBTKPNYWPQNPQC-UHFFFAOYSA-N 0 0 430.512 -0.872 20 0 IBADRN Cc1c(C(=O)N2CCCC[C@H]2C(=O)N2CCOCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439081566 1072050838 /nfs/dbraw/zinc/05/08/38/1072050838.db2.gz ZYOPBAYTIMHUQZ-HNNXBMFYSA-N 0 0 429.477 -0.206 20 0 IBADRN Cc1c(C(=O)N2CCCC[C@@H]2C(=O)N2CCOCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439081567 1072050768 /nfs/dbraw/zinc/05/07/68/1072050768.db2.gz ZYOPBAYTIMHUQZ-OAHLLOKOSA-N 0 0 429.477 -0.206 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCCC2)cc1C ZINC000439081907 1073315446 /nfs/dbraw/zinc/31/54/46/1073315446.db2.gz ZSWWNZVUXFTBMH-KRWDZBQOSA-N 0 0 440.504 0.226 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCCC2)cc1C ZINC000439081908 1073315347 /nfs/dbraw/zinc/31/53/47/1073315347.db2.gz ZSWWNZVUXFTBMH-QGZVFWFLSA-N 0 0 440.504 0.226 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@H](NC(=O)Nc3ccc(F)cc3)C2)n1 ZINC000439082787 1072050678 /nfs/dbraw/zinc/05/06/78/1072050678.db2.gz AFXIAAVHRYYSHC-AWEZNQCLSA-N 0 0 446.443 -0.134 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@@H](NC(=O)Nc3ccc(F)cc3)C2)n1 ZINC000439082788 1072050722 /nfs/dbraw/zinc/05/07/22/1072050722.db2.gz AFXIAAVHRYYSHC-CQSZACIVSA-N 0 0 446.443 -0.134 20 0 IBADRN CC1(C)C(NC(=O)[C@@H]2CCS(=O)(=O)C2)C(C)(C)C1NC(=O)[C@@H]1CCS(=O)(=O)C1 ZINC000439083367 1072050556 /nfs/dbraw/zinc/05/05/56/1072050556.db2.gz CGHDHCRGAJHTGR-CPWXYTRJSA-N 0 0 434.580 -0.109 20 0 IBADRN CC1(C)C(NC(=O)[C@H]2CCS(=O)(=O)C2)C(C)(C)C1NC(=O)[C@H]1CCS(=O)(=O)C1 ZINC000439083368 1072050695 /nfs/dbraw/zinc/05/06/95/1072050695.db2.gz CGHDHCRGAJHTGR-RBAVJSINSA-N 0 0 434.580 -0.109 20 0 IBADRN CC1(C)C(NC(=O)[C@H]2CCS(=O)(=O)C2)C(C)(C)C1NC(=O)[C@@H]1CCS(=O)(=O)C1 ZINC000439083369 1072050632 /nfs/dbraw/zinc/05/06/32/1072050632.db2.gz CGHDHCRGAJHTGR-RJAIZQQDSA-N 0 0 434.580 -0.109 20 0 IBADRN Cc1c(C(=O)N[C@H](CC(C)C)C(=O)Nc2cc[nH]n2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439084426 1073317580 /nfs/dbraw/zinc/31/75/80/1073317580.db2.gz JWMFXFKBCHGSET-CYBMUJFWSA-N 0 0 427.465 0.447 20 0 IBADRN Cc1c(C(=O)N[C@@H](CC(C)C)C(=O)Nc2cc[nH]n2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439084427 1073317486 /nfs/dbraw/zinc/31/74/86/1073317486.db2.gz JWMFXFKBCHGSET-ZDUSSCGKSA-N 0 0 427.465 0.447 20 0 IBADRN Cc1c(C(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439085101 1072050752 /nfs/dbraw/zinc/05/07/52/1072050752.db2.gz MVJWIUMSCVYFMF-UHFFFAOYSA-N 0 0 438.444 -0.202 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCCC2)cn1 ZINC000439085578 1072050613 /nfs/dbraw/zinc/05/06/13/1072050613.db2.gz MZWPOCRXSUQRHK-KRWDZBQOSA-N 0 0 426.477 -0.083 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCCC2)cn1 ZINC000439085579 1072051158 /nfs/dbraw/zinc/05/11/58/1072051158.db2.gz MZWPOCRXSUQRHK-QGZVFWFLSA-N 0 0 426.477 -0.083 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)Nc3ccc(F)cc3)C2)n1 ZINC000439085582 1072051326 /nfs/dbraw/zinc/05/13/26/1072051326.db2.gz NAVPCLSDZYYFSA-HNNXBMFYSA-N 0 0 445.455 0.472 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)Nc3ccc(F)cc3)C2)n1 ZINC000439085583 1073303391 /nfs/dbraw/zinc/30/33/91/1073303391.db2.gz NAVPCLSDZYYFSA-OAHLLOKOSA-N 0 0 445.455 0.472 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC1(CC(=O)OC)CCS(=O)(=O)CC1 ZINC000439086312 1072051224 /nfs/dbraw/zinc/05/12/24/1072051224.db2.gz BEJNZVVXQIGOQN-GFCCVEGCSA-N 0 0 426.513 -0.641 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC1(CC(=O)OC)CCS(=O)(=O)CC1 ZINC000439086315 1072051241 /nfs/dbraw/zinc/05/12/41/1072051241.db2.gz BEJNZVVXQIGOQN-LBPRGKRZSA-N 0 0 426.513 -0.641 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)CCS(=O)(=O)CC1 ZINC000439086774 1072051288 /nfs/dbraw/zinc/05/12/88/1072051288.db2.gz BVRDXECACIUUEQ-UHFFFAOYSA-N 0 0 444.487 -0.299 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)c2cccc(-n3cnnn3)c2)CCS(=O)(=O)CC1 ZINC000439087668 1072051209 /nfs/dbraw/zinc/05/12/09/1072051209.db2.gz OUSVJBRLQLRJFP-UHFFFAOYSA-N 0 0 429.480 -0.549 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000439089895 1072051333 /nfs/dbraw/zinc/05/13/33/1072051333.db2.gz VNZPUOORRFCLJM-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN Cn1cc(C(=O)NC2C(C)(C)C(NC(=O)c3cn(C)c(=O)[nH]c3=O)C2(C)C)c(=O)[nH]c1=O ZINC000439090061 1072051315 /nfs/dbraw/zinc/05/13/15/1072051315.db2.gz UBNUQNFRCFNAKL-UHFFFAOYSA-N 0 0 446.464 -0.752 20 0 IBADRN Cc1c(C(=O)Nc2cnn(CCN3CCOCC3)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439091022 1072051183 /nfs/dbraw/zinc/05/11/83/1072051183.db2.gz MIUIKXAJRYTWAL-UHFFFAOYSA-N 0 0 427.465 -0.278 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CCS(=O)(=O)CC1 ZINC000439091914 1072051306 /nfs/dbraw/zinc/05/13/06/1072051306.db2.gz XJYUKCPEKOCJFO-UHFFFAOYSA-N 0 0 444.531 -0.059 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@H](NC(=O)Nc3ccc(F)cc3)C2)cc1C ZINC000439092314 1073314762 /nfs/dbraw/zinc/31/47/62/1073314762.db2.gz XUFVVQYNYCRQCX-HNNXBMFYSA-N 0 0 445.455 0.438 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@@H](NC(=O)Nc3ccc(F)cc3)C2)cc1C ZINC000439092319 1073314933 /nfs/dbraw/zinc/31/49/33/1073314933.db2.gz XUFVVQYNYCRQCX-OAHLLOKOSA-N 0 0 445.455 0.438 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)c2cccc(-c3nnnn3C)c2)CCS(=O)(=O)CC1 ZINC000439093318 1072051159 /nfs/dbraw/zinc/05/11/59/1072051159.db2.gz DDUHSTAUTSAORK-UHFFFAOYSA-N 0 0 443.507 -0.334 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](CNC(=O)Nc2cccc(F)c2)C1)S(N)(=O)=O ZINC000439093351 1072051297 /nfs/dbraw/zinc/05/12/97/1072051297.db2.gz DKZRRJJGUSXYHM-CHWSQXEVSA-N 0 0 443.501 -0.021 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](CNC(=O)Nc2cccc(F)c2)C1)S(N)(=O)=O ZINC000439093352 1072051798 /nfs/dbraw/zinc/05/17/98/1072051798.db2.gz DKZRRJJGUSXYHM-OLZOCXBDSA-N 0 0 443.501 -0.021 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](CNC(=O)Nc2cccc(F)c2)C1)S(N)(=O)=O ZINC000439093353 1072051861 /nfs/dbraw/zinc/05/18/61/1072051861.db2.gz DKZRRJJGUSXYHM-QWHCGFSZSA-N 0 0 443.501 -0.021 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](CNC(=O)Nc2cccc(F)c2)C1)S(N)(=O)=O ZINC000439093354 1072051705 /nfs/dbraw/zinc/05/17/05/1072051705.db2.gz DKZRRJJGUSXYHM-STQMWFEESA-N 0 0 443.501 -0.021 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)cn1C ZINC000439093686 1072051852 /nfs/dbraw/zinc/05/18/52/1072051852.db2.gz UIDRHXJCQRMTPW-UHFFFAOYSA-N 0 0 436.508 -0.009 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2cncc(N3CCN(CC(=O)NC(C)C)CC3)n2)CC1 ZINC000439093757 1072051815 /nfs/dbraw/zinc/05/18/15/1072051815.db2.gz AJXKIZZSQHWUCX-UHFFFAOYSA-N 0 0 446.600 -0.230 20 0 IBADRN COC(=O)c1cc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)ccc1OC ZINC000439093766 1072051788 /nfs/dbraw/zinc/05/17/88/1072051788.db2.gz FKOLYKYUPWNGCA-NVQRDWNXSA-N 0 0 445.432 -0.155 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCNC(=O)c2cccnc2)c(S(N)(=O)=O)c1 ZINC000439094374 1072051757 /nfs/dbraw/zinc/05/17/57/1072051757.db2.gz GVKUSXHIDSQAGB-UHFFFAOYSA-N 0 0 427.508 -0.179 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000439095115 1072051806 /nfs/dbraw/zinc/05/18/06/1072051806.db2.gz WXFAYCZSFGLFRA-UHFFFAOYSA-N 0 0 441.329 -0.533 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCCC2)n1 ZINC000439095980 1073303899 /nfs/dbraw/zinc/30/38/99/1073303899.db2.gz FEUQCPSLFLNHCN-KRWDZBQOSA-N 0 0 440.504 0.260 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCCC2)n1 ZINC000439095982 1072051747 /nfs/dbraw/zinc/05/17/47/1072051747.db2.gz FEUQCPSLFLNHCN-QGZVFWFLSA-N 0 0 440.504 0.260 20 0 IBADRN Cc1cc(C)n2nc(Sc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)nc2n1 ZINC000439098227 1072050706 /nfs/dbraw/zinc/05/07/06/1072050706.db2.gz KJALYNCNHXGPKT-SDBHATRESA-N 0 0 430.450 -0.356 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3Sc2nnnn2Cc2cccs2)[C@H](O)[C@@H]1O ZINC000439098614 1072053264 /nfs/dbraw/zinc/05/32/64/1072053264.db2.gz JYPKGGDVEVHGAD-SDBHATRESA-N 0 0 448.490 -0.315 20 0 IBADRN CC(=O)N1CCN(CCNc2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000439098740 1072053343 /nfs/dbraw/zinc/05/33/43/1072053343.db2.gz LTRUOHWZNNTLFJ-UHFFFAOYSA-N 0 0 433.556 -0.840 20 0 IBADRN COc1ccc(OCCN(C)c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000439098905 1072053203 /nfs/dbraw/zinc/05/32/03/1072053203.db2.gz RAYNRGJTHLBPRP-WVSUBDOOSA-N 0 0 431.449 -0.038 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCc2cccc(S(N)(=O)=O)c2)c(S(N)(=O)=O)c1 ZINC000439099070 1072053322 /nfs/dbraw/zinc/05/33/22/1072053322.db2.gz KGRLIDNCFCJNBJ-UHFFFAOYSA-N 0 0 448.548 -0.156 20 0 IBADRN Cc1csc(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)n1 ZINC000439099244 1072052769 /nfs/dbraw/zinc/05/27/69/1072052769.db2.gz KYWCEMXTUUPPSE-LSCFUAHRSA-N 0 0 433.494 -0.471 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CCS(=O)(=O)CC1 ZINC000439099928 1072053236 /nfs/dbraw/zinc/05/32/36/1072053236.db2.gz UURBQJSQAPESNW-UHFFFAOYSA-N 0 0 436.552 -0.183 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000439100349 1072053365 /nfs/dbraw/zinc/05/33/65/1072053365.db2.gz SOKVQRVLRPUIDQ-UHFFFAOYSA-N 0 0 439.459 -0.645 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1cc(S(=O)(=O)N2CCCCC2)ccc1C ZINC000439100548 1072053334 /nfs/dbraw/zinc/05/33/34/1072053334.db2.gz ODMUZFHHHBNBAS-UHFFFAOYSA-N 0 0 439.581 -0.003 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@@H]2CCN(CC(F)(F)F)C2)[C@H](O)[C@@H]1O ZINC000439100565 1072053779 /nfs/dbraw/zinc/05/37/79/1072053779.db2.gz QWWCLKYLTPDGGI-UOYPZJKHSA-N 0 0 432.403 -0.266 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@H]2CCN(CC(F)(F)F)C2)[C@H](O)[C@@H]1O ZINC000439100572 1072053802 /nfs/dbraw/zinc/05/38/02/1072053802.db2.gz QWWCLKYLTPDGGI-ZGOQAQPGSA-N 0 0 432.403 -0.266 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000439100682 1072053654 /nfs/dbraw/zinc/05/36/54/1072053654.db2.gz RMFDAWUGEGJJKT-UHFFFAOYSA-N 0 0 447.583 -0.769 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)CCS(=O)(=O)CC1 ZINC000439100997 1072053643 /nfs/dbraw/zinc/05/36/43/1072053643.db2.gz XRSUXXOBPGXXRK-UHFFFAOYSA-N 0 0 429.480 -0.549 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000439102447 1072053696 /nfs/dbraw/zinc/05/36/96/1072053696.db2.gz XYVNROACMHPJSB-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCCCC2)c1 ZINC000439102517 1072053732 /nfs/dbraw/zinc/05/37/32/1072053732.db2.gz SRPLMQBHIOMVCE-UHFFFAOYSA-N 0 0 439.581 -0.003 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC2CCN(c3ccccn3)CC2)[C@H](O)[C@@H]1O ZINC000439103134 1072053758 /nfs/dbraw/zinc/05/37/58/1072053758.db2.gz SHVIYRLJLKUHCP-AEVYOOLXSA-N 0 0 427.465 -0.086 20 0 IBADRN O=S(=O)(CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000439103463 1072053703 /nfs/dbraw/zinc/05/37/03/1072053703.db2.gz UYFAMCLIHLVWAV-SCFUHWHPSA-N 0 0 435.462 -0.676 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(c3cnccn3)CC2)c(S(N)(=O)=O)c1 ZINC000439103537 1072053660 /nfs/dbraw/zinc/05/36/60/1072053660.db2.gz XAPYDWUNKFLXTG-UHFFFAOYSA-N 0 0 426.524 -0.299 20 0 IBADRN Cn1c(Sc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)nnc1-c1ccco1 ZINC000439103586 1072054231 /nfs/dbraw/zinc/05/42/31/1072054231.db2.gz XMAUYYOQQUDWNN-UBEDBUPSSA-N 0 0 431.434 -0.023 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@H](O)COc2ccc(F)cc2)[C@H](O)[C@@H]1O ZINC000439104486 1072054185 /nfs/dbraw/zinc/05/41/85/1072054185.db2.gz AAENAQHEQJRKTP-KFAHYOAQSA-N 0 0 435.412 -0.571 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@@H](O)COc2ccc(F)cc2)[C@H](O)[C@@H]1O ZINC000439104487 1072054120 /nfs/dbraw/zinc/05/41/20/1072054120.db2.gz AAENAQHEQJRKTP-SSFGXONLSA-N 0 0 435.412 -0.571 20 0 IBADRN O=C(CN1CCN(c2cncc(N3CCN(CC(=O)NC4CC4)CC3)n2)CC1)NC1CC1 ZINC000439105111 1072054169 /nfs/dbraw/zinc/05/41/69/1072054169.db2.gz HABZHVSNMKRODW-UHFFFAOYSA-N 0 0 442.568 -0.722 20 0 IBADRN CCN(CCCNc1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O)S(C)(=O)=O ZINC000439105800 1072054309 /nfs/dbraw/zinc/05/43/09/1072054309.db2.gz FYQZCEMANRAIDY-UHFFFAOYSA-N 0 0 442.585 -0.332 20 0 IBADRN C[C@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000439105932 1072054295 /nfs/dbraw/zinc/05/42/95/1072054295.db2.gz FPVFZNHRNDIPLN-AWEZNQCLSA-N 0 0 432.568 -0.527 20 0 IBADRN C[C@@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000439105933 1072054113 /nfs/dbraw/zinc/05/41/13/1072054113.db2.gz FPVFZNHRNDIPLN-CQSZACIVSA-N 0 0 432.568 -0.527 20 0 IBADRN Cc1ccc(C(=O)NCCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1F ZINC000439106587 1072051731 /nfs/dbraw/zinc/05/17/31/1072051731.db2.gz MIYOYKYCANERJY-KHTYJDQRSA-N 0 0 446.439 -0.273 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)cc1 ZINC000439108631 1072052358 /nfs/dbraw/zinc/05/23/58/1072052358.db2.gz CWFHXPKRCMARMJ-UHFFFAOYSA-N 0 0 425.445 -0.005 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)N3CCN(CCS(N)(=O)=O)CC3)CC2=O)c1 ZINC000439110218 1072052403 /nfs/dbraw/zinc/05/24/03/1072052403.db2.gz RAQDCZCQZPPUML-AWEZNQCLSA-N 0 0 440.522 -0.511 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)N3CCN(CCS(N)(=O)=O)CC3)CC2=O)c1 ZINC000439110219 1072052326 /nfs/dbraw/zinc/05/23/26/1072052326.db2.gz RAQDCZCQZPPUML-CQSZACIVSA-N 0 0 440.522 -0.511 20 0 IBADRN Cc1cccc(C(=O)NCCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000439110801 1072052292 /nfs/dbraw/zinc/05/22/92/1072052292.db2.gz WDYLRXLREHXNBU-KHTYJDQRSA-N 0 0 428.449 -0.412 20 0 IBADRN COC[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H](C)O1 ZINC000439117880 1072054783 /nfs/dbraw/zinc/05/47/83/1072054783.db2.gz KZUZFVUDLFNWOS-DLBZAZTESA-N 0 0 441.554 -0.021 20 0 IBADRN COC[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@@H](C)O1 ZINC000439117881 1072054875 /nfs/dbraw/zinc/05/48/75/1072054875.db2.gz KZUZFVUDLFNWOS-IAGOWNOFSA-N 0 0 441.554 -0.021 20 0 IBADRN COC[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H](C)O1 ZINC000439117882 1072054665 /nfs/dbraw/zinc/05/46/65/1072054665.db2.gz KZUZFVUDLFNWOS-IRXDYDNUSA-N 0 0 441.554 -0.021 20 0 IBADRN COC[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@@H](C)O1 ZINC000439117883 1072054838 /nfs/dbraw/zinc/05/48/38/1072054838.db2.gz KZUZFVUDLFNWOS-SJORKVTESA-N 0 0 441.554 -0.021 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000439120438 1072054890 /nfs/dbraw/zinc/05/48/90/1072054890.db2.gz RIFTUBUTGHWGQG-GXTWGEPZSA-N 0 0 431.493 -0.056 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000439120439 1072055342 /nfs/dbraw/zinc/05/53/42/1072055342.db2.gz RIFTUBUTGHWGQG-JSGCOSHPSA-N 0 0 431.493 -0.056 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000439120440 1072055387 /nfs/dbraw/zinc/05/53/87/1072055387.db2.gz RIFTUBUTGHWGQG-OCCSQVGLSA-N 0 0 431.493 -0.056 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000439120441 1072055350 /nfs/dbraw/zinc/05/53/50/1072055350.db2.gz RIFTUBUTGHWGQG-TZMCWYRMSA-N 0 0 431.493 -0.056 20 0 IBADRN COc1ccnc(N2CCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000439122174 1072055357 /nfs/dbraw/zinc/05/53/57/1072055357.db2.gz GHXXWWFAXWXNPY-UHFFFAOYSA-N 0 0 425.449 -0.298 20 0 IBADRN CN1CC[N@H+](C)C[C@H]1CNC(=O)N1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000439122907 1072055218 /nfs/dbraw/zinc/05/52/18/1072055218.db2.gz ZUVDZDMGRNCQFA-CYBMUJFWSA-N 0 0 430.497 -0.608 20 0 IBADRN CN1CC[N@H+](C)C[C@@H]1CNC(=O)N1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000439122908 1072055365 /nfs/dbraw/zinc/05/53/65/1072055365.db2.gz ZUVDZDMGRNCQFA-ZDUSSCGKSA-N 0 0 430.497 -0.608 20 0 IBADRN C[C@H](CN1CC[NH+](C)CC1)NC(=O)N1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000439124882 1072055416 /nfs/dbraw/zinc/05/54/16/1072055416.db2.gz RBPOMTSJQPXKCA-CYBMUJFWSA-N 0 0 444.524 -0.217 20 0 IBADRN C[C@@H](CN1CC[NH+](C)CC1)NC(=O)N1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000439124884 1072055298 /nfs/dbraw/zinc/05/52/98/1072055298.db2.gz RBPOMTSJQPXKCA-ZDUSSCGKSA-N 0 0 444.524 -0.217 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000439128386 1072055845 /nfs/dbraw/zinc/05/58/45/1072055845.db2.gz NGSBVIHVZBMBOQ-UHFFFAOYSA-N 0 0 427.527 -0.304 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3ccc(O)cc3)CC2)[C@H](O)[C@@H]1O ZINC000439128539 1072055831 /nfs/dbraw/zinc/05/58/31/1072055831.db2.gz OLLATEQJGOOQAE-WVSUBDOOSA-N 0 0 428.449 -0.530 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3ccccc3F)CC2)[C@H](O)[C@@H]1O ZINC000439130644 1072054323 /nfs/dbraw/zinc/05/43/23/1072054323.db2.gz UJVVWRYJHHREMS-WVSUBDOOSA-N 0 0 430.440 -0.097 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(c3ncccn3)CC2)c(S(N)(=O)=O)c1 ZINC000439131370 1072054124 /nfs/dbraw/zinc/05/41/24/1072054124.db2.gz NLBFEOVUHNTPGB-UHFFFAOYSA-N 0 0 426.524 -0.299 20 0 IBADRN COc1cccc(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)c1 ZINC000439136165 1072056899 /nfs/dbraw/zinc/05/68/99/1072056899.db2.gz RQCWMSHTQKTLAH-QTQZEZTPSA-N 0 0 442.476 -0.227 20 0 IBADRN COCC[N@@H+]1CC[C@@H](NC(=O)N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C1 ZINC000439137135 1072057354 /nfs/dbraw/zinc/05/73/54/1072057354.db2.gz ZZPMCOJXLMECBY-CYBMUJFWSA-N 0 0 431.481 -0.133 20 0 IBADRN COCC[N@@H+]1CC[C@H](NC(=O)N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C1 ZINC000439137136 1072057435 /nfs/dbraw/zinc/05/74/35/1072057435.db2.gz ZZPMCOJXLMECBY-ZDUSSCGKSA-N 0 0 431.481 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)c(S(N)(=O)=O)c1 ZINC000439138904 1072057395 /nfs/dbraw/zinc/05/73/95/1072057395.db2.gz WNGPTIPACGSFCF-HNNXBMFYSA-N 0 0 446.551 -0.588 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3CCCO3)CC2)c(S(N)(=O)=O)c1 ZINC000439138905 1072057593 /nfs/dbraw/zinc/05/75/93/1072057593.db2.gz WNGPTIPACGSFCF-OAHLLOKOSA-N 0 0 446.551 -0.588 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000439147619 1072057966 /nfs/dbraw/zinc/05/79/66/1072057966.db2.gz NZZKOAVUNQOKPH-UHFFFAOYSA-N 0 0 425.577 -0.628 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(Cc3cccc(O)c3)CC2)[C@H](O)[C@@H]1O ZINC000439150658 1072056442 /nfs/dbraw/zinc/05/64/42/1072056442.db2.gz FFQRGMOTVXQXKW-QTQZEZTPSA-N 0 0 442.476 -0.534 20 0 IBADRN CCC[C@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C(=O)N1CCc2sccc2C1 ZINC000439155357 1072060134 /nfs/dbraw/zinc/06/01/34/1072060134.db2.gz PGOZFAZLQBKJSX-AAEUAGOBSA-N 0 0 430.552 -0.289 20 0 IBADRN CCC[C@@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C(=O)N1CCc2sccc2C1 ZINC000439155362 1072060770 /nfs/dbraw/zinc/06/07/70/1072060770.db2.gz PGOZFAZLQBKJSX-DGCLKSJQSA-N 0 0 430.552 -0.289 20 0 IBADRN CCC[C@@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C(=O)N1CCc2sccc2C1 ZINC000439155364 1072060757 /nfs/dbraw/zinc/06/07/57/1072060757.db2.gz PGOZFAZLQBKJSX-WCQYABFASA-N 0 0 430.552 -0.289 20 0 IBADRN CCC[C@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C(=O)N1CCc2sccc2C1 ZINC000439155365 1072060709 /nfs/dbraw/zinc/06/07/09/1072060709.db2.gz PGOZFAZLQBKJSX-YPMHNXCESA-N 0 0 430.552 -0.289 20 0 IBADRN CCOC(=O)CN1CCCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000439156136 1072060628 /nfs/dbraw/zinc/06/06/28/1072060628.db2.gz QLEYTUCDMOEVAG-UHFFFAOYSA-N 0 0 448.567 -0.341 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2cncc(N3CC[C@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000439157739 1072058544 /nfs/dbraw/zinc/05/85/44/1072058544.db2.gz GKFRGMSDNFYOCG-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2cncc(N3CC[C@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000439157740 1072058499 /nfs/dbraw/zinc/05/84/99/1072058499.db2.gz GKFRGMSDNFYOCG-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2cncc(N3CC[C@@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000439157741 1072058630 /nfs/dbraw/zinc/05/86/30/1072058630.db2.gz GKFRGMSDNFYOCG-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN CCS(=O)(=O)NCCCNc1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000439158240 1072058505 /nfs/dbraw/zinc/05/85/05/1072058505.db2.gz PANYFPJZZBVYBO-UHFFFAOYSA-N 0 0 428.558 -0.674 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(c3cccnn3)CC2)c(S(N)(=O)=O)c1 ZINC000439158830 1072058554 /nfs/dbraw/zinc/05/85/54/1072058554.db2.gz VYMCKMHRFHIYPQ-UHFFFAOYSA-N 0 0 426.524 -0.299 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000439159134 1072058641 /nfs/dbraw/zinc/05/86/41/1072058641.db2.gz ITNCQJYRQCTJHE-QTOWJTHWSA-N 0 0 438.485 -0.973 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3ccc(Cl)cn3)CC2)[C@H](O)[C@@H]1O ZINC000439159806 1072058541 /nfs/dbraw/zinc/05/85/41/1072058541.db2.gz YMDKIEHYNFJSNE-BGIGGGFGSA-N 0 0 447.883 -0.187 20 0 IBADRN Cn1cc(N2CCC[C@H](Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2)cn1 ZINC000439159882 1072058587 /nfs/dbraw/zinc/05/85/87/1072058587.db2.gz KCGDXAJJBQFCLC-KFAHYOAQSA-N 0 0 430.469 -0.748 20 0 IBADRN Cn1cc(N2CCC[C@@H](Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2)cn1 ZINC000439159883 1072058482 /nfs/dbraw/zinc/05/84/82/1072058482.db2.gz KCGDXAJJBQFCLC-SSFGXONLSA-N 0 0 430.469 -0.748 20 0 IBADRN O=C1[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1c1ccccc1F ZINC000439160023 1072058610 /nfs/dbraw/zinc/05/86/10/1072058610.db2.gz YLHRIYCJFXZHJT-FKMNLVFWSA-N 0 0 444.423 -0.206 20 0 IBADRN O=C1[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1c1ccccc1F ZINC000439160024 1072058579 /nfs/dbraw/zinc/05/85/79/1072058579.db2.gz YLHRIYCJFXZHJT-JSKICNKESA-N 0 0 444.423 -0.206 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(c3cnn(C)c3)CC2)c(S(N)(=O)=O)c1 ZINC000439160848 1072058568 /nfs/dbraw/zinc/05/85/68/1072058568.db2.gz VXDCFPAEPPJNIH-UHFFFAOYSA-N 0 0 428.540 -0.356 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCCC[C@@H]2CN2CCOCC2)[C@H](O)[C@@H]1O ZINC000439161581 1072059151 /nfs/dbraw/zinc/05/91/51/1072059151.db2.gz BWLHUZTXNDPXNJ-CDUMDVBJSA-N 0 0 434.497 -0.871 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCCC[C@H]2CN2CCOCC2)[C@H](O)[C@@H]1O ZINC000439161583 1072059038 /nfs/dbraw/zinc/05/90/38/1072059038.db2.gz BWLHUZTXNDPXNJ-SWQDORGXSA-N 0 0 434.497 -0.871 20 0 IBADRN COc1ccccc1CN(CCO)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000439161608 1072059100 /nfs/dbraw/zinc/05/91/00/1072059100.db2.gz XOZMTJKGBKRVDA-WVSUBDOOSA-N 0 0 431.449 -0.555 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000439161918 1072059016 /nfs/dbraw/zinc/05/90/16/1072059016.db2.gz BRIFTKVRIJQNEZ-QMBVYZDCSA-N 0 0 442.476 -0.451 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000439161919 1072058957 /nfs/dbraw/zinc/05/89/57/1072058957.db2.gz BRIFTKVRIJQNEZ-UAYHHJIBSA-N 0 0 442.476 -0.451 20 0 IBADRN CN(C)C(=O)c1cccc(CCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000439162200 1072058998 /nfs/dbraw/zinc/05/89/98/1072058998.db2.gz YUCDJSIQNVFOPS-VGKBRBPRSA-N 0 0 442.476 -0.206 20 0 IBADRN Cn1cc(N2CCN(c3cncc(N4CCN(c5cnn(C)c5)C(=O)C4)n3)CC2=O)cn1 ZINC000439163305 1072059086 /nfs/dbraw/zinc/05/90/86/1072059086.db2.gz RIBBWLDNKVRWQC-UHFFFAOYSA-N 0 0 436.480 -0.350 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCC2(CS(C)(=O)=O)CC2)c(S(N)(=O)=O)c1 ZINC000439164131 1072058985 /nfs/dbraw/zinc/05/89/85/1072058985.db2.gz AVETYPBZGMUHBX-UHFFFAOYSA-N 0 0 425.554 -0.179 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(c3cnn(C)c3)C(=O)C2)c(S(N)(=O)=O)c1 ZINC000439164232 1072059007 /nfs/dbraw/zinc/05/90/07/1072059007.db2.gz ULVWZLGIUMKRIH-UHFFFAOYSA-N 0 0 442.523 -0.829 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@H]2CCN(S(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000439164306 1072058910 /nfs/dbraw/zinc/05/89/10/1072058910.db2.gz HZPUYYAICCNIRM-LLVKDONJSA-N 0 0 440.569 -0.722 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@@H]2CCN(S(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000439164307 1072058932 /nfs/dbraw/zinc/05/89/32/1072058932.db2.gz HZPUYYAICCNIRM-NSHDSACASA-N 0 0 440.569 -0.722 20 0 IBADRN Cc1nc(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)sc1C ZINC000439164835 1072059679 /nfs/dbraw/zinc/05/96/79/1072059679.db2.gz VCKDIGMFYAEOMQ-SCFUHWHPSA-N 0 0 447.521 -0.162 20 0 IBADRN Cc1ccoc1C(=O)NCCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000439164853 1072059550 /nfs/dbraw/zinc/05/95/50/1072059550.db2.gz VGKBIEJQAJGXHI-HLFSEMCJSA-N 0 0 432.437 -0.429 20 0 IBADRN C[C@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CCN1S(C)(=O)=O ZINC000439164881 1072059575 /nfs/dbraw/zinc/05/95/75/1072059575.db2.gz HTFLPSGIBNDNIZ-NSHDSACASA-N 0 0 440.569 -0.946 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C1 ZINC000439166302 1072059617 /nfs/dbraw/zinc/05/96/17/1072059617.db2.gz WYTGMAQVPIFOIW-GFCCVEGCSA-N 0 0 440.569 -0.898 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C1 ZINC000439166303 1072059676 /nfs/dbraw/zinc/05/96/76/1072059676.db2.gz WYTGMAQVPIFOIW-LBPRGKRZSA-N 0 0 440.569 -0.898 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCN2CCCS2(=O)=O)c(S(N)(=O)=O)c1 ZINC000439167425 1072059628 /nfs/dbraw/zinc/05/96/28/1072059628.db2.gz MVGBAQYDXDQZHJ-UHFFFAOYSA-N 0 0 426.542 -0.968 20 0 IBADRN CN(C[C@@H](O)COCc1ccccc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000439167589 1072059597 /nfs/dbraw/zinc/05/95/97/1072059597.db2.gz LTJGBYBAZLKHRW-SQDDPSDBSA-N 0 0 445.476 -0.548 20 0 IBADRN CN(C[C@H](O)COCc1ccccc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000439167590 1072059607 /nfs/dbraw/zinc/05/96/07/1072059607.db2.gz LTJGBYBAZLKHRW-UQEZQTQMSA-N 0 0 445.476 -0.548 20 0 IBADRN C[C@H]1CN(c2cncc(N3CCN(S(C)(=O)=O)[C@@H](C)C3)n2)CCN1S(C)(=O)=O ZINC000439167591 1072059587 /nfs/dbraw/zinc/05/95/87/1072059587.db2.gz LTLCBVWDLFQRTF-KBPBESRZSA-N 0 0 432.572 -0.583 20 0 IBADRN C[C@H](N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1)C(F)(F)F ZINC000439167991 1072059660 /nfs/dbraw/zinc/05/96/60/1072059660.db2.gz NSCGIZQVHZYTNJ-UOYPZJKHSA-N 0 0 432.403 -0.489 20 0 IBADRN C[C@@H](N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1)C(F)(F)F ZINC000439167993 1072059523 /nfs/dbraw/zinc/05/95/23/1072059523.db2.gz NSCGIZQVHZYTNJ-ZGOQAQPGSA-N 0 0 432.403 -0.489 20 0 IBADRN CC(=O)Nc1cccc(OCCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000439169565 1072060215 /nfs/dbraw/zinc/06/02/15/1072060215.db2.gz OZHBRDFYUIEJSU-WVSUBDOOSA-N 0 0 444.448 -0.113 20 0 IBADRN CCc1cccc(NC(=O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000439170175 1072061244 /nfs/dbraw/zinc/06/12/44/1072061244.db2.gz OWRLYJZFBUNXOS-UHFFFAOYSA-N 0 0 438.444 -0.112 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCCN(c3nccs3)CC2)[C@H](O)[C@@H]1O ZINC000439170947 1072061310 /nfs/dbraw/zinc/06/13/10/1072061310.db2.gz UWBAFDFDCRHFJC-LSCFUAHRSA-N 0 0 433.494 -0.389 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNc2cncc(NC[C@@H]3CCN(S(C)(=O)=O)C3)n2)C1 ZINC000439172062 1072060843 /nfs/dbraw/zinc/06/08/43/1072060843.db2.gz XCRBLBSCRGJTJG-KBPBESRZSA-N 0 0 432.572 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNc2cncc(NC[C@@H]3CCN(S(C)(=O)=O)C3)n2)C1 ZINC000439172064 1072060724 /nfs/dbraw/zinc/06/07/24/1072060724.db2.gz XCRBLBSCRGJTJG-OKILXGFUSA-N 0 0 432.572 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNc2cncc(NC[C@H]3CCN(S(C)(=O)=O)C3)n2)C1 ZINC000439172065 1072060743 /nfs/dbraw/zinc/06/07/43/1072060743.db2.gz XCRBLBSCRGJTJG-ZIAGYGMSSA-N 0 0 432.572 -0.137 20 0 IBADRN Cc1c(C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439176273 1072060792 /nfs/dbraw/zinc/06/07/92/1072060792.db2.gz LMAAWQYXMBICGI-GFCCVEGCSA-N 0 0 449.475 -0.271 20 0 IBADRN Cc1c(C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439176274 1072060832 /nfs/dbraw/zinc/06/08/32/1072060832.db2.gz LMAAWQYXMBICGI-LBPRGKRZSA-N 0 0 449.475 -0.271 20 0 IBADRN CCc1cccc(NC(=O)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000439178448 1072060546 /nfs/dbraw/zinc/06/05/46/1072060546.db2.gz OUVQLGJVIKSVGP-UHFFFAOYSA-N 0 0 442.476 -0.002 20 0 IBADRN CCc1cccc(NC(=O)CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000439179968 1072061344 /nfs/dbraw/zinc/06/13/44/1072061344.db2.gz VFNNTSVAJLZWGX-UHFFFAOYSA-N 0 0 428.493 0.471 20 0 IBADRN Cc1c(C(=O)NCCNS(=O)(=O)c2cccs2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439180059 1072061142 /nfs/dbraw/zinc/06/11/42/1072061142.db2.gz ASDZLANMFBPDNP-UHFFFAOYSA-N 0 0 437.503 -0.290 20 0 IBADRN CCc1cccc(NC(=O)CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000439180830 1072061363 /nfs/dbraw/zinc/06/13/63/1072061363.db2.gz XGIJEYPVQYTBHD-UHFFFAOYSA-N 0 0 442.476 -0.002 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCCN2CCCS2(=O)=O)cc1Br ZINC000439181832 1072061269 /nfs/dbraw/zinc/06/12/69/1072061269.db2.gz WROJVEKJFAQWJY-UHFFFAOYSA-N 0 0 426.314 -0.138 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000439184598 1072062802 /nfs/dbraw/zinc/06/28/02/1072062802.db2.gz AJFVHELYAIKFFD-UHFFFAOYSA-N 0 0 425.554 -0.395 20 0 IBADRN Cc1c(C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439184976 1072061296 /nfs/dbraw/zinc/06/12/96/1072061296.db2.gz BCSQYTKIGOJRJA-CYBMUJFWSA-N 0 0 435.506 -0.419 20 0 IBADRN Cc1c(C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439184977 1072061258 /nfs/dbraw/zinc/06/12/58/1072061258.db2.gz BCSQYTKIGOJRJA-ZDUSSCGKSA-N 0 0 435.506 -0.419 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000439185828 1072061841 /nfs/dbraw/zinc/06/18/41/1072061841.db2.gz DTHVAGDMDKPPMB-UHFFFAOYSA-N 0 0 440.569 -0.856 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(OCCC(=O)OC)cc2)CC1 ZINC000439186101 1072061713 /nfs/dbraw/zinc/06/17/13/1072061713.db2.gz GPSNZWRIAXUOBY-UHFFFAOYSA-N 0 0 435.524 -0.165 20 0 IBADRN Cc1ccc(Br)cc1NC(=O)CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000439186330 1072061699 /nfs/dbraw/zinc/06/16/99/1072061699.db2.gz BGTIHMZVLGCANK-SECBINFHSA-N 0 0 435.300 -0.395 20 0 IBADRN Cc1ccc(Br)cc1NC(=O)CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000439186331 1072061684 /nfs/dbraw/zinc/06/16/84/1072061684.db2.gz BGTIHMZVLGCANK-VIFPVBQESA-N 0 0 435.300 -0.395 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000439186965 1072061601 /nfs/dbraw/zinc/06/16/01/1072061601.db2.gz CBFCMGYOXYCVOR-HNNXBMFYSA-N 0 0 431.493 -0.355 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000439186970 1072061628 /nfs/dbraw/zinc/06/16/28/1072061628.db2.gz CBFCMGYOXYCVOR-OAHLLOKOSA-N 0 0 431.493 -0.355 20 0 IBADRN C[C@H](CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)c1nncn1C ZINC000439187580 1072061795 /nfs/dbraw/zinc/06/17/95/1072061795.db2.gz JMEXDRUGSPHANR-CYBMUJFWSA-N 0 0 429.524 -0.082 20 0 IBADRN C[C@@H](CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)c1nncn1C ZINC000439187581 1072061868 /nfs/dbraw/zinc/06/18/68/1072061868.db2.gz JMEXDRUGSPHANR-ZDUSSCGKSA-N 0 0 429.524 -0.082 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)NCCS(=O)(=O)N1CCN(c3ccccn3)CC1)C2 ZINC000439188073 1072061811 /nfs/dbraw/zinc/06/18/11/1072061811.db2.gz KQRGMMTZARDVQE-UHFFFAOYSA-N 0 0 434.526 -0.341 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(NS(=O)(=O)NC)CC2)cc1 ZINC000439189398 1072061738 /nfs/dbraw/zinc/06/17/38/1072061738.db2.gz OJJJJZQAQZOUTK-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000439189442 1072061861 /nfs/dbraw/zinc/06/18/61/1072061861.db2.gz ONDXSFNMBMVQMN-UHFFFAOYSA-N 0 0 440.569 -0.856 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(OCc3ncnn3C)cc2)CC1 ZINC000439190081 1072061883 /nfs/dbraw/zinc/06/18/83/1072061883.db2.gz OAVJCGYKNJKVIX-UHFFFAOYSA-N 0 0 444.539 -0.399 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000439190188 1072061647 /nfs/dbraw/zinc/06/16/47/1072061647.db2.gz JMBVRBPGCRAJAK-UHFFFAOYSA-N 0 0 449.507 -0.533 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCC(NS(=O)(=O)NC)CC2)ccc1OC ZINC000439191551 1072062284 /nfs/dbraw/zinc/06/22/84/1072062284.db2.gz QQYHJXHKFYPNQP-UHFFFAOYSA-N 0 0 448.567 -0.072 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COn1nnc2cccnc21 ZINC000439192144 1072062497 /nfs/dbraw/zinc/06/24/97/1072062497.db2.gz OFSFICFNDVDFKJ-UHFFFAOYSA-N 0 0 448.461 -0.077 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(NS(=O)(=O)NC)CC2)c1 ZINC000439192256 1072062243 /nfs/dbraw/zinc/06/22/43/1072062243.db2.gz OKXBWGUADSWUNY-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000439193146 1072062259 /nfs/dbraw/zinc/06/22/59/1072062259.db2.gz ZHDYATMRMFMJIM-UHFFFAOYSA-N 0 0 444.535 -0.479 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000439193475 1072062446 /nfs/dbraw/zinc/06/24/46/1072062446.db2.gz SETSPRTWMTYLPU-UHFFFAOYSA-N 0 0 440.448 -0.157 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000439193899 1072062298 /nfs/dbraw/zinc/06/22/98/1072062298.db2.gz YSAWGBRETXIJGA-UHFFFAOYSA-N 0 0 446.551 -0.634 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC(=O)Nc2ccn(C)n2)c(OC)c1 ZINC000439195970 1073345546 /nfs/dbraw/zinc/34/55/46/1073345546.db2.gz DTJTVHZEQSYANR-UHFFFAOYSA-N 0 0 431.496 0.107 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1)N1CCCCCC1 ZINC000439197611 1073322749 /nfs/dbraw/zinc/32/27/49/1073322749.db2.gz JNKBREPFGDTUCA-CABCVRRESA-N 0 0 431.497 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)n1)N1CCCCCC1 ZINC000439197612 1072062909 /nfs/dbraw/zinc/06/29/09/1072062909.db2.gz JNKBREPFGDTUCA-GJZGRUSLSA-N 0 0 431.497 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)n1)N1CCCCCC1 ZINC000439197614 1072062988 /nfs/dbraw/zinc/06/29/88/1072062988.db2.gz JNKBREPFGDTUCA-HUUCEWRRSA-N 0 0 431.497 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)n1)N1CCCCCC1 ZINC000439197616 1073322691 /nfs/dbraw/zinc/32/26/91/1073322691.db2.gz JNKBREPFGDTUCA-LSDHHAIUSA-N 0 0 431.497 -0.111 20 0 IBADRN Cn1ccc(NC(=O)CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)n1 ZINC000439197680 1073355333 /nfs/dbraw/zinc/35/53/33/1073355333.db2.gz JTONZVVWETUFTQ-UHFFFAOYSA-N 0 0 441.535 0.512 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@H]3C)cc2)C[C@H](C)O1 ZINC000439197706 1072063574 /nfs/dbraw/zinc/06/35/74/1072063574.db2.gz IGTWVWPHDZSCOA-HZSPNIEDSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3C)cc2)C[C@@H](C)O1 ZINC000439197707 1072063526 /nfs/dbraw/zinc/06/35/26/1072063526.db2.gz IGTWVWPHDZSCOA-MCIONIFRSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@H]3C)cc2)C[C@@H](C)O1 ZINC000439197708 1072063415 /nfs/dbraw/zinc/06/34/15/1072063415.db2.gz IGTWVWPHDZSCOA-MGPQQGTHSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3C)cc2)C[C@H](C)O1 ZINC000439197709 1072063495 /nfs/dbraw/zinc/06/34/95/1072063495.db2.gz IGTWVWPHDZSCOA-MJBXVCDLSA-N 0 0 438.506 -0.230 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000439198131 1072062776 /nfs/dbraw/zinc/06/27/76/1072062776.db2.gz JMQOMXGJFKLMKJ-GFCCVEGCSA-N 0 0 440.478 -0.999 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000439198132 1072062795 /nfs/dbraw/zinc/06/27/95/1072062795.db2.gz JMQOMXGJFKLMKJ-LBPRGKRZSA-N 0 0 440.478 -0.999 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3C)c2)CC1 ZINC000439198186 1072063564 /nfs/dbraw/zinc/06/35/64/1072063564.db2.gz KDIQFXXTTSKGGO-AWEZNQCLSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCNC(=O)[C@H]3C)c2)CC1 ZINC000439198187 1072063511 /nfs/dbraw/zinc/06/35/11/1072063511.db2.gz KDIQFXXTTSKGGO-CQSZACIVSA-N 0 0 437.522 -0.702 20 0 IBADRN O=S(=O)(c1ccc2nnn(OCc3nnn(CC(F)(F)F)n3)c2c1)N1CCOCC1 ZINC000439199711 1072063550 /nfs/dbraw/zinc/06/35/50/1072063550.db2.gz MYZQCHJXIKYYOK-UHFFFAOYSA-N 0 0 448.387 -0.370 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439199978 1072063535 /nfs/dbraw/zinc/06/35/35/1072063535.db2.gz PUNRVIIZYPLLHV-LLVKDONJSA-N 0 0 444.897 -0.354 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439199981 1072063484 /nfs/dbraw/zinc/06/34/84/1072063484.db2.gz PUNRVIIZYPLLHV-NSHDSACASA-N 0 0 444.897 -0.354 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCNC(=O)[C@H]1C ZINC000439200721 1072063449 /nfs/dbraw/zinc/06/34/49/1072063449.db2.gz RETJCCNBFFAELY-GFCCVEGCSA-N 0 0 440.478 -0.999 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCNC(=O)[C@@H]1C ZINC000439200722 1072063458 /nfs/dbraw/zinc/06/34/58/1072063458.db2.gz RETJCCNBFFAELY-LBPRGKRZSA-N 0 0 440.478 -0.999 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000439201363 1072063358 /nfs/dbraw/zinc/06/33/58/1072063358.db2.gz CFOXYRUDKPNVLA-UHFFFAOYSA-N 0 0 440.931 -0.589 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)cc1 ZINC000439201904 1072063501 /nfs/dbraw/zinc/06/35/01/1072063501.db2.gz TZLZDDJKHJISGX-CVEARBPZSA-N 0 0 438.506 -0.181 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)cc1 ZINC000439201906 1072063405 /nfs/dbraw/zinc/06/34/05/1072063405.db2.gz TZLZDDJKHJISGX-HOTGVXAUSA-N 0 0 438.506 -0.181 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)cc1 ZINC000439201908 1072063384 /nfs/dbraw/zinc/06/33/84/1072063384.db2.gz TZLZDDJKHJISGX-HZPDHXFCSA-N 0 0 438.506 -0.181 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)cc1 ZINC000439201909 1072063853 /nfs/dbraw/zinc/06/38/53/1072063853.db2.gz TZLZDDJKHJISGX-JKSUJKDBSA-N 0 0 438.506 -0.181 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC000439202842 1072064014 /nfs/dbraw/zinc/06/40/14/1072064014.db2.gz WXPNGSWHANSCEM-CYBMUJFWSA-N 0 0 435.456 -0.228 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC000439202852 1072064061 /nfs/dbraw/zinc/06/40/61/1072064061.db2.gz WXPNGSWHANSCEM-ZDUSSCGKSA-N 0 0 435.456 -0.228 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC(=O)Nc2ccn(C)n2)c(OC)c1 ZINC000439203416 1073332170 /nfs/dbraw/zinc/33/21/70/1073332170.db2.gz XZKPOFNQLVBNGG-UHFFFAOYSA-N 0 0 445.523 0.497 20 0 IBADRN Cn1ccc(NC(=O)CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)n1 ZINC000439204213 1072063886 /nfs/dbraw/zinc/06/38/86/1072063886.db2.gz ZISWXBCNWCLAHR-UHFFFAOYSA-N 0 0 427.508 0.122 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CC1 ZINC000439204385 1072063841 /nfs/dbraw/zinc/06/38/41/1072063841.db2.gz JYXVSEPGRUGPMN-UHFFFAOYSA-N 0 0 444.532 -0.596 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000439205995 1072062851 /nfs/dbraw/zinc/06/28/51/1072062851.db2.gz BRXSAHFSVXSTJR-UHFFFAOYSA-N 0 0 432.403 -0.349 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439206845 1072062864 /nfs/dbraw/zinc/06/28/64/1072062864.db2.gz ZTPFXOMVSRGJFY-LLVKDONJSA-N 0 0 428.442 -0.868 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439206846 1072063052 /nfs/dbraw/zinc/06/30/52/1072063052.db2.gz ZTPFXOMVSRGJFY-NSHDSACASA-N 0 0 428.442 -0.868 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)CC1 ZINC000439207906 1072063064 /nfs/dbraw/zinc/06/30/64/1072063064.db2.gz VSBQEFZACFGUNN-UHFFFAOYSA-N 0 0 449.507 -0.533 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000439208862 1072063827 /nfs/dbraw/zinc/06/38/27/1072063827.db2.gz BXFOVEIPPQBZDX-AWEZNQCLSA-N 0 0 444.535 -0.218 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000439208874 1072064089 /nfs/dbraw/zinc/06/40/89/1072064089.db2.gz BXFOVEIPPQBZDX-CQSZACIVSA-N 0 0 444.535 -0.218 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000439210667 1072064097 /nfs/dbraw/zinc/06/40/97/1072064097.db2.gz MPHYKEBQEPPDEH-AWEZNQCLSA-N 0 0 437.522 -0.702 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000439210669 1072063942 /nfs/dbraw/zinc/06/39/42/1072063942.db2.gz MPHYKEBQEPPDEH-CQSZACIVSA-N 0 0 437.522 -0.702 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000439212513 1072064546 /nfs/dbraw/zinc/06/45/46/1072064546.db2.gz JXKYTRHUGQLPEN-CYBMUJFWSA-N 0 0 430.508 -0.560 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000439212515 1072064424 /nfs/dbraw/zinc/06/44/24/1072064424.db2.gz JXKYTRHUGQLPEN-ZDUSSCGKSA-N 0 0 430.508 -0.560 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC(=O)N[C@H]4CCCC[C@H]43)ccn2)CC1 ZINC000439212614 1072064484 /nfs/dbraw/zinc/06/44/84/1072064484.db2.gz HCUSZKBFKWNYNE-DOTOQJQBSA-N 0 0 428.493 -0.323 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@H]43)ccn2)CC1 ZINC000439212615 1072064506 /nfs/dbraw/zinc/06/45/06/1072064506.db2.gz HCUSZKBFKWNYNE-NVXWUHKLSA-N 0 0 428.493 -0.323 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC(=O)N[C@H]4CCCC[C@@H]43)ccn2)CC1 ZINC000439212616 1072064711 /nfs/dbraw/zinc/06/47/11/1072064711.db2.gz HCUSZKBFKWNYNE-RDJZCZTQSA-N 0 0 428.493 -0.323 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@@H]43)ccn2)CC1 ZINC000439212617 1072064744 /nfs/dbraw/zinc/06/47/44/1072064744.db2.gz HCUSZKBFKWNYNE-WBVHZDCISA-N 0 0 428.493 -0.323 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000439214358 1072064533 /nfs/dbraw/zinc/06/45/33/1072064533.db2.gz XYFDJYQAUXLYNH-AWEZNQCLSA-N 0 0 427.461 -0.215 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000439214359 1072064520 /nfs/dbraw/zinc/06/45/20/1072064520.db2.gz XYFDJYQAUXLYNH-CQSZACIVSA-N 0 0 427.461 -0.215 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@H]43)cn2)C[C@@H](C)O1 ZINC000439217937 1072063899 /nfs/dbraw/zinc/06/38/99/1072063899.db2.gz SZQIXVQBIBJVOR-MUIFIZLQSA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@@H]43)cn2)C[C@@H](C)O1 ZINC000439217938 1072063874 /nfs/dbraw/zinc/06/38/74/1072063874.db2.gz SZQIXVQBIBJVOR-SRABZTEZSA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@@H]43)cn2)C[C@H](C)O1 ZINC000439217939 1072063834 /nfs/dbraw/zinc/06/38/34/1072063834.db2.gz SZQIXVQBIBJVOR-WTTBNOFXSA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@H]43)cn2)C[C@H](C)O1 ZINC000439217940 1072063912 /nfs/dbraw/zinc/06/39/12/1072063912.db2.gz SZQIXVQBIBJVOR-YALNPMBYSA-N 0 0 446.508 -0.273 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)cc1 ZINC000439218926 1072063976 /nfs/dbraw/zinc/06/39/76/1072063976.db2.gz XUZUKLDRQCGMLC-CVEARBPZSA-N 0 0 430.461 -0.274 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)cc1 ZINC000439218928 1072064044 /nfs/dbraw/zinc/06/40/44/1072064044.db2.gz XUZUKLDRQCGMLC-HOTGVXAUSA-N 0 0 430.461 -0.274 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)cc1 ZINC000439218998 1072064142 /nfs/dbraw/zinc/06/41/42/1072064142.db2.gz XUZUKLDRQCGMLC-HZPDHXFCSA-N 0 0 430.461 -0.274 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)cc1 ZINC000439218999 1072063867 /nfs/dbraw/zinc/06/38/67/1072063867.db2.gz XUZUKLDRQCGMLC-JKSUJKDBSA-N 0 0 430.461 -0.274 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)C1 ZINC000439222221 1072065269 /nfs/dbraw/zinc/06/52/69/1072065269.db2.gz FADDPAXSSRVLCU-UHFFFAOYSA-N 0 0 426.495 -0.079 20 0 IBADRN CNS(=O)(=O)CCNC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439226277 1072065177 /nfs/dbraw/zinc/06/51/77/1072065177.db2.gz VKOYAWGRWUAOKR-UHFFFAOYSA-N 0 0 436.512 -0.613 20 0 IBADRN CNS(=O)(=O)CCNC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000439231599 1072065738 /nfs/dbraw/zinc/06/57/38/1072065738.db2.gz FFLVCXROZNHPEE-UHFFFAOYSA-N 0 0 436.512 -0.613 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCC(=O)NCCO)ccc1Cl ZINC000439242706 1072066908 /nfs/dbraw/zinc/06/69/08/1072066908.db2.gz AHFNVMOHDRFQOL-UHFFFAOYSA-N 0 0 434.902 -0.466 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)NCC(=O)NCCO)c1 ZINC000439242750 1072066732 /nfs/dbraw/zinc/06/67/32/1072066732.db2.gz AOMYWGDWNHTPTI-UHFFFAOYSA-N 0 0 428.511 -0.503 20 0 IBADRN CN(c1ccccc1)S(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)NCCO)c1 ZINC000439243094 1072066899 /nfs/dbraw/zinc/06/68/99/1072066899.db2.gz BHFIKRKEVBSNMJ-UHFFFAOYSA-N 0 0 434.474 -0.325 20 0 IBADRN CN(Cc1ccccc1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCCO)cc1 ZINC000439243113 1072066887 /nfs/dbraw/zinc/06/68/87/1072066887.db2.gz BNMRUADMVYXIAS-UHFFFAOYSA-N 0 0 448.501 -0.330 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cc(F)c(F)cc1I)NCCO ZINC000439243855 1072066762 /nfs/dbraw/zinc/06/67/62/1072066762.db2.gz DUCOVLYRPRYAJF-UHFFFAOYSA-N 0 0 427.145 -0.267 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cc(F)c(I)c(F)c1)NCCO ZINC000439244609 1072066854 /nfs/dbraw/zinc/06/68/54/1072066854.db2.gz IBRWZBIWBSKMEN-UHFFFAOYSA-N 0 0 427.145 -0.267 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1)NCCO ZINC000439245063 1072067458 /nfs/dbraw/zinc/06/74/58/1072067458.db2.gz IBGYDMKMROXOEN-UHFFFAOYSA-N 0 0 432.886 -0.712 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCCCC2)c1)NCCO ZINC000439245574 1072066742 /nfs/dbraw/zinc/06/67/42/1072066742.db2.gz JCLLQFQFGLDEHV-UHFFFAOYSA-N 0 0 446.913 -0.322 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(=O)NCCO)cc1S(=O)(=O)N1CCCCC1 ZINC000439246079 1072067508 /nfs/dbraw/zinc/06/75/08/1072067508.db2.gz KDKJBVXFZJPRHF-UHFFFAOYSA-N 0 0 442.494 -0.967 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(=O)NCCO)cc1S(=O)(=O)N1CCCCC1 ZINC000439246204 1072067472 /nfs/dbraw/zinc/06/74/72/1072067472.db2.gz KUZSFGQMTLZLHU-UHFFFAOYSA-N 0 0 426.495 -0.667 20 0 IBADRN C[C@@H]1CCCCN1CC(=O)Nc1ccc(F)c(NC(=O)C(=O)NCC(=O)NCCO)c1 ZINC000439246316 1072067340 /nfs/dbraw/zinc/06/73/40/1072067340.db2.gz LLZPJJLLKJJMKY-CYBMUJFWSA-N 0 0 437.472 -0.198 20 0 IBADRN C[C@H]1CCCCN1CC(=O)Nc1ccc(F)c(NC(=O)C(=O)NCC(=O)NCCO)c1 ZINC000439246317 1072067431 /nfs/dbraw/zinc/06/74/31/1072067431.db2.gz LLZPJJLLKJJMKY-ZDUSSCGKSA-N 0 0 437.472 -0.198 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2)C1 ZINC000439246491 1072065584 /nfs/dbraw/zinc/06/55/84/1072065584.db2.gz CRTKXSPLBORSDJ-CYBMUJFWSA-N 0 0 426.495 -0.730 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2)C1 ZINC000439246492 1072065814 /nfs/dbraw/zinc/06/58/14/1072065814.db2.gz CRTKXSPLBORSDJ-ZDUSSCGKSA-N 0 0 426.495 -0.730 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCC(=O)NCCO ZINC000439247207 1072065520 /nfs/dbraw/zinc/06/55/20/1072065520.db2.gz NIRKJZIFKWBJDO-UHFFFAOYSA-N 0 0 442.494 -0.967 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3CC=CC[C@@H]3C(=O)N3CCOCC3)CC2)no1 ZINC000439247440 1073331082 /nfs/dbraw/zinc/33/10/82/1073331082.db2.gz OYCXIFABJAKVNG-MSOLQXFVSA-N 0 0 445.520 0.507 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3CC=CC[C@H]3C(=O)N3CCOCC3)CC2)no1 ZINC000439247441 1072065593 /nfs/dbraw/zinc/06/55/93/1072065593.db2.gz OYCXIFABJAKVNG-QZTJIDSGSA-N 0 0 445.520 0.507 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H]3CC=CC[C@@H]3C(=O)N3CCOCC3)CC2)no1 ZINC000439247442 1072065636 /nfs/dbraw/zinc/06/56/36/1072065636.db2.gz OYCXIFABJAKVNG-ROUUACIJSA-N 0 0 445.520 0.507 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H]3CC=CC[C@H]3C(=O)N3CCOCC3)CC2)no1 ZINC000439247443 1072065765 /nfs/dbraw/zinc/06/57/65/1072065765.db2.gz OYCXIFABJAKVNG-ZWKOTPCHSA-N 0 0 445.520 0.507 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)NCC(=O)NCCO)c1 ZINC000439247500 1072065806 /nfs/dbraw/zinc/06/58/06/1072065806.db2.gz GBZSAFKNQPDKRW-UHFFFAOYSA-N 0 0 449.201 -0.759 20 0 IBADRN CNS(=O)(=O)CCNC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000439249528 1072066284 /nfs/dbraw/zinc/06/62/84/1072066284.db2.gz RQNIFBGVTFQUEN-UHFFFAOYSA-N 0 0 433.556 -0.317 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccnn1Cc1ccc(OC(F)(F)F)cc1)NCCO ZINC000439250016 1072066132 /nfs/dbraw/zinc/06/61/32/1072066132.db2.gz SRNWRGUHDJBLEU-UHFFFAOYSA-N 0 0 429.355 -0.007 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2)CC1 ZINC000439250301 1072066393 /nfs/dbraw/zinc/06/63/93/1072066393.db2.gz UGBSRDYSYLZFKV-UHFFFAOYSA-N 0 0 426.495 -0.730 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)c1ccccc1NC(=O)C(=O)NCC(=O)NCCO ZINC000439250480 1072066265 /nfs/dbraw/zinc/06/62/65/1072066265.db2.gz MGGBVOHKHAPLKG-UHFFFAOYSA-N 0 0 440.522 -0.197 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(=O)NCCO)cc1S(=O)(=O)N1CCCCCC1 ZINC000439250611 1072066320 /nfs/dbraw/zinc/06/63/20/1072066320.db2.gz VJHVSGWNCAWQQD-UHFFFAOYSA-N 0 0 440.522 -0.277 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC(=O)NCCO)cc1S(=O)(=O)N1CCCC1 ZINC000439251102 1072066101 /nfs/dbraw/zinc/06/61/01/1072066101.db2.gz WOTMETGLOFERGL-UHFFFAOYSA-N 0 0 442.494 -0.967 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cc(Br)ccc1N1CCOCC1)NCCO ZINC000439251539 1072066208 /nfs/dbraw/zinc/06/62/08/1072066208.db2.gz OGGRTYINNVFLTQ-UHFFFAOYSA-N 0 0 429.271 -0.551 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2)C1 ZINC000439251541 1072066150 /nfs/dbraw/zinc/06/61/50/1072066150.db2.gz OIHRAPJHVLIRKE-KBPBESRZSA-N 0 0 440.522 -0.484 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2)C1 ZINC000439251544 1072066200 /nfs/dbraw/zinc/06/62/00/1072066200.db2.gz OIHRAPJHVLIRKE-OKILXGFUSA-N 0 0 440.522 -0.484 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2)C1 ZINC000439251546 1072066382 /nfs/dbraw/zinc/06/63/82/1072066382.db2.gz OIHRAPJHVLIRKE-ZIAGYGMSSA-N 0 0 440.522 -0.484 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cc(Br)cnc1OCC(F)(F)F)NCCO ZINC000439251823 1072067783 /nfs/dbraw/zinc/06/77/83/1072067783.db2.gz XGLCKHPCEJQLBH-UHFFFAOYSA-N 0 0 443.176 -0.052 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCCO)cc1 ZINC000439251966 1072066814 /nfs/dbraw/zinc/06/68/14/1072066814.db2.gz YFRBTORCNNMEQK-UHFFFAOYSA-N 0 0 440.522 -0.197 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)NCCO)c1 ZINC000439253101 1072067795 /nfs/dbraw/zinc/06/77/95/1072067795.db2.gz ZQVDYZZWYIVNSU-UHFFFAOYSA-N 0 0 440.522 -0.197 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC000439253515 1072067809 /nfs/dbraw/zinc/06/78/09/1072067809.db2.gz BGOGJCUXQFIRID-CEXWTWQISA-N 0 0 434.537 -0.523 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC000439253516 1072067870 /nfs/dbraw/zinc/06/78/70/1072067870.db2.gz BGOGJCUXQFIRID-GUDVDZBRSA-N 0 0 434.537 -0.523 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC000439253517 1072067773 /nfs/dbraw/zinc/06/77/73/1072067773.db2.gz BGOGJCUXQFIRID-QRVBRYPASA-N 0 0 434.537 -0.523 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC000439253518 1072067788 /nfs/dbraw/zinc/06/77/88/1072067788.db2.gz BGOGJCUXQFIRID-QYZOEREBSA-N 0 0 434.537 -0.523 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCC(=O)NCCO ZINC000439256772 1072067821 /nfs/dbraw/zinc/06/78/21/1072067821.db2.gz JGFXPARNZXVBAG-UHFFFAOYSA-N 0 0 426.495 -0.667 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(CCn2nnc(-c3ccccc3)n2)cc1)NCCO ZINC000439257704 1072067904 /nfs/dbraw/zinc/06/79/04/1072067904.db2.gz LMAWQSFAOKUAAQ-UHFFFAOYSA-N 0 0 437.460 -0.254 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1)NCCO ZINC000439258714 1072067888 /nfs/dbraw/zinc/06/78/88/1072067888.db2.gz OHHIUCIVSZKSIE-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(NC(=O)[C@H]2CCCO2)cc1C(F)(F)F)NCCO ZINC000439259642 1072067524 /nfs/dbraw/zinc/06/75/24/1072067524.db2.gz QGOCHZHZHRCPNQ-CYBMUJFWSA-N 0 0 446.382 -0.014 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(NC(=O)[C@@H]2CCCO2)cc1C(F)(F)F)NCCO ZINC000439259643 1072067293 /nfs/dbraw/zinc/06/72/93/1072067293.db2.gz QGOCHZHZHRCPNQ-ZDUSSCGKSA-N 0 0 446.382 -0.014 20 0 IBADRN Cn1c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc2c1nc1ccccn1c2=O ZINC000439262078 1072067301 /nfs/dbraw/zinc/06/73/01/1072067301.db2.gz TXBVGUPSRCASCB-HNNXBMFYSA-N 0 0 438.488 -0.151 20 0 IBADRN Cn1c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc2c1nc1ccccn1c2=O ZINC000439262084 1072067388 /nfs/dbraw/zinc/06/73/88/1072067388.db2.gz TXBVGUPSRCASCB-OAHLLOKOSA-N 0 0 438.488 -0.151 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cc(N2CCOCC2)ccn1 ZINC000439262213 1072067321 /nfs/dbraw/zinc/06/73/21/1072067321.db2.gz OSYMRHALBQEUDV-UHFFFAOYSA-N 0 0 439.538 -0.311 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(C(=O)NC3CC3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000439268634 1072067989 /nfs/dbraw/zinc/06/79/89/1072067989.db2.gz GFGUVUHXZREICH-GOSISDBHSA-N 0 0 448.545 -0.360 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(C(=O)NC3CC3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000439268635 1072067938 /nfs/dbraw/zinc/06/79/38/1072067938.db2.gz GFGUVUHXZREICH-SFHVURJKSA-N 0 0 448.545 -0.360 20 0 IBADRN COC[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C[C@H](C)O1 ZINC000439278819 1072069191 /nfs/dbraw/zinc/06/91/91/1072069191.db2.gz SPTYJIMHLKVTGQ-BBRMVZONSA-N 0 0 430.527 -0.140 20 0 IBADRN COC[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C[C@@H](C)O1 ZINC000439278828 1072068966 /nfs/dbraw/zinc/06/89/66/1072068966.db2.gz SPTYJIMHLKVTGQ-CJNGLKHVSA-N 0 0 430.527 -0.140 20 0 IBADRN COC[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C[C@@H](C)O1 ZINC000439278833 1072069173 /nfs/dbraw/zinc/06/91/73/1072069173.db2.gz SPTYJIMHLKVTGQ-CZUORRHYSA-N 0 0 430.527 -0.140 20 0 IBADRN COC[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C[C@H](C)O1 ZINC000439278835 1072068915 /nfs/dbraw/zinc/06/89/15/1072068915.db2.gz SPTYJIMHLKVTGQ-XJKSGUPXSA-N 0 0 430.527 -0.140 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](C)O[C@H](COC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000439280539 1072068900 /nfs/dbraw/zinc/06/89/00/1072068900.db2.gz DKJQANVJDFJBLS-CABCVRRESA-N 0 0 441.529 -0.098 20 0 IBADRN COCCN(C(=O)CN1C[C@H](C)O[C@H](COC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000439280541 1072068978 /nfs/dbraw/zinc/06/89/78/1072068978.db2.gz DKJQANVJDFJBLS-GJZGRUSLSA-N 0 0 441.529 -0.098 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](C)O[C@@H](COC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000439280542 1072069065 /nfs/dbraw/zinc/06/90/65/1072069065.db2.gz DKJQANVJDFJBLS-HUUCEWRRSA-N 0 0 441.529 -0.098 20 0 IBADRN COCCN(C(=O)CN1C[C@H](COC)O[C@@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000439280543 1072069091 /nfs/dbraw/zinc/06/90/91/1072069091.db2.gz DKJQANVJDFJBLS-LSDHHAIUSA-N 0 0 441.529 -0.098 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000439281545 1072068934 /nfs/dbraw/zinc/06/89/34/1072068934.db2.gz BDENJDLCXYVVKT-UHFFFAOYSA-N 0 0 445.476 -0.528 20 0 IBADRN COC(=O)CC1(NCC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CCS(=O)(=O)CC1 ZINC000439282268 1072069126 /nfs/dbraw/zinc/06/91/26/1072069126.db2.gz ZEPSDXOYYSWCGB-UHFFFAOYSA-N 0 0 437.474 -0.049 20 0 IBADRN O=C(CN[C@H](c1nc[nH]n1)c1ccccc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000439285462 1071513835 /nfs/dbraw/zinc/51/38/35/1071513835.db2.gz OEKYIHIKOLXVMW-FQEVSTJZSA-N 0 0 427.509 -0.513 20 0 IBADRN O=C(CN[C@@H](c1nc[nH]n1)c1ccccc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000439285467 1071514019 /nfs/dbraw/zinc/51/40/19/1071514019.db2.gz OEKYIHIKOLXVMW-HXUWFJFHSA-N 0 0 427.509 -0.513 20 0 IBADRN C[C@@H]1CN(C[C@@H](O)C[NH+]2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C[C@H](C)O1 ZINC000439286415 1071513967 /nfs/dbraw/zinc/51/39/67/1071513967.db2.gz NDESUFPWXABQDQ-GUTXKFCHSA-N 0 0 432.509 -0.093 20 0 IBADRN C[C@@H]1CN(C[C@@H](O)C[NH+]2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C[C@@H](C)O1 ZINC000439286416 1071513920 /nfs/dbraw/zinc/51/39/20/1071513920.db2.gz NDESUFPWXABQDQ-NFAWXSAZSA-N 0 0 432.509 -0.093 20 0 IBADRN C[C@@H]1CN(C[C@H](O)C[NH+]2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C[C@@H](C)O1 ZINC000439286417 1071513705 /nfs/dbraw/zinc/51/37/05/1071513705.db2.gz NDESUFPWXABQDQ-UMVBOHGHSA-N 0 0 432.509 -0.093 20 0 IBADRN C[C@@H]1CN(C[C@H](O)C[NH+]2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C[C@H](C)O1 ZINC000439286418 1071513752 /nfs/dbraw/zinc/51/37/52/1071513752.db2.gz NDESUFPWXABQDQ-VNHYZAJKSA-N 0 0 432.509 -0.093 20 0 IBADRN CC[C@H](NC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)C(=O)N1CCOCC1 ZINC000439288853 1072069533 /nfs/dbraw/zinc/06/95/33/1072069533.db2.gz ZXNPALAGJUNGOZ-INIZCTEOSA-N 0 0 442.538 -0.264 20 0 IBADRN CC[C@@H](NC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)C(=O)N1CCOCC1 ZINC000439288854 1072069610 /nfs/dbraw/zinc/06/96/10/1072069610.db2.gz ZXNPALAGJUNGOZ-MRXNPFEDSA-N 0 0 442.538 -0.264 20 0 IBADRN COC(=O)Cn1cc(CC[NH+]2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)nn1 ZINC000439289492 1072069712 /nfs/dbraw/zinc/06/97/12/1072069712.db2.gz LUMIAYKZCXOSKO-UHFFFAOYSA-N 0 0 428.437 -0.556 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000439291960 1072070052 /nfs/dbraw/zinc/07/00/52/1072070052.db2.gz CMYSKCRERNRCHD-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439294064 1072070063 /nfs/dbraw/zinc/07/00/63/1072070063.db2.gz HOSUMSTWBXHHQC-UHFFFAOYSA-N 0 0 430.458 -0.620 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000439294668 1072070158 /nfs/dbraw/zinc/07/01/58/1072070158.db2.gz JDQMJIUFUVFDPM-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439298508 1072070234 /nfs/dbraw/zinc/07/02/34/1072070234.db2.gz BERVOZZSIPLQEN-UHFFFAOYSA-N 0 0 446.913 -0.106 20 0 IBADRN COCCN(Cc1cccnc1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000439298927 1072070721 /nfs/dbraw/zinc/07/07/21/1072070721.db2.gz UJQLOHVSTJYYEO-UHFFFAOYSA-N 0 0 426.433 -0.359 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000439300671 1072070586 /nfs/dbraw/zinc/07/05/86/1072070586.db2.gz YECFJLFHQOOIEI-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000439303778 1072069728 /nfs/dbraw/zinc/06/97/28/1072069728.db2.gz LXKMYKABDDNJTI-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN Cc1c(C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439304030 1072069660 /nfs/dbraw/zinc/06/96/60/1072069660.db2.gz QNQKOKHNGHPFJW-GFCCVEGCSA-N 0 0 442.428 -0.161 20 0 IBADRN Cc1c(C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000439304031 1072069500 /nfs/dbraw/zinc/06/95/00/1072069500.db2.gz QNQKOKHNGHPFJW-LBPRGKRZSA-N 0 0 442.428 -0.161 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(C)CCC(=O)NC)c2)CC1 ZINC000439306991 1072071333 /nfs/dbraw/zinc/07/13/33/1072071333.db2.gz VUSMZYYHJYNNLM-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000439308086 1072071760 /nfs/dbraw/zinc/07/17/60/1072071760.db2.gz YWOFQQIHASDIQA-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN C[NH+](C)CCn1ccc(NC(=O)N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)n1 ZINC000439312646 1072070780 /nfs/dbraw/zinc/07/07/80/1072070780.db2.gz BLAUGELZVRCXOO-UHFFFAOYSA-N 0 0 441.480 0.427 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(CCNS(=O)(=O)c2cnn(C)c2)C1 ZINC000439316237 1072071298 /nfs/dbraw/zinc/07/12/98/1072071298.db2.gz CVGQUARMMLKEAC-AWEZNQCLSA-N 0 0 439.542 -0.323 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(CCNS(=O)(=O)c2cnn(C)c2)C1 ZINC000439316239 1072071370 /nfs/dbraw/zinc/07/13/70/1072071370.db2.gz CVGQUARMMLKEAC-CQSZACIVSA-N 0 0 439.542 -0.323 20 0 IBADRN CN(CCCC(=O)OC(C)(C)C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000439318901 1072071217 /nfs/dbraw/zinc/07/12/17/1072071217.db2.gz WKJSRMHKFANDBY-UHFFFAOYSA-N 0 0 437.497 0.261 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)C1 ZINC000439323841 1072071666 /nfs/dbraw/zinc/07/16/66/1072071666.db2.gz XCWWZCLZECWSTP-GFCCVEGCSA-N 0 0 444.496 -0.561 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)C1 ZINC000439323845 1072071769 /nfs/dbraw/zinc/07/17/69/1072071769.db2.gz XCWWZCLZECWSTP-LBPRGKRZSA-N 0 0 444.496 -0.561 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCC[C@@H](c3n[nH]cc3C(=O)NCCOC)C2)c(=O)[nH]c1=O ZINC000439326185 1072072312 /nfs/dbraw/zinc/07/23/12/1072072312.db2.gz KRXPQHMTONZNOD-GFCCVEGCSA-N 0 0 447.496 -0.287 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCC[C@H](c3n[nH]cc3C(=O)NCCOC)C2)c(=O)[nH]c1=O ZINC000439326186 1072072324 /nfs/dbraw/zinc/07/23/24/1072072324.db2.gz KRXPQHMTONZNOD-LBPRGKRZSA-N 0 0 447.496 -0.287 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000439330555 1072072289 /nfs/dbraw/zinc/07/22/89/1072072289.db2.gz MYSBEZGYRGANMP-MSOLQXFVSA-N 0 0 443.566 -0.062 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000439330559 1072072367 /nfs/dbraw/zinc/07/23/67/1072072367.db2.gz MYSBEZGYRGANMP-QZTJIDSGSA-N 0 0 443.566 -0.062 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000439330560 1072072353 /nfs/dbraw/zinc/07/23/53/1072072353.db2.gz MYSBEZGYRGANMP-ROUUACIJSA-N 0 0 443.566 -0.062 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000439330562 1072072216 /nfs/dbraw/zinc/07/22/16/1072072216.db2.gz MYSBEZGYRGANMP-ZWKOTPCHSA-N 0 0 443.566 -0.062 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000439331718 1072072749 /nfs/dbraw/zinc/07/27/49/1072072749.db2.gz SCAVDUOCGNXPDG-KBPBESRZSA-N 0 0 442.542 -0.078 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000439331731 1072072900 /nfs/dbraw/zinc/07/29/00/1072072900.db2.gz SCAVDUOCGNXPDG-OKILXGFUSA-N 0 0 442.542 -0.078 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000439331735 1072072686 /nfs/dbraw/zinc/07/26/86/1072072686.db2.gz SCAVDUOCGNXPDG-ZIAGYGMSSA-N 0 0 442.542 -0.078 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000439332333 1072072718 /nfs/dbraw/zinc/07/27/18/1072072718.db2.gz ZNEGXZFMNXVNLO-UHFFFAOYSA-N 0 0 449.489 -0.139 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000439337744 1072071750 /nfs/dbraw/zinc/07/17/50/1072071750.db2.gz ATXVEXVAZYLUHK-UHFFFAOYSA-N 0 0 428.511 -0.972 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000439341346 1072072772 /nfs/dbraw/zinc/07/27/72/1072072772.db2.gz ARZWSOCENAPGFW-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439342744 1072073316 /nfs/dbraw/zinc/07/33/16/1072073316.db2.gz DCJUIUBCKBFDMK-UHFFFAOYSA-N 0 0 449.913 -0.233 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000439343871 1072073283 /nfs/dbraw/zinc/07/32/83/1072073283.db2.gz IWVRRSIDLOVOJH-KRWDZBQOSA-N 0 0 430.509 -0.330 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000439343876 1072073390 /nfs/dbraw/zinc/07/33/90/1072073390.db2.gz IWVRRSIDLOVOJH-QGZVFWFLSA-N 0 0 430.509 -0.330 20 0 IBADRN CC[C@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)C(=O)N1CCOCC1 ZINC000439350415 1072073797 /nfs/dbraw/zinc/07/37/97/1072073797.db2.gz URRHBCSIYCIOJA-INIZCTEOSA-N 0 0 442.542 -0.490 20 0 IBADRN CC[C@@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)C(=O)N1CCOCC1 ZINC000439350417 1072073975 /nfs/dbraw/zinc/07/39/75/1072073975.db2.gz URRHBCSIYCIOJA-MRXNPFEDSA-N 0 0 442.542 -0.490 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000439351178 1072073723 /nfs/dbraw/zinc/07/37/23/1072073723.db2.gz DBLJAZKYGQXZEW-UHFFFAOYSA-N 0 0 442.538 -0.581 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000439351263 1072073942 /nfs/dbraw/zinc/07/39/42/1072073942.db2.gz NNYFNSFEEMRPML-UHFFFAOYSA-N 0 0 429.495 -0.578 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(CCO)CCOC)c2)CC1 ZINC000439351350 1072073951 /nfs/dbraw/zinc/07/39/51/1072073951.db2.gz NJXQFTRRNBDROT-UHFFFAOYSA-N 0 0 442.538 -0.581 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000439351490 1072073933 /nfs/dbraw/zinc/07/39/33/1072073933.db2.gz DLPDTSZTNCROSB-UHFFFAOYSA-N 0 0 426.271 0.495 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000439352356 1072073824 /nfs/dbraw/zinc/07/38/24/1072073824.db2.gz FLAHTGAVEZLLKS-UHFFFAOYSA-N 0 0 428.511 -0.972 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000439352713 1072073711 /nfs/dbraw/zinc/07/37/11/1072073711.db2.gz PCOXNYNOGDJMAF-UHFFFAOYSA-N 0 0 429.495 -0.664 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)c1 ZINC000439353217 1072073872 /nfs/dbraw/zinc/07/38/72/1072073872.db2.gz GFZRPWPVSQZCLZ-KRWDZBQOSA-N 0 0 431.493 -0.447 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)c1 ZINC000439353218 1072073691 /nfs/dbraw/zinc/07/36/91/1072073691.db2.gz GFZRPWPVSQZCLZ-QGZVFWFLSA-N 0 0 431.493 -0.447 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000439354748 1072073683 /nfs/dbraw/zinc/07/36/83/1072073683.db2.gz SKRAPMLSKMYHBM-UHFFFAOYSA-N 0 0 445.494 -0.878 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000439355256 1072073664 /nfs/dbraw/zinc/07/36/64/1072073664.db2.gz SLDAQJMQDIXUDX-UHFFFAOYSA-N 0 0 436.509 -0.234 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)CC(=O)Nc1ccc(Br)cn1)S(N)(=O)=O ZINC000439356026 1072072872 /nfs/dbraw/zinc/07/28/72/1072072872.db2.gz UCPKOCLACGEFSW-MRVPVSSYSA-N 0 0 436.288 -0.966 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)CC(=O)Nc1ccc(Br)cn1)S(N)(=O)=O ZINC000439356032 1072072858 /nfs/dbraw/zinc/07/28/58/1072072858.db2.gz UCPKOCLACGEFSW-QMMMGPOBSA-N 0 0 436.288 -0.966 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000439359055 1072074475 /nfs/dbraw/zinc/07/44/75/1072074475.db2.gz OIHKHXKOQMXVDC-GASCZTMLSA-N 0 0 443.522 -0.110 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000439359058 1072074518 /nfs/dbraw/zinc/07/45/18/1072074518.db2.gz OIHKHXKOQMXVDC-GJZGRUSLSA-N 0 0 443.522 -0.110 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000439359061 1072074357 /nfs/dbraw/zinc/07/43/57/1072074357.db2.gz OIHKHXKOQMXVDC-HUUCEWRRSA-N 0 0 443.522 -0.110 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439359417 1072074506 /nfs/dbraw/zinc/07/45/06/1072074506.db2.gz OOPRUHSSOVTJQB-UHFFFAOYSA-N 0 0 445.494 -0.878 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000439359713 1072074403 /nfs/dbraw/zinc/07/44/03/1072074403.db2.gz ZCPQOXJTAUKAMO-UHFFFAOYSA-N 0 0 432.477 -0.094 20 0 IBADRN CCCc1cc(NC(=O)C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)n(C)n1 ZINC000439362229 1072074925 /nfs/dbraw/zinc/07/49/25/1072074925.db2.gz WFISRJIYGWPMKQ-UHFFFAOYSA-N 0 0 436.494 -0.026 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000439363579 1072075045 /nfs/dbraw/zinc/07/50/45/1072075045.db2.gz WRVCRCQUXBIFPK-GOSISDBHSA-N 0 0 434.541 -0.133 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000439363580 1072074746 /nfs/dbraw/zinc/07/47/46/1072074746.db2.gz WRVCRCQUXBIFPK-SFHVURJKSA-N 0 0 434.541 -0.133 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)C(=O)Nc2cc(C(C)(C)C)on2)c1 ZINC000439363856 1072074971 /nfs/dbraw/zinc/07/49/71/1072074971.db2.gz ZJQBCYNHLIKGEG-UHFFFAOYSA-N 0 0 437.478 0.574 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)C(=O)Nc2ccc(N(C)C)nc2)c1 ZINC000439364155 1072074956 /nfs/dbraw/zinc/07/49/56/1072074956.db2.gz YGNSFFIMCFNNME-UHFFFAOYSA-N 0 0 434.478 -0.251 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)cn1)N1CCOCC1 ZINC000439364307 1072075006 /nfs/dbraw/zinc/07/50/06/1072075006.db2.gz YCZZLGMKZMOIFO-GOSISDBHSA-N 0 0 448.524 -0.606 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)cn1)N1CCOCC1 ZINC000439364308 1072074793 /nfs/dbraw/zinc/07/47/93/1072074793.db2.gz YCZZLGMKZMOIFO-SFHVURJKSA-N 0 0 448.524 -0.606 20 0 IBADRN CCn1nc(NC(=O)C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)cc1C1CC1 ZINC000439364508 1072074820 /nfs/dbraw/zinc/07/48/20/1072074820.db2.gz ZKBKOSBPHRDLHP-UHFFFAOYSA-N 0 0 448.505 0.382 20 0 IBADRN COCCN(CCO)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000439364829 1072074893 /nfs/dbraw/zinc/07/48/93/1072074893.db2.gz ZWRFRXFZLZBOPS-UHFFFAOYSA-N 0 0 433.458 -0.748 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000439370477 1072073775 /nfs/dbraw/zinc/07/37/75/1072073775.db2.gz MLVFMJDQBZXEFG-IBGZPJMESA-N 0 0 434.541 -0.133 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000439370478 1072073838 /nfs/dbraw/zinc/07/38/38/1072073838.db2.gz MLVFMJDQBZXEFG-LJQANCHMSA-N 0 0 434.541 -0.133 20 0 IBADRN COC(=O)CC1(NC(=O)c2nc(S(C)(=O)=O)n3ccccc23)CCS(=O)(=O)CC1 ZINC000439371176 1072074315 /nfs/dbraw/zinc/07/43/15/1072074315.db2.gz LHPRYOCUSKKLTM-UHFFFAOYSA-N 0 0 443.503 -0.022 20 0 IBADRN CC[C@H](NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCOCC1 ZINC000439375455 1072075539 /nfs/dbraw/zinc/07/55/39/1072075539.db2.gz TTXGWIWBPFZXBJ-INIZCTEOSA-N 0 0 433.513 -0.548 20 0 IBADRN CC[C@@H](NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCOCC1 ZINC000439375459 1072075499 /nfs/dbraw/zinc/07/54/99/1072075499.db2.gz TTXGWIWBPFZXBJ-MRXNPFEDSA-N 0 0 433.513 -0.548 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCC(CS(N)(=O)=O)CC3)ccc21 ZINC000439377721 1072075616 /nfs/dbraw/zinc/07/56/16/1072075616.db2.gz ICNQPTWXYZCNHJ-UHFFFAOYSA-N 0 0 438.506 -0.312 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)cn1 ZINC000439377921 1072075473 /nfs/dbraw/zinc/07/54/73/1072075473.db2.gz WEVDPBGPXSBTNK-KRWDZBQOSA-N 0 0 436.513 -0.703 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)cn1 ZINC000439377928 1072075581 /nfs/dbraw/zinc/07/55/81/1072075581.db2.gz WEVDPBGPXSBTNK-QGZVFWFLSA-N 0 0 436.513 -0.703 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCCC[C@H]3CN3CCOCC3)cnc2n(C)c1=O ZINC000439378830 1072075601 /nfs/dbraw/zinc/07/56/01/1072075601.db2.gz YLVSQXOBUCNXSH-HNNXBMFYSA-N 0 0 444.492 -0.716 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCCC[C@@H]3CN3CCOCC3)cnc2n(C)c1=O ZINC000439378834 1072075317 /nfs/dbraw/zinc/07/53/17/1072075317.db2.gz YLVSQXOBUCNXSH-OAHLLOKOSA-N 0 0 444.492 -0.716 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N3CCC(CS(N)(=O)=O)CC3)n2)cc1 ZINC000439379066 1073345477 /nfs/dbraw/zinc/34/54/77/1073345477.db2.gz KGYGKTYEEALTBQ-UHFFFAOYSA-N 0 0 435.506 0.406 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C(C)C)cc1 ZINC000439379936 1072075387 /nfs/dbraw/zinc/07/53/87/1072075387.db2.gz AZMICDAZPULHLQ-BBRMVZONSA-N 0 0 440.522 -0.079 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C(C)C)cc1 ZINC000439379937 1072075405 /nfs/dbraw/zinc/07/54/05/1072075405.db2.gz AZMICDAZPULHLQ-CJNGLKHVSA-N 0 0 440.522 -0.079 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C(C)C)cc1 ZINC000439379938 1072075549 /nfs/dbraw/zinc/07/55/49/1072075549.db2.gz AZMICDAZPULHLQ-CZUORRHYSA-N 0 0 440.522 -0.079 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C(C)C)cc1 ZINC000439379939 1072075895 /nfs/dbraw/zinc/07/58/95/1072075895.db2.gz AZMICDAZPULHLQ-XJKSGUPXSA-N 0 0 440.522 -0.079 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)cc1 ZINC000439380726 1072075418 /nfs/dbraw/zinc/07/54/18/1072075418.db2.gz BOHWHLNUENOSIC-UHFFFAOYSA-N 0 0 446.551 -0.067 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)C(=O)N1CCNC(=O)[C@H]1C(C)C ZINC000439381056 1072075960 /nfs/dbraw/zinc/07/59/60/1072075960.db2.gz ATLBNBGXDCUBRD-DZGCQCFKSA-N 0 0 425.511 -0.219 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)C(=O)N1CCNC(=O)[C@@H]1C(C)C ZINC000439381060 1072075917 /nfs/dbraw/zinc/07/59/17/1072075917.db2.gz ATLBNBGXDCUBRD-HIFRSBDPSA-N 0 0 425.511 -0.219 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)C(=O)N1CCNC(=O)[C@H]1C(C)C ZINC000439381064 1072075989 /nfs/dbraw/zinc/07/59/89/1072075989.db2.gz ATLBNBGXDCUBRD-UKRRQHHQSA-N 0 0 425.511 -0.219 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)C(=O)N1CCNC(=O)[C@@H]1C(C)C ZINC000439381068 1072076019 /nfs/dbraw/zinc/07/60/19/1072076019.db2.gz ATLBNBGXDCUBRD-ZFWWWQNUSA-N 0 0 425.511 -0.219 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)cc1 ZINC000439381312 1072075997 /nfs/dbraw/zinc/07/59/97/1072075997.db2.gz CHOHTNYKQJOSCO-UHFFFAOYSA-N 0 0 446.551 -0.160 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000439382475 1072075979 /nfs/dbraw/zinc/07/59/79/1072075979.db2.gz FECOOXXSQUFINO-MSOLQXFVSA-N 0 0 444.488 -0.378 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000439382476 1072075970 /nfs/dbraw/zinc/07/59/70/1072075970.db2.gz FECOOXXSQUFINO-QZTJIDSGSA-N 0 0 444.488 -0.378 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000439382477 1072076003 /nfs/dbraw/zinc/07/60/03/1072076003.db2.gz FECOOXXSQUFINO-ROUUACIJSA-N 0 0 444.488 -0.378 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000439382478 1072075903 /nfs/dbraw/zinc/07/59/03/1072075903.db2.gz FECOOXXSQUFINO-ZWKOTPCHSA-N 0 0 444.488 -0.378 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c1 ZINC000439382732 1072076015 /nfs/dbraw/zinc/07/60/15/1072076015.db2.gz DLYZVLMJOJAPIF-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000439383129 1072075857 /nfs/dbraw/zinc/07/58/57/1072075857.db2.gz GMWKDROKTWLCAV-CKEIUWERSA-N 0 0 434.497 -0.560 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000439383132 1072075841 /nfs/dbraw/zinc/07/58/41/1072075841.db2.gz GMWKDROKTWLCAV-CPUCHLNUSA-N 0 0 434.497 -0.560 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000439383135 1072076023 /nfs/dbraw/zinc/07/60/23/1072076023.db2.gz GMWKDROKTWLCAV-JKIFEVAISA-N 0 0 434.497 -0.560 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000439383138 1072076009 /nfs/dbraw/zinc/07/60/09/1072076009.db2.gz GMWKDROKTWLCAV-VBQJREDUSA-N 0 0 434.497 -0.560 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c1C ZINC000439383365 1072075819 /nfs/dbraw/zinc/07/58/19/1072075819.db2.gz FSRGYQAPRFBQRU-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN CCCn1nccc1NC(=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000439383675 1072075935 /nfs/dbraw/zinc/07/59/35/1072075935.db2.gz HEGPMVOBOIBRMZ-UHFFFAOYSA-N 0 0 433.557 -0.072 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)c(OC)c(OC)c1 ZINC000439384340 1072076559 /nfs/dbraw/zinc/07/65/59/1072076559.db2.gz INMDSPQOHJFYOI-UHFFFAOYSA-N 0 0 429.451 -0.292 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c1 ZINC000439384343 1072076435 /nfs/dbraw/zinc/07/64/35/1072076435.db2.gz IVHCUBGXFTUQHF-UHFFFAOYSA-N 0 0 439.523 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCNC(=O)[C@@H]1C(C)C ZINC000439385676 1072076524 /nfs/dbraw/zinc/07/65/24/1072076524.db2.gz KAAJCAUBHCRNST-HNNXBMFYSA-N 0 0 426.495 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCNC(=O)[C@H]1C(C)C ZINC000439385678 1072076512 /nfs/dbraw/zinc/07/65/12/1072076512.db2.gz KAAJCAUBHCRNST-OAHLLOKOSA-N 0 0 426.495 -0.133 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)c(=O)[nH]1 ZINC000439386141 1072076261 /nfs/dbraw/zinc/07/62/61/1072076261.db2.gz LBXYFUKVUWKBRL-UHFFFAOYSA-N 0 0 432.525 -0.269 20 0 IBADRN Cc1nn(C)c(NC(=O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)c1C ZINC000439386173 1072076480 /nfs/dbraw/zinc/07/64/80/1072076480.db2.gz WQIBVOUDOQENRY-UHFFFAOYSA-N 0 0 433.557 -0.328 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)cc1 ZINC000439386457 1072076377 /nfs/dbraw/zinc/07/63/77/1072076377.db2.gz LPWIPKOCVJJJQF-UHFFFAOYSA-N 0 0 432.524 -0.086 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)cn1 ZINC000439386565 1072076534 /nfs/dbraw/zinc/07/65/34/1072076534.db2.gz LNNJHVMKORYWON-UHFFFAOYSA-N 0 0 432.525 -0.275 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)cc1 ZINC000439386691 1072076233 /nfs/dbraw/zinc/07/62/33/1072076233.db2.gz XLGCFPJMQVTMAD-UHFFFAOYSA-N 0 0 446.551 -0.161 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000439386811 1072076395 /nfs/dbraw/zinc/07/63/95/1072076395.db2.gz XYPSMFNWMOJWBF-UHFFFAOYSA-N 0 0 433.557 -0.328 20 0 IBADRN C[C@H](CN1CCOCC1)N(Cc1ccccc1)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000439387761 1072076290 /nfs/dbraw/zinc/07/62/90/1072076290.db2.gz ZHUXTRGBYRCKGT-CVEARBPZSA-N 0 0 426.539 -0.471 20 0 IBADRN C[C@@H](CN1CCOCC1)N(Cc1ccccc1)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000439387762 1072076320 /nfs/dbraw/zinc/07/63/20/1072076320.db2.gz ZHUXTRGBYRCKGT-HOTGVXAUSA-N 0 0 426.539 -0.471 20 0 IBADRN C[C@H](CN1CCOCC1)N(Cc1ccccc1)C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000439387763 1072076425 /nfs/dbraw/zinc/07/64/25/1072076425.db2.gz ZHUXTRGBYRCKGT-HZPDHXFCSA-N 0 0 426.539 -0.471 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(Cc1ccccc1)[C@@H](C)CN1CCOCC1)S(N)(=O)=O ZINC000439387764 1072076471 /nfs/dbraw/zinc/07/64/71/1072076471.db2.gz ZHUXTRGBYRCKGT-JKSUJKDBSA-N 0 0 426.539 -0.471 20 0 IBADRN CCc1cc(NC(=O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)n(C)n1 ZINC000439388106 1072076331 /nfs/dbraw/zinc/07/63/31/1072076331.db2.gz QRVIJXSKDZHACZ-UHFFFAOYSA-N 0 0 433.557 -0.382 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)cn1 ZINC000439388289 1072076447 /nfs/dbraw/zinc/07/64/47/1072076447.db2.gz RBMMQPZAEPPVKC-UHFFFAOYSA-N 0 0 445.568 -0.217 20 0 IBADRN COc1ccncc1NC(=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000439390132 1072076916 /nfs/dbraw/zinc/07/69/16/1072076916.db2.gz YCXYTMJGJWUJAI-UHFFFAOYSA-N 0 0 432.525 -0.275 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)no1 ZINC000439391341 1072076943 /nfs/dbraw/zinc/07/69/43/1072076943.db2.gz CSRVFNYQGZSQNF-UHFFFAOYSA-N 0 0 434.541 0.433 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)cc1 ZINC000439392119 1072077424 /nfs/dbraw/zinc/07/74/24/1072077424.db2.gz JZWAQKNZFWJRIR-UHFFFAOYSA-N 0 0 446.551 -0.065 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000439392360 1072077452 /nfs/dbraw/zinc/07/74/52/1072077452.db2.gz MEVZTXMYIYREOH-UHFFFAOYSA-N 0 0 433.557 -0.382 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(C(N)=O)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000439392852 1072075346 /nfs/dbraw/zinc/07/53/46/1072075346.db2.gz ODUHIGTYXLJPIV-UHFFFAOYSA-N 0 0 444.536 -0.579 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000439393424 1072077485 /nfs/dbraw/zinc/07/74/85/1072077485.db2.gz ACCWVUVMXDKYFJ-INIZCTEOSA-N 0 0 438.506 -0.371 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000439393456 1072077393 /nfs/dbraw/zinc/07/73/93/1072077393.db2.gz ACCWVUVMXDKYFJ-MRXNPFEDSA-N 0 0 438.506 -0.371 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)ccc1C ZINC000439393605 1072077383 /nfs/dbraw/zinc/07/73/83/1072077383.db2.gz ARNXAMKVNMZCAT-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000439394861 1072077552 /nfs/dbraw/zinc/07/75/52/1072077552.db2.gz JGQJYFCZRYPWEJ-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000439395401 1072077955 /nfs/dbraw/zinc/07/79/55/1072077955.db2.gz VNQUGAQHSRJVKC-INIZCTEOSA-N 0 0 438.506 -0.371 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000439395402 1072077795 /nfs/dbraw/zinc/07/77/95/1072077795.db2.gz VNQUGAQHSRJVKC-MRXNPFEDSA-N 0 0 438.506 -0.371 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000439395486 1072077318 /nfs/dbraw/zinc/07/73/18/1072077318.db2.gz HCRQAJWXKQZUAG-UHFFFAOYSA-N 0 0 444.535 -0.346 20 0 IBADRN COc1ncccc1NC(=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000439395859 1072078027 /nfs/dbraw/zinc/07/80/27/1072078027.db2.gz WVMPXMYEZOUHNY-UHFFFAOYSA-N 0 0 432.525 -0.275 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000439396159 1072077906 /nfs/dbraw/zinc/07/79/06/1072077906.db2.gz LGQNIXRQFWRHNM-IBGZPJMESA-N 0 0 429.521 -0.075 20 0 IBADRN COc1ccc(CNC(=O)c2c[nH]nc2NC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000439396168 1072077946 /nfs/dbraw/zinc/07/79/46/1072077946.db2.gz NQAKWKDDGZJWJE-UHFFFAOYSA-N 0 0 447.477 -0.001 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000439396169 1072077926 /nfs/dbraw/zinc/07/79/26/1072077926.db2.gz LGQNIXRQFWRHNM-LJQANCHMSA-N 0 0 429.521 -0.075 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(Cc2ccccc2)[C@@H](C)CN2CCOCC2)n1 ZINC000439396271 1073313385 /nfs/dbraw/zinc/31/33/85/1073313385.db2.gz XXJQCPHBBDMSCO-INIZCTEOSA-N 0 0 443.508 -0.288 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(Cc2ccccc2)[C@H](C)CN2CCOCC2)n1 ZINC000439396272 1072077897 /nfs/dbraw/zinc/07/78/97/1072077897.db2.gz XXJQCPHBBDMSCO-MRXNPFEDSA-N 0 0 443.508 -0.288 20 0 IBADRN CN(C(=O)C(=O)Nc1c2c(nn1C)CCC2)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000439396643 1072077813 /nfs/dbraw/zinc/07/78/13/1072077813.db2.gz YNNSBXQPKOWJLE-UHFFFAOYSA-N 0 0 445.568 -0.456 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC(CS(N)(=O)=O)CC1 ZINC000439396985 1072078016 /nfs/dbraw/zinc/07/80/16/1072078016.db2.gz PWDBERIWAPBRAZ-UHFFFAOYSA-N 0 0 443.478 -0.044 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCn3cccc3)CC2)cc1 ZINC000439398053 1072077873 /nfs/dbraw/zinc/07/78/73/1072077873.db2.gz PKMWEXRKDCLHAA-UHFFFAOYSA-N 0 0 441.535 -0.148 20 0 IBADRN COc1ccc(CNC(=O)c2c[nH]nc2NC(=O)c2cn(CC(=O)N(C)C)nn2)cc1 ZINC000439398221 1072077987 /nfs/dbraw/zinc/07/79/87/1072077987.db2.gz ULUJEGYJJSGFMJ-UHFFFAOYSA-N 0 0 426.437 0.280 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000439398753 1072077977 /nfs/dbraw/zinc/07/79/77/1072077977.db2.gz BRVWYPIMCPSHBE-INIZCTEOSA-N 0 0 439.538 -0.076 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000439398754 1072077966 /nfs/dbraw/zinc/07/79/66/1072077966.db2.gz BRVWYPIMCPSHBE-MRXNPFEDSA-N 0 0 439.538 -0.076 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)c1 ZINC000439399119 1072078322 /nfs/dbraw/zinc/07/83/22/1072078322.db2.gz CMBVBDRVNUEIAW-GFCCVEGCSA-N 0 0 446.551 -0.019 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)c1 ZINC000439399120 1072078330 /nfs/dbraw/zinc/07/83/30/1072078330.db2.gz CMBVBDRVNUEIAW-LBPRGKRZSA-N 0 0 446.551 -0.019 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c(OCC(=O)N(C)C)c1 ZINC000439399160 1072078432 /nfs/dbraw/zinc/07/84/32/1072078432.db2.gz CXZUUIQXUKJDES-UHFFFAOYSA-N 0 0 440.522 -0.072 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000439399380 1072078393 /nfs/dbraw/zinc/07/83/93/1072078393.db2.gz XQVUEXYMWDPDII-GOSISDBHSA-N 0 0 431.493 -0.121 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000439399382 1072078415 /nfs/dbraw/zinc/07/84/15/1072078415.db2.gz XQVUEXYMWDPDII-SFHVURJKSA-N 0 0 431.493 -0.121 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C(C)C)ccc1S(=O)(=O)N(C)C ZINC000439400363 1072078452 /nfs/dbraw/zinc/07/84/52/1072078452.db2.gz ZKERVMAKBRHQJD-HNNXBMFYSA-N 0 0 426.495 -0.133 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C(C)C)ccc1S(=O)(=O)N(C)C ZINC000439400367 1072078403 /nfs/dbraw/zinc/07/84/03/1072078403.db2.gz ZKERVMAKBRHQJD-OAHLLOKOSA-N 0 0 426.495 -0.133 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)cc1 ZINC000439400412 1072078421 /nfs/dbraw/zinc/07/84/21/1072078421.db2.gz AOLQLZNWHXEYEH-UHFFFAOYSA-N 0 0 432.524 -0.407 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000439401637 1072078379 /nfs/dbraw/zinc/07/83/79/1072078379.db2.gz DUOAHNJJRSQMOD-GOSISDBHSA-N 0 0 445.520 -0.330 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000439401639 1072078851 /nfs/dbraw/zinc/07/88/51/1072078851.db2.gz DUOAHNJJRSQMOD-SFHVURJKSA-N 0 0 445.520 -0.330 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C(C)C)cc1 ZINC000439402135 1072076890 /nfs/dbraw/zinc/07/68/90/1072076890.db2.gz GCUYHYBJMCVNEL-HNNXBMFYSA-N 0 0 426.495 -0.467 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C(C)C)cc1 ZINC000439402136 1072076988 /nfs/dbraw/zinc/07/69/88/1072076988.db2.gz GCUYHYBJMCVNEL-OAHLLOKOSA-N 0 0 426.495 -0.467 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC(CS(N)(=O)=O)CC1 ZINC000439403325 1072076975 /nfs/dbraw/zinc/07/69/75/1072076975.db2.gz LVCGDMHQGBNTDY-UHFFFAOYSA-N 0 0 438.506 -0.312 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000439403794 1072076882 /nfs/dbraw/zinc/07/68/82/1072076882.db2.gz QHHOLDSABYKEQP-GOSISDBHSA-N 0 0 432.477 -0.154 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000439403795 1072076997 /nfs/dbraw/zinc/07/69/97/1072076997.db2.gz QHHOLDSABYKEQP-SFHVURJKSA-N 0 0 432.477 -0.154 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)cn1 ZINC000439404509 1072076872 /nfs/dbraw/zinc/07/68/72/1072076872.db2.gz REFCJUJIQRXFQM-UHFFFAOYSA-N 0 0 429.499 -0.353 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)cc1 ZINC000439405446 1072077008 /nfs/dbraw/zinc/07/70/08/1072077008.db2.gz BKMBLCSIOIDSGU-MSOLQXFVSA-N 0 0 428.489 -0.041 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)cc1 ZINC000439405447 1072076822 /nfs/dbraw/zinc/07/68/22/1072076822.db2.gz BKMBLCSIOIDSGU-QZTJIDSGSA-N 0 0 428.489 -0.041 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)cc1 ZINC000439405448 1072077030 /nfs/dbraw/zinc/07/70/30/1072077030.db2.gz BKMBLCSIOIDSGU-ROUUACIJSA-N 0 0 428.489 -0.041 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)cc1 ZINC000439405449 1072077049 /nfs/dbraw/zinc/07/70/49/1072077049.db2.gz BKMBLCSIOIDSGU-ZWKOTPCHSA-N 0 0 428.489 -0.041 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c(OC)c(OC)c1 ZINC000439407211 1072077521 /nfs/dbraw/zinc/07/75/21/1072077521.db2.gz ZEYVWDUDFWEBIB-UHFFFAOYSA-N 0 0 443.478 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)Cc2cccnc2)cc1 ZINC000439407353 1072077370 /nfs/dbraw/zinc/07/73/70/1072077370.db2.gz ZPDHEPFCFXQTIB-UHFFFAOYSA-N 0 0 436.490 -0.034 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3C(F)(F)F)n2)CC1 ZINC000439407521 1072077420 /nfs/dbraw/zinc/07/74/20/1072077420.db2.gz ZZMMPXKYILQKNM-UHFFFAOYSA-N 0 0 446.411 0.504 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC(CS(N)(=O)=O)CC3)cc21 ZINC000439408603 1072077506 /nfs/dbraw/zinc/07/75/06/1072077506.db2.gz KWDPHANUFHPCHE-UHFFFAOYSA-N 0 0 435.506 -0.147 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)C(=O)Nc2ncn(Cc3ccc(Cl)cc3)n2)CC1 ZINC000439409589 1072079241 /nfs/dbraw/zinc/07/92/41/1072079241.db2.gz MDODTUWMRPDANX-UHFFFAOYSA-N 0 0 440.913 0.445 20 0 IBADRN Cn1c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc2c1nc1ccccn1c2=O ZINC000439410122 1072079456 /nfs/dbraw/zinc/07/94/56/1072079456.db2.gz KXYQPIJIUTVCKJ-GFCCVEGCSA-N 0 0 431.474 -0.391 20 0 IBADRN Cn1c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc2c1nc1ccccn1c2=O ZINC000439410123 1072079355 /nfs/dbraw/zinc/07/93/55/1072079355.db2.gz KXYQPIJIUTVCKJ-LBPRGKRZSA-N 0 0 431.474 -0.391 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C(C)C)cc(S(C)(=O)=O)c1 ZINC000439411501 1072079287 /nfs/dbraw/zinc/07/92/87/1072079287.db2.gz SUDXQRYHELPELD-AWEZNQCLSA-N 0 0 425.463 -0.202 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C(C)C)cc(S(C)(=O)=O)c1 ZINC000439411506 1072079253 /nfs/dbraw/zinc/07/92/53/1072079253.db2.gz SUDXQRYHELPELD-CQSZACIVSA-N 0 0 425.463 -0.202 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000439412007 1072079412 /nfs/dbraw/zinc/07/94/12/1072079412.db2.gz SBJKWTFGWCKYRX-UHFFFAOYSA-N 0 0 444.535 -0.407 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)cccc1S(=O)(=O)NC1CC1 ZINC000439412548 1072079266 /nfs/dbraw/zinc/07/92/66/1072079266.db2.gz XSSOSGGWDZMGQZ-UHFFFAOYSA-N 0 0 444.535 -0.346 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)cc1C(=O)OC ZINC000439412844 1072079424 /nfs/dbraw/zinc/07/94/24/1072079424.db2.gz YQTNLTMFDVLOPS-UHFFFAOYSA-N 0 0 441.462 -0.275 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)c1 ZINC000439413144 1072079216 /nfs/dbraw/zinc/07/92/16/1072079216.db2.gz ZNJKJCLNFOBPSA-UHFFFAOYSA-N 0 0 446.551 -0.017 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000439415707 1072079228 /nfs/dbraw/zinc/07/92/28/1072079228.db2.gz DNXLERLNFHVYNK-MOPGFXCFSA-N 0 0 434.537 -0.569 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000439415708 1072079380 /nfs/dbraw/zinc/07/93/80/1072079380.db2.gz DNXLERLNFHVYNK-OALUTQOASA-N 0 0 434.537 -0.569 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000439415709 1072079315 /nfs/dbraw/zinc/07/93/15/1072079315.db2.gz DNXLERLNFHVYNK-RBUKOAKNSA-N 0 0 434.537 -0.569 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000439415710 1072079278 /nfs/dbraw/zinc/07/92/78/1072079278.db2.gz DNXLERLNFHVYNK-RTBURBONSA-N 0 0 434.537 -0.569 20 0 IBADRN O=C(c1cc(N2CCOCC2)ccn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000439416698 1072078748 /nfs/dbraw/zinc/07/87/48/1072078748.db2.gz GEPZUKGWQHPGMK-UHFFFAOYSA-N 0 0 425.511 -0.747 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)nn1C ZINC000439419197 1072078824 /nfs/dbraw/zinc/07/88/24/1072078824.db2.gz GQDLRPKQXASBRI-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(Cc2ccc(OC)cc2)CC1 ZINC000439419941 1072078952 /nfs/dbraw/zinc/07/89/52/1072078952.db2.gz IBVBTSNGTGFRIX-GOSISDBHSA-N 0 0 439.534 -0.389 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(Cc2ccc(OC)cc2)CC1 ZINC000439419942 1072078942 /nfs/dbraw/zinc/07/89/42/1072078942.db2.gz IBVBTSNGTGFRIX-SFHVURJKSA-N 0 0 439.534 -0.389 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1C ZINC000439423917 1072078697 /nfs/dbraw/zinc/07/86/97/1072078697.db2.gz QHPCWXJCGOXUPX-HNNXBMFYSA-N 0 0 428.497 0.079 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1C ZINC000439423919 1072078792 /nfs/dbraw/zinc/07/87/92/1072078792.db2.gz QHPCWXJCGOXUPX-OAHLLOKOSA-N 0 0 428.497 0.079 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000439423941 1072078877 /nfs/dbraw/zinc/07/88/77/1072078877.db2.gz QKENLUWYODJVLG-HNNXBMFYSA-N 0 0 427.479 -0.636 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N(C)CC(=O)Nc1cccc(OC)c1 ZINC000439423942 1072078761 /nfs/dbraw/zinc/07/87/61/1072078761.db2.gz QKENLUWYODJVLG-OAHLLOKOSA-N 0 0 427.479 -0.636 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cc(N4CCOCC4)ccn3)CC2)o1 ZINC000439424066 1072078998 /nfs/dbraw/zinc/07/89/98/1072078998.db2.gz UAXDBVHAWDJXBK-UHFFFAOYSA-N 0 0 449.489 -0.243 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc(N4CCOCC4)ccn3)CC2)CC1 ZINC000439424699 1072078863 /nfs/dbraw/zinc/07/88/63/1072078863.db2.gz RYZOWEAXOUAPRP-UHFFFAOYSA-N 0 0 438.554 -0.832 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(CO)CCOCC1)CC2 ZINC000439427030 1072078890 /nfs/dbraw/zinc/07/88/90/1072078890.db2.gz WZFPBAMULWSZOB-UHFFFAOYSA-N 0 0 425.507 -0.221 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(C(=O)NC4CC4)c3)CC2)CC1 ZINC000439428139 1072079848 /nfs/dbraw/zinc/07/98/48/1072079848.db2.gz XZAZZRSFKWYGSI-UHFFFAOYSA-N 0 0 435.550 -0.171 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N2CCC[C@H](C)C2)CC1 ZINC000439431221 1072079774 /nfs/dbraw/zinc/07/97/74/1072079774.db2.gz PMDMCSRBQQQFNT-DOTOQJQBSA-N 0 0 443.566 -0.245 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N2CCC[C@@H](C)C2)CC1 ZINC000439431222 1072079893 /nfs/dbraw/zinc/07/98/93/1072079893.db2.gz PMDMCSRBQQQFNT-NVXWUHKLSA-N 0 0 443.566 -0.245 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N2CCC[C@H](C)C2)CC1 ZINC000439431223 1072079788 /nfs/dbraw/zinc/07/97/88/1072079788.db2.gz PMDMCSRBQQQFNT-RDJZCZTQSA-N 0 0 443.566 -0.245 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N2CCC[C@@H](C)C2)CC1 ZINC000439431224 1072079746 /nfs/dbraw/zinc/07/97/46/1072079746.db2.gz PMDMCSRBQQQFNT-WBVHZDCISA-N 0 0 443.566 -0.245 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000439431318 1072079659 /nfs/dbraw/zinc/07/96/59/1072079659.db2.gz MQKDHGMNQMKJFO-AWEZNQCLSA-N 0 0 431.898 -0.025 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)Nc1cccc(Cl)c1C ZINC000439431319 1072079721 /nfs/dbraw/zinc/07/97/21/1072079721.db2.gz MQKDHGMNQMKJFO-CQSZACIVSA-N 0 0 431.898 -0.025 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)nn1C ZINC000439431771 1073323179 /nfs/dbraw/zinc/32/31/79/1073323179.db2.gz RHXXNPFFEGNYBI-UHFFFAOYSA-N 0 0 425.433 0.482 20 0 IBADRN Cn1cc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c(C(F)(F)F)n1 ZINC000439432349 1072079684 /nfs/dbraw/zinc/07/96/84/1072079684.db2.gz QGOOMPGNBGOXRG-UHFFFAOYSA-N 0 0 439.354 -0.361 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCC1(CO)CCOCC1 ZINC000439433449 1072079735 /nfs/dbraw/zinc/07/97/35/1072079735.db2.gz BNJDFPVXJNOEPA-UHFFFAOYSA-N 0 0 441.506 -0.020 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC000439433808 1072079696 /nfs/dbraw/zinc/07/96/96/1072079696.db2.gz BDLUWTWQSJZKFM-INIZCTEOSA-N 0 0 438.506 -0.365 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC000439433809 1072079648 /nfs/dbraw/zinc/07/96/48/1072079648.db2.gz BDLUWTWQSJZKFM-MRXNPFEDSA-N 0 0 438.506 -0.365 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)C[C@@H]2C(=O)OC)ccc1C ZINC000439433860 1072079833 /nfs/dbraw/zinc/07/98/33/1072079833.db2.gz BLYBEZRRTPBZOA-CYBMUJFWSA-N 0 0 433.508 -0.886 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)C[C@H]2C(=O)OC)ccc1C ZINC000439433861 1072079640 /nfs/dbraw/zinc/07/96/40/1072079640.db2.gz BLYBEZRRTPBZOA-ZDUSSCGKSA-N 0 0 433.508 -0.886 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NC1CCN(C(=O)C2CCCCC2)CC1 ZINC000439434142 1072080268 /nfs/dbraw/zinc/08/02/68/1072080268.db2.gz CXUBLFAPJMPQPH-KRWDZBQOSA-N 0 0 443.566 -0.054 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NC1CCN(C(=O)C2CCCCC2)CC1 ZINC000439434143 1072080326 /nfs/dbraw/zinc/08/03/26/1072080326.db2.gz CXUBLFAPJMPQPH-QGZVFWFLSA-N 0 0 443.566 -0.054 20 0 IBADRN O=C(NCC1(CO)CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000439434975 1072080339 /nfs/dbraw/zinc/08/03/39/1072080339.db2.gz GDOIVXNIZWCEOY-GOSISDBHSA-N 0 0 447.488 -0.455 20 0 IBADRN O=C(NCC1(CO)CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000439434985 1072080165 /nfs/dbraw/zinc/08/01/65/1072080165.db2.gz GDOIVXNIZWCEOY-SFHVURJKSA-N 0 0 447.488 -0.455 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)Nc3cc(C)n(C)n3)CC2)ncc1Br ZINC000439435208 1072080214 /nfs/dbraw/zinc/08/02/14/1072080214.db2.gz UVVHTIMRCZVUKA-UHFFFAOYSA-N 0 0 438.286 0.577 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000439435917 1072080241 /nfs/dbraw/zinc/08/02/41/1072080241.db2.gz GPMMOUPINDOKOI-GFCCVEGCSA-N 0 0 427.435 -0.622 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000439435919 1072080255 /nfs/dbraw/zinc/08/02/55/1072080255.db2.gz GPMMOUPINDOKOI-LBPRGKRZSA-N 0 0 427.435 -0.622 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCc1cc(OC)c(OC)cc1OC ZINC000439436710 1072080298 /nfs/dbraw/zinc/08/02/98/1072080298.db2.gz HZPTZUOYKYJZOB-CYBMUJFWSA-N 0 0 430.479 -0.399 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCc1cc(OC)c(OC)cc1OC ZINC000439436714 1072080182 /nfs/dbraw/zinc/08/01/82/1072080182.db2.gz HZPTZUOYKYJZOB-ZDUSSCGKSA-N 0 0 430.479 -0.399 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccccc1CN1CCCC1=O ZINC000439438477 1072080286 /nfs/dbraw/zinc/08/02/86/1072080286.db2.gz KCQLELOPBOFZQG-KRWDZBQOSA-N 0 0 437.518 -0.303 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccccc1CN1CCCC1=O ZINC000439438478 1072080148 /nfs/dbraw/zinc/08/01/48/1072080148.db2.gz KCQLELOPBOFZQG-QGZVFWFLSA-N 0 0 437.518 -0.303 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N2CCCCCC2)CC1 ZINC000439440408 1072080762 /nfs/dbraw/zinc/08/07/62/1072080762.db2.gz PWXIOIFOWASEPI-KRWDZBQOSA-N 0 0 443.566 -0.100 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N2CCCCCC2)CC1 ZINC000439440409 1072080728 /nfs/dbraw/zinc/08/07/28/1072080728.db2.gz PWXIOIFOWASEPI-QGZVFWFLSA-N 0 0 443.566 -0.100 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000439440627 1072080657 /nfs/dbraw/zinc/08/06/57/1072080657.db2.gz ORADLERGHQFQGE-CYBMUJFWSA-N 0 0 428.858 -0.474 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(-n2cnnn2)ccc1Cl ZINC000439440628 1072080646 /nfs/dbraw/zinc/08/06/46/1072080646.db2.gz ORADLERGHQFQGE-ZDUSSCGKSA-N 0 0 428.858 -0.474 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccccc1CN1CCOCC1 ZINC000439440970 1072080779 /nfs/dbraw/zinc/08/07/79/1072080779.db2.gz JADXQYKDIFJQIN-GOSISDBHSA-N 0 0 439.534 -0.593 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccccc1CN1CCOCC1 ZINC000439440972 1072080697 /nfs/dbraw/zinc/08/06/97/1072080697.db2.gz JADXQYKDIFJQIN-SFHVURJKSA-N 0 0 439.534 -0.593 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000439441314 1072080627 /nfs/dbraw/zinc/08/06/27/1072080627.db2.gz QBFOVZYMOQINRH-AWEZNQCLSA-N 0 0 426.430 -0.680 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000439441315 1072080741 /nfs/dbraw/zinc/08/07/41/1072080741.db2.gz QBFOVZYMOQINRH-CQSZACIVSA-N 0 0 426.430 -0.680 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000439441370 1072080640 /nfs/dbraw/zinc/08/06/40/1072080640.db2.gz QKEKOGWANKQHBU-CYBMUJFWSA-N 0 0 429.520 -0.696 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000439441374 1072080602 /nfs/dbraw/zinc/08/06/02/1072080602.db2.gz QKEKOGWANKQHBU-ZDUSSCGKSA-N 0 0 429.520 -0.696 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(N3CCCNC3=O)CC2)c2ccccc2O1 ZINC000439442355 1072080802 /nfs/dbraw/zinc/08/08/02/1072080802.db2.gz RJLMGMZZKULKPJ-KRWDZBQOSA-N 0 0 429.477 -0.067 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(N3CCCNC3=O)CC2)c2ccccc2O1 ZINC000439442359 1072080828 /nfs/dbraw/zinc/08/08/28/1072080828.db2.gz RJLMGMZZKULKPJ-QGZVFWFLSA-N 0 0 429.477 -0.067 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000439443302 1072081104 /nfs/dbraw/zinc/08/11/04/1072081104.db2.gz ABMUXFQKVDEFSN-KRWDZBQOSA-N 0 0 437.518 -0.189 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000439443305 1072081197 /nfs/dbraw/zinc/08/11/97/1072081197.db2.gz ABMUXFQKVDEFSN-QGZVFWFLSA-N 0 0 437.518 -0.189 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000439443994 1072081135 /nfs/dbraw/zinc/08/11/35/1072081135.db2.gz OCAFUZBURAHNGB-AWEZNQCLSA-N 0 0 433.508 -0.853 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000439443996 1072081056 /nfs/dbraw/zinc/08/10/56/1072081056.db2.gz OCAFUZBURAHNGB-CQSZACIVSA-N 0 0 433.508 -0.853 20 0 IBADRN CCCCn1c2nc(CN3CCS(=O)(=O)C[C@@H]3C(=O)OC)n(CC)c2c(=O)[nH]c1=O ZINC000439446320 1072081530 /nfs/dbraw/zinc/08/15/30/1072081530.db2.gz QQLCSWGEVKUATR-GFCCVEGCSA-N 0 0 441.510 -0.109 20 0 IBADRN CCCCn1c2nc(CN3CCS(=O)(=O)C[C@H]3C(=O)OC)n(CC)c2c(=O)[nH]c1=O ZINC000439446324 1072081670 /nfs/dbraw/zinc/08/16/70/1072081670.db2.gz QQLCSWGEVKUATR-LBPRGKRZSA-N 0 0 441.510 -0.109 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)NCCC(C)C)CC1 ZINC000439447636 1072081636 /nfs/dbraw/zinc/08/16/36/1072081636.db2.gz FETVNAXCCHUOEQ-INIZCTEOSA-N 0 0 431.555 -0.341 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)NCCC(C)C)CC1 ZINC000439447637 1072081628 /nfs/dbraw/zinc/08/16/28/1072081628.db2.gz FETVNAXCCHUOEQ-MRXNPFEDSA-N 0 0 431.555 -0.341 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCCNS(=O)(=O)c2ccccc2Cl)n1 ZINC000439448596 1072082061 /nfs/dbraw/zinc/08/20/61/1072082061.db2.gz HNVGRDKNLPTWRG-UHFFFAOYSA-N 0 0 443.917 0.510 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1ccc(CN2CCOCC2)cc1 ZINC000439448809 1072081588 /nfs/dbraw/zinc/08/15/88/1072081588.db2.gz UFBYHPZVTJSTCB-KRWDZBQOSA-N 0 0 425.507 -0.271 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1ccc(CN2CCOCC2)cc1 ZINC000439448810 1072081604 /nfs/dbraw/zinc/08/16/04/1072081604.db2.gz UFBYHPZVTJSTCB-QGZVFWFLSA-N 0 0 425.507 -0.271 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000439448840 1072081661 /nfs/dbraw/zinc/08/16/61/1072081661.db2.gz FLQRQLKWCSGQFC-INIZCTEOSA-N 0 0 431.555 -0.590 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000439448841 1072081541 /nfs/dbraw/zinc/08/15/41/1072081541.db2.gz FLQRQLKWCSGQFC-MRXNPFEDSA-N 0 0 431.555 -0.590 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1(CO)CCOCC1 ZINC000439448918 1072082019 /nfs/dbraw/zinc/08/20/19/1072082019.db2.gz HDKMWKXHQGZELP-UHFFFAOYSA-N 0 0 442.538 -0.153 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC3(CO)CCOCC3)cc2)CC1 ZINC000439449529 1072082049 /nfs/dbraw/zinc/08/20/49/1072082049.db2.gz IOOIQFFVGKONNK-UHFFFAOYSA-N 0 0 432.521 -0.153 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000439451073 1072082038 /nfs/dbraw/zinc/08/20/38/1072082038.db2.gz KUCDYZBQIIYNRP-CYBMUJFWSA-N 0 0 441.462 -0.232 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000439451074 1072082095 /nfs/dbraw/zinc/08/20/95/1072082095.db2.gz KUCDYZBQIIYNRP-ZDUSSCGKSA-N 0 0 441.462 -0.232 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)nn1C ZINC000439451868 1072082084 /nfs/dbraw/zinc/08/20/84/1072082084.db2.gz MYUGRNZSXOSVNU-UHFFFAOYSA-N 0 0 433.490 0.055 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC(N3CCCNC3=O)CC1)CC2 ZINC000439453710 1072082150 /nfs/dbraw/zinc/08/21/50/1072082150.db2.gz QOXKSFVFVPUMLQ-UHFFFAOYSA-N 0 0 449.533 -0.110 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(S(C)(=O)=O)ccc1Cl ZINC000439455813 1072082133 /nfs/dbraw/zinc/08/21/33/1072082133.db2.gz VXIAKWGPWTWOAK-CYBMUJFWSA-N 0 0 438.911 -0.046 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(S(C)(=O)=O)ccc1Cl ZINC000439455814 1072082090 /nfs/dbraw/zinc/08/20/90/1072082090.db2.gz VXIAKWGPWTWOAK-ZDUSSCGKSA-N 0 0 438.911 -0.046 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(NC(=O)c2ccccc2)CC1 ZINC000439456423 1072082473 /nfs/dbraw/zinc/08/24/73/1072082473.db2.gz YNPZHJKALZCCEE-KRWDZBQOSA-N 0 0 437.518 -0.321 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(NC(=O)c2ccccc2)CC1 ZINC000439456427 1072082527 /nfs/dbraw/zinc/08/25/27/1072082527.db2.gz YNPZHJKALZCCEE-QGZVFWFLSA-N 0 0 437.518 -0.321 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCS(=O)(=O)C[C@H]2C(=O)OC)c(OC)c1 ZINC000439456571 1072082411 /nfs/dbraw/zinc/08/24/11/1072082411.db2.gz YUVUDBUCPYUWBA-KBPBESRZSA-N 0 0 431.463 -0.507 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCS(=O)(=O)C[C@H]2C(=O)OC)c(OC)c1 ZINC000439456572 1072082443 /nfs/dbraw/zinc/08/24/43/1072082443.db2.gz YUVUDBUCPYUWBA-KGLIPLIRSA-N 0 0 431.463 -0.507 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCS(=O)(=O)C[C@@H]2C(=O)OC)c(OC)c1 ZINC000439456573 1072082437 /nfs/dbraw/zinc/08/24/37/1072082437.db2.gz YUVUDBUCPYUWBA-UONOGXRCSA-N 0 0 431.463 -0.507 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCS(=O)(=O)C[C@@H]2C(=O)OC)c(OC)c1 ZINC000439456574 1072082467 /nfs/dbraw/zinc/08/24/67/1072082467.db2.gz YUVUDBUCPYUWBA-ZIAGYGMSSA-N 0 0 431.463 -0.507 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000439456843 1072082425 /nfs/dbraw/zinc/08/24/25/1072082425.db2.gz ZUAQJIKANADRHC-DOMZBBRYSA-N 0 0 429.470 -0.609 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC000439456848 1072082406 /nfs/dbraw/zinc/08/24/06/1072082406.db2.gz ZUAQJIKANADRHC-IUODEOHRSA-N 0 0 429.470 -0.609 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000439456850 1072082486 /nfs/dbraw/zinc/08/24/86/1072082486.db2.gz ZUAQJIKANADRHC-SWLSCSKDSA-N 0 0 429.470 -0.609 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000439456851 1072082454 /nfs/dbraw/zinc/08/24/54/1072082454.db2.gz ZUAQJIKANADRHC-WFASDCNBSA-N 0 0 429.470 -0.609 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCS(=O)(=O)C[C@H]1C(=O)OC ZINC000439457002 1072081064 /nfs/dbraw/zinc/08/10/64/1072081064.db2.gz PLTQEGWOTNDAFH-AWEZNQCLSA-N 0 0 431.536 -0.515 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCS(=O)(=O)C[C@@H]1C(=O)OC ZINC000439457004 1072081038 /nfs/dbraw/zinc/08/10/38/1072081038.db2.gz PLTQEGWOTNDAFH-CQSZACIVSA-N 0 0 431.536 -0.515 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCc1cc(OC)c(OC)c(OC)c1 ZINC000439457154 1072081089 /nfs/dbraw/zinc/08/10/89/1072081089.db2.gz QEJQJDCMYZIYPB-CYBMUJFWSA-N 0 0 430.479 -0.399 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCc1cc(OC)c(OC)c(OC)c1 ZINC000439457156 1072081070 /nfs/dbraw/zinc/08/10/70/1072081070.db2.gz QEJQJDCMYZIYPB-ZDUSSCGKSA-N 0 0 430.479 -0.399 20 0 IBADRN CCN(C[C@@H]1COc2ccccc2O1)C(=O)CN1CCS(=O)(=O)C[C@H]1C(=O)OC ZINC000439458806 1072081048 /nfs/dbraw/zinc/08/10/48/1072081048.db2.gz URKASOVWUXQKPR-CABCVRRESA-N 0 0 426.491 -0.053 20 0 IBADRN CCN(C[C@H]1COc2ccccc2O1)C(=O)CN1CCS(=O)(=O)C[C@H]1C(=O)OC ZINC000439458807 1072081186 /nfs/dbraw/zinc/08/11/86/1072081186.db2.gz URKASOVWUXQKPR-GJZGRUSLSA-N 0 0 426.491 -0.053 20 0 IBADRN CCN(C[C@@H]1COc2ccccc2O1)C(=O)CN1CCS(=O)(=O)C[C@@H]1C(=O)OC ZINC000439458808 1072081117 /nfs/dbraw/zinc/08/11/17/1072081117.db2.gz URKASOVWUXQKPR-HUUCEWRRSA-N 0 0 426.491 -0.053 20 0 IBADRN CCN(C[C@H]1COc2ccccc2O1)C(=O)CN1CCS(=O)(=O)C[C@@H]1C(=O)OC ZINC000439458809 1072081144 /nfs/dbraw/zinc/08/11/44/1072081144.db2.gz URKASOVWUXQKPR-LSDHHAIUSA-N 0 0 426.491 -0.053 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCc1c(OC)cc(OC)cc1OC ZINC000439460620 1072081560 /nfs/dbraw/zinc/08/15/60/1072081560.db2.gz XUSBWOYNNVHPPH-AWEZNQCLSA-N 0 0 430.479 -0.399 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCc1c(OC)cc(OC)cc1OC ZINC000439460622 1072081710 /nfs/dbraw/zinc/08/17/10/1072081710.db2.gz XUSBWOYNNVHPPH-CQSZACIVSA-N 0 0 430.479 -0.399 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)nn1C ZINC000439470015 1072082460 /nfs/dbraw/zinc/08/24/60/1072082460.db2.gz BXUJQXNRPCDIOS-UHFFFAOYSA-N 0 0 449.489 -0.029 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)nn1C ZINC000439470754 1073324130 /nfs/dbraw/zinc/32/41/30/1073324130.db2.gz DQUNIHZCXNNUCV-UHFFFAOYSA-N 0 0 426.543 0.321 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)nn1C ZINC000439470795 1073324074 /nfs/dbraw/zinc/32/40/74/1073324074.db2.gz DTTSZZSPYHQVMR-UHFFFAOYSA-N 0 0 427.433 0.478 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)nn1C ZINC000439473393 1072083091 /nfs/dbraw/zinc/08/30/91/1072083091.db2.gz LSPJMPNPJRGINP-UHFFFAOYSA-N 0 0 446.489 -0.305 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000439473396 1072082980 /nfs/dbraw/zinc/08/29/80/1072082980.db2.gz LTDOVKIUKGHCLG-FXAWDEMLSA-N 0 0 445.582 -0.391 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000439473397 1072083076 /nfs/dbraw/zinc/08/30/76/1072083076.db2.gz LTDOVKIUKGHCLG-PXNSSMCTSA-N 0 0 445.582 -0.391 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000439473398 1072082887 /nfs/dbraw/zinc/08/28/87/1072082887.db2.gz LTDOVKIUKGHCLG-XLIONFOSSA-N 0 0 445.582 -0.391 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000439473399 1072083099 /nfs/dbraw/zinc/08/30/99/1072083099.db2.gz LTDOVKIUKGHCLG-YLJYHZDGSA-N 0 0 445.582 -0.391 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(C)n(C)n3)CC2)cc1 ZINC000439473426 1073309158 /nfs/dbraw/zinc/30/91/58/1073309158.db2.gz MADHGMWYDOTYQA-UHFFFAOYSA-N 0 0 433.490 0.403 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)nn1C ZINC000439482079 1072083908 /nfs/dbraw/zinc/08/39/08/1072083908.db2.gz QDZZGFZQLYRSAM-HNNXBMFYSA-N 0 0 435.506 0.441 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)nn1C ZINC000439482080 1073326369 /nfs/dbraw/zinc/32/63/69/1073326369.db2.gz QDZZGFZQLYRSAM-OAHLLOKOSA-N 0 0 435.506 0.441 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)nn1C ZINC000439483285 1072083874 /nfs/dbraw/zinc/08/38/74/1072083874.db2.gz HPYZACWDYHIXAJ-UHFFFAOYSA-N 0 0 442.523 -0.086 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)nn1C ZINC000439484130 1073324669 /nfs/dbraw/zinc/32/46/69/1073324669.db2.gz NRKNXNRDPDJQNU-UHFFFAOYSA-N 0 0 449.518 0.205 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)nn1C ZINC000439484183 1072083892 /nfs/dbraw/zinc/08/38/92/1072083892.db2.gz COUQICRJKHTGCM-UHFFFAOYSA-N 0 0 427.508 0.066 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)nn1C ZINC000439485383 1072083778 /nfs/dbraw/zinc/08/37/78/1072083778.db2.gz JEHRCZKSFKIOEJ-UHFFFAOYSA-N 0 0 435.506 0.145 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)nn1C ZINC000439487360 1072083462 /nfs/dbraw/zinc/08/34/62/1072083462.db2.gz RYGQVPGBDOYBOB-UHFFFAOYSA-N 0 0 427.433 0.478 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)nn1C ZINC000439488216 1073323984 /nfs/dbraw/zinc/32/39/84/1073323984.db2.gz WDQIFHREWJEWJK-UHFFFAOYSA-N 0 0 431.478 0.076 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)c1 ZINC000439521289 1072084857 /nfs/dbraw/zinc/08/48/57/1072084857.db2.gz ICFHEGYMSOFOCX-UHFFFAOYSA-N 0 0 446.551 -0.019 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000439536004 1072084874 /nfs/dbraw/zinc/08/48/74/1072084874.db2.gz KSUKYEQOBWYPTK-ARFHVFGLSA-N 0 0 427.523 -0.811 20 0 IBADRN C[C@H](NC(=O)[C@H]1CC=CC[C@H]1C(=O)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000439536005 1072084710 /nfs/dbraw/zinc/08/47/10/1072084710.db2.gz KSUKYEQOBWYPTK-HRCADAONSA-N 0 0 427.523 -0.811 20 0 IBADRN C[C@H](NC(=O)[C@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000439536006 1072084785 /nfs/dbraw/zinc/08/47/85/1072084785.db2.gz KSUKYEQOBWYPTK-JYJNAYRXSA-N 0 0 427.523 -0.811 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000439536007 1072084889 /nfs/dbraw/zinc/08/48/89/1072084889.db2.gz KSUKYEQOBWYPTK-XHSDSOJGSA-N 0 0 427.523 -0.811 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000439536206 1072084756 /nfs/dbraw/zinc/08/47/56/1072084756.db2.gz LQESRRCAAINYEQ-AABGKKOBSA-N 0 0 448.564 -0.181 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000439536211 1072084915 /nfs/dbraw/zinc/08/49/15/1072084915.db2.gz LQESRRCAAINYEQ-AQNXPRMDSA-N 0 0 448.564 -0.181 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000439536214 1072084793 /nfs/dbraw/zinc/08/47/93/1072084793.db2.gz LQESRRCAAINYEQ-HSALFYBXSA-N 0 0 448.564 -0.181 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000439536216 1072084772 /nfs/dbraw/zinc/08/47/72/1072084772.db2.gz LQESRRCAAINYEQ-VAMGGRTRSA-N 0 0 448.564 -0.181 20 0 IBADRN C[C@@H](NC(=O)c1cc2c(nc3ccccn3c2=O)n1C)C(=O)N1CCS(=O)(=O)CC1 ZINC000439538134 1072085758 /nfs/dbraw/zinc/08/57/58/1072085758.db2.gz XSRKTPPGSFIKAT-GFCCVEGCSA-N 0 0 431.474 -0.439 20 0 IBADRN C[C@H](NC(=O)c1cc2c(nc3ccccn3c2=O)n1C)C(=O)N1CCS(=O)(=O)CC1 ZINC000439538135 1072085547 /nfs/dbraw/zinc/08/55/47/1072085547.db2.gz XSRKTPPGSFIKAT-LBPRGKRZSA-N 0 0 431.474 -0.439 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC(S(N)(=O)=O)CC1 ZINC000439538495 1072085598 /nfs/dbraw/zinc/08/55/98/1072085598.db2.gz BCDJRRYEPWBGTN-UHFFFAOYSA-N 0 0 429.451 -0.292 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)c2ccccc2O1 ZINC000439542473 1072085230 /nfs/dbraw/zinc/08/52/30/1072085230.db2.gz PALAHTSJYMAFKA-INIZCTEOSA-N 0 0 438.506 -0.414 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(S(N)(=O)=O)CC2)c2ccccc2O1 ZINC000439542474 1072085118 /nfs/dbraw/zinc/08/51/18/1072085118.db2.gz PALAHTSJYMAFKA-MRXNPFEDSA-N 0 0 438.506 -0.414 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CCN1CCOCC1 ZINC000439544241 1072085168 /nfs/dbraw/zinc/08/51/68/1072085168.db2.gz BYKRZQRBNRQCSF-UHFFFAOYSA-N 0 0 441.554 -0.268 20 0 IBADRN CN(CCCCNC(=O)CN1C(=O)NC(=O)C1(C)C)C(=O)CN1C(=O)NC(=O)C1(C)C ZINC000439545034 1072085357 /nfs/dbraw/zinc/08/53/57/1072085357.db2.gz CUVKSDQGUHWDLZ-UHFFFAOYSA-N 0 0 438.485 -0.998 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000439545693 1072085138 /nfs/dbraw/zinc/08/51/38/1072085138.db2.gz GAUSMCQSRTWGCI-UHFFFAOYSA-N 0 0 444.536 -0.131 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CCN2CCOCC2)ccc1S(=O)(=O)N(C)C ZINC000439546750 1072085199 /nfs/dbraw/zinc/08/51/99/1072085199.db2.gz HBTMNJNSFQZZOV-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN CN(CCCCNC(=O)CN1C(=O)NC(C)(C)C1=O)C(=O)CN1C(=O)NC(C)(C)C1=O ZINC000439547114 1072085324 /nfs/dbraw/zinc/08/53/24/1072085324.db2.gz HCURKVRZLGEDCT-UHFFFAOYSA-N 0 0 438.485 -0.998 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C)CCN1CCOCC1 ZINC000439547686 1072085146 /nfs/dbraw/zinc/08/51/46/1072085146.db2.gz HTCFLDSZEGEQOE-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000439548942 1072085129 /nfs/dbraw/zinc/08/51/29/1072085129.db2.gz ZBAGOEUQDIFYIC-UHFFFAOYSA-N 0 0 434.474 -0.089 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000439551368 1072085189 /nfs/dbraw/zinc/08/51/89/1072085189.db2.gz PIHQTCSKRGCLGT-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CCN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000439553049 1072085681 /nfs/dbraw/zinc/08/56/81/1072085681.db2.gz WWLOFWVSPIABIE-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000439553904 1072085155 /nfs/dbraw/zinc/08/51/55/1072085155.db2.gz YYMMSZBNAKZPGA-IBGZPJMESA-N 0 0 446.504 -0.570 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000439553911 1072085311 /nfs/dbraw/zinc/08/53/11/1072085311.db2.gz YYMMSZBNAKZPGA-LJQANCHMSA-N 0 0 446.504 -0.570 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000439554009 1072085405 /nfs/dbraw/zinc/08/54/05/1072085405.db2.gz VGANRHQHXOAPIM-UHFFFAOYSA-N 0 0 433.509 -0.314 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000439554038 1072085569 /nfs/dbraw/zinc/08/55/69/1072085569.db2.gz ZRJSEEPKXKJKIN-UHFFFAOYSA-N 0 0 428.486 -0.005 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC000439554552 1072085741 /nfs/dbraw/zinc/08/57/41/1072085741.db2.gz ZAJLSSRJKMSSBJ-UHFFFAOYSA-N 0 0 437.522 -0.114 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(C)CCN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000439558894 1072087560 /nfs/dbraw/zinc/08/75/60/1072087560.db2.gz YTPXHCSLQBLNIG-UHFFFAOYSA-N 0 0 441.506 -0.004 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000439559410 1072087574 /nfs/dbraw/zinc/08/75/74/1072087574.db2.gz BVQKQKQHADCLKT-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000439559449 1072087676 /nfs/dbraw/zinc/08/76/76/1072087676.db2.gz CLZGAHIGNFPPRB-UHFFFAOYSA-N 0 0 447.536 -0.522 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCOCC2)cc1 ZINC000439560156 1072086467 /nfs/dbraw/zinc/08/64/67/1072086467.db2.gz HXPRONDBWKBJKH-UHFFFAOYSA-N 0 0 428.511 -0.660 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CCN1CCOCC1 ZINC000439561552 1072086869 /nfs/dbraw/zinc/08/68/69/1072086869.db2.gz OCRXHLIHDAERMM-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CCN1CCOCC1 ZINC000439561803 1072086832 /nfs/dbraw/zinc/08/68/32/1072086832.db2.gz ORTJCEJXLQRCAK-UHFFFAOYSA-N 0 0 434.493 -0.103 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)CCN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000439561892 1072086988 /nfs/dbraw/zinc/08/69/88/1072086988.db2.gz PCRFWGUDSPVMKG-UHFFFAOYSA-N 0 0 427.479 -0.394 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)CCN1CCOCC1 ZINC000439561945 1072086536 /nfs/dbraw/zinc/08/65/36/1072086536.db2.gz PSEJHPWBXLICBG-UHFFFAOYSA-N 0 0 447.536 -0.188 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CCN3CCOCC3)cn2)C[C@H](C)O1 ZINC000439562493 1072086932 /nfs/dbraw/zinc/08/69/32/1072086932.db2.gz RLWFGKURHZHGDO-HOTGVXAUSA-N 0 0 436.513 -0.752 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CCN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000439562494 1072086999 /nfs/dbraw/zinc/08/69/99/1072086999.db2.gz RLWFGKURHZHGDO-HZPDHXFCSA-N 0 0 436.513 -0.752 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CCN3CCOCC3)cn2)C[C@H](C)O1 ZINC000439562495 1072086821 /nfs/dbraw/zinc/08/68/21/1072086821.db2.gz RLWFGKURHZHGDO-IYBDPMFKSA-N 0 0 436.513 -0.752 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000439562785 1072086913 /nfs/dbraw/zinc/08/69/13/1072086913.db2.gz SYFUJYQONHGQBK-UHFFFAOYSA-N 0 0 431.537 -0.268 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCOCC2)cc1 ZINC000439562840 1072086939 /nfs/dbraw/zinc/08/69/39/1072086939.db2.gz TXXXCSWBFNBXIX-HNNXBMFYSA-N 0 0 442.538 -0.271 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCOCC2)cc1 ZINC000439562841 1072086851 /nfs/dbraw/zinc/08/68/51/1072086851.db2.gz TXXXCSWBFNBXIX-OAHLLOKOSA-N 0 0 442.538 -0.271 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000439562853 1072086891 /nfs/dbraw/zinc/08/68/91/1072086891.db2.gz UDZVNGBGDRATQP-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3cnc(N(C)C)nc3)CC2)c(C(N)=O)c1C ZINC000439563571 1072086975 /nfs/dbraw/zinc/08/69/75/1072086975.db2.gz BNUUWRDXMTZIFD-UHFFFAOYSA-N 0 0 427.469 -0.664 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)N1CCN(c2nnc(C)c(C)c2C(N)=O)CC1 ZINC000439566166 1072086962 /nfs/dbraw/zinc/08/69/62/1072086962.db2.gz FBDZUAVCQUKPGJ-UHFFFAOYSA-N 0 0 439.476 -0.113 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)n1 ZINC000439568137 1073332367 /nfs/dbraw/zinc/33/23/67/1073332367.db2.gz IEPCPRJUXKWPFC-UHFFFAOYSA-N 0 0 444.496 -0.287 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)N1CCN(c2nnc(C)c(C)c2C(N)=O)CC1 ZINC000439569219 1072087359 /nfs/dbraw/zinc/08/73/59/1072087359.db2.gz HUFTYEPHNVXORB-UHFFFAOYSA-N 0 0 427.465 -0.513 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ccc(CC(N)=O)cc3)CC2)c(C(N)=O)c1C ZINC000439569235 1072087163 /nfs/dbraw/zinc/08/71/63/1072087163.db2.gz HVIBNTOMKFCNRU-UHFFFAOYSA-N 0 0 439.476 -0.493 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)cc1 ZINC000439569533 1072087286 /nfs/dbraw/zinc/08/72/86/1072087286.db2.gz KPWNBMFVDKQVPE-UHFFFAOYSA-N 0 0 439.476 -0.161 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ccn(CC(F)F)n3)CC2)c(C(N)=O)c1C ZINC000439569755 1072086883 /nfs/dbraw/zinc/08/68/83/1072086883.db2.gz LRTABQSCPCRJHB-UHFFFAOYSA-N 0 0 436.423 -0.059 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ccc(C(N)=O)cc3F)CC2)c(C(N)=O)c1C ZINC000439569890 1072087008 /nfs/dbraw/zinc/08/70/08/1072087008.db2.gz ITKVQOGWZPSQFL-UHFFFAOYSA-N 0 0 443.439 -0.282 20 0 IBADRN CCn1nc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)cc1C1CC1 ZINC000439569964 1072087234 /nfs/dbraw/zinc/08/72/34/1072087234.db2.gz JICWSEBGDBEOAU-UHFFFAOYSA-N 0 0 440.508 0.573 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ccc4c(c3)CC(=O)N4)CC2)c(C(N)=O)c1C ZINC000439570395 1072087221 /nfs/dbraw/zinc/08/72/21/1072087221.db2.gz JORIDTXOOHAQSS-UHFFFAOYSA-N 0 0 437.460 -0.026 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)ccc1=O ZINC000439571447 1072087173 /nfs/dbraw/zinc/08/71/73/1072087173.db2.gz LRIBGMNWFUKQQT-UHFFFAOYSA-N 0 0 427.465 -0.339 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ncn(C(C)(C)C)n3)CC2)c(C(N)=O)c1C ZINC000439571526 1072087204 /nfs/dbraw/zinc/08/72/04/1072087204.db2.gz OZYYPJOFAWFOIB-UHFFFAOYSA-N 0 0 429.485 -0.174 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)c(=O)n(C)c1 ZINC000439573466 1072087152 /nfs/dbraw/zinc/08/71/52/1072087152.db2.gz OWPXZOPRNHFRKX-UHFFFAOYSA-N 0 0 427.465 -0.513 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3c4c(nn3C)CCC4)CC2)c(C(N)=O)c1C ZINC000439573691 1072087274 /nfs/dbraw/zinc/08/72/74/1072087274.db2.gz TYNNSSFFGQUJID-UHFFFAOYSA-N 0 0 426.481 -0.298 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)c3)CC2)c(C(N)=O)c1C ZINC000439574242 1072087309 /nfs/dbraw/zinc/08/73/09/1072087309.db2.gz VMMSJNXQWTVCLW-UHFFFAOYSA-N 0 0 425.449 -0.421 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)ccc1C(N)=O ZINC000439574973 1072087299 /nfs/dbraw/zinc/08/72/99/1072087299.db2.gz RFAGZOPTUUHODU-UHFFFAOYSA-N 0 0 439.476 -0.113 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)c1 ZINC000439575992 1072087371 /nfs/dbraw/zinc/08/73/71/1072087371.db2.gz BCCBITPPUYGQIR-UHFFFAOYSA-N 0 0 439.476 -0.161 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000439576199 1072087808 /nfs/dbraw/zinc/08/78/08/1072087808.db2.gz AUVMLTBZXLQIEH-FQEVSTJZSA-N 0 0 437.497 0.566 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000439576200 1072087650 /nfs/dbraw/zinc/08/76/50/1072087650.db2.gz AUVMLTBZXLQIEH-HXUWFJFHSA-N 0 0 437.497 0.566 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3cnn(CC(F)F)c3)CC2)c(C(N)=O)c1C ZINC000439576641 1072087243 /nfs/dbraw/zinc/08/72/43/1072087243.db2.gz ZJLJYJUHBGCPQG-UHFFFAOYSA-N 0 0 436.423 -0.059 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ccc(N(C)C)nc3)CC2)c(C(N)=O)c1C ZINC000439576685 1072087210 /nfs/dbraw/zinc/08/72/10/1072087210.db2.gz ZOWOADNIGWQZRO-UHFFFAOYSA-N 0 0 426.481 -0.059 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)N1CCN(c2nnc(C)c(C)c2C(N)=O)CC1 ZINC000439576737 1072087819 /nfs/dbraw/zinc/08/78/19/1072087819.db2.gz CIXGRUPKQYKFNZ-UHFFFAOYSA-N 0 0 427.465 -0.513 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)cn1 ZINC000439577411 1072087683 /nfs/dbraw/zinc/08/76/83/1072087683.db2.gz DCTAKNKKWXCERI-UHFFFAOYSA-N 0 0 430.469 -0.677 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)cn(C)c1=O ZINC000439577557 1072087799 /nfs/dbraw/zinc/08/77/99/1072087799.db2.gz YXAHKLOETFEUBW-UHFFFAOYSA-N 0 0 427.465 -0.513 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)n1 ZINC000439577730 1073336649 /nfs/dbraw/zinc/33/66/49/1073336649.db2.gz XMQGKRICZZSQMM-GFCCVEGCSA-N 0 0 444.496 -0.116 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)n1 ZINC000439577735 1073337847 /nfs/dbraw/zinc/33/78/47/1073337847.db2.gz XMQGKRICZZSQMM-LBPRGKRZSA-N 0 0 444.496 -0.116 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ccc(C(N)=O)c(F)c3)CC2)c(C(N)=O)c1C ZINC000439578169 1072087762 /nfs/dbraw/zinc/08/77/62/1072087762.db2.gz ZTRDVYLRTCUAQJ-UHFFFAOYSA-N 0 0 443.439 -0.282 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3cc(C(N)=O)ccc3F)CC2)c(C(N)=O)c1C ZINC000439579274 1072088014 /nfs/dbraw/zinc/08/80/14/1072088014.db2.gz ZJGIDFKIVJPAHY-UHFFFAOYSA-N 0 0 443.439 -0.282 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)cn1C ZINC000439580861 1072088071 /nfs/dbraw/zinc/08/80/71/1072088071.db2.gz LKTVYJIFOUPQIG-UHFFFAOYSA-N 0 0 443.464 -0.395 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3cncnc3N(C)C)CC2)c(C(N)=O)c1C ZINC000439581643 1072088194 /nfs/dbraw/zinc/08/81/94/1072088194.db2.gz OWDRYCXFBZYDAU-UHFFFAOYSA-N 0 0 427.469 -0.664 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCNC(=O)CC3)cc2)C[C@H](C)O1 ZINC000439585969 1072088234 /nfs/dbraw/zinc/08/82/34/1072088234.db2.gz GLQPMUBHHUBRLQ-KBPBESRZSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCNC(=O)CC3)cc2)C[C@H](C)O1 ZINC000439585970 1072088091 /nfs/dbraw/zinc/08/80/91/1072088091.db2.gz GLQPMUBHHUBRLQ-OKILXGFUSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCNC(=O)CC3)cc2)C[C@@H](C)O1 ZINC000439585971 1072088162 /nfs/dbraw/zinc/08/81/62/1072088162.db2.gz GLQPMUBHHUBRLQ-ZIAGYGMSSA-N 0 0 438.506 -0.229 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCNC(=O)CC1 ZINC000439588775 1072088416 /nfs/dbraw/zinc/08/84/16/1072088416.db2.gz GTOGTMLNNFOFBK-UHFFFAOYSA-N 0 0 444.897 -0.352 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)N3CCNC(=O)CC3)ccc21)N1CCOCC1 ZINC000439591437 1072088468 /nfs/dbraw/zinc/08/84/68/1072088468.db2.gz DYYUUBHYMMOCOL-UHFFFAOYSA-N 0 0 427.461 -0.213 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)Nc2cc(C)n(C)n2)c(=O)[nH]c1=O ZINC000439592484 1072088403 /nfs/dbraw/zinc/08/84/03/1072088403.db2.gz DUQLOPULNVGYEO-UHFFFAOYSA-N 0 0 435.485 0.381 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCNC(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000439592734 1072088556 /nfs/dbraw/zinc/08/85/56/1072088556.db2.gz USMYQESGBKHVQH-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN COc1nc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)nc(N2CCCCCC2)n1 ZINC000439594473 1072088217 /nfs/dbraw/zinc/08/82/17/1072088217.db2.gz IOLICWQWOVBMMF-UHFFFAOYSA-N 0 0 441.558 -0.040 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCNC(=O)CC1 ZINC000439594707 1072088100 /nfs/dbraw/zinc/08/81/00/1072088100.db2.gz ITGBHTSKQOXCMX-UHFFFAOYSA-N 0 0 428.442 -0.866 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC(N2CCCNC2=O)CC1 ZINC000439595241 1072088059 /nfs/dbraw/zinc/08/80/59/1072088059.db2.gz LYCJJLHWBDINNJ-CYBMUJFWSA-N 0 0 437.522 -0.141 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC(N2CCCNC2=O)CC1 ZINC000439595245 1072088131 /nfs/dbraw/zinc/08/81/31/1072088131.db2.gz LYCJJLHWBDINNJ-ZDUSSCGKSA-N 0 0 437.522 -0.141 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000439596963 1072088183 /nfs/dbraw/zinc/08/81/83/1072088183.db2.gz PSJRQWFFVVTMDK-AWEZNQCLSA-N 0 0 435.481 0.013 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCNC(=O)CC1 ZINC000439598071 1072087998 /nfs/dbraw/zinc/08/79/98/1072087998.db2.gz RWFDDYHGSLJUOY-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N1CCNC(=O)CC1 ZINC000439598123 1072088244 /nfs/dbraw/zinc/08/82/44/1072088244.db2.gz SRGUNQAZKUQMJY-UHFFFAOYSA-N 0 0 431.493 -0.353 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCNC(=O)CC3)c2)CC1 ZINC000439600569 1072088205 /nfs/dbraw/zinc/08/82/05/1072088205.db2.gz XLHGHEBMQWAPAS-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cc(NC(=O)C(=O)N2CCNC(=O)CC2)ccc1F ZINC000439602782 1072088431 /nfs/dbraw/zinc/08/84/31/1072088431.db2.gz SUYHDAPUXHRFRX-UHFFFAOYSA-N 0 0 435.456 -0.227 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC(N4CCCNC4=O)CC3)nc2n(C)c1=O ZINC000439603112 1073353643 /nfs/dbraw/zinc/35/36/43/1073353643.db2.gz JIHJWULDQADKMF-UHFFFAOYSA-N 0 0 443.508 0.397 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCNC(=O)CC3)c2)CC1 ZINC000439604239 1072088784 /nfs/dbraw/zinc/08/87/84/1072088784.db2.gz OQQGQIVIJZYCAR-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H]3C(=O)OC(C)(C)C)cnc2n(C)c1=O ZINC000439604800 1072089029 /nfs/dbraw/zinc/08/90/29/1072089029.db2.gz SWJZQVLASGTFRV-ZDUSSCGKSA-N 0 0 431.449 -0.097 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N(CCCO)Cc2ccccc2)n1)N1CCOCC1 ZINC000439607391 1072088904 /nfs/dbraw/zinc/08/89/04/1072088904.db2.gz RCLFMNQUIBPCLB-UHFFFAOYSA-N 0 0 429.477 0.092 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cccc(N(CC(N)=O)Cc2ccccc2)c1)S(N)(=O)=O ZINC000439607614 1072089022 /nfs/dbraw/zinc/08/90/22/1072089022.db2.gz SSJDBLQYWTVGDC-AWEZNQCLSA-N 0 0 447.517 -0.090 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cccc(N(CC(N)=O)Cc2ccccc2)c1)S(N)(=O)=O ZINC000439607615 1072089065 /nfs/dbraw/zinc/08/90/65/1072089065.db2.gz SSJDBLQYWTVGDC-CQSZACIVSA-N 0 0 447.517 -0.090 20 0 IBADRN CCCN(CC(C)(C)O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000439607647 1072088830 /nfs/dbraw/zinc/08/88/30/1072088830.db2.gz UAXACQHDNLTDLM-UHFFFAOYSA-N 0 0 440.522 -0.245 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N(CCCO)Cc3ccccc3)cnc2n(C)c1=O ZINC000439608208 1072088917 /nfs/dbraw/zinc/08/89/17/1072088917.db2.gz VIELQEKSBWLLDH-UHFFFAOYSA-N 0 0 425.445 -0.018 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000439609645 1072089046 /nfs/dbraw/zinc/08/90/46/1072089046.db2.gz BVIIHNKWMBGFPJ-UHFFFAOYSA-N 0 0 438.554 -0.299 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)[C@H]2CCN(c3ccccc3)C2=O)cn1 ZINC000439611313 1072088966 /nfs/dbraw/zinc/08/89/66/1072088966.db2.gz FBGPTMPJHMJDPR-KRWDZBQOSA-N 0 0 442.476 -0.152 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)[C@@H]2CCN(c3ccccc3)C2=O)cn1 ZINC000439611314 1072088890 /nfs/dbraw/zinc/08/88/90/1072088890.db2.gz FBGPTMPJHMJDPR-QGZVFWFLSA-N 0 0 442.476 -0.152 20 0 IBADRN O=C(Nc1ncn(CC(=O)N2CCCCCC2)n1)C(=O)N[C@@H](CO)Cc1ccccc1 ZINC000439613615 1072089310 /nfs/dbraw/zinc/08/93/10/1072089310.db2.gz NGDZLNZAAODZMM-QGZVFWFLSA-N 0 0 428.493 0.339 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000439619131 1072088509 /nfs/dbraw/zinc/08/85/09/1072088509.db2.gz NQURNFBIMWECII-UHFFFAOYSA-N 0 0 439.542 -0.904 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NC1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000439624486 1072089794 /nfs/dbraw/zinc/08/97/94/1072089794.db2.gz NAQVXDDFHPTYSJ-INIZCTEOSA-N 0 0 426.495 -0.334 20 0 IBADRN CNS(=O)(=O)CCNC(=O)NC1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000439624487 1072090140 /nfs/dbraw/zinc/09/01/40/1072090140.db2.gz NAQVXDDFHPTYSJ-MRXNPFEDSA-N 0 0 426.495 -0.334 20 0 IBADRN CC[C@@H](NC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)C(=O)N1CCOCC1 ZINC000439632643 1072089272 /nfs/dbraw/zinc/08/92/72/1072089272.db2.gz KCGDIQFFZVLHFN-DLBZAZTESA-N 0 0 425.530 -0.802 20 0 IBADRN CC[C@@H](NC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)C(=O)N1CCOCC1 ZINC000439632644 1072089447 /nfs/dbraw/zinc/08/94/47/1072089447.db2.gz KCGDIQFFZVLHFN-IAGOWNOFSA-N 0 0 425.530 -0.802 20 0 IBADRN CC[C@H](NC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)C(=O)N1CCOCC1 ZINC000439632645 1072089284 /nfs/dbraw/zinc/08/92/84/1072089284.db2.gz KCGDIQFFZVLHFN-IRXDYDNUSA-N 0 0 425.530 -0.802 20 0 IBADRN CC[C@H](NC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)C(=O)N1CCOCC1 ZINC000439632646 1072089361 /nfs/dbraw/zinc/08/93/61/1072089361.db2.gz KCGDIQFFZVLHFN-SJORKVTESA-N 0 0 425.530 -0.802 20 0 IBADRN CC[C@H](NC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)C(=O)N1CCOCC1 ZINC000439636176 1072089404 /nfs/dbraw/zinc/08/94/04/1072089404.db2.gz CBMHPSYTEJNGJL-KRWDZBQOSA-N 0 0 439.538 -0.197 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)C(=O)N1CCOCC1 ZINC000439636178 1072089490 /nfs/dbraw/zinc/08/94/90/1072089490.db2.gz CBMHPSYTEJNGJL-QGZVFWFLSA-N 0 0 439.538 -0.197 20 0 IBADRN CC[C@H](NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)C(=O)N1CCOCC1 ZINC000439639339 1072089250 /nfs/dbraw/zinc/08/92/50/1072089250.db2.gz KCGSEYLRRSDUOS-INIZCTEOSA-N 0 0 425.511 -0.265 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)C(=O)N1CCOCC1 ZINC000439639340 1072089861 /nfs/dbraw/zinc/08/98/61/1072089861.db2.gz KCGSEYLRRSDUOS-MRXNPFEDSA-N 0 0 425.511 -0.265 20 0 IBADRN CC[C@H](NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C(=O)N1CCOCC1 ZINC000439641111 1072089872 /nfs/dbraw/zinc/08/98/72/1072089872.db2.gz MRJBKEHKLIKGIB-HNNXBMFYSA-N 0 0 429.499 -0.531 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C(=O)N1CCOCC1 ZINC000439641112 1072089678 /nfs/dbraw/zinc/08/96/78/1072089678.db2.gz MRJBKEHKLIKGIB-OAHLLOKOSA-N 0 0 429.499 -0.531 20 0 IBADRN CC[C@@H](NC(=O)N1CCN([C@@H](C)C(=O)NCCCOC)CC1)C(=O)N1CCOCC1 ZINC000439642165 1072089732 /nfs/dbraw/zinc/08/97/32/1072089732.db2.gz OZBZRHJKTNYLQF-DLBZAZTESA-N 0 0 427.546 -0.508 20 0 IBADRN CC[C@@H](NC(=O)N1CCN([C@H](C)C(=O)NCCCOC)CC1)C(=O)N1CCOCC1 ZINC000439642166 1072089686 /nfs/dbraw/zinc/08/96/86/1072089686.db2.gz OZBZRHJKTNYLQF-IAGOWNOFSA-N 0 0 427.546 -0.508 20 0 IBADRN CC[C@H](NC(=O)N1CCN([C@@H](C)C(=O)NCCCOC)CC1)C(=O)N1CCOCC1 ZINC000439642167 1072089900 /nfs/dbraw/zinc/08/99/00/1072089900.db2.gz OZBZRHJKTNYLQF-IRXDYDNUSA-N 0 0 427.546 -0.508 20 0 IBADRN CC[C@H](NC(=O)N1CCN([C@H](C)C(=O)NCCCOC)CC1)C(=O)N1CCOCC1 ZINC000439642168 1072089839 /nfs/dbraw/zinc/08/98/39/1072089839.db2.gz OZBZRHJKTNYLQF-SJORKVTESA-N 0 0 427.546 -0.508 20 0 IBADRN CN(C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000439644818 1072089766 /nfs/dbraw/zinc/08/97/66/1072089766.db2.gz RSEOQILWNASOBS-GOSISDBHSA-N 0 0 440.504 0.418 20 0 IBADRN CN(C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)[C@H]1CCN(c2ccccc2)C1=O ZINC000439644822 1072089809 /nfs/dbraw/zinc/08/98/09/1072089809.db2.gz RSEOQILWNASOBS-SFHVURJKSA-N 0 0 440.504 0.418 20 0 IBADRN CC[C@H](NC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)C(=O)N1CCOCC1 ZINC000439645134 1072090245 /nfs/dbraw/zinc/09/02/45/1072090245.db2.gz UNCWTTZYAJXPPX-INIZCTEOSA-N 0 0 434.559 -0.294 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)C(=O)N1CCOCC1 ZINC000439645135 1072090174 /nfs/dbraw/zinc/09/01/74/1072090174.db2.gz UNCWTTZYAJXPPX-MRXNPFEDSA-N 0 0 434.559 -0.294 20 0 IBADRN CC[C@H](NC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)C(=O)N1CCOCC1 ZINC000439647056 1072090592 /nfs/dbraw/zinc/09/05/92/1072090592.db2.gz UXEQRLRSKIZWRD-KRWDZBQOSA-N 0 0 447.540 -0.218 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)C(=O)N1CCOCC1 ZINC000439647057 1072090569 /nfs/dbraw/zinc/09/05/69/1072090569.db2.gz UXEQRLRSKIZWRD-QGZVFWFLSA-N 0 0 447.540 -0.218 20 0 IBADRN CC[C@H](NC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)C(=O)N1CCOCC1 ZINC000439654646 1072090652 /nfs/dbraw/zinc/09/06/52/1072090652.db2.gz ZXNUAIHRWBJIEL-HNNXBMFYSA-N 0 0 443.526 -0.055 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)C(=O)N1CCOCC1 ZINC000439654649 1072090780 /nfs/dbraw/zinc/09/07/80/1072090780.db2.gz ZXNUAIHRWBJIEL-OAHLLOKOSA-N 0 0 443.526 -0.055 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000439654876 1072090631 /nfs/dbraw/zinc/09/06/31/1072090631.db2.gz UUJPAEUHMPKXJQ-UHFFFAOYSA-N 0 0 448.567 -0.620 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000439668021 1072090752 /nfs/dbraw/zinc/09/07/52/1072090752.db2.gz MRGVNXWFZNFBEB-UHFFFAOYSA-N 0 0 447.539 -0.495 20 0 IBADRN CNS(=O)(=O)CCNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000439685264 1072091404 /nfs/dbraw/zinc/09/14/04/1072091404.db2.gz UWSVQXDLMLTZKZ-UHFFFAOYSA-N 0 0 449.555 -0.556 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)C ZINC000439692657 1072091058 /nfs/dbraw/zinc/09/10/58/1072091058.db2.gz KHYPIMLTYGKZBW-INIZCTEOSA-N 0 0 440.522 -0.013 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)C ZINC000439692658 1072091120 /nfs/dbraw/zinc/09/11/20/1072091120.db2.gz KHYPIMLTYGKZBW-MRXNPFEDSA-N 0 0 440.522 -0.013 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000439702390 1072092200 /nfs/dbraw/zinc/09/22/00/1072092200.db2.gz NNOWCEBCHFZZBG-INIZCTEOSA-N 0 0 438.506 -0.305 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000439702391 1072092061 /nfs/dbraw/zinc/09/20/61/1072092061.db2.gz NNOWCEBCHFZZBG-MRXNPFEDSA-N 0 0 438.506 -0.305 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(Cl)c(N2CCNC2=O)c1 ZINC000439704201 1072092173 /nfs/dbraw/zinc/09/21/73/1072092173.db2.gz SAFWDFHVXZWGMN-UHFFFAOYSA-N 0 0 429.886 -0.040 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3cc(S(=O)(=O)N(C)C)oc3C)CC2)no1 ZINC000439707997 1073330966 /nfs/dbraw/zinc/33/09/66/1073330966.db2.gz YDQPNUPZQXEHCZ-UHFFFAOYSA-N 0 0 439.494 0.531 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(O[C@H]2CCN(C)C2=O)cc1C ZINC000439718321 1072093116 /nfs/dbraw/zinc/09/31/16/1072093116.db2.gz XDZLKFOVBCVZBS-INIZCTEOSA-N 0 0 440.522 -0.011 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(O[C@@H]2CCN(C)C2=O)cc1C ZINC000439718322 1072092996 /nfs/dbraw/zinc/09/29/96/1072092996.db2.gz XDZLKFOVBCVZBS-MRXNPFEDSA-N 0 0 440.522 -0.011 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000439718813 1072093168 /nfs/dbraw/zinc/09/31/68/1072093168.db2.gz MUEXWIVKQPDVCM-UHFFFAOYSA-N 0 0 428.511 -0.545 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000439721283 1072092946 /nfs/dbraw/zinc/09/29/46/1072092946.db2.gz JEZQOZGFQMFRCO-UHFFFAOYSA-N 0 0 428.511 -0.545 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)CCO1 ZINC000439723963 1072093019 /nfs/dbraw/zinc/09/30/19/1072093019.db2.gz YPYNXFXCWOMMNG-GFCCVEGCSA-N 0 0 442.270 -0.668 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)CCO1 ZINC000439723967 1072092934 /nfs/dbraw/zinc/09/29/34/1072092934.db2.gz YPYNXFXCWOMMNG-LBPRGKRZSA-N 0 0 442.270 -0.668 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(O[C@H]2CCN(C)C2=O)cc1C ZINC000439727922 1072092524 /nfs/dbraw/zinc/09/25/24/1072092524.db2.gz FWOJMTCYPQNOSL-HNNXBMFYSA-N 0 0 426.495 -0.401 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(O[C@@H]2CCN(C)C2=O)cc1C ZINC000439727923 1072092616 /nfs/dbraw/zinc/09/26/16/1072092616.db2.gz FWOJMTCYPQNOSL-OAHLLOKOSA-N 0 0 426.495 -0.401 20 0 IBADRN NC(=O)[C@H]1CCN(C(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)C1 ZINC000439729621 1072092731 /nfs/dbraw/zinc/09/27/31/1072092731.db2.gz CLIFKSJBRSUQEM-JTQLQIEISA-N 0 0 426.271 -0.047 20 0 IBADRN NC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)C1 ZINC000439729622 1072092586 /nfs/dbraw/zinc/09/25/86/1072092586.db2.gz CLIFKSJBRSUQEM-SNVBAGLBSA-N 0 0 426.271 -0.047 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000439737037 1072093918 /nfs/dbraw/zinc/09/39/18/1072093918.db2.gz XAZWIYSFVNVTJM-UHFFFAOYSA-N 0 0 425.554 -0.053 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(N2CCC2=O)cc1 ZINC000439739010 1072093975 /nfs/dbraw/zinc/09/39/75/1072093975.db2.gz ZZGIMZYZXCEEMI-UHFFFAOYSA-N 0 0 426.520 -0.143 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCCN1C(=O)CNC1=O ZINC000439739792 1072093793 /nfs/dbraw/zinc/09/37/93/1072093793.db2.gz NVZJMVYRHKWMJF-HNNXBMFYSA-N 0 0 431.449 -0.399 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCCN1C(=O)CNC1=O ZINC000439739793 1072094080 /nfs/dbraw/zinc/09/40/80/1072094080.db2.gz NVZJMVYRHKWMJF-OAHLLOKOSA-N 0 0 431.449 -0.399 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCC(=O)N1CCOCC1 ZINC000439739857 1072094064 /nfs/dbraw/zinc/09/40/64/1072094064.db2.gz BRNYRYDMVSWODA-KRWDZBQOSA-N 0 0 432.477 -0.092 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCC(=O)N1CCOCC1 ZINC000439739859 1072094088 /nfs/dbraw/zinc/09/40/88/1072094088.db2.gz BRNYRYDMVSWODA-QGZVFWFLSA-N 0 0 432.477 -0.092 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000439741004 1072094303 /nfs/dbraw/zinc/09/43/03/1072094303.db2.gz FQOJFOFADCXJGW-INIZCTEOSA-N 0 0 438.506 -0.353 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000439741005 1072094515 /nfs/dbraw/zinc/09/45/15/1072094515.db2.gz FQOJFOFADCXJGW-MRXNPFEDSA-N 0 0 438.506 -0.353 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(Cl)c(N3CCNC3=O)c2)CC1 ZINC000439741602 1072094486 /nfs/dbraw/zinc/09/44/86/1072094486.db2.gz GLYOAGXIEFUKIP-UHFFFAOYSA-N 0 0 429.886 -0.088 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000439742086 1072094420 /nfs/dbraw/zinc/09/44/20/1072094420.db2.gz SXNNSGIHPOCDNQ-KRWDZBQOSA-N 0 0 440.460 -0.121 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000439742090 1072094462 /nfs/dbraw/zinc/09/44/62/1072094462.db2.gz SXNNSGIHPOCDNQ-QGZVFWFLSA-N 0 0 440.460 -0.121 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(N4CCC4=O)cc3)CC2)no1 ZINC000439755067 1073330150 /nfs/dbraw/zinc/33/01/50/1073330150.db2.gz JRPYWLFYBKHLRU-UHFFFAOYSA-N 0 0 440.460 0.441 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCc3nnc4n3CCCC4)CC2=O)c1 ZINC000439755566 1072096191 /nfs/dbraw/zinc/09/61/91/1072096191.db2.gz JOLKFSNQRSNQML-CYBMUJFWSA-N 0 0 432.506 -0.026 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCc3nnc4n3CCCC4)CC2=O)c1 ZINC000439755567 1072096397 /nfs/dbraw/zinc/09/63/97/1072096397.db2.gz JOLKFSNQRSNQML-ZDUSSCGKSA-N 0 0 432.506 -0.026 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)CC1 ZINC000439755802 1072095737 /nfs/dbraw/zinc/09/57/37/1072095737.db2.gz JXMRWZARIYMOHF-IBGZPJMESA-N 0 0 445.520 -0.177 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)CC1 ZINC000439755803 1072096375 /nfs/dbraw/zinc/09/63/75/1072096375.db2.gz JXMRWZARIYMOHF-LJQANCHMSA-N 0 0 445.520 -0.177 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncc(F)c3)CC2)C[C@H](C)O1 ZINC000439755956 1072095817 /nfs/dbraw/zinc/09/58/17/1072095817.db2.gz AJSBCGTWZPXWSZ-BETUJISGSA-N 0 0 429.474 -0.343 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncc(F)c3)CC2)C[C@@H](C)O1 ZINC000439755957 1072095914 /nfs/dbraw/zinc/09/59/14/1072095914.db2.gz AJSBCGTWZPXWSZ-CHWSQXEVSA-N 0 0 429.474 -0.343 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncc(F)c3)CC2)C[C@H](C)O1 ZINC000439755958 1072095791 /nfs/dbraw/zinc/09/57/91/1072095791.db2.gz AJSBCGTWZPXWSZ-STQMWFEESA-N 0 0 429.474 -0.343 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)CC1 ZINC000439756723 1072096273 /nfs/dbraw/zinc/09/62/73/1072096273.db2.gz NMFVFLXETLYRKY-KRWDZBQOSA-N 0 0 432.477 -0.140 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)CC1 ZINC000439756724 1072096467 /nfs/dbraw/zinc/09/64/67/1072096467.db2.gz NMFVFLXETLYRKY-QGZVFWFLSA-N 0 0 432.477 -0.140 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)Nc1cncc(F)c1 ZINC000439759436 1072095031 /nfs/dbraw/zinc/09/50/31/1072095031.db2.gz UYSNCRJBIANLQE-UHFFFAOYSA-N 0 0 436.469 -0.178 20 0 IBADRN CCn1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1C(F)(F)F ZINC000439762171 1072094799 /nfs/dbraw/zinc/09/47/99/1072094799.db2.gz BDLSAQSUJBWSHU-UHFFFAOYSA-N 0 0 430.387 -0.190 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000439764952 1072095362 /nfs/dbraw/zinc/09/53/62/1072095362.db2.gz YUKSZQHCAMNGMS-UHFFFAOYSA-N 0 0 425.467 0.146 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC3=O)cc2)CC1 ZINC000439768072 1072097520 /nfs/dbraw/zinc/09/75/20/1072097520.db2.gz LMAAIKBYLMPLCJ-UHFFFAOYSA-N 0 0 441.444 -0.818 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1 ZINC000439769244 1072097776 /nfs/dbraw/zinc/09/77/76/1072097776.db2.gz UMFHMPOMKSZEMO-UHFFFAOYSA-N 0 0 444.286 -0.502 20 0 IBADRN O=C(Nc1ccc(N2CCC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000439769390 1072097601 /nfs/dbraw/zinc/09/76/01/1072097601.db2.gz OIZSANGFBNGSLZ-UHFFFAOYSA-N 0 0 427.461 -0.345 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)CC1 ZINC000439773857 1072097444 /nfs/dbraw/zinc/09/74/44/1072097444.db2.gz XRZWYVVBSOZTGY-GOSISDBHSA-N 0 0 445.520 -0.177 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)CC1 ZINC000439773858 1072097997 /nfs/dbraw/zinc/09/79/97/1072097997.db2.gz XRZWYVVBSOZTGY-SFHVURJKSA-N 0 0 445.520 -0.177 20 0 IBADRN CCn1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C(F)(F)F ZINC000439774502 1072096388 /nfs/dbraw/zinc/09/63/88/1072096388.db2.gz KTYKIYNHQBWXPZ-UHFFFAOYSA-N 0 0 446.430 -0.137 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000439775098 1072096358 /nfs/dbraw/zinc/09/63/58/1072096358.db2.gz MCLLNFXDRWXXBU-GOSISDBHSA-N 0 0 445.520 -0.177 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000439775099 1072096286 /nfs/dbraw/zinc/09/62/86/1072096286.db2.gz MCLLNFXDRWXXBU-SFHVURJKSA-N 0 0 445.520 -0.177 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC3=O)cc2)CC1 ZINC000439776426 1072096146 /nfs/dbraw/zinc/09/61/46/1072096146.db2.gz SLOJXYRLGXNBHX-UHFFFAOYSA-N 0 0 429.477 -0.635 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCCNS(C)(=O)=O ZINC000439776543 1072096453 /nfs/dbraw/zinc/09/64/53/1072096453.db2.gz WKUVICOEFAZPDS-HNNXBMFYSA-N 0 0 426.495 -0.401 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCCNS(C)(=O)=O ZINC000439776544 1072096339 /nfs/dbraw/zinc/09/63/39/1072096339.db2.gz WKUVICOEFAZPDS-OAHLLOKOSA-N 0 0 426.495 -0.401 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncc(F)c3)CC2)o1 ZINC000439779617 1072096656 /nfs/dbraw/zinc/09/66/56/1072096656.db2.gz IOZOIIJXNAQTRQ-UHFFFAOYSA-N 0 0 425.398 -0.616 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000439790404 1072097954 /nfs/dbraw/zinc/09/79/54/1072097954.db2.gz AEVVTXDGQRWMLC-KBPBESRZSA-N 0 0 435.524 -0.934 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000439790405 1072097990 /nfs/dbraw/zinc/09/79/90/1072097990.db2.gz AEVVTXDGQRWMLC-KGLIPLIRSA-N 0 0 435.524 -0.934 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000439790406 1072098142 /nfs/dbraw/zinc/09/81/42/1072098142.db2.gz AEVVTXDGQRWMLC-UONOGXRCSA-N 0 0 435.524 -0.934 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000439790407 1072098005 /nfs/dbraw/zinc/09/80/05/1072098005.db2.gz AEVVTXDGQRWMLC-ZIAGYGMSSA-N 0 0 435.524 -0.934 20 0 IBADRN Cc1cc(N2CCC(NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)CC2)n[nH]1 ZINC000439798847 1072098028 /nfs/dbraw/zinc/09/80/28/1072098028.db2.gz FBEAWDUMIFWPMI-UHFFFAOYSA-N 0 0 431.497 -0.310 20 0 IBADRN CC(C)(C)[C@@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000439799804 1072098016 /nfs/dbraw/zinc/09/80/16/1072098016.db2.gz HEIIXWQIYSXDPJ-AWEZNQCLSA-N 0 0 444.485 -0.199 20 0 IBADRN CC(C)(C)[C@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000439799805 1072097967 /nfs/dbraw/zinc/09/79/67/1072097967.db2.gz HEIIXWQIYSXDPJ-CQSZACIVSA-N 0 0 444.485 -0.199 20 0 IBADRN CC(C)(C)[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(N)=O ZINC000439803950 1072098450 /nfs/dbraw/zinc/09/84/50/1072098450.db2.gz HPLZHEDORHXFCL-INIZCTEOSA-N 0 0 433.509 -0.297 20 0 IBADRN CC(C)(C)[C@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(N)=O ZINC000439803962 1072098550 /nfs/dbraw/zinc/09/85/50/1072098550.db2.gz HPLZHEDORHXFCL-MRXNPFEDSA-N 0 0 433.509 -0.297 20 0 IBADRN NC(=O)[C@@H]1Cc2ccccc2N(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000439804684 1071515020 /nfs/dbraw/zinc/51/50/20/1071515020.db2.gz NUAGGWLTSURUPN-GOSISDBHSA-N 0 0 429.521 -0.846 20 0 IBADRN NC(=O)[C@H]1Cc2ccccc2N(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000439804686 1071515045 /nfs/dbraw/zinc/51/50/45/1071515045.db2.gz NUAGGWLTSURUPN-SFHVURJKSA-N 0 0 429.521 -0.846 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C(N)=O)C(C)(C)C)c2)CC1 ZINC000439804823 1072098584 /nfs/dbraw/zinc/09/85/84/1072098584.db2.gz IZPGRNXJWIECKZ-HNNXBMFYSA-N 0 0 439.538 -0.423 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C)c2)CC1 ZINC000439804824 1072098407 /nfs/dbraw/zinc/09/84/07/1072098407.db2.gz IZPGRNXJWIECKZ-OAHLLOKOSA-N 0 0 439.538 -0.423 20 0 IBADRN CC(C)(C)[C@@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)C(N)=O ZINC000439807407 1072098981 /nfs/dbraw/zinc/09/89/81/1072098981.db2.gz LUXJLZZLLAWXMD-CVEARBPZSA-N 0 0 432.477 -0.344 20 0 IBADRN CC(C)(C)[C@@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)C(N)=O ZINC000439807408 1072098870 /nfs/dbraw/zinc/09/88/70/1072098870.db2.gz LUXJLZZLLAWXMD-HOTGVXAUSA-N 0 0 432.477 -0.344 20 0 IBADRN CC(C)(C)[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)C(N)=O ZINC000439807409 1072099001 /nfs/dbraw/zinc/09/90/01/1072099001.db2.gz LUXJLZZLLAWXMD-HZPDHXFCSA-N 0 0 432.477 -0.344 20 0 IBADRN CC(C)(C)[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)C(N)=O ZINC000439807410 1072098858 /nfs/dbraw/zinc/09/88/58/1072098858.db2.gz LUXJLZZLLAWXMD-JKSUJKDBSA-N 0 0 432.477 -0.344 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C(N)=O)C(C)(C)C ZINC000439812877 1072099028 /nfs/dbraw/zinc/09/90/28/1072099028.db2.gz QODZACCGGPIUOC-HNNXBMFYSA-N 0 0 440.522 -0.029 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C ZINC000439812878 1072098950 /nfs/dbraw/zinc/09/89/50/1072098950.db2.gz QODZACCGGPIUOC-OAHLLOKOSA-N 0 0 440.522 -0.029 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C)cc1 ZINC000439814268 1072099060 /nfs/dbraw/zinc/09/90/60/1072099060.db2.gz ADQKFSVENHVBHI-BXUZGUMPSA-N 0 0 428.511 -0.046 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C(N)=O)C(C)(C)C)cc1 ZINC000439814274 1072099484 /nfs/dbraw/zinc/09/94/84/1072099484.db2.gz ADQKFSVENHVBHI-FZMZJTMJSA-N 0 0 428.511 -0.046 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C(N)=O)C(C)(C)C)cc1 ZINC000439814277 1072099364 /nfs/dbraw/zinc/09/93/64/1072099364.db2.gz ADQKFSVENHVBHI-RISCZKNCSA-N 0 0 428.511 -0.046 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C)cc1 ZINC000439814279 1072099354 /nfs/dbraw/zinc/09/93/54/1072099354.db2.gz ADQKFSVENHVBHI-SMDDNHRTSA-N 0 0 428.511 -0.046 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N[C@@H](C(N)=O)C(C)(C)C ZINC000439814445 1072099292 /nfs/dbraw/zinc/09/92/92/1072099292.db2.gz ATGXNEBCLGEQEB-HNNXBMFYSA-N 0 0 440.522 -0.115 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C ZINC000439814447 1072099493 /nfs/dbraw/zinc/09/94/93/1072099493.db2.gz ATGXNEBCLGEQEB-OAHLLOKOSA-N 0 0 440.522 -0.115 20 0 IBADRN CC(C)(C)[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(N)=O ZINC000439817228 1072099342 /nfs/dbraw/zinc/09/93/42/1072099342.db2.gz RWTLYCGFBPNELV-AWEZNQCLSA-N 0 0 426.495 -0.338 20 0 IBADRN CC(C)(C)[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(N)=O ZINC000439817234 1072099330 /nfs/dbraw/zinc/09/93/30/1072099330.db2.gz RWTLYCGFBPNELV-CQSZACIVSA-N 0 0 426.495 -0.338 20 0 IBADRN CC(C)(C)[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000439818484 1072099838 /nfs/dbraw/zinc/09/98/38/1072099838.db2.gz NCMHUQTVUORCKJ-AWEZNQCLSA-N 0 0 426.495 -0.338 20 0 IBADRN CC(C)(C)[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000439818486 1072099633 /nfs/dbraw/zinc/09/96/33/1072099633.db2.gz NCMHUQTVUORCKJ-CQSZACIVSA-N 0 0 426.495 -0.338 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000439818732 1072099799 /nfs/dbraw/zinc/09/97/99/1072099799.db2.gz XQQPTAXHFYGBIO-KRWDZBQOSA-N 0 0 445.520 -0.049 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000439818736 1072099767 /nfs/dbraw/zinc/09/97/67/1072099767.db2.gz XQQPTAXHFYGBIO-QGZVFWFLSA-N 0 0 445.520 -0.049 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000439819675 1072099811 /nfs/dbraw/zinc/09/98/11/1072099811.db2.gz HOWVXXGTYXADLR-FPQWYMTMSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000439819677 1072099646 /nfs/dbraw/zinc/09/96/46/1072099646.db2.gz HOWVXXGTYXADLR-GPQLOAJWSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000439819679 1072099905 /nfs/dbraw/zinc/09/99/05/1072099905.db2.gz HOWVXXGTYXADLR-IKJBURDNSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000439819681 1072099884 /nfs/dbraw/zinc/09/98/84/1072099884.db2.gz HOWVXXGTYXADLR-JYSRZZCRSA-N 0 0 428.599 -0.009 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000439820202 1072099913 /nfs/dbraw/zinc/09/99/13/1072099913.db2.gz IPESOZYJWZKNGC-UHFFFAOYSA-N 0 0 444.457 -0.331 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N(CCO)CCCO ZINC000439822151 1072098656 /nfs/dbraw/zinc/09/86/56/1072098656.db2.gz OUCFNQBEORONHO-UHFFFAOYSA-N 0 0 431.287 -0.178 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)C1 ZINC000439822183 1072098595 /nfs/dbraw/zinc/09/85/95/1072098595.db2.gz PBKYBSKOFFUBFI-UHFFFAOYSA-N 0 0 426.271 -0.176 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](C(N)=O)C(C)(C)C ZINC000439822529 1072098847 /nfs/dbraw/zinc/09/88/47/1072098847.db2.gz PYEFMMSQFKOUJQ-AWEZNQCLSA-N 0 0 427.527 -0.042 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C ZINC000439822531 1072098992 /nfs/dbraw/zinc/09/89/92/1072098992.db2.gz PYEFMMSQFKOUJQ-CQSZACIVSA-N 0 0 427.527 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H](C(N)=O)C(C)(C)C)cc2)CC1 ZINC000439822840 1072098617 /nfs/dbraw/zinc/09/86/17/1072098617.db2.gz QFECFSSMBSAOPO-HNNXBMFYSA-N 0 0 439.538 -0.423 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C)cc2)CC1 ZINC000439822841 1072098905 /nfs/dbraw/zinc/09/89/05/1072098905.db2.gz QFECFSSMBSAOPO-OAHLLOKOSA-N 0 0 439.538 -0.423 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1 ZINC000439822982 1072100191 /nfs/dbraw/zinc/10/01/91/1072100191.db2.gz KZOLUTBTGKYVPB-JTQLQIEISA-N 0 0 426.271 -0.034 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1 ZINC000439822983 1072100185 /nfs/dbraw/zinc/10/01/85/1072100185.db2.gz KZOLUTBTGKYVPB-SNVBAGLBSA-N 0 0 426.271 -0.034 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000439826404 1072100681 /nfs/dbraw/zinc/10/06/81/1072100681.db2.gz YIJNDFNBAPCWTH-UHFFFAOYSA-N 0 0 426.467 -0.470 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000439826811 1072100730 /nfs/dbraw/zinc/10/07/30/1072100730.db2.gz AFOQRYPPJWHNMD-GDBMZVCRSA-N 0 0 438.506 -0.177 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000439826812 1072100721 /nfs/dbraw/zinc/10/07/21/1072100721.db2.gz AFOQRYPPJWHNMD-GOEBONIOSA-N 0 0 438.506 -0.177 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000439826813 1072100703 /nfs/dbraw/zinc/10/07/03/1072100703.db2.gz AFOQRYPPJWHNMD-HOCLYGCPSA-N 0 0 438.506 -0.177 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000439826814 1072100693 /nfs/dbraw/zinc/10/06/93/1072100693.db2.gz AFOQRYPPJWHNMD-ZBFHGGJFSA-N 0 0 438.506 -0.177 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000439827339 1072101327 /nfs/dbraw/zinc/10/13/27/1072101327.db2.gz BPLSPFPKYDGYDN-UHFFFAOYSA-N 0 0 432.524 -0.893 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000439830778 1072099715 /nfs/dbraw/zinc/09/97/15/1072099715.db2.gz UJJGIZRLWDYVTI-HNNXBMFYSA-N 0 0 441.558 -0.900 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000439830783 1072099681 /nfs/dbraw/zinc/09/96/81/1072099681.db2.gz UJJGIZRLWDYVTI-OAHLLOKOSA-N 0 0 441.558 -0.900 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2cc(N3CCN(CC(=O)NC(C)C)CC3)ncn2)CC1 ZINC000439830984 1072099702 /nfs/dbraw/zinc/09/97/02/1072099702.db2.gz BFQNMSYAAKYLPG-UHFFFAOYSA-N 0 0 446.600 -0.230 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)NCCS(=O)(=O)NC)CC2)cc1 ZINC000439832634 1072100237 /nfs/dbraw/zinc/10/02/37/1072100237.db2.gz RDVLVNKQBSCEEH-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000439834037 1072100246 /nfs/dbraw/zinc/10/02/46/1072100246.db2.gz URPDFHGQFIJOAZ-KRWDZBQOSA-N 0 0 432.477 -0.140 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000439834043 1072100219 /nfs/dbraw/zinc/10/02/19/1072100219.db2.gz URPDFHGQFIJOAZ-QGZVFWFLSA-N 0 0 432.477 -0.140 20 0 IBADRN CCS(=O)(=O)N1CCN(c2cc(N3CCN(S(=O)(=O)CC)CC3)ncn2)CC1 ZINC000439834084 1072100209 /nfs/dbraw/zinc/10/02/09/1072100209.db2.gz PHFTVSQRMLWIJX-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCN(C(=O)c2ccc(OC)c(OC)c2OC)CC1 ZINC000439834287 1072100193 /nfs/dbraw/zinc/10/01/93/1072100193.db2.gz VBJSZNHNGJXUED-UHFFFAOYSA-N 0 0 444.510 -0.271 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1CCN(CCO)CC1 ZINC000439838026 1072100197 /nfs/dbraw/zinc/10/01/97/1072100197.db2.gz AVENHVOOYJFWKJ-UHFFFAOYSA-N 0 0 442.314 -0.244 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)C1=O ZINC000439839047 1072100224 /nfs/dbraw/zinc/10/02/24/1072100224.db2.gz DRFRLAAVYSMECZ-GFCCVEGCSA-N 0 0 426.271 -0.034 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)C1=O ZINC000439839048 1072100202 /nfs/dbraw/zinc/10/02/02/1072100202.db2.gz DRFRLAAVYSMECZ-LBPRGKRZSA-N 0 0 426.271 -0.034 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(Cl)c(N3CCNC3=O)c2)C1 ZINC000439840136 1072100205 /nfs/dbraw/zinc/10/02/05/1072100205.db2.gz IFRIHFVNDGICIM-UHFFFAOYSA-N 0 0 429.886 -0.184 20 0 IBADRN NC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)C1 ZINC000439843091 1072101317 /nfs/dbraw/zinc/10/13/17/1072101317.db2.gz ITSQKTQJJOMOTE-JTQLQIEISA-N 0 0 441.286 -0.112 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)C1 ZINC000439843093 1072101360 /nfs/dbraw/zinc/10/13/60/1072101360.db2.gz ITSQKTQJJOMOTE-SNVBAGLBSA-N 0 0 441.286 -0.112 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCC3=O)cc2)CC1)N1CCOCC1 ZINC000439847052 1072101796 /nfs/dbraw/zinc/10/17/96/1072101796.db2.gz GGVVQUFAJYNURY-UHFFFAOYSA-N 0 0 429.477 -0.635 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000439847849 1072101757 /nfs/dbraw/zinc/10/17/57/1072101757.db2.gz CEIXLNORXACIOZ-PBHICJAKSA-N 0 0 432.477 -0.405 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000439847850 1072101731 /nfs/dbraw/zinc/10/17/31/1072101731.db2.gz CEIXLNORXACIOZ-RHSMWYFYSA-N 0 0 432.477 -0.405 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000439847851 1072101777 /nfs/dbraw/zinc/10/17/77/1072101777.db2.gz CEIXLNORXACIOZ-WMLDXEAASA-N 0 0 432.477 -0.405 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000439847852 1072101705 /nfs/dbraw/zinc/10/17/05/1072101705.db2.gz CEIXLNORXACIOZ-YOEHRIQHSA-N 0 0 432.477 -0.405 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000439851471 1071515690 /nfs/dbraw/zinc/51/56/90/1071515690.db2.gz FWMCZKDEVFOHGD-IBGZPJMESA-N 0 0 448.520 -0.304 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000439851472 1071515562 /nfs/dbraw/zinc/51/55/62/1071515562.db2.gz FWMCZKDEVFOHGD-LJQANCHMSA-N 0 0 448.520 -0.304 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000439851692 1072102402 /nfs/dbraw/zinc/10/24/02/1072102402.db2.gz LOUBONGPWOUBDQ-INIZCTEOSA-N 0 0 428.449 -0.188 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000439851697 1072102325 /nfs/dbraw/zinc/10/23/25/1072102325.db2.gz LOUBONGPWOUBDQ-MRXNPFEDSA-N 0 0 428.449 -0.188 20 0 IBADRN CCn1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C(F)(F)F ZINC000439851935 1072102284 /nfs/dbraw/zinc/10/22/84/1072102284.db2.gz PGTZPJQJMXILFV-UHFFFAOYSA-N 0 0 446.430 -0.137 20 0 IBADRN CCCCn1c(N)c(N(C)C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)c(=O)[nH]c1=O ZINC000439852425 1072102857 /nfs/dbraw/zinc/10/28/57/1072102857.db2.gz HTNPNUVCPFAILQ-UHFFFAOYSA-N 0 0 443.464 -0.138 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000439855968 1072102884 /nfs/dbraw/zinc/10/28/84/1072102884.db2.gz UVYCYAMRARXYLD-BBRMVZONSA-N 0 0 438.506 -0.177 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000439855970 1072102828 /nfs/dbraw/zinc/10/28/28/1072102828.db2.gz UVYCYAMRARXYLD-CJNGLKHVSA-N 0 0 438.506 -0.177 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000439855972 1072102891 /nfs/dbraw/zinc/10/28/91/1072102891.db2.gz UVYCYAMRARXYLD-CZUORRHYSA-N 0 0 438.506 -0.177 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000439855974 1072102716 /nfs/dbraw/zinc/10/27/16/1072102716.db2.gz UVYCYAMRARXYLD-XJKSGUPXSA-N 0 0 438.506 -0.177 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1CCNC(=O)CC1 ZINC000439856484 1072103488 /nfs/dbraw/zinc/10/34/88/1072103488.db2.gz OSDODELIXUHDIF-UHFFFAOYSA-N 0 0 426.271 -0.032 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000439859465 1072103456 /nfs/dbraw/zinc/10/34/56/1072103456.db2.gz UDBHINPCUFCQFT-KRWDZBQOSA-N 0 0 432.477 -0.141 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000439859467 1072103441 /nfs/dbraw/zinc/10/34/41/1072103441.db2.gz UDBHINPCUFCQFT-QGZVFWFLSA-N 0 0 432.477 -0.141 20 0 IBADRN O=C(NCCn1ncnn1)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1 ZINC000439860443 1072103374 /nfs/dbraw/zinc/10/33/74/1072103374.db2.gz WDTASUJXCBVTBQ-UHFFFAOYSA-N 0 0 425.247 -0.578 20 0 IBADRN O=C(NC[C@@H](O)CN1CCOCC1)C(=O)Nc1ccc(Cl)c(N2CCNC2=O)c1 ZINC000439861853 1072103477 /nfs/dbraw/zinc/10/34/77/1072103477.db2.gz SYIZCLSFDOOYKH-CYBMUJFWSA-N 0 0 425.873 -0.383 20 0 IBADRN O=C(NC[C@H](O)CN1CCOCC1)C(=O)Nc1ccc(Cl)c(N2CCNC2=O)c1 ZINC000439861854 1072103387 /nfs/dbraw/zinc/10/33/87/1072103387.db2.gz SYIZCLSFDOOYKH-ZDUSSCGKSA-N 0 0 425.873 -0.383 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)CC1=O ZINC000439863968 1072103381 /nfs/dbraw/zinc/10/33/81/1072103381.db2.gz QSLWYOAPJMINMV-KRWDZBQOSA-N 0 0 432.477 -0.140 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)CC1=O ZINC000439863969 1072103334 /nfs/dbraw/zinc/10/33/34/1072103334.db2.gz QSLWYOAPJMINMV-QGZVFWFLSA-N 0 0 432.477 -0.140 20 0 IBADRN Cc1cccc(N(CCC(N)=O)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000439873423 1071515583 /nfs/dbraw/zinc/51/55/83/1071515583.db2.gz NTQQKBDVBZNDFM-UHFFFAOYSA-N 0 0 431.537 -0.320 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000439892868 1072106085 /nfs/dbraw/zinc/10/60/85/1072106085.db2.gz VAJSTKTYXIGFPW-KRWDZBQOSA-N 0 0 442.480 -0.033 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000439892869 1072106142 /nfs/dbraw/zinc/10/61/42/1072106142.db2.gz VAJSTKTYXIGFPW-QGZVFWFLSA-N 0 0 442.480 -0.033 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)C1 ZINC000439909703 1072106118 /nfs/dbraw/zinc/10/61/18/1072106118.db2.gz AMSDFEFFEWAPJX-AWEZNQCLSA-N 0 0 439.498 -0.166 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)C1 ZINC000439909704 1072106132 /nfs/dbraw/zinc/10/61/32/1072106132.db2.gz AMSDFEFFEWAPJX-CQSZACIVSA-N 0 0 439.498 -0.166 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)C1 ZINC000439917855 1072107493 /nfs/dbraw/zinc/10/74/93/1072107493.db2.gz JFVLRAODKZWHKB-UHFFFAOYSA-N 0 0 426.543 -0.147 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N[C@@H](Cc2ccccc2)C(=O)NCCOC)C1 ZINC000439918022 1072107507 /nfs/dbraw/zinc/10/75/07/1072107507.db2.gz BHLJUUZWCJNWBV-KRWDZBQOSA-N 0 0 426.539 -0.059 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)C1 ZINC000439918880 1072107462 /nfs/dbraw/zinc/10/74/62/1072107462.db2.gz KSLKUCKFXURZIU-UHFFFAOYSA-N 0 0 429.587 -0.146 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000439922618 1072108046 /nfs/dbraw/zinc/10/80/46/1072108046.db2.gz QIVFVVSOOVRGEH-HNNXBMFYSA-N 0 0 438.572 -0.896 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000439922619 1072108098 /nfs/dbraw/zinc/10/80/98/1072108098.db2.gz QIVFVVSOOVRGEH-OAHLLOKOSA-N 0 0 438.572 -0.896 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000439923561 1072108100 /nfs/dbraw/zinc/10/81/00/1072108100.db2.gz NHOOCKUFVSIOHP-HNNXBMFYSA-N 0 0 440.522 -0.108 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000439923563 1072108089 /nfs/dbraw/zinc/10/80/89/1072108089.db2.gz NHOOCKUFVSIOHP-OAHLLOKOSA-N 0 0 440.522 -0.108 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC000439923649 1072108061 /nfs/dbraw/zinc/10/80/61/1072108061.db2.gz RFDJFQNYDHYOQH-UHFFFAOYSA-N 0 0 446.551 -0.351 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1 ZINC000439929649 1072107119 /nfs/dbraw/zinc/10/71/19/1072107119.db2.gz IEKPLLHGINDRRM-UHFFFAOYSA-N 0 0 440.588 -0.650 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)C1 ZINC000439953842 1072110315 /nfs/dbraw/zinc/11/03/15/1072110315.db2.gz OOYNIMWPTJGHBM-UHFFFAOYSA-N 0 0 447.583 -0.175 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000439954548 1072110381 /nfs/dbraw/zinc/11/03/81/1072110381.db2.gz UVPGZBPHNDEAJB-HNNXBMFYSA-N 0 0 436.490 -0.686 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000439954549 1072110397 /nfs/dbraw/zinc/11/03/97/1072110397.db2.gz UVPGZBPHNDEAJB-OAHLLOKOSA-N 0 0 436.490 -0.686 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000439956722 1072110437 /nfs/dbraw/zinc/11/04/37/1072110437.db2.gz ISCSKNMQHRQHPI-AWEZNQCLSA-N 0 0 442.538 -0.157 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000439956723 1072110365 /nfs/dbraw/zinc/11/03/65/1072110365.db2.gz ISCSKNMQHRQHPI-CQSZACIVSA-N 0 0 442.538 -0.157 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000439956785 1072111075 /nfs/dbraw/zinc/11/10/75/1072111075.db2.gz JHMWOZNJYLWRCW-AWEZNQCLSA-N 0 0 428.511 -0.499 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000439956786 1072110984 /nfs/dbraw/zinc/11/09/84/1072110984.db2.gz JHMWOZNJYLWRCW-CQSZACIVSA-N 0 0 428.511 -0.499 20 0 IBADRN Cc1cn2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)sc2n1 ZINC000439957515 1072111065 /nfs/dbraw/zinc/11/10/65/1072111065.db2.gz LASDGEPXHRUNCU-CYBMUJFWSA-N 0 0 425.536 -0.235 20 0 IBADRN Cc1cn2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)sc2n1 ZINC000439957516 1072111044 /nfs/dbraw/zinc/11/10/44/1072111044.db2.gz LASDGEPXHRUNCU-ZDUSSCGKSA-N 0 0 425.536 -0.235 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000439958508 1072111030 /nfs/dbraw/zinc/11/10/30/1072111030.db2.gz RNRYOPFLBHKIPP-UHFFFAOYSA-N 0 0 446.551 -0.818 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000439963195 1072109403 /nfs/dbraw/zinc/10/94/03/1072109403.db2.gz CPYAFOBTYXBKQP-ZBFHGGJFSA-N 0 0 449.526 -0.653 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000439964847 1072110034 /nfs/dbraw/zinc/11/00/34/1072110034.db2.gz DNZBEWODBIFVEW-UHFFFAOYSA-N 0 0 440.478 -0.728 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000439965047 1072110014 /nfs/dbraw/zinc/11/00/14/1072110014.db2.gz FEVYQTAAVULOHG-GOSISDBHSA-N 0 0 434.518 -0.140 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000439965053 1072109964 /nfs/dbraw/zinc/10/99/64/1072109964.db2.gz FEVYQTAAVULOHG-SFHVURJKSA-N 0 0 434.518 -0.140 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000439966674 1072109976 /nfs/dbraw/zinc/10/99/76/1072109976.db2.gz KVMUSDCNLWSISE-HNNXBMFYSA-N 0 0 447.583 -0.175 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000439966676 1072109985 /nfs/dbraw/zinc/10/99/85/1072109985.db2.gz KVMUSDCNLWSISE-OAHLLOKOSA-N 0 0 447.583 -0.175 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000439967413 1072109877 /nfs/dbraw/zinc/10/98/77/1072109877.db2.gz OACRJEITKGNSMV-CYBMUJFWSA-N 0 0 444.535 -0.915 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000439967417 1072110028 /nfs/dbraw/zinc/11/00/28/1072110028.db2.gz OACRJEITKGNSMV-ZDUSSCGKSA-N 0 0 444.535 -0.915 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000439967712 1072111315 /nfs/dbraw/zinc/11/13/15/1072111315.db2.gz NENLHTGZWBKCOO-KRWDZBQOSA-N 0 0 439.472 -0.344 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000439967714 1072111377 /nfs/dbraw/zinc/11/13/77/1072111377.db2.gz NENLHTGZWBKCOO-QGZVFWFLSA-N 0 0 439.472 -0.344 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000439967781 1072111432 /nfs/dbraw/zinc/11/14/32/1072111432.db2.gz NUNHEGBZKGXKTI-UHFFFAOYSA-N 0 0 426.495 -0.255 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1 ZINC000439968720 1072111384 /nfs/dbraw/zinc/11/13/84/1072111384.db2.gz GNGLRKRBAQRILM-BBRMVZONSA-N 0 0 433.552 -0.580 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1 ZINC000439968721 1072111306 /nfs/dbraw/zinc/11/13/06/1072111306.db2.gz GNGLRKRBAQRILM-CJNGLKHVSA-N 0 0 433.552 -0.580 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1 ZINC000439968722 1072111352 /nfs/dbraw/zinc/11/13/52/1072111352.db2.gz GNGLRKRBAQRILM-CZUORRHYSA-N 0 0 433.552 -0.580 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1 ZINC000439968723 1072111438 /nfs/dbraw/zinc/11/14/38/1072111438.db2.gz GNGLRKRBAQRILM-XJKSGUPXSA-N 0 0 433.552 -0.580 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000439970465 1072111371 /nfs/dbraw/zinc/11/13/71/1072111371.db2.gz HNUOWDQFXFSLSL-ARFHVFGLSA-N 0 0 449.595 -0.506 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000439970470 1072111403 /nfs/dbraw/zinc/11/14/03/1072111403.db2.gz HNUOWDQFXFSLSL-BZUAXINKSA-N 0 0 449.595 -0.506 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000439970473 1072111324 /nfs/dbraw/zinc/11/13/24/1072111324.db2.gz HNUOWDQFXFSLSL-OAGGEKHMSA-N 0 0 449.595 -0.506 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000439970489 1072111284 /nfs/dbraw/zinc/11/12/84/1072111284.db2.gz HNUOWDQFXFSLSL-XHSDSOJGSA-N 0 0 449.595 -0.506 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000439971785 1072111421 /nfs/dbraw/zinc/11/14/21/1072111421.db2.gz GQBLKLYYQLJMFL-KRWDZBQOSA-N 0 0 426.477 -0.084 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000439971786 1072111295 /nfs/dbraw/zinc/11/12/95/1072111295.db2.gz GQBLKLYYQLJMFL-QGZVFWFLSA-N 0 0 426.477 -0.084 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N(CCO)CCOCC(F)(F)F)c1 ZINC000439973520 1072111951 /nfs/dbraw/zinc/11/19/51/1072111951.db2.gz CUGLJUBVMCIQRM-UHFFFAOYSA-N 0 0 427.401 -0.067 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000439973602 1072111915 /nfs/dbraw/zinc/11/19/15/1072111915.db2.gz TVCUJSAFZUZCLN-KRWDZBQOSA-N 0 0 449.533 -0.066 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000439973603 1072111992 /nfs/dbraw/zinc/11/19/92/1072111992.db2.gz TVCUJSAFZUZCLN-QGZVFWFLSA-N 0 0 449.533 -0.066 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000439974341 1072111980 /nfs/dbraw/zinc/11/19/80/1072111980.db2.gz WFRCWSABJMKABK-LBPRGKRZSA-N 0 0 446.551 -0.291 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N(CCO)CCOCC(F)(F)F ZINC000439975636 1072111961 /nfs/dbraw/zinc/11/19/61/1072111961.db2.gz VCRHPLNMSJNTEU-UHFFFAOYSA-N 0 0 433.387 -0.644 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000439976794 1072111882 /nfs/dbraw/zinc/11/18/82/1072111882.db2.gz LKEJXRDZIFEYPC-GOSISDBHSA-N 0 0 442.520 -0.031 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000439976795 1072111901 /nfs/dbraw/zinc/11/19/01/1072111901.db2.gz LKEJXRDZIFEYPC-SFHVURJKSA-N 0 0 442.520 -0.031 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(CCO)CCOCC(F)(F)F)cc1S(N)(=O)=O ZINC000439978444 1072111954 /nfs/dbraw/zinc/11/19/54/1072111954.db2.gz JHGBHVAZMKNPJG-UHFFFAOYSA-N 0 0 427.401 -0.019 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000439979777 1072112416 /nfs/dbraw/zinc/11/24/16/1072112416.db2.gz ONLWEFRRODRLJU-IBGZPJMESA-N 0 0 442.520 -0.031 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000439979780 1072112352 /nfs/dbraw/zinc/11/23/52/1072112352.db2.gz ONLWEFRRODRLJU-LJQANCHMSA-N 0 0 442.520 -0.031 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000439980050 1072112398 /nfs/dbraw/zinc/11/23/98/1072112398.db2.gz PGHMHEKAEAZMFY-KRWDZBQOSA-N 0 0 438.488 -0.228 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000439980052 1072112323 /nfs/dbraw/zinc/11/23/23/1072112323.db2.gz PGHMHEKAEAZMFY-QGZVFWFLSA-N 0 0 438.488 -0.228 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N(CCO)CCOCC(F)(F)F)c2ccccc2O1 ZINC000439980084 1072112394 /nfs/dbraw/zinc/11/23/94/1072112394.db2.gz NQMHXWUOVNPVEQ-AWEZNQCLSA-N 0 0 433.383 -0.074 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N(CCO)CCOCC(F)(F)F)c2ccccc2O1 ZINC000439980094 1072112410 /nfs/dbraw/zinc/11/24/10/1072112410.db2.gz NQMHXWUOVNPVEQ-CQSZACIVSA-N 0 0 433.383 -0.074 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N(CCO)CCOCC(F)(F)F)c1 ZINC000439980667 1072112464 /nfs/dbraw/zinc/11/24/64/1072112464.db2.gz PGIRRWAYGUBKOZ-UHFFFAOYSA-N 0 0 431.364 -0.189 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N(CCO)CCOCC(F)(F)F)cc2)c1=O ZINC000439981261 1072112425 /nfs/dbraw/zinc/11/24/25/1072112425.db2.gz RQWMKLMNEVUAHC-UHFFFAOYSA-N 0 0 431.371 -0.091 20 0 IBADRN Cn1ccnc1CC1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000439987047 1072112995 /nfs/dbraw/zinc/11/29/95/1072112995.db2.gz FSNRUTJOFZFRKO-UHFFFAOYSA-N 0 0 429.525 0.369 20 0 IBADRN Cn1ccnc1CC1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000439989917 1072113449 /nfs/dbraw/zinc/11/34/49/1072113449.db2.gz CZOFFLSHUGANIT-UHFFFAOYSA-N 0 0 443.508 -0.105 20 0 IBADRN CCOC(=O)c1cc(NC(=O)CNS(=O)(=O)c2cnn(C)c2)cc(S(C)(=O)=O)c1 ZINC000439997359 1072112915 /nfs/dbraw/zinc/11/29/15/1072112915.db2.gz VMRCRMXOVCEKCR-UHFFFAOYSA-N 0 0 444.491 -0.083 20 0 IBADRN Cn1ccnc1CC1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000439998557 1072113012 /nfs/dbraw/zinc/11/30/12/1072113012.db2.gz LMOCMOFTBLHMOE-UHFFFAOYSA-N 0 0 439.476 -0.215 20 0 IBADRN CCOC(=O)c1cc(NC(=O)CN2CCN(CC)C(=O)C2=O)cc(S(C)(=O)=O)c1 ZINC000439999895 1072112980 /nfs/dbraw/zinc/11/29/80/1072112980.db2.gz AUVJGSRHMDWMNA-UHFFFAOYSA-N 0 0 425.463 -0.104 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000440002795 1072112942 /nfs/dbraw/zinc/11/29/42/1072112942.db2.gz HBDSRKXHAQDHOU-APWZRJJASA-N 0 0 448.520 -0.305 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000440002802 1072113004 /nfs/dbraw/zinc/11/30/04/1072113004.db2.gz HBDSRKXHAQDHOU-LPHOPBHVSA-N 0 0 448.520 -0.305 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000440002804 1072112990 /nfs/dbraw/zinc/11/29/90/1072112990.db2.gz HBDSRKXHAQDHOU-QFBILLFUSA-N 0 0 448.520 -0.305 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000440002805 1072113026 /nfs/dbraw/zinc/11/30/26/1072113026.db2.gz HBDSRKXHAQDHOU-VQIMIIECSA-N 0 0 448.520 -0.305 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(Cl)c(N2CCNC2=O)c1 ZINC000440007079 1072114234 /nfs/dbraw/zinc/11/42/34/1072114234.db2.gz BBUUCYBWZYZIGO-UHFFFAOYSA-N 0 0 446.917 -0.243 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC(Cc3nccn3C)CC2)cn1 ZINC000440008625 1072114837 /nfs/dbraw/zinc/11/48/37/1072114837.db2.gz MVWPVFFWMAQYGQ-UHFFFAOYSA-N 0 0 431.497 -0.201 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(Cl)c(N2CCNC2=O)c1 ZINC000440010289 1072114744 /nfs/dbraw/zinc/11/47/44/1072114744.db2.gz OFBCPEAHNCYIHA-AWEZNQCLSA-N 0 0 439.900 -0.040 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(Cl)c(N2CCNC2=O)c1 ZINC000440010290 1072115401 /nfs/dbraw/zinc/11/54/01/1072115401.db2.gz OFBCPEAHNCYIHA-CQSZACIVSA-N 0 0 439.900 -0.040 20 0 IBADRN Cn1ccnc1CC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000440012472 1072113409 /nfs/dbraw/zinc/11/34/09/1072113409.db2.gz QCGJAFFDHCSACN-UHFFFAOYSA-N 0 0 443.508 -0.105 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1OC(C)C ZINC000440013621 1072113949 /nfs/dbraw/zinc/11/39/49/1072113949.db2.gz ROARCBMACZVZGS-UHFFFAOYSA-N 0 0 430.421 -0.027 20 0 IBADRN Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000440013645 1072113928 /nfs/dbraw/zinc/11/39/28/1072113928.db2.gz RTFFKEBZRCLWEO-UHFFFAOYSA-N 0 0 428.840 0.547 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000440013986 1072113919 /nfs/dbraw/zinc/11/39/19/1072113919.db2.gz SVMRQVXYEWMSQJ-INIZCTEOSA-N 0 0 441.448 -0.683 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000440013988 1072113869 /nfs/dbraw/zinc/11/38/69/1072113869.db2.gz SVMRQVXYEWMSQJ-MRXNPFEDSA-N 0 0 441.448 -0.683 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000440014264 1072113902 /nfs/dbraw/zinc/11/39/02/1072113902.db2.gz SZNIACIVICCIFQ-UHFFFAOYSA-N 0 0 449.489 -0.119 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1C[C@@H](O)C[C@@H]1CO ZINC000440020640 1072114373 /nfs/dbraw/zinc/11/43/73/1072114373.db2.gz IHBKHMUCCYQKJM-NEPJUHHUSA-N 0 0 429.271 -0.427 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000440020641 1072114363 /nfs/dbraw/zinc/11/43/63/1072114363.db2.gz IHBKHMUCCYQKJM-NWDGAFQWSA-N 0 0 429.271 -0.427 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000440020642 1072114704 /nfs/dbraw/zinc/11/47/04/1072114704.db2.gz IHBKHMUCCYQKJM-RYUDHWBXSA-N 0 0 429.271 -0.427 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC000440020643 1072114830 /nfs/dbraw/zinc/11/48/30/1072114830.db2.gz IHBKHMUCCYQKJM-VXGBXAGGSA-N 0 0 429.271 -0.427 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1)[C@@H]1CCNC1=O ZINC000440022107 1072115829 /nfs/dbraw/zinc/11/58/29/1072115829.db2.gz NWJZICDWIMAMSE-GFCCVEGCSA-N 0 0 426.271 -0.034 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1)[C@H]1CCNC1=O ZINC000440022108 1072115800 /nfs/dbraw/zinc/11/58/00/1072115800.db2.gz NWJZICDWIMAMSE-LBPRGKRZSA-N 0 0 426.271 -0.034 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000440023407 1072115735 /nfs/dbraw/zinc/11/57/35/1072115735.db2.gz FFWYIFMOKMJFLA-DLBZAZTESA-N 0 0 432.477 -0.357 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000440023408 1072115759 /nfs/dbraw/zinc/11/57/59/1072115759.db2.gz FFWYIFMOKMJFLA-IAGOWNOFSA-N 0 0 432.477 -0.357 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000440023409 1072115813 /nfs/dbraw/zinc/11/58/13/1072115813.db2.gz FFWYIFMOKMJFLA-IRXDYDNUSA-N 0 0 432.477 -0.357 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000440023410 1072115741 /nfs/dbraw/zinc/11/57/41/1072115741.db2.gz FFWYIFMOKMJFLA-SJORKVTESA-N 0 0 432.477 -0.357 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000440024173 1072116216 /nfs/dbraw/zinc/11/62/16/1072116216.db2.gz GSGIVJIKTLKXTC-CABCVRRESA-N 0 0 429.433 -0.694 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000440024174 1072116247 /nfs/dbraw/zinc/11/62/47/1072116247.db2.gz GSGIVJIKTLKXTC-GJZGRUSLSA-N 0 0 429.433 -0.694 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000440024175 1072116238 /nfs/dbraw/zinc/11/62/38/1072116238.db2.gz GSGIVJIKTLKXTC-HUUCEWRRSA-N 0 0 429.433 -0.694 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000440024176 1072116221 /nfs/dbraw/zinc/11/62/21/1072116221.db2.gz GSGIVJIKTLKXTC-LSDHHAIUSA-N 0 0 429.433 -0.694 20 0 IBADRN Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000440024897 1072116258 /nfs/dbraw/zinc/11/62/58/1072116258.db2.gz ICABACKLSGBCTD-AWEZNQCLSA-N 0 0 430.852 -0.058 20 0 IBADRN Cc1cn(-c2ccc(Cl)cc2)nc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000440024911 1072116268 /nfs/dbraw/zinc/11/62/68/1072116268.db2.gz ICABACKLSGBCTD-CQSZACIVSA-N 0 0 430.852 -0.058 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1OC(C)C ZINC000440033289 1072115457 /nfs/dbraw/zinc/11/54/57/1072115457.db2.gz ZGVWXPBVAABJKF-GFCCVEGCSA-N 0 0 432.433 -0.632 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1OC(C)C ZINC000440033290 1072115454 /nfs/dbraw/zinc/11/54/54/1072115454.db2.gz ZGVWXPBVAABJKF-LBPRGKRZSA-N 0 0 432.433 -0.632 20 0 IBADRN CC[NH+]1CCN(CCC(=O)N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)CC1 ZINC000440033591 1072115444 /nfs/dbraw/zinc/11/54/44/1072115444.db2.gz VCKNITLBIHWRFO-UHFFFAOYSA-N 0 0 429.509 -0.009 20 0 IBADRN C[NH+](C)CCN1C[C@H](C(=O)N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)CC1=O ZINC000440037292 1072115328 /nfs/dbraw/zinc/11/53/28/1072115328.db2.gz PGSWPHLTVJKCNE-GFCCVEGCSA-N 0 0 443.492 -0.626 20 0 IBADRN C[NH+](C)CCN1C[C@@H](C(=O)N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)CC1=O ZINC000440037293 1072115439 /nfs/dbraw/zinc/11/54/39/1072115439.db2.gz PGSWPHLTVJKCNE-LBPRGKRZSA-N 0 0 443.492 -0.626 20 0 IBADRN COCn1nccc1S(=O)(=O)N1CCCN(S(=O)(=O)c2ccnn2COC)CC1 ZINC000440044866 1072117129 /nfs/dbraw/zinc/11/71/29/1072117129.db2.gz NQLQYDWKHNLKQR-UHFFFAOYSA-N 0 0 448.527 -0.627 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)CC1=O ZINC000440046337 1072117110 /nfs/dbraw/zinc/11/71/10/1072117110.db2.gz YKYUWZBFHKLRIW-LLVKDONJSA-N 0 0 426.271 -0.034 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)CC1=O ZINC000440046338 1072117017 /nfs/dbraw/zinc/11/70/17/1072117017.db2.gz YKYUWZBFHKLRIW-NSHDSACASA-N 0 0 426.271 -0.034 20 0 IBADRN COCn1nccc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccnn2COC)[C@H](C)C1 ZINC000440046705 1072117125 /nfs/dbraw/zinc/11/71/25/1072117125.db2.gz SCWSZPCOCAEQGO-CYBMUJFWSA-N 0 0 448.527 -0.629 20 0 IBADRN COCn1nccc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccnn2COC)[C@@H](C)C1 ZINC000440046706 1072117064 /nfs/dbraw/zinc/11/70/64/1072117064.db2.gz SCWSZPCOCAEQGO-ZDUSSCGKSA-N 0 0 448.527 -0.629 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)CCc2ccc3c(c2)CCO3)CC1)N1CCOCC1 ZINC000440049483 1072117503 /nfs/dbraw/zinc/11/75/03/1072117503.db2.gz XALJUSOJOGOKQW-UHFFFAOYSA-N 0 0 437.518 -0.503 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CNS(=O)(=O)c2ccc(C(C)=O)cc2)cn1 ZINC000440051202 1072116704 /nfs/dbraw/zinc/11/67/04/1072116704.db2.gz ZOVHFCKLURRDFO-UHFFFAOYSA-N 0 0 437.478 -0.235 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc(N2CCC2=O)cc1 ZINC000440053417 1072116586 /nfs/dbraw/zinc/11/65/86/1072116586.db2.gz PZOUKPYXVAFHDZ-INIZCTEOSA-N 0 0 438.506 -0.034 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc(N2CCC2=O)cc1 ZINC000440053420 1072116508 /nfs/dbraw/zinc/11/65/08/1072116508.db2.gz PZOUKPYXVAFHDZ-MRXNPFEDSA-N 0 0 438.506 -0.034 20 0 IBADRN CCn1ncc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1C(F)(F)F ZINC000440054512 1072117049 /nfs/dbraw/zinc/11/70/49/1072117049.db2.gz VAFVLHXMLRLVGW-UHFFFAOYSA-N 0 0 434.419 -0.233 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)CCO1 ZINC000440057502 1072118556 /nfs/dbraw/zinc/11/85/56/1072118556.db2.gz WRSVEXKUENEWJS-AEFFLSMTSA-N 0 0 432.477 -0.093 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)CCO1 ZINC000440057503 1072118500 /nfs/dbraw/zinc/11/85/00/1072118500.db2.gz WRSVEXKUENEWJS-FUHWJXTLSA-N 0 0 432.477 -0.093 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)CCO1 ZINC000440057504 1072118562 /nfs/dbraw/zinc/11/85/62/1072118562.db2.gz WRSVEXKUENEWJS-SJLPKXTDSA-N 0 0 432.477 -0.093 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)CCO1 ZINC000440057505 1072118573 /nfs/dbraw/zinc/11/85/73/1072118573.db2.gz WRSVEXKUENEWJS-WMZOPIPTSA-N 0 0 432.477 -0.093 20 0 IBADRN CN(C)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000440057684 1072118440 /nfs/dbraw/zinc/11/84/40/1072118440.db2.gz ABGUDQFITOTUPR-UHFFFAOYSA-N 0 0 431.584 -0.449 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)Nc2ccccc2)n1 ZINC000440062382 1072118888 /nfs/dbraw/zinc/11/88/88/1072118888.db2.gz NZSCUZIXFILOIV-KRWDZBQOSA-N 0 0 449.471 0.329 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)Nc2ccccc2)n1 ZINC000440062384 1072118837 /nfs/dbraw/zinc/11/88/37/1072118837.db2.gz NZSCUZIXFILOIV-QGZVFWFLSA-N 0 0 449.471 0.329 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(Cl)c(N3CCNC3=O)c2)C1 ZINC000440062927 1072118855 /nfs/dbraw/zinc/11/88/55/1072118855.db2.gz ODUPGMKQYLQNGG-LLVKDONJSA-N 0 0 429.886 -0.042 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(Cl)c(N3CCNC3=O)c2)C1 ZINC000440062928 1072118873 /nfs/dbraw/zinc/11/88/73/1072118873.db2.gz ODUPGMKQYLQNGG-NSHDSACASA-N 0 0 429.886 -0.042 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC3=O)cc2)CC1 ZINC000440063650 1072118879 /nfs/dbraw/zinc/11/88/79/1072118879.db2.gz QIKZFNRHCHQWDE-INIZCTEOSA-N 0 0 443.504 -0.247 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC3=O)cc2)CC1 ZINC000440063651 1072118937 /nfs/dbraw/zinc/11/89/37/1072118937.db2.gz QIKZFNRHCHQWDE-MRXNPFEDSA-N 0 0 443.504 -0.247 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000440064183 1072118909 /nfs/dbraw/zinc/11/89/09/1072118909.db2.gz RUDGYJKOFMLKPO-BBRMVZONSA-N 0 0 438.506 -0.307 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000440064184 1072118797 /nfs/dbraw/zinc/11/87/97/1072118797.db2.gz RUDGYJKOFMLKPO-CJNGLKHVSA-N 0 0 438.506 -0.307 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000440064185 1072118901 /nfs/dbraw/zinc/11/89/01/1072118901.db2.gz RUDGYJKOFMLKPO-CZUORRHYSA-N 0 0 438.506 -0.307 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000440064186 1072118866 /nfs/dbraw/zinc/11/88/66/1072118866.db2.gz RUDGYJKOFMLKPO-XJKSGUPXSA-N 0 0 438.506 -0.307 20 0 IBADRN CCn1ncc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1C(F)(F)F ZINC000440064581 1072119472 /nfs/dbraw/zinc/11/94/72/1072119472.db2.gz SXVXOPWSIOVCOM-UHFFFAOYSA-N 0 0 426.421 -0.707 20 0 IBADRN Cc1ccc(-c2ccnn2C)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000440064983 1072119522 /nfs/dbraw/zinc/11/95/22/1072119522.db2.gz ULXWXSQTJWWUOV-UHFFFAOYSA-N 0 0 434.522 -0.233 20 0 IBADRN COC(=O)CCCCCS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000440067785 1072119508 /nfs/dbraw/zinc/11/95/08/1072119508.db2.gz ZHVSRBWBGXHGAF-UHFFFAOYSA-N 0 0 440.588 -0.841 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC3=O)cc2)CC1 ZINC000440071086 1072117559 /nfs/dbraw/zinc/11/75/59/1072117559.db2.gz KFSGNGNSPKIGJV-UHFFFAOYSA-N 0 0 438.506 -0.128 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000440071718 1072117860 /nfs/dbraw/zinc/11/78/60/1072117860.db2.gz MPIHPRIPXMEDBS-UHFFFAOYSA-N 0 0 447.311 -0.124 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@H](C)O)C[C@@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000440072589 1072117982 /nfs/dbraw/zinc/11/79/82/1072117982.db2.gz CLZZLWJELRWMIU-JMERFSKESA-N 0 0 440.522 -0.175 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@H](C)O)C[C@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000440072594 1072117846 /nfs/dbraw/zinc/11/78/46/1072117846.db2.gz CLZZLWJELRWMIU-NQCMUKECSA-N 0 0 440.522 -0.175 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@H](C)O)C[C@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000440072595 1072117915 /nfs/dbraw/zinc/11/79/15/1072117915.db2.gz CLZZLWJELRWMIU-QHRIQVFBSA-N 0 0 440.522 -0.175 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@H](C)O)C[C@@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000440072596 1072117948 /nfs/dbraw/zinc/11/79/48/1072117948.db2.gz CLZZLWJELRWMIU-WTOJCKNJSA-N 0 0 440.522 -0.175 20 0 IBADRN C[C@H](O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)C1 ZINC000440073099 1072117883 /nfs/dbraw/zinc/11/78/83/1072117883.db2.gz DRRLVLUZQQHDLJ-CVEARBPZSA-N 0 0 447.579 -0.217 20 0 IBADRN C[C@H](O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)C1 ZINC000440073101 1072117934 /nfs/dbraw/zinc/11/79/34/1072117934.db2.gz DRRLVLUZQQHDLJ-HOTGVXAUSA-N 0 0 447.579 -0.217 20 0 IBADRN C[C@@H](O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)C1 ZINC000440073103 1072118006 /nfs/dbraw/zinc/11/80/06/1072118006.db2.gz DRRLVLUZQQHDLJ-HZPDHXFCSA-N 0 0 447.579 -0.217 20 0 IBADRN C[C@@H](O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)C1 ZINC000440073106 1072117961 /nfs/dbraw/zinc/11/79/61/1072117961.db2.gz DRRLVLUZQQHDLJ-JKSUJKDBSA-N 0 0 447.579 -0.217 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000440075183 1072119866 /nfs/dbraw/zinc/11/98/66/1072119866.db2.gz SKRHTQBPEUCVPQ-AVRDEDQJSA-N 0 0 448.520 -0.258 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000440075184 1072119834 /nfs/dbraw/zinc/11/98/34/1072119834.db2.gz SKRHTQBPEUCVPQ-GCJKJVERSA-N 0 0 448.520 -0.258 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000440075185 1072119850 /nfs/dbraw/zinc/11/98/50/1072119850.db2.gz SKRHTQBPEUCVPQ-PGRDOPGGSA-N 0 0 448.520 -0.258 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000440075186 1072119730 /nfs/dbraw/zinc/11/97/30/1072119730.db2.gz SKRHTQBPEUCVPQ-XMSQKQJNSA-N 0 0 448.520 -0.258 20 0 IBADRN CCn1ncc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C(F)(F)F ZINC000440080300 1072120399 /nfs/dbraw/zinc/12/03/99/1072120399.db2.gz OKOXZUXYYJZSRV-UHFFFAOYSA-N 0 0 429.363 -0.782 20 0 IBADRN CN(C)Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1Cl ZINC000440083185 1072120386 /nfs/dbraw/zinc/12/03/86/1072120386.db2.gz SMMQVHSOYBJZIP-UHFFFAOYSA-N 0 0 434.888 -0.302 20 0 IBADRN Cc1ccc(-c2ccnn2C)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000440085117 1072120359 /nfs/dbraw/zinc/12/03/59/1072120359.db2.gz ZIXGCBCUWPZKRC-UHFFFAOYSA-N 0 0 437.464 -0.308 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000440087280 1072120317 /nfs/dbraw/zinc/12/03/17/1072120317.db2.gz JQDBRKIIGDNXBK-INIZCTEOSA-N 0 0 442.538 -0.535 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000440087281 1072120347 /nfs/dbraw/zinc/12/03/47/1072120347.db2.gz JQDBRKIIGDNXBK-MRXNPFEDSA-N 0 0 442.538 -0.535 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000440088223 1072120822 /nfs/dbraw/zinc/12/08/22/1072120822.db2.gz NKFBTYLRRRSWJA-CYBMUJFWSA-N 0 0 426.271 0.541 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000440088224 1072120876 /nfs/dbraw/zinc/12/08/76/1072120876.db2.gz NKFBTYLRRRSWJA-ZDUSSCGKSA-N 0 0 426.271 0.541 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440088451 1072120419 /nfs/dbraw/zinc/12/04/19/1072120419.db2.gz OPJMYFITSXOALQ-CYBMUJFWSA-N 0 0 449.913 -0.187 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440088452 1072120409 /nfs/dbraw/zinc/12/04/09/1072120409.db2.gz OPJMYFITSXOALQ-ZDUSSCGKSA-N 0 0 449.913 -0.187 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000440090246 1072119440 /nfs/dbraw/zinc/11/94/40/1072119440.db2.gz GXAMNTPUPIKUCR-AWEZNQCLSA-N 0 0 429.495 -0.532 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000440090247 1072119708 /nfs/dbraw/zinc/11/97/08/1072119708.db2.gz GXAMNTPUPIKUCR-CQSZACIVSA-N 0 0 429.495 -0.532 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000440090334 1072119343 /nfs/dbraw/zinc/11/93/43/1072119343.db2.gz TXOUAPYDCXSXQE-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000440090335 1072119428 /nfs/dbraw/zinc/11/94/28/1072119428.db2.gz TXOUAPYDCXSXQE-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000440090492 1072119353 /nfs/dbraw/zinc/11/93/53/1072119353.db2.gz HEEGTPHWCFZDTC-HNNXBMFYSA-N 0 0 428.511 -0.925 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000440090493 1072119319 /nfs/dbraw/zinc/11/93/19/1072119319.db2.gz HEEGTPHWCFZDTC-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000440093884 1072120768 /nfs/dbraw/zinc/12/07/68/1072120768.db2.gz CSGUJBXGARZOPN-KBPBESRZSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000440093889 1072120726 /nfs/dbraw/zinc/12/07/26/1072120726.db2.gz CSGUJBXGARZOPN-KGLIPLIRSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000440093890 1072120803 /nfs/dbraw/zinc/12/08/03/1072120803.db2.gz CSGUJBXGARZOPN-UONOGXRCSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000440093892 1072120830 /nfs/dbraw/zinc/12/08/30/1072120830.db2.gz CSGUJBXGARZOPN-ZIAGYGMSSA-N 0 0 425.554 -0.267 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000440095008 1072120795 /nfs/dbraw/zinc/12/07/95/1072120795.db2.gz ARVBGNSEKXXZEU-HNNXBMFYSA-N 0 0 428.511 -0.925 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000440095009 1072121320 /nfs/dbraw/zinc/12/13/20/1072121320.db2.gz ARVBGNSEKXXZEU-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000440095718 1072121317 /nfs/dbraw/zinc/12/13/17/1072121317.db2.gz BAOOWXNCRMYRKN-CQSZACIVSA-N 0 0 430.458 -0.589 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CC(=O)N(Cc3ccccc3)[C@@H]2C)cc1C ZINC000440097387 1073315334 /nfs/dbraw/zinc/31/53/34/1073315334.db2.gz CZJTUYPGOFGJOZ-GDBMZVCRSA-N 0 0 426.477 0.182 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CC(=O)N(Cc3ccccc3)[C@H]2C)cc1C ZINC000440097398 1073315436 /nfs/dbraw/zinc/31/54/36/1073315436.db2.gz CZJTUYPGOFGJOZ-GOEBONIOSA-N 0 0 426.477 0.182 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CC(=O)N(Cc3ccccc3)[C@H]2C)cc1C ZINC000440097402 1073315934 /nfs/dbraw/zinc/31/59/34/1073315934.db2.gz CZJTUYPGOFGJOZ-HOCLYGCPSA-N 0 0 426.477 0.182 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CC(=O)N(Cc3ccccc3)[C@@H]2C)cc1C ZINC000440097405 1073315914 /nfs/dbraw/zinc/31/59/14/1073315914.db2.gz CZJTUYPGOFGJOZ-ZBFHGGJFSA-N 0 0 426.477 0.182 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](CO)CCOC)c2)CC1 ZINC000440099240 1072121381 /nfs/dbraw/zinc/12/13/81/1072121381.db2.gz JWJUXQUGRPTMTD-INIZCTEOSA-N 0 0 442.538 -0.535 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](CO)CCOC)c2)CC1 ZINC000440099243 1072121301 /nfs/dbraw/zinc/12/13/01/1072121301.db2.gz JWJUXQUGRPTMTD-MRXNPFEDSA-N 0 0 442.538 -0.535 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@@H]2[C@@H](O)C(F)(F)F)n1)N1CCOCC1 ZINC000440099353 1072121311 /nfs/dbraw/zinc/12/13/11/1072121311.db2.gz FQUWDYOSVQPYBJ-BXUZGUMPSA-N 0 0 433.387 -0.405 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@H]2[C@H](O)C(F)(F)F)n1)N1CCOCC1 ZINC000440099354 1072121376 /nfs/dbraw/zinc/12/13/76/1072121376.db2.gz FQUWDYOSVQPYBJ-FZMZJTMJSA-N 0 0 433.387 -0.405 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@@H]2[C@H](O)C(F)(F)F)n1)N1CCOCC1 ZINC000440099355 1072121350 /nfs/dbraw/zinc/12/13/50/1072121350.db2.gz FQUWDYOSVQPYBJ-RISCZKNCSA-N 0 0 433.387 -0.405 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@H]2[C@@H](O)C(F)(F)F)n1)N1CCOCC1 ZINC000440099356 1073321716 /nfs/dbraw/zinc/32/17/16/1073321716.db2.gz FQUWDYOSVQPYBJ-SMDDNHRTSA-N 0 0 433.387 -0.405 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000440099770 1072616668 /nfs/dbraw/zinc/61/66/68/1072616668.db2.gz IFYIWZMESIQXOU-AWEZNQCLSA-N 0 0 428.511 -0.080 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000440099772 1072616569 /nfs/dbraw/zinc/61/65/69/1072616569.db2.gz IFYIWZMESIQXOU-CQSZACIVSA-N 0 0 428.511 -0.080 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@H]2[C@@H](O)C(F)(F)F)cn1)N1CCOCC1 ZINC000440099996 1072121346 /nfs/dbraw/zinc/12/13/46/1072121346.db2.gz KXAXEPUDDUXCMI-GXTWGEPZSA-N 0 0 433.387 -0.405 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@H]2[C@H](O)C(F)(F)F)cn1)N1CCOCC1 ZINC000440099997 1072121328 /nfs/dbraw/zinc/12/13/28/1072121328.db2.gz KXAXEPUDDUXCMI-JSGCOSHPSA-N 0 0 433.387 -0.405 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@@H]2[C@H](O)C(F)(F)F)cn1)N1CCOCC1 ZINC000440099999 1072121341 /nfs/dbraw/zinc/12/13/41/1072121341.db2.gz KXAXEPUDDUXCMI-OCCSQVGLSA-N 0 0 433.387 -0.405 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@@H]2[C@@H](O)C(F)(F)F)cn1)N1CCOCC1 ZINC000440100001 1072121323 /nfs/dbraw/zinc/12/13/23/1072121323.db2.gz KXAXEPUDDUXCMI-TZMCWYRMSA-N 0 0 433.387 -0.405 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000440100925 1072121304 /nfs/dbraw/zinc/12/13/04/1072121304.db2.gz JILIYVMQEGBHHH-AWEZNQCLSA-N 0 0 428.511 -0.080 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000440100927 1072121353 /nfs/dbraw/zinc/12/13/53/1072121353.db2.gz JILIYVMQEGBHHH-CQSZACIVSA-N 0 0 428.511 -0.080 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000440101170 1072121314 /nfs/dbraw/zinc/12/13/14/1072121314.db2.gz JXBPSYLTHCXYMW-KRWDZBQOSA-N 0 0 432.525 -0.677 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000440101177 1072121297 /nfs/dbraw/zinc/12/12/97/1072121297.db2.gz JXBPSYLTHCXYMW-QGZVFWFLSA-N 0 0 432.525 -0.677 20 0 IBADRN C[C@H]1[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC(=O)N1Cc1ccccc1 ZINC000440101485 1073315755 /nfs/dbraw/zinc/31/57/55/1073315755.db2.gz HWHVFFANBNDRLT-DZGCQCFKSA-N 0 0 427.465 -0.389 20 0 IBADRN C[C@@H]1[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC(=O)N1Cc1ccccc1 ZINC000440101486 1073308279 /nfs/dbraw/zinc/30/82/79/1073308279.db2.gz HWHVFFANBNDRLT-HIFRSBDPSA-N 0 0 427.465 -0.389 20 0 IBADRN C[C@@H]1[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC(=O)N1Cc1ccccc1 ZINC000440101487 1073308250 /nfs/dbraw/zinc/30/82/50/1073308250.db2.gz HWHVFFANBNDRLT-UKRRQHHQSA-N 0 0 427.465 -0.389 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC(=O)N1Cc1ccccc1 ZINC000440101488 1073315855 /nfs/dbraw/zinc/31/58/55/1073315855.db2.gz HWHVFFANBNDRLT-ZFWWWQNUSA-N 0 0 427.465 -0.389 20 0 IBADRN C[C@@H]1[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC(=O)N1Cc1ccccc1 ZINC000440102594 1073308228 /nfs/dbraw/zinc/30/82/28/1073308228.db2.gz JFDLNGIXHCWMGL-GDBMZVCRSA-N 0 0 426.477 0.216 20 0 IBADRN C[C@H]1[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC(=O)N1Cc1ccccc1 ZINC000440102599 1073315923 /nfs/dbraw/zinc/31/59/23/1073315923.db2.gz JFDLNGIXHCWMGL-GOEBONIOSA-N 0 0 426.477 0.216 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC(=O)N1Cc1ccccc1 ZINC000440102605 1073315813 /nfs/dbraw/zinc/31/58/13/1073315813.db2.gz JFDLNGIXHCWMGL-HOCLYGCPSA-N 0 0 426.477 0.216 20 0 IBADRN C[C@@H]1[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC(=O)N1Cc1ccccc1 ZINC000440102611 1073308300 /nfs/dbraw/zinc/30/83/00/1073308300.db2.gz JFDLNGIXHCWMGL-ZBFHGGJFSA-N 0 0 426.477 0.216 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCc3nnc(C)[nH]3)c2)CC1 ZINC000440102708 1072121713 /nfs/dbraw/zinc/12/17/13/1072121713.db2.gz LAHMDZOMTFPSAF-UHFFFAOYSA-N 0 0 449.537 -0.263 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000440102834 1072121700 /nfs/dbraw/zinc/12/17/00/1072121700.db2.gz JDRRXPQMPIXNKC-CQSZACIVSA-N 0 0 446.913 -0.075 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CC1 ZINC000440104143 1072121777 /nfs/dbraw/zinc/12/17/77/1072121777.db2.gz LDYPHBRGTIXAQA-INIZCTEOSA-N 0 0 438.550 -0.142 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CC1 ZINC000440104147 1072121657 /nfs/dbraw/zinc/12/16/57/1072121657.db2.gz LDYPHBRGTIXAQA-MRXNPFEDSA-N 0 0 438.550 -0.142 20 0 IBADRN Cc1nnc(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)[nH]1 ZINC000440105535 1072121760 /nfs/dbraw/zinc/12/17/60/1072121760.db2.gz KTMRNEIOFGLFDN-UHFFFAOYSA-N 0 0 435.510 -0.653 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C(N)=O)C(C)C ZINC000440106029 1072121730 /nfs/dbraw/zinc/12/17/30/1072121730.db2.gz NOGIHBRNEYADRJ-OAHLLOKOSA-N 0 0 426.495 -0.419 20 0 IBADRN Cc1nnc(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)[nH]1 ZINC000440107051 1072121789 /nfs/dbraw/zinc/12/17/89/1072121789.db2.gz PCPACZGMUSYYPJ-UHFFFAOYSA-N 0 0 435.510 -0.653 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000440107944 1072121645 /nfs/dbraw/zinc/12/16/45/1072121645.db2.gz OLHZLBOGDVNFCQ-IIAWOOMASA-N 0 0 443.522 -0.063 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000440107948 1072121801 /nfs/dbraw/zinc/12/18/01/1072121801.db2.gz OLHZLBOGDVNFCQ-IJEWVQPXSA-N 0 0 443.522 -0.063 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000440107950 1072121755 /nfs/dbraw/zinc/12/17/55/1072121755.db2.gz OLHZLBOGDVNFCQ-LZWOXQAQSA-N 0 0 443.522 -0.063 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000440107952 1072122152 /nfs/dbraw/zinc/12/21/52/1072122152.db2.gz OLHZLBOGDVNFCQ-OFQRWUPVSA-N 0 0 443.522 -0.063 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000440110787 1072122245 /nfs/dbraw/zinc/12/22/45/1072122245.db2.gz UEYTWDYPEINAJA-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000440110789 1072122213 /nfs/dbraw/zinc/12/22/13/1072122213.db2.gz UEYTWDYPEINAJA-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000440111170 1072122249 /nfs/dbraw/zinc/12/22/49/1072122249.db2.gz QMQNVZOMYIGDLZ-KRWDZBQOSA-N 0 0 432.477 -0.048 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000440111171 1072122220 /nfs/dbraw/zinc/12/22/20/1072122220.db2.gz QMQNVZOMYIGDLZ-QGZVFWFLSA-N 0 0 432.477 -0.048 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440111658 1072122198 /nfs/dbraw/zinc/12/21/98/1072122198.db2.gz SVRSRULTAJLVET-CYBMUJFWSA-N 0 0 433.458 -0.701 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440111659 1072122184 /nfs/dbraw/zinc/12/21/84/1072122184.db2.gz SVRSRULTAJLVET-ZDUSSCGKSA-N 0 0 433.458 -0.701 20 0 IBADRN COCC[C@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000440111679 1072122144 /nfs/dbraw/zinc/12/21/44/1072122144.db2.gz WOITUWNNVFCEOD-CYBMUJFWSA-N 0 0 445.494 -0.832 20 0 IBADRN COCC[C@@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000440111680 1072122725 /nfs/dbraw/zinc/12/27/25/1072122725.db2.gz WOITUWNNVFCEOD-ZDUSSCGKSA-N 0 0 445.494 -0.832 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H]3[C@@H](O)C(F)(F)F)cnc2n(C)c1=O ZINC000440115227 1072122642 /nfs/dbraw/zinc/12/26/42/1072122642.db2.gz YBPYFFNJSYEACK-GHMZBOCLSA-N 0 0 429.355 -0.515 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H]3[C@H](O)C(F)(F)F)cnc2n(C)c1=O ZINC000440115228 1072122709 /nfs/dbraw/zinc/12/27/09/1072122709.db2.gz YBPYFFNJSYEACK-MNOVXSKESA-N 0 0 429.355 -0.515 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H]3[C@H](O)C(F)(F)F)cnc2n(C)c1=O ZINC000440115229 1072122622 /nfs/dbraw/zinc/12/26/22/1072122622.db2.gz YBPYFFNJSYEACK-QWRGUYRKSA-N 0 0 429.355 -0.515 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H]3[C@@H](O)C(F)(F)F)cnc2n(C)c1=O ZINC000440115230 1072122678 /nfs/dbraw/zinc/12/26/78/1072122678.db2.gz YBPYFFNJSYEACK-WDEREUQCSA-N 0 0 429.355 -0.515 20 0 IBADRN Cc1c(C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000440115357 1072122721 /nfs/dbraw/zinc/12/27/21/1072122721.db2.gz AVWSNEKZSWERIA-UHFFFAOYSA-N 0 0 437.460 -0.603 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C(N)=O)C(C)C ZINC000440115790 1072123172 /nfs/dbraw/zinc/12/31/72/1072123172.db2.gz BZYCLQWRVWWNAG-OAHLLOKOSA-N 0 0 442.494 -0.719 20 0 IBADRN O=C(CC1(O)CCCC1)NCCS(=O)(=O)N1CCN(C(=O)CC2(O)CCCC2)CC1 ZINC000440116135 1072122654 /nfs/dbraw/zinc/12/26/54/1072122654.db2.gz DGEWLAQLXFZQKE-UHFFFAOYSA-N 0 0 445.582 -0.033 20 0 IBADRN Cc1nnc(CCNC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)[nH]1 ZINC000440116215 1072123134 /nfs/dbraw/zinc/12/31/34/1072123134.db2.gz DWNZUOHFALHYPA-UHFFFAOYSA-N 0 0 436.494 -0.260 20 0 IBADRN CSCCCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000440116841 1072123157 /nfs/dbraw/zinc/12/31/57/1072123157.db2.gz GOJNCFPRPOXUJJ-UHFFFAOYSA-N 0 0 428.536 -0.043 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(N)=O ZINC000440116925 1072123142 /nfs/dbraw/zinc/12/31/42/1072123142.db2.gz GWHICCSBCTXJEX-GOSISDBHSA-N 0 0 433.509 -0.076 20 0 IBADRN Cc1nnc(CCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)[nH]1 ZINC000440117704 1072123166 /nfs/dbraw/zinc/12/31/66/1072123166.db2.gz JILLPWHEEKDCKD-INIZCTEOSA-N 0 0 428.449 -0.575 20 0 IBADRN Cc1nnc(CCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)[nH]1 ZINC000440117710 1072123206 /nfs/dbraw/zinc/12/32/06/1072123206.db2.gz JILLPWHEEKDCKD-MRXNPFEDSA-N 0 0 428.449 -0.575 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(N)=O ZINC000440118813 1072123141 /nfs/dbraw/zinc/12/31/41/1072123141.db2.gz GEDNGQATGUEMCO-OAHLLOKOSA-N 0 0 425.511 -0.813 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)C(N)=O ZINC000440121000 1072123197 /nfs/dbraw/zinc/12/31/97/1072123197.db2.gz MNZNKTCAJKNJRT-MRXNPFEDSA-N 0 0 439.538 -0.423 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)C(N)=O ZINC000440122578 1072123147 /nfs/dbraw/zinc/12/31/47/1072123147.db2.gz PXJXRSPVIVMHHO-OAHLLOKOSA-N 0 0 425.511 -0.813 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C(N)=O)C(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000440123762 1072123643 /nfs/dbraw/zinc/12/36/43/1072123643.db2.gz SPKNBAZGOXTCTD-OAHLLOKOSA-N 0 0 442.494 -0.719 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C(N)=O)C(C)C)c2)CC1 ZINC000440125647 1072122226 /nfs/dbraw/zinc/12/22/26/1072122226.db2.gz ZMOOXUMUFNNJJK-MRXNPFEDSA-N 0 0 439.538 -0.423 20 0 IBADRN COC(=O)CC1(NC(=O)CCNS(=O)(=O)c2ccccc2)CCS(=O)(=O)CC1 ZINC000440133574 1072124655 /nfs/dbraw/zinc/12/46/55/1072124655.db2.gz KYLRMKQLRBMZKB-UHFFFAOYSA-N 0 0 432.520 -0.018 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)C1 ZINC000440134828 1072125145 /nfs/dbraw/zinc/12/51/45/1072125145.db2.gz MNVRUKQFOYHCCO-FQEVSTJZSA-N 0 0 435.481 -0.082 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)C1 ZINC000440134830 1072125053 /nfs/dbraw/zinc/12/50/53/1072125053.db2.gz MNVRUKQFOYHCCO-HXUWFJFHSA-N 0 0 435.481 -0.082 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCCO1 ZINC000440136205 1072125045 /nfs/dbraw/zinc/12/50/45/1072125045.db2.gz RNDMFCBYXLXOAE-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCCO1 ZINC000440136207 1072125091 /nfs/dbraw/zinc/12/50/91/1072125091.db2.gz RNDMFCBYXLXOAE-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCC[C@H]2[C@@H](O)C(F)(F)F)n1)N1CCCCCC1 ZINC000440139032 1072123576 /nfs/dbraw/zinc/12/35/76/1072123576.db2.gz RVEGBLJPMCKLLW-GXTWGEPZSA-N 0 0 446.430 0.533 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCC[C@H]2[C@H](O)C(F)(F)F)n1)N1CCCCCC1 ZINC000440139033 1072123635 /nfs/dbraw/zinc/12/36/35/1072123635.db2.gz RVEGBLJPMCKLLW-JSGCOSHPSA-N 0 0 446.430 0.533 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCC[C@@H]2[C@H](O)C(F)(F)F)n1)N1CCCCCC1 ZINC000440139034 1072123613 /nfs/dbraw/zinc/12/36/13/1072123613.db2.gz RVEGBLJPMCKLLW-OCCSQVGLSA-N 0 0 446.430 0.533 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCC[C@@H]2[C@@H](O)C(F)(F)F)n1)N1CCCCCC1 ZINC000440139035 1072123683 /nfs/dbraw/zinc/12/36/83/1072123683.db2.gz RVEGBLJPMCKLLW-TZMCWYRMSA-N 0 0 446.430 0.533 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000440139770 1072123686 /nfs/dbraw/zinc/12/36/86/1072123686.db2.gz XFGFBADRFZGUGB-NRFANRHFSA-N 0 0 448.476 -0.342 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000440139771 1072123659 /nfs/dbraw/zinc/12/36/59/1072123659.db2.gz XFGFBADRFZGUGB-OAQYLSRUSA-N 0 0 448.476 -0.342 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2C[C@@H]3CCC[C@@]3(C(=O)OC(C)(C)C)C2)n1 ZINC000440139772 1073305773 /nfs/dbraw/zinc/30/57/73/1073305773.db2.gz XFLOJIXDFLXXGW-RNODOKPDSA-N 0 0 434.497 0.275 20 0 IBADRN Cc1nnc(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)[nH]1 ZINC000440139903 1072123595 /nfs/dbraw/zinc/12/35/95/1072123595.db2.gz UWRBNMJGWFQEEC-UHFFFAOYSA-N 0 0 449.537 -0.263 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000440140915 1072124117 /nfs/dbraw/zinc/12/41/17/1072124117.db2.gz ZJFIMSNEUVMKSV-NRFANRHFSA-N 0 0 447.492 -0.225 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000440140916 1072124150 /nfs/dbraw/zinc/12/41/50/1072124150.db2.gz ZJFIMSNEUVMKSV-OAQYLSRUSA-N 0 0 447.492 -0.225 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000440141415 1072123618 /nfs/dbraw/zinc/12/36/18/1072123618.db2.gz AHGHCZXMJPJJSG-UHFFFAOYSA-N 0 0 431.540 -0.578 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000440141730 1072124130 /nfs/dbraw/zinc/12/41/30/1072124130.db2.gz BSBXKPKERIDBNW-UHFFFAOYSA-N 0 0 435.524 -0.428 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CNC(=O)c1ccccc1I ZINC000440142001 1072124090 /nfs/dbraw/zinc/12/40/90/1072124090.db2.gz AJDXIOQWZUDGIS-UHFFFAOYSA-N 0 0 425.248 -0.314 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000440142929 1072124147 /nfs/dbraw/zinc/12/41/47/1072124147.db2.gz DLRAUROWXLCCEA-CABCVRRESA-N 0 0 433.552 -0.599 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000440142930 1072124084 /nfs/dbraw/zinc/12/40/84/1072124084.db2.gz DLRAUROWXLCCEA-GJZGRUSLSA-N 0 0 433.552 -0.599 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)N1CCCC1 ZINC000440145461 1072124123 /nfs/dbraw/zinc/12/41/23/1072124123.db2.gz DDGHLQOPWFBSQP-KFWWJZLASA-N 0 0 434.497 -0.416 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)C(=O)N1CCCC1 ZINC000440145462 1072124107 /nfs/dbraw/zinc/12/41/07/1072124107.db2.gz DDGHLQOPWFBSQP-KKUMJFAQSA-N 0 0 434.497 -0.416 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)N1CCCC1 ZINC000440145463 1072124086 /nfs/dbraw/zinc/12/40/86/1072124086.db2.gz DDGHLQOPWFBSQP-ZNMIVQPWSA-N 0 0 434.497 -0.416 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000440145839 1072124647 /nfs/dbraw/zinc/12/46/47/1072124647.db2.gz OYTOYIXBFKEXNF-HNNXBMFYSA-N 0 0 435.524 -0.574 20 0 IBADRN COC(=O)CC1(NC(=O)CNC(=O)c2ccc3c(c2)OCO3)CCS(=O)(=O)CC1 ZINC000440146386 1072124078 /nfs/dbraw/zinc/12/40/78/1072124078.db2.gz PYKAMJSZTUQZHL-UHFFFAOYSA-N 0 0 426.447 -0.228 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCS(=O)(=O)NC)o1 ZINC000440146936 1072124711 /nfs/dbraw/zinc/12/47/11/1072124711.db2.gz QVRSUINKQPTAQE-LLVKDONJSA-N 0 0 437.496 -0.725 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCS(=O)(=O)NC)o1 ZINC000440146941 1072124726 /nfs/dbraw/zinc/12/47/26/1072124726.db2.gz QVRSUINKQPTAQE-NSHDSACASA-N 0 0 437.496 -0.725 20 0 IBADRN COC(=O)CC1(NC(=O)CNC(=O)c2cnc3ccccc3c2O)CCS(=O)(=O)CC1 ZINC000440147577 1072124680 /nfs/dbraw/zinc/12/46/80/1072124680.db2.gz XTEQWAILQYKMEE-UHFFFAOYSA-N 0 0 449.485 -0.115 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000440148482 1072124697 /nfs/dbraw/zinc/12/46/97/1072124697.db2.gz RRQPFQJPCPYCPB-UHFFFAOYSA-N 0 0 434.540 -0.948 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCCS(=O)(=O)NC)nc2n(CC)c1=O ZINC000440148577 1073352087 /nfs/dbraw/zinc/35/20/87/1073352087.db2.gz SINGYYCMWCMUJU-UHFFFAOYSA-N 0 0 426.499 -0.378 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000440150142 1072124596 /nfs/dbraw/zinc/12/45/96/1072124596.db2.gz XJOQYRWECLTZSP-UHFFFAOYSA-N 0 0 449.551 -0.230 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000440150599 1072124718 /nfs/dbraw/zinc/12/47/18/1072124718.db2.gz YOGVVKAJBVGJAS-UHFFFAOYSA-N 0 0 447.535 -0.476 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cn1 ZINC000440150879 1072125597 /nfs/dbraw/zinc/12/55/97/1072125597.db2.gz NUQJVLGVPKHVOX-UKRRQHHQSA-N 0 0 431.474 -0.049 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)c2)CC1 ZINC000440152336 1072126037 /nfs/dbraw/zinc/12/60/37/1072126037.db2.gz FOHCRGOTRMLNQS-IAGOWNOFSA-N 0 0 449.533 -0.702 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)C(=O)N1CCCC1 ZINC000440152740 1072126084 /nfs/dbraw/zinc/12/60/84/1072126084.db2.gz SXRCYXGCJPIPRC-MAUKXSAKSA-N 0 0 444.488 -0.234 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)C(=O)N1CCCC1 ZINC000440153041 1072126025 /nfs/dbraw/zinc/12/60/25/1072126025.db2.gz SXRCYXGCJPIPRC-YJBOKZPZSA-N 0 0 444.488 -0.234 20 0 IBADRN CCn1c(CCC(=O)NCCS(=O)(=O)NC)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000440155496 1072125994 /nfs/dbraw/zinc/12/59/94/1072125994.db2.gz HFYYQHNRTXWOMO-UHFFFAOYSA-N 0 0 445.567 -0.096 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CCCCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000440157993 1072125983 /nfs/dbraw/zinc/12/59/83/1072125983.db2.gz HMFOKXWVFDFNHG-UHFFFAOYSA-N 0 0 449.551 -0.038 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440161570 1072126077 /nfs/dbraw/zinc/12/60/77/1072126077.db2.gz HBQIZFVMGYXWOD-GDBMZVCRSA-N 0 0 430.461 -0.157 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)C(=O)N1CCCC1 ZINC000440162087 1072126576 /nfs/dbraw/zinc/12/65/76/1072126576.db2.gz IWOUVLWKUNJTJF-HNNXBMFYSA-N 0 0 432.477 -0.010 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000440162703 1072125088 /nfs/dbraw/zinc/12/50/88/1072125088.db2.gz SLRAYEDMXIPITN-AAEUAGOBSA-N 0 0 425.916 -0.871 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000440162709 1072125148 /nfs/dbraw/zinc/12/51/48/1072125148.db2.gz SLRAYEDMXIPITN-YPMHNXCESA-N 0 0 425.916 -0.871 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCCC1 ZINC000440163353 1072125034 /nfs/dbraw/zinc/12/50/34/1072125034.db2.gz ZBDTYYMOSRZDBC-AWEZNQCLSA-N 0 0 438.506 -0.227 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCS(=O)(=O)NC)c1 ZINC000440163829 1072125070 /nfs/dbraw/zinc/12/50/70/1072125070.db2.gz ULJKAZGKNLQKDE-UHFFFAOYSA-N 0 0 434.540 -0.888 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1S(=O)(=O)NC1CC1 ZINC000440166254 1072125093 /nfs/dbraw/zinc/12/50/93/1072125093.db2.gz AMGZCXFETAZYSN-ZIAGYGMSSA-N 0 0 436.490 -0.189 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC000440166307 1072125060 /nfs/dbraw/zinc/12/50/60/1072125060.db2.gz DJIPJMSVFPSTRX-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000440166340 1072125068 /nfs/dbraw/zinc/12/50/68/1072125068.db2.gz AWUFCHGMROVIQU-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440166397 1072125063 /nfs/dbraw/zinc/12/50/63/1072125063.db2.gz XYIMPZMGHRQXPW-CZUORRHYSA-N 0 0 437.522 -0.322 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440166414 1072125077 /nfs/dbraw/zinc/12/50/77/1072125077.db2.gz YEXQVDUKPSXHOB-NVXWUHKLSA-N 0 0 443.504 -0.242 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC000440167257 1072125591 /nfs/dbraw/zinc/12/55/91/1072125591.db2.gz AYUQFFQEJXBLKO-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C1CCN(S(=O)(=O)c2ccccc2C(=O)OC)CC1 ZINC000440167302 1072125531 /nfs/dbraw/zinc/12/55/31/1072125531.db2.gz FHHYVRKPDDUYBD-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1 ZINC000440167833 1072125512 /nfs/dbraw/zinc/12/55/12/1072125512.db2.gz DHTVUZCSNISOHK-APHBMKBZSA-N 0 0 438.506 -0.325 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1 ZINC000440167837 1072125537 /nfs/dbraw/zinc/12/55/37/1072125537.db2.gz DHTVUZCSNISOHK-DAXOMENPSA-N 0 0 438.506 -0.325 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H](CCSC)NS(=O)(=O)c1ccccc1F ZINC000440168068 1072125578 /nfs/dbraw/zinc/12/55/78/1072125578.db2.gz FAJYYGNKIMQGLB-GFCCVEGCSA-N 0 0 427.545 -0.109 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H](CCSC)NS(=O)(=O)c1ccccc1F ZINC000440168076 1072125518 /nfs/dbraw/zinc/12/55/18/1072125518.db2.gz FAJYYGNKIMQGLB-LBPRGKRZSA-N 0 0 427.545 -0.109 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000440168109 1072125604 /nfs/dbraw/zinc/12/56/04/1072125604.db2.gz IEJJEMCYUDESOS-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C ZINC000440168275 1072125516 /nfs/dbraw/zinc/12/55/16/1072125516.db2.gz MHEFPOBHNFOPFJ-INIZCTEOSA-N 0 0 449.551 -0.184 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C ZINC000440168276 1072125562 /nfs/dbraw/zinc/12/55/62/1072125562.db2.gz MHEFPOBHNFOPFJ-MRXNPFEDSA-N 0 0 449.551 -0.184 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CCc1c(C)nn(-c2ccc(S(N)(=O)=O)cc2)c1C ZINC000440169423 1072125508 /nfs/dbraw/zinc/12/55/08/1072125508.db2.gz PWRDOARTALSOLU-UHFFFAOYSA-N 0 0 443.551 -0.265 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc(S(C)(=O)=O)c1 ZINC000440169592 1072125584 /nfs/dbraw/zinc/12/55/84/1072125584.db2.gz OPNJEAKJEOTBNX-HUUCEWRRSA-N 0 0 437.474 -0.058 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(C(F)(F)F)n1 ZINC000440170444 1072126626 /nfs/dbraw/zinc/12/66/26/1072126626.db2.gz BOKHQVLBIBIJMV-UHFFFAOYSA-N 0 0 430.387 -0.058 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)cc2)CC1 ZINC000440171203 1072126631 /nfs/dbraw/zinc/12/66/31/1072126631.db2.gz AAGXQNFKSGNKMK-IAGOWNOFSA-N 0 0 449.533 -0.702 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1 ZINC000440171450 1072126610 /nfs/dbraw/zinc/12/66/10/1072126610.db2.gz VMQWNCMWYMLVRB-UHFFFAOYSA-N 0 0 428.330 -0.265 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000440172331 1072126623 /nfs/dbraw/zinc/12/66/23/1072126623.db2.gz QOKSYDWFXWBFDC-UHFFFAOYSA-N 0 0 433.430 -0.081 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440172951 1072127008 /nfs/dbraw/zinc/12/70/08/1072127008.db2.gz YQSLANLIYDUFLC-QZTJIDSGSA-N 0 0 443.504 -0.576 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCCC1 ZINC000440173188 1072126489 /nfs/dbraw/zinc/12/64/89/1072126489.db2.gz ZNIMPBFDDPCSLW-AWEZNQCLSA-N 0 0 438.506 -0.227 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000440173672 1072126964 /nfs/dbraw/zinc/12/69/64/1072126964.db2.gz JPHOWEMCXGDIHK-CVEARBPZSA-N 0 0 447.579 -0.290 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000440173673 1072127032 /nfs/dbraw/zinc/12/70/32/1072127032.db2.gz JPHOWEMCXGDIHK-HOTGVXAUSA-N 0 0 447.579 -0.290 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1ccc(S(=O)(=O)N(C)C)c(Br)c1 ZINC000440174068 1072127018 /nfs/dbraw/zinc/12/70/18/1072127018.db2.gz VUZIXPQKLJFXCQ-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN CCCn1c(CCC(=O)NCCS(=O)(=O)NC)nc2cc(S(N)(=O)=O)ccc21 ZINC000440174238 1072126998 /nfs/dbraw/zinc/12/69/98/1072126998.db2.gz LJTGTEFOIVMQRK-UHFFFAOYSA-N 0 0 431.540 -0.308 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H](C)C(=O)N3CCCC3)n2)c1C ZINC000440174947 1073339409 /nfs/dbraw/zinc/33/94/09/1073339409.db2.gz YPCDGYNNKALQFP-AWEZNQCLSA-N 0 0 429.481 0.412 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440175369 1072126971 /nfs/dbraw/zinc/12/69/71/1072126971.db2.gz ZYFLKXWUYYHTIQ-IAGOWNOFSA-N 0 0 440.504 -0.184 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000440175539 1072126981 /nfs/dbraw/zinc/12/69/81/1072126981.db2.gz LXPNFGNKYQLRIR-GDBMZVCRSA-N 0 0 447.579 -0.227 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000440175544 1072126986 /nfs/dbraw/zinc/12/69/86/1072126986.db2.gz LXPNFGNKYQLRIR-GOEBONIOSA-N 0 0 447.579 -0.227 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000440175547 1072127038 /nfs/dbraw/zinc/12/70/38/1072127038.db2.gz LXPNFGNKYQLRIR-HOCLYGCPSA-N 0 0 447.579 -0.227 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000440175549 1072127005 /nfs/dbraw/zinc/12/70/05/1072127005.db2.gz LXPNFGNKYQLRIR-ZBFHGGJFSA-N 0 0 447.579 -0.227 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)C(=O)N2CCCC2)cc1 ZINC000440176109 1072126979 /nfs/dbraw/zinc/12/69/79/1072126979.db2.gz DDSCDRFSYLBJQQ-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440176808 1072127025 /nfs/dbraw/zinc/12/70/25/1072127025.db2.gz QDZFMDVWHFFDEJ-HZPDHXFCSA-N 0 0 436.490 -0.617 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)cn2)C[C@H](C)O1 ZINC000440177776 1072127510 /nfs/dbraw/zinc/12/75/10/1072127510.db2.gz UKKXEEKFYUHVHL-OCVGTWLNSA-N 0 0 432.481 -0.806 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)cn2)C[C@@H](C)O1 ZINC000440177777 1072127553 /nfs/dbraw/zinc/12/75/53/1072127553.db2.gz UKKXEEKFYUHVHL-RRCSTGOVSA-N 0 0 432.481 -0.806 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)cn2)C[C@H](C)O1 ZINC000440177778 1072127562 /nfs/dbraw/zinc/12/75/62/1072127562.db2.gz UKKXEEKFYUHVHL-WMHQRMGPSA-N 0 0 432.481 -0.806 20 0 IBADRN O=C(C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440177929 1072127475 /nfs/dbraw/zinc/12/74/75/1072127475.db2.gz YCJBTNYHLMCJAB-KYJSFNMBSA-N 0 0 442.472 -0.624 20 0 IBADRN O=C(C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440177930 1072127574 /nfs/dbraw/zinc/12/75/74/1072127574.db2.gz YCJBTNYHLMCJAB-QGPMSJSTSA-N 0 0 442.472 -0.624 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CC1(CC(=O)NCCS(=O)(=O)NC)CCCCC1 ZINC000440178182 1072127565 /nfs/dbraw/zinc/12/75/65/1072127565.db2.gz YPYIAZWQORXEIW-UHFFFAOYSA-N 0 0 440.588 -0.952 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)cc2)CC1 ZINC000440178840 1072126598 /nfs/dbraw/zinc/12/65/98/1072126598.db2.gz MQCWSXBITDJYHS-QZTJIDSGSA-N 0 0 427.505 -0.321 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)n2)c1C ZINC000440180212 1073339512 /nfs/dbraw/zinc/33/95/12/1073339512.db2.gz GKTALUSLKHECDZ-UKRRQHHQSA-N 0 0 427.465 0.022 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440180738 1072126510 /nfs/dbraw/zinc/12/65/10/1072126510.db2.gz SQKLKEQNBDPEBO-UKRRQHHQSA-N 0 0 436.490 -0.189 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440183683 1072126479 /nfs/dbraw/zinc/12/64/79/1072126479.db2.gz FUHNPXYSTAINFV-RHSMWYFYSA-N 0 0 430.461 -0.015 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000440187839 1072127568 /nfs/dbraw/zinc/12/75/68/1072127568.db2.gz VJGHPAQFJZGOMK-HZPDHXFCSA-N 0 0 436.490 -0.617 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N(CCCCO)C1CC1 ZINC000440188514 1072127499 /nfs/dbraw/zinc/12/74/99/1072127499.db2.gz WWWXMQGKADPULR-UHFFFAOYSA-N 0 0 438.506 -0.491 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1 ZINC000440189683 1072127539 /nfs/dbraw/zinc/12/75/39/1072127539.db2.gz PRWFYINOFDUYNV-IAGOWNOFSA-N 0 0 429.477 -0.367 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCCC1 ZINC000440190919 1072127967 /nfs/dbraw/zinc/12/79/67/1072127967.db2.gz SCFSHGZRGKNEHX-INIZCTEOSA-N 0 0 445.520 -0.186 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1Cl ZINC000440191390 1072127534 /nfs/dbraw/zinc/12/75/34/1072127534.db2.gz RHNMZSRAZUTWSE-UKRRQHHQSA-N 0 0 449.895 -0.167 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1CCOCC1 ZINC000440191917 1072127952 /nfs/dbraw/zinc/12/79/52/1072127952.db2.gz UKQRVFMZZVFPQR-GDBMZVCRSA-N 0 0 430.461 -0.400 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1ccc(OC)c(S(=O)(=O)NCc2ccccn2)c1 ZINC000440192242 1072128009 /nfs/dbraw/zinc/12/80/09/1072128009.db2.gz SKAVAGVOYNAPTL-UHFFFAOYSA-N 0 0 442.519 -0.152 20 0 IBADRN CC(C)(C)[C@@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000440194057 1072127990 /nfs/dbraw/zinc/12/79/90/1072127990.db2.gz DWHHDXAYBSWWQR-CQSZACIVSA-N 0 0 426.495 -0.731 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000440196330 1072127558 /nfs/dbraw/zinc/12/75/58/1072127558.db2.gz RBNWQSXGDPFUBS-UHFFFAOYSA-N 0 0 437.460 -0.377 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3cnccc3C)CC2)cn1 ZINC000440200078 1072127983 /nfs/dbraw/zinc/12/79/83/1072127983.db2.gz DNFVXXOWDKQEQZ-UHFFFAOYSA-N 0 0 429.481 -0.364 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000440202422 1072127995 /nfs/dbraw/zinc/12/79/95/1072127995.db2.gz MKZBMPXEEIKACY-UHFFFAOYSA-N 0 0 441.492 -0.267 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000440204628 1072127978 /nfs/dbraw/zinc/12/79/78/1072127978.db2.gz AVZTVNRWFNDWCG-UHFFFAOYSA-N 0 0 441.492 -0.267 20 0 IBADRN Cn1nccc1[C@@H]1CCCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000440214486 1072128361 /nfs/dbraw/zinc/12/83/61/1072128361.db2.gz JLKQEXQIRMCMSO-INIZCTEOSA-N 0 0 429.481 0.168 20 0 IBADRN Cn1nccc1[C@H]1CCCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000440214488 1072128443 /nfs/dbraw/zinc/12/84/43/1072128443.db2.gz JLKQEXQIRMCMSO-MRXNPFEDSA-N 0 0 429.481 0.168 20 0 IBADRN CCN(C(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)[C@H]1CCN(c2ccccc2)C1=O ZINC000440246816 1073334994 /nfs/dbraw/zinc/33/49/94/1073334994.db2.gz SLRUBLNHANJETH-HNNXBMFYSA-N 0 0 427.465 -0.041 20 0 IBADRN CCN(C(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000440246822 1072129965 /nfs/dbraw/zinc/12/99/65/1072129965.db2.gz SLRUBLNHANJETH-OAHLLOKOSA-N 0 0 427.465 -0.041 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000440247499 1072129416 /nfs/dbraw/zinc/12/94/16/1072129416.db2.gz UARHKTUFMLQARG-BDXSIMOUSA-N 0 0 447.536 0.469 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000440247500 1072129976 /nfs/dbraw/zinc/12/99/76/1072129976.db2.gz UARHKTUFMLQARG-OWSLCNJRSA-N 0 0 447.536 0.469 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000440247501 1072129948 /nfs/dbraw/zinc/12/99/48/1072129948.db2.gz UARHKTUFMLQARG-TVFCKZIOSA-N 0 0 447.536 0.469 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000440247502 1072129842 /nfs/dbraw/zinc/12/98/42/1072129842.db2.gz UARHKTUFMLQARG-XDNAFOTISA-N 0 0 447.536 0.469 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCc1c(C)nc2c(c(=O)[nH]n2C)c1C ZINC000440248424 1072131407 /nfs/dbraw/zinc/13/14/07/1072131407.db2.gz VBJHZEQOIJGSDM-UHFFFAOYSA-N 0 0 429.437 0.456 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000440251155 1072131853 /nfs/dbraw/zinc/13/18/53/1072131853.db2.gz AWTDSTDGQOOLKG-UHFFFAOYSA-N 0 0 447.535 -0.476 20 0 IBADRN O=C(NCCc1nnc(-c2ccccc2)o1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000440255001 1072130463 /nfs/dbraw/zinc/13/04/63/1072130463.db2.gz LWGALOBUZGATKX-UHFFFAOYSA-N 0 0 442.476 -0.008 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N(C)[C@H]2CCN(c3ccccc3Cl)C2=O)n1 ZINC000440256938 1072130471 /nfs/dbraw/zinc/13/04/71/1072130471.db2.gz POVOZKIMDONUFL-AWEZNQCLSA-N 0 0 447.883 0.222 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N(C)[C@@H]2CCN(c3ccccc3Cl)C2=O)n1 ZINC000440256943 1073305233 /nfs/dbraw/zinc/30/52/33/1073305233.db2.gz POVOZKIMDONUFL-CQSZACIVSA-N 0 0 447.883 0.222 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCCNS(=O)(=O)c2ccccc2Cl)cn1 ZINC000440257233 1072130450 /nfs/dbraw/zinc/13/04/50/1072130450.db2.gz FIXSIKQUKFEYNB-UHFFFAOYSA-N 0 0 442.885 -0.555 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1OC ZINC000440261936 1072130955 /nfs/dbraw/zinc/13/09/55/1072130955.db2.gz AHDMRYAXNSUFFO-CYBMUJFWSA-N 0 0 437.478 -0.224 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1OC ZINC000440261940 1072131029 /nfs/dbraw/zinc/13/10/29/1072131029.db2.gz AHDMRYAXNSUFFO-ZDUSSCGKSA-N 0 0 437.478 -0.224 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C)C(=O)[C@@H]1C ZINC000440262011 1072131042 /nfs/dbraw/zinc/13/10/42/1072131042.db2.gz ANROMWXFJGPQNW-AWEZNQCLSA-N 0 0 438.506 -0.356 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C)C(=O)[C@H]1C ZINC000440262014 1072130966 /nfs/dbraw/zinc/13/09/66/1072130966.db2.gz ANROMWXFJGPQNW-CQSZACIVSA-N 0 0 438.506 -0.356 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(Cl)c2)C1 ZINC000440262512 1072131024 /nfs/dbraw/zinc/13/10/24/1072131024.db2.gz CCCBDGGUVUBEDN-GFCCVEGCSA-N 0 0 444.897 -0.360 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(Cl)c2)C1 ZINC000440262516 1072131006 /nfs/dbraw/zinc/13/10/06/1072131006.db2.gz CCCBDGGUVUBEDN-LBPRGKRZSA-N 0 0 444.897 -0.360 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1-n1nnnc1C1CC1 ZINC000440262578 1072130518 /nfs/dbraw/zinc/13/05/18/1072130518.db2.gz CIGYPPXVFKZUIF-GFCCVEGCSA-N 0 0 435.466 -0.624 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1-n1nnnc1C1CC1 ZINC000440262581 1072130977 /nfs/dbraw/zinc/13/09/77/1072130977.db2.gz CIGYPPXVFKZUIF-LBPRGKRZSA-N 0 0 435.466 -0.624 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NCCN(C(=O)Cn1c(C)cc(O)cc1=O)C1CC1 ZINC000440262846 1072131050 /nfs/dbraw/zinc/13/10/50/1072131050.db2.gz YXRWDEHMAZRSPT-UHFFFAOYSA-N 0 0 430.461 -0.155 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440263084 1072130972 /nfs/dbraw/zinc/13/09/72/1072130972.db2.gz AQRKQFJTTRFWTO-PBHICJAKSA-N 0 0 430.461 -0.672 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440263087 1072130946 /nfs/dbraw/zinc/13/09/46/1072130946.db2.gz AQRKQFJTTRFWTO-RHSMWYFYSA-N 0 0 430.461 -0.672 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440263088 1072131001 /nfs/dbraw/zinc/13/10/01/1072131001.db2.gz AQRKQFJTTRFWTO-WMLDXEAASA-N 0 0 430.461 -0.672 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440263089 1072131019 /nfs/dbraw/zinc/13/10/19/1072131019.db2.gz AQRKQFJTTRFWTO-YOEHRIQHSA-N 0 0 430.461 -0.672 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc(OC)cc1OC ZINC000440263367 1072131045 /nfs/dbraw/zinc/13/10/45/1072131045.db2.gz DZJKRKIHBJUPFY-LLVKDONJSA-N 0 0 429.451 -0.292 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc(OC)cc1OC ZINC000440263369 1072130988 /nfs/dbraw/zinc/13/09/88/1072130988.db2.gz DZJKRKIHBJUPFY-NSHDSACASA-N 0 0 429.451 -0.292 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)NC(C)(C)C ZINC000440263406 1072131033 /nfs/dbraw/zinc/13/10/33/1072131033.db2.gz FCMSHHCAOIBZSH-CYBMUJFWSA-N 0 0 446.551 -0.100 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)NC(C)(C)C ZINC000440263409 1072130991 /nfs/dbraw/zinc/13/09/91/1072130991.db2.gz FCMSHHCAOIBZSH-ZDUSSCGKSA-N 0 0 446.551 -0.100 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)Nc2cccnc2)C1 ZINC000440263747 1072131417 /nfs/dbraw/zinc/13/14/17/1072131417.db2.gz GKHSASUKIFQSBG-AWEZNQCLSA-N 0 0 447.473 -0.073 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)Nc2cccnc2)C1 ZINC000440263749 1072131398 /nfs/dbraw/zinc/13/13/98/1072131398.db2.gz GKHSASUKIFQSBG-CQSZACIVSA-N 0 0 447.473 -0.073 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2)CC1 ZINC000440264269 1072131373 /nfs/dbraw/zinc/13/13/73/1072131373.db2.gz DWQWMKJAAQHTJM-KRWDZBQOSA-N 0 0 437.522 -0.821 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2)CC1 ZINC000440264276 1072131391 /nfs/dbraw/zinc/13/13/91/1072131391.db2.gz DWQWMKJAAQHTJM-QGZVFWFLSA-N 0 0 437.522 -0.821 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000440264427 1072131442 /nfs/dbraw/zinc/13/14/42/1072131442.db2.gz IUEDIUOUZPMOEY-GFCCVEGCSA-N 0 0 430.508 -0.736 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000440264437 1072131388 /nfs/dbraw/zinc/13/13/88/1072131388.db2.gz IUEDIUOUZPMOEY-LBPRGKRZSA-N 0 0 430.508 -0.736 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(Br)cnc2-n2cccn2)C1 ZINC000440264447 1072131364 /nfs/dbraw/zinc/13/13/64/1072131364.db2.gz IVWRDNMJYHEYBO-JTQLQIEISA-N 0 0 443.283 -0.142 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(Br)cnc2-n2cccn2)C1 ZINC000440264449 1072131423 /nfs/dbraw/zinc/13/14/23/1072131423.db2.gz IVWRDNMJYHEYBO-SNVBAGLBSA-N 0 0 443.283 -0.142 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000440264747 1072134246 /nfs/dbraw/zinc/13/42/46/1072134246.db2.gz JVHNNDOTORHBQC-SECBINFHSA-N 0 0 445.450 -0.673 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000440264749 1072134188 /nfs/dbraw/zinc/13/41/88/1072134188.db2.gz JVHNNDOTORHBQC-VIFPVBQESA-N 0 0 445.450 -0.673 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440264794 1072134212 /nfs/dbraw/zinc/13/42/12/1072134212.db2.gz KCRJLDQTYSUSIB-AWEZNQCLSA-N 0 0 432.524 -0.407 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440264796 1072134184 /nfs/dbraw/zinc/13/41/84/1072134184.db2.gz KCRJLDQTYSUSIB-CQSZACIVSA-N 0 0 432.524 -0.407 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@H]2C)cc1 ZINC000440264944 1072134239 /nfs/dbraw/zinc/13/42/39/1072134239.db2.gz JXVBNKWSSNMVJD-CHWSQXEVSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@@H]2C)cc1 ZINC000440264948 1072134242 /nfs/dbraw/zinc/13/42/42/1072134242.db2.gz JXVBNKWSSNMVJD-OLZOCXBDSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@H]2C)cc1 ZINC000440264952 1072134199 /nfs/dbraw/zinc/13/41/99/1072134199.db2.gz JXVBNKWSSNMVJD-QWHCGFSZSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@@H]2C)cc1 ZINC000440264956 1072134182 /nfs/dbraw/zinc/13/41/82/1072134182.db2.gz JXVBNKWSSNMVJD-STQMWFEESA-N 0 0 426.495 -0.373 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@H]2C)cc1S(=O)(=O)N(C)C ZINC000440265177 1072133808 /nfs/dbraw/zinc/13/38/08/1072133808.db2.gz KFRJICKQVGBDJZ-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@@H]2C)cc1S(=O)(=O)N(C)C ZINC000440265182 1072134201 /nfs/dbraw/zinc/13/42/01/1072134201.db2.gz KFRJICKQVGBDJZ-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000440265209 1072131421 /nfs/dbraw/zinc/13/14/21/1072131421.db2.gz KJZKPXGXWAMAIU-INIZCTEOSA-N 0 0 445.520 -0.013 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000440265213 1072131413 /nfs/dbraw/zinc/13/14/13/1072131413.db2.gz KJZKPXGXWAMAIU-MRXNPFEDSA-N 0 0 445.520 -0.013 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440265218 1072131386 /nfs/dbraw/zinc/13/13/86/1072131386.db2.gz KKHKWPMWQJNTOT-HNNXBMFYSA-N 0 0 426.495 -0.329 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440265220 1072131368 /nfs/dbraw/zinc/13/13/68/1072131368.db2.gz KKHKWPMWQJNTOT-OAHLLOKOSA-N 0 0 426.495 -0.329 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000440265645 1072131435 /nfs/dbraw/zinc/13/14/35/1072131435.db2.gz MAWUDOIUBLFZSF-LLVKDONJSA-N 0 0 434.471 -0.906 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000440265646 1072131445 /nfs/dbraw/zinc/13/14/45/1072131445.db2.gz MAWUDOIUBLFZSF-NSHDSACASA-N 0 0 434.471 -0.906 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)ccc1C ZINC000440266003 1072131963 /nfs/dbraw/zinc/13/19/63/1072131963.db2.gz BOJZPTMLPUIVRY-AWEZNQCLSA-N 0 0 446.551 -0.147 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)ccc1C ZINC000440266010 1072131900 /nfs/dbraw/zinc/13/19/00/1072131900.db2.gz BOJZPTMLPUIVRY-CQSZACIVSA-N 0 0 446.551 -0.147 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C)C(=O)[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000440266346 1072131867 /nfs/dbraw/zinc/13/18/67/1072131867.db2.gz JLVSSNOJBSKPHI-LLVKDONJSA-N 0 0 425.463 -0.106 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C)C(=O)[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000440266348 1072132011 /nfs/dbraw/zinc/13/20/11/1072132011.db2.gz JLVSSNOJBSKPHI-NSHDSACASA-N 0 0 425.463 -0.106 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1Cl ZINC000440266965 1072132421 /nfs/dbraw/zinc/13/24/21/1072132421.db2.gz DFKMHAIOLDBFGB-LLVKDONJSA-N 0 0 432.886 -0.365 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1Cl ZINC000440266968 1072132398 /nfs/dbraw/zinc/13/23/98/1072132398.db2.gz DFKMHAIOLDBFGB-NSHDSACASA-N 0 0 432.886 -0.365 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)ccc1Cl ZINC000440267296 1072131956 /nfs/dbraw/zinc/13/19/56/1072131956.db2.gz DNOIFCQNQMTVBP-JTQLQIEISA-N 0 0 438.915 -0.582 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)ccc1Cl ZINC000440267300 1072131949 /nfs/dbraw/zinc/13/19/49/1072131949.db2.gz DNOIFCQNQMTVBP-SNVBAGLBSA-N 0 0 438.915 -0.582 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c2cccnc12 ZINC000440267835 1072132315 /nfs/dbraw/zinc/13/23/15/1072132315.db2.gz QRNXXVTVEJDNLV-LLVKDONJSA-N 0 0 436.446 -0.386 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c2cccnc12 ZINC000440267841 1072132322 /nfs/dbraw/zinc/13/23/22/1072132322.db2.gz QRNXXVTVEJDNLV-NSHDSACASA-N 0 0 436.446 -0.386 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)CC1 ZINC000440269340 1072132384 /nfs/dbraw/zinc/13/23/84/1072132384.db2.gz ONLDXCYXBCQZJU-INIZCTEOSA-N 0 0 437.522 -0.821 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)CC1 ZINC000440269342 1072132285 /nfs/dbraw/zinc/13/22/85/1072132285.db2.gz ONLDXCYXBCQZJU-MRXNPFEDSA-N 0 0 437.522 -0.821 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)C1 ZINC000440270265 1072132416 /nfs/dbraw/zinc/13/24/16/1072132416.db2.gz LTSIBWFHBABMAW-HNNXBMFYSA-N 0 0 444.473 -0.628 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)C1 ZINC000440270268 1072132327 /nfs/dbraw/zinc/13/23/27/1072132327.db2.gz LTSIBWFHBABMAW-OAHLLOKOSA-N 0 0 444.473 -0.628 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1OC ZINC000440270832 1072132308 /nfs/dbraw/zinc/13/23/08/1072132308.db2.gz RCCTVLJBCMRKIL-LLVKDONJSA-N 0 0 434.496 -0.715 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1OC ZINC000440270836 1072132297 /nfs/dbraw/zinc/13/22/97/1072132297.db2.gz RCCTVLJBCMRKIL-NSHDSACASA-N 0 0 434.496 -0.715 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)n1 ZINC000440271786 1072132341 /nfs/dbraw/zinc/13/23/41/1072132341.db2.gz OMNKYKAPQBFEHR-GFCCVEGCSA-N 0 0 435.462 -0.123 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)n1 ZINC000440271790 1072132953 /nfs/dbraw/zinc/13/29/53/1072132953.db2.gz OMNKYKAPQBFEHR-LBPRGKRZSA-N 0 0 435.462 -0.123 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440272341 1072132345 /nfs/dbraw/zinc/13/23/45/1072132345.db2.gz ZYMIRJDTFRNSLF-HNNXBMFYSA-N 0 0 426.495 -0.238 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440272348 1072132379 /nfs/dbraw/zinc/13/23/79/1072132379.db2.gz ZYMIRJDTFRNSLF-OAHLLOKOSA-N 0 0 426.495 -0.238 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)C1 ZINC000440273085 1072132824 /nfs/dbraw/zinc/13/28/24/1072132824.db2.gz OGUGNYPFYGEWEN-HNNXBMFYSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)C1 ZINC000440273089 1072132932 /nfs/dbraw/zinc/13/29/32/1072132932.db2.gz OGUGNYPFYGEWEN-OAHLLOKOSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000440273266 1072132794 /nfs/dbraw/zinc/13/27/94/1072132794.db2.gz VRSIIDXNSZJMJB-CABCVRRESA-N 0 0 439.494 -0.185 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000440273269 1072132814 /nfs/dbraw/zinc/13/28/14/1072132814.db2.gz VRSIIDXNSZJMJB-GJZGRUSLSA-N 0 0 439.494 -0.185 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000440273272 1072132899 /nfs/dbraw/zinc/13/28/99/1072132899.db2.gz VRSIIDXNSZJMJB-HUUCEWRRSA-N 0 0 439.494 -0.185 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000440273277 1072132964 /nfs/dbraw/zinc/13/29/64/1072132964.db2.gz VRSIIDXNSZJMJB-LSDHHAIUSA-N 0 0 439.494 -0.185 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000440273956 1072132860 /nfs/dbraw/zinc/13/28/60/1072132860.db2.gz YYNTVWWWONYIDU-CYBMUJFWSA-N 0 0 440.478 -0.862 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000440273957 1072133310 /nfs/dbraw/zinc/13/33/10/1072133310.db2.gz YYNTVWWWONYIDU-ZDUSSCGKSA-N 0 0 440.478 -0.862 20 0 IBADRN C[C@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1)n1cccn1 ZINC000440274155 1072132969 /nfs/dbraw/zinc/13/29/69/1072132969.db2.gz ZMBPXBRLFVSWNG-DOMZBBRYSA-N 0 0 434.478 -0.089 20 0 IBADRN C[C@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1)n1cccn1 ZINC000440274157 1072132833 /nfs/dbraw/zinc/13/28/33/1072132833.db2.gz ZMBPXBRLFVSWNG-IUODEOHRSA-N 0 0 434.478 -0.089 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1)n1cccn1 ZINC000440274161 1072132959 /nfs/dbraw/zinc/13/29/59/1072132959.db2.gz ZMBPXBRLFVSWNG-SWLSCSKDSA-N 0 0 434.478 -0.089 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1)n1cccn1 ZINC000440274165 1072132891 /nfs/dbraw/zinc/13/28/91/1072132891.db2.gz ZMBPXBRLFVSWNG-WFASDCNBSA-N 0 0 434.478 -0.089 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000440274247 1072132912 /nfs/dbraw/zinc/13/29/12/1072132912.db2.gz PZKBAKXGPYTDDJ-LLVKDONJSA-N 0 0 434.471 -0.807 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000440274252 1072132937 /nfs/dbraw/zinc/13/29/37/1072132937.db2.gz PZKBAKXGPYTDDJ-NSHDSACASA-N 0 0 434.471 -0.807 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(ccn3CCN3CCOCC3)c2)C1 ZINC000440274416 1072132944 /nfs/dbraw/zinc/13/29/44/1072132944.db2.gz XSMUNIWCPIIEMZ-KRWDZBQOSA-N 0 0 449.533 -0.199 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(ccn3CCN3CCOCC3)c2)C1 ZINC000440274419 1072132842 /nfs/dbraw/zinc/13/28/42/1072132842.db2.gz XSMUNIWCPIIEMZ-QGZVFWFLSA-N 0 0 449.533 -0.199 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccnn2Cc2cnn(-c3ccccc3)c2)C1 ZINC000440274756 1072133303 /nfs/dbraw/zinc/13/33/03/1072133303.db2.gz YDDHJXKCPAOLSA-INIZCTEOSA-N 0 0 443.489 -0.055 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccnn2Cc2cnn(-c3ccccc3)c2)C1 ZINC000440274762 1072133323 /nfs/dbraw/zinc/13/33/23/1072133323.db2.gz YDDHJXKCPAOLSA-MRXNPFEDSA-N 0 0 443.489 -0.055 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)C1 ZINC000440275074 1072133214 /nfs/dbraw/zinc/13/32/14/1072133214.db2.gz RVLRLSJTZVLEHM-CYBMUJFWSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)C1 ZINC000440275075 1072133231 /nfs/dbraw/zinc/13/32/31/1072133231.db2.gz RVLRLSJTZVLEHM-ZDUSSCGKSA-N 0 0 444.535 -0.311 20 0 IBADRN C[C@@H]1CN(C)CCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440275314 1072133286 /nfs/dbraw/zinc/13/32/86/1072133286.db2.gz ZWBAJEOSTGOKBM-CYBMUJFWSA-N 0 0 428.486 -0.052 20 0 IBADRN C[C@H]1CN(C)CCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440275316 1072133268 /nfs/dbraw/zinc/13/32/68/1072133268.db2.gz ZWBAJEOSTGOKBM-ZDUSSCGKSA-N 0 0 428.486 -0.052 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000440276298 1072133317 /nfs/dbraw/zinc/13/33/17/1072133317.db2.gz MPLGKPMDRHJIST-BXUZGUMPSA-N 0 0 440.478 -0.804 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000440276299 1072133207 /nfs/dbraw/zinc/13/32/07/1072133207.db2.gz MPLGKPMDRHJIST-FZMZJTMJSA-N 0 0 440.478 -0.804 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000440276300 1072133223 /nfs/dbraw/zinc/13/32/23/1072133223.db2.gz MPLGKPMDRHJIST-RISCZKNCSA-N 0 0 440.478 -0.804 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000440276301 1072133326 /nfs/dbraw/zinc/13/33/26/1072133326.db2.gz MPLGKPMDRHJIST-SMDDNHRTSA-N 0 0 440.478 -0.804 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000440276411 1072133235 /nfs/dbraw/zinc/13/32/35/1072133235.db2.gz WMBAKUYIZNEBPZ-AWEZNQCLSA-N 0 0 430.508 -0.701 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000440276412 1072133261 /nfs/dbraw/zinc/13/32/61/1072133261.db2.gz WMBAKUYIZNEBPZ-CQSZACIVSA-N 0 0 430.508 -0.701 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC000440277789 1072133729 /nfs/dbraw/zinc/13/37/29/1072133729.db2.gz CNHQMAVJEXDRPU-HNNXBMFYSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC000440277790 1072133780 /nfs/dbraw/zinc/13/37/80/1072133780.db2.gz CNHQMAVJEXDRPU-OAHLLOKOSA-N 0 0 444.535 -0.311 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000440278115 1072133701 /nfs/dbraw/zinc/13/37/01/1072133701.db2.gz CYQHGLJDDCEQPW-HNNXBMFYSA-N 0 0 446.551 -0.161 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000440278118 1072133767 /nfs/dbraw/zinc/13/37/67/1072133767.db2.gz CYQHGLJDDCEQPW-OAHLLOKOSA-N 0 0 446.551 -0.161 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1C ZINC000440278830 1072133734 /nfs/dbraw/zinc/13/37/34/1072133734.db2.gz FCIJCAVRGDLSAC-GFCCVEGCSA-N 0 0 432.524 -0.025 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1C ZINC000440278834 1072133727 /nfs/dbraw/zinc/13/37/27/1072133727.db2.gz FCIJCAVRGDLSAC-LBPRGKRZSA-N 0 0 432.524 -0.025 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000440279388 1072133709 /nfs/dbraw/zinc/13/37/09/1072133709.db2.gz GIDVQWZOMMIUNH-AWEZNQCLSA-N 0 0 432.524 -0.457 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000440279392 1072133688 /nfs/dbraw/zinc/13/36/88/1072133688.db2.gz GIDVQWZOMMIUNH-CQSZACIVSA-N 0 0 432.524 -0.457 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000440280188 1072133697 /nfs/dbraw/zinc/13/36/97/1072133697.db2.gz UYNYCDXQMSIWBQ-GFCCVEGCSA-N 0 0 448.523 -0.837 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000440280195 1072133740 /nfs/dbraw/zinc/13/37/40/1072133740.db2.gz UYNYCDXQMSIWBQ-LBPRGKRZSA-N 0 0 448.523 -0.837 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000440281820 1072133787 /nfs/dbraw/zinc/13/37/87/1072133787.db2.gz KMZFNKSCHOKUTP-AWEZNQCLSA-N 0 0 428.493 -0.232 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000440281825 1072133680 /nfs/dbraw/zinc/13/36/80/1072133680.db2.gz KMZFNKSCHOKUTP-CQSZACIVSA-N 0 0 428.493 -0.232 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000440283730 1072136653 /nfs/dbraw/zinc/13/66/53/1072136653.db2.gz LZXGAFSTCAPYPQ-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000440283731 1072136678 /nfs/dbraw/zinc/13/66/78/1072136678.db2.gz LZXGAFSTCAPYPQ-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000440284003 1072134205 /nfs/dbraw/zinc/13/42/05/1072134205.db2.gz QAKLQXWBRNTKHD-CYBMUJFWSA-N 0 0 444.535 -0.428 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000440284005 1072134213 /nfs/dbraw/zinc/13/42/13/1072134213.db2.gz QAKLQXWBRNTKHD-ZDUSSCGKSA-N 0 0 444.535 -0.428 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2)C[C@H](C)O1 ZINC000440284735 1072136635 /nfs/dbraw/zinc/13/66/35/1072136635.db2.gz SBHJFICIKPLCNW-DVOMOZLQSA-N 0 0 438.506 -0.236 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2)C[C@@H](C)O1 ZINC000440284736 1072136595 /nfs/dbraw/zinc/13/65/95/1072136595.db2.gz SBHJFICIKPLCNW-IOASZLSFSA-N 0 0 438.506 -0.236 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2)C[C@@H](C)O1 ZINC000440284737 1072136600 /nfs/dbraw/zinc/13/66/00/1072136600.db2.gz SBHJFICIKPLCNW-XJKCOSOUSA-N 0 0 438.506 -0.236 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2)C[C@H](C)O1 ZINC000440284738 1072136589 /nfs/dbraw/zinc/13/65/89/1072136589.db2.gz SBHJFICIKPLCNW-ZENOOKHLSA-N 0 0 438.506 -0.236 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000440287091 1072134203 /nfs/dbraw/zinc/13/42/03/1072134203.db2.gz YPAMTXNNRGRVKM-LLVKDONJSA-N 0 0 437.884 -0.214 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000440287095 1072134229 /nfs/dbraw/zinc/13/42/29/1072134229.db2.gz YPAMTXNNRGRVKM-NSHDSACASA-N 0 0 437.884 -0.214 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440287499 1072134217 /nfs/dbraw/zinc/13/42/17/1072134217.db2.gz ZXTLMNPLXDASPU-CYBMUJFWSA-N 0 0 432.524 -0.409 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440287504 1072134190 /nfs/dbraw/zinc/13/41/90/1072134190.db2.gz ZXTLMNPLXDASPU-ZDUSSCGKSA-N 0 0 432.524 -0.409 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C)C[C@@H]1C ZINC000440288188 1072134735 /nfs/dbraw/zinc/13/47/35/1072134735.db2.gz XSOKPJUFNLUEEV-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C)C[C@H]1C ZINC000440288190 1072134705 /nfs/dbraw/zinc/13/47/05/1072134705.db2.gz XSOKPJUFNLUEEV-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)c2)CC1 ZINC000440288895 1072134759 /nfs/dbraw/zinc/13/47/59/1072134759.db2.gz ASYZVKCRMAPIEN-KRWDZBQOSA-N 0 0 437.522 -0.821 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)c2)CC1 ZINC000440288902 1072134662 /nfs/dbraw/zinc/13/46/62/1072134662.db2.gz ASYZVKCRMAPIEN-QGZVFWFLSA-N 0 0 437.522 -0.821 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000440289917 1072134692 /nfs/dbraw/zinc/13/46/92/1072134692.db2.gz KAAULQGEFYPINA-AWEZNQCLSA-N 0 0 432.524 -0.455 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000440289919 1072134688 /nfs/dbraw/zinc/13/46/88/1072134688.db2.gz KAAULQGEFYPINA-CQSZACIVSA-N 0 0 432.524 -0.455 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1F ZINC000440290043 1072134701 /nfs/dbraw/zinc/13/47/01/1072134701.db2.gz HPPWAFARDCSYKU-LLVKDONJSA-N 0 0 425.446 -0.112 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1F ZINC000440290075 1072134784 /nfs/dbraw/zinc/13/47/84/1072134784.db2.gz HPPWAFARDCSYKU-NSHDSACASA-N 0 0 425.446 -0.112 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000440290550 1072134775 /nfs/dbraw/zinc/13/47/75/1072134775.db2.gz IRGWOYNYPHIDPY-HNNXBMFYSA-N 0 0 446.551 -0.065 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000440290551 1072134698 /nfs/dbraw/zinc/13/46/98/1072134698.db2.gz IRGWOYNYPHIDPY-OAHLLOKOSA-N 0 0 446.551 -0.065 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cccc1S(=O)(=O)NC(C)(C)C ZINC000440292067 1072134671 /nfs/dbraw/zinc/13/46/71/1072134671.db2.gz BMVXHLQHPALPLX-GFCCVEGCSA-N 0 0 446.551 -0.100 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cccc1S(=O)(=O)NC(C)(C)C ZINC000440292068 1072134680 /nfs/dbraw/zinc/13/46/80/1072134680.db2.gz BMVXHLQHPALPLX-LBPRGKRZSA-N 0 0 446.551 -0.100 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C)C(=O)[C@H]1C ZINC000440292531 1072134766 /nfs/dbraw/zinc/13/47/66/1072134766.db2.gz NVSXTYFNWAYAAS-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C)C(=O)[C@@H]1C ZINC000440292533 1072134728 /nfs/dbraw/zinc/13/47/28/1072134728.db2.gz NVSXTYFNWAYAAS-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c3ccncc23)C1 ZINC000440293305 1072135238 /nfs/dbraw/zinc/13/52/38/1072135238.db2.gz IPAFZHMEMWPFKE-CYBMUJFWSA-N 0 0 433.490 -0.101 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c3ccncc23)C1 ZINC000440293308 1072135211 /nfs/dbraw/zinc/13/52/11/1072135211.db2.gz IPAFZHMEMWPFKE-ZDUSSCGKSA-N 0 0 433.490 -0.101 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000440293466 1072135259 /nfs/dbraw/zinc/13/52/59/1072135259.db2.gz FCATZXHCDIYYHN-HNNXBMFYSA-N 0 0 431.493 -0.624 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000440293468 1072135206 /nfs/dbraw/zinc/13/52/06/1072135206.db2.gz FCATZXHCDIYYHN-OAHLLOKOSA-N 0 0 431.493 -0.624 20 0 IBADRN O=C(CN(C1CC1)[C@@H]1CCS(=O)(=O)C1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000440293507 1072134714 /nfs/dbraw/zinc/13/47/14/1072134714.db2.gz FIIFXRHWWYZLAV-BRWVUGGUSA-N 0 0 434.580 -0.167 20 0 IBADRN O=C(CN(C1CC1)[C@@H]1CCS(=O)(=O)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000440293509 1072135225 /nfs/dbraw/zinc/13/52/25/1072135225.db2.gz FIIFXRHWWYZLAV-IKGGRYGDSA-N 0 0 434.580 -0.167 20 0 IBADRN O=C(CN(C1CC1)[C@@H]1CCS(=O)(=O)C1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000440293511 1072135270 /nfs/dbraw/zinc/13/52/70/1072135270.db2.gz FIIFXRHWWYZLAV-IXDOHACOSA-N 0 0 434.580 -0.167 20 0 IBADRN O=C(CN(C1CC1)[C@@H]1CCS(=O)(=O)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000440293513 1072135209 /nfs/dbraw/zinc/13/52/09/1072135209.db2.gz FIIFXRHWWYZLAV-ZACQAIPSSA-N 0 0 434.580 -0.167 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000440294004 1072135254 /nfs/dbraw/zinc/13/52/54/1072135254.db2.gz GDPMULQCGZXIBJ-AWEZNQCLSA-N 0 0 430.508 -0.703 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000440294005 1072135256 /nfs/dbraw/zinc/13/52/56/1072135256.db2.gz GDPMULQCGZXIBJ-CQSZACIVSA-N 0 0 430.508 -0.703 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440294255 1072135242 /nfs/dbraw/zinc/13/52/42/1072135242.db2.gz KQGVFYGEWXDDNY-GFCCVEGCSA-N 0 0 442.469 -0.526 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440294262 1072135268 /nfs/dbraw/zinc/13/52/68/1072135268.db2.gz KQGVFYGEWXDDNY-LBPRGKRZSA-N 0 0 442.469 -0.526 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440295378 1072135232 /nfs/dbraw/zinc/13/52/32/1072135232.db2.gz NBUYLJPNNGWTJH-BXUZGUMPSA-N 0 0 432.524 -0.409 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440295380 1072135228 /nfs/dbraw/zinc/13/52/28/1072135228.db2.gz NBUYLJPNNGWTJH-FZMZJTMJSA-N 0 0 432.524 -0.409 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440295382 1072135197 /nfs/dbraw/zinc/13/51/97/1072135197.db2.gz NBUYLJPNNGWTJH-RISCZKNCSA-N 0 0 432.524 -0.409 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440295384 1072135221 /nfs/dbraw/zinc/13/52/21/1072135221.db2.gz NBUYLJPNNGWTJH-SMDDNHRTSA-N 0 0 432.524 -0.409 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000440295570 1072135203 /nfs/dbraw/zinc/13/52/03/1072135203.db2.gz UOVMXYUILGNFNI-GFCCVEGCSA-N 0 0 425.511 -0.370 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000440295571 1072135263 /nfs/dbraw/zinc/13/52/63/1072135263.db2.gz UOVMXYUILGNFNI-LBPRGKRZSA-N 0 0 425.511 -0.370 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)C1 ZINC000440296350 1072135748 /nfs/dbraw/zinc/13/57/48/1072135748.db2.gz OXDGBNXWWHESLY-AWEZNQCLSA-N 0 0 426.520 -0.297 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)C1 ZINC000440296353 1072135756 /nfs/dbraw/zinc/13/57/56/1072135756.db2.gz OXDGBNXWWHESLY-CQSZACIVSA-N 0 0 426.520 -0.297 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440296540 1072135770 /nfs/dbraw/zinc/13/57/70/1072135770.db2.gz LWALKYDLKBZGFV-DOMZBBRYSA-N 0 0 446.551 -0.268 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440296541 1072135732 /nfs/dbraw/zinc/13/57/32/1072135732.db2.gz LWALKYDLKBZGFV-IUODEOHRSA-N 0 0 446.551 -0.268 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440296542 1072135741 /nfs/dbraw/zinc/13/57/41/1072135741.db2.gz LWALKYDLKBZGFV-SWLSCSKDSA-N 0 0 446.551 -0.268 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440296543 1072135744 /nfs/dbraw/zinc/13/57/44/1072135744.db2.gz LWALKYDLKBZGFV-WFASDCNBSA-N 0 0 446.551 -0.268 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000440296978 1072135753 /nfs/dbraw/zinc/13/57/53/1072135753.db2.gz MDGYCJJBPMEBML-AWEZNQCLSA-N 0 0 437.522 -0.750 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000440296979 1072135760 /nfs/dbraw/zinc/13/57/60/1072135760.db2.gz MDGYCJJBPMEBML-CQSZACIVSA-N 0 0 437.522 -0.750 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(C)C(=O)[C@@H]1C ZINC000440296982 1072135717 /nfs/dbraw/zinc/13/57/17/1072135717.db2.gz MEGFCHPFONMZPL-AWEZNQCLSA-N 0 0 431.493 -0.290 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(C)C(=O)[C@H]1C ZINC000440296983 1072135726 /nfs/dbraw/zinc/13/57/26/1072135726.db2.gz MEGFCHPFONMZPL-CQSZACIVSA-N 0 0 431.493 -0.290 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000440297257 1072135767 /nfs/dbraw/zinc/13/57/67/1072135767.db2.gz XWYNWDHMBBYZTO-AWEZNQCLSA-N 0 0 446.551 -0.148 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000440297260 1072135713 /nfs/dbraw/zinc/13/57/13/1072135713.db2.gz XWYNWDHMBBYZTO-CQSZACIVSA-N 0 0 446.551 -0.148 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000440297919 1072135706 /nfs/dbraw/zinc/13/57/06/1072135706.db2.gz SBWKJKBLZYIFDD-GFCCVEGCSA-N 0 0 448.523 -0.837 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000440297921 1072135763 /nfs/dbraw/zinc/13/57/63/1072135763.db2.gz SBWKJKBLZYIFDD-LBPRGKRZSA-N 0 0 448.523 -0.837 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000440297970 1072135738 /nfs/dbraw/zinc/13/57/38/1072135738.db2.gz ZWXSHPFXEJLLJS-AWEZNQCLSA-N 0 0 437.522 -0.750 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000440297972 1072135765 /nfs/dbraw/zinc/13/57/65/1072135765.db2.gz ZWXSHPFXEJLLJS-CQSZACIVSA-N 0 0 437.522 -0.750 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000440298052 1072136303 /nfs/dbraw/zinc/13/63/03/1072136303.db2.gz XLOSAKKUFJRPAT-CYBMUJFWSA-N 0 0 430.508 -0.736 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000440298054 1072136300 /nfs/dbraw/zinc/13/63/00/1072136300.db2.gz XLOSAKKUFJRPAT-ZDUSSCGKSA-N 0 0 430.508 -0.736 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440298996 1072136239 /nfs/dbraw/zinc/13/62/39/1072136239.db2.gz SZHYGMJCKYKPGY-AWEZNQCLSA-N 0 0 446.551 -0.268 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440298997 1072136558 /nfs/dbraw/zinc/13/65/58/1072136558.db2.gz SZHYGMJCKYKPGY-CQSZACIVSA-N 0 0 446.551 -0.268 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)sc3c2)C1 ZINC000440299053 1072136620 /nfs/dbraw/zinc/13/66/20/1072136620.db2.gz XMCDQVYUVRCEGW-GFCCVEGCSA-N 0 0 439.519 -0.039 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)sc3c2)C1 ZINC000440299054 1072136670 /nfs/dbraw/zinc/13/66/70/1072136670.db2.gz XMCDQVYUVRCEGW-LBPRGKRZSA-N 0 0 439.519 -0.039 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000440299196 1072136309 /nfs/dbraw/zinc/13/63/09/1072136309.db2.gz USQOHZGRVFCXHC-CYBMUJFWSA-N 0 0 435.462 -0.205 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000440299197 1072136222 /nfs/dbraw/zinc/13/62/22/1072136222.db2.gz USQOHZGRVFCXHC-ZDUSSCGKSA-N 0 0 435.462 -0.205 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)C1 ZINC000440299309 1072136280 /nfs/dbraw/zinc/13/62/80/1072136280.db2.gz ZBTORIZCRFXLTO-HNNXBMFYSA-N 0 0 440.547 -0.368 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)C1 ZINC000440299310 1072136229 /nfs/dbraw/zinc/13/62/29/1072136229.db2.gz ZBTORIZCRFXLTO-OAHLLOKOSA-N 0 0 440.547 -0.368 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3C(F)(F)F)n2)C1 ZINC000440299312 1072136320 /nfs/dbraw/zinc/13/63/20/1072136320.db2.gz ZCECFCIDZLPLBF-SECBINFHSA-N 0 0 432.384 0.114 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3C(F)(F)F)n2)C1 ZINC000440299313 1072136298 /nfs/dbraw/zinc/13/62/98/1072136298.db2.gz ZCECFCIDZLPLBF-VIFPVBQESA-N 0 0 432.384 0.114 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CCN(C)C(=O)[C@H]1C ZINC000440299896 1072137535 /nfs/dbraw/zinc/13/75/35/1072137535.db2.gz AANOPXQKEDDHBP-CYBMUJFWSA-N 0 0 438.506 -0.442 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CCN(C)C(=O)[C@@H]1C ZINC000440299897 1072137515 /nfs/dbraw/zinc/13/75/15/1072137515.db2.gz AANOPXQKEDDHBP-ZDUSSCGKSA-N 0 0 438.506 -0.442 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000440300003 1072137643 /nfs/dbraw/zinc/13/76/43/1072137643.db2.gz BLLHFBGVEZEASA-UHFFFAOYSA-N 0 0 425.401 -0.075 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)[C@H]1CCN(c2ccccc2)C1=O ZINC000440301304 1072137616 /nfs/dbraw/zinc/13/76/16/1072137616.db2.gz DBYRJYRVIYWYMM-INIZCTEOSA-N 0 0 426.477 0.564 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000440301313 1072137635 /nfs/dbraw/zinc/13/76/35/1072137635.db2.gz DBYRJYRVIYWYMM-MRXNPFEDSA-N 0 0 426.477 0.564 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N(CCO)CCCO)c1 ZINC000440303316 1072137528 /nfs/dbraw/zinc/13/75/28/1072137528.db2.gz CQDXKKBAHDTHJW-KRWDZBQOSA-N 0 0 435.477 -0.004 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N(CCO)CCCO)c1 ZINC000440303317 1072137556 /nfs/dbraw/zinc/13/75/56/1072137556.db2.gz CQDXKKBAHDTHJW-QGZVFWFLSA-N 0 0 435.477 -0.004 20 0 IBADRN C[C@@H](Cc1ccc(O)cc1)N(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000440304169 1072137585 /nfs/dbraw/zinc/13/75/85/1072137585.db2.gz GEWBSMMFEQYRQY-HNNXBMFYSA-N 0 0 429.477 0.476 20 0 IBADRN C[C@H](Cc1ccc(O)cc1)N(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000440304174 1072137505 /nfs/dbraw/zinc/13/75/05/1072137505.db2.gz GEWBSMMFEQYRQY-OAHLLOKOSA-N 0 0 429.477 0.476 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N(CCO)CCCO ZINC000440305061 1072136626 /nfs/dbraw/zinc/13/66/26/1072136626.db2.gz ODSSRKNYRIVJIB-UHFFFAOYSA-N 0 0 431.511 -0.086 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(CCO)CCCO ZINC000440306589 1072136695 /nfs/dbraw/zinc/13/66/95/1072136695.db2.gz NASJAEBPKZLTNM-UHFFFAOYSA-N 0 0 449.913 -0.497 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(C)n(CC(=O)NC)n1)[C@H]1CCN(c2ccccc2)C1=O ZINC000440307170 1072136611 /nfs/dbraw/zinc/13/66/11/1072136611.db2.gz SUZYKAQKLXRLPX-INIZCTEOSA-N 0 0 426.477 0.530 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(C)n(CC(=O)NC)n1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000440307171 1073335174 /nfs/dbraw/zinc/33/51/74/1073335174.db2.gz SUZYKAQKLXRLPX-MRXNPFEDSA-N 0 0 426.477 0.530 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCc1cnc(N(C)C)n1C ZINC000440308126 1072136641 /nfs/dbraw/zinc/13/66/41/1072136641.db2.gz XAPHOTGACUOVKV-UHFFFAOYSA-N 0 0 438.510 0.000 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCc1cnc(N(C)C)n1C)CC2 ZINC000440308256 1072136581 /nfs/dbraw/zinc/13/65/81/1072136581.db2.gz XZBKTPCNFMRDKE-UHFFFAOYSA-N 0 0 434.522 -0.010 20 0 IBADRN COc1cc(NC(=O)C(=O)NCc2cnc(N(C)C)n2C)ccc1S(=O)(=O)N(C)C ZINC000440309264 1072137292 /nfs/dbraw/zinc/13/72/92/1072137292.db2.gz ALBQZMRYOFXWSX-UHFFFAOYSA-N 0 0 438.510 0.000 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N(CCO)CCCO ZINC000440309302 1072137213 /nfs/dbraw/zinc/13/72/13/1072137213.db2.gz ARZMFRQKSTVASX-UHFFFAOYSA-N 0 0 432.477 -0.358 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(CCO)CCCO ZINC000440310896 1072137230 /nfs/dbraw/zinc/13/72/30/1072137230.db2.gz ZEBDZGNLKCPAAG-UHFFFAOYSA-N 0 0 429.495 -0.842 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCO)CCCO)ccc1S(=O)(=O)N1CCCC1 ZINC000440311620 1072137133 /nfs/dbraw/zinc/13/71/33/1072137133.db2.gz DGRYQEVOCUEFDL-UHFFFAOYSA-N 0 0 429.495 -0.379 20 0 IBADRN COC(=O)[C@@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(OC)cc1 ZINC000440312360 1072137147 /nfs/dbraw/zinc/13/71/47/1072137147.db2.gz GXJGPYDHVFUQIA-NRFANRHFSA-N 0 0 448.520 -0.498 20 0 IBADRN COC(=O)[C@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(OC)cc1 ZINC000440312361 1072137303 /nfs/dbraw/zinc/13/73/03/1072137303.db2.gz GXJGPYDHVFUQIA-OAQYLSRUSA-N 0 0 448.520 -0.498 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(CCO)CCCO ZINC000440313743 1072137139 /nfs/dbraw/zinc/13/71/39/1072137139.db2.gz KVNAQWQWRXXYNA-UHFFFAOYSA-N 0 0 427.523 -0.191 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCc2cnc(N(C)C)n2C)cc1 ZINC000440314608 1072137277 /nfs/dbraw/zinc/13/72/77/1072137277.db2.gz KBOHKBROEMQMNG-UHFFFAOYSA-N 0 0 438.510 -0.334 20 0 IBADRN CC(C)C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)OC(C)C ZINC000440315658 1072137183 /nfs/dbraw/zinc/13/71/83/1072137183.db2.gz LRMJKAXXZSLAPE-HNNXBMFYSA-N 0 0 437.497 0.163 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(CCO)CCCO ZINC000440315775 1072137125 /nfs/dbraw/zinc/13/71/25/1072137125.db2.gz MCSFIUCLPAOCMA-UHFFFAOYSA-N 0 0 431.511 -0.134 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(CCO)CCCO)c2)CC1 ZINC000440315857 1072137177 /nfs/dbraw/zinc/13/71/77/1072137177.db2.gz PWZCKIWBQBZBMU-UHFFFAOYSA-N 0 0 442.538 -0.845 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(CCO)CCCO)c2)CC1 ZINC000440317526 1072137571 /nfs/dbraw/zinc/13/75/71/1072137571.db2.gz PQPHRGQPVSGOHV-UHFFFAOYSA-N 0 0 442.538 -0.845 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)n1C ZINC000440317661 1072137605 /nfs/dbraw/zinc/13/76/05/1072137605.db2.gz WBGCSJQQFTVKGD-UHFFFAOYSA-N 0 0 444.492 -0.021 20 0 IBADRN O=C(Nc1ncn(Cc2cccc(Br)c2)n1)C(=O)N(CCO)CCCO ZINC000440318155 1073337122 /nfs/dbraw/zinc/33/71/22/1073337122.db2.gz QHXRVZLBZUEXPI-UHFFFAOYSA-N 0 0 426.271 0.231 20 0 IBADRN O=C(C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21)N(CCO)CCCO ZINC000440319219 1072138042 /nfs/dbraw/zinc/13/80/42/1072138042.db2.gz UZOPXNCNYNOMLZ-UHFFFAOYSA-N 0 0 439.534 -0.046 20 0 IBADRN COC(=O)C(C)(C)N(C)CC(=O)N1CCN(C(=O)CN(C)C(C)(C)C(=O)OC)CC1 ZINC000440319321 1072138106 /nfs/dbraw/zinc/13/81/06/1072138106.db2.gz YBKGGIWMESHAIO-UHFFFAOYSA-N 0 0 428.530 -0.576 20 0 IBADRN CC[C@@H](CO)N(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000440320155 1072138010 /nfs/dbraw/zinc/13/80/10/1072138010.db2.gz XENKYQFEPDLYHO-SFHVURJKSA-N 0 0 443.504 0.480 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(CCO)CCCO)cc2)C[C@H](C)O1 ZINC000440321072 1072138539 /nfs/dbraw/zinc/13/85/39/1072138539.db2.gz QFEJYEUBRCHYFY-GASCZTMLSA-N 0 0 443.522 -0.374 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(CCO)CCCO)cc2)C[C@H](C)O1 ZINC000440321073 1072138614 /nfs/dbraw/zinc/13/86/14/1072138614.db2.gz QFEJYEUBRCHYFY-GJZGRUSLSA-N 0 0 443.522 -0.374 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(CCO)CCCO)cc2)C[C@@H](C)O1 ZINC000440321074 1072138609 /nfs/dbraw/zinc/13/86/09/1072138609.db2.gz QFEJYEUBRCHYFY-HUUCEWRRSA-N 0 0 443.522 -0.374 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000440321475 1072138179 /nfs/dbraw/zinc/13/81/79/1072138179.db2.gz VAGHYEOZZOUYNQ-BDXSIMOUSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000440321477 1072138533 /nfs/dbraw/zinc/13/85/33/1072138533.db2.gz VAGHYEOZZOUYNQ-OWSLCNJRSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000440321479 1072138582 /nfs/dbraw/zinc/13/85/82/1072138582.db2.gz VAGHYEOZZOUYNQ-VSZNYVQBSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000440321481 1072138528 /nfs/dbraw/zinc/13/85/28/1072138528.db2.gz VAGHYEOZZOUYNQ-ZJPYXAASSA-N 0 0 428.599 -0.009 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N([C@H](C)CS(C)(=O)=O)C2CC2)cc1 ZINC000440321569 1072138154 /nfs/dbraw/zinc/13/81/54/1072138154.db2.gz AVNDHUWHZADYSD-GFCCVEGCSA-N 0 0 439.490 -0.048 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N([C@@H](C)CS(C)(=O)=O)C2CC2)cc1 ZINC000440321570 1072138089 /nfs/dbraw/zinc/13/80/89/1072138089.db2.gz AVNDHUWHZADYSD-LBPRGKRZSA-N 0 0 439.490 -0.048 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N(C)[C@H]2CCN(c3ccccc3F)C2=O)n1 ZINC000440326795 1072137662 /nfs/dbraw/zinc/13/76/62/1072137662.db2.gz PADMAMPAFUCQTO-AWEZNQCLSA-N 0 0 431.428 -0.292 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N(C)[C@@H]2CCN(c3ccccc3F)C2=O)n1 ZINC000440326804 1072137544 /nfs/dbraw/zinc/13/75/44/1072137544.db2.gz PADMAMPAFUCQTO-CQSZACIVSA-N 0 0 431.428 -0.292 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(c3ccccc3F)C2=O)n1 ZINC000440327062 1072137627 /nfs/dbraw/zinc/13/76/27/1072137627.db2.gz NQUKGQBJKCFADO-HNNXBMFYSA-N 0 0 430.440 0.313 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(c3ccccc3F)C2=O)n1 ZINC000440327064 1072137647 /nfs/dbraw/zinc/13/76/47/1072137647.db2.gz NQUKGQBJKCFADO-OAHLLOKOSA-N 0 0 430.440 0.313 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1CCN(c2ccccc2)C1=O ZINC000440330244 1071516989 /nfs/dbraw/zinc/51/69/89/1071516989.db2.gz XRHOTLXWPKYEOW-FQEVSTJZSA-N 0 0 443.548 -0.273 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000440330245 1071517125 /nfs/dbraw/zinc/51/71/25/1071517125.db2.gz XRHOTLXWPKYEOW-HXUWFJFHSA-N 0 0 443.548 -0.273 20 0 IBADRN COc1ccc(CN(C)C(=O)[C@H](C)N2CCN(CCS(N)(=O)=O)CC2)cc1OC ZINC000440332401 1072138129 /nfs/dbraw/zinc/13/81/29/1072138129.db2.gz AFYDFSFJWQZZBC-HNNXBMFYSA-N 0 0 428.555 -0.043 20 0 IBADRN COc1ccc(CN(C)C(=O)[C@@H](C)N2CCN(CCS(N)(=O)=O)CC2)cc1OC ZINC000440332403 1072138024 /nfs/dbraw/zinc/13/80/24/1072138024.db2.gz AFYDFSFJWQZZBC-OAHLLOKOSA-N 0 0 428.555 -0.043 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)N2CCN(Cc3cccc(Cl)c3)CC2)CC1 ZINC000440332456 1072138121 /nfs/dbraw/zinc/13/81/21/1072138121.db2.gz AMRFEXKWMNECEP-UHFFFAOYSA-N 0 0 444.001 -0.110 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C)[C@H]2CCN(c3ccccc3F)C2=O)cc1C ZINC000440334511 1072138099 /nfs/dbraw/zinc/13/80/99/1072138099.db2.gz UXOOOZXOLBVMKT-HNNXBMFYSA-N 0 0 430.440 0.279 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C)[C@@H]2CCN(c3ccccc3F)C2=O)cc1C ZINC000440334512 1072138172 /nfs/dbraw/zinc/13/81/72/1072138172.db2.gz UXOOOZXOLBVMKT-OAHLLOKOSA-N 0 0 430.440 0.279 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCCO3)CC1 ZINC000440336522 1072138519 /nfs/dbraw/zinc/13/85/19/1072138519.db2.gz GGHQYMDWFSYFCJ-UHFFFAOYSA-N 0 0 441.510 -0.598 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)N3CC[C@H](S(=O)(=O)N4CCCC4)C3)C2)c1=O ZINC000440337318 1072139121 /nfs/dbraw/zinc/13/91/21/1072139121.db2.gz HDDXTOCPTSOMSI-CVEARBPZSA-N 0 0 438.554 -0.041 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)N3CC[C@H](S(=O)(=O)N4CCCC4)C3)C2)c1=O ZINC000440337321 1072139147 /nfs/dbraw/zinc/13/91/47/1072139147.db2.gz HDDXTOCPTSOMSI-HOTGVXAUSA-N 0 0 438.554 -0.041 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)N3CC[C@@H](S(=O)(=O)N4CCCC4)C3)C2)c1=O ZINC000440337324 1072139189 /nfs/dbraw/zinc/13/91/89/1072139189.db2.gz HDDXTOCPTSOMSI-HZPDHXFCSA-N 0 0 438.554 -0.041 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)N3CC[C@@H](S(=O)(=O)N4CCCC4)C3)C2)c1=O ZINC000440337327 1072139175 /nfs/dbraw/zinc/13/91/75/1072139175.db2.gz HDDXTOCPTSOMSI-JKSUJKDBSA-N 0 0 438.554 -0.041 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)NC(=O)NC23CC4CC(CC(C4)C2)C3)CC1 ZINC000440338587 1072139046 /nfs/dbraw/zinc/13/90/46/1072139046.db2.gz GJISYAVUTFFAPB-UHFFFAOYSA-N 0 0 427.571 -0.313 20 0 IBADRN Cc1nn(C)c(=O)c(-c2nc(CN3CCN(CCS(N)(=O)=O)CC3)cs2)c1C ZINC000440339837 1072139562 /nfs/dbraw/zinc/13/95/62/1072139562.db2.gz JZBYHQJIMSAAGO-UHFFFAOYSA-N 0 0 426.568 -0.073 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)N2CCN(c3cccc(Cl)c3)CC2)CC1 ZINC000440340373 1072139661 /nfs/dbraw/zinc/13/96/61/1072139661.db2.gz KRDFXDVBNNFCKR-UHFFFAOYSA-N 0 0 429.974 -0.105 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N([C@H](C)CS(C)(=O)=O)C1CC1)CC2 ZINC000440340574 1072139150 /nfs/dbraw/zinc/13/91/50/1072139150.db2.gz OBOOLRLEJXHDBU-GFCCVEGCSA-N 0 0 443.547 -0.092 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N([C@@H](C)CS(C)(=O)=O)C1CC1)CC2 ZINC000440340575 1072139652 /nfs/dbraw/zinc/13/96/52/1072139652.db2.gz OBOOLRLEJXHDBU-LBPRGKRZSA-N 0 0 443.547 -0.092 20 0 IBADRN CCN(Cc1ccc2c(c1)OCCO2)C(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000440341394 1072139671 /nfs/dbraw/zinc/13/96/71/1072139671.db2.gz MNWCZBJYNLFNSY-UHFFFAOYSA-N 0 0 426.539 -0.288 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)N[C@@H]2CCCN(c3nccn(C)c3=O)C2)C1 ZINC000440343125 1072139656 /nfs/dbraw/zinc/13/96/56/1072139656.db2.gz PALFFWJPBSIKPZ-CABCVRRESA-N 0 0 426.543 -0.139 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)N[C@H]2CCCN(c3nccn(C)c3=O)C2)C1 ZINC000440343131 1072139643 /nfs/dbraw/zinc/13/96/43/1072139643.db2.gz PALFFWJPBSIKPZ-GJZGRUSLSA-N 0 0 426.543 -0.139 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)N[C@@H]2CCCN(c3nccn(C)c3=O)C2)C1 ZINC000440343135 1072139548 /nfs/dbraw/zinc/13/95/48/1072139548.db2.gz PALFFWJPBSIKPZ-HUUCEWRRSA-N 0 0 426.543 -0.139 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)N[C@H]2CCCN(c3nccn(C)c3=O)C2)C1 ZINC000440343139 1072139569 /nfs/dbraw/zinc/13/95/69/1072139569.db2.gz PALFFWJPBSIKPZ-LSDHHAIUSA-N 0 0 426.543 -0.139 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000440344116 1072139612 /nfs/dbraw/zinc/13/96/12/1072139612.db2.gz NPWOZIJQAOFTSS-UHFFFAOYSA-N 0 0 431.584 -0.881 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccc(F)cc2)CC1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000440344671 1072139538 /nfs/dbraw/zinc/13/95/38/1072139538.db2.gz RKGFYSOCXXSZJA-INIZCTEOSA-N 0 0 427.546 -0.231 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccc(F)cc2)CC1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000440344677 1072139667 /nfs/dbraw/zinc/13/96/67/1072139667.db2.gz RKGFYSOCXXSZJA-MRXNPFEDSA-N 0 0 427.546 -0.231 20 0 IBADRN NS(=O)(=O)CCN1CCN(Cc2nnnn2-c2ccccc2Br)CC1 ZINC000440345143 1072138499 /nfs/dbraw/zinc/13/84/99/1072138499.db2.gz VKAVOPKREDVJET-UHFFFAOYSA-N 0 0 430.332 -0.169 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(CCS(N)(=O)=O)CC1)c1ccc2c(c1)OCCO2 ZINC000440348074 1072138551 /nfs/dbraw/zinc/13/85/51/1072138551.db2.gz XEJHXAAAXZJGQL-CABCVRRESA-N 0 0 426.539 -0.070 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(CCS(N)(=O)=O)CC1)c1ccc2c(c1)OCCO2 ZINC000440348076 1072138524 /nfs/dbraw/zinc/13/85/24/1072138524.db2.gz XEJHXAAAXZJGQL-GJZGRUSLSA-N 0 0 426.539 -0.070 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(CCS(N)(=O)=O)CC1)c1ccc2c(c1)OCCO2 ZINC000440348078 1072138631 /nfs/dbraw/zinc/13/86/31/1072138631.db2.gz XEJHXAAAXZJGQL-HUUCEWRRSA-N 0 0 426.539 -0.070 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc2c(c1)OCCO2)N1CCN(CCS(N)(=O)=O)CC1 ZINC000440348080 1072138546 /nfs/dbraw/zinc/13/85/46/1072138546.db2.gz XEJHXAAAXZJGQL-LSDHHAIUSA-N 0 0 426.539 -0.070 20 0 IBADRN C[C@H](C(=O)N(C)C[C@H]1COc2ccccc2O1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000440349270 1072139056 /nfs/dbraw/zinc/13/90/56/1072139056.db2.gz ZBMDMERVVOVRON-CVEARBPZSA-N 0 0 426.539 -0.421 20 0 IBADRN C[C@@H](C(=O)N(C)C[C@H]1COc2ccccc2O1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000440349272 1072139180 /nfs/dbraw/zinc/13/91/80/1072139180.db2.gz ZBMDMERVVOVRON-HOTGVXAUSA-N 0 0 426.539 -0.421 20 0 IBADRN C[C@H](C(=O)N(C)C[C@@H]1COc2ccccc2O1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000440349275 1072139039 /nfs/dbraw/zinc/13/90/39/1072139039.db2.gz ZBMDMERVVOVRON-HZPDHXFCSA-N 0 0 426.539 -0.421 20 0 IBADRN C[C@@H](C(=O)N(C)C[C@@H]1COc2ccccc2O1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000440349279 1072139133 /nfs/dbraw/zinc/13/91/33/1072139133.db2.gz ZBMDMERVVOVRON-JKSUJKDBSA-N 0 0 426.539 -0.421 20 0 IBADRN CCN(C[C@H]1COc2ccccc2O1)C(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000440349508 1072138572 /nfs/dbraw/zinc/13/85/72/1072138572.db2.gz ZSMQZLKPHZZCAR-INIZCTEOSA-N 0 0 426.539 -0.419 20 0 IBADRN CCN(C[C@@H]1COc2ccccc2O1)C(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000440349623 1072138600 /nfs/dbraw/zinc/13/86/00/1072138600.db2.gz ZSMQZLKPHZZCAR-MRXNPFEDSA-N 0 0 426.539 -0.419 20 0 IBADRN CCOCCNC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000440354998 1072139141 /nfs/dbraw/zinc/13/91/41/1072139141.db2.gz FBJCYNXDQPALGH-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN O=C(CN1CCN(Cc2nccn2C(F)F)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000440362869 1072140085 /nfs/dbraw/zinc/14/00/85/1072140085.db2.gz BKHKZMAXFKGREZ-GFCCVEGCSA-N 0 0 434.469 -0.591 20 0 IBADRN O=C(CN1CCN(Cc2nccn2C(F)F)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000440362871 1072140043 /nfs/dbraw/zinc/14/00/43/1072140043.db2.gz BKHKZMAXFKGREZ-LBPRGKRZSA-N 0 0 434.469 -0.591 20 0 IBADRN CN(Cc1ccccc1NC(=O)CN(C)S(C)(=O)=O)C(=O)CN(C)S(C)(=O)=O ZINC000440363709 1072140090 /nfs/dbraw/zinc/14/00/90/1072140090.db2.gz FEKIUJBSGRJUEQ-UHFFFAOYSA-N 0 0 434.540 -0.634 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)C1 ZINC000440363924 1072140095 /nfs/dbraw/zinc/14/00/95/1072140095.db2.gz CNFCNCZHMZSVAK-CYBMUJFWSA-N 0 0 444.535 -0.778 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)C1 ZINC000440363927 1072140133 /nfs/dbraw/zinc/14/01/33/1072140133.db2.gz CNFCNCZHMZSVAK-ZDUSSCGKSA-N 0 0 444.535 -0.778 20 0 IBADRN COC(=O)[C@H]1c2ccccc2CCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000440364995 1072140103 /nfs/dbraw/zinc/14/01/03/1072140103.db2.gz GTOWGOBCXSSFKF-JOCHJYFZSA-N 0 0 444.532 -0.238 20 0 IBADRN COC(=O)[C@@H]1c2ccccc2CCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000440365006 1072140030 /nfs/dbraw/zinc/14/00/30/1072140030.db2.gz GTOWGOBCXSSFKF-QFIPXVFZSA-N 0 0 444.532 -0.238 20 0 IBADRN COc1ccc([C@H](CO)N(C)C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000440368948 1072140592 /nfs/dbraw/zinc/14/05/92/1072140592.db2.gz BHERCFUEUKGKLP-INIZCTEOSA-N 0 0 441.444 -0.229 20 0 IBADRN COc1ccc([C@@H](CO)N(C)C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000440368951 1072140955 /nfs/dbraw/zinc/14/09/55/1072140955.db2.gz BHERCFUEUKGKLP-MRXNPFEDSA-N 0 0 441.444 -0.229 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000440369520 1072140495 /nfs/dbraw/zinc/14/04/95/1072140495.db2.gz DDRPCUWIVZYAJK-CYBMUJFWSA-N 0 0 432.524 -0.537 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000440369521 1072140541 /nfs/dbraw/zinc/14/05/41/1072140541.db2.gz DDRPCUWIVZYAJK-ZDUSSCGKSA-N 0 0 432.524 -0.537 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000440370898 1072140980 /nfs/dbraw/zinc/14/09/80/1072140980.db2.gz JCFZIPYBHHMHCM-AWEZNQCLSA-N 0 0 446.551 -0.017 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000440370899 1072140985 /nfs/dbraw/zinc/14/09/85/1072140985.db2.gz JCFZIPYBHHMHCM-CQSZACIVSA-N 0 0 446.551 -0.017 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000440371051 1072141057 /nfs/dbraw/zinc/14/10/57/1072141057.db2.gz ANPCUJXZBJWPIW-CYBMUJFWSA-N 0 0 433.508 -0.675 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000440371053 1072140964 /nfs/dbraw/zinc/14/09/64/1072140964.db2.gz ANPCUJXZBJWPIW-ZDUSSCGKSA-N 0 0 433.508 -0.675 20 0 IBADRN CCC[C@H]1CN(C(=O)CCN2C(=O)CCC2=O)CCN1C(=O)CCN1C(=O)CCC1=O ZINC000440371390 1072141052 /nfs/dbraw/zinc/14/10/52/1072141052.db2.gz DFUNVBSDCYFDLK-HNNXBMFYSA-N 0 0 434.493 -0.096 20 0 IBADRN CCC[C@@H]1CN(C(=O)CCN2C(=O)CCC2=O)CCN1C(=O)CCN1C(=O)CCC1=O ZINC000440371391 1072141034 /nfs/dbraw/zinc/14/10/34/1072141034.db2.gz DFUNVBSDCYFDLK-OAHLLOKOSA-N 0 0 434.493 -0.096 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1C ZINC000440371704 1072140959 /nfs/dbraw/zinc/14/09/59/1072140959.db2.gz CFUCFJCOOXNUKA-CYBMUJFWSA-N 0 0 432.524 -0.025 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1C ZINC000440371707 1072141019 /nfs/dbraw/zinc/14/10/19/1072141019.db2.gz CFUCFJCOOXNUKA-ZDUSSCGKSA-N 0 0 432.524 -0.025 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000440371880 1072141483 /nfs/dbraw/zinc/14/14/83/1072141483.db2.gz LTZLVSPEVPTRMT-AWEZNQCLSA-N 0 0 430.508 -0.556 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000440371881 1072141510 /nfs/dbraw/zinc/14/15/10/1072141510.db2.gz LTZLVSPEVPTRMT-CQSZACIVSA-N 0 0 430.508 -0.556 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@H]1CC(=O)c1cccs1)c(=O)n2C ZINC000440372110 1072141023 /nfs/dbraw/zinc/14/10/23/1072141023.db2.gz FVIZPJHOZWVNMB-GFCCVEGCSA-N 0 0 431.474 -0.004 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@@H]1CC(=O)c1cccs1)c(=O)n2C ZINC000440372114 1072141489 /nfs/dbraw/zinc/14/14/89/1072141489.db2.gz FVIZPJHOZWVNMB-LBPRGKRZSA-N 0 0 431.474 -0.004 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000440372142 1072141486 /nfs/dbraw/zinc/14/14/86/1072141486.db2.gz DKIHNLMUNWZTCF-GFCCVEGCSA-N 0 0 448.498 -0.416 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000440372145 1072141497 /nfs/dbraw/zinc/14/14/97/1072141497.db2.gz DKIHNLMUNWZTCF-LBPRGKRZSA-N 0 0 448.498 -0.416 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000440372211 1072140972 /nfs/dbraw/zinc/14/09/72/1072140972.db2.gz DQOKNUCCIQDXAZ-GFCCVEGCSA-N 0 0 425.496 -0.398 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000440372214 1072141013 /nfs/dbraw/zinc/14/10/13/1072141013.db2.gz DQOKNUCCIQDXAZ-LBPRGKRZSA-N 0 0 425.496 -0.398 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000440372272 1072141063 /nfs/dbraw/zinc/14/10/63/1072141063.db2.gz GLOOWGVZODGEPZ-GFCCVEGCSA-N 0 0 448.498 -0.516 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000440372427 1072141006 /nfs/dbraw/zinc/14/10/06/1072141006.db2.gz GLOOWGVZODGEPZ-LBPRGKRZSA-N 0 0 448.498 -0.516 20 0 IBADRN COCCN(C(=O)CN1CCCc2c1cccc2S(N)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000440372880 1072141527 /nfs/dbraw/zinc/14/15/27/1072141527.db2.gz GGTHJJUUJSHMSX-AWEZNQCLSA-N 0 0 445.563 -0.251 20 0 IBADRN COCCN(C(=O)CN1CCCc2c1cccc2S(N)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000440372885 1072141531 /nfs/dbraw/zinc/14/15/31/1072141531.db2.gz GGTHJJUUJSHMSX-CQSZACIVSA-N 0 0 445.563 -0.251 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1NS(C)(=O)=O ZINC000440373122 1072141516 /nfs/dbraw/zinc/14/15/16/1072141516.db2.gz PVQJPZWZYNGSSD-LLVKDONJSA-N 0 0 434.496 -0.715 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1NS(C)(=O)=O ZINC000440373123 1072141501 /nfs/dbraw/zinc/14/15/01/1072141501.db2.gz PVQJPZWZYNGSSD-NSHDSACASA-N 0 0 434.496 -0.715 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000440373172 1072141523 /nfs/dbraw/zinc/14/15/23/1072141523.db2.gz QNAWKYGUTXWDMB-CYBMUJFWSA-N 0 0 432.524 -0.407 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000440373173 1072141499 /nfs/dbraw/zinc/14/14/99/1072141499.db2.gz QNAWKYGUTXWDMB-ZDUSSCGKSA-N 0 0 432.524 -0.407 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1C(=O)OC ZINC000440373321 1072141533 /nfs/dbraw/zinc/14/15/33/1072141533.db2.gz HQAHTJABIWAYDM-LLVKDONJSA-N 0 0 427.435 -0.522 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1C(=O)OC ZINC000440373327 1072141508 /nfs/dbraw/zinc/14/15/08/1072141508.db2.gz HQAHTJABIWAYDM-NSHDSACASA-N 0 0 427.435 -0.522 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H]3CS(N)(=O)=O)cc2)CC1 ZINC000440373402 1072141477 /nfs/dbraw/zinc/14/14/77/1072141477.db2.gz IBFHDTZWXCENMN-INIZCTEOSA-N 0 0 437.522 -0.708 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@H]3CS(N)(=O)=O)cc2)CC1 ZINC000440373406 1072141504 /nfs/dbraw/zinc/14/15/04/1072141504.db2.gz IBFHDTZWXCENMN-MRXNPFEDSA-N 0 0 437.522 -0.708 20 0 IBADRN O=C(Cn1c(=O)[nH]c(=O)c2ccccc21)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000440373563 1072141525 /nfs/dbraw/zinc/14/15/25/1072141525.db2.gz RIXZYVXZYBZSSI-UHFFFAOYSA-N 0 0 433.446 -0.236 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cccc1C(=O)N1CCOCC1 ZINC000440373627 1072141494 /nfs/dbraw/zinc/14/14/94/1072141494.db2.gz IJKXXKPVXASKAE-AWEZNQCLSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cccc1C(=O)N1CCOCC1 ZINC000440373629 1072141530 /nfs/dbraw/zinc/14/15/30/1072141530.db2.gz IJKXXKPVXASKAE-CQSZACIVSA-N 0 0 438.506 -0.315 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1 ZINC000440373761 1072141521 /nfs/dbraw/zinc/14/15/21/1072141521.db2.gz IZZOUNGHTFAMEL-CYBMUJFWSA-N 0 0 426.886 0.198 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1 ZINC000440373763 1072141513 /nfs/dbraw/zinc/14/15/13/1072141513.db2.gz IZZOUNGHTFAMEL-ZDUSSCGKSA-N 0 0 426.886 0.198 20 0 IBADRN CN(C)C(=O)CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000440373950 1071516930 /nfs/dbraw/zinc/51/69/30/1071516930.db2.gz SQBRKOIJCOSWMQ-UHFFFAOYSA-N 0 0 431.537 -0.416 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c(OCC(=O)N(C)C)c1 ZINC000440373962 1072141882 /nfs/dbraw/zinc/14/18/82/1072141882.db2.gz SSMWVAGHWMRPMA-CYBMUJFWSA-N 0 0 426.495 -0.320 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1-n1nnnc1C1CC1 ZINC000440373966 1072141848 /nfs/dbraw/zinc/14/18/48/1072141848.db2.gz JNUGAMSACYUAHC-CYBMUJFWSA-N 0 0 449.493 -0.234 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1-n1nnnc1C1CC1 ZINC000440373967 1072141873 /nfs/dbraw/zinc/14/18/73/1072141873.db2.gz JNUGAMSACYUAHC-ZDUSSCGKSA-N 0 0 449.493 -0.234 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c(OCC(=O)N(C)C)c1 ZINC000440374247 1072141859 /nfs/dbraw/zinc/14/18/59/1072141859.db2.gz SSMWVAGHWMRPMA-ZDUSSCGKSA-N 0 0 426.495 -0.320 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1OC ZINC000440374845 1072141892 /nfs/dbraw/zinc/14/18/92/1072141892.db2.gz MLARKOWDYJPGRB-GFCCVEGCSA-N 0 0 448.523 -0.325 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1OC ZINC000440374847 1072141909 /nfs/dbraw/zinc/14/19/09/1072141909.db2.gz MLARKOWDYJPGRB-LBPRGKRZSA-N 0 0 448.523 -0.325 20 0 IBADRN NS(=O)(=O)c1cccc2c1CCCN2CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000440375947 1072141869 /nfs/dbraw/zinc/14/18/69/1072141869.db2.gz FOUIKYDJOYQZOY-LLVKDONJSA-N 0 0 430.508 -0.901 20 0 IBADRN NS(=O)(=O)c1cccc2c1CCCN2CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000440375948 1072141845 /nfs/dbraw/zinc/14/18/45/1072141845.db2.gz FOUIKYDJOYQZOY-NSHDSACASA-N 0 0 430.508 -0.901 20 0 IBADRN COCCN1c2ccc(NC(=O)C(=O)N3CCC[C@H]3CS(N)(=O)=O)cc2CCC1=O ZINC000440375949 1072141829 /nfs/dbraw/zinc/14/18/29/1072141829.db2.gz FPBIDYJSXCICNI-HNNXBMFYSA-N 0 0 438.506 -0.170 20 0 IBADRN COCCN1c2ccc(NC(=O)C(=O)N3CCC[C@@H]3CS(N)(=O)=O)cc2CCC1=O ZINC000440375950 1072141840 /nfs/dbraw/zinc/14/18/40/1072141840.db2.gz FPBIDYJSXCICNI-OAHLLOKOSA-N 0 0 438.506 -0.170 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000440376698 1072141906 /nfs/dbraw/zinc/14/19/06/1072141906.db2.gz BFVWGOJLSJELOR-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000440376700 1072141876 /nfs/dbraw/zinc/14/18/76/1072141876.db2.gz BFVWGOJLSJELOR-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000440377129 1072142342 /nfs/dbraw/zinc/14/23/42/1072142342.db2.gz CFJKAMBPAURIOX-AWEZNQCLSA-N 0 0 446.551 -0.067 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000440377130 1072142333 /nfs/dbraw/zinc/14/23/33/1072142333.db2.gz CFJKAMBPAURIOX-CQSZACIVSA-N 0 0 446.551 -0.067 20 0 IBADRN COc1ccc([C@@H](CO)N(C)C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000440377251 1072142370 /nfs/dbraw/zinc/14/23/70/1072142370.db2.gz SHKHMLJXDZHLQF-GOSISDBHSA-N 0 0 445.476 -0.119 20 0 IBADRN COc1ccc([C@H](CO)N(C)C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000440377255 1072142362 /nfs/dbraw/zinc/14/23/62/1072142362.db2.gz SHKHMLJXDZHLQF-SFHVURJKSA-N 0 0 445.476 -0.119 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c(OC)c(OC)c1 ZINC000440377473 1072141819 /nfs/dbraw/zinc/14/18/19/1072141819.db2.gz CUUXAYHBIHKXNV-LLVKDONJSA-N 0 0 429.451 -0.292 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c(OC)c(OC)c1 ZINC000440377475 1072141825 /nfs/dbraw/zinc/14/18/25/1072141825.db2.gz CUUXAYHBIHKXNV-NSHDSACASA-N 0 0 429.451 -0.292 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000440378181 1072142365 /nfs/dbraw/zinc/14/23/65/1072142365.db2.gz KDBBWMPRCXLEDL-GFCCVEGCSA-N 0 0 448.498 -0.416 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000440378183 1072142338 /nfs/dbraw/zinc/14/23/38/1072142338.db2.gz KDBBWMPRCXLEDL-LBPRGKRZSA-N 0 0 448.498 -0.416 20 0 IBADRN CN1CCc2ccc(S(=O)(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)cc21 ZINC000440378422 1072142329 /nfs/dbraw/zinc/14/23/29/1072142329.db2.gz GIASZFXZDVYQDF-UHFFFAOYSA-N 0 0 444.579 -0.001 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000440378500 1072142378 /nfs/dbraw/zinc/14/23/78/1072142378.db2.gz AEPLIMIOTUOIEU-CYBMUJFWSA-N 0 0 430.508 -0.655 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000440378503 1072142367 /nfs/dbraw/zinc/14/23/67/1072142367.db2.gz AEPLIMIOTUOIEU-ZDUSSCGKSA-N 0 0 430.508 -0.655 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000440378626 1072142354 /nfs/dbraw/zinc/14/23/54/1072142354.db2.gz ANGUAXGVCNLFNE-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000440378628 1072142359 /nfs/dbraw/zinc/14/23/59/1072142359.db2.gz ANGUAXGVCNLFNE-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)ccc1S(=O)(=O)N(C)C ZINC000440379194 1072142565 /nfs/dbraw/zinc/14/25/65/1072142565.db2.gz LXXYPWUJEFUUBI-GFCCVEGCSA-N 0 0 448.523 -0.837 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)ccc1S(=O)(=O)N(C)C ZINC000440379199 1072142579 /nfs/dbraw/zinc/14/25/79/1072142579.db2.gz LXXYPWUJEFUUBI-LBPRGKRZSA-N 0 0 448.523 -0.837 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c2ccccc2O1 ZINC000440379929 1072142662 /nfs/dbraw/zinc/14/26/62/1072142662.db2.gz DSSSDRYMHINOFD-BBRMVZONSA-N 0 0 438.506 -0.414 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c2ccccc2O1 ZINC000440379932 1072142612 /nfs/dbraw/zinc/14/26/12/1072142612.db2.gz DSSSDRYMHINOFD-CJNGLKHVSA-N 0 0 438.506 -0.414 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c2ccccc2O1 ZINC000440379935 1072142641 /nfs/dbraw/zinc/14/26/41/1072142641.db2.gz DSSSDRYMHINOFD-CZUORRHYSA-N 0 0 438.506 -0.414 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c2ccccc2O1 ZINC000440379938 1072142619 /nfs/dbraw/zinc/14/26/19/1072142619.db2.gz DSSSDRYMHINOFD-XJKSGUPXSA-N 0 0 438.506 -0.414 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cccc1S(=O)(=O)NC1CC1 ZINC000440380043 1072142556 /nfs/dbraw/zinc/14/25/56/1072142556.db2.gz YHCUNGXWJDVDPN-CYBMUJFWSA-N 0 0 444.535 -0.346 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cccc1S(=O)(=O)NC1CC1 ZINC000440380045 1072142676 /nfs/dbraw/zinc/14/26/76/1072142676.db2.gz YHCUNGXWJDVDPN-ZDUSSCGKSA-N 0 0 444.535 -0.346 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1Cl ZINC000440380160 1072142667 /nfs/dbraw/zinc/14/26/67/1072142667.db2.gz FRQLVZFNYLZLQB-JTQLQIEISA-N 0 0 438.915 -0.071 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1Cl ZINC000440380162 1072142648 /nfs/dbraw/zinc/14/26/48/1072142648.db2.gz FRQLVZFNYLZLQB-SNVBAGLBSA-N 0 0 438.915 -0.071 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000440380289 1072142710 /nfs/dbraw/zinc/14/27/10/1072142710.db2.gz OLDZMMIGVHWWNB-CYBMUJFWSA-N 0 0 437.478 -0.118 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000440380290 1072142700 /nfs/dbraw/zinc/14/27/00/1072142700.db2.gz OLDZMMIGVHWWNB-ZDUSSCGKSA-N 0 0 437.478 -0.118 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCC[C@H]3CS(N)(=O)=O)c2)CC1 ZINC000440381193 1072142599 /nfs/dbraw/zinc/14/25/99/1072142599.db2.gz HDYLGEKSEUQLIH-KRWDZBQOSA-N 0 0 437.522 -0.427 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCC[C@@H]3CS(N)(=O)=O)c2)CC1 ZINC000440381195 1072142694 /nfs/dbraw/zinc/14/26/94/1072142694.db2.gz HDYLGEKSEUQLIH-QGZVFWFLSA-N 0 0 437.522 -0.427 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000440381853 1072143211 /nfs/dbraw/zinc/14/32/11/1072143211.db2.gz IPSRERAGKJHZLD-UHFFFAOYSA-N 0 0 439.494 -0.999 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000440382371 1072140511 /nfs/dbraw/zinc/14/05/11/1072140511.db2.gz JVEMLDBHLXZWHC-AWEZNQCLSA-N 0 0 444.535 -0.313 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000440382372 1072140583 /nfs/dbraw/zinc/14/05/83/1072140583.db2.gz JVEMLDBHLXZWHC-CQSZACIVSA-N 0 0 444.535 -0.313 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC(C)(C)O ZINC000440382725 1072140605 /nfs/dbraw/zinc/14/06/05/1072140605.db2.gz FZSRLRHKWSNJSD-UHFFFAOYSA-N 0 0 429.495 -0.116 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1Cl ZINC000440382903 1072140517 /nfs/dbraw/zinc/14/05/17/1072140517.db2.gz KPVXDTAPBHYDES-GFCCVEGCSA-N 0 0 446.913 -0.066 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1Cl ZINC000440382905 1072140538 /nfs/dbraw/zinc/14/05/38/1072140538.db2.gz KPVXDTAPBHYDES-LBPRGKRZSA-N 0 0 446.913 -0.066 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000440384378 1072140555 /nfs/dbraw/zinc/14/05/55/1072140555.db2.gz QNFDDBWUTOXZRV-AWEZNQCLSA-N 0 0 430.508 -0.556 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000440384379 1072140561 /nfs/dbraw/zinc/14/05/61/1072140561.db2.gz QNFDDBWUTOXZRV-CQSZACIVSA-N 0 0 430.508 -0.556 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000440384697 1072140552 /nfs/dbraw/zinc/14/05/52/1072140552.db2.gz PVBJYXCFSOFKPZ-AWEZNQCLSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000440384700 1072140565 /nfs/dbraw/zinc/14/05/65/1072140565.db2.gz PVBJYXCFSOFKPZ-CQSZACIVSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1 ZINC000440384950 1072143215 /nfs/dbraw/zinc/14/32/15/1072143215.db2.gz QULQTGRDMKTNLC-HNNXBMFYSA-N 0 0 436.490 -0.056 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1 ZINC000440384951 1072143220 /nfs/dbraw/zinc/14/32/20/1072143220.db2.gz QULQTGRDMKTNLC-OAHLLOKOSA-N 0 0 436.490 -0.056 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000440385108 1072143106 /nfs/dbraw/zinc/14/31/06/1072143106.db2.gz RODPJLKNQGRNET-JTQLQIEISA-N 0 0 436.487 -0.706 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000440385110 1072143234 /nfs/dbraw/zinc/14/32/34/1072143234.db2.gz RODPJLKNQGRNET-SNVBAGLBSA-N 0 0 436.487 -0.706 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N(C)CC(C)(C)O)c1 ZINC000440385270 1072143266 /nfs/dbraw/zinc/14/32/66/1072143266.db2.gz QQZGKLFYHKTIEW-UHFFFAOYSA-N 0 0 442.538 -0.059 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000440387391 1072143605 /nfs/dbraw/zinc/14/36/05/1072143605.db2.gz MKYPNEPYWYBCHZ-GXTWGEPZSA-N 0 0 446.551 -0.019 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000440387394 1072143641 /nfs/dbraw/zinc/14/36/41/1072143641.db2.gz MKYPNEPYWYBCHZ-JSGCOSHPSA-N 0 0 446.551 -0.019 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000440387396 1072143779 /nfs/dbraw/zinc/14/37/79/1072143779.db2.gz MKYPNEPYWYBCHZ-OCCSQVGLSA-N 0 0 446.551 -0.019 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000440387397 1072143648 /nfs/dbraw/zinc/14/36/48/1072143648.db2.gz MKYPNEPYWYBCHZ-TZMCWYRMSA-N 0 0 446.551 -0.019 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC(C)(C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000440387568 1072143614 /nfs/dbraw/zinc/14/36/14/1072143614.db2.gz GVWPVENLLWCFHX-UHFFFAOYSA-N 0 0 429.495 -0.116 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000440387688 1072143654 /nfs/dbraw/zinc/14/36/54/1072143654.db2.gz HLGXDFALEBLTNY-INIZCTEOSA-N 0 0 438.506 -0.694 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000440387690 1072143691 /nfs/dbraw/zinc/14/36/91/1072143691.db2.gz HLGXDFALEBLTNY-MRXNPFEDSA-N 0 0 438.506 -0.694 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000440388262 1072144112 /nfs/dbraw/zinc/14/41/12/1072144112.db2.gz IMSLCQRCVXPXLI-AWEZNQCLSA-N 0 0 446.551 -0.065 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000440388263 1072144227 /nfs/dbraw/zinc/14/42/27/1072144227.db2.gz IMSLCQRCVXPXLI-CQSZACIVSA-N 0 0 446.551 -0.065 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000440388555 1072144198 /nfs/dbraw/zinc/14/41/98/1072144198.db2.gz SMEIAINWKYMRFG-LLVKDONJSA-N 0 0 430.508 -0.773 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000440388561 1072144155 /nfs/dbraw/zinc/14/41/55/1072144155.db2.gz SMEIAINWKYMRFG-NSHDSACASA-N 0 0 430.508 -0.773 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000440388633 1072144262 /nfs/dbraw/zinc/14/42/62/1072144262.db2.gz OZCJNBOGIAVGDZ-CYBMUJFWSA-N 0 0 442.469 -0.484 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000440388636 1072144122 /nfs/dbraw/zinc/14/41/22/1072144122.db2.gz OZCJNBOGIAVGDZ-ZDUSSCGKSA-N 0 0 442.469 -0.484 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000440388791 1072143661 /nfs/dbraw/zinc/14/36/61/1072143661.db2.gz JGIIWRJLOSITNC-CYBMUJFWSA-N 0 0 434.474 -0.089 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000440388793 1072143742 /nfs/dbraw/zinc/14/37/42/1072143742.db2.gz JGIIWRJLOSITNC-ZDUSSCGKSA-N 0 0 434.474 -0.089 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000440388836 1072143702 /nfs/dbraw/zinc/14/37/02/1072143702.db2.gz JLWLZBNITDJRAR-AWEZNQCLSA-N 0 0 440.478 -0.803 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000440388838 1072143732 /nfs/dbraw/zinc/14/37/32/1072143732.db2.gz JLWLZBNITDJRAR-CQSZACIVSA-N 0 0 440.478 -0.803 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000440389784 1072144214 /nfs/dbraw/zinc/14/42/14/1072144214.db2.gz QVMPXJQNBDCJNV-HNNXBMFYSA-N 0 0 438.506 -0.094 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000440389786 1072144270 /nfs/dbraw/zinc/14/42/70/1072144270.db2.gz QVMPXJQNBDCJNV-OAHLLOKOSA-N 0 0 438.506 -0.094 20 0 IBADRN CCn1c(CCC(=O)NCCC(=O)NC(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000440390460 1072143202 /nfs/dbraw/zinc/14/32/02/1072143202.db2.gz WJMZMZNYEHFKLK-UHFFFAOYSA-N 0 0 438.510 -0.060 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1N1CCCS1(=O)=O ZINC000440390601 1072143178 /nfs/dbraw/zinc/14/31/78/1072143178.db2.gz YYEDPZXGVWGZAF-AWEZNQCLSA-N 0 0 444.535 -0.247 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1N1CCCS1(=O)=O ZINC000440390604 1072143195 /nfs/dbraw/zinc/14/31/95/1072143195.db2.gz YYEDPZXGVWGZAF-CQSZACIVSA-N 0 0 444.535 -0.247 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000440391704 1072144524 /nfs/dbraw/zinc/14/45/24/1072144524.db2.gz OPFBFNPNFRWCPP-LLVKDONJSA-N 0 0 448.523 -0.837 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000440391705 1072144676 /nfs/dbraw/zinc/14/46/76/1072144676.db2.gz OPFBFNPNFRWCPP-NSHDSACASA-N 0 0 448.523 -0.837 20 0 IBADRN COc1ccc([C@@H](CO)N(C)C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000440392219 1072144142 /nfs/dbraw/zinc/14/41/42/1072144142.db2.gz MZQHZKQWVGYHTE-GOSISDBHSA-N 0 0 431.493 0.354 20 0 IBADRN COc1ccc([C@H](CO)N(C)C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000440392220 1072144245 /nfs/dbraw/zinc/14/42/45/1072144245.db2.gz MZQHZKQWVGYHTE-SFHVURJKSA-N 0 0 431.493 0.354 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccn(-c2ncccc2C(F)(F)F)n1 ZINC000440392469 1072144510 /nfs/dbraw/zinc/14/45/10/1072144510.db2.gz XWVZOMMXLXJBEP-JTQLQIEISA-N 0 0 446.411 0.504 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccn(-c2ncccc2C(F)(F)F)n1 ZINC000440392470 1072144641 /nfs/dbraw/zinc/14/46/41/1072144641.db2.gz XWVZOMMXLXJBEP-SNVBAGLBSA-N 0 0 446.411 0.504 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000440392938 1072144232 /nfs/dbraw/zinc/14/42/32/1072144232.db2.gz ZTHSCFJWJBLFBX-AWEZNQCLSA-N 0 0 426.499 -0.840 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000440392941 1072144608 /nfs/dbraw/zinc/14/46/08/1072144608.db2.gz ZTHSCFJWJBLFBX-CQSZACIVSA-N 0 0 426.499 -0.840 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000440393142 1072144257 /nfs/dbraw/zinc/14/42/57/1072144257.db2.gz UEJPGSYOWOCLIS-CYBMUJFWSA-N 0 0 432.524 -0.409 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000440393144 1072144236 /nfs/dbraw/zinc/14/42/36/1072144236.db2.gz UEJPGSYOWOCLIS-ZDUSSCGKSA-N 0 0 432.524 -0.409 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@H]3CS(N)(=O)=O)cc21 ZINC000440393313 1072144276 /nfs/dbraw/zinc/14/42/76/1072144276.db2.gz VHZIUYBMRNBHKJ-HNNXBMFYSA-N 0 0 435.506 -0.053 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@@H]3CS(N)(=O)=O)cc21 ZINC000440393315 1072144171 /nfs/dbraw/zinc/14/41/71/1072144171.db2.gz VHZIUYBMRNBHKJ-OAHLLOKOSA-N 0 0 435.506 -0.053 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)ccc1NS(C)(=O)=O ZINC000440393953 1072145100 /nfs/dbraw/zinc/14/51/00/1072145100.db2.gz AXMORQSYSWWMKJ-LLVKDONJSA-N 0 0 434.496 -0.715 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)ccc1NS(C)(=O)=O ZINC000440393954 1072145104 /nfs/dbraw/zinc/14/51/04/1072145104.db2.gz AXMORQSYSWWMKJ-NSHDSACASA-N 0 0 434.496 -0.715 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000440393964 1072144568 /nfs/dbraw/zinc/14/45/68/1072144568.db2.gz AYSYWEGOSXLHPG-AWEZNQCLSA-N 0 0 444.535 -0.346 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000440393965 1072145096 /nfs/dbraw/zinc/14/50/96/1072145096.db2.gz AYSYWEGOSXLHPG-CQSZACIVSA-N 0 0 444.535 -0.346 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)CC(C)(C)O ZINC000440394208 1072145446 /nfs/dbraw/zinc/14/54/46/1072145446.db2.gz ZCHLRKGXDYIJFX-UHFFFAOYSA-N 0 0 442.538 -0.201 20 0 IBADRN COc1ccc([C@H](CO)N(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000440394529 1072144650 /nfs/dbraw/zinc/14/46/50/1072144650.db2.gz VJRILXQYHLYPFB-KRWDZBQOSA-N 0 0 445.476 -0.119 20 0 IBADRN COc1ccc([C@@H](CO)N(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000440394531 1072144554 /nfs/dbraw/zinc/14/45/54/1072144554.db2.gz VJRILXQYHLYPFB-QGZVFWFLSA-N 0 0 445.476 -0.119 20 0 IBADRN CN1CCc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc21 ZINC000440394833 1072144586 /nfs/dbraw/zinc/14/45/86/1072144586.db2.gz ACSYLHNKTIOULD-UHFFFAOYSA-N 0 0 430.552 -0.438 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCS(=O)(=O)NC)cc1 ZINC000440395167 1072145117 /nfs/dbraw/zinc/14/51/17/1072145117.db2.gz AWMFEUIBTWAGSY-UHFFFAOYSA-N 0 0 434.540 -0.888 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1F ZINC000440395898 1072145103 /nfs/dbraw/zinc/14/51/03/1072145103.db2.gz ZMRMKNVXKAHRAM-SECBINFHSA-N 0 0 425.435 -0.414 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1F ZINC000440395899 1072145099 /nfs/dbraw/zinc/14/50/99/1072145099.db2.gz ZMRMKNVXKAHRAM-VIFPVBQESA-N 0 0 425.435 -0.414 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000440396173 1072145106 /nfs/dbraw/zinc/14/51/06/1072145106.db2.gz DALNLPXDSCTTRX-CYBMUJFWSA-N 0 0 446.551 -0.019 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000440396176 1072145122 /nfs/dbraw/zinc/14/51/22/1072145122.db2.gz DALNLPXDSCTTRX-ZDUSSCGKSA-N 0 0 446.551 -0.019 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O)CC2 ZINC000440396224 1072145131 /nfs/dbraw/zinc/14/51/31/1072145131.db2.gz DIYOVRVCBZZDAL-CYBMUJFWSA-N 0 0 444.535 -0.847 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O)CC2 ZINC000440396225 1072145114 /nfs/dbraw/zinc/14/51/14/1072145114.db2.gz DIYOVRVCBZZDAL-ZDUSSCGKSA-N 0 0 444.535 -0.847 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000440397698 1072145112 /nfs/dbraw/zinc/14/51/12/1072145112.db2.gz ITTXZFSNPYCLKY-GFCCVEGCSA-N 0 0 437.457 -0.103 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000440397699 1072145090 /nfs/dbraw/zinc/14/50/90/1072145090.db2.gz ITTXZFSNPYCLKY-LBPRGKRZSA-N 0 0 437.457 -0.103 20 0 IBADRN CN1CCc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc21 ZINC000440397836 1072145110 /nfs/dbraw/zinc/14/51/10/1072145110.db2.gz LQWAQRKBUHGODW-UHFFFAOYSA-N 0 0 443.595 -0.523 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000440400155 1071517803 /nfs/dbraw/zinc/51/78/03/1071517803.db2.gz RPZSYLRVCMVFGH-LLVKDONJSA-N 0 0 434.496 -0.715 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000440400156 1071517770 /nfs/dbraw/zinc/51/77/70/1071517770.db2.gz RPZSYLRVCMVFGH-NSHDSACASA-N 0 0 434.496 -0.715 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000440400571 1072145102 /nfs/dbraw/zinc/14/51/02/1072145102.db2.gz TXUJPMJUSJDSCY-AWEZNQCLSA-N 0 0 447.535 -0.285 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000440400573 1072145120 /nfs/dbraw/zinc/14/51/20/1072145120.db2.gz TXUJPMJUSJDSCY-CQSZACIVSA-N 0 0 447.535 -0.285 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)NC[C@@H]1CCCO1 ZINC000440400959 1072145441 /nfs/dbraw/zinc/14/54/41/1072145441.db2.gz CACBWJSWBITOTK-AWEZNQCLSA-N 0 0 438.506 -0.381 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)NC[C@H]1CCCO1 ZINC000440400964 1072145453 /nfs/dbraw/zinc/14/54/53/1072145453.db2.gz CACBWJSWBITOTK-CQSZACIVSA-N 0 0 438.506 -0.381 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(CCN4CCCS4(=O)=O)CC3)cc2N1 ZINC000440401245 1072145554 /nfs/dbraw/zinc/14/55/54/1072145554.db2.gz DADZKIKYEIUGCM-UHFFFAOYSA-N 0 0 444.535 -0.641 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000440401618 1072145107 /nfs/dbraw/zinc/14/51/07/1072145107.db2.gz WNKYUFJBVRSCCB-JTQLQIEISA-N 0 0 429.451 -0.292 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000440401620 1072145129 /nfs/dbraw/zinc/14/51/29/1072145129.db2.gz WNKYUFJBVRSCCB-SNVBAGLBSA-N 0 0 429.451 -0.292 20 0 IBADRN CC(C)(C)[C@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000440411442 1072145411 /nfs/dbraw/zinc/14/54/11/1072145411.db2.gz DWHHDXAYBSWWQR-AWEZNQCLSA-N 0 0 426.495 -0.731 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000440415283 1072145399 /nfs/dbraw/zinc/14/53/99/1072145399.db2.gz LZOUOHRSLJVTHG-CVEARBPZSA-N 0 0 433.538 -0.528 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000440415284 1072145422 /nfs/dbraw/zinc/14/54/22/1072145422.db2.gz LZOUOHRSLJVTHG-HOTGVXAUSA-N 0 0 433.538 -0.528 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000440415285 1072145416 /nfs/dbraw/zinc/14/54/16/1072145416.db2.gz LZOUOHRSLJVTHG-HZPDHXFCSA-N 0 0 433.538 -0.528 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000440415286 1072145405 /nfs/dbraw/zinc/14/54/05/1072145405.db2.gz LZOUOHRSLJVTHG-JKSUJKDBSA-N 0 0 433.538 -0.528 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(Cc3nnnn3-c3ccccc3)CC2)cn1 ZINC000440415638 1072145566 /nfs/dbraw/zinc/14/55/66/1072145566.db2.gz MSVZQPKFNRMRFH-UHFFFAOYSA-N 0 0 431.526 -0.508 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)=O)CC2)cc(S(C)(=O)=O)c1 ZINC000440422273 1072146044 /nfs/dbraw/zinc/14/60/44/1072146044.db2.gz RRZWTKGNZBMNIB-UHFFFAOYSA-N 0 0 425.463 -0.104 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc(S(C)(=O)=O)c1 ZINC000440423741 1072146094 /nfs/dbraw/zinc/14/60/94/1072146094.db2.gz QPDUDHCJKCOGCF-CYBMUJFWSA-N 0 0 438.462 -0.559 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc(S(C)(=O)=O)c1 ZINC000440423743 1072146085 /nfs/dbraw/zinc/14/60/85/1072146085.db2.gz QPDUDHCJKCOGCF-ZDUSSCGKSA-N 0 0 438.462 -0.559 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCNc2cnccn2)cc(S(C)(=O)=O)c1 ZINC000440433025 1072147438 /nfs/dbraw/zinc/14/74/38/1072147438.db2.gz LNSYYGNEOCSUHA-UHFFFAOYSA-N 0 0 435.462 0.224 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(CCOC)CC2)cc(S(C)(=O)=O)c1 ZINC000440435819 1072147314 /nfs/dbraw/zinc/14/73/14/1072147314.db2.gz SWIJNWKEXDHDGG-UHFFFAOYSA-N 0 0 441.506 -0.004 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCNS(=O)(=O)CC)cc(S(C)(=O)=O)c1 ZINC000440441773 1072147458 /nfs/dbraw/zinc/14/74/58/1072147458.db2.gz ONYXYZZPKJLIKF-UHFFFAOYSA-N 0 0 449.507 -0.739 20 0 IBADRN CO[C@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1(C)C ZINC000440444950 1072147758 /nfs/dbraw/zinc/14/77/58/1072147758.db2.gz KTHGRQFSJJSSAC-CABCVRRESA-N 0 0 438.506 -0.325 20 0 IBADRN CO[C@H]1C[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1(C)C ZINC000440444951 1072147774 /nfs/dbraw/zinc/14/77/74/1072147774.db2.gz KTHGRQFSJJSSAC-GJZGRUSLSA-N 0 0 438.506 -0.325 20 0 IBADRN CO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1(C)C ZINC000440444952 1072147781 /nfs/dbraw/zinc/14/77/81/1072147781.db2.gz KTHGRQFSJJSSAC-HUUCEWRRSA-N 0 0 438.506 -0.325 20 0 IBADRN CO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1(C)C ZINC000440444953 1072147877 /nfs/dbraw/zinc/14/78/77/1072147877.db2.gz KTHGRQFSJJSSAC-LSDHHAIUSA-N 0 0 438.506 -0.325 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCC(=O)N2CCCC2)cc(S(C)(=O)=O)c1 ZINC000440448980 1072148360 /nfs/dbraw/zinc/14/83/60/1072148360.db2.gz WEKLUDUFZBOGMM-UHFFFAOYSA-N 0 0 425.463 -0.056 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc(S(C)(=O)=O)c1 ZINC000440449111 1072148309 /nfs/dbraw/zinc/14/83/09/1072148309.db2.gz YTXMMMQUPCPNLM-UHFFFAOYSA-N 0 0 432.476 -0.538 20 0 IBADRN COC(=O)c1c(C2CC2)csc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000440449420 1072147930 /nfs/dbraw/zinc/14/79/30/1072147930.db2.gz RFOYHWBUUDMYIT-UHFFFAOYSA-N 0 0 447.477 -0.292 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000440449863 1072147745 /nfs/dbraw/zinc/14/77/45/1072147745.db2.gz RVKZEXBQCOQVSC-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc(S(C)(=O)=O)c1 ZINC000440449929 1072149260 /nfs/dbraw/zinc/14/92/60/1072149260.db2.gz HGMPPONTZZENTN-AWEZNQCLSA-N 0 0 442.446 -0.394 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc(S(C)(=O)=O)c1 ZINC000440449936 1072149354 /nfs/dbraw/zinc/14/93/54/1072149354.db2.gz HGMPPONTZZENTN-CQSZACIVSA-N 0 0 442.446 -0.394 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2CC)cc(S(C)(=O)=O)c1 ZINC000440454184 1072154919 /nfs/dbraw/zinc/15/49/19/1072154919.db2.gz GVLVECONAYMWPZ-AWEZNQCLSA-N 0 0 425.463 -0.058 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2CC)cc(S(C)(=O)=O)c1 ZINC000440454186 1072154960 /nfs/dbraw/zinc/15/49/60/1072154960.db2.gz GVLVECONAYMWPZ-CQSZACIVSA-N 0 0 425.463 -0.058 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCNC(=O)C2CC2)cc(S(C)(=O)=O)c1 ZINC000440454340 1072154330 /nfs/dbraw/zinc/15/43/30/1072154330.db2.gz TWLWUESLSYMKJO-UHFFFAOYSA-N 0 0 425.463 -0.152 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCN(C)C2=O)cc(S(C)(=O)=O)c1 ZINC000440460340 1072158671 /nfs/dbraw/zinc/15/86/71/1072158671.db2.gz KQYBLWUHJDPGFN-AWEZNQCLSA-N 0 0 425.463 -0.058 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(C)C2=O)cc(S(C)(=O)=O)c1 ZINC000440460341 1072158690 /nfs/dbraw/zinc/15/86/90/1072158690.db2.gz KQYBLWUHJDPGFN-CQSZACIVSA-N 0 0 425.463 -0.058 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N[C@H]2CNC(=O)C2)c1 ZINC000440465968 1072161928 /nfs/dbraw/zinc/16/19/28/1072161928.db2.gz FANKYEVVSQIWDY-GFCCVEGCSA-N 0 0 425.511 -0.274 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N[C@@H]2CNC(=O)C2)c1 ZINC000440465970 1072161893 /nfs/dbraw/zinc/16/18/93/1072161893.db2.gz FANKYEVVSQIWDY-LBPRGKRZSA-N 0 0 425.511 -0.274 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CNC(=O)C1 ZINC000440466968 1072161924 /nfs/dbraw/zinc/16/19/24/1072161924.db2.gz KYJGXVYENADLJC-GFCCVEGCSA-N 0 0 440.478 -0.951 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CNC(=O)C1 ZINC000440466970 1072161932 /nfs/dbraw/zinc/16/19/32/1072161932.db2.gz KYJGXVYENADLJC-LBPRGKRZSA-N 0 0 440.478 -0.951 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H]2CNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000440467796 1072161895 /nfs/dbraw/zinc/16/18/95/1072161895.db2.gz SCJSQXUIQCGMSZ-CYBMUJFWSA-N 0 0 440.478 -0.951 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H]2CNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000440467800 1072161949 /nfs/dbraw/zinc/16/19/49/1072161949.db2.gz SCJSQXUIQCGMSZ-ZDUSSCGKSA-N 0 0 440.478 -0.951 20 0 IBADRN O=C(CN[C@@]1(CO)CCOc2ccccc21)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000440468946 1071518469 /nfs/dbraw/zinc/51/84/69/1071518469.db2.gz YFVWGNKWZQIOBR-JOCHJYFZSA-N 0 0 432.521 -0.751 20 0 IBADRN O=C(CN[C@]1(CO)CCOc2ccccc21)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000440468949 1071518291 /nfs/dbraw/zinc/51/82/91/1071518291.db2.gz YFVWGNKWZQIOBR-QFIPXVFZSA-N 0 0 432.521 -0.751 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H]1CNC(=O)C1 ZINC000440470355 1072164578 /nfs/dbraw/zinc/16/45/78/1072164578.db2.gz MNUULNZQIZIKRE-LLVKDONJSA-N 0 0 430.870 -0.696 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H]1CNC(=O)C1 ZINC000440470356 1072164581 /nfs/dbraw/zinc/16/45/81/1072164581.db2.gz MNUULNZQIZIKRE-NSHDSACASA-N 0 0 430.870 -0.696 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N[C@H]1CNC(=O)C1 ZINC000440474200 1072168126 /nfs/dbraw/zinc/16/81/26/1072168126.db2.gz AUFNOWILRPEKOR-CYBMUJFWSA-N 0 0 437.522 -0.130 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N[C@@H]1CNC(=O)C1 ZINC000440474202 1072168277 /nfs/dbraw/zinc/16/82/77/1072168277.db2.gz AUFNOWILRPEKOR-ZDUSSCGKSA-N 0 0 437.522 -0.130 20 0 IBADRN CCOC(=O)CN(C(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccccc1 ZINC000440477475 1072169547 /nfs/dbraw/zinc/16/95/47/1072169547.db2.gz LFMKVBJDUJEABM-MSOLQXFVSA-N 0 0 439.534 -0.330 20 0 IBADRN CCOC(=O)CN(C(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccccc1 ZINC000440477477 1072169530 /nfs/dbraw/zinc/16/95/30/1072169530.db2.gz LFMKVBJDUJEABM-QZTJIDSGSA-N 0 0 439.534 -0.330 20 0 IBADRN CCOC(=O)CN(C(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccccc1 ZINC000440477480 1072169481 /nfs/dbraw/zinc/16/94/81/1072169481.db2.gz LFMKVBJDUJEABM-ROUUACIJSA-N 0 0 439.534 -0.330 20 0 IBADRN CCOC(=O)CN(C(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccccc1 ZINC000440477482 1072169595 /nfs/dbraw/zinc/16/95/95/1072169595.db2.gz LFMKVBJDUJEABM-ZWKOTPCHSA-N 0 0 439.534 -0.330 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440480292 1072173006 /nfs/dbraw/zinc/17/30/06/1072173006.db2.gz JNWSTWGZSWBFLA-HNNXBMFYSA-N 0 0 441.444 -0.640 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440480295 1072172854 /nfs/dbraw/zinc/17/28/54/1072172854.db2.gz JNWSTWGZSWBFLA-OAHLLOKOSA-N 0 0 441.444 -0.640 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(Cc3noc(C(C)(C)C)n3)CC2)CC1 ZINC000440483415 1072179247 /nfs/dbraw/zinc/17/92/47/1072179247.db2.gz GEEZZPNAIDOQKN-UHFFFAOYSA-N 0 0 435.573 -0.283 20 0 IBADRN COC1(C)CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000440483736 1072179970 /nfs/dbraw/zinc/17/99/70/1072179970.db2.gz GSMAPYIULPELFJ-CYBMUJFWSA-N 0 0 445.519 -0.662 20 0 IBADRN COC1(C)CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000440483737 1072179909 /nfs/dbraw/zinc/17/99/09/1072179909.db2.gz GSMAPYIULPELFJ-ZDUSSCGKSA-N 0 0 445.519 -0.662 20 0 IBADRN Cc1cnc(N2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)s1 ZINC000440488359 1071517818 /nfs/dbraw/zinc/51/78/18/1071517818.db2.gz BNVBKJIRPBVBGD-UHFFFAOYSA-N 0 0 436.582 -0.423 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)cc1F ZINC000440488557 1072190496 /nfs/dbraw/zinc/19/04/96/1072190496.db2.gz QYZVUDALQYXSMU-NOZJJQNGSA-N 0 0 436.396 -0.633 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)cc1F ZINC000440488559 1072190620 /nfs/dbraw/zinc/19/06/20/1072190620.db2.gz QYZVUDALQYXSMU-RNCFNFMXSA-N 0 0 436.396 -0.633 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)cc1F ZINC000440488560 1072190521 /nfs/dbraw/zinc/19/05/21/1072190521.db2.gz QYZVUDALQYXSMU-TVQRCGJNSA-N 0 0 436.396 -0.633 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)cc1F ZINC000440488561 1072190546 /nfs/dbraw/zinc/19/05/46/1072190546.db2.gz QYZVUDALQYXSMU-ZANVPECISA-N 0 0 436.396 -0.633 20 0 IBADRN Cc1nccnc1N1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000440489258 1072191831 /nfs/dbraw/zinc/19/18/31/1072191831.db2.gz NREAVECECJKLRW-UHFFFAOYSA-N 0 0 436.524 -0.067 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1Cl)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440489657 1072192321 /nfs/dbraw/zinc/19/23/21/1072192321.db2.gz DHUHHPGHCITRIJ-LLVKDONJSA-N 0 0 428.854 -0.260 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1Cl)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440489660 1072192416 /nfs/dbraw/zinc/19/24/16/1072192416.db2.gz DHUHHPGHCITRIJ-NSHDSACASA-N 0 0 428.854 -0.260 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H]3CCC(=O)NC3=O)cc2)CC1 ZINC000440489791 1072192436 /nfs/dbraw/zinc/19/24/36/1072192436.db2.gz OHDLJZIOCSUTTI-INIZCTEOSA-N 0 0 429.477 -0.747 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H]3CCC(=O)NC3=O)cc2)CC1 ZINC000440489793 1072192243 /nfs/dbraw/zinc/19/22/43/1072192243.db2.gz OHDLJZIOCSUTTI-MRXNPFEDSA-N 0 0 429.477 -0.747 20 0 IBADRN CC(C)(C)c1nc(CN2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000440491841 1072194124 /nfs/dbraw/zinc/19/41/24/1072194124.db2.gz IHWWKMVOSNUIPE-CYBMUJFWSA-N 0 0 442.542 -0.502 20 0 IBADRN CC(C)(C)c1nc(CN2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000440491842 1072194332 /nfs/dbraw/zinc/19/43/32/1072194332.db2.gz IHWWKMVOSNUIPE-ZDUSSCGKSA-N 0 0 442.542 -0.502 20 0 IBADRN Cc1cc(C)cc(OC[C@@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000440492765 1072194883 /nfs/dbraw/zinc/19/48/83/1072194883.db2.gz JMUOEQRMXXRUJN-MOPGFXCFSA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1cc(C)cc(OC[C@@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000440492766 1072194917 /nfs/dbraw/zinc/19/49/17/1072194917.db2.gz JMUOEQRMXXRUJN-OALUTQOASA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1cc(C)cc(OC[C@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000440492767 1072194923 /nfs/dbraw/zinc/19/49/23/1072194923.db2.gz JMUOEQRMXXRUJN-RBUKOAKNSA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1cc(C)cc(OC[C@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000440492768 1072194892 /nfs/dbraw/zinc/19/48/92/1072194892.db2.gz JMUOEQRMXXRUJN-RTBURBONSA-N 0 0 439.578 -0.036 20 0 IBADRN C[C@@H](C(=O)N1CCc2ccccc2C1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000440493048 1072196002 /nfs/dbraw/zinc/19/60/02/1072196002.db2.gz VZHJAQAAAZQPEZ-FXAWDEMLSA-N 0 0 448.589 -0.119 20 0 IBADRN C[C@@H](C(=O)N1CCc2ccccc2C1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000440493052 1072196222 /nfs/dbraw/zinc/19/62/22/1072196222.db2.gz VZHJAQAAAZQPEZ-PXNSSMCTSA-N 0 0 448.589 -0.119 20 0 IBADRN C[C@H](C(=O)N1CCc2ccccc2C1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000440493055 1072196168 /nfs/dbraw/zinc/19/61/68/1072196168.db2.gz VZHJAQAAAZQPEZ-XLIONFOSSA-N 0 0 448.589 -0.119 20 0 IBADRN C[C@H](C(=O)N1CCc2ccccc2C1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000440493056 1072196092 /nfs/dbraw/zinc/19/60/92/1072196092.db2.gz VZHJAQAAAZQPEZ-YLJYHZDGSA-N 0 0 448.589 -0.119 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440494115 1072197404 /nfs/dbraw/zinc/19/74/04/1072197404.db2.gz ZPUWXCFFFVMRHL-AWEZNQCLSA-N 0 0 436.490 -0.472 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440494116 1072197257 /nfs/dbraw/zinc/19/72/57/1072197257.db2.gz ZPUWXCFFFVMRHL-CQSZACIVSA-N 0 0 436.490 -0.472 20 0 IBADRN O=C(Nc1ccc2c(ccn2CCN2CCOCC2)c1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440494822 1072196833 /nfs/dbraw/zinc/19/68/33/1072196833.db2.gz NOLRBZJKYWRLEQ-INIZCTEOSA-N 0 0 427.461 -0.167 20 0 IBADRN O=C(Nc1ccc2c(ccn2CCN2CCOCC2)c1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440494823 1072196501 /nfs/dbraw/zinc/19/65/01/1072196501.db2.gz NOLRBZJKYWRLEQ-MRXNPFEDSA-N 0 0 427.461 -0.167 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440495420 1072198583 /nfs/dbraw/zinc/19/85/83/1072198583.db2.gz PEAPFVYDEZGKHX-GFCCVEGCSA-N 0 0 440.478 -0.416 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440495421 1072198513 /nfs/dbraw/zinc/19/85/13/1072198513.db2.gz PEAPFVYDEZGKHX-LBPRGKRZSA-N 0 0 440.478 -0.416 20 0 IBADRN Cc1cccc(OC[C@@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000440496007 1072198846 /nfs/dbraw/zinc/19/88/46/1072198846.db2.gz QSLYHNJPLUQYJH-MSOLQXFVSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1cccc(OC[C@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000440496009 1072198992 /nfs/dbraw/zinc/19/89/92/1072198992.db2.gz QSLYHNJPLUQYJH-QZTJIDSGSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1cccc(OC[C@@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000440496011 1072199098 /nfs/dbraw/zinc/19/90/98/1072199098.db2.gz QSLYHNJPLUQYJH-ROUUACIJSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1cccc(OC[C@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000440496012 1072199742 /nfs/dbraw/zinc/19/97/42/1072199742.db2.gz QSLYHNJPLUQYJH-ZWKOTPCHSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(CCN3CCCS3(=O)=O)CC2)cc1 ZINC000440496161 1072198954 /nfs/dbraw/zinc/19/89/54/1072198954.db2.gz NQAYFOWEQCRCGS-UHFFFAOYSA-N 0 0 430.596 -0.074 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)c1 ZINC000440497915 1072198562 /nfs/dbraw/zinc/19/85/62/1072198562.db2.gz WQYIEBSFDYRCCA-GFCCVEGCSA-N 0 0 440.478 -0.414 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)c1 ZINC000440497916 1072198575 /nfs/dbraw/zinc/19/85/75/1072198575.db2.gz WQYIEBSFDYRCCA-LBPRGKRZSA-N 0 0 440.478 -0.414 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(C)(OC)C1 ZINC000440498677 1072199777 /nfs/dbraw/zinc/19/97/77/1072199777.db2.gz KPKMWXIBPHTDOI-UHFFFAOYSA-N 0 0 427.479 -0.098 20 0 IBADRN O=C(Nc1ncn(Cc2cccc(Br)c2)n1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440498721 1073337066 /nfs/dbraw/zinc/33/70/66/1073337066.db2.gz KSHZPIZAYXCKOI-LLVKDONJSA-N 0 0 435.238 -0.051 20 0 IBADRN O=C(Nc1ncn(Cc2cccc(Br)c2)n1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440498723 1073336956 /nfs/dbraw/zinc/33/69/56/1073336956.db2.gz KSHZPIZAYXCKOI-NSHDSACASA-N 0 0 435.238 -0.051 20 0 IBADRN CN(CCCNC(=O)CN1CCN(Cc2noc(C(C)(C)C)n2)CC1)S(C)(=O)=O ZINC000440500254 1072206658 /nfs/dbraw/zinc/20/66/58/1072206658.db2.gz YYLGBCPHZPICGI-UHFFFAOYSA-N 0 0 430.575 -0.118 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440500273 1072206635 /nfs/dbraw/zinc/20/66/35/1072206635.db2.gz ZEUJMNPSBMLFJY-BBRMVZONSA-N 0 0 434.536 -0.291 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440500274 1072206705 /nfs/dbraw/zinc/20/67/05/1072206705.db2.gz ZEUJMNPSBMLFJY-CJNGLKHVSA-N 0 0 434.536 -0.291 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440500275 1072206698 /nfs/dbraw/zinc/20/66/98/1072206698.db2.gz ZEUJMNPSBMLFJY-CZUORRHYSA-N 0 0 434.536 -0.291 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440500276 1072207014 /nfs/dbraw/zinc/20/70/14/1072207014.db2.gz ZEUJMNPSBMLFJY-XJKSGUPXSA-N 0 0 434.536 -0.291 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCC(=O)NC3=O)cc2)C1 ZINC000440500828 1072205584 /nfs/dbraw/zinc/20/55/84/1072205584.db2.gz ZZYXCZQTBKAYJP-DOMZBBRYSA-N 0 0 436.490 -0.033 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCC(=O)NC3=O)cc2)C1 ZINC000440500831 1072204065 /nfs/dbraw/zinc/20/40/65/1072204065.db2.gz ZZYXCZQTBKAYJP-IUODEOHRSA-N 0 0 436.490 -0.033 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCC(=O)NC3=O)cc2)C1 ZINC000440500834 1072204024 /nfs/dbraw/zinc/20/40/24/1072204024.db2.gz ZZYXCZQTBKAYJP-SWLSCSKDSA-N 0 0 436.490 -0.033 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCC(=O)NC3=O)cc2)C1 ZINC000440500837 1072203971 /nfs/dbraw/zinc/20/39/71/1072203971.db2.gz ZZYXCZQTBKAYJP-WFASDCNBSA-N 0 0 436.490 -0.033 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)c(OC)c1 ZINC000440502088 1072209309 /nfs/dbraw/zinc/20/93/09/1072209309.db2.gz GPYJWBSUGAJGTG-CYBMUJFWSA-N 0 0 445.432 -0.183 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)c(OC)c1 ZINC000440502091 1072209287 /nfs/dbraw/zinc/20/92/87/1072209287.db2.gz GPYJWBSUGAJGTG-ZDUSSCGKSA-N 0 0 445.432 -0.183 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)ccc1S(=O)(=O)N1CCCC1 ZINC000440502798 1072208869 /nfs/dbraw/zinc/20/88/69/1072208869.db2.gz SHYUWGZTQPZBEJ-GFCCVEGCSA-N 0 0 438.462 -0.660 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)ccc1S(=O)(=O)N1CCCC1 ZINC000440502800 1072208878 /nfs/dbraw/zinc/20/88/78/1072208878.db2.gz SHYUWGZTQPZBEJ-LBPRGKRZSA-N 0 0 438.462 -0.660 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CC[C@](C)(NCC(=O)OC(C)(C)C)C2)CC1 ZINC000440502815 1072208698 /nfs/dbraw/zinc/20/86/98/1072208698.db2.gz SJGZHSYTFZIXCM-NRFANRHFSA-N 0 0 425.574 -0.386 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CC[C@@](C)(NCC(=O)OC(C)(C)C)C2)CC1 ZINC000440502819 1072208744 /nfs/dbraw/zinc/20/87/44/1072208744.db2.gz SJGZHSYTFZIXCM-OAQYLSRUSA-N 0 0 425.574 -0.386 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N[C@H]1CCC(=O)NC1=O)Nc1cccnc1 ZINC000440503692 1072210798 /nfs/dbraw/zinc/21/07/98/1072210798.db2.gz JHUBNKRSUGZBOQ-AWEZNQCLSA-N 0 0 425.401 -0.041 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N[C@@H]1CCC(=O)NC1=O)Nc1cccnc1 ZINC000440503695 1072210882 /nfs/dbraw/zinc/21/08/82/1072210882.db2.gz JHUBNKRSUGZBOQ-CQSZACIVSA-N 0 0 425.401 -0.041 20 0 IBADRN Cc1oc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1S(=O)(=O)N(C)C ZINC000440504912 1072210779 /nfs/dbraw/zinc/21/07/79/1072210779.db2.gz NIIVNAATODKLRY-UHFFFAOYSA-N 0 0 428.511 -0.545 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3ccc(CNS(C)(=O)=O)o3)CC2)no1 ZINC000440506421 1073331053 /nfs/dbraw/zinc/33/10/53/1073331053.db2.gz ATVXWWVDSRLIEP-UHFFFAOYSA-N 0 0 425.467 0.022 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440506426 1072215166 /nfs/dbraw/zinc/21/51/66/1072215166.db2.gz AUJNLLBAICZGIP-GFCCVEGCSA-N 0 0 442.881 -0.016 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440506427 1072215105 /nfs/dbraw/zinc/21/51/05/1072215105.db2.gz AUJNLLBAICZGIP-LBPRGKRZSA-N 0 0 442.881 -0.016 20 0 IBADRN COC1(C)CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC000440507005 1072219480 /nfs/dbraw/zinc/21/94/80/1072219480.db2.gz KQOZCQWICPOEIZ-UHFFFAOYSA-N 0 0 440.522 -0.041 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC1=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000440508908 1072221112 /nfs/dbraw/zinc/22/11/12/1072221112.db2.gz VTJBVMLRDNHVTG-BBRMVZONSA-N 0 0 428.445 -0.286 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC1=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000440508911 1072221179 /nfs/dbraw/zinc/22/11/79/1072221179.db2.gz VTJBVMLRDNHVTG-CJNGLKHVSA-N 0 0 428.445 -0.286 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC1=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000440508913 1072221248 /nfs/dbraw/zinc/22/12/48/1072221248.db2.gz VTJBVMLRDNHVTG-CZUORRHYSA-N 0 0 428.445 -0.286 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC1=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000440508916 1072221170 /nfs/dbraw/zinc/22/11/70/1072221170.db2.gz VTJBVMLRDNHVTG-XJKSGUPXSA-N 0 0 428.445 -0.286 20 0 IBADRN CCCS(=O)(=O)c1ccccc1S(=O)(=O)CCN1CC(=O)NC[C@@H]1C(=O)OC ZINC000440508964 1072221200 /nfs/dbraw/zinc/22/12/00/1072221200.db2.gz NRLPGPZZZKOSGS-CYBMUJFWSA-N 0 0 432.520 -0.383 20 0 IBADRN CCCS(=O)(=O)c1ccccc1S(=O)(=O)CCN1CC(=O)NC[C@H]1C(=O)OC ZINC000440508966 1072221160 /nfs/dbraw/zinc/22/11/60/1072221160.db2.gz NRLPGPZZZKOSGS-ZDUSSCGKSA-N 0 0 432.520 -0.383 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC1=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000440510206 1072225157 /nfs/dbraw/zinc/22/51/57/1072225157.db2.gz XOGNLGCRARXSFX-HNNXBMFYSA-N 0 0 448.501 -0.328 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC1=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000440510208 1072225049 /nfs/dbraw/zinc/22/50/49/1072225049.db2.gz XOGNLGCRARXSFX-OAHLLOKOSA-N 0 0 448.501 -0.328 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCCC[C@H]1O ZINC000440511323 1072226428 /nfs/dbraw/zinc/22/64/28/1072226428.db2.gz LOOCWTXUXFHEKH-HZPDHXFCSA-N 0 0 438.506 -0.493 20 0 IBADRN COC(=O)[C@H](c1ccccc1)N(C)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000440512422 1072226297 /nfs/dbraw/zinc/22/62/97/1072226297.db2.gz NGTISZNQYLXEJN-NRFANRHFSA-N 0 0 432.521 -0.165 20 0 IBADRN COC(=O)[C@@H](c1ccccc1)N(C)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000440512424 1072226325 /nfs/dbraw/zinc/22/63/25/1072226325.db2.gz NGTISZNQYLXEJN-OAQYLSRUSA-N 0 0 432.521 -0.165 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440513373 1072226216 /nfs/dbraw/zinc/22/62/16/1072226216.db2.gz MKFLGAISIUYDHZ-LLVKDONJSA-N 0 0 426.451 -0.805 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440513377 1072226370 /nfs/dbraw/zinc/22/63/70/1072226370.db2.gz MKFLGAISIUYDHZ-NSHDSACASA-N 0 0 426.451 -0.805 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(C)(OC)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000440513777 1072226535 /nfs/dbraw/zinc/22/65/35/1072226535.db2.gz MTVABPCGMUZFPL-UHFFFAOYSA-N 0 0 427.479 -0.098 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000440515414 1072226857 /nfs/dbraw/zinc/22/68/57/1072226857.db2.gz DPSCIYWICFUBIF-CYBMUJFWSA-N 0 0 439.581 -0.537 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC[C@H](S(N)(=O)=O)C1 ZINC000440515415 1072226875 /nfs/dbraw/zinc/22/68/75/1072226875.db2.gz DPSCIYWICFUBIF-ZDUSSCGKSA-N 0 0 439.581 -0.537 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000440515853 1072227054 /nfs/dbraw/zinc/22/70/54/1072227054.db2.gz NRIHGTGXYURZHD-LLVKDONJSA-N 0 0 440.478 -0.368 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000440515860 1072226984 /nfs/dbraw/zinc/22/69/84/1072226984.db2.gz NRIHGTGXYURZHD-NSHDSACASA-N 0 0 440.478 -0.368 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)ccc1F ZINC000440516122 1072226925 /nfs/dbraw/zinc/22/69/25/1072226925.db2.gz NVRZDNPUZYMAAN-LLVKDONJSA-N 0 0 428.442 -0.238 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)ccc1F ZINC000440516127 1072227022 /nfs/dbraw/zinc/22/70/22/1072227022.db2.gz NVRZDNPUZYMAAN-NSHDSACASA-N 0 0 428.442 -0.238 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3cc(S(=O)(=O)N(C)C)c(C)o3)CC2)no1 ZINC000440516284 1073331002 /nfs/dbraw/zinc/33/10/02/1073331002.db2.gz QTYPRQNBKKDKAY-UHFFFAOYSA-N 0 0 439.494 0.531 20 0 IBADRN Cc1oc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1S(=O)(=O)N(C)C ZINC000440517135 1072227061 /nfs/dbraw/zinc/22/70/61/1072227061.db2.gz VCYJXQYPVCXDHM-UHFFFAOYSA-N 0 0 428.511 -0.545 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC(C)(OC)C1 ZINC000440517354 1072226889 /nfs/dbraw/zinc/22/68/89/1072226889.db2.gz SLOYCJFRJAJYMB-UHFFFAOYSA-N 0 0 440.522 -0.183 20 0 IBADRN COCCN1CCCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1=O ZINC000440519498 1072227115 /nfs/dbraw/zinc/22/71/15/1072227115.db2.gz ZJZYHMZSNLDVSK-UHFFFAOYSA-N 0 0 447.579 -0.109 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCC(=O)NC3=O)cc2)CC1 ZINC000440525363 1072227509 /nfs/dbraw/zinc/22/75/09/1072227509.db2.gz HOOSDFFKLVNQNX-HNNXBMFYSA-N 0 0 436.490 -0.033 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCC(=O)NC3=O)cc2)CC1 ZINC000440525364 1072227273 /nfs/dbraw/zinc/22/72/73/1072227273.db2.gz HOOSDFFKLVNQNX-OAHLLOKOSA-N 0 0 436.490 -0.033 20 0 IBADRN COC(=O)CN1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440527772 1072227400 /nfs/dbraw/zinc/22/74/00/1072227400.db2.gz CDELRRMPVOGCOO-UHFFFAOYSA-N 0 0 433.552 -0.499 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)cc(OC)c(OC)c1OC ZINC000440528596 1072227333 /nfs/dbraw/zinc/22/73/33/1072227333.db2.gz TYHZNSUGTOHYTJ-SECBINFHSA-N 0 0 437.405 -0.251 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)cc(OC)c(OC)c1OC ZINC000440528602 1072227303 /nfs/dbraw/zinc/22/73/03/1072227303.db2.gz TYHZNSUGTOHYTJ-VIFPVBQESA-N 0 0 437.405 -0.251 20 0 IBADRN COCCC(=O)N1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440529430 1072227369 /nfs/dbraw/zinc/22/73/69/1072227369.db2.gz HFEYNDPKDRYVJI-UHFFFAOYSA-N 0 0 447.579 -0.109 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)c1 ZINC000440529758 1072227416 /nfs/dbraw/zinc/22/74/16/1072227416.db2.gz WYTBXMZVWIUYQE-CABCVRRESA-N 0 0 444.444 -0.286 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)c1 ZINC000440529761 1072227317 /nfs/dbraw/zinc/22/73/17/1072227317.db2.gz WYTBXMZVWIUYQE-GJZGRUSLSA-N 0 0 444.444 -0.286 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC(=O)NC2=O)c1 ZINC000440529764 1072227264 /nfs/dbraw/zinc/22/72/64/1072227264.db2.gz WYTBXMZVWIUYQE-HUUCEWRRSA-N 0 0 444.444 -0.286 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC(=O)NC2=O)c1 ZINC000440529766 1072227498 /nfs/dbraw/zinc/22/74/98/1072227498.db2.gz WYTBXMZVWIUYQE-LSDHHAIUSA-N 0 0 444.444 -0.286 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000440533423 1072227442 /nfs/dbraw/zinc/22/74/42/1072227442.db2.gz QVJGGQAQITYSMC-KRWDZBQOSA-N 0 0 444.535 -0.615 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC[C@]2(C1)NC(=O)NC2=O ZINC000440533428 1072227937 /nfs/dbraw/zinc/22/79/37/1072227937.db2.gz QVJGGQAQITYSMC-QGZVFWFLSA-N 0 0 444.535 -0.615 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCNC(=O)[C@@H]2c2cccs2)CC1)N1CCOCC1 ZINC000440538931 1071518359 /nfs/dbraw/zinc/51/83/59/1071518359.db2.gz YEDYHHVUYAYLNA-IBGZPJMESA-N 0 0 435.550 -0.776 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCNC(=O)[C@H]2c2cccs2)CC1)N1CCOCC1 ZINC000440538934 1071518261 /nfs/dbraw/zinc/51/82/61/1071518261.db2.gz YEDYHHVUYAYLNA-LJQANCHMSA-N 0 0 435.550 -0.776 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(CNS(C)(=O)=O)o2)CC1 ZINC000440541916 1072227973 /nfs/dbraw/zinc/22/79/73/1072227973.db2.gz YQSHJAWBPXFWJU-AWEZNQCLSA-N 0 0 430.527 -0.372 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(CNS(C)(=O)=O)o2)CC1 ZINC000440541917 1072227864 /nfs/dbraw/zinc/22/78/64/1072227864.db2.gz YQSHJAWBPXFWJU-CQSZACIVSA-N 0 0 430.527 -0.372 20 0 IBADRN Cc1oc(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1S(=O)(=O)N(C)C ZINC000440542395 1073352647 /nfs/dbraw/zinc/35/26/47/1073352647.db2.gz YIUSGBIYXZREDS-UHFFFAOYSA-N 0 0 425.467 0.146 20 0 IBADRN CN(C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H](CCO)c1ccccc1 ZINC000440544813 1072227428 /nfs/dbraw/zinc/22/74/28/1072227428.db2.gz IALXRZBVZHSHSH-KRWDZBQOSA-N 0 0 429.477 0.263 20 0 IBADRN CN(C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H](CCO)c1ccccc1 ZINC000440544816 1072227481 /nfs/dbraw/zinc/22/74/81/1072227481.db2.gz IALXRZBVZHSHSH-QGZVFWFLSA-N 0 0 429.477 0.263 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(CNS(C)(=O)=O)o2)CC1 ZINC000440550875 1072228534 /nfs/dbraw/zinc/22/85/34/1072228534.db2.gz BATXNHSVMKWNIW-AWEZNQCLSA-N 0 0 428.511 -0.666 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(CNS(C)(=O)=O)o2)CC1 ZINC000440550877 1072228549 /nfs/dbraw/zinc/22/85/49/1072228549.db2.gz BATXNHSVMKWNIW-CQSZACIVSA-N 0 0 428.511 -0.666 20 0 IBADRN Cc1oc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1S(=O)(=O)N(C)C ZINC000440557194 1072228075 /nfs/dbraw/zinc/22/80/75/1072228075.db2.gz NCXBILYKRCBRIF-AWEZNQCLSA-N 0 0 442.538 -0.157 20 0 IBADRN Cc1oc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1S(=O)(=O)N(C)C ZINC000440557197 1072227958 /nfs/dbraw/zinc/22/79/58/1072227958.db2.gz NCXBILYKRCBRIF-CQSZACIVSA-N 0 0 442.538 -0.157 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCN(c3nc(N)ns3)CC2)c1C ZINC000440560143 1073362584 /nfs/dbraw/zinc/36/25/84/1073362584.db2.gz KJDHWCWYJXJQMT-UHFFFAOYSA-N 0 0 425.540 0.145 20 0 IBADRN Cc1oc(C(=O)NCCS(=O)(=O)N2CCSCC2)cc1S(=O)(=O)N(C)C ZINC000440562098 1072227923 /nfs/dbraw/zinc/22/79/23/1072227923.db2.gz WJPQXOYWILNACJ-UHFFFAOYSA-N 0 0 425.554 -0.053 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)[C@@H](C)C1 ZINC000440563026 1072229150 /nfs/dbraw/zinc/22/91/50/1072229150.db2.gz FKQNVVRQIIPDSS-HNNXBMFYSA-N 0 0 432.477 -0.058 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)[C@H](C)C1 ZINC000440563030 1072229112 /nfs/dbraw/zinc/22/91/12/1072229112.db2.gz FKQNVVRQIIPDSS-OAHLLOKOSA-N 0 0 432.477 -0.058 20 0 IBADRN Cc1oc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1S(=O)(=O)N(C)C ZINC000440563185 1072228952 /nfs/dbraw/zinc/22/89/52/1072228952.db2.gz FWOPBEAYKLWHGY-AWEZNQCLSA-N 0 0 428.511 -0.499 20 0 IBADRN Cc1oc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1S(=O)(=O)N(C)C ZINC000440563187 1072229000 /nfs/dbraw/zinc/22/90/00/1072229000.db2.gz FWOPBEAYKLWHGY-CQSZACIVSA-N 0 0 428.511 -0.499 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)=O)C[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000440564371 1072229058 /nfs/dbraw/zinc/22/90/58/1072229058.db2.gz IXVWOMYGDPPONY-LLVKDONJSA-N 0 0 425.463 -0.106 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)=O)C[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000440564373 1072229082 /nfs/dbraw/zinc/22/90/82/1072229082.db2.gz IXVWOMYGDPPONY-NSHDSACASA-N 0 0 425.463 -0.106 20 0 IBADRN Cc1oc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000440566246 1072229215 /nfs/dbraw/zinc/22/92/15/1072229215.db2.gz QLWNMASIHWRKLI-CHWSQXEVSA-N 0 0 435.524 -0.934 20 0 IBADRN Cc1oc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000440566247 1072229166 /nfs/dbraw/zinc/22/91/66/1072229166.db2.gz QLWNMASIHWRKLI-OLZOCXBDSA-N 0 0 435.524 -0.934 20 0 IBADRN Cc1oc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000440566248 1072229716 /nfs/dbraw/zinc/22/97/16/1072229716.db2.gz QLWNMASIHWRKLI-QWHCGFSZSA-N 0 0 435.524 -0.934 20 0 IBADRN Cc1oc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000440566249 1072229565 /nfs/dbraw/zinc/22/95/65/1072229565.db2.gz QLWNMASIHWRKLI-STQMWFEESA-N 0 0 435.524 -0.934 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)=O)C[C@H]2C)cc1 ZINC000440566758 1072229179 /nfs/dbraw/zinc/22/91/79/1072229179.db2.gz SCLBROLYYLYRIS-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)=O)C[C@@H]2C)cc1 ZINC000440566759 1072229127 /nfs/dbraw/zinc/22/91/27/1072229127.db2.gz SCLBROLYYLYRIS-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc(CNS(C)(=O)=O)o1)C(=O)NCCN1CCOCC1 ZINC000440567152 1072229724 /nfs/dbraw/zinc/22/97/24/1072229724.db2.gz MSSVLIFHENHRTF-PBHICJAKSA-N 0 0 444.554 -0.078 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc(CNS(C)(=O)=O)o1)C(=O)NCCN1CCOCC1 ZINC000440567157 1072229698 /nfs/dbraw/zinc/22/96/98/1072229698.db2.gz MSSVLIFHENHRTF-RHSMWYFYSA-N 0 0 444.554 -0.078 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc(CNS(C)(=O)=O)o1)C(=O)NCCN1CCOCC1 ZINC000440567158 1072229550 /nfs/dbraw/zinc/22/95/50/1072229550.db2.gz MSSVLIFHENHRTF-WMLDXEAASA-N 0 0 444.554 -0.078 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc(CNS(C)(=O)=O)o1)C(=O)NCCN1CCOCC1 ZINC000440567159 1072229734 /nfs/dbraw/zinc/22/97/34/1072229734.db2.gz MSSVLIFHENHRTF-YOEHRIQHSA-N 0 0 444.554 -0.078 20 0 IBADRN Nc1nsc(N2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000440568806 1072229614 /nfs/dbraw/zinc/22/96/14/1072229614.db2.gz LWCHJXKJYYGQCR-UHFFFAOYSA-N 0 0 425.540 -0.751 20 0 IBADRN CS(=O)(=O)NCc1ccc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)o1 ZINC000440569565 1072229575 /nfs/dbraw/zinc/22/95/75/1072229575.db2.gz DJIPGYHPKUUIPD-UHFFFAOYSA-N 0 0 434.474 -0.169 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)[C@@H](C)C1 ZINC000440570255 1072229745 /nfs/dbraw/zinc/22/97/45/1072229745.db2.gz HEMZUQABOHGPBY-INIZCTEOSA-N 0 0 445.520 -0.234 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)[C@H](C)C1 ZINC000440570256 1072229604 /nfs/dbraw/zinc/22/96/04/1072229604.db2.gz HEMZUQABOHGPBY-MRXNPFEDSA-N 0 0 445.520 -0.234 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(c2nc(N)ns2)CC1 ZINC000440574907 1073360541 /nfs/dbraw/zinc/36/05/41/1073360541.db2.gz LYZKLQUHSMNGDO-GFCCVEGCSA-N 0 0 439.567 -0.363 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(c2nc(N)ns2)CC1 ZINC000440574910 1072229672 /nfs/dbraw/zinc/22/96/72/1072229672.db2.gz LYZKLQUHSMNGDO-LBPRGKRZSA-N 0 0 439.567 -0.363 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)[C@@H](C)C1 ZINC000440578173 1072228971 /nfs/dbraw/zinc/22/89/71/1072228971.db2.gz OFHDRFDTSLMUTN-AWEZNQCLSA-N 0 0 438.506 -0.275 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)[C@H](C)C1 ZINC000440578175 1072229158 /nfs/dbraw/zinc/22/91/58/1072229158.db2.gz OFHDRFDTSLMUTN-CQSZACIVSA-N 0 0 438.506 -0.275 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)[C@H](C)C1 ZINC000440578444 1072228475 /nfs/dbraw/zinc/22/84/75/1072228475.db2.gz RODNALZERCKCOV-BEFAXECRSA-N 0 0 444.488 -0.281 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)[C@H](C)C1 ZINC000440578448 1072228389 /nfs/dbraw/zinc/22/83/89/1072228389.db2.gz RODNALZERCKCOV-DNVCBOLYSA-N 0 0 444.488 -0.281 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)[C@@H](C)C1 ZINC000440578451 1072228483 /nfs/dbraw/zinc/22/84/83/1072228483.db2.gz RODNALZERCKCOV-HNAYVOBHSA-N 0 0 444.488 -0.281 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)[C@@H](C)C1 ZINC000440578455 1072228491 /nfs/dbraw/zinc/22/84/91/1072228491.db2.gz RODNALZERCKCOV-KXBFYZLASA-N 0 0 444.488 -0.281 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)[C@H](C)C1 ZINC000440580119 1072229121 /nfs/dbraw/zinc/22/91/21/1072229121.db2.gz PSQCJCCSOLWHIE-ILXRZTDVSA-N 0 0 434.497 -0.463 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)[C@H](C)C1 ZINC000440580123 1072228985 /nfs/dbraw/zinc/22/89/85/1072228985.db2.gz PSQCJCCSOLWHIE-KFWWJZLASA-N 0 0 434.497 -0.463 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)[C@@H](C)C1 ZINC000440580124 1072229067 /nfs/dbraw/zinc/22/90/67/1072229067.db2.gz PSQCJCCSOLWHIE-KKUMJFAQSA-N 0 0 434.497 -0.463 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)[C@@H](C)C1 ZINC000440580126 1072229137 /nfs/dbraw/zinc/22/91/37/1072229137.db2.gz PSQCJCCSOLWHIE-SOUVJXGZSA-N 0 0 434.497 -0.463 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)C1 ZINC000440581220 1072229203 /nfs/dbraw/zinc/22/92/03/1072229203.db2.gz DVMMJDGMZJYVIH-AWEZNQCLSA-N 0 0 438.506 -0.275 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)C1 ZINC000440581222 1072229095 /nfs/dbraw/zinc/22/90/95/1072229095.db2.gz DVMMJDGMZJYVIH-CQSZACIVSA-N 0 0 438.506 -0.275 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(C)=O)C[C@H]1C ZINC000440583696 1072229555 /nfs/dbraw/zinc/22/95/55/1072229555.db2.gz XXVHGLFYZGTFJO-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(C)=O)C[C@@H]1C ZINC000440583698 1072229706 /nfs/dbraw/zinc/22/97/06/1072229706.db2.gz XXVHGLFYZGTFJO-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN Cc1oc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1S(=O)(=O)N(C)C ZINC000440584388 1072230224 /nfs/dbraw/zinc/23/02/24/1072230224.db2.gz ZWKFWIPDECWGAR-UHFFFAOYSA-N 0 0 440.478 -0.728 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)[C@@H](C)C1 ZINC000440585014 1072230148 /nfs/dbraw/zinc/23/01/48/1072230148.db2.gz PWLJLZBJDMCVHQ-HNNXBMFYSA-N 0 0 431.493 -0.025 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)[C@H](C)C1 ZINC000440585015 1072230318 /nfs/dbraw/zinc/23/03/18/1072230318.db2.gz PWLJLZBJDMCVHQ-OAHLLOKOSA-N 0 0 431.493 -0.025 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(C)=O)C[C@H]2C)ccc1S(=O)(=O)N(C)C ZINC000440585630 1072230113 /nfs/dbraw/zinc/23/01/13/1072230113.db2.gz SZVLRUZTWWBMTP-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(C)=O)C[C@@H]2C)ccc1S(=O)(=O)N(C)C ZINC000440585631 1072230235 /nfs/dbraw/zinc/23/02/35/1072230235.db2.gz SZVLRUZTWWBMTP-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN Cc1oc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1S(=O)(=O)N(C)C ZINC000440587921 1072230676 /nfs/dbraw/zinc/23/06/76/1072230676.db2.gz KJAIFUNFCKGWRP-UHFFFAOYSA-N 0 0 426.495 -0.255 20 0 IBADRN CS(=O)(=O)NCc1ccc(C(=O)Nc2ncn(CC(=O)NCc3ccccc3)n2)o1 ZINC000440598379 1072230173 /nfs/dbraw/zinc/23/01/73/1072230173.db2.gz RBMLLGBAUPQNSQ-UHFFFAOYSA-N 0 0 432.462 0.489 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1C(=O)N[C@]2(CCOC2)C1=O ZINC000440599291 1072230265 /nfs/dbraw/zinc/23/02/65/1072230265.db2.gz YBLJKYVJYLYXQR-INIZCTEOSA-N 0 0 431.492 -0.579 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1C(=O)N[C@@]2(CCOC2)C1=O ZINC000440599292 1072230207 /nfs/dbraw/zinc/23/02/07/1072230207.db2.gz YBLJKYVJYLYXQR-MRXNPFEDSA-N 0 0 431.492 -0.579 20 0 IBADRN CNC(=O)CSCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000440604111 1072230978 /nfs/dbraw/zinc/23/09/78/1072230978.db2.gz GKWBOLUHMYTGCN-UHFFFAOYSA-N 0 0 429.520 -0.230 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)Cc3c(F)cccc3F)CC2)CC1 ZINC000440604285 1072230891 /nfs/dbraw/zinc/23/08/91/1072230891.db2.gz HDQKAJPLXWFFAK-UHFFFAOYSA-N 0 0 438.522 -0.096 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC(Oc3ccccn3)CC2)CC1)N1CCOCC1 ZINC000440604736 1071519084 /nfs/dbraw/zinc/51/90/84/1071519084.db2.gz WYLKXEHCXXLOAA-UHFFFAOYSA-N 0 0 431.537 -0.072 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2ccc(CNS(C)(=O)=O)o2)CC1 ZINC000440608860 1072230667 /nfs/dbraw/zinc/23/06/67/1072230667.db2.gz BWZJWQZCGMKIPF-UHFFFAOYSA-N 0 0 437.540 -0.159 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)cn1 ZINC000440620570 1072231513 /nfs/dbraw/zinc/23/15/13/1072231513.db2.gz BEBXZPHFEQMKCA-UHFFFAOYSA-N 0 0 449.505 -0.017 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000440645421 1072232039 /nfs/dbraw/zinc/23/20/39/1072232039.db2.gz FMCBYJMDDRJLFO-KRWDZBQOSA-N 0 0 441.529 -0.339 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000440645423 1072231960 /nfs/dbraw/zinc/23/19/60/1072231960.db2.gz FMCBYJMDDRJLFO-QGZVFWFLSA-N 0 0 441.529 -0.339 20 0 IBADRN Cn1c(NCCNS(=O)(=O)c2ccc(Cl)cc2F)nc2c1c(=O)[nH]c(=O)n2C ZINC000440653555 1073353627 /nfs/dbraw/zinc/35/36/27/1073353627.db2.gz JGSFCBHQUURUNH-UHFFFAOYSA-N 0 0 430.849 0.556 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000440660539 1072233197 /nfs/dbraw/zinc/23/31/97/1072233197.db2.gz APJYGJBJMJRTML-UHFFFAOYSA-N 0 0 442.538 -0.990 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000440662134 1072232541 /nfs/dbraw/zinc/23/25/41/1072232541.db2.gz DYIMFWFHZWKUCO-UHFFFAOYSA-N 0 0 440.298 0.477 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440664044 1072232578 /nfs/dbraw/zinc/23/25/78/1072232578.db2.gz KBVYYMLPIFHBCV-UHFFFAOYSA-N 0 0 447.485 -0.766 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CC(C)(CO)CO)ccc1S(=O)(=O)N1CCCC1 ZINC000440664345 1072232464 /nfs/dbraw/zinc/23/24/64/1072232464.db2.gz KZPGGYMOXWIZCD-UHFFFAOYSA-N 0 0 443.522 -0.133 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCc3ccc(C(N)=O)nc3)cc2)CC1 ZINC000440666967 1072232595 /nfs/dbraw/zinc/23/25/95/1072232595.db2.gz IXFUXKNDOVGMQF-UHFFFAOYSA-N 0 0 445.501 -0.037 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCSC[C@@H]1CO ZINC000440667344 1072232647 /nfs/dbraw/zinc/23/26/47/1072232647.db2.gz PTXTYXLLBUEEDO-AWEZNQCLSA-N 0 0 429.520 -0.418 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCSC[C@H]1CO ZINC000440667348 1072232636 /nfs/dbraw/zinc/23/26/36/1072232636.db2.gz PTXTYXLLBUEEDO-CQSZACIVSA-N 0 0 429.520 -0.418 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCc3ccc(C(N)=O)nc3)c2)CC1 ZINC000440667451 1072232586 /nfs/dbraw/zinc/23/25/86/1072232586.db2.gz IKWWCGNTJAMOQT-UHFFFAOYSA-N 0 0 445.501 -0.037 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCSC[C@@H]1CO ZINC000440667613 1072232651 /nfs/dbraw/zinc/23/26/51/1072232651.db2.gz PYXAKJKYPOZRDO-KRWDZBQOSA-N 0 0 436.534 -0.377 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCSC[C@H]1CO ZINC000440667614 1072232663 /nfs/dbraw/zinc/23/26/63/1072232663.db2.gz PYXAKJKYPOZRDO-QGZVFWFLSA-N 0 0 436.534 -0.377 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCSC[C@H]1CO ZINC000440669303 1072232552 /nfs/dbraw/zinc/23/25/52/1072232552.db2.gz LZLCHJPFUALSFA-CYBMUJFWSA-N 0 0 447.510 -0.279 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCSC[C@@H]1CO ZINC000440669305 1072232642 /nfs/dbraw/zinc/23/26/42/1072232642.db2.gz LZLCHJPFUALSFA-ZDUSSCGKSA-N 0 0 447.510 -0.279 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000440669971 1072232660 /nfs/dbraw/zinc/23/26/60/1072232660.db2.gz FZPHJMXYSLZXMZ-UHFFFAOYSA-N 0 0 446.504 -0.112 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N(C)CC(C)(CO)CO ZINC000440672127 1072232602 /nfs/dbraw/zinc/23/26/02/1072232602.db2.gz XFTICAGHXOAFJT-UHFFFAOYSA-N 0 0 443.522 -0.682 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000440673419 1072232675 /nfs/dbraw/zinc/23/26/75/1072232675.db2.gz FBICJILTMOBEFW-UHFFFAOYSA-N 0 0 436.509 -0.864 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)CC(C)(CO)CO ZINC000440673866 1072232611 /nfs/dbraw/zinc/23/26/11/1072232611.db2.gz OCMNDBMJOSIPQR-UHFFFAOYSA-N 0 0 436.509 -0.529 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H](CO)c2c(F)cccc2F)n1)N1CCOCC1 ZINC000440674666 1072233158 /nfs/dbraw/zinc/23/31/58/1072233158.db2.gz GQYITEXUMQGULO-AWEZNQCLSA-N 0 0 437.403 -0.192 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H](CO)c2c(F)cccc2F)n1)N1CCOCC1 ZINC000440674670 1073322461 /nfs/dbraw/zinc/32/24/61/1073322461.db2.gz GQYITEXUMQGULO-CQSZACIVSA-N 0 0 437.403 -0.192 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCSC[C@H]2CO)cc1 ZINC000440677428 1072234146 /nfs/dbraw/zinc/23/41/46/1072234146.db2.gz KCBYKBVEESKDPJ-GXTWGEPZSA-N 0 0 431.536 -0.125 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCSC[C@@H]2CO)cc1 ZINC000440677431 1072233959 /nfs/dbraw/zinc/23/39/59/1072233959.db2.gz KCBYKBVEESKDPJ-JSGCOSHPSA-N 0 0 431.536 -0.125 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCSC[C@@H]2CO)cc1 ZINC000440677435 1072234064 /nfs/dbraw/zinc/23/40/64/1072234064.db2.gz KCBYKBVEESKDPJ-OCCSQVGLSA-N 0 0 431.536 -0.125 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCSC[C@H]2CO)cc1 ZINC000440677438 1072234082 /nfs/dbraw/zinc/23/40/82/1072234082.db2.gz KCBYKBVEESKDPJ-TZMCWYRMSA-N 0 0 431.536 -0.125 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000440678101 1072234090 /nfs/dbraw/zinc/23/40/90/1072234090.db2.gz LGVVTMWDSSHVPI-UHFFFAOYSA-N 0 0 433.509 -0.472 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CCSC[C@@H]1CO ZINC000440678165 1072233982 /nfs/dbraw/zinc/23/39/82/1072233982.db2.gz UJMCUUMDCKXPCB-AWEZNQCLSA-N 0 0 443.547 -0.195 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CCSC[C@H]1CO ZINC000440678166 1072234153 /nfs/dbraw/zinc/23/41/53/1072234153.db2.gz UJMCUUMDCKXPCB-CQSZACIVSA-N 0 0 443.547 -0.195 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc(S(C)(=O)=O)c1 ZINC000440680276 1072234096 /nfs/dbraw/zinc/23/40/96/1072234096.db2.gz IEDFMAOLUHNRQL-UHFFFAOYSA-N 0 0 430.479 -0.345 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCSC[C@@H]1CO ZINC000440682925 1072232995 /nfs/dbraw/zinc/23/29/95/1072232995.db2.gz QRWCWAQWDZEGNA-AWEZNQCLSA-N 0 0 443.547 -0.109 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCSC[C@H]1CO ZINC000440682929 1072233097 /nfs/dbraw/zinc/23/30/97/1072233097.db2.gz QRWCWAQWDZEGNA-CQSZACIVSA-N 0 0 443.547 -0.109 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCSC[C@@H]1CO ZINC000440683436 1072232980 /nfs/dbraw/zinc/23/29/80/1072232980.db2.gz SDHTUZLUPADXEJ-AWEZNQCLSA-N 0 0 429.520 -0.418 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCSC[C@H]1CO ZINC000440683437 1072233145 /nfs/dbraw/zinc/23/31/45/1072233145.db2.gz SDHTUZLUPADXEJ-CQSZACIVSA-N 0 0 429.520 -0.418 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCSC[C@@H]3CO)cc2)CC1 ZINC000440683451 1072233178 /nfs/dbraw/zinc/23/31/78/1072233178.db2.gz SFIPHFRIUKBIFW-HNNXBMFYSA-N 0 0 442.563 -0.503 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCSC[C@H]3CO)cc2)CC1 ZINC000440683452 1072233082 /nfs/dbraw/zinc/23/30/82/1072233082.db2.gz SFIPHFRIUKBIFW-OAHLLOKOSA-N 0 0 442.563 -0.503 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C)CC(C)(CO)CO ZINC000440683852 1072233021 /nfs/dbraw/zinc/23/30/21/1072233021.db2.gz NZVLFYGTQVRKBU-UHFFFAOYSA-N 0 0 429.495 -0.476 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCSC[C@@H]3CO)cn2)C[C@H](C)O1 ZINC000440684534 1072233032 /nfs/dbraw/zinc/23/30/32/1072233032.db2.gz POWKGHVBJMDJJA-GUTXKFCHSA-N 0 0 425.511 -0.606 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCSC[C@@H]3CO)cn2)C[C@@H](C)O1 ZINC000440684535 1072233138 /nfs/dbraw/zinc/23/31/38/1072233138.db2.gz POWKGHVBJMDJJA-NFAWXSAZSA-N 0 0 425.511 -0.606 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCSC[C@H]3CO)cn2)C[C@@H](C)O1 ZINC000440684536 1072233187 /nfs/dbraw/zinc/23/31/87/1072233187.db2.gz POWKGHVBJMDJJA-UMVBOHGHSA-N 0 0 425.511 -0.606 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCSC[C@H]3CO)cn2)C[C@H](C)O1 ZINC000440684537 1072233090 /nfs/dbraw/zinc/23/30/90/1072233090.db2.gz POWKGHVBJMDJJA-VNHYZAJKSA-N 0 0 425.511 -0.606 20 0 IBADRN O=C(C(=O)N1CCSC[C@H]1CO)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440685771 1072233074 /nfs/dbraw/zinc/23/30/74/1072233074.db2.gz SOIUOHSREVUEOQ-PBHICJAKSA-N 0 0 435.502 -0.424 20 0 IBADRN O=C(C(=O)N1CCSC[C@H]1CO)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440685773 1072233174 /nfs/dbraw/zinc/23/31/74/1072233174.db2.gz SOIUOHSREVUEOQ-RHSMWYFYSA-N 0 0 435.502 -0.424 20 0 IBADRN O=C(C(=O)N1CCSC[C@@H]1CO)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440685775 1072233046 /nfs/dbraw/zinc/23/30/46/1072233046.db2.gz SOIUOHSREVUEOQ-WMLDXEAASA-N 0 0 435.502 -0.424 20 0 IBADRN O=C(C(=O)N1CCSC[C@@H]1CO)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440685777 1072233007 /nfs/dbraw/zinc/23/30/07/1072233007.db2.gz SOIUOHSREVUEOQ-YOEHRIQHSA-N 0 0 435.502 -0.424 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCSC[C@H]1CO ZINC000440686475 1072233055 /nfs/dbraw/zinc/23/30/55/1072233055.db2.gz ZOQKXCSVZHVLHG-GFCCVEGCSA-N 0 0 430.552 -0.122 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCSC[C@@H]1CO ZINC000440686478 1072233130 /nfs/dbraw/zinc/23/31/30/1072233130.db2.gz ZOQKXCSVZHVLHG-LBPRGKRZSA-N 0 0 430.552 -0.122 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCSC[C@@H]1CO ZINC000440687316 1072233618 /nfs/dbraw/zinc/23/36/18/1072233618.db2.gz FMOGKMSQLDYFRW-HNNXBMFYSA-N 0 0 436.534 -0.042 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCSC[C@H]1CO ZINC000440687320 1072233577 /nfs/dbraw/zinc/23/35/77/1072233577.db2.gz FMOGKMSQLDYFRW-OAHLLOKOSA-N 0 0 436.534 -0.042 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc2)CC1 ZINC000440687996 1072233503 /nfs/dbraw/zinc/23/35/03/1072233503.db2.gz ZJYYDKCOPJGEIO-UHFFFAOYSA-N 0 0 434.537 -0.219 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC(C)(CO)CO ZINC000440689284 1072233624 /nfs/dbraw/zinc/23/36/24/1072233624.db2.gz BXIJEPGFLPUPDN-UHFFFAOYSA-N 0 0 443.522 -0.596 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc1S(=O)(=O)NC1CC1 ZINC000440689466 1072233468 /nfs/dbraw/zinc/23/34/68/1072233468.db2.gz BZNIKKSRCAPFOD-UHFFFAOYSA-N 0 0 429.495 -0.476 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCSC[C@@H]3CO)c2)CC1 ZINC000440690258 1072233498 /nfs/dbraw/zinc/23/34/98/1072233498.db2.gz IZAQLDFULWYMGQ-HNNXBMFYSA-N 0 0 442.563 -0.503 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCSC[C@H]3CO)c2)CC1 ZINC000440690262 1072233612 /nfs/dbraw/zinc/23/36/12/1072233612.db2.gz IZAQLDFULWYMGQ-OAHLLOKOSA-N 0 0 442.563 -0.503 20 0 IBADRN CN(CC(=O)NCc1ccccc1)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000440690986 1071519878 /nfs/dbraw/zinc/51/98/78/1071519878.db2.gz GTONSWOCTIUUSK-UHFFFAOYSA-N 0 0 431.537 -0.762 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H](CO)c3c(F)cccc3F)cnc2n(C)c1=O ZINC000440691335 1072233563 /nfs/dbraw/zinc/23/35/63/1072233563.db2.gz KLTDGKHOUBJGHE-CYBMUJFWSA-N 0 0 433.371 -0.301 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H](CO)c3c(F)cccc3F)cnc2n(C)c1=O ZINC000440691336 1072233548 /nfs/dbraw/zinc/23/35/48/1072233548.db2.gz KLTDGKHOUBJGHE-ZDUSSCGKSA-N 0 0 433.371 -0.301 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc1Cl ZINC000440692120 1072233515 /nfs/dbraw/zinc/23/35/15/1072233515.db2.gz JFFGQDPNCFTGCR-UHFFFAOYSA-N 0 0 442.900 -0.454 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000440692131 1072233497 /nfs/dbraw/zinc/23/34/97/1072233497.db2.gz JKWVJMMPUGVTPG-UHFFFAOYSA-N 0 0 442.538 -0.990 20 0 IBADRN COCCN(CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C)CC(=O)N(C)C ZINC000440693534 1072233592 /nfs/dbraw/zinc/23/35/92/1072233592.db2.gz MXLKRUIPEPOLPI-UHFFFAOYSA-N 0 0 435.568 -0.253 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CC(C)(CO)CO ZINC000440693593 1072234131 /nfs/dbraw/zinc/23/41/31/1072234131.db2.gz OQWFXCWYSXFIKG-UHFFFAOYSA-N 0 0 431.511 -0.277 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440695066 1072234496 /nfs/dbraw/zinc/23/44/96/1072234496.db2.gz SZZAICZKKNEJSB-KRWDZBQOSA-N 0 0 435.477 -0.911 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440695067 1072234605 /nfs/dbraw/zinc/23/46/05/1072234605.db2.gz SZZAICZKKNEJSB-QGZVFWFLSA-N 0 0 435.477 -0.911 20 0 IBADRN CN(CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)Cc1nnn(C)n1 ZINC000440695091 1072234585 /nfs/dbraw/zinc/23/45/85/1072234585.db2.gz DZIQJUXFLWQIFC-UHFFFAOYSA-N 0 0 443.917 -0.045 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCNC(=O)N(C)C ZINC000440695687 1072234563 /nfs/dbraw/zinc/23/45/63/1072234563.db2.gz HEYHBXCMZDPRBD-UHFFFAOYSA-N 0 0 434.497 -0.591 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000440695813 1072234575 /nfs/dbraw/zinc/23/45/75/1072234575.db2.gz VUCCBEQUWRLQOB-UHFFFAOYSA-N 0 0 429.495 -0.905 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CC(C)(CO)CO ZINC000440696325 1072234619 /nfs/dbraw/zinc/23/46/19/1072234619.db2.gz WYNVVQFQQLIAJQ-UHFFFAOYSA-N 0 0 430.527 -0.609 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN(S(C)(=O)=O)CC1 ZINC000440697219 1072234513 /nfs/dbraw/zinc/23/45/13/1072234513.db2.gz ZEEYJKIATCOYFY-UHFFFAOYSA-N 0 0 439.581 -0.712 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000440698144 1072234523 /nfs/dbraw/zinc/23/45/23/1072234523.db2.gz XVFHWUUWDRHYMK-UHFFFAOYSA-N 0 0 429.495 -0.905 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc1 ZINC000440698403 1072234569 /nfs/dbraw/zinc/23/45/69/1072234569.db2.gz YMNFQYGFVVSTFH-CYBMUJFWSA-N 0 0 431.511 -0.612 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc1 ZINC000440698404 1072234590 /nfs/dbraw/zinc/23/45/90/1072234590.db2.gz YMNFQYGFVVSTFH-ZDUSSCGKSA-N 0 0 431.511 -0.612 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000440699188 1072234581 /nfs/dbraw/zinc/23/45/81/1072234581.db2.gz XLCAEAFMFWATBN-UHFFFAOYSA-N 0 0 427.461 -0.068 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc1S(=O)(=O)N(C)C ZINC000440700322 1072235097 /nfs/dbraw/zinc/23/50/97/1072235097.db2.gz XBPQCFLYNUWSLI-UHFFFAOYSA-N 0 0 429.499 -0.339 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCNC(=O)N(C)C)ccc1S(=O)(=O)N1CCCC1 ZINC000440700624 1072235188 /nfs/dbraw/zinc/23/51/88/1072235188.db2.gz YAEZERMKCAENEW-UHFFFAOYSA-N 0 0 441.510 -0.194 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000440700862 1072235042 /nfs/dbraw/zinc/23/50/42/1072235042.db2.gz ZDEIGGKVWMQVBT-UHFFFAOYSA-N 0 0 444.492 -0.174 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc2)CC1 ZINC000440700979 1072235123 /nfs/dbraw/zinc/23/51/23/1072235123.db2.gz HQJJERUMDNQILM-UHFFFAOYSA-N 0 0 432.525 -0.281 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCNC(=O)N(C)C ZINC000440701081 1072234595 /nfs/dbraw/zinc/23/45/95/1072234595.db2.gz IVTCKMTYEGKLEN-UHFFFAOYSA-N 0 0 429.499 -0.339 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000440701152 1072235120 /nfs/dbraw/zinc/23/51/20/1072235120.db2.gz JFLHFXMVKBGXMG-UHFFFAOYSA-N 0 0 445.473 -0.828 20 0 IBADRN CN(C)CC(=O)N1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440701650 1072235138 /nfs/dbraw/zinc/23/51/38/1072235138.db2.gz JHINFEPGGNPTFF-UHFFFAOYSA-N 0 0 446.595 -0.584 20 0 IBADRN Cc1cc(NC(=O)CN(C)CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)no1 ZINC000440702231 1073328645 /nfs/dbraw/zinc/32/86/45/1073328645.db2.gz CYXKWUCFPWMVQB-UHFFFAOYSA-N 0 0 444.535 0.578 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000440702497 1073306200 /nfs/dbraw/zinc/30/62/00/1073306200.db2.gz OHWWJVPHFKZPIH-UHFFFAOYSA-N 0 0 438.286 0.415 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCNC(=O)N(C)C ZINC000440702716 1072235146 /nfs/dbraw/zinc/23/51/46/1072235146.db2.gz FSKGCAWGRHHONZ-UHFFFAOYSA-N 0 0 441.510 -0.658 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000440703170 1072235252 /nfs/dbraw/zinc/23/52/52/1072235252.db2.gz HQQYVDCXGFDPLI-UHFFFAOYSA-N 0 0 427.483 -0.967 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cc3nnn(CC(F)(F)F)n3)CC2)C[C@H](C)O1 ZINC000440703518 1072235747 /nfs/dbraw/zinc/23/57/47/1072235747.db2.gz IJRNEDHNLQDALF-RYUDHWBXSA-N 0 0 427.453 -0.293 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nnn(CC(F)(F)F)n3)CC2)C[C@H](C)O1 ZINC000440703519 1072235799 /nfs/dbraw/zinc/23/57/99/1072235799.db2.gz IJRNEDHNLQDALF-TXEJJXNPSA-N 0 0 427.453 -0.293 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nnn(CC(F)(F)F)n3)CC2)C[C@@H](C)O1 ZINC000440703520 1072235678 /nfs/dbraw/zinc/23/56/78/1072235678.db2.gz IJRNEDHNLQDALF-VXGBXAGGSA-N 0 0 427.453 -0.293 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H](CO)c2c(F)cccc2F)cn1)N1CCOCC1 ZINC000440703793 1072235213 /nfs/dbraw/zinc/23/52/13/1072235213.db2.gz NHSZYKQYNMPNAN-HNNXBMFYSA-N 0 0 437.403 -0.192 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H](CO)c2c(F)cccc2F)cn1)N1CCOCC1 ZINC000440703797 1072234973 /nfs/dbraw/zinc/23/49/73/1072234973.db2.gz NHSZYKQYNMPNAN-OAHLLOKOSA-N 0 0 437.403 -0.192 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440703826 1072235240 /nfs/dbraw/zinc/23/52/40/1072235240.db2.gz JDPKKSSAIFGPDF-CYBMUJFWSA-N 0 0 447.535 -0.926 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440703827 1072235049 /nfs/dbraw/zinc/23/50/49/1072235049.db2.gz JDPKKSSAIFGPDF-ZDUSSCGKSA-N 0 0 447.535 -0.926 20 0 IBADRN CN(CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C)CC(=O)N1CCOCC1 ZINC000440704560 1072235671 /nfs/dbraw/zinc/23/56/71/1072235671.db2.gz LYXOXHJWYNWORC-UHFFFAOYSA-N 0 0 433.552 -0.499 20 0 IBADRN Cn1nnc(CN2CCN(S(=O)(=O)c3cc4c(cc3Cl)NC(=O)CO4)CC2)n1 ZINC000440705420 1072235788 /nfs/dbraw/zinc/23/57/88/1072235788.db2.gz OKNTWPREHPQDSF-UHFFFAOYSA-N 0 0 427.874 -0.299 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000440705631 1071519894 /nfs/dbraw/zinc/51/98/94/1071519894.db2.gz PSAQGMVFMHTDSW-MSOLQXFVSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000440705634 1071519825 /nfs/dbraw/zinc/51/98/25/1071519825.db2.gz PSAQGMVFMHTDSW-QZTJIDSGSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000440705635 1071520300 /nfs/dbraw/zinc/52/03/00/1071520300.db2.gz PSAQGMVFMHTDSW-ROUUACIJSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000440705636 1071520532 /nfs/dbraw/zinc/52/05/32/1071520532.db2.gz PSAQGMVFMHTDSW-ZWKOTPCHSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000440706477 1072235645 /nfs/dbraw/zinc/23/56/45/1072235645.db2.gz UFOPCGMCVABQKI-UHFFFAOYSA-N 0 0 427.483 -0.967 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc1 ZINC000440707466 1072235709 /nfs/dbraw/zinc/23/57/09/1072235709.db2.gz YNWGPENGAMBLNI-GFCCVEGCSA-N 0 0 429.499 -0.674 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc1 ZINC000440707469 1072235737 /nfs/dbraw/zinc/23/57/37/1072235737.db2.gz YNWGPENGAMBLNI-LBPRGKRZSA-N 0 0 429.499 -0.674 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)NCCNC(=O)N(C)C ZINC000440707724 1072235782 /nfs/dbraw/zinc/23/57/82/1072235782.db2.gz ZLVCOQVKVSVPFX-UHFFFAOYSA-N 0 0 426.426 -0.175 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc1S(=O)(=O)N(C)C ZINC000440708176 1072235686 /nfs/dbraw/zinc/23/56/86/1072235686.db2.gz XNPAJLYAEIRCBX-UHFFFAOYSA-N 0 0 431.511 -0.277 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000440708287 1072235651 /nfs/dbraw/zinc/23/56/51/1072235651.db2.gz CNGSHUHEWIOPSO-UHFFFAOYSA-N 0 0 425.449 -0.130 20 0 IBADRN CCNC(=O)CN1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440708358 1072235772 /nfs/dbraw/zinc/23/57/72/1072235772.db2.gz YCFALHNGRVPJCB-UHFFFAOYSA-N 0 0 446.595 -0.536 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc1F ZINC000440709269 1072235636 /nfs/dbraw/zinc/23/56/36/1072235636.db2.gz BRGJWUSMVRCTEY-LLVKDONJSA-N 0 0 439.444 -0.167 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc1F ZINC000440709270 1072235726 /nfs/dbraw/zinc/23/57/26/1072235726.db2.gz BRGJWUSMVRCTEY-NSHDSACASA-N 0 0 439.444 -0.167 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000440709363 1072235663 /nfs/dbraw/zinc/23/56/63/1072235663.db2.gz ILZGNUWPSKIJAY-UHFFFAOYSA-N 0 0 428.515 -0.671 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440709629 1072235701 /nfs/dbraw/zinc/23/57/01/1072235701.db2.gz JNSLKDVXASJBCZ-INIZCTEOSA-N 0 0 433.465 -0.973 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440709630 1072235697 /nfs/dbraw/zinc/23/56/97/1072235697.db2.gz JNSLKDVXASJBCZ-MRXNPFEDSA-N 0 0 433.465 -0.973 20 0 IBADRN CCCS(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN(S(N)(=O)=O)CC1 ZINC000440711174 1072236174 /nfs/dbraw/zinc/23/61/74/1072236174.db2.gz NEBCCQANUWUQLM-UHFFFAOYSA-N 0 0 439.581 -0.535 20 0 IBADRN CCN(C(=O)Cn1ccc(=O)n(C)c1=O)c1ccnc(CN2CCN(C(C)=O)CC2)c1 ZINC000440711558 1072236130 /nfs/dbraw/zinc/23/61/30/1072236130.db2.gz NTGGZWZANOKQSH-UHFFFAOYSA-N 0 0 428.493 -0.341 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440712120 1072235719 /nfs/dbraw/zinc/23/57/19/1072235719.db2.gz OXSKDFUVXXASTF-KBPBESRZSA-N 0 0 434.536 -0.027 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440712122 1072236134 /nfs/dbraw/zinc/23/61/34/1072236134.db2.gz OXSKDFUVXXASTF-KGLIPLIRSA-N 0 0 434.536 -0.027 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440712123 1072236210 /nfs/dbraw/zinc/23/62/10/1072236210.db2.gz OXSKDFUVXXASTF-UONOGXRCSA-N 0 0 434.536 -0.027 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000440712124 1072236196 /nfs/dbraw/zinc/23/61/96/1072236196.db2.gz OXSKDFUVXXASTF-ZIAGYGMSSA-N 0 0 434.536 -0.027 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc1S(=O)(=O)NC1CC1 ZINC000440713163 1072236123 /nfs/dbraw/zinc/23/61/23/1072236123.db2.gz NUHPZDWGFGPZLQ-UHFFFAOYSA-N 0 0 427.483 -0.538 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCNC(=O)N(C)C ZINC000440713415 1072236220 /nfs/dbraw/zinc/23/62/20/1072236220.db2.gz SBKFWDXDVYIMOJ-UHFFFAOYSA-N 0 0 439.538 -0.006 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(CC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O)CC2 ZINC000440714874 1072236200 /nfs/dbraw/zinc/23/62/00/1072236200.db2.gz FYIMWGBSNZMDJT-UHFFFAOYSA-N 0 0 449.533 -0.061 20 0 IBADRN COCC(=O)N1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440715136 1072236151 /nfs/dbraw/zinc/23/61/51/1072236151.db2.gz QAIICHFGMMNAFX-UHFFFAOYSA-N 0 0 433.552 -0.499 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCNC(=O)N(C)C ZINC000440715225 1072236215 /nfs/dbraw/zinc/23/62/15/1072236215.db2.gz WEIYYZWXUNOQPW-UHFFFAOYSA-N 0 0 427.483 -0.538 20 0 IBADRN CN(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000440715311 1072236190 /nfs/dbraw/zinc/23/61/90/1072236190.db2.gz RSDFUKZDPREOGA-UHFFFAOYSA-N 0 0 434.497 -0.926 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CC[C@@H](NS(C)(=O)=O)C1 ZINC000440718447 1072236508 /nfs/dbraw/zinc/23/65/08/1072236508.db2.gz XJFXJCQMTLZARY-CYBMUJFWSA-N 0 0 439.581 -0.666 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CC[C@H](NS(C)(=O)=O)C1 ZINC000440718452 1072236758 /nfs/dbraw/zinc/23/67/58/1072236758.db2.gz XJFXJCQMTLZARY-ZDUSSCGKSA-N 0 0 439.581 -0.666 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1-n1nnnc1C ZINC000440743380 1072237229 /nfs/dbraw/zinc/23/72/29/1072237229.db2.gz ACPSEZBUUFDLLD-UHFFFAOYSA-N 0 0 440.468 -0.311 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c1 ZINC000440744873 1072237358 /nfs/dbraw/zinc/23/73/58/1072237358.db2.gz DFQLJPJQFJTWLU-UHFFFAOYSA-N 0 0 435.510 -0.387 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1 ZINC000440745545 1072237311 /nfs/dbraw/zinc/23/73/11/1072237311.db2.gz GMNMUUOVDPXANL-UHFFFAOYSA-N 0 0 429.481 -0.089 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(F)c(-n4nnnc4C)c3)CC2)[nH]1 ZINC000440745556 1072237212 /nfs/dbraw/zinc/23/72/12/1072237212.db2.gz GPKWDMRZCOIIOS-UHFFFAOYSA-N 0 0 428.432 -0.181 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1-n1cnnn1 ZINC000440745819 1072237274 /nfs/dbraw/zinc/23/72/74/1072237274.db2.gz HFSWYJLSRVOENH-UHFFFAOYSA-N 0 0 426.441 -0.620 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CC2)[nH]1 ZINC000440746048 1072237222 /nfs/dbraw/zinc/23/72/22/1072237222.db2.gz IAVPMYYYFREJAV-UHFFFAOYSA-N 0 0 435.510 -0.555 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c1C ZINC000440746801 1072237271 /nfs/dbraw/zinc/23/72/71/1072237271.db2.gz KLQMXGALTKCGRY-UHFFFAOYSA-N 0 0 435.510 -0.387 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)ccc1C ZINC000440749279 1072237913 /nfs/dbraw/zinc/23/79/13/1072237913.db2.gz GOGMEUXVTDLRJO-UHFFFAOYSA-N 0 0 435.510 -0.387 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CC2)[nH]1 ZINC000440749430 1072237304 /nfs/dbraw/zinc/23/73/04/1072237304.db2.gz RGRCORXLUZNLOM-UHFFFAOYSA-N 0 0 425.446 -0.817 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)CC2)[nH]1 ZINC000440749494 1072237259 /nfs/dbraw/zinc/23/72/59/1072237259.db2.gz RIPULKNRFCPAJE-UHFFFAOYSA-N 0 0 447.521 -0.282 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(OCC(=O)N(C)C)c3)CC2)[nH]1 ZINC000440749564 1072237253 /nfs/dbraw/zinc/23/72/53/1072237253.db2.gz HIBKOVKEIHCUMO-UHFFFAOYSA-N 0 0 429.481 -0.137 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(OCC(=O)N(C)C)cc3)CC2)[nH]1 ZINC000440750170 1072237265 /nfs/dbraw/zinc/23/72/65/1072237265.db2.gz ISPYPGBPEFWGIN-UHFFFAOYSA-N 0 0 429.481 -0.137 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)CC2)[nH]1 ZINC000440750243 1072237770 /nfs/dbraw/zinc/23/77/70/1072237770.db2.gz TWGDZGKDMZQZIZ-HNNXBMFYSA-N 0 0 439.476 -0.399 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)CC2)[nH]1 ZINC000440750246 1072237702 /nfs/dbraw/zinc/23/77/02/1072237702.db2.gz TWGDZGKDMZQZIZ-OAHLLOKOSA-N 0 0 439.476 -0.399 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(C(=O)NC4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000440750553 1072237803 /nfs/dbraw/zinc/23/78/03/1072237803.db2.gz UAHUMFQLFCKBSB-UHFFFAOYSA-N 0 0 440.460 -0.019 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(N4CCCS4(=O)=O)c3)CC2)[nH]1 ZINC000440750643 1072237878 /nfs/dbraw/zinc/23/78/78/1072237878.db2.gz KDRAIKPHUKWUMH-UHFFFAOYSA-N 0 0 447.521 -0.064 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccccc3CN3CCNC3=O)CC2)[nH]1 ZINC000440750850 1072237829 /nfs/dbraw/zinc/23/78/29/1072237829.db2.gz AIAKEXFFJUCBPL-UHFFFAOYSA-N 0 0 426.481 -0.079 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1OCC(N)=O ZINC000440750915 1072237928 /nfs/dbraw/zinc/23/79/28/1072237928.db2.gz FQZHERBJFDCJOD-UHFFFAOYSA-N 0 0 431.453 -0.731 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c1 ZINC000440750941 1072237974 /nfs/dbraw/zinc/23/79/74/1072237974.db2.gz FWJARRWYBWPTMS-UHFFFAOYSA-N 0 0 429.481 -0.089 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)CC2)[nH]1 ZINC000440751659 1072237852 /nfs/dbraw/zinc/23/78/52/1072237852.db2.gz XKBRXNUNYHVKIS-UHFFFAOYSA-N 0 0 443.512 -0.349 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(Cc4nnc(C)[nH]4)CC3)ccc21 ZINC000440752301 1072237988 /nfs/dbraw/zinc/23/79/88/1072237988.db2.gz DQOZIWAILYAVPW-UHFFFAOYSA-N 0 0 441.492 -0.068 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(C(=O)N4CCOCC4)c3)CC2)[nH]1 ZINC000440753665 1072237813 /nfs/dbraw/zinc/23/78/13/1072237813.db2.gz IHLUHWQBBZVQOT-UHFFFAOYSA-N 0 0 441.492 -0.132 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(NC(=O)CN(C)C)cc3)CC2)[nH]1 ZINC000440755107 1072237746 /nfs/dbraw/zinc/23/77/46/1072237746.db2.gz CLJPHRPZGJZXTA-UHFFFAOYSA-N 0 0 428.497 -0.104 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(C(=O)N4CCOCC4)cc3)CC2)[nH]1 ZINC000440755846 1072237947 /nfs/dbraw/zinc/23/79/47/1072237947.db2.gz LPRFSYSBBMLMQF-UHFFFAOYSA-N 0 0 441.492 -0.132 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(Cc2nnc(C)[nH]2)CC1 ZINC000440756332 1073346061 /nfs/dbraw/zinc/34/60/61/1073346061.db2.gz FJXJZVDKAQEFKQ-UHFFFAOYSA-N 0 0 427.444 0.424 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCNC(=O)[C@@H]2c2ccccc2)CC1)N1CCOCC1 ZINC000440756905 1070794024 /nfs/dbraw/zinc/79/40/24/1070794024.db2.gz WSVBGZSCKUMZNA-NRFANRHFSA-N 0 0 429.521 -0.838 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCNC(=O)[C@H]2c2ccccc2)CC1)N1CCOCC1 ZINC000440756912 1070794248 /nfs/dbraw/zinc/79/42/48/1070794248.db2.gz WSVBGZSCKUMZNA-OAQYLSRUSA-N 0 0 429.521 -0.838 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(N4CCCS4(=O)=O)cc3)CC2)[nH]1 ZINC000440757024 1072236532 /nfs/dbraw/zinc/23/65/32/1072236532.db2.gz OCLZSMQFHVXTGZ-UHFFFAOYSA-N 0 0 447.521 -0.064 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)CC2)[nH]1 ZINC000440757407 1072236706 /nfs/dbraw/zinc/23/67/06/1072236706.db2.gz ORXHHZHGLATHIF-UHFFFAOYSA-N 0 0 440.464 -0.552 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cc(S(N)(=O)=O)cc(C)c3C)CC2)[nH]1 ZINC000440757667 1072236595 /nfs/dbraw/zinc/23/65/95/1072236595.db2.gz ICNKGZJZXNEDAQ-UHFFFAOYSA-N 0 0 435.510 -0.340 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cn1 ZINC000440757721 1072236616 /nfs/dbraw/zinc/23/66/16/1072236616.db2.gz IQGYKTVWKBEMFE-UHFFFAOYSA-N 0 0 432.485 -0.109 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(C(=O)NC3CCOCC3)cc1)c(=O)n2C ZINC000440757869 1072236675 /nfs/dbraw/zinc/23/66/75/1072236675.db2.gz PVKWOBCDKCGGQN-UHFFFAOYSA-N 0 0 440.460 -0.019 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)CC2)[nH]1 ZINC000440758252 1072236567 /nfs/dbraw/zinc/23/65/67/1072236567.db2.gz JNFZFHLZJBUBQG-UHFFFAOYSA-N 0 0 447.521 -0.163 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(Cc2nnc(C)[nH]2)CC1 ZINC000440758272 1072236686 /nfs/dbraw/zinc/23/66/86/1072236686.db2.gz JQCXWNCSXBWFMR-UHFFFAOYSA-N 0 0 441.492 -0.068 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(N4CCOCC4)nc3C)CC2)[nH]1 ZINC000440758605 1072236802 /nfs/dbraw/zinc/23/68/02/1072236802.db2.gz RKLRJDLRAZZGFD-UHFFFAOYSA-N 0 0 428.497 -0.064 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cc(Br)c(=O)n(C)c3)CC2)[nH]1 ZINC000440759706 1072237282 /nfs/dbraw/zinc/23/72/82/1072237282.db2.gz LCVZMKXHCLUNJK-UHFFFAOYSA-N 0 0 438.286 -0.143 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1S(N)(=O)=O ZINC000440759974 1072239044 /nfs/dbraw/zinc/23/90/44/1072239044.db2.gz LSQIJZUHPGTSNM-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(CC(=O)Nc2ccccc2OC)CC1 ZINC000440760270 1072239095 /nfs/dbraw/zinc/23/90/95/1072239095.db2.gz CYWHUORVSWOWMY-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(Cc3cccc(O)c3)CC2)CC1)N1CCOCC1 ZINC000440760485 1070799936 /nfs/dbraw/zinc/79/99/36/1070799936.db2.gz WALLJNVPIJLCNV-UHFFFAOYSA-N 0 0 445.564 -0.487 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCc2nn(C)c(=O)cc2C1 ZINC000440760524 1072239009 /nfs/dbraw/zinc/23/90/09/1072239009.db2.gz FCNVCKTZCZAKNV-UHFFFAOYSA-N 0 0 440.547 -0.137 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)CC2)[nH]1 ZINC000440760600 1072238983 /nfs/dbraw/zinc/23/89/83/1072238983.db2.gz NCGVBFNTERINIP-UHFFFAOYSA-N 0 0 425.453 -0.720 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c2ccccc2O1 ZINC000440760984 1072238951 /nfs/dbraw/zinc/23/89/51/1072238951.db2.gz ZUWDCSNEUCGOPX-INIZCTEOSA-N 0 0 427.465 -0.702 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c2ccccc2O1 ZINC000440760987 1072238896 /nfs/dbraw/zinc/23/88/96/1072238896.db2.gz ZUWDCSNEUCGOPX-MRXNPFEDSA-N 0 0 427.465 -0.702 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440761733 1072239018 /nfs/dbraw/zinc/23/90/18/1072239018.db2.gz YALXEPVLJDBUPD-UHFFFAOYSA-N 0 0 430.421 -0.554 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CC[C@@H](S(N)(=O)=O)C1 ZINC000440761758 1072239089 /nfs/dbraw/zinc/23/90/89/1072239089.db2.gz GYGHOOGPGXCTHU-GFCCVEGCSA-N 0 0 425.554 -0.927 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CC[C@H](S(N)(=O)=O)C1 ZINC000440761760 1072238849 /nfs/dbraw/zinc/23/88/49/1072238849.db2.gz GYGHOOGPGXCTHU-LBPRGKRZSA-N 0 0 425.554 -0.927 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)CC2)[nH]1 ZINC000440762246 1072238831 /nfs/dbraw/zinc/23/88/31/1072238831.db2.gz YXLXCYAYBACLLN-UHFFFAOYSA-N 0 0 435.510 -0.354 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1 ZINC000440763118 1072238880 /nfs/dbraw/zinc/23/88/80/1072238880.db2.gz QUXFAWGKLZBAGF-UHFFFAOYSA-N 0 0 443.464 -0.701 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cc(Br)cn(C)c3=O)CC2)[nH]1 ZINC000440763217 1072239071 /nfs/dbraw/zinc/23/90/71/1072239071.db2.gz QWSCIJLLPNEDAA-UHFFFAOYSA-N 0 0 438.286 -0.143 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1 ZINC000440763318 1072239468 /nfs/dbraw/zinc/23/94/68/1072239468.db2.gz SAYPRYFLSORAOB-UHFFFAOYSA-N 0 0 429.481 -0.089 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(CCS(C)(=O)=O)cc3)CC2)[nH]1 ZINC000440764340 1072239531 /nfs/dbraw/zinc/23/95/31/1072239531.db2.gz UOSCTIDVUMWYDI-UHFFFAOYSA-N 0 0 434.522 -0.017 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)CC1 ZINC000440764575 1072239626 /nfs/dbraw/zinc/23/96/26/1072239626.db2.gz MHKWUOHLBIPYGP-UHFFFAOYSA-N 0 0 427.465 -0.371 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(Cc3nnc(C)[nH]3)CC1)CC2 ZINC000440764917 1072239554 /nfs/dbraw/zinc/23/95/54/1072239554.db2.gz VUFSPNWYHZBXGU-UHFFFAOYSA-N 0 0 447.521 -0.745 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)ccc1Cl ZINC000440765694 1072239599 /nfs/dbraw/zinc/23/95/99/1072239599.db2.gz PHTXFFCEGTZHTJ-UHFFFAOYSA-N 0 0 428.858 -0.132 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(C)c(S(=O)(=O)N(C)C)c3)CC2)[nH]1 ZINC000440765941 1072239675 /nfs/dbraw/zinc/23/96/75/1072239675.db2.gz XFVIRTFAZXPBTH-UHFFFAOYSA-N 0 0 449.537 -0.045 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c1=O ZINC000440766153 1072239725 /nfs/dbraw/zinc/23/97/25/1072239725.db2.gz XUPQXBKEJYMEIO-UHFFFAOYSA-N 0 0 431.453 -0.879 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccccc3C(=O)N3CCOCC3)CC2)[nH]1 ZINC000440766200 1072239715 /nfs/dbraw/zinc/23/97/15/1072239715.db2.gz XWUIFOOQTWJNGO-UHFFFAOYSA-N 0 0 441.492 -0.132 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)N=NC4C3)cc2)CC1 ZINC000440766557 1072239509 /nfs/dbraw/zinc/23/95/09/1072239509.db2.gz QNDMDDMYKMAARZ-UHFFFAOYSA-N 0 0 427.465 -0.371 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1C(=O)OC ZINC000440766642 1072239708 /nfs/dbraw/zinc/23/97/08/1072239708.db2.gz YLWPXTVCGGHOHF-UHFFFAOYSA-N 0 0 444.448 -0.031 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccccc3S(=O)(=O)N(C)C)CC2)[nH]1 ZINC000440766726 1072239572 /nfs/dbraw/zinc/23/95/72/1072239572.db2.gz ZCEYVUHESFZMJB-UHFFFAOYSA-N 0 0 435.510 -0.354 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccccc3N(C)S(C)(=O)=O)CC2)[nH]1 ZINC000440766758 1072239652 /nfs/dbraw/zinc/23/96/52/1072239652.db2.gz ZISRXROROBIUJM-UHFFFAOYSA-N 0 0 435.510 -0.208 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN(C)C[C@H](O)CN1CCOCC1 ZINC000440768040 1072237902 /nfs/dbraw/zinc/23/79/02/1072237902.db2.gz XBIKXEIOFQEZFO-INIZCTEOSA-N 0 0 449.595 -0.665 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN(C)C[C@@H](O)CN1CCOCC1 ZINC000440768044 1072237730 /nfs/dbraw/zinc/23/77/30/1072237730.db2.gz XBIKXEIOFQEZFO-MRXNPFEDSA-N 0 0 449.595 -0.665 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440768976 1072237738 /nfs/dbraw/zinc/23/77/38/1072237738.db2.gz AVJFEHOUXVQRIV-UHFFFAOYSA-N 0 0 436.450 -0.586 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C ZINC000440769459 1072238403 /nfs/dbraw/zinc/23/84/03/1072238403.db2.gz GYIWHUAOJKYYSD-UHFFFAOYSA-N 0 0 435.514 -0.348 20 0 IBADRN O=C(Nc1cccc(Cn2nc3ccccn3c2=O)c1)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440770045 1072238008 /nfs/dbraw/zinc/23/80/08/1072238008.db2.gz HEWDSFOMCUEAEY-UHFFFAOYSA-N 0 0 434.416 -0.178 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N(C)[C@H]2CCCN(c3ccccc3)C2=O)n1 ZINC000440770435 1072238417 /nfs/dbraw/zinc/23/84/17/1072238417.db2.gz JEAWXGBNWONXBE-INIZCTEOSA-N 0 0 426.477 0.564 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N(C)[C@@H]2CCCN(c3ccccc3)C2=O)n1 ZINC000440770436 1072238309 /nfs/dbraw/zinc/23/83/09/1072238309.db2.gz JEAWXGBNWONXBE-MRXNPFEDSA-N 0 0 426.477 0.564 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)N=NC4C3)cc2)CC1 ZINC000440771076 1072238344 /nfs/dbraw/zinc/23/83/44/1072238344.db2.gz AZFYTGXMQKINSV-UHFFFAOYSA-N 0 0 427.465 -0.719 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cn1 ZINC000440772022 1072238557 /nfs/dbraw/zinc/23/85/57/1072238557.db2.gz FPBAJTGXUXHSAZ-UHFFFAOYSA-N 0 0 431.434 -0.446 20 0 IBADRN O=C(Nc1ncn(Cc2cccc(Br)c2)n1)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440773108 1072238520 /nfs/dbraw/zinc/23/85/20/1072238520.db2.gz SMFRNYSGUSKNQR-UHFFFAOYSA-N 0 0 447.253 0.367 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N(C)[C@H]2CCCN(c3ccccc3)C2=O)n1 ZINC000440774012 1072238319 /nfs/dbraw/zinc/23/83/19/1072238319.db2.gz LBXFTWFEKJVXRL-HNNXBMFYSA-N 0 0 427.465 -0.041 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N(C)[C@@H]2CCCN(c3ccccc3)C2=O)n1 ZINC000440774013 1072238368 /nfs/dbraw/zinc/23/83/68/1072238368.db2.gz LBXFTWFEKJVXRL-OAHLLOKOSA-N 0 0 427.465 -0.041 20 0 IBADRN OC1CN(Cc2nnn(CC(F)(F)F)n2)CCN(Cc2nnn(CC(F)(F)F)n2)C1 ZINC000440774221 1072238332 /nfs/dbraw/zinc/23/83/32/1072238332.db2.gz LSFFQISGRYQHAY-UHFFFAOYSA-N 0 0 444.344 -0.537 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1 ZINC000440774507 1072238463 /nfs/dbraw/zinc/23/84/63/1072238463.db2.gz XXSXDONUHKXGFJ-UHFFFAOYSA-N 0 0 429.437 -0.765 20 0 IBADRN CCN(CCCNC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C)S(C)(=O)=O ZINC000440776011 1072238445 /nfs/dbraw/zinc/23/84/45/1072238445.db2.gz CBNXGBSWDFXDDY-UHFFFAOYSA-N 0 0 435.514 -0.348 20 0 IBADRN COC(=O)C1(O)CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440776481 1072238507 /nfs/dbraw/zinc/23/85/07/1072238507.db2.gz CGHFPIRHSGHYFU-UHFFFAOYSA-N 0 0 434.536 -0.290 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)nn1 ZINC000440778141 1072238294 /nfs/dbraw/zinc/23/82/94/1072238294.db2.gz ATWRNBCBQPUIRE-UHFFFAOYSA-N 0 0 435.529 -0.025 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440778492 1072238872 /nfs/dbraw/zinc/23/88/72/1072238872.db2.gz CVSCXXFHJHZHPR-UHFFFAOYSA-N 0 0 435.393 -0.223 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440778514 1072238974 /nfs/dbraw/zinc/23/89/74/1072238974.db2.gz DDCWNTJAJOSBMR-UHFFFAOYSA-N 0 0 440.464 -0.582 20 0 IBADRN CCCS(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000440778725 1072238431 /nfs/dbraw/zinc/23/84/31/1072238431.db2.gz LBYKAIISQHAHRS-AWEZNQCLSA-N 0 0 443.547 -0.713 20 0 IBADRN CCCS(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000440778731 1072238539 /nfs/dbraw/zinc/23/85/39/1072238539.db2.gz LBYKAIISQHAHRS-CQSZACIVSA-N 0 0 443.547 -0.713 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440778816 1072238495 /nfs/dbraw/zinc/23/84/95/1072238495.db2.gz LIHPSGFLCSTMRQ-KRWDZBQOSA-N 0 0 448.563 -0.044 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440778822 1072238548 /nfs/dbraw/zinc/23/85/48/1072238548.db2.gz LIHPSGFLCSTMRQ-QGZVFWFLSA-N 0 0 448.563 -0.044 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440779098 1072238570 /nfs/dbraw/zinc/23/85/70/1072238570.db2.gz CTNDIFSTUVPKEV-UHFFFAOYSA-N 0 0 437.482 -0.719 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C)[C@H]2CCCN(c3ccccc3)C2=O)cc1C ZINC000440779902 1073314418 /nfs/dbraw/zinc/31/44/18/1073314418.db2.gz FVHFWHBZHREONG-INIZCTEOSA-N 0 0 426.477 0.530 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C)[C@@H]2CCCN(c3ccccc3)C2=O)cc1C ZINC000440779903 1073314506 /nfs/dbraw/zinc/31/45/06/1073314506.db2.gz FVHFWHBZHREONG-MRXNPFEDSA-N 0 0 426.477 0.530 20 0 IBADRN CC(=O)NC[C@H]1CN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CCO1 ZINC000440780836 1072240066 /nfs/dbraw/zinc/24/00/66/1072240066.db2.gz KTNIRULSMWALLD-HNNXBMFYSA-N 0 0 433.552 -0.453 20 0 IBADRN CC(=O)NC[C@@H]1CN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CCO1 ZINC000440780837 1072240095 /nfs/dbraw/zinc/24/00/95/1072240095.db2.gz KTNIRULSMWALLD-OAHLLOKOSA-N 0 0 433.552 -0.453 20 0 IBADRN COCCN1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1=O ZINC000440782385 1072240174 /nfs/dbraw/zinc/24/01/74/1072240174.db2.gz KFSOORLUYRRGAO-UHFFFAOYSA-N 0 0 433.552 -0.499 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1OCC(=O)NC1CC1 ZINC000440782693 1072240025 /nfs/dbraw/zinc/24/00/25/1072240025.db2.gz WAGBFCIDNLGWGK-UHFFFAOYSA-N 0 0 430.421 -0.369 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440783965 1072239972 /nfs/dbraw/zinc/23/99/72/1072239972.db2.gz HBJPKRUQMOWCLC-UHFFFAOYSA-N 0 0 443.464 -0.973 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440784180 1072240105 /nfs/dbraw/zinc/24/01/05/1072240105.db2.gz MIMWXIVEZKADTF-UHFFFAOYSA-N 0 0 430.421 -0.412 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC[C@@H]1CS(N)(=O)=O ZINC000440784667 1072240184 /nfs/dbraw/zinc/24/01/84/1072240184.db2.gz UHJUYNIOHNSJOH-CYBMUJFWSA-N 0 0 439.581 -0.537 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC[C@H]1CS(N)(=O)=O ZINC000440784669 1072240049 /nfs/dbraw/zinc/24/00/49/1072240049.db2.gz UHJUYNIOHNSJOH-ZDUSSCGKSA-N 0 0 439.581 -0.537 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440785594 1072240083 /nfs/dbraw/zinc/24/00/83/1072240083.db2.gz RCAVRKIMAMBGLT-UHFFFAOYSA-N 0 0 438.466 -0.386 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3ncnn3CCF)CC2)cc1 ZINC000440785701 1072239996 /nfs/dbraw/zinc/23/99/96/1072239996.db2.gz QMGDLOGJTSTPFX-UHFFFAOYSA-N 0 0 432.503 -0.599 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN(CCO)CCN1CCOCC1 ZINC000440785857 1070811446 /nfs/dbraw/zinc/81/14/46/1070811446.db2.gz LKRHBQYWMBMCEK-UHFFFAOYSA-N 0 0 449.595 -0.663 20 0 IBADRN CCCS(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000440786034 1072240041 /nfs/dbraw/zinc/24/00/41/1072240041.db2.gz QSFNXSFYHGEEAX-CYBMUJFWSA-N 0 0 429.520 -0.120 20 0 IBADRN CCCS(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000440786037 1072240208 /nfs/dbraw/zinc/24/02/08/1072240208.db2.gz QSFNXSFYHGEEAX-ZDUSSCGKSA-N 0 0 429.520 -0.120 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN(C(C)(C)C(N)=O)CC1 ZINC000440786659 1072240680 /nfs/dbraw/zinc/24/06/80/1072240680.db2.gz NOPKDCPWXQOMBY-UHFFFAOYSA-N 0 0 446.595 -0.408 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1S(=O)(=O)NC1CC1 ZINC000440786820 1072240229 /nfs/dbraw/zinc/24/02/29/1072240229.db2.gz TVQREIVDHJOGCL-UHFFFAOYSA-N 0 0 436.450 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1 ZINC000440787254 1072240531 /nfs/dbraw/zinc/24/05/31/1072240531.db2.gz VGALRUMFZCZCPN-LLVKDONJSA-N 0 0 430.421 -0.354 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1 ZINC000440787257 1072240607 /nfs/dbraw/zinc/24/06/07/1072240607.db2.gz VGALRUMFZCZCPN-NSHDSACASA-N 0 0 430.421 -0.354 20 0 IBADRN COC(=O)CC1(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000440787507 1072240580 /nfs/dbraw/zinc/24/05/80/1072240580.db2.gz WBQILBIQUIGXKA-UHFFFAOYSA-N 0 0 431.536 -0.016 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1)N1CCOCC1 ZINC000440787715 1072240617 /nfs/dbraw/zinc/24/06/17/1072240617.db2.gz WZXSEUMSOHEZSD-UHFFFAOYSA-N 0 0 430.421 -0.798 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440787972 1072240485 /nfs/dbraw/zinc/24/04/85/1072240485.db2.gz XXSLTFAGIDMIDA-CYBMUJFWSA-N 0 0 435.462 -0.083 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440787974 1072240598 /nfs/dbraw/zinc/24/05/98/1072240598.db2.gz XXSLTFAGIDMIDA-ZDUSSCGKSA-N 0 0 435.462 -0.083 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440788125 1072240637 /nfs/dbraw/zinc/24/06/37/1072240637.db2.gz UXFINBINZKQBAD-UHFFFAOYSA-N 0 0 443.464 -0.639 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440788750 1072240656 /nfs/dbraw/zinc/24/06/56/1072240656.db2.gz DXVQXWIHTDBCJY-AWEZNQCLSA-N 0 0 433.552 -0.764 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000440788751 1072240570 /nfs/dbraw/zinc/24/05/70/1072240570.db2.gz DXVQXWIHTDBCJY-CQSZACIVSA-N 0 0 433.552 -0.764 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)NCCCN2C(=O)CNC2=O)CC1 ZINC000440789345 1072240544 /nfs/dbraw/zinc/24/05/44/1072240544.db2.gz GVKSGXFBAFIXTO-UHFFFAOYSA-N 0 0 432.481 -0.097 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000440791009 1072240511 /nfs/dbraw/zinc/24/05/11/1072240511.db2.gz HNQKNOYPUNXTPL-AWEZNQCLSA-N 0 0 447.525 -0.205 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000440791010 1072240497 /nfs/dbraw/zinc/24/04/97/1072240497.db2.gz HNQKNOYPUNXTPL-CQSZACIVSA-N 0 0 447.525 -0.205 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NCc1ccc(S(N)(=O)=O)s1 ZINC000440791872 1072240673 /nfs/dbraw/zinc/24/06/73/1072240673.db2.gz PXLCGQVOKFOVQW-UHFFFAOYSA-N 0 0 447.506 -0.110 20 0 IBADRN Cc1ccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(N2CCOCC2)c1 ZINC000440794630 1072240518 /nfs/dbraw/zinc/24/05/18/1072240518.db2.gz RQXHQFILWXAMLK-UHFFFAOYSA-N 0 0 426.477 -0.105 20 0 IBADRN COC(=O)C[C@H]1CN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CCO1 ZINC000440795529 1072241237 /nfs/dbraw/zinc/24/12/37/1072241237.db2.gz UUHIPXCXLWUUCG-AWEZNQCLSA-N 0 0 434.536 -0.026 20 0 IBADRN COC(=O)C[C@@H]1CN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CCO1 ZINC000440795530 1072241113 /nfs/dbraw/zinc/24/11/13/1072241113.db2.gz UUHIPXCXLWUUCG-CQSZACIVSA-N 0 0 434.536 -0.026 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1 ZINC000440795768 1072241091 /nfs/dbraw/zinc/24/10/91/1072241091.db2.gz JRTQLDPEOGMLMF-LLVKDONJSA-N 0 0 438.466 -0.722 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1 ZINC000440795772 1072241214 /nfs/dbraw/zinc/24/12/14/1072241214.db2.gz JRTQLDPEOGMLMF-NSHDSACASA-N 0 0 438.466 -0.722 20 0 IBADRN C[C@H]1N(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOC1(C)C ZINC000440796217 1072240665 /nfs/dbraw/zinc/24/06/65/1072240665.db2.gz FYQLPRROYFDQRZ-CYBMUJFWSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@@H]1N(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOC1(C)C ZINC000440796222 1072240689 /nfs/dbraw/zinc/24/06/89/1072240689.db2.gz FYQLPRROYFDQRZ-ZDUSSCGKSA-N 0 0 438.506 -0.229 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)N=NC4C3)c2)CC1 ZINC000440796446 1072239689 /nfs/dbraw/zinc/23/96/89/1072239689.db2.gz XGWYDNGQCYFGJT-UHFFFAOYSA-N 0 0 427.465 -0.371 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1F ZINC000440797950 1072239686 /nfs/dbraw/zinc/23/96/86/1072239686.db2.gz ZRCNWCCJGXSBHV-JTQLQIEISA-N 0 0 448.411 -0.215 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1F ZINC000440797954 1072239488 /nfs/dbraw/zinc/23/94/88/1072239488.db2.gz ZRCNWCCJGXSBHV-SNVBAGLBSA-N 0 0 448.411 -0.215 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1S(=O)(=O)N(C)C ZINC000440798923 1072240014 /nfs/dbraw/zinc/24/00/14/1072240014.db2.gz RFXJQDNLMLRMMD-UHFFFAOYSA-N 0 0 438.466 -0.386 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000440802947 1072241184 /nfs/dbraw/zinc/24/11/84/1072241184.db2.gz LEDKSHMBOXZRRM-UHFFFAOYSA-N 0 0 448.505 -0.054 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)N=NC4C3)cc2)CC1 ZINC000440804710 1072241712 /nfs/dbraw/zinc/24/17/12/1072241712.db2.gz IFOUASDKYSUKSW-UHFFFAOYSA-N 0 0 441.492 -0.329 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc(S(C)(=O)=O)c1 ZINC000440805914 1072241673 /nfs/dbraw/zinc/24/16/73/1072241673.db2.gz KNXMEOGOMWNBKK-UHFFFAOYSA-N 0 0 437.434 -0.455 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)N=NC3C2)cc1-n1nnnc1C1CC1 ZINC000440809578 1072241512 /nfs/dbraw/zinc/24/15/12/1072241512.db2.gz TTZRBAUQJMOTAF-UHFFFAOYSA-N 0 0 425.409 -0.174 20 0 IBADRN Cc1ccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(N2CCOCC2)c1 ZINC000440818281 1072241229 /nfs/dbraw/zinc/24/12/29/1072241229.db2.gz SZQGMINXBPDULP-UHFFFAOYSA-N 0 0 426.477 -0.105 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)n1 ZINC000440818822 1072241257 /nfs/dbraw/zinc/24/12/57/1072241257.db2.gz BDICFOFTQZERID-UHFFFAOYSA-N 0 0 432.510 -0.414 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000440821397 1072241041 /nfs/dbraw/zinc/24/10/41/1072241041.db2.gz MYQFZPVYAAMLRI-UHFFFAOYSA-N 0 0 439.538 -0.004 20 0 IBADRN Cc1ccc(CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(N2CCOCC2)c1 ZINC000440822020 1072241244 /nfs/dbraw/zinc/24/12/44/1072241244.db2.gz CPBATTWYMICHCB-UHFFFAOYSA-N 0 0 435.506 -0.147 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)nn1 ZINC000440833799 1072241473 /nfs/dbraw/zinc/24/14/73/1072241473.db2.gz MYKCHTPEAWYUHE-UHFFFAOYSA-N 0 0 429.481 -0.162 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000440834216 1072241597 /nfs/dbraw/zinc/24/15/97/1072241597.db2.gz RCVIHKXODNVLAB-UHFFFAOYSA-N 0 0 432.510 -0.414 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nnc4c(cnn4C)c3=O)CC2)cc1 ZINC000440844285 1072241630 /nfs/dbraw/zinc/24/16/30/1072241630.db2.gz JDFDOFJSLFMYJP-UHFFFAOYSA-N 0 0 447.477 -0.933 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)Nc1cccc(S(=O)(=O)N3CCOCC3)c1)c2=O ZINC000440844987 1072241537 /nfs/dbraw/zinc/24/15/37/1072241537.db2.gz JOLFIDOZCLNPNO-UHFFFAOYSA-N 0 0 433.450 -0.815 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)Nc1ccc(S(=O)(=O)N3CCOCC3)cc1)c2=O ZINC000440845220 1072242291 /nfs/dbraw/zinc/24/22/91/1072242291.db2.gz OGTFRLZIAASIIH-UHFFFAOYSA-N 0 0 433.450 -0.815 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)Cn1nnc2c(cnn2C)c1=O ZINC000440847181 1072242257 /nfs/dbraw/zinc/24/22/57/1072242257.db2.gz NKZDXHDSKNYZKL-UHFFFAOYSA-N 0 0 431.478 -0.245 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)N1CCN(C(=O)[C@@H]3COc4ccccc4O3)CC1)c2=O ZINC000440848479 1072242227 /nfs/dbraw/zinc/24/22/27/1072242227.db2.gz PTIMGDSQVGHZMZ-INIZCTEOSA-N 0 0 439.432 -0.964 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)N1CCN(C(=O)[C@H]3COc4ccccc4O3)CC1)c2=O ZINC000440848485 1072242116 /nfs/dbraw/zinc/24/21/16/1072242116.db2.gz PTIMGDSQVGHZMZ-MRXNPFEDSA-N 0 0 439.432 -0.964 20 0 IBADRN CCO[C@@H]1C[C@](NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)(C(=O)OC)C1(C)C ZINC000440851023 1072242272 /nfs/dbraw/zinc/24/22/72/1072242272.db2.gz VBOYRTXTAPWOHJ-FORMXFIPSA-N 0 0 430.523 -0.115 20 0 IBADRN CCO[C@@H]1C[C@](NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)(C(=O)OC)C1(C)C ZINC000440851024 1072242189 /nfs/dbraw/zinc/24/21/89/1072242189.db2.gz VBOYRTXTAPWOHJ-HLBODDRNSA-N 0 0 430.523 -0.115 20 0 IBADRN CCO[C@@H]1C[C@](NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)(C(=O)OC)C1(C)C ZINC000440851026 1072242202 /nfs/dbraw/zinc/24/22/02/1072242202.db2.gz VBOYRTXTAPWOHJ-PJHJGJPPSA-N 0 0 430.523 -0.115 20 0 IBADRN CCO[C@@H]1C[C@](NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)(C(=O)OC)C1(C)C ZINC000440851028 1072242085 /nfs/dbraw/zinc/24/20/85/1072242085.db2.gz VBOYRTXTAPWOHJ-QJNYJZHLSA-N 0 0 430.523 -0.115 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)N1CCN(S(=O)(=O)Cc3ccccc3)CC1)c2=O ZINC000440852433 1072242130 /nfs/dbraw/zinc/24/21/30/1072242130.db2.gz YCDAEWAGDKOVTI-UHFFFAOYSA-N 0 0 431.478 -0.801 20 0 IBADRN Cc1ccc(NC(=O)Cn2nnc3c(cnn3C)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000440854756 1072242644 /nfs/dbraw/zinc/24/26/44/1072242644.db2.gz MJCQKNWKNPELLA-UHFFFAOYSA-N 0 0 447.477 -0.507 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nnc4c(cnn4C)c3=O)CC2)cc1C ZINC000440855124 1072242179 /nfs/dbraw/zinc/24/21/79/1072242179.db2.gz MYZVHRJYMQDTSQ-UHFFFAOYSA-N 0 0 445.505 -0.325 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)N1CCN(S(=O)(=O)c3ccccc3F)CC1)c2=O ZINC000440855440 1072242722 /nfs/dbraw/zinc/24/27/22/1072242722.db2.gz CUBLTQVIADDIFR-UHFFFAOYSA-N 0 0 435.441 -0.803 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CC2)CC1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000440860124 1072241649 /nfs/dbraw/zinc/24/16/49/1072241649.db2.gz NSOUKPXMBMRKGO-UHFFFAOYSA-N 0 0 446.551 -0.278 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)N1CCN(S(=O)(=O)c3cccc(F)c3)CC1)c2=O ZINC000440862226 1072242248 /nfs/dbraw/zinc/24/22/48/1072242248.db2.gz SOMBZIIQMRLTNL-UHFFFAOYSA-N 0 0 435.441 -0.803 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000440869002 1072242668 /nfs/dbraw/zinc/24/26/68/1072242668.db2.gz QMYQKRYSLYYMSR-UHFFFAOYSA-N 0 0 447.463 -0.166 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)N1CCN(S(=O)(=O)c3ccc(F)cc3)CC1)c2=O ZINC000440869419 1072242708 /nfs/dbraw/zinc/24/27/08/1072242708.db2.gz XGHLVGCIHZHJAO-UHFFFAOYSA-N 0 0 435.441 -0.803 20 0 IBADRN CCO[C@H]1C[C@@](NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)(C(=O)OC)C1(C)C ZINC000440873356 1072242684 /nfs/dbraw/zinc/24/26/84/1072242684.db2.gz ZQQMRCWYKQKACB-FKIZINRSSA-N 0 0 435.481 -0.313 20 0 IBADRN CCO[C@@H]1C[C@@](NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)(C(=O)OC)C1(C)C ZINC000440873361 1072242678 /nfs/dbraw/zinc/24/26/78/1072242678.db2.gz ZQQMRCWYKQKACB-MPBGBICISA-N 0 0 435.481 -0.313 20 0 IBADRN CCO[C@@H]1C[C@](NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)(C(=O)OC)C1(C)C ZINC000440873364 1072242752 /nfs/dbraw/zinc/24/27/52/1072242752.db2.gz ZQQMRCWYKQKACB-ODXCJYRJSA-N 0 0 435.481 -0.313 20 0 IBADRN CCO[C@H]1C[C@](NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)(C(=O)OC)C1(C)C ZINC000440873367 1072242728 /nfs/dbraw/zinc/24/27/28/1072242728.db2.gz ZQQMRCWYKQKACB-YUNKPMOVSA-N 0 0 435.481 -0.313 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000440878502 1072242737 /nfs/dbraw/zinc/24/27/37/1072242737.db2.gz VVQMWHGMTJOFMS-UHFFFAOYSA-N 0 0 435.300 -0.216 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ncn(Cc4cccc(F)c4)n3)c2c(=O)n(C)c1=O ZINC000440884876 1072243696 /nfs/dbraw/zinc/24/36/96/1072243696.db2.gz WOACJGOKBCQEOV-UHFFFAOYSA-N 0 0 440.439 -0.081 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CC2)CC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000440895465 1072243122 /nfs/dbraw/zinc/24/31/22/1072243122.db2.gz XIQUBCQALHTRBP-HNNXBMFYSA-N 0 0 428.555 -0.760 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CC2)CC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000440895466 1072243194 /nfs/dbraw/zinc/24/31/94/1072243194.db2.gz XIQUBCQALHTRBP-OAHLLOKOSA-N 0 0 428.555 -0.760 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000440895923 1072243056 /nfs/dbraw/zinc/24/30/56/1072243056.db2.gz YKFGAAQDRUKVIP-GOSISDBHSA-N 0 0 442.582 -0.228 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000440895924 1072243137 /nfs/dbraw/zinc/24/31/37/1072243137.db2.gz YKFGAAQDRUKVIP-SFHVURJKSA-N 0 0 442.582 -0.228 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)cn1C ZINC000440897269 1072243159 /nfs/dbraw/zinc/24/31/59/1072243159.db2.gz UGJRZHVPTANGAY-UHFFFAOYSA-N 0 0 433.556 -0.530 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000440898262 1072243133 /nfs/dbraw/zinc/24/31/33/1072243133.db2.gz XGHWVVJENQIWSD-GFCCVEGCSA-N 0 0 447.583 -0.223 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000440898263 1072243116 /nfs/dbraw/zinc/24/31/16/1072243116.db2.gz XGHWVVJENQIWSD-LBPRGKRZSA-N 0 0 447.583 -0.223 20 0 IBADRN Cc1cc(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000440898870 1072243086 /nfs/dbraw/zinc/24/30/86/1072243086.db2.gz ZCNSQDPLNQJLRD-UHFFFAOYSA-N 0 0 435.506 -0.373 20 0 IBADRN COC(=O)CCN(Cc1ccncc1)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000440908827 1072244152 /nfs/dbraw/zinc/24/41/52/1072244152.db2.gz DHYNXIWVFBBCQF-UHFFFAOYSA-N 0 0 428.449 -0.189 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)C3(CCCCC3)C2)o1 ZINC000440909598 1072244059 /nfs/dbraw/zinc/24/40/59/1072244059.db2.gz ATLQJTWTQYXOJK-UHFFFAOYSA-N 0 0 433.508 -0.383 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC000440916938 1072243592 /nfs/dbraw/zinc/24/35/92/1072243592.db2.gz UOUMXVHEGJTZKN-UHFFFAOYSA-N 0 0 437.584 -0.220 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(S(N)(=O)=O)CC2)cc1Br ZINC000440919717 1072243618 /nfs/dbraw/zinc/24/36/18/1072243618.db2.gz FWUIQBOCSOYRAH-UHFFFAOYSA-N 0 0 427.302 -0.942 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)C3(CCCCC3)C2)c[nH]1 ZINC000440920595 1072244037 /nfs/dbraw/zinc/24/40/37/1072244037.db2.gz SFCXAPBPSWGQOB-UHFFFAOYSA-N 0 0 432.524 -0.648 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCS(=O)(=O)C4(CCCCC4)C3)c2c(=O)n(C)c1=O ZINC000440920906 1072244052 /nfs/dbraw/zinc/24/40/52/1072244052.db2.gz JQBYZSYKAWFQLV-UHFFFAOYSA-N 0 0 437.522 -0.216 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC000440922717 1072244118 /nfs/dbraw/zinc/24/41/18/1072244118.db2.gz DMSCPKBFSNTUQS-CABCVRRESA-N 0 0 432.564 -0.018 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC000440922718 1072244046 /nfs/dbraw/zinc/24/40/46/1072244046.db2.gz DMSCPKBFSNTUQS-GJZGRUSLSA-N 0 0 432.564 -0.018 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC000440922719 1072244015 /nfs/dbraw/zinc/24/40/15/1072244015.db2.gz DMSCPKBFSNTUQS-HUUCEWRRSA-N 0 0 432.564 -0.018 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC000440922720 1072244122 /nfs/dbraw/zinc/24/41/22/1072244122.db2.gz DMSCPKBFSNTUQS-LSDHHAIUSA-N 0 0 432.564 -0.018 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CC1 ZINC000440925328 1072244086 /nfs/dbraw/zinc/24/40/86/1072244086.db2.gz XEJXFXQTONSHQY-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN C[C@H](c1ccccc1)N(CC(N)=O)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000440927651 1070830911 /nfs/dbraw/zinc/83/09/11/1070830911.db2.gz DZNZNSNBCKJFMA-GOSISDBHSA-N 0 0 431.537 -0.462 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000440941052 1072244614 /nfs/dbraw/zinc/24/46/14/1072244614.db2.gz IOUSLKQHFKCNBG-UHFFFAOYSA-N 0 0 440.613 -0.379 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000440942518 1072244667 /nfs/dbraw/zinc/24/46/67/1072244667.db2.gz NNQMVSLAAQCDQT-CVEARBPZSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000440942519 1072244422 /nfs/dbraw/zinc/24/44/22/1072244422.db2.gz NNQMVSLAAQCDQT-HOTGVXAUSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000440942520 1072244466 /nfs/dbraw/zinc/24/44/66/1072244466.db2.gz NNQMVSLAAQCDQT-HZPDHXFCSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@H]1CCCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000440942521 1072244602 /nfs/dbraw/zinc/24/46/02/1072244602.db2.gz NNQMVSLAAQCDQT-JKSUJKDBSA-N 0 0 438.506 -0.194 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2C(N)=O)cc1 ZINC000440944726 1072244908 /nfs/dbraw/zinc/24/49/08/1072244908.db2.gz MZXBCYHVTFZWTI-CABCVRRESA-N 0 0 426.495 -0.290 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2C(N)=O)cc1 ZINC000440944727 1072244897 /nfs/dbraw/zinc/24/48/97/1072244897.db2.gz MZXBCYHVTFZWTI-GJZGRUSLSA-N 0 0 426.495 -0.290 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2C(N)=O)cc1 ZINC000440944728 1072244986 /nfs/dbraw/zinc/24/49/86/1072244986.db2.gz MZXBCYHVTFZWTI-HUUCEWRRSA-N 0 0 426.495 -0.290 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2C(N)=O)cc1 ZINC000440944729 1072245033 /nfs/dbraw/zinc/24/50/33/1072245033.db2.gz MZXBCYHVTFZWTI-LSDHHAIUSA-N 0 0 426.495 -0.290 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCCC[C@@H]3C(N)=O)cn2)C[C@H](C)O1 ZINC000440945887 1072244123 /nfs/dbraw/zinc/24/41/23/1072244123.db2.gz SAGWDSKRKWVPSO-LQKXBSAESA-N 0 0 434.497 -0.382 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCCC[C@@H]3C(N)=O)cn2)C[C@@H](C)O1 ZINC000440945888 1072244075 /nfs/dbraw/zinc/24/40/75/1072244075.db2.gz SAGWDSKRKWVPSO-LUYZLQTOSA-N 0 0 434.497 -0.382 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCCC[C@H]3C(N)=O)cn2)C[C@H](C)O1 ZINC000440945890 1072244504 /nfs/dbraw/zinc/24/45/04/1072244504.db2.gz SAGWDSKRKWVPSO-OCVGTWLNSA-N 0 0 434.497 -0.382 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCCC[C@H]3C(N)=O)cn2)C[C@@H](C)O1 ZINC000440945892 1072244556 /nfs/dbraw/zinc/24/45/56/1072244556.db2.gz SAGWDSKRKWVPSO-RRCSTGOVSA-N 0 0 434.497 -0.382 20 0 IBADRN CCN(CC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1)[C@@H]1CCNC1=O ZINC000440946383 1072244135 /nfs/dbraw/zinc/24/41/35/1072244135.db2.gz GDNDQTOJGJFYOO-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CCN(CC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1)[C@H]1CCNC1=O ZINC000440946384 1072244095 /nfs/dbraw/zinc/24/40/95/1072244095.db2.gz GDNDQTOJGJFYOO-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2C(N)=O)cc(S(C)(=O)=O)c1 ZINC000440946806 1072244685 /nfs/dbraw/zinc/24/46/85/1072244685.db2.gz ATEHVRTWYCPYRD-KBPBESRZSA-N 0 0 425.463 -0.025 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2C(N)=O)cc(S(C)(=O)=O)c1 ZINC000440946809 1072244519 /nfs/dbraw/zinc/24/45/19/1072244519.db2.gz ATEHVRTWYCPYRD-KGLIPLIRSA-N 0 0 425.463 -0.025 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2C(N)=O)cc(S(C)(=O)=O)c1 ZINC000440946811 1072244492 /nfs/dbraw/zinc/24/44/92/1072244492.db2.gz ATEHVRTWYCPYRD-UONOGXRCSA-N 0 0 425.463 -0.025 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2C(N)=O)cc(S(C)(=O)=O)c1 ZINC000440946812 1072244478 /nfs/dbraw/zinc/24/44/78/1072244478.db2.gz ATEHVRTWYCPYRD-ZIAGYGMSSA-N 0 0 425.463 -0.025 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@H]1NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440948448 1072245582 /nfs/dbraw/zinc/24/55/82/1072245582.db2.gz BETBLJDRPXNUTF-IIDMSEBBSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@H]1NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440948449 1072245601 /nfs/dbraw/zinc/24/56/01/1072245601.db2.gz BETBLJDRPXNUTF-RKVPGOIHSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440948450 1072245541 /nfs/dbraw/zinc/24/55/41/1072245541.db2.gz BETBLJDRPXNUTF-RVKKMQEKSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000440948451 1072245397 /nfs/dbraw/zinc/24/53/97/1072245397.db2.gz BETBLJDRPXNUTF-VKJFTORMSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000440950898 1072245471 /nfs/dbraw/zinc/24/54/71/1072245471.db2.gz HSFCUNLUIAOHGL-CVEARBPZSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000440950899 1072245425 /nfs/dbraw/zinc/24/54/25/1072245425.db2.gz HSFCUNLUIAOHGL-HOTGVXAUSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000440950900 1072245389 /nfs/dbraw/zinc/24/53/89/1072245389.db2.gz HSFCUNLUIAOHGL-HZPDHXFCSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@H]1CCCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000440950901 1072245521 /nfs/dbraw/zinc/24/55/21/1072245521.db2.gz HSFCUNLUIAOHGL-JKSUJKDBSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000440951542 1072245461 /nfs/dbraw/zinc/24/54/61/1072245461.db2.gz CCCROEPWMRXIAY-MSOLQXFVSA-N 0 0 445.520 -0.153 20 0 IBADRN NC(=O)[C@@H]1CCCC[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000440951543 1072245532 /nfs/dbraw/zinc/24/55/32/1072245532.db2.gz CCCROEPWMRXIAY-QZTJIDSGSA-N 0 0 445.520 -0.153 20 0 IBADRN NC(=O)[C@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000440951544 1072245413 /nfs/dbraw/zinc/24/54/13/1072245413.db2.gz CCCROEPWMRXIAY-ROUUACIJSA-N 0 0 445.520 -0.153 20 0 IBADRN NC(=O)[C@H]1CCCC[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000440951545 1072245592 /nfs/dbraw/zinc/24/55/92/1072245592.db2.gz CCCROEPWMRXIAY-ZWKOTPCHSA-N 0 0 445.520 -0.153 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1Br ZINC000440953109 1072245609 /nfs/dbraw/zinc/24/56/09/1072245609.db2.gz FOVYUVWHJBUKKZ-UHFFFAOYSA-N 0 0 449.327 -0.725 20 0 IBADRN CCN(CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCNC1=O ZINC000440954449 1072245857 /nfs/dbraw/zinc/24/58/57/1072245857.db2.gz LWWPYZZEHATQAM-HNNXBMFYSA-N 0 0 440.522 -0.135 20 0 IBADRN CCN(CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCNC1=O ZINC000440954452 1072246228 /nfs/dbraw/zinc/24/62/28/1072246228.db2.gz LWWPYZZEHATQAM-OAHLLOKOSA-N 0 0 440.522 -0.135 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOC[C@H]1C1CC1 ZINC000440959657 1072244859 /nfs/dbraw/zinc/24/48/59/1072244859.db2.gz OURFYMCTBAIJHE-INIZCTEOSA-N 0 0 436.490 -0.617 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOC[C@@H]1C1CC1 ZINC000440959660 1072244944 /nfs/dbraw/zinc/24/49/44/1072244944.db2.gz OURFYMCTBAIJHE-MRXNPFEDSA-N 0 0 436.490 -0.617 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC000440961036 1072244918 /nfs/dbraw/zinc/24/49/18/1072244918.db2.gz NLPZWMVWWXDXQX-GFCCVEGCSA-N 0 0 436.328 -0.138 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC000440961037 1072245122 /nfs/dbraw/zinc/24/51/22/1072245122.db2.gz NLPZWMVWWXDXQX-LBPRGKRZSA-N 0 0 436.328 -0.138 20 0 IBADRN C[C@](O)(CNC(=O)c1ccc(S(N)(=O)=O)c(Br)c1)CN1CCOCC1 ZINC000440964761 1072245002 /nfs/dbraw/zinc/24/50/02/1072245002.db2.gz YCVFRHOOYLKXMA-HNNXBMFYSA-N 0 0 436.328 -0.091 20 0 IBADRN C[C@@](O)(CNC(=O)c1ccc(S(N)(=O)=O)c(Br)c1)CN1CCOCC1 ZINC000440964762 1072245081 /nfs/dbraw/zinc/24/50/81/1072245081.db2.gz YCVFRHOOYLKXMA-OAHLLOKOSA-N 0 0 436.328 -0.091 20 0 IBADRN CCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1)[C@@H]1CCNC1=O ZINC000440965647 1072244875 /nfs/dbraw/zinc/24/48/75/1072244875.db2.gz HMNCJTWWPMCNBQ-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1)[C@H]1CCNC1=O ZINC000440965652 1072244955 /nfs/dbraw/zinc/24/49/55/1072244955.db2.gz HMNCJTWWPMCNBQ-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)NC ZINC000440970691 1072247213 /nfs/dbraw/zinc/24/72/13/1072247213.db2.gz DITVANPSIFOBSQ-INIZCTEOSA-N 0 0 440.460 0.490 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n1 ZINC000440973986 1073304343 /nfs/dbraw/zinc/30/43/43/1073304343.db2.gz RCZBJQLEZIKLLL-UHFFFAOYSA-N 0 0 430.437 0.350 20 0 IBADRN COCCNc1ccccc1CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000440974611 1072247169 /nfs/dbraw/zinc/24/71/69/1072247169.db2.gz GVQMDONISWJOMT-UHFFFAOYSA-N 0 0 440.460 -0.055 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@H](C)CN2CCOCC2)c1 ZINC000440975945 1072247151 /nfs/dbraw/zinc/24/71/51/1072247151.db2.gz NLUHNBYZFKPGBY-AWEZNQCLSA-N 0 0 427.527 -0.199 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@@H](C)CN2CCOCC2)c1 ZINC000440975946 1072247102 /nfs/dbraw/zinc/24/71/02/1072247102.db2.gz NLUHNBYZFKPGBY-CQSZACIVSA-N 0 0 427.527 -0.199 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1nc(-c2cccnc2)no1 ZINC000440977190 1070833135 /nfs/dbraw/zinc/83/31/35/1070833135.db2.gz PXQFZLDFKVETAI-UHFFFAOYSA-N 0 0 443.508 -0.434 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)nn1 ZINC000440977425 1072247338 /nfs/dbraw/zinc/24/73/38/1072247338.db2.gz JBUXVBDTSKHLHC-UHFFFAOYSA-N 0 0 439.432 -0.829 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccn(Cc2ccccc2)c1=O ZINC000440979172 1072248063 /nfs/dbraw/zinc/24/80/63/1072248063.db2.gz CPRPGGRRWYWNCX-GOSISDBHSA-N 0 0 428.489 -0.013 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccn(Cc2ccccc2)c1=O ZINC000440979173 1072247882 /nfs/dbraw/zinc/24/78/82/1072247882.db2.gz CPRPGGRRWYWNCX-SFHVURJKSA-N 0 0 428.489 -0.013 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1C ZINC000440979529 1072247939 /nfs/dbraw/zinc/24/79/39/1072247939.db2.gz BABGZZVXRLIUSJ-AWEZNQCLSA-N 0 0 428.511 -0.959 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1C ZINC000440979535 1072247910 /nfs/dbraw/zinc/24/79/10/1072247910.db2.gz BABGZZVXRLIUSJ-CQSZACIVSA-N 0 0 428.511 -0.959 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(-c2nnnn2C2CC2)c1 ZINC000440979977 1072247975 /nfs/dbraw/zinc/24/79/75/1072247975.db2.gz FLPMAJKWSRVPFT-KRWDZBQOSA-N 0 0 429.481 -0.235 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(-c2nnnn2C2CC2)c1 ZINC000440979978 1072248074 /nfs/dbraw/zinc/24/80/74/1072248074.db2.gz FLPMAJKWSRVPFT-QGZVFWFLSA-N 0 0 429.481 -0.235 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000440979983 1072247899 /nfs/dbraw/zinc/24/78/99/1072247899.db2.gz FMZHBJXMAUGLOS-KRWDZBQOSA-N 0 0 440.522 -0.684 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000440979985 1072247826 /nfs/dbraw/zinc/24/78/26/1072247826.db2.gz FMZHBJXMAUGLOS-QGZVFWFLSA-N 0 0 440.522 -0.684 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCN2CCOC(C)(C)C2)c1 ZINC000440980358 1072247956 /nfs/dbraw/zinc/24/79/56/1072247956.db2.gz QJVWZTVPIHLCMX-UHFFFAOYSA-N 0 0 427.527 -0.057 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)c3cc(S(N)(=O)=O)ccc3OC)CC2)nn1 ZINC000440981904 1072246259 /nfs/dbraw/zinc/24/62/59/1072246259.db2.gz IIIIHOHDEMSZIR-UHFFFAOYSA-N 0 0 435.462 -0.118 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1C ZINC000440981982 1072245996 /nfs/dbraw/zinc/24/59/96/1072245996.db2.gz AALCDCJIJZECLU-INIZCTEOSA-N 0 0 442.538 -0.153 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1C ZINC000440981989 1072246128 /nfs/dbraw/zinc/24/61/28/1072246128.db2.gz AALCDCJIJZECLU-MRXNPFEDSA-N 0 0 442.538 -0.153 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)ccc21 ZINC000440982950 1072246168 /nfs/dbraw/zinc/24/61/68/1072246168.db2.gz AYGJWXJKUSIHND-KRWDZBQOSA-N 0 0 434.493 -0.688 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)ccc21 ZINC000440982954 1072245902 /nfs/dbraw/zinc/24/59/02/1072245902.db2.gz AYGJWXJKUSIHND-QGZVFWFLSA-N 0 0 434.493 -0.688 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cn1 ZINC000440983074 1072246106 /nfs/dbraw/zinc/24/61/06/1072246106.db2.gz BFVCZVJJLXZXPD-HNNXBMFYSA-N 0 0 425.486 -0.729 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cn1 ZINC000440983079 1072245972 /nfs/dbraw/zinc/24/59/72/1072245972.db2.gz BFVCZVJJLXZXPD-OAHLLOKOSA-N 0 0 425.486 -0.729 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000440983328 1072246186 /nfs/dbraw/zinc/24/61/86/1072246186.db2.gz GBVXLTWWEKPPCB-HNNXBMFYSA-N 0 0 435.510 -0.526 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000440983329 1072246240 /nfs/dbraw/zinc/24/62/40/1072246240.db2.gz GBVXLTWWEKPPCB-OAHLLOKOSA-N 0 0 435.510 -0.526 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c(OC)c(OC)c1 ZINC000440983809 1072246148 /nfs/dbraw/zinc/24/61/48/1072246148.db2.gz CCJTUASINNQSAV-AWEZNQCLSA-N 0 0 439.465 -0.420 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c(OC)c(OC)c1 ZINC000440983813 1072246084 /nfs/dbraw/zinc/24/60/84/1072246084.db2.gz CCJTUASINNQSAV-CQSZACIVSA-N 0 0 439.465 -0.420 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1N1CCCC1=O ZINC000440984368 1072245948 /nfs/dbraw/zinc/24/59/48/1072245948.db2.gz KZSNHQBZWLYEIK-INIZCTEOSA-N 0 0 434.493 -0.088 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1N1CCCC1=O ZINC000440984370 1072246062 /nfs/dbraw/zinc/24/60/62/1072246062.db2.gz KZSNHQBZWLYEIK-MRXNPFEDSA-N 0 0 434.493 -0.088 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000440984626 1072246645 /nfs/dbraw/zinc/24/66/45/1072246645.db2.gz DFLWZUCHIRHSDS-CYBMUJFWSA-N 0 0 446.501 -0.834 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000440984627 1072246580 /nfs/dbraw/zinc/24/65/80/1072246580.db2.gz DFLWZUCHIRHSDS-ZDUSSCGKSA-N 0 0 446.501 -0.834 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)[C@H](C)C1=O ZINC000440984663 1072246740 /nfs/dbraw/zinc/24/67/40/1072246740.db2.gz DJBWJVRJZCXJRY-LLVKDONJSA-N 0 0 425.463 -0.106 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)[C@@H](C)C1=O ZINC000440984666 1072246640 /nfs/dbraw/zinc/24/66/40/1072246640.db2.gz DJBWJVRJZCXJRY-NSHDSACASA-N 0 0 425.463 -0.106 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)[C@@H](C)C1=O ZINC000440985131 1072246542 /nfs/dbraw/zinc/24/65/42/1072246542.db2.gz JKWZGXMEEWAIRL-KBPBESRZSA-N 0 0 425.511 -0.104 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)c2)CC1 ZINC000440985135 1072246717 /nfs/dbraw/zinc/24/67/17/1072246717.db2.gz LSUGJIGGFKTGDW-FQEVSTJZSA-N 0 0 447.536 -0.555 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)c2)CC1 ZINC000440985137 1072246764 /nfs/dbraw/zinc/24/67/64/1072246764.db2.gz LSUGJIGGFKTGDW-HXUWFJFHSA-N 0 0 447.536 -0.555 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)[C@H](C)C1=O ZINC000440985140 1072246708 /nfs/dbraw/zinc/24/67/08/1072246708.db2.gz JKWZGXMEEWAIRL-KGLIPLIRSA-N 0 0 425.511 -0.104 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)[C@@H](C)C1=O ZINC000440985142 1072246779 /nfs/dbraw/zinc/24/67/79/1072246779.db2.gz JKWZGXMEEWAIRL-UONOGXRCSA-N 0 0 425.511 -0.104 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)[C@H](C)C1=O ZINC000440985145 1072246731 /nfs/dbraw/zinc/24/67/31/1072246731.db2.gz JKWZGXMEEWAIRL-ZIAGYGMSSA-N 0 0 425.511 -0.104 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)nn1 ZINC000440985464 1072246526 /nfs/dbraw/zinc/24/65/26/1072246526.db2.gz TXJKOFOPKJQALN-UHFFFAOYSA-N 0 0 439.432 -0.829 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000440986296 1072246603 /nfs/dbraw/zinc/24/66/03/1072246603.db2.gz QHPCIYSBAXPVKV-CYBMUJFWSA-N 0 0 439.465 -0.420 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000440986301 1072246589 /nfs/dbraw/zinc/24/65/89/1072246589.db2.gz QHPCIYSBAXPVKV-ZDUSSCGKSA-N 0 0 439.465 -0.420 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cc21 ZINC000440986791 1072252089 /nfs/dbraw/zinc/25/20/89/1072252089.db2.gz GXJHGCBIVKTUPP-KRWDZBQOSA-N 0 0 431.493 -0.523 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cc21 ZINC000440986792 1072252056 /nfs/dbraw/zinc/25/20/56/1072252056.db2.gz GXJHGCBIVKTUPP-QGZVFWFLSA-N 0 0 431.493 -0.523 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)[C@@H](C)C1=O ZINC000440987930 1072252101 /nfs/dbraw/zinc/25/21/01/1072252101.db2.gz QCUXMMGTZRUULU-INIZCTEOSA-N 0 0 447.540 0.335 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)[C@H](C)C1=O ZINC000440987931 1072251962 /nfs/dbraw/zinc/25/19/62/1072251962.db2.gz QCUXMMGTZRUULU-MRXNPFEDSA-N 0 0 447.540 0.335 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)[C@@H](C)C1=O ZINC000440988718 1072248497 /nfs/dbraw/zinc/24/84/97/1072248497.db2.gz KJOBZBBQTYTIRB-AWEZNQCLSA-N 0 0 438.506 -0.275 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)[C@H](C)C1=O ZINC000440988720 1072248628 /nfs/dbraw/zinc/24/86/28/1072248628.db2.gz KJOBZBBQTYTIRB-CQSZACIVSA-N 0 0 438.506 -0.275 20 0 IBADRN Cc1c(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cccc1C(=O)N1CCOCC1 ZINC000440988962 1072248445 /nfs/dbraw/zinc/24/84/45/1072248445.db2.gz MUAKFJSGJRTJHT-KRWDZBQOSA-N 0 0 448.520 -0.443 20 0 IBADRN Cc1c(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cccc1C(=O)N1CCOCC1 ZINC000440988967 1072248676 /nfs/dbraw/zinc/24/86/76/1072248676.db2.gz MUAKFJSGJRTJHT-QGZVFWFLSA-N 0 0 448.520 -0.443 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(NC(=O)[C@@H]2CCCO2)cc1 ZINC000440989410 1072248096 /nfs/dbraw/zinc/24/80/96/1072248096.db2.gz TXIUFZHMUKBVGW-MSOLQXFVSA-N 0 0 434.493 -0.106 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(NC(=O)[C@H]2CCCO2)cc1 ZINC000440989413 1072247868 /nfs/dbraw/zinc/24/78/68/1072247868.db2.gz TXIUFZHMUKBVGW-QZTJIDSGSA-N 0 0 434.493 -0.106 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(NC(=O)[C@@H]2CCCO2)cc1 ZINC000440989415 1072248009 /nfs/dbraw/zinc/24/80/09/1072248009.db2.gz TXIUFZHMUKBVGW-ROUUACIJSA-N 0 0 434.493 -0.106 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(NC(=O)[C@H]2CCCO2)cc1 ZINC000440989418 1072247841 /nfs/dbraw/zinc/24/78/41/1072247841.db2.gz TXIUFZHMUKBVGW-ZWKOTPCHSA-N 0 0 434.493 -0.106 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000440990036 1072248474 /nfs/dbraw/zinc/24/84/74/1072248474.db2.gz MJAVSONPEXNFDB-INIZCTEOSA-N 0 0 436.509 -0.123 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000440990038 1072248602 /nfs/dbraw/zinc/24/86/02/1072248602.db2.gz MJAVSONPEXNFDB-MRXNPFEDSA-N 0 0 436.509 -0.123 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000440990043 1072248507 /nfs/dbraw/zinc/24/85/07/1072248507.db2.gz UOMVTMCBRBTSDV-AWEZNQCLSA-N 0 0 440.522 -0.901 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000440990046 1072248486 /nfs/dbraw/zinc/24/84/86/1072248486.db2.gz UOMVTMCBRBTSDV-CQSZACIVSA-N 0 0 440.522 -0.901 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000440990082 1072248517 /nfs/dbraw/zinc/24/85/17/1072248517.db2.gz UPVWLDXUEHXQMJ-INIZCTEOSA-N 0 0 442.538 -0.665 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000440990091 1072248578 /nfs/dbraw/zinc/24/85/78/1072248578.db2.gz UPVWLDXUEHXQMJ-MRXNPFEDSA-N 0 0 442.538 -0.665 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000440990149 1072248666 /nfs/dbraw/zinc/24/86/66/1072248666.db2.gz MNACEFPVKHQOEX-GOSISDBHSA-N 0 0 448.520 -0.222 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000440990151 1072248461 /nfs/dbraw/zinc/24/84/61/1072248461.db2.gz MNACEFPVKHQOEX-SFHVURJKSA-N 0 0 448.520 -0.222 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cnn(-c2cnc3ccccc3n2)c1 ZINC000440990380 1072248591 /nfs/dbraw/zinc/24/85/91/1072248591.db2.gz MYNCOXWZWQQHIG-INIZCTEOSA-N 0 0 439.476 -0.095 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cnn(-c2cnc3ccccc3n2)c1 ZINC000440990381 1072248560 /nfs/dbraw/zinc/24/85/60/1072248560.db2.gz MYNCOXWZWQQHIG-MRXNPFEDSA-N 0 0 439.476 -0.095 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)n(-c2ncccn2)n1 ZINC000440990426 1072248651 /nfs/dbraw/zinc/24/86/51/1072248651.db2.gz NJGHGOANWQPVSF-HNNXBMFYSA-N 0 0 431.497 -0.124 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)n(-c2ncccn2)n1 ZINC000440990427 1072248686 /nfs/dbraw/zinc/24/86/86/1072248686.db2.gz NJGHGOANWQPVSF-OAHLLOKOSA-N 0 0 431.497 -0.124 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2c(C)nn([C@H]3CCS(=O)(=O)C3)c2C)[C@@H](C)C1=O ZINC000440990958 1072249153 /nfs/dbraw/zinc/24/91/53/1072249153.db2.gz VYGPMKQYTPRZOE-KBPBESRZSA-N 0 0 425.511 -0.123 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2c(C)nn([C@H]3CCS(=O)(=O)C3)c2C)[C@H](C)C1=O ZINC000440990959 1072249110 /nfs/dbraw/zinc/24/91/10/1072249110.db2.gz VYGPMKQYTPRZOE-KGLIPLIRSA-N 0 0 425.511 -0.123 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2c(C)nn([C@@H]3CCS(=O)(=O)C3)c2C)[C@@H](C)C1=O ZINC000440990960 1072248995 /nfs/dbraw/zinc/24/89/95/1072248995.db2.gz VYGPMKQYTPRZOE-UONOGXRCSA-N 0 0 425.511 -0.123 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2c(C)nn([C@@H]3CCS(=O)(=O)C3)c2C)[C@H](C)C1=O ZINC000440990961 1072249057 /nfs/dbraw/zinc/24/90/57/1072249057.db2.gz VYGPMKQYTPRZOE-ZIAGYGMSSA-N 0 0 425.511 -0.123 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cc21 ZINC000440991235 1072248617 /nfs/dbraw/zinc/24/86/17/1072248617.db2.gz ODCBNBPNLTYHBE-KRWDZBQOSA-N 0 0 433.509 -0.131 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cc21 ZINC000440991237 1072249202 /nfs/dbraw/zinc/24/92/02/1072249202.db2.gz ODCBNBPNLTYHBE-QGZVFWFLSA-N 0 0 433.509 -0.131 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c(OCC(=O)N(C)C)c1 ZINC000440991770 1072249043 /nfs/dbraw/zinc/24/90/43/1072249043.db2.gz YAAUZUBMGYNAKA-INIZCTEOSA-N 0 0 436.509 -0.448 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c(OCC(=O)N(C)C)c1 ZINC000440991775 1072249022 /nfs/dbraw/zinc/24/90/22/1072249022.db2.gz YAAUZUBMGYNAKA-MRXNPFEDSA-N 0 0 436.509 -0.448 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1 ZINC000440991918 1072249120 /nfs/dbraw/zinc/24/91/20/1072249120.db2.gz PQYTWEXCORARNL-GOSISDBHSA-N 0 0 446.504 -0.184 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1 ZINC000440991923 1072249136 /nfs/dbraw/zinc/24/91/36/1072249136.db2.gz PQYTWEXCORARNL-SFHVURJKSA-N 0 0 446.504 -0.184 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000440992653 1072249095 /nfs/dbraw/zinc/24/90/95/1072249095.db2.gz PILQPQZZEKFCAN-INIZCTEOSA-N 0 0 442.538 -0.535 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000440992659 1072248964 /nfs/dbraw/zinc/24/89/64/1072248964.db2.gz PILQPQZZEKFCAN-MRXNPFEDSA-N 0 0 442.538 -0.535 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000440992997 1072249161 /nfs/dbraw/zinc/24/91/61/1072249161.db2.gz RSBNIWFHDSYVTD-INIZCTEOSA-N 0 0 447.492 -0.246 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000440993001 1072248979 /nfs/dbraw/zinc/24/89/79/1072248979.db2.gz RSBNIWFHDSYVTD-MRXNPFEDSA-N 0 0 447.492 -0.246 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000440994682 1072249778 /nfs/dbraw/zinc/24/97/78/1072249778.db2.gz WWOZAGASOSIWRH-KRWDZBQOSA-N 0 0 442.538 -0.072 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000440994683 1072249752 /nfs/dbraw/zinc/24/97/52/1072249752.db2.gz WWOZAGASOSIWRH-QGZVFWFLSA-N 0 0 442.538 -0.072 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)ccc21 ZINC000440994744 1072249917 /nfs/dbraw/zinc/24/99/17/1072249917.db2.gz XLRPEYMWFAYFFF-GOSISDBHSA-N 0 0 448.520 -0.298 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)ccc21 ZINC000440994745 1072249735 /nfs/dbraw/zinc/24/97/35/1072249735.db2.gz XLRPEYMWFAYFFF-SFHVURJKSA-N 0 0 448.520 -0.298 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000440994918 1072249218 /nfs/dbraw/zinc/24/92/18/1072249218.db2.gz ZPJSTKGQPVJMTN-IBGZPJMESA-N 0 0 447.536 -0.836 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000440994920 1072249005 /nfs/dbraw/zinc/24/90/05/1072249005.db2.gz ZPJSTKGQPVJMTN-LJQANCHMSA-N 0 0 447.536 -0.836 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000440995616 1072250314 /nfs/dbraw/zinc/25/03/14/1072250314.db2.gz WOQKESYATCPSMG-AWEZNQCLSA-N 0 0 431.486 -0.291 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000440995617 1072250460 /nfs/dbraw/zinc/25/04/60/1072250460.db2.gz WOQKESYATCPSMG-CQSZACIVSA-N 0 0 431.486 -0.291 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)ccc1N1CCCC1=O ZINC000440995631 1072249890 /nfs/dbraw/zinc/24/98/90/1072249890.db2.gz WQSNOTMFTRZIRX-INIZCTEOSA-N 0 0 434.493 -0.088 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)ccc1N1CCCC1=O ZINC000440995632 1072249836 /nfs/dbraw/zinc/24/98/36/1072249836.db2.gz WQSNOTMFTRZIRX-MRXNPFEDSA-N 0 0 434.493 -0.088 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000440995895 1072249594 /nfs/dbraw/zinc/24/95/94/1072249594.db2.gz XITMWSLHIIVMGG-KRWDZBQOSA-N 0 0 442.538 -0.072 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000440995898 1072249606 /nfs/dbraw/zinc/24/96/06/1072249606.db2.gz XITMWSLHIIVMGG-QGZVFWFLSA-N 0 0 442.538 -0.072 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000440995995 1072249578 /nfs/dbraw/zinc/24/95/78/1072249578.db2.gz XPIQYXJZGCLJPY-INIZCTEOSA-N 0 0 436.509 -0.123 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1C(=O)OC ZINC000440996013 1072249671 /nfs/dbraw/zinc/24/96/71/1072249671.db2.gz FTADGHXCYMEDFX-AWEZNQCLSA-N 0 0 437.449 -0.650 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1C(=O)OC ZINC000440996017 1072249650 /nfs/dbraw/zinc/24/96/50/1072249650.db2.gz FTADGHXCYMEDFX-CQSZACIVSA-N 0 0 437.449 -0.650 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000440996067 1072249871 /nfs/dbraw/zinc/24/98/71/1072249871.db2.gz XPIQYXJZGCLJPY-MRXNPFEDSA-N 0 0 436.509 -0.123 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000440996084 1072249690 /nfs/dbraw/zinc/24/96/90/1072249690.db2.gz XQBNVPPJIGYXMR-INIZCTEOSA-N 0 0 444.492 -0.630 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000440996087 1072249630 /nfs/dbraw/zinc/24/96/30/1072249630.db2.gz XQBNVPPJIGYXMR-MRXNPFEDSA-N 0 0 444.492 -0.630 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)[C@H](C)C1=O ZINC000440996337 1072249857 /nfs/dbraw/zinc/24/98/57/1072249857.db2.gz GSNPDSBQHDYKKC-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)[C@@H](C)C1=O ZINC000440996338 1072249811 /nfs/dbraw/zinc/24/98/11/1072249811.db2.gz GSNPDSBQHDYKKC-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)[C@@H](C)C1=O ZINC000440996935 1072250423 /nfs/dbraw/zinc/25/04/23/1072250423.db2.gz IBNMBYLWLBYHLU-HNNXBMFYSA-N 0 0 432.477 -0.058 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)[C@H](C)C1=O ZINC000440996936 1072250342 /nfs/dbraw/zinc/25/03/42/1072250342.db2.gz IBNMBYLWLBYHLU-OAHLLOKOSA-N 0 0 432.477 -0.058 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000440997366 1072250390 /nfs/dbraw/zinc/25/03/90/1072250390.db2.gz JEUGJOMIICNBMA-AWEZNQCLSA-N 0 0 428.511 -0.973 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000440997367 1072250281 /nfs/dbraw/zinc/25/02/81/1072250281.db2.gz JEUGJOMIICNBMA-CQSZACIVSA-N 0 0 428.511 -0.973 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)[C@@H](C)C1=O ZINC000440997573 1072250415 /nfs/dbraw/zinc/25/04/15/1072250415.db2.gz JZGXYJJODFHGDY-HNNXBMFYSA-N 0 0 431.493 -0.025 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)[C@H](C)C1=O ZINC000440997574 1072250469 /nfs/dbraw/zinc/25/04/69/1072250469.db2.gz JZGXYJJODFHGDY-OAHLLOKOSA-N 0 0 431.493 -0.025 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)[C@H](C)C1=O ZINC000440998402 1072250301 /nfs/dbraw/zinc/25/03/01/1072250301.db2.gz IQJKOSQMUZNIIU-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)[C@@H](C)C1=O ZINC000440998403 1072250453 /nfs/dbraw/zinc/25/04/53/1072250453.db2.gz IQJKOSQMUZNIIU-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000440998540 1072250974 /nfs/dbraw/zinc/25/09/74/1072250974.db2.gz NIUIUGKFWRDMBZ-GOSISDBHSA-N 0 0 448.520 -0.443 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000440998541 1072250751 /nfs/dbraw/zinc/25/07/51/1072250751.db2.gz NIUIUGKFWRDMBZ-SFHVURJKSA-N 0 0 448.520 -0.443 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c2ccccc2O1 ZINC000440998700 1072250937 /nfs/dbraw/zinc/25/09/37/1072250937.db2.gz JMFFXIRIOUBMQM-APWZRJJASA-N 0 0 448.520 -0.542 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c2ccccc2O1 ZINC000440998701 1072250895 /nfs/dbraw/zinc/25/08/95/1072250895.db2.gz JMFFXIRIOUBMQM-LPHOPBHVSA-N 0 0 448.520 -0.542 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c2ccccc2O1 ZINC000440998702 1072250865 /nfs/dbraw/zinc/25/08/65/1072250865.db2.gz JMFFXIRIOUBMQM-QFBILLFUSA-N 0 0 448.520 -0.542 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c2ccccc2O1 ZINC000440998703 1072250949 /nfs/dbraw/zinc/25/09/49/1072250949.db2.gz JMFFXIRIOUBMQM-VQIMIIECSA-N 0 0 448.520 -0.542 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1 ZINC000440999496 1072251002 /nfs/dbraw/zinc/25/10/02/1072251002.db2.gz LGGCVYUSTVNWPP-CYBMUJFWSA-N 0 0 448.929 -0.199 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1 ZINC000440999511 1072250911 /nfs/dbraw/zinc/25/09/11/1072250911.db2.gz LGGCVYUSTVNWPP-ZDUSSCGKSA-N 0 0 448.929 -0.199 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000440999594 1072250986 /nfs/dbraw/zinc/25/09/86/1072250986.db2.gz DSIQDAYYXNDVJL-UHFFFAOYSA-N 0 0 437.570 -0.146 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)C1=O ZINC000441000496 1072251013 /nfs/dbraw/zinc/25/10/13/1072251013.db2.gz QMEQUMIDCSFUCG-AWEZNQCLSA-N 0 0 438.506 -0.275 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)C1=O ZINC000441000498 1072251331 /nfs/dbraw/zinc/25/13/31/1072251331.db2.gz QMEQUMIDCSFUCG-CQSZACIVSA-N 0 0 438.506 -0.275 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)[C@@H](C)C1=O ZINC000441000597 1072250925 /nfs/dbraw/zinc/25/09/25/1072250925.db2.gz BGVILQJOOAYXSN-KKUMJFAQSA-N 0 0 434.497 -0.463 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)[C@H](C)C1=O ZINC000441000598 1072250966 /nfs/dbraw/zinc/25/09/66/1072250966.db2.gz BGVILQJOOAYXSN-QLFBSQMISA-N 0 0 434.497 -0.463 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)[C@H](C)C1=O ZINC000441000599 1072250932 /nfs/dbraw/zinc/25/09/32/1072250932.db2.gz BGVILQJOOAYXSN-SOUVJXGZSA-N 0 0 434.497 -0.463 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)[C@@H](C)C1=O ZINC000441000600 1072250853 /nfs/dbraw/zinc/25/08/53/1072250853.db2.gz BGVILQJOOAYXSN-ZNMIVQPWSA-N 0 0 434.497 -0.463 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000441001065 1072250719 /nfs/dbraw/zinc/25/07/19/1072250719.db2.gz OFDOZULWDCDLJO-HNNXBMFYSA-N 0 0 434.493 -0.688 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000441001068 1072250804 /nfs/dbraw/zinc/25/08/04/1072250804.db2.gz OFDOZULWDCDLJO-OAHLLOKOSA-N 0 0 434.493 -0.688 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000441001156 1072250832 /nfs/dbraw/zinc/25/08/32/1072250832.db2.gz CMVHBFROTJHYGD-HNNXBMFYSA-N 0 0 447.471 -0.231 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000441001165 1072250885 /nfs/dbraw/zinc/25/08/85/1072250885.db2.gz CMVHBFROTJHYGD-OAHLLOKOSA-N 0 0 447.471 -0.231 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000441001509 1072251503 /nfs/dbraw/zinc/25/15/03/1072251503.db2.gz PMACILCXDVBMHC-KRWDZBQOSA-N 0 0 440.522 -0.684 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000441001511 1072251406 /nfs/dbraw/zinc/25/14/06/1072251406.db2.gz PMACILCXDVBMHC-QGZVFWFLSA-N 0 0 440.522 -0.684 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000441002875 1072251436 /nfs/dbraw/zinc/25/14/36/1072251436.db2.gz SYXSRZKCAUGSRP-GOSISDBHSA-N 0 0 448.520 -0.443 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000441002876 1072251472 /nfs/dbraw/zinc/25/14/72/1072251472.db2.gz SYXSRZKCAUGSRP-SFHVURJKSA-N 0 0 448.520 -0.443 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(Cl)c1S(C)(=O)=O ZINC000441003320 1072251308 /nfs/dbraw/zinc/25/13/08/1072251308.db2.gz XHFVNNLMUZBAOM-GFCCVEGCSA-N 0 0 433.914 -0.167 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(Cl)c1S(C)(=O)=O ZINC000441003321 1072251494 /nfs/dbraw/zinc/25/14/94/1072251494.db2.gz XHFVNNLMUZBAOM-LBPRGKRZSA-N 0 0 433.914 -0.167 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1Cl ZINC000441003807 1072251395 /nfs/dbraw/zinc/25/13/95/1072251395.db2.gz WBWNWDQLSAOKIH-GFCCVEGCSA-N 0 0 433.914 -0.167 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1Cl ZINC000441003809 1072251461 /nfs/dbraw/zinc/25/14/61/1072251461.db2.gz WBWNWDQLSAOKIH-LBPRGKRZSA-N 0 0 433.914 -0.167 20 0 IBADRN CN(C)c1nnc(-c2ccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cc2)o1 ZINC000441004181 1072251381 /nfs/dbraw/zinc/25/13/81/1072251381.db2.gz WXVVTLYJXVLQNH-INIZCTEOSA-N 0 0 432.481 -0.107 20 0 IBADRN CN(C)c1nnc(-c2ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cc2)o1 ZINC000441004184 1072251515 /nfs/dbraw/zinc/25/15/15/1072251515.db2.gz WXVVTLYJXVLQNH-MRXNPFEDSA-N 0 0 432.481 -0.107 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(C(=O)c2nccn2C)cc1 ZINC000441004206 1072251562 /nfs/dbraw/zinc/25/15/62/1072251562.db2.gz XBFNFZJOBZSEQI-KRWDZBQOSA-N 0 0 429.477 -0.259 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(C(=O)c2nccn2C)cc1 ZINC000441004207 1072251544 /nfs/dbraw/zinc/25/15/44/1072251544.db2.gz XBFNFZJOBZSEQI-QGZVFWFLSA-N 0 0 429.477 -0.259 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1 ZINC000441004411 1072251339 /nfs/dbraw/zinc/25/13/39/1072251339.db2.gz ZTOVCUBDFRPFPE-INIZCTEOSA-N 0 0 436.900 0.070 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1 ZINC000441004413 1072251482 /nfs/dbraw/zinc/25/14/82/1072251482.db2.gz ZTOVCUBDFRPFPE-MRXNPFEDSA-N 0 0 436.900 0.070 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000441004493 1072252069 /nfs/dbraw/zinc/25/20/69/1072252069.db2.gz XEQGZLHDVODEIL-INIZCTEOSA-N 0 0 440.522 -0.783 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000441004497 1072248633 /nfs/dbraw/zinc/24/86/33/1072248633.db2.gz XEQGZLHDVODEIL-MRXNPFEDSA-N 0 0 440.522 -0.783 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)[C@H](C)C1=O ZINC000441004654 1072251532 /nfs/dbraw/zinc/25/15/32/1072251532.db2.gz BGSQZNUPZCXVQE-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)[C@@H](C)C1=O ZINC000441004655 1072252026 /nfs/dbraw/zinc/25/20/26/1072252026.db2.gz BGSQZNUPZCXVQE-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@@H](C)C1=O ZINC000441005232 1072251370 /nfs/dbraw/zinc/25/13/70/1072251370.db2.gz SPRXTUSBWNFITM-HNNXBMFYSA-N 0 0 434.497 -0.604 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@H](C)C1=O ZINC000441005234 1072251453 /nfs/dbraw/zinc/25/14/53/1072251453.db2.gz SPRXTUSBWNFITM-OAHLLOKOSA-N 0 0 434.497 -0.604 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCNC(=O)[C@@H]1c1cccs1 ZINC000441006073 1072252110 /nfs/dbraw/zinc/25/21/10/1072252110.db2.gz BMGIRGBNYUTQOW-INIZCTEOSA-N 0 0 432.506 -0.085 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCNC(=O)[C@H]1c1cccs1 ZINC000441006076 1072251981 /nfs/dbraw/zinc/25/19/81/1072251981.db2.gz BMGIRGBNYUTQOW-MRXNPFEDSA-N 0 0 432.506 -0.085 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(N2CCNC2=O)ccc1Cl ZINC000441008092 1072251993 /nfs/dbraw/zinc/25/19/93/1072251993.db2.gz IOYGBQXXJOUIFM-AWEZNQCLSA-N 0 0 439.900 -0.040 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(N2CCNC2=O)ccc1Cl ZINC000441008094 1072252029 /nfs/dbraw/zinc/25/20/29/1072252029.db2.gz IOYGBQXXJOUIFM-CQSZACIVSA-N 0 0 439.900 -0.040 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1Cl ZINC000441008556 1072251919 /nfs/dbraw/zinc/25/19/19/1072251919.db2.gz IZPJILSOZSRAGA-AWEZNQCLSA-N 0 0 443.884 -0.018 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1Cl ZINC000441008557 1072252004 /nfs/dbraw/zinc/25/20/04/1072252004.db2.gz IZPJILSOZSRAGA-CQSZACIVSA-N 0 0 443.884 -0.018 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccncc1OCC(F)F)C2 ZINC000441008756 1072251974 /nfs/dbraw/zinc/25/19/74/1072251974.db2.gz FGJUYLOKNQIFBA-UHFFFAOYSA-N 0 0 439.423 -0.103 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000441010028 1072252556 /nfs/dbraw/zinc/25/25/56/1072252556.db2.gz MIURMLZKVWFNTH-INIZCTEOSA-N 0 0 428.511 -0.462 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000441010031 1072252608 /nfs/dbraw/zinc/25/26/08/1072252608.db2.gz MIURMLZKVWFNTH-MRXNPFEDSA-N 0 0 428.511 -0.462 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000441010891 1072252640 /nfs/dbraw/zinc/25/26/40/1072252640.db2.gz OYGUEBRCLKRBRU-HNNXBMFYSA-N 0 0 428.511 -0.973 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000441010893 1072252567 /nfs/dbraw/zinc/25/25/67/1072252567.db2.gz OYGUEBRCLKRBRU-OAHLLOKOSA-N 0 0 428.511 -0.973 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)[C@@H](C)C1=O ZINC000441011316 1072252653 /nfs/dbraw/zinc/25/26/53/1072252653.db2.gz PWZKLRGJQSCEMV-INIZCTEOSA-N 0 0 445.520 -0.234 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)[C@H](C)C1=O ZINC000441011319 1072252483 /nfs/dbraw/zinc/25/24/83/1072252483.db2.gz PWZKLRGJQSCEMV-MRXNPFEDSA-N 0 0 445.520 -0.234 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2cccs2)cn1 ZINC000441012252 1072252661 /nfs/dbraw/zinc/25/26/61/1072252661.db2.gz LLNMPFVTZLAHCV-HNNXBMFYSA-N 0 0 434.478 -0.655 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2cccs2)cn1 ZINC000441012256 1072252634 /nfs/dbraw/zinc/25/26/34/1072252634.db2.gz LLNMPFVTZLAHCV-OAHLLOKOSA-N 0 0 434.478 -0.655 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000441012494 1072252620 /nfs/dbraw/zinc/25/26/20/1072252620.db2.gz SEMOJORECGBTJA-INIZCTEOSA-N 0 0 442.538 -0.537 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000441012495 1072252523 /nfs/dbraw/zinc/25/25/23/1072252523.db2.gz SEMOJORECGBTJA-MRXNPFEDSA-N 0 0 442.538 -0.537 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCNC(=O)[C@@H]1c1cccs1 ZINC000441013153 1073333916 /nfs/dbraw/zinc/33/39/16/1073333916.db2.gz NEZAJVDYUBXITM-INIZCTEOSA-N 0 0 432.506 -0.085 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCNC(=O)[C@H]1c1cccs1 ZINC000441013160 1073334663 /nfs/dbraw/zinc/33/46/63/1073334663.db2.gz NEZAJVDYUBXITM-MRXNPFEDSA-N 0 0 432.506 -0.085 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000441013344 1072252510 /nfs/dbraw/zinc/25/25/10/1072252510.db2.gz UZTKELLPIONTMO-IBGZPJMESA-N 0 0 448.520 -0.822 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000441013345 1072252699 /nfs/dbraw/zinc/25/26/99/1072252699.db2.gz UZTKELLPIONTMO-LJQANCHMSA-N 0 0 448.520 -0.822 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@H](C)C1=O ZINC000441013716 1072252549 /nfs/dbraw/zinc/25/25/49/1072252549.db2.gz VPLPQROTEKBWRQ-GFCCVEGCSA-N 0 0 430.465 -0.714 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@@H](C)C1=O ZINC000441013717 1072252543 /nfs/dbraw/zinc/25/25/43/1072252543.db2.gz VPLPQROTEKBWRQ-LBPRGKRZSA-N 0 0 430.465 -0.714 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)n2)cc1 ZINC000441014128 1073345175 /nfs/dbraw/zinc/34/51/75/1073345175.db2.gz WQCIBZVHOGSVIB-KRWDZBQOSA-N 0 0 431.493 0.030 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)n2)cc1 ZINC000441014129 1073345073 /nfs/dbraw/zinc/34/50/73/1073345073.db2.gz WQCIBZVHOGSVIB-QGZVFWFLSA-N 0 0 431.493 0.030 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2cccs2)c1 ZINC000441015818 1072252535 /nfs/dbraw/zinc/25/25/35/1072252535.db2.gz SBMWTZNRFIDICN-HNNXBMFYSA-N 0 0 429.458 -0.399 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2cccs2)c1 ZINC000441016007 1072252595 /nfs/dbraw/zinc/25/25/95/1072252595.db2.gz SBMWTZNRFIDICN-OAHLLOKOSA-N 0 0 429.458 -0.399 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441017926 1072253136 /nfs/dbraw/zinc/25/31/36/1072253136.db2.gz ZWLMHPSUELWNHC-HNNXBMFYSA-N 0 0 425.507 -0.061 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441017927 1072253176 /nfs/dbraw/zinc/25/31/76/1072253176.db2.gz ZWLMHPSUELWNHC-OAHLLOKOSA-N 0 0 425.507 -0.061 20 0 IBADRN CCN1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)[C@H](C)C1=O ZINC000441020557 1072253171 /nfs/dbraw/zinc/25/31/71/1072253171.db2.gz ICONUUHBGJJWFB-CRAIPNDOSA-N 0 0 444.488 -0.281 20 0 IBADRN CCN1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)[C@@H](C)C1=O ZINC000441020558 1072253098 /nfs/dbraw/zinc/25/30/98/1072253098.db2.gz ICONUUHBGJJWFB-MAUKXSAKSA-N 0 0 444.488 -0.281 20 0 IBADRN CCN1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)[C@H](C)C1=O ZINC000441020559 1072253106 /nfs/dbraw/zinc/25/31/06/1072253106.db2.gz ICONUUHBGJJWFB-QAPCUYQASA-N 0 0 444.488 -0.281 20 0 IBADRN CCN1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)[C@@H](C)C1=O ZINC000441020560 1072253160 /nfs/dbraw/zinc/25/31/60/1072253160.db2.gz ICONUUHBGJJWFB-YJBOKZPZSA-N 0 0 444.488 -0.281 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cc21 ZINC000441021229 1072253122 /nfs/dbraw/zinc/25/31/22/1072253122.db2.gz KDGGGCHCBOKGGS-GOSISDBHSA-N 0 0 445.520 -0.181 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cc21 ZINC000441021235 1072253182 /nfs/dbraw/zinc/25/31/82/1072253182.db2.gz KDGGGCHCBOKGGS-SFHVURJKSA-N 0 0 445.520 -0.181 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1-n1nnnc1C ZINC000441022107 1072253110 /nfs/dbraw/zinc/25/31/10/1072253110.db2.gz LUCMFPZXPPFMKL-HNNXBMFYSA-N 0 0 433.469 -0.931 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1-n1nnnc1C ZINC000441022110 1072253164 /nfs/dbraw/zinc/25/31/64/1072253164.db2.gz LUCMFPZXPPFMKL-OAHLLOKOSA-N 0 0 433.469 -0.931 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000441022494 1072253721 /nfs/dbraw/zinc/25/37/21/1072253721.db2.gz MQCDFUGJNQZLTR-FQEVSTJZSA-N 0 0 434.537 -0.349 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000441022495 1072253643 /nfs/dbraw/zinc/25/36/43/1072253643.db2.gz MQCDFUGJNQZLTR-HXUWFJFHSA-N 0 0 434.537 -0.349 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000441025040 1072253557 /nfs/dbraw/zinc/25/35/57/1072253557.db2.gz RGMUOAJHXDGPKC-INIZCTEOSA-N 0 0 444.488 -0.217 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000441025041 1072253693 /nfs/dbraw/zinc/25/36/93/1072253693.db2.gz RGMUOAJHXDGPKC-MRXNPFEDSA-N 0 0 444.488 -0.217 20 0 IBADRN C[C@@H]1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CCN1c1nccn2cnnc12 ZINC000441026638 1072253787 /nfs/dbraw/zinc/25/37/87/1072253787.db2.gz JPPPUPACDMWBRU-CYBMUJFWSA-N 0 0 430.494 -0.079 20 0 IBADRN C[C@H]1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CCN1c1nccn2cnnc12 ZINC000441026640 1072253577 /nfs/dbraw/zinc/25/35/77/1072253577.db2.gz JPPPUPACDMWBRU-ZDUSSCGKSA-N 0 0 430.494 -0.079 20 0 IBADRN O=C(NCc1ccncc1OCC(F)F)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000441032099 1072254278 /nfs/dbraw/zinc/25/42/78/1072254278.db2.gz QIYLPNYFKBNISD-UHFFFAOYSA-N 0 0 441.435 -0.062 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(c3nccn4cnnc34)[C@H](C)C2)c(=O)[nH]c1=O ZINC000441039592 1072253714 /nfs/dbraw/zinc/25/37/14/1072253714.db2.gz WESKNCGHOQAJLH-GFCCVEGCSA-N 0 0 443.468 -0.991 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(c3nccn4cnnc34)[C@@H](C)C2)c(=O)[nH]c1=O ZINC000441039597 1072253588 /nfs/dbraw/zinc/25/35/88/1072253588.db2.gz WESKNCGHOQAJLH-LBPRGKRZSA-N 0 0 443.468 -0.991 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(c3nccn4cnnc34)[C@H](C)C2)c(=O)[nH]c1=O ZINC000441041694 1072253544 /nfs/dbraw/zinc/25/35/44/1072253544.db2.gz CLXGHNUQFKQUBC-GFCCVEGCSA-N 0 0 427.469 -0.228 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(c3nccn4cnnc34)[C@@H](C)C2)c(=O)[nH]c1=O ZINC000441041698 1072253706 /nfs/dbraw/zinc/25/37/06/1072253706.db2.gz CLXGHNUQFKQUBC-LBPRGKRZSA-N 0 0 427.469 -0.228 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2cccs2)cn1)N1CCOCC1 ZINC000441047379 1072254244 /nfs/dbraw/zinc/25/42/44/1072254244.db2.gz GEAGFMXFSWGMCT-INIZCTEOSA-N 0 0 446.489 -0.558 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2cccs2)cn1)N1CCOCC1 ZINC000441047380 1072254861 /nfs/dbraw/zinc/25/48/61/1072254861.db2.gz GEAGFMXFSWGMCT-MRXNPFEDSA-N 0 0 446.489 -0.558 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CCN1c1nccn2cnnc12 ZINC000441050636 1072254803 /nfs/dbraw/zinc/25/48/03/1072254803.db2.gz LQJHCNUPANLZAY-HNNXBMFYSA-N 0 0 438.492 -0.185 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CCN1c1nccn2cnnc12 ZINC000441050641 1072254683 /nfs/dbraw/zinc/25/46/83/1072254683.db2.gz LQJHCNUPANLZAY-OAHLLOKOSA-N 0 0 438.492 -0.185 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCNC(=O)[C@@H]1c1cccs1 ZINC000441051516 1072254747 /nfs/dbraw/zinc/25/47/47/1072254747.db2.gz FRKHPIWWFZFLLB-INIZCTEOSA-N 0 0 428.474 -0.283 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCNC(=O)[C@H]1c1cccs1 ZINC000441051517 1072254710 /nfs/dbraw/zinc/25/47/10/1072254710.db2.gz FRKHPIWWFZFLLB-MRXNPFEDSA-N 0 0 428.474 -0.283 20 0 IBADRN C[C@@H]1CN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CCN1c1nccn2cnnc12 ZINC000441054025 1072254654 /nfs/dbraw/zinc/25/46/54/1072254654.db2.gz WVBVTYACSAYMBM-LLVKDONJSA-N 0 0 425.453 -0.303 20 0 IBADRN C[C@H]1CN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CCN1c1nccn2cnnc12 ZINC000441054029 1072254735 /nfs/dbraw/zinc/25/47/35/1072254735.db2.gz WVBVTYACSAYMBM-NSHDSACASA-N 0 0 425.453 -0.303 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441056232 1072254726 /nfs/dbraw/zinc/25/47/26/1072254726.db2.gz DQVXULZAGIHTFJ-ILXRZTDVSA-N 0 0 435.502 -0.325 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441056238 1072254697 /nfs/dbraw/zinc/25/46/97/1072254697.db2.gz DQVXULZAGIHTFJ-KFWWJZLASA-N 0 0 435.502 -0.325 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441056240 1072254857 /nfs/dbraw/zinc/25/48/57/1072254857.db2.gz DQVXULZAGIHTFJ-QLFBSQMISA-N 0 0 435.502 -0.325 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000441056243 1072254789 /nfs/dbraw/zinc/25/47/89/1072254789.db2.gz DQVXULZAGIHTFJ-RBSFLKMASA-N 0 0 435.502 -0.325 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCNC(=O)[C@H]3c3cccs3)cnc2n(C)c1=O ZINC000441062472 1072254234 /nfs/dbraw/zinc/25/42/34/1072254234.db2.gz YQBQDOCBPMKEJY-CYBMUJFWSA-N 0 0 442.457 -0.668 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3c3cccs3)cnc2n(C)c1=O ZINC000441062473 1072254396 /nfs/dbraw/zinc/25/43/96/1072254396.db2.gz YQBQDOCBPMKEJY-ZDUSSCGKSA-N 0 0 442.457 -0.668 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1 ZINC000441065781 1072254360 /nfs/dbraw/zinc/25/43/60/1072254360.db2.gz RHKOBAPMQAQNTE-INIZCTEOSA-N 0 0 431.603 -0.553 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1 ZINC000441065784 1072254210 /nfs/dbraw/zinc/25/42/10/1072254210.db2.gz RHKOBAPMQAQNTE-MRXNPFEDSA-N 0 0 431.603 -0.553 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CC[C@@H](S(=O)(=O)NC(C)C)C2)c(=O)n(C)c1=O ZINC000441066173 1072254322 /nfs/dbraw/zinc/25/43/22/1072254322.db2.gz KAWSYMBONVVVJW-CYBMUJFWSA-N 0 0 429.543 -0.630 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CC[C@H](S(=O)(=O)NC(C)C)C2)c(=O)n(C)c1=O ZINC000441066178 1072254201 /nfs/dbraw/zinc/25/42/01/1072254201.db2.gz KAWSYMBONVVVJW-ZDUSSCGKSA-N 0 0 429.543 -0.630 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C)CCN2CCN(c3ccccc3)CC2)cc1C ZINC000441066749 1073314352 /nfs/dbraw/zinc/31/43/52/1073314352.db2.gz PLUYZJRLVCGSRE-UHFFFAOYSA-N 0 0 441.536 0.157 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CC1 ZINC000441069058 1072255909 /nfs/dbraw/zinc/25/59/09/1072255909.db2.gz OKYXKKFHQQLRDM-UHFFFAOYSA-N 0 0 437.497 -0.239 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000441070503 1072255945 /nfs/dbraw/zinc/25/59/45/1072255945.db2.gz GJTXVLGTKQGCID-CYBMUJFWSA-N 0 0 434.540 -0.317 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000441070504 1072255951 /nfs/dbraw/zinc/25/59/51/1072255951.db2.gz GJTXVLGTKQGCID-ZDUSSCGKSA-N 0 0 434.540 -0.317 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC000441071140 1072255790 /nfs/dbraw/zinc/25/57/90/1072255790.db2.gz QDHKNPUFPUIOLP-HNNXBMFYSA-N 0 0 428.540 -0.032 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC000441071141 1072255985 /nfs/dbraw/zinc/25/59/85/1072255985.db2.gz QDHKNPUFPUIOLP-OAHLLOKOSA-N 0 0 428.540 -0.032 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)c(=O)[nH]c1=O ZINC000441071514 1072256000 /nfs/dbraw/zinc/25/60/00/1072256000.db2.gz XNCWKTAJWWVYHW-GFCCVEGCSA-N 0 0 441.444 -0.269 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)c(=O)[nH]c1=O ZINC000441071515 1072255990 /nfs/dbraw/zinc/25/59/90/1072255990.db2.gz XNCWKTAJWWVYHW-LBPRGKRZSA-N 0 0 441.444 -0.269 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000441073018 1072255925 /nfs/dbraw/zinc/25/59/25/1072255925.db2.gz ZEVUOPOAAWTUEE-AWEZNQCLSA-N 0 0 433.490 -0.305 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000441073023 1072255973 /nfs/dbraw/zinc/25/59/73/1072255973.db2.gz ZEVUOPOAAWTUEE-CQSZACIVSA-N 0 0 433.490 -0.305 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000441074316 1072255803 /nfs/dbraw/zinc/25/58/03/1072255803.db2.gz ZKADRWVCCBISSS-PBHICJAKSA-N 0 0 446.595 -0.217 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000441074317 1072255871 /nfs/dbraw/zinc/25/58/71/1072255871.db2.gz ZKADRWVCCBISSS-RHSMWYFYSA-N 0 0 446.595 -0.217 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000441074318 1072255893 /nfs/dbraw/zinc/25/58/93/1072255893.db2.gz ZKADRWVCCBISSS-WMLDXEAASA-N 0 0 446.595 -0.217 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000441074319 1072255842 /nfs/dbraw/zinc/25/58/42/1072255842.db2.gz ZKADRWVCCBISSS-YOEHRIQHSA-N 0 0 446.595 -0.217 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000441075150 1072256432 /nfs/dbraw/zinc/25/64/32/1072256432.db2.gz ANZNXMFJKPXUQS-LLVKDONJSA-N 0 0 440.494 -0.176 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000441075152 1072256478 /nfs/dbraw/zinc/25/64/78/1072256478.db2.gz ANZNXMFJKPXUQS-NSHDSACASA-N 0 0 440.494 -0.176 20 0 IBADRN C[C@@H]1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CCN1c1nccn2cnnc12 ZINC000441076172 1072255423 /nfs/dbraw/zinc/25/54/23/1072255423.db2.gz XQAMWROINRLYFA-CYBMUJFWSA-N 0 0 430.494 -0.079 20 0 IBADRN C[C@H]1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CCN1c1nccn2cnnc12 ZINC000441076173 1072255267 /nfs/dbraw/zinc/25/52/67/1072255267.db2.gz XQAMWROINRLYFA-ZDUSSCGKSA-N 0 0 430.494 -0.079 20 0 IBADRN CN(CC(=O)NCc1ccccc1)C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000441076567 1072255330 /nfs/dbraw/zinc/25/53/30/1072255330.db2.gz DYOMSSSIDOKJGI-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000441077349 1072255456 /nfs/dbraw/zinc/25/54/56/1072255456.db2.gz UDMGZSCKSHEBPG-UHFFFAOYSA-N 0 0 426.495 -0.336 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@@H](C)CN1Cc1ccccc1 ZINC000441077450 1072255395 /nfs/dbraw/zinc/25/53/95/1072255395.db2.gz HBJGXZBJCQDVJB-CVEARBPZSA-N 0 0 427.509 0.426 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@@H](C)CN1Cc1ccccc1 ZINC000441077452 1073313484 /nfs/dbraw/zinc/31/34/84/1073313484.db2.gz HBJGXZBJCQDVJB-HOTGVXAUSA-N 0 0 427.509 0.426 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@H](C)CN1Cc1ccccc1 ZINC000441077454 1072255372 /nfs/dbraw/zinc/25/53/72/1072255372.db2.gz HBJGXZBJCQDVJB-HZPDHXFCSA-N 0 0 427.509 0.426 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1C(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000441077456 1073304760 /nfs/dbraw/zinc/30/47/60/1073304760.db2.gz HBJGXZBJCQDVJB-JKSUJKDBSA-N 0 0 427.509 0.426 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000441079271 1072255238 /nfs/dbraw/zinc/25/52/38/1072255238.db2.gz KKNHJGVHOFZREN-UHFFFAOYSA-N 0 0 426.495 -0.336 20 0 IBADRN CN(CC(=O)NCc1ccccc1)C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000441080830 1072255305 /nfs/dbraw/zinc/25/53/05/1072255305.db2.gz QINBOHFEGHBNRB-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N(C)CCN2CCN(c3ccccc3)CC2)cn1 ZINC000441081294 1072255476 /nfs/dbraw/zinc/25/54/76/1072255476.db2.gz SBOIXUNJMJHGTE-UHFFFAOYSA-N 0 0 427.509 -0.152 20 0 IBADRN O=C(Cn1ccc(NC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)n1)N1CCOCC1 ZINC000441084550 1073322470 /nfs/dbraw/zinc/32/24/70/1073322470.db2.gz AMRRXBVCODAXPL-UHFFFAOYSA-N 0 0 440.526 -0.180 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000441085071 1072255432 /nfs/dbraw/zinc/25/54/32/1072255432.db2.gz CYSOAFQXOFAQQV-UHFFFAOYSA-N 0 0 426.467 -0.566 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1=O ZINC000441086410 1072255958 /nfs/dbraw/zinc/25/59/58/1072255958.db2.gz GLSNDAYQRJOATK-CYBMUJFWSA-N 0 0 448.448 -0.294 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1=O ZINC000441086414 1072256028 /nfs/dbraw/zinc/25/60/28/1072256028.db2.gz GLSNDAYQRJOATK-ZDUSSCGKSA-N 0 0 448.448 -0.294 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](S(=O)(=O)NC(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000441090264 1072256507 /nfs/dbraw/zinc/25/65/07/1072256507.db2.gz KRLVHFBBTBQNHK-CABCVRRESA-N 0 0 425.573 -0.949 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](S(=O)(=O)NC(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000441090265 1072256543 /nfs/dbraw/zinc/25/65/43/1072256543.db2.gz KRLVHFBBTBQNHK-GJZGRUSLSA-N 0 0 425.573 -0.949 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](S(=O)(=O)NC(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000441090266 1072256538 /nfs/dbraw/zinc/25/65/38/1072256538.db2.gz KRLVHFBBTBQNHK-HUUCEWRRSA-N 0 0 425.573 -0.949 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](S(=O)(=O)NC(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000441090267 1072256334 /nfs/dbraw/zinc/25/63/34/1072256334.db2.gz KRLVHFBBTBQNHK-LSDHHAIUSA-N 0 0 425.573 -0.949 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000441091159 1072257036 /nfs/dbraw/zinc/25/70/36/1072257036.db2.gz NJWVRQDAJRWNFI-UHFFFAOYSA-N 0 0 440.494 -0.176 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C1 ZINC000441091973 1072256984 /nfs/dbraw/zinc/25/69/84/1072256984.db2.gz OKQAZMLYQAPLKB-HNNXBMFYSA-N 0 0 426.605 -0.435 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C1 ZINC000441091974 1072256948 /nfs/dbraw/zinc/25/69/48/1072256948.db2.gz OKQAZMLYQAPLKB-OAHLLOKOSA-N 0 0 426.605 -0.435 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)C1 ZINC000441095861 1072256521 /nfs/dbraw/zinc/25/65/21/1072256521.db2.gz VDSGZCRBKOPCOP-UHFFFAOYSA-N 0 0 442.922 -0.052 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N(C)CCN2CCN(c3ccccc3)CC2)n1 ZINC000441097182 1072256583 /nfs/dbraw/zinc/25/65/83/1072256583.db2.gz YLZNEEOBTCIKQO-UHFFFAOYSA-N 0 0 442.524 -0.415 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000441098117 1072256561 /nfs/dbraw/zinc/25/65/61/1072256561.db2.gz ZTQQYTYJHLQSGO-GFCCVEGCSA-N 0 0 440.494 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000441098485 1072256515 /nfs/dbraw/zinc/25/65/15/1072256515.db2.gz RHCQTOIUYYDFQW-INIZCTEOSA-N 0 0 432.568 -0.605 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000441098486 1072256377 /nfs/dbraw/zinc/25/63/77/1072256377.db2.gz RHCQTOIUYYDFQW-MRXNPFEDSA-N 0 0 432.568 -0.605 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000441098722 1072256351 /nfs/dbraw/zinc/25/63/51/1072256351.db2.gz VBMFATFXGXTFBA-HNNXBMFYSA-N 0 0 430.552 -0.375 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000441098723 1072256498 /nfs/dbraw/zinc/25/64/98/1072256498.db2.gz VBMFATFXGXTFBA-OAHLLOKOSA-N 0 0 430.552 -0.375 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N(C)CCN2CCN(c3ccccc3)CC2)n1 ZINC000441105599 1072256457 /nfs/dbraw/zinc/25/64/57/1072256457.db2.gz RPZXDLHYYHSEOX-UHFFFAOYSA-N 0 0 441.536 0.190 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)cc1F)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000441107917 1072256970 /nfs/dbraw/zinc/25/69/70/1072256970.db2.gz CSUPLIWPJNRLQX-LLVKDONJSA-N 0 0 443.906 -0.130 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)cc1F)NC[C@H]1CS(=O)(=O)CCO1 ZINC000441107918 1072257050 /nfs/dbraw/zinc/25/70/50/1072257050.db2.gz CSUPLIWPJNRLQX-NSHDSACASA-N 0 0 443.906 -0.130 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000441109163 1072257056 /nfs/dbraw/zinc/25/70/56/1072257056.db2.gz FWVAVRJLWDBJCM-LLVKDONJSA-N 0 0 427.451 -0.644 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)NC[C@H]1CS(=O)(=O)CCO1 ZINC000441109164 1072257002 /nfs/dbraw/zinc/25/70/02/1072257002.db2.gz FWVAVRJLWDBJCM-NSHDSACASA-N 0 0 427.451 -0.644 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN([C@H](C)C(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441111122 1072257021 /nfs/dbraw/zinc/25/70/21/1072257021.db2.gz AUHQGIRANGUIBQ-GDBMZVCRSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN([C@@H](C)C(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441111126 1072256921 /nfs/dbraw/zinc/25/69/21/1072256921.db2.gz AUHQGIRANGUIBQ-GOEBONIOSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN([C@@H](C)C(=O)N(CC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000441111129 1072256963 /nfs/dbraw/zinc/25/69/63/1072256963.db2.gz AUHQGIRANGUIBQ-HOCLYGCPSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN([C@H](C)C(=O)N(CC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000441111132 1072257017 /nfs/dbraw/zinc/25/70/17/1072257017.db2.gz AUHQGIRANGUIBQ-ZBFHGGJFSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(CC(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000441114748 1072257023 /nfs/dbraw/zinc/25/70/23/1072257023.db2.gz HWPLVDWQKKBSGH-UHFFFAOYSA-N 0 0 440.522 -0.028 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000441117017 1072257045 /nfs/dbraw/zinc/25/70/45/1072257045.db2.gz CUMXPHSVTPTQEE-UHFFFAOYSA-N 0 0 443.498 -0.625 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)cn1 ZINC000441117980 1072256955 /nfs/dbraw/zinc/25/69/55/1072256955.db2.gz MYJNVKLJXLVEPY-UHFFFAOYSA-N 0 0 428.515 -0.276 20 0 IBADRN CN(CC(=O)NCc1ccccc1)C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000441119202 1072257710 /nfs/dbraw/zinc/25/77/10/1072257710.db2.gz OLJUSNVVZPZHMV-UHFFFAOYSA-N 0 0 425.445 -0.385 20 0 IBADRN CN(CC(=O)NCc1ccccc1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000441119523 1072256992 /nfs/dbraw/zinc/25/69/92/1072256992.db2.gz UGQQNALQRWZCBO-UHFFFAOYSA-N 0 0 438.444 -0.655 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CN(Cc3ccccc3)CC[C@@H]2CO)n1 ZINC000441120320 1072257574 /nfs/dbraw/zinc/25/75/74/1072257574.db2.gz DXBIQRGMTHUUKG-MSOLQXFVSA-N 0 0 442.520 -0.091 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CN(Cc3ccccc3)CC[C@@H]2CO)n1 ZINC000441120325 1072257672 /nfs/dbraw/zinc/25/76/72/1072257672.db2.gz DXBIQRGMTHUUKG-QZTJIDSGSA-N 0 0 442.520 -0.091 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CN(Cc3ccccc3)CC[C@H]2CO)n1 ZINC000441120327 1072257700 /nfs/dbraw/zinc/25/77/00/1072257700.db2.gz DXBIQRGMTHUUKG-ROUUACIJSA-N 0 0 442.520 -0.091 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CN(Cc3ccccc3)CC[C@H]2CO)n1 ZINC000441120330 1072257614 /nfs/dbraw/zinc/25/76/14/1072257614.db2.gz DXBIQRGMTHUUKG-ZWKOTPCHSA-N 0 0 442.520 -0.091 20 0 IBADRN CN(CC(=O)NCc1ccccc1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000441120785 1072257482 /nfs/dbraw/zinc/25/74/82/1072257482.db2.gz XGTDJFSOJJNJRO-UHFFFAOYSA-N 0 0 442.476 -0.545 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2C)CC1 ZINC000441128917 1072257595 /nfs/dbraw/zinc/25/75/95/1072257595.db2.gz AIAOTBSIONEIGT-UHFFFAOYSA-N 0 0 440.522 -0.028 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)nn1 ZINC000441129463 1072257661 /nfs/dbraw/zinc/25/76/61/1072257661.db2.gz VLURALOLZNMQEN-UHFFFAOYSA-N 0 0 432.409 -0.493 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000441130942 1072258269 /nfs/dbraw/zinc/25/82/69/1072258269.db2.gz AYOMQMGSHUQFOU-UHFFFAOYSA-N 0 0 426.543 0.293 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@H]1CN(Cc2ccccc2)CC[C@@H]1CO ZINC000441134005 1072258282 /nfs/dbraw/zinc/25/82/82/1072258282.db2.gz IWMPLEYYWCYMKO-AEFFLSMTSA-N 0 0 443.504 0.535 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@@H]1CN(Cc2ccccc2)CC[C@H]1CO ZINC000441134007 1072258205 /nfs/dbraw/zinc/25/82/05/1072258205.db2.gz IWMPLEYYWCYMKO-FUHWJXTLSA-N 0 0 443.504 0.535 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@@H]1CN(Cc2ccccc2)CC[C@@H]1CO ZINC000441134009 1072258013 /nfs/dbraw/zinc/25/80/13/1072258013.db2.gz IWMPLEYYWCYMKO-SJLPKXTDSA-N 0 0 443.504 0.535 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@H]1CN(Cc2ccccc2)CC[C@H]1CO ZINC000441134011 1072258147 /nfs/dbraw/zinc/25/81/47/1072258147.db2.gz IWMPLEYYWCYMKO-WMZOPIPTSA-N 0 0 443.504 0.535 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CN(Cc3ccccc3)CC[C@H]2CO)cn1 ZINC000441136618 1072257421 /nfs/dbraw/zinc/25/74/21/1072257421.db2.gz WQIRRKXVUUBWPG-HKUYNNGSSA-N 0 0 442.520 -0.091 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CN(Cc3ccccc3)CC[C@@H]2CO)cn1 ZINC000441136619 1072257648 /nfs/dbraw/zinc/25/76/48/1072257648.db2.gz WQIRRKXVUUBWPG-IEBWSBKVSA-N 0 0 442.520 -0.091 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CN(Cc3ccccc3)CC[C@@H]2CO)cn1 ZINC000441136621 1072257631 /nfs/dbraw/zinc/25/76/31/1072257631.db2.gz WQIRRKXVUUBWPG-MJGOQNOKSA-N 0 0 442.520 -0.091 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CN(Cc3ccccc3)CC[C@H]2CO)cn1 ZINC000441136623 1072257527 /nfs/dbraw/zinc/25/75/27/1072257527.db2.gz WQIRRKXVUUBWPG-PKOBYXMFSA-N 0 0 442.520 -0.091 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CN(Cc3ccccc3)CC[C@@H]2CO)cc1C ZINC000441137405 1073315950 /nfs/dbraw/zinc/31/59/50/1073315950.db2.gz FQEIHGZUJXWBRF-MSOLQXFVSA-N 0 0 442.520 -0.125 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CN(Cc3ccccc3)CC[C@@H]2CO)cc1C ZINC000441137408 1073315324 /nfs/dbraw/zinc/31/53/24/1073315324.db2.gz FQEIHGZUJXWBRF-QZTJIDSGSA-N 0 0 442.520 -0.125 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CN(Cc3ccccc3)CC[C@H]2CO)cc1C ZINC000441137410 1073315863 /nfs/dbraw/zinc/31/58/63/1073315863.db2.gz FQEIHGZUJXWBRF-ROUUACIJSA-N 0 0 442.520 -0.125 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CN(Cc3ccccc3)CC[C@H]2CO)cc1C ZINC000441137412 1073315387 /nfs/dbraw/zinc/31/53/87/1073315387.db2.gz FQEIHGZUJXWBRF-ZWKOTPCHSA-N 0 0 442.520 -0.125 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000441138042 1072257514 /nfs/dbraw/zinc/25/75/14/1072257514.db2.gz UJZNJCWFAOZTPM-UHFFFAOYSA-N 0 0 444.517 -0.132 20 0 IBADRN O=C(Cn1cc(NC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)cn1)N1CCOCC1 ZINC000441140935 1072257688 /nfs/dbraw/zinc/25/76/88/1072257688.db2.gz ZRXOLMMTAYBWPI-UHFFFAOYSA-N 0 0 440.526 -0.180 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CN(Cc3ccccc3)CC[C@@H]2CO)cn1 ZINC000441153120 1072258068 /nfs/dbraw/zinc/25/80/68/1072258068.db2.gz YKBVEIGAXPJLNW-AEFFLSMTSA-N 0 0 428.493 -0.433 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CN(Cc3ccccc3)CC[C@H]2CO)cn1 ZINC000441153121 1072258221 /nfs/dbraw/zinc/25/82/21/1072258221.db2.gz YKBVEIGAXPJLNW-FUHWJXTLSA-N 0 0 428.493 -0.433 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CN(Cc3ccccc3)CC[C@@H]2CO)cn1 ZINC000441153122 1072258248 /nfs/dbraw/zinc/25/82/48/1072258248.db2.gz YKBVEIGAXPJLNW-SJLPKXTDSA-N 0 0 428.493 -0.433 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CN(Cc3ccccc3)CC[C@H]2CO)cn1 ZINC000441153123 1072258843 /nfs/dbraw/zinc/25/88/43/1072258843.db2.gz YKBVEIGAXPJLNW-WMZOPIPTSA-N 0 0 428.493 -0.433 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000441153677 1072258868 /nfs/dbraw/zinc/25/88/68/1072258868.db2.gz IZFAVGVZJNBHPM-UHFFFAOYSA-N 0 0 435.865 -0.002 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H](Cc1ccccc1)C(=O)NCc1ccccc1)S(N)(=O)=O ZINC000441157436 1072258904 /nfs/dbraw/zinc/25/89/04/1072258904.db2.gz NSFLQOFUGXLRPY-CRAIPNDOSA-N 0 0 446.529 -0.177 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H](Cc1ccccc1)C(=O)NCc1ccccc1)S(N)(=O)=O ZINC000441157437 1072258702 /nfs/dbraw/zinc/25/87/02/1072258702.db2.gz NSFLQOFUGXLRPY-MAUKXSAKSA-N 0 0 446.529 -0.177 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H](Cc1ccccc1)C(=O)NCc1ccccc1)S(N)(=O)=O ZINC000441157438 1072258926 /nfs/dbraw/zinc/25/89/26/1072258926.db2.gz NSFLQOFUGXLRPY-QAPCUYQASA-N 0 0 446.529 -0.177 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H](Cc1ccccc1)C(=O)NCc1ccccc1)S(N)(=O)=O ZINC000441157439 1072258911 /nfs/dbraw/zinc/25/89/11/1072258911.db2.gz NSFLQOFUGXLRPY-YJBOKZPZSA-N 0 0 446.529 -0.177 20 0 IBADRN O=C(CN1CCS(=O)(=O)[C@@H]2CCCC[C@H]21)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441157723 1072258932 /nfs/dbraw/zinc/25/89/32/1072258932.db2.gz OHSRZCNAPXHUQR-BRWVUGGUSA-N 0 0 433.596 -0.641 20 0 IBADRN O=C(CN1CCS(=O)(=O)[C@@H]2CCCC[C@H]21)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000441157724 1072258731 /nfs/dbraw/zinc/25/87/31/1072258731.db2.gz OHSRZCNAPXHUQR-GVDBMIGSSA-N 0 0 433.596 -0.641 20 0 IBADRN O=C(CN1CCS(=O)(=O)[C@@H]2CCCC[C@@H]21)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441157725 1072258920 /nfs/dbraw/zinc/25/89/20/1072258920.db2.gz OHSRZCNAPXHUQR-IXDOHACOSA-N 0 0 433.596 -0.641 20 0 IBADRN O=C(CN1CCS(=O)(=O)[C@@H]2CCCC[C@@H]21)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000441157726 1072258753 /nfs/dbraw/zinc/25/87/53/1072258753.db2.gz OHSRZCNAPXHUQR-YESZJQIVSA-N 0 0 433.596 -0.641 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000441162244 1072258714 /nfs/dbraw/zinc/25/87/14/1072258714.db2.gz CAPKQEIDLRAUCG-UHFFFAOYSA-N 0 0 428.483 -0.128 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)NCCN1CCCS1(=O)=O ZINC000441162369 1072258794 /nfs/dbraw/zinc/25/87/94/1072258794.db2.gz CYIPZPTVRKCONO-UHFFFAOYSA-N 0 0 426.467 -0.422 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000441163047 1072258764 /nfs/dbraw/zinc/25/87/64/1072258764.db2.gz CZBGGECCKUDROK-GFCCVEGCSA-N 0 0 440.494 -0.034 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000441163048 1072258937 /nfs/dbraw/zinc/25/89/37/1072258937.db2.gz CZBGGECCKUDROK-LBPRGKRZSA-N 0 0 440.494 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000441163372 1072258665 /nfs/dbraw/zinc/25/86/65/1072258665.db2.gz CXZWWHGFVCOZIO-UHFFFAOYSA-N 0 0 428.483 -0.130 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000441168065 1072259385 /nfs/dbraw/zinc/25/93/85/1072259385.db2.gz PORMJTAIFOBKRQ-UHFFFAOYSA-N 0 0 430.911 -0.004 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000441170853 1072261756 /nfs/dbraw/zinc/26/17/56/1072261756.db2.gz FAONLWUTHUPZMB-UHFFFAOYSA-N 0 0 428.421 -0.238 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4ccccn4)CC3)cnc2n(C)c1=O ZINC000441176884 1072259247 /nfs/dbraw/zinc/25/92/47/1072259247.db2.gz AENJMSQUBSJASS-UHFFFAOYSA-N 0 0 437.460 -0.690 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(CCNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000441179068 1072259419 /nfs/dbraw/zinc/25/94/19/1072259419.db2.gz UMMIMOLSIOWKKH-UHFFFAOYSA-N 0 0 448.615 -0.175 20 0 IBADRN O=C(CN1CCS(=O)(=O)C2(CCCCC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000441181086 1072259356 /nfs/dbraw/zinc/25/93/56/1072259356.db2.gz XEIXIJOQPJZWDT-KRWDZBQOSA-N 0 0 447.623 -0.249 20 0 IBADRN O=C(CN1CCS(=O)(=O)C2(CCCCC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441181088 1072259438 /nfs/dbraw/zinc/25/94/38/1072259438.db2.gz XEIXIJOQPJZWDT-QGZVFWFLSA-N 0 0 447.623 -0.249 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000441181719 1072260776 /nfs/dbraw/zinc/26/07/76/1072260776.db2.gz IEMMPEAYOHJLHV-AWEZNQCLSA-N 0 0 427.479 -0.151 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C ZINC000441184326 1072260866 /nfs/dbraw/zinc/26/08/66/1072260866.db2.gz OLLHSCVIKSNKCJ-AWEZNQCLSA-N 0 0 448.455 0.473 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1cc(C)nn1-c1ncccn1 ZINC000441184727 1072261346 /nfs/dbraw/zinc/26/13/46/1072261346.db2.gz QCHQVNDDHDAFBZ-ZDUSSCGKSA-N 0 0 431.453 -0.271 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC([C@]4(C)NC(=O)NC4=O)CC3)cc2C1=O ZINC000441185527 1072260800 /nfs/dbraw/zinc/26/08/00/1072260800.db2.gz SWYIAVMOZYHOIR-FQEVSTJZSA-N 0 0 427.417 -0.312 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)cc2C1=O ZINC000441185531 1072260883 /nfs/dbraw/zinc/26/08/83/1072260883.db2.gz SWYIAVMOZYHOIR-HXUWFJFHSA-N 0 0 427.417 -0.312 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)OC(C)(C)C)n1 ZINC000441185695 1072261325 /nfs/dbraw/zinc/26/13/25/1072261325.db2.gz UJTCXPBTXVVKJN-CQSZACIVSA-N 0 0 430.465 0.032 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000441186836 1072259453 /nfs/dbraw/zinc/25/94/53/1072259453.db2.gz FEEWEOGKJLDEOC-AWEZNQCLSA-N 0 0 439.490 -0.225 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)cc1 ZINC000441188116 1072259373 /nfs/dbraw/zinc/25/93/73/1072259373.db2.gz JEWQIXJBFMDUPG-UHFFFAOYSA-N 0 0 443.551 -0.287 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)NC(=O)NC1=O ZINC000441190431 1072259278 /nfs/dbraw/zinc/25/92/78/1072259278.db2.gz RVSPRGSBBFMPNP-GOSISDBHSA-N 0 0 430.400 -0.423 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)NC(=O)NC1=O ZINC000441190433 1072259330 /nfs/dbraw/zinc/25/93/30/1072259330.db2.gz RVSPRGSBBFMPNP-SFHVURJKSA-N 0 0 430.400 -0.423 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000441190676 1072259231 /nfs/dbraw/zinc/25/92/31/1072259231.db2.gz SCDZMDVJQWJHTJ-CALCHBBNSA-N 0 0 426.587 -0.180 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)c2ccccc2O1 ZINC000441190681 1072259412 /nfs/dbraw/zinc/25/94/12/1072259412.db2.gz TTWSXJYUIBACAK-BBRMVZONSA-N 0 0 448.476 -0.653 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000441190682 1072259198 /nfs/dbraw/zinc/25/91/98/1072259198.db2.gz SCDZMDVJQWJHTJ-IAGOWNOFSA-N 0 0 426.587 -0.180 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)c2ccccc2O1 ZINC000441190684 1072259822 /nfs/dbraw/zinc/25/98/22/1072259822.db2.gz TTWSXJYUIBACAK-XJKSGUPXSA-N 0 0 448.476 -0.653 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000441190685 1072259846 /nfs/dbraw/zinc/25/98/46/1072259846.db2.gz SCDZMDVJQWJHTJ-IRXDYDNUSA-N 0 0 426.587 -0.180 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)OC(C)(C)C)n1 ZINC000441190757 1072259881 /nfs/dbraw/zinc/25/98/81/1072259881.db2.gz TWENQKJCSNMTLO-OAHLLOKOSA-N 0 0 444.492 0.374 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H](C(=O)OC(C)(C)C)c2ccccc2)n1 ZINC000441190904 1072259366 /nfs/dbraw/zinc/25/93/66/1072259366.db2.gz CNPOOLMZBVYGHN-HNNXBMFYSA-N 0 0 430.465 0.504 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000441191883 1072259671 /nfs/dbraw/zinc/25/96/71/1072259671.db2.gz ATNUMTOPYUTHDY-UHFFFAOYSA-N 0 0 440.494 -0.034 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000441192644 1072259870 /nfs/dbraw/zinc/25/98/70/1072259870.db2.gz GPJFQWNZWVAMPF-UHFFFAOYSA-N 0 0 428.540 -0.832 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cc3csc(CS(C)(=O)=O)n3)CC2)CC1 ZINC000441192690 1072259736 /nfs/dbraw/zinc/25/97/36/1072259736.db2.gz GVSQLOPRLUMLRU-UHFFFAOYSA-N 0 0 437.613 -0.703 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000441193472 1072259757 /nfs/dbraw/zinc/25/97/57/1072259757.db2.gz XQPCWMQGDHINHU-UHFFFAOYSA-N 0 0 427.552 -0.154 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000441193990 1072259834 /nfs/dbraw/zinc/25/98/34/1072259834.db2.gz DHTLHIFBTCBZHV-HNNXBMFYSA-N 0 0 435.481 -0.323 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)c1 ZINC000441194376 1072259795 /nfs/dbraw/zinc/25/97/95/1072259795.db2.gz JBEBRSJHWYDQSE-AWEZNQCLSA-N 0 0 442.494 -0.647 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000441195761 1073345976 /nfs/dbraw/zinc/34/59/76/1073345976.db2.gz JPDUSBRLMUUCHB-FQEVSTJZSA-N 0 0 443.439 0.490 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000441195763 1073346140 /nfs/dbraw/zinc/34/61/40/1073346140.db2.gz JPDUSBRLMUUCHB-HXUWFJFHSA-N 0 0 443.439 0.490 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c1 ZINC000441195803 1072259857 /nfs/dbraw/zinc/25/98/57/1072259857.db2.gz BNEFWANXMCOQKI-NRFANRHFSA-N 0 0 445.476 -0.023 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c1 ZINC000441195806 1072260177 /nfs/dbraw/zinc/26/01/77/1072260177.db2.gz BNEFWANXMCOQKI-OAQYLSRUSA-N 0 0 445.476 -0.023 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1C ZINC000441195940 1072259896 /nfs/dbraw/zinc/25/98/96/1072259896.db2.gz IOEMWRYPHDUHLO-INIZCTEOSA-N 0 0 449.508 -0.015 20 0 IBADRN Cc1cc(N2CC[C@H](N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@@H](C)C4)CC3)C2=O)n(C)n1 ZINC000441197383 1072260335 /nfs/dbraw/zinc/26/03/35/1072260335.db2.gz NOHVPKLOEHPFQM-BBWFWOEESA-N 0 0 440.570 -0.195 20 0 IBADRN Cc1cc(N2CC[C@@H](N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@@H](C)C4)CC3)C2=O)n(C)n1 ZINC000441197384 1072260215 /nfs/dbraw/zinc/26/02/15/1072260215.db2.gz NOHVPKLOEHPFQM-IXDOHACOSA-N 0 0 440.570 -0.195 20 0 IBADRN Cc1cc(N2CC[C@H](N3CCN(S(=O)(=O)N4C[C@H](C)O[C@@H](C)C4)CC3)C2=O)n(C)n1 ZINC000441197385 1072260415 /nfs/dbraw/zinc/26/04/15/1072260415.db2.gz NOHVPKLOEHPFQM-ULQDDVLXSA-N 0 0 440.570 -0.195 20 0 IBADRN Cc1cc(N2CC[C@H](N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@H](C)C4)CC3)C2=O)n(C)n1 ZINC000441197386 1072260454 /nfs/dbraw/zinc/26/04/54/1072260454.db2.gz NOHVPKLOEHPFQM-ZACQAIPSSA-N 0 0 440.570 -0.195 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)cn1 ZINC000441197595 1072260165 /nfs/dbraw/zinc/26/01/65/1072260165.db2.gz FUHWNNUDXZEYPG-UHFFFAOYSA-N 0 0 429.481 -0.676 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000441197698 1072260229 /nfs/dbraw/zinc/26/02/29/1072260229.db2.gz BGCGBCOXTACJLD-NRFANRHFSA-N 0 0 444.492 -0.038 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000441197702 1072260368 /nfs/dbraw/zinc/26/03/68/1072260368.db2.gz BGCGBCOXTACJLD-OAQYLSRUSA-N 0 0 444.492 -0.038 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000441197803 1072260357 /nfs/dbraw/zinc/26/03/57/1072260357.db2.gz ARSZTBPZXBZZPZ-NRFANRHFSA-N 0 0 445.476 -0.071 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000441197808 1072260346 /nfs/dbraw/zinc/26/03/46/1072260346.db2.gz ARSZTBPZXBZZPZ-OAQYLSRUSA-N 0 0 445.476 -0.071 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000441198624 1072260445 /nfs/dbraw/zinc/26/04/45/1072260445.db2.gz JKBABZVOLGGQHP-NRFANRHFSA-N 0 0 445.476 -0.023 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000441198625 1072260291 /nfs/dbraw/zinc/26/02/91/1072260291.db2.gz JKBABZVOLGGQHP-OAQYLSRUSA-N 0 0 445.476 -0.023 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccnn1-c1ccc(C)nn1 ZINC000441199158 1072260436 /nfs/dbraw/zinc/26/04/36/1072260436.db2.gz JUTFOKPEHOCYDY-ZDUSSCGKSA-N 0 0 431.453 -0.271 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)ccc1F ZINC000441199892 1072260427 /nfs/dbraw/zinc/26/04/27/1072260427.db2.gz IOIHKJIYEULJFZ-IBGZPJMESA-N 0 0 444.427 -0.115 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)ccc1F ZINC000441199896 1072260461 /nfs/dbraw/zinc/26/04/61/1072260461.db2.gz IOIHKJIYEULJFZ-LJQANCHMSA-N 0 0 444.427 -0.115 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(C)c(-n2cnnn2)c1 ZINC000441200666 1072260812 /nfs/dbraw/zinc/26/08/12/1072260812.db2.gz LOZKSRLFCMTQOY-AWEZNQCLSA-N 0 0 431.453 -0.271 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CC[S@](=O)C3)c2)CC1 ZINC000441200921 1072260845 /nfs/dbraw/zinc/26/08/45/1072260845.db2.gz MGAFKCWEHWSFOE-HBIPHATFSA-N 0 0 442.563 -0.412 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CC[S@](=O)C3)c2)CC1 ZINC000441201471 1072260829 /nfs/dbraw/zinc/26/08/29/1072260829.db2.gz MGAFKCWEHWSFOE-JMGYQRAPSA-N 0 0 442.563 -0.412 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CC[S@@](=O)C3)c2)CC1 ZINC000441201473 1072260856 /nfs/dbraw/zinc/26/08/56/1072260856.db2.gz MGAFKCWEHWSFOE-OHZJNBGDSA-N 0 0 442.563 -0.412 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CC[S@@](=O)C3)c2)CC1 ZINC000441201475 1072260962 /nfs/dbraw/zinc/26/09/62/1072260962.db2.gz MGAFKCWEHWSFOE-WQIZZMQYSA-N 0 0 442.563 -0.412 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC([C@]4(C)NC(=O)NC4=O)CC3)cc2n(C)c1=O ZINC000441202412 1072260933 /nfs/dbraw/zinc/26/09/33/1072260933.db2.gz LOBDTPYUDOJMNA-FQEVSTJZSA-N 0 0 428.449 -0.348 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)cc2n(C)c1=O ZINC000441202414 1072260788 /nfs/dbraw/zinc/26/07/88/1072260788.db2.gz LOBDTPYUDOJMNA-HXUWFJFHSA-N 0 0 428.449 -0.348 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC(N3CCCNC3=O)CC2)CC1 ZINC000441202716 1072260308 /nfs/dbraw/zinc/26/03/08/1072260308.db2.gz ROMOFKJDIRLVAI-UHFFFAOYSA-N 0 0 441.540 -0.108 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(Cc2ccccn2)CC1 ZINC000441203868 1073333886 /nfs/dbraw/zinc/33/38/86/1073333886.db2.gz RVFRFDAPEJYBHE-UHFFFAOYSA-N 0 0 427.509 -0.107 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cn1 ZINC000441204042 1072261731 /nfs/dbraw/zinc/26/17/31/1072261731.db2.gz SXSGCVRJYFKPHS-FQEVSTJZSA-N 0 0 448.480 -0.044 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cn1 ZINC000441204046 1072261803 /nfs/dbraw/zinc/26/18/03/1072261803.db2.gz SXSGCVRJYFKPHS-HXUWFJFHSA-N 0 0 448.480 -0.044 20 0 IBADRN Cn1ccc(N2CCC[C@H](N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)C2=O)n1 ZINC000441204748 1072261746 /nfs/dbraw/zinc/26/17/46/1072261746.db2.gz VCEHJDAIONFVHX-INIZCTEOSA-N 0 0 425.559 -0.975 20 0 IBADRN Cn1ccc(N2CCC[C@@H](N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)C2=O)n1 ZINC000441204759 1072261706 /nfs/dbraw/zinc/26/17/06/1072261706.db2.gz VCEHJDAIONFVHX-MRXNPFEDSA-N 0 0 425.559 -0.975 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000441205690 1072261856 /nfs/dbraw/zinc/26/18/56/1072261856.db2.gz VWOTXDCPRWHBDI-AWEZNQCLSA-N 0 0 435.416 -0.440 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)cn1)N1CCOCC1 ZINC000441206235 1072261717 /nfs/dbraw/zinc/26/17/17/1072261717.db2.gz VQCZFPFWKDKBSH-UHFFFAOYSA-N 0 0 441.492 -0.580 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1 ZINC000441206345 1072261829 /nfs/dbraw/zinc/26/18/29/1072261829.db2.gz VSFPKPGNKFIDGG-AWEZNQCLSA-N 0 0 449.443 -0.132 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000441206619 1072261937 /nfs/dbraw/zinc/26/19/37/1072261937.db2.gz XFJNXTRSHDQHLG-HNNXBMFYSA-N 0 0 446.464 -0.670 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1-n1cnnn1 ZINC000441207184 1072262302 /nfs/dbraw/zinc/26/23/02/1072262302.db2.gz LGDLIRRZIJOLBQ-IBGZPJMESA-N 0 0 442.436 -0.554 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1-n1cnnn1 ZINC000441207237 1072262380 /nfs/dbraw/zinc/26/23/80/1072262380.db2.gz LGDLIRRZIJOLBQ-LJQANCHMSA-N 0 0 442.436 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)C[C@H](C)O1 ZINC000441207414 1072262336 /nfs/dbraw/zinc/26/23/36/1072262336.db2.gz YHRAADAOMJJOEQ-BBWFWOEESA-N 0 0 440.570 -0.113 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)C[C@@H](C)O1 ZINC000441207430 1072262371 /nfs/dbraw/zinc/26/23/71/1072262371.db2.gz YHRAADAOMJJOEQ-BRWVUGGUSA-N 0 0 440.570 -0.113 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)C[C@H](C)O1 ZINC000441207434 1072262403 /nfs/dbraw/zinc/26/24/03/1072262403.db2.gz YHRAADAOMJJOEQ-IXDOHACOSA-N 0 0 440.570 -0.113 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)C[C@@H](C)O1 ZINC000441207439 1072262377 /nfs/dbraw/zinc/26/23/77/1072262377.db2.gz YHRAADAOMJJOEQ-ZACQAIPSSA-N 0 0 440.570 -0.113 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000441207732 1072262359 /nfs/dbraw/zinc/26/23/59/1072262359.db2.gz MAIFGVAEFDPBHJ-UHFFFAOYSA-N 0 0 433.481 -0.247 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000441207933 1072262310 /nfs/dbraw/zinc/26/23/10/1072262310.db2.gz XXUVUVPTVYVOOZ-LBPRGKRZSA-N 0 0 428.467 -0.756 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc2c(c1)n(C)c(=O)n2C ZINC000441208344 1072262346 /nfs/dbraw/zinc/26/23/46/1072262346.db2.gz YCQGXYKYRGWRIN-ZDUSSCGKSA-N 0 0 433.465 -0.364 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000441208396 1072262322 /nfs/dbraw/zinc/26/23/22/1072262322.db2.gz ZGHWRSIZGRLEAS-AWEZNQCLSA-N 0 0 445.469 -0.012 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000441209840 1072262352 /nfs/dbraw/zinc/26/23/52/1072262352.db2.gz ZKRYVYCJWQQHME-ZDUSSCGKSA-N 0 0 427.479 -0.151 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(N2CCNC2=O)cc1 ZINC000441210545 1072262316 /nfs/dbraw/zinc/26/23/16/1072262316.db2.gz OPJCVUCBTSOFCN-HNNXBMFYSA-N 0 0 433.465 -0.025 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000441210744 1072262385 /nfs/dbraw/zinc/26/23/85/1072262385.db2.gz UHMNDJHNKPNUQE-AWEZNQCLSA-N 0 0 427.479 -0.151 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000441211129 1072262392 /nfs/dbraw/zinc/26/23/92/1072262392.db2.gz QOGUKXACOALBGK-AWEZNQCLSA-N 0 0 432.433 -0.329 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(Cc2ccccn2)CC1 ZINC000441212299 1072262408 /nfs/dbraw/zinc/26/24/08/1072262408.db2.gz SNDVCWVBAWWSHJ-UHFFFAOYSA-N 0 0 427.509 -0.107 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c1 ZINC000441212326 1072262398 /nfs/dbraw/zinc/26/23/98/1072262398.db2.gz SRKWMPOGVYYDCT-NRFANRHFSA-N 0 0 445.476 -0.071 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c1 ZINC000441212327 1072262342 /nfs/dbraw/zinc/26/23/42/1072262342.db2.gz SRKWMPOGVYYDCT-OAQYLSRUSA-N 0 0 445.476 -0.071 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc2c(c1)N(C)C(=O)CO2 ZINC000441213411 1072262863 /nfs/dbraw/zinc/26/28/63/1072262863.db2.gz YOATZPPUZHZTQW-ZDUSSCGKSA-N 0 0 434.449 -0.200 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1cccc(-n2nnnc2C)c1 ZINC000441214791 1072262952 /nfs/dbraw/zinc/26/29/52/1072262952.db2.gz ZNVFSNFLRTWUCT-HNNXBMFYSA-N 0 0 431.453 -0.271 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000441215001 1072262827 /nfs/dbraw/zinc/26/28/27/1072262827.db2.gz ZEANUDGXWIPCMD-HNNXBMFYSA-N 0 0 435.481 -0.323 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC([C@]4(C)NC(=O)NC4=O)CC3)cc2)c1=O ZINC000441215316 1072262836 /nfs/dbraw/zinc/26/28/36/1072262836.db2.gz BQLWDZLCUYQBLG-FQEVSTJZSA-N 0 0 441.448 -0.654 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)cc2)c1=O ZINC000441215317 1072262772 /nfs/dbraw/zinc/26/27/72/1072262772.db2.gz BQLWDZLCUYQBLG-HXUWFJFHSA-N 0 0 441.448 -0.654 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c2ccccc2O1 ZINC000441218129 1072261354 /nfs/dbraw/zinc/26/13/54/1072261354.db2.gz QNHOPAURGQKDGW-BTYIYWSLSA-N 0 0 443.460 -0.637 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c2ccccc2O1 ZINC000441218130 1072261299 /nfs/dbraw/zinc/26/12/99/1072261299.db2.gz QNHOPAURGQKDGW-QVKFZJNVSA-N 0 0 443.460 -0.637 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c2ccccc2O1 ZINC000441218131 1072261362 /nfs/dbraw/zinc/26/13/62/1072261362.db2.gz QNHOPAURGQKDGW-VFNWGFHPSA-N 0 0 443.460 -0.637 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c2ccccc2O1 ZINC000441218132 1072261285 /nfs/dbraw/zinc/26/12/85/1072261285.db2.gz QNHOPAURGQKDGW-YCRPNKLZSA-N 0 0 443.460 -0.637 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1cccc(N2CCNC2=O)c1 ZINC000441222279 1072261309 /nfs/dbraw/zinc/26/13/09/1072261309.db2.gz WXEBLKPSLWGERN-HNNXBMFYSA-N 0 0 433.465 -0.025 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)[C@@H](CC)CN1C(C)=O ZINC000441222732 1073347959 /nfs/dbraw/zinc/34/79/59/1073347959.db2.gz AARHDVYTKZVXHI-MSOLQXFVSA-N 0 0 434.541 0.402 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)[C@H](CC)CN1C(C)=O ZINC000441222737 1072261814 /nfs/dbraw/zinc/26/18/14/1072261814.db2.gz AARHDVYTKZVXHI-QZTJIDSGSA-N 0 0 434.541 0.402 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)[C@@H](CC)CN1C(C)=O ZINC000441222741 1073348825 /nfs/dbraw/zinc/34/88/25/1073348825.db2.gz AARHDVYTKZVXHI-ROUUACIJSA-N 0 0 434.541 0.402 20 0 IBADRN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000441222746 1072261917 /nfs/dbraw/zinc/26/19/17/1072261917.db2.gz AARHDVYTKZVXHI-ZWKOTPCHSA-N 0 0 434.541 0.402 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1-n1cnnn1 ZINC000441225231 1072263941 /nfs/dbraw/zinc/26/39/41/1072263941.db2.gz FGPDZMLHUFBQLG-IBGZPJMESA-N 0 0 426.437 -0.254 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1-n1cnnn1 ZINC000441225238 1072263809 /nfs/dbraw/zinc/26/38/09/1072263809.db2.gz FGPDZMLHUFBQLG-LJQANCHMSA-N 0 0 426.437 -0.254 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(CCNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000441226595 1072263731 /nfs/dbraw/zinc/26/37/31/1072263731.db2.gz FDJAFWOIPWBOFY-UHFFFAOYSA-N 0 0 432.528 -0.690 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000441230584 1072263800 /nfs/dbraw/zinc/26/38/00/1072263800.db2.gz KCHIVVJKSAZEQF-NRFANRHFSA-N 0 0 445.476 -0.023 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000441230585 1072263761 /nfs/dbraw/zinc/26/37/61/1072263761.db2.gz KCHIVVJKSAZEQF-OAQYLSRUSA-N 0 0 445.476 -0.023 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)cnc2n(C)c1=O ZINC000441230746 1072263786 /nfs/dbraw/zinc/26/37/86/1072263786.db2.gz VSNAGTHKQUCNJD-UHFFFAOYSA-N 0 0 436.494 -0.290 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(CC(=O)N3CCc4ccccc43)CC2)cn1 ZINC000441237834 1072263479 /nfs/dbraw/zinc/26/34/79/1072263479.db2.gz XREAYVPNLFVVJW-UHFFFAOYSA-N 0 0 432.550 -0.095 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441238673 1072263467 /nfs/dbraw/zinc/26/34/67/1072263467.db2.gz ZHAZFGGAQVFJDV-JFIYKMOQSA-N 0 0 432.539 -0.573 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000441238675 1072263453 /nfs/dbraw/zinc/26/34/53/1072263453.db2.gz ZHAZFGGAQVFJDV-LZLYRXPVSA-N 0 0 432.539 -0.573 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441238678 1072263422 /nfs/dbraw/zinc/26/34/22/1072263422.db2.gz ZHAZFGGAQVFJDV-SOLBZPMBSA-N 0 0 432.539 -0.573 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000441238681 1072263501 /nfs/dbraw/zinc/26/35/01/1072263501.db2.gz ZHAZFGGAQVFJDV-XYJFISCASA-N 0 0 432.539 -0.573 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC([C@H](O)C(=O)OC)CC2)c(=O)[nH]c1=O ZINC000441238886 1072263438 /nfs/dbraw/zinc/26/34/38/1072263438.db2.gz NQGPLCVTRKZMQO-INIZCTEOSA-N 0 0 439.513 -0.070 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC([C@@H](O)C(=O)OC)CC2)c(=O)[nH]c1=O ZINC000441238887 1072263470 /nfs/dbraw/zinc/26/34/70/1072263470.db2.gz NQGPLCVTRKZMQO-MRXNPFEDSA-N 0 0 439.513 -0.070 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)CC2)nc1 ZINC000441240592 1072263487 /nfs/dbraw/zinc/26/34/87/1072263487.db2.gz CCQCGENRWHCBRL-UHFFFAOYSA-N 0 0 436.538 -0.031 20 0 IBADRN C[S@@](=O)C1(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000441241569 1072263822 /nfs/dbraw/zinc/26/38/22/1072263822.db2.gz YSPBNCSQVBNRLN-HHHXNRCGSA-N 0 0 429.568 -0.256 20 0 IBADRN C[S@](=O)C1(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000441241573 1072263855 /nfs/dbraw/zinc/26/38/55/1072263855.db2.gz YSPBNCSQVBNRLN-MHZLTWQESA-N 0 0 429.568 -0.256 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1 ZINC000441247102 1072264407 /nfs/dbraw/zinc/26/44/07/1072264407.db2.gz QVLNFUABVYQQCD-KPZWWZAWSA-N 0 0 425.507 -0.143 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1 ZINC000441247103 1072264471 /nfs/dbraw/zinc/26/44/71/1072264471.db2.gz QVLNFUABVYQQCD-KZULUSFZSA-N 0 0 425.507 -0.143 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1 ZINC000441247104 1072264390 /nfs/dbraw/zinc/26/43/90/1072264390.db2.gz QVLNFUABVYQQCD-SGTLLEGYSA-N 0 0 425.507 -0.143 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1 ZINC000441247105 1072264361 /nfs/dbraw/zinc/26/43/61/1072264361.db2.gz QVLNFUABVYQQCD-XIKOKIGWSA-N 0 0 425.507 -0.143 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)cn1 ZINC000441247922 1072264376 /nfs/dbraw/zinc/26/43/76/1072264376.db2.gz SUIMGDUEQZGFIO-UHFFFAOYSA-N 0 0 431.515 -0.156 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000441249890 1072264347 /nfs/dbraw/zinc/26/43/47/1072264347.db2.gz ZJTPRZUKCLYROS-GOSISDBHSA-N 0 0 444.488 -0.394 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000441249895 1072264328 /nfs/dbraw/zinc/26/43/28/1072264328.db2.gz ZJTPRZUKCLYROS-SFHVURJKSA-N 0 0 444.488 -0.394 20 0 IBADRN O=C(CN1C[C@@H](O)C[C@H]1c1cccc(F)c1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000441250807 1070842287 /nfs/dbraw/zinc/84/22/87/1070842287.db2.gz QZZVGOHMRBJPJG-PMACEKPBSA-N 0 0 434.512 -0.064 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H]1c1cccc(F)c1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000441250809 1070841582 /nfs/dbraw/zinc/84/15/82/1070841582.db2.gz QZZVGOHMRBJPJG-UXHICEINSA-N 0 0 434.512 -0.064 20 0 IBADRN O=C(CN1C[C@@H](O)C[C@@H]1c1cccc(F)c1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000441250811 1070842151 /nfs/dbraw/zinc/84/21/51/1070842151.db2.gz QZZVGOHMRBJPJG-VQTJNVASSA-N 0 0 434.512 -0.064 20 0 IBADRN O=C(CN1C[C@H](O)C[C@@H]1c1cccc(F)c1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000441250813 1070841904 /nfs/dbraw/zinc/84/19/04/1070841904.db2.gz QZZVGOHMRBJPJG-WOJBJXKFSA-N 0 0 434.512 -0.064 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(CCNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000441251456 1072264461 /nfs/dbraw/zinc/26/44/61/1072264461.db2.gz CFJIOZIAJCYURW-UHFFFAOYSA-N 0 0 446.555 -0.300 20 0 IBADRN COC(=O)[C@H](O)C1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000441251759 1072264310 /nfs/dbraw/zinc/26/43/10/1072264310.db2.gz UQRDAFYBQAHCOE-CXAGYDPISA-N 0 0 427.523 -0.373 20 0 IBADRN COC(=O)[C@@H](O)C1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000441251760 1072264297 /nfs/dbraw/zinc/26/42/97/1072264297.db2.gz UQRDAFYBQAHCOE-DYVFJYSZSA-N 0 0 427.523 -0.373 20 0 IBADRN COC(=O)[C@@H](O)C1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000441251761 1072264336 /nfs/dbraw/zinc/26/43/36/1072264336.db2.gz UQRDAFYBQAHCOE-GUYCJALGSA-N 0 0 427.523 -0.373 20 0 IBADRN COC(=O)[C@H](O)C1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000441251762 1072264449 /nfs/dbraw/zinc/26/44/49/1072264449.db2.gz UQRDAFYBQAHCOE-SUMWQHHRSA-N 0 0 427.523 -0.373 20 0 IBADRN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000441253599 1072264973 /nfs/dbraw/zinc/26/49/73/1072264973.db2.gz XXNGLXCMELHISH-DLBZAZTESA-N 0 0 448.524 -0.072 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@H](CC)CN1C(C)=O ZINC000441253600 1072264921 /nfs/dbraw/zinc/26/49/21/1072264921.db2.gz XXNGLXCMELHISH-IAGOWNOFSA-N 0 0 448.524 -0.072 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@@H](CC)CN1C(C)=O ZINC000441253601 1072264914 /nfs/dbraw/zinc/26/49/14/1072264914.db2.gz XXNGLXCMELHISH-IRXDYDNUSA-N 0 0 448.524 -0.072 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@@H](CC)CN1C(C)=O ZINC000441253602 1073348068 /nfs/dbraw/zinc/34/80/68/1073348068.db2.gz XXNGLXCMELHISH-SJORKVTESA-N 0 0 448.524 -0.072 20 0 IBADRN NC(=O)c1ccc(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000441254057 1072264868 /nfs/dbraw/zinc/26/48/68/1072264868.db2.gz MBJRDCGWGMAVEV-UHFFFAOYSA-N 0 0 447.521 -0.473 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(S(=O)(=O)N3CCCCCC3)CC2)cn1 ZINC000441258176 1072264982 /nfs/dbraw/zinc/26/49/82/1072264982.db2.gz KZAUXUBHCOHIKV-UHFFFAOYSA-N 0 0 434.588 -0.563 20 0 IBADRN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)C(=O)Nc1cnn(CC(=O)NCCOC)c1 ZINC000441259965 1072264893 /nfs/dbraw/zinc/26/48/93/1072264893.db2.gz FIYOCEIMKDKTJV-DLBZAZTESA-N 0 0 436.513 -0.168 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)[C@H](CC)CN1C(C)=O ZINC000441259968 1072264898 /nfs/dbraw/zinc/26/48/98/1072264898.db2.gz FIYOCEIMKDKTJV-IAGOWNOFSA-N 0 0 436.513 -0.168 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)[C@@H](CC)CN1C(C)=O ZINC000441259971 1072264882 /nfs/dbraw/zinc/26/48/82/1072264882.db2.gz FIYOCEIMKDKTJV-IRXDYDNUSA-N 0 0 436.513 -0.168 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)[C@@H](CC)CN1C(C)=O ZINC000441259975 1072264829 /nfs/dbraw/zinc/26/48/29/1072264829.db2.gz FIYOCEIMKDKTJV-SJORKVTESA-N 0 0 436.513 -0.168 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000441261531 1072264991 /nfs/dbraw/zinc/26/49/91/1072264991.db2.gz NOWNEFROTFQGCE-IBGZPJMESA-N 0 0 439.534 -0.235 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000441261544 1072264840 /nfs/dbraw/zinc/26/48/40/1072264840.db2.gz NOWNEFROTFQGCE-LJQANCHMSA-N 0 0 439.534 -0.235 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@@H](CC)CN1C(C)=O ZINC000441263070 1072265463 /nfs/dbraw/zinc/26/54/63/1072265463.db2.gz QDDVEODONOARFK-CABCVRRESA-N 0 0 444.492 -0.181 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@@H](CC)CN1C(C)=O ZINC000441263073 1072265448 /nfs/dbraw/zinc/26/54/48/1072265448.db2.gz QDDVEODONOARFK-GJZGRUSLSA-N 0 0 444.492 -0.181 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@H](CC)CN1C(C)=O ZINC000441263078 1072265505 /nfs/dbraw/zinc/26/55/05/1072265505.db2.gz QDDVEODONOARFK-HUUCEWRRSA-N 0 0 444.492 -0.181 20 0 IBADRN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000441263081 1072265433 /nfs/dbraw/zinc/26/54/33/1072265433.db2.gz QDDVEODONOARFK-LSDHHAIUSA-N 0 0 444.492 -0.181 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000441265146 1072265391 /nfs/dbraw/zinc/26/53/91/1072265391.db2.gz SWSOVAAOZGWTEW-KRWDZBQOSA-N 0 0 431.497 -0.742 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000441265152 1072265493 /nfs/dbraw/zinc/26/54/93/1072265493.db2.gz SWSOVAAOZGWTEW-QGZVFWFLSA-N 0 0 431.497 -0.742 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000441272583 1072265485 /nfs/dbraw/zinc/26/54/85/1072265485.db2.gz ZRSYCLJPBLXDFX-CHWSQXEVSA-N 0 0 430.483 -0.412 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000441272584 1072265967 /nfs/dbraw/zinc/26/59/67/1072265967.db2.gz ZRSYCLJPBLXDFX-OLZOCXBDSA-N 0 0 430.483 -0.412 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000441272586 1072266003 /nfs/dbraw/zinc/26/60/03/1072266003.db2.gz ZRSYCLJPBLXDFX-QWHCGFSZSA-N 0 0 430.483 -0.412 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000441272588 1072265968 /nfs/dbraw/zinc/26/59/68/1072265968.db2.gz ZRSYCLJPBLXDFX-STQMWFEESA-N 0 0 430.483 -0.412 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@@H](CC)CN1C(C)=O ZINC000441272678 1072265405 /nfs/dbraw/zinc/26/54/05/1072265405.db2.gz ZXACIHQTJTYPON-MSOLQXFVSA-N 0 0 448.524 -0.072 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@H](CC)CN1C(C)=O ZINC000441272680 1072265500 /nfs/dbraw/zinc/26/55/00/1072265500.db2.gz ZXACIHQTJTYPON-QZTJIDSGSA-N 0 0 448.524 -0.072 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@@H](CC)CN1C(C)=O ZINC000441272681 1072265481 /nfs/dbraw/zinc/26/54/81/1072265481.db2.gz ZXACIHQTJTYPON-ROUUACIJSA-N 0 0 448.524 -0.072 20 0 IBADRN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000441272682 1072265468 /nfs/dbraw/zinc/26/54/68/1072265468.db2.gz ZXACIHQTJTYPON-ZWKOTPCHSA-N 0 0 448.524 -0.072 20 0 IBADRN Cn1ccnc1N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000441273852 1072265943 /nfs/dbraw/zinc/26/59/43/1072265943.db2.gz DFDOSBPDVVVJFQ-DLBZAZTESA-N 0 0 425.555 -0.263 20 0 IBADRN Cn1ccnc1N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441273853 1072265972 /nfs/dbraw/zinc/26/59/72/1072265972.db2.gz DFDOSBPDVVVJFQ-IAGOWNOFSA-N 0 0 425.555 -0.263 20 0 IBADRN Cn1ccnc1N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000441273854 1072265996 /nfs/dbraw/zinc/26/59/96/1072265996.db2.gz DFDOSBPDVVVJFQ-IRXDYDNUSA-N 0 0 425.555 -0.263 20 0 IBADRN Cn1ccnc1N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000441273855 1072265983 /nfs/dbraw/zinc/26/59/83/1072265983.db2.gz DFDOSBPDVVVJFQ-SJORKVTESA-N 0 0 425.555 -0.263 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(n4ccnn4)C3)c2)CC1 ZINC000441283954 1072265475 /nfs/dbraw/zinc/26/54/75/1072265475.db2.gz JQZPPKWKDQTSNY-UHFFFAOYSA-N 0 0 447.521 -0.374 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000441289850 1072266575 /nfs/dbraw/zinc/26/65/75/1072266575.db2.gz VLNSUJQNGWMHBO-UHFFFAOYSA-N 0 0 440.512 -0.467 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC(n2ccnn2)C1 ZINC000441291548 1072266475 /nfs/dbraw/zinc/26/64/75/1072266475.db2.gz ZNHCZPOKACBPNY-UHFFFAOYSA-N 0 0 427.465 -0.304 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(n2ccnn2)C1 ZINC000441292021 1072266514 /nfs/dbraw/zinc/26/65/14/1072266514.db2.gz HUQIMOIGSIOUBN-UHFFFAOYSA-N 0 0 434.478 -0.371 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC(n2ccnn2)C1 ZINC000441294602 1072266555 /nfs/dbraw/zinc/26/65/55/1072266555.db2.gz YZVSEDLONZZAKF-UHFFFAOYSA-N 0 0 427.465 -0.638 20 0 IBADRN COCCCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000441297392 1072266533 /nfs/dbraw/zinc/26/65/33/1072266533.db2.gz RFLPNDKRKHHRHA-UHFFFAOYSA-N 0 0 441.506 -0.090 20 0 IBADRN COCCCOC1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000441298670 1072266497 /nfs/dbraw/zinc/26/64/97/1072266497.db2.gz ATDRWYAKTIBCAS-GASCZTMLSA-N 0 0 437.497 -0.279 20 0 IBADRN COCCCOC1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000441298674 1072266546 /nfs/dbraw/zinc/26/65/46/1072266546.db2.gz ATDRWYAKTIBCAS-GJZGRUSLSA-N 0 0 437.497 -0.279 20 0 IBADRN COCCCOC1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000441298677 1072266493 /nfs/dbraw/zinc/26/64/93/1072266493.db2.gz ATDRWYAKTIBCAS-HUUCEWRRSA-N 0 0 437.497 -0.279 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N1CC(n2ccnn2)C1 ZINC000441298780 1072265934 /nfs/dbraw/zinc/26/59/34/1072265934.db2.gz WRAKUMPILIDTQO-UHFFFAOYSA-N 0 0 441.492 -0.027 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(C)C)C(=O)C2)cc1 ZINC000441299259 1072265990 /nfs/dbraw/zinc/26/59/90/1072265990.db2.gz XRDRSOZEJHEIDL-UHFFFAOYSA-N 0 0 440.522 -0.123 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1=O ZINC000441306484 1072267453 /nfs/dbraw/zinc/26/74/53/1072267453.db2.gz SWBGHNZTCFZPNQ-UHFFFAOYSA-N 0 0 430.509 -0.266 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(n2ccnn2)C1 ZINC000441307322 1072266525 /nfs/dbraw/zinc/26/65/25/1072266525.db2.gz YEROIBZMOPGIGW-KRWDZBQOSA-N 0 0 426.433 -0.686 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(n2ccnn2)C1 ZINC000441307324 1072266586 /nfs/dbraw/zinc/26/65/86/1072266586.db2.gz YEROIBZMOPGIGW-QGZVFWFLSA-N 0 0 426.433 -0.686 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(n4ccnn4)C3)c2)CC1 ZINC000441307451 1072266457 /nfs/dbraw/zinc/26/64/57/1072266457.db2.gz LBQFLXARLHSESP-UHFFFAOYSA-N 0 0 447.521 -0.374 20 0 IBADRN COCCCOC1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000441309180 1072267087 /nfs/dbraw/zinc/26/70/87/1072267087.db2.gz OXXVOYMSKOENQO-IBGZPJMESA-N 0 0 447.488 -0.097 20 0 IBADRN COCCCOC1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000441309186 1072267096 /nfs/dbraw/zinc/26/70/96/1072267096.db2.gz OXXVOYMSKOENQO-LJQANCHMSA-N 0 0 447.488 -0.097 20 0 IBADRN COCCCOC1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000441309262 1072266540 /nfs/dbraw/zinc/26/65/40/1072266540.db2.gz OJUAUPFBNPFGSK-UHFFFAOYSA-N 0 0 441.506 -0.090 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(C)C)C(=O)C2)cc1 ZINC000441313087 1072267076 /nfs/dbraw/zinc/26/70/76/1072267076.db2.gz YFECEKIWZARCAV-UHFFFAOYSA-N 0 0 432.477 -0.216 20 0 IBADRN CCOC(=O)C(NC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C)C(=O)OCC ZINC000441314109 1072267034 /nfs/dbraw/zinc/26/70/34/1072267034.db2.gz HROGIPHDNILSTK-UHFFFAOYSA-N 0 0 430.425 -0.525 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC(n4ccnn4)C3)cc2)CC1 ZINC000441315451 1072267108 /nfs/dbraw/zinc/26/71/08/1072267108.db2.gz BHJBJBFJUQPLPN-UHFFFAOYSA-N 0 0 433.494 -0.764 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1=O ZINC000441316193 1072266999 /nfs/dbraw/zinc/26/69/99/1072266999.db2.gz FFBAWZCKNDRSMO-UHFFFAOYSA-N 0 0 433.513 -0.054 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000441316936 1072267056 /nfs/dbraw/zinc/26/70/56/1072267056.db2.gz HRHIXWHPIWLLDS-HOTGVXAUSA-N 0 0 448.524 -0.216 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1=O ZINC000441316937 1072266981 /nfs/dbraw/zinc/26/69/81/1072266981.db2.gz HRHIXWHPIWLLDS-HZPDHXFCSA-N 0 0 448.524 -0.216 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000441316938 1072267052 /nfs/dbraw/zinc/26/70/52/1072267052.db2.gz HRHIXWHPIWLLDS-IYBDPMFKSA-N 0 0 448.524 -0.216 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000441318549 1072267018 /nfs/dbraw/zinc/26/70/18/1072267018.db2.gz PFXFJMLODNVVEW-UHFFFAOYSA-N 0 0 426.587 -0.035 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(n2ccnn2)C1 ZINC000441318612 1072267060 /nfs/dbraw/zinc/26/70/60/1072267060.db2.gz QJNGQVHDCXDVCY-UHFFFAOYSA-N 0 0 438.441 -0.540 20 0 IBADRN O=C(CN1CCN(Cc2ccon2)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000441321835 1072267473 /nfs/dbraw/zinc/26/74/73/1072267473.db2.gz DOYAUFHWAHGQHF-MSOLQXFVSA-N 0 0 426.539 -0.013 20 0 IBADRN O=C(CN1CCN(Cc2ccon2)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000441321838 1072267360 /nfs/dbraw/zinc/26/73/60/1072267360.db2.gz DOYAUFHWAHGQHF-QZTJIDSGSA-N 0 0 426.539 -0.013 20 0 IBADRN O=C(CN1CCN(Cc2ccon2)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000441321840 1072267440 /nfs/dbraw/zinc/26/74/40/1072267440.db2.gz DOYAUFHWAHGQHF-ROUUACIJSA-N 0 0 426.539 -0.013 20 0 IBADRN O=C(CN1CCN(Cc2ccon2)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000441321842 1072267409 /nfs/dbraw/zinc/26/74/09/1072267409.db2.gz DOYAUFHWAHGQHF-ZWKOTPCHSA-N 0 0 426.539 -0.013 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(n4ccnn4)C3)c2)CC1 ZINC000441322324 1072267479 /nfs/dbraw/zinc/26/74/79/1072267479.db2.gz JWFHSRIWXINCDU-UHFFFAOYSA-N 0 0 433.494 -0.764 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1C(=O)OC ZINC000441322895 1072271526 /nfs/dbraw/zinc/27/15/26/1072271526.db2.gz LGTGGJNLRNLREK-FQEVSTJZSA-N 0 0 437.449 -0.321 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1C(=O)OC ZINC000441322899 1072268053 /nfs/dbraw/zinc/26/80/53/1072268053.db2.gz LGTGGJNLRNLREK-HXUWFJFHSA-N 0 0 437.449 -0.321 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000441323099 1072267402 /nfs/dbraw/zinc/26/74/02/1072267402.db2.gz JHVDAKMJDCHMHS-GOSISDBHSA-N 0 0 444.510 -0.628 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000441323100 1072267508 /nfs/dbraw/zinc/26/75/08/1072267508.db2.gz JHVDAKMJDCHMHS-SFHVURJKSA-N 0 0 444.510 -0.628 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000441329233 1072267908 /nfs/dbraw/zinc/26/79/08/1072267908.db2.gz ZKYJOKKYMRPXQF-KRWDZBQOSA-N 0 0 431.442 -0.266 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000441329236 1072267879 /nfs/dbraw/zinc/26/78/79/1072267879.db2.gz ZKYJOKKYMRPXQF-QGZVFWFLSA-N 0 0 431.442 -0.266 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000441335681 1072267341 /nfs/dbraw/zinc/26/73/41/1072267341.db2.gz YPKXIIBLGNSGQO-GOSISDBHSA-N 0 0 427.479 -0.096 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000441335682 1072267520 /nfs/dbraw/zinc/26/75/20/1072267520.db2.gz YPKXIIBLGNSGQO-SFHVURJKSA-N 0 0 427.479 -0.096 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CN(c3ccccc3)C2=O)cn1)N1CCOCC1 ZINC000441339476 1072267418 /nfs/dbraw/zinc/26/74/18/1072267418.db2.gz VROFBWDUBVMOKP-INIZCTEOSA-N 0 0 426.433 -0.788 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CN(c3ccccc3)C2=O)cn1)N1CCOCC1 ZINC000441339477 1072267390 /nfs/dbraw/zinc/26/73/90/1072267390.db2.gz VROFBWDUBVMOKP-MRXNPFEDSA-N 0 0 426.433 -0.788 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000441340698 1072267491 /nfs/dbraw/zinc/26/74/91/1072267491.db2.gz KPYYVGNECVTSHS-IBGZPJMESA-N 0 0 425.413 -0.333 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000441340699 1072267518 /nfs/dbraw/zinc/26/75/18/1072267518.db2.gz KPYYVGNECVTSHS-LJQANCHMSA-N 0 0 425.413 -0.333 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H]2CN(c3ccccc3)C2=O)cc1 ZINC000441340904 1072269364 /nfs/dbraw/zinc/26/93/64/1072269364.db2.gz LLVSOAJTUCSYDX-KRWDZBQOSA-N 0 0 438.440 -0.012 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CN(c3ccccc3)C2=O)cc1 ZINC000441340905 1072269448 /nfs/dbraw/zinc/26/94/48/1072269448.db2.gz LLVSOAJTUCSYDX-QGZVFWFLSA-N 0 0 438.440 -0.012 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@@H]3CN(c4ccccc4)C3=O)ccn2)CC1 ZINC000441341110 1072269452 /nfs/dbraw/zinc/26/94/52/1072269452.db2.gz MCAIKAZIWYZPIV-GOSISDBHSA-N 0 0 436.472 -0.061 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@H]3CN(c4ccccc4)C3=O)ccn2)CC1 ZINC000441341111 1072269525 /nfs/dbraw/zinc/26/95/25/1072269525.db2.gz MCAIKAZIWYZPIV-SFHVURJKSA-N 0 0 436.472 -0.061 20 0 IBADRN CCO[C@@H](C)c1noc(CN(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000441341153 1072269352 /nfs/dbraw/zinc/26/93/52/1072269352.db2.gz MMKAOQUFMYRAMW-INIZCTEOSA-N 0 0 438.529 -0.398 20 0 IBADRN CCO[C@H](C)c1noc(CN(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000441341154 1072269427 /nfs/dbraw/zinc/26/94/27/1072269427.db2.gz MMKAOQUFMYRAMW-MRXNPFEDSA-N 0 0 438.529 -0.398 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(CN2CCN(C(C)=O)CC2)c1 ZINC000441342115 1072269508 /nfs/dbraw/zinc/26/95/08/1072269508.db2.gz QXDHQQMABWMAKJ-NRFANRHFSA-N 0 0 434.493 -0.281 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(CN2CCN(C(C)=O)CC2)c1 ZINC000441342116 1072269475 /nfs/dbraw/zinc/26/94/75/1072269475.db2.gz QXDHQQMABWMAKJ-OAQYLSRUSA-N 0 0 434.493 -0.281 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(OCC)c(S(=O)(=O)N(C)C)c1 ZINC000441344822 1072269491 /nfs/dbraw/zinc/26/94/91/1072269491.db2.gz FUVHPUAYVZKJPD-GOSISDBHSA-N 0 0 445.494 -0.296 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(OCC)c(S(=O)(=O)N(C)C)c1 ZINC000441344824 1072269418 /nfs/dbraw/zinc/26/94/18/1072269418.db2.gz FUVHPUAYVZKJPD-SFHVURJKSA-N 0 0 445.494 -0.296 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000441345184 1072269377 /nfs/dbraw/zinc/26/93/77/1072269377.db2.gz GJQMJBAHRUBSRE-GOSISDBHSA-N 0 0 427.479 -0.195 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000441345206 1072269394 /nfs/dbraw/zinc/26/93/94/1072269394.db2.gz GJQMJBAHRUBSRE-SFHVURJKSA-N 0 0 427.479 -0.195 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000441345517 1072269434 /nfs/dbraw/zinc/26/94/34/1072269434.db2.gz HBRJJSSWURCKMG-GOSISDBHSA-N 0 0 427.479 -0.162 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000441345518 1072269441 /nfs/dbraw/zinc/26/94/41/1072269441.db2.gz HBRJJSSWURCKMG-SFHVURJKSA-N 0 0 427.479 -0.162 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)c3nc[nH]n3)c2)CC1 ZINC000441346880 1072269830 /nfs/dbraw/zinc/26/98/30/1072269830.db2.gz BCGIANCEPGKZPR-CYBMUJFWSA-N 0 0 435.510 -0.053 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)c3nc[nH]n3)c2)CC1 ZINC000441346882 1072269882 /nfs/dbraw/zinc/26/98/82/1072269882.db2.gz BCGIANCEPGKZPR-ZDUSSCGKSA-N 0 0 435.510 -0.053 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@](C)(O)C(=O)OCC)CC2 ZINC000441347197 1072269981 /nfs/dbraw/zinc/26/99/81/1072269981.db2.gz LJMHAXWOKCUYFM-GOSISDBHSA-N 0 0 427.479 -0.696 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@](C)(O)C(=O)OCC)CC2 ZINC000441347198 1072269789 /nfs/dbraw/zinc/26/97/89/1072269789.db2.gz LJMHAXWOKCUYFM-SFHVURJKSA-N 0 0 427.479 -0.696 20 0 IBADRN O=C(CN1CCOC[C@@]12CCOC2)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000441347737 1072269934 /nfs/dbraw/zinc/26/99/34/1072269934.db2.gz LUPNVXFENUIIEC-FQEVSTJZSA-N 0 0 439.534 -0.185 20 0 IBADRN O=C(CN1CCOC[C@]12CCOC2)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000441347738 1072269927 /nfs/dbraw/zinc/26/99/27/1072269927.db2.gz LUPNVXFENUIIEC-HXUWFJFHSA-N 0 0 439.534 -0.185 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C2CC2)c1 ZINC000441348420 1072269801 /nfs/dbraw/zinc/26/98/01/1072269801.db2.gz CFQISWSKGLMUHL-IBGZPJMESA-N 0 0 432.437 -0.083 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C2CC2)c1 ZINC000441348423 1072270022 /nfs/dbraw/zinc/27/00/22/1072270022.db2.gz CFQISWSKGLMUHL-LJQANCHMSA-N 0 0 432.437 -0.083 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(CC)CC2)cc1 ZINC000441348587 1072269904 /nfs/dbraw/zinc/26/99/04/1072269904.db2.gz CPAXEYYZKSKWFC-JOCHJYFZSA-N 0 0 448.520 -0.238 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(CC)CC2)cc1 ZINC000441348589 1072269939 /nfs/dbraw/zinc/26/99/39/1072269939.db2.gz CPAXEYYZKSKWFC-QFIPXVFZSA-N 0 0 448.520 -0.238 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000441349087 1072270310 /nfs/dbraw/zinc/27/03/10/1072270310.db2.gz OIWRDSZHKZXSPL-GOSISDBHSA-N 0 0 427.479 -0.160 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000441349092 1072270386 /nfs/dbraw/zinc/27/03/86/1072270386.db2.gz OIWRDSZHKZXSPL-SFHVURJKSA-N 0 0 427.479 -0.160 20 0 IBADRN O=C(CN1CCOC[C@@]12CCOC2)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000441349816 1072270489 /nfs/dbraw/zinc/27/04/89/1072270489.db2.gz UMPITOLMHWHUPG-FQEVSTJZSA-N 0 0 439.534 -0.185 20 0 IBADRN O=C(CN1CCOC[C@]12CCOC2)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000441349817 1072270472 /nfs/dbraw/zinc/27/04/72/1072270472.db2.gz UMPITOLMHWHUPG-HXUWFJFHSA-N 0 0 439.534 -0.185 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000441351578 1072267918 /nfs/dbraw/zinc/26/79/18/1072267918.db2.gz DEVLGWLNZJIWMB-KRWDZBQOSA-N 0 0 431.442 -0.365 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000441351580 1072267965 /nfs/dbraw/zinc/26/79/65/1072267965.db2.gz DEVLGWLNZJIWMB-QGZVFWFLSA-N 0 0 431.442 -0.365 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccccc1CN1CCN(C(C)=O)CC1 ZINC000441352187 1072268118 /nfs/dbraw/zinc/26/81/18/1072268118.db2.gz DTTVWUSAJKRFCE-NRFANRHFSA-N 0 0 434.493 -0.281 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccccc1CN1CCN(C(C)=O)CC1 ZINC000441352190 1072267931 /nfs/dbraw/zinc/26/79/31/1072267931.db2.gz DTTVWUSAJKRFCE-OAQYLSRUSA-N 0 0 434.493 -0.281 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000441353018 1072268410 /nfs/dbraw/zinc/26/84/10/1072268410.db2.gz GCBHLGKTLQNVDA-INIZCTEOSA-N 0 0 435.886 -0.041 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000441353021 1072268480 /nfs/dbraw/zinc/26/84/80/1072268480.db2.gz GCBHLGKTLQNVDA-MRXNPFEDSA-N 0 0 435.886 -0.041 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441353244 1072267861 /nfs/dbraw/zinc/26/78/61/1072267861.db2.gz VDSXRTPDKWVBRO-HRAATJIYSA-N 0 0 449.460 -0.930 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441353248 1072268513 /nfs/dbraw/zinc/26/85/13/1072268513.db2.gz VDSXRTPDKWVBRO-IERDGZPVSA-N 0 0 449.460 -0.930 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441353252 1072268356 /nfs/dbraw/zinc/26/83/56/1072268356.db2.gz VDSXRTPDKWVBRO-IIBYNOLFSA-N 0 0 449.460 -0.930 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441353255 1072268522 /nfs/dbraw/zinc/26/85/22/1072268522.db2.gz VDSXRTPDKWVBRO-KKSFZXQISA-N 0 0 449.460 -0.930 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(CN2CCN(C(C)=O)CC2)cc1 ZINC000441354280 1072268363 /nfs/dbraw/zinc/26/83/63/1072268363.db2.gz IANGYNPZWRLONB-NRFANRHFSA-N 0 0 434.493 -0.281 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(CN2CCN(C(C)=O)CC2)cc1 ZINC000441354284 1072268380 /nfs/dbraw/zinc/26/83/80/1072268380.db2.gz IANGYNPZWRLONB-OAQYLSRUSA-N 0 0 434.493 -0.281 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1OC ZINC000441356864 1072268463 /nfs/dbraw/zinc/26/84/63/1072268463.db2.gz RMEQJNOGANYSLS-FQEVSTJZSA-N 0 0 437.449 -0.464 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1OC ZINC000441356866 1072268847 /nfs/dbraw/zinc/26/88/47/1072268847.db2.gz RMEQJNOGANYSLS-HXUWFJFHSA-N 0 0 437.449 -0.464 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCOC[C@@]23CCOC3)c(=O)[nH]c1=O ZINC000441356906 1072268894 /nfs/dbraw/zinc/26/88/94/1072268894.db2.gz RTRVVRXMIQZXKI-FQEVSTJZSA-N 0 0 439.513 -0.198 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCOC[C@]23CCOC3)c(=O)[nH]c1=O ZINC000441356908 1072268819 /nfs/dbraw/zinc/26/88/19/1072268819.db2.gz RTRVVRXMIQZXKI-HXUWFJFHSA-N 0 0 439.513 -0.198 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000441358550 1072268885 /nfs/dbraw/zinc/26/88/85/1072268885.db2.gz AQBXEJIWLFAEKA-FQEVSTJZSA-N 0 0 436.465 -0.674 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000441358551 1072268987 /nfs/dbraw/zinc/26/89/87/1072268987.db2.gz AQBXEJIWLFAEKA-HXUWFJFHSA-N 0 0 436.465 -0.674 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000441359698 1072268902 /nfs/dbraw/zinc/26/89/02/1072268902.db2.gz CNKBBLFOISMDGS-NRFANRHFSA-N 0 0 434.493 -0.628 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000441359700 1072269044 /nfs/dbraw/zinc/26/90/44/1072269044.db2.gz CNKBBLFOISMDGS-OAQYLSRUSA-N 0 0 434.493 -0.628 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cnn(-c2ccccc2S(C)(=O)=O)c1 ZINC000441359800 1072268915 /nfs/dbraw/zinc/26/89/15/1072268915.db2.gz RUQXKTYMPHDHBY-GOSISDBHSA-N 0 0 438.462 -0.355 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cnn(-c2ccccc2S(C)(=O)=O)c1 ZINC000441359802 1072268827 /nfs/dbraw/zinc/26/88/27/1072268827.db2.gz RUQXKTYMPHDHBY-SFHVURJKSA-N 0 0 438.462 -0.355 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000441360017 1072269033 /nfs/dbraw/zinc/26/90/33/1072269033.db2.gz CVUPEKVLCRDUAJ-GOSISDBHSA-N 0 0 443.478 -0.495 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000441360023 1072268807 /nfs/dbraw/zinc/26/88/07/1072268807.db2.gz CVUPEKVLCRDUAJ-SFHVURJKSA-N 0 0 443.478 -0.495 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(NS(=O)(=O)CC)c(OC)c1 ZINC000441360497 1072268942 /nfs/dbraw/zinc/26/89/42/1072268942.db2.gz SVQMGXZYMAPXPX-KRWDZBQOSA-N 0 0 431.467 -0.174 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(NS(=O)(=O)CC)c(OC)c1 ZINC000441360503 1072268869 /nfs/dbraw/zinc/26/88/69/1072268869.db2.gz SVQMGXZYMAPXPX-QGZVFWFLSA-N 0 0 431.467 -0.174 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000441360553 1072268999 /nfs/dbraw/zinc/26/89/99/1072268999.db2.gz WAXGURPSTBQSLQ-GOSISDBHSA-N 0 0 443.478 -0.924 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000441360562 1072268935 /nfs/dbraw/zinc/26/89/35/1072268935.db2.gz WAXGURPSTBQSLQ-SFHVURJKSA-N 0 0 443.478 -0.924 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000441362028 1072270344 /nfs/dbraw/zinc/27/03/44/1072270344.db2.gz YGENANLNBIBBPN-GOSISDBHSA-N 0 0 427.479 -0.627 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000441362030 1072270320 /nfs/dbraw/zinc/27/03/20/1072270320.db2.gz YGENANLNBIBBPN-SFHVURJKSA-N 0 0 427.479 -0.627 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000441362712 1072268837 /nfs/dbraw/zinc/26/88/37/1072268837.db2.gz XDBPVHIKGWEYII-NRFANRHFSA-N 0 0 447.492 -0.491 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000441362715 1072269386 /nfs/dbraw/zinc/26/93/86/1072269386.db2.gz XDBPVHIKGWEYII-OAQYLSRUSA-N 0 0 447.492 -0.491 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000441364547 1072270465 /nfs/dbraw/zinc/27/04/65/1072270465.db2.gz ZLKOXEGIFLZQQB-GOSISDBHSA-N 0 0 427.479 -0.195 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000441364551 1072270379 /nfs/dbraw/zinc/27/03/79/1072270379.db2.gz ZLKOXEGIFLZQQB-SFHVURJKSA-N 0 0 427.479 -0.195 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000441365346 1072270354 /nfs/dbraw/zinc/27/03/54/1072270354.db2.gz KRNPSZKWJGSDJK-KRWDZBQOSA-N 0 0 431.467 -0.686 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000441365349 1072270435 /nfs/dbraw/zinc/27/04/35/1072270435.db2.gz KRNPSZKWJGSDJK-QGZVFWFLSA-N 0 0 431.467 -0.686 20 0 IBADRN O=C(CN1CCOC[C@]12CCOC2)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000441365410 1072270786 /nfs/dbraw/zinc/27/07/86/1072270786.db2.gz AYEGQFJSALFTQW-DENIHFKCSA-N 0 0 431.489 -0.012 20 0 IBADRN O=C(CN1CCOC[C@@]12CCOC2)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000441365413 1072270736 /nfs/dbraw/zinc/27/07/36/1072270736.db2.gz AYEGQFJSALFTQW-KNQAVFIVSA-N 0 0 431.489 -0.012 20 0 IBADRN O=C(CN1CCOC[C@]12CCOC2)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000441365415 1072270812 /nfs/dbraw/zinc/27/08/12/1072270812.db2.gz AYEGQFJSALFTQW-SIKLNZKXSA-N 0 0 431.489 -0.012 20 0 IBADRN O=C(CN1CCOC[C@@]12CCOC2)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000441365416 1072270707 /nfs/dbraw/zinc/27/07/07/1072270707.db2.gz AYEGQFJSALFTQW-UGKGYDQZSA-N 0 0 431.489 -0.012 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000441365775 1072270901 /nfs/dbraw/zinc/27/09/01/1072270901.db2.gz RGOUEDQUAWIHCB-KRWDZBQOSA-N 0 0 431.442 -0.266 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000441365776 1072270826 /nfs/dbraw/zinc/27/08/26/1072270826.db2.gz RGOUEDQUAWIHCB-QGZVFWFLSA-N 0 0 431.442 -0.266 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N[C@H]2CN(c3ccccc3)C2=O)n1)N1CCCCCC1 ZINC000441367371 1072270799 /nfs/dbraw/zinc/27/07/99/1072270799.db2.gz HNVSBOQQKBCOAZ-INIZCTEOSA-N 0 0 439.476 0.151 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N[C@@H]2CN(c3ccccc3)C2=O)n1)N1CCCCCC1 ZINC000441367372 1072270851 /nfs/dbraw/zinc/27/08/51/1072270851.db2.gz HNVSBOQQKBCOAZ-MRXNPFEDSA-N 0 0 439.476 0.151 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000441369919 1072270984 /nfs/dbraw/zinc/27/09/84/1072270984.db2.gz GFVSCKBNMKQRFE-KRWDZBQOSA-N 0 0 431.467 -0.686 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000441369920 1072270840 /nfs/dbraw/zinc/27/08/40/1072270840.db2.gz GFVSCKBNMKQRFE-QGZVFWFLSA-N 0 0 431.467 -0.686 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000441370456 1072271349 /nfs/dbraw/zinc/27/13/49/1072271349.db2.gz HMPKSURJDQSMTF-GOSISDBHSA-N 0 0 443.478 -0.495 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000441370457 1072271552 /nfs/dbraw/zinc/27/15/52/1072271552.db2.gz HMPKSURJDQSMTF-SFHVURJKSA-N 0 0 443.478 -0.495 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000441371576 1072271393 /nfs/dbraw/zinc/27/13/93/1072271393.db2.gz MDHGPWCXADUJKI-FQEVSTJZSA-N 0 0 437.449 -0.707 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000441371577 1072271256 /nfs/dbraw/zinc/27/12/56/1072271256.db2.gz MDHGPWCXADUJKI-HXUWFJFHSA-N 0 0 437.449 -0.707 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000441372679 1072271288 /nfs/dbraw/zinc/27/12/88/1072271288.db2.gz PTMLCYVMWWQKEK-NRFANRHFSA-N 0 0 441.444 -0.087 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000441372680 1072271228 /nfs/dbraw/zinc/27/12/28/1072271228.db2.gz PTMLCYVMWWQKEK-OAQYLSRUSA-N 0 0 441.444 -0.087 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2C)cn1 ZINC000441372831 1072271364 /nfs/dbraw/zinc/27/13/64/1072271364.db2.gz DCDXWVQNNXYNQT-GOSISDBHSA-N 0 0 442.476 -0.408 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2C)cn1 ZINC000441372832 1072271240 /nfs/dbraw/zinc/27/12/40/1072271240.db2.gz DCDXWVQNNXYNQT-SFHVURJKSA-N 0 0 442.476 -0.408 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000441373946 1072271813 /nfs/dbraw/zinc/27/18/13/1072271813.db2.gz UKPODJIXYKOKRD-GOSISDBHSA-N 0 0 443.478 -0.924 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000441373948 1072272078 /nfs/dbraw/zinc/27/20/78/1072272078.db2.gz UKPODJIXYKOKRD-SFHVURJKSA-N 0 0 443.478 -0.924 20 0 IBADRN CC[C@H](NC(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000441374620 1072271490 /nfs/dbraw/zinc/27/14/90/1072271490.db2.gz WMIFSSIYQOKMDZ-HNNXBMFYSA-N 0 0 441.510 -0.530 20 0 IBADRN CC[C@@H](NC(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000441374621 1072271897 /nfs/dbraw/zinc/27/18/97/1072271897.db2.gz WMIFSSIYQOKMDZ-OAHLLOKOSA-N 0 0 441.510 -0.530 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OCC ZINC000441374632 1072271937 /nfs/dbraw/zinc/27/19/37/1072271937.db2.gz WORPHPRAEYVXJX-GOSISDBHSA-N 0 0 445.494 -0.296 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OCC ZINC000441374633 1072272028 /nfs/dbraw/zinc/27/20/28/1072272028.db2.gz WORPHPRAEYVXJX-SFHVURJKSA-N 0 0 445.494 -0.296 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)cn1 ZINC000441376486 1072272010 /nfs/dbraw/zinc/27/20/10/1072272010.db2.gz ANHVUAPENJPMLF-UHFFFAOYSA-N 0 0 447.505 -0.013 20 0 IBADRN Cc1ccccc1[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000441378636 1072272064 /nfs/dbraw/zinc/27/20/64/1072272064.db2.gz NQQAUZZBSMQPIL-GOSISDBHSA-N 0 0 437.456 -0.152 20 0 IBADRN Cc1ccccc1[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000441378639 1072272490 /nfs/dbraw/zinc/27/24/90/1072272490.db2.gz NQQAUZZBSMQPIL-SFHVURJKSA-N 0 0 437.456 -0.152 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)nn1 ZINC000441382652 1072270392 /nfs/dbraw/zinc/27/03/92/1072270392.db2.gz KKAAWFXSNFZHNV-UHFFFAOYSA-N 0 0 432.865 -0.133 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@@]12CCOC2)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000441384199 1072270363 /nfs/dbraw/zinc/27/03/63/1072270363.db2.gz QLXJOVOYISNUKQ-FQEVSTJZSA-N 0 0 439.513 -0.342 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@]12CCOC2)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000441384205 1072270336 /nfs/dbraw/zinc/27/03/36/1072270336.db2.gz QLXJOVOYISNUKQ-HXUWFJFHSA-N 0 0 439.513 -0.342 20 0 IBADRN Cc1ccccc1[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000441385699 1072273085 /nfs/dbraw/zinc/27/30/85/1072273085.db2.gz SNMHSTXPSHVBIQ-IBGZPJMESA-N 0 0 440.504 0.162 20 0 IBADRN Cc1ccccc1[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000441385701 1072272992 /nfs/dbraw/zinc/27/29/92/1072272992.db2.gz SNMHSTXPSHVBIQ-LJQANCHMSA-N 0 0 440.504 0.162 20 0 IBADRN NC(=O)c1ccc(CNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000441386118 1072272578 /nfs/dbraw/zinc/27/25/78/1072272578.db2.gz PRTCXPHEKSJTCD-UHFFFAOYSA-N 0 0 426.481 -0.161 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCOC[C@]23CCOC3)CC1 ZINC000441390184 1072272501 /nfs/dbraw/zinc/27/25/01/1072272501.db2.gz WYQRKUBWKGJXDF-GOSISDBHSA-N 0 0 428.511 -0.384 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCOC[C@@]23CCOC3)CC1 ZINC000441390188 1072272449 /nfs/dbraw/zinc/27/24/49/1072272449.db2.gz WYQRKUBWKGJXDF-SFHVURJKSA-N 0 0 428.511 -0.384 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(CCNC(=O)c3ccccc3F)CC2)cn1 ZINC000441393957 1072272560 /nfs/dbraw/zinc/27/25/60/1072272560.db2.gz IPWQLZJZXUTTCX-UHFFFAOYSA-N 0 0 438.529 -0.115 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)c2=O ZINC000441394332 1072272462 /nfs/dbraw/zinc/27/24/62/1072272462.db2.gz OIBODLUNBDQOTA-UHFFFAOYSA-N 0 0 426.405 -0.497 20 0 IBADRN Cc1ccccc1[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000441394377 1072272522 /nfs/dbraw/zinc/27/25/22/1072272522.db2.gz OUNWHSWHEBFLBL-IBGZPJMESA-N 0 0 436.472 -0.036 20 0 IBADRN Cc1ccccc1[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000441394378 1072272622 /nfs/dbraw/zinc/27/26/22/1072272622.db2.gz OUNWHSWHEBFLBL-LJQANCHMSA-N 0 0 436.472 -0.036 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)nc3)CC2)C[C@H](C)O1 ZINC000441398999 1072272344 /nfs/dbraw/zinc/27/23/44/1072272344.db2.gz UCCKTXOVPDCVTC-KBPBESRZSA-N 0 0 440.526 -0.638 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)nc3)CC2)C[C@H](C)O1 ZINC000441399000 1072273108 /nfs/dbraw/zinc/27/31/08/1072273108.db2.gz UCCKTXOVPDCVTC-OKILXGFUSA-N 0 0 440.526 -0.638 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)nc3)CC2)C[C@@H](C)O1 ZINC000441399002 1072273031 /nfs/dbraw/zinc/27/30/31/1072273031.db2.gz UCCKTXOVPDCVTC-ZIAGYGMSSA-N 0 0 440.526 -0.638 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000441407092 1072272917 /nfs/dbraw/zinc/27/29/17/1072272917.db2.gz SGVOYRWFNSCOBS-UHFFFAOYSA-N 0 0 428.833 -0.222 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cc1 ZINC000441408407 1072273357 /nfs/dbraw/zinc/27/33/57/1072273357.db2.gz IOVKIOACKDLFHT-KRWDZBQOSA-N 0 0 448.476 -0.473 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cc1 ZINC000441408409 1072273334 /nfs/dbraw/zinc/27/33/34/1072273334.db2.gz IOVKIOACKDLFHT-QGZVFWFLSA-N 0 0 448.476 -0.473 20 0 IBADRN O=c1onc(-c2ccccc2)n1C[C@@H](O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000441408702 1072273114 /nfs/dbraw/zinc/27/31/14/1072273114.db2.gz LOAPGPAHCIMXNJ-KRWDZBQOSA-N 0 0 449.489 0.000 20 0 IBADRN O=c1onc(-c2ccccc2)n1C[C@H](O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000441408703 1072273524 /nfs/dbraw/zinc/27/35/24/1072273524.db2.gz LOAPGPAHCIMXNJ-QGZVFWFLSA-N 0 0 449.489 0.000 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000441410076 1072273385 /nfs/dbraw/zinc/27/33/85/1072273385.db2.gz PXNGBIJPKWATQG-LTIDMASMSA-N 0 0 434.559 -0.138 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000441410077 1072273375 /nfs/dbraw/zinc/27/33/75/1072273375.db2.gz PXNGBIJPKWATQG-NCOADZHNSA-N 0 0 434.559 -0.138 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000441410078 1072273477 /nfs/dbraw/zinc/27/34/77/1072273477.db2.gz PXNGBIJPKWATQG-QBPKDAKJSA-N 0 0 434.559 -0.138 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000441410079 1072273434 /nfs/dbraw/zinc/27/34/34/1072273434.db2.gz PXNGBIJPKWATQG-WCXIOVBPSA-N 0 0 434.559 -0.138 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000441412173 1072273560 /nfs/dbraw/zinc/27/35/60/1072273560.db2.gz UOLNREKXBNISTP-UHFFFAOYSA-N 0 0 427.907 -0.396 20 0 IBADRN O=C(CN1CCC[C@@H]1CN1CCOCC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000441415698 1072273515 /nfs/dbraw/zinc/27/35/15/1072273515.db2.gz BPTQGZYFWGUJCN-CEXWTWQISA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC[C@@H]1CN1CCOCC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000441415704 1072273348 /nfs/dbraw/zinc/27/33/48/1072273348.db2.gz BPTQGZYFWGUJCN-GUDVDZBRSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC[C@@H]1CN1CCOCC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000441415706 1072273488 /nfs/dbraw/zinc/27/34/88/1072273488.db2.gz BPTQGZYFWGUJCN-QRVBRYPASA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC[C@@H]1CN1CCOCC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000441415711 1072273551 /nfs/dbraw/zinc/27/35/51/1072273551.db2.gz BPTQGZYFWGUJCN-QYZOEREBSA-N 0 0 429.583 -0.022 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c1=O ZINC000441416195 1072273811 /nfs/dbraw/zinc/27/38/11/1072273811.db2.gz CPPZWRYUHFHVSE-INIZCTEOSA-N 0 0 436.465 -0.651 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c1=O ZINC000441416197 1072274079 /nfs/dbraw/zinc/27/40/79/1072274079.db2.gz CPPZWRYUHFHVSE-MRXNPFEDSA-N 0 0 436.465 -0.651 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1)C(=O)N1CCOCC1 ZINC000441416834 1072274070 /nfs/dbraw/zinc/27/40/70/1072274070.db2.gz QHFUJQCQLHDRPM-GOSISDBHSA-N 0 0 448.524 -0.120 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1)C(=O)N1CCOCC1 ZINC000441416835 1072274032 /nfs/dbraw/zinc/27/40/32/1072274032.db2.gz QHFUJQCQLHDRPM-SFHVURJKSA-N 0 0 448.524 -0.120 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)Cc2ccon2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000441421003 1072282841 /nfs/dbraw/zinc/28/28/41/1072282841.db2.gz NKILUXQLOBRCHJ-GDBMZVCRSA-N 0 0 448.567 -0.454 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)Cc2ccon2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000441421007 1072282864 /nfs/dbraw/zinc/28/28/64/1072282864.db2.gz NKILUXQLOBRCHJ-GOEBONIOSA-N 0 0 448.567 -0.454 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)Cc2ccon2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000441421010 1072282872 /nfs/dbraw/zinc/28/28/72/1072282872.db2.gz NKILUXQLOBRCHJ-HOCLYGCPSA-N 0 0 448.567 -0.454 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)Cc2ccon2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000441421011 1072282896 /nfs/dbraw/zinc/28/28/96/1072282896.db2.gz NKILUXQLOBRCHJ-ZBFHGGJFSA-N 0 0 448.567 -0.454 20 0 IBADRN CC[C@H](NC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C)C(=O)N1CCOCC1 ZINC000441421619 1072283032 /nfs/dbraw/zinc/28/30/32/1072283032.db2.gz IMCKTNKKNJJNQX-HNNXBMFYSA-N 0 0 427.469 -0.382 20 0 IBADRN CC[C@@H](NC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C)C(=O)N1CCOCC1 ZINC000441421622 1072283455 /nfs/dbraw/zinc/28/34/55/1072283455.db2.gz IMCKTNKKNJJNQX-OAHLLOKOSA-N 0 0 427.469 -0.382 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000441424599 1072284773 /nfs/dbraw/zinc/28/47/73/1072284773.db2.gz VJBCWMOIJLLGHT-KRWDZBQOSA-N 0 0 436.513 -0.847 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000441424601 1072284600 /nfs/dbraw/zinc/28/46/00/1072284600.db2.gz VJBCWMOIJLLGHT-QGZVFWFLSA-N 0 0 436.513 -0.847 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@H](C(=O)N1CCOCC1)C(C)C ZINC000441426625 1072284673 /nfs/dbraw/zinc/28/46/73/1072284673.db2.gz TXWXHHYBGSPLDY-IBGZPJMESA-N 0 0 441.496 -0.136 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@@H](C(=O)N1CCOCC1)C(C)C ZINC000441426626 1072284726 /nfs/dbraw/zinc/28/47/26/1072284726.db2.gz TXWXHHYBGSPLDY-LJQANCHMSA-N 0 0 441.496 -0.136 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cc1OCC(N)=O ZINC000441426806 1072284508 /nfs/dbraw/zinc/28/45/08/1072284508.db2.gz ZEIJBZBNBRTMDV-KRWDZBQOSA-N 0 0 436.465 -0.503 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cc1OCC(N)=O ZINC000441426809 1072284694 /nfs/dbraw/zinc/28/46/94/1072284694.db2.gz ZEIJBZBNBRTMDV-QGZVFWFLSA-N 0 0 436.465 -0.503 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)C2=O)n(C)n1 ZINC000441428731 1072284658 /nfs/dbraw/zinc/28/46/58/1072284658.db2.gz KEODIPYEEXJGHN-HNNXBMFYSA-N 0 0 449.479 -0.172 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)C2=O)n(C)n1 ZINC000441428732 1072285256 /nfs/dbraw/zinc/28/52/56/1072285256.db2.gz KEODIPYEEXJGHN-OAHLLOKOSA-N 0 0 449.479 -0.172 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1)C(=O)N1CCOCC1 ZINC000441429497 1072285203 /nfs/dbraw/zinc/28/52/03/1072285203.db2.gz PIXIBPXDGRPWNF-INIZCTEOSA-N 0 0 430.465 -0.491 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1)C(=O)N1CCOCC1 ZINC000441429498 1072285274 /nfs/dbraw/zinc/28/52/74/1072285274.db2.gz PIXIBPXDGRPWNF-MRXNPFEDSA-N 0 0 430.465 -0.491 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCNS(=O)(=O)c2cc(C)sc2C)nn1 ZINC000441430356 1072285165 /nfs/dbraw/zinc/28/51/65/1072285165.db2.gz AXNMVUHKNLNEDP-UHFFFAOYSA-N 0 0 430.512 -0.093 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N(C)Cc2nnnn2-c2cc(OC)cc(OC)c2)nn1 ZINC000441431568 1072285364 /nfs/dbraw/zinc/28/53/64/1072285364.db2.gz DEIGBMUFPZTGKH-UHFFFAOYSA-N 0 0 445.440 -0.214 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)ccc1C ZINC000441432969 1072285951 /nfs/dbraw/zinc/28/59/51/1072285951.db2.gz FJNAWHPSQDEVPU-INIZCTEOSA-N 0 0 440.522 -0.159 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)ccc1C ZINC000441432975 1072285761 /nfs/dbraw/zinc/28/57/61/1072285761.db2.gz FJNAWHPSQDEVPU-MRXNPFEDSA-N 0 0 440.522 -0.159 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C)C(=O)N1CCOCC1 ZINC000441433891 1072285907 /nfs/dbraw/zinc/28/59/07/1072285907.db2.gz JOLMRYRHHOMNFA-INIZCTEOSA-N 0 0 440.522 -0.125 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C)C(=O)N1CCOCC1 ZINC000441433892 1072285916 /nfs/dbraw/zinc/28/59/16/1072285916.db2.gz JOLMRYRHHOMNFA-MRXNPFEDSA-N 0 0 440.522 -0.125 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cc1S(N)(=O)=O ZINC000441433925 1072286355 /nfs/dbraw/zinc/28/63/55/1072286355.db2.gz JUUYBMKGIWJIGQ-INIZCTEOSA-N 0 0 440.522 -0.166 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cc1S(N)(=O)=O ZINC000441433928 1072286439 /nfs/dbraw/zinc/28/64/39/1072286439.db2.gz JUUYBMKGIWJIGQ-MRXNPFEDSA-N 0 0 440.522 -0.166 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)nn1 ZINC000441434380 1072285821 /nfs/dbraw/zinc/28/58/21/1072285821.db2.gz JQBVFVDUZSPJIH-GFCCVEGCSA-N 0 0 436.450 -0.096 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)nn1 ZINC000441434381 1072285888 /nfs/dbraw/zinc/28/58/88/1072285888.db2.gz JQBVFVDUZSPJIH-LBPRGKRZSA-N 0 0 436.450 -0.096 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCOCC1 ZINC000441435212 1072286407 /nfs/dbraw/zinc/28/64/07/1072286407.db2.gz MHTYNYCVENLKLS-KRWDZBQOSA-N 0 0 445.476 -0.324 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCOCC1 ZINC000441435214 1072286403 /nfs/dbraw/zinc/28/64/03/1072286403.db2.gz MHTYNYCVENLKLS-QGZVFWFLSA-N 0 0 445.476 -0.324 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000441435409 1072286446 /nfs/dbraw/zinc/28/64/46/1072286446.db2.gz FAKWCKAWCWOGAA-UHFFFAOYSA-N 0 0 436.542 -0.853 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000441436786 1072286393 /nfs/dbraw/zinc/28/63/93/1072286393.db2.gz OQLZWSVEHKJWNG-CYBMUJFWSA-N 0 0 441.496 -0.040 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000441436788 1072286432 /nfs/dbraw/zinc/28/64/32/1072286432.db2.gz OQLZWSVEHKJWNG-ZDUSSCGKSA-N 0 0 441.496 -0.040 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000441436900 1072286878 /nfs/dbraw/zinc/28/68/78/1072286878.db2.gz PLPJVYVGFMQQIW-UHFFFAOYSA-N 0 0 440.512 -0.513 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C ZINC000441438206 1072286842 /nfs/dbraw/zinc/28/68/42/1072286842.db2.gz SITBMHYFVMNOAO-CYBMUJFWSA-N 0 0 433.498 -0.595 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C ZINC000441438207 1072286936 /nfs/dbraw/zinc/28/69/36/1072286936.db2.gz SITBMHYFVMNOAO-ZDUSSCGKSA-N 0 0 433.498 -0.595 20 0 IBADRN C[C@@H]1CN(C(=O)C(C)(C)NS(C)(=O)=O)[C@@H](C)CN1C(=O)C(C)(C)NS(C)(=O)=O ZINC000441441337 1072287395 /nfs/dbraw/zinc/28/73/95/1072287395.db2.gz WVQWGCGXUTXYBL-TXEJJXNPSA-N 0 0 440.588 -0.910 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000441442089 1072287480 /nfs/dbraw/zinc/28/74/80/1072287480.db2.gz XRSGLXXCZKWWNV-AWEZNQCLSA-N 0 0 447.525 -0.207 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000441442096 1072287464 /nfs/dbraw/zinc/28/74/64/1072287464.db2.gz XRSGLXXCZKWWNV-CQSZACIVSA-N 0 0 447.525 -0.207 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000441442152 1072287451 /nfs/dbraw/zinc/28/74/51/1072287451.db2.gz PWALAALMECPFIF-UHFFFAOYSA-N 0 0 441.496 -0.038 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000441443733 1072287531 /nfs/dbraw/zinc/28/75/31/1072287531.db2.gz SAKMKVKQBFTAEO-DZGCQCFKSA-N 0 0 447.525 -0.302 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000441443755 1072287519 /nfs/dbraw/zinc/28/75/19/1072287519.db2.gz SAKMKVKQBFTAEO-HIFRSBDPSA-N 0 0 447.525 -0.302 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000441443757 1072287497 /nfs/dbraw/zinc/28/74/97/1072287497.db2.gz SAKMKVKQBFTAEO-UKRRQHHQSA-N 0 0 447.525 -0.302 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000441443759 1072287374 /nfs/dbraw/zinc/28/73/74/1072287374.db2.gz SAKMKVKQBFTAEO-ZFWWWQNUSA-N 0 0 447.525 -0.302 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)nn1 ZINC000441445310 1072288027 /nfs/dbraw/zinc/28/80/27/1072288027.db2.gz GBZXYDNYNSBYKR-UHFFFAOYSA-N 0 0 429.481 -0.405 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)nn1 ZINC000441445457 1072287438 /nfs/dbraw/zinc/28/74/38/1072287438.db2.gz IZUIFTPHNUSASI-UHFFFAOYSA-N 0 0 446.493 -0.452 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000441446265 1072287847 /nfs/dbraw/zinc/28/78/47/1072287847.db2.gz KLPAKOPALNILTH-UHFFFAOYSA-N 0 0 435.452 -0.527 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)nn1 ZINC000441446667 1072287937 /nfs/dbraw/zinc/28/79/37/1072287937.db2.gz LFIYKCDXPHJELJ-UHFFFAOYSA-N 0 0 436.494 -0.024 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000441446997 1072287835 /nfs/dbraw/zinc/28/78/35/1072287835.db2.gz IOQNMJCWFSHFNW-INIZCTEOSA-N 0 0 440.522 -0.326 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000441446998 1072287926 /nfs/dbraw/zinc/28/79/26/1072287926.db2.gz IOQNMJCWFSHFNW-MRXNPFEDSA-N 0 0 440.522 -0.326 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c1C ZINC000441447854 1072287822 /nfs/dbraw/zinc/28/78/22/1072287822.db2.gz OEWMYJBXWIKBAO-INIZCTEOSA-N 0 0 440.522 -0.159 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c1C ZINC000441447855 1072287880 /nfs/dbraw/zinc/28/78/80/1072287880.db2.gz OEWMYJBXWIKBAO-MRXNPFEDSA-N 0 0 440.522 -0.159 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000441451374 1072287897 /nfs/dbraw/zinc/28/78/97/1072287897.db2.gz MZXGHQDBSJPAKT-UHFFFAOYSA-N 0 0 426.485 -0.728 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c1 ZINC000441451928 1072288308 /nfs/dbraw/zinc/28/83/08/1072288308.db2.gz WNBIXFNEXWHRDX-HNNXBMFYSA-N 0 0 426.495 -0.467 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c1 ZINC000441451933 1072288326 /nfs/dbraw/zinc/28/83/26/1072288326.db2.gz WNBIXFNEXWHRDX-OAHLLOKOSA-N 0 0 426.495 -0.467 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)nn1 ZINC000441452573 1072287983 /nfs/dbraw/zinc/28/79/83/1072287983.db2.gz AYUOXRYOGWDFEE-UHFFFAOYSA-N 0 0 435.529 -0.027 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000441453352 1072288260 /nfs/dbraw/zinc/28/82/60/1072288260.db2.gz CCBBBLQLTGFMGL-UHFFFAOYSA-N 0 0 440.497 -0.353 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)nn1 ZINC000441455194 1072288365 /nfs/dbraw/zinc/28/83/65/1072288365.db2.gz GFOPZHPSKZOFIU-UHFFFAOYSA-N 0 0 429.481 -0.011 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c1 ZINC000441455209 1072288471 /nfs/dbraw/zinc/28/84/71/1072288471.db2.gz GGSLWAWWKRCDRB-INIZCTEOSA-N 0 0 440.522 -0.159 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c1 ZINC000441455212 1072288315 /nfs/dbraw/zinc/28/83/15/1072288315.db2.gz GGSLWAWWKRCDRB-MRXNPFEDSA-N 0 0 440.522 -0.159 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cc1-n1cnnn1 ZINC000441455221 1072288432 /nfs/dbraw/zinc/28/84/32/1072288432.db2.gz GIHMWTHGDSCTAC-INIZCTEOSA-N 0 0 431.453 -0.391 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cc1-n1cnnn1 ZINC000441455230 1072288456 /nfs/dbraw/zinc/28/84/56/1072288456.db2.gz GIHMWTHGDSCTAC-MRXNPFEDSA-N 0 0 431.453 -0.391 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c1C ZINC000441455808 1072288353 /nfs/dbraw/zinc/28/83/53/1072288353.db2.gz ZSLBZOGCMYKCDN-INIZCTEOSA-N 0 0 440.522 -0.111 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c1C ZINC000441455809 1072288344 /nfs/dbraw/zinc/28/83/44/1072288344.db2.gz ZSLBZOGCMYKCDN-MRXNPFEDSA-N 0 0 440.522 -0.111 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000441456252 1072288284 /nfs/dbraw/zinc/28/82/84/1072288284.db2.gz ZGIFTZHFSOZVDY-INIZCTEOSA-N 0 0 440.522 -0.125 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000441456253 1072288421 /nfs/dbraw/zinc/28/84/21/1072288421.db2.gz ZGIFTZHFSOZVDY-MRXNPFEDSA-N 0 0 440.522 -0.125 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cc1S(N)(=O)=O ZINC000441456320 1072289052 /nfs/dbraw/zinc/28/90/52/1072289052.db2.gz GKKOZYGVDBXNRS-HNNXBMFYSA-N 0 0 426.495 -0.419 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cc1S(N)(=O)=O ZINC000441456321 1072288955 /nfs/dbraw/zinc/28/89/55/1072288955.db2.gz GKKOZYGVDBXNRS-OAHLLOKOSA-N 0 0 426.495 -0.419 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000441457506 1072288360 /nfs/dbraw/zinc/28/83/60/1072288360.db2.gz NMVWMISCYMIMLK-AWEZNQCLSA-N 0 0 430.458 -0.589 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000441457507 1072288275 /nfs/dbraw/zinc/28/82/75/1072288275.db2.gz NMVWMISCYMIMLK-CQSZACIVSA-N 0 0 430.458 -0.589 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCOCC1 ZINC000441457890 1072288445 /nfs/dbraw/zinc/28/84/45/1072288445.db2.gz PNYKJGWCCXMNRU-GOSISDBHSA-N 0 0 428.493 0.459 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCOCC1 ZINC000441457892 1072288411 /nfs/dbraw/zinc/28/84/11/1072288411.db2.gz PNYKJGWCCXMNRU-SFHVURJKSA-N 0 0 428.493 0.459 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cc1-n1nnnc1C ZINC000441457940 1072288828 /nfs/dbraw/zinc/28/88/28/1072288828.db2.gz MCGRESNPXKRKMU-KRWDZBQOSA-N 0 0 445.480 -0.083 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cc1-n1nnnc1C ZINC000441457941 1072289322 /nfs/dbraw/zinc/28/93/22/1072289322.db2.gz MCGRESNPXKRKMU-QGZVFWFLSA-N 0 0 445.480 -0.083 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000441458482 1072288819 /nfs/dbraw/zinc/28/88/19/1072288819.db2.gz SMFIXBJJGSGNBZ-HNNXBMFYSA-N 0 0 449.479 -0.090 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000441458483 1072288796 /nfs/dbraw/zinc/28/87/96/1072288796.db2.gz SMFIXBJJGSGNBZ-OAHLLOKOSA-N 0 0 449.479 -0.090 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N1CCOCC1 ZINC000441459425 1072288979 /nfs/dbraw/zinc/28/89/79/1072288979.db2.gz RRVVWMULECQELF-CRAIPNDOSA-N 0 0 444.488 -0.170 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N1CCOCC1 ZINC000441459426 1072288808 /nfs/dbraw/zinc/28/88/08/1072288808.db2.gz RRVVWMULECQELF-MAUKXSAKSA-N 0 0 444.488 -0.170 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N1CCOCC1 ZINC000441459427 1072289060 /nfs/dbraw/zinc/28/90/60/1072289060.db2.gz RRVVWMULECQELF-QAPCUYQASA-N 0 0 444.488 -0.170 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N1CCOCC1 ZINC000441459428 1072289075 /nfs/dbraw/zinc/28/90/75/1072289075.db2.gz RRVVWMULECQELF-YJBOKZPZSA-N 0 0 444.488 -0.170 20 0 IBADRN COc1cccc(CCNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000441459702 1072289039 /nfs/dbraw/zinc/28/90/39/1072289039.db2.gz WNOCBXQJTJKODS-UHFFFAOYSA-N 0 0 427.527 -0.027 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c2ccccc2O1 ZINC000441463000 1072289574 /nfs/dbraw/zinc/28/95/74/1072289574.db2.gz JIRAYCINESYKMJ-DLBZAZTESA-N 0 0 432.477 -0.474 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c2ccccc2O1 ZINC000441463007 1072289505 /nfs/dbraw/zinc/28/95/05/1072289505.db2.gz JIRAYCINESYKMJ-IAGOWNOFSA-N 0 0 432.477 -0.474 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c2ccccc2O1 ZINC000441463009 1072289517 /nfs/dbraw/zinc/28/95/17/1072289517.db2.gz JIRAYCINESYKMJ-IRXDYDNUSA-N 0 0 432.477 -0.474 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c2ccccc2O1 ZINC000441463012 1072289526 /nfs/dbraw/zinc/28/95/26/1072289526.db2.gz JIRAYCINESYKMJ-SJORKVTESA-N 0 0 432.477 -0.474 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000441465352 1072290091 /nfs/dbraw/zinc/29/00/91/1072290091.db2.gz AFOWFYYDGVGUJE-UHFFFAOYSA-N 0 0 438.496 -0.713 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000441469997 1072290803 /nfs/dbraw/zinc/29/08/03/1072290803.db2.gz IWRNMCKGZARSRZ-UHFFFAOYSA-N 0 0 440.522 -0.565 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)nn1 ZINC000441470771 1072290873 /nfs/dbraw/zinc/29/08/73/1072290873.db2.gz VCHPQXHFCTYNSB-UHFFFAOYSA-N 0 0 430.469 -0.616 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000441470879 1072290557 /nfs/dbraw/zinc/29/05/57/1072290557.db2.gz GTVVFVVVQQPDJA-UHFFFAOYSA-N 0 0 445.469 -0.341 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000441475221 1072291490 /nfs/dbraw/zinc/29/14/90/1072291490.db2.gz NFWPCICOGXFRCY-UHFFFAOYSA-N 0 0 427.479 -0.480 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H]2C(=O)NCC[C@@H]2C)c1OC ZINC000441476330 1072291337 /nfs/dbraw/zinc/29/13/37/1072291337.db2.gz RXAIVSNXVFQCDP-BLLLJJGKSA-N 0 0 441.506 -0.021 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2C(=O)NCC[C@@H]2C)c1OC ZINC000441476331 1072291541 /nfs/dbraw/zinc/29/15/41/1072291541.db2.gz RXAIVSNXVFQCDP-LRDDRELGSA-N 0 0 441.506 -0.021 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H]2C(=O)NCC[C@H]2C)c1OC ZINC000441476332 1072291360 /nfs/dbraw/zinc/29/13/60/1072291360.db2.gz RXAIVSNXVFQCDP-MLGOLLRUSA-N 0 0 441.506 -0.021 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2C(=O)NCC[C@H]2C)c1OC ZINC000441476333 1072291372 /nfs/dbraw/zinc/29/13/72/1072291372.db2.gz RXAIVSNXVFQCDP-WBMJQRKESA-N 0 0 441.506 -0.021 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000441478490 1072292190 /nfs/dbraw/zinc/29/21/90/1072292190.db2.gz TVJBAZWXICAWOM-INIZCTEOSA-N 0 0 439.480 -0.286 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000441478491 1072292152 /nfs/dbraw/zinc/29/21/52/1072292152.db2.gz TVJBAZWXICAWOM-MRXNPFEDSA-N 0 0 439.480 -0.286 20 0 IBADRN COc1cccc(CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000441479844 1072292168 /nfs/dbraw/zinc/29/21/68/1072292168.db2.gz RIIRNJWANDBWCW-UHFFFAOYSA-N 0 0 426.543 -0.548 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000441480479 1072292119 /nfs/dbraw/zinc/29/21/19/1072292119.db2.gz KOLBNKNPCVQOQY-UHFFFAOYSA-N 0 0 434.468 -0.044 20 0 IBADRN COCCOC1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000441482060 1072292525 /nfs/dbraw/zinc/29/25/25/1072292525.db2.gz QDEZMNDHPHCMAY-GOSISDBHSA-N 0 0 433.461 -0.487 20 0 IBADRN COCCOC1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000441482061 1072292544 /nfs/dbraw/zinc/29/25/44/1072292544.db2.gz QDEZMNDHPHCMAY-SFHVURJKSA-N 0 0 433.461 -0.487 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1 ZINC000441484576 1072285139 /nfs/dbraw/zinc/28/51/39/1072285139.db2.gz YVRBMOSKINTPHH-UHFFFAOYSA-N 0 0 431.493 -0.048 20 0 IBADRN CC(C)(O)CN(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000441485022 1072293277 /nfs/dbraw/zinc/29/32/77/1072293277.db2.gz ZOIVYYPFWUUUEK-UHFFFAOYSA-N 0 0 443.504 0.480 20 0 IBADRN CC[C@@H](O)CN(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000441486952 1072292470 /nfs/dbraw/zinc/29/24/70/1072292470.db2.gz KEMRWYIWOOYSRF-GOSISDBHSA-N 0 0 443.504 0.480 20 0 IBADRN CC[C@H](O)CN(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000441486954 1072292642 /nfs/dbraw/zinc/29/26/42/1072292642.db2.gz KEMRWYIWOOYSRF-SFHVURJKSA-N 0 0 443.504 0.480 20 0 IBADRN C[C@@H](CCO)N(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000441495372 1072294110 /nfs/dbraw/zinc/29/41/10/1072294110.db2.gz FTERIPCKPWIHIL-KRWDZBQOSA-N 0 0 443.504 0.480 20 0 IBADRN C[C@H](CCO)N(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000441495373 1072294246 /nfs/dbraw/zinc/29/42/46/1072294246.db2.gz FTERIPCKPWIHIL-QGZVFWFLSA-N 0 0 443.504 0.480 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000441495921 1072293776 /nfs/dbraw/zinc/29/37/76/1072293776.db2.gz YCUNFIQTWOXABW-BEFAXECRSA-N 0 0 436.534 -0.060 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000441495924 1072294091 /nfs/dbraw/zinc/29/40/91/1072294091.db2.gz YCUNFIQTWOXABW-DNVCBOLYSA-N 0 0 436.534 -0.060 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000441495928 1072294213 /nfs/dbraw/zinc/29/42/13/1072294213.db2.gz YCUNFIQTWOXABW-HNAYVOBHSA-N 0 0 436.534 -0.060 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000441495931 1072294224 /nfs/dbraw/zinc/29/42/24/1072294224.db2.gz YCUNFIQTWOXABW-KXBFYZLASA-N 0 0 436.534 -0.060 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)C1 ZINC000441496045 1072294148 /nfs/dbraw/zinc/29/41/48/1072294148.db2.gz CSXBADKEJATAHE-UHFFFAOYSA-N 0 0 434.493 -0.105 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000441497340 1072294607 /nfs/dbraw/zinc/29/46/07/1072294607.db2.gz GARLALDCGARKOB-CYBMUJFWSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000441497343 1072294627 /nfs/dbraw/zinc/29/46/27/1072294627.db2.gz GARLALDCGARKOB-ZDUSSCGKSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C1 ZINC000441497831 1072294200 /nfs/dbraw/zinc/29/42/00/1072294200.db2.gz HNFKWLVCXDPIJJ-UHFFFAOYSA-N 0 0 440.884 -0.030 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)C1 ZINC000441502003 1072294753 /nfs/dbraw/zinc/29/47/53/1072294753.db2.gz PJQAKWCQGBMQLL-UHFFFAOYSA-N 0 0 441.506 -0.258 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000441502211 1072294684 /nfs/dbraw/zinc/29/46/84/1072294684.db2.gz MZKDEKOLKWXUQJ-UHFFFAOYSA-N 0 0 427.479 -0.052 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000441505038 1072295890 /nfs/dbraw/zinc/29/58/90/1072295890.db2.gz WPZHZOARZVSVJJ-UHFFFAOYSA-N 0 0 441.506 -0.172 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000441505865 1072295227 /nfs/dbraw/zinc/29/52/27/1072295227.db2.gz ZCHGZESHFIWNFW-UHFFFAOYSA-N 0 0 434.493 -0.439 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CCNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000441506006 1072295698 /nfs/dbraw/zinc/29/56/98/1072295698.db2.gz JIJHXMTYTSCTEW-UHFFFAOYSA-N 0 0 442.567 -0.571 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000441507494 1072295787 /nfs/dbraw/zinc/29/57/87/1072295787.db2.gz VXVZMHBJHWEEDG-UHFFFAOYSA-N 0 0 427.479 -0.480 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2C(=O)NCC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000441508141 1072295273 /nfs/dbraw/zinc/29/52/73/1072295273.db2.gz GYZZMSGWHODRDT-ACJLOTCBSA-N 0 0 425.507 -0.101 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2C(=O)NCC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000441508146 1072295113 /nfs/dbraw/zinc/29/51/13/1072295113.db2.gz GYZZMSGWHODRDT-FZKQIMNGSA-N 0 0 425.507 -0.101 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2C(=O)NCC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000441508148 1072295315 /nfs/dbraw/zinc/29/53/15/1072295315.db2.gz GYZZMSGWHODRDT-SCLBCKFNSA-N 0 0 425.507 -0.101 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2C(=O)NCC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000441508150 1072295388 /nfs/dbraw/zinc/29/53/88/1072295388.db2.gz GYZZMSGWHODRDT-UGSOOPFHSA-N 0 0 425.507 -0.101 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000441509424 1072295805 /nfs/dbraw/zinc/29/58/05/1072295805.db2.gz BKPXJHWLIUWZJX-MSOLQXFVSA-N 0 0 443.504 -0.433 20 0 IBADRN CCOCCO[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000441511080 1072295830 /nfs/dbraw/zinc/29/58/30/1072295830.db2.gz LZKQIZYCFHAGTR-KRWDZBQOSA-N 0 0 434.559 -0.135 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1 ZINC000441511866 1072295855 /nfs/dbraw/zinc/29/58/55/1072295855.db2.gz NXDAYZXJVGBKEP-UHFFFAOYSA-N 0 0 440.522 -0.565 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000441514987 1072296502 /nfs/dbraw/zinc/29/65/02/1072296502.db2.gz UOQCJNCGUAJJKW-UHFFFAOYSA-N 0 0 428.511 -0.185 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)nn1 ZINC000441516602 1072296511 /nfs/dbraw/zinc/29/65/11/1072296511.db2.gz OSHDNHORPHPVKG-CVEARBPZSA-N 0 0 435.529 -0.027 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC2)nn1 ZINC000441516603 1072296363 /nfs/dbraw/zinc/29/63/63/1072296363.db2.gz OSHDNHORPHPVKG-HOTGVXAUSA-N 0 0 435.529 -0.027 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)nn1 ZINC000441516604 1072296287 /nfs/dbraw/zinc/29/62/87/1072296287.db2.gz OSHDNHORPHPVKG-HZPDHXFCSA-N 0 0 435.529 -0.027 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC2)nn1 ZINC000441516605 1072296300 /nfs/dbraw/zinc/29/63/00/1072296300.db2.gz OSHDNHORPHPVKG-JKSUJKDBSA-N 0 0 435.529 -0.027 20 0 IBADRN Nc1nsc(N2CCN(C(=O)c3ccccc3S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000441519223 1073364088 /nfs/dbraw/zinc/36/40/88/1073364088.db2.gz UTGOMYDPYDUVGS-UHFFFAOYSA-N 0 0 438.535 0.104 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000441519534 1072296529 /nfs/dbraw/zinc/29/65/29/1072296529.db2.gz DDPGEYJPGBROFA-RVKKMQEKSA-N 0 0 442.472 -0.481 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000441519535 1072296519 /nfs/dbraw/zinc/29/65/19/1072296519.db2.gz DDPGEYJPGBROFA-VKJFTORMSA-N 0 0 442.472 -0.481 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1)N1CCCC1 ZINC000441520871 1072296479 /nfs/dbraw/zinc/29/64/79/1072296479.db2.gz HBJPWTQWQHGCDF-UHFFFAOYSA-N 0 0 441.554 -0.581 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)nn1 ZINC000441521481 1072296272 /nfs/dbraw/zinc/29/62/72/1072296272.db2.gz GHMFFWDHNHIMEJ-UHFFFAOYSA-N 0 0 437.482 -0.870 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)C1 ZINC000441523473 1072296882 /nfs/dbraw/zinc/29/68/82/1072296882.db2.gz ZFVKVOYJWFCSRU-UHFFFAOYSA-N 0 0 427.479 -0.052 20 0 IBADRN CCN(C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCNC1=O ZINC000441524243 1072297011 /nfs/dbraw/zinc/29/70/11/1072297011.db2.gz VXYWEDVQLYQBPI-HNNXBMFYSA-N 0 0 425.507 -0.004 20 0 IBADRN CCN(C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCNC1=O ZINC000441524244 1072297031 /nfs/dbraw/zinc/29/70/31/1072297031.db2.gz VXYWEDVQLYQBPI-OAHLLOKOSA-N 0 0 425.507 -0.004 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)nn1 ZINC000441525487 1072296852 /nfs/dbraw/zinc/29/68/52/1072296852.db2.gz PJTGWBLNWNRSID-UHFFFAOYSA-N 0 0 440.457 -0.194 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000441526776 1072297022 /nfs/dbraw/zinc/29/70/22/1072297022.db2.gz UGCAMZJUPYHJMN-UHFFFAOYSA-N 0 0 431.559 -0.967 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000441527682 1072296794 /nfs/dbraw/zinc/29/67/94/1072296794.db2.gz VVGDBOAMEBANHM-UHFFFAOYSA-N 0 0 426.524 0.007 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(CC(=O)Nc3ccccc3OC)CC2)nn1 ZINC000441528667 1072297514 /nfs/dbraw/zinc/29/75/14/1072297514.db2.gz YIOPVKFHQAFNDP-UHFFFAOYSA-N 0 0 445.480 -0.074 20 0 IBADRN NC(=O)c1ccc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000441529712 1072297390 /nfs/dbraw/zinc/29/73/90/1072297390.db2.gz ANOLHOWEIFLPJO-UHFFFAOYSA-N 0 0 440.503 -0.320 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)cnc21 ZINC000441533532 1072297436 /nfs/dbraw/zinc/29/74/36/1072297436.db2.gz JSOTUSCIEQZPEW-UHFFFAOYSA-N 0 0 443.507 -0.731 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000441533541 1072297377 /nfs/dbraw/zinc/29/73/77/1072297377.db2.gz JTRBSDSEPIBQKK-CABCVRRESA-N 0 0 436.490 -0.475 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000441537214 1072297864 /nfs/dbraw/zinc/29/78/64/1072297864.db2.gz SIMXLJNHKFRVAM-CABCVRRESA-N 0 0 436.490 -0.475 20 0 IBADRN COc1ccc(S(=O)(=O)NCc2ccc(C(N)=O)nc2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000441537447 1072297485 /nfs/dbraw/zinc/29/74/85/1072297485.db2.gz COMMAXNOSFKESR-GOSISDBHSA-N 0 0 433.446 -0.278 20 0 IBADRN COc1ccc(S(=O)(=O)NCc2ccc(C(N)=O)nc2)cc1[C@]1(C)NC(=O)NC1=O ZINC000441537450 1072297292 /nfs/dbraw/zinc/29/72/92/1072297292.db2.gz COMMAXNOSFKESR-SFHVURJKSA-N 0 0 433.446 -0.278 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000441539591 1072298000 /nfs/dbraw/zinc/29/80/00/1072298000.db2.gz YVJKIESTANINSL-SJORKVTESA-N 0 0 440.504 -0.042 20 0 IBADRN O=C(NCc1cccc(N2CCC2=O)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000441540789 1072297878 /nfs/dbraw/zinc/29/78/78/1072297878.db2.gz LNVQRGJTZYPZRC-UHFFFAOYSA-N 0 0 429.477 -0.364 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H](c3nc(-c4nc[nH]n4)no3)C(C)(C)C)c2c(=O)n(C)c1=O ZINC000441541579 1072297945 /nfs/dbraw/zinc/29/79/45/1072297945.db2.gz DRDBJFIRSFRREJ-LLVKDONJSA-N 0 0 442.440 -0.495 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H](c3nc(-c4nc[nH]n4)no3)C(C)(C)C)c2c(=O)n(C)c1=O ZINC000441541582 1072297808 /nfs/dbraw/zinc/29/78/08/1072297808.db2.gz DRDBJFIRSFRREJ-NSHDSACASA-N 0 0 442.440 -0.495 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)Nc2ccccc2OC)CC1 ZINC000441543476 1072297990 /nfs/dbraw/zinc/29/79/90/1072297990.db2.gz QQXZETKBWWOHJA-UHFFFAOYSA-N 0 0 442.538 -0.266 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000441544612 1072297911 /nfs/dbraw/zinc/29/79/11/1072297911.db2.gz QBCQUUXALOLYIH-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000441547150 1072298352 /nfs/dbraw/zinc/29/83/52/1072298352.db2.gz PMJPVDOWRMXNPC-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2)N1CCOCC1 ZINC000441548874 1072298369 /nfs/dbraw/zinc/29/83/69/1072298369.db2.gz CFUYEVSSZUVLPE-CABCVRRESA-N 0 0 430.461 -0.258 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCS(=O)(=O)[C@@H]4CCCC[C@H]43)cnc21 ZINC000441553654 1072298330 /nfs/dbraw/zinc/29/83/30/1072298330.db2.gz TVTGAXWFIDDSJD-CHWSQXEVSA-N 0 0 428.492 -0.236 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCS(=O)(=O)[C@H]4CCCC[C@H]43)cnc21 ZINC000441553656 1072298386 /nfs/dbraw/zinc/29/83/86/1072298386.db2.gz TVTGAXWFIDDSJD-OLZOCXBDSA-N 0 0 428.492 -0.236 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCS(=O)(=O)[C@@H]4CCCC[C@@H]43)cnc21 ZINC000441553660 1072298341 /nfs/dbraw/zinc/29/83/41/1072298341.db2.gz TVTGAXWFIDDSJD-QWHCGFSZSA-N 0 0 428.492 -0.236 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCS(=O)(=O)[C@H]4CCCC[C@@H]43)cnc21 ZINC000441553663 1072298295 /nfs/dbraw/zinc/29/82/95/1072298295.db2.gz TVTGAXWFIDDSJD-STQMWFEESA-N 0 0 428.492 -0.236 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2[C@@H]3CC[C@H]2CNC(=O)C3)cc1 ZINC000441554342 1072298266 /nfs/dbraw/zinc/29/82/66/1072298266.db2.gz WIGFAUGXQZNAPP-SJORKVTESA-N 0 0 429.477 -0.225 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC(=O)NCc1ccco1)CC2 ZINC000441561702 1072298306 /nfs/dbraw/zinc/29/83/06/1072298306.db2.gz DXHJZRDEGTXYMA-UHFFFAOYSA-N 0 0 434.474 -0.101 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCN2CCOCC2)cc1F ZINC000441565263 1072298837 /nfs/dbraw/zinc/29/88/37/1072298837.db2.gz MSACNSHSWZCJEF-CYBMUJFWSA-N 0 0 438.456 -0.106 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCN2CCOCC2)cc1F ZINC000441565608 1072299059 /nfs/dbraw/zinc/29/90/59/1072299059.db2.gz MSACNSHSWZCJEF-ZDUSSCGKSA-N 0 0 438.456 -0.106 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H](c1nc(-c3nc[nH]n3)no1)C(C)(C)C)c(=O)n2C ZINC000441566401 1072298850 /nfs/dbraw/zinc/29/88/50/1072298850.db2.gz DISLREGHTJKKQQ-LLVKDONJSA-N 0 0 442.440 -0.495 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H](c1nc(-c3nc[nH]n3)no1)C(C)(C)C)c(=O)n2C ZINC000441566408 1072298818 /nfs/dbraw/zinc/29/88/18/1072298818.db2.gz DISLREGHTJKKQQ-NSHDSACASA-N 0 0 442.440 -0.495 20 0 IBADRN CC(C)C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)C(=O)Nc1nccs1 ZINC000441568993 1073316852 /nfs/dbraw/zinc/31/68/52/1073316852.db2.gz RHBKDBBHBGNVIP-GFCCVEGCSA-N 0 0 435.510 0.536 20 0 IBADRN CC(C)C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)C(=O)Nc1nccs1 ZINC000441568995 1073317233 /nfs/dbraw/zinc/31/72/33/1073317233.db2.gz RHBKDBBHBGNVIP-LBPRGKRZSA-N 0 0 435.510 0.536 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)C(=O)Nc3cnn(CC(N)=O)c3)C2=O)c1 ZINC000441574744 1072299548 /nfs/dbraw/zinc/29/95/48/1072299548.db2.gz UEQBCTVDNIHODF-INIZCTEOSA-N 0 0 444.448 -0.412 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)C(=O)Nc3cnn(CC(N)=O)c3)C2=O)c1 ZINC000441574748 1072299671 /nfs/dbraw/zinc/29/96/71/1072299671.db2.gz UEQBCTVDNIHODF-MRXNPFEDSA-N 0 0 444.448 -0.412 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C2=O)c1 ZINC000441576333 1072299460 /nfs/dbraw/zinc/29/94/60/1072299460.db2.gz QXKPZQVUYOTGSX-ABAIWWIYSA-N 0 0 442.494 -0.939 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C2=O)c1 ZINC000441576334 1072299487 /nfs/dbraw/zinc/29/94/87/1072299487.db2.gz QXKPZQVUYOTGSX-IAQYHMDHSA-N 0 0 442.494 -0.939 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C2=O)c1 ZINC000441576335 1072299417 /nfs/dbraw/zinc/29/94/17/1072299417.db2.gz QXKPZQVUYOTGSX-NHYWBVRUSA-N 0 0 442.494 -0.939 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C2=O)c1 ZINC000441576336 1072299562 /nfs/dbraw/zinc/29/95/62/1072299562.db2.gz QXKPZQVUYOTGSX-XHDPSFHLSA-N 0 0 442.494 -0.939 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H](CC(C)C)C(=O)Nc2nccs2)cc1C ZINC000441577286 1073315448 /nfs/dbraw/zinc/31/54/48/1073315448.db2.gz BSAPRNHAXSFKBN-GFCCVEGCSA-N 0 0 435.510 0.502 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H](CC(C)C)C(=O)Nc2nccs2)cc1C ZINC000441577289 1073315415 /nfs/dbraw/zinc/31/54/15/1073315415.db2.gz BSAPRNHAXSFKBN-LBPRGKRZSA-N 0 0 435.510 0.502 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(CC(=O)Nc3ccccc3OC)CC2)C(=O)C1=O ZINC000441579051 1072299949 /nfs/dbraw/zinc/29/99/49/1072299949.db2.gz CMCYCYFNFJAGFB-UHFFFAOYSA-N 0 0 431.493 -0.531 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cc1-n1cnnn1 ZINC000441583094 1072300742 /nfs/dbraw/zinc/30/07/42/1072300742.db2.gz AXPJKVAATYEJPR-UHFFFAOYSA-N 0 0 445.461 -0.296 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3ncn(C(C)(C)C)n3)C2)cc1 ZINC000441584348 1072300865 /nfs/dbraw/zinc/30/08/65/1072300865.db2.gz IIJYRFGOTJOUDM-UHFFFAOYSA-N 0 0 436.494 0.170 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3ccc(CC(N)=O)cc3)C2)cc1 ZINC000441584574 1072300640 /nfs/dbraw/zinc/30/06/40/1072300640.db2.gz AKUROWCKHDIAOQ-UHFFFAOYSA-N 0 0 446.485 -0.149 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(C(=O)OC)cc(S(C)(=O)=O)c1 ZINC000441585931 1072300550 /nfs/dbraw/zinc/30/05/50/1072300550.db2.gz NIEMYCKOGCKUFC-AWEZNQCLSA-N 0 0 425.463 -0.058 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(C(=O)OC)cc(S(C)(=O)=O)c1 ZINC000441585933 1072300791 /nfs/dbraw/zinc/30/07/91/1072300791.db2.gz NIEMYCKOGCKUFC-CQSZACIVSA-N 0 0 425.463 -0.058 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3cccc(C(N)=O)c3)C2)cc1 ZINC000441586134 1072301740 /nfs/dbraw/zinc/30/17/40/1072301740.db2.gz DLXKMZCKNCIRGE-UHFFFAOYSA-N 0 0 432.458 -0.078 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000441586435 1072300776 /nfs/dbraw/zinc/30/07/76/1072300776.db2.gz OKXXONHHZDKQOQ-HNNXBMFYSA-N 0 0 426.495 -0.323 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000441586738 1072300755 /nfs/dbraw/zinc/30/07/55/1072300755.db2.gz OKXXONHHZDKQOQ-OAHLLOKOSA-N 0 0 426.495 -0.323 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1 ZINC000441588288 1072301750 /nfs/dbraw/zinc/30/17/50/1072301750.db2.gz KXMJITAXNKVTEZ-KRWDZBQOSA-N 0 0 439.472 -0.296 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1 ZINC000441588291 1072301831 /nfs/dbraw/zinc/30/18/31/1072301831.db2.gz KXMJITAXNKVTEZ-QGZVFWFLSA-N 0 0 439.472 -0.296 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc1F ZINC000441588776 1072301793 /nfs/dbraw/zinc/30/17/93/1072301793.db2.gz MAVQOSBWSQEZLC-JTQLQIEISA-N 0 0 446.457 -0.889 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc1F ZINC000441588777 1072301671 /nfs/dbraw/zinc/30/16/71/1072301671.db2.gz MAVQOSBWSQEZLC-SNVBAGLBSA-N 0 0 446.457 -0.889 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000441590591 1072300715 /nfs/dbraw/zinc/30/07/15/1072300715.db2.gz OJPIQKZRGJHGGW-GOSISDBHSA-N 0 0 445.520 -0.186 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000441590595 1072301166 /nfs/dbraw/zinc/30/11/66/1072301166.db2.gz OJPIQKZRGJHGGW-SFHVURJKSA-N 0 0 445.520 -0.186 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000441591101 1072301195 /nfs/dbraw/zinc/30/11/95/1072301195.db2.gz OLKUGPQZCMXHDG-UHFFFAOYSA-N 0 0 433.509 -0.343 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000441591115 1072301236 /nfs/dbraw/zinc/30/12/36/1072301236.db2.gz PANUZEGNUBFKRD-INIZCTEOSA-N 0 0 426.477 -0.195 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000441591119 1072301176 /nfs/dbraw/zinc/30/11/76/1072301176.db2.gz PANUZEGNUBFKRD-MRXNPFEDSA-N 0 0 426.477 -0.195 20 0 IBADRN CN(C)C(=O)C[C@@H]1CN(C(=O)C(=O)NCCNS(C)(=O)=O)c2ccc(Cl)cc21 ZINC000441591783 1072301296 /nfs/dbraw/zinc/30/12/96/1072301296.db2.gz SIYZKDLDZBSNEE-LLVKDONJSA-N 0 0 430.914 -0.086 20 0 IBADRN CN(C)C(=O)C[C@H]1CN(C(=O)C(=O)NCCNS(C)(=O)=O)c2ccc(Cl)cc21 ZINC000441591784 1072301338 /nfs/dbraw/zinc/30/13/38/1072301338.db2.gz SIYZKDLDZBSNEE-NSHDSACASA-N 0 0 430.914 -0.086 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000441591978 1072301253 /nfs/dbraw/zinc/30/12/53/1072301253.db2.gz APGANWMRVBUQQO-UHFFFAOYSA-N 0 0 439.538 -0.307 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3cn(C)c(=O)cc3C)C2)cc1 ZINC000441593084 1072301265 /nfs/dbraw/zinc/30/12/65/1072301265.db2.gz BSHAJTBHXFPFOA-UHFFFAOYSA-N 0 0 434.474 -0.170 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000441593190 1072301343 /nfs/dbraw/zinc/30/13/43/1072301343.db2.gz BYFLCZUJFUFTSO-KRWDZBQOSA-N 0 0 439.472 -0.296 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000441593193 1072301108 /nfs/dbraw/zinc/30/11/08/1072301108.db2.gz BYFLCZUJFUFTSO-QGZVFWFLSA-N 0 0 439.472 -0.296 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000441593746 1072301155 /nfs/dbraw/zinc/30/11/55/1072301155.db2.gz TZPVDMOFOFIEJU-INIZCTEOSA-N 0 0 438.506 -0.227 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000441593749 1072301333 /nfs/dbraw/zinc/30/13/33/1072301333.db2.gz TZPVDMOFOFIEJU-MRXNPFEDSA-N 0 0 438.506 -0.227 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000441594424 1072301706 /nfs/dbraw/zinc/30/17/06/1072301706.db2.gz UWVGVLRQNDQIPN-IIAWOOMASA-N 0 0 434.497 -0.416 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000441594437 1072301867 /nfs/dbraw/zinc/30/18/67/1072301867.db2.gz UWVGVLRQNDQIPN-IJEWVQPXSA-N 0 0 434.497 -0.416 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000441594441 1072301857 /nfs/dbraw/zinc/30/18/57/1072301857.db2.gz UWVGVLRQNDQIPN-LZWOXQAQSA-N 0 0 434.497 -0.416 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000441594447 1072301642 /nfs/dbraw/zinc/30/16/42/1072301642.db2.gz UWVGVLRQNDQIPN-OFQRWUPVSA-N 0 0 434.497 -0.416 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000441595957 1072301772 /nfs/dbraw/zinc/30/17/72/1072301772.db2.gz GUYJJHWODYAFDX-UHFFFAOYSA-N 0 0 436.557 -0.029 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N(C)Cc2cnc(N(C)C)nc2)cc1 ZINC000441596021 1072301803 /nfs/dbraw/zinc/30/18/03/1072301803.db2.gz GZPHOWTZBKTMQT-UHFFFAOYSA-N 0 0 442.476 -0.029 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3cccc(C(N)=O)n3)C2)cc1 ZINC000441596810 1072302454 /nfs/dbraw/zinc/30/24/54/1072302454.db2.gz YXRXYRMYHWJOES-UHFFFAOYSA-N 0 0 433.446 -0.683 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccc(-n2ccnn2)c1 ZINC000441597126 1072302430 /nfs/dbraw/zinc/30/24/30/1072302430.db2.gz YXWZMOWFWKKHGH-GOSISDBHSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccc(-n2ccnn2)c1 ZINC000441597128 1072302303 /nfs/dbraw/zinc/30/23/03/1072302303.db2.gz YXWZMOWFWKKHGH-SFHVURJKSA-N 0 0 449.471 -0.075 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3cnc(N(C)C)nc3)C2)cc1 ZINC000441598152 1072302213 /nfs/dbraw/zinc/30/22/13/1072302213.db2.gz NFCUQQSFQFZLLZ-UHFFFAOYSA-N 0 0 434.478 -0.321 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441598741 1072302191 /nfs/dbraw/zinc/30/21/91/1072302191.db2.gz AACPPQQIXYNDAV-AEFFLSMTSA-N 0 0 444.488 -0.234 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441598750 1072302275 /nfs/dbraw/zinc/30/22/75/1072302275.db2.gz AACPPQQIXYNDAV-FUHWJXTLSA-N 0 0 444.488 -0.234 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441598754 1072302316 /nfs/dbraw/zinc/30/23/16/1072302316.db2.gz AACPPQQIXYNDAV-SJLPKXTDSA-N 0 0 444.488 -0.234 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441598758 1072302289 /nfs/dbraw/zinc/30/22/89/1072302289.db2.gz AACPPQQIXYNDAV-WMZOPIPTSA-N 0 0 444.488 -0.234 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)Cc1cnc(N(C)C)nc1)CC2 ZINC000441598800 1072302437 /nfs/dbraw/zinc/30/24/37/1072302437.db2.gz ACFHDSSCYBHSOZ-UHFFFAOYSA-N 0 0 432.506 -0.002 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccnc1-n1cccn1 ZINC000441599092 1072303043 /nfs/dbraw/zinc/30/30/43/1072303043.db2.gz NMXWWDIJJZQIRE-KRWDZBQOSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccnc1-n1cccn1 ZINC000441599093 1072302938 /nfs/dbraw/zinc/30/29/38/1072302938.db2.gz NMXWWDIJJZQIRE-QGZVFWFLSA-N 0 0 449.471 -0.075 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000441600882 1072302951 /nfs/dbraw/zinc/30/29/51/1072302951.db2.gz IAUSQYBPIIUVIX-INIZCTEOSA-N 0 0 438.506 -0.227 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000441600886 1072302804 /nfs/dbraw/zinc/30/28/04/1072302804.db2.gz IAUSQYBPIIUVIX-MRXNPFEDSA-N 0 0 438.506 -0.227 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(-n2cccn2)nc1 ZINC000441602673 1072303016 /nfs/dbraw/zinc/30/30/16/1072303016.db2.gz WRWBMMISKWDCRG-KRWDZBQOSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(-n2cccn2)nc1 ZINC000441602674 1072302826 /nfs/dbraw/zinc/30/28/26/1072302826.db2.gz WRWBMMISKWDCRG-QGZVFWFLSA-N 0 0 449.471 -0.075 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000441602927 1072302887 /nfs/dbraw/zinc/30/28/87/1072302887.db2.gz XCIMHBYKHVXKJQ-UHFFFAOYSA-N 0 0 436.557 -0.029 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)nn1 ZINC000441603273 1072303006 /nfs/dbraw/zinc/30/30/06/1072303006.db2.gz OCAQVESONVQEJU-CYBMUJFWSA-N 0 0 440.482 -0.227 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)nn1 ZINC000441603274 1072303025 /nfs/dbraw/zinc/30/30/25/1072303025.db2.gz OCAQVESONVQEJU-ZDUSSCGKSA-N 0 0 440.482 -0.227 20 0 IBADRN CN1c2cc(NC(=O)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)ccc2OCC1=O ZINC000441605076 1072303625 /nfs/dbraw/zinc/30/36/25/1072303625.db2.gz VWKDNXLRCSJCGR-UHFFFAOYSA-N 0 0 432.458 -0.013 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCC(=O)N1CCOCC1)CC2 ZINC000441605561 1072303596 /nfs/dbraw/zinc/30/35/96/1072303596.db2.gz SZOMSRUVUSJBPN-UHFFFAOYSA-N 0 0 438.506 -0.761 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000441606201 1072303320 /nfs/dbraw/zinc/30/33/20/1072303320.db2.gz ZZFNSCPRHXCJEK-CYBMUJFWSA-N 0 0 433.498 -0.595 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000441606202 1072303403 /nfs/dbraw/zinc/30/34/03/1072303403.db2.gz ZZFNSCPRHXCJEK-ZDUSSCGKSA-N 0 0 433.498 -0.595 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)n1 ZINC000441606272 1072303308 /nfs/dbraw/zinc/30/33/08/1072303308.db2.gz ASXQTKBDIZKIJW-UHFFFAOYSA-N 0 0 437.478 -0.334 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000441608379 1072304127 /nfs/dbraw/zinc/30/41/27/1072304127.db2.gz IKKSVMJFGIHJCD-INIZCTEOSA-N 0 0 432.477 -0.010 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000441608381 1072304067 /nfs/dbraw/zinc/30/40/67/1072304067.db2.gz IKKSVMJFGIHJCD-MRXNPFEDSA-N 0 0 432.477 -0.010 20 0 IBADRN COCCn1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)cn1 ZINC000441608972 1072304059 /nfs/dbraw/zinc/30/40/59/1072304059.db2.gz FFDWYKQZKLQOJR-UHFFFAOYSA-N 0 0 437.478 -0.334 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000441609255 1072304103 /nfs/dbraw/zinc/30/41/03/1072304103.db2.gz FXBCJEQCLMWABF-GOSISDBHSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000441609256 1072303911 /nfs/dbraw/zinc/30/39/11/1072303911.db2.gz FXBCJEQCLMWABF-SFHVURJKSA-N 0 0 449.471 -0.075 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000441611708 1072303338 /nfs/dbraw/zinc/30/33/38/1072303338.db2.gz PGUVRPUTEMINNI-AWEZNQCLSA-N 0 0 448.476 -0.328 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000441611711 1072304091 /nfs/dbraw/zinc/30/40/91/1072304091.db2.gz PGUVRPUTEMINNI-CQSZACIVSA-N 0 0 448.476 -0.328 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3cc(C)c(=O)n(C)c3)C2)cc1 ZINC000441612401 1072304110 /nfs/dbraw/zinc/30/41/10/1072304110.db2.gz OXIOIKLPNSYANM-UHFFFAOYSA-N 0 0 434.474 -0.170 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cnn(C3CCOCC3)c2)CC1)N1CCOCC1 ZINC000441612574 1072304081 /nfs/dbraw/zinc/30/40/81/1072304081.db2.gz LTHMMJBBWYIFPB-UHFFFAOYSA-N 0 0 427.527 -0.600 20 0 IBADRN COCc1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)ncn1 ZINC000441612786 1072303936 /nfs/dbraw/zinc/30/39/36/1072303936.db2.gz SFALKMZQNPJNJB-UHFFFAOYSA-N 0 0 435.462 -0.241 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3cc(C)cn(C)c3=O)C2)cc1 ZINC000441613408 1072304494 /nfs/dbraw/zinc/30/44/94/1072304494.db2.gz QZDGPNWTRVNYBY-UHFFFAOYSA-N 0 0 434.474 -0.170 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccccc1-n1ccnn1 ZINC000441613619 1072304593 /nfs/dbraw/zinc/30/45/93/1072304593.db2.gz PPGSDUMZGSPRJE-KRWDZBQOSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccccc1-n1ccnn1 ZINC000441613622 1072304552 /nfs/dbraw/zinc/30/45/52/1072304552.db2.gz PPGSDUMZGSPRJE-QGZVFWFLSA-N 0 0 449.471 -0.075 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)nn1 ZINC000441614137 1072304416 /nfs/dbraw/zinc/30/44/16/1072304416.db2.gz QPCURXGYUWMLDK-UHFFFAOYSA-N 0 0 438.466 -0.654 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1)N1CCOCC1 ZINC000441614211 1072304587 /nfs/dbraw/zinc/30/45/87/1072304587.db2.gz VPVYAEYXTOMPNJ-UHFFFAOYSA-N 0 0 431.428 -0.018 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000441614908 1072304504 /nfs/dbraw/zinc/30/45/04/1072304504.db2.gz XMOLZCBXKZXFHP-UHFFFAOYSA-N 0 0 449.537 -0.262 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C ZINC000441615565 1072304398 /nfs/dbraw/zinc/30/43/98/1072304398.db2.gz ZXAVABXGUJFGJL-UHFFFAOYSA-N 0 0 435.514 -0.348 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000441616443 1072304577 /nfs/dbraw/zinc/30/45/77/1072304577.db2.gz VRMNWXNRWQTMSU-UHFFFAOYSA-N 0 0 449.537 -0.262 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc2c(c1)CC(=O)N2 ZINC000441617067 1072304598 /nfs/dbraw/zinc/30/45/98/1072304598.db2.gz CMZDTIHNDRUKMZ-KRWDZBQOSA-N 0 0 437.456 -0.161 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc2c(c1)CC(=O)N2 ZINC000441617072 1072304532 /nfs/dbraw/zinc/30/45/32/1072304532.db2.gz CMZDTIHNDRUKMZ-QGZVFWFLSA-N 0 0 437.456 -0.161 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)nn1 ZINC000441617311 1072305123 /nfs/dbraw/zinc/30/51/23/1072305123.db2.gz CGWNUYOYJWZRLJ-UHFFFAOYSA-N 0 0 429.480 -0.537 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(OC)c(OC)c1 ZINC000441619626 1072305006 /nfs/dbraw/zinc/30/50/06/1072305006.db2.gz BEUOVBDKUGHQMH-UHFFFAOYSA-N 0 0 448.476 -0.148 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)cc21 ZINC000441621096 1072305585 /nfs/dbraw/zinc/30/55/85/1072305585.db2.gz HRSDIYCFGDAKJD-UHFFFAOYSA-N 0 0 429.477 -0.253 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)CC1 ZINC000441621768 1072304887 /nfs/dbraw/zinc/30/48/87/1072304887.db2.gz CNYFKQNYLCPXAC-UHFFFAOYSA-N 0 0 436.465 -0.291 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1 ZINC000441622934 1072305596 /nfs/dbraw/zinc/30/55/96/1072305596.db2.gz GFEFJKCAJVJGEN-UHFFFAOYSA-N 0 0 444.471 -0.102 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1c(C)nn([C@H]2CCS(=O)(=O)C2)c1C ZINC000441624334 1072304902 /nfs/dbraw/zinc/30/49/02/1072304902.db2.gz WFGPZFSHALBTHJ-KBPBESRZSA-N 0 0 425.511 -0.075 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1c(C)nn([C@@H]2CCS(=O)(=O)C2)c1C ZINC000441624339 1072305017 /nfs/dbraw/zinc/30/50/17/1072305017.db2.gz WFGPZFSHALBTHJ-KGLIPLIRSA-N 0 0 425.511 -0.075 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1c(C)nn([C@H]2CCS(=O)(=O)C2)c1C ZINC000441624343 1072305060 /nfs/dbraw/zinc/30/50/60/1072305060.db2.gz WFGPZFSHALBTHJ-UONOGXRCSA-N 0 0 425.511 -0.075 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1c(C)nn([C@@H]2CCS(=O)(=O)C2)c1C ZINC000441624347 1072305117 /nfs/dbraw/zinc/30/51/17/1072305117.db2.gz WFGPZFSHALBTHJ-ZIAGYGMSSA-N 0 0 425.511 -0.075 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000441624397 1072305146 /nfs/dbraw/zinc/30/51/46/1072305146.db2.gz WIIWFEOQHCFKCD-UHFFFAOYSA-N 0 0 432.525 -0.227 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)cc21 ZINC000441624748 1072304982 /nfs/dbraw/zinc/30/49/82/1072304982.db2.gz SALPXGRTPOMTDC-UHFFFAOYSA-N 0 0 442.520 -0.005 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)[C@@H]1C(=O)OC ZINC000441625292 1072306052 /nfs/dbraw/zinc/30/60/52/1072306052.db2.gz MCWUFUONWZCPIO-DOMZBBRYSA-N 0 0 438.481 -0.606 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)[C@H]1C(=O)OC ZINC000441625299 1072306011 /nfs/dbraw/zinc/30/60/11/1072306011.db2.gz MCWUFUONWZCPIO-IUODEOHRSA-N 0 0 438.481 -0.606 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1CC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000441625301 1072306031 /nfs/dbraw/zinc/30/60/31/1072306031.db2.gz MCWUFUONWZCPIO-SWLSCSKDSA-N 0 0 438.481 -0.606 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)[C@@H]1C(=O)OC ZINC000441625304 1072305995 /nfs/dbraw/zinc/30/59/95/1072305995.db2.gz MCWUFUONWZCPIO-WFASDCNBSA-N 0 0 438.481 -0.606 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000441626011 1072304957 /nfs/dbraw/zinc/30/49/57/1072304957.db2.gz ZZQXTLZIRXNYMM-UHFFFAOYSA-N 0 0 446.508 -0.613 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)NC)c3c2)CC1 ZINC000441627169 1072305578 /nfs/dbraw/zinc/30/55/78/1072305578.db2.gz SMEVOCDRWTWUKI-UHFFFAOYSA-N 0 0 428.493 -0.394 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(Cl)c2S(C)(=O)=O)CC1 ZINC000441627212 1072305514 /nfs/dbraw/zinc/30/55/14/1072305514.db2.gz SWCVIDBAJOSEPL-UHFFFAOYSA-N 0 0 430.914 -0.038 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccn(-c2ccccc2)n1 ZINC000441627609 1072305518 /nfs/dbraw/zinc/30/55/18/1072305518.db2.gz VKINBTRHGBQNBK-GOSISDBHSA-N 0 0 448.483 0.530 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccn(-c2ccccc2)n1 ZINC000441627610 1072305562 /nfs/dbraw/zinc/30/55/62/1072305562.db2.gz VKINBTRHGBQNBK-SFHVURJKSA-N 0 0 448.483 0.530 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441628909 1072305545 /nfs/dbraw/zinc/30/55/45/1072305545.db2.gz BJWXFYUPSKUWIX-GOSISDBHSA-N 0 0 442.476 -0.183 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000441628910 1072305958 /nfs/dbraw/zinc/30/59/58/1072305958.db2.gz BJWXFYUPSKUWIX-SFHVURJKSA-N 0 0 442.476 -0.183 20 0 IBADRN CN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)[C@@H]1CS(=O)(=O)c2ccc(Cl)cc21 ZINC000441629049 1072305574 /nfs/dbraw/zinc/30/55/74/1072305574.db2.gz BTJYPMZLYIKIKM-LLVKDONJSA-N 0 0 426.882 -0.037 20 0 IBADRN CN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)[C@H]1CS(=O)(=O)c2ccc(Cl)cc21 ZINC000441629050 1072305552 /nfs/dbraw/zinc/30/55/52/1072305552.db2.gz BTJYPMZLYIKIKM-NSHDSACASA-N 0 0 426.882 -0.037 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3cncnc3N(C)C)C2)cc1 ZINC000441630122 1072306400 /nfs/dbraw/zinc/30/64/00/1072306400.db2.gz DURKCSBHBUPBFL-UHFFFAOYSA-N 0 0 434.478 -0.321 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000441632062 1072306503 /nfs/dbraw/zinc/30/65/03/1072306503.db2.gz GKOZULILJCQQDC-AWEZNQCLSA-N 0 0 448.476 -0.376 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000441632065 1072306468 /nfs/dbraw/zinc/30/64/68/1072306468.db2.gz GKOZULILJCQQDC-CQSZACIVSA-N 0 0 448.476 -0.376 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000441632104 1072306510 /nfs/dbraw/zinc/30/65/10/1072306510.db2.gz GQMLBUQKMKUHRB-UHFFFAOYSA-N 0 0 430.465 -0.395 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1-n1cnnn1 ZINC000441632197 1072306558 /nfs/dbraw/zinc/30/65/58/1072306558.db2.gz HANARUPYQHCAFW-UHFFFAOYSA-N 0 0 430.469 -0.722 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)[C@@H]1C(=O)OC ZINC000441632255 1072306479 /nfs/dbraw/zinc/30/64/79/1072306479.db2.gz ILKNGRRKAQYICQ-PBHICJAKSA-N 0 0 439.490 -0.350 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)[C@H]1C(=O)OC ZINC000441632259 1072306527 /nfs/dbraw/zinc/30/65/27/1072306527.db2.gz ILKNGRRKAQYICQ-RHSMWYFYSA-N 0 0 439.490 -0.350 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000441632261 1072306432 /nfs/dbraw/zinc/30/64/32/1072306432.db2.gz ILKNGRRKAQYICQ-WMLDXEAASA-N 0 0 439.490 -0.350 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)[C@@H]1C(=O)OC ZINC000441632263 1072306541 /nfs/dbraw/zinc/30/65/41/1072306541.db2.gz ILKNGRRKAQYICQ-YOEHRIQHSA-N 0 0 439.490 -0.350 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)cc21 ZINC000441632342 1072306518 /nfs/dbraw/zinc/30/65/18/1072306518.db2.gz JBCRSIWEVKDGPO-UHFFFAOYSA-N 0 0 440.504 -0.251 20 0 IBADRN Cn1nc2c(c1NC(=O)C(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O)CCC2 ZINC000441634267 1072305939 /nfs/dbraw/zinc/30/59/39/1072305939.db2.gz MZHNSQNRGPAGFS-INIZCTEOSA-N 0 0 426.477 -0.434 20 0 IBADRN Cn1nc2c(c1NC(=O)C(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O)CCC2 ZINC000441634268 1072305950 /nfs/dbraw/zinc/30/59/50/1072305950.db2.gz MZHNSQNRGPAGFS-MRXNPFEDSA-N 0 0 426.477 -0.434 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(CC(=O)Nc3ccccc3OC)CC2)c(=O)[nH]c1=O ZINC000441635199 1072306909 /nfs/dbraw/zinc/30/69/09/1072306909.db2.gz MCMDJEMDQDENMP-UHFFFAOYSA-N 0 0 444.492 -0.001 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc4c(c3)N(C)C(=O)CO4)CC2)CC1 ZINC000441635681 1072306986 /nfs/dbraw/zinc/30/69/86/1072306986.db2.gz QHSMENBFLJTNGF-UHFFFAOYSA-N 0 0 443.504 -0.007 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1C(=O)OC ZINC000441635848 1072307480 /nfs/dbraw/zinc/30/74/80/1072307480.db2.gz PWTDJMYKRAMDJZ-UHFFFAOYSA-N 0 0 448.476 -0.133 20 0 IBADRN O=C(Nc1c(F)cc(F)cc1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000441635972 1072307630 /nfs/dbraw/zinc/30/76/30/1072307630.db2.gz LSKVXULLGLRRJX-UHFFFAOYSA-N 0 0 428.367 -0.428 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3c(C)ccn(C)c3=O)C2)cc1 ZINC000441636058 1072307609 /nfs/dbraw/zinc/30/76/09/1072307609.db2.gz QTUULLNWHUOXKH-UHFFFAOYSA-N 0 0 434.474 -0.170 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1-n1cnnn1 ZINC000441636891 1072306961 /nfs/dbraw/zinc/30/69/61/1072306961.db2.gz TZFJSTTZNVNDPH-UHFFFAOYSA-N 0 0 442.480 -0.624 20 0 IBADRN Cn1cnc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc21 ZINC000441637132 1072307942 /nfs/dbraw/zinc/30/79/42/1072307942.db2.gz NSTFSPKTZFWVTQ-UHFFFAOYSA-N 0 0 428.449 -0.959 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)CC1 ZINC000441637180 1072307961 /nfs/dbraw/zinc/30/79/61/1072307961.db2.gz OCYVYKGIWPTILC-UHFFFAOYSA-N 0 0 429.477 -0.015 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1 ZINC000441637395 1072307346 /nfs/dbraw/zinc/30/73/46/1072307346.db2.gz RMDKYVKVWOLODI-UHFFFAOYSA-N 0 0 431.428 -0.066 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc21 ZINC000441638140 1072308074 /nfs/dbraw/zinc/30/80/74/1072308074.db2.gz PLSHNWOQMRNBPY-UHFFFAOYSA-N 0 0 427.461 -0.354 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1CC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000441638408 1072307891 /nfs/dbraw/zinc/30/78/91/1072307891.db2.gz VABCVTIDHFPMOP-DOTOQJQBSA-N 0 0 441.506 -0.581 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@H]1C(=O)OC ZINC000441638410 1072307999 /nfs/dbraw/zinc/30/79/99/1072307999.db2.gz VABCVTIDHFPMOP-NVXWUHKLSA-N 0 0 441.506 -0.581 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@@H]1C(=O)OC ZINC000441638412 1072308045 /nfs/dbraw/zinc/30/80/45/1072308045.db2.gz VABCVTIDHFPMOP-RDJZCZTQSA-N 0 0 441.506 -0.581 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@@H]1C(=O)OC ZINC000441638413 1072307930 /nfs/dbraw/zinc/30/79/30/1072307930.db2.gz VABCVTIDHFPMOP-WBVHZDCISA-N 0 0 441.506 -0.581 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3ccn(CC(F)F)n3)C2)cc1 ZINC000441638437 1072307951 /nfs/dbraw/zinc/30/79/51/1072307951.db2.gz XAZSFXHOJGJBBG-UHFFFAOYSA-N 0 0 443.432 0.285 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1cn(C)nc1C(F)(F)F ZINC000441639507 1070844243 /nfs/dbraw/zinc/84/42/43/1070844243.db2.gz ZXEVPUNEKQNHLM-UHFFFAOYSA-N 0 0 446.474 -0.126 20 0 IBADRN COC(=O)c1ccsc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000441639644 1072308007 /nfs/dbraw/zinc/30/80/07/1072308007.db2.gz SDYGOSLCQDYLSP-UHFFFAOYSA-N 0 0 438.462 -0.997 20 0 IBADRN CCOCc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000441640106 1072307514 /nfs/dbraw/zinc/30/75/14/1072307514.db2.gz UHEOBGACCPKVGZ-UHFFFAOYSA-N 0 0 432.477 -0.309 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(C(=O)COC)CC1)CC2 ZINC000441640361 1072307620 /nfs/dbraw/zinc/30/76/20/1072307620.db2.gz VDJQCFMNAYUFHB-UHFFFAOYSA-N 0 0 438.506 -0.809 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccc(O)cc2)cc1-n1cnnn1 ZINC000441642032 1072307592 /nfs/dbraw/zinc/30/75/92/1072307592.db2.gz ADNDXMORRVBVBT-UHFFFAOYSA-N 0 0 425.405 -0.139 20 0 IBADRN CC(C)(C)c1nnc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)s1 ZINC000441642412 1072308486 /nfs/dbraw/zinc/30/84/86/1072308486.db2.gz ZVGZHKLELOFRJK-CYBMUJFWSA-N 0 0 446.533 0.493 20 0 IBADRN CC(C)(C)c1nnc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)s1 ZINC000441642413 1072308585 /nfs/dbraw/zinc/30/85/85/1072308585.db2.gz ZVGZHKLELOFRJK-ZDUSSCGKSA-N 0 0 446.533 0.493 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000441643625 1072307967 /nfs/dbraw/zinc/30/79/67/1072307967.db2.gz CGKSBJYYCLZYJC-UHFFFAOYSA-N 0 0 440.522 -0.029 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)cc1S(N)(=O)=O ZINC000441643794 1072308597 /nfs/dbraw/zinc/30/85/97/1072308597.db2.gz CXZXUICVIKGDNV-UHFFFAOYSA-N 0 0 440.522 -0.069 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)ccc1C ZINC000441644242 1072307902 /nfs/dbraw/zinc/30/79/02/1072307902.db2.gz FFWQIHBINOBTQK-UHFFFAOYSA-N 0 0 440.522 -0.063 20 0 IBADRN CC(C)C[C@@H](CO)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441645602 1072308061 /nfs/dbraw/zinc/30/80/61/1072308061.db2.gz IGAPFGVQIPPCOU-HNNXBMFYSA-N 0 0 440.522 -0.389 20 0 IBADRN CC(C)C[C@H](CO)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441645610 1072308033 /nfs/dbraw/zinc/30/80/33/1072308033.db2.gz IGAPFGVQIPPCOU-OAHLLOKOSA-N 0 0 440.522 -0.389 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000441645702 1072307917 /nfs/dbraw/zinc/30/79/17/1072307917.db2.gz IMLFMZMRBDAXDD-UHFFFAOYSA-N 0 0 438.506 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCNC(=O)c1ccc(O)cc1)CC2 ZINC000441647654 1072309104 /nfs/dbraw/zinc/30/91/04/1072309104.db2.gz OAQCJOSIJGONNP-UHFFFAOYSA-N 0 0 446.485 -0.264 20 0 IBADRN CCCCN(CCCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441648329 1072309042 /nfs/dbraw/zinc/30/90/42/1072309042.db2.gz DGFIRJDVJZHKHT-UHFFFAOYSA-N 0 0 440.522 -0.243 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@H]1C(=O)OC ZINC000441648416 1072309019 /nfs/dbraw/zinc/30/90/19/1072309019.db2.gz DPOSHTXRFKYMSK-CRAIPNDOSA-N 0 0 440.541 -0.528 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1CC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000441648421 1072309121 /nfs/dbraw/zinc/30/91/21/1072309121.db2.gz DPOSHTXRFKYMSK-MAUKXSAKSA-N 0 0 440.541 -0.528 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@@H]1C(=O)OC ZINC000441648424 1072309032 /nfs/dbraw/zinc/30/90/32/1072309032.db2.gz DPOSHTXRFKYMSK-QAPCUYQASA-N 0 0 440.541 -0.528 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@@H]1C(=O)OC ZINC000441648428 1072309132 /nfs/dbraw/zinc/30/91/32/1072309132.db2.gz DPOSHTXRFKYMSK-YJBOKZPZSA-N 0 0 440.541 -0.528 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(CCNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000441648919 1072308928 /nfs/dbraw/zinc/30/89/28/1072308928.db2.gz QOGIQWUVVIBJSJ-UHFFFAOYSA-N 0 0 436.538 -0.037 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2)o1 ZINC000441648982 1072309084 /nfs/dbraw/zinc/30/90/84/1072309084.db2.gz QWIHNZCELDZVNR-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000441649078 1072309008 /nfs/dbraw/zinc/30/90/08/1072309008.db2.gz QYQDBQHVUPBFST-UHFFFAOYSA-N 0 0 445.476 -0.227 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c(OC)c(OC)c1 ZINC000441650322 1072308574 /nfs/dbraw/zinc/30/85/74/1072308574.db2.gz JCXRFWWWUJUGDQ-UHFFFAOYSA-N 0 0 429.451 -0.467 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1 ZINC000441651176 1072308501 /nfs/dbraw/zinc/30/85/01/1072308501.db2.gz KYPKYMYNLYRSOW-UHFFFAOYSA-N 0 0 437.457 -0.279 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000441651190 1072308429 /nfs/dbraw/zinc/30/84/29/1072308429.db2.gz LCWUGKXWDVPLKF-UHFFFAOYSA-N 0 0 432.481 -0.948 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cc21 ZINC000441651672 1072308467 /nfs/dbraw/zinc/30/84/67/1072308467.db2.gz YQBCJQCWUODKNL-UHFFFAOYSA-N 0 0 435.506 -0.228 20 0 IBADRN COC(=O)[C@@H]1CCCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)[C@H]1C(=O)OC ZINC000441651698 1072308532 /nfs/dbraw/zinc/30/85/32/1072308532.db2.gz YAPZWEBAZQTSOZ-GDBMZVCRSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC000441651699 1072308457 /nfs/dbraw/zinc/30/84/57/1072308457.db2.gz YAPZWEBAZQTSOZ-GOEBONIOSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)[C@H]1CCCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)[C@@H]1C(=O)OC ZINC000441651700 1072308510 /nfs/dbraw/zinc/30/85/10/1072308510.db2.gz YAPZWEBAZQTSOZ-HOCLYGCPSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)[C@@H]1CCCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)[C@@H]1C(=O)OC ZINC000441651701 1072308493 /nfs/dbraw/zinc/30/84/93/1072308493.db2.gz YAPZWEBAZQTSOZ-ZBFHGGJFSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1C(=O)OC ZINC000441651952 1072308520 /nfs/dbraw/zinc/30/85/20/1072308520.db2.gz ZUFUDGAUQYSIGI-UHFFFAOYSA-N 0 0 427.435 -0.698 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000441652079 1072308448 /nfs/dbraw/zinc/30/84/48/1072308448.db2.gz NVTKWXGQSBOERM-UHFFFAOYSA-N 0 0 440.522 -0.029 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1-n1cnnn1 ZINC000441652233 1072308989 /nfs/dbraw/zinc/30/89/89/1072308989.db2.gz OPXJRXLCFOZISI-GFCCVEGCSA-N 0 0 437.482 -0.603 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1-n1cnnn1 ZINC000441652234 1072308941 /nfs/dbraw/zinc/30/89/41/1072308941.db2.gz OPXJRXLCFOZISI-LBPRGKRZSA-N 0 0 437.482 -0.603 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c(OC)c(OC)c1 ZINC000441653943 1072308951 /nfs/dbraw/zinc/30/89/51/1072308951.db2.gz CKOLQLZFQNLUHW-UHFFFAOYSA-N 0 0 443.478 -0.077 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2Cl)n1 ZINC000441653963 1073303473 /nfs/dbraw/zinc/30/34/73/1073303473.db2.gz CRAOXPZPLNPKOZ-INIZCTEOSA-N 0 0 432.868 0.263 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2Cl)n1 ZINC000441653964 1072309053 /nfs/dbraw/zinc/30/90/53/1072309053.db2.gz CRAOXPZPLNPKOZ-MRXNPFEDSA-N 0 0 432.868 0.263 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1CC(=O)Nc1cc(S(N)(=O)=O)ccc1OC ZINC000441654332 1072309408 /nfs/dbraw/zinc/30/94/08/1072309408.db2.gz DDZXQLFNBFGJQH-BLLLJJGKSA-N 0 0 443.478 -0.292 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@@H]1C(=O)OC ZINC000441654333 1072309627 /nfs/dbraw/zinc/30/96/27/1072309627.db2.gz DDZXQLFNBFGJQH-LRDDRELGSA-N 0 0 443.478 -0.292 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@H]1C(=O)OC ZINC000441654334 1072309601 /nfs/dbraw/zinc/30/96/01/1072309601.db2.gz DDZXQLFNBFGJQH-MLGOLLRUSA-N 0 0 443.478 -0.292 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@@H]1C(=O)OC ZINC000441654335 1072309481 /nfs/dbraw/zinc/30/94/81/1072309481.db2.gz DDZXQLFNBFGJQH-WBMJQRKESA-N 0 0 443.478 -0.292 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000441654988 1072308958 /nfs/dbraw/zinc/30/89/58/1072308958.db2.gz BNEZRBHKDIUCAV-BXKDBHETSA-N 0 0 436.412 -0.502 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000441654990 1072308979 /nfs/dbraw/zinc/30/89/79/1072308979.db2.gz BNEZRBHKDIUCAV-CABZTGNLSA-N 0 0 436.412 -0.502 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000441654992 1072309073 /nfs/dbraw/zinc/30/90/73/1072309073.db2.gz BNEZRBHKDIUCAV-JOYOIKCWSA-N 0 0 436.412 -0.502 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000441654994 1072308997 /nfs/dbraw/zinc/30/89/97/1072308997.db2.gz BNEZRBHKDIUCAV-SKDRFNHKSA-N 0 0 436.412 -0.502 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c1C ZINC000441655805 1072309612 /nfs/dbraw/zinc/30/96/12/1072309612.db2.gz DYAYFRABIDKGLM-UHFFFAOYSA-N 0 0 440.522 -0.063 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCCN(S(C)(=O)=O)CC3)cc21 ZINC000441656788 1072309572 /nfs/dbraw/zinc/30/95/72/1072309572.db2.gz KWCLRHXIJQNSPP-UHFFFAOYSA-N 0 0 435.506 -0.180 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1C(=O)OC ZINC000441657583 1072309475 /nfs/dbraw/zinc/30/94/75/1072309475.db2.gz SJHCQDDDTBKYIQ-UHFFFAOYSA-N 0 0 441.462 -0.308 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2Cl)cc1C ZINC000441657761 1072309502 /nfs/dbraw/zinc/30/95/02/1072309502.db2.gz NRWDQMCQHOCAJY-INIZCTEOSA-N 0 0 432.868 0.229 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2Cl)cc1C ZINC000441657762 1072309456 /nfs/dbraw/zinc/30/94/56/1072309456.db2.gz NRWDQMCQHOCAJY-MRXNPFEDSA-N 0 0 432.868 0.229 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c1 ZINC000441658042 1072309446 /nfs/dbraw/zinc/30/94/46/1072309446.db2.gz LGDQGFQQOJOHRT-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000441658245 1072310245 /nfs/dbraw/zinc/31/02/45/1072310245.db2.gz LQHCDGMFWXUVJS-HNNXBMFYSA-N 0 0 444.488 -0.074 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000441658247 1072310145 /nfs/dbraw/zinc/31/01/45/1072310145.db2.gz LQHCDGMFWXUVJS-OAHLLOKOSA-N 0 0 444.488 -0.074 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000441659183 1072309417 /nfs/dbraw/zinc/30/94/17/1072309417.db2.gz OQYZPGWIHUMOEX-BXKDBHETSA-N 0 0 436.412 -0.502 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000441659186 1072309588 /nfs/dbraw/zinc/30/95/88/1072309588.db2.gz OQYZPGWIHUMOEX-CABZTGNLSA-N 0 0 436.412 -0.502 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000441659188 1072309514 /nfs/dbraw/zinc/30/95/14/1072309514.db2.gz OQYZPGWIHUMOEX-JOYOIKCWSA-N 0 0 436.412 -0.502 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000441659190 1072309526 /nfs/dbraw/zinc/30/95/26/1072309526.db2.gz OQYZPGWIHUMOEX-SKDRFNHKSA-N 0 0 436.412 -0.502 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2Br)cn1 ZINC000441660871 1072309436 /nfs/dbraw/zinc/30/94/36/1072309436.db2.gz IAYGUFUBYHTWBX-AWEZNQCLSA-N 0 0 449.265 -0.231 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2Br)cn1 ZINC000441660872 1072309537 /nfs/dbraw/zinc/30/95/37/1072309537.db2.gz IAYGUFUBYHTWBX-CQSZACIVSA-N 0 0 449.265 -0.231 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)cc1 ZINC000441661428 1072310130 /nfs/dbraw/zinc/31/01/30/1072310130.db2.gz WALPRXIIGUHFPO-UHFFFAOYSA-N 0 0 448.476 -0.376 20 0 IBADRN COC(=O)c1ccsc1NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000441661632 1072310225 /nfs/dbraw/zinc/31/02/25/1072310225.db2.gz YWHQWRMNOIPZMT-UHFFFAOYSA-N 0 0 435.462 0.553 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1C(=O)OC ZINC000441661705 1072310210 /nfs/dbraw/zinc/31/02/10/1072310210.db2.gz AHLYOURSQXYHBM-UHFFFAOYSA-N 0 0 446.460 -0.379 20 0 IBADRN COc1ncccc1NC(=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000441662161 1072310506 /nfs/dbraw/zinc/31/05/06/1072310506.db2.gz BFPWAWMZIYHUAQ-UHFFFAOYSA-N 0 0 448.457 -0.011 20 0 IBADRN COc1ncncc1NC(=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000441662299 1072310766 /nfs/dbraw/zinc/31/07/66/1072310766.db2.gz ACHJNNLLZAVCCM-UHFFFAOYSA-N 0 0 449.445 -0.616 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)nn1C ZINC000441662392 1073325158 /nfs/dbraw/zinc/32/51/58/1073325158.db2.gz BZUPBFAHGWUFHY-UHFFFAOYSA-N 0 0 435.462 -0.372 20 0 IBADRN CCc1ncc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1 ZINC000441662426 1072310809 /nfs/dbraw/zinc/31/08/09/1072310809.db2.gz CCLPNZNGUKYJHV-UHFFFAOYSA-N 0 0 447.473 -0.062 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000441662508 1072310497 /nfs/dbraw/zinc/31/04/97/1072310497.db2.gz AXPYXYYRTUJMKJ-UHFFFAOYSA-N 0 0 430.458 -0.493 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)CC1 ZINC000441662862 1072310159 /nfs/dbraw/zinc/31/01/59/1072310159.db2.gz BQXSNJUYYRBNBG-UHFFFAOYSA-N 0 0 431.449 -0.824 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1 ZINC000441662970 1072310490 /nfs/dbraw/zinc/31/04/90/1072310490.db2.gz DNCLEHHFMCCFGU-UHFFFAOYSA-N 0 0 448.457 -0.011 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000441663165 1072309988 /nfs/dbraw/zinc/30/99/88/1072309988.db2.gz CIHUDQXPKUJFNL-UHFFFAOYSA-N 0 0 449.489 -0.064 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000441663471 1072310037 /nfs/dbraw/zinc/31/00/37/1072310037.db2.gz OPTPLBJGHJOKSO-UHFFFAOYSA-N 0 0 440.522 -0.015 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(N3CCCNC3=O)c2)CC1)NC1CC1 ZINC000441663588 1072310731 /nfs/dbraw/zinc/31/07/31/1072310731.db2.gz GAYYNUJDEMWYNA-UHFFFAOYSA-N 0 0 428.493 -0.032 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1-n1cnnn1 ZINC000441663812 1072310562 /nfs/dbraw/zinc/31/05/62/1072310562.db2.gz GIRBQNHKIJHDIX-UHFFFAOYSA-N 0 0 428.453 -0.968 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)n(C)n1 ZINC000441663914 1072310710 /nfs/dbraw/zinc/31/07/10/1072310710.db2.gz FDMOWSKRAOCBOO-UHFFFAOYSA-N 0 0 435.462 -0.372 20 0 IBADRN COc1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)ncn1 ZINC000441665182 1072311950 /nfs/dbraw/zinc/31/19/50/1072311950.db2.gz CIYJLEFTXBPFHX-UHFFFAOYSA-N 0 0 449.445 -0.616 20 0 IBADRN CCn1nccc1NC(=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000441665436 1072311825 /nfs/dbraw/zinc/31/18/25/1072311825.db2.gz KCDNMYOHVKHKIL-UHFFFAOYSA-N 0 0 435.462 -0.198 20 0 IBADRN COc1ccncc1NC(=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000441665466 1072311972 /nfs/dbraw/zinc/31/19/72/1072311972.db2.gz HVPFAPBZXFNXEA-UHFFFAOYSA-N 0 0 448.457 -0.011 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)n1 ZINC000441665484 1072311928 /nfs/dbraw/zinc/31/19/28/1072311928.db2.gz HXSFRZQDILNDDY-UHFFFAOYSA-N 0 0 447.880 0.259 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2Cl)n1 ZINC000441665600 1073305324 /nfs/dbraw/zinc/30/53/24/1073305324.db2.gz OOICSNYBAVQHNZ-AWEZNQCLSA-N 0 0 433.856 -0.342 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2Cl)n1 ZINC000441665614 1072311728 /nfs/dbraw/zinc/31/17/28/1072311728.db2.gz OOICSNYBAVQHNZ-CQSZACIVSA-N 0 0 433.856 -0.342 20 0 IBADRN Cc1nn(C)c(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)c1C ZINC000441665619 1072311168 /nfs/dbraw/zinc/31/11/68/1072311168.db2.gz IGDAIRHQPUTFRI-UHFFFAOYSA-N 0 0 449.489 -0.064 20 0 IBADRN CCc1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)n(C)n1 ZINC000441666024 1072310049 /nfs/dbraw/zinc/31/00/49/1072310049.db2.gz IYRGEKUUQHDQLA-UHFFFAOYSA-N 0 0 449.489 -0.119 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000441666060 1072310121 /nfs/dbraw/zinc/31/01/21/1072310121.db2.gz JAVSIONRSWTACM-UHFFFAOYSA-N 0 0 431.453 -0.295 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)cc21 ZINC000441666407 1072310610 /nfs/dbraw/zinc/31/06/10/1072310610.db2.gz PBQVKKCCPJRGRB-UHFFFAOYSA-N 0 0 439.476 -0.291 20 0 IBADRN CCn1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1 ZINC000441666626 1072310640 /nfs/dbraw/zinc/31/06/40/1072310640.db2.gz JYKJJLURPHDVEG-UHFFFAOYSA-N 0 0 435.462 -0.198 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cc21 ZINC000441666833 1072311684 /nfs/dbraw/zinc/31/16/84/1072311684.db2.gz HBKRESRIHSYNJS-UHFFFAOYSA-N 0 0 442.520 -0.052 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n1 ZINC000441668294 1072311180 /nfs/dbraw/zinc/31/11/80/1072311180.db2.gz NHSIXSXRTNBHQU-UHFFFAOYSA-N 0 0 431.425 -0.256 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3cnccn3)CC1)CC2 ZINC000441668724 1072311154 /nfs/dbraw/zinc/31/11/54/1072311154.db2.gz XTUYXQFHDHCIST-UHFFFAOYSA-N 0 0 430.490 -0.377 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC000441669360 1072311441 /nfs/dbraw/zinc/31/14/41/1072311441.db2.gz ZJUDKELTTHIVSP-UHFFFAOYSA-N 0 0 430.490 -0.377 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000441669400 1072311339 /nfs/dbraw/zinc/31/13/39/1072311339.db2.gz PPHWGCZXECAFEY-UHFFFAOYSA-N 0 0 428.493 0.555 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000441669669 1072311407 /nfs/dbraw/zinc/31/14/07/1072311407.db2.gz ZLVXNVLFEPOVMT-UHFFFAOYSA-N 0 0 440.522 -0.230 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(CCO)Cc2ccc(Cl)c(Cl)c2)n1 ZINC000441670354 1072311432 /nfs/dbraw/zinc/31/14/32/1072311432.db2.gz AHDWCYFAKVQVSX-UHFFFAOYSA-N 0 0 429.264 0.291 20 0 IBADRN Cc1c(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cnn1C ZINC000441670539 1072311313 /nfs/dbraw/zinc/31/13/13/1072311313.db2.gz PDDFKDMVUZJVDX-UHFFFAOYSA-N 0 0 435.462 -0.372 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c1 ZINC000441670626 1072311291 /nfs/dbraw/zinc/31/12/91/1072311291.db2.gz RVDGJERLJMWYSI-UHFFFAOYSA-N 0 0 440.522 -0.063 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)c(=O)[nH]1 ZINC000441670713 1072311324 /nfs/dbraw/zinc/31/13/24/1072311324.db2.gz WRGSXGADFAKMEI-UHFFFAOYSA-N 0 0 448.457 -0.005 20 0 IBADRN Cn1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)ccc1=O ZINC000441671301 1072312235 /nfs/dbraw/zinc/31/22/35/1072312235.db2.gz XGGREEVGXMILIW-UHFFFAOYSA-N 0 0 448.457 -0.716 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](C(=O)OC)[C@H]1C(=O)OC)[C@H]1CCS(=O)(=O)C1 ZINC000441671597 1072312403 /nfs/dbraw/zinc/31/24/03/1072312403.db2.gz WDKIFMGZLQVMFS-DZKIICNBSA-N 0 0 434.511 -0.925 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](C(=O)OC)[C@@H]1C(=O)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000441671600 1072312226 /nfs/dbraw/zinc/31/22/26/1072312226.db2.gz WDKIFMGZLQVMFS-IJEWVQPXSA-N 0 0 434.511 -0.925 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](C(=O)OC)[C@@H]1C(=O)OC)[C@H]1CCS(=O)(=O)C1 ZINC000441671603 1072312338 /nfs/dbraw/zinc/31/23/38/1072312338.db2.gz WDKIFMGZLQVMFS-OFQRWUPVSA-N 0 0 434.511 -0.925 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](C(=O)OC)[C@H]1C(=O)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000441671605 1072312327 /nfs/dbraw/zinc/31/23/27/1072312327.db2.gz WDKIFMGZLQVMFS-YCPHGPKFSA-N 0 0 434.511 -0.925 20 0 IBADRN Cn1cccc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)c1=O ZINC000441671918 1072311419 /nfs/dbraw/zinc/31/14/19/1072311419.db2.gz YVKZLOQKBZMTJN-UHFFFAOYSA-N 0 0 448.457 -0.716 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c(OC)c(OC)c1 ZINC000441672018 1072311201 /nfs/dbraw/zinc/31/12/01/1072311201.db2.gz FAZFSTOWSDYHQE-UHFFFAOYSA-N 0 0 436.465 -0.291 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1-n1cnnn1 ZINC000441672278 1072311910 /nfs/dbraw/zinc/31/19/10/1072311910.db2.gz VIWDKSDPIQWTCN-INIZCTEOSA-N 0 0 429.437 -0.541 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1-n1cnnn1 ZINC000441672288 1072311959 /nfs/dbraw/zinc/31/19/59/1072311959.db2.gz VIWDKSDPIQWTCN-MRXNPFEDSA-N 0 0 429.437 -0.541 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCNC3=O)c2)CC1 ZINC000441672400 1072311856 /nfs/dbraw/zinc/31/18/56/1072311856.db2.gz XEGQJXJDLXBOCS-UHFFFAOYSA-N 0 0 444.492 -0.260 20 0 IBADRN Cc1ncc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1 ZINC000441673205 1072311980 /nfs/dbraw/zinc/31/19/80/1072311980.db2.gz XEKPKKCREBQXIF-UHFFFAOYSA-N 0 0 433.446 -0.316 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c2ccccc2O1 ZINC000441674049 1072311790 /nfs/dbraw/zinc/31/17/90/1072311790.db2.gz JYCJYLABTFHPOL-INIZCTEOSA-N 0 0 432.477 -0.378 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c2ccccc2O1 ZINC000441674053 1072311708 /nfs/dbraw/zinc/31/17/08/1072311708.db2.gz JYCJYLABTFHPOL-MRXNPFEDSA-N 0 0 432.477 -0.378 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1C(=O)OC ZINC000441674180 1072312348 /nfs/dbraw/zinc/31/23/48/1072312348.db2.gz VBYCFBKYJDHYKP-UHFFFAOYSA-N 0 0 434.449 -0.521 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000441674291 1072312441 /nfs/dbraw/zinc/31/24/41/1072312441.db2.gz ZTKWWEJVGMQRBC-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN CN1c2cc(NC(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)ccc2OCC1=O ZINC000441674792 1072312433 /nfs/dbraw/zinc/31/24/33/1072312433.db2.gz WUVJYNQZDUYQSP-UHFFFAOYSA-N 0 0 438.444 -0.177 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000441676165 1072312791 /nfs/dbraw/zinc/31/27/91/1072312791.db2.gz FOQZLIWJFDOEDD-UHFFFAOYSA-N 0 0 438.466 -0.206 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000441676512 1072312902 /nfs/dbraw/zinc/31/29/02/1072312902.db2.gz XSEYGSDCRLDNNC-UHFFFAOYSA-N 0 0 448.524 -0.024 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1 ZINC000441676527 1072313480 /nfs/dbraw/zinc/31/34/80/1072313480.db2.gz XYOTXPBCTBKXJW-UHFFFAOYSA-N 0 0 444.471 -0.102 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)CC1 ZINC000441676665 1072312989 /nfs/dbraw/zinc/31/29/89/1072312989.db2.gz HRPZFPVWNQAGCI-UHFFFAOYSA-N 0 0 442.520 -0.052 20 0 IBADRN O=C(Nc1c(F)cc(F)cc1F)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000441677378 1072313371 /nfs/dbraw/zinc/31/33/71/1072313371.db2.gz FNMRROXVUVVRAJ-UHFFFAOYSA-N 0 0 436.412 -0.236 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)CC1 ZINC000441677897 1072313511 /nfs/dbraw/zinc/31/35/11/1072313511.db2.gz PUUSOGDMLNTQNZ-UHFFFAOYSA-N 0 0 434.449 -0.521 20 0 IBADRN CCOCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000441677989 1072313526 /nfs/dbraw/zinc/31/35/26/1072313526.db2.gz ILWZBCOACODYGY-UHFFFAOYSA-N 0 0 440.522 -0.117 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2cccc(Br)c2)cn1 ZINC000441678499 1072313501 /nfs/dbraw/zinc/31/35/01/1072313501.db2.gz BSGHUGOINXZBGX-AWEZNQCLSA-N 0 0 449.265 -0.231 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2cccc(Br)c2)cn1 ZINC000441678500 1072313347 /nfs/dbraw/zinc/31/33/47/1072313347.db2.gz BSGHUGOINXZBGX-CQSZACIVSA-N 0 0 449.265 -0.231 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(Cl)c2S(C)(=O)=O)CC1 ZINC000441678826 1072313353 /nfs/dbraw/zinc/31/33/53/1072313353.db2.gz CPJCWTNWVTXVJN-UHFFFAOYSA-N 0 0 430.914 -0.038 20 0 IBADRN Cn1cnc2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc21 ZINC000441679196 1072312818 /nfs/dbraw/zinc/31/28/18/1072312818.db2.gz MJPVNLYYOPOVCG-UHFFFAOYSA-N 0 0 436.494 -0.767 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000441679331 1072312316 /nfs/dbraw/zinc/31/23/16/1072312316.db2.gz FAUQKWFSIPVQMD-AWEZNQCLSA-N 0 0 444.496 -0.332 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000441679332 1072312290 /nfs/dbraw/zinc/31/22/90/1072312290.db2.gz FAUQKWFSIPVQMD-CQSZACIVSA-N 0 0 444.496 -0.332 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1cccc(Br)c1)S(N)(=O)=O ZINC000441679704 1072312965 /nfs/dbraw/zinc/31/29/65/1072312965.db2.gz OFJJIAKYPOILJP-BXKDBHETSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1cccc(Br)c1)S(N)(=O)=O ZINC000441679710 1072312958 /nfs/dbraw/zinc/31/29/58/1072312958.db2.gz OFJJIAKYPOILJP-CABZTGNLSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1cccc(Br)c1)S(N)(=O)=O ZINC000441679712 1072312834 /nfs/dbraw/zinc/31/28/34/1072312834.db2.gz OFJJIAKYPOILJP-JOYOIKCWSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1cccc(Br)c1)S(N)(=O)=O ZINC000441679713 1072313017 /nfs/dbraw/zinc/31/30/17/1072313017.db2.gz OFJJIAKYPOILJP-SKDRFNHKSA-N 0 0 447.311 -0.758 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cc21 ZINC000441679809 1072312449 /nfs/dbraw/zinc/31/24/49/1072312449.db2.gz GIVJNICHRJKSKA-UHFFFAOYSA-N 0 0 428.493 -0.394 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)CC1 ZINC000441680090 1072312941 /nfs/dbraw/zinc/31/29/41/1072312941.db2.gz PYWRGJVGVGVIJX-UHFFFAOYSA-N 0 0 436.465 -0.406 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc21 ZINC000441680301 1072312846 /nfs/dbraw/zinc/31/28/46/1072312846.db2.gz RAYUQRMVXOFISI-UHFFFAOYSA-N 0 0 435.506 -0.162 20 0 IBADRN COC(=O)c1ccsc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000441680520 1072312915 /nfs/dbraw/zinc/31/29/15/1072312915.db2.gz SHCPJBMFNACVNS-UHFFFAOYSA-N 0 0 446.507 -0.806 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1ccccc1Br)S(N)(=O)=O ZINC000441680821 1072312891 /nfs/dbraw/zinc/31/28/91/1072312891.db2.gz KUUOCGZRIUDDOG-BXKDBHETSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1Br)S(N)(=O)=O ZINC000441680822 1072312929 /nfs/dbraw/zinc/31/29/29/1072312929.db2.gz KUUOCGZRIUDDOG-CABZTGNLSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1ccccc1Br)S(N)(=O)=O ZINC000441680823 1072313009 /nfs/dbraw/zinc/31/30/09/1072313009.db2.gz KUUOCGZRIUDDOG-JOYOIKCWSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1Br)S(N)(=O)=O ZINC000441680824 1072312807 /nfs/dbraw/zinc/31/28/07/1072312807.db2.gz KUUOCGZRIUDDOG-SKDRFNHKSA-N 0 0 447.311 -0.758 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000441681105 1072313023 /nfs/dbraw/zinc/31/30/23/1072313023.db2.gz WGZFCEDMXFRFIB-CYBMUJFWSA-N 0 0 429.520 -0.481 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000441681106 1072312879 /nfs/dbraw/zinc/31/28/79/1072312879.db2.gz WGZFCEDMXFRFIB-ZDUSSCGKSA-N 0 0 429.520 -0.481 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@H](c3nnc(C4CCCCC4)[nH]3)C2)n1 ZINC000441683865 1072314138 /nfs/dbraw/zinc/31/41/38/1072314138.db2.gz FTEZIVPBVFXOPW-AWEZNQCLSA-N 0 0 443.512 0.535 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@@H](c3nnc(C4CCCCC4)[nH]3)C2)n1 ZINC000441683868 1072313534 /nfs/dbraw/zinc/31/35/34/1072313534.db2.gz FTEZIVPBVFXOPW-CQSZACIVSA-N 0 0 443.512 0.535 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000441686296 1072313576 /nfs/dbraw/zinc/31/35/76/1072313576.db2.gz KJAASWBQTXTXIM-UHFFFAOYSA-N 0 0 436.513 -0.750 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCn1cccn1 ZINC000441686451 1072313551 /nfs/dbraw/zinc/31/35/51/1072313551.db2.gz CYVQIKDJYWWAPP-UHFFFAOYSA-N 0 0 437.478 -0.333 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)Nc1cnn(CC(=O)NC)c1)CC2 ZINC000441687778 1072313915 /nfs/dbraw/zinc/31/39/15/1072313915.db2.gz LNPJGMBJQSDZRB-UHFFFAOYSA-N 0 0 434.478 -0.545 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000441688013 1072314075 /nfs/dbraw/zinc/31/40/75/1072314075.db2.gz LBNVXISSAWIDTM-UHFFFAOYSA-N 0 0 432.462 -0.311 20 0 IBADRN O=C(CN1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1)N1CCCC1 ZINC000441688446 1072313997 /nfs/dbraw/zinc/31/39/97/1072313997.db2.gz HERWLYZMBNOBDV-UHFFFAOYSA-N 0 0 427.571 -0.107 20 0 IBADRN C[C@H](c1cnn(C)c1)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441688513 1072314123 /nfs/dbraw/zinc/31/41/23/1072314123.db2.gz FBBUOTIYUDBQKS-CYBMUJFWSA-N 0 0 448.505 -0.301 20 0 IBADRN C[C@@H](c1cnn(C)c1)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441688518 1072314068 /nfs/dbraw/zinc/31/40/68/1072314068.db2.gz FBBUOTIYUDBQKS-ZDUSSCGKSA-N 0 0 448.505 -0.301 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c1=O ZINC000441689820 1072313982 /nfs/dbraw/zinc/31/39/82/1072313982.db2.gz PJBHGCVXFMICKM-UHFFFAOYSA-N 0 0 436.465 -0.554 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000441692376 1072314645 /nfs/dbraw/zinc/31/46/45/1072314645.db2.gz UUTJQNRKQVSNNK-UHFFFAOYSA-N 0 0 443.570 -0.871 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000441696028 1072314566 /nfs/dbraw/zinc/31/45/66/1072314566.db2.gz YJNXLQLVRKWVKG-UHFFFAOYSA-N 0 0 436.538 -0.031 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1-n1cnnn1 ZINC000441700466 1072314543 /nfs/dbraw/zinc/31/45/43/1072314543.db2.gz MLUOPZCQXXHTFO-UHFFFAOYSA-N 0 0 441.452 -0.490 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000441700835 1072315169 /nfs/dbraw/zinc/31/51/69/1072315169.db2.gz KXUZRKPEHDQEPQ-AWEZNQCLSA-N 0 0 427.571 -0.063 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000441700836 1072315106 /nfs/dbraw/zinc/31/51/06/1072315106.db2.gz KXUZRKPEHDQEPQ-CQSZACIVSA-N 0 0 427.571 -0.063 20 0 IBADRN Cc1ccc(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(N2CCOCC2)c1 ZINC000441703553 1072315085 /nfs/dbraw/zinc/31/50/85/1072315085.db2.gz PIJSLVZYJZLKNO-UHFFFAOYSA-N 0 0 428.497 -0.128 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)cc21 ZINC000441707696 1072315954 /nfs/dbraw/zinc/31/59/54/1072315954.db2.gz ZIUVVWJHHKOVJV-UHFFFAOYSA-N 0 0 428.449 -0.119 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000441708096 1072315735 /nfs/dbraw/zinc/31/57/35/1072315735.db2.gz WTFBZAPZSABRCX-UHFFFAOYSA-N 0 0 429.543 -0.679 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000441708146 1072315660 /nfs/dbraw/zinc/31/56/60/1072315660.db2.gz XDKSTJSGSDEXKT-UHFFFAOYSA-N 0 0 445.922 -0.171 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000441709163 1072315961 /nfs/dbraw/zinc/31/59/61/1072315961.db2.gz ZVWNKOHLKZIJCL-UHFFFAOYSA-N 0 0 427.527 -0.925 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H](CC(=O)OC)c2ccc(Cl)c(F)c2)n1 ZINC000441710815 1072316447 /nfs/dbraw/zinc/31/64/47/1072316447.db2.gz YMCJNWVGNODNSA-GFCCVEGCSA-N 0 0 440.819 0.176 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H](CC(=O)OC)c2ccc(Cl)c(F)c2)n1 ZINC000441710816 1072316342 /nfs/dbraw/zinc/31/63/42/1072316342.db2.gz YMCJNWVGNODNSA-LBPRGKRZSA-N 0 0 440.819 0.176 20 0 IBADRN Cn1cnc2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)ccc21 ZINC000441712154 1072316272 /nfs/dbraw/zinc/31/62/72/1072316272.db2.gz HOHLHDDQOLUTCG-UHFFFAOYSA-N 0 0 449.537 -0.852 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4n[nH]cc4c3)CC2)CC1 ZINC000441712356 1072316251 /nfs/dbraw/zinc/31/62/51/1072316251.db2.gz ICIWDEFEGBQCHM-UHFFFAOYSA-N 0 0 435.510 -0.862 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)no1 ZINC000441712499 1072316244 /nfs/dbraw/zinc/31/62/44/1072316244.db2.gz FJVRUSOCONMJNT-UHFFFAOYSA-N 0 0 439.450 -0.039 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)Nc1cnn(CC(=O)NC3CC3)c1)CC2 ZINC000441712693 1072316322 /nfs/dbraw/zinc/31/63/22/1072316322.db2.gz GAMKLEGGGMSYLC-UHFFFAOYSA-N 0 0 446.489 -0.402 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)cc1-n1cnnn1 ZINC000441712881 1072316395 /nfs/dbraw/zinc/31/63/95/1072316395.db2.gz HQGDBJSATWWCNT-IBGZPJMESA-N 0 0 447.521 -0.067 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)cc1-n1cnnn1 ZINC000441712888 1072316466 /nfs/dbraw/zinc/31/64/66/1072316466.db2.gz HQGDBJSATWWCNT-LJQANCHMSA-N 0 0 447.521 -0.067 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3c(F)cc(F)cc3F)CC2)CC1 ZINC000441713484 1072316386 /nfs/dbraw/zinc/31/63/86/1072316386.db2.gz KECKBMCNOCFGOD-UHFFFAOYSA-N 0 0 449.455 -0.321 20 0 IBADRN O=C(NCCn1cccn1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000441714935 1072316966 /nfs/dbraw/zinc/31/69/66/1072316966.db2.gz HGCNIJUHDLZHRD-UHFFFAOYSA-N 0 0 425.442 -0.202 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCn2cccn2)cc1S(=O)(=O)N1CCOCC1 ZINC000441715333 1072316942 /nfs/dbraw/zinc/31/69/42/1072316942.db2.gz MORPQZQEMRYGHP-UHFFFAOYSA-N 0 0 437.478 -0.333 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2COCCO2)cc1F ZINC000441715439 1072316949 /nfs/dbraw/zinc/31/69/49/1072316949.db2.gz ODPLFAHHQRUZHF-AAEUAGOBSA-N 0 0 425.413 -0.023 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2COCCO2)cc1F ZINC000441715442 1072316876 /nfs/dbraw/zinc/31/68/76/1072316876.db2.gz ODPLFAHHQRUZHF-DGCLKSJQSA-N 0 0 425.413 -0.023 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2COCCO2)cc1F ZINC000441715445 1072316801 /nfs/dbraw/zinc/31/68/01/1072316801.db2.gz ODPLFAHHQRUZHF-WCQYABFASA-N 0 0 425.413 -0.023 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2COCCO2)cc1F ZINC000441715448 1072316752 /nfs/dbraw/zinc/31/67/52/1072316752.db2.gz ODPLFAHHQRUZHF-YPMHNXCESA-N 0 0 425.413 -0.023 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N(C)C[C@H](O)CO)c1 ZINC000441715703 1072316933 /nfs/dbraw/zinc/31/69/33/1072316933.db2.gz NBFHCXKBXYOJKB-ZDUSSCGKSA-N 0 0 430.527 -0.467 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(C(N)=O)CCOCC1)CC2 ZINC000441715809 1072316775 /nfs/dbraw/zinc/31/67/75/1072316775.db2.gz IXFTYRVLMNLXGW-UHFFFAOYSA-N 0 0 438.506 -0.728 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000441716960 1072316926 /nfs/dbraw/zinc/31/69/26/1072316926.db2.gz LCTJMLOLRVQWPD-ZDUSSCGKSA-N 0 0 446.382 -0.189 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)ccc1C ZINC000441717705 1072316764 /nfs/dbraw/zinc/31/67/64/1072316764.db2.gz RCCVWQCGPBGDFS-LBPRGKRZSA-N 0 0 441.428 -0.024 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4[nH]ccc4c3)CC2)CC1 ZINC000441718378 1072316852 /nfs/dbraw/zinc/31/68/52/1072316852.db2.gz VUPXCZNPPVXKOV-UHFFFAOYSA-N 0 0 434.522 -0.257 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc21 ZINC000441718746 1072316792 /nfs/dbraw/zinc/31/67/92/1072316792.db2.gz WIXSPERWBYENOI-UHFFFAOYSA-N 0 0 448.549 -0.247 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)cc1-n1cnnn1 ZINC000441719195 1072317538 /nfs/dbraw/zinc/31/75/38/1072317538.db2.gz UWRRWFBMSPQXCZ-UHFFFAOYSA-N 0 0 436.432 -0.011 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)no1 ZINC000441721281 1072317327 /nfs/dbraw/zinc/31/73/27/1072317327.db2.gz YSZCWGXHXOTLQU-KBPBESRZSA-N 0 0 443.526 0.235 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)no1 ZINC000441721284 1072317398 /nfs/dbraw/zinc/31/73/98/1072317398.db2.gz YSZCWGXHXOTLQU-OKILXGFUSA-N 0 0 443.526 0.235 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)no1 ZINC000441721287 1072317260 /nfs/dbraw/zinc/31/72/60/1072317260.db2.gz YSZCWGXHXOTLQU-ZIAGYGMSSA-N 0 0 443.526 0.235 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000441721778 1072317241 /nfs/dbraw/zinc/31/72/41/1072317241.db2.gz WUUHNVLAIBGPJG-UHFFFAOYSA-N 0 0 437.482 -0.460 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)cc1S(N)(=O)=O ZINC000441722389 1072317279 /nfs/dbraw/zinc/31/72/79/1072317279.db2.gz YYLILJFIDKYLKS-LBPRGKRZSA-N 0 0 441.428 -0.031 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)cc1 ZINC000441723165 1072317408 /nfs/dbraw/zinc/31/74/08/1072317408.db2.gz BQSNIVHGFAOGAQ-ZDUSSCGKSA-N 0 0 441.428 -0.192 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1C ZINC000441725582 1072317466 /nfs/dbraw/zinc/31/74/66/1072317466.db2.gz GFSNFBGSQGGKHJ-NSHDSACASA-N 0 0 441.428 -0.024 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21)S(C)(=O)=O ZINC000441726856 1072317224 /nfs/dbraw/zinc/31/72/24/1072317224.db2.gz IPLJOADXAOSKRO-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1 ZINC000441728669 1072317951 /nfs/dbraw/zinc/31/79/51/1072317951.db2.gz MDGFGYDVARVDQI-NSHDSACASA-N 0 0 427.401 -0.333 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCn3cccn3)c2)CC1 ZINC000441730188 1072317937 /nfs/dbraw/zinc/31/79/37/1072317937.db2.gz VWLFAVVBAYWBBL-UHFFFAOYSA-N 0 0 434.522 -0.036 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c2)o1 ZINC000441730419 1072318043 /nfs/dbraw/zinc/31/80/43/1072318043.db2.gz PORWGEPOVGHBHI-UHFFFAOYSA-N 0 0 426.433 -0.067 20 0 IBADRN O=C(Nc1cccc(N2CCCNC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000441730912 1072317984 /nfs/dbraw/zinc/31/79/84/1072317984.db2.gz QOKFQQOEZJMADZ-UHFFFAOYSA-N 0 0 442.476 -0.506 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)cc1S(N)(=O)=O ZINC000441731020 1072318001 /nfs/dbraw/zinc/31/80/01/1072318001.db2.gz RWCAWVVWAPOHMT-NSHDSACASA-N 0 0 427.401 -0.285 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1)S(C)(=O)=O ZINC000441731778 1072317863 /nfs/dbraw/zinc/31/78/63/1072317863.db2.gz SCQGKTKTGPGTSK-UHFFFAOYSA-N 0 0 425.471 -0.603 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000441731850 1072318056 /nfs/dbraw/zinc/31/80/56/1072318056.db2.gz SUHHHXFXXHAJDI-JSGCOSHPSA-N 0 0 445.394 -0.036 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000441731851 1072318631 /nfs/dbraw/zinc/31/86/31/1072318631.db2.gz SUHHHXFXXHAJDI-OCCSQVGLSA-N 0 0 445.394 -0.036 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H](C(=O)OC(C)(C)C)c2ccccc2)n1 ZINC000441733102 1073305701 /nfs/dbraw/zinc/30/57/01/1073305701.db2.gz CNPOOLMZBVYGHN-OAHLLOKOSA-N 0 0 430.465 0.504 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CO)cc1S(=O)(=O)N(CC)CC ZINC000441733649 1072318488 /nfs/dbraw/zinc/31/84/88/1072318488.db2.gz FCSCWROVUJNROY-AWEZNQCLSA-N 0 0 431.511 -0.134 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCn3cccn3)c2)CC1 ZINC000441734445 1072318621 /nfs/dbraw/zinc/31/86/21/1072318621.db2.gz XCNVHBSGTRYUJI-UHFFFAOYSA-N 0 0 434.522 -0.036 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CO)cc1S(=O)(=O)N1CCCCC1 ZINC000441734736 1072318460 /nfs/dbraw/zinc/31/84/60/1072318460.db2.gz HFLFUGDLOOTARG-AWEZNQCLSA-N 0 0 429.495 -0.380 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N(C)C[C@H](O)CO ZINC000441736249 1072318505 /nfs/dbraw/zinc/31/85/05/1072318505.db2.gz CYMNRJYQALSAQX-AWEZNQCLSA-N 0 0 442.538 -0.323 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000441736328 1072318416 /nfs/dbraw/zinc/31/84/16/1072318416.db2.gz AFAHTJYFUVIPCH-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1 ZINC000441736688 1072318575 /nfs/dbraw/zinc/31/85/75/1072318575.db2.gz BLUIOENWQKKXTB-UHFFFAOYSA-N 0 0 425.471 -0.603 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)N(C)C[C@H](O)CO)cc2)CC1 ZINC000441737005 1072318532 /nfs/dbraw/zinc/31/85/32/1072318532.db2.gz MBTHMMANARGSPF-KRWDZBQOSA-N 0 0 435.477 -0.148 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N(C)C[C@H](O)CO)c1 ZINC000441737141 1072318375 /nfs/dbraw/zinc/31/83/75/1072318375.db2.gz ABBJMIOTKKKLKK-NSHDSACASA-N 0 0 436.202 -0.172 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1 ZINC000441738297 1072319117 /nfs/dbraw/zinc/31/91/17/1072319117.db2.gz ONAHPGISVATEML-NSHDSACASA-N 0 0 441.428 -0.024 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)C[C@H](O)CO)cc2)C[C@H](C)O1 ZINC000441739458 1072318946 /nfs/dbraw/zinc/31/89/46/1072318946.db2.gz QZZTWWCXMPIWJT-GUTXKFCHSA-N 0 0 429.495 -0.765 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)C[C@H](O)CO)cc2)C[C@@H](C)O1 ZINC000441739460 1072318963 /nfs/dbraw/zinc/31/89/63/1072318963.db2.gz QZZTWWCXMPIWJT-NFAWXSAZSA-N 0 0 429.495 -0.765 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)C[C@H](O)CO)cc2)C[C@H](C)O1 ZINC000441739462 1072319100 /nfs/dbraw/zinc/31/91/00/1072319100.db2.gz QZZTWWCXMPIWJT-YDHLFZDLSA-N 0 0 429.495 -0.765 20 0 IBADRN CN(C[C@H](O)CO)C(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000441740164 1072318978 /nfs/dbraw/zinc/31/89/78/1072318978.db2.gz GYAFAHMPMNSHQH-HNNXBMFYSA-N 0 0 425.507 -0.438 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c2ccccc2O1 ZINC000441740349 1072319021 /nfs/dbraw/zinc/31/90/21/1072319021.db2.gz MVYNIBFREZXULO-FZMZJTMJSA-N 0 0 433.383 -0.339 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c2ccccc2O1 ZINC000441740352 1072318972 /nfs/dbraw/zinc/31/89/72/1072318972.db2.gz MVYNIBFREZXULO-SMDDNHRTSA-N 0 0 433.383 -0.339 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)cc1-n1cnnn1 ZINC000441740362 1072318985 /nfs/dbraw/zinc/31/89/85/1072318985.db2.gz TXDHNPSASDGLAT-NSHDSACASA-N 0 0 432.359 -0.256 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1 ZINC000441741326 1072319507 /nfs/dbraw/zinc/31/95/07/1072319507.db2.gz WFHBEQAJQRTTCY-JTQLQIEISA-N 0 0 431.364 -0.454 20 0 IBADRN CN(C[C@H](O)CO)C(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC000441742505 1072319004 /nfs/dbraw/zinc/31/90/04/1072319004.db2.gz MDFUHAKIJLYXDJ-AWEZNQCLSA-N 0 0 426.445 -0.763 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000441744416 1072319455 /nfs/dbraw/zinc/31/94/55/1072319455.db2.gz WZXWWYFYNAKWDR-UHFFFAOYSA-N 0 0 425.446 -0.327 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000441744953 1072319637 /nfs/dbraw/zinc/31/96/37/1072319637.db2.gz ZWUMOKUXVQXVNO-UHFFFAOYSA-N 0 0 425.446 -0.327 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)c1 ZINC000441745062 1072319530 /nfs/dbraw/zinc/31/95/30/1072319530.db2.gz RISXITDYTBWWGR-UHFFFAOYSA-N 0 0 445.461 -0.166 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc21 ZINC000441745926 1072319518 /nfs/dbraw/zinc/31/95/18/1072319518.db2.gz XYEYXYDZMUTZMC-UHFFFAOYSA-N 0 0 443.460 -0.727 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnn1CCC(C)C ZINC000441746642 1072319920 /nfs/dbraw/zinc/31/99/20/1072319920.db2.gz AQYACHWPZGXYEF-UHFFFAOYSA-N 0 0 448.524 -0.294 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)cc1C ZINC000441746703 1072319666 /nfs/dbraw/zinc/31/96/66/1072319666.db2.gz BAMSQMYYQIVMSP-UHFFFAOYSA-N 0 0 430.437 0.316 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCNC(=O)c1cccnc1)CC2 ZINC000441747419 1072320067 /nfs/dbraw/zinc/32/00/67/1072320067.db2.gz CUUYUMIVUSWSRY-UHFFFAOYSA-N 0 0 445.501 -0.185 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCN3CCN(C(C)=O)CC3)cc21 ZINC000441748317 1072319969 /nfs/dbraw/zinc/31/99/69/1072319969.db2.gz CWRGHQITXRLBRI-UHFFFAOYSA-N 0 0 428.493 -0.394 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)CC1 ZINC000441748805 1072320079 /nfs/dbraw/zinc/32/00/79/1072320079.db2.gz GSXHUQUQJCTABI-UHFFFAOYSA-N 0 0 442.520 -0.052 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)N(C)C[C@H](O)CO ZINC000441751646 1072319984 /nfs/dbraw/zinc/31/99/84/1072319984.db2.gz LFTMVCYKWVAHRQ-ZDUSSCGKSA-N 0 0 431.511 -0.134 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)cc2)c1=O ZINC000441751654 1072319961 /nfs/dbraw/zinc/31/99/61/1072319961.db2.gz KHXOXCHDOYSVOH-ZDUSSCGKSA-N 0 0 431.371 -0.356 20 0 IBADRN CN(C)c1ncn(-c2cccc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)n1 ZINC000441751878 1072319943 /nfs/dbraw/zinc/31/99/43/1072319943.db2.gz PRECAXRBFITYDQ-UHFFFAOYSA-N 0 0 425.457 -0.304 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCNC(=O)c1cccnc1)CC2 ZINC000441752173 1072320016 /nfs/dbraw/zinc/32/00/16/1072320016.db2.gz NKKWVPGXRWFJQJ-UHFFFAOYSA-N 0 0 431.474 -0.575 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000441752474 1072320633 /nfs/dbraw/zinc/32/06/33/1072320633.db2.gz MZOMAULNVKVLSO-UHFFFAOYSA-N 0 0 444.496 -0.284 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)c(OC)c(OC)c1 ZINC000441752515 1072320427 /nfs/dbraw/zinc/32/04/27/1072320427.db2.gz NDKNVWMAUTUSKT-UHFFFAOYSA-N 0 0 436.465 -0.291 20 0 IBADRN CN(C[C@H](O)CO)C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000441752875 1072320088 /nfs/dbraw/zinc/32/00/88/1072320088.db2.gz NKORMHXFITVQEP-LBPRGKRZSA-N 0 0 435.886 -0.889 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N(C)C[C@H](O)CO ZINC000441753650 1072319950 /nfs/dbraw/zinc/31/99/50/1072319950.db2.gz OZYZIUYDGHRPMM-ZDUSSCGKSA-N 0 0 429.495 -0.380 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1 ZINC000441754763 1072320336 /nfs/dbraw/zinc/32/03/36/1072320336.db2.gz SSRPVPKFTIBPAQ-UHFFFAOYSA-N 0 0 444.471 -0.102 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)C[C@H](O)CO)cc1S(=O)(=O)N1CCCC1 ZINC000441756435 1072320532 /nfs/dbraw/zinc/32/05/32/1072320532.db2.gz UOUKSJRDBIGLIS-AWEZNQCLSA-N 0 0 429.495 -0.380 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)cc1C(=O)OC ZINC000441757043 1072320590 /nfs/dbraw/zinc/32/05/90/1072320590.db2.gz YBDNQCBPLYRMBA-UHFFFAOYSA-N 0 0 434.449 -0.521 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)CC1 ZINC000441759581 1072320513 /nfs/dbraw/zinc/32/05/13/1072320513.db2.gz JZQHQYYAQXEAHY-UHFFFAOYSA-N 0 0 436.465 -0.245 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cnn1CCC(C)C ZINC000441762054 1072320503 /nfs/dbraw/zinc/32/05/03/1072320503.db2.gz FEUYQAKSDVUKSU-UHFFFAOYSA-N 0 0 446.508 -0.004 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000441763197 1072320958 /nfs/dbraw/zinc/32/09/58/1072320958.db2.gz ONCJHBMMORTPHZ-INIZCTEOSA-N 0 0 428.493 0.558 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000441763198 1072321111 /nfs/dbraw/zinc/32/11/11/1072321111.db2.gz ONCJHBMMORTPHZ-MRXNPFEDSA-N 0 0 428.493 0.558 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000441767222 1072320987 /nfs/dbraw/zinc/32/09/87/1072320987.db2.gz ROMXBVCTRQRZPX-INIZCTEOSA-N 0 0 425.445 -0.295 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000441767225 1072320995 /nfs/dbraw/zinc/32/09/95/1072320995.db2.gz ROMXBVCTRQRZPX-MRXNPFEDSA-N 0 0 425.445 -0.295 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cn(CC(=O)OC)nn2)c2ccccc2O1 ZINC000441771163 1072321098 /nfs/dbraw/zinc/32/10/98/1072321098.db2.gz AJTFHCJGDUTEJJ-INIZCTEOSA-N 0 0 444.448 -0.612 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cn(CC(=O)OC)nn2)c2ccccc2O1 ZINC000441771164 1072320948 /nfs/dbraw/zinc/32/09/48/1072320948.db2.gz AJTFHCJGDUTEJJ-MRXNPFEDSA-N 0 0 444.448 -0.612 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000441772940 1072321070 /nfs/dbraw/zinc/32/10/70/1072321070.db2.gz AEUQYCOUMFDQDK-LLVKDONJSA-N 0 0 445.519 -0.019 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000441772941 1072320974 /nfs/dbraw/zinc/32/09/74/1072320974.db2.gz AEUQYCOUMFDQDK-NSHDSACASA-N 0 0 445.519 -0.019 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC(=O)NCC(F)(F)F)CC2 ZINC000441774769 1072321423 /nfs/dbraw/zinc/32/14/23/1072321423.db2.gz JRQGRBIDNGIMPT-UHFFFAOYSA-N 0 0 436.412 -0.331 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@H](c3nc(-c4ccccc4)n[nH]3)C2)n1 ZINC000441777253 1072321684 /nfs/dbraw/zinc/32/16/84/1072321684.db2.gz PFXSTBNGVKPCOL-AWEZNQCLSA-N 0 0 437.464 0.154 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@@H](c3nc(-c4ccccc4)n[nH]3)C2)n1 ZINC000441777259 1072321720 /nfs/dbraw/zinc/32/17/20/1072321720.db2.gz PFXSTBNGVKPCOL-CQSZACIVSA-N 0 0 437.464 0.154 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)nn1 ZINC000441778324 1072321550 /nfs/dbraw/zinc/32/15/50/1072321550.db2.gz RVFQMUGIZARUCY-UHFFFAOYSA-N 0 0 440.416 -0.288 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)nn1 ZINC000441779389 1072321671 /nfs/dbraw/zinc/32/16/71/1072321671.db2.gz QQDKURXIICGODB-UHFFFAOYSA-N 0 0 430.465 -0.419 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000441779858 1072321652 /nfs/dbraw/zinc/32/16/52/1072321652.db2.gz RWJDJBQCWALDFK-LLVKDONJSA-N 0 0 445.519 -0.100 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000441779862 1072321711 /nfs/dbraw/zinc/32/17/11/1072321711.db2.gz RWJDJBQCWALDFK-NSHDSACASA-N 0 0 445.519 -0.100 20 0 IBADRN CCOC(=O)c1c(C)csc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000441781766 1072321666 /nfs/dbraw/zinc/32/16/66/1072321666.db2.gz WVFNGSQMJNSWCL-LLVKDONJSA-N 0 0 445.519 -0.019 20 0 IBADRN CCOC(=O)c1c(C)csc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000441781767 1072321581 /nfs/dbraw/zinc/32/15/81/1072321581.db2.gz WVFNGSQMJNSWCL-NSHDSACASA-N 0 0 445.519 -0.019 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000441785234 1072322036 /nfs/dbraw/zinc/32/20/36/1072322036.db2.gz GEZWLXBAIXNLOA-GOSISDBHSA-N 0 0 443.504 0.444 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000441785237 1072321566 /nfs/dbraw/zinc/32/15/66/1072321566.db2.gz GEZWLXBAIXNLOA-SFHVURJKSA-N 0 0 443.504 0.444 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000441794809 1072322166 /nfs/dbraw/zinc/32/21/66/1072322166.db2.gz YOERGOQJLQYHNC-KRWDZBQOSA-N 0 0 429.477 0.054 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000441794811 1072322128 /nfs/dbraw/zinc/32/21/28/1072322128.db2.gz YOERGOQJLQYHNC-QGZVFWFLSA-N 0 0 429.477 0.054 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)nn1 ZINC000441794813 1072321906 /nfs/dbraw/zinc/32/19/06/1072321906.db2.gz YOHNCCYRMWQQSJ-UHFFFAOYSA-N 0 0 425.409 -0.306 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000441796243 1072322532 /nfs/dbraw/zinc/32/25/32/1072322532.db2.gz BKHRSHUNSSFBAN-UHFFFAOYSA-N 0 0 443.494 -0.296 20 0 IBADRN CN(C)c1ncn(-c2cccc(NC(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)c2)n1 ZINC000441798247 1072322715 /nfs/dbraw/zinc/32/27/15/1072322715.db2.gz CUAPTUJLVFWVKW-UHFFFAOYSA-N 0 0 446.497 -0.010 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)cc21 ZINC000441801342 1072323070 /nfs/dbraw/zinc/32/30/70/1072323070.db2.gz LIFGTIBYSIPEBX-HNNXBMFYSA-N 0 0 435.506 -0.134 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)cc21 ZINC000441801349 1072323104 /nfs/dbraw/zinc/32/31/04/1072323104.db2.gz LIFGTIBYSIPEBX-OAHLLOKOSA-N 0 0 435.506 -0.134 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1C(=O)OC ZINC000441802250 1072322764 /nfs/dbraw/zinc/32/27/64/1072322764.db2.gz OVTDTTPNQFGWFF-GFCCVEGCSA-N 0 0 441.462 -0.262 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1C(=O)OC ZINC000441802254 1072322600 /nfs/dbraw/zinc/32/26/00/1072322600.db2.gz OVTDTTPNQFGWFF-LBPRGKRZSA-N 0 0 441.462 -0.262 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)C[C@H](O)C(F)(F)F)cn2)C[C@H](C)O1 ZINC000441803085 1072323153 /nfs/dbraw/zinc/32/31/53/1072323153.db2.gz RBQJVAUJWKORNT-LOWVWBTDSA-N 0 0 435.403 -0.161 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)C[C@@H](O)C(F)(F)F)cn2)C[C@@H](C)O1 ZINC000441803087 1072323148 /nfs/dbraw/zinc/32/31/48/1072323148.db2.gz RBQJVAUJWKORNT-NQBHXWOUSA-N 0 0 435.403 -0.161 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)C[C@@H](O)C(F)(F)F)cn2)C[C@H](C)O1 ZINC000441803089 1072323194 /nfs/dbraw/zinc/32/31/94/1072323194.db2.gz RBQJVAUJWKORNT-NTZNESFSSA-N 0 0 435.403 -0.161 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)C[C@H](O)C(F)(F)F)cn2)C[C@@H](C)O1 ZINC000441803091 1072323108 /nfs/dbraw/zinc/32/31/08/1072323108.db2.gz RBQJVAUJWKORNT-WZRBSPASSA-N 0 0 435.403 -0.161 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n1 ZINC000441803274 1072323678 /nfs/dbraw/zinc/32/36/78/1072323678.db2.gz QOKYUGKIMYSQTJ-UHFFFAOYSA-N 0 0 439.422 -0.586 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000441803421 1072323137 /nfs/dbraw/zinc/32/31/37/1072323137.db2.gz QPGISDGQRKCHBS-GFCCVEGCSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000441803423 1072323204 /nfs/dbraw/zinc/32/32/04/1072323204.db2.gz QPGISDGQRKCHBS-LBPRGKRZSA-N 0 0 443.478 -0.031 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)CC2 ZINC000441804337 1072323187 /nfs/dbraw/zinc/32/31/87/1072323187.db2.gz VNLAPFHIXUIQAG-CYBMUJFWSA-N 0 0 444.535 -0.976 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)CC2 ZINC000441804341 1072323057 /nfs/dbraw/zinc/32/30/57/1072323057.db2.gz VNLAPFHIXUIQAG-ZDUSSCGKSA-N 0 0 444.535 -0.976 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000441805584 1072323161 /nfs/dbraw/zinc/32/31/61/1072323161.db2.gz QQLGKZOVXANFRO-UHFFFAOYSA-N 0 0 429.467 -0.685 20 0 IBADRN CN(Cc1cn(C)nc1C(F)(F)F)C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000441806376 1072323080 /nfs/dbraw/zinc/32/30/80/1072323080.db2.gz SPVORFKSRCQPQX-UHFFFAOYSA-N 0 0 443.430 0.565 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](C)C(=O)NCc1ccco1)CC2 ZINC000441806752 1072323035 /nfs/dbraw/zinc/32/30/35/1072323035.db2.gz BRFDXUHVNPJPEC-GFCCVEGCSA-N 0 0 434.474 -0.102 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](C)C(=O)NCc1ccco1)CC2 ZINC000441806753 1072323048 /nfs/dbraw/zinc/32/30/48/1072323048.db2.gz BRFDXUHVNPJPEC-LBPRGKRZSA-N 0 0 434.474 -0.102 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)C[C@@H](O)C(F)(F)F)cc1 ZINC000441813430 1072333359 /nfs/dbraw/zinc/33/33/59/1072333359.db2.gz MJKNDFHNPWNCDU-GFCCVEGCSA-N 0 0 427.401 -0.069 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)C[C@H](O)C(F)(F)F)cc1 ZINC000441813431 1072333384 /nfs/dbraw/zinc/33/33/84/1072333384.db2.gz MJKNDFHNPWNCDU-LBPRGKRZSA-N 0 0 427.401 -0.069 20 0 IBADRN CCN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000441815047 1072334343 /nfs/dbraw/zinc/33/43/43/1072334343.db2.gz ARRASAIAAQPXJG-GFCCVEGCSA-N 0 0 434.419 0.391 20 0 IBADRN CCN(C[C@H](O)C(F)(F)F)C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000441815048 1072334369 /nfs/dbraw/zinc/33/43/69/1072334369.db2.gz ARRASAIAAQPXJG-LBPRGKRZSA-N 0 0 434.419 0.391 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)cn1 ZINC000441817307 1072340974 /nfs/dbraw/zinc/34/09/74/1072340974.db2.gz SKWOUHNCVRFGJD-UHFFFAOYSA-N 0 0 434.446 -0.024 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(Cc3cnn(C)c3)CC1)CC2 ZINC000441822556 1072352581 /nfs/dbraw/zinc/35/25/81/1072352581.db2.gz CRYJEMGCWXRTEC-UHFFFAOYSA-N 0 0 446.533 -0.438 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1-n1cnnn1 ZINC000441823772 1072352868 /nfs/dbraw/zinc/35/28/68/1072352868.db2.gz FQNQOALFPVGODU-UHFFFAOYSA-N 0 0 425.453 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3cccnn3)CC1)CC2 ZINC000441823848 1072353578 /nfs/dbraw/zinc/35/35/78/1072353578.db2.gz PAVQDVJRNBCDLH-UHFFFAOYSA-N 0 0 430.490 -0.377 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(Cc3nccn3C)CC1)CC2 ZINC000441825259 1072355220 /nfs/dbraw/zinc/35/52/20/1072355220.db2.gz VGRFMHSWVUMMSM-UHFFFAOYSA-N 0 0 446.533 -0.438 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1-n1cnnn1 ZINC000441827684 1072359483 /nfs/dbraw/zinc/35/94/83/1072359483.db2.gz ZZVYGYDMSQSPSG-UHFFFAOYSA-N 0 0 425.453 -0.313 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1C(=O)OC ZINC000441831526 1072364287 /nfs/dbraw/zinc/36/42/87/1072364287.db2.gz XWCSOPSLSBKVKS-UHFFFAOYSA-N 0 0 429.389 -0.466 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000441834155 1072365059 /nfs/dbraw/zinc/36/50/59/1072365059.db2.gz CGEVCNOAEHCQEX-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)[C@H](C)c1cccc(N2CCCS2(=O)=O)c1)S(N)(=O)=O ZINC000441835105 1072365019 /nfs/dbraw/zinc/36/50/19/1072365019.db2.gz AQKRYMMJNDYJKG-CHWSQXEVSA-N 0 0 446.551 -0.461 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)[C@@H](C)c1cccc(N2CCCS2(=O)=O)c1)S(N)(=O)=O ZINC000441835109 1072365040 /nfs/dbraw/zinc/36/50/40/1072365040.db2.gz AQKRYMMJNDYJKG-OLZOCXBDSA-N 0 0 446.551 -0.461 20 0 IBADRN C[C@H](c1cccc(N2CCCS2(=O)=O)c1)N(C)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000441835111 1072364956 /nfs/dbraw/zinc/36/49/56/1072364956.db2.gz AQKRYMMJNDYJKG-QWHCGFSZSA-N 0 0 446.551 -0.461 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)[C@@H](C)c1cccc(N2CCCS2(=O)=O)c1)S(N)(=O)=O ZINC000441835113 1072364782 /nfs/dbraw/zinc/36/47/82/1072364782.db2.gz AQKRYMMJNDYJKG-STQMWFEESA-N 0 0 446.551 -0.461 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1 ZINC000441838271 1072365045 /nfs/dbraw/zinc/36/50/45/1072365045.db2.gz PGIRQOSSVDVEBZ-UHFFFAOYSA-N 0 0 425.471 -0.603 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000441840719 1073348755 /nfs/dbraw/zinc/34/87/55/1073348755.db2.gz JHVIQDGTZWKHAJ-HNNXBMFYSA-N 0 0 433.513 0.089 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000441840723 1073347611 /nfs/dbraw/zinc/34/76/11/1073347611.db2.gz JHVIQDGTZWKHAJ-OAHLLOKOSA-N 0 0 433.513 0.089 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCc3nc(C)nn3C1)CC2 ZINC000441843628 1072364491 /nfs/dbraw/zinc/36/44/91/1072364491.db2.gz OZSHDYPWVJCLDN-AWEZNQCLSA-N 0 0 432.506 -0.095 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CCc3nc(C)nn3C1)CC2 ZINC000441843633 1072364269 /nfs/dbraw/zinc/36/42/69/1072364269.db2.gz OZSHDYPWVJCLDN-CQSZACIVSA-N 0 0 432.506 -0.095 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCSCC(=O)OC)CC2 ZINC000441850726 1072366470 /nfs/dbraw/zinc/36/64/70/1072366470.db2.gz VDOJDEODKPIVBQ-UHFFFAOYSA-N 0 0 429.520 -0.104 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1ccc(CC(=O)NCC(=O)OC)cc1 ZINC000441850967 1072366458 /nfs/dbraw/zinc/36/64/58/1072366458.db2.gz VMVDZDZYCBHVKK-INIZCTEOSA-N 0 0 432.477 -0.074 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1ccc(CC(=O)NCC(=O)OC)cc1 ZINC000441850968 1072366428 /nfs/dbraw/zinc/36/64/28/1072366428.db2.gz VMVDZDZYCBHVKK-MRXNPFEDSA-N 0 0 432.477 -0.074 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCO[C@H](C(=O)OC)C1)CC2 ZINC000441851697 1072366397 /nfs/dbraw/zinc/36/63/97/1072366397.db2.gz SAIYADVECHHYDN-HNNXBMFYSA-N 0 0 425.463 -0.726 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCO[C@@H](C(=O)OC)C1)CC2 ZINC000441851698 1072366350 /nfs/dbraw/zinc/36/63/50/1072366350.db2.gz SAIYADVECHHYDN-OAHLLOKOSA-N 0 0 425.463 -0.726 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)[C@H](C)c1ccc(N2CCCS2(=O)=O)cc1)S(N)(=O)=O ZINC000441852588 1072366521 /nfs/dbraw/zinc/36/65/21/1072366521.db2.gz SMJFUKVQONMMAT-CHWSQXEVSA-N 0 0 446.551 -0.461 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)[C@@H](C)c1ccc(N2CCCS2(=O)=O)cc1)S(N)(=O)=O ZINC000441852590 1072366990 /nfs/dbraw/zinc/36/69/90/1072366990.db2.gz SMJFUKVQONMMAT-OLZOCXBDSA-N 0 0 446.551 -0.461 20 0 IBADRN C[C@H](c1ccc(N2CCCS2(=O)=O)cc1)N(C)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000441852592 1072367006 /nfs/dbraw/zinc/36/70/06/1072367006.db2.gz SMJFUKVQONMMAT-QWHCGFSZSA-N 0 0 446.551 -0.461 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)[C@@H](C)c1ccc(N2CCCS2(=O)=O)cc1)S(N)(=O)=O ZINC000441852594 1072366914 /nfs/dbraw/zinc/36/69/14/1072366914.db2.gz SMJFUKVQONMMAT-STQMWFEESA-N 0 0 446.551 -0.461 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)nn1 ZINC000441853580 1072366929 /nfs/dbraw/zinc/36/69/29/1072366929.db2.gz AFYBQHLGTYLNCH-UHFFFAOYSA-N 0 0 429.480 -0.531 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)nn1 ZINC000441855020 1072366944 /nfs/dbraw/zinc/36/69/44/1072366944.db2.gz GHQFGHIBEZMBQV-UHFFFAOYSA-N 0 0 443.507 -0.286 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000441855463 1072365378 /nfs/dbraw/zinc/36/53/78/1072365378.db2.gz HXGGZULJCVNGSQ-UHFFFAOYSA-N 0 0 439.411 -0.047 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)nn1 ZINC000441857364 1072365445 /nfs/dbraw/zinc/36/54/45/1072365445.db2.gz LLNVXHIWESWEOD-UHFFFAOYSA-N 0 0 426.407 -0.497 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000441858214 1072365533 /nfs/dbraw/zinc/36/55/33/1072365533.db2.gz NXXGTBNZSKCLTQ-BFYDXBDKSA-N 0 0 448.524 -0.073 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000441858215 1072365473 /nfs/dbraw/zinc/36/54/73/1072365473.db2.gz NXXGTBNZSKCLTQ-HLLBOEOZSA-N 0 0 448.524 -0.073 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000441858216 1072365526 /nfs/dbraw/zinc/36/55/26/1072365526.db2.gz NXXGTBNZSKCLTQ-UXLLHSPISA-N 0 0 448.524 -0.073 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000441858217 1072365331 /nfs/dbraw/zinc/36/53/31/1072365331.db2.gz NXXGTBNZSKCLTQ-YQQAZPJKSA-N 0 0 448.524 -0.073 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCNC(=O)c1cnccn1)CC2 ZINC000441858234 1072365467 /nfs/dbraw/zinc/36/54/67/1072365467.db2.gz OANPDSYSBDUFDG-UHFFFAOYSA-N 0 0 446.489 -0.790 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc1 ZINC000441858353 1072366033 /nfs/dbraw/zinc/36/60/33/1072366033.db2.gz OOQXIOMXGBYWGS-DOMZBBRYSA-N 0 0 435.433 -0.293 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc1 ZINC000441858354 1072366010 /nfs/dbraw/zinc/36/60/10/1072366010.db2.gz OOQXIOMXGBYWGS-IUODEOHRSA-N 0 0 435.433 -0.293 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc1 ZINC000441858356 1072365964 /nfs/dbraw/zinc/36/59/64/1072365964.db2.gz OOQXIOMXGBYWGS-SWLSCSKDSA-N 0 0 435.433 -0.293 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc1 ZINC000441858522 1072365868 /nfs/dbraw/zinc/36/58/68/1072365868.db2.gz OOQXIOMXGBYWGS-WFASDCNBSA-N 0 0 435.433 -0.293 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)c(OC)c(OC)c1 ZINC000441860088 1072365860 /nfs/dbraw/zinc/36/58/60/1072365860.db2.gz SFGRPMGKVBYRFX-UHFFFAOYSA-N 0 0 431.405 -0.235 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000441862219 1072365929 /nfs/dbraw/zinc/36/59/29/1072365929.db2.gz FRQWRGKEHNODPO-KRWDZBQOSA-N 0 0 430.509 -0.123 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000441862222 1072365845 /nfs/dbraw/zinc/36/58/45/1072365845.db2.gz FRQWRGKEHNODPO-QGZVFWFLSA-N 0 0 430.509 -0.123 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)cc1-n1cnnn1 ZINC000441862237 1072365958 /nfs/dbraw/zinc/36/59/58/1072365958.db2.gz ABUDSUQJRNLLDZ-JTQLQIEISA-N 0 0 427.343 -0.111 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)cc1-n1cnnn1 ZINC000441862240 1072366043 /nfs/dbraw/zinc/36/60/43/1072366043.db2.gz ABUDSUQJRNLLDZ-SNVBAGLBSA-N 0 0 427.343 -0.111 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cnn(C3CCOCC3)c2)CC1 ZINC000441865008 1072366000 /nfs/dbraw/zinc/36/60/00/1072366000.db2.gz IVPJCVIVGASORA-UHFFFAOYSA-N 0 0 436.556 -0.093 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCOCC(N)=O ZINC000441865164 1072366023 /nfs/dbraw/zinc/36/60/23/1072366023.db2.gz JIOOGJPYKWXOFO-UHFFFAOYSA-N 0 0 442.494 -0.574 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000441865546 1072368325 /nfs/dbraw/zinc/36/83/25/1072368325.db2.gz QKDLVYUXLFJXEW-UHFFFAOYSA-N 0 0 446.551 -0.774 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O)CC2 ZINC000441867454 1072366830 /nfs/dbraw/zinc/36/68/30/1072366830.db2.gz HGAPGFAJOQJSEV-GFCCVEGCSA-N 0 0 448.423 -0.237 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O)CC2 ZINC000441867457 1072366998 /nfs/dbraw/zinc/36/69/98/1072366998.db2.gz HGAPGFAJOQJSEV-LBPRGKRZSA-N 0 0 448.423 -0.237 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(CC(=O)Nc2ccccc2OC)CC1 ZINC000441868340 1072366980 /nfs/dbraw/zinc/36/69/80/1072366980.db2.gz NZDUZBAMVOXZGX-UHFFFAOYSA-N 0 0 428.511 -0.009 20 0 IBADRN CC(C)(C)[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000441870169 1072367033 /nfs/dbraw/zinc/36/70/33/1072367033.db2.gz QPAVAIPNVANJLM-JTQLQIEISA-N 0 0 448.531 -0.647 20 0 IBADRN CC(C)(C)[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000441870177 1072366872 /nfs/dbraw/zinc/36/68/72/1072366872.db2.gz QPAVAIPNVANJLM-SNVBAGLBSA-N 0 0 448.531 -0.647 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCOCC(N)=O)ccc1Cl ZINC000441871000 1072366819 /nfs/dbraw/zinc/36/68/19/1072366819.db2.gz RYZUGEVWQXZTEV-UHFFFAOYSA-N 0 0 434.902 -0.073 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)Nc2ccccc2OC)CC1 ZINC000441872226 1072366859 /nfs/dbraw/zinc/36/68/59/1072366859.db2.gz UFAQMNQAWXTYMX-UHFFFAOYSA-N 0 0 448.567 -0.480 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)nn1 ZINC000441873206 1072366889 /nfs/dbraw/zinc/36/68/89/1072366889.db2.gz VPSMAUCLKNJFGF-UHFFFAOYSA-N 0 0 429.480 -0.630 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCc2cn(CC(=O)OC)nn2)cc1 ZINC000441873709 1072366922 /nfs/dbraw/zinc/36/69/22/1072366922.db2.gz WIHHUXPJOQFSKT-UHFFFAOYSA-N 0 0 445.523 -0.040 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)nn1 ZINC000441874321 1072367026 /nfs/dbraw/zinc/36/70/26/1072367026.db2.gz XOXZGKZOJLLMOC-UHFFFAOYSA-N 0 0 449.486 -0.670 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCOCC(N)=O ZINC000441874429 1072366958 /nfs/dbraw/zinc/36/69/58/1072366958.db2.gz OOODZVURSZMTJS-UHFFFAOYSA-N 0 0 426.495 -0.776 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)nn1 ZINC000441874455 1072367385 /nfs/dbraw/zinc/36/73/85/1072367385.db2.gz ZTSWCYPDDKEPIK-UHFFFAOYSA-N 0 0 429.480 -0.630 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@@H]1C(=O)OC ZINC000441875382 1072367317 /nfs/dbraw/zinc/36/73/17/1072367317.db2.gz QOWTYLIWVXKALV-CABCVRRESA-N 0 0 448.519 -0.279 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@@H]1C(=O)OC ZINC000441875385 1072367332 /nfs/dbraw/zinc/36/73/32/1072367332.db2.gz QOWTYLIWVXKALV-GJZGRUSLSA-N 0 0 448.519 -0.279 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@H]1C(=O)OC ZINC000441875387 1072367278 /nfs/dbraw/zinc/36/72/78/1072367278.db2.gz QOWTYLIWVXKALV-HUUCEWRRSA-N 0 0 448.519 -0.279 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000441875389 1072367243 /nfs/dbraw/zinc/36/72/43/1072367243.db2.gz QOWTYLIWVXKALV-LSDHHAIUSA-N 0 0 448.519 -0.279 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)nn1 ZINC000441875523 1072367301 /nfs/dbraw/zinc/36/73/01/1072367301.db2.gz SPMLDDHRBHEHJS-UHFFFAOYSA-N 0 0 443.507 -0.286 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](C)CS(=O)(=O)CC)CC2 ZINC000441875802 1072367426 /nfs/dbraw/zinc/36/74/26/1072367426.db2.gz UEYJGGROBDLJBG-GFCCVEGCSA-N 0 0 431.536 -0.187 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)CC)CC2 ZINC000441875805 1072367203 /nfs/dbraw/zinc/36/72/03/1072367203.db2.gz UEYJGGROBDLJBG-LBPRGKRZSA-N 0 0 431.536 -0.187 20 0 IBADRN NC(=O)COCCNC(=O)C(=O)Nc1cc(Br)ccc1N1CCOCC1 ZINC000441875842 1072367290 /nfs/dbraw/zinc/36/72/90/1072367290.db2.gz RLFXFWGQMUPMPK-UHFFFAOYSA-N 0 0 429.271 -0.158 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc1-n1cnnn1 ZINC000441877915 1072367229 /nfs/dbraw/zinc/36/72/29/1072367229.db2.gz AMVCYUSIYGCRMH-UHFFFAOYSA-N 0 0 437.482 -0.555 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)[C@@H]1C(=O)OC ZINC000441878636 1072367399 /nfs/dbraw/zinc/36/73/99/1072367399.db2.gz KZJVFARBUZBEOH-DOMZBBRYSA-N 0 0 425.463 -0.008 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)[C@H]1C(=O)OC ZINC000441878637 1072367436 /nfs/dbraw/zinc/36/74/36/1072367436.db2.gz KZJVFARBUZBEOH-IUODEOHRSA-N 0 0 425.463 -0.008 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1S(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000441878638 1072367413 /nfs/dbraw/zinc/36/74/13/1072367413.db2.gz KZJVFARBUZBEOH-SWLSCSKDSA-N 0 0 425.463 -0.008 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)[C@@H]1C(=O)OC ZINC000441878639 1072367345 /nfs/dbraw/zinc/36/73/45/1072367345.db2.gz KZJVFARBUZBEOH-WFASDCNBSA-N 0 0 425.463 -0.008 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)[C@@H]1C(=O)OC ZINC000441880060 1072367256 /nfs/dbraw/zinc/36/72/56/1072367256.db2.gz CQRKRPQYHFNASQ-GWCFXTLKSA-N 0 0 425.419 -0.668 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1S(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000441880061 1072367447 /nfs/dbraw/zinc/36/74/47/1072367447.db2.gz CQRKRPQYHFNASQ-GXFFZTMASA-N 0 0 425.419 -0.668 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)[C@@H]1C(=O)OC ZINC000441880063 1072367217 /nfs/dbraw/zinc/36/72/17/1072367217.db2.gz CQRKRPQYHFNASQ-MFKMUULPSA-N 0 0 425.419 -0.668 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)[C@H]1C(=O)OC ZINC000441880065 1072367372 /nfs/dbraw/zinc/36/73/72/1072367372.db2.gz CQRKRPQYHFNASQ-ZWNOBZJWSA-N 0 0 425.419 -0.668 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O)CC2 ZINC000441880425 1072367921 /nfs/dbraw/zinc/36/79/21/1072367921.db2.gz HXWMOVMUEALXLU-NEPJUHHUSA-N 0 0 431.536 -0.236 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O)CC2 ZINC000441880427 1072367820 /nfs/dbraw/zinc/36/78/20/1072367820.db2.gz HXWMOVMUEALXLU-NWDGAFQWSA-N 0 0 431.536 -0.236 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O)CC2 ZINC000441880429 1072367957 /nfs/dbraw/zinc/36/79/57/1072367957.db2.gz HXWMOVMUEALXLU-RYUDHWBXSA-N 0 0 431.536 -0.236 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)CC2 ZINC000441880431 1072367831 /nfs/dbraw/zinc/36/78/31/1072367831.db2.gz HXWMOVMUEALXLU-VXGBXAGGSA-N 0 0 431.536 -0.236 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCOCC(N)=O ZINC000441880687 1072367360 /nfs/dbraw/zinc/36/73/60/1072367360.db2.gz IOYGNFKRALVCTQ-UHFFFAOYSA-N 0 0 430.483 -0.719 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(Cc3ncc[nH]3)CC1)CC2 ZINC000441881121 1072367269 /nfs/dbraw/zinc/36/72/69/1072367269.db2.gz GZTJRGLPGVSCBI-UHFFFAOYSA-N 0 0 432.506 -0.449 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)C1 ZINC000441881834 1072367970 /nfs/dbraw/zinc/36/79/70/1072367970.db2.gz ANHOWHMQDVPBQA-KBPBESRZSA-N 0 0 440.522 -0.090 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCOCC(N)=O)cc1S(=O)(=O)N1CCCC1 ZINC000441881864 1072367933 /nfs/dbraw/zinc/36/79/33/1072367933.db2.gz KOUSKPIVZFMBLX-UHFFFAOYSA-N 0 0 442.494 -0.574 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)C1 ZINC000441882141 1072367871 /nfs/dbraw/zinc/36/78/71/1072367871.db2.gz ANHOWHMQDVPBQA-OKILXGFUSA-N 0 0 440.522 -0.090 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)C1 ZINC000441882143 1072367786 /nfs/dbraw/zinc/36/77/86/1072367786.db2.gz ANHOWHMQDVPBQA-ZIAGYGMSSA-N 0 0 440.522 -0.090 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCOCC(N)=O ZINC000441883663 1072367945 /nfs/dbraw/zinc/36/79/45/1072367945.db2.gz NQRPZXQONIKNKF-UHFFFAOYSA-N 0 0 426.495 -0.274 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000441883842 1072367845 /nfs/dbraw/zinc/36/78/45/1072367845.db2.gz DIWPTDAEVWPIJT-UHFFFAOYSA-N 0 0 437.478 -0.290 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc21 ZINC000441884073 1072367894 /nfs/dbraw/zinc/36/78/94/1072367894.db2.gz WRGYUBJSSQRIDW-UHFFFAOYSA-N 0 0 442.519 -0.525 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCOCC(N)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000441884312 1072367761 /nfs/dbraw/zinc/36/77/61/1072367761.db2.gz FMBZMEMWKPQDNT-UHFFFAOYSA-N 0 0 442.494 -0.574 20 0 IBADRN CN([C@@H]1CS(=O)(=O)c2ccc(Cl)cc21)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000441884889 1072367744 /nfs/dbraw/zinc/36/77/44/1072367744.db2.gz FVCQAJOCVJOXLN-LLVKDONJSA-N 0 0 433.895 -0.114 20 0 IBADRN CN([C@H]1CS(=O)(=O)c2ccc(Cl)cc21)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000441884890 1072367802 /nfs/dbraw/zinc/36/78/02/1072367802.db2.gz FVCQAJOCVJOXLN-NSHDSACASA-N 0 0 433.895 -0.114 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000441885721 1072367911 /nfs/dbraw/zinc/36/79/11/1072367911.db2.gz AHNKEUADYGFWJP-GFCCVEGCSA-N 0 0 437.457 -0.233 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000441885726 1072367879 /nfs/dbraw/zinc/36/78/79/1072367879.db2.gz AHNKEUADYGFWJP-LBPRGKRZSA-N 0 0 437.457 -0.233 20 0 IBADRN NC(=O)COCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1 ZINC000441887276 1072367979 /nfs/dbraw/zinc/36/79/79/1072367979.db2.gz SHMWKNGGSJSXDN-UHFFFAOYSA-N 0 0 426.495 -0.192 20 0 IBADRN NC(=O)COCCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1 ZINC000441887531 1072368315 /nfs/dbraw/zinc/36/83/15/1072368315.db2.gz LKEQFLUILPIEGM-UHFFFAOYSA-N 0 0 432.886 -0.319 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CC[C@H](NS(C)(=O)=O)C3)cc21 ZINC000441888730 1072367736 /nfs/dbraw/zinc/36/77/36/1072367736.db2.gz FSXRIEIFDVTCSQ-HNNXBMFYSA-N 0 0 435.506 -0.182 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)cc21 ZINC000441888735 1072367775 /nfs/dbraw/zinc/36/77/75/1072367775.db2.gz FSXRIEIFDVTCSQ-OAHLLOKOSA-N 0 0 435.506 -0.182 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000441889202 1072368245 /nfs/dbraw/zinc/36/82/45/1072368245.db2.gz GMDYNTXVMNJUGH-UHFFFAOYSA-N 0 0 428.486 -0.164 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCOCC(N)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000441889539 1072368763 /nfs/dbraw/zinc/36/87/63/1072368763.db2.gz PKJBRQGHQIGDQP-UHFFFAOYSA-N 0 0 426.495 -0.274 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000441890598 1072368702 /nfs/dbraw/zinc/36/87/02/1072368702.db2.gz INLJQEDPJAZOFV-LLVKDONJSA-N 0 0 429.451 -0.421 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000441890606 1072369292 /nfs/dbraw/zinc/36/92/92/1072369292.db2.gz INLJQEDPJAZOFV-NSHDSACASA-N 0 0 429.451 -0.421 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)CC1 ZINC000441890854 1072369278 /nfs/dbraw/zinc/36/92/78/1072369278.db2.gz ACLJYCWMKXGNKR-UHFFFAOYSA-N 0 0 426.495 -0.336 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCCN3C(=O)CNC3=O)cc21 ZINC000441891222 1072369193 /nfs/dbraw/zinc/36/91/93/1072369193.db2.gz JEZOWLLYOUBJOE-UHFFFAOYSA-N 0 0 428.449 -0.274 20 0 IBADRN CCO[C@H]1C[C@@H](N(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C12CCC2 ZINC000441892087 1072368395 /nfs/dbraw/zinc/36/83/95/1072368395.db2.gz YUNMCJFVBVKFTB-CVEARBPZSA-N 0 0 433.509 0.487 20 0 IBADRN CCO[C@H]1C[C@H](N(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C12CCC2 ZINC000441892104 1072368222 /nfs/dbraw/zinc/36/82/22/1072368222.db2.gz YUNMCJFVBVKFTB-HOTGVXAUSA-N 0 0 433.509 0.487 20 0 IBADRN CCO[C@@H]1C[C@@H](N(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C12CCC2 ZINC000441892113 1072368192 /nfs/dbraw/zinc/36/81/92/1072368192.db2.gz YUNMCJFVBVKFTB-HZPDHXFCSA-N 0 0 433.509 0.487 20 0 IBADRN CCO[C@@H]1C[C@H](N(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C12CCC2 ZINC000441892118 1072368267 /nfs/dbraw/zinc/36/82/67/1072368267.db2.gz YUNMCJFVBVKFTB-JKSUJKDBSA-N 0 0 433.509 0.487 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000441893152 1072368366 /nfs/dbraw/zinc/36/83/66/1072368366.db2.gz RGGSGYGRDJLUKM-CMPLNLGQSA-N 0 0 440.434 -0.851 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@@H]1C(=O)OC ZINC000441893153 1072368206 /nfs/dbraw/zinc/36/82/06/1072368206.db2.gz RGGSGYGRDJLUKM-JQWIXIFHSA-N 0 0 440.434 -0.851 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@@H]1C(=O)OC ZINC000441893154 1072368278 /nfs/dbraw/zinc/36/82/78/1072368278.db2.gz RGGSGYGRDJLUKM-PWSUYJOCSA-N 0 0 440.434 -0.851 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@H]1C(=O)OC ZINC000441893155 1072368341 /nfs/dbraw/zinc/36/83/41/1072368341.db2.gz RGGSGYGRDJLUKM-ZYHUDNBSSA-N 0 0 440.434 -0.851 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N(CCO)C1CCOCC1 ZINC000441893186 1072368381 /nfs/dbraw/zinc/36/83/81/1072368381.db2.gz CIYJBBVSRSCLKF-IBGZPJMESA-N 0 0 447.488 -0.361 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N(CCO)C1CCOCC1 ZINC000441893187 1072368254 /nfs/dbraw/zinc/36/82/54/1072368254.db2.gz CIYJBBVSRSCLKF-LJQANCHMSA-N 0 0 447.488 -0.361 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(Cc3ncc[nH]3)CC1)CC2 ZINC000441893275 1072368213 /nfs/dbraw/zinc/36/82/13/1072368213.db2.gz USIPVMORLDUROS-UHFFFAOYSA-N 0 0 446.533 -0.059 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000441893839 1072368776 /nfs/dbraw/zinc/36/87/76/1072368776.db2.gz DWPQKAWEZBPADZ-GFCCVEGCSA-N 0 0 431.536 -0.235 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000441893841 1072368738 /nfs/dbraw/zinc/36/87/38/1072368738.db2.gz DWPQKAWEZBPADZ-LBPRGKRZSA-N 0 0 431.536 -0.235 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc1F ZINC000441894623 1072368792 /nfs/dbraw/zinc/36/87/92/1072368792.db2.gz NMHFAPPNVMPIRY-GHMZBOCLSA-N 0 0 445.469 -0.005 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc1F ZINC000441894627 1072368747 /nfs/dbraw/zinc/36/87/47/1072368747.db2.gz NMHFAPPNVMPIRY-MNOVXSKESA-N 0 0 445.469 -0.005 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc1F ZINC000441894631 1072368758 /nfs/dbraw/zinc/36/87/58/1072368758.db2.gz NMHFAPPNVMPIRY-QWRGUYRKSA-N 0 0 445.469 -0.005 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc1F ZINC000441894635 1072368706 /nfs/dbraw/zinc/36/87/06/1072368706.db2.gz NMHFAPPNVMPIRY-WDEREUQCSA-N 0 0 445.469 -0.005 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)NCCOCC(N)=O ZINC000441896950 1072369253 /nfs/dbraw/zinc/36/92/53/1072369253.db2.gz LZHDILOSBZZLHT-UHFFFAOYSA-N 0 0 430.483 -0.671 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)C[C@H](C)O1 ZINC000441897388 1072369310 /nfs/dbraw/zinc/36/93/10/1072369310.db2.gz SFJALVPLNGATBX-BETUJISGSA-N 0 0 442.494 -0.959 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)C[C@@H](C)O1 ZINC000441897396 1072369062 /nfs/dbraw/zinc/36/90/62/1072369062.db2.gz SFJALVPLNGATBX-CHWSQXEVSA-N 0 0 442.494 -0.959 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)C[C@H](C)O1 ZINC000441897400 1072369266 /nfs/dbraw/zinc/36/92/66/1072369266.db2.gz SFJALVPLNGATBX-STQMWFEESA-N 0 0 442.494 -0.959 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)NCCOCC(N)=O)c1 ZINC000441897594 1072369152 /nfs/dbraw/zinc/36/91/52/1072369152.db2.gz FRWGLZLPVYQKPV-UHFFFAOYSA-N 0 0 449.201 -0.366 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)NCCOCC(N)=O)c1 ZINC000441897715 1072369074 /nfs/dbraw/zinc/36/90/74/1072369074.db2.gz SSKFROHBGPAERD-UHFFFAOYSA-N 0 0 428.511 -0.109 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)C1 ZINC000441897784 1072369284 /nfs/dbraw/zinc/36/92/84/1072369284.db2.gz XLNYVUGSRBGOGH-CYBMUJFWSA-N 0 0 426.495 -0.336 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2)C1 ZINC000441897788 1072369222 /nfs/dbraw/zinc/36/92/22/1072369222.db2.gz XLNYVUGSRBGOGH-ZDUSSCGKSA-N 0 0 426.495 -0.336 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCO)C1CCOCC1)CC2 ZINC000441898600 1072369664 /nfs/dbraw/zinc/36/96/64/1072369664.db2.gz NKOUAZYDOTVZKB-UHFFFAOYSA-N 0 0 425.507 -0.126 20 0 IBADRN NC(=O)COCCNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000441898936 1072369695 /nfs/dbraw/zinc/36/96/95/1072369695.db2.gz ZPZGJVXFOKQGNE-UHFFFAOYSA-N 0 0 438.506 -0.632 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)OC ZINC000441900413 1072369301 /nfs/dbraw/zinc/36/93/01/1072369301.db2.gz XYRJDGXSNCDQFG-LLVKDONJSA-N 0 0 427.435 -0.652 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1C(=O)OC ZINC000441900414 1072369209 /nfs/dbraw/zinc/36/92/09/1072369209.db2.gz XYRJDGXSNCDQFG-NSHDSACASA-N 0 0 427.435 -0.652 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc1 ZINC000441901086 1072369515 /nfs/dbraw/zinc/36/95/15/1072369515.db2.gz VPBXSNJNEVUVFI-NEPJUHHUSA-N 0 0 427.479 -0.144 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc1 ZINC000441901087 1072369762 /nfs/dbraw/zinc/36/97/62/1072369762.db2.gz VPBXSNJNEVUVFI-NWDGAFQWSA-N 0 0 427.479 -0.144 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc1 ZINC000441901088 1072369527 /nfs/dbraw/zinc/36/95/27/1072369527.db2.gz VPBXSNJNEVUVFI-RYUDHWBXSA-N 0 0 427.479 -0.144 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc1 ZINC000441901089 1072369604 /nfs/dbraw/zinc/36/96/04/1072369604.db2.gz VPBXSNJNEVUVFI-VXGBXAGGSA-N 0 0 427.479 -0.144 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1)CC2 ZINC000441901200 1072369593 /nfs/dbraw/zinc/36/95/93/1072369593.db2.gz ZWTNEISELVBKIN-CYBMUJFWSA-N 0 0 444.535 -0.976 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1)CC2 ZINC000441901201 1072369503 /nfs/dbraw/zinc/36/95/03/1072369503.db2.gz ZWTNEISELVBKIN-ZDUSSCGKSA-N 0 0 444.535 -0.976 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)CC2 ZINC000441901208 1072369745 /nfs/dbraw/zinc/36/97/45/1072369745.db2.gz ZXHHEDUTTCEHOZ-GFCCVEGCSA-N 0 0 431.536 -0.235 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)CC2 ZINC000441901210 1072369580 /nfs/dbraw/zinc/36/95/80/1072369580.db2.gz ZXHHEDUTTCEHOZ-LBPRGKRZSA-N 0 0 431.536 -0.235 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000441901618 1072370155 /nfs/dbraw/zinc/37/01/55/1072370155.db2.gz OMSQKRJLBUEVIB-UHFFFAOYSA-N 0 0 431.486 -0.025 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](OCCO)C1)CC2 ZINC000441901774 1072369138 /nfs/dbraw/zinc/36/91/38/1072369138.db2.gz VYBVOVKNKPKYGG-HNNXBMFYSA-N 0 0 425.507 -0.126 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](OCCO)C1)CC2 ZINC000441901775 1072369648 /nfs/dbraw/zinc/36/96/48/1072369648.db2.gz VYBVOVKNKPKYGG-OAHLLOKOSA-N 0 0 425.507 -0.126 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000441905009 1072370131 /nfs/dbraw/zinc/37/01/31/1072370131.db2.gz IDTSBTUXGZUQGH-UHFFFAOYSA-N 0 0 440.497 -0.066 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)nn1 ZINC000441905508 1072370123 /nfs/dbraw/zinc/37/01/23/1072370123.db2.gz KBKAEBIOYZGBLW-GOSISDBHSA-N 0 0 426.433 -0.457 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)nn1 ZINC000441905516 1072370077 /nfs/dbraw/zinc/37/00/77/1072370077.db2.gz KBKAEBIOYZGBLW-SFHVURJKSA-N 0 0 426.433 -0.457 20 0 IBADRN CN(C)C(=O)C[C@@H]1CN(C(=O)C(=O)NCCN2C(=O)CNC2=O)c2ccc(Cl)cc21 ZINC000441906908 1072370050 /nfs/dbraw/zinc/37/00/50/1072370050.db2.gz OLMIICGKJCCOPK-LLVKDONJSA-N 0 0 435.868 -0.084 20 0 IBADRN CN(C)C(=O)C[C@H]1CN(C(=O)C(=O)NCCN2C(=O)CNC2=O)c2ccc(Cl)cc21 ZINC000441906912 1072370142 /nfs/dbraw/zinc/37/01/42/1072370142.db2.gz OLMIICGKJCCOPK-NSHDSACASA-N 0 0 435.868 -0.084 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCNc2cccc(Br)c2)n1 ZINC000441910129 1072370065 /nfs/dbraw/zinc/37/00/65/1072370065.db2.gz VYAAIIXVRQHSCA-UHFFFAOYSA-N 0 0 438.286 0.296 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N(CCO)C3CCOCC3)cc2)CC1 ZINC000441915474 1072369709 /nfs/dbraw/zinc/36/97/09/1072369709.db2.gz DGYFYDSVEHFCCB-UHFFFAOYSA-N 0 0 432.521 -0.059 20 0 IBADRN C[C@@H](O)CC(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441916418 1072369542 /nfs/dbraw/zinc/36/95/42/1072369542.db2.gz BOGRMNVGJBJNLU-CYBMUJFWSA-N 0 0 440.522 -0.341 20 0 IBADRN C[C@H](O)CC(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441916420 1072369635 /nfs/dbraw/zinc/36/96/35/1072369635.db2.gz BOGRMNVGJBJNLU-ZDUSSCGKSA-N 0 0 440.522 -0.341 20 0 IBADRN CC(C)(O)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CC1 ZINC000441926502 1072370218 /nfs/dbraw/zinc/37/02/18/1072370218.db2.gz ATNSVFOOWJRZMF-UHFFFAOYSA-N 0 0 438.506 -0.493 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000441936237 1072371048 /nfs/dbraw/zinc/37/10/48/1072371048.db2.gz RBDXEECOBCKYIE-UHFFFAOYSA-N 0 0 426.485 -0.855 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000441943771 1072372609 /nfs/dbraw/zinc/37/26/09/1072372609.db2.gz CBSNSKJMIQOZCJ-FQEVSTJZSA-N 0 0 434.449 -0.114 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000441943772 1072372515 /nfs/dbraw/zinc/37/25/15/1072372515.db2.gz CBSNSKJMIQOZCJ-HXUWFJFHSA-N 0 0 434.449 -0.114 20 0 IBADRN CC[C@H](O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441947238 1072372445 /nfs/dbraw/zinc/37/24/45/1072372445.db2.gz DMHREQUVRDPAIU-AWEZNQCLSA-N 0 0 426.495 -0.587 20 0 IBADRN CC[C@@H](O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000441947242 1072372594 /nfs/dbraw/zinc/37/25/94/1072372594.db2.gz DMHREQUVRDPAIU-CQSZACIVSA-N 0 0 426.495 -0.587 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NCCC(=O)N1CCN(C)CC1 ZINC000441952650 1072371506 /nfs/dbraw/zinc/37/15/06/1072371506.db2.gz DBKLSTPHGGJHRK-UHFFFAOYSA-N 0 0 426.485 -0.855 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000441952894 1072371387 /nfs/dbraw/zinc/37/13/87/1072371387.db2.gz GZELFQOMYCZRML-HNNXBMFYSA-N 0 0 427.465 -0.104 20 0 IBADRN Cc1n[nH]c(C)c1[C@H]1COCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000441952895 1072371399 /nfs/dbraw/zinc/37/13/99/1072371399.db2.gz GZELFQOMYCZRML-OAHLLOKOSA-N 0 0 427.465 -0.104 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@](C)(O)C(=O)OC(C)(C)C)CC2 ZINC000441954311 1072371451 /nfs/dbraw/zinc/37/14/51/1072371451.db2.gz GQVQJSJQKPOCDI-IBGZPJMESA-N 0 0 441.506 -0.307 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@](C)(O)C(=O)OC(C)(C)C)CC2 ZINC000441954314 1072371498 /nfs/dbraw/zinc/37/14/98/1072371498.db2.gz GQVQJSJQKPOCDI-LJQANCHMSA-N 0 0 441.506 -0.307 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000441955273 1072372034 /nfs/dbraw/zinc/37/20/34/1072372034.db2.gz IKKDQAQFBPDMCQ-IBGZPJMESA-N 0 0 425.486 -0.637 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000441955275 1072371825 /nfs/dbraw/zinc/37/18/25/1072371825.db2.gz IKKDQAQFBPDMCQ-LJQANCHMSA-N 0 0 425.486 -0.637 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H]1COCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000441960875 1072374077 /nfs/dbraw/zinc/37/40/77/1072374077.db2.gz TVSUHKASKUGSRT-AWEZNQCLSA-N 0 0 441.448 -0.489 20 0 IBADRN Cc1n[nH]c(C)c1[C@H]1COCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000441960882 1072374185 /nfs/dbraw/zinc/37/41/85/1072374185.db2.gz TVSUHKASKUGSRT-CQSZACIVSA-N 0 0 441.448 -0.489 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H]1COCCN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000441962699 1073348788 /nfs/dbraw/zinc/34/87/88/1073348788.db2.gz VXPMPZSPVACBRG-INIZCTEOSA-N 0 0 431.497 0.094 20 0 IBADRN Cc1n[nH]c(C)c1[C@H]1COCCN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000441962701 1072374202 /nfs/dbraw/zinc/37/42/02/1072374202.db2.gz VXPMPZSPVACBRG-MRXNPFEDSA-N 0 0 431.497 0.094 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(CCO)C1CCOCC1 ZINC000441966579 1072374150 /nfs/dbraw/zinc/37/41/50/1072374150.db2.gz PAOSBDYDWDJEMS-UHFFFAOYSA-N 0 0 442.538 -0.059 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H]1CCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000441967253 1072374069 /nfs/dbraw/zinc/37/40/69/1072374069.db2.gz FTKJCHHXQJGECL-HNNXBMFYSA-N 0 0 429.481 0.384 20 0 IBADRN Cc1n[nH]c(C)c1[C@H]1CCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000441967254 1072373979 /nfs/dbraw/zinc/37/39/79/1072373979.db2.gz FTKJCHHXQJGECL-OAHLLOKOSA-N 0 0 429.481 0.384 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCCN1C(=O)CCC1=O)CC2 ZINC000441968187 1072374005 /nfs/dbraw/zinc/37/40/05/1072374005.db2.gz JURJIQHFMNFARV-UHFFFAOYSA-N 0 0 436.490 -0.471 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cnn(C3CCOCC3)c2)CC1)N1CCCC1 ZINC000441969170 1072374669 /nfs/dbraw/zinc/37/46/69/1072374669.db2.gz DFZINPCBCQFSRI-UHFFFAOYSA-N 0 0 425.511 -0.310 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)nn1 ZINC000441970409 1072374628 /nfs/dbraw/zinc/37/46/28/1072374628.db2.gz AHCMKDYKTPAEKV-UHFFFAOYSA-N 0 0 428.496 -0.553 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1)C1CCOCC1 ZINC000441970995 1072374708 /nfs/dbraw/zinc/37/47/08/1072374708.db2.gz BJJLTFRTAIXDFM-AWEZNQCLSA-N 0 0 432.437 -0.059 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(OC)c(-n2cnnn2)c1)C1CCOCC1 ZINC000441970997 1072374546 /nfs/dbraw/zinc/37/45/46/1072374546.db2.gz BJJLTFRTAIXDFM-CQSZACIVSA-N 0 0 432.437 -0.059 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H](O)C1CCOCC1)CC2 ZINC000441971189 1072374619 /nfs/dbraw/zinc/37/46/19/1072374619.db2.gz LLOYGARXGGKMJT-KRWDZBQOSA-N 0 0 425.507 -0.222 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H](O)C1CCOCC1)CC2 ZINC000441971190 1072374536 /nfs/dbraw/zinc/37/45/36/1072374536.db2.gz LLOYGARXGGKMJT-QGZVFWFLSA-N 0 0 425.507 -0.222 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(Cc3nc(C)no3)CC1)CC2 ZINC000441972555 1072374730 /nfs/dbraw/zinc/37/47/30/1072374730.db2.gz ATMUTLHHLHUPCR-UHFFFAOYSA-N 0 0 448.505 -0.480 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000441973176 1072374636 /nfs/dbraw/zinc/37/46/36/1072374636.db2.gz FYNTWZBPCJJHAD-CXAGYDPISA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000441973178 1072374648 /nfs/dbraw/zinc/37/46/48/1072374648.db2.gz FYNTWZBPCJJHAD-DYVFJYSZSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000441973179 1072374563 /nfs/dbraw/zinc/37/45/63/1072374563.db2.gz FYNTWZBPCJJHAD-GUYCJALGSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000441973181 1072374720 /nfs/dbraw/zinc/37/47/20/1072374720.db2.gz FYNTWZBPCJJHAD-SUMWQHHRSA-N 0 0 448.476 -0.330 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CCO1 ZINC000441974223 1072374525 /nfs/dbraw/zinc/37/45/25/1072374525.db2.gz HSAQLJDYDBSNMS-AWEZNQCLSA-N 0 0 431.428 -0.019 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CCO1 ZINC000441974224 1072374659 /nfs/dbraw/zinc/37/46/59/1072374659.db2.gz HSAQLJDYDBSNMS-CQSZACIVSA-N 0 0 431.428 -0.019 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2cnn(C3CCOCC3)c2)CC1 ZINC000441974839 1072372873 /nfs/dbraw/zinc/37/28/73/1072372873.db2.gz IUZOVDIJIBQUAH-UHFFFAOYSA-N 0 0 427.527 -0.600 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H](C)CN1CCOCC1)CC2 ZINC000441974923 1072372940 /nfs/dbraw/zinc/37/29/40/1072372940.db2.gz JFURFXRLAKSHPL-HNNXBMFYSA-N 0 0 438.550 -0.042 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H](C)CN1CCOCC1)CC2 ZINC000441974925 1072372798 /nfs/dbraw/zinc/37/27/98/1072372798.db2.gz JFURFXRLAKSHPL-OAHLLOKOSA-N 0 0 438.550 -0.042 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)nn1 ZINC000441976162 1072373043 /nfs/dbraw/zinc/37/30/43/1072373043.db2.gz GWSHYUKANNRGBV-UHFFFAOYSA-N 0 0 437.478 -0.290 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3cnn(C4CCOCC4)c3)CC2)no1 ZINC000441976191 1073331435 /nfs/dbraw/zinc/33/14/35/1073331435.db2.gz SWFLZSVZYXTNHQ-UHFFFAOYSA-N 0 0 438.510 0.476 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NC[C@](C)(O)C(=O)OC(C)(C)C)ccn2)CC1 ZINC000441977184 1072373056 /nfs/dbraw/zinc/37/30/56/1072373056.db2.gz MGNHDKMGYNVDBL-NRFANRHFSA-N 0 0 449.508 -0.383 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NC[C@@](C)(O)C(=O)OC(C)(C)C)ccn2)CC1 ZINC000441977188 1072372901 /nfs/dbraw/zinc/37/29/01/1072372901.db2.gz MGNHDKMGYNVDBL-OAQYLSRUSA-N 0 0 449.508 -0.383 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1C(=O)OC ZINC000441980150 1072373141 /nfs/dbraw/zinc/37/31/41/1072373141.db2.gz RUDLIDXBQIPXHV-HNNXBMFYSA-N 0 0 443.416 -0.147 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1C(=O)OC ZINC000441980152 1072373098 /nfs/dbraw/zinc/37/30/98/1072373098.db2.gz RUDLIDXBQIPXHV-OAHLLOKOSA-N 0 0 443.416 -0.147 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1)CC2 ZINC000441980177 1072373120 /nfs/dbraw/zinc/37/31/20/1072373120.db2.gz RWXATIFOZWAZDE-HNNXBMFYSA-N 0 0 438.506 -0.763 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1)CC2 ZINC000441980180 1072373666 /nfs/dbraw/zinc/37/36/66/1072373666.db2.gz RWXATIFOZWAZDE-OAHLLOKOSA-N 0 0 438.506 -0.763 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)cc1-n1cnnn1 ZINC000441980262 1072372925 /nfs/dbraw/zinc/37/29/25/1072372925.db2.gz INJGGYACYQQFSC-UHFFFAOYSA-N 0 0 427.425 -0.355 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)cc21 ZINC000441980746 1072373682 /nfs/dbraw/zinc/37/36/82/1072373682.db2.gz SXGGHZQSBXOYRY-INIZCTEOSA-N 0 0 437.460 -0.019 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)cc21 ZINC000441980748 1072373511 /nfs/dbraw/zinc/37/35/11/1072373511.db2.gz SXGGHZQSBXOYRY-MRXNPFEDSA-N 0 0 437.460 -0.019 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1F ZINC000441981094 1072373675 /nfs/dbraw/zinc/37/36/75/1072373675.db2.gz TWAZEHJWAMWWIT-UHFFFAOYSA-N 0 0 444.532 -0.514 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000441981097 1072373388 /nfs/dbraw/zinc/37/33/88/1072373388.db2.gz TWKNAIBEKLFXDU-GOSISDBHSA-N 0 0 429.495 -0.117 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000441981100 1072373498 /nfs/dbraw/zinc/37/34/98/1072373498.db2.gz TWKNAIBEKLFXDU-SFHVURJKSA-N 0 0 429.495 -0.117 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1-n1cnnn1 ZINC000441982965 1072378079 /nfs/dbraw/zinc/37/80/79/1072378079.db2.gz XHAOBTFBKBYDLD-CYBMUJFWSA-N 0 0 425.409 -0.735 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1-n1cnnn1 ZINC000441982967 1072377926 /nfs/dbraw/zinc/37/79/26/1072377926.db2.gz XHAOBTFBKBYDLD-ZDUSSCGKSA-N 0 0 425.409 -0.735 20 0 IBADRN O=C(Nc1ccnc(OCC(F)(F)C(F)F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441983122 1072377938 /nfs/dbraw/zinc/37/79/38/1072377938.db2.gz DDWSUNQBMGAICS-JTQLQIEISA-N 0 0 447.345 -0.532 20 0 IBADRN O=C(Nc1ccnc(OCC(F)(F)C(F)F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441983126 1072378088 /nfs/dbraw/zinc/37/80/88/1072378088.db2.gz DDWSUNQBMGAICS-SNVBAGLBSA-N 0 0 447.345 -0.532 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCN(c3cnn(C)c3)C1=O)CC2 ZINC000441984129 1072378462 /nfs/dbraw/zinc/37/84/62/1072378462.db2.gz ZTKWILBDDIQTMZ-HNNXBMFYSA-N 0 0 446.489 -0.861 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CCN(c3cnn(C)c3)C1=O)CC2 ZINC000441984130 1072378599 /nfs/dbraw/zinc/37/85/99/1072378599.db2.gz ZTKWILBDDIQTMZ-OAHLLOKOSA-N 0 0 446.489 -0.861 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCc2cn(CC(=O)OC)nn2)c1 ZINC000441984493 1072378649 /nfs/dbraw/zinc/37/86/49/1072378649.db2.gz CFKKJEHWBUSRCH-UHFFFAOYSA-N 0 0 425.467 -0.386 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)nn1-c1ccccc1Cl ZINC000441984793 1072378630 /nfs/dbraw/zinc/37/86/30/1072378630.db2.gz HODDNLMGGOETRO-GFCCVEGCSA-N 0 0 430.852 -0.058 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)nn1-c1ccccc1Cl ZINC000441984797 1072378483 /nfs/dbraw/zinc/37/84/83/1072378483.db2.gz HODDNLMGGOETRO-LBPRGKRZSA-N 0 0 430.852 -0.058 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(Cc2cnn(C)c2)CC1 ZINC000441984847 1072378563 /nfs/dbraw/zinc/37/85/63/1072378563.db2.gz YSDPIJJXHBQQLH-UHFFFAOYSA-N 0 0 435.496 -0.058 20 0 IBADRN CN(C)c1ccc(C(F)(F)F)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441984854 1072378622 /nfs/dbraw/zinc/37/86/22/1072378622.db2.gz HWESUAFVRPYISF-LLVKDONJSA-N 0 0 427.383 -0.121 20 0 IBADRN CN(C)c1ccc(C(F)(F)F)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441985094 1072378640 /nfs/dbraw/zinc/37/86/40/1072378640.db2.gz HWESUAFVRPYISF-NSHDSACASA-N 0 0 427.383 -0.121 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)nn1 ZINC000441985434 1072378436 /nfs/dbraw/zinc/37/84/36/1072378436.db2.gz MGEQXSYKDOFKRA-HNNXBMFYSA-N 0 0 437.478 -0.162 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)nn1 ZINC000441985437 1072378572 /nfs/dbraw/zinc/37/85/72/1072378572.db2.gz MGEQXSYKDOFKRA-OAHLLOKOSA-N 0 0 437.478 -0.162 20 0 IBADRN O=C(Nc1cccc(Cl)c1N1CCOCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441985690 1072378591 /nfs/dbraw/zinc/37/85/91/1072378591.db2.gz JPNKMGZCQGCFAU-GFCCVEGCSA-N 0 0 435.868 -0.716 20 0 IBADRN O=C(Nc1cccc(Cl)c1N1CCOCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441985692 1072378541 /nfs/dbraw/zinc/37/85/41/1072378541.db2.gz JPNKMGZCQGCFAU-LBPRGKRZSA-N 0 0 435.868 -0.716 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2nc1C(C)(C)C ZINC000441986804 1072378943 /nfs/dbraw/zinc/37/89/43/1072378943.db2.gz MAIFLQMCAGAODW-CYBMUJFWSA-N 0 0 426.477 -0.022 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2nc1C(C)(C)C ZINC000441986805 1072378927 /nfs/dbraw/zinc/37/89/27/1072378927.db2.gz MAIFLQMCAGAODW-ZDUSSCGKSA-N 0 0 426.477 -0.022 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCC(=O)Nc1cc(OC)cc(OC)c1 ZINC000441986808 1073341193 /nfs/dbraw/zinc/34/11/93/1073341193.db2.gz MBFDXQRBOPRGNP-UHFFFAOYSA-N 0 0 433.421 0.307 20 0 IBADRN Cc1cccc(C(=O)N2CCCC2)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441987654 1072379454 /nfs/dbraw/zinc/37/94/54/1072379454.db2.gz NTPILPKQLUNQKL-AWEZNQCLSA-N 0 0 427.461 -0.661 20 0 IBADRN Cc1cccc(C(=O)N2CCCC2)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441987660 1072379594 /nfs/dbraw/zinc/37/95/94/1072379594.db2.gz NTPILPKQLUNQKL-CQSZACIVSA-N 0 0 427.461 -0.661 20 0 IBADRN O=C(Nc1ccc(C(=O)NCC(F)(F)F)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441988026 1072379197 /nfs/dbraw/zinc/37/91/97/1072379197.db2.gz OKCVVVLILULOQN-GFCCVEGCSA-N 0 0 441.366 -0.914 20 0 IBADRN O=C(Nc1ccc(C(=O)NCC(F)(F)F)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441988030 1072379216 /nfs/dbraw/zinc/37/92/16/1072379216.db2.gz OKCVVVLILULOQN-LBPRGKRZSA-N 0 0 441.366 -0.914 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1F ZINC000441988032 1072379192 /nfs/dbraw/zinc/37/91/92/1072379192.db2.gz OKTPFRYVDKGGAN-LLVKDONJSA-N 0 0 448.498 -0.693 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1F ZINC000441988034 1072379038 /nfs/dbraw/zinc/37/90/38/1072379038.db2.gz OKTPFRYVDKGGAN-NSHDSACASA-N 0 0 448.498 -0.693 20 0 IBADRN O=C(Nc1cccc2c1CN(c1nccs1)C2)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441988132 1072379075 /nfs/dbraw/zinc/37/90/75/1072379075.db2.gz PNNXKTVOYFZFMF-CYBMUJFWSA-N 0 0 440.485 -0.229 20 0 IBADRN O=C(Nc1cccc2c1CN(c1nccs1)C2)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441988133 1072379273 /nfs/dbraw/zinc/37/92/73/1072379273.db2.gz PNNXKTVOYFZFMF-ZDUSSCGKSA-N 0 0 440.485 -0.229 20 0 IBADRN CCN(CC)C(=O)Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000441988550 1072379746 /nfs/dbraw/zinc/37/97/46/1072379746.db2.gz QQIPSZQOWGYKKM-INIZCTEOSA-N 0 0 429.477 -0.795 20 0 IBADRN CCN(CC)C(=O)Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000441988551 1072379586 /nfs/dbraw/zinc/37/95/86/1072379586.db2.gz QQIPSZQOWGYKKM-MRXNPFEDSA-N 0 0 429.477 -0.795 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)nn1 ZINC000441988796 1072379475 /nfs/dbraw/zinc/37/94/75/1072379475.db2.gz UJVRYLAKVVISPO-HNNXBMFYSA-N 0 0 437.478 -0.162 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)nn1 ZINC000441988799 1072379511 /nfs/dbraw/zinc/37/95/11/1072379511.db2.gz UJVRYLAKVVISPO-OAHLLOKOSA-N 0 0 437.478 -0.162 20 0 IBADRN O=C(Nc1ccc(I)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441989043 1072379637 /nfs/dbraw/zinc/37/96/37/1072379637.db2.gz GTQSOIOVTNVKMX-LLVKDONJSA-N 0 0 442.213 -0.601 20 0 IBADRN O=C(Nc1ccc(I)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441989044 1072379631 /nfs/dbraw/zinc/37/96/31/1072379631.db2.gz GTQSOIOVTNVKMX-NSHDSACASA-N 0 0 442.213 -0.601 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC000441989092 1072379469 /nfs/dbraw/zinc/37/94/69/1072379469.db2.gz HESATQXLDOJZHV-UHFFFAOYSA-N 0 0 425.916 -0.279 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1-n1nnnc1C(C)C ZINC000441989357 1072379695 /nfs/dbraw/zinc/37/96/95/1072379695.db2.gz SNHQHNVKPVCNPP-AWEZNQCLSA-N 0 0 440.464 -0.798 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1-n1nnnc1C(C)C ZINC000441989358 1072379461 /nfs/dbraw/zinc/37/94/61/1072379461.db2.gz SNHQHNVKPVCNPP-CQSZACIVSA-N 0 0 440.464 -0.798 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C)CC(=O)Nc2cc(OC)cc(OC)c2)cc1C ZINC000441989471 1073314009 /nfs/dbraw/zinc/31/40/09/1073314009.db2.gz HTUTZUOYBNYOMC-UHFFFAOYSA-N 0 0 446.464 -0.010 20 0 IBADRN O=S(=O)(c1cnn(C2CCOCC2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000441991353 1072380041 /nfs/dbraw/zinc/38/00/41/1072380041.db2.gz YJQROGDRIGSYIM-UHFFFAOYSA-N 0 0 449.555 -0.882 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1Br ZINC000441991383 1072380202 /nfs/dbraw/zinc/38/02/02/1072380202.db2.gz YMNCAXCUNQQWFC-LLVKDONJSA-N 0 0 439.266 -0.045 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1Br ZINC000441991384 1072380237 /nfs/dbraw/zinc/38/02/37/1072380237.db2.gz YMNCAXCUNQQWFC-NSHDSACASA-N 0 0 439.266 -0.045 20 0 IBADRN O=C(Nc1cc(F)c(Br)cc1F)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441991702 1072380137 /nfs/dbraw/zinc/38/01/37/1072380137.db2.gz ZVPXPDRUNORDSQ-SSDOTTSWSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C(Nc1cc(F)c(Br)cc1F)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441991703 1072380257 /nfs/dbraw/zinc/38/02/57/1072380257.db2.gz ZVPXPDRUNORDSQ-ZETCQYMHSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C(Nc1ccc(OC(F)F)cc1OC(F)F)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441991725 1072380210 /nfs/dbraw/zinc/38/02/10/1072380210.db2.gz MONSRSMAKIJMJO-MRVPVSSYSA-N 0 0 448.329 -0.003 20 0 IBADRN O=C(Nc1ccc(OC(F)F)cc1OC(F)F)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441991726 1072380733 /nfs/dbraw/zinc/38/07/33/1072380733.db2.gz MONSRSMAKIJMJO-QMMMGPOBSA-N 0 0 448.329 -0.003 20 0 IBADRN CCN(CC)C(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1Cl ZINC000441991940 1072380052 /nfs/dbraw/zinc/38/00/52/1072380052.db2.gz NIAUTZMNXDEGQY-CYBMUJFWSA-N 0 0 449.895 -0.070 20 0 IBADRN CCN(CC)C(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1Cl ZINC000441991941 1072380187 /nfs/dbraw/zinc/38/01/87/1072380187.db2.gz NIAUTZMNXDEGQY-ZDUSSCGKSA-N 0 0 449.895 -0.070 20 0 IBADRN O=C(Nc1ccc(C(=O)NC2CCCCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441992197 1072380128 /nfs/dbraw/zinc/38/01/28/1072380128.db2.gz ATIFIXMXIYMUNJ-KRWDZBQOSA-N 0 0 441.488 -0.143 20 0 IBADRN O=C(Nc1ccc(C(=O)NC2CCCCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441992198 1072380100 /nfs/dbraw/zinc/38/01/00/1072380100.db2.gz ATIFIXMXIYMUNJ-QGZVFWFLSA-N 0 0 441.488 -0.143 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1Cl ZINC000441992471 1072380704 /nfs/dbraw/zinc/38/07/04/1072380704.db2.gz BRPQUJMNAPIIJB-GFCCVEGCSA-N 0 0 435.868 -0.413 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1Cl ZINC000441992472 1072380695 /nfs/dbraw/zinc/38/06/95/1072380695.db2.gz BRPQUJMNAPIIJB-LBPRGKRZSA-N 0 0 435.868 -0.413 20 0 IBADRN COCc1c(Br)cccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441992736 1072380654 /nfs/dbraw/zinc/38/06/54/1072380654.db2.gz CCKAIONEHAAISQ-JTQLQIEISA-N 0 0 439.266 -0.297 20 0 IBADRN COCc1c(Br)cccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441992737 1072380674 /nfs/dbraw/zinc/38/06/74/1072380674.db2.gz CCKAIONEHAAISQ-SNVBAGLBSA-N 0 0 439.266 -0.297 20 0 IBADRN COC(=O)CSCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000441993632 1072381122 /nfs/dbraw/zinc/38/11/22/1072381122.db2.gz GSLSJOLZFAURLL-AWEZNQCLSA-N 0 0 434.474 -0.800 20 0 IBADRN COC(=O)CSCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000441993635 1072381127 /nfs/dbraw/zinc/38/11/27/1072381127.db2.gz GSLSJOLZFAURLL-CQSZACIVSA-N 0 0 434.474 -0.800 20 0 IBADRN CCOC(=O)Cn1ccc2cc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)ccc21 ZINC000441993785 1072380773 /nfs/dbraw/zinc/38/07/73/1072380773.db2.gz AZRLSBDIQYTARZ-HNNXBMFYSA-N 0 0 441.444 -0.688 20 0 IBADRN CCOC(=O)Cn1ccc2cc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)ccc21 ZINC000441993786 1072381092 /nfs/dbraw/zinc/38/10/92/1072381092.db2.gz AZRLSBDIQYTARZ-OAHLLOKOSA-N 0 0 441.444 -0.688 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000441993919 1072380726 /nfs/dbraw/zinc/38/07/26/1072380726.db2.gz HJGSTOVZJPAOGR-HNNXBMFYSA-N 0 0 445.476 -0.959 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000441993923 1072380764 /nfs/dbraw/zinc/38/07/64/1072380764.db2.gz HJGSTOVZJPAOGR-OAHLLOKOSA-N 0 0 445.476 -0.959 20 0 IBADRN COC(=O)c1ccc(OC(C)C)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000441993940 1072380691 /nfs/dbraw/zinc/38/06/91/1072380691.db2.gz HLSUYHTXVVRWLC-CYBMUJFWSA-N 0 0 432.433 -0.632 20 0 IBADRN COC(=O)c1ccc(OC(C)C)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000441993944 1072380797 /nfs/dbraw/zinc/38/07/97/1072380797.db2.gz HLSUYHTXVVRWLC-ZDUSSCGKSA-N 0 0 432.433 -0.632 20 0 IBADRN C[S@@](=O)Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1Cl ZINC000441994162 1072380683 /nfs/dbraw/zinc/38/06/83/1072380683.db2.gz BQSVTWWMVOKJAN-BODITIBLSA-N 0 0 426.882 -0.674 20 0 IBADRN C[S@](=O)Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1Cl ZINC000441994168 1072380741 /nfs/dbraw/zinc/38/07/41/1072380741.db2.gz BQSVTWWMVOKJAN-JNFKXCBWSA-N 0 0 426.882 -0.674 20 0 IBADRN C[S@@](=O)Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1Cl ZINC000441994170 1072380722 /nfs/dbraw/zinc/38/07/22/1072380722.db2.gz BQSVTWWMVOKJAN-PSBQPLHCSA-N 0 0 426.882 -0.674 20 0 IBADRN C[S@](=O)Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1Cl ZINC000441994172 1072380737 /nfs/dbraw/zinc/38/07/37/1072380737.db2.gz BQSVTWWMVOKJAN-YASQENCXSA-N 0 0 426.882 -0.674 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1F ZINC000441994823 1072381602 /nfs/dbraw/zinc/38/16/02/1072381602.db2.gz BOCCXLUWGYIUGK-GFCCVEGCSA-N 0 0 444.427 -0.832 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1F ZINC000441994831 1072381699 /nfs/dbraw/zinc/38/16/99/1072381699.db2.gz BOCCXLUWGYIUGK-LBPRGKRZSA-N 0 0 444.427 -0.832 20 0 IBADRN C[C@H](c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1)N1CCOCC1 ZINC000441994870 1072381211 /nfs/dbraw/zinc/38/12/11/1072381211.db2.gz BRNOCLABZFWWOS-PBHICJAKSA-N 0 0 429.477 -0.813 20 0 IBADRN C[C@H](c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)N1CCOCC1 ZINC000441994872 1072381224 /nfs/dbraw/zinc/38/12/24/1072381224.db2.gz BRNOCLABZFWWOS-RHSMWYFYSA-N 0 0 429.477 -0.813 20 0 IBADRN C[C@@H](c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)N1CCOCC1 ZINC000441994873 1072381177 /nfs/dbraw/zinc/38/11/77/1072381177.db2.gz BRNOCLABZFWWOS-WMLDXEAASA-N 0 0 429.477 -0.813 20 0 IBADRN C[C@@H](c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1)N1CCOCC1 ZINC000441994875 1072381150 /nfs/dbraw/zinc/38/11/50/1072381150.db2.gz BRNOCLABZFWWOS-YOEHRIQHSA-N 0 0 429.477 -0.813 20 0 IBADRN CCOCCOCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1C ZINC000441994907 1072381207 /nfs/dbraw/zinc/38/12/07/1072381207.db2.gz AAZPMAQWJCAROS-INIZCTEOSA-N 0 0 432.477 -0.344 20 0 IBADRN CCOCCOCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1C ZINC000441994910 1072381141 /nfs/dbraw/zinc/38/11/41/1072381141.db2.gz AAZPMAQWJCAROS-MRXNPFEDSA-N 0 0 432.477 -0.344 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C2CCCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441994956 1072381192 /nfs/dbraw/zinc/38/11/92/1072381192.db2.gz AENIRRUHNRAMKW-AWEZNQCLSA-N 0 0 448.501 -0.489 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C2CCCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441994958 1072381714 /nfs/dbraw/zinc/38/17/14/1072381714.db2.gz AENIRRUHNRAMKW-CQSZACIVSA-N 0 0 448.501 -0.489 20 0 IBADRN COc1ccc(Br)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441995086 1072381218 /nfs/dbraw/zinc/38/12/18/1072381218.db2.gz DSAGCTKSJNFKLB-JTQLQIEISA-N 0 0 425.239 -0.435 20 0 IBADRN COc1ccc(Br)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441995087 1072381138 /nfs/dbraw/zinc/38/11/38/1072381138.db2.gz DSAGCTKSJNFKLB-SNVBAGLBSA-N 0 0 425.239 -0.435 20 0 IBADRN COC(=O)CCCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000441995246 1072381113 /nfs/dbraw/zinc/38/11/13/1072381113.db2.gz KKCYXWFNDSBGHW-AWEZNQCLSA-N 0 0 432.433 -0.874 20 0 IBADRN COC(=O)CCCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000441995247 1072381171 /nfs/dbraw/zinc/38/11/71/1072381171.db2.gz KKCYXWFNDSBGHW-CQSZACIVSA-N 0 0 432.433 -0.874 20 0 IBADRN O=C(Nc1ccc2c(c1)CCC(=O)N2CC1CC1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441996047 1072381651 /nfs/dbraw/zinc/38/16/51/1072381651.db2.gz BCDOVLMOHVVROB-INIZCTEOSA-N 0 0 439.472 -0.517 20 0 IBADRN O=C(Nc1ccc2c(c1)CCC(=O)N2CC1CC1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441996050 1072381705 /nfs/dbraw/zinc/38/17/05/1072381705.db2.gz BCDOVLMOHVVROB-MRXNPFEDSA-N 0 0 439.472 -0.517 20 0 IBADRN O=C(Nc1ccc(OCC2CCOCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441996337 1072374581 /nfs/dbraw/zinc/37/45/81/1072374581.db2.gz GUWPGRCESQLDCY-INIZCTEOSA-N 0 0 430.461 -0.400 20 0 IBADRN O=C(Nc1ccc(OCC2CCOCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441996338 1072374555 /nfs/dbraw/zinc/37/45/55/1072374555.db2.gz GUWPGRCESQLDCY-MRXNPFEDSA-N 0 0 430.461 -0.400 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000441996434 1072374756 /nfs/dbraw/zinc/37/47/56/1072374756.db2.gz LWAYPGGMROKELO-UHFFFAOYSA-N 0 0 426.470 -0.410 20 0 IBADRN COC(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000441996487 1072375274 /nfs/dbraw/zinc/37/52/74/1072375274.db2.gz MHLYDZSQGGEYEK-AWEZNQCLSA-N 0 0 443.460 -0.819 20 0 IBADRN COC(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000441996490 1072375232 /nfs/dbraw/zinc/37/52/32/1072375232.db2.gz MHLYDZSQGGEYEK-CQSZACIVSA-N 0 0 443.460 -0.819 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)OC)c(C(=O)OC)c1 ZINC000441996739 1072375111 /nfs/dbraw/zinc/37/51/11/1072375111.db2.gz CMUCOOOQYAICLV-AWEZNQCLSA-N 0 0 448.432 -0.949 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)OC)c(C(=O)OC)c1 ZINC000441996753 1072375266 /nfs/dbraw/zinc/37/52/66/1072375266.db2.gz CMUCOOOQYAICLV-CQSZACIVSA-N 0 0 448.432 -0.949 20 0 IBADRN Cc1nc(COc2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2)no1 ZINC000441996765 1072375308 /nfs/dbraw/zinc/37/53/08/1072375308.db2.gz FRRRSVYWUUEPDA-CYBMUJFWSA-N 0 0 428.405 -0.935 20 0 IBADRN Cc1nc(COc2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2)no1 ZINC000441996774 1072375217 /nfs/dbraw/zinc/37/52/17/1072375217.db2.gz FRRRSVYWUUEPDA-ZDUSSCGKSA-N 0 0 428.405 -0.935 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000441996804 1072375291 /nfs/dbraw/zinc/37/52/91/1072375291.db2.gz FVTOTWOIXZXUHY-UHFFFAOYSA-N 0 0 429.480 -0.537 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)n(-c2ccc(Cl)cc2)n1 ZINC000441997111 1072375249 /nfs/dbraw/zinc/37/52/49/1072375249.db2.gz DQXMVHCELATRMN-AWEZNQCLSA-N 0 0 430.852 -0.058 20 0 IBADRN CCOc1cc(Br)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441997115 1072375096 /nfs/dbraw/zinc/37/50/96/1072375096.db2.gz GVUCLDMVDSGNPP-LLVKDONJSA-N 0 0 439.266 -0.045 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)n(-c2ccc(Cl)cc2)n1 ZINC000441997118 1072375204 /nfs/dbraw/zinc/37/52/04/1072375204.db2.gz DQXMVHCELATRMN-CQSZACIVSA-N 0 0 430.852 -0.058 20 0 IBADRN CCOc1cc(Br)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441997120 1072375137 /nfs/dbraw/zinc/37/51/37/1072375137.db2.gz GVUCLDMVDSGNPP-NSHDSACASA-N 0 0 439.266 -0.045 20 0 IBADRN CCC(C)(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000441997904 1072375617 /nfs/dbraw/zinc/37/56/17/1072375617.db2.gz ISFZFCAAHFMACE-HNNXBMFYSA-N 0 0 429.477 -0.287 20 0 IBADRN CCC(C)(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000441997905 1072375570 /nfs/dbraw/zinc/37/55/70/1072375570.db2.gz ISFZFCAAHFMACE-OAHLLOKOSA-N 0 0 429.477 -0.287 20 0 IBADRN CC(C)(C)[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000441997940 1072375623 /nfs/dbraw/zinc/37/56/23/1072375623.db2.gz IYYVJCPGNYPBKA-DHMKHTPVSA-N 0 0 434.518 -0.159 20 0 IBADRN CC(C)(C)[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000441997941 1072375708 /nfs/dbraw/zinc/37/57/08/1072375708.db2.gz IYYVJCPGNYPBKA-FOGNVHKLSA-N 0 0 434.518 -0.159 20 0 IBADRN CC(C)(C)[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000441997942 1072375555 /nfs/dbraw/zinc/37/55/55/1072375555.db2.gz IYYVJCPGNYPBKA-INCQDFKNSA-N 0 0 434.518 -0.159 20 0 IBADRN CC(C)(C)[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000441997943 1072375693 /nfs/dbraw/zinc/37/56/93/1072375693.db2.gz IYYVJCPGNYPBKA-JGVYIQDASA-N 0 0 434.518 -0.159 20 0 IBADRN O=C(Nc1cccc(NC(=O)c2ccncc2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441998096 1072375607 /nfs/dbraw/zinc/37/56/07/1072375607.db2.gz NZFNAPBOOIFEBN-INIZCTEOSA-N 0 0 436.428 -0.558 20 0 IBADRN O=C(Nc1cccc(NC(=O)c2ccncc2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441998097 1072375669 /nfs/dbraw/zinc/37/56/69/1072375669.db2.gz NZFNAPBOOIFEBN-MRXNPFEDSA-N 0 0 436.428 -0.558 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000441998285 1072375103 /nfs/dbraw/zinc/37/51/03/1072375103.db2.gz GIGVTRKIOVRBQL-GFCCVEGCSA-N 0 0 425.463 -0.437 20 0 IBADRN CCS(=O)(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441998288 1072375575 /nfs/dbraw/zinc/37/55/75/1072375575.db2.gz GMOVFWBUUTZKTG-LLVKDONJSA-N 0 0 442.881 -0.759 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000441998289 1072375595 /nfs/dbraw/zinc/37/55/95/1072375595.db2.gz GIGVTRKIOVRBQL-LBPRGKRZSA-N 0 0 425.463 -0.437 20 0 IBADRN CCS(=O)(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441998294 1072375730 /nfs/dbraw/zinc/37/57/30/1072375730.db2.gz GMOVFWBUUTZKTG-NSHDSACASA-N 0 0 442.881 -0.759 20 0 IBADRN CC(C)OCCOCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000441998458 1072375142 /nfs/dbraw/zinc/37/51/42/1072375142.db2.gz GXXMKPKKBVXQQX-KRWDZBQOSA-N 0 0 432.477 -0.264 20 0 IBADRN CC(C)OCCOCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000441998460 1072376095 /nfs/dbraw/zinc/37/60/95/1072376095.db2.gz GXXMKPKKBVXQQX-QGZVFWFLSA-N 0 0 432.477 -0.264 20 0 IBADRN O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441998461 1072376059 /nfs/dbraw/zinc/37/60/59/1072376059.db2.gz GXBQCXRTNMSAOQ-GFCCVEGCSA-N 0 0 448.842 -0.168 20 0 IBADRN O=C(Nc1ccnn1Cc1ccc(Cl)c(F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441998465 1072376064 /nfs/dbraw/zinc/37/60/64/1072376064.db2.gz GXBQCXRTNMSAOQ-LBPRGKRZSA-N 0 0 448.842 -0.168 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1C(=O)N1CCCC1 ZINC000441998629 1072376473 /nfs/dbraw/zinc/37/64/73/1072376473.db2.gz OHRWLGVOCRBPRB-AWEZNQCLSA-N 0 0 427.461 -0.661 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1C(=O)N1CCCC1 ZINC000441998630 1072376503 /nfs/dbraw/zinc/37/65/03/1072376503.db2.gz OHRWLGVOCRBPRB-CQSZACIVSA-N 0 0 427.461 -0.661 20 0 IBADRN O=C(Nc1c(F)cc(Br)cc1F)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441998727 1072376041 /nfs/dbraw/zinc/37/60/41/1072376041.db2.gz GYOLKECSYWZQQN-MRVPVSSYSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C(Nc1c(F)cc(Br)cc1F)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441998729 1072376054 /nfs/dbraw/zinc/37/60/54/1072376054.db2.gz GYOLKECSYWZQQN-QMMMGPOBSA-N 0 0 431.193 -0.165 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000441998807 1072375601 /nfs/dbraw/zinc/37/56/01/1072375601.db2.gz JSZLSARZIVYVFZ-UHFFFAOYSA-N 0 0 442.513 -0.356 20 0 IBADRN CCCc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cnn1-c1cc(C)ccn1 ZINC000441998829 1072375633 /nfs/dbraw/zinc/37/56/33/1072375633.db2.gz HMZOUXKGECEAAI-AWEZNQCLSA-N 0 0 439.476 -0.364 20 0 IBADRN CCCc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cnn1-c1cc(C)ccn1 ZINC000441998834 1072375580 /nfs/dbraw/zinc/37/55/80/1072375580.db2.gz HMZOUXKGECEAAI-CQSZACIVSA-N 0 0 439.476 -0.364 20 0 IBADRN Cn1ccnc1Sc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000441998847 1072375562 /nfs/dbraw/zinc/37/55/62/1072375562.db2.gz HGWLMUBBXFEFEI-CYBMUJFWSA-N 0 0 428.474 -0.321 20 0 IBADRN Cn1ccnc1Sc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000441998854 1072375715 /nfs/dbraw/zinc/37/57/15/1072375715.db2.gz HGWLMUBBXFEFEI-ZDUSSCGKSA-N 0 0 428.474 -0.321 20 0 IBADRN O=C(Nc1ccc(OC(F)F)c2ncccc12)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441998901 1072376158 /nfs/dbraw/zinc/37/61/58/1072376158.db2.gz FUTVZAQVVUFGMW-JTQLQIEISA-N 0 0 433.371 -0.056 20 0 IBADRN O=C(Nc1ccc(OC(F)F)c2ncccc12)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441998915 1072376002 /nfs/dbraw/zinc/37/60/02/1072376002.db2.gz FUTVZAQVVUFGMW-SNVBAGLBSA-N 0 0 433.371 -0.056 20 0 IBADRN CC1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)CC1 ZINC000441998925 1072376085 /nfs/dbraw/zinc/37/60/85/1072376085.db2.gz FWKMZGNABZJUCW-HNNXBMFYSA-N 0 0 441.488 -0.334 20 0 IBADRN CC1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)CC1 ZINC000441998928 1072376103 /nfs/dbraw/zinc/37/61/03/1072376103.db2.gz FWKMZGNABZJUCW-OAHLLOKOSA-N 0 0 441.488 -0.334 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(C)(C)C(=O)OC)cc1 ZINC000441999329 1072376117 /nfs/dbraw/zinc/37/61/17/1072376117.db2.gz IWFBXHSWGBTVLN-HNNXBMFYSA-N 0 0 432.477 -0.071 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(C)(C)C(=O)OC)cc1 ZINC000441999333 1072375996 /nfs/dbraw/zinc/37/59/96/1072375996.db2.gz IWFBXHSWGBTVLN-OAHLLOKOSA-N 0 0 432.477 -0.071 20 0 IBADRN O=C(Nc1cc(CC2CC2)nn1-c1ccccc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441999391 1072376028 /nfs/dbraw/zinc/37/60/28/1072376028.db2.gz IILBVZWVOVKFSQ-KRWDZBQOSA-N 0 0 436.472 -0.068 20 0 IBADRN O=C(Nc1cc(CC2CC2)nn1-c1ccccc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441999399 1072376017 /nfs/dbraw/zinc/37/60/17/1072376017.db2.gz IILBVZWVOVKFSQ-QGZVFWFLSA-N 0 0 436.472 -0.068 20 0 IBADRN CCOc1ccc(Br)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441999421 1072376010 /nfs/dbraw/zinc/37/60/10/1072376010.db2.gz LIYYISHZNNYXFX-LLVKDONJSA-N 0 0 439.266 -0.045 20 0 IBADRN CCOc1ccc(Br)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441999427 1072376110 /nfs/dbraw/zinc/37/61/10/1072376110.db2.gz LIYYISHZNNYXFX-NSHDSACASA-N 0 0 439.266 -0.045 20 0 IBADRN O=C(Nc1cccc(CN2CCCCC2=O)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441999437 1072376146 /nfs/dbraw/zinc/37/61/46/1072376146.db2.gz IZHYNDJCPVFPDM-INIZCTEOSA-N 0 0 427.461 -0.693 20 0 IBADRN O=C(Nc1cccc(CN2CCCCC2=O)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441999443 1072376048 /nfs/dbraw/zinc/37/60/48/1072376048.db2.gz IZHYNDJCPVFPDM-MRXNPFEDSA-N 0 0 427.461 -0.693 20 0 IBADRN CC(=O)N(Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1)C1CC1 ZINC000441999751 1072376420 /nfs/dbraw/zinc/37/64/20/1072376420.db2.gz IWWOREAJWGOYFA-KRWDZBQOSA-N 0 0 427.461 -0.695 20 0 IBADRN CC(=O)N(Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)C1CC1 ZINC000441999753 1072376437 /nfs/dbraw/zinc/37/64/37/1072376437.db2.gz IWWOREAJWGOYFA-QGZVFWFLSA-N 0 0 427.461 -0.695 20 0 IBADRN CC(C)n1nnnc1-c1ccccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441999785 1072376468 /nfs/dbraw/zinc/37/64/68/1072376468.db2.gz JAUOOHKWVOCPQB-GFCCVEGCSA-N 0 0 426.437 -0.971 20 0 IBADRN CC(C)n1nnnc1-c1ccccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441999795 1072376445 /nfs/dbraw/zinc/37/64/45/1072376445.db2.gz JAUOOHKWVOCPQB-LBPRGKRZSA-N 0 0 426.437 -0.971 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441999819 1072376465 /nfs/dbraw/zinc/37/64/65/1072376465.db2.gz JPQAKONDPWFPEG-KRWDZBQOSA-N 0 0 441.488 -0.190 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCCCCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441999824 1072376847 /nfs/dbraw/zinc/37/68/47/1072376847.db2.gz JPQAKONDPWFPEG-QGZVFWFLSA-N 0 0 441.488 -0.190 20 0 IBADRN CC(C)n1cnnc1-c1ccccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441999870 1072376517 /nfs/dbraw/zinc/37/65/17/1072376517.db2.gz JISKCOKWWMEWBQ-CYBMUJFWSA-N 0 0 425.449 -0.366 20 0 IBADRN CC(C)n1cnnc1-c1ccccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441999874 1072376449 /nfs/dbraw/zinc/37/64/49/1072376449.db2.gz JISKCOKWWMEWBQ-ZDUSSCGKSA-N 0 0 425.449 -0.366 20 0 IBADRN COC(=O)CCSc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000441999919 1072376527 /nfs/dbraw/zinc/37/65/27/1072376527.db2.gz JLKAAIWHTPMNDU-CYBMUJFWSA-N 0 0 434.474 -0.551 20 0 IBADRN COC(=O)CCSc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000441999922 1072376459 /nfs/dbraw/zinc/37/64/59/1072376459.db2.gz JLKAAIWHTPMNDU-ZDUSSCGKSA-N 0 0 434.474 -0.551 20 0 IBADRN Cc1cnn(Cc2ccccc2F)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000441999949 1072376522 /nfs/dbraw/zinc/37/65/22/1072376522.db2.gz JYIGLRRRYWNXLA-AWEZNQCLSA-N 0 0 428.424 -0.513 20 0 IBADRN Cc1cnn(Cc2ccccc2F)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000441999953 1072376488 /nfs/dbraw/zinc/37/64/88/1072376488.db2.gz JYIGLRRRYWNXLA-CQSZACIVSA-N 0 0 428.424 -0.513 20 0 IBADRN O=C(Nc1cccn(Cc2ccc(F)cc2)c1=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442000014 1072377029 /nfs/dbraw/zinc/37/70/29/1072377029.db2.gz JSOHRUPSRCNTGQ-HNNXBMFYSA-N 0 0 441.419 -0.857 20 0 IBADRN O=C(Nc1cccn(Cc2ccc(F)cc2)c1=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442000018 1072377071 /nfs/dbraw/zinc/37/70/71/1072377071.db2.gz JSOHRUPSRCNTGQ-OAHLLOKOSA-N 0 0 441.419 -0.857 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCCCC2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442000054 1072376975 /nfs/dbraw/zinc/37/69/75/1072376975.db2.gz KIZWAOSHKAMLDO-INIZCTEOSA-N 0 0 427.461 -0.580 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCCCC2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442000059 1072376967 /nfs/dbraw/zinc/37/69/67/1072376967.db2.gz KIZWAOSHKAMLDO-MRXNPFEDSA-N 0 0 427.461 -0.580 20 0 IBADRN O=C(CCc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1)N1CCCC1 ZINC000442000209 1072376429 /nfs/dbraw/zinc/37/64/29/1072376429.db2.gz MATSRBSFPFNYOY-KRWDZBQOSA-N 0 0 441.488 -0.651 20 0 IBADRN O=C(CCc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)N1CCCC1 ZINC000442000211 1072376493 /nfs/dbraw/zinc/37/64/93/1072376493.db2.gz MATSRBSFPFNYOY-QGZVFWFLSA-N 0 0 441.488 -0.651 20 0 IBADRN C[C@@H]1CN(c2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)C[C@@H](C)O1 ZINC000442000427 1072376410 /nfs/dbraw/zinc/37/64/10/1072376410.db2.gz KRGJYPFDFHGQRH-KFWWJZLASA-N 0 0 429.477 -0.592 20 0 IBADRN C[C@@H]1CN(c2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)C[C@H](C)O1 ZINC000442000432 1072376510 /nfs/dbraw/zinc/37/65/10/1072376510.db2.gz KRGJYPFDFHGQRH-QLFBSQMISA-N 0 0 429.477 -0.592 20 0 IBADRN C[C@@H]1CN(c2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)C[C@@H](C)O1 ZINC000442000435 1072376496 /nfs/dbraw/zinc/37/64/96/1072376496.db2.gz KRGJYPFDFHGQRH-RBSFLKMASA-N 0 0 429.477 -0.592 20 0 IBADRN C[C@@H]1CN(c2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)C[C@H](C)O1 ZINC000442000439 1072376540 /nfs/dbraw/zinc/37/65/40/1072376540.db2.gz KRGJYPFDFHGQRH-ZNMIVQPWSA-N 0 0 429.477 -0.592 20 0 IBADRN COc1cc(NC(=O)CNC(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)cc(OC)c1 ZINC000442000444 1072376533 /nfs/dbraw/zinc/37/65/33/1072376533.db2.gz NCAGMWCYHIKJMD-UHFFFAOYSA-N 0 0 444.448 -0.128 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(Br)c1 ZINC000442000573 1072376991 /nfs/dbraw/zinc/37/69/91/1072376991.db2.gz JJSFTTZBUMIEHS-SECBINFHSA-N 0 0 425.239 -0.435 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(Br)c1 ZINC000442000577 1072377017 /nfs/dbraw/zinc/37/70/17/1072377017.db2.gz JJSFTTZBUMIEHS-VIFPVBQESA-N 0 0 425.239 -0.435 20 0 IBADRN O=C(Nc1ccccc1C(=O)N1CCCCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442000608 1072377453 /nfs/dbraw/zinc/37/74/53/1072377453.db2.gz KSMRGXTYDAVUCT-AWEZNQCLSA-N 0 0 427.461 -0.580 20 0 IBADRN O=C(Nc1ccccc1C(=O)N1CCCCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442000612 1072377430 /nfs/dbraw/zinc/37/74/30/1072377430.db2.gz KSMRGXTYDAVUCT-CQSZACIVSA-N 0 0 427.461 -0.580 20 0 IBADRN CCOCCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442000752 1072376981 /nfs/dbraw/zinc/37/69/81/1072376981.db2.gz MQKAKAGAXNPUMO-CYBMUJFWSA-N 0 0 438.868 -0.137 20 0 IBADRN CCOCCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442000753 1072377081 /nfs/dbraw/zinc/37/70/81/1072377081.db2.gz MQKAKAGAXNPUMO-ZDUSSCGKSA-N 0 0 438.868 -0.137 20 0 IBADRN O=C(Nc1ccc(C(=O)NC2CCCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442000846 1072376875 /nfs/dbraw/zinc/37/68/75/1072376875.db2.gz RHIRPELQBSYVBS-INIZCTEOSA-N 0 0 427.461 -0.533 20 0 IBADRN O=C(Nc1ccc(C(=O)NC2CCCC2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442000847 1072377532 /nfs/dbraw/zinc/37/75/32/1072377532.db2.gz RHIRPELQBSYVBS-MRXNPFEDSA-N 0 0 427.461 -0.533 20 0 IBADRN CSc1cnn(-c2ccccc2)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442000942 1072377444 /nfs/dbraw/zinc/37/74/44/1072377444.db2.gz LCPUHMKKGVLZNG-CYBMUJFWSA-N 0 0 428.474 -0.298 20 0 IBADRN CSc1cnn(-c2ccccc2)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442000948 1072377465 /nfs/dbraw/zinc/37/74/65/1072377465.db2.gz LCPUHMKKGVLZNG-ZDUSSCGKSA-N 0 0 428.474 -0.298 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)C[C@@H](C)O1 ZINC000442001258 1072376943 /nfs/dbraw/zinc/37/69/43/1072376943.db2.gz MMBSCKYWEMIDSJ-BFYDXBDKSA-N 0 0 443.504 -0.597 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)C[C@H](C)O1 ZINC000442001263 1072376908 /nfs/dbraw/zinc/37/69/08/1072376908.db2.gz MMBSCKYWEMIDSJ-HLLBOEOZSA-N 0 0 443.504 -0.597 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)C[C@@H](C)O1 ZINC000442001265 1072376888 /nfs/dbraw/zinc/37/68/88/1072376888.db2.gz MMBSCKYWEMIDSJ-INMHGKMJSA-N 0 0 443.504 -0.597 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)C[C@H](C)O1 ZINC000442001267 1072377089 /nfs/dbraw/zinc/37/70/89/1072377089.db2.gz MMBSCKYWEMIDSJ-UXLLHSPISA-N 0 0 443.504 -0.597 20 0 IBADRN Cc1cc(C(=O)NCC(C)C)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442001311 1072377038 /nfs/dbraw/zinc/37/70/38/1072377038.db2.gz NAZZHKWXTCMXLO-HNNXBMFYSA-N 0 0 429.477 -0.511 20 0 IBADRN Cc1cc(C(=O)NCC(C)C)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442001312 1072377002 /nfs/dbraw/zinc/37/70/02/1072377002.db2.gz NAZZHKWXTCMXLO-OAHLLOKOSA-N 0 0 429.477 -0.511 20 0 IBADRN COC(=O)c1c(CC(C)C)csc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442001433 1072377011 /nfs/dbraw/zinc/37/70/11/1072377011.db2.gz NWQCGGSBPCNKOO-GFCCVEGCSA-N 0 0 436.490 -0.159 20 0 IBADRN COC(=O)c1c(CC(C)C)csc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442001435 1072376861 /nfs/dbraw/zinc/37/68/61/1072376861.db2.gz NWQCGGSBPCNKOO-LBPRGKRZSA-N 0 0 436.490 -0.159 20 0 IBADRN CC(C)S(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000442001547 1072377510 /nfs/dbraw/zinc/37/75/10/1072377510.db2.gz PGCDIKXBMCFECB-HNNXBMFYSA-N 0 0 436.490 -0.883 20 0 IBADRN CC(C)S(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000442001552 1072377459 /nfs/dbraw/zinc/37/74/59/1072377459.db2.gz PGCDIKXBMCFECB-OAHLLOKOSA-N 0 0 436.490 -0.883 20 0 IBADRN CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000442001620 1072377920 /nfs/dbraw/zinc/37/79/20/1072377920.db2.gz LAKPJMQEZAGKEA-CYBMUJFWSA-N 0 0 449.895 -0.072 20 0 IBADRN CC(C)N(C)C(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000442001633 1072377821 /nfs/dbraw/zinc/37/78/21/1072377821.db2.gz LAKPJMQEZAGKEA-ZDUSSCGKSA-N 0 0 449.895 -0.072 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)nn1 ZINC000442001825 1072377420 /nfs/dbraw/zinc/37/74/20/1072377420.db2.gz NOEPZOPSQPWXOQ-CYBMUJFWSA-N 0 0 439.498 -0.492 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)nn1 ZINC000442001833 1072378095 /nfs/dbraw/zinc/37/80/95/1072378095.db2.gz NOEPZOPSQPWXOQ-ZDUSSCGKSA-N 0 0 439.498 -0.492 20 0 IBADRN COC(=O)c1ccc(C(F)(F)F)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442001874 1072377536 /nfs/dbraw/zinc/37/75/36/1072377536.db2.gz PTTKYKZLJIQSCX-JTQLQIEISA-N 0 0 442.350 -0.400 20 0 IBADRN COC(=O)c1ccc(C(F)(F)F)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442001876 1072377427 /nfs/dbraw/zinc/37/74/27/1072377427.db2.gz PTTKYKZLJIQSCX-SNVBAGLBSA-N 0 0 442.350 -0.400 20 0 IBADRN Cc1noc(COc2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2)n1 ZINC000442002012 1072377561 /nfs/dbraw/zinc/37/75/61/1072377561.db2.gz QBTCDPHMOIGBSD-CYBMUJFWSA-N 0 0 428.405 -0.935 20 0 IBADRN Cc1noc(COc2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2)n1 ZINC000442002016 1072377523 /nfs/dbraw/zinc/37/75/23/1072377523.db2.gz QBTCDPHMOIGBSD-ZDUSSCGKSA-N 0 0 428.405 -0.935 20 0 IBADRN CCc1cc(=O)[nH]c(-c2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)c2)n1 ZINC000442002226 1072377471 /nfs/dbraw/zinc/37/74/71/1072377471.db2.gz MIUFJPRGYBULTH-HNNXBMFYSA-N 0 0 438.444 -0.481 20 0 IBADRN CCc1cc(=O)[nH]c(-c2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)c2)n1 ZINC000442002231 1072377542 /nfs/dbraw/zinc/37/75/42/1072377542.db2.gz MIUFJPRGYBULTH-OAHLLOKOSA-N 0 0 438.444 -0.481 20 0 IBADRN Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442002398 1072377498 /nfs/dbraw/zinc/37/74/98/1072377498.db2.gz PBQFVPXBQKTWLS-INIZCTEOSA-N 0 0 441.488 -0.225 20 0 IBADRN Cc1cc(C(=O)NC2CCCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442002399 1072377555 /nfs/dbraw/zinc/37/75/55/1072377555.db2.gz PBQFVPXBQKTWLS-MRXNPFEDSA-N 0 0 441.488 -0.225 20 0 IBADRN O=C(Nc1cc(Cl)ccc1C(=O)N1CCCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442002412 1072377485 /nfs/dbraw/zinc/37/74/85/1072377485.db2.gz SSXODXQOLPJGRA-CYBMUJFWSA-N 0 0 447.879 -0.316 20 0 IBADRN O=C(Nc1cc(Cl)ccc1C(=O)N1CCCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442002413 1072377503 /nfs/dbraw/zinc/37/75/03/1072377503.db2.gz SSXODXQOLPJGRA-ZDUSSCGKSA-N 0 0 447.879 -0.316 20 0 IBADRN COc1cc(Br)cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000442002528 1072382811 /nfs/dbraw/zinc/38/28/11/1072382811.db2.gz NYSRWDHYNTVXOH-LLVKDONJSA-N 0 0 425.239 -0.435 20 0 IBADRN COc1cc(Br)cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000442002530 1072382683 /nfs/dbraw/zinc/38/26/83/1072382683.db2.gz NYSRWDHYNTVXOH-NSHDSACASA-N 0 0 425.239 -0.435 20 0 IBADRN O=C(Nc1ccccc1OC[C@@H]1CCCCO1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003062 1072378061 /nfs/dbraw/zinc/37/80/61/1072378061.db2.gz VFWIZZLGFDZVJV-CABCVRRESA-N 0 0 430.461 -0.258 20 0 IBADRN O=C(Nc1ccccc1OC[C@@H]1CCCCO1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003063 1072377895 /nfs/dbraw/zinc/37/78/95/1072377895.db2.gz VFWIZZLGFDZVJV-GJZGRUSLSA-N 0 0 430.461 -0.258 20 0 IBADRN O=C(Nc1ccccc1OC[C@H]1CCCCO1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003064 1072377905 /nfs/dbraw/zinc/37/79/05/1072377905.db2.gz VFWIZZLGFDZVJV-HUUCEWRRSA-N 0 0 430.461 -0.258 20 0 IBADRN O=C(Nc1ccccc1OC[C@H]1CCCCO1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003065 1072378070 /nfs/dbraw/zinc/37/80/70/1072378070.db2.gz VFWIZZLGFDZVJV-LSDHHAIUSA-N 0 0 430.461 -0.258 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)cc1-n1cnnn1 ZINC000442003091 1072378049 /nfs/dbraw/zinc/37/80/49/1072378049.db2.gz VOMRHAKVIUIWLL-UHFFFAOYSA-N 0 0 438.448 -0.178 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1OCC(F)(F)F ZINC000442003094 1072378043 /nfs/dbraw/zinc/37/80/43/1072378043.db2.gz VPMVAZAIWFASQP-LLVKDONJSA-N 0 0 444.366 -0.256 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1OCC(F)(F)F ZINC000442003095 1072377837 /nfs/dbraw/zinc/37/78/37/1072377837.db2.gz VPMVAZAIWFASQP-NSHDSACASA-N 0 0 444.366 -0.256 20 0 IBADRN O=C(Nc1ccnc(Sc2ccccn2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003156 1072380246 /nfs/dbraw/zinc/38/02/46/1072380246.db2.gz OZZQGCCSOSQPED-CYBMUJFWSA-N 0 0 426.458 -0.265 20 0 IBADRN O=C(Nc1ccnc(Sc2ccccn2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003158 1072380180 /nfs/dbraw/zinc/38/01/80/1072380180.db2.gz OZZQGCCSOSQPED-ZDUSSCGKSA-N 0 0 426.458 -0.265 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1NC(=O)c1ccco1 ZINC000442003255 1072377912 /nfs/dbraw/zinc/37/79/12/1072377912.db2.gz QCONATBZBKIOPT-AWEZNQCLSA-N 0 0 439.428 -0.052 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1NC(=O)c1ccco1 ZINC000442003266 1072378010 /nfs/dbraw/zinc/37/80/10/1072378010.db2.gz QCONATBZBKIOPT-CQSZACIVSA-N 0 0 439.428 -0.052 20 0 IBADRN CCOC(=O)c1c(C2CC2)csc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003623 1072383273 /nfs/dbraw/zinc/38/32/73/1072383273.db2.gz QOTHGSLIGLARPL-LLVKDONJSA-N 0 0 434.474 -0.090 20 0 IBADRN CCOC(=O)c1c(C2CC2)csc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003625 1072383226 /nfs/dbraw/zinc/38/32/26/1072383226.db2.gz QOTHGSLIGLARPL-NSHDSACASA-N 0 0 434.474 -0.090 20 0 IBADRN O=C(Nc1ccc(OCCc2ccccc2)nc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003629 1072383376 /nfs/dbraw/zinc/38/33/76/1072383376.db2.gz QPEXDWMPOAEIFM-KRWDZBQOSA-N 0 0 437.456 -0.189 20 0 IBADRN O=C(Nc1ccc(OCCc2ccccc2)nc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003633 1072383314 /nfs/dbraw/zinc/38/33/14/1072383314.db2.gz QPEXDWMPOAEIFM-QGZVFWFLSA-N 0 0 437.456 -0.189 20 0 IBADRN CCOC(=O)c1c(CC)c(C)sc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003662 1072383284 /nfs/dbraw/zinc/38/32/84/1072383284.db2.gz QUKLVTNMRPHCST-LLVKDONJSA-N 0 0 436.490 -0.097 20 0 IBADRN CCOC(=O)c1c(CC)c(C)sc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003667 1072383309 /nfs/dbraw/zinc/38/33/09/1072383309.db2.gz QUKLVTNMRPHCST-NSHDSACASA-N 0 0 436.490 -0.097 20 0 IBADRN O=C(Nc1cccc(I)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003671 1072383254 /nfs/dbraw/zinc/38/32/54/1072383254.db2.gz WOAJWDREKJXDCC-LLVKDONJSA-N 0 0 442.213 -0.601 20 0 IBADRN O=C(Nc1cccc(I)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003674 1072383380 /nfs/dbraw/zinc/38/33/80/1072383380.db2.gz WOAJWDREKJXDCC-NSHDSACASA-N 0 0 442.213 -0.601 20 0 IBADRN COC(=O)CCSCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000442003712 1072383237 /nfs/dbraw/zinc/38/32/37/1072383237.db2.gz TUYPZIXIPLCCIX-HNNXBMFYSA-N 0 0 448.501 -0.409 20 0 IBADRN COC(=O)CCSCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000442003718 1072383289 /nfs/dbraw/zinc/38/32/89/1072383289.db2.gz TUYPZIXIPLCCIX-OAHLLOKOSA-N 0 0 448.501 -0.409 20 0 IBADRN O=C(Nc1ccccc1I)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003723 1072383246 /nfs/dbraw/zinc/38/32/46/1072383246.db2.gz PQGGUJQCCXTWQY-SECBINFHSA-N 0 0 442.213 -0.601 20 0 IBADRN O=C(Nc1ccccc1I)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003727 1072383278 /nfs/dbraw/zinc/38/32/78/1072383278.db2.gz PQGGUJQCCXTWQY-VIFPVBQESA-N 0 0 442.213 -0.601 20 0 IBADRN O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442003823 1072383215 /nfs/dbraw/zinc/38/32/15/1072383215.db2.gz QABLUNBHWPGDJA-GFCCVEGCSA-N 0 0 442.407 -0.139 20 0 IBADRN O=C(Nc1ccc(F)cc1-c1nc(C2CC2)no1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442003826 1072383261 /nfs/dbraw/zinc/38/32/61/1072383261.db2.gz QABLUNBHWPGDJA-LBPRGKRZSA-N 0 0 442.407 -0.139 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1F ZINC000442004221 1072383297 /nfs/dbraw/zinc/38/32/97/1072383297.db2.gz QJXOWMNOIRHZIX-LLVKDONJSA-N 0 0 443.453 -0.297 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1F ZINC000442004225 1072383321 /nfs/dbraw/zinc/38/33/21/1072383321.db2.gz QJXOWMNOIRHZIX-NSHDSACASA-N 0 0 443.453 -0.297 20 0 IBADRN CC(C)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1Cl ZINC000442004399 1072382817 /nfs/dbraw/zinc/38/28/17/1072382817.db2.gz SFYLBEQNKJRBOD-CYBMUJFWSA-N 0 0 449.895 -0.167 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(C(F)(F)F)c1 ZINC000442004400 1072382726 /nfs/dbraw/zinc/38/27/26/1072382726.db2.gz XHZKARSSFIUATD-LLVKDONJSA-N 0 0 441.366 -0.229 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(C(F)(F)F)c1 ZINC000442004407 1072382760 /nfs/dbraw/zinc/38/27/60/1072382760.db2.gz XHZKARSSFIUATD-NSHDSACASA-N 0 0 441.366 -0.229 20 0 IBADRN CC(C)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1Cl ZINC000442004410 1072382822 /nfs/dbraw/zinc/38/28/22/1072382822.db2.gz SFYLBEQNKJRBOD-ZDUSSCGKSA-N 0 0 449.895 -0.167 20 0 IBADRN C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000442004539 1072384337 /nfs/dbraw/zinc/38/43/37/1072384337.db2.gz RNXRUSPTZCJBSX-PBHICJAKSA-N 0 0 441.488 -0.191 20 0 IBADRN C[C@@H]1CCCCN1C(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000442004545 1072384407 /nfs/dbraw/zinc/38/44/07/1072384407.db2.gz RNXRUSPTZCJBSX-RHSMWYFYSA-N 0 0 441.488 -0.191 20 0 IBADRN C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000442004548 1072384359 /nfs/dbraw/zinc/38/43/59/1072384359.db2.gz RNXRUSPTZCJBSX-WMLDXEAASA-N 0 0 441.488 -0.191 20 0 IBADRN C[C@H]1CCCCN1C(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000442004551 1072384394 /nfs/dbraw/zinc/38/43/94/1072384394.db2.gz RNXRUSPTZCJBSX-YOEHRIQHSA-N 0 0 441.488 -0.191 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(Cc2nccn2C)CC1 ZINC000442004563 1072384246 /nfs/dbraw/zinc/38/42/46/1072384246.db2.gz QJCSIAFLRJVFIO-UHFFFAOYSA-N 0 0 435.496 -0.058 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1N1CCCCC1=O ZINC000442004677 1072384455 /nfs/dbraw/zinc/38/44/55/1072384455.db2.gz SVUAAIJIQRDGLP-AWEZNQCLSA-N 0 0 443.460 -0.680 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1N1CCCCC1=O ZINC000442004678 1072384442 /nfs/dbraw/zinc/38/44/42/1072384442.db2.gz SVUAAIJIQRDGLP-CQSZACIVSA-N 0 0 443.460 -0.680 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)nn1-c1cccc(Cl)c1 ZINC000442004833 1072383749 /nfs/dbraw/zinc/38/37/49/1072383749.db2.gz WCYMTDVTGPXMIN-AWEZNQCLSA-N 0 0 430.852 -0.058 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)nn1-c1cccc(Cl)c1 ZINC000442004835 1072383976 /nfs/dbraw/zinc/38/39/76/1072383976.db2.gz WCYMTDVTGPXMIN-CQSZACIVSA-N 0 0 430.852 -0.058 20 0 IBADRN CC(=O)N(Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1)C(C)C ZINC000442004861 1072383946 /nfs/dbraw/zinc/38/39/46/1072383946.db2.gz QVXUCBFCRYRILY-KRWDZBQOSA-N 0 0 429.477 -0.449 20 0 IBADRN CC(=O)N(Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)C(C)C ZINC000442004870 1072383903 /nfs/dbraw/zinc/38/39/03/1072383903.db2.gz QVXUCBFCRYRILY-QGZVFWFLSA-N 0 0 429.477 -0.449 20 0 IBADRN O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442005050 1072383812 /nfs/dbraw/zinc/38/38/12/1072383812.db2.gz WRJABVDEWGHESF-SECBINFHSA-N 0 0 425.323 -0.046 20 0 IBADRN O=C(Nc1ccc2oc(C(F)(F)F)nc2c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442005063 1072383882 /nfs/dbraw/zinc/38/38/82/1072383882.db2.gz WRJABVDEWGHESF-VIFPVBQESA-N 0 0 425.323 -0.046 20 0 IBADRN O=C(Nc1cc(N2CCCC2=O)ccc1Cl)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442005111 1072383700 /nfs/dbraw/zinc/38/37/00/1072383700.db2.gz YCPWGLASRWIDJJ-GFCCVEGCSA-N 0 0 433.852 -0.426 20 0 IBADRN O=C(Nc1cc(N2CCCC2=O)ccc1Cl)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442005118 1072383893 /nfs/dbraw/zinc/38/38/93/1072383893.db2.gz YCPWGLASRWIDJJ-LBPRGKRZSA-N 0 0 433.852 -0.426 20 0 IBADRN C[C@H](c1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1)N1CCOCC1 ZINC000442005139 1072383955 /nfs/dbraw/zinc/38/39/55/1072383955.db2.gz YEJJLBRVNQWWSO-PBHICJAKSA-N 0 0 429.477 -0.813 20 0 IBADRN C[C@H](c1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1)N1CCOCC1 ZINC000442005145 1072383767 /nfs/dbraw/zinc/38/37/67/1072383767.db2.gz YEJJLBRVNQWWSO-RHSMWYFYSA-N 0 0 429.477 -0.813 20 0 IBADRN C[C@@H](c1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1)N1CCOCC1 ZINC000442005151 1072383917 /nfs/dbraw/zinc/38/39/17/1072383917.db2.gz YEJJLBRVNQWWSO-WMLDXEAASA-N 0 0 429.477 -0.813 20 0 IBADRN C[C@@H](c1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1)N1CCOCC1 ZINC000442005157 1072383716 /nfs/dbraw/zinc/38/37/16/1072383716.db2.gz YEJJLBRVNQWWSO-YOEHRIQHSA-N 0 0 429.477 -0.813 20 0 IBADRN Cc1cc(N2CCSCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442005221 1072383790 /nfs/dbraw/zinc/38/37/90/1072383790.db2.gz SBRJAASBYQAXHC-HNNXBMFYSA-N 0 0 431.518 -0.344 20 0 IBADRN Cc1cc(N2CCSCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442005222 1072383936 /nfs/dbraw/zinc/38/39/36/1072383936.db2.gz SBRJAASBYQAXHC-OAHLLOKOSA-N 0 0 431.518 -0.344 20 0 IBADRN O=C(Nc1cc(F)ccc1OC[C@@H]1CCCO1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442005354 1072383800 /nfs/dbraw/zinc/38/38/00/1072383800.db2.gz ACAUASCJOVPTMC-KBPBESRZSA-N 0 0 434.424 -0.509 20 0 IBADRN O=C(Nc1cc(F)ccc1OC[C@@H]1CCCO1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442005356 1072383827 /nfs/dbraw/zinc/38/38/27/1072383827.db2.gz ACAUASCJOVPTMC-KGLIPLIRSA-N 0 0 434.424 -0.509 20 0 IBADRN O=C(Nc1cc(F)ccc1OC[C@H]1CCCO1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442005359 1072383869 /nfs/dbraw/zinc/38/38/69/1072383869.db2.gz ACAUASCJOVPTMC-UONOGXRCSA-N 0 0 434.424 -0.509 20 0 IBADRN O=C(Nc1cc(F)ccc1OC[C@H]1CCCO1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442005364 1072383736 /nfs/dbraw/zinc/38/37/36/1072383736.db2.gz ACAUASCJOVPTMC-ZIAGYGMSSA-N 0 0 434.424 -0.509 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1OCC1CCOCC1 ZINC000442005470 1072384808 /nfs/dbraw/zinc/38/48/08/1072384808.db2.gz SDLXRCAAWPXAKJ-KRWDZBQOSA-N 0 0 444.488 -0.092 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1OCC1CCOCC1 ZINC000442005476 1072384883 /nfs/dbraw/zinc/38/48/83/1072384883.db2.gz SDLXRCAAWPXAKJ-QGZVFWFLSA-N 0 0 444.488 -0.092 20 0 IBADRN O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442005631 1072384774 /nfs/dbraw/zinc/38/47/74/1072384774.db2.gz VFNSOWXPBKJSCO-KRWDZBQOSA-N 0 0 436.472 -0.006 20 0 IBADRN O=C(Nc1ccc(-c2ncc3n2CCCC3)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442005637 1072384737 /nfs/dbraw/zinc/38/47/37/1072384737.db2.gz VFNSOWXPBKJSCO-QGZVFWFLSA-N 0 0 436.472 -0.006 20 0 IBADRN Cc1cc(C(=O)N2CCCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442005854 1072384325 /nfs/dbraw/zinc/38/43/25/1072384325.db2.gz SPTVHYQSQMOHOI-HNNXBMFYSA-N 0 0 427.461 -0.661 20 0 IBADRN Cc1cc(C(=O)N2CCCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442005855 1072384312 /nfs/dbraw/zinc/38/43/12/1072384312.db2.gz SPTVHYQSQMOHOI-OAHLLOKOSA-N 0 0 427.461 -0.661 20 0 IBADRN O=C(Nc1cc(F)ccc1OCC(F)(F)F)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442005869 1072384370 /nfs/dbraw/zinc/38/43/70/1072384370.db2.gz VQQPVQFLMHHODA-JTQLQIEISA-N 0 0 432.330 -0.126 20 0 IBADRN O=C(Nc1cc(F)ccc1OCC(F)(F)F)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442005870 1072384278 /nfs/dbraw/zinc/38/42/78/1072384278.db2.gz VQQPVQFLMHHODA-SNVBAGLBSA-N 0 0 432.330 -0.126 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)cc1 ZINC000442005906 1072384287 /nfs/dbraw/zinc/38/42/87/1072384287.db2.gz SSNDRVGJAUYBBK-GFCCVEGCSA-N 0 0 432.433 -0.428 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)cc1 ZINC000442005907 1072384348 /nfs/dbraw/zinc/38/43/48/1072384348.db2.gz SSNDRVGJAUYBBK-LBPRGKRZSA-N 0 0 432.433 -0.428 20 0 IBADRN COc1cccc(-c2cc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)n(C)n2)c1 ZINC000442006414 1072384254 /nfs/dbraw/zinc/38/42/54/1072384254.db2.gz UFAYXWBADXJHRO-CYBMUJFWSA-N 0 0 426.433 -0.797 20 0 IBADRN COc1cccc(-c2cc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)n(C)n2)c1 ZINC000442006418 1072384239 /nfs/dbraw/zinc/38/42/39/1072384239.db2.gz UFAYXWBADXJHRO-ZDUSSCGKSA-N 0 0 426.433 -0.797 20 0 IBADRN O=C(Nc1ccc(Cl)cc1C(=O)N1CCCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442006610 1072384751 /nfs/dbraw/zinc/38/47/51/1072384751.db2.gz VBDQZDHLRLUMEE-CYBMUJFWSA-N 0 0 447.879 -0.316 20 0 IBADRN O=C(Nc1ccc(Cl)cc1C(=O)N1CCCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442006613 1072384864 /nfs/dbraw/zinc/38/48/64/1072384864.db2.gz VBDQZDHLRLUMEE-ZDUSSCGKSA-N 0 0 447.879 -0.316 20 0 IBADRN O=C(Nc1ccc(Cl)c(C(=O)NC2CC2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442007047 1072384797 /nfs/dbraw/zinc/38/47/97/1072384797.db2.gz VCBPPDZVJHDFCA-GFCCVEGCSA-N 0 0 433.852 -0.660 20 0 IBADRN O=C(Nc1ccc(Cl)c(C(=O)NC2CC2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442007050 1072384831 /nfs/dbraw/zinc/38/48/31/1072384831.db2.gz VCBPPDZVJHDFCA-LBPRGKRZSA-N 0 0 433.852 -0.660 20 0 IBADRN COc1cc(Br)cc(C)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442007426 1072384819 /nfs/dbraw/zinc/38/48/19/1072384819.db2.gz VNTVLWNZKXAVLI-LLVKDONJSA-N 0 0 439.266 -0.126 20 0 IBADRN COc1cc(Br)cc(C)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442007428 1072384890 /nfs/dbraw/zinc/38/48/90/1072384890.db2.gz VNTVLWNZKXAVLI-NSHDSACASA-N 0 0 439.266 -0.126 20 0 IBADRN CC(C)S(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000442007884 1072385282 /nfs/dbraw/zinc/38/52/82/1072385282.db2.gz WOGJAJFQBWWVER-HNNXBMFYSA-N 0 0 436.490 -0.883 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1Br ZINC000442007963 1072385352 /nfs/dbraw/zinc/38/53/52/1072385352.db2.gz DRYHWDGZFYEPNJ-JTQLQIEISA-N 0 0 425.239 -0.435 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1Br ZINC000442007965 1072385186 /nfs/dbraw/zinc/38/51/86/1072385186.db2.gz DRYHWDGZFYEPNJ-SNVBAGLBSA-N 0 0 425.239 -0.435 20 0 IBADRN O=C(Nc1cccc2c1CN(c1ccccn1)C2)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442007991 1072385231 /nfs/dbraw/zinc/38/52/31/1072385231.db2.gz WHVMEPOTHIYSQB-HNNXBMFYSA-N 0 0 434.456 -0.291 20 0 IBADRN O=C(Nc1cccc2c1CN(c1ccccn1)C2)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442007995 1072385309 /nfs/dbraw/zinc/38/53/09/1072385309.db2.gz WHVMEPOTHIYSQB-OAHLLOKOSA-N 0 0 434.456 -0.291 20 0 IBADRN CC(C)S(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000442008072 1072385203 /nfs/dbraw/zinc/38/52/03/1072385203.db2.gz WOGJAJFQBWWVER-OAHLLOKOSA-N 0 0 436.490 -0.883 20 0 IBADRN O=C(Nc1ccc(OC(F)F)c2cccnc12)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442008111 1072385217 /nfs/dbraw/zinc/38/52/17/1072385217.db2.gz YNFFTEOOYLACKB-JTQLQIEISA-N 0 0 433.371 -0.056 20 0 IBADRN O=C(Nc1ccc(OC(F)F)c2cccnc12)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442008115 1072385279 /nfs/dbraw/zinc/38/52/79/1072385279.db2.gz YNFFTEOOYLACKB-SNVBAGLBSA-N 0 0 433.371 -0.056 20 0 IBADRN O=C(Nc1cccc(C(=O)NCc2ccccc2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442008166 1072384824 /nfs/dbraw/zinc/38/48/24/1072384824.db2.gz BYCKXODGWGBCAY-GOSISDBHSA-N 0 0 449.467 -0.276 20 0 IBADRN O=C(Nc1cccc(C(=O)NCc2ccccc2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442008174 1072384851 /nfs/dbraw/zinc/38/48/51/1072384851.db2.gz BYCKXODGWGBCAY-SFHVURJKSA-N 0 0 449.467 -0.276 20 0 IBADRN O=C(Nc1ccc(NC(=O)c2ccccc2)nc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442008205 1072385299 /nfs/dbraw/zinc/38/52/99/1072385299.db2.gz CAYNBIYATHKAJT-HNNXBMFYSA-N 0 0 436.428 -0.558 20 0 IBADRN O=C(Nc1ccc(NC(=O)c2ccccc2)nc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442008209 1072385258 /nfs/dbraw/zinc/38/52/58/1072385258.db2.gz CAYNBIYATHKAJT-OAHLLOKOSA-N 0 0 436.428 -0.558 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000442008274 1072385248 /nfs/dbraw/zinc/38/52/48/1072385248.db2.gz CHISAIQWJNXEET-GFCCVEGCSA-N 0 0 444.485 -0.374 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000442008281 1072385193 /nfs/dbraw/zinc/38/51/93/1072385193.db2.gz CHISAIQWJNXEET-LBPRGKRZSA-N 0 0 444.485 -0.374 20 0 IBADRN Cc1cc(C)n(-c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2F)n1 ZINC000442008398 1072384843 /nfs/dbraw/zinc/38/48/43/1072384843.db2.gz WQCRPJNYWRFNMV-AWEZNQCLSA-N 0 0 428.424 -0.264 20 0 IBADRN Cc1cc(C)n(-c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2F)n1 ZINC000442008399 1072384898 /nfs/dbraw/zinc/38/48/98/1072384898.db2.gz WQCRPJNYWRFNMV-CQSZACIVSA-N 0 0 428.424 -0.264 20 0 IBADRN COc1ccc(Oc2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cn2)cc1 ZINC000442008433 1072384858 /nfs/dbraw/zinc/38/48/58/1072384858.db2.gz WZRJJYHDKZJZJE-AWEZNQCLSA-N 0 0 439.428 -0.010 20 0 IBADRN COc1ccc(Oc2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cn2)cc1 ZINC000442008434 1072384874 /nfs/dbraw/zinc/38/48/74/1072384874.db2.gz WZRJJYHDKZJZJE-CQSZACIVSA-N 0 0 439.428 -0.010 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NCC(=O)N3CCC[C@H]3C(=O)NC)cc21 ZINC000442008849 1072385324 /nfs/dbraw/zinc/38/53/24/1072385324.db2.gz XZUIYSPDHLRCIN-HNNXBMFYSA-N 0 0 442.476 -0.821 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NCC(=O)N3CCC[C@@H]3C(=O)NC)cc21 ZINC000442008853 1072385332 /nfs/dbraw/zinc/38/53/32/1072385332.db2.gz XZUIYSPDHLRCIN-OAHLLOKOSA-N 0 0 442.476 -0.821 20 0 IBADRN CC1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2)CC1 ZINC000442008894 1072385344 /nfs/dbraw/zinc/38/53/44/1072385344.db2.gz YEJLKTSNIBRIJP-KRWDZBQOSA-N 0 0 441.488 -0.334 20 0 IBADRN CC1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2)CC1 ZINC000442008896 1072385339 /nfs/dbraw/zinc/38/53/39/1072385339.db2.gz YEJLKTSNIBRIJP-QGZVFWFLSA-N 0 0 441.488 -0.334 20 0 IBADRN CC[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(C)c1 ZINC000442009008 1072385225 /nfs/dbraw/zinc/38/52/25/1072385225.db2.gz GCBSWTZQCHUPHD-DZGCQCFKSA-N 0 0 429.477 -0.369 20 0 IBADRN CC[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(C)c1 ZINC000442009011 1072385208 /nfs/dbraw/zinc/38/52/08/1072385208.db2.gz GCBSWTZQCHUPHD-HIFRSBDPSA-N 0 0 429.477 -0.369 20 0 IBADRN CC[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(C)c1 ZINC000442009012 1072385291 /nfs/dbraw/zinc/38/52/91/1072385291.db2.gz GCBSWTZQCHUPHD-UKRRQHHQSA-N 0 0 429.477 -0.369 20 0 IBADRN CC[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(C)c1 ZINC000442009014 1072385267 /nfs/dbraw/zinc/38/52/67/1072385267.db2.gz GCBSWTZQCHUPHD-ZFWWWQNUSA-N 0 0 429.477 -0.369 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(OC[C@@H]2CCCO2)c1 ZINC000442009524 1072385826 /nfs/dbraw/zinc/38/58/26/1072385826.db2.gz GWCZLDYKBJGYJE-CABCVRRESA-N 0 0 430.461 -0.339 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(OC[C@@H]2CCCO2)c1 ZINC000442009527 1072385751 /nfs/dbraw/zinc/38/57/51/1072385751.db2.gz GWCZLDYKBJGYJE-GJZGRUSLSA-N 0 0 430.461 -0.339 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(OC[C@H]2CCCO2)c1 ZINC000442009529 1072385810 /nfs/dbraw/zinc/38/58/10/1072385810.db2.gz GWCZLDYKBJGYJE-HUUCEWRRSA-N 0 0 430.461 -0.339 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(OC[C@H]2CCCO2)c1 ZINC000442009531 1072385694 /nfs/dbraw/zinc/38/56/94/1072385694.db2.gz GWCZLDYKBJGYJE-LSDHHAIUSA-N 0 0 430.461 -0.339 20 0 IBADRN COc1cc(C)c(Br)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442009558 1072385684 /nfs/dbraw/zinc/38/56/84/1072385684.db2.gz FNVJFBOYHBKMKK-JTQLQIEISA-N 0 0 439.266 -0.126 20 0 IBADRN COc1cc(C)c(Br)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442009560 1072385745 /nfs/dbraw/zinc/38/57/45/1072385745.db2.gz FNVJFBOYHBKMKK-SNVBAGLBSA-N 0 0 439.266 -0.126 20 0 IBADRN Cc1ccc(Cn2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)c2=O)cc1 ZINC000442009688 1072385712 /nfs/dbraw/zinc/38/57/12/1072385712.db2.gz YRSNKAZWJFEDRR-INIZCTEOSA-N 0 0 437.456 -0.687 20 0 IBADRN Cc1ccc(Cn2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)c2=O)cc1 ZINC000442009690 1072385656 /nfs/dbraw/zinc/38/56/56/1072385656.db2.gz YRSNKAZWJFEDRR-MRXNPFEDSA-N 0 0 437.456 -0.687 20 0 IBADRN CC(C)(C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(F)c1 ZINC000442009702 1072386311 /nfs/dbraw/zinc/38/63/11/1072386311.db2.gz YSXUFVNXRKNTSN-GFCCVEGCSA-N 0 0 434.424 -0.111 20 0 IBADRN CC(C)(C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(F)c1 ZINC000442009703 1072386150 /nfs/dbraw/zinc/38/61/50/1072386150.db2.gz YSXUFVNXRKNTSN-LBPRGKRZSA-N 0 0 434.424 -0.111 20 0 IBADRN CC(C)NC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000442009833 1072385641 /nfs/dbraw/zinc/38/56/41/1072385641.db2.gz ZEHPTRUYPYLPNY-GFCCVEGCSA-N 0 0 435.868 -0.414 20 0 IBADRN CC(C)NC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000442009834 1072385832 /nfs/dbraw/zinc/38/58/32/1072385832.db2.gz ZEHPTRUYPYLPNY-LBPRGKRZSA-N 0 0 435.868 -0.414 20 0 IBADRN CCOCCOc1c(Cl)cccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442009839 1072385762 /nfs/dbraw/zinc/38/57/62/1072385762.db2.gz ZGQZPEJKSMSGAC-GFCCVEGCSA-N 0 0 438.868 -0.137 20 0 IBADRN CCOCCOc1c(Cl)cccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442009840 1072385733 /nfs/dbraw/zinc/38/57/33/1072385733.db2.gz ZGQZPEJKSMSGAC-LBPRGKRZSA-N 0 0 438.868 -0.137 20 0 IBADRN Cc1cnn(Cc2cc(F)ccc2F)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442009991 1072385665 /nfs/dbraw/zinc/38/56/65/1072385665.db2.gz GEPQDROTYNNZOK-AWEZNQCLSA-N 0 0 446.414 -0.374 20 0 IBADRN Cc1cnn(Cc2cc(F)ccc2F)c1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442009993 1072385675 /nfs/dbraw/zinc/38/56/75/1072385675.db2.gz GEPQDROTYNNZOK-CQSZACIVSA-N 0 0 446.414 -0.374 20 0 IBADRN COC(=O)c1cc(C(C)(C)C)sc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442010214 1072385719 /nfs/dbraw/zinc/38/57/19/1072385719.db2.gz IFKMDDFUFMXLLB-JTQLQIEISA-N 0 0 436.490 -0.060 20 0 IBADRN COC(=O)c1cc(C(C)(C)C)sc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442010215 1072385818 /nfs/dbraw/zinc/38/58/18/1072385818.db2.gz IFKMDDFUFMXLLB-SNVBAGLBSA-N 0 0 436.490 -0.060 20 0 IBADRN O=C(Nc1ccc(OCC(F)(F)C(F)F)nc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442010227 1072385785 /nfs/dbraw/zinc/38/57/85/1072385785.db2.gz IHYUWKIJDJLXTJ-JTQLQIEISA-N 0 0 447.345 -0.532 20 0 IBADRN O=C(Nc1ccc(OCC(F)(F)C(F)F)nc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442010228 1072385771 /nfs/dbraw/zinc/38/57/71/1072385771.db2.gz IHYUWKIJDJLXTJ-SNVBAGLBSA-N 0 0 447.345 -0.532 20 0 IBADRN CC(C)[C@@H](O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442010574 1072386091 /nfs/dbraw/zinc/38/60/91/1072386091.db2.gz IDTYVMYYQHBUGN-HNNXBMFYSA-N 0 0 426.495 -0.731 20 0 IBADRN CC(C)[C@H](O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442010575 1072386682 /nfs/dbraw/zinc/38/66/82/1072386682.db2.gz IDTYVMYYQHBUGN-OAHLLOKOSA-N 0 0 426.495 -0.731 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(CCO)C1 ZINC000442010651 1072386220 /nfs/dbraw/zinc/38/62/20/1072386220.db2.gz ALUQKIGPCMGADO-UHFFFAOYSA-N 0 0 441.506 -0.115 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cccc1C(=O)N1CCCC1 ZINC000442010711 1072386048 /nfs/dbraw/zinc/38/60/48/1072386048.db2.gz KGPRWIKFJZGQCJ-AWEZNQCLSA-N 0 0 427.461 -0.661 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cccc1C(=O)N1CCCC1 ZINC000442010713 1072386261 /nfs/dbraw/zinc/38/62/61/1072386261.db2.gz KGPRWIKFJZGQCJ-CQSZACIVSA-N 0 0 427.461 -0.661 20 0 IBADRN O=C(Nc1ccc(C(=O)NCc2ccccc2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442010913 1072386319 /nfs/dbraw/zinc/38/63/19/1072386319.db2.gz KSNHYTCTMLJNQA-GOSISDBHSA-N 0 0 449.467 -0.276 20 0 IBADRN O=C(Nc1ccc(C(=O)NCc2ccccc2)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442010916 1072386062 /nfs/dbraw/zinc/38/60/62/1072386062.db2.gz KSNHYTCTMLJNQA-SFHVURJKSA-N 0 0 449.467 -0.276 20 0 IBADRN O=C(Nc1ccccc1OCc1cscn1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442011207 1072386341 /nfs/dbraw/zinc/38/63/41/1072386341.db2.gz LLQRJPAHGJIFOA-CYBMUJFWSA-N 0 0 429.458 -0.170 20 0 IBADRN O=C(Nc1ccccc1OCc1cscn1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442011208 1072386108 /nfs/dbraw/zinc/38/61/08/1072386108.db2.gz LLQRJPAHGJIFOA-ZDUSSCGKSA-N 0 0 429.458 -0.170 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1Br ZINC000442011362 1072386353 /nfs/dbraw/zinc/38/63/53/1072386353.db2.gz LZWUBJNMKSHXKO-JTQLQIEISA-N 0 0 425.239 -0.435 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1Br ZINC000442011364 1072386098 /nfs/dbraw/zinc/38/60/98/1072386098.db2.gz LZWUBJNMKSHXKO-SNVBAGLBSA-N 0 0 425.239 -0.435 20 0 IBADRN O=C(Nc1cccc(NC(=O)c2ccoc2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442011405 1072386116 /nfs/dbraw/zinc/38/61/16/1072386116.db2.gz MCSXCFNZJYDWMO-HNNXBMFYSA-N 0 0 425.401 -0.360 20 0 IBADRN O=C(Nc1cccc(NC(=O)c2ccoc2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442011408 1072386329 /nfs/dbraw/zinc/38/63/29/1072386329.db2.gz MCSXCFNZJYDWMO-OAHLLOKOSA-N 0 0 425.401 -0.360 20 0 IBADRN O=C(Nc1cccc(OCc2cscn2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442011693 1072386674 /nfs/dbraw/zinc/38/66/74/1072386674.db2.gz LYUXAMMACFLPDG-AWEZNQCLSA-N 0 0 429.458 -0.170 20 0 IBADRN O=C(Nc1cccc(OCc2cscn2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442011698 1072386693 /nfs/dbraw/zinc/38/66/93/1072386693.db2.gz LYUXAMMACFLPDG-CQSZACIVSA-N 0 0 429.458 -0.170 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCO1 ZINC000442011898 1072386664 /nfs/dbraw/zinc/38/66/64/1072386664.db2.gz NJDWWIHGSWOWQZ-GOSISDBHSA-N 0 0 434.493 -0.439 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCO1 ZINC000442011900 1072386798 /nfs/dbraw/zinc/38/67/98/1072386798.db2.gz NJDWWIHGSWOWQZ-SFHVURJKSA-N 0 0 434.493 -0.439 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1OC1CCOCC1 ZINC000442012058 1072386762 /nfs/dbraw/zinc/38/67/62/1072386762.db2.gz NXXKHTPTBCYEJX-HNNXBMFYSA-N 0 0 430.461 -0.339 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1OC1CCOCC1 ZINC000442012060 1072386618 /nfs/dbraw/zinc/38/66/18/1072386618.db2.gz NXXKHTPTBCYEJX-OAHLLOKOSA-N 0 0 430.461 -0.339 20 0 IBADRN O=C(Nc1ccc(-c2nnc3n2CCCC3)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442012205 1072386655 /nfs/dbraw/zinc/38/66/55/1072386655.db2.gz OCKHXTKVRNZFDD-HNNXBMFYSA-N 0 0 437.460 -0.611 20 0 IBADRN O=C(Nc1ccc(-c2nnc3n2CCCC3)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442012208 1072386806 /nfs/dbraw/zinc/38/68/06/1072386806.db2.gz OCKHXTKVRNZFDD-OAHLLOKOSA-N 0 0 437.460 -0.611 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000442012335 1072386771 /nfs/dbraw/zinc/38/67/71/1072386771.db2.gz GASWTTYTITTWEF-AWEZNQCLSA-N 0 0 433.509 -0.138 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000442012337 1072386743 /nfs/dbraw/zinc/38/67/43/1072386743.db2.gz GASWTTYTITTWEF-CQSZACIVSA-N 0 0 433.509 -0.138 20 0 IBADRN CC(C)(C)c1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)n(-c2ccccn2)n1 ZINC000442012475 1072387198 /nfs/dbraw/zinc/38/71/98/1072387198.db2.gz OLPVFUNYALQPIG-CYBMUJFWSA-N 0 0 439.476 -0.328 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCO1 ZINC000442012476 1072387170 /nfs/dbraw/zinc/38/71/70/1072387170.db2.gz OOQABFSXCBVNOG-CYBMUJFWSA-N 0 0 428.511 -0.185 20 0 IBADRN CC(C)(C)c1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)n(-c2ccccn2)n1 ZINC000442012477 1072387152 /nfs/dbraw/zinc/38/71/52/1072387152.db2.gz OLPVFUNYALQPIG-ZDUSSCGKSA-N 0 0 439.476 -0.328 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCO1 ZINC000442012490 1072387231 /nfs/dbraw/zinc/38/72/31/1072387231.db2.gz OOQABFSXCBVNOG-ZDUSSCGKSA-N 0 0 428.511 -0.185 20 0 IBADRN CCc1noc(-c2ccc(C)c(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)c2)n1 ZINC000442012667 1072387176 /nfs/dbraw/zinc/38/71/76/1072387176.db2.gz PCEUNCBQLILHHP-CYBMUJFWSA-N 0 0 426.433 -0.285 20 0 IBADRN CCc1noc(-c2ccc(C)c(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)c2)n1 ZINC000442012670 1072387163 /nfs/dbraw/zinc/38/71/63/1072387163.db2.gz PCEUNCBQLILHHP-ZDUSSCGKSA-N 0 0 426.433 -0.285 20 0 IBADRN O=C(Nc1cc(F)c(Br)c(F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442012748 1072387244 /nfs/dbraw/zinc/38/72/44/1072387244.db2.gz PILMGVACDOSYQB-MRVPVSSYSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C(Nc1cc(F)c(Br)c(F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442012750 1072387238 /nfs/dbraw/zinc/38/72/38/1072387238.db2.gz PILMGVACDOSYQB-QMMMGPOBSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C(Nc1ccnn1Cc1ccc(Cl)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442012856 1072386645 /nfs/dbraw/zinc/38/66/45/1072386645.db2.gz PVAOCZZJBCVZHK-AWEZNQCLSA-N 0 0 430.852 -0.308 20 0 IBADRN O=C(Nc1ccnn1Cc1ccc(Cl)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442012860 1072386789 /nfs/dbraw/zinc/38/67/89/1072386789.db2.gz PVAOCZZJBCVZHK-CQSZACIVSA-N 0 0 430.852 -0.308 20 0 IBADRN O=C(Nc1ccc(OCc2ccccn2)c(F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442012887 1072386731 /nfs/dbraw/zinc/38/67/31/1072386731.db2.gz PLQQIXMTAIQTTB-HNNXBMFYSA-N 0 0 441.419 -0.093 20 0 IBADRN O=C(Nc1ccc(OCc2ccccn2)c(F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442012889 1072387223 /nfs/dbraw/zinc/38/72/23/1072387223.db2.gz PLQQIXMTAIQTTB-OAHLLOKOSA-N 0 0 441.419 -0.093 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)ccc1C ZINC000442013193 1072386627 /nfs/dbraw/zinc/38/66/27/1072386627.db2.gz QUQBALZKVGXZPE-GOSISDBHSA-N 0 0 428.511 -0.959 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)ccc1C ZINC000442013195 1072386820 /nfs/dbraw/zinc/38/68/20/1072386820.db2.gz QUQBALZKVGXZPE-SFHVURJKSA-N 0 0 428.511 -0.959 20 0 IBADRN O=C(Nc1ccc(Cc2nnc3ccccn32)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442013447 1072386703 /nfs/dbraw/zinc/38/67/03/1072386703.db2.gz RJVPZOHCJSSUSZ-INIZCTEOSA-N 0 0 447.455 -0.572 20 0 IBADRN O=C(Nc1ccc(Cc2nnc3ccccn32)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442013450 1072386608 /nfs/dbraw/zinc/38/66/08/1072386608.db2.gz RJVPZOHCJSSUSZ-MRXNPFEDSA-N 0 0 447.455 -0.572 20 0 IBADRN CC(C)(C)OC(=O)Cc1ccccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442013488 1072387192 /nfs/dbraw/zinc/38/71/92/1072387192.db2.gz RNNXVNIOKLEEAK-AWEZNQCLSA-N 0 0 430.461 -0.322 20 0 IBADRN CC(C)(C)OC(=O)Cc1ccccc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442013489 1072387158 /nfs/dbraw/zinc/38/71/58/1072387158.db2.gz RNNXVNIOKLEEAK-CQSZACIVSA-N 0 0 430.461 -0.322 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(OC(F)F)c1 ZINC000442013496 1072387134 /nfs/dbraw/zinc/38/71/34/1072387134.db2.gz ROWVMRBOOAPKGU-JTQLQIEISA-N 0 0 440.359 -0.818 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(OC(F)F)c1 ZINC000442013497 1072387141 /nfs/dbraw/zinc/38/71/41/1072387141.db2.gz ROWVMRBOOAPKGU-SNVBAGLBSA-N 0 0 440.359 -0.818 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1OC ZINC000442013681 1072387807 /nfs/dbraw/zinc/38/78/07/1072387807.db2.gz AMYSJROWJRQQKW-GFCCVEGCSA-N 0 0 439.450 -0.842 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1OC ZINC000442013682 1072387761 /nfs/dbraw/zinc/38/77/61/1072387761.db2.gz AMYSJROWJRQQKW-LBPRGKRZSA-N 0 0 439.450 -0.842 20 0 IBADRN COc1ccc(Br)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000442013796 1072387724 /nfs/dbraw/zinc/38/77/24/1072387724.db2.gz SAHYXTCLWVPVJH-SECBINFHSA-N 0 0 425.239 -0.435 20 0 IBADRN COc1ccc(Br)c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000442013798 1072387720 /nfs/dbraw/zinc/38/77/20/1072387720.db2.gz SAHYXTCLWVPVJH-VIFPVBQESA-N 0 0 425.239 -0.435 20 0 IBADRN NC(=O)C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C1CCCCC1 ZINC000442014083 1072387148 /nfs/dbraw/zinc/38/71/48/1072387148.db2.gz BIBGUNMMWVWEJJ-INIZCTEOSA-N 0 0 434.497 -0.379 20 0 IBADRN NC(=O)C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C1CCCCC1 ZINC000442014084 1072387184 /nfs/dbraw/zinc/38/71/84/1072387184.db2.gz BIBGUNMMWVWEJJ-MRXNPFEDSA-N 0 0 434.497 -0.379 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)C[C@@H](C)O1 ZINC000442014085 1072387264 /nfs/dbraw/zinc/38/72/64/1072387264.db2.gz BIEBPXCSZAADBQ-CKEIUWERSA-N 0 0 429.477 -0.003 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)C[C@@H](C)O1 ZINC000442014086 1072387313 /nfs/dbraw/zinc/38/73/13/1072387313.db2.gz BIEBPXCSZAADBQ-CPUCHLNUSA-N 0 0 429.477 -0.003 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)C[C@H](C)O1 ZINC000442014087 1072387282 /nfs/dbraw/zinc/38/72/82/1072387282.db2.gz BIEBPXCSZAADBQ-JKIFEVAISA-N 0 0 429.477 -0.003 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)C[C@H](C)O1 ZINC000442014088 1072387673 /nfs/dbraw/zinc/38/76/73/1072387673.db2.gz BIEBPXCSZAADBQ-VBQJREDUSA-N 0 0 429.477 -0.003 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000442014426 1072387251 /nfs/dbraw/zinc/38/72/51/1072387251.db2.gz SWFPWLJBRYHBCW-GOSISDBHSA-N 0 0 428.511 -0.925 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000442014427 1072387206 /nfs/dbraw/zinc/38/72/06/1072387206.db2.gz SWFPWLJBRYHBCW-SFHVURJKSA-N 0 0 428.511 -0.925 20 0 IBADRN O=C(Nc1ccc(F)cc1OCC(F)(F)F)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442014489 1072387889 /nfs/dbraw/zinc/38/78/89/1072387889.db2.gz UIDUQVMBAHGKKU-JTQLQIEISA-N 0 0 432.330 -0.126 20 0 IBADRN O=C(Nc1ccc(F)cc1OCC(F)(F)F)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442014490 1072387737 /nfs/dbraw/zinc/38/77/37/1072387737.db2.gz UIDUQVMBAHGKKU-SNVBAGLBSA-N 0 0 432.330 -0.126 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1)N1CCCC1 ZINC000442014499 1072387800 /nfs/dbraw/zinc/38/78/00/1072387800.db2.gz LKZXGRYUBOKLJR-HNNXBMFYSA-N 0 0 429.433 -0.611 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1)N1CCCC1 ZINC000442014500 1072387688 /nfs/dbraw/zinc/38/76/88/1072387688.db2.gz LKZXGRYUBOKLJR-OAHLLOKOSA-N 0 0 429.433 -0.611 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000442014501 1072387747 /nfs/dbraw/zinc/38/77/47/1072387747.db2.gz LLKLDQJKFJHEKJ-GOSISDBHSA-N 0 0 428.511 -0.925 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000442014502 1072387698 /nfs/dbraw/zinc/38/76/98/1072387698.db2.gz LLKLDQJKFJHEKJ-SFHVURJKSA-N 0 0 428.511 -0.925 20 0 IBADRN Cn1ccnc1C(=O)c1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000442014757 1072387836 /nfs/dbraw/zinc/38/78/36/1072387836.db2.gz UDTHRPKOFGPOBQ-NRFANRHFSA-N 0 0 429.477 -0.211 20 0 IBADRN Cn1ccnc1C(=O)c1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000442014758 1072387707 /nfs/dbraw/zinc/38/77/07/1072387707.db2.gz UDTHRPKOFGPOBQ-OAQYLSRUSA-N 0 0 429.477 -0.211 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000442015110 1072387826 /nfs/dbraw/zinc/38/78/26/1072387826.db2.gz DLEMLUNJERKEJG-AWEZNQCLSA-N 0 0 440.522 -0.205 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000442015114 1072387788 /nfs/dbraw/zinc/38/77/88/1072387788.db2.gz DLEMLUNJERKEJG-CQSZACIVSA-N 0 0 440.522 -0.205 20 0 IBADRN COC(=O)c1c2c(sc1NC(=O)C(=O)N1CCN3C(=O)C(=O)NC[C@H]3C1)CCCC2 ZINC000442015449 1072387779 /nfs/dbraw/zinc/38/77/79/1072387779.db2.gz VIWUIDBXPGDTDT-JTQLQIEISA-N 0 0 434.474 -0.479 20 0 IBADRN COC(=O)c1c2c(sc1NC(=O)C(=O)N1CCN3C(=O)C(=O)NC[C@@H]3C1)CCCC2 ZINC000442015451 1072387860 /nfs/dbraw/zinc/38/78/60/1072387860.db2.gz VIWUIDBXPGDTDT-SNVBAGLBSA-N 0 0 434.474 -0.479 20 0 IBADRN COC(=O)c1c2c(sc1NC(=O)C(=O)N1CCN3C(=O)C(=O)NC[C@@H]3C1)CCCCC2 ZINC000442015625 1072382296 /nfs/dbraw/zinc/38/22/96/1072382296.db2.gz WQGGWPIKLDRYTK-LLVKDONJSA-N 0 0 448.501 -0.089 20 0 IBADRN COC(=O)c1c2c(sc1NC(=O)C(=O)N1CCN3C(=O)C(=O)NC[C@H]3C1)CCCCC2 ZINC000442015626 1072382261 /nfs/dbraw/zinc/38/22/61/1072382261.db2.gz WQGGWPIKLDRYTK-NSHDSACASA-N 0 0 448.501 -0.089 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000442015758 1072382201 /nfs/dbraw/zinc/38/22/01/1072382201.db2.gz NWGXGZLXIWIHSP-AWEZNQCLSA-N 0 0 439.538 -0.598 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000442015759 1072382232 /nfs/dbraw/zinc/38/22/32/1072382232.db2.gz NWGXGZLXIWIHSP-CQSZACIVSA-N 0 0 439.538 -0.598 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000442015990 1072382187 /nfs/dbraw/zinc/38/21/87/1072382187.db2.gz GBBCKSRCZZCCFE-CRAIPNDOSA-N 0 0 433.461 -0.487 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000442015991 1072382273 /nfs/dbraw/zinc/38/22/73/1072382273.db2.gz GBBCKSRCZZCCFE-MAUKXSAKSA-N 0 0 433.461 -0.487 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000442015992 1072382193 /nfs/dbraw/zinc/38/21/93/1072382193.db2.gz GBBCKSRCZZCCFE-QAPCUYQASA-N 0 0 433.461 -0.487 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000442015993 1072382291 /nfs/dbraw/zinc/38/22/91/1072382291.db2.gz GBBCKSRCZZCCFE-YJBOKZPZSA-N 0 0 433.461 -0.487 20 0 IBADRN COc1cc(NC(=O)CN(C)C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)cc(OC)c1 ZINC000442016015 1072382211 /nfs/dbraw/zinc/38/22/11/1072382211.db2.gz XEYNSTRUWMDAAE-UHFFFAOYSA-N 0 0 446.464 0.024 20 0 IBADRN Cc1nc(C)n(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)c2)n1 ZINC000442016058 1072381682 /nfs/dbraw/zinc/38/16/82/1072381682.db2.gz XMLXXRWGIFJNHY-INIZCTEOSA-N 0 0 425.449 -0.949 20 0 IBADRN Cc1nc(C)n(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)c2)n1 ZINC000442016059 1072381520 /nfs/dbraw/zinc/38/15/20/1072381520.db2.gz XMLXXRWGIFJNHY-MRXNPFEDSA-N 0 0 425.449 -0.949 20 0 IBADRN C[C@@H]1CN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)c2)C[C@H](C)O1 ZINC000442016077 1072382323 /nfs/dbraw/zinc/38/23/23/1072382323.db2.gz XOUCBOJFUWAEHF-DAYGRLMNSA-N 0 0 443.504 -0.597 20 0 IBADRN C[C@@H]1CN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)c2)C[C@@H](C)O1 ZINC000442016078 1072382310 /nfs/dbraw/zinc/38/23/10/1072382310.db2.gz XOUCBOJFUWAEHF-IIDMSEBBSA-N 0 0 443.504 -0.597 20 0 IBADRN C[C@@H]1CN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)c2)C[C@@H](C)O1 ZINC000442016079 1072382217 /nfs/dbraw/zinc/38/22/17/1072382217.db2.gz XOUCBOJFUWAEHF-RKVPGOIHSA-N 0 0 443.504 -0.597 20 0 IBADRN C[C@@H]1CN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)c2)C[C@H](C)O1 ZINC000442016080 1072382163 /nfs/dbraw/zinc/38/21/63/1072382163.db2.gz XOUCBOJFUWAEHF-RVKKMQEKSA-N 0 0 443.504 -0.597 20 0 IBADRN Cc1noc(CN2CCN(C(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)CC2)n1 ZINC000442016081 1072382239 /nfs/dbraw/zinc/38/22/39/1072382239.db2.gz XPDVKIXDLAHELR-UHFFFAOYSA-N 0 0 437.468 -0.100 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442016160 1072382283 /nfs/dbraw/zinc/38/22/83/1072382283.db2.gz OMJBJZUNHFGEKJ-HNNXBMFYSA-N 0 0 433.509 -0.472 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442016162 1072382337 /nfs/dbraw/zinc/38/23/37/1072382337.db2.gz OMJBJZUNHFGEKJ-OAHLLOKOSA-N 0 0 433.509 -0.472 20 0 IBADRN CCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)ccc21 ZINC000442016291 1072381628 /nfs/dbraw/zinc/38/16/28/1072381628.db2.gz XJVRIPQTVIRZML-HNNXBMFYSA-N 0 0 427.461 -0.517 20 0 IBADRN CCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)ccc21 ZINC000442016293 1072381640 /nfs/dbraw/zinc/38/16/40/1072381640.db2.gz XJVRIPQTVIRZML-OAHLLOKOSA-N 0 0 427.461 -0.517 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442016437 1072381512 /nfs/dbraw/zinc/38/15/12/1072381512.db2.gz YDFWTFMOOPUBTR-AWEZNQCLSA-N 0 0 443.460 -0.961 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442016438 1072381738 /nfs/dbraw/zinc/38/17/38/1072381738.db2.gz YDFWTFMOOPUBTR-CQSZACIVSA-N 0 0 443.460 -0.961 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c1C ZINC000442016852 1072382828 /nfs/dbraw/zinc/38/28/28/1072382828.db2.gz YZZBVPPUEHBOCO-GOSISDBHSA-N 0 0 428.511 -0.911 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c1C ZINC000442016855 1072382845 /nfs/dbraw/zinc/38/28/45/1072382845.db2.gz YZZBVPPUEHBOCO-SFHVURJKSA-N 0 0 428.511 -0.911 20 0 IBADRN O=C(Nc1ccc2nc(-c3ccccn3)oc2c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000442016998 1072382154 /nfs/dbraw/zinc/38/21/54/1072382154.db2.gz ZGLUVTFOFOMHJN-CYBMUJFWSA-N 0 0 434.412 -0.003 20 0 IBADRN O=C(Nc1ccc2nc(-c3ccccn3)oc2c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000442017001 1072382304 /nfs/dbraw/zinc/38/23/04/1072382304.db2.gz ZGLUVTFOFOMHJN-ZDUSSCGKSA-N 0 0 434.412 -0.003 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000442017305 1072382142 /nfs/dbraw/zinc/38/21/42/1072382142.db2.gz QZTONICFJVOKIS-UHFFFAOYSA-N 0 0 433.498 -0.642 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1)CN1CCOCC1 ZINC000442017858 1072382747 /nfs/dbraw/zinc/38/27/47/1072382747.db2.gz LFFRCKXXZRIERC-FQEVSTJZSA-N 0 0 447.471 -0.183 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1)CN1CCOCC1 ZINC000442017859 1072382864 /nfs/dbraw/zinc/38/28/64/1072382864.db2.gz LFFRCKXXZRIERC-HXUWFJFHSA-N 0 0 447.471 -0.183 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC(CCO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000442018299 1072382777 /nfs/dbraw/zinc/38/27/77/1072382777.db2.gz VYCIRESCCDQTRE-UHFFFAOYSA-N 0 0 441.506 -0.115 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1Cl ZINC000442018348 1072382738 /nfs/dbraw/zinc/38/27/38/1072382738.db2.gz AFVJNLOOLNVILW-LLVKDONJSA-N 0 0 429.842 -0.587 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1Cl ZINC000442018356 1072382766 /nfs/dbraw/zinc/38/27/66/1072382766.db2.gz AFVJNLOOLNVILW-NSHDSACASA-N 0 0 429.842 -0.587 20 0 IBADRN COC(=O)c1cc(Br)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442018599 1072388630 /nfs/dbraw/zinc/38/86/30/1072388630.db2.gz MZQUHFVZTOPVMP-LLVKDONJSA-N 0 0 439.222 -0.063 20 0 IBADRN COC(=O)c1cc(Br)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442018603 1072388738 /nfs/dbraw/zinc/38/87/38/1072388738.db2.gz MZQUHFVZTOPVMP-NSHDSACASA-N 0 0 439.222 -0.063 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)cc21 ZINC000442018881 1072388705 /nfs/dbraw/zinc/38/87/05/1072388705.db2.gz XBNZUGHCWAHFDI-JOCHJYFZSA-N 0 0 445.520 -0.133 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)cc21 ZINC000442018883 1072388672 /nfs/dbraw/zinc/38/86/72/1072388672.db2.gz XBNZUGHCWAHFDI-QFIPXVFZSA-N 0 0 445.520 -0.133 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1F ZINC000442019021 1072388751 /nfs/dbraw/zinc/38/87/51/1072388751.db2.gz NYOOWGMZFBGZOB-CYBMUJFWSA-N 0 0 430.400 -0.239 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1F ZINC000442019022 1072388696 /nfs/dbraw/zinc/38/86/96/1072388696.db2.gz NYOOWGMZFBGZOB-ZDUSSCGKSA-N 0 0 430.400 -0.239 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2=O)c1 ZINC000442019385 1072388663 /nfs/dbraw/zinc/38/86/63/1072388663.db2.gz YALFEFGGHKPVJK-HNNXBMFYSA-N 0 0 434.478 -0.422 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2=O)c1 ZINC000442019387 1072388733 /nfs/dbraw/zinc/38/87/33/1072388733.db2.gz YALFEFGGHKPVJK-OAHLLOKOSA-N 0 0 434.478 -0.422 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)n1 ZINC000442019709 1072388690 /nfs/dbraw/zinc/38/86/90/1072388690.db2.gz DDFZJEFWPBCOPG-HNNXBMFYSA-N 0 0 440.416 -0.250 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442019713 1072388727 /nfs/dbraw/zinc/38/87/27/1072388727.db2.gz PGVDTDUCUMJNJT-AWEZNQCLSA-N 0 0 425.401 -0.606 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442019718 1072389204 /nfs/dbraw/zinc/38/92/04/1072389204.db2.gz PGVDTDUCUMJNJT-CQSZACIVSA-N 0 0 425.401 -0.606 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)n1 ZINC000442019723 1072389176 /nfs/dbraw/zinc/38/91/76/1072389176.db2.gz DDFZJEFWPBCOPG-OAHLLOKOSA-N 0 0 440.416 -0.250 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000442019938 1072388744 /nfs/dbraw/zinc/38/87/44/1072388744.db2.gz FDXFBSAXJLVALL-CHWSQXEVSA-N 0 0 428.511 -0.221 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000442019942 1072388659 /nfs/dbraw/zinc/38/86/59/1072388659.db2.gz FDXFBSAXJLVALL-OLZOCXBDSA-N 0 0 428.511 -0.221 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000442019944 1072388653 /nfs/dbraw/zinc/38/86/53/1072388653.db2.gz FDXFBSAXJLVALL-QWHCGFSZSA-N 0 0 428.511 -0.221 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000442019946 1072388634 /nfs/dbraw/zinc/38/86/34/1072388634.db2.gz FDXFBSAXJLVALL-STQMWFEESA-N 0 0 428.511 -0.221 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC(CCO)C1 ZINC000442020404 1072388621 /nfs/dbraw/zinc/38/86/21/1072388621.db2.gz RDKLDVWAKNNMAX-UHFFFAOYSA-N 0 0 440.522 -0.589 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000442020540 1072389140 /nfs/dbraw/zinc/38/91/40/1072389140.db2.gz GMQKJAXXPPXQAW-HNNXBMFYSA-N 0 0 431.449 -0.365 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000442020542 1072389185 /nfs/dbraw/zinc/38/91/85/1072389185.db2.gz GMQKJAXXPPXQAW-OAHLLOKOSA-N 0 0 431.449 -0.365 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c2ccccc2O1 ZINC000442020726 1072389218 /nfs/dbraw/zinc/38/92/18/1072389218.db2.gz RYMUVKQKSWPBJL-AVRDEDQJSA-N 0 0 448.520 -0.494 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c2ccccc2O1 ZINC000442020730 1072389023 /nfs/dbraw/zinc/38/90/23/1072389023.db2.gz RYMUVKQKSWPBJL-GCJKJVERSA-N 0 0 448.520 -0.494 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c2ccccc2O1 ZINC000442020732 1072388984 /nfs/dbraw/zinc/38/89/84/1072388984.db2.gz RYMUVKQKSWPBJL-PGRDOPGGSA-N 0 0 448.520 -0.494 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c2ccccc2O1 ZINC000442020735 1072389256 /nfs/dbraw/zinc/38/92/56/1072389256.db2.gz RYMUVKQKSWPBJL-XMSQKQJNSA-N 0 0 448.520 -0.494 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000442021007 1072389244 /nfs/dbraw/zinc/38/92/44/1072389244.db2.gz HJIUJDSNTBPVCE-IBGZPJMESA-N 0 0 442.538 -0.489 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000442021009 1072389192 /nfs/dbraw/zinc/38/91/92/1072389192.db2.gz HJIUJDSNTBPVCE-LJQANCHMSA-N 0 0 442.538 -0.489 20 0 IBADRN O=C(NC[C@H]1CCCO1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000442021182 1072389084 /nfs/dbraw/zinc/38/90/84/1072389084.db2.gz ULCCCPFUOZEWAN-CABCVRRESA-N 0 0 444.448 -0.312 20 0 IBADRN O=C(NC[C@@H]1CCCO1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000442021183 1072389013 /nfs/dbraw/zinc/38/90/13/1072389013.db2.gz ULCCCPFUOZEWAN-GJZGRUSLSA-N 0 0 444.448 -0.312 20 0 IBADRN O=C(NC[C@H]1CCCO1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000442021184 1072389104 /nfs/dbraw/zinc/38/91/04/1072389104.db2.gz ULCCCPFUOZEWAN-HUUCEWRRSA-N 0 0 444.448 -0.312 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442021389 1072389274 /nfs/dbraw/zinc/38/92/74/1072389274.db2.gz HKCWKTFVIJTNCF-AWEZNQCLSA-N 0 0 435.462 -0.828 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442021390 1072389231 /nfs/dbraw/zinc/38/92/31/1072389231.db2.gz HKCWKTFVIJTNCF-CQSZACIVSA-N 0 0 435.462 -0.828 20 0 IBADRN O=C(Nc1ccc(Cl)c(-n2nnnc2C2CC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442021412 1072389127 /nfs/dbraw/zinc/38/91/27/1072389127.db2.gz ADBXPGLODMPTBR-CYBMUJFWSA-N 0 0 444.839 -0.106 20 0 IBADRN O=C(Nc1ccc(Cl)c(-n2nnnc2C2CC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442021415 1072389210 /nfs/dbraw/zinc/38/92/10/1072389210.db2.gz ADBXPGLODMPTBR-ZDUSSCGKSA-N 0 0 444.839 -0.106 20 0 IBADRN O=C(NC[C@@H]1CCCO1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000442021470 1072389113 /nfs/dbraw/zinc/38/91/13/1072389113.db2.gz ULCCCPFUOZEWAN-LSDHHAIUSA-N 0 0 444.448 -0.312 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442021647 1072389800 /nfs/dbraw/zinc/38/98/00/1072389800.db2.gz IQXKLZDOFVVFFK-CYBMUJFWSA-N 0 0 439.425 -0.933 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442021660 1072389773 /nfs/dbraw/zinc/38/97/73/1072389773.db2.gz IQXKLZDOFVVFFK-ZDUSSCGKSA-N 0 0 439.425 -0.933 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)ccc1N1CCCC1=O ZINC000442021707 1072389728 /nfs/dbraw/zinc/38/97/28/1072389728.db2.gz IBBCKKQXXOCKHJ-NRFANRHFSA-N 0 0 434.493 -0.040 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)ccc1N1CCCC1=O ZINC000442021712 1072389763 /nfs/dbraw/zinc/38/97/63/1072389763.db2.gz IBBCKKQXXOCKHJ-OAQYLSRUSA-N 0 0 434.493 -0.040 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CCCC2=O)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442021828 1072389749 /nfs/dbraw/zinc/38/97/49/1072389749.db2.gz VEEBVPWMWMKUBS-AWEZNQCLSA-N 0 0 427.417 -0.573 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CCCC2=O)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442021834 1072389782 /nfs/dbraw/zinc/38/97/82/1072389782.db2.gz VEEBVPWMWMKUBS-CQSZACIVSA-N 0 0 427.417 -0.573 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)CCO1 ZINC000442021862 1072389688 /nfs/dbraw/zinc/38/96/88/1072389688.db2.gz AXABRWUDJXRKOW-HNNXBMFYSA-N 0 0 441.506 -0.172 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)CCO1 ZINC000442021864 1072389795 /nfs/dbraw/zinc/38/97/95/1072389795.db2.gz AXABRWUDJXRKOW-OAHLLOKOSA-N 0 0 441.506 -0.172 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)c2)CC1 ZINC000442022334 1072389153 /nfs/dbraw/zinc/38/91/53/1072389153.db2.gz VTHSKKRFTCLUDM-KRWDZBQOSA-N 0 0 442.476 -0.948 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)c2)CC1 ZINC000442022346 1072389046 /nfs/dbraw/zinc/38/90/46/1072389046.db2.gz VTHSKKRFTCLUDM-QGZVFWFLSA-N 0 0 442.476 -0.948 20 0 IBADRN C[C@@H]1CN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)c2)C[C@@H](C)O1 ZINC000442022556 1072390163 /nfs/dbraw/zinc/39/01/63/1072390163.db2.gz BNJRFFRPIKKNCS-CKEIUWERSA-N 0 0 429.477 -0.003 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442022806 1072389771 /nfs/dbraw/zinc/38/97/71/1072389771.db2.gz BRTSLQKFHMLLRO-CYBMUJFWSA-N 0 0 426.495 -0.514 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442022810 1072389737 /nfs/dbraw/zinc/38/97/37/1072389737.db2.gz BRTSLQKFHMLLRO-ZDUSSCGKSA-N 0 0 426.495 -0.514 20 0 IBADRN C[C@@H]1CN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)c2)C[C@@H](C)O1 ZINC000442022866 1072390264 /nfs/dbraw/zinc/39/02/64/1072390264.db2.gz BNJRFFRPIKKNCS-CPUCHLNUSA-N 0 0 429.477 -0.003 20 0 IBADRN C[C@@H]1CN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)c2)C[C@H](C)O1 ZINC000442022871 1072390235 /nfs/dbraw/zinc/39/02/35/1072390235.db2.gz BNJRFFRPIKKNCS-JKIFEVAISA-N 0 0 429.477 -0.003 20 0 IBADRN C[C@@H]1CN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)c2)C[C@H](C)O1 ZINC000442022875 1072390184 /nfs/dbraw/zinc/39/01/84/1072390184.db2.gz BNJRFFRPIKKNCS-VBQJREDUSA-N 0 0 429.477 -0.003 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000442022917 1072389699 /nfs/dbraw/zinc/38/96/99/1072389699.db2.gz JMPDUDBYNRSRBE-JOCHJYFZSA-N 0 0 448.520 -0.774 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000442022925 1072389753 /nfs/dbraw/zinc/38/97/53/1072389753.db2.gz JMPDUDBYNRSRBE-QFIPXVFZSA-N 0 0 448.520 -0.774 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000442022976 1072389779 /nfs/dbraw/zinc/38/97/79/1072389779.db2.gz JPYFHBFUFDPLHW-HNNXBMFYSA-N 0 0 431.449 -0.456 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000442022987 1072389787 /nfs/dbraw/zinc/38/97/87/1072389787.db2.gz JPYFHBFUFDPLHW-OAHLLOKOSA-N 0 0 431.449 -0.456 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](COC)C2)cc1 ZINC000442023071 1072389792 /nfs/dbraw/zinc/38/97/92/1072389792.db2.gz BVVNXGFLKPQLIT-DZGCQCFKSA-N 0 0 429.495 -0.188 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](COC)C2)cc1 ZINC000442023075 1072389713 /nfs/dbraw/zinc/38/97/13/1072389713.db2.gz BVVNXGFLKPQLIT-HIFRSBDPSA-N 0 0 429.495 -0.188 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](COC)C2)cc1 ZINC000442023080 1072389744 /nfs/dbraw/zinc/38/97/44/1072389744.db2.gz BVVNXGFLKPQLIT-UKRRQHHQSA-N 0 0 429.495 -0.188 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](COC)C2)cc1 ZINC000442023083 1072389785 /nfs/dbraw/zinc/38/97/85/1072389785.db2.gz BVVNXGFLKPQLIT-ZFWWWQNUSA-N 0 0 429.495 -0.188 20 0 IBADRN C[C@@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442023565 1072390227 /nfs/dbraw/zinc/39/02/27/1072390227.db2.gz XDTWDFPDOBSTLJ-CEXHIMGSSA-N 0 0 431.536 -0.129 20 0 IBADRN C[C@@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442023568 1072390048 /nfs/dbraw/zinc/39/00/48/1072390048.db2.gz XDTWDFPDOBSTLJ-JGTBNHKDSA-N 0 0 431.536 -0.129 20 0 IBADRN C[C@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442023571 1072390110 /nfs/dbraw/zinc/39/01/10/1072390110.db2.gz XDTWDFPDOBSTLJ-PFUSGODGSA-N 0 0 431.536 -0.129 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442023574 1072390084 /nfs/dbraw/zinc/39/00/84/1072390084.db2.gz XDTWDFPDOBSTLJ-QWVSSGJLSA-N 0 0 431.536 -0.129 20 0 IBADRN O=C(Nc1ccccc1I)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442023739 1072390131 /nfs/dbraw/zinc/39/01/31/1072390131.db2.gz XIUVFSDPZSINBM-JTQLQIEISA-N 0 0 428.186 -0.008 20 0 IBADRN O=C(Nc1ccccc1I)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442023748 1072390071 /nfs/dbraw/zinc/39/00/71/1072390071.db2.gz XIUVFSDPZSINBM-SNVBAGLBSA-N 0 0 428.186 -0.008 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)ccc1-n1nnnc1C(C)C ZINC000442023751 1072390099 /nfs/dbraw/zinc/39/00/99/1072390099.db2.gz XJBIHPMVUJMHIB-AWEZNQCLSA-N 0 0 426.437 -0.205 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)ccc1-n1nnnc1C(C)C ZINC000442023755 1072390028 /nfs/dbraw/zinc/39/00/28/1072390028.db2.gz XJBIHPMVUJMHIB-CQSZACIVSA-N 0 0 426.437 -0.205 20 0 IBADRN C[C@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000442024244 1072390153 /nfs/dbraw/zinc/39/01/53/1072390153.db2.gz YAWWYXACIPUBNB-GMYLUUGSSA-N 0 0 444.579 -0.213 20 0 IBADRN C[C@@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000442024249 1072390143 /nfs/dbraw/zinc/39/01/43/1072390143.db2.gz YAWWYXACIPUBNB-HJFSHJIFSA-N 0 0 444.579 -0.213 20 0 IBADRN C[C@@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000442024251 1072390123 /nfs/dbraw/zinc/39/01/23/1072390123.db2.gz YAWWYXACIPUBNB-POXGOYDTSA-N 0 0 444.579 -0.213 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000442024255 1072390037 /nfs/dbraw/zinc/39/00/37/1072390037.db2.gz YAWWYXACIPUBNB-SUMNFNSASA-N 0 0 444.579 -0.213 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(CCO)C1 ZINC000442024292 1072390005 /nfs/dbraw/zinc/39/00/05/1072390005.db2.gz KWALOCSLRHPVOM-UHFFFAOYSA-N 0 0 427.479 -0.505 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CCO1 ZINC000442024310 1072389995 /nfs/dbraw/zinc/38/99/95/1072389995.db2.gz KXTSNLXESOOSFS-CYBMUJFWSA-N 0 0 427.479 -0.052 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CCO1 ZINC000442024327 1072390216 /nfs/dbraw/zinc/39/02/16/1072390216.db2.gz KXTSNLXESOOSFS-ZDUSSCGKSA-N 0 0 427.479 -0.052 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1Cl ZINC000442024513 1072390590 /nfs/dbraw/zinc/39/05/90/1072390590.db2.gz FGTPQESZJZSZEL-CYBMUJFWSA-N 0 0 437.840 -0.583 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1Cl ZINC000442024534 1072390605 /nfs/dbraw/zinc/39/06/05/1072390605.db2.gz FGTPQESZJZSZEL-ZDUSSCGKSA-N 0 0 437.840 -0.583 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000442024754 1072390610 /nfs/dbraw/zinc/39/06/10/1072390610.db2.gz LSCVXHGIUDVFHH-UHFFFAOYSA-N 0 0 440.512 -0.465 20 0 IBADRN O=C(Nc1ccc2c(c1)CCN2C(=O)c1cccnc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442024891 1072390692 /nfs/dbraw/zinc/39/06/92/1072390692.db2.gz YMTUKOUMFDMWQV-KRWDZBQOSA-N 0 0 448.439 -0.014 20 0 IBADRN O=C(Nc1ccc2c(c1)CCN2C(=O)c1cccnc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442024894 1072390723 /nfs/dbraw/zinc/39/07/23/1072390723.db2.gz YMTUKOUMFDMWQV-QGZVFWFLSA-N 0 0 448.439 -0.014 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c2ccccc2O1 ZINC000442024988 1072390666 /nfs/dbraw/zinc/39/06/66/1072390666.db2.gz DRTGQLJTXFULLR-DZGCQCFKSA-N 0 0 429.433 -0.931 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c2ccccc2O1 ZINC000442024993 1072390731 /nfs/dbraw/zinc/39/07/31/1072390731.db2.gz DRTGQLJTXFULLR-HIFRSBDPSA-N 0 0 429.433 -0.931 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c2ccccc2O1 ZINC000442024998 1072390652 /nfs/dbraw/zinc/39/06/52/1072390652.db2.gz DRTGQLJTXFULLR-UKRRQHHQSA-N 0 0 429.433 -0.931 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c2ccccc2O1 ZINC000442025002 1072390638 /nfs/dbraw/zinc/39/06/38/1072390638.db2.gz DRTGQLJTXFULLR-ZFWWWQNUSA-N 0 0 429.433 -0.931 20 0 IBADRN C[C@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000442025121 1072390059 /nfs/dbraw/zinc/39/00/59/1072390059.db2.gz FPONUZKAJQVUCM-GMYLUUGSSA-N 0 0 444.579 -0.213 20 0 IBADRN C[C@@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000442025127 1072390661 /nfs/dbraw/zinc/39/06/61/1072390661.db2.gz FPONUZKAJQVUCM-HJFSHJIFSA-N 0 0 444.579 -0.213 20 0 IBADRN C[C@@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000442025133 1072390644 /nfs/dbraw/zinc/39/06/44/1072390644.db2.gz FPONUZKAJQVUCM-POXGOYDTSA-N 0 0 444.579 -0.213 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000442025141 1072390625 /nfs/dbraw/zinc/39/06/25/1072390625.db2.gz FPONUZKAJQVUCM-SUMNFNSASA-N 0 0 444.579 -0.213 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1Cl ZINC000442025580 1072390680 /nfs/dbraw/zinc/39/06/80/1072390680.db2.gz FTRGXPSWPIULNM-GFCCVEGCSA-N 0 0 437.840 -0.492 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1Cl ZINC000442025581 1072390736 /nfs/dbraw/zinc/39/07/36/1072390736.db2.gz FTRGXPSWPIULNM-LBPRGKRZSA-N 0 0 437.840 -0.492 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCCCC2)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442026102 1072390702 /nfs/dbraw/zinc/39/07/02/1072390702.db2.gz GYJBIIKLQBLDMJ-HNNXBMFYSA-N 0 0 449.489 -0.438 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCCCC2)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442026104 1072390747 /nfs/dbraw/zinc/39/07/47/1072390747.db2.gz GYJBIIKLQBLDMJ-OAHLLOKOSA-N 0 0 449.489 -0.438 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCCCC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442026271 1072390598 /nfs/dbraw/zinc/39/05/98/1072390598.db2.gz GHTOBBMEEYZOJK-HNNXBMFYSA-N 0 0 449.489 -0.438 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCCCC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442026272 1072390685 /nfs/dbraw/zinc/39/06/85/1072390685.db2.gz GHTOBBMEEYZOJK-OAHLLOKOSA-N 0 0 449.489 -0.438 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)CC1 ZINC000442026897 1072391160 /nfs/dbraw/zinc/39/11/60/1072391160.db2.gz HKGXNWVOVVRBGR-KRWDZBQOSA-N 0 0 442.476 -0.948 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)CC1 ZINC000442026899 1072391074 /nfs/dbraw/zinc/39/10/74/1072391074.db2.gz HKGXNWVOVVRBGR-QGZVFWFLSA-N 0 0 442.476 -0.948 20 0 IBADRN O=C(Nc1ccn(-c2ncccc2C(F)(F)F)n1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442027195 1072391287 /nfs/dbraw/zinc/39/12/87/1072391287.db2.gz IAFZMULMAWSXQI-JTQLQIEISA-N 0 0 437.338 -0.013 20 0 IBADRN O=C(Nc1ccn(-c2ncccc2C(F)(F)F)n1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442027199 1072391133 /nfs/dbraw/zinc/39/11/33/1072391133.db2.gz IAFZMULMAWSXQI-SNVBAGLBSA-N 0 0 437.338 -0.013 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)N1CCCCC1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442027373 1072390632 /nfs/dbraw/zinc/39/06/32/1072390632.db2.gz HLANGYWHYDGUGB-AWEZNQCLSA-N 0 0 449.489 -0.438 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)N1CCCCC1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442027374 1072391303 /nfs/dbraw/zinc/39/13/03/1072391303.db2.gz HLANGYWHYDGUGB-CQSZACIVSA-N 0 0 449.489 -0.438 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000442027388 1072391028 /nfs/dbraw/zinc/39/10/28/1072391028.db2.gz HQPVTYCRYRWJFC-CYBMUJFWSA-N 0 0 437.478 -0.536 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000442027389 1072391017 /nfs/dbraw/zinc/39/10/17/1072391017.db2.gz HQPVTYCRYRWJFC-ZDUSSCGKSA-N 0 0 437.478 -0.536 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc21 ZINC000442028048 1072391224 /nfs/dbraw/zinc/39/12/24/1072391224.db2.gz JKARHJGMTYZNIR-HNNXBMFYSA-N 0 0 426.433 -0.569 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc21 ZINC000442028049 1072391118 /nfs/dbraw/zinc/39/11/18/1072391118.db2.gz JKARHJGMTYZNIR-OAHLLOKOSA-N 0 0 426.433 -0.569 20 0 IBADRN C[C@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442028120 1072391063 /nfs/dbraw/zinc/39/10/63/1072391063.db2.gz JRERVFKNULAGGZ-DHMKHTPVSA-N 0 0 438.550 -0.088 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442028124 1072391105 /nfs/dbraw/zinc/39/11/05/1072391105.db2.gz JRERVFKNULAGGZ-FOGNVHKLSA-N 0 0 438.550 -0.088 20 0 IBADRN C[C@@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442028127 1072391206 /nfs/dbraw/zinc/39/12/06/1072391206.db2.gz JRERVFKNULAGGZ-INCQDFKNSA-N 0 0 438.550 -0.088 20 0 IBADRN C[C@@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442028129 1072391189 /nfs/dbraw/zinc/39/11/89/1072391189.db2.gz JRERVFKNULAGGZ-JGVYIQDASA-N 0 0 438.550 -0.088 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000442028374 1072391319 /nfs/dbraw/zinc/39/13/19/1072391319.db2.gz JKCXPWSSEZQJDF-HNNXBMFYSA-N 0 0 427.479 -0.480 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000442028376 1072391036 /nfs/dbraw/zinc/39/10/36/1072391036.db2.gz JKCXPWSSEZQJDF-OAHLLOKOSA-N 0 0 427.479 -0.480 20 0 IBADRN O=C(Nc1ccnn1Cc1cnn(-c2ccccc2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442028819 1072391786 /nfs/dbraw/zinc/39/17/86/1072391786.db2.gz QNKUOIAWHHMYBU-INIZCTEOSA-N 0 0 448.443 -0.182 20 0 IBADRN O=C(Nc1ccnn1Cc1cnn(-c2ccccc2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442028827 1072391711 /nfs/dbraw/zinc/39/17/11/1072391711.db2.gz QNKUOIAWHHMYBU-MRXNPFEDSA-N 0 0 448.443 -0.182 20 0 IBADRN O=C(Nc1ccc(F)c(-n2nnnc2C2CC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442028895 1072391955 /nfs/dbraw/zinc/39/19/55/1072391955.db2.gz KIPDWQAUVHTFSM-CYBMUJFWSA-N 0 0 428.384 -0.620 20 0 IBADRN O=C(Nc1ccc(F)c(-n2nnnc2C2CC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442028898 1072391915 /nfs/dbraw/zinc/39/19/15/1072391915.db2.gz KIPDWQAUVHTFSM-ZDUSSCGKSA-N 0 0 428.384 -0.620 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442028984 1072391699 /nfs/dbraw/zinc/39/16/99/1072391699.db2.gz KGSWPHCQZOTYQP-AWEZNQCLSA-N 0 0 449.851 -0.487 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442028987 1072391820 /nfs/dbraw/zinc/39/18/20/1072391820.db2.gz KGSWPHCQZOTYQP-CQSZACIVSA-N 0 0 449.851 -0.487 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)ccc21 ZINC000442029322 1072391239 /nfs/dbraw/zinc/39/12/39/1072391239.db2.gz KOAHKRAEIPBTGG-HNNXBMFYSA-N 0 0 429.433 -0.687 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)ccc21 ZINC000442029325 1072391843 /nfs/dbraw/zinc/39/18/43/1072391843.db2.gz KOAHKRAEIPBTGG-OAHLLOKOSA-N 0 0 429.433 -0.687 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1=O ZINC000442029461 1072391723 /nfs/dbraw/zinc/39/17/23/1072391723.db2.gz KVWWOXGGNUFOQO-HNNXBMFYSA-N 0 0 448.505 -0.079 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1=O ZINC000442029462 1072391694 /nfs/dbraw/zinc/39/16/94/1072391694.db2.gz KVWWOXGGNUFOQO-OAHLLOKOSA-N 0 0 448.505 -0.079 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442029578 1072392248 /nfs/dbraw/zinc/39/22/48/1072392248.db2.gz RUMVVWDKSYAEGY-PBHICJAKSA-N 0 0 432.477 -0.520 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442029579 1072392454 /nfs/dbraw/zinc/39/24/54/1072392454.db2.gz RUMVVWDKSYAEGY-RHSMWYFYSA-N 0 0 432.477 -0.520 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442029580 1072392423 /nfs/dbraw/zinc/39/24/23/1072392423.db2.gz RUMVVWDKSYAEGY-WMLDXEAASA-N 0 0 432.477 -0.520 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442029581 1072392390 /nfs/dbraw/zinc/39/23/90/1072392390.db2.gz RUMVVWDKSYAEGY-YOEHRIQHSA-N 0 0 432.477 -0.520 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)cc21 ZINC000442029786 1072391966 /nfs/dbraw/zinc/39/19/66/1072391966.db2.gz LMHDRGGRDQJOLC-NRFANRHFSA-N 0 0 431.493 -0.475 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)cc21 ZINC000442029789 1072391986 /nfs/dbraw/zinc/39/19/86/1072391986.db2.gz LMHDRGGRDQJOLC-OAQYLSRUSA-N 0 0 431.493 -0.475 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2)CC1 ZINC000442029857 1072391767 /nfs/dbraw/zinc/39/17/67/1072391767.db2.gz MHCHCXFCQYRHET-KRWDZBQOSA-N 0 0 442.476 -0.948 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2)CC1 ZINC000442029858 1072392509 /nfs/dbraw/zinc/39/25/09/1072392509.db2.gz MHCHCXFCQYRHET-QGZVFWFLSA-N 0 0 442.476 -0.948 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1)CN1CCOCC1 ZINC000442030323 1072391671 /nfs/dbraw/zinc/39/16/71/1072391671.db2.gz LWNBPDXROINYCT-JOCHJYFZSA-N 0 0 448.520 -0.174 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1)CN1CCOCC1 ZINC000442030326 1072391896 /nfs/dbraw/zinc/39/18/96/1072391896.db2.gz LWNBPDXROINYCT-QFIPXVFZSA-N 0 0 448.520 -0.174 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cccc(Cl)c1S(C)(=O)=O)CN1CCOCC1 ZINC000442030445 1072391744 /nfs/dbraw/zinc/39/17/44/1072391744.db2.gz NCJYZLYWVBFQDF-KRWDZBQOSA-N 0 0 433.914 -0.119 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cccc(Cl)c1S(C)(=O)=O)CN1CCOCC1 ZINC000442030447 1072391798 /nfs/dbraw/zinc/39/17/98/1072391798.db2.gz NCJYZLYWVBFQDF-QGZVFWFLSA-N 0 0 433.914 -0.119 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000442030948 1072392482 /nfs/dbraw/zinc/39/24/82/1072392482.db2.gz NQTVBIDAKKXYBU-INIZCTEOSA-N 0 0 440.522 -0.565 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000442030951 1072392430 /nfs/dbraw/zinc/39/24/30/1072392430.db2.gz NQTVBIDAKKXYBU-MRXNPFEDSA-N 0 0 440.522 -0.565 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1C ZINC000442031009 1072392325 /nfs/dbraw/zinc/39/23/25/1072392325.db2.gz LWDPEBIBJSGNGF-IBGZPJMESA-N 0 0 442.538 -0.105 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1C ZINC000442031014 1072392284 /nfs/dbraw/zinc/39/22/84/1072392284.db2.gz LWDPEBIBJSGNGF-LJQANCHMSA-N 0 0 442.538 -0.105 20 0 IBADRN C[C@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1)n1cccn1 ZINC000442031409 1072392402 /nfs/dbraw/zinc/39/24/02/1072392402.db2.gz NDPWUKNPSDWOSL-DOMZBBRYSA-N 0 0 439.432 -0.216 20 0 IBADRN C[C@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1)n1cccn1 ZINC000442031411 1072392376 /nfs/dbraw/zinc/39/23/76/1072392376.db2.gz NDPWUKNPSDWOSL-IUODEOHRSA-N 0 0 439.432 -0.216 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1)n1cccn1 ZINC000442031413 1072392444 /nfs/dbraw/zinc/39/24/44/1072392444.db2.gz NDPWUKNPSDWOSL-SWLSCSKDSA-N 0 0 439.432 -0.216 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1)n1cccn1 ZINC000442031416 1072392497 /nfs/dbraw/zinc/39/24/97/1072392497.db2.gz NDPWUKNPSDWOSL-WFASDCNBSA-N 0 0 439.432 -0.216 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000442031418 1072392315 /nfs/dbraw/zinc/39/23/15/1072392315.db2.gz NDRASYNXUDBRGK-AWEZNQCLSA-N 0 0 437.478 -0.534 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000442031421 1072392258 /nfs/dbraw/zinc/39/22/58/1072392258.db2.gz NDRASYNXUDBRGK-CQSZACIVSA-N 0 0 437.478 -0.534 20 0 IBADRN O=C(CCc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1)N1CCCC1 ZINC000442031595 1072392881 /nfs/dbraw/zinc/39/28/81/1072392881.db2.gz VEWXRVFNPQUQTL-INIZCTEOSA-N 0 0 427.461 -0.057 20 0 IBADRN O=C(CCc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1)N1CCCC1 ZINC000442031710 1072393114 /nfs/dbraw/zinc/39/31/14/1072393114.db2.gz VEWXRVFNPQUQTL-MRXNPFEDSA-N 0 0 427.461 -0.057 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000442031716 1072393080 /nfs/dbraw/zinc/39/30/80/1072393080.db2.gz NMSLNRZIXUAFHC-AWEZNQCLSA-N 0 0 445.469 -0.341 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000442031719 1072393101 /nfs/dbraw/zinc/39/31/01/1072393101.db2.gz NMSLNRZIXUAFHC-CQSZACIVSA-N 0 0 445.469 -0.341 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000442031760 1072392924 /nfs/dbraw/zinc/39/29/24/1072392924.db2.gz NAZFSDZWRDDNNC-CYBMUJFWSA-N 0 0 435.462 -0.863 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000442031762 1072393091 /nfs/dbraw/zinc/39/30/91/1072393091.db2.gz NAZFSDZWRDDNNC-ZDUSSCGKSA-N 0 0 435.462 -0.863 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)cc2)CC1 ZINC000442031901 1072392466 /nfs/dbraw/zinc/39/24/66/1072392466.db2.gz NJXGCXMXXUGZDV-JOCHJYFZSA-N 0 0 447.536 -0.788 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)cc2)CC1 ZINC000442031902 1072393126 /nfs/dbraw/zinc/39/31/26/1072393126.db2.gz NJXGCXMXXUGZDV-QFIPXVFZSA-N 0 0 447.536 -0.788 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)c2)CC1 ZINC000442031970 1072392237 /nfs/dbraw/zinc/39/22/37/1072392237.db2.gz NIJURTDXSVKYRJ-INIZCTEOSA-N 0 0 428.449 -0.944 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)c2)CC1 ZINC000442031971 1072392361 /nfs/dbraw/zinc/39/23/61/1072392361.db2.gz NIJURTDXSVKYRJ-MRXNPFEDSA-N 0 0 428.449 -0.944 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442032352 1072392351 /nfs/dbraw/zinc/39/23/51/1072392351.db2.gz NTIOZSLTZSDKSF-HNNXBMFYSA-N 0 0 445.501 -0.115 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442032356 1072392271 /nfs/dbraw/zinc/39/22/71/1072392271.db2.gz NTIOZSLTZSDKSF-OAHLLOKOSA-N 0 0 445.501 -0.115 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c(OC(F)F)c1 ZINC000442032504 1072393699 /nfs/dbraw/zinc/39/36/99/1072393699.db2.gz WSXKXIFBSIDIPN-JTQLQIEISA-N 0 0 426.332 -0.224 20 0 IBADRN O=C(Nc1ccc(C(=O)NCC(F)(F)F)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442032506 1072393379 /nfs/dbraw/zinc/39/33/79/1072393379.db2.gz OHLONASKUIRRBH-LLVKDONJSA-N 0 0 427.339 -0.320 20 0 IBADRN O=C(Nc1ccc(C(=O)NCC(F)(F)F)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442032507 1072393759 /nfs/dbraw/zinc/39/37/59/1072393759.db2.gz OHLONASKUIRRBH-NSHDSACASA-N 0 0 427.339 -0.320 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c(OC(F)F)c1 ZINC000442032760 1072393395 /nfs/dbraw/zinc/39/33/95/1072393395.db2.gz WSXKXIFBSIDIPN-SNVBAGLBSA-N 0 0 426.332 -0.224 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(NC(=O)[C@H]2CCCO2)cc1)CN1CCOCC1 ZINC000442032895 1072392941 /nfs/dbraw/zinc/39/29/41/1072392941.db2.gz OXVOBGKYEUVSTN-DYESRHJHSA-N 0 0 434.493 -0.058 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(NC(=O)[C@@H]2CCCO2)cc1)CN1CCOCC1 ZINC000442032897 1072393426 /nfs/dbraw/zinc/39/34/26/1072393426.db2.gz OXVOBGKYEUVSTN-LAUBAEHRSA-N 0 0 434.493 -0.058 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(NC(=O)[C@H]2CCCO2)cc1)CN1CCOCC1 ZINC000442032901 1072393477 /nfs/dbraw/zinc/39/34/77/1072393477.db2.gz OXVOBGKYEUVSTN-UTKZUKDTSA-N 0 0 434.493 -0.058 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(NC(=O)[C@@H]2CCCO2)cc1)CN1CCOCC1 ZINC000442032904 1072393648 /nfs/dbraw/zinc/39/36/48/1072393648.db2.gz OXVOBGKYEUVSTN-UWJYYQICSA-N 0 0 434.493 -0.058 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2)C[C@H](C)O1 ZINC000442032952 1072393724 /nfs/dbraw/zinc/39/37/24/1072393724.db2.gz OWTFSPLQWQEVTO-DVOMOZLQSA-N 0 0 443.460 -0.363 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2)C[C@@H](C)O1 ZINC000442032957 1072393743 /nfs/dbraw/zinc/39/37/43/1072393743.db2.gz OWTFSPLQWQEVTO-IOASZLSFSA-N 0 0 443.460 -0.363 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2)C[C@@H](C)O1 ZINC000442032961 1072393458 /nfs/dbraw/zinc/39/34/58/1072393458.db2.gz OWTFSPLQWQEVTO-XJKCOSOUSA-N 0 0 443.460 -0.363 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2)C[C@H](C)O1 ZINC000442032965 1072393750 /nfs/dbraw/zinc/39/37/50/1072393750.db2.gz OWTFSPLQWQEVTO-ZENOOKHLSA-N 0 0 443.460 -0.363 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)ccc1Cl ZINC000442033016 1072393000 /nfs/dbraw/zinc/39/30/00/1072393000.db2.gz PBJMSOROMUDMBS-LLVKDONJSA-N 0 0 443.869 -0.709 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)ccc1Cl ZINC000442033021 1072393012 /nfs/dbraw/zinc/39/30/12/1072393012.db2.gz PBJMSOROMUDMBS-NSHDSACASA-N 0 0 443.869 -0.709 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442033180 1072392869 /nfs/dbraw/zinc/39/28/69/1072392869.db2.gz OSHSXXGFVVMSNO-HNNXBMFYSA-N 0 0 445.432 -0.989 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442033181 1072392852 /nfs/dbraw/zinc/39/28/52/1072392852.db2.gz OSHSXXGFVVMSNO-OAHLLOKOSA-N 0 0 445.432 -0.989 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CCO1 ZINC000442033397 1072392989 /nfs/dbraw/zinc/39/29/89/1072392989.db2.gz PHIMLYXPGXXNQE-KRWDZBQOSA-N 0 0 431.493 -0.048 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CCO1 ZINC000442033399 1072392971 /nfs/dbraw/zinc/39/29/71/1072392971.db2.gz PHIMLYXPGXXNQE-QGZVFWFLSA-N 0 0 431.493 -0.048 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442033777 1072394223 /nfs/dbraw/zinc/39/42/23/1072394223.db2.gz PIZNXNJTFPFWIM-AWEZNQCLSA-N 0 0 429.433 -0.368 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442033778 1072394046 /nfs/dbraw/zinc/39/40/46/1072394046.db2.gz PIZNXNJTFPFWIM-CQSZACIVSA-N 0 0 429.433 -0.368 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)ccc1Br ZINC000442034238 1072394252 /nfs/dbraw/zinc/39/42/52/1072394252.db2.gz YZNZMYLYTDTWLL-LLVKDONJSA-N 0 0 439.222 -0.063 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)ccc1Br ZINC000442034240 1072394191 /nfs/dbraw/zinc/39/41/91/1072394191.db2.gz YZNZMYLYTDTWLL-NSHDSACASA-N 0 0 439.222 -0.063 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1OC ZINC000442034456 1072393572 /nfs/dbraw/zinc/39/35/72/1072393572.db2.gz UCZZQICNFLAQQQ-CYBMUJFWSA-N 0 0 442.432 -0.350 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1OC ZINC000442034457 1072393636 /nfs/dbraw/zinc/39/36/36/1072393636.db2.gz UCZZQICNFLAQQQ-ZDUSSCGKSA-N 0 0 442.432 -0.350 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cccc1S(=O)(=O)NC1CC1 ZINC000442034636 1072394181 /nfs/dbraw/zinc/39/41/81/1072394181.db2.gz QPYVGDYCXYNPJG-CYBMUJFWSA-N 0 0 435.462 -0.863 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cccc1S(=O)(=O)NC1CC1 ZINC000442034639 1072394097 /nfs/dbraw/zinc/39/40/97/1072394097.db2.gz QPYVGDYCXYNPJG-ZDUSSCGKSA-N 0 0 435.462 -0.863 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000442034781 1072394161 /nfs/dbraw/zinc/39/41/61/1072394161.db2.gz REDUXTFNEACRSD-LLVKDONJSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000442034784 1072394278 /nfs/dbraw/zinc/39/42/78/1072394278.db2.gz REDUXTFNEACRSD-NSHDSACASA-N 0 0 426.495 -0.085 20 0 IBADRN COC(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000442034859 1072394261 /nfs/dbraw/zinc/39/42/61/1072394261.db2.gz ZXBRDSLEWZYJIC-HNNXBMFYSA-N 0 0 429.433 -0.226 20 0 IBADRN COC(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000442034865 1072394213 /nfs/dbraw/zinc/39/42/13/1072394213.db2.gz ZXBRDSLEWZYJIC-OAHLLOKOSA-N 0 0 429.433 -0.226 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000442034986 1072394233 /nfs/dbraw/zinc/39/42/33/1072394233.db2.gz USVYGAFTDJKDEJ-GOSISDBHSA-N 0 0 435.510 -0.478 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000442034987 1072394111 /nfs/dbraw/zinc/39/41/11/1072394111.db2.gz USVYGAFTDJKDEJ-SFHVURJKSA-N 0 0 435.510 -0.478 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000442035355 1072394009 /nfs/dbraw/zinc/39/40/09/1072394009.db2.gz VNJWKWYXNBRXSH-AWEZNQCLSA-N 0 0 435.462 -0.829 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000442035358 1072394151 /nfs/dbraw/zinc/39/41/51/1072394151.db2.gz VNJWKWYXNBRXSH-CQSZACIVSA-N 0 0 435.462 -0.829 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000442036481 1072394557 /nfs/dbraw/zinc/39/45/57/1072394557.db2.gz UEKQDAWBDJWIRC-AWEZNQCLSA-N 0 0 437.478 -0.583 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000442036485 1072394590 /nfs/dbraw/zinc/39/45/90/1072394590.db2.gz UEKQDAWBDJWIRC-CQSZACIVSA-N 0 0 437.478 -0.583 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000442036575 1072394692 /nfs/dbraw/zinc/39/46/92/1072394692.db2.gz XYGDJDPTBFZQLE-AWEZNQCLSA-N 0 0 449.489 -0.555 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000442036577 1072394657 /nfs/dbraw/zinc/39/46/57/1072394657.db2.gz XYGDJDPTBFZQLE-CQSZACIVSA-N 0 0 449.489 -0.555 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442036578 1072395226 /nfs/dbraw/zinc/39/52/26/1072395226.db2.gz UFVNYMXZFSPFIT-HCELYDKLSA-N 0 0 437.518 -0.135 20 0 IBADRN C[C@H](C[S@@](C)=O)N(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442036579 1072395165 /nfs/dbraw/zinc/39/51/65/1072395165.db2.gz UFVNYMXZFSPFIT-OXZBVXRUSA-N 0 0 437.518 -0.135 20 0 IBADRN C[C@H](C[S@@](C)=O)N(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442036582 1072395019 /nfs/dbraw/zinc/39/50/19/1072395019.db2.gz UFVNYMXZFSPFIT-UFZITNIESA-N 0 0 437.518 -0.135 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442036584 1072395214 /nfs/dbraw/zinc/39/52/14/1072395214.db2.gz UFVNYMXZFSPFIT-UKEXBGPQSA-N 0 0 437.518 -0.135 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1N1CCCCC1=O ZINC000442036765 1072394579 /nfs/dbraw/zinc/39/45/79/1072394579.db2.gz VXZGWDXBKPVMTL-AWEZNQCLSA-N 0 0 429.433 -0.087 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1N1CCCCC1=O ZINC000442036767 1072394614 /nfs/dbraw/zinc/39/46/14/1072394614.db2.gz VXZGWDXBKPVMTL-CQSZACIVSA-N 0 0 429.433 -0.087 20 0 IBADRN O=C(Nc1ccc2nc(N3CCOCC3)oc2c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442036929 1072394754 /nfs/dbraw/zinc/39/47/54/1072394754.db2.gz YLZCDHBYZQCCSO-CYBMUJFWSA-N 0 0 428.405 -0.635 20 0 IBADRN O=C(Nc1ccc2nc(N3CCOCC3)oc2c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442036932 1072394707 /nfs/dbraw/zinc/39/47/07/1072394707.db2.gz YLZCDHBYZQCCSO-ZDUSSCGKSA-N 0 0 428.405 -0.635 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000442037324 1072394743 /nfs/dbraw/zinc/39/47/43/1072394743.db2.gz VVHLWYLJVZVQCQ-AWEZNQCLSA-N 0 0 437.478 -0.071 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000442037327 1072394602 /nfs/dbraw/zinc/39/46/02/1072394602.db2.gz VVHLWYLJVZVQCQ-CQSZACIVSA-N 0 0 437.478 -0.071 20 0 IBADRN CCOC(=O)Cn1ccc2cc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)ccc21 ZINC000442037738 1072387692 /nfs/dbraw/zinc/38/76/92/1072387692.db2.gz YHIAXYQDBKDSDP-HNNXBMFYSA-N 0 0 427.417 -0.095 20 0 IBADRN CCOC(=O)Cn1ccc2cc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)ccc21 ZINC000442037741 1072387879 /nfs/dbraw/zinc/38/78/79/1072387879.db2.gz YHIAXYQDBKDSDP-OAHLLOKOSA-N 0 0 427.417 -0.095 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000442038127 1072395288 /nfs/dbraw/zinc/39/52/88/1072395288.db2.gz XZOGHBPKUTVRGE-BXUZGUMPSA-N 0 0 445.432 -0.931 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000442038128 1072395114 /nfs/dbraw/zinc/39/51/14/1072395114.db2.gz XZOGHBPKUTVRGE-FZMZJTMJSA-N 0 0 445.432 -0.931 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000442038129 1072395264 /nfs/dbraw/zinc/39/52/64/1072395264.db2.gz XZOGHBPKUTVRGE-RISCZKNCSA-N 0 0 445.432 -0.931 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000442038130 1072395126 /nfs/dbraw/zinc/39/51/26/1072395126.db2.gz XZOGHBPKUTVRGE-SMDDNHRTSA-N 0 0 445.432 -0.931 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442038194 1072395173 /nfs/dbraw/zinc/39/51/73/1072395173.db2.gz ZEGZCJQDOAFRDX-HNNXBMFYSA-N 0 0 445.501 -0.115 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442038196 1072395181 /nfs/dbraw/zinc/39/51/81/1072395181.db2.gz ZEGZCJQDOAFRDX-OAHLLOKOSA-N 0 0 445.501 -0.115 20 0 IBADRN O=C(Nc1cccc(C(=O)NCc2ccccn2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442038224 1072395257 /nfs/dbraw/zinc/39/52/57/1072395257.db2.gz XAASVOARLHEXTB-INIZCTEOSA-N 0 0 436.428 -0.287 20 0 IBADRN O=C(Nc1cccc(C(=O)NCc2ccccn2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442038226 1072395239 /nfs/dbraw/zinc/39/52/39/1072395239.db2.gz XAASVOARLHEXTB-MRXNPFEDSA-N 0 0 436.428 -0.287 20 0 IBADRN O=C(Nc1cccc(Cn2nc3ccccn3c2=O)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442038272 1072395154 /nfs/dbraw/zinc/39/51/54/1072395154.db2.gz ZLJXRYOICOOCIC-HNNXBMFYSA-N 0 0 449.427 -0.755 20 0 IBADRN O=C(Nc1cccc(Cn2nc3ccccn3c2=O)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442038273 1072395140 /nfs/dbraw/zinc/39/51/40/1072395140.db2.gz ZLJXRYOICOOCIC-OAHLLOKOSA-N 0 0 449.427 -0.755 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1Cl)CN1CCOCC1 ZINC000442038330 1072395094 /nfs/dbraw/zinc/39/50/94/1072395094.db2.gz YASPFNAOHRFEEU-KRWDZBQOSA-N 0 0 433.914 -0.119 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1Cl)CN1CCOCC1 ZINC000442038331 1072394995 /nfs/dbraw/zinc/39/49/95/1072394995.db2.gz YASPFNAOHRFEEU-QGZVFWFLSA-N 0 0 433.914 -0.119 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cccc1C(=O)N1CCOCC1 ZINC000442038511 1072388315 /nfs/dbraw/zinc/38/83/15/1072388315.db2.gz XQJGCMLXVJHRSV-HNNXBMFYSA-N 0 0 429.433 -0.831 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cccc1C(=O)N1CCOCC1 ZINC000442038512 1072388172 /nfs/dbraw/zinc/38/81/72/1072388172.db2.gz XQJGCMLXVJHRSV-OAHLLOKOSA-N 0 0 429.433 -0.831 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442038590 1072388291 /nfs/dbraw/zinc/38/82/91/1072388291.db2.gz ZCMYRHMPRFHABX-HNNXBMFYSA-N 0 0 429.433 -0.831 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442038591 1072388227 /nfs/dbraw/zinc/38/82/27/1072388227.db2.gz ZCMYRHMPRFHABX-OAHLLOKOSA-N 0 0 429.433 -0.831 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1N1CCCC1=O ZINC000442039068 1072388206 /nfs/dbraw/zinc/38/82/06/1072388206.db2.gz ZALYYFBARCLPNC-NRFANRHFSA-N 0 0 434.493 -0.040 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1N1CCCC1=O ZINC000442039069 1072388249 /nfs/dbraw/zinc/38/82/49/1072388249.db2.gz ZALYYFBARCLPNC-OAQYLSRUSA-N 0 0 434.493 -0.040 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1)N1CCSCC1 ZINC000442039115 1072388234 /nfs/dbraw/zinc/38/82/34/1072388234.db2.gz AWBWLCHTDMEGET-HNNXBMFYSA-N 0 0 445.501 -0.494 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1)N1CCSCC1 ZINC000442039119 1072388269 /nfs/dbraw/zinc/38/82/69/1072388269.db2.gz AWBWLCHTDMEGET-OAHLLOKOSA-N 0 0 445.501 -0.494 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCSCC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442039248 1072388283 /nfs/dbraw/zinc/38/82/83/1072388283.db2.gz BGQPDMQTJINDJT-AWEZNQCLSA-N 0 0 431.474 -0.423 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCSCC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442039256 1072388305 /nfs/dbraw/zinc/38/83/05/1072388305.db2.gz BGQPDMQTJINDJT-CQSZACIVSA-N 0 0 431.474 -0.423 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000442039431 1072388263 /nfs/dbraw/zinc/38/82/63/1072388263.db2.gz ZLQZAYDCHNKWCL-BXUZGUMPSA-N 0 0 437.478 -0.536 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000442039432 1072388299 /nfs/dbraw/zinc/38/82/99/1072388299.db2.gz ZLQZAYDCHNKWCL-FZMZJTMJSA-N 0 0 437.478 -0.536 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000442039434 1072388277 /nfs/dbraw/zinc/38/82/77/1072388277.db2.gz ZLQZAYDCHNKWCL-RISCZKNCSA-N 0 0 437.478 -0.536 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000442039435 1072388242 /nfs/dbraw/zinc/38/82/42/1072388242.db2.gz ZLQZAYDCHNKWCL-SMDDNHRTSA-N 0 0 437.478 -0.536 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000442039437 1072388310 /nfs/dbraw/zinc/38/83/10/1072388310.db2.gz ZLRLZZOBVNAONT-UHFFFAOYSA-N 0 0 435.462 0.496 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1C(=O)OC ZINC000442039685 1072388758 /nfs/dbraw/zinc/38/87/58/1072388758.db2.gz BODDYHHBWWPERL-FQEVSTJZSA-N 0 0 437.449 -0.602 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1C(=O)OC ZINC000442039686 1072388641 /nfs/dbraw/zinc/38/86/41/1072388641.db2.gz BODDYHHBWWPERL-HXUWFJFHSA-N 0 0 437.449 -0.602 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442039817 1072388702 /nfs/dbraw/zinc/38/87/02/1072388702.db2.gz CSCNSOQIZUFGKC-CYBMUJFWSA-N 0 0 426.495 -0.514 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442039818 1072388683 /nfs/dbraw/zinc/38/86/83/1072388683.db2.gz CSCNSOQIZUFGKC-ZDUSSCGKSA-N 0 0 426.495 -0.514 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000442039948 1072388197 /nfs/dbraw/zinc/38/81/97/1072388197.db2.gz CZJBYIPUFABWJT-JOCHJYFZSA-N 0 0 448.520 -0.395 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000442039949 1072388186 /nfs/dbraw/zinc/38/81/86/1072388186.db2.gz CZJBYIPUFABWJT-QFIPXVFZSA-N 0 0 448.520 -0.395 20 0 IBADRN Cc1ccc(C(=O)NCC(F)(F)F)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442040548 1072397455 /nfs/dbraw/zinc/39/74/55/1072397455.db2.gz ATZWSPCIPYQRIZ-GFCCVEGCSA-N 0 0 441.366 -0.012 20 0 IBADRN Cc1ccc(C(=O)NCC(F)(F)F)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442040549 1072397351 /nfs/dbraw/zinc/39/73/51/1072397351.db2.gz ATZWSPCIPYQRIZ-LBPRGKRZSA-N 0 0 441.366 -0.012 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c(OC)c(OC)c1 ZINC000442041083 1072389675 /nfs/dbraw/zinc/38/96/75/1072389675.db2.gz BVEHYGLQLBZIEY-FQEVSTJZSA-N 0 0 439.465 -0.372 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c(OC)c(OC)c1 ZINC000442041084 1072389718 /nfs/dbraw/zinc/38/97/18/1072389718.db2.gz BVEHYGLQLBZIEY-HXUWFJFHSA-N 0 0 439.465 -0.372 20 0 IBADRN NC(=O)C[C@H](NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)C1CCCCC1 ZINC000442041638 1072397470 /nfs/dbraw/zinc/39/74/70/1072397470.db2.gz HQYPPJKZJWTVMZ-INIZCTEOSA-N 0 0 447.540 0.560 20 0 IBADRN NC(=O)C[C@@H](NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)C1CCCCC1 ZINC000442041639 1072397374 /nfs/dbraw/zinc/39/73/74/1072397374.db2.gz HQYPPJKZJWTVMZ-MRXNPFEDSA-N 0 0 447.540 0.560 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1-n1nnnc1C1CC1 ZINC000442041850 1072397548 /nfs/dbraw/zinc/39/75/48/1072397548.db2.gz JYPGBCAFJNSMFR-CYBMUJFWSA-N 0 0 440.420 -0.751 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1-n1nnnc1C1CC1 ZINC000442041851 1072397462 /nfs/dbraw/zinc/39/74/62/1072397462.db2.gz JYPGBCAFJNSMFR-ZDUSSCGKSA-N 0 0 440.420 -0.751 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cccc1C(=O)N1CCSCC1 ZINC000442041909 1072397572 /nfs/dbraw/zinc/39/75/72/1072397572.db2.gz KKSFXHHHLOHBAG-HNNXBMFYSA-N 0 0 445.501 -0.115 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cccc1C(=O)N1CCSCC1 ZINC000442041910 1072397481 /nfs/dbraw/zinc/39/74/81/1072397481.db2.gz KKSFXHHHLOHBAG-OAHLLOKOSA-N 0 0 445.501 -0.115 20 0 IBADRN O=C(Nc1ccc(I)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442042109 1072397554 /nfs/dbraw/zinc/39/75/54/1072397554.db2.gz FNMBLDFQZSJRSF-JTQLQIEISA-N 0 0 428.186 -0.008 20 0 IBADRN O=C(Nc1ccc(I)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442042110 1072397499 /nfs/dbraw/zinc/39/74/99/1072397499.db2.gz FNMBLDFQZSJRSF-SNVBAGLBSA-N 0 0 428.186 -0.008 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cccc(-c2nnnn2C2CC2)c1)CN1CCOCC1 ZINC000442042312 1072397522 /nfs/dbraw/zinc/39/75/22/1072397522.db2.gz JLDBZEGXSYMGHA-FQEVSTJZSA-N 0 0 429.481 -0.187 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cccc(-c2nnnn2C2CC2)c1)CN1CCOCC1 ZINC000442042315 1072397396 /nfs/dbraw/zinc/39/73/96/1072397396.db2.gz JLDBZEGXSYMGHA-HXUWFJFHSA-N 0 0 429.481 -0.187 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1OCC(=O)NC1CC1 ZINC000442042321 1072397430 /nfs/dbraw/zinc/39/74/30/1072397430.db2.gz JMAMERSQMZGHSR-CYBMUJFWSA-N 0 0 445.432 -0.946 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1OCC(=O)NC1CC1 ZINC000442042324 1072397579 /nfs/dbraw/zinc/39/75/79/1072397579.db2.gz JMAMERSQMZGHSR-ZDUSSCGKSA-N 0 0 445.432 -0.946 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000442042529 1072398091 /nfs/dbraw/zinc/39/80/91/1072398091.db2.gz JTWQHZDLJHWKOA-IBGZPJMESA-N 0 0 442.538 -0.617 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000442042530 1072398121 /nfs/dbraw/zinc/39/81/21/1072398121.db2.gz JTWQHZDLJHWKOA-LJQANCHMSA-N 0 0 442.538 -0.617 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)c2ccncc12)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442042839 1072398050 /nfs/dbraw/zinc/39/80/50/1072398050.db2.gz KNRMMPQHNKEATR-KRWDZBQOSA-N 0 0 438.444 -0.228 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)c2ccncc12)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442042840 1072398060 /nfs/dbraw/zinc/39/80/60/1072398060.db2.gz KNRMMPQHNKEATR-QGZVFWFLSA-N 0 0 438.444 -0.228 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)[C@@H](C)C1 ZINC000442043032 1072397933 /nfs/dbraw/zinc/39/79/33/1072397933.db2.gz HRJICSLFZXQPHR-AWEZNQCLSA-N 0 0 448.476 -0.376 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)[C@H](C)C1 ZINC000442043034 1072398143 /nfs/dbraw/zinc/39/81/43/1072398143.db2.gz HRJICSLFZXQPHR-CQSZACIVSA-N 0 0 448.476 -0.376 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1-n1nnnc1C ZINC000442043373 1072397537 /nfs/dbraw/zinc/39/75/37/1072397537.db2.gz ILPSIVDQZRXTEI-IBGZPJMESA-N 0 0 433.469 -0.883 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1-n1nnnc1C ZINC000442043377 1072398135 /nfs/dbraw/zinc/39/81/35/1072398135.db2.gz ILPSIVDQZRXTEI-LJQANCHMSA-N 0 0 433.469 -0.883 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)CN1CCOCC1 ZINC000442043418 1072398007 /nfs/dbraw/zinc/39/80/07/1072398007.db2.gz ISPRFFMRYSYYEW-JOCHJYFZSA-N 0 0 444.488 -0.170 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)CN1CCOCC1 ZINC000442043419 1072398067 /nfs/dbraw/zinc/39/80/67/1072398067.db2.gz ISPRFFMRYSYYEW-QFIPXVFZSA-N 0 0 444.488 -0.170 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1C ZINC000442043484 1072397489 /nfs/dbraw/zinc/39/74/89/1072397489.db2.gz MGDGCENQCDAPIK-AWEZNQCLSA-N 0 0 437.478 -0.152 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1C ZINC000442043487 1072397384 /nfs/dbraw/zinc/39/73/84/1072397384.db2.gz MGDGCENQCDAPIK-CQSZACIVSA-N 0 0 437.478 -0.152 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000442043801 1072398106 /nfs/dbraw/zinc/39/81/06/1072398106.db2.gz NEURUCNDEPBHSU-HNNXBMFYSA-N 0 0 440.416 -0.332 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000442043804 1072398035 /nfs/dbraw/zinc/39/80/35/1072398035.db2.gz NEURUCNDEPBHSU-OAHLLOKOSA-N 0 0 440.416 -0.332 20 0 IBADRN O=C(Nc1cccn(Cc2ccc(F)cc2)c1=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442043809 1072398026 /nfs/dbraw/zinc/39/80/26/1072398026.db2.gz PFBPMLZZEYDGSP-HNNXBMFYSA-N 0 0 427.392 -0.263 20 0 IBADRN O=C(Nc1cccn(Cc2ccc(F)cc2)c1=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442043813 1072398079 /nfs/dbraw/zinc/39/80/79/1072398079.db2.gz PFBPMLZZEYDGSP-OAHLLOKOSA-N 0 0 427.392 -0.263 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000442044618 1072398471 /nfs/dbraw/zinc/39/84/71/1072398471.db2.gz OTOGZXYZGGCQLY-GFCCVEGCSA-N 0 0 427.527 -0.218 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000442044624 1072398561 /nfs/dbraw/zinc/39/85/61/1072398561.db2.gz OTOGZXYZGGCQLY-LBPRGKRZSA-N 0 0 427.527 -0.218 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000442044706 1072398555 /nfs/dbraw/zinc/39/85/55/1072398555.db2.gz PBVCQVBNHRUYFB-AWEZNQCLSA-N 0 0 439.538 -0.598 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000442044708 1072398548 /nfs/dbraw/zinc/39/85/48/1072398548.db2.gz PBVCQVBNHRUYFB-CQSZACIVSA-N 0 0 439.538 -0.598 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c(OCC(=O)N(C)C)c1 ZINC000442044894 1072397973 /nfs/dbraw/zinc/39/79/73/1072397973.db2.gz BRYLCBBGKNWTGJ-NRFANRHFSA-N 0 0 436.509 -0.400 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c(OCC(=O)N(C)C)c1 ZINC000442044896 1072398452 /nfs/dbraw/zinc/39/84/52/1072398452.db2.gz BRYLCBBGKNWTGJ-OAQYLSRUSA-N 0 0 436.509 -0.400 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1N1CCCS1(=O)=O ZINC000442045090 1072398421 /nfs/dbraw/zinc/39/84/21/1072398421.db2.gz PTFIVHGJSSYZSV-AWEZNQCLSA-N 0 0 435.462 -0.764 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1N1CCCS1(=O)=O ZINC000442045092 1072398431 /nfs/dbraw/zinc/39/84/31/1072398431.db2.gz PTFIVHGJSSYZSV-CQSZACIVSA-N 0 0 435.462 -0.764 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442045280 1072398532 /nfs/dbraw/zinc/39/85/32/1072398532.db2.gz MQBQZVRCVLJPNR-HNNXBMFYSA-N 0 0 429.433 -0.831 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442045283 1072398488 /nfs/dbraw/zinc/39/84/88/1072398488.db2.gz MQBQZVRCVLJPNR-OAHLLOKOSA-N 0 0 429.433 -0.831 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000442046751 1072399189 /nfs/dbraw/zinc/39/91/89/1072399189.db2.gz SHBZLEDMDDIMTI-LLVKDONJSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000442046753 1072398932 /nfs/dbraw/zinc/39/89/32/1072398932.db2.gz SHBZLEDMDDIMTI-NSHDSACASA-N 0 0 426.495 -0.085 20 0 IBADRN C[C@@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442047224 1072398570 /nfs/dbraw/zinc/39/85/70/1072398570.db2.gz XCJHUZBZCVZSHX-CEXHIMGSSA-N 0 0 431.536 -0.129 20 0 IBADRN C[C@@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442047225 1072398442 /nfs/dbraw/zinc/39/84/42/1072398442.db2.gz XCJHUZBZCVZSHX-JGTBNHKDSA-N 0 0 431.536 -0.129 20 0 IBADRN C[C@H](C[S@@](C)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442047226 1072398523 /nfs/dbraw/zinc/39/85/23/1072398523.db2.gz XCJHUZBZCVZSHX-PFUSGODGSA-N 0 0 431.536 -0.129 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442047227 1072399115 /nfs/dbraw/zinc/39/91/15/1072399115.db2.gz XCJHUZBZCVZSHX-QWVSSGJLSA-N 0 0 431.536 -0.129 20 0 IBADRN CCS(=O)(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442047988 1072398973 /nfs/dbraw/zinc/39/89/73/1072398973.db2.gz WEGUKTKFKFHSNH-LLVKDONJSA-N 0 0 428.854 -0.165 20 0 IBADRN CCS(=O)(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442047989 1072398963 /nfs/dbraw/zinc/39/89/63/1072398963.db2.gz WEGUKTKFKFHSNH-NSHDSACASA-N 0 0 428.854 -0.165 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c2cccnc12 ZINC000442048154 1072399055 /nfs/dbraw/zinc/39/90/55/1072399055.db2.gz ZRNWOGYWQWOGOA-CYBMUJFWSA-N 0 0 441.400 -0.512 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c2cccnc12 ZINC000442048156 1072399010 /nfs/dbraw/zinc/39/90/10/1072399010.db2.gz ZRNWOGYWQWOGOA-ZDUSSCGKSA-N 0 0 441.400 -0.512 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000442049172 1072399062 /nfs/dbraw/zinc/39/90/62/1072399062.db2.gz BQHFIZNEGFYJHC-INIZCTEOSA-N 0 0 446.552 -0.289 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000442049180 1072399144 /nfs/dbraw/zinc/39/91/44/1072399144.db2.gz BQHFIZNEGFYJHC-MRXNPFEDSA-N 0 0 446.552 -0.289 20 0 IBADRN O=C(Nc1ccc2nc(N3CCOCC3)sc2c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442050480 1072398921 /nfs/dbraw/zinc/39/89/21/1072398921.db2.gz ZMQIOFDQCUJZBV-CYBMUJFWSA-N 0 0 444.473 -0.166 20 0 IBADRN O=C(Nc1ccc2nc(N3CCOCC3)sc2c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442050481 1072399109 /nfs/dbraw/zinc/39/91/09/1072399109.db2.gz ZMQIOFDQCUJZBV-ZDUSSCGKSA-N 0 0 444.473 -0.166 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc(OC)cc1OC ZINC000442050794 1072395669 /nfs/dbraw/zinc/39/56/69/1072395669.db2.gz SFUNUOYOFINRMV-GFCCVEGCSA-N 0 0 434.405 -0.418 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc(OC)cc1OC ZINC000442050822 1072395770 /nfs/dbraw/zinc/39/57/70/1072395770.db2.gz SFUNUOYOFINRMV-LBPRGKRZSA-N 0 0 434.405 -0.418 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)CN1CCOCC1 ZINC000442051110 1072395683 /nfs/dbraw/zinc/39/56/83/1072395683.db2.gz FFDTWCIMWWSBTM-IBGZPJMESA-N 0 0 440.522 -0.636 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)CN1CCOCC1 ZINC000442051113 1072395647 /nfs/dbraw/zinc/39/56/47/1072395647.db2.gz FFDTWCIMWWSBTM-LJQANCHMSA-N 0 0 440.522 -0.636 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000442051530 1072395635 /nfs/dbraw/zinc/39/56/35/1072395635.db2.gz FQYXJGIZELHPIK-HNNXBMFYSA-N 0 0 427.479 -0.480 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000442051531 1072395811 /nfs/dbraw/zinc/39/58/11/1072395811.db2.gz FQYXJGIZELHPIK-OAHLLOKOSA-N 0 0 427.479 -0.480 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000442051792 1072395703 /nfs/dbraw/zinc/39/57/03/1072395703.db2.gz UGGXHBWFXUCKIM-AWEZNQCLSA-N 0 0 427.479 -0.052 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000442051793 1072395842 /nfs/dbraw/zinc/39/58/42/1072395842.db2.gz UGGXHBWFXUCKIM-CQSZACIVSA-N 0 0 427.479 -0.052 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)[C@@H](C)C1 ZINC000442051961 1072395782 /nfs/dbraw/zinc/39/57/82/1072395782.db2.gz GAOOUYIUYMGPCL-INIZCTEOSA-N 0 0 436.513 -0.750 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)[C@H](C)C1 ZINC000442051963 1072395595 /nfs/dbraw/zinc/39/55/95/1072395595.db2.gz GAOOUYIUYMGPCL-MRXNPFEDSA-N 0 0 436.513 -0.750 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000442052443 1072395761 /nfs/dbraw/zinc/39/57/61/1072395761.db2.gz VHCXKETUKGTLFW-AWEZNQCLSA-N 0 0 437.478 -0.582 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000442052444 1072395717 /nfs/dbraw/zinc/39/57/17/1072395717.db2.gz VHCXKETUKGTLFW-CQSZACIVSA-N 0 0 437.478 -0.582 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1)CN1CCOCC1 ZINC000442054924 1072396425 /nfs/dbraw/zinc/39/64/25/1072396425.db2.gz TVGMCVPAKIXOBH-JOCHJYFZSA-N 0 0 434.537 -0.301 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1)CN1CCOCC1 ZINC000442054925 1072396346 /nfs/dbraw/zinc/39/63/46/1072396346.db2.gz TVGMCVPAKIXOBH-QFIPXVFZSA-N 0 0 434.537 -0.301 20 0 IBADRN O=C(Nc1cc(Br)cnc1-n1cccn1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442055113 1072396296 /nfs/dbraw/zinc/39/62/96/1072396296.db2.gz YAKJIMCYAIBUAM-LLVKDONJSA-N 0 0 448.237 -0.269 20 0 IBADRN O=C(Nc1cc(Br)cnc1-n1cccn1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442055117 1072396305 /nfs/dbraw/zinc/39/63/05/1072396305.db2.gz YAKJIMCYAIBUAM-NSHDSACASA-N 0 0 448.237 -0.269 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C)CC2 ZINC000442055814 1072396257 /nfs/dbraw/zinc/39/62/57/1072396257.db2.gz UWMGICHEUAOPAM-AWEZNQCLSA-N 0 0 438.550 -0.213 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C)CC2 ZINC000442055815 1072396225 /nfs/dbraw/zinc/39/62/25/1072396225.db2.gz UWMGICHEUAOPAM-CQSZACIVSA-N 0 0 438.550 -0.213 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cccc1C(=O)N1CCOCC1 ZINC000442056410 1072396314 /nfs/dbraw/zinc/39/63/14/1072396314.db2.gz VAQPDWJITQSNDO-JOCHJYFZSA-N 0 0 448.520 -0.395 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cccc1C(=O)N1CCOCC1 ZINC000442056415 1072396102 /nfs/dbraw/zinc/39/61/02/1072396102.db2.gz VAQPDWJITQSNDO-QFIPXVFZSA-N 0 0 448.520 -0.395 20 0 IBADRN O=C(Nc1cccc(I)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442056446 1072396286 /nfs/dbraw/zinc/39/62/86/1072396286.db2.gz ZZXLITZICYVKBA-JTQLQIEISA-N 0 0 428.186 -0.008 20 0 IBADRN O=C(Nc1cccc(I)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442056451 1072396276 /nfs/dbraw/zinc/39/62/76/1072396276.db2.gz ZZXLITZICYVKBA-SNVBAGLBSA-N 0 0 428.186 -0.008 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCO1 ZINC000442056632 1072397034 /nfs/dbraw/zinc/39/70/34/1072397034.db2.gz VLNASDQVXLUGAG-INIZCTEOSA-N 0 0 440.522 -0.565 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCO1 ZINC000442056634 1072396965 /nfs/dbraw/zinc/39/69/65/1072396965.db2.gz VLNASDQVXLUGAG-MRXNPFEDSA-N 0 0 440.522 -0.565 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)cc1 ZINC000442056915 1072396373 /nfs/dbraw/zinc/39/63/73/1072396373.db2.gz FQLJUACKJFEOKA-HNNXBMFYSA-N 0 0 448.520 -0.240 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)cc1 ZINC000442056917 1072396400 /nfs/dbraw/zinc/39/64/00/1072396400.db2.gz FQLJUACKJFEOKA-OAHLLOKOSA-N 0 0 448.520 -0.240 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CCO1 ZINC000442057183 1072396171 /nfs/dbraw/zinc/39/61/71/1072396171.db2.gz VWERWLDDBGAPQL-INIZCTEOSA-N 0 0 434.493 -0.105 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CCO1 ZINC000442057184 1072396483 /nfs/dbraw/zinc/39/64/83/1072396483.db2.gz VWERWLDDBGAPQL-MRXNPFEDSA-N 0 0 434.493 -0.105 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c1=O ZINC000442057212 1072396195 /nfs/dbraw/zinc/39/61/95/1072396195.db2.gz MSXLJZVTPMHRFD-AWEZNQCLSA-N 0 0 436.465 -0.554 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c1=O ZINC000442057213 1072396445 /nfs/dbraw/zinc/39/64/45/1072396445.db2.gz MSXLJZVTPMHRFD-CQSZACIVSA-N 0 0 436.465 -0.554 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)CN1CCOCC1 ZINC000442058164 1072396858 /nfs/dbraw/zinc/39/68/58/1072396858.db2.gz NRTGGFSSNLNDEG-IBGZPJMESA-N 0 0 440.522 -0.636 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)CN1CCOCC1 ZINC000442058165 1072396834 /nfs/dbraw/zinc/39/68/34/1072396834.db2.gz NRTGGFSSNLNDEG-LJQANCHMSA-N 0 0 440.522 -0.636 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)cc1 ZINC000442059054 1072396976 /nfs/dbraw/zinc/39/69/76/1072396976.db2.gz IJPORNRAXKUKTI-AWEZNQCLSA-N 0 0 434.493 -0.169 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)cc1 ZINC000442059056 1072396778 /nfs/dbraw/zinc/39/67/78/1072396778.db2.gz IJPORNRAXKUKTI-CQSZACIVSA-N 0 0 434.493 -0.169 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1S(N)(=O)=O ZINC000442059958 1072396909 /nfs/dbraw/zinc/39/69/09/1072396909.db2.gz ZTCJLHWNXIQYHT-GOSISDBHSA-N 0 0 428.511 -0.966 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1S(N)(=O)=O ZINC000442059961 1072396817 /nfs/dbraw/zinc/39/68/17/1072396817.db2.gz ZTCJLHWNXIQYHT-SFHVURJKSA-N 0 0 428.511 -0.966 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)[C@H](C)C1 ZINC000442060637 1072396878 /nfs/dbraw/zinc/39/68/78/1072396878.db2.gz QQADBHBGOCEVJT-CYBMUJFWSA-N 0 0 436.465 -0.406 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)[C@@H](C)C1 ZINC000442060638 1072397004 /nfs/dbraw/zinc/39/70/04/1072397004.db2.gz QQADBHBGOCEVJT-ZDUSSCGKSA-N 0 0 436.465 -0.406 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000442065359 1072400287 /nfs/dbraw/zinc/40/02/87/1072400287.db2.gz PNOUGTDYLZYPNH-AWEZNQCLSA-N 0 0 431.493 -0.020 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000442065362 1072400279 /nfs/dbraw/zinc/40/02/79/1072400279.db2.gz PNOUGTDYLZYPNH-CQSZACIVSA-N 0 0 431.493 -0.020 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)[C@@H](C)C1 ZINC000442066020 1072400192 /nfs/dbraw/zinc/40/01/92/1072400192.db2.gz SZLGEIDDMSARBK-AWEZNQCLSA-N 0 0 430.465 -0.395 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)[C@H](C)C1 ZINC000442066021 1072400296 /nfs/dbraw/zinc/40/02/96/1072400296.db2.gz SZLGEIDDMSARBK-CQSZACIVSA-N 0 0 430.465 -0.395 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000442068176 1072400232 /nfs/dbraw/zinc/40/02/32/1072400232.db2.gz DFJBTILEQBIALC-UHFFFAOYSA-N 0 0 443.464 -0.902 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)[C@@H](C)C1 ZINC000442069325 1072400256 /nfs/dbraw/zinc/40/02/56/1072400256.db2.gz HGEOEPFSRSWQGN-AWEZNQCLSA-N 0 0 440.522 -0.029 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)[C@H](C)C1 ZINC000442069327 1072400796 /nfs/dbraw/zinc/40/07/96/1072400796.db2.gz HGEOEPFSRSWQGN-CQSZACIVSA-N 0 0 440.522 -0.029 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)[C@@H](C)C1 ZINC000442069373 1072400881 /nfs/dbraw/zinc/40/08/81/1072400881.db2.gz HLVHIJRIXFDUNV-AWEZNQCLSA-N 0 0 445.476 -0.227 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)[C@H](C)C1 ZINC000442069374 1072400733 /nfs/dbraw/zinc/40/07/33/1072400733.db2.gz HLVHIJRIXFDUNV-CQSZACIVSA-N 0 0 445.476 -0.227 20 0 IBADRN COCCCOC1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000442071071 1072400842 /nfs/dbraw/zinc/40/08/42/1072400842.db2.gz JFUHVXBEKBIGSA-UHFFFAOYSA-N 0 0 433.465 -0.385 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCSC[C@@H]2CCO)cc1 ZINC000442071767 1072400817 /nfs/dbraw/zinc/40/08/17/1072400817.db2.gz FBTDWMGHWQFGEB-AWEZNQCLSA-N 0 0 431.536 -0.124 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCSC[C@H]2CCO)cc1 ZINC000442071776 1072400871 /nfs/dbraw/zinc/40/08/71/1072400871.db2.gz FBTDWMGHWQFGEB-CQSZACIVSA-N 0 0 431.536 -0.124 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)cc1S(N)(=O)=O ZINC000442072565 1072399021 /nfs/dbraw/zinc/39/90/21/1072399021.db2.gz MUJRKOWSTRRBKS-CYBMUJFWSA-N 0 0 440.522 -0.069 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)cc1S(N)(=O)=O ZINC000442072628 1072398941 /nfs/dbraw/zinc/39/89/41/1072398941.db2.gz MUJRKOWSTRRBKS-ZDUSSCGKSA-N 0 0 440.522 -0.069 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@@H](C)C1 ZINC000442078045 1072399786 /nfs/dbraw/zinc/39/97/86/1072399786.db2.gz JOQPNAVADWLRPI-AWEZNQCLSA-N 0 0 432.481 -0.948 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@H](C)C1 ZINC000442078052 1072399633 /nfs/dbraw/zinc/39/96/33/1072399633.db2.gz JOQPNAVADWLRPI-CQSZACIVSA-N 0 0 432.481 -0.948 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)[C@@H](C)C1 ZINC000442078543 1072399667 /nfs/dbraw/zinc/39/96/67/1072399667.db2.gz LOZIXRMEYHGHSF-AWEZNQCLSA-N 0 0 440.522 -0.230 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)[C@H](C)C1 ZINC000442078546 1072399482 /nfs/dbraw/zinc/39/94/82/1072399482.db2.gz LOZIXRMEYHGHSF-CQSZACIVSA-N 0 0 440.522 -0.230 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCSC[C@@H]1CCO ZINC000442080150 1072399534 /nfs/dbraw/zinc/39/95/34/1072399534.db2.gz BLTISTAMZJPAJK-HNNXBMFYSA-N 0 0 443.547 -0.028 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCSC[C@H]1CCO ZINC000442080156 1072399704 /nfs/dbraw/zinc/39/97/04/1072399704.db2.gz BLTISTAMZJPAJK-OAHLLOKOSA-N 0 0 443.547 -0.028 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000442080380 1072399815 /nfs/dbraw/zinc/39/98/15/1072399815.db2.gz MGIGDUJZSNJQOX-AWEZNQCLSA-N 0 0 426.539 -0.022 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000442080385 1072399853 /nfs/dbraw/zinc/39/98/53/1072399853.db2.gz MGIGDUJZSNJQOX-CQSZACIVSA-N 0 0 426.539 -0.022 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)ccc1C ZINC000442080642 1072399756 /nfs/dbraw/zinc/39/97/56/1072399756.db2.gz OGBSBFFJUJCQOY-AWEZNQCLSA-N 0 0 440.522 -0.063 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)ccc1C ZINC000442080643 1072399449 /nfs/dbraw/zinc/39/94/49/1072399449.db2.gz OGBSBFFJUJCQOY-CQSZACIVSA-N 0 0 440.522 -0.063 20 0 IBADRN O=C(C(=O)N1CCSC[C@H]1CCO)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442084008 1072399840 /nfs/dbraw/zinc/39/98/40/1072399840.db2.gz QMIMTJFTWQLZNF-CRAIPNDOSA-N 0 0 449.529 -0.034 20 0 IBADRN O=C(C(=O)N1CCSC[C@@H]1CCO)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442084010 1072399548 /nfs/dbraw/zinc/39/95/48/1072399548.db2.gz QMIMTJFTWQLZNF-MAUKXSAKSA-N 0 0 449.529 -0.034 20 0 IBADRN O=C(C(=O)N1CCSC[C@H]1CCO)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442084014 1072399719 /nfs/dbraw/zinc/39/97/19/1072399719.db2.gz QMIMTJFTWQLZNF-QAPCUYQASA-N 0 0 449.529 -0.034 20 0 IBADRN O=C(C(=O)N1CCSC[C@@H]1CCO)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442084016 1072399624 /nfs/dbraw/zinc/39/96/24/1072399624.db2.gz QMIMTJFTWQLZNF-YJBOKZPZSA-N 0 0 449.529 -0.034 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCSC[C@@H]1CCO ZINC000442084186 1072399692 /nfs/dbraw/zinc/39/96/92/1072399692.db2.gz TUJBMFWDECXFDQ-HNNXBMFYSA-N 0 0 443.547 -0.028 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCSC[C@H]1CCO ZINC000442084191 1072399744 /nfs/dbraw/zinc/39/97/44/1072399744.db2.gz TUJBMFWDECXFDQ-OAHLLOKOSA-N 0 0 443.547 -0.028 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c1C ZINC000442086045 1072399506 /nfs/dbraw/zinc/39/95/06/1072399506.db2.gz OWLVLXWMAABTNA-CYBMUJFWSA-N 0 0 440.522 -0.063 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c1C ZINC000442086051 1072400245 /nfs/dbraw/zinc/40/02/45/1072400245.db2.gz OWLVLXWMAABTNA-ZDUSSCGKSA-N 0 0 440.522 -0.063 20 0 IBADRN O=C(NC[C@H]1CCC[C@@H](O)C1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442087432 1072400216 /nfs/dbraw/zinc/40/02/16/1072400216.db2.gz JXZRGIXHPCWDJP-DZGCQCFKSA-N 0 0 438.506 -0.587 20 0 IBADRN O=C(NC[C@@H]1CCC[C@H](O)C1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442087436 1072400210 /nfs/dbraw/zinc/40/02/10/1072400210.db2.gz JXZRGIXHPCWDJP-HIFRSBDPSA-N 0 0 438.506 -0.587 20 0 IBADRN O=C(NC[C@@H]1CCC[C@@H](O)C1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442087440 1072400284 /nfs/dbraw/zinc/40/02/84/1072400284.db2.gz JXZRGIXHPCWDJP-UKRRQHHQSA-N 0 0 438.506 -0.587 20 0 IBADRN O=C(NC[C@H]1CCC[C@H](O)C1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442087444 1072400227 /nfs/dbraw/zinc/40/02/27/1072400227.db2.gz JXZRGIXHPCWDJP-ZFWWWQNUSA-N 0 0 438.506 -0.587 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCSC[C@@H]3CCO)cn2)C[C@@H](C)O1 ZINC000442087622 1072402084 /nfs/dbraw/zinc/40/20/84/1072402084.db2.gz YTFQTCLDDJETMD-FMKPAKJESA-N 0 0 439.538 -0.216 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCSC[C@H]3CCO)cn2)C[C@@H](C)O1 ZINC000442087626 1072402208 /nfs/dbraw/zinc/40/22/08/1072402208.db2.gz YTFQTCLDDJETMD-IIAWOOMASA-N 0 0 439.538 -0.216 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCSC[C@H]3CCO)cn2)C[C@H](C)O1 ZINC000442087631 1072402154 /nfs/dbraw/zinc/40/21/54/1072402154.db2.gz YTFQTCLDDJETMD-IJEWVQPXSA-N 0 0 439.538 -0.216 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCSC[C@@H]3CCO)cn2)C[C@H](C)O1 ZINC000442087637 1072402195 /nfs/dbraw/zinc/40/21/95/1072402195.db2.gz YTFQTCLDDJETMD-LZWOXQAQSA-N 0 0 439.538 -0.216 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)[C@@H](C)C1 ZINC000442088784 1072402066 /nfs/dbraw/zinc/40/20/66/1072402066.db2.gz QJQYUHOIAHHLOG-AWEZNQCLSA-N 0 0 440.522 -0.029 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)[C@H](C)C1 ZINC000442088787 1072402167 /nfs/dbraw/zinc/40/21/67/1072402167.db2.gz QJQYUHOIAHHLOG-CQSZACIVSA-N 0 0 440.522 -0.029 20 0 IBADRN NC(=O)[C@@H]1CCCCC[C@@H]1NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000442088837 1072402160 /nfs/dbraw/zinc/40/21/60/1072402160.db2.gz AUVQWUAAZCBULY-CABCVRRESA-N 0 0 433.513 0.170 20 0 IBADRN NC(=O)[C@H]1CCCCC[C@@H]1NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000442088839 1073317692 /nfs/dbraw/zinc/31/76/92/1073317692.db2.gz AUVQWUAAZCBULY-GJZGRUSLSA-N 0 0 433.513 0.170 20 0 IBADRN NC(=O)[C@@H]1CCCCC[C@H]1NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000442088840 1073317433 /nfs/dbraw/zinc/31/74/33/1073317433.db2.gz AUVQWUAAZCBULY-HUUCEWRRSA-N 0 0 433.513 0.170 20 0 IBADRN NC(=O)[C@H]1CCCCC[C@H]1NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000442088842 1073317508 /nfs/dbraw/zinc/31/75/08/1073317508.db2.gz AUVQWUAAZCBULY-LSDHHAIUSA-N 0 0 433.513 0.170 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3OC)CC2)n1 ZINC000442091110 1073335678 /nfs/dbraw/zinc/33/56/78/1073335678.db2.gz NTJJGHMFGZISKJ-UHFFFAOYSA-N 0 0 444.492 0.260 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)[C@H](C)C1 ZINC000442091609 1072400826 /nfs/dbraw/zinc/40/08/26/1072400826.db2.gz PNMPARQGCMAFMI-CYBMUJFWSA-N 0 0 440.522 -0.015 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)[C@@H](C)C1 ZINC000442091610 1072400930 /nfs/dbraw/zinc/40/09/30/1072400930.db2.gz PNMPARQGCMAFMI-ZDUSSCGKSA-N 0 0 440.522 -0.015 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)[C@H](C)C1 ZINC000442091615 1072400758 /nfs/dbraw/zinc/40/07/58/1072400758.db2.gz PPFAFNLCJRJYIY-GDBMZVCRSA-N 0 0 444.488 -0.074 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)[C@@H](C)C1 ZINC000442091616 1072400846 /nfs/dbraw/zinc/40/08/46/1072400846.db2.gz PPFAFNLCJRJYIY-GOEBONIOSA-N 0 0 444.488 -0.074 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)[C@@H](C)C1 ZINC000442091617 1072400903 /nfs/dbraw/zinc/40/09/03/1072400903.db2.gz PPFAFNLCJRJYIY-HOCLYGCPSA-N 0 0 444.488 -0.074 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)[C@H](C)C1 ZINC000442092118 1072400710 /nfs/dbraw/zinc/40/07/10/1072400710.db2.gz PPFAFNLCJRJYIY-ZBFHGGJFSA-N 0 0 444.488 -0.074 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c1 ZINC000442093412 1072400918 /nfs/dbraw/zinc/40/09/18/1072400918.db2.gz YQHCJUSNXPJUPQ-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c1 ZINC000442093414 1072401549 /nfs/dbraw/zinc/40/15/49/1072401549.db2.gz YQHCJUSNXPJUPQ-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000442097344 1072401385 /nfs/dbraw/zinc/40/13/85/1072401385.db2.gz ZOIDUDODUDTQJA-INIZCTEOSA-N 0 0 449.556 -0.077 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000442097345 1072401479 /nfs/dbraw/zinc/40/14/79/1072401479.db2.gz ZOIDUDODUDTQJA-MRXNPFEDSA-N 0 0 449.556 -0.077 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442098301 1072401589 /nfs/dbraw/zinc/40/15/89/1072401589.db2.gz LHDMIYFAXWJFJZ-UHFFFAOYSA-N 0 0 434.478 -0.076 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c1 ZINC000442098311 1072401516 /nfs/dbraw/zinc/40/15/16/1072401516.db2.gz PTMBMQNFCRTQKK-AWEZNQCLSA-N 0 0 440.522 -0.063 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c1 ZINC000442098313 1072401442 /nfs/dbraw/zinc/40/14/42/1072401442.db2.gz PTMBMQNFCRTQKK-CQSZACIVSA-N 0 0 440.522 -0.063 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000442099799 1072401402 /nfs/dbraw/zinc/40/14/02/1072401402.db2.gz UCCQQAIOFKYJJQ-LLVKDONJSA-N 0 0 430.458 -0.493 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)[C@@H](C)C1 ZINC000442099802 1072401427 /nfs/dbraw/zinc/40/14/27/1072401427.db2.gz UCCQQAIOFKYJJQ-NSHDSACASA-N 0 0 430.458 -0.493 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)[C@@H](C)C1 ZINC000442099972 1072401459 /nfs/dbraw/zinc/40/14/59/1072401459.db2.gz DNJDRLCUPOVDBK-INIZCTEOSA-N 0 0 428.493 0.555 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)[C@H](C)C1 ZINC000442099975 1072401500 /nfs/dbraw/zinc/40/15/00/1072401500.db2.gz DNJDRLCUPOVDBK-MRXNPFEDSA-N 0 0 428.493 0.555 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)[C@H](C)C1 ZINC000442100316 1072401624 /nfs/dbraw/zinc/40/16/24/1072401624.db2.gz VNRCODRVUUWVCY-CYBMUJFWSA-N 0 0 431.453 -0.295 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)[C@@H](C)C1 ZINC000442100318 1072401595 /nfs/dbraw/zinc/40/15/95/1072401595.db2.gz VNRCODRVUUWVCY-ZDUSSCGKSA-N 0 0 431.453 -0.295 20 0 IBADRN CC1(C)C(NS(=O)(=O)N2CCOCC2)C(C)(C)C1NS(=O)(=O)N1CCOCC1 ZINC000442100525 1072401562 /nfs/dbraw/zinc/40/15/62/1072401562.db2.gz VZCILHOVQNKATL-UHFFFAOYSA-N 0 0 440.588 -0.877 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000442101210 1072401578 /nfs/dbraw/zinc/40/15/78/1072401578.db2.gz XQKMWPSGTWUSKO-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)[C@@H](C)C1 ZINC000442101212 1072401529 /nfs/dbraw/zinc/40/15/29/1072401529.db2.gz XQKMWPSGTWUSKO-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@H]3CCCCC[C@H]3C(N)=O)ccn2)CC1 ZINC000442102208 1072401370 /nfs/dbraw/zinc/40/13/70/1072401370.db2.gz WHXXOSXZIHYFFN-CVEARBPZSA-N 0 0 430.509 -0.042 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@H]3CCCCC[C@@H]3C(N)=O)ccn2)CC1 ZINC000442102209 1072401618 /nfs/dbraw/zinc/40/16/18/1072401618.db2.gz WHXXOSXZIHYFFN-HOTGVXAUSA-N 0 0 430.509 -0.042 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCCCC[C@H]3C(N)=O)ccn2)CC1 ZINC000442102210 1072402014 /nfs/dbraw/zinc/40/20/14/1072402014.db2.gz WHXXOSXZIHYFFN-HZPDHXFCSA-N 0 0 430.509 -0.042 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCCCC[C@@H]3C(N)=O)ccn2)CC1 ZINC000442102211 1072402048 /nfs/dbraw/zinc/40/20/48/1072402048.db2.gz WHXXOSXZIHYFFN-JKSUJKDBSA-N 0 0 430.509 -0.042 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)[C@@H](C)C1 ZINC000442104400 1072402183 /nfs/dbraw/zinc/40/21/83/1072402183.db2.gz DDQAUUHHRSLUAI-HNNXBMFYSA-N 0 0 448.524 -0.024 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)[C@H](C)C1 ZINC000442104401 1072402110 /nfs/dbraw/zinc/40/21/10/1072402110.db2.gz DDQAUUHHRSLUAI-OAHLLOKOSA-N 0 0 448.524 -0.024 20 0 IBADRN O=C(NC[C@@H]1CCC[C@]12NC(=O)NC2=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000442106706 1072402026 /nfs/dbraw/zinc/40/20/26/1072402026.db2.gz CKCMOMZYDPVWCT-AOMKIAJQSA-N 0 0 443.504 -0.006 20 0 IBADRN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000442106709 1072402059 /nfs/dbraw/zinc/40/20/59/1072402059.db2.gz CKCMOMZYDPVWCT-KSFYIVLOSA-N 0 0 443.504 -0.006 20 0 IBADRN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000442106711 1072402200 /nfs/dbraw/zinc/40/22/00/1072402200.db2.gz CKCMOMZYDPVWCT-OPAMFIHVSA-N 0 0 443.504 -0.006 20 0 IBADRN O=C(NC[C@H]1CCC[C@]12NC(=O)NC2=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000442106713 1072402211 /nfs/dbraw/zinc/40/22/11/1072402211.db2.gz CKCMOMZYDPVWCT-ZHRRBRCNSA-N 0 0 443.504 -0.006 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)[C@@H]1C(=O)OC ZINC000442107795 1072402793 /nfs/dbraw/zinc/40/27/93/1072402793.db2.gz NAVRUQWLRNMFOT-DOMZBBRYSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)[C@H]1C(=O)OC ZINC000442108129 1072402093 /nfs/dbraw/zinc/40/20/93/1072402093.db2.gz NAVRUQWLRNMFOT-IUODEOHRSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)CCNS(=O)(=O)c1cn(C)c(C)n1 ZINC000442108131 1072402710 /nfs/dbraw/zinc/40/27/10/1072402710.db2.gz NAVRUQWLRNMFOT-SWLSCSKDSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)[C@@H]1C(=O)OC ZINC000442108133 1072402771 /nfs/dbraw/zinc/40/27/71/1072402771.db2.gz NAVRUQWLRNMFOT-WFASDCNBSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000442109132 1072402700 /nfs/dbraw/zinc/40/27/00/1072402700.db2.gz BMUTXXKWSSTOIE-DZGCQCFKSA-N 0 0 426.447 -0.488 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)[C@@H]1C(=O)OC ZINC000442109134 1072402792 /nfs/dbraw/zinc/40/27/92/1072402792.db2.gz BMUTXXKWSSTOIE-HIFRSBDPSA-N 0 0 426.447 -0.488 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)[C@H]1C(=O)OC ZINC000442109138 1072402753 /nfs/dbraw/zinc/40/27/53/1072402753.db2.gz BMUTXXKWSSTOIE-UKRRQHHQSA-N 0 0 426.447 -0.488 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)[C@@H]1C(=O)OC ZINC000442109142 1072402756 /nfs/dbraw/zinc/40/27/56/1072402756.db2.gz BMUTXXKWSSTOIE-ZFWWWQNUSA-N 0 0 426.447 -0.488 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000442110047 1072402735 /nfs/dbraw/zinc/40/27/35/1072402735.db2.gz QIHLBHGVBLZAFR-DOTOQJQBSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)[C@H]1C(=O)OC ZINC000442110049 1072402774 /nfs/dbraw/zinc/40/27/74/1072402774.db2.gz QIHLBHGVBLZAFR-NVXWUHKLSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)[C@@H]1C(=O)OC ZINC000442110052 1072402713 /nfs/dbraw/zinc/40/27/13/1072402713.db2.gz QIHLBHGVBLZAFR-RDJZCZTQSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)[C@@H]1C(=O)OC ZINC000442110055 1072402767 /nfs/dbraw/zinc/40/27/67/1072402767.db2.gz QIHLBHGVBLZAFR-WBVHZDCISA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)[C@@H]1C(=O)OC ZINC000442111604 1072402777 /nfs/dbraw/zinc/40/27/77/1072402777.db2.gz FOCRLDAOQDALHT-ABAIWWIYSA-N 0 0 446.453 -0.023 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)[C@H]1C(=O)OC ZINC000442111611 1072402760 /nfs/dbraw/zinc/40/27/60/1072402760.db2.gz FOCRLDAOQDALHT-IAQYHMDHSA-N 0 0 446.453 -0.023 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)[C@@H]1C(=O)OC ZINC000442111614 1072402764 /nfs/dbraw/zinc/40/27/64/1072402764.db2.gz FOCRLDAOQDALHT-NHYWBVRUSA-N 0 0 446.453 -0.023 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000442111616 1072402790 /nfs/dbraw/zinc/40/27/90/1072402790.db2.gz FOCRLDAOQDALHT-XHDPSFHLSA-N 0 0 446.453 -0.023 20 0 IBADRN CC(C)C[C@@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)Nc1cc[nH]n1 ZINC000442111852 1072403070 /nfs/dbraw/zinc/40/30/70/1072403070.db2.gz OXFUUHDLXHRMKH-GFCCVEGCSA-N 0 0 435.466 0.089 20 0 IBADRN CC(C)C[C@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)Nc1cc[nH]n1 ZINC000442111854 1073317105 /nfs/dbraw/zinc/31/71/05/1073317105.db2.gz OXFUUHDLXHRMKH-LBPRGKRZSA-N 0 0 435.466 0.089 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)[C@H]1C(=O)OC ZINC000442112414 1072402786 /nfs/dbraw/zinc/40/27/86/1072402786.db2.gz QAXKBTFXRCJIKW-BFYDXBDKSA-N 0 0 425.482 -0.880 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)[C@@H]1C(=O)OC ZINC000442112416 1072402783 /nfs/dbraw/zinc/40/27/83/1072402783.db2.gz QAXKBTFXRCJIKW-INMHGKMJSA-N 0 0 425.482 -0.880 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)[C@@H]1C(=O)OC ZINC000442112418 1072402779 /nfs/dbraw/zinc/40/27/79/1072402779.db2.gz QAXKBTFXRCJIKW-UXLLHSPISA-N 0 0 425.482 -0.880 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)[C@H]1C(=O)OC ZINC000442112420 1072402720 /nfs/dbraw/zinc/40/27/20/1072402720.db2.gz QAXKBTFXRCJIKW-ZMSDIMECSA-N 0 0 425.482 -0.880 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@@H]1C(=O)OC ZINC000442113676 1072403123 /nfs/dbraw/zinc/40/31/23/1072403123.db2.gz JEHDRSZNPFQGJM-BNOWGMLFSA-N 0 0 444.510 -0.343 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@H]1C(=O)OC ZINC000442113679 1072403181 /nfs/dbraw/zinc/40/31/81/1072403181.db2.gz JEHDRSZNPFQGJM-IACUBPJLSA-N 0 0 444.510 -0.343 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@H]1C(=O)OC ZINC000442113682 1072403187 /nfs/dbraw/zinc/40/31/87/1072403187.db2.gz JEHDRSZNPFQGJM-MRVWCRGKSA-N 0 0 444.510 -0.343 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@@H]1C(=O)OC ZINC000442113684 1072403109 /nfs/dbraw/zinc/40/31/09/1072403109.db2.gz JEHDRSZNPFQGJM-YUTCNCBUSA-N 0 0 444.510 -0.343 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](C(=O)OC)[C@@H]2C(=O)OC)c1 ZINC000442114146 1072403216 /nfs/dbraw/zinc/40/32/16/1072403216.db2.gz IRLOMIYBBQLMRJ-GXTWGEPZSA-N 0 0 431.467 -0.494 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](C(=O)OC)[C@H]2C(=O)OC)c1 ZINC000442114149 1072403268 /nfs/dbraw/zinc/40/32/68/1072403268.db2.gz IRLOMIYBBQLMRJ-JSGCOSHPSA-N 0 0 431.467 -0.494 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](C(=O)OC)[C@H]2C(=O)OC)c1 ZINC000442114151 1072403138 /nfs/dbraw/zinc/40/31/38/1072403138.db2.gz IRLOMIYBBQLMRJ-OCCSQVGLSA-N 0 0 431.467 -0.494 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](C(=O)OC)[C@@H]2C(=O)OC)c1 ZINC000442114153 1072403153 /nfs/dbraw/zinc/40/31/53/1072403153.db2.gz IRLOMIYBBQLMRJ-TZMCWYRMSA-N 0 0 431.467 -0.494 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000442114995 1072403958 /nfs/dbraw/zinc/40/39/58/1072403958.db2.gz SYNTUWNJAXGFRC-DZGCQCFKSA-N 0 0 443.478 -0.398 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@@H]1C(=O)OC ZINC000442114997 1072403990 /nfs/dbraw/zinc/40/39/90/1072403990.db2.gz SYNTUWNJAXGFRC-HIFRSBDPSA-N 0 0 443.478 -0.398 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@H]1C(=O)OC ZINC000442114999 1072403979 /nfs/dbraw/zinc/40/39/79/1072403979.db2.gz SYNTUWNJAXGFRC-UKRRQHHQSA-N 0 0 443.478 -0.398 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@@H]1C(=O)OC ZINC000442115001 1072403882 /nfs/dbraw/zinc/40/38/82/1072403882.db2.gz SYNTUWNJAXGFRC-ZFWWWQNUSA-N 0 0 443.478 -0.398 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c2ccccc2O1 ZINC000442115044 1072403161 /nfs/dbraw/zinc/40/31/61/1072403161.db2.gz TVGBSEYRNMZWCT-PBHICJAKSA-N 0 0 432.477 -0.378 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)[C@H]1C(=O)OC ZINC000442115045 1072403100 /nfs/dbraw/zinc/40/31/00/1072403100.db2.gz PRRBEAXRHPRFSG-CRAIPNDOSA-N 0 0 447.492 -0.141 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c2ccccc2O1 ZINC000442115046 1072403223 /nfs/dbraw/zinc/40/32/23/1072403223.db2.gz TVGBSEYRNMZWCT-RHSMWYFYSA-N 0 0 432.477 -0.378 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000442115047 1072403273 /nfs/dbraw/zinc/40/32/73/1072403273.db2.gz PRRBEAXRHPRFSG-MAUKXSAKSA-N 0 0 447.492 -0.141 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c2ccccc2O1 ZINC000442115048 1072403240 /nfs/dbraw/zinc/40/32/40/1072403240.db2.gz TVGBSEYRNMZWCT-WMLDXEAASA-N 0 0 432.477 -0.378 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)[C@@H]1C(=O)OC ZINC000442115049 1072403202 /nfs/dbraw/zinc/40/32/02/1072403202.db2.gz PRRBEAXRHPRFSG-QAPCUYQASA-N 0 0 447.492 -0.141 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)[C@@H]1C(=O)OC ZINC000442115050 1072403083 /nfs/dbraw/zinc/40/30/83/1072403083.db2.gz PRRBEAXRHPRFSG-YJBOKZPZSA-N 0 0 447.492 -0.141 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c2ccccc2O1 ZINC000442115051 1072403928 /nfs/dbraw/zinc/40/39/28/1072403928.db2.gz TVGBSEYRNMZWCT-YOEHRIQHSA-N 0 0 432.477 -0.378 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)c1 ZINC000442115319 1072403208 /nfs/dbraw/zinc/40/32/08/1072403208.db2.gz KGGNGYJFGMYPQW-BLVKFPJESA-N 0 0 426.437 -0.207 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)c1 ZINC000442115322 1072403053 /nfs/dbraw/zinc/40/30/53/1072403053.db2.gz KGGNGYJFGMYPQW-BUXKBTBVSA-N 0 0 426.437 -0.207 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)c1 ZINC000442115326 1072403195 /nfs/dbraw/zinc/40/31/95/1072403195.db2.gz KGGNGYJFGMYPQW-CWTRNNRKSA-N 0 0 426.437 -0.207 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)c1 ZINC000442115328 1072403260 /nfs/dbraw/zinc/40/32/60/1072403260.db2.gz KGGNGYJFGMYPQW-HXPMCKFVSA-N 0 0 426.437 -0.207 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000442119630 1072403893 /nfs/dbraw/zinc/40/38/93/1072403893.db2.gz OGHGPGDMVQFRSA-GZMMTYOYSA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)[C@@H]1C(=O)OC ZINC000442119638 1072403991 /nfs/dbraw/zinc/40/39/91/1072403991.db2.gz OGHGPGDMVQFRSA-KCJUWKMLSA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)[C@@H]1C(=O)OC ZINC000442119641 1072403903 /nfs/dbraw/zinc/40/39/03/1072403903.db2.gz OGHGPGDMVQFRSA-KWQFWETISA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)[C@H]1C(=O)OC ZINC000442119645 1072403840 /nfs/dbraw/zinc/40/38/40/1072403840.db2.gz OGHGPGDMVQFRSA-LDYMZIIASA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)[C@H]1C(=O)OC ZINC000442121232 1072403963 /nfs/dbraw/zinc/40/39/63/1072403963.db2.gz PONCPTRTPMYGLB-CYZMBNFOSA-N 0 0 431.467 -0.089 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)[C@@H]1C(=O)OC ZINC000442121236 1072404428 /nfs/dbraw/zinc/40/44/28/1072404428.db2.gz PONCPTRTPMYGLB-DRZSPHRISA-N 0 0 431.467 -0.089 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)[C@@H]1C(=O)OC ZINC000442121240 1072404537 /nfs/dbraw/zinc/40/45/37/1072404537.db2.gz PONCPTRTPMYGLB-UHTWSYAYSA-N 0 0 431.467 -0.089 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)[C@H](C)NS(=O)(=O)c1c(C)noc1C ZINC000442121243 1072404579 /nfs/dbraw/zinc/40/45/79/1072404579.db2.gz PONCPTRTPMYGLB-WCFLWFBJSA-N 0 0 431.467 -0.089 20 0 IBADRN CC(C)(CO)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442127941 1072405877 /nfs/dbraw/zinc/40/58/77/1072405877.db2.gz WTNIZOSBCFZIRJ-UHFFFAOYSA-N 0 0 440.522 -0.340 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NCC(C)(C)N1CCS(=O)CC1 ZINC000442128259 1072405986 /nfs/dbraw/zinc/40/59/86/1072405986.db2.gz CLWZEVWWROSRFL-UHFFFAOYSA-N 0 0 445.553 -0.177 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)OC(C)(C)C)cnc2n(C)c1=O ZINC000442132038 1072404593 /nfs/dbraw/zinc/40/45/93/1072404593.db2.gz SWJZQVLASGTFRV-CYBMUJFWSA-N 0 0 431.449 -0.097 20 0 IBADRN CC(C)(C)[C@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000442135201 1072404524 /nfs/dbraw/zinc/40/45/24/1072404524.db2.gz TZLRKHFTOFKMQP-GFCCVEGCSA-N 0 0 426.503 -0.365 20 0 IBADRN CC(C)(C)[C@@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000442135203 1072404456 /nfs/dbraw/zinc/40/44/56/1072404456.db2.gz TZLRKHFTOFKMQP-LBPRGKRZSA-N 0 0 426.503 -0.365 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H](CC(N)=O)C2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000442139945 1072405282 /nfs/dbraw/zinc/40/52/82/1072405282.db2.gz RETDDTMQGRBTIN-HNNXBMFYSA-N 0 0 440.522 -0.029 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H](CC(N)=O)C2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000442139957 1072405191 /nfs/dbraw/zinc/40/51/91/1072405191.db2.gz RETDDTMQGRBTIN-OAHLLOKOSA-N 0 0 440.522 -0.029 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](CC(N)=O)C1CCOCC1)CC2 ZINC000442140288 1072405397 /nfs/dbraw/zinc/40/53/97/1072405397.db2.gz RQKFLIKYUVFFEE-HNNXBMFYSA-N 0 0 438.506 -0.729 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](CC(N)=O)C1CCOCC1)CC2 ZINC000442140290 1072405344 /nfs/dbraw/zinc/40/53/44/1072405344.db2.gz RQKFLIKYUVFFEE-OAHLLOKOSA-N 0 0 438.506 -0.729 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)Nc3ccc(OC4COC4)cc3)cnc2n(C)c1=O ZINC000442144867 1072405320 /nfs/dbraw/zinc/40/53/20/1072405320.db2.gz YQUCLTVVOXWTGT-UHFFFAOYSA-N 0 0 425.401 -0.013 20 0 IBADRN COc1cc(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)sc1S(N)(=O)=O ZINC000442147199 1072405261 /nfs/dbraw/zinc/40/52/61/1072405261.db2.gz CQOSGEIXDYACBB-UHFFFAOYSA-N 0 0 425.448 -0.398 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)cn1 ZINC000442148012 1072405361 /nfs/dbraw/zinc/40/53/61/1072405361.db2.gz DVIATNHFNKYPQR-GFCCVEGCSA-N 0 0 440.464 -0.911 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)cn1 ZINC000442148014 1072405384 /nfs/dbraw/zinc/40/53/84/1072405384.db2.gz DVIATNHFNKYPQR-LBPRGKRZSA-N 0 0 440.464 -0.911 20 0 IBADRN Cn1c2ncc(NC(=O)C(=O)N[C@@H](CC(N)=O)C3CCCCC3)cc2c(=O)n(C)c1=O ZINC000442155425 1072406675 /nfs/dbraw/zinc/40/66/75/1072406675.db2.gz WAUCVHSOMMNSIU-AWEZNQCLSA-N 0 0 430.465 -0.489 20 0 IBADRN Cn1c2ncc(NC(=O)C(=O)N[C@H](CC(N)=O)C3CCCCC3)cc2c(=O)n(C)c1=O ZINC000442155430 1072406632 /nfs/dbraw/zinc/40/66/32/1072406632.db2.gz WAUCVHSOMMNSIU-CQSZACIVSA-N 0 0 430.465 -0.489 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)C2)cn1 ZINC000442156832 1072406602 /nfs/dbraw/zinc/40/66/02/1072406602.db2.gz JZXJGEYOKOBCQP-HNNXBMFYSA-N 0 0 426.481 -0.525 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)C2)cn1 ZINC000442156845 1072406495 /nfs/dbraw/zinc/40/64/95/1072406495.db2.gz JZXJGEYOKOBCQP-OAHLLOKOSA-N 0 0 426.481 -0.525 20 0 IBADRN NC(=O)C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C1CCCCC1 ZINC000442157067 1072406536 /nfs/dbraw/zinc/40/65/36/1072406536.db2.gz XRVSTJFLCCEYOV-HNNXBMFYSA-N 0 0 434.497 -0.379 20 0 IBADRN NC(=O)C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C1CCCCC1 ZINC000442157068 1072406679 /nfs/dbraw/zinc/40/66/79/1072406679.db2.gz XRVSTJFLCCEYOV-OAHLLOKOSA-N 0 0 434.497 -0.379 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000442157220 1072406663 /nfs/dbraw/zinc/40/66/63/1072406663.db2.gz XXVFLGXGZCFZAJ-UHFFFAOYSA-N 0 0 447.525 -0.300 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000442158394 1072406639 /nfs/dbraw/zinc/40/66/39/1072406639.db2.gz PSJRQWFFVVTMDK-CQSZACIVSA-N 0 0 435.481 0.013 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)sc1S(N)(=O)=O ZINC000442158500 1072406450 /nfs/dbraw/zinc/40/64/50/1072406450.db2.gz PXODOWMGYPSUBY-UHFFFAOYSA-N 0 0 432.524 -0.979 20 0 IBADRN Cc1nc(CN2CCN(C(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)CC2)no1 ZINC000442159373 1072406582 /nfs/dbraw/zinc/40/65/82/1072406582.db2.gz RBDRFVSHQBUFBK-UHFFFAOYSA-N 0 0 437.468 -0.100 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3noc(C)n3)c2)CC1 ZINC000442163668 1072405838 /nfs/dbraw/zinc/40/58/38/1072405838.db2.gz WILCJJMUKCMNLA-UHFFFAOYSA-N 0 0 436.494 -0.041 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCS(=O)(=O)NC(C)C)CC2 ZINC000442166762 1072405854 /nfs/dbraw/zinc/40/58/54/1072405854.db2.gz GMAKPLNYYQZBAE-UHFFFAOYSA-N 0 0 446.551 -0.682 20 0 IBADRN C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000442169979 1072405888 /nfs/dbraw/zinc/40/58/88/1072405888.db2.gz KWQPWEAEIUQABY-AWEZNQCLSA-N 0 0 435.529 0.381 20 0 IBADRN C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000442169990 1072405957 /nfs/dbraw/zinc/40/59/57/1072405957.db2.gz KWQPWEAEIUQABY-CQSZACIVSA-N 0 0 435.529 0.381 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1C(=O)OC ZINC000442171173 1072406367 /nfs/dbraw/zinc/40/63/67/1072406367.db2.gz HXNQEVODTMCQHH-UHFFFAOYSA-N 0 0 427.435 -0.650 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC(=O)NCCc1ccccc1)CC2 ZINC000442175141 1072407183 /nfs/dbraw/zinc/40/71/83/1072407183.db2.gz UNKLHFZOMOKLRW-UHFFFAOYSA-N 0 0 444.513 -0.041 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1C(=O)OC ZINC000442175653 1072407170 /nfs/dbraw/zinc/40/71/70/1072407170.db2.gz VQGJZVDEJTWJBM-UHFFFAOYSA-N 0 0 429.451 -0.358 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCN1CCCS1(=O)=O)CC2 ZINC000442176745 1072407203 /nfs/dbraw/zinc/40/72/03/1072407203.db2.gz QWQUMXRIJVFHFK-UHFFFAOYSA-N 0 0 444.535 -0.974 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCN3CCCS3(=O)=O)cc21 ZINC000442176759 1072407115 /nfs/dbraw/zinc/40/71/15/1072407115.db2.gz QZGUGOUILPRTCW-UHFFFAOYSA-N 0 0 435.506 -0.180 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)c(OC)c(OC)c1 ZINC000442177941 1072407222 /nfs/dbraw/zinc/40/72/22/1072407222.db2.gz TVKMXSMUUCAUSW-UHFFFAOYSA-N 0 0 431.467 -0.127 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)nn1 ZINC000442180818 1072407129 /nfs/dbraw/zinc/40/71/29/1072407129.db2.gz IUHJKPHRSMLOHA-NSHDSACASA-N 0 0 438.466 -0.607 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c(OC)c(OC)c1 ZINC000442181832 1072407842 /nfs/dbraw/zinc/40/78/42/1072407842.db2.gz NOAOQQCNFLWWBJ-UHFFFAOYSA-N 0 0 429.451 -0.419 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000442182723 1072407961 /nfs/dbraw/zinc/40/79/61/1072407961.db2.gz RECIGDCZBZPSCG-UHFFFAOYSA-N 0 0 437.457 -0.231 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCCNS(=O)(=O)CC)CC2 ZINC000442186695 1072407248 /nfs/dbraw/zinc/40/72/48/1072407248.db2.gz SYKRZNJTSPUGEP-UHFFFAOYSA-N 0 0 446.551 -0.681 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C2(NS(=O)(=O)c3c(C)noc3C)CCCC2)nn1 ZINC000442187170 1072406960 /nfs/dbraw/zinc/40/69/60/1072406960.db2.gz VCPWXCQKNBHBMF-UHFFFAOYSA-N 0 0 440.482 -0.037 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)CC1 ZINC000442194565 1072408462 /nfs/dbraw/zinc/40/84/62/1072408462.db2.gz KPUMTSNHIFBBDL-UHFFFAOYSA-N 0 0 441.462 -0.308 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H](O)COCC1CC1)CC2 ZINC000442197452 1072408392 /nfs/dbraw/zinc/40/83/92/1072408392.db2.gz UNCTUOFABPTCCY-HNNXBMFYSA-N 0 0 425.507 -0.222 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H](O)COCC1CC1)CC2 ZINC000442197453 1072408358 /nfs/dbraw/zinc/40/83/58/1072408358.db2.gz UNCTUOFABPTCCY-OAHLLOKOSA-N 0 0 425.507 -0.222 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1F ZINC000442198618 1072408351 /nfs/dbraw/zinc/40/83/51/1072408351.db2.gz GLLROEWSBOOZQE-HZMBPMFUSA-N 0 0 438.412 -0.842 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1F ZINC000442198620 1072409039 /nfs/dbraw/zinc/40/90/39/1072409039.db2.gz GLLROEWSBOOZQE-IINYFYTJSA-N 0 0 438.412 -0.842 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1F ZINC000442198622 1072408933 /nfs/dbraw/zinc/40/89/33/1072408933.db2.gz GLLROEWSBOOZQE-QMTHXVAHSA-N 0 0 438.412 -0.842 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1F ZINC000442198624 1072409103 /nfs/dbraw/zinc/40/91/03/1072409103.db2.gz GLLROEWSBOOZQE-YGRLFVJLSA-N 0 0 438.412 -0.842 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)NC)c3c2)CC1 ZINC000442200405 1072409013 /nfs/dbraw/zinc/40/90/13/1072409013.db2.gz AMBFMWCCENLIAI-UHFFFAOYSA-N 0 0 435.506 -0.180 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2ccc(S(=O)(=O)N=c3cc[nH]cc3)cc2)nn1 ZINC000442202528 1072409000 /nfs/dbraw/zinc/40/90/00/1072409000.db2.gz SFNMRRKITPDCCT-UHFFFAOYSA-N 0 0 430.446 -0.001 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)CC1 ZINC000442202983 1072407805 /nfs/dbraw/zinc/40/78/05/1072407805.db2.gz BCQHDAQMVJIXPD-UHFFFAOYSA-N 0 0 443.478 -0.077 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000442218223 1072409626 /nfs/dbraw/zinc/40/96/26/1072409626.db2.gz WKQDHKGSKXPRGI-INIZCTEOSA-N 0 0 448.501 -0.086 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000442218322 1072409547 /nfs/dbraw/zinc/40/95/47/1072409547.db2.gz DWSWHDIHEWZFRS-UHFFFAOYSA-N 0 0 444.496 0.163 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)nn1 ZINC000442220799 1072410058 /nfs/dbraw/zinc/41/00/58/1072410058.db2.gz FYBHDDDWTJRGEC-BETUJISGSA-N 0 0 434.457 -0.395 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)nn1 ZINC000442220803 1072410107 /nfs/dbraw/zinc/41/01/07/1072410107.db2.gz FYBHDDDWTJRGEC-CHWSQXEVSA-N 0 0 434.457 -0.395 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)nn1 ZINC000442220805 1072410020 /nfs/dbraw/zinc/41/00/20/1072410020.db2.gz FYBHDDDWTJRGEC-STQMWFEESA-N 0 0 434.457 -0.395 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)nn1 ZINC000442220889 1072409530 /nfs/dbraw/zinc/40/95/30/1072409530.db2.gz GLNWGOUNSBSBSY-UHFFFAOYSA-N 0 0 425.423 -0.037 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)cc2)c1=O ZINC000442222896 1072410035 /nfs/dbraw/zinc/41/00/35/1072410035.db2.gz CMMWLSCVLZTQMI-UHFFFAOYSA-N 0 0 434.409 -0.065 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@H]2c2nnc(-c3ccccc3)[nH]2)n1 ZINC000442224473 1072410132 /nfs/dbraw/zinc/41/01/32/1072410132.db2.gz HYQWJUOCPPGOIW-AWEZNQCLSA-N 0 0 437.464 0.454 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@@H]2c2nnc(-c3ccccc3)[nH]2)n1 ZINC000442224476 1072410079 /nfs/dbraw/zinc/41/00/79/1072410079.db2.gz HYQWJUOCPPGOIW-CQSZACIVSA-N 0 0 437.464 0.454 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)c1=O ZINC000442226949 1072409072 /nfs/dbraw/zinc/40/90/72/1072409072.db2.gz QZMBYWLTFKYOSD-UHFFFAOYSA-N 0 0 440.416 -0.127 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)NCc2cn(CC(=O)OC)nn2)cc1 ZINC000442230671 1072409093 /nfs/dbraw/zinc/40/90/93/1072409093.db2.gz RHJLSXHLAJHJPW-UHFFFAOYSA-N 0 0 426.455 -0.303 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)nn1 ZINC000442233633 1072409656 /nfs/dbraw/zinc/40/96/56/1072409656.db2.gz MGQXKSPXJWWLGA-UHFFFAOYSA-N 0 0 439.480 0.004 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)nn1 ZINC000442237212 1072410007 /nfs/dbraw/zinc/41/00/07/1072410007.db2.gz GAKJAORNHGLOKM-AWEZNQCLSA-N 0 0 432.437 -0.425 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)nn1 ZINC000442237213 1072410102 /nfs/dbraw/zinc/41/01/02/1072410102.db2.gz GAKJAORNHGLOKM-CQSZACIVSA-N 0 0 432.437 -0.425 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000442241097 1072409992 /nfs/dbraw/zinc/40/99/92/1072409992.db2.gz YDGXIIWWSBAFHK-KRWDZBQOSA-N 0 0 448.501 -0.237 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCNC1=O ZINC000442253835 1072411325 /nfs/dbraw/zinc/41/13/25/1072411325.db2.gz HXIHRSJXNWPNIR-CYBMUJFWSA-N 0 0 444.897 -0.354 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCNC1=O ZINC000442253839 1072411232 /nfs/dbraw/zinc/41/12/32/1072411232.db2.gz HXIHRSJXNWPNIR-ZDUSSCGKSA-N 0 0 444.897 -0.354 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)[C@H]1CCNC1=O ZINC000442254009 1072411175 /nfs/dbraw/zinc/41/11/75/1072411175.db2.gz IGKAVALYTPHADW-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)[C@@H]1CCNC1=O ZINC000442254013 1072411277 /nfs/dbraw/zinc/41/12/77/1072411277.db2.gz IGKAVALYTPHADW-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1Cl ZINC000442254588 1072410661 /nfs/dbraw/zinc/41/06/61/1072410661.db2.gz CZZQFLDLWIXSAW-CYBMUJFWSA-N 0 0 427.841 -0.070 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1Cl ZINC000442254591 1072411214 /nfs/dbraw/zinc/41/12/14/1072411214.db2.gz CZZQFLDLWIXSAW-ZDUSSCGKSA-N 0 0 427.841 -0.070 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000442254773 1072415600 /nfs/dbraw/zinc/41/56/00/1072415600.db2.gz POIZWXIQIRUBPW-DLBZAZTESA-N 0 0 430.461 -0.607 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)N3C[C@H](O)C[C@@H]3CO)ccc21)N1CCOCC1 ZINC000442254774 1072415577 /nfs/dbraw/zinc/41/55/77/1072415577.db2.gz POIZWXIQIRUBPW-IAGOWNOFSA-N 0 0 430.461 -0.607 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)ccc21)N1CCOCC1 ZINC000442254775 1072415659 /nfs/dbraw/zinc/41/56/59/1072415659.db2.gz POIZWXIQIRUBPW-IRXDYDNUSA-N 0 0 430.461 -0.607 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N1C[C@@H](O)C[C@@H]1CO ZINC000442254776 1072415557 /nfs/dbraw/zinc/41/55/57/1072415557.db2.gz POIZWXIQIRUBPW-SJORKVTESA-N 0 0 430.461 -0.607 20 0 IBADRN C[C@H]1[C@H](C)N(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCN1C ZINC000442255317 1072415638 /nfs/dbraw/zinc/41/56/38/1072415638.db2.gz QPOUWYRRFJENNO-KBPBESRZSA-N 0 0 437.522 -0.703 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCN1C ZINC000442255318 1072415564 /nfs/dbraw/zinc/41/55/64/1072415564.db2.gz QPOUWYRRFJENNO-KGLIPLIRSA-N 0 0 437.522 -0.703 20 0 IBADRN C[C@@H]1[C@H](C)N(C)CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442255686 1072415612 /nfs/dbraw/zinc/41/56/12/1072415612.db2.gz QPOUWYRRFJENNO-UONOGXRCSA-N 0 0 437.522 -0.703 20 0 IBADRN C[C@@H]1[C@@H](C)N(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCN1C ZINC000442255688 1072415679 /nfs/dbraw/zinc/41/56/79/1072415679.db2.gz QPOUWYRRFJENNO-ZIAGYGMSSA-N 0 0 437.522 -0.703 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)cc(OC)c(OC)c1OC ZINC000442255891 1072415609 /nfs/dbraw/zinc/41/56/09/1072415609.db2.gz KVOSMHYRPSBYHJ-GHMZBOCLSA-N 0 0 426.422 -0.218 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)cc(OC)c(OC)c1OC ZINC000442255894 1072415605 /nfs/dbraw/zinc/41/56/05/1072415605.db2.gz KVOSMHYRPSBYHJ-MNOVXSKESA-N 0 0 426.422 -0.218 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)cc(OC)c(OC)c1OC ZINC000442255896 1072415580 /nfs/dbraw/zinc/41/55/80/1072415580.db2.gz KVOSMHYRPSBYHJ-QWRGUYRKSA-N 0 0 426.422 -0.218 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)cc(OC)c(OC)c1OC ZINC000442255898 1072415665 /nfs/dbraw/zinc/41/56/65/1072415665.db2.gz KVOSMHYRPSBYHJ-WDEREUQCSA-N 0 0 426.422 -0.218 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)cc1F ZINC000442255935 1072416250 /nfs/dbraw/zinc/41/62/50/1072416250.db2.gz GFXBVSPHDWUUEV-CYZMBNFOSA-N 0 0 425.413 -0.600 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)cc1F ZINC000442255938 1072416267 /nfs/dbraw/zinc/41/62/67/1072416267.db2.gz GFXBVSPHDWUUEV-DRZSPHRISA-N 0 0 425.413 -0.600 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)cc1F ZINC000442255939 1072416228 /nfs/dbraw/zinc/41/62/28/1072416228.db2.gz GFXBVSPHDWUUEV-UHTWSYAYSA-N 0 0 425.413 -0.600 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)cc1F ZINC000442255942 1072416252 /nfs/dbraw/zinc/41/62/52/1072416252.db2.gz GFXBVSPHDWUUEV-WCFLWFBJSA-N 0 0 425.413 -0.600 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c2ccccc2O1 ZINC000442255978 1072416271 /nfs/dbraw/zinc/41/62/71/1072416271.db2.gz GIRMNXGIWJVUOE-PBHICJAKSA-N 0 0 432.477 -0.594 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c2ccccc2O1 ZINC000442255980 1072416235 /nfs/dbraw/zinc/41/62/35/1072416235.db2.gz GIRMNXGIWJVUOE-RHSMWYFYSA-N 0 0 432.477 -0.594 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c2ccccc2O1 ZINC000442255982 1072416270 /nfs/dbraw/zinc/41/62/70/1072416270.db2.gz GIRMNXGIWJVUOE-WMLDXEAASA-N 0 0 432.477 -0.594 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c2ccccc2O1 ZINC000442255984 1072416266 /nfs/dbraw/zinc/41/62/66/1072416266.db2.gz GIRMNXGIWJVUOE-YOEHRIQHSA-N 0 0 432.477 -0.594 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000442256182 1072415661 /nfs/dbraw/zinc/41/56/61/1072415661.db2.gz RRCVSZSCMAHFOD-KBPBESRZSA-N 0 0 441.506 -0.238 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@@H]1CO ZINC000442256399 1072415654 /nfs/dbraw/zinc/41/56/54/1072415654.db2.gz RRCVSZSCMAHFOD-KGLIPLIRSA-N 0 0 441.506 -0.238 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000442256402 1072415635 /nfs/dbraw/zinc/41/56/35/1072415635.db2.gz RRCVSZSCMAHFOD-UONOGXRCSA-N 0 0 441.506 -0.238 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC000442256403 1072416253 /nfs/dbraw/zinc/41/62/53/1072416253.db2.gz RRCVSZSCMAHFOD-ZIAGYGMSSA-N 0 0 441.506 -0.238 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC000442256465 1072416233 /nfs/dbraw/zinc/41/62/33/1072416233.db2.gz RVEJEBNCPARLBS-CHWSQXEVSA-N 0 0 447.897 -0.747 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@@H](O)C[C@@H]1CO ZINC000442256466 1072416259 /nfs/dbraw/zinc/41/62/59/1072416259.db2.gz RVEJEBNCPARLBS-OLZOCXBDSA-N 0 0 447.897 -0.747 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000442256468 1072416247 /nfs/dbraw/zinc/41/62/47/1072416247.db2.gz RVEJEBNCPARLBS-QWHCGFSZSA-N 0 0 447.897 -0.747 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000442256471 1072416248 /nfs/dbraw/zinc/41/62/48/1072416248.db2.gz RVEJEBNCPARLBS-STQMWFEESA-N 0 0 447.897 -0.747 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)nn1 ZINC000442257306 1072416238 /nfs/dbraw/zinc/41/62/38/1072416238.db2.gz NECGWSPBAZFFGR-UHFFFAOYSA-N 0 0 439.450 -0.793 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H](CC(C)C)C(=O)NCCOC)c1 ZINC000442259326 1072411823 /nfs/dbraw/zinc/41/18/23/1072411823.db2.gz XLFLUMFVEUNIMM-HNNXBMFYSA-N 0 0 428.511 -0.173 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)c1 ZINC000442259489 1072411888 /nfs/dbraw/zinc/41/18/88/1072411888.db2.gz MCJNVTJWMIEDEP-KBPBESRZSA-N 0 0 442.538 -0.324 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)c1 ZINC000442259491 1072411930 /nfs/dbraw/zinc/41/19/30/1072411930.db2.gz MCJNVTJWMIEDEP-KGLIPLIRSA-N 0 0 442.538 -0.324 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)c1 ZINC000442259492 1072411920 /nfs/dbraw/zinc/41/19/20/1072411920.db2.gz MCJNVTJWMIEDEP-UONOGXRCSA-N 0 0 442.538 -0.324 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)c1 ZINC000442259494 1072411899 /nfs/dbraw/zinc/41/18/99/1072411899.db2.gz MCJNVTJWMIEDEP-ZIAGYGMSSA-N 0 0 442.538 -0.324 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000442259527 1072411874 /nfs/dbraw/zinc/41/18/74/1072411874.db2.gz MGMYMRPZJBVKGF-AWEZNQCLSA-N 0 0 432.474 -0.295 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442260266 1072411909 /nfs/dbraw/zinc/41/19/09/1072411909.db2.gz CJLCTUDNHXLBID-KRWDZBQOSA-N 0 0 448.476 -0.652 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442260273 1072411881 /nfs/dbraw/zinc/41/18/81/1072411881.db2.gz CJLCTUDNHXLBID-QGZVFWFLSA-N 0 0 448.476 -0.652 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)[C@@H]3CCNC3=O)cc2)C[C@H](C)O1 ZINC000442260395 1072411842 /nfs/dbraw/zinc/41/18/42/1072411842.db2.gz DAPZMVURHCWTCZ-DVOMOZLQSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)[C@H]3CCNC3=O)cc2)C[C@@H](C)O1 ZINC000442260396 1072411867 /nfs/dbraw/zinc/41/18/67/1072411867.db2.gz DAPZMVURHCWTCZ-IOASZLSFSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)[C@@H]3CCNC3=O)cc2)C[C@@H](C)O1 ZINC000442260797 1072411905 /nfs/dbraw/zinc/41/19/05/1072411905.db2.gz DAPZMVURHCWTCZ-XJKCOSOUSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)[C@H]3CCNC3=O)cc2)C[C@H](C)O1 ZINC000442260798 1072411858 /nfs/dbraw/zinc/41/18/58/1072411858.db2.gz DAPZMVURHCWTCZ-ZENOOKHLSA-N 0 0 438.506 -0.230 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cccc1C(=O)N1CCOCC1 ZINC000442260860 1072411916 /nfs/dbraw/zinc/41/19/16/1072411916.db2.gz DHUGYRTUGOZRPB-KRWDZBQOSA-N 0 0 432.477 -0.495 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cccc1C(=O)N1CCOCC1 ZINC000442260861 1072412488 /nfs/dbraw/zinc/41/24/88/1072412488.db2.gz DHUGYRTUGOZRPB-QGZVFWFLSA-N 0 0 432.477 -0.495 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1C[C@@H](O)C[C@@H]1CO ZINC000442261447 1072411924 /nfs/dbraw/zinc/41/19/24/1072411924.db2.gz FEHTUAJKWJXQBE-CABCVRRESA-N 0 0 425.507 -0.440 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000442261448 1072411913 /nfs/dbraw/zinc/41/19/13/1072411913.db2.gz FEHTUAJKWJXQBE-GJZGRUSLSA-N 0 0 425.507 -0.440 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC000442261449 1072411852 /nfs/dbraw/zinc/41/18/52/1072411852.db2.gz FEHTUAJKWJXQBE-HUUCEWRRSA-N 0 0 425.507 -0.440 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000442261450 1072411901 /nfs/dbraw/zinc/41/19/01/1072411901.db2.gz FEHTUAJKWJXQBE-LSDHHAIUSA-N 0 0 425.507 -0.440 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)cc2)CC1 ZINC000442261824 1072412471 /nfs/dbraw/zinc/41/24/71/1072412471.db2.gz FMPPRQLSXYAOTG-KRWDZBQOSA-N 0 0 431.493 -0.888 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)cc2)CC1 ZINC000442261826 1072412444 /nfs/dbraw/zinc/41/24/44/1072412444.db2.gz FMPPRQLSXYAOTG-QGZVFWFLSA-N 0 0 431.493 -0.888 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc(OC)cc1OC ZINC000442262077 1072412491 /nfs/dbraw/zinc/41/24/91/1072412491.db2.gz FXRUPXDLSMUCKQ-CYBMUJFWSA-N 0 0 437.449 -0.082 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc(OC)cc1OC ZINC000442262080 1072412477 /nfs/dbraw/zinc/41/24/77/1072412477.db2.gz FXRUPXDLSMUCKQ-ZDUSSCGKSA-N 0 0 437.449 -0.082 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1)N1CCCC1 ZINC000442262142 1072412449 /nfs/dbraw/zinc/41/24/49/1072412449.db2.gz PYRPHSVXRNRCEF-KRWDZBQOSA-N 0 0 432.477 -0.274 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1)N1CCCC1 ZINC000442262144 1072412455 /nfs/dbraw/zinc/41/24/55/1072412455.db2.gz PYRPHSVXRNRCEF-QGZVFWFLSA-N 0 0 432.477 -0.274 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1-n1nnnc1C1CC1 ZINC000442262147 1072412465 /nfs/dbraw/zinc/41/24/65/1072412465.db2.gz ADTZCMVFMOGNJS-AWEZNQCLSA-N 0 0 443.464 -0.414 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1-n1nnnc1C1CC1 ZINC000442262149 1072412492 /nfs/dbraw/zinc/41/24/92/1072412492.db2.gz ADTZCMVFMOGNJS-CQSZACIVSA-N 0 0 443.464 -0.414 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)c1 ZINC000442263054 1072412495 /nfs/dbraw/zinc/41/24/95/1072412495.db2.gz ICDABPNISMNAKB-UHFFFAOYSA-N 0 0 446.537 -0.009 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)[C@H]1CCNC1=O ZINC000442263055 1072412438 /nfs/dbraw/zinc/41/24/38/1072412438.db2.gz IDPKJBQQFVZOQO-KRWDZBQOSA-N 0 0 427.461 -0.215 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)[C@@H]1CCNC1=O ZINC000442263056 1072412460 /nfs/dbraw/zinc/41/24/60/1072412460.db2.gz IDPKJBQQFVZOQO-QGZVFWFLSA-N 0 0 427.461 -0.215 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)c1 ZINC000442263889 1072413363 /nfs/dbraw/zinc/41/33/63/1072413363.db2.gz VZGIBFRAVVCJQR-BBWFWOEESA-N 0 0 433.461 -0.253 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)c1 ZINC000442263891 1072413065 /nfs/dbraw/zinc/41/30/65/1072413065.db2.gz VZGIBFRAVVCJQR-IKGGRYGDSA-N 0 0 433.461 -0.253 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)c1 ZINC000442263893 1072413194 /nfs/dbraw/zinc/41/31/94/1072413194.db2.gz VZGIBFRAVVCJQR-ULQDDVLXSA-N 0 0 433.461 -0.253 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)c1 ZINC000442263895 1072413204 /nfs/dbraw/zinc/41/32/04/1072413204.db2.gz VZGIBFRAVVCJQR-ZACQAIPSSA-N 0 0 433.461 -0.253 20 0 IBADRN COCC[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C(C)C)ccc1C)C(N)=O ZINC000442263980 1072413093 /nfs/dbraw/zinc/41/30/93/1072413093.db2.gz RRIBHNJHCYMWGZ-AWEZNQCLSA-N 0 0 428.511 -0.031 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C(C)C)ccc1C)C(N)=O ZINC000442263983 1072413245 /nfs/dbraw/zinc/41/32/45/1072413245.db2.gz RRIBHNJHCYMWGZ-CQSZACIVSA-N 0 0 428.511 -0.031 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000442264064 1072413054 /nfs/dbraw/zinc/41/30/54/1072413054.db2.gz RVNALANWPWOYAD-HNNXBMFYSA-N 0 0 440.522 -0.199 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000442264067 1072413139 /nfs/dbraw/zinc/41/31/39/1072413139.db2.gz RVNALANWPWOYAD-OAHLLOKOSA-N 0 0 440.522 -0.199 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@@H]3CO)cc2)CC1 ZINC000442264538 1072412509 /nfs/dbraw/zinc/41/25/09/1072412509.db2.gz WWUHXTVPRIZCRI-CVEARBPZSA-N 0 0 425.507 0.000 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)cc2)CC1 ZINC000442264540 1072412458 /nfs/dbraw/zinc/41/24/58/1072412458.db2.gz WWUHXTVPRIZCRI-HOTGVXAUSA-N 0 0 425.507 0.000 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@@H]3CO)cc2)CC1 ZINC000442264542 1072412480 /nfs/dbraw/zinc/41/24/80/1072412480.db2.gz WWUHXTVPRIZCRI-HZPDHXFCSA-N 0 0 425.507 0.000 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H]3CO)cc2)CC1 ZINC000442264544 1072412442 /nfs/dbraw/zinc/41/24/42/1072412442.db2.gz WWUHXTVPRIZCRI-JKSUJKDBSA-N 0 0 425.507 0.000 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1-n1nnnc1C1CC1 ZINC000442264995 1072413103 /nfs/dbraw/zinc/41/31/03/1072413103.db2.gz FZHPAZWKDJODSZ-HNNXBMFYSA-N 0 0 443.464 -0.150 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1-n1nnnc1C1CC1 ZINC000442264997 1072413176 /nfs/dbraw/zinc/41/31/76/1072413176.db2.gz FZHPAZWKDJODSZ-OAHLLOKOSA-N 0 0 443.464 -0.150 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1ccc(C)c(S(N)(=O)=O)c1 ZINC000442265257 1072413275 /nfs/dbraw/zinc/41/32/75/1072413275.db2.gz TULKEXFKAMAMAX-AWEZNQCLSA-N 0 0 428.511 -0.126 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000442265734 1072413221 /nfs/dbraw/zinc/41/32/21/1072413221.db2.gz AKKAPHHBSSZITM-AWEZNQCLSA-N 0 0 428.449 -0.682 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000442265736 1072413669 /nfs/dbraw/zinc/41/36/69/1072413669.db2.gz AKKAPHHBSSZITM-CQSZACIVSA-N 0 0 428.449 -0.682 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(C)[C@H]3CCNC3=O)c2)CC1 ZINC000442266352 1072413264 /nfs/dbraw/zinc/41/32/64/1072413264.db2.gz JZPJKFFKINKLPC-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)c1 ZINC000442266672 1072413126 /nfs/dbraw/zinc/41/31/26/1072413126.db2.gz YXAGVEXDACVAJW-NEPJUHHUSA-N 0 0 448.213 -0.030 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)c1 ZINC000442266674 1072413326 /nfs/dbraw/zinc/41/33/26/1072413326.db2.gz YXAGVEXDACVAJW-NWDGAFQWSA-N 0 0 448.213 -0.030 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)c1 ZINC000442266676 1072413161 /nfs/dbraw/zinc/41/31/61/1072413161.db2.gz YXAGVEXDACVAJW-RYUDHWBXSA-N 0 0 448.213 -0.030 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)c1 ZINC000442266678 1072413169 /nfs/dbraw/zinc/41/31/69/1072413169.db2.gz YXAGVEXDACVAJW-VXGBXAGGSA-N 0 0 448.213 -0.030 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(C)[C@@H]3CCNC3=O)c2)CC1 ZINC000442266919 1072413725 /nfs/dbraw/zinc/41/37/25/1072413725.db2.gz JZPJKFFKINKLPC-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)ccc1S(=O)(=O)N1CCCC1 ZINC000442268111 1072413755 /nfs/dbraw/zinc/41/37/55/1072413755.db2.gz ILYAGCSTHJDENC-KBPBESRZSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)ccc1S(=O)(=O)N1CCCC1 ZINC000442268116 1072413713 /nfs/dbraw/zinc/41/37/13/1072413713.db2.gz ILYAGCSTHJDENC-KGLIPLIRSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)ccc1S(=O)(=O)N1CCCC1 ZINC000442268119 1072413749 /nfs/dbraw/zinc/41/37/49/1072413749.db2.gz ILYAGCSTHJDENC-UONOGXRCSA-N 0 0 427.479 -0.628 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)ccc1S(=O)(=O)N1CCCC1 ZINC000442268122 1072413719 /nfs/dbraw/zinc/41/37/19/1072413719.db2.gz ILYAGCSTHJDENC-ZIAGYGMSSA-N 0 0 427.479 -0.628 20 0 IBADRN O=C(C(=O)N1C[C@@H](O)C[C@@H]1CO)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000442270594 1072413763 /nfs/dbraw/zinc/41/37/63/1072413763.db2.gz KVUMNTVCMMVBCA-CVEARBPZSA-N 0 0 437.518 -0.296 20 0 IBADRN O=C(C(=O)N1C[C@@H](O)C[C@H]1CO)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000442270599 1072413707 /nfs/dbraw/zinc/41/37/07/1072413707.db2.gz KVUMNTVCMMVBCA-HOTGVXAUSA-N 0 0 437.518 -0.296 20 0 IBADRN O=C(C(=O)N1C[C@H](O)C[C@@H]1CO)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000442270603 1072413753 /nfs/dbraw/zinc/41/37/53/1072413753.db2.gz KVUMNTVCMMVBCA-HZPDHXFCSA-N 0 0 437.518 -0.296 20 0 IBADRN O=C(C(=O)N1C[C@H](O)C[C@H]1CO)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000442270606 1072413759 /nfs/dbraw/zinc/41/37/59/1072413759.db2.gz KVUMNTVCMMVBCA-JKSUJKDBSA-N 0 0 437.518 -0.296 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1-n1nnnc1C1CC1 ZINC000442270609 1072413670 /nfs/dbraw/zinc/41/36/70/1072413670.db2.gz KWEOVFONKZJVGC-CYBMUJFWSA-N 0 0 426.437 -0.277 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1-n1nnnc1C1CC1 ZINC000442270616 1072413704 /nfs/dbraw/zinc/41/37/04/1072413704.db2.gz KWEOVFONKZJVGC-ZDUSSCGKSA-N 0 0 426.437 -0.277 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)cc1S(=O)(=O)N1CCCC1 ZINC000442270775 1072413680 /nfs/dbraw/zinc/41/36/80/1072413680.db2.gz QPKBJHWEPUNQNC-CABCVRRESA-N 0 0 441.506 -0.238 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCCC1 ZINC000442270777 1072413690 /nfs/dbraw/zinc/41/36/90/1072413690.db2.gz QPKBJHWEPUNQNC-GJZGRUSLSA-N 0 0 441.506 -0.238 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)cc1S(=O)(=O)N1CCCC1 ZINC000442270779 1072413685 /nfs/dbraw/zinc/41/36/85/1072413685.db2.gz QPKBJHWEPUNQNC-HUUCEWRRSA-N 0 0 441.506 -0.238 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCCC1 ZINC000442270782 1072414305 /nfs/dbraw/zinc/41/43/05/1072414305.db2.gz QPKBJHWEPUNQNC-LSDHHAIUSA-N 0 0 441.506 -0.238 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)c2)CC1 ZINC000442272008 1072414266 /nfs/dbraw/zinc/41/42/66/1072414266.db2.gz JQTURJHBNKQPCP-IBGZPJMESA-N 0 0 445.520 -0.611 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)c2)CC1 ZINC000442272010 1072414360 /nfs/dbraw/zinc/41/43/60/1072414360.db2.gz JQTURJHBNKQPCP-LJQANCHMSA-N 0 0 445.520 -0.611 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1S(=O)(=O)NC1CC1 ZINC000442272679 1072414289 /nfs/dbraw/zinc/41/42/89/1072414289.db2.gz KRWVJENESPIHHT-HNNXBMFYSA-N 0 0 438.506 -0.526 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1S(=O)(=O)NC1CC1 ZINC000442272683 1072414354 /nfs/dbraw/zinc/41/43/54/1072414354.db2.gz KRWVJENESPIHHT-OAHLLOKOSA-N 0 0 438.506 -0.526 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000442272908 1072414319 /nfs/dbraw/zinc/41/43/19/1072414319.db2.gz QHDBXPPPZMDFNG-INIZCTEOSA-N 0 0 440.522 -0.245 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000442272920 1072414336 /nfs/dbraw/zinc/41/43/36/1072414336.db2.gz QHDBXPPPZMDFNG-MRXNPFEDSA-N 0 0 440.522 -0.245 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c2cccnc12 ZINC000442273865 1072414343 /nfs/dbraw/zinc/41/43/43/1072414343.db2.gz MIDFLINDFSQZBH-HNNXBMFYSA-N 0 0 444.444 -0.175 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c2cccnc12 ZINC000442273868 1072414913 /nfs/dbraw/zinc/41/49/13/1072414913.db2.gz MIDFLINDFSQZBH-OAHLLOKOSA-N 0 0 444.444 -0.175 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1N1CCCS1(=O)=O ZINC000442273889 1072415094 /nfs/dbraw/zinc/41/50/94/1072415094.db2.gz MIMXWYSHRQJQEL-HNNXBMFYSA-N 0 0 438.506 -0.427 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1N1CCCS1(=O)=O ZINC000442273894 1072415074 /nfs/dbraw/zinc/41/50/74/1072415074.db2.gz MIMXWYSHRQJQEL-OAHLLOKOSA-N 0 0 438.506 -0.427 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442274210 1072414308 /nfs/dbraw/zinc/41/43/08/1072414308.db2.gz SBUHNMDQXJHVGR-HNNXBMFYSA-N 0 0 432.477 -0.031 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442274211 1072415098 /nfs/dbraw/zinc/41/50/98/1072415098.db2.gz SBUHNMDQXJHVGR-OAHLLOKOSA-N 0 0 432.477 -0.031 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1S(=O)(=O)N(C)C ZINC000442274353 1072414356 /nfs/dbraw/zinc/41/43/56/1072414356.db2.gz MTYKOFKFJPZMFL-AWEZNQCLSA-N 0 0 426.495 -0.717 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1S(=O)(=O)N(C)C ZINC000442274365 1072414328 /nfs/dbraw/zinc/41/43/28/1072414328.db2.gz MTYKOFKFJPZMFL-CQSZACIVSA-N 0 0 426.495 -0.717 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@@H]3CO)cc2)C1 ZINC000442274485 1072414349 /nfs/dbraw/zinc/41/43/49/1072414349.db2.gz WNNPXDPDRXUMLX-BMFZPTHFSA-N 0 0 425.507 0.000 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@@H]3CO)cc2)C1 ZINC000442274487 1072414386 /nfs/dbraw/zinc/41/43/86/1072414386.db2.gz WNNPXDPDRXUMLX-FVQBIDKESA-N 0 0 425.507 0.000 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)cc2)C1 ZINC000442274489 1072414279 /nfs/dbraw/zinc/41/42/79/1072414279.db2.gz WNNPXDPDRXUMLX-KBMXLJTQSA-N 0 0 425.507 0.000 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H]3CO)cc2)C1 ZINC000442274491 1072414300 /nfs/dbraw/zinc/41/43/00/1072414300.db2.gz WNNPXDPDRXUMLX-VNQPRFMTSA-N 0 0 425.507 0.000 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000442274500 1072414240 /nfs/dbraw/zinc/41/42/40/1072414240.db2.gz NHDKCGOJQJCFQO-HNNXBMFYSA-N 0 0 426.495 -0.589 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000442274502 1072414324 /nfs/dbraw/zinc/41/43/24/1072414324.db2.gz NHDKCGOJQJCFQO-OAHLLOKOSA-N 0 0 426.495 -0.589 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000442275030 1072414919 /nfs/dbraw/zinc/41/49/19/1072414919.db2.gz RXRIMSHVXPFIJI-INIZCTEOSA-N 0 0 440.522 -0.197 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000442275031 1072414941 /nfs/dbraw/zinc/41/49/41/1072414941.db2.gz RXRIMSHVXPFIJI-MRXNPFEDSA-N 0 0 440.522 -0.197 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)c1 ZINC000442275431 1072414971 /nfs/dbraw/zinc/41/49/71/1072414971.db2.gz XWHDTVRSPWRLHT-CHWSQXEVSA-N 0 0 429.495 -0.382 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)c1 ZINC000442275436 1072415045 /nfs/dbraw/zinc/41/50/45/1072415045.db2.gz XWHDTVRSPWRLHT-OLZOCXBDSA-N 0 0 429.495 -0.382 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)c1 ZINC000442275440 1072415015 /nfs/dbraw/zinc/41/50/15/1072415015.db2.gz XWHDTVRSPWRLHT-QWHCGFSZSA-N 0 0 429.495 -0.382 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)c1 ZINC000442275443 1072414950 /nfs/dbraw/zinc/41/49/50/1072414950.db2.gz XWHDTVRSPWRLHT-STQMWFEESA-N 0 0 429.495 -0.382 20 0 IBADRN COc1cc(C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)sc1S(N)(=O)=O ZINC000442276125 1073339938 /nfs/dbraw/zinc/33/99/38/1073339938.db2.gz TTYLPQXDOUNNSY-UHFFFAOYSA-N 0 0 443.507 0.097 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC000442276251 1072415007 /nfs/dbraw/zinc/41/50/07/1072415007.db2.gz TXNFPVXWTCMEBG-CHWSQXEVSA-N 0 0 429.495 -0.383 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@@H]1CO ZINC000442276255 1072414931 /nfs/dbraw/zinc/41/49/31/1072414931.db2.gz TXNFPVXWTCMEBG-OLZOCXBDSA-N 0 0 429.495 -0.383 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000442276257 1072414962 /nfs/dbraw/zinc/41/49/62/1072414962.db2.gz TXNFPVXWTCMEBG-QWHCGFSZSA-N 0 0 429.495 -0.383 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000442276259 1072414985 /nfs/dbraw/zinc/41/49/85/1072414985.db2.gz TXNFPVXWTCMEBG-STQMWFEESA-N 0 0 429.495 -0.383 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H](CC(C)C)C(=O)NCCOC)c2ccccc2O1 ZINC000442276484 1072415084 /nfs/dbraw/zinc/41/50/84/1072415084.db2.gz VCMWYDXUTDQGTI-WMLDXEAASA-N 0 0 434.493 -0.180 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](CC(C)C)C(=O)NCCOC)c2ccccc2O1 ZINC000442276485 1072414995 /nfs/dbraw/zinc/41/49/95/1072414995.db2.gz VCMWYDXUTDQGTI-YOEHRIQHSA-N 0 0 434.493 -0.180 20 0 IBADRN O=C(Nc1ccc(F)c(-n2nnnc2C2CC2)c1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442276781 1072415034 /nfs/dbraw/zinc/41/50/34/1072415034.db2.gz AMEKBMCBIGYOKZ-AWEZNQCLSA-N 0 0 431.428 -0.283 20 0 IBADRN O=C(Nc1ccc(F)c(-n2nnnc2C2CC2)c1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442276788 1072414888 /nfs/dbraw/zinc/41/48/88/1072414888.db2.gz AMEKBMCBIGYOKZ-CQSZACIVSA-N 0 0 431.428 -0.283 20 0 IBADRN COCC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1)C(N)=O ZINC000442277599 1072415059 /nfs/dbraw/zinc/41/50/59/1072415059.db2.gz ACHNYVFYAWGAPR-HNNXBMFYSA-N 0 0 426.495 -0.194 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1)C(N)=O ZINC000442277605 1072414902 /nfs/dbraw/zinc/41/49/02/1072414902.db2.gz ACHNYVFYAWGAPR-OAHLLOKOSA-N 0 0 426.495 -0.194 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CCCC2=O)cc1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442277876 1072416824 /nfs/dbraw/zinc/41/68/24/1072416824.db2.gz VYHSATKQDLFYSC-INIZCTEOSA-N 0 0 430.461 -0.236 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CCCC2=O)cc1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442277880 1072416700 /nfs/dbraw/zinc/41/67/00/1072416700.db2.gz VYHSATKQDLFYSC-MRXNPFEDSA-N 0 0 430.461 -0.236 20 0 IBADRN COCC[C@H](NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1)C(N)=O ZINC000442278535 1072416262 /nfs/dbraw/zinc/41/62/62/1072416262.db2.gz XHYRNQJKAVOKLX-AWEZNQCLSA-N 0 0 426.495 -0.194 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1)C(N)=O ZINC000442278538 1072416269 /nfs/dbraw/zinc/41/62/69/1072416269.db2.gz XHYRNQJKAVOKLX-CQSZACIVSA-N 0 0 426.495 -0.194 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)sc1S(N)(=O)=O ZINC000442278821 1072416817 /nfs/dbraw/zinc/41/68/17/1072416817.db2.gz UHAJJYCQDPEFAS-UHFFFAOYSA-N 0 0 430.508 -0.689 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1OC ZINC000442279572 1072416739 /nfs/dbraw/zinc/41/67/39/1072416739.db2.gz VRZKLAQUTAMTFM-HNNXBMFYSA-N 0 0 445.476 -0.013 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1OC ZINC000442279574 1072416779 /nfs/dbraw/zinc/41/67/79/1072416779.db2.gz VRZKLAQUTAMTFM-OAHLLOKOSA-N 0 0 445.476 -0.013 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442279593 1072416723 /nfs/dbraw/zinc/41/67/23/1072416723.db2.gz VTIZNODYUKHXMY-INIZCTEOSA-N 0 0 438.506 -0.491 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442279596 1072416732 /nfs/dbraw/zinc/41/67/32/1072416732.db2.gz VTIZNODYUKHXMY-MRXNPFEDSA-N 0 0 438.506 -0.491 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H]3CO)cc2)C[C@H](C)O1 ZINC000442279789 1072416757 /nfs/dbraw/zinc/41/67/57/1072416757.db2.gz FFGHAVJLEWJEFT-LQKXBSAESA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H]3CO)cc2)C[C@@H](C)O1 ZINC000442279790 1072416688 /nfs/dbraw/zinc/41/66/88/1072416688.db2.gz FFGHAVJLEWJEFT-LUYZLQTOSA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@@H]3CO)cc2)C[C@H](C)O1 ZINC000442279791 1072416802 /nfs/dbraw/zinc/41/68/02/1072416802.db2.gz FFGHAVJLEWJEFT-OCVGTWLNSA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@@H]3CO)cc2)C[C@@H](C)O1 ZINC000442279792 1072416765 /nfs/dbraw/zinc/41/67/65/1072416765.db2.gz FFGHAVJLEWJEFT-RRCSTGOVSA-N 0 0 441.506 -0.623 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](F)C[C@@H]1CO ZINC000442279907 1072417341 /nfs/dbraw/zinc/41/73/41/1072417341.db2.gz YLOKVWMFPRWOQO-GXTWGEPZSA-N 0 0 429.470 -0.200 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](F)C[C@H]1CO ZINC000442279911 1072417329 /nfs/dbraw/zinc/41/73/29/1072417329.db2.gz YLOKVWMFPRWOQO-JSGCOSHPSA-N 0 0 429.470 -0.200 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@H](F)C[C@H]1CO ZINC000442279913 1072417348 /nfs/dbraw/zinc/41/73/48/1072417348.db2.gz YLOKVWMFPRWOQO-OCCSQVGLSA-N 0 0 429.470 -0.200 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@H](F)C[C@@H]1CO ZINC000442279916 1072417353 /nfs/dbraw/zinc/41/73/53/1072417353.db2.gz YLOKVWMFPRWOQO-TZMCWYRMSA-N 0 0 429.470 -0.200 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)cc1-n1nnnc1C1CC1 ZINC000442280010 1072417371 /nfs/dbraw/zinc/41/73/71/1072417371.db2.gz YPVFVNLAZFDWKL-UHFFFAOYSA-N 0 0 427.421 -0.248 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442280492 1072417386 /nfs/dbraw/zinc/41/73/86/1072417386.db2.gz GGFISCOSSYACHZ-AWEZNQCLSA-N 0 0 442.469 -0.596 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442280497 1072417365 /nfs/dbraw/zinc/41/73/65/1072417365.db2.gz GGFISCOSSYACHZ-CQSZACIVSA-N 0 0 442.469 -0.596 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000442280512 1072417395 /nfs/dbraw/zinc/41/73/95/1072417395.db2.gz GGVOSXSIIMAKTM-KRWDZBQOSA-N 0 0 434.493 -0.028 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000442280526 1072417406 /nfs/dbraw/zinc/41/74/06/1072417406.db2.gz GGVOSXSIIMAKTM-QGZVFWFLSA-N 0 0 434.493 -0.028 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000442281221 1072417398 /nfs/dbraw/zinc/41/73/98/1072417398.db2.gz GAYOVXNPBBGZDX-BBRMVZONSA-N 0 0 448.476 -0.594 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000442281224 1072417375 /nfs/dbraw/zinc/41/73/75/1072417375.db2.gz GAYOVXNPBBGZDX-CJNGLKHVSA-N 0 0 448.476 -0.594 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000442281226 1072417388 /nfs/dbraw/zinc/41/73/88/1072417388.db2.gz GAYOVXNPBBGZDX-CZUORRHYSA-N 0 0 448.476 -0.594 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000442281228 1072417401 /nfs/dbraw/zinc/41/74/01/1072417401.db2.gz GAYOVXNPBBGZDX-XJKSGUPXSA-N 0 0 448.476 -0.594 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000442281595 1072417361 /nfs/dbraw/zinc/41/73/61/1072417361.db2.gz YJJLSTNUERBTOJ-INIZCTEOSA-N 0 0 440.522 -0.247 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000442281596 1072417334 /nfs/dbraw/zinc/41/73/34/1072417334.db2.gz YJJLSTNUERBTOJ-MRXNPFEDSA-N 0 0 440.522 -0.247 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)c(OC)c1 ZINC000442282171 1072417842 /nfs/dbraw/zinc/41/78/42/1072417842.db2.gz HPERMOQBHIXYJQ-CHWSQXEVSA-N 0 0 434.449 -0.150 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)c(OC)c1 ZINC000442282174 1072417911 /nfs/dbraw/zinc/41/79/11/1072417911.db2.gz HPERMOQBHIXYJQ-OLZOCXBDSA-N 0 0 434.449 -0.150 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)c(OC)c1 ZINC000442282176 1072417824 /nfs/dbraw/zinc/41/78/24/1072417824.db2.gz HPERMOQBHIXYJQ-QWHCGFSZSA-N 0 0 434.449 -0.150 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)c(OC)c1 ZINC000442282179 1072417788 /nfs/dbraw/zinc/41/77/88/1072417788.db2.gz HPERMOQBHIXYJQ-STQMWFEESA-N 0 0 434.449 -0.150 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000442282584 1072417355 /nfs/dbraw/zinc/41/73/55/1072417355.db2.gz JBWUDWMFDJQVEH-HNNXBMFYSA-N 0 0 426.495 -0.587 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000442282586 1072417404 /nfs/dbraw/zinc/41/74/04/1072417404.db2.gz JBWUDWMFDJQVEH-OAHLLOKOSA-N 0 0 426.495 -0.587 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21)C(N)=O ZINC000442282613 1072417390 /nfs/dbraw/zinc/41/73/90/1072417390.db2.gz ILNPJBJIAWCVSE-PBHICJAKSA-N 0 0 432.477 -0.200 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21)C(N)=O ZINC000442282614 1072417394 /nfs/dbraw/zinc/41/73/94/1072417394.db2.gz ILNPJBJIAWCVSE-RHSMWYFYSA-N 0 0 432.477 -0.200 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21)C(N)=O ZINC000442282616 1072417797 /nfs/dbraw/zinc/41/77/97/1072417797.db2.gz ILNPJBJIAWCVSE-WMLDXEAASA-N 0 0 432.477 -0.200 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21)C(N)=O ZINC000442282618 1072417930 /nfs/dbraw/zinc/41/79/30/1072417930.db2.gz ILNPJBJIAWCVSE-YOEHRIQHSA-N 0 0 432.477 -0.200 20 0 IBADRN COc1cc(C(=O)NCCN2CCN(c3ncccn3)CC2)sc1S(N)(=O)=O ZINC000442282657 1072417344 /nfs/dbraw/zinc/41/73/44/1072417344.db2.gz COCPVZZWJBJISB-UHFFFAOYSA-N 0 0 426.524 -0.254 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442283327 1072417878 /nfs/dbraw/zinc/41/78/78/1072417878.db2.gz KGUPENFTFFGJCV-INIZCTEOSA-N 0 0 432.477 -0.495 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442283328 1072417883 /nfs/dbraw/zinc/41/78/83/1072417883.db2.gz KGUPENFTFFGJCV-MRXNPFEDSA-N 0 0 432.477 -0.495 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)cc1S(=O)(=O)N1CCCCC1 ZINC000442284181 1072417867 /nfs/dbraw/zinc/41/78/67/1072417867.db2.gz LVOJJWKFLCOIDN-CABCVRRESA-N 0 0 441.506 -0.238 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCCCC1 ZINC000442284182 1072417920 /nfs/dbraw/zinc/41/79/20/1072417920.db2.gz LVOJJWKFLCOIDN-GJZGRUSLSA-N 0 0 441.506 -0.238 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)cc1S(=O)(=O)N1CCCCC1 ZINC000442284183 1072417801 /nfs/dbraw/zinc/41/78/01/1072417801.db2.gz LVOJJWKFLCOIDN-HUUCEWRRSA-N 0 0 441.506 -0.238 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCCCC1 ZINC000442284184 1072417817 /nfs/dbraw/zinc/41/78/17/1072417817.db2.gz LVOJJWKFLCOIDN-LSDHHAIUSA-N 0 0 441.506 -0.238 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000442284200 1072417811 /nfs/dbraw/zinc/41/78/11/1072417811.db2.gz LZICVRIEMVFQPJ-INIZCTEOSA-N 0 0 432.481 -0.197 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1Cl ZINC000442284615 1072417904 /nfs/dbraw/zinc/41/79/04/1072417904.db2.gz NCDIXMUCQGACJM-AWEZNQCLSA-N 0 0 440.884 -0.155 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1Cl ZINC000442284616 1072417917 /nfs/dbraw/zinc/41/79/17/1072417917.db2.gz NCDIXMUCQGACJM-CQSZACIVSA-N 0 0 440.884 -0.155 20 0 IBADRN O=C(Nc1ccn(-c2ncccc2C(F)(F)F)n1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442284658 1073332977 /nfs/dbraw/zinc/33/29/77/1073332977.db2.gz NNLNQEOGUHWDPA-GFCCVEGCSA-N 0 0 440.382 0.324 20 0 IBADRN O=C(Nc1ccn(-c2ncccc2C(F)(F)F)n1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442284659 1073333169 /nfs/dbraw/zinc/33/31/69/1073333169.db2.gz NNLNQEOGUHWDPA-LBPRGKRZSA-N 0 0 440.382 0.324 20 0 IBADRN COCC[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1)C(N)=O ZINC000442285107 1072418467 /nfs/dbraw/zinc/41/84/67/1072418467.db2.gz PJIBGCUMWOSPRP-HNNXBMFYSA-N 0 0 426.495 -0.194 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1)C(N)=O ZINC000442285108 1072418415 /nfs/dbraw/zinc/41/84/15/1072418415.db2.gz PJIBGCUMWOSPRP-OAHLLOKOSA-N 0 0 426.495 -0.194 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000442285783 1072418389 /nfs/dbraw/zinc/41/83/89/1072418389.db2.gz SNQBQWDUYRFSLB-HNNXBMFYSA-N 0 0 426.495 -0.124 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000442285784 1072418398 /nfs/dbraw/zinc/41/83/98/1072418398.db2.gz SNQBQWDUYRFSLB-OAHLLOKOSA-N 0 0 426.495 -0.124 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](CCOC)C(N)=O)ccc1C ZINC000442286055 1072418444 /nfs/dbraw/zinc/41/84/44/1072418444.db2.gz TVLNUNXPYZRSQC-AWEZNQCLSA-N 0 0 428.511 -0.029 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N[C@H](CCOC)C(N)=O)ccc1C ZINC000442286056 1072418479 /nfs/dbraw/zinc/41/84/79/1072418479.db2.gz TVLNUNXPYZRSQC-CQSZACIVSA-N 0 0 428.511 -0.029 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)sc1S(N)(=O)=O ZINC000442286072 1072418475 /nfs/dbraw/zinc/41/84/75/1072418475.db2.gz TZWLVZFESUELBY-UHFFFAOYSA-N 0 0 446.532 -0.054 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1)N1CCOCC1 ZINC000442286208 1072418439 /nfs/dbraw/zinc/41/84/39/1072418439.db2.gz SRIWDKKVCLMWQX-KRWDZBQOSA-N 0 0 432.477 -0.874 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1)N1CCOCC1 ZINC000442286211 1072418450 /nfs/dbraw/zinc/41/84/50/1072418450.db2.gz SRIWDKKVCLMWQX-QGZVFWFLSA-N 0 0 432.477 -0.874 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)cc2)CC1 ZINC000442286687 1072418484 /nfs/dbraw/zinc/41/84/84/1072418484.db2.gz UMKNHJBZEGVHQH-GOSISDBHSA-N 0 0 445.520 -0.959 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)cc2)CC1 ZINC000442286688 1072418496 /nfs/dbraw/zinc/41/84/96/1072418496.db2.gz UMKNHJBZEGVHQH-SFHVURJKSA-N 0 0 445.520 -0.959 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)cc2)CC1 ZINC000442287182 1072418507 /nfs/dbraw/zinc/41/85/07/1072418507.db2.gz ASIKCRMCZXVQMX-IBGZPJMESA-N 0 0 445.520 -0.611 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)cc2)CC1 ZINC000442287186 1072418406 /nfs/dbraw/zinc/41/84/06/1072418406.db2.gz ASIKCRMCZXVQMX-LJQANCHMSA-N 0 0 445.520 -0.611 20 0 IBADRN COC[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)C1CC1 ZINC000442287290 1072418403 /nfs/dbraw/zinc/41/84/03/1072418403.db2.gz VSYDTSSDHANZKK-INIZCTEOSA-N 0 0 446.551 -0.225 20 0 IBADRN COC[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)C1CC1 ZINC000442287296 1072419041 /nfs/dbraw/zinc/41/90/41/1072419041.db2.gz VSYDTSSDHANZKK-MRXNPFEDSA-N 0 0 446.551 -0.225 20 0 IBADRN Cc1ccnc(Oc2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2)n1 ZINC000442287839 1072418998 /nfs/dbraw/zinc/41/89/98/1072418998.db2.gz WHLMDIPIZWSPCA-UHFFFAOYSA-N 0 0 448.505 -0.051 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000442289284 1072419015 /nfs/dbraw/zinc/41/90/15/1072419015.db2.gz YTQWDCCMQIZYMN-CYBMUJFWSA-N 0 0 430.458 -0.886 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000442289289 1072419050 /nfs/dbraw/zinc/41/90/50/1072419050.db2.gz YTQWDCCMQIZYMN-ZDUSSCGKSA-N 0 0 430.458 -0.886 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000442289459 1072419037 /nfs/dbraw/zinc/41/90/37/1072419037.db2.gz ZCXYGWCVTLLQJW-BBRMVZONSA-N 0 0 440.522 -0.199 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000442289462 1072418982 /nfs/dbraw/zinc/41/89/82/1072418982.db2.gz ZCXYGWCVTLLQJW-CJNGLKHVSA-N 0 0 440.522 -0.199 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000442289465 1072418914 /nfs/dbraw/zinc/41/89/14/1072418914.db2.gz ZCXYGWCVTLLQJW-CZUORRHYSA-N 0 0 440.522 -0.199 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000442289468 1072419019 /nfs/dbraw/zinc/41/90/19/1072419019.db2.gz ZCXYGWCVTLLQJW-XJKSGUPXSA-N 0 0 440.522 -0.199 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442289953 1072419033 /nfs/dbraw/zinc/41/90/33/1072419033.db2.gz HHJAGZUHRSUCFE-INIZCTEOSA-N 0 0 428.445 -0.269 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442289956 1072418990 /nfs/dbraw/zinc/41/89/90/1072418990.db2.gz HHJAGZUHRSUCFE-MRXNPFEDSA-N 0 0 428.445 -0.269 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)c(OC)c1OC ZINC000442289990 1072418954 /nfs/dbraw/zinc/41/89/54/1072418954.db2.gz HKOMOROFDTYAMA-KBPBESRZSA-N 0 0 434.449 -0.150 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2CO)c(OC)c1OC ZINC000442289992 1072419006 /nfs/dbraw/zinc/41/90/06/1072419006.db2.gz HKOMOROFDTYAMA-KGLIPLIRSA-N 0 0 434.449 -0.150 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)c(OC)c1OC ZINC000442289993 1072419654 /nfs/dbraw/zinc/41/96/54/1072419654.db2.gz HKOMOROFDTYAMA-UONOGXRCSA-N 0 0 434.449 -0.150 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2C[C@H](O)C[C@@H]2CO)c(OC)c1OC ZINC000442289995 1072419645 /nfs/dbraw/zinc/41/96/45/1072419645.db2.gz HKOMOROFDTYAMA-ZIAGYGMSSA-N 0 0 434.449 -0.150 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)ccc1Cl ZINC000442290381 1072418978 /nfs/dbraw/zinc/41/89/78/1072418978.db2.gz AONADJDNMBNQLG-CYBMUJFWSA-N 0 0 446.913 -0.372 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)ccc1Cl ZINC000442290382 1072418958 /nfs/dbraw/zinc/41/89/58/1072418958.db2.gz AONADJDNMBNQLG-ZDUSSCGKSA-N 0 0 446.913 -0.372 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000442290419 1072419011 /nfs/dbraw/zinc/41/90/11/1072419011.db2.gz ATQGETAISXTOIX-HNNXBMFYSA-N 0 0 426.495 -0.124 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000442290421 1072418932 /nfs/dbraw/zinc/41/89/32/1072418932.db2.gz ATQGETAISXTOIX-OAHLLOKOSA-N 0 0 426.495 -0.124 20 0 IBADRN COCC[C@H](NC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1)C(N)=O ZINC000442290483 1072418965 /nfs/dbraw/zinc/41/89/65/1072418965.db2.gz JEAAXLNLPKHRPJ-KRWDZBQOSA-N 0 0 431.493 -0.081 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1)C(N)=O ZINC000442290484 1072418941 /nfs/dbraw/zinc/41/89/41/1072418941.db2.gz JEAAXLNLPKHRPJ-QGZVFWFLSA-N 0 0 431.493 -0.081 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@@H](CCOC)C(N)=O ZINC000442290829 1072419639 /nfs/dbraw/zinc/41/96/39/1072419639.db2.gz BPBAALQEVFUGTA-HNNXBMFYSA-N 0 0 440.522 -0.387 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@H](CCOC)C(N)=O ZINC000442290830 1072419737 /nfs/dbraw/zinc/41/97/37/1072419737.db2.gz BPBAALQEVFUGTA-OAHLLOKOSA-N 0 0 440.522 -0.387 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)ccc21 ZINC000442291013 1072419708 /nfs/dbraw/zinc/41/97/08/1072419708.db2.gz JSHJUXSHFJLGHK-INIZCTEOSA-N 0 0 432.477 -0.350 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)ccc21 ZINC000442291014 1072419668 /nfs/dbraw/zinc/41/96/68/1072419668.db2.gz JSHJUXSHFJLGHK-MRXNPFEDSA-N 0 0 432.477 -0.350 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442291468 1072419674 /nfs/dbraw/zinc/41/96/74/1072419674.db2.gz CSCAUXYHUBTRAL-KRWDZBQOSA-N 0 0 432.477 -0.495 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442291470 1072419615 /nfs/dbraw/zinc/41/96/15/1072419615.db2.gz CSCAUXYHUBTRAL-QGZVFWFLSA-N 0 0 432.477 -0.495 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@@H]1CO ZINC000442291610 1072419701 /nfs/dbraw/zinc/41/97/01/1072419701.db2.gz MXKPRZFLKISJJE-NEPJUHHUSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000442291612 1072419607 /nfs/dbraw/zinc/41/96/07/1072419607.db2.gz MXKPRZFLKISJJE-NWDGAFQWSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000442291614 1072419623 /nfs/dbraw/zinc/41/96/23/1072419623.db2.gz MXKPRZFLKISJJE-RYUDHWBXSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC000442291616 1072419597 /nfs/dbraw/zinc/41/95/97/1072419597.db2.gz MXKPRZFLKISJJE-VXGBXAGGSA-N 0 0 429.495 -0.335 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1C ZINC000442291830 1072419679 /nfs/dbraw/zinc/41/96/79/1072419679.db2.gz LDVGLOACQPHDCG-HNNXBMFYSA-N 0 0 426.495 -0.205 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1C ZINC000442291834 1072419730 /nfs/dbraw/zinc/41/97/30/1072419730.db2.gz LDVGLOACQPHDCG-OAHLLOKOSA-N 0 0 426.495 -0.205 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000442292212 1072419660 /nfs/dbraw/zinc/41/96/60/1072419660.db2.gz LUGLMQQSCYJQNE-HNNXBMFYSA-N 0 0 434.497 -0.750 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000442292691 1072419715 /nfs/dbraw/zinc/41/97/15/1072419715.db2.gz GKXTYCQEFCJKLJ-INIZCTEOSA-N 0 0 438.506 -0.493 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000442292693 1072419723 /nfs/dbraw/zinc/41/97/23/1072419723.db2.gz GKXTYCQEFCJKLJ-MRXNPFEDSA-N 0 0 438.506 -0.493 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)CC1 ZINC000442293810 1072420038 /nfs/dbraw/zinc/42/00/38/1072420038.db2.gz OTFSPDRQGOYGKF-IBGZPJMESA-N 0 0 445.520 -0.611 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)CC1 ZINC000442293813 1072420057 /nfs/dbraw/zinc/42/00/57/1072420057.db2.gz OTFSPDRQGOYGKF-LJQANCHMSA-N 0 0 445.520 -0.611 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1-n1nnnc1C1CC1 ZINC000442294000 1072420127 /nfs/dbraw/zinc/42/01/27/1072420127.db2.gz JFSFFWGEMKEXIQ-CYBMUJFWSA-N 0 0 449.493 -0.363 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1-n1nnnc1C1CC1 ZINC000442294003 1072420010 /nfs/dbraw/zinc/42/00/10/1072420010.db2.gz JFSFFWGEMKEXIQ-ZDUSSCGKSA-N 0 0 449.493 -0.363 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1OC ZINC000442294175 1072420136 /nfs/dbraw/zinc/42/01/36/1072420136.db2.gz PFZJJUYVSMGOIX-AWEZNQCLSA-N 0 0 442.494 -0.505 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1OC ZINC000442294179 1072420085 /nfs/dbraw/zinc/42/00/85/1072420085.db2.gz PFZJJUYVSMGOIX-CQSZACIVSA-N 0 0 442.494 -0.505 20 0 IBADRN O=C(Nc1ccc2nc(N3CCOCC3)oc2c1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442294680 1072420074 /nfs/dbraw/zinc/42/00/74/1072420074.db2.gz PXPLMTATOFYJKG-HNNXBMFYSA-N 0 0 431.449 -0.298 20 0 IBADRN O=C(Nc1ccc2nc(N3CCOCC3)oc2c1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442294684 1072420147 /nfs/dbraw/zinc/42/01/47/1072420147.db2.gz PXPLMTATOFYJKG-OAHLLOKOSA-N 0 0 431.449 -0.298 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1)N1CCSCC1 ZINC000442294746 1072420044 /nfs/dbraw/zinc/42/00/44/1072420044.db2.gz KSMDXNGBFJIKLA-KRWDZBQOSA-N 0 0 448.545 -0.158 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1)N1CCSCC1 ZINC000442294749 1072420051 /nfs/dbraw/zinc/42/00/51/1072420051.db2.gz KSMDXNGBFJIKLA-QGZVFWFLSA-N 0 0 448.545 -0.158 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCSCC2)c1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442295934 1072416264 /nfs/dbraw/zinc/41/62/64/1072416264.db2.gz MLVMKJCFWDOEOS-INIZCTEOSA-N 0 0 434.518 -0.086 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCSCC2)c1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442295939 1072416261 /nfs/dbraw/zinc/41/62/61/1072416261.db2.gz MLVMKJCFWDOEOS-MRXNPFEDSA-N 0 0 434.518 -0.086 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC(C)(C)C)c1)C(N)=O ZINC000442297763 1072421505 /nfs/dbraw/zinc/42/15/05/1072421505.db2.gz WBSJILJBEZDTBF-GFCCVEGCSA-N 0 0 432.474 -0.152 20 0 IBADRN COCC[C@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC(C)(C)C)c1)C(N)=O ZINC000442297765 1072421520 /nfs/dbraw/zinc/42/15/20/1072421520.db2.gz WBSJILJBEZDTBF-LBPRGKRZSA-N 0 0 432.474 -0.152 20 0 IBADRN C[C@H]([C@H]1Cc2ccccc2O1)N(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000442298069 1073311667 /nfs/dbraw/zinc/31/16/67/1073311667.db2.gz DOBYKVOVRUSQCW-CRAIPNDOSA-N 0 0 441.488 0.531 20 0 IBADRN C[C@@H]([C@H]1Cc2ccccc2O1)N(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000442298070 1073354506 /nfs/dbraw/zinc/35/45/06/1073354506.db2.gz DOBYKVOVRUSQCW-MAUKXSAKSA-N 0 0 441.488 0.531 20 0 IBADRN C[C@H]([C@@H]1Cc2ccccc2O1)N(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000442298071 1073311925 /nfs/dbraw/zinc/31/19/25/1073311925.db2.gz DOBYKVOVRUSQCW-QAPCUYQASA-N 0 0 441.488 0.531 20 0 IBADRN C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000442298072 1073354388 /nfs/dbraw/zinc/35/43/88/1073354388.db2.gz DOBYKVOVRUSQCW-YJBOKZPZSA-N 0 0 441.488 0.531 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)cc21 ZINC000442299069 1072421458 /nfs/dbraw/zinc/42/14/58/1072421458.db2.gz QKMDPCCQFFNPHY-INIZCTEOSA-N 0 0 429.477 -0.233 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)cc21 ZINC000442299072 1072422129 /nfs/dbraw/zinc/42/21/29/1072422129.db2.gz QKMDPCCQFFNPHY-MRXNPFEDSA-N 0 0 429.477 -0.233 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cccc1S(=O)(=O)NC1CC1 ZINC000442300847 1072422122 /nfs/dbraw/zinc/42/21/22/1072422122.db2.gz UBNYTORPUQQEKH-HNNXBMFYSA-N 0 0 438.506 -0.526 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cccc1S(=O)(=O)NC1CC1 ZINC000442300851 1072422132 /nfs/dbraw/zinc/42/21/32/1072422132.db2.gz UBNYTORPUQQEKH-OAHLLOKOSA-N 0 0 438.506 -0.526 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000442302032 1072422433 /nfs/dbraw/zinc/42/24/33/1072422433.db2.gz VAMNPMAUXHOXCX-AWEZNQCLSA-N 0 0 442.469 -0.696 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000442302037 1072422529 /nfs/dbraw/zinc/42/25/29/1072422529.db2.gz VAMNPMAUXHOXCX-CQSZACIVSA-N 0 0 442.469 -0.696 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)cc1Cl ZINC000442303131 1072422506 /nfs/dbraw/zinc/42/25/06/1072422506.db2.gz WVGZMDFVAJCPNJ-CYBMUJFWSA-N 0 0 432.886 -0.251 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)cc1Cl ZINC000442303134 1072422548 /nfs/dbraw/zinc/42/25/48/1072422548.db2.gz WVGZMDFVAJCPNJ-ZDUSSCGKSA-N 0 0 432.886 -0.251 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)cc2)C[C@H](C)O1 ZINC000442303385 1072422443 /nfs/dbraw/zinc/42/24/43/1072422443.db2.gz XAOUUAKEFUFDDM-DAYGRLMNSA-N 0 0 446.504 -0.026 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)cc2)C[C@@H](C)O1 ZINC000442303390 1072422451 /nfs/dbraw/zinc/42/24/51/1072422451.db2.gz XAOUUAKEFUFDDM-IIDMSEBBSA-N 0 0 446.504 -0.026 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)cc2)C[C@@H](C)O1 ZINC000442303393 1072422523 /nfs/dbraw/zinc/42/25/23/1072422523.db2.gz XAOUUAKEFUFDDM-RKVPGOIHSA-N 0 0 446.504 -0.026 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)cc2)C[C@H](C)O1 ZINC000442303397 1072422471 /nfs/dbraw/zinc/42/24/71/1072422471.db2.gz XAOUUAKEFUFDDM-RVKKMQEKSA-N 0 0 446.504 -0.026 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442306362 1072422478 /nfs/dbraw/zinc/42/24/78/1072422478.db2.gz MVRRSGZWLBMRNO-GJYPPUQNSA-N 0 0 447.488 -0.050 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442306365 1072422459 /nfs/dbraw/zinc/42/24/59/1072422459.db2.gz MVRRSGZWLBMRNO-GPMSIDNRSA-N 0 0 447.488 -0.050 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442306367 1072422545 /nfs/dbraw/zinc/42/25/45/1072422545.db2.gz MVRRSGZWLBMRNO-JTDSTZFVSA-N 0 0 447.488 -0.050 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442306369 1072422543 /nfs/dbraw/zinc/42/25/43/1072422543.db2.gz MVRRSGZWLBMRNO-MDZRGWNJSA-N 0 0 447.488 -0.050 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442309988 1072420655 /nfs/dbraw/zinc/42/06/55/1072420655.db2.gz XLKMODBFOZSKGE-APWZRJJASA-N 0 0 448.520 -0.003 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442309989 1072420688 /nfs/dbraw/zinc/42/06/88/1072420688.db2.gz XLKMODBFOZSKGE-LPHOPBHVSA-N 0 0 448.520 -0.003 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442309990 1072420683 /nfs/dbraw/zinc/42/06/83/1072420683.db2.gz XLKMODBFOZSKGE-QFBILLFUSA-N 0 0 448.520 -0.003 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442309991 1072420646 /nfs/dbraw/zinc/42/06/46/1072420646.db2.gz XLKMODBFOZSKGE-VQIMIIECSA-N 0 0 448.520 -0.003 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCc1ccc(C(N)=O)nc1 ZINC000442310973 1072420626 /nfs/dbraw/zinc/42/06/26/1072420626.db2.gz ZCMMMNVSIHRJKD-UHFFFAOYSA-N 0 0 447.473 -0.115 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442318137 1072424439 /nfs/dbraw/zinc/42/44/39/1072424439.db2.gz AWVPUBNEXZZJDW-GDBMZVCRSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442318141 1072424459 /nfs/dbraw/zinc/42/44/59/1072424459.db2.gz AWVPUBNEXZZJDW-GOEBONIOSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442318145 1072424452 /nfs/dbraw/zinc/42/44/52/1072424452.db2.gz AWVPUBNEXZZJDW-HOCLYGCPSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442318148 1072424469 /nfs/dbraw/zinc/42/44/69/1072424469.db2.gz AWVPUBNEXZZJDW-ZBFHGGJFSA-N 0 0 441.506 -0.044 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)cc2C1=O ZINC000442319264 1072424841 /nfs/dbraw/zinc/42/48/41/1072424841.db2.gz CJURIWXBXWUQJG-UHFFFAOYSA-N 0 0 434.474 -0.076 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)[N-]c1cnn(CC(=O)N2CCOCC2)c1 ZINC000442319832 1072424931 /nfs/dbraw/zinc/42/49/31/1072424931.db2.gz IGHIFTYAKKQFIB-UHFFFAOYSA-N 0 0 443.507 -0.549 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)c2ccccc2O1 ZINC000442323675 1072423540 /nfs/dbraw/zinc/42/35/40/1072423540.db2.gz MPLDROVKGSHXHS-KRWDZBQOSA-N 0 0 426.429 -0.293 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)c2ccccc2O1 ZINC000442323677 1072423522 /nfs/dbraw/zinc/42/35/22/1072423522.db2.gz MPLDROVKGSHXHS-QGZVFWFLSA-N 0 0 426.429 -0.293 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CO[C@@H]2CCOC2)cc1 ZINC000442326502 1072423533 /nfs/dbraw/zinc/42/35/33/1072423533.db2.gz CXFPONPJLOPECC-DZGCQCFKSA-N 0 0 429.495 -0.140 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CO[C@H]2CCOC2)cc1 ZINC000442326505 1072423481 /nfs/dbraw/zinc/42/34/81/1072423481.db2.gz CXFPONPJLOPECC-HIFRSBDPSA-N 0 0 429.495 -0.140 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CO[C@@H]2CCOC2)cc1 ZINC000442326508 1072423585 /nfs/dbraw/zinc/42/35/85/1072423585.db2.gz CXFPONPJLOPECC-UKRRQHHQSA-N 0 0 429.495 -0.140 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CO[C@H]2CCOC2)cc1 ZINC000442326752 1072423588 /nfs/dbraw/zinc/42/35/88/1072423588.db2.gz CXFPONPJLOPECC-ZFWWWQNUSA-N 0 0 429.495 -0.140 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442339870 1072426666 /nfs/dbraw/zinc/42/66/66/1072426666.db2.gz DFCGNCZEDRMIAK-GDBMZVCRSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442339871 1072426541 /nfs/dbraw/zinc/42/65/41/1072426541.db2.gz DFCGNCZEDRMIAK-GOEBONIOSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442339872 1072426688 /nfs/dbraw/zinc/42/66/88/1072426688.db2.gz DFCGNCZEDRMIAK-HOCLYGCPSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442339873 1072426673 /nfs/dbraw/zinc/42/66/73/1072426673.db2.gz DFCGNCZEDRMIAK-ZBFHGGJFSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCCC[C@H]1c1noc(-c2cnn(C)c2)n1)S(N)(=O)=O ZINC000442340836 1072426594 /nfs/dbraw/zinc/42/65/94/1072426594.db2.gz IFCAJXQFVIDFQV-AAEUAGOBSA-N 0 0 439.498 -0.293 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCCC[C@@H]1c1noc(-c2cnn(C)c2)n1)S(N)(=O)=O ZINC000442340837 1072426692 /nfs/dbraw/zinc/42/66/92/1072426692.db2.gz IFCAJXQFVIDFQV-DGCLKSJQSA-N 0 0 439.498 -0.293 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCCC[C@@H]1c1noc(-c2cnn(C)c2)n1)S(N)(=O)=O ZINC000442340838 1072426583 /nfs/dbraw/zinc/42/65/83/1072426583.db2.gz IFCAJXQFVIDFQV-WCQYABFASA-N 0 0 439.498 -0.293 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCCC[C@H]1c1noc(-c2cnn(C)c2)n1)S(N)(=O)=O ZINC000442340839 1072426607 /nfs/dbraw/zinc/42/66/07/1072426607.db2.gz IFCAJXQFVIDFQV-YPMHNXCESA-N 0 0 439.498 -0.293 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCc1ccc(C(N)=O)nc1 ZINC000442341182 1072427093 /nfs/dbraw/zinc/42/70/93/1072427093.db2.gz JKAHPZIKRUVFGJ-UHFFFAOYSA-N 0 0 448.505 -0.248 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000442342501 1072427282 /nfs/dbraw/zinc/42/72/82/1072427282.db2.gz BABAEOXTZQITRZ-CYBMUJFWSA-N 0 0 446.551 -0.099 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c(C)c1S(=O)(=O)NC1CC1 ZINC000442342822 1072427331 /nfs/dbraw/zinc/42/73/31/1072427331.db2.gz CKYKBPCXYOGFLC-JTQLQIEISA-N 0 0 432.524 -0.524 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c(C)c1S(=O)(=O)NC1CC1 ZINC000442342824 1072427234 /nfs/dbraw/zinc/42/72/34/1072427234.db2.gz CKYKBPCXYOGFLC-SNVBAGLBSA-N 0 0 432.524 -0.524 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000442342861 1072427346 /nfs/dbraw/zinc/42/73/46/1072427346.db2.gz BABAEOXTZQITRZ-ZDUSSCGKSA-N 0 0 446.551 -0.099 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)C(=O)Nc1ccn(CCC(C)C)n1 ZINC000442342913 1073349993 /nfs/dbraw/zinc/34/99/93/1073349993.db2.gz BNBDQJNNSZSGAX-UHFFFAOYSA-N 0 0 444.539 0.433 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)ccc1C ZINC000442342929 1072427226 /nfs/dbraw/zinc/42/72/26/1072427226.db2.gz BPTYMCRGMYCKNH-GFCCVEGCSA-N 0 0 434.540 -0.243 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)ccc1C ZINC000442342930 1072427186 /nfs/dbraw/zinc/42/71/86/1072427186.db2.gz BPTYMCRGMYCKNH-LBPRGKRZSA-N 0 0 434.540 -0.243 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000442343162 1072427241 /nfs/dbraw/zinc/42/72/41/1072427241.db2.gz BDMSZPKCIJNMSJ-CYBMUJFWSA-N 0 0 446.551 -0.099 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000442343165 1072427144 /nfs/dbraw/zinc/42/71/44/1072427144.db2.gz BDMSZPKCIJNMSJ-ZDUSSCGKSA-N 0 0 446.551 -0.099 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c2ccccc2O1 ZINC000442344519 1072427340 /nfs/dbraw/zinc/42/73/40/1072427340.db2.gz FOGJRMRQKPRNMS-BLLLJJGKSA-N 0 0 440.522 -0.121 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c2ccccc2O1 ZINC000442344521 1072427697 /nfs/dbraw/zinc/42/76/97/1072427697.db2.gz FOGJRMRQKPRNMS-LRDDRELGSA-N 0 0 440.522 -0.121 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c2ccccc2O1 ZINC000442344524 1072427656 /nfs/dbraw/zinc/42/76/56/1072427656.db2.gz FOGJRMRQKPRNMS-MLGOLLRUSA-N 0 0 440.522 -0.121 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c2ccccc2O1 ZINC000442344527 1072427814 /nfs/dbraw/zinc/42/78/14/1072427814.db2.gz FOGJRMRQKPRNMS-WBMJQRKESA-N 0 0 440.522 -0.121 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)cc2n(C)c1=O ZINC000442345249 1072427639 /nfs/dbraw/zinc/42/76/39/1072427639.db2.gz GLHNBRLZRDQBTM-UHFFFAOYSA-N 0 0 435.506 -0.112 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)C(=O)Nc1cc(C(C)(C)C)on1 ZINC000442345778 1073349899 /nfs/dbraw/zinc/34/98/99/1073349899.db2.gz FMOFRBBUCFPAKF-UHFFFAOYSA-N 0 0 431.496 0.476 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1 ZINC000442345996 1072427624 /nfs/dbraw/zinc/42/76/24/1072427624.db2.gz FYGMGWGHQSOXDY-GFCCVEGCSA-N 0 0 434.540 -0.257 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1 ZINC000442346000 1072427895 /nfs/dbraw/zinc/42/78/95/1072427895.db2.gz FYGMGWGHQSOXDY-LBPRGKRZSA-N 0 0 434.540 -0.257 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccnn1Cc1cnn(-c2ccccc2)c1)S(N)(=O)=O ZINC000442346601 1072427798 /nfs/dbraw/zinc/42/77/98/1072427798.db2.gz GRCIVJPSCOVEEB-CYBMUJFWSA-N 0 0 431.478 -0.151 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccnn1Cc1cnn(-c2ccccc2)c1)S(N)(=O)=O ZINC000442346611 1072427633 /nfs/dbraw/zinc/42/76/33/1072427633.db2.gz GRCIVJPSCOVEEB-ZDUSSCGKSA-N 0 0 431.478 -0.151 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)[N-]c1cnn(CC(=O)NCC[NH+](C)C)c1 ZINC000442346704 1072427596 /nfs/dbraw/zinc/42/75/96/1072427596.db2.gz IITMKOWECFCZTK-UHFFFAOYSA-N 0 0 444.539 -0.730 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)ccn1 ZINC000442347406 1072428456 /nfs/dbraw/zinc/42/84/56/1072428456.db2.gz IVLDTIFPIMIXPQ-LLVKDONJSA-N 0 0 435.462 -0.035 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)ccn1 ZINC000442347409 1072428431 /nfs/dbraw/zinc/42/84/31/1072428431.db2.gz IVLDTIFPIMIXPQ-NSHDSACASA-N 0 0 435.462 -0.035 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1)S(N)(=O)=O ZINC000442348104 1072428498 /nfs/dbraw/zinc/42/84/98/1072428498.db2.gz KRXZHCNFHZVJCG-GFCCVEGCSA-N 0 0 432.524 -0.407 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1)S(N)(=O)=O ZINC000442348105 1072428380 /nfs/dbraw/zinc/42/83/80/1072428380.db2.gz KRXZHCNFHZVJCG-LBPRGKRZSA-N 0 0 432.524 -0.407 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21)S(N)(=O)=O ZINC000442348403 1072428468 /nfs/dbraw/zinc/42/84/68/1072428468.db2.gz JTRJQOHGBPTXLG-BBRMVZONSA-N 0 0 438.506 -0.414 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21)S(N)(=O)=O ZINC000442348404 1072428510 /nfs/dbraw/zinc/42/85/10/1072428510.db2.gz JTRJQOHGBPTXLG-CJNGLKHVSA-N 0 0 438.506 -0.414 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21)S(N)(=O)=O ZINC000442348405 1072428263 /nfs/dbraw/zinc/42/82/63/1072428263.db2.gz JTRJQOHGBPTXLG-CZUORRHYSA-N 0 0 438.506 -0.414 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21)S(N)(=O)=O ZINC000442348406 1072428444 /nfs/dbraw/zinc/42/84/44/1072428444.db2.gz JTRJQOHGBPTXLG-XJKSGUPXSA-N 0 0 438.506 -0.414 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)cc1-n1cnnn1 ZINC000442349457 1072428406 /nfs/dbraw/zinc/42/84/06/1072428406.db2.gz MNBVLCUEBWADOE-UHFFFAOYSA-N 0 0 425.405 -0.210 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1)S(N)(=O)=O ZINC000442349571 1072428319 /nfs/dbraw/zinc/42/83/19/1072428319.db2.gz LHVMUUPNWSVTAI-SECBINFHSA-N 0 0 445.299 -0.179 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1)S(N)(=O)=O ZINC000442349572 1072428503 /nfs/dbraw/zinc/42/85/03/1072428503.db2.gz LHVMUUPNWSVTAI-VIFPVBQESA-N 0 0 445.299 -0.179 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cc(Br)cnc1-n1cccn1)S(N)(=O)=O ZINC000442350124 1072424925 /nfs/dbraw/zinc/42/49/25/1072424925.db2.gz MIQZWOGPFCYCSY-MRVPVSSYSA-N 0 0 431.272 -0.239 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cc(Br)cnc1-n1cccn1)S(N)(=O)=O ZINC000442350126 1072424890 /nfs/dbraw/zinc/42/48/90/1072424890.db2.gz MIQZWOGPFCYCSY-QMMMGPOBSA-N 0 0 431.272 -0.239 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000442350614 1072424885 /nfs/dbraw/zinc/42/48/85/1072424885.db2.gz NBZVLVCUQPHSKO-LLVKDONJSA-N 0 0 428.467 -0.959 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000442350616 1072424845 /nfs/dbraw/zinc/42/48/45/1072424845.db2.gz NBZVLVCUQPHSKO-NSHDSACASA-N 0 0 428.467 -0.959 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)C(=O)Nc1cccc2c1OCCO2 ZINC000442350783 1072424850 /nfs/dbraw/zinc/42/48/50/1072424850.db2.gz MWRZPXKOVURHIO-UHFFFAOYSA-N 0 0 442.475 -0.038 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCc1cccc(OCC(N)=O)c1)CC2 ZINC000442351240 1072425626 /nfs/dbraw/zinc/42/56/26/1072425626.db2.gz NSKNITATOBSUHO-UHFFFAOYSA-N 0 0 446.485 -0.336 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC(C)CC2)cc1)S(N)(=O)=O ZINC000442351325 1072425459 /nfs/dbraw/zinc/42/54/59/1072425459.db2.gz OANRSLVQHNGZPK-CYBMUJFWSA-N 0 0 446.551 -0.161 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC(C)CC2)cc1)S(N)(=O)=O ZINC000442351327 1072425535 /nfs/dbraw/zinc/42/55/35/1072425535.db2.gz OANRSLVQHNGZPK-ZDUSSCGKSA-N 0 0 446.551 -0.161 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1)S(N)(=O)=O ZINC000442351414 1072424840 /nfs/dbraw/zinc/42/48/40/1072424840.db2.gz QCZSCCVXEVZWGI-GFCCVEGCSA-N 0 0 432.524 -0.407 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1)S(N)(=O)=O ZINC000442351416 1072424869 /nfs/dbraw/zinc/42/48/69/1072424869.db2.gz QCZSCCVXEVZWGI-LBPRGKRZSA-N 0 0 432.524 -0.407 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)C(=O)Nc1cccc2c1OCO2 ZINC000442351568 1072424876 /nfs/dbraw/zinc/42/48/76/1072424876.db2.gz PQEAHVOJAHCOPU-UHFFFAOYSA-N 0 0 428.448 -0.081 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1)S(N)(=O)=O ZINC000442351936 1072425542 /nfs/dbraw/zinc/42/55/42/1072425542.db2.gz QFVSZCRTIPJTFF-GFCCVEGCSA-N 0 0 428.536 -0.464 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1)S(N)(=O)=O ZINC000442351937 1072425519 /nfs/dbraw/zinc/42/55/19/1072425519.db2.gz QFVSZCRTIPJTFF-LBPRGKRZSA-N 0 0 428.536 -0.464 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1OC ZINC000442353159 1072425570 /nfs/dbraw/zinc/42/55/70/1072425570.db2.gz KYPMWBCJYSDQIF-GFCCVEGCSA-N 0 0 439.494 -0.011 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1OC ZINC000442353160 1072425552 /nfs/dbraw/zinc/42/55/52/1072425552.db2.gz KYPMWBCJYSDQIF-LBPRGKRZSA-N 0 0 439.494 -0.011 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000442353862 1072425491 /nfs/dbraw/zinc/42/54/91/1072425491.db2.gz LZCCAODRGURUDU-GFCCVEGCSA-N 0 0 434.540 -0.244 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000442353864 1072425429 /nfs/dbraw/zinc/42/54/29/1072425429.db2.gz LZCCAODRGURUDU-LBPRGKRZSA-N 0 0 434.540 -0.244 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1)S(N)(=O)=O ZINC000442354246 1072425632 /nfs/dbraw/zinc/42/56/32/1072425632.db2.gz SDZYSIBWEMDXQP-AWEZNQCLSA-N 0 0 437.522 -0.295 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1)S(N)(=O)=O ZINC000442354248 1072425608 /nfs/dbraw/zinc/42/56/08/1072425608.db2.gz SDZYSIBWEMDXQP-CQSZACIVSA-N 0 0 437.522 -0.295 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000442355163 1072425603 /nfs/dbraw/zinc/42/56/03/1072425603.db2.gz WICKZNKDUMUZGM-GFCCVEGCSA-N 0 0 428.536 -0.084 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000442355168 1072426128 /nfs/dbraw/zinc/42/61/28/1072426128.db2.gz WICKZNKDUMUZGM-LBPRGKRZSA-N 0 0 428.536 -0.084 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1S(=O)(=O)NC(C)(C)C ZINC000442355217 1072425586 /nfs/dbraw/zinc/42/55/86/1072425586.db2.gz UZULLOBYGXCGTH-LLVKDONJSA-N 0 0 434.540 -0.196 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1S(=O)(=O)NC(C)(C)C ZINC000442355219 1072425578 /nfs/dbraw/zinc/42/55/78/1072425578.db2.gz UZULLOBYGXCGTH-NSHDSACASA-N 0 0 434.540 -0.196 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000442355694 1072425561 /nfs/dbraw/zinc/42/55/61/1072425561.db2.gz XBMADBZZIRTCTF-GFCCVEGCSA-N 0 0 428.536 -0.084 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000442355695 1072425594 /nfs/dbraw/zinc/42/55/94/1072425594.db2.gz XBMADBZZIRTCTF-LBPRGKRZSA-N 0 0 428.536 -0.084 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000442355740 1072425496 /nfs/dbraw/zinc/42/54/96/1072425496.db2.gz WOKOJWOMTLFUDQ-UHFFFAOYSA-N 0 0 442.475 -0.038 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c1OC ZINC000442355868 1072426067 /nfs/dbraw/zinc/42/60/67/1072426067.db2.gz XFWUZGIKVDFWDA-LLVKDONJSA-N 0 0 425.467 -0.320 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c1OC ZINC000442355872 1072426033 /nfs/dbraw/zinc/42/60/33/1072426033.db2.gz XFWUZGIKVDFWDA-NSHDSACASA-N 0 0 425.467 -0.320 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC000442356154 1072426092 /nfs/dbraw/zinc/42/60/92/1072426092.db2.gz XHKINULJENMEKI-UHFFFAOYSA-N 0 0 449.533 -0.084 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1)S(N)(=O)=O ZINC000442356494 1072426080 /nfs/dbraw/zinc/42/60/80/1072426080.db2.gz YCISDKATAOOJHI-GFCCVEGCSA-N 0 0 432.524 -0.407 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1)S(N)(=O)=O ZINC000442356496 1072426057 /nfs/dbraw/zinc/42/60/57/1072426057.db2.gz YCISDKATAOOJHI-LBPRGKRZSA-N 0 0 432.524 -0.407 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC(C)(C)C)c1)S(N)(=O)=O ZINC000442356629 1072426132 /nfs/dbraw/zinc/42/61/32/1072426132.db2.gz WGAFWKNUDSSSNE-SECBINFHSA-N 0 0 438.503 -0.366 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC(C)(C)C)c1)S(N)(=O)=O ZINC000442356632 1072426052 /nfs/dbraw/zinc/42/60/52/1072426052.db2.gz WGAFWKNUDSSSNE-VIFPVBQESA-N 0 0 438.503 -0.366 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1)S(N)(=O)=O ZINC000442356775 1072426024 /nfs/dbraw/zinc/42/60/24/1072426024.db2.gz WFKRRCDJIRZSOD-CYBMUJFWSA-N 0 0 446.551 -0.017 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1)S(N)(=O)=O ZINC000442356776 1072426049 /nfs/dbraw/zinc/42/60/49/1072426049.db2.gz WFKRRCDJIRZSOD-ZDUSSCGKSA-N 0 0 446.551 -0.017 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc2)CC1 ZINC000442357128 1072426108 /nfs/dbraw/zinc/42/61/08/1072426108.db2.gz YXIAVMMAFRLRLL-AWEZNQCLSA-N 0 0 439.538 -0.875 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cccc(CS(=O)(=O)NC(C)(C)C)c1)S(N)(=O)=O ZINC000442357150 1072426116 /nfs/dbraw/zinc/42/61/16/1072426116.db2.gz YOWPNIHZNXAKSM-LLVKDONJSA-N 0 0 434.540 -0.364 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cccc(CS(=O)(=O)NC(C)(C)C)c1)S(N)(=O)=O ZINC000442357151 1072426073 /nfs/dbraw/zinc/42/60/73/1072426073.db2.gz YOWPNIHZNXAKSM-NSHDSACASA-N 0 0 434.540 -0.364 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc2)CC1 ZINC000442357186 1072426642 /nfs/dbraw/zinc/42/66/42/1072426642.db2.gz YXIAVMMAFRLRLL-CQSZACIVSA-N 0 0 439.538 -0.875 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c1 ZINC000442357404 1072426062 /nfs/dbraw/zinc/42/60/62/1072426062.db2.gz XLCZDQADFZKMSR-NEPJUHHUSA-N 0 0 434.540 -0.364 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c1 ZINC000442357409 1072426119 /nfs/dbraw/zinc/42/61/19/1072426119.db2.gz XLCZDQADFZKMSR-NWDGAFQWSA-N 0 0 434.540 -0.364 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c1 ZINC000442357412 1072426088 /nfs/dbraw/zinc/42/60/88/1072426088.db2.gz XLCZDQADFZKMSR-RYUDHWBXSA-N 0 0 434.540 -0.364 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c1 ZINC000442357416 1072426040 /nfs/dbraw/zinc/42/60/40/1072426040.db2.gz XLCZDQADFZKMSR-VXGBXAGGSA-N 0 0 434.540 -0.364 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl)S(N)(=O)=O ZINC000442357604 1072426114 /nfs/dbraw/zinc/42/61/14/1072426114.db2.gz XETUUFWGOLBMBD-SECBINFHSA-N 0 0 438.915 -0.388 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl)S(N)(=O)=O ZINC000442357605 1072426123 /nfs/dbraw/zinc/42/61/23/1072426123.db2.gz XETUUFWGOLBMBD-VIFPVBQESA-N 0 0 438.915 -0.388 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cccc1C(=O)N1CCSCC1 ZINC000442357929 1072426601 /nfs/dbraw/zinc/42/66/01/1072426601.db2.gz ZWLKEAITBDFNEH-LLVKDONJSA-N 0 0 428.536 -0.084 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cccc1C(=O)N1CCSCC1 ZINC000442357933 1072426629 /nfs/dbraw/zinc/42/66/29/1072426629.db2.gz ZWLKEAITBDFNEH-NSHDSACASA-N 0 0 428.536 -0.084 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)sc2c1)S(N)(=O)=O ZINC000442359480 1072428916 /nfs/dbraw/zinc/42/89/16/1072428916.db2.gz ZQTQJOHWHPGOAZ-JTQLQIEISA-N 0 0 427.508 -0.135 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)sc2c1)S(N)(=O)=O ZINC000442359481 1072428951 /nfs/dbraw/zinc/42/89/51/1072428951.db2.gz ZQTQJOHWHPGOAZ-SNVBAGLBSA-N 0 0 427.508 -0.135 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000442359630 1072428968 /nfs/dbraw/zinc/42/89/68/1072428968.db2.gz RGDHMQHGQIMLNQ-JTQLQIEISA-N 0 0 436.512 -0.933 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000442359636 1072428959 /nfs/dbraw/zinc/42/89/59/1072428959.db2.gz RGDHMQHGQIMLNQ-SNVBAGLBSA-N 0 0 436.512 -0.933 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCc1ccc(C(N)=O)nc1)CC2 ZINC000442359682 1072429015 /nfs/dbraw/zinc/42/90/15/1072429015.db2.gz RIPYTFJDNHPQLD-UHFFFAOYSA-N 0 0 431.474 -0.316 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccccc1OCC(=O)Nc1cccnc1)S(N)(=O)=O ZINC000442359775 1072428934 /nfs/dbraw/zinc/42/89/34/1072428934.db2.gz LESKMGNMKPMKHE-GFCCVEGCSA-N 0 0 435.462 -0.169 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccccc1OCC(=O)Nc1cccnc1)S(N)(=O)=O ZINC000442359783 1072429031 /nfs/dbraw/zinc/42/90/31/1072429031.db2.gz LESKMGNMKPMKHE-LBPRGKRZSA-N 0 0 435.462 -0.169 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)cc1 ZINC000442360564 1072428973 /nfs/dbraw/zinc/42/89/73/1072428973.db2.gz FWMHEYZCCFWFKT-UHFFFAOYSA-N 0 0 442.475 -0.023 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cccc1S(=O)(=O)NC(C)(C)C ZINC000442360677 1072429033 /nfs/dbraw/zinc/42/90/33/1072429033.db2.gz STQPVCLSADYNNI-JTQLQIEISA-N 0 0 434.540 -0.196 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cccc1S(=O)(=O)NC(C)(C)C ZINC000442360678 1072428877 /nfs/dbraw/zinc/42/88/77/1072428877.db2.gz STQPVCLSADYNNI-SNVBAGLBSA-N 0 0 434.540 -0.196 20 0 IBADRN COC(=O)c1ccccc1NC(=O)C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000442363583 1072428899 /nfs/dbraw/zinc/42/88/99/1072428899.db2.gz NBIYVUBBQREDCW-UHFFFAOYSA-N 0 0 442.475 -0.023 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000442363682 1072429028 /nfs/dbraw/zinc/42/90/28/1072429028.db2.gz NGRBDVYLTGZBSJ-GFCCVEGCSA-N 0 0 446.551 -0.601 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000442363690 1072429021 /nfs/dbraw/zinc/42/90/21/1072429021.db2.gz NGRBDVYLTGZBSJ-LBPRGKRZSA-N 0 0 446.551 -0.601 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](C)C2)cc1)S(N)(=O)=O ZINC000442365242 1072429500 /nfs/dbraw/zinc/42/95/00/1072429500.db2.gz YCMKUUSNLAKPQI-CHWSQXEVSA-N 0 0 446.551 -0.161 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](C)C2)cc1)S(N)(=O)=O ZINC000442365243 1072429394 /nfs/dbraw/zinc/42/93/94/1072429394.db2.gz YCMKUUSNLAKPQI-OLZOCXBDSA-N 0 0 446.551 -0.161 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](C)C2)cc1)S(N)(=O)=O ZINC000442365244 1072429580 /nfs/dbraw/zinc/42/95/80/1072429580.db2.gz YCMKUUSNLAKPQI-QWHCGFSZSA-N 0 0 446.551 -0.161 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](C)C2)cc1)S(N)(=O)=O ZINC000442365245 1072429414 /nfs/dbraw/zinc/42/94/14/1072429414.db2.gz YCMKUUSNLAKPQI-STQMWFEESA-N 0 0 446.551 -0.161 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1 ZINC000442365377 1072428998 /nfs/dbraw/zinc/42/89/98/1072428998.db2.gz OYNJPAJCHUGRGE-GFCCVEGCSA-N 0 0 434.540 -0.161 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1 ZINC000442365379 1072429408 /nfs/dbraw/zinc/42/94/08/1072429408.db2.gz OYNJPAJCHUGRGE-LBPRGKRZSA-N 0 0 434.540 -0.161 20 0 IBADRN COc1ncc(C)cc1NC(=O)C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000442366679 1072429289 /nfs/dbraw/zinc/42/92/89/1072429289.db2.gz UORFBEMSXXZNNK-UHFFFAOYSA-N 0 0 429.480 -0.098 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(Cc3ccon3)CC2)cc1 ZINC000442366819 1072429423 /nfs/dbraw/zinc/42/94/23/1072429423.db2.gz CVAFFGUROKRYIB-UHFFFAOYSA-N 0 0 429.433 -0.140 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)NCCS(=O)(=O)NC1CC1 ZINC000442368183 1072429365 /nfs/dbraw/zinc/42/93/65/1072429365.db2.gz VQHBMDAQSBXIAW-UHFFFAOYSA-N 0 0 426.467 -0.376 20 0 IBADRN CO[C@H]1CCCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442368331 1072429588 /nfs/dbraw/zinc/42/95/88/1072429588.db2.gz HPWRLDHAGPXHFY-CVEARBPZSA-N 0 0 438.506 -0.181 20 0 IBADRN CO[C@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442368332 1072429508 /nfs/dbraw/zinc/42/95/08/1072429508.db2.gz HPWRLDHAGPXHFY-HOTGVXAUSA-N 0 0 438.506 -0.181 20 0 IBADRN CO[C@@H]1CCCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442368333 1072429520 /nfs/dbraw/zinc/42/95/20/1072429520.db2.gz HPWRLDHAGPXHFY-JKSUJKDBSA-N 0 0 438.506 -0.181 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2c(c1)OCO2 ZINC000442368815 1072429488 /nfs/dbraw/zinc/42/94/88/1072429488.db2.gz XFLWBEOENXYJDX-UHFFFAOYSA-N 0 0 428.448 -0.081 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000442370619 1072429453 /nfs/dbraw/zinc/42/94/53/1072429453.db2.gz PLBQZEWUDMZELZ-UHFFFAOYSA-N 0 0 433.481 -0.294 20 0 IBADRN CCCc1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)n(C)n1 ZINC000442372360 1072429555 /nfs/dbraw/zinc/42/95/55/1072429555.db2.gz ARNMOJGGUGURQM-UHFFFAOYSA-N 0 0 430.512 -0.124 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)c(C)n1 ZINC000442372948 1072428353 /nfs/dbraw/zinc/42/83/53/1072428353.db2.gz JDTRAZCZWMALQD-UHFFFAOYSA-N 0 0 429.480 -0.098 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)C(=O)N2CC[C@H](N3CCOCC3)C2)C1 ZINC000442374337 1072428514 /nfs/dbraw/zinc/42/85/14/1072428514.db2.gz KSRRIVMBFIEXFO-INIZCTEOSA-N 0 0 436.534 -0.124 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)C(=O)N2CC[C@@H](N3CCOCC3)C2)C1 ZINC000442374349 1072428487 /nfs/dbraw/zinc/42/84/87/1072428487.db2.gz KSRRIVMBFIEXFO-MRXNPFEDSA-N 0 0 436.534 -0.124 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(Cc2ccc(OC)cc2)[C@H](CO)C(C)C)n1 ZINC000442379729 1073313376 /nfs/dbraw/zinc/31/33/76/1073313376.db2.gz OFCWUXNARGYILA-MRXNPFEDSA-N 0 0 432.481 0.017 20 0 IBADRN COc1ccc(CN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@H](CO)C(C)C)cc1 ZINC000442383026 1072430055 /nfs/dbraw/zinc/43/00/55/1072430055.db2.gz PNRWUBLIIJCFSB-QGZVFWFLSA-N 0 0 446.508 0.359 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(Cc3ccon3)CC2)cc1 ZINC000442385816 1072430156 /nfs/dbraw/zinc/43/01/56/1072430156.db2.gz FIJLIUNLCYTOIU-UHFFFAOYSA-N 0 0 443.460 -0.211 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N(C)C)nc1 ZINC000442386184 1072430177 /nfs/dbraw/zinc/43/01/77/1072430177.db2.gz YKFYRGZRCPWKQI-UHFFFAOYSA-N 0 0 428.496 -0.349 20 0 IBADRN CCOc1ncccc1NC(=O)C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000442387865 1072429329 /nfs/dbraw/zinc/42/93/29/1072429329.db2.gz UCJZMPUZNIFSCM-UHFFFAOYSA-N 0 0 429.480 -0.016 20 0 IBADRN CCn1nc(NC(=O)C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)cc1C1CC1 ZINC000442387898 1072429441 /nfs/dbraw/zinc/42/94/41/1072429441.db2.gz UFYDGNLTCIEWDH-UHFFFAOYSA-N 0 0 442.523 0.284 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000442391110 1072429350 /nfs/dbraw/zinc/42/93/50/1072429350.db2.gz DIBZVFYHWRZFQP-INIZCTEOSA-N 0 0 441.492 -0.093 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000442391111 1072429434 /nfs/dbraw/zinc/42/94/34/1072429434.db2.gz DIBZVFYHWRZFQP-MRXNPFEDSA-N 0 0 441.492 -0.093 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)c1 ZINC000442391892 1072430256 /nfs/dbraw/zinc/43/02/56/1072430256.db2.gz ZCSHOOSFQYUCGQ-UHFFFAOYSA-N 0 0 442.475 -0.023 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(Cc3ccon3)CC1)CC2 ZINC000442398911 1072430126 /nfs/dbraw/zinc/43/01/26/1072430126.db2.gz RWDRGFZGTIBHRY-UHFFFAOYSA-N 0 0 433.490 -0.184 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3cc(S(N)(=O)=O)cc(C)c3C)C2=O)n(C)n1 ZINC000442402023 1072430232 /nfs/dbraw/zinc/43/02/32/1072430232.db2.gz OHPIZDWZWDKKJN-AWEZNQCLSA-N 0 0 448.505 -0.147 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3cc(S(N)(=O)=O)cc(C)c3C)C2=O)n(C)n1 ZINC000442402024 1072430111 /nfs/dbraw/zinc/43/01/11/1072430111.db2.gz OHPIZDWZWDKKJN-CQSZACIVSA-N 0 0 448.505 -0.147 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccccc3S(=O)(=O)N(C)C)C2=O)n(C)n1 ZINC000442402425 1072430038 /nfs/dbraw/zinc/43/00/38/1072430038.db2.gz BWFSLENERPCSDI-AWEZNQCLSA-N 0 0 448.505 -0.161 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccccc3S(=O)(=O)N(C)C)C2=O)n(C)n1 ZINC000442402427 1072430047 /nfs/dbraw/zinc/43/00/47/1072430047.db2.gz BWFSLENERPCSDI-CQSZACIVSA-N 0 0 448.505 -0.161 20 0 IBADRN CCOCCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000442403195 1072430165 /nfs/dbraw/zinc/43/01/65/1072430165.db2.gz QRGOHXRMBMMRTF-UHFFFAOYSA-N 0 0 441.506 -0.090 20 0 IBADRN O=C(Nc1ccc2c(c1)CC(=O)N2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442403264 1072430142 /nfs/dbraw/zinc/43/01/42/1072430142.db2.gz FUWBCJNZTJBKBT-UHFFFAOYSA-N 0 0 433.446 -0.218 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000442405692 1072431386 /nfs/dbraw/zinc/43/13/86/1072431386.db2.gz DZQMJLSQTASIMM-UHFFFAOYSA-N 0 0 449.489 -0.011 20 0 IBADRN Cc1nc(CN(C)C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)no1 ZINC000442405982 1072431323 /nfs/dbraw/zinc/43/13/23/1072431323.db2.gz KRBANLDISRKIOM-UHFFFAOYSA-N 0 0 436.494 -0.089 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000442406244 1072431372 /nfs/dbraw/zinc/43/13/72/1072431372.db2.gz LVDIWXMFPPDBKP-UHFFFAOYSA-N 0 0 435.462 -0.353 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cc1-n1cnnn1 ZINC000442406422 1072431341 /nfs/dbraw/zinc/43/13/41/1072431341.db2.gz KYBZNJYDXAJKHV-CYBMUJFWSA-N 0 0 439.436 -0.427 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cc1-n1cnnn1 ZINC000442406424 1072431438 /nfs/dbraw/zinc/43/14/38/1072431438.db2.gz KYBZNJYDXAJKHV-ZDUSSCGKSA-N 0 0 439.436 -0.427 20 0 IBADRN O=C(Nc1cccnc1-n1cccn1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442406454 1072431272 /nfs/dbraw/zinc/43/12/72/1072431272.db2.gz FGJXJHWPRAGHNZ-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000442406716 1072431403 /nfs/dbraw/zinc/43/14/03/1072431403.db2.gz MNYIVNJGHQHRPO-UHFFFAOYSA-N 0 0 439.425 -0.475 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000442407048 1072431759 /nfs/dbraw/zinc/43/17/59/1072431759.db2.gz LZKLHXGNKJENPZ-AWEZNQCLSA-N 0 0 434.478 -0.503 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000442407058 1072431859 /nfs/dbraw/zinc/43/18/59/1072431859.db2.gz LZKLHXGNKJENPZ-CQSZACIVSA-N 0 0 434.478 -0.503 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3cccc(Cl)c3)CC2)cn1 ZINC000442407316 1072431877 /nfs/dbraw/zinc/43/18/77/1072431877.db2.gz NOBSQKYVAGNPHD-UHFFFAOYSA-N 0 0 432.868 -0.126 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1F ZINC000442407465 1072431427 /nfs/dbraw/zinc/43/14/27/1072431427.db2.gz GQFLSKQYTALFNC-UHFFFAOYSA-N 0 0 439.425 -0.475 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000442407772 1072431699 /nfs/dbraw/zinc/43/16/99/1072431699.db2.gz HHYGMYOCQSZXTA-UHFFFAOYSA-N 0 0 435.462 -0.353 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000442408130 1072431870 /nfs/dbraw/zinc/43/18/70/1072431870.db2.gz KJJMHWOXXZTMIK-UHFFFAOYSA-N 0 0 449.489 -0.077 20 0 IBADRN CC(C)(C)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)no1 ZINC000442408238 1072431882 /nfs/dbraw/zinc/43/18/82/1072431882.db2.gz KAPAALUZOYBHRO-UHFFFAOYSA-N 0 0 425.467 0.573 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000442408864 1072431793 /nfs/dbraw/zinc/43/17/93/1072431793.db2.gz OTCALTZWGAOJRM-KRWDZBQOSA-N 0 0 445.524 0.104 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000442408867 1072431773 /nfs/dbraw/zinc/43/17/73/1072431773.db2.gz OTCALTZWGAOJRM-QGZVFWFLSA-N 0 0 445.524 0.104 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442408910 1072431887 /nfs/dbraw/zinc/43/18/87/1072431887.db2.gz LMYRZVWLIPEIKY-UHFFFAOYSA-N 0 0 435.462 -0.305 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)ccc1C ZINC000442408977 1072431832 /nfs/dbraw/zinc/43/18/32/1072431832.db2.gz QTDVOUPCCOPACK-AWEZNQCLSA-N 0 0 448.505 -0.195 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)ccc1C ZINC000442408978 1072431807 /nfs/dbraw/zinc/43/18/07/1072431807.db2.gz QTDVOUPCCOPACK-CQSZACIVSA-N 0 0 448.505 -0.195 20 0 IBADRN O=C(Nc1ccc(-n2cccn2)nc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442409474 1072431841 /nfs/dbraw/zinc/43/18/41/1072431841.db2.gz RUXRTFNQCXCBKP-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN CCOCCOC1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000442409720 1072431768 /nfs/dbraw/zinc/43/17/68/1072431768.db2.gz LFWDCHPCJFPWAM-IBGZPJMESA-N 0 0 447.488 -0.097 20 0 IBADRN CCOCCOC1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000442409725 1072431686 /nfs/dbraw/zinc/43/16/86/1072431686.db2.gz LFWDCHPCJFPWAM-LJQANCHMSA-N 0 0 447.488 -0.097 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c2ccccc2O1 ZINC000442409995 1072431738 /nfs/dbraw/zinc/43/17/38/1072431738.db2.gz SZKPCWLYVQQTAQ-BBRMVZONSA-N 0 0 440.460 -0.510 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c2ccccc2O1 ZINC000442409996 1072431724 /nfs/dbraw/zinc/43/17/24/1072431724.db2.gz SZKPCWLYVQQTAQ-CJNGLKHVSA-N 0 0 440.460 -0.510 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c2ccccc2O1 ZINC000442409997 1072431749 /nfs/dbraw/zinc/43/17/49/1072431749.db2.gz SZKPCWLYVQQTAQ-CZUORRHYSA-N 0 0 440.460 -0.510 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c2ccccc2O1 ZINC000442409998 1072432376 /nfs/dbraw/zinc/43/23/76/1072432376.db2.gz SZKPCWLYVQQTAQ-XJKSGUPXSA-N 0 0 440.460 -0.510 20 0 IBADRN O=C(Nc1ccc(-n2ccnn2)cc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442410395 1072431730 /nfs/dbraw/zinc/43/17/30/1072431730.db2.gz UPWYVUVESYZIDO-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cc1 ZINC000442411370 1072432158 /nfs/dbraw/zinc/43/21/58/1072432158.db2.gz QAOVRIPTNHXOEC-HNNXBMFYSA-N 0 0 428.449 -0.286 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cc1 ZINC000442411552 1072432307 /nfs/dbraw/zinc/43/23/07/1072432307.db2.gz QAOVRIPTNHXOEC-OAHLLOKOSA-N 0 0 428.449 -0.286 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)C2=O)n(C)n1 ZINC000442412217 1072432226 /nfs/dbraw/zinc/43/22/26/1072432226.db2.gz YHLZDXXNAFPOJK-HNNXBMFYSA-N 0 0 448.505 -0.161 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)C2=O)n(C)n1 ZINC000442412223 1072432388 /nfs/dbraw/zinc/43/23/88/1072432388.db2.gz YHLZDXXNAFPOJK-OAHLLOKOSA-N 0 0 448.505 -0.161 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000442412421 1072432267 /nfs/dbraw/zinc/43/22/67/1072432267.db2.gz RGBJDUAXDVUVLD-CYBMUJFWSA-N 0 0 428.449 -0.043 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000442412439 1072432405 /nfs/dbraw/zinc/43/24/05/1072432405.db2.gz RGBJDUAXDVUVLD-ZDUSSCGKSA-N 0 0 428.449 -0.043 20 0 IBADRN Cc1nc(CN(C)C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)no1 ZINC000442413171 1072432243 /nfs/dbraw/zinc/43/22/43/1072432243.db2.gz BTDFGBUOZRKWNM-UHFFFAOYSA-N 0 0 436.494 -0.089 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccccc3OCCC(N)=O)C2=O)n(C)n1 ZINC000442413644 1072432423 /nfs/dbraw/zinc/43/24/23/1072432423.db2.gz CBIXDJYIORAQDI-AWEZNQCLSA-N 0 0 428.449 -0.157 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccccc3OCCC(N)=O)C2=O)n(C)n1 ZINC000442413645 1072432172 /nfs/dbraw/zinc/43/21/72/1072432172.db2.gz CBIXDJYIORAQDI-CQSZACIVSA-N 0 0 428.449 -0.157 20 0 IBADRN O=C(Nc1ccc2c(c1)OCC(=O)N2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442413987 1072430590 /nfs/dbraw/zinc/43/05/90/1072430590.db2.gz CXSPGEGHRIXRER-UHFFFAOYSA-N 0 0 449.445 -0.382 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000442415156 1072430732 /nfs/dbraw/zinc/43/07/32/1072430732.db2.gz ZTZAVUSZJQCZBS-CYBMUJFWSA-N 0 0 425.449 -0.221 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000442415161 1072430801 /nfs/dbraw/zinc/43/08/01/1072430801.db2.gz ZTZAVUSZJQCZBS-ZDUSSCGKSA-N 0 0 425.449 -0.221 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)ccc1C(N)=O ZINC000442416078 1072430778 /nfs/dbraw/zinc/43/07/78/1072430778.db2.gz VEWCDFDAGYVTER-UHFFFAOYSA-N 0 0 435.462 -0.305 20 0 IBADRN O=C(Nc1ccccc1-n1ccnn1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442416194 1072430711 /nfs/dbraw/zinc/43/07/11/1072430711.db2.gz VWXZEGOXTLJCFH-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442417891 1072430806 /nfs/dbraw/zinc/43/08/06/1072430806.db2.gz ZUQBFVSSOLRRLI-UHFFFAOYSA-N 0 0 446.449 -0.737 20 0 IBADRN Cc1nc(CN(C)C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)no1 ZINC000442418267 1072430610 /nfs/dbraw/zinc/43/06/10/1072430610.db2.gz KNHYUDWPBBPIOV-INIZCTEOSA-N 0 0 429.433 -0.011 20 0 IBADRN Cc1nc(CN(C)C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)no1 ZINC000442418270 1072430639 /nfs/dbraw/zinc/43/06/39/1072430639.db2.gz KNHYUDWPBBPIOV-MRXNPFEDSA-N 0 0 429.433 -0.011 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1C ZINC000442418366 1072430770 /nfs/dbraw/zinc/43/07/70/1072430770.db2.gz ZESHEGSLZUFCOJ-UHFFFAOYSA-N 0 0 449.489 -0.045 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cc1S(N)(=O)=O ZINC000442418570 1072430659 /nfs/dbraw/zinc/43/06/59/1072430659.db2.gz ZBABKWUXCRBFHM-AWEZNQCLSA-N 0 0 448.505 -0.201 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cc1S(N)(=O)=O ZINC000442418574 1072430677 /nfs/dbraw/zinc/43/06/77/1072430677.db2.gz ZBABKWUXCRBFHM-CQSZACIVSA-N 0 0 448.505 -0.201 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000442418856 1072430626 /nfs/dbraw/zinc/43/06/26/1072430626.db2.gz ZUQDUCSNMPOBKR-UHFFFAOYSA-N 0 0 449.489 -0.011 20 0 IBADRN CCOCCOC1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000442418867 1072430525 /nfs/dbraw/zinc/43/05/25/1072430525.db2.gz ZTSQYWCLCUPHSQ-UHFFFAOYSA-N 0 0 441.506 -0.090 20 0 IBADRN CC(C)(C)c1nnc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)s1 ZINC000442421116 1073311284 /nfs/dbraw/zinc/31/12/84/1073311284.db2.gz RAQSSDFVQHCDDK-UHFFFAOYSA-N 0 0 442.523 0.436 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1-n1nnnc1C1CC1 ZINC000442422198 1072432328 /nfs/dbraw/zinc/43/23/28/1072432328.db2.gz HJJOHVBAUYLGTI-UHFFFAOYSA-N 0 0 449.493 -0.362 20 0 IBADRN CCOCCOC1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000442422574 1072432286 /nfs/dbraw/zinc/43/22/86/1072432286.db2.gz IFSGHOLZYKEAFZ-GASCZTMLSA-N 0 0 437.497 -0.279 20 0 IBADRN CCOCCOC1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000442422575 1072432301 /nfs/dbraw/zinc/43/23/01/1072432301.db2.gz IFSGHOLZYKEAFZ-GJZGRUSLSA-N 0 0 437.497 -0.279 20 0 IBADRN CCOCCOC1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000442422576 1072432190 /nfs/dbraw/zinc/43/21/90/1072432190.db2.gz IFSGHOLZYKEAFZ-HUUCEWRRSA-N 0 0 437.497 -0.279 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)C2=O)n(C)n1 ZINC000442423273 1072432778 /nfs/dbraw/zinc/43/27/78/1072432778.db2.gz VUHFNROXGMGLCI-CYBMUJFWSA-N 0 0 434.478 -0.455 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)C2=O)n(C)n1 ZINC000442423274 1072432792 /nfs/dbraw/zinc/43/27/92/1072432792.db2.gz VUHFNROXGMGLCI-ZDUSSCGKSA-N 0 0 434.478 -0.455 20 0 IBADRN Cn1c(=O)oc2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)ccc21 ZINC000442423305 1072432810 /nfs/dbraw/zinc/43/28/10/1072432810.db2.gz VZMMWZSZUGXNPG-UHFFFAOYSA-N 0 0 449.445 -0.268 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442423686 1072432458 /nfs/dbraw/zinc/43/24/58/1072432458.db2.gz KXMAAYSOTOYOSP-UHFFFAOYSA-N 0 0 446.449 -0.737 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1-n1nnnc1C1CC1 ZINC000442423960 1072432842 /nfs/dbraw/zinc/43/28/42/1072432842.db2.gz LTYVTLHARLJZMF-UHFFFAOYSA-N 0 0 443.464 -0.148 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1-n1nnnc1C1CC1 ZINC000442425946 1072432953 /nfs/dbraw/zinc/43/29/53/1072432953.db2.gz UCDGUXFPBNTYEI-UHFFFAOYSA-N 0 0 449.493 -0.409 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1 ZINC000442426272 1072432857 /nfs/dbraw/zinc/43/28/57/1072432857.db2.gz WCLDHRFKJLKKLP-UHFFFAOYSA-N 0 0 438.506 -0.362 20 0 IBADRN O=C(Nc1ccn(-c2ccccc2)n1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000442426611 1073332675 /nfs/dbraw/zinc/33/26/75/1073332675.db2.gz XTMVMLVQSVNOJQ-UHFFFAOYSA-N 0 0 444.473 0.473 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000442428196 1072432868 /nfs/dbraw/zinc/43/28/68/1072432868.db2.gz VTHOTNHWGQRQGL-UHFFFAOYSA-N 0 0 449.489 -0.045 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)C2=O)n(C)n1 ZINC000442432564 1072432895 /nfs/dbraw/zinc/43/28/95/1072432895.db2.gz LBASDYIZIVDXBS-HNNXBMFYSA-N 0 0 448.505 -0.362 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)C2=O)n(C)n1 ZINC000442432567 1072432786 /nfs/dbraw/zinc/43/27/86/1072432786.db2.gz LBASDYIZIVDXBS-OAHLLOKOSA-N 0 0 448.505 -0.362 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1 ZINC000442433044 1072433488 /nfs/dbraw/zinc/43/34/88/1072433488.db2.gz CENBDPNLIWORDI-UHFFFAOYSA-N 0 0 445.520 -0.186 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)CCO1 ZINC000442433620 1072432863 /nfs/dbraw/zinc/43/28/63/1072432863.db2.gz FSWMEYPACPIKIX-HNNXBMFYSA-N 0 0 430.421 -0.113 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)CCO1 ZINC000442433624 1072432967 /nfs/dbraw/zinc/43/29/67/1072432967.db2.gz FSWMEYPACPIKIX-OAHLLOKOSA-N 0 0 430.421 -0.113 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1 ZINC000442434233 1072433345 /nfs/dbraw/zinc/43/33/45/1072433345.db2.gz FARXZGSCHLSGMY-UHFFFAOYSA-N 0 0 432.477 -0.149 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCS(=O)(=O)[C@H]3CCCC[C@H]32)CC1)N1CCOCC1 ZINC000442434780 1073083220 /nfs/dbraw/zinc/08/32/20/1073083220.db2.gz IAWQXOHYYTUFDD-MSOLQXFVSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCS(=O)(=O)[C@@H]3CCCC[C@H]32)CC1)N1CCOCC1 ZINC000442434783 1070845326 /nfs/dbraw/zinc/84/53/26/1070845326.db2.gz IAWQXOHYYTUFDD-QZTJIDSGSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCS(=O)(=O)[C@H]3CCCC[C@@H]32)CC1)N1CCOCC1 ZINC000442434785 1070845418 /nfs/dbraw/zinc/84/54/18/1070845418.db2.gz IAWQXOHYYTUFDD-ROUUACIJSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCS(=O)(=O)[C@@H]3CCCC[C@@H]32)CC1)N1CCOCC1 ZINC000442434787 1070845639 /nfs/dbraw/zinc/84/56/39/1070845639.db2.gz IAWQXOHYYTUFDD-ZWKOTPCHSA-N 0 0 442.582 -0.969 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CC(n4cc(C(N)=O)nn4)C3)cc21 ZINC000442436140 1072433840 /nfs/dbraw/zinc/43/38/40/1072433840.db2.gz BXOMGIGDDSEPBQ-UHFFFAOYSA-N 0 0 438.448 -0.558 20 0 IBADRN CC(C)c1nc([C@@H]2COCCN2C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)no1 ZINC000442437078 1072433883 /nfs/dbraw/zinc/43/38/83/1072433883.db2.gz LITIDYLMATWZOC-HNNXBMFYSA-N 0 0 447.496 0.260 20 0 IBADRN CC(C)c1nc([C@H]2COCCN2C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)no1 ZINC000442437079 1072433972 /nfs/dbraw/zinc/43/39/72/1072433972.db2.gz LITIDYLMATWZOC-OAHLLOKOSA-N 0 0 447.496 0.260 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c2ccccc2O1 ZINC000442437239 1072433930 /nfs/dbraw/zinc/43/39/30/1072433930.db2.gz KLBHUUPDFJJYGQ-BBRMVZONSA-N 0 0 440.460 -0.428 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c2ccccc2O1 ZINC000442437242 1072433953 /nfs/dbraw/zinc/43/39/53/1072433953.db2.gz KLBHUUPDFJJYGQ-CJNGLKHVSA-N 0 0 440.460 -0.428 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c2ccccc2O1 ZINC000442437245 1072433863 /nfs/dbraw/zinc/43/38/63/1072433863.db2.gz KLBHUUPDFJJYGQ-CZUORRHYSA-N 0 0 440.460 -0.428 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c2ccccc2O1 ZINC000442437248 1072433827 /nfs/dbraw/zinc/43/38/27/1072433827.db2.gz KLBHUUPDFJJYGQ-XJKSGUPXSA-N 0 0 440.460 -0.428 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(Cl)c3N3CCOCC3)C2)nn1 ZINC000442438591 1072433873 /nfs/dbraw/zinc/43/38/73/1072433873.db2.gz HBQQDFXZPPKFJR-UHFFFAOYSA-N 0 0 433.856 -0.111 20 0 IBADRN Cc1cc(C(=O)N2CCCC2)ccc1NC(=O)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000442438653 1072433962 /nfs/dbraw/zinc/43/39/62/1072433962.db2.gz HGCIQNQCBCKZGW-UHFFFAOYSA-N 0 0 425.449 -0.057 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc4c(c3)N(C)C(=O)CO4)C2=O)n(C)n1 ZINC000442438823 1072433818 /nfs/dbraw/zinc/43/38/18/1072433818.db2.gz WKIAEYYJHJZVJH-CYBMUJFWSA-N 0 0 426.433 -0.056 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc4c(c3)N(C)C(=O)CO4)C2=O)n(C)n1 ZINC000442438827 1072433999 /nfs/dbraw/zinc/43/39/99/1072433999.db2.gz WKIAEYYJHJZVJH-ZDUSSCGKSA-N 0 0 426.433 -0.056 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442440664 1072433933 /nfs/dbraw/zinc/43/39/33/1072433933.db2.gz LARFATUZLIWKSZ-UHFFFAOYSA-N 0 0 449.493 -0.572 20 0 IBADRN Cc1cccc(C(=O)N2CCCC2)c1NC(=O)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000442441054 1072433896 /nfs/dbraw/zinc/43/38/96/1072433896.db2.gz LUQFOUDKFQZYLX-UHFFFAOYSA-N 0 0 425.449 -0.057 20 0 IBADRN CCS(=O)(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000442441176 1072434535 /nfs/dbraw/zinc/43/45/35/1072434535.db2.gz DRZHZKCAPWLYEZ-UHFFFAOYSA-N 0 0 440.869 -0.154 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1-n1nnnc1C1CC1 ZINC000442442172 1072434679 /nfs/dbraw/zinc/43/46/79/1072434679.db2.gz VRLAURGGUOBOPP-UHFFFAOYSA-N 0 0 437.482 -0.458 20 0 IBADRN COC(=O)CCCOc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442443806 1072434632 /nfs/dbraw/zinc/43/46/32/1072434632.db2.gz ODJRJWMQRJSEOT-UHFFFAOYSA-N 0 0 430.421 -0.269 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)ccc1N1CCCC1=O ZINC000442443955 1072434622 /nfs/dbraw/zinc/43/46/22/1072434622.db2.gz OJUKAEJHLFTGJT-UHFFFAOYSA-N 0 0 427.421 -0.466 20 0 IBADRN CCN(CC)C(=O)Cc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442444341 1072434684 /nfs/dbraw/zinc/43/46/84/1072434684.db2.gz OWGUVIFFFRKZAE-UHFFFAOYSA-N 0 0 427.465 -0.190 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442445820 1072434665 /nfs/dbraw/zinc/43/46/65/1072434665.db2.gz RHUJKCSURCWIGM-UHFFFAOYSA-N 0 0 447.477 -0.818 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)ccc1C ZINC000442446761 1072434554 /nfs/dbraw/zinc/43/45/54/1072434554.db2.gz LJPIZCSJQXDWMN-AWEZNQCLSA-N 0 0 448.505 -0.113 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)ccc1C ZINC000442446762 1072434640 /nfs/dbraw/zinc/43/46/40/1072434640.db2.gz LJPIZCSJQXDWMN-CQSZACIVSA-N 0 0 448.505 -0.113 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442448788 1072434514 /nfs/dbraw/zinc/43/45/14/1072434514.db2.gz TYLBRXNACLUPAM-UHFFFAOYSA-N 0 0 428.438 -0.903 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000442449383 1072433504 /nfs/dbraw/zinc/43/35/04/1072433504.db2.gz YBEJGGZJNWIAAU-AWEZNQCLSA-N 0 0 434.478 -0.422 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000442449384 1072433394 /nfs/dbraw/zinc/43/33/94/1072433394.db2.gz YBEJGGZJNWIAAU-CQSZACIVSA-N 0 0 434.478 -0.422 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)CC1 ZINC000442450926 1072433360 /nfs/dbraw/zinc/43/33/60/1072433360.db2.gz VBDGODQJIHFQBK-UHFFFAOYSA-N 0 0 443.464 -0.196 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000442451903 1072433472 /nfs/dbraw/zinc/43/34/72/1072433472.db2.gz WZDLQYQVFHMJGZ-HNNXBMFYSA-N 0 0 448.505 -0.079 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000442451905 1072433417 /nfs/dbraw/zinc/43/34/17/1072433417.db2.gz WZDLQYQVFHMJGZ-OAHLLOKOSA-N 0 0 448.505 -0.079 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(F)cc3CS(C)(=O)=O)C2)nn1 ZINC000442451969 1072433330 /nfs/dbraw/zinc/43/33/30/1072433330.db2.gz VIVKGIAPJIEOCQ-UHFFFAOYSA-N 0 0 439.425 -0.230 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)no1 ZINC000442452364 1072433407 /nfs/dbraw/zinc/43/34/07/1072433407.db2.gz VNZFTQRSYDCSBJ-AWEZNQCLSA-N 0 0 430.421 -0.055 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)no1 ZINC000442452367 1072433478 /nfs/dbraw/zinc/43/34/78/1072433478.db2.gz VNZFTQRSYDCSBJ-CQSZACIVSA-N 0 0 430.421 -0.055 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(C)nn3-c3ccc(C)nn3)C2)nn1 ZINC000442453473 1072433500 /nfs/dbraw/zinc/43/35/00/1072433500.db2.gz XZSUJQPIIKEFJF-UHFFFAOYSA-N 0 0 425.409 -0.321 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3cccnc3N3CCOCC3)C2=O)n1 ZINC000442454105 1072435690 /nfs/dbraw/zinc/43/56/90/1072435690.db2.gz KNUPLRHBRQGRJN-HNNXBMFYSA-N 0 0 427.465 -0.098 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3cccnc3N3CCOCC3)C2=O)n1 ZINC000442454106 1072435682 /nfs/dbraw/zinc/43/56/82/1072435682.db2.gz KNUPLRHBRQGRJN-OAHLLOKOSA-N 0 0 427.465 -0.098 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442454594 1072435735 /nfs/dbraw/zinc/43/57/35/1072435735.db2.gz CKKZAWIKLYFWLC-LLVKDONJSA-N 0 0 449.493 -0.524 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442454595 1072435753 /nfs/dbraw/zinc/43/57/53/1072435753.db2.gz CKKZAWIKLYFWLC-NSHDSACASA-N 0 0 449.493 -0.524 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cc1S(N)(=O)=O ZINC000442454787 1072435728 /nfs/dbraw/zinc/43/57/28/1072435728.db2.gz CUMYAFFMIMQEKT-CYBMUJFWSA-N 0 0 434.478 -0.374 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cc1S(N)(=O)=O ZINC000442454789 1072435743 /nfs/dbraw/zinc/43/57/43/1072435743.db2.gz CUMYAFFMIMQEKT-ZDUSSCGKSA-N 0 0 434.478 -0.374 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c1 ZINC000442454902 1072435676 /nfs/dbraw/zinc/43/56/76/1072435676.db2.gz AHGCQDKPJPDYNB-UHFFFAOYSA-N 0 0 430.421 -0.398 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(Cn4cccnc4=O)c3)C2)nn1 ZINC000442454904 1072435670 /nfs/dbraw/zinc/43/56/70/1072435670.db2.gz AHICFSARJPVLTG-UHFFFAOYSA-N 0 0 437.416 -0.308 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cc1S(N)(=O)=O ZINC000442455218 1072435758 /nfs/dbraw/zinc/43/57/58/1072435758.db2.gz MEQFSAZKVIJDJL-AWEZNQCLSA-N 0 0 448.505 -0.120 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cc1S(N)(=O)=O ZINC000442455221 1072435592 /nfs/dbraw/zinc/43/55/92/1072435592.db2.gz MEQFSAZKVIJDJL-CQSZACIVSA-N 0 0 448.505 -0.120 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CC(n2cc(C(=O)OC)nn2)C1 ZINC000442455435 1072435746 /nfs/dbraw/zinc/43/57/46/1072435746.db2.gz BERSCUGJUHFYJF-UHFFFAOYSA-N 0 0 442.432 -0.378 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccccc3CN3C(=O)CCC3=O)C2)nn1 ZINC000442456007 1072436245 /nfs/dbraw/zinc/43/62/45/1072436245.db2.gz PRHXUBKKWGGFLA-UHFFFAOYSA-N 0 0 440.416 -0.264 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c(OC(F)F)c1 ZINC000442456806 1072436313 /nfs/dbraw/zinc/43/63/13/1072436313.db2.gz OYJNGBHENSFULJ-UHFFFAOYSA-N 0 0 438.347 -0.213 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C)cc3OCC(=O)N(C)C)C2)nn1 ZINC000442457439 1072436305 /nfs/dbraw/zinc/43/63/05/1072436305.db2.gz SBHQXXQFUYSXJO-UHFFFAOYSA-N 0 0 444.448 -0.138 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C2CC2)c1 ZINC000442457550 1072436316 /nfs/dbraw/zinc/43/63/16/1072436316.db2.gz SONKCKGOOSTXLX-UHFFFAOYSA-N 0 0 437.482 -0.458 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(Br)c(=O)n(C)c3)C2)nn1 ZINC000442457794 1072436266 /nfs/dbraw/zinc/43/62/66/1072436266.db2.gz GWQUFVPRWVUFAC-UHFFFAOYSA-N 0 0 439.226 -0.452 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442457968 1072436300 /nfs/dbraw/zinc/43/63/00/1072436300.db2.gz AIDXSDLBEOOFDT-UHFFFAOYSA-N 0 0 449.493 -0.524 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ccccc3OCCC(N)=O)C2=O)n1 ZINC000442459488 1072436308 /nfs/dbraw/zinc/43/63/08/1072436308.db2.gz MDNNSFIIHGZRGK-AWEZNQCLSA-N 0 0 428.449 -0.075 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccccc3OCCC(N)=O)C2=O)n1 ZINC000442459489 1072436321 /nfs/dbraw/zinc/43/63/21/1072436321.db2.gz MDNNSFIIHGZRGK-CQSZACIVSA-N 0 0 428.449 -0.075 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccn(-c4ncccc4C(F)(F)F)n3)C2)nn1 ZINC000442459873 1072436271 /nfs/dbraw/zinc/43/62/71/1072436271.db2.gz MTOJMNJQEOJQRS-UHFFFAOYSA-N 0 0 449.353 -0.002 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CC(n4cc(C(=O)OC)nn4)C3)cc21 ZINC000442460130 1072436284 /nfs/dbraw/zinc/43/62/84/1072436284.db2.gz LCXZNGRAVTWTGG-UHFFFAOYSA-N 0 0 439.432 -0.213 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)N3CC(n4cc(C(N)=O)nn4)C3)cc21 ZINC000442460698 1072436255 /nfs/dbraw/zinc/43/62/55/1072436255.db2.gz WBWJWPISHRQCGB-UHFFFAOYSA-N 0 0 426.437 -0.509 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1C ZINC000442461236 1072436261 /nfs/dbraw/zinc/43/62/61/1072436261.db2.gz XYXGEZCEFLCWMT-UHFFFAOYSA-N 0 0 449.493 -0.141 20 0 IBADRN Cc1nc([C@@H]2CCCCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)no1 ZINC000442463034 1072436807 /nfs/dbraw/zinc/43/68/07/1072436807.db2.gz CTHDNLKBKGWGKS-HNNXBMFYSA-N 0 0 445.480 0.516 20 0 IBADRN Cc1nc([C@H]2CCCCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)no1 ZINC000442463036 1072436699 /nfs/dbraw/zinc/43/66/99/1072436699.db2.gz CTHDNLKBKGWGKS-OAHLLOKOSA-N 0 0 445.480 0.516 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(CS(C)(=O)=O)c(F)c3)C2)nn1 ZINC000442463177 1072436773 /nfs/dbraw/zinc/43/67/73/1072436773.db2.gz DEZBEZHKPIFPTR-UHFFFAOYSA-N 0 0 439.425 -0.230 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(C(=O)N(C)C)ccc3OC)C2)nn1 ZINC000442463678 1072436326 /nfs/dbraw/zinc/43/63/26/1072436326.db2.gz GBSQNXBRICYTRV-UHFFFAOYSA-N 0 0 430.421 -0.203 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(N4CCOCC4)nc3C)C2)nn1 ZINC000442464435 1072436625 /nfs/dbraw/zinc/43/66/25/1072436625.db2.gz BHBOYQDFMHSYCO-UHFFFAOYSA-N 0 0 429.437 -0.373 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c1C ZINC000442464713 1072436785 /nfs/dbraw/zinc/43/67/85/1072436785.db2.gz IUUFQTCOVRGTFW-UHFFFAOYSA-N 0 0 436.450 -0.697 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccccc3N(C)S(C)(=O)=O)C2)nn1 ZINC000442464936 1072436717 /nfs/dbraw/zinc/43/67/17/1072436717.db2.gz JGEOULXOKLNXDY-UHFFFAOYSA-N 0 0 436.450 -0.518 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(CCN4CCOCC4)cc3)C2)nn1 ZINC000442465040 1072436671 /nfs/dbraw/zinc/43/66/71/1072436671.db2.gz RHVBMUSHOIYVNO-UHFFFAOYSA-N 0 0 442.476 -0.039 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)C2)nn1 ZINC000442465359 1072436688 /nfs/dbraw/zinc/43/66/88/1072436688.db2.gz SCWBHANCTZTVKL-UHFFFAOYSA-N 0 0 436.450 -0.864 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ncn(Cc4ccc(Cl)cc4)n3)C2)nn1 ZINC000442465495 1073318122 /nfs/dbraw/zinc/31/81/22/1073318122.db2.gz LATFXHLDLARYSC-UHFFFAOYSA-N 0 0 429.828 -0.308 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(OCC(=O)N(C)C)c3)C2)nn1 ZINC000442465807 1072436739 /nfs/dbraw/zinc/43/67/39/1072436739.db2.gz AUJGOOXPTMVDRR-UHFFFAOYSA-N 0 0 430.421 -0.446 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)C2=O)n1 ZINC000442466162 1072436758 /nfs/dbraw/zinc/43/67/58/1072436758.db2.gz DZXCMPRGRYNYAN-CYBMUJFWSA-N 0 0 438.441 -0.543 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)C2=O)n1 ZINC000442466164 1072436707 /nfs/dbraw/zinc/43/67/07/1072436707.db2.gz DZXCMPRGRYNYAN-ZDUSSCGKSA-N 0 0 438.441 -0.543 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)C2)nn1 ZINC000442466680 1072436795 /nfs/dbraw/zinc/43/67/95/1072436795.db2.gz ASUZYHZSVZADJC-UHFFFAOYSA-N 0 0 448.461 -0.473 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c2ccccc2O1 ZINC000442466760 1072436647 /nfs/dbraw/zinc/43/66/47/1072436647.db2.gz FDBCZSHEFAFYHP-INIZCTEOSA-N 0 0 441.448 -0.919 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c2ccccc2O1 ZINC000442466763 1072436748 /nfs/dbraw/zinc/43/67/48/1072436748.db2.gz FDBCZSHEFAFYHP-MRXNPFEDSA-N 0 0 441.448 -0.919 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(OC)c(-n4nnnc4C)c3)C2)nn1 ZINC000442466898 1072436658 /nfs/dbraw/zinc/43/66/58/1072436658.db2.gz MRTRYGKSTZOILH-UHFFFAOYSA-N 0 0 441.408 -0.621 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C(=O)OC)c(C(=O)OC)c3)C2)nn1 ZINC000442467612 1072436591 /nfs/dbraw/zinc/43/65/91/1072436591.db2.gz CQTVCQVNJQQHRV-UHFFFAOYSA-N 0 0 445.388 -0.340 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)C2=O)n1 ZINC000442468012 1072436606 /nfs/dbraw/zinc/43/66/06/1072436606.db2.gz CDFVLVOTQDSAFU-HNNXBMFYSA-N 0 0 448.505 -0.280 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)C2=O)n1 ZINC000442468015 1072437490 /nfs/dbraw/zinc/43/74/90/1072437490.db2.gz CDFVLVOTQDSAFU-OAHLLOKOSA-N 0 0 448.505 -0.280 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3nn(-c4ncccc4F)cc3C)C2)nn1 ZINC000442468691 1072437453 /nfs/dbraw/zinc/43/74/53/1072437453.db2.gz CPZWKIKYHNJXPX-UHFFFAOYSA-N 0 0 428.384 0.115 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1S(=O)(=O)NC1CC1 ZINC000442469260 1072437343 /nfs/dbraw/zinc/43/73/43/1072437343.db2.gz DJTPOQCKNDURDM-UHFFFAOYSA-N 0 0 447.477 -0.852 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(OC)c(-n4cnnn4)c3)C2)nn1 ZINC000442469987 1072434645 /nfs/dbraw/zinc/43/46/45/1072434645.db2.gz DMCLNHWWMHYYOK-UHFFFAOYSA-N 0 0 427.381 -0.929 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cnn(-c4cnc5ccccc5n4)c3)C2)nn1 ZINC000442470590 1072434659 /nfs/dbraw/zinc/43/46/59/1072434659.db2.gz JSUBZKXEJIGPLW-UHFFFAOYSA-N 0 0 432.404 -0.472 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(N4CCCS4(=O)=O)c3)C2)nn1 ZINC000442470705 1072437388 /nfs/dbraw/zinc/43/73/88/1072437388.db2.gz FSUJNPIDLKCKJB-UHFFFAOYSA-N 0 0 448.461 -0.373 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc4c(cc3OC)CCC(=O)N4)C2)nn1 ZINC000442470726 1072437441 /nfs/dbraw/zinc/43/74/41/1072437441.db2.gz UJNHEAFRCKQJPD-UHFFFAOYSA-N 0 0 428.405 -0.020 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cn1 ZINC000442471074 1072434566 /nfs/dbraw/zinc/43/45/66/1072434566.db2.gz HHHSZTMYXKNVMI-UHFFFAOYSA-N 0 0 433.425 -0.419 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)C2)nn1 ZINC000442471210 1072434984 /nfs/dbraw/zinc/43/49/84/1072434984.db2.gz GHEPRDCFCBSKAZ-UHFFFAOYSA-N 0 0 441.404 -0.862 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)C2)nn1 ZINC000442471235 1072435092 /nfs/dbraw/zinc/43/50/92/1072435092.db2.gz GMTHFWAHXPKTNC-UHFFFAOYSA-N 0 0 436.450 -0.663 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)ccc1-n1nnnc1C(C)C ZINC000442471489 1072434520 /nfs/dbraw/zinc/43/45/20/1072434520.db2.gz ALKTWUMVXMWMQA-UHFFFAOYSA-N 0 0 438.452 -0.193 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccccc3CN3CCOCC3)C2)nn1 ZINC000442471625 1072434671 /nfs/dbraw/zinc/43/46/71/1072434671.db2.gz HNOFRLWZKRPGOF-UHFFFAOYSA-N 0 0 428.449 -0.081 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(N4CCCS4(=O)=O)cc3)C2)nn1 ZINC000442471969 1072435081 /nfs/dbraw/zinc/43/50/81/1072435081.db2.gz JPKXVKYAWUALNF-UHFFFAOYSA-N 0 0 448.461 -0.373 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cc1 ZINC000442471979 1072435135 /nfs/dbraw/zinc/43/51/35/1072435135.db2.gz WEZINIGCIGGZSX-UHFFFAOYSA-N 0 0 436.450 -0.152 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(F)c(-n4nnnc4C)c3)C2)nn1 ZINC000442472724 1072435064 /nfs/dbraw/zinc/43/50/64/1072435064.db2.gz MVNGCLJSUOBVGM-UHFFFAOYSA-N 0 0 429.372 -0.490 20 0 IBADRN C[C@H]1COc2ccccc2CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000442472788 1072435073 /nfs/dbraw/zinc/43/50/73/1072435073.db2.gz NATDDSDKRFWDCK-HNNXBMFYSA-N 0 0 427.461 0.490 20 0 IBADRN C[C@@H]1COc2ccccc2CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000442472793 1072435023 /nfs/dbraw/zinc/43/50/23/1072435023.db2.gz NATDDSDKRFWDCK-OAHLLOKOSA-N 0 0 427.461 0.490 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CC(n4cc(C(=O)OC)nn4)C3)ccc21 ZINC000442473093 1072435109 /nfs/dbraw/zinc/43/51/09/1072435109.db2.gz INPQRFYLKAAJON-UHFFFAOYSA-N 0 0 442.432 -0.378 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(OC)c(OC)cc3C(=O)OC)C2)nn1 ZINC000442473095 1072435030 /nfs/dbraw/zinc/43/50/30/1072435030.db2.gz JJJDCFPQUIABCT-UHFFFAOYSA-N 0 0 447.404 -0.110 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c(C)c1 ZINC000442473447 1072435076 /nfs/dbraw/zinc/43/50/76/1072435076.db2.gz YYEQFZCCWAYTJD-UHFFFAOYSA-N 0 0 431.405 -0.053 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cc1 ZINC000442473745 1072435144 /nfs/dbraw/zinc/43/51/44/1072435144.db2.gz JIDVRIMLQVFLQW-UHFFFAOYSA-N 0 0 430.421 -0.398 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(-n4nnnc4SC)c3)C2)nn1 ZINC000442473822 1072435103 /nfs/dbraw/zinc/43/51/03/1072435103.db2.gz JVFIFBFJFWRKLM-UHFFFAOYSA-N 0 0 443.449 -0.216 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)C2)nn1 ZINC000442474230 1072435117 /nfs/dbraw/zinc/43/51/17/1072435117.db2.gz OYXIVWBPJQINAV-GFCCVEGCSA-N 0 0 440.416 -0.708 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)C2)nn1 ZINC000442474234 1072435041 /nfs/dbraw/zinc/43/50/41/1072435041.db2.gz OYXIVWBPJQINAV-LBPRGKRZSA-N 0 0 440.416 -0.708 20 0 IBADRN COC(=O)CSCc1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442474449 1072434954 /nfs/dbraw/zinc/43/49/54/1072434954.db2.gz FLMVORLBMZCHBH-UHFFFAOYSA-N 0 0 432.462 -0.195 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(CN4C(=O)CCC4=O)cc3)C2)nn1 ZINC000442475598 1072435054 /nfs/dbraw/zinc/43/50/54/1072435054.db2.gz LYYYJIMJOIGAPQ-UHFFFAOYSA-N 0 0 440.416 -0.264 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)C2)nn1 ZINC000442476659 1072436768 /nfs/dbraw/zinc/43/67/68/1072436768.db2.gz NLJQQDYETFOKQD-UHFFFAOYSA-N 0 0 448.461 -0.591 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)nn1-c1cccc(Cl)c1 ZINC000442476757 1072435714 /nfs/dbraw/zinc/43/57/14/1072435714.db2.gz JMOPYTQJXVQWGA-UHFFFAOYSA-N 0 0 428.840 0.547 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(F)c(S(C)(=O)=O)c(F)c3)C2)nn1 ZINC000442476922 1072435126 /nfs/dbraw/zinc/43/51/26/1072435126.db2.gz TZCKMTNGDZDFBR-UHFFFAOYSA-N 0 0 443.388 -0.232 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(S(N)(=O)=O)cc(C)c3C)C2)nn1 ZINC000442477102 1072439089 /nfs/dbraw/zinc/43/90/89/1072439089.db2.gz NTLBUTDGFQLFPX-UHFFFAOYSA-N 0 0 436.450 -0.649 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)N(CC3CC3)C4=O)C2)nn1 ZINC000442477935 1072439143 /nfs/dbraw/zinc/43/91/43/1072439143.db2.gz LFYFNPPGCWSMGM-UHFFFAOYSA-N 0 0 437.416 -0.595 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(NC(=O)CN(C)C)cc3)C2)nn1 ZINC000442478025 1072439185 /nfs/dbraw/zinc/43/91/85/1072439185.db2.gz LXKWOWKQYBOVCG-UHFFFAOYSA-N 0 0 429.437 -0.413 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(S(C)(=O)=O)ccc3F)C2)nn1 ZINC000442479269 1072439095 /nfs/dbraw/zinc/43/90/95/1072439095.db2.gz XSHUPNGGLQLPKM-UHFFFAOYSA-N 0 0 425.398 -0.371 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(C(=O)OC)cc(OC)c3OC)C2)nn1 ZINC000442479800 1072439168 /nfs/dbraw/zinc/43/91/68/1072439168.db2.gz OKNMAFVJCNBUTB-UHFFFAOYSA-N 0 0 447.404 -0.110 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c(OCC(=O)N(C)C)c1 ZINC000442479920 1072439172 /nfs/dbraw/zinc/43/91/72/1072439172.db2.gz OWLJFTRCVFAFPX-UHFFFAOYSA-N 0 0 429.437 -0.826 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)no1 ZINC000442480380 1072439631 /nfs/dbraw/zinc/43/96/31/1072439631.db2.gz SAQAOBKPDBFJTB-CYBMUJFWSA-N 0 0 429.389 -0.020 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)no1 ZINC000442480388 1072439703 /nfs/dbraw/zinc/43/97/03/1072439703.db2.gz SAQAOBKPDBFJTB-ZDUSSCGKSA-N 0 0 429.389 -0.020 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)ccc1C ZINC000442480492 1072439182 /nfs/dbraw/zinc/43/91/82/1072439182.db2.gz ZLPMGGDOLBPFBH-UHFFFAOYSA-N 0 0 436.450 -0.697 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ncn(Cc4ccc(Cl)cc4)n3)C2)nn1 ZINC000442480933 1072439102 /nfs/dbraw/zinc/43/91/02/1072439102.db2.gz QISXCMMYCSYBPU-UHFFFAOYSA-N 0 0 444.839 0.380 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c1 ZINC000442481217 1072439642 /nfs/dbraw/zinc/43/96/42/1072439642.db2.gz QQKNQMKNTKUHDR-UHFFFAOYSA-N 0 0 425.409 -0.240 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000442482650 1072439650 /nfs/dbraw/zinc/43/96/50/1072439650.db2.gz SZZWHQPVAZCSIQ-UHFFFAOYSA-N 0 0 432.393 -0.797 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1F ZINC000442482692 1072439699 /nfs/dbraw/zinc/43/96/99/1072439699.db2.gz TXFMSJHSVNBMOO-UHFFFAOYSA-N 0 0 442.415 -0.228 20 0 IBADRN COC(=O)c1ccc(OC(C)C)c(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442482694 1072439607 /nfs/dbraw/zinc/43/96/07/1072439607.db2.gz TXONTVWQDMFTBJ-UHFFFAOYSA-N 0 0 430.421 -0.027 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccn(Cc4ccc(OC)cc4)n3)C2)nn1 ZINC000442483057 1072439694 /nfs/dbraw/zinc/43/96/94/1072439694.db2.gz WNCCPZQJLYPLTI-UHFFFAOYSA-N 0 0 439.432 0.340 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccccc3C(=O)N3CCOCC3)C2)nn1 ZINC000442483070 1072439595 /nfs/dbraw/zinc/43/95/95/1072439595.db2.gz WNHNIFWMIMDGFH-UHFFFAOYSA-N 0 0 442.432 -0.441 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(CS(C)(=O)=O)c3C)C2)nn1 ZINC000442483229 1072439654 /nfs/dbraw/zinc/43/96/54/1072439654.db2.gz UQWQHUNGLKSCLR-UHFFFAOYSA-N 0 0 435.462 -0.060 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C)c(NS(C)(=O)=O)c3)C2)nn1 ZINC000442483655 1072439708 /nfs/dbraw/zinc/43/97/08/1072439708.db2.gz UWESHYZWRSHVNH-UHFFFAOYSA-N 0 0 436.450 -0.233 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)CC1(O)CCOCC1)CC2 ZINC000442483908 1072439662 /nfs/dbraw/zinc/43/96/62/1072439662.db2.gz BZLOYIXZOFKJBC-UHFFFAOYSA-N 0 0 425.507 -0.126 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cc1-n1cnnn1 ZINC000442484048 1072437409 /nfs/dbraw/zinc/43/74/09/1072437409.db2.gz CLTHAKBDFDHGCM-CYBMUJFWSA-N 0 0 439.436 -0.345 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cc1-n1cnnn1 ZINC000442484051 1072437401 /nfs/dbraw/zinc/43/74/01/1072437401.db2.gz CLTHAKBDFDHGCM-ZDUSSCGKSA-N 0 0 439.436 -0.345 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1C ZINC000442484709 1072439712 /nfs/dbraw/zinc/43/97/12/1072439712.db2.gz VMFIXEWVCMHLAS-AWEZNQCLSA-N 0 0 448.505 -0.065 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1C ZINC000442484710 1072439658 /nfs/dbraw/zinc/43/96/58/1072439658.db2.gz VMFIXEWVCMHLAS-CQSZACIVSA-N 0 0 448.505 -0.065 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000442485215 1072437480 /nfs/dbraw/zinc/43/74/80/1072437480.db2.gz FAODJHJTAKQGTG-CXAGYDPISA-N 0 0 438.506 -0.002 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000442485218 1072438074 /nfs/dbraw/zinc/43/80/74/1072438074.db2.gz FAODJHJTAKQGTG-DYVFJYSZSA-N 0 0 438.506 -0.002 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000442485220 1072438031 /nfs/dbraw/zinc/43/80/31/1072438031.db2.gz FAODJHJTAKQGTG-GUYCJALGSA-N 0 0 438.506 -0.002 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000442485222 1072437995 /nfs/dbraw/zinc/43/79/95/1072437995.db2.gz FAODJHJTAKQGTG-SUMWQHHRSA-N 0 0 438.506 -0.002 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cc1 ZINC000442485493 1072437483 /nfs/dbraw/zinc/43/74/83/1072437483.db2.gz OYHROSXHSKBTAZ-UHFFFAOYSA-N 0 0 430.421 -0.398 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c1 ZINC000442485686 1072437362 /nfs/dbraw/zinc/43/73/62/1072437362.db2.gz YMAWYTHXVUEIKD-UHFFFAOYSA-N 0 0 436.450 -0.697 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCOC[C@H]2c2noc(COC)n2)c2ccccc2O1 ZINC000442485749 1072437420 /nfs/dbraw/zinc/43/74/20/1072437420.db2.gz XYLGEPDJFCADKL-DZGCQCFKSA-N 0 0 445.432 -0.344 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCOC[C@@H]2c2noc(COC)n2)c2ccccc2O1 ZINC000442485755 1072437448 /nfs/dbraw/zinc/43/74/48/1072437448.db2.gz XYLGEPDJFCADKL-HIFRSBDPSA-N 0 0 445.432 -0.344 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCOC[C@@H]2c2noc(COC)n2)c2ccccc2O1 ZINC000442485759 1072437380 /nfs/dbraw/zinc/43/73/80/1072437380.db2.gz XYLGEPDJFCADKL-UKRRQHHQSA-N 0 0 445.432 -0.344 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCOC[C@H]2c2noc(COC)n2)c2ccccc2O1 ZINC000442485762 1072437413 /nfs/dbraw/zinc/43/74/13/1072437413.db2.gz XYLGEPDJFCADKL-ZFWWWQNUSA-N 0 0 445.432 -0.344 20 0 IBADRN C[C@H](c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1)N1CCOCC1 ZINC000442486826 1072437971 /nfs/dbraw/zinc/43/79/71/1072437971.db2.gz ZIIMWBJFNRVJDO-CYBMUJFWSA-N 0 0 427.465 -0.208 20 0 IBADRN C[C@@H](c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1)N1CCOCC1 ZINC000442486827 1072438080 /nfs/dbraw/zinc/43/80/80/1072438080.db2.gz ZIIMWBJFNRVJDO-ZDUSSCGKSA-N 0 0 427.465 -0.208 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)nn1-c1ccccc1Cl ZINC000442487445 1072437939 /nfs/dbraw/zinc/43/79/39/1072437939.db2.gz BRKSJSBSMMRPEZ-UHFFFAOYSA-N 0 0 428.840 0.547 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000442487673 1072437919 /nfs/dbraw/zinc/43/79/19/1072437919.db2.gz ZKNMVSRCISGAFD-AWEZNQCLSA-N 0 0 448.505 -0.079 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000442487675 1072438051 /nfs/dbraw/zinc/43/80/51/1072438051.db2.gz ZKNMVSRCISGAFD-CQSZACIVSA-N 0 0 448.505 -0.079 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(CCS(C)(=O)=O)cc3)C2)nn1 ZINC000442488428 1072437950 /nfs/dbraw/zinc/43/79/50/1072437950.db2.gz SLTLEBKKWVKEOJ-UHFFFAOYSA-N 0 0 435.462 -0.326 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(C4CC4)nn3-c3ncccn3)C2)nn1 ZINC000442489154 1072438046 /nfs/dbraw/zinc/43/80/46/1072438046.db2.gz FMAYFFBOSJDGSY-UHFFFAOYSA-N 0 0 437.420 -0.060 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1Cl ZINC000442491134 1072437986 /nfs/dbraw/zinc/43/79/86/1072437986.db2.gz JCAHGMGVHWIMDP-UHFFFAOYSA-N 0 0 436.812 -0.396 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1N1CCCCC1=O ZINC000442491230 1072437965 /nfs/dbraw/zinc/43/79/65/1072437965.db2.gz JKNLXPMWTJFGAY-UHFFFAOYSA-N 0 0 441.448 -0.076 20 0 IBADRN CCOC(=O)Cn1ccc2cc(NC(=O)C(=O)N3CC(n4cc(C(N)=O)nn4)C3)ccc21 ZINC000442491885 1072438058 /nfs/dbraw/zinc/43/80/58/1072438058.db2.gz YRSWBZVTGWFAMJ-UHFFFAOYSA-N 0 0 439.432 -0.083 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(F)c(-n4nnnc4C4CC4)c3)C2)nn1 ZINC000442492561 1072438554 /nfs/dbraw/zinc/43/85/54/1072438554.db2.gz ZYQCXFMOKVMNCG-UHFFFAOYSA-N 0 0 440.399 -0.609 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccccc3S(=O)(=O)N(C)C)C2)nn1 ZINC000442492843 1072438523 /nfs/dbraw/zinc/43/85/23/1072438523.db2.gz KICWSDBCGLNYPV-UHFFFAOYSA-N 0 0 436.450 -0.663 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(OCC(=O)N(C)C)cc3)C2)nn1 ZINC000442493085 1072438477 /nfs/dbraw/zinc/43/84/77/1072438477.db2.gz MABSAWALNRFFAJ-UHFFFAOYSA-N 0 0 430.421 -0.446 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C(=O)N(C)C)cc3OC)C2)nn1 ZINC000442493154 1072438489 /nfs/dbraw/zinc/43/84/89/1072438489.db2.gz MHLOLUNHSDZVMS-UHFFFAOYSA-N 0 0 430.421 -0.203 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(C(=O)N4CCOCC4)cc3)C2)nn1 ZINC000442493199 1072438397 /nfs/dbraw/zinc/43/83/97/1072438397.db2.gz KZGPVRWFGHUXHK-UHFFFAOYSA-N 0 0 442.432 -0.441 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000442493445 1072438419 /nfs/dbraw/zinc/43/84/19/1072438419.db2.gz WSXOYYKDCMBTJD-HNNXBMFYSA-N 0 0 428.449 -0.205 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000442493446 1072438549 /nfs/dbraw/zinc/43/85/49/1072438549.db2.gz WSXOYYKDCMBTJD-OAHLLOKOSA-N 0 0 428.449 -0.205 20 0 IBADRN COC(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442493549 1072438539 /nfs/dbraw/zinc/43/85/39/1072438539.db2.gz LOWMVTAAMVZDFO-UHFFFAOYSA-N 0 0 441.448 -0.214 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442494498 1072438438 /nfs/dbraw/zinc/43/84/38/1072438438.db2.gz MVNUGXGBEUQFGT-UHFFFAOYSA-N 0 0 435.466 -0.914 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(Br)cn(C)c3=O)C2)nn1 ZINC000442494551 1072438532 /nfs/dbraw/zinc/43/85/32/1072438532.db2.gz NDAGMXNSTKHBRS-UHFFFAOYSA-N 0 0 439.226 -0.452 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)C2=O)n1 ZINC000442494776 1072438557 /nfs/dbraw/zinc/43/85/57/1072438557.db2.gz YYQSQWJMDUMAST-CYBMUJFWSA-N 0 0 425.449 -0.139 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)C2=O)n1 ZINC000442494777 1072438511 /nfs/dbraw/zinc/43/85/11/1072438511.db2.gz YYQSQWJMDUMAST-ZDUSSCGKSA-N 0 0 425.449 -0.139 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)C2)nn1 ZINC000442494980 1072439178 /nfs/dbraw/zinc/43/91/78/1072439178.db2.gz NMGFIEDMQHWAPF-UHFFFAOYSA-N 0 0 444.452 -0.658 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(C(=O)N4CCOCC4)c3)C2)nn1 ZINC000442495670 1072439080 /nfs/dbraw/zinc/43/90/80/1072439080.db2.gz PAYDLJMWOHXQNU-UHFFFAOYSA-N 0 0 442.432 -0.441 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1N1CCCC1=O ZINC000442495979 1072439136 /nfs/dbraw/zinc/43/91/36/1072439136.db2.gz QZDZFGMNJSDBPM-UHFFFAOYSA-N 0 0 427.421 -0.466 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cc1S(N)(=O)=O ZINC000442496254 1072439176 /nfs/dbraw/zinc/43/91/76/1072439176.db2.gz PVVIAQWDUCVSEF-UHFFFAOYSA-N 0 0 436.450 -0.704 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442496985 1072440789 /nfs/dbraw/zinc/44/07/89/1072440789.db2.gz SUMSLWNCTKPBNC-UHFFFAOYSA-N 0 0 449.493 -0.523 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccccc3CN3CCNC3=O)C2)nn1 ZINC000442499188 1072440866 /nfs/dbraw/zinc/44/08/66/1072440866.db2.gz WSBJASYEDAEGEV-UHFFFAOYSA-N 0 0 427.421 -0.388 20 0 IBADRN O=C(NCc1cccc(N2CCC2=O)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000442500272 1072441219 /nfs/dbraw/zinc/44/12/19/1072441219.db2.gz MDJPZRWZLCPXLQ-UHFFFAOYSA-N 0 0 437.522 -0.173 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442500643 1072440841 /nfs/dbraw/zinc/44/08/41/1072440841.db2.gz XZMDCZOZESMGFB-UHFFFAOYSA-N 0 0 449.493 -0.571 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cccc1S(=O)(=O)NC1CC1 ZINC000442502419 1072441281 /nfs/dbraw/zinc/44/12/81/1072441281.db2.gz AWRDRVULPVMBIA-UHFFFAOYSA-N 0 0 447.477 -0.852 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442503141 1072441379 /nfs/dbraw/zinc/44/13/79/1072441379.db2.gz CCTGTQQUIZSWRI-GDBMZVCRSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442503144 1072441259 /nfs/dbraw/zinc/44/12/59/1072441259.db2.gz CCTGTQQUIZSWRI-GOEBONIOSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442503147 1072441149 /nfs/dbraw/zinc/44/11/49/1072441149.db2.gz CCTGTQQUIZSWRI-HOCLYGCPSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442503149 1072441205 /nfs/dbraw/zinc/44/12/05/1072441205.db2.gz CCTGTQQUIZSWRI-ZBFHGGJFSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000442504794 1072441168 /nfs/dbraw/zinc/44/11/68/1072441168.db2.gz CYAAZCZOZHHDOH-CVEARBPZSA-N 0 0 435.477 -0.139 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000442504817 1072441332 /nfs/dbraw/zinc/44/13/32/1072441332.db2.gz CYAAZCZOZHHDOH-HOTGVXAUSA-N 0 0 435.477 -0.139 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000442504820 1072441374 /nfs/dbraw/zinc/44/13/74/1072441374.db2.gz CYAAZCZOZHHDOH-HZPDHXFCSA-N 0 0 435.477 -0.139 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000442504823 1072441368 /nfs/dbraw/zinc/44/13/68/1072441368.db2.gz CYAAZCZOZHHDOH-JKSUJKDBSA-N 0 0 435.477 -0.139 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000442505118 1072441768 /nfs/dbraw/zinc/44/17/68/1072441768.db2.gz GWXVOSRZCNYGAU-DZGCQCFKSA-N 0 0 425.507 -0.059 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000442505119 1072441796 /nfs/dbraw/zinc/44/17/96/1072441796.db2.gz GWXVOSRZCNYGAU-HIFRSBDPSA-N 0 0 425.507 -0.059 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000442505120 1072441753 /nfs/dbraw/zinc/44/17/53/1072441753.db2.gz GWXVOSRZCNYGAU-UKRRQHHQSA-N 0 0 425.507 -0.059 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000442505121 1072441777 /nfs/dbraw/zinc/44/17/77/1072441777.db2.gz GWXVOSRZCNYGAU-ZFWWWQNUSA-N 0 0 425.507 -0.059 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](C)O)cc(S(C)(=O)=O)c1 ZINC000442505727 1072441804 /nfs/dbraw/zinc/44/18/04/1072441804.db2.gz HKZPIJKZIADEDF-BXUZGUMPSA-N 0 0 428.463 -0.187 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](C)O)cc(S(C)(=O)=O)c1 ZINC000442505728 1072441881 /nfs/dbraw/zinc/44/18/81/1072441881.db2.gz HKZPIJKZIADEDF-FZMZJTMJSA-N 0 0 428.463 -0.187 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](C)O)cc(S(C)(=O)=O)c1 ZINC000442505730 1072441839 /nfs/dbraw/zinc/44/18/39/1072441839.db2.gz HKZPIJKZIADEDF-RISCZKNCSA-N 0 0 428.463 -0.187 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCOC[C@H]2C[C@H](C)O)cc(S(C)(=O)=O)c1 ZINC000442505732 1072441788 /nfs/dbraw/zinc/44/17/88/1072441788.db2.gz HKZPIJKZIADEDF-SMDDNHRTSA-N 0 0 428.463 -0.187 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](COCCOC)C1)CC2 ZINC000442505902 1072441184 /nfs/dbraw/zinc/44/11/84/1072441184.db2.gz IDLWQBIOCGNEII-AWEZNQCLSA-N 0 0 425.507 -0.005 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](COCCOC)C1)CC2 ZINC000442505903 1072441306 /nfs/dbraw/zinc/44/13/06/1072441306.db2.gz IDLWQBIOCGNEII-CQSZACIVSA-N 0 0 425.507 -0.005 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000442505941 1072441322 /nfs/dbraw/zinc/44/13/22/1072441322.db2.gz FPDWAAUZWKNFTK-KBPBESRZSA-N 0 0 442.538 -0.060 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000442505942 1072441244 /nfs/dbraw/zinc/44/12/44/1072441244.db2.gz FPDWAAUZWKNFTK-KGLIPLIRSA-N 0 0 442.538 -0.060 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000442505943 1072441272 /nfs/dbraw/zinc/44/12/72/1072441272.db2.gz FPDWAAUZWKNFTK-UONOGXRCSA-N 0 0 442.538 -0.060 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000442505944 1072441782 /nfs/dbraw/zinc/44/17/82/1072441782.db2.gz FPDWAAUZWKNFTK-ZIAGYGMSSA-N 0 0 442.538 -0.060 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCOC[C@H]1C[C@@H](C)O ZINC000442506544 1072441854 /nfs/dbraw/zinc/44/18/54/1072441854.db2.gz IWWXCKOMRCQEBL-CHWSQXEVSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCOC[C@@H]1C[C@@H](C)O ZINC000442506546 1072441814 /nfs/dbraw/zinc/44/18/14/1072441814.db2.gz IWWXCKOMRCQEBL-OLZOCXBDSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCOC[C@H]1C[C@H](C)O ZINC000442506549 1072441888 /nfs/dbraw/zinc/44/18/88/1072441888.db2.gz IWWXCKOMRCQEBL-QWHCGFSZSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCOC[C@@H]1C[C@H](C)O ZINC000442506551 1072441831 /nfs/dbraw/zinc/44/18/31/1072441831.db2.gz IWWXCKOMRCQEBL-STQMWFEESA-N 0 0 429.495 -0.118 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442507092 1072441823 /nfs/dbraw/zinc/44/18/23/1072441823.db2.gz AXNPWQTZKRLCCG-UHFFFAOYSA-N 0 0 449.493 -0.059 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc(OC)cc1OC ZINC000442507680 1072441862 /nfs/dbraw/zinc/44/18/62/1072441862.db2.gz BQPNVGJGKUMGNA-UHFFFAOYSA-N 0 0 446.420 -0.407 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000442508601 1072441762 /nfs/dbraw/zinc/44/17/62/1072441762.db2.gz LZNBEBRWTGIOHO-CRAIPNDOSA-N 0 0 434.493 -0.106 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000442508602 1072441895 /nfs/dbraw/zinc/44/18/95/1072441895.db2.gz LZNBEBRWTGIOHO-MAUKXSAKSA-N 0 0 434.493 -0.106 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000442508603 1072441859 /nfs/dbraw/zinc/44/18/59/1072441859.db2.gz LZNBEBRWTGIOHO-QAPCUYQASA-N 0 0 434.493 -0.106 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000442508604 1072441756 /nfs/dbraw/zinc/44/17/56/1072441756.db2.gz LZNBEBRWTGIOHO-YJBOKZPZSA-N 0 0 434.493 -0.106 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(N3CCC3=O)c1)C2 ZINC000442508804 1072441846 /nfs/dbraw/zinc/44/18/46/1072441846.db2.gz LCEVAMOODASRRY-UHFFFAOYSA-N 0 0 427.465 -0.405 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442509127 1072442337 /nfs/dbraw/zinc/44/23/37/1072442337.db2.gz MVZFMPBMPPPETH-BXWFABGCSA-N 0 0 447.488 -0.362 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442509130 1072442446 /nfs/dbraw/zinc/44/24/46/1072442446.db2.gz MVZFMPBMPPPETH-FCEWJHQRSA-N 0 0 447.488 -0.362 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442509132 1072442458 /nfs/dbraw/zinc/44/24/58/1072442458.db2.gz MVZFMPBMPPPETH-FRQCXROJSA-N 0 0 447.488 -0.362 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442509134 1072442316 /nfs/dbraw/zinc/44/23/16/1072442316.db2.gz MVZFMPBMPPPETH-TXPKVOOTSA-N 0 0 447.488 -0.362 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@H]2C[C@H](C)O)cc1 ZINC000442509834 1072442501 /nfs/dbraw/zinc/44/25/01/1072442501.db2.gz OHDDEKPKDXEYGN-DZGCQCFKSA-N 0 0 429.495 -0.452 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](C)O)cc1 ZINC000442509836 1072442403 /nfs/dbraw/zinc/44/24/03/1072442403.db2.gz OHDDEKPKDXEYGN-HIFRSBDPSA-N 0 0 429.495 -0.452 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](C)O)cc1 ZINC000442509837 1072442495 /nfs/dbraw/zinc/44/24/95/1072442495.db2.gz OHDDEKPKDXEYGN-UKRRQHHQSA-N 0 0 429.495 -0.452 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](C)O)cc1 ZINC000442509838 1072442361 /nfs/dbraw/zinc/44/23/61/1072442361.db2.gz OHDDEKPKDXEYGN-ZFWWWQNUSA-N 0 0 429.495 -0.452 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)cc1F ZINC000442512871 1072439687 /nfs/dbraw/zinc/43/96/87/1072439687.db2.gz GFXBVSPHDWUUEV-WXHSDQCUSA-N 0 0 425.413 -0.600 20 0 IBADRN Cc1ccc(Cn2cccc(NC(=O)C(=O)N3CC(n4cc(C(N)=O)nn4)C3)c2=O)cc1 ZINC000442516058 1072440217 /nfs/dbraw/zinc/44/02/17/1072440217.db2.gz NZJDMBOGGQUWHO-UHFFFAOYSA-N 0 0 435.444 -0.083 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442516306 1072440183 /nfs/dbraw/zinc/44/01/83/1072440183.db2.gz UZTYPLRFFHIJHH-GDBMZVCRSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442516309 1072440223 /nfs/dbraw/zinc/44/02/23/1072440223.db2.gz UZTYPLRFFHIJHH-GOEBONIOSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442516312 1072440067 /nfs/dbraw/zinc/44/00/67/1072440067.db2.gz UZTYPLRFFHIJHH-HOCLYGCPSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442516315 1072440234 /nfs/dbraw/zinc/44/02/34/1072440234.db2.gz UZTYPLRFFHIJHH-ZBFHGGJFSA-N 0 0 441.506 -0.356 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](C)O)cc1 ZINC000442517036 1072440120 /nfs/dbraw/zinc/44/01/20/1072440120.db2.gz YEMVCIKQZCXAIY-DZKIICNBSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](C)O)cc1 ZINC000442517037 1072440173 /nfs/dbraw/zinc/44/01/73/1072440173.db2.gz YEMVCIKQZCXAIY-LZWOXQAQSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@H]2C[C@H](C)O)cc1 ZINC000442517038 1072440103 /nfs/dbraw/zinc/44/01/03/1072440103.db2.gz YEMVCIKQZCXAIY-OFQRWUPVSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](C)O)cc1 ZINC000442517039 1072440089 /nfs/dbraw/zinc/44/00/89/1072440089.db2.gz YEMVCIKQZCXAIY-SQWLQELKSA-N 0 0 443.522 -0.063 20 0 IBADRN C[C@H](c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1)N1CCOCC1 ZINC000442517914 1072440205 /nfs/dbraw/zinc/44/02/05/1072440205.db2.gz ZYUKSDSNSDDGFB-CYBMUJFWSA-N 0 0 427.465 -0.208 20 0 IBADRN C[C@@H](c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1)N1CCOCC1 ZINC000442517919 1072440190 /nfs/dbraw/zinc/44/01/90/1072440190.db2.gz ZYUKSDSNSDDGFB-ZDUSSCGKSA-N 0 0 427.465 -0.208 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1C(=O)N1CCCC1 ZINC000442518464 1072440859 /nfs/dbraw/zinc/44/08/59/1072440859.db2.gz XJFBSCDRSHSILR-UHFFFAOYSA-N 0 0 425.449 -0.057 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cccc1C(=O)N1CCCC1 ZINC000442518782 1072440110 /nfs/dbraw/zinc/44/01/10/1072440110.db2.gz BMKZLXIQCZMLBF-UHFFFAOYSA-N 0 0 425.449 -0.057 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442518987 1072440860 /nfs/dbraw/zinc/44/08/60/1072440860.db2.gz YHNGRNMSEJPILA-UHFFFAOYSA-N 0 0 443.464 -0.354 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)cc2)C[C@H](C)O1 ZINC000442520506 1072440870 /nfs/dbraw/zinc/44/08/70/1072440870.db2.gz FFGHAVJLEWJEFT-SDADXPQNSA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)cc2)C[C@@H](C)O1 ZINC000442520933 1072440818 /nfs/dbraw/zinc/44/08/18/1072440818.db2.gz FFGHAVJLEWJEFT-VDERGJSUSA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)cc2)C[C@H](C)O1 ZINC000442520934 1072440809 /nfs/dbraw/zinc/44/08/09/1072440809.db2.gz FFGHAVJLEWJEFT-XRGAULLZSA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442520936 1072440793 /nfs/dbraw/zinc/44/07/93/1072440793.db2.gz FFMKBZYRGOIPQC-APWZRJJASA-N 0 0 448.520 -0.315 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442520937 1072440850 /nfs/dbraw/zinc/44/08/50/1072440850.db2.gz FFMKBZYRGOIPQC-LPHOPBHVSA-N 0 0 448.520 -0.315 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442520938 1072440865 /nfs/dbraw/zinc/44/08/65/1072440865.db2.gz FFMKBZYRGOIPQC-QFBILLFUSA-N 0 0 448.520 -0.315 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000442520939 1072440735 /nfs/dbraw/zinc/44/07/35/1072440735.db2.gz FFMKBZYRGOIPQC-VQIMIIECSA-N 0 0 448.520 -0.315 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c(OC)c(OC)c1 ZINC000442521248 1072442326 /nfs/dbraw/zinc/44/23/26/1072442326.db2.gz CNWXVKUSQRJTCS-UHFFFAOYSA-N 0 0 432.393 -0.797 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2CO)c1 ZINC000442521417 1072442518 /nfs/dbraw/zinc/44/25/18/1072442518.db2.gz VZGIBFRAVVCJQR-YESZJQIVSA-N 0 0 433.461 -0.253 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442521890 1072442528 /nfs/dbraw/zinc/44/25/28/1072442528.db2.gz WMBJUVFGTRGUHQ-UHFFFAOYSA-N 0 0 435.466 -0.449 20 0 IBADRN CCOc1ccc(-c2cn(C3CN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C3)nn2)cc1 ZINC000442521917 1072442472 /nfs/dbraw/zinc/44/24/72/1072442472.db2.gz WRWPXBKTNLHFSK-GFCCVEGCSA-N 0 0 436.494 -0.480 20 0 IBADRN CCOc1ccc(-c2cn(C3CN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C3)nn2)cc1 ZINC000442521920 1072442482 /nfs/dbraw/zinc/44/24/82/1072442482.db2.gz WRWPXBKTNLHFSK-LBPRGKRZSA-N 0 0 436.494 -0.480 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCOC[C@H]2C[C@H](C)O)ccc1S(=O)(=O)N(C)C ZINC000442522464 1072442462 /nfs/dbraw/zinc/44/24/62/1072442462.db2.gz XIJMMBCAPNOWJD-GXTWGEPZSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](C)O)ccc1S(=O)(=O)N(C)C ZINC000442522466 1072442345 /nfs/dbraw/zinc/44/23/45/1072442345.db2.gz XIJMMBCAPNOWJD-JSGCOSHPSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](C)O)ccc1S(=O)(=O)N(C)C ZINC000442522468 1072442489 /nfs/dbraw/zinc/44/24/89/1072442489.db2.gz XIJMMBCAPNOWJD-OCCSQVGLSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](C)O)ccc1S(=O)(=O)N(C)C ZINC000442522470 1072442919 /nfs/dbraw/zinc/44/29/19/1072442919.db2.gz XIJMMBCAPNOWJD-TZMCWYRMSA-N 0 0 429.495 -0.118 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000442522520 1072442508 /nfs/dbraw/zinc/44/25/08/1072442508.db2.gz XOUPRYXYTQIUTL-UHFFFAOYSA-N 0 0 435.466 -0.913 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccn(Cc4ccc(F)cc4)c3=O)C2)nn1 ZINC000442523233 1072442937 /nfs/dbraw/zinc/44/29/37/1072442937.db2.gz YPVIPKMHEJXTTG-UHFFFAOYSA-N 0 0 439.407 -0.252 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)cc2)C1 ZINC000442526707 1072444044 /nfs/dbraw/zinc/44/40/44/1072444044.db2.gz WNNPXDPDRXUMLX-BPUTZDHNSA-N 0 0 425.507 0.000 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000442526811 1072444124 /nfs/dbraw/zinc/44/41/24/1072444124.db2.gz PBFGYTCRKTXNDA-APWZRJJASA-N 0 0 432.521 -0.060 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000442526812 1072444022 /nfs/dbraw/zinc/44/40/22/1072444022.db2.gz PBFGYTCRKTXNDA-LPHOPBHVSA-N 0 0 432.521 -0.060 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000442526813 1072444095 /nfs/dbraw/zinc/44/40/95/1072444095.db2.gz PBFGYTCRKTXNDA-QFBILLFUSA-N 0 0 432.521 -0.060 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000442526814 1072444073 /nfs/dbraw/zinc/44/40/73/1072444073.db2.gz PBFGYTCRKTXNDA-VQIMIIECSA-N 0 0 432.521 -0.060 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1Cl ZINC000442527055 1072443991 /nfs/dbraw/zinc/44/39/91/1072443991.db2.gz NMIYYRXZGMEHAW-UHFFFAOYSA-N 0 0 449.855 -0.481 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1(C)C ZINC000442528248 1072443998 /nfs/dbraw/zinc/44/39/98/1072443998.db2.gz PIRDFCJXKHCJBL-UHFFFAOYSA-N 0 0 430.465 -0.572 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(CCN4CCOCC4)cc3)C2)nn1 ZINC000442529297 1072443975 /nfs/dbraw/zinc/44/39/75/1072443975.db2.gz SLODEMDEKKYUMH-UHFFFAOYSA-N 0 0 427.465 -0.726 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442529393 1072444059 /nfs/dbraw/zinc/44/40/59/1072444059.db2.gz SNAOWDDGZPPSBH-UHFFFAOYSA-N 0 0 443.464 -0.445 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000442529768 1072444066 /nfs/dbraw/zinc/44/40/66/1072444066.db2.gz PGVMAJHPMGONHK-PBHICJAKSA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000442529770 1072444120 /nfs/dbraw/zinc/44/41/20/1072444120.db2.gz PGVMAJHPMGONHK-RHSMWYFYSA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000442529772 1072444003 /nfs/dbraw/zinc/44/40/03/1072444003.db2.gz PGVMAJHPMGONHK-WMLDXEAASA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000442529774 1072444082 /nfs/dbraw/zinc/44/40/82/1072444082.db2.gz PGVMAJHPMGONHK-YOEHRIQHSA-N 0 0 425.507 -0.142 20 0 IBADRN COC(=O)COc1ccc([C@@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)cc1 ZINC000442532010 1072442924 /nfs/dbraw/zinc/44/29/24/1072442924.db2.gz ZLIKUQZFIVDGRR-CYBMUJFWSA-N 0 0 431.449 0.339 20 0 IBADRN COC(=O)COc1ccc([C@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)cc1 ZINC000442532013 1072442943 /nfs/dbraw/zinc/44/29/43/1072442943.db2.gz ZLIKUQZFIVDGRR-ZDUSSCGKSA-N 0 0 431.449 0.339 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCOC[C@H]1C[C@H](C)O)CC2 ZINC000442532229 1072442906 /nfs/dbraw/zinc/44/29/06/1072442906.db2.gz DOMWZGNTBCSPBM-DZGCQCFKSA-N 0 0 425.507 -0.128 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCOC[C@@H]1C[C@@H](C)O)CC2 ZINC000442532231 1072442901 /nfs/dbraw/zinc/44/29/01/1072442901.db2.gz DOMWZGNTBCSPBM-HIFRSBDPSA-N 0 0 425.507 -0.128 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCOC[C@H]1C[C@@H](C)O)CC2 ZINC000442532232 1072442895 /nfs/dbraw/zinc/44/28/95/1072442895.db2.gz DOMWZGNTBCSPBM-UKRRQHHQSA-N 0 0 425.507 -0.128 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCOC[C@@H]1C[C@H](C)O)CC2 ZINC000442532234 1072442912 /nfs/dbraw/zinc/44/29/12/1072442912.db2.gz DOMWZGNTBCSPBM-ZFWWWQNUSA-N 0 0 425.507 -0.128 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(-c4nnc5n4CCCC5)cc3)C2)nn1 ZINC000442534546 1072442964 /nfs/dbraw/zinc/44/29/64/1072442964.db2.gz ZVRHMEOZMGYESE-UHFFFAOYSA-N 0 0 435.448 -0.006 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000442534824 1072442931 /nfs/dbraw/zinc/44/29/31/1072442931.db2.gz YYLQKRPMYAAABH-UHFFFAOYSA-N 0 0 435.466 -0.449 20 0 IBADRN COCCOC[C@@H](C)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442537578 1072442888 /nfs/dbraw/zinc/44/28/88/1072442888.db2.gz BVYHENKBYSLNBI-CRAIPNDOSA-N 0 0 435.477 -0.193 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442537579 1072442982 /nfs/dbraw/zinc/44/29/82/1072442982.db2.gz BVYHENKBYSLNBI-MAUKXSAKSA-N 0 0 435.477 -0.193 20 0 IBADRN COCCOC[C@@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442537580 1072442987 /nfs/dbraw/zinc/44/29/87/1072442987.db2.gz BVYHENKBYSLNBI-QAPCUYQASA-N 0 0 435.477 -0.193 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442537581 1072442948 /nfs/dbraw/zinc/44/29/48/1072442948.db2.gz BVYHENKBYSLNBI-YJBOKZPZSA-N 0 0 435.477 -0.193 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC(NC(=O)C4CCC4)C3)ccn2)CC1 ZINC000442538780 1072443528 /nfs/dbraw/zinc/44/35/28/1072443528.db2.gz FPJIKLPWFFJOBT-UHFFFAOYSA-N 0 0 428.493 -0.465 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442538895 1072442876 /nfs/dbraw/zinc/44/28/76/1072442876.db2.gz FMWPVTWKCRTPOQ-AWEZNQCLSA-N 0 0 429.495 -0.186 20 0 IBADRN COCCOC[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000442538898 1072443486 /nfs/dbraw/zinc/44/34/86/1072443486.db2.gz FMWPVTWKCRTPOQ-CQSZACIVSA-N 0 0 429.495 -0.186 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000442539462 1072443534 /nfs/dbraw/zinc/44/35/34/1072443534.db2.gz GFUPFZMXTJKVJS-KLZNWCGWSA-N 0 0 437.497 -0.544 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000442539465 1072443496 /nfs/dbraw/zinc/44/34/96/1072443496.db2.gz GFUPFZMXTJKVJS-LJIGWXMPSA-N 0 0 437.497 -0.544 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000442539467 1072443474 /nfs/dbraw/zinc/44/34/74/1072443474.db2.gz GFUPFZMXTJKVJS-MPTYRVRUSA-N 0 0 437.497 -0.544 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000442539469 1072443538 /nfs/dbraw/zinc/44/35/38/1072443538.db2.gz GFUPFZMXTJKVJS-QBYUYEEZSA-N 0 0 437.497 -0.544 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCn1ncc2cnn(C)c(=O)c21 ZINC000442539527 1072443570 /nfs/dbraw/zinc/44/35/70/1072443570.db2.gz KIBWOHUSVOUELI-UHFFFAOYSA-N 0 0 425.492 -0.146 20 0 IBADRN COCC(=O)NC1CN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C3CCOCC3)cc2)C1 ZINC000442540190 1072443520 /nfs/dbraw/zinc/44/35/20/1072443520.db2.gz INJRRPLJBBIOEV-UHFFFAOYSA-N 0 0 432.477 -0.151 20 0 IBADRN COCC(=O)NC1CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)C1 ZINC000442541177 1072443515 /nfs/dbraw/zinc/44/35/15/1072443515.db2.gz KFYCZFYUPPXQTF-UHFFFAOYSA-N 0 0 426.857 -0.281 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(S(=O)(=O)N4CCCC4)cc3)C2)nn1 ZINC000442542201 1072443545 /nfs/dbraw/zinc/44/35/45/1072443545.db2.gz JWICMNGIACBZLZ-UHFFFAOYSA-N 0 0 447.477 -0.817 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@H]1Oc1ccccc1 ZINC000442543476 1072443554 /nfs/dbraw/zinc/44/35/54/1072443554.db2.gz MWJXMXXOAKUKGH-BFYDXBDKSA-N 0 0 430.465 0.046 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@@H]1Oc1ccccc1 ZINC000442543479 1072443491 /nfs/dbraw/zinc/44/34/91/1072443491.db2.gz MWJXMXXOAKUKGH-INMHGKMJSA-N 0 0 430.465 0.046 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@@H]1Oc1ccccc1 ZINC000442543481 1072443543 /nfs/dbraw/zinc/44/35/43/1072443543.db2.gz MWJXMXXOAKUKGH-UXLLHSPISA-N 0 0 430.465 0.046 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@H]1Oc1ccccc1 ZINC000442543483 1072443987 /nfs/dbraw/zinc/44/39/87/1072443987.db2.gz MWJXMXXOAKUKGH-ZMSDIMECSA-N 0 0 430.465 0.046 20 0 IBADRN CN(CC1(O)CCOCC1)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442547833 1072445178 /nfs/dbraw/zinc/44/51/78/1072445178.db2.gz ABSBEIFOLUNTAV-GOSISDBHSA-N 0 0 447.488 -0.361 20 0 IBADRN CN(CC1(O)CCOCC1)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000442547853 1072445200 /nfs/dbraw/zinc/44/52/00/1072445200.db2.gz ABSBEIFOLUNTAV-SFHVURJKSA-N 0 0 447.488 -0.361 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000442548446 1072445173 /nfs/dbraw/zinc/44/51/73/1072445173.db2.gz YEYWNIMYAGVIID-JTQLQIEISA-N 0 0 427.465 -0.332 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000442548448 1072445175 /nfs/dbraw/zinc/44/51/75/1072445175.db2.gz YEYWNIMYAGVIID-SNVBAGLBSA-N 0 0 427.465 -0.332 20 0 IBADRN CN(C)C(=O)COC1CN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000442550095 1072445183 /nfs/dbraw/zinc/44/51/83/1072445183.db2.gz XUYDSPHCXFQJFF-UHFFFAOYSA-N 0 0 433.552 -0.500 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000442552321 1072445228 /nfs/dbraw/zinc/44/52/28/1072445228.db2.gz SEOIDGADGRBBEP-HNNXBMFYSA-N 0 0 442.538 -0.271 20 0 IBADRN COCCOC[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000442552330 1072445176 /nfs/dbraw/zinc/44/51/76/1072445176.db2.gz SEOIDGADGRBBEP-OAHLLOKOSA-N 0 0 442.538 -0.271 20 0 IBADRN COCC(=O)NC1CN(C(=O)C(=O)Nc2ccc(OCC(=O)OC)c3ncccc23)C1 ZINC000442555235 1072445229 /nfs/dbraw/zinc/44/52/29/1072445229.db2.gz NFKUNANVINTGPS-UHFFFAOYSA-N 0 0 430.417 -0.302 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442556136 1072445224 /nfs/dbraw/zinc/44/52/24/1072445224.db2.gz LAYXTWRSGPPQJB-AWEZNQCLSA-N 0 0 429.495 -0.186 20 0 IBADRN COCCOC[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000442556138 1072445187 /nfs/dbraw/zinc/44/51/87/1072445187.db2.gz LAYXTWRSGPPQJB-CQSZACIVSA-N 0 0 429.495 -0.186 20 0 IBADRN COCCOC[C@@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000442556802 1072445180 /nfs/dbraw/zinc/44/51/80/1072445180.db2.gz MYCQZUXKBKMZCU-CYBMUJFWSA-N 0 0 447.485 -0.047 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000442556805 1072445197 /nfs/dbraw/zinc/44/51/97/1072445197.db2.gz MYCQZUXKBKMZCU-ZDUSSCGKSA-N 0 0 447.485 -0.047 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCn1cnc2c(cnn2C)c1=O ZINC000442556810 1072445168 /nfs/dbraw/zinc/44/51/68/1072445168.db2.gz MYMHLFQINWLMAX-UHFFFAOYSA-N 0 0 425.492 -0.146 20 0 IBADRN COC[C@]1(C)NC(=O)N(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1=O ZINC000442559120 1072445650 /nfs/dbraw/zinc/44/56/50/1072445650.db2.gz NOPPIOPDBQKWAK-INIZCTEOSA-N 0 0 433.508 -0.333 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1=O ZINC000442559121 1072445808 /nfs/dbraw/zinc/44/58/08/1072445808.db2.gz NOPPIOPDBQKWAK-MRXNPFEDSA-N 0 0 433.508 -0.333 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000442560680 1072445750 /nfs/dbraw/zinc/44/57/50/1072445750.db2.gz XVYGAFVPBPRYKF-HNNXBMFYSA-N 0 0 442.538 -0.271 20 0 IBADRN COCCOC[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000442560681 1072445781 /nfs/dbraw/zinc/44/57/81/1072445781.db2.gz XVYGAFVPBPRYKF-OAHLLOKOSA-N 0 0 442.538 -0.271 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCn1nnc2c(cnn2C)c1=O ZINC000442561192 1072445833 /nfs/dbraw/zinc/44/58/33/1072445833.db2.gz UYSRKPMMPKXEMU-UHFFFAOYSA-N 0 0 426.480 -0.751 20 0 IBADRN CN(CC1(O)CCOCC1)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000442568628 1072444662 /nfs/dbraw/zinc/44/46/62/1072444662.db2.gz PKGMKJLDORXDLY-UHFFFAOYSA-N 0 0 432.521 -0.059 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CC1(O)CCOCC1 ZINC000442571149 1072446409 /nfs/dbraw/zinc/44/64/09/1072446409.db2.gz RWYPSIMQGRNEIV-UHFFFAOYSA-N 0 0 442.538 -0.059 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)n1 ZINC000442573520 1072446278 /nfs/dbraw/zinc/44/62/78/1072446278.db2.gz XLFNZQWPMYZXTQ-UHFFFAOYSA-N 0 0 445.505 -0.397 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC)c3)CC2)ncn1 ZINC000442576045 1072446371 /nfs/dbraw/zinc/44/63/71/1072446371.db2.gz CAGHPTOFSAGWRS-UHFFFAOYSA-N 0 0 430.469 -0.141 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)n1 ZINC000442578377 1072446428 /nfs/dbraw/zinc/44/64/28/1072446428.db2.gz UZYHOURYUKUTFV-UHFFFAOYSA-N 0 0 444.521 -0.281 20 0 IBADRN CCN(CCCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000442584428 1072446419 /nfs/dbraw/zinc/44/64/19/1072446419.db2.gz XDJVCBLQNGYEBL-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CCN(CCCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000442587643 1072445813 /nfs/dbraw/zinc/44/58/13/1072445813.db2.gz XRORFIFKRXDGER-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)ncn1 ZINC000442590079 1072445677 /nfs/dbraw/zinc/44/56/77/1072445677.db2.gz BPIBPIRMBTWKPB-UHFFFAOYSA-N 0 0 444.496 0.202 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000442591065 1072445798 /nfs/dbraw/zinc/44/57/98/1072445798.db2.gz GMLGJCMMLGGRQG-UHFFFAOYSA-N 0 0 433.481 -0.166 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000442591740 1072445854 /nfs/dbraw/zinc/44/58/54/1072445854.db2.gz DULIQWBSBJEUTN-GFCCVEGCSA-N 0 0 440.494 -0.034 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000442591741 1072445695 /nfs/dbraw/zinc/44/56/95/1072445695.db2.gz DULIQWBSBJEUTN-LBPRGKRZSA-N 0 0 440.494 -0.034 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000442593017 1072445769 /nfs/dbraw/zinc/44/57/69/1072445769.db2.gz MQMSPMPDTZWCEM-UHFFFAOYSA-N 0 0 440.494 -0.080 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000442593082 1072446970 /nfs/dbraw/zinc/44/69/70/1072446970.db2.gz MZYVIJIBVDVHLF-UHFFFAOYSA-N 0 0 433.481 -0.294 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)ncn1 ZINC000442593291 1072447124 /nfs/dbraw/zinc/44/71/24/1072447124.db2.gz NRFCOURRCOCBGV-UHFFFAOYSA-N 0 0 445.484 -0.403 20 0 IBADRN C[C@H]1CN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CCN1S(C)(=O)=O ZINC000442593503 1072446930 /nfs/dbraw/zinc/44/69/30/1072446930.db2.gz OHMNDWYOMOVMTJ-NSHDSACASA-N 0 0 440.494 -0.082 20 0 IBADRN CCC[C@@H](CCO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442594358 1072447774 /nfs/dbraw/zinc/44/77/74/1072447774.db2.gz QLHARTZRTQBOIL-AWEZNQCLSA-N 0 0 440.522 -0.340 20 0 IBADRN CCC[C@H](CCO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442594359 1072447787 /nfs/dbraw/zinc/44/77/87/1072447787.db2.gz QLHARTZRTQBOIL-CQSZACIVSA-N 0 0 440.522 -0.340 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)C1 ZINC000442594700 1072446903 /nfs/dbraw/zinc/44/69/03/1072446903.db2.gz QTKUCQRURGANDR-JTQLQIEISA-N 0 0 428.895 -0.170 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)C1 ZINC000442594701 1072447111 /nfs/dbraw/zinc/44/71/11/1072447111.db2.gz QTKUCQRURGANDR-SNVBAGLBSA-N 0 0 428.895 -0.170 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000442594715 1072446952 /nfs/dbraw/zinc/44/69/52/1072446952.db2.gz QXEPXZMAELBUDS-AWEZNQCLSA-N 0 0 436.481 -0.422 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000442594716 1072447725 /nfs/dbraw/zinc/44/77/25/1072447725.db2.gz QXEPXZMAELBUDS-CQSZACIVSA-N 0 0 436.481 -0.422 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@H](C)CCO ZINC000442594904 1072447034 /nfs/dbraw/zinc/44/70/34/1072447034.db2.gz MTWWRFUUBUIGQP-AWEZNQCLSA-N 0 0 442.538 -0.296 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@H](C)CCO ZINC000442594906 1072446990 /nfs/dbraw/zinc/44/69/90/1072446990.db2.gz MTWWRFUUBUIGQP-CQSZACIVSA-N 0 0 442.538 -0.296 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@H](Nc3ccccc3)C2)n1)N1CCOCC1 ZINC000442595334 1072447744 /nfs/dbraw/zinc/44/77/44/1072447744.db2.gz SIEVYJSLLDPARA-KRWDZBQOSA-N 0 0 426.477 0.393 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@@H](Nc3ccccc3)C2)n1)N1CCOCC1 ZINC000442595625 1073321988 /nfs/dbraw/zinc/32/19/88/1073321988.db2.gz SIEVYJSLLDPARA-QGZVFWFLSA-N 0 0 426.477 0.393 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000442595646 1072447543 /nfs/dbraw/zinc/44/75/43/1072447543.db2.gz SMZNNXMRCHCZJI-UHFFFAOYSA-N 0 0 426.467 -0.470 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1)NC1CC1 ZINC000442596810 1072447705 /nfs/dbraw/zinc/44/77/05/1072447705.db2.gz KAAXFASYCSCUIS-UHFFFAOYSA-N 0 0 445.492 -0.151 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)C(=O)Nc3cc(C)n(CC(=O)NC)n3)CC2)ncn1 ZINC000442599049 1072447653 /nfs/dbraw/zinc/44/76/53/1072447653.db2.gz AYDMTNNMTRSRGE-UHFFFAOYSA-N 0 0 444.496 0.168 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)N(CCO)CCN1CCOCC1 ZINC000442605225 1071264129 /nfs/dbraw/zinc/26/41/29/1071264129.db2.gz XWTRFHFMFHABOC-UHFFFAOYSA-N 0 0 436.481 -0.421 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000442608566 1072447768 /nfs/dbraw/zinc/44/77/68/1072447768.db2.gz KFFNLTCJYNNNKH-LLVKDONJSA-N 0 0 426.467 -0.294 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000442608573 1072447570 /nfs/dbraw/zinc/44/75/70/1072447570.db2.gz KFFNLTCJYNNNKH-NSHDSACASA-N 0 0 426.467 -0.294 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCCNS(=O)(=O)c3ccc(F)cc3F)CC2)C1=O ZINC000442611090 1072447753 /nfs/dbraw/zinc/44/77/53/1072447753.db2.gz RCELTHCIHLEGOD-UHFFFAOYSA-N 0 0 445.448 -0.031 20 0 IBADRN CCN(CCCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000442612903 1072446923 /nfs/dbraw/zinc/44/69/23/1072446923.db2.gz ZYTJAXNKMFXEKX-UHFFFAOYSA-N 0 0 442.538 -0.199 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000442616566 1072447086 /nfs/dbraw/zinc/44/70/86/1072447086.db2.gz CRXKBQSETOMXDA-GFCCVEGCSA-N 0 0 440.494 -0.034 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000442616567 1072446873 /nfs/dbraw/zinc/44/68/73/1072446873.db2.gz CRXKBQSETOMXDA-LBPRGKRZSA-N 0 0 440.494 -0.034 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)cc1F)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000442616575 1072446941 /nfs/dbraw/zinc/44/69/41/1072446941.db2.gz CTTRJVZAGFQKJR-LLVKDONJSA-N 0 0 433.849 -0.297 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)cc1F)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000442616582 1072447092 /nfs/dbraw/zinc/44/70/92/1072447092.db2.gz CTTRJVZAGFQKJR-NSHDSACASA-N 0 0 433.849 -0.297 20 0 IBADRN C[C@](O)(CNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)CN1CCOCC1 ZINC000442617134 1072447074 /nfs/dbraw/zinc/44/70/74/1072447074.db2.gz DPGZKGXGSFZYHF-KRWDZBQOSA-N 0 0 436.481 -0.375 20 0 IBADRN C[C@@](O)(CNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)CN1CCOCC1 ZINC000442617135 1072447046 /nfs/dbraw/zinc/44/70/46/1072447046.db2.gz DPGZKGXGSFZYHF-QGZVFWFLSA-N 0 0 436.481 -0.375 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000442620103 1072448252 /nfs/dbraw/zinc/44/82/52/1072448252.db2.gz ISZMUONSZOGZQI-UHFFFAOYSA-N 0 0 440.494 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](C)CCO ZINC000442627767 1072448277 /nfs/dbraw/zinc/44/82/77/1072448277.db2.gz RNTGFLUDNCKOPM-CYBMUJFWSA-N 0 0 429.495 -0.211 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](C)CCO ZINC000442627768 1072448217 /nfs/dbraw/zinc/44/82/17/1072448217.db2.gz RNTGFLUDNCKOPM-ZDUSSCGKSA-N 0 0 429.495 -0.211 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000442630370 1072448351 /nfs/dbraw/zinc/44/83/51/1072448351.db2.gz XNXILGHFQYXROM-UHFFFAOYSA-N 0 0 440.494 -0.080 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2=O)c1 ZINC000442634394 1072448282 /nfs/dbraw/zinc/44/82/82/1072448282.db2.gz GOORZSCFVJVVTM-HNNXBMFYSA-N 0 0 448.505 -0.113 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2=O)c1 ZINC000442634395 1072448301 /nfs/dbraw/zinc/44/83/01/1072448301.db2.gz GOORZSCFVJVVTM-OAHLLOKOSA-N 0 0 448.505 -0.113 20 0 IBADRN CC(C)(CCO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000442651483 1072449584 /nfs/dbraw/zinc/44/95/84/1072449584.db2.gz OVRGLWQBOIEIMB-UHFFFAOYSA-N 0 0 426.495 -0.730 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)s1 ZINC000442685638 1072449432 /nfs/dbraw/zinc/44/94/32/1072449432.db2.gz TWRRKBDWYYTZIC-UHFFFAOYSA-N 0 0 435.466 -0.711 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)n1 ZINC000442693951 1072450778 /nfs/dbraw/zinc/45/07/78/1072450778.db2.gz LDXODCWYNXRUQE-UHFFFAOYSA-N 0 0 445.505 -0.261 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)n1 ZINC000442702004 1072450079 /nfs/dbraw/zinc/45/00/79/1072450079.db2.gz YOOOFCIDZJKGDL-UHFFFAOYSA-N 0 0 444.521 -0.145 20 0 IBADRN CCn1ncc2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c(C)nc21 ZINC000442717472 1072450721 /nfs/dbraw/zinc/45/07/21/1072450721.db2.gz FDUKJGSMWXAMJS-INIZCTEOSA-N 0 0 448.549 -0.179 20 0 IBADRN CCn1ncc2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c(C)nc21 ZINC000442717475 1072450773 /nfs/dbraw/zinc/45/07/73/1072450773.db2.gz FDUKJGSMWXAMJS-MRXNPFEDSA-N 0 0 448.549 -0.179 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)c(OC)c1 ZINC000442730781 1072450745 /nfs/dbraw/zinc/45/07/45/1072450745.db2.gz FQVNAEWPODWIEW-UHFFFAOYSA-N 0 0 433.464 -0.132 20 0 IBADRN CN(C)c1ncn(-c2cccc(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)c2)n1 ZINC000442739915 1072450846 /nfs/dbraw/zinc/45/08/46/1072450846.db2.gz GGCGJDWGACMIAD-UHFFFAOYSA-N 0 0 432.466 -0.323 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c(OC)c1 ZINC000442740360 1072451493 /nfs/dbraw/zinc/45/14/93/1072451493.db2.gz LJBTVGLHYMASJP-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN COC(=O)c1scc(C)c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000442743646 1072451897 /nfs/dbraw/zinc/45/18/97/1072451897.db2.gz FSCFGQVFWNCBHO-NEPJUHHUSA-N 0 0 438.549 -0.381 20 0 IBADRN COC(=O)c1scc(C)c1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000442743649 1072452166 /nfs/dbraw/zinc/45/21/66/1072452166.db2.gz FSCFGQVFWNCBHO-NWDGAFQWSA-N 0 0 438.549 -0.381 20 0 IBADRN COC(=O)c1scc(C)c1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000442743650 1072452174 /nfs/dbraw/zinc/45/21/74/1072452174.db2.gz FSCFGQVFWNCBHO-RYUDHWBXSA-N 0 0 438.549 -0.381 20 0 IBADRN COC(=O)c1scc(C)c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000442743652 1072452180 /nfs/dbraw/zinc/45/21/80/1072452180.db2.gz FSCFGQVFWNCBHO-VXGBXAGGSA-N 0 0 438.549 -0.381 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(OC)c1 ZINC000442750315 1072452087 /nfs/dbraw/zinc/45/20/87/1072452087.db2.gz PIIWHCKFVANBCL-KBPBESRZSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(OC)c1 ZINC000442750316 1072452005 /nfs/dbraw/zinc/45/20/05/1072452005.db2.gz PIIWHCKFVANBCL-KGLIPLIRSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(OC)c1 ZINC000442750317 1072451923 /nfs/dbraw/zinc/45/19/23/1072451923.db2.gz PIIWHCKFVANBCL-UONOGXRCSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(OC)c1 ZINC000442750318 1072451986 /nfs/dbraw/zinc/45/19/86/1072451986.db2.gz PIIWHCKFVANBCL-ZIAGYGMSSA-N 0 0 448.519 -0.742 20 0 IBADRN CN(C)c1ncn(-c2cccc(NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)c2)n1 ZINC000442751462 1072452119 /nfs/dbraw/zinc/45/21/19/1072452119.db2.gz QGSPJIQLZIYNAN-UHFFFAOYSA-N 0 0 433.450 -0.058 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnccc1C(F)(F)F ZINC000442753559 1072452076 /nfs/dbraw/zinc/45/20/76/1072452076.db2.gz WCXOZBMDDJJGEH-NEPJUHHUSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnccc1C(F)(F)F ZINC000442753565 1072452154 /nfs/dbraw/zinc/45/21/54/1072452154.db2.gz WCXOZBMDDJJGEH-NWDGAFQWSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnccc1C(F)(F)F ZINC000442753566 1072451937 /nfs/dbraw/zinc/45/19/37/1072451937.db2.gz WCXOZBMDDJJGEH-RYUDHWBXSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnccc1C(F)(F)F ZINC000442753567 1072451870 /nfs/dbraw/zinc/45/18/70/1072451870.db2.gz WCXOZBMDDJJGEH-VXGBXAGGSA-N 0 0 429.442 -0.124 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1)[C@H]1CCCC(=O)N1 ZINC000442755887 1072452015 /nfs/dbraw/zinc/45/20/15/1072452015.db2.gz UCZWQFVXHAOWCD-IAGOWNOFSA-N 0 0 442.520 -0.316 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(C(=O)OC)cc1OC ZINC000442759767 1072451409 /nfs/dbraw/zinc/45/14/09/1072451409.db2.gz FDYWSPUZPDOOKD-UHFFFAOYSA-N 0 0 430.479 -0.022 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)cc1OC ZINC000442760157 1072451393 /nfs/dbraw/zinc/45/13/93/1072451393.db2.gz FZOMEMWPHOQAGF-CYBMUJFWSA-N 0 0 444.462 -0.449 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)cc1OC ZINC000442760162 1072451428 /nfs/dbraw/zinc/45/14/28/1072451428.db2.gz FZOMEMWPHOQAGF-ZDUSSCGKSA-N 0 0 444.462 -0.449 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1c(C)csc1C(=O)OC ZINC000442762682 1072451461 /nfs/dbraw/zinc/45/14/61/1072451461.db2.gz HQKJNRAYBPLTTK-LLVKDONJSA-N 0 0 434.492 -0.088 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1c(C)csc1C(=O)OC ZINC000442762685 1072451403 /nfs/dbraw/zinc/45/14/03/1072451403.db2.gz HQKJNRAYBPLTTK-NSHDSACASA-N 0 0 434.492 -0.088 20 0 IBADRN C[C@@H]1OCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000442774124 1072452746 /nfs/dbraw/zinc/45/27/46/1072452746.db2.gz ZBHNLLIGBVPCBO-BLLLJJGKSA-N 0 0 445.519 -0.169 20 0 IBADRN C[C@@H]1OCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000442774125 1072452765 /nfs/dbraw/zinc/45/27/65/1072452765.db2.gz ZBHNLLIGBVPCBO-LRDDRELGSA-N 0 0 445.519 -0.169 20 0 IBADRN C[C@H]1OCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000442774126 1072452672 /nfs/dbraw/zinc/45/26/72/1072452672.db2.gz ZBHNLLIGBVPCBO-MLGOLLRUSA-N 0 0 445.519 -0.169 20 0 IBADRN C[C@H]1OCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000442774127 1072452781 /nfs/dbraw/zinc/45/27/81/1072452781.db2.gz ZBHNLLIGBVPCBO-WBMJQRKESA-N 0 0 445.519 -0.169 20 0 IBADRN C[C@]1(CNC(=O)CNC(=O)c2cccnc2)CCN(C(=O)CNC(=O)c2cccnc2)C1 ZINC000442810292 1072454363 /nfs/dbraw/zinc/45/43/63/1072454363.db2.gz AIJACNGNDCVBBO-JOCHJYFZSA-N 0 0 438.488 -0.009 20 0 IBADRN C[C@@]1(CNC(=O)CNC(=O)c2cccnc2)CCN(C(=O)CNC(=O)c2cccnc2)C1 ZINC000442810295 1072454394 /nfs/dbraw/zinc/45/43/94/1072454394.db2.gz AIJACNGNDCVBBO-QFIPXVFZSA-N 0 0 438.488 -0.009 20 0 IBADRN CC(C)(C)OC(=O)CN[C@@]1(C)CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000442811806 1072454420 /nfs/dbraw/zinc/45/44/20/1072454420.db2.gz CZBIXDPBPDROPK-AVYPCKFXSA-N 0 0 443.566 -0.056 20 0 IBADRN CC(C)(C)OC(=O)CN[C@@]1(C)CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000442811808 1072454350 /nfs/dbraw/zinc/45/43/50/1072454350.db2.gz CZBIXDPBPDROPK-MDOVXXIYSA-N 0 0 443.566 -0.056 20 0 IBADRN CC(C)(C)OC(=O)CN[C@@]1(C)CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000442811810 1072454430 /nfs/dbraw/zinc/45/44/30/1072454430.db2.gz CZBIXDPBPDROPK-SIFCLUCFSA-N 0 0 443.566 -0.056 20 0 IBADRN CC(C)(C)OC(=O)CN[C@@]1(C)CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000442811812 1072454281 /nfs/dbraw/zinc/45/42/81/1072454281.db2.gz CZBIXDPBPDROPK-SXGZJXTBSA-N 0 0 443.566 -0.056 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CC[C@](C)(NCC(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000442812535 1072454932 /nfs/dbraw/zinc/45/49/32/1072454932.db2.gz ADWHGGCMEPZJFE-NRFANRHFSA-N 0 0 433.509 -0.039 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CC[C@@](C)(NCC(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000442812536 1072454857 /nfs/dbraw/zinc/45/48/57/1072454857.db2.gz ADWHGGCMEPZJFE-OAQYLSRUSA-N 0 0 433.509 -0.039 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c(OC)c1 ZINC000442812690 1072455095 /nfs/dbraw/zinc/45/50/95/1072455095.db2.gz QXKFXGABVZTNFK-GFCCVEGCSA-N 0 0 434.492 -0.594 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c(OC)c1 ZINC000442812695 1072454920 /nfs/dbraw/zinc/45/49/20/1072454920.db2.gz QXKFXGABVZTNFK-LBPRGKRZSA-N 0 0 434.492 -0.594 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC[C@](C)(NCC(=O)OC(C)(C)C)C1)c(=O)n2C ZINC000442815767 1072454942 /nfs/dbraw/zinc/45/49/42/1072454942.db2.gz JVHPULDHJOWCBQ-FQEVSTJZSA-N 0 0 434.497 -0.644 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC[C@@](C)(NCC(=O)OC(C)(C)C)C1)c(=O)n2C ZINC000442815774 1072455053 /nfs/dbraw/zinc/45/50/53/1072455053.db2.gz JVHPULDHJOWCBQ-HXUWFJFHSA-N 0 0 434.497 -0.644 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@](C)(NCC(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000442818906 1072455103 /nfs/dbraw/zinc/45/51/03/1072455103.db2.gz AAZJDJLSDDSMSG-FQEVSTJZSA-N 0 0 434.497 -0.644 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@@](C)(NCC(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000442818908 1072454955 /nfs/dbraw/zinc/45/49/55/1072454955.db2.gz AAZJDJLSDDSMSG-HXUWFJFHSA-N 0 0 434.497 -0.644 20 0 IBADRN CC(C)(C)OC(=O)CN[C@]1(C)CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000442824989 1072453647 /nfs/dbraw/zinc/45/36/47/1072453647.db2.gz MHEMGCBJLCKWJI-GOSISDBHSA-N 0 0 443.526 -0.686 20 0 IBADRN CC(C)(C)OC(=O)CN[C@@]1(C)CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000442824990 1072453826 /nfs/dbraw/zinc/45/38/26/1072453826.db2.gz MHEMGCBJLCKWJI-SFHVURJKSA-N 0 0 443.526 -0.686 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CC[C@](C)(NCC(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000442827139 1072453660 /nfs/dbraw/zinc/45/36/60/1072453660.db2.gz KTPCCHKLBWTCOO-NRFANRHFSA-N 0 0 448.524 -0.254 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CC[C@@](C)(NCC(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000442827153 1072453711 /nfs/dbraw/zinc/45/37/11/1072453711.db2.gz KTPCCHKLBWTCOO-OAQYLSRUSA-N 0 0 448.524 -0.254 20 0 IBADRN Cn1cc(C(=O)NC[C@H](CC(C)(C)C)NC(=O)c2cn(C)c(=O)[nH]c2=O)c(=O)[nH]c1=O ZINC000442827280 1072453789 /nfs/dbraw/zinc/45/37/89/1072453789.db2.gz LAEFYAILWDGCPY-JTQLQIEISA-N 0 0 434.453 -0.750 20 0 IBADRN Cn1cc(C(=O)NC[C@@H](CC(C)(C)C)NC(=O)c2cn(C)c(=O)[nH]c2=O)c(=O)[nH]c1=O ZINC000442827288 1072454437 /nfs/dbraw/zinc/45/44/37/1072454437.db2.gz LAEFYAILWDGCPY-SNVBAGLBSA-N 0 0 434.453 -0.750 20 0 IBADRN CC(C)(C)OC(=O)CN[C@]1(C)CCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000442835766 1072455037 /nfs/dbraw/zinc/45/50/37/1072455037.db2.gz GWQDBFVISKDZCW-GOSISDBHSA-N 0 0 444.510 -0.421 20 0 IBADRN CC(C)(C)OC(=O)CN[C@@]1(C)CCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000442835767 1072454898 /nfs/dbraw/zinc/45/48/98/1072454898.db2.gz GWQDBFVISKDZCW-SFHVURJKSA-N 0 0 444.510 -0.421 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)N[C@H](C)CO)cn2)cc1S(=O)(=O)N[C@H](C)CO ZINC000442837698 1072455087 /nfs/dbraw/zinc/45/50/87/1072455087.db2.gz OICHHILHTHMGJD-CHWSQXEVSA-N 0 0 432.524 -0.501 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)N[C@H](C)CO)cn2)cc1S(=O)(=O)N[C@@H](C)CO ZINC000442837701 1072455061 /nfs/dbraw/zinc/45/50/61/1072455061.db2.gz OICHHILHTHMGJD-OLZOCXBDSA-N 0 0 432.524 -0.501 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)N[C@@H](C)CO)cn2)cc1S(=O)(=O)N[C@H](C)CO ZINC000442837703 1072454877 /nfs/dbraw/zinc/45/48/77/1072454877.db2.gz OICHHILHTHMGJD-QWHCGFSZSA-N 0 0 432.524 -0.501 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CC[C@@](C)(NCC(=O)OC(C)(C)C)C2)cn1C ZINC000442849260 1072454831 /nfs/dbraw/zinc/45/48/31/1072454831.db2.gz UCGLPVJCXMKENW-GOSISDBHSA-N 0 0 429.543 -0.071 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CC[C@](C)(NCC(=O)OC(C)(C)C)C2)cn1C ZINC000442849263 1072454991 /nfs/dbraw/zinc/45/49/91/1072454991.db2.gz UCGLPVJCXMKENW-SFHVURJKSA-N 0 0 429.543 -0.071 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000442862727 1072455498 /nfs/dbraw/zinc/45/54/98/1072455498.db2.gz AVLZDPGKNLVYLY-HNNXBMFYSA-N 0 0 437.474 -0.176 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000442862728 1072455387 /nfs/dbraw/zinc/45/53/87/1072455387.db2.gz AVLZDPGKNLVYLY-OAHLLOKOSA-N 0 0 437.474 -0.176 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)NCc3cccc(N4CCC4=O)c3)CCO2)c1=O ZINC000442881862 1073305295 /nfs/dbraw/zinc/30/52/95/1073305295.db2.gz JNWWKSBOLQWQFR-KRWDZBQOSA-N 0 0 426.477 0.540 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)NCc3cccc(N4CCC4=O)c3)CCO2)c1=O ZINC000442881865 1073304826 /nfs/dbraw/zinc/30/48/26/1073304826.db2.gz JNWWKSBOLQWQFR-QGZVFWFLSA-N 0 0 426.477 0.540 20 0 IBADRN CCS(=O)(=O)NC1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC)CC1 ZINC000442896334 1072456623 /nfs/dbraw/zinc/45/66/23/1072456623.db2.gz CHCHRWIITQZAOG-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CC1 ZINC000442896689 1072455460 /nfs/dbraw/zinc/45/54/60/1072455460.db2.gz HPJMEAHNBLEIGR-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2cccc(N3CCC3=O)c2)CC1 ZINC000442896854 1072455477 /nfs/dbraw/zinc/45/54/77/1072455477.db2.gz ZZTXMLIGQPEIHO-UHFFFAOYSA-N 0 0 427.461 -0.074 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)c1 ZINC000442896948 1072457389 /nfs/dbraw/zinc/45/73/89/1072457389.db2.gz DGLRBDANHRSHKL-UHFFFAOYSA-N 0 0 426.314 -0.092 20 0 IBADRN COC(=O)c1scc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000442897244 1072456848 /nfs/dbraw/zinc/45/68/48/1072456848.db2.gz IVLFWQYHUNGRIL-UHFFFAOYSA-N 0 0 445.519 -0.465 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000442897407 1072458653 /nfs/dbraw/zinc/45/86/53/1072458653.db2.gz FAEPEFHWRDSZCY-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CC1 ZINC000442897482 1072458561 /nfs/dbraw/zinc/45/85/61/1072458561.db2.gz GHKRAAICPVDNJN-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN CN(C)C(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000442898892 1072458478 /nfs/dbraw/zinc/45/84/78/1072458478.db2.gz DHZDHPCPDIUFLR-UHFFFAOYSA-N 0 0 438.506 -0.920 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cnccc2C(F)(F)F)CC1)N1CCOCC1 ZINC000442899345 1072458736 /nfs/dbraw/zinc/45/87/36/1072458736.db2.gz MXFUAERUIUCUMF-UHFFFAOYSA-N 0 0 436.412 -0.208 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC000442899370 1072458672 /nfs/dbraw/zinc/45/86/72/1072458672.db2.gz FGQPKYJQRAVFME-SECBINFHSA-N 0 0 448.914 -0.556 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC000442899372 1072458719 /nfs/dbraw/zinc/45/87/19/1072458719.db2.gz FGQPKYJQRAVFME-VIFPVBQESA-N 0 0 448.914 -0.556 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000442900182 1072458495 /nfs/dbraw/zinc/45/84/95/1072458495.db2.gz LWEROXHRTPLIIV-UHFFFAOYSA-N 0 0 430.464 -0.523 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000442900523 1072458696 /nfs/dbraw/zinc/45/86/96/1072458696.db2.gz HVPQCVKZPUIJQT-KBPBESRZSA-N 0 0 434.540 -0.250 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000442900529 1072458576 /nfs/dbraw/zinc/45/85/76/1072458576.db2.gz HVPQCVKZPUIJQT-OKILXGFUSA-N 0 0 434.540 -0.250 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000442900530 1072458602 /nfs/dbraw/zinc/45/86/02/1072458602.db2.gz HVPQCVKZPUIJQT-ZIAGYGMSSA-N 0 0 434.540 -0.250 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000442901252 1072458456 /nfs/dbraw/zinc/45/84/56/1072458456.db2.gz OQJSYVLYEZLKOV-SECBINFHSA-N 0 0 448.914 -0.508 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000442901253 1072458539 /nfs/dbraw/zinc/45/85/39/1072458539.db2.gz OQJSYVLYEZLKOV-VIFPVBQESA-N 0 0 448.914 -0.508 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000442902338 1072458710 /nfs/dbraw/zinc/45/87/10/1072458710.db2.gz ROQIPPVAIHFDNS-UHFFFAOYSA-N 0 0 433.533 -0.237 20 0 IBADRN CN(C)C(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000442902404 1072459022 /nfs/dbraw/zinc/45/90/22/1072459022.db2.gz NESQYLQFUBQGDH-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000442902532 1072459095 /nfs/dbraw/zinc/45/90/95/1072459095.db2.gz NSMOSGKRQAWNDW-UHFFFAOYSA-N 0 0 431.536 -0.146 20 0 IBADRN CCCNC(=O)CN1CCC(NS(=O)(=O)c2ccccc2S(=O)(=O)NC)CC1 ZINC000442902814 1072458523 /nfs/dbraw/zinc/45/85/23/1072458523.db2.gz OFRFZJDJEKKPLH-UHFFFAOYSA-N 0 0 432.568 -0.136 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000442902977 1072458704 /nfs/dbraw/zinc/45/87/04/1072458704.db2.gz PBQPTXROKKQCGE-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000442902991 1072458446 /nfs/dbraw/zinc/45/84/46/1072458446.db2.gz UOAMGBVPGVAHBX-UHFFFAOYSA-N 0 0 433.533 -0.149 20 0 IBADRN CCN(CC)C(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC)CC1 ZINC000442903050 1072458589 /nfs/dbraw/zinc/45/85/89/1072458589.db2.gz PGKUNIFCCFLHEO-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000442904517 1072459202 /nfs/dbraw/zinc/45/92/02/1072459202.db2.gz RDEGXXMXHAOOLK-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000442904874 1072459107 /nfs/dbraw/zinc/45/91/07/1072459107.db2.gz CGTUFNUJUDYTHU-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN COc1ccc(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000442904931 1072459214 /nfs/dbraw/zinc/45/92/14/1072459214.db2.gz RXIZNJCIGIBTDM-HNNXBMFYSA-N 0 0 433.552 -0.010 20 0 IBADRN COc1ccc(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000442904933 1072459082 /nfs/dbraw/zinc/45/90/82/1072459082.db2.gz RXIZNJCIGIBTDM-OAHLLOKOSA-N 0 0 433.552 -0.010 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000442905165 1072459067 /nfs/dbraw/zinc/45/90/67/1072459067.db2.gz UXPPDISXJNLRKT-UHFFFAOYSA-N 0 0 447.535 -0.253 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)OC)cc1OC ZINC000442905861 1072459196 /nfs/dbraw/zinc/45/91/96/1072459196.db2.gz GFRXQRYCIWLGBZ-CYBMUJFWSA-N 0 0 448.519 -0.204 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)OC)cc1OC ZINC000442905864 1072459037 /nfs/dbraw/zinc/45/90/37/1072459037.db2.gz GFRXQRYCIWLGBZ-ZDUSSCGKSA-N 0 0 448.519 -0.204 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000442906235 1072459004 /nfs/dbraw/zinc/45/90/04/1072459004.db2.gz WZBHDGXXTSUVKI-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN CO[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000442907522 1072459895 /nfs/dbraw/zinc/45/98/95/1072459895.db2.gz IQFGVQIVZWGZJT-GFCCVEGCSA-N 0 0 441.553 -0.995 20 0 IBADRN CO[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000442907526 1072459794 /nfs/dbraw/zinc/45/97/94/1072459794.db2.gz IQFGVQIVZWGZJT-LBPRGKRZSA-N 0 0 441.553 -0.995 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000442907628 1072459962 /nfs/dbraw/zinc/45/99/62/1072459962.db2.gz JDWUZPJRDACHHV-CYBMUJFWSA-N 0 0 430.552 -0.432 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000442907630 1072459737 /nfs/dbraw/zinc/45/97/37/1072459737.db2.gz JDWUZPJRDACHHV-ZDUSSCGKSA-N 0 0 430.552 -0.432 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(OC)c1 ZINC000442907796 1072459811 /nfs/dbraw/zinc/45/98/11/1072459811.db2.gz YPAMWLZHSADTSU-AWEZNQCLSA-N 0 0 432.520 -0.025 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(OC)c1 ZINC000442907798 1072459889 /nfs/dbraw/zinc/45/98/89/1072459889.db2.gz YPAMWLZHSADTSU-CQSZACIVSA-N 0 0 432.520 -0.025 20 0 IBADRN CC(C)(C)NC(=O)CNS(=O)(=O)CCCS(=O)(=O)NCC(=O)NC(C)(C)C ZINC000442908152 1072458978 /nfs/dbraw/zinc/45/89/78/1072458978.db2.gz LAPAGIPXLWBEBR-UHFFFAOYSA-N 0 0 428.577 -0.955 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)CC1 ZINC000442908275 1072459119 /nfs/dbraw/zinc/45/91/19/1072459119.db2.gz MCPURWXJUSSIQU-UHFFFAOYSA-N 0 0 441.901 -0.122 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000442908567 1072459826 /nfs/dbraw/zinc/45/98/26/1072459826.db2.gz NPQLEUOXHIDPQB-UHFFFAOYSA-N 0 0 430.552 -0.477 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000442909487 1072457596 /nfs/dbraw/zinc/45/75/96/1072457596.db2.gz VUXKXJLPJRHGPQ-UHFFFAOYSA-N 0 0 441.535 -0.085 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c(OC)c1 ZINC000442909640 1072457576 /nfs/dbraw/zinc/45/75/76/1072457576.db2.gz BAUHYYISAOWCMT-UHFFFAOYSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c(OC)c1 ZINC000442909660 1072457429 /nfs/dbraw/zinc/45/74/29/1072457429.db2.gz WIKBREJCIRJLFL-UHFFFAOYSA-N 0 0 439.490 -0.063 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1 ZINC000442909830 1072457443 /nfs/dbraw/zinc/45/74/43/1072457443.db2.gz UUYPKPOWUXSMSW-UHFFFAOYSA-N 0 0 425.463 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000442910364 1072457458 /nfs/dbraw/zinc/45/74/58/1072457458.db2.gz YWEIXMSWVQYSML-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000442910365 1072457620 /nfs/dbraw/zinc/45/76/20/1072457620.db2.gz YWEIXMSWVQYSML-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000442910674 1072457470 /nfs/dbraw/zinc/45/74/70/1072457470.db2.gz XDFHRDSGWDKBQW-UHFFFAOYSA-N 0 0 440.551 -0.515 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(OC)c1 ZINC000442911197 1072457337 /nfs/dbraw/zinc/45/73/37/1072457337.db2.gz DZZXAFGXWJDDHA-GFCCVEGCSA-N 0 0 434.492 -0.547 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(OC)c1 ZINC000442911198 1072457567 /nfs/dbraw/zinc/45/75/67/1072457567.db2.gz DZZXAFGXWJDDHA-LBPRGKRZSA-N 0 0 434.492 -0.547 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC000442911476 1072457814 /nfs/dbraw/zinc/45/78/14/1072457814.db2.gz JIEHSQLQZJNFBE-LLVKDONJSA-N 0 0 434.268 -0.400 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC000442911478 1072457864 /nfs/dbraw/zinc/45/78/64/1072457864.db2.gz JIEHSQLQZJNFBE-NSHDSACASA-N 0 0 434.268 -0.400 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC)CC1 ZINC000442911563 1072457968 /nfs/dbraw/zinc/45/79/68/1072457968.db2.gz ZNMPTSCRFKECKQ-AWEZNQCLSA-N 0 0 432.568 -0.184 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC)CC1 ZINC000442911564 1072457845 /nfs/dbraw/zinc/45/78/45/1072457845.db2.gz ZNMPTSCRFKECKQ-CQSZACIVSA-N 0 0 432.568 -0.184 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)c1 ZINC000442911897 1072457559 /nfs/dbraw/zinc/45/75/59/1072457559.db2.gz HSEDTRXBQFANKS-UHFFFAOYSA-N 0 0 431.268 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000442912582 1072457942 /nfs/dbraw/zinc/45/79/42/1072457942.db2.gz FBZAKNRGQDWFPY-AWEZNQCLSA-N 0 0 431.536 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000442912585 1072457791 /nfs/dbraw/zinc/45/77/91/1072457791.db2.gz FBZAKNRGQDWFPY-CQSZACIVSA-N 0 0 431.536 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000442912992 1072457957 /nfs/dbraw/zinc/45/79/57/1072457957.db2.gz OWSVZXHKGFXGKG-UHFFFAOYSA-N 0 0 429.524 -0.342 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3ncsc3=O)CC2)cc1OC ZINC000442913689 1072457794 /nfs/dbraw/zinc/45/77/94/1072457794.db2.gz JBBNVPBRQYCBQQ-UHFFFAOYSA-N 0 0 428.492 -0.145 20 0 IBADRN COC(=O)c1scc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000442914301 1072457870 /nfs/dbraw/zinc/45/78/70/1072457870.db2.gz MDCWIWMWERRRGO-UHFFFAOYSA-N 0 0 443.503 -0.175 20 0 IBADRN O=S(=O)(c1cnccc1C(F)(F)F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000442914616 1072457950 /nfs/dbraw/zinc/45/79/50/1072457950.db2.gz SUKZTUOFJFGPJU-UHFFFAOYSA-N 0 0 444.457 -0.016 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000442914962 1072457853 /nfs/dbraw/zinc/45/78/53/1072457853.db2.gz VJJKQABFERIIQQ-GFCCVEGCSA-N 0 0 425.554 -0.455 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000442914963 1072457914 /nfs/dbraw/zinc/45/79/14/1072457914.db2.gz VJJKQABFERIIQQ-LBPRGKRZSA-N 0 0 425.554 -0.455 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(OC)c1 ZINC000442915561 1072457882 /nfs/dbraw/zinc/45/78/82/1072457882.db2.gz ZXMYOSCFNZEPDG-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN O=C(Cn1ncsc1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000442916164 1072457803 /nfs/dbraw/zinc/45/78/03/1072457803.db2.gz WCMVKTZGNMWMHT-UHFFFAOYSA-N 0 0 440.503 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc(CNS(=O)(=O)c2ccccc2S(=O)(=O)NC)c1 ZINC000442917237 1072457933 /nfs/dbraw/zinc/45/79/33/1072457933.db2.gz GKIMTYYLFVXSPS-UHFFFAOYSA-N 0 0 433.533 -0.019 20 0 IBADRN CN(C)C(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000442917390 1072458616 /nfs/dbraw/zinc/45/86/16/1072458616.db2.gz AIVLJEIIOJWRCT-UHFFFAOYSA-N 0 0 436.490 -0.630 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2nccc(OC)n2)CC1 ZINC000442917601 1072457906 /nfs/dbraw/zinc/45/79/06/1072457906.db2.gz BABOGNMMYSBZFA-UHFFFAOYSA-N 0 0 427.508 -0.096 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000442917901 1072457895 /nfs/dbraw/zinc/45/78/95/1072457895.db2.gz BPIMMEBMBUABJT-UHFFFAOYSA-N 0 0 437.474 -0.155 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000442918696 1072459948 /nfs/dbraw/zinc/45/99/48/1072459948.db2.gz JYUBQUIUGATILD-UHFFFAOYSA-N 0 0 447.560 -0.007 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)CCCS(=O)(=O)N2CC[C@H](C(=O)OC)C2)C1 ZINC000442921075 1072460632 /nfs/dbraw/zinc/46/06/32/1072460632.db2.gz MUGXCHYBMFVZAK-BETUJISGSA-N 0 0 426.513 -0.974 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)CCCS(=O)(=O)N2CC[C@@H](C(=O)OC)C2)C1 ZINC000442921079 1072460608 /nfs/dbraw/zinc/46/06/08/1072460608.db2.gz MUGXCHYBMFVZAK-CHWSQXEVSA-N 0 0 426.513 -0.974 20 0 IBADRN COC(=O)[C@H]1CCN(S(=O)(=O)CCCS(=O)(=O)N2CC[C@H](C(=O)OC)C2)C1 ZINC000442921362 1072459998 /nfs/dbraw/zinc/45/99/98/1072459998.db2.gz MUGXCHYBMFVZAK-STQMWFEESA-N 0 0 426.513 -0.974 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000442921454 1072460557 /nfs/dbraw/zinc/46/05/57/1072460557.db2.gz NHAJDZSBSFPUDN-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(C3CCCCC3)C2=O)c[nH]1 ZINC000442922914 1072460424 /nfs/dbraw/zinc/46/04/24/1072460424.db2.gz LNQULYVJANDDAE-AWEZNQCLSA-N 0 0 425.511 -0.168 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(C3CCCCC3)C2=O)c[nH]1 ZINC000442922916 1072460622 /nfs/dbraw/zinc/46/06/22/1072460622.db2.gz LNQULYVJANDDAE-CQSZACIVSA-N 0 0 425.511 -0.168 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000442923147 1072460503 /nfs/dbraw/zinc/46/05/03/1072460503.db2.gz VLCJOCRTAHMVEK-UHFFFAOYSA-N 0 0 444.535 -0.950 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCc1ccc(OCC(N)=O)c(OC)c1 ZINC000442923288 1072460340 /nfs/dbraw/zinc/46/03/40/1072460340.db2.gz LVTKKBAEKICKPH-UHFFFAOYSA-N 0 0 443.503 -0.054 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)CC1 ZINC000442924255 1072460452 /nfs/dbraw/zinc/46/04/52/1072460452.db2.gz XNEPUGJQWYEMHJ-UHFFFAOYSA-N 0 0 439.885 -0.368 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CC1 ZINC000442926023 1072459844 /nfs/dbraw/zinc/45/98/44/1072459844.db2.gz XISXBNLRFVTVGQ-UHFFFAOYSA-N 0 0 426.314 -0.186 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CC1 ZINC000442932111 1072459764 /nfs/dbraw/zinc/45/97/64/1072459764.db2.gz WZLOTRAXFAEMNJ-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1 ZINC000442937118 1072461113 /nfs/dbraw/zinc/46/11/13/1072461113.db2.gz BHICCAFELBONCC-JTQLQIEISA-N 0 0 426.314 -0.140 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1 ZINC000442937131 1072461233 /nfs/dbraw/zinc/46/12/33/1072461233.db2.gz BHICCAFELBONCC-SNVBAGLBSA-N 0 0 426.314 -0.140 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000442942951 1072461279 /nfs/dbraw/zinc/46/12/79/1072461279.db2.gz JNHPORPLUIUJCY-UHFFFAOYSA-N 0 0 427.302 -0.942 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000442943901 1072461028 /nfs/dbraw/zinc/46/10/28/1072461028.db2.gz LNVYHKKHKYPKON-UHFFFAOYSA-N 0 0 443.594 -0.748 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000442947077 1072461908 /nfs/dbraw/zinc/46/19/08/1072461908.db2.gz RTRRUEDRTJGKJD-UHFFFAOYSA-N 0 0 444.579 -0.183 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000442947941 1072461693 /nfs/dbraw/zinc/46/16/93/1072461693.db2.gz GGKQWMGLQYAUKX-UHFFFAOYSA-N 0 0 425.554 -0.408 20 0 IBADRN COC(=O)C(C)(C)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)C(C)(C)C(=O)OC ZINC000442952630 1072460354 /nfs/dbraw/zinc/46/03/54/1072460354.db2.gz STIAOUSJSZWTPQ-UHFFFAOYSA-N 0 0 430.545 -0.197 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)NC[C@H](O)C(F)(F)F)NC[C@H](O)C(F)(F)F ZINC000442953145 1072460515 /nfs/dbraw/zinc/46/05/15/1072460515.db2.gz WUZGVRNLZCTSAS-BQBZGAKWSA-N 0 0 426.357 -0.938 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)NC[C@@H](O)C(F)(F)F)NC[C@@H](O)C(F)(F)F ZINC000442953247 1072460570 /nfs/dbraw/zinc/46/05/70/1072460570.db2.gz WUZGVRNLZCTSAS-RNFRBKRXSA-N 0 0 426.357 -0.938 20 0 IBADRN CO[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000442956587 1072461163 /nfs/dbraw/zinc/46/11/63/1072461163.db2.gz JXNLMLVOEJJBEY-GFCCVEGCSA-N 0 0 433.508 -0.312 20 0 IBADRN CO[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000442956588 1072461087 /nfs/dbraw/zinc/46/10/87/1072461087.db2.gz JXNLMLVOEJJBEY-LBPRGKRZSA-N 0 0 433.508 -0.312 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2ccnc(N(C)C)n2)CC1 ZINC000442957184 1072461140 /nfs/dbraw/zinc/46/11/40/1072461140.db2.gz MSUCOYJMCWLJKQ-UHFFFAOYSA-N 0 0 440.551 -0.038 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC000442959046 1072461823 /nfs/dbraw/zinc/46/18/23/1072461823.db2.gz UFMJSBGAGOHFAO-GFCCVEGCSA-N 0 0 427.874 -0.465 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC000442959047 1072461917 /nfs/dbraw/zinc/46/19/17/1072461917.db2.gz UFMJSBGAGOHFAO-LBPRGKRZSA-N 0 0 427.874 -0.465 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1cc(Br)cc(C(N)=O)c1)CN1CCOCC1 ZINC000442959979 1072461724 /nfs/dbraw/zinc/46/17/24/1072461724.db2.gz WQBDXAAWBAAQCC-HNNXBMFYSA-N 0 0 436.328 -0.091 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1cc(Br)cc(C(N)=O)c1)CN1CCOCC1 ZINC000442959981 1072462445 /nfs/dbraw/zinc/46/24/45/1072462445.db2.gz WQBDXAAWBAAQCC-OAHLLOKOSA-N 0 0 436.328 -0.091 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)c1 ZINC000442960058 1072462304 /nfs/dbraw/zinc/46/23/04/1072462304.db2.gz BFEOGLPGUNUVGP-UHFFFAOYSA-N 0 0 437.450 -0.707 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC(S(N)(=O)=O)CC3)CC2)cc1 ZINC000442960668 1072462362 /nfs/dbraw/zinc/46/23/62/1072462362.db2.gz ALUHIJSLSVVWGT-UHFFFAOYSA-N 0 0 444.579 -0.419 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC000442961489 1072462521 /nfs/dbraw/zinc/46/25/21/1072462521.db2.gz YUMLZQSUOIBKPP-GFCCVEGCSA-N 0 0 447.311 -0.437 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC000442961491 1072462384 /nfs/dbraw/zinc/46/23/84/1072462384.db2.gz YUMLZQSUOIBKPP-LBPRGKRZSA-N 0 0 447.311 -0.437 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N(CCN1CCOCC1)CC(=O)OC ZINC000442961778 1072462530 /nfs/dbraw/zinc/46/25/30/1072462530.db2.gz ZSDGDULFZHUOGQ-UHFFFAOYSA-N 0 0 435.524 -0.909 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000442962203 1072462323 /nfs/dbraw/zinc/46/23/23/1072462323.db2.gz CCRQDURDTVDBSS-GOSISDBHSA-N 0 0 427.523 -0.244 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000442962204 1072462483 /nfs/dbraw/zinc/46/24/83/1072462483.db2.gz CCRQDURDTVDBSS-SFHVURJKSA-N 0 0 427.523 -0.244 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)cn1 ZINC000442964139 1072462410 /nfs/dbraw/zinc/46/24/10/1072462410.db2.gz HUGZNXPBXNJDPA-UHFFFAOYSA-N 0 0 437.450 -0.929 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000442966454 1072462429 /nfs/dbraw/zinc/46/24/29/1072462429.db2.gz LPWXRESCDBSUAF-GFCCVEGCSA-N 0 0 425.479 -0.522 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)[C@H]1CCS(=O)(=O)C1 ZINC000442966455 1072462239 /nfs/dbraw/zinc/46/22/39/1072462239.db2.gz LPWXRESCDBSUAF-LBPRGKRZSA-N 0 0 425.479 -0.522 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)CC1 ZINC000442967440 1072462999 /nfs/dbraw/zinc/46/29/99/1072462999.db2.gz NSTGPYKEEQGIEN-UHFFFAOYSA-N 0 0 442.922 -0.211 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000442968305 1072462991 /nfs/dbraw/zinc/46/29/91/1072462991.db2.gz AFRRMTGHRLNFAV-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCC(S(N)(=O)=O)CC1 ZINC000442968568 1072462963 /nfs/dbraw/zinc/46/29/63/1072462963.db2.gz RHALZRVMKMTGAJ-LBPRGKRZSA-N 0 0 447.535 -0.206 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000442970329 1072463084 /nfs/dbraw/zinc/46/30/84/1072463084.db2.gz WTAFDZCFIBJCAM-AEFFLSMTSA-N 0 0 439.534 -0.954 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000442970384 1072462958 /nfs/dbraw/zinc/46/29/58/1072462958.db2.gz WTAFDZCFIBJCAM-FUHWJXTLSA-N 0 0 439.534 -0.954 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000442970570 1072462955 /nfs/dbraw/zinc/46/29/55/1072462955.db2.gz WTAFDZCFIBJCAM-SJLPKXTDSA-N 0 0 439.534 -0.954 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000442970571 1072463093 /nfs/dbraw/zinc/46/30/93/1072463093.db2.gz WTAFDZCFIBJCAM-WMZOPIPTSA-N 0 0 439.534 -0.954 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)cc1F)c1cn(CCO)c(=O)[nH]c1=O ZINC000442971237 1072462942 /nfs/dbraw/zinc/46/29/42/1072462942.db2.gz VMXOHNKFVWARRH-UHFFFAOYSA-N 0 0 434.833 -0.558 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC000442972681 1073351499 /nfs/dbraw/zinc/35/14/99/1073351499.db2.gz LTMANINPADBTEL-UHFFFAOYSA-N 0 0 438.492 0.438 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000442976114 1072461846 /nfs/dbraw/zinc/46/18/46/1072461846.db2.gz WEIHWJMVXVQJTD-AWEZNQCLSA-N 0 0 432.506 -0.100 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000442976118 1072461872 /nfs/dbraw/zinc/46/18/72/1072461872.db2.gz WEIHWJMVXVQJTD-CQSZACIVSA-N 0 0 432.506 -0.100 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000442976524 1072461737 /nfs/dbraw/zinc/46/17/37/1072461737.db2.gz MBUKUCFKPXPFIO-UHFFFAOYSA-N 0 0 426.467 -0.725 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000442976773 1072461760 /nfs/dbraw/zinc/46/17/60/1072461760.db2.gz UJMDBTKFRJLXSS-UHFFFAOYSA-N 0 0 436.893 -0.094 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000442979820 1072461861 /nfs/dbraw/zinc/46/18/61/1072461861.db2.gz PLNVIYUBYQSWSW-GFCCVEGCSA-N 0 0 441.934 -0.008 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F)[C@H]1CCS(=O)(=O)C1 ZINC000442979824 1072461805 /nfs/dbraw/zinc/46/18/05/1072461805.db2.gz PLNVIYUBYQSWSW-LBPRGKRZSA-N 0 0 441.934 -0.008 20 0 IBADRN O=C(CSCC(=O)N1CCOCC1)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000442979860 1072462340 /nfs/dbraw/zinc/46/23/40/1072462340.db2.gz PSWXKCIJHWMJKC-UHFFFAOYSA-N 0 0 437.490 -0.049 20 0 IBADRN CCN1CCN(CC(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)C(=O)C1=O ZINC000442980359 1072461889 /nfs/dbraw/zinc/46/18/89/1072461889.db2.gz RCWUNUUBUWGGED-UHFFFAOYSA-N 0 0 434.877 -0.436 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000442981327 1072463769 /nfs/dbraw/zinc/46/37/69/1072463769.db2.gz YTFQSYSXPAVSTR-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN COCCn1cc([C@H]2OCC[C@@H]2C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000442985065 1072464422 /nfs/dbraw/zinc/46/44/22/1072464422.db2.gz VNTHOCIXAVQROS-YSIASYRMSA-N 0 0 449.552 -0.001 20 0 IBADRN COCCn1cc([C@H]2OCC[C@@H]2C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000442985066 1072464377 /nfs/dbraw/zinc/46/43/77/1072464377.db2.gz VNTHOCIXAVQROS-YZGWKJHDSA-N 0 0 449.552 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCCN1CCC[C@H]1C(=O)N(C)C ZINC000442985955 1072464244 /nfs/dbraw/zinc/46/42/44/1072464244.db2.gz YPSFSSSCHLKMDP-AWEZNQCLSA-N 0 0 432.568 -0.184 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCCN1CCC[C@@H]1C(=O)N(C)C ZINC000442985958 1072464332 /nfs/dbraw/zinc/46/43/32/1072464332.db2.gz YPSFSSSCHLKMDP-CQSZACIVSA-N 0 0 432.568 -0.184 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000442987855 1072464370 /nfs/dbraw/zinc/46/43/70/1072464370.db2.gz LKHTXBANMVVHGY-UHFFFAOYSA-N 0 0 425.554 -0.455 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)NCCN2CCCS2(=O)=O)c1 ZINC000442989326 1072464172 /nfs/dbraw/zinc/46/41/72/1072464172.db2.gz OSVPHGQQHMDXRJ-UHFFFAOYSA-N 0 0 426.314 -0.138 20 0 IBADRN CN(CCCO)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000442989698 1072464185 /nfs/dbraw/zinc/46/41/85/1072464185.db2.gz IUAAFEYNFIIVDV-UHFFFAOYSA-N 0 0 427.523 -0.002 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000442990012 1072464138 /nfs/dbraw/zinc/46/41/38/1072464138.db2.gz KWZDRWVXKRGYSF-AWEZNQCLSA-N 0 0 431.536 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000442990017 1072464210 /nfs/dbraw/zinc/46/42/10/1072464210.db2.gz KWZDRWVXKRGYSF-CQSZACIVSA-N 0 0 431.536 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCC(=O)N(C)CCc1ccccn1 ZINC000442990510 1072463066 /nfs/dbraw/zinc/46/30/66/1072463066.db2.gz LYNKQEOQIIHIQY-UHFFFAOYSA-N 0 0 426.520 -0.031 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000442992724 1072463076 /nfs/dbraw/zinc/46/30/76/1072463076.db2.gz QARLHMBFAWCYLG-CABCVRRESA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000442992728 1072463660 /nfs/dbraw/zinc/46/36/60/1072463660.db2.gz QARLHMBFAWCYLG-GJZGRUSLSA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000442992732 1072463755 /nfs/dbraw/zinc/46/37/55/1072463755.db2.gz QARLHMBFAWCYLG-HUUCEWRRSA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000442992736 1072463665 /nfs/dbraw/zinc/46/36/65/1072463665.db2.gz QARLHMBFAWCYLG-LSDHHAIUSA-N 0 0 432.520 -0.361 20 0 IBADRN CN(C)C(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000442995362 1072463739 /nfs/dbraw/zinc/46/37/39/1072463739.db2.gz VXSOXINTPXWWFO-CVEARBPZSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)C(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000442995368 1072463757 /nfs/dbraw/zinc/46/37/57/1072463757.db2.gz VXSOXINTPXWWFO-HOTGVXAUSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)C(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000442995371 1072463640 /nfs/dbraw/zinc/46/36/40/1072463640.db2.gz VXSOXINTPXWWFO-HZPDHXFCSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)C(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000442995373 1072463653 /nfs/dbraw/zinc/46/36/53/1072463653.db2.gz VXSOXINTPXWWFO-JKSUJKDBSA-N 0 0 431.536 -0.836 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000443006314 1072465139 /nfs/dbraw/zinc/46/51/39/1072465139.db2.gz WUNJAJXUFVPCHB-BJJXKVORSA-N 0 0 449.526 -0.523 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000443006319 1072464926 /nfs/dbraw/zinc/46/49/26/1072464926.db2.gz WUNJAJXUFVPCHB-XPKDYRNWSA-N 0 0 449.526 -0.523 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000443007433 1072465101 /nfs/dbraw/zinc/46/51/01/1072465101.db2.gz OEGBZJRGVQKWBT-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000443008750 1072465146 /nfs/dbraw/zinc/46/51/46/1072465146.db2.gz VXHCRPRPZPQVEH-HNNXBMFYSA-N 0 0 444.579 -0.088 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000443008851 1072465008 /nfs/dbraw/zinc/46/50/08/1072465008.db2.gz VXHCRPRPZPQVEH-OAHLLOKOSA-N 0 0 444.579 -0.088 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC[C@H](N2CC=CC2)C1)N1CC[C@@H](N2CC=CC2)C1 ZINC000443011963 1072465017 /nfs/dbraw/zinc/46/50/17/1072465017.db2.gz ILIVERNVFVVMEF-KDURUIRLSA-N 0 0 444.623 -0.072 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC[C@H](N2CC=CC2)C1)N1CC[C@H](N2CC=CC2)C1 ZINC000443011964 1072464994 /nfs/dbraw/zinc/46/49/94/1072464994.db2.gz ILIVERNVFVVMEF-OALUTQOASA-N 0 0 444.623 -0.072 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC[C@@H](N2CC=CC2)C1)N1CC[C@@H](N2CC=CC2)C1 ZINC000443011965 1072464969 /nfs/dbraw/zinc/46/49/69/1072464969.db2.gz ILIVERNVFVVMEF-RTBURBONSA-N 0 0 444.623 -0.072 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC000443012688 1072465617 /nfs/dbraw/zinc/46/56/17/1072465617.db2.gz TWNPOZXXUWIMDG-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000443017833 1072464418 /nfs/dbraw/zinc/46/44/18/1072464418.db2.gz BAHZOOYBOMSLQS-GFCCVEGCSA-N 0 0 427.508 -0.198 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000443017837 1072464351 /nfs/dbraw/zinc/46/43/51/1072464351.db2.gz BAHZOOYBOMSLQS-LBPRGKRZSA-N 0 0 427.508 -0.198 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC)CC1 ZINC000443018617 1072464161 /nfs/dbraw/zinc/46/41/61/1072464161.db2.gz JMJCLGHJRZZAKG-UHFFFAOYSA-N 0 0 447.535 -0.325 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000443018916 1072464431 /nfs/dbraw/zinc/46/44/31/1072464431.db2.gz OWGIBONUQYGTTL-GFCCVEGCSA-N 0 0 425.554 -0.315 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000443018917 1072464389 /nfs/dbraw/zinc/46/43/89/1072464389.db2.gz OWGIBONUQYGTTL-LBPRGKRZSA-N 0 0 425.554 -0.315 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000443019610 1072464231 /nfs/dbraw/zinc/46/42/31/1072464231.db2.gz DNKHQUDTPDCLHU-UHFFFAOYSA-N 0 0 441.437 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000443020099 1072464409 /nfs/dbraw/zinc/46/44/09/1072464409.db2.gz GJIMVBPWBMIVSE-UHFFFAOYSA-N 0 0 427.508 -0.801 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000443023451 1072465060 /nfs/dbraw/zinc/46/50/60/1072465060.db2.gz RXAGJHFDAAPCPK-GFCCVEGCSA-N 0 0 434.492 -0.038 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000443023452 1072465051 /nfs/dbraw/zinc/46/50/51/1072465051.db2.gz RXAGJHFDAAPCPK-LBPRGKRZSA-N 0 0 434.492 -0.038 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)CC1 ZINC000443023465 1072465112 /nfs/dbraw/zinc/46/51/12/1072465112.db2.gz SDDWVNPRQGVMGS-UHFFFAOYSA-N 0 0 435.919 -0.885 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC000443024835 1072464946 /nfs/dbraw/zinc/46/49/46/1072464946.db2.gz NIXJYBOUYIVWGI-CYBMUJFWSA-N 0 0 436.328 -0.138 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC000443024836 1072465096 /nfs/dbraw/zinc/46/50/96/1072465096.db2.gz NIXJYBOUYIVWGI-ZDUSSCGKSA-N 0 0 436.328 -0.138 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000443025530 1072465039 /nfs/dbraw/zinc/46/50/39/1072465039.db2.gz NKGYIGLKCOLOAB-UHFFFAOYSA-N 0 0 437.474 -0.404 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000443026093 1072464957 /nfs/dbraw/zinc/46/49/57/1072464957.db2.gz PBJGPXJALQADMZ-UHFFFAOYSA-N 0 0 441.437 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCN(c2cc(C)nn2C)C1=O ZINC000443027195 1072466682 /nfs/dbraw/zinc/46/66/82/1072466682.db2.gz RBUINXOOLGSWHV-GFCCVEGCSA-N 0 0 427.508 -0.280 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCN(c2cc(C)nn2C)C1=O ZINC000443027197 1072466765 /nfs/dbraw/zinc/46/67/65/1072466765.db2.gz RBUINXOOLGSWHV-LBPRGKRZSA-N 0 0 427.508 -0.280 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN2CCCS2(=O)=O)c(C(=O)OC)s1 ZINC000443027265 1072466631 /nfs/dbraw/zinc/46/66/31/1072466631.db2.gz NDPLJKXZJVPNPO-UHFFFAOYSA-N 0 0 426.494 -0.365 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCNS(=O)(=O)c1cccs1 ZINC000443027853 1072466657 /nfs/dbraw/zinc/46/66/57/1072466657.db2.gz NOVXNUASLAGWOI-UHFFFAOYSA-N 0 0 439.562 -0.087 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCO[C@H](Cn2nc(C)nc2C)C1 ZINC000443028575 1072466723 /nfs/dbraw/zinc/46/67/23/1072466723.db2.gz VMRHDRIZUJBHAT-AWEZNQCLSA-N 0 0 429.524 -0.107 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCO[C@@H](Cn2nc(C)nc2C)C1 ZINC000443028577 1072466760 /nfs/dbraw/zinc/46/67/60/1072466760.db2.gz VMRHDRIZUJBHAT-CQSZACIVSA-N 0 0 429.524 -0.107 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000443029111 1072466671 /nfs/dbraw/zinc/46/66/71/1072466671.db2.gz WSCKVNMFVPSZJP-INIZCTEOSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000443029112 1072466743 /nfs/dbraw/zinc/46/67/43/1072466743.db2.gz WSCKVNMFVPSZJP-MRXNPFEDSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000443029193 1072466749 /nfs/dbraw/zinc/46/67/49/1072466749.db2.gz VZUOTUAXAGYXPJ-UHFFFAOYSA-N 0 0 434.521 -0.754 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000443029932 1072466691 /nfs/dbraw/zinc/46/66/91/1072466691.db2.gz SMSHYTMVAJQDOF-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000443029934 1072466712 /nfs/dbraw/zinc/46/67/12/1072466712.db2.gz SMSHYTMVAJQDOF-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)nn1 ZINC000443030099 1072466621 /nfs/dbraw/zinc/46/66/21/1072466621.db2.gz XICCCUTVXILLBF-UHFFFAOYSA-N 0 0 432.256 -0.209 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000443030230 1072466645 /nfs/dbraw/zinc/46/66/45/1072466645.db2.gz SESIQAYCMIMZOW-UHFFFAOYSA-N 0 0 436.494 -0.001 20 0 IBADRN COC(=O)C1(NS(=O)(=O)CCCS(=O)(=O)NC2(C(=O)OC)CCC2)CCC1 ZINC000443031908 1072466734 /nfs/dbraw/zinc/46/67/34/1072466734.db2.gz YLCWRRAFUGIPIY-UHFFFAOYSA-N 0 0 426.513 -0.593 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000443034092 1072467141 /nfs/dbraw/zinc/46/71/41/1072467141.db2.gz COSIMGSLGYLYRI-LLVKDONJSA-N 0 0 429.480 -0.392 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000443034093 1072467229 /nfs/dbraw/zinc/46/72/29/1072467229.db2.gz COSIMGSLGYLYRI-NSHDSACASA-N 0 0 429.480 -0.392 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NC(C)C)c(C(=O)OC)s1 ZINC000443035848 1072467169 /nfs/dbraw/zinc/46/71/69/1072467169.db2.gz DFPWONGXVTVJQB-UHFFFAOYSA-N 0 0 428.510 -0.073 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1cc(C(=O)OC)sc1C(=O)OC ZINC000443036021 1072467216 /nfs/dbraw/zinc/46/72/16/1072467216.db2.gz WBJBNWTXQIJTQW-UHFFFAOYSA-N 0 0 428.510 -0.071 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(CNS(=O)(=O)c2ccc(OC)nc2)OC)cn1 ZINC000443038397 1072467189 /nfs/dbraw/zinc/46/71/89/1072467189.db2.gz OSIZNHPZPPDKQF-UHFFFAOYSA-N 0 0 446.507 -0.234 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000443038904 1072467136 /nfs/dbraw/zinc/46/71/36/1072467136.db2.gz PVELKFJRTWYVQP-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN Cc1nccnc1N1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC000443039001 1072467166 /nfs/dbraw/zinc/46/71/66/1072467166.db2.gz RRAKZISJWPMDMM-INIZCTEOSA-N 0 0 430.490 -0.113 20 0 IBADRN Cc1nccnc1N1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC000443039002 1072467251 /nfs/dbraw/zinc/46/72/51/1072467251.db2.gz RRAKZISJWPMDMM-MRXNPFEDSA-N 0 0 430.490 -0.113 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCOC[C@@H]1c1noc(COC)n1 ZINC000443041189 1072467853 /nfs/dbraw/zinc/46/78/53/1072467853.db2.gz WBCYONQSHXTBGW-LLVKDONJSA-N 0 0 432.480 -0.114 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCOC[C@H]1c1noc(COC)n1 ZINC000443041437 1072467863 /nfs/dbraw/zinc/46/78/63/1072467863.db2.gz WBCYONQSHXTBGW-NSHDSACASA-N 0 0 432.480 -0.114 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000443041604 1072467747 /nfs/dbraw/zinc/46/77/47/1072467747.db2.gz BQLWZRDCUULWHT-JTQLQIEISA-N 0 0 426.314 -0.010 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000443041607 1072467812 /nfs/dbraw/zinc/46/78/12/1072467812.db2.gz BQLWZRDCUULWHT-SNVBAGLBSA-N 0 0 426.314 -0.010 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000443041985 1072467801 /nfs/dbraw/zinc/46/78/01/1072467801.db2.gz LYNAFQVSMHAQQO-GFCCVEGCSA-N 0 0 443.507 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000443041988 1072467819 /nfs/dbraw/zinc/46/78/19/1072467819.db2.gz LYNAFQVSMHAQQO-LBPRGKRZSA-N 0 0 443.507 -0.001 20 0 IBADRN Cc1cnc(CCNS(=O)(=O)CCCS(=O)(=O)NCCc2ncc(C)cn2)nc1 ZINC000443042676 1072467790 /nfs/dbraw/zinc/46/77/90/1072467790.db2.gz YJZLTSWZFCLASE-UHFFFAOYSA-N 0 0 442.567 -0.102 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000443044265 1072465496 /nfs/dbraw/zinc/46/54/96/1072465496.db2.gz JOFQLPAMZCPBBL-UHFFFAOYSA-N 0 0 433.552 -0.558 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)C1 ZINC000443044977 1072465625 /nfs/dbraw/zinc/46/56/25/1072465625.db2.gz IGIRFPUWCPLPPB-SECBINFHSA-N 0 0 435.919 -0.286 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)C1 ZINC000443044980 1072465583 /nfs/dbraw/zinc/46/55/83/1072465583.db2.gz IGIRFPUWCPLPPB-VIFPVBQESA-N 0 0 435.919 -0.286 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C(=O)N(C)C)cc1 ZINC000443045712 1072465640 /nfs/dbraw/zinc/46/56/40/1072465640.db2.gz JFWFXNPXDXPUCS-HNNXBMFYSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C(=O)N(C)C)cc1 ZINC000443045719 1072465575 /nfs/dbraw/zinc/46/55/75/1072465575.db2.gz JFWFXNPXDXPUCS-OAHLLOKOSA-N 0 0 427.479 -0.543 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3cc(Br)cc(C(N)=O)c3)C2)nn1 ZINC000443046174 1072465647 /nfs/dbraw/zinc/46/56/47/1072465647.db2.gz SNLRMHZLRIWNLR-UHFFFAOYSA-N 0 0 429.256 -0.516 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1=O ZINC000443046326 1072465544 /nfs/dbraw/zinc/46/55/44/1072465544.db2.gz SYYLTHKKUJVGDK-GFCCVEGCSA-N 0 0 448.295 -0.010 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1=O ZINC000443046330 1072465654 /nfs/dbraw/zinc/46/56/54/1072465654.db2.gz SYYLTHKKUJVGDK-LBPRGKRZSA-N 0 0 448.295 -0.010 20 0 IBADRN CO[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](C)OC)[C@H](c2cnn(C)c2)C1 ZINC000443047093 1072466203 /nfs/dbraw/zinc/46/62/03/1072466203.db2.gz UWGSYCKODBHZDN-FMKPAKJESA-N 0 0 438.572 -0.192 20 0 IBADRN CO[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](C)OC)[C@@H](c2cnn(C)c2)C1 ZINC000443047096 1072466207 /nfs/dbraw/zinc/46/62/07/1072466207.db2.gz UWGSYCKODBHZDN-IIAWOOMASA-N 0 0 438.572 -0.192 20 0 IBADRN CO[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@H](C)OC)[C@@H](c2cnn(C)c2)C1 ZINC000443047342 1072465672 /nfs/dbraw/zinc/46/56/72/1072465672.db2.gz UWGSYCKODBHZDN-IJEWVQPXSA-N 0 0 438.572 -0.192 20 0 IBADRN CO[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@H](C)OC)[C@H](c2cnn(C)c2)C1 ZINC000443047344 1072466199 /nfs/dbraw/zinc/46/61/99/1072466199.db2.gz UWGSYCKODBHZDN-YCPHGPKFSA-N 0 0 438.572 -0.192 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000443048048 1072466159 /nfs/dbraw/zinc/46/61/59/1072466159.db2.gz VXGCNVKFOCXCKZ-CYBMUJFWSA-N 0 0 441.535 -0.202 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000443048049 1072466239 /nfs/dbraw/zinc/46/62/39/1072466239.db2.gz VXGCNVKFOCXCKZ-ZDUSSCGKSA-N 0 0 441.535 -0.202 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2cc(OC)ncn2)CC1 ZINC000443048221 1072466070 /nfs/dbraw/zinc/46/60/70/1072466070.db2.gz WJDUXQHKWHBNGE-UHFFFAOYSA-N 0 0 427.508 -0.096 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(n2cc(C(=O)OC)nn2)CC1 ZINC000443048324 1072466241 /nfs/dbraw/zinc/46/62/41/1072466241.db2.gz QUXFCZMBPYGBMR-UHFFFAOYSA-N 0 0 443.507 -0.001 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000443048443 1072466211 /nfs/dbraw/zinc/46/62/11/1072466211.db2.gz NRKNJNXLMNMGEE-JTQLQIEISA-N 0 0 426.314 -0.010 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000443048444 1072466089 /nfs/dbraw/zinc/46/60/89/1072466089.db2.gz NRKNJNXLMNMGEE-SNVBAGLBSA-N 0 0 426.314 -0.010 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccccc1C(=O)OCC ZINC000443048492 1072466103 /nfs/dbraw/zinc/46/61/03/1072466103.db2.gz NXBRCRYLLXKPQL-AWEZNQCLSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccccc1C(=O)OCC ZINC000443048493 1072466253 /nfs/dbraw/zinc/46/62/53/1072466253.db2.gz NXBRCRYLLXKPQL-CQSZACIVSA-N 0 0 428.463 -0.068 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)C1=O ZINC000443049283 1072466223 /nfs/dbraw/zinc/46/62/23/1072466223.db2.gz XZEKNEKLOMMATG-GFCCVEGCSA-N 0 0 428.858 -0.038 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)C1=O ZINC000443049292 1072466112 /nfs/dbraw/zinc/46/61/12/1072466112.db2.gz XZEKNEKLOMMATG-LBPRGKRZSA-N 0 0 428.858 -0.038 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC ZINC000443049706 1072467194 /nfs/dbraw/zinc/46/71/94/1072467194.db2.gz PHIIUHJDNNFSTR-LBPRGKRZSA-N 0 0 435.524 -0.423 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC000443050692 1072468442 /nfs/dbraw/zinc/46/84/42/1072468442.db2.gz VDLWQQMXZYIULE-LLVKDONJSA-N 0 0 435.871 -0.519 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC000443050695 1072468564 /nfs/dbraw/zinc/46/85/64/1072468564.db2.gz VDLWQQMXZYIULE-NSHDSACASA-N 0 0 435.871 -0.519 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000443051840 1072468370 /nfs/dbraw/zinc/46/83/70/1072468370.db2.gz SPEQCILVAIJYSH-JTQLQIEISA-N 0 0 427.298 -0.360 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000443051842 1072468555 /nfs/dbraw/zinc/46/85/55/1072468555.db2.gz SPEQCILVAIJYSH-SNVBAGLBSA-N 0 0 427.298 -0.360 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000443051918 1072468436 /nfs/dbraw/zinc/46/84/36/1072468436.db2.gz UVGLOROXROIHHV-NEPJUHHUSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000443051920 1072468549 /nfs/dbraw/zinc/46/85/49/1072468549.db2.gz UVGLOROXROIHHV-NWDGAFQWSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000443051921 1072468408 /nfs/dbraw/zinc/46/84/08/1072468408.db2.gz UVGLOROXROIHHV-RYUDHWBXSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000443051923 1072468515 /nfs/dbraw/zinc/46/85/15/1072468515.db2.gz UVGLOROXROIHHV-VXGBXAGGSA-N 0 0 425.554 -0.267 20 0 IBADRN CN(CCc1cnccn1)S(=O)(=O)CCCS(=O)(=O)N(C)CCc1cnccn1 ZINC000443053889 1072468399 /nfs/dbraw/zinc/46/83/99/1072468399.db2.gz YGQIJKHTSBIODL-UHFFFAOYSA-N 0 0 442.567 -0.035 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NCCCN2CCC[C@H]2C(N)=O)c1 ZINC000443073457 1072467838 /nfs/dbraw/zinc/46/78/38/1072467838.db2.gz RCFSFDDZLAUJET-AWEZNQCLSA-N 0 0 427.479 -0.122 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NCCCN2CCC[C@@H]2C(N)=O)c1 ZINC000443073475 1072467869 /nfs/dbraw/zinc/46/78/69/1072467869.db2.gz RCFSFDDZLAUJET-CQSZACIVSA-N 0 0 427.479 -0.122 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2csnc2C(=O)OC)c1 ZINC000443076275 1072468987 /nfs/dbraw/zinc/46/89/87/1072468987.db2.gz WSYDCUOHWVHHNL-UHFFFAOYSA-N 0 0 448.504 -0.245 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)cn1 ZINC000443076290 1072468873 /nfs/dbraw/zinc/46/88/73/1072468873.db2.gz JVVAARKOPAWTAU-UHFFFAOYSA-N 0 0 438.434 -0.409 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000443077665 1072469003 /nfs/dbraw/zinc/46/90/03/1072469003.db2.gz MAQBTELOWUOUAH-JTQLQIEISA-N 0 0 427.526 -0.304 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000443077667 1072468933 /nfs/dbraw/zinc/46/89/33/1072468933.db2.gz MAQBTELOWUOUAH-SNVBAGLBSA-N 0 0 427.526 -0.304 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(C(=O)OC)sc1C(=O)OC ZINC000443079380 1072468904 /nfs/dbraw/zinc/46/89/04/1072468904.db2.gz QAUKNGHWKKVVTQ-SECBINFHSA-N 0 0 447.491 -0.663 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(C(=O)OC)sc1C(=O)OC ZINC000443079382 1072469650 /nfs/dbraw/zinc/46/96/50/1072469650.db2.gz QAUKNGHWKKVVTQ-VIFPVBQESA-N 0 0 447.491 -0.663 20 0 IBADRN O=S(=O)(NCCNS(=O)(=O)C1CCS(=O)(=O)CC1)c1ccc(F)cc1F ZINC000443079523 1072468973 /nfs/dbraw/zinc/46/89/73/1072468973.db2.gz OGVVCVJYEWMWNZ-UHFFFAOYSA-N 0 0 432.492 -0.260 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCNC(=O)c2cnccn2)c(C(=O)OC)s1 ZINC000443080873 1072469689 /nfs/dbraw/zinc/46/96/89/1072469689.db2.gz VISJCGBSHPMOBE-UHFFFAOYSA-N 0 0 428.448 -0.180 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000443081157 1072469592 /nfs/dbraw/zinc/46/95/92/1072469592.db2.gz WHCNFBLUTJXDJQ-UHFFFAOYSA-N 0 0 440.507 -0.263 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000443081616 1072469668 /nfs/dbraw/zinc/46/96/68/1072469668.db2.gz XKFSHCQHKGICOC-UHFFFAOYSA-N 0 0 437.482 -0.606 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(C(=O)OC)ccc1C(=O)OC ZINC000443082301 1072469708 /nfs/dbraw/zinc/46/97/08/1072469708.db2.gz ZEHJPESUNCGSOY-CYBMUJFWSA-N 0 0 441.462 -0.725 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(C(=O)OC)ccc1C(=O)OC ZINC000443082303 1072469574 /nfs/dbraw/zinc/46/95/74/1072469574.db2.gz ZEHJPESUNCGSOY-ZDUSSCGKSA-N 0 0 441.462 -0.725 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000443084679 1072468497 /nfs/dbraw/zinc/46/84/97/1072468497.db2.gz ZGUZIGURZPBNLR-UHFFFAOYSA-N 0 0 441.478 -0.038 20 0 IBADRN CCN([C@H]1CCNC1=O)S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000443084845 1072468926 /nfs/dbraw/zinc/46/89/26/1072468926.db2.gz ZXMIMKLYTXRFJG-HNNXBMFYSA-N 0 0 431.536 -0.085 20 0 IBADRN CCN([C@@H]1CCNC1=O)S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000443084857 1072468915 /nfs/dbraw/zinc/46/89/15/1072468915.db2.gz ZXMIMKLYTXRFJG-OAHLLOKOSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2C(=O)NCC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000443085477 1072468538 /nfs/dbraw/zinc/46/85/38/1072468538.db2.gz JQRNYGIBGGWZSK-BBRMVZONSA-N 0 0 431.536 -0.181 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2C(=O)NCC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000443085485 1072468948 /nfs/dbraw/zinc/46/89/48/1072468948.db2.gz JQRNYGIBGGWZSK-CJNGLKHVSA-N 0 0 431.536 -0.181 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2C(=O)NCC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000443085488 1072468911 /nfs/dbraw/zinc/46/89/11/1072468911.db2.gz JQRNYGIBGGWZSK-CZUORRHYSA-N 0 0 431.536 -0.181 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2C(=O)NCC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000443085491 1072468467 /nfs/dbraw/zinc/46/84/67/1072468467.db2.gz JQRNYGIBGGWZSK-XJKSGUPXSA-N 0 0 431.536 -0.181 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000443086843 1072468863 /nfs/dbraw/zinc/46/88/63/1072468863.db2.gz HQSHHMBTXOFOKT-UHFFFAOYSA-N 0 0 431.483 -0.328 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCOC[C@@]23CCOC3)cc1)N1CCOCC1 ZINC000443086949 1072468922 /nfs/dbraw/zinc/46/89/22/1072468922.db2.gz PUASKZJPZQRYEP-KRWDZBQOSA-N 0 0 432.520 -0.113 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCOC[C@]23CCOC3)cc1)N1CCOCC1 ZINC000443086951 1072468892 /nfs/dbraw/zinc/46/88/92/1072468892.db2.gz PUASKZJPZQRYEP-QGZVFWFLSA-N 0 0 432.520 -0.113 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000443087472 1072469016 /nfs/dbraw/zinc/46/90/16/1072469016.db2.gz JZEJBCBBNDYJSA-UHFFFAOYSA-N 0 0 449.523 -0.556 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000443087732 1072468886 /nfs/dbraw/zinc/46/88/86/1072468886.db2.gz KXCBFZDQHZTCMR-UHFFFAOYSA-N 0 0 435.496 -0.898 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@@]23CCOC3)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443089997 1072468999 /nfs/dbraw/zinc/46/89/99/1072468999.db2.gz XLNBBPHUXHUINQ-MSOLQXFVSA-N 0 0 425.463 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@]23CCOC3)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443090000 1072468898 /nfs/dbraw/zinc/46/88/98/1072468898.db2.gz XLNBBPHUXHUINQ-QZTJIDSGSA-N 0 0 425.463 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@@]23CCOC3)cc1[C@]1(C)NC(=O)NC1=O ZINC000443090002 1072468964 /nfs/dbraw/zinc/46/89/64/1072468964.db2.gz XLNBBPHUXHUINQ-ROUUACIJSA-N 0 0 425.463 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@]23CCOC3)cc1[C@]1(C)NC(=O)NC1=O ZINC000443090004 1072468959 /nfs/dbraw/zinc/46/89/59/1072468959.db2.gz XLNBBPHUXHUINQ-ZWKOTPCHSA-N 0 0 425.463 -0.070 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)c1 ZINC000443094108 1072470859 /nfs/dbraw/zinc/47/08/59/1072470859.db2.gz ODUUOLLMNZQWCD-UHFFFAOYSA-N 0 0 434.492 -0.038 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000443095104 1072470938 /nfs/dbraw/zinc/47/09/38/1072470938.db2.gz QKJDVJKJKVMBKK-UHFFFAOYSA-N 0 0 427.495 -0.115 20 0 IBADRN O=S(=O)(NCCNS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(Cl)cc1F ZINC000443096665 1072470813 /nfs/dbraw/zinc/47/08/13/1072470813.db2.gz SKTWSQSALCFINH-UHFFFAOYSA-N 0 0 449.935 -0.678 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000443098069 1072470905 /nfs/dbraw/zinc/47/09/05/1072470905.db2.gz YDAZIBWSGBHBTC-GFCCVEGCSA-N 0 0 425.554 -0.374 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000443098070 1072470782 /nfs/dbraw/zinc/47/07/82/1072470782.db2.gz YDAZIBWSGBHBTC-LBPRGKRZSA-N 0 0 425.554 -0.374 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000443098872 1072471006 /nfs/dbraw/zinc/47/10/06/1072471006.db2.gz BKBIUWHBAGPGCZ-GFCCVEGCSA-N 0 0 437.565 -0.184 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000443098873 1072470895 /nfs/dbraw/zinc/47/08/95/1072470895.db2.gz BKBIUWHBAGPGCZ-LBPRGKRZSA-N 0 0 437.565 -0.184 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000443098925 1072470869 /nfs/dbraw/zinc/47/08/69/1072470869.db2.gz CAWOAYZGLUORIS-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000443098965 1072470735 /nfs/dbraw/zinc/47/07/35/1072470735.db2.gz CEMHNOBHYVSQSH-UHFFFAOYSA-N 0 0 438.487 -0.020 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)c(C(=O)OC)s1 ZINC000443099684 1072471423 /nfs/dbraw/zinc/47/14/23/1072471423.db2.gz USJNWUWWHMSNSV-UHFFFAOYSA-N 0 0 426.494 -0.319 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)c(C(=O)OC)s1 ZINC000443099869 1072470912 /nfs/dbraw/zinc/47/09/12/1072470912.db2.gz GLGGFPWZOJFPFZ-UHFFFAOYSA-N 0 0 433.508 -0.236 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)CC1 ZINC000443100957 1072469603 /nfs/dbraw/zinc/46/96/03/1072469603.db2.gz BRLVOBVBADXZQM-UHFFFAOYSA-N 0 0 427.479 -0.252 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)c1 ZINC000443101027 1072469630 /nfs/dbraw/zinc/46/96/30/1072469630.db2.gz YSNFECCJQAJIID-LLVKDONJSA-N 0 0 434.492 -0.051 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC[C@H](CS(N)(=O)=O)C2)c1 ZINC000443101030 1072469714 /nfs/dbraw/zinc/46/97/14/1072469714.db2.gz YSNFECCJQAJIID-NSHDSACASA-N 0 0 434.492 -0.051 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)sc1C(=O)OC ZINC000443101681 1072469684 /nfs/dbraw/zinc/46/96/84/1072469684.db2.gz DOSOCGDEIBWXKP-MRVPVSSYSA-N 0 0 434.448 -0.627 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)sc1C(=O)OC ZINC000443101682 1072469660 /nfs/dbraw/zinc/46/96/60/1072469660.db2.gz DOSOCGDEIBWXKP-QMMMGPOBSA-N 0 0 434.448 -0.627 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)cn1C ZINC000443102255 1072469720 /nfs/dbraw/zinc/46/97/20/1072469720.db2.gz KUJKXFUJQPRCTE-UHFFFAOYSA-N 0 0 436.462 -0.080 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN2CCN(C(C)=O)CC2)c(C(=O)OC)s1 ZINC000443103661 1072469615 /nfs/dbraw/zinc/46/96/15/1072469615.db2.gz BKRYMIBBIXXRJN-UHFFFAOYSA-N 0 0 433.508 -0.236 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCN(CCO)CC3)cc2n(C)c1=O ZINC000443104425 1072469583 /nfs/dbraw/zinc/46/95/83/1072469583.db2.gz SJZUDZUZCHSVSQ-UHFFFAOYSA-N 0 0 433.328 -0.062 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000443105640 1072470272 /nfs/dbraw/zinc/47/02/72/1072470272.db2.gz CDTKXPBSNQCBQE-UHFFFAOYSA-N 0 0 428.421 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3nccnc3C)CC1)CC2 ZINC000443106504 1072470167 /nfs/dbraw/zinc/47/01/67/1072470167.db2.gz DXKLNYPPSALWHB-UHFFFAOYSA-N 0 0 444.517 -0.069 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)CC1 ZINC000443107092 1072470235 /nfs/dbraw/zinc/47/02/35/1072470235.db2.gz SACSXCQNWRUJEA-UHFFFAOYSA-N 0 0 433.508 -0.190 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NCCN2CCN(C(C)=O)CC2)c1 ZINC000443107794 1072470206 /nfs/dbraw/zinc/47/02/06/1072470206.db2.gz LKNRONDSRUZRNN-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)C1(N2CCOCC2)CCCC1 ZINC000443109866 1072470131 /nfs/dbraw/zinc/47/01/31/1072470131.db2.gz ZVJRMTLVPKJXIL-CYBMUJFWSA-N 0 0 428.511 -0.194 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)C1(N2CCOCC2)CCCC1 ZINC000443109868 1072470185 /nfs/dbraw/zinc/47/01/85/1072470185.db2.gz ZVJRMTLVPKJXIL-ZDUSSCGKSA-N 0 0 428.511 -0.194 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000443111977 1072472891 /nfs/dbraw/zinc/47/28/91/1072472891.db2.gz RHVNIHOBILOPOO-UHFFFAOYSA-N 0 0 438.444 -0.038 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3nccnc3C)CC2)cn1 ZINC000443112093 1072470980 /nfs/dbraw/zinc/47/09/80/1072470980.db2.gz RTCVAADDBWACSJ-UHFFFAOYSA-N 0 0 430.469 -0.969 20 0 IBADRN O=S(=O)(NCC(F)(F)F)c1ccc(S(=O)(=O)N2CCN(CCO)CC2)cc1 ZINC000443113475 1072472832 /nfs/dbraw/zinc/47/28/32/1072472832.db2.gz YPXYTCGBBIIINR-UHFFFAOYSA-N 0 0 431.458 -0.174 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000443113627 1072471441 /nfs/dbraw/zinc/47/14/41/1072471441.db2.gz UFLQPPIMZNEPBG-UHFFFAOYSA-N 0 0 442.480 -0.872 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)cn1 ZINC000443113633 1072471668 /nfs/dbraw/zinc/47/16/68/1072471668.db2.gz UHBDDUOQXXFQBU-UHFFFAOYSA-N 0 0 446.848 -0.806 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000443114143 1072471634 /nfs/dbraw/zinc/47/16/34/1072471634.db2.gz VJDLXCXKMLUAJP-UHFFFAOYSA-N 0 0 428.497 -0.399 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000443114484 1072471652 /nfs/dbraw/zinc/47/16/52/1072471652.db2.gz WBHALJPKHGEYJU-UHFFFAOYSA-N 0 0 428.497 -0.399 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n1 ZINC000443116326 1072471412 /nfs/dbraw/zinc/47/14/12/1072471412.db2.gz PIBPMQGQXXGLDP-UHFFFAOYSA-N 0 0 430.437 0.345 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nccnc3C)CC2)cc1 ZINC000443117550 1072471554 /nfs/dbraw/zinc/47/15/54/1072471554.db2.gz GMJOUKBUVFIJJI-UHFFFAOYSA-N 0 0 440.460 -0.025 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000443118279 1072471566 /nfs/dbraw/zinc/47/15/66/1072471566.db2.gz PINNRQJUEYLKQG-UHFFFAOYSA-N 0 0 447.535 -0.207 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n1 ZINC000443119571 1073332293 /nfs/dbraw/zinc/33/22/93/1073332293.db2.gz YTYULDXASUDJNB-UHFFFAOYSA-N 0 0 445.448 0.231 20 0 IBADRN Cc1ccc(-n2cc(S(=O)(=O)N(C)CCO)cn2)cc1S(=O)(=O)N(C)CCO ZINC000443120386 1072472287 /nfs/dbraw/zinc/47/22/87/1072472287.db2.gz OEWHKYWMURAKPE-UHFFFAOYSA-N 0 0 432.524 -0.594 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CC[N@H+](C)C(C)(C)C2)c1C ZINC000443120640 1072472149 /nfs/dbraw/zinc/47/21/49/1072472149.db2.gz VQXNETVXRVELTN-UHFFFAOYSA-N 0 0 425.555 -0.016 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n1 ZINC000443120917 1073335999 /nfs/dbraw/zinc/33/59/99/1073335999.db2.gz BKDQGZXQLQVWLK-UHFFFAOYSA-N 0 0 431.421 -0.159 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000443121923 1072472197 /nfs/dbraw/zinc/47/21/97/1072472197.db2.gz SXFWCRWPACPLKY-UHFFFAOYSA-N 0 0 438.448 -0.982 20 0 IBADRN C[N@@H+]1CCN(CCNC(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1(C)C ZINC000443123886 1072472128 /nfs/dbraw/zinc/47/21/28/1072472128.db2.gz PONDXLQSZDZTQU-UHFFFAOYSA-N 0 0 425.555 -0.184 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCN1CC[N@H+](C)C(C)(C)C1)CC2 ZINC000443124394 1072472210 /nfs/dbraw/zinc/47/22/10/1072472210.db2.gz SAFFNSKNALVSQD-UHFFFAOYSA-N 0 0 437.566 -0.374 20 0 IBADRN CCOc1ccccc1CN1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)[C@H](C)C1 ZINC000443129349 1072472263 /nfs/dbraw/zinc/47/22/63/1072472263.db2.gz GYBGJBVSHOSZTR-CABCVRRESA-N 0 0 426.539 -0.089 20 0 IBADRN CCOc1ccccc1CN1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)[C@@H](C)C1 ZINC000443129351 1072472300 /nfs/dbraw/zinc/47/23/00/1072472300.db2.gz GYBGJBVSHOSZTR-GJZGRUSLSA-N 0 0 426.539 -0.089 20 0 IBADRN CCOc1ccccc1CN1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)[C@H](C)C1 ZINC000443129353 1072472188 /nfs/dbraw/zinc/47/21/88/1072472188.db2.gz GYBGJBVSHOSZTR-HUUCEWRRSA-N 0 0 426.539 -0.089 20 0 IBADRN CCOc1ccccc1CN1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)[C@@H](C)C1 ZINC000443129355 1072472090 /nfs/dbraw/zinc/47/20/90/1072472090.db2.gz GYBGJBVSHOSZTR-LSDHHAIUSA-N 0 0 426.539 -0.089 20 0 IBADRN COCCc1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n(C)n1 ZINC000443130857 1072472274 /nfs/dbraw/zinc/47/22/74/1072472274.db2.gz IAVRISHDKJQWMJ-UHFFFAOYSA-N 0 0 445.448 -0.080 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n1 ZINC000443131957 1073336504 /nfs/dbraw/zinc/33/65/04/1073336504.db2.gz PNQITFCGDVDAGM-LLVKDONJSA-N 0 0 445.448 0.402 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)n1 ZINC000443131960 1073337911 /nfs/dbraw/zinc/33/79/11/1073337911.db2.gz PNQITFCGDVDAGM-NSHDSACASA-N 0 0 445.448 0.402 20 0 IBADRN COCCn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)cn1 ZINC000443133709 1072472932 /nfs/dbraw/zinc/47/29/32/1072472932.db2.gz NHAPMLIRBWQQKK-UHFFFAOYSA-N 0 0 431.421 -0.159 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3nccnc3C)CC2)cc1OCC(N)=O ZINC000443133976 1072472743 /nfs/dbraw/zinc/47/27/43/1072472743.db2.gz OMRWZSNTSYWTCE-UHFFFAOYSA-N 0 0 428.449 -0.055 20 0 IBADRN CCOc1ccccc1CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)NC)n2)[C@@H](C)C1 ZINC000443133977 1072472796 /nfs/dbraw/zinc/47/27/96/1072472796.db2.gz ONAVMQASHPYENK-HNNXBMFYSA-N 0 0 443.508 0.094 20 0 IBADRN CCOc1ccccc1CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)NC)n2)[C@H](C)C1 ZINC000443133978 1072472978 /nfs/dbraw/zinc/47/29/78/1072472978.db2.gz ONAVMQASHPYENK-OAHLLOKOSA-N 0 0 443.508 0.094 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000443134356 1072472759 /nfs/dbraw/zinc/47/27/59/1072472759.db2.gz AKWKLMBNPFDZPE-UHFFFAOYSA-N 0 0 427.483 -0.997 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)n1 ZINC000443136648 1073336168 /nfs/dbraw/zinc/33/61/68/1073336168.db2.gz XPPKPGFRBJGSHQ-UHFFFAOYSA-N 0 0 447.876 0.355 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)C(=O)Nc1ccn(CC(F)F)n1 ZINC000443136955 1073325677 /nfs/dbraw/zinc/32/56/77/1073325677.db2.gz SAGVKUDJKHDZDZ-UHFFFAOYSA-N 0 0 437.375 0.460 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000443137536 1072473586 /nfs/dbraw/zinc/47/35/86/1072473586.db2.gz SXGWMGVISWVNNA-UHFFFAOYSA-N 0 0 425.449 -0.713 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(c3nccnc3C)CC2)c1=O ZINC000443137773 1072472767 /nfs/dbraw/zinc/47/27/67/1072472767.db2.gz ZLFINOCDDJDLNO-UHFFFAOYSA-N 0 0 428.449 -0.203 20 0 IBADRN CO[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@@H](C)C1 ZINC000443138511 1072473482 /nfs/dbraw/zinc/47/34/82/1072473482.db2.gz VOXGWYGSGTVWDC-DZGCQCFKSA-N 0 0 438.506 -0.229 20 0 IBADRN CO[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@H](C)C1 ZINC000443138512 1072473455 /nfs/dbraw/zinc/47/34/55/1072473455.db2.gz VOXGWYGSGTVWDC-HIFRSBDPSA-N 0 0 438.506 -0.229 20 0 IBADRN CO[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@H](C)C1 ZINC000443138513 1072473446 /nfs/dbraw/zinc/47/34/46/1072473446.db2.gz VOXGWYGSGTVWDC-UKRRQHHQSA-N 0 0 438.506 -0.229 20 0 IBADRN CO[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@@H](C)C1 ZINC000443138514 1072473452 /nfs/dbraw/zinc/47/34/52/1072473452.db2.gz VOXGWYGSGTVWDC-ZFWWWQNUSA-N 0 0 438.506 -0.229 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000443141789 1072472752 /nfs/dbraw/zinc/47/27/52/1072472752.db2.gz NEWQIHAZDUPRCG-UHFFFAOYSA-N 0 0 434.540 -0.323 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CC1 ZINC000443145303 1072472851 /nfs/dbraw/zinc/47/28/51/1072472851.db2.gz MXSQKAMSKKXMAF-UHFFFAOYSA-N 0 0 447.492 -0.345 20 0 IBADRN Cc1cc(N2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000443146031 1072474055 /nfs/dbraw/zinc/47/40/55/1072474055.db2.gz KPKZXELVOUPWCR-UHFFFAOYSA-N 0 0 444.492 -0.341 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(OCCN2CCNC2=O)cc1C ZINC000443146989 1072474684 /nfs/dbraw/zinc/47/46/84/1072474684.db2.gz NUFQOZRJMAFRCT-UHFFFAOYSA-N 0 0 441.510 -0.607 20 0 IBADRN Cc1cc(N2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000443148268 1072474851 /nfs/dbraw/zinc/47/48/51/1072474851.db2.gz UJIVCGTXVDHCJM-UHFFFAOYSA-N 0 0 442.476 -0.587 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCC(=O)N1CCOCC1 ZINC000443148476 1072474921 /nfs/dbraw/zinc/47/49/21/1072474921.db2.gz SUANHUVCHVUQKY-UHFFFAOYSA-N 0 0 447.492 -0.297 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCN(C(=O)c4ccccc4O)CC3)cnc21 ZINC000443151979 1072474800 /nfs/dbraw/zinc/47/48/00/1072474800.db2.gz IJCOYTVBNHMQDH-UHFFFAOYSA-N 0 0 445.457 -0.114 20 0 IBADRN Cc1cc(N2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000443151983 1072474864 /nfs/dbraw/zinc/47/48/64/1072474864.db2.gz IJDUUHDAYXKJFI-UHFFFAOYSA-N 0 0 428.493 -0.114 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(CCO)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443153264 1072474899 /nfs/dbraw/zinc/47/48/99/1072474899.db2.gz DBVOFRUPUSPBHW-GOSISDBHSA-N 0 0 426.495 -0.562 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(CCO)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443153266 1072474876 /nfs/dbraw/zinc/47/48/76/1072474876.db2.gz DBVOFRUPUSPBHW-SFHVURJKSA-N 0 0 426.495 -0.562 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCCN(CCO)CC2)cc1)N1CCOCC1 ZINC000443153683 1072474728 /nfs/dbraw/zinc/47/47/28/1072474728.db2.gz HCESYPDJLDWWPK-UHFFFAOYSA-N 0 0 433.552 -0.604 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCCNS(C)(=O)=O ZINC000443154552 1072474781 /nfs/dbraw/zinc/47/47/81/1072474781.db2.gz NUOBIEZEJHMEMO-UHFFFAOYSA-N 0 0 441.510 -0.607 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H](O)CN3CCc4ccccc4C3)cnc21 ZINC000443157363 1072475455 /nfs/dbraw/zinc/47/54/55/1072475455.db2.gz VPXBZWMTQVDBRL-OAHLLOKOSA-N 0 0 445.501 -0.268 20 0 IBADRN CC(C)OCCOC[C@H](O)CN(C)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000443165297 1072474191 /nfs/dbraw/zinc/47/41/91/1072474191.db2.gz DPZGHEVOOACYSD-GFCCVEGCSA-N 0 0 430.483 -0.543 20 0 IBADRN CC(C)OCCOC[C@@H](O)CN(C)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000443165298 1072474098 /nfs/dbraw/zinc/47/40/98/1072474098.db2.gz DPZGHEVOOACYSD-LBPRGKRZSA-N 0 0 430.483 -0.543 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)cn1C ZINC000443167093 1072475427 /nfs/dbraw/zinc/47/54/27/1072475427.db2.gz JXWAGSQMJJEFPB-CYBMUJFWSA-N 0 0 436.490 -0.012 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)cn1C ZINC000443167095 1072475533 /nfs/dbraw/zinc/47/55/33/1072475533.db2.gz JXWAGSQMJJEFPB-ZDUSSCGKSA-N 0 0 436.490 -0.012 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NC[C@@H](O)CN3CCc4ccccc4C3)cc2[nH]c1=O ZINC000443167845 1072475440 /nfs/dbraw/zinc/47/54/40/1072475440.db2.gz MEVJDFMTORJFRJ-OAHLLOKOSA-N 0 0 430.486 -0.086 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](O)COc2cccc(C(F)(F)F)c2)c(=O)n(C)c1=O ZINC000443168916 1072476157 /nfs/dbraw/zinc/47/61/57/1072476157.db2.gz BRDQHLNFZQCTHB-LLVKDONJSA-N 0 0 437.396 -0.179 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](O)COc2cccc(C(F)(F)F)c2)c(=O)n(C)c1=O ZINC000443168917 1072476121 /nfs/dbraw/zinc/47/61/21/1072476121.db2.gz BRDQHLNFZQCTHB-NSHDSACASA-N 0 0 437.396 -0.179 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)cn1 ZINC000443169351 1072475470 /nfs/dbraw/zinc/47/54/70/1072475470.db2.gz DAUWMBFQVWOEDC-CYBMUJFWSA-N 0 0 438.462 -0.341 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)cn1 ZINC000443169352 1072475572 /nfs/dbraw/zinc/47/55/72/1072475572.db2.gz DAUWMBFQVWOEDC-ZDUSSCGKSA-N 0 0 438.462 -0.341 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NC[C@H](O)COc3ccc4c(c3)OCO4)cc2[nH]c1=O ZINC000443171170 1072476200 /nfs/dbraw/zinc/47/62/00/1072476200.db2.gz JCRGVPWZOSEIKI-JTQLQIEISA-N 0 0 435.414 -0.337 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NC[C@@H](O)COc3ccc4c(c3)OCO4)cc2[nH]c1=O ZINC000443171171 1072476004 /nfs/dbraw/zinc/47/60/04/1072476004.db2.gz JCRGVPWZOSEIKI-SNVBAGLBSA-N 0 0 435.414 -0.337 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000443176222 1072475609 /nfs/dbraw/zinc/47/56/09/1072475609.db2.gz DUYWMRROHHKAJR-CYBMUJFWSA-N 0 0 435.524 -0.830 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000443176228 1072475407 /nfs/dbraw/zinc/47/54/07/1072475407.db2.gz DUYWMRROHHKAJR-ZDUSSCGKSA-N 0 0 435.524 -0.830 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](O)COc2ccc(C(F)(F)F)cc2)c(=O)n(C)c1=O ZINC000443178353 1072475616 /nfs/dbraw/zinc/47/56/16/1072475616.db2.gz YLIOEXVBGNAMAR-LLVKDONJSA-N 0 0 437.396 -0.179 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](O)COc2ccc(C(F)(F)F)cc2)c(=O)n(C)c1=O ZINC000443178354 1072475395 /nfs/dbraw/zinc/47/53/95/1072475395.db2.gz YLIOEXVBGNAMAR-NSHDSACASA-N 0 0 437.396 -0.179 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000443182169 1072475557 /nfs/dbraw/zinc/47/55/57/1072475557.db2.gz FXRZRDOCVBHDQK-UHFFFAOYSA-N 0 0 439.538 -0.085 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)c1 ZINC000443188388 1072476093 /nfs/dbraw/zinc/47/60/93/1072476093.db2.gz FXZKUYOFPQXJCD-LLVKDONJSA-N 0 0 430.460 -0.219 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)c1 ZINC000443188390 1072476190 /nfs/dbraw/zinc/47/61/90/1072476190.db2.gz FXZKUYOFPQXJCD-NSHDSACASA-N 0 0 430.460 -0.219 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000443189453 1072476115 /nfs/dbraw/zinc/47/61/15/1072476115.db2.gz BPFYQSIMPUAYCD-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000443189455 1072476017 /nfs/dbraw/zinc/47/60/17/1072476017.db2.gz BPFYQSIMPUAYCD-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN CC(C)(O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000443201105 1072477260 /nfs/dbraw/zinc/47/72/60/1072477260.db2.gz HOTUZDMZQCIEFW-UHFFFAOYSA-N 0 0 447.579 -0.215 20 0 IBADRN CS(=O)(=O)N1CC(CNS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1 ZINC000443202934 1072477277 /nfs/dbraw/zinc/47/72/77/1072477277.db2.gz WGEFGFXTJIHSMI-UHFFFAOYSA-N 0 0 426.314 -0.282 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c1 ZINC000443203066 1072477498 /nfs/dbraw/zinc/47/74/98/1072477498.db2.gz KYWUVDHGXCDYSG-AWEZNQCLSA-N 0 0 431.268 -0.089 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c1 ZINC000443203068 1072477447 /nfs/dbraw/zinc/47/74/47/1072477447.db2.gz KYWUVDHGXCDYSG-CQSZACIVSA-N 0 0 431.268 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000443203334 1072477375 /nfs/dbraw/zinc/47/73/75/1072477375.db2.gz ZNGSFUMMPGKPSG-UHFFFAOYSA-N 0 0 445.523 -0.369 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CC(C)(C)O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443205320 1072477268 /nfs/dbraw/zinc/47/72/68/1072477268.db2.gz CFAFYSFEHYWIOO-IBGZPJMESA-N 0 0 440.522 -0.173 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CC(C)(C)O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443205321 1072477387 /nfs/dbraw/zinc/47/73/87/1072477387.db2.gz CFAFYSFEHYWIOO-LJQANCHMSA-N 0 0 440.522 -0.173 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC(c4ccnn4CCO)CC3)cnc21 ZINC000443206043 1072478230 /nfs/dbraw/zinc/47/82/30/1072478230.db2.gz VQZUCZWVWHIOPC-UHFFFAOYSA-N 0 0 434.478 -0.209 20 0 IBADRN COC[C@@H](CNS(=O)(=O)c1ccc(OC)nc1)NS(=O)(=O)c1ccc(OC)nc1 ZINC000443209095 1072478069 /nfs/dbraw/zinc/47/80/69/1072478069.db2.gz QYDCAUFFEOWXEL-GFCCVEGCSA-N 0 0 446.507 -0.234 20 0 IBADRN COC[C@H](CNS(=O)(=O)c1ccc(OC)nc1)NS(=O)(=O)c1ccc(OC)nc1 ZINC000443209096 1072478080 /nfs/dbraw/zinc/47/80/80/1072478080.db2.gz QYDCAUFFEOWXEL-LBPRGKRZSA-N 0 0 446.507 -0.234 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000443209216 1072478001 /nfs/dbraw/zinc/47/80/01/1072478001.db2.gz DTTWAFLQVRFWHG-UHFFFAOYSA-N 0 0 437.478 -0.486 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccccc1Cn1ccnc1)C2 ZINC000443210547 1072478041 /nfs/dbraw/zinc/47/80/41/1072478041.db2.gz IINXQVVBHHCROX-UHFFFAOYSA-N 0 0 445.505 -0.418 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000443212335 1072476702 /nfs/dbraw/zinc/47/67/02/1072476702.db2.gz MHYBOHNKXOTXAU-UHFFFAOYSA-N 0 0 448.544 -0.161 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000443212456 1072476681 /nfs/dbraw/zinc/47/66/81/1072476681.db2.gz DXGJADPGUQKASA-UHFFFAOYSA-N 0 0 430.508 -0.262 20 0 IBADRN O=C1CN(S(=O)(=O)CCCS(=O)(=O)N2CC(=O)N(CC3CC3)C2)CN1CC1CC1 ZINC000443213280 1072476598 /nfs/dbraw/zinc/47/65/98/1072476598.db2.gz HBTNXJRCWPNMAO-UHFFFAOYSA-N 0 0 448.567 -0.941 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](O)COCC2CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443213354 1072476839 /nfs/dbraw/zinc/47/68/39/1072476839.db2.gz QCLDLWDOEDQKJR-KPZWWZAWSA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H](O)COCC2CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443213355 1072476720 /nfs/dbraw/zinc/47/67/20/1072476720.db2.gz QCLDLWDOEDQKJR-KZULUSFZSA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](O)COCC2CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443213356 1072476640 /nfs/dbraw/zinc/47/66/40/1072476640.db2.gz QCLDLWDOEDQKJR-SGTLLEGYSA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H](O)COCC2CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443213357 1072476736 /nfs/dbraw/zinc/47/67/36/1072476736.db2.gz QCLDLWDOEDQKJR-XIKOKIGWSA-N 0 0 427.479 -0.184 20 0 IBADRN O=S(=O)(NC[C@H](O)COCC1CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443217361 1072478600 /nfs/dbraw/zinc/47/86/00/1072478600.db2.gz PQLJIHDJTGDDNP-HNNXBMFYSA-N 0 0 434.536 -0.227 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H](O)COc2ccc(F)c(F)c2)CC1 ZINC000443217365 1072478622 /nfs/dbraw/zinc/47/86/22/1072478622.db2.gz CZEYHCQMIIMSAO-LLVKDONJSA-N 0 0 429.467 -0.884 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H](O)COc2ccc(F)c(F)c2)CC1 ZINC000443217366 1072478614 /nfs/dbraw/zinc/47/86/14/1072478614.db2.gz CZEYHCQMIIMSAO-NSHDSACASA-N 0 0 429.467 -0.884 20 0 IBADRN O=S(=O)(NC[C@@H](O)COCC1CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443217369 1072478570 /nfs/dbraw/zinc/47/85/70/1072478570.db2.gz PQLJIHDJTGDDNP-OAHLLOKOSA-N 0 0 434.536 -0.227 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCN(C(=O)c4cccc(O)c4)CC3)cnc21 ZINC000443217634 1072478748 /nfs/dbraw/zinc/47/87/48/1072478748.db2.gz RVCBNTLCGPOJGM-UHFFFAOYSA-N 0 0 445.457 -0.114 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc(C(=O)N(C)C)cc1)C2 ZINC000443222129 1072478688 /nfs/dbraw/zinc/47/86/88/1072478688.db2.gz XIZHQKWASVRQAC-UHFFFAOYSA-N 0 0 436.494 -0.961 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC000443225513 1072478724 /nfs/dbraw/zinc/47/87/24/1072478724.db2.gz KVXLVDCLBSIFOG-UHFFFAOYSA-N 0 0 443.345 -0.341 20 0 IBADRN CC(C)(C)C[C@@H](CNS(=O)(=O)N1CCOCC1)NS(=O)(=O)N1CCOCC1 ZINC000443226502 1072478580 /nfs/dbraw/zinc/47/85/80/1072478580.db2.gz NJQCKPDUVXBCHN-AWEZNQCLSA-N 0 0 428.577 -0.876 20 0 IBADRN CC(C)(C)C[C@H](CNS(=O)(=O)N1CCOCC1)NS(=O)(=O)N1CCOCC1 ZINC000443226507 1072478677 /nfs/dbraw/zinc/47/86/77/1072478677.db2.gz NJQCKPDUVXBCHN-CQSZACIVSA-N 0 0 428.577 -0.876 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H](Cc2ccccc2)[C@H](O)C(F)F)CC1 ZINC000443227001 1072478591 /nfs/dbraw/zinc/47/85/91/1072478591.db2.gz WSMWXPNEOLBFCP-KBPBESRZSA-N 0 0 427.495 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H](Cc2ccccc2)[C@H](O)C(F)F)CC1 ZINC000443227007 1072478665 /nfs/dbraw/zinc/47/86/65/1072478665.db2.gz WSMWXPNEOLBFCP-KGLIPLIRSA-N 0 0 427.495 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H](Cc2ccccc2)[C@@H](O)C(F)F)CC1 ZINC000443227328 1072478544 /nfs/dbraw/zinc/47/85/44/1072478544.db2.gz WSMWXPNEOLBFCP-UONOGXRCSA-N 0 0 427.495 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H](Cc2ccccc2)[C@@H](O)C(F)F)CC1 ZINC000443227330 1072478630 /nfs/dbraw/zinc/47/86/30/1072478630.db2.gz WSMWXPNEOLBFCP-ZIAGYGMSSA-N 0 0 427.495 -0.365 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCCNC(=O)c3cccc(O)c3)cnc21 ZINC000443229006 1072479207 /nfs/dbraw/zinc/47/92/07/1072479207.db2.gz SZQPPHPXADTTET-UHFFFAOYSA-N 0 0 433.446 -0.162 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC2CCN(C(=O)c3ccccc3O)CC2)CC1 ZINC000443230480 1072479454 /nfs/dbraw/zinc/47/94/54/1072479454.db2.gz XWLCUNJOPXZJPS-UHFFFAOYSA-N 0 0 446.551 -0.592 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000443236140 1072480249 /nfs/dbraw/zinc/48/02/49/1072480249.db2.gz WUPNNIPDSZKNAU-UHFFFAOYSA-N 0 0 449.536 -0.205 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000443236174 1072480223 /nfs/dbraw/zinc/48/02/23/1072480223.db2.gz DZMIRSIYNOBHCW-GOSISDBHSA-N 0 0 430.479 -0.379 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000443236175 1072480019 /nfs/dbraw/zinc/48/00/19/1072480019.db2.gz DZMIRSIYNOBHCW-SFHVURJKSA-N 0 0 430.479 -0.379 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000443236589 1072479316 /nfs/dbraw/zinc/47/93/16/1072479316.db2.gz AREOBYJWFICSGZ-BBRMVZONSA-N 0 0 437.522 -0.798 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000443236591 1072479348 /nfs/dbraw/zinc/47/93/48/1072479348.db2.gz AREOBYJWFICSGZ-CJNGLKHVSA-N 0 0 437.522 -0.798 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000443236594 1072479369 /nfs/dbraw/zinc/47/93/69/1072479369.db2.gz AREOBYJWFICSGZ-CZUORRHYSA-N 0 0 437.522 -0.798 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000443236596 1072479440 /nfs/dbraw/zinc/47/94/40/1072479440.db2.gz AREOBYJWFICSGZ-XJKSGUPXSA-N 0 0 437.522 -0.798 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)CN1CCOCC1 ZINC000443238045 1072479998 /nfs/dbraw/zinc/47/99/98/1072479998.db2.gz KFDAJEOTACCONV-GOSISDBHSA-N 0 0 447.579 -0.167 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)CN1CCOCC1 ZINC000443238048 1072479953 /nfs/dbraw/zinc/47/99/53/1072479953.db2.gz KFDAJEOTACCONV-SFHVURJKSA-N 0 0 447.579 -0.167 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)CN1CCOCC1 ZINC000443238421 1072480089 /nfs/dbraw/zinc/48/00/89/1072480089.db2.gz FRZUCDTURLBZLA-GOSISDBHSA-N 0 0 447.579 -0.167 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)CN1CCOCC1 ZINC000443238423 1072480268 /nfs/dbraw/zinc/48/02/68/1072480268.db2.gz FRZUCDTURLBZLA-SFHVURJKSA-N 0 0 447.579 -0.167 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@H]2C)cc1S(=O)(=O)N(C)C ZINC000443238689 1072480215 /nfs/dbraw/zinc/48/02/15/1072480215.db2.gz MMZZAIFEECBVRM-ABAIWWIYSA-N 0 0 426.495 -0.085 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@H]2C)cc1S(=O)(=O)N(C)C ZINC000443238690 1072479943 /nfs/dbraw/zinc/47/99/43/1072479943.db2.gz MMZZAIFEECBVRM-IAQYHMDHSA-N 0 0 426.495 -0.085 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@@H]2C)cc1S(=O)(=O)N(C)C ZINC000443238691 1072479932 /nfs/dbraw/zinc/47/99/32/1072479932.db2.gz MMZZAIFEECBVRM-NHYWBVRUSA-N 0 0 426.495 -0.085 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@@H]2C)cc1S(=O)(=O)N(C)C ZINC000443238692 1072480007 /nfs/dbraw/zinc/48/00/07/1072480007.db2.gz MMZZAIFEECBVRM-XHDPSFHLSA-N 0 0 426.495 -0.085 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@@H]2C)cc1 ZINC000443240189 1072480816 /nfs/dbraw/zinc/48/08/16/1072480816.db2.gz AHANGHJYHYTXOS-HUBLWGQQSA-N 0 0 426.495 -0.421 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@@H]2C)cc1 ZINC000443240190 1072480697 /nfs/dbraw/zinc/48/06/97/1072480697.db2.gz AHANGHJYHYTXOS-SLEUVZQESA-N 0 0 426.495 -0.421 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@H]2C)cc1 ZINC000443240191 1072480684 /nfs/dbraw/zinc/48/06/84/1072480684.db2.gz AHANGHJYHYTXOS-TYNCELHUSA-N 0 0 426.495 -0.421 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@H]2C)cc1 ZINC000443240192 1072480749 /nfs/dbraw/zinc/48/07/49/1072480749.db2.gz AHANGHJYHYTXOS-XUJVJEKNSA-N 0 0 426.495 -0.421 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000443240286 1072480233 /nfs/dbraw/zinc/48/02/33/1072480233.db2.gz ADYDABMFSLXWMB-BEFAXECRSA-N 0 0 445.520 -0.061 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000443240287 1072480721 /nfs/dbraw/zinc/48/07/21/1072480721.db2.gz ADYDABMFSLXWMB-DNVCBOLYSA-N 0 0 445.520 -0.061 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000443240288 1072480849 /nfs/dbraw/zinc/48/08/49/1072480849.db2.gz ADYDABMFSLXWMB-HNAYVOBHSA-N 0 0 445.520 -0.061 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000443240289 1072480743 /nfs/dbraw/zinc/48/07/43/1072480743.db2.gz ADYDABMFSLXWMB-KXBFYZLASA-N 0 0 445.520 -0.061 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC3([S@@](C)=O)CC3)cc2)CC1 ZINC000443242618 1072479251 /nfs/dbraw/zinc/47/92/51/1072479251.db2.gz DQSYQSLPJSKTQG-MUUNZHRXSA-N 0 0 442.563 -0.412 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC3([S@](C)=O)CC3)cc2)CC1 ZINC000443242622 1072480066 /nfs/dbraw/zinc/48/00/66/1072480066.db2.gz DQSYQSLPJSKTQG-NDEPHWFRSA-N 0 0 442.563 -0.412 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1)CN1CCOCC1 ZINC000443245693 1072481494 /nfs/dbraw/zinc/48/14/94/1072481494.db2.gz GWBXCJOEQIBNKZ-HNNXBMFYSA-N 0 0 425.504 -0.441 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1)CN1CCOCC1 ZINC000443245696 1072481417 /nfs/dbraw/zinc/48/14/17/1072481417.db2.gz GWBXCJOEQIBNKZ-OAHLLOKOSA-N 0 0 425.504 -0.441 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)CN1CCOCC1 ZINC000443246113 1072481485 /nfs/dbraw/zinc/48/14/85/1072481485.db2.gz HMLBFHIPQHAUFF-KRWDZBQOSA-N 0 0 433.552 -0.511 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)CN1CCOCC1 ZINC000443246116 1072481508 /nfs/dbraw/zinc/48/15/08/1072481508.db2.gz HMLBFHIPQHAUFF-QGZVFWFLSA-N 0 0 433.552 -0.511 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1C(=O)NCC[C@H]1C ZINC000443246902 1072481550 /nfs/dbraw/zinc/48/15/50/1072481550.db2.gz IELXRDLTAFDXMW-ABAIWWIYSA-N 0 0 426.495 -0.085 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1C(=O)NCC[C@H]1C ZINC000443246903 1072481455 /nfs/dbraw/zinc/48/14/55/1072481455.db2.gz IELXRDLTAFDXMW-IAQYHMDHSA-N 0 0 426.495 -0.085 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1C(=O)NCC[C@@H]1C ZINC000443246904 1072481513 /nfs/dbraw/zinc/48/15/13/1072481513.db2.gz IELXRDLTAFDXMW-NHYWBVRUSA-N 0 0 426.495 -0.085 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1C(=O)NCC[C@@H]1C ZINC000443246906 1072482096 /nfs/dbraw/zinc/48/20/96/1072482096.db2.gz IELXRDLTAFDXMW-XHDPSFHLSA-N 0 0 426.495 -0.085 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000443247567 1072481547 /nfs/dbraw/zinc/48/15/47/1072481547.db2.gz LJMZDUJGLPMHKY-HZMBPMFUSA-N 0 0 425.463 -0.154 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000443247574 1072481528 /nfs/dbraw/zinc/48/15/28/1072481528.db2.gz LJMZDUJGLPMHKY-IINYFYTJSA-N 0 0 425.463 -0.154 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000443247576 1072481519 /nfs/dbraw/zinc/48/15/19/1072481519.db2.gz LJMZDUJGLPMHKY-QMTHXVAHSA-N 0 0 425.463 -0.154 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000443247578 1072481500 /nfs/dbraw/zinc/48/15/00/1072481500.db2.gz LJMZDUJGLPMHKY-YGRLFVJLSA-N 0 0 425.463 -0.154 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1)CN1CCOCC1 ZINC000443247584 1072481538 /nfs/dbraw/zinc/48/15/38/1072481538.db2.gz JLLZDGXCAVMACS-KRWDZBQOSA-N 0 0 433.552 -0.412 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1)CN1CCOCC1 ZINC000443247590 1072481475 /nfs/dbraw/zinc/48/14/75/1072481475.db2.gz JLLZDGXCAVMACS-QGZVFWFLSA-N 0 0 433.552 -0.412 20 0 IBADRN CCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@H]1CCNC1=O ZINC000443247808 1072481940 /nfs/dbraw/zinc/48/19/40/1072481940.db2.gz MCSLUGQJQNQICL-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@@H]1CCNC1=O ZINC000443247811 1072482055 /nfs/dbraw/zinc/48/20/55/1072482055.db2.gz MCSLUGQJQNQICL-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000443248896 1072481886 /nfs/dbraw/zinc/48/18/86/1072481886.db2.gz NLAXFQWMZCQLBE-BBRMVZONSA-N 0 0 437.522 -0.798 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000443248898 1072481929 /nfs/dbraw/zinc/48/19/29/1072481929.db2.gz NLAXFQWMZCQLBE-CJNGLKHVSA-N 0 0 437.522 -0.798 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000443248900 1072481934 /nfs/dbraw/zinc/48/19/34/1072481934.db2.gz NLAXFQWMZCQLBE-CZUORRHYSA-N 0 0 437.522 -0.798 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000443248902 1072482067 /nfs/dbraw/zinc/48/20/67/1072482067.db2.gz NLAXFQWMZCQLBE-XJKSGUPXSA-N 0 0 437.522 -0.798 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)c(C(=O)OC)s1 ZINC000443249259 1072481904 /nfs/dbraw/zinc/48/19/04/1072481904.db2.gz MDDROHNZDHNNPH-INIZCTEOSA-N 0 0 436.508 -0.317 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)c(C(=O)OC)s1 ZINC000443249263 1072481918 /nfs/dbraw/zinc/48/19/18/1072481918.db2.gz MDDROHNZDHNNPH-MRXNPFEDSA-N 0 0 436.508 -0.317 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000443249628 1072481977 /nfs/dbraw/zinc/48/19/77/1072481977.db2.gz OUUNPKRRIGRJDH-BEIWTESXSA-N 0 0 435.502 -0.333 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000443249629 1072481956 /nfs/dbraw/zinc/48/19/56/1072481956.db2.gz OUUNPKRRIGRJDH-DFYVNMARSA-N 0 0 435.502 -0.333 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000443249630 1072482044 /nfs/dbraw/zinc/48/20/44/1072482044.db2.gz OUUNPKRRIGRJDH-JTBPQFFMSA-N 0 0 435.502 -0.333 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000443249631 1072482018 /nfs/dbraw/zinc/48/20/18/1072482018.db2.gz OUUNPKRRIGRJDH-XHDOVSQSSA-N 0 0 435.502 -0.333 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H]1CCNC1=O ZINC000443250642 1072482086 /nfs/dbraw/zinc/48/20/86/1072482086.db2.gz ZAAQATMWIBWIQV-KRWDZBQOSA-N 0 0 431.493 -0.576 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H]1CCNC1=O ZINC000443250647 1072481990 /nfs/dbraw/zinc/48/19/90/1072481990.db2.gz ZAAQATMWIBWIQV-QGZVFWFLSA-N 0 0 431.493 -0.576 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000443251317 1072482005 /nfs/dbraw/zinc/48/20/05/1072482005.db2.gz PAFUNUZXTBRJPZ-GOSISDBHSA-N 0 0 425.511 -0.244 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000443251320 1072482083 /nfs/dbraw/zinc/48/20/83/1072482083.db2.gz PAFUNUZXTBRJPZ-SFHVURJKSA-N 0 0 425.511 -0.244 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1)CN1CCOCC1 ZINC000443252138 1072482631 /nfs/dbraw/zinc/48/26/31/1072482631.db2.gz PPFHSFNQAPSZKR-KRWDZBQOSA-N 0 0 433.552 -0.511 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1)CN1CCOCC1 ZINC000443252141 1072482608 /nfs/dbraw/zinc/48/26/08/1072482608.db2.gz PPFHSFNQAPSZKR-QGZVFWFLSA-N 0 0 433.552 -0.511 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1C(=O)NCC[C@H]1C ZINC000443252456 1072482593 /nfs/dbraw/zinc/48/25/93/1072482593.db2.gz FNOIVPCJZPJZCG-CXAGYDPISA-N 0 0 431.493 -0.338 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F)CN1CCOCC1 ZINC000443252535 1072481864 /nfs/dbraw/zinc/48/18/64/1072481864.db2.gz PVIOHMLORMNETA-HNNXBMFYSA-N 0 0 425.504 -0.441 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F)CN1CCOCC1 ZINC000443252538 1072482076 /nfs/dbraw/zinc/48/20/76/1072482076.db2.gz PVIOHMLORMNETA-OAHLLOKOSA-N 0 0 425.504 -0.441 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(CC)[C@H]2CCNC2=O)cc(S(C)(=O)=O)c1 ZINC000443252587 1072482530 /nfs/dbraw/zinc/48/25/30/1072482530.db2.gz YWICDYVQVUEGJB-AWEZNQCLSA-N 0 0 425.463 -0.058 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(CC)[C@@H]2CCNC2=O)cc(S(C)(=O)=O)c1 ZINC000443252590 1072482504 /nfs/dbraw/zinc/48/25/04/1072482504.db2.gz YWICDYVQVUEGJB-CQSZACIVSA-N 0 0 425.463 -0.058 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H]1C(=O)NCC[C@H]1C ZINC000443252916 1072482551 /nfs/dbraw/zinc/48/25/51/1072482551.db2.gz FNOIVPCJZPJZCG-DYVFJYSZSA-N 0 0 431.493 -0.338 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H]1C(=O)NCC[C@@H]1C ZINC000443252917 1072482620 /nfs/dbraw/zinc/48/26/20/1072482620.db2.gz FNOIVPCJZPJZCG-GUYCJALGSA-N 0 0 431.493 -0.338 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1C(=O)NCC[C@@H]1C ZINC000443252918 1072482640 /nfs/dbraw/zinc/48/26/40/1072482640.db2.gz FNOIVPCJZPJZCG-SUMWQHHRSA-N 0 0 431.493 -0.338 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[C@H]1CCNC1=O ZINC000443252927 1072482579 /nfs/dbraw/zinc/48/25/79/1072482579.db2.gz FQCXAQYSFVPLHD-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[C@@H]1CCNC1=O ZINC000443252928 1072482492 /nfs/dbraw/zinc/48/24/92/1072482492.db2.gz FQCXAQYSFVPLHD-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000443253136 1072482583 /nfs/dbraw/zinc/48/25/83/1072482583.db2.gz RVAOVIMAZDELDL-PBHICJAKSA-N 0 0 431.493 -0.672 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000443253142 1072482535 /nfs/dbraw/zinc/48/25/35/1072482535.db2.gz RVAOVIMAZDELDL-RHSMWYFYSA-N 0 0 431.493 -0.672 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000443253145 1072482571 /nfs/dbraw/zinc/48/25/71/1072482571.db2.gz RVAOVIMAZDELDL-WMLDXEAASA-N 0 0 431.493 -0.672 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000443253148 1072482653 /nfs/dbraw/zinc/48/26/53/1072482653.db2.gz RVAOVIMAZDELDL-YOEHRIQHSA-N 0 0 431.493 -0.672 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000443253912 1072483083 /nfs/dbraw/zinc/48/30/83/1072483083.db2.gz RXPSHPQXOAWBQS-IBGZPJMESA-N 0 0 427.523 -0.480 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000443253913 1072483060 /nfs/dbraw/zinc/48/30/60/1072483060.db2.gz RXPSHPQXOAWBQS-LJQANCHMSA-N 0 0 427.523 -0.480 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000443255192 1072483069 /nfs/dbraw/zinc/48/30/69/1072483069.db2.gz WBAFSDOWATUELU-CXAGYDPISA-N 0 0 428.493 -0.281 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000443255196 1072483047 /nfs/dbraw/zinc/48/30/47/1072483047.db2.gz WBAFSDOWATUELU-DYVFJYSZSA-N 0 0 428.493 -0.281 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000443255199 1072483150 /nfs/dbraw/zinc/48/31/50/1072483150.db2.gz WBAFSDOWATUELU-GUYCJALGSA-N 0 0 428.493 -0.281 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000443255202 1072483158 /nfs/dbraw/zinc/48/31/58/1072483158.db2.gz WBAFSDOWATUELU-SUMWQHHRSA-N 0 0 428.493 -0.281 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C)[C@H]1CCNC1=O ZINC000443255399 1072483172 /nfs/dbraw/zinc/48/31/72/1072483172.db2.gz VLTYGDYJRGSUCW-INIZCTEOSA-N 0 0 438.506 -0.309 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C)[C@@H]1CCNC1=O ZINC000443255401 1072483103 /nfs/dbraw/zinc/48/31/03/1072483103.db2.gz VLTYGDYJRGSUCW-MRXNPFEDSA-N 0 0 438.506 -0.309 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000443256214 1072483097 /nfs/dbraw/zinc/48/30/97/1072483097.db2.gz XNEAYMBKDLOMNR-ABAIWWIYSA-N 0 0 442.469 -0.574 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000443256215 1072483125 /nfs/dbraw/zinc/48/31/25/1072483125.db2.gz XNEAYMBKDLOMNR-IAQYHMDHSA-N 0 0 442.469 -0.574 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000443256216 1072483165 /nfs/dbraw/zinc/48/31/65/1072483165.db2.gz XNEAYMBKDLOMNR-NHYWBVRUSA-N 0 0 442.469 -0.574 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000443256217 1072483035 /nfs/dbraw/zinc/48/30/35/1072483035.db2.gz XNEAYMBKDLOMNR-XHDPSFHLSA-N 0 0 442.469 -0.574 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000443257402 1072483090 /nfs/dbraw/zinc/48/30/90/1072483090.db2.gz YOZPHUGCFHYWND-GOSISDBHSA-N 0 0 430.479 -0.379 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000443257404 1072483143 /nfs/dbraw/zinc/48/31/43/1072483143.db2.gz YOZPHUGCFHYWND-SFHVURJKSA-N 0 0 430.479 -0.379 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000443257871 1072483131 /nfs/dbraw/zinc/48/31/31/1072483131.db2.gz RDASHGVFXDRYKB-UHFFFAOYSA-N 0 0 449.551 -0.481 20 0 IBADRN COCCn1cc(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cn1 ZINC000443259094 1072480784 /nfs/dbraw/zinc/48/07/84/1072480784.db2.gz YQSSACQOHFKKQO-UHFFFAOYSA-N 0 0 432.524 -0.379 20 0 IBADRN CCN(C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CCNC1=O ZINC000443259140 1072481522 /nfs/dbraw/zinc/48/15/22/1072481522.db2.gz YWCJOFMKLGTHFS-DOTOQJQBSA-N 0 0 430.461 -0.624 20 0 IBADRN CCN(C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CCNC1=O ZINC000443259141 1072481462 /nfs/dbraw/zinc/48/14/62/1072481462.db2.gz YWCJOFMKLGTHFS-NVXWUHKLSA-N 0 0 430.461 -0.624 20 0 IBADRN CCN(C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CCNC1=O ZINC000443259143 1072481541 /nfs/dbraw/zinc/48/15/41/1072481541.db2.gz YWCJOFMKLGTHFS-RDJZCZTQSA-N 0 0 430.461 -0.624 20 0 IBADRN CCN(C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CCNC1=O ZINC000443259145 1072481533 /nfs/dbraw/zinc/48/15/33/1072481533.db2.gz YWCJOFMKLGTHFS-WBVHZDCISA-N 0 0 430.461 -0.624 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1)[C@H]1CCNC1=O ZINC000443260840 1072483115 /nfs/dbraw/zinc/48/31/15/1072483115.db2.gz DQNCSBKCNDAHSE-DOMZBBRYSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1)[C@@H]1CCNC1=O ZINC000443260842 1072483754 /nfs/dbraw/zinc/48/37/54/1072483754.db2.gz DQNCSBKCNDAHSE-IUODEOHRSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1)[C@@H]1CCNC1=O ZINC000443260844 1072483777 /nfs/dbraw/zinc/48/37/77/1072483777.db2.gz DQNCSBKCNDAHSE-SWLSCSKDSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1)[C@H]1CCNC1=O ZINC000443260846 1072483810 /nfs/dbraw/zinc/48/38/10/1072483810.db2.gz DQNCSBKCNDAHSE-WFASDCNBSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCNC1=O ZINC000443260964 1072483771 /nfs/dbraw/zinc/48/37/71/1072483771.db2.gz DRELKVXFLUDAPC-AWEZNQCLSA-N 0 0 442.469 -0.478 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCNC1=O ZINC000443260966 1072483822 /nfs/dbraw/zinc/48/38/22/1072483822.db2.gz DRELKVXFLUDAPC-CQSZACIVSA-N 0 0 442.469 -0.478 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000443261933 1072483762 /nfs/dbraw/zinc/48/37/62/1072483762.db2.gz LZTHEZJKGTYOIL-UHFFFAOYSA-N 0 0 427.504 -0.123 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000443262480 1072483741 /nfs/dbraw/zinc/48/37/41/1072483741.db2.gz KSQJOAPAKNHQBO-HNNXBMFYSA-N 0 0 449.551 -0.339 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000443262481 1072483733 /nfs/dbraw/zinc/48/37/33/1072483733.db2.gz KSQJOAPAKNHQBO-OAHLLOKOSA-N 0 0 449.551 -0.339 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1([S@@](C)=O)CC1 ZINC000443263757 1072484395 /nfs/dbraw/zinc/48/43/95/1072484395.db2.gz PJXFGUDBMDYBSC-MUUNZHRXSA-N 0 0 443.547 -0.018 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1([S@](C)=O)CC1 ZINC000443263761 1072484337 /nfs/dbraw/zinc/48/43/37/1072484337.db2.gz PJXFGUDBMDYBSC-NDEPHWFRSA-N 0 0 443.547 -0.018 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000443264925 1072484484 /nfs/dbraw/zinc/48/44/84/1072484484.db2.gz CUBHZKWGOWKPKW-UHFFFAOYSA-N 0 0 430.508 -0.785 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@H]1CCNC1=O ZINC000443265588 1072484406 /nfs/dbraw/zinc/48/44/06/1072484406.db2.gz SKYSBTPODRDCBF-HNNXBMFYSA-N 0 0 425.511 -0.322 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@@H]1CCNC1=O ZINC000443265593 1072484385 /nfs/dbraw/zinc/48/43/85/1072484385.db2.gz SKYSBTPODRDCBF-OAHLLOKOSA-N 0 0 425.511 -0.322 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000443266843 1072484326 /nfs/dbraw/zinc/48/43/26/1072484326.db2.gz NSKMDWFOLNBWGK-ABAIWWIYSA-N 0 0 425.511 -0.418 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000443266844 1072484308 /nfs/dbraw/zinc/48/43/08/1072484308.db2.gz NSKMDWFOLNBWGK-IAQYHMDHSA-N 0 0 425.511 -0.418 20 0 IBADRN C[C@H]1CCNC(=O)[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000443266845 1072484473 /nfs/dbraw/zinc/48/44/73/1072484473.db2.gz NSKMDWFOLNBWGK-NHYWBVRUSA-N 0 0 425.511 -0.418 20 0 IBADRN C[C@H]1CCNC(=O)[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000443266846 1072485018 /nfs/dbraw/zinc/48/50/18/1072485018.db2.gz NSKMDWFOLNBWGK-XHDPSFHLSA-N 0 0 425.511 -0.418 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC)[C@H]1CCNC1=O ZINC000443269825 1072484962 /nfs/dbraw/zinc/48/49/62/1072484962.db2.gz WXEQWKCSCYVGHV-INIZCTEOSA-N 0 0 431.493 -0.242 20 0 IBADRN CCN(C(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC)[C@@H]1CCNC1=O ZINC000443269827 1072484977 /nfs/dbraw/zinc/48/49/77/1072484977.db2.gz WXEQWKCSCYVGHV-MRXNPFEDSA-N 0 0 431.493 -0.242 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1([S@@](C)=O)CC1 ZINC000443270214 1072484297 /nfs/dbraw/zinc/48/42/97/1072484297.db2.gz JLXJTLRWAPUUOZ-HHHXNRCGSA-N 0 0 430.552 -0.031 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1([S@](C)=O)CC1 ZINC000443270215 1072485020 /nfs/dbraw/zinc/48/50/20/1072485020.db2.gz JLXJTLRWAPUUOZ-MHZLTWQESA-N 0 0 430.552 -0.031 20 0 IBADRN O=S(=O)(NC[C@H](O)C1CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443270868 1072485437 /nfs/dbraw/zinc/48/54/37/1072485437.db2.gz DNZMDZPRUOZQJK-KRWDZBQOSA-N 0 0 434.536 -0.227 20 0 IBADRN O=S(=O)(NC[C@@H](O)C1CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443270874 1072485406 /nfs/dbraw/zinc/48/54/06/1072485406.db2.gz DNZMDZPRUOZQJK-QGZVFWFLSA-N 0 0 434.536 -0.227 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC3([S@@](C)=O)CC3)c2)CC1 ZINC000443272192 1072485460 /nfs/dbraw/zinc/48/54/60/1072485460.db2.gz SYKBJPPGINCUDB-MUUNZHRXSA-N 0 0 442.563 -0.412 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC3([S@](C)=O)CC3)c2)CC1 ZINC000443272196 1072485450 /nfs/dbraw/zinc/48/54/50/1072485450.db2.gz SYKBJPPGINCUDB-NDEPHWFRSA-N 0 0 442.563 -0.412 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)c1cccc(C(N)=O)c1 ZINC000443272278 1072485454 /nfs/dbraw/zinc/48/54/54/1072485454.db2.gz ZWUQZLQSDMOMOE-UHFFFAOYSA-N 0 0 427.504 -0.123 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@H]2C)ccc1OC ZINC000443272368 1072485444 /nfs/dbraw/zinc/48/54/44/1072485444.db2.gz MBRRGAWPIXEJOP-ABAIWWIYSA-N 0 0 442.494 -0.801 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@H]2C)ccc1OC ZINC000443272374 1072485441 /nfs/dbraw/zinc/48/54/41/1072485441.db2.gz MBRRGAWPIXEJOP-IAQYHMDHSA-N 0 0 442.494 -0.801 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@@H]2C)ccc1OC ZINC000443272375 1072485463 /nfs/dbraw/zinc/48/54/63/1072485463.db2.gz MBRRGAWPIXEJOP-NHYWBVRUSA-N 0 0 442.494 -0.801 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@@H]2C)ccc1OC ZINC000443272377 1072485426 /nfs/dbraw/zinc/48/54/26/1072485426.db2.gz MBRRGAWPIXEJOP-XHDPSFHLSA-N 0 0 442.494 -0.801 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000443272648 1072485410 /nfs/dbraw/zinc/48/54/10/1072485410.db2.gz GUPGAWUTPMCLEH-CJNGLKHVSA-N 0 0 433.465 -0.561 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000443272652 1072485421 /nfs/dbraw/zinc/48/54/21/1072485421.db2.gz GUPGAWUTPMCLEH-CZUORRHYSA-N 0 0 433.465 -0.561 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000443272656 1072485457 /nfs/dbraw/zinc/48/54/57/1072485457.db2.gz GUTQTTWWQZRPBM-UHFFFAOYSA-N 0 0 431.449 -0.397 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1C(=O)NCC[C@@H]1C ZINC000443273158 1072486013 /nfs/dbraw/zinc/48/60/13/1072486013.db2.gz QTNCHUYNPWBYNV-BBRMVZONSA-N 0 0 438.506 -0.405 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1C(=O)NCC[C@H]1C ZINC000443273166 1072486008 /nfs/dbraw/zinc/48/60/08/1072486008.db2.gz QTNCHUYNPWBYNV-CJNGLKHVSA-N 0 0 438.506 -0.405 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1C(=O)NCC[C@H]1C ZINC000443273170 1072485943 /nfs/dbraw/zinc/48/59/43/1072485943.db2.gz QTNCHUYNPWBYNV-CZUORRHYSA-N 0 0 438.506 -0.405 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1C(=O)NCC[C@@H]1C ZINC000443273173 1072485935 /nfs/dbraw/zinc/48/59/35/1072485935.db2.gz QTNCHUYNPWBYNV-XJKSGUPXSA-N 0 0 438.506 -0.405 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)CCCO ZINC000443273579 1072485417 /nfs/dbraw/zinc/48/54/17/1072485417.db2.gz RTPZYDMABFHMFQ-UHFFFAOYSA-N 0 0 428.511 -0.589 20 0 IBADRN COc1ccc(CN(CCO)S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000443274784 1072485006 /nfs/dbraw/zinc/48/50/06/1072485006.db2.gz KEDPKYKMBNHUAQ-UHFFFAOYSA-N 0 0 437.540 -0.680 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000443276269 1072485919 /nfs/dbraw/zinc/48/59/19/1072485919.db2.gz MKNZOLAVOPSUKI-UHFFFAOYSA-N 0 0 443.464 -0.393 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cn1 ZINC000443276491 1072485968 /nfs/dbraw/zinc/48/59/68/1072485968.db2.gz ZMWVFHGZZYXNQP-UHFFFAOYSA-N 0 0 446.507 -0.853 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCS(=O)(=O)[C@@H](C)C1 ZINC000443277070 1072486590 /nfs/dbraw/zinc/48/65/90/1072486590.db2.gz BLHRPBFMDWPQQC-AWEZNQCLSA-N 0 0 438.506 -0.017 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCS(=O)(=O)[C@H](C)C1 ZINC000443277080 1072486492 /nfs/dbraw/zinc/48/64/92/1072486492.db2.gz BLHRPBFMDWPQQC-CQSZACIVSA-N 0 0 438.506 -0.017 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000443277349 1072486539 /nfs/dbraw/zinc/48/65/39/1072486539.db2.gz NOEYPCKJZBNXOS-UHFFFAOYSA-N 0 0 433.465 -0.999 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)c[nH]1 ZINC000443277371 1072486559 /nfs/dbraw/zinc/48/65/59/1072486559.db2.gz NTWTYJNGXCBFSG-UHFFFAOYSA-N 0 0 431.492 -0.107 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cn1C ZINC000443277383 1072486629 /nfs/dbraw/zinc/48/66/29/1072486629.db2.gz NXTWEQKANZCDMR-UHFFFAOYSA-N 0 0 444.535 -0.524 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O ZINC000443277432 1072486546 /nfs/dbraw/zinc/48/65/46/1072486546.db2.gz OMGDYKRODKDRPL-CYBMUJFWSA-N 0 0 426.495 -0.113 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000443277433 1072486606 /nfs/dbraw/zinc/48/66/06/1072486606.db2.gz OMGDYKRODKDRPL-ZDUSSCGKSA-N 0 0 426.495 -0.113 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](O)C2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443277693 1072486599 /nfs/dbraw/zinc/48/65/99/1072486599.db2.gz BZEJENKITJCFTF-KBXCAEBGSA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H](O)C2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443277695 1072486519 /nfs/dbraw/zinc/48/65/19/1072486519.db2.gz BZEJENKITJCFTF-KDOFPFPSSA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](O)C2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443277697 1072486553 /nfs/dbraw/zinc/48/65/53/1072486553.db2.gz BZEJENKITJCFTF-KSSFIOAISA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H](O)C2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443277699 1072486551 /nfs/dbraw/zinc/48/65/51/1072486551.db2.gz BZEJENKITJCFTF-RDTXWAMCSA-N 0 0 427.479 -0.184 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N[C@H]1CC(=O)N(C(C)C)C1=O ZINC000443279743 1072486636 /nfs/dbraw/zinc/48/66/36/1072486636.db2.gz USVHPFBKOZMZEH-INIZCTEOSA-N 0 0 445.476 -0.010 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C(C)C)C1=O ZINC000443279744 1072486617 /nfs/dbraw/zinc/48/66/17/1072486617.db2.gz USVHPFBKOZMZEH-MRXNPFEDSA-N 0 0 445.476 -0.010 20 0 IBADRN Cc1cc(N2CCNC2=O)ccc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000443280396 1072486576 /nfs/dbraw/zinc/48/65/76/1072486576.db2.gz BUTFLLTXYHQCQG-UHFFFAOYSA-N 0 0 446.489 -0.047 20 0 IBADRN Cc1cc(N2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000443280886 1072487100 /nfs/dbraw/zinc/48/71/00/1072487100.db2.gz DAXAUAFXEPOZCZ-UHFFFAOYSA-N 0 0 439.476 -0.094 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000443281088 1072486582 /nfs/dbraw/zinc/48/65/82/1072486582.db2.gz ZGEUZFFKWKEAAP-UHFFFAOYSA-N 0 0 439.538 -0.440 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000443281655 1072486530 /nfs/dbraw/zinc/48/65/30/1072486530.db2.gz LFYKNDUYRSMYKS-UHFFFAOYSA-N 0 0 440.522 -0.325 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000443281665 1072486639 /nfs/dbraw/zinc/48/66/39/1072486639.db2.gz LHSCRAZAGWOTQP-GDBMZVCRSA-N 0 0 433.465 -0.513 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000443281666 1072487152 /nfs/dbraw/zinc/48/71/52/1072487152.db2.gz LHSCRAZAGWOTQP-GOEBONIOSA-N 0 0 433.465 -0.513 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000443281667 1072487123 /nfs/dbraw/zinc/48/71/23/1072487123.db2.gz LHSCRAZAGWOTQP-HOCLYGCPSA-N 0 0 433.465 -0.513 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000443281668 1072487108 /nfs/dbraw/zinc/48/71/08/1072487108.db2.gz LHSCRAZAGWOTQP-ZBFHGGJFSA-N 0 0 433.465 -0.513 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cn1C ZINC000443281984 1072487106 /nfs/dbraw/zinc/48/71/06/1072487106.db2.gz MCGZCLPBIUUKLI-UHFFFAOYSA-N 0 0 445.519 -0.097 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)c1 ZINC000443283091 1072487134 /nfs/dbraw/zinc/48/71/34/1072487134.db2.gz KTICGSURUBJICP-INIZCTEOSA-N 0 0 440.522 -0.015 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)c1 ZINC000443283092 1072487119 /nfs/dbraw/zinc/48/71/19/1072487119.db2.gz KTICGSURUBJICP-MRXNPFEDSA-N 0 0 440.522 -0.015 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000443283701 1072487111 /nfs/dbraw/zinc/48/71/11/1072487111.db2.gz NIYSNSWYJZBJQU-AWEZNQCLSA-N 0 0 430.465 -0.426 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000443283704 1072487132 /nfs/dbraw/zinc/48/71/32/1072487132.db2.gz NIYSNSWYJZBJQU-CQSZACIVSA-N 0 0 430.465 -0.426 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CCO1 ZINC000443285822 1072485966 /nfs/dbraw/zinc/48/59/66/1072485966.db2.gz RLBZLAMJHAQSRL-INIZCTEOSA-N 0 0 433.465 -0.689 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CCO1 ZINC000443285823 1072485892 /nfs/dbraw/zinc/48/58/92/1072485892.db2.gz RLBZLAMJHAQSRL-MRXNPFEDSA-N 0 0 433.465 -0.689 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H](OCCO)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443287890 1072487592 /nfs/dbraw/zinc/48/75/92/1072487592.db2.gz KYDKPRFMSHMMGX-KPZWWZAWSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H](OCCO)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443287892 1072487528 /nfs/dbraw/zinc/48/75/28/1072487528.db2.gz KYDKPRFMSHMMGX-KZULUSFZSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H](OCCO)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443287894 1072487572 /nfs/dbraw/zinc/48/75/72/1072487572.db2.gz KYDKPRFMSHMMGX-SGTLLEGYSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H](OCCO)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443287897 1072487518 /nfs/dbraw/zinc/48/75/18/1072487518.db2.gz KYDKPRFMSHMMGX-XIKOKIGWSA-N 0 0 427.479 -0.088 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000443288739 1072485880 /nfs/dbraw/zinc/48/58/80/1072485880.db2.gz ABCPWXRQFHJHFE-UHFFFAOYSA-N 0 0 447.492 -0.347 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CC1=O ZINC000443288753 1072487634 /nfs/dbraw/zinc/48/76/34/1072487634.db2.gz ADLABYSPAGQWRT-UHFFFAOYSA-N 0 0 447.492 -0.345 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1C[C@@H]2[C@H](C1)OCCN2C ZINC000443288769 1072487506 /nfs/dbraw/zinc/48/75/06/1072487506.db2.gz AIDXMEVGLFDGML-MSOLQXFVSA-N 0 0 431.493 -0.121 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1C[C@H]2OCCN(C)[C@@H]2C1 ZINC000443288771 1072487554 /nfs/dbraw/zinc/48/75/54/1072487554.db2.gz AIDXMEVGLFDGML-QZTJIDSGSA-N 0 0 431.493 -0.121 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000443288773 1072487539 /nfs/dbraw/zinc/48/75/39/1072487539.db2.gz AIDXMEVGLFDGML-ROUUACIJSA-N 0 0 431.493 -0.121 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1C[C@H]2OCCN(C)[C@H]2C1 ZINC000443288775 1072487630 /nfs/dbraw/zinc/48/76/30/1072487630.db2.gz AIDXMEVGLFDGML-ZWKOTPCHSA-N 0 0 431.493 -0.121 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCCN1C(=O)CNC1=O ZINC000443289181 1072487603 /nfs/dbraw/zinc/48/76/03/1072487603.db2.gz YKLOMFNXUYOFBP-UHFFFAOYSA-N 0 0 446.464 -0.604 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2C)CC1 ZINC000443290185 1072487622 /nfs/dbraw/zinc/48/76/22/1072487622.db2.gz OSWKLAHGKLPXGP-UHFFFAOYSA-N 0 0 446.508 -0.630 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCC[C@H](OCCO)C2)cc1)N1CCOCC1 ZINC000443291727 1072487598 /nfs/dbraw/zinc/48/75/98/1072487598.db2.gz SRACJFPSIJHEPP-HNNXBMFYSA-N 0 0 434.536 -0.131 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCC[C@@H](OCCO)C2)cc1)N1CCOCC1 ZINC000443291729 1072487533 /nfs/dbraw/zinc/48/75/33/1072487533.db2.gz SRACJFPSIJHEPP-OAHLLOKOSA-N 0 0 434.536 -0.131 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000443292608 1072488084 /nfs/dbraw/zinc/48/80/84/1072488084.db2.gz HXMBBMXOHJGOQR-HNNXBMFYSA-N 0 0 447.492 -0.611 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000443292611 1072488037 /nfs/dbraw/zinc/48/80/37/1072488037.db2.gz HXMBBMXOHJGOQR-OAHLLOKOSA-N 0 0 447.492 -0.611 20 0 IBADRN O=S(=O)(N1CCN(C[C@H](O)COc2ccccc2)CC1)N1CCS(=O)(=O)CC1 ZINC000443293719 1072488118 /nfs/dbraw/zinc/48/81/18/1072488118.db2.gz JQFFSGSJXXGFQN-INIZCTEOSA-N 0 0 433.552 -0.981 20 0 IBADRN O=S(=O)(N1CCN(C[C@@H](O)COc2ccccc2)CC1)N1CCS(=O)(=O)CC1 ZINC000443293720 1072487996 /nfs/dbraw/zinc/48/79/96/1072487996.db2.gz JQFFSGSJXXGFQN-MRXNPFEDSA-N 0 0 433.552 -0.981 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N(CCO)CCOCC(F)(F)F)cnc21 ZINC000443294021 1072487982 /nfs/dbraw/zinc/48/79/82/1072487982.db2.gz YTQUTRZJKBFRTP-UHFFFAOYSA-N 0 0 426.373 -0.404 20 0 IBADRN COc1ccc(OC)c(CN(CCO)S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000443295555 1072488142 /nfs/dbraw/zinc/48/81/42/1072488142.db2.gz OCCXYBLNXCKZLM-UHFFFAOYSA-N 0 0 437.540 -0.680 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCCS(=O)(=O)CC1 ZINC000443296652 1072488880 /nfs/dbraw/zinc/48/88/80/1072488880.db2.gz CZTXEORJIBVUIQ-UHFFFAOYSA-N 0 0 438.506 -0.015 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CCO1 ZINC000443297234 1072488899 /nfs/dbraw/zinc/48/88/99/1072488899.db2.gz QPNMPIZVGVNPLS-KRWDZBQOSA-N 0 0 447.492 -0.299 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CCO1 ZINC000443297236 1072488831 /nfs/dbraw/zinc/48/88/31/1072488831.db2.gz QPNMPIZVGVNPLS-QGZVFWFLSA-N 0 0 447.492 -0.299 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCC(=O)N1CCOCC1 ZINC000443297612 1072488886 /nfs/dbraw/zinc/48/88/86/1072488886.db2.gz GDBPDUXAQYZTAL-UHFFFAOYSA-N 0 0 433.465 -0.687 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000443297957 1072487086 /nfs/dbraw/zinc/48/70/86/1072487086.db2.gz OVUAVMLJQLSUHG-UHFFFAOYSA-N 0 0 439.494 -0.320 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(CCO)Cc1cnn(Cc2ccccc2)c1 ZINC000443298659 1072487091 /nfs/dbraw/zinc/48/70/91/1072487091.db2.gz VNBPMJXJCMSXHX-UHFFFAOYSA-N 0 0 430.552 -0.005 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NC[C@H](O)CN1CCOCC1 ZINC000443299362 1072487142 /nfs/dbraw/zinc/48/71/42/1072487142.db2.gz WXNGWKCLFPFXDZ-INIZCTEOSA-N 0 0 449.508 -0.853 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NC[C@@H](O)CN1CCOCC1 ZINC000443299363 1072487115 /nfs/dbraw/zinc/48/71/15/1072487115.db2.gz WXNGWKCLFPFXDZ-MRXNPFEDSA-N 0 0 449.508 -0.853 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CC1 ZINC000443299417 1072487081 /nfs/dbraw/zinc/48/70/81/1072487081.db2.gz IVLAMNINIUCVIC-UHFFFAOYSA-N 0 0 448.476 -0.136 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000443299964 1072487561 /nfs/dbraw/zinc/48/75/61/1072487561.db2.gz SOOMISPTICZEAW-INIZCTEOSA-N 0 0 431.493 -0.119 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000443299967 1072487582 /nfs/dbraw/zinc/48/75/82/1072487582.db2.gz SOOMISPTICZEAW-MRXNPFEDSA-N 0 0 431.493 -0.119 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CCO1 ZINC000443300757 1072487611 /nfs/dbraw/zinc/48/76/11/1072487611.db2.gz LDWQLLMRLCSHGG-INIZCTEOSA-N 0 0 434.449 -0.262 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CCO1 ZINC000443300759 1072487588 /nfs/dbraw/zinc/48/75/88/1072487588.db2.gz LDWQLLMRLCSHGG-MRXNPFEDSA-N 0 0 434.449 -0.262 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000443300931 1072488902 /nfs/dbraw/zinc/48/89/02/1072488902.db2.gz LZBPONJULCLSPQ-UHFFFAOYSA-N 0 0 440.522 -0.013 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCCN1C(=O)CCC1=O ZINC000443303064 1072488840 /nfs/dbraw/zinc/48/88/40/1072488840.db2.gz QVBSAGUFIPSKIS-UHFFFAOYSA-N 0 0 445.476 -0.007 20 0 IBADRN O=S(=O)(N(CCO)Cc1cnn(Cc2ccccc2)c1)N1CCS(=O)(=O)CC1 ZINC000443303489 1072488762 /nfs/dbraw/zinc/48/87/62/1072488762.db2.gz CMRXZOWVFFRINC-UHFFFAOYSA-N 0 0 428.536 -0.299 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCCS(N)(=O)=O)c1 ZINC000443305193 1072489532 /nfs/dbraw/zinc/48/95/32/1072489532.db2.gz VSEKKTDGRCYOBK-UHFFFAOYSA-N 0 0 434.540 -0.193 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2C)C1=O ZINC000443306272 1072489465 /nfs/dbraw/zinc/48/94/65/1072489465.db2.gz XHXRYRUJANICIW-HNNXBMFYSA-N 0 0 431.449 -0.257 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2C)C1=O ZINC000443306278 1072489541 /nfs/dbraw/zinc/48/95/41/1072489541.db2.gz XHXRYRUJANICIW-OAHLLOKOSA-N 0 0 431.449 -0.257 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)C1 ZINC000443307338 1072489328 /nfs/dbraw/zinc/48/93/28/1072489328.db2.gz YJQDYZIRLCNAJK-AWEZNQCLSA-N 0 0 432.481 -0.133 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)C1 ZINC000443307343 1072489439 /nfs/dbraw/zinc/48/94/39/1072489439.db2.gz YJQDYZIRLCNAJK-CQSZACIVSA-N 0 0 432.481 -0.133 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(OCCN2CCNC2=O)cc1C ZINC000443309469 1072489506 /nfs/dbraw/zinc/48/95/06/1072489506.db2.gz MHXUOVUYXRBOBH-UHFFFAOYSA-N 0 0 427.483 -0.997 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCS(=O)(=O)NC)c1 ZINC000443310132 1072489286 /nfs/dbraw/zinc/48/92/86/1072489286.db2.gz NZCOZPXAESPOOQ-UHFFFAOYSA-N 0 0 434.540 -0.323 20 0 IBADRN CC[C@H](CO)N1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000443310857 1072489929 /nfs/dbraw/zinc/48/99/29/1072489929.db2.gz KHJDQQHXBBXPAN-BFUOFWGJSA-N 0 0 440.522 -0.173 20 0 IBADRN CC[C@@H](CO)N1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000443310859 1072489904 /nfs/dbraw/zinc/48/99/04/1072489904.db2.gz KHJDQQHXBBXPAN-DJJJIMSYSA-N 0 0 440.522 -0.173 20 0 IBADRN CC[C@@H](CO)N1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000443310863 1072490183 /nfs/dbraw/zinc/49/01/83/1072490183.db2.gz KHJDQQHXBBXPAN-ORAYPTAESA-N 0 0 440.522 -0.173 20 0 IBADRN CC[C@H](CO)N1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000443310866 1072490051 /nfs/dbraw/zinc/49/00/51/1072490051.db2.gz KHJDQQHXBBXPAN-YJYMSZOUSA-N 0 0 440.522 -0.173 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000443311546 1072489349 /nfs/dbraw/zinc/48/93/49/1072489349.db2.gz LRKCQZYMSQEQQG-UHFFFAOYSA-N 0 0 429.437 -0.323 20 0 IBADRN CC[C@@H](CO)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000443311887 1072490202 /nfs/dbraw/zinc/49/02/02/1072490202.db2.gz MVYSFGVOJQMOJX-INIZCTEOSA-N 0 0 447.579 -0.215 20 0 IBADRN CC[C@H](CO)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000443311888 1072490148 /nfs/dbraw/zinc/49/01/48/1072490148.db2.gz MVYSFGVOJQMOJX-MRXNPFEDSA-N 0 0 447.579 -0.215 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCC(=O)NCC(F)F ZINC000443314770 1072490191 /nfs/dbraw/zinc/49/01/91/1072490191.db2.gz RYDBDZJVEPIEFO-UHFFFAOYSA-N 0 0 427.408 -0.165 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000443315039 1072490613 /nfs/dbraw/zinc/49/06/13/1072490613.db2.gz CQDRSCGEUKVQKI-UHFFFAOYSA-N 0 0 447.492 -0.345 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1ccc(O)cc1 ZINC000443315799 1072490644 /nfs/dbraw/zinc/49/06/44/1072490644.db2.gz UMWZOURANYGFHJ-AWEZNQCLSA-N 0 0 435.524 -0.865 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1ccc(O)cc1 ZINC000443315808 1072490578 /nfs/dbraw/zinc/49/05/78/1072490578.db2.gz UMWZOURANYGFHJ-CQSZACIVSA-N 0 0 435.524 -0.865 20 0 IBADRN COC(=O)c1ccc(Cl)cc1S(=O)(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000443315924 1072490554 /nfs/dbraw/zinc/49/05/54/1072490554.db2.gz VHASETSUQHQNJM-UHFFFAOYSA-N 0 0 425.916 -0.279 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(N4CCNC4=O)cc3C)C2)nn1 ZINC000443316234 1072490681 /nfs/dbraw/zinc/49/06/81/1072490681.db2.gz DWUNGIVFALSLBY-UHFFFAOYSA-N 0 0 427.421 -0.075 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000443321017 1072490620 /nfs/dbraw/zinc/49/06/20/1072490620.db2.gz PNGOPIRQBLVFQZ-INIZCTEOSA-N 0 0 433.509 -0.137 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000443321021 1072490694 /nfs/dbraw/zinc/49/06/94/1072490694.db2.gz PNGOPIRQBLVFQZ-MRXNPFEDSA-N 0 0 433.509 -0.137 20 0 IBADRN Cc1cc(N2CCNC2=O)ccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000443323551 1072490477 /nfs/dbraw/zinc/49/04/77/1072490477.db2.gz WMWGPYSHBTWQHV-UHFFFAOYSA-N 0 0 426.499 -0.588 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCNc1cnccn1 ZINC000443323762 1073338525 /nfs/dbraw/zinc/33/85/25/1073338525.db2.gz SBLFYUKWODTHLM-UHFFFAOYSA-N 0 0 427.465 0.356 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000443323772 1072490688 /nfs/dbraw/zinc/49/06/88/1072490688.db2.gz BYFMDUKWEAKZSH-AWEZNQCLSA-N 0 0 446.551 -0.099 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000443323774 1072490599 /nfs/dbraw/zinc/49/05/99/1072490599.db2.gz BYFMDUKWEAKZSH-CQSZACIVSA-N 0 0 446.551 -0.099 20 0 IBADRN Cn1cc(S(=O)(=O)N(CCO)Cc2cnn(Cc3ccccc3)c2)c(=O)n(C)c1=O ZINC000443323804 1072490523 /nfs/dbraw/zinc/49/05/23/1072490523.db2.gz SHWVDGMFKKRARR-UHFFFAOYSA-N 0 0 433.490 -0.488 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000443327006 1072491325 /nfs/dbraw/zinc/49/13/25/1072491325.db2.gz MESZPOZIOBIZAP-AWEZNQCLSA-N 0 0 439.494 -0.773 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000443327007 1072491244 /nfs/dbraw/zinc/49/12/44/1072491244.db2.gz MESZPOZIOBIZAP-CQSZACIVSA-N 0 0 439.494 -0.773 20 0 IBADRN COCC(=O)NC1CN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)C1 ZINC000443328092 1072491297 /nfs/dbraw/zinc/49/12/97/1072491297.db2.gz FGCITHXENKJVGZ-UHFFFAOYSA-N 0 0 433.465 -0.689 20 0 IBADRN O=C(NCc1cccc(N2CCC2=O)c1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000443328440 1072491419 /nfs/dbraw/zinc/49/14/19/1072491419.db2.gz FUKDNNZNTVPQDQ-UHFFFAOYSA-N 0 0 426.477 0.207 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CCCO ZINC000443331903 1072491952 /nfs/dbraw/zinc/49/19/52/1072491952.db2.gz MPJAHSFDGMZTDH-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)c(C(=O)OC)s1 ZINC000443333962 1072491843 /nfs/dbraw/zinc/49/18/43/1072491843.db2.gz LEHICBAPKBQHSW-BCTVWOGZSA-N 0 0 445.475 -0.022 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)c(C(=O)OC)s1 ZINC000443333963 1072491894 /nfs/dbraw/zinc/49/18/94/1072491894.db2.gz LEHICBAPKBQHSW-PWJLMRLQSA-N 0 0 445.475 -0.022 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)c(C(=O)OC)s1 ZINC000443333964 1072491856 /nfs/dbraw/zinc/49/18/56/1072491856.db2.gz LEHICBAPKBQHSW-VPTHRUTESA-N 0 0 445.475 -0.022 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)c(C(=O)OC)s1 ZINC000443333965 1072491977 /nfs/dbraw/zinc/49/19/77/1072491977.db2.gz LEHICBAPKBQHSW-ZKANADHPSA-N 0 0 445.475 -0.022 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)c1 ZINC000443336529 1072492703 /nfs/dbraw/zinc/49/27/03/1072492703.db2.gz RGVWPKITOFIJTK-ADLMAVQZSA-N 0 0 439.446 -0.084 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)c1 ZINC000443336530 1072492675 /nfs/dbraw/zinc/49/26/75/1072492675.db2.gz RGVWPKITOFIJTK-BBATYDOGSA-N 0 0 439.446 -0.084 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)c1 ZINC000443336531 1072492660 /nfs/dbraw/zinc/49/26/60/1072492660.db2.gz RGVWPKITOFIJTK-VOJFVSQTSA-N 0 0 439.446 -0.084 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)c1 ZINC000443336532 1072492592 /nfs/dbraw/zinc/49/25/92/1072492592.db2.gz RGVWPKITOFIJTK-ZMZPIMSZSA-N 0 0 439.446 -0.084 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)CCCO)cc1S(=O)(=O)N1CCOCC1 ZINC000443337087 1072492738 /nfs/dbraw/zinc/49/27/38/1072492738.db2.gz ZOGPQJVMIILTHK-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000443342257 1072492734 /nfs/dbraw/zinc/49/27/34/1072492734.db2.gz DCDWHTXZGIPKQX-HNNXBMFYSA-N 0 0 433.552 -0.559 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000443342261 1072492655 /nfs/dbraw/zinc/49/26/55/1072492655.db2.gz DCDWHTXZGIPKQX-OAHLLOKOSA-N 0 0 433.552 -0.559 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3cccc(N4CCC4=O)c3)cnc2n(C)c1=O ZINC000443342993 1072493271 /nfs/dbraw/zinc/49/32/71/1072493271.db2.gz NYQXXDDVLRUFPT-UHFFFAOYSA-N 0 0 436.428 -0.376 20 0 IBADRN O=C(NCc1ccncc1OCC(F)F)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000443345914 1072491868 /nfs/dbraw/zinc/49/18/68/1072491868.db2.gz SWNNLVAQWUIXKB-UHFFFAOYSA-N 0 0 438.435 0.509 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000443346225 1072491462 /nfs/dbraw/zinc/49/14/62/1072491462.db2.gz UVPDHVMGMMLXKS-AWEZNQCLSA-N 0 0 438.506 -0.017 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000443346228 1072491416 /nfs/dbraw/zinc/49/14/16/1072491416.db2.gz UVPDHVMGMMLXKS-CQSZACIVSA-N 0 0 438.506 -0.017 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccncc3OCC(F)F)cnc2n(C)c1=O ZINC000443348185 1072491928 /nfs/dbraw/zinc/49/19/28/1072491928.db2.gz QPMIWELNGGDONU-UHFFFAOYSA-N 0 0 448.386 -0.074 20 0 IBADRN COc1ccc(NC(=O)CN(C[C@H](C)O)[C@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000443349144 1072493432 /nfs/dbraw/zinc/49/34/32/1072493432.db2.gz UBOZRRAVTLBMDZ-CABCVRRESA-N 0 0 445.538 -0.282 20 0 IBADRN COc1ccc(NC(=O)CN(C[C@H](C)O)[C@@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000443349146 1072493319 /nfs/dbraw/zinc/49/33/19/1072493319.db2.gz UBOZRRAVTLBMDZ-GJZGRUSLSA-N 0 0 445.538 -0.282 20 0 IBADRN COc1ccc(NC(=O)CN(C[C@@H](C)O)[C@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000443349148 1072493370 /nfs/dbraw/zinc/49/33/70/1072493370.db2.gz UBOZRRAVTLBMDZ-HUUCEWRRSA-N 0 0 445.538 -0.282 20 0 IBADRN COc1ccc(NC(=O)CN(C[C@@H](C)O)[C@@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000443349150 1072493242 /nfs/dbraw/zinc/49/32/42/1072493242.db2.gz UBOZRRAVTLBMDZ-LSDHHAIUSA-N 0 0 445.538 -0.282 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)(C)C(N)=O)CC2)c(C(=O)OC)s1 ZINC000443349901 1072494146 /nfs/dbraw/zinc/49/41/46/1072494146.db2.gz HMSUHQKVWLXMAZ-UHFFFAOYSA-N 0 0 433.508 -0.109 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000443350063 1072493340 /nfs/dbraw/zinc/49/33/40/1072493340.db2.gz ZLVCTYTXMPFBMX-INIZCTEOSA-N 0 0 433.509 -0.137 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000443350216 1072493283 /nfs/dbraw/zinc/49/32/83/1072493283.db2.gz WTDYJZKMQXMRMT-KRWDZBQOSA-N 0 0 447.492 -0.563 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000443350218 1072493501 /nfs/dbraw/zinc/49/35/01/1072493501.db2.gz WTDYJZKMQXMRMT-QGZVFWFLSA-N 0 0 447.492 -0.563 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000443350345 1072493420 /nfs/dbraw/zinc/49/34/20/1072493420.db2.gz IOXVUTLSHJXSEG-UHFFFAOYSA-N 0 0 427.479 -0.170 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000443351016 1072494217 /nfs/dbraw/zinc/49/42/17/1072494217.db2.gz KOUCYQWUXSSMTK-KRWDZBQOSA-N 0 0 427.523 -0.527 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000443351017 1072493981 /nfs/dbraw/zinc/49/39/81/1072493981.db2.gz KOUCYQWUXSSMTK-QGZVFWFLSA-N 0 0 427.523 -0.527 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(OCCN2CCNC2=O)cc1C ZINC000443351722 1072493965 /nfs/dbraw/zinc/49/39/65/1072493965.db2.gz NWZRWELBLWUEIF-DLBZAZTESA-N 0 0 448.476 -0.138 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(OCCN2CCNC2=O)cc1C ZINC000443351723 1072494062 /nfs/dbraw/zinc/49/40/62/1072494062.db2.gz NWZRWELBLWUEIF-IAGOWNOFSA-N 0 0 448.476 -0.138 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(OCCN2CCNC2=O)cc1C ZINC000443351724 1072494170 /nfs/dbraw/zinc/49/41/70/1072494170.db2.gz NWZRWELBLWUEIF-IRXDYDNUSA-N 0 0 448.476 -0.138 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(OCCN2CCNC2=O)cc1C ZINC000443351725 1072494239 /nfs/dbraw/zinc/49/42/39/1072494239.db2.gz NWZRWELBLWUEIF-SJORKVTESA-N 0 0 448.476 -0.138 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N(C)CCCO)c1 ZINC000443352842 1072494005 /nfs/dbraw/zinc/49/40/05/1072494005.db2.gz QARFXRKOFCHBQA-UHFFFAOYSA-N 0 0 428.511 -0.447 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000443354522 1072494191 /nfs/dbraw/zinc/49/41/91/1072494191.db2.gz JDPTXGRSRZUKFS-INIZCTEOSA-N 0 0 447.579 -0.215 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000443354523 1072494046 /nfs/dbraw/zinc/49/40/46/1072494046.db2.gz JDPTXGRSRZUKFS-MRXNPFEDSA-N 0 0 447.579 -0.215 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c1 ZINC000443354795 1072494248 /nfs/dbraw/zinc/49/42/48/1072494248.db2.gz VMSJGSRSGKCNLM-GFCCVEGCSA-N 0 0 434.540 -0.195 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c1 ZINC000443354796 1072494118 /nfs/dbraw/zinc/49/41/18/1072494118.db2.gz VMSJGSRSGKCNLM-LBPRGKRZSA-N 0 0 434.540 -0.195 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000443356245 1072493215 /nfs/dbraw/zinc/49/32/15/1072493215.db2.gz XPQDEQRKXMYLPB-CYBMUJFWSA-N 0 0 425.504 -0.489 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000443356249 1072493230 /nfs/dbraw/zinc/49/32/30/1072493230.db2.gz XPQDEQRKXMYLPB-ZDUSSCGKSA-N 0 0 425.504 -0.489 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000443357501 1072494713 /nfs/dbraw/zinc/49/47/13/1072494713.db2.gz ZLVCTYTXMPFBMX-MRXNPFEDSA-N 0 0 433.509 -0.137 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000443357675 1072493549 /nfs/dbraw/zinc/49/35/49/1072493549.db2.gz ZYVCKOPMCZCZEZ-HNNXBMFYSA-N 0 0 444.448 -0.900 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000443357676 1072493507 /nfs/dbraw/zinc/49/35/07/1072493507.db2.gz ZYVCKOPMCZCZEZ-OAHLLOKOSA-N 0 0 444.448 -0.900 20 0 IBADRN COCCN(C(=O)COc1cccc(C(=O)NC[C@@H](C)O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000443359609 1072494780 /nfs/dbraw/zinc/49/47/80/1072494780.db2.gz ISUVXSXCGLZHAU-GDBMZVCRSA-N 0 0 428.507 -0.162 20 0 IBADRN COCCN(C(=O)COc1cccc(C(=O)NC[C@H](C)O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000443359611 1072494654 /nfs/dbraw/zinc/49/46/54/1072494654.db2.gz ISUVXSXCGLZHAU-GOEBONIOSA-N 0 0 428.507 -0.162 20 0 IBADRN COCCN(C(=O)COc1cccc(C(=O)NC[C@H](C)O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000443359613 1072494881 /nfs/dbraw/zinc/49/48/81/1072494881.db2.gz ISUVXSXCGLZHAU-HOCLYGCPSA-N 0 0 428.507 -0.162 20 0 IBADRN COCCN(C(=O)COc1cccc(C(=O)NC[C@@H](C)O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000443359615 1072494751 /nfs/dbraw/zinc/49/47/51/1072494751.db2.gz ISUVXSXCGLZHAU-ZBFHGGJFSA-N 0 0 428.507 -0.162 20 0 IBADRN COc1cc(C[C@@H](CO)CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc(OC)c1 ZINC000443361451 1072495389 /nfs/dbraw/zinc/49/53/89/1072495389.db2.gz VDCHTVVJBZOKNY-CYBMUJFWSA-N 0 0 427.479 -0.769 20 0 IBADRN COc1cc(C[C@H](CO)CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc(OC)c1 ZINC000443361454 1072495270 /nfs/dbraw/zinc/49/52/70/1072495270.db2.gz VDCHTVVJBZOKNY-ZDUSSCGKSA-N 0 0 427.479 -0.769 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000443364524 1072495258 /nfs/dbraw/zinc/49/52/58/1072495258.db2.gz AVLJQVMNXDJEFC-HNNXBMFYSA-N 0 0 430.479 -0.427 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000443364525 1072495382 /nfs/dbraw/zinc/49/53/82/1072495382.db2.gz AVLJQVMNXDJEFC-OAHLLOKOSA-N 0 0 430.479 -0.427 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000443365846 1072494670 /nfs/dbraw/zinc/49/46/70/1072494670.db2.gz ARANLHZDRITSRO-AWEZNQCLSA-N 0 0 430.479 -0.427 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000443365847 1072494829 /nfs/dbraw/zinc/49/48/29/1072494829.db2.gz ARANLHZDRITSRO-CQSZACIVSA-N 0 0 430.479 -0.427 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000443374818 1072495935 /nfs/dbraw/zinc/49/59/35/1072495935.db2.gz MKLNLKLKLMJKAM-HNNXBMFYSA-N 0 0 433.552 -0.559 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000443374822 1072496137 /nfs/dbraw/zinc/49/61/37/1072496137.db2.gz MKLNLKLKLMJKAM-OAHLLOKOSA-N 0 0 433.552 -0.559 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000443376214 1072496063 /nfs/dbraw/zinc/49/60/63/1072496063.db2.gz QETDPEWSJPURIV-INIZCTEOSA-N 0 0 447.579 -0.215 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000443376217 1072495912 /nfs/dbraw/zinc/49/59/12/1072495912.db2.gz QETDPEWSJPURIV-MRXNPFEDSA-N 0 0 447.579 -0.215 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000443379854 1072495518 /nfs/dbraw/zinc/49/55/18/1072495518.db2.gz ZFAPVGPLWBVHGT-GFCCVEGCSA-N 0 0 425.504 -0.489 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000443379858 1072495493 /nfs/dbraw/zinc/49/54/93/1072495493.db2.gz ZFAPVGPLWBVHGT-LBPRGKRZSA-N 0 0 425.504 -0.489 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000443380012 1072495470 /nfs/dbraw/zinc/49/54/70/1072495470.db2.gz ZTJQLKLOVXLCOM-HNNXBMFYSA-N 0 0 425.511 -0.292 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000443380015 1072495489 /nfs/dbraw/zinc/49/54/89/1072495489.db2.gz ZTJQLKLOVXLCOM-OAHLLOKOSA-N 0 0 425.511 -0.292 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)C2CCN(S(N)(=O)=O)CC2)C1=O ZINC000443383827 1072496720 /nfs/dbraw/zinc/49/67/20/1072496720.db2.gz HSFAYOJBUFKFKZ-CABCVRRESA-N 0 0 446.570 -0.015 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)C2CCN(S(N)(=O)=O)CC2)C1=O ZINC000443383831 1072496612 /nfs/dbraw/zinc/49/66/12/1072496612.db2.gz HSFAYOJBUFKFKZ-GJZGRUSLSA-N 0 0 446.570 -0.015 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)C2CCN(S(N)(=O)=O)CC2)C1=O ZINC000443383834 1072496856 /nfs/dbraw/zinc/49/68/56/1072496856.db2.gz HSFAYOJBUFKFKZ-HUUCEWRRSA-N 0 0 446.570 -0.015 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)C2CCN(S(N)(=O)=O)CC2)C1=O ZINC000443383837 1072496829 /nfs/dbraw/zinc/49/68/29/1072496829.db2.gz HSFAYOJBUFKFKZ-LSDHHAIUSA-N 0 0 446.570 -0.015 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC[C@H](C)[C@@H]2CO)c(=O)[nH]c1=O ZINC000443388237 1072495869 /nfs/dbraw/zinc/49/58/69/1072495869.db2.gz LGFCCKLJNBMYQS-KBPBESRZSA-N 0 0 439.513 -0.070 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC[C@@H](C)[C@@H]2CO)c(=O)[nH]c1=O ZINC000443388240 1072495904 /nfs/dbraw/zinc/49/59/04/1072495904.db2.gz LGFCCKLJNBMYQS-KGLIPLIRSA-N 0 0 439.513 -0.070 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC[C@H](C)[C@H]2CO)c(=O)[nH]c1=O ZINC000443388244 1072495855 /nfs/dbraw/zinc/49/58/55/1072495855.db2.gz LGFCCKLJNBMYQS-UONOGXRCSA-N 0 0 439.513 -0.070 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC[C@@H](C)[C@H]2CO)c(=O)[nH]c1=O ZINC000443388248 1072495892 /nfs/dbraw/zinc/49/58/92/1072495892.db2.gz LGFCCKLJNBMYQS-ZIAGYGMSSA-N 0 0 439.513 -0.070 20 0 IBADRN COCCN(C(=O)COc1ccc(C(=O)N(C)CCO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000443388786 1072496146 /nfs/dbraw/zinc/49/61/46/1072496146.db2.gz QGCURZPUOJLKKC-INIZCTEOSA-N 0 0 428.507 -0.208 20 0 IBADRN COCCN(C(=O)COc1ccc(C(=O)N(C)CCO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000443388787 1072495952 /nfs/dbraw/zinc/49/59/52/1072495952.db2.gz QGCURZPUOJLKKC-MRXNPFEDSA-N 0 0 428.507 -0.208 20 0 IBADRN COc1cc([C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)ccc1OCCO ZINC000443390262 1072496816 /nfs/dbraw/zinc/49/68/16/1072496816.db2.gz SLUHQISTCBPVGI-CYBMUJFWSA-N 0 0 437.540 -0.461 20 0 IBADRN COc1cc([C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)ccc1OCCO ZINC000443390392 1072496594 /nfs/dbraw/zinc/49/65/94/1072496594.db2.gz SLUHQISTCBPVGI-ZDUSSCGKSA-N 0 0 437.540 -0.461 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)c2cn(CCO)c(=O)[nH]c2=O)C1=O ZINC000443390689 1072495926 /nfs/dbraw/zinc/49/59/26/1072495926.db2.gz UPQWUUICXTVIOS-KBPBESRZSA-N 0 0 438.481 -0.362 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)c2cn(CCO)c(=O)[nH]c2=O)C1=O ZINC000443390694 1072496624 /nfs/dbraw/zinc/49/66/24/1072496624.db2.gz UPQWUUICXTVIOS-KGLIPLIRSA-N 0 0 438.481 -0.362 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)c2cn(CCO)c(=O)[nH]c2=O)C1=O ZINC000443390699 1072496806 /nfs/dbraw/zinc/49/68/06/1072496806.db2.gz UPQWUUICXTVIOS-UONOGXRCSA-N 0 0 438.481 -0.362 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)c2cn(CCO)c(=O)[nH]c2=O)C1=O ZINC000443390704 1072496772 /nfs/dbraw/zinc/49/67/72/1072496772.db2.gz UPQWUUICXTVIOS-ZIAGYGMSSA-N 0 0 438.481 -0.362 20 0 IBADRN CCN1CCN(CC(=O)N[C@@H]2CCN([C@@H](C(=O)OC(C)(C)C)C(C)C)C2=O)C(=O)C1=O ZINC000443390940 1072496679 /nfs/dbraw/zinc/49/66/79/1072496679.db2.gz GCAPBNQXAKXTND-GDBMZVCRSA-N 0 0 438.525 -0.240 20 0 IBADRN CCN1CCN(CC(=O)N[C@H]2CCN([C@@H](C(=O)OC(C)(C)C)C(C)C)C2=O)C(=O)C1=O ZINC000443390946 1072496602 /nfs/dbraw/zinc/49/66/02/1072496602.db2.gz GCAPBNQXAKXTND-GOEBONIOSA-N 0 0 438.525 -0.240 20 0 IBADRN CCN1CCN(CC(=O)N[C@H]2CCN([C@H](C(=O)OC(C)(C)C)C(C)C)C2=O)C(=O)C1=O ZINC000443390948 1072496851 /nfs/dbraw/zinc/49/68/51/1072496851.db2.gz GCAPBNQXAKXTND-HOCLYGCPSA-N 0 0 438.525 -0.240 20 0 IBADRN CCN1CCN(CC(=O)N[C@@H]2CCN([C@H](C(=O)OC(C)(C)C)C(C)C)C2=O)C(=O)C1=O ZINC000443390950 1072496634 /nfs/dbraw/zinc/49/66/34/1072496634.db2.gz GCAPBNQXAKXTND-ZBFHGGJFSA-N 0 0 438.525 -0.240 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)nn1 ZINC000443393283 1072496838 /nfs/dbraw/zinc/49/68/38/1072496838.db2.gz PNWUAEQBPRCZPE-UHFFFAOYSA-N 0 0 426.407 -0.497 20 0 IBADRN CCN(CC)C(=O)CS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000443398079 1072497191 /nfs/dbraw/zinc/49/71/91/1072497191.db2.gz KKTBQOMDABBPDB-CABCVRRESA-N 0 0 438.568 -0.536 20 0 IBADRN CCN(CC)C(=O)CS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000443398080 1072497332 /nfs/dbraw/zinc/49/73/32/1072497332.db2.gz KKTBQOMDABBPDB-GJZGRUSLSA-N 0 0 438.568 -0.536 20 0 IBADRN CCN(CC)C(=O)CS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000443398081 1072497315 /nfs/dbraw/zinc/49/73/15/1072497315.db2.gz KKTBQOMDABBPDB-HUUCEWRRSA-N 0 0 438.568 -0.536 20 0 IBADRN CCN(CC)C(=O)CS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000443398082 1072497118 /nfs/dbraw/zinc/49/71/18/1072497118.db2.gz KKTBQOMDABBPDB-LSDHHAIUSA-N 0 0 438.568 -0.536 20 0 IBADRN COCCN(C(=O)CN(C1CC1)S(=O)(=O)c1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC000443403496 1072497082 /nfs/dbraw/zinc/49/70/82/1072497082.db2.gz PBVQKUFKNPXNMS-HNNXBMFYSA-N 0 0 431.536 -0.103 20 0 IBADRN COCCN(C(=O)CN(C1CC1)S(=O)(=O)c1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC000443403497 1072497237 /nfs/dbraw/zinc/49/72/37/1072497237.db2.gz PBVQKUFKNPXNMS-OAHLLOKOSA-N 0 0 431.536 -0.103 20 0 IBADRN O=C(Cn1cc(Cl)c(=O)[nH]c1=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000443406123 1072497797 /nfs/dbraw/zinc/49/77/97/1072497797.db2.gz LRFLFCKAJFSGRV-UHFFFAOYSA-N 0 0 442.881 -0.060 20 0 IBADRN COCCN(C(=O)CN(C)S(=O)(=O)c1ccc(OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000443406681 1072497324 /nfs/dbraw/zinc/49/73/24/1072497324.db2.gz AZMJUXJOLCKJGU-AWEZNQCLSA-N 0 0 434.536 -0.022 20 0 IBADRN COCCN(C(=O)CN(C)S(=O)(=O)c1ccc(OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000443406684 1072497220 /nfs/dbraw/zinc/49/72/20/1072497220.db2.gz AZMJUXJOLCKJGU-CQSZACIVSA-N 0 0 434.536 -0.022 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2cc(Cl)c(=O)[nH]c2=O)CC1 ZINC000443408059 1072496660 /nfs/dbraw/zinc/49/66/60/1072496660.db2.gz RWHASELHNCBNLF-UHFFFAOYSA-N 0 0 431.858 -0.260 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1c1nc(N2CCOCC2)nc(N2C[C@@H](C(N)=O)CC[C@H]2C)n1 ZINC000443421393 1072497999 /nfs/dbraw/zinc/49/79/99/1072497999.db2.gz CQQGCSMPEUZRQX-FPCVCCKLSA-N 0 0 446.556 -0.111 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1c1nc(N2CCOCC2)nc(N2C[C@@H](C(N)=O)CC[C@@H]2C)n1 ZINC000443421394 1072497982 /nfs/dbraw/zinc/49/79/82/1072497982.db2.gz CQQGCSMPEUZRQX-FZKCQIBNSA-N 0 0 446.556 -0.111 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1c1nc(N2CCOCC2)nc(N2C[C@H](C(N)=O)CC[C@@H]2C)n1 ZINC000443421395 1072498035 /nfs/dbraw/zinc/49/80/35/1072498035.db2.gz CQQGCSMPEUZRQX-SYMSYNOKSA-N 0 0 446.556 -0.111 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1c1nc(N2CCOCC2)nc(N2C[C@@H](C(N)=O)CC[C@H]2C)n1 ZINC000443421396 1072497883 /nfs/dbraw/zinc/49/78/83/1072497883.db2.gz CQQGCSMPEUZRQX-WCVJEAGWSA-N 0 0 446.556 -0.111 20 0 IBADRN COc1cc(OC)c(CCNC(=O)N2CCN(CCS(N)(=O)=O)CC2)c(OC)c1 ZINC000443424079 1072497762 /nfs/dbraw/zinc/49/77/62/1072497762.db2.gz FICGFVIWTHYVTC-UHFFFAOYSA-N 0 0 430.527 -0.129 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CC[C@H](N(C)Cc2cc(=O)[nH]c(N)n2)C1 ZINC000443424338 1072497952 /nfs/dbraw/zinc/49/79/52/1072497952.db2.gz GKGBMMOGOFFJFQ-AWEZNQCLSA-N 0 0 429.547 -0.348 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CC[C@@H](N(C)Cc2cc(=O)[nH]c(N)n2)C1 ZINC000443424341 1072497850 /nfs/dbraw/zinc/49/78/50/1072497850.db2.gz GKGBMMOGOFFJFQ-CQSZACIVSA-N 0 0 429.547 -0.348 20 0 IBADRN CC[C@H](NC(=O)N1CCN(CCS(N)(=O)=O)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000443424588 1072498059 /nfs/dbraw/zinc/49/80/59/1072498059.db2.gz GZJMVFVMLXDQDH-HNNXBMFYSA-N 0 0 433.556 -0.599 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(CCS(N)(=O)=O)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000443424595 1072498067 /nfs/dbraw/zinc/49/80/67/1072498067.db2.gz GZJMVFVMLXDQDH-OAHLLOKOSA-N 0 0 433.556 -0.599 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(CCS(N)(=O)=O)CC2)c(OC)c1OC ZINC000443432733 1072498473 /nfs/dbraw/zinc/49/84/73/1072498473.db2.gz DSRWPGZUDSEKAW-UHFFFAOYSA-N 0 0 430.527 -0.129 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000443432985 1072498648 /nfs/dbraw/zinc/49/86/48/1072498648.db2.gz RYWJJJOQMQEICV-UHFFFAOYSA-N 0 0 427.527 -0.245 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)CC1 ZINC000443434120 1072498656 /nfs/dbraw/zinc/49/86/56/1072498656.db2.gz WQXPKRRGZBYSBS-UHFFFAOYSA-N 0 0 447.627 -0.264 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)N3CCN(CCS(N)(=O)=O)CC3)CC2=O)c1 ZINC000443436530 1072498676 /nfs/dbraw/zinc/49/86/76/1072498676.db2.gz KEFWVDMKGLGGGQ-AWEZNQCLSA-N 0 0 425.511 -0.584 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)N3CCN(CCS(N)(=O)=O)CC3)CC2=O)c1 ZINC000443436531 1072498704 /nfs/dbraw/zinc/49/87/04/1072498704.db2.gz KEFWVDMKGLGGGQ-CQSZACIVSA-N 0 0 425.511 -0.584 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000443438356 1072498551 /nfs/dbraw/zinc/49/85/51/1072498551.db2.gz NYKJDUIVLWIYDN-GOSISDBHSA-N 0 0 425.555 -0.324 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000443438357 1072498660 /nfs/dbraw/zinc/49/86/60/1072498660.db2.gz NYKJDUIVLWIYDN-SFHVURJKSA-N 0 0 425.555 -0.324 20 0 IBADRN COCCNc1nc(N2C[C@H](C(N)=O)CC[C@@H]2C)nc(N2C[C@H](C(N)=O)CC[C@@H]2C)n1 ZINC000443448414 1072499014 /nfs/dbraw/zinc/49/90/14/1072499014.db2.gz BFHFEHXUEZIKSN-BYNSBNAKSA-N 0 0 434.545 -0.468 20 0 IBADRN COCCNc1nc(N2C[C@H](C(N)=O)CC[C@H]2C)nc(N2C[C@H](C(N)=O)CC[C@@H]2C)n1 ZINC000443448810 1072499058 /nfs/dbraw/zinc/49/90/58/1072499058.db2.gz BFHFEHXUEZIKSN-LXTVHRRPSA-N 0 0 434.545 -0.468 20 0 IBADRN COCCNc1nc(N2C[C@@H](C(N)=O)CC[C@H]2C)nc(N2C[C@H](C(N)=O)CC[C@@H]2C)n1 ZINC000443448811 1072499210 /nfs/dbraw/zinc/49/92/10/1072499210.db2.gz BFHFEHXUEZIKSN-PYHGIMPFSA-N 0 0 434.545 -0.468 20 0 IBADRN COCCNc1nc(N2C[C@H](C(N)=O)CC[C@@H]2C)nc(N2C[C@@H](C(N)=O)CC[C@@H]2C)n1 ZINC000443448812 1072499204 /nfs/dbraw/zinc/49/92/04/1072499204.db2.gz BFHFEHXUEZIKSN-ZQDZILKHSA-N 0 0 434.545 -0.468 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NCCS(=O)(=O)NCC3CCC3)nc2)CC1 ZINC000443450439 1073312424 /nfs/dbraw/zinc/31/24/24/1073312424.db2.gz XHTSFZKFGLUMPI-UHFFFAOYSA-N 0 0 431.584 0.149 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)c(C)o1 ZINC000443460554 1072500919 /nfs/dbraw/zinc/50/09/19/1072500919.db2.gz AMCWLWPIDKATPL-UHFFFAOYSA-N 0 0 434.540 -0.524 20 0 IBADRN COc1cc2c(cc1OC)CCN(S(=O)(=O)N1CCN(S(C)(=O)=O)CC1)CC2 ZINC000443461205 1072501355 /nfs/dbraw/zinc/50/13/55/1072501355.db2.gz CQVMLTDLJVCWEH-UHFFFAOYSA-N 0 0 433.552 -0.074 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCC(=O)Nc2ccc(-n3cccn3)cc2)CC1 ZINC000443461492 1072502047 /nfs/dbraw/zinc/50/20/47/1072502047.db2.gz DFLIUPPEOXUYMP-UHFFFAOYSA-N 0 0 442.523 -0.778 20 0 IBADRN COC(=O)CCCOc1ccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000443461550 1072502013 /nfs/dbraw/zinc/50/20/13/1072502013.db2.gz DMFAMFGQFWXUDL-UHFFFAOYSA-N 0 0 449.551 -0.070 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000443461792 1072501341 /nfs/dbraw/zinc/50/13/41/1072501341.db2.gz FCXPCEFPIKWYJQ-UHFFFAOYSA-N 0 0 448.542 -0.204 20 0 IBADRN Cc1ccc(NC(=O)[C@H]2CCCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)nc1 ZINC000443462243 1072501833 /nfs/dbraw/zinc/50/18/33/1072501833.db2.gz GYPGQOPMBPVZIT-HNNXBMFYSA-N 0 0 445.567 -0.137 20 0 IBADRN Cc1ccc(NC(=O)[C@@H]2CCCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)nc1 ZINC000443462245 1072501874 /nfs/dbraw/zinc/50/18/74/1072501874.db2.gz GYPGQOPMBPVZIT-OAHLLOKOSA-N 0 0 445.567 -0.137 20 0 IBADRN CCOC(=O)COc1ccc(CCNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000443462375 1072501859 /nfs/dbraw/zinc/50/18/59/1072501859.db2.gz HITHRSLNBFECJL-UHFFFAOYSA-N 0 0 449.551 -0.417 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NC2CC2)CC1 ZINC000443462512 1072502347 /nfs/dbraw/zinc/50/23/47/1072502347.db2.gz AALMIJWQIHEGFX-INIZCTEOSA-N 0 0 430.552 -0.712 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NC2CC2)CC1 ZINC000443462513 1072502391 /nfs/dbraw/zinc/50/23/91/1072502391.db2.gz AALMIJWQIHEGFX-MRXNPFEDSA-N 0 0 430.552 -0.712 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCS(=O)(=O)c2ccccc2)CC1 ZINC000443462950 1072503963 /nfs/dbraw/zinc/50/39/63/1072503963.db2.gz AQDZLTXOQPAIMZ-UHFFFAOYSA-N 0 0 425.554 -0.738 20 0 IBADRN CN(CC(=O)Nc1cc(F)cc(F)c1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443463257 1072502918 /nfs/dbraw/zinc/50/29/18/1072502918.db2.gz BZINHORSLQNHDH-UHFFFAOYSA-N 0 0 426.467 -0.343 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](CNC(=O)c3ccccc3)C2)CC1 ZINC000443463367 1072503011 /nfs/dbraw/zinc/50/30/11/1072503011.db2.gz IZLTXFSZUSNHAY-INIZCTEOSA-N 0 0 444.579 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@H](CNC(=O)c3ccccc3)C2)CC1 ZINC000443463369 1072502928 /nfs/dbraw/zinc/50/29/28/1072502928.db2.gz IZLTXFSZUSNHAY-MRXNPFEDSA-N 0 0 444.579 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000443463860 1072503070 /nfs/dbraw/zinc/50/30/70/1072503070.db2.gz JIUTVZBKJBUODN-UHFFFAOYSA-N 0 0 430.552 -0.279 20 0 IBADRN CCOC(=O)CN(CCc1ccccc1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443463937 1072503549 /nfs/dbraw/zinc/50/35/49/1072503549.db2.gz KMUHREGDFUBJLO-UHFFFAOYSA-N 0 0 433.552 -0.084 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H](Cc2ccccc2Cl)C(N)=O)CC1 ZINC000443464011 1072503513 /nfs/dbraw/zinc/50/35/13/1072503513.db2.gz KEDORETTZGVOQA-CYBMUJFWSA-N 0 0 438.959 -0.604 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H](Cc2ccccc2Cl)C(N)=O)CC1 ZINC000443464013 1072503473 /nfs/dbraw/zinc/50/34/73/1072503473.db2.gz KEDORETTZGVOQA-ZDUSSCGKSA-N 0 0 438.959 -0.604 20 0 IBADRN CN(C)C(=O)COC1CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000443464036 1072503389 /nfs/dbraw/zinc/50/33/89/1072503389.db2.gz KGYGURBOUDELDE-UHFFFAOYSA-N 0 0 431.536 -0.051 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)CC3CCCCC3)CC2)CC1 ZINC000443464746 1072503955 /nfs/dbraw/zinc/50/39/55/1072503955.db2.gz FRDKIAFBBNWFEQ-UHFFFAOYSA-N 0 0 436.600 -0.077 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CC[C@H](NC(=O)Nc3ccc(F)cc3)C2)CC1 ZINC000443466050 1072505799 /nfs/dbraw/zinc/50/57/99/1072505799.db2.gz IYFVNRJYDBLOKV-HNNXBMFYSA-N 0 0 449.530 -0.157 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CC[C@@H](NC(=O)Nc3ccc(F)cc3)C2)CC1 ZINC000443466052 1072505991 /nfs/dbraw/zinc/50/59/91/1072505991.db2.gz IYFVNRJYDBLOKV-OAHLLOKOSA-N 0 0 449.530 -0.157 20 0 IBADRN Cc1ccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c(N2CCOCC2)c1 ZINC000443466400 1072503811 /nfs/dbraw/zinc/50/38/11/1072503811.db2.gz OCVZYAFMZVPDLA-UHFFFAOYSA-N 0 0 432.568 -0.257 20 0 IBADRN CCOCCCN(C[C@H](C)C(=O)OC)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443466462 1072503564 /nfs/dbraw/zinc/50/35/64/1072503564.db2.gz ONPYMYTWGNZKOK-AWEZNQCLSA-N 0 0 429.561 -0.654 20 0 IBADRN CCOCCCN(C[C@@H](C)C(=O)OC)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443466466 1072503894 /nfs/dbraw/zinc/50/38/94/1072503894.db2.gz ONPYMYTWGNZKOK-CQSZACIVSA-N 0 0 429.561 -0.654 20 0 IBADRN Cc1cccc(NC(=O)[C@H]2CCCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)n1 ZINC000443466516 1072503908 /nfs/dbraw/zinc/50/39/08/1072503908.db2.gz OVNDFLJCGZNQDW-HNNXBMFYSA-N 0 0 445.567 -0.137 20 0 IBADRN Cc1cccc(NC(=O)[C@@H]2CCCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)n1 ZINC000443466517 1072503879 /nfs/dbraw/zinc/50/38/79/1072503879.db2.gz OVNDFLJCGZNQDW-OAHLLOKOSA-N 0 0 445.567 -0.137 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CC1 ZINC000443466930 1072505911 /nfs/dbraw/zinc/50/59/11/1072505911.db2.gz JVUNZHLYUXZDTE-INIZCTEOSA-N 0 0 446.551 -0.284 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CC1 ZINC000443466932 1072505972 /nfs/dbraw/zinc/50/59/72/1072505972.db2.gz JVUNZHLYUXZDTE-MRXNPFEDSA-N 0 0 446.551 -0.284 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C2)CC1 ZINC000443467014 1072504470 /nfs/dbraw/zinc/50/44/70/1072504470.db2.gz KFUJCXLQVZVQHA-BRWVUGGUSA-N 0 0 442.563 -0.445 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3c3ccccc3)C2)CC1 ZINC000443467015 1072504428 /nfs/dbraw/zinc/50/44/28/1072504428.db2.gz KFUJCXLQVZVQHA-IKGGRYGDSA-N 0 0 442.563 -0.445 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C2)CC1 ZINC000443467016 1072504461 /nfs/dbraw/zinc/50/44/61/1072504461.db2.gz KFUJCXLQVZVQHA-IXDOHACOSA-N 0 0 442.563 -0.445 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C2)CC1 ZINC000443467017 1072504439 /nfs/dbraw/zinc/50/44/39/1072504439.db2.gz KFUJCXLQVZVQHA-ZACQAIPSSA-N 0 0 442.563 -0.445 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)NC3CCCCC3)CC2)CC1 ZINC000443467129 1072504498 /nfs/dbraw/zinc/50/44/98/1072504498.db2.gz QXTRVWUUSRXTBV-UHFFFAOYSA-N 0 0 436.600 -0.031 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cccc(CN3CCCC3=O)c2)CC1 ZINC000443467213 1072504482 /nfs/dbraw/zinc/50/44/82/1072504482.db2.gz QJFQVZHEJPRSDZ-UHFFFAOYSA-N 0 0 430.552 -0.279 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCN(C(=O)c3ccsc3)CC2)CC1 ZINC000443467260 1072504373 /nfs/dbraw/zinc/50/43/73/1072504373.db2.gz QPGBQJFGZDPYEG-UHFFFAOYSA-N 0 0 436.581 -0.282 20 0 IBADRN CCN([C@H]1CCN(c2ccccc2)C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443467297 1072504355 /nfs/dbraw/zinc/50/43/55/1072504355.db2.gz QVKPJFIFPRRYNH-INIZCTEOSA-N 0 0 430.552 -0.064 20 0 IBADRN CCN([C@@H]1CCN(c2ccccc2)C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443467299 1072504394 /nfs/dbraw/zinc/50/43/94/1072504394.db2.gz QVKPJFIFPRRYNH-MRXNPFEDSA-N 0 0 430.552 -0.064 20 0 IBADRN Cc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cc1F ZINC000443467904 1072503921 /nfs/dbraw/zinc/50/39/21/1072503921.db2.gz UBALNKSKWIQNCD-UHFFFAOYSA-N 0 0 448.542 -0.286 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1cccc(C)c1 ZINC000443468051 1072504846 /nfs/dbraw/zinc/50/48/46/1072504846.db2.gz MXHOPHBVNODHBU-INIZCTEOSA-N 0 0 433.552 -0.262 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1cccc(C)c1 ZINC000443468057 1072504777 /nfs/dbraw/zinc/50/47/77/1072504777.db2.gz MXHOPHBVNODHBU-MRXNPFEDSA-N 0 0 433.552 -0.262 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000443468413 1072504913 /nfs/dbraw/zinc/50/49/13/1072504913.db2.gz WBMIHAXTXNQWCI-UHFFFAOYSA-N 0 0 445.972 -0.475 20 0 IBADRN CC(C)[C@@H](C)NC(=O)[C@H]1CSCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443468455 1072504760 /nfs/dbraw/zinc/50/47/60/1072504760.db2.gz OIGQETUQGLGYCW-CHWSQXEVSA-N 0 0 428.602 -0.656 20 0 IBADRN CC(C)[C@@H](C)NC(=O)[C@@H]1CSCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443468458 1072504858 /nfs/dbraw/zinc/50/48/58/1072504858.db2.gz OIGQETUQGLGYCW-OLZOCXBDSA-N 0 0 428.602 -0.656 20 0 IBADRN CC(C)[C@H](C)NC(=O)[C@H]1CSCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443468460 1072504956 /nfs/dbraw/zinc/50/49/56/1072504956.db2.gz OIGQETUQGLGYCW-QWHCGFSZSA-N 0 0 428.602 -0.656 20 0 IBADRN CC(C)[C@H](C)NC(=O)[C@@H]1CSCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443468463 1072504786 /nfs/dbraw/zinc/50/47/86/1072504786.db2.gz OIGQETUQGLGYCW-STQMWFEESA-N 0 0 428.602 -0.656 20 0 IBADRN COc1cc(OC)cc(OCCCNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000443468637 1072504938 /nfs/dbraw/zinc/50/49/38/1072504938.db2.gz VDBLQENLWITNCS-UHFFFAOYSA-N 0 0 437.540 -0.116 20 0 IBADRN CN(C)C(=O)COC1CN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)C1 ZINC000443468649 1072504732 /nfs/dbraw/zinc/50/47/32/1072504732.db2.gz PNMMFEFXNNGJLV-UHFFFAOYSA-N 0 0 437.515 -0.435 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(NC(=O)C3CCCCC3)CC2)CC1 ZINC000443468797 1072504330 /nfs/dbraw/zinc/50/43/30/1072504330.db2.gz XEZQVBILWKSHOI-UHFFFAOYSA-N 0 0 436.600 -0.031 20 0 IBADRN Cc1nc(CN2CCC(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)oc1C ZINC000443469440 1072505380 /nfs/dbraw/zinc/50/53/80/1072505380.db2.gz RPAKPMAUOXGEIA-UHFFFAOYSA-N 0 0 449.599 -0.085 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCC(=O)N2CCc3ccccc32)CC1 ZINC000443469550 1072505274 /nfs/dbraw/zinc/50/52/74/1072505274.db2.gz XHXJJZZBIXPIRG-UHFFFAOYSA-N 0 0 430.552 -0.233 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)CC1 ZINC000443469673 1072504750 /nfs/dbraw/zinc/50/47/50/1072504750.db2.gz SLDYDDPIVWOVLD-UHFFFAOYSA-N 0 0 448.542 -0.666 20 0 IBADRN CC[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000443469915 1072504925 /nfs/dbraw/zinc/50/49/25/1072504925.db2.gz TWWKTGDYNDYIET-AWEZNQCLSA-N 0 0 440.569 -0.803 20 0 IBADRN CC[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000443469918 1072504882 /nfs/dbraw/zinc/50/48/82/1072504882.db2.gz TWWKTGDYNDYIET-CQSZACIVSA-N 0 0 440.569 -0.803 20 0 IBADRN Cc1ccc(NC(=O)C2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)nc1 ZINC000443469920 1072504793 /nfs/dbraw/zinc/50/47/93/1072504793.db2.gz YRNCXFXBMTUQLH-UHFFFAOYSA-N 0 0 445.567 -0.137 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CC(OCCCOc3ccccc3)C2)CC1 ZINC000443470261 1072505256 /nfs/dbraw/zinc/50/52/56/1072505256.db2.gz UMSHOLARPICTTK-UHFFFAOYSA-N 0 0 433.552 -0.022 20 0 IBADRN COC(=O)CN(Cc1ccc(F)c(F)c1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443470323 1072505405 /nfs/dbraw/zinc/50/54/05/1072505405.db2.gz UXUYRJCNPVUXMB-UHFFFAOYSA-N 0 0 441.478 -0.238 20 0 IBADRN COc1cc(OC)c(CCNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000443470328 1072505307 /nfs/dbraw/zinc/50/53/07/1072505307.db2.gz UZBUCVTUBIQNOB-UHFFFAOYSA-N 0 0 437.540 -0.334 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443470342 1072505414 /nfs/dbraw/zinc/50/54/14/1072505414.db2.gz VFGLELZXZUJFDU-GFCCVEGCSA-N 0 0 440.569 -0.851 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443470344 1072505395 /nfs/dbraw/zinc/50/53/95/1072505395.db2.gz VFGLELZXZUJFDU-LBPRGKRZSA-N 0 0 440.569 -0.851 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)c2)CCO1 ZINC000443470438 1072505422 /nfs/dbraw/zinc/50/54/22/1072505422.db2.gz VWGOUKQYKNQZNK-INIZCTEOSA-N 0 0 446.595 -0.181 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)c2)CCO1 ZINC000443470439 1072505446 /nfs/dbraw/zinc/50/54/46/1072505446.db2.gz VWGOUKQYKNQZNK-MRXNPFEDSA-N 0 0 446.595 -0.181 20 0 IBADRN CCOc1cccc(N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000443470467 1072505315 /nfs/dbraw/zinc/50/53/15/1072505315.db2.gz BJJPGPNXYCQVGR-UHFFFAOYSA-N 0 0 433.556 -0.576 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000443470949 1072506844 /nfs/dbraw/zinc/50/68/44/1072506844.db2.gz XNRTWUBUHLILSS-AWEZNQCLSA-N 0 0 436.943 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000443470951 1072507011 /nfs/dbraw/zinc/50/70/11/1072507011.db2.gz XNRTWUBUHLILSS-CQSZACIVSA-N 0 0 436.943 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CC(=O)N(c3ccccc3Cl)C2)CC1 ZINC000443471053 1072507113 /nfs/dbraw/zinc/50/71/13/1072507113.db2.gz BJGGZGJGQUBVRR-GFCCVEGCSA-N 0 0 436.943 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CC(=O)N(c3ccccc3Cl)C2)CC1 ZINC000443471056 1072506887 /nfs/dbraw/zinc/50/68/87/1072506887.db2.gz BJGGZGJGQUBVRR-LBPRGKRZSA-N 0 0 436.943 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCC(=O)Nc2cccc3cccnc32)CC1 ZINC000443471332 1072506396 /nfs/dbraw/zinc/50/63/96/1072506396.db2.gz FSDOTPCNVGSIMW-UHFFFAOYSA-N 0 0 427.508 -0.415 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(c3ncnc4ccsc43)CC2)CC1 ZINC000443471399 1072506294 /nfs/dbraw/zinc/50/62/94/1072506294.db2.gz YPGQCOWPQYIIMM-UHFFFAOYSA-N 0 0 446.580 -0.365 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000443471471 1072506386 /nfs/dbraw/zinc/50/63/86/1072506386.db2.gz AFRBMNQXNSLBBB-INIZCTEOSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000443471474 1072506502 /nfs/dbraw/zinc/50/65/02/1072506502.db2.gz AFRBMNQXNSLBBB-MRXNPFEDSA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)C(=O)COC1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000443471785 1072506330 /nfs/dbraw/zinc/50/63/30/1072506330.db2.gz BNKIDCATRJULBI-UHFFFAOYSA-N 0 0 431.536 -0.051 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000443472337 1072507403 /nfs/dbraw/zinc/50/74/03/1072507403.db2.gz HKISLPBIZXOILN-CYBMUJFWSA-N 0 0 436.943 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000443472338 1072507447 /nfs/dbraw/zinc/50/74/47/1072507447.db2.gz HKISLPBIZXOILN-ZDUSSCGKSA-N 0 0 436.943 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCN(C(=O)CC3CCCC3)CC2)CC1 ZINC000443472389 1072507343 /nfs/dbraw/zinc/50/73/43/1072507343.db2.gz IBKNJWHUGMPGCA-UHFFFAOYSA-N 0 0 436.600 -0.077 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c1 ZINC000443472401 1072507363 /nfs/dbraw/zinc/50/73/63/1072507363.db2.gz FDORXWPLIOIESY-KRWDZBQOSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c1 ZINC000443472402 1072507359 /nfs/dbraw/zinc/50/73/59/1072507359.db2.gz FDORXWPLIOIESY-QGZVFWFLSA-N 0 0 444.535 -0.064 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000443472665 1072507043 /nfs/dbraw/zinc/50/70/43/1072507043.db2.gz CXEMSCRSSXJEIU-GFCCVEGCSA-N 0 0 440.569 -0.933 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000443472666 1072507560 /nfs/dbraw/zinc/50/75/60/1072507560.db2.gz CXEMSCRSSXJEIU-LBPRGKRZSA-N 0 0 440.569 -0.933 20 0 IBADRN COc1ccc(-n2ccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)n2)cc1 ZINC000443472708 1072507025 /nfs/dbraw/zinc/50/70/25/1072507025.db2.gz ILPRYVQZZOHQPV-UHFFFAOYSA-N 0 0 429.524 -0.208 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2cc3c(cc2Cl)OCCO3)CC1 ZINC000443473050 1072506039 /nfs/dbraw/zinc/50/60/39/1072506039.db2.gz JVHLBQZGXSWVHY-UHFFFAOYSA-N 0 0 425.916 -0.294 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000443473319 1072508103 /nfs/dbraw/zinc/50/81/03/1072508103.db2.gz HJEUCBGFOALFBR-KRWDZBQOSA-N 0 0 444.579 -0.368 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000443473320 1072508172 /nfs/dbraw/zinc/50/81/72/1072508172.db2.gz HJEUCBGFOALFBR-QGZVFWFLSA-N 0 0 444.579 -0.368 20 0 IBADRN COCCN(CCOc1ccc(F)cc1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443473362 1072507941 /nfs/dbraw/zinc/50/79/41/1072507941.db2.gz HSEDHWDZTWEWGW-UHFFFAOYSA-N 0 0 439.531 -0.025 20 0 IBADRN CN(C)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1c(F)cccc1F ZINC000443473430 1072508199 /nfs/dbraw/zinc/50/81/99/1072508199.db2.gz FKUGPBOSRQSLIJ-AWEZNQCLSA-N 0 0 426.511 -0.021 20 0 IBADRN CN(C)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1c(F)cccc1F ZINC000443473432 1072508114 /nfs/dbraw/zinc/50/81/14/1072508114.db2.gz FKUGPBOSRQSLIJ-CQSZACIVSA-N 0 0 426.511 -0.021 20 0 IBADRN Cc1occc1C(=O)NC1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000443473483 1072508130 /nfs/dbraw/zinc/50/81/30/1072508130.db2.gz FTZJYKARKDIDSX-UHFFFAOYSA-N 0 0 434.540 -0.396 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCc2ccn(-c3ccc(F)cc3)n2)CC1 ZINC000443473591 1072508011 /nfs/dbraw/zinc/50/80/11/1072508011.db2.gz GJTXHSINMQAUFX-UHFFFAOYSA-N 0 0 431.515 -0.035 20 0 IBADRN CCc1cc(N2CCC[C@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)ncn1 ZINC000443473631 1072508186 /nfs/dbraw/zinc/50/81/86/1072508186.db2.gz HDCPQFLTWOIHHL-HNNXBMFYSA-N 0 0 432.572 -0.581 20 0 IBADRN CCc1cc(N2CCC[C@@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)ncn1 ZINC000443473632 1072507955 /nfs/dbraw/zinc/50/79/55/1072507955.db2.gz HDCPQFLTWOIHHL-OAHLLOKOSA-N 0 0 432.572 -0.581 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000443473952 1072506528 /nfs/dbraw/zinc/50/65/28/1072506528.db2.gz HPOWKHLHOFVCOZ-UHFFFAOYSA-N 0 0 444.579 -0.496 20 0 IBADRN CCc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000443474029 1072506302 /nfs/dbraw/zinc/50/63/02/1072506302.db2.gz HIWAYNGURQDCAP-UHFFFAOYSA-N 0 0 444.579 -0.171 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCC(CS(N)(=O)=O)CC2)cc1 ZINC000443474032 1072506405 /nfs/dbraw/zinc/50/64/05/1072506405.db2.gz HYDRHACQKQKVAB-UHFFFAOYSA-N 0 0 448.567 -0.179 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443474258 1072505770 /nfs/dbraw/zinc/50/57/70/1072505770.db2.gz NEXBAZGRYNKQFL-INIZCTEOSA-N 0 0 446.599 -0.996 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443474259 1072505942 /nfs/dbraw/zinc/50/59/42/1072505942.db2.gz NEXBAZGRYNKQFL-MRXNPFEDSA-N 0 0 446.599 -0.996 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(NC(=O)CC3CCCC3)CC2)CC1 ZINC000443474524 1072505871 /nfs/dbraw/zinc/50/58/71/1072505871.db2.gz KSSDKCMIUQZCOB-UHFFFAOYSA-N 0 0 436.600 -0.031 20 0 IBADRN COc1ccccc1[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1noc(C)n1 ZINC000443474595 1072505887 /nfs/dbraw/zinc/50/58/87/1072505887.db2.gz LQMGKDUGPJNMDQ-HNNXBMFYSA-N 0 0 445.523 -0.112 20 0 IBADRN COc1ccccc1[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1noc(C)n1 ZINC000443474596 1072506002 /nfs/dbraw/zinc/50/60/02/1072506002.db2.gz LQMGKDUGPJNMDQ-OAHLLOKOSA-N 0 0 445.523 -0.112 20 0 IBADRN CC1CCN(C(=O)C2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)CC1 ZINC000443474838 1072505787 /nfs/dbraw/zinc/50/57/87/1072505787.db2.gz IXTLPIHRFFQEAO-UHFFFAOYSA-N 0 0 436.600 -0.221 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(OCC(=O)N(C)C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443474958 1072508488 /nfs/dbraw/zinc/50/84/88/1072508488.db2.gz MERBNLMIJNHYTO-GOSISDBHSA-N 0 0 440.478 -0.773 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(OCC(=O)N(C)C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443474959 1072508457 /nfs/dbraw/zinc/50/84/57/1072508457.db2.gz MERBNLMIJNHYTO-SFHVURJKSA-N 0 0 440.478 -0.773 20 0 IBADRN COc1ccc(CCNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c(OC)c1OC ZINC000443474984 1072508418 /nfs/dbraw/zinc/50/84/18/1072508418.db2.gz MMHVFINPDQGNRE-UHFFFAOYSA-N 0 0 437.540 -0.334 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(Cc3cccc(F)c3)C(=O)C2)CC1 ZINC000443475018 1072508623 /nfs/dbraw/zinc/50/86/23/1072508623.db2.gz PFTHDKFQWKNYOB-UHFFFAOYSA-N 0 0 434.515 -0.708 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000443475024 1072508443 /nfs/dbraw/zinc/50/84/43/1072508443.db2.gz KURYIEMPMDSLTR-UHFFFAOYSA-N 0 0 439.581 -0.698 20 0 IBADRN COC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000443475029 1072508653 /nfs/dbraw/zinc/50/86/53/1072508653.db2.gz PHQLWYMIFCQDQF-UHFFFAOYSA-N 0 0 425.916 -0.279 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCN(C(=O)c3ccccc3)CC2)CC1 ZINC000443475087 1072508502 /nfs/dbraw/zinc/50/85/02/1072508502.db2.gz KZPZZDRXWNVMEJ-UHFFFAOYSA-N 0 0 430.552 -0.344 20 0 IBADRN COc1ccc(CN2CC[C@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000443475342 1072506545 /nfs/dbraw/zinc/50/65/45/1072506545.db2.gz NCIPSRXMFKSQHQ-INIZCTEOSA-N 0 0 446.551 -0.792 20 0 IBADRN COc1ccc(CN2CC[C@@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000443475343 1072506488 /nfs/dbraw/zinc/50/64/88/1072506488.db2.gz NCIPSRXMFKSQHQ-MRXNPFEDSA-N 0 0 446.551 -0.792 20 0 IBADRN CN(Cc1ccccc1N1CCOCC1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443475403 1072506471 /nfs/dbraw/zinc/50/64/71/1072506471.db2.gz LIZIJMHNTIMPDV-UHFFFAOYSA-N 0 0 432.568 -0.223 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000443475409 1072506319 /nfs/dbraw/zinc/50/63/19/1072506319.db2.gz LKNJMPMKDHOCKX-UHFFFAOYSA-N 0 0 425.554 -0.857 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCCC2)CC1 ZINC000443475703 1072506452 /nfs/dbraw/zinc/50/64/52/1072506452.db2.gz NYIUCCVITVWQDI-KRWDZBQOSA-N 0 0 444.579 -0.368 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)N2CCCC2)CC1 ZINC000443475704 1072506367 /nfs/dbraw/zinc/50/63/67/1072506367.db2.gz NYIUCCVITVWQDI-QGZVFWFLSA-N 0 0 444.579 -0.368 20 0 IBADRN COc1cc(NC(=O)CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc(OC)c1 ZINC000443475760 1072506512 /nfs/dbraw/zinc/50/65/12/1072506512.db2.gz OIRXNHYMTGFYLU-UHFFFAOYSA-N 0 0 436.512 -0.946 20 0 IBADRN COc1cccc(C(=O)N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC000443476134 1072509199 /nfs/dbraw/zinc/50/91/99/1072509199.db2.gz NKWQMWBSYDMXLH-UHFFFAOYSA-N 0 0 446.551 -0.725 20 0 IBADRN CCNC(=O)COc1cccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000443476143 1072509112 /nfs/dbraw/zinc/50/91/12/1072509112.db2.gz NLWUQWGFJYHEDG-UHFFFAOYSA-N 0 0 434.540 -0.887 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000443476678 1072509127 /nfs/dbraw/zinc/50/91/27/1072509127.db2.gz OFJXQVHHHGQVKY-UHFFFAOYSA-N 0 0 434.540 -0.449 20 0 IBADRN COc1cccc(N2CC[C@@H](CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000443476763 1072509153 /nfs/dbraw/zinc/50/91/53/1072509153.db2.gz QOJSTLZVVZPDJH-HNNXBMFYSA-N 0 0 432.568 -0.067 20 0 IBADRN COc1cccc(N2CC[C@H](CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000443476765 1072509087 /nfs/dbraw/zinc/50/90/87/1072509087.db2.gz QOJSTLZVVZPDJH-OAHLLOKOSA-N 0 0 432.568 -0.067 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000443476772 1072508963 /nfs/dbraw/zinc/50/89/63/1072508963.db2.gz QPHMWVWGIYGMTO-AWEZNQCLSA-N 0 0 434.540 -0.426 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000443476777 1072508551 /nfs/dbraw/zinc/50/85/51/1072508551.db2.gz QPHMWVWGIYGMTO-CQSZACIVSA-N 0 0 434.540 -0.426 20 0 IBADRN O=C1C[C@H]2CC[C@@H](CN1)N2S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443476807 1072508474 /nfs/dbraw/zinc/50/84/74/1072508474.db2.gz PDDLRVGTFHFIHL-KGLIPLIRSA-N 0 0 429.520 -0.251 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)C1 ZINC000443476856 1072508684 /nfs/dbraw/zinc/50/86/84/1072508684.db2.gz PPPNBCDCSXKOMT-CVEARBPZSA-N 0 0 440.522 -0.067 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)C1 ZINC000443476857 1072508516 /nfs/dbraw/zinc/50/85/16/1072508516.db2.gz PPPNBCDCSXKOMT-HOTGVXAUSA-N 0 0 440.522 -0.067 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)C1 ZINC000443476858 1072508606 /nfs/dbraw/zinc/50/86/06/1072508606.db2.gz PPPNBCDCSXKOMT-HZPDHXFCSA-N 0 0 440.522 -0.067 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)C1 ZINC000443476859 1072508558 /nfs/dbraw/zinc/50/85/58/1072508558.db2.gz PPPNBCDCSXKOMT-JKSUJKDBSA-N 0 0 440.522 -0.067 20 0 IBADRN Cc1ccc([C@@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)cc1 ZINC000443476864 1072508569 /nfs/dbraw/zinc/50/85/69/1072508569.db2.gz PQONZYXCYABSQU-GOSISDBHSA-N 0 0 446.595 -0.220 20 0 IBADRN Cc1ccc([C@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)cc1 ZINC000443476865 1072509024 /nfs/dbraw/zinc/50/90/24/1072509024.db2.gz PQONZYXCYABSQU-SFHVURJKSA-N 0 0 446.595 -0.220 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000443476871 1072508989 /nfs/dbraw/zinc/50/89/89/1072508989.db2.gz PTGODWUBLYHXMP-UHFFFAOYSA-N 0 0 432.568 -0.570 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000443477046 1072509409 /nfs/dbraw/zinc/50/94/09/1072509409.db2.gz PBPVXCYWSCCTQY-HNNXBMFYSA-N 0 0 446.551 -0.922 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000443477049 1072509406 /nfs/dbraw/zinc/50/94/06/1072509406.db2.gz PBPVXCYWSCCTQY-OAHLLOKOSA-N 0 0 446.551 -0.922 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000443477147 1072509095 /nfs/dbraw/zinc/50/90/95/1072509095.db2.gz RNHVDFXSNDDQNR-UHFFFAOYSA-N 0 0 432.450 -0.681 20 0 IBADRN CN(C)C(=O)COc1cccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000443477206 1072508981 /nfs/dbraw/zinc/50/89/81/1072508981.db2.gz PYAGCTSIKOUVIM-UHFFFAOYSA-N 0 0 434.540 -0.935 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000443477247 1072509073 /nfs/dbraw/zinc/50/90/73/1072509073.db2.gz QMAJIDDPNPGBIQ-UHFFFAOYSA-N 0 0 446.551 -0.725 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443477336 1072508953 /nfs/dbraw/zinc/50/89/53/1072508953.db2.gz WNYVAGPORVLNCV-UHFFFAOYSA-N 0 0 435.524 -0.314 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCC2(CN3CCOCC3)CCCCC2)CC1 ZINC000443477393 1072509166 /nfs/dbraw/zinc/50/91/66/1072509166.db2.gz XAJPAZYDLKYERR-UHFFFAOYSA-N 0 0 438.616 -0.319 20 0 IBADRN CN(Cc1ccc(S(C)(=O)=O)cc1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443477498 1072509007 /nfs/dbraw/zinc/50/90/07/1072509007.db2.gz SFHVOLPGOJYWMK-UHFFFAOYSA-N 0 0 425.554 -0.656 20 0 IBADRN Cc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)s1 ZINC000443477568 1072509140 /nfs/dbraw/zinc/50/91/40/1072509140.db2.gz QWDZALKXCGUBSM-UHFFFAOYSA-N 0 0 436.581 -0.364 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCN2c3ccccc3OCC2=O)CC1 ZINC000443477845 1072508970 /nfs/dbraw/zinc/50/89/70/1072508970.db2.gz RQCRJDRYCXVPMN-UHFFFAOYSA-N 0 0 432.524 -0.786 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000443477907 1072509016 /nfs/dbraw/zinc/50/90/16/1072509016.db2.gz UDUNRPYGVNKEIC-UHFFFAOYSA-N 0 0 425.554 -0.857 20 0 IBADRN CN([C@H]1CCCN(c2ccccc2)C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443477914 1072509058 /nfs/dbraw/zinc/50/90/58/1072509058.db2.gz UFCAIHIOIXDZIC-INIZCTEOSA-N 0 0 430.552 -0.064 20 0 IBADRN CN([C@@H]1CCCN(c2ccccc2)C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443477915 1072509045 /nfs/dbraw/zinc/50/90/45/1072509045.db2.gz UFCAIHIOIXDZIC-MRXNPFEDSA-N 0 0 430.552 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000443477993 1072510025 /nfs/dbraw/zinc/51/00/25/1072510025.db2.gz RVLWYLQXGGRNKX-KRWDZBQOSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000443477995 1072509859 /nfs/dbraw/zinc/50/98/59/1072509859.db2.gz RVLWYLQXGGRNKX-QGZVFWFLSA-N 0 0 444.535 -0.064 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000443478318 1072509474 /nfs/dbraw/zinc/50/94/74/1072509474.db2.gz VHVLKHUWAMMVHN-HNNXBMFYSA-N 0 0 434.540 -0.426 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000443478321 1072509533 /nfs/dbraw/zinc/50/95/33/1072509533.db2.gz VHVLKHUWAMMVHN-OAHLLOKOSA-N 0 0 434.540 -0.426 20 0 IBADRN C[C@@H](CNC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)S(N)(=O)=O ZINC000443478355 1072509415 /nfs/dbraw/zinc/50/94/15/1072509415.db2.gz TWKWSLOZQZECAH-GXTWGEPZSA-N 0 0 434.540 -0.380 20 0 IBADRN C[C@@H](CNC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)S(N)(=O)=O ZINC000443478356 1072509560 /nfs/dbraw/zinc/50/95/60/1072509560.db2.gz TWKWSLOZQZECAH-JSGCOSHPSA-N 0 0 434.540 -0.380 20 0 IBADRN C[C@H](CNC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)S(N)(=O)=O ZINC000443478358 1072509547 /nfs/dbraw/zinc/50/95/47/1072509547.db2.gz TWKWSLOZQZECAH-OCCSQVGLSA-N 0 0 434.540 -0.380 20 0 IBADRN C[C@H](CNC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)S(N)(=O)=O ZINC000443478360 1072510040 /nfs/dbraw/zinc/51/00/40/1072510040.db2.gz TWKWSLOZQZECAH-TZMCWYRMSA-N 0 0 434.540 -0.380 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000443478508 1072509463 /nfs/dbraw/zinc/50/94/63/1072509463.db2.gz UUXNBWZVLRYPHU-GDBMZVCRSA-N 0 0 446.551 -0.284 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000443478511 1072509618 /nfs/dbraw/zinc/50/96/18/1072509618.db2.gz UUXNBWZVLRYPHU-GOEBONIOSA-N 0 0 446.551 -0.284 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000443478513 1072509501 /nfs/dbraw/zinc/50/95/01/1072509501.db2.gz UUXNBWZVLRYPHU-HOCLYGCPSA-N 0 0 446.551 -0.284 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000443478515 1072509518 /nfs/dbraw/zinc/50/95/18/1072509518.db2.gz UUXNBWZVLRYPHU-ZBFHGGJFSA-N 0 0 446.551 -0.284 20 0 IBADRN Cc1cc(C(=O)NC2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)c(C)o1 ZINC000443478565 1072509447 /nfs/dbraw/zinc/50/94/47/1072509447.db2.gz UJLIREIXXFKGEB-UHFFFAOYSA-N 0 0 448.567 -0.087 20 0 IBADRN C[C@@H](CNC(=O)NCc1ccccc1OCC(=O)NC[C@H]1CCCO1)S(N)(=O)=O ZINC000443478571 1072509439 /nfs/dbraw/zinc/50/94/39/1072509439.db2.gz UZIOANDOEMQREV-DZGCQCFKSA-N 0 0 428.511 -0.163 20 0 IBADRN C[C@H](CNC(=O)NCc1ccccc1OCC(=O)NC[C@@H]1CCCO1)S(N)(=O)=O ZINC000443478575 1072509488 /nfs/dbraw/zinc/50/94/88/1072509488.db2.gz UZIOANDOEMQREV-HIFRSBDPSA-N 0 0 428.511 -0.163 20 0 IBADRN C[C@H](CNC(=O)NCc1ccccc1OCC(=O)NC[C@H]1CCCO1)S(N)(=O)=O ZINC000443478578 1072509455 /nfs/dbraw/zinc/50/94/55/1072509455.db2.gz UZIOANDOEMQREV-UKRRQHHQSA-N 0 0 428.511 -0.163 20 0 IBADRN C[C@@H](CNC(=O)NCc1ccccc1OCC(=O)NC[C@@H]1CCCO1)S(N)(=O)=O ZINC000443478580 1072509428 /nfs/dbraw/zinc/50/94/28/1072509428.db2.gz UZIOANDOEMQREV-ZFWWWQNUSA-N 0 0 428.511 -0.163 20 0 IBADRN CN([C@H]1CCN(c2ccccc2F)C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443478712 1072509578 /nfs/dbraw/zinc/50/95/78/1072509578.db2.gz WKVXOXMRXCSACF-HNNXBMFYSA-N 0 0 434.515 -0.315 20 0 IBADRN CN([C@@H]1CCN(c2ccccc2F)C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443478715 1072509421 /nfs/dbraw/zinc/50/94/21/1072509421.db2.gz WKVXOXMRXCSACF-OAHLLOKOSA-N 0 0 434.515 -0.315 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c1 ZINC000443479275 1072509818 /nfs/dbraw/zinc/50/98/18/1072509818.db2.gz YJHOKLPEBPQGSF-INIZCTEOSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c1 ZINC000443479276 1072510069 /nfs/dbraw/zinc/51/00/69/1072510069.db2.gz YJHOKLPEBPQGSF-MRXNPFEDSA-N 0 0 430.508 -0.407 20 0 IBADRN COc1cc(F)ccc1N1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000443479413 1072510054 /nfs/dbraw/zinc/51/00/54/1072510054.db2.gz XMOBICXNMRIPQS-UHFFFAOYSA-N 0 0 436.531 -0.222 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000443479475 1072509843 /nfs/dbraw/zinc/50/98/43/1072509843.db2.gz XSAWXRWANDKSER-UHFFFAOYSA-N 0 0 444.535 -0.526 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000443479523 1072509906 /nfs/dbraw/zinc/50/99/06/1072509906.db2.gz YBHBFLGIZKCWKJ-AWEZNQCLSA-N 0 0 436.943 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000443479524 1072510092 /nfs/dbraw/zinc/51/00/92/1072510092.db2.gz YBHBFLGIZKCWKJ-CQSZACIVSA-N 0 0 436.943 -0.143 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)s1 ZINC000443479770 1072509850 /nfs/dbraw/zinc/50/98/50/1072509850.db2.gz YPAAFFGNZDSOLB-UHFFFAOYSA-N 0 0 427.526 -0.862 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000443480033 1072509937 /nfs/dbraw/zinc/50/99/37/1072509937.db2.gz ZWRXHCQJDXBXLQ-UHFFFAOYSA-N 0 0 436.531 -0.426 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000443480071 1072510078 /nfs/dbraw/zinc/51/00/78/1072510078.db2.gz AIGQAQTUXXWOSP-GFCCVEGCSA-N 0 0 440.569 -0.933 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000443480072 1072510399 /nfs/dbraw/zinc/51/03/99/1072510399.db2.gz AIGQAQTUXXWOSP-LBPRGKRZSA-N 0 0 440.569 -0.933 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCN(C(=O)c3ccc(F)cc3)CC2)CC1 ZINC000443480331 1072510103 /nfs/dbraw/zinc/51/01/03/1072510103.db2.gz BVNSRSMCZIXKFS-UHFFFAOYSA-N 0 0 448.542 -0.204 20 0 IBADRN CCc1nc(CN2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cs1 ZINC000443480631 1072509833 /nfs/dbraw/zinc/50/98/33/1072509833.db2.gz CSBYNCKJDFNPKX-UHFFFAOYSA-N 0 0 437.613 -0.355 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(F)c3)CC2)CC1 ZINC000443480986 1072510447 /nfs/dbraw/zinc/51/04/47/1072510447.db2.gz DTQYUOZQVRYZLL-UHFFFAOYSA-N 0 0 434.515 -0.595 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCn3c(nnc3-c3ccccc3)C2)CC1 ZINC000443481000 1072510495 /nfs/dbraw/zinc/51/04/95/1072510495.db2.gz DXHPJUQUACZRDS-UHFFFAOYSA-N 0 0 426.524 -0.417 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(CO)CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000443481066 1072510388 /nfs/dbraw/zinc/51/03/88/1072510388.db2.gz DMSYRMORVGLYNO-KRWDZBQOSA-N 0 0 427.479 -0.183 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(CO)CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000443481067 1072510343 /nfs/dbraw/zinc/51/03/43/1072510343.db2.gz DMSYRMORVGLYNO-QGZVFWFLSA-N 0 0 427.479 -0.183 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443481202 1072510369 /nfs/dbraw/zinc/51/03/69/1072510369.db2.gz FLWNKSUURRDAQD-UHFFFAOYSA-N 0 0 445.611 -0.438 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cn1 ZINC000443481211 1072510574 /nfs/dbraw/zinc/51/05/74/1072510574.db2.gz FMKPIPAASRUIQY-UHFFFAOYSA-N 0 0 429.524 -0.524 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCC(=O)N2Cc3ccccc3C2)CC1 ZINC000443481348 1072510504 /nfs/dbraw/zinc/51/05/04/1072510504.db2.gz FEPQBDHRZDSNDR-UHFFFAOYSA-N 0 0 430.552 -0.279 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(OC[C@@H]3CCCCO3)CC2)CC1 ZINC000443481576 1072510617 /nfs/dbraw/zinc/51/06/17/1072510617.db2.gz GRODSGAHJLQDQY-INIZCTEOSA-N 0 0 425.573 -0.142 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(OC[C@H]3CCCCO3)CC2)CC1 ZINC000443481578 1072510455 /nfs/dbraw/zinc/51/04/55/1072510455.db2.gz GRODSGAHJLQDQY-MRXNPFEDSA-N 0 0 425.573 -0.142 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCNC(=O)c2c(F)cccc2F)CC1 ZINC000443481580 1072510408 /nfs/dbraw/zinc/51/04/08/1072510408.db2.gz GRORBSHESIMWFU-UHFFFAOYSA-N 0 0 426.467 -0.894 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCC2CCN(C(=O)c3ccccc3)CC2)CC1 ZINC000443481922 1072510433 /nfs/dbraw/zinc/51/04/33/1072510433.db2.gz HUWHGPXXQGIGOX-UHFFFAOYSA-N 0 0 444.579 -0.050 20 0 IBADRN Cc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000443482507 1072510419 /nfs/dbraw/zinc/51/04/19/1072510419.db2.gz IAYNCXLYUSPSAW-UHFFFAOYSA-N 0 0 430.552 -0.425 20 0 IBADRN COc1ccccc1CN1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000443482648 1072510517 /nfs/dbraw/zinc/51/05/17/1072510517.db2.gz JZLHINQWQPASDL-UHFFFAOYSA-N 0 0 432.568 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H](CO)c2cccc(Br)c2)CC1 ZINC000443483011 1072508087 /nfs/dbraw/zinc/50/80/87/1072508087.db2.gz BSIRCIPMTHAKBC-CYBMUJFWSA-N 0 0 442.357 -0.106 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H](CO)c2cccc(Br)c2)CC1 ZINC000443483013 1072508156 /nfs/dbraw/zinc/50/81/56/1072508156.db2.gz BSIRCIPMTHAKBC-ZDUSSCGKSA-N 0 0 442.357 -0.106 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443483931 1072511011 /nfs/dbraw/zinc/51/10/11/1072511011.db2.gz KVJKZDVGDIHSPN-KRWDZBQOSA-N 0 0 445.611 -0.391 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443483933 1072511110 /nfs/dbraw/zinc/51/11/10/1072511110.db2.gz KVJKZDVGDIHSPN-QGZVFWFLSA-N 0 0 445.611 -0.391 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000443484366 1072508046 /nfs/dbraw/zinc/50/80/46/1072508046.db2.gz MQQATECLCURYRP-UHFFFAOYSA-N 0 0 444.579 -0.496 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000443484394 1072508142 /nfs/dbraw/zinc/50/81/42/1072508142.db2.gz MUTZBRQITIESSX-GOSISDBHSA-N 0 0 443.545 -0.185 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000443484398 1072507931 /nfs/dbraw/zinc/50/79/31/1072507931.db2.gz MUTZBRQITIESSX-SFHVURJKSA-N 0 0 443.545 -0.185 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000443484474 1072508211 /nfs/dbraw/zinc/50/82/11/1072508211.db2.gz NBKLTLYKEONIKJ-AWEZNQCLSA-N 0 0 448.567 -0.306 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000443484477 1072508077 /nfs/dbraw/zinc/50/80/77/1072508077.db2.gz NBKLTLYKEONIKJ-CQSZACIVSA-N 0 0 448.567 -0.306 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCN(CCS(N)(=O)=O)CC3)cn2)CCO1 ZINC000443485434 1072508428 /nfs/dbraw/zinc/50/84/28/1072508428.db2.gz FPTXVAILYPEGRY-HNNXBMFYSA-N 0 0 426.543 -0.578 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(CCS(N)(=O)=O)CC3)cn2)CCO1 ZINC000443485444 1072508585 /nfs/dbraw/zinc/50/85/85/1072508585.db2.gz FPTXVAILYPEGRY-OAHLLOKOSA-N 0 0 426.543 -0.578 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000443485478 1072508640 /nfs/dbraw/zinc/50/86/40/1072508640.db2.gz NINPAGOOQGGXPH-INIZCTEOSA-N 0 0 446.551 -0.579 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000443485484 1072508532 /nfs/dbraw/zinc/50/85/32/1072508532.db2.gz NINPAGOOQGGXPH-MRXNPFEDSA-N 0 0 446.551 -0.579 20 0 IBADRN COc1cccc(N2C[C@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2=O)c1 ZINC000443485754 1072508395 /nfs/dbraw/zinc/50/83/95/1072508395.db2.gz POMMAGDRBSUDEB-CYBMUJFWSA-N 0 0 432.524 -0.788 20 0 IBADRN COc1cccc(N2C[C@@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2=O)c1 ZINC000443485756 1072508668 /nfs/dbraw/zinc/50/86/68/1072508668.db2.gz POMMAGDRBSUDEB-ZDUSSCGKSA-N 0 0 432.524 -0.788 20 0 IBADRN Cc1cc(N2CCC(NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)nc(C)n1 ZINC000443486119 1072510939 /nfs/dbraw/zinc/51/09/39/1072510939.db2.gz PWBQHTLSOBPQEM-UHFFFAOYSA-N 0 0 432.572 -0.526 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000443486147 1072511049 /nfs/dbraw/zinc/51/10/49/1072511049.db2.gz FMHMLLAAYOIPME-UHFFFAOYSA-N 0 0 425.555 -0.366 20 0 IBADRN COC(=O)c1ccc(C(F)(F)F)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000443486364 1072511153 /nfs/dbraw/zinc/51/11/53/1072511153.db2.gz HFIPTRNGOPIKNS-JTQLQIEISA-N 0 0 435.380 -0.177 20 0 IBADRN COC(=O)c1ccc(C(F)(F)F)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000443486366 1072510927 /nfs/dbraw/zinc/51/09/27/1072510927.db2.gz HFIPTRNGOPIKNS-SNVBAGLBSA-N 0 0 435.380 -0.177 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1cccc(F)c1 ZINC000443486459 1072510908 /nfs/dbraw/zinc/51/09/08/1072510908.db2.gz PIXGXONZAIZAQI-AWEZNQCLSA-N 0 0 437.515 -0.431 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1cccc(F)c1 ZINC000443486460 1072511084 /nfs/dbraw/zinc/51/10/84/1072511084.db2.gz PIXGXONZAIZAQI-CQSZACIVSA-N 0 0 437.515 -0.431 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000443486727 1072510918 /nfs/dbraw/zinc/51/09/18/1072510918.db2.gz GBAZNHJVMMAJJH-GOSISDBHSA-N 0 0 425.555 -0.324 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000443486730 1072510995 /nfs/dbraw/zinc/51/09/95/1072510995.db2.gz GBAZNHJVMMAJJH-SFHVURJKSA-N 0 0 425.555 -0.324 20 0 IBADRN COc1ccc(-c2nnc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)[nH]2)cc1 ZINC000443487090 1072511535 /nfs/dbraw/zinc/51/15/35/1072511535.db2.gz QWQRVJVRQOGENM-UHFFFAOYSA-N 0 0 430.512 -0.608 20 0 IBADRN CCc1cc(N2CCC(NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)ncn1 ZINC000443487326 1072511495 /nfs/dbraw/zinc/51/14/95/1072511495.db2.gz RTRUNKAISZAUEN-UHFFFAOYSA-N 0 0 432.572 -0.581 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(CC(F)(F)C(F)F)CC2)CC1 ZINC000443487342 1072511423 /nfs/dbraw/zinc/51/14/23/1072511423.db2.gz RUOGEEDJSVNQEN-UHFFFAOYSA-N 0 0 426.458 -0.674 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000443487655 1072511412 /nfs/dbraw/zinc/51/14/12/1072511412.db2.gz RNMMVGUVHWDWJO-GFCCVEGCSA-N 0 0 440.503 -0.189 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000443487656 1072511459 /nfs/dbraw/zinc/51/14/59/1072511459.db2.gz RNMMVGUVHWDWJO-LBPRGKRZSA-N 0 0 440.503 -0.189 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CC1 ZINC000443488686 1072511513 /nfs/dbraw/zinc/51/15/13/1072511513.db2.gz IUNJEXLTUWSPMS-KRWDZBQOSA-N 0 0 439.538 -0.080 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CC1 ZINC000443488689 1072511386 /nfs/dbraw/zinc/51/13/86/1072511386.db2.gz IUNJEXLTUWSPMS-QGZVFWFLSA-N 0 0 439.538 -0.080 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000443489528 1072511392 /nfs/dbraw/zinc/51/13/92/1072511392.db2.gz MLERUXKPFIWXID-UHFFFAOYSA-N 0 0 425.555 -0.366 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(NC(=O)c3ccsc3)CC2)CC1 ZINC000443489736 1072511451 /nfs/dbraw/zinc/51/14/51/1072511451.db2.gz WTRFSFCJXAWCEP-UHFFFAOYSA-N 0 0 436.581 -0.236 20 0 IBADRN CCc1nsc(N2CCCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000443490774 1072511475 /nfs/dbraw/zinc/51/14/75/1072511475.db2.gz YTZGFXBNRAYVQM-UHFFFAOYSA-N 0 0 438.601 -0.565 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)cc21 ZINC000443491235 1072511376 /nfs/dbraw/zinc/51/13/76/1072511376.db2.gz ZXFOEWGVLQZNDJ-UHFFFAOYSA-N 0 0 430.552 -0.420 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCN(CCS(N)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000443491351 1072511502 /nfs/dbraw/zinc/51/15/02/1072511502.db2.gz XRTSBNIVTINVQT-HOTGVXAUSA-N 0 0 440.570 -0.189 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(CCS(N)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000443491352 1072511349 /nfs/dbraw/zinc/51/13/49/1072511349.db2.gz XRTSBNIVTINVQT-HZPDHXFCSA-N 0 0 440.570 -0.189 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(CCS(N)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000443491353 1072511432 /nfs/dbraw/zinc/51/14/32/1072511432.db2.gz XRTSBNIVTINVQT-IYBDPMFKSA-N 0 0 440.570 -0.189 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000443491431 1072511440 /nfs/dbraw/zinc/51/14/40/1072511440.db2.gz NHGPHDKQJQWDFF-GFCCVEGCSA-N 0 0 438.553 -0.659 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000443491439 1072511565 /nfs/dbraw/zinc/51/15/65/1072511565.db2.gz NHGPHDKQJQWDFF-LBPRGKRZSA-N 0 0 438.553 -0.659 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000443494506 1072512017 /nfs/dbraw/zinc/51/20/17/1072512017.db2.gz ANHMGKPYFBTRIN-UHFFFAOYSA-N 0 0 425.559 -0.301 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443494594 1072511985 /nfs/dbraw/zinc/51/19/85/1072511985.db2.gz DBCOHOGPQZDGEN-HNNXBMFYSA-N 0 0 446.551 -0.330 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443494600 1072511873 /nfs/dbraw/zinc/51/18/73/1072511873.db2.gz DBCOHOGPQZDGEN-OAHLLOKOSA-N 0 0 446.551 -0.330 20 0 IBADRN C[C@@H](CNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1)S(N)(=O)=O ZINC000443495262 1072511905 /nfs/dbraw/zinc/51/19/05/1072511905.db2.gz XHAWHQGCTOVKDK-JTQLQIEISA-N 0 0 426.542 -0.755 20 0 IBADRN C[C@H](CNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1)S(N)(=O)=O ZINC000443495269 1072512029 /nfs/dbraw/zinc/51/20/29/1072512029.db2.gz XHAWHQGCTOVKDK-SNVBAGLBSA-N 0 0 426.542 -0.755 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CC1 ZINC000443496203 1073318302 /nfs/dbraw/zinc/31/83/02/1073318302.db2.gz XDUWOQRVUVJVFJ-UHFFFAOYSA-N 0 0 438.476 0.518 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000443496321 1072511999 /nfs/dbraw/zinc/51/19/99/1072511999.db2.gz XYHRSKWBQDETGA-UHFFFAOYSA-N 0 0 442.561 -0.437 20 0 IBADRN O=S(=O)(NC[C@@H](O)COc1ccccc1Br)N1CCS(=O)(=O)CC1 ZINC000443496666 1072512073 /nfs/dbraw/zinc/51/20/73/1072512073.db2.gz ICJOOYLWALQNKX-LLVKDONJSA-N 0 0 443.341 -0.246 20 0 IBADRN O=S(=O)(NC[C@H](O)COc1ccccc1Br)N1CCS(=O)(=O)CC1 ZINC000443496668 1072511895 /nfs/dbraw/zinc/51/18/95/1072511895.db2.gz ICJOOYLWALQNKX-NSHDSACASA-N 0 0 443.341 -0.246 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000443497389 1072512462 /nfs/dbraw/zinc/51/24/62/1072512462.db2.gz FTRPARWLPYJYKQ-UHFFFAOYSA-N 0 0 435.432 -0.136 20 0 IBADRN C[C@H](CNC(=O)N1CCN(CCS(N)(=O)=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000443497587 1072511966 /nfs/dbraw/zinc/51/19/66/1072511966.db2.gz JKAVYUVVOLYXOG-GOSISDBHSA-N 0 0 438.598 -0.187 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(CCS(N)(=O)=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000443497591 1072511913 /nfs/dbraw/zinc/51/19/13/1072511913.db2.gz JKAVYUVVOLYXOG-SFHVURJKSA-N 0 0 438.598 -0.187 20 0 IBADRN Cc1cccc([C@H](CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)N2CCOCC2)c1 ZINC000443497733 1072511928 /nfs/dbraw/zinc/51/19/28/1072511928.db2.gz FYQZRSZWEIFLGH-IBGZPJMESA-N 0 0 439.582 -0.016 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)N2CCOCC2)c1 ZINC000443497734 1072512053 /nfs/dbraw/zinc/51/20/53/1072512053.db2.gz FYQZRSZWEIFLGH-LJQANCHMSA-N 0 0 439.582 -0.016 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000443498906 1072512538 /nfs/dbraw/zinc/51/25/38/1072512538.db2.gz MTPQTPOLTJVGNB-HNNXBMFYSA-N 0 0 432.524 -0.720 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000443498908 1072512611 /nfs/dbraw/zinc/51/26/11/1072512611.db2.gz MTPQTPOLTJVGNB-OAHLLOKOSA-N 0 0 432.524 -0.720 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C1 ZINC000443499267 1072512326 /nfs/dbraw/zinc/51/23/26/1072512326.db2.gz KBXMEJXNXQBEJD-KRWDZBQOSA-N 0 0 439.538 -0.080 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C1 ZINC000443499269 1072512492 /nfs/dbraw/zinc/51/24/92/1072512492.db2.gz KBXMEJXNXQBEJD-QGZVFWFLSA-N 0 0 439.538 -0.080 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](O)COc2ccccc2Br)c(=O)n(C)c1=O ZINC000443500221 1072512392 /nfs/dbraw/zinc/51/23/92/1072512392.db2.gz MNGFHZZNHHITFL-JTQLQIEISA-N 0 0 448.295 -0.435 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](O)COc2ccccc2Br)c(=O)n(C)c1=O ZINC000443500222 1072512524 /nfs/dbraw/zinc/51/25/24/1072512524.db2.gz MNGFHZZNHHITFL-SNVBAGLBSA-N 0 0 448.295 -0.435 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000443500716 1072512570 /nfs/dbraw/zinc/51/25/70/1072512570.db2.gz LCQSCLORIZAXMU-GOSISDBHSA-N 0 0 443.545 -0.185 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000443500719 1072512590 /nfs/dbraw/zinc/51/25/90/1072512590.db2.gz LCQSCLORIZAXMU-SFHVURJKSA-N 0 0 443.545 -0.185 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000443501753 1072512452 /nfs/dbraw/zinc/51/24/52/1072512452.db2.gz QBXNOMHKKUMYAF-UHFFFAOYSA-N 0 0 433.556 -0.947 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000443501769 1072512471 /nfs/dbraw/zinc/51/24/71/1072512471.db2.gz UNFQDCFWMKYMTQ-UHFFFAOYSA-N 0 0 447.583 -0.511 20 0 IBADRN C[C@@H](CNC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1)S(N)(=O)=O ZINC000443503128 1072513043 /nfs/dbraw/zinc/51/30/43/1072513043.db2.gz UCIVPABKXZKTQC-AWEZNQCLSA-N 0 0 427.527 -0.176 20 0 IBADRN C[C@H](CNC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1)S(N)(=O)=O ZINC000443503130 1072512953 /nfs/dbraw/zinc/51/29/53/1072512953.db2.gz UCIVPABKXZKTQC-CQSZACIVSA-N 0 0 427.527 -0.176 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000443503294 1072513024 /nfs/dbraw/zinc/51/30/24/1072513024.db2.gz SKKWSYSJQZCNCD-UHFFFAOYSA-N 0 0 427.527 -0.731 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000443505207 1072512897 /nfs/dbraw/zinc/51/28/97/1072512897.db2.gz YOGLVKSMEHMMQP-UHFFFAOYSA-N 0 0 425.555 -0.366 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000443505295 1072512418 /nfs/dbraw/zinc/51/24/18/1072512418.db2.gz ZJBLYAAMQCIWPB-UHFFFAOYSA-N 0 0 427.527 -0.683 20 0 IBADRN O=S(=O)(NCC1(CO)CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443506104 1072513032 /nfs/dbraw/zinc/51/30/32/1072513032.db2.gz AQVLBBJFQYFSAN-UHFFFAOYSA-N 0 0 434.536 -0.225 20 0 IBADRN C[C@@]1(C2CCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)CC2)NC(=O)NC1=O ZINC000443506282 1072512994 /nfs/dbraw/zinc/51/29/94/1072512994.db2.gz CBQJAELCFPVLBK-NRFANRHFSA-N 0 0 434.541 -0.050 20 0 IBADRN C[C@]1(C2CCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)CC2)NC(=O)NC1=O ZINC000443506283 1072512981 /nfs/dbraw/zinc/51/29/81/1072512981.db2.gz CBQJAELCFPVLBK-OAQYLSRUSA-N 0 0 434.541 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000443513046 1072513999 /nfs/dbraw/zinc/51/39/99/1072513999.db2.gz ABBDTQHMFDKHRH-AWEZNQCLSA-N 0 0 438.597 -0.467 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000443513053 1072513857 /nfs/dbraw/zinc/51/38/57/1072513857.db2.gz ABBDTQHMFDKHRH-CQSZACIVSA-N 0 0 438.597 -0.467 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000443516348 1072514076 /nfs/dbraw/zinc/51/40/76/1072514076.db2.gz BJYCSPFKGDHKNJ-KRWDZBQOSA-N 0 0 439.586 -0.792 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000443516350 1072513905 /nfs/dbraw/zinc/51/39/05/1072513905.db2.gz BJYCSPFKGDHKNJ-QGZVFWFLSA-N 0 0 439.586 -0.792 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)NCc3ccccc3)CC2)CC1 ZINC000443517376 1072514988 /nfs/dbraw/zinc/51/49/88/1072514988.db2.gz DJQUFHJEEWLKSQ-UHFFFAOYSA-N 0 0 444.579 -0.163 20 0 IBADRN C[C@H](CNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)S(N)(=O)=O ZINC000443517860 1072515035 /nfs/dbraw/zinc/51/50/35/1072515035.db2.gz FMHAZNZBQJPTCY-CYBMUJFWSA-N 0 0 433.556 -0.901 20 0 IBADRN C[C@@H](CNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)S(N)(=O)=O ZINC000443517862 1072515151 /nfs/dbraw/zinc/51/51/51/1072515151.db2.gz FMHAZNZBQJPTCY-ZDUSSCGKSA-N 0 0 433.556 -0.901 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000443517865 1072515086 /nfs/dbraw/zinc/51/50/86/1072515086.db2.gz FMRVJXVMUGKOHC-UHFFFAOYSA-N 0 0 449.574 -0.641 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000443518059 1072514422 /nfs/dbraw/zinc/51/44/22/1072514422.db2.gz BUIPQKCPSNXQMO-UHFFFAOYSA-N 0 0 429.518 -0.222 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000443518756 1072514444 /nfs/dbraw/zinc/51/44/44/1072514444.db2.gz GQKNTOYDOFQYCX-GOSISDBHSA-N 0 0 438.598 -0.187 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000443518758 1072514633 /nfs/dbraw/zinc/51/46/33/1072514633.db2.gz GQKNTOYDOFQYCX-SFHVURJKSA-N 0 0 438.598 -0.187 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCN2CCN(c3ccccc3)CC2)CC1 ZINC000443519727 1072514611 /nfs/dbraw/zinc/51/46/11/1072514611.db2.gz ILNPJPXRMHAFKM-UHFFFAOYSA-N 0 0 445.611 -0.390 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000443520236 1072514654 /nfs/dbraw/zinc/51/46/54/1072514654.db2.gz JTUNQAVBTBHVBK-HNNXBMFYSA-N 0 0 434.540 -0.426 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000443520238 1072514503 /nfs/dbraw/zinc/51/45/03/1072514503.db2.gz JTUNQAVBTBHVBK-OAHLLOKOSA-N 0 0 434.540 -0.426 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)CC1 ZINC000443520289 1072514473 /nfs/dbraw/zinc/51/44/73/1072514473.db2.gz KCCFYQXJSVPYCL-UHFFFAOYSA-N 0 0 434.515 -0.595 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCC(CS(N)(=O)=O)CC2)c1 ZINC000443521138 1072515133 /nfs/dbraw/zinc/51/51/33/1072515133.db2.gz MQSFLXRCZMWCBU-UHFFFAOYSA-N 0 0 448.567 -0.179 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000443521853 1072515124 /nfs/dbraw/zinc/51/51/24/1072515124.db2.gz NXAIFVWGGUMJDQ-AWEZNQCLSA-N 0 0 434.540 -0.426 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000443521855 1072515166 /nfs/dbraw/zinc/51/51/66/1072515166.db2.gz NXAIFVWGGUMJDQ-CQSZACIVSA-N 0 0 434.540 -0.426 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CC[C@H](S(N)(=O)=O)C2)CC1 ZINC000443523298 1072515440 /nfs/dbraw/zinc/51/54/40/1072515440.db2.gz RTJQHFHJVFCGOP-HNNXBMFYSA-N 0 0 445.567 -0.805 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CC[C@@H](S(N)(=O)=O)C2)CC1 ZINC000443523300 1072515358 /nfs/dbraw/zinc/51/53/58/1072515358.db2.gz RTJQHFHJVFCGOP-OAHLLOKOSA-N 0 0 445.567 -0.805 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)N3CCN(CCS(N)(=O)=O)CC3)C2)c1 ZINC000443525819 1072515394 /nfs/dbraw/zinc/51/53/94/1072515394.db2.gz FLNVGYPMWZGKOC-HNNXBMFYSA-N 0 0 441.554 -0.102 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)N3CCN(CCS(N)(=O)=O)CC3)C2)c1 ZINC000443525821 1072515589 /nfs/dbraw/zinc/51/55/89/1072515589.db2.gz FLNVGYPMWZGKOC-OAHLLOKOSA-N 0 0 441.554 -0.102 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCCCN2C(=O)COc3ccccc32)CC1 ZINC000443526136 1072515497 /nfs/dbraw/zinc/51/54/97/1072515497.db2.gz GGHDUHPLLBPISI-UHFFFAOYSA-N 0 0 425.511 -0.582 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NS(=O)(=O)c2cccc(S(=O)(=O)NC)c2)c1 ZINC000443526380 1072515453 /nfs/dbraw/zinc/51/54/53/1072515453.db2.gz DGPMLBGPBDHPTM-UHFFFAOYSA-N 0 0 440.503 -0.129 20 0 IBADRN Cc1cccc(C(=O)N2CCCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC000443527001 1072515607 /nfs/dbraw/zinc/51/56/07/1072515607.db2.gz FNNWDXSYYQJWBW-UHFFFAOYSA-N 0 0 444.579 -0.035 20 0 IBADRN C[C@@H]1CN(c2ccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000443527446 1072515173 /nfs/dbraw/zinc/51/51/73/1072515173.db2.gz GRZJMQRUGBZULS-GASCZTMLSA-N 0 0 447.583 -0.393 20 0 IBADRN C[C@H]1CN(c2ccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000443527449 1072515117 /nfs/dbraw/zinc/51/51/17/1072515117.db2.gz GRZJMQRUGBZULS-GJZGRUSLSA-N 0 0 447.583 -0.393 20 0 IBADRN C[C@@H]1CN(c2ccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000443527451 1072515138 /nfs/dbraw/zinc/51/51/38/1072515138.db2.gz GRZJMQRUGBZULS-HUUCEWRRSA-N 0 0 447.583 -0.393 20 0 IBADRN CCN(CC(=O)NCc1ccc(F)cc1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443527458 1072515108 /nfs/dbraw/zinc/51/51/08/1072515108.db2.gz BREZXZZYGKKVOY-UHFFFAOYSA-N 0 0 436.531 -0.414 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000443527539 1072514970 /nfs/dbraw/zinc/51/49/70/1072514970.db2.gz CDNLAHIRYKETMC-UHFFFAOYSA-N 0 0 430.552 -0.279 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(c3nnc4ccccn43)CC2)CC1 ZINC000443527580 1072515143 /nfs/dbraw/zinc/51/51/43/1072515143.db2.gz HHHDEOQWKMMIBS-UHFFFAOYSA-N 0 0 428.540 -0.269 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCN(C(=O)c3cccc(F)c3)CC2)CC1 ZINC000443527729 1072515069 /nfs/dbraw/zinc/51/50/69/1072515069.db2.gz CEAGFOGUVOGLFT-UHFFFAOYSA-N 0 0 448.542 -0.204 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)CCC3CCCC3)CC2)CC1 ZINC000443527931 1072514916 /nfs/dbraw/zinc/51/49/16/1072514916.db2.gz KCAKQQWWOMTBKW-UHFFFAOYSA-N 0 0 436.600 -0.077 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(CCOc3ccccc3)CC2)CC1 ZINC000443529053 1072516136 /nfs/dbraw/zinc/51/61/36/1072516136.db2.gz FVLZXBDGFCZBKZ-UHFFFAOYSA-N 0 0 432.568 -0.495 20 0 IBADRN Cc1cccc([C@@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)c1 ZINC000443530379 1072516066 /nfs/dbraw/zinc/51/60/66/1072516066.db2.gz OGNJHIPBWJSGCK-GOSISDBHSA-N 0 0 446.595 -0.220 20 0 IBADRN Cc1cccc([C@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)c1 ZINC000443530382 1072516171 /nfs/dbraw/zinc/51/61/71/1072516171.db2.gz OGNJHIPBWJSGCK-SFHVURJKSA-N 0 0 446.595 -0.220 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000443530508 1072515933 /nfs/dbraw/zinc/51/59/33/1072515933.db2.gz OPGKENIUOFXMSL-GOSISDBHSA-N 0 0 437.566 -0.164 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000443530510 1072515999 /nfs/dbraw/zinc/51/59/99/1072515999.db2.gz OPGKENIUOFXMSL-SFHVURJKSA-N 0 0 437.566 -0.164 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)c1 ZINC000443531326 1072516155 /nfs/dbraw/zinc/51/61/55/1072516155.db2.gz QKYCNIHOZXXNCR-UHFFFAOYSA-N 0 0 426.476 -0.389 20 0 IBADRN CCOCCCNC(=O)C1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000443531953 1072516663 /nfs/dbraw/zinc/51/66/63/1072516663.db2.gz MKJAPDSDCNUGJU-UHFFFAOYSA-N 0 0 440.588 -0.937 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(c3ncnc4sccc43)CC2)CC1 ZINC000443532022 1072515907 /nfs/dbraw/zinc/51/59/07/1072515907.db2.gz NHMKPMRHPYTNRN-UHFFFAOYSA-N 0 0 446.580 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCc2nccn2Cc2ccccc2)CC1 ZINC000443532223 1072515977 /nfs/dbraw/zinc/51/59/77/1072515977.db2.gz NHPMTXBHABAZGQ-UHFFFAOYSA-N 0 0 427.552 -0.115 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000443532740 1072516056 /nfs/dbraw/zinc/51/60/56/1072516056.db2.gz UGYZGZLTEZTYBU-INIZCTEOSA-N 0 0 430.552 -0.238 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000443532742 1072515963 /nfs/dbraw/zinc/51/59/63/1072515963.db2.gz UGYZGZLTEZTYBU-MRXNPFEDSA-N 0 0 430.552 -0.238 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000443533514 1072516672 /nfs/dbraw/zinc/51/66/72/1072516672.db2.gz UUPKHSLDFQMKFR-INIZCTEOSA-N 0 0 439.538 -0.718 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000443533515 1072516603 /nfs/dbraw/zinc/51/66/03/1072516603.db2.gz UUPKHSLDFQMKFR-MRXNPFEDSA-N 0 0 439.538 -0.718 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000443533911 1072516080 /nfs/dbraw/zinc/51/60/80/1072516080.db2.gz RIEGOWBMXQWTCJ-UHFFFAOYSA-N 0 0 432.568 -0.570 20 0 IBADRN CCC(CC)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC000443534190 1072516426 /nfs/dbraw/zinc/51/64/26/1072516426.db2.gz YFWKBTNUVSURCN-INIZCTEOSA-N 0 0 426.605 -0.465 20 0 IBADRN CCC(CC)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC000443534192 1072516481 /nfs/dbraw/zinc/51/64/81/1072516481.db2.gz YFWKBTNUVSURCN-MRXNPFEDSA-N 0 0 426.605 -0.465 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000443534472 1072516534 /nfs/dbraw/zinc/51/65/34/1072516534.db2.gz RJYFDCBHTRAXMM-UHFFFAOYSA-N 0 0 439.581 -0.597 20 0 IBADRN C[C@H]1CN(c2ccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)cn2)CCO1 ZINC000443534826 1072516694 /nfs/dbraw/zinc/51/66/94/1072516694.db2.gz RQPMURGWZLISFG-AWEZNQCLSA-N 0 0 433.556 -0.782 20 0 IBADRN C[C@@H]1CN(c2ccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)cn2)CCO1 ZINC000443534835 1072516590 /nfs/dbraw/zinc/51/65/90/1072516590.db2.gz RQPMURGWZLISFG-CQSZACIVSA-N 0 0 433.556 -0.782 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)Cc3ccccc3)CC2)CC1 ZINC000443535016 1072517002 /nfs/dbraw/zinc/51/70/02/1072517002.db2.gz XDEISNVVKNFCDU-UHFFFAOYSA-N 0 0 430.552 -0.805 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000443535241 1072516949 /nfs/dbraw/zinc/51/69/49/1072516949.db2.gz VYRUEDIGASXOPA-UHFFFAOYSA-N 0 0 445.567 -0.935 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C2)CC1 ZINC000443535406 1072516462 /nfs/dbraw/zinc/51/64/62/1072516462.db2.gz YEDQXHFDYHAXRL-FGTMMUONSA-N 0 0 435.550 -0.241 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C2)CC1 ZINC000443535407 1072516414 /nfs/dbraw/zinc/51/64/14/1072516414.db2.gz YEDQXHFDYHAXRL-KURKYZTESA-N 0 0 435.550 -0.241 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C2)CC1 ZINC000443535408 1072516641 /nfs/dbraw/zinc/51/66/41/1072516641.db2.gz YEDQXHFDYHAXRL-KZNAEPCWSA-N 0 0 435.550 -0.241 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3c3ccccc3)C2)CC1 ZINC000443535409 1072516472 /nfs/dbraw/zinc/51/64/72/1072516472.db2.gz YEDQXHFDYHAXRL-SQNIBIBYSA-N 0 0 435.550 -0.241 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(Cc3cn4ccccc4n3)CC2)CC1 ZINC000443535569 1072516505 /nfs/dbraw/zinc/51/65/05/1072516505.db2.gz XNDKFTVQJKEBAX-UHFFFAOYSA-N 0 0 442.567 -0.726 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCNc2ccc(C(F)(F)F)cn2)CC1 ZINC000443535887 1072516446 /nfs/dbraw/zinc/51/64/46/1072516446.db2.gz VMMKUDLFSZRCGV-UHFFFAOYSA-N 0 0 445.489 0.314 20 0 IBADRN COCCN(C(=O)CNc1ccc(C(=O)N[C@H](C)CO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000443539999 1072516989 /nfs/dbraw/zinc/51/69/89/1072516989.db2.gz HIBWGYXJSVQVOG-PBHICJAKSA-N 0 0 427.523 -0.129 20 0 IBADRN COCCN(C(=O)CNc1ccc(C(=O)N[C@H](C)CO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000443540002 1072516976 /nfs/dbraw/zinc/51/69/76/1072516976.db2.gz HIBWGYXJSVQVOG-RHSMWYFYSA-N 0 0 427.523 -0.129 20 0 IBADRN COCCN(C(=O)CNc1ccc(C(=O)N[C@@H](C)CO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000443540004 1072517010 /nfs/dbraw/zinc/51/70/10/1072517010.db2.gz HIBWGYXJSVQVOG-WMLDXEAASA-N 0 0 427.523 -0.129 20 0 IBADRN COCCN(C(=O)CNc1ccc(C(=O)N[C@@H](C)CO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000443540006 1072517083 /nfs/dbraw/zinc/51/70/83/1072517083.db2.gz HIBWGYXJSVQVOG-YOEHRIQHSA-N 0 0 427.523 -0.129 20 0 IBADRN CCN(C(=O)CNc1cc(C(=O)NCCC(N)=O)ccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000443541147 1072516952 /nfs/dbraw/zinc/51/69/52/1072516952.db2.gz KCNVQSHGXMKNLE-CYBMUJFWSA-N 0 0 428.486 -0.122 20 0 IBADRN CCN(C(=O)CNc1cc(C(=O)NCCC(N)=O)ccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000443541151 1072517044 /nfs/dbraw/zinc/51/70/44/1072517044.db2.gz KCNVQSHGXMKNLE-ZDUSSCGKSA-N 0 0 428.486 -0.122 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000443541631 1072516910 /nfs/dbraw/zinc/51/69/10/1072516910.db2.gz UARLODDFGHKLTQ-CVEARBPZSA-N 0 0 429.543 -0.693 20 0 IBADRN C[C@H](O)CN(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H](C)CO ZINC000443541632 1072517058 /nfs/dbraw/zinc/51/70/58/1072517058.db2.gz UARLODDFGHKLTQ-HOTGVXAUSA-N 0 0 429.543 -0.693 20 0 IBADRN C[C@@H](O)CN(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H](C)CO ZINC000443541633 1072517023 /nfs/dbraw/zinc/51/70/23/1072517023.db2.gz UARLODDFGHKLTQ-HZPDHXFCSA-N 0 0 429.543 -0.693 20 0 IBADRN C[C@@H](O)CN(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H](C)CO ZINC000443541634 1072516891 /nfs/dbraw/zinc/51/68/91/1072516891.db2.gz UARLODDFGHKLTQ-JKSUJKDBSA-N 0 0 429.543 -0.693 20 0 IBADRN COCCN(C(=O)CNc1cccc(C(=O)NCCO)c1C)[C@H]1CCS(=O)(=O)C1 ZINC000443542062 1072517476 /nfs/dbraw/zinc/51/74/76/1072517476.db2.gz VLQWFVGDHHZMST-HNNXBMFYSA-N 0 0 427.523 -0.209 20 0 IBADRN COCCN(C(=O)CNc1cccc(C(=O)NCCO)c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000443542065 1072517490 /nfs/dbraw/zinc/51/74/90/1072517490.db2.gz VLQWFVGDHHZMST-OAHLLOKOSA-N 0 0 427.523 -0.209 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)s1 ZINC000443574297 1072518703 /nfs/dbraw/zinc/51/87/03/1072518703.db2.gz CPKZXNZZMOJIFQ-GFCCVEGCSA-N 0 0 430.508 -0.685 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)s1 ZINC000443574298 1072518599 /nfs/dbraw/zinc/51/85/99/1072518599.db2.gz CPKZXNZZMOJIFQ-LBPRGKRZSA-N 0 0 430.508 -0.685 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000443587061 1072519081 /nfs/dbraw/zinc/51/90/81/1072519081.db2.gz CDGHCTRGIORHQZ-BARDWOONSA-N 0 0 427.523 -0.252 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000443587062 1072519095 /nfs/dbraw/zinc/51/90/95/1072519095.db2.gz CDGHCTRGIORHQZ-TUVASFSCSA-N 0 0 427.523 -0.252 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC000443587063 1072518991 /nfs/dbraw/zinc/51/89/91/1072518991.db2.gz CDGHCTRGIORHQZ-XQLPTFJDSA-N 0 0 427.523 -0.252 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC000443587064 1072519172 /nfs/dbraw/zinc/51/91/72/1072519172.db2.gz CDGHCTRGIORHQZ-YJNKXOJESA-N 0 0 427.523 -0.252 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000443606147 1072521145 /nfs/dbraw/zinc/52/11/45/1072521145.db2.gz RTTOJGWDXWKXIV-UHFFFAOYSA-N 0 0 430.552 -0.664 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCSCC2)CC1 ZINC000443614041 1072521236 /nfs/dbraw/zinc/52/12/36/1072521236.db2.gz KLPSWZCCLYGJGB-UHFFFAOYSA-N 0 0 432.593 -0.011 20 0 IBADRN CCNC(=O)C1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cc(OC)c2OC)C1 ZINC000443614884 1072521071 /nfs/dbraw/zinc/52/10/71/1072521071.db2.gz MPHCIAMKHZCSNJ-UHFFFAOYSA-N 0 0 441.506 -0.067 20 0 IBADRN CCNC(=O)C1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1 ZINC000443615304 1072521096 /nfs/dbraw/zinc/52/10/96/1072521096.db2.gz NTZCVALNTYBQNA-HNNXBMFYSA-N 0 0 425.507 -0.177 20 0 IBADRN CCNC(=O)C1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1 ZINC000443615307 1072521312 /nfs/dbraw/zinc/52/13/12/1072521312.db2.gz NTZCVALNTYBQNA-OAHLLOKOSA-N 0 0 425.507 -0.177 20 0 IBADRN CNC(=O)CN1CCN(C(=O)C2(NS(=O)(=O)c3c(C)noc3C)CCCC2)CC1 ZINC000443619915 1072521815 /nfs/dbraw/zinc/52/18/15/1072521815.db2.gz RTOBIEPRRGWQKF-UHFFFAOYSA-N 0 0 427.527 -0.227 20 0 IBADRN C[C@@]1(C2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)NC(=O)NC1=O ZINC000443623471 1072522055 /nfs/dbraw/zinc/52/20/55/1072522055.db2.gz KDPJDLNWKVZEIC-FQEVSTJZSA-N 0 0 430.513 -0.382 20 0 IBADRN C[C@]1(C2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)NC(=O)NC1=O ZINC000443623472 1072522254 /nfs/dbraw/zinc/52/22/54/1072522254.db2.gz KDPJDLNWKVZEIC-HXUWFJFHSA-N 0 0 430.513 -0.382 20 0 IBADRN CCNC(=O)C1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000443624846 1072522324 /nfs/dbraw/zinc/52/23/24/1072522324.db2.gz SXYWFPOBOBIEOL-HNNXBMFYSA-N 0 0 431.536 -0.637 20 0 IBADRN CCNC(=O)C1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000443624849 1072522299 /nfs/dbraw/zinc/52/22/99/1072522299.db2.gz SXYWFPOBOBIEOL-OAHLLOKOSA-N 0 0 431.536 -0.637 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000443624924 1072522271 /nfs/dbraw/zinc/52/22/71/1072522271.db2.gz FMDKTOKZSALCMP-AWEZNQCLSA-N 0 0 434.540 -0.738 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000443624926 1072522168 /nfs/dbraw/zinc/52/21/68/1072522168.db2.gz FMDKTOKZSALCMP-CQSZACIVSA-N 0 0 434.540 -0.738 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000443627683 1072521759 /nfs/dbraw/zinc/52/17/59/1072521759.db2.gz MJOAYXXPYVFFGG-CVEARBPZSA-N 0 0 428.555 -0.104 20 0 IBADRN C[C@H](O)CN(C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)[C@@H](C)CO ZINC000443627686 1072521651 /nfs/dbraw/zinc/52/16/51/1072521651.db2.gz MJOAYXXPYVFFGG-HOTGVXAUSA-N 0 0 428.555 -0.104 20 0 IBADRN C[C@@H](O)CN(C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)[C@H](C)CO ZINC000443627690 1072521637 /nfs/dbraw/zinc/52/16/37/1072521637.db2.gz MJOAYXXPYVFFGG-HZPDHXFCSA-N 0 0 428.555 -0.104 20 0 IBADRN C[C@@H](O)CN(C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)[C@@H](C)CO ZINC000443627693 1072521687 /nfs/dbraw/zinc/52/16/87/1072521687.db2.gz MJOAYXXPYVFFGG-JKSUJKDBSA-N 0 0 428.555 -0.104 20 0 IBADRN C[C@]1(C2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)NC(=O)NC1=O ZINC000443629945 1072521717 /nfs/dbraw/zinc/52/17/17/1072521717.db2.gz NKWLXIVNXXDXSL-KBXCAEBGSA-N 0 0 445.542 -0.505 20 0 IBADRN C[C@@]1(C2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)NC(=O)NC1=O ZINC000443629954 1072521853 /nfs/dbraw/zinc/52/18/53/1072521853.db2.gz NKWLXIVNXXDXSL-KDOFPFPSSA-N 0 0 445.542 -0.505 20 0 IBADRN C[C@@]1(C2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)NC(=O)NC1=O ZINC000443630297 1072522228 /nfs/dbraw/zinc/52/22/28/1072522228.db2.gz NKWLXIVNXXDXSL-KSSFIOAISA-N 0 0 445.542 -0.505 20 0 IBADRN C[C@]1(C2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)NC(=O)NC1=O ZINC000443630298 1072522131 /nfs/dbraw/zinc/52/21/31/1072522131.db2.gz NKWLXIVNXXDXSL-RDTXWAMCSA-N 0 0 445.542 -0.505 20 0 IBADRN CNC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC000443631848 1072522075 /nfs/dbraw/zinc/52/20/75/1072522075.db2.gz SASBSEICKFBHMD-UHFFFAOYSA-N 0 0 426.543 -0.683 20 0 IBADRN C[C@@]1(C2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)NC(=O)NC1=O ZINC000443633269 1072522114 /nfs/dbraw/zinc/52/21/14/1072522114.db2.gz ZIGULZNZYXBXJJ-INIZCTEOSA-N 0 0 433.556 -0.615 20 0 IBADRN C[C@]1(C2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)NC(=O)NC1=O ZINC000443633270 1072522306 /nfs/dbraw/zinc/52/23/06/1072522306.db2.gz ZIGULZNZYXBXJJ-MRXNPFEDSA-N 0 0 433.556 -0.615 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCN2CCc3c(Cl)cccc3C2)n1 ZINC000443653932 1072523707 /nfs/dbraw/zinc/52/37/07/1072523707.db2.gz NVPSNSKXOXLGQO-UHFFFAOYSA-N 0 0 433.900 0.133 20 0 IBADRN COc1ccc2c(c1)CCN(C(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)CC2 ZINC000443659769 1072523962 /nfs/dbraw/zinc/52/39/62/1072523962.db2.gz REWDROIAVUBXIT-UHFFFAOYSA-N 0 0 441.488 0.316 20 0 IBADRN CC[C@@]1(C)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000443661417 1072523975 /nfs/dbraw/zinc/52/39/75/1072523975.db2.gz XGKXAGQYZFHLHI-IBGZPJMESA-N 0 0 438.506 -0.227 20 0 IBADRN CC[C@]1(C)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000443661418 1072524140 /nfs/dbraw/zinc/52/41/40/1072524140.db2.gz XGKXAGQYZFHLHI-LJQANCHMSA-N 0 0 438.506 -0.227 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H](C(=O)OC)[C@@H](C)O)o1 ZINC000443665226 1072524350 /nfs/dbraw/zinc/52/43/50/1072524350.db2.gz ALYVTHHGFDFCEB-GYSYKLTISA-N 0 0 432.451 -0.352 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H](C(=O)OC)[C@@H](C)O)o1 ZINC000443665227 1072524560 /nfs/dbraw/zinc/52/45/60/1072524560.db2.gz ALYVTHHGFDFCEB-JTNHKYCSSA-N 0 0 432.451 -0.352 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H](C(=O)OC)[C@H](C)O)o1 ZINC000443665228 1072524513 /nfs/dbraw/zinc/52/45/13/1072524513.db2.gz ALYVTHHGFDFCEB-MISXGVKJSA-N 0 0 432.451 -0.352 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H](C(=O)OC)[C@H](C)O)o1 ZINC000443665229 1072524412 /nfs/dbraw/zinc/52/44/12/1072524412.db2.gz ALYVTHHGFDFCEB-WDMOLILDSA-N 0 0 432.451 -0.352 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C)[C@H](C)O ZINC000443668952 1072524376 /nfs/dbraw/zinc/52/43/76/1072524376.db2.gz MQESVBKEGWCMGQ-KNXALSJPSA-N 0 0 430.479 -0.201 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C)[C@@H](C)O ZINC000443668953 1072524485 /nfs/dbraw/zinc/52/44/85/1072524485.db2.gz MQESVBKEGWCMGQ-RLCCDNCMSA-N 0 0 430.479 -0.201 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C)[C@H](C)O ZINC000443668954 1072524391 /nfs/dbraw/zinc/52/43/91/1072524391.db2.gz MQESVBKEGWCMGQ-UVBJJODRSA-N 0 0 430.479 -0.201 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C)[C@@H](C)O ZINC000443668955 1072524540 /nfs/dbraw/zinc/52/45/40/1072524540.db2.gz MQESVBKEGWCMGQ-XFBWCDHKSA-N 0 0 430.479 -0.201 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)[C@@H](C)O ZINC000443669997 1072524520 /nfs/dbraw/zinc/52/45/20/1072524520.db2.gz CDQOHGJACAKSHP-ABAIWWIYSA-N 0 0 446.478 -0.623 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)[C@@H](C)O ZINC000443669998 1072524955 /nfs/dbraw/zinc/52/49/55/1072524955.db2.gz CDQOHGJACAKSHP-IAQYHMDHSA-N 0 0 446.478 -0.623 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)[C@H](C)O ZINC000443670099 1072524424 /nfs/dbraw/zinc/52/44/24/1072524424.db2.gz CDQOHGJACAKSHP-NHYWBVRUSA-N 0 0 446.478 -0.623 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)[C@H](C)O ZINC000443670100 1072524530 /nfs/dbraw/zinc/52/45/30/1072524530.db2.gz CDQOHGJACAKSHP-XHDPSFHLSA-N 0 0 446.478 -0.623 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)[C@H](C)O ZINC000443671792 1072524495 /nfs/dbraw/zinc/52/44/95/1072524495.db2.gz DMCUDMYSEJEXHO-BLLLJJGKSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)[C@H](C)O ZINC000443671794 1072524438 /nfs/dbraw/zinc/52/44/38/1072524438.db2.gz DMCUDMYSEJEXHO-LRDDRELGSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)[C@@H](C)O ZINC000443671797 1072524501 /nfs/dbraw/zinc/52/45/01/1072524501.db2.gz DMCUDMYSEJEXHO-MLGOLLRUSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)[C@@H](C)O ZINC000443671799 1072524464 /nfs/dbraw/zinc/52/44/64/1072524464.db2.gz DMCUDMYSEJEXHO-WBMJQRKESA-N 0 0 427.479 -0.808 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](C(=O)OC)[C@H](C)O)c1 ZINC000443676123 1072524873 /nfs/dbraw/zinc/52/48/73/1072524873.db2.gz PALISUOXZRDJJO-BLLLJJGKSA-N 0 0 429.495 -0.515 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H](C(=O)OC)[C@H](C)O)c1 ZINC000443676124 1072525077 /nfs/dbraw/zinc/52/50/77/1072525077.db2.gz PALISUOXZRDJJO-LRDDRELGSA-N 0 0 429.495 -0.515 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](C(=O)OC)[C@@H](C)O)c1 ZINC000443676125 1072524962 /nfs/dbraw/zinc/52/49/62/1072524962.db2.gz PALISUOXZRDJJO-MLGOLLRUSA-N 0 0 429.495 -0.515 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H](C(=O)OC)[C@@H](C)O)c1 ZINC000443676126 1072524911 /nfs/dbraw/zinc/52/49/11/1072524911.db2.gz PALISUOXZRDJJO-WBMJQRKESA-N 0 0 429.495 -0.515 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)[C@H](C)O ZINC000443678041 1072525377 /nfs/dbraw/zinc/52/53/77/1072525377.db2.gz VASPMHFORPZNCU-BLLLJJGKSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)[C@H](C)O ZINC000443678043 1072525313 /nfs/dbraw/zinc/52/53/13/1072525313.db2.gz VASPMHFORPZNCU-LRDDRELGSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)[C@@H](C)O ZINC000443678044 1072525442 /nfs/dbraw/zinc/52/54/42/1072525442.db2.gz VASPMHFORPZNCU-MLGOLLRUSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)[C@@H](C)O ZINC000443678045 1072525322 /nfs/dbraw/zinc/52/53/22/1072525322.db2.gz VASPMHFORPZNCU-WBMJQRKESA-N 0 0 427.479 -0.808 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(F)cc(N3CCOCC3)c2)C1 ZINC000443681385 1072525958 /nfs/dbraw/zinc/52/59/58/1072525958.db2.gz DPWSPWYNWYWMPI-UHFFFAOYSA-N 0 0 428.486 -0.002 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCCN3CCN(C(C)=O)CC3)ccc2O1 ZINC000443681537 1072525948 /nfs/dbraw/zinc/52/59/48/1072525948.db2.gz KDQVAGVSMGZZSD-GOSISDBHSA-N 0 0 425.507 -0.004 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCCN3CCN(C(C)=O)CC3)ccc2O1 ZINC000443681538 1072525981 /nfs/dbraw/zinc/52/59/81/1072525981.db2.gz KDQVAGVSMGZZSD-SFHVURJKSA-N 0 0 425.507 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H](C(=O)OC)[C@H](C)O)cc1 ZINC000443684083 1072525910 /nfs/dbraw/zinc/52/59/10/1072525910.db2.gz SECUZVPCSIDTRZ-BLLLJJGKSA-N 0 0 429.495 -0.515 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H](C(=O)OC)[C@H](C)O)cc1 ZINC000443684084 1072525880 /nfs/dbraw/zinc/52/58/80/1072525880.db2.gz SECUZVPCSIDTRZ-LRDDRELGSA-N 0 0 429.495 -0.515 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H](C(=O)OC)[C@@H](C)O)cc1 ZINC000443684085 1072525923 /nfs/dbraw/zinc/52/59/23/1072525923.db2.gz SECUZVPCSIDTRZ-MLGOLLRUSA-N 0 0 429.495 -0.515 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H](C(=O)OC)[C@@H](C)O)cc1 ZINC000443684086 1072525968 /nfs/dbraw/zinc/52/59/68/1072525968.db2.gz SECUZVPCSIDTRZ-WBMJQRKESA-N 0 0 429.495 -0.515 20 0 IBADRN Nc1ncc(Cl)cc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000443684364 1072526375 /nfs/dbraw/zinc/52/63/75/1072526375.db2.gz ATARLLSQGFCLQV-UHFFFAOYSA-N 0 0 425.920 -0.800 20 0 IBADRN COCCOCCS(=O)(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000443684399 1072525337 /nfs/dbraw/zinc/52/53/37/1072525337.db2.gz AYJIAKOFRRMQEV-UHFFFAOYSA-N 0 0 436.552 -0.069 20 0 IBADRN O=S(=O)(c1cnn([C@H]2CCOC2)c1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000443684434 1072525436 /nfs/dbraw/zinc/52/54/36/1072525436.db2.gz BDUQUHULLUCOSS-AWEZNQCLSA-N 0 0 427.508 -0.065 20 0 IBADRN O=S(=O)(c1cnn([C@@H]2CCOC2)c1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000443684437 1072525851 /nfs/dbraw/zinc/52/58/51/1072525851.db2.gz BDUQUHULLUCOSS-CQSZACIVSA-N 0 0 427.508 -0.065 20 0 IBADRN COC(=O)[C@@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)[C@@H](C)O ZINC000443685943 1072526524 /nfs/dbraw/zinc/52/65/24/1072526524.db2.gz WIDSFWZZQJXGMF-PXAZEXFGSA-N 0 0 442.490 -0.103 20 0 IBADRN COC(=O)[C@@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)[C@H](C)O ZINC000443685944 1072526347 /nfs/dbraw/zinc/52/63/47/1072526347.db2.gz WIDSFWZZQJXGMF-SJCJKPOMSA-N 0 0 442.490 -0.103 20 0 IBADRN COC(=O)[C@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)[C@@H](C)O ZINC000443685945 1072526393 /nfs/dbraw/zinc/52/63/93/1072526393.db2.gz WIDSFWZZQJXGMF-SJKOYZFVSA-N 0 0 442.490 -0.103 20 0 IBADRN COC(=O)[C@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)[C@H](C)O ZINC000443685946 1072526420 /nfs/dbraw/zinc/52/64/20/1072526420.db2.gz WIDSFWZZQJXGMF-YVEFUNNKSA-N 0 0 442.490 -0.103 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)C1 ZINC000443686609 1072526516 /nfs/dbraw/zinc/52/65/16/1072526516.db2.gz WKURCNCNQOMYRK-UHFFFAOYSA-N 0 0 448.505 -0.384 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)C1 ZINC000443687687 1072525897 /nfs/dbraw/zinc/52/58/97/1072525897.db2.gz SJTQGZWMWCXFIZ-UHFFFAOYSA-N 0 0 443.478 -0.173 20 0 IBADRN Cc1ccc(C(=O)NCC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000443687741 1072526047 /nfs/dbraw/zinc/52/60/47/1072526047.db2.gz HTARFKOQQNQISL-HNNXBMFYSA-N 0 0 427.461 -0.757 20 0 IBADRN Cc1ccc(C(=O)NCC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000443687742 1072525808 /nfs/dbraw/zinc/52/58/08/1072525808.db2.gz HTARFKOQQNQISL-OAHLLOKOSA-N 0 0 427.461 -0.757 20 0 IBADRN O=C(Nc1cc(F)cc(F)c1Br)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000443687759 1072526032 /nfs/dbraw/zinc/52/60/32/1072526032.db2.gz HWHCXCDQLWHLRE-MRVPVSSYSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C(Nc1cc(F)cc(F)c1Br)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000443687760 1072525862 /nfs/dbraw/zinc/52/58/62/1072525862.db2.gz HWHCXCDQLWHLRE-QMMMGPOBSA-N 0 0 431.193 -0.165 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(C(=O)c3nccn3C)cc2)C1 ZINC000443689298 1072526356 /nfs/dbraw/zinc/52/63/56/1072526356.db2.gz LDGSUUZAVMQIKA-UHFFFAOYSA-N 0 0 433.490 -0.013 20 0 IBADRN COCCOCCS(=O)(=O)N1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000443692480 1072526435 /nfs/dbraw/zinc/52/64/35/1072526435.db2.gz LCTCSBQWFKSSTA-UHFFFAOYSA-N 0 0 425.529 -0.019 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCCC(=O)N3CCN(C)CC3)ccc2O1 ZINC000443693010 1072526989 /nfs/dbraw/zinc/52/69/89/1072526989.db2.gz LEUZPPAHEWVCIB-KRWDZBQOSA-N 0 0 425.507 -0.004 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCCC(=O)N3CCN(C)CC3)ccc2O1 ZINC000443693011 1072526806 /nfs/dbraw/zinc/52/68/06/1072526806.db2.gz LEUZPPAHEWVCIB-QGZVFWFLSA-N 0 0 425.507 -0.004 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1C ZINC000443693294 1072526451 /nfs/dbraw/zinc/52/64/51/1072526451.db2.gz MDZIDYYBMLCXIZ-UHFFFAOYSA-N 0 0 428.405 -0.275 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(OCC(=O)OC)cc2C)C1 ZINC000443694391 1072526401 /nfs/dbraw/zinc/52/64/01/1072526401.db2.gz BFFVZYHUNNKLAI-UHFFFAOYSA-N 0 0 427.479 -0.117 20 0 IBADRN COCCOCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000443694766 1072526386 /nfs/dbraw/zinc/52/63/86/1072526386.db2.gz AKSVPZRZUCDDMS-UHFFFAOYSA-N 0 0 428.554 -0.149 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)C1 ZINC000443694893 1072526367 /nfs/dbraw/zinc/52/63/67/1072526367.db2.gz URSJHSICQMSRLK-UHFFFAOYSA-N 0 0 438.506 -0.442 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)C1 ZINC000443695139 1072526483 /nfs/dbraw/zinc/52/64/83/1072526483.db2.gz CTDQZADYICZXCY-UHFFFAOYSA-N 0 0 443.478 -0.173 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000443696088 1072526459 /nfs/dbraw/zinc/52/64/59/1072526459.db2.gz XDNLTWUNCQFEJG-UHFFFAOYSA-N 0 0 426.495 -0.510 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)C1 ZINC000443696163 1072526836 /nfs/dbraw/zinc/52/68/36/1072526836.db2.gz VLASQAUTEVCFJC-UHFFFAOYSA-N 0 0 438.506 -0.442 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)C1 ZINC000443697965 1072526788 /nfs/dbraw/zinc/52/67/88/1072526788.db2.gz LIKPESCURVCNDB-UHFFFAOYSA-N 0 0 432.524 -0.761 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(OCCC(=O)NC)cc2)C1 ZINC000443698846 1072526797 /nfs/dbraw/zinc/52/67/97/1072526797.db2.gz NBIFIFGPARQHJM-UHFFFAOYSA-N 0 0 426.495 -0.462 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)C1 ZINC000443700433 1072527347 /nfs/dbraw/zinc/52/73/47/1072527347.db2.gz URVGIDUXLQXVKS-UHFFFAOYSA-N 0 0 446.551 -0.418 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000443701500 1072526894 /nfs/dbraw/zinc/52/68/94/1072526894.db2.gz WIYJVWZPVCSJSQ-UHFFFAOYSA-N 0 0 432.524 -0.727 20 0 IBADRN O=C(c1ccc(CNS(=O)(=O)c2cnn([C@H]3CCOC3)c2)cc1)N1CCNC(=O)C1 ZINC000443701692 1072526828 /nfs/dbraw/zinc/52/68/28/1072526828.db2.gz ZENNNVUYFTWYIZ-INIZCTEOSA-N 0 0 433.490 -0.105 20 0 IBADRN O=C(c1ccc(CNS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)cc1)N1CCNC(=O)C1 ZINC000443701695 1072526856 /nfs/dbraw/zinc/52/68/56/1072526856.db2.gz ZENNNVUYFTWYIZ-MRXNPFEDSA-N 0 0 433.490 -0.105 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1 ZINC000443701696 1072526921 /nfs/dbraw/zinc/52/69/21/1072526921.db2.gz XBODVYOZGOKGQJ-UHFFFAOYSA-N 0 0 439.523 -0.280 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1 ZINC000443702087 1072526866 /nfs/dbraw/zinc/52/68/66/1072526866.db2.gz BQQCAHHJLPKMGY-UHFFFAOYSA-N 0 0 440.522 -0.202 20 0 IBADRN COCCOCCS(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000443702243 1072527296 /nfs/dbraw/zinc/52/72/96/1072527296.db2.gz XKQBAIAPRVKINW-UHFFFAOYSA-N 0 0 432.524 -0.138 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(OCCC(=O)OC)cc2)C1 ZINC000443702844 1072527280 /nfs/dbraw/zinc/52/72/80/1072527280.db2.gz CKICXGCOBUGADN-UHFFFAOYSA-N 0 0 427.479 -0.035 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc(Cl)cnc3N)CC2)o1 ZINC000443703025 1072526901 /nfs/dbraw/zinc/52/69/01/1072526901.db2.gz CAYUEXCBORMQBO-UHFFFAOYSA-N 0 0 449.898 -0.296 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCNC(=O)[C@@H]3CC(=O)OC)ccc2O1 ZINC000443703058 1072526908 /nfs/dbraw/zinc/52/69/08/1072526908.db2.gz CHNNUEPFBSHKGG-DZGCQCFKSA-N 0 0 426.447 -0.395 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCNC(=O)[C@H]3CC(=O)OC)ccc2O1 ZINC000443703059 1072527261 /nfs/dbraw/zinc/52/72/61/1072527261.db2.gz CHNNUEPFBSHKGG-HIFRSBDPSA-N 0 0 426.447 -0.395 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCNC(=O)[C@H]3CC(=O)OC)ccc2O1 ZINC000443703060 1072527333 /nfs/dbraw/zinc/52/73/33/1072527333.db2.gz CHNNUEPFBSHKGG-UKRRQHHQSA-N 0 0 426.447 -0.395 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCNC(=O)[C@@H]3CC(=O)OC)ccc2O1 ZINC000443703061 1072527365 /nfs/dbraw/zinc/52/73/65/1072527365.db2.gz CHNNUEPFBSHKGG-ZFWWWQNUSA-N 0 0 426.447 -0.395 20 0 IBADRN CC(C)(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000443703236 1070847065 /nfs/dbraw/zinc/84/70/65/1070847065.db2.gz CAELJVYTTIHRSC-UHFFFAOYSA-N 0 0 434.478 -0.029 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)NCC2CN(S(=O)(=O)CC)C2)c1 ZINC000443703670 1072527373 /nfs/dbraw/zinc/52/73/73/1072527373.db2.gz DCWPVVDMVQXICK-UHFFFAOYSA-N 0 0 427.479 -0.035 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC000443703833 1072527337 /nfs/dbraw/zinc/52/73/37/1072527337.db2.gz DGWCFPMUMJFXKT-UHFFFAOYSA-N 0 0 441.462 -0.404 20 0 IBADRN Cc1ccc(C(=O)NCC2CC2)cc1NC(=O)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000443707212 1072527267 /nfs/dbraw/zinc/52/72/67/1072527267.db2.gz JPWJPQBFIIPLQI-UHFFFAOYSA-N 0 0 425.449 -0.153 20 0 IBADRN COCCOCCS(=O)(=O)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000443707752 1072527251 /nfs/dbraw/zinc/52/72/51/1072527251.db2.gz NAEACPBNGADVNX-UHFFFAOYSA-N 0 0 449.551 -0.023 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)C[C@@H](C(=O)OCC)O3)CC1 ZINC000443709201 1072527910 /nfs/dbraw/zinc/52/79/10/1072527910.db2.gz MYAGPSZJTKUJTP-KRWDZBQOSA-N 0 0 425.507 -0.004 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)C[C@H](C(=O)OCC)O3)CC1 ZINC000443709203 1072527772 /nfs/dbraw/zinc/52/77/72/1072527772.db2.gz MYAGPSZJTKUJTP-QGZVFWFLSA-N 0 0 425.507 -0.004 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000443709246 1072527644 /nfs/dbraw/zinc/52/76/44/1072527644.db2.gz NEQIRGOWQUMYEW-UHFFFAOYSA-N 0 0 425.511 -0.477 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000443710247 1072527747 /nfs/dbraw/zinc/52/77/47/1072527747.db2.gz OTIIZGLYZRVWCG-UHFFFAOYSA-N 0 0 432.524 -0.727 20 0 IBADRN COCCOCCS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000443712882 1072527892 /nfs/dbraw/zinc/52/78/92/1072527892.db2.gz XJATZYKWVVQEJJ-UHFFFAOYSA-N 0 0 436.556 -0.884 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)ccc1OC ZINC000443712888 1072527671 /nfs/dbraw/zinc/52/76/71/1072527671.db2.gz XJVTVMXUQMDRLU-AWEZNQCLSA-N 0 0 427.479 -0.226 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)ccc1OC ZINC000443712889 1072527761 /nfs/dbraw/zinc/52/77/61/1072527761.db2.gz XJVTVMXUQMDRLU-CQSZACIVSA-N 0 0 427.479 -0.226 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccccc2SCCC(N)=O)C1 ZINC000443714995 1072528316 /nfs/dbraw/zinc/52/83/16/1072528316.db2.gz DHDSURSLHPUWCF-UHFFFAOYSA-N 0 0 428.536 -0.010 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc(NC(=O)[C@@H](C)OC)c2)C1 ZINC000443716120 1072528342 /nfs/dbraw/zinc/52/83/42/1072528342.db2.gz HKUIBRBOMMIDHV-GFCCVEGCSA-N 0 0 426.495 -0.004 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc(NC(=O)[C@H](C)OC)c2)C1 ZINC000443716122 1072528468 /nfs/dbraw/zinc/52/84/68/1072528468.db2.gz HKUIBRBOMMIDHV-LBPRGKRZSA-N 0 0 426.495 -0.004 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000443716766 1072528885 /nfs/dbraw/zinc/52/88/85/1072528885.db2.gz JMWYUGOURACJMK-UHFFFAOYSA-N 0 0 425.446 -0.554 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)NCC2CN(S(=O)(=O)CC)C2)cc1 ZINC000443717061 1072528970 /nfs/dbraw/zinc/52/89/70/1072528970.db2.gz IMNLZHGKLXIDLJ-UHFFFAOYSA-N 0 0 427.479 -0.035 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443717504 1072529349 /nfs/dbraw/zinc/52/93/49/1072529349.db2.gz JRBKVJSZTMEGKW-AWEZNQCLSA-N 0 0 439.581 -0.350 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443717505 1072529358 /nfs/dbraw/zinc/52/93/58/1072529358.db2.gz JRBKVJSZTMEGKW-CQSZACIVSA-N 0 0 439.581 -0.350 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)C1 ZINC000443717659 1072529341 /nfs/dbraw/zinc/52/93/41/1072529341.db2.gz KHBAIGUNBKOJIM-UHFFFAOYSA-N 0 0 446.551 -0.291 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000443717732 1072529466 /nfs/dbraw/zinc/52/94/66/1072529466.db2.gz MXXWVBKCHHLWHR-UHFFFAOYSA-N 0 0 444.535 -0.655 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000443718010 1072528916 /nfs/dbraw/zinc/52/89/16/1072528916.db2.gz LOFZIYOEUXMGQE-UHFFFAOYSA-N 0 0 438.550 -0.103 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000443718192 1072529983 /nfs/dbraw/zinc/52/99/83/1072529983.db2.gz MGYPRDYPXGEPCX-UHFFFAOYSA-N 0 0 444.535 -0.537 20 0 IBADRN O=S(=O)(NCCNS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(Cl)s1 ZINC000443718926 1072529835 /nfs/dbraw/zinc/52/98/35/1072529835.db2.gz OCYATZCVQIHDER-UHFFFAOYSA-N 0 0 437.974 -0.755 20 0 IBADRN CC[C@@]1(C)Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2O1 ZINC000443719112 1072529907 /nfs/dbraw/zinc/52/99/07/1072529907.db2.gz QKIOVARMJFOFIH-IBGZPJMESA-N 0 0 429.437 -0.120 20 0 IBADRN CC[C@]1(C)Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2O1 ZINC000443719113 1072529975 /nfs/dbraw/zinc/52/99/75/1072529975.db2.gz QKIOVARMJFOFIH-LJQANCHMSA-N 0 0 429.437 -0.120 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc3ccn(CC(=O)NC)c3c2)C1 ZINC000443719922 1072530341 /nfs/dbraw/zinc/53/03/41/1072530341.db2.gz QAYUZUBKSFTKAU-UHFFFAOYSA-N 0 0 435.506 -0.277 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)C1 ZINC000443720035 1072530397 /nfs/dbraw/zinc/53/03/97/1072530397.db2.gz RTCZTGOFSQYWJZ-UHFFFAOYSA-N 0 0 432.524 -0.761 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)NCC1CN(S(=O)(=O)CC)C1 ZINC000443720296 1072531135 /nfs/dbraw/zinc/53/11/35/1072531135.db2.gz QOVNHBHBKAGFAD-UHFFFAOYSA-N 0 0 435.499 -0.045 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000443720727 1072530959 /nfs/dbraw/zinc/53/09/59/1072530959.db2.gz RERXCGLJIVTHTO-KRWDZBQOSA-N 0 0 441.531 -0.014 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000443721064 1072530455 /nfs/dbraw/zinc/53/04/55/1072530455.db2.gz SDDDMTVIKKENOK-UHFFFAOYSA-N 0 0 437.482 -0.684 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C(=O)NCC2CC2)ccc1C ZINC000443721220 1072531557 /nfs/dbraw/zinc/53/15/57/1072531557.db2.gz GPIIYMACVZCTEH-KRWDZBQOSA-N 0 0 443.504 -0.073 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C(=O)NCC2CC2)ccc1C ZINC000443721221 1072531536 /nfs/dbraw/zinc/53/15/36/1072531536.db2.gz GPIIYMACVZCTEH-QGZVFWFLSA-N 0 0 443.504 -0.073 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)Cc1ccccc1OC ZINC000443721885 1072531513 /nfs/dbraw/zinc/53/15/13/1072531513.db2.gz UJKRNOGNGBIAMS-CYBMUJFWSA-N 0 0 425.463 -0.597 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)Cc1ccccc1OC ZINC000443721892 1072531680 /nfs/dbraw/zinc/53/16/80/1072531680.db2.gz UJKRNOGNGBIAMS-ZDUSSCGKSA-N 0 0 425.463 -0.597 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)C1 ZINC000443724577 1072532074 /nfs/dbraw/zinc/53/20/74/1072532074.db2.gz ZTOWRYRLYWMXTD-UHFFFAOYSA-N 0 0 435.506 0.276 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000443725276 1072532776 /nfs/dbraw/zinc/53/27/76/1072532776.db2.gz QLRPFFCNGMZUIN-CYBMUJFWSA-N 0 0 440.565 -0.008 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000443725277 1072532639 /nfs/dbraw/zinc/53/26/39/1072532639.db2.gz QLRPFFCNGMZUIN-ZDUSSCGKSA-N 0 0 440.565 -0.008 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1ccccc1OC ZINC000443725491 1072532863 /nfs/dbraw/zinc/53/28/63/1072532863.db2.gz ROLHOFYJUZUJEB-HNNXBMFYSA-N 0 0 449.551 -0.562 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1ccccc1OC ZINC000443725492 1072532807 /nfs/dbraw/zinc/53/28/07/1072532807.db2.gz ROLHOFYJUZUJEB-OAHLLOKOSA-N 0 0 449.551 -0.562 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000443726486 1072532694 /nfs/dbraw/zinc/53/26/94/1072532694.db2.gz XMCLCHRPYFNGDM-GFCCVEGCSA-N 0 0 426.538 -0.398 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000443726487 1072532600 /nfs/dbraw/zinc/53/26/00/1072532600.db2.gz XMCLCHRPYFNGDM-LBPRGKRZSA-N 0 0 426.538 -0.398 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000443726671 1072532820 /nfs/dbraw/zinc/53/28/20/1072532820.db2.gz YGHLHAWZBOPEQQ-KRWDZBQOSA-N 0 0 446.485 -0.131 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCNS(=O)(=O)c1ccc(Cl)s1 ZINC000443729776 1072533298 /nfs/dbraw/zinc/53/32/98/1072533298.db2.gz FQEFQKBLHQNVOK-UHFFFAOYSA-N 0 0 439.990 -0.462 20 0 IBADRN O=S(=O)(C[C@H](O)CNS(=O)(=O)c1cccc(-n2cnnn2)c1)c1cccs1 ZINC000443731667 1072534287 /nfs/dbraw/zinc/53/42/87/1072534287.db2.gz HZBHNMGFTNVUOJ-GFCCVEGCSA-N 0 0 429.505 -0.163 20 0 IBADRN O=S(=O)(C[C@@H](O)CNS(=O)(=O)c1cccc(-n2cnnn2)c1)c1cccs1 ZINC000443731669 1072534405 /nfs/dbraw/zinc/53/44/05/1072534405.db2.gz HZBHNMGFTNVUOJ-LBPRGKRZSA-N 0 0 429.505 -0.163 20 0 IBADRN Cn1cc(S(=O)(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)c(=O)n(C)c1=O ZINC000443738503 1072536306 /nfs/dbraw/zinc/53/63/06/1072536306.db2.gz SWAYGKXPNNKGSK-UHFFFAOYSA-N 0 0 442.928 -0.944 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000443738562 1072535891 /nfs/dbraw/zinc/53/58/91/1072535891.db2.gz UBBSMTCQTRCVNL-NEPJUHHUSA-N 0 0 426.538 -0.255 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000443738567 1072535973 /nfs/dbraw/zinc/53/59/73/1072535973.db2.gz UBBSMTCQTRCVNL-NWDGAFQWSA-N 0 0 426.538 -0.255 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000443738569 1072535942 /nfs/dbraw/zinc/53/59/42/1072535942.db2.gz UBBSMTCQTRCVNL-RYUDHWBXSA-N 0 0 426.538 -0.255 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000443738571 1072535684 /nfs/dbraw/zinc/53/56/84/1072535684.db2.gz UBBSMTCQTRCVNL-VXGBXAGGSA-N 0 0 426.538 -0.255 20 0 IBADRN O=S(=O)(C[C@H](O)CNS(=O)(=O)c1ccc(-n2cnnn2)cc1)c1cccs1 ZINC000443738948 1072535775 /nfs/dbraw/zinc/53/57/75/1072535775.db2.gz VUEAKYIMBRNWAP-GFCCVEGCSA-N 0 0 429.505 -0.163 20 0 IBADRN O=S(=O)(C[C@@H](O)CNS(=O)(=O)c1ccc(-n2cnnn2)cc1)c1cccs1 ZINC000443738949 1072535870 /nfs/dbraw/zinc/53/58/70/1072535870.db2.gz VUEAKYIMBRNWAP-LBPRGKRZSA-N 0 0 429.505 -0.163 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC)c1 ZINC000443741414 1072536894 /nfs/dbraw/zinc/53/68/94/1072536894.db2.gz FAZGIQFGOVRAKB-GFCCVEGCSA-N 0 0 434.540 -0.492 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC)c1 ZINC000443741415 1072536856 /nfs/dbraw/zinc/53/68/56/1072536856.db2.gz FAZGIQFGOVRAKB-LBPRGKRZSA-N 0 0 434.540 -0.492 20 0 IBADRN CCOC(=O)c1cnn(-c2cccc(NC(=O)C(=O)NCCS(=O)(=O)NC)c2)c1C ZINC000443743372 1072537336 /nfs/dbraw/zinc/53/73/36/1072537336.db2.gz CKBMETBPKGWWLJ-UHFFFAOYSA-N 0 0 437.478 -0.039 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(-n2nnnc2C2CC2)c1 ZINC000443743728 1072537356 /nfs/dbraw/zinc/53/73/56/1072537356.db2.gz JLFYSSPGKFOTPS-UHFFFAOYSA-N 0 0 427.874 -0.203 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)sc2c1 ZINC000443744602 1072537483 /nfs/dbraw/zinc/53/74/83/1072537483.db2.gz LXMCCFUXNZNCLM-UHFFFAOYSA-N 0 0 427.508 -0.263 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000443745126 1072537496 /nfs/dbraw/zinc/53/74/96/1072537496.db2.gz GWMJVNJKAPXPPJ-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccnn1Cc1cccc(OC)c1OC ZINC000443745680 1072537869 /nfs/dbraw/zinc/53/78/69/1072537869.db2.gz HQTCMYRLVFDBMB-UHFFFAOYSA-N 0 0 425.467 -0.448 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(Br)ccc1N1CCOCC1 ZINC000443746609 1072538077 /nfs/dbraw/zinc/53/80/77/1072538077.db2.gz QSOSGKQVURKVEA-UHFFFAOYSA-N 0 0 449.327 -0.110 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000443747409 1072537857 /nfs/dbraw/zinc/53/78/57/1072537857.db2.gz SMDRESNMAYJOCR-ARFHVFGLSA-N 0 0 436.490 -0.571 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000443747412 1072538341 /nfs/dbraw/zinc/53/83/41/1072538341.db2.gz SMDRESNMAYJOCR-BZUAXINKSA-N 0 0 436.490 -0.571 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000443747414 1072538645 /nfs/dbraw/zinc/53/86/45/1072538645.db2.gz SMDRESNMAYJOCR-OAGGEKHMSA-N 0 0 436.490 -0.571 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000443747418 1072538352 /nfs/dbraw/zinc/53/83/52/1072538352.db2.gz SMDRESNMAYJOCR-XHSDSOJGSA-N 0 0 436.490 -0.571 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NC[C@](C)(O)CN3CCOCC3)ccc2O1 ZINC000443747455 1072538575 /nfs/dbraw/zinc/53/85/75/1072538575.db2.gz SUUQFQOUYIBCON-HKUYNNGSSA-N 0 0 428.507 -0.085 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NC[C@@](C)(O)CN3CCOCC3)ccc2O1 ZINC000443747457 1071228235 /nfs/dbraw/zinc/22/82/35/1071228235.db2.gz SUUQFQOUYIBCON-IEBWSBKVSA-N 0 0 428.507 -0.085 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NC[C@](C)(O)CN3CCOCC3)ccc2O1 ZINC000443747460 1072538013 /nfs/dbraw/zinc/53/80/13/1072538013.db2.gz SUUQFQOUYIBCON-MJGOQNOKSA-N 0 0 428.507 -0.085 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NC[C@@](C)(O)CN3CCOCC3)ccc2O1 ZINC000443747461 1072537891 /nfs/dbraw/zinc/53/78/91/1072537891.db2.gz SUUQFQOUYIBCON-PKOBYXMFSA-N 0 0 428.507 -0.085 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCS(=O)(=O)NC ZINC000443748765 1072538503 /nfs/dbraw/zinc/53/85/03/1072538503.db2.gz WUHRUXRVQMELDQ-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC(C)CC2)cc1 ZINC000443749852 1072538721 /nfs/dbraw/zinc/53/87/21/1072538721.db2.gz BRMAHNFXIMCROY-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN O=C(CNC(=O)c1cc(Cn2cc(Br)cn2)on1)NCCN1CCOCC1 ZINC000443751090 1072539870 /nfs/dbraw/zinc/53/98/70/1072539870.db2.gz SCEMUDFQIWOTQJ-UHFFFAOYSA-N 0 0 441.286 -0.140 20 0 IBADRN O=C(CCNS(=O)(=O)c1cnn([C@H]2CCOC2)c1)N1CCN(c2ncccn2)CC1 ZINC000443751288 1072541059 /nfs/dbraw/zinc/54/10/59/1072541059.db2.gz FIHLOXMMWBAHIN-HNNXBMFYSA-N 0 0 435.510 -0.348 20 0 IBADRN O=C(CCNS(=O)(=O)c1cnn([C@@H]2CCOC2)c1)N1CCN(c2ncccn2)CC1 ZINC000443751290 1072541053 /nfs/dbraw/zinc/54/10/53/1072541053.db2.gz FIHLOXMMWBAHIN-OAHLLOKOSA-N 0 0 435.510 -0.348 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)NCCS(=O)(=O)NC)ccn1 ZINC000443751964 1073407551 /nfs/dbraw/zinc/40/75/51/1073407551.db2.gz BDZOKTKIXWAIFQ-UHFFFAOYSA-N 0 0 435.462 -0.163 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC)cc1 ZINC000443752107 1072540813 /nfs/dbraw/zinc/54/08/13/1072540813.db2.gz GVAVJHZIKUNAKQ-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC(C)(C)C)c1C ZINC000443752156 1072540792 /nfs/dbraw/zinc/54/07/92/1072540792.db2.gz CJUUYIPJFAHEMK-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@H](C)O1 ZINC000443753378 1072541509 /nfs/dbraw/zinc/54/15/09/1072541509.db2.gz FMXMIYBORPVLOA-BXWFABGCSA-N 0 0 447.488 -0.098 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@@H](C)O1 ZINC000443753383 1072541475 /nfs/dbraw/zinc/54/14/75/1072541475.db2.gz FMXMIYBORPVLOA-GJYPPUQNSA-N 0 0 447.488 -0.098 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@@H](C)O1 ZINC000443753387 1072541371 /nfs/dbraw/zinc/54/13/71/1072541371.db2.gz FMXMIYBORPVLOA-JTDSTZFVSA-N 0 0 447.488 -0.098 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@H](C)O1 ZINC000443753392 1072541351 /nfs/dbraw/zinc/54/13/51/1072541351.db2.gz FMXMIYBORPVLOA-TXPKVOOTSA-N 0 0 447.488 -0.098 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cnn([C@H]3CCOC3)c2)CC1 ZINC000443753884 1072540951 /nfs/dbraw/zinc/54/09/51/1072540951.db2.gz JJADIHBVDKWJFG-CVEARBPZSA-N 0 0 429.543 -0.308 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cnn([C@H]3CCOC3)c2)CC1 ZINC000443753888 1072540861 /nfs/dbraw/zinc/54/08/61/1072540861.db2.gz JJADIHBVDKWJFG-HOTGVXAUSA-N 0 0 429.543 -0.308 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cnn([C@@H]3CCOC3)c2)CC1 ZINC000443753890 1072541282 /nfs/dbraw/zinc/54/12/82/1072541282.db2.gz JJADIHBVDKWJFG-HZPDHXFCSA-N 0 0 429.543 -0.308 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cnn([C@@H]3CCOC3)c2)CC1 ZINC000443753893 1072541396 /nfs/dbraw/zinc/54/13/96/1072541396.db2.gz JJADIHBVDKWJFG-JKSUJKDBSA-N 0 0 429.543 -0.308 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ncn(Cc3ccc(Cl)cc3)n2)C1 ZINC000443754556 1072541790 /nfs/dbraw/zinc/54/17/90/1072541790.db2.gz HMZJIFBCMXENTC-UHFFFAOYSA-N 0 0 440.913 0.316 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CS(=O)(=O)NC(C)(C)C)c1 ZINC000443754744 1072541852 /nfs/dbraw/zinc/54/18/52/1072541852.db2.gz YMLUAOHHSLAZQO-UHFFFAOYSA-N 0 0 434.540 -0.492 20 0 IBADRN CC[C@@]1(CO)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000443754782 1072541298 /nfs/dbraw/zinc/54/12/98/1072541298.db2.gz YXPGSIRJOWJGOW-IBGZPJMESA-N 0 0 438.506 -0.491 20 0 IBADRN CC[C@]1(CO)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000443754787 1072541437 /nfs/dbraw/zinc/54/14/37/1072541437.db2.gz YXPGSIRJOWJGOW-LJQANCHMSA-N 0 0 438.506 -0.491 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000443755229 1072541762 /nfs/dbraw/zinc/54/17/62/1072541762.db2.gz IARGYMNZWQDNAZ-PELKAZGASA-N 0 0 448.295 -0.064 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccnn1Cc1cnn(-c2ccccc2)c1 ZINC000443755400 1072541934 /nfs/dbraw/zinc/54/19/34/1072541934.db2.gz ZCOBVXIPGSMXRC-UHFFFAOYSA-N 0 0 431.478 -0.279 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@@H](C)O1 ZINC000443755405 1072541742 /nfs/dbraw/zinc/54/17/42/1072541742.db2.gz ZDDJFEBJMYOWOO-GDBMZVCRSA-N 0 0 441.506 -0.092 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@H](C)O1 ZINC000443755446 1072541777 /nfs/dbraw/zinc/54/17/77/1072541777.db2.gz ZDDJFEBJMYOWOO-GOEBONIOSA-N 0 0 441.506 -0.092 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@H](C)O1 ZINC000443755448 1072541844 /nfs/dbraw/zinc/54/18/44/1072541844.db2.gz ZDDJFEBJMYOWOO-HOCLYGCPSA-N 0 0 441.506 -0.092 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@@H](C)O1 ZINC000443755450 1072541873 /nfs/dbraw/zinc/54/18/73/1072541873.db2.gz ZDDJFEBJMYOWOO-ZBFHGGJFSA-N 0 0 441.506 -0.092 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)c1 ZINC000443755827 1072541826 /nfs/dbraw/zinc/54/18/26/1072541826.db2.gz XQRCMJRXUJUBOD-JTQLQIEISA-N 0 0 440.546 -0.491 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)c1 ZINC000443755831 1072541755 /nfs/dbraw/zinc/54/17/55/1072541755.db2.gz XQRCMJRXUJUBOD-SNVBAGLBSA-N 0 0 440.546 -0.491 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cc1C(N)=O ZINC000443756137 1072541860 /nfs/dbraw/zinc/54/18/60/1072541860.db2.gz ZWUZBNYGUHYFBQ-JTQLQIEISA-N 0 0 434.517 -0.031 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cc1C(N)=O ZINC000443756138 1072541835 /nfs/dbraw/zinc/54/18/35/1072541835.db2.gz ZWUZBNYGUHYFBQ-SNVBAGLBSA-N 0 0 434.517 -0.031 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000443756259 1072542427 /nfs/dbraw/zinc/54/24/27/1072542427.db2.gz IITLWMYVOWCJOA-UHFFFAOYSA-N 0 0 432.524 -0.713 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(N3CCOCC3)nc2C)C1 ZINC000443757508 1072542992 /nfs/dbraw/zinc/54/29/92/1072542992.db2.gz LPCAQRKNFMUUJH-UHFFFAOYSA-N 0 0 425.511 -0.437 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NC)c2ccccc2O1 ZINC000443759771 1072543008 /nfs/dbraw/zinc/54/30/08/1072543008.db2.gz ULOUUZBTNQPVOE-INIZCTEOSA-N 0 0 440.522 -0.249 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NC)c2ccccc2O1 ZINC000443759772 1072542957 /nfs/dbraw/zinc/54/29/57/1072542957.db2.gz ULOUUZBTNQPVOE-MRXNPFEDSA-N 0 0 440.522 -0.249 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(OC)ccc1I ZINC000443759879 1072542936 /nfs/dbraw/zinc/54/29/36/1072542936.db2.gz PFRBXFRBKARSTQ-UHFFFAOYSA-N 0 0 441.247 -0.096 20 0 IBADRN COCCOCCS(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000443759994 1072542947 /nfs/dbraw/zinc/54/29/47/1072542947.db2.gz QATNQCQZEDIYGC-UHFFFAOYSA-N 0 0 435.568 -0.295 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)N2CCSCC2)c1C ZINC000443760251 1072543534 /nfs/dbraw/zinc/54/35/34/1072543534.db2.gz VSQRWGXRJJXKQO-UHFFFAOYSA-N 0 0 428.536 -0.212 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC000443762007 1072543375 /nfs/dbraw/zinc/54/33/75/1072543375.db2.gz UEQRNVDEMJMYPE-HNNXBMFYSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC000443762008 1072543522 /nfs/dbraw/zinc/54/35/22/1072543522.db2.gz UEQRNVDEMJMYPE-OAHLLOKOSA-N 0 0 444.535 -0.064 20 0 IBADRN Nc1ncc(Cl)cc1S(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000443762093 1072543503 /nfs/dbraw/zinc/54/35/03/1072543503.db2.gz VFGRGVRSYGSQHQ-UHFFFAOYSA-N 0 0 439.947 -0.363 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000443762367 1072543365 /nfs/dbraw/zinc/54/33/65/1072543365.db2.gz WCQFRHYGTHSORY-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN COCCOCCS(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000443763120 1072542787 /nfs/dbraw/zinc/54/27/87/1072542787.db2.gz YTVFEVCHLBPOQA-UHFFFAOYSA-N 0 0 434.536 -0.159 20 0 IBADRN O=S(=O)(c1cnn([C@H]2CCOC2)c1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000443763158 1072542840 /nfs/dbraw/zinc/54/28/40/1072542840.db2.gz XVKUSYVGXWVVND-INIZCTEOSA-N 0 0 449.537 -0.018 20 0 IBADRN O=S(=O)(c1cnn([C@@H]2CCOC2)c1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000443763159 1072543392 /nfs/dbraw/zinc/54/33/92/1072543392.db2.gz XVKUSYVGXWVVND-MRXNPFEDSA-N 0 0 449.537 -0.018 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC)cc1F ZINC000443763687 1072543916 /nfs/dbraw/zinc/54/39/16/1072543916.db2.gz ZOZYOWQYEBGHGW-JTQLQIEISA-N 0 0 446.457 -0.889 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC)cc1F ZINC000443763688 1072544098 /nfs/dbraw/zinc/54/40/98/1072544098.db2.gz ZOZYOWQYEBGHGW-SNVBAGLBSA-N 0 0 446.457 -0.889 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@@H](C)O1 ZINC000443764535 1072543988 /nfs/dbraw/zinc/54/39/88/1072543988.db2.gz MGLWMFDDDWJIKX-APWZRJJASA-N 0 0 448.520 -0.051 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@H](C)O1 ZINC000443764536 1072544005 /nfs/dbraw/zinc/54/40/05/1072544005.db2.gz MGLWMFDDDWJIKX-LPHOPBHVSA-N 0 0 448.520 -0.051 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@H](C)O1 ZINC000443764537 1072544117 /nfs/dbraw/zinc/54/41/17/1072544117.db2.gz MGLWMFDDDWJIKX-QFBILLFUSA-N 0 0 448.520 -0.051 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@@H](C)O1 ZINC000443764538 1072543866 /nfs/dbraw/zinc/54/38/66/1072543866.db2.gz MGLWMFDDDWJIKX-VQIMIIECSA-N 0 0 448.520 -0.051 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443764589 1072543945 /nfs/dbraw/zinc/54/39/45/1072543945.db2.gz MQPCQALKGOTYNA-DOMZBBRYSA-N 0 0 434.492 -0.996 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1 ZINC000443764898 1072544059 /nfs/dbraw/zinc/54/40/59/1072544059.db2.gz PGHSEJMWGWMJRC-UHFFFAOYSA-N 0 0 428.536 -0.592 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn([C@H]4CCOC4)c3)CC2)cn1C ZINC000443765252 1072543964 /nfs/dbraw/zinc/54/39/64/1072543964.db2.gz QNGQUNJJWFQBDH-AWEZNQCLSA-N 0 0 444.539 -0.418 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn([C@@H]4CCOC4)c3)CC2)cn1C ZINC000443765253 1072544146 /nfs/dbraw/zinc/54/41/46/1072544146.db2.gz QNGQUNJJWFQBDH-CQSZACIVSA-N 0 0 444.539 -0.418 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCC(C)C)cc1 ZINC000443765330 1072544156 /nfs/dbraw/zinc/54/41/56/1072544156.db2.gz PMQZBKPHDAZBLT-UHFFFAOYSA-N 0 0 434.540 -0.385 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)C1 ZINC000443766066 1072544135 /nfs/dbraw/zinc/54/41/35/1072544135.db2.gz SJWWQBIYVNSKDI-UHFFFAOYSA-N 0 0 432.524 -0.761 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C(C)C)ccc1C ZINC000443767452 1072545042 /nfs/dbraw/zinc/54/50/42/1072545042.db2.gz BMRHQHZWUIWOMM-UHFFFAOYSA-N 0 0 434.540 -0.372 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1C ZINC000443767703 1072545070 /nfs/dbraw/zinc/54/50/70/1072545070.db2.gz BZANCGQKTFKAFP-UHFFFAOYSA-N 0 0 432.524 -0.652 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000443768692 1072545193 /nfs/dbraw/zinc/54/51/93/1072545193.db2.gz FYIIOHZOWCDJQE-CIBPLQMKSA-N 0 0 427.435 -0.953 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000443768693 1072545079 /nfs/dbraw/zinc/54/50/79/1072545079.db2.gz FYIIOHZOWCDJQE-ZPQPFTAQSA-N 0 0 427.435 -0.953 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1 ZINC000443768949 1072544624 /nfs/dbraw/zinc/54/46/24/1072544624.db2.gz GBXDTZJHSYTWLY-UHFFFAOYSA-N 0 0 432.886 -0.584 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NS(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC000443769743 1072545590 /nfs/dbraw/zinc/54/55/90/1072545590.db2.gz IDQOZYPQDLVUFF-DOTOQJQBSA-N 0 0 435.506 -0.274 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NS(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC000443769746 1072545578 /nfs/dbraw/zinc/54/55/78/1072545578.db2.gz IDQOZYPQDLVUFF-NVXWUHKLSA-N 0 0 435.506 -0.274 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC000443769749 1072545679 /nfs/dbraw/zinc/54/56/79/1072545679.db2.gz IDQOZYPQDLVUFF-RDJZCZTQSA-N 0 0 435.506 -0.274 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC000443769751 1072545687 /nfs/dbraw/zinc/54/56/87/1072545687.db2.gz IDQOZYPQDLVUFF-WBVHZDCISA-N 0 0 435.506 -0.274 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N2CCCCC2)c1 ZINC000443769799 1072545122 /nfs/dbraw/zinc/54/51/22/1072545122.db2.gz INVJOAMJEFPKDW-UHFFFAOYSA-N 0 0 446.551 -0.226 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](COC)O[C@@H](C)C2)cc1 ZINC000443769957 1072545139 /nfs/dbraw/zinc/54/51/39/1072545139.db2.gz IHVRFSMXDCGPDE-DZGCQCFKSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](COC)C2)cc1 ZINC000443769958 1072545215 /nfs/dbraw/zinc/54/52/15/1072545215.db2.gz IHVRFSMXDCGPDE-HIFRSBDPSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](COC)C2)cc1 ZINC000443769959 1072545030 /nfs/dbraw/zinc/54/50/30/1072545030.db2.gz IHVRFSMXDCGPDE-UKRRQHHQSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C)O[C@H](COC)C2)cc1 ZINC000443769960 1072545184 /nfs/dbraw/zinc/54/51/84/1072545184.db2.gz IHVRFSMXDCGPDE-ZFWWWQNUSA-N 0 0 429.495 -0.188 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC(C)(C)C)c1 ZINC000443770042 1072545104 /nfs/dbraw/zinc/54/51/04/1072545104.db2.gz JPUOGEQRSGLIFW-UHFFFAOYSA-N 0 0 438.503 -0.494 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@@H]2CCCO2)cc1 ZINC000443770205 1072545158 /nfs/dbraw/zinc/54/51/58/1072545158.db2.gz ARUYPMNPIBYVKS-AWEZNQCLSA-N 0 0 427.483 -0.409 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@H]2CCCO2)cc1 ZINC000443770207 1072545048 /nfs/dbraw/zinc/54/50/48/1072545048.db2.gz ARUYPMNPIBYVKS-CQSZACIVSA-N 0 0 427.483 -0.409 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1c(C)cnn1Cc1ccc(OC)c(OC)c1 ZINC000443770239 1072546056 /nfs/dbraw/zinc/54/60/56/1072546056.db2.gz AUYWTUOXRLJGED-UHFFFAOYSA-N 0 0 439.494 -0.139 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1 ZINC000443770514 1072546061 /nfs/dbraw/zinc/54/60/61/1072546061.db2.gz AYIULSQZTWRHHK-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000443770638 1072545999 /nfs/dbraw/zinc/54/59/99/1072545999.db2.gz KLDQVLFLFHEUAN-INIZCTEOSA-N 0 0 438.506 -0.542 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000443770644 1072546050 /nfs/dbraw/zinc/54/60/50/1072546050.db2.gz KLDQVLFLFHEUAN-MRXNPFEDSA-N 0 0 438.506 -0.542 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(=O)N2CCSCC2)ccc1C ZINC000443770924 1072545628 /nfs/dbraw/zinc/54/56/28/1072545628.db2.gz JTLNBGDJCYGJQO-UHFFFAOYSA-N 0 0 428.536 -0.212 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N(C)C[C@@H](O)CN3CCOCC3)ccc2O1 ZINC000443770925 1072545693 /nfs/dbraw/zinc/54/56/93/1072545693.db2.gz JTRUBTZYSQDOKI-CRAIPNDOSA-N 0 0 428.507 -0.133 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N(C)C[C@H](O)CN3CCOCC3)ccc2O1 ZINC000443770926 1072545650 /nfs/dbraw/zinc/54/56/50/1072545650.db2.gz JTRUBTZYSQDOKI-MAUKXSAKSA-N 0 0 428.507 -0.133 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N(C)C[C@@H](O)CN3CCOCC3)ccc2O1 ZINC000443770927 1072545601 /nfs/dbraw/zinc/54/56/01/1072545601.db2.gz JTRUBTZYSQDOKI-QAPCUYQASA-N 0 0 428.507 -0.133 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N(C)C[C@H](O)CN3CCOCC3)ccc2O1 ZINC000443770928 1072545668 /nfs/dbraw/zinc/54/56/68/1072545668.db2.gz JTRUBTZYSQDOKI-YJBOKZPZSA-N 0 0 428.507 -0.133 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)c1 ZINC000443771115 1072545672 /nfs/dbraw/zinc/54/56/72/1072545672.db2.gz CHAIGISBFRBOAM-CYBMUJFWSA-N 0 0 443.507 -0.330 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cnn([C@H]3CCOC3)c2)c1 ZINC000443771117 1072545609 /nfs/dbraw/zinc/54/56/09/1072545609.db2.gz CHAIGISBFRBOAM-ZDUSSCGKSA-N 0 0 443.507 -0.330 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCSCC2)cc1C ZINC000443771393 1072545954 /nfs/dbraw/zinc/54/59/54/1072545954.db2.gz LTHRUSWYTKFCDI-UHFFFAOYSA-N 0 0 428.536 -0.212 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(F)c(Br)cc1C(=O)OC ZINC000443771767 1072545985 /nfs/dbraw/zinc/54/59/85/1072545985.db2.gz MDGBZYLRLCDYFR-UHFFFAOYSA-N 0 0 440.247 -0.021 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000443771873 1072546095 /nfs/dbraw/zinc/54/60/95/1072546095.db2.gz DSUUBUDQKHDHAV-UHFFFAOYSA-N 0 0 432.462 -0.852 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000443771920 1072546078 /nfs/dbraw/zinc/54/60/78/1072546078.db2.gz MMSAJGAOAHFTPS-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C)n(CCCOc2ccc(F)cc2)n1 ZINC000443772164 1072545939 /nfs/dbraw/zinc/54/59/39/1072545939.db2.gz NSFJUVFSPAUOQY-UHFFFAOYSA-N 0 0 441.485 0.404 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(Br)cc1S(C)(=O)=O ZINC000443772717 1072546042 /nfs/dbraw/zinc/54/60/42/1072546042.db2.gz OMZJHXYYKFTORO-UHFFFAOYSA-N 0 0 442.313 -0.544 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000443773058 1072546107 /nfs/dbraw/zinc/54/61/07/1072546107.db2.gz OUPHTCVIJVUAEA-UHFFFAOYSA-N 0 0 426.495 -0.510 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc2O1 ZINC000443773224 1072546103 /nfs/dbraw/zinc/54/61/03/1072546103.db2.gz HGBUUWDZKFCTQM-INIZCTEOSA-N 0 0 447.535 -0.852 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc2O1 ZINC000443773225 1072546077 /nfs/dbraw/zinc/54/60/77/1072546077.db2.gz HGBUUWDZKFCTQM-MRXNPFEDSA-N 0 0 447.535 -0.852 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)NCCS(=O)(=O)NC)c1 ZINC000443774422 1072546726 /nfs/dbraw/zinc/54/67/26/1072546726.db2.gz SCMRBFXUBPXOFQ-UHFFFAOYSA-N 0 0 448.567 -0.062 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl ZINC000443775358 1072547831 /nfs/dbraw/zinc/54/78/31/1072547831.db2.gz LAWLJDMBCRIEOY-UHFFFAOYSA-N 0 0 438.915 -0.516 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000443775652 1072547085 /nfs/dbraw/zinc/54/70/85/1072547085.db2.gz VHLPPCVULBCZPR-SKDRFNHKSA-N 0 0 446.425 -0.176 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](C)O1 ZINC000443777238 1072547653 /nfs/dbraw/zinc/54/76/53/1072547653.db2.gz NZPQZNLDRXEDMU-GDBMZVCRSA-N 0 0 441.506 -0.092 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](C)O1 ZINC000443777239 1072547880 /nfs/dbraw/zinc/54/78/80/1072547880.db2.gz NZPQZNLDRXEDMU-GOEBONIOSA-N 0 0 441.506 -0.092 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](C)O1 ZINC000443777240 1072547821 /nfs/dbraw/zinc/54/78/21/1072547821.db2.gz NZPQZNLDRXEDMU-HOCLYGCPSA-N 0 0 441.506 -0.092 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](C)O1 ZINC000443777241 1072547695 /nfs/dbraw/zinc/54/76/95/1072547695.db2.gz NZPQZNLDRXEDMU-ZBFHGGJFSA-N 0 0 441.506 -0.092 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1C ZINC000443777455 1072548299 /nfs/dbraw/zinc/54/82/99/1072548299.db2.gz IAGZJXHTBQXSKW-UHFFFAOYSA-N 0 0 446.551 -0.226 20 0 IBADRN CCC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC)c1 ZINC000443777662 1072548432 /nfs/dbraw/zinc/54/84/32/1072548432.db2.gz WTCGTWIRDWCBCS-CYBMUJFWSA-N 0 0 448.567 -0.102 20 0 IBADRN CCC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC)c1 ZINC000443777663 1072548207 /nfs/dbraw/zinc/54/82/07/1072548207.db2.gz WTCGTWIRDWCBCS-ZDUSSCGKSA-N 0 0 448.567 -0.102 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000443778537 1072548459 /nfs/dbraw/zinc/54/84/59/1072548459.db2.gz HMWWGHNRMIMDIF-BETUJISGSA-N 0 0 426.495 -0.460 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000443778541 1072548322 /nfs/dbraw/zinc/54/83/22/1072548322.db2.gz HMWWGHNRMIMDIF-CHWSQXEVSA-N 0 0 426.495 -0.460 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000443778543 1072548446 /nfs/dbraw/zinc/54/84/46/1072548446.db2.gz HMWWGHNRMIMDIF-STQMWFEESA-N 0 0 426.495 -0.460 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000443778792 1072548263 /nfs/dbraw/zinc/54/82/63/1072548263.db2.gz PYLVSGZRBRJMIR-UHFFFAOYSA-N 0 0 437.522 -0.423 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCC(=O)N3CCC[C@@H]3C(=O)NC)ccc2O1 ZINC000443780522 1072548925 /nfs/dbraw/zinc/54/89/25/1072548925.db2.gz LOCKSJTWFGAAIU-GDBMZVCRSA-N 0 0 439.490 -0.432 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCC(=O)N3CCC[C@H]3C(=O)NC)ccc2O1 ZINC000443780523 1072548975 /nfs/dbraw/zinc/54/89/75/1072548975.db2.gz LOCKSJTWFGAAIU-GOEBONIOSA-N 0 0 439.490 -0.432 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCC(=O)N3CCC[C@H]3C(=O)NC)ccc2O1 ZINC000443780524 1072548886 /nfs/dbraw/zinc/54/88/86/1072548886.db2.gz LOCKSJTWFGAAIU-HOCLYGCPSA-N 0 0 439.490 -0.432 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCC(=O)N3CCC[C@@H]3C(=O)NC)ccc2O1 ZINC000443780525 1072549250 /nfs/dbraw/zinc/54/92/50/1072549250.db2.gz LOCKSJTWFGAAIU-ZBFHGGJFSA-N 0 0 439.490 -0.432 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)c2cnn([C@H]3CCOC3)c2)CC1 ZINC000443781236 1072548749 /nfs/dbraw/zinc/54/87/49/1072548749.db2.gz PUAPXQUQWDMMTF-HNNXBMFYSA-N 0 0 436.556 -0.094 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)c2cnn([C@@H]3CCOC3)c2)CC1 ZINC000443781237 1072548807 /nfs/dbraw/zinc/54/88/07/1072548807.db2.gz PUAPXQUQWDMMTF-OAHLLOKOSA-N 0 0 436.556 -0.094 20 0 IBADRN COCCOCCS(=O)(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000443781360 1072550121 /nfs/dbraw/zinc/55/01/21/1072550121.db2.gz NVHQYGCVEGTRHM-INIZCTEOSA-N 0 0 429.543 -0.136 20 0 IBADRN COCCOCCS(=O)(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000443781361 1072550096 /nfs/dbraw/zinc/55/00/96/1072550096.db2.gz NVHQYGCVEGTRHM-MRXNPFEDSA-N 0 0 429.543 -0.136 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C[C@@H](C)O1 ZINC000443782130 1072549228 /nfs/dbraw/zinc/54/92/28/1072549228.db2.gz WLOBMYYWAHNUPC-DLTWYDFYSA-N 0 0 437.497 -0.280 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C[C@H](C)O1 ZINC000443782132 1072549257 /nfs/dbraw/zinc/54/92/57/1072549257.db2.gz WLOBMYYWAHNUPC-IVSAIRAKSA-N 0 0 437.497 -0.280 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C[C@H](C)O1 ZINC000443782134 1072549368 /nfs/dbraw/zinc/54/93/68/1072549368.db2.gz WLOBMYYWAHNUPC-JKQORVJESA-N 0 0 437.497 -0.280 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C[C@@H](C)O1 ZINC000443782135 1072549344 /nfs/dbraw/zinc/54/93/44/1072549344.db2.gz WLOBMYYWAHNUPC-MPTYRVRUSA-N 0 0 437.497 -0.280 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1OC ZINC000443782202 1072549442 /nfs/dbraw/zinc/54/94/42/1072549442.db2.gz WSWCDMHPIKCSEC-UHFFFAOYSA-N 0 0 448.567 -0.684 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](C)C2)cc1 ZINC000443783916 1072550404 /nfs/dbraw/zinc/55/04/04/1072550404.db2.gz RQFDVMMRJRONGW-CYBMUJFWSA-N 0 0 446.551 -0.289 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](C)C2)cc1 ZINC000443783919 1072550419 /nfs/dbraw/zinc/55/04/19/1072550419.db2.gz RQFDVMMRJRONGW-ZDUSSCGKSA-N 0 0 446.551 -0.289 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1c(C(=O)OC)cc(OC)c(OC)c1OC ZINC000443784399 1072551498 /nfs/dbraw/zinc/55/14/98/1072551498.db2.gz PPPZLVKRCXPSMX-UHFFFAOYSA-N 0 0 433.439 -0.897 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000443784831 1073317537 /nfs/dbraw/zinc/31/75/37/1073317537.db2.gz CIMQYUIVUIBZPA-UHFFFAOYSA-N 0 0 445.299 -0.307 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)c1cccnc1 ZINC000443785299 1072552139 /nfs/dbraw/zinc/55/21/39/1072552139.db2.gz XHLYBABAGWAQBR-UHFFFAOYSA-N 0 0 431.474 -0.112 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(Br)cnc1-n1cccn1 ZINC000443785440 1072551649 /nfs/dbraw/zinc/55/16/49/1072551649.db2.gz CVMCAAGIXDGNIS-UHFFFAOYSA-N 0 0 431.272 -0.366 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC)ccc1C ZINC000443785496 1072551637 /nfs/dbraw/zinc/55/16/37/1072551637.db2.gz CZTMAJBFCNQBMI-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)NCc2cn(CC(=O)OC)nn2)ccc1OC ZINC000443786737 1072552239 /nfs/dbraw/zinc/55/22/39/1072552239.db2.gz INDDOQYZAGGGRM-UHFFFAOYSA-N 0 0 425.467 -0.036 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCOC(C)(C)C2)cc1OC ZINC000443786811 1072552222 /nfs/dbraw/zinc/55/22/22/1072552222.db2.gz SXKOCQGMBTZIIK-UHFFFAOYSA-N 0 0 428.511 -0.086 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)Nc2ccc(OC)nc2)c1 ZINC000443787715 1072552084 /nfs/dbraw/zinc/55/20/84/1072552084.db2.gz VKWYGVHNPHXHNT-UHFFFAOYSA-N 0 0 435.462 -0.054 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)ccc1OC ZINC000443788763 1072552679 /nfs/dbraw/zinc/55/26/79/1072552679.db2.gz ZYBAHMIWMPJQSS-HNNXBMFYSA-N 0 0 440.522 -0.263 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)ccc1OC ZINC000443788766 1072552788 /nfs/dbraw/zinc/55/27/88/1072552788.db2.gz ZYBAHMIWMPJQSS-OAHLLOKOSA-N 0 0 440.522 -0.263 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1OCC(=O)Nc1cccnc1 ZINC000443789941 1072552765 /nfs/dbraw/zinc/55/27/65/1072552765.db2.gz ZQQTYBUZZZATSE-UHFFFAOYSA-N 0 0 435.462 -0.297 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C[C@H](C)O)[C@H](C)CO)c2)CC1 ZINC000443791224 1072552183 /nfs/dbraw/zinc/55/21/83/1072552183.db2.gz QAXLBYQLABPILQ-CABCVRRESA-N 0 0 427.523 -0.257 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C[C@H](C)O)[C@@H](C)CO)c2)CC1 ZINC000443791225 1072552066 /nfs/dbraw/zinc/55/20/66/1072552066.db2.gz QAXLBYQLABPILQ-GJZGRUSLSA-N 0 0 427.523 -0.257 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C[C@@H](C)O)[C@H](C)CO)c2)CC1 ZINC000443791226 1072552168 /nfs/dbraw/zinc/55/21/68/1072552168.db2.gz QAXLBYQLABPILQ-HUUCEWRRSA-N 0 0 427.523 -0.257 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C[C@@H](C)O)[C@@H](C)CO)c2)CC1 ZINC000443791227 1072551980 /nfs/dbraw/zinc/55/19/80/1072551980.db2.gz QAXLBYQLABPILQ-LSDHHAIUSA-N 0 0 427.523 -0.257 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000443791700 1072553183 /nfs/dbraw/zinc/55/31/83/1072553183.db2.gz PRXHJWVVXGPSLI-UHFFFAOYSA-N 0 0 426.904 -0.806 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC(C)(C)C)c1 ZINC000443792685 1072553130 /nfs/dbraw/zinc/55/31/30/1072553130.db2.gz SZJQOVLAQABTKN-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000443793369 1072552625 /nfs/dbraw/zinc/55/26/25/1072552625.db2.gz VJSJODIVYITKDW-UHFFFAOYSA-N 0 0 448.523 -0.916 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C[C@H](C)O)[C@H](C)CO)cc2)CC1 ZINC000443793511 1072552739 /nfs/dbraw/zinc/55/27/39/1072552739.db2.gz WWOWZEMBDREUGQ-CABCVRRESA-N 0 0 427.523 -0.257 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc2)CC1 ZINC000443793512 1072552580 /nfs/dbraw/zinc/55/25/80/1072552580.db2.gz WWOWZEMBDREUGQ-GJZGRUSLSA-N 0 0 427.523 -0.257 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc2)CC1 ZINC000443793513 1072552754 /nfs/dbraw/zinc/55/27/54/1072552754.db2.gz WWOWZEMBDREUGQ-HUUCEWRRSA-N 0 0 427.523 -0.257 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc2)CC1 ZINC000443793514 1072552611 /nfs/dbraw/zinc/55/26/11/1072552611.db2.gz WWOWZEMBDREUGQ-LSDHHAIUSA-N 0 0 427.523 -0.257 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](CC(=O)N(C)C)c2cc(Cl)ccc21 ZINC000443794029 1072552521 /nfs/dbraw/zinc/55/25/21/1072552521.db2.gz WYBHMKYQEUEFMK-LLVKDONJSA-N 0 0 430.914 -0.086 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)N1C[C@H](CC(=O)N(C)C)c2cc(Cl)ccc21 ZINC000443794030 1072552597 /nfs/dbraw/zinc/55/25/97/1072552597.db2.gz WYBHMKYQEUEFMK-NSHDSACASA-N 0 0 430.914 -0.086 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NCCOCC(N)=O)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000443804364 1072553884 /nfs/dbraw/zinc/55/38/84/1072553884.db2.gz QUDTZOKAGRWAML-UHFFFAOYSA-N 0 0 441.400 -0.339 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO ZINC000443805657 1072553291 /nfs/dbraw/zinc/55/32/91/1072553291.db2.gz GLHWHRJBESKPIC-CABCVRRESA-N 0 0 443.522 -0.455 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO ZINC000443805658 1072553920 /nfs/dbraw/zinc/55/39/20/1072553920.db2.gz GLHWHRJBESKPIC-GJZGRUSLSA-N 0 0 443.522 -0.455 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO ZINC000443805659 1072553738 /nfs/dbraw/zinc/55/37/38/1072553738.db2.gz GLHWHRJBESKPIC-HUUCEWRRSA-N 0 0 443.522 -0.455 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO ZINC000443805660 1072553774 /nfs/dbraw/zinc/55/37/74/1072553774.db2.gz GLHWHRJBESKPIC-LSDHHAIUSA-N 0 0 443.522 -0.455 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO ZINC000443809811 1072553834 /nfs/dbraw/zinc/55/38/34/1072553834.db2.gz LHRNLNHLMBCWNB-CHWSQXEVSA-N 0 0 431.511 -0.136 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO ZINC000443809812 1072553783 /nfs/dbraw/zinc/55/37/83/1072553783.db2.gz LHRNLNHLMBCWNB-OLZOCXBDSA-N 0 0 431.511 -0.136 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO ZINC000443809813 1072553763 /nfs/dbraw/zinc/55/37/63/1072553763.db2.gz LHRNLNHLMBCWNB-QWHCGFSZSA-N 0 0 431.511 -0.136 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO ZINC000443809814 1072553932 /nfs/dbraw/zinc/55/39/32/1072553932.db2.gz LHRNLNHLMBCWNB-STQMWFEESA-N 0 0 431.511 -0.136 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc1S(=O)(=O)NC1CC1 ZINC000443810307 1072553872 /nfs/dbraw/zinc/55/38/72/1072553872.db2.gz MENNDOCXQMSFAW-NEPJUHHUSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc1S(=O)(=O)NC1CC1 ZINC000443810308 1072553908 /nfs/dbraw/zinc/55/39/08/1072553908.db2.gz MENNDOCXQMSFAW-NWDGAFQWSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc1S(=O)(=O)NC1CC1 ZINC000443810309 1072554395 /nfs/dbraw/zinc/55/43/95/1072554395.db2.gz MENNDOCXQMSFAW-RYUDHWBXSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc1S(=O)(=O)NC1CC1 ZINC000443810310 1072554389 /nfs/dbraw/zinc/55/43/89/1072554389.db2.gz MENNDOCXQMSFAW-VXGBXAGGSA-N 0 0 429.495 -0.335 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000443815256 1072554426 /nfs/dbraw/zinc/55/44/26/1072554426.db2.gz KFWJMPWARBWEHP-CABCVRRESA-N 0 0 442.538 -0.849 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[C@@H](C)CO ZINC000443815257 1072554277 /nfs/dbraw/zinc/55/42/77/1072554277.db2.gz KFWJMPWARBWEHP-GJZGRUSLSA-N 0 0 442.538 -0.849 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[C@H](C)CO ZINC000443815258 1072554379 /nfs/dbraw/zinc/55/43/79/1072554379.db2.gz KFWJMPWARBWEHP-HUUCEWRRSA-N 0 0 442.538 -0.849 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[C@@H](C)CO ZINC000443815259 1072554405 /nfs/dbraw/zinc/55/44/05/1072554405.db2.gz KFWJMPWARBWEHP-LSDHHAIUSA-N 0 0 442.538 -0.849 20 0 IBADRN O=C(NCCCNC(=O)c1ccccc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000443815569 1072554819 /nfs/dbraw/zinc/55/48/19/1072554819.db2.gz JMLVHNNDTWBOTH-UHFFFAOYSA-N 0 0 428.493 0.090 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)CO ZINC000443817409 1072554837 /nfs/dbraw/zinc/55/48/37/1072554837.db2.gz QJPSGKMPORYBKL-KBPBESRZSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000443817410 1072554732 /nfs/dbraw/zinc/55/47/32/1072554732.db2.gz QJPSGKMPORYBKL-KGLIPLIRSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)CO ZINC000443817411 1072554776 /nfs/dbraw/zinc/55/47/76/1072554776.db2.gz QJPSGKMPORYBKL-UONOGXRCSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H](C)CO ZINC000443817412 1072554743 /nfs/dbraw/zinc/55/47/43/1072554743.db2.gz QJPSGKMPORYBKL-ZIAGYGMSSA-N 0 0 429.495 -0.764 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000443818943 1072554844 /nfs/dbraw/zinc/55/48/44/1072554844.db2.gz ZKCOTUAGQPHQIU-HNNXBMFYSA-N 0 0 439.538 -0.009 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000443818944 1072554783 /nfs/dbraw/zinc/55/47/83/1072554783.db2.gz ZKCOTUAGQPHQIU-OAHLLOKOSA-N 0 0 439.538 -0.009 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc1 ZINC000443820905 1072555310 /nfs/dbraw/zinc/55/53/10/1072555310.db2.gz CERNMNRZAXOBRN-BFHYXJOUSA-N 0 0 431.511 -0.472 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc1 ZINC000443820909 1072555303 /nfs/dbraw/zinc/55/53/03/1072555303.db2.gz CERNMNRZAXOBRN-IHRRRGAJSA-N 0 0 431.511 -0.472 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc1 ZINC000443820911 1072555254 /nfs/dbraw/zinc/55/52/54/1072555254.db2.gz CERNMNRZAXOBRN-MELADBBJSA-N 0 0 431.511 -0.472 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc1 ZINC000443820913 1072555246 /nfs/dbraw/zinc/55/52/46/1072555246.db2.gz CERNMNRZAXOBRN-MJBXVCDLSA-N 0 0 431.511 -0.472 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO ZINC000443821276 1072555281 /nfs/dbraw/zinc/55/52/81/1072555281.db2.gz IEVBTGCWYHZDRR-CABCVRRESA-N 0 0 436.509 -0.389 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO ZINC000443821280 1072555748 /nfs/dbraw/zinc/55/57/48/1072555748.db2.gz IEVBTGCWYHZDRR-GJZGRUSLSA-N 0 0 436.509 -0.389 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO ZINC000443821282 1072555682 /nfs/dbraw/zinc/55/56/82/1072555682.db2.gz IEVBTGCWYHZDRR-HUUCEWRRSA-N 0 0 436.509 -0.389 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO ZINC000443821284 1072555768 /nfs/dbraw/zinc/55/57/68/1072555768.db2.gz IEVBTGCWYHZDRR-LSDHHAIUSA-N 0 0 436.509 -0.389 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H](C)CO ZINC000443821670 1072555919 /nfs/dbraw/zinc/55/59/19/1072555919.db2.gz DQOLWVBKDQGDLS-MPGHIAIKSA-N 0 0 435.477 -0.770 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H](C)CO ZINC000443821671 1072555710 /nfs/dbraw/zinc/55/57/10/1072555710.db2.gz DQOLWVBKDQGDLS-RLFYNMQTSA-N 0 0 435.477 -0.770 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000443821672 1072555856 /nfs/dbraw/zinc/55/58/56/1072555856.db2.gz DQOLWVBKDQGDLS-RVKKMQEKSA-N 0 0 435.477 -0.770 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000443821673 1072555664 /nfs/dbraw/zinc/55/56/64/1072555664.db2.gz DQOLWVBKDQGDLS-VKJFTORMSA-N 0 0 435.477 -0.770 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCOc3c(F)cccc32)n1)N1CCOCC1 ZINC000443823219 1072555831 /nfs/dbraw/zinc/55/58/31/1072555831.db2.gz MPKVYZWGRXKSRC-HNNXBMFYSA-N 0 0 431.424 0.460 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@H](C)CO ZINC000443823901 1072555911 /nfs/dbraw/zinc/55/59/11/1072555911.db2.gz JPZWFAKXRTUMMY-CHWSQXEVSA-N 0 0 447.485 -0.625 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000443823902 1072555877 /nfs/dbraw/zinc/55/58/77/1072555877.db2.gz JPZWFAKXRTUMMY-OLZOCXBDSA-N 0 0 447.485 -0.625 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)CO ZINC000443823903 1072555723 /nfs/dbraw/zinc/55/57/23/1072555723.db2.gz JPZWFAKXRTUMMY-QWHCGFSZSA-N 0 0 447.485 -0.625 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)CO ZINC000443823904 1072555737 /nfs/dbraw/zinc/55/57/37/1072555737.db2.gz JPZWFAKXRTUMMY-STQMWFEESA-N 0 0 447.485 -0.625 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H](C)CO ZINC000443824258 1072555780 /nfs/dbraw/zinc/55/57/80/1072555780.db2.gz OOFOVJZDGUOUPF-KBPBESRZSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000443824261 1072555822 /nfs/dbraw/zinc/55/58/22/1072555822.db2.gz OOFOVJZDGUOUPF-KGLIPLIRSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H](C)CO ZINC000443824264 1072555813 /nfs/dbraw/zinc/55/58/13/1072555813.db2.gz OOFOVJZDGUOUPF-UONOGXRCSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H](C)CO ZINC000443824266 1072555927 /nfs/dbraw/zinc/55/59/27/1072555927.db2.gz OOFOVJZDGUOUPF-ZIAGYGMSSA-N 0 0 429.495 -0.764 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000443824316 1072555332 /nfs/dbraw/zinc/55/53/32/1072555332.db2.gz KLTXJVUDZTVJEZ-CVEARBPZSA-N 0 0 436.509 -0.723 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H](C)CO ZINC000443824321 1072555264 /nfs/dbraw/zinc/55/52/64/1072555264.db2.gz KLTXJVUDZTVJEZ-HOTGVXAUSA-N 0 0 436.509 -0.723 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H](C)CO ZINC000443824323 1072555325 /nfs/dbraw/zinc/55/53/25/1072555325.db2.gz KLTXJVUDZTVJEZ-HZPDHXFCSA-N 0 0 436.509 -0.723 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H](C)CO ZINC000443824324 1072555800 /nfs/dbraw/zinc/55/58/00/1072555800.db2.gz KLTXJVUDZTVJEZ-JKSUJKDBSA-N 0 0 436.509 -0.723 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000443827727 1072556288 /nfs/dbraw/zinc/55/62/88/1072556288.db2.gz NJYFDXGBIBVKFE-CABCVRRESA-N 0 0 433.509 -0.331 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@@H](C)CO ZINC000443827730 1072556369 /nfs/dbraw/zinc/55/63/69/1072556369.db2.gz NJYFDXGBIBVKFE-GJZGRUSLSA-N 0 0 433.509 -0.331 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@H](C)CO ZINC000443827732 1072556382 /nfs/dbraw/zinc/55/63/82/1072556382.db2.gz NJYFDXGBIBVKFE-HUUCEWRRSA-N 0 0 433.509 -0.331 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@@H](C)CO ZINC000443827735 1072556268 /nfs/dbraw/zinc/55/62/68/1072556268.db2.gz NJYFDXGBIBVKFE-LSDHHAIUSA-N 0 0 433.509 -0.331 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](C)[C@@H]1CO ZINC000443830848 1072556353 /nfs/dbraw/zinc/55/63/53/1072556353.db2.gz RLNGFYOHWOGBCV-BBRMVZONSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](C)[C@@H]1CO ZINC000443830849 1072556301 /nfs/dbraw/zinc/55/63/01/1072556301.db2.gz RLNGFYOHWOGBCV-CJNGLKHVSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](C)[C@H]1CO ZINC000443830850 1072556346 /nfs/dbraw/zinc/55/63/46/1072556346.db2.gz RLNGFYOHWOGBCV-CZUORRHYSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](C)[C@H]1CO ZINC000443830851 1072556390 /nfs/dbraw/zinc/55/63/90/1072556390.db2.gz RLNGFYOHWOGBCV-XJKSGUPXSA-N 0 0 441.506 -0.116 20 0 IBADRN Cn1c2ncn(CC(=O)NCCNc3ccc(-c4ccccc4)nn3)c2c(=O)n(C)c1=O ZINC000443832088 1073354457 /nfs/dbraw/zinc/35/44/57/1073354457.db2.gz CZVCLBTYCZARSN-UHFFFAOYSA-N 0 0 434.460 0.119 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@H](C)CO ZINC000443832296 1072556871 /nfs/dbraw/zinc/55/68/71/1072556871.db2.gz ZLEUTEQODPYTER-CHWSQXEVSA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000443832485 1072556364 /nfs/dbraw/zinc/55/63/64/1072556364.db2.gz ZLEUTEQODPYTER-OLZOCXBDSA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@@H](C)CO ZINC000443832487 1072556370 /nfs/dbraw/zinc/55/63/70/1072556370.db2.gz ZLEUTEQODPYTER-QWHCGFSZSA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@@H](C)CO ZINC000443832489 1072556376 /nfs/dbraw/zinc/55/63/76/1072556376.db2.gz ZLEUTEQODPYTER-STQMWFEESA-N 0 0 430.527 -0.468 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc2)CC1 ZINC000443835742 1072556825 /nfs/dbraw/zinc/55/68/25/1072556825.db2.gz GCXAZYUIGBVHTE-DLBZAZTESA-N 0 0 434.537 -0.078 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc2)CC1 ZINC000443835743 1072556888 /nfs/dbraw/zinc/55/68/88/1072556888.db2.gz GCXAZYUIGBVHTE-IAGOWNOFSA-N 0 0 434.537 -0.078 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc2)CC1 ZINC000443835744 1072556689 /nfs/dbraw/zinc/55/66/89/1072556689.db2.gz GCXAZYUIGBVHTE-IRXDYDNUSA-N 0 0 434.537 -0.078 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc2)CC1 ZINC000443835745 1072556721 /nfs/dbraw/zinc/55/67/21/1072556721.db2.gz GCXAZYUIGBVHTE-SJORKVTESA-N 0 0 434.537 -0.078 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCNc1ccc(-c3ccccc3)nn1)c(=O)n2C ZINC000443837251 1072557211 /nfs/dbraw/zinc/55/72/11/1072557211.db2.gz IIXICSREHWTIOD-UHFFFAOYSA-N 0 0 434.460 0.119 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000443837795 1072557282 /nfs/dbraw/zinc/55/72/82/1072557282.db2.gz SAFIWINJXCTBKB-DZGCQCFKSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](C)[C@@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000443837796 1072557224 /nfs/dbraw/zinc/55/72/24/1072557224.db2.gz SAFIWINJXCTBKB-HIFRSBDPSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](C)[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000443837797 1072557291 /nfs/dbraw/zinc/55/72/91/1072557291.db2.gz SAFIWINJXCTBKB-UKRRQHHQSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](C)[C@@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000443837798 1072557299 /nfs/dbraw/zinc/55/72/99/1072557299.db2.gz SAFIWINJXCTBKB-ZFWWWQNUSA-N 0 0 441.506 -0.116 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCNc2ccc(-c3ccccc3)nn2)o1 ZINC000443840280 1073317647 /nfs/dbraw/zinc/31/76/47/1073317647.db2.gz ISJWSKHIYPJDMG-UHFFFAOYSA-N 0 0 444.473 0.342 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO ZINC000443841611 1072557337 /nfs/dbraw/zinc/55/73/37/1072557337.db2.gz OOPHASOAUAQLAC-NEPJUHHUSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO ZINC000443841612 1072557309 /nfs/dbraw/zinc/55/73/09/1072557309.db2.gz OOPHASOAUAQLAC-NWDGAFQWSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO ZINC000443841613 1072557259 /nfs/dbraw/zinc/55/72/59/1072557259.db2.gz OOPHASOAUAQLAC-RYUDHWBXSA-N 0 0 429.495 -0.335 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO ZINC000443841615 1072557798 /nfs/dbraw/zinc/55/77/98/1072557798.db2.gz OOPHASOAUAQLAC-VXGBXAGGSA-N 0 0 429.495 -0.335 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc1S(=O)(=O)N(C)C ZINC000443841934 1072557192 /nfs/dbraw/zinc/55/71/92/1072557192.db2.gz LMYSFDXRLAZQOP-CHWSQXEVSA-N 0 0 431.511 -0.136 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc1S(=O)(=O)N(C)C ZINC000443841935 1072557326 /nfs/dbraw/zinc/55/73/26/1072557326.db2.gz LMYSFDXRLAZQOP-OLZOCXBDSA-N 0 0 431.511 -0.136 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc1S(=O)(=O)N(C)C ZINC000443841936 1072557200 /nfs/dbraw/zinc/55/72/00/1072557200.db2.gz LMYSFDXRLAZQOP-QWHCGFSZSA-N 0 0 431.511 -0.136 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc1S(=O)(=O)N(C)C ZINC000443841937 1072557236 /nfs/dbraw/zinc/55/72/36/1072557236.db2.gz LMYSFDXRLAZQOP-STQMWFEESA-N 0 0 431.511 -0.136 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc(S(C)(=O)=O)c1 ZINC000443843346 1072556637 /nfs/dbraw/zinc/55/66/37/1072556637.db2.gz OSNUJSYUVRVCGF-NEPJUHHUSA-N 0 0 430.479 -0.205 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc(S(C)(=O)=O)c1 ZINC000443843347 1072556746 /nfs/dbraw/zinc/55/67/46/1072556746.db2.gz OSNUJSYUVRVCGF-NWDGAFQWSA-N 0 0 430.479 -0.205 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc(S(C)(=O)=O)c1 ZINC000443843348 1072556862 /nfs/dbraw/zinc/55/68/62/1072556862.db2.gz OSNUJSYUVRVCGF-RYUDHWBXSA-N 0 0 430.479 -0.205 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc(S(C)(=O)=O)c1 ZINC000443843520 1072556655 /nfs/dbraw/zinc/55/66/55/1072556655.db2.gz OSNUJSYUVRVCGF-VXGBXAGGSA-N 0 0 430.479 -0.205 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000443844091 1072557812 /nfs/dbraw/zinc/55/78/12/1072557812.db2.gz PUZVAKKXMKFLNV-CABCVRRESA-N 0 0 442.538 -0.849 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@@H](C)CO ZINC000443844092 1072557731 /nfs/dbraw/zinc/55/77/31/1072557731.db2.gz PUZVAKKXMKFLNV-GJZGRUSLSA-N 0 0 442.538 -0.849 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@H](C)CO ZINC000443844093 1072557688 /nfs/dbraw/zinc/55/76/88/1072557688.db2.gz PUZVAKKXMKFLNV-HUUCEWRRSA-N 0 0 442.538 -0.849 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@@H](C)CO ZINC000443844094 1072557634 /nfs/dbraw/zinc/55/76/34/1072557634.db2.gz PUZVAKKXMKFLNV-LSDHHAIUSA-N 0 0 442.538 -0.849 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCNc3ccc(-c4ccccc4)nn3)c2c(=O)n(C)c1=O ZINC000443844475 1073354416 /nfs/dbraw/zinc/35/44/16/1073354416.db2.gz RBFFVIJLNWRLNI-UHFFFAOYSA-N 0 0 448.487 0.509 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)[C@@H](C)CO ZINC000443846044 1072556942 /nfs/dbraw/zinc/55/69/42/1072556942.db2.gz YRADTYLEYBFPAK-AJNGGQMLSA-N 0 0 425.486 -0.952 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000443846045 1072556712 /nfs/dbraw/zinc/55/67/12/1072556712.db2.gz YRADTYLEYBFPAK-BARDWOONSA-N 0 0 425.486 -0.952 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000443846046 1072556795 /nfs/dbraw/zinc/55/67/95/1072556795.db2.gz YRADTYLEYBFPAK-QPSCCSFWSA-N 0 0 425.486 -0.952 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)[C@@H](C)CO ZINC000443846047 1072556931 /nfs/dbraw/zinc/55/69/31/1072556931.db2.gz YRADTYLEYBFPAK-ZQDZILKHSA-N 0 0 425.486 -0.952 20 0 IBADRN CCOC1CC(CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)(N2CCOCC2)C1 ZINC000443855046 1072557154 /nfs/dbraw/zinc/55/71/54/1072557154.db2.gz DYWDYFUITHLUMG-UHFFFAOYSA-N 0 0 431.493 -0.368 20 0 IBADRN CCOC1CC(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)(N2CCOCC2)C1 ZINC000443863862 1072557666 /nfs/dbraw/zinc/55/76/66/1072557666.db2.gz YSDQRJLPVPWPOB-UHFFFAOYSA-N 0 0 431.493 -0.368 20 0 IBADRN CCC(CC)(CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)OC ZINC000443865721 1072557822 /nfs/dbraw/zinc/55/78/22/1072557822.db2.gz DZLIUMMCLIOALI-UHFFFAOYSA-N 0 0 433.575 -0.215 20 0 IBADRN CCOC1CC(CNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)(N2CCOCC2)C1 ZINC000443866097 1072557857 /nfs/dbraw/zinc/55/78/57/1072557857.db2.gz FUJQCTSJPKGEPF-UHFFFAOYSA-N 0 0 445.520 -0.060 20 0 IBADRN CCOC1CC(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)(N2CCOCC2)C1 ZINC000443866813 1072557652 /nfs/dbraw/zinc/55/76/52/1072557652.db2.gz ISVZZUNOBYIYTP-UHFFFAOYSA-N 0 0 445.520 -0.060 20 0 IBADRN CCOC1CC(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)(N2CCOCC2)C1 ZINC000443866911 1072557782 /nfs/dbraw/zinc/55/77/82/1072557782.db2.gz HVHOTKVBXIPWRJ-UHFFFAOYSA-N 0 0 433.509 -0.575 20 0 IBADRN COc1cc(NCCNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc(OC)c1 ZINC000443881194 1072558757 /nfs/dbraw/zinc/55/87/57/1072558757.db2.gz PLNSSYYGLBPBGR-UHFFFAOYSA-N 0 0 426.451 -0.098 20 0 IBADRN COc1cc(NCCNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc(OC)c1 ZINC000443882956 1072558602 /nfs/dbraw/zinc/55/86/02/1072558602.db2.gz LPSFPHRRTTWYRN-UHFFFAOYSA-N 0 0 425.467 -0.363 20 0 IBADRN COCCN(C)S(=O)(=O)NC[C@H](NS(=O)(=O)N(C)CCOC)C1CCCC1 ZINC000443888901 1072558753 /nfs/dbraw/zinc/55/87/53/1072558753.db2.gz LQPXPDUDOJTURN-HNNXBMFYSA-N 0 0 430.593 -0.630 20 0 IBADRN COCCN(C)S(=O)(=O)NC[C@@H](NS(=O)(=O)N(C)CCOC)C1CCCC1 ZINC000443888902 1072558628 /nfs/dbraw/zinc/55/86/28/1072558628.db2.gz LQPXPDUDOJTURN-OAHLLOKOSA-N 0 0 430.593 -0.630 20 0 IBADRN CCOC(=O)CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(F)cn1 ZINC000443890621 1072559082 /nfs/dbraw/zinc/55/90/82/1072559082.db2.gz WEQGHFNGEOGYBC-UHFFFAOYSA-N 0 0 432.412 -0.046 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCN(Cc3noc(C(C)(C)C)n3)CC2)c(=O)n(C)c1=O ZINC000443896780 1072558805 /nfs/dbraw/zinc/55/88/05/1072558805.db2.gz IJIFIXUIIMDRDZ-UHFFFAOYSA-N 0 0 440.526 -0.339 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000443899941 1072559256 /nfs/dbraw/zinc/55/92/56/1072559256.db2.gz VKLQRNMIUBVRDM-AWEZNQCLSA-N 0 0 440.522 -0.510 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000443899943 1072559183 /nfs/dbraw/zinc/55/91/83/1072559183.db2.gz VKLQRNMIUBVRDM-CQSZACIVSA-N 0 0 440.522 -0.510 20 0 IBADRN CC(C)(CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)N1CCS(=O)CC1 ZINC000443908779 1072559052 /nfs/dbraw/zinc/55/90/52/1072559052.db2.gz MOZIQRANPQRURL-UHFFFAOYSA-N 0 0 426.543 -0.294 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nnn1Cc1ccc(F)cc1 ZINC000443916774 1072559584 /nfs/dbraw/zinc/55/95/84/1072559584.db2.gz BUBDXNMHGSGOJI-UHFFFAOYSA-N 0 0 444.467 -0.083 20 0 IBADRN CC(C)(CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)N1CCS(=O)CC1 ZINC000443917507 1072559801 /nfs/dbraw/zinc/55/98/01/1072559801.db2.gz RBKNYABPIOMSMO-UHFFFAOYSA-N 0 0 426.543 -0.294 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)n1 ZINC000443919407 1072559737 /nfs/dbraw/zinc/55/97/37/1072559737.db2.gz IFOYTBLZXSGVJR-UHFFFAOYSA-N 0 0 435.919 0.181 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(F)F)CC2)c1 ZINC000443920200 1072559789 /nfs/dbraw/zinc/55/97/89/1072559789.db2.gz BLILVDKDKFRGLA-UHFFFAOYSA-N 0 0 425.435 -0.251 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000443923336 1073324540 /nfs/dbraw/zinc/32/45/40/1073324540.db2.gz SPBNCVDOWJQNFO-CYBMUJFWSA-N 0 0 440.526 0.041 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000443923338 1073324556 /nfs/dbraw/zinc/32/45/56/1073324556.db2.gz SPBNCVDOWJQNFO-ZDUSSCGKSA-N 0 0 440.526 0.041 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000443923631 1072559719 /nfs/dbraw/zinc/55/97/19/1072559719.db2.gz IQBVJEOQWAPSJM-GOSISDBHSA-N 0 0 449.556 -0.388 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000443923634 1072559837 /nfs/dbraw/zinc/55/98/37/1072559837.db2.gz IQBVJEOQWAPSJM-SFHVURJKSA-N 0 0 449.556 -0.388 20 0 IBADRN CC(C)[C@H](NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1nc(-c2nc[nH]n2)no1 ZINC000443926212 1072559290 /nfs/dbraw/zinc/55/92/90/1072559290.db2.gz KGOVTPVCVNMOHV-AWEZNQCLSA-N 0 0 444.456 0.429 20 0 IBADRN CC(C)[C@@H](NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1nc(-c2nc[nH]n2)no1 ZINC000443926213 1072559243 /nfs/dbraw/zinc/55/92/43/1072559243.db2.gz KGOVTPVCVNMOHV-CQSZACIVSA-N 0 0 444.456 0.429 20 0 IBADRN CC(CC(=O)N(C)CC(=O)N1CCOCC1)CC(=O)N(C)CC(=O)N1CCOCC1 ZINC000443927329 1072559299 /nfs/dbraw/zinc/55/92/99/1072559299.db2.gz MRRXRPVHVQYYMY-UHFFFAOYSA-N 0 0 426.514 -0.963 20 0 IBADRN Cc1ccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1S(C)(=O)=O ZINC000443933919 1072560087 /nfs/dbraw/zinc/56/00/87/1072560087.db2.gz GZFWSLRRCZSWPU-UHFFFAOYSA-N 0 0 425.554 -0.690 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H](CO)Cc2cc(F)c(F)c(F)c2)CC1 ZINC000443934045 1072560160 /nfs/dbraw/zinc/56/01/60/1072560160.db2.gz IVFCLEIWZZWQMC-GFCCVEGCSA-N 0 0 445.485 -0.334 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H](CO)Cc2cc(F)c(F)c(F)c2)CC1 ZINC000443934051 1072560148 /nfs/dbraw/zinc/56/01/48/1072560148.db2.gz IVFCLEIWZZWQMC-LBPRGKRZSA-N 0 0 445.485 -0.334 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000443936513 1072560060 /nfs/dbraw/zinc/56/00/60/1072560060.db2.gz PKZZBJAVSJOUQY-GOSISDBHSA-N 0 0 449.556 -0.388 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000443936515 1072560322 /nfs/dbraw/zinc/56/03/22/1072560322.db2.gz PKZZBJAVSJOUQY-SFHVURJKSA-N 0 0 449.556 -0.388 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)Nc1ccn(CCN2CCOCC2)n1 ZINC000443939503 1072561398 /nfs/dbraw/zinc/56/13/98/1072561398.db2.gz QYYYKGPBWYFQMM-AWEZNQCLSA-N 0 0 443.551 0.233 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)Nc1ccn(CCN2CCOCC2)n1 ZINC000443939504 1072561311 /nfs/dbraw/zinc/56/13/11/1072561311.db2.gz QYYYKGPBWYFQMM-CQSZACIVSA-N 0 0 443.551 0.233 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000443947555 1072560719 /nfs/dbraw/zinc/56/07/19/1072560719.db2.gz OFJCIRAPJCTXGG-KRWDZBQOSA-N 0 0 426.539 -0.946 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000443963989 1072561925 /nfs/dbraw/zinc/56/19/25/1072561925.db2.gz TWGAZKGLWLYJJZ-UHFFFAOYSA-N 0 0 444.988 -0.627 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000443964579 1072561710 /nfs/dbraw/zinc/56/17/10/1072561710.db2.gz PXSKNXQDTRRPSV-UHFFFAOYSA-N 0 0 430.961 -0.289 20 0 IBADRN CCOC1CC(O)(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000443972654 1072562555 /nfs/dbraw/zinc/56/25/55/1072562555.db2.gz XHTTUQANBYUDBG-UHFFFAOYSA-N 0 0 434.536 -0.084 20 0 IBADRN CC(C)N(C[C@H](O)COc1ccccc1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443972709 1072562452 /nfs/dbraw/zinc/56/24/52/1072562452.db2.gz XMJJITSNSDRCBU-INIZCTEOSA-N 0 0 435.568 -0.041 20 0 IBADRN CC(C)N(C[C@@H](O)COc1ccccc1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000443972713 1072562609 /nfs/dbraw/zinc/56/26/09/1072562609.db2.gz XMJJITSNSDRCBU-MRXNPFEDSA-N 0 0 435.568 -0.041 20 0 IBADRN CCOC1CC(O)(CNS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000443976202 1072562482 /nfs/dbraw/zinc/56/24/82/1072562482.db2.gz CJTIPLBMVFEISH-CEKSDINPSA-N 0 0 427.479 -0.042 20 0 IBADRN CCOC1CC(O)(CNS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000443976203 1072562499 /nfs/dbraw/zinc/56/24/99/1072562499.db2.gz CJTIPLBMVFEISH-CXKHSYRPSA-N 0 0 427.479 -0.042 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(S(=O)(=O)c3cnn(CC(=O)OC)c3)CC2)n1 ZINC000443978076 1072562247 /nfs/dbraw/zinc/56/22/47/1072562247.db2.gz KIAIGWBQAQJSQM-UHFFFAOYSA-N 0 0 426.455 -0.081 20 0 IBADRN COCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000443982399 1072563014 /nfs/dbraw/zinc/56/30/14/1072563014.db2.gz BXVBMYFXFAEQOZ-CYBMUJFWSA-N 0 0 440.565 -0.239 20 0 IBADRN COCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000443982400 1072563028 /nfs/dbraw/zinc/56/30/28/1072563028.db2.gz BXVBMYFXFAEQOZ-ZDUSSCGKSA-N 0 0 440.565 -0.239 20 0 IBADRN CCOC1CC(CNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)(N2CCOCC2)C1 ZINC000443984522 1072563071 /nfs/dbraw/zinc/56/30/71/1072563071.db2.gz YCDSVNXQKDTIQD-UHFFFAOYSA-N 0 0 433.552 -0.118 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000443986909 1072563570 /nfs/dbraw/zinc/56/35/70/1072563570.db2.gz ITXAZOPQSBMBFD-UHFFFAOYSA-N 0 0 444.579 -0.134 20 0 IBADRN CC(C)NC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000443988658 1072563526 /nfs/dbraw/zinc/56/35/26/1072563526.db2.gz MOBLIAHGZNRZTE-UHFFFAOYSA-N 0 0 444.579 -0.042 20 0 IBADRN CC(C)NC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000443989582 1072563369 /nfs/dbraw/zinc/56/33/69/1072563369.db2.gz OTUFWPFUWKGNJF-UHFFFAOYSA-N 0 0 432.568 -0.232 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000443997924 1072563474 /nfs/dbraw/zinc/56/34/74/1072563474.db2.gz NKIOPWQYCQMACV-AWEZNQCLSA-N 0 0 431.536 -0.051 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000443997925 1072563995 /nfs/dbraw/zinc/56/39/95/1072563995.db2.gz NKIOPWQYCQMACV-CQSZACIVSA-N 0 0 431.536 -0.051 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000443998811 1072564001 /nfs/dbraw/zinc/56/40/01/1072564001.db2.gz WUWCLPQOQJJBHH-GFCCVEGCSA-N 0 0 431.492 -0.821 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000443998812 1072564177 /nfs/dbraw/zinc/56/41/77/1072564177.db2.gz WUWCLPQOQJJBHH-LBPRGKRZSA-N 0 0 431.492 -0.821 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444008013 1072563985 /nfs/dbraw/zinc/56/39/85/1072563985.db2.gz IUPWYGUBWTUPHV-OCCSQVGLSA-N 0 0 428.442 -0.992 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444008103 1072564137 /nfs/dbraw/zinc/56/41/37/1072564137.db2.gz DLWHTHZUMRLMKE-WBVHZDCISA-N 0 0 442.513 -0.770 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CN3CCN(C)C(=O)C3)CC2)cc1 ZINC000444009938 1072564524 /nfs/dbraw/zinc/56/45/24/1072564524.db2.gz KVSPWWPOGUSOKS-UHFFFAOYSA-N 0 0 437.566 -0.808 20 0 IBADRN NC(=O)CCn1ccc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)n1 ZINC000444017679 1072564574 /nfs/dbraw/zinc/56/45/74/1072564574.db2.gz AGFLDWCDCRLCAM-OCCSQVGLSA-N 0 0 425.442 -0.340 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc2oc1=O ZINC000444020611 1072563977 /nfs/dbraw/zinc/56/39/77/1072563977.db2.gz FRSUOYJFEVTZKQ-DZGCQCFKSA-N 0 0 445.519 -0.610 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc2oc1=O ZINC000444020619 1072564107 /nfs/dbraw/zinc/56/41/07/1072564107.db2.gz FRSUOYJFEVTZKQ-HIFRSBDPSA-N 0 0 445.519 -0.610 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc2oc1=O ZINC000444020620 1072564043 /nfs/dbraw/zinc/56/40/43/1072564043.db2.gz FRSUOYJFEVTZKQ-UKRRQHHQSA-N 0 0 445.519 -0.610 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc2oc1=O ZINC000444020623 1072564224 /nfs/dbraw/zinc/56/42/24/1072564224.db2.gz FRSUOYJFEVTZKQ-ZFWWWQNUSA-N 0 0 445.519 -0.610 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)c1ncn(C)n1 ZINC000444024095 1072564592 /nfs/dbraw/zinc/56/45/92/1072564592.db2.gz QRPBFKPYRZRQEH-GFCCVEGCSA-N 0 0 449.493 -0.077 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)c1ncn(C)n1 ZINC000444024096 1072564567 /nfs/dbraw/zinc/56/45/67/1072564567.db2.gz QRPBFKPYRZRQEH-LBPRGKRZSA-N 0 0 449.493 -0.077 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(Br)o1 ZINC000444024831 1072565090 /nfs/dbraw/zinc/56/50/90/1072565090.db2.gz RYTGAACVRKKKGF-NXEZZACHSA-N 0 0 429.314 -0.182 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(Br)o1 ZINC000444024844 1072565251 /nfs/dbraw/zinc/56/52/51/1072565251.db2.gz RYTGAACVRKKKGF-UWVGGRQHSA-N 0 0 429.314 -0.182 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(Br)o1 ZINC000444024846 1072565107 /nfs/dbraw/zinc/56/51/07/1072565107.db2.gz RYTGAACVRKKKGF-VHSXEESVSA-N 0 0 429.314 -0.182 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(Br)o1 ZINC000444024848 1072565160 /nfs/dbraw/zinc/56/51/60/1072565160.db2.gz RYTGAACVRKKKGF-ZJUUUORDSA-N 0 0 429.314 -0.182 20 0 IBADRN CC(C)NS(=O)(=O)CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444025078 1072565351 /nfs/dbraw/zinc/56/53/51/1072565351.db2.gz OOSZJQZMSMIGRS-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444026235 1072565130 /nfs/dbraw/zinc/56/51/30/1072565130.db2.gz XEZZSBQVZRMJAS-CABCVRRESA-N 0 0 434.536 -0.512 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444026236 1072565299 /nfs/dbraw/zinc/56/52/99/1072565299.db2.gz XEZZSBQVZRMJAS-GJZGRUSLSA-N 0 0 434.536 -0.512 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444026237 1072565336 /nfs/dbraw/zinc/56/53/36/1072565336.db2.gz XEZZSBQVZRMJAS-HUUCEWRRSA-N 0 0 434.536 -0.512 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444026238 1072565218 /nfs/dbraw/zinc/56/52/18/1072565218.db2.gz XEZZSBQVZRMJAS-LSDHHAIUSA-N 0 0 434.536 -0.512 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2[nH]1 ZINC000444027027 1072565315 /nfs/dbraw/zinc/56/53/15/1072565315.db2.gz BHEJPHDZMAODBY-CVEARBPZSA-N 0 0 427.504 -0.696 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2[nH]1 ZINC000444027028 1072565668 /nfs/dbraw/zinc/56/56/68/1072565668.db2.gz BHEJPHDZMAODBY-HOTGVXAUSA-N 0 0 427.504 -0.696 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2[nH]1 ZINC000444027029 1072565736 /nfs/dbraw/zinc/56/57/36/1072565736.db2.gz BHEJPHDZMAODBY-HZPDHXFCSA-N 0 0 427.504 -0.696 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2[nH]1 ZINC000444027030 1072565660 /nfs/dbraw/zinc/56/56/60/1072565660.db2.gz BHEJPHDZMAODBY-JKSUJKDBSA-N 0 0 427.504 -0.696 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c[nH]c2nccc(Cl)c12 ZINC000444027402 1072565206 /nfs/dbraw/zinc/56/52/06/1072565206.db2.gz DLROPDOINDUULZ-NEPJUHHUSA-N 0 0 434.927 -0.008 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c[nH]c2nccc(Cl)c12 ZINC000444027404 1072565364 /nfs/dbraw/zinc/56/53/64/1072565364.db2.gz DLROPDOINDUULZ-NWDGAFQWSA-N 0 0 434.927 -0.008 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c[nH]c2nccc(Cl)c12 ZINC000444027405 1072565241 /nfs/dbraw/zinc/56/52/41/1072565241.db2.gz DLROPDOINDUULZ-RYUDHWBXSA-N 0 0 434.927 -0.008 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c[nH]c2nccc(Cl)c12 ZINC000444027406 1072565121 /nfs/dbraw/zinc/56/51/21/1072565121.db2.gz DLROPDOINDUULZ-VXGBXAGGSA-N 0 0 434.927 -0.008 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(Cc2ccccc2)c1 ZINC000444027544 1072565700 /nfs/dbraw/zinc/56/57/00/1072565700.db2.gz DVWXVNOMHNXJFA-MSOLQXFVSA-N 0 0 440.547 -0.293 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(Cc2ccccc2)c1 ZINC000444027545 1072565678 /nfs/dbraw/zinc/56/56/78/1072565678.db2.gz DVWXVNOMHNXJFA-QZTJIDSGSA-N 0 0 440.547 -0.293 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(Cc2ccccc2)c1 ZINC000444027546 1072565690 /nfs/dbraw/zinc/56/56/90/1072565690.db2.gz DVWXVNOMHNXJFA-ROUUACIJSA-N 0 0 440.547 -0.293 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(Cc2ccccc2)c1 ZINC000444027547 1072565787 /nfs/dbraw/zinc/56/57/87/1072565787.db2.gz DVWXVNOMHNXJFA-ZWKOTPCHSA-N 0 0 440.547 -0.293 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000444027723 1072565777 /nfs/dbraw/zinc/56/57/77/1072565777.db2.gz DOPSDCFZVZTNQU-KBPBESRZSA-N 0 0 449.551 -0.416 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000444027725 1072565619 /nfs/dbraw/zinc/56/56/19/1072565619.db2.gz DOPSDCFZVZTNQU-KGLIPLIRSA-N 0 0 449.551 -0.416 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000444027727 1072565755 /nfs/dbraw/zinc/56/57/55/1072565755.db2.gz DOPSDCFZVZTNQU-UONOGXRCSA-N 0 0 449.551 -0.416 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000444027728 1072565591 /nfs/dbraw/zinc/56/55/91/1072565591.db2.gz DOPSDCFZVZTNQU-ZIAGYGMSSA-N 0 0 449.551 -0.416 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444028564 1072566222 /nfs/dbraw/zinc/56/62/22/1072566222.db2.gz GTOCLEXPGSCULS-CHWSQXEVSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444028565 1072566170 /nfs/dbraw/zinc/56/61/70/1072566170.db2.gz GTOCLEXPGSCULS-OLZOCXBDSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444028566 1072566239 /nfs/dbraw/zinc/56/62/39/1072566239.db2.gz GTOCLEXPGSCULS-QWHCGFSZSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444028567 1072566123 /nfs/dbraw/zinc/56/61/23/1072566123.db2.gz GTOCLEXPGSCULS-STQMWFEESA-N 0 0 436.483 -0.612 20 0 IBADRN Cc1c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cnn1-c1ccccn1 ZINC000444028966 1072566212 /nfs/dbraw/zinc/56/62/12/1072566212.db2.gz INRGVUHRQLWOMI-CABCVRRESA-N 0 0 441.535 -0.648 20 0 IBADRN Cc1c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cnn1-c1ccccn1 ZINC000444028967 1072566090 /nfs/dbraw/zinc/56/60/90/1072566090.db2.gz INRGVUHRQLWOMI-GJZGRUSLSA-N 0 0 441.535 -0.648 20 0 IBADRN Cc1c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cnn1-c1ccccn1 ZINC000444028968 1072566142 /nfs/dbraw/zinc/56/61/42/1072566142.db2.gz INRGVUHRQLWOMI-HUUCEWRRSA-N 0 0 441.535 -0.648 20 0 IBADRN Cc1c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cnn1-c1ccccn1 ZINC000444028969 1072566203 /nfs/dbraw/zinc/56/62/03/1072566203.db2.gz INRGVUHRQLWOMI-LSDHHAIUSA-N 0 0 441.535 -0.648 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cnc2c1Cl ZINC000444029407 1072565646 /nfs/dbraw/zinc/56/56/46/1072565646.db2.gz IWHFGRBXAQCCDK-CHWSQXEVSA-N 0 0 449.942 -0.533 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cnc2c1Cl ZINC000444029408 1072565711 /nfs/dbraw/zinc/56/57/11/1072565711.db2.gz IWHFGRBXAQCCDK-OLZOCXBDSA-N 0 0 449.942 -0.533 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cnc2c1Cl ZINC000444029409 1072565606 /nfs/dbraw/zinc/56/56/06/1072565606.db2.gz IWHFGRBXAQCCDK-QWHCGFSZSA-N 0 0 449.942 -0.533 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cnc2c1Cl ZINC000444029410 1072565727 /nfs/dbraw/zinc/56/57/27/1072565727.db2.gz IWHFGRBXAQCCDK-STQMWFEESA-N 0 0 449.942 -0.533 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(-c2ccccc2F)c1 ZINC000444029454 1072565745 /nfs/dbraw/zinc/56/57/45/1072565745.db2.gz JCHIRRVPHUVCCB-DOTOQJQBSA-N 0 0 444.510 -0.213 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(-c2ccccc2F)c1 ZINC000444029455 1072566217 /nfs/dbraw/zinc/56/62/17/1072566217.db2.gz JCHIRRVPHUVCCB-NVXWUHKLSA-N 0 0 444.510 -0.213 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(-c2ccccc2F)c1 ZINC000444029456 1072566150 /nfs/dbraw/zinc/56/61/50/1072566150.db2.gz JCHIRRVPHUVCCB-RDJZCZTQSA-N 0 0 444.510 -0.213 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(-c2ccccc2F)c1 ZINC000444029457 1072566084 /nfs/dbraw/zinc/56/60/84/1072566084.db2.gz JCHIRRVPHUVCCB-WBVHZDCISA-N 0 0 444.510 -0.213 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)CN3CN=NC3=O)CC2)cc1OC ZINC000444029482 1072566159 /nfs/dbraw/zinc/56/61/59/1072566159.db2.gz IEEILXPDKDEKDR-UHFFFAOYSA-N 0 0 425.467 -0.030 20 0 IBADRN COC(=O)c1cc(C)c(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444031814 1072566755 /nfs/dbraw/zinc/56/67/55/1072566755.db2.gz NXQQJWVFAAYTRZ-CVEARBPZSA-N 0 0 446.547 -0.134 20 0 IBADRN COC(=O)c1cc(C)c(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444031817 1072566527 /nfs/dbraw/zinc/56/65/27/1072566527.db2.gz NXQQJWVFAAYTRZ-HOTGVXAUSA-N 0 0 446.547 -0.134 20 0 IBADRN COC(=O)c1cc(C)c(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444031818 1072566540 /nfs/dbraw/zinc/56/65/40/1072566540.db2.gz NXQQJWVFAAYTRZ-HZPDHXFCSA-N 0 0 446.547 -0.134 20 0 IBADRN COC(=O)c1cc(C)c(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444031819 1072566643 /nfs/dbraw/zinc/56/66/43/1072566643.db2.gz NXQQJWVFAAYTRZ-JKSUJKDBSA-N 0 0 446.547 -0.134 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444031984 1072566601 /nfs/dbraw/zinc/56/66/01/1072566601.db2.gz OPUGWBHYXAMZNS-NEPJUHHUSA-N 0 0 436.508 -0.595 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444031988 1072566503 /nfs/dbraw/zinc/56/65/03/1072566503.db2.gz OPUGWBHYXAMZNS-NWDGAFQWSA-N 0 0 436.508 -0.595 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444031990 1072566587 /nfs/dbraw/zinc/56/65/87/1072566587.db2.gz OPUGWBHYXAMZNS-RYUDHWBXSA-N 0 0 436.508 -0.595 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444031993 1072566628 /nfs/dbraw/zinc/56/66/28/1072566628.db2.gz OPUGWBHYXAMZNS-VXGBXAGGSA-N 0 0 436.508 -0.595 20 0 IBADRN Cc1nn(CC(C)C)c(C)c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444032023 1072566513 /nfs/dbraw/zinc/56/65/13/1072566513.db2.gz BNWNRGMSQROICG-CVEARBPZSA-N 0 0 434.584 -0.068 20 0 IBADRN Cc1nn(CC(C)C)c(C)c1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444032026 1072566613 /nfs/dbraw/zinc/56/66/13/1072566613.db2.gz BNWNRGMSQROICG-HOTGVXAUSA-N 0 0 434.584 -0.068 20 0 IBADRN Cc1nn(CC(C)C)c(C)c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444032029 1072566728 /nfs/dbraw/zinc/56/67/28/1072566728.db2.gz BNWNRGMSQROICG-HZPDHXFCSA-N 0 0 434.584 -0.068 20 0 IBADRN Cc1nn(CC(C)C)c(C)c1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444032032 1072566768 /nfs/dbraw/zinc/56/67/68/1072566768.db2.gz BNWNRGMSQROICG-JKSUJKDBSA-N 0 0 434.584 -0.068 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444033463 1072566653 /nfs/dbraw/zinc/56/66/53/1072566653.db2.gz RLBXGDDIIOUYEG-CABCVRRESA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444033464 1072566697 /nfs/dbraw/zinc/56/66/97/1072566697.db2.gz RLBXGDDIIOUYEG-GJZGRUSLSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444033465 1072566686 /nfs/dbraw/zinc/56/66/86/1072566686.db2.gz RLBXGDDIIOUYEG-HUUCEWRRSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444033466 1072566741 /nfs/dbraw/zinc/56/67/41/1072566741.db2.gz RLBXGDDIIOUYEG-LSDHHAIUSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)Cc1nc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)n[nH]1 ZINC000444033709 1072567095 /nfs/dbraw/zinc/56/70/95/1072567095.db2.gz RXGKEGVMUUPNRE-PWSUYJOCSA-N 0 0 427.414 -0.578 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000444033844 1072567214 /nfs/dbraw/zinc/56/72/14/1072567214.db2.gz OUUQWVJYZCZLBT-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000444034419 1072566713 /nfs/dbraw/zinc/56/67/13/1072566713.db2.gz UKFMSPPXVUEZLZ-INMHGKMJSA-N 0 0 429.470 -0.687 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000444034420 1072566671 /nfs/dbraw/zinc/56/66/71/1072566671.db2.gz UKFMSPPXVUEZLZ-VYDXJSESSA-N 0 0 429.470 -0.687 20 0 IBADRN COc1cc(NC(=O)CN2CCN(C)C(=O)C2)ccc1NC(=O)CN1CCN(C)C(=O)C1 ZINC000444034496 1072567034 /nfs/dbraw/zinc/56/70/34/1072567034.db2.gz VDRUAFWBWQAXCI-UHFFFAOYSA-N 0 0 446.508 -0.880 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc21 ZINC000444034981 1072567570 /nfs/dbraw/zinc/56/75/70/1072567570.db2.gz WAJFRZCVYAEJNB-AEFFLSMTSA-N 0 0 443.547 -0.628 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc21 ZINC000444034982 1072567602 /nfs/dbraw/zinc/56/76/02/1072567602.db2.gz WAJFRZCVYAEJNB-FUHWJXTLSA-N 0 0 443.547 -0.628 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc21 ZINC000444034983 1072567692 /nfs/dbraw/zinc/56/76/92/1072567692.db2.gz WAJFRZCVYAEJNB-SJLPKXTDSA-N 0 0 443.547 -0.628 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc21 ZINC000444034984 1072567627 /nfs/dbraw/zinc/56/76/27/1072567627.db2.gz WAJFRZCVYAEJNB-WMZOPIPTSA-N 0 0 443.547 -0.628 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444035016 1072567538 /nfs/dbraw/zinc/56/75/38/1072567538.db2.gz WJJZQFXKFHSSQZ-CVEARBPZSA-N 0 0 432.520 -0.610 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444035017 1072567496 /nfs/dbraw/zinc/56/74/96/1072567496.db2.gz WJJZQFXKFHSSQZ-HOTGVXAUSA-N 0 0 432.520 -0.610 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444035018 1072567466 /nfs/dbraw/zinc/56/74/66/1072567466.db2.gz WJJZQFXKFHSSQZ-HZPDHXFCSA-N 0 0 432.520 -0.610 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444035019 1072567643 /nfs/dbraw/zinc/56/76/43/1072567643.db2.gz WJJZQFXKFHSSQZ-JKSUJKDBSA-N 0 0 432.520 -0.610 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444035127 1072567051 /nfs/dbraw/zinc/56/70/51/1072567051.db2.gz QRHTXANDZPOLKI-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnc(-c2ccccc2)nc1 ZINC000444035191 1072567129 /nfs/dbraw/zinc/56/71/29/1072567129.db2.gz IZDUOTPGYBSKOI-DLBZAZTESA-N 0 0 438.531 -0.080 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnc(-c2ccccc2)nc1 ZINC000444035193 1072567081 /nfs/dbraw/zinc/56/70/81/1072567081.db2.gz IZDUOTPGYBSKOI-IAGOWNOFSA-N 0 0 438.531 -0.080 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnc(-c2ccccc2)nc1 ZINC000444035195 1072567665 /nfs/dbraw/zinc/56/76/65/1072567665.db2.gz IZDUOTPGYBSKOI-IRXDYDNUSA-N 0 0 438.531 -0.080 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnc(-c2ccccc2)nc1 ZINC000444035196 1072567457 /nfs/dbraw/zinc/56/74/57/1072567457.db2.gz IZDUOTPGYBSKOI-SJORKVTESA-N 0 0 438.531 -0.080 20 0 IBADRN CN(C)C(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444035251 1072567156 /nfs/dbraw/zinc/56/71/56/1072567156.db2.gz JCZCUCWCWCHUGV-CVEARBPZSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)C(=O)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444035254 1072567071 /nfs/dbraw/zinc/56/70/71/1072567071.db2.gz JCZCUCWCWCHUGV-HOTGVXAUSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)C(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444035256 1072567199 /nfs/dbraw/zinc/56/71/99/1072567199.db2.gz JCZCUCWCWCHUGV-HZPDHXFCSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)C(=O)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444035259 1072567062 /nfs/dbraw/zinc/56/70/62/1072567062.db2.gz JCZCUCWCWCHUGV-JKSUJKDBSA-N 0 0 431.536 -0.836 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444035405 1072567138 /nfs/dbraw/zinc/56/71/38/1072567138.db2.gz AAWDHVZMGMHIKZ-KBPBESRZSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444035406 1072567042 /nfs/dbraw/zinc/56/70/42/1072567042.db2.gz AAWDHVZMGMHIKZ-KGLIPLIRSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444035407 1072567165 /nfs/dbraw/zinc/56/71/65/1072567165.db2.gz AAWDHVZMGMHIKZ-UONOGXRCSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444035409 1072567222 /nfs/dbraw/zinc/56/72/22/1072567222.db2.gz AAWDHVZMGMHIKZ-ZIAGYGMSSA-N 0 0 436.483 -0.612 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444036139 1072567516 /nfs/dbraw/zinc/56/75/16/1072567516.db2.gz XSKSMXSYTZCMAX-ZBFHGGJFSA-N 0 0 431.486 -0.487 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000444036142 1072567591 /nfs/dbraw/zinc/56/75/91/1072567591.db2.gz XTKLUHQYMJABLW-HNNXBMFYSA-N 0 0 427.498 -0.176 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000444036143 1072567676 /nfs/dbraw/zinc/56/76/76/1072567676.db2.gz XTKLUHQYMJABLW-OAHLLOKOSA-N 0 0 427.498 -0.176 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000444036505 1072568079 /nfs/dbraw/zinc/56/80/79/1072568079.db2.gz CXSZUZAKZYVNEI-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444036559 1072567991 /nfs/dbraw/zinc/56/79/91/1072567991.db2.gz DLILVMQTNLFUAC-CABCVRRESA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444036565 1072567972 /nfs/dbraw/zinc/56/79/72/1072567972.db2.gz DLILVMQTNLFUAC-GJZGRUSLSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444036913 1072568057 /nfs/dbraw/zinc/56/80/57/1072568057.db2.gz DLILVMQTNLFUAC-HUUCEWRRSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444036914 1072568158 /nfs/dbraw/zinc/56/81/58/1072568158.db2.gz DLILVMQTNLFUAC-LSDHHAIUSA-N 0 0 432.520 -0.442 20 0 IBADRN COCC(=O)N1CCN(C(=O)CC(C)CC(=O)N2CCN(C(=O)COC)CC2)CC1 ZINC000444037091 1072567527 /nfs/dbraw/zinc/56/75/27/1072567527.db2.gz FGLNFOIFNSBJOQ-UHFFFAOYSA-N 0 0 426.514 -0.963 20 0 IBADRN O=C(NC1CC1)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444037787 1072568124 /nfs/dbraw/zinc/56/81/24/1072568124.db2.gz NTNXCRMDSPELNX-DLBZAZTESA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(NC1CC1)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444037788 1072568150 /nfs/dbraw/zinc/56/81/50/1072568150.db2.gz NTNXCRMDSPELNX-IAGOWNOFSA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(NC1CC1)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444037789 1072568167 /nfs/dbraw/zinc/56/81/67/1072568167.db2.gz NTNXCRMDSPELNX-IRXDYDNUSA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(NC1CC1)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444037790 1072568111 /nfs/dbraw/zinc/56/81/11/1072568111.db2.gz NTNXCRMDSPELNX-SJORKVTESA-N 0 0 443.547 -0.645 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444038674 1072568470 /nfs/dbraw/zinc/56/84/70/1072568470.db2.gz JFTWNPZZMZLLJI-CVEARBPZSA-N 0 0 432.520 -0.610 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444038678 1072568463 /nfs/dbraw/zinc/56/84/63/1072568463.db2.gz JFTWNPZZMZLLJI-HOTGVXAUSA-N 0 0 432.520 -0.610 20 0 IBADRN CCc1noc2ncc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc12 ZINC000444038688 1072568558 /nfs/dbraw/zinc/56/85/58/1072568558.db2.gz PZGMHVRHCDQNRL-CABCVRRESA-N 0 0 430.508 -0.439 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444038689 1072568597 /nfs/dbraw/zinc/56/85/97/1072568597.db2.gz JFTWNPZZMZLLJI-HZPDHXFCSA-N 0 0 432.520 -0.610 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444038692 1072568436 /nfs/dbraw/zinc/56/84/36/1072568436.db2.gz JFTWNPZZMZLLJI-JKSUJKDBSA-N 0 0 432.520 -0.610 20 0 IBADRN CCc1noc2ncc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc12 ZINC000444038696 1072568540 /nfs/dbraw/zinc/56/85/40/1072568540.db2.gz PZGMHVRHCDQNRL-GJZGRUSLSA-N 0 0 430.508 -0.439 20 0 IBADRN CCc1noc2ncc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc12 ZINC000444038699 1072568591 /nfs/dbraw/zinc/56/85/91/1072568591.db2.gz PZGMHVRHCDQNRL-HUUCEWRRSA-N 0 0 430.508 -0.439 20 0 IBADRN CCc1noc2ncc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc12 ZINC000444038702 1072568548 /nfs/dbraw/zinc/56/85/48/1072568548.db2.gz PZGMHVRHCDQNRL-LSDHHAIUSA-N 0 0 430.508 -0.439 20 0 IBADRN CC(=O)N(C)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000444038830 1072568014 /nfs/dbraw/zinc/56/80/14/1072568014.db2.gz JVOOKYBXZGJZTK-DLBZAZTESA-N 0 0 431.536 -0.555 20 0 IBADRN CC(=O)N(C)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000444038831 1072568507 /nfs/dbraw/zinc/56/85/07/1072568507.db2.gz JVOOKYBXZGJZTK-IAGOWNOFSA-N 0 0 431.536 -0.555 20 0 IBADRN CC(=O)N(C)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000444038832 1072568535 /nfs/dbraw/zinc/56/85/35/1072568535.db2.gz JVOOKYBXZGJZTK-IRXDYDNUSA-N 0 0 431.536 -0.555 20 0 IBADRN CC(=O)N(C)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000444038833 1072568481 /nfs/dbraw/zinc/56/84/81/1072568481.db2.gz JVOOKYBXZGJZTK-SJORKVTESA-N 0 0 431.536 -0.555 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444038877 1072568522 /nfs/dbraw/zinc/56/85/22/1072568522.db2.gz XGJXXNLVRLDFFZ-UHFFFAOYSA-N 0 0 425.554 -0.265 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(Br)cn1 ZINC000444038899 1072568033 /nfs/dbraw/zinc/56/80/33/1072568033.db2.gz JYWDYWRFNLUMSS-NEPJUHHUSA-N 0 0 440.341 -0.380 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(Br)cn1 ZINC000444038900 1072568000 /nfs/dbraw/zinc/56/80/00/1072568000.db2.gz JYWDYWRFNLUMSS-NWDGAFQWSA-N 0 0 440.341 -0.380 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(Br)cn1 ZINC000444038902 1072568064 /nfs/dbraw/zinc/56/80/64/1072568064.db2.gz JYWDYWRFNLUMSS-RYUDHWBXSA-N 0 0 440.341 -0.380 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(Br)cn1 ZINC000444038904 1072568135 /nfs/dbraw/zinc/56/81/35/1072568135.db2.gz JYWDYWRFNLUMSS-VXGBXAGGSA-N 0 0 440.341 -0.380 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cncc(Br)c1 ZINC000444039311 1072568088 /nfs/dbraw/zinc/56/80/88/1072568088.db2.gz RYROASRVMLXTTQ-CHWSQXEVSA-N 0 0 440.341 -0.380 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cncc(Br)c1 ZINC000444039313 1072567979 /nfs/dbraw/zinc/56/79/79/1072567979.db2.gz RYROASRVMLXTTQ-OLZOCXBDSA-N 0 0 440.341 -0.380 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cncc(Br)c1 ZINC000444039315 1072568023 /nfs/dbraw/zinc/56/80/23/1072568023.db2.gz RYROASRVMLXTTQ-QWHCGFSZSA-N 0 0 440.341 -0.380 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cncc(Br)c1 ZINC000444039316 1072568119 /nfs/dbraw/zinc/56/81/19/1072568119.db2.gz RYROASRVMLXTTQ-STQMWFEESA-N 0 0 440.341 -0.380 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444039617 1072569068 /nfs/dbraw/zinc/56/90/68/1072569068.db2.gz NCDYNWIDQIVCQH-KBPBESRZSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000444039618 1072568976 /nfs/dbraw/zinc/56/89/76/1072568976.db2.gz NCDYNWIDQIVCQH-KGLIPLIRSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444039619 1072569543 /nfs/dbraw/zinc/56/95/43/1072569543.db2.gz NCDYNWIDQIVCQH-UONOGXRCSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000444039620 1072569388 /nfs/dbraw/zinc/56/93/88/1072569388.db2.gz NCDYNWIDQIVCQH-ZIAGYGMSSA-N 0 0 448.519 -0.742 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccccc1Cn1cncn1 ZINC000444039652 1072569433 /nfs/dbraw/zinc/56/94/33/1072569433.db2.gz UKEAGMRMKMNXCV-CVEARBPZSA-N 0 0 441.535 -0.898 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccccc1Cn1cncn1 ZINC000444039653 1072569399 /nfs/dbraw/zinc/56/93/99/1072569399.db2.gz UKEAGMRMKMNXCV-HOTGVXAUSA-N 0 0 441.535 -0.898 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccccc1Cn1cncn1 ZINC000444039654 1072569412 /nfs/dbraw/zinc/56/94/12/1072569412.db2.gz UKEAGMRMKMNXCV-HZPDHXFCSA-N 0 0 441.535 -0.898 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccccc1Cn1cncn1 ZINC000444039655 1072569468 /nfs/dbraw/zinc/56/94/68/1072569468.db2.gz UKEAGMRMKMNXCV-JKSUJKDBSA-N 0 0 441.535 -0.898 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(CC(F)(F)F)c1 ZINC000444039950 1072568903 /nfs/dbraw/zinc/56/89/03/1072568903.db2.gz OIRBKUGEYUDBBW-NEPJUHHUSA-N 0 0 432.446 -0.779 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(CC(F)(F)F)c1 ZINC000444039951 1072568953 /nfs/dbraw/zinc/56/89/53/1072568953.db2.gz OIRBKUGEYUDBBW-NWDGAFQWSA-N 0 0 432.446 -0.779 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(CC(F)(F)F)c1 ZINC000444039952 1072568983 /nfs/dbraw/zinc/56/89/83/1072568983.db2.gz OIRBKUGEYUDBBW-RYUDHWBXSA-N 0 0 432.446 -0.779 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(CC(F)(F)F)c1 ZINC000444039953 1072569043 /nfs/dbraw/zinc/56/90/43/1072569043.db2.gz OIRBKUGEYUDBBW-VXGBXAGGSA-N 0 0 432.446 -0.779 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1F ZINC000444040130 1072568893 /nfs/dbraw/zinc/56/88/93/1072568893.db2.gz OODXTJGRHVRDHN-CVEARBPZSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1F ZINC000444040131 1072568920 /nfs/dbraw/zinc/56/89/20/1072568920.db2.gz OODXTJGRHVRDHN-HOTGVXAUSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1F ZINC000444040132 1072569034 /nfs/dbraw/zinc/56/90/34/1072569034.db2.gz OODXTJGRHVRDHN-HZPDHXFCSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1F ZINC000444040133 1072568910 /nfs/dbraw/zinc/56/89/10/1072568910.db2.gz OODXTJGRHVRDHN-JKSUJKDBSA-N 0 0 435.499 -0.440 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444040203 1072568928 /nfs/dbraw/zinc/56/89/28/1072568928.db2.gz PRZNGFGCVWTRBE-KBPBESRZSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000444040204 1072569051 /nfs/dbraw/zinc/56/90/51/1072569051.db2.gz PRZNGFGCVWTRBE-KGLIPLIRSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444040205 1072569002 /nfs/dbraw/zinc/56/90/02/1072569002.db2.gz PRZNGFGCVWTRBE-UONOGXRCSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000444040206 1072568965 /nfs/dbraw/zinc/56/89/65/1072568965.db2.gz PRZNGFGCVWTRBE-ZIAGYGMSSA-N 0 0 436.483 -0.612 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(C(F)(F)F)nc1 ZINC000444040245 1072568993 /nfs/dbraw/zinc/56/89/93/1072568993.db2.gz WOAGHHYYMIMKMO-NEPJUHHUSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(C(F)(F)F)nc1 ZINC000444040246 1072569076 /nfs/dbraw/zinc/56/90/76/1072569076.db2.gz WOAGHHYYMIMKMO-NWDGAFQWSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(C(F)(F)F)nc1 ZINC000444040247 1072568958 /nfs/dbraw/zinc/56/89/58/1072568958.db2.gz WOAGHHYYMIMKMO-RYUDHWBXSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(C(F)(F)F)nc1 ZINC000444040248 1072568945 /nfs/dbraw/zinc/56/89/45/1072568945.db2.gz WOAGHHYYMIMKMO-VXGBXAGGSA-N 0 0 429.442 -0.124 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc21 ZINC000444040586 1072570038 /nfs/dbraw/zinc/57/00/38/1072570038.db2.gz SBAHCFXKWPDOJW-AEFFLSMTSA-N 0 0 443.547 -0.628 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc21 ZINC000444040587 1072570071 /nfs/dbraw/zinc/57/00/71/1072570071.db2.gz SBAHCFXKWPDOJW-FUHWJXTLSA-N 0 0 443.547 -0.628 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc21 ZINC000444040588 1072570047 /nfs/dbraw/zinc/57/00/47/1072570047.db2.gz SBAHCFXKWPDOJW-SJLPKXTDSA-N 0 0 443.547 -0.628 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc21 ZINC000444040589 1072569999 /nfs/dbraw/zinc/56/99/99/1072569999.db2.gz SBAHCFXKWPDOJW-WMZOPIPTSA-N 0 0 443.547 -0.628 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1C ZINC000444041011 1072569497 /nfs/dbraw/zinc/56/94/97/1072569497.db2.gz VPVNHDFUOHKCGB-CVEARBPZSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1C ZINC000444041012 1072569490 /nfs/dbraw/zinc/56/94/90/1072569490.db2.gz VPVNHDFUOHKCGB-HOTGVXAUSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1C ZINC000444041013 1072569422 /nfs/dbraw/zinc/56/94/22/1072569422.db2.gz VPVNHDFUOHKCGB-HZPDHXFCSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1C ZINC000444041014 1072569507 /nfs/dbraw/zinc/56/95/07/1072569507.db2.gz VPVNHDFUOHKCGB-JKSUJKDBSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1C ZINC000444041218 1072569367 /nfs/dbraw/zinc/56/93/67/1072569367.db2.gz YAUJJGGQLNQULR-CVEARBPZSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1C ZINC000444041219 1072569475 /nfs/dbraw/zinc/56/94/75/1072569475.db2.gz YAUJJGGQLNQULR-HOTGVXAUSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1C ZINC000444041220 1072569455 /nfs/dbraw/zinc/56/94/55/1072569455.db2.gz YAUJJGGQLNQULR-HZPDHXFCSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1C ZINC000444041221 1072569331 /nfs/dbraw/zinc/56/93/31/1072569331.db2.gz YAUJJGGQLNQULR-JKSUJKDBSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1OC ZINC000444041342 1072569533 /nfs/dbraw/zinc/56/95/33/1072569533.db2.gz ZOGVSWIWQHWKDA-CABCVRRESA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1OC ZINC000444041343 1072569523 /nfs/dbraw/zinc/56/95/23/1072569523.db2.gz ZOGVSWIWQHWKDA-GJZGRUSLSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1OC ZINC000444041344 1072569517 /nfs/dbraw/zinc/56/95/17/1072569517.db2.gz ZOGVSWIWQHWKDA-HUUCEWRRSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1OC ZINC000444041345 1072569376 /nfs/dbraw/zinc/56/93/76/1072569376.db2.gz ZOGVSWIWQHWKDA-LSDHHAIUSA-N 0 0 448.519 -0.742 20 0 IBADRN O=C(NCC1CCN(CC(F)(F)F)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000444050452 1072570115 /nfs/dbraw/zinc/57/01/15/1072570115.db2.gz ITKZHAIQBVGLAF-UHFFFAOYSA-N 0 0 449.474 -0.027 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444053553 1072570499 /nfs/dbraw/zinc/57/04/99/1072570499.db2.gz BRUFAIPJKQKAKX-UHFFFAOYSA-N 0 0 430.552 -0.384 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444053592 1072570650 /nfs/dbraw/zinc/57/06/50/1072570650.db2.gz CDSHVRYXXTWENS-UHFFFAOYSA-N 0 0 444.579 -0.040 20 0 IBADRN Cn1cc(S(=O)(=O)Nc2cc3c(cc2Br)NC(=O)CO3)c(=O)n(C)c1=O ZINC000444053752 1072570573 /nfs/dbraw/zinc/57/05/73/1072570573.db2.gz WDSNCDZHVBIEDQ-UHFFFAOYSA-N 0 0 445.251 -0.022 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C[C@@H]3CCCN(S(C)(=O)=O)C3)CC2)C[C@H](C)O1 ZINC000444054406 1072569887 /nfs/dbraw/zinc/56/98/87/1072569887.db2.gz YDYQGJHEAMSIDT-BBWFWOEESA-N 0 0 438.616 -0.370 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C[C@H]3CCCN(S(C)(=O)=O)C3)CC2)C[C@@H](C)O1 ZINC000444054408 1072569953 /nfs/dbraw/zinc/56/99/53/1072569953.db2.gz YDYQGJHEAMSIDT-BRWVUGGUSA-N 0 0 438.616 -0.370 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C[C@H]3CCCN(S(C)(=O)=O)C3)CC2)C[C@H](C)O1 ZINC000444054410 1072569976 /nfs/dbraw/zinc/56/99/76/1072569976.db2.gz YDYQGJHEAMSIDT-IXDOHACOSA-N 0 0 438.616 -0.370 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C[C@@H]3CCCN(S(C)(=O)=O)C3)CC2)C[C@@H](C)O1 ZINC000444054412 1072570097 /nfs/dbraw/zinc/57/00/97/1072570097.db2.gz YDYQGJHEAMSIDT-ZACQAIPSSA-N 0 0 438.616 -0.370 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC000444054743 1072570449 /nfs/dbraw/zinc/57/04/49/1072570449.db2.gz FOJHXRFCYMLAHZ-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cnc(-c3ccccc3)nc2)CC1)N1CCOCC1 ZINC000444055913 1072571155 /nfs/dbraw/zinc/57/11/55/1072571155.db2.gz IPJNOSKNBDERQZ-UHFFFAOYSA-N 0 0 445.501 -0.165 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000444057385 1072571040 /nfs/dbraw/zinc/57/10/40/1072571040.db2.gz MRLIPLCFUVNRIP-AWEZNQCLSA-N 0 0 444.579 -0.090 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000444057386 1072570997 /nfs/dbraw/zinc/57/09/97/1072570997.db2.gz MRLIPLCFUVNRIP-CQSZACIVSA-N 0 0 444.579 -0.090 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000444057473 1072570971 /nfs/dbraw/zinc/57/09/71/1072570971.db2.gz LTKPYJPADOBLQJ-UHFFFAOYSA-N 0 0 439.581 -0.019 20 0 IBADRN CS(=O)(=O)N1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444057741 1072571100 /nfs/dbraw/zinc/57/11/00/1072571100.db2.gz NKRNCLFFIJEPNJ-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000444057987 1072571087 /nfs/dbraw/zinc/57/10/87/1072571087.db2.gz MQXBKECNWHMLMW-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000444057989 1072571164 /nfs/dbraw/zinc/57/11/64/1072571164.db2.gz MQXBKECNWHMLMW-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000444058113 1072571050 /nfs/dbraw/zinc/57/10/50/1072571050.db2.gz OMJUJBOVGFJGBT-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000444058289 1072570885 /nfs/dbraw/zinc/57/08/85/1072570885.db2.gz JMHYFEFPRFCBJN-UHFFFAOYSA-N 0 0 446.551 -0.706 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000444058690 1072570982 /nfs/dbraw/zinc/57/09/82/1072570982.db2.gz KHLWXNRCBUWPGB-UHFFFAOYSA-N 0 0 430.552 -0.478 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000444059020 1072571066 /nfs/dbraw/zinc/57/10/66/1072571066.db2.gz RWYSOWUSQJTFFB-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CS(=O)(=O)N1CCC(NS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444059238 1072571013 /nfs/dbraw/zinc/57/10/13/1072571013.db2.gz QBKJSMBCXKLCKH-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(C)CC1 ZINC000444059265 1072571109 /nfs/dbraw/zinc/57/11/09/1072571109.db2.gz QJURHKZEXZJGDO-HNNXBMFYSA-N 0 0 444.579 -0.136 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(C)CC1 ZINC000444059269 1072571140 /nfs/dbraw/zinc/57/11/40/1072571140.db2.gz QJURHKZEXZJGDO-OAHLLOKOSA-N 0 0 444.579 -0.136 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000444060045 1072570904 /nfs/dbraw/zinc/57/09/04/1072570904.db2.gz WZXWOUUPDGXXQK-CYBMUJFWSA-N 0 0 439.581 -0.113 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000444060046 1072571615 /nfs/dbraw/zinc/57/16/15/1072571615.db2.gz WZXWOUUPDGXXQK-ZDUSSCGKSA-N 0 0 439.581 -0.113 20 0 IBADRN CCN(CCCNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C)S(C)(=O)=O ZINC000444060351 1072570939 /nfs/dbraw/zinc/57/09/39/1072570939.db2.gz YGPLWSAYHOUWRK-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1)NC1CC1 ZINC000444060674 1072571546 /nfs/dbraw/zinc/57/15/46/1072571546.db2.gz SNDWKLWDFLICBA-UHFFFAOYSA-N 0 0 442.563 -0.288 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444060943 1072570950 /nfs/dbraw/zinc/57/09/50/1072570950.db2.gz YFXWOYMWJMYURX-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000444062274 1072571470 /nfs/dbraw/zinc/57/14/70/1072571470.db2.gz JYYBEYIYFLSPIQ-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000444062275 1072571502 /nfs/dbraw/zinc/57/15/02/1072571502.db2.gz JYYBEYIYFLSPIQ-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444062987 1072571483 /nfs/dbraw/zinc/57/14/83/1072571483.db2.gz CYGUWHMRSRQSAW-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN O=C1NC(=O)C2(CCN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)CC2)N1 ZINC000444063315 1072571722 /nfs/dbraw/zinc/57/17/22/1072571722.db2.gz FMBLQDLLQKROKW-UHFFFAOYSA-N 0 0 428.492 -0.510 20 0 IBADRN CCS(=O)(=O)N(C)CCCNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000444064043 1072572300 /nfs/dbraw/zinc/57/23/00/1072572300.db2.gz GFYVZTLQLRXUNI-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000444064489 1072571768 /nfs/dbraw/zinc/57/17/68/1072571768.db2.gz KVAUAUXVBFISDH-UHFFFAOYSA-N 0 0 444.535 -0.952 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444065470 1072572078 /nfs/dbraw/zinc/57/20/78/1072572078.db2.gz QCBCSTBTUJIDJM-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN CC(C)(C(N)=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444067563 1072572219 /nfs/dbraw/zinc/57/22/19/1072572219.db2.gz DEKXGLDJNBOQBT-UHFFFAOYSA-N 0 0 430.552 -0.303 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)CC2=O)cn1 ZINC000444067775 1072572116 /nfs/dbraw/zinc/57/21/16/1072572116.db2.gz AMRBFWMHVFCOQG-UHFFFAOYSA-N 0 0 439.519 -0.102 20 0 IBADRN COc1cc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c(OC)cc1Br ZINC000444068038 1072572107 /nfs/dbraw/zinc/57/21/07/1072572107.db2.gz BBWJSOALGLJVMY-UHFFFAOYSA-N 0 0 444.329 -0.024 20 0 IBADRN Cn1cc(N2CC[C@H](NS(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)C2=O)cn1 ZINC000444068507 1072572258 /nfs/dbraw/zinc/57/22/58/1072572258.db2.gz CIKXVSGXOABAOM-AWEZNQCLSA-N 0 0 439.519 -0.055 20 0 IBADRN Cn1cc(N2CC[C@@H](NS(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)C2=O)cn1 ZINC000444068508 1072572246 /nfs/dbraw/zinc/57/22/46/1072572246.db2.gz CIKXVSGXOABAOM-CQSZACIVSA-N 0 0 439.519 -0.055 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC000444068630 1072572043 /nfs/dbraw/zinc/57/20/43/1072572043.db2.gz DLINENTWEFVDHC-UHFFFAOYSA-N 0 0 439.581 -0.019 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1Br ZINC000444068790 1072572291 /nfs/dbraw/zinc/57/22/91/1072572291.db2.gz CZEPCRFWNMUHPS-UHFFFAOYSA-N 0 0 441.329 -0.083 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)CN1CCOCC1 ZINC000444069206 1072572032 /nfs/dbraw/zinc/57/20/32/1072572032.db2.gz IPRSNTAIIWGWAS-KRWDZBQOSA-N 0 0 433.552 -0.511 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)CN1CCOCC1 ZINC000444069208 1072572151 /nfs/dbraw/zinc/57/21/51/1072572151.db2.gz IPRSNTAIIWGWAS-QGZVFWFLSA-N 0 0 433.552 -0.511 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)c1cnccn1 ZINC000444070210 1072572621 /nfs/dbraw/zinc/57/26/21/1072572621.db2.gz KIWHBRJASYOJLU-UHFFFAOYSA-N 0 0 425.492 -0.374 20 0 IBADRN CN(C)C(=O)CN1CCC(NS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444070541 1072572763 /nfs/dbraw/zinc/57/27/63/1072572763.db2.gz JUMAANKXUFNRDG-UHFFFAOYSA-N 0 0 444.579 -0.042 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000444070772 1072572647 /nfs/dbraw/zinc/57/26/47/1072572647.db2.gz HKLPVZGUDDMZTH-CYBMUJFWSA-N 0 0 427.508 -0.246 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000444070773 1072572700 /nfs/dbraw/zinc/57/27/00/1072572700.db2.gz HKLPVZGUDDMZTH-ZDUSSCGKSA-N 0 0 427.508 -0.246 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444070899 1072572688 /nfs/dbraw/zinc/57/26/88/1072572688.db2.gz LSLOINRGZUNWET-HNNXBMFYSA-N 0 0 433.552 -0.559 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444070901 1072572755 /nfs/dbraw/zinc/57/27/55/1072572755.db2.gz LSLOINRGZUNWET-OAHLLOKOSA-N 0 0 433.552 -0.559 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000444071934 1072572609 /nfs/dbraw/zinc/57/26/09/1072572609.db2.gz IYJJURMTUVPOIQ-UHFFFAOYSA-N 0 0 427.508 -0.292 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1Br ZINC000444072471 1072572834 /nfs/dbraw/zinc/57/28/34/1072572834.db2.gz NZVKEVBBOCXARW-JTQLQIEISA-N 0 0 445.295 -0.261 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1Br ZINC000444072473 1072572847 /nfs/dbraw/zinc/57/28/47/1072572847.db2.gz NZVKEVBBOCXARW-SNVBAGLBSA-N 0 0 445.295 -0.261 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1nc(N2CCC[C@H]2CS(=O)(=O)NC)ncc1C ZINC000444072785 1072573110 /nfs/dbraw/zinc/57/31/10/1072573110.db2.gz OUDKJZRALDCAEX-CABCVRRESA-N 0 0 446.599 -0.179 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1c1ncc(C)c(N2CCC[C@H]2CS(=O)(=O)NC)n1 ZINC000444072791 1072573081 /nfs/dbraw/zinc/57/30/81/1072573081.db2.gz OUDKJZRALDCAEX-GJZGRUSLSA-N 0 0 446.599 -0.179 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1ncc(C)c(N2CCC[C@@H]2CS(=O)(=O)NC)n1 ZINC000444072793 1072573203 /nfs/dbraw/zinc/57/32/03/1072573203.db2.gz OUDKJZRALDCAEX-HUUCEWRRSA-N 0 0 446.599 -0.179 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1ncc(C)c(N2CCC[C@H]2CS(=O)(=O)NC)n1 ZINC000444072795 1072573273 /nfs/dbraw/zinc/57/32/73/1072573273.db2.gz OUDKJZRALDCAEX-LSDHHAIUSA-N 0 0 446.599 -0.179 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC000444072969 1072572670 /nfs/dbraw/zinc/57/26/70/1072572670.db2.gz OUVHBUWVBLDWQM-AWEZNQCLSA-N 0 0 431.536 -0.005 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC000444072974 1072573317 /nfs/dbraw/zinc/57/33/17/1072573317.db2.gz OUVHBUWVBLDWQM-CQSZACIVSA-N 0 0 431.536 -0.005 20 0 IBADRN COc1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(OC)cc1Br ZINC000444073765 1072573025 /nfs/dbraw/zinc/57/30/25/1072573025.db2.gz QQQTYLNVCUQBKT-SECBINFHSA-N 0 0 448.295 -0.202 20 0 IBADRN COc1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(OC)cc1Br ZINC000444073766 1072573062 /nfs/dbraw/zinc/57/30/62/1072573062.db2.gz QQQTYLNVCUQBKT-VIFPVBQESA-N 0 0 448.295 -0.202 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000444073994 1073355182 /nfs/dbraw/zinc/35/51/82/1073355182.db2.gz RKMUAIMMSUZXAE-UHFFFAOYSA-N 0 0 432.553 -0.119 20 0 IBADRN CN(C)C(=O)CN1CCC(NS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000444074451 1072573178 /nfs/dbraw/zinc/57/31/78/1072573178.db2.gz RZBQOHBGTSSJDK-UHFFFAOYSA-N 0 0 432.568 -0.232 20 0 IBADRN Nc1nsc(N2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)CC2)n1 ZINC000444074897 1073364318 /nfs/dbraw/zinc/36/43/18/1073364318.db2.gz UKKAJFSVIXZFFD-UHFFFAOYSA-N 0 0 444.564 0.072 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444075857 1072573135 /nfs/dbraw/zinc/57/31/35/1072573135.db2.gz UFXZYMOXNZMHIO-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444075858 1072573308 /nfs/dbraw/zinc/57/33/08/1072573308.db2.gz UFXZYMOXNZMHIO-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000444076252 1072573287 /nfs/dbraw/zinc/57/32/87/1072573287.db2.gz LLGUNDACPVYEFA-KRWDZBQOSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000444076728 1072573044 /nfs/dbraw/zinc/57/30/44/1072573044.db2.gz SBXMBALPIACNKT-UHFFFAOYSA-N 0 0 448.548 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000444076735 1072573298 /nfs/dbraw/zinc/57/32/98/1072573298.db2.gz LLGUNDACPVYEFA-QGZVFWFLSA-N 0 0 444.535 -0.064 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444076975 1072573325 /nfs/dbraw/zinc/57/33/25/1072573325.db2.gz APJDNHUWMSZHCD-HIFRSBDPSA-N 0 0 427.454 -0.395 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000444077250 1072573223 /nfs/dbraw/zinc/57/32/23/1072573223.db2.gz WVIRKMCYQMQJMP-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000444077262 1072572779 /nfs/dbraw/zinc/57/27/79/1072572779.db2.gz WVIRKMCYQMQJMP-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000444077678 1072573620 /nfs/dbraw/zinc/57/36/20/1072573620.db2.gz XACJMCPEUAWXEY-UHFFFAOYSA-N 0 0 441.535 -0.459 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444078167 1072573069 /nfs/dbraw/zinc/57/30/69/1072573069.db2.gz YQKJYRKFRQTRRG-CYBMUJFWSA-N 0 0 444.535 -0.857 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444078191 1072573596 /nfs/dbraw/zinc/57/35/96/1072573596.db2.gz YQKJYRKFRQTRRG-ZDUSSCGKSA-N 0 0 444.535 -0.857 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000444079037 1072573632 /nfs/dbraw/zinc/57/36/32/1072573632.db2.gz WWYIKXRYZAJSKU-UHFFFAOYSA-N 0 0 449.551 -0.567 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444083347 1072574247 /nfs/dbraw/zinc/57/42/47/1072574247.db2.gz CJNLAWIESYATFN-SNPRPXQTSA-N 0 0 435.499 -0.771 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444083348 1072574714 /nfs/dbraw/zinc/57/47/14/1072574714.db2.gz CJNLAWIESYATFN-YUELXQCFSA-N 0 0 435.499 -0.771 20 0 IBADRN Cc1cnc(N2CCN(C(C)(C)C(N)=O)CC2)nc1N1CCN(C(C)(C)C(N)=O)CC1 ZINC000444083700 1072574832 /nfs/dbraw/zinc/57/48/32/1072574832.db2.gz LFLPWFWSZHDRDR-UHFFFAOYSA-N 0 0 432.573 -0.443 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC000444083710 1072574646 /nfs/dbraw/zinc/57/46/46/1072574646.db2.gz LGCNGJHMJLKWSN-BDJLRTHQSA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC000444083711 1072574686 /nfs/dbraw/zinc/57/46/86/1072574686.db2.gz LGCNGJHMJLKWSN-BZNIZROVSA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC000444083712 1072574779 /nfs/dbraw/zinc/57/47/79/1072574779.db2.gz LGCNGJHMJLKWSN-MEDUHNTESA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC000444083713 1072574740 /nfs/dbraw/zinc/57/47/40/1072574740.db2.gz LGCNGJHMJLKWSN-ZBEGNZNMSA-N 0 0 430.508 -0.407 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@H]2CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)N1 ZINC000444083971 1072574791 /nfs/dbraw/zinc/57/47/91/1072574791.db2.gz LXDMELLYYNKOHO-APPDUMDISA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@@H]2CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)N1 ZINC000444083973 1072574729 /nfs/dbraw/zinc/57/47/29/1072574729.db2.gz LXDMELLYYNKOHO-DIFFPNOSSA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@H]2CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)N1 ZINC000444083975 1072574669 /nfs/dbraw/zinc/57/46/69/1072574669.db2.gz LXDMELLYYNKOHO-GTNSWQLSSA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@@H]2CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)N1 ZINC000444083977 1072574764 /nfs/dbraw/zinc/57/47/64/1072574764.db2.gz LXDMELLYYNKOHO-PIGZYNQJSA-N 0 0 442.519 -0.216 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000444084648 1072574695 /nfs/dbraw/zinc/57/46/95/1072574695.db2.gz BFDQXLQOCXLTMB-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN COCc1nc2n(n1)C[C@H](NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C)CC2 ZINC000444084655 1072575175 /nfs/dbraw/zinc/57/51/75/1072575175.db2.gz BHWDJPZECMYWBS-GFCCVEGCSA-N 0 0 429.524 -0.032 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C)CC2 ZINC000444084656 1072575358 /nfs/dbraw/zinc/57/53/58/1072575358.db2.gz BHWDJPZECMYWBS-LBPRGKRZSA-N 0 0 429.524 -0.032 20 0 IBADRN CCN(CC)S(=O)(=O)CCNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000444084659 1072575228 /nfs/dbraw/zinc/57/52/28/1072575228.db2.gz BJQQZKJUFHHGBE-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000444086383 1072574263 /nfs/dbraw/zinc/57/42/63/1072574263.db2.gz FZUYCGIVMJBQMW-CHWSQXEVSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000444086384 1072574164 /nfs/dbraw/zinc/57/41/64/1072574164.db2.gz FZUYCGIVMJBQMW-OLZOCXBDSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000444086385 1072574305 /nfs/dbraw/zinc/57/43/05/1072574305.db2.gz FZUYCGIVMJBQMW-QWHCGFSZSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000444086386 1072574109 /nfs/dbraw/zinc/57/41/09/1072574109.db2.gz FZUYCGIVMJBQMW-STQMWFEESA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000444087150 1072575149 /nfs/dbraw/zinc/57/51/49/1072575149.db2.gz ROTZSVWTRCKOJH-UHFFFAOYSA-N 0 0 444.579 -0.088 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000444088892 1072575245 /nfs/dbraw/zinc/57/52/45/1072575245.db2.gz WAMFBWJGZYAMAC-UHFFFAOYSA-N 0 0 432.568 -0.279 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCS1(=O)=O ZINC000444091776 1072575929 /nfs/dbraw/zinc/57/59/29/1072575929.db2.gz AESWVAUCVKMFHQ-DMEJVMROSA-N 0 0 434.511 -0.016 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444091777 1072575895 /nfs/dbraw/zinc/57/58/95/1072575895.db2.gz AESWVAUCVKMFHQ-FIRUKDTASA-N 0 0 434.511 -0.016 20 0 IBADRN C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444091778 1072575902 /nfs/dbraw/zinc/57/59/02/1072575902.db2.gz AESWVAUCVKMFHQ-GMZLATJGSA-N 0 0 434.511 -0.016 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444091779 1072575784 /nfs/dbraw/zinc/57/57/84/1072575784.db2.gz AESWVAUCVKMFHQ-NCZKRNLISA-N 0 0 434.511 -0.016 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000444092037 1072575954 /nfs/dbraw/zinc/57/59/54/1072575954.db2.gz SAVMDSXYIPKHRA-UHFFFAOYSA-N 0 0 446.551 -0.704 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)nn1 ZINC000444092590 1072575937 /nfs/dbraw/zinc/57/59/37/1072575937.db2.gz UTAIKGGLDJBDJV-UHFFFAOYSA-N 0 0 429.480 -0.630 20 0 IBADRN Cc1cnc(N(C)C[C@H](O)CN2CCOCC2)nc1N(C)C[C@@H](O)CN1CCOCC1 ZINC000444094091 1072575704 /nfs/dbraw/zinc/57/57/04/1072575704.db2.gz XMJFUAQTZDIJLY-MOPGFXCFSA-N 0 0 438.573 -0.956 20 0 IBADRN Cc1cnc(N(C)C[C@H](O)CN2CCOCC2)nc1N(C)C[C@H](O)CN1CCOCC1 ZINC000444094092 1072575687 /nfs/dbraw/zinc/57/56/87/1072575687.db2.gz XMJFUAQTZDIJLY-OALUTQOASA-N 0 0 438.573 -0.956 20 0 IBADRN Cc1cnc(N(C)C[C@@H](O)CN2CCOCC2)nc1N(C)C[C@H](O)CN1CCOCC1 ZINC000444094093 1072575924 /nfs/dbraw/zinc/57/59/24/1072575924.db2.gz XMJFUAQTZDIJLY-RBUKOAKNSA-N 0 0 438.573 -0.956 20 0 IBADRN Cc1cnc(N(C)C[C@@H](O)CN2CCOCC2)nc1N(C)C[C@@H](O)CN1CCOCC1 ZINC000444094094 1072575774 /nfs/dbraw/zinc/57/57/74/1072575774.db2.gz XMJFUAQTZDIJLY-RTBURBONSA-N 0 0 438.573 -0.956 20 0 IBADRN NC(=O)[C@@H]1CCCN1CCCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444095151 1072575742 /nfs/dbraw/zinc/57/57/42/1072575742.db2.gz ZHDVCQVSYQZONB-AWEZNQCLSA-N 0 0 430.552 -0.255 20 0 IBADRN NC(=O)[C@H]1CCCN1CCCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444095152 1072575796 /nfs/dbraw/zinc/57/57/96/1072575796.db2.gz ZHDVCQVSYQZONB-CQSZACIVSA-N 0 0 430.552 -0.255 20 0 IBADRN Cn1ccnc(NC(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)c1=O ZINC000444095967 1072575855 /nfs/dbraw/zinc/57/58/55/1072575855.db2.gz NAXAVUSNJMPXQN-UHFFFAOYSA-N 0 0 443.279 0.535 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444097640 1072575828 /nfs/dbraw/zinc/57/58/28/1072575828.db2.gz VGPXVGXTKWWXGQ-ZBFHGGJFSA-N 0 0 444.481 -0.134 20 0 IBADRN CCN(CCNS(=O)(=O)N1CCOC(C)(C)C1)S(=O)(=O)N1CCOC(C)(C)C1 ZINC000444099387 1072575886 /nfs/dbraw/zinc/57/58/86/1072575886.db2.gz CTUFTPPHMWQVKB-UHFFFAOYSA-N 0 0 442.604 -0.391 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444100511 1072575871 /nfs/dbraw/zinc/57/58/71/1072575871.db2.gz NBTMZEOGXLUAFB-HIFRSBDPSA-N 0 0 434.511 -0.109 20 0 IBADRN CCN(CCNS(=O)(=O)c1cnc2n1CCC2)S(=O)(=O)c1cnc2n1CCC2 ZINC000444100594 1072576266 /nfs/dbraw/zinc/57/62/66/1072576266.db2.gz HFRPHBXCEWXVRZ-UHFFFAOYSA-N 0 0 428.540 -0.039 20 0 IBADRN CCN(CCNS(=O)(=O)N1C[C@H](C)OC[C@@H]1C)S(=O)(=O)N1C[C@H](C)OC[C@H]1C ZINC000444100825 1072576386 /nfs/dbraw/zinc/57/63/86/1072576386.db2.gz HMQVKTWEZDLPFI-FZKCQIBNSA-N 0 0 442.604 -0.394 20 0 IBADRN CCN(CCNS(=O)(=O)N1C[C@H](C)OC[C@@H]1C)S(=O)(=O)N1C[C@H](C)OC[C@@H]1C ZINC000444100826 1072576346 /nfs/dbraw/zinc/57/63/46/1072576346.db2.gz HMQVKTWEZDLPFI-VGWMRTNUSA-N 0 0 442.604 -0.394 20 0 IBADRN CCN(CCNS(=O)(=O)N1C[C@H](C)OC[C@@H]1C)S(=O)(=O)N1C[C@@H](C)OC[C@H]1C ZINC000444100827 1072576328 /nfs/dbraw/zinc/57/63/28/1072576328.db2.gz HMQVKTWEZDLPFI-XUWVNRHRSA-N 0 0 442.604 -0.394 20 0 IBADRN CCN(CCNS(=O)(=O)N1C[C@H](C)OC[C@@H]1C)S(=O)(=O)N1C[C@@H](C)OC[C@@H]1C ZINC000444100828 1072576294 /nfs/dbraw/zinc/57/62/94/1072576294.db2.gz HMQVKTWEZDLPFI-YHUYYLMFSA-N 0 0 442.604 -0.394 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3CCN(C)C(=O)C3)CC2)c(OC)c1OC ZINC000444102965 1072576307 /nfs/dbraw/zinc/57/63/07/1072576307.db2.gz GMACZBURYWVBSB-UHFFFAOYSA-N 0 0 434.493 -0.229 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)Nc2nccn(C)c2=O)c1OC ZINC000444105320 1072576401 /nfs/dbraw/zinc/57/64/01/1072576401.db2.gz NLMRHQLCPDMXAP-UHFFFAOYSA-N 0 0 438.462 0.071 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444105939 1072576286 /nfs/dbraw/zinc/57/62/86/1072576286.db2.gz PTBXYEYHFUIRAL-CJNGLKHVSA-N 0 0 429.470 -0.735 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)CC2 ZINC000444107954 1072576350 /nfs/dbraw/zinc/57/63/50/1072576350.db2.gz XMFWHNAISMAKDC-PBHICJAKSA-N 0 0 436.465 -0.372 20 0 IBADRN CCNC(=O)CN1CCN(c2ncc(C)c(N3CCN(CC(=O)NCC)CC3)n2)CC1 ZINC000444108905 1072576360 /nfs/dbraw/zinc/57/63/60/1072576360.db2.gz YWXPLPNRBWFVBR-UHFFFAOYSA-N 0 0 432.573 -0.699 20 0 IBADRN CCN(CCNS(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)S(=O)(=O)N1C[C@H](C)O[C@@H](C)C1 ZINC000444110174 1072576819 /nfs/dbraw/zinc/57/68/19/1072576819.db2.gz PJFDYFDIQJRPTL-FZKCQIBNSA-N 0 0 442.604 -0.394 20 0 IBADRN CCN(CCNS(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)S(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000444110175 1072576930 /nfs/dbraw/zinc/57/69/30/1072576930.db2.gz PJFDYFDIQJRPTL-GEEKYZPCSA-N 0 0 442.604 -0.394 20 0 IBADRN CCN(CCNS(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)S(=O)(=O)N1C[C@@H](C)O[C@H](C)C1 ZINC000444110176 1072576812 /nfs/dbraw/zinc/57/68/12/1072576812.db2.gz PJFDYFDIQJRPTL-QKPAOTATSA-N 0 0 442.604 -0.394 20 0 IBADRN CCN(CCNS(=O)(=O)N1C[C@H](C)O[C@@H](C)C1)S(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000444110177 1072576741 /nfs/dbraw/zinc/57/67/41/1072576741.db2.gz PJFDYFDIQJRPTL-YHUYYLMFSA-N 0 0 442.604 -0.394 20 0 IBADRN COc1nc(N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ncc1Br ZINC000444110991 1072576872 /nfs/dbraw/zinc/57/68/72/1072576872.db2.gz LRFJVZFKJGULEG-UHFFFAOYSA-N 0 0 435.348 -0.138 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ncn(Cc3cccc(F)c3)n2)CC1 ZINC000444111090 1072576973 /nfs/dbraw/zinc/57/69/73/1072576973.db2.gz SROOGNNSWCGFGZ-UHFFFAOYSA-N 0 0 428.432 -0.494 20 0 IBADRN Cc1cnc(NC2CCN(S(C)(=O)=O)CC2)nc1NC1CCN(S(C)(=O)=O)CC1 ZINC000444113641 1072576853 /nfs/dbraw/zinc/57/68/53/1072576853.db2.gz SOBYNOSCUKCBLT-UHFFFAOYSA-N 0 0 446.599 0.457 20 0 IBADRN C[C@](O)(CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)CN1CCOCC1 ZINC000444115370 1072576951 /nfs/dbraw/zinc/57/69/51/1072576951.db2.gz SXWFRYICERJKAL-AYBZRNKSSA-N 0 0 445.513 -0.851 20 0 IBADRN C[C@@](O)(CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)CN1CCOCC1 ZINC000444115373 1072576895 /nfs/dbraw/zinc/57/68/95/1072576895.db2.gz SXWFRYICERJKAL-HHXXYDBFSA-N 0 0 445.513 -0.851 20 0 IBADRN Cc1cnc(N[C@H]2CCCN(S(C)(=O)=O)C2)nc1N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000444119401 1072576986 /nfs/dbraw/zinc/57/69/86/1072576986.db2.gz DAEARNBPEUIWTO-CABCVRRESA-N 0 0 446.599 0.457 20 0 IBADRN Cc1cnc(N[C@H]2CCCN(S(C)(=O)=O)C2)nc1N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000444119402 1072576839 /nfs/dbraw/zinc/57/68/39/1072576839.db2.gz DAEARNBPEUIWTO-GJZGRUSLSA-N 0 0 446.599 0.457 20 0 IBADRN Cc1cnc(N[C@@H]2CCCN(S(C)(=O)=O)C2)nc1N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000444119403 1072576787 /nfs/dbraw/zinc/57/67/87/1072576787.db2.gz DAEARNBPEUIWTO-HUUCEWRRSA-N 0 0 446.599 0.457 20 0 IBADRN Cc1cnc(N[C@@H]2CCCN(S(C)(=O)=O)C2)nc1N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000444119404 1072577003 /nfs/dbraw/zinc/57/70/03/1072577003.db2.gz DAEARNBPEUIWTO-LSDHHAIUSA-N 0 0 446.599 0.457 20 0 IBADRN CCOC1CC(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)(N2CCOCC2)C1 ZINC000444124118 1072577632 /nfs/dbraw/zinc/57/76/32/1072577632.db2.gz GJBJBTHRBARZOB-UHFFFAOYSA-N 0 0 445.542 -0.337 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444128798 1072577329 /nfs/dbraw/zinc/57/73/29/1072577329.db2.gz ZBKJHAFTPKYQBS-ZBFHGGJFSA-N 0 0 442.513 -0.642 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)cc1 ZINC000444135675 1072578011 /nfs/dbraw/zinc/57/80/11/1072578011.db2.gz BUFCCMHCFIXSOO-CYBMUJFWSA-N 0 0 444.560 -0.251 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CSC[C@H]2C(=O)N2CCSCC2)cc1 ZINC000444135676 1072578125 /nfs/dbraw/zinc/57/81/25/1072578125.db2.gz BUFCCMHCFIXSOO-ZDUSSCGKSA-N 0 0 444.560 -0.251 20 0 IBADRN CCOC1CC(CNC(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)(N2CCOCC2)C1 ZINC000444149343 1072578627 /nfs/dbraw/zinc/57/86/27/1072578627.db2.gz ZRIQPNWUNYQFTP-LFDOHDQPSA-N 0 0 426.514 -0.281 20 0 IBADRN CCOC1CC(CNC(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)(N2CCOCC2)C1 ZINC000444149344 1072578694 /nfs/dbraw/zinc/57/86/94/1072578694.db2.gz ZRIQPNWUNYQFTP-NGEICVOHSA-N 0 0 426.514 -0.281 20 0 IBADRN CCOC1CC(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)(N2CCOCC2)C1 ZINC000444151259 1072578521 /nfs/dbraw/zinc/57/85/21/1072578521.db2.gz KADTWWHCVWHQHI-UHFFFAOYSA-N 0 0 437.541 -0.611 20 0 IBADRN CCOC1CC(CNC(=O)NCCNS(=O)(=O)c2cccnc2)(N2CCOCC2)C1 ZINC000444151670 1072578558 /nfs/dbraw/zinc/57/85/58/1072578558.db2.gz KZGSFMYJFBUEQA-UHFFFAOYSA-N 0 0 441.554 -0.071 20 0 IBADRN CCOC1CC(CNC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)(N2CCOCC2)C1 ZINC000444151941 1072578676 /nfs/dbraw/zinc/57/86/76/1072578676.db2.gz HNXPGHLBAAZGKL-UHFFFAOYSA-N 0 0 439.557 -0.364 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444157817 1072579098 /nfs/dbraw/zinc/57/90/98/1072579098.db2.gz FXEWEXBQHYPYRS-FMKPAKJESA-N 0 0 449.526 -0.510 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444157818 1072579155 /nfs/dbraw/zinc/57/91/55/1072579155.db2.gz FXEWEXBQHYPYRS-LZWOXQAQSA-N 0 0 449.526 -0.510 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000444162378 1072580913 /nfs/dbraw/zinc/58/09/13/1072580913.db2.gz AFPHTXUNHJLHIN-FMKPAKJESA-N 0 0 430.454 -0.260 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000444162379 1072580898 /nfs/dbraw/zinc/58/08/98/1072580898.db2.gz AFPHTXUNHJLHIN-YCPHGPKFSA-N 0 0 430.454 -0.260 20 0 IBADRN COc1nc(N2CCN(C(=O)CN3CCN(C)C(=O)C3)CC2)ncc1Br ZINC000444162712 1072579907 /nfs/dbraw/zinc/57/99/07/1072579907.db2.gz BGWAKBLKMUCLPW-UHFFFAOYSA-N 0 0 427.303 -0.330 20 0 IBADRN CCOC1CC(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)(N2CCOCC2)C1 ZINC000444165567 1072579894 /nfs/dbraw/zinc/57/98/94/1072579894.db2.gz IGEQELDLHKRKLZ-UHFFFAOYSA-N 0 0 439.557 -0.365 20 0 IBADRN COCCN1CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000444166233 1072580427 /nfs/dbraw/zinc/58/04/27/1072580427.db2.gz IOBBUOICMUWNGN-HNNXBMFYSA-N 0 0 433.552 -0.294 20 0 IBADRN COCCN1CC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000444166234 1072580360 /nfs/dbraw/zinc/58/03/60/1072580360.db2.gz IOBBUOICMUWNGN-OAHLLOKOSA-N 0 0 433.552 -0.294 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@@H]1CCCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)C1 ZINC000444167974 1072581225 /nfs/dbraw/zinc/58/12/25/1072581225.db2.gz MWNDVPPRZHJQIU-LLVKDONJSA-N 0 0 433.431 -0.028 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@H]1CCCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)C1 ZINC000444167976 1072581285 /nfs/dbraw/zinc/58/12/85/1072581285.db2.gz MWNDVPPRZHJQIU-NSHDSACASA-N 0 0 433.431 -0.028 20 0 IBADRN O=C(NCC(F)F)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000444168044 1072581320 /nfs/dbraw/zinc/58/13/20/1072581320.db2.gz GOBJLOXBUWEYML-UHFFFAOYSA-N 0 0 432.405 -0.768 20 0 IBADRN CCOC1CC(CNC(=O)N2CCN(c3nccn(C)c3=O)CC2)(N2CCOCC2)C1 ZINC000444169159 1072581385 /nfs/dbraw/zinc/58/13/85/1072581385.db2.gz OWDPBWCTONLHBD-UHFFFAOYSA-N 0 0 434.541 -0.118 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(Cc3ccon3)CC2)CC1 ZINC000444174149 1072581504 /nfs/dbraw/zinc/58/15/04/1072581504.db2.gz WFGABDNKCUALAY-UHFFFAOYSA-N 0 0 425.497 -0.182 20 0 IBADRN COCCN1CC[C@H](NS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000444176289 1072581404 /nfs/dbraw/zinc/58/14/04/1072581404.db2.gz OTWMITTZAQZMJS-KPZWWZAWSA-N 0 0 426.495 -0.251 20 0 IBADRN COCCN1CC[C@@H](NS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000444176297 1072582020 /nfs/dbraw/zinc/58/20/20/1072582020.db2.gz OTWMITTZAQZMJS-KZULUSFZSA-N 0 0 426.495 -0.251 20 0 IBADRN COCCN1CC[C@H](NS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000444176299 1072581894 /nfs/dbraw/zinc/58/18/94/1072581894.db2.gz OTWMITTZAQZMJS-SGTLLEGYSA-N 0 0 426.495 -0.251 20 0 IBADRN COCCN1CC[C@@H](NS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000444176301 1072581981 /nfs/dbraw/zinc/58/19/81/1072581981.db2.gz OTWMITTZAQZMJS-XIKOKIGWSA-N 0 0 426.495 -0.251 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)C2)nn1 ZINC000444179882 1072581863 /nfs/dbraw/zinc/58/18/63/1072581863.db2.gz CHPQVTDCVRWDHI-UHFFFAOYSA-N 0 0 426.480 -0.937 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)[C@H](C)O ZINC000444180866 1072581955 /nfs/dbraw/zinc/58/19/55/1072581955.db2.gz GWUCHYBZDCDNCM-GZMMTYOYSA-N 0 0 434.414 -0.272 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)[C@@H](C)O ZINC000444180867 1072581969 /nfs/dbraw/zinc/58/19/69/1072581969.db2.gz GWUCHYBZDCDNCM-KCJUWKMLSA-N 0 0 434.414 -0.272 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)[C@H](C)O ZINC000444180868 1072582063 /nfs/dbraw/zinc/58/20/63/1072582063.db2.gz GWUCHYBZDCDNCM-KWQFWETISA-N 0 0 434.414 -0.272 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)[C@@H](C)O ZINC000444180869 1072581924 /nfs/dbraw/zinc/58/19/24/1072581924.db2.gz GWUCHYBZDCDNCM-LDYMZIIASA-N 0 0 434.414 -0.272 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)C2)nn1 ZINC000444181629 1072582014 /nfs/dbraw/zinc/58/20/14/1072582014.db2.gz JFBUPKNWEVZVGH-GFCCVEGCSA-N 0 0 443.507 -0.049 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)C2)nn1 ZINC000444181630 1072581851 /nfs/dbraw/zinc/58/18/51/1072581851.db2.gz JFBUPKNWEVZVGH-LBPRGKRZSA-N 0 0 443.507 -0.049 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CCN1S(C)(=O)=O ZINC000444183302 1072581883 /nfs/dbraw/zinc/58/18/83/1072581883.db2.gz FDDUNIKJHNZIKU-LBPRGKRZSA-N 0 0 437.565 -0.218 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000444183855 1072581878 /nfs/dbraw/zinc/58/18/78/1072581878.db2.gz ATJVNHXLHWIWHE-GFCCVEGCSA-N 0 0 437.565 -0.313 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000444183863 1072581936 /nfs/dbraw/zinc/58/19/36/1072581936.db2.gz ATJVNHXLHWIWHE-LBPRGKRZSA-N 0 0 437.565 -0.313 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NCCCO)CC1 ZINC000444185689 1072582595 /nfs/dbraw/zinc/58/25/95/1072582595.db2.gz RJSJTJUXOVETEY-UHFFFAOYSA-N 0 0 433.552 -0.164 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)C2)nn1 ZINC000444190424 1072581944 /nfs/dbraw/zinc/58/19/44/1072581944.db2.gz GXBJRTHOISQNMX-UHFFFAOYSA-N 0 0 441.491 -0.249 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000444191587 1072582041 /nfs/dbraw/zinc/58/20/41/1072582041.db2.gz GCNJROKZNPRJMH-GFCCVEGCSA-N 0 0 440.569 -0.869 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000444191593 1072581829 /nfs/dbraw/zinc/58/18/29/1072581829.db2.gz GCNJROKZNPRJMH-LBPRGKRZSA-N 0 0 440.569 -0.869 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000444192819 1072583117 /nfs/dbraw/zinc/58/31/17/1072583117.db2.gz KNGNCWQWJUXQOR-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN Cc1nnc(CN2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)CC2)[nH]1 ZINC000444194269 1072582428 /nfs/dbraw/zinc/58/24/28/1072582428.db2.gz MNDUMYKTKJHVFN-UHFFFAOYSA-N 0 0 428.540 -0.130 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CCN1S(C)(=O)=O ZINC000444195492 1072582455 /nfs/dbraw/zinc/58/24/55/1072582455.db2.gz VFZFYALGMXNEFZ-LBPRGKRZSA-N 0 0 425.554 -0.409 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1=O ZINC000444196978 1072582662 /nfs/dbraw/zinc/58/26/62/1072582662.db2.gz QYLLOPYTTQCRFR-CYBMUJFWSA-N 0 0 445.519 -0.430 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1=O ZINC000444196979 1072582491 /nfs/dbraw/zinc/58/24/91/1072582491.db2.gz QYLLOPYTTQCRFR-ZDUSSCGKSA-N 0 0 445.519 -0.430 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1=O ZINC000444197016 1072582620 /nfs/dbraw/zinc/58/26/20/1072582620.db2.gz RAGBOFHTVIQMJQ-GFCCVEGCSA-N 0 0 433.508 -0.621 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1=O ZINC000444197018 1072582610 /nfs/dbraw/zinc/58/26/10/1072582610.db2.gz RAGBOFHTVIQMJQ-LBPRGKRZSA-N 0 0 433.508 -0.621 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000444197234 1072582551 /nfs/dbraw/zinc/58/25/51/1072582551.db2.gz RBXSRMBZUINZSU-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000444198805 1072583084 /nfs/dbraw/zinc/58/30/84/1072583084.db2.gz UUUOEUVPOCOQFZ-UHFFFAOYSA-N 0 0 447.579 -0.215 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)C2)nn1 ZINC000444201266 1072583234 /nfs/dbraw/zinc/58/32/34/1072583234.db2.gz YETCMMRBUGZSOF-UHFFFAOYSA-N 0 0 429.480 -0.439 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000444203825 1072583699 /nfs/dbraw/zinc/58/36/99/1072583699.db2.gz XYZFYPSDNOCLEV-GFCCVEGCSA-N 0 0 425.554 -0.503 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000444203827 1072583746 /nfs/dbraw/zinc/58/37/46/1072583746.db2.gz XYZFYPSDNOCLEV-LBPRGKRZSA-N 0 0 425.554 -0.503 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC000444205097 1072583589 /nfs/dbraw/zinc/58/35/89/1072583589.db2.gz KOBVXTQVUPLCFW-UHFFFAOYSA-N 0 0 430.508 -0.358 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000444205249 1072583753 /nfs/dbraw/zinc/58/37/53/1072583753.db2.gz LTERCTMKWDZUKL-ZDUSSCGKSA-N 0 0 449.551 -0.081 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)CC2)C1=O ZINC000444207188 1072583616 /nfs/dbraw/zinc/58/36/16/1072583616.db2.gz UTUPUWZKRFCHHZ-UHFFFAOYSA-N 0 0 442.519 -0.168 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(C[C@@H](C)O)CC2)s1 ZINC000444211311 1072583106 /nfs/dbraw/zinc/58/31/06/1072583106.db2.gz HNZMDTIRZHCEBR-CYBMUJFWSA-N 0 0 425.598 -0.083 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000444212325 1072583041 /nfs/dbraw/zinc/58/30/41/1072583041.db2.gz MYKOZZURULGSMT-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000444212326 1072583256 /nfs/dbraw/zinc/58/32/56/1072583256.db2.gz MYKOZZURULGSMT-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN COCc1noc(CN2CCN(S(=O)(=O)c3ccc4c(c3)n(C)c(=O)n4C)CC2)n1 ZINC000444213598 1072583604 /nfs/dbraw/zinc/58/36/04/1072583604.db2.gz NZGMLYOFTAZXGQ-UHFFFAOYSA-N 0 0 436.494 -0.087 20 0 IBADRN COCc1noc(CN2CCN(S(=O)(=O)c3ccc(OC)c(C(N)=O)c3)CC2)n1 ZINC000444213763 1072584199 /nfs/dbraw/zinc/58/41/99/1072584199.db2.gz CRUGGYJKYCXMMR-UHFFFAOYSA-N 0 0 425.467 -0.170 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)c2)CC1 ZINC000444213875 1072584232 /nfs/dbraw/zinc/58/42/32/1072584232.db2.gz QBJNJNNGVNIKRF-UHFFFAOYSA-N 0 0 435.506 -0.451 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(Cc3nc(COC)no3)CC2)c1 ZINC000444215942 1072584165 /nfs/dbraw/zinc/58/41/65/1072584165.db2.gz CBMUJUJDFIMWTH-UHFFFAOYSA-N 0 0 445.523 -0.369 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444216828 1072583563 /nfs/dbraw/zinc/58/35/63/1072583563.db2.gz IGBAGKNSQCMOBQ-CVEARBPZSA-N 0 0 448.519 -0.606 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444216837 1072583667 /nfs/dbraw/zinc/58/36/67/1072583667.db2.gz IGBAGKNSQCMOBQ-HOTGVXAUSA-N 0 0 448.519 -0.606 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444216840 1072583717 /nfs/dbraw/zinc/58/37/17/1072583717.db2.gz IGBAGKNSQCMOBQ-HZPDHXFCSA-N 0 0 448.519 -0.606 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444216841 1072583578 /nfs/dbraw/zinc/58/35/78/1072583578.db2.gz IGBAGKNSQCMOBQ-JKSUJKDBSA-N 0 0 448.519 -0.606 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000444217169 1072583689 /nfs/dbraw/zinc/58/36/89/1072583689.db2.gz WAJVUBJBIFTLLP-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000444217170 1072583726 /nfs/dbraw/zinc/58/37/26/1072583726.db2.gz WAJVUBJBIFTLLP-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@@H](C)O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000444218406 1072583765 /nfs/dbraw/zinc/58/37/65/1072583765.db2.gz KNXHARPSMFDHJS-KZULUSFZSA-N 0 0 426.495 -0.563 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@@H](C)O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000444218410 1072584150 /nfs/dbraw/zinc/58/41/50/1072584150.db2.gz KNXHARPSMFDHJS-XIKOKIGWSA-N 0 0 426.495 -0.563 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000444219109 1072584041 /nfs/dbraw/zinc/58/40/41/1072584041.db2.gz LNTJLUICHQXSQU-IAISJRAMSA-N 0 0 441.462 -0.563 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000444219111 1072584179 /nfs/dbraw/zinc/58/41/79/1072584179.db2.gz LNTJLUICHQXSQU-UVBSCNOISA-N 0 0 441.462 -0.563 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000444219113 1072584714 /nfs/dbraw/zinc/58/47/14/1072584714.db2.gz LNTJLUICHQXSQU-XAYKYTGQSA-N 0 0 441.462 -0.563 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000444219115 1072584770 /nfs/dbraw/zinc/58/47/70/1072584770.db2.gz LNTJLUICHQXSQU-YEWDVWPNSA-N 0 0 441.462 -0.563 20 0 IBADRN COCc1noc(CN2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)n1 ZINC000444220515 1072584795 /nfs/dbraw/zinc/58/47/95/1072584795.db2.gz IRLWWMIMLNDKAA-UHFFFAOYSA-N 0 0 431.496 -0.630 20 0 IBADRN CN(CC1(O)CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444222000 1072584804 /nfs/dbraw/zinc/58/48/04/1072584804.db2.gz KFZYXYUKAIOMRT-UHFFFAOYSA-N 0 0 434.536 -0.131 20 0 IBADRN CN(Cc1ccccc1NC(=O)CN1CCN(C)C(=O)C1)C(=O)CN1CCN(C)C(=O)C1 ZINC000444223112 1072584689 /nfs/dbraw/zinc/58/46/89/1072584689.db2.gz HNSISPOFLCHEFN-UHFFFAOYSA-N 0 0 444.536 -0.869 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)s1 ZINC000444223339 1072584758 /nfs/dbraw/zinc/58/47/58/1072584758.db2.gz RKMGAJNIMFAPIS-GXTWGEPZSA-N 0 0 440.565 -0.083 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)s1 ZINC000444223340 1072584743 /nfs/dbraw/zinc/58/47/43/1072584743.db2.gz RKMGAJNIMFAPIS-JSGCOSHPSA-N 0 0 440.565 -0.083 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)s1 ZINC000444223341 1072584788 /nfs/dbraw/zinc/58/47/88/1072584788.db2.gz RKMGAJNIMFAPIS-OCCSQVGLSA-N 0 0 440.565 -0.083 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)s1 ZINC000444223342 1072584782 /nfs/dbraw/zinc/58/47/82/1072584782.db2.gz RKMGAJNIMFAPIS-TZMCWYRMSA-N 0 0 440.565 -0.083 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CC2(O)CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000444223789 1072584777 /nfs/dbraw/zinc/58/47/77/1072584777.db2.gz SGPVMRILYRNSSW-KRWDZBQOSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CC2(O)CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000444223791 1072584632 /nfs/dbraw/zinc/58/46/32/1072584632.db2.gz SGPVMRILYRNSSW-QGZVFWFLSA-N 0 0 427.479 -0.088 20 0 IBADRN C[C@@H](O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000444223944 1072584702 /nfs/dbraw/zinc/58/47/02/1072584702.db2.gz OBONBOOUIUPNEB-OAHLLOKOSA-N 0 0 433.552 -0.605 20 0 IBADRN COCc1noc(CN2CCN(S(=O)(=O)c3cccc(-c4nnnn4C)c3)CC2)n1 ZINC000444225298 1072584596 /nfs/dbraw/zinc/58/45/96/1072584596.db2.gz PMLVNPWXFBFJKK-UHFFFAOYSA-N 0 0 434.482 -0.087 20 0 IBADRN Cc1cc2c(ncnc2N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)s1 ZINC000444225815 1072584723 /nfs/dbraw/zinc/58/47/23/1072584723.db2.gz SBJWUJPFTDZTRG-UHFFFAOYSA-N 0 0 436.519 -0.092 20 0 IBADRN COCc1noc(CN2CCN(S(=O)(=O)c3ccc4c(c3)C(=O)N(C)C4=O)CC2)n1 ZINC000444226970 1072584611 /nfs/dbraw/zinc/58/46/11/1072584611.db2.gz YNEVVURZJVAYHL-UHFFFAOYSA-N 0 0 435.462 -0.052 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(Cc3nc(COC)no3)CC2)CC1 ZINC000444227767 1072585339 /nfs/dbraw/zinc/58/53/39/1072585339.db2.gz TZXIWZIEWUQBMA-UHFFFAOYSA-N 0 0 431.515 -0.147 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3nc(COC)no3)CC2)cc1 ZINC000444228773 1072585265 /nfs/dbraw/zinc/58/52/65/1072585265.db2.gz WKXHOGQNMISWAM-UHFFFAOYSA-N 0 0 445.523 -0.369 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444229381 1072585377 /nfs/dbraw/zinc/58/53/77/1072585377.db2.gz UTOHMOGJWUUQQH-FMKPAKJESA-N 0 0 428.486 -0.130 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444229382 1072585103 /nfs/dbraw/zinc/58/51/03/1072585103.db2.gz UTOHMOGJWUUQQH-LZWOXQAQSA-N 0 0 428.486 -0.130 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCN(C)C(=O)C1 ZINC000444232074 1072586063 /nfs/dbraw/zinc/58/60/63/1072586063.db2.gz MTRMDJABCQZHDF-UHFFFAOYSA-N 0 0 439.538 -0.148 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444232854 1072585313 /nfs/dbraw/zinc/58/53/13/1072585313.db2.gz CDPOTGRGPAASDW-FMKPAKJESA-N 0 0 449.526 -0.510 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444233028 1072584661 /nfs/dbraw/zinc/58/46/61/1072584661.db2.gz ABXWWPGLRFPLPZ-BJJXKVORSA-N 0 0 449.526 -0.653 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444233030 1072585138 /nfs/dbraw/zinc/58/51/38/1072585138.db2.gz ABXWWPGLRFPLPZ-XPKDYRNWSA-N 0 0 449.526 -0.653 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)nn1 ZINC000444237371 1072586582 /nfs/dbraw/zinc/58/65/82/1072586582.db2.gz JIBCLVRMRIVJGY-HIFRSBDPSA-N 0 0 441.441 -0.970 20 0 IBADRN CC[C@@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)N1CCOCC1 ZINC000444242054 1072587147 /nfs/dbraw/zinc/58/71/47/1072587147.db2.gz KADLMCDEWIQUEQ-OIISXLGYSA-N 0 0 443.497 -0.297 20 0 IBADRN CC[C@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)N1CCOCC1 ZINC000444242058 1072587169 /nfs/dbraw/zinc/58/71/69/1072587169.db2.gz KADLMCDEWIQUEQ-PVAVHDDUSA-N 0 0 443.497 -0.297 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444246471 1072587680 /nfs/dbraw/zinc/58/76/80/1072587680.db2.gz ZNBKEKBMLGWZPA-NUJGCVRESA-N 0 0 445.513 -0.899 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444246473 1072587659 /nfs/dbraw/zinc/58/76/59/1072587659.db2.gz ZNBKEKBMLGWZPA-RYRKJORJSA-N 0 0 445.513 -0.899 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000444246867 1072587701 /nfs/dbraw/zinc/58/77/01/1072587701.db2.gz MJTHLNQKANKGSQ-KBMXLJTQSA-N 0 0 440.453 -0.427 20 0 IBADRN CN1CCN(CC(=O)NC[C@@H](O)COc2ccc(I)cc2)CC1=O ZINC000444247605 1072587652 /nfs/dbraw/zinc/58/76/52/1072587652.db2.gz QZKACLGFHHMZNT-CYBMUJFWSA-N 0 0 447.273 -0.079 20 0 IBADRN CN1CCN(CC(=O)NC[C@H](O)COc2ccc(I)cc2)CC1=O ZINC000444247609 1072587631 /nfs/dbraw/zinc/58/76/31/1072587631.db2.gz QZKACLGFHHMZNT-ZDUSSCGKSA-N 0 0 447.273 -0.079 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)CN2CCN(C)C(=O)C2)CC1 ZINC000444249616 1072587688 /nfs/dbraw/zinc/58/76/88/1072587688.db2.gz IUZJCCRMLHVGPH-UHFFFAOYSA-N 0 0 444.941 -0.045 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444251605 1072587741 /nfs/dbraw/zinc/58/77/41/1072587741.db2.gz LWAASWOZDTVXKQ-HYVNUMGLSA-N 0 0 444.481 -0.278 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444251607 1072587676 /nfs/dbraw/zinc/58/76/76/1072587676.db2.gz LWAASWOZDTVXKQ-PVAVHDDUSA-N 0 0 444.481 -0.278 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444253693 1072587730 /nfs/dbraw/zinc/58/77/30/1072587730.db2.gz UDNUBLJGERYCBJ-JZXOWHBKSA-N 0 0 429.514 -0.135 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444253694 1072587551 /nfs/dbraw/zinc/58/75/51/1072587551.db2.gz UDNUBLJGERYCBJ-NUJGCVRESA-N 0 0 429.514 -0.135 20 0 IBADRN NC(=O)c1ncn([C@H]2CCCN(C(=O)NCCN3CCN(c4ncccn4)CC3)C2)n1 ZINC000444258405 1072587575 /nfs/dbraw/zinc/58/75/75/1072587575.db2.gz GZRWKZHPOQFMPT-HNNXBMFYSA-N 0 0 428.501 -0.664 20 0 IBADRN NC(=O)c1ncn([C@@H]2CCCN(C(=O)NCCN3CCN(c4ncccn4)CC3)C2)n1 ZINC000444258406 1072587724 /nfs/dbraw/zinc/58/77/24/1072587724.db2.gz GZRWKZHPOQFMPT-OAHLLOKOSA-N 0 0 428.501 -0.664 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)C[C@H](C)CC(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000444273024 1072588078 /nfs/dbraw/zinc/58/80/78/1072588078.db2.gz ZJQPMUIMFDSTEU-FRXHMLBDSA-N 0 0 428.482 -0.020 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)C[C@H](C)CC(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000444273029 1072588027 /nfs/dbraw/zinc/58/80/27/1072588027.db2.gz ZJQPMUIMFDSTEU-GVRJEKJASA-N 0 0 428.482 -0.020 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)C[C@H](C)CC(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000444273031 1072588120 /nfs/dbraw/zinc/58/81/20/1072588120.db2.gz ZJQPMUIMFDSTEU-QRJUGERDSA-N 0 0 428.482 -0.020 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)CC(C)CC(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000444273032 1072588159 /nfs/dbraw/zinc/58/81/59/1072588159.db2.gz ZJQPMUIMFDSTEU-VGWMRTNUSA-N 0 0 428.482 -0.020 20 0 IBADRN CC(CC(=O)N1CC(OCC(=O)N(C)C)C1)CC(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000444275351 1072588067 /nfs/dbraw/zinc/58/80/67/1072588067.db2.gz CBRRTQZWCHOECO-UHFFFAOYSA-N 0 0 426.514 -0.966 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)CC(C)CC(=O)N2CCO[C@@H](CC(=O)OC)C2)CCO1 ZINC000444275626 1072588238 /nfs/dbraw/zinc/58/82/38/1072588238.db2.gz IXKSEEVFEQMWJQ-HOTGVXAUSA-N 0 0 428.482 -0.016 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)CC(C)CC(=O)N2CCO[C@H](CC(=O)OC)C2)CCO1 ZINC000444275628 1072588165 /nfs/dbraw/zinc/58/81/65/1072588165.db2.gz IXKSEEVFEQMWJQ-HZPDHXFCSA-N 0 0 428.482 -0.016 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C[C@@H](C)CC(=O)N2CCO[C@@H](CC(=O)OC)C2)CCO1 ZINC000444275630 1072588147 /nfs/dbraw/zinc/58/81/47/1072588147.db2.gz IXKSEEVFEQMWJQ-PHZGNYQRSA-N 0 0 428.482 -0.016 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N(CCO)C1CCOCC1 ZINC000444278632 1072588137 /nfs/dbraw/zinc/58/81/37/1072588137.db2.gz CSQCOPFAEIUFBI-UHFFFAOYSA-N 0 0 434.536 -0.131 20 0 IBADRN COc1ccc(S(=O)(=O)N(CCO)C2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000444280505 1072588732 /nfs/dbraw/zinc/58/87/32/1072588732.db2.gz SQCJQUURCXCIKO-GOSISDBHSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N(CCO)C2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000444280506 1072588924 /nfs/dbraw/zinc/58/89/24/1072588924.db2.gz SQCJQUURCXCIKO-SFHVURJKSA-N 0 0 427.479 -0.088 20 0 IBADRN CCO[C@@H]1C[C@](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)(C(=O)OC)C1(C)C ZINC000444281248 1072588172 /nfs/dbraw/zinc/58/81/72/1072588172.db2.gz VLWGRXBWJZGBQM-DOMZBBRYSA-N 0 0 427.545 -0.855 20 0 IBADRN CCO[C@@H]1C[C@@](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)(C(=O)OC)C1(C)C ZINC000444281249 1072588184 /nfs/dbraw/zinc/58/81/84/1072588184.db2.gz VLWGRXBWJZGBQM-IUODEOHRSA-N 0 0 427.545 -0.855 20 0 IBADRN CCO[C@H]1C[C@@](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)(C(=O)OC)C1(C)C ZINC000444281251 1072588106 /nfs/dbraw/zinc/58/81/06/1072588106.db2.gz VLWGRXBWJZGBQM-SWLSCSKDSA-N 0 0 427.545 -0.855 20 0 IBADRN CCO[C@H]1C[C@](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)(C(=O)OC)C1(C)C ZINC000444281253 1072588245 /nfs/dbraw/zinc/58/82/45/1072588245.db2.gz VLWGRXBWJZGBQM-WFASDCNBSA-N 0 0 427.545 -0.855 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ncn(Cc3cccc(F)c3)n2)CC1 ZINC000444289938 1072588770 /nfs/dbraw/zinc/58/87/70/1072588770.db2.gz SVZIIHSGTBXDNU-UHFFFAOYSA-N 0 0 432.503 -0.623 20 0 IBADRN C[C@H](NC(=O)CN1CCN(C)C(=O)C1)c1ccccc1NC(=O)CN1CCN(C)C(=O)C1 ZINC000444291606 1072588915 /nfs/dbraw/zinc/58/89/15/1072588915.db2.gz LVIJKNIKPSYOMZ-INIZCTEOSA-N 0 0 444.536 -0.650 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(C)C(=O)C1)c1ccccc1NC(=O)CN1CCN(C)C(=O)C1 ZINC000444291612 1072588858 /nfs/dbraw/zinc/58/88/58/1072588858.db2.gz LVIJKNIKPSYOMZ-MRXNPFEDSA-N 0 0 444.536 -0.650 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)cc1C ZINC000444294925 1072588781 /nfs/dbraw/zinc/58/87/81/1072588781.db2.gz RVRYLOHVAPLGJG-HIFRSBDPSA-N 0 0 439.469 -0.161 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)O1 ZINC000444299054 1072589241 /nfs/dbraw/zinc/58/92/41/1072589241.db2.gz RGHUNHXBRGAAKY-JHNDHUHGSA-N 0 0 443.497 -0.298 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)O1 ZINC000444299055 1072589358 /nfs/dbraw/zinc/58/93/58/1072589358.db2.gz RGHUNHXBRGAAKY-OHFALNGGSA-N 0 0 443.497 -0.298 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)O1 ZINC000444299056 1072589395 /nfs/dbraw/zinc/58/93/95/1072589395.db2.gz RGHUNHXBRGAAKY-TXCZRRACSA-N 0 0 443.497 -0.298 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)O1 ZINC000444299057 1072589179 /nfs/dbraw/zinc/58/91/79/1072589179.db2.gz RGHUNHXBRGAAKY-YQFWSFKMSA-N 0 0 443.497 -0.298 20 0 IBADRN NC(=O)c1ncn([C@H]2CCCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)C2)n1 ZINC000444302277 1072589313 /nfs/dbraw/zinc/58/93/13/1072589313.db2.gz AHPFORLKHGZPKR-INIZCTEOSA-N 0 0 432.529 -0.332 20 0 IBADRN NC(=O)c1ncn([C@@H]2CCCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)C2)n1 ZINC000444302278 1072589420 /nfs/dbraw/zinc/58/94/20/1072589420.db2.gz AHPFORLKHGZPKR-MRXNPFEDSA-N 0 0 432.529 -0.332 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCO[C@H](c2ncon2)C1 ZINC000444304125 1072590050 /nfs/dbraw/zinc/59/00/50/1072590050.db2.gz PELIUBPPODJRSH-SNPRPXQTSA-N 0 0 426.426 -0.067 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCO[C@@H](c2ncon2)C1 ZINC000444304126 1072589872 /nfs/dbraw/zinc/58/98/72/1072589872.db2.gz PELIUBPPODJRSH-VHDGCEQUSA-N 0 0 426.426 -0.067 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CN4CCN(C)C(=O)C4)CC3)C2=O)n(C)n1 ZINC000444306614 1072589855 /nfs/dbraw/zinc/58/98/55/1072589855.db2.gz WYKJCQBKCSMCKQ-KRWDZBQOSA-N 0 0 431.541 -0.858 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CN4CCN(C)C(=O)C4)CC3)C2=O)n(C)n1 ZINC000444306615 1072589836 /nfs/dbraw/zinc/58/98/36/1072589836.db2.gz WYKJCQBKCSMCKQ-QGZVFWFLSA-N 0 0 431.541 -0.858 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444316742 1072589888 /nfs/dbraw/zinc/58/98/88/1072589888.db2.gz NBGVYJNDIWZLQY-BMFZPTHFSA-N 0 0 434.511 -0.015 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000444316745 1072589939 /nfs/dbraw/zinc/58/99/39/1072589939.db2.gz NBGVYJNDIWZLQY-KBMXLJTQSA-N 0 0 434.511 -0.015 20 0 IBADRN CC(C)S(=O)(=O)N1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000444320386 1072590475 /nfs/dbraw/zinc/59/04/75/1072590475.db2.gz UQIDBYAMXXJJNO-UHFFFAOYSA-N 0 0 448.567 -0.032 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000444321571 1072591016 /nfs/dbraw/zinc/59/10/16/1072591016.db2.gz HPIMYCBNYXABNO-INIZCTEOSA-N 0 0 443.547 -0.154 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000444321856 1072591708 /nfs/dbraw/zinc/59/17/08/1072591708.db2.gz HPIMYCBNYXABNO-MRXNPFEDSA-N 0 0 443.547 -0.154 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)[C@H]1C(=O)OC ZINC000444337856 1072605141 /nfs/dbraw/zinc/60/51/41/1072605141.db2.gz GYZDBUKDDFWEEF-CHWSQXEVSA-N 0 0 427.435 -0.774 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)[C@@H]1C(=O)OC ZINC000444337865 1072605146 /nfs/dbraw/zinc/60/51/46/1072605146.db2.gz GYZDBUKDDFWEEF-OLZOCXBDSA-N 0 0 427.435 -0.774 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000444337868 1072605088 /nfs/dbraw/zinc/60/50/88/1072605088.db2.gz GYZDBUKDDFWEEF-QWHCGFSZSA-N 0 0 427.435 -0.774 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)[C@@H]1C(=O)OC ZINC000444337871 1072605103 /nfs/dbraw/zinc/60/51/03/1072605103.db2.gz GYZDBUKDDFWEEF-STQMWFEESA-N 0 0 427.435 -0.774 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000444340741 1072605711 /nfs/dbraw/zinc/60/57/11/1072605711.db2.gz IDJSSPDNFLDZPS-UHFFFAOYSA-N 0 0 437.566 -0.134 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ncn(Cc4cccc(F)c4)n3)c2c(=O)n(C)c1=O ZINC000444341329 1072606328 /nfs/dbraw/zinc/60/63/28/1072606328.db2.gz JASSDMGPAVFWAK-UHFFFAOYSA-N 0 0 426.412 -0.471 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ncn(Cc3cccc(F)c3)n1)c(=O)n2C ZINC000444341839 1072607068 /nfs/dbraw/zinc/60/70/68/1072607068.db2.gz LMJVCSIFUGZKKM-UHFFFAOYSA-N 0 0 426.412 -0.471 20 0 IBADRN COC(=O)CN1CCN(C(=O)CC(C)CC(=O)N2CCN(CC(=O)OC)CC2)CC1 ZINC000444349962 1072611112 /nfs/dbraw/zinc/61/11/12/1072611112.db2.gz GCKFJIFRJLATCW-UHFFFAOYSA-N 0 0 426.514 -0.963 20 0 IBADRN CCN(CCNC(=O)CCC(=O)N1CCOCC1)C(=O)CCC(=O)N1CCOCC1 ZINC000444350613 1072610545 /nfs/dbraw/zinc/61/05/45/1072610545.db2.gz FRTJEOHLXASYBJ-UHFFFAOYSA-N 0 0 426.514 -0.771 20 0 IBADRN CCN(CCNC(=O)[C@@H](NS(C)(=O)=O)C(C)C)C(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000444355922 1072611790 /nfs/dbraw/zinc/61/17/90/1072611790.db2.gz IHVMXJSXQCMOOA-KBPBESRZSA-N 0 0 442.604 -0.901 20 0 IBADRN CCN(CCNC(=O)[C@H](NS(C)(=O)=O)C(C)C)C(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000444355923 1072612013 /nfs/dbraw/zinc/61/20/13/1072612013.db2.gz IHVMXJSXQCMOOA-KGLIPLIRSA-N 0 0 442.604 -0.901 20 0 IBADRN CCN(CCNC(=O)[C@@H](NS(C)(=O)=O)C(C)C)C(=O)[C@H](NS(C)(=O)=O)C(C)C ZINC000444355924 1072611960 /nfs/dbraw/zinc/61/19/60/1072611960.db2.gz IHVMXJSXQCMOOA-UONOGXRCSA-N 0 0 442.604 -0.901 20 0 IBADRN CCN(CCNC(=O)[C@H](NS(C)(=O)=O)C(C)C)C(=O)[C@H](NS(C)(=O)=O)C(C)C ZINC000444355925 1072611768 /nfs/dbraw/zinc/61/17/68/1072611768.db2.gz IHVMXJSXQCMOOA-ZIAGYGMSSA-N 0 0 442.604 -0.901 20 0 IBADRN CCN(CCNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000444358129 1072755089 /nfs/dbraw/zinc/75/50/89/1072755089.db2.gz RYYZDBBZEBGMEH-KBPBESRZSA-N 0 0 442.480 -0.497 20 0 IBADRN CCN(CCNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000444358131 1072754995 /nfs/dbraw/zinc/75/49/95/1072754995.db2.gz RYYZDBBZEBGMEH-KGLIPLIRSA-N 0 0 442.480 -0.497 20 0 IBADRN CCN(CCNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000444358133 1072755747 /nfs/dbraw/zinc/75/57/47/1072755747.db2.gz RYYZDBBZEBGMEH-UONOGXRCSA-N 0 0 442.480 -0.497 20 0 IBADRN CCN(CCNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000444358135 1072755725 /nfs/dbraw/zinc/75/57/25/1072755725.db2.gz RYYZDBBZEBGMEH-ZIAGYGMSSA-N 0 0 442.480 -0.497 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)c2)CC1 ZINC000444361281 1072612448 /nfs/dbraw/zinc/61/24/48/1072612448.db2.gz DRZWQKYWGMJKNE-UHFFFAOYSA-N 0 0 439.476 -0.259 20 0 IBADRN CC1CCN(C(=O)C(=O)NCc2cn(C)nc2NC(=O)C(=O)N2CCC(C)CC2)CC1 ZINC000444363083 1072613018 /nfs/dbraw/zinc/61/30/18/1072613018.db2.gz GYHYAFOSBDFUMQ-UHFFFAOYSA-N 0 0 432.525 0.492 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)c2)CC1 ZINC000444363269 1072613208 /nfs/dbraw/zinc/61/32/08/1072613208.db2.gz IVZJOVCXSMSLHA-UHFFFAOYSA-N 0 0 439.476 -0.259 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)NCc2cn(C)nc2NC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC000444365977 1072614375 /nfs/dbraw/zinc/61/43/75/1072614375.db2.gz PYXGBMPIWQQWHO-CABCVRRESA-N 0 0 432.525 0.492 20 0 IBADRN C[C@H]1CCCN(C(=O)C(=O)NCc2cn(C)nc2NC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC000444365978 1072614284 /nfs/dbraw/zinc/61/42/84/1072614284.db2.gz PYXGBMPIWQQWHO-GJZGRUSLSA-N 0 0 432.525 0.492 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)NCc2cn(C)nc2NC(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC000444365979 1072614420 /nfs/dbraw/zinc/61/44/20/1072614420.db2.gz PYXGBMPIWQQWHO-HUUCEWRRSA-N 0 0 432.525 0.492 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)Nc2nn(C)cc2CNC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC000444365980 1072614174 /nfs/dbraw/zinc/61/41/74/1072614174.db2.gz PYXGBMPIWQQWHO-LSDHHAIUSA-N 0 0 432.525 0.492 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCCN(C(=O)C2CC2)CC1 ZINC000444371152 1072614913 /nfs/dbraw/zinc/61/49/13/1072614913.db2.gz LJKYOMKSOFKDLQ-UHFFFAOYSA-N 0 0 434.518 -0.108 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCCN(C(=O)C4CC4)CC3)nc2n(C)c1=O ZINC000444371842 1073354063 /nfs/dbraw/zinc/35/40/63/1073354063.db2.gz NPLLKSNELFDCDO-UHFFFAOYSA-N 0 0 428.493 0.462 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C[C@H](C)CC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444376259 1072617470 /nfs/dbraw/zinc/61/74/70/1072617470.db2.gz MFFIFKJFJXCLOQ-BNYGMVKZSA-N 0 0 428.482 -0.548 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CC(C)CC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444376260 1072617269 /nfs/dbraw/zinc/61/72/69/1072617269.db2.gz MFFIFKJFJXCLOQ-KNCCTNLNSA-N 0 0 428.482 -0.548 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C[C@@H](C)CC(=O)N1CCC[C@H](O)[C@H]1C(=O)OC ZINC000444376261 1072617423 /nfs/dbraw/zinc/61/74/23/1072617423.db2.gz MFFIFKJFJXCLOQ-NNXQTKTDSA-N 0 0 428.482 -0.548 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C[C@H](C)CC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444376262 1072617174 /nfs/dbraw/zinc/61/71/74/1072617174.db2.gz MFFIFKJFJXCLOQ-YWBVBXMBSA-N 0 0 428.482 -0.548 20 0 IBADRN CCN(CCNC(=O)[C@@H]1COCCN1CC(F)F)C(=O)[C@@H]1COCCN1CC(F)F ZINC000444378421 1072617903 /nfs/dbraw/zinc/61/79/03/1072617903.db2.gz IBLZZSYQABHMEL-KBPBESRZSA-N 0 0 442.454 -0.117 20 0 IBADRN CCN(CCNC(=O)[C@H]1COCCN1CC(F)F)C(=O)[C@@H]1COCCN1CC(F)F ZINC000444378422 1072617897 /nfs/dbraw/zinc/61/78/97/1072617897.db2.gz IBLZZSYQABHMEL-KGLIPLIRSA-N 0 0 442.454 -0.117 20 0 IBADRN CCN(CCNC(=O)[C@@H]1COCCN1CC(F)F)C(=O)[C@H]1COCCN1CC(F)F ZINC000444378423 1072617912 /nfs/dbraw/zinc/61/79/12/1072617912.db2.gz IBLZZSYQABHMEL-UONOGXRCSA-N 0 0 442.454 -0.117 20 0 IBADRN CCN(CCNC(=O)[C@H]1COCCN1CC(F)F)C(=O)[C@H]1COCCN1CC(F)F ZINC000444378424 1072617840 /nfs/dbraw/zinc/61/78/40/1072617840.db2.gz IBLZZSYQABHMEL-ZIAGYGMSSA-N 0 0 442.454 -0.117 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000444379450 1072617956 /nfs/dbraw/zinc/61/79/56/1072617956.db2.gz AOXHGFDZVZIEPX-NVXWUHKLSA-N 0 0 447.579 -0.707 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000444379451 1072617980 /nfs/dbraw/zinc/61/79/80/1072617980.db2.gz AOXHGFDZVZIEPX-WBVHZDCISA-N 0 0 447.579 -0.707 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000444379493 1072617964 /nfs/dbraw/zinc/61/79/64/1072617964.db2.gz BLKOKRGSQMWXEG-NVXWUHKLSA-N 0 0 441.550 -0.247 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000444379494 1072617928 /nfs/dbraw/zinc/61/79/28/1072617928.db2.gz BLKOKRGSQMWXEG-WBVHZDCISA-N 0 0 441.550 -0.247 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(C[C@@H](C)O)CC2)c1 ZINC000444379853 1072617970 /nfs/dbraw/zinc/61/79/70/1072617970.db2.gz CLVMUXWQEZATDH-MRXNPFEDSA-N 0 0 440.566 -0.028 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)Cc2ccc(CC(=O)N3CCN(C[C@@H](C)O)CC3)cc2)CC1 ZINC000444379869 1072617859 /nfs/dbraw/zinc/61/78/59/1072617859.db2.gz CSCMXJKVPNVUSR-WOJBJXKFSA-N 0 0 446.592 -0.178 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(C[C@@H](C)O)CC3)nc2n(CC)c1=O ZINC000444380473 1073352041 /nfs/dbraw/zinc/35/20/41/1073352041.db2.gz DWWILCHDBUKZCJ-OAHLLOKOSA-N 0 0 432.525 0.482 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CC1 ZINC000444381316 1072618549 /nfs/dbraw/zinc/61/85/49/1072618549.db2.gz HQJZQIBPCOFBGP-MRXNPFEDSA-N 0 0 440.566 -0.088 20 0 IBADRN COc1ccc(C(=O)N2CCN(C[C@@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000444383047 1072621541 /nfs/dbraw/zinc/62/15/41/1072621541.db2.gz QCUGYQANNAIJBB-OAHLLOKOSA-N 0 0 427.523 -0.145 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000444384413 1072621638 /nfs/dbraw/zinc/62/16/38/1072621638.db2.gz MHLOUFXRLCFFKT-KMFMINBZSA-N 0 0 431.942 -0.011 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000444384415 1072621600 /nfs/dbraw/zinc/62/16/00/1072621600.db2.gz MHLOUFXRLCFFKT-UNEWFSDZSA-N 0 0 431.942 -0.011 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)CCSCCC(=O)N2CCN(C[C@@H](C)O)CC2)CC1 ZINC000444385669 1072621613 /nfs/dbraw/zinc/62/16/13/1072621613.db2.gz WPRFOWUKOGGYOO-QZTJIDSGSA-N 0 0 430.615 -0.450 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN(C[C@@H](C)O)CC3)cc2)CC1 ZINC000444386275 1072619205 /nfs/dbraw/zinc/61/92/05/1072619205.db2.gz ZGTJMFNCONMCCE-MRXNPFEDSA-N 0 0 438.550 -0.322 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN(C[C@@H](C)O)CC3)c2)CC1 ZINC000444386635 1072619120 /nfs/dbraw/zinc/61/91/20/1072619120.db2.gz SJIKSFBWNKHATH-MRXNPFEDSA-N 0 0 438.550 -0.322 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C[C@@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000444387270 1072619903 /nfs/dbraw/zinc/61/99/03/1072619903.db2.gz HAYYWBNNFWMIEE-MRXNPFEDSA-N 0 0 441.550 -0.216 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C[C@@H](C)O)CC2)cc1C ZINC000444387545 1072619100 /nfs/dbraw/zinc/61/91/00/1072619100.db2.gz WQMKGZIJKYBFPU-AOIWGVFYSA-N 0 0 425.551 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C[C@@H](C)O)CC2)cc1C ZINC000444387546 1072619313 /nfs/dbraw/zinc/61/93/13/1072619313.db2.gz WQMKGZIJKYBFPU-LMMKCTJWSA-N 0 0 425.551 -0.048 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000444388955 1072619755 /nfs/dbraw/zinc/61/97/55/1072619755.db2.gz NABLMZKVZYKWBQ-OAHLLOKOSA-N 0 0 427.523 -0.145 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)CC2(C(=O)N3CCN(C[C@@H](C)O)CC3)CCOCC2)CC1 ZINC000444390708 1072619890 /nfs/dbraw/zinc/61/98/90/1072619890.db2.gz WNWFCOXBAPIYKM-RTBURBONSA-N 0 0 440.585 -0.777 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(Cc3nccn3C(F)F)CC2)CC1 ZINC000444397852 1072620444 /nfs/dbraw/zinc/62/04/44/1072620444.db2.gz COLAEYGGWLMLRY-UHFFFAOYSA-N 0 0 442.514 -0.782 20 0 IBADRN O=C(CN(CCO)CCN1CCOCC1)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000444398247 1071009008 /nfs/dbraw/zinc/00/90/08/1071009008.db2.gz FBCNLNCBRZJAMN-UHFFFAOYSA-N 0 0 434.537 -0.598 20 0 IBADRN O=C(CN(CCO)CCN1CCOCC1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000444399438 1071009497 /nfs/dbraw/zinc/00/94/97/1071009497.db2.gz NPSDLMJCJFDCMD-UHFFFAOYSA-N 0 0 440.566 -0.854 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(CCO)CCN1CCOCC1 ZINC000444400675 1071009357 /nfs/dbraw/zinc/00/93/57/1071009357.db2.gz SZRSFWJFGJFICT-HNNXBMFYSA-N 0 0 426.539 -0.762 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(CCO)CCN1CCOCC1 ZINC000444400676 1071009438 /nfs/dbraw/zinc/00/94/38/1071009438.db2.gz SZRSFWJFGJFICT-OAHLLOKOSA-N 0 0 426.539 -0.762 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)c2)CC1 ZINC000444400928 1072621003 /nfs/dbraw/zinc/62/10/03/1072621003.db2.gz AZULXBQZXLJULJ-UHFFFAOYSA-N 0 0 448.505 -0.301 20 0 IBADRN O=C(CN(CCO)CCN1CCOCC1)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000444401266 1071009554 /nfs/dbraw/zinc/00/95/54/1071009554.db2.gz WSYMOWRTBPVORQ-UHFFFAOYSA-N 0 0 432.565 -0.108 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)c2)CC1 ZINC000444401636 1072620973 /nfs/dbraw/zinc/62/09/73/1072620973.db2.gz ADWSXXZBLFHVMQ-UHFFFAOYSA-N 0 0 449.489 -0.036 20 0 IBADRN O=C(CN(CCO)CCN1CCOCC1)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000444402033 1071009862 /nfs/dbraw/zinc/00/98/62/1071009862.db2.gz YJXNKPACFKRFCP-KRWDZBQOSA-N 0 0 445.607 -0.110 20 0 IBADRN O=C(CN(CCO)CCN1CCOCC1)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000444402037 1071010004 /nfs/dbraw/zinc/01/00/04/1071010004.db2.gz YJXNKPACFKRFCP-QGZVFWFLSA-N 0 0 445.607 -0.110 20 0 IBADRN O=C(CN(CCO)CCN1CCOCC1)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000444402971 1071009882 /nfs/dbraw/zinc/00/98/82/1071009882.db2.gz VYSIUTMONGFQBH-IBGZPJMESA-N 0 0 431.599 -0.039 20 0 IBADRN O=C(CN(CCO)CCN1CCOCC1)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000444402972 1071010060 /nfs/dbraw/zinc/01/00/60/1071010060.db2.gz VYSIUTMONGFQBH-LJQANCHMSA-N 0 0 431.599 -0.039 20 0 IBADRN CCc1nc(S(=O)(=O)CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n[nH]1 ZINC000444403484 1072622101 /nfs/dbraw/zinc/62/21/01/1072622101.db2.gz NNNRCBLPGVHBMW-UHFFFAOYSA-N 0 0 443.507 -0.200 20 0 IBADRN Cn1cc(C(=O)NC[C@@H](NC(=O)c2cn(C)c(=O)[nH]c2=O)C2CCCC2)c(=O)[nH]c1=O ZINC000444404081 1072621959 /nfs/dbraw/zinc/62/19/59/1072621959.db2.gz KSQRLRUYDXFAIF-CYBMUJFWSA-N 0 0 432.437 -0.996 20 0 IBADRN Cn1cc(C(=O)NC[C@H](NC(=O)c2cn(C)c(=O)[nH]c2=O)C2CCCC2)c(=O)[nH]c1=O ZINC000444404082 1072622165 /nfs/dbraw/zinc/62/21/65/1072622165.db2.gz KSQRLRUYDXFAIF-ZDUSSCGKSA-N 0 0 432.437 -0.996 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)N[C@H](CNC(=O)[C@@H](O)Cc2cnn(C)c2)C2CCCC2)cn1 ZINC000444404231 1072622228 /nfs/dbraw/zinc/62/22/28/1072622228.db2.gz LDWFEFYRWMDDID-CEXWTWQISA-N 0 0 432.525 -0.548 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)NC[C@H](NC(=O)[C@@H](O)Cc2cnn(C)c2)C2CCCC2)cn1 ZINC000444404232 1072622282 /nfs/dbraw/zinc/62/22/82/1072622282.db2.gz LDWFEFYRWMDDID-FHWLQOOXSA-N 0 0 432.525 -0.548 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)N[C@@H](CNC(=O)[C@@H](O)Cc2cnn(C)c2)C2CCCC2)cn1 ZINC000444404233 1072622263 /nfs/dbraw/zinc/62/22/63/1072622263.db2.gz LDWFEFYRWMDDID-GBESFXJTSA-N 0 0 432.525 -0.548 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)NC[C@@H](NC(=O)[C@@H](O)Cc2cnn(C)c2)C2CCCC2)cn1 ZINC000444404234 1072622078 /nfs/dbraw/zinc/62/20/78/1072622078.db2.gz LDWFEFYRWMDDID-QYZOEREBSA-N 0 0 432.525 -0.548 20 0 IBADRN COCCCn1cnnc1S(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000444406746 1072622719 /nfs/dbraw/zinc/62/27/19/1072622719.db2.gz JCAYSQKAEGCPSC-UHFFFAOYSA-N 0 0 445.523 -0.023 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@H](NC(=O)CCN1C(=O)CCC1=O)C1CCCC1 ZINC000444406922 1072622653 /nfs/dbraw/zinc/62/26/53/1072622653.db2.gz ZTYGSUIAHPGZKJ-HNNXBMFYSA-N 0 0 434.493 -0.144 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@@H](NC(=O)CCN1C(=O)CCC1=O)C1CCCC1 ZINC000444406923 1072622698 /nfs/dbraw/zinc/62/26/98/1072622698.db2.gz ZTYGSUIAHPGZKJ-OAHLLOKOSA-N 0 0 434.493 -0.144 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCC2CCN(CC(F)(F)F)CC2)c[nH]1 ZINC000444407390 1072622709 /nfs/dbraw/zinc/62/27/09/1072622709.db2.gz DCOBWIPIFFTEMN-UHFFFAOYSA-N 0 0 425.433 -0.218 20 0 IBADRN CC(C)S(=O)(=O)N1CCC(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000444411283 1072623316 /nfs/dbraw/zinc/62/33/16/1072623316.db2.gz LRLBUZDBIGJZRY-UHFFFAOYSA-N 0 0 425.511 -0.643 20 0 IBADRN C[C@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000444412096 1072623367 /nfs/dbraw/zinc/62/33/67/1072623367.db2.gz PABTZEOTKNRJJV-INIZCTEOSA-N 0 0 444.492 0.120 20 0 IBADRN C[C@@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000444412099 1072623376 /nfs/dbraw/zinc/62/33/76/1072623376.db2.gz PABTZEOTKNRJJV-MRXNPFEDSA-N 0 0 444.492 0.120 20 0 IBADRN CCCN(C(=O)[C@@H](C)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H]1CCS(=O)(=O)C1 ZINC000444415133 1072623351 /nfs/dbraw/zinc/62/33/51/1072623351.db2.gz RYEDVHSKKIUGQW-CVEARBPZSA-N 0 0 441.554 -0.032 20 0 IBADRN CCCN(C(=O)[C@H](C)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H]1CCS(=O)(=O)C1 ZINC000444415134 1072623383 /nfs/dbraw/zinc/62/33/83/1072623383.db2.gz RYEDVHSKKIUGQW-HOTGVXAUSA-N 0 0 441.554 -0.032 20 0 IBADRN CCCN(C(=O)[C@@H](C)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000444415135 1073331131 /nfs/dbraw/zinc/33/11/31/1073331131.db2.gz RYEDVHSKKIUGQW-HZPDHXFCSA-N 0 0 441.554 -0.032 20 0 IBADRN CCCN(C(=O)[C@H](C)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000444415136 1073331428 /nfs/dbraw/zinc/33/14/28/1073331428.db2.gz RYEDVHSKKIUGQW-JKSUJKDBSA-N 0 0 441.554 -0.032 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)c2)CC1 ZINC000444415569 1072623134 /nfs/dbraw/zinc/62/31/34/1072623134.db2.gz KDZVQGYXIMAWRX-UHFFFAOYSA-N 0 0 437.566 -0.134 20 0 IBADRN C[C@@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000444417235 1072621649 /nfs/dbraw/zinc/62/16/49/1072621649.db2.gz ZPUHSWMKIFYJKF-CVEARBPZSA-N 0 0 429.477 0.499 20 0 IBADRN C[C@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000444417238 1072621655 /nfs/dbraw/zinc/62/16/55/1072621655.db2.gz ZPUHSWMKIFYJKF-HOTGVXAUSA-N 0 0 429.477 0.499 20 0 IBADRN C[C@@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000444417241 1072621577 /nfs/dbraw/zinc/62/15/77/1072621577.db2.gz ZPUHSWMKIFYJKF-HZPDHXFCSA-N 0 0 429.477 0.499 20 0 IBADRN C[C@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000444417243 1072621570 /nfs/dbraw/zinc/62/15/70/1072621570.db2.gz ZPUHSWMKIFYJKF-JKSUJKDBSA-N 0 0 429.477 0.499 20 0 IBADRN C[C@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000444417806 1072621478 /nfs/dbraw/zinc/62/14/78/1072621478.db2.gz RFJYLYPKQCFUEO-INIZCTEOSA-N 0 0 428.497 -0.319 20 0 IBADRN C[C@@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000444417819 1072621555 /nfs/dbraw/zinc/62/15/55/1072621555.db2.gz RFJYLYPKQCFUEO-MRXNPFEDSA-N 0 0 428.497 -0.319 20 0 IBADRN C[C@@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000444418114 1072621628 /nfs/dbraw/zinc/62/16/28/1072621628.db2.gz SLUVYDBBBVMKIF-CYBMUJFWSA-N 0 0 436.494 -0.172 20 0 IBADRN C[C@H](Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000444418115 1072621645 /nfs/dbraw/zinc/62/16/45/1072621645.db2.gz SLUVYDBBBVMKIF-ZDUSSCGKSA-N 0 0 436.494 -0.172 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@H](CO)Cc2cc(F)c(F)c(F)c2)c[nH]1 ZINC000444426208 1072623802 /nfs/dbraw/zinc/62/38/02/1072623802.db2.gz PHVYWVNAIYTUEM-JTQLQIEISA-N 0 0 448.423 -0.223 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@H](CO)Cc2cc(F)c(F)c(F)c2)c[nH]1 ZINC000444426209 1072623826 /nfs/dbraw/zinc/62/38/26/1072623826.db2.gz PHVYWVNAIYTUEM-SNVBAGLBSA-N 0 0 448.423 -0.223 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1cccc(C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)c1 ZINC000444429995 1072626774 /nfs/dbraw/zinc/62/67/74/1072626774.db2.gz DRTCKKNWMGBNLQ-BDXSIMOUSA-N 0 0 448.472 -0.036 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1cccc(C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)c1 ZINC000444429996 1072626904 /nfs/dbraw/zinc/62/69/04/1072626904.db2.gz DRTCKKNWMGBNLQ-FZDBZEDMSA-N 0 0 448.472 -0.036 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1cccc(C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)c1 ZINC000444429997 1072627029 /nfs/dbraw/zinc/62/70/29/1072627029.db2.gz DRTCKKNWMGBNLQ-MHORFTMASA-N 0 0 448.472 -0.036 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1cccc(C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)c1 ZINC000444429998 1072626914 /nfs/dbraw/zinc/62/69/14/1072626914.db2.gz DRTCKKNWMGBNLQ-TVFCKZIOSA-N 0 0 448.472 -0.036 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000444430323 1072628048 /nfs/dbraw/zinc/62/80/48/1072628048.db2.gz AMRRFZCCNIVGAF-GDBMZVCRSA-N 0 0 428.463 -0.334 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000444430324 1072628105 /nfs/dbraw/zinc/62/81/05/1072628105.db2.gz AMRRFZCCNIVGAF-GOEBONIOSA-N 0 0 428.463 -0.334 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000444430325 1072628183 /nfs/dbraw/zinc/62/81/83/1072628183.db2.gz AMRRFZCCNIVGAF-HOCLYGCPSA-N 0 0 428.463 -0.334 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000444430326 1072628236 /nfs/dbraw/zinc/62/82/36/1072628236.db2.gz AMRRFZCCNIVGAF-ZBFHGGJFSA-N 0 0 428.463 -0.334 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000444432123 1072628496 /nfs/dbraw/zinc/62/84/96/1072628496.db2.gz FKFXOMDDAZGCMA-DOTOQJQBSA-N 0 0 427.479 -0.210 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000444432124 1072628549 /nfs/dbraw/zinc/62/85/49/1072628549.db2.gz FKFXOMDDAZGCMA-NVXWUHKLSA-N 0 0 427.479 -0.210 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000444432125 1072628538 /nfs/dbraw/zinc/62/85/38/1072628538.db2.gz FKFXOMDDAZGCMA-RDJZCZTQSA-N 0 0 427.479 -0.210 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000444432126 1072628530 /nfs/dbraw/zinc/62/85/30/1072628530.db2.gz FKFXOMDDAZGCMA-WBVHZDCISA-N 0 0 427.479 -0.210 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1ccc(C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)o1 ZINC000444432127 1072628450 /nfs/dbraw/zinc/62/84/50/1072628450.db2.gz FKHOJYCTSFVFKU-BHTHQVBYSA-N 0 0 438.433 -0.443 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1ccc(C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)o1 ZINC000444432131 1072628479 /nfs/dbraw/zinc/62/84/79/1072628479.db2.gz FKHOJYCTSFVFKU-CRJCFHLZSA-N 0 0 438.433 -0.443 20 0 IBADRN COCc1noc(CN2CCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000444433051 1072629701 /nfs/dbraw/zinc/62/97/01/1072629701.db2.gz GLTWQPXRFVGZCH-UHFFFAOYSA-N 0 0 443.464 -0.572 20 0 IBADRN COCc1noc(CN2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000444433507 1072629059 /nfs/dbraw/zinc/62/90/59/1072629059.db2.gz BQYLLLKYVROJQE-UHFFFAOYSA-N 0 0 429.437 -0.880 20 0 IBADRN COCc1noc(CN2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)n1 ZINC000444433708 1072629051 /nfs/dbraw/zinc/62/90/51/1072629051.db2.gz HFOVLHVZHTUTJF-UHFFFAOYSA-N 0 0 429.437 -0.880 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)c1 ZINC000444433774 1072629203 /nfs/dbraw/zinc/62/92/03/1072629203.db2.gz HLQMMUOFFARXBQ-PBHICJAKSA-N 0 0 429.495 -0.596 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)c1 ZINC000444433775 1072629258 /nfs/dbraw/zinc/62/92/58/1072629258.db2.gz HLQMMUOFFARXBQ-RHSMWYFYSA-N 0 0 429.495 -0.596 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)c1 ZINC000444433777 1072629116 /nfs/dbraw/zinc/62/91/16/1072629116.db2.gz HLQMMUOFFARXBQ-WMLDXEAASA-N 0 0 429.495 -0.596 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)c1 ZINC000444433778 1072629235 /nfs/dbraw/zinc/62/92/35/1072629235.db2.gz HLQMMUOFFARXBQ-YOEHRIQHSA-N 0 0 429.495 -0.596 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000444435681 1072629681 /nfs/dbraw/zinc/62/96/81/1072629681.db2.gz KNRKDFADHWHNLS-ILXRZTDVSA-N 0 0 428.511 -0.048 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000444435682 1072629789 /nfs/dbraw/zinc/62/97/89/1072629789.db2.gz KNRKDFADHWHNLS-KFWWJZLASA-N 0 0 428.511 -0.048 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000444435683 1072629784 /nfs/dbraw/zinc/62/97/84/1072629784.db2.gz KNRKDFADHWHNLS-KKUMJFAQSA-N 0 0 428.511 -0.048 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000444435684 1072630153 /nfs/dbraw/zinc/63/01/53/1072630153.db2.gz KNRKDFADHWHNLS-ZNMIVQPWSA-N 0 0 428.511 -0.048 20 0 IBADRN Cc1ccc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1S(C)(=O)=O ZINC000444436846 1072630682 /nfs/dbraw/zinc/63/06/82/1072630682.db2.gz ZDEBSOAKJOKXGZ-UHFFFAOYSA-N 0 0 433.552 -0.151 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000444437332 1072630751 /nfs/dbraw/zinc/63/07/51/1072630751.db2.gz OLIPBBCLYPJMPN-PBHICJAKSA-N 0 0 442.490 -0.145 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000444437333 1072630824 /nfs/dbraw/zinc/63/08/24/1072630824.db2.gz OLIPBBCLYPJMPN-RHSMWYFYSA-N 0 0 442.490 -0.145 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000444437334 1072630632 /nfs/dbraw/zinc/63/06/32/1072630632.db2.gz OLIPBBCLYPJMPN-WMLDXEAASA-N 0 0 442.490 -0.145 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000444437335 1072630662 /nfs/dbraw/zinc/63/06/62/1072630662.db2.gz OLIPBBCLYPJMPN-YOEHRIQHSA-N 0 0 442.490 -0.145 20 0 IBADRN Cc1ccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1S(C)(=O)=O ZINC000444437741 1072630691 /nfs/dbraw/zinc/63/06/91/1072630691.db2.gz MYWZZFNDMZJPMF-UHFFFAOYSA-N 0 0 429.476 -0.315 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000444439822 1072630790 /nfs/dbraw/zinc/63/07/90/1072630790.db2.gz WNBSWTVQXRHPQE-BBRMVZONSA-N 0 0 432.520 -0.164 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000444439824 1072630784 /nfs/dbraw/zinc/63/07/84/1072630784.db2.gz WNBSWTVQXRHPQE-CJNGLKHVSA-N 0 0 432.520 -0.164 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000444439825 1072630776 /nfs/dbraw/zinc/63/07/76/1072630776.db2.gz WNBSWTVQXRHPQE-CZUORRHYSA-N 0 0 432.520 -0.164 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000444439827 1072631284 /nfs/dbraw/zinc/63/12/84/1072631284.db2.gz WNBSWTVQXRHPQE-XJKSGUPXSA-N 0 0 432.520 -0.164 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CCCCCC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444439977 1072630138 /nfs/dbraw/zinc/63/01/38/1072630138.db2.gz WYSAKZDCTGTHJF-DJDHSFSDSA-N 0 0 442.509 -0.013 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CCCCCC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444439979 1072630172 /nfs/dbraw/zinc/63/01/72/1072630172.db2.gz WYSAKZDCTGTHJF-LTDCPUDJSA-N 0 0 442.509 -0.013 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CCCCCC(=O)N1CCC[C@H](O)[C@H]1C(=O)OC ZINC000444439980 1072630202 /nfs/dbraw/zinc/63/02/02/1072630202.db2.gz WYSAKZDCTGTHJF-QXGSTGNESA-N 0 0 442.509 -0.013 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CCCCCC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444439981 1072630167 /nfs/dbraw/zinc/63/01/67/1072630167.db2.gz WYSAKZDCTGTHJF-RGCFKVTRSA-N 0 0 442.509 -0.013 20 0 IBADRN COCc1noc(CN2CCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)CC2)n1 ZINC000444440104 1072630146 /nfs/dbraw/zinc/63/01/46/1072630146.db2.gz XAFGUJDRBVKYIV-UHFFFAOYSA-N 0 0 443.464 -0.572 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C[C@@H](C)CCC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444440142 1072630209 /nfs/dbraw/zinc/63/02/09/1072630209.db2.gz XIKSKVRZBXQARA-DPMWRWFOSA-N 0 0 442.509 -0.157 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C[C@@H](C)CCC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444440143 1072630177 /nfs/dbraw/zinc/63/01/77/1072630177.db2.gz XIKSKVRZBXQARA-GLIGQWPOSA-N 0 0 442.509 -0.157 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CC[C@H](C)CC(=O)N1CCC[C@H](O)[C@H]1C(=O)OC ZINC000444440144 1072630228 /nfs/dbraw/zinc/63/02/28/1072630228.db2.gz XIKSKVRZBXQARA-HZMZCJTDSA-N 0 0 442.509 -0.157 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CC[C@H](C)CC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444440145 1072630039 /nfs/dbraw/zinc/63/00/39/1072630039.db2.gz XIKSKVRZBXQARA-KPHNSTPASA-N 0 0 442.509 -0.157 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000444440223 1072630193 /nfs/dbraw/zinc/63/01/93/1072630193.db2.gz RMNABJAESKGSLA-HKUYNNGSSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000444440224 1072630185 /nfs/dbraw/zinc/63/01/85/1072630185.db2.gz RMNABJAESKGSLA-IEBWSBKVSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000444440225 1072630064 /nfs/dbraw/zinc/63/00/64/1072630064.db2.gz RMNABJAESKGSLA-MJGOQNOKSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000444440226 1072630213 /nfs/dbraw/zinc/63/02/13/1072630213.db2.gz RMNABJAESKGSLA-PKOBYXMFSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000444440340 1072630221 /nfs/dbraw/zinc/63/02/21/1072630221.db2.gz YIHVCTUGDFNENL-GDBMZVCRSA-N 0 0 432.520 -0.029 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000444440341 1072630077 /nfs/dbraw/zinc/63/00/77/1072630077.db2.gz YIHVCTUGDFNENL-GOEBONIOSA-N 0 0 432.520 -0.029 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000444440342 1072630132 /nfs/dbraw/zinc/63/01/32/1072630132.db2.gz YIHVCTUGDFNENL-HOCLYGCPSA-N 0 0 432.520 -0.029 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000444440343 1072630159 /nfs/dbraw/zinc/63/01/59/1072630159.db2.gz YIHVCTUGDFNENL-ZBFHGGJFSA-N 0 0 432.520 -0.029 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H](CO)Cc3cc(F)c(F)c(F)c3)c2c(=O)n(C)c1=O ZINC000444441162 1072630743 /nfs/dbraw/zinc/63/07/43/1072630743.db2.gz LDJWTHIDRIXLMV-LLVKDONJSA-N 0 0 439.394 -0.182 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H](CO)Cc3cc(F)c(F)c(F)c3)c2c(=O)n(C)c1=O ZINC000444441166 1072630719 /nfs/dbraw/zinc/63/07/19/1072630719.db2.gz LDJWTHIDRIXLMV-NSHDSACASA-N 0 0 439.394 -0.182 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CCCCC(=O)N1CCC[C@H](O)[C@H]1C(=O)OC ZINC000444441285 1072630670 /nfs/dbraw/zinc/63/06/70/1072630670.db2.gz FPQLHJMQEZNRPE-DACLVMHWSA-N 0 0 428.482 -0.403 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CCCCC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444441286 1072630760 /nfs/dbraw/zinc/63/07/60/1072630760.db2.gz FPQLHJMQEZNRPE-DTDBQYNISA-N 0 0 428.482 -0.403 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CCCCC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444441287 1072630730 /nfs/dbraw/zinc/63/07/30/1072630730.db2.gz FPQLHJMQEZNRPE-KNCCTNLNSA-N 0 0 428.482 -0.403 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CCCCC(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000444441289 1072630772 /nfs/dbraw/zinc/63/07/72/1072630772.db2.gz FPQLHJMQEZNRPE-PURYLZLUSA-N 0 0 428.482 -0.403 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000444441977 1072630831 /nfs/dbraw/zinc/63/08/31/1072630831.db2.gz VLYFBCPSSHJFSK-CXAGYDPISA-N 0 0 430.479 -0.103 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000444441981 1072630647 /nfs/dbraw/zinc/63/06/47/1072630647.db2.gz VLYFBCPSSHJFSK-DYVFJYSZSA-N 0 0 430.479 -0.103 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000444441982 1072630762 /nfs/dbraw/zinc/63/07/62/1072630762.db2.gz VLYFBCPSSHJFSK-GUYCJALGSA-N 0 0 430.479 -0.103 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000444441983 1072630738 /nfs/dbraw/zinc/63/07/38/1072630738.db2.gz VLYFBCPSSHJFSK-SUMWQHHRSA-N 0 0 430.479 -0.103 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000444442925 1072631288 /nfs/dbraw/zinc/63/12/88/1072631288.db2.gz MFTBIKFJNBNOJZ-GDBMZVCRSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000444442926 1072631162 /nfs/dbraw/zinc/63/11/62/1072631162.db2.gz MFTBIKFJNBNOJZ-GOEBONIOSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000444442930 1072631226 /nfs/dbraw/zinc/63/12/26/1072631226.db2.gz MFTBIKFJNBNOJZ-HOCLYGCPSA-N 0 0 427.479 -0.808 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000444442931 1072631265 /nfs/dbraw/zinc/63/12/65/1072631265.db2.gz MFTBIKFJNBNOJZ-ZBFHGGJFSA-N 0 0 427.479 -0.808 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H](CO)Cc1cc(F)c(F)c(F)c1)c(=O)n2C ZINC000444445485 1072631270 /nfs/dbraw/zinc/63/12/70/1072631270.db2.gz RUCJZNYQNTVGIA-LLVKDONJSA-N 0 0 439.394 -0.182 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H](CO)Cc1cc(F)c(F)c(F)c1)c(=O)n2C ZINC000444445494 1072631240 /nfs/dbraw/zinc/63/12/40/1072631240.db2.gz RUCJZNYQNTVGIA-NSHDSACASA-N 0 0 439.394 -0.182 20 0 IBADRN COc1cc(C[C@H](CO)CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc(OC)c1 ZINC000444447313 1072631756 /nfs/dbraw/zinc/63/17/56/1072631756.db2.gz VGGHHRUHJZSTSE-AWEZNQCLSA-N 0 0 445.476 -0.582 20 0 IBADRN COc1cc(C[C@@H](CO)CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc(OC)c1 ZINC000444447314 1072631891 /nfs/dbraw/zinc/63/18/91/1072631891.db2.gz VGGHHRUHJZSTSE-CQSZACIVSA-N 0 0 445.476 -0.582 20 0 IBADRN COc1cc(C[C@H](CO)CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(OC)c1 ZINC000444448406 1072632491 /nfs/dbraw/zinc/63/24/91/1072632491.db2.gz WHYUSASENLNUSY-AWEZNQCLSA-N 0 0 445.476 -0.582 20 0 IBADRN COc1cc(C[C@@H](CO)CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(OC)c1 ZINC000444448407 1072632317 /nfs/dbraw/zinc/63/23/17/1072632317.db2.gz WHYUSASENLNUSY-CQSZACIVSA-N 0 0 445.476 -0.582 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(Cc2cccc(O)c2)CC1 ZINC000444453783 1072633610 /nfs/dbraw/zinc/63/36/10/1072633610.db2.gz KFGJNAZMIVHPSD-UHFFFAOYSA-N 0 0 426.539 -0.130 20 0 IBADRN COc1cc(C[C@H](CO)CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc(OC)c1 ZINC000444454212 1072633742 /nfs/dbraw/zinc/63/37/42/1072633742.db2.gz FRYIDYGCIGTAOS-HNNXBMFYSA-N 0 0 440.522 -0.009 20 0 IBADRN COc1cc(C[C@@H](CO)CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc(OC)c1 ZINC000444454213 1072633803 /nfs/dbraw/zinc/63/38/03/1072633803.db2.gz FRYIDYGCIGTAOS-OAHLLOKOSA-N 0 0 440.522 -0.009 20 0 IBADRN COc1cc(C[C@H](CO)CNC(=O)CNS(=O)(=O)c2cnn(C)c2)cc(OC)c1 ZINC000444454796 1072633629 /nfs/dbraw/zinc/63/36/29/1072633629.db2.gz GUJVTZCJQXDOPN-AWEZNQCLSA-N 0 0 426.495 -0.317 20 0 IBADRN COc1cc(C[C@@H](CO)CNC(=O)CNS(=O)(=O)c2cnn(C)c2)cc(OC)c1 ZINC000444454798 1072633518 /nfs/dbraw/zinc/63/35/18/1072633518.db2.gz GUJVTZCJQXDOPN-CQSZACIVSA-N 0 0 426.495 -0.317 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000444469164 1072634238 /nfs/dbraw/zinc/63/42/38/1072634238.db2.gz DWSVUFRNRFTILG-CABCVRRESA-N 0 0 428.511 -0.048 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000444469165 1072634182 /nfs/dbraw/zinc/63/41/82/1072634182.db2.gz DWSVUFRNRFTILG-GJZGRUSLSA-N 0 0 428.511 -0.048 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000444469166 1072634196 /nfs/dbraw/zinc/63/41/96/1072634196.db2.gz DWSVUFRNRFTILG-HUUCEWRRSA-N 0 0 428.511 -0.048 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000444469167 1072634247 /nfs/dbraw/zinc/63/42/47/1072634247.db2.gz DWSVUFRNRFTILG-LSDHHAIUSA-N 0 0 428.511 -0.048 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000444472352 1072634227 /nfs/dbraw/zinc/63/42/27/1072634227.db2.gz GVSWONPDVXMGCA-HKUYNNGSSA-N 0 0 439.534 -0.028 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000444472353 1072634190 /nfs/dbraw/zinc/63/41/90/1072634190.db2.gz GVSWONPDVXMGCA-IEBWSBKVSA-N 0 0 439.534 -0.028 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000444472354 1072634243 /nfs/dbraw/zinc/63/42/43/1072634243.db2.gz GVSWONPDVXMGCA-MJGOQNOKSA-N 0 0 439.534 -0.028 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000444472355 1072634179 /nfs/dbraw/zinc/63/41/79/1072634179.db2.gz GVSWONPDVXMGCA-PKOBYXMFSA-N 0 0 439.534 -0.028 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)[C@H](C)O)cc1 ZINC000444473674 1072634230 /nfs/dbraw/zinc/63/42/30/1072634230.db2.gz BWQQMPKUTKHZOX-CBVHJVASSA-N 0 0 436.534 -0.009 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)[C@H](C)O)cc1 ZINC000444473679 1072634218 /nfs/dbraw/zinc/63/42/18/1072634218.db2.gz BWQQMPKUTKHZOX-OYSPMZIOSA-N 0 0 436.534 -0.009 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)[C@@H](C)O)cc1 ZINC000444473681 1072634235 /nfs/dbraw/zinc/63/42/35/1072634235.db2.gz BWQQMPKUTKHZOX-TWKWOARYSA-N 0 0 436.534 -0.009 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)[C@@H](C)O)cc1 ZINC000444473684 1072634193 /nfs/dbraw/zinc/63/41/93/1072634193.db2.gz BWQQMPKUTKHZOX-XIVBQZFQSA-N 0 0 436.534 -0.009 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000444486022 1072634796 /nfs/dbraw/zinc/63/47/96/1072634796.db2.gz QFZBDABMWOMRCN-IUIKQTSFSA-N 0 0 427.479 -0.163 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000444486023 1072634689 /nfs/dbraw/zinc/63/46/89/1072634689.db2.gz QFZBDABMWOMRCN-KNXALSJPSA-N 0 0 427.479 -0.163 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000444486024 1072634794 /nfs/dbraw/zinc/63/47/94/1072634794.db2.gz QFZBDABMWOMRCN-UVBJJODRSA-N 0 0 427.479 -0.163 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000444486025 1072634742 /nfs/dbraw/zinc/63/47/42/1072634742.db2.gz QFZBDABMWOMRCN-XZJROXQQSA-N 0 0 427.479 -0.163 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@]2(O)CCC[C@H](C(F)(F)F)C2)c[nH]1 ZINC000444489301 1072635311 /nfs/dbraw/zinc/63/53/11/1072635311.db2.gz VBDYKRPUBTYUES-LKFCYVNXSA-N 0 0 426.417 -0.008 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@]2(O)CCC[C@@H](C(F)(F)F)C2)c[nH]1 ZINC000444489302 1072635233 /nfs/dbraw/zinc/63/52/33/1072635233.db2.gz VBDYKRPUBTYUES-OTYXRUKQSA-N 0 0 426.417 -0.008 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@]2(O)CCC[C@H](C(F)(F)F)C2)c[nH]1 ZINC000444489303 1072635328 /nfs/dbraw/zinc/63/53/28/1072635328.db2.gz VBDYKRPUBTYUES-XPTSAGLGSA-N 0 0 426.417 -0.008 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@]2(O)CCC[C@@H](C(F)(F)F)C2)c[nH]1 ZINC000444489304 1072635419 /nfs/dbraw/zinc/63/54/19/1072635419.db2.gz VBDYKRPUBTYUES-YMTOWFKASA-N 0 0 426.417 -0.008 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000444491475 1072635756 /nfs/dbraw/zinc/63/57/56/1072635756.db2.gz VQVWGGMNHMSUCA-DOMZBBRYSA-N 0 0 433.508 -0.813 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000444491476 1072635787 /nfs/dbraw/zinc/63/57/87/1072635787.db2.gz VQVWGGMNHMSUCA-IUODEOHRSA-N 0 0 433.508 -0.813 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000444491477 1072635881 /nfs/dbraw/zinc/63/58/81/1072635881.db2.gz VQVWGGMNHMSUCA-SWLSCSKDSA-N 0 0 433.508 -0.813 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000444491478 1072635823 /nfs/dbraw/zinc/63/58/23/1072635823.db2.gz VQVWGGMNHMSUCA-WFASDCNBSA-N 0 0 433.508 -0.813 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C[C@H]2CO)cn1 ZINC000444493391 1072635777 /nfs/dbraw/zinc/63/57/77/1072635777.db2.gz FDHWNUMCPODYRM-XUWXXGDYSA-N 0 0 447.517 -0.345 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C[C@H]2CO)cn1 ZINC000444493397 1072635734 /nfs/dbraw/zinc/63/57/34/1072635734.db2.gz FDHWNUMCPODYRM-YEWWUXTCSA-N 0 0 447.517 -0.345 20 0 IBADRN CCc1c(C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000444494104 1072635797 /nfs/dbraw/zinc/63/57/97/1072635797.db2.gz GZSYTXRGBPOMPZ-BBRMVZONSA-N 0 0 426.477 -0.224 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)CCC(=O)Nc3ccc4c(n3)n(C)c(=O)n4C)C[C@H]2CO)cn1 ZINC000444495243 1073354794 /nfs/dbraw/zinc/35/47/94/1073354794.db2.gz REDVBAVMEPCHFP-GJZGRUSLSA-N 0 0 441.492 -0.041 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000444495637 1072636360 /nfs/dbraw/zinc/63/63/60/1072636360.db2.gz XOEPXCTYIVNHHV-PEYYIBSZSA-N 0 0 425.463 -0.788 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000444495638 1072636436 /nfs/dbraw/zinc/63/64/36/1072636436.db2.gz XOEPXCTYIVNHHV-PJODQICGSA-N 0 0 425.463 -0.788 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000444495639 1072636423 /nfs/dbraw/zinc/63/64/23/1072636423.db2.gz XOEPXCTYIVNHHV-WPGHFRTFSA-N 0 0 425.463 -0.788 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000444495640 1072636429 /nfs/dbraw/zinc/63/64/29/1072636429.db2.gz XOEPXCTYIVNHHV-XFJVYGCCSA-N 0 0 425.463 -0.788 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1 ZINC000444495853 1072636448 /nfs/dbraw/zinc/63/64/48/1072636448.db2.gz NCPGAVHNZQEVQD-WMZOPIPTSA-N 0 0 449.533 -0.365 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC000444496119 1072636485 /nfs/dbraw/zinc/63/64/85/1072636485.db2.gz IFZNNRHROYWRCT-UHFFFAOYSA-N 0 0 440.482 -0.394 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)c(F)c3)CC2)n1 ZINC000444499646 1072636404 /nfs/dbraw/zinc/63/64/04/1072636404.db2.gz SFVZPFQNMFHZPF-UHFFFAOYSA-N 0 0 448.430 0.173 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)F)n2)CC1 ZINC000444499730 1073352468 /nfs/dbraw/zinc/35/24/68/1073352468.db2.gz SSRUCCRUPIXHAW-UHFFFAOYSA-N 0 0 446.436 0.225 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)N2CCOCC2)cc1 ZINC000444499835 1073341983 /nfs/dbraw/zinc/34/19/83/1073341983.db2.gz UEUYJYOJBWIXCM-GOSISDBHSA-N 0 0 442.520 0.408 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)N2CCOCC2)cc1 ZINC000444499838 1073342706 /nfs/dbraw/zinc/34/27/06/1073342706.db2.gz UEUYJYOJBWIXCM-SFHVURJKSA-N 0 0 442.520 0.408 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)n1 ZINC000444500284 1072636495 /nfs/dbraw/zinc/63/64/95/1072636495.db2.gz ALGYBCYRXRVNSA-UHFFFAOYSA-N 0 0 442.520 0.319 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)n1 ZINC000444500492 1072636456 /nfs/dbraw/zinc/63/64/56/1072636456.db2.gz XRYVXNWARHSVCU-UHFFFAOYSA-N 0 0 441.535 0.499 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccn(CCOC)n3)CC2)nc1 ZINC000444500501 1072636307 /nfs/dbraw/zinc/63/63/07/1072636307.db2.gz XUXLIZCSBCKBNO-UHFFFAOYSA-N 0 0 430.465 0.389 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cc(OC)cc(OC)c3)CC2)n1 ZINC000444500749 1072636480 /nfs/dbraw/zinc/63/64/80/1072636480.db2.gz CPMROINCKINYSD-UHFFFAOYSA-N 0 0 445.476 0.470 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)n1 ZINC000444500933 1072636416 /nfs/dbraw/zinc/63/64/16/1072636416.db2.gz DDQDLRIFRBQMOS-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)n1 ZINC000444500965 1072636411 /nfs/dbraw/zinc/63/64/11/1072636411.db2.gz DJPBXEJQKREVLB-UHFFFAOYSA-N 0 0 435.506 0.310 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)n1 ZINC000444501376 1072636815 /nfs/dbraw/zinc/63/68/15/1072636815.db2.gz HVDINCNWVROIEM-HDICACEKSA-N 0 0 448.568 0.507 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)n1 ZINC000444501382 1072636983 /nfs/dbraw/zinc/63/69/83/1072636983.db2.gz HVDINCNWVROIEM-QZTJIDSGSA-N 0 0 448.568 0.507 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)n1 ZINC000444501385 1072636906 /nfs/dbraw/zinc/63/69/06/1072636906.db2.gz HVDINCNWVROIEM-ROUUACIJSA-N 0 0 448.568 0.507 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)cc1F ZINC000444501511 1073338919 /nfs/dbraw/zinc/33/89/19/1073338919.db2.gz ITYFBTVRQBNUAQ-UHFFFAOYSA-N 0 0 444.467 0.342 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCCN(C(=O)c3ccccc3)CC2)n1 ZINC000444501588 1072636997 /nfs/dbraw/zinc/63/69/97/1072636997.db2.gz JLRMAABUWHSVNE-UHFFFAOYSA-N 0 0 426.477 0.285 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3O)CC2)n1 ZINC000444501622 1072636930 /nfs/dbraw/zinc/63/69/30/1072636930.db2.gz JRDYTMNHBHOIPU-UHFFFAOYSA-N 0 0 428.449 -0.400 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCC(NC(=O)c3ccccc3)CC2)n1 ZINC000444501637 1072636953 /nfs/dbraw/zinc/63/69/53/1072636953.db2.gz JTNGIQLAPVVVDS-UHFFFAOYSA-N 0 0 426.477 0.331 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCS(=O)(=O)c2ccc(Cl)cc2)n1 ZINC000444501756 1073303383 /nfs/dbraw/zinc/30/33/83/1073303383.db2.gz KPGJQSNYWDONMX-UHFFFAOYSA-N 0 0 441.897 0.153 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)c1 ZINC000444501977 1072636924 /nfs/dbraw/zinc/63/69/24/1072636924.db2.gz MHLWKHJCFTXCNJ-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n1 ZINC000444502079 1072637572 /nfs/dbraw/zinc/63/75/72/1072637572.db2.gz MNUGWWKZIBRHFJ-UHFFFAOYSA-N 0 0 427.469 -0.116 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)cc1 ZINC000444502188 1072637487 /nfs/dbraw/zinc/63/74/87/1072637487.db2.gz NJRMYHRSIXVFMX-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN Cc1cccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)n1 ZINC000444502198 1072637565 /nfs/dbraw/zinc/63/75/65/1072637565.db2.gz NJZQMYRIZHDFAI-HNNXBMFYSA-N 0 0 441.492 0.491 20 0 IBADRN Cc1cccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)n1 ZINC000444502203 1072637537 /nfs/dbraw/zinc/63/75/37/1072637537.db2.gz NJZQMYRIZHDFAI-OAHLLOKOSA-N 0 0 441.492 0.491 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)C(=O)Nc1cnn(-c2ncccn2)c1 ZINC000444502232 1072637523 /nfs/dbraw/zinc/63/75/23/1072637523.db2.gz NNBGQFFQEUIPNZ-UHFFFAOYSA-N 0 0 429.462 -0.163 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000444502281 1072637435 /nfs/dbraw/zinc/63/74/35/1072637435.db2.gz NTEVSVIZWYAHDG-UHFFFAOYSA-N 0 0 433.436 -0.374 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)OCO2)C(=O)Nc1cnn(-c2ncccn2)c1 ZINC000444502331 1072636913 /nfs/dbraw/zinc/63/69/13/1072636913.db2.gz OFNVJJCFPSDXHA-CYBMUJFWSA-N 0 0 426.389 -0.114 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)C(=O)Nc1cnn(-c2ncccn2)c1 ZINC000444502332 1072636986 /nfs/dbraw/zinc/63/69/86/1072636986.db2.gz OFNVJJCFPSDXHA-ZDUSSCGKSA-N 0 0 426.389 -0.114 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)n1 ZINC000444502494 1072637011 /nfs/dbraw/zinc/63/70/11/1072637011.db2.gz PDDLIFRPROUJQE-UHFFFAOYSA-N 0 0 428.449 -0.400 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccn(C)c3=O)CC2)cc1 ZINC000444502688 1072636945 /nfs/dbraw/zinc/63/69/45/1072636945.db2.gz QPEFTZFYGCLDTJ-UHFFFAOYSA-N 0 0 434.474 -0.135 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(CCOc3ccccc3)CC2)n1 ZINC000444502917 1072636902 /nfs/dbraw/zinc/63/69/02/1072636902.db2.gz AFNAVXOOEDQMRE-UHFFFAOYSA-N 0 0 428.493 0.133 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1=O ZINC000444503130 1072637584 /nfs/dbraw/zinc/63/75/84/1072637584.db2.gz SRXXHJWSGJYPDR-UHFFFAOYSA-N 0 0 440.298 -0.491 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3)CC2)n1 ZINC000444503205 1072637530 /nfs/dbraw/zinc/63/75/30/1072637530.db2.gz BPHMGTBKGXQKSL-UHFFFAOYSA-N 0 0 426.477 -0.177 20 0 IBADRN Cc1cc(N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)nc(C(C)C)n1 ZINC000444503262 1073321265 /nfs/dbraw/zinc/32/12/65/1073321265.db2.gz CASGDXMFTCKKMR-UHFFFAOYSA-N 0 0 442.524 0.480 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)n1 ZINC000444503270 1072637481 /nfs/dbraw/zinc/63/74/81/1072637481.db2.gz TZILBCSKCUKLLT-CALCHBBNSA-N 0 0 434.541 0.117 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)n1 ZINC000444503274 1072637543 /nfs/dbraw/zinc/63/75/43/1072637543.db2.gz TZILBCSKCUKLLT-IAGOWNOFSA-N 0 0 434.541 0.117 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)n1 ZINC000444503278 1072637475 /nfs/dbraw/zinc/63/74/75/1072637475.db2.gz TZILBCSKCUKLLT-IRXDYDNUSA-N 0 0 434.541 0.117 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)cc1 ZINC000444503285 1073338849 /nfs/dbraw/zinc/33/88/49/1073338849.db2.gz UAUHICHZTDDLRX-UHFFFAOYSA-N 0 0 426.477 0.203 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(CC(F)F)n2)CC1)NCc1ccccc1 ZINC000444503347 1072637496 /nfs/dbraw/zinc/63/74/96/1072637496.db2.gz UQNXCSQJBOFEFQ-UHFFFAOYSA-N 0 0 434.447 0.547 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)n1 ZINC000444503812 1072637592 /nfs/dbraw/zinc/63/75/92/1072637592.db2.gz FLMVDWGSUFMEPJ-UHFFFAOYSA-N 0 0 445.505 -0.118 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)cc1 ZINC000444503837 1072637413 /nfs/dbraw/zinc/63/74/13/1072637413.db2.gz XCPPTJKQGZWSMX-UHFFFAOYSA-N 0 0 440.504 0.457 20 0 IBADRN CN(C)C(=O)Cn1ccc(=NC(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)[nH]1 ZINC000444503903 1072637449 /nfs/dbraw/zinc/63/74/49/1072637449.db2.gz ALJUZQWTHBPUPA-UHFFFAOYSA-N 0 0 441.414 -0.214 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)n1 ZINC000444503954 1072637516 /nfs/dbraw/zinc/63/75/16/1072637516.db2.gz XSLWGANKQBIGQD-UHFFFAOYSA-N 0 0 441.492 -0.307 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000444504184 1072638120 /nfs/dbraw/zinc/63/81/20/1072638120.db2.gz YKUZUAOUMYARCH-UHFFFAOYSA-N 0 0 435.506 0.391 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCCN(C(=O)c3ccsc3)CC2)n1 ZINC000444504252 1072638189 /nfs/dbraw/zinc/63/81/89/1072638189.db2.gz BHVMWECJHDJBPK-UHFFFAOYSA-N 0 0 432.506 0.346 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCCN(C(=O)c3ccccc3F)CC2)n1 ZINC000444504283 1072638262 /nfs/dbraw/zinc/63/82/62/1072638262.db2.gz ZGJMVCXSUUMFNJ-UHFFFAOYSA-N 0 0 444.467 0.424 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)n1 ZINC000444504356 1072638295 /nfs/dbraw/zinc/63/82/95/1072638295.db2.gz BRHPJTXALSNZKK-GOSISDBHSA-N 0 0 428.493 0.229 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)n1 ZINC000444504363 1072638277 /nfs/dbraw/zinc/63/82/77/1072638277.db2.gz BRHPJTXALSNZKK-SFHVURJKSA-N 0 0 428.493 0.229 20 0 IBADRN O=C(Nc1ccn(CC(F)F)n1)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000444504369 1073333570 /nfs/dbraw/zinc/33/35/70/1073333570.db2.gz BSPCLHKJGBVBEH-UHFFFAOYSA-N 0 0 448.496 0.352 20 0 IBADRN Cc1ccc(NC(=O)C2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)nc1 ZINC000444504455 1072638205 /nfs/dbraw/zinc/63/82/05/1072638205.db2.gz HPOADLQAMFYZFU-UHFFFAOYSA-N 0 0 441.492 0.491 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)s1 ZINC000444504603 1073338997 /nfs/dbraw/zinc/33/89/97/1073338997.db2.gz CSNRTVLVHMHEKZ-UHFFFAOYSA-N 0 0 432.506 0.264 20 0 IBADRN COc1cc2c(cc1OC)CCN(C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)CC2 ZINC000444504682 1073342698 /nfs/dbraw/zinc/34/26/98/1073342698.db2.gz DFPDIQCKEDBZSK-UHFFFAOYSA-N 0 0 429.477 0.554 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)n1 ZINC000444504689 1072638211 /nfs/dbraw/zinc/63/82/11/1072638211.db2.gz DFVYJFJBKOSGOK-UHFFFAOYSA-N 0 0 442.542 -0.267 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)n1 ZINC000444504751 1072638182 /nfs/dbraw/zinc/63/81/82/1072638182.db2.gz AWYXSCNBRYILQY-UHFFFAOYSA-N 0 0 448.430 0.173 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)n1 ZINC000444504816 1072638197 /nfs/dbraw/zinc/63/81/97/1072638197.db2.gz DOWBWCPGXFRRPS-UHFFFAOYSA-N 0 0 443.460 0.571 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCC(NC(=O)c3ccsc3)CC2)n1 ZINC000444504904 1073302918 /nfs/dbraw/zinc/30/29/18/1073302918.db2.gz FBYNCWVWNPTQNY-UHFFFAOYSA-N 0 0 432.506 0.392 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)n1 ZINC000444505030 1072638136 /nfs/dbraw/zinc/63/81/36/1072638136.db2.gz CBQUHGHAUSDHSB-UHFFFAOYSA-N 0 0 429.886 0.216 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n1 ZINC000444505180 1072638265 /nfs/dbraw/zinc/63/82/65/1072638265.db2.gz JHXOVHUKJBYSSZ-UHFFFAOYSA-N 0 0 435.506 0.142 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC1 ZINC000444505334 1072638254 /nfs/dbraw/zinc/63/82/54/1072638254.db2.gz KELFGJLGQWWGLX-UHFFFAOYSA-N 0 0 446.483 0.402 20 0 IBADRN O=C(CN1CCCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1)N1CCCC1 ZINC000444505777 1072638240 /nfs/dbraw/zinc/63/82/40/1072638240.db2.gz FBSQXBJGFHMTNN-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)n1 ZINC000444505780 1072638217 /nfs/dbraw/zinc/63/82/17/1072638217.db2.gz FCGDKPMZUDWJMH-UHFFFAOYSA-N 0 0 440.504 0.202 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCCN(C(=O)c3ccc(F)cc3)CC2)n1 ZINC000444506033 1072638804 /nfs/dbraw/zinc/63/88/04/1072638804.db2.gz JFMVRKPWDBEKAV-UHFFFAOYSA-N 0 0 444.467 0.424 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)n1 ZINC000444506056 1072638765 /nfs/dbraw/zinc/63/87/65/1072638765.db2.gz JIUNHNZDBMEDQZ-UHFFFAOYSA-N 0 0 428.493 0.058 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)C(=O)Nc1ccn(CC(F)F)n1 ZINC000444506081 1073326827 /nfs/dbraw/zinc/32/68/27/1073326827.db2.gz MDYNFIBNRXWWFL-GFCCVEGCSA-N 0 0 437.403 0.528 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)C(=O)Nc1ccn(CC(F)F)n1 ZINC000444506082 1073327762 /nfs/dbraw/zinc/32/77/62/1073327762.db2.gz MDYNFIBNRXWWFL-LBPRGKRZSA-N 0 0 437.403 0.528 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1 ZINC000444506632 1072638848 /nfs/dbraw/zinc/63/88/48/1072638848.db2.gz IYYHQTVGJYMUCN-UHFFFAOYSA-N 0 0 442.314 -0.245 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000444506651 1072638781 /nfs/dbraw/zinc/63/87/81/1072638781.db2.gz JILSWEIVCVVOOH-UHFFFAOYSA-N 0 0 435.506 0.391 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1=O ZINC000444506661 1072638841 /nfs/dbraw/zinc/63/88/41/1072638841.db2.gz LCBZYONOZAIRRY-JTQLQIEISA-N 0 0 435.300 -0.374 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1=O ZINC000444506662 1072638795 /nfs/dbraw/zinc/63/87/95/1072638795.db2.gz LCBZYONOZAIRRY-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)CCC3CCCC3)CC2)n1 ZINC000444506759 1072638857 /nfs/dbraw/zinc/63/88/57/1072638857.db2.gz LOBCBRWRBKYXLD-UHFFFAOYSA-N 0 0 432.525 0.551 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1=O ZINC000444506830 1072638682 /nfs/dbraw/zinc/63/86/82/1072638682.db2.gz PGLUSXDGMKTYJK-CYBMUJFWSA-N 0 0 441.282 -0.064 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1=O ZINC000444506833 1072638693 /nfs/dbraw/zinc/63/86/93/1072638693.db2.gz PGLUSXDGMKTYJK-ZDUSSCGKSA-N 0 0 441.282 -0.064 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(Cc3cn4ccccc4n3)CC2)n1 ZINC000444506835 1072638832 /nfs/dbraw/zinc/63/88/32/1072638832.db2.gz PHSYSNARGNOZRI-UHFFFAOYSA-N 0 0 438.492 -0.098 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)n1 ZINC000444506936 1072638713 /nfs/dbraw/zinc/63/87/13/1072638713.db2.gz MJVCQIBJNUAFIX-UHFFFAOYSA-N 0 0 429.433 0.181 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)c(C)o1 ZINC000444507114 1073318677 /nfs/dbraw/zinc/31/86/77/1073318677.db2.gz MOGGYZIVXLFKRO-UHFFFAOYSA-N 0 0 430.465 0.104 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)ccn1 ZINC000444507125 1072639288 /nfs/dbraw/zinc/63/92/88/1072639288.db2.gz PYLWBTPIAVXWNE-UHFFFAOYSA-N 0 0 430.509 -0.121 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccn(C)c2=O)CC1 ZINC000444507126 1072639317 /nfs/dbraw/zinc/63/93/17/1072639317.db2.gz MQVRWTPGKLGIBP-UHFFFAOYSA-N 0 0 439.538 -0.023 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000444507177 1072639396 /nfs/dbraw/zinc/63/93/96/1072639396.db2.gz QGPCHTBDMONIGQ-UHFFFAOYSA-N 0 0 437.316 -0.126 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cc(Br)cn(C)c1=O)S(C)(=O)=O ZINC000444507192 1072639312 /nfs/dbraw/zinc/63/93/12/1072639312.db2.gz QKMROXNOJHEZTF-UHFFFAOYSA-N 0 0 437.316 -0.126 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)cc1F ZINC000444507239 1072635336 /nfs/dbraw/zinc/63/53/36/1072635336.db2.gz NGIJHRFQOQJHHI-UHFFFAOYSA-N 0 0 446.483 0.402 20 0 IBADRN Cn1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)c1=O ZINC000444507370 1072639249 /nfs/dbraw/zinc/63/92/49/1072639249.db2.gz LULINEMWTIQVHJ-UHFFFAOYSA-N 0 0 444.473 -0.267 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)n1 ZINC000444507418 1072639230 /nfs/dbraw/zinc/63/92/30/1072639230.db2.gz NYJZUOMGUIYGDW-KRWDZBQOSA-N 0 0 434.541 0.403 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)n1 ZINC000444507419 1072639365 /nfs/dbraw/zinc/63/93/65/1072639365.db2.gz NYJZUOMGUIYGDW-QGZVFWFLSA-N 0 0 434.541 0.403 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cnn(-c4ncccn4)c3)CC2)no1 ZINC000444507458 1073330107 /nfs/dbraw/zinc/33/01/07/1073330107.db2.gz REZHKKKVXUBFQM-UHFFFAOYSA-N 0 0 439.436 -0.320 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)n1 ZINC000444507499 1072639390 /nfs/dbraw/zinc/63/93/90/1072639390.db2.gz RIUXFGHNNGWJTE-UHFFFAOYSA-N 0 0 435.506 0.438 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)c2)CCO1 ZINC000444507543 1072639293 /nfs/dbraw/zinc/63/92/93/1072639293.db2.gz PDJCPJYDOJMSKX-INIZCTEOSA-N 0 0 442.520 0.447 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(OC)cc3OC)CC2)n1 ZINC000444507569 1072639256 /nfs/dbraw/zinc/63/92/56/1072639256.db2.gz SBIWAXXEUCUSCS-UHFFFAOYSA-N 0 0 445.476 0.470 20 0 IBADRN COc1cccc(N2CC[C@@H](CNC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)c1 ZINC000444507683 1072639327 /nfs/dbraw/zinc/63/93/27/1072639327.db2.gz NGBHTBBRZILGMS-HNNXBMFYSA-N 0 0 428.493 0.561 20 0 IBADRN COc1cccc(N2CC[C@H](CNC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)c1 ZINC000444507684 1073348389 /nfs/dbraw/zinc/34/83/89/1073348389.db2.gz NGBHTBBRZILGMS-OAHLLOKOSA-N 0 0 428.493 0.561 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)c2)CCO1 ZINC000444507703 1072638744 /nfs/dbraw/zinc/63/87/44/1072638744.db2.gz PDJCPJYDOJMSKX-MRXNPFEDSA-N 0 0 442.520 0.447 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000444507741 1072638666 /nfs/dbraw/zinc/63/86/66/1072638666.db2.gz PNULQUWKOSDQCU-KRWDZBQOSA-N 0 0 434.460 -0.028 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000444507742 1072638863 /nfs/dbraw/zinc/63/88/63/1072638863.db2.gz PNULQUWKOSDQCU-QGZVFWFLSA-N 0 0 434.460 -0.028 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCCN(C(=O)c3cccc(F)c3)CC2)n1 ZINC000444507793 1072638706 /nfs/dbraw/zinc/63/87/06/1072638706.db2.gz QCXDWOAMLAWYMX-UHFFFAOYSA-N 0 0 444.467 0.424 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1)N1CCCCC1 ZINC000444507858 1072638787 /nfs/dbraw/zinc/63/87/87/1072638787.db2.gz UBJRMHOECULKFF-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N=c3ccn(CC(F)F)[nH]3)CC2)no1 ZINC000444507860 1072638734 /nfs/dbraw/zinc/63/87/34/1072638734.db2.gz UBQYOPYEUIEYQB-UHFFFAOYSA-N 0 0 425.396 -0.990 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)cs1 ZINC000444508023 1072638268 /nfs/dbraw/zinc/63/82/68/1072638268.db2.gz QLPGXEGVISKJQU-UHFFFAOYSA-N 0 0 433.538 0.273 20 0 IBADRN O=C(Nc1ccn(CC(F)F)n1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000444508058 1072636371 /nfs/dbraw/zinc/63/63/71/1072636371.db2.gz QUMOZCMWVVVIGV-UHFFFAOYSA-N 0 0 428.421 0.015 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1=O ZINC000444508063 1072635346 /nfs/dbraw/zinc/63/53/46/1072635346.db2.gz QVQWXOWVILZVSO-UHFFFAOYSA-N 0 0 428.287 -0.633 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1 ZINC000444508147 1072635299 /nfs/dbraw/zinc/63/52/99/1072635299.db2.gz OQQJMEPWYSUWGE-UHFFFAOYSA-N 0 0 428.287 -0.633 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)n1 ZINC000444508244 1072635283 /nfs/dbraw/zinc/63/52/83/1072635283.db2.gz OZNLPOHXKOFNTM-UHFFFAOYSA-N 0 0 428.449 -0.400 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)c(C)o1 ZINC000444508267 1072635375 /nfs/dbraw/zinc/63/53/75/1072635375.db2.gz PCXMWHRXDBQJKX-UHFFFAOYSA-N 0 0 444.492 0.541 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)n1 ZINC000444508308 1072635443 /nfs/dbraw/zinc/63/54/43/1072635443.db2.gz PHRSUPAODBVZHR-UHFFFAOYSA-N 0 0 433.440 0.357 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(c3ncnc4sccc43)CC2)n1 ZINC000444508326 1072635386 /nfs/dbraw/zinc/63/53/86/1072635386.db2.gz SHNPMNDFGAZBAW-UHFFFAOYSA-N 0 0 442.505 0.263 20 0 IBADRN O=C(Nc1cnn(-c2ncccn2)c1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000444508401 1072635319 /nfs/dbraw/zinc/63/53/19/1072635319.db2.gz SWBZXEJDQWWQGN-UHFFFAOYSA-N 0 0 442.461 -0.471 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(Cl)c3)CC2)n1 ZINC000444508431 1072635259 /nfs/dbraw/zinc/63/52/59/1072635259.db2.gz SYGPQMQBBGDYHD-UHFFFAOYSA-N 0 0 446.895 0.548 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n1 ZINC000444508459 1072635307 /nfs/dbraw/zinc/63/53/07/1072635307.db2.gz WLCXKMZZODLMTL-UHFFFAOYSA-N 0 0 449.533 0.532 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)n1 ZINC000444508483 1072635294 /nfs/dbraw/zinc/63/52/94/1072635294.db2.gz TZIOTKBKTMHSLD-UHFFFAOYSA-N 0 0 432.456 0.390 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1=O ZINC000444508623 1072635273 /nfs/dbraw/zinc/63/52/73/1072635273.db2.gz XIVUTMDEMYLMMC-UHFFFAOYSA-N 0 0 435.300 -0.420 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCCC3)cc2)n1 ZINC000444508733 1072635359 /nfs/dbraw/zinc/63/53/59/1072635359.db2.gz RSFKZALDPVXUTD-UHFFFAOYSA-N 0 0 435.506 0.569 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)Cc3cccc(OC)c3)CC2)n1 ZINC000444508823 1072635405 /nfs/dbraw/zinc/63/54/05/1072635405.db2.gz VGZJFNRCKLQMNR-UHFFFAOYSA-N 0 0 429.477 0.390 20 0 IBADRN Cc1ccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)nc1 ZINC000444508911 1073340350 /nfs/dbraw/zinc/34/03/50/1073340350.db2.gz YOUNWBHLVPGNOV-HNNXBMFYSA-N 0 0 441.492 0.491 20 0 IBADRN Cc1ccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)nc1 ZINC000444508913 1073340291 /nfs/dbraw/zinc/34/02/91/1073340291.db2.gz YOUNWBHLVPGNOV-OAHLLOKOSA-N 0 0 441.492 0.491 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCC(C(=O)NCc3ccccc3)CC2)n1 ZINC000444508998 1072638827 /nfs/dbraw/zinc/63/88/27/1072638827.db2.gz ZFPWRGCPHKKUQO-UHFFFAOYSA-N 0 0 440.504 0.465 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)n1 ZINC000444509001 1072641970 /nfs/dbraw/zinc/64/19/70/1072641970.db2.gz ZHJYLSRCZLDHBY-UHFFFAOYSA-N 0 0 434.541 0.405 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n1 ZINC000444509174 1072641888 /nfs/dbraw/zinc/64/18/88/1072641888.db2.gz WXJNNPXDICQJBE-UHFFFAOYSA-N 0 0 436.494 -0.214 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(OC)c(OC)c3)CC2)n1 ZINC000444509199 1072641897 /nfs/dbraw/zinc/64/18/97/1072641897.db2.gz XHBWFJIRQQWGFV-UHFFFAOYSA-N 0 0 445.476 0.470 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1=O ZINC000444509211 1072641848 /nfs/dbraw/zinc/64/18/48/1072641848.db2.gz XKBCAHHDYBPJQK-JTQLQIEISA-N 0 0 449.327 -0.126 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1=O ZINC000444509212 1072641854 /nfs/dbraw/zinc/64/18/54/1072641854.db2.gz XKBCAHHDYBPJQK-SNVBAGLBSA-N 0 0 449.327 -0.126 20 0 IBADRN Cn1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)c1=O ZINC000444509221 1072641875 /nfs/dbraw/zinc/64/18/75/1072641875.db2.gz XOCSYWRAAZTHBR-UHFFFAOYSA-N 0 0 425.511 -0.411 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(Cl)cc3)CC2)n1 ZINC000444509254 1072641805 /nfs/dbraw/zinc/64/18/05/1072641805.db2.gz UYVNJZPRGZPTTH-UHFFFAOYSA-N 0 0 446.895 0.548 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(F)c3)CC2)n1 ZINC000444509289 1072641783 /nfs/dbraw/zinc/64/17/83/1072641783.db2.gz VLRBINGILOOVNV-UHFFFAOYSA-N 0 0 430.440 0.034 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCC(NC(=O)c3ccc(F)cc3)CC2)n1 ZINC000444509416 1072641113 /nfs/dbraw/zinc/64/11/13/1072641113.db2.gz YWOJWYGAGDZITK-UHFFFAOYSA-N 0 0 444.467 0.470 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)cc1 ZINC000444509543 1072641055 /nfs/dbraw/zinc/64/10/55/1072641055.db2.gz WBTAGGFKBPXMAC-UHFFFAOYSA-N 0 0 440.504 0.132 20 0 IBADRN COc1ccc(-n2ccc(CNC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)n2)cc1 ZINC000444509572 1073342906 /nfs/dbraw/zinc/34/29/06/1073342906.db2.gz WPFNHOBFCZYXIJ-UHFFFAOYSA-N 0 0 425.449 0.421 20 0 IBADRN COc1ccccc1N1CC[C@@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1 ZINC000444509577 1072641141 /nfs/dbraw/zinc/64/11/41/1072641141.db2.gz WQAFFVVCSGYENH-HNNXBMFYSA-N 0 0 428.493 0.561 20 0 IBADRN COc1ccccc1N1CC[C@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1 ZINC000444509578 1072641044 /nfs/dbraw/zinc/64/10/44/1072641044.db2.gz WQAFFVVCSGYENH-OAHLLOKOSA-N 0 0 428.493 0.561 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccn(CC(F)F)n3)CC2)cc1 ZINC000444509650 1072641192 /nfs/dbraw/zinc/64/11/92/1072641192.db2.gz ZPYFDLNNVBKRDB-UHFFFAOYSA-N 0 0 442.448 0.083 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)n1 ZINC000444509915 1072641134 /nfs/dbraw/zinc/64/11/34/1072641134.db2.gz YWUPHTAUGYKVFD-UHFFFAOYSA-N 0 0 430.440 0.034 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2ccn(CC(F)F)n2)cc1 ZINC000444510051 1072641864 /nfs/dbraw/zinc/64/18/64/1072641864.db2.gz ZMAHCTZOCCVVTB-UHFFFAOYSA-N 0 0 445.448 0.328 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)COc3ccc(C)cc3)CC2)n1 ZINC000444510229 1072641926 /nfs/dbraw/zinc/64/19/26/1072641926.db2.gz BWAYSCONKOOYKD-UHFFFAOYSA-N 0 0 429.477 0.526 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2=O)c1 ZINC000444510416 1073348546 /nfs/dbraw/zinc/34/85/46/1073348546.db2.gz DJLVQALHHAAPGY-CYBMUJFWSA-N 0 0 428.449 -0.160 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2=O)c1 ZINC000444510417 1073348531 /nfs/dbraw/zinc/34/85/31/1073348531.db2.gz DJLVQALHHAAPGY-ZDUSSCGKSA-N 0 0 428.449 -0.160 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)n1 ZINC000444510619 1072641910 /nfs/dbraw/zinc/64/19/10/1072641910.db2.gz FXBNPLFPIOASDM-UHFFFAOYSA-N 0 0 448.430 0.173 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC1 ZINC000444510742 1072641961 /nfs/dbraw/zinc/64/19/61/1072641961.db2.gz PSQRTCMSDXICHU-UHFFFAOYSA-N 0 0 440.504 0.132 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(OC)c3OC)CC2)n1 ZINC000444511062 1072641818 /nfs/dbraw/zinc/64/18/18/1072641818.db2.gz JHUIPJRAHPHEJK-UHFFFAOYSA-N 0 0 445.476 0.470 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)CC3CCCCC3)CC2)n1 ZINC000444511208 1072641793 /nfs/dbraw/zinc/64/17/93/1072641793.db2.gz KASBCEVTDDQNSE-UHFFFAOYSA-N 0 0 432.525 0.551 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1 ZINC000444511402 1072641829 /nfs/dbraw/zinc/64/18/29/1072641829.db2.gz KXJOGZQKACZFKL-UHFFFAOYSA-N 0 0 435.300 -0.420 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cccn(C)c2=O)CC1 ZINC000444511802 1072641744 /nfs/dbraw/zinc/64/17/44/1072641744.db2.gz OSPITKPZTVIMRV-UHFFFAOYSA-N 0 0 433.490 -0.419 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)n1 ZINC000444512725 1072642428 /nfs/dbraw/zinc/64/24/28/1072642428.db2.gz VZJAVDCCVNWRLJ-UHFFFAOYSA-N 0 0 444.467 -0.038 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)n1 ZINC000444512950 1072641753 /nfs/dbraw/zinc/64/17/53/1072641753.db2.gz XJMZNACHRKMRMU-KRWDZBQOSA-N 0 0 446.483 0.239 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)n1 ZINC000444512951 1073303275 /nfs/dbraw/zinc/30/32/75/1073303275.db2.gz XJMZNACHRKMRMU-QGZVFWFLSA-N 0 0 446.483 0.239 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)Cc1cccc(C)c1 ZINC000444514132 1073327384 /nfs/dbraw/zinc/32/73/84/1073327384.db2.gz BHVLPIHFIGJAHI-INIZCTEOSA-N 0 0 429.477 0.366 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)Cc1cccc(C)c1 ZINC000444514138 1073326434 /nfs/dbraw/zinc/32/64/34/1073326434.db2.gz BHVLPIHFIGJAHI-MRXNPFEDSA-N 0 0 429.477 0.366 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)n1 ZINC000444514473 1072639282 /nfs/dbraw/zinc/63/92/82/1072639282.db2.gz FOFTWNHUMLZUTQ-GFCCVEGCSA-N 0 0 435.462 0.238 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)n1 ZINC000444514474 1072639337 /nfs/dbraw/zinc/63/93/37/1072639337.db2.gz FOFTWNHUMLZUTQ-LBPRGKRZSA-N 0 0 435.462 0.238 20 0 IBADRN O=C(Nc1ccn(CC(F)F)n1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000444514519 1072639384 /nfs/dbraw/zinc/63/93/84/1072639384.db2.gz GDOZQWWKOSMDPW-UHFFFAOYSA-N 0 0 432.409 -0.251 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)Cc1cccc(F)c1 ZINC000444515389 1073327149 /nfs/dbraw/zinc/32/71/49/1073327149.db2.gz WGGPBHGOGMJHLP-AWEZNQCLSA-N 0 0 433.440 0.197 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)Cc1cccc(F)c1 ZINC000444515390 1072639837 /nfs/dbraw/zinc/63/98/37/1072639837.db2.gz WGGPBHGOGMJHLP-CQSZACIVSA-N 0 0 433.440 0.197 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000444515636 1072639954 /nfs/dbraw/zinc/63/99/54/1072639954.db2.gz OJTQCLOYEPCLQI-JTQLQIEISA-N 0 0 435.300 -0.374 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000444515637 1072639820 /nfs/dbraw/zinc/63/98/20/1072639820.db2.gz OJTQCLOYEPCLQI-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)n1 ZINC000444516617 1072640096 /nfs/dbraw/zinc/64/00/96/1072640096.db2.gz BNTZQZDADIMLIO-AWEZNQCLSA-N 0 0 432.868 0.485 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)n1 ZINC000444516620 1072640060 /nfs/dbraw/zinc/64/00/60/1072640060.db2.gz BNTZQZDADIMLIO-CQSZACIVSA-N 0 0 432.868 0.485 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(CCCOCC(F)(F)F)CC2)n1 ZINC000444517108 1072639966 /nfs/dbraw/zinc/63/99/66/1072639966.db2.gz CBRKQLFMBVGJFU-UHFFFAOYSA-N 0 0 448.446 0.023 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)n1 ZINC000444517343 1072639881 /nfs/dbraw/zinc/63/98/81/1072639881.db2.gz DAPYJRUDPWLUKD-UHFFFAOYSA-N 0 0 431.497 0.260 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)n1 ZINC000444520061 1072640116 /nfs/dbraw/zinc/64/01/16/1072640116.db2.gz IPWBDQQEBWMICI-INIZCTEOSA-N 0 0 431.449 0.407 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)n1 ZINC000444520063 1072640104 /nfs/dbraw/zinc/64/01/04/1072640104.db2.gz IPWBDQQEBWMICI-MRXNPFEDSA-N 0 0 431.449 0.407 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cc(Br)cn(C)c1=O)C(=O)N1CCOCC1 ZINC000444520517 1072639940 /nfs/dbraw/zinc/63/99/40/1072639940.db2.gz PEWWURQTZGOTKV-LLVKDONJSA-N 0 0 429.271 -0.160 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cc(Br)cn(C)c1=O)C(=O)N1CCOCC1 ZINC000444520518 1072640075 /nfs/dbraw/zinc/64/00/75/1072640075.db2.gz PEWWURQTZGOTKV-NSHDSACASA-N 0 0 429.271 -0.160 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)n1 ZINC000444520791 1072640054 /nfs/dbraw/zinc/64/00/54/1072640054.db2.gz PSQNDHYEECYVHC-UHFFFAOYSA-N 0 0 445.542 -0.243 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C2)n1 ZINC000444521645 1072639851 /nfs/dbraw/zinc/63/98/51/1072639851.db2.gz TUJZSNOFKFZBLI-BRWVUGGUSA-N 0 0 438.488 0.183 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3c3ccccc3)C2)n1 ZINC000444521647 1072640568 /nfs/dbraw/zinc/64/05/68/1072640568.db2.gz TUJZSNOFKFZBLI-IKGGRYGDSA-N 0 0 438.488 0.183 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C2)n1 ZINC000444521650 1072640017 /nfs/dbraw/zinc/64/00/17/1072640017.db2.gz TUJZSNOFKFZBLI-IXDOHACOSA-N 0 0 438.488 0.183 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C2)n1 ZINC000444521651 1072640081 /nfs/dbraw/zinc/64/00/81/1072640081.db2.gz TUJZSNOFKFZBLI-ZACQAIPSSA-N 0 0 438.488 0.183 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)F)n2)CC1 ZINC000444522367 1072640579 /nfs/dbraw/zinc/64/05/79/1072640579.db2.gz WGCAPDUGUZYSJV-UHFFFAOYSA-N 0 0 437.469 -0.014 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C3(CCCCC3)C2)n1 ZINC000444523042 1073303427 /nfs/dbraw/zinc/30/34/27/1073303427.db2.gz YSTDXVLMLCFWOL-UHFFFAOYSA-N 0 0 425.511 -0.130 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N(C)Cc2nnnn2-c2cc(OC)cc(OC)c2)n1 ZINC000444523705 1072640644 /nfs/dbraw/zinc/64/06/44/1072640644.db2.gz DWGWEXVAWJGNHB-UHFFFAOYSA-N 0 0 444.452 0.120 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N(C)C)c2)CC1 ZINC000444524189 1072640622 /nfs/dbraw/zinc/64/06/22/1072640622.db2.gz FQCFFKPTUDNJJH-HNNXBMFYSA-N 0 0 448.524 -0.593 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N(C)C)c2)CC1 ZINC000444524190 1072640639 /nfs/dbraw/zinc/64/06/39/1072640639.db2.gz FQCFFKPTUDNJJH-OAHLLOKOSA-N 0 0 448.524 -0.593 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cc(Br)cn(C)c3=O)CC2=O)cn1 ZINC000444524229 1072640558 /nfs/dbraw/zinc/64/05/58/1072640558.db2.gz PRCIOYQDBREQEK-UHFFFAOYSA-N 0 0 437.254 -0.305 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)F)n2)CC1 ZINC000444526037 1072640633 /nfs/dbraw/zinc/64/06/33/1072640633.db2.gz JBPCOOGIHBRSAN-CYBMUJFWSA-N 0 0 430.456 -0.228 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)F)n2)CC1 ZINC000444526042 1072640607 /nfs/dbraw/zinc/64/06/07/1072640607.db2.gz JBPCOOGIHBRSAN-ZDUSSCGKSA-N 0 0 430.456 -0.228 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)c1 ZINC000444526089 1072640614 /nfs/dbraw/zinc/64/06/14/1072640614.db2.gz JCVLAPKODRBXGS-AWEZNQCLSA-N 0 0 444.492 0.322 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)c1 ZINC000444526097 1072640629 /nfs/dbraw/zinc/64/06/29/1072640629.db2.gz JCVLAPKODRBXGS-CQSZACIVSA-N 0 0 444.492 0.322 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000444526178 1072640548 /nfs/dbraw/zinc/64/05/48/1072640548.db2.gz JGLANDFAZZPKLE-HNNXBMFYSA-N 0 0 444.496 -0.714 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000444526191 1072640480 /nfs/dbraw/zinc/64/04/80/1072640480.db2.gz JGLANDFAZZPKLE-OAHLLOKOSA-N 0 0 444.496 -0.714 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)n1 ZINC000444527053 1072641222 /nfs/dbraw/zinc/64/12/22/1072641222.db2.gz VGSJKBDZAULIDN-KRWDZBQOSA-N 0 0 440.504 0.260 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)n1 ZINC000444527054 1072640514 /nfs/dbraw/zinc/64/05/14/1072640514.db2.gz VGSJKBDZAULIDN-QGZVFWFLSA-N 0 0 440.504 0.260 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)n1 ZINC000444527189 1072640654 /nfs/dbraw/zinc/64/06/54/1072640654.db2.gz LGKBTOCOKDBXCW-UHFFFAOYSA-N 0 0 434.526 0.063 20 0 IBADRN CC[C@@H](CO)NC(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000444527411 1072640589 /nfs/dbraw/zinc/64/05/89/1072640589.db2.gz SMUNRYOZLHWBPK-INIZCTEOSA-N 0 0 429.477 -0.059 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)n(C)n1 ZINC000444528971 1072641184 /nfs/dbraw/zinc/64/11/84/1072641184.db2.gz QNUVWCKAHLAQCN-HNNXBMFYSA-N 0 0 428.559 -0.352 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)n(C)n1 ZINC000444528973 1072641011 /nfs/dbraw/zinc/64/10/11/1072641011.db2.gz QNUVWCKAHLAQCN-OAHLLOKOSA-N 0 0 428.559 -0.352 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)c(N2CCOCC2)c1 ZINC000444529152 1073339503 /nfs/dbraw/zinc/33/95/03/1073339503.db2.gz QPXDCWQQXRINTH-UHFFFAOYSA-N 0 0 428.493 0.371 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)Nc3ccn(CCOC)n3)CC2)n1 ZINC000444529351 1072641169 /nfs/dbraw/zinc/64/11/69/1072641169.db2.gz RBGNDUMRAPLAIL-AWEZNQCLSA-N 0 0 435.485 0.293 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)Nc3ccn(CCOC)n3)CC2)n1 ZINC000444529354 1072641119 /nfs/dbraw/zinc/64/11/19/1072641119.db2.gz RBGNDUMRAPLAIL-CQSZACIVSA-N 0 0 435.485 0.293 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)Nc3ccn(CCOC)n3)CC2)s1 ZINC000444530220 1072641202 /nfs/dbraw/zinc/64/12/02/1072641202.db2.gz WIBXBWHCOBEXDP-UHFFFAOYSA-N 0 0 436.494 0.450 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2ncn(Cc3cccc(F)c3)n2)c[nH]1 ZINC000444531773 1072641153 /nfs/dbraw/zinc/64/11/53/1072641153.db2.gz CFAPYXUKAGGBKC-UHFFFAOYSA-N 0 0 435.441 -0.513 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCc2ncn(Cc3cccc(F)c3)n2)o1 ZINC000444533013 1072641209 /nfs/dbraw/zinc/64/12/09/1072641209.db2.gz BIBWUNYDXGFAPC-UHFFFAOYSA-N 0 0 436.425 -0.248 20 0 IBADRN CCO[C@@H]1C[C@](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)(C(=O)OC)C1(C)C ZINC000444555526 1072643290 /nfs/dbraw/zinc/64/32/90/1072643290.db2.gz SMMIDANRSYLLTK-PXAZEXFGSA-N 0 0 430.483 -0.745 20 0 IBADRN CCO[C@H]1C[C@](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)(C(=O)OC)C1(C)C ZINC000444555531 1072643308 /nfs/dbraw/zinc/64/33/08/1072643308.db2.gz SMMIDANRSYLLTK-SJCJKPOMSA-N 0 0 430.483 -0.745 20 0 IBADRN CCO[C@@H]1C[C@@](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)(C(=O)OC)C1(C)C ZINC000444555532 1072643303 /nfs/dbraw/zinc/64/33/03/1072643303.db2.gz SMMIDANRSYLLTK-SJKOYZFVSA-N 0 0 430.483 -0.745 20 0 IBADRN CCO[C@H]1C[C@@](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)(C(=O)OC)C1(C)C ZINC000444555534 1072643334 /nfs/dbraw/zinc/64/33/34/1072643334.db2.gz SMMIDANRSYLLTK-YVEFUNNKSA-N 0 0 430.483 -0.745 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ncn(Cc2cccc(F)c2)n1 ZINC000444556217 1072644874 /nfs/dbraw/zinc/64/48/74/1072644874.db2.gz ZBXRBFJRZSIRBN-UHFFFAOYSA-N 0 0 440.501 -0.084 20 0 IBADRN CCO[C@H]1C[C@@](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)(C(=O)OC)C1(C)C ZINC000444562643 1072643230 /nfs/dbraw/zinc/64/32/30/1072643230.db2.gz NMBYTZGXNOUSES-APPDUMDISA-N 0 0 431.467 -0.480 20 0 IBADRN CCO[C@@H]1C[C@](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)(C(=O)OC)C1(C)C ZINC000444562648 1072643163 /nfs/dbraw/zinc/64/31/63/1072643163.db2.gz NMBYTZGXNOUSES-DIFFPNOSSA-N 0 0 431.467 -0.480 20 0 IBADRN CCO[C@H]1C[C@](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)(C(=O)OC)C1(C)C ZINC000444562650 1072643140 /nfs/dbraw/zinc/64/31/40/1072643140.db2.gz NMBYTZGXNOUSES-GTNSWQLSSA-N 0 0 431.467 -0.480 20 0 IBADRN CCO[C@@H]1C[C@@](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)(C(=O)OC)C1(C)C ZINC000444562652 1072643181 /nfs/dbraw/zinc/64/31/81/1072643181.db2.gz NMBYTZGXNOUSES-PIGZYNQJSA-N 0 0 431.467 -0.480 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000444565228 1073333227 /nfs/dbraw/zinc/33/32/27/1073333227.db2.gz APMWCVJGQUMIFI-UHFFFAOYSA-N 0 0 431.449 0.314 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)Nc3ccn(CCOC)n3)CC2)CC1 ZINC000444565235 1073336876 /nfs/dbraw/zinc/33/68/76/1073336876.db2.gz APVGHYPVTLRMCF-UHFFFAOYSA-N 0 0 434.541 0.261 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCCCN2C(=O)c3ccccc3C2=O)n1 ZINC000444565532 1072643797 /nfs/dbraw/zinc/64/37/97/1072643797.db2.gz DEDCPIQEZOZUIH-UHFFFAOYSA-N 0 0 440.460 0.102 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H](Cc2ccccc2Cl)C(N)=O)n1 ZINC000444565717 1072643862 /nfs/dbraw/zinc/64/38/62/1072643862.db2.gz BQQZIGJBNCSJNF-CYBMUJFWSA-N 0 0 434.884 0.024 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H](Cc2ccccc2Cl)C(N)=O)n1 ZINC000444565719 1073303372 /nfs/dbraw/zinc/30/33/72/1073303372.db2.gz BQQZIGJBNCSJNF-ZDUSSCGKSA-N 0 0 434.884 0.024 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)n1 ZINC000444566240 1072643878 /nfs/dbraw/zinc/64/38/78/1072643878.db2.gz DBKLMMNHOACQLP-UHFFFAOYSA-N 0 0 428.493 0.323 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1CCN(S(=O)(=O)C(C)C)CC1 ZINC000444566272 1072643780 /nfs/dbraw/zinc/64/37/80/1072643780.db2.gz DIYCJTRVDBDVHA-UHFFFAOYSA-N 0 0 440.588 -0.861 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1=O ZINC000444566629 1072643670 /nfs/dbraw/zinc/64/36/70/1072643670.db2.gz DKHBJWVVBOAJCT-UHFFFAOYSA-N 0 0 449.327 -0.078 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000444567071 1072643706 /nfs/dbraw/zinc/64/37/06/1072643706.db2.gz FLOFCYNIJDMIOP-UHFFFAOYSA-N 0 0 437.316 -0.126 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(F)c(F)c2)n1 ZINC000444567156 1073303437 /nfs/dbraw/zinc/30/34/37/1073303437.db2.gz FQMKOLBILQHLCO-LLVKDONJSA-N 0 0 425.392 -0.256 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H](O)COc2ccc(F)c(F)c2)n1 ZINC000444567160 1072643843 /nfs/dbraw/zinc/64/38/43/1072643843.db2.gz FQMKOLBILQHLCO-NSHDSACASA-N 0 0 425.392 -0.256 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC2)n1 ZINC000444567530 1072643744 /nfs/dbraw/zinc/64/37/44/1072643744.db2.gz HKKSMTWSRQLJKD-DLBZAZTESA-N 0 0 434.541 0.307 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)n1 ZINC000444567534 1072643857 /nfs/dbraw/zinc/64/38/57/1072643857.db2.gz HKKSMTWSRQLJKD-IAGOWNOFSA-N 0 0 434.541 0.307 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC2)n1 ZINC000444567535 1072643837 /nfs/dbraw/zinc/64/38/37/1072643837.db2.gz HKKSMTWSRQLJKD-IRXDYDNUSA-N 0 0 434.541 0.307 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)n1 ZINC000444567536 1072643871 /nfs/dbraw/zinc/64/38/71/1072643871.db2.gz HKKSMTWSRQLJKD-SJORKVTESA-N 0 0 434.541 0.307 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)n1 ZINC000444567595 1072643819 /nfs/dbraw/zinc/64/38/19/1072643819.db2.gz GMUHVPQYDSUBFJ-UHFFFAOYSA-N 0 0 428.493 0.323 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)n1 ZINC000444567763 1073344255 /nfs/dbraw/zinc/34/42/55/1073344255.db2.gz GZWZITLRHYEKKR-UHFFFAOYSA-N 0 0 429.481 0.052 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCCC(=O)N2CCc3ccccc32)n1 ZINC000444567833 1072643866 /nfs/dbraw/zinc/64/38/66/1072643866.db2.gz HHLWRWUDLVPXJA-UHFFFAOYSA-N 0 0 426.477 0.396 20 0 IBADRN CCOC(=O)c1c(C)oc2nc(CN3CCN(CCS(N)(=O)=O)CC3)nc(N)c21 ZINC000444568551 1072644391 /nfs/dbraw/zinc/64/43/91/1072644391.db2.gz JRCDBIYVLRCCGE-UHFFFAOYSA-N 0 0 426.499 -0.304 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(-c2ncccn2)c1)N1CCN(c2ccccn2)CC1 ZINC000444570973 1072644164 /nfs/dbraw/zinc/64/41/64/1072644164.db2.gz NYTPRTLJDMRQSQ-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2ccn(CC(F)F)n2)c1 ZINC000444571028 1072644439 /nfs/dbraw/zinc/64/44/39/1072644439.db2.gz OCILURKBTFFRNH-UHFFFAOYSA-N 0 0 445.448 0.328 20 0 IBADRN COc1ccc(CN(CCO)C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)c(OC)c1 ZINC000444571064 1072644383 /nfs/dbraw/zinc/64/43/83/1072644383.db2.gz OHRCJQROCCKCGF-UHFFFAOYSA-N 0 0 433.465 -0.052 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000444571131 1072644441 /nfs/dbraw/zinc/64/44/41/1072644441.db2.gz OVPYXTAVDCFRPJ-UHFFFAOYSA-N 0 0 443.508 0.108 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)n1 ZINC000444571553 1072644220 /nfs/dbraw/zinc/64/42/20/1072644220.db2.gz PBHXDMGBZVIJLC-UHFFFAOYSA-N 0 0 434.541 0.451 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)C(=O)Nc1ccn(CC(F)F)n1 ZINC000444571661 1073310369 /nfs/dbraw/zinc/31/03/69/1073310369.db2.gz QCJRUICPWNKBPU-UHFFFAOYSA-N 0 0 445.448 0.016 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)n1 ZINC000444572139 1072644397 /nfs/dbraw/zinc/64/43/97/1072644397.db2.gz NPIQWDBNANLXSL-UHFFFAOYSA-N 0 0 446.483 0.181 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)c1 ZINC000444572512 1072644234 /nfs/dbraw/zinc/64/42/34/1072644234.db2.gz NUXONURDTLXYIN-UHFFFAOYSA-N 0 0 433.465 -0.052 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cnn(-c2ncccn2)c1 ZINC000444572773 1072644247 /nfs/dbraw/zinc/64/42/47/1072644247.db2.gz RTNIPJVMXVEKII-UHFFFAOYSA-N 0 0 425.496 -0.904 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000444573377 1072644366 /nfs/dbraw/zinc/64/43/66/1072644366.db2.gz TVTGFJHLLHFQSV-UHFFFAOYSA-N 0 0 429.481 -0.282 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC1 ZINC000444573604 1073349217 /nfs/dbraw/zinc/34/92/17/1073349217.db2.gz POUNJTDQYVSEAQ-UHFFFAOYSA-N 0 0 428.493 0.263 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccn(CC(F)F)n1)N1CCN(c2ccccn2)CC1 ZINC000444573981 1072644259 /nfs/dbraw/zinc/64/42/59/1072644259.db2.gz UVAAXWBZDJOGMQ-UHFFFAOYSA-N 0 0 435.435 0.337 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)C(=O)Nc1cnn(-c2ncccn2)c1 ZINC000444574022 1072644357 /nfs/dbraw/zinc/64/43/57/1072644357.db2.gz VCGBVZNWGXMYOM-UHFFFAOYSA-N 0 0 426.481 -0.339 20 0 IBADRN CCOC1CC(CNC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)(N2CCOCC2)C1 ZINC000444574089 1072645063 /nfs/dbraw/zinc/64/50/63/1072645063.db2.gz QLCSVPAKJSXHCE-UHFFFAOYSA-N 0 0 444.554 -0.061 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000444574377 1072644941 /nfs/dbraw/zinc/64/49/41/1072644941.db2.gz VKEXFJKTJKKWKL-INIZCTEOSA-N 0 0 442.524 -0.368 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000444574378 1072645014 /nfs/dbraw/zinc/64/50/14/1072645014.db2.gz VKEXFJKTJKKWKL-MRXNPFEDSA-N 0 0 442.524 -0.368 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)n1 ZINC000444574561 1072645059 /nfs/dbraw/zinc/64/50/59/1072645059.db2.gz WIIPLMFTYGSQKI-INIZCTEOSA-N 0 0 434.541 0.450 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)n1 ZINC000444574563 1072645052 /nfs/dbraw/zinc/64/50/52/1072645052.db2.gz WIIPLMFTYGSQKI-MRXNPFEDSA-N 0 0 434.541 0.450 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)n1 ZINC000444575441 1072644975 /nfs/dbraw/zinc/64/49/75/1072644975.db2.gz XQZITNYZJFENPB-CYBMUJFWSA-N 0 0 432.868 0.485 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)n1 ZINC000444575442 1072644981 /nfs/dbraw/zinc/64/49/81/1072644981.db2.gz XQZITNYZJFENPB-ZDUSSCGKSA-N 0 0 432.868 0.485 20 0 IBADRN CCO[C@H]1C[C@](CO)(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1(C)C ZINC000444577294 1072646141 /nfs/dbraw/zinc/64/61/41/1072646141.db2.gz DJIXURUIWOZLRV-KBXCAEBGSA-N 0 0 427.479 -0.076 20 0 IBADRN CCO[C@@H]1C[C@@](CO)(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1(C)C ZINC000444577295 1072646070 /nfs/dbraw/zinc/64/60/70/1072646070.db2.gz DJIXURUIWOZLRV-KDOFPFPSSA-N 0 0 427.479 -0.076 20 0 IBADRN CCO[C@H]1C[C@@](CO)(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1(C)C ZINC000444577296 1072646075 /nfs/dbraw/zinc/64/60/75/1072646075.db2.gz DJIXURUIWOZLRV-KSSFIOAISA-N 0 0 427.479 -0.076 20 0 IBADRN CCO[C@@H]1C[C@](CO)(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1(C)C ZINC000444577298 1072646059 /nfs/dbraw/zinc/64/60/59/1072646059.db2.gz DJIXURUIWOZLRV-RDTXWAMCSA-N 0 0 427.479 -0.076 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)n1 ZINC000444577615 1072646085 /nfs/dbraw/zinc/64/60/85/1072646085.db2.gz DRTZBEBFJIQICE-UHFFFAOYSA-N 0 0 434.541 0.309 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)ncn1 ZINC000444577706 1073349615 /nfs/dbraw/zinc/34/96/15/1073349615.db2.gz FGZQSFMOAKRLIY-HNNXBMFYSA-N 0 0 428.497 0.047 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)ncn1 ZINC000444577713 1073349583 /nfs/dbraw/zinc/34/95/83/1073349583.db2.gz FGZQSFMOAKRLIY-OAHLLOKOSA-N 0 0 428.497 0.047 20 0 IBADRN CCOC1CC(CNC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)(N2CCOCC2)C1 ZINC000444578530 1072646098 /nfs/dbraw/zinc/64/60/98/1072646098.db2.gz NJOCNIBGKKOJNO-UHFFFAOYSA-N 0 0 439.534 -0.055 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCCN(C(=O)CC3CCCC3)CC2)n1 ZINC000444578807 1073302892 /nfs/dbraw/zinc/30/28/92/1073302892.db2.gz GKMRDXMVTAGNPQ-UHFFFAOYSA-N 0 0 432.525 0.551 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3Cl)C2)n1 ZINC000444579489 1072646080 /nfs/dbraw/zinc/64/60/80/1072646080.db2.gz IFBNPULRXOYYHM-GFCCVEGCSA-N 0 0 432.868 0.485 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3Cl)C2)n1 ZINC000444579490 1072646022 /nfs/dbraw/zinc/64/60/22/1072646022.db2.gz IFBNPULRXOYYHM-LBPRGKRZSA-N 0 0 432.868 0.485 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)n1 ZINC000444580369 1072646126 /nfs/dbraw/zinc/64/61/26/1072646126.db2.gz KKSVDWYKEZHCQF-GOSISDBHSA-N 0 0 442.520 -0.031 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)n1 ZINC000444580372 1072646044 /nfs/dbraw/zinc/64/60/44/1072646044.db2.gz KKSVDWYKEZHCQF-SFHVURJKSA-N 0 0 442.520 -0.031 20 0 IBADRN Cn1cccc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)c1=O ZINC000444582805 1072646133 /nfs/dbraw/zinc/64/61/33/1072646133.db2.gz PQWCFOLOXOZZEV-UHFFFAOYSA-N 0 0 447.517 -0.424 20 0 IBADRN COc1cc(OC)c(CCNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)c(OC)c1 ZINC000444583012 1073342245 /nfs/dbraw/zinc/34/22/45/1073342245.db2.gz QIWYYNFRDNKGAG-UHFFFAOYSA-N 0 0 433.465 0.295 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)n1 ZINC000444583029 1072646065 /nfs/dbraw/zinc/64/60/65/1072646065.db2.gz QLEFTUOMOMYTOS-UHFFFAOYSA-N 0 0 434.541 0.307 20 0 IBADRN COc1cc(F)ccc1N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC1 ZINC000444585304 1072646879 /nfs/dbraw/zinc/64/68/79/1072646879.db2.gz HKXLCOQJDILKIU-UHFFFAOYSA-N 0 0 432.456 0.406 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)n1 ZINC000444585336 1072646804 /nfs/dbraw/zinc/64/68/04/1072646804.db2.gz HPTZDRKOHSFPMW-GOSISDBHSA-N 0 0 440.504 0.418 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)n1 ZINC000444585337 1072646844 /nfs/dbraw/zinc/64/68/44/1072646844.db2.gz HPTZDRKOHSFPMW-SFHVURJKSA-N 0 0 440.504 0.418 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)n1 ZINC000444585508 1072646739 /nfs/dbraw/zinc/64/67/39/1072646739.db2.gz ZRCBRGRNFCWMCX-AWEZNQCLSA-N 0 0 434.522 0.161 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)n1 ZINC000444585513 1072646785 /nfs/dbraw/zinc/64/67/85/1072646785.db2.gz ZRCBRGRNFCWMCX-CQSZACIVSA-N 0 0 434.522 0.161 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)n1 ZINC000444585843 1073303916 /nfs/dbraw/zinc/30/39/16/1073303916.db2.gz JSIHOCOKRAQOJF-KRWDZBQOSA-N 0 0 428.493 0.100 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)n1 ZINC000444585844 1072646900 /nfs/dbraw/zinc/64/69/00/1072646900.db2.gz JSIHOCOKRAQOJF-QGZVFWFLSA-N 0 0 428.493 0.100 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)Nc3ccn(CC(F)F)n3)CC2)CC1 ZINC000444585862 1073312334 /nfs/dbraw/zinc/31/23/34/1073312334.db2.gz JWLRQJJMDJMCNT-UHFFFAOYSA-N 0 0 426.468 0.489 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)n1 ZINC000444587233 1072644957 /nfs/dbraw/zinc/64/49/57/1072644957.db2.gz VQPXTDWJHIBLHP-UHFFFAOYSA-N 0 0 434.541 0.261 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C3CCCCC3)CC2)n1 ZINC000444587601 1072646720 /nfs/dbraw/zinc/64/67/20/1072646720.db2.gz XUPOLSMMWQQJTG-UHFFFAOYSA-N 0 0 434.541 0.403 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)cc1 ZINC000444587637 1072646874 /nfs/dbraw/zinc/64/68/74/1072646874.db2.gz AKKKDTBFWOJXIK-GOSISDBHSA-N 0 0 442.520 0.408 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)cc1 ZINC000444587638 1072646795 /nfs/dbraw/zinc/64/67/95/1072646795.db2.gz AKKKDTBFWOJXIK-SFHVURJKSA-N 0 0 442.520 0.408 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)n1 ZINC000444589152 1072645428 /nfs/dbraw/zinc/64/54/28/1072645428.db2.gz ACQGXEGBVGDFPD-KRWDZBQOSA-N 0 0 446.483 0.239 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)n1 ZINC000444589153 1072645454 /nfs/dbraw/zinc/64/54/54/1072645454.db2.gz ACQGXEGBVGDFPD-QGZVFWFLSA-N 0 0 446.483 0.239 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)n1 ZINC000444589506 1072644998 /nfs/dbraw/zinc/64/49/98/1072644998.db2.gz BIPPRMVLJPODMC-KRWDZBQOSA-N 0 0 428.493 0.100 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)n1 ZINC000444589507 1072645604 /nfs/dbraw/zinc/64/56/04/1072645604.db2.gz BIPPRMVLJPODMC-QGZVFWFLSA-N 0 0 428.493 0.100 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)n1 ZINC000444590179 1072645500 /nfs/dbraw/zinc/64/55/00/1072645500.db2.gz DNOPKRZTQPWTRF-UHFFFAOYSA-N 0 0 445.499 -0.013 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)n1 ZINC000444592327 1073303223 /nfs/dbraw/zinc/30/32/23/1073303223.db2.gz IMSIHPZTJFPAIG-KRWDZBQOSA-N 0 0 428.493 -0.073 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)n1 ZINC000444592332 1072645518 /nfs/dbraw/zinc/64/55/18/1072645518.db2.gz IMSIHPZTJFPAIG-QGZVFWFLSA-N 0 0 428.493 -0.073 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)N1CCN(c2ccccc2)CC1 ZINC000444592891 1072645633 /nfs/dbraw/zinc/64/56/33/1072645633.db2.gz JIWRFRNBJMWNKS-KRWDZBQOSA-N 0 0 441.536 0.237 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)N1CCN(c2ccccc2)CC1 ZINC000444592896 1072645397 /nfs/dbraw/zinc/64/53/97/1072645397.db2.gz JIWRFRNBJMWNKS-QGZVFWFLSA-N 0 0 441.536 0.237 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCc2ccnc(OCC(F)(F)F)c2)n1 ZINC000444593383 1072645442 /nfs/dbraw/zinc/64/54/42/1072645442.db2.gz IGHKCTNAUYWYPN-UHFFFAOYSA-N 0 0 428.371 0.562 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)n1 ZINC000444593522 1072645612 /nfs/dbraw/zinc/64/56/12/1072645612.db2.gz FVQFURMAOUHCDE-UHFFFAOYSA-N 0 0 428.493 0.058 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)N2CCOCC2)c1 ZINC000444593771 1073343020 /nfs/dbraw/zinc/34/30/20/1073343020.db2.gz HCILKUNXTYHAKD-GOSISDBHSA-N 0 0 442.520 0.408 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)N2CCOCC2)c1 ZINC000444593772 1073342931 /nfs/dbraw/zinc/34/29/31/1073342931.db2.gz HCILKUNXTYHAKD-SFHVURJKSA-N 0 0 442.520 0.408 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)n1 ZINC000444593863 1072645566 /nfs/dbraw/zinc/64/55/66/1072645566.db2.gz IQNAJFFNVOHTPU-UHFFFAOYSA-N 0 0 442.520 0.562 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)n1 ZINC000444594933 1072646208 /nfs/dbraw/zinc/64/62/08/1072646208.db2.gz MZWUNCXTXVIIRD-INIZCTEOSA-N 0 0 426.477 0.390 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H](CN2CCCC2=O)c2ccccc2)n1 ZINC000444594947 1072646110 /nfs/dbraw/zinc/64/61/10/1072646110.db2.gz MZWUNCXTXVIIRD-MRXNPFEDSA-N 0 0 426.477 0.390 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)N2CCOCC2)o1 ZINC000444597442 1072648562 /nfs/dbraw/zinc/64/85/62/1072648562.db2.gz RJPUJUDPYWGKMJ-HNNXBMFYSA-N 0 0 432.481 0.001 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)N2CCOCC2)o1 ZINC000444597443 1072648418 /nfs/dbraw/zinc/64/84/18/1072648418.db2.gz RJPUJUDPYWGKMJ-OAHLLOKOSA-N 0 0 432.481 0.001 20 0 IBADRN C(c1nnnn1CC1CCOCC1)N1CCN(Cc2nnnn2CC2CCOCC2)CC1 ZINC000444598805 1072649248 /nfs/dbraw/zinc/64/92/48/1072649248.db2.gz PTICMMOZEMOYGZ-UHFFFAOYSA-N 0 0 446.560 -0.169 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCc2cccnc2OCC(F)(F)F)n1 ZINC000444599473 1072649040 /nfs/dbraw/zinc/64/90/40/1072649040.db2.gz TYNGIJUJSJVULU-UHFFFAOYSA-N 0 0 428.371 0.562 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)n1 ZINC000444601463 1072647227 /nfs/dbraw/zinc/64/72/27/1072647227.db2.gz ZUPQAICMSUOLKK-UHFFFAOYSA-N 0 0 447.496 -0.329 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3CC3CCOCC3)CC2)C[C@H](C)O1 ZINC000444602177 1072647381 /nfs/dbraw/zinc/64/73/81/1072647381.db2.gz YUMUADXJNKAOGT-HOTGVXAUSA-N 0 0 443.574 -0.429 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3CC3CCOCC3)CC2)C[C@@H](C)O1 ZINC000444602178 1072647347 /nfs/dbraw/zinc/64/73/47/1072647347.db2.gz YUMUADXJNKAOGT-HZPDHXFCSA-N 0 0 443.574 -0.429 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nnnn3CC3CCOCC3)CC2)C[C@H](C)O1 ZINC000444602179 1072647167 /nfs/dbraw/zinc/64/71/67/1072647167.db2.gz YUMUADXJNKAOGT-IYBDPMFKSA-N 0 0 443.574 -0.429 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCN(Cc2cccs2)CC1 ZINC000444604578 1072647179 /nfs/dbraw/zinc/64/71/79/1072647179.db2.gz BGGBMGDUHZZLDM-KRWDZBQOSA-N 0 0 438.550 -0.228 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCN(Cc2cccs2)CC1 ZINC000444604580 1072647409 /nfs/dbraw/zinc/64/74/09/1072647409.db2.gz BGGBMGDUHZZLDM-QGZVFWFLSA-N 0 0 438.550 -0.228 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000444604775 1072647331 /nfs/dbraw/zinc/64/73/31/1072647331.db2.gz BNYLKFRMMBDFEP-INIZCTEOSA-N 0 0 449.443 -0.572 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000444604776 1072647217 /nfs/dbraw/zinc/64/72/17/1072647217.db2.gz BNYLKFRMMBDFEP-MRXNPFEDSA-N 0 0 449.443 -0.572 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000444607315 1072647397 /nfs/dbraw/zinc/64/73/97/1072647397.db2.gz HNRBVMHNJTYZPL-GOSISDBHSA-N 0 0 436.484 -0.146 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000444607318 1072647321 /nfs/dbraw/zinc/64/73/21/1072647321.db2.gz HNRBVMHNJTYZPL-SFHVURJKSA-N 0 0 436.484 -0.146 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000444607946 1072647420 /nfs/dbraw/zinc/64/74/20/1072647420.db2.gz IUMLZJSBBCTPFA-IBGZPJMESA-N 0 0 432.521 -0.289 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000444607947 1072647247 /nfs/dbraw/zinc/64/72/47/1072647247.db2.gz IUMLZJSBBCTPFA-LJQANCHMSA-N 0 0 432.521 -0.289 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000444608154 1072647369 /nfs/dbraw/zinc/64/73/69/1072647369.db2.gz KLXPSDSSVIKXLX-HNNXBMFYSA-N 0 0 442.419 -0.600 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000444608157 1072648038 /nfs/dbraw/zinc/64/80/38/1072648038.db2.gz KLXPSDSSVIKXLX-OAHLLOKOSA-N 0 0 442.419 -0.600 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000444608264 1072647869 /nfs/dbraw/zinc/64/78/69/1072647869.db2.gz KNOKQHZSTFZGCR-HNNXBMFYSA-N 0 0 435.416 -0.880 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000444608265 1072647922 /nfs/dbraw/zinc/64/79/22/1072647922.db2.gz KNOKQHZSTFZGCR-OAHLLOKOSA-N 0 0 435.416 -0.880 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N(CC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000444611095 1072648084 /nfs/dbraw/zinc/64/80/84/1072648084.db2.gz QMKHTQDSHRADCQ-CVEARBPZSA-N 0 0 447.554 -0.962 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N(CC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000444611099 1072648027 /nfs/dbraw/zinc/64/80/27/1072648027.db2.gz QMKHTQDSHRADCQ-HOTGVXAUSA-N 0 0 447.554 -0.962 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N(CC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000444611386 1072647998 /nfs/dbraw/zinc/64/79/98/1072647998.db2.gz QMKHTQDSHRADCQ-HZPDHXFCSA-N 0 0 447.554 -0.962 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N(CC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000444611387 1072647928 /nfs/dbraw/zinc/64/79/28/1072647928.db2.gz QMKHTQDSHRADCQ-JKSUJKDBSA-N 0 0 447.554 -0.962 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000444612503 1072648066 /nfs/dbraw/zinc/64/80/66/1072648066.db2.gz SHCLXBLVMOUJNX-HNNXBMFYSA-N 0 0 432.433 -0.769 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000444612507 1072647961 /nfs/dbraw/zinc/64/79/61/1072647961.db2.gz SHCLXBLVMOUJNX-OAHLLOKOSA-N 0 0 432.433 -0.769 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000444612531 1072647913 /nfs/dbraw/zinc/64/79/13/1072647913.db2.gz SJMHALKSVOTYDM-KRWDZBQOSA-N 0 0 434.493 -0.228 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000444612533 1072647879 /nfs/dbraw/zinc/64/78/79/1072647879.db2.gz SJMHALKSVOTYDM-QGZVFWFLSA-N 0 0 434.493 -0.228 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000444612624 1072647939 /nfs/dbraw/zinc/64/79/39/1072647939.db2.gz UNTPDALXFNUBRS-KRWDZBQOSA-N 0 0 433.509 -0.500 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000444612625 1072648047 /nfs/dbraw/zinc/64/80/47/1072648047.db2.gz UNTPDALXFNUBRS-QGZVFWFLSA-N 0 0 433.509 -0.500 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000444613304 1072648102 /nfs/dbraw/zinc/64/81/02/1072648102.db2.gz WWIYVELVKDQVFY-HNNXBMFYSA-N 0 0 445.469 -0.452 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC000444613311 1072647885 /nfs/dbraw/zinc/64/78/85/1072647885.db2.gz WWIYVELVKDQVFY-OAHLLOKOSA-N 0 0 445.469 -0.452 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000444614328 1072648577 /nfs/dbraw/zinc/64/85/77/1072648577.db2.gz XEFNQEJESACARP-GOSISDBHSA-N 0 0 429.477 -0.131 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000444614329 1072648606 /nfs/dbraw/zinc/64/86/06/1072648606.db2.gz XEFNQEJESACARP-SFHVURJKSA-N 0 0 429.477 -0.131 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000444614492 1072648537 /nfs/dbraw/zinc/64/85/37/1072648537.db2.gz YQVAXWKJJIFZSR-KRWDZBQOSA-N 0 0 438.550 -0.228 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000444614493 1072648614 /nfs/dbraw/zinc/64/86/14/1072648614.db2.gz YQVAXWKJJIFZSR-QGZVFWFLSA-N 0 0 438.550 -0.228 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC000444615544 1072648570 /nfs/dbraw/zinc/64/85/70/1072648570.db2.gz GUXDHHFPXGVOEN-KRWDZBQOSA-N 0 0 440.541 -0.528 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC000444615556 1072648585 /nfs/dbraw/zinc/64/85/85/1072648585.db2.gz GUXDHHFPXGVOEN-QGZVFWFLSA-N 0 0 440.541 -0.528 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000444615910 1072648406 /nfs/dbraw/zinc/64/84/06/1072648406.db2.gz MVWWMWTZWGXNEF-CVEARBPZSA-N 0 0 434.449 -0.756 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000444615912 1072648595 /nfs/dbraw/zinc/64/85/95/1072648595.db2.gz MVWWMWTZWGXNEF-HOTGVXAUSA-N 0 0 434.449 -0.756 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000444615913 1072648476 /nfs/dbraw/zinc/64/84/76/1072648476.db2.gz MVWWMWTZWGXNEF-HZPDHXFCSA-N 0 0 434.449 -0.756 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000444615915 1072648589 /nfs/dbraw/zinc/64/85/89/1072648589.db2.gz MVWWMWTZWGXNEF-JKSUJKDBSA-N 0 0 434.449 -0.756 20 0 IBADRN CCOC1CC(O)(CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000444616066 1072648600 /nfs/dbraw/zinc/64/86/00/1072648600.db2.gz HPZKGYOQKFLYLU-BFHRDPOASA-N 0 0 448.563 -0.186 20 0 IBADRN CCOC1CC(O)(CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000444616067 1072648552 /nfs/dbraw/zinc/64/85/52/1072648552.db2.gz HPZKGYOQKFLYLU-HQVVEAJESA-N 0 0 448.563 -0.186 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC000444617837 1072648460 /nfs/dbraw/zinc/64/84/60/1072648460.db2.gz MWVQEZKVSXPFEU-KRWDZBQOSA-N 0 0 438.525 -0.773 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC000444617838 1072648519 /nfs/dbraw/zinc/64/85/19/1072648519.db2.gz MWVQEZKVSXPFEU-QGZVFWFLSA-N 0 0 438.525 -0.773 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000444636130 1072649207 /nfs/dbraw/zinc/64/92/07/1072649207.db2.gz CPDWKLNIBSRUQO-GFCCVEGCSA-N 0 0 431.492 -0.332 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000444636131 1072649151 /nfs/dbraw/zinc/64/91/51/1072649151.db2.gz CPDWKLNIBSRUQO-LBPRGKRZSA-N 0 0 431.492 -0.332 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCCC[C@@H](S(C)(=O)=O)C3)nc2n(C)c1=O ZINC000444645833 1073353861 /nfs/dbraw/zinc/35/38/61/1073353861.db2.gz HYHYLJVVMPWYPN-CYBMUJFWSA-N 0 0 437.522 0.416 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCCC[C@H](S(C)(=O)=O)C3)nc2n(C)c1=O ZINC000444645834 1073353900 /nfs/dbraw/zinc/35/39/00/1073353900.db2.gz HYHYLJVVMPWYPN-ZDUSSCGKSA-N 0 0 437.522 0.416 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000444646530 1072649782 /nfs/dbraw/zinc/64/97/82/1072649782.db2.gz BNUVDFBAFNGXIE-MRXNPFEDSA-N 0 0 426.539 -0.356 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000444646996 1072649650 /nfs/dbraw/zinc/64/96/50/1072649650.db2.gz CYFSDGMPWHPVSQ-INIZCTEOSA-N 0 0 443.547 -0.154 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000444646997 1072649706 /nfs/dbraw/zinc/64/97/06/1072649706.db2.gz CYFSDGMPWHPVSQ-MRXNPFEDSA-N 0 0 443.547 -0.154 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(Cc3nnnn3CC3CCOCC3)CC2)o1 ZINC000444647827 1072649662 /nfs/dbraw/zinc/64/96/62/1072649662.db2.gz FXOJHLXDPUZFFV-UHFFFAOYSA-N 0 0 439.498 -0.702 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N(CCO)CCN1CCOCC1 ZINC000444648473 1071090649 /nfs/dbraw/zinc/09/06/49/1071090649.db2.gz NPELMMCIWYNYIR-UHFFFAOYSA-N 0 0 442.538 -0.134 20 0 IBADRN CCN(C(=O)CN1CCN(C[C@@H](C)O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000444649778 1072649690 /nfs/dbraw/zinc/64/96/90/1072649690.db2.gz BLYTWIGLUKHYSB-MRXNPFEDSA-N 0 0 444.536 -0.069 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@H](S(C)(=O)=O)C1 ZINC000444649826 1072649724 /nfs/dbraw/zinc/64/97/24/1072649724.db2.gz NFABEAGSSBKIAH-DOMZBBRYSA-N 0 0 431.536 -0.122 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000444649827 1072649822 /nfs/dbraw/zinc/64/98/22/1072649822.db2.gz NFABEAGSSBKIAH-IUODEOHRSA-N 0 0 431.536 -0.122 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000444649828 1072649709 /nfs/dbraw/zinc/64/97/09/1072649709.db2.gz NFABEAGSSBKIAH-SWLSCSKDSA-N 0 0 431.536 -0.122 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@H](S(C)(=O)=O)C1 ZINC000444649829 1072649681 /nfs/dbraw/zinc/64/96/81/1072649681.db2.gz NFABEAGSSBKIAH-WFASDCNBSA-N 0 0 431.536 -0.122 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000444650265 1072649756 /nfs/dbraw/zinc/64/97/56/1072649756.db2.gz MCMWNHWGUCDDNZ-MRXNPFEDSA-N 0 0 428.530 -0.343 20 0 IBADRN Cn1cccc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c1=O ZINC000444650626 1072649797 /nfs/dbraw/zinc/64/97/97/1072649797.db2.gz CQMOLSUQKVPGHE-UHFFFAOYSA-N 0 0 427.465 -0.701 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000444653152 1072650427 /nfs/dbraw/zinc/65/04/27/1072650427.db2.gz YXLJFLBPLLLQIL-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000444653283 1072650431 /nfs/dbraw/zinc/65/04/31/1072650431.db2.gz LLXLSLNCOIGLCY-QGZVFWFLSA-N 0 0 440.566 -0.678 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(C[C@@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000444653476 1072650467 /nfs/dbraw/zinc/65/04/67/1072650467.db2.gz UQHNCGWFDSPJJX-QGZVFWFLSA-N 0 0 440.566 -0.047 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(C[C@@H](C)O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000444654137 1072650451 /nfs/dbraw/zinc/65/04/51/1072650451.db2.gz NUAINNKYRPQJIX-MRXNPFEDSA-N 0 0 429.521 -0.159 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)n1 ZINC000444655548 1073303933 /nfs/dbraw/zinc/30/39/33/1073303933.db2.gz WGYFZNRSOHICLR-UHFFFAOYSA-N 0 0 432.456 0.202 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000444655614 1072650294 /nfs/dbraw/zinc/65/02/94/1072650294.db2.gz XEUXIWMRLMCNQL-MRXNPFEDSA-N 0 0 428.530 -0.343 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)n1 ZINC000444655859 1072650374 /nfs/dbraw/zinc/65/03/74/1072650374.db2.gz BHWFCJLSKMGUHM-UHFFFAOYSA-N 0 0 441.448 -0.053 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000444656123 1072650407 /nfs/dbraw/zinc/65/04/07/1072650407.db2.gz ZMTTXIGNLFXQDY-MRXNPFEDSA-N 0 0 428.530 -0.343 20 0 IBADRN O=C(C[C@@H]1COCCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccco1 ZINC000444656289 1071096161 /nfs/dbraw/zinc/09/61/61/1071096161.db2.gz DKNWGEBGKAUEAG-GOSISDBHSA-N 0 0 448.520 -0.444 20 0 IBADRN O=C(C[C@H]1COCCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccco1 ZINC000444656290 1071096243 /nfs/dbraw/zinc/09/62/43/1071096243.db2.gz DKNWGEBGKAUEAG-SFHVURJKSA-N 0 0 448.520 -0.444 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)n1 ZINC000444656756 1072650348 /nfs/dbraw/zinc/65/03/48/1072650348.db2.gz HZMMGRFYNJITJX-AWEZNQCLSA-N 0 0 432.868 0.485 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)n1 ZINC000444656757 1072650418 /nfs/dbraw/zinc/65/04/18/1072650418.db2.gz HZMMGRFYNJITJX-CQSZACIVSA-N 0 0 432.868 0.485 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)n1 ZINC000444658375 1072651000 /nfs/dbraw/zinc/65/10/00/1072651000.db2.gz BATCXWGDVDUSDW-UHFFFAOYSA-N 0 0 444.452 -0.865 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000444660537 1072651046 /nfs/dbraw/zinc/65/10/46/1072651046.db2.gz SHCIGDGANKPVRL-UHFFFAOYSA-N 0 0 425.471 -0.601 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCCN2C(=O)COc3ccccc32)n1 ZINC000444660707 1072651093 /nfs/dbraw/zinc/65/10/93/1072651093.db2.gz KKYLZGAPXCURRT-UHFFFAOYSA-N 0 0 428.449 -0.158 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(c3ncnc4ccsc43)CC2)n1 ZINC000444665104 1072651649 /nfs/dbraw/zinc/65/16/49/1072651649.db2.gz PFTPDGWUPRLORJ-UHFFFAOYSA-N 0 0 442.505 0.263 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000444666180 1072651475 /nfs/dbraw/zinc/65/14/75/1072651475.db2.gz WPKYURBPPNBTFN-KRWDZBQOSA-N 0 0 441.536 0.237 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000444666183 1072651457 /nfs/dbraw/zinc/65/14/57/1072651457.db2.gz WPKYURBPPNBTFN-QGZVFWFLSA-N 0 0 441.536 0.237 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(Cc3cccc(F)c3)C(=O)C2)n1 ZINC000444667088 1072651503 /nfs/dbraw/zinc/65/15/03/1072651503.db2.gz AMZMNLDUKUIDHS-UHFFFAOYSA-N 0 0 430.440 -0.080 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(Cc3ccc(Cl)cc3)C(=O)C2)n1 ZINC000444670760 1072651492 /nfs/dbraw/zinc/65/14/92/1072651492.db2.gz XHQRMGIYSCKXQE-UHFFFAOYSA-N 0 0 446.895 0.434 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000444672433 1072651432 /nfs/dbraw/zinc/65/14/32/1072651432.db2.gz KXHQOGJKDNHDRI-FGTMMUONSA-N 0 0 431.599 -0.042 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000444672434 1072651632 /nfs/dbraw/zinc/65/16/32/1072651632.db2.gz KXHQOGJKDNHDRI-KURKYZTESA-N 0 0 431.599 -0.042 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000444672435 1072651688 /nfs/dbraw/zinc/65/16/88/1072651688.db2.gz KXHQOGJKDNHDRI-KZNAEPCWSA-N 0 0 431.599 -0.042 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000444672436 1072652129 /nfs/dbraw/zinc/65/21/29/1072652129.db2.gz KXHQOGJKDNHDRI-SQNIBIBYSA-N 0 0 431.599 -0.042 20 0 IBADRN O=C(C[C@@H]1COCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccco1 ZINC000444676431 1072652319 /nfs/dbraw/zinc/65/23/19/1072652319.db2.gz NVAQFSRCFRSHDH-DLBZAZTESA-N 0 0 439.534 -0.116 20 0 IBADRN O=C(C[C@@H]1COCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccco1 ZINC000444676432 1072652059 /nfs/dbraw/zinc/65/20/59/1072652059.db2.gz NVAQFSRCFRSHDH-IAGOWNOFSA-N 0 0 439.534 -0.116 20 0 IBADRN O=C(C[C@H]1COCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccco1 ZINC000444676433 1072652117 /nfs/dbraw/zinc/65/21/17/1072652117.db2.gz NVAQFSRCFRSHDH-IRXDYDNUSA-N 0 0 439.534 -0.116 20 0 IBADRN O=C(C[C@H]1COCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccco1 ZINC000444676434 1072652144 /nfs/dbraw/zinc/65/21/44/1072652144.db2.gz NVAQFSRCFRSHDH-SJORKVTESA-N 0 0 439.534 -0.116 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)n1 ZINC000444680306 1072652280 /nfs/dbraw/zinc/65/22/80/1072652280.db2.gz WZANSJMHIBKSTJ-UHFFFAOYSA-N 0 0 428.375 -0.053 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)n1 ZINC000444681773 1072652249 /nfs/dbraw/zinc/65/22/49/1072652249.db2.gz RNFFIZRPKOWSHJ-HNNXBMFYSA-N 0 0 442.476 -0.294 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)n1 ZINC000444681780 1072652170 /nfs/dbraw/zinc/65/21/70/1072652170.db2.gz RNFFIZRPKOWSHJ-OAHLLOKOSA-N 0 0 442.476 -0.294 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCCN(C(=O)C3CC3)CC2)CC1 ZINC000444682274 1072652091 /nfs/dbraw/zinc/65/20/91/1072652091.db2.gz SQAWIFVXEJSGQA-UHFFFAOYSA-N 0 0 426.525 -0.044 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C[C@@H](C)O)CC2)CC1 ZINC000444682707 1072652152 /nfs/dbraw/zinc/65/21/52/1072652152.db2.gz BDXQQCWQBXYNFA-CQSZACIVSA-N 0 0 429.543 -0.877 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000444683164 1072652903 /nfs/dbraw/zinc/65/29/03/1072652903.db2.gz DRRBHGJPPBBLHE-XLIONFOSSA-N 0 0 432.521 -0.504 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000444683168 1072652865 /nfs/dbraw/zinc/65/28/65/1072652865.db2.gz DRRBHGJPPBBLHE-YLJYHZDGSA-N 0 0 432.521 -0.504 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000444685609 1072651121 /nfs/dbraw/zinc/65/11/21/1072651121.db2.gz ARWCSRRGDQZTMK-QGZVFWFLSA-N 0 0 440.566 -0.678 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000444686996 1072650893 /nfs/dbraw/zinc/65/08/93/1072650893.db2.gz YOYOULVJDHJLNY-MRXNPFEDSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000444687822 1072652296 /nfs/dbraw/zinc/65/22/96/1072652296.db2.gz WTOSJHQOJKJUMR-OAHLLOKOSA-N 0 0 446.520 -0.204 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C[C@@H](C)O)CC3)CC2)cc1 ZINC000444688475 1072652893 /nfs/dbraw/zinc/65/28/93/1072652893.db2.gz KCCKCEVDQDSXDU-QGZVFWFLSA-N 0 0 440.566 -0.474 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C[C@@H](O)[C@@H](O)C1 ZINC000444688676 1072652920 /nfs/dbraw/zinc/65/29/20/1072652920.db2.gz CZXDQOZRQORGNA-CALCHBBNSA-N 0 0 443.522 -0.529 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C[C@@H](O)[C@H](O)C1 ZINC000444688809 1072652748 /nfs/dbraw/zinc/65/27/48/1072652748.db2.gz CZXDQOZRQORGNA-IAGOWNOFSA-N 0 0 443.522 -0.529 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C[C@H](O)[C@@H](O)C1 ZINC000444688810 1072652855 /nfs/dbraw/zinc/65/28/55/1072652855.db2.gz CZXDQOZRQORGNA-IRXDYDNUSA-N 0 0 443.522 -0.529 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN(C[C@@H](C)O)CC3)nc(=O)c12 ZINC000444689299 1072652709 /nfs/dbraw/zinc/65/27/09/1072652709.db2.gz MPKAZPVZQQYBRX-LLVKDONJSA-N 0 0 438.506 -0.015 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C[C@H](O)[C@@H](O)C2)CC1)Nc1ccccc1C(F)(F)F ZINC000444694092 1072652847 /nfs/dbraw/zinc/65/28/47/1072652847.db2.gz PWHKQEZNHVPEMF-HOTGVXAUSA-N 0 0 430.427 -0.175 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C[C@@H](O)[C@H](O)C2)CC1)Nc1ccccc1C(F)(F)F ZINC000444694095 1072652777 /nfs/dbraw/zinc/65/27/77/1072652777.db2.gz PWHKQEZNHVPEMF-HZPDHXFCSA-N 0 0 430.427 -0.175 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C[C@@H](O)[C@@H](O)C2)CC1)Nc1ccccc1C(F)(F)F ZINC000444694100 1072652733 /nfs/dbraw/zinc/65/27/33/1072652733.db2.gz PWHKQEZNHVPEMF-IYBDPMFKSA-N 0 0 430.427 -0.175 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2C[C@@H](O)[C@@H](O)C2)c1C(=O)OC ZINC000444694345 1072652812 /nfs/dbraw/zinc/65/28/12/1072652812.db2.gz CIQRYVGCGUCLJG-AOOOYVTPSA-N 0 0 430.435 -0.987 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2C[C@@H](O)[C@H](O)C2)c1C(=O)OC ZINC000444694346 1072652858 /nfs/dbraw/zinc/65/28/58/1072652858.db2.gz CIQRYVGCGUCLJG-NXEZZACHSA-N 0 0 430.435 -0.987 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2C[C@H](O)[C@@H](O)C2)c1C(=O)OC ZINC000444694347 1072652798 /nfs/dbraw/zinc/65/27/98/1072652798.db2.gz CIQRYVGCGUCLJG-UWVGGRQHSA-N 0 0 430.435 -0.987 20 0 IBADRN Cc1cc2c(ncnc2N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)s1 ZINC000444694574 1072652725 /nfs/dbraw/zinc/65/27/25/1072652725.db2.gz KIEPVGHGCVDRDU-UHFFFAOYSA-N 0 0 429.506 -0.016 20 0 IBADRN CC(C)CN(C(=O)CN1C[C@H](O)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000444695924 1072652787 /nfs/dbraw/zinc/65/27/87/1072652787.db2.gz UKBOBOLLTRXDGZ-HOTGVXAUSA-N 0 0 431.493 -0.394 20 0 IBADRN CC(C)CN(C(=O)CN1C[C@@H](O)[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000444695926 1072652804 /nfs/dbraw/zinc/65/28/04/1072652804.db2.gz UKBOBOLLTRXDGZ-HZPDHXFCSA-N 0 0 431.493 -0.394 20 0 IBADRN CC(C)CN(C(=O)CN1C[C@@H](O)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000444695927 1072652912 /nfs/dbraw/zinc/65/29/12/1072652912.db2.gz UKBOBOLLTRXDGZ-IYBDPMFKSA-N 0 0 431.493 -0.394 20 0 IBADRN CCCCn1c(N)c(N(Cc2ccccc2)C(=O)CN2C[C@H](O)[C@@H](O)C2)c(=O)[nH]c1=O ZINC000444699964 1072653551 /nfs/dbraw/zinc/65/35/51/1072653551.db2.gz FLCUFBSRXWQZCL-HOTGVXAUSA-N 0 0 431.493 -0.098 20 0 IBADRN CCCCn1c(N)c(N(Cc2ccccc2)C(=O)CN2C[C@@H](O)[C@H](O)C2)c(=O)[nH]c1=O ZINC000444699966 1072653482 /nfs/dbraw/zinc/65/34/82/1072653482.db2.gz FLCUFBSRXWQZCL-HZPDHXFCSA-N 0 0 431.493 -0.098 20 0 IBADRN CCCCn1c(N)c(N(Cc2ccccc2)C(=O)CN2C[C@@H](O)[C@@H](O)C2)c(=O)[nH]c1=O ZINC000444699967 1072653513 /nfs/dbraw/zinc/65/35/13/1072653513.db2.gz FLCUFBSRXWQZCL-IYBDPMFKSA-N 0 0 431.493 -0.098 20 0 IBADRN CCCCN(C(=O)CN1C[C@H](O)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000444701627 1072653382 /nfs/dbraw/zinc/65/33/82/1072653382.db2.gz HXBLYYFQECRBIH-HOTGVXAUSA-N 0 0 431.493 -0.250 20 0 IBADRN CCCCN(C(=O)CN1C[C@@H](O)[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000444701628 1072653557 /nfs/dbraw/zinc/65/35/57/1072653557.db2.gz HXBLYYFQECRBIH-HZPDHXFCSA-N 0 0 431.493 -0.250 20 0 IBADRN CCCCN(C(=O)CN1C[C@@H](O)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000444701629 1072653407 /nfs/dbraw/zinc/65/34/07/1072653407.db2.gz HXBLYYFQECRBIH-IYBDPMFKSA-N 0 0 431.493 -0.250 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)CN1C[C@@H](O)[C@@H](O)C1 ZINC000444705501 1072653529 /nfs/dbraw/zinc/65/35/29/1072653529.db2.gz VTJMQFINCBRNIE-HDICACEKSA-N 0 0 426.539 -0.097 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)CN1C[C@@H](O)[C@H](O)C1 ZINC000444705502 1072653498 /nfs/dbraw/zinc/65/34/98/1072653498.db2.gz VTJMQFINCBRNIE-QZTJIDSGSA-N 0 0 426.539 -0.097 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)CN1C[C@H](O)[C@@H](O)C1 ZINC000444705503 1072653508 /nfs/dbraw/zinc/65/35/08/1072653508.db2.gz VTJMQFINCBRNIE-ROUUACIJSA-N 0 0 426.539 -0.097 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)CC1)N1CCOCC1 ZINC000444719335 1071122033 /nfs/dbraw/zinc/12/20/33/1071122033.db2.gz QQUFBVHRABQNIW-CRAIPNDOSA-N 0 0 436.475 -0.375 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@H]([C@@H](O)C(F)(F)F)C2)CC1)N1CCOCC1 ZINC000444719336 1071121866 /nfs/dbraw/zinc/12/18/66/1071121866.db2.gz QQUFBVHRABQNIW-MAUKXSAKSA-N 0 0 436.475 -0.375 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@@H]([C@H](O)C(F)(F)F)C2)CC1)N1CCOCC1 ZINC000444719337 1071122010 /nfs/dbraw/zinc/12/20/10/1071122010.db2.gz QQUFBVHRABQNIW-QAPCUYQASA-N 0 0 436.475 -0.375 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@H]([C@H](O)C(F)(F)F)C2)CC1)N1CCOCC1 ZINC000444719338 1071122972 /nfs/dbraw/zinc/12/29/72/1071122972.db2.gz QQUFBVHRABQNIW-YJBOKZPZSA-N 0 0 436.475 -0.375 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@H](O)[C@@H]2C(=O)OC)c(=O)[nH]c1=O ZINC000444726056 1072654796 /nfs/dbraw/zinc/65/47/96/1072654796.db2.gz AFWBDPUERMMXSH-GXTWGEPZSA-N 0 0 425.486 -0.318 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@H](O)[C@H]2C(=O)OC)c(=O)[nH]c1=O ZINC000444726057 1072654828 /nfs/dbraw/zinc/65/48/28/1072654828.db2.gz AFWBDPUERMMXSH-JSGCOSHPSA-N 0 0 425.486 -0.318 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@@H](O)[C@H]2C(=O)OC)c(=O)[nH]c1=O ZINC000444726058 1072654849 /nfs/dbraw/zinc/65/48/49/1072654849.db2.gz AFWBDPUERMMXSH-OCCSQVGLSA-N 0 0 425.486 -0.318 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@@H](O)[C@@H]2C(=O)OC)c(=O)[nH]c1=O ZINC000444726059 1072654927 /nfs/dbraw/zinc/65/49/27/1072654927.db2.gz AFWBDPUERMMXSH-TZMCWYRMSA-N 0 0 425.486 -0.318 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000444726794 1072654813 /nfs/dbraw/zinc/65/48/13/1072654813.db2.gz BMQDUGICNCKDMB-AEFFLSMTSA-N 0 0 425.507 -0.482 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000444726795 1072654984 /nfs/dbraw/zinc/65/49/84/1072654984.db2.gz BMQDUGICNCKDMB-FUHWJXTLSA-N 0 0 425.507 -0.482 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000444726796 1072654965 /nfs/dbraw/zinc/65/49/65/1072654965.db2.gz BMQDUGICNCKDMB-SJLPKXTDSA-N 0 0 425.507 -0.482 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000444726797 1072654937 /nfs/dbraw/zinc/65/49/37/1072654937.db2.gz BMQDUGICNCKDMB-WMZOPIPTSA-N 0 0 425.507 -0.482 20 0 IBADRN COCc1noc(CN2CCN(CCC(=O)N3CCN(c4ncccn4)CC3)CC2)n1 ZINC000444726823 1072654973 /nfs/dbraw/zinc/65/49/73/1072654973.db2.gz BTAPWRXINGHQTQ-UHFFFAOYSA-N 0 0 430.513 -0.138 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000444726865 1072654899 /nfs/dbraw/zinc/65/48/99/1072654899.db2.gz CSEXOWSJICWCJS-FXAWDEMLSA-N 0 0 436.484 -0.093 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000444726867 1072654860 /nfs/dbraw/zinc/65/48/60/1072654860.db2.gz CSEXOWSJICWCJS-PXNSSMCTSA-N 0 0 436.484 -0.093 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000444726869 1072654839 /nfs/dbraw/zinc/65/48/39/1072654839.db2.gz CSEXOWSJICWCJS-XLIONFOSSA-N 0 0 436.484 -0.093 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000444726872 1072654879 /nfs/dbraw/zinc/65/48/79/1072654879.db2.gz CSEXOWSJICWCJS-YLJYHZDGSA-N 0 0 436.484 -0.093 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000444728898 1072655653 /nfs/dbraw/zinc/65/56/53/1072655653.db2.gz CNWHLFRIZGLSEG-CXAGYDPISA-N 0 0 429.495 -0.118 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000444728899 1072655655 /nfs/dbraw/zinc/65/56/55/1072655655.db2.gz CNWHLFRIZGLSEG-DYVFJYSZSA-N 0 0 429.495 -0.118 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000444728900 1072655521 /nfs/dbraw/zinc/65/55/21/1072655521.db2.gz CNWHLFRIZGLSEG-GUYCJALGSA-N 0 0 429.495 -0.118 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000444728901 1072655648 /nfs/dbraw/zinc/65/56/48/1072655648.db2.gz CNWHLFRIZGLSEG-SUMWQHHRSA-N 0 0 429.495 -0.118 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000444729522 1072653460 /nfs/dbraw/zinc/65/34/60/1072653460.db2.gz DMIFZLXZTMPSKK-GDBMZVCRSA-N 0 0 444.510 -0.877 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000444729527 1072653494 /nfs/dbraw/zinc/65/34/94/1072653494.db2.gz DMIFZLXZTMPSKK-GOEBONIOSA-N 0 0 444.510 -0.877 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000444729528 1072653399 /nfs/dbraw/zinc/65/33/99/1072653399.db2.gz DMIFZLXZTMPSKK-HOCLYGCPSA-N 0 0 444.510 -0.877 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000444729529 1072653542 /nfs/dbraw/zinc/65/35/42/1072653542.db2.gz DMIFZLXZTMPSKK-ZBFHGGJFSA-N 0 0 444.510 -0.877 20 0 IBADRN COCc1noc(CN2CCN(CC(=O)N3CCN(C(=O)c4ccco4)CC3)CC2)n1 ZINC000444729961 1072653427 /nfs/dbraw/zinc/65/34/27/1072653427.db2.gz GLIBCYAECNEEIQ-UHFFFAOYSA-N 0 0 432.481 -0.089 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000444731494 1072654085 /nfs/dbraw/zinc/65/40/85/1072654085.db2.gz KOSAMZOTDZUXPE-PBHICJAKSA-N 0 0 430.461 -0.642 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000444731495 1072654038 /nfs/dbraw/zinc/65/40/38/1072654038.db2.gz KOSAMZOTDZUXPE-RHSMWYFYSA-N 0 0 430.461 -0.642 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000444731496 1072654023 /nfs/dbraw/zinc/65/40/23/1072654023.db2.gz KOSAMZOTDZUXPE-WMLDXEAASA-N 0 0 430.461 -0.642 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000444731497 1072654064 /nfs/dbraw/zinc/65/40/64/1072654064.db2.gz KOSAMZOTDZUXPE-YOEHRIQHSA-N 0 0 430.461 -0.642 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444731780 1072653366 /nfs/dbraw/zinc/65/33/66/1072653366.db2.gz HMHFQYCMQJAWPI-AEFFLSMTSA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444731782 1072653536 /nfs/dbraw/zinc/65/35/36/1072653536.db2.gz HMHFQYCMQJAWPI-FUHWJXTLSA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444731783 1072653549 /nfs/dbraw/zinc/65/35/49/1072653549.db2.gz HMHFQYCMQJAWPI-SJLPKXTDSA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000444731787 1072653998 /nfs/dbraw/zinc/65/39/98/1072653998.db2.gz HMHFQYCMQJAWPI-WMZOPIPTSA-N 0 0 443.497 -0.343 20 0 IBADRN COCc1noc(CN2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)CC2)n1 ZINC000444733267 1072654067 /nfs/dbraw/zinc/65/40/67/1072654067.db2.gz MKOWJLJTXZSOBA-UHFFFAOYSA-N 0 0 449.512 -0.685 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000444733891 1072654074 /nfs/dbraw/zinc/65/40/74/1072654074.db2.gz NEBWTIPWRWBLIW-AEFFLSMTSA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000444733892 1072653965 /nfs/dbraw/zinc/65/39/65/1072653965.db2.gz NEBWTIPWRWBLIW-FUHWJXTLSA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000444733893 1072654033 /nfs/dbraw/zinc/65/40/33/1072654033.db2.gz NEBWTIPWRWBLIW-SJLPKXTDSA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000444733894 1072654028 /nfs/dbraw/zinc/65/40/28/1072654028.db2.gz NEBWTIPWRWBLIW-WMZOPIPTSA-N 0 0 443.497 -0.343 20 0 IBADRN COCc1noc(CN2CCN(CC(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)CC2)n1 ZINC000444734218 1072654237 /nfs/dbraw/zinc/65/42/37/1072654237.db2.gz MLHWPDZQAPCHHD-UHFFFAOYSA-N 0 0 438.510 -0.072 20 0 IBADRN COCc1noc(CN2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)[nH]c3=O)CC2)n1 ZINC000444734700 1072653983 /nfs/dbraw/zinc/65/39/83/1072653983.db2.gz OAGRVCZGNIJOBM-UHFFFAOYSA-N 0 0 435.485 -0.283 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000444734852 1072654087 /nfs/dbraw/zinc/65/40/87/1072654087.db2.gz LUYJSKQKJHJHDD-AEFFLSMTSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000444734857 1072654082 /nfs/dbraw/zinc/65/40/82/1072654082.db2.gz LUYJSKQKJHJHDD-FUHWJXTLSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000444734860 1072654061 /nfs/dbraw/zinc/65/40/61/1072654061.db2.gz LUYJSKQKJHJHDD-SJLPKXTDSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000444734864 1072654006 /nfs/dbraw/zinc/65/40/06/1072654006.db2.gz LUYJSKQKJHJHDD-WMZOPIPTSA-N 0 0 441.506 -0.356 20 0 IBADRN O=C(CN1CCC[C@H]([C@H](O)C(F)(F)F)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000444735378 1072654342 /nfs/dbraw/zinc/65/43/42/1072654342.db2.gz ZRPYTPQMSFOSRO-DZKIICNBSA-N 0 0 427.489 -0.047 20 0 IBADRN O=C(CN1CCC[C@H]([C@H](O)C(F)(F)F)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000444735379 1072654293 /nfs/dbraw/zinc/65/42/93/1072654293.db2.gz ZRPYTPQMSFOSRO-LZWOXQAQSA-N 0 0 427.489 -0.047 20 0 IBADRN O=C(CN1CCC[C@H]([C@@H](O)C(F)(F)F)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000444735380 1072654352 /nfs/dbraw/zinc/65/43/52/1072654352.db2.gz ZRPYTPQMSFOSRO-OFQRWUPVSA-N 0 0 427.489 -0.047 20 0 IBADRN O=C(CN1CCC[C@H]([C@@H](O)C(F)(F)F)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000444735381 1072654273 /nfs/dbraw/zinc/65/42/73/1072654273.db2.gz ZRPYTPQMSFOSRO-SQWLQELKSA-N 0 0 427.489 -0.047 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(Cc2nc(COC)no2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000444735900 1072654336 /nfs/dbraw/zinc/65/43/36/1072654336.db2.gz OLYSBMVUCCTMKX-CABCVRRESA-N 0 0 429.543 -0.242 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(Cc2nc(COC)no2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000444735901 1072654401 /nfs/dbraw/zinc/65/44/01/1072654401.db2.gz OLYSBMVUCCTMKX-GJZGRUSLSA-N 0 0 429.543 -0.242 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(Cc2nc(COC)no2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000444735902 1072654314 /nfs/dbraw/zinc/65/43/14/1072654314.db2.gz OLYSBMVUCCTMKX-HUUCEWRRSA-N 0 0 429.543 -0.242 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(Cc2nc(COC)no2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000444735903 1072654346 /nfs/dbraw/zinc/65/43/46/1072654346.db2.gz OLYSBMVUCCTMKX-LSDHHAIUSA-N 0 0 429.543 -0.242 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000444737251 1072654396 /nfs/dbraw/zinc/65/43/96/1072654396.db2.gz RMCXAEJBKJBDHH-HKUYNNGSSA-N 0 0 439.534 -0.341 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000444737255 1072654357 /nfs/dbraw/zinc/65/43/57/1072654357.db2.gz RMCXAEJBKJBDHH-IEBWSBKVSA-N 0 0 439.534 -0.341 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000444737258 1072654259 /nfs/dbraw/zinc/65/42/59/1072654259.db2.gz RMCXAEJBKJBDHH-MJGOQNOKSA-N 0 0 439.534 -0.341 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000444737260 1072654286 /nfs/dbraw/zinc/65/42/86/1072654286.db2.gz RMCXAEJBKJBDHH-PKOBYXMFSA-N 0 0 439.534 -0.341 20 0 IBADRN COCc1noc(CN2CCN(CCCn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)n1 ZINC000444738042 1072654381 /nfs/dbraw/zinc/65/43/81/1072654381.db2.gz TWAGVWKWNXWACT-UHFFFAOYSA-N 0 0 432.485 -0.829 20 0 IBADRN COCc1noc(CN2CCN([C@@H](C)C(=O)N3CCN(c4ncccn4)CC3)CC2)n1 ZINC000444740918 1072656061 /nfs/dbraw/zinc/65/60/61/1072656061.db2.gz WYRHFVAAMLGVAA-INIZCTEOSA-N 0 0 430.513 -0.139 20 0 IBADRN COCc1noc(CN2CCN([C@H](C)C(=O)N3CCN(c4ncccn4)CC3)CC2)n1 ZINC000444740921 1072656255 /nfs/dbraw/zinc/65/62/55/1072656255.db2.gz WYRHFVAAMLGVAA-MRXNPFEDSA-N 0 0 430.513 -0.139 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000444741166 1072655533 /nfs/dbraw/zinc/65/55/33/1072655533.db2.gz XTLSUGJXRSTQCX-BPAFIMBUSA-N 0 0 447.488 -0.505 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000444741176 1072655497 /nfs/dbraw/zinc/65/54/97/1072655497.db2.gz XTLSUGJXRSTQCX-CVAIRZPRSA-N 0 0 447.488 -0.505 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000444741177 1072655632 /nfs/dbraw/zinc/65/56/32/1072655632.db2.gz XTLSUGJXRSTQCX-QSFXBCCZSA-N 0 0 447.488 -0.505 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000444741178 1072655517 /nfs/dbraw/zinc/65/55/17/1072655517.db2.gz XTLSUGJXRSTQCX-ZTNFWEORSA-N 0 0 447.488 -0.505 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000444741544 1072656230 /nfs/dbraw/zinc/65/62/30/1072656230.db2.gz VAHHPVZBWKTLMM-CRAIPNDOSA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000444741545 1072656203 /nfs/dbraw/zinc/65/62/03/1072656203.db2.gz VAHHPVZBWKTLMM-MAUKXSAKSA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000444741546 1072656288 /nfs/dbraw/zinc/65/62/88/1072656288.db2.gz VAHHPVZBWKTLMM-QAPCUYQASA-N 0 0 443.497 -0.343 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000444741547 1072656104 /nfs/dbraw/zinc/65/61/04/1072656104.db2.gz VAHHPVZBWKTLMM-YJBOKZPZSA-N 0 0 443.497 -0.343 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC[C@@H](O)[C@@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000444742780 1072656193 /nfs/dbraw/zinc/65/61/93/1072656193.db2.gz XKILDFIRQNJFEY-CRAIPNDOSA-N 0 0 444.488 -0.159 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC[C@H](O)[C@@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000444742781 1072656266 /nfs/dbraw/zinc/65/62/66/1072656266.db2.gz XKILDFIRQNJFEY-MAUKXSAKSA-N 0 0 444.488 -0.159 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC[C@@H](O)[C@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000444742782 1072656274 /nfs/dbraw/zinc/65/62/74/1072656274.db2.gz XKILDFIRQNJFEY-QAPCUYQASA-N 0 0 444.488 -0.159 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC[C@H](O)[C@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000444742783 1072656177 /nfs/dbraw/zinc/65/61/77/1072656177.db2.gz XKILDFIRQNJFEY-YJBOKZPZSA-N 0 0 444.488 -0.159 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444743324 1072656079 /nfs/dbraw/zinc/65/60/79/1072656079.db2.gz YPAWWMBAKYGBOE-AEFFLSMTSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444743326 1072656291 /nfs/dbraw/zinc/65/62/91/1072656291.db2.gz YPAWWMBAKYGBOE-FUHWJXTLSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444743328 1072656092 /nfs/dbraw/zinc/65/60/92/1072656092.db2.gz YPAWWMBAKYGBOE-SJLPKXTDSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000444743329 1072656296 /nfs/dbraw/zinc/65/62/96/1072656296.db2.gz YPAWWMBAKYGBOE-WMZOPIPTSA-N 0 0 441.506 -0.356 20 0 IBADRN Cn1cc([C@@H]2CN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)C[C@H]2CO)cn1 ZINC000444750561 1072656819 /nfs/dbraw/zinc/65/68/19/1072656819.db2.gz GATAUSRFOQASPX-MANSERQUSA-N 0 0 440.566 -0.378 20 0 IBADRN Cn1cc([C@@H]2CN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)C[C@H]2CO)cn1 ZINC000444750562 1072656696 /nfs/dbraw/zinc/65/66/96/1072656696.db2.gz GATAUSRFOQASPX-OKYOBFRVSA-N 0 0 440.566 -0.378 20 0 IBADRN Cn1cc([C@@H]2CN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)C[C@H]2CO)cn1 ZINC000444750563 1072656718 /nfs/dbraw/zinc/65/67/18/1072656718.db2.gz GATAUSRFOQASPX-RDGPPVDQSA-N 0 0 440.566 -0.378 20 0 IBADRN Cn1cc([C@@H]2CN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)C[C@H]2CO)cn1 ZINC000444750564 1072656624 /nfs/dbraw/zinc/65/66/24/1072656624.db2.gz GATAUSRFOQASPX-VJANTYMQSA-N 0 0 440.566 -0.378 20 0 IBADRN C[C@@H]1COCC[C@@H]1C(=O)NCCS(=O)(=O)N1CCN(C(=O)[C@H]2CCOC[C@H]2C)CC1 ZINC000444752969 1072656850 /nfs/dbraw/zinc/65/68/50/1072656850.db2.gz LJZRUPUCCNRYAJ-BDXSIMOUSA-N 0 0 445.582 -0.078 20 0 IBADRN C[C@H]1COCC[C@@H]1C(=O)N1CCN(S(=O)(=O)CCNC(=O)[C@H]2CCOC[C@H]2C)CC1 ZINC000444752971 1072656688 /nfs/dbraw/zinc/65/66/88/1072656688.db2.gz LJZRUPUCCNRYAJ-OWSLCNJRSA-N 0 0 445.582 -0.078 20 0 IBADRN C[C@H]1COCC[C@H]1C(=O)N1CCN(S(=O)(=O)CCNC(=O)[C@H]2CCOC[C@H]2C)CC1 ZINC000444752974 1072656611 /nfs/dbraw/zinc/65/66/11/1072656611.db2.gz LJZRUPUCCNRYAJ-VSZNYVQBSA-N 0 0 445.582 -0.078 20 0 IBADRN C[C@@H]1COCC[C@H]1C(=O)N1CCN(S(=O)(=O)CCNC(=O)[C@H]2CCOC[C@H]2C)CC1 ZINC000444752975 1072656725 /nfs/dbraw/zinc/65/67/25/1072656725.db2.gz LJZRUPUCCNRYAJ-ZJPYXAASSA-N 0 0 445.582 -0.078 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000444755916 1072656572 /nfs/dbraw/zinc/65/65/72/1072656572.db2.gz GSYZPAUHDOYMDP-UHFFFAOYSA-N 0 0 428.515 -0.735 20 0 IBADRN C[C@@H]1CN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CCN1CC(C)(C)O ZINC000444755999 1072656731 /nfs/dbraw/zinc/65/67/31/1072656731.db2.gz AAWGYARBCVJDLC-FGTMMUONSA-N 0 0 431.599 -0.042 20 0 IBADRN C[C@@H]1CN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CCN1CC(C)(C)O ZINC000444756000 1072656762 /nfs/dbraw/zinc/65/67/62/1072656762.db2.gz AAWGYARBCVJDLC-KURKYZTESA-N 0 0 431.599 -0.042 20 0 IBADRN C[C@@H]1CN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CCN1CC(C)(C)O ZINC000444756001 1072657551 /nfs/dbraw/zinc/65/75/51/1072657551.db2.gz AAWGYARBCVJDLC-KZNAEPCWSA-N 0 0 431.599 -0.042 20 0 IBADRN C[C@@H]1CN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CCN1CC(C)(C)O ZINC000444756002 1072657358 /nfs/dbraw/zinc/65/73/58/1072657358.db2.gz AAWGYARBCVJDLC-SQNIBIBYSA-N 0 0 431.599 -0.042 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n1 ZINC000444756678 1072655539 /nfs/dbraw/zinc/65/55/39/1072655539.db2.gz GGPHADQTHJXIGH-INIZCTEOSA-N 0 0 448.524 -0.132 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n1 ZINC000444756682 1072655634 /nfs/dbraw/zinc/65/56/34/1072655634.db2.gz GGPHADQTHJXIGH-MRXNPFEDSA-N 0 0 448.524 -0.132 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000444758250 1072655407 /nfs/dbraw/zinc/65/54/07/1072655407.db2.gz NIRYWOBSGNLKAB-UHFFFAOYSA-N 0 0 439.498 -0.292 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000444759487 1072655586 /nfs/dbraw/zinc/65/55/86/1072655586.db2.gz QHVYWLKCZNELES-UHFFFAOYSA-N 0 0 443.464 -0.260 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000444763058 1072657408 /nfs/dbraw/zinc/65/74/08/1072657408.db2.gz TWTDLCDKVQSCLY-LLVKDONJSA-N 0 0 439.498 -0.212 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000444763059 1072657240 /nfs/dbraw/zinc/65/72/40/1072657240.db2.gz TWTDLCDKVQSCLY-NSHDSACASA-N 0 0 439.498 -0.212 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000444763221 1072657591 /nfs/dbraw/zinc/65/75/91/1072657591.db2.gz TZJQERJVQXJXTK-UHFFFAOYSA-N 0 0 441.448 -0.053 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000444765128 1072657611 /nfs/dbraw/zinc/65/76/11/1072657611.db2.gz YHYDJRRTYQAADH-UHFFFAOYSA-N 0 0 444.452 -0.865 20 0 IBADRN CCO[C@@H]1C[C@@](NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)(C(=O)OC)C1(C)C ZINC000444767080 1072657602 /nfs/dbraw/zinc/65/76/02/1072657602.db2.gz OVDGFSIOHHDZHL-JXXFODFXSA-N 0 0 445.582 -0.346 20 0 IBADRN CCO[C@@H]1C[C@](NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)(C(=O)OC)C1(C)C ZINC000444767081 1072657583 /nfs/dbraw/zinc/65/75/83/1072657583.db2.gz OVDGFSIOHHDZHL-QINHECLXSA-N 0 0 445.582 -0.346 20 0 IBADRN CCO[C@@H]1C[C@@](NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)(C(=O)OC)C1(C)C ZINC000444767082 1072657392 /nfs/dbraw/zinc/65/73/92/1072657392.db2.gz OVDGFSIOHHDZHL-RZQQEMMASA-N 0 0 445.582 -0.346 20 0 IBADRN CCO[C@@H]1C[C@](NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)(C(=O)OC)C1(C)C ZINC000444767083 1072657607 /nfs/dbraw/zinc/65/76/07/1072657607.db2.gz OVDGFSIOHHDZHL-YRNRMSPPSA-N 0 0 445.582 -0.346 20 0 IBADRN Cn1c(CCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)nnc1-c1ccccc1 ZINC000444776976 1072658173 /nfs/dbraw/zinc/65/81/73/1072658173.db2.gz NIKSWERYPQPGEY-UHFFFAOYSA-N 0 0 436.476 -0.022 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(C[C@@H](C)O)CC2)cc1 ZINC000444787059 1072658859 /nfs/dbraw/zinc/65/88/59/1072658859.db2.gz WICAJCHUEHJKFR-MRXNPFEDSA-N 0 0 440.566 -0.028 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCCC[C@H](S(C)(=O)=O)C2)CC1 ZINC000444799145 1072659187 /nfs/dbraw/zinc/65/91/87/1072659187.db2.gz RWKPHRKIKYOJFY-HNNXBMFYSA-N 0 0 435.554 -0.089 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCCC[C@@H](S(C)(=O)=O)C2)CC1 ZINC000444799148 1072658824 /nfs/dbraw/zinc/65/88/24/1072658824.db2.gz RWKPHRKIKYOJFY-OAHLLOKOSA-N 0 0 435.554 -0.089 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000444809982 1072659081 /nfs/dbraw/zinc/65/90/81/1072659081.db2.gz BDTBWDDCQOYSSL-JTQLQIEISA-N 0 0 433.469 -0.588 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000444809987 1072659163 /nfs/dbraw/zinc/65/91/63/1072659163.db2.gz BDTBWDDCQOYSSL-SNVBAGLBSA-N 0 0 433.469 -0.588 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)n1 ZINC000444811958 1072659684 /nfs/dbraw/zinc/65/96/84/1072659684.db2.gz JTKGFRQLJMORGL-UHFFFAOYSA-N 0 0 430.531 -0.442 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)n1 ZINC000444820573 1072658920 /nfs/dbraw/zinc/65/89/20/1072658920.db2.gz WBJXFUVUMZOZIY-UHFFFAOYSA-N 0 0 435.529 -0.607 20 0 IBADRN COCc1noc(CN2CCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000444822075 1072659723 /nfs/dbraw/zinc/65/97/23/1072659723.db2.gz GILNOSJDBRVWQE-UHFFFAOYSA-N 0 0 444.452 -0.489 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000444822226 1072658883 /nfs/dbraw/zinc/65/88/83/1072658883.db2.gz HRJDJPURWSLHIS-ZDUSSCGKSA-N 0 0 434.453 -0.542 20 0 IBADRN Cc1c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)nnn1Cc1ccc(F)cc1 ZINC000444822580 1072659785 /nfs/dbraw/zinc/65/97/85/1072659785.db2.gz ABGLGMWCNVAIMI-DLBZAZTESA-N 0 0 437.497 -0.310 20 0 IBADRN Cc1c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)nnn1Cc1ccc(F)cc1 ZINC000444822581 1072659792 /nfs/dbraw/zinc/65/97/92/1072659792.db2.gz ABGLGMWCNVAIMI-IAGOWNOFSA-N 0 0 437.497 -0.310 20 0 IBADRN Cc1c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)nnn1Cc1ccc(F)cc1 ZINC000444822582 1072659850 /nfs/dbraw/zinc/65/98/50/1072659850.db2.gz ABGLGMWCNVAIMI-IRXDYDNUSA-N 0 0 437.497 -0.310 20 0 IBADRN Cc1c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)nnn1Cc1ccc(F)cc1 ZINC000444822583 1072659660 /nfs/dbraw/zinc/65/96/60/1072659660.db2.gz ABGLGMWCNVAIMI-SJORKVTESA-N 0 0 437.497 -0.310 20 0 IBADRN C[C@H](NC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(N)=O ZINC000444824608 1072659735 /nfs/dbraw/zinc/65/97/35/1072659735.db2.gz UQLPUTOROQMVKA-ZDUSSCGKSA-N 0 0 441.510 -0.461 20 0 IBADRN COCCc1nsc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000444827195 1072659854 /nfs/dbraw/zinc/65/98/54/1072659854.db2.gz QUHQKJMXYRCWQH-UHFFFAOYSA-N 0 0 447.564 -0.115 20 0 IBADRN COC1(CO)CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000444846106 1072660985 /nfs/dbraw/zinc/66/09/85/1072660985.db2.gz GUALOYWGXXWFEN-UHFFFAOYSA-N 0 0 441.554 -0.284 20 0 IBADRN CCOC1CC(O)(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000444848574 1072660420 /nfs/dbraw/zinc/66/04/20/1072660420.db2.gz LCMXMMMEMSIALG-UHFFFAOYSA-N 0 0 441.554 -0.237 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000444858672 1072661063 /nfs/dbraw/zinc/66/10/63/1072661063.db2.gz KOBSANZBOHMAOP-UHFFFAOYSA-N 0 0 432.462 -0.090 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000444860142 1072661050 /nfs/dbraw/zinc/66/10/50/1072661050.db2.gz PRSSRULEENALQT-HNNXBMFYSA-N 0 0 438.485 -0.433 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1F ZINC000444865080 1072662143 /nfs/dbraw/zinc/66/21/43/1072662143.db2.gz LMDIJMZXLFYQAP-UHFFFAOYSA-N 0 0 443.453 -0.696 20 0 IBADRN COCc1noc(CN2CCN(C(=O)Nc3nnc(CCC(=O)OC)s3)CC2)n1 ZINC000444865692 1072662188 /nfs/dbraw/zinc/66/21/88/1072662188.db2.gz QLAFXVRTBSVBLW-UHFFFAOYSA-N 0 0 425.471 0.523 20 0 IBADRN O=S(=O)(CCc1ccc2c(c1)CCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000444866613 1072662080 /nfs/dbraw/zinc/66/20/80/1072662080.db2.gz ASKOSLZDDXUXAN-UHFFFAOYSA-N 0 0 445.563 -0.312 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000444867737 1072662034 /nfs/dbraw/zinc/66/20/34/1072662034.db2.gz AGBRFOGRUXNBNX-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC000444874160 1072662173 /nfs/dbraw/zinc/66/21/73/1072662173.db2.gz QAFVTHDEVLZBPF-UHFFFAOYSA-N 0 0 441.437 -0.406 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000444878161 1072662006 /nfs/dbraw/zinc/66/20/06/1072662006.db2.gz VYXJPHNMXKAVMN-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)OC)c(F)c1 ZINC000444878637 1072662167 /nfs/dbraw/zinc/66/21/67/1072662167.db2.gz VAHNWOWDHTZADY-LLVKDONJSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)OC)c(F)c1 ZINC000444878638 1072662073 /nfs/dbraw/zinc/66/20/73/1072662073.db2.gz VAHNWOWDHTZADY-NSHDSACASA-N 0 0 436.483 -0.074 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)N2CCN(Cc3nc(COC)no3)CC2)s1 ZINC000444879213 1073338894 /nfs/dbraw/zinc/33/88/94/1073338894.db2.gz SQCHGXGADATECZ-UHFFFAOYSA-N 0 0 425.471 0.523 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)n(C)n1 ZINC000444901950 1073321306 /nfs/dbraw/zinc/32/13/06/1073321306.db2.gz LYHCNFZIWCEECO-INIZCTEOSA-N 0 0 430.513 0.574 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)n(C)n1 ZINC000444901951 1073321146 /nfs/dbraw/zinc/32/11/46/1073321146.db2.gz LYHCNFZIWCEECO-MRXNPFEDSA-N 0 0 430.513 0.574 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000444903411 1072662784 /nfs/dbraw/zinc/66/27/84/1072662784.db2.gz PQKZWKFOUYTIFJ-UHFFFAOYSA-N 0 0 436.494 0.019 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000444905439 1072662728 /nfs/dbraw/zinc/66/27/28/1072662728.db2.gz UIVLQUOUGHODMN-KBPBESRZSA-N 0 0 428.515 -0.276 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000444905440 1072662797 /nfs/dbraw/zinc/66/27/97/1072662797.db2.gz UIVLQUOUGHODMN-KGLIPLIRSA-N 0 0 428.515 -0.276 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000444905442 1072662777 /nfs/dbraw/zinc/66/27/77/1072662777.db2.gz UIVLQUOUGHODMN-UONOGXRCSA-N 0 0 428.515 -0.276 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000444905444 1072662683 /nfs/dbraw/zinc/66/26/83/1072662683.db2.gz UIVLQUOUGHODMN-ZIAGYGMSSA-N 0 0 428.515 -0.276 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000444907318 1072662712 /nfs/dbraw/zinc/66/27/12/1072662712.db2.gz DZZNSKCYPBWKEE-CABCVRRESA-N 0 0 428.515 -0.276 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000444907320 1072662770 /nfs/dbraw/zinc/66/27/70/1072662770.db2.gz DZZNSKCYPBWKEE-GJZGRUSLSA-N 0 0 428.515 -0.276 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000444907321 1072662730 /nfs/dbraw/zinc/66/27/30/1072662730.db2.gz DZZNSKCYPBWKEE-HUUCEWRRSA-N 0 0 428.515 -0.276 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000444907322 1072662720 /nfs/dbraw/zinc/66/27/20/1072662720.db2.gz DZZNSKCYPBWKEE-LSDHHAIUSA-N 0 0 428.515 -0.276 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)CC1 ZINC000444985407 1072664642 /nfs/dbraw/zinc/66/46/42/1072664642.db2.gz WFBUEKWUWXYHHR-UHFFFAOYSA-N 0 0 438.554 -0.207 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000444985861 1072664477 /nfs/dbraw/zinc/66/44/77/1072664477.db2.gz MABVXJYANGJGSS-CABCVRRESA-N 0 0 439.542 -0.646 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000444985862 1072664448 /nfs/dbraw/zinc/66/44/48/1072664448.db2.gz MABVXJYANGJGSS-GJZGRUSLSA-N 0 0 439.542 -0.646 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000444985863 1072664624 /nfs/dbraw/zinc/66/46/24/1072664624.db2.gz MABVXJYANGJGSS-HUUCEWRRSA-N 0 0 439.542 -0.646 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000444985864 1072664533 /nfs/dbraw/zinc/66/45/33/1072664533.db2.gz MABVXJYANGJGSS-LSDHHAIUSA-N 0 0 439.542 -0.646 20 0 IBADRN Cc1n[nH]cc1-c1nnc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC000444991298 1072665039 /nfs/dbraw/zinc/66/50/39/1072665039.db2.gz KYAMCHDEYUJEBN-UHFFFAOYSA-N 0 0 432.466 0.062 20 0 IBADRN Cc1n[nH]cc1-c1nnc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000444994101 1072665117 /nfs/dbraw/zinc/66/51/17/1072665117.db2.gz CHIBYMSDZNFVDF-UHFFFAOYSA-N 0 0 442.527 -0.037 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)CC1 ZINC000444995027 1072665243 /nfs/dbraw/zinc/66/52/43/1072665243.db2.gz GBUSJYFVZZXRFW-UHFFFAOYSA-N 0 0 441.496 -0.282 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)C[C@H]2CO)cn1 ZINC000445014886 1072665215 /nfs/dbraw/zinc/66/52/15/1072665215.db2.gz SNJGDYDGCYUHGP-ROUUACIJSA-N 0 0 442.524 -0.334 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)c2)CC1 ZINC000445016260 1072665151 /nfs/dbraw/zinc/66/51/51/1072665151.db2.gz DTFSPAGFQDFNSZ-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c(OC)c1 ZINC000445018655 1072665705 /nfs/dbraw/zinc/66/57/05/1072665705.db2.gz BDQMQDBOKTXMHM-UHFFFAOYSA-N 0 0 446.460 -0.287 20 0 IBADRN O=C(Nc1ccc(OC2COC2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000445019125 1072665144 /nfs/dbraw/zinc/66/51/44/1072665144.db2.gz CAQCNYQILJOYLD-UHFFFAOYSA-N 0 0 430.461 -0.304 20 0 IBADRN Cc1n[nH]cc1-c1nnc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000445020844 1073348932 /nfs/dbraw/zinc/34/89/32/1073348932.db2.gz XRXBSUMPNOUHAM-UHFFFAOYSA-N 0 0 434.482 -0.228 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1C(F)(F)F ZINC000445022699 1072665815 /nfs/dbraw/zinc/66/58/15/1072665815.db2.gz JKHSOZJROBBBBA-UHFFFAOYSA-N 0 0 430.387 -0.329 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCCC(=O)N2CCOCC2)CC1 ZINC000445024577 1072666722 /nfs/dbraw/zinc/66/67/22/1072666722.db2.gz WBUQSZZSEWZFSA-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)c2)CC1 ZINC000445025281 1072666695 /nfs/dbraw/zinc/66/66/95/1072666695.db2.gz YVBRGLKWIYASJP-UHFFFAOYSA-N 0 0 431.493 -0.341 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c2)CC1 ZINC000445028130 1072666540 /nfs/dbraw/zinc/66/65/40/1072666540.db2.gz AQUAIFJFSFPBPN-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1 ZINC000445028544 1072666524 /nfs/dbraw/zinc/66/65/24/1072666524.db2.gz IRDBEOYXZKDHCQ-UHFFFAOYSA-N 0 0 432.433 -0.630 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCCC[C@@H]2NS(C)(=O)=O)c1 ZINC000445032986 1072666663 /nfs/dbraw/zinc/66/66/63/1072666663.db2.gz LDROUAHWZHEYHH-KBPBESRZSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCCC[C@H]2NS(C)(=O)=O)c1 ZINC000445032993 1072666588 /nfs/dbraw/zinc/66/65/88/1072666588.db2.gz LDROUAHWZHEYHH-KGLIPLIRSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)c1 ZINC000445032995 1072666603 /nfs/dbraw/zinc/66/66/03/1072666603.db2.gz LDROUAHWZHEYHH-UONOGXRCSA-N 0 0 425.554 -0.267 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCCC[C@H]2NS(C)(=O)=O)c1 ZINC000445032997 1072666713 /nfs/dbraw/zinc/66/67/13/1072666713.db2.gz LDROUAHWZHEYHH-ZIAGYGMSSA-N 0 0 425.554 -0.267 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000445043671 1072667841 /nfs/dbraw/zinc/66/78/41/1072667841.db2.gz YOECPZMVWBGJFM-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)CCc1ccc3c(c1)CCO3)C2 ZINC000445043864 1072667202 /nfs/dbraw/zinc/66/72/02/1072667202.db2.gz ZHEXRNSQZADPBE-UHFFFAOYSA-N 0 0 435.506 -0.544 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCCCNS(C)(=O)=O)CC1 ZINC000445046340 1072667798 /nfs/dbraw/zinc/66/77/98/1072667798.db2.gz FSRWKICTRAMSOX-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)CCc1ccc2c(c1)CCO2 ZINC000445047054 1072667762 /nfs/dbraw/zinc/66/77/62/1072667762.db2.gz WLNCVINKYKEZLX-INIZCTEOSA-N 0 0 426.491 -0.126 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)CCc1ccc2c(c1)CCO2 ZINC000445047055 1072667819 /nfs/dbraw/zinc/66/78/19/1072667819.db2.gz WLNCVINKYKEZLX-MRXNPFEDSA-N 0 0 426.491 -0.126 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)c(F)c1 ZINC000445047403 1072667773 /nfs/dbraw/zinc/66/77/73/1072667773.db2.gz XYOGIYOGNPCHLA-AWEZNQCLSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)c(F)c1 ZINC000445047404 1072667678 /nfs/dbraw/zinc/66/76/78/1072667678.db2.gz XYOGIYOGNPCHLA-CQSZACIVSA-N 0 0 432.426 -0.319 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)CC1 ZINC000445049379 1072667739 /nfs/dbraw/zinc/66/77/39/1072667739.db2.gz PWWBHISNVPWRHN-UHFFFAOYSA-N 0 0 444.536 -0.426 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1CN1CCN(C(C)=O)CC1 ZINC000445052972 1072666501 /nfs/dbraw/zinc/66/65/01/1072666501.db2.gz IOYJBGHHCWOAMK-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1F ZINC000445053823 1072666556 /nfs/dbraw/zinc/66/65/56/1072666556.db2.gz CQYWLUZDSGCTLA-UHFFFAOYSA-N 0 0 430.458 -0.506 20 0 IBADRN Cc1cc2cc[nH]c2cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000445054295 1072666688 /nfs/dbraw/zinc/66/66/88/1072666688.db2.gz MVXAXQYEWKYUGY-UHFFFAOYSA-N 0 0 427.461 -0.056 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(N2CCN(C(C)=O)CC2)c1 ZINC000445055217 1072666607 /nfs/dbraw/zinc/66/66/07/1072666607.db2.gz OZKKZHZEDOBMCH-UHFFFAOYSA-N 0 0 425.511 -0.651 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)c2)CC1 ZINC000445055658 1072666641 /nfs/dbraw/zinc/66/66/41/1072666641.db2.gz DXMGMVHRIVDBHY-UHFFFAOYSA-N 0 0 444.536 -0.426 20 0 IBADRN COC(=O)c1scc(C)c1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000445056520 1072666678 /nfs/dbraw/zinc/66/66/78/1072666678.db2.gz FDWDAWAJJUGORW-UHFFFAOYSA-N 0 0 438.506 -0.215 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1F ZINC000445057587 1072667245 /nfs/dbraw/zinc/66/72/45/1072667245.db2.gz VFZZFERVQASIFT-CABCVRRESA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1F ZINC000445057588 1072667036 /nfs/dbraw/zinc/66/70/36/1072667036.db2.gz VFZZFERVQASIFT-GJZGRUSLSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1F ZINC000445057589 1072667073 /nfs/dbraw/zinc/66/70/73/1072667073.db2.gz VFZZFERVQASIFT-HUUCEWRRSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1F ZINC000445057590 1072667145 /nfs/dbraw/zinc/66/71/45/1072667145.db2.gz VFZZFERVQASIFT-LSDHHAIUSA-N 0 0 436.483 -0.612 20 0 IBADRN O=S(=O)(CCc1ccc2c(c1)CCO2)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000445059208 1072667127 /nfs/dbraw/zinc/66/71/27/1072667127.db2.gz ZLBUFMJYAVUNLX-DLBZAZTESA-N 0 0 430.548 -0.419 20 0 IBADRN O=S(=O)(CCc1ccc2c(c1)CCO2)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000445059244 1072667081 /nfs/dbraw/zinc/66/70/81/1072667081.db2.gz ZLBUFMJYAVUNLX-IAGOWNOFSA-N 0 0 430.548 -0.419 20 0 IBADRN O=S(=O)(CCc1ccc2c(c1)CCO2)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000445059245 1072667219 /nfs/dbraw/zinc/66/72/19/1072667219.db2.gz ZLBUFMJYAVUNLX-IRXDYDNUSA-N 0 0 430.548 -0.419 20 0 IBADRN O=S(=O)(CCc1ccc2c(c1)CCO2)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000445059247 1072667213 /nfs/dbraw/zinc/66/72/13/1072667213.db2.gz ZLBUFMJYAVUNLX-SJORKVTESA-N 0 0 430.548 -0.419 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(CN2CCN(C(C)=O)CC2)c1 ZINC000445059413 1072667150 /nfs/dbraw/zinc/66/71/50/1072667150.db2.gz ZXGONUWRQXCJSY-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)c(OC)c1 ZINC000445061234 1072667269 /nfs/dbraw/zinc/66/72/69/1072667269.db2.gz QXTRQXYJYGLGRQ-UHFFFAOYSA-N 0 0 442.428 -0.048 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(OC)c1 ZINC000445062365 1072667282 /nfs/dbraw/zinc/66/72/82/1072667282.db2.gz UAIGCOHVLFORPG-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C(F)(F)F ZINC000445063117 1072667228 /nfs/dbraw/zinc/66/72/28/1072667228.db2.gz XBPNCDLQNURKFQ-UHFFFAOYSA-N 0 0 444.370 -0.803 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C(F)(F)F ZINC000445063506 1072667164 /nfs/dbraw/zinc/66/71/64/1072667164.db2.gz WKPXMWOXQTXEQJ-UHFFFAOYSA-N 0 0 432.403 -0.620 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(OC3COC3)cc2)CC1 ZINC000445064032 1072667092 /nfs/dbraw/zinc/66/70/92/1072667092.db2.gz XJUWXXCUQFTQLE-UHFFFAOYSA-N 0 0 432.477 -0.594 20 0 IBADRN Cc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)s1 ZINC000445064248 1072667140 /nfs/dbraw/zinc/66/71/40/1072667140.db2.gz ZTIBCSRQJGMQLP-UHFFFAOYSA-N 0 0 427.464 -0.385 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(OC3COC3)cc2)CC1 ZINC000445064390 1072667257 /nfs/dbraw/zinc/66/72/57/1072667257.db2.gz ZSNAVNZSJXCFNJ-UHFFFAOYSA-N 0 0 444.444 -0.778 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CC1 ZINC000445064761 1072668836 /nfs/dbraw/zinc/66/88/36/1072668836.db2.gz IUKGIIDCNNGRTL-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1F ZINC000445065278 1072667722 /nfs/dbraw/zinc/66/77/22/1072667722.db2.gz MHFPCGGFIKJJJG-UHFFFAOYSA-N 0 0 443.501 -0.591 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CC1 ZINC000445065721 1072668941 /nfs/dbraw/zinc/66/89/41/1072668941.db2.gz MGMNYMFUMJEWBC-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(OC4COC4)cc3)CC2)no1 ZINC000445066460 1073330229 /nfs/dbraw/zinc/33/02/29/1073330229.db2.gz QCVOCYIBFRYRLB-UHFFFAOYSA-N 0 0 443.460 0.482 20 0 IBADRN Cc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)s1 ZINC000445066476 1072668962 /nfs/dbraw/zinc/66/89/62/1072668962.db2.gz QGWFYUUYUSEQBL-RYUDHWBXSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)s1 ZINC000445066477 1072668977 /nfs/dbraw/zinc/66/89/77/1072668977.db2.gz QGWFYUUYUSEQBL-TXEJJXNPSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)s1 ZINC000445066478 1072669029 /nfs/dbraw/zinc/66/90/29/1072669029.db2.gz QGWFYUUYUSEQBL-VXGBXAGGSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000445066869 1072668990 /nfs/dbraw/zinc/66/89/90/1072668990.db2.gz MXNFLPQWSYFWHC-UHFFFAOYSA-N 0 0 439.475 -0.532 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)c2)CC1 ZINC000445067011 1072669045 /nfs/dbraw/zinc/66/90/45/1072669045.db2.gz RUQCFHIMDWSEMT-UHFFFAOYSA-N 0 0 437.522 -0.603 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)CC1 ZINC000445067596 1072668904 /nfs/dbraw/zinc/66/89/04/1072668904.db2.gz UDTGZVNFQSQCNY-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CCC2 ZINC000445068334 1072668822 /nfs/dbraw/zinc/66/88/22/1072668822.db2.gz AXAYPSMIFGHFBL-HNNXBMFYSA-N 0 0 442.515 -0.127 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cnn(C)c3C(F)(F)F)CC2)no1 ZINC000445069103 1073330209 /nfs/dbraw/zinc/33/02/09/1073330209.db2.gz ZRGMDSIYXAFNLS-UHFFFAOYSA-N 0 0 443.386 0.457 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(OC)c(C(=O)N(C)C)c1 ZINC000445069178 1072669682 /nfs/dbraw/zinc/66/96/82/1072669682.db2.gz CARDRYRGPDAGRM-ZDUSSCGKSA-N 0 0 434.492 -0.642 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1 ZINC000445069235 1072668980 /nfs/dbraw/zinc/66/89/80/1072668980.db2.gz ZGRDIIQFDXEBFA-ZDUSSCGKSA-N 0 0 449.459 -0.780 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c(OC)c1 ZINC000445069513 1072668952 /nfs/dbraw/zinc/66/89/52/1072668952.db2.gz XMIKOGDEYNSWRN-UHFFFAOYSA-N 0 0 434.449 -0.384 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC ZINC000445069595 1072669484 /nfs/dbraw/zinc/66/94/84/1072669484.db2.gz DHXGAAQFTXOOEF-LBPRGKRZSA-N 0 0 425.456 -0.188 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(C2(C(=O)OC)CC2)cc1 ZINC000445069600 1072669489 /nfs/dbraw/zinc/66/94/89/1072669489.db2.gz DIXPXQLVMQARJR-AWEZNQCLSA-N 0 0 431.488 -0.148 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C ZINC000445069656 1072669569 /nfs/dbraw/zinc/66/95/69/1072669569.db2.gz XXVMLVLARDAFOB-LBPRGKRZSA-N 0 0 425.506 -0.641 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(S(C)(=O)=O)c(F)c1 ZINC000445069920 1072669648 /nfs/dbraw/zinc/66/96/48/1072669648.db2.gz FWAJJMOADFQOAA-NSHDSACASA-N 0 0 429.469 -0.810 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1 ZINC000445070382 1072669674 /nfs/dbraw/zinc/66/96/74/1072669674.db2.gz AKIRAUNLXQGRKM-LBPRGKRZSA-N 0 0 449.459 -0.780 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(C)cc(S(C)(=O)=O)c1C ZINC000445071002 1072669665 /nfs/dbraw/zinc/66/96/65/1072669665.db2.gz ASIXCODEXKKZLN-LBPRGKRZSA-N 0 0 439.533 -0.332 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCS(=O)(=O)C[C@H]3C(=O)OC)ccc2O1 ZINC000445071460 1072669478 /nfs/dbraw/zinc/66/94/78/1072669478.db2.gz AYSKJVYBYYEDAI-DZGCQCFKSA-N 0 0 447.487 -0.486 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCS(=O)(=O)C[C@H]3C(=O)OC)ccc2O1 ZINC000445071462 1072669688 /nfs/dbraw/zinc/66/96/88/1072669688.db2.gz AYSKJVYBYYEDAI-ZFWWWQNUSA-N 0 0 447.487 -0.486 20 0 IBADRN COC1(CO)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000445072003 1072669636 /nfs/dbraw/zinc/66/96/36/1072669636.db2.gz CWMYOFJFHKWBIB-UHFFFAOYSA-N 0 0 434.536 -0.131 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000445072491 1072669598 /nfs/dbraw/zinc/66/95/98/1072669598.db2.gz CTNYBWSRZMNROR-NSHDSACASA-N 0 0 444.484 -0.842 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)N(C(C)=O)CCC2 ZINC000445072754 1072670159 /nfs/dbraw/zinc/67/01/59/1072670159.db2.gz RQAGTTPDYAETLS-INIZCTEOSA-N 0 0 430.504 -0.054 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)C[C@@H](C)N2C(C)=O ZINC000445073121 1072669705 /nfs/dbraw/zinc/66/97/05/1072669705.db2.gz DRSUFBHHZNQLLA-BZNIZROVSA-N 0 0 430.504 -0.055 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)C[C@H](C)N2C(C)=O ZINC000445073122 1072669542 /nfs/dbraw/zinc/66/95/42/1072669542.db2.gz DRSUFBHHZNQLLA-ZBEGNZNMSA-N 0 0 430.504 -0.055 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(N2CCN(C(C)=O)CC2)c1 ZINC000445073310 1072669501 /nfs/dbraw/zinc/66/95/01/1072669501.db2.gz JROOHYPCRRCKQV-UHFFFAOYSA-N 0 0 439.538 -0.261 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000445074387 1072670134 /nfs/dbraw/zinc/67/01/34/1072670134.db2.gz FWRVNFBXYULEQC-LBPRGKRZSA-N 0 0 444.484 -0.842 20 0 IBADRN COC(=O)c1nccc2c1cccc2S(=O)(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC ZINC000445074735 1072670270 /nfs/dbraw/zinc/67/02/70/1072670270.db2.gz NXKCLICPJGCZFZ-ZDUSSCGKSA-N 0 0 442.471 -0.018 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)cc1 ZINC000445074739 1072670278 /nfs/dbraw/zinc/67/02/78/1072670278.db2.gz NXWMULHTLNMJJT-AWEZNQCLSA-N 0 0 435.476 -0.411 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2 ZINC000445074997 1072670071 /nfs/dbraw/zinc/67/00/71/1072670071.db2.gz XMOJEWQDCHZOQJ-AWEZNQCLSA-N 0 0 428.488 -0.517 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CCO1 ZINC000445075720 1072670281 /nfs/dbraw/zinc/67/02/81/1072670281.db2.gz DFKYJGQJDZSRAG-GOSISDBHSA-N 0 0 432.477 -0.310 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CCO1 ZINC000445075721 1072670243 /nfs/dbraw/zinc/67/02/43/1072670243.db2.gz DFKYJGQJDZSRAG-SFHVURJKSA-N 0 0 432.477 -0.310 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000445076165 1072670219 /nfs/dbraw/zinc/67/02/19/1072670219.db2.gz YMEAJEJHMFJGBY-JTQLQIEISA-N 0 0 440.883 -0.835 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)CC1 ZINC000445076673 1072670232 /nfs/dbraw/zinc/67/02/32/1072670232.db2.gz ZPWMKIUGMNFIOW-UHFFFAOYSA-N 0 0 444.536 -0.426 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)c2)CC1 ZINC000445078171 1072670225 /nfs/dbraw/zinc/67/02/25/1072670225.db2.gz IPOJFINQUHAGAJ-UHFFFAOYSA-N 0 0 439.538 -0.262 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(OCC(F)(F)F)nc1 ZINC000445078385 1072670263 /nfs/dbraw/zinc/67/02/63/1072670263.db2.gz UMXMRFOAFQBBKR-JTQLQIEISA-N 0 0 432.398 -0.017 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCCNc3cnccn3)c2)CC1 ZINC000445079253 1073306743 /nfs/dbraw/zinc/30/67/43/1073306743.db2.gz VWNABANKIAFPMM-UHFFFAOYSA-N 0 0 425.493 0.308 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000445080122 1072668394 /nfs/dbraw/zinc/66/83/94/1072668394.db2.gz JULUUTNROLLXLZ-HNNXBMFYSA-N 0 0 446.503 -0.880 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)ccc1OC ZINC000445080325 1072668379 /nfs/dbraw/zinc/66/83/79/1072668379.db2.gz YCVJLRZHYDZEKK-AWEZNQCLSA-N 0 0 448.519 -0.318 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CCO1 ZINC000445080456 1072668312 /nfs/dbraw/zinc/66/83/12/1072668312.db2.gz MRZCJRLOGHCFJT-GOSISDBHSA-N 0 0 432.477 -0.310 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CCO1 ZINC000445080459 1072668257 /nfs/dbraw/zinc/66/82/57/1072668257.db2.gz MRZCJRLOGHCFJT-SFHVURJKSA-N 0 0 432.477 -0.310 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)cc1 ZINC000445080483 1072668372 /nfs/dbraw/zinc/66/83/72/1072668372.db2.gz MUOWYXXXGBBIFG-ZDUSSCGKSA-N 0 0 425.506 -0.559 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000445081641 1072668388 /nfs/dbraw/zinc/66/83/88/1072668388.db2.gz KXYIUUWBXRQCMA-CABCVRRESA-N 0 0 436.552 -0.231 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000445081645 1072668819 /nfs/dbraw/zinc/66/88/19/1072668819.db2.gz KXYIUUWBXRQCMA-GJZGRUSLSA-N 0 0 436.552 -0.231 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000445081712 1072668917 /nfs/dbraw/zinc/66/89/17/1072668917.db2.gz LLSMQZFRSUKUQB-AWEZNQCLSA-N 0 0 444.487 -0.726 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000445081811 1072668360 /nfs/dbraw/zinc/66/83/60/1072668360.db2.gz VDYKUPQVZRIMHR-AWEZNQCLSA-N 0 0 444.491 -0.645 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1 ZINC000445082647 1072668858 /nfs/dbraw/zinc/66/88/58/1072668858.db2.gz WQQWTWKLBAMZMX-ZDUSSCGKSA-N 0 0 425.506 -0.559 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCCN2C(=O)CCC2=O)CC1 ZINC000445087015 1072670767 /nfs/dbraw/zinc/67/07/67/1072670767.db2.gz FUPVTOBARICWTN-UHFFFAOYSA-N 0 0 429.477 -0.446 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cnn(-c2ccccc2OC)c1 ZINC000445087341 1072670933 /nfs/dbraw/zinc/67/09/33/1072670933.db2.gz XSAJAWHVMFCRET-AWEZNQCLSA-N 0 0 429.476 -0.158 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCCCN2C(=O)CCC2=O)CC1 ZINC000445087476 1072670886 /nfs/dbraw/zinc/67/08/86/1072670886.db2.gz FXIXMKKYUCWQCY-UHFFFAOYSA-N 0 0 443.504 -0.056 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NCCNC(=O)c3cnccn3)c2)CC1 ZINC000445088756 1072670840 /nfs/dbraw/zinc/67/08/40/1072670840.db2.gz HERRMDNBWZYCOZ-UHFFFAOYSA-N 0 0 439.476 -0.370 20 0 IBADRN Cn1ncc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1C(F)(F)F ZINC000445090846 1072670813 /nfs/dbraw/zinc/67/08/13/1072670813.db2.gz ZXVPEAWHDXZJRX-JTQLQIEISA-N 0 0 441.432 -0.018 20 0 IBADRN Cn1ncc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1C(F)(F)F ZINC000445090847 1072670795 /nfs/dbraw/zinc/67/07/95/1072670795.db2.gz ZXVPEAWHDXZJRX-SNVBAGLBSA-N 0 0 441.432 -0.018 20 0 IBADRN COc1cc(NC(=O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1F ZINC000445092352 1072671378 /nfs/dbraw/zinc/67/13/78/1072671378.db2.gz MEQBUBJLIYDTHE-UHFFFAOYSA-N 0 0 444.485 -0.070 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C[C@H]2CO)cn1 ZINC000445092961 1072671414 /nfs/dbraw/zinc/67/14/14/1072671414.db2.gz OIUSDIUXONRSNX-BBWFWOEESA-N 0 0 429.543 -0.374 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C[C@H]2CO)cn1 ZINC000445092965 1072671357 /nfs/dbraw/zinc/67/13/57/1072671357.db2.gz OIUSDIUXONRSNX-ULQDDVLXSA-N 0 0 429.543 -0.374 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)s1 ZINC000445093361 1072671398 /nfs/dbraw/zinc/67/13/98/1072671398.db2.gz STXJAMROVHRLBH-QMMMGPOBSA-N 0 0 427.478 -0.496 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCCN3C(=O)CCC3=O)c2)CC1 ZINC000445094130 1072671346 /nfs/dbraw/zinc/67/13/46/1072671346.db2.gz RFQPWSJBVJYTAT-UHFFFAOYSA-N 0 0 429.477 -0.446 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c(OC)c1 ZINC000445094766 1072671429 /nfs/dbraw/zinc/67/14/29/1072671429.db2.gz SSGTXMWJXXAQEC-UHFFFAOYSA-N 0 0 445.519 -0.085 20 0 IBADRN Cn1ncc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1C(F)(F)F ZINC000445095734 1072671331 /nfs/dbraw/zinc/67/13/31/1072671331.db2.gz VNRFVXXKKAVQSU-UHFFFAOYSA-N 0 0 429.446 -0.128 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CC[C@H](NS(C)(=O)=O)C3)c2)CC1 ZINC000445095800 1072671318 /nfs/dbraw/zinc/67/13/18/1072671318.db2.gz VXNXVAHLGCLWJI-INIZCTEOSA-N 0 0 437.522 -0.556 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)c2)CC1 ZINC000445095801 1072671425 /nfs/dbraw/zinc/67/14/25/1072671425.db2.gz VXNXVAHLGCLWJI-MRXNPFEDSA-N 0 0 437.522 -0.556 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(OC2COC2)cc1 ZINC000445096326 1072671419 /nfs/dbraw/zinc/67/14/19/1072671419.db2.gz XISNSIFNYIKYTG-UHFFFAOYSA-N 0 0 429.520 -0.103 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c(OC)c1 ZINC000445097137 1072671365 /nfs/dbraw/zinc/67/13/65/1072671365.db2.gz ZXCHIHBYKHGHJI-UHFFFAOYSA-N 0 0 434.449 -0.383 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCCCN3C(=O)CCC3=O)c2)CC1 ZINC000445097398 1072671367 /nfs/dbraw/zinc/67/13/67/1072671367.db2.gz YXFVZXSOTCADNB-UHFFFAOYSA-N 0 0 443.504 -0.056 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NC2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000445098629 1072671386 /nfs/dbraw/zinc/67/13/86/1072671386.db2.gz BVKZNVMDOPBIBF-PBHICJAKSA-N 0 0 438.506 -0.110 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NC2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000445098630 1072671334 /nfs/dbraw/zinc/67/13/34/1072671334.db2.gz BVKZNVMDOPBIBF-RHSMWYFYSA-N 0 0 438.506 -0.110 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NC2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000445098631 1072671343 /nfs/dbraw/zinc/67/13/43/1072671343.db2.gz BVKZNVMDOPBIBF-WMLDXEAASA-N 0 0 438.506 -0.110 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NC2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000445098632 1072671338 /nfs/dbraw/zinc/67/13/38/1072671338.db2.gz BVKZNVMDOPBIBF-YOEHRIQHSA-N 0 0 438.506 -0.110 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CCO1 ZINC000445099140 1072671323 /nfs/dbraw/zinc/67/13/23/1072671323.db2.gz GCOAXIYLDUKQRX-FQEVSTJZSA-N 0 0 445.520 -0.347 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CCO1 ZINC000445099141 1072671390 /nfs/dbraw/zinc/67/13/90/1072671390.db2.gz GCOAXIYLDUKQRX-HXUWFJFHSA-N 0 0 445.520 -0.347 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)C1 ZINC000445100280 1072672035 /nfs/dbraw/zinc/67/20/35/1072672035.db2.gz AMMATTOITDJISI-GOSISDBHSA-N 0 0 430.509 -0.181 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)C1 ZINC000445100281 1072672162 /nfs/dbraw/zinc/67/21/62/1072672162.db2.gz AMMATTOITDJISI-SFHVURJKSA-N 0 0 430.509 -0.181 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(OC3COC3)cc2)CC1)N1CCOCC1 ZINC000445101371 1072672166 /nfs/dbraw/zinc/67/21/66/1072672166.db2.gz CFCKVYUORBJOSA-UHFFFAOYSA-N 0 0 432.477 -0.594 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN(C[C@H](C)O)CC3)c2)CC1 ZINC000445101947 1072672087 /nfs/dbraw/zinc/67/20/87/1072672087.db2.gz CTXXGIQWOOASKS-KRWDZBQOSA-N 0 0 431.537 -0.186 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)CC1 ZINC000445102178 1072671969 /nfs/dbraw/zinc/67/19/69/1072671969.db2.gz BMAALBJQQWASDB-KDOFPFPSSA-N 0 0 431.493 -0.610 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)CC1 ZINC000445102181 1072672014 /nfs/dbraw/zinc/67/20/14/1072672014.db2.gz BMAALBJQQWASDB-RDTXWAMCSA-N 0 0 431.493 -0.610 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)C1 ZINC000445102655 1072670256 /nfs/dbraw/zinc/67/02/56/1072670256.db2.gz DQYBPGJKHJCWKJ-KRWDZBQOSA-N 0 0 430.509 -0.181 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)C1 ZINC000445102656 1072670141 /nfs/dbraw/zinc/67/01/41/1072670141.db2.gz DQYBPGJKHJCWKJ-QGZVFWFLSA-N 0 0 430.509 -0.181 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN(CCO)[C@@H](C)C3)c2)CC1 ZINC000445103497 1072670163 /nfs/dbraw/zinc/67/01/63/1072670163.db2.gz GDQYQMFOTCEMTF-KRWDZBQOSA-N 0 0 431.537 -0.186 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN(CCO)[C@H](C)C3)c2)CC1 ZINC000445103498 1072670207 /nfs/dbraw/zinc/67/02/07/1072670207.db2.gz GDQYQMFOTCEMTF-QGZVFWFLSA-N 0 0 431.537 -0.186 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CC1 ZINC000445103542 1072672156 /nfs/dbraw/zinc/67/21/56/1072672156.db2.gz AERLLXPUOJCBBX-UHFFFAOYSA-N 0 0 446.504 -0.184 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c(OC)c1 ZINC000445103748 1072670945 /nfs/dbraw/zinc/67/09/45/1072670945.db2.gz FEESHLRBUJJQRM-UHFFFAOYSA-N 0 0 436.446 -0.090 20 0 IBADRN COC(=O)c1scc(C)c1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000445104093 1072670785 /nfs/dbraw/zinc/67/07/85/1072670785.db2.gz GQCWHEBLEFULTR-UHFFFAOYSA-N 0 0 438.506 -0.215 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3C[C@@H](C)O[C@H](C(N)=O)C3)c2)CC1 ZINC000445104276 1072670196 /nfs/dbraw/zinc/67/01/96/1072670196.db2.gz DQQJCOUIGLDRDY-KDOFPFPSSA-N 0 0 431.493 -0.610 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3C[C@@H](C)O[C@@H](C(N)=O)C3)c2)CC1 ZINC000445104277 1072670979 /nfs/dbraw/zinc/67/09/79/1072670979.db2.gz DQQJCOUIGLDRDY-RDTXWAMCSA-N 0 0 431.493 -0.610 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)CCO1 ZINC000445104682 1072670878 /nfs/dbraw/zinc/67/08/78/1072670878.db2.gz RBACCKZGWSRHAU-IBGZPJMESA-N 0 0 431.493 -0.343 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)CCO1 ZINC000445104684 1072670761 /nfs/dbraw/zinc/67/07/61/1072670761.db2.gz RBACCKZGWSRHAU-LJQANCHMSA-N 0 0 431.493 -0.343 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)c2)CC1 ZINC000445104749 1072671007 /nfs/dbraw/zinc/67/10/07/1072671007.db2.gz QIXMALWGSZAHSW-JOCHJYFZSA-N 0 0 447.536 -0.507 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)c2)CC1 ZINC000445104750 1072670820 /nfs/dbraw/zinc/67/08/20/1072670820.db2.gz QIXMALWGSZAHSW-QFIPXVFZSA-N 0 0 447.536 -0.507 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)c2)CC1 ZINC000445105137 1072670960 /nfs/dbraw/zinc/67/09/60/1072670960.db2.gz FGCKCSYQRFFPOU-UHFFFAOYSA-N 0 0 444.536 -0.298 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CCO1 ZINC000445105804 1072670741 /nfs/dbraw/zinc/67/07/41/1072670741.db2.gz SLXRMPIHLWQWRK-IBGZPJMESA-N 0 0 445.520 -0.347 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CCO1 ZINC000445105805 1072670989 /nfs/dbraw/zinc/67/09/89/1072670989.db2.gz SLXRMPIHLWQWRK-LJQANCHMSA-N 0 0 445.520 -0.347 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)CC1 ZINC000445106473 1072670861 /nfs/dbraw/zinc/67/08/61/1072670861.db2.gz DYCDVBSHBPGPQK-UHFFFAOYSA-N 0 0 445.520 -0.395 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)CC1 ZINC000445108618 1072672079 /nfs/dbraw/zinc/67/20/79/1072672079.db2.gz GWLVMPCQEIYHDW-UHFFFAOYSA-N 0 0 432.477 -0.180 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN(C[C@H](C)O)CC2)CC1 ZINC000445109224 1072672758 /nfs/dbraw/zinc/67/27/58/1072672758.db2.gz KPLXUSABNMTCGP-KRWDZBQOSA-N 0 0 431.537 -0.186 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCC(=O)N3CCOCC3)c2)CC1 ZINC000445109924 1072672819 /nfs/dbraw/zinc/67/28/19/1072672819.db2.gz JZKRSJXGHVQTGM-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN(C(=O)[C@H](C)O)CC3)c2)CC1 ZINC000445110450 1072672826 /nfs/dbraw/zinc/67/28/26/1072672826.db2.gz ACAJNOZVQSRRRH-INIZCTEOSA-N 0 0 445.520 -0.659 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)c2)CC1 ZINC000445110458 1072672835 /nfs/dbraw/zinc/67/28/35/1072672835.db2.gz ACAJNOZVQSRRRH-MRXNPFEDSA-N 0 0 445.520 -0.659 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCCNc2cnccn2)CC1 ZINC000445110929 1073306793 /nfs/dbraw/zinc/30/67/93/1073306793.db2.gz MBLWRBLGYRVQDR-UHFFFAOYSA-N 0 0 425.493 0.308 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)c2)CC1 ZINC000445113243 1072672786 /nfs/dbraw/zinc/67/27/86/1072672786.db2.gz NJROZCFJPHMJHR-UHFFFAOYSA-N 0 0 441.492 -0.442 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000445114903 1072672842 /nfs/dbraw/zinc/67/28/42/1072672842.db2.gz MUALZUKZELBZPK-AWEZNQCLSA-N 0 0 425.463 -0.303 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000445114922 1072672660 /nfs/dbraw/zinc/67/26/60/1072672660.db2.gz MUALZUKZELBZPK-CQSZACIVSA-N 0 0 425.463 -0.303 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCN(C(=O)[C@H](C)O)CC3)c2)CC1 ZINC000445114930 1072672678 /nfs/dbraw/zinc/67/26/78/1072672678.db2.gz FUMUPHIODLKGBO-HNNXBMFYSA-N 0 0 431.493 -0.655 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)c2)CC1 ZINC000445114944 1072672868 /nfs/dbraw/zinc/67/28/68/1072672868.db2.gz FUMUPHIODLKGBO-OAHLLOKOSA-N 0 0 431.493 -0.655 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)c2)CC1 ZINC000445116346 1072672810 /nfs/dbraw/zinc/67/28/10/1072672810.db2.gz OLTWUAIZBGTELF-KRWDZBQOSA-N 0 0 429.477 -0.054 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)c2)CC1 ZINC000445116391 1072672874 /nfs/dbraw/zinc/67/28/74/1072672874.db2.gz OLTWUAIZBGTELF-QGZVFWFLSA-N 0 0 429.477 -0.054 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)c2)CC1 ZINC000445116622 1072672741 /nfs/dbraw/zinc/67/27/41/1072672741.db2.gz QHROSWOFRISSEZ-UHFFFAOYSA-N 0 0 427.465 -0.437 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)c2)CC1 ZINC000445117127 1072672770 /nfs/dbraw/zinc/67/27/70/1072672770.db2.gz PTKRHEOAXVXGDH-GOSISDBHSA-N 0 0 443.504 -0.059 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)c2)CC1 ZINC000445117131 1072672843 /nfs/dbraw/zinc/67/28/43/1072672843.db2.gz PTKRHEOAXVXGDH-SFHVURJKSA-N 0 0 443.504 -0.059 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCC(=O)N2CCOCC2)CC1 ZINC000445117563 1072672687 /nfs/dbraw/zinc/67/26/87/1072672687.db2.gz SBHDWTJCRGPPCU-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN(CCO)[C@@H](C)C2)CC1 ZINC000445118047 1072673319 /nfs/dbraw/zinc/67/33/19/1072673319.db2.gz TUDJGSXMFBNOQQ-KRWDZBQOSA-N 0 0 431.537 -0.186 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN(CCO)[C@H](C)C2)CC1 ZINC000445118048 1072673403 /nfs/dbraw/zinc/67/34/03/1072673403.db2.gz TUDJGSXMFBNOQQ-QGZVFWFLSA-N 0 0 431.537 -0.186 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)CC1 ZINC000445119471 1072673281 /nfs/dbraw/zinc/67/32/81/1072673281.db2.gz VAUVCBJMNFEXIH-UHFFFAOYSA-N 0 0 441.492 -0.442 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000445120474 1072673373 /nfs/dbraw/zinc/67/33/73/1072673373.db2.gz NKTPVOGBMCOZER-INIZCTEOSA-N 0 0 445.520 -0.659 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)CC1 ZINC000445120475 1072673240 /nfs/dbraw/zinc/67/32/40/1072673240.db2.gz NKTPVOGBMCOZER-MRXNPFEDSA-N 0 0 445.520 -0.659 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC000445120618 1073345986 /nfs/dbraw/zinc/34/59/86/1073345986.db2.gz SOOZAZAWFRJFNS-GFCCVEGCSA-N 0 0 441.427 0.207 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC000445120619 1073346037 /nfs/dbraw/zinc/34/60/37/1073346037.db2.gz SOOZAZAWFRJFNS-LBPRGKRZSA-N 0 0 441.427 0.207 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000445120947 1072673261 /nfs/dbraw/zinc/67/32/61/1072673261.db2.gz WNXIVILVKMGPOF-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)CC1 ZINC000445122076 1072673974 /nfs/dbraw/zinc/67/39/74/1072673974.db2.gz WOWSOSWAKHHEMQ-GOSISDBHSA-N 0 0 443.504 -0.059 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)CC1 ZINC000445122077 1072674009 /nfs/dbraw/zinc/67/40/09/1072674009.db2.gz WOWSOSWAKHHEMQ-SFHVURJKSA-N 0 0 443.504 -0.059 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C(F)(F)F ZINC000445123046 1072673843 /nfs/dbraw/zinc/67/38/43/1072673843.db2.gz ZAMDVAQVFUSEAI-UHFFFAOYSA-N 0 0 432.403 -0.620 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CCO1 ZINC000445125869 1072672125 /nfs/dbraw/zinc/67/21/25/1072672125.db2.gz NXEIZGPXVDZNLC-GOSISDBHSA-N 0 0 431.493 -0.737 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CCO1 ZINC000445125870 1072672115 /nfs/dbraw/zinc/67/21/15/1072672115.db2.gz NXEIZGPXVDZNLC-SFHVURJKSA-N 0 0 431.493 -0.737 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CC(OCC(=O)N(C)C)C3)c2)CC1 ZINC000445125900 1072672148 /nfs/dbraw/zinc/67/21/48/1072672148.db2.gz OEMCBYFNJOPWPI-UHFFFAOYSA-N 0 0 445.520 -0.395 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CCO1 ZINC000445126137 1072672170 /nfs/dbraw/zinc/67/21/70/1072672170.db2.gz PJUCXOZIOPCTLU-GOSISDBHSA-N 0 0 431.493 -0.737 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CCO1 ZINC000445126138 1072672160 /nfs/dbraw/zinc/67/21/60/1072672160.db2.gz PJUCXOZIOPCTLU-SFHVURJKSA-N 0 0 431.493 -0.737 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CC1 ZINC000445126185 1072672174 /nfs/dbraw/zinc/67/21/74/1072672174.db2.gz PTVLSECGYFPGCK-UHFFFAOYSA-N 0 0 446.504 -0.184 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CC(OCC(=O)N(C)C)C3)c2)CC1 ZINC000445127194 1072672105 /nfs/dbraw/zinc/67/21/05/1072672105.db2.gz XRZVVXUFWANDJO-UHFFFAOYSA-N 0 0 431.493 -0.391 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)CC2)n1 ZINC000445129086 1072671925 /nfs/dbraw/zinc/67/19/25/1072671925.db2.gz CGXIIOPNTZYSOK-UHFFFAOYSA-N 0 0 449.512 -0.049 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC000445129180 1072673270 /nfs/dbraw/zinc/67/32/70/1072673270.db2.gz GVYYRWQHHQCABA-GFCCVEGCSA-N 0 0 449.493 -0.571 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC000445129181 1072673390 /nfs/dbraw/zinc/67/33/90/1072673390.db2.gz GVYYRWQHHQCABA-LBPRGKRZSA-N 0 0 449.493 -0.571 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CC1=O ZINC000445129430 1072672063 /nfs/dbraw/zinc/67/20/63/1072672063.db2.gz CUJQTKQZBRDFIV-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CC1=O ZINC000445129518 1072671983 /nfs/dbraw/zinc/67/19/83/1072671983.db2.gz DNCVXJOFHAKFOR-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)CC1 ZINC000445133104 1072674331 /nfs/dbraw/zinc/67/43/31/1072674331.db2.gz HXOKOSKKONSQIO-IBGZPJMESA-N 0 0 429.521 -0.168 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)CC1 ZINC000445133111 1072674534 /nfs/dbraw/zinc/67/45/34/1072674534.db2.gz HXOKOSKKONSQIO-LJQANCHMSA-N 0 0 429.521 -0.168 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)CC1=O ZINC000445135139 1072674380 /nfs/dbraw/zinc/67/43/80/1072674380.db2.gz LGRBSTCUJWNURH-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(C)c2C(F)(F)F)CC1 ZINC000445138127 1072674461 /nfs/dbraw/zinc/67/44/61/1072674461.db2.gz PCTBPSHDUGLWKT-UHFFFAOYSA-N 0 0 441.432 -0.112 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(C)c2C(F)(F)F)CC1 ZINC000445139034 1072674498 /nfs/dbraw/zinc/67/44/98/1072674498.db2.gz QPGAVLGPHXWFRA-GFCCVEGCSA-N 0 0 446.430 -0.231 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(C)c2C(F)(F)F)CC1 ZINC000445139041 1072674476 /nfs/dbraw/zinc/67/44/76/1072674476.db2.gz QPGAVLGPHXWFRA-LBPRGKRZSA-N 0 0 446.430 -0.231 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC3COC3)cc2)CC1 ZINC000445140634 1072673938 /nfs/dbraw/zinc/67/39/38/1072673938.db2.gz SYBDLVJUCWNGPB-UHFFFAOYSA-N 0 0 441.506 -0.087 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)c2)CC1 ZINC000445141339 1072673858 /nfs/dbraw/zinc/67/38/58/1072673858.db2.gz UHNYHOICDAQHMO-FQEVSTJZSA-N 0 0 429.521 -0.168 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)c2)CC1 ZINC000445141340 1072673988 /nfs/dbraw/zinc/67/39/88/1072673988.db2.gz UHNYHOICDAQHMO-HXUWFJFHSA-N 0 0 429.521 -0.168 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(OC3COC3)cc2)CC1 ZINC000445143745 1072674004 /nfs/dbraw/zinc/67/40/04/1072674004.db2.gz ZASYPHZVSJFDRH-INIZCTEOSA-N 0 0 446.504 -0.206 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(OC3COC3)cc2)CC1 ZINC000445143753 1072673950 /nfs/dbraw/zinc/67/39/50/1072673950.db2.gz ZASYPHZVSJFDRH-MRXNPFEDSA-N 0 0 446.504 -0.206 20 0 IBADRN CCOC(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000445143845 1072673920 /nfs/dbraw/zinc/67/39/20/1072673920.db2.gz ZUAPYJNZTXIUGF-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2c(C)csc2C(=O)OC)CC1 ZINC000445144925 1072674018 /nfs/dbraw/zinc/67/40/18/1072674018.db2.gz SXIHZSLQNQNVOZ-UHFFFAOYSA-N 0 0 426.495 -0.312 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)CC1 ZINC000445147168 1072673873 /nfs/dbraw/zinc/67/38/73/1072673873.db2.gz CVXQMKYNCYXASZ-KRWDZBQOSA-N 0 0 428.493 -0.475 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)CC1 ZINC000445147179 1072674035 /nfs/dbraw/zinc/67/40/35/1072674035.db2.gz CVXQMKYNCYXASZ-QGZVFWFLSA-N 0 0 428.493 -0.475 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)cc2OC)CC1 ZINC000445147701 1072674531 /nfs/dbraw/zinc/67/45/31/1072674531.db2.gz YIYGEHDCCMXYMQ-UHFFFAOYSA-N 0 0 436.465 -0.673 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c(OC)c1 ZINC000445150134 1072676842 /nfs/dbraw/zinc/67/68/42/1072676842.db2.gz HVTPKXVYRGPWKT-UHFFFAOYSA-N 0 0 440.434 -0.356 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@@H]4C3)c2)CC1 ZINC000445150207 1072676813 /nfs/dbraw/zinc/67/68/13/1072676813.db2.gz NLRHGWHJORNBLV-GOSISDBHSA-N 0 0 428.493 -0.475 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@H]4C3)c2)CC1 ZINC000445150208 1072676872 /nfs/dbraw/zinc/67/68/72/1072676872.db2.gz NLRHGWHJORNBLV-SFHVURJKSA-N 0 0 428.493 -0.475 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c(OC)c1 ZINC000445154084 1072674490 /nfs/dbraw/zinc/67/44/90/1072674490.db2.gz YOPCZRJASRBTCQ-UHFFFAOYSA-N 0 0 429.433 -0.137 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000445154436 1072674513 /nfs/dbraw/zinc/67/45/13/1072674513.db2.gz ATPRJNDHZVNAAA-MRXNPFEDSA-N 0 0 434.493 -0.612 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000445154686 1072674448 /nfs/dbraw/zinc/67/44/48/1072674448.db2.gz AOQGCIWCMJHYTB-CQSZACIVSA-N 0 0 441.554 -0.534 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCCN(C(=O)C3CC3)CC1)CC2 ZINC000445154810 1072674394 /nfs/dbraw/zinc/67/43/94/1072674394.db2.gz BWFPBIOTFGKOSF-UHFFFAOYSA-N 0 0 434.518 -0.045 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000445154813 1072674506 /nfs/dbraw/zinc/67/45/06/1072674506.db2.gz BWOPKNBZJRLESJ-OAHLLOKOSA-N 0 0 440.522 -0.829 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)cc1 ZINC000445155137 1072674482 /nfs/dbraw/zinc/67/44/82/1072674482.db2.gz DAXKBNTVFKEEJP-UHFFFAOYSA-N 0 0 430.461 -0.001 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3ncnc4sc(C)cc43)CC2)n1 ZINC000445155221 1073313918 /nfs/dbraw/zinc/31/39/18/1073313918.db2.gz BWBXVRQLUYXHBW-UHFFFAOYSA-N 0 0 443.493 -0.375 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCCN(C(=O)C4CC4)CC3)cnc2n(C)c1=O ZINC000445155915 1072675055 /nfs/dbraw/zinc/67/50/55/1072675055.db2.gz HEZKEPYGKJLHCA-UHFFFAOYSA-N 0 0 428.449 -0.958 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC000445156567 1072674431 /nfs/dbraw/zinc/67/44/31/1072674431.db2.gz BQABWNHHXLWPQV-CYBMUJFWSA-N 0 0 428.486 -0.171 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000445157096 1072675041 /nfs/dbraw/zinc/67/50/41/1072675041.db2.gz CNZLRPQKJZMSTA-CYBMUJFWSA-N 0 0 440.522 -0.401 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](OCCOC)C2)cc1 ZINC000445157581 1072675099 /nfs/dbraw/zinc/67/50/99/1072675099.db2.gz DPDQHIYEURVPHD-HNNXBMFYSA-N 0 0 429.495 -0.186 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](OCCOC)C2)cc1 ZINC000445157582 1072674968 /nfs/dbraw/zinc/67/49/68/1072674968.db2.gz DPDQHIYEURVPHD-OAHLLOKOSA-N 0 0 429.495 -0.186 20 0 IBADRN O=C(NCC1CCN(CC(F)(F)F)CC1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000445157983 1072675082 /nfs/dbraw/zinc/67/50/82/1072675082.db2.gz BHOLQFMJPNBLRL-UHFFFAOYSA-N 0 0 446.474 0.544 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)c1C ZINC000445158786 1072674981 /nfs/dbraw/zinc/67/49/81/1072674981.db2.gz FZDWEGQYKKCXSG-UHFFFAOYSA-N 0 0 435.485 -0.041 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1 ZINC000445159495 1072675155 /nfs/dbraw/zinc/67/51/55/1072675155.db2.gz AZMTVGCJHXLIFI-HUUCEWRRSA-N 0 0 442.538 -0.537 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1 ZINC000445159496 1072675006 /nfs/dbraw/zinc/67/50/06/1072675006.db2.gz AZMTVGCJHXLIFI-LSDHHAIUSA-N 0 0 442.538 -0.537 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000445159565 1072675022 /nfs/dbraw/zinc/67/50/22/1072675022.db2.gz NREJKECOZIJPJE-CYBMUJFWSA-N 0 0 440.522 -0.401 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)ccc1S(=O)(=O)N(C)C ZINC000445159757 1072675073 /nfs/dbraw/zinc/67/50/73/1072675073.db2.gz GTQGETGSPUCHBW-CYBMUJFWSA-N 0 0 428.511 -0.591 20 0 IBADRN Cc1cc2c(ncnc2N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)s1 ZINC000445160301 1072675113 /nfs/dbraw/zinc/67/51/13/1072675113.db2.gz CTIJDHDCXJZDRH-UHFFFAOYSA-N 0 0 428.478 -0.031 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000445160481 1072675117 /nfs/dbraw/zinc/67/51/17/1072675117.db2.gz SMLPRAAZGNLSQO-OAHLLOKOSA-N 0 0 434.493 -0.369 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000445160521 1072674992 /nfs/dbraw/zinc/67/49/92/1072674992.db2.gz CEAJGJIYEFONHK-MRXNPFEDSA-N 0 0 447.536 -0.454 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN(C[C@@H](C)O)CC3)c2)CC1 ZINC000445160691 1072675546 /nfs/dbraw/zinc/67/55/46/1072675546.db2.gz CTXXGIQWOOASKS-QGZVFWFLSA-N 0 0 431.537 -0.186 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000445161162 1072675541 /nfs/dbraw/zinc/67/55/41/1072675541.db2.gz QHQBOHSRLLHDEI-MRXNPFEDSA-N 0 0 433.509 -0.579 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000445161481 1072675103 /nfs/dbraw/zinc/67/51/03/1072675103.db2.gz DXRNAYBYPOXDIU-OAHLLOKOSA-N 0 0 440.522 -0.829 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(C)c(-n4cnnn4)c3)CC2)n1 ZINC000445161538 1072675131 /nfs/dbraw/zinc/67/51/31/1072675131.db2.gz JGCDXYLPSOUJEC-UHFFFAOYSA-N 0 0 441.452 -0.217 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000445161706 1072675586 /nfs/dbraw/zinc/67/55/86/1072675586.db2.gz KCVHIVKNDIXVIX-GFCCVEGCSA-N 0 0 428.486 -0.270 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1 ZINC000445161741 1072675616 /nfs/dbraw/zinc/67/56/16/1072675616.db2.gz RIFWFTXZLUSABC-CQSZACIVSA-N 0 0 437.522 -0.379 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000445162158 1072675560 /nfs/dbraw/zinc/67/55/60/1072675560.db2.gz FSBXKEGQKLIZQA-GFCCVEGCSA-N 0 0 439.465 -0.038 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1S(=O)(=O)N(C)C ZINC000445162529 1072675528 /nfs/dbraw/zinc/67/55/28/1072675528.db2.gz MDKYBAIRLOTFGG-CQSZACIVSA-N 0 0 442.538 -0.201 20 0 IBADRN Cc1cc2c(ncnc2N2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)s1 ZINC000445162638 1072675605 /nfs/dbraw/zinc/67/56/05/1072675605.db2.gz SUEAOKSMEBWBKR-LLVKDONJSA-N 0 0 426.524 -0.558 20 0 IBADRN Cc1cc2c(ncnc2N2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)s1 ZINC000445162651 1072675608 /nfs/dbraw/zinc/67/56/08/1072675608.db2.gz SUEAOKSMEBWBKR-NSHDSACASA-N 0 0 426.524 -0.558 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000445162652 1072675624 /nfs/dbraw/zinc/67/56/24/1072675624.db2.gz SUTLSAHVZHKCAI-QGZVFWFLSA-N 0 0 447.536 -0.788 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc(S(C)(=O)=O)c1 ZINC000445162680 1072675580 /nfs/dbraw/zinc/67/55/80/1072675580.db2.gz UPIWEQFJEXNHNN-CYBMUJFWSA-N 0 0 441.506 -0.270 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1OCC(=O)NC1CC1 ZINC000445162757 1072675651 /nfs/dbraw/zinc/67/56/51/1072675651.db2.gz UTPXXIGTBNUHEW-CQSZACIVSA-N 0 0 434.493 -0.184 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4)CC2)n1 ZINC000445163010 1072675612 /nfs/dbraw/zinc/67/56/12/1072675612.db2.gz KIUYXFZSHBIVMX-UHFFFAOYSA-N 0 0 430.421 -0.170 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1 ZINC000445163510 1072675552 /nfs/dbraw/zinc/67/55/52/1072675552.db2.gz ZTEJQUZUMLLPSI-OAHLLOKOSA-N 0 0 427.523 -0.040 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000445163828 1072676337 /nfs/dbraw/zinc/67/63/37/1072676337.db2.gz IEPFAQWGVGWMBZ-OAHLLOKOSA-N 0 0 434.493 -0.227 20 0 IBADRN CC[C@@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000445164054 1072675632 /nfs/dbraw/zinc/67/56/32/1072675632.db2.gz VMPYVQWFCYFXOJ-ZDUSSCGKSA-N 0 0 428.511 -0.401 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)CC1 ZINC000445164259 1072675575 /nfs/dbraw/zinc/67/55/75/1072675575.db2.gz KPLXUSABNMTCGP-QGZVFWFLSA-N 0 0 431.537 -0.186 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1OC ZINC000445164525 1072675566 /nfs/dbraw/zinc/67/55/66/1072675566.db2.gz JMBQPHBGMCLETP-CYBMUJFWSA-N 0 0 428.511 -0.080 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1 ZINC000445164641 1072676251 /nfs/dbraw/zinc/67/62/51/1072676251.db2.gz XIPBEDKHIZBRLR-CABCVRRESA-N 0 0 434.493 -0.169 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)CC2)cc1 ZINC000445164642 1072676328 /nfs/dbraw/zinc/67/63/28/1072676328.db2.gz XIPBEDKHIZBRLR-HUUCEWRRSA-N 0 0 434.493 -0.169 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000445165721 1072676117 /nfs/dbraw/zinc/67/61/17/1072676117.db2.gz ZUJBLSRWWSUURA-CQSZACIVSA-N 0 0 442.538 -0.201 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CC1 ZINC000445165827 1072676209 /nfs/dbraw/zinc/67/62/09/1072676209.db2.gz NVOWEYLFMINXLD-CABCVRRESA-N 0 0 429.481 -0.059 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)CC1 ZINC000445165828 1072676285 /nfs/dbraw/zinc/67/62/85/1072676285.db2.gz NVOWEYLFMINXLD-HUUCEWRRSA-N 0 0 429.481 -0.059 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000445166444 1072676131 /nfs/dbraw/zinc/67/61/31/1072676131.db2.gz OJQBNDJRYCRPGR-CYBMUJFWSA-N 0 0 428.511 -0.591 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3ccncn3)CC2)cc1 ZINC000445166968 1072676241 /nfs/dbraw/zinc/67/62/41/1072676241.db2.gz QFSOMIKOFXIADY-UHFFFAOYSA-N 0 0 426.480 -0.338 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1 ZINC000445167282 1072676308 /nfs/dbraw/zinc/67/63/08/1072676308.db2.gz QOUOKAFVNFAQQK-CYBMUJFWSA-N 0 0 428.486 -0.171 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CC1 ZINC000445167516 1072676225 /nfs/dbraw/zinc/67/62/25/1072676225.db2.gz ZJQGAQUSRVHPJX-CQSZACIVSA-N 0 0 435.506 -0.261 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN(C[C@@H](C)O)CC3)cc2)CC1 ZINC000445167596 1072676296 /nfs/dbraw/zinc/67/62/96/1072676296.db2.gz ZSWCTRAXXKZLRO-QGZVFWFLSA-N 0 0 431.537 -0.186 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)cc1 ZINC000445167874 1072676180 /nfs/dbraw/zinc/67/61/80/1072676180.db2.gz SVIGOIHNZYOIDZ-UHFFFAOYSA-N 0 0 444.488 -0.072 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000445168188 1072676160 /nfs/dbraw/zinc/67/61/60/1072676160.db2.gz XAXZGKXUGIAMOQ-QGZVFWFLSA-N 0 0 431.537 -0.533 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC1 ZINC000445168604 1072676268 /nfs/dbraw/zinc/67/62/68/1072676268.db2.gz ZRUGMSVYEJIBTD-MRXNPFEDSA-N 0 0 444.536 -0.396 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3)CC2)n1 ZINC000445169652 1072676863 /nfs/dbraw/zinc/67/68/63/1072676863.db2.gz ZKNPTHPYXYOMHP-UHFFFAOYSA-N 0 0 427.425 -0.525 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccccc3OCCC(N)=O)CC2)n1 ZINC000445169816 1072676733 /nfs/dbraw/zinc/67/67/33/1072676733.db2.gz AJAZCEXPMFWGIV-UHFFFAOYSA-N 0 0 446.464 -0.247 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)CC2)n1 ZINC000445171184 1072676893 /nfs/dbraw/zinc/67/68/93/1072676893.db2.gz FVIVFBSPOIOPDK-UHFFFAOYSA-N 0 0 443.464 -0.311 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445172283 1072679720 /nfs/dbraw/zinc/67/97/20/1072679720.db2.gz JZTWQIPPIRWPAT-APHBMKBZSA-N 0 0 449.460 -0.169 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000445172284 1072679651 /nfs/dbraw/zinc/67/96/51/1072679651.db2.gz JZTWQIPPIRWPAT-MAZHCROVSA-N 0 0 449.460 -0.169 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000445172285 1072680038 /nfs/dbraw/zinc/68/00/38/1072680038.db2.gz JZTWQIPPIRWPAT-RCBQFDQVSA-N 0 0 449.460 -0.169 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445172286 1072680209 /nfs/dbraw/zinc/68/02/09/1072680209.db2.gz JZTWQIPPIRWPAT-VBNZEHGJSA-N 0 0 449.460 -0.169 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)N(C)C4=O)CC2)n1 ZINC000445172786 1072680042 /nfs/dbraw/zinc/68/00/42/1072680042.db2.gz KRYDIPZVQJMVLR-UHFFFAOYSA-N 0 0 442.432 -0.275 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000445173312 1072680128 /nfs/dbraw/zinc/68/01/28/1072680128.db2.gz NZVFHWIWMVEJLI-DLBZAZTESA-N 0 0 432.477 -0.462 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000445173313 1072680143 /nfs/dbraw/zinc/68/01/43/1072680143.db2.gz NZVFHWIWMVEJLI-IAGOWNOFSA-N 0 0 432.477 -0.462 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000445173314 1072680084 /nfs/dbraw/zinc/68/00/84/1072680084.db2.gz NZVFHWIWMVEJLI-IRXDYDNUSA-N 0 0 432.477 -0.462 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000445173315 1072680178 /nfs/dbraw/zinc/68/01/78/1072680178.db2.gz NZVFHWIWMVEJLI-SJORKVTESA-N 0 0 432.477 -0.462 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@H](O)[C@@H]1C(=O)OC ZINC000445174269 1072680079 /nfs/dbraw/zinc/68/00/79/1072680079.db2.gz OJXYXNHLMXYDDU-DLBZAZTESA-N 0 0 449.460 -0.227 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@@H](O)[C@@H]1C(=O)OC ZINC000445174270 1072680033 /nfs/dbraw/zinc/68/00/33/1072680033.db2.gz OJXYXNHLMXYDDU-IAGOWNOFSA-N 0 0 449.460 -0.227 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@H](O)[C@H]1C(=O)OC ZINC000445174271 1072680102 /nfs/dbraw/zinc/68/01/02/1072680102.db2.gz OJXYXNHLMXYDDU-IRXDYDNUSA-N 0 0 449.460 -0.227 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000445174272 1072680005 /nfs/dbraw/zinc/68/00/05/1072680005.db2.gz OJXYXNHLMXYDDU-SJORKVTESA-N 0 0 449.460 -0.227 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)c1 ZINC000445174817 1072680716 /nfs/dbraw/zinc/68/07/16/1072680716.db2.gz PGOHTPUXNVSNNG-UHFFFAOYSA-N 0 0 446.464 -0.133 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(OC)c(OCC(=O)NC2CC2)c1 ZINC000445175304 1072680108 /nfs/dbraw/zinc/68/01/08/1072680108.db2.gz DLHJHRNROZINDD-KBXCAEBGSA-N 0 0 449.460 -0.184 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(OC)c(OCC(=O)NC2CC2)c1 ZINC000445175305 1072680118 /nfs/dbraw/zinc/68/01/18/1072680118.db2.gz DLHJHRNROZINDD-KDOFPFPSSA-N 0 0 449.460 -0.184 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(OC)c(OCC(=O)NC2CC2)c1 ZINC000445175306 1072680199 /nfs/dbraw/zinc/68/01/99/1072680199.db2.gz DLHJHRNROZINDD-KSSFIOAISA-N 0 0 449.460 -0.184 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(OC)c(OCC(=O)NC2CC2)c1 ZINC000445175307 1072680057 /nfs/dbraw/zinc/68/00/57/1072680057.db2.gz DLHJHRNROZINDD-RDTXWAMCSA-N 0 0 449.460 -0.184 20 0 IBADRN COCCO[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000445175336 1072680815 /nfs/dbraw/zinc/68/08/15/1072680815.db2.gz BVWURONKYORMBR-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000445175337 1072680688 /nfs/dbraw/zinc/68/06/88/1072680688.db2.gz BVWURONKYORMBR-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)cc1 ZINC000445175620 1072680163 /nfs/dbraw/zinc/68/01/63/1072680163.db2.gz FFBUOTYAFXLGIO-UHFFFAOYSA-N 0 0 446.464 -0.376 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)cn1 ZINC000445176138 1072680704 /nfs/dbraw/zinc/68/07/04/1072680704.db2.gz RHFLOJICWZSONK-UHFFFAOYSA-N 0 0 434.453 -0.081 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)cc3)CC2)n1 ZINC000445176139 1072680671 /nfs/dbraw/zinc/68/06/71/1072680671.db2.gz RHNHUUJPKWQEFR-UHFFFAOYSA-N 0 0 427.425 -0.525 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1 ZINC000445179555 1072676745 /nfs/dbraw/zinc/67/67/45/1072676745.db2.gz AVIVIENLJSXFQY-GXTWGEPZSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1 ZINC000445179559 1072676886 /nfs/dbraw/zinc/67/68/86/1072676886.db2.gz AVIVIENLJSXFQY-JSGCOSHPSA-N 0 0 429.451 -0.470 20 0 IBADRN COCCO[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000445180115 1072676850 /nfs/dbraw/zinc/67/68/50/1072676850.db2.gz YYEKSUBCUHGDDN-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000445180121 1072676857 /nfs/dbraw/zinc/67/68/57/1072676857.db2.gz YYEKSUBCUHGDDN-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1 ZINC000445180431 1072676782 /nfs/dbraw/zinc/67/67/82/1072676782.db2.gz AVIVIENLJSXFQY-OCCSQVGLSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1 ZINC000445180432 1072676920 /nfs/dbraw/zinc/67/69/20/1072676920.db2.gz AVIVIENLJSXFQY-TZMCWYRMSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000445180483 1072676937 /nfs/dbraw/zinc/67/69/37/1072676937.db2.gz BCLZEOVDZMCKQR-CVEARBPZSA-N 0 0 439.490 -0.066 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000445180484 1072676881 /nfs/dbraw/zinc/67/68/81/1072676881.db2.gz BCLZEOVDZMCKQR-HOTGVXAUSA-N 0 0 439.490 -0.066 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000445180485 1072676831 /nfs/dbraw/zinc/67/68/31/1072676831.db2.gz BCLZEOVDZMCKQR-HZPDHXFCSA-N 0 0 439.490 -0.066 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000445180486 1072676799 /nfs/dbraw/zinc/67/67/99/1072676799.db2.gz BCLZEOVDZMCKQR-JKSUJKDBSA-N 0 0 439.490 -0.066 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)n1 ZINC000445180657 1072677416 /nfs/dbraw/zinc/67/74/16/1072677416.db2.gz LQLURLNBEQSWHC-UHFFFAOYSA-N 0 0 445.415 -0.386 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccccc1CN1CCN(C(C)=O)CC1 ZINC000445181270 1072677375 /nfs/dbraw/zinc/67/73/75/1072677375.db2.gz AXTHFNDBHBXLIE-MOPGFXCFSA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccccc1CN1CCN(C(C)=O)CC1 ZINC000445181282 1072677363 /nfs/dbraw/zinc/67/73/63/1072677363.db2.gz AXTHFNDBHBXLIE-OALUTQOASA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccccc1CN1CCN(C(C)=O)CC1 ZINC000445181284 1072677397 /nfs/dbraw/zinc/67/73/97/1072677397.db2.gz AXTHFNDBHBXLIE-RBUKOAKNSA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccccc1CN1CCN(C(C)=O)CC1 ZINC000445181286 1072677314 /nfs/dbraw/zinc/67/73/14/1072677314.db2.gz AXTHFNDBHBXLIE-RTBURBONSA-N 0 0 446.504 -0.186 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)oc(=O)n4C)CC2)n1 ZINC000445181715 1072677308 /nfs/dbraw/zinc/67/73/08/1072677308.db2.gz HLOALLJXCSTQTH-UHFFFAOYSA-N 0 0 430.421 -0.056 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3cccc(-n4nnnc4C)c3)CC2)n1 ZINC000445181752 1072677236 /nfs/dbraw/zinc/67/72/36/1072677236.db2.gz HQPWLQFKMPCKGK-UHFFFAOYSA-N 0 0 441.452 -0.217 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000445182302 1072677329 /nfs/dbraw/zinc/67/73/29/1072677329.db2.gz BPFPTEJMVSLNJU-CVEARBPZSA-N 0 0 439.490 -0.615 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000445182303 1072677405 /nfs/dbraw/zinc/67/74/05/1072677405.db2.gz BPFPTEJMVSLNJU-HOTGVXAUSA-N 0 0 439.490 -0.615 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000445182304 1072677351 /nfs/dbraw/zinc/67/73/51/1072677351.db2.gz BPFPTEJMVSLNJU-HZPDHXFCSA-N 0 0 439.490 -0.615 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000445182306 1072677392 /nfs/dbraw/zinc/67/73/92/1072677392.db2.gz BPFPTEJMVSLNJU-JKSUJKDBSA-N 0 0 439.490 -0.615 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000445182602 1072677345 /nfs/dbraw/zinc/67/73/45/1072677345.db2.gz CPCGAASDBAQKDZ-CABCVRRESA-N 0 0 427.479 -0.163 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000445182603 1072677394 /nfs/dbraw/zinc/67/73/94/1072677394.db2.gz CPCGAASDBAQKDZ-GJZGRUSLSA-N 0 0 427.479 -0.163 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000445182604 1072677298 /nfs/dbraw/zinc/67/72/98/1072677298.db2.gz CPCGAASDBAQKDZ-HUUCEWRRSA-N 0 0 427.479 -0.163 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000445182605 1072677385 /nfs/dbraw/zinc/67/73/85/1072677385.db2.gz CPCGAASDBAQKDZ-LSDHHAIUSA-N 0 0 427.479 -0.163 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)N(C)C(=O)CO4)CC2)n1 ZINC000445182817 1072677912 /nfs/dbraw/zinc/67/79/12/1072677912.db2.gz CXPIESRHPAPYIG-UHFFFAOYSA-N 0 0 444.448 -0.146 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000445183358 1072677960 /nfs/dbraw/zinc/67/79/60/1072677960.db2.gz JBXAJWHWGFYOLT-CABCVRRESA-N 0 0 428.463 -0.430 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000445183365 1072677869 /nfs/dbraw/zinc/67/78/69/1072677869.db2.gz JBXAJWHWGFYOLT-GJZGRUSLSA-N 0 0 428.463 -0.430 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445183369 1072677908 /nfs/dbraw/zinc/67/79/08/1072677908.db2.gz JBXAJWHWGFYOLT-HUUCEWRRSA-N 0 0 428.463 -0.430 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445183374 1072678000 /nfs/dbraw/zinc/67/80/00/1072678000.db2.gz JBXAJWHWGFYOLT-LSDHHAIUSA-N 0 0 428.463 -0.430 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCN(C(C)=O)CC2)c1 ZINC000445183386 1072677355 /nfs/dbraw/zinc/67/73/55/1072677355.db2.gz CMTUECNLSXDKLE-MSOLQXFVSA-N 0 0 432.477 -0.182 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCN(C(C)=O)CC2)c1 ZINC000445183388 1072677336 /nfs/dbraw/zinc/67/73/36/1072677336.db2.gz CMTUECNLSXDKLE-QZTJIDSGSA-N 0 0 432.477 -0.182 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCN(C(C)=O)CC2)c1 ZINC000445183389 1072677885 /nfs/dbraw/zinc/67/78/85/1072677885.db2.gz CMTUECNLSXDKLE-ROUUACIJSA-N 0 0 432.477 -0.182 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCN(C(C)=O)CC2)c1 ZINC000445183391 1072678004 /nfs/dbraw/zinc/67/80/04/1072678004.db2.gz CMTUECNLSXDKLE-ZWKOTPCHSA-N 0 0 432.477 -0.182 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)CC2)n1 ZINC000445183784 1072677810 /nfs/dbraw/zinc/67/78/10/1072677810.db2.gz YEBWGXWUQOTGKA-UHFFFAOYSA-N 0 0 446.464 -0.247 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc(S(C)(=O)=O)c1 ZINC000445184131 1072677799 /nfs/dbraw/zinc/67/77/99/1072677799.db2.gz COFMLQUNTDDJHK-KBPBESRZSA-N 0 0 442.446 -0.660 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc(S(C)(=O)=O)c1 ZINC000445184138 1072677940 /nfs/dbraw/zinc/67/79/40/1072677940.db2.gz COFMLQUNTDDJHK-KGLIPLIRSA-N 0 0 442.446 -0.660 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc(S(C)(=O)=O)c1 ZINC000445184140 1072677779 /nfs/dbraw/zinc/67/77/79/1072677779.db2.gz COFMLQUNTDDJHK-UONOGXRCSA-N 0 0 442.446 -0.660 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc(S(C)(=O)=O)c1 ZINC000445184141 1072677992 /nfs/dbraw/zinc/67/79/92/1072677992.db2.gz COFMLQUNTDDJHK-ZIAGYGMSSA-N 0 0 442.446 -0.660 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(CN2CCN(C(C)=O)CC2)c1 ZINC000445184951 1072677851 /nfs/dbraw/zinc/67/78/51/1072677851.db2.gz FLMFOFPAZQJOMA-MOPGFXCFSA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(CN2CCN(C(C)=O)CC2)c1 ZINC000445184952 1072677900 /nfs/dbraw/zinc/67/79/00/1072677900.db2.gz FLMFOFPAZQJOMA-OALUTQOASA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(CN2CCN(C(C)=O)CC2)c1 ZINC000445184953 1072678008 /nfs/dbraw/zinc/67/80/08/1072678008.db2.gz FLMFOFPAZQJOMA-RBUKOAKNSA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(CN2CCN(C(C)=O)CC2)c1 ZINC000445184954 1072677948 /nfs/dbraw/zinc/67/79/48/1072677948.db2.gz FLMFOFPAZQJOMA-RTBURBONSA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)cc1 ZINC000445185185 1072677980 /nfs/dbraw/zinc/67/79/80/1072677980.db2.gz HPUKNJIRFWKXSB-AWEZNQCLSA-N 0 0 434.493 -0.169 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)cc1 ZINC000445185187 1072677921 /nfs/dbraw/zinc/67/79/21/1072677921.db2.gz HPUKNJIRFWKXSB-CQSZACIVSA-N 0 0 434.493 -0.169 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000445185803 1072678355 /nfs/dbraw/zinc/67/83/55/1072678355.db2.gz FQZTVBNKNIQJFE-CVEARBPZSA-N 0 0 439.490 -0.067 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000445185804 1072678378 /nfs/dbraw/zinc/67/83/78/1072678378.db2.gz FQZTVBNKNIQJFE-HOTGVXAUSA-N 0 0 439.490 -0.067 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000445185805 1072678407 /nfs/dbraw/zinc/67/84/07/1072678407.db2.gz FQZTVBNKNIQJFE-HZPDHXFCSA-N 0 0 439.490 -0.067 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000445185806 1072678373 /nfs/dbraw/zinc/67/83/73/1072678373.db2.gz FQZTVBNKNIQJFE-JKSUJKDBSA-N 0 0 439.490 -0.067 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000445185814 1072678363 /nfs/dbraw/zinc/67/83/63/1072678363.db2.gz FRZSHTRCSKAEJK-DZGCQCFKSA-N 0 0 427.479 -0.291 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000445185815 1072678398 /nfs/dbraw/zinc/67/83/98/1072678398.db2.gz FRZSHTRCSKAEJK-HIFRSBDPSA-N 0 0 427.479 -0.291 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000445185816 1072678439 /nfs/dbraw/zinc/67/84/39/1072678439.db2.gz FRZSHTRCSKAEJK-UKRRQHHQSA-N 0 0 427.479 -0.291 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000445185817 1072678499 /nfs/dbraw/zinc/67/84/99/1072678499.db2.gz FRZSHTRCSKAEJK-ZFWWWQNUSA-N 0 0 427.479 -0.291 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000445186301 1072677828 /nfs/dbraw/zinc/67/78/28/1072677828.db2.gz LUTDXGXAKMUOJP-BFYDXBDKSA-N 0 0 437.497 -0.279 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000445186312 1072678504 /nfs/dbraw/zinc/67/85/04/1072678504.db2.gz LUTDXGXAKMUOJP-HLLBOEOZSA-N 0 0 437.497 -0.279 20 0 IBADRN COCCO[C@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000445186313 1072678350 /nfs/dbraw/zinc/67/83/50/1072678350.db2.gz LUTDXGXAKMUOJP-UXLLHSPISA-N 0 0 437.497 -0.279 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000445186314 1072678446 /nfs/dbraw/zinc/67/84/46/1072678446.db2.gz LUTDXGXAKMUOJP-YQQAZPJKSA-N 0 0 437.497 -0.279 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000445188014 1072678521 /nfs/dbraw/zinc/67/85/21/1072678521.db2.gz HLDWTWFBDLKZGY-HKUYNNGSSA-N 0 0 446.504 -0.534 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000445188016 1072678486 /nfs/dbraw/zinc/67/84/86/1072678486.db2.gz HLDWTWFBDLKZGY-IEBWSBKVSA-N 0 0 446.504 -0.534 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000445188019 1072678331 /nfs/dbraw/zinc/67/83/31/1072678331.db2.gz HLDWTWFBDLKZGY-MJGOQNOKSA-N 0 0 446.504 -0.534 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000445188022 1072678474 /nfs/dbraw/zinc/67/84/74/1072678474.db2.gz HLDWTWFBDLKZGY-PKOBYXMFSA-N 0 0 446.504 -0.534 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)c3ncn(C)n3)c2)CC1 ZINC000445188267 1072678511 /nfs/dbraw/zinc/67/85/11/1072678511.db2.gz OBDGUYMKERBICA-AWEZNQCLSA-N 0 0 449.537 -0.043 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)c3ncn(C)n3)c2)CC1 ZINC000445188268 1072678417 /nfs/dbraw/zinc/67/84/17/1072678417.db2.gz OBDGUYMKERBICA-CQSZACIVSA-N 0 0 449.537 -0.043 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000445188776 1072678944 /nfs/dbraw/zinc/67/89/44/1072678944.db2.gz GYVCHNVKKBKZRT-CABCVRRESA-N 0 0 425.463 -0.409 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000445188789 1072678984 /nfs/dbraw/zinc/67/89/84/1072678984.db2.gz GYVCHNVKKBKZRT-GJZGRUSLSA-N 0 0 425.463 -0.409 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000445188792 1072679062 /nfs/dbraw/zinc/67/90/62/1072679062.db2.gz GYVCHNVKKBKZRT-HUUCEWRRSA-N 0 0 425.463 -0.409 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000445188794 1072678916 /nfs/dbraw/zinc/67/89/16/1072678916.db2.gz GYVCHNVKKBKZRT-LSDHHAIUSA-N 0 0 425.463 -0.409 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000445188952 1072678991 /nfs/dbraw/zinc/67/89/91/1072678991.db2.gz HDJGEFCARHSBGL-KBPBESRZSA-N 0 0 431.442 -0.461 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000445188968 1072678953 /nfs/dbraw/zinc/67/89/53/1072678953.db2.gz HDJGEFCARHSBGL-KGLIPLIRSA-N 0 0 431.442 -0.461 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000445188971 1072679029 /nfs/dbraw/zinc/67/90/29/1072679029.db2.gz HDJGEFCARHSBGL-UONOGXRCSA-N 0 0 431.442 -0.461 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000445188972 1072679045 /nfs/dbraw/zinc/67/90/45/1072679045.db2.gz HDJGEFCARHSBGL-ZIAGYGMSSA-N 0 0 431.442 -0.461 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445189606 1072678935 /nfs/dbraw/zinc/67/89/35/1072678935.db2.gz IDOBLWYONOJOSC-GDBMZVCRSA-N 0 0 435.433 -0.557 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445189608 1072679050 /nfs/dbraw/zinc/67/90/50/1072679050.db2.gz IDOBLWYONOJOSC-GOEBONIOSA-N 0 0 435.433 -0.557 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000445189609 1072678997 /nfs/dbraw/zinc/67/89/97/1072678997.db2.gz IDOBLWYONOJOSC-HOCLYGCPSA-N 0 0 435.433 -0.557 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000445189612 1072679007 /nfs/dbraw/zinc/67/90/07/1072679007.db2.gz IDOBLWYONOJOSC-ZBFHGGJFSA-N 0 0 435.433 -0.557 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC)CC2 ZINC000445189835 1072679635 /nfs/dbraw/zinc/67/96/35/1072679635.db2.gz HQVROASSDIQUCT-CVEARBPZSA-N 0 0 439.490 -0.601 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](O)[C@H]1C(=O)OC)CC2 ZINC000445189836 1072679686 /nfs/dbraw/zinc/67/96/86/1072679686.db2.gz HQVROASSDIQUCT-HOTGVXAUSA-N 0 0 439.490 -0.601 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](O)[C@@H]1C(=O)OC)CC2 ZINC000445189837 1072679679 /nfs/dbraw/zinc/67/96/79/1072679679.db2.gz HQVROASSDIQUCT-HZPDHXFCSA-N 0 0 439.490 -0.601 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](O)[C@@H]1C(=O)OC)CC2 ZINC000445189838 1072679615 /nfs/dbraw/zinc/67/96/15/1072679615.db2.gz HQVROASSDIQUCT-JKSUJKDBSA-N 0 0 439.490 -0.601 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1C ZINC000445190413 1072678877 /nfs/dbraw/zinc/67/88/77/1072678877.db2.gz IXLKQVIHZZEZBQ-DLBZAZTESA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1C ZINC000445190420 1072678976 /nfs/dbraw/zinc/67/89/76/1072678976.db2.gz IXLKQVIHZZEZBQ-IAGOWNOFSA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1C ZINC000445190421 1072679033 /nfs/dbraw/zinc/67/90/33/1072679033.db2.gz IXLKQVIHZZEZBQ-IRXDYDNUSA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1C ZINC000445190423 1072678928 /nfs/dbraw/zinc/67/89/28/1072678928.db2.gz IXLKQVIHZZEZBQ-SJORKVTESA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000445190508 1072678902 /nfs/dbraw/zinc/67/89/02/1072678902.db2.gz WPSHKLPXDHPKRC-CABCVRRESA-N 0 0 443.453 -0.171 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000445190525 1072679021 /nfs/dbraw/zinc/67/90/21/1072679021.db2.gz WPSHKLPXDHPKRC-GJZGRUSLSA-N 0 0 443.453 -0.171 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000445190527 1072679607 /nfs/dbraw/zinc/67/96/07/1072679607.db2.gz WPSHKLPXDHPKRC-HUUCEWRRSA-N 0 0 443.453 -0.171 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000445190534 1072679014 /nfs/dbraw/zinc/67/90/14/1072679014.db2.gz WPSHKLPXDHPKRC-LSDHHAIUSA-N 0 0 443.453 -0.171 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1 ZINC000445191454 1070810182 /nfs/dbraw/zinc/81/01/82/1070810182.db2.gz IWUNEFXJYIWFAF-GXTWGEPZSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1 ZINC000445191457 1072679711 /nfs/dbraw/zinc/67/97/11/1072679711.db2.gz IWUNEFXJYIWFAF-JSGCOSHPSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1 ZINC000445191461 1072679731 /nfs/dbraw/zinc/67/97/31/1072679731.db2.gz IWUNEFXJYIWFAF-OCCSQVGLSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1 ZINC000445191463 1072679640 /nfs/dbraw/zinc/67/96/40/1072679640.db2.gz IWUNEFXJYIWFAF-TZMCWYRMSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1C ZINC000445191706 1072679663 /nfs/dbraw/zinc/67/96/63/1072679663.db2.gz JGZVIXLZJZJBIH-DLBZAZTESA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1C ZINC000445191707 1072679671 /nfs/dbraw/zinc/67/96/71/1072679671.db2.gz JGZVIXLZJZJBIH-IAGOWNOFSA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1C ZINC000445191710 1072679580 /nfs/dbraw/zinc/67/95/80/1072679580.db2.gz JGZVIXLZJZJBIH-IRXDYDNUSA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1C ZINC000445191716 1072679565 /nfs/dbraw/zinc/67/95/65/1072679565.db2.gz JGZVIXLZJZJBIH-SJORKVTESA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000445192309 1072679572 /nfs/dbraw/zinc/67/95/72/1072679572.db2.gz MPZPUCSWTTXBHL-CVEARBPZSA-N 0 0 437.424 -0.239 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000445192318 1072679548 /nfs/dbraw/zinc/67/95/48/1072679548.db2.gz MPZPUCSWTTXBHL-HOTGVXAUSA-N 0 0 437.424 -0.239 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000445192322 1072679698 /nfs/dbraw/zinc/67/96/98/1072679698.db2.gz MPZPUCSWTTXBHL-HZPDHXFCSA-N 0 0 437.424 -0.239 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000445192323 1070815156 /nfs/dbraw/zinc/81/51/56/1070815156.db2.gz MPZPUCSWTTXBHL-JKSUJKDBSA-N 0 0 437.424 -0.239 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000445192435 1072679706 /nfs/dbraw/zinc/67/97/06/1072679706.db2.gz RRSXQCLSZDACFX-APWZRJJASA-N 0 0 447.488 -0.097 20 0 IBADRN COCCO[C@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000445192441 1072679691 /nfs/dbraw/zinc/67/96/91/1072679691.db2.gz RRSXQCLSZDACFX-LPHOPBHVSA-N 0 0 447.488 -0.097 20 0 IBADRN COCCO[C@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000445192442 1070815397 /nfs/dbraw/zinc/81/53/97/1070815397.db2.gz RRSXQCLSZDACFX-QFBILLFUSA-N 0 0 447.488 -0.097 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000445192445 1070815129 /nfs/dbraw/zinc/81/51/29/1070815129.db2.gz RRSXQCLSZDACFX-VQIMIIECSA-N 0 0 447.488 -0.097 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000445192666 1072680767 /nfs/dbraw/zinc/68/07/67/1072680767.db2.gz JXRKQTSWLJBRSA-AEFFLSMTSA-N 0 0 448.476 -0.579 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000445192667 1070814853 /nfs/dbraw/zinc/81/48/53/1070814853.db2.gz JXRKQTSWLJBRSA-FUHWJXTLSA-N 0 0 448.476 -0.579 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000445192668 1070814821 /nfs/dbraw/zinc/81/48/21/1070814821.db2.gz JXRKQTSWLJBRSA-SJLPKXTDSA-N 0 0 448.476 -0.579 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000445192669 1070815101 /nfs/dbraw/zinc/81/51/01/1070815101.db2.gz JXRKQTSWLJBRSA-WMZOPIPTSA-N 0 0 448.476 -0.579 20 0 IBADRN COCCO[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000445193064 1072680743 /nfs/dbraw/zinc/68/07/43/1072680743.db2.gz WVSQWWRINPKOBM-IBGZPJMESA-N 0 0 448.520 -0.049 20 0 IBADRN COCCO[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000445193071 1070815661 /nfs/dbraw/zinc/81/56/61/1070815661.db2.gz WVSQWWRINPKOBM-LJQANCHMSA-N 0 0 448.520 -0.049 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000445193837 1072680822 /nfs/dbraw/zinc/68/08/22/1072680822.db2.gz MDQRUIJBNASYMS-CABCVRRESA-N 0 0 443.478 -0.925 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000445193845 1072680651 /nfs/dbraw/zinc/68/06/51/1072680651.db2.gz MDQRUIJBNASYMS-GJZGRUSLSA-N 0 0 443.478 -0.925 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445193849 1072680728 /nfs/dbraw/zinc/68/07/28/1072680728.db2.gz MDQRUIJBNASYMS-HUUCEWRRSA-N 0 0 443.478 -0.925 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445193850 1072680682 /nfs/dbraw/zinc/68/06/82/1072680682.db2.gz MDQRUIJBNASYMS-LSDHHAIUSA-N 0 0 443.478 -0.925 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000445194199 1070822796 /nfs/dbraw/zinc/82/27/96/1070822796.db2.gz KWGAPVYITILQQD-CABCVRRESA-N 0 0 425.463 -0.310 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000445194200 1072680752 /nfs/dbraw/zinc/68/07/52/1072680752.db2.gz KWGAPVYITILQQD-GJZGRUSLSA-N 0 0 425.463 -0.310 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000445194201 1072680732 /nfs/dbraw/zinc/68/07/32/1072680732.db2.gz KWGAPVYITILQQD-HUUCEWRRSA-N 0 0 425.463 -0.310 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000445194202 1070822547 /nfs/dbraw/zinc/82/25/47/1070822547.db2.gz KWGAPVYITILQQD-LSDHHAIUSA-N 0 0 425.463 -0.310 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3cccc4c3oc(=O)n4C)CC2)n1 ZINC000445194799 1072680643 /nfs/dbraw/zinc/68/06/43/1072680643.db2.gz UXDJSUISTBTISL-UHFFFAOYSA-N 0 0 430.421 -0.056 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(NC(=O)[C@@H](C)n2cncn2)cc1 ZINC000445195798 1072681246 /nfs/dbraw/zinc/68/12/46/1072681246.db2.gz MTNTZOBEKPXWAL-KCXAZCMYSA-N 0 0 444.448 -0.059 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(NC(=O)[C@H](C)n2cncn2)cc1 ZINC000445195801 1072681275 /nfs/dbraw/zinc/68/12/75/1072681275.db2.gz MTNTZOBEKPXWAL-MAZHCROVSA-N 0 0 444.448 -0.059 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(NC(=O)[C@H](C)n2cncn2)cc1 ZINC000445195805 1072681290 /nfs/dbraw/zinc/68/12/90/1072681290.db2.gz MTNTZOBEKPXWAL-RCBQFDQVSA-N 0 0 444.448 -0.059 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(NC(=O)[C@@H](C)n2cncn2)cc1 ZINC000445195807 1072681322 /nfs/dbraw/zinc/68/13/22/1072681322.db2.gz MTNTZOBEKPXWAL-WQVCFCJDSA-N 0 0 444.448 -0.059 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCN1CC(C)(C)O ZINC000445196559 1072680772 /nfs/dbraw/zinc/68/07/72/1072680772.db2.gz WRZWXUZRRBKBSE-INIZCTEOSA-N 0 0 446.552 -0.289 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCN1CC(C)(C)O ZINC000445196562 1072680603 /nfs/dbraw/zinc/68/06/03/1072680603.db2.gz WRZWXUZRRBKBSE-MRXNPFEDSA-N 0 0 446.552 -0.289 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)cc1 ZINC000445197179 1072681254 /nfs/dbraw/zinc/68/12/54/1072681254.db2.gz XIUGVCBZXKBOMC-HNNXBMFYSA-N 0 0 448.520 -0.240 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)cc1 ZINC000445197180 1072681296 /nfs/dbraw/zinc/68/12/96/1072681296.db2.gz XIUGVCBZXKBOMC-OAHLLOKOSA-N 0 0 448.520 -0.240 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000445197244 1072681281 /nfs/dbraw/zinc/68/12/81/1072681281.db2.gz OZUUBOJBASVWBH-DOMZBBRYSA-N 0 0 443.478 -0.591 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000445197245 1072681238 /nfs/dbraw/zinc/68/12/38/1072681238.db2.gz OZUUBOJBASVWBH-IUODEOHRSA-N 0 0 443.478 -0.591 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000445197246 1072681234 /nfs/dbraw/zinc/68/12/34/1072681234.db2.gz OZUUBOJBASVWBH-SWLSCSKDSA-N 0 0 443.478 -0.591 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000445197247 1072681222 /nfs/dbraw/zinc/68/12/22/1072681222.db2.gz OZUUBOJBASVWBH-WFASDCNBSA-N 0 0 443.478 -0.591 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000445197297 1072681251 /nfs/dbraw/zinc/68/12/51/1072681251.db2.gz PJWLESAXNBXFGI-CVEARBPZSA-N 0 0 442.490 -0.040 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000445197298 1072681307 /nfs/dbraw/zinc/68/13/07/1072681307.db2.gz PJWLESAXNBXFGI-HOTGVXAUSA-N 0 0 442.490 -0.040 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445197299 1072681267 /nfs/dbraw/zinc/68/12/67/1072681267.db2.gz PJWLESAXNBXFGI-HZPDHXFCSA-N 0 0 442.490 -0.040 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445197300 1072681287 /nfs/dbraw/zinc/68/12/87/1072681287.db2.gz PJWLESAXNBXFGI-JKSUJKDBSA-N 0 0 442.490 -0.040 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000445197376 1070831178 /nfs/dbraw/zinc/83/11/78/1070831178.db2.gz SVCAISKMRQJMNO-UHFFFAOYSA-N 0 0 434.453 -0.081 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000445197813 1072681936 /nfs/dbraw/zinc/68/19/36/1072681936.db2.gz PYEZDUMGQRPQER-AEFFLSMTSA-N 0 0 433.461 -0.449 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000445197821 1072681916 /nfs/dbraw/zinc/68/19/16/1072681916.db2.gz PYEZDUMGQRPQER-FUHWJXTLSA-N 0 0 433.461 -0.449 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000445197822 1072681887 /nfs/dbraw/zinc/68/18/87/1072681887.db2.gz PYEZDUMGQRPQER-SJLPKXTDSA-N 0 0 433.461 -0.449 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000445197823 1072681949 /nfs/dbraw/zinc/68/19/49/1072681949.db2.gz PYEZDUMGQRPQER-WMZOPIPTSA-N 0 0 433.461 -0.449 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)c2ccccc2O1 ZINC000445198212 1072682432 /nfs/dbraw/zinc/68/24/32/1072682432.db2.gz OZEFTJPRTHEGQW-BHYGNILZSA-N 0 0 433.461 -0.168 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)c2ccccc2O1 ZINC000445198213 1072682315 /nfs/dbraw/zinc/68/23/15/1072682315.db2.gz OZEFTJPRTHEGQW-HYVNUMGLSA-N 0 0 433.461 -0.168 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)c2ccccc2O1 ZINC000445198214 1072682474 /nfs/dbraw/zinc/68/24/74/1072682474.db2.gz OZEFTJPRTHEGQW-PVAVHDDUSA-N 0 0 433.461 -0.168 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)c2ccccc2O1 ZINC000445198215 1072682464 /nfs/dbraw/zinc/68/24/64/1072682464.db2.gz OZEFTJPRTHEGQW-XIRDDKMYSA-N 0 0 433.461 -0.168 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3c(OC)ncnc3N(C)C)CC2)n1 ZINC000445198440 1072681945 /nfs/dbraw/zinc/68/19/45/1072681945.db2.gz VUGDUUTWTSASNM-UHFFFAOYSA-N 0 0 434.457 -0.637 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000445198480 1072681907 /nfs/dbraw/zinc/68/19/07/1072681907.db2.gz QXSSWOPELHBSED-DZGCQCFKSA-N 0 0 443.453 -0.270 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000445198481 1072681922 /nfs/dbraw/zinc/68/19/22/1072681922.db2.gz QXSSWOPELHBSED-HIFRSBDPSA-N 0 0 443.453 -0.270 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000445198482 1072681899 /nfs/dbraw/zinc/68/18/99/1072681899.db2.gz QXSSWOPELHBSED-UKRRQHHQSA-N 0 0 443.453 -0.270 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000445198483 1072681969 /nfs/dbraw/zinc/68/19/69/1072681969.db2.gz QXSSWOPELHBSED-ZFWWWQNUSA-N 0 0 443.453 -0.270 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000445198541 1072681876 /nfs/dbraw/zinc/68/18/76/1072681876.db2.gz RKERGJDTOLWKAL-CABCVRRESA-N 0 0 425.463 -0.310 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000445198542 1072681940 /nfs/dbraw/zinc/68/19/40/1072681940.db2.gz RKERGJDTOLWKAL-GJZGRUSLSA-N 0 0 425.463 -0.310 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000445198543 1072681967 /nfs/dbraw/zinc/68/19/67/1072681967.db2.gz RKERGJDTOLWKAL-HUUCEWRRSA-N 0 0 425.463 -0.310 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000445198544 1072681963 /nfs/dbraw/zinc/68/19/63/1072681963.db2.gz RKERGJDTOLWKAL-LSDHHAIUSA-N 0 0 425.463 -0.310 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000445198547 1072681954 /nfs/dbraw/zinc/68/19/54/1072681954.db2.gz RKLNUYFOZCYARQ-GDBMZVCRSA-N 0 0 439.490 -0.101 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000445198548 1072681925 /nfs/dbraw/zinc/68/19/25/1072681925.db2.gz RKLNUYFOZCYARQ-GOEBONIOSA-N 0 0 439.490 -0.101 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000445198549 1072681895 /nfs/dbraw/zinc/68/18/95/1072681895.db2.gz RKLNUYFOZCYARQ-HOCLYGCPSA-N 0 0 439.490 -0.101 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000445198550 1072681911 /nfs/dbraw/zinc/68/19/11/1072681911.db2.gz RKLNUYFOZCYARQ-ZBFHGGJFSA-N 0 0 439.490 -0.101 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1-n1cc(C)cn1 ZINC000445198552 1072681959 /nfs/dbraw/zinc/68/19/59/1072681959.db2.gz RKPNVUXIPWORPN-CVEARBPZSA-N 0 0 429.433 -0.257 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1-n1cc(C)cn1 ZINC000445198553 1072681972 /nfs/dbraw/zinc/68/19/72/1072681972.db2.gz RKPNVUXIPWORPN-HOTGVXAUSA-N 0 0 429.433 -0.257 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1-n1cc(C)cn1 ZINC000445198554 1072682418 /nfs/dbraw/zinc/68/24/18/1072682418.db2.gz RKPNVUXIPWORPN-HZPDHXFCSA-N 0 0 429.433 -0.257 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1-n1cc(C)cn1 ZINC000445198555 1072682363 /nfs/dbraw/zinc/68/23/63/1072682363.db2.gz RKPNVUXIPWORPN-JKSUJKDBSA-N 0 0 429.433 -0.257 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC)CC2 ZINC000445198594 1072681310 /nfs/dbraw/zinc/68/13/10/1072681310.db2.gz PKZRIUNHZPQTRP-CABCVRRESA-N 0 0 425.463 -0.991 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](O)[C@H]1C(=O)OC)CC2 ZINC000445198595 1072681271 /nfs/dbraw/zinc/68/12/71/1072681271.db2.gz PKZRIUNHZPQTRP-GJZGRUSLSA-N 0 0 425.463 -0.991 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](O)[C@@H]1C(=O)OC)CC2 ZINC000445198596 1072681229 /nfs/dbraw/zinc/68/12/29/1072681229.db2.gz PKZRIUNHZPQTRP-HUUCEWRRSA-N 0 0 425.463 -0.991 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](O)[C@@H]1C(=O)OC)CC2 ZINC000445198597 1072681930 /nfs/dbraw/zinc/68/19/30/1072681930.db2.gz PKZRIUNHZPQTRP-LSDHHAIUSA-N 0 0 425.463 -0.991 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc1OC ZINC000445198852 1072682330 /nfs/dbraw/zinc/68/23/30/1072682330.db2.gz SDGKZTIGQCERPF-DZGCQCFKSA-N 0 0 443.478 -0.080 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc1OC ZINC000445198853 1072682387 /nfs/dbraw/zinc/68/23/87/1072682387.db2.gz SDGKZTIGQCERPF-HIFRSBDPSA-N 0 0 443.478 -0.080 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1OC ZINC000445198854 1072682345 /nfs/dbraw/zinc/68/23/45/1072682345.db2.gz SDGKZTIGQCERPF-UKRRQHHQSA-N 0 0 443.478 -0.080 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc1OC ZINC000445198855 1072682399 /nfs/dbraw/zinc/68/23/99/1072682399.db2.gz SDGKZTIGQCERPF-ZFWWWQNUSA-N 0 0 443.478 -0.080 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)cc1 ZINC000445199014 1072682425 /nfs/dbraw/zinc/68/24/25/1072682425.db2.gz SHAGUQQKDHWANF-CABCVRRESA-N 0 0 427.479 -0.162 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)cc1 ZINC000445199016 1072682457 /nfs/dbraw/zinc/68/24/57/1072682457.db2.gz SHAGUQQKDHWANF-GJZGRUSLSA-N 0 0 427.479 -0.162 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445199018 1072682470 /nfs/dbraw/zinc/68/24/70/1072682470.db2.gz SHAGUQQKDHWANF-HUUCEWRRSA-N 0 0 427.479 -0.162 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)cc1 ZINC000445199019 1072682376 /nfs/dbraw/zinc/68/23/76/1072682376.db2.gz SHAGUQQKDHWANF-LSDHHAIUSA-N 0 0 427.479 -0.162 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccccc1N1CCC(C(N)=O)CC1 ZINC000445199425 1072682454 /nfs/dbraw/zinc/68/24/54/1072682454.db2.gz UHCUIROJAKVAGB-DLBZAZTESA-N 0 0 432.477 -0.148 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccccc1N1CCC(C(N)=O)CC1 ZINC000445199426 1072682449 /nfs/dbraw/zinc/68/24/49/1072682449.db2.gz UHCUIROJAKVAGB-IAGOWNOFSA-N 0 0 432.477 -0.148 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccccc1N1CCC(C(N)=O)CC1 ZINC000445199427 1072682439 /nfs/dbraw/zinc/68/24/39/1072682439.db2.gz UHCUIROJAKVAGB-IRXDYDNUSA-N 0 0 432.477 -0.148 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccccc1N1CCC(C(N)=O)CC1 ZINC000445199428 1072682904 /nfs/dbraw/zinc/68/29/04/1072682904.db2.gz UHCUIROJAKVAGB-SJORKVTESA-N 0 0 432.477 -0.148 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1OC ZINC000445199561 1072682368 /nfs/dbraw/zinc/68/23/68/1072682368.db2.gz RZLDPWKAZCKPEH-DOTOQJQBSA-N 0 0 449.460 -0.369 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1OC ZINC000445199562 1072682411 /nfs/dbraw/zinc/68/24/11/1072682411.db2.gz RZLDPWKAZCKPEH-NVXWUHKLSA-N 0 0 449.460 -0.369 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1OC ZINC000445199563 1072682320 /nfs/dbraw/zinc/68/23/20/1072682320.db2.gz RZLDPWKAZCKPEH-RDJZCZTQSA-N 0 0 449.460 -0.369 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(CN2CCN(C(C)=O)CC2)cc1 ZINC000445199692 1072682971 /nfs/dbraw/zinc/68/29/71/1072682971.db2.gz YTXIXTSSPAFXQP-MOPGFXCFSA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(CN2CCN(C(C)=O)CC2)cc1 ZINC000445199694 1070891964 /nfs/dbraw/zinc/89/19/64/1070891964.db2.gz YTXIXTSSPAFXQP-OALUTQOASA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(CN2CCN(C(C)=O)CC2)cc1 ZINC000445199696 1072682933 /nfs/dbraw/zinc/68/29/33/1072682933.db2.gz YTXIXTSSPAFXQP-RBUKOAKNSA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(CN2CCN(C(C)=O)CC2)cc1 ZINC000445199698 1072682896 /nfs/dbraw/zinc/68/28/96/1072682896.db2.gz YTXIXTSSPAFXQP-RTBURBONSA-N 0 0 446.504 -0.186 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1OC ZINC000445199720 1072682909 /nfs/dbraw/zinc/68/29/09/1072682909.db2.gz RZLDPWKAZCKPEH-WBVHZDCISA-N 0 0 449.460 -0.369 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000445199741 1072682942 /nfs/dbraw/zinc/68/29/42/1072682942.db2.gz UWOHOJZYHFMENC-DZGCQCFKSA-N 0 0 443.478 -0.591 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000445199742 1070892023 /nfs/dbraw/zinc/89/20/23/1070892023.db2.gz UWOHOJZYHFMENC-HIFRSBDPSA-N 0 0 443.478 -0.591 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000445199744 1070892007 /nfs/dbraw/zinc/89/20/07/1070892007.db2.gz UWOHOJZYHFMENC-UKRRQHHQSA-N 0 0 443.478 -0.591 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000445199746 1070892168 /nfs/dbraw/zinc/89/21/68/1070892168.db2.gz UWOHOJZYHFMENC-ZFWWWQNUSA-N 0 0 443.478 -0.591 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000445199778 1072683001 /nfs/dbraw/zinc/68/30/01/1072683001.db2.gz SFXGMRIJJBKCCE-CRAIPNDOSA-N 0 0 449.460 -0.612 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000445199783 1070892115 /nfs/dbraw/zinc/89/21/15/1070892115.db2.gz SFXGMRIJJBKCCE-MAUKXSAKSA-N 0 0 449.460 -0.612 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000445199784 1072682913 /nfs/dbraw/zinc/68/29/13/1072682913.db2.gz SFXGMRIJJBKCCE-QAPCUYQASA-N 0 0 449.460 -0.612 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000445199786 1072682887 /nfs/dbraw/zinc/68/28/87/1072682887.db2.gz SFXGMRIJJBKCCE-YJBOKZPZSA-N 0 0 449.460 -0.612 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)c1ncn(C)n1 ZINC000445200169 1072682876 /nfs/dbraw/zinc/68/28/76/1072682876.db2.gz TZBQLMREEFGYFT-BBRMVZONSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)c1ncn(C)n1 ZINC000445200172 1072682901 /nfs/dbraw/zinc/68/29/01/1072682901.db2.gz TZBQLMREEFGYFT-CJNGLKHVSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)c1ncn(C)n1 ZINC000445200174 1072682893 /nfs/dbraw/zinc/68/28/93/1072682893.db2.gz TZBQLMREEFGYFT-CZUORRHYSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)c1ncn(C)n1 ZINC000445200175 1072682929 /nfs/dbraw/zinc/68/29/29/1072682929.db2.gz TZBQLMREEFGYFT-XJKSGUPXSA-N 0 0 428.449 -0.355 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3cc(OC(F)F)n(C)n3)CC2)n1 ZINC000445200196 1072682999 /nfs/dbraw/zinc/68/29/99/1072682999.db2.gz ZYFMRKUXCCFISL-UHFFFAOYSA-N 0 0 429.384 -0.166 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1C ZINC000445200750 1072683413 /nfs/dbraw/zinc/68/34/13/1072683413.db2.gz WAOYPJXDQNGNPH-DLBZAZTESA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1C ZINC000445200751 1072683501 /nfs/dbraw/zinc/68/35/01/1072683501.db2.gz WAOYPJXDQNGNPH-IAGOWNOFSA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1C ZINC000445200752 1072683565 /nfs/dbraw/zinc/68/35/65/1072683565.db2.gz WAOYPJXDQNGNPH-IRXDYDNUSA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1C ZINC000445200753 1072683461 /nfs/dbraw/zinc/68/34/61/1072683461.db2.gz WAOYPJXDQNGNPH-SJORKVTESA-N 0 0 433.461 -0.069 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000445200894 1072683556 /nfs/dbraw/zinc/68/35/56/1072683556.db2.gz XZFWLZCUDGRTJY-CABCVRRESA-N 0 0 443.453 -0.171 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000445200896 1072682883 /nfs/dbraw/zinc/68/28/83/1072682883.db2.gz XZFWLZCUDGRTJY-GJZGRUSLSA-N 0 0 443.453 -0.171 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000445200898 1072683493 /nfs/dbraw/zinc/68/34/93/1072683493.db2.gz XZFWLZCUDGRTJY-HUUCEWRRSA-N 0 0 443.453 -0.171 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000445200901 1072683447 /nfs/dbraw/zinc/68/34/47/1072683447.db2.gz XZFWLZCUDGRTJY-LSDHHAIUSA-N 0 0 443.453 -0.171 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000445200982 1072683540 /nfs/dbraw/zinc/68/35/40/1072683540.db2.gz YDOSCCYHZPVNHP-CVEARBPZSA-N 0 0 439.490 -0.532 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000445200983 1072683482 /nfs/dbraw/zinc/68/34/82/1072683482.db2.gz YDOSCCYHZPVNHP-HOTGVXAUSA-N 0 0 439.490 -0.532 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000445200984 1072683507 /nfs/dbraw/zinc/68/35/07/1072683507.db2.gz YDOSCCYHZPVNHP-HZPDHXFCSA-N 0 0 439.490 -0.532 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000445200989 1072683455 /nfs/dbraw/zinc/68/34/55/1072683455.db2.gz YDOSCCYHZPVNHP-JKSUJKDBSA-N 0 0 439.490 -0.532 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000445201133 1072683003 /nfs/dbraw/zinc/68/30/03/1072683003.db2.gz YOVSYJWHPAZHAJ-CVEARBPZSA-N 0 0 439.490 -0.002 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000445201135 1070903678 /nfs/dbraw/zinc/90/36/78/1070903678.db2.gz YOVSYJWHPAZHAJ-HOTGVXAUSA-N 0 0 439.490 -0.002 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)cc1OC ZINC000445201136 1070903683 /nfs/dbraw/zinc/90/36/83/1070903683.db2.gz XGMFEWQPJLMDLX-GXTWGEPZSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000445201137 1070903743 /nfs/dbraw/zinc/90/37/43/1070903743.db2.gz YOVSYJWHPAZHAJ-HZPDHXFCSA-N 0 0 439.490 -0.002 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000445201138 1070903736 /nfs/dbraw/zinc/90/37/36/1070903736.db2.gz YOVSYJWHPAZHAJ-JKSUJKDBSA-N 0 0 439.490 -0.002 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)cc1OC ZINC000445201139 1070903559 /nfs/dbraw/zinc/90/35/59/1070903559.db2.gz XGMFEWQPJLMDLX-JSGCOSHPSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)cc1OC ZINC000445201140 1070903686 /nfs/dbraw/zinc/90/36/86/1070903686.db2.gz XGMFEWQPJLMDLX-OCCSQVGLSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)cc1OC ZINC000445201143 1070903577 /nfs/dbraw/zinc/90/35/77/1070903577.db2.gz XGMFEWQPJLMDLX-TZMCWYRMSA-N 0 0 429.451 -0.470 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000445201676 1072683523 /nfs/dbraw/zinc/68/35/23/1072683523.db2.gz ZULMKHRWTFAKJK-CABCVRRESA-N 0 0 425.463 -0.528 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000445201677 1072683422 /nfs/dbraw/zinc/68/34/22/1072683422.db2.gz ZULMKHRWTFAKJK-GJZGRUSLSA-N 0 0 425.463 -0.528 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000445201678 1072683548 /nfs/dbraw/zinc/68/35/48/1072683548.db2.gz ZULMKHRWTFAKJK-HUUCEWRRSA-N 0 0 425.463 -0.528 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000445201679 1072683537 /nfs/dbraw/zinc/68/35/37/1072683537.db2.gz ZULMKHRWTFAKJK-LSDHHAIUSA-N 0 0 425.463 -0.528 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000445201712 1072683994 /nfs/dbraw/zinc/68/39/94/1072683994.db2.gz ZYFMSWWNNXUKDL-GDBMZVCRSA-N 0 0 439.490 -0.101 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000445201713 1070917993 /nfs/dbraw/zinc/91/79/93/1070917993.db2.gz ZYFMSWWNNXUKDL-GOEBONIOSA-N 0 0 439.490 -0.101 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000445201714 1072684010 /nfs/dbraw/zinc/68/40/10/1072684010.db2.gz ZYFMSWWNNXUKDL-HOCLYGCPSA-N 0 0 439.490 -0.101 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000445201715 1070917883 /nfs/dbraw/zinc/91/78/83/1070917883.db2.gz ZYFMSWWNNXUKDL-ZBFHGGJFSA-N 0 0 439.490 -0.101 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CCN1CC(C)(C)O ZINC000445201858 1072683467 /nfs/dbraw/zinc/68/34/67/1072683467.db2.gz AGJRYLAVEWXFAR-AWEZNQCLSA-N 0 0 426.539 -0.022 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CCN1CC(C)(C)O ZINC000445201860 1072683429 /nfs/dbraw/zinc/68/34/29/1072683429.db2.gz AGJRYLAVEWXFAR-CQSZACIVSA-N 0 0 426.539 -0.022 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCN1CC(C)(C)O ZINC000445203354 1072684080 /nfs/dbraw/zinc/68/40/80/1072684080.db2.gz JLHTWAZXGRZSNI-INIZCTEOSA-N 0 0 449.556 -0.077 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCN1CC(C)(C)O ZINC000445203355 1073354679 /nfs/dbraw/zinc/35/46/79/1073354679.db2.gz JLHTWAZXGRZSNI-MRXNPFEDSA-N 0 0 449.556 -0.077 20 0 IBADRN COCCNS(=O)(=O)c1ccc(-c2noc(Cn3ncn4nccc4c3=O)n2)cc1 ZINC000445204628 1072684028 /nfs/dbraw/zinc/68/40/28/1072684028.db2.gz RWBXJTXLXPYOFT-UHFFFAOYSA-N 0 0 431.434 -0.086 20 0 IBADRN CN1C[C@@H](CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)Cc2ccccc21 ZINC000445206441 1072684016 /nfs/dbraw/zinc/68/40/16/1072684016.db2.gz GKAQGZUIXQFUCZ-CYBMUJFWSA-N 0 0 436.472 -0.004 20 0 IBADRN CN1C[C@H](CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)Cc2ccccc21 ZINC000445206448 1072683969 /nfs/dbraw/zinc/68/39/69/1072683969.db2.gz GKAQGZUIXQFUCZ-ZDUSSCGKSA-N 0 0 436.472 -0.004 20 0 IBADRN CN1C[C@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)Cc2ccccc21 ZINC000445216395 1072684526 /nfs/dbraw/zinc/68/45/26/1072684526.db2.gz XQBBKCQDADXPKF-INIZCTEOSA-N 0 0 440.504 0.105 20 0 IBADRN CN1C[C@@H](CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)Cc2ccccc21 ZINC000445216398 1072684037 /nfs/dbraw/zinc/68/40/37/1072684037.db2.gz XQBBKCQDADXPKF-MRXNPFEDSA-N 0 0 440.504 0.105 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000445246387 1072685081 /nfs/dbraw/zinc/68/50/81/1072685081.db2.gz DSEQXCXNANMASR-UHFFFAOYSA-N 0 0 441.282 -0.378 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2)C[C@H](C)O1 ZINC000445248808 1072685228 /nfs/dbraw/zinc/68/52/28/1072685228.db2.gz LVBMUDNGVSONBA-CALCHBBNSA-N 0 0 445.520 -0.162 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2)C[C@@H](C)O1 ZINC000445248809 1072685259 /nfs/dbraw/zinc/68/52/59/1072685259.db2.gz LVBMUDNGVSONBA-IAGOWNOFSA-N 0 0 445.520 -0.162 20 0 IBADRN C[C@H]1CN(c2ccnc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2)C[C@H](C)O1 ZINC000445248812 1072685241 /nfs/dbraw/zinc/68/52/41/1072685241.db2.gz LVBMUDNGVSONBA-IRXDYDNUSA-N 0 0 445.520 -0.162 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cc[nH]c(=O)c2Br)CC1)N1CCOCC1 ZINC000445251996 1072684476 /nfs/dbraw/zinc/68/44/76/1072684476.db2.gz UMOBNSQORCCKOO-UHFFFAOYSA-N 0 0 427.255 -0.307 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000445259091 1072685179 /nfs/dbraw/zinc/68/51/79/1072685179.db2.gz JFUJSHFIXDPUAK-UHFFFAOYSA-N 0 0 449.327 -0.187 20 0 IBADRN COC[C@@H](C)NC(=O)Cc1noc(-c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000445268231 1072685216 /nfs/dbraw/zinc/68/52/16/1072685216.db2.gz WIYHCNCABGSNMJ-GFCCVEGCSA-N 0 0 437.478 -0.449 20 0 IBADRN COC[C@H](C)NC(=O)Cc1noc(-c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000445268235 1072685238 /nfs/dbraw/zinc/68/52/38/1072685238.db2.gz WIYHCNCABGSNMJ-LBPRGKRZSA-N 0 0 437.478 -0.449 20 0 IBADRN O=C(Cc1noc(CCNS(=O)(=O)c2ccccc2F)n1)NCCN1CCOCC1 ZINC000445280181 1072685798 /nfs/dbraw/zinc/68/57/98/1072685798.db2.gz LCGRKZVGJMPITO-UHFFFAOYSA-N 0 0 441.485 -0.279 20 0 IBADRN CNS(=O)(=O)c1cc(-c2nc(CC(=O)NCCN3CCOCC3)no2)ccc1OC ZINC000445281648 1072685826 /nfs/dbraw/zinc/68/58/26/1072685826.db2.gz WIIJPVGVCYAGPL-UHFFFAOYSA-N 0 0 439.494 -0.356 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2cc(-c3nc(CC(=O)NCCN4CCOCC4)no3)cnc21 ZINC000445281796 1072685791 /nfs/dbraw/zinc/68/57/91/1072685791.db2.gz QIEPAEWSKUCWNQ-UHFFFAOYSA-N 0 0 443.464 -0.052 20 0 IBADRN Cc1oc(-c2nc(CC(=O)NCCN3CCOCC3)no2)cc1S(=O)(=O)N(C)C ZINC000445282411 1072685728 /nfs/dbraw/zinc/68/57/28/1072685728.db2.gz CMLZVQHPGYBUKL-UHFFFAOYSA-N 0 0 427.483 -0.121 20 0 IBADRN CNS(=O)(=O)c1ccc(CCc2nc(CC(=O)NCCN3CCOCC3)no2)cc1 ZINC000445282659 1072685707 /nfs/dbraw/zinc/68/57/07/1072685707.db2.gz ZQASARGMCLOCRK-UHFFFAOYSA-N 0 0 437.522 -0.246 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2-c1nc(CC(=O)NCCN2CCOCC2)no1 ZINC000445282958 1072686300 /nfs/dbraw/zinc/68/63/00/1072686300.db2.gz PDTONZUHMCKFBC-UHFFFAOYSA-N 0 0 429.437 -0.053 20 0 IBADRN NC(=O)[C@@H]1CC(c2nc(CC(=O)NCCN3CCOCC3)no2)=NN1c1ccc(F)cc1 ZINC000445283359 1072686392 /nfs/dbraw/zinc/68/63/92/1072686392.db2.gz GNGGZFGJSYCXMH-INIZCTEOSA-N 0 0 445.455 -0.332 20 0 IBADRN NC(=O)[C@H]1CC(c2nc(CC(=O)NCCN3CCOCC3)no2)=NN1c1ccc(F)cc1 ZINC000445283360 1072686331 /nfs/dbraw/zinc/68/63/31/1072686331.db2.gz GNGGZFGJSYCXMH-MRXNPFEDSA-N 0 0 445.455 -0.332 20 0 IBADRN CCNS(=O)(=O)c1ccc(Cc2nc(CC(=O)NCCN3CCOCC3)no2)cc1 ZINC000445283362 1072686326 /nfs/dbraw/zinc/68/63/26/1072686326.db2.gz GOLKPTGENLYNFM-UHFFFAOYSA-N 0 0 437.522 -0.051 20 0 IBADRN COC[C@@H](C)NC(=O)Cc1noc(-c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC000445283816 1072686366 /nfs/dbraw/zinc/68/63/66/1072686366.db2.gz NPUZDMJRPPGGOX-GFCCVEGCSA-N 0 0 437.478 -0.449 20 0 IBADRN COC[C@H](C)NC(=O)Cc1noc(-c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC000445283817 1072686338 /nfs/dbraw/zinc/68/63/38/1072686338.db2.gz NPUZDMJRPPGGOX-LBPRGKRZSA-N 0 0 437.478 -0.449 20 0 IBADRN O=C(Cc1noc(CCNC(=O)c2[nH]nc3ccccc32)n1)NCCN1CCOCC1 ZINC000445284084 1072686456 /nfs/dbraw/zinc/68/64/56/1072686456.db2.gz QRDSKMGSASTRNH-UHFFFAOYSA-N 0 0 427.465 -0.091 20 0 IBADRN CNC(=O)COc1ccc(-c2nc(CC(=O)NCCN3CCOCC3)no2)cc1OC ZINC000445285515 1072686320 /nfs/dbraw/zinc/68/63/20/1072686320.db2.gz NRPSPMAHBQSKHP-UHFFFAOYSA-N 0 0 433.465 -0.139 20 0 IBADRN O=C(Cc1noc(CNC(=O)c2cnc3ccccc3c2O)n1)NCCN1CCOCC1 ZINC000445285653 1072686397 /nfs/dbraw/zinc/68/63/97/1072686397.db2.gz VYRIAEZBSPHNIS-UHFFFAOYSA-N 0 0 440.460 -0.168 20 0 IBADRN NC(=O)[C@@H]1CC(c2nc(CC(=O)NCCN3CCOCC3)no2)=NN1c1ccccc1 ZINC000445288598 1072686381 /nfs/dbraw/zinc/68/63/81/1072686381.db2.gz QIMSXUNASYGEAE-INIZCTEOSA-N 0 0 427.465 -0.471 20 0 IBADRN NC(=O)[C@H]1CC(c2nc(CC(=O)NCCN3CCOCC3)no2)=NN1c1ccccc1 ZINC000445288599 1072686408 /nfs/dbraw/zinc/68/64/08/1072686408.db2.gz QIMSXUNASYGEAE-MRXNPFEDSA-N 0 0 427.465 -0.471 20 0 IBADRN CS(=O)(=O)c1nc(-c2nc(CC(=O)NCCN3CCOCC3)no2)c2ccccn21 ZINC000445288685 1072686372 /nfs/dbraw/zinc/68/63/72/1072686372.db2.gz RMMIZPJBSONCDX-UHFFFAOYSA-N 0 0 434.478 -0.221 20 0 IBADRN C[C@@H]1NC(c2nc(CC(=O)NCCN3CCOCC3)no2)=NN(c2ccccc2)C1=O ZINC000445288947 1072687027 /nfs/dbraw/zinc/68/70/27/1072687027.db2.gz WBMZDZKEXLSDDK-AWEZNQCLSA-N 0 0 427.465 -0.253 20 0 IBADRN C[C@H]1NC(c2nc(CC(=O)NCCN3CCOCC3)no2)=NN(c2ccccc2)C1=O ZINC000445288948 1072686926 /nfs/dbraw/zinc/68/69/26/1072686926.db2.gz WBMZDZKEXLSDDK-CQSZACIVSA-N 0 0 427.465 -0.253 20 0 IBADRN O=C(Cc1noc(-c2cccc(CN3C(=O)CNC3=O)c2)n1)NCCN1CCOCC1 ZINC000445288996 1072686979 /nfs/dbraw/zinc/68/69/79/1072686979.db2.gz WRXRBPGLRXLXNH-UHFFFAOYSA-N 0 0 428.449 -0.221 20 0 IBADRN O=C(Cc1noc(-c2nc3ncc(Br)cn3n2)n1)NCCN1CCOCC1 ZINC000445289666 1072686951 /nfs/dbraw/zinc/68/69/51/1072686951.db2.gz FZEVBHOZUSENAW-UHFFFAOYSA-N 0 0 437.258 -0.072 20 0 IBADRN CN(C)S(=O)(=O)c1cc(-c2nc(CC(=O)NCCN3CCOCC3)no2)n(C)c1 ZINC000445290656 1072686968 /nfs/dbraw/zinc/68/69/68/1072686968.db2.gz KERRMMNVGGOFOQ-UHFFFAOYSA-N 0 0 426.499 -0.684 20 0 IBADRN O=C(Cc1noc(CCNS(=O)(=O)c2ccc(F)cc2)n1)NCCN1CCOCC1 ZINC000445290923 1072686957 /nfs/dbraw/zinc/68/69/57/1072686957.db2.gz DQXYHEKZFRQJLL-UHFFFAOYSA-N 0 0 441.485 -0.279 20 0 IBADRN O=C(Cc1noc(-c2ccc(CN3C(=O)CNC3=O)cc2)n1)NCCN1CCOCC1 ZINC000445292342 1072879270 /nfs/dbraw/zinc/87/92/70/1072879270.db2.gz NRSLBEUZEOIIBJ-UHFFFAOYSA-N 0 0 428.449 -0.221 20 0 IBADRN CS(=O)(=O)NCCc1ccc(-c2nc(CC(=O)NCCN3CCOCC3)no2)s1 ZINC000445294182 1072687446 /nfs/dbraw/zinc/68/74/46/1072687446.db2.gz JCZMVIFGMMYPPZ-UHFFFAOYSA-N 0 0 443.551 -0.119 20 0 IBADRN O=C(Cc1noc(CCn2[nH]c(=O)c3ccccc3c2=O)n1)NCCN1CCOCC1 ZINC000445294632 1072687044 /nfs/dbraw/zinc/68/70/44/1072687044.db2.gz RMGGYOWXWJBMEH-UHFFFAOYSA-N 0 0 428.449 -0.281 20 0 IBADRN O=C(Cc1noc(-c2cnn(CC(=O)NC3CCCC3)c2)n1)NCCN1CCOCC1 ZINC000445295234 1072687558 /nfs/dbraw/zinc/68/75/58/1072687558.db2.gz KKVVRYPEQVNZSJ-UHFFFAOYSA-N 0 0 431.497 -0.017 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1-c1nc(CC(=O)NCCN2CCOCC2)no1 ZINC000445300222 1072688018 /nfs/dbraw/zinc/68/80/18/1072688018.db2.gz ZLJXFXJIXPDTPE-UHFFFAOYSA-N 0 0 427.483 -0.121 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCc2nc(CC(=O)NCCN3CCOCC3)no2)cc1 ZINC000445303699 1072687936 /nfs/dbraw/zinc/68/79/36/1072687936.db2.gz SBYZIQSGOJAQCD-UHFFFAOYSA-N 0 0 437.522 -0.110 20 0 IBADRN COCCOc1cnc(-c2noc(CCn3cnc4c3c(=O)n(C)c(=O)n4C)n2)cn1 ZINC000445307413 1072888270 /nfs/dbraw/zinc/88/82/70/1072888270.db2.gz KPPJSRHZNQLRTA-UHFFFAOYSA-N 0 0 428.409 -0.458 20 0 IBADRN Cn1c2ncn(CCc3nc(CC(=O)NCCc4ccccc4)no3)c2c(=O)n(C)c1=O ZINC000445322827 1072688484 /nfs/dbraw/zinc/68/84/84/1072688484.db2.gz SUEYRRMTPRXBIE-UHFFFAOYSA-N 0 0 437.460 -0.039 20 0 IBADRN COCCCNC(=O)Cc1noc(-c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000445373201 1072689749 /nfs/dbraw/zinc/68/97/49/1072689749.db2.gz HAVQBBSOVFBMRJ-UHFFFAOYSA-N 0 0 437.478 -0.448 20 0 IBADRN COCCCNC(=O)Cc1noc(-c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC000445378523 1072689710 /nfs/dbraw/zinc/68/97/10/1072689710.db2.gz HPJDJGUGWMGIRR-UHFFFAOYSA-N 0 0 437.478 -0.448 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCc1noc(Cn2c(=O)ccn(Cc3ccccc3)c2=O)n1 ZINC000445383724 1072690361 /nfs/dbraw/zinc/69/03/61/1072690361.db2.gz JVDHVJREKSMUTI-UHFFFAOYSA-N 0 0 448.505 -0.230 20 0 IBADRN Cc1cc(-c2nc(CCN(C)S(=O)(=O)N(C)C)no2)nc2c1c(=O)n(C)c(=O)n2C ZINC000445385449 1072689056 /nfs/dbraw/zinc/68/90/56/1072689056.db2.gz QJXBCFYAETWMTF-UHFFFAOYSA-N 0 0 437.482 -0.729 20 0 IBADRN CCN1CCN(CCc2noc(-c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)n2)C(=O)C1=O ZINC000445385848 1072689099 /nfs/dbraw/zinc/68/90/99/1072689099.db2.gz RBEPJFHIQGCYEL-UHFFFAOYSA-N 0 0 441.448 -0.776 20 0 IBADRN Cc1nc(S(=O)(=O)NCCc2nc(CC(=O)N3C[C@H](C)O[C@@H](C)C3)no2)cn1C ZINC000445396287 1072690734 /nfs/dbraw/zinc/69/07/34/1072690734.db2.gz WNHOVBIPSBBNRV-RYUDHWBXSA-N 0 0 426.499 -0.189 20 0 IBADRN Cc1nc(S(=O)(=O)NCCc2nc(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)no2)cn1C ZINC000445396288 1072690684 /nfs/dbraw/zinc/69/06/84/1072690684.db2.gz WNHOVBIPSBBNRV-TXEJJXNPSA-N 0 0 426.499 -0.189 20 0 IBADRN Cc1nc(S(=O)(=O)NCCc2nc(CC(=O)N3C[C@@H](C)O[C@H](C)C3)no2)cn1C ZINC000445396289 1072690768 /nfs/dbraw/zinc/69/07/68/1072690768.db2.gz WNHOVBIPSBBNRV-VXGBXAGGSA-N 0 0 426.499 -0.189 20 0 IBADRN C[C@H]1CN(C(=O)Cc2noc(CCn3cnc4c3c(=O)n(C)c(=O)n4C)n2)C[C@H](C)O1 ZINC000445397642 1072690767 /nfs/dbraw/zinc/69/07/67/1072690767.db2.gz KLXLPULYDHFWIG-RYUDHWBXSA-N 0 0 431.453 -0.762 20 0 IBADRN C[C@@H]1CN(C(=O)Cc2noc(CCn3cnc4c3c(=O)n(C)c(=O)n4C)n2)C[C@H](C)O1 ZINC000445397643 1072690719 /nfs/dbraw/zinc/69/07/19/1072690719.db2.gz KLXLPULYDHFWIG-TXEJJXNPSA-N 0 0 431.453 -0.762 20 0 IBADRN C[C@@H]1CN(C(=O)Cc2noc(CCn3cnc4c3c(=O)n(C)c(=O)n4C)n2)C[C@@H](C)O1 ZINC000445397644 1072690663 /nfs/dbraw/zinc/69/06/63/1072690663.db2.gz KLXLPULYDHFWIG-VXGBXAGGSA-N 0 0 431.453 -0.762 20 0 IBADRN CC(C)OCCCNC(=O)Cc1noc(CCn2cnc3c2c(=O)n(C)c(=O)n3C)n1 ZINC000445410174 1072690284 /nfs/dbraw/zinc/69/02/84/1072690284.db2.gz YDYXNPQGDKGMFO-UHFFFAOYSA-N 0 0 433.469 -0.467 20 0 IBADRN COc1ccc(CNC(=O)Cc2noc(Cn3c(=O)c4c(ncn4C)n(C)c3=O)n2)cc1 ZINC000445411073 1072690156 /nfs/dbraw/zinc/69/01/56/1072690156.db2.gz HREAFQZJDNVOJF-UHFFFAOYSA-N 0 0 439.432 -0.268 20 0 IBADRN COc1ccc(CNC(=O)Cc2noc(Cn3cnc4c3c(=O)n(C)c(=O)n4C)n2)cc1 ZINC000445411117 1072690700 /nfs/dbraw/zinc/69/07/00/1072690700.db2.gz IERIZKXWDLKDSD-UHFFFAOYSA-N 0 0 439.432 -0.268 20 0 IBADRN Cn1cc(-c2noc(-c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)n2)c(=O)[nH]c1=O ZINC000445434303 1072693233 /nfs/dbraw/zinc/69/32/33/1072693233.db2.gz RXSGGXLSTSPUPR-UHFFFAOYSA-N 0 0 432.418 -0.677 20 0 IBADRN Cn1cc(-c2noc(-c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)n2)c(=O)[nH]c1=O ZINC000445438271 1073004935 /nfs/dbraw/zinc/00/49/35/1073004935.db2.gz FFAFACMGEBLMOV-UHFFFAOYSA-N 0 0 432.418 -0.677 20 0 IBADRN Cc1nc(S(=O)(=O)NCc2nc(CCN3C(=O)NC4(CCCC4)C3=O)no2)cn1C ZINC000445444726 1072693136 /nfs/dbraw/zinc/69/31/36/1072693136.db2.gz XNOXKLPFCXXJLG-UHFFFAOYSA-N 0 0 437.482 -0.003 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1nc(CCN3C(=O)NC4(CCCC4)C3=O)no1)c(=O)n2C ZINC000445445531 1072693864 /nfs/dbraw/zinc/69/38/64/1072693864.db2.gz LZVLMNLPGRJRIL-UHFFFAOYSA-N 0 0 442.436 -0.728 20 0 IBADRN Cn1c2c(ccn2Cc2nc(CCN3C(=O)NC4(CCCC4)C3=O)no2)c(=O)n(C)c1=O ZINC000445448435 1072692607 /nfs/dbraw/zinc/69/26/07/1072692607.db2.gz SXFHADFBVKMGOO-UHFFFAOYSA-N 0 0 441.448 -0.123 20 0 IBADRN COc1ccccc1N1CCN(C(=O)Cc2noc(Cn3ccc(=O)n(C)c3=O)n2)CC1 ZINC000445499026 1072694318 /nfs/dbraw/zinc/69/43/18/1072694318.db2.gz UZIJFQDPISWPGU-UHFFFAOYSA-N 0 0 440.460 -0.122 20 0 IBADRN COc1ccccc1N1CCN(C(=O)Cc2noc(-c3cn(CC(N)=O)nn3)n2)CC1 ZINC000445500557 1072694399 /nfs/dbraw/zinc/69/43/99/1072694399.db2.gz YKFCPNMJOGZFJW-UHFFFAOYSA-N 0 0 426.437 -0.287 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000445520216 1072696182 /nfs/dbraw/zinc/69/61/82/1072696182.db2.gz JZCCFPNTUUKUAW-CYBMUJFWSA-N 0 0 442.523 -0.985 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000445520224 1072696168 /nfs/dbraw/zinc/69/61/68/1072696168.db2.gz JZCCFPNTUUKUAW-ZDUSSCGKSA-N 0 0 442.523 -0.985 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000445526509 1072696161 /nfs/dbraw/zinc/69/61/61/1072696161.db2.gz YUCZACCMLPZKRA-INIZCTEOSA-N 0 0 447.627 -0.297 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000445526510 1072696179 /nfs/dbraw/zinc/69/61/79/1072696179.db2.gz YUCZACCMLPZKRA-MRXNPFEDSA-N 0 0 447.627 -0.297 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)CC2)n2ncnc2n1 ZINC000445527526 1072696153 /nfs/dbraw/zinc/69/61/53/1072696153.db2.gz HHKBUXPTDOJAPS-CYBMUJFWSA-N 0 0 433.498 -0.818 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)CC2)n2ncnc2n1 ZINC000445527531 1072696596 /nfs/dbraw/zinc/69/65/96/1072696596.db2.gz HHKBUXPTDOJAPS-ZDUSSCGKSA-N 0 0 433.498 -0.818 20 0 IBADRN CNS(=O)(=O)c1ccc(/C=C\c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC000445531646 1072695477 /nfs/dbraw/zinc/69/54/77/1072695477.db2.gz CWNHIUKADNTBGV-DAXSKMNVSA-N 0 0 440.503 -0.052 20 0 IBADRN CNS(=O)(=O)c1ccc(/C=C/c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC000445531647 1072695594 /nfs/dbraw/zinc/69/55/94/1072695594.db2.gz CWNHIUKADNTBGV-QPJJXVBHSA-N 0 0 440.503 -0.052 20 0 IBADRN O=C(Cc1noc(-c2cccc(S(=O)(=O)NC3CC3)c2)n1)N1CCS(=O)(=O)CC1 ZINC000445532776 1072697050 /nfs/dbraw/zinc/69/70/50/1072697050.db2.gz KERRNNSLVJOCBS-UHFFFAOYSA-N 0 0 440.503 -0.023 20 0 IBADRN O=C(Cc1noc(CNC(=O)c2cnc3ccccc3c2O)n1)N1CCS(=O)(=O)CC1 ZINC000445537735 1072697154 /nfs/dbraw/zinc/69/71/54/1072697154.db2.gz LPXQOLYAPGFQSJ-UHFFFAOYSA-N 0 0 445.457 -0.359 20 0 IBADRN CS(=O)(=O)N1CCc2cc(-c3nc(CC(=O)N4CCS(=O)(=O)CC4)no3)ccc21 ZINC000445539014 1072696581 /nfs/dbraw/zinc/69/65/81/1072696581.db2.gz LIJIQYNMWMTLOL-UHFFFAOYSA-N 0 0 440.503 -0.142 20 0 IBADRN CCNS(=O)(=O)c1ccc(Cc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC000445541866 1072696435 /nfs/dbraw/zinc/69/64/35/1072696435.db2.gz UGLJXVNPIWORAL-UHFFFAOYSA-N 0 0 442.519 -0.242 20 0 IBADRN C[C@H]1NC(c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)=NN(c2ccccc2)C1=O ZINC000445542316 1072696564 /nfs/dbraw/zinc/69/65/64/1072696564.db2.gz KBXWINIBRDPZAC-GFCCVEGCSA-N 0 0 432.462 -0.444 20 0 IBADRN C[C@@H]1NC(c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)=NN(c2ccccc2)C1=O ZINC000445542317 1072696576 /nfs/dbraw/zinc/69/65/76/1072696576.db2.gz KBXWINIBRDPZAC-LBPRGKRZSA-N 0 0 432.462 -0.444 20 0 IBADRN Cn1cc(-c2noc(-c3cccc(S(=O)(=O)N4CCOCC4)c3)n2)c(=O)n(C)c1=O ZINC000445544956 1071210275 /nfs/dbraw/zinc/21/02/75/1071210275.db2.gz VORJYEQZZRSNPZ-UHFFFAOYSA-N 0 0 433.446 -0.178 20 0 IBADRN Cn1cc(-c2noc(CCNS(=O)(=O)c3ccc(F)c(F)c3)n2)c(=O)n(C)c1=O ZINC000445545991 1071211256 /nfs/dbraw/zinc/21/12/56/1071211256.db2.gz BFXDQRROABYGPY-UHFFFAOYSA-N 0 0 427.389 -0.067 20 0 IBADRN O=C(Cc1noc(-c2ccc(S(=O)(=O)NC3CC3)cc2)n1)N1CCS(=O)(=O)CC1 ZINC000445547805 1072696493 /nfs/dbraw/zinc/69/64/93/1072696493.db2.gz HEBSKYUREMGCKQ-UHFFFAOYSA-N 0 0 440.503 -0.023 20 0 IBADRN Cc1cc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc(S(N)(=O)=O)c1C ZINC000445549106 1072696423 /nfs/dbraw/zinc/69/64/23/1072696423.db2.gz MODAGMXKIHFXTF-UHFFFAOYSA-N 0 0 428.492 -0.200 20 0 IBADRN Cn1cc(-c2noc(CCS(=O)(=O)c3ccc4c(c3)OCCO4)n2)c(=O)n(C)c1=O ZINC000445549839 1071211285 /nfs/dbraw/zinc/21/12/85/1071211285.db2.gz NSFVZAHHNAKJIY-UHFFFAOYSA-N 0 0 434.430 -0.078 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCc2nc(-c3cn(C)c(=O)n(C)c3=O)no2)c1 ZINC000445550123 1071211067 /nfs/dbraw/zinc/21/10/67/1071211067.db2.gz PZMAMBCGNXKNEO-UHFFFAOYSA-N 0 0 433.446 -0.142 20 0 IBADRN Cn1cc(-c2noc(-c3ccc(S(=O)(=O)N4CCOCC4)cc3)n2)c(=O)n(C)c1=O ZINC000445550276 1071211192 /nfs/dbraw/zinc/21/11/92/1071211192.db2.gz QSSGJFBKIRKZLX-UHFFFAOYSA-N 0 0 433.446 -0.178 20 0 IBADRN CCNS(=O)(=O)c1cccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)c1 ZINC000445550750 1072696545 /nfs/dbraw/zinc/69/65/45/1072696545.db2.gz RYLPMHQKZAOKAI-UHFFFAOYSA-N 0 0 428.492 -0.166 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)Cc2nc(-c3cn(C)c(=O)n(C)c3=O)no2)cc1 ZINC000445550843 1071211103 /nfs/dbraw/zinc/21/11/03/1071211103.db2.gz QHPSCGZQXMVXJA-UHFFFAOYSA-N 0 0 448.461 -0.087 20 0 IBADRN Cn1cc(-c2noc(-c3csc(S(=O)(=O)N4CCOCC4)c3)n2)c(=O)n(C)c1=O ZINC000445551661 1071211961 /nfs/dbraw/zinc/21/19/61/1071211961.db2.gz UNUKQZCMDOVBSC-UHFFFAOYSA-N 0 0 439.475 -0.117 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)c1 ZINC000445551974 1072697162 /nfs/dbraw/zinc/69/71/62/1072697162.db2.gz UAIHLLAZSNRRSH-UHFFFAOYSA-N 0 0 446.482 -0.027 20 0 IBADRN CCc1c(-c2nc(-c3cn(C)c(=O)n(C)c3=O)no2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000445552233 1071211239 /nfs/dbraw/zinc/21/12/39/1071211239.db2.gz IREJHBHUKRIQGF-UHFFFAOYSA-N 0 0 425.405 -0.691 20 0 IBADRN O=C(Cc1noc(CCn2[nH]c(=O)c3ccccc3c2=O)n1)N1CCS(=O)(=O)CC1 ZINC000445552326 1072697179 /nfs/dbraw/zinc/69/71/79/1072697179.db2.gz UGVRNAGFPMSRAZ-UHFFFAOYSA-N 0 0 433.446 -0.473 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)c1 ZINC000445552371 1072697008 /nfs/dbraw/zinc/69/70/08/1072697008.db2.gz UMTSJFVOIPJLKC-UHFFFAOYSA-N 0 0 446.482 -0.075 20 0 IBADRN CCNS(=O)(=O)c1ccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC000445552427 1072697021 /nfs/dbraw/zinc/69/70/21/1072697021.db2.gz WVGCDWUTBLKAFV-UHFFFAOYSA-N 0 0 428.492 -0.166 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(c3nc(-c4cn(C)c(=O)n(C)c4=O)no3)CC2)cn1C ZINC000445552683 1071211151 /nfs/dbraw/zinc/21/11/51/1071211151.db2.gz KHQFBABQGRFQQH-UHFFFAOYSA-N 0 0 449.493 -0.256 20 0 IBADRN CCn1c(=O)[nH]c2cc(-c3nc(CC(=O)N4CCS(=O)(=O)CC4)no3)ccc2c1=O ZINC000445552873 1072696987 /nfs/dbraw/zinc/69/69/87/1072696987.db2.gz VVQKKWLSIGPKAV-UHFFFAOYSA-N 0 0 433.446 -0.029 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(-c2nc(-c3cn(C)c(=O)n(C)c3=O)no2)cc1 ZINC000445552915 1071211129 /nfs/dbraw/zinc/21/11/29/1071211129.db2.gz YLJPYNBGZURPGI-UHFFFAOYSA-N 0 0 433.446 -0.337 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1Cc1nc(-c2cn(C)c(=O)n(C)c2=O)no1 ZINC000445553608 1071211896 /nfs/dbraw/zinc/21/18/96/1071211896.db2.gz MWJBTRIKLSGTHX-UHFFFAOYSA-N 0 0 435.408 -0.640 20 0 IBADRN Cn1cc(-c2noc(CCC(=O)N3CCN(c4ccccn4)CC3)n2)c(=O)n(C)c1=O ZINC000445554326 1071211747 /nfs/dbraw/zinc/21/17/47/1071211747.db2.gz PONYMDXEPMXLRE-UHFFFAOYSA-N 0 0 425.449 -0.190 20 0 IBADRN Cn1cc(-c2noc(-c3cc(S(=O)(=O)N4CCSCC4)c[nH]3)n2)c(=O)n(C)c1=O ZINC000445554424 1071211986 /nfs/dbraw/zinc/21/19/86/1071211986.db2.gz QMIFCXONRHPKGP-UHFFFAOYSA-N 0 0 438.491 -0.133 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000445565726 1072697529 /nfs/dbraw/zinc/69/75/29/1072697529.db2.gz VMICAPQSJPSHLH-UHFFFAOYSA-N 0 0 443.522 -0.010 20 0 IBADRN Cc1cc(Br)ccc1NC(=O)CN(C)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000445611073 1072699472 /nfs/dbraw/zinc/69/94/72/1072699472.db2.gz AZWQTARMGAAZRE-JTQLQIEISA-N 0 0 449.327 -0.052 20 0 IBADRN Cc1cc(Br)ccc1NC(=O)CN(C)C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000445611080 1072699356 /nfs/dbraw/zinc/69/93/56/1072699356.db2.gz AZWQTARMGAAZRE-SNVBAGLBSA-N 0 0 449.327 -0.052 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccsc3Cl)CC2)CC1 ZINC000445611190 1072699487 /nfs/dbraw/zinc/69/94/87/1072699487.db2.gz CGKAHIVONLOEAO-UHFFFAOYSA-N 0 0 435.959 -0.024 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000445642260 1072699389 /nfs/dbraw/zinc/69/93/89/1072699389.db2.gz ARLGBKLZDIBYHX-AWEZNQCLSA-N 0 0 441.535 -0.380 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000445642261 1072699942 /nfs/dbraw/zinc/69/99/42/1072699942.db2.gz ARLGBKLZDIBYHX-CQSZACIVSA-N 0 0 441.535 -0.380 20 0 IBADRN O=c1n(Cc2noc(-c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)n2)nc2ccccn21 ZINC000445648532 1072700413 /nfs/dbraw/zinc/70/04/13/1072700413.db2.gz DZMZLJLUJYUKNV-UHFFFAOYSA-N 0 0 431.434 -0.057 20 0 IBADRN COC(=O)CCCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000445660189 1072699961 /nfs/dbraw/zinc/69/99/61/1072699961.db2.gz MZBYOFHCEBAPNC-KBPBESRZSA-N 0 0 425.525 -0.451 20 0 IBADRN COC(=O)CCCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000445660190 1072699859 /nfs/dbraw/zinc/69/98/59/1072699859.db2.gz MZBYOFHCEBAPNC-KGLIPLIRSA-N 0 0 425.525 -0.451 20 0 IBADRN COC(=O)CCCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000445660191 1072699932 /nfs/dbraw/zinc/69/99/32/1072699932.db2.gz MZBYOFHCEBAPNC-UONOGXRCSA-N 0 0 425.525 -0.451 20 0 IBADRN COC(=O)CCCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000445660192 1072700368 /nfs/dbraw/zinc/70/03/68/1072700368.db2.gz MZBYOFHCEBAPNC-ZIAGYGMSSA-N 0 0 425.525 -0.451 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000445662261 1072700389 /nfs/dbraw/zinc/70/03/89/1072700389.db2.gz ADSUFGRJXTZGSX-HNNXBMFYSA-N 0 0 434.522 -0.130 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000445662262 1072700378 /nfs/dbraw/zinc/70/03/78/1072700378.db2.gz ADSUFGRJXTZGSX-OAHLLOKOSA-N 0 0 434.522 -0.130 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000445662589 1072700318 /nfs/dbraw/zinc/70/03/18/1072700318.db2.gz BWQIWCDJTXBVRL-CYBMUJFWSA-N 0 0 440.526 -0.152 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000445662590 1072700504 /nfs/dbraw/zinc/70/05/04/1072700504.db2.gz BWQIWCDJTXBVRL-ZDUSSCGKSA-N 0 0 440.526 -0.152 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccco3)CC2)cc1 ZINC000445668694 1072701005 /nfs/dbraw/zinc/70/10/05/1072701005.db2.gz PLILUIXEZJFYAH-UHFFFAOYSA-N 0 0 435.505 -0.378 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(-c3nc(CS(=O)(=O)NC4CC4)no3)cc2)CCN1 ZINC000445669564 1072700990 /nfs/dbraw/zinc/70/09/90/1072700990.db2.gz DOPBJZVPHJUIHP-UHFFFAOYSA-N 0 0 441.491 -0.561 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000445669880 1072700865 /nfs/dbraw/zinc/70/08/65/1072700865.db2.gz IVTOHPNUCVLKLE-GFCCVEGCSA-N 0 0 433.498 -0.888 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000445669881 1072701064 /nfs/dbraw/zinc/70/10/64/1072701064.db2.gz IVTOHPNUCVLKLE-LBPRGKRZSA-N 0 0 433.498 -0.888 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000445671887 1072700941 /nfs/dbraw/zinc/70/09/41/1072700941.db2.gz IEQIEMOAHWNTOZ-GFCCVEGCSA-N 0 0 429.524 -0.346 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000445671888 1072701048 /nfs/dbraw/zinc/70/10/48/1072701048.db2.gz IEQIEMOAHWNTOZ-LBPRGKRZSA-N 0 0 429.524 -0.346 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000445673939 1072700970 /nfs/dbraw/zinc/70/09/70/1072700970.db2.gz GVXGQMLNNZHIGA-HNNXBMFYSA-N 0 0 436.542 -0.795 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000445673942 1072700841 /nfs/dbraw/zinc/70/08/41/1072700841.db2.gz GVXGQMLNNZHIGA-OAHLLOKOSA-N 0 0 436.542 -0.795 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000445674480 1072701054 /nfs/dbraw/zinc/70/10/54/1072701054.db2.gz SMXNTTNFFFRZLP-INIZCTEOSA-N 0 0 448.549 -0.453 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000445674484 1072701352 /nfs/dbraw/zinc/70/13/52/1072701352.db2.gz SMXNTTNFFFRZLP-MRXNPFEDSA-N 0 0 448.549 -0.453 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000445676527 1072701884 /nfs/dbraw/zinc/70/18/84/1072701884.db2.gz SAWVUXAOMMKKIW-GFCCVEGCSA-N 0 0 426.499 -0.542 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000445676528 1072701950 /nfs/dbraw/zinc/70/19/50/1072701950.db2.gz SAWVUXAOMMKKIW-LBPRGKRZSA-N 0 0 426.499 -0.542 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000445678165 1072700498 /nfs/dbraw/zinc/70/04/98/1072700498.db2.gz IMSCHZPAMRSSND-CYBMUJFWSA-N 0 0 449.489 -0.200 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000445678166 1072700479 /nfs/dbraw/zinc/70/04/79/1072700479.db2.gz IMSCHZPAMRSSND-ZDUSSCGKSA-N 0 0 449.489 -0.200 20 0 IBADRN O=C1CN(S(=O)(=O)c2cccc(-c3nc(CS(=O)(=O)NC4CC4)no3)c2)CCN1 ZINC000445681136 1072700328 /nfs/dbraw/zinc/70/03/28/1072700328.db2.gz CGVXYYJMPDUGQU-UHFFFAOYSA-N 0 0 441.491 -0.561 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000445685170 1072700491 /nfs/dbraw/zinc/70/04/91/1072700491.db2.gz ZAGPZVIUGODDTO-CYBMUJFWSA-N 0 0 429.524 -0.510 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000445685171 1072700308 /nfs/dbraw/zinc/70/03/08/1072700308.db2.gz ZAGPZVIUGODDTO-ZDUSSCGKSA-N 0 0 429.524 -0.510 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000445688162 1072701398 /nfs/dbraw/zinc/70/13/98/1072701398.db2.gz CTKJUXLCDWESII-CVEARBPZSA-N 0 0 440.570 -0.368 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000445688163 1072701507 /nfs/dbraw/zinc/70/15/07/1072701507.db2.gz CTKJUXLCDWESII-HOTGVXAUSA-N 0 0 440.570 -0.368 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000445688164 1072702025 /nfs/dbraw/zinc/70/20/25/1072702025.db2.gz CTKJUXLCDWESII-HZPDHXFCSA-N 0 0 440.570 -0.368 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000445688165 1072702009 /nfs/dbraw/zinc/70/20/09/1072702009.db2.gz CTKJUXLCDWESII-JKSUJKDBSA-N 0 0 440.570 -0.368 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000445701212 1072702904 /nfs/dbraw/zinc/70/29/04/1072702904.db2.gz CAXYFXZQRSGSBL-GOSISDBHSA-N 0 0 426.495 -0.250 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000445701213 1072702975 /nfs/dbraw/zinc/70/29/75/1072702975.db2.gz CAXYFXZQRSGSBL-SFHVURJKSA-N 0 0 426.495 -0.250 20 0 IBADRN O=S(=O)(NCCN1CCCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000445703459 1072703035 /nfs/dbraw/zinc/70/30/35/1072703035.db2.gz YRHIZALZFCGHRB-UHFFFAOYSA-N 0 0 433.552 -0.292 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCN(C)S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)[C@H](C)CO1 ZINC000445710077 1072703504 /nfs/dbraw/zinc/70/35/04/1072703504.db2.gz RYDJFFAQYQIPES-BARDWOONSA-N 0 0 428.577 -0.784 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCN(C)S(=O)(=O)N2C[C@H](C)OC[C@H]2C)[C@H](C)CO1 ZINC000445710078 1072703571 /nfs/dbraw/zinc/70/35/71/1072703571.db2.gz RYDJFFAQYQIPES-TUVASFSCSA-N 0 0 428.577 -0.784 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCN(C)S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)[C@@H](C)CO1 ZINC000445710079 1072703561 /nfs/dbraw/zinc/70/35/61/1072703561.db2.gz RYDJFFAQYQIPES-XQLPTFJDSA-N 0 0 428.577 -0.784 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCN(C)S(=O)(=O)N2C[C@H](C)OC[C@H]2C)[C@@H](C)CO1 ZINC000445710080 1072703619 /nfs/dbraw/zinc/70/36/19/1072703619.db2.gz RYDJFFAQYQIPES-YJNKXOJESA-N 0 0 428.577 -0.784 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000445710427 1072703606 /nfs/dbraw/zinc/70/36/06/1072703606.db2.gz VYKWEUDBMOCXNK-IBGZPJMESA-N 0 0 444.584 -0.063 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000445710428 1072703583 /nfs/dbraw/zinc/70/35/83/1072703583.db2.gz VYKWEUDBMOCXNK-LJQANCHMSA-N 0 0 444.584 -0.063 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN(C)S(=O)(=O)c2c[nH]c(C(=O)OC)c2)c[nH]1 ZINC000445713248 1072704010 /nfs/dbraw/zinc/70/40/10/1072704010.db2.gz BSDDVKJPDNTUMA-UHFFFAOYSA-N 0 0 448.479 -0.485 20 0 IBADRN CN(CCNS(=O)(=O)c1cccc(C(N)=O)c1)S(=O)(=O)c1cccc(C(N)=O)c1 ZINC000445715487 1072704532 /nfs/dbraw/zinc/70/45/32/1072704532.db2.gz FKFPYKJUVHUCBT-UHFFFAOYSA-N 0 0 440.503 -0.517 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCN(C)S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000445716021 1072703970 /nfs/dbraw/zinc/70/39/70/1072703970.db2.gz LIROWMWUQZMYEN-KBXIAJHMSA-N 0 0 428.577 -0.784 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCN(C)S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000445716022 1072704101 /nfs/dbraw/zinc/70/41/01/1072704101.db2.gz LIROWMWUQZMYEN-NMWPEEMBSA-N 0 0 428.577 -0.784 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCN(C)S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000445716023 1072704068 /nfs/dbraw/zinc/70/40/68/1072704068.db2.gz LIROWMWUQZMYEN-TUVASFSCSA-N 0 0 428.577 -0.784 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCN(C)S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000445716024 1072704036 /nfs/dbraw/zinc/70/40/36/1072704036.db2.gz LIROWMWUQZMYEN-XGUBFFRZSA-N 0 0 428.577 -0.784 20 0 IBADRN Cn1c(CCNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)nnc1-c1ccccc1 ZINC000445730733 1072703480 /nfs/dbraw/zinc/70/34/80/1072703480.db2.gz DGBUEFXODRPKJM-UHFFFAOYSA-N 0 0 428.540 -0.564 20 0 IBADRN COC[C@H](CNS(=O)(=O)C[C@H]1CCCCO1)NS(=O)(=O)C[C@H]1CCCCO1 ZINC000445738357 1072704544 /nfs/dbraw/zinc/70/45/44/1072704544.db2.gz AZJMBAZNEAFZBT-ARFHVFGLSA-N 0 0 428.573 -0.022 20 0 IBADRN COC[C@H](CNS(=O)(=O)C[C@@H]1CCCCO1)NS(=O)(=O)C[C@H]1CCCCO1 ZINC000445738359 1072704624 /nfs/dbraw/zinc/70/46/24/1072704624.db2.gz AZJMBAZNEAFZBT-HRCADAONSA-N 0 0 428.573 -0.022 20 0 IBADRN COC[C@H](CNS(=O)(=O)C[C@@H]1CCCCO1)NS(=O)(=O)C[C@@H]1CCCCO1 ZINC000445738360 1072704575 /nfs/dbraw/zinc/70/45/75/1072704575.db2.gz AZJMBAZNEAFZBT-JYJNAYRXSA-N 0 0 428.573 -0.022 20 0 IBADRN COC[C@H](CNS(=O)(=O)C[C@H]1CCCCO1)NS(=O)(=O)C[C@@H]1CCCCO1 ZINC000445738361 1072704459 /nfs/dbraw/zinc/70/44/59/1072704459.db2.gz AZJMBAZNEAFZBT-XHSDSOJGSA-N 0 0 428.573 -0.022 20 0 IBADRN Cn1c2ncn(Cc3nc(CC(=O)NCc4cccc(F)c4)no3)c2c(=O)n(C)c1=O ZINC000445738595 1072704668 /nfs/dbraw/zinc/70/46/68/1072704668.db2.gz ACYQZOFHCLBHNM-UHFFFAOYSA-N 0 0 427.396 -0.137 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(NC(=O)c3ccncc3)cc2)CC1 ZINC000445739243 1072704562 /nfs/dbraw/zinc/70/45/62/1072704562.db2.gz CSSDEJCICRQOQR-UHFFFAOYSA-N 0 0 425.492 -0.156 20 0 IBADRN COC[C@@H](CNS(=O)(=O)c1cnc2n1CCC2)NS(=O)(=O)c1cnc2n1CCC2 ZINC000445740260 1072704445 /nfs/dbraw/zinc/70/44/45/1072704445.db2.gz IWLLKKTVQHIQJU-GFCCVEGCSA-N 0 0 444.539 -0.756 20 0 IBADRN COC[C@H](CNS(=O)(=O)c1cnc2n1CCC2)NS(=O)(=O)c1cnc2n1CCC2 ZINC000445740263 1072704429 /nfs/dbraw/zinc/70/44/29/1072704429.db2.gz IWLLKKTVQHIQJU-LBPRGKRZSA-N 0 0 444.539 -0.756 20 0 IBADRN COC[C@H](CNS(=O)(=O)c1c(C)nn(C)c1C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000445744514 1072705127 /nfs/dbraw/zinc/70/51/27/1072705127.db2.gz WCSHXKUAADEPRL-AWEZNQCLSA-N 0 0 448.571 -0.341 20 0 IBADRN COC[C@@H](CNS(=O)(=O)c1c(C)nn(C)c1C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000445744515 1072705024 /nfs/dbraw/zinc/70/50/24/1072705024.db2.gz WCSHXKUAADEPRL-CQSZACIVSA-N 0 0 448.571 -0.341 20 0 IBADRN COC[C@H](CNS(=O)(=O)CC1CCOCC1)NS(=O)(=O)CC1CCOCC1 ZINC000445745014 1072704649 /nfs/dbraw/zinc/70/46/49/1072704649.db2.gz VVLOOPWLAJQACI-INIZCTEOSA-N 0 0 428.573 -0.307 20 0 IBADRN COC[C@@H](CNS(=O)(=O)CC1CCOCC1)NS(=O)(=O)CC1CCOCC1 ZINC000445745015 1072704476 /nfs/dbraw/zinc/70/44/76/1072704476.db2.gz VVLOOPWLAJQACI-MRXNPFEDSA-N 0 0 428.573 -0.307 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000445746026 1072704950 /nfs/dbraw/zinc/70/49/50/1072704950.db2.gz WDUQFHAMZIZLNG-LLVKDONJSA-N 0 0 449.942 -0.165 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000445746027 1072705103 /nfs/dbraw/zinc/70/51/03/1072705103.db2.gz WDUQFHAMZIZLNG-NSHDSACASA-N 0 0 449.942 -0.165 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1nc(CC(=O)NCc3cccc(F)c3)no1)c(=O)n2C ZINC000445750265 1072705083 /nfs/dbraw/zinc/70/50/83/1072705083.db2.gz JQJDINXZTDDANN-UHFFFAOYSA-N 0 0 427.396 -0.137 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1)c1ccncc1 ZINC000445752773 1072706132 /nfs/dbraw/zinc/70/61/32/1072706132.db2.gz QXBYSCRKLSZOTI-HNNXBMFYSA-N 0 0 429.458 -0.335 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)c1ccncc1 ZINC000445752774 1072705925 /nfs/dbraw/zinc/70/59/25/1072705925.db2.gz QXBYSCRKLSZOTI-OAHLLOKOSA-N 0 0 429.458 -0.335 20 0 IBADRN CN(CCNS(=O)(=O)N1CCOC(C)(C)C1)S(=O)(=O)N1CCOC(C)(C)C1 ZINC000445753524 1072705913 /nfs/dbraw/zinc/70/59/13/1072705913.db2.gz UHQLTYCTYHEBBB-UHFFFAOYSA-N 0 0 428.577 -0.781 20 0 IBADRN CN(CCNS(=O)(=O)c1ccc(C(N)=O)cc1)S(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000445755623 1072706005 /nfs/dbraw/zinc/70/60/05/1072706005.db2.gz IEFBQWUIKCFWCN-UHFFFAOYSA-N 0 0 440.503 -0.517 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000445756264 1072705869 /nfs/dbraw/zinc/70/58/69/1072705869.db2.gz DCXSTALKBFAODA-UHFFFAOYSA-N 0 0 432.462 -0.147 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000445757540 1072705904 /nfs/dbraw/zinc/70/59/04/1072705904.db2.gz HJLDLFULBZJMQY-INIZCTEOSA-N 0 0 440.570 -0.366 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000445757553 1072706047 /nfs/dbraw/zinc/70/60/47/1072706047.db2.gz HJLDLFULBZJMQY-MRXNPFEDSA-N 0 0 440.570 -0.366 20 0 IBADRN O=C1CN(S(=O)(=O)c2cccc(-c3nc(CS(=O)(=O)C4CC4)no3)c2)CCN1 ZINC000445757764 1072706113 /nfs/dbraw/zinc/70/61/13/1072706113.db2.gz LCNVGLPVEGCHSB-UHFFFAOYSA-N 0 0 426.476 -0.066 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000445758523 1072705968 /nfs/dbraw/zinc/70/59/68/1072705968.db2.gz KINMQGAUQDSPFJ-AWEZNQCLSA-N 0 0 438.506 -0.227 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000445758525 1072705976 /nfs/dbraw/zinc/70/59/76/1072705976.db2.gz KINMQGAUQDSPFJ-CQSZACIVSA-N 0 0 438.506 -0.227 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000445759530 1072705993 /nfs/dbraw/zinc/70/59/93/1072705993.db2.gz NJKYVTQXSZMUJJ-HNNXBMFYSA-N 0 0 432.477 -0.010 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000445759532 1072706059 /nfs/dbraw/zinc/70/60/59/1072706059.db2.gz NJKYVTQXSZMUJJ-OAHLLOKOSA-N 0 0 432.477 -0.010 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(-c3nc(CS(=O)(=O)C4CC4)no3)cc2)CCN1 ZINC000445762167 1072706089 /nfs/dbraw/zinc/70/60/89/1072706089.db2.gz WVVTUPJOTOBIHY-UHFFFAOYSA-N 0 0 426.476 -0.066 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000445766774 1072705039 /nfs/dbraw/zinc/70/50/39/1072705039.db2.gz KDYSDSXIKJRKNV-INIZCTEOSA-N 0 0 445.520 -0.186 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000445766775 1072704962 /nfs/dbraw/zinc/70/49/62/1072704962.db2.gz KDYSDSXIKJRKNV-MRXNPFEDSA-N 0 0 445.520 -0.186 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000445768355 1072705615 /nfs/dbraw/zinc/70/56/15/1072705615.db2.gz OCGKCBQOMJCTIE-ILXRZTDVSA-N 0 0 434.497 -0.416 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000445768356 1072705398 /nfs/dbraw/zinc/70/53/98/1072705398.db2.gz OCGKCBQOMJCTIE-KFWWJZLASA-N 0 0 434.497 -0.416 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000445768357 1072705538 /nfs/dbraw/zinc/70/55/38/1072705538.db2.gz OCGKCBQOMJCTIE-RBSFLKMASA-N 0 0 434.497 -0.416 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000445768358 1072705511 /nfs/dbraw/zinc/70/55/11/1072705511.db2.gz OCGKCBQOMJCTIE-SOUVJXGZSA-N 0 0 434.497 -0.416 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000445768627 1072705112 /nfs/dbraw/zinc/70/51/12/1072705112.db2.gz XAPOQMIBYOKVJI-NEPJUHHUSA-N 0 0 429.524 -0.046 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000445768628 1072705122 /nfs/dbraw/zinc/70/51/22/1072705122.db2.gz XAPOQMIBYOKVJI-NWDGAFQWSA-N 0 0 429.524 -0.046 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000445768629 1072705480 /nfs/dbraw/zinc/70/54/80/1072705480.db2.gz XAPOQMIBYOKVJI-RYUDHWBXSA-N 0 0 429.524 -0.046 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000445768630 1072705579 /nfs/dbraw/zinc/70/55/79/1072705579.db2.gz XAPOQMIBYOKVJI-VXGBXAGGSA-N 0 0 429.524 -0.046 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000445771184 1072705588 /nfs/dbraw/zinc/70/55/88/1072705588.db2.gz FPLNNHYPLUTYBC-CRAIPNDOSA-N 0 0 444.488 -0.234 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000445771192 1072705604 /nfs/dbraw/zinc/70/56/04/1072705604.db2.gz FPLNNHYPLUTYBC-MAUKXSAKSA-N 0 0 444.488 -0.234 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000445771200 1072705419 /nfs/dbraw/zinc/70/54/19/1072705419.db2.gz FPLNNHYPLUTYBC-QAPCUYQASA-N 0 0 444.488 -0.234 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000445771201 1072705570 /nfs/dbraw/zinc/70/55/70/1072705570.db2.gz FPLNNHYPLUTYBC-YJBOKZPZSA-N 0 0 444.488 -0.234 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000445774480 1072706637 /nfs/dbraw/zinc/70/66/37/1072706637.db2.gz NYOUNNBRVWCYFQ-HNNXBMFYSA-N 0 0 426.543 -0.756 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000445774486 1072706388 /nfs/dbraw/zinc/70/63/88/1072706388.db2.gz NYOUNNBRVWCYFQ-OAHLLOKOSA-N 0 0 426.543 -0.756 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000445776269 1072705939 /nfs/dbraw/zinc/70/59/39/1072705939.db2.gz UPPCABVSGKOSEX-AWEZNQCLSA-N 0 0 438.506 -0.227 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000445776271 1072706570 /nfs/dbraw/zinc/70/65/70/1072706570.db2.gz UPPCABVSGKOSEX-CQSZACIVSA-N 0 0 438.506 -0.227 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CN2CCCC2=O)cc1 ZINC000445778638 1072706603 /nfs/dbraw/zinc/70/66/03/1072706603.db2.gz ZTGUQQWCQPSQGP-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CN2CCCC2=O)cc1 ZINC000445778639 1072706615 /nfs/dbraw/zinc/70/66/15/1072706615.db2.gz ZTGUQQWCQPSQGP-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)c1 ZINC000445797154 1072707605 /nfs/dbraw/zinc/70/76/05/1072707605.db2.gz GMORWLSQHOXETK-NEPJUHHUSA-N 0 0 429.524 -0.128 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)c1 ZINC000445797155 1072707508 /nfs/dbraw/zinc/70/75/08/1072707508.db2.gz GMORWLSQHOXETK-NWDGAFQWSA-N 0 0 429.524 -0.128 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)c1 ZINC000445797156 1072707552 /nfs/dbraw/zinc/70/75/52/1072707552.db2.gz GMORWLSQHOXETK-RYUDHWBXSA-N 0 0 429.524 -0.128 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)c1 ZINC000445797157 1072707443 /nfs/dbraw/zinc/70/74/43/1072707443.db2.gz GMORWLSQHOXETK-VXGBXAGGSA-N 0 0 429.524 -0.128 20 0 IBADRN O=C(Cc1noc(-c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1)Nc1ncccn1 ZINC000445827656 1072707678 /nfs/dbraw/zinc/70/76/78/1072707678.db2.gz QKESPYTYLZXPPF-UHFFFAOYSA-N 0 0 443.445 -0.172 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(Cc2ccc(C(N)=O)cc2)CC1 ZINC000445836335 1072708215 /nfs/dbraw/zinc/70/82/15/1072708215.db2.gz VUDJFCQTCDOXQT-AWEZNQCLSA-N 0 0 434.522 -0.470 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(Cc2ccc(C(N)=O)cc2)CC1 ZINC000445836336 1072708186 /nfs/dbraw/zinc/70/81/86/1072708186.db2.gz VUDJFCQTCDOXQT-CQSZACIVSA-N 0 0 434.522 -0.470 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000445841862 1072708644 /nfs/dbraw/zinc/70/86/44/1072708644.db2.gz OZWYYQWNGCSOFI-HNNXBMFYSA-N 0 0 448.549 -0.209 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000445841863 1072708677 /nfs/dbraw/zinc/70/86/77/1072708677.db2.gz OZWYYQWNGCSOFI-OAHLLOKOSA-N 0 0 448.549 -0.209 20 0 IBADRN COc1cc(CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)ccc1OCC(N)=O ZINC000445845142 1072708613 /nfs/dbraw/zinc/70/86/13/1072708613.db2.gz ONLCICXWWYICGU-LLVKDONJSA-N 0 0 425.467 -0.724 20 0 IBADRN COc1cc(CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)ccc1OCC(N)=O ZINC000445845143 1072708669 /nfs/dbraw/zinc/70/86/69/1072708669.db2.gz ONLCICXWWYICGU-NSHDSACASA-N 0 0 425.467 -0.724 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000445846741 1072708625 /nfs/dbraw/zinc/70/86/25/1072708625.db2.gz NCGAQYKWKVFNAF-CYBMUJFWSA-N 0 0 429.524 -0.677 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000445846742 1072708542 /nfs/dbraw/zinc/70/85/42/1072708542.db2.gz NCGAQYKWKVFNAF-ZDUSSCGKSA-N 0 0 429.524 -0.677 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000445847531 1072708689 /nfs/dbraw/zinc/70/86/89/1072708689.db2.gz FEQSWJOTHVGSQD-GFCCVEGCSA-N 0 0 437.478 -0.443 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000445847533 1072708586 /nfs/dbraw/zinc/70/85/86/1072708586.db2.gz FEQSWJOTHVGSQD-LBPRGKRZSA-N 0 0 437.478 -0.443 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000445854143 1072708211 /nfs/dbraw/zinc/70/82/11/1072708211.db2.gz QDSUQSDAPLQBBB-GFCCVEGCSA-N 0 0 429.524 -0.346 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000445854144 1072708076 /nfs/dbraw/zinc/70/80/76/1072708076.db2.gz QDSUQSDAPLQBBB-LBPRGKRZSA-N 0 0 429.524 -0.346 20 0 IBADRN O=C(Cc1noc(-c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1)Nc1ncccn1 ZINC000445855221 1072708092 /nfs/dbraw/zinc/70/80/92/1072708092.db2.gz FWNPCLZYBJOISA-UHFFFAOYSA-N 0 0 443.445 -0.172 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000445895383 1072709563 /nfs/dbraw/zinc/70/95/63/1072709563.db2.gz UELHAZMKGNBXQR-HNNXBMFYSA-N 0 0 442.542 -0.568 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000445895384 1072709640 /nfs/dbraw/zinc/70/96/40/1072709640.db2.gz UELHAZMKGNBXQR-OAHLLOKOSA-N 0 0 442.542 -0.568 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)CC1 ZINC000445896437 1072709674 /nfs/dbraw/zinc/70/96/74/1072709674.db2.gz IFVAYMZILYHJFR-KRWDZBQOSA-N 0 0 436.557 -0.595 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)CC1 ZINC000445896438 1072709689 /nfs/dbraw/zinc/70/96/89/1072709689.db2.gz IFVAYMZILYHJFR-QGZVFWFLSA-N 0 0 436.557 -0.595 20 0 IBADRN CN(CCO)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000445912147 1072711375 /nfs/dbraw/zinc/71/13/75/1072711375.db2.gz IRWRBIFDHTXIFQ-UHFFFAOYSA-N 0 0 426.477 -0.243 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCC(N)=O ZINC000445914947 1072711182 /nfs/dbraw/zinc/71/11/82/1072711182.db2.gz YDKPZZDRVHZXKH-UHFFFAOYSA-N 0 0 425.511 -0.143 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N(C)CCO)c1 ZINC000445916375 1072709985 /nfs/dbraw/zinc/70/99/85/1072709985.db2.gz USDWVWIYHWIUMQ-UHFFFAOYSA-N 0 0 442.538 -0.057 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)CCO)cc2)C1 ZINC000445918085 1072710078 /nfs/dbraw/zinc/71/00/78/1072710078.db2.gz AAMGRABAOPSBAL-CYBMUJFWSA-N 0 0 427.479 -0.351 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)CCO)cc2)C1 ZINC000445918086 1072710199 /nfs/dbraw/zinc/71/01/99/1072710199.db2.gz AAMGRABAOPSBAL-ZDUSSCGKSA-N 0 0 427.479 -0.351 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCC(N)=O)cc2)C1 ZINC000445918357 1072710212 /nfs/dbraw/zinc/71/02/12/1072710212.db2.gz FRTYURKWYGEDQF-GFCCVEGCSA-N 0 0 440.478 -0.810 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCC(N)=O)cc2)C1 ZINC000445918358 1072710019 /nfs/dbraw/zinc/71/00/19/1072710019.db2.gz FRTYURKWYGEDQF-LBPRGKRZSA-N 0 0 440.478 -0.810 20 0 IBADRN CN(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000445918989 1072710119 /nfs/dbraw/zinc/71/01/19/1072710119.db2.gz FTRRIPZMYHGNNK-UHFFFAOYSA-N 0 0 440.522 -0.303 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CCO ZINC000445919550 1072710055 /nfs/dbraw/zinc/71/00/55/1072710055.db2.gz PJVFFNXKOIUTAO-UHFFFAOYSA-N 0 0 429.495 -0.116 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(N)=O ZINC000445919766 1072710110 /nfs/dbraw/zinc/71/01/10/1072710110.db2.gz RCODBCJRKPUHFE-UHFFFAOYSA-N 0 0 442.494 -0.575 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(N)=O ZINC000445920226 1072710009 /nfs/dbraw/zinc/71/00/09/1072710009.db2.gz RSIZAYNTIPSEGC-UHFFFAOYSA-N 0 0 428.467 -0.964 20 0 IBADRN CCN(CC)c1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CCO ZINC000445926644 1072711942 /nfs/dbraw/zinc/71/19/42/1072711942.db2.gz SONXRBSRQCTOGG-UHFFFAOYSA-N 0 0 442.538 -0.057 20 0 IBADRN Cn1cnc(CCNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000445936184 1072711951 /nfs/dbraw/zinc/71/19/51/1072711951.db2.gz XTGJPEOVPUEYQN-UHFFFAOYSA-N 0 0 434.522 -0.213 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCc2ccc(S(=O)(=O)N(C)C)cc21 ZINC000445969838 1072712960 /nfs/dbraw/zinc/71/29/60/1072712960.db2.gz UGTKUQVLAVSNQE-GFCCVEGCSA-N 0 0 441.535 -0.074 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCc2ccc(S(=O)(=O)N(C)C)cc21 ZINC000445969839 1072712993 /nfs/dbraw/zinc/71/29/93/1072712993.db2.gz UGTKUQVLAVSNQE-LBPRGKRZSA-N 0 0 441.535 -0.074 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)nn2)cc1 ZINC000445976052 1072713045 /nfs/dbraw/zinc/71/30/45/1072713045.db2.gz AHNAOUAAKOLFSF-UHFFFAOYSA-N 0 0 443.508 -0.038 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)nn2)cc1 ZINC000445976356 1072712985 /nfs/dbraw/zinc/71/29/85/1072712985.db2.gz BHPASCGHSDJFEK-UHFFFAOYSA-N 0 0 427.465 -0.092 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCNC(=O)[C@@H]2CC(C)C)CC1 ZINC000445994617 1072713619 /nfs/dbraw/zinc/71/36/19/1072713619.db2.gz QESLLLFKULDFQP-INIZCTEOSA-N 0 0 441.554 -0.029 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCNC(=O)[C@H]2CC(C)C)CC1 ZINC000445994618 1072713354 /nfs/dbraw/zinc/71/33/54/1072713354.db2.gz QESLLLFKULDFQP-MRXNPFEDSA-N 0 0 441.554 -0.029 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1ccc2c(c1)N(S(N)(=O)=O)CC2 ZINC000446006792 1072713005 /nfs/dbraw/zinc/71/30/05/1072713005.db2.gz GRZWIYOSNLELSX-JTQLQIEISA-N 0 0 428.496 -0.708 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1ccc2c(c1)N(S(N)(=O)=O)CC2 ZINC000446006793 1072712861 /nfs/dbraw/zinc/71/28/61/1072712861.db2.gz GRZWIYOSNLELSX-SNVBAGLBSA-N 0 0 428.496 -0.708 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446010995 1072714706 /nfs/dbraw/zinc/71/47/06/1072714706.db2.gz KBBCDNGAQLADRG-BFHYXJOUSA-N 0 0 434.540 -0.718 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446010996 1072714492 /nfs/dbraw/zinc/71/44/92/1072714492.db2.gz KBBCDNGAQLADRG-IHRRRGAJSA-N 0 0 434.540 -0.718 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446010997 1072714509 /nfs/dbraw/zinc/71/45/09/1072714509.db2.gz KBBCDNGAQLADRG-MELADBBJSA-N 0 0 434.540 -0.718 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446010998 1072714638 /nfs/dbraw/zinc/71/46/38/1072714638.db2.gz KBBCDNGAQLADRG-MJBXVCDLSA-N 0 0 434.540 -0.718 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000446012355 1072714714 /nfs/dbraw/zinc/71/47/14/1072714714.db2.gz RQHKWOQPAMVPTJ-CYBMUJFWSA-N 0 0 441.535 -0.040 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000446012357 1072714655 /nfs/dbraw/zinc/71/46/55/1072714655.db2.gz RQHKWOQPAMVPTJ-ZDUSSCGKSA-N 0 0 441.535 -0.040 20 0 IBADRN Cn1cnc(CCNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000446017909 1072715548 /nfs/dbraw/zinc/71/55/48/1072715548.db2.gz BQVJLWMBCDBOOQ-UHFFFAOYSA-N 0 0 449.493 -0.595 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](CO)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000446019026 1072715591 /nfs/dbraw/zinc/71/55/91/1072715591.db2.gz HHEWRCPNBNFQOH-MRXNPFEDSA-N 0 0 431.493 -0.127 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Nc1ccn(CC(N)=O)n1 ZINC000446019484 1072715561 /nfs/dbraw/zinc/71/55/61/1072715561.db2.gz ILQATZJEBRJZNI-UHFFFAOYSA-N 0 0 438.466 0.042 20 0 IBADRN COc1ccc(NC(=O)Nc2ccn(CC(N)=O)n2)cc1S(=O)(=O)N1CCOCC1 ZINC000446021875 1073346986 /nfs/dbraw/zinc/34/69/86/1073346986.db2.gz RLIZQZCBXKVNKK-UHFFFAOYSA-N 0 0 438.466 0.042 20 0 IBADRN Cn1cnc(CCNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)n1 ZINC000446021907 1072715989 /nfs/dbraw/zinc/71/59/89/1072715989.db2.gz RVJQUCYKVVOJAF-UHFFFAOYSA-N 0 0 436.494 -0.155 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000446023320 1072715934 /nfs/dbraw/zinc/71/59/34/1072715934.db2.gz CGVFGJCZVSKPKN-UHFFFAOYSA-N 0 0 444.448 0.289 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)c2ccc3[nH]cnc3c2)CC1 ZINC000446023764 1072715962 /nfs/dbraw/zinc/71/59/62/1072715962.db2.gz IWLIOJHLQXBIOB-CYBMUJFWSA-N 0 0 445.505 -0.052 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)c2ccc3[nH]cnc3c2)CC1 ZINC000446023765 1072716166 /nfs/dbraw/zinc/71/61/66/1072716166.db2.gz IWLIOJHLQXBIOB-ZDUSSCGKSA-N 0 0 445.505 -0.052 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000446034782 1072716519 /nfs/dbraw/zinc/71/65/19/1072716519.db2.gz FUHZHKPXYZZIJJ-UHFFFAOYSA-N 0 0 439.423 0.471 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000446050721 1072717353 /nfs/dbraw/zinc/71/73/53/1072717353.db2.gz GZTADHWAJHEFAB-GFCCVEGCSA-N 0 0 430.508 -0.314 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000446050722 1072717362 /nfs/dbraw/zinc/71/73/62/1072717362.db2.gz GZTADHWAJHEFAB-LBPRGKRZSA-N 0 0 430.508 -0.314 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000446052902 1072717249 /nfs/dbraw/zinc/71/72/49/1072717249.db2.gz KCWXICXVRBFGRW-UHFFFAOYSA-N 0 0 447.473 -0.398 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000446058163 1072717799 /nfs/dbraw/zinc/71/77/99/1072717799.db2.gz BTTGGBGCZPGFKJ-HNNXBMFYSA-N 0 0 440.570 -0.510 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000446058164 1072717766 /nfs/dbraw/zinc/71/77/66/1072717766.db2.gz BTTGGBGCZPGFKJ-OAHLLOKOSA-N 0 0 440.570 -0.510 20 0 IBADRN COc1cc(CC2CN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2)cc(OC)c1 ZINC000446062911 1072717300 /nfs/dbraw/zinc/71/73/00/1072717300.db2.gz OVGMQGOSEVIIJT-UHFFFAOYSA-N 0 0 430.465 0.023 20 0 IBADRN COc1cnccc1[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000446090826 1072718674 /nfs/dbraw/zinc/71/86/74/1072718674.db2.gz NGZCWJQGGWBBBH-HNNXBMFYSA-N 0 0 426.543 -0.029 20 0 IBADRN COc1cnccc1[C@@H](C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000446090827 1072718647 /nfs/dbraw/zinc/71/86/47/1072718647.db2.gz NGZCWJQGGWBBBH-OAHLLOKOSA-N 0 0 426.543 -0.029 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000446092422 1072719092 /nfs/dbraw/zinc/71/90/92/1072719092.db2.gz ULBQGDWOMJBOKT-LLVKDONJSA-N 0 0 427.508 -0.416 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000446092423 1072719071 /nfs/dbraw/zinc/71/90/71/1072719071.db2.gz ULBQGDWOMJBOKT-NSHDSACASA-N 0 0 427.508 -0.416 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000446093010 1072719104 /nfs/dbraw/zinc/71/91/04/1072719104.db2.gz WNTDHINGABPKQN-HNNXBMFYSA-N 0 0 434.522 -0.448 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000446093011 1072719026 /nfs/dbraw/zinc/71/90/26/1072719026.db2.gz WNTDHINGABPKQN-OAHLLOKOSA-N 0 0 434.522 -0.448 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000446095510 1072719156 /nfs/dbraw/zinc/71/91/56/1072719156.db2.gz NNMFNYXFNPVGPN-NEPJUHHUSA-N 0 0 434.312 -0.294 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000446095511 1072719061 /nfs/dbraw/zinc/71/90/61/1072719061.db2.gz NNMFNYXFNPVGPN-NWDGAFQWSA-N 0 0 434.312 -0.294 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000446095512 1072719049 /nfs/dbraw/zinc/71/90/49/1072719049.db2.gz NNMFNYXFNPVGPN-RYUDHWBXSA-N 0 0 434.312 -0.294 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000446095513 1072719118 /nfs/dbraw/zinc/71/91/18/1072719118.db2.gz NNMFNYXFNPVGPN-VXGBXAGGSA-N 0 0 434.312 -0.294 20 0 IBADRN CSc1nnc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000446095813 1072719123 /nfs/dbraw/zinc/71/91/23/1072719123.db2.gz RNXPCCHZCFHINP-UHFFFAOYSA-N 0 0 435.601 -0.421 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c2)C[C@@H](C)O1 ZINC000446096712 1072719020 /nfs/dbraw/zinc/71/90/20/1072719020.db2.gz UGFMYURNIGWPNW-OHDICMOHSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c2)C[C@H](C)O1 ZINC000446096714 1072719010 /nfs/dbraw/zinc/71/90/10/1072719010.db2.gz UGFMYURNIGWPNW-OHQAAIJDSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c2)C[C@@H](C)O1 ZINC000446096716 1072719618 /nfs/dbraw/zinc/71/96/18/1072719618.db2.gz UGFMYURNIGWPNW-RGCFKVTRSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c2)C[C@H](C)O1 ZINC000446096718 1072719607 /nfs/dbraw/zinc/71/96/07/1072719607.db2.gz UGFMYURNIGWPNW-WTLGNFPFSA-N 0 0 438.550 -0.077 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000446104641 1072718596 /nfs/dbraw/zinc/71/85/96/1072718596.db2.gz VUFWMSOHTLKRBV-UHFFFAOYSA-N 0 0 439.266 -0.088 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000446105366 1072718639 /nfs/dbraw/zinc/71/86/39/1072718639.db2.gz ZDRVRPXZWPSQQS-AWEZNQCLSA-N 0 0 429.543 -0.132 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000446105367 1072718687 /nfs/dbraw/zinc/71/86/87/1072718687.db2.gz ZDRVRPXZWPSQQS-CQSZACIVSA-N 0 0 429.543 -0.132 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000446112194 1072718607 /nfs/dbraw/zinc/71/86/07/1072718607.db2.gz FDQSOQBRSZOWRJ-HNNXBMFYSA-N 0 0 428.559 -0.510 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000446112195 1072718564 /nfs/dbraw/zinc/71/85/64/1072718564.db2.gz FDQSOQBRSZOWRJ-OAHLLOKOSA-N 0 0 428.559 -0.510 20 0 IBADRN Cc1cc(CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)ccc1S(N)(=O)=O ZINC000446112367 1072718661 /nfs/dbraw/zinc/71/86/61/1072718661.db2.gz FXDVUWYMSUQXER-UHFFFAOYSA-N 0 0 432.462 -0.090 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000446114678 1072720075 /nfs/dbraw/zinc/72/00/75/1072720075.db2.gz UYHWKIHVORMSHJ-HNNXBMFYSA-N 0 0 426.543 -0.756 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000446114679 1072719938 /nfs/dbraw/zinc/71/99/38/1072719938.db2.gz UYHWKIHVORMSHJ-OAHLLOKOSA-N 0 0 426.543 -0.756 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000446128799 1072719542 /nfs/dbraw/zinc/71/95/42/1072719542.db2.gz WOSKWMJJZQRESG-CYBMUJFWSA-N 0 0 437.526 -0.511 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000446128800 1072719563 /nfs/dbraw/zinc/71/95/63/1072719563.db2.gz WOSKWMJJZQRESG-ZDUSSCGKSA-N 0 0 437.526 -0.511 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000446134353 1072719593 /nfs/dbraw/zinc/71/95/93/1072719593.db2.gz BEDSCMGKKPWNIG-AAEUAGOBSA-N 0 0 433.581 -0.436 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000446134354 1072719506 /nfs/dbraw/zinc/71/95/06/1072719506.db2.gz BEDSCMGKKPWNIG-DGCLKSJQSA-N 0 0 433.581 -0.436 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000446134355 1072719552 /nfs/dbraw/zinc/71/95/52/1072719552.db2.gz BEDSCMGKKPWNIG-WCQYABFASA-N 0 0 433.581 -0.436 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000446134356 1072719603 /nfs/dbraw/zinc/71/96/03/1072719603.db2.gz BEDSCMGKKPWNIG-YPMHNXCESA-N 0 0 433.581 -0.436 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000446137597 1072720567 /nfs/dbraw/zinc/72/05/67/1072720567.db2.gz NINQVZHJSNCRRR-INIZCTEOSA-N 0 0 448.549 -0.453 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000446137598 1072720483 /nfs/dbraw/zinc/72/04/83/1072720483.db2.gz NINQVZHJSNCRRR-MRXNPFEDSA-N 0 0 448.549 -0.453 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000446138962 1072720606 /nfs/dbraw/zinc/72/06/06/1072720606.db2.gz MVKCBUBESHSOKC-HNNXBMFYSA-N 0 0 449.537 -0.663 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000446138963 1072720650 /nfs/dbraw/zinc/72/06/50/1072720650.db2.gz MVKCBUBESHSOKC-OAHLLOKOSA-N 0 0 449.537 -0.663 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000446140655 1072720546 /nfs/dbraw/zinc/72/05/46/1072720546.db2.gz RZVVWNIWEIPXFM-INIZCTEOSA-N 0 0 448.549 -0.058 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000446140656 1072720527 /nfs/dbraw/zinc/72/05/27/1072720527.db2.gz RZVVWNIWEIPXFM-MRXNPFEDSA-N 0 0 448.549 -0.058 20 0 IBADRN CCCC[C@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000446144380 1072721069 /nfs/dbraw/zinc/72/10/69/1072721069.db2.gz BVVRKPRBZKZRST-KBPBESRZSA-N 0 0 433.575 -0.440 20 0 IBADRN CCCC[C@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000446144381 1072721097 /nfs/dbraw/zinc/72/10/97/1072721097.db2.gz BVVRKPRBZKZRST-KGLIPLIRSA-N 0 0 433.575 -0.440 20 0 IBADRN CCCC[C@@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000446144382 1072721172 /nfs/dbraw/zinc/72/11/72/1072721172.db2.gz BVVRKPRBZKZRST-UONOGXRCSA-N 0 0 433.575 -0.440 20 0 IBADRN CCCC[C@@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000446144383 1072720954 /nfs/dbraw/zinc/72/09/54/1072720954.db2.gz BVVRKPRBZKZRST-ZIAGYGMSSA-N 0 0 433.575 -0.440 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000446145924 1072721064 /nfs/dbraw/zinc/72/10/64/1072721064.db2.gz OCBQBTOSYAKSKP-UHFFFAOYSA-N 0 0 426.430 0.172 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000446151271 1072720161 /nfs/dbraw/zinc/72/01/61/1072720161.db2.gz IDRZSAGAXJQDSC-ADLMAVQZSA-N 0 0 434.478 -0.542 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000446151272 1072720057 /nfs/dbraw/zinc/72/00/57/1072720057.db2.gz IDRZSAGAXJQDSC-BBATYDOGSA-N 0 0 434.478 -0.542 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000446151273 1072720473 /nfs/dbraw/zinc/72/04/73/1072720473.db2.gz IDRZSAGAXJQDSC-VOJFVSQTSA-N 0 0 434.478 -0.542 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000446151274 1072720590 /nfs/dbraw/zinc/72/05/90/1072720590.db2.gz IDRZSAGAXJQDSC-ZMZPIMSZSA-N 0 0 434.478 -0.542 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000446151736 1072720511 /nfs/dbraw/zinc/72/05/11/1072720511.db2.gz NMXWVDIZPHEAAI-UHFFFAOYSA-N 0 0 443.464 -0.009 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)Nc3ccn(CC(N)=O)n3)c2)CC1 ZINC000446156337 1073311693 /nfs/dbraw/zinc/31/16/93/1073311693.db2.gz MVKILZGUMBSVKX-UHFFFAOYSA-N 0 0 435.510 0.339 20 0 IBADRN O=C(CNC(=O)c1ccccc1C(F)(F)F)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000446156989 1072721131 /nfs/dbraw/zinc/72/11/31/1072721131.db2.gz GOAFGJNRBPEJRR-UHFFFAOYSA-N 0 0 425.371 -0.207 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000446158460 1072721074 /nfs/dbraw/zinc/72/10/74/1072721074.db2.gz QQMQVALAOATRPB-NEPJUHHUSA-N 0 0 429.524 -0.128 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000446158461 1072721411 /nfs/dbraw/zinc/72/14/11/1072721411.db2.gz QQMQVALAOATRPB-NWDGAFQWSA-N 0 0 429.524 -0.128 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000446158462 1072721456 /nfs/dbraw/zinc/72/14/56/1072721456.db2.gz QQMQVALAOATRPB-RYUDHWBXSA-N 0 0 429.524 -0.128 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000446158463 1072721481 /nfs/dbraw/zinc/72/14/81/1072721481.db2.gz QQMQVALAOATRPB-VXGBXAGGSA-N 0 0 429.524 -0.128 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000446160847 1072721502 /nfs/dbraw/zinc/72/15/02/1072721502.db2.gz HTCXQAFSKORQJS-CYBMUJFWSA-N 0 0 443.526 -0.653 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000446160848 1072721547 /nfs/dbraw/zinc/72/15/47/1072721547.db2.gz HTCXQAFSKORQJS-ZDUSSCGKSA-N 0 0 443.526 -0.653 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000446161282 1073350661 /nfs/dbraw/zinc/35/06/61/1073350661.db2.gz KXRPKHAPYSLMNY-UHFFFAOYSA-N 0 0 436.494 0.435 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000446161334 1072721557 /nfs/dbraw/zinc/72/15/57/1072721557.db2.gz JDGMQKNIIZQANC-NEPJUHHUSA-N 0 0 429.524 -0.046 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000446161335 1072721540 /nfs/dbraw/zinc/72/15/40/1072721540.db2.gz JDGMQKNIIZQANC-NWDGAFQWSA-N 0 0 429.524 -0.046 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000446161336 1072721574 /nfs/dbraw/zinc/72/15/74/1072721574.db2.gz JDGMQKNIIZQANC-RYUDHWBXSA-N 0 0 429.524 -0.046 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000446161337 1072721531 /nfs/dbraw/zinc/72/15/31/1072721531.db2.gz JDGMQKNIIZQANC-VXGBXAGGSA-N 0 0 429.524 -0.046 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000446161975 1072722040 /nfs/dbraw/zinc/72/20/40/1072722040.db2.gz OUAQZGWBPMESPI-KBPBESRZSA-N 0 0 443.526 -0.653 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000446161976 1072721948 /nfs/dbraw/zinc/72/19/48/1072721948.db2.gz OUAQZGWBPMESPI-KGLIPLIRSA-N 0 0 443.526 -0.653 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000446161977 1072721969 /nfs/dbraw/zinc/72/19/69/1072721969.db2.gz OUAQZGWBPMESPI-UONOGXRCSA-N 0 0 443.526 -0.653 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000446161978 1072721974 /nfs/dbraw/zinc/72/19/74/1072721974.db2.gz OUAQZGWBPMESPI-ZIAGYGMSSA-N 0 0 443.526 -0.653 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1ncn(CC(=O)NCc2ccccc2)n1 ZINC000446163585 1072721917 /nfs/dbraw/zinc/72/19/17/1072721917.db2.gz SQNBKXRKTFKONY-CYBMUJFWSA-N 0 0 446.493 -0.367 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1ncn(CC(=O)NCc2ccccc2)n1 ZINC000446163586 1072722029 /nfs/dbraw/zinc/72/20/29/1072722029.db2.gz SQNBKXRKTFKONY-ZDUSSCGKSA-N 0 0 446.493 -0.367 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(N3CCCC3)ccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000446168010 1072722001 /nfs/dbraw/zinc/72/20/01/1072722001.db2.gz NHSBVPHFOBUUNF-INIZCTEOSA-N 0 0 435.550 -0.257 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(N3CCCC3)ccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000446168011 1072721932 /nfs/dbraw/zinc/72/19/32/1072721932.db2.gz NHSBVPHFOBUUNF-MRXNPFEDSA-N 0 0 435.550 -0.257 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@@H]2NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000446173007 1072720937 /nfs/dbraw/zinc/72/09/37/1072720937.db2.gz PQKLHNSYHHXKBQ-HNNXBMFYSA-N 0 0 433.513 -0.051 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@H]2NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000446173008 1072721008 /nfs/dbraw/zinc/72/10/08/1072721008.db2.gz PQKLHNSYHHXKBQ-OAHLLOKOSA-N 0 0 433.513 -0.051 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC[C@@H]1O ZINC000446175988 1072722503 /nfs/dbraw/zinc/72/25/03/1072722503.db2.gz ULGQMOMJSCUVKB-HNNXBMFYSA-N 0 0 438.506 -0.635 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC[C@H]1O ZINC000446175989 1072722330 /nfs/dbraw/zinc/72/23/30/1072722330.db2.gz ULGQMOMJSCUVKB-OAHLLOKOSA-N 0 0 438.506 -0.635 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2ccc(N3CCOCC3)cn2)CC1 ZINC000446181208 1072722316 /nfs/dbraw/zinc/72/23/16/1072722316.db2.gz FETSWEHENGMOAR-UHFFFAOYSA-N 0 0 430.465 -0.257 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H](C(=O)Nc1cc[nH]n1)c1ccccc1 ZINC000446186511 1072722302 /nfs/dbraw/zinc/72/23/02/1072722302.db2.gz JDUZORRQKSONDM-BLLLJJGKSA-N 0 0 431.478 0.306 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H](C(=O)Nc1cc[nH]n1)c1ccccc1 ZINC000446186512 1072722495 /nfs/dbraw/zinc/72/24/95/1072722495.db2.gz JDUZORRQKSONDM-LRDDRELGSA-N 0 0 431.478 0.306 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H](C(=O)Nc1cc[nH]n1)c1ccccc1 ZINC000446186513 1072722491 /nfs/dbraw/zinc/72/24/91/1072722491.db2.gz JDUZORRQKSONDM-MLGOLLRUSA-N 0 0 431.478 0.306 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H](C(=O)Nc1cc[nH]n1)c1ccccc1 ZINC000446186514 1072722485 /nfs/dbraw/zinc/72/24/85/1072722485.db2.gz JDUZORRQKSONDM-WBMJQRKESA-N 0 0 431.478 0.306 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000446188330 1072722386 /nfs/dbraw/zinc/72/23/86/1072722386.db2.gz KKHMDYTUTRGSHY-HNNXBMFYSA-N 0 0 440.570 -0.320 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000446188331 1072722923 /nfs/dbraw/zinc/72/29/23/1072722923.db2.gz KKHMDYTUTRGSHY-OAHLLOKOSA-N 0 0 440.570 -0.320 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000446189057 1072722929 /nfs/dbraw/zinc/72/29/29/1072722929.db2.gz ZORKAUNKPKIATB-UHFFFAOYSA-N 0 0 440.526 -0.355 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000446189794 1072721964 /nfs/dbraw/zinc/72/19/64/1072721964.db2.gz XFRGDCNQKBZCRK-CABCVRRESA-N 0 0 440.570 -0.322 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000446189795 1072721909 /nfs/dbraw/zinc/72/19/09/1072721909.db2.gz XFRGDCNQKBZCRK-GJZGRUSLSA-N 0 0 440.570 -0.322 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000446189796 1072722035 /nfs/dbraw/zinc/72/20/35/1072722035.db2.gz XFRGDCNQKBZCRK-HUUCEWRRSA-N 0 0 440.570 -0.322 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000446189797 1072721958 /nfs/dbraw/zinc/72/19/58/1072721958.db2.gz XFRGDCNQKBZCRK-LSDHHAIUSA-N 0 0 440.570 -0.322 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)n1 ZINC000446205690 1072723376 /nfs/dbraw/zinc/72/33/76/1072723376.db2.gz KFNBJRWZXVALPU-UHFFFAOYSA-N 0 0 449.537 0.551 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)cc1C ZINC000446208219 1072723576 /nfs/dbraw/zinc/72/35/76/1072723576.db2.gz XBXDTGYKTFAUON-UHFFFAOYSA-N 0 0 449.537 0.518 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)Nc3ccn(CCC(N)=O)n3)c2)CC1 ZINC000446208986 1072723286 /nfs/dbraw/zinc/72/32/86/1072723286.db2.gz ZKTBMXJFAYPFET-UHFFFAOYSA-N 0 0 435.510 0.339 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCN1CCCOCC1 ZINC000446224083 1072723561 /nfs/dbraw/zinc/72/35/61/1072723561.db2.gz CMRRMWZMCNDGCS-UHFFFAOYSA-N 0 0 440.522 -0.087 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCCOCC2)cc(S(C)(=O)=O)c1 ZINC000446227874 1072723319 /nfs/dbraw/zinc/72/33/19/1072723319.db2.gz OXSNKPZTQYACMV-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCN1CCCOCC1 ZINC000446228971 1072723357 /nfs/dbraw/zinc/72/33/57/1072723357.db2.gz SEVRQEVIZSSHLT-UHFFFAOYSA-N 0 0 447.536 -0.140 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCN3CCCOCC3)cc2)CC1 ZINC000446229398 1072723535 /nfs/dbraw/zinc/72/35/35/1072723535.db2.gz SZCXCORUXOSPMQ-UHFFFAOYSA-N 0 0 431.537 -0.220 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCCOCC2)cc1 ZINC000446229692 1072723524 /nfs/dbraw/zinc/72/35/24/1072723524.db2.gz VJHWIYCDLCSFON-HNNXBMFYSA-N 0 0 442.538 -0.223 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCCOCC2)cc1 ZINC000446229693 1072723420 /nfs/dbraw/zinc/72/34/20/1072723420.db2.gz VJHWIYCDLCSFON-OAHLLOKOSA-N 0 0 442.538 -0.223 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCCOCC1 ZINC000446230334 1072723483 /nfs/dbraw/zinc/72/34/83/1072723483.db2.gz ZLAZDYNFBQWGHJ-UHFFFAOYSA-N 0 0 434.493 -0.055 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCCN2CCCOCC2)cc1 ZINC000446230421 1072723465 /nfs/dbraw/zinc/72/34/65/1072723465.db2.gz AJWPIGDBEQRRRZ-UHFFFAOYSA-N 0 0 433.509 -0.266 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000446230852 1072723393 /nfs/dbraw/zinc/72/33/93/1072723393.db2.gz FKWFVPNQETYCEV-UHFFFAOYSA-N 0 0 440.522 -0.516 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000446232068 1072723991 /nfs/dbraw/zinc/72/39/91/1072723991.db2.gz SESLWUXPXIASPH-IBGZPJMESA-N 0 0 446.504 -0.522 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000446232069 1072723953 /nfs/dbraw/zinc/72/39/53/1072723953.db2.gz SESLWUXPXIASPH-LJQANCHMSA-N 0 0 446.504 -0.522 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000446232366 1072723507 /nfs/dbraw/zinc/72/35/07/1072723507.db2.gz WGTDYCITASAZPR-UHFFFAOYSA-N 0 0 440.522 -0.516 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCc2ncsc2C1 ZINC000446233285 1072724130 /nfs/dbraw/zinc/72/41/30/1072724130.db2.gz GIILQMUUQQVRPX-UHFFFAOYSA-N 0 0 449.514 -0.213 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCCOCC2)ccc1S(=O)(=O)N(C)C ZINC000446235140 1072724156 /nfs/dbraw/zinc/72/41/56/1072724156.db2.gz HQRQATUJHRXWHL-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCCOCC1 ZINC000446235582 1072723834 /nfs/dbraw/zinc/72/38/34/1072723834.db2.gz SLGIANGHZHQXOI-UHFFFAOYSA-N 0 0 441.554 -0.220 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000446237456 1072724040 /nfs/dbraw/zinc/72/40/40/1072724040.db2.gz PHDVHMKGDXFGMR-UHFFFAOYSA-N 0 0 447.536 -0.474 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000446240489 1072724067 /nfs/dbraw/zinc/72/40/67/1072724067.db2.gz ZPVHGXIDVKZXKU-UHFFFAOYSA-N 0 0 444.536 -0.083 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000446240604 1072723782 /nfs/dbraw/zinc/72/37/82/1072723782.db2.gz FXTONEZLOLYDKB-UHFFFAOYSA-N 0 0 440.522 -0.087 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCCOCC2)cc1 ZINC000446240747 1072724115 /nfs/dbraw/zinc/72/41/15/1072724115.db2.gz HJLXMQZURKZZDZ-UHFFFAOYSA-N 0 0 428.511 -0.612 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCCOCC1 ZINC000446241551 1072723803 /nfs/dbraw/zinc/72/38/03/1072723803.db2.gz PBJZDWHFUZOBGV-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCCOCC3)cn2)C[C@H](C)O1 ZINC000446242493 1072724609 /nfs/dbraw/zinc/72/46/09/1072724609.db2.gz YCBVKMLWLRQIDE-HOTGVXAUSA-N 0 0 436.513 -0.704 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCCOCC3)cn2)C[C@@H](C)O1 ZINC000446242494 1072724558 /nfs/dbraw/zinc/72/45/58/1072724558.db2.gz YCBVKMLWLRQIDE-HZPDHXFCSA-N 0 0 436.513 -0.704 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCCOCC3)cn2)C[C@H](C)O1 ZINC000446242495 1072724664 /nfs/dbraw/zinc/72/46/64/1072724664.db2.gz YCBVKMLWLRQIDE-IYBDPMFKSA-N 0 0 436.513 -0.704 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCN1CCCOCC1)N1CCOCC1 ZINC000446243635 1072724580 /nfs/dbraw/zinc/72/45/80/1072724580.db2.gz IWRAIKQVRGBHLB-UHFFFAOYSA-N 0 0 434.493 -0.299 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000446257037 1072725108 /nfs/dbraw/zinc/72/51/08/1072725108.db2.gz DCGBMKQPVXYHAB-UHFFFAOYSA-N 0 0 432.481 -0.547 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)nn2)cc1 ZINC000446257850 1072723896 /nfs/dbraw/zinc/72/38/96/1072723896.db2.gz YXXZTQNJTZVDCU-UHFFFAOYSA-N 0 0 438.448 -0.271 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000446266249 1072725571 /nfs/dbraw/zinc/72/55/71/1072725571.db2.gz JMYXUEHTCVFKHA-AWEZNQCLSA-N 0 0 443.551 -0.168 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000446266250 1072725618 /nfs/dbraw/zinc/72/56/18/1072725618.db2.gz JMYXUEHTCVFKHA-CQSZACIVSA-N 0 0 443.551 -0.168 20 0 IBADRN O=C(Nc1ccn(CC(=O)N2CCOCC2)n1)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC000446271378 1072725090 /nfs/dbraw/zinc/72/50/90/1072725090.db2.gz XNQLTLGDNGWRPV-HNNXBMFYSA-N 0 0 441.448 0.136 20 0 IBADRN O=C(Nc1ccn(CC(=O)N2CCOCC2)n1)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC000446271382 1072725067 /nfs/dbraw/zinc/72/50/67/1072725067.db2.gz XNQLTLGDNGWRPV-OAHLLOKOSA-N 0 0 441.448 0.136 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)CC2 ZINC000446274173 1072725075 /nfs/dbraw/zinc/72/50/75/1072725075.db2.gz BFNWKKDMURQKDH-GFCCVEGCSA-N 0 0 441.535 -0.026 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)CC2 ZINC000446274174 1072725185 /nfs/dbraw/zinc/72/51/85/1072725185.db2.gz BFNWKKDMURQKDH-LBPRGKRZSA-N 0 0 441.535 -0.026 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)CC2 ZINC000446275795 1072725179 /nfs/dbraw/zinc/72/51/79/1072725179.db2.gz MGPSLXMLYAXMFE-LLVKDONJSA-N 0 0 427.508 -0.416 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)CC2 ZINC000446275797 1072725129 /nfs/dbraw/zinc/72/51/29/1072725129.db2.gz MGPSLXMLYAXMFE-NSHDSACASA-N 0 0 427.508 -0.416 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000446279398 1072725176 /nfs/dbraw/zinc/72/51/76/1072725176.db2.gz VYFCZIANEUMRGU-CABCVRRESA-N 0 0 435.506 -0.117 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000446279399 1072725182 /nfs/dbraw/zinc/72/51/82/1072725182.db2.gz VYFCZIANEUMRGU-GJZGRUSLSA-N 0 0 435.506 -0.117 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000446279400 1072725080 /nfs/dbraw/zinc/72/50/80/1072725080.db2.gz VYFCZIANEUMRGU-HUUCEWRRSA-N 0 0 435.506 -0.117 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000446279401 1072725163 /nfs/dbraw/zinc/72/51/63/1072725163.db2.gz VYFCZIANEUMRGU-LSDHHAIUSA-N 0 0 435.506 -0.117 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000446294651 1072725561 /nfs/dbraw/zinc/72/55/61/1072725561.db2.gz BVVLINYNOBSEHE-CQSZACIVSA-N 0 0 441.506 -0.115 20 0 IBADRN COc1ccc2c(c1)C=C(C(=O)N1CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC1)CO2 ZINC000446309159 1072725650 /nfs/dbraw/zinc/72/56/50/1072725650.db2.gz ASVNVTFNLCPHCB-KRWDZBQOSA-N 0 0 449.529 -0.082 20 0 IBADRN COc1ccc2c(c1)C=C(C(=O)N1CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC1)CO2 ZINC000446309161 1072725600 /nfs/dbraw/zinc/72/56/00/1072725600.db2.gz ASVNVTFNLCPHCB-QGZVFWFLSA-N 0 0 449.529 -0.082 20 0 IBADRN CCc1noc(CC)c1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000446312182 1072726259 /nfs/dbraw/zinc/72/62/59/1072726259.db2.gz NNWWKSWSTZWVPF-AWEZNQCLSA-N 0 0 426.539 -0.211 20 0 IBADRN CCc1noc(CC)c1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000446312183 1072726279 /nfs/dbraw/zinc/72/62/79/1072726279.db2.gz NNWWKSWSTZWVPF-CQSZACIVSA-N 0 0 426.539 -0.211 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000446312339 1072726239 /nfs/dbraw/zinc/72/62/39/1072726239.db2.gz URZWXXKFZDMREN-CVEARBPZSA-N 0 0 435.554 -0.191 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000446312343 1072726294 /nfs/dbraw/zinc/72/62/94/1072726294.db2.gz URZWXXKFZDMREN-HOTGVXAUSA-N 0 0 435.554 -0.191 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000446312344 1072726143 /nfs/dbraw/zinc/72/61/43/1072726143.db2.gz URZWXXKFZDMREN-HZPDHXFCSA-N 0 0 435.554 -0.191 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000446312345 1072726115 /nfs/dbraw/zinc/72/61/15/1072726115.db2.gz URZWXXKFZDMREN-JKSUJKDBSA-N 0 0 435.554 -0.191 20 0 IBADRN Cc1cc(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)ccc1S(N)(=O)=O ZINC000446313140 1073320935 /nfs/dbraw/zinc/32/09/35/1073320935.db2.gz QAUUBFPSPPITGN-UHFFFAOYSA-N 0 0 436.494 0.019 20 0 IBADRN COc1ccc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1-n1cnnn1 ZINC000446322446 1072726591 /nfs/dbraw/zinc/72/65/91/1072726591.db2.gz DSOGMLXARCXNLQ-UHFFFAOYSA-N 0 0 427.425 0.370 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1=O ZINC000446323015 1072726665 /nfs/dbraw/zinc/72/66/65/1072726665.db2.gz QBDAXCIFBHRZPD-UHFFFAOYSA-N 0 0 432.437 0.111 20 0 IBADRN C[NH+](C)CCn1ccc(NC(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)n1 ZINC000446327724 1073308326 /nfs/dbraw/zinc/30/83/26/1073308326.db2.gz ZDRWBPYHIGTVTM-UHFFFAOYSA-N 0 0 441.480 0.427 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c2)C[C@H](C)O1 ZINC000446330974 1072726287 /nfs/dbraw/zinc/72/62/87/1072726287.db2.gz JLNDBBXAIMVJIZ-GASCZTMLSA-N 0 0 443.508 -0.202 20 0 IBADRN C[C@H]1CN(c2ccnc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c2)C[C@H](C)O1 ZINC000446330975 1072726055 /nfs/dbraw/zinc/72/60/55/1072726055.db2.gz JLNDBBXAIMVJIZ-GJZGRUSLSA-N 0 0 443.508 -0.202 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c2)C[C@@H](C)O1 ZINC000446330976 1072726045 /nfs/dbraw/zinc/72/60/45/1072726045.db2.gz JLNDBBXAIMVJIZ-HUUCEWRRSA-N 0 0 443.508 -0.202 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cc1cc[nH]c(=O)c1Br)C2 ZINC000446332822 1072727497 /nfs/dbraw/zinc/72/74/97/1072727497.db2.gz PPOBNRBNNZDBQZ-UHFFFAOYSA-N 0 0 439.270 -0.419 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)nn2)cc1 ZINC000446354942 1072727469 /nfs/dbraw/zinc/72/74/69/1072727469.db2.gz JUAHIWRDSUCEGM-BBRMVZONSA-N 0 0 433.490 -0.072 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)nn2)cc1 ZINC000446354943 1072727369 /nfs/dbraw/zinc/72/73/69/1072727369.db2.gz JUAHIWRDSUCEGM-CJNGLKHVSA-N 0 0 433.490 -0.072 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)nn2)cc1 ZINC000446354944 1072727423 /nfs/dbraw/zinc/72/74/23/1072727423.db2.gz JUAHIWRDSUCEGM-CZUORRHYSA-N 0 0 433.490 -0.072 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)nn2)cc1 ZINC000446354945 1072727490 /nfs/dbraw/zinc/72/74/90/1072727490.db2.gz JUAHIWRDSUCEGM-XJKSGUPXSA-N 0 0 433.490 -0.072 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000446359512 1072727903 /nfs/dbraw/zinc/72/79/03/1072727903.db2.gz JIFNLOMZAGRTQF-UHFFFAOYSA-N 0 0 445.528 -0.229 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000446364518 1072727894 /nfs/dbraw/zinc/72/78/94/1072727894.db2.gz SHPFUPYATBPWEK-UHFFFAOYSA-N 0 0 441.496 -0.186 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)Nc2ccc(I)cc2)n1 ZINC000446371379 1073305687 /nfs/dbraw/zinc/30/56/87/1073305687.db2.gz WCUFJVKKLPZLDE-UHFFFAOYSA-N 0 0 442.217 0.548 20 0 IBADRN COc1cc(NC(=O)CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc(OC)c1 ZINC000446377452 1072728741 /nfs/dbraw/zinc/72/87/41/1072728741.db2.gz RUAJFTWNNZIOCZ-LLVKDONJSA-N 0 0 425.467 -0.141 20 0 IBADRN COc1cc(NC(=O)CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc(OC)c1 ZINC000446377453 1072728766 /nfs/dbraw/zinc/72/87/66/1072728766.db2.gz RUAJFTWNNZIOCZ-NSHDSACASA-N 0 0 425.467 -0.141 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cnn(Cc2nnc3n2CCCC3)c1 ZINC000446414036 1072729205 /nfs/dbraw/zinc/72/92/05/1072729205.db2.gz SSFCQLFZOMZUFU-UHFFFAOYSA-N 0 0 425.519 -0.187 20 0 IBADRN C[C@H]1CN(c2ccnc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)c2)C[C@H](C)O1 ZINC000446424188 1072730051 /nfs/dbraw/zinc/73/00/51/1072730051.db2.gz BFPZSKGLWVJXEK-KBPBESRZSA-N 0 0 435.510 -0.040 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)c2)C[C@H](C)O1 ZINC000446424189 1072730018 /nfs/dbraw/zinc/73/00/18/1072730018.db2.gz BFPZSKGLWVJXEK-OKILXGFUSA-N 0 0 435.510 -0.040 20 0 IBADRN C[C@@H]1CN(c2ccnc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)c2)C[C@@H](C)O1 ZINC000446424190 1072729851 /nfs/dbraw/zinc/72/98/51/1072729851.db2.gz BFPZSKGLWVJXEK-ZIAGYGMSSA-N 0 0 435.510 -0.040 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000446425016 1072729979 /nfs/dbraw/zinc/72/99/79/1072729979.db2.gz IDBVQSSHQFWVPS-UHFFFAOYSA-N 0 0 431.272 -0.257 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(I)cn1 ZINC000446429360 1072730532 /nfs/dbraw/zinc/73/05/32/1072730532.db2.gz IOTLITSYQSPYTK-UHFFFAOYSA-N 0 0 426.236 -0.320 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000446430622 1073309780 /nfs/dbraw/zinc/30/97/80/1073309780.db2.gz FIDPCCKKSADMDX-AWEZNQCLSA-N 0 0 440.508 0.509 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000446430623 1073309654 /nfs/dbraw/zinc/30/96/54/1073309654.db2.gz FIDPCCKKSADMDX-CQSZACIVSA-N 0 0 440.508 0.509 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000446437759 1072730007 /nfs/dbraw/zinc/73/00/07/1072730007.db2.gz CUFRKZLGOUTHNH-GFCCVEGCSA-N 0 0 434.478 -0.239 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000446437761 1072730098 /nfs/dbraw/zinc/73/00/98/1072730098.db2.gz CUFRKZLGOUTHNH-LBPRGKRZSA-N 0 0 434.478 -0.239 20 0 IBADRN COC(=O)[C@]1(NC(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CCOC1 ZINC000446438567 1072729870 /nfs/dbraw/zinc/72/98/70/1072729870.db2.gz KZGVVLFLLRWVJP-IBGZPJMESA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CCOC1 ZINC000446438568 1072729915 /nfs/dbraw/zinc/72/99/15/1072729915.db2.gz KZGVVLFLLRWVJP-LJQANCHMSA-N 0 0 439.490 -0.399 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCCN(c2ccnn2C)C1 ZINC000446441565 1072731580 /nfs/dbraw/zinc/73/15/80/1072731580.db2.gz YTAQUEZWKJJLMB-DZGCQCFKSA-N 0 0 434.522 -0.029 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCCN(c2ccnn2C)C1 ZINC000446441570 1072731473 /nfs/dbraw/zinc/73/14/73/1072731473.db2.gz YTAQUEZWKJJLMB-HIFRSBDPSA-N 0 0 434.522 -0.029 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCCN(c2ccnn2C)C1 ZINC000446441572 1072731627 /nfs/dbraw/zinc/73/16/27/1072731627.db2.gz YTAQUEZWKJJLMB-UKRRQHHQSA-N 0 0 434.522 -0.029 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCCN(c2ccnn2C)C1 ZINC000446441574 1072731458 /nfs/dbraw/zinc/73/14/58/1072731458.db2.gz YTAQUEZWKJJLMB-ZFWWWQNUSA-N 0 0 434.522 -0.029 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(I)cn1 ZINC000446443051 1072731682 /nfs/dbraw/zinc/73/16/82/1072731682.db2.gz ZZFNWCXBOPNBFD-UHFFFAOYSA-N 0 0 426.236 -0.320 20 0 IBADRN Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000446444323 1072731393 /nfs/dbraw/zinc/73/13/93/1072731393.db2.gz LCDANNCQPZENJI-UHFFFAOYSA-N 0 0 432.506 -0.008 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c(C(F)(F)F)n1 ZINC000446445524 1072732256 /nfs/dbraw/zinc/73/22/56/1072732256.db2.gz VZEPRSNBYCJYEG-UHFFFAOYSA-N 0 0 430.387 -0.329 20 0 IBADRN O=C(Nc1c[nH]nc1-c1nccs1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000446445816 1072731594 /nfs/dbraw/zinc/73/15/94/1072731594.db2.gz YEQHUKVSVXRWIL-UHFFFAOYSA-N 0 0 431.478 -0.235 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)Nc1ccc(F)cn1 ZINC000446445992 1072730511 /nfs/dbraw/zinc/73/05/11/1072730511.db2.gz XOAYPZYQBAABEB-UHFFFAOYSA-N 0 0 436.469 -0.178 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(I)cn2)CC1 ZINC000446448171 1072730432 /nfs/dbraw/zinc/73/04/32/1072730432.db2.gz LASJTZXYOQOEKF-UHFFFAOYSA-N 0 0 432.218 -0.058 20 0 IBADRN O=C(Nc1cc(C(F)(F)F)ccn1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000446448577 1071348056 /nfs/dbraw/zinc/34/80/56/1071348056.db2.gz DBCPIHQLLXZBQW-UHFFFAOYSA-N 0 0 443.382 -0.432 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000446448642 1072730732 /nfs/dbraw/zinc/73/07/32/1072730732.db2.gz DKVCVTBUKBSKGY-UHFFFAOYSA-N 0 0 432.477 -0.220 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000446448800 1072730399 /nfs/dbraw/zinc/73/03/99/1072730399.db2.gz GJGNZXVXUBAXJM-MOPGFXCFSA-N 0 0 435.569 -0.678 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000446448801 1072730555 /nfs/dbraw/zinc/73/05/55/1072730555.db2.gz GJGNZXVXUBAXJM-OALUTQOASA-N 0 0 435.569 -0.678 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000446448802 1072730655 /nfs/dbraw/zinc/73/06/55/1072730655.db2.gz GJGNZXVXUBAXJM-RBUKOAKNSA-N 0 0 435.569 -0.678 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000446448803 1072730587 /nfs/dbraw/zinc/73/05/87/1072730587.db2.gz GJGNZXVXUBAXJM-RTBURBONSA-N 0 0 435.569 -0.678 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(Br)cn2)CC1 ZINC000446449152 1072730634 /nfs/dbraw/zinc/73/06/34/1072730634.db2.gz JIVCGKFRGSGEFU-UHFFFAOYSA-N 0 0 426.271 -0.022 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3c[nH]nc3-c3nccs3)CC2)no1 ZINC000446449266 1073330085 /nfs/dbraw/zinc/33/00/85/1073330085.db2.gz KGVSSZFWLXPIAG-UHFFFAOYSA-N 0 0 444.477 0.551 20 0 IBADRN O=C(Nc1c[nH]nc1-c1nccs1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000446449471 1072730378 /nfs/dbraw/zinc/73/03/78/1072730378.db2.gz LVJLHZSDYQAIQH-UHFFFAOYSA-N 0 0 447.477 -0.999 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(I)cn2)CC1 ZINC000446450016 1072731024 /nfs/dbraw/zinc/73/10/24/1072731024.db2.gz YQDNWRSKGMWYMW-UHFFFAOYSA-N 0 0 438.247 -0.272 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cn(C)nc3C(F)(F)F)CC2)no1 ZINC000446450131 1073330161 /nfs/dbraw/zinc/33/01/61/1073330161.db2.gz SBNNMRVMDMGWPO-UHFFFAOYSA-N 0 0 443.386 0.457 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(I)cn2)CC1 ZINC000446450355 1072731032 /nfs/dbraw/zinc/73/10/32/1072731032.db2.gz UZNVYDYXFWRDCD-UHFFFAOYSA-N 0 0 445.261 -0.095 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnn1C1CCCC1 ZINC000446450554 1072730496 /nfs/dbraw/zinc/73/04/96/1072730496.db2.gz WOHIYAADABNYFT-UHFFFAOYSA-N 0 0 446.508 -0.225 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000446450593 1072730476 /nfs/dbraw/zinc/73/04/76/1072730476.db2.gz XCLGDWWKPLSVHL-GFCCVEGCSA-N 0 0 442.314 -0.134 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000446450594 1072730611 /nfs/dbraw/zinc/73/06/11/1072730611.db2.gz XCLGDWWKPLSVHL-LBPRGKRZSA-N 0 0 442.314 -0.134 20 0 IBADRN O=C(Nc1ccc(C(F)(F)F)cn1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000446450700 1072730388 /nfs/dbraw/zinc/73/03/88/1072730388.db2.gz XGMHKINWWHZWID-UHFFFAOYSA-N 0 0 443.382 -0.432 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(I)cn2)CC1 ZINC000446451763 1072731151 /nfs/dbraw/zinc/73/11/51/1072731151.db2.gz UEBVYKBAALKSHZ-UHFFFAOYSA-N 0 0 445.261 -0.095 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000446452327 1072731132 /nfs/dbraw/zinc/73/11/32/1072731132.db2.gz CNZOXFLLDSTSMS-BRWVUGGUSA-N 0 0 440.566 -0.823 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000446452328 1072731162 /nfs/dbraw/zinc/73/11/62/1072731162.db2.gz CNZOXFLLDSTSMS-IKGGRYGDSA-N 0 0 440.566 -0.823 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000446452329 1072731138 /nfs/dbraw/zinc/73/11/38/1072731138.db2.gz CNZOXFLLDSTSMS-IXDOHACOSA-N 0 0 440.566 -0.823 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000446452330 1072731087 /nfs/dbraw/zinc/73/10/87/1072731087.db2.gz CNZOXFLLDSTSMS-ZACQAIPSSA-N 0 0 440.566 -0.823 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(Br)cn2)CC1 ZINC000446452523 1072731119 /nfs/dbraw/zinc/73/11/19/1072731119.db2.gz GJJDZFNQMQLPKI-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000446453372 1072731108 /nfs/dbraw/zinc/73/11/08/1072731108.db2.gz PFMUEMBNJQYQLD-CABCVRRESA-N 0 0 426.543 -0.758 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000446453373 1072731080 /nfs/dbraw/zinc/73/10/80/1072731080.db2.gz PFMUEMBNJQYQLD-GJZGRUSLSA-N 0 0 426.543 -0.758 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000446453374 1072731046 /nfs/dbraw/zinc/73/10/46/1072731046.db2.gz PFMUEMBNJQYQLD-HUUCEWRRSA-N 0 0 426.543 -0.758 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000446453375 1072731146 /nfs/dbraw/zinc/73/11/46/1072731146.db2.gz PFMUEMBNJQYQLD-LSDHHAIUSA-N 0 0 426.543 -0.758 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cn1C ZINC000446453734 1072731071 /nfs/dbraw/zinc/73/10/71/1072731071.db2.gz RGCPLWSPRFZNJP-AWEZNQCLSA-N 0 0 426.543 -0.838 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cn1C ZINC000446453735 1072731065 /nfs/dbraw/zinc/73/10/65/1072731065.db2.gz RGCPLWSPRFZNJP-CQSZACIVSA-N 0 0 426.543 -0.838 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2nccs2)CC1 ZINC000446454003 1072731421 /nfs/dbraw/zinc/73/14/21/1072731421.db2.gz SGOLIDRCGUJQRI-UHFFFAOYSA-N 0 0 433.494 -0.525 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000446454853 1072731092 /nfs/dbraw/zinc/73/10/92/1072731092.db2.gz WDHBXIZGXDGKAP-UHFFFAOYSA-N 0 0 432.403 -0.620 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cn1C ZINC000446455698 1072731648 /nfs/dbraw/zinc/73/16/48/1072731648.db2.gz GFRIFKBNPMTFHY-HNNXBMFYSA-N 0 0 440.570 -0.448 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cn1C ZINC000446455704 1072731672 /nfs/dbraw/zinc/73/16/72/1072731672.db2.gz GFRIFKBNPMTFHY-OAHLLOKOSA-N 0 0 440.570 -0.448 20 0 IBADRN Cn1cc([C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(F)(F)F)cn1 ZINC000446458386 1072731750 /nfs/dbraw/zinc/73/17/50/1072731750.db2.gz VIPWCKHZUFVSHX-CYBMUJFWSA-N 0 0 432.403 -0.264 20 0 IBADRN Cn1cc([C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(F)(F)F)cn1 ZINC000446458387 1072731510 /nfs/dbraw/zinc/73/15/10/1072731510.db2.gz VIPWCKHZUFVSHX-ZDUSSCGKSA-N 0 0 432.403 -0.264 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(I)cn1)N1CCOCC1 ZINC000446458450 1072731567 /nfs/dbraw/zinc/73/15/67/1072731567.db2.gz VTRPNWKKIFFSEB-UHFFFAOYSA-N 0 0 432.218 -0.010 20 0 IBADRN Cn1cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc(Br)c1=O ZINC000446459748 1072731757 /nfs/dbraw/zinc/73/17/57/1072731757.db2.gz UZCYCFWQGDQSQY-UHFFFAOYSA-N 0 0 437.254 -0.076 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(I)cn2)CC1 ZINC000446465479 1072732303 /nfs/dbraw/zinc/73/23/03/1072732303.db2.gz UWVJCIGOOVYRDL-UHFFFAOYSA-N 0 0 445.261 -0.095 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)c(C)o2)CC1 ZINC000446472128 1072732201 /nfs/dbraw/zinc/73/22/01/1072732201.db2.gz SKEJRLKIAOGXML-UHFFFAOYSA-N 0 0 432.480 -0.013 20 0 IBADRN Cn1cc([C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(F)(F)F)cn1 ZINC000446474950 1072732092 /nfs/dbraw/zinc/73/20/92/1072732092.db2.gz UGKXRSUDYTTWQN-CYBMUJFWSA-N 0 0 440.448 -0.072 20 0 IBADRN Cn1cc([C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(F)(F)F)cn1 ZINC000446474951 1072732029 /nfs/dbraw/zinc/73/20/29/1072732029.db2.gz UGKXRSUDYTTWQN-ZDUSSCGKSA-N 0 0 440.448 -0.072 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)cc1C ZINC000446480674 1072732063 /nfs/dbraw/zinc/73/20/63/1072732063.db2.gz CEWYKNCALWEBNU-UHFFFAOYSA-N 0 0 437.482 -0.003 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CCOC1 ZINC000446481220 1072732611 /nfs/dbraw/zinc/73/26/11/1072732611.db2.gz FXEJPROIAASPPV-GOSISDBHSA-N 0 0 428.463 -0.222 20 0 IBADRN COC(=O)[C@]1(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CCOC1 ZINC000446481221 1072732748 /nfs/dbraw/zinc/73/27/48/1072732748.db2.gz FXEJPROIAASPPV-SFHVURJKSA-N 0 0 428.463 -0.222 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)n1 ZINC000446482808 1072732786 /nfs/dbraw/zinc/73/27/86/1072732786.db2.gz WVBSOHROFGWCED-UHFFFAOYSA-N 0 0 437.482 0.031 20 0 IBADRN COC(=O)[C@]1(NC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCOC1 ZINC000446487383 1072732584 /nfs/dbraw/zinc/73/25/84/1072732584.db2.gz ALWUXPURSYCKMV-IBGZPJMESA-N 0 0 425.507 -0.250 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCOC1 ZINC000446487384 1072732756 /nfs/dbraw/zinc/73/27/56/1072732756.db2.gz ALWUXPURSYCKMV-LJQANCHMSA-N 0 0 425.507 -0.250 20 0 IBADRN CC1(C)CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC000446488626 1072732681 /nfs/dbraw/zinc/73/26/81/1072732681.db2.gz OYMBDFAAUKUJPF-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN COC(=O)[C@]1(NC(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CCOC1 ZINC000446489341 1072732724 /nfs/dbraw/zinc/73/27/24/1072732724.db2.gz XRVRBRKMXGTKFH-IBGZPJMESA-N 0 0 425.507 -0.105 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CCOC1 ZINC000446489342 1072732652 /nfs/dbraw/zinc/73/26/52/1072732652.db2.gz XRVRBRKMXGTKFH-LJQANCHMSA-N 0 0 425.507 -0.105 20 0 IBADRN COC(=O)[C@]1(NC(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CCOC1 ZINC000446498164 1072732739 /nfs/dbraw/zinc/73/27/39/1072732739.db2.gz KBFDBDYSGNZINI-IBGZPJMESA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CCOC1 ZINC000446498165 1072732714 /nfs/dbraw/zinc/73/27/14/1072732714.db2.gz KBFDBDYSGNZINI-LJQANCHMSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)[C@]1(NC(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000446498186 1072732699 /nfs/dbraw/zinc/73/26/99/1072732699.db2.gz KFLNJMNLSFGYGC-IBGZPJMESA-N 0 0 442.490 -0.293 20 0 IBADRN COC(=O)[C@@]1(NC(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000446498187 1072732734 /nfs/dbraw/zinc/73/27/34/1072732734.db2.gz KFLNJMNLSFGYGC-LJQANCHMSA-N 0 0 442.490 -0.293 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000446500190 1072733822 /nfs/dbraw/zinc/73/38/22/1072733822.db2.gz WNWWFTVFEKUFKO-GOSISDBHSA-N 0 0 428.463 -0.222 20 0 IBADRN COC(=O)[C@]1(NC(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000446500191 1072733936 /nfs/dbraw/zinc/73/39/36/1072733936.db2.gz WNWWFTVFEKUFKO-SFHVURJKSA-N 0 0 428.463 -0.222 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(C(F)(F)F)n1 ZINC000446500712 1072732666 /nfs/dbraw/zinc/73/26/66/1072732666.db2.gz CRXFLIPTCYHBEK-UHFFFAOYSA-N 0 0 444.370 -0.803 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cc(C(F)(F)F)ccn2)CC1 ZINC000446500878 1071352946 /nfs/dbraw/zinc/35/29/46/1071352946.db2.gz FKNXASWMWBPNAV-UHFFFAOYSA-N 0 0 441.366 -0.141 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(C(F)(F)F)cn2)CC1 ZINC000446503416 1072733742 /nfs/dbraw/zinc/73/37/42/1072733742.db2.gz BHZQWGWWRKHOPF-UHFFFAOYSA-N 0 0 441.366 -0.141 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2nccs2)CC1 ZINC000446506651 1072733659 /nfs/dbraw/zinc/73/36/59/1072733659.db2.gz QLWNXUAPVOLROX-UHFFFAOYSA-N 0 0 445.461 -0.709 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000446509594 1072733787 /nfs/dbraw/zinc/73/37/87/1072733787.db2.gz AGTMAABCZCWBTH-CYBMUJFWSA-N 0 0 428.463 -0.507 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000446509595 1072733622 /nfs/dbraw/zinc/73/36/22/1072733622.db2.gz AGTMAABCZCWBTH-ZDUSSCGKSA-N 0 0 428.463 -0.507 20 0 IBADRN O=C(Nc1ccc(N2CCCC2=O)c(F)c1)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446510334 1072734275 /nfs/dbraw/zinc/73/42/75/1072734275.db2.gz LFKCSUBUCOAMOO-CYBMUJFWSA-N 0 0 427.454 -0.101 20 0 IBADRN O=C(Nc1ccc(N2CCCC2=O)c(F)c1)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446510335 1072734309 /nfs/dbraw/zinc/73/43/09/1072734309.db2.gz LFKCSUBUCOAMOO-ZDUSSCGKSA-N 0 0 427.454 -0.101 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000446511368 1072734233 /nfs/dbraw/zinc/73/42/33/1072734233.db2.gz UQIDURFESDDRDN-AWEZNQCLSA-N 0 0 428.463 -0.425 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000446511369 1072734244 /nfs/dbraw/zinc/73/42/44/1072734244.db2.gz UQIDURFESDDRDN-CQSZACIVSA-N 0 0 428.463 -0.425 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446512894 1072734329 /nfs/dbraw/zinc/73/43/29/1072734329.db2.gz QBFATOQHCMIBOY-INIZCTEOSA-N 0 0 425.507 -0.222 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446512895 1072734354 /nfs/dbraw/zinc/73/43/54/1072734354.db2.gz QBFATOQHCMIBOY-MRXNPFEDSA-N 0 0 425.507 -0.222 20 0 IBADRN O=C(Nc1ccc(CCN2CCOCC2)cc1)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446513086 1072732763 /nfs/dbraw/zinc/73/27/63/1072732763.db2.gz CURPRZJMCWPHEX-GOSISDBHSA-N 0 0 439.534 -0.492 20 0 IBADRN O=C(Nc1ccc(CCN2CCOCC2)cc1)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446513087 1072732792 /nfs/dbraw/zinc/73/27/92/1072732792.db2.gz CURPRZJMCWPHEX-SFHVURJKSA-N 0 0 439.534 -0.492 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000446513944 1072732598 /nfs/dbraw/zinc/73/25/98/1072732598.db2.gz YFCUMIPBAJARLQ-AWEZNQCLSA-N 0 0 428.463 -0.425 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000446513945 1072732567 /nfs/dbraw/zinc/73/25/67/1072732567.db2.gz YFCUMIPBAJARLQ-CQSZACIVSA-N 0 0 428.463 -0.425 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N(CCO)[C@H]3CCS(=O)(=O)C3)n2)cc1 ZINC000446514008 1073345207 /nfs/dbraw/zinc/34/52/07/1073345207.db2.gz ZHUNMEBVDLLCTN-HNNXBMFYSA-N 0 0 436.490 -0.114 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N(CCO)[C@@H]3CCS(=O)(=O)C3)n2)cc1 ZINC000446514009 1073345248 /nfs/dbraw/zinc/34/52/48/1073345248.db2.gz ZHUNMEBVDLLCTN-OAHLLOKOSA-N 0 0 436.490 -0.114 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1)OCCO2 ZINC000446515175 1072733202 /nfs/dbraw/zinc/73/32/02/1072733202.db2.gz MDHOEZQGHHXMSZ-GFCCVEGCSA-N 0 0 428.463 -0.197 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1)OCCO2 ZINC000446515176 1072733095 /nfs/dbraw/zinc/73/30/95/1072733095.db2.gz MDHOEZQGHHXMSZ-LBPRGKRZSA-N 0 0 428.463 -0.197 20 0 IBADRN COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000446516603 1072733088 /nfs/dbraw/zinc/73/30/88/1072733088.db2.gz WRRSDQSLOKRRMA-BBRMVZONSA-N 0 0 426.491 -0.015 20 0 IBADRN COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000446516604 1072733150 /nfs/dbraw/zinc/73/31/50/1072733150.db2.gz WRRSDQSLOKRRMA-CJNGLKHVSA-N 0 0 426.491 -0.015 20 0 IBADRN COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000446516605 1072733119 /nfs/dbraw/zinc/73/31/19/1072733119.db2.gz WRRSDQSLOKRRMA-CZUORRHYSA-N 0 0 426.491 -0.015 20 0 IBADRN COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000446516606 1072733057 /nfs/dbraw/zinc/73/30/57/1072733057.db2.gz WRRSDQSLOKRRMA-XJKSGUPXSA-N 0 0 426.491 -0.015 20 0 IBADRN COc1cccc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000446517043 1072733130 /nfs/dbraw/zinc/73/31/30/1072733130.db2.gz CCLUQRQYQUHJRY-UHFFFAOYSA-N 0 0 426.495 -0.337 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1C ZINC000446517222 1072733281 /nfs/dbraw/zinc/73/32/81/1072733281.db2.gz DWOCVTCLQACVJP-UHFFFAOYSA-N 0 0 440.522 -0.028 20 0 IBADRN CN1CCC2(CCN(C(=O)CCC(=O)Nc3ccc4c(n3)n(C)c(=O)n4C)CC2)C1=O ZINC000446517425 1073311804 /nfs/dbraw/zinc/31/18/04/1073311804.db2.gz HFLUFJZVLDYFAR-UHFFFAOYSA-N 0 0 428.493 0.462 20 0 IBADRN O=C(Nc1ccc(OCC(F)(F)F)nc1)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446518955 1072733108 /nfs/dbraw/zinc/73/31/08/1072733108.db2.gz DSEHWMIHAYSMOI-LLVKDONJSA-N 0 0 425.385 -0.031 20 0 IBADRN O=C(Nc1ccc(OCC(F)(F)F)nc1)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446518956 1072733077 /nfs/dbraw/zinc/73/30/77/1072733077.db2.gz DSEHWMIHAYSMOI-NSHDSACASA-N 0 0 425.385 -0.031 20 0 IBADRN COc1cccc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000446518980 1072733891 /nfs/dbraw/zinc/73/38/91/1072733891.db2.gz DWLXFANLPNCURU-UHFFFAOYSA-N 0 0 439.538 -0.421 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446519126 1072736479 /nfs/dbraw/zinc/73/64/79/1072736479.db2.gz SWIIAAQQFOALDX-AWEZNQCLSA-N 0 0 449.485 -0.361 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446519136 1072736527 /nfs/dbraw/zinc/73/65/27/1072736527.db2.gz SWIIAAQQFOALDX-CQSZACIVSA-N 0 0 449.485 -0.361 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1Cl ZINC000446519941 1072733578 /nfs/dbraw/zinc/73/35/78/1072733578.db2.gz IHAKFYWMTJLNFQ-GFCCVEGCSA-N 0 0 448.881 -0.162 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1Cl ZINC000446519942 1072733881 /nfs/dbraw/zinc/73/38/81/1072733881.db2.gz IHAKFYWMTJLNFQ-LBPRGKRZSA-N 0 0 448.881 -0.162 20 0 IBADRN O=C(Nc1ccnc(OCC(F)(F)F)c1)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446520429 1072736352 /nfs/dbraw/zinc/73/63/52/1072736352.db2.gz YHGXYPKYGKREHV-LLVKDONJSA-N 0 0 425.385 -0.031 20 0 IBADRN O=C(Nc1ccnc(OCC(F)(F)F)c1)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446520431 1072736538 /nfs/dbraw/zinc/73/65/38/1072736538.db2.gz YHGXYPKYGKREHV-NSHDSACASA-N 0 0 425.385 -0.031 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H](c1cnn(C)c1)C(F)(F)F)C2 ZINC000446520577 1072736337 /nfs/dbraw/zinc/73/63/37/1072736337.db2.gz DWXGMRDBPWZSAV-CYBMUJFWSA-N 0 0 430.391 -0.305 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H](c1cnn(C)c1)C(F)(F)F)C2 ZINC000446520581 1072736521 /nfs/dbraw/zinc/73/65/21/1072736521.db2.gz DWXGMRDBPWZSAV-ZDUSSCGKSA-N 0 0 430.391 -0.305 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(Cl)cn3)CC2)CC1 ZINC000446520781 1072736544 /nfs/dbraw/zinc/73/65/44/1072736544.db2.gz KAPQJJFUZDBEIL-UHFFFAOYSA-N 0 0 430.918 -0.690 20 0 IBADRN Cc1cc(C)nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000446520911 1072736277 /nfs/dbraw/zinc/73/62/77/1072736277.db2.gz KQGJOVGAUPVZBU-UHFFFAOYSA-N 0 0 435.462 -0.138 20 0 IBADRN CC(C)n1nnnc1-c1ccccc1NC(=O)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446521430 1072736299 /nfs/dbraw/zinc/73/62/99/1072736299.db2.gz LYDSENJTCRXIHV-CYBMUJFWSA-N 0 0 436.494 -0.133 20 0 IBADRN CC(C)n1nnnc1-c1ccccc1NC(=O)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446521433 1072736994 /nfs/dbraw/zinc/73/69/94/1072736994.db2.gz LYDSENJTCRXIHV-ZDUSSCGKSA-N 0 0 436.494 -0.133 20 0 IBADRN O=C(Nc1ccn(-c2ccccc2Cl)n1)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446521999 1073332708 /nfs/dbraw/zinc/33/27/08/1073332708.db2.gz OLLZDCOWWCNFSJ-GFCCVEGCSA-N 0 0 426.882 0.472 20 0 IBADRN O=C(Nc1ccn(-c2ccccc2Cl)n1)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446522001 1073332647 /nfs/dbraw/zinc/33/26/47/1073332647.db2.gz OLLZDCOWWCNFSJ-LBPRGKRZSA-N 0 0 426.882 0.472 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC000446522614 1072736983 /nfs/dbraw/zinc/73/69/83/1072736983.db2.gz QREUDHPHOOKNEV-GASCZTMLSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC000446522615 1072736951 /nfs/dbraw/zinc/73/69/51/1072736951.db2.gz QREUDHPHOOKNEV-GJZGRUSLSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC000446522616 1072736961 /nfs/dbraw/zinc/73/69/61/1072736961.db2.gz QREUDHPHOOKNEV-HUUCEWRRSA-N 0 0 425.511 -0.173 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)cn3)CC2)C[C@H](C)O1 ZINC000446523136 1072734264 /nfs/dbraw/zinc/73/42/64/1072734264.db2.gz RSEARLACWHEOQZ-BETUJISGSA-N 0 0 429.474 -0.343 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)cn3)CC2)C[C@@H](C)O1 ZINC000446523137 1072734162 /nfs/dbraw/zinc/73/41/62/1072734162.db2.gz RSEARLACWHEOQZ-CHWSQXEVSA-N 0 0 429.474 -0.343 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)cn3)CC2)C[C@H](C)O1 ZINC000446523138 1072734171 /nfs/dbraw/zinc/73/41/71/1072734171.db2.gz RSEARLACWHEOQZ-STQMWFEESA-N 0 0 429.474 -0.343 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(Cl)cn3)CC2)o1 ZINC000446523190 1072734190 /nfs/dbraw/zinc/73/41/90/1072734190.db2.gz SKGXQIICHFMKOR-UHFFFAOYSA-N 0 0 441.853 -0.102 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)cn3)CC2)o1 ZINC000446523218 1072734343 /nfs/dbraw/zinc/73/43/43/1072734343.db2.gz SRVSDJUQVJREDR-UHFFFAOYSA-N 0 0 425.398 -0.616 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446523356 1072734200 /nfs/dbraw/zinc/73/42/00/1072734200.db2.gz NXWQSUKCMYEFAM-CHWSQXEVSA-N 0 0 441.510 -0.316 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446523362 1072734220 /nfs/dbraw/zinc/73/42/20/1072734220.db2.gz NXWQSUKCMYEFAM-OLZOCXBDSA-N 0 0 441.510 -0.316 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446523365 1072736989 /nfs/dbraw/zinc/73/69/89/1072736989.db2.gz NXWQSUKCMYEFAM-QWHCGFSZSA-N 0 0 441.510 -0.316 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446523368 1072736968 /nfs/dbraw/zinc/73/69/68/1072736968.db2.gz NXWQSUKCMYEFAM-STQMWFEESA-N 0 0 441.510 -0.316 20 0 IBADRN O=C(Nc1cccc(-c2nnnn2C2CC2)c1)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000446523600 1072736851 /nfs/dbraw/zinc/73/68/51/1072736851.db2.gz UNPXCEANPBNSBQ-HNNXBMFYSA-N 0 0 434.478 -0.379 20 0 IBADRN O=C(Nc1cccc(-c2nnnn2C2CC2)c1)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000446523603 1072736863 /nfs/dbraw/zinc/73/68/63/1072736863.db2.gz UNPXCEANPBNSBQ-OAHLLOKOSA-N 0 0 434.478 -0.379 20 0 IBADRN CCCN(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000446524060 1072734133 /nfs/dbraw/zinc/73/41/33/1072734133.db2.gz QLFSWYHRBULFKD-UHFFFAOYSA-N 0 0 442.538 -0.057 20 0 IBADRN CCCN(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000446524073 1072734254 /nfs/dbraw/zinc/73/42/54/1072734254.db2.gz QPXBZPXCAPIIDH-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446525030 1072734681 /nfs/dbraw/zinc/73/46/81/1072734681.db2.gz VVMZVOKERQUREV-CVEARBPZSA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446525032 1072734789 /nfs/dbraw/zinc/73/47/89/1072734789.db2.gz VVMZVOKERQUREV-HOTGVXAUSA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446525034 1072734864 /nfs/dbraw/zinc/73/48/64/1072734864.db2.gz VVMZVOKERQUREV-HZPDHXFCSA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446525037 1072734810 /nfs/dbraw/zinc/73/48/10/1072734810.db2.gz VVMZVOKERQUREV-JKSUJKDBSA-N 0 0 434.478 -0.205 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1C ZINC000446525113 1072734800 /nfs/dbraw/zinc/73/48/00/1072734800.db2.gz WGZPGLFKLFFHTD-KBPBESRZSA-N 0 0 427.479 -0.055 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)c1 ZINC000446525115 1072734317 /nfs/dbraw/zinc/73/43/17/1072734317.db2.gz XEEGLHBDQICBDC-AWEZNQCLSA-N 0 0 428.463 -0.425 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000446525116 1072734921 /nfs/dbraw/zinc/73/49/21/1072734921.db2.gz XEEGLHBDQICBDC-CQSZACIVSA-N 0 0 428.463 -0.425 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000446525123 1072734890 /nfs/dbraw/zinc/73/48/90/1072734890.db2.gz WGZPGLFKLFFHTD-KGLIPLIRSA-N 0 0 427.479 -0.055 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1C ZINC000446525125 1072734933 /nfs/dbraw/zinc/73/49/33/1072734933.db2.gz WGZPGLFKLFFHTD-UONOGXRCSA-N 0 0 427.479 -0.055 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000446525126 1072734910 /nfs/dbraw/zinc/73/49/10/1072734910.db2.gz WGZPGLFKLFFHTD-ZIAGYGMSSA-N 0 0 427.479 -0.055 20 0 IBADRN CCCN(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000446526562 1072734734 /nfs/dbraw/zinc/73/47/34/1072734734.db2.gz LXSNNFJVCNYSJL-UHFFFAOYSA-N 0 0 442.538 -0.199 20 0 IBADRN CCCN(CCO)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000446528488 1072735230 /nfs/dbraw/zinc/73/52/30/1072735230.db2.gz VSMAEFIZVQKQSI-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446530045 1073340656 /nfs/dbraw/zinc/34/06/56/1073340656.db2.gz GTLAZPVIBPLIJV-CHWSQXEVSA-N 0 0 442.494 -0.270 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446530046 1073340695 /nfs/dbraw/zinc/34/06/95/1073340695.db2.gz GTLAZPVIBPLIJV-OLZOCXBDSA-N 0 0 442.494 -0.270 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446530047 1073340827 /nfs/dbraw/zinc/34/08/27/1073340827.db2.gz GTLAZPVIBPLIJV-QWHCGFSZSA-N 0 0 442.494 -0.270 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446530048 1073340649 /nfs/dbraw/zinc/34/06/49/1073340649.db2.gz GTLAZPVIBPLIJV-STQMWFEESA-N 0 0 442.494 -0.270 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)cc21 ZINC000446531553 1072735357 /nfs/dbraw/zinc/73/53/57/1072735357.db2.gz MWKILDOAHILUAH-CHWSQXEVSA-N 0 0 449.489 -0.205 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)cc21 ZINC000446531554 1072735252 /nfs/dbraw/zinc/73/52/52/1072735252.db2.gz MWKILDOAHILUAH-OLZOCXBDSA-N 0 0 449.489 -0.205 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)cc21 ZINC000446531555 1072735385 /nfs/dbraw/zinc/73/53/85/1072735385.db2.gz MWKILDOAHILUAH-QWHCGFSZSA-N 0 0 449.489 -0.205 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)cc21 ZINC000446531556 1072735337 /nfs/dbraw/zinc/73/53/37/1072735337.db2.gz MWKILDOAHILUAH-STQMWFEESA-N 0 0 449.489 -0.205 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(C)CC(=O)Nc2ccc(Cl)c(Cl)c2)n1 ZINC000446532320 1073313363 /nfs/dbraw/zinc/31/33/63/1073313363.db2.gz PZBYSAHJLLAMSB-UHFFFAOYSA-N 0 0 442.263 0.367 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446532964 1072735223 /nfs/dbraw/zinc/73/52/23/1072735223.db2.gz VNWBEBRVUNNISN-CVEARBPZSA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446532965 1072735348 /nfs/dbraw/zinc/73/53/48/1072735348.db2.gz VNWBEBRVUNNISN-HOTGVXAUSA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000446532966 1072735702 /nfs/dbraw/zinc/73/57/02/1072735702.db2.gz VNWBEBRVUNNISN-HZPDHXFCSA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000446532967 1072735904 /nfs/dbraw/zinc/73/59/04/1072735904.db2.gz VNWBEBRVUNNISN-JKSUJKDBSA-N 0 0 434.478 -0.205 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)nn2)cc1 ZINC000446533723 1072735950 /nfs/dbraw/zinc/73/59/50/1072735950.db2.gz WJKCMHNPAQXLDS-UHFFFAOYSA-N 0 0 438.510 -0.274 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c2ccccc2O1 ZINC000446534697 1072735889 /nfs/dbraw/zinc/73/58/89/1072735889.db2.gz CEIKHGNPWAYSRB-BBRMVZONSA-N 0 0 439.490 -0.811 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c2ccccc2O1 ZINC000446534698 1072735663 /nfs/dbraw/zinc/73/56/63/1072735663.db2.gz CEIKHGNPWAYSRB-CJNGLKHVSA-N 0 0 439.490 -0.811 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c2ccccc2O1 ZINC000446534699 1072735957 /nfs/dbraw/zinc/73/59/57/1072735957.db2.gz CEIKHGNPWAYSRB-CZUORRHYSA-N 0 0 439.490 -0.811 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c2ccccc2O1 ZINC000446534700 1072735942 /nfs/dbraw/zinc/73/59/42/1072735942.db2.gz CEIKHGNPWAYSRB-XJKSGUPXSA-N 0 0 439.490 -0.811 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000446536163 1072735785 /nfs/dbraw/zinc/73/57/85/1072735785.db2.gz AUILXZLEPQOYHD-HNNXBMFYSA-N 0 0 441.506 -0.198 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000446536164 1072735714 /nfs/dbraw/zinc/73/57/14/1072735714.db2.gz AUILXZLEPQOYHD-OAHLLOKOSA-N 0 0 441.506 -0.198 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000446537593 1072735735 /nfs/dbraw/zinc/73/57/35/1072735735.db2.gz YEQZICCPMHGRDT-CYBMUJFWSA-N 0 0 447.535 -0.463 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000446537596 1072735917 /nfs/dbraw/zinc/73/59/17/1072735917.db2.gz YEQZICCPMHGRDT-ZDUSSCGKSA-N 0 0 447.535 -0.463 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1cccc(-n2nnnc2C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000446538390 1072736517 /nfs/dbraw/zinc/73/65/17/1072736517.db2.gz HOXJBGKJCLCSAM-INIZCTEOSA-N 0 0 436.494 -0.039 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1cccc(-n2nnnc2C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000446538392 1072736437 /nfs/dbraw/zinc/73/64/37/1072736437.db2.gz HOXJBGKJCLCSAM-MRXNPFEDSA-N 0 0 436.494 -0.039 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cccc(OCC(=O)OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000446538844 1072736361 /nfs/dbraw/zinc/73/63/61/1072736361.db2.gz IRQQFQHLQSEMFC-AWEZNQCLSA-N 0 0 428.463 -0.161 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cccc(OCC(=O)OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000446538845 1072736314 /nfs/dbraw/zinc/73/63/14/1072736314.db2.gz IRQQFQHLQSEMFC-CQSZACIVSA-N 0 0 428.463 -0.161 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O)[C@@H]1CCS(=O)(=O)C1 ZINC000446539388 1072737414 /nfs/dbraw/zinc/73/74/14/1072737414.db2.gz KHQQIRWEZVGJFX-CYBMUJFWSA-N 0 0 437.474 -0.097 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O)[C@H]1CCS(=O)(=O)C1 ZINC000446539389 1072737300 /nfs/dbraw/zinc/73/73/00/1072737300.db2.gz KHQQIRWEZVGJFX-ZDUSSCGKSA-N 0 0 437.474 -0.097 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000446542478 1072737344 /nfs/dbraw/zinc/73/73/44/1072737344.db2.gz XXLKEZHATQWZDO-GFCCVEGCSA-N 0 0 426.430 -0.598 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000446542479 1072737430 /nfs/dbraw/zinc/73/74/30/1072737430.db2.gz XXLKEZHATQWZDO-LBPRGKRZSA-N 0 0 426.430 -0.598 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)ccc1C ZINC000446543081 1072737445 /nfs/dbraw/zinc/73/74/45/1072737445.db2.gz YRNCYMGEQBPCNM-AWEZNQCLSA-N 0 0 447.535 -0.496 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)ccc1C ZINC000446543082 1072737469 /nfs/dbraw/zinc/73/74/69/1072737469.db2.gz YRNCYMGEQBPCNM-CQSZACIVSA-N 0 0 447.535 -0.496 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000446543468 1072737289 /nfs/dbraw/zinc/73/72/89/1072737289.db2.gz BJGSOJCKZBUEIC-CYBMUJFWSA-N 0 0 440.457 -0.208 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000446543469 1072737488 /nfs/dbraw/zinc/73/74/88/1072737488.db2.gz BJGSOJCKZBUEIC-ZDUSSCGKSA-N 0 0 440.457 -0.208 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)Nc1ccc(I)cn1 ZINC000446544495 1072737321 /nfs/dbraw/zinc/73/73/21/1072737321.db2.gz AXIQXGIYXQNZSD-UHFFFAOYSA-N 0 0 431.190 -0.317 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1ccc(C)c(-n2cnnn2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000446544768 1072737423 /nfs/dbraw/zinc/73/74/23/1072737423.db2.gz BMDHUATZVAJSQL-HNNXBMFYSA-N 0 0 436.494 -0.039 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1ccc(C)c(-n2cnnn2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000446544769 1072737370 /nfs/dbraw/zinc/73/73/70/1072737370.db2.gz BMDHUATZVAJSQL-OAHLLOKOSA-N 0 0 436.494 -0.039 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c(C(F)(F)F)n1 ZINC000446545358 1072737477 /nfs/dbraw/zinc/73/74/77/1072737477.db2.gz CSHICRCKZFEVFO-JTQLQIEISA-N 0 0 441.432 -0.018 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c(C(F)(F)F)n1 ZINC000446545360 1072737244 /nfs/dbraw/zinc/73/72/44/1072737244.db2.gz CSHICRCKZFEVFO-SNVBAGLBSA-N 0 0 441.432 -0.018 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(OCC(=O)OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000446546471 1072737905 /nfs/dbraw/zinc/73/79/05/1072737905.db2.gz QAUUKQVACJPRRT-AWEZNQCLSA-N 0 0 428.463 -0.161 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(OCC(=O)OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000446546472 1072737853 /nfs/dbraw/zinc/73/78/53/1072737853.db2.gz QAUUKQVACJPRRT-CQSZACIVSA-N 0 0 428.463 -0.161 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(Br)cn2)CC1 ZINC000446546475 1072737969 /nfs/dbraw/zinc/73/79/69/1072737969.db2.gz QBMSPMDCXWQLRP-UHFFFAOYSA-N 0 0 426.271 -0.020 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(I)cn2)CCO1 ZINC000446546666 1072737438 /nfs/dbraw/zinc/73/74/38/1072737438.db2.gz ISSDQQVLTSXVDR-LLVKDONJSA-N 0 0 432.218 -0.012 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(I)cn2)CCO1 ZINC000446546667 1072737359 /nfs/dbraw/zinc/73/73/59/1072737359.db2.gz ISSDQQVLTSXVDR-NSHDSACASA-N 0 0 432.218 -0.012 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cc1S(N)(=O)=O ZINC000446547273 1072737931 /nfs/dbraw/zinc/73/79/31/1072737931.db2.gz KTGLIXJKWRUWPU-AWEZNQCLSA-N 0 0 447.535 -0.503 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cc1S(N)(=O)=O ZINC000446547274 1072737989 /nfs/dbraw/zinc/73/79/89/1072737989.db2.gz KTGLIXJKWRUWPU-CQSZACIVSA-N 0 0 447.535 -0.503 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000446547702 1072737844 /nfs/dbraw/zinc/73/78/44/1072737844.db2.gz VIFBXNMNKMMDGK-SECBINFHSA-N 0 0 438.247 -0.225 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000446547703 1072737886 /nfs/dbraw/zinc/73/78/86/1072737886.db2.gz VIFBXNMNKMMDGK-VIFPVBQESA-N 0 0 438.247 -0.225 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(I)cn1 ZINC000446551672 1072737812 /nfs/dbraw/zinc/73/78/12/1072737812.db2.gz PWBTUOHURHKNQY-UHFFFAOYSA-N 0 0 438.247 -0.224 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000446552054 1072738478 /nfs/dbraw/zinc/73/84/78/1072738478.db2.gz VCDGRDMMCTWDBQ-HNNXBMFYSA-N 0 0 437.478 -0.828 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000446552055 1072738472 /nfs/dbraw/zinc/73/84/72/1072738472.db2.gz VCDGRDMMCTWDBQ-OAHLLOKOSA-N 0 0 437.478 -0.828 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1C ZINC000446552618 1072737893 /nfs/dbraw/zinc/73/78/93/1072737893.db2.gz ATLVCYAKWKHERZ-CYBMUJFWSA-N 0 0 447.535 -0.496 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1C ZINC000446552619 1072737911 /nfs/dbraw/zinc/73/79/11/1072737911.db2.gz ATLVCYAKWKHERZ-ZDUSSCGKSA-N 0 0 447.535 -0.496 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1c(OC)ncnc1N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000446553746 1072738360 /nfs/dbraw/zinc/73/83/60/1072738360.db2.gz DYCOZBSGCGJBTA-GFCCVEGCSA-N 0 0 429.499 -0.458 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1c(OC)ncnc1N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000446553747 1072738367 /nfs/dbraw/zinc/73/83/67/1072738367.db2.gz DYCOZBSGCGJBTA-LBPRGKRZSA-N 0 0 429.499 -0.458 20 0 IBADRN COCCN(C(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000446553794 1073332811 /nfs/dbraw/zinc/33/28/11/1073332811.db2.gz FJOGOQQQLLWJKL-CYBMUJFWSA-N 0 0 439.469 0.315 20 0 IBADRN COCCN(C(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C)[C@H]1CCS(=O)(=O)C1 ZINC000446553795 1072738451 /nfs/dbraw/zinc/73/84/51/1072738451.db2.gz FJOGOQQQLLWJKL-ZDUSSCGKSA-N 0 0 439.469 0.315 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000446557102 1072736896 /nfs/dbraw/zinc/73/68/96/1072736896.db2.gz DSIIENUKOWCHIR-HNNXBMFYSA-N 0 0 441.506 -0.198 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000446557103 1072736907 /nfs/dbraw/zinc/73/69/07/1072736907.db2.gz DSIIENUKOWCHIR-OAHLLOKOSA-N 0 0 441.506 -0.198 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000446557890 1072736964 /nfs/dbraw/zinc/73/69/64/1072736964.db2.gz HNKGGMSECZNHGO-CYBMUJFWSA-N 0 0 447.535 -0.496 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000446557891 1072736886 /nfs/dbraw/zinc/73/68/86/1072736886.db2.gz HNKGGMSECZNHGO-ZDUSSCGKSA-N 0 0 447.535 -0.496 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1ccc(I)cn1 ZINC000446559004 1072736930 /nfs/dbraw/zinc/73/69/30/1072736930.db2.gz VJMCOQXSHCDJCX-UHFFFAOYSA-N 0 0 440.201 -0.039 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000446559418 1072737391 /nfs/dbraw/zinc/73/73/91/1072737391.db2.gz WLPNBTVDPQAYSQ-HNNXBMFYSA-N 0 0 436.494 -0.039 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000446559419 1072737380 /nfs/dbraw/zinc/73/73/80/1072737380.db2.gz WLPNBTVDPQAYSQ-OAHLLOKOSA-N 0 0 436.494 -0.039 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000446560163 1072739062 /nfs/dbraw/zinc/73/90/62/1072739062.db2.gz YDMSHEKRDXYNKQ-AWEZNQCLSA-N 0 0 444.510 -0.218 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000446560164 1072738943 /nfs/dbraw/zinc/73/89/43/1072738943.db2.gz YDMSHEKRDXYNKQ-CQSZACIVSA-N 0 0 444.510 -0.218 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000446561843 1072739072 /nfs/dbraw/zinc/73/90/72/1072739072.db2.gz ZLCZCRJPLPENSZ-CYBMUJFWSA-N 0 0 440.457 -0.290 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000446561844 1072739008 /nfs/dbraw/zinc/73/90/08/1072739008.db2.gz ZLCZCRJPLPENSZ-ZDUSSCGKSA-N 0 0 440.457 -0.290 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000446565992 1072738434 /nfs/dbraw/zinc/73/84/34/1072738434.db2.gz PDWXXHOYRFKCDG-AWEZNQCLSA-N 0 0 447.535 -0.463 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000446565993 1072738447 /nfs/dbraw/zinc/73/84/47/1072738447.db2.gz PDWXXHOYRFKCDG-CQSZACIVSA-N 0 0 447.535 -0.463 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1ccc2c(c1)n(C)c(=O)n2C)[C@H]1CCS(=O)(=O)C1 ZINC000446566364 1072738349 /nfs/dbraw/zinc/73/83/49/1072738349.db2.gz RAJTVNIMVVQQKM-AWEZNQCLSA-N 0 0 438.506 -0.132 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1ccc2c(c1)n(C)c(=O)n2C)[C@@H]1CCS(=O)(=O)C1 ZINC000446566365 1072738378 /nfs/dbraw/zinc/73/83/78/1072738378.db2.gz RAJTVNIMVVQQKM-CQSZACIVSA-N 0 0 438.506 -0.132 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1c[nH]nc1-c1nccs1 ZINC000446566371 1072738467 /nfs/dbraw/zinc/73/84/67/1072738467.db2.gz RBXMJVNAACVJPY-UHFFFAOYSA-N 0 0 430.537 -0.034 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c(C(F)(F)F)n1 ZINC000446567190 1072738483 /nfs/dbraw/zinc/73/84/83/1072738483.db2.gz UDLLYWZCGNOZOV-UHFFFAOYSA-N 0 0 429.446 -0.128 20 0 IBADRN Cc1cc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1S(N)(=O)=O ZINC000446570284 1072738421 /nfs/dbraw/zinc/73/84/21/1072738421.db2.gz YKBSHQKHVUAERG-UHFFFAOYSA-N 0 0 434.478 -0.904 20 0 IBADRN Cc1cc(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)ccc1S(N)(=O)=O ZINC000446571184 1072738821 /nfs/dbraw/zinc/73/88/21/1072738821.db2.gz HPOOZSMCQAVQPS-UHFFFAOYSA-N 0 0 431.474 -0.174 20 0 IBADRN Cc1cc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)ccc1S(N)(=O)=O ZINC000446582919 1072739437 /nfs/dbraw/zinc/73/94/37/1072739437.db2.gz PFRMXAFXEAHMQY-UHFFFAOYSA-N 0 0 442.519 -0.079 20 0 IBADRN O=C(NCC1(NC(=O)c2cnc3c(c2)NC(=O)CO3)CC1)c1cnc2c(c1)NC(=O)CO2 ZINC000446592989 1072739410 /nfs/dbraw/zinc/73/94/10/1072739410.db2.gz ZESAKHCNBIZUKU-UHFFFAOYSA-N 0 0 438.400 -0.169 20 0 IBADRN O=C(NCC1(NC(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)CC1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000446593389 1073018337 /nfs/dbraw/zinc/01/83/37/1073018337.db2.gz ABTPIDUVXLKDOW-CHWSQXEVSA-N 0 0 440.464 -0.696 20 0 IBADRN O=C(NCC1(NC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)CC1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000446593391 1073018316 /nfs/dbraw/zinc/01/83/16/1073018316.db2.gz ABTPIDUVXLKDOW-OLZOCXBDSA-N 0 0 440.464 -0.696 20 0 IBADRN O=C(NC1(CNC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)CC1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000446593393 1073018210 /nfs/dbraw/zinc/01/82/10/1073018210.db2.gz ABTPIDUVXLKDOW-QWHCGFSZSA-N 0 0 440.464 -0.696 20 0 IBADRN O=C(NCC1(NC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)CC1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000446593395 1073018257 /nfs/dbraw/zinc/01/82/57/1073018257.db2.gz ABTPIDUVXLKDOW-STQMWFEESA-N 0 0 440.464 -0.696 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cn(C)nc2C(F)(F)F)CC1 ZINC000446593422 1072738892 /nfs/dbraw/zinc/73/88/92/1072738892.db2.gz AIPTXHZNGLSXSK-UHFFFAOYSA-N 0 0 441.432 -0.112 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2nccs2)CC1 ZINC000446597621 1072739020 /nfs/dbraw/zinc/73/90/20/1072739020.db2.gz XXBKQYPPJZDWCU-UHFFFAOYSA-N 0 0 442.523 -0.018 20 0 IBADRN O=C(NCC1(NC(=O)[C@H]2COCCN2CC(F)F)CC1)[C@H]1COCCN1CC(F)F ZINC000446598301 1072739048 /nfs/dbraw/zinc/73/90/48/1072739048.db2.gz DVOKUWPJUBZVJG-CHWSQXEVSA-N 0 0 440.438 -0.317 20 0 IBADRN O=C(NCC1(NC(=O)[C@@H]2COCCN2CC(F)F)CC1)[C@H]1COCCN1CC(F)F ZINC000446598302 1072739040 /nfs/dbraw/zinc/73/90/40/1072739040.db2.gz DVOKUWPJUBZVJG-OLZOCXBDSA-N 0 0 440.438 -0.317 20 0 IBADRN O=C(NC1(CNC(=O)[C@@H]2COCCN2CC(F)F)CC1)[C@H]1COCCN1CC(F)F ZINC000446598303 1072738902 /nfs/dbraw/zinc/73/89/02/1072738902.db2.gz DVOKUWPJUBZVJG-QWHCGFSZSA-N 0 0 440.438 -0.317 20 0 IBADRN O=C(NCC1(NC(=O)[C@@H]2COCCN2CC(F)F)CC1)[C@@H]1COCCN1CC(F)F ZINC000446598304 1072739586 /nfs/dbraw/zinc/73/95/86/1072739586.db2.gz DVOKUWPJUBZVJG-STQMWFEESA-N 0 0 440.438 -0.317 20 0 IBADRN CN(Cc1nccn1C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000446598958 1072739032 /nfs/dbraw/zinc/73/90/32/1072739032.db2.gz IEBDZCKAYRICBR-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(I)cn2)CC1=O ZINC000446600249 1072739425 /nfs/dbraw/zinc/73/94/25/1072739425.db2.gz JSWILVXQPLIFOF-UHFFFAOYSA-N 0 0 432.218 -0.058 20 0 IBADRN Cc1oc(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cc1S(N)(=O)=O ZINC000446609262 1072739492 /nfs/dbraw/zinc/73/94/92/1072739492.db2.gz HPELFOGQRIVSKO-UHFFFAOYSA-N 0 0 428.492 -0.155 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000446612627 1072739531 /nfs/dbraw/zinc/73/95/31/1072739531.db2.gz UJYVJGUZCAHSNO-UHFFFAOYSA-N 0 0 434.478 -0.904 20 0 IBADRN Cc1oc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1S(N)(=O)=O ZINC000446615047 1072739615 /nfs/dbraw/zinc/73/96/15/1072739615.db2.gz ZMLBQFDUNSWOGL-UHFFFAOYSA-N 0 0 442.475 -0.392 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000446615163 1072739634 /nfs/dbraw/zinc/73/96/34/1072739634.db2.gz LBHNLLDCLOZQBA-UHFFFAOYSA-N 0 0 442.519 -0.079 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000446615934 1072739510 /nfs/dbraw/zinc/73/95/10/1072739510.db2.gz SNEPQCDLSXFCNW-UHFFFAOYSA-N 0 0 431.474 -0.174 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(Br)c(C)n1 ZINC000446652652 1072740724 /nfs/dbraw/zinc/74/07/24/1072740724.db2.gz JVQHWDULXCURCR-LLVKDONJSA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(Br)c(C)n1 ZINC000446652653 1072740625 /nfs/dbraw/zinc/74/06/25/1072740625.db2.gz JVQHWDULXCURCR-NSHDSACASA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C)c(Br)cn1 ZINC000446653287 1072740620 /nfs/dbraw/zinc/74/06/20/1072740620.db2.gz NSSJKBBUIGDHKZ-LLVKDONJSA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C)c(Br)cn1 ZINC000446653288 1072740570 /nfs/dbraw/zinc/74/05/70/1072740570.db2.gz NSSJKBBUIGDHKZ-NSHDSACASA-N 0 0 426.271 -0.056 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ncc1Br ZINC000446664568 1072740609 /nfs/dbraw/zinc/74/06/09/1072740609.db2.gz BUYBDHJMYASBON-UHFFFAOYSA-N 0 0 434.316 -0.476 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)c(Br)cn2)CC1 ZINC000446665946 1072740676 /nfs/dbraw/zinc/74/06/76/1072740676.db2.gz FXXLXWJHKOIBCV-UHFFFAOYSA-N 0 0 442.314 -0.002 20 0 IBADRN O=C(NCC[N@@H+]1CCCOCC1)NC1CCN(S(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000446666088 1072740686 /nfs/dbraw/zinc/74/06/86/1072740686.db2.gz JDDDUSDDKLHTCL-UHFFFAOYSA-N 0 0 431.481 -0.131 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Br)cn2)CC1 ZINC000446666367 1072741219 /nfs/dbraw/zinc/74/12/19/1072741219.db2.gz IEYHIGFFAFIFPR-UHFFFAOYSA-N 0 0 428.287 -0.311 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000446666451 1072741134 /nfs/dbraw/zinc/74/11/34/1072741134.db2.gz KSGPYDQXOINELG-AWEZNQCLSA-N 0 0 428.493 -0.599 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000446666452 1072741241 /nfs/dbraw/zinc/74/12/41/1072741241.db2.gz KSGPYDQXOINELG-CQSZACIVSA-N 0 0 428.493 -0.599 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Br)c(C)n2)CC1 ZINC000446666949 1072741084 /nfs/dbraw/zinc/74/10/84/1072741084.db2.gz LFNRLFNTSWKQGD-UHFFFAOYSA-N 0 0 442.314 -0.002 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000446667461 1072741143 /nfs/dbraw/zinc/74/11/43/1072741143.db2.gz QHXFJSWJNITXPR-INIZCTEOSA-N 0 0 430.513 -0.287 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000446667462 1072741349 /nfs/dbraw/zinc/74/13/49/1072741349.db2.gz QHXFJSWJNITXPR-MRXNPFEDSA-N 0 0 430.513 -0.287 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)CC1 ZINC000446667524 1072741200 /nfs/dbraw/zinc/74/12/00/1072741200.db2.gz ODEBJKJRPNKOOM-CYBMUJFWSA-N 0 0 431.497 -0.550 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)CC1 ZINC000446667525 1072741338 /nfs/dbraw/zinc/74/13/38/1072741338.db2.gz ODEBJKJRPNKOOM-ZDUSSCGKSA-N 0 0 431.497 -0.550 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Br ZINC000446668825 1072741257 /nfs/dbraw/zinc/74/12/57/1072741257.db2.gz YTRQUJMLSLMYNY-UHFFFAOYSA-N 0 0 434.316 -0.476 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000446671366 1072741815 /nfs/dbraw/zinc/74/18/15/1072741815.db2.gz ILFPNPYNNDABEK-HNNXBMFYSA-N 0 0 430.509 -0.806 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000446671369 1072741955 /nfs/dbraw/zinc/74/19/55/1072741955.db2.gz ILFPNPYNNDABEK-OAHLLOKOSA-N 0 0 430.509 -0.806 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000446671966 1072742016 /nfs/dbraw/zinc/74/20/16/1072742016.db2.gz SMXZLPWUGOMHAP-PMACEKPBSA-N 0 0 449.596 -0.145 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000446671967 1072741858 /nfs/dbraw/zinc/74/18/58/1072741858.db2.gz SMXZLPWUGOMHAP-UXHICEINSA-N 0 0 449.596 -0.145 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000446671968 1072741901 /nfs/dbraw/zinc/74/19/01/1072741901.db2.gz SMXZLPWUGOMHAP-VQTJNVASSA-N 0 0 449.596 -0.145 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000446671969 1072742001 /nfs/dbraw/zinc/74/20/01/1072742001.db2.gz SMXZLPWUGOMHAP-WOJBJXKFSA-N 0 0 449.596 -0.145 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000446672249 1072742604 /nfs/dbraw/zinc/74/26/04/1072742604.db2.gz NMGHYLBKTVHUDU-HNNXBMFYSA-N 0 0 436.534 -0.286 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000446672250 1072742627 /nfs/dbraw/zinc/74/26/27/1072742627.db2.gz NMGHYLBKTVHUDU-OAHLLOKOSA-N 0 0 436.534 -0.286 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000446673741 1072741163 /nfs/dbraw/zinc/74/11/63/1072741163.db2.gz ZZQFXTXKKSSGCJ-HNNXBMFYSA-N 0 0 442.520 -0.291 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000446673742 1072741303 /nfs/dbraw/zinc/74/13/03/1072741303.db2.gz ZZQFXTXKKSSGCJ-OAHLLOKOSA-N 0 0 442.520 -0.291 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cc(Br)c(=O)n(C)c1 ZINC000446675035 1072741182 /nfs/dbraw/zinc/74/11/82/1072741182.db2.gz FHJXPCBBAKCRSK-UHFFFAOYSA-N 0 0 437.316 -0.080 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000446675250 1072741124 /nfs/dbraw/zinc/74/11/24/1072741124.db2.gz FQSQQFLMECXHOF-AWEZNQCLSA-N 0 0 428.493 -0.599 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000446675251 1072741997 /nfs/dbraw/zinc/74/19/97/1072741997.db2.gz FQSQQFLMECXHOF-CQSZACIVSA-N 0 0 428.493 -0.599 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(I)cn1)CN1CCOCC1 ZINC000446675795 1072741095 /nfs/dbraw/zinc/74/10/95/1072741095.db2.gz JMRXEKMIACMMFP-HNNXBMFYSA-N 0 0 448.261 -0.176 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(I)cn1)CN1CCOCC1 ZINC000446675796 1072741316 /nfs/dbraw/zinc/74/13/16/1072741316.db2.gz JMRXEKMIACMMFP-OAHLLOKOSA-N 0 0 448.261 -0.176 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1Br ZINC000446676599 1072741749 /nfs/dbraw/zinc/74/17/49/1072741749.db2.gz LSVSIRQVLUCDMN-UHFFFAOYSA-N 0 0 437.258 -0.551 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ncc1Br ZINC000446677218 1072741932 /nfs/dbraw/zinc/74/19/32/1072741932.db2.gz OLQWASWXBPCCCK-UHFFFAOYSA-N 0 0 437.258 -0.551 20 0 IBADRN Cn1cc(NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc(Br)c1=O ZINC000446678568 1072741776 /nfs/dbraw/zinc/74/17/76/1072741776.db2.gz UERUCDJPHDSXJV-UHFFFAOYSA-N 0 0 433.240 -0.243 20 0 IBADRN Cn1cc(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc(Br)c1=O ZINC000446679054 1072741963 /nfs/dbraw/zinc/74/19/63/1072741963.db2.gz WUMAFURGFCABBA-UHFFFAOYSA-N 0 0 432.256 -0.508 20 0 IBADRN COCC[N@@H+]1CC[C@@H](NC(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)C1 ZINC000446680535 1072741979 /nfs/dbraw/zinc/74/19/79/1072741979.db2.gz CLKIOVZDVXQJJN-CYBMUJFWSA-N 0 0 431.481 -0.133 20 0 IBADRN COCC[N@@H+]1CC[C@H](NC(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)C1 ZINC000446680536 1072741827 /nfs/dbraw/zinc/74/18/27/1072741827.db2.gz CLKIOVZDVXQJJN-ZDUSSCGKSA-N 0 0 431.481 -0.133 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2nccs2)CC1 ZINC000446680575 1072741990 /nfs/dbraw/zinc/74/19/90/1072741990.db2.gz FSEXUADFCMSZOY-CYBMUJFWSA-N 0 0 447.521 -0.137 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2nccs2)CC1 ZINC000446680576 1072741916 /nfs/dbraw/zinc/74/19/16/1072741916.db2.gz FSEXUADFCMSZOY-ZDUSSCGKSA-N 0 0 447.521 -0.137 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cn(C)nc2C(F)(F)F)CC1 ZINC000446684904 1072741733 /nfs/dbraw/zinc/74/17/33/1072741733.db2.gz ZYWGPRKZTPUXIZ-GFCCVEGCSA-N 0 0 446.430 -0.231 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cn(C)nc2C(F)(F)F)CC1 ZINC000446684905 1072741946 /nfs/dbraw/zinc/74/19/46/1072741946.db2.gz ZYWGPRKZTPUXIZ-LBPRGKRZSA-N 0 0 446.430 -0.231 20 0 IBADRN O=C(N[C@H](CO)Cc1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000446688531 1072741764 /nfs/dbraw/zinc/74/17/64/1072741764.db2.gz PLVSKAKKVBFOKX-SFHVURJKSA-N 0 0 426.539 -0.069 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000446697521 1072743087 /nfs/dbraw/zinc/74/30/87/1072743087.db2.gz RADNHZCZWSFMTM-UHFFFAOYSA-N 0 0 432.218 -0.060 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(I)cn1 ZINC000446698571 1072743147 /nfs/dbraw/zinc/74/31/47/1072743147.db2.gz VLLUEELKMGRWHZ-UHFFFAOYSA-N 0 0 428.190 -0.106 20 0 IBADRN COC(=O)[C@](C)(CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000446700238 1072743115 /nfs/dbraw/zinc/74/31/15/1072743115.db2.gz GBKWFKGWPAJCHU-GOSISDBHSA-N 0 0 440.453 -0.222 20 0 IBADRN COC(=O)[C@@](C)(CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000446700239 1072743161 /nfs/dbraw/zinc/74/31/61/1072743161.db2.gz GBKWFKGWPAJCHU-SFHVURJKSA-N 0 0 440.453 -0.222 20 0 IBADRN O=C(NC[C@H](O)C[NH+]1CCCCC1)NC1CCN(S(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000446707900 1072742516 /nfs/dbraw/zinc/74/25/16/1072742516.db2.gz XBQKYDRMJFWGNA-AWEZNQCLSA-N 0 0 445.508 -0.007 20 0 IBADRN O=C(NC[C@@H](O)C[NH+]1CCCCC1)NC1CCN(S(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000446707901 1072742597 /nfs/dbraw/zinc/74/25/97/1072742597.db2.gz XBQKYDRMJFWGNA-CQSZACIVSA-N 0 0 445.508 -0.007 20 0 IBADRN C[C@@H](O)C[N@@H+]1CCN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)C[C@H]1C ZINC000446708173 1072742695 /nfs/dbraw/zinc/74/26/95/1072742695.db2.gz ZXJDZQVXTFKBNM-CHWSQXEVSA-N 0 0 445.508 -0.056 20 0 IBADRN C[C@H](O)C[N@@H+]1CCN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)C[C@H]1C ZINC000446708174 1072742527 /nfs/dbraw/zinc/74/25/27/1072742527.db2.gz ZXJDZQVXTFKBNM-OLZOCXBDSA-N 0 0 445.508 -0.056 20 0 IBADRN C[C@@H](O)C[N@@H+]1CCN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)C[C@@H]1C ZINC000446708175 1072742614 /nfs/dbraw/zinc/74/26/14/1072742614.db2.gz ZXJDZQVXTFKBNM-QWHCGFSZSA-N 0 0 445.508 -0.056 20 0 IBADRN C[C@H](O)C[N@@H+]1CCN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)C[C@@H]1C ZINC000446708176 1072742447 /nfs/dbraw/zinc/74/24/47/1072742447.db2.gz ZXJDZQVXTFKBNM-STQMWFEESA-N 0 0 445.508 -0.056 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)OC(C)(C)C)n1 ZINC000446708849 1073313961 /nfs/dbraw/zinc/31/39/61/1073313961.db2.gz UJTCXPBTXVVKJN-AWEZNQCLSA-N 0 0 430.465 0.032 20 0 IBADRN Cc1cc(CNC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)ccc1S(N)(=O)=O ZINC000446710031 1072742508 /nfs/dbraw/zinc/74/25/08/1072742508.db2.gz CHBZCJVPXFRESL-UHFFFAOYSA-N 0 0 425.488 -0.169 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)cn1 ZINC000446712377 1072742654 /nfs/dbraw/zinc/74/26/54/1072742654.db2.gz QXYBOBHGDSZTFA-AWEZNQCLSA-N 0 0 433.513 -0.841 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)cn1 ZINC000446712378 1072742423 /nfs/dbraw/zinc/74/24/23/1072742423.db2.gz QXYBOBHGDSZTFA-CQSZACIVSA-N 0 0 433.513 -0.841 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)NCc1ccccc1 ZINC000446712827 1072742393 /nfs/dbraw/zinc/74/23/93/1072742393.db2.gz USOHHFZYNKYAGX-UHFFFAOYSA-N 0 0 428.493 -0.024 20 0 IBADRN O=C(NCCCNC(=O)c1cccs1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446713787 1072742560 /nfs/dbraw/zinc/74/25/60/1072742560.db2.gz ANTFOPNDEYBSJC-UHFFFAOYSA-N 0 0 434.522 0.152 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)NCc1ccccc1 ZINC000446713840 1072742672 /nfs/dbraw/zinc/74/26/72/1072742672.db2.gz BBUIVFOSEQXKOK-UHFFFAOYSA-N 0 0 428.493 -0.024 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1 ZINC000446714450 1072743613 /nfs/dbraw/zinc/74/36/13/1072743613.db2.gz JAVKZEKPCOKEOT-UHFFFAOYSA-N 0 0 439.450 0.554 20 0 IBADRN Cc1cc(CNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)ccc1S(N)(=O)=O ZINC000446714524 1072742661 /nfs/dbraw/zinc/74/26/61/1072742661.db2.gz JWHTZYFRZFIKPB-UHFFFAOYSA-N 0 0 448.486 -0.016 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C)C(=O)C2(C)C)CC1 ZINC000446715698 1072743628 /nfs/dbraw/zinc/74/36/28/1072743628.db2.gz DXXHUZYOFAEDDK-UHFFFAOYSA-N 0 0 427.527 -0.323 20 0 IBADRN O=C(CC1CCCCC1)NCCNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446715981 1073319148 /nfs/dbraw/zinc/31/91/48/1073319148.db2.gz WQXMONNFOBGPNY-UHFFFAOYSA-N 0 0 434.541 0.357 20 0 IBADRN CCCCNC(=O)C1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000446717330 1072743805 /nfs/dbraw/zinc/74/38/05/1072743805.db2.gz QYXGMEOTYGLBKS-UHFFFAOYSA-N 0 0 434.541 0.309 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)cs1 ZINC000446717401 1073349647 /nfs/dbraw/zinc/34/96/47/1073349647.db2.gz BLLQIKIFKADPQK-UHFFFAOYSA-N 0 0 447.565 0.263 20 0 IBADRN COc1cccc(N2CC[C@H](NC(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)C2)c1 ZINC000446717554 1072743575 /nfs/dbraw/zinc/74/35/75/1072743575.db2.gz SYOZNMSYFCNNOE-KRWDZBQOSA-N 0 0 442.520 0.558 20 0 IBADRN COc1cccc(N2CC[C@@H](NC(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)C2)c1 ZINC000446717555 1072743592 /nfs/dbraw/zinc/74/35/92/1072743592.db2.gz SYOZNMSYFCNNOE-QGZVFWFLSA-N 0 0 442.520 0.558 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2nn(-c3ncccc3F)cc2C)CC1 ZINC000446718022 1073306771 /nfs/dbraw/zinc/30/67/71/1073306771.db2.gz WVLWBFZKFCHNQE-UHFFFAOYSA-N 0 0 445.455 -0.150 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000446719496 1072743643 /nfs/dbraw/zinc/74/36/43/1072743643.db2.gz OPHWZXGUCPMVLH-UHFFFAOYSA-N 0 0 428.493 0.471 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C3CCCCC3)CC2)cn1 ZINC000446720126 1072743544 /nfs/dbraw/zinc/74/35/44/1072743544.db2.gz SWNOVTTZZBJSEP-UHFFFAOYSA-N 0 0 447.540 -0.497 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)n1 ZINC000446720240 1073352753 /nfs/dbraw/zinc/35/27/53/1073352753.db2.gz MGEPZXIOHYJXDD-UHFFFAOYSA-N 0 0 448.553 0.053 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000446723423 1073346090 /nfs/dbraw/zinc/34/60/90/1073346090.db2.gz RKIGWCNUEQEPJL-UHFFFAOYSA-N 0 0 438.485 0.536 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000446723595 1072743710 /nfs/dbraw/zinc/74/37/10/1072743710.db2.gz UCKONNLFIFIUEO-KRWDZBQOSA-N 0 0 448.524 -0.749 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000446723596 1072743556 /nfs/dbraw/zinc/74/35/56/1072743556.db2.gz UCKONNLFIFIUEO-QGZVFWFLSA-N 0 0 448.524 -0.749 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC)c3)CC2)s1 ZINC000446723911 1072743527 /nfs/dbraw/zinc/74/35/27/1072743527.db2.gz AARQUPOQXRSUOF-UHFFFAOYSA-N 0 0 449.493 -0.450 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)nn2Cc2ccccn2)CC1 ZINC000446724463 1072743820 /nfs/dbraw/zinc/74/38/20/1072743820.db2.gz DJMQJAIFYODNTC-UHFFFAOYSA-N 0 0 443.508 -0.520 20 0 IBADRN Cc1cc(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)ccc1S(N)(=O)=O ZINC000446724556 1072743784 /nfs/dbraw/zinc/74/37/84/1072743784.db2.gz BCJNCPLBKXUDJD-UHFFFAOYSA-N 0 0 431.268 -0.016 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOC1 ZINC000446725144 1072743504 /nfs/dbraw/zinc/74/35/04/1072743504.db2.gz FNIAQSVZTVXWCH-KPZWWZAWSA-N 0 0 428.463 -0.037 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOC1 ZINC000446725145 1072743726 /nfs/dbraw/zinc/74/37/26/1072743726.db2.gz FNIAQSVZTVXWCH-SGTLLEGYSA-N 0 0 428.463 -0.037 20 0 IBADRN COc1ccc([C@@H](CCO)NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000446726063 1073347332 /nfs/dbraw/zinc/34/73/32/1073347332.db2.gz NBNORLWBDZUMJG-GOSISDBHSA-N 0 0 431.493 0.402 20 0 IBADRN COc1ccc([C@H](CCO)NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000446726133 1072744148 /nfs/dbraw/zinc/74/41/48/1072744148.db2.gz NBNORLWBDZUMJG-SFHVURJKSA-N 0 0 431.493 0.402 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccn2)CC1 ZINC000446726613 1072744348 /nfs/dbraw/zinc/74/43/48/1072744348.db2.gz PJBQSODWKMTFAK-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000446726704 1072744202 /nfs/dbraw/zinc/74/42/02/1072744202.db2.gz QIFLMCLGQFOMJS-INIZCTEOSA-N 0 0 436.513 -0.750 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000446726705 1072744363 /nfs/dbraw/zinc/74/43/63/1072744363.db2.gz QIFLMCLGQFOMJS-MRXNPFEDSA-N 0 0 436.513 -0.750 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-c3nnc(C)n3C)c2)CC1 ZINC000446726837 1072744175 /nfs/dbraw/zinc/74/41/75/1072744175.db2.gz RJSDCWLHPPGELK-UHFFFAOYSA-N 0 0 443.508 -0.364 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)oc1C ZINC000446726983 1073349604 /nfs/dbraw/zinc/34/96/04/1073349604.db2.gz SQNKKABKADTYQR-UHFFFAOYSA-N 0 0 445.524 0.103 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)c1 ZINC000446727758 1072744354 /nfs/dbraw/zinc/74/43/54/1072744354.db2.gz XIEXQVRVHARKNM-UHFFFAOYSA-N 0 0 430.508 -0.175 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2CCc2ccccc2)CC1 ZINC000446727790 1072744338 /nfs/dbraw/zinc/74/43/38/1072744338.db2.gz XSSDFSIQOMGYLJ-UHFFFAOYSA-N 0 0 442.520 -0.029 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(Cc3ccncc3)c2)CC1 ZINC000446727810 1072744244 /nfs/dbraw/zinc/74/42/44/1072744244.db2.gz YBAFENMIDNNPAA-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)nn2C2CCOCC2)CC1 ZINC000446727974 1072744331 /nfs/dbraw/zinc/74/43/31/1072744331.db2.gz YUCAECDVDDYZDY-UHFFFAOYSA-N 0 0 436.513 -0.612 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)n1 ZINC000446728280 1073351740 /nfs/dbraw/zinc/35/17/40/1073351740.db2.gz INBQUCUBEVROAU-UHFFFAOYSA-N 0 0 448.553 -0.084 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCc1ccc(S(N)(=O)=O)c(C)c1 ZINC000446729021 1072744374 /nfs/dbraw/zinc/74/43/74/1072744374.db2.gz RGJSIUBNBOOZGN-GFCCVEGCSA-N 0 0 443.551 -0.024 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCc1ccc(S(N)(=O)=O)c(C)c1 ZINC000446729022 1072744292 /nfs/dbraw/zinc/74/42/92/1072744292.db2.gz RGJSIUBNBOOZGN-LBPRGKRZSA-N 0 0 443.551 -0.024 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)n1C ZINC000446729146 1072744258 /nfs/dbraw/zinc/74/42/58/1072744258.db2.gz ODGIZHCXJPFSAY-UHFFFAOYSA-N 0 0 438.452 -0.913 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccnn2CCc2ccccc2)CC1 ZINC000446730629 1072744270 /nfs/dbraw/zinc/74/42/70/1072744270.db2.gz YGEBZPSXHPFOFI-UHFFFAOYSA-N 0 0 437.464 -0.578 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000446731575 1073308723 /nfs/dbraw/zinc/30/87/23/1073308723.db2.gz JMKKVWQHRHWOIH-CYBMUJFWSA-N 0 0 446.430 -0.225 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000446731576 1073352523 /nfs/dbraw/zinc/35/25/23/1073352523.db2.gz JMKKVWQHRHWOIH-ZDUSSCGKSA-N 0 0 446.430 -0.225 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000446732032 1073331896 /nfs/dbraw/zinc/33/18/96/1073331896.db2.gz NOJKDDFVBZSABC-CYBMUJFWSA-N 0 0 448.446 0.069 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000446732033 1073332347 /nfs/dbraw/zinc/33/23/47/1073332347.db2.gz NOJKDDFVBZSABC-ZDUSSCGKSA-N 0 0 448.446 0.069 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)s1 ZINC000446732727 1072743120 /nfs/dbraw/zinc/74/31/20/1072743120.db2.gz VGZUMBRSSVEYHM-UHFFFAOYSA-N 0 0 443.493 -0.403 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2C[C@H]2CCOC2)CC1 ZINC000446732728 1072743112 /nfs/dbraw/zinc/74/31/12/1072743112.db2.gz VHAJTEYTEPHSJV-DLBZAZTESA-N 0 0 448.524 -0.750 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2C[C@H]2CCOC2)CC1 ZINC000446732729 1072743021 /nfs/dbraw/zinc/74/30/21/1072743021.db2.gz VHAJTEYTEPHSJV-IAGOWNOFSA-N 0 0 448.524 -0.750 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2C[C@@H]2CCOC2)CC1 ZINC000446732730 1072743141 /nfs/dbraw/zinc/74/31/41/1072743141.db2.gz VHAJTEYTEPHSJV-IRXDYDNUSA-N 0 0 448.524 -0.750 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2C[C@@H]2CCOC2)CC1 ZINC000446732731 1072743128 /nfs/dbraw/zinc/74/31/28/1072743128.db2.gz VHAJTEYTEPHSJV-SJORKVTESA-N 0 0 448.524 -0.750 20 0 IBADRN Cc1ccccc1-c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n(C)n1 ZINC000446733123 1072743104 /nfs/dbraw/zinc/74/31/04/1072743104.db2.gz ZVZSBPJLFPFPQZ-UHFFFAOYSA-N 0 0 437.464 -0.308 20 0 IBADRN CCN(Cc1ccccc1)C(=O)CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446734370 1073336617 /nfs/dbraw/zinc/33/66/17/1073336617.db2.gz DTUPXXFCITVMRX-UHFFFAOYSA-N 0 0 442.520 0.319 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000446734455 1073341742 /nfs/dbraw/zinc/34/17/42/1073341742.db2.gz FMJKGFSSRKTLSU-UHFFFAOYSA-N 0 0 443.460 0.575 20 0 IBADRN COCCN(C(=O)CN1CCN(C)C(=O)C1(C)C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000446734959 1072743124 /nfs/dbraw/zinc/74/31/24/1072743124.db2.gz HZBMHXFHILYKAI-UHFFFAOYSA-N 0 0 438.529 -0.281 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000446735501 1073346103 /nfs/dbraw/zinc/34/61/03/1073346103.db2.gz PCTGTFBKCOOQGN-UHFFFAOYSA-N 0 0 440.439 0.096 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)cn1 ZINC000446736640 1072745343 /nfs/dbraw/zinc/74/53/43/1072745343.db2.gz VOCDGTMFPGVTIT-AWEZNQCLSA-N 0 0 428.449 -0.636 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)cn1 ZINC000446736641 1072745230 /nfs/dbraw/zinc/74/52/30/1072745230.db2.gz VOCDGTMFPGVTIT-CQSZACIVSA-N 0 0 428.449 -0.636 20 0 IBADRN O=C(NC[C@@H](CO)Cc1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446737625 1073326943 /nfs/dbraw/zinc/32/69/43/1073326943.db2.gz XWNLJKUKFHXGIL-KRWDZBQOSA-N 0 0 433.484 0.260 20 0 IBADRN O=C(NC[C@H](CO)Cc1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446737626 1072745254 /nfs/dbraw/zinc/74/52/54/1072745254.db2.gz XWNLJKUKFHXGIL-QGZVFWFLSA-N 0 0 433.484 0.260 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H](CO)Cc1c[nH]c2ccccc12 ZINC000446739014 1073334577 /nfs/dbraw/zinc/33/45/77/1073334577.db2.gz PCTRZWCWFUDCDS-KRWDZBQOSA-N 0 0 440.504 0.355 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H](CO)Cc1c[nH]c2ccccc12 ZINC000446739015 1073334493 /nfs/dbraw/zinc/33/44/93/1073334493.db2.gz PCTRZWCWFUDCDS-QGZVFWFLSA-N 0 0 440.504 0.355 20 0 IBADRN CCc1cnc(N2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)s1 ZINC000446739312 1073350239 /nfs/dbraw/zinc/35/02/39/1073350239.db2.gz SRLAYSOWDUFFJF-UHFFFAOYSA-N 0 0 447.565 0.522 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CC2)n1 ZINC000446739324 1072745311 /nfs/dbraw/zinc/74/53/11/1072745311.db2.gz STOBNAJFZBDEIA-UHFFFAOYSA-N 0 0 444.496 -0.699 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000446740868 1072744759 /nfs/dbraw/zinc/74/47/59/1072744759.db2.gz HZKHLRILFCTKBT-AWEZNQCLSA-N 0 0 443.483 0.465 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000446740869 1072744860 /nfs/dbraw/zinc/74/48/60/1072744860.db2.gz HZKHLRILFCTKBT-CQSZACIVSA-N 0 0 443.483 0.465 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CCCOCC(F)(F)F)CC2)cn1 ZINC000446741330 1072744840 /nfs/dbraw/zinc/74/48/40/1072744840.db2.gz MDOJSPKUUUWXTL-UHFFFAOYSA-N 0 0 434.419 -0.319 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)cn1 ZINC000446741985 1072744767 /nfs/dbraw/zinc/74/47/67/1072744767.db2.gz PHOLJZMORMJVIM-INIZCTEOSA-N 0 0 444.448 -0.494 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)cn1 ZINC000446741986 1072744731 /nfs/dbraw/zinc/74/47/31/1072744731.db2.gz PHOLJZMORMJVIM-MRXNPFEDSA-N 0 0 444.448 -0.494 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C(=O)N1c1ccccc1 ZINC000446742807 1072744891 /nfs/dbraw/zinc/74/48/91/1072744891.db2.gz RZMFSIPOSIQZSU-AEFFLSMTSA-N 0 0 440.504 0.464 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C(=O)N1c1ccccc1 ZINC000446742808 1072744865 /nfs/dbraw/zinc/74/48/65/1072744865.db2.gz RZMFSIPOSIQZSU-FUHWJXTLSA-N 0 0 440.504 0.464 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C(=O)N1c1ccccc1 ZINC000446742809 1072744742 /nfs/dbraw/zinc/74/47/42/1072744742.db2.gz RZMFSIPOSIQZSU-SJLPKXTDSA-N 0 0 440.504 0.464 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C(=O)N1c1ccccc1 ZINC000446742810 1072744833 /nfs/dbraw/zinc/74/48/33/1072744833.db2.gz RZMFSIPOSIQZSU-WMZOPIPTSA-N 0 0 440.504 0.464 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000446747367 1072744783 /nfs/dbraw/zinc/74/47/83/1072744783.db2.gz CVMIBJSFXIMOMH-UHFFFAOYSA-N 0 0 435.529 -0.240 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000446747447 1072744809 /nfs/dbraw/zinc/74/48/09/1072744809.db2.gz DRMYKLNCTLRASD-UHFFFAOYSA-N 0 0 435.529 -0.240 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)C2)cn1 ZINC000446748353 1073354864 /nfs/dbraw/zinc/35/48/64/1073354864.db2.gz OAOJYMYPXGQGTB-INIZCTEOSA-N 0 0 430.513 -0.328 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)C2)cn1 ZINC000446748354 1073354892 /nfs/dbraw/zinc/35/48/92/1073354892.db2.gz OAOJYMYPXGQGTB-MRXNPFEDSA-N 0 0 430.513 -0.328 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)C2)cn1 ZINC000446748606 1072744817 /nfs/dbraw/zinc/74/48/17/1072744817.db2.gz QXLXDGKOUZASFM-INIZCTEOSA-N 0 0 430.513 -0.328 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)C2)cn1 ZINC000446748607 1072745274 /nfs/dbraw/zinc/74/52/74/1072745274.db2.gz QXLXDGKOUZASFM-MRXNPFEDSA-N 0 0 430.513 -0.328 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)N1CCCc2ccccc21 ZINC000446748744 1073320389 /nfs/dbraw/zinc/32/03/89/1073320389.db2.gz SLMBECBJOOIKIG-UHFFFAOYSA-N 0 0 440.504 0.249 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cc(OC)cc(OC)c3)CC2)cn1 ZINC000446748978 1072744774 /nfs/dbraw/zinc/74/47/74/1072744774.db2.gz WAULYUCNDKTLNF-UHFFFAOYSA-N 0 0 444.492 -0.071 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC000446749303 1072745185 /nfs/dbraw/zinc/74/51/85/1072745185.db2.gz ZNEZIMSWQLYECE-AWEZNQCLSA-N 0 0 440.526 -0.213 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000446749304 1072745224 /nfs/dbraw/zinc/74/52/24/1072745224.db2.gz ZNEZIMSWQLYECE-CQSZACIVSA-N 0 0 440.526 -0.213 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ncnc4ccsc43)CC2)cn1 ZINC000446754402 1072745209 /nfs/dbraw/zinc/74/52/09/1072745209.db2.gz WIPIKLYUXRBCFE-UHFFFAOYSA-N 0 0 428.478 -0.079 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC(C)(C)S(=O)(=O)CC1 ZINC000446757710 1072746234 /nfs/dbraw/zinc/74/62/34/1072746234.db2.gz CGKWTSBQLJVXML-GFCCVEGCSA-N 0 0 431.536 -0.122 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC(C)(C)S(=O)(=O)CC1 ZINC000446757711 1072746207 /nfs/dbraw/zinc/74/62/07/1072746207.db2.gz CGKWTSBQLJVXML-LBPRGKRZSA-N 0 0 431.536 -0.122 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cccc([C@@H]2CNC(=O)C2)c1 ZINC000446757898 1072746341 /nfs/dbraw/zinc/74/63/41/1072746341.db2.gz DNNSDGGKWSLVMJ-AWEZNQCLSA-N 0 0 431.474 -0.282 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cccc([C@H]2CNC(=O)C2)c1 ZINC000446757899 1072746360 /nfs/dbraw/zinc/74/63/60/1072746360.db2.gz DNNSDGGKWSLVMJ-CQSZACIVSA-N 0 0 431.474 -0.282 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)ccc1F ZINC000446758923 1072746243 /nfs/dbraw/zinc/74/62/43/1072746243.db2.gz DDCNKIQAMDXZAC-UHFFFAOYSA-N 0 0 437.453 -0.044 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000446759132 1072746225 /nfs/dbraw/zinc/74/62/25/1072746225.db2.gz ATXAPCGUNLABAE-UHFFFAOYSA-N 0 0 434.478 -0.654 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cnn(Cc2ccncc2)c1 ZINC000446759148 1072746367 /nfs/dbraw/zinc/74/63/67/1072746367.db2.gz AZSCHMQDWKXKBR-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC(C)(C)S(=O)(=O)CC3)nc2n(C)c1=O ZINC000446759589 1073353484 /nfs/dbraw/zinc/35/34/84/1073353484.db2.gz PECGHIJVBZPBSC-UHFFFAOYSA-N 0 0 437.522 0.416 20 0 IBADRN CC1(C)CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC000446759980 1072746874 /nfs/dbraw/zinc/74/68/74/1072746874.db2.gz RKFUQECOLUCVSI-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)ccc1F ZINC000446760242 1072746898 /nfs/dbraw/zinc/74/68/98/1072746898.db2.gz FKQOXHVWFUSPCO-UHFFFAOYSA-N 0 0 448.440 -0.462 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000446760338 1072747013 /nfs/dbraw/zinc/74/70/13/1072747013.db2.gz GNJUNFZYBBMGNJ-UHFFFAOYSA-N 0 0 449.489 -0.370 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cccnc1N1CCOCC1 ZINC000446760574 1072746949 /nfs/dbraw/zinc/74/69/49/1072746949.db2.gz UZENXPIDLATZOQ-UHFFFAOYSA-N 0 0 434.478 -0.654 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000446760638 1072746965 /nfs/dbraw/zinc/74/69/65/1072746965.db2.gz HPKSBLGCGXGIFF-UHFFFAOYSA-N 0 0 433.490 -0.255 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000446761997 1072746711 /nfs/dbraw/zinc/74/67/11/1072746711.db2.gz MSPXCCYFEHOWDI-UHFFFAOYSA-N 0 0 426.476 -0.482 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000446762094 1072746789 /nfs/dbraw/zinc/74/67/89/1072746789.db2.gz NORPBQLHPGZIOO-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000446763486 1072745831 /nfs/dbraw/zinc/74/58/31/1072745831.db2.gz WGIOJOXHUXHQLT-UHFFFAOYSA-N 0 0 428.511 -0.172 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1Cl ZINC000446763635 1072745803 /nfs/dbraw/zinc/74/58/03/1072745803.db2.gz XOOLGIAXLHYJCH-UHFFFAOYSA-N 0 0 425.854 -0.133 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc(-n2cncn2)c(F)c1 ZINC000446764821 1072745714 /nfs/dbraw/zinc/74/57/14/1072745714.db2.gz LMVFGQAQLKKQPJ-UHFFFAOYSA-N 0 0 433.425 -0.165 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000446765037 1072745768 /nfs/dbraw/zinc/74/57/68/1072745768.db2.gz MPNXUGJUSIEZOC-GFCCVEGCSA-N 0 0 428.511 -0.173 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000446765038 1072745842 /nfs/dbraw/zinc/74/58/42/1072745842.db2.gz MPNXUGJUSIEZOC-LBPRGKRZSA-N 0 0 428.511 -0.173 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000446765777 1072745896 /nfs/dbraw/zinc/74/58/96/1072745896.db2.gz FNAPAVYDPYOPJS-UHFFFAOYSA-N 0 0 426.476 -0.482 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000446766005 1072745742 /nfs/dbraw/zinc/74/57/42/1072745742.db2.gz QHJVIHROBVUMJP-UHFFFAOYSA-N 0 0 426.476 -0.482 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000446766037 1072745725 /nfs/dbraw/zinc/74/57/25/1072745725.db2.gz QQMNSMIDQVDOIP-UHFFFAOYSA-N 0 0 440.503 -0.173 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000446766060 1072745904 /nfs/dbraw/zinc/74/59/04/1072745904.db2.gz QVTGYMAQLQGXEO-UHFFFAOYSA-N 0 0 440.503 -0.092 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000446766404 1072745883 /nfs/dbraw/zinc/74/58/83/1072745883.db2.gz HVJGHVNRUUQDAJ-UHFFFAOYSA-N 0 0 439.538 -0.550 20 0 IBADRN NC(=O)[C@@H](CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)Cc1ccc(F)cc1 ZINC000446766567 1073317561 /nfs/dbraw/zinc/31/75/61/1073317561.db2.gz GDQIPPRSBGJZIM-MRXNPFEDSA-N 0 0 446.483 -0.247 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cccc(N2CCNC2=O)c1 ZINC000446766833 1072745822 /nfs/dbraw/zinc/74/58/22/1072745822.db2.gz JDZBQKSHDDGTIV-UHFFFAOYSA-N 0 0 432.462 -0.356 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnn1CCc1ccccc1 ZINC000446767414 1072745861 /nfs/dbraw/zinc/74/58/61/1072745861.db2.gz IKGCIMWGVKWPQO-INIZCTEOSA-N 0 0 426.477 -0.083 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnn1CCc1ccccc1 ZINC000446767417 1072745890 /nfs/dbraw/zinc/74/58/90/1072745890.db2.gz IKGCIMWGVKWPQO-MRXNPFEDSA-N 0 0 426.477 -0.083 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cc2n(C)c1=O ZINC000446768268 1072745814 /nfs/dbraw/zinc/74/58/14/1072745814.db2.gz YEWUQYDQBWAMNG-UHFFFAOYSA-N 0 0 432.462 -0.695 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000446768832 1072745871 /nfs/dbraw/zinc/74/58/71/1072745871.db2.gz OFVQPAYNYVZZNJ-UHFFFAOYSA-N 0 0 449.489 -0.175 20 0 IBADRN NC(=O)[C@H](CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)Cc1ccc(F)cc1 ZINC000446770548 1072746403 /nfs/dbraw/zinc/74/64/03/1072746403.db2.gz RIKOGKQHSUUPSN-INIZCTEOSA-N 0 0 446.483 -0.247 20 0 IBADRN NC(=O)[C@@H](CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)Cc1ccc(F)cc1 ZINC000446770549 1072746378 /nfs/dbraw/zinc/74/63/78/1072746378.db2.gz RIKOGKQHSUUPSN-MRXNPFEDSA-N 0 0 446.483 -0.247 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccccc1Cn1cncn1 ZINC000446770766 1072746310 /nfs/dbraw/zinc/74/63/10/1072746310.db2.gz WBHYZWFDCSUSMW-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000446771900 1072746329 /nfs/dbraw/zinc/74/63/29/1072746329.db2.gz ZPMOPRVXSRCCOR-UHFFFAOYSA-N 0 0 438.485 0.489 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)cn1 ZINC000446772402 1072746273 /nfs/dbraw/zinc/74/62/73/1072746273.db2.gz HTHALHGSOWMHQL-HNNXBMFYSA-N 0 0 428.497 -0.711 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)cn1 ZINC000446772403 1072746421 /nfs/dbraw/zinc/74/64/21/1072746421.db2.gz HTHALHGSOWMHQL-OAHLLOKOSA-N 0 0 428.497 -0.711 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)N1CCc2ccccc2C1 ZINC000446773792 1073320224 /nfs/dbraw/zinc/32/02/24/1073320224.db2.gz DPGQXQUFMHMWEQ-UHFFFAOYSA-N 0 0 440.504 -0.145 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)N1CCc2ccccc2C1 ZINC000446774574 1072746390 /nfs/dbraw/zinc/74/63/90/1072746390.db2.gz GTLYHWXSJFQLLR-UHFFFAOYSA-N 0 0 440.504 -0.145 20 0 IBADRN O=C(NCCCNC(=O)c1cccc(O)c1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000446775270 1072746188 /nfs/dbraw/zinc/74/61/88/1072746188.db2.gz JMBRFGCJGJQQFO-UHFFFAOYSA-N 0 0 444.492 -0.204 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)cn1 ZINC000446776071 1072746351 /nfs/dbraw/zinc/74/63/51/1072746351.db2.gz LXLIVMDHARFBMH-UHFFFAOYSA-N 0 0 447.540 -0.592 20 0 IBADRN O=C(NCCCNC(=O)c1cccc(O)c1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446777730 1073324395 /nfs/dbraw/zinc/32/43/95/1073324395.db2.gz STHHGFLMTMVFCJ-UHFFFAOYSA-N 0 0 444.492 -0.204 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)cn1 ZINC000446777793 1072748229 /nfs/dbraw/zinc/74/82/29/1072748229.db2.gz TUYSIMZKGQCFEU-KRWDZBQOSA-N 0 0 428.493 -0.373 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)cn1 ZINC000446777795 1072748021 /nfs/dbraw/zinc/74/80/21/1072748021.db2.gz TUYSIMZKGQCFEU-QGZVFWFLSA-N 0 0 428.493 -0.373 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CCN(c2ccccc2F)C1=O ZINC000446778693 1072748200 /nfs/dbraw/zinc/74/82/00/1072748200.db2.gz YBYJGYSKZXRQKC-INIZCTEOSA-N 0 0 444.467 0.215 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CCN(c2ccccc2F)C1=O ZINC000446778697 1073334686 /nfs/dbraw/zinc/33/46/86/1073334686.db2.gz YBYJGYSKZXRQKC-MRXNPFEDSA-N 0 0 444.467 0.215 20 0 IBADRN O=C(NCCc1csc(N2CCCC2)n1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446781122 1073326894 /nfs/dbraw/zinc/32/68/94/1073326894.db2.gz SAQGPCFOMFHRFQ-UHFFFAOYSA-N 0 0 447.565 0.569 20 0 IBADRN Cc1nn(C)c(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c1C ZINC000446782459 1072748070 /nfs/dbraw/zinc/74/80/70/1072748070.db2.gz YPHWHNZDYGVERN-UHFFFAOYSA-N 0 0 428.497 -0.049 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000446782551 1073333850 /nfs/dbraw/zinc/33/38/50/1073333850.db2.gz GLIIRVTZTBGRBT-UHFFFAOYSA-N 0 0 428.497 -0.317 20 0 IBADRN O=C(NCCCNC(=O)c1cccc(F)c1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446782734 1073324686 /nfs/dbraw/zinc/32/46/86/1073324686.db2.gz CTERFAVPMXSXFL-UHFFFAOYSA-N 0 0 446.483 0.229 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000446782940 1072748095 /nfs/dbraw/zinc/74/80/95/1072748095.db2.gz HDPFCXQFXQCKKM-UHFFFAOYSA-N 0 0 428.497 -0.317 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000446783666 1072748629 /nfs/dbraw/zinc/74/86/29/1072748629.db2.gz GIYKKEXYPBWIDY-UHFFFAOYSA-N 0 0 441.492 -0.577 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCN2CCc3cc(OC)c(OC)cc3C2)cn1 ZINC000446784527 1072748766 /nfs/dbraw/zinc/74/87/66/1072748766.db2.gz IHQZMOVPJTUSGD-UHFFFAOYSA-N 0 0 444.492 -0.241 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000446784686 1072748753 /nfs/dbraw/zinc/74/87/53/1072748753.db2.gz KINNQAVBQUMCJG-IIAWOOMASA-N 0 0 443.522 -0.675 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000446784879 1072748702 /nfs/dbraw/zinc/74/87/02/1072748702.db2.gz JXSSDQSGONXVLP-AWEZNQCLSA-N 0 0 426.412 0.309 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000446784880 1072748749 /nfs/dbraw/zinc/74/87/49/1072748749.db2.gz JXSSDQSGONXVLP-CQSZACIVSA-N 0 0 426.412 0.309 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000446784887 1072748744 /nfs/dbraw/zinc/74/87/44/1072748744.db2.gz KINNQAVBQUMCJG-IJEWVQPXSA-N 0 0 443.522 -0.675 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000446784888 1072748717 /nfs/dbraw/zinc/74/87/17/1072748717.db2.gz KINNQAVBQUMCJG-OFQRWUPVSA-N 0 0 443.522 -0.675 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000446784889 1072748607 /nfs/dbraw/zinc/74/86/07/1072748607.db2.gz KINNQAVBQUMCJG-SQWLQELKSA-N 0 0 443.522 -0.675 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000446786265 1072746809 /nfs/dbraw/zinc/74/68/09/1072746809.db2.gz PSXLHVZPIWHJKD-UHFFFAOYSA-N 0 0 427.465 -0.967 20 0 IBADRN CC(C)(C)C(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000446787057 1073309769 /nfs/dbraw/zinc/30/97/69/1073309769.db2.gz WXZHOOMYPSLRQM-KRWDZBQOSA-N 0 0 448.568 0.555 20 0 IBADRN CC(C)(C)C(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000446787058 1073309847 /nfs/dbraw/zinc/30/98/47/1073309847.db2.gz WXZHOOMYPSLRQM-QGZVFWFLSA-N 0 0 448.568 0.555 20 0 IBADRN O=C(NCCCNC(=O)c1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446791028 1072746721 /nfs/dbraw/zinc/74/67/21/1072746721.db2.gz DMHBEGNOBOSIDM-UHFFFAOYSA-N 0 0 446.483 0.229 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CCCN(c2ccccc2)C1=O ZINC000446791353 1072747499 /nfs/dbraw/zinc/74/74/99/1072747499.db2.gz GAXJQDPHEUTXNQ-GOSISDBHSA-N 0 0 440.504 0.466 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CCCN(c2ccccc2)C1=O ZINC000446791354 1072747347 /nfs/dbraw/zinc/74/73/47/1072747347.db2.gz GAXJQDPHEUTXNQ-SFHVURJKSA-N 0 0 440.504 0.466 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C(C)C)C1=O ZINC000446793280 1072747673 /nfs/dbraw/zinc/74/76/73/1072747673.db2.gz DAWKEKSBMUGWPZ-CYBMUJFWSA-N 0 0 440.478 -0.464 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C(C)C)C1=O ZINC000446793281 1072747570 /nfs/dbraw/zinc/74/75/70/1072747570.db2.gz DAWKEKSBMUGWPZ-ZDUSSCGKSA-N 0 0 440.478 -0.464 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1ccn(CCN3CCOCC3)n1)CC2 ZINC000446793426 1073343269 /nfs/dbraw/zinc/34/32/69/1073343269.db2.gz DRQBMDUKQXJIRT-DLBZAZTESA-N 0 0 435.525 -0.068 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1ccn(CCN3CCOCC3)n1)CC2 ZINC000446793427 1073342855 /nfs/dbraw/zinc/34/28/55/1073342855.db2.gz DRQBMDUKQXJIRT-IAGOWNOFSA-N 0 0 435.525 -0.068 20 0 IBADRN CCO[C@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1ccn(CCN3CCOCC3)n1)CC2 ZINC000446793428 1073343439 /nfs/dbraw/zinc/34/34/39/1073343439.db2.gz DRQBMDUKQXJIRT-IRXDYDNUSA-N 0 0 435.525 -0.068 20 0 IBADRN CCO[C@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1ccn(CCN3CCOCC3)n1)CC2 ZINC000446793429 1073343333 /nfs/dbraw/zinc/34/33/33/1073343333.db2.gz DRQBMDUKQXJIRT-SJORKVTESA-N 0 0 435.525 -0.068 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccnn2CCc2ccccc2)CC1 ZINC000446793838 1072747644 /nfs/dbraw/zinc/74/76/44/1072747644.db2.gz AEAWNSPTFGPYEH-UHFFFAOYSA-N 0 0 434.522 -0.503 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)n1C ZINC000446795187 1072747683 /nfs/dbraw/zinc/74/76/83/1072747683.db2.gz GGWZQIVBVZKQCJ-UHFFFAOYSA-N 0 0 435.510 -0.838 20 0 IBADRN Cc1cc(NC(=O)C(=O)N(C[C@H](O)COc2ccc(CC(N)=O)cc2)C(C)C)nn1C ZINC000446795292 1072747653 /nfs/dbraw/zinc/74/76/53/1072747653.db2.gz MQHPKNNDMUECRG-INIZCTEOSA-N 0 0 431.493 0.372 20 0 IBADRN Cc1cc(NC(=O)C(=O)N(C[C@@H](O)COc2ccc(CC(N)=O)cc2)C(C)C)nn1C ZINC000446795295 1072747628 /nfs/dbraw/zinc/74/76/28/1072747628.db2.gz MQHPKNNDMUECRG-MRXNPFEDSA-N 0 0 431.493 0.372 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000446795620 1072747447 /nfs/dbraw/zinc/74/74/47/1072747447.db2.gz IHVAOBHAOFDYRU-UHFFFAOYSA-N 0 0 426.495 -0.466 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1=O ZINC000446795920 1072747403 /nfs/dbraw/zinc/74/74/03/1072747403.db2.gz PJKQQMCGGAJEMN-INIZCTEOSA-N 0 0 430.461 -0.322 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1=O ZINC000446795924 1072747613 /nfs/dbraw/zinc/74/76/13/1072747613.db2.gz PJKQQMCGGAJEMN-MRXNPFEDSA-N 0 0 430.461 -0.322 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)Nc3cnn(CC(=O)NC)c3)CC2)n1 ZINC000446796705 1072747586 /nfs/dbraw/zinc/74/75/86/1072747586.db2.gz MWOJXLKXCAVMNK-UHFFFAOYSA-N 0 0 430.469 -0.505 20 0 IBADRN CCO[C@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1cnn(CCN3CCOCC3)c1)CC2 ZINC000446797361 1072748165 /nfs/dbraw/zinc/74/81/65/1072748165.db2.gz WZIQOVIIRGFRBP-MSOLQXFVSA-N 0 0 435.525 -0.068 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1cnn(CCN3CCOCC3)c1)CC2 ZINC000446797362 1072748149 /nfs/dbraw/zinc/74/81/49/1072748149.db2.gz WZIQOVIIRGFRBP-QZTJIDSGSA-N 0 0 435.525 -0.068 20 0 IBADRN CCO[C@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1cnn(CCN3CCOCC3)c1)CC2 ZINC000446797363 1072748134 /nfs/dbraw/zinc/74/81/34/1072748134.db2.gz WZIQOVIIRGFRBP-ROUUACIJSA-N 0 0 435.525 -0.068 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1cnn(CCN3CCOCC3)c1)CC2 ZINC000446797364 1072748183 /nfs/dbraw/zinc/74/81/83/1072748183.db2.gz WZIQOVIIRGFRBP-ZWKOTPCHSA-N 0 0 435.525 -0.068 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000446797785 1072748052 /nfs/dbraw/zinc/74/80/52/1072748052.db2.gz ZSRQOOVMKGHHLS-UHFFFAOYSA-N 0 0 439.538 -0.550 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@H](O)CO)cc1S(=O)(=O)N1CCCCC1 ZINC000446797943 1072747483 /nfs/dbraw/zinc/74/74/83/1072747483.db2.gz UPNLURIZOFRDEL-AWEZNQCLSA-N 0 0 429.495 -0.332 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@H](O)CO)cc1S(=O)(=O)N1CCCCC1 ZINC000446797944 1072748031 /nfs/dbraw/zinc/74/80/31/1072748031.db2.gz UPNLURIZOFRDEL-CQSZACIVSA-N 0 0 429.495 -0.332 20 0 IBADRN Cc1ccccc1-c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)n(C)n1 ZINC000446798065 1072747544 /nfs/dbraw/zinc/74/75/44/1072747544.db2.gz WCTHDIXIICROCW-UHFFFAOYSA-N 0 0 434.522 -0.233 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)s1 ZINC000446798152 1072747665 /nfs/dbraw/zinc/74/76/65/1072747665.db2.gz XFKCBQCXFMGDRI-UHFFFAOYSA-N 0 0 440.551 -0.327 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)Nc2cc(I)ccc2C)n1 ZINC000446798161 1073313877 /nfs/dbraw/zinc/31/38/77/1073313877.db2.gz XJPKQRAKTCQVNR-UHFFFAOYSA-N 0 0 442.217 0.514 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000446799647 1072749594 /nfs/dbraw/zinc/74/95/94/1072749594.db2.gz QHGZDJLUXMRVDY-UHFFFAOYSA-N 0 0 426.495 -0.037 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000446799787 1072749639 /nfs/dbraw/zinc/74/96/39/1072749639.db2.gz RVEGIYMVQOZHJI-GXTWGEPZSA-N 0 0 438.485 0.487 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000446799788 1073346398 /nfs/dbraw/zinc/34/63/98/1073346398.db2.gz RVEGIYMVQOZHJI-JSGCOSHPSA-N 0 0 438.485 0.487 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000446799789 1073346474 /nfs/dbraw/zinc/34/64/74/1073346474.db2.gz RVEGIYMVQOZHJI-OCCSQVGLSA-N 0 0 438.485 0.487 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000446799790 1072749621 /nfs/dbraw/zinc/74/96/21/1072749621.db2.gz RVEGIYMVQOZHJI-TZMCWYRMSA-N 0 0 438.485 0.487 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnn2C[C@@H]2CCOC2)CC1 ZINC000446800459 1072749789 /nfs/dbraw/zinc/74/97/89/1072749789.db2.gz DBUBZZGJTLEIBS-HNNXBMFYSA-N 0 0 443.526 -0.631 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnn2C[C@H]2CCOC2)CC1 ZINC000446800460 1072749817 /nfs/dbraw/zinc/74/98/17/1072749817.db2.gz DBUBZZGJTLEIBS-OAHLLOKOSA-N 0 0 443.526 -0.631 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000446801680 1072749740 /nfs/dbraw/zinc/74/97/40/1072749740.db2.gz BAYQCODEHZMFCY-KRWDZBQOSA-N 0 0 442.520 0.558 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000446801681 1073349255 /nfs/dbraw/zinc/34/92/55/1073349255.db2.gz BAYQCODEHZMFCY-QGZVFWFLSA-N 0 0 442.520 0.558 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000446803299 1073332185 /nfs/dbraw/zinc/33/21/85/1073332185.db2.gz VJWDWBDPDAMPJN-UHFFFAOYSA-N 0 0 441.432 -0.106 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000446804911 1072749726 /nfs/dbraw/zinc/74/97/26/1072749726.db2.gz XXWNMBMIVNZQAZ-UHFFFAOYSA-N 0 0 428.493 0.208 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)C1=O ZINC000446806273 1072750197 /nfs/dbraw/zinc/75/01/97/1072750197.db2.gz MUCQSFZOYVKZBF-CYBMUJFWSA-N 0 0 447.473 -0.134 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)C1=O ZINC000446806274 1072750159 /nfs/dbraw/zinc/75/01/59/1072750159.db2.gz MUCQSFZOYVKZBF-ZDUSSCGKSA-N 0 0 447.473 -0.134 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)NC[C@H](O)CO)c1 ZINC000446806760 1072749673 /nfs/dbraw/zinc/74/96/73/1072749673.db2.gz SFBRUTZLTHWDQV-AWEZNQCLSA-N 0 0 442.538 -0.275 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)NC[C@@H](O)CO)c1 ZINC000446806761 1072750218 /nfs/dbraw/zinc/75/02/18/1072750218.db2.gz SFBRUTZLTHWDQV-CQSZACIVSA-N 0 0 442.538 -0.275 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000446807062 1072749605 /nfs/dbraw/zinc/74/96/05/1072749605.db2.gz VZOGSPUSIPLYMT-INIZCTEOSA-N 0 0 442.542 -0.918 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000446807063 1072749799 /nfs/dbraw/zinc/74/97/99/1072749799.db2.gz VZOGSPUSIPLYMT-MRXNPFEDSA-N 0 0 442.542 -0.918 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000446809380 1072750247 /nfs/dbraw/zinc/75/02/47/1072750247.db2.gz IKKIOUBKGGWPOD-UHFFFAOYSA-N 0 0 433.509 -0.090 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NC[C@H](O)CO)cc1)N1CCN(c2ccccc2)CC1 ZINC000446809924 1072750259 /nfs/dbraw/zinc/75/02/59/1072750259.db2.gz UWMATKQXFBDHAM-FQEVSTJZSA-N 0 0 440.500 -0.014 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NC[C@@H](O)CO)cc1)N1CCN(c2ccccc2)CC1 ZINC000446809925 1072750313 /nfs/dbraw/zinc/75/03/13/1072750313.db2.gz UWMATKQXFBDHAM-HXUWFJFHSA-N 0 0 440.500 -0.014 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1)C(F)(F)F ZINC000446810133 1072750381 /nfs/dbraw/zinc/75/03/81/1072750381.db2.gz VZALKQSSHSJWLB-AWEZNQCLSA-N 0 0 432.447 0.249 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1)C(F)(F)F ZINC000446810134 1073352775 /nfs/dbraw/zinc/35/27/75/1073352775.db2.gz VZALKQSSHSJWLB-CQSZACIVSA-N 0 0 432.447 0.249 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC(C)C)c(NC(=O)C(=O)NC[C@@H](O)CO)c1 ZINC000446810467 1072749091 /nfs/dbraw/zinc/74/90/91/1072749091.db2.gz OXVXBQGFPLOPQZ-CYBMUJFWSA-N 0 0 431.511 -0.088 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC(C)C)c(NC(=O)C(=O)NC[C@H](O)CO)c1 ZINC000446810468 1072749198 /nfs/dbraw/zinc/74/91/98/1072749198.db2.gz OXVXBQGFPLOPQZ-ZDUSSCGKSA-N 0 0 431.511 -0.088 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000446810726 1072749070 /nfs/dbraw/zinc/74/90/70/1072749070.db2.gz ZURJYUXXHDUOBY-UHFFFAOYSA-N 0 0 427.527 -0.170 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000446810752 1072749260 /nfs/dbraw/zinc/74/92/60/1072749260.db2.gz QZRDTLOWSSIRFV-UHFFFAOYSA-N 0 0 444.485 -0.327 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCCC2)cc1NC(=O)C(=O)NC[C@@H](O)CO ZINC000446811774 1072748982 /nfs/dbraw/zinc/74/89/82/1072748982.db2.gz CWWRJSPHDZQZKM-CYBMUJFWSA-N 0 0 429.495 -0.332 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCCC2)cc1NC(=O)C(=O)NC[C@H](O)CO ZINC000446811775 1072749210 /nfs/dbraw/zinc/74/92/10/1072749210.db2.gz CWWRJSPHDZQZKM-ZDUSSCGKSA-N 0 0 429.495 -0.332 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)C1=O ZINC000446812380 1072749291 /nfs/dbraw/zinc/74/92/91/1072749291.db2.gz JQVOQTQKIZLVAG-AWEZNQCLSA-N 0 0 449.489 -0.252 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)C1=O ZINC000446812381 1072749042 /nfs/dbraw/zinc/74/90/42/1072749042.db2.gz JQVOQTQKIZLVAG-CQSZACIVSA-N 0 0 449.489 -0.252 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCO[C@@H](c2cccc(O)c2)C1 ZINC000446813128 1072749272 /nfs/dbraw/zinc/74/92/72/1072749272.db2.gz OJJAXDRKRYEJOQ-GOSISDBHSA-N 0 0 429.477 0.460 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCO[C@H](c2cccc(O)c2)C1 ZINC000446813129 1072749019 /nfs/dbraw/zinc/74/90/19/1072749019.db2.gz OJJAXDRKRYEJOQ-SFHVURJKSA-N 0 0 429.477 0.460 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000446813517 1072749101 /nfs/dbraw/zinc/74/91/01/1072749101.db2.gz GVMBOLRXHRJCEM-UHFFFAOYSA-N 0 0 431.472 0.276 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CCOc3cccc(OC)c3)CC2)cn1 ZINC000446814005 1072749222 /nfs/dbraw/zinc/74/92/22/1072749222.db2.gz UGJQYMLPUPCYDG-UHFFFAOYSA-N 0 0 444.492 -0.201 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)c1 ZINC000446815119 1073344273 /nfs/dbraw/zinc/34/42/73/1073344273.db2.gz QFTDRLIHLSRCMB-UHFFFAOYSA-N 0 0 427.509 0.206 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000446815864 1073349170 /nfs/dbraw/zinc/34/91/70/1073349170.db2.gz ZVTHBZWEPCIUAT-PWEULYCESA-N 0 0 425.555 0.350 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000446815865 1073349140 /nfs/dbraw/zinc/34/91/40/1073349140.db2.gz ZVTHBZWEPCIUAT-GZRVVXPKSA-N 0 0 425.555 0.350 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000446815866 1073349075 /nfs/dbraw/zinc/34/90/75/1073349075.db2.gz ZVTHBZWEPCIUAT-ZSIWHHEXSA-N 0 0 425.555 0.350 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000446815867 1073349183 /nfs/dbraw/zinc/34/91/83/1073349183.db2.gz ZVTHBZWEPCIUAT-HDBFXVAESA-N 0 0 425.555 0.350 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000446819484 1073308856 /nfs/dbraw/zinc/30/88/56/1073308856.db2.gz UAQHCHICOCQXJB-CYBMUJFWSA-N 0 0 430.431 0.539 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000446819485 1073352480 /nfs/dbraw/zinc/35/24/80/1073352480.db2.gz UAQHCHICOCQXJB-ZDUSSCGKSA-N 0 0 430.431 0.539 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CCN(C(=O)C2CCCCC2)C1 ZINC000446819598 1072749712 /nfs/dbraw/zinc/74/97/12/1072749712.db2.gz YPFGGTRECMKITC-GOSISDBHSA-N 0 0 446.552 0.451 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CCN(C(=O)C2CCCCC2)C1 ZINC000446819599 1072749772 /nfs/dbraw/zinc/74/97/72/1072749772.db2.gz YPFGGTRECMKITC-SFHVURJKSA-N 0 0 446.552 0.451 20 0 IBADRN O=C(NCC[C@H]1COc2ccccc2O1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446820352 1072750365 /nfs/dbraw/zinc/75/03/65/1072750365.db2.gz BNQYSSWLGPYLAT-INIZCTEOSA-N 0 0 429.477 0.500 20 0 IBADRN O=C(NCC[C@@H]1COc2ccccc2O1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446820353 1072750281 /nfs/dbraw/zinc/75/02/81/1072750281.db2.gz BNQYSSWLGPYLAT-MRXNPFEDSA-N 0 0 429.477 0.500 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cc(C)sc2C)cn1 ZINC000446820592 1072750410 /nfs/dbraw/zinc/75/04/10/1072750410.db2.gz FORMLQYQJVNDHV-UHFFFAOYSA-N 0 0 442.523 -0.659 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)cn1 ZINC000446820770 1072750324 /nfs/dbraw/zinc/75/03/24/1072750324.db2.gz HMQWJEHIPPNCNH-KRWDZBQOSA-N 0 0 426.477 -0.083 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)cn1 ZINC000446820771 1072750183 /nfs/dbraw/zinc/75/01/83/1072750183.db2.gz HMQWJEHIPPNCNH-QGZVFWFLSA-N 0 0 426.477 -0.083 20 0 IBADRN O=C(NCCNC(=O)c1ccccc1F)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000446821232 1073325557 /nfs/dbraw/zinc/32/55/57/1073325557.db2.gz MOIIUGHZXPGNIY-UHFFFAOYSA-N 0 0 432.456 -0.161 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000446821701 1072750170 /nfs/dbraw/zinc/75/01/70/1072750170.db2.gz SCYMQBRZIHOECC-UHFFFAOYSA-N 0 0 426.495 -0.037 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000446823406 1072750916 /nfs/dbraw/zinc/75/09/16/1072750916.db2.gz MBICKYROPDTUIG-UHFFFAOYSA-N 0 0 426.495 -0.466 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CCN1c1nccn2cnnc12 ZINC000446823878 1072750304 /nfs/dbraw/zinc/75/03/04/1072750304.db2.gz BGSULIMWQAZRPB-LLVKDONJSA-N 0 0 437.386 0.559 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CCN1c1nccn2cnnc12 ZINC000446823879 1072750268 /nfs/dbraw/zinc/75/02/68/1072750268.db2.gz BGSULIMWQAZRPB-NSHDSACASA-N 0 0 437.386 0.559 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)[N-]c3cc(C(N)=O)ccc3C[NH+](C)C)cnc21 ZINC000446824062 1072750221 /nfs/dbraw/zinc/75/02/21/1072750221.db2.gz RPTQQUWIGFRRPJ-UHFFFAOYSA-N 0 0 432.462 -0.005 20 0 IBADRN O=C(NCCNC(=O)c1ccccc1F)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000446824739 1072750948 /nfs/dbraw/zinc/75/09/48/1072750948.db2.gz VWLLZCPFFHIROZ-UHFFFAOYSA-N 0 0 432.456 -0.161 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1=O ZINC000446826857 1072750929 /nfs/dbraw/zinc/75/09/29/1072750929.db2.gz LCWIEAFGOSZMBX-KRWDZBQOSA-N 0 0 443.504 -0.406 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1=O ZINC000446826859 1072750893 /nfs/dbraw/zinc/75/08/93/1072750893.db2.gz LCWIEAFGOSZMBX-QGZVFWFLSA-N 0 0 443.504 -0.406 20 0 IBADRN O=C(Nc1ccnn1C[C@H]1CCOC1)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000446827113 1072750831 /nfs/dbraw/zinc/75/08/31/1072750831.db2.gz RLOLNMSKFPXXFK-CABCVRRESA-N 0 0 425.511 -0.115 20 0 IBADRN O=C(Nc1ccnn1C[C@@H]1CCOC1)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000446827115 1072750727 /nfs/dbraw/zinc/75/07/27/1072750727.db2.gz RLOLNMSKFPXXFK-GJZGRUSLSA-N 0 0 425.511 -0.115 20 0 IBADRN O=C(Nc1ccnn1C[C@H]1CCOC1)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000446827116 1072750818 /nfs/dbraw/zinc/75/08/18/1072750818.db2.gz RLOLNMSKFPXXFK-HUUCEWRRSA-N 0 0 425.511 -0.115 20 0 IBADRN O=C(Nc1ccnn1C[C@@H]1CCOC1)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000446827119 1072750795 /nfs/dbraw/zinc/75/07/95/1072750795.db2.gz RLOLNMSKFPXXFK-LSDHHAIUSA-N 0 0 425.511 -0.115 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)cn1 ZINC000446828356 1072750769 /nfs/dbraw/zinc/75/07/69/1072750769.db2.gz TXYMJWSZTFGQID-HNNXBMFYSA-N 0 0 444.492 -0.025 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)cn1 ZINC000446828358 1072750837 /nfs/dbraw/zinc/75/08/37/1072750837.db2.gz TXYMJWSZTFGQID-OAHLLOKOSA-N 0 0 444.492 -0.025 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)N(C1CC1)[C@H]1CCN(C(=O)CCN2C(=O)CCC2=O)C1 ZINC000446837055 1072750749 /nfs/dbraw/zinc/75/07/49/1072750749.db2.gz VAPHQEVBSPHZCG-HNNXBMFYSA-N 0 0 432.477 -0.344 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)N(C1CC1)[C@@H]1CCN(C(=O)CCN2C(=O)CCC2=O)C1 ZINC000446837056 1072750710 /nfs/dbraw/zinc/75/07/10/1072750710.db2.gz VAPHQEVBSPHZCG-OAHLLOKOSA-N 0 0 432.477 -0.344 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCSC1 ZINC000446839281 1072750295 /nfs/dbraw/zinc/75/02/95/1072750295.db2.gz RBJLNJLRADEFQU-CYBMUJFWSA-N 0 0 426.520 -0.291 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCSC1 ZINC000446839282 1072750209 /nfs/dbraw/zinc/75/02/09/1072750209.db2.gz RBJLNJLRADEFQU-ZDUSSCGKSA-N 0 0 426.520 -0.291 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000446845465 1072751448 /nfs/dbraw/zinc/75/14/48/1072751448.db2.gz MSBRKGPQMDMJSS-AWEZNQCLSA-N 0 0 443.508 -0.207 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000446845466 1072751340 /nfs/dbraw/zinc/75/13/40/1072751340.db2.gz MSBRKGPQMDMJSS-CQSZACIVSA-N 0 0 443.508 -0.207 20 0 IBADRN O=C(NCCc1ccncc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000446874781 1072752330 /nfs/dbraw/zinc/75/23/30/1072752330.db2.gz XKANESMYWMUACH-UHFFFAOYSA-N 0 0 431.474 -0.501 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)o1 ZINC000446890438 1072752237 /nfs/dbraw/zinc/75/22/37/1072752237.db2.gz KSSPOTLUNDCYEI-LLVKDONJSA-N 0 0 442.400 -0.143 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)o1 ZINC000446890439 1072752248 /nfs/dbraw/zinc/75/22/48/1072752248.db2.gz KSSPOTLUNDCYEI-NSHDSACASA-N 0 0 442.400 -0.143 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000446892549 1072752722 /nfs/dbraw/zinc/75/27/22/1072752722.db2.gz MUCPZQUZZMQATQ-UHFFFAOYSA-N 0 0 431.474 -0.129 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000446892716 1072752811 /nfs/dbraw/zinc/75/28/11/1072752811.db2.gz OWZPPRNJXFJPEW-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCN(c4ncccc4C(N)=O)CC3)cc2C1=O ZINC000446893194 1072752278 /nfs/dbraw/zinc/75/22/78/1072752278.db2.gz DBMCNUDNPPWWLU-UHFFFAOYSA-N 0 0 436.428 -0.306 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000446896951 1072752867 /nfs/dbraw/zinc/75/28/67/1072752867.db2.gz SYHVAAQQVHGGKK-UHFFFAOYSA-N 0 0 431.474 -0.129 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cccc1C(N)=O ZINC000446897050 1072752750 /nfs/dbraw/zinc/75/27/50/1072752750.db2.gz UXEHTPHJDDIQEG-UHFFFAOYSA-N 0 0 426.433 -0.425 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)c[nH]1 ZINC000446897260 1072752780 /nfs/dbraw/zinc/75/27/80/1072752780.db2.gz XOVYVHXCDFQQKK-GFCCVEGCSA-N 0 0 441.416 -0.408 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)c[nH]1 ZINC000446897261 1072752712 /nfs/dbraw/zinc/75/27/12/1072752712.db2.gz XOVYVHXCDFQQKK-LBPRGKRZSA-N 0 0 441.416 -0.408 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1C ZINC000446897293 1072752733 /nfs/dbraw/zinc/75/27/33/1072752733.db2.gz XZZXIKHCNZXZLR-UHFFFAOYSA-N 0 0 429.481 -0.072 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000446897381 1072753252 /nfs/dbraw/zinc/75/32/52/1072753252.db2.gz YWVAQMUXSAZRLN-UHFFFAOYSA-N 0 0 440.460 -0.278 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000446898746 1072753308 /nfs/dbraw/zinc/75/33/08/1072753308.db2.gz NEEXFXYFYUDDOY-UHFFFAOYSA-N 0 0 428.453 -0.668 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)CC1 ZINC000446899778 1072753209 /nfs/dbraw/zinc/75/32/09/1072753209.db2.gz UPLILRSUZFQCCN-UHFFFAOYSA-N 0 0 439.476 -0.301 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1 ZINC000446900077 1072753319 /nfs/dbraw/zinc/75/33/19/1072753319.db2.gz MTSDQESTICSRCX-UHFFFAOYSA-N 0 0 428.449 -0.112 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1C ZINC000446900326 1072753426 /nfs/dbraw/zinc/75/34/26/1072753426.db2.gz XYXJEMDQGUPZSQ-UHFFFAOYSA-N 0 0 428.449 -0.017 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000446901200 1072753834 /nfs/dbraw/zinc/75/38/34/1072753834.db2.gz BCXHAMQSSQSYOU-UHFFFAOYSA-N 0 0 448.487 0.302 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)nn1 ZINC000446901788 1072753779 /nfs/dbraw/zinc/75/37/79/1072753779.db2.gz ZJPQJLXNRULLKB-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000446901952 1072753900 /nfs/dbraw/zinc/75/39/00/1072753900.db2.gz GURHSIBQMYCPSX-UHFFFAOYSA-N 0 0 440.460 -0.407 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)CC1 ZINC000446902094 1072753931 /nfs/dbraw/zinc/75/39/31/1072753931.db2.gz IMQDOTOHMVGTJX-UHFFFAOYSA-N 0 0 439.476 -0.301 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccc(NC(N)=O)cc1 ZINC000446902270 1072753939 /nfs/dbraw/zinc/75/39/39/1072753939.db2.gz KODNFFHYUZKIBL-JTQLQIEISA-N 0 0 439.432 -0.061 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccc(NC(N)=O)cc1 ZINC000446902271 1072753972 /nfs/dbraw/zinc/75/39/72/1072753972.db2.gz KODNFFHYUZKIBL-SNVBAGLBSA-N 0 0 439.432 -0.061 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000446904558 1072754378 /nfs/dbraw/zinc/75/43/78/1072754378.db2.gz MVYXJPCLSHRAEI-UHFFFAOYSA-N 0 0 439.411 -0.417 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1 ZINC000446904817 1072754433 /nfs/dbraw/zinc/75/44/33/1072754433.db2.gz CHWPATHQHSYKQV-UHFFFAOYSA-N 0 0 437.460 -0.003 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1 ZINC000446905852 1072754449 /nfs/dbraw/zinc/75/44/49/1072754449.db2.gz WDKTYPGRMXNRGT-UHFFFAOYSA-N 0 0 432.462 -0.734 20 0 IBADRN COc1cc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)sc1S(N)(=O)=O ZINC000446906686 1072754396 /nfs/dbraw/zinc/75/43/96/1072754396.db2.gz QBVNDINPAZJXSD-GFCCVEGCSA-N 0 0 446.551 -0.591 20 0 IBADRN COc1cc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)sc1S(N)(=O)=O ZINC000446906687 1072754412 /nfs/dbraw/zinc/75/44/12/1072754412.db2.gz QBVNDINPAZJXSD-LBPRGKRZSA-N 0 0 446.551 -0.591 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1 ZINC000446906908 1072754421 /nfs/dbraw/zinc/75/44/21/1072754421.db2.gz AAAMWAUURVQRMK-UHFFFAOYSA-N 0 0 437.460 -0.003 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000446907297 1072754356 /nfs/dbraw/zinc/75/43/56/1072754356.db2.gz WCDUBAHRVFIMIZ-UHFFFAOYSA-N 0 0 432.462 -0.885 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ncn(C3CCCCC3)n2)CC1 ZINC000446907391 1072754320 /nfs/dbraw/zinc/75/43/20/1072754320.db2.gz DNNRTTLULBACEP-UHFFFAOYSA-N 0 0 426.481 0.565 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)n(-c2ncccn2)n1 ZINC000446908297 1072754332 /nfs/dbraw/zinc/75/43/32/1072754332.db2.gz LGOKBHXGJNZNLH-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000446908729 1072754303 /nfs/dbraw/zinc/75/43/03/1072754303.db2.gz QCTPUUSAUKUYAP-UHFFFAOYSA-N 0 0 425.371 0.227 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccnn2C[C@@H]2CCOC2)CC1 ZINC000446910968 1072754406 /nfs/dbraw/zinc/75/44/06/1072754406.db2.gz DVNWJCXQNBEAHT-AWEZNQCLSA-N 0 0 427.465 -0.299 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccnn2C[C@H]2CCOC2)CC1 ZINC000446910969 1072754289 /nfs/dbraw/zinc/75/42/89/1072754289.db2.gz DVNWJCXQNBEAHT-CQSZACIVSA-N 0 0 427.465 -0.299 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000446911227 1072754367 /nfs/dbraw/zinc/75/43/67/1072754367.db2.gz GPOBPRVFRGRDRK-UHFFFAOYSA-N 0 0 426.433 -0.668 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000446911789 1072754958 /nfs/dbraw/zinc/75/49/58/1072754958.db2.gz USKKEKFGZFGDNY-UHFFFAOYSA-N 0 0 440.460 -0.278 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000446911938 1072754945 /nfs/dbraw/zinc/75/49/45/1072754945.db2.gz KYNKBZOWQABPDE-UHFFFAOYSA-N 0 0 443.485 -0.202 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccncc3)n2)CC1 ZINC000446912404 1072754818 /nfs/dbraw/zinc/75/48/18/1072754818.db2.gz YEHYJFXUIVKHDS-UHFFFAOYSA-N 0 0 434.460 0.108 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000446912700 1072754899 /nfs/dbraw/zinc/75/48/99/1072754899.db2.gz OVSHHFTUZRSLHO-UHFFFAOYSA-N 0 0 446.489 -0.624 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(c4ncccc4C(N)=O)CC3)cc2n(C)c1=O ZINC000446913105 1072754917 /nfs/dbraw/zinc/75/49/17/1072754917.db2.gz RIXQMTLPQRMFEV-UHFFFAOYSA-N 0 0 437.460 -0.342 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000446913382 1072755068 /nfs/dbraw/zinc/75/50/68/1072755068.db2.gz SFSHDBWPAPWSCF-UHFFFAOYSA-N 0 0 431.474 -0.129 20 0 IBADRN CCOC(=O)c1cc(NC(=O)CNS(=O)(=O)c2cn(C)cn2)cc(S(C)(=O)=O)c1 ZINC000446914765 1072755005 /nfs/dbraw/zinc/75/50/05/1072755005.db2.gz PZXQBSJVXPSPIZ-UHFFFAOYSA-N 0 0 444.491 -0.083 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000446915115 1072754856 /nfs/dbraw/zinc/75/48/56/1072754856.db2.gz BQTCPUBZDVFONC-UHFFFAOYSA-N 0 0 426.433 -0.668 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000446916671 1072754841 /nfs/dbraw/zinc/75/48/41/1072754841.db2.gz OZEMJHBHDKLLCF-UHFFFAOYSA-N 0 0 443.544 -0.599 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)c2cccs2)c1 ZINC000446916674 1072755083 /nfs/dbraw/zinc/75/50/83/1072755083.db2.gz OZHOMIZQPPPZEY-UHFFFAOYSA-N 0 0 439.562 -0.087 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000446916981 1072754994 /nfs/dbraw/zinc/75/49/94/1072754994.db2.gz UEXFKPZTNBQWOP-UHFFFAOYSA-N 0 0 440.460 -0.164 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC[C@@H]3CN4CCN3CC4)cc2n(C)c1=O ZINC000446917011 1072754877 /nfs/dbraw/zinc/75/48/77/1072754877.db2.gz ULPXHEMAQBLWEG-LLVKDONJSA-N 0 0 444.355 -0.082 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC[C@H]3CN4CCN3CC4)cc2n(C)c1=O ZINC000446917012 1072755057 /nfs/dbraw/zinc/75/50/57/1072755057.db2.gz ULPXHEMAQBLWEG-NSHDSACASA-N 0 0 444.355 -0.082 20 0 IBADRN COc1ccc(NC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1S(=O)(=O)NC1CC1 ZINC000446917306 1072755026 /nfs/dbraw/zinc/75/50/26/1072755026.db2.gz XTMROASKTBFDOQ-UHFFFAOYSA-N 0 0 443.507 -0.214 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1cc(S(N)(=O)=O)c(C)o1)C(=O)NCCN1CCOCC1 ZINC000446917958 1072755045 /nfs/dbraw/zinc/75/50/45/1072755045.db2.gz GVTMILLPUMYXKI-BLLLJJGKSA-N 0 0 430.527 -0.172 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1cc(S(N)(=O)=O)c(C)o1)C(=O)NCCN1CCOCC1 ZINC000446917959 1072755095 /nfs/dbraw/zinc/75/50/95/1072755095.db2.gz GVTMILLPUMYXKI-LRDDRELGSA-N 0 0 430.527 -0.172 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1cc(S(N)(=O)=O)c(C)o1)C(=O)NCCN1CCOCC1 ZINC000446917960 1072754796 /nfs/dbraw/zinc/75/47/96/1072754796.db2.gz GVTMILLPUMYXKI-MLGOLLRUSA-N 0 0 430.527 -0.172 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1cc(S(N)(=O)=O)c(C)o1)C(=O)NCCN1CCOCC1 ZINC000446917961 1072755117 /nfs/dbraw/zinc/75/51/17/1072755117.db2.gz GVTMILLPUMYXKI-WBMJQRKESA-N 0 0 430.527 -0.172 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCNS(=O)(=O)c3cccs3)cc2[nH]c1=O ZINC000446917964 1072754976 /nfs/dbraw/zinc/75/49/76/1072754976.db2.gz GWIZTDZMXAGTNJ-UHFFFAOYSA-N 0 0 430.489 -0.465 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCOc2ncccc2C(F)(F)F)CC1 ZINC000446918774 1072755101 /nfs/dbraw/zinc/75/51/01/1072755101.db2.gz PERYQUQAYGVIEM-UHFFFAOYSA-N 0 0 432.446 -0.109 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1-n1cnnn1 ZINC000446918870 1072755428 /nfs/dbraw/zinc/75/54/28/1072755428.db2.gz POUUHSGWEMNDMT-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1S(N)(=O)=O ZINC000446919659 1072755626 /nfs/dbraw/zinc/75/56/26/1072755626.db2.gz HSNFCUGKQHMTLD-UHFFFAOYSA-N 0 0 446.489 -0.576 20 0 IBADRN CN1c2cc(NC(=O)C(=O)N3CCN(c4ncccc4C(N)=O)CC3)ccc2OCC1=O ZINC000446920185 1072755721 /nfs/dbraw/zinc/75/57/21/1072755721.db2.gz LFSGPBSFLCNWRO-UHFFFAOYSA-N 0 0 438.444 -0.177 20 0 IBADRN COc1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)sc1S(N)(=O)=O ZINC000446920378 1072755640 /nfs/dbraw/zinc/75/56/40/1072755640.db2.gz LWIBIAVISMQKBO-LLVKDONJSA-N 0 0 432.524 -0.933 20 0 IBADRN COc1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)sc1S(N)(=O)=O ZINC000446920379 1072755582 /nfs/dbraw/zinc/75/55/82/1072755582.db2.gz LWIBIAVISMQKBO-NSHDSACASA-N 0 0 432.524 -0.933 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCNS(=O)(=O)c3cccs3)cnc21 ZINC000446920730 1072755540 /nfs/dbraw/zinc/75/55/40/1072755540.db2.gz CDHIJQKEJXADIK-UHFFFAOYSA-N 0 0 445.504 -0.648 20 0 IBADRN COc1ccc(OC)c(CN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)C2CC2)c1 ZINC000446921162 1072755557 /nfs/dbraw/zinc/75/55/57/1072755557.db2.gz QDNWDOYXDNHLIS-UHFFFAOYSA-N 0 0 430.465 0.513 20 0 IBADRN Cc1oc(C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)cc1S(N)(=O)=O ZINC000446921293 1072755501 /nfs/dbraw/zinc/75/55/01/1072755501.db2.gz FXLDPRVLBPHQLH-UHFFFAOYSA-N 0 0 441.554 -0.454 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000446922467 1072755680 /nfs/dbraw/zinc/75/56/80/1072755680.db2.gz MGOSZIFTRZUQCP-UHFFFAOYSA-N 0 0 445.523 -0.014 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000446922615 1072755524 /nfs/dbraw/zinc/75/55/24/1072755524.db2.gz ZHDVRQXSDCEGJG-UHFFFAOYSA-N 0 0 428.449 -0.112 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)c2cccs2)c1 ZINC000446925669 1072755757 /nfs/dbraw/zinc/75/57/57/1072755757.db2.gz QXEOJPZFYFJAEZ-UHFFFAOYSA-N 0 0 425.535 -0.348 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)C2)n(C)n1 ZINC000446929202 1072755750 /nfs/dbraw/zinc/75/57/50/1072755750.db2.gz JBZWVSPNCUZUIL-INIZCTEOSA-N 0 0 445.524 -0.300 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)C2)n(C)n1 ZINC000446929203 1072755415 /nfs/dbraw/zinc/75/54/15/1072755415.db2.gz JBZWVSPNCUZUIL-MRXNPFEDSA-N 0 0 445.524 -0.300 20 0 IBADRN O=S(=O)(NC[C@@H]1CN2CCN1CC2)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000446931220 1072755469 /nfs/dbraw/zinc/75/54/69/1072755469.db2.gz PWBPPLWXOWNFQM-GFCCVEGCSA-N 0 0 442.485 -0.195 20 0 IBADRN O=S(=O)(NC[C@H]1CN2CCN1CC2)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000446931221 1072755448 /nfs/dbraw/zinc/75/54/48/1072755448.db2.gz PWBPPLWXOWNFQM-LBPRGKRZSA-N 0 0 442.485 -0.195 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)n(C)n1 ZINC000446931478 1072756277 /nfs/dbraw/zinc/75/62/77/1072756277.db2.gz QUAHGNGFEKTKKF-KRWDZBQOSA-N 0 0 447.540 -0.590 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)n(C)n1 ZINC000446931479 1072756312 /nfs/dbraw/zinc/75/63/12/1072756312.db2.gz QUAHGNGFEKTKKF-QGZVFWFLSA-N 0 0 447.540 -0.590 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)C2)n(C)n1 ZINC000446932844 1072756198 /nfs/dbraw/zinc/75/61/98/1072756198.db2.gz WYCLSWPXCVUNQB-GOSISDBHSA-N 0 0 433.557 -0.117 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)C2)n(C)n1 ZINC000446932845 1072756243 /nfs/dbraw/zinc/75/62/43/1072756243.db2.gz WYCLSWPXCVUNQB-SFHVURJKSA-N 0 0 433.557 -0.117 20 0 IBADRN CCOC1CC(CNC(=O)N2CCN(CC(=O)NCCOC)CC2)(N2CCOCC2)C1 ZINC000446933761 1072756253 /nfs/dbraw/zinc/75/62/53/1072756253.db2.gz PJMIJDJAODNCAS-UHFFFAOYSA-N 0 0 441.573 -0.654 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2)n(C)n1 ZINC000446935329 1072756090 /nfs/dbraw/zinc/75/60/90/1072756090.db2.gz ZKPOIQLDFLXZAX-GOSISDBHSA-N 0 0 433.557 -0.117 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2)n(C)n1 ZINC000446935330 1072756124 /nfs/dbraw/zinc/75/61/24/1072756124.db2.gz ZKPOIQLDFLXZAX-SFHVURJKSA-N 0 0 433.557 -0.117 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2)n(C)n1 ZINC000446943660 1072756366 /nfs/dbraw/zinc/75/63/66/1072756366.db2.gz KVBQLJKIVKUXSZ-HNNXBMFYSA-N 0 0 445.528 -0.631 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2)n(C)n1 ZINC000446943661 1072756153 /nfs/dbraw/zinc/75/61/53/1072756153.db2.gz KVBQLJKIVKUXSZ-OAHLLOKOSA-N 0 0 445.528 -0.631 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000446947259 1072756883 /nfs/dbraw/zinc/75/68/83/1072756883.db2.gz FCOQTQZSNAAQQZ-UHFFFAOYSA-N 0 0 434.540 -0.907 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCc2ccc(S(N)(=O)=O)cc2C)c1 ZINC000446949402 1072757438 /nfs/dbraw/zinc/75/74/38/1072757438.db2.gz HEZRMVZXNXCUHU-UHFFFAOYSA-N 0 0 430.508 -0.175 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000446952563 1072756898 /nfs/dbraw/zinc/75/68/98/1072756898.db2.gz QLBIYQBWMLDNMB-UHFFFAOYSA-N 0 0 431.268 -0.016 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000446952785 1072756727 /nfs/dbraw/zinc/75/67/27/1072756727.db2.gz SSDKUFZAONAYNG-GOSISDBHSA-N 0 0 438.550 -0.028 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000446952786 1072756858 /nfs/dbraw/zinc/75/68/58/1072756858.db2.gz SSDKUFZAONAYNG-SFHVURJKSA-N 0 0 438.550 -0.028 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000446953616 1072756827 /nfs/dbraw/zinc/75/68/27/1072756827.db2.gz DQTDGBCLRNDBLF-UHFFFAOYSA-N 0 0 437.478 -0.040 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2ccc(S(N)(=O)=O)cc2C)cn1C ZINC000446953797 1072756690 /nfs/dbraw/zinc/75/66/90/1072756690.db2.gz GXIOWBHSPJDWPG-UHFFFAOYSA-N 0 0 429.524 -0.331 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)c1 ZINC000446955743 1072756750 /nfs/dbraw/zinc/75/67/50/1072756750.db2.gz BIMPLYZTDJUPEP-UHFFFAOYSA-N 0 0 434.522 -0.841 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCc1ccc(S(N)(=O)=O)cc1C ZINC000446961350 1072756838 /nfs/dbraw/zinc/75/68/38/1072756838.db2.gz XJAFFFWJRKHICI-GFCCVEGCSA-N 0 0 443.551 -0.024 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCc1ccc(S(N)(=O)=O)cc1C ZINC000446961351 1072756816 /nfs/dbraw/zinc/75/68/16/1072756816.db2.gz XJAFFFWJRKHICI-LBPRGKRZSA-N 0 0 443.551 -0.024 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000446963036 1072757367 /nfs/dbraw/zinc/75/73/67/1072757367.db2.gz SRORAZHCGUPCGU-UHFFFAOYSA-N 0 0 448.486 -0.016 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2cncc3ncnn32)CC1 ZINC000446963961 1072757285 /nfs/dbraw/zinc/75/72/85/1072757285.db2.gz FGGBZBJOGIHPAO-LBPRGKRZSA-N 0 0 434.482 -0.255 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000446964170 1072757450 /nfs/dbraw/zinc/75/74/50/1072757450.db2.gz BHCDJLHJAFITMA-UHFFFAOYSA-N 0 0 437.478 -0.182 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)c1cc(C)c2c(n1)n(C)c(=O)n(C)c2=O ZINC000446966441 1072757488 /nfs/dbraw/zinc/75/74/88/1072757488.db2.gz RBKSBGCIGNIIIN-UHFFFAOYSA-N 0 0 431.474 -0.174 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000446966868 1072757222 /nfs/dbraw/zinc/75/72/22/1072757222.db2.gz PQQCKWDJWUWKHB-UHFFFAOYSA-N 0 0 434.522 -0.021 20 0 IBADRN COc1cc(C(=O)Nc2cnn(CC(=O)N3CCN(C)CC3)c2)sc1S(N)(=O)=O ZINC000446967825 1072758071 /nfs/dbraw/zinc/75/80/71/1072758071.db2.gz UMMZHGHSRUMUGG-UHFFFAOYSA-N 0 0 442.523 -0.373 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(OC)c(S(N)(=O)=O)s2)CC1 ZINC000446970650 1072758223 /nfs/dbraw/zinc/75/82/23/1072758223.db2.gz FEWTVTPRWHQBBF-GFCCVEGCSA-N 0 0 448.567 -0.297 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(OC)c(S(N)(=O)=O)s2)CC1 ZINC000446970651 1072757992 /nfs/dbraw/zinc/75/79/92/1072757992.db2.gz FEWTVTPRWHQBBF-LBPRGKRZSA-N 0 0 448.567 -0.297 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NCCNS(=O)(=O)c3cccs3)nc2)CC1 ZINC000446974302 1073312246 /nfs/dbraw/zinc/31/22/46/1073312246.db2.gz HXYTXCZIWMUSTR-UHFFFAOYSA-N 0 0 445.592 0.470 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1S(N)(=O)=O ZINC000446979702 1072757625 /nfs/dbraw/zinc/75/76/25/1072757625.db2.gz MXRIPPWHDFTPSC-UHFFFAOYSA-N 0 0 437.522 -0.381 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cnn(Cc3nnc4n3CCCC4)c1)c(=O)n2C ZINC000446980364 1072757330 /nfs/dbraw/zinc/75/73/30/1072757330.db2.gz JXZQZGUXRKGTNL-UHFFFAOYSA-N 0 0 438.452 -0.755 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)c(=O)n(C)c1=O ZINC000446982812 1072757165 /nfs/dbraw/zinc/75/71/65/1072757165.db2.gz IXYPQOOBJODEHJ-UHFFFAOYSA-N 0 0 437.464 -0.150 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1S(N)(=O)=O ZINC000446983102 1072757665 /nfs/dbraw/zinc/75/76/65/1072757665.db2.gz NKWHJHKAMNRVFL-UHFFFAOYSA-N 0 0 425.511 -0.479 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1S(N)(=O)=O ZINC000446986603 1072757254 /nfs/dbraw/zinc/75/72/54/1072757254.db2.gz JELMILDAFJXNMZ-UHFFFAOYSA-N 0 0 439.538 -0.672 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000446993704 1072757949 /nfs/dbraw/zinc/75/79/49/1072757949.db2.gz LADRNAHDKKBZJB-HNNXBMFYSA-N 0 0 442.520 -0.029 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000446993705 1072758062 /nfs/dbraw/zinc/75/80/62/1072758062.db2.gz LADRNAHDKKBZJB-OAHLLOKOSA-N 0 0 442.520 -0.029 20 0 IBADRN COC[C@H](C)OC[C@@H](O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000446994917 1072758606 /nfs/dbraw/zinc/75/86/06/1072758606.db2.gz VVYUTBLLKVQXKU-KBPBESRZSA-N 0 0 428.511 -0.013 20 0 IBADRN COC[C@@H](C)OC[C@@H](O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000446994918 1072758678 /nfs/dbraw/zinc/75/86/78/1072758678.db2.gz VVYUTBLLKVQXKU-KGLIPLIRSA-N 0 0 428.511 -0.013 20 0 IBADRN COC[C@H](C)OC[C@H](O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000446994919 1072758501 /nfs/dbraw/zinc/75/85/01/1072758501.db2.gz VVYUTBLLKVQXKU-UONOGXRCSA-N 0 0 428.511 -0.013 20 0 IBADRN COC[C@@H](C)OC[C@H](O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000446994920 1072758561 /nfs/dbraw/zinc/75/85/61/1072758561.db2.gz VVYUTBLLKVQXKU-ZIAGYGMSSA-N 0 0 428.511 -0.013 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)CC1 ZINC000446994987 1072758452 /nfs/dbraw/zinc/75/84/52/1072758452.db2.gz BZOMXGGXIVEPCH-UHFFFAOYSA-N 0 0 448.567 -0.164 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000446995492 1072758673 /nfs/dbraw/zinc/75/86/73/1072758673.db2.gz YHWMNTBLYWBKOL-HNNXBMFYSA-N 0 0 426.481 -0.244 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000446995493 1072758491 /nfs/dbraw/zinc/75/84/91/1072758491.db2.gz YHWMNTBLYWBKOL-OAHLLOKOSA-N 0 0 426.481 -0.244 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N(C)C)c2)CC1 ZINC000446996061 1072758472 /nfs/dbraw/zinc/75/84/72/1072758472.db2.gz IOAMEVWEFQXKAN-HNNXBMFYSA-N 0 0 430.509 -0.123 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N(C)C)c2)CC1 ZINC000446996062 1072758552 /nfs/dbraw/zinc/75/85/52/1072758552.db2.gz IOAMEVWEFQXKAN-OAHLLOKOSA-N 0 0 430.509 -0.123 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(OC(F)F)cn1)C2 ZINC000446996247 1072758659 /nfs/dbraw/zinc/75/86/59/1072758659.db2.gz JALWSINTTXQNLE-UHFFFAOYSA-N 0 0 425.396 -0.145 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1 ZINC000446996401 1072758638 /nfs/dbraw/zinc/75/86/38/1072758638.db2.gz KMROGTUZHDHFRI-HNNXBMFYSA-N 0 0 426.481 -0.244 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1 ZINC000446996402 1072758520 /nfs/dbraw/zinc/75/85/20/1072758520.db2.gz KMROGTUZHDHFRI-OAHLLOKOSA-N 0 0 426.481 -0.244 20 0 IBADRN O=C(NCc1ccc(OC(F)F)cn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000446997655 1072758632 /nfs/dbraw/zinc/75/86/32/1072758632.db2.gz ANUSNOJCIMALSX-UHFFFAOYSA-N 0 0 427.408 -0.104 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000446998862 1072758539 /nfs/dbraw/zinc/75/85/39/1072758539.db2.gz CEVDVSYXBJSAPI-HNNXBMFYSA-N 0 0 426.481 -0.244 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000446998863 1072758645 /nfs/dbraw/zinc/75/86/45/1072758645.db2.gz CEVDVSYXBJSAPI-OAHLLOKOSA-N 0 0 426.481 -0.244 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cc1 ZINC000447001430 1072758650 /nfs/dbraw/zinc/75/86/50/1072758650.db2.gz SJHBLWQNLFKDAW-INIZCTEOSA-N 0 0 445.520 -0.095 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cc1 ZINC000447001431 1072758576 /nfs/dbraw/zinc/75/85/76/1072758576.db2.gz SJHBLWQNLFKDAW-MRXNPFEDSA-N 0 0 445.520 -0.095 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1 ZINC000447003937 1072758939 /nfs/dbraw/zinc/75/89/39/1072758939.db2.gz WGDKBCKUUPJFAT-HNNXBMFYSA-N 0 0 426.481 -0.244 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1 ZINC000447003938 1072759128 /nfs/dbraw/zinc/75/91/28/1072759128.db2.gz WGDKBCKUUPJFAT-OAHLLOKOSA-N 0 0 426.481 -0.244 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CC1 ZINC000447004129 1072758588 /nfs/dbraw/zinc/75/85/88/1072758588.db2.gz XUGRXZFHXOQPAD-HNNXBMFYSA-N 0 0 431.493 -0.356 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CC1 ZINC000447004130 1072758932 /nfs/dbraw/zinc/75/89/32/1072758932.db2.gz XUGRXZFHXOQPAD-OAHLLOKOSA-N 0 0 431.493 -0.356 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000447005345 1072758905 /nfs/dbraw/zinc/75/89/05/1072758905.db2.gz GZNHMNKFUGUROT-AWEZNQCLSA-N 0 0 437.522 -0.572 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000447005346 1072759006 /nfs/dbraw/zinc/75/90/06/1072759006.db2.gz GZNHMNKFUGUROT-CQSZACIVSA-N 0 0 437.522 -0.572 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)CCCO ZINC000447009847 1072759121 /nfs/dbraw/zinc/75/91/21/1072759121.db2.gz ZWUWAYPXTXRFLB-AWEZNQCLSA-N 0 0 442.538 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)CCCO ZINC000447009848 1072759019 /nfs/dbraw/zinc/75/90/19/1072759019.db2.gz ZWUWAYPXTXRFLB-CQSZACIVSA-N 0 0 442.538 -0.153 20 0 IBADRN CN(CCOCC1CC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000447012148 1072758981 /nfs/dbraw/zinc/75/89/81/1072758981.db2.gz ADKXPQOVJNAFRD-UHFFFAOYSA-N 0 0 438.506 -0.369 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000447015002 1072759041 /nfs/dbraw/zinc/75/90/41/1072759041.db2.gz FAXGYFWAQVWYTP-UHFFFAOYSA-N 0 0 448.505 -0.494 20 0 IBADRN C[C@@H](Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000447015854 1072758995 /nfs/dbraw/zinc/75/89/95/1072758995.db2.gz HSHOICURJOVSCG-LLVKDONJSA-N 0 0 427.469 -0.478 20 0 IBADRN C[C@H](Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000447015855 1072759111 /nfs/dbraw/zinc/75/91/11/1072759111.db2.gz HSHOICURJOVSCG-NSHDSACASA-N 0 0 427.469 -0.478 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)CCCO ZINC000447016854 1072760004 /nfs/dbraw/zinc/76/00/04/1072760004.db2.gz LUJZDAAAJBUEEB-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)CCCO ZINC000447016855 1072760045 /nfs/dbraw/zinc/76/00/45/1072760045.db2.gz LUJZDAAAJBUEEB-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000447019637 1072759978 /nfs/dbraw/zinc/75/99/78/1072759978.db2.gz XWNSZVQCGMXZGP-UHFFFAOYSA-N 0 0 445.505 -0.001 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2C)CC1 ZINC000447022442 1072759897 /nfs/dbraw/zinc/75/98/97/1072759897.db2.gz YVJBADXRANOYMZ-UHFFFAOYSA-N 0 0 448.567 -0.164 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000447022562 1072759678 /nfs/dbraw/zinc/75/96/78/1072759678.db2.gz ZSOBVRBTGAGNBE-UHFFFAOYSA-N 0 0 439.538 -0.672 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000447024432 1072759633 /nfs/dbraw/zinc/75/96/33/1072759633.db2.gz XDWFJLLKSPJIFP-HNNXBMFYSA-N 0 0 436.494 -0.591 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000447024433 1072759542 /nfs/dbraw/zinc/75/95/42/1072759542.db2.gz XDWFJLLKSPJIFP-OAHLLOKOSA-N 0 0 436.494 -0.591 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000447026504 1072759599 /nfs/dbraw/zinc/75/95/99/1072759599.db2.gz JCAUGGOUDQTAAT-UHFFFAOYSA-N 0 0 437.522 -0.381 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2nccs2)CC1)N1CCOCC1 ZINC000447027776 1072759580 /nfs/dbraw/zinc/75/95/80/1072759580.db2.gz FKHYSHIOYWGIJN-UHFFFAOYSA-N 0 0 433.494 -0.525 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(Br)cn2)CC1)N1CCOCC1 ZINC000447028358 1072759568 /nfs/dbraw/zinc/75/95/68/1072759568.db2.gz HPTCJURSIUQOQK-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000447031777 1072759521 /nfs/dbraw/zinc/75/95/21/1072759521.db2.gz YLFFRBMOXHTOFI-UHFFFAOYSA-N 0 0 439.538 -0.672 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000447032175 1072759648 /nfs/dbraw/zinc/75/96/48/1072759648.db2.gz VVCXIBSUBAVWRV-UHFFFAOYSA-N 0 0 432.403 -0.620 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000447035062 1072759591 /nfs/dbraw/zinc/75/95/91/1072759591.db2.gz AXODYGYBCCXTPA-INIZCTEOSA-N 0 0 441.558 -0.707 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000447035065 1072759473 /nfs/dbraw/zinc/75/94/73/1072759473.db2.gz AXODYGYBCCXTPA-MRXNPFEDSA-N 0 0 441.558 -0.707 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000447044219 1072759645 /nfs/dbraw/zinc/75/96/45/1072759645.db2.gz JFOWRFYIVABGRL-MSOLQXFVSA-N 0 0 433.557 -0.037 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000447044220 1072759655 /nfs/dbraw/zinc/75/96/55/1072759655.db2.gz JFOWRFYIVABGRL-QZTJIDSGSA-N 0 0 433.557 -0.037 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000447044221 1072759530 /nfs/dbraw/zinc/75/95/30/1072759530.db2.gz JFOWRFYIVABGRL-ROUUACIJSA-N 0 0 433.557 -0.037 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000447044222 1072760059 /nfs/dbraw/zinc/76/00/59/1072760059.db2.gz JFOWRFYIVABGRL-ZWKOTPCHSA-N 0 0 433.557 -0.037 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCN(c3ccnn3C)C1)C2 ZINC000447044314 1072759509 /nfs/dbraw/zinc/75/95/09/1072759509.db2.gz KFUSZASGQDGXCA-AWEZNQCLSA-N 0 0 431.501 -0.939 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCN(c3ccnn3C)C1)C2 ZINC000447044315 1072759622 /nfs/dbraw/zinc/75/96/22/1072759622.db2.gz KFUSZASGQDGXCA-CQSZACIVSA-N 0 0 431.501 -0.939 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000447044456 1072759638 /nfs/dbraw/zinc/75/96/38/1072759638.db2.gz LSRXHJLUVFQDME-INIZCTEOSA-N 0 0 433.513 -0.899 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000447044457 1072759671 /nfs/dbraw/zinc/75/96/71/1072759671.db2.gz LSRXHJLUVFQDME-MRXNPFEDSA-N 0 0 433.513 -0.899 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000447045351 1072760053 /nfs/dbraw/zinc/76/00/53/1072760053.db2.gz VIEQTBIRBUEOBT-HNNXBMFYSA-N 0 0 431.497 -0.608 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000447045353 1072759919 /nfs/dbraw/zinc/75/99/19/1072759919.db2.gz VIEQTBIRBUEOBT-OAHLLOKOSA-N 0 0 431.497 -0.608 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000447046279 1072760290 /nfs/dbraw/zinc/76/02/90/1072760290.db2.gz GKJBYXWXCINGDY-KRWDZBQOSA-N 0 0 447.540 -0.098 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000447046280 1072760238 /nfs/dbraw/zinc/76/02/38/1072760238.db2.gz GKJBYXWXCINGDY-QGZVFWFLSA-N 0 0 447.540 -0.098 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)N2CCO[C@@H](CNc3nccn(C)c3=O)C2)C1 ZINC000447047123 1072759961 /nfs/dbraw/zinc/75/99/61/1072759961.db2.gz FRXBNXSSOKQLSW-CVEARBPZSA-N 0 0 430.513 0.005 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)N2CCO[C@@H](CNc3nccn(C)c3=O)C2)C1 ZINC000447047124 1073309669 /nfs/dbraw/zinc/30/96/69/1073309669.db2.gz FRXBNXSSOKQLSW-HOTGVXAUSA-N 0 0 430.513 0.005 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)N2CCO[C@H](CNc3nccn(C)c3=O)C2)C1 ZINC000447047125 1072760039 /nfs/dbraw/zinc/76/00/39/1072760039.db2.gz FRXBNXSSOKQLSW-HZPDHXFCSA-N 0 0 430.513 0.005 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)N2CCO[C@H](CNc3nccn(C)c3=O)C2)C1 ZINC000447047126 1072759990 /nfs/dbraw/zinc/75/99/90/1072759990.db2.gz FRXBNXSSOKQLSW-JKSUJKDBSA-N 0 0 430.513 0.005 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000447054675 1072760017 /nfs/dbraw/zinc/76/00/17/1072760017.db2.gz PJELUUGHQWSFQE-KRWDZBQOSA-N 0 0 447.540 -0.098 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000447054676 1073308791 /nfs/dbraw/zinc/30/87/91/1073308791.db2.gz PJELUUGHQWSFQE-QGZVFWFLSA-N 0 0 447.540 -0.098 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cn1 ZINC000447059470 1072760064 /nfs/dbraw/zinc/76/00/64/1072760064.db2.gz XXAHJFFEHLEYRN-INIZCTEOSA-N 0 0 435.529 -0.194 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cn1 ZINC000447059474 1072760305 /nfs/dbraw/zinc/76/03/05/1072760305.db2.gz XXAHJFFEHLEYRN-MRXNPFEDSA-N 0 0 435.529 -0.194 20 0 IBADRN COc1ccc(CC(=O)N[C@@]2(CCO)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000447061373 1072760259 /nfs/dbraw/zinc/76/02/59/1072760259.db2.gz SNBCNVRICLXJNV-IBGZPJMESA-N 0 0 428.507 -0.084 20 0 IBADRN COc1ccc(CC(=O)N[C@]2(CCO)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000447061374 1072760456 /nfs/dbraw/zinc/76/04/56/1072760456.db2.gz SNBCNVRICLXJNV-LJQANCHMSA-N 0 0 428.507 -0.084 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@]3(CCO)CCOC3)cc2)CC1 ZINC000447061874 1072760321 /nfs/dbraw/zinc/76/03/21/1072760321.db2.gz YVAIZNMLKOMBOR-IBGZPJMESA-N 0 0 425.507 -0.189 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@]3(CCO)CCOC3)cc2)CC1 ZINC000447061875 1072760445 /nfs/dbraw/zinc/76/04/45/1072760445.db2.gz YVAIZNMLKOMBOR-LJQANCHMSA-N 0 0 425.507 -0.189 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@]3(CCO)CCOC3)c2)CC1 ZINC000447066411 1072760339 /nfs/dbraw/zinc/76/03/39/1072760339.db2.gz FLNMWALMKFQPJQ-IBGZPJMESA-N 0 0 425.507 -0.189 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@]3(CCO)CCOC3)c2)CC1 ZINC000447066412 1072760401 /nfs/dbraw/zinc/76/04/01/1072760401.db2.gz FLNMWALMKFQPJQ-LJQANCHMSA-N 0 0 425.507 -0.189 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@]1(CCO)CCOC1 ZINC000447069120 1072760690 /nfs/dbraw/zinc/76/06/90/1072760690.db2.gz UJPBXEOKIFAQII-FQEVSTJZSA-N 0 0 439.534 -0.043 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@]1(CCO)CCOC1 ZINC000447069122 1072760940 /nfs/dbraw/zinc/76/09/40/1072760940.db2.gz UJPBXEOKIFAQII-HXUWFJFHSA-N 0 0 439.534 -0.043 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000447075398 1072760798 /nfs/dbraw/zinc/76/07/98/1072760798.db2.gz CJXGZWSEAZAHNR-UHFFFAOYSA-N 0 0 443.507 -0.214 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000447077087 1072760876 /nfs/dbraw/zinc/76/08/76/1072760876.db2.gz MCJBUQFBGKBIDU-GOSISDBHSA-N 0 0 433.557 0.376 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000447077089 1072760911 /nfs/dbraw/zinc/76/09/11/1072760911.db2.gz MCJBUQFBGKBIDU-SFHVURJKSA-N 0 0 433.557 0.376 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)c1 ZINC000447094905 1072761302 /nfs/dbraw/zinc/76/13/02/1072761302.db2.gz VTHNYYNRQYDEFC-UHFFFAOYSA-N 0 0 446.533 -0.568 20 0 IBADRN COc1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)sc1S(N)(=O)=O ZINC000447096192 1072761470 /nfs/dbraw/zinc/76/14/70/1072761470.db2.gz AGDCYHAQZHJGTG-UHFFFAOYSA-N 0 0 432.524 -0.979 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)Nc3cnn(CC(N)=O)c3)C2)cc(OC)c1 ZINC000447118809 1072764664 /nfs/dbraw/zinc/76/46/64/1072764664.db2.gz YQIDLEARQHCFLR-AWEZNQCLSA-N 0 0 430.465 -0.285 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)Nc3cnn(CC(N)=O)c3)C2)cc(OC)c1 ZINC000447118810 1072764595 /nfs/dbraw/zinc/76/45/95/1072764595.db2.gz YQIDLEARQHCFLR-CQSZACIVSA-N 0 0 430.465 -0.285 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(Cc3nnnn3CCOCC(F)(F)F)CC2)no1 ZINC000447120980 1073331506 /nfs/dbraw/zinc/33/15/06/1073331506.db2.gz IZKXRTTUBDUEBQ-UHFFFAOYSA-N 0 0 432.407 0.305 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000447122473 1072764658 /nfs/dbraw/zinc/76/46/58/1072764658.db2.gz PRMLBQIVSMWGRW-AWEZNQCLSA-N 0 0 434.407 -0.389 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000447122474 1072764478 /nfs/dbraw/zinc/76/44/78/1072764478.db2.gz PRMLBQIVSMWGRW-CQSZACIVSA-N 0 0 434.407 -0.389 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccn(Cc2ccccc2)c1=O ZINC000447127311 1072763472 /nfs/dbraw/zinc/76/34/72/1072763472.db2.gz HLRJNHHHWCGGEG-KRWDZBQOSA-N 0 0 439.472 -0.312 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccn(Cc2ccccc2)c1=O ZINC000447127315 1072763993 /nfs/dbraw/zinc/76/39/93/1072763993.db2.gz HLRJNHHHWCGGEG-QGZVFWFLSA-N 0 0 439.472 -0.312 20 0 IBADRN COc1ccc(F)c(NC(=O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000447128264 1072763821 /nfs/dbraw/zinc/76/38/21/1072763821.db2.gz JKEPTUDXUWUZKU-UHFFFAOYSA-N 0 0 444.485 -0.070 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000447130881 1072764010 /nfs/dbraw/zinc/76/40/10/1072764010.db2.gz YKWDONORSVOXAB-LLVKDONJSA-N 0 0 439.537 -0.501 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000447130882 1072764057 /nfs/dbraw/zinc/76/40/57/1072764057.db2.gz YKWDONORSVOXAB-NSHDSACASA-N 0 0 439.537 -0.501 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000447135903 1072764093 /nfs/dbraw/zinc/76/40/93/1072764093.db2.gz JRTFABSVKBZCIJ-UHFFFAOYSA-N 0 0 441.553 -0.009 20 0 IBADRN COc1ccc(-n2cc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)nn2)cc1 ZINC000447137349 1072763805 /nfs/dbraw/zinc/76/38/05/1072763805.db2.gz VFBVHTAWVAEDCV-UHFFFAOYSA-N 0 0 430.512 -0.813 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000447137749 1072764085 /nfs/dbraw/zinc/76/40/85/1072764085.db2.gz ILXRIWNVLANHDO-UHFFFAOYSA-N 0 0 448.527 -0.620 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(I)cn1 ZINC000447143000 1072765497 /nfs/dbraw/zinc/76/54/97/1072765497.db2.gz UWNNFSSVMNVMJT-GFCCVEGCSA-N 0 0 448.261 -0.224 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(I)cn1 ZINC000447143001 1072765538 /nfs/dbraw/zinc/76/55/38/1072765538.db2.gz UWNNFSSVMNVMJT-LBPRGKRZSA-N 0 0 448.261 -0.224 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(I)cn1 ZINC000447145142 1072765429 /nfs/dbraw/zinc/76/54/29/1072765429.db2.gz RCKDNAXVIHSUED-SECBINFHSA-N 0 0 438.247 -0.096 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(I)cn1 ZINC000447145143 1072765344 /nfs/dbraw/zinc/76/53/44/1072765344.db2.gz RCKDNAXVIHSUED-VIFPVBQESA-N 0 0 438.247 -0.096 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000447148610 1072765309 /nfs/dbraw/zinc/76/53/09/1072765309.db2.gz PAXLSGISOZKSIO-HNNXBMFYSA-N 0 0 435.524 -0.332 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000447148611 1072765480 /nfs/dbraw/zinc/76/54/80/1072765480.db2.gz PAXLSGISOZKSIO-OAHLLOKOSA-N 0 0 435.524 -0.332 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCCN(Cc2nc([C@@H](C)OC)no2)CC1 ZINC000447150611 1072765457 /nfs/dbraw/zinc/76/54/57/1072765457.db2.gz LFUSAPGQGIAITK-CYBMUJFWSA-N 0 0 439.560 -0.446 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCCN(Cc2nc([C@H](C)OC)no2)CC1 ZINC000447150612 1072765518 /nfs/dbraw/zinc/76/55/18/1072765518.db2.gz LFUSAPGQGIAITK-ZDUSSCGKSA-N 0 0 439.560 -0.446 20 0 IBADRN COC(=O)[C@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000447156512 1072764466 /nfs/dbraw/zinc/76/44/66/1072764466.db2.gz KHSPHFUGXPUJJR-INIZCTEOSA-N 0 0 434.492 -0.682 20 0 IBADRN COC(=O)[C@@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000447156515 1072764498 /nfs/dbraw/zinc/76/44/98/1072764498.db2.gz KHSPHFUGXPUJJR-MRXNPFEDSA-N 0 0 434.492 -0.682 20 0 IBADRN Cc1cc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)ccc1S(N)(=O)=O ZINC000447156926 1072764453 /nfs/dbraw/zinc/76/44/53/1072764453.db2.gz LQFRWPOLNGVYKI-UHFFFAOYSA-N 0 0 434.540 -0.907 20 0 IBADRN COc1cc(CN2CC[C@H](NS(=O)(=O)N3CCS(=O)(=O)CC3)C2)cc(OC)c1 ZINC000447162443 1072765103 /nfs/dbraw/zinc/76/51/03/1072765103.db2.gz IOFJYDXEAYPSQD-HNNXBMFYSA-N 0 0 433.552 -0.157 20 0 IBADRN COc1cc(CN2CC[C@@H](NS(=O)(=O)N3CCS(=O)(=O)CC3)C2)cc(OC)c1 ZINC000447162444 1072765060 /nfs/dbraw/zinc/76/50/60/1072765060.db2.gz IOFJYDXEAYPSQD-OAHLLOKOSA-N 0 0 433.552 -0.157 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)c1 ZINC000447163624 1072764999 /nfs/dbraw/zinc/76/49/99/1072764999.db2.gz UJTCWDHDNMOLRI-HNNXBMFYSA-N 0 0 444.579 -0.088 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)c1 ZINC000447163625 1072764968 /nfs/dbraw/zinc/76/49/68/1072764968.db2.gz UJTCWDHDNMOLRI-OAHLLOKOSA-N 0 0 444.579 -0.088 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)C[C@H](C)O1 ZINC000447164529 1072765012 /nfs/dbraw/zinc/76/50/12/1072765012.db2.gz CUIHJSZYLXTNEX-FRRDWIJNSA-N 0 0 442.460 -0.352 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)C[C@@H](C)O1 ZINC000447164530 1072764987 /nfs/dbraw/zinc/76/49/87/1072764987.db2.gz CUIHJSZYLXTNEX-JHJVBQTASA-N 0 0 442.460 -0.352 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)C[C@@H](C)O1 ZINC000447164531 1072765042 /nfs/dbraw/zinc/76/50/42/1072765042.db2.gz CUIHJSZYLXTNEX-UPJWGTAASA-N 0 0 442.460 -0.352 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)C[C@H](C)O1 ZINC000447164532 1072765112 /nfs/dbraw/zinc/76/51/12/1072765112.db2.gz CUIHJSZYLXTNEX-XQQFMLRXSA-N 0 0 442.460 -0.352 20 0 IBADRN Cc1cc(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)ccc1S(N)(=O)=O ZINC000447164713 1072765283 /nfs/dbraw/zinc/76/52/83/1072765283.db2.gz DPBQSNSMYJENSC-KRWDZBQOSA-N 0 0 438.550 -0.028 20 0 IBADRN Cc1cc(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)ccc1S(N)(=O)=O ZINC000447164714 1072765390 /nfs/dbraw/zinc/76/53/90/1072765390.db2.gz DPBQSNSMYJENSC-QGZVFWFLSA-N 0 0 438.550 -0.028 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000447164919 1072765448 /nfs/dbraw/zinc/76/54/48/1072765448.db2.gz FTKCSMZQTAVNRE-AWEZNQCLSA-N 0 0 430.552 -0.349 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000447164920 1072765532 /nfs/dbraw/zinc/76/55/32/1072765532.db2.gz FTKCSMZQTAVNRE-CQSZACIVSA-N 0 0 430.552 -0.349 20 0 IBADRN O=C1C[C@@H](N2CCN([C@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)C(=O)N1CC(F)(F)F ZINC000447166695 1072765380 /nfs/dbraw/zinc/76/53/80/1072765380.db2.gz FSJVZTXWCFXIKA-AOOOYVTPSA-N 0 0 444.332 -0.016 20 0 IBADRN O=C1C[C@@H](N2CCN([C@@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)C(=O)N1CC(F)(F)F ZINC000447166699 1072765333 /nfs/dbraw/zinc/76/53/33/1072765333.db2.gz FSJVZTXWCFXIKA-NXEZZACHSA-N 0 0 444.332 -0.016 20 0 IBADRN O=C1C[C@H](N2CCN([C@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)C(=O)N1CC(F)(F)F ZINC000447166701 1072765357 /nfs/dbraw/zinc/76/53/57/1072765357.db2.gz FSJVZTXWCFXIKA-UWVGGRQHSA-N 0 0 444.332 -0.016 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)o1 ZINC000447167215 1072765416 /nfs/dbraw/zinc/76/54/16/1072765416.db2.gz NUEAIQUDTWQWRU-SECBINFHSA-N 0 0 438.384 -0.625 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CC(=O)N(CC(F)(F)F)C3=O)CC2)o1 ZINC000447167216 1072765363 /nfs/dbraw/zinc/76/53/63/1072765363.db2.gz NUEAIQUDTWQWRU-VIFPVBQESA-N 0 0 438.384 -0.625 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C(C)(C)C1=O ZINC000447168050 1072765557 /nfs/dbraw/zinc/76/55/57/1072765557.db2.gz LKQRJKHUCFKDMW-UHFFFAOYSA-N 0 0 431.536 -0.051 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000447178497 1072767081 /nfs/dbraw/zinc/76/70/81/1072767081.db2.gz SBPAJDLJCKZVIV-CYBMUJFWSA-N 0 0 438.478 -0.518 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000447178499 1072767047 /nfs/dbraw/zinc/76/70/47/1072767047.db2.gz SBPAJDLJCKZVIV-ZDUSSCGKSA-N 0 0 438.478 -0.518 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000447181833 1072766025 /nfs/dbraw/zinc/76/60/25/1072766025.db2.gz FHFULISETRIYPR-UHFFFAOYSA-N 0 0 449.551 -0.269 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCc2ccc(S(=O)(=O)N(C)C)cc21 ZINC000447182841 1072765903 /nfs/dbraw/zinc/76/59/03/1072765903.db2.gz JHEJXJUIQHPDTG-UHFFFAOYSA-N 0 0 425.554 -0.432 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)cc1 ZINC000447184770 1072765929 /nfs/dbraw/zinc/76/59/29/1072765929.db2.gz HQHTZBOEWZAAQL-GFCCVEGCSA-N 0 0 436.446 -0.240 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)cc1 ZINC000447184771 1072765879 /nfs/dbraw/zinc/76/58/79/1072765879.db2.gz HQHTZBOEWZAAQL-LBPRGKRZSA-N 0 0 436.446 -0.240 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cc1 ZINC000447185862 1072765863 /nfs/dbraw/zinc/76/58/63/1072765863.db2.gz ZYIAKITVRIMMAF-HNNXBMFYSA-N 0 0 444.579 -0.088 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cc1 ZINC000447185863 1072765919 /nfs/dbraw/zinc/76/59/19/1072765919.db2.gz ZYIAKITVRIMMAF-OAHLLOKOSA-N 0 0 444.579 -0.088 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(S(=O)(=O)CCNS(=O)(=O)CC)CC2)s1 ZINC000447186260 1072765991 /nfs/dbraw/zinc/76/59/91/1072765991.db2.gz VWVMTWKQGYNRRJ-UHFFFAOYSA-N 0 0 440.569 -0.289 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1 ZINC000447186434 1072766006 /nfs/dbraw/zinc/76/60/06/1072766006.db2.gz XAZXONWNJOVTPN-BMFAXAFESA-N 0 0 444.550 -0.105 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1 ZINC000447186435 1072765942 /nfs/dbraw/zinc/76/59/42/1072765942.db2.gz XAZXONWNJOVTPN-IMBTUZDBSA-N 0 0 444.550 -0.105 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1 ZINC000447186436 1072765964 /nfs/dbraw/zinc/76/59/64/1072765964.db2.gz XAZXONWNJOVTPN-NTDBWNAOSA-N 0 0 444.550 -0.105 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1 ZINC000447186437 1072765954 /nfs/dbraw/zinc/76/59/54/1072765954.db2.gz XAZXONWNJOVTPN-SFNKJDCFSA-N 0 0 444.550 -0.105 20 0 IBADRN COC(=O)[C@@]1(NS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCOC1 ZINC000447186668 1072765982 /nfs/dbraw/zinc/76/59/82/1072765982.db2.gz NPABZTGWUCBSDP-DLBZAZTESA-N 0 0 427.435 -0.640 20 0 IBADRN COC(=O)[C@@]1(NS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCOC1 ZINC000447186669 1072765830 /nfs/dbraw/zinc/76/58/30/1072765830.db2.gz NPABZTGWUCBSDP-IAGOWNOFSA-N 0 0 427.435 -0.640 20 0 IBADRN COC(=O)[C@]1(NS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCOC1 ZINC000447186670 1072765973 /nfs/dbraw/zinc/76/59/73/1072765973.db2.gz NPABZTGWUCBSDP-IRXDYDNUSA-N 0 0 427.435 -0.640 20 0 IBADRN COC(=O)[C@]1(NS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCOC1 ZINC000447186671 1072765810 /nfs/dbraw/zinc/76/58/10/1072765810.db2.gz NPABZTGWUCBSDP-SJORKVTESA-N 0 0 427.435 -0.640 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(S(=O)(=O)CCNS(=O)(=O)CC)CC2)CC1 ZINC000447188647 1072766229 /nfs/dbraw/zinc/76/62/29/1072766229.db2.gz ZMONURXOTATNRK-UHFFFAOYSA-N 0 0 438.616 -0.478 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000447189147 1072766273 /nfs/dbraw/zinc/76/62/73/1072766273.db2.gz FATGITUXXHMYKU-UHFFFAOYSA-N 0 0 439.581 -0.348 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000447189245 1072766251 /nfs/dbraw/zinc/76/62/51/1072766251.db2.gz AIPIFRLVNVMOOJ-UHFFFAOYSA-N 0 0 438.616 -0.336 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)CCNS(=O)(=O)CC)CC2 ZINC000447189284 1072766435 /nfs/dbraw/zinc/76/64/35/1072766435.db2.gz AULDTNLKYAFOIM-UHFFFAOYSA-N 0 0 425.554 -0.384 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000447189557 1072766263 /nfs/dbraw/zinc/76/62/63/1072766263.db2.gz GTPVNVLXFYALHW-UHFFFAOYSA-N 0 0 446.595 -0.026 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000447190975 1072766286 /nfs/dbraw/zinc/76/62/86/1072766286.db2.gz FJSQTTNLYOUSKU-GUDVDZBRSA-N 0 0 427.542 -0.428 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000447190976 1072766444 /nfs/dbraw/zinc/76/64/44/1072766444.db2.gz FJSQTTNLYOUSKU-IPMKNSEASA-N 0 0 427.542 -0.428 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000447190977 1072766390 /nfs/dbraw/zinc/76/63/90/1072766390.db2.gz FJSQTTNLYOUSKU-OTWHNJEPSA-N 0 0 427.542 -0.428 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000447190978 1072766360 /nfs/dbraw/zinc/76/63/60/1072766360.db2.gz FJSQTTNLYOUSKU-QRVBRYPASA-N 0 0 427.542 -0.428 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000447191578 1072766411 /nfs/dbraw/zinc/76/64/11/1072766411.db2.gz LRFITUQOGWSGSQ-UHFFFAOYSA-N 0 0 425.479 -0.008 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1 ZINC000447191995 1072766210 /nfs/dbraw/zinc/76/62/10/1072766210.db2.gz MTLYTUKJTLWCFO-INIZCTEOSA-N 0 0 433.552 -0.046 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1cccc(C(=O)NC[C@H]2CCCO2)c1 ZINC000447191997 1072766350 /nfs/dbraw/zinc/76/63/50/1072766350.db2.gz MTLYTUKJTLWCFO-MRXNPFEDSA-N 0 0 433.552 -0.046 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)CC(=O)N1c2ccccc2NC(=O)C[C@H]1C ZINC000447193142 1072766808 /nfs/dbraw/zinc/76/68/08/1072766808.db2.gz OCPCDGAMMCWBME-CYBMUJFWSA-N 0 0 446.551 -0.049 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)CC(=O)N1c2ccccc2NC(=O)C[C@@H]1C ZINC000447193145 1072766724 /nfs/dbraw/zinc/76/67/24/1072766724.db2.gz OCPCDGAMMCWBME-ZDUSSCGKSA-N 0 0 446.551 -0.049 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccccc1N1CCN(C(C)=O)CC1 ZINC000447193609 1072766304 /nfs/dbraw/zinc/76/63/04/1072766304.db2.gz PNBFVBTXWWRQEL-UHFFFAOYSA-N 0 0 432.568 -0.286 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2cc(OC)cc(OC)c2)CC1 ZINC000447195374 1072766753 /nfs/dbraw/zinc/76/67/53/1072766753.db2.gz XBTCZGAYNSPROW-UHFFFAOYSA-N 0 0 449.551 -0.269 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000447195379 1072766765 /nfs/dbraw/zinc/76/67/65/1072766765.db2.gz XDQDASSNEISAPR-UHFFFAOYSA-N 0 0 425.479 -0.008 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)CCNS(=O)(=O)c1ccc(C)cc1 ZINC000447195894 1072766799 /nfs/dbraw/zinc/76/67/99/1072766799.db2.gz YELIIGTXEFBQFE-UHFFFAOYSA-N 0 0 427.570 -0.526 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@@H](c1ccc(OC)cc1)N1CCOCC1 ZINC000447195898 1072766777 /nfs/dbraw/zinc/76/67/77/1072766777.db2.gz YFLWTTYHJFQTLZ-KRWDZBQOSA-N 0 0 435.568 -0.073 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@H](c1ccc(OC)cc1)N1CCOCC1 ZINC000447195901 1072766791 /nfs/dbraw/zinc/76/67/91/1072766791.db2.gz YFLWTTYHJFQTLZ-QGZVFWFLSA-N 0 0 435.568 -0.073 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000447196564 1072766736 /nfs/dbraw/zinc/76/67/36/1072766736.db2.gz ZFQCZCMUIPBALM-UHFFFAOYSA-N 0 0 439.581 -0.302 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000447196873 1072765999 /nfs/dbraw/zinc/76/59/99/1072765999.db2.gz XWVUGRYRRKDHPT-UHFFFAOYSA-N 0 0 436.531 -0.349 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000447196902 1072766379 /nfs/dbraw/zinc/76/63/79/1072766379.db2.gz YDPDGFDECXQALS-GFCCVEGCSA-N 0 0 427.570 -0.143 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000447196903 1072766337 /nfs/dbraw/zinc/76/63/37/1072766337.db2.gz YDPDGFDECXQALS-LBPRGKRZSA-N 0 0 427.570 -0.143 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000447197387 1072767749 /nfs/dbraw/zinc/76/77/49/1072767749.db2.gz CTSDJTBBYUGOMN-UHFFFAOYSA-N 0 0 425.554 -0.738 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000447197533 1072767759 /nfs/dbraw/zinc/76/77/59/1072767759.db2.gz DJHRMSNEDYOYND-UHFFFAOYSA-N 0 0 439.581 -0.430 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000447197659 1072768257 /nfs/dbraw/zinc/76/82/57/1072768257.db2.gz BDVPMGDHGGYXCV-UHFFFAOYSA-N 0 0 439.581 -0.597 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)COc2ccc(C)cc2)CC1 ZINC000447197744 1072768350 /nfs/dbraw/zinc/76/83/50/1072768350.db2.gz BNKGXBSLRLYEBW-UHFFFAOYSA-N 0 0 433.552 -0.213 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2csnc2C(=O)OC)CC1 ZINC000447197823 1072768170 /nfs/dbraw/zinc/76/81/70/1072768170.db2.gz CTIRTGHTWVCKBN-GFCCVEGCSA-N 0 0 434.540 -0.223 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(CCCN1CCOCC1)Cc1ccncc1 ZINC000447197825 1072768269 /nfs/dbraw/zinc/76/82/69/1072768269.db2.gz BTGWVPTWAIJDEC-UHFFFAOYSA-N 0 0 434.584 -0.125 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2csnc2C(=O)OC)CC1 ZINC000447197827 1072768277 /nfs/dbraw/zinc/76/82/77/1072768277.db2.gz CTIRTGHTWVCKBN-LBPRGKRZSA-N 0 0 434.540 -0.223 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000447197906 1072767774 /nfs/dbraw/zinc/76/77/74/1072767774.db2.gz DAOBDZOBPSHXAD-HOTGVXAUSA-N 0 0 438.616 -0.622 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000447197911 1072767828 /nfs/dbraw/zinc/76/78/28/1072767828.db2.gz DAOBDZOBPSHXAD-HZPDHXFCSA-N 0 0 438.616 -0.622 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000447197913 1072767724 /nfs/dbraw/zinc/76/77/24/1072767724.db2.gz DAOBDZOBPSHXAD-IYBDPMFKSA-N 0 0 438.616 -0.622 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000447198041 1072767884 /nfs/dbraw/zinc/76/78/84/1072767884.db2.gz CYMCWRUIMNIQTR-UHFFFAOYSA-N 0 0 438.616 -0.432 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1cccc(S(=O)(=O)NC(C)C)c1 ZINC000447198097 1072767858 /nfs/dbraw/zinc/76/78/58/1072767858.db2.gz DWYQQSDDTGIMML-UHFFFAOYSA-N 0 0 427.570 -0.268 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000447198470 1072767846 /nfs/dbraw/zinc/76/78/46/1072767846.db2.gz FYULKENKYDNSRO-UHFFFAOYSA-N 0 0 444.579 -0.538 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000447198474 1072767835 /nfs/dbraw/zinc/76/78/35/1072767835.db2.gz HDKGTXGCBFUDOR-UHFFFAOYSA-N 0 0 449.580 -0.857 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000447198841 1072768201 /nfs/dbraw/zinc/76/82/01/1072768201.db2.gz JKTCYBMOMQPCGZ-KRWDZBQOSA-N 0 0 444.579 -0.321 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000447198842 1072768290 /nfs/dbraw/zinc/76/82/90/1072768290.db2.gz JKTCYBMOMQPCGZ-QGZVFWFLSA-N 0 0 444.579 -0.321 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000447198885 1072768340 /nfs/dbraw/zinc/76/83/40/1072768340.db2.gz IBXSFRCPVUHLOU-UHFFFAOYSA-N 0 0 437.515 -0.382 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H](C(N)=O)c1cccc(Br)c1 ZINC000447198973 1072768149 /nfs/dbraw/zinc/76/81/49/1072768149.db2.gz HNVBTQXIJKUCTC-LLVKDONJSA-N 0 0 428.330 -0.166 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H](C(N)=O)c1cccc(Br)c1 ZINC000447198974 1072768311 /nfs/dbraw/zinc/76/83/11/1072768311.db2.gz HNVBTQXIJKUCTC-NSHDSACASA-N 0 0 428.330 -0.166 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CCCOCC(F)(F)F)CC1 ZINC000447198992 1072768221 /nfs/dbraw/zinc/76/82/21/1072768221.db2.gz JDNUFVPTKYYSOK-UHFFFAOYSA-N 0 0 425.495 -0.158 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000447199560 1072768244 /nfs/dbraw/zinc/76/82/44/1072768244.db2.gz LLSFLIQYMDBCHU-UHFFFAOYSA-N 0 0 433.961 -0.523 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCCCN1CCN(c2ncccn2)CC1 ZINC000447199652 1072768182 /nfs/dbraw/zinc/76/81/82/1072768182.db2.gz LNOGTIMLPQUINJ-UHFFFAOYSA-N 0 0 434.588 -0.763 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(S(=O)(=O)CCNS(=O)(=O)CC)CC2)nc1 ZINC000447199754 1072768318 /nfs/dbraw/zinc/76/83/18/1072768318.db2.gz MCOCVMIXSUBTMI-UHFFFAOYSA-N 0 0 434.540 -0.351 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000447200457 1072768118 /nfs/dbraw/zinc/76/81/18/1072768118.db2.gz PEWLAXWYOFTDDT-UHFFFAOYSA-N 0 0 435.568 -0.244 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000447200473 1072768360 /nfs/dbraw/zinc/76/83/60/1072768360.db2.gz PJMYYMGHWKANHO-UHFFFAOYSA-N 0 0 438.616 -0.430 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000447200616 1072768302 /nfs/dbraw/zinc/76/83/02/1072768302.db2.gz PXJPTTKAQYDDML-UHFFFAOYSA-N 0 0 432.568 -0.052 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000447200988 1072768663 /nfs/dbraw/zinc/76/86/63/1072768663.db2.gz RQMUUTWRZQBXFQ-UHFFFAOYSA-N 0 0 436.493 -0.246 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000447201048 1072768680 /nfs/dbraw/zinc/76/86/80/1072768680.db2.gz RYKJJYFYKVAUIM-UHFFFAOYSA-N 0 0 432.568 -0.811 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC1CCC(C(=O)N2CCSCC2)CC1 ZINC000447201471 1072768654 /nfs/dbraw/zinc/76/86/54/1072768654.db2.gz TVXLWYIUPSYAIR-UHFFFAOYSA-N 0 0 427.614 -0.021 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(S(=O)(=O)CCNS(=O)(=O)CC)CC2)n1 ZINC000447201649 1072768209 /nfs/dbraw/zinc/76/82/09/1072768209.db2.gz VIISBXADFLXNSE-CYBMUJFWSA-N 0 0 439.560 -0.446 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(S(=O)(=O)CCNS(=O)(=O)CC)CC2)n1 ZINC000447201650 1072768674 /nfs/dbraw/zinc/76/86/74/1072768674.db2.gz VIISBXADFLXNSE-ZDUSSCGKSA-N 0 0 439.560 -0.446 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccc(OCC(=O)N(C)C)c(OC)c1 ZINC000447201810 1072768634 /nfs/dbraw/zinc/76/86/34/1072768634.db2.gz VLHDBBPAANLECJ-UHFFFAOYSA-N 0 0 437.540 -0.479 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000447201950 1072768719 /nfs/dbraw/zinc/76/87/19/1072768719.db2.gz WQEDDNIEVJNPEC-UHFFFAOYSA-N 0 0 425.479 -0.008 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)CC(=O)Nc1ccc(Br)cn1 ZINC000447202026 1072768726 /nfs/dbraw/zinc/76/87/26/1072768726.db2.gz WIGLEUHPKCPXNV-UHFFFAOYSA-N 0 0 443.345 -0.017 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000447202612 1072768709 /nfs/dbraw/zinc/76/87/09/1072768709.db2.gz ZGFODXSUYOQDQV-UHFFFAOYSA-N 0 0 432.568 -0.416 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000447202636 1072768643 /nfs/dbraw/zinc/76/86/43/1072768643.db2.gz ZKAUGFHRHYOKIW-UHFFFAOYSA-N 0 0 439.581 -0.170 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccccc1CS(=O)(=O)NC(C)C ZINC000447202900 1072768608 /nfs/dbraw/zinc/76/86/08/1072768608.db2.gz ITSVORZPYRBDSQ-UHFFFAOYSA-N 0 0 441.597 -0.127 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2ccc(OC)cc2OC)CC1 ZINC000447203551 1072768747 /nfs/dbraw/zinc/76/87/47/1072768747.db2.gz MGCIFMVPDWONAB-UHFFFAOYSA-N 0 0 449.551 -0.269 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)nn2)cc1 ZINC000447203763 1072769028 /nfs/dbraw/zinc/76/90/28/1072769028.db2.gz RAAHFSHRWVMHLX-UHFFFAOYSA-N 0 0 426.437 -0.185 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000447203808 1072768928 /nfs/dbraw/zinc/76/89/28/1072768928.db2.gz ODVZGFLCGOUJLX-UHFFFAOYSA-N 0 0 433.552 -0.349 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000447203828 1072768939 /nfs/dbraw/zinc/76/89/39/1072768939.db2.gz OIWOKGXKMZZYPA-UHFFFAOYSA-N 0 0 432.568 -0.567 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000447203977 1073331355 /nfs/dbraw/zinc/33/13/55/1073331355.db2.gz OZMODQOXECCIOK-UHFFFAOYSA-N 0 0 429.480 0.171 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2cccc(OC)c2OC)CC1 ZINC000447204545 1072768620 /nfs/dbraw/zinc/76/86/20/1072768620.db2.gz UCFKTBLAUGYRAN-UHFFFAOYSA-N 0 0 449.551 -0.269 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H](C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000447204685 1072768999 /nfs/dbraw/zinc/76/89/99/1072768999.db2.gz USWHNQAZHCWCKI-GFCCVEGCSA-N 0 0 427.570 -0.143 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H](C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000447204686 1072768985 /nfs/dbraw/zinc/76/89/85/1072768985.db2.gz USWHNQAZHCWCKI-LBPRGKRZSA-N 0 0 427.570 -0.143 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000447204711 1072769076 /nfs/dbraw/zinc/76/90/76/1072769076.db2.gz VDUXNBDIKAHVDH-CVEARBPZSA-N 0 0 438.616 -0.432 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H](C)C2)CC1 ZINC000447204712 1072769039 /nfs/dbraw/zinc/76/90/39/1072769039.db2.gz VDUXNBDIKAHVDH-HOTGVXAUSA-N 0 0 438.616 -0.432 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000447204713 1072768994 /nfs/dbraw/zinc/76/89/94/1072768994.db2.gz VDUXNBDIKAHVDH-HZPDHXFCSA-N 0 0 438.616 -0.432 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H](C)C2)CC1 ZINC000447204714 1072768956 /nfs/dbraw/zinc/76/89/56/1072768956.db2.gz VDUXNBDIKAHVDH-JKSUJKDBSA-N 0 0 438.616 -0.432 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000447204841 1072769051 /nfs/dbraw/zinc/76/90/51/1072769051.db2.gz VODKHHMRELMHLX-UHFFFAOYSA-N 0 0 435.568 -0.244 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccc(CS(=O)(=O)NC(C)C)cc1 ZINC000447205319 1072769014 /nfs/dbraw/zinc/76/90/14/1072769014.db2.gz YWHAPBFUGINOSJ-UHFFFAOYSA-N 0 0 441.597 -0.127 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000447205320 1072768977 /nfs/dbraw/zinc/76/89/77/1072768977.db2.gz YWHYXMSOOZXRHM-UHFFFAOYSA-N 0 0 442.567 -0.401 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1cccc(NC(=O)Cn2cccn2)c1 ZINC000447205393 1072768966 /nfs/dbraw/zinc/76/89/66/1072768966.db2.gz ZWBPPRQMUPZDJM-UHFFFAOYSA-N 0 0 429.524 -0.120 20 0 IBADRN O=C(Nc1ccc(I)cn1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000447209873 1072769393 /nfs/dbraw/zinc/76/93/93/1072769393.db2.gz UZVSXOZFERYUSS-MRVPVSSYSA-N 0 0 429.174 -0.613 20 0 IBADRN O=C(Nc1ccc(I)cn1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000447209874 1072769353 /nfs/dbraw/zinc/76/93/53/1072769353.db2.gz UZVSXOZFERYUSS-QMMMGPOBSA-N 0 0 429.174 -0.613 20 0 IBADRN Cn1cnc(CCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)n1 ZINC000447209906 1072769376 /nfs/dbraw/zinc/76/93/76/1072769376.db2.gz VEVQYWPCLZKWFW-INIZCTEOSA-N 0 0 428.449 -0.873 20 0 IBADRN Cn1cnc(CCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)n1 ZINC000447209907 1072769364 /nfs/dbraw/zinc/76/93/64/1072769364.db2.gz VEVQYWPCLZKWFW-MRXNPFEDSA-N 0 0 428.449 -0.873 20 0 IBADRN Cn1nc(-c2cc(F)cc(F)c2)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000447211331 1072769715 /nfs/dbraw/zinc/76/97/15/1072769715.db2.gz FIEYPSSTJHJOOD-CYBMUJFWSA-N 0 0 432.387 -0.527 20 0 IBADRN Cn1nc(-c2cc(F)cc(F)c2)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000447211335 1072769810 /nfs/dbraw/zinc/76/98/10/1072769810.db2.gz FIEYPSSTJHJOOD-ZDUSSCGKSA-N 0 0 432.387 -0.527 20 0 IBADRN O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000447211424 1072769743 /nfs/dbraw/zinc/76/97/43/1072769743.db2.gz GCFHQBVFIDMQMM-KRWDZBQOSA-N 0 0 436.472 -0.006 20 0 IBADRN O=C(Nc1cccc(-c2ncc3n2CCCC3)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000447211425 1072769756 /nfs/dbraw/zinc/76/97/56/1072769756.db2.gz GCFHQBVFIDMQMM-QGZVFWFLSA-N 0 0 436.472 -0.006 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000447214278 1072767085 /nfs/dbraw/zinc/76/70/85/1072767085.db2.gz VCESGSMTQVACRL-SECBINFHSA-N 0 0 438.247 -0.096 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000447214279 1072767116 /nfs/dbraw/zinc/76/71/16/1072767116.db2.gz VCESGSMTQVACRL-VIFPVBQESA-N 0 0 438.247 -0.096 20 0 IBADRN O=C(Nc1ccc(I)cn1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000447215714 1072767411 /nfs/dbraw/zinc/76/74/11/1072767411.db2.gz CLOYKXZSUZXWMN-JTQLQIEISA-N 0 0 432.218 -0.276 20 0 IBADRN O=C(Nc1ccc(I)cn1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000447215771 1072767473 /nfs/dbraw/zinc/76/74/73/1072767473.db2.gz CLOYKXZSUZXWMN-SNVBAGLBSA-N 0 0 432.218 -0.276 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCc3ncn(C)n3)cc2)CC1 ZINC000447215858 1072767424 /nfs/dbraw/zinc/76/74/24/1072767424.db2.gz CZBKHCSYJDUWSJ-UHFFFAOYSA-N 0 0 427.509 -0.181 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(I)cn3)C2)nn1 ZINC000447216030 1072767441 /nfs/dbraw/zinc/76/74/41/1072767441.db2.gz DSLRBGXCCQHVPB-UHFFFAOYSA-N 0 0 441.189 -0.601 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCc3ncn(C)n3)c2)CC1 ZINC000447217778 1072767787 /nfs/dbraw/zinc/76/77/87/1072767787.db2.gz OIVVDEGWLFSXCC-UHFFFAOYSA-N 0 0 449.537 -0.561 20 0 IBADRN COc1cc(SC)ccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000447218616 1072767811 /nfs/dbraw/zinc/76/78/11/1072767811.db2.gz ABDUOEPNQCSXRO-UHFFFAOYSA-N 0 0 448.505 -0.156 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(C(F)(F)F)cn1)C2 ZINC000447219084 1072767874 /nfs/dbraw/zinc/76/78/74/1072767874.db2.gz BKNPXZMDVYUOOT-UHFFFAOYSA-N 0 0 441.370 -0.472 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnn1C1CCCC1 ZINC000447219416 1072767894 /nfs/dbraw/zinc/76/78/94/1072767894.db2.gz BZGHNYUDAVUCRI-UHFFFAOYSA-N 0 0 444.496 -0.266 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cc(C(F)(F)F)ccn1)C2 ZINC000447220621 1071527342 /nfs/dbraw/zinc/52/73/42/1071527342.db2.gz GDGHKRPBYKAEBH-UHFFFAOYSA-N 0 0 441.370 -0.472 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1C ZINC000447223686 1072770250 /nfs/dbraw/zinc/77/02/50/1072770250.db2.gz SNJVIJCXXITOEZ-UHFFFAOYSA-N 0 0 430.465 -0.261 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(I)cn1 ZINC000447225808 1072770260 /nfs/dbraw/zinc/77/02/60/1072770260.db2.gz GHTOIYOFYOORCV-UHFFFAOYSA-N 0 0 432.218 -0.058 20 0 IBADRN CC[C@H](CCO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000447228025 1072770313 /nfs/dbraw/zinc/77/03/13/1072770313.db2.gz CHYXWAPXXZGIRZ-CYBMUJFWSA-N 0 0 426.495 -0.730 20 0 IBADRN CC[C@@H](CCO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000447228034 1072770162 /nfs/dbraw/zinc/77/01/62/1072770162.db2.gz CHYXWAPXXZGIRZ-ZDUSSCGKSA-N 0 0 426.495 -0.730 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)c(OC)cc2OC)CC1 ZINC000447229876 1072770283 /nfs/dbraw/zinc/77/02/83/1072770283.db2.gz QDSNLIHRAABABM-UHFFFAOYSA-N 0 0 426.445 -0.312 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccn(Cc3ccccc3)c2=O)CC1 ZINC000447236629 1072769733 /nfs/dbraw/zinc/76/97/33/1072769733.db2.gz LTFPSYLZSXKIIT-UHFFFAOYSA-N 0 0 447.517 -0.732 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000447238377 1072769787 /nfs/dbraw/zinc/76/97/87/1072769787.db2.gz NFAFTSUFZZNSAG-UHFFFAOYSA-N 0 0 438.247 -0.368 20 0 IBADRN COc1cc(OC)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000447245572 1072770173 /nfs/dbraw/zinc/77/01/73/1072770173.db2.gz DPTOCCCLAOSAQQ-UHFFFAOYSA-N 0 0 438.456 -0.216 20 0 IBADRN COc1ccc(F)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000447248514 1072770318 /nfs/dbraw/zinc/77/03/18/1072770318.db2.gz KZUAHERCOUXFNP-UHFFFAOYSA-N 0 0 430.458 -0.506 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(O)CN2CCN(C(C)(C)C(=O)OC)CC2)CC1 ZINC000447258468 1072771476 /nfs/dbraw/zinc/77/14/76/1072771476.db2.gz SWBDLLRAHQEVMF-UHFFFAOYSA-N 0 0 428.574 -0.514 20 0 IBADRN COc1ccc(F)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000447261707 1072771368 /nfs/dbraw/zinc/77/13/68/1072771368.db2.gz AJJVOQFMKCQEPO-UHFFFAOYSA-N 0 0 443.501 -0.591 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c(C)n1 ZINC000447266463 1072771432 /nfs/dbraw/zinc/77/14/32/1072771432.db2.gz WQUKFUFQOPETBV-UHFFFAOYSA-N 0 0 435.462 -0.138 20 0 IBADRN CN(C[C@@H]1CN(Cc2nnnn2CCOCC(F)(F)F)CCO1)c1nccn(C)c1=O ZINC000447267974 1072771463 /nfs/dbraw/zinc/77/14/63/1072771463.db2.gz SLOQSFWAPCRRIO-CYBMUJFWSA-N 0 0 446.434 -0.317 20 0 IBADRN CN(C[C@H]1CN(Cc2nnnn2CCOCC(F)(F)F)CCO1)c1nccn(C)c1=O ZINC000447267975 1072771550 /nfs/dbraw/zinc/77/15/50/1072771550.db2.gz SLOQSFWAPCRRIO-ZDUSSCGKSA-N 0 0 446.434 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC1(CO)CCC1 ZINC000447275974 1072771554 /nfs/dbraw/zinc/77/15/54/1072771554.db2.gz YYSBMIYOECCDJH-UHFFFAOYSA-N 0 0 440.522 -0.399 20 0 IBADRN CCO[C@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1(C)C ZINC000447285130 1072771531 /nfs/dbraw/zinc/77/15/31/1072771531.db2.gz NKRINNPMCHILPK-RBZFPXEDSA-N 0 0 433.465 -0.747 20 0 IBADRN CCO[C@H]1C[C@](CO)(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1(C)C ZINC000447285131 1072771421 /nfs/dbraw/zinc/77/14/21/1072771421.db2.gz NKRINNPMCHILPK-RNODOKPDSA-N 0 0 433.465 -0.747 20 0 IBADRN CCO[C@@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1(C)C ZINC000447285132 1072771547 /nfs/dbraw/zinc/77/15/47/1072771547.db2.gz NKRINNPMCHILPK-XCLFUZPHSA-N 0 0 433.465 -0.747 20 0 IBADRN CCO[C@@H]1C[C@](CO)(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1(C)C ZINC000447285135 1072771897 /nfs/dbraw/zinc/77/18/97/1072771897.db2.gz NKRINNPMCHILPK-ZUOKHONESA-N 0 0 433.465 -0.747 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2(CO)CCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000447288100 1072772006 /nfs/dbraw/zinc/77/20/06/1072772006.db2.gz NOSAYIOHQWYKJN-UHFFFAOYSA-N 0 0 427.479 -0.314 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NC2(CO)CCC2)c1 ZINC000447290475 1072771829 /nfs/dbraw/zinc/77/18/29/1072771829.db2.gz NVAKHYISFBKFEV-UHFFFAOYSA-N 0 0 440.522 -0.257 20 0 IBADRN CCO[C@@H]1C[C@](CO)(NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1(C)C ZINC000447291944 1072772046 /nfs/dbraw/zinc/77/20/46/1072772046.db2.gz IANHXEOBOKCEFX-FOIQADDNSA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@H]1C[C@](CO)(NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1(C)C ZINC000447291945 1072772057 /nfs/dbraw/zinc/77/20/57/1072772057.db2.gz IANHXEOBOKCEFX-MGPUTAFESA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1(C)C ZINC000447291946 1072771885 /nfs/dbraw/zinc/77/18/85/1072771885.db2.gz IANHXEOBOKCEFX-QRWLVFNGSA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1(C)C ZINC000447291947 1072772036 /nfs/dbraw/zinc/77/20/36/1072772036.db2.gz IANHXEOBOKCEFX-YWZLYKJASA-N 0 0 437.497 -0.637 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC1(CO)CCC1 ZINC000447292489 1072771873 /nfs/dbraw/zinc/77/18/73/1072771873.db2.gz ORHDZXHAEUQBLV-UHFFFAOYSA-N 0 0 427.479 -0.314 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(Cc2nnnn2CCOCC(F)(F)F)CC1 ZINC000447298658 1072772729 /nfs/dbraw/zinc/77/27/29/1072772729.db2.gz JTDHYZSWSBJFFK-UHFFFAOYSA-N 0 0 439.420 -0.112 20 0 IBADRN CCc1nc(CN2CCN(CC(O)CN3CCN(Cc4noc(CC)n4)CC3)CC2)no1 ZINC000447307531 1072772628 /nfs/dbraw/zinc/77/26/28/1072772628.db2.gz VTUDWVUTPLBJJE-UHFFFAOYSA-N 0 0 448.572 -0.126 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](CO)Cc2cc(F)c(F)c(F)c2)cn1 ZINC000447308036 1072771865 /nfs/dbraw/zinc/77/18/65/1072771865.db2.gz ZNQYSVUNCYJEPN-LLVKDONJSA-N 0 0 427.383 -0.048 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](CO)Cc2cc(F)c(F)c(F)c2)cn1 ZINC000447308037 1072771857 /nfs/dbraw/zinc/77/18/57/1072771857.db2.gz ZNQYSVUNCYJEPN-NSHDSACASA-N 0 0 427.383 -0.048 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H](CO)Cc2cc(F)c(F)c(F)c2)n1 ZINC000447311762 1073303264 /nfs/dbraw/zinc/30/32/64/1073303264.db2.gz IUCHLIXZCGDBAU-GFCCVEGCSA-N 0 0 441.410 0.295 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H](CO)Cc2cc(F)c(F)c(F)c2)n1 ZINC000447311763 1073303201 /nfs/dbraw/zinc/30/32/01/1073303201.db2.gz IUCHLIXZCGDBAU-LBPRGKRZSA-N 0 0 441.410 0.295 20 0 IBADRN O=S(=O)([C@@H]1CCCN(Cc2nnnn2CCOCC(F)(F)F)C1)N1CCOCC1 ZINC000447311822 1072771842 /nfs/dbraw/zinc/77/18/42/1072771842.db2.gz JAQRJLBCYVYHRF-CYBMUJFWSA-N 0 0 442.464 -0.122 20 0 IBADRN O=S(=O)([C@H]1CCCN(Cc2nnnn2CCOCC(F)(F)F)C1)N1CCOCC1 ZINC000447311825 1072772022 /nfs/dbraw/zinc/77/20/22/1072772022.db2.gz JAQRJLBCYVYHRF-ZDUSSCGKSA-N 0 0 442.464 -0.122 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@H](CO)Cc2cc(F)c(F)c(F)c2)cc1C ZINC000447312220 1073314803 /nfs/dbraw/zinc/31/48/03/1073314803.db2.gz LNIJZWNRAQONKC-GFCCVEGCSA-N 0 0 441.410 0.261 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@@H](CO)Cc2cc(F)c(F)c(F)c2)cc1C ZINC000447312221 1073315003 /nfs/dbraw/zinc/31/50/03/1073315003.db2.gz LNIJZWNRAQONKC-LBPRGKRZSA-N 0 0 441.410 0.261 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1 ZINC000447316902 1072772546 /nfs/dbraw/zinc/77/25/46/1072772546.db2.gz AAWWIZKNRCZYMS-YOEHRIQHSA-N 0 0 435.506 -0.157 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(Oc3ncccc3F)CC2)c[nH]1 ZINC000447317877 1072772589 /nfs/dbraw/zinc/77/25/89/1072772589.db2.gz ORMXQLLUYRTVPF-UHFFFAOYSA-N 0 0 425.442 -0.004 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H](c3cnn(C)c3)[C@H](CO)C1)CC2 ZINC000447320834 1072773159 /nfs/dbraw/zinc/77/31/59/1072773159.db2.gz HFKIGQTYNCYWEI-RDJZCZTQSA-N 0 0 447.517 -0.548 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1C ZINC000447321128 1072773216 /nfs/dbraw/zinc/77/32/16/1072773216.db2.gz LAWXQZGZACILIZ-GJZGRUSLSA-N 0 0 435.506 -0.190 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1 ZINC000447321262 1072773246 /nfs/dbraw/zinc/77/32/46/1072773246.db2.gz MQPIBECJWBCFCJ-HOCLYGCPSA-N 0 0 435.506 -0.190 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cc1-n1cnnn1 ZINC000447321502 1072773309 /nfs/dbraw/zinc/77/33/09/1072773309.db2.gz QAIMZLHTRYHLMT-ZFWWWQNUSA-N 0 0 426.437 -0.423 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cc1-n1nnnc1C ZINC000447322009 1072773303 /nfs/dbraw/zinc/77/33/03/1072773303.db2.gz BHMVULJOYSDPTA-HOCLYGCPSA-N 0 0 440.464 -0.114 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C[C@H]2CO)cn1 ZINC000447322252 1072773184 /nfs/dbraw/zinc/77/31/84/1072773184.db2.gz XIHJBNRZXISILR-YOEHRIQHSA-N 0 0 425.449 -0.523 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1C ZINC000447322407 1072773257 /nfs/dbraw/zinc/77/32/57/1072773257.db2.gz AAOIXPHBUAFRBE-HOCLYGCPSA-N 0 0 435.506 -0.143 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](CO)C1 ZINC000447323247 1072773205 /nfs/dbraw/zinc/77/32/05/1072773205.db2.gz JEFYLUQIGJTRQX-GJZGRUSLSA-N 0 0 435.506 -0.157 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c2ccccc2O1 ZINC000447323509 1072773290 /nfs/dbraw/zinc/77/32/90/1072773290.db2.gz BHZOMKKJHFHYHZ-MPGHIAIKSA-N 0 0 427.461 -0.505 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c2ccccc2O1 ZINC000447323510 1072773279 /nfs/dbraw/zinc/77/32/79/1072773279.db2.gz BHZOMKKJHFHYHZ-RLFYNMQTSA-N 0 0 427.461 -0.505 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cc1S(N)(=O)=O ZINC000447324148 1072773271 /nfs/dbraw/zinc/77/32/71/1072773271.db2.gz FKZZPOPCXLYVBA-HOCLYGCPSA-N 0 0 435.506 -0.197 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)ccc1C ZINC000447325761 1072773233 /nfs/dbraw/zinc/77/32/33/1072773233.db2.gz LOSHUQYKMJVRGJ-HOCLYGCPSA-N 0 0 435.506 -0.190 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)C[C@H]2CO)cn1 ZINC000447326350 1072773095 /nfs/dbraw/zinc/77/30/95/1072773095.db2.gz QSITYVOFOCYSQV-HOCLYGCPSA-N 0 0 447.517 -0.085 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2ccc3ncncc3c2=O)CC1 ZINC000447326360 1072773113 /nfs/dbraw/zinc/77/31/13/1072773113.db2.gz SQPRCKVUCUVGRY-UHFFFAOYSA-N 0 0 432.462 -0.071 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)nc1 ZINC000447326789 1072773075 /nfs/dbraw/zinc/77/30/75/1072773075.db2.gz SMDKTOMZQREDBS-UHFFFAOYSA-N 0 0 447.473 -0.534 20 0 IBADRN C[C@@H]1CN(C(=O)CS(=O)(=O)Cc2nnnn2CCOCC(F)(F)F)C[C@@H](C)O1 ZINC000447341266 1072774084 /nfs/dbraw/zinc/77/40/84/1072774084.db2.gz OFVYORSHSYOWTL-GHMZBOCLSA-N 0 0 429.421 -0.197 20 0 IBADRN C[C@@H]1CN(C(=O)CS(=O)(=O)Cc2nnnn2CCOCC(F)(F)F)C[C@H](C)O1 ZINC000447341267 1072774826 /nfs/dbraw/zinc/77/48/26/1072774826.db2.gz OFVYORSHSYOWTL-PHIMTYICSA-N 0 0 429.421 -0.197 20 0 IBADRN C[C@H]1CN(C(=O)CS(=O)(=O)Cc2nnnn2CCOCC(F)(F)F)C[C@H](C)O1 ZINC000447341268 1072774851 /nfs/dbraw/zinc/77/48/51/1072774851.db2.gz OFVYORSHSYOWTL-QWRGUYRKSA-N 0 0 429.421 -0.197 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(Cc2nnnn2CCOCC(F)(F)F)CC1 ZINC000447343253 1072773606 /nfs/dbraw/zinc/77/36/06/1072773606.db2.gz MPRHMGGYVZTDGL-AWEZNQCLSA-N 0 0 435.451 -0.383 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(Cc2nnnn2CCOCC(F)(F)F)CC1 ZINC000447343254 1072773738 /nfs/dbraw/zinc/77/37/38/1072773738.db2.gz MPRHMGGYVZTDGL-CQSZACIVSA-N 0 0 435.451 -0.383 20 0 IBADRN Cn1nccc1CS(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000447346961 1072773639 /nfs/dbraw/zinc/77/36/39/1072773639.db2.gz IGWUFZHBQYRUQB-UHFFFAOYSA-N 0 0 442.519 -0.006 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(Cc2nnnn2CCOCC(F)(F)F)CC1 ZINC000447348489 1072774363 /nfs/dbraw/zinc/77/43/63/1072774363.db2.gz RXHMYYLUBIVNJL-UHFFFAOYSA-N 0 0 433.391 -0.955 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCC[C@@H](S(C)(=O)=O)C2)cc1OCC(N)=O ZINC000447353073 1072774392 /nfs/dbraw/zinc/77/43/92/1072774392.db2.gz DMESOWSPHSXSHG-CYBMUJFWSA-N 0 0 427.479 -0.077 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCC[C@H](S(C)(=O)=O)C2)cc1OCC(N)=O ZINC000447353074 1072774330 /nfs/dbraw/zinc/77/43/30/1072774330.db2.gz DMESOWSPHSXSHG-ZDUSSCGKSA-N 0 0 427.479 -0.077 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)C(=O)Nc3ccn(CCCOC)n3)CC2)n1 ZINC000447353929 1072774166 /nfs/dbraw/zinc/77/41/66/1072774166.db2.gz JBAKNFQQNAIXQG-UHFFFAOYSA-N 0 0 433.469 0.559 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000447356372 1072774307 /nfs/dbraw/zinc/77/43/07/1072774307.db2.gz UYKNZNYFJRAQMT-HNNXBMFYSA-N 0 0 439.490 -0.046 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000447356373 1072774212 /nfs/dbraw/zinc/77/42/12/1072774212.db2.gz UYKNZNYFJRAQMT-OAHLLOKOSA-N 0 0 439.490 -0.046 20 0 IBADRN CC(C)N(C[C@H](O)COc1ccccc1)C(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000447358197 1072774375 /nfs/dbraw/zinc/77/43/75/1072774375.db2.gz HEXXWMZBEWDOTL-HNNXBMFYSA-N 0 0 432.481 -0.018 20 0 IBADRN CC(C)N(C[C@@H](O)COc1ccccc1)C(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000447358198 1072774313 /nfs/dbraw/zinc/77/43/13/1072774313.db2.gz HEXXWMZBEWDOTL-OAHLLOKOSA-N 0 0 432.481 -0.018 20 0 IBADRN Cn1ccnc1[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000447359508 1073041251 /nfs/dbraw/zinc/04/12/51/1073041251.db2.gz MNIRKUIZZUURKW-GFCCVEGCSA-N 0 0 425.449 -0.290 20 0 IBADRN Cn1ccnc1[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000447359509 1073041156 /nfs/dbraw/zinc/04/11/56/1073041156.db2.gz MNIRKUIZZUURKW-LBPRGKRZSA-N 0 0 425.449 -0.290 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCCC[C@@H](S(C)(=O)=O)C2)c1=O ZINC000447359973 1072774398 /nfs/dbraw/zinc/77/43/98/1072774398.db2.gz PFTUVZQMAMRROQ-CYBMUJFWSA-N 0 0 427.479 -0.224 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCCC[C@H](S(C)(=O)=O)C2)c1=O ZINC000447359974 1072774228 /nfs/dbraw/zinc/77/42/28/1072774228.db2.gz PFTUVZQMAMRROQ-ZDUSSCGKSA-N 0 0 427.479 -0.224 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000447361030 1072774291 /nfs/dbraw/zinc/77/42/91/1072774291.db2.gz VTDRATUANNDEDD-INIZCTEOSA-N 0 0 427.527 -0.421 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000447361031 1072774240 /nfs/dbraw/zinc/77/42/40/1072774240.db2.gz VTDRATUANNDEDD-MRXNPFEDSA-N 0 0 427.527 -0.421 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000447361519 1072774120 /nfs/dbraw/zinc/77/41/20/1072774120.db2.gz YNWFZQIJDCKNNH-HNNXBMFYSA-N 0 0 427.527 -0.421 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000447361520 1072774345 /nfs/dbraw/zinc/77/43/45/1072774345.db2.gz YNWFZQIJDCKNNH-OAHLLOKOSA-N 0 0 427.527 -0.421 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC(c2nc(C(=O)OCC)n[nH]2)CC1 ZINC000447365596 1072774745 /nfs/dbraw/zinc/77/47/45/1072774745.db2.gz QKKILKJFLICNAZ-UHFFFAOYSA-N 0 0 447.452 0.236 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCCC[C@H](S(C)(=O)=O)C1)CC2 ZINC000447368156 1072774808 /nfs/dbraw/zinc/77/48/08/1072774808.db2.gz XFISKJBEYDRQIE-HNNXBMFYSA-N 0 0 443.547 -0.091 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCCC[C@@H](S(C)(=O)=O)C1)CC2 ZINC000447368162 1072774839 /nfs/dbraw/zinc/77/48/39/1072774839.db2.gz XFISKJBEYDRQIE-OAHLLOKOSA-N 0 0 443.547 -0.091 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1n[nH]c(=O)n1CCc1ccccc1 ZINC000447370495 1072774830 /nfs/dbraw/zinc/77/48/30/1072774830.db2.gz BLESYAATMOPIOC-GXTWGEPZSA-N 0 0 442.519 -0.308 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1n[nH]c(=O)n1CCc1ccccc1 ZINC000447370497 1072774884 /nfs/dbraw/zinc/77/48/84/1072774884.db2.gz BLESYAATMOPIOC-JSGCOSHPSA-N 0 0 442.519 -0.308 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1n[nH]c(=O)n1CCc1ccccc1 ZINC000447370499 1072774769 /nfs/dbraw/zinc/77/47/69/1072774769.db2.gz BLESYAATMOPIOC-OCCSQVGLSA-N 0 0 442.519 -0.308 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1n[nH]c(=O)n1CCc1ccccc1 ZINC000447370501 1072774798 /nfs/dbraw/zinc/77/47/98/1072774798.db2.gz BLESYAATMOPIOC-TZMCWYRMSA-N 0 0 442.519 -0.308 20 0 IBADRN Cc1cc(C)n2c(nnc2S(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)n1 ZINC000447371141 1072774876 /nfs/dbraw/zinc/77/48/76/1072774876.db2.gz DTUFFVCRTAOALC-UHFFFAOYSA-N 0 0 432.466 -0.648 20 0 IBADRN CCNc1nnc(S(=O)(=O)CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)s1 ZINC000447374028 1072774794 /nfs/dbraw/zinc/77/47/94/1072774794.db2.gz JORSZVZBJNULQM-UHFFFAOYSA-N 0 0 431.521 -0.020 20 0 IBADRN CN(C)c1nnc(S(=O)(=O)CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)s1 ZINC000447374206 1072774818 /nfs/dbraw/zinc/77/48/18/1072774818.db2.gz NHEQAQPKQOMBMU-UHFFFAOYSA-N 0 0 431.521 -0.386 20 0 IBADRN Cn1cc([C@H](NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C(F)(F)F)cn1 ZINC000447375002 1071527366 /nfs/dbraw/zinc/52/73/66/1071527366.db2.gz MOTUAHMJIDIORG-KRWDZBQOSA-N 0 0 432.447 -0.384 20 0 IBADRN Cn1cc([C@@H](NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C(F)(F)F)cn1 ZINC000447375005 1071527311 /nfs/dbraw/zinc/52/73/11/1071527311.db2.gz MOTUAHMJIDIORG-QGZVFWFLSA-N 0 0 432.447 -0.384 20 0 IBADRN Cc1cc(C)n2nc(S(=O)(=O)CCCn3c(=O)c4c(ncn4C)n(C)c3=O)nc2n1 ZINC000447376384 1072774726 /nfs/dbraw/zinc/77/47/26/1072774726.db2.gz STSOCJSFXIUNDI-UHFFFAOYSA-N 0 0 432.466 -0.648 20 0 IBADRN Cc1cc(C)n2nc(S(=O)(=O)CC(=O)NCCCN(C(C)C)S(C)(=O)=O)nc2n1 ZINC000447377185 1072774708 /nfs/dbraw/zinc/77/47/08/1072774708.db2.gz VHTNMHZEZCDQJW-UHFFFAOYSA-N 0 0 446.555 -0.309 20 0 IBADRN CCCNc1nnc(S(=O)(=O)CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)s1 ZINC000447377413 1073331857 /nfs/dbraw/zinc/33/18/57/1073331857.db2.gz ZBBNYNQTEKASEL-UHFFFAOYSA-N 0 0 445.548 0.370 20 0 IBADRN Cn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000447381059 1072775355 /nfs/dbraw/zinc/77/53/55/1072775355.db2.gz LHLGZEYFVHCURN-UHFFFAOYSA-N 0 0 445.505 -0.073 20 0 IBADRN CCCCN1C(=O)N=NC1S(=O)(=O)CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000447382358 1072775218 /nfs/dbraw/zinc/77/52/18/1072775218.db2.gz PEOHPFCDLFCGQZ-UHFFFAOYSA-N 0 0 443.507 -0.206 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC(C)(C)S(=O)(=O)CC2)CC1 ZINC000447385230 1072775369 /nfs/dbraw/zinc/77/53/69/1072775369.db2.gz NZLGGQIEIWNGOQ-UHFFFAOYSA-N 0 0 435.554 -0.089 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1 ZINC000447386964 1072776705 /nfs/dbraw/zinc/77/67/05/1072776705.db2.gz SAURYIGBNNLNEJ-MSOLQXFVSA-N 0 0 428.574 -0.609 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1 ZINC000447386965 1072776544 /nfs/dbraw/zinc/77/65/44/1072776544.db2.gz SAURYIGBNNLNEJ-QZTJIDSGSA-N 0 0 428.574 -0.609 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1 ZINC000447386966 1072776520 /nfs/dbraw/zinc/77/65/20/1072776520.db2.gz SAURYIGBNNLNEJ-ROUUACIJSA-N 0 0 428.574 -0.609 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1 ZINC000447386967 1072776755 /nfs/dbraw/zinc/77/67/55/1072776755.db2.gz SAURYIGBNNLNEJ-ZWKOTPCHSA-N 0 0 428.574 -0.609 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000447388218 1072775185 /nfs/dbraw/zinc/77/51/85/1072775185.db2.gz WLGOHQKSTPVDND-NEPJUHHUSA-N 0 0 434.312 -0.606 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000447388220 1072775327 /nfs/dbraw/zinc/77/53/27/1072775327.db2.gz WLGOHQKSTPVDND-NWDGAFQWSA-N 0 0 434.312 -0.606 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000447388221 1072775468 /nfs/dbraw/zinc/77/54/68/1072775468.db2.gz WLGOHQKSTPVDND-RYUDHWBXSA-N 0 0 434.312 -0.606 20 0 IBADRN O=C(Cc1cc[nH]c(=O)c1Br)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000447388222 1072775173 /nfs/dbraw/zinc/77/51/73/1072775173.db2.gz WLGOHQKSTPVDND-VXGBXAGGSA-N 0 0 434.312 -0.606 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000447391531 1072775442 /nfs/dbraw/zinc/77/54/42/1072775442.db2.gz GAWBBDFFIMZPNL-CADBVGFASA-N 0 0 448.582 -0.473 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000447391532 1072775204 /nfs/dbraw/zinc/77/52/04/1072775204.db2.gz GAWBBDFFIMZPNL-INDMIFKZSA-N 0 0 448.582 -0.473 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000447391533 1072775477 /nfs/dbraw/zinc/77/54/77/1072775477.db2.gz GAWBBDFFIMZPNL-WJFTUGDTSA-N 0 0 448.582 -0.473 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000447391534 1072775346 /nfs/dbraw/zinc/77/53/46/1072775346.db2.gz GAWBBDFFIMZPNL-ZSYWTGECSA-N 0 0 448.582 -0.473 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1 ZINC000447392245 1072775422 /nfs/dbraw/zinc/77/54/22/1072775422.db2.gz HKRWHWKHMAJYBW-CABCVRRESA-N 0 0 426.514 -0.686 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1 ZINC000447392248 1072775194 /nfs/dbraw/zinc/77/51/94/1072775194.db2.gz HKRWHWKHMAJYBW-GJZGRUSLSA-N 0 0 426.514 -0.686 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1 ZINC000447392250 1072775388 /nfs/dbraw/zinc/77/53/88/1072775388.db2.gz HKRWHWKHMAJYBW-HUUCEWRRSA-N 0 0 426.514 -0.686 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)C1 ZINC000447392252 1072775430 /nfs/dbraw/zinc/77/54/30/1072775430.db2.gz HKRWHWKHMAJYBW-LSDHHAIUSA-N 0 0 426.514 -0.686 20 0 IBADRN CCn1ncc2c1ncn(CC(=O)N1CCN(S(=O)(=O)c3c(C)noc3C)CC1)c2=O ZINC000447393215 1072775451 /nfs/dbraw/zinc/77/54/51/1072775451.db2.gz DCTXRDYFZJUMSB-UHFFFAOYSA-N 0 0 449.493 -0.249 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000447395597 1072775284 /nfs/dbraw/zinc/77/52/84/1072775284.db2.gz KFSIRYFNZULXMI-DLBZAZTESA-N 0 0 447.540 -0.642 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000447395598 1072775921 /nfs/dbraw/zinc/77/59/21/1072775921.db2.gz KFSIRYFNZULXMI-IAGOWNOFSA-N 0 0 447.540 -0.642 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000447395599 1072775899 /nfs/dbraw/zinc/77/58/99/1072775899.db2.gz KFSIRYFNZULXMI-IRXDYDNUSA-N 0 0 447.540 -0.642 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000447395600 1072775957 /nfs/dbraw/zinc/77/59/57/1072775957.db2.gz KFSIRYFNZULXMI-SJORKVTESA-N 0 0 447.540 -0.642 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000447395966 1072775878 /nfs/dbraw/zinc/77/58/78/1072775878.db2.gz KUIKGFNQBNSMNP-GDBMZVCRSA-N 0 0 427.523 -0.431 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000447395967 1072775966 /nfs/dbraw/zinc/77/59/66/1072775966.db2.gz KUIKGFNQBNSMNP-GOEBONIOSA-N 0 0 427.523 -0.431 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000447395968 1072775852 /nfs/dbraw/zinc/77/58/52/1072775852.db2.gz KUIKGFNQBNSMNP-HOCLYGCPSA-N 0 0 427.523 -0.431 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000447395969 1072775803 /nfs/dbraw/zinc/77/58/03/1072775803.db2.gz KUIKGFNQBNSMNP-ZBFHGGJFSA-N 0 0 427.523 -0.431 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)C1 ZINC000447398112 1072775943 /nfs/dbraw/zinc/77/59/43/1072775943.db2.gz QDKSTOQOEYPGJE-BFYDXBDKSA-N 0 0 441.550 -0.043 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)C1 ZINC000447398113 1072776136 /nfs/dbraw/zinc/77/61/36/1072776136.db2.gz QDKSTOQOEYPGJE-HLLBOEOZSA-N 0 0 441.550 -0.043 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)C1 ZINC000447398114 1072775988 /nfs/dbraw/zinc/77/59/88/1072775988.db2.gz QDKSTOQOEYPGJE-YQQAZPJKSA-N 0 0 441.550 -0.043 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)C1 ZINC000447398115 1072775824 /nfs/dbraw/zinc/77/58/24/1072775824.db2.gz QDKSTOQOEYPGJE-ZMSDIMECSA-N 0 0 441.550 -0.043 20 0 IBADRN Cc1nn(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)c(=O)s1 ZINC000447401375 1072776067 /nfs/dbraw/zinc/77/60/67/1072776067.db2.gz XYLFTSSDBUAWLU-UHFFFAOYSA-N 0 0 440.503 -0.082 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000447403142 1072776161 /nfs/dbraw/zinc/77/61/61/1072776161.db2.gz CUKTXMVQTNEYGB-HNNXBMFYSA-N 0 0 426.481 -0.525 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000447403143 1072776169 /nfs/dbraw/zinc/77/61/69/1072776169.db2.gz CUKTXMVQTNEYGB-OAHLLOKOSA-N 0 0 426.481 -0.525 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CCN(Cc1ccccc1)S(C)(=O)=O)c2=O ZINC000447404668 1072775980 /nfs/dbraw/zinc/77/59/80/1072775980.db2.gz URUKLLRBRFSSKI-UHFFFAOYSA-N 0 0 439.519 -0.005 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000447407451 1072776451 /nfs/dbraw/zinc/77/64/51/1072776451.db2.gz ISURLJNWLVDJRC-GFCCVEGCSA-N 0 0 440.464 -0.911 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000447407452 1072776576 /nfs/dbraw/zinc/77/65/76/1072776576.db2.gz ISURLJNWLVDJRC-LBPRGKRZSA-N 0 0 440.464 -0.911 20 0 IBADRN CCOC(=O)[C@@]1(C(C)C)CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000447410135 1072776726 /nfs/dbraw/zinc/77/67/26/1072776726.db2.gz PMAKQPSFPPEKLL-NRFANRHFSA-N 0 0 449.508 0.118 20 0 IBADRN CCOC(=O)[C@]1(C(C)C)CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000447410136 1072776643 /nfs/dbraw/zinc/77/66/43/1072776643.db2.gz PMAKQPSFPPEKLL-OAQYLSRUSA-N 0 0 449.508 0.118 20 0 IBADRN Cn1c(COc2ccc(Cl)cc2)nnc1S(=O)(=O)CC(=O)N1CCNC(=O)C1 ZINC000447411979 1072777116 /nfs/dbraw/zinc/77/71/16/1072777116.db2.gz DMNNQSWWMZDCDJ-UHFFFAOYSA-N 0 0 427.870 -0.220 20 0 IBADRN COCCCN(C(=O)Cn1c(=O)ncn1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000447412831 1072777068 /nfs/dbraw/zinc/77/70/68/1072777068.db2.gz LMSWYIDAWMOUHN-UHFFFAOYSA-N 0 0 443.464 -0.456 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1nnc(-c2ccc(F)cc2)n1C)S(C)(=O)=O ZINC000447414978 1072777276 /nfs/dbraw/zinc/77/72/76/1072777276.db2.gz UZJCPVLPDZOCNK-UHFFFAOYSA-N 0 0 447.514 -0.207 20 0 IBADRN Cn1c(COc2ccccc2Cl)nnc1S(=O)(=O)CC(=O)N1CCNC(=O)C1 ZINC000447416347 1072776978 /nfs/dbraw/zinc/77/69/78/1072776978.db2.gz GRUZWJNCDFAJGY-UHFFFAOYSA-N 0 0 427.870 -0.220 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000447417989 1072777149 /nfs/dbraw/zinc/77/71/49/1072777149.db2.gz LLFGGLZZJYXMAJ-UHFFFAOYSA-N 0 0 442.538 -0.012 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000447418089 1072777238 /nfs/dbraw/zinc/77/72/38/1072777238.db2.gz LTVVADABOOYLBM-UHFFFAOYSA-N 0 0 428.463 -0.139 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000447418427 1072777680 /nfs/dbraw/zinc/77/76/80/1072777680.db2.gz NWRRYMNGWWGJRQ-VZDIAYEVSA-N 0 0 443.522 -0.016 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000447418428 1072777820 /nfs/dbraw/zinc/77/78/20/1072777820.db2.gz NWRRYMNGWWGJRQ-XIWWETDGSA-N 0 0 443.522 -0.016 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000447419213 1072777749 /nfs/dbraw/zinc/77/77/49/1072777749.db2.gz RYNNMYFSHIBVDM-UHFFFAOYSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1 ZINC000447420370 1072777621 /nfs/dbraw/zinc/77/76/21/1072777621.db2.gz AMFQUAFMSXZQQV-UHFFFAOYSA-N 0 0 425.507 -0.094 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000447421766 1072777811 /nfs/dbraw/zinc/77/78/11/1072777811.db2.gz HXRKZOVELTVXSD-UHFFFAOYSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000447421848 1072777763 /nfs/dbraw/zinc/77/77/63/1072777763.db2.gz IIIGMTNPNXQWRJ-UHFFFAOYSA-N 0 0 435.477 -0.091 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCC(CO)(Cc3ccccc3)CC2)n1 ZINC000447422443 1073305316 /nfs/dbraw/zinc/30/53/16/1073305316.db2.gz LKEAVQFNSZOBBW-UHFFFAOYSA-N 0 0 428.493 0.149 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000447422682 1072777607 /nfs/dbraw/zinc/77/76/07/1072777607.db2.gz MUDZYOQSKSIBNV-UHFFFAOYSA-N 0 0 429.495 -0.404 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000447422835 1072777829 /nfs/dbraw/zinc/77/78/29/1072777829.db2.gz NHOGVEQJSJQMMU-UHFFFAOYSA-N 0 0 434.493 -0.058 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000447423930 1072777726 /nfs/dbraw/zinc/77/77/26/1072777726.db2.gz UFUKEUZPACZAFU-UHFFFAOYSA-N 0 0 432.521 -0.012 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)(N2CCOCC2)C1 ZINC000447425060 1072777791 /nfs/dbraw/zinc/77/77/91/1072777791.db2.gz ZTJJCIBTACXAIC-UHFFFAOYSA-N 0 0 429.481 -0.013 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)(N2CCOCC2)C1 ZINC000447425171 1072778289 /nfs/dbraw/zinc/77/82/89/1072778289.db2.gz AUGKVTKNAKMTEP-UHFFFAOYSA-N 0 0 444.492 -0.014 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000447425408 1072777775 /nfs/dbraw/zinc/77/77/75/1072777775.db2.gz DFVDCQAZRZHIPY-GGRHHHIRSA-N 0 0 437.497 -0.496 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000447425409 1072777837 /nfs/dbraw/zinc/77/78/37/1072777837.db2.gz DFVDCQAZRZHIPY-IUXSAEICSA-N 0 0 437.497 -0.496 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000447425410 1072777847 /nfs/dbraw/zinc/77/78/47/1072777847.db2.gz DFVDCQAZRZHIPY-YHLAAPATSA-N 0 0 437.497 -0.496 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C1 ZINC000447425554 1072777706 /nfs/dbraw/zinc/77/77/06/1072777706.db2.gz FMDYECASSXMNFS-UHFFFAOYSA-N 0 0 425.507 -0.011 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCC1(N2CCOCC2)CC(OCC)C1 ZINC000447425754 1072777692 /nfs/dbraw/zinc/77/76/92/1072777692.db2.gz HPWGXOIVDZIXKX-UHFFFAOYSA-N 0 0 437.497 -0.079 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)Nc2cccc(-n3cnnn3)c2)(N2CCOCC2)C1 ZINC000447425902 1072776662 /nfs/dbraw/zinc/77/66/62/1072776662.db2.gz IYIBXOGAVUPSSH-UHFFFAOYSA-N 0 0 429.481 -0.013 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000447426513 1072776583 /nfs/dbraw/zinc/77/65/83/1072776583.db2.gz LYMOXVQQUSFJEB-UHFFFAOYSA-N 0 0 441.506 -0.308 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCC1(N2CCOCC2)CC(OCC)C1 ZINC000447426633 1072776630 /nfs/dbraw/zinc/77/66/30/1072776630.db2.gz MYVJUYDFDUEKCK-UHFFFAOYSA-N 0 0 437.497 -0.079 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000447426788 1072776788 /nfs/dbraw/zinc/77/67/88/1072776788.db2.gz FUFCCEKVEJKUDH-UHFFFAOYSA-N 0 0 448.520 -0.267 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)Nc2c(OC)ncnc2N(C)C)(N2CCOCC2)C1 ZINC000447427056 1072776684 /nfs/dbraw/zinc/77/66/84/1072776684.db2.gz PFEFQMQOSONOSF-UHFFFAOYSA-N 0 0 436.513 -0.124 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(O)CC(OCC)C1)CC2 ZINC000447428319 1072777209 /nfs/dbraw/zinc/77/72/09/1072777209.db2.gz NNMGAXGEMSKUNT-UHFFFAOYSA-N 0 0 425.507 -0.080 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000447428734 1072776737 /nfs/dbraw/zinc/77/67/37/1072776737.db2.gz ZVKADJKRHQBNFD-GXPWMBGSSA-N 0 0 447.488 -0.314 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000447428736 1072777159 /nfs/dbraw/zinc/77/71/59/1072777159.db2.gz ZVKADJKRHQBNFD-TUAABQIMSA-N 0 0 447.488 -0.314 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)nc1 ZINC000447430013 1072777051 /nfs/dbraw/zinc/77/70/51/1072777051.db2.gz DBNVRKMLFOGZIF-UHFFFAOYSA-N 0 0 436.494 -0.381 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000447430944 1072777033 /nfs/dbraw/zinc/77/70/33/1072777033.db2.gz KKOFSQFTGRZBOR-UHFFFAOYSA-N 0 0 441.506 -0.308 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)c1 ZINC000447431851 1072777013 /nfs/dbraw/zinc/77/70/13/1072777013.db2.gz QEKMOQSOGUUDDT-UHFFFAOYSA-N 0 0 436.494 -0.392 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)c1 ZINC000447431919 1072777135 /nfs/dbraw/zinc/77/71/35/1072777135.db2.gz QRODOOSYSHTMID-UHFFFAOYSA-N 0 0 434.522 -0.841 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCOC[C@H]1C ZINC000447432393 1072777201 /nfs/dbraw/zinc/77/72/01/1072777201.db2.gz UEDYKNXBGCBNTK-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2ncn(CC(=O)NCc3ccccc3)n2)c1 ZINC000447432839 1072777168 /nfs/dbraw/zinc/77/71/68/1072777168.db2.gz WYBAQJCANZXHAS-UHFFFAOYSA-N 0 0 432.466 -0.755 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)c1C ZINC000447447009 1072778169 /nfs/dbraw/zinc/77/81/69/1072778169.db2.gz BTTGQEPPVUHEAA-CABCVRRESA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)c1C ZINC000447447012 1072778095 /nfs/dbraw/zinc/77/80/95/1072778095.db2.gz BTTGQEPPVUHEAA-GJZGRUSLSA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)c1C ZINC000447447014 1072778216 /nfs/dbraw/zinc/77/82/16/1072778216.db2.gz BTTGQEPPVUHEAA-HUUCEWRRSA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)c1C ZINC000447447015 1072778135 /nfs/dbraw/zinc/77/81/35/1072778135.db2.gz BTTGQEPPVUHEAA-LSDHHAIUSA-N 0 0 443.522 -0.286 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCC(C)(C)S(=O)(=O)CC2)c1=O ZINC000447448164 1072778228 /nfs/dbraw/zinc/77/82/28/1072778228.db2.gz FLDASFIDCDLKJT-UHFFFAOYSA-N 0 0 427.479 -0.224 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000447448222 1072778205 /nfs/dbraw/zinc/77/82/05/1072778205.db2.gz FTMOWDVOIBRSBT-CABCVRRESA-N 0 0 443.522 -0.252 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000447448223 1072778249 /nfs/dbraw/zinc/77/82/49/1072778249.db2.gz FTMOWDVOIBRSBT-GJZGRUSLSA-N 0 0 443.522 -0.252 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000447448224 1072778191 /nfs/dbraw/zinc/77/81/91/1072778191.db2.gz FTMOWDVOIBRSBT-HUUCEWRRSA-N 0 0 443.522 -0.252 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000447448225 1072778591 /nfs/dbraw/zinc/77/85/91/1072778591.db2.gz FTMOWDVOIBRSBT-LSDHHAIUSA-N 0 0 443.522 -0.252 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000447448520 1072778326 /nfs/dbraw/zinc/77/83/26/1072778326.db2.gz GRUCNGBBHOPNCD-PBHICJAKSA-N 0 0 433.465 -0.618 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000447448525 1072778147 /nfs/dbraw/zinc/77/81/47/1072778147.db2.gz GRUCNGBBHOPNCD-RHSMWYFYSA-N 0 0 433.465 -0.618 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000447448528 1072778334 /nfs/dbraw/zinc/77/83/34/1072778334.db2.gz GRUCNGBBHOPNCD-WMLDXEAASA-N 0 0 433.465 -0.618 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000447448530 1072778314 /nfs/dbraw/zinc/77/83/14/1072778314.db2.gz GRUCNGBBHOPNCD-YOEHRIQHSA-N 0 0 433.465 -0.618 20 0 IBADRN COC(=O)[C@@](C)(CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)c1ccc(F)cc1 ZINC000447448838 1073327402 /nfs/dbraw/zinc/32/74/02/1073327402.db2.gz GVESDUIPHFORNV-IBGZPJMESA-N 0 0 434.428 -0.309 20 0 IBADRN COC(=O)[C@](C)(CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)c1ccc(F)cc1 ZINC000447448840 1072778304 /nfs/dbraw/zinc/77/83/04/1072778304.db2.gz GVESDUIPHFORNV-LJQANCHMSA-N 0 0 434.428 -0.309 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccc(OC(F)F)cn3)cnc2n(C)c1=O ZINC000447449558 1072778818 /nfs/dbraw/zinc/77/88/18/1072778818.db2.gz IWXOYUZSVGOVIN-UHFFFAOYSA-N 0 0 434.359 -0.117 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC(C)(C)S(=O)(=O)CC2)cc1 ZINC000447449633 1072778799 /nfs/dbraw/zinc/77/87/99/1072778799.db2.gz LLYADCCVPGDMPQ-UHFFFAOYSA-N 0 0 439.490 -0.046 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)C1 ZINC000447454565 1072778775 /nfs/dbraw/zinc/77/87/75/1072778775.db2.gz XSLHOYQFUYUXSB-DZGCQCFKSA-N 0 0 434.453 -0.518 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)C1 ZINC000447454566 1072778606 /nfs/dbraw/zinc/77/86/06/1072778606.db2.gz XSLHOYQFUYUXSB-HIFRSBDPSA-N 0 0 434.453 -0.518 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)C1 ZINC000447454567 1072778831 /nfs/dbraw/zinc/77/88/31/1072778831.db2.gz XSLHOYQFUYUXSB-UKRRQHHQSA-N 0 0 434.453 -0.518 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)C1 ZINC000447454568 1072778783 /nfs/dbraw/zinc/77/87/83/1072778783.db2.gz XSLHOYQFUYUXSB-ZFWWWQNUSA-N 0 0 434.453 -0.518 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)cc1S(N)(=O)=O ZINC000447456942 1072778573 /nfs/dbraw/zinc/77/85/73/1072778573.db2.gz CEJMIFPHHULOMD-BBRMVZONSA-N 0 0 443.522 -0.293 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)cc1S(N)(=O)=O ZINC000447456944 1072778826 /nfs/dbraw/zinc/77/88/26/1072778826.db2.gz CEJMIFPHHULOMD-CJNGLKHVSA-N 0 0 443.522 -0.293 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)cc1S(N)(=O)=O ZINC000447456946 1072778790 /nfs/dbraw/zinc/77/87/90/1072778790.db2.gz CEJMIFPHHULOMD-CZUORRHYSA-N 0 0 443.522 -0.293 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)cc1S(N)(=O)=O ZINC000447456948 1072778713 /nfs/dbraw/zinc/77/87/13/1072778713.db2.gz CEJMIFPHHULOMD-XJKSGUPXSA-N 0 0 443.522 -0.293 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000447457344 1072778750 /nfs/dbraw/zinc/77/87/50/1072778750.db2.gz CVKOAJOGKYMPTB-CABCVRRESA-N 0 0 443.522 -0.238 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000447457346 1072778755 /nfs/dbraw/zinc/77/87/55/1072778755.db2.gz CVKOAJOGKYMPTB-GJZGRUSLSA-N 0 0 443.522 -0.238 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000447457348 1072778839 /nfs/dbraw/zinc/77/88/39/1072778839.db2.gz CVKOAJOGKYMPTB-HUUCEWRRSA-N 0 0 443.522 -0.238 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000447457349 1072778735 /nfs/dbraw/zinc/77/87/35/1072778735.db2.gz CVKOAJOGKYMPTB-LSDHHAIUSA-N 0 0 443.522 -0.238 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)c1 ZINC000447458366 1072779192 /nfs/dbraw/zinc/77/91/92/1072779192.db2.gz GCHSJAOTXCWVFR-DZGCQCFKSA-N 0 0 429.495 -0.594 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)c1 ZINC000447458367 1072779180 /nfs/dbraw/zinc/77/91/80/1072779180.db2.gz GCHSJAOTXCWVFR-HIFRSBDPSA-N 0 0 429.495 -0.594 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)c1 ZINC000447458368 1072779049 /nfs/dbraw/zinc/77/90/49/1072779049.db2.gz GCHSJAOTXCWVFR-UKRRQHHQSA-N 0 0 429.495 -0.594 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)c1 ZINC000447458369 1072779261 /nfs/dbraw/zinc/77/92/61/1072779261.db2.gz GCHSJAOTXCWVFR-ZFWWWQNUSA-N 0 0 429.495 -0.594 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)c1 ZINC000447458870 1072779149 /nfs/dbraw/zinc/77/91/49/1072779149.db2.gz IQALFTXIVCNCIM-CABCVRRESA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)c1 ZINC000447458874 1072779107 /nfs/dbraw/zinc/77/91/07/1072779107.db2.gz IQALFTXIVCNCIM-GJZGRUSLSA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)c1 ZINC000447458876 1072779201 /nfs/dbraw/zinc/77/92/01/1072779201.db2.gz IQALFTXIVCNCIM-HUUCEWRRSA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)c1 ZINC000447458878 1072779160 /nfs/dbraw/zinc/77/91/60/1072779160.db2.gz IQALFTXIVCNCIM-LSDHHAIUSA-N 0 0 443.522 -0.286 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000447460503 1072779239 /nfs/dbraw/zinc/77/92/39/1072779239.db2.gz LHVKEGVOIDQEAR-CRAIPNDOSA-N 0 0 437.493 -0.036 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000447460507 1072779251 /nfs/dbraw/zinc/77/92/51/1072779251.db2.gz LHVKEGVOIDQEAR-MAUKXSAKSA-N 0 0 437.493 -0.036 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000447460509 1072779070 /nfs/dbraw/zinc/77/90/70/1072779070.db2.gz LHVKEGVOIDQEAR-QAPCUYQASA-N 0 0 437.493 -0.036 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000447460512 1072779139 /nfs/dbraw/zinc/77/91/39/1072779139.db2.gz LHVKEGVOIDQEAR-YJBOKZPZSA-N 0 0 437.493 -0.036 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2nn(-c3ncccc3F)cc2C)C1 ZINC000447461210 1072779123 /nfs/dbraw/zinc/77/91/23/1072779123.db2.gz MOYDOXLXEFFFHL-CABCVRRESA-N 0 0 435.456 0.526 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2nn(-c3ncccc3F)cc2C)C1 ZINC000447461211 1072779230 /nfs/dbraw/zinc/77/92/30/1072779230.db2.gz MOYDOXLXEFFFHL-GJZGRUSLSA-N 0 0 435.456 0.526 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2nn(-c3ncccc3F)cc2C)C1 ZINC000447461212 1072779271 /nfs/dbraw/zinc/77/92/71/1072779271.db2.gz MOYDOXLXEFFFHL-HUUCEWRRSA-N 0 0 435.456 0.526 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2nn(-c3ncccc3F)cc2C)C1 ZINC000447461213 1073333935 /nfs/dbraw/zinc/33/39/35/1073333935.db2.gz MOYDOXLXEFFFHL-LSDHHAIUSA-N 0 0 435.456 0.526 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000447461393 1072779215 /nfs/dbraw/zinc/77/92/15/1072779215.db2.gz OGIYKTBKORQTCJ-DZGCQCFKSA-N 0 0 436.444 -0.079 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000447461402 1072779283 /nfs/dbraw/zinc/77/92/83/1072779283.db2.gz OGIYKTBKORQTCJ-HIFRSBDPSA-N 0 0 436.444 -0.079 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000447461404 1072779172 /nfs/dbraw/zinc/77/91/72/1072779172.db2.gz OGIYKTBKORQTCJ-UKRRQHHQSA-N 0 0 436.444 -0.079 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000447461407 1072779089 /nfs/dbraw/zinc/77/90/89/1072779089.db2.gz OGIYKTBKORQTCJ-ZFWWWQNUSA-N 0 0 436.444 -0.079 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)c1 ZINC000447461749 1072779294 /nfs/dbraw/zinc/77/92/94/1072779294.db2.gz OFHNQZBGFFVMJJ-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN COC(=O)[C@@](C)(CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)c1ccc(F)cc1 ZINC000447462107 1073326833 /nfs/dbraw/zinc/32/68/33/1073326833.db2.gz PUVMNWZIIMEYQB-FQEVSTJZSA-N 0 0 433.440 0.296 20 0 IBADRN COC(=O)[C@](C)(CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)c1ccc(F)cc1 ZINC000447462112 1073327275 /nfs/dbraw/zinc/32/72/75/1073327275.db2.gz PUVMNWZIIMEYQB-HXUWFJFHSA-N 0 0 433.440 0.296 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)ccc1C ZINC000447462757 1072779723 /nfs/dbraw/zinc/77/97/23/1072779723.db2.gz QZLZRAIDWLVMNG-GDBMZVCRSA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)ccc1C ZINC000447462758 1072779741 /nfs/dbraw/zinc/77/97/41/1072779741.db2.gz QZLZRAIDWLVMNG-GOEBONIOSA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)ccc1C ZINC000447462759 1072779651 /nfs/dbraw/zinc/77/96/51/1072779651.db2.gz QZLZRAIDWLVMNG-HOCLYGCPSA-N 0 0 443.522 -0.286 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)ccc1C ZINC000447462760 1072779732 /nfs/dbraw/zinc/77/97/32/1072779732.db2.gz QZLZRAIDWLVMNG-ZBFHGGJFSA-N 0 0 443.522 -0.286 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000447464096 1072780388 /nfs/dbraw/zinc/78/03/88/1072780388.db2.gz UOEFOHYNPWBHPU-CRAIPNDOSA-N 0 0 437.493 -0.036 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000447464098 1072780272 /nfs/dbraw/zinc/78/02/72/1072780272.db2.gz UOEFOHYNPWBHPU-MAUKXSAKSA-N 0 0 437.493 -0.036 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000447464100 1072780314 /nfs/dbraw/zinc/78/03/14/1072780314.db2.gz UOEFOHYNPWBHPU-QAPCUYQASA-N 0 0 437.493 -0.036 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000447464102 1072780290 /nfs/dbraw/zinc/78/02/90/1072780290.db2.gz UOEFOHYNPWBHPU-YJBOKZPZSA-N 0 0 437.493 -0.036 20 0 IBADRN Cc1cc(CNC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)ccc1S(N)(=O)=O ZINC000447465924 1072780332 /nfs/dbraw/zinc/78/03/32/1072780332.db2.gz ZWIKPVAQNWRHSI-UHFFFAOYSA-N 0 0 444.473 -0.218 20 0 IBADRN C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000447466896 1072780138 /nfs/dbraw/zinc/78/01/38/1072780138.db2.gz BSIBMPHVIGTBOT-GDBMZVCRSA-N 0 0 430.548 -0.033 20 0 IBADRN C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000447466897 1072780795 /nfs/dbraw/zinc/78/07/95/1072780795.db2.gz BSIBMPHVIGTBOT-GOEBONIOSA-N 0 0 430.548 -0.033 20 0 IBADRN C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000447466898 1072780748 /nfs/dbraw/zinc/78/07/48/1072780748.db2.gz BSIBMPHVIGTBOT-HOCLYGCPSA-N 0 0 430.548 -0.033 20 0 IBADRN C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000447466899 1072780755 /nfs/dbraw/zinc/78/07/55/1072780755.db2.gz BSIBMPHVIGTBOT-ZBFHGGJFSA-N 0 0 430.548 -0.033 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@](C)(C(=O)OC)c2ccc(F)cc2)cc1C ZINC000447467541 1073314923 /nfs/dbraw/zinc/31/49/23/1073314923.db2.gz CUEDRPNUWMNFRE-FQEVSTJZSA-N 0 0 433.440 0.262 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@@](C)(C(=O)OC)c2ccc(F)cc2)cc1C ZINC000447467546 1073314874 /nfs/dbraw/zinc/31/48/74/1073314874.db2.gz CUEDRPNUWMNFRE-HXUWFJFHSA-N 0 0 433.440 0.262 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cccc(Br)c3)C2=O)cn1 ZINC000447469879 1072780852 /nfs/dbraw/zinc/78/08/52/1072780852.db2.gz KIYLXTHIXZZWPP-CYBMUJFWSA-N 0 0 449.265 -0.009 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3cccc(Br)c3)C2=O)cn1 ZINC000447469881 1072780690 /nfs/dbraw/zinc/78/06/90/1072780690.db2.gz KIYLXTHIXZZWPP-ZDUSSCGKSA-N 0 0 449.265 -0.009 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCN(c2cccc(Br)c2)C1=O)S(N)(=O)=O ZINC000447473738 1072780718 /nfs/dbraw/zinc/78/07/18/1072780718.db2.gz SKZQJFIEXJXNMJ-BXKDBHETSA-N 0 0 447.311 -0.536 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CCN(c2cccc(Br)c2)C1=O)S(N)(=O)=O ZINC000447473743 1072780722 /nfs/dbraw/zinc/78/07/22/1072780722.db2.gz SKZQJFIEXJXNMJ-CABZTGNLSA-N 0 0 447.311 -0.536 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CCN(c2cccc(Br)c2)C1=O)S(N)(=O)=O ZINC000447473746 1072780703 /nfs/dbraw/zinc/78/07/03/1072780703.db2.gz SKZQJFIEXJXNMJ-JOYOIKCWSA-N 0 0 447.311 -0.536 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCN(c2cccc(Br)c2)C1=O)S(N)(=O)=O ZINC000447473748 1072780659 /nfs/dbraw/zinc/78/06/59/1072780659.db2.gz SKZQJFIEXJXNMJ-SKDRFNHKSA-N 0 0 447.311 -0.536 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000447473812 1072780780 /nfs/dbraw/zinc/78/07/80/1072780780.db2.gz SFTVKDCMXVGYEY-CRAIPNDOSA-N 0 0 436.509 -0.002 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000447473816 1072780842 /nfs/dbraw/zinc/78/08/42/1072780842.db2.gz SFTVKDCMXVGYEY-MAUKXSAKSA-N 0 0 436.509 -0.002 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000447473818 1072780859 /nfs/dbraw/zinc/78/08/59/1072780859.db2.gz SFTVKDCMXVGYEY-QAPCUYQASA-N 0 0 436.509 -0.002 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000447473820 1072780800 /nfs/dbraw/zinc/78/08/00/1072780800.db2.gz SFTVKDCMXVGYEY-YJBOKZPZSA-N 0 0 436.509 -0.002 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)c2ccccc2O1 ZINC000447474878 1072779684 /nfs/dbraw/zinc/77/96/84/1072779684.db2.gz VVJQMUPPCCDRNQ-INIZCTEOSA-N 0 0 446.485 -0.201 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)c2ccccc2O1 ZINC000447474882 1072779777 /nfs/dbraw/zinc/77/97/77/1072779777.db2.gz VVJQMUPPCCDRNQ-MRXNPFEDSA-N 0 0 446.485 -0.201 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000447475137 1072779758 /nfs/dbraw/zinc/77/97/58/1072779758.db2.gz VGCCZFIRGUNOHB-GDBMZVCRSA-N 0 0 448.480 -0.210 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000447475138 1072779623 /nfs/dbraw/zinc/77/96/23/1072779623.db2.gz VGCCZFIRGUNOHB-GOEBONIOSA-N 0 0 448.480 -0.210 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000447475139 1072779767 /nfs/dbraw/zinc/77/97/67/1072779767.db2.gz VGCCZFIRGUNOHB-HOCLYGCPSA-N 0 0 448.480 -0.210 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000447475140 1072779664 /nfs/dbraw/zinc/77/96/64/1072779664.db2.gz VGCCZFIRGUNOHB-ZBFHGGJFSA-N 0 0 448.480 -0.210 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000447476406 1072779704 /nfs/dbraw/zinc/77/97/04/1072779704.db2.gz ZEJKNFRJYRMSCV-GDBMZVCRSA-N 0 0 443.522 -0.252 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000447476407 1072779696 /nfs/dbraw/zinc/77/96/96/1072779696.db2.gz ZEJKNFRJYRMSCV-GOEBONIOSA-N 0 0 443.522 -0.252 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000447476408 1072779751 /nfs/dbraw/zinc/77/97/51/1072779751.db2.gz ZEJKNFRJYRMSCV-HOCLYGCPSA-N 0 0 443.522 -0.252 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000447476409 1072779670 /nfs/dbraw/zinc/77/96/70/1072779670.db2.gz ZEJKNFRJYRMSCV-ZBFHGGJFSA-N 0 0 443.522 -0.252 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)n1 ZINC000447477584 1073303769 /nfs/dbraw/zinc/30/37/69/1073303769.db2.gz JBVLARHZZUFBSI-CYBMUJFWSA-N 0 0 434.403 0.110 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)n1 ZINC000447477585 1073303967 /nfs/dbraw/zinc/30/39/67/1073303967.db2.gz JBVLARHZZUFBSI-ZDUSSCGKSA-N 0 0 434.403 0.110 20 0 IBADRN CC(=O)Nc1cccc(-n2c(C3CC3)nnc2S(=O)(=O)CC(=O)N2CCNC(=O)C2)c1 ZINC000447478468 1072780081 /nfs/dbraw/zinc/78/00/81/1072780081.db2.gz LUFBHJKKPOXFCO-UHFFFAOYSA-N 0 0 446.489 -0.165 20 0 IBADRN CCn1c(-c2ccccn2)nnc1S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O ZINC000447478867 1072779576 /nfs/dbraw/zinc/77/95/76/1072779576.db2.gz GRTZVPNBBPMJHP-UHFFFAOYSA-N 0 0 444.539 -0.469 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1)NCC1CCC1 ZINC000447480938 1072780054 /nfs/dbraw/zinc/78/00/54/1072780054.db2.gz UPQOUKXHUIMTBY-HNNXBMFYSA-N 0 0 427.527 -0.016 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1)NCC1CCC1 ZINC000447480940 1072780382 /nfs/dbraw/zinc/78/03/82/1072780382.db2.gz UPQOUKXHUIMTBY-OAHLLOKOSA-N 0 0 427.527 -0.016 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCCCC2)n1C[C@@H]1CCCO1)N1CCNC(=O)C1 ZINC000447483212 1072781981 /nfs/dbraw/zinc/78/19/81/1072781981.db2.gz UIAXSDUYLXJVFQ-AWEZNQCLSA-N 0 0 440.526 -0.821 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCCCC2)n1C[C@H]1CCCO1)N1CCNC(=O)C1 ZINC000447483213 1072781916 /nfs/dbraw/zinc/78/19/16/1072781916.db2.gz UIAXSDUYLXJVFQ-CQSZACIVSA-N 0 0 440.526 -0.821 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)c2ccccc2O1 ZINC000447483713 1072781265 /nfs/dbraw/zinc/78/12/65/1072781265.db2.gz PJUXEIBIXLENBF-DAYGRLMNSA-N 0 0 435.477 -0.601 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)c2ccccc2O1 ZINC000447483714 1072781936 /nfs/dbraw/zinc/78/19/36/1072781936.db2.gz PJUXEIBIXLENBF-MPGHIAIKSA-N 0 0 435.477 -0.601 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)c2ccccc2O1 ZINC000447483716 1072781869 /nfs/dbraw/zinc/78/18/69/1072781869.db2.gz PJUXEIBIXLENBF-RKVPGOIHSA-N 0 0 435.477 -0.601 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)c2ccccc2O1 ZINC000447483718 1072781770 /nfs/dbraw/zinc/78/17/70/1072781770.db2.gz PJUXEIBIXLENBF-VKJFTORMSA-N 0 0 435.477 -0.601 20 0 IBADRN CC1(C)NC(=O)N(CCCS(=O)(=O)c2nnc(N3CCOCC3)n2C2CC2)C1=O ZINC000447483896 1072781217 /nfs/dbraw/zinc/78/12/17/1072781217.db2.gz RYIXKMMNXDEXJP-UHFFFAOYSA-N 0 0 426.499 -0.056 20 0 IBADRN O=C1CNC(=O)N1CCCS(=O)(=O)c1nnc(N2CCOCC2)n1Cc1ccccc1 ZINC000447493779 1072780816 /nfs/dbraw/zinc/78/08/16/1072780816.db2.gz RFUASLMDWNUTFK-UHFFFAOYSA-N 0 0 448.505 -0.121 20 0 IBADRN CNS(=O)(=O)CCCS(=O)(=O)c1nnc(N2CCOCC2)n1Cc1ccccc1 ZINC000447494646 1072780712 /nfs/dbraw/zinc/78/07/12/1072780712.db2.gz ZKLRQCFFJVRSJZ-UHFFFAOYSA-N 0 0 443.551 -0.124 20 0 IBADRN CCCn1c(C)nnc1S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000447494961 1072780673 /nfs/dbraw/zinc/78/06/73/1072780673.db2.gz DENALSYTXMLZTH-CABCVRRESA-N 0 0 448.567 -0.035 20 0 IBADRN CCCn1c(C)nnc1S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000447494962 1072780867 /nfs/dbraw/zinc/78/08/67/1072780867.db2.gz DENALSYTXMLZTH-GJZGRUSLSA-N 0 0 448.567 -0.035 20 0 IBADRN CCCn1c(C)nnc1S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000447494963 1072781410 /nfs/dbraw/zinc/78/14/10/1072781410.db2.gz DENALSYTXMLZTH-HUUCEWRRSA-N 0 0 448.567 -0.035 20 0 IBADRN CCCn1c(C)nnc1S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000447494964 1072781443 /nfs/dbraw/zinc/78/14/43/1072781443.db2.gz DENALSYTXMLZTH-LSDHHAIUSA-N 0 0 448.567 -0.035 20 0 IBADRN CC(=O)Nc1cccc(-n2cnnc2S(=O)(=O)CC(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000447497439 1072781314 /nfs/dbraw/zinc/78/13/14/1072781314.db2.gz JFJWAUAMVNGHEI-CYBMUJFWSA-N 0 0 434.478 -0.277 20 0 IBADRN CC(=O)Nc1cccc(-n2cnnc2S(=O)(=O)CC(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000447497441 1072781235 /nfs/dbraw/zinc/78/12/35/1072781235.db2.gz JFJWAUAMVNGHEI-ZDUSSCGKSA-N 0 0 434.478 -0.277 20 0 IBADRN CN(CC1CC1)C(=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1 ZINC000447498684 1072781375 /nfs/dbraw/zinc/78/13/75/1072781375.db2.gz MRMDEVUXXGPJLY-HNNXBMFYSA-N 0 0 427.527 -0.064 20 0 IBADRN CN(CC1CC1)C(=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1 ZINC000447498685 1072781441 /nfs/dbraw/zinc/78/14/41/1072781441.db2.gz MRMDEVUXXGPJLY-OAHLLOKOSA-N 0 0 427.527 -0.064 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1Cc1ccccc1)N1CCOCC1 ZINC000447501329 1072781247 /nfs/dbraw/zinc/78/12/47/1072781247.db2.gz SVGFIJKJJILSKF-UHFFFAOYSA-N 0 0 435.506 -0.205 20 0 IBADRN CC(=O)Nc1cccc(-n2cnnc2S(=O)(=O)CC(=O)NCC(=O)N2CCCC2)c1 ZINC000447503917 1072781406 /nfs/dbraw/zinc/78/14/06/1072781406.db2.gz IOSLHJYYMYWVII-UHFFFAOYSA-N 0 0 434.478 -0.262 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCc3ccccc3C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000447514573 1072782460 /nfs/dbraw/zinc/78/24/60/1072782460.db2.gz GGQYBCPYCAZBCU-KRWDZBQOSA-N 0 0 448.545 -0.868 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCc3ccccc3C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000447514574 1072782290 /nfs/dbraw/zinc/78/22/90/1072782290.db2.gz GGQYBCPYCAZBCU-QGZVFWFLSA-N 0 0 448.545 -0.868 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(-c2ccoc2)n1 ZINC000447515504 1072781856 /nfs/dbraw/zinc/78/18/56/1072781856.db2.gz KRYDGDYJYPLGPH-HNNXBMFYSA-N 0 0 435.506 -0.259 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(-c2ccoc2)n1 ZINC000447515505 1072781928 /nfs/dbraw/zinc/78/19/28/1072781928.db2.gz KRYDGDYJYPLGPH-OAHLLOKOSA-N 0 0 435.506 -0.259 20 0 IBADRN CC(C)(OCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(F)(F)F ZINC000447515876 1072782381 /nfs/dbraw/zinc/78/23/81/1072782381.db2.gz MAUFDNZCNVXXRM-GFCCVEGCSA-N 0 0 429.461 -0.209 20 0 IBADRN CC(C)(OCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(F)(F)F ZINC000447515877 1072782394 /nfs/dbraw/zinc/78/23/94/1072782394.db2.gz MAUFDNZCNVXXRM-LBPRGKRZSA-N 0 0 429.461 -0.209 20 0 IBADRN COc1ccc(CCNC(=O)[C@H]2C[C@H](OC)CN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000447545322 1072782272 /nfs/dbraw/zinc/78/22/72/1072782272.db2.gz RAKRLXULMUYHTG-QWHCGFSZSA-N 0 0 435.524 -0.950 20 0 IBADRN CO[C@H]1C[C@H](C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2O)N(S(C)(=O)=O)C1 ZINC000447547119 1072782318 /nfs/dbraw/zinc/78/23/18/1072782318.db2.gz AKNRTNPCPMTQPQ-SMDDNHRTSA-N 0 0 433.508 -0.180 20 0 IBADRN CO[C@H]1C[C@H](C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)N(S(C)(=O)=O)C1 ZINC000447547894 1072782434 /nfs/dbraw/zinc/78/24/34/1072782434.db2.gz FTUGRRCWCQAGLW-GOEBONIOSA-N 0 0 425.511 -0.543 20 0 IBADRN CS(=O)(=O)CC[C@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)c1ccccc1 ZINC000447553032 1072782417 /nfs/dbraw/zinc/78/24/17/1072782417.db2.gz XZJHNLDQMHYFHE-HNNXBMFYSA-N 0 0 441.535 -0.144 20 0 IBADRN CS(=O)(=O)CC[C@@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)c1ccccc1 ZINC000447553035 1072782471 /nfs/dbraw/zinc/78/24/71/1072782471.db2.gz XZJHNLDQMHYFHE-OAHLLOKOSA-N 0 0 441.535 -0.144 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)[C@@H]2CCCCC(=O)N2)CCN1C(=O)[C@@H]1CCCCC(=O)N1 ZINC000447571777 1072782978 /nfs/dbraw/zinc/78/29/78/1072782978.db2.gz ZFZLAPIIWRTKDZ-JYJNAYRXSA-N 0 0 435.525 -0.768 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)[C@H]2CCCCC(=O)N2)CCN1C(=O)[C@H]1CCCCC(=O)N1 ZINC000447571778 1072782997 /nfs/dbraw/zinc/78/29/97/1072782997.db2.gz ZFZLAPIIWRTKDZ-OAGGEKHMSA-N 0 0 435.525 -0.768 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)[C@H]2CCCCC(=O)N2)CCN1C(=O)[C@@H]1CCCCC(=O)N1 ZINC000447571779 1072782992 /nfs/dbraw/zinc/78/29/92/1072782992.db2.gz ZFZLAPIIWRTKDZ-PMPSAXMXSA-N 0 0 435.525 -0.768 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)[C@@H]2CCCCC(=O)N2)CCN1C(=O)[C@H]1CCCCC(=O)N1 ZINC000447571780 1072783047 /nfs/dbraw/zinc/78/30/47/1072783047.db2.gz ZFZLAPIIWRTKDZ-XHSDSOJGSA-N 0 0 435.525 -0.768 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000447610380 1072783971 /nfs/dbraw/zinc/78/39/71/1072783971.db2.gz HNTJKKNCCVOYGA-AWEZNQCLSA-N 0 0 449.551 -0.323 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000447610381 1072784178 /nfs/dbraw/zinc/78/41/78/1072784178.db2.gz HNTJKKNCCVOYGA-CQSZACIVSA-N 0 0 449.551 -0.323 20 0 IBADRN COc1ccc(NC(=O)CN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000447611209 1072782878 /nfs/dbraw/zinc/78/28/78/1072782878.db2.gz KDUARHYEKDADHO-AWEZNQCLSA-N 0 0 447.535 -0.569 20 0 IBADRN COc1ccc(NC(=O)CN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000447611210 1072783005 /nfs/dbraw/zinc/78/30/05/1072783005.db2.gz KDUARHYEKDADHO-CQSZACIVSA-N 0 0 447.535 -0.569 20 0 IBADRN COc1ccc(NC(=O)CCS(=O)(=O)N(C)C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000447611296 1072783545 /nfs/dbraw/zinc/78/35/45/1072783545.db2.gz KWZVQOYOVUIGCZ-CYBMUJFWSA-N 0 0 435.524 -0.018 20 0 IBADRN COc1ccc(NC(=O)CCS(=O)(=O)N(C)C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000447611297 1072783535 /nfs/dbraw/zinc/78/35/35/1072783535.db2.gz KWZVQOYOVUIGCZ-ZDUSSCGKSA-N 0 0 435.524 -0.018 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)CN2C(=O)NC(=O)C2(C)C)ccc1OC ZINC000447612007 1072784615 /nfs/dbraw/zinc/78/46/15/1072784615.db2.gz OKFYFXGVZVIURT-UHFFFAOYSA-N 0 0 428.467 -0.111 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)CN(C)C2=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000447612120 1072783414 /nfs/dbraw/zinc/78/34/14/1072783414.db2.gz PFMFQZZEWBLUJT-GFCCVEGCSA-N 0 0 426.451 -0.405 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)CN(C)C2=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000447612121 1072783494 /nfs/dbraw/zinc/78/34/94/1072783494.db2.gz PFMFQZZEWBLUJT-LBPRGKRZSA-N 0 0 426.451 -0.405 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)Cn2cc(C(=O)OC)nn2)ccc1OC ZINC000447612620 1072783480 /nfs/dbraw/zinc/78/34/80/1072783480.db2.gz TXHOOHZCTCQKOK-UHFFFAOYSA-N 0 0 427.439 -0.363 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)ccc1OC ZINC000447612654 1072783491 /nfs/dbraw/zinc/78/34/91/1072783491.db2.gz UDNYMSHJLXPAGM-BDJLRTHQSA-N 0 0 429.495 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)[C@@H](NC(=O)C2CC2)[C@@H](C)O)ccc1OC ZINC000447612655 1072783405 /nfs/dbraw/zinc/78/34/05/1072783405.db2.gz UDNYMSHJLXPAGM-BZNIZROVSA-N 0 0 429.495 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)ccc1OC ZINC000447612656 1072783449 /nfs/dbraw/zinc/78/34/49/1072783449.db2.gz UDNYMSHJLXPAGM-MEDUHNTESA-N 0 0 429.495 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)[C@@H](NC(=O)C2CC2)[C@H](C)O)ccc1OC ZINC000447612657 1072783520 /nfs/dbraw/zinc/78/35/20/1072783520.db2.gz UDNYMSHJLXPAGM-ZBEGNZNMSA-N 0 0 429.495 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)CCN2CCCS2(=O)=O)ccc1OC ZINC000447612921 1072783466 /nfs/dbraw/zinc/78/34/66/1072783466.db2.gz WGRODXFZYXVNBG-UHFFFAOYSA-N 0 0 435.524 -0.016 20 0 IBADRN COc1ccc(NC(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000447613000 1072783550 /nfs/dbraw/zinc/78/35/50/1072783550.db2.gz WXJJYVZPRJSTKZ-FABXCBLPSA-N 0 0 441.506 -0.024 20 0 IBADRN COc1ccc(NC(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000447613001 1072783558 /nfs/dbraw/zinc/78/35/58/1072783558.db2.gz WXJJYVZPRJSTKZ-HYSWKAIVSA-N 0 0 441.506 -0.024 20 0 IBADRN COc1ccc(NC(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000447613002 1072783457 /nfs/dbraw/zinc/78/34/57/1072783457.db2.gz WXJJYVZPRJSTKZ-JDSLSITLSA-N 0 0 441.506 -0.024 20 0 IBADRN COc1ccc(NC(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000447613003 1072783530 /nfs/dbraw/zinc/78/35/30/1072783530.db2.gz WXJJYVZPRJSTKZ-PZSREKOKSA-N 0 0 441.506 -0.024 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)NCCOC)c2)C(=O)C1=O ZINC000447613887 1072783501 /nfs/dbraw/zinc/78/35/01/1072783501.db2.gz GBJOIVJXPVUHEY-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)c2ccnc(S(N)(=O)=O)c2)ccc1OC ZINC000447614692 1072784155 /nfs/dbraw/zinc/78/41/55/1072784155.db2.gz KWCLHBQOOPRJAT-UHFFFAOYSA-N 0 0 444.491 -0.085 20 0 IBADRN COC(=O)c1cn(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@@H]3CCOC3)c2)nn1 ZINC000447614834 1072784100 /nfs/dbraw/zinc/78/41/00/1072784100.db2.gz LXVHVNZTBYZVPO-GFCCVEGCSA-N 0 0 439.450 -0.221 20 0 IBADRN COC(=O)c1cn(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@H]3CCOC3)c2)nn1 ZINC000447614835 1072784184 /nfs/dbraw/zinc/78/41/84/1072784184.db2.gz LXVHVNZTBYZVPO-LBPRGKRZSA-N 0 0 439.450 -0.221 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)CC2)ccc1OC ZINC000447614866 1072783474 /nfs/dbraw/zinc/78/34/74/1072783474.db2.gz MBMSALANCWYBPY-UHFFFAOYSA-N 0 0 435.524 -0.711 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000447614918 1072783430 /nfs/dbraw/zinc/78/34/30/1072783430.db2.gz MQNCRZLWYXCQHF-CYBMUJFWSA-N 0 0 435.524 -0.018 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000447614919 1072783442 /nfs/dbraw/zinc/78/34/42/1072783442.db2.gz MQNCRZLWYXCQHF-ZDUSSCGKSA-N 0 0 435.524 -0.018 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)C(=O)N(C)C2=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000447614942 1072783426 /nfs/dbraw/zinc/78/34/26/1072783426.db2.gz MTGNRSVWECPEHI-LLVKDONJSA-N 0 0 440.434 -0.879 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)C(=O)N(C)C2=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000447614943 1072783486 /nfs/dbraw/zinc/78/34/86/1072783486.db2.gz MTGNRSVWECPEHI-NSHDSACASA-N 0 0 440.434 -0.879 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)CCCN2C(=O)CNC2=O)ccc1OC ZINC000447615361 1072784118 /nfs/dbraw/zinc/78/41/18/1072784118.db2.gz PXZPQFQAHXXFLE-UHFFFAOYSA-N 0 0 428.467 -0.110 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)C(=O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000447616026 1072784110 /nfs/dbraw/zinc/78/41/10/1072784110.db2.gz VDJAQSSARWYPPL-AWEZNQCLSA-N 0 0 426.495 -0.525 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)C(=O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000447616027 1072783997 /nfs/dbraw/zinc/78/39/97/1072783997.db2.gz VDJAQSSARWYPPL-CQSZACIVSA-N 0 0 426.495 -0.525 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)Cn2cnc3c2ncnc3N)ccc1OC ZINC000447616333 1072784137 /nfs/dbraw/zinc/78/41/37/1072784137.db2.gz XMRLXYBCPLXIQI-UHFFFAOYSA-N 0 0 435.466 -0.020 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3noc(C(C)(C)C)n3)CC2)c(=O)n(C)c1=O ZINC000447644236 1072785766 /nfs/dbraw/zinc/78/57/66/1072785766.db2.gz UONOPRJIFKQJOK-UHFFFAOYSA-N 0 0 426.499 -0.729 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1N(C)C ZINC000447649632 1072786237 /nfs/dbraw/zinc/78/62/37/1072786237.db2.gz IXTVZNKPOARRCD-UHFFFAOYSA-N 0 0 445.611 -0.140 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000447656351 1072785175 /nfs/dbraw/zinc/78/51/75/1072785175.db2.gz LCLQYJAQELHSOF-UHFFFAOYSA-N 0 0 426.495 -0.189 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000447658850 1072785066 /nfs/dbraw/zinc/78/50/66/1072785066.db2.gz KNWRILVNNXJSCP-HNNXBMFYSA-N 0 0 426.539 -0.037 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000447658851 1072785094 /nfs/dbraw/zinc/78/50/94/1072785094.db2.gz KNWRILVNNXJSCP-OAHLLOKOSA-N 0 0 426.539 -0.037 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000447659200 1072785084 /nfs/dbraw/zinc/78/50/84/1072785084.db2.gz NJBNAIXHXAUUQK-HNNXBMFYSA-N 0 0 426.539 -0.154 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000447659201 1072785027 /nfs/dbraw/zinc/78/50/27/1072785027.db2.gz NJBNAIXHXAUUQK-OAHLLOKOSA-N 0 0 426.539 -0.154 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)[C@@H]1CC[C@H](C(=O)N(C)[C@@H](C)CN2CCOCC2)O1 ZINC000447659328 1072785211 /nfs/dbraw/zinc/78/52/11/1072785211.db2.gz DKTVWWSIRQNNHP-JVSBHGNQSA-N 0 0 440.585 -0.108 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)[C@H]1CC[C@@H](C(=O)N(C)[C@H](C)CN2CCOCC2)O1 ZINC000447659329 1072785169 /nfs/dbraw/zinc/78/51/69/1072785169.db2.gz DKTVWWSIRQNNHP-WTGUMLROSA-N 0 0 440.585 -0.108 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)[C@@H]1CC[C@@H](C(=O)N(C)[C@@H](C)CN2CCOCC2)O1 ZINC000447659330 1072785128 /nfs/dbraw/zinc/78/51/28/1072785128.db2.gz DKTVWWSIRQNNHP-YRPNKDGESA-N 0 0 440.585 -0.108 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)[C@@H]1CC[C@@H](C(=O)N(C)[C@H](C)CN2CCOCC2)O1 ZINC000447659331 1072785193 /nfs/dbraw/zinc/78/51/93/1072785193.db2.gz DKTVWWSIRQNNHP-ZRNYENFQSA-N 0 0 440.585 -0.108 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000447660281 1072785645 /nfs/dbraw/zinc/78/56/45/1072785645.db2.gz RFICLRUWNDMOAP-IYBDPMFKSA-N 0 0 438.550 -0.012 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCC[C@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000447660662 1072785135 /nfs/dbraw/zinc/78/51/35/1072785135.db2.gz GNLYGAADLOBSQE-AWEZNQCLSA-N 0 0 439.513 -0.070 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000447661628 1072785628 /nfs/dbraw/zinc/78/56/28/1072785628.db2.gz RTUYBWOBVNRIEJ-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000447661723 1073310319 /nfs/dbraw/zinc/31/03/19/1073310319.db2.gz XMIIHRQYFBIPCU-UHFFFAOYSA-N 0 0 432.525 0.560 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C[C@H](C)O1 ZINC000447662004 1072785698 /nfs/dbraw/zinc/78/56/98/1072785698.db2.gz URWUVUCPDVHWAO-BETUJISGSA-N 0 0 426.495 -0.191 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)[C@H](C)CN2CCOCC2)s1 ZINC000447662232 1072785728 /nfs/dbraw/zinc/78/57/28/1072785728.db2.gz ZKNIXFLYFSZLES-CYBMUJFWSA-N 0 0 432.568 -0.158 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)[C@@H](C)CN2CCOCC2)s1 ZINC000447662233 1072785774 /nfs/dbraw/zinc/78/57/74/1072785774.db2.gz ZKNIXFLYFSZLES-ZDUSSCGKSA-N 0 0 432.568 -0.158 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC[C@H](O)C1 ZINC000447662989 1072785551 /nfs/dbraw/zinc/78/55/51/1072785551.db2.gz VLSDRZRXOACAJR-GXTWGEPZSA-N 0 0 427.479 -0.647 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC[C@H](O)C1 ZINC000447662990 1072785665 /nfs/dbraw/zinc/78/56/65/1072785665.db2.gz VLSDRZRXOACAJR-JSGCOSHPSA-N 0 0 427.479 -0.647 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)C1CCN(c2cnccn2)CC1 ZINC000447665714 1072786334 /nfs/dbraw/zinc/78/63/34/1072786334.db2.gz QPUFZUYHUVKTGQ-UHFFFAOYSA-N 0 0 426.543 -0.262 20 0 IBADRN NC(=O)CN1CCc2ccc(NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)cc2C1 ZINC000447666990 1072786162 /nfs/dbraw/zinc/78/61/62/1072786162.db2.gz CUFZADXQULSGDJ-GOSISDBHSA-N 0 0 429.521 -0.351 20 0 IBADRN NC(=O)CN1CCc2ccc(NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)cc2C1 ZINC000447666991 1072786148 /nfs/dbraw/zinc/78/61/48/1072786148.db2.gz CUFZADXQULSGDJ-SFHVURJKSA-N 0 0 429.521 -0.351 20 0 IBADRN CCOC(=O)c1n[nH]c(-c2cccc(CNC(=O)CNS(=O)(=O)c3cnn(C)c3)c2)n1 ZINC000447675019 1072786250 /nfs/dbraw/zinc/78/62/50/1072786250.db2.gz JNXJCZAAFULSTB-UHFFFAOYSA-N 0 0 447.477 -0.023 20 0 IBADRN CCOC(=O)c1n[nH]c(-c2cccc(CNC(=O)CN3CCN(CC)C(=O)C3=O)c2)n1 ZINC000447675619 1072786203 /nfs/dbraw/zinc/78/62/03/1072786203.db2.gz NNAPEZZXISBEKG-UHFFFAOYSA-N 0 0 428.449 -0.045 20 0 IBADRN CCOC(=O)c1n[nH]c(-c2cccc(CNC(=O)c3cn(CCO)c(=O)[nH]c3=O)c2)n1 ZINC000447682626 1072786103 /nfs/dbraw/zinc/78/61/03/1072786103.db2.gz IFUMIGZQIKWGRY-UHFFFAOYSA-N 0 0 428.405 -0.167 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000447683141 1072786085 /nfs/dbraw/zinc/78/60/85/1072786085.db2.gz NJVCTVPFBFMZDT-DLBZAZTESA-N 0 0 428.555 -0.132 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000447683142 1072786139 /nfs/dbraw/zinc/78/61/39/1072786139.db2.gz NJVCTVPFBFMZDT-IAGOWNOFSA-N 0 0 428.555 -0.132 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000447683143 1072786168 /nfs/dbraw/zinc/78/61/68/1072786168.db2.gz NJVCTVPFBFMZDT-IRXDYDNUSA-N 0 0 428.555 -0.132 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000447683144 1072786341 /nfs/dbraw/zinc/78/63/41/1072786341.db2.gz NJVCTVPFBFMZDT-SJORKVTESA-N 0 0 428.555 -0.132 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc2c(c1)CN(CC(N)=O)CC2 ZINC000447683593 1072786175 /nfs/dbraw/zinc/78/61/75/1072786175.db2.gz RLCMWIUSZQCMGH-UHFFFAOYSA-N 0 0 439.538 -0.697 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCN(c2cncc3ncnn32)CC1 ZINC000447687114 1072786354 /nfs/dbraw/zinc/78/63/54/1072786354.db2.gz HXBPHRMXJXENJW-UHFFFAOYSA-N 0 0 437.512 -0.432 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2ccc3c(c2)CN(CC(N)=O)CC3)cn1C ZINC000447687715 1072786307 /nfs/dbraw/zinc/78/63/07/1072786307.db2.gz JZLVGZPSYBUERH-UHFFFAOYSA-N 0 0 434.522 -0.121 20 0 IBADRN Cn1c2[nH]c(CCC(=O)Nc3ccc4c(c3)CN(CC(N)=O)CC4)nc2c(=O)[nH]c1=O ZINC000447689642 1072786927 /nfs/dbraw/zinc/78/69/27/1072786927.db2.gz RRORKGWYYFJJME-UHFFFAOYSA-N 0 0 425.449 -0.223 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)CNS(=O)(=O)c3cnn(C)c3)n2)c1C ZINC000447699005 1072787323 /nfs/dbraw/zinc/78/73/23/1072787323.db2.gz FTORUFLNASNFEO-UHFFFAOYSA-N 0 0 434.482 -0.003 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CC[C@]3(CNC(=O)C3)C2)cc1C ZINC000447703139 1072787297 /nfs/dbraw/zinc/78/72/97/1072787297.db2.gz IMFQWHUZQOIKKU-JXFKEZNVSA-N 0 0 436.534 -0.046 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc1C ZINC000447703140 1072787479 /nfs/dbraw/zinc/78/74/79/1072787479.db2.gz IMFQWHUZQOIKKU-OXJNMPFZSA-N 0 0 436.534 -0.046 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc1C ZINC000447703141 1072787400 /nfs/dbraw/zinc/78/74/00/1072787400.db2.gz IMFQWHUZQOIKKU-OXQOHEQNSA-N 0 0 436.534 -0.046 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CC[C@]3(CNC(=O)C3)C2)cc1C ZINC000447703142 1072787495 /nfs/dbraw/zinc/78/74/95/1072787495.db2.gz IMFQWHUZQOIKKU-UZLBHIALSA-N 0 0 436.534 -0.046 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)n2)c1C ZINC000447704799 1072787342 /nfs/dbraw/zinc/78/73/42/1072787342.db2.gz SQTSHGYVACWOIU-UHFFFAOYSA-N 0 0 437.526 -0.137 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000447737115 1072787356 /nfs/dbraw/zinc/78/73/56/1072787356.db2.gz QFQQMJCJGBWJBI-JXFKEZNVSA-N 0 0 439.534 -0.044 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000447737116 1072787307 /nfs/dbraw/zinc/78/73/07/1072787307.db2.gz QFQQMJCJGBWJBI-OXJNMPFZSA-N 0 0 439.534 -0.044 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000447737117 1072787486 /nfs/dbraw/zinc/78/74/86/1072787486.db2.gz QFQQMJCJGBWJBI-OXQOHEQNSA-N 0 0 439.534 -0.044 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000447737118 1072787366 /nfs/dbraw/zinc/78/73/66/1072787366.db2.gz QFQQMJCJGBWJBI-UZLBHIALSA-N 0 0 439.534 -0.044 20 0 IBADRN COc1ccc(CC(=O)NC[C@]2(O)CCO[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000447737743 1072787924 /nfs/dbraw/zinc/78/79/24/1072787924.db2.gz DFBXTAFRKZWCHH-AUUYWEPGSA-N 0 0 428.507 -0.085 20 0 IBADRN COc1ccc(CC(=O)NC[C@]2(O)CCO[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000447737913 1072787931 /nfs/dbraw/zinc/78/79/31/1072787931.db2.gz DFBXTAFRKZWCHH-IFXJQAMLSA-N 0 0 428.507 -0.085 20 0 IBADRN COc1ccc(CC(=O)NC[C@@]2(O)CCO[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000447737914 1072787848 /nfs/dbraw/zinc/78/78/48/1072787848.db2.gz DFBXTAFRKZWCHH-KUHUBIRLSA-N 0 0 428.507 -0.085 20 0 IBADRN COc1ccc(CC(=O)NC[C@@]2(O)CCO[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000447737915 1072787981 /nfs/dbraw/zinc/78/79/81/1072787981.db2.gz DFBXTAFRKZWCHH-LIRRHRJNSA-N 0 0 428.507 -0.085 20 0 IBADRN O=C(NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)c1cn(CCO)c(=O)[nH]c1=O ZINC000447738150 1072787902 /nfs/dbraw/zinc/78/79/02/1072787902.db2.gz ULASFFZKZCKYTN-HNNXBMFYSA-N 0 0 448.451 -0.472 20 0 IBADRN O=C(NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)c1cn(CCO)c(=O)[nH]c1=O ZINC000447738151 1072787868 /nfs/dbraw/zinc/78/78/68/1072787868.db2.gz ULASFFZKZCKYTN-OAHLLOKOSA-N 0 0 448.451 -0.472 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@]3(O)CCO[C@@H]3C)c2)CC1 ZINC000447741408 1072788436 /nfs/dbraw/zinc/78/84/36/1072788436.db2.gz KTDVSZPEXODHCU-AUUYWEPGSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@]3(O)CCO[C@H]3C)c2)CC1 ZINC000447741409 1072788407 /nfs/dbraw/zinc/78/84/07/1072788407.db2.gz KTDVSZPEXODHCU-IFXJQAMLSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@]3(O)CCO[C@@H]3C)c2)CC1 ZINC000447741410 1072788273 /nfs/dbraw/zinc/78/82/73/1072788273.db2.gz KTDVSZPEXODHCU-KUHUBIRLSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@]3(O)CCO[C@H]3C)c2)CC1 ZINC000447741411 1072788361 /nfs/dbraw/zinc/78/83/61/1072788361.db2.gz KTDVSZPEXODHCU-LIRRHRJNSA-N 0 0 425.507 -0.191 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000447741894 1072787906 /nfs/dbraw/zinc/78/79/06/1072787906.db2.gz BDIBXJCAFSAARH-AUUYWEPGSA-N 0 0 436.490 -0.042 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000447741895 1072787859 /nfs/dbraw/zinc/78/78/59/1072787859.db2.gz BDIBXJCAFSAARH-IFXJQAMLSA-N 0 0 436.490 -0.042 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000447741896 1072787944 /nfs/dbraw/zinc/78/79/44/1072787944.db2.gz BDIBXJCAFSAARH-KUHUBIRLSA-N 0 0 436.490 -0.042 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000447741897 1072787954 /nfs/dbraw/zinc/78/79/54/1072787954.db2.gz BDIBXJCAFSAARH-LIRRHRJNSA-N 0 0 436.490 -0.042 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@@]2(O)CCO[C@H]2C)cc1C ZINC000447742247 1072788450 /nfs/dbraw/zinc/78/84/50/1072788450.db2.gz PKWVJEYAXHAQGR-GMBSWORKSA-N 0 0 427.523 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@]2(O)CCO[C@@H]2C)cc1C ZINC000447742248 1072788327 /nfs/dbraw/zinc/78/83/27/1072788327.db2.gz PKWVJEYAXHAQGR-IDHHARJASA-N 0 0 427.523 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@@]2(O)CCO[C@@H]2C)cc1C ZINC000447742249 1072788313 /nfs/dbraw/zinc/78/83/13/1072788313.db2.gz PKWVJEYAXHAQGR-OGWOLHLISA-N 0 0 427.523 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@]2(O)CCO[C@H]2C)cc1C ZINC000447742250 1072788428 /nfs/dbraw/zinc/78/84/28/1072788428.db2.gz PKWVJEYAXHAQGR-ZSZQSSIHSA-N 0 0 427.523 -0.128 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@]2(O)CCO[C@@H]2C)c1OC ZINC000447743119 1072788378 /nfs/dbraw/zinc/78/83/78/1072788378.db2.gz SFJIXOLPWLONQL-BFUOFWGJSA-N 0 0 444.506 -0.006 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@@]2(O)CCO[C@H]2C)c1OC ZINC000447743120 1072788338 /nfs/dbraw/zinc/78/83/38/1072788338.db2.gz SFJIXOLPWLONQL-DJJJIMSYSA-N 0 0 444.506 -0.006 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@]2(O)CCO[C@H]2C)c1OC ZINC000447743121 1072788371 /nfs/dbraw/zinc/78/83/71/1072788371.db2.gz SFJIXOLPWLONQL-ORAYPTAESA-N 0 0 444.506 -0.006 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@@]2(O)CCO[C@@H]2C)c1OC ZINC000447743122 1072788392 /nfs/dbraw/zinc/78/83/92/1072788392.db2.gz SFJIXOLPWLONQL-YJYMSZOUSA-N 0 0 444.506 -0.006 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)C1 ZINC000447743910 1072788365 /nfs/dbraw/zinc/78/83/65/1072788365.db2.gz IPXABOVILLYRNT-ACJLOTCBSA-N 0 0 438.510 -0.372 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)C1 ZINC000447743911 1072788286 /nfs/dbraw/zinc/78/82/86/1072788286.db2.gz IPXABOVILLYRNT-FZKQIMNGSA-N 0 0 438.510 -0.372 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)C1 ZINC000447743912 1072788349 /nfs/dbraw/zinc/78/83/49/1072788349.db2.gz IPXABOVILLYRNT-SCLBCKFNSA-N 0 0 438.510 -0.372 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)C1 ZINC000447743913 1072788385 /nfs/dbraw/zinc/78/83/85/1072788385.db2.gz IPXABOVILLYRNT-UGSOOPFHSA-N 0 0 438.510 -0.372 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@@]4(C3)NC(=O)NC4=O)CC2)cc1 ZINC000447744851 1072788356 /nfs/dbraw/zinc/78/83/56/1072788356.db2.gz LOMVTLXNMJPELI-FQEVSTJZSA-N 0 0 449.533 -0.498 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@]4(C3)NC(=O)NC4=O)CC2)cc1 ZINC000447744852 1072788400 /nfs/dbraw/zinc/78/84/00/1072788400.db2.gz LOMVTLXNMJPELI-HXUWFJFHSA-N 0 0 449.533 -0.498 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@]3(O)CCO[C@@H]3C)cc2)CC1 ZINC000447748206 1072788768 /nfs/dbraw/zinc/78/87/68/1072788768.db2.gz WAFNCFBJYKWSGQ-AUUYWEPGSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@]3(O)CCO[C@H]3C)cc2)CC1 ZINC000447748207 1072788867 /nfs/dbraw/zinc/78/88/67/1072788867.db2.gz WAFNCFBJYKWSGQ-IFXJQAMLSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@]3(O)CCO[C@@H]3C)cc2)CC1 ZINC000447748208 1072788833 /nfs/dbraw/zinc/78/88/33/1072788833.db2.gz WAFNCFBJYKWSGQ-KUHUBIRLSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@]3(O)CCO[C@H]3C)cc2)CC1 ZINC000447748209 1072788878 /nfs/dbraw/zinc/78/88/78/1072788878.db2.gz WAFNCFBJYKWSGQ-LIRRHRJNSA-N 0 0 425.507 -0.191 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000447749618 1072788758 /nfs/dbraw/zinc/78/87/58/1072788758.db2.gz DAOZCKRHFLRGMW-IBGZPJMESA-N 0 0 436.490 -0.170 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000447749619 1072788953 /nfs/dbraw/zinc/78/89/53/1072788953.db2.gz DAOZCKRHFLRGMW-LJQANCHMSA-N 0 0 436.490 -0.170 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1OC ZINC000447749890 1072788888 /nfs/dbraw/zinc/78/88/88/1072788888.db2.gz FOARRKOSTOCKFV-GOSISDBHSA-N 0 0 440.478 -0.427 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1OC ZINC000447749891 1072788940 /nfs/dbraw/zinc/78/89/40/1072788940.db2.gz FOARRKOSTOCKFV-SFHVURJKSA-N 0 0 440.478 -0.427 20 0 IBADRN Cc1ccc(C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1S(=O)(=O)N1CCOCC1 ZINC000447750805 1072789317 /nfs/dbraw/zinc/78/93/17/1072789317.db2.gz MWUDTLCJSYUOFB-IBGZPJMESA-N 0 0 436.490 -0.170 20 0 IBADRN Cc1ccc(C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1S(=O)(=O)N1CCOCC1 ZINC000447750806 1072789343 /nfs/dbraw/zinc/78/93/43/1072789343.db2.gz MWUDTLCJSYUOFB-LJQANCHMSA-N 0 0 436.490 -0.170 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC1CCN(S(=O)(=O)C(C)(C)C)CC1 ZINC000447750940 1072789482 /nfs/dbraw/zinc/78/94/82/1072789482.db2.gz OBFPFQXYJZZSDN-UHFFFAOYSA-N 0 0 433.618 -0.220 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CCN1c1nccn2cnnc12 ZINC000447751298 1072789330 /nfs/dbraw/zinc/78/93/30/1072789330.db2.gz AHLXHJQLKCVKIP-CYBMUJFWSA-N 0 0 431.544 -0.754 20 0 IBADRN C[C@H]1CN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CCN1c1nccn2cnnc12 ZINC000447751301 1072789304 /nfs/dbraw/zinc/78/93/04/1072789304.db2.gz AHLXHJQLKCVKIP-ZDUSSCGKSA-N 0 0 431.544 -0.754 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000447751783 1072789410 /nfs/dbraw/zinc/78/94/10/1072789410.db2.gz CHOYJHDHEBDCQQ-UHFFFAOYSA-N 0 0 447.583 -0.679 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000447751873 1072789265 /nfs/dbraw/zinc/78/92/65/1072789265.db2.gz TWLLFPZSFUVFNS-CABCVRRESA-N 0 0 426.543 -0.322 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000447751875 1072789434 /nfs/dbraw/zinc/78/94/34/1072789434.db2.gz TWLLFPZSFUVFNS-GJZGRUSLSA-N 0 0 426.543 -0.322 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000447751877 1072789362 /nfs/dbraw/zinc/78/93/62/1072789362.db2.gz TWLLFPZSFUVFNS-HUUCEWRRSA-N 0 0 426.543 -0.322 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000447751880 1072789207 /nfs/dbraw/zinc/78/92/07/1072789207.db2.gz TWLLFPZSFUVFNS-LSDHHAIUSA-N 0 0 426.543 -0.322 20 0 IBADRN CC[C@H](NS(=O)(=O)CCCS(=O)(=O)N(C)C)c1cccc(S(N)(=O)=O)c1 ZINC000447751886 1072789290 /nfs/dbraw/zinc/78/92/90/1072789290.db2.gz CVQKJTZUGZKNJY-AWEZNQCLSA-N 0 0 427.570 -0.014 20 0 IBADRN CC[C@@H](NS(=O)(=O)CCCS(=O)(=O)N(C)C)c1cccc(S(N)(=O)=O)c1 ZINC000447751888 1072789398 /nfs/dbraw/zinc/78/93/98/1072789398.db2.gz CVQKJTZUGZKNJY-CQSZACIVSA-N 0 0 427.570 -0.014 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000447752432 1072789860 /nfs/dbraw/zinc/78/98/60/1072789860.db2.gz GLLQIGOFSQFGCE-INIZCTEOSA-N 0 0 433.600 -0.207 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000447752433 1072789956 /nfs/dbraw/zinc/78/99/56/1072789956.db2.gz GLLQIGOFSQFGCE-MRXNPFEDSA-N 0 0 433.600 -0.207 20 0 IBADRN COC(=O)C1CCC(NS(=O)(=O)CCCS(=O)(=O)N(C)C)(C(=O)OC)CC1 ZINC000447752678 1072789461 /nfs/dbraw/zinc/78/94/61/1072789461.db2.gz HJDOQSAODIQFFO-UHFFFAOYSA-N 0 0 428.529 -0.538 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000447753014 1072789799 /nfs/dbraw/zinc/78/97/99/1072789799.db2.gz XZZZBYMKHRONCA-HNNXBMFYSA-N 0 0 438.616 -0.337 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000447753015 1072789841 /nfs/dbraw/zinc/78/98/41/1072789841.db2.gz XZZZBYMKHRONCA-OAHLLOKOSA-N 0 0 438.616 -0.337 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000447753370 1072789830 /nfs/dbraw/zinc/78/98/30/1072789830.db2.gz KBKHJEYXVRNPAW-GOSISDBHSA-N 0 0 437.478 -0.534 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000447753373 1072789851 /nfs/dbraw/zinc/78/98/51/1072789851.db2.gz KBKHJEYXVRNPAW-SFHVURJKSA-N 0 0 437.478 -0.534 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000447753808 1072790043 /nfs/dbraw/zinc/79/00/43/1072790043.db2.gz NPGNUEVKWWUIFH-UHFFFAOYSA-N 0 0 442.581 -0.192 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCCCN1CCN(c2ncccn2)CC1 ZINC000447753945 1072789883 /nfs/dbraw/zinc/78/98/83/1072789883.db2.gz OHLFBRNWFZJIHJ-UHFFFAOYSA-N 0 0 448.615 -0.420 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000447754077 1072789902 /nfs/dbraw/zinc/78/99/02/1072789902.db2.gz PJBSLNPLKLVXTA-UHFFFAOYSA-N 0 0 439.581 -0.121 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000447754117 1072789916 /nfs/dbraw/zinc/78/99/16/1072789916.db2.gz PQKNOIXCLKSNLY-CYBMUJFWSA-N 0 0 431.536 -0.346 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000447754118 1072789818 /nfs/dbraw/zinc/78/98/18/1072789818.db2.gz PQKNOIXCLKSNLY-ZDUSSCGKSA-N 0 0 431.536 -0.346 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(S(=O)(=O)[C@H](C)C(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000447754517 1072789940 /nfs/dbraw/zinc/78/99/40/1072789940.db2.gz APFFGVSBMRRELB-CVEARBPZSA-N 0 0 440.570 -0.352 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(S(=O)(=O)[C@@H](C)C(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000447754518 1072790003 /nfs/dbraw/zinc/79/00/03/1072790003.db2.gz APFFGVSBMRRELB-HOTGVXAUSA-N 0 0 440.570 -0.352 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)[C@H](C)C(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000447754519 1072790028 /nfs/dbraw/zinc/79/00/28/1072790028.db2.gz APFFGVSBMRRELB-HZPDHXFCSA-N 0 0 440.570 -0.352 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)[C@@H](C)C(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000447754520 1072790328 /nfs/dbraw/zinc/79/03/28/1072790328.db2.gz APFFGVSBMRRELB-JKSUJKDBSA-N 0 0 440.570 -0.352 20 0 IBADRN CC(C)N(C[C@@H](O)COc1ccc(CC(N)=O)cc1)S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000447755183 1072789992 /nfs/dbraw/zinc/78/99/92/1072789992.db2.gz CKDSWJWITDQOKY-GDBMZVCRSA-N 0 0 429.539 -0.029 20 0 IBADRN CC(C)N(C[C@@H](O)COc1ccc(CC(N)=O)cc1)S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000447755184 1072789929 /nfs/dbraw/zinc/78/99/29/1072789929.db2.gz CKDSWJWITDQOKY-GOEBONIOSA-N 0 0 429.539 -0.029 20 0 IBADRN CC(C)N(C[C@H](O)COc1ccc(CC(N)=O)cc1)S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000447755185 1072789875 /nfs/dbraw/zinc/78/98/75/1072789875.db2.gz CKDSWJWITDQOKY-HOCLYGCPSA-N 0 0 429.539 -0.029 20 0 IBADRN CC(C)N(C[C@H](O)COc1ccc(CC(N)=O)cc1)S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000447755186 1072789809 /nfs/dbraw/zinc/78/98/09/1072789809.db2.gz CKDSWJWITDQOKY-ZBFHGGJFSA-N 0 0 429.539 -0.029 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)C1 ZINC000447755560 1072790356 /nfs/dbraw/zinc/79/03/56/1072790356.db2.gz DSFAZCRJMSDKDH-ACJLOTCBSA-N 0 0 439.494 -0.107 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)C1 ZINC000447755561 1072790437 /nfs/dbraw/zinc/79/04/37/1072790437.db2.gz DSFAZCRJMSDKDH-FZKQIMNGSA-N 0 0 439.494 -0.107 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)C1 ZINC000447755562 1072790470 /nfs/dbraw/zinc/79/04/70/1072790470.db2.gz DSFAZCRJMSDKDH-SCLBCKFNSA-N 0 0 439.494 -0.107 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)C1 ZINC000447755563 1072790480 /nfs/dbraw/zinc/79/04/80/1072790480.db2.gz DSFAZCRJMSDKDH-UGSOOPFHSA-N 0 0 439.494 -0.107 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000447755988 1072790430 /nfs/dbraw/zinc/79/04/30/1072790430.db2.gz DZNFBIYQONOCEG-CYBMUJFWSA-N 0 0 448.527 -0.545 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000447755989 1072790466 /nfs/dbraw/zinc/79/04/66/1072790466.db2.gz DZNFBIYQONOCEG-ZDUSSCGKSA-N 0 0 448.527 -0.545 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000447756379 1072790789 /nfs/dbraw/zinc/79/07/89/1072790789.db2.gz WAAIKHSCONPNDK-UHFFFAOYSA-N 0 0 446.595 -0.074 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000447756407 1072790879 /nfs/dbraw/zinc/79/08/79/1072790879.db2.gz WFCQVYQWRNDWOP-UHFFFAOYSA-N 0 0 435.572 -0.527 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000447756770 1072790362 /nfs/dbraw/zinc/79/03/62/1072790362.db2.gz HDZBTZYQNKGKED-UHFFFAOYSA-N 0 0 439.581 -0.073 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000447756844 1072790333 /nfs/dbraw/zinc/79/03/33/1072790333.db2.gz HABUJQOUCSCJCH-HRAATJIYSA-N 0 0 425.445 -0.337 20 0 IBADRN O=C(CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000447756847 1072790343 /nfs/dbraw/zinc/79/03/43/1072790343.db2.gz HABUJQOUCSCJCH-IERDGZPVSA-N 0 0 425.445 -0.337 20 0 IBADRN O=C(CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000447756850 1072790445 /nfs/dbraw/zinc/79/04/45/1072790445.db2.gz HABUJQOUCSCJCH-IIBYNOLFSA-N 0 0 425.445 -0.337 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000447756853 1072790778 /nfs/dbraw/zinc/79/07/78/1072790778.db2.gz HABUJQOUCSCJCH-KKSFZXQISA-N 0 0 425.445 -0.337 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC2)n2ncnc2n1 ZINC000447756924 1072790396 /nfs/dbraw/zinc/79/03/96/1072790396.db2.gz HKWXKQNUEXDCKU-UHFFFAOYSA-N 0 0 431.544 -0.834 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)N1CCN(c2cncc3ncnn32)CC1 ZINC000447757942 1072790811 /nfs/dbraw/zinc/79/08/11/1072790811.db2.gz KBVKHCUSIAKBSF-UHFFFAOYSA-N 0 0 441.540 -0.100 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC2)n1 ZINC000447758289 1072792157 /nfs/dbraw/zinc/79/21/57/1072792157.db2.gz LSDNSYIQMZPRGU-UHFFFAOYSA-N 0 0 437.544 -0.228 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N[C@H]1CC[C@H](NS(=O)(=O)[C@H](C)C(=O)N(C)C)CC1 ZINC000447759033 1072789247 /nfs/dbraw/zinc/78/92/47/1072789247.db2.gz PCGQPWZHPIDUPN-AAVRWANBSA-N 0 0 440.588 -0.910 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N[C@H]1CC[C@H](NS(=O)(=O)[C@@H](C)C(=O)N(C)C)CC1 ZINC000447759034 1072789351 /nfs/dbraw/zinc/78/93/51/1072789351.db2.gz PCGQPWZHPIDUPN-XUXIUFHCSA-N 0 0 440.588 -0.910 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N[C@@H]1CC[C@@H](NS(=O)(=O)[C@@H](C)C(=O)N(C)C)CC1 ZINC000447759035 1072789387 /nfs/dbraw/zinc/78/93/87/1072789387.db2.gz PCGQPWZHPIDUPN-YXSUXZIUSA-N 0 0 440.588 -0.910 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000447759190 1072787914 /nfs/dbraw/zinc/78/79/14/1072787914.db2.gz QJUMYILWGXPTDA-GFCCVEGCSA-N 0 0 427.570 -0.062 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000447759191 1072787963 /nfs/dbraw/zinc/78/79/63/1072787963.db2.gz QJUMYILWGXPTDA-LBPRGKRZSA-N 0 0 427.570 -0.062 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000447759903 1072792134 /nfs/dbraw/zinc/79/21/34/1072792134.db2.gz VZXFSYQWYUVGMX-IAISJRAMSA-N 0 0 440.453 -0.850 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000447759904 1072792271 /nfs/dbraw/zinc/79/22/71/1072792271.db2.gz VZXFSYQWYUVGMX-UVBSCNOISA-N 0 0 440.453 -0.850 20 0 IBADRN CCOC1CC(CNS(=O)(=O)CCCS(=O)(=O)N(C)C)(N2CCOCC2)C1 ZINC000447760882 1072792567 /nfs/dbraw/zinc/79/25/67/1072792567.db2.gz QPPORGGOQAEFEW-UHFFFAOYSA-N 0 0 427.589 -0.543 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000447761090 1072792722 /nfs/dbraw/zinc/79/27/22/1072792722.db2.gz SEBWMHMQTSDOOF-HKUYNNGSSA-N 0 0 426.558 -0.014 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000447761091 1072792658 /nfs/dbraw/zinc/79/26/58/1072792658.db2.gz SEBWMHMQTSDOOF-IEBWSBKVSA-N 0 0 426.558 -0.014 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000447761092 1072792799 /nfs/dbraw/zinc/79/27/99/1072792799.db2.gz SEBWMHMQTSDOOF-MJGOQNOKSA-N 0 0 426.558 -0.014 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000447761093 1072792731 /nfs/dbraw/zinc/79/27/31/1072792731.db2.gz SEBWMHMQTSDOOF-PKOBYXMFSA-N 0 0 426.558 -0.014 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NS(=O)(=O)CCCS(=O)(=O)N(C)C)C2)nc1 ZINC000447761416 1072790850 /nfs/dbraw/zinc/79/08/50/1072790850.db2.gz VNVCNJFWYPHPHW-UHFFFAOYSA-N 0 0 434.540 -0.352 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000447761837 1072790765 /nfs/dbraw/zinc/79/07/65/1072790765.db2.gz YRFYMLAUMAVTIH-UHFFFAOYSA-N 0 0 438.616 -0.526 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000447762387 1072790834 /nfs/dbraw/zinc/79/08/34/1072790834.db2.gz DECVRAQBIWDQMC-BRWVUGGUSA-N 0 0 437.559 -0.470 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000447762388 1072790873 /nfs/dbraw/zinc/79/08/73/1072790873.db2.gz DECVRAQBIWDQMC-GVDBMIGSSA-N 0 0 437.559 -0.470 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000447762389 1072790871 /nfs/dbraw/zinc/79/08/71/1072790871.db2.gz DECVRAQBIWDQMC-IXDOHACOSA-N 0 0 437.559 -0.470 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000447762390 1072790803 /nfs/dbraw/zinc/79/08/03/1072790803.db2.gz DECVRAQBIWDQMC-YESZJQIVSA-N 0 0 437.559 -0.470 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2S(=O)(=O)CCCS(=O)(=O)N(C)C)cn1 ZINC000447763320 1072790860 /nfs/dbraw/zinc/79/08/60/1072790860.db2.gz DGZXCTPJZJSCLP-BSEYFRJRSA-N 0 0 426.586 -0.380 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2S(=O)(=O)CCCS(=O)(=O)N(C)C)cn1 ZINC000447763321 1072790748 /nfs/dbraw/zinc/79/07/48/1072790748.db2.gz DGZXCTPJZJSCLP-JBEBIEQOSA-N 0 0 426.586 -0.380 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2S(=O)(=O)CCCS(=O)(=O)N(C)C)cn1 ZINC000447763322 1072790842 /nfs/dbraw/zinc/79/08/42/1072790842.db2.gz DGZXCTPJZJSCLP-LFPIHBKWSA-N 0 0 426.586 -0.380 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2S(=O)(=O)CCCS(=O)(=O)N(C)C)cn1 ZINC000447763323 1072791237 /nfs/dbraw/zinc/79/12/37/1072791237.db2.gz DGZXCTPJZJSCLP-SHACYNPGSA-N 0 0 426.586 -0.380 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000447764149 1072791292 /nfs/dbraw/zinc/79/12/92/1072791292.db2.gz HWFIBKZGIPJRNC-INIZCTEOSA-N 0 0 432.524 -0.558 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000447764152 1072791324 /nfs/dbraw/zinc/79/13/24/1072791324.db2.gz HWFIBKZGIPJRNC-MRXNPFEDSA-N 0 0 432.524 -0.558 20 0 IBADRN CC(C)[C@H](CN(C)S(=O)(=O)[C@@H](C)C(=O)N(C)C)NS(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000447765269 1072791334 /nfs/dbraw/zinc/79/13/34/1072791334.db2.gz LHUUHERMZDATEK-IHRRRGAJSA-N 0 0 442.604 -0.855 20 0 IBADRN CC(C)[C@H](CN(C)S(=O)(=O)[C@H](C)C(=O)N(C)C)NS(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000447765270 1072791277 /nfs/dbraw/zinc/79/12/77/1072791277.db2.gz LHUUHERMZDATEK-MCIONIFRSA-N 0 0 442.604 -0.855 20 0 IBADRN CC(C)[C@H](CN(C)S(=O)(=O)[C@H](C)C(=O)N(C)C)NS(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000447765271 1072791316 /nfs/dbraw/zinc/79/13/16/1072791316.db2.gz LHUUHERMZDATEK-MJBXVCDLSA-N 0 0 442.604 -0.855 20 0 IBADRN CC(C)[C@H](CN(C)S(=O)(=O)[C@@H](C)C(=O)N(C)C)NS(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000447765272 1072791260 /nfs/dbraw/zinc/79/12/60/1072791260.db2.gz LHUUHERMZDATEK-RDBSUJKOSA-N 0 0 442.604 -0.855 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1 ZINC000447766053 1072791202 /nfs/dbraw/zinc/79/12/02/1072791202.db2.gz RKIPIVNWTUMNJN-CYBMUJFWSA-N 0 0 434.540 -0.421 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1 ZINC000447766054 1072791343 /nfs/dbraw/zinc/79/13/43/1072791343.db2.gz RKIPIVNWTUMNJN-ZDUSSCGKSA-N 0 0 434.540 -0.421 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000447766983 1072791251 /nfs/dbraw/zinc/79/12/51/1072791251.db2.gz PMWUPSSYNDXDFK-CYBMUJFWSA-N 0 0 435.506 -0.419 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000447766984 1072791241 /nfs/dbraw/zinc/79/12/41/1072791241.db2.gz PMWUPSSYNDXDFK-ZDUSSCGKSA-N 0 0 435.506 -0.419 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000447767739 1072791305 /nfs/dbraw/zinc/79/13/05/1072791305.db2.gz WRMRZNUYKGIVSL-AWEZNQCLSA-N 0 0 445.571 -0.458 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000447767743 1072791220 /nfs/dbraw/zinc/79/12/20/1072791220.db2.gz WRMRZNUYKGIVSL-CQSZACIVSA-N 0 0 445.571 -0.458 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000447768648 1072791746 /nfs/dbraw/zinc/79/17/46/1072791746.db2.gz ZAWKSWVZNDUJIG-UHFFFAOYSA-N 0 0 428.554 -0.330 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000447768811 1072791738 /nfs/dbraw/zinc/79/17/38/1072791738.db2.gz SUCSRMYAOYJRRK-KRWDZBQOSA-N 0 0 447.579 -0.343 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000447768812 1072791670 /nfs/dbraw/zinc/79/16/70/1072791670.db2.gz SUCSRMYAOYJRRK-QGZVFWFLSA-N 0 0 447.579 -0.343 20 0 IBADRN O=C(CCC(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000447770182 1073319175 /nfs/dbraw/zinc/31/91/75/1073319175.db2.gz RQXFOQQERXPGRP-GFCCVEGCSA-N 0 0 436.494 0.409 20 0 IBADRN O=C(CCC(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000447770183 1073319041 /nfs/dbraw/zinc/31/90/41/1073319041.db2.gz RQXFOQQERXPGRP-LBPRGKRZSA-N 0 0 436.494 0.409 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(N(C)S(=O)(=O)[C@@H](C)C(=O)N(C)C)nc1 ZINC000447770537 1072791706 /nfs/dbraw/zinc/79/17/06/1072791706.db2.gz ZNRHSIQIWMEPPF-NEPJUHHUSA-N 0 0 449.555 -0.457 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N(C)c1ccc(NS(=O)(=O)[C@@H](C)C(=O)N(C)C)cn1 ZINC000447770538 1072791656 /nfs/dbraw/zinc/79/16/56/1072791656.db2.gz ZNRHSIQIWMEPPF-NWDGAFQWSA-N 0 0 449.555 -0.457 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(N(C)S(=O)(=O)[C@@H](C)C(=O)N(C)C)nc1 ZINC000447770540 1072791701 /nfs/dbraw/zinc/79/17/01/1072791701.db2.gz ZNRHSIQIWMEPPF-RYUDHWBXSA-N 0 0 449.555 -0.457 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(N(C)S(=O)(=O)[C@H](C)C(=O)N(C)C)nc1 ZINC000447770542 1072791690 /nfs/dbraw/zinc/79/16/90/1072791690.db2.gz ZNRHSIQIWMEPPF-VXGBXAGGSA-N 0 0 449.555 -0.457 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(C(=O)c2ccc3[nH]cnc3c2)CC1 ZINC000447770583 1072791641 /nfs/dbraw/zinc/79/16/41/1072791641.db2.gz ZRMWQVXZVNJRFB-UHFFFAOYSA-N 0 0 443.551 -0.068 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCCCN1C(=O)NC2(CCCC2)C1=O ZINC000447770709 1072791613 /nfs/dbraw/zinc/79/16/13/1072791613.db2.gz ATDBMSDLZRMPSK-UHFFFAOYSA-N 0 0 438.572 -0.168 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000447772287 1072791682 /nfs/dbraw/zinc/79/16/82/1072791682.db2.gz IJNPLIVLEFFPHS-UHFFFAOYSA-N 0 0 428.554 -0.847 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000447772958 1072791716 /nfs/dbraw/zinc/79/17/16/1072791716.db2.gz NSKYWQQJAIACCF-UHFFFAOYSA-N 0 0 439.581 -0.073 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000447773075 1072791630 /nfs/dbraw/zinc/79/16/30/1072791630.db2.gz OSOYIAYBDBOXKV-LLVKDONJSA-N 0 0 433.508 -0.773 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000447773076 1072791663 /nfs/dbraw/zinc/79/16/63/1072791663.db2.gz OSOYIAYBDBOXKV-NSHDSACASA-N 0 0 433.508 -0.773 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000447773318 1072791623 /nfs/dbraw/zinc/79/16/23/1072791623.db2.gz QTRMWTYCOVNPRT-AWEZNQCLSA-N 0 0 432.568 -0.481 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000447773319 1072791649 /nfs/dbraw/zinc/79/16/49/1072791649.db2.gz QTRMWTYCOVNPRT-CQSZACIVSA-N 0 0 432.568 -0.481 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000447775871 1072791756 /nfs/dbraw/zinc/79/17/56/1072791756.db2.gz GNUSHMDHWSYEND-UHFFFAOYSA-N 0 0 446.595 -0.225 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000447776638 1072792312 /nfs/dbraw/zinc/79/23/12/1072792312.db2.gz LRDLZQAECSUSSF-MSOLQXFVSA-N 0 0 442.582 -0.274 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000447776639 1072792253 /nfs/dbraw/zinc/79/22/53/1072792253.db2.gz LRDLZQAECSUSSF-QZTJIDSGSA-N 0 0 442.582 -0.274 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000447776640 1072792291 /nfs/dbraw/zinc/79/22/91/1072792291.db2.gz LRDLZQAECSUSSF-ROUUACIJSA-N 0 0 442.582 -0.274 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000447776641 1072792217 /nfs/dbraw/zinc/79/22/17/1072792217.db2.gz LRDLZQAECSUSSF-ZWKOTPCHSA-N 0 0 442.582 -0.274 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC2)nc1 ZINC000447776979 1072792119 /nfs/dbraw/zinc/79/21/19/1072792119.db2.gz KLSLUTUOXTYRJK-UHFFFAOYSA-N 0 0 448.567 -0.008 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000447778208 1072792144 /nfs/dbraw/zinc/79/21/44/1072792144.db2.gz PWOCOINYWBYLKE-CABCVRRESA-N 0 0 425.573 -0.580 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000447778209 1072792108 /nfs/dbraw/zinc/79/21/08/1072792108.db2.gz PWOCOINYWBYLKE-GJZGRUSLSA-N 0 0 425.573 -0.580 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000447778210 1072792277 /nfs/dbraw/zinc/79/22/77/1072792277.db2.gz PWOCOINYWBYLKE-HUUCEWRRSA-N 0 0 425.573 -0.580 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000447778211 1072792186 /nfs/dbraw/zinc/79/21/86/1072792186.db2.gz PWOCOINYWBYLKE-LSDHHAIUSA-N 0 0 425.573 -0.580 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000447778397 1072792193 /nfs/dbraw/zinc/79/21/93/1072792193.db2.gz PTTLYDWYGGZOKU-UHFFFAOYSA-N 0 0 446.595 -0.468 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000447778657 1072792196 /nfs/dbraw/zinc/79/21/96/1072792196.db2.gz JTKDLMKPQALMTG-GFCCVEGCSA-N 0 0 427.570 -0.062 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000447778658 1072792251 /nfs/dbraw/zinc/79/22/51/1072792251.db2.gz JTKDLMKPQALMTG-LBPRGKRZSA-N 0 0 427.570 -0.062 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000447779325 1072792086 /nfs/dbraw/zinc/79/20/86/1072792086.db2.gz MPCXWSLSROCHFT-UHFFFAOYSA-N 0 0 432.568 -0.146 20 0 IBADRN CCOCCCNC(=O)C1CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000447780218 1072792305 /nfs/dbraw/zinc/79/23/05/1072792305.db2.gz PVNMEVBCGPWGCD-UHFFFAOYSA-N 0 0 427.589 -0.148 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000447782511 1072792808 /nfs/dbraw/zinc/79/28/08/1072792808.db2.gz HEBBSGXKGJGTDC-LLVKDONJSA-N 0 0 425.554 -0.335 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000447782512 1072792681 /nfs/dbraw/zinc/79/26/81/1072792681.db2.gz HEBBSGXKGJGTDC-NSHDSACASA-N 0 0 425.554 -0.335 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000447783250 1072792614 /nfs/dbraw/zinc/79/26/14/1072792614.db2.gz OFBVGDMUCSIBSZ-AWEZNQCLSA-N 0 0 433.552 -0.255 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000447783251 1072792784 /nfs/dbraw/zinc/79/27/84/1072792784.db2.gz OFBVGDMUCSIBSZ-CQSZACIVSA-N 0 0 433.552 -0.255 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000447784797 1072792782 /nfs/dbraw/zinc/79/27/82/1072792782.db2.gz BLYUOFJLCCSVBU-LLVKDONJSA-N 0 0 447.564 -0.196 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000447784799 1072792709 /nfs/dbraw/zinc/79/27/09/1072792709.db2.gz BLYUOFJLCCSVBU-NSHDSACASA-N 0 0 447.564 -0.196 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000447785577 1072792577 /nfs/dbraw/zinc/79/25/77/1072792577.db2.gz GPXNNJLBAKVVEM-UHFFFAOYSA-N 0 0 427.570 -0.526 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000447788495 1072793284 /nfs/dbraw/zinc/79/32/84/1072793284.db2.gz VLGLHXJKPHHCIF-CABCVRRESA-N 0 0 425.573 -0.996 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000447788498 1072793208 /nfs/dbraw/zinc/79/32/08/1072793208.db2.gz VLGLHXJKPHHCIF-GJZGRUSLSA-N 0 0 425.573 -0.996 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000447788500 1072793189 /nfs/dbraw/zinc/79/31/89/1072793189.db2.gz VLGLHXJKPHHCIF-HUUCEWRRSA-N 0 0 425.573 -0.996 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000447788502 1072793197 /nfs/dbraw/zinc/79/31/97/1072793197.db2.gz VLGLHXJKPHHCIF-LSDHHAIUSA-N 0 0 425.573 -0.996 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000447789144 1072793221 /nfs/dbraw/zinc/79/32/21/1072793221.db2.gz RCSJVZNUAFETPA-UHFFFAOYSA-N 0 0 431.544 -0.903 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000447789407 1072793302 /nfs/dbraw/zinc/79/33/02/1072793302.db2.gz SDQCAFJQFKWDNF-CYBMUJFWSA-N 0 0 447.535 -0.429 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000447789408 1072793168 /nfs/dbraw/zinc/79/31/68/1072793168.db2.gz SDQCAFJQFKWDNF-ZDUSSCGKSA-N 0 0 447.535 -0.429 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)[C@H](C)C(=O)N(C)C)CC2)c1 ZINC000447789527 1072793292 /nfs/dbraw/zinc/79/32/92/1072793292.db2.gz ZNVYTPJIVCVMAQ-CYBMUJFWSA-N 0 0 449.551 -0.183 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H](C)C(=O)N(C)C)CC2)c1 ZINC000447789529 1072793156 /nfs/dbraw/zinc/79/31/56/1072793156.db2.gz ZNVYTPJIVCVMAQ-ZDUSSCGKSA-N 0 0 449.551 -0.183 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000447789779 1072793178 /nfs/dbraw/zinc/79/31/78/1072793178.db2.gz WDTHMMODNPVLRW-GFCCVEGCSA-N 0 0 429.524 -0.324 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000447789780 1072793319 /nfs/dbraw/zinc/79/33/19/1072793319.db2.gz WDTHMMODNPVLRW-LBPRGKRZSA-N 0 0 429.524 -0.324 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000447789879 1072793109 /nfs/dbraw/zinc/79/31/09/1072793109.db2.gz UQEFRNNLHZPDDC-UHFFFAOYSA-N 0 0 432.568 -0.464 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000447790510 1072793261 /nfs/dbraw/zinc/79/32/61/1072793261.db2.gz XPWWZVRNOGOIRY-UHFFFAOYSA-N 0 0 426.605 -0.526 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000447790858 1072793247 /nfs/dbraw/zinc/79/32/47/1072793247.db2.gz CMNSVKYQEAIZAP-INIZCTEOSA-N 0 0 438.616 -0.384 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000447790859 1072793140 /nfs/dbraw/zinc/79/31/40/1072793140.db2.gz CMNSVKYQEAIZAP-MRXNPFEDSA-N 0 0 438.616 -0.384 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000447792334 1072793326 /nfs/dbraw/zinc/79/33/26/1072793326.db2.gz UJURZCAOIALQTJ-GFCCVEGCSA-N 0 0 446.551 -0.209 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000447792335 1072793097 /nfs/dbraw/zinc/79/30/97/1072793097.db2.gz UJURZCAOIALQTJ-LBPRGKRZSA-N 0 0 446.551 -0.209 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000447793298 1072793788 /nfs/dbraw/zinc/79/37/88/1072793788.db2.gz FEJNHKKPRPUXKG-UHFFFAOYSA-N 0 0 438.572 -0.168 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000447793698 1072793119 /nfs/dbraw/zinc/79/31/19/1072793119.db2.gz HSQGBAAXWKVXSO-HNNXBMFYSA-N 0 0 447.645 -0.571 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000447793699 1072793728 /nfs/dbraw/zinc/79/37/28/1072793728.db2.gz HSQGBAAXWKVXSO-OAHLLOKOSA-N 0 0 447.645 -0.571 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC2)n1 ZINC000447806440 1072793854 /nfs/dbraw/zinc/79/38/54/1072793854.db2.gz MXCXVGBDUXMTFH-UHFFFAOYSA-N 0 0 431.519 -0.297 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)N1CCN(c2cncc3ncnn32)CC1 ZINC000447830237 1072794330 /nfs/dbraw/zinc/79/43/30/1072794330.db2.gz VCZFSJRYWVTGMK-UHFFFAOYSA-N 0 0 427.469 -0.180 20 0 IBADRN COCCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1cncs1 ZINC000447835602 1071527173 /nfs/dbraw/zinc/52/71/73/1071527173.db2.gz OAMFOAPXLPNVDD-UHFFFAOYSA-N 0 0 425.555 -0.406 20 0 IBADRN Cc1cc(CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)ccc1S(N)(=O)=O ZINC000447839500 1072794292 /nfs/dbraw/zinc/79/42/92/1072794292.db2.gz KRQMCEFPPXMXRN-UHFFFAOYSA-N 0 0 439.475 -0.532 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000447845745 1072793707 /nfs/dbraw/zinc/79/37/07/1072793707.db2.gz ULRMZKCVUPEKFM-CABCVRRESA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000447845746 1072793959 /nfs/dbraw/zinc/79/39/59/1072793959.db2.gz ULRMZKCVUPEKFM-GJZGRUSLSA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000447845747 1072793693 /nfs/dbraw/zinc/79/36/93/1072793693.db2.gz ULRMZKCVUPEKFM-HUUCEWRRSA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000447845748 1072793717 /nfs/dbraw/zinc/79/37/17/1072793717.db2.gz ULRMZKCVUPEKFM-LSDHHAIUSA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000447846577 1072793835 /nfs/dbraw/zinc/79/38/35/1072793835.db2.gz BFBXAJRJFPBWDA-CABCVRRESA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000447846578 1072793755 /nfs/dbraw/zinc/79/37/55/1072793755.db2.gz BFBXAJRJFPBWDA-GJZGRUSLSA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000447846579 1072793678 /nfs/dbraw/zinc/79/36/78/1072793678.db2.gz BFBXAJRJFPBWDA-HUUCEWRRSA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000447846580 1072793618 /nfs/dbraw/zinc/79/36/18/1072793618.db2.gz BFBXAJRJFPBWDA-LSDHHAIUSA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000447847794 1072793634 /nfs/dbraw/zinc/79/36/34/1072793634.db2.gz IBVAWYGMJYYECJ-CVEARBPZSA-N 0 0 436.552 -0.360 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000447847795 1072793662 /nfs/dbraw/zinc/79/36/62/1072793662.db2.gz IBVAWYGMJYYECJ-HOTGVXAUSA-N 0 0 436.552 -0.360 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000447847796 1072793607 /nfs/dbraw/zinc/79/36/07/1072793607.db2.gz IBVAWYGMJYYECJ-HZPDHXFCSA-N 0 0 436.552 -0.360 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000447847797 1072794463 /nfs/dbraw/zinc/79/44/63/1072794463.db2.gz IBVAWYGMJYYECJ-JKSUJKDBSA-N 0 0 436.552 -0.360 20 0 IBADRN CCCn1ncnc1CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000447853553 1072794981 /nfs/dbraw/zinc/79/49/81/1072794981.db2.gz HFTYIGKHQWOMEN-UHFFFAOYSA-N 0 0 428.540 -0.158 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000447854404 1072794785 /nfs/dbraw/zinc/79/47/85/1072794785.db2.gz LYMICDFULLGPHB-CABCVRRESA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000447854405 1072795031 /nfs/dbraw/zinc/79/50/31/1072795031.db2.gz LYMICDFULLGPHB-GJZGRUSLSA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000447854406 1072794799 /nfs/dbraw/zinc/79/47/99/1072794799.db2.gz LYMICDFULLGPHB-HUUCEWRRSA-N 0 0 436.552 -0.029 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000447854407 1072794827 /nfs/dbraw/zinc/79/48/27/1072794827.db2.gz LYMICDFULLGPHB-LSDHHAIUSA-N 0 0 436.552 -0.029 20 0 IBADRN COc1cc(Cl)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1OC ZINC000447869266 1072794422 /nfs/dbraw/zinc/79/44/22/1072794422.db2.gz QNEAOKFUIKFBMU-UHFFFAOYSA-N 0 0 427.932 -0.048 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000447900790 1072797588 /nfs/dbraw/zinc/79/75/88/1072797588.db2.gz AGHUNDMANFLSGU-GFCCVEGCSA-N 0 0 432.886 -0.498 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000447900791 1072797804 /nfs/dbraw/zinc/79/78/04/1072797804.db2.gz AGHUNDMANFLSGU-LBPRGKRZSA-N 0 0 432.886 -0.498 20 0 IBADRN COCCN(CC(=O)Nc1c(C)cccc1C)C(=O)CN1CC(=O)NC[C@H]1C(=O)OC ZINC000447902273 1072797818 /nfs/dbraw/zinc/79/78/18/1072797818.db2.gz JLXOIUKDABMFFO-INIZCTEOSA-N 0 0 434.493 -0.310 20 0 IBADRN COCCN(CC(=O)Nc1c(C)cccc1C)C(=O)CN1CC(=O)NC[C@@H]1C(=O)OC ZINC000447902274 1072797607 /nfs/dbraw/zinc/79/76/07/1072797607.db2.gz JLXOIUKDABMFFO-MRXNPFEDSA-N 0 0 434.493 -0.310 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CC(=O)N(C3CC3)C2)c(=O)[nH]c1=O ZINC000447903659 1072795015 /nfs/dbraw/zinc/79/50/15/1072795015.db2.gz NVIHJKWYHAFRKG-CYBMUJFWSA-N 0 0 436.513 -0.037 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC(=O)N(C3CC3)C2)c(=O)[nH]c1=O ZINC000447903660 1072794942 /nfs/dbraw/zinc/79/49/42/1072794942.db2.gz NVIHJKWYHAFRKG-ZDUSSCGKSA-N 0 0 436.513 -0.037 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000447903933 1072794816 /nfs/dbraw/zinc/79/48/16/1072794816.db2.gz IQKHMCJEVKLQSG-INIZCTEOSA-N 0 0 427.479 -0.940 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000447903934 1072794877 /nfs/dbraw/zinc/79/48/77/1072794877.db2.gz IQKHMCJEVKLQSG-MRXNPFEDSA-N 0 0 427.479 -0.940 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CC(=O)NC[C@H]1C(=O)OC ZINC000447904481 1072795667 /nfs/dbraw/zinc/79/56/67/1072795667.db2.gz QGBSWIFLAHBWBC-JTQLQIEISA-N 0 0 440.478 -0.495 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CC(=O)NC[C@@H]1C(=O)OC ZINC000447904482 1072795681 /nfs/dbraw/zinc/79/56/81/1072795681.db2.gz QGBSWIFLAHBWBC-SNVBAGLBSA-N 0 0 440.478 -0.495 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C4CC4)C3)CC2)c1 ZINC000447904880 1072795578 /nfs/dbraw/zinc/79/55/78/1072795578.db2.gz RTGPWSQDNASWJG-UHFFFAOYSA-N 0 0 434.518 -0.014 20 0 IBADRN COC(=O)c1sc(NC(=O)CCN2CC(=O)NC[C@H]2C(=O)OC)c(C(=O)OC)c1C ZINC000447905176 1072795349 /nfs/dbraw/zinc/79/53/49/1072795349.db2.gz LVEHTPUWSUCISB-JTQLQIEISA-N 0 0 441.462 -0.068 20 0 IBADRN COC(=O)c1sc(NC(=O)CCN2CC(=O)NC[C@@H]2C(=O)OC)c(C(=O)OC)c1C ZINC000447905177 1072795428 /nfs/dbraw/zinc/79/54/28/1072795428.db2.gz LVEHTPUWSUCISB-SNVBAGLBSA-N 0 0 441.462 -0.068 20 0 IBADRN O=C(CN1CCOCC1=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000447905256 1072794775 /nfs/dbraw/zinc/79/47/75/1072794775.db2.gz SXEHQYWXVSDQRS-UHFFFAOYSA-N 0 0 425.492 -0.617 20 0 IBADRN CCc1nnc([C@@H]2CN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCO2)o1 ZINC000447905592 1071527238 /nfs/dbraw/zinc/52/72/38/1071527238.db2.gz MWPASXLHPTVTFY-INIZCTEOSA-N 0 0 436.513 -0.992 20 0 IBADRN CCc1nnc([C@H]2CN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCO2)o1 ZINC000447905593 1071527158 /nfs/dbraw/zinc/52/71/58/1071527158.db2.gz MWPASXLHPTVTFY-MRXNPFEDSA-N 0 0 436.513 -0.992 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CC(=O)NC[C@H]2C(=O)OC)c1 ZINC000447906060 1072795499 /nfs/dbraw/zinc/79/54/99/1072795499.db2.gz WGSHUYDYEAOBOF-HNNXBMFYSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CC(=O)NC[C@@H]2C(=O)OC)c1 ZINC000447906061 1072795598 /nfs/dbraw/zinc/79/55/98/1072795598.db2.gz WGSHUYDYEAOBOF-OAHLLOKOSA-N 0 0 426.495 -0.371 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)N1CCN(Cc2cc(OC)c(OC)cc2C)CC1 ZINC000447906489 1072796061 /nfs/dbraw/zinc/79/60/61/1072796061.db2.gz XOVNMBMLVJZVGX-KRWDZBQOSA-N 0 0 448.520 -0.370 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)N1CCN(Cc2cc(OC)c(OC)cc2C)CC1 ZINC000447906490 1072796263 /nfs/dbraw/zinc/79/62/63/1072796263.db2.gz XOVNMBMLVJZVGX-QGZVFWFLSA-N 0 0 448.520 -0.370 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)N1CCC(NC(=O)CCc2ccccc2)CC1 ZINC000447907665 1072795903 /nfs/dbraw/zinc/79/59/03/1072795903.db2.gz AHEZHLNWQGDLLH-GOSISDBHSA-N 0 0 430.505 -0.300 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)N1CCC(NC(=O)CCc2ccccc2)CC1 ZINC000447907666 1072795914 /nfs/dbraw/zinc/79/59/14/1072795914.db2.gz AHEZHLNWQGDLLH-SFHVURJKSA-N 0 0 430.505 -0.300 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)CN2CC(=O)NC[C@H]2C(=O)OC)ccc1C ZINC000447907730 1072796173 /nfs/dbraw/zinc/79/61/73/1072796173.db2.gz SNQWKADOBVMUKE-HNNXBMFYSA-N 0 0 440.522 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)CN2CC(=O)NC[C@@H]2C(=O)OC)ccc1C ZINC000447907731 1072796109 /nfs/dbraw/zinc/79/61/09/1072796109.db2.gz SNQWKADOBVMUKE-OAHLLOKOSA-N 0 0 440.522 -0.063 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)NCC(=O)Nc1ccccc1Br ZINC000447908351 1072796007 /nfs/dbraw/zinc/79/60/07/1072796007.db2.gz AJKPTVITRXSYEU-GFCCVEGCSA-N 0 0 427.255 -0.523 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)NCC(=O)Nc1ccccc1Br ZINC000447908352 1072796023 /nfs/dbraw/zinc/79/60/23/1072796023.db2.gz AJKPTVITRXSYEU-LBPRGKRZSA-N 0 0 427.255 -0.523 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)N1CCN(CC(=O)Nc2c(C)cccc2C)CC1 ZINC000447909339 1072796253 /nfs/dbraw/zinc/79/62/53/1072796253.db2.gz BMCRUGYMCDLNGC-KRWDZBQOSA-N 0 0 445.520 -0.640 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)N1CCN(CC(=O)Nc2c(C)cccc2C)CC1 ZINC000447909343 1072796155 /nfs/dbraw/zinc/79/61/55/1072796155.db2.gz BMCRUGYMCDLNGC-QGZVFWFLSA-N 0 0 445.520 -0.640 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000447909432 1072796517 /nfs/dbraw/zinc/79/65/17/1072796517.db2.gz BSIWEJBZIRZZCM-INIZCTEOSA-N 0 0 438.506 -0.227 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000447909436 1072796637 /nfs/dbraw/zinc/79/66/37/1072796637.db2.gz BSIWEJBZIRZZCM-MRXNPFEDSA-N 0 0 438.506 -0.227 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CC(=O)NC[C@@H]2C(=O)OC)CC1 ZINC000447910028 1072796779 /nfs/dbraw/zinc/79/67/79/1072796779.db2.gz CHRWOLUDUSTCFN-GOSISDBHSA-N 0 0 445.520 -0.695 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CC(=O)NC[C@H]2C(=O)OC)CC1 ZINC000447910029 1072796575 /nfs/dbraw/zinc/79/65/75/1072796575.db2.gz CHRWOLUDUSTCFN-SFHVURJKSA-N 0 0 445.520 -0.695 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C4CC4)C3)CC2)cc1 ZINC000447910803 1072796734 /nfs/dbraw/zinc/79/67/34/1072796734.db2.gz YNVPEWUOKVLPLB-UHFFFAOYSA-N 0 0 434.518 -0.014 20 0 IBADRN CCCCN(C(=O)CN1CC(=O)NC[C@@H]1C(=O)OC)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000447913457 1072796536 /nfs/dbraw/zinc/79/65/36/1072796536.db2.gz ISFWMMPYFFAVPU-GFCCVEGCSA-N 0 0 438.485 -0.952 20 0 IBADRN CCCCN(C(=O)CN1CC(=O)NC[C@H]1C(=O)OC)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000447913458 1072796726 /nfs/dbraw/zinc/79/67/26/1072796726.db2.gz ISFWMMPYFFAVPU-LBPRGKRZSA-N 0 0 438.485 -0.952 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000447916661 1072797191 /nfs/dbraw/zinc/79/71/91/1072797191.db2.gz PMTUKWXDXVVXMO-GXTWGEPZSA-N 0 0 429.520 -0.763 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000447916662 1072797339 /nfs/dbraw/zinc/79/73/39/1072797339.db2.gz PMTUKWXDXVVXMO-JSGCOSHPSA-N 0 0 429.520 -0.763 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000447916663 1072797150 /nfs/dbraw/zinc/79/71/50/1072797150.db2.gz PMTUKWXDXVVXMO-OCCSQVGLSA-N 0 0 429.520 -0.763 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000447916664 1072797216 /nfs/dbraw/zinc/79/72/16/1072797216.db2.gz PMTUKWXDXVVXMO-TZMCWYRMSA-N 0 0 429.520 -0.763 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CCC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1Cl ZINC000447917020 1072797305 /nfs/dbraw/zinc/79/73/05/1072797305.db2.gz QDNIRFMWNRHZSZ-AWEZNQCLSA-N 0 0 446.913 -0.108 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CCC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1Cl ZINC000447917021 1072797253 /nfs/dbraw/zinc/79/72/53/1072797253.db2.gz QDNIRFMWNRHZSZ-CQSZACIVSA-N 0 0 446.913 -0.108 20 0 IBADRN COc1ccc(NC(=O)CN2CC(=O)N(C3CC3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000447919004 1072797313 /nfs/dbraw/zinc/79/73/13/1072797313.db2.gz TYIUVJRWYDWXMN-UHFFFAOYSA-N 0 0 438.506 -0.081 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000447921186 1072797202 /nfs/dbraw/zinc/79/72/02/1072797202.db2.gz ZEXXQSNHYRFHEK-INIZCTEOSA-N 0 0 438.506 -0.811 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000447921187 1072797351 /nfs/dbraw/zinc/79/73/51/1072797351.db2.gz ZEXXQSNHYRFHEK-MRXNPFEDSA-N 0 0 438.506 -0.811 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)NC[C@@H]2C(=O)OC)c(OC)c1 ZINC000447928464 1072798725 /nfs/dbraw/zinc/79/87/25/1072798725.db2.gz HSHWIAUREJDXSQ-LLVKDONJSA-N 0 0 435.480 -0.881 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)NC[C@H]2C(=O)OC)c(OC)c1 ZINC000447928465 1072798763 /nfs/dbraw/zinc/79/87/63/1072798763.db2.gz HSHWIAUREJDXSQ-NSHDSACASA-N 0 0 435.480 -0.881 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CC(=O)NC[C@H]2C(=O)OC)c(Br)c1 ZINC000447928655 1072798783 /nfs/dbraw/zinc/79/87/83/1072798783.db2.gz FHNQZVPWZKIZES-JTQLQIEISA-N 0 0 435.252 -0.102 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CC(=O)NC[C@@H]2C(=O)OC)c(Br)c1 ZINC000447928659 1072798683 /nfs/dbraw/zinc/79/86/83/1072798683.db2.gz FHNQZVPWZKIZES-SNVBAGLBSA-N 0 0 435.252 -0.102 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)NC[C@H]2C(=O)OC)cc1 ZINC000447932111 1072798886 /nfs/dbraw/zinc/79/88/86/1072798886.db2.gz QVQAADWBUNJMCG-AWEZNQCLSA-N 0 0 433.508 -0.110 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)NC[C@@H]2C(=O)OC)cc1 ZINC000447932112 1072798813 /nfs/dbraw/zinc/79/88/13/1072798813.db2.gz QVQAADWBUNJMCG-CQSZACIVSA-N 0 0 433.508 -0.110 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)NC[C@@H]2C(=O)OC)cc1F ZINC000447932326 1072798905 /nfs/dbraw/zinc/79/89/05/1072798905.db2.gz QOQALDZCUONFTJ-CYBMUJFWSA-N 0 0 437.471 -0.361 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)NC[C@H]2C(=O)OC)cc1F ZINC000447932329 1072798981 /nfs/dbraw/zinc/79/89/81/1072798981.db2.gz QOQALDZCUONFTJ-ZDUSSCGKSA-N 0 0 437.471 -0.361 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000447932857 1072798708 /nfs/dbraw/zinc/79/87/08/1072798708.db2.gz UGYZDNGUULKXSF-AWEZNQCLSA-N 0 0 431.492 -0.867 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000447932858 1072799009 /nfs/dbraw/zinc/79/90/09/1072799009.db2.gz UGYZDNGUULKXSF-CQSZACIVSA-N 0 0 431.492 -0.867 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000447935121 1072798698 /nfs/dbraw/zinc/79/86/98/1072798698.db2.gz DNTZPCGFAPLAKD-HNNXBMFYSA-N 0 0 445.519 -0.477 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000447935122 1072798846 /nfs/dbraw/zinc/79/88/46/1072798846.db2.gz DNTZPCGFAPLAKD-OAHLLOKOSA-N 0 0 445.519 -0.477 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1cc2c(cc1Br)OCCO2 ZINC000447935224 1072798968 /nfs/dbraw/zinc/79/89/68/1072798968.db2.gz FLBPECLVLMHTEC-SECBINFHSA-N 0 0 435.252 -0.118 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1cc2c(cc1Br)OCCO2 ZINC000447935225 1072798747 /nfs/dbraw/zinc/79/87/47/1072798747.db2.gz FLBPECLVLMHTEC-VIFPVBQESA-N 0 0 435.252 -0.118 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000447935421 1072798852 /nfs/dbraw/zinc/79/88/52/1072798852.db2.gz HALZBMKJJKSDEO-SECBINFHSA-N 0 0 448.251 -0.558 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000447935422 1072798958 /nfs/dbraw/zinc/79/89/58/1072798958.db2.gz HALZBMKJJKSDEO-VIFPVBQESA-N 0 0 448.251 -0.558 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000447935857 1072799395 /nfs/dbraw/zinc/79/93/95/1072799395.db2.gz KHUASDXYTGDIFT-AWEZNQCLSA-N 0 0 431.492 -0.867 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000447935858 1072799541 /nfs/dbraw/zinc/79/95/41/1072799541.db2.gz KHUASDXYTGDIFT-CQSZACIVSA-N 0 0 431.492 -0.867 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC(=O)N(C3CC3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000447935967 1072799589 /nfs/dbraw/zinc/79/95/89/1072799589.db2.gz LERSROYBSICBDL-UHFFFAOYSA-N 0 0 429.520 -0.031 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1cc2[nH]c(=O)[nH]c2cc1Br ZINC000447936169 1072799579 /nfs/dbraw/zinc/79/95/79/1072799579.db2.gz NALDYJFIOKNLGQ-SECBINFHSA-N 0 0 433.240 -0.307 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1cc2[nH]c(=O)[nH]c2cc1Br ZINC000447936170 1072799376 /nfs/dbraw/zinc/79/93/76/1072799376.db2.gz NALDYJFIOKNLGQ-VIFPVBQESA-N 0 0 433.240 -0.307 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC(=O)NC[C@H]2C(=O)OC)cc1 ZINC000447936450 1072799362 /nfs/dbraw/zinc/79/93/62/1072799362.db2.gz PEDCHUXGOFSJRX-AWEZNQCLSA-N 0 0 433.508 -0.621 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC(=O)NC[C@@H]2C(=O)OC)cc1 ZINC000447936451 1072799387 /nfs/dbraw/zinc/79/93/87/1072799387.db2.gz PEDCHUXGOFSJRX-CQSZACIVSA-N 0 0 433.508 -0.621 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000447938786 1072797622 /nfs/dbraw/zinc/79/76/22/1072797622.db2.gz CEAVURFNTSGUFH-HNNXBMFYSA-N 0 0 445.519 -0.477 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000447938787 1072797890 /nfs/dbraw/zinc/79/78/90/1072797890.db2.gz CEAVURFNTSGUFH-OAHLLOKOSA-N 0 0 445.519 -0.477 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CO)[C@@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000447940206 1072797737 /nfs/dbraw/zinc/79/77/37/1072797737.db2.gz HJOLIKPPVMJSID-CVEARBPZSA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CO)[C@@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000447940208 1072797865 /nfs/dbraw/zinc/79/78/65/1072797865.db2.gz HJOLIKPPVMJSID-HOTGVXAUSA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CO)[C@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000447940209 1072797661 /nfs/dbraw/zinc/79/76/61/1072797661.db2.gz HJOLIKPPVMJSID-HZPDHXFCSA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CO)[C@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000447940211 1072797889 /nfs/dbraw/zinc/79/78/89/1072797889.db2.gz HJOLIKPPVMJSID-JKSUJKDBSA-N 0 0 434.536 -0.166 20 0 IBADRN COC(=O)c1cc(Br)cc(S(=O)(=O)N2CC(=O)NC[C@@H]2C(=O)OC)c1 ZINC000447942319 1072798259 /nfs/dbraw/zinc/79/82/59/1072798259.db2.gz HLIDJQFSCIJICH-LLVKDONJSA-N 0 0 435.252 -0.102 20 0 IBADRN COC(=O)c1cc(Br)cc(S(=O)(=O)N2CC(=O)NC[C@H]2C(=O)OC)c1 ZINC000447942320 1072798320 /nfs/dbraw/zinc/79/83/20/1072798320.db2.gz HLIDJQFSCIJICH-NSHDSACASA-N 0 0 435.252 -0.102 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1ccc(NS(=O)(=O)C(C)C)c(F)c1 ZINC000447942348 1072797710 /nfs/dbraw/zinc/79/77/10/1072797710.db2.gz HRWHHTJZVISLAW-CYBMUJFWSA-N 0 0 437.471 -0.362 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1ccc(NS(=O)(=O)C(C)C)c(F)c1 ZINC000447942349 1072798201 /nfs/dbraw/zinc/79/82/01/1072798201.db2.gz HRWHHTJZVISLAW-ZDUSSCGKSA-N 0 0 437.471 -0.362 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1cc2c(cc1Br)CCC(=O)N2 ZINC000447942744 1072798301 /nfs/dbraw/zinc/79/83/01/1072798301.db2.gz VOSDMQXONPWMHR-LLVKDONJSA-N 0 0 446.279 -0.004 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1cc2c(cc1Br)CCC(=O)N2 ZINC000447942745 1072798329 /nfs/dbraw/zinc/79/83/29/1072798329.db2.gz VOSDMQXONPWMHR-NSHDSACASA-N 0 0 446.279 -0.004 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000447943677 1072798244 /nfs/dbraw/zinc/79/82/44/1072798244.db2.gz YDHPCTBTMFZUAY-GFCCVEGCSA-N 0 0 431.492 -0.867 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000447943678 1072798384 /nfs/dbraw/zinc/79/83/84/1072798384.db2.gz YDHPCTBTMFZUAY-LBPRGKRZSA-N 0 0 431.492 -0.867 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)NC[C@@H]2C(=O)OC)c(OC)c1 ZINC000447946093 1072798220 /nfs/dbraw/zinc/79/82/20/1072798220.db2.gz SVFPHAPBAOGRQV-GFCCVEGCSA-N 0 0 449.507 -0.491 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)NC[C@H]2C(=O)OC)c(OC)c1 ZINC000447946095 1072798350 /nfs/dbraw/zinc/79/83/50/1072798350.db2.gz SVFPHAPBAOGRQV-LBPRGKRZSA-N 0 0 449.507 -0.491 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)Nc1ccc(C)c(S(N)(=O)=O)c1 ZINC000447958179 1072799501 /nfs/dbraw/zinc/79/95/01/1072799501.db2.gz FHTZYIPUZBUQNL-UHFFFAOYSA-N 0 0 428.511 -0.612 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@@H](CO)[C@H]2CCCO2)CC1 ZINC000447958804 1072799919 /nfs/dbraw/zinc/79/99/19/1072799919.db2.gz KJHGAJMRMMPNES-DLBZAZTESA-N 0 0 426.539 -0.038 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@H](CO)[C@H]2CCCO2)CC1 ZINC000447958805 1072799900 /nfs/dbraw/zinc/79/99/00/1072799900.db2.gz KJHGAJMRMMPNES-IAGOWNOFSA-N 0 0 426.539 -0.038 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@@H](CO)[C@@H]2CCCO2)CC1 ZINC000447958806 1072800084 /nfs/dbraw/zinc/80/00/84/1072800084.db2.gz KJHGAJMRMMPNES-IRXDYDNUSA-N 0 0 426.539 -0.038 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@H](CO)[C@@H]2CCCO2)CC1 ZINC000447958807 1072799916 /nfs/dbraw/zinc/79/99/16/1072799916.db2.gz KJHGAJMRMMPNES-SJORKVTESA-N 0 0 426.539 -0.038 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@H](CO)[C@@H]1CCCO1 ZINC000447975049 1072799603 /nfs/dbraw/zinc/79/96/03/1072799603.db2.gz YYAXRSCCKMHCDY-CVEARBPZSA-N 0 0 427.527 -0.628 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@@H](CO)[C@@H]1CCCO1 ZINC000447975050 1072799552 /nfs/dbraw/zinc/79/95/52/1072799552.db2.gz YYAXRSCCKMHCDY-HOTGVXAUSA-N 0 0 427.527 -0.628 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@H](CO)[C@H]1CCCO1 ZINC000447975051 1072799623 /nfs/dbraw/zinc/79/96/23/1072799623.db2.gz YYAXRSCCKMHCDY-HZPDHXFCSA-N 0 0 427.527 -0.628 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@@H](CO)[C@H]1CCCO1 ZINC000447975052 1072799465 /nfs/dbraw/zinc/79/94/65/1072799465.db2.gz YYAXRSCCKMHCDY-JKSUJKDBSA-N 0 0 427.527 -0.628 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@H]1CN(C(=O)NCCNC(=O)c2cnccn2)CCO1 ZINC000447980825 1072800109 /nfs/dbraw/zinc/80/01/09/1072800109.db2.gz YJXDMWPINXDKBS-HNNXBMFYSA-N 0 0 436.513 -0.110 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@@H]1CN(C(=O)NCCNC(=O)c2cnccn2)CCO1 ZINC000447980826 1072800039 /nfs/dbraw/zinc/80/00/39/1072800039.db2.gz YJXDMWPINXDKBS-OAHLLOKOSA-N 0 0 436.513 -0.110 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@H]1CN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CCO1 ZINC000447991267 1072800028 /nfs/dbraw/zinc/80/00/28/1072800028.db2.gz OEFKXXWKBIBYQG-CABCVRRESA-N 0 0 435.543 -0.516 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@H]1CN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CCO1 ZINC000447991268 1072799998 /nfs/dbraw/zinc/79/99/98/1072799998.db2.gz OEFKXXWKBIBYQG-GJZGRUSLSA-N 0 0 435.543 -0.516 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@@H]1CN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CCO1 ZINC000447991269 1072800492 /nfs/dbraw/zinc/80/04/92/1072800492.db2.gz OEFKXXWKBIBYQG-HUUCEWRRSA-N 0 0 435.543 -0.516 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@@H]1CN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CCO1 ZINC000447991270 1072800531 /nfs/dbraw/zinc/80/05/31/1072800531.db2.gz OEFKXXWKBIBYQG-LSDHHAIUSA-N 0 0 435.543 -0.516 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCO[C@@H](CN(C)CC(=O)OC(C)(C)C)C2)C1 ZINC000448004972 1072800396 /nfs/dbraw/zinc/80/03/96/1072800396.db2.gz RIHOQDPKFCVQAD-INIZCTEOSA-N 0 0 448.586 -0.048 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCO[C@H](CN(C)CC(=O)OC(C)(C)C)C2)C1 ZINC000448004973 1072800588 /nfs/dbraw/zinc/80/05/88/1072800588.db2.gz RIHOQDPKFCVQAD-MRXNPFEDSA-N 0 0 448.586 -0.048 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CS(=O)(=O)CC(=O)NCC(=O)NC(C)(C)C)c1 ZINC000448048392 1071156634 /nfs/dbraw/zinc/15/66/34/1071156634.db2.gz KLXXAWULLJMIOR-UHFFFAOYSA-N 0 0 433.552 -0.117 20 0 IBADRN Cn1cnc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc21 ZINC000448067418 1071171086 /nfs/dbraw/zinc/17/10/86/1071171086.db2.gz JBOYWJKXMDHZAD-UHFFFAOYSA-N 0 0 429.524 -0.543 20 0 IBADRN Cn1nc([C@H]2CCCOC2)cc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000448081236 1071174720 /nfs/dbraw/zinc/17/47/20/1071174720.db2.gz ARIDTBLRSFISPA-HNNXBMFYSA-N 0 0 434.497 -0.151 20 0 IBADRN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000448081237 1072802016 /nfs/dbraw/zinc/80/20/16/1072802016.db2.gz ARIDTBLRSFISPA-OAHLLOKOSA-N 0 0 434.497 -0.151 20 0 IBADRN COCCN(C(=O)CN1CCOCC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000448093711 1072802545 /nfs/dbraw/zinc/80/25/45/1072802545.db2.gz BBEWQVJUKJLTAT-UHFFFAOYSA-N 0 0 431.449 -0.582 20 0 IBADRN CS(=O)(=O)CC[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccccc1 ZINC000448094032 1072802565 /nfs/dbraw/zinc/80/25/65/1072802565.db2.gz LKMHJNHHJRCGIS-BZSNNMDCSA-N 0 0 444.575 -0.493 20 0 IBADRN CS(=O)(=O)CC[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccccc1 ZINC000448094033 1071191340 /nfs/dbraw/zinc/19/13/40/1071191340.db2.gz LKMHJNHHJRCGIS-KSZLIROESA-N 0 0 444.575 -0.493 20 0 IBADRN CS(=O)(=O)CC[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccccc1 ZINC000448094034 1071191335 /nfs/dbraw/zinc/19/13/35/1071191335.db2.gz LKMHJNHHJRCGIS-OKZBNKHCSA-N 0 0 444.575 -0.493 20 0 IBADRN CS(=O)(=O)CC[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccccc1 ZINC000448094035 1071191289 /nfs/dbraw/zinc/19/12/89/1071191289.db2.gz LKMHJNHHJRCGIS-RCCFBDPRSA-N 0 0 444.575 -0.493 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)CN2CCOCC2=O)c(=O)[nH]c1=O ZINC000448096250 1071194501 /nfs/dbraw/zinc/19/45/01/1071194501.db2.gz DEQYGVBWVUOLIH-UHFFFAOYSA-N 0 0 431.449 -0.431 20 0 IBADRN COC(=O)Cn1cc(CCOc2ccc(S(=O)(=O)N3CCCC3)cc2C(N)=O)nn1 ZINC000448096328 1071194417 /nfs/dbraw/zinc/19/44/17/1071194417.db2.gz GADXXQSVULTQHR-UHFFFAOYSA-N 0 0 437.478 -0.044 20 0 IBADRN COC(=O)Cn1cc(CCN2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)nn1 ZINC000448100610 1072802419 /nfs/dbraw/zinc/80/24/19/1072802419.db2.gz FYUDVSJAJNYXHA-GOSISDBHSA-N 0 0 425.409 -0.564 20 0 IBADRN COC(=O)Cn1cc(CCN2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)nn1 ZINC000448100611 1072802360 /nfs/dbraw/zinc/80/23/60/1072802360.db2.gz FYUDVSJAJNYXHA-SFHVURJKSA-N 0 0 425.409 -0.564 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NCCNS(=O)(=O)c3cccnc3)nc2)CC1 ZINC000448103745 1072802526 /nfs/dbraw/zinc/80/25/26/1072802526.db2.gz WNKLZYRYJUITBO-UHFFFAOYSA-N 0 0 440.551 -0.197 20 0 IBADRN COC(=O)Cn1cc(CCN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)nn1 ZINC000448107751 1071196963 /nfs/dbraw/zinc/19/69/63/1071196963.db2.gz MKWAYXPDWUVTIS-GOSISDBHSA-N 0 0 435.462 -0.136 20 0 IBADRN COC(=O)Cn1cc(CCN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)nn1 ZINC000448107752 1072802994 /nfs/dbraw/zinc/80/29/94/1072802994.db2.gz MKWAYXPDWUVTIS-SFHVURJKSA-N 0 0 435.462 -0.136 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)NCC(=O)NC(C)(C)C)nc1 ZINC000448112664 1071198531 /nfs/dbraw/zinc/19/85/31/1071198531.db2.gz GKGBWEMOTJSLNA-UHFFFAOYSA-N 0 0 448.567 -0.083 20 0 IBADRN COC(=O)Cn1cc(CCN2C(=O)N[C@](C)(c3cccc(-n4cnnn4)c3)C2=O)nn1 ZINC000448112827 1072803016 /nfs/dbraw/zinc/80/30/16/1072803016.db2.gz VLHCXUIJALBKJC-GOSISDBHSA-N 0 0 425.409 -0.564 20 0 IBADRN COC(=O)Cn1cc(CCN2C(=O)N[C@@](C)(c3cccc(-n4cnnn4)c3)C2=O)nn1 ZINC000448112828 1071198500 /nfs/dbraw/zinc/19/85/00/1071198500.db2.gz VLHCXUIJALBKJC-SFHVURJKSA-N 0 0 425.409 -0.564 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000448113241 1071198461 /nfs/dbraw/zinc/19/84/61/1071198461.db2.gz WYQNUNIYLVVMPE-UHFFFAOYSA-N 0 0 425.511 -0.750 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cn1 ZINC000448116019 1072802896 /nfs/dbraw/zinc/80/28/96/1072802896.db2.gz JSCOUBZOCLKTSU-UHFFFAOYSA-N 0 0 446.551 -0.329 20 0 IBADRN COCCNc1nc(N[C@H]2CCN(C(C)C)C2=O)nc(N[C@H]2CCN(C(C)C)C2=O)n1 ZINC000448129029 1072802755 /nfs/dbraw/zinc/80/27/55/1072802755.db2.gz QBQNWIBKJFZGNO-GJZGRUSLSA-N 0 0 434.545 -0.962 20 0 IBADRN COCCNc1nc(N[C@@H]2CCN(C(C)C)C2=O)nc(N[C@@H]2CCN(C(C)C)C2=O)n1 ZINC000448129030 1072803036 /nfs/dbraw/zinc/80/30/36/1072803036.db2.gz QBQNWIBKJFZGNO-HUUCEWRRSA-N 0 0 434.545 -0.962 20 0 IBADRN COCCNc1nc(NCC(=O)N2CCCCC2)nc(NCC(=O)N2CCCCC2)n1 ZINC000448130256 1071201722 /nfs/dbraw/zinc/20/17/22/1071201722.db2.gz VQWLGXPAEHWQFA-UHFFFAOYSA-N 0 0 434.545 -0.956 20 0 IBADRN COCCNc1nc(N2CCN(C(C)=O)C[C@H]2C)nc(N2CCN(C(C)=O)C[C@@H]2C)n1 ZINC000448131029 1071201533 /nfs/dbraw/zinc/20/15/33/1071201533.db2.gz VFBILHHIHMLDCR-GASCZTMLSA-N 0 0 434.545 -0.534 20 0 IBADRN COCCNc1nc(N2CCN(C(C)=O)C[C@@H]2C)nc(N2CCN(C(C)=O)C[C@@H]2C)n1 ZINC000448131030 1071201419 /nfs/dbraw/zinc/20/14/19/1071201419.db2.gz VFBILHHIHMLDCR-GJZGRUSLSA-N 0 0 434.545 -0.534 20 0 IBADRN COCCNc1nc(N2CCN(C(C)=O)C[C@H]2C)nc(N2CCN(C(C)=O)C[C@H]2C)n1 ZINC000448131031 1071201574 /nfs/dbraw/zinc/20/15/74/1071201574.db2.gz VFBILHHIHMLDCR-HUUCEWRRSA-N 0 0 434.545 -0.534 20 0 IBADRN COCCNc1nc(N2CCC[C@H](CC(N)=O)C2)nc(N2CCC[C@@H](CC(N)=O)C2)n1 ZINC000448131377 1071201507 /nfs/dbraw/zinc/20/15/07/1071201507.db2.gz XJRIHEUCNPDXQY-GASCZTMLSA-N 0 0 434.545 -0.465 20 0 IBADRN COCCNc1nc(N2CCC[C@@H](CC(N)=O)C2)nc(N2CCC[C@@H](CC(N)=O)C2)n1 ZINC000448131378 1072803555 /nfs/dbraw/zinc/80/35/55/1072803555.db2.gz XJRIHEUCNPDXQY-GJZGRUSLSA-N 0 0 434.545 -0.465 20 0 IBADRN COCCNc1nc(N2CCC[C@H](CC(N)=O)C2)nc(N2CCC[C@H](CC(N)=O)C2)n1 ZINC000448131379 1072803431 /nfs/dbraw/zinc/80/34/31/1072803431.db2.gz XJRIHEUCNPDXQY-HUUCEWRRSA-N 0 0 434.545 -0.465 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2nnn(OCC(=O)NCC(=O)NC(C)(C)C)c2c1 ZINC000448133110 1072803633 /nfs/dbraw/zinc/80/36/33/1072803633.db2.gz UMMRLNXCSHVHBP-UHFFFAOYSA-N 0 0 440.526 -0.079 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)CN1CCOCC1=O ZINC000448136773 1072803352 /nfs/dbraw/zinc/80/33/52/1072803352.db2.gz AKFMIEVQUQOWCP-UHFFFAOYSA-N 0 0 426.495 -0.571 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448159150 1072804251 /nfs/dbraw/zinc/80/42/51/1072804251.db2.gz IIZHKNFMKWREDL-UHFFFAOYSA-N 0 0 434.521 -0.754 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448159324 1072804168 /nfs/dbraw/zinc/80/41/68/1072804168.db2.gz JHTZUXWNQKZPGD-UHFFFAOYSA-N 0 0 448.548 -0.411 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448159443 1072804367 /nfs/dbraw/zinc/80/43/67/1072804367.db2.gz JVHHQDPXUGELOM-UHFFFAOYSA-N 0 0 433.533 -0.117 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000448159557 1072804400 /nfs/dbraw/zinc/80/44/00/1072804400.db2.gz KPNSIRJFHSWOFO-UHFFFAOYSA-N 0 0 448.548 -0.411 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCNS(=O)(=O)c3cccnc3)cc2n(C)c1=O ZINC000448159851 1072804383 /nfs/dbraw/zinc/80/43/83/1072804383.db2.gz LZNKLQHXFHHMME-UHFFFAOYSA-N 0 0 425.492 -0.471 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)cnc21 ZINC000448160624 1072803508 /nfs/dbraw/zinc/80/35/08/1072803508.db2.gz TVVYPODQAWKYBH-JTQLQIEISA-N 0 0 435.384 -0.134 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)cnc21 ZINC000448160625 1072803372 /nfs/dbraw/zinc/80/33/72/1072803372.db2.gz TVVYPODQAWKYBH-SNVBAGLBSA-N 0 0 435.384 -0.134 20 0 IBADRN O=C(c1cc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)c[nH]1)N1CCCC1 ZINC000448160674 1072803329 /nfs/dbraw/zinc/80/33/29/1072803329.db2.gz UKOPADBXUUYYQF-UHFFFAOYSA-N 0 0 427.508 -0.098 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448160920 1072803495 /nfs/dbraw/zinc/80/34/95/1072803495.db2.gz WMXRJSZVLGFZME-UHFFFAOYSA-N 0 0 429.476 -0.110 20 0 IBADRN O=S(=O)(NCCNS(=O)(=O)c1ccc2c(c1)S(=O)(=O)CC2)c1cccnc1 ZINC000448160976 1072803522 /nfs/dbraw/zinc/80/35/22/1072803522.db2.gz XFLCIOCJCYZNBC-UHFFFAOYSA-N 0 0 431.517 -0.332 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448160987 1072803288 /nfs/dbraw/zinc/80/32/88/1072803288.db2.gz XKNBOFPSYXRGKT-UHFFFAOYSA-N 0 0 442.519 -0.017 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCNS(=O)(=O)c3cccnc3)cc2c(=O)[nH]1 ZINC000448164610 1072803262 /nfs/dbraw/zinc/80/32/62/1072803262.db2.gz LKIAVTCTLCXMSA-UHFFFAOYSA-N 0 0 425.448 -0.307 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)cc1F ZINC000448164868 1072804024 /nfs/dbraw/zinc/80/40/24/1072804024.db2.gz MXUZHQZRAAEGGL-UHFFFAOYSA-N 0 0 437.496 -0.119 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000448164947 1072803741 /nfs/dbraw/zinc/80/37/41/1072803741.db2.gz NPICIEXSPMOSHB-UHFFFAOYSA-N 0 0 434.521 -0.754 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNc2ncc(Br)cc2S(N)(=O)=O)CC1 ZINC000448165393 1072803938 /nfs/dbraw/zinc/80/39/38/1072803938.db2.gz TXXOXBZQWJYNNT-UHFFFAOYSA-N 0 0 434.316 -0.016 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)NCCNS(=O)(=O)c1cccnc1 ZINC000448165453 1072803312 /nfs/dbraw/zinc/80/33/12/1072803312.db2.gz RHCQLBQLPUDKCK-UHFFFAOYSA-N 0 0 448.548 -0.742 20 0 IBADRN CCOC(=O)[C@H]1CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)Cc2ccccc21 ZINC000448165678 1072804007 /nfs/dbraw/zinc/80/40/07/1072804007.db2.gz VAYFCOFUFMTVIR-INIZCTEOSA-N 0 0 431.536 -0.029 20 0 IBADRN CCOC(=O)[C@@H]1CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)Cc2ccccc21 ZINC000448165679 1072803925 /nfs/dbraw/zinc/80/39/25/1072803925.db2.gz VAYFCOFUFMTVIR-MRXNPFEDSA-N 0 0 431.536 -0.029 20 0 IBADRN NS(=O)(=O)c1cc(Br)cnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000448166647 1072803914 /nfs/dbraw/zinc/80/39/14/1072803914.db2.gz FRNKYTSMJGSTMI-UHFFFAOYSA-N 0 0 448.343 -0.528 20 0 IBADRN Cn1cnc2cc(S(=O)(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc21 ZINC000448169036 1072803882 /nfs/dbraw/zinc/80/38/82/1072803882.db2.gz IVVPCIYVRNEQKS-UHFFFAOYSA-N 0 0 443.551 -0.107 20 0 IBADRN NS(=O)(=O)c1cc(Br)cnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000448169810 1072803757 /nfs/dbraw/zinc/80/37/57/1072803757.db2.gz UCKDIMYPEXMQPF-UHFFFAOYSA-N 0 0 448.343 -0.528 20 0 IBADRN COCCNC(=O)CN1CCN(c2ncc(Br)cc2S(N)(=O)=O)CC1 ZINC000448170175 1072803896 /nfs/dbraw/zinc/80/38/96/1072803896.db2.gz VGQNXUMOQBBXEE-UHFFFAOYSA-N 0 0 436.332 -0.624 20 0 IBADRN NS(=O)(=O)c1cc(Br)cnc1N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000448170887 1072803960 /nfs/dbraw/zinc/80/39/60/1072803960.db2.gz YQAVMOCDDMGBSI-LLVKDONJSA-N 0 0 439.357 -0.199 20 0 IBADRN NS(=O)(=O)c1cc(Br)cnc1N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000448170888 1072803999 /nfs/dbraw/zinc/80/39/99/1072803999.db2.gz YQAVMOCDDMGBSI-NSHDSACASA-N 0 0 439.357 -0.199 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)c2c(=O)n(C)c1=O ZINC000448173589 1072803770 /nfs/dbraw/zinc/80/37/70/1072803770.db2.gz LPTUTDNFDIAEIK-JTQLQIEISA-N 0 0 430.387 -0.507 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)c2c(=O)n(C)c1=O ZINC000448173590 1072803948 /nfs/dbraw/zinc/80/39/48/1072803948.db2.gz LPTUTDNFDIAEIK-SNVBAGLBSA-N 0 0 430.387 -0.507 20 0 IBADRN C[C@H]1CN(C(=O)CN2CCOCC2=O)c2ccccc2N1C(=O)CN1CCOCC1=O ZINC000448188610 1072804839 /nfs/dbraw/zinc/80/48/39/1072804839.db2.gz CIKYKYQQQBVGGK-HNNXBMFYSA-N 0 0 430.461 -0.528 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCOCC2=O)c2ccccc2N1C(=O)CN1CCOCC1=O ZINC000448188611 1072804663 /nfs/dbraw/zinc/80/46/63/1072804663.db2.gz CIKYKYQQQBVGGK-OAHLLOKOSA-N 0 0 430.461 -0.528 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)CN2CCOCC2=O)c1 ZINC000448188988 1072804600 /nfs/dbraw/zinc/80/46/00/1072804600.db2.gz KQWIZXJAONGDBE-UHFFFAOYSA-N 0 0 426.495 -0.429 20 0 IBADRN O=C(CN1CCOCC1=O)NCc1ccc(Cl)c(NC(=O)CN2CCOCC2=O)c1 ZINC000448193478 1072804644 /nfs/dbraw/zinc/80/46/44/1072804644.db2.gz LRBZKPJGHXTKND-UHFFFAOYSA-N 0 0 438.868 -0.388 20 0 IBADRN CN(C(=O)CN1CCOCC1=O)c1cccc2c1CCCN2C(=O)CN1CCOCC1=O ZINC000448193917 1072804699 /nfs/dbraw/zinc/80/46/99/1072804699.db2.gz PEYPYDIFLDSWRM-UHFFFAOYSA-N 0 0 444.488 -0.354 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)NCCNS(=O)(=O)c1cccnc1 ZINC000448197683 1071261912 /nfs/dbraw/zinc/26/19/12/1071261912.db2.gz OZCZKIMZIWTFBO-UHFFFAOYSA-N 0 0 430.483 -0.016 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448197763 1072805273 /nfs/dbraw/zinc/80/52/73/1072805273.db2.gz GIDOLVVGMQHSTK-UHFFFAOYSA-N 0 0 441.535 -0.054 20 0 IBADRN COc1c(F)cc(CC(=O)NCCNS(=O)(=O)c2cccnc2)cc1S(N)(=O)=O ZINC000448200171 1072805057 /nfs/dbraw/zinc/80/50/57/1072805057.db2.gz OQEZIVRSZFYEAI-UHFFFAOYSA-N 0 0 446.482 -0.486 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000448201628 1072805138 /nfs/dbraw/zinc/80/51/38/1072805138.db2.gz HNXFYHKELCUZMO-UHFFFAOYSA-N 0 0 442.519 -0.285 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448204951 1072805254 /nfs/dbraw/zinc/80/52/54/1072805254.db2.gz NHVIEULCCXXIEZ-UHFFFAOYSA-N 0 0 433.556 -0.137 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448206876 1072805395 /nfs/dbraw/zinc/80/53/95/1072805395.db2.gz XIGOAJDWKNCHLA-UHFFFAOYSA-N 0 0 438.510 -0.086 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)NCCNS(=O)(=O)c1cccnc1 ZINC000448208528 1072805092 /nfs/dbraw/zinc/80/50/92/1072805092.db2.gz ITNKMKUONABIQU-UHFFFAOYSA-N 0 0 448.553 -0.339 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NCCS(=O)(=O)N1CCSCC1 ZINC000448208770 1072805822 /nfs/dbraw/zinc/80/58/22/1072805822.db2.gz KYMKPZIGVQACNQ-UHFFFAOYSA-N 0 0 437.569 -0.962 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000448209631 1072805226 /nfs/dbraw/zinc/80/52/26/1072805226.db2.gz SNIRZLILODPRTO-LLVKDONJSA-N 0 0 427.405 -0.054 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000448209632 1072805749 /nfs/dbraw/zinc/80/57/49/1072805749.db2.gz SNIRZLILODPRTO-NSHDSACASA-N 0 0 427.405 -0.054 20 0 IBADRN CO[C@H]1C[C@H](C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)N(S(C)(=O)=O)C1 ZINC000448211540 1072805730 /nfs/dbraw/zinc/80/57/30/1072805730.db2.gz DCEPPHOSJYNTRD-XJKSGUPXSA-N 0 0 431.536 -0.008 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000448212648 1072805676 /nfs/dbraw/zinc/80/56/76/1072805676.db2.gz LIGRRXPLIDPGOP-UHFFFAOYSA-N 0 0 427.508 -0.233 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448212785 1072805350 /nfs/dbraw/zinc/80/53/50/1072805350.db2.gz JYZKNMBIYSQPPZ-UHFFFAOYSA-N 0 0 426.543 -0.350 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000448218464 1072805320 /nfs/dbraw/zinc/80/53/20/1072805320.db2.gz BBSFAIXRXXWSSO-UHFFFAOYSA-N 0 0 426.543 -0.395 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NCCN1CCN(c2ncccn2)CC1 ZINC000448218895 1072805628 /nfs/dbraw/zinc/80/56/28/1072805628.db2.gz DLFRMGFBWNTTIY-UHFFFAOYSA-N 0 0 434.526 -0.729 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000448219111 1072805691 /nfs/dbraw/zinc/80/56/91/1072805691.db2.gz FLMFMKOMVMSVGJ-UHFFFAOYSA-N 0 0 440.570 -0.005 20 0 IBADRN Cn1nc([C@H]2CCCOC2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000448224863 1072805786 /nfs/dbraw/zinc/80/57/86/1072805786.db2.gz KSCCKRMEGGDWQY-HNNXBMFYSA-N 0 0 446.508 -0.454 20 0 IBADRN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000448224864 1072805717 /nfs/dbraw/zinc/80/57/17/1072805717.db2.gz KSCCKRMEGGDWQY-OAHLLOKOSA-N 0 0 446.508 -0.454 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000448225373 1072805799 /nfs/dbraw/zinc/80/57/99/1072805799.db2.gz VTRSUZBCKMDZED-KRWDZBQOSA-N 0 0 429.568 -0.133 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@]1(N2CCOCC2)CCSC1 ZINC000448225374 1072805764 /nfs/dbraw/zinc/80/57/64/1072805764.db2.gz VTRSUZBCKMDZED-QGZVFWFLSA-N 0 0 429.568 -0.133 20 0 IBADRN O=C(Nc1cccc(N2CCC2=O)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000448226611 1072806479 /nfs/dbraw/zinc/80/64/79/1072806479.db2.gz UZQZXFAJLAMXNW-UHFFFAOYSA-N 0 0 427.461 -0.345 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NCCNS(=O)(=O)c1cccnc1 ZINC000448227967 1072806589 /nfs/dbraw/zinc/80/65/89/1072806589.db2.gz CXJHZSRHVIFFHB-UHFFFAOYSA-N 0 0 428.496 -0.967 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCC3=O)c2)CC1 ZINC000448229709 1072806570 /nfs/dbraw/zinc/80/65/70/1072806570.db2.gz KRLXFNTZULFESE-UHFFFAOYSA-N 0 0 441.444 -0.818 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc(N4CCC4=O)c3)CC2)no1 ZINC000448229876 1073330222 /nfs/dbraw/zinc/33/02/22/1073330222.db2.gz MXMCJCFPNZNNFY-UHFFFAOYSA-N 0 0 440.460 0.441 20 0 IBADRN Cn1nc([C@H]2CCCOC2)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000448233875 1072806524 /nfs/dbraw/zinc/80/65/24/1072806524.db2.gz IOWTXGQDWQHGOS-INIZCTEOSA-N 0 0 448.524 -0.744 20 0 IBADRN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000448233876 1072805884 /nfs/dbraw/zinc/80/58/84/1072805884.db2.gz IOWTXGQDWQHGOS-MRXNPFEDSA-N 0 0 448.524 -0.744 20 0 IBADRN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000448234261 1072806560 /nfs/dbraw/zinc/80/65/60/1072806560.db2.gz MPFJPALZJUGWCE-CYBMUJFWSA-N 0 0 432.481 -0.798 20 0 IBADRN Cn1nc([C@H]2CCCOC2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000448234262 1072806207 /nfs/dbraw/zinc/80/62/07/1072806207.db2.gz MPFJPALZJUGWCE-ZDUSSCGKSA-N 0 0 432.481 -0.798 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCC3=O)c2)CC1 ZINC000448234455 1072806460 /nfs/dbraw/zinc/80/64/60/1072806460.db2.gz ODSFCVBSRZJVLS-UHFFFAOYSA-N 0 0 429.477 -0.635 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccc(N2CCC2=O)c1 ZINC000448235846 1072805655 /nfs/dbraw/zinc/80/56/55/1072805655.db2.gz AGHCUULIKHUUDV-UHFFFAOYSA-N 0 0 426.520 -0.143 20 0 IBADRN Cn1nc([C@H]2CCCOC2)cc1NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000448238922 1072806816 /nfs/dbraw/zinc/80/68/16/1072806816.db2.gz JWYPTFMIAMFGBA-INIZCTEOSA-N 0 0 442.524 -0.019 20 0 IBADRN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000448238923 1071273545 /nfs/dbraw/zinc/27/35/45/1071273545.db2.gz JWYPTFMIAMFGBA-MRXNPFEDSA-N 0 0 442.524 -0.019 20 0 IBADRN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000448242778 1072806839 /nfs/dbraw/zinc/80/68/39/1072806839.db2.gz RFMVOKLLXWBYAD-CYBMUJFWSA-N 0 0 445.567 -0.253 20 0 IBADRN Cn1nc([C@H]2CCCOC2)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000448242782 1072806765 /nfs/dbraw/zinc/80/67/65/1072806765.db2.gz RFMVOKLLXWBYAD-ZDUSSCGKSA-N 0 0 445.567 -0.253 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cc([C@H]3CCCOC3)nn2C)CC1 ZINC000448245425 1072806802 /nfs/dbraw/zinc/80/68/02/1072806802.db2.gz XYRYOYPVLHIUSW-AWEZNQCLSA-N 0 0 434.497 -0.552 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cc([C@@H]3CCCOC3)nn2C)CC1 ZINC000448245426 1072806829 /nfs/dbraw/zinc/80/68/29/1072806829.db2.gz XYRYOYPVLHIUSW-CQSZACIVSA-N 0 0 434.497 -0.552 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000448251388 1072806182 /nfs/dbraw/zinc/80/61/82/1072806182.db2.gz FBEBOYAVUJKCCR-AWEZNQCLSA-N 0 0 432.506 -0.231 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000448251389 1072806161 /nfs/dbraw/zinc/80/61/61/1072806161.db2.gz FBEBOYAVUJKCCR-CQSZACIVSA-N 0 0 432.506 -0.231 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3nccn3C)CC2)s1 ZINC000448251693 1072806229 /nfs/dbraw/zinc/80/62/29/1072806229.db2.gz HRVWFDGNCKAVOG-UHFFFAOYSA-N 0 0 440.551 -0.255 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCC(=O)NCCO)c1 ZINC000448259999 1072807574 /nfs/dbraw/zinc/80/75/74/1072807574.db2.gz IUEQOFGEKUYSEZ-UHFFFAOYSA-N 0 0 442.538 -0.608 20 0 IBADRN O=C(CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1)NCCO ZINC000448263465 1072807532 /nfs/dbraw/zinc/80/75/32/1072807532.db2.gz KRMMHMXAGUDXIC-UHFFFAOYSA-N 0 0 441.506 -0.527 20 0 IBADRN O=C(CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)NCCO ZINC000448263929 1072808220 /nfs/dbraw/zinc/80/82/20/1072808220.db2.gz PBVVBAFWUBJCCG-UHFFFAOYSA-N 0 0 427.479 -0.917 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cc(Br)cc(C(=O)N2CCCC2)c1)NCCO ZINC000448264264 1072807341 /nfs/dbraw/zinc/80/73/41/1072807341.db2.gz ROZBNTDUHKUGNL-UHFFFAOYSA-N 0 0 441.282 -0.152 20 0 IBADRN O=C(CNC(=O)CN1CCN(Cc2ccc(Br)cc2F)CC1)NCCO ZINC000448264596 1072807911 /nfs/dbraw/zinc/80/79/11/1072807911.db2.gz TZGOFPMBYHBSJX-UHFFFAOYSA-N 0 0 431.306 -0.070 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc(Br)cc1)C(=O)NCC(=O)NCCO ZINC000448264679 1071281663 /nfs/dbraw/zinc/28/16/63/1071281663.db2.gz ANDHMBZQINOQCR-AWEZNQCLSA-N 0 0 436.328 -0.023 20 0 IBADRN O=C(CNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(Br)cc1)NCCO ZINC000448273373 1072807616 /nfs/dbraw/zinc/80/76/16/1072807616.db2.gz RJCVFSQYOHEWIL-CYBMUJFWSA-N 0 0 434.312 -0.173 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(Br)cc1)NCCO ZINC000448273377 1072807500 /nfs/dbraw/zinc/80/75/00/1072807500.db2.gz RJCVFSQYOHEWIL-ZDUSSCGKSA-N 0 0 434.312 -0.173 20 0 IBADRN O=C(CNC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1)NCCO ZINC000448273853 1071283062 /nfs/dbraw/zinc/28/30/62/1071283062.db2.gz UQKFZKLAXPFESU-UHFFFAOYSA-N 0 0 436.284 -0.973 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NCC(=O)NCCO)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000448274236 1072807546 /nfs/dbraw/zinc/80/75/46/1072807546.db2.gz XREUVCKPULXWEG-UHFFFAOYSA-N 0 0 441.400 -0.732 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCC(=O)NCCO ZINC000448275809 1072807622 /nfs/dbraw/zinc/80/76/22/1072807622.db2.gz MRUHRSUIHQZTDE-KRWDZBQOSA-N 0 0 429.495 -0.625 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCC(=O)NCCO ZINC000448275810 1072807603 /nfs/dbraw/zinc/80/76/03/1072807603.db2.gz MRUHRSUIHQZTDE-QGZVFWFLSA-N 0 0 429.495 -0.625 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(F)ccc2N2CCCN(C(C)=O)CC2)CCO1 ZINC000448289558 1072808876 /nfs/dbraw/zinc/80/88/76/1072808876.db2.gz CBVDTOWRCGLIKI-GOSISDBHSA-N 0 0 449.483 -0.204 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(F)ccc2N2CCCN(C(C)=O)CC2)CCO1 ZINC000448289559 1072808696 /nfs/dbraw/zinc/80/86/96/1072808696.db2.gz CBVDTOWRCGLIKI-SFHVURJKSA-N 0 0 449.483 -0.204 20 0 IBADRN CO[C@H]1CCOc2c(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cccc21 ZINC000448290969 1072808720 /nfs/dbraw/zinc/80/87/20/1072808720.db2.gz KKUOUTKUVWLXEJ-HNNXBMFYSA-N 0 0 426.495 -0.472 20 0 IBADRN CO[C@@H]1CCOc2c(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cccc21 ZINC000448290970 1072808940 /nfs/dbraw/zinc/80/89/40/1072808940.db2.gz KKUOUTKUVWLXEJ-OAHLLOKOSA-N 0 0 426.495 -0.472 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCC3=O)c2)CC1 ZINC000448292285 1071289850 /nfs/dbraw/zinc/28/98/50/1071289850.db2.gz SPTOBHWVPFOSMW-UHFFFAOYSA-N 0 0 438.506 -0.128 20 0 IBADRN O=C(Nc1ccn(CC(F)(F)F)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000448293768 1072808747 /nfs/dbraw/zinc/80/87/47/1072808747.db2.gz JPSFFKMNUFQKNO-UHFFFAOYSA-N 0 0 426.421 0.172 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(N3CCC3=O)c2)CC1)N1CCOCC1 ZINC000448293928 1072808776 /nfs/dbraw/zinc/80/87/76/1072808776.db2.gz LBIYIZIHTJSKRR-UHFFFAOYSA-N 0 0 429.477 -0.635 20 0 IBADRN Cn1nc([C@H]2CCCOC2)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000448294239 1072808795 /nfs/dbraw/zinc/80/87/95/1072808795.db2.gz OOJKREZDEWKXLU-INIZCTEOSA-N 0 0 448.524 -0.744 20 0 IBADRN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000448294240 1072808969 /nfs/dbraw/zinc/80/89/69/1072808969.db2.gz OOJKREZDEWKXLU-MRXNPFEDSA-N 0 0 448.524 -0.744 20 0 IBADRN CC(=O)N1CCCN(c2ccc(F)cc2NC(=O)C(=O)N2CCN(CCO)CC2)CC1 ZINC000448294317 1072808853 /nfs/dbraw/zinc/80/88/53/1072808853.db2.gz PNHZYRWGJAQLEJ-UHFFFAOYSA-N 0 0 435.500 -0.041 20 0 IBADRN CC(=O)N1CCCN(c2ccc(F)cc2NC(=O)C(=O)N2CCN(C(=O)CO)CC2)CC1 ZINC000448295470 1071293645 /nfs/dbraw/zinc/29/36/45/1071293645.db2.gz BDGWMDPCHRYGPO-UHFFFAOYSA-N 0 0 449.483 -0.514 20 0 IBADRN CC(=O)N1CCCN(c2ccc(F)cc2NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)CC1 ZINC000448297824 1072807924 /nfs/dbraw/zinc/80/79/24/1072807924.db2.gz OQCKUSJPAUOOES-ACJLOTCBSA-N 0 0 449.483 -0.076 20 0 IBADRN CC(=O)N1CCCN(c2ccc(F)cc2NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)CC1 ZINC000448297829 1072807869 /nfs/dbraw/zinc/80/78/69/1072807869.db2.gz OQCKUSJPAUOOES-FZKQIMNGSA-N 0 0 449.483 -0.076 20 0 IBADRN Cn1nc([C@@H]2CCCOC2)cc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448304677 1072809833 /nfs/dbraw/zinc/80/98/33/1072809833.db2.gz YOHLPXHNCYHTQY-CYBMUJFWSA-N 0 0 436.494 -0.258 20 0 IBADRN Cn1nc([C@H]2CCCOC2)cc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448304678 1072809768 /nfs/dbraw/zinc/80/97/68/1072809768.db2.gz YOHLPXHNCYHTQY-ZDUSSCGKSA-N 0 0 436.494 -0.258 20 0 IBADRN CC(=O)N1CCCN(c2ccc(F)cc2NC(=O)C(=O)NCCN2CCNC(=O)C2)CC1 ZINC000448308590 1072809864 /nfs/dbraw/zinc/80/98/64/1072809864.db2.gz RXRYLNMPAZBNBN-UHFFFAOYSA-N 0 0 448.499 -0.629 20 0 IBADRN COCCN(C(=O)CN1CCOCC1=O)c1ccc(NC(=O)CN2CCOCC2=O)cc1 ZINC000448311572 1072808839 /nfs/dbraw/zinc/80/88/39/1072808839.db2.gz ODFWVPHMSRJDGC-UHFFFAOYSA-N 0 0 448.476 -0.678 20 0 IBADRN CN(C(=O)CN1CCOCC1=O)c1ccc(NC(=O)CN2CCOCC2=O)cc1Cl ZINC000448311757 1072808816 /nfs/dbraw/zinc/80/88/16/1072808816.db2.gz QDLGQFOZYGDMJG-UHFFFAOYSA-N 0 0 438.868 -0.041 20 0 IBADRN O=C(CN1CCOCC1=O)N1CCCN(C(=O)CN2CCOCC2=O)c2ccccc21 ZINC000448313330 1072809376 /nfs/dbraw/zinc/80/93/76/1072809376.db2.gz QMCUXZJAILRGTL-UHFFFAOYSA-N 0 0 430.461 -0.526 20 0 IBADRN CC1CN(C(=O)CN2CCOCC2=O)c2ccccc2N(C(=O)CN2CCOCC2=O)C1 ZINC000448314232 1071295475 /nfs/dbraw/zinc/29/54/75/1071295475.db2.gz XRXBGMDWKUIHSD-UHFFFAOYSA-N 0 0 444.488 -0.280 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC2(CCOCC2)O1 ZINC000448318946 1072809727 /nfs/dbraw/zinc/80/97/27/1072809727.db2.gz FZLBQCGMIYHVES-INIZCTEOSA-N 0 0 448.524 0.416 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC2(CCOCC2)O1 ZINC000448318948 1073354822 /nfs/dbraw/zinc/35/48/22/1073354822.db2.gz FZLBQCGMIYHVES-MRXNPFEDSA-N 0 0 448.524 0.416 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCCCO ZINC000448319833 1072810228 /nfs/dbraw/zinc/81/02/28/1072810228.db2.gz GSTYGAVECXXWTD-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN O=C(NC[C@H]1CCSC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000448320916 1072810399 /nfs/dbraw/zinc/81/03/99/1072810399.db2.gz MATYTDMLHUFJJN-GFCCVEGCSA-N 0 0 426.520 -0.385 20 0 IBADRN O=C(NC[C@@H]1CCSC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000448320919 1072810475 /nfs/dbraw/zinc/81/04/75/1072810475.db2.gz MATYTDMLHUFJJN-LBPRGKRZSA-N 0 0 426.520 -0.385 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC2(CCOCC2)O1 ZINC000448324735 1072810415 /nfs/dbraw/zinc/81/04/15/1072810415.db2.gz ISFDIGWEZMETDQ-GFCCVEGCSA-N 0 0 431.449 -0.633 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC2(CCOCC2)O1 ZINC000448324736 1072810460 /nfs/dbraw/zinc/81/04/60/1072810460.db2.gz ISFDIGWEZMETDQ-LBPRGKRZSA-N 0 0 431.449 -0.633 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCCCCO ZINC000448326714 1072810268 /nfs/dbraw/zinc/81/02/68/1072810268.db2.gz CLNQKXNGMKMRSS-UHFFFAOYSA-N 0 0 442.538 -0.151 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000448326872 1072810430 /nfs/dbraw/zinc/81/04/30/1072810430.db2.gz DXTKZZZDVXKQOV-UHFFFAOYSA-N 0 0 443.439 0.540 20 0 IBADRN Cc1nn(C)c(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC000448332859 1072810299 /nfs/dbraw/zinc/81/02/99/1072810299.db2.gz PYNICIBMYPNOPD-CYBMUJFWSA-N 0 0 448.387 -0.088 20 0 IBADRN Cc1nn(C)c(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC000448332860 1072810365 /nfs/dbraw/zinc/81/03/65/1072810365.db2.gz PYNICIBMYPNOPD-ZDUSSCGKSA-N 0 0 448.387 -0.088 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000448337746 1072809941 /nfs/dbraw/zinc/80/99/41/1072809941.db2.gz TXDQXMWAVBWOBO-UHFFFAOYSA-N 0 0 445.455 0.250 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000448339063 1072809741 /nfs/dbraw/zinc/80/97/41/1072809741.db2.gz JAVHEZQUWJJTDA-GASCZTMLSA-N 0 0 433.575 -0.170 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000448339064 1072809853 /nfs/dbraw/zinc/80/98/53/1072809853.db2.gz JAVHEZQUWJJTDA-GJZGRUSLSA-N 0 0 433.575 -0.170 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000448339065 1072809883 /nfs/dbraw/zinc/80/98/83/1072809883.db2.gz JAVHEZQUWJJTDA-HUUCEWRRSA-N 0 0 433.575 -0.170 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448343089 1071298017 /nfs/dbraw/zinc/29/80/17/1071298017.db2.gz AFFDATUEMPOJBF-UHFFFAOYSA-N 0 0 442.519 -0.285 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000448344972 1072810491 /nfs/dbraw/zinc/81/04/91/1072810491.db2.gz HWFCEZWIFMEHSU-UHFFFAOYSA-N 0 0 429.499 -0.443 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCNS(=O)(=O)c2cccnc2)ccc1OC ZINC000448346165 1072810241 /nfs/dbraw/zinc/81/02/41/1072810241.db2.gz NVHWHMCRMCQPDT-UHFFFAOYSA-N 0 0 428.492 -0.293 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)NCCNS(=O)(=O)c1cccnc1 ZINC000448349216 1072810325 /nfs/dbraw/zinc/81/03/25/1072810325.db2.gz SHWPFMXFBAOUHN-UHFFFAOYSA-N 0 0 430.483 -0.016 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCC3=O)c2)CC1 ZINC000448357811 1072810315 /nfs/dbraw/zinc/81/03/15/1072810315.db2.gz YQARQOLFEGIIME-INIZCTEOSA-N 0 0 443.504 -0.247 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCC3=O)c2)CC1 ZINC000448357812 1072810253 /nfs/dbraw/zinc/81/02/53/1072810253.db2.gz YQARQOLFEGIIME-MRXNPFEDSA-N 0 0 443.504 -0.247 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NCCNS(=O)(=O)c2cccnc2)cn1 ZINC000448359935 1072810282 /nfs/dbraw/zinc/81/02/82/1072810282.db2.gz QEVRCPXQWBBBQT-UHFFFAOYSA-N 0 0 425.471 -0.859 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)C1=O ZINC000448365690 1072811381 /nfs/dbraw/zinc/81/13/81/1072811381.db2.gz JQGHUYCZENMCQW-AWEZNQCLSA-N 0 0 444.448 -0.163 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)C1=O ZINC000448365694 1072811531 /nfs/dbraw/zinc/81/15/31/1072811531.db2.gz JQGHUYCZENMCQW-CQSZACIVSA-N 0 0 444.448 -0.163 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCCOCCO ZINC000448366648 1072811426 /nfs/dbraw/zinc/81/14/26/1072811426.db2.gz MOLNXASCLDFINL-UHFFFAOYSA-N 0 0 431.486 -0.436 20 0 IBADRN O=C(Nc1ccn(CC(=O)N2CCOCC2)n1)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000448367861 1073333561 /nfs/dbraw/zinc/33/35/61/1073333561.db2.gz RSRNPAGXIRFVES-GFCCVEGCSA-N 0 0 432.403 0.417 20 0 IBADRN O=C(Nc1ccn(CC(=O)N2CCOCC2)n1)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000448367862 1073333450 /nfs/dbraw/zinc/33/34/50/1073333450.db2.gz RSRNPAGXIRFVES-LBPRGKRZSA-N 0 0 432.403 0.417 20 0 IBADRN CO[C@H]1CCOc2c(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cccc21 ZINC000448369722 1072810343 /nfs/dbraw/zinc/81/03/43/1072810343.db2.gz BIMKYYVKJSIVND-HNNXBMFYSA-N 0 0 429.437 -0.547 20 0 IBADRN CO[C@@H]1CCOc2c(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cccc21 ZINC000448369723 1072810444 /nfs/dbraw/zinc/81/04/44/1072810444.db2.gz BIMKYYVKJSIVND-OAHLLOKOSA-N 0 0 429.437 -0.547 20 0 IBADRN COC(=O)CCCc1nnc(NC(=O)NCCNS(=O)(=O)c2cccnc2)s1 ZINC000448371338 1072810866 /nfs/dbraw/zinc/81/08/66/1072810866.db2.gz MPNVDESTIVAWHJ-UHFFFAOYSA-N 0 0 428.496 0.529 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCNS(=O)(=O)c3cccnc3)cnc2n(C)c1=O ZINC000448371821 1072811004 /nfs/dbraw/zinc/81/10/04/1072811004.db2.gz ARPBIVPZHHVQFZ-UHFFFAOYSA-N 0 0 433.450 -0.873 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCNS(=O)(=O)c2cccnc2)cn1)N1CCOCC1 ZINC000448372090 1072810966 /nfs/dbraw/zinc/81/09/66/1072810966.db2.gz ODGOXXNMGVSTHL-UHFFFAOYSA-N 0 0 437.482 -0.763 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCOCCO)CC2)cc1 ZINC000448374082 1072810932 /nfs/dbraw/zinc/81/09/32/1072810932.db2.gz RUOWZQOQXCKHAX-UHFFFAOYSA-N 0 0 443.522 -0.567 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cccc(N2CCC2=O)c1 ZINC000448378395 1072811077 /nfs/dbraw/zinc/81/10/77/1072811077.db2.gz YXFXXTBRCGOXMD-INIZCTEOSA-N 0 0 438.506 -0.034 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cccc(N2CCC2=O)c1 ZINC000448378398 1072810911 /nfs/dbraw/zinc/81/09/11/1072810911.db2.gz YXFXXTBRCGOXMD-MRXNPFEDSA-N 0 0 438.506 -0.034 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc([C@H]3CCCOC3)nn2C)CC1 ZINC000448378881 1072810945 /nfs/dbraw/zinc/81/09/45/1072810945.db2.gz VVXFYJZUUPFKET-HNNXBMFYSA-N 0 0 436.513 -0.841 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc([C@@H]3CCCOC3)nn2C)CC1 ZINC000448378882 1072811041 /nfs/dbraw/zinc/81/10/41/1072811041.db2.gz VVXFYJZUUPFKET-OAHLLOKOSA-N 0 0 436.513 -0.841 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@H](CO)[C@@H]2CCCO2)cc(S(C)(=O)=O)c1 ZINC000448381775 1072810900 /nfs/dbraw/zinc/81/09/00/1072810900.db2.gz DUXLVCDXZYAZEC-CABCVRRESA-N 0 0 428.463 -0.139 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@@H](CO)[C@@H]2CCCO2)cc(S(C)(=O)=O)c1 ZINC000448381783 1072810882 /nfs/dbraw/zinc/81/08/82/1072810882.db2.gz DUXLVCDXZYAZEC-GJZGRUSLSA-N 0 0 428.463 -0.139 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@H](CO)[C@H]2CCCO2)cc(S(C)(=O)=O)c1 ZINC000448381784 1072810980 /nfs/dbraw/zinc/81/09/80/1072810980.db2.gz DUXLVCDXZYAZEC-HUUCEWRRSA-N 0 0 428.463 -0.139 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@@H](CO)[C@H]2CCCO2)cc(S(C)(=O)=O)c1 ZINC000448381785 1072811021 /nfs/dbraw/zinc/81/10/21/1072811021.db2.gz DUXLVCDXZYAZEC-LSDHHAIUSA-N 0 0 428.463 -0.139 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448381851 1072810956 /nfs/dbraw/zinc/81/09/56/1072810956.db2.gz FFOGOARFOFCGPS-CVEARBPZSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448381852 1072811032 /nfs/dbraw/zinc/81/10/32/1072811032.db2.gz FFOGOARFOFCGPS-HOTGVXAUSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448381853 1072811057 /nfs/dbraw/zinc/81/10/57/1072811057.db2.gz FFOGOARFOFCGPS-HZPDHXFCSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448381854 1072811329 /nfs/dbraw/zinc/81/13/29/1072811329.db2.gz FFOGOARFOFCGPS-JKSUJKDBSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448382473 1072811514 /nfs/dbraw/zinc/81/15/14/1072811514.db2.gz ARSNHICNULVBDW-CABCVRRESA-N 0 0 445.469 -0.559 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448382474 1072811443 /nfs/dbraw/zinc/81/14/43/1072811443.db2.gz ARSNHICNULVBDW-GJZGRUSLSA-N 0 0 445.469 -0.559 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448382475 1072811598 /nfs/dbraw/zinc/81/15/98/1072811598.db2.gz ARSNHICNULVBDW-HUUCEWRRSA-N 0 0 445.469 -0.559 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448382476 1072811313 /nfs/dbraw/zinc/81/13/13/1072811313.db2.gz ARSNHICNULVBDW-LSDHHAIUSA-N 0 0 445.469 -0.559 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H](CO)[C@@H]3CCCO3)cc2)CC1 ZINC000448385523 1072811470 /nfs/dbraw/zinc/81/14/70/1072811470.db2.gz GBBPZZZSJNPKCH-MOPGFXCFSA-N 0 0 432.521 -0.012 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H](CO)[C@@H]3CCCO3)cc2)CC1 ZINC000448385524 1072811281 /nfs/dbraw/zinc/81/12/81/1072811281.db2.gz GBBPZZZSJNPKCH-OALUTQOASA-N 0 0 432.521 -0.012 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H](CO)[C@H]3CCCO3)cc2)CC1 ZINC000448385525 1072811397 /nfs/dbraw/zinc/81/13/97/1072811397.db2.gz GBBPZZZSJNPKCH-RBUKOAKNSA-N 0 0 432.521 -0.012 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H](CO)[C@H]3CCCO3)cc2)CC1 ZINC000448385526 1072811354 /nfs/dbraw/zinc/81/13/54/1072811354.db2.gz GBBPZZZSJNPKCH-RTBURBONSA-N 0 0 432.521 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448390186 1072812096 /nfs/dbraw/zinc/81/20/96/1072812096.db2.gz NQVBDKBPCHDMBL-DLBZAZTESA-N 0 0 441.506 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448390190 1072811945 /nfs/dbraw/zinc/81/19/45/1072811945.db2.gz NQVBDKBPCHDMBL-IAGOWNOFSA-N 0 0 441.506 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448390193 1072812005 /nfs/dbraw/zinc/81/20/05/1072812005.db2.gz NQVBDKBPCHDMBL-IRXDYDNUSA-N 0 0 441.506 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448390195 1072812116 /nfs/dbraw/zinc/81/21/16/1072812116.db2.gz NQVBDKBPCHDMBL-SJORKVTESA-N 0 0 441.506 -0.389 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448390520 1072811496 /nfs/dbraw/zinc/81/14/96/1072811496.db2.gz ORMUSUMYMMKTHE-CABCVRRESA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448390526 1072812026 /nfs/dbraw/zinc/81/20/26/1072812026.db2.gz ORMUSUMYMMKTHE-GJZGRUSLSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448390528 1072811935 /nfs/dbraw/zinc/81/19/35/1072811935.db2.gz ORMUSUMYMMKTHE-HUUCEWRRSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448390530 1072812062 /nfs/dbraw/zinc/81/20/62/1072812062.db2.gz ORMUSUMYMMKTHE-LSDHHAIUSA-N 0 0 429.495 -0.070 20 0 IBADRN C[C@H]1Cc2c(cccc2NC(=O)CN2CCOCC2=O)CN1C(=O)CN1CCOCC1=O ZINC000448390970 1072811928 /nfs/dbraw/zinc/81/19/28/1072811928.db2.gz VKAJUYHTXLUVAV-HNNXBMFYSA-N 0 0 444.488 -0.384 20 0 IBADRN C[C@@H]1Cc2c(cccc2NC(=O)CN2CCOCC2=O)CN1C(=O)CN1CCOCC1=O ZINC000448390971 1072812021 /nfs/dbraw/zinc/81/20/21/1072812021.db2.gz VKAJUYHTXLUVAV-OAHLLOKOSA-N 0 0 444.488 -0.384 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H](CO)[C@@H]2CCCO2)cc1S(=O)(=O)N(C)C ZINC000448391488 1072812131 /nfs/dbraw/zinc/81/21/31/1072812131.db2.gz QGEOKKDWMOGLOH-KBPBESRZSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H](CO)[C@@H]2CCCO2)cc1S(=O)(=O)N(C)C ZINC000448391489 1072812011 /nfs/dbraw/zinc/81/20/11/1072812011.db2.gz QGEOKKDWMOGLOH-KGLIPLIRSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H](CO)[C@H]2CCCO2)cc1S(=O)(=O)N(C)C ZINC000448391490 1072812121 /nfs/dbraw/zinc/81/21/21/1072812121.db2.gz QGEOKKDWMOGLOH-UONOGXRCSA-N 0 0 429.495 -0.070 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H](CO)[C@H]2CCCO2)cc1S(=O)(=O)N(C)C ZINC000448391491 1072811954 /nfs/dbraw/zinc/81/19/54/1072811954.db2.gz QGEOKKDWMOGLOH-ZIAGYGMSSA-N 0 0 429.495 -0.070 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448401281 1072812103 /nfs/dbraw/zinc/81/21/03/1072812103.db2.gz GASJJBGUGUUJRL-CVEARBPZSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448401282 1072812049 /nfs/dbraw/zinc/81/20/49/1072812049.db2.gz GASJJBGUGUUJRL-HOTGVXAUSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448401283 1072811973 /nfs/dbraw/zinc/81/19/73/1072811973.db2.gz GASJJBGUGUUJRL-HZPDHXFCSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448401284 1072812088 /nfs/dbraw/zinc/81/20/88/1072812088.db2.gz GASJJBGUGUUJRL-JKSUJKDBSA-N 0 0 427.479 -0.698 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448402445 1072811990 /nfs/dbraw/zinc/81/19/90/1072811990.db2.gz CENGSIAKFYIWIA-AZUAARDMSA-N 0 0 448.520 -0.046 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448402446 1072812037 /nfs/dbraw/zinc/81/20/37/1072812037.db2.gz CENGSIAKFYIWIA-ICSRJNTNSA-N 0 0 448.520 -0.046 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448402447 1072812074 /nfs/dbraw/zinc/81/20/74/1072812074.db2.gz CENGSIAKFYIWIA-QUCCMNQESA-N 0 0 448.520 -0.046 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448402448 1072812502 /nfs/dbraw/zinc/81/25/02/1072812502.db2.gz CENGSIAKFYIWIA-UYAOXDASSA-N 0 0 448.520 -0.046 20 0 IBADRN O=C(N[C@H](CO)[C@@H]1CCCO1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000448403171 1072812589 /nfs/dbraw/zinc/81/25/89/1072812589.db2.gz WUUCLBJMAYNJHX-HFTRVMKXSA-N 0 0 433.461 -0.705 20 0 IBADRN O=C(N[C@H](CO)[C@H]1CCCO1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000448403172 1072812541 /nfs/dbraw/zinc/81/25/41/1072812541.db2.gz WUUCLBJMAYNJHX-KYJSFNMBSA-N 0 0 433.461 -0.705 20 0 IBADRN O=C(N[C@H](CO)[C@H]1CCCO1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000448403173 1072812620 /nfs/dbraw/zinc/81/26/20/1072812620.db2.gz WUUCLBJMAYNJHX-QGPMSJSTSA-N 0 0 433.461 -0.705 20 0 IBADRN O=C(N[C@H](CO)[C@@H]1CCCO1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000448403174 1072812582 /nfs/dbraw/zinc/81/25/82/1072812582.db2.gz WUUCLBJMAYNJHX-UWWQBHOKSA-N 0 0 433.461 -0.705 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](CO)[C@@H]2CCCO2)cc1S(=O)(=O)NC1CC1 ZINC000448404258 1072812708 /nfs/dbraw/zinc/81/27/08/1072812708.db2.gz KSLQYPYQPINTBF-KBPBESRZSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CO)[C@@H]2CCCO2)cc1S(=O)(=O)NC1CC1 ZINC000448404259 1072812613 /nfs/dbraw/zinc/81/26/13/1072812613.db2.gz KSLQYPYQPINTBF-KGLIPLIRSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](CO)[C@H]2CCCO2)cc1S(=O)(=O)NC1CC1 ZINC000448404260 1072812634 /nfs/dbraw/zinc/81/26/34/1072812634.db2.gz KSLQYPYQPINTBF-UONOGXRCSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CO)[C@H]2CCCO2)cc1S(=O)(=O)NC1CC1 ZINC000448404261 1072812567 /nfs/dbraw/zinc/81/25/67/1072812567.db2.gz KSLQYPYQPINTBF-ZIAGYGMSSA-N 0 0 427.479 -0.270 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](CO)[C@H]3CCCO3)c2)CC1 ZINC000448405933 1071302759 /nfs/dbraw/zinc/30/27/59/1071302759.db2.gz SABYHGTXXBKUEK-DLBZAZTESA-N 0 0 440.522 -0.783 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](CO)[C@H]3CCCO3)c2)CC1 ZINC000448405934 1072812522 /nfs/dbraw/zinc/81/25/22/1072812522.db2.gz SABYHGTXXBKUEK-IAGOWNOFSA-N 0 0 440.522 -0.783 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](CO)[C@@H]3CCCO3)c2)CC1 ZINC000448405935 1072812694 /nfs/dbraw/zinc/81/26/94/1072812694.db2.gz SABYHGTXXBKUEK-IRXDYDNUSA-N 0 0 440.522 -0.783 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](CO)[C@@H]3CCCO3)c2)CC1 ZINC000448405936 1072812535 /nfs/dbraw/zinc/81/25/35/1072812535.db2.gz SABYHGTXXBKUEK-SJORKVTESA-N 0 0 440.522 -0.783 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448406172 1072812529 /nfs/dbraw/zinc/81/25/29/1072812529.db2.gz UAWKPJUDJDGZQB-GDBMZVCRSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448406173 1072812510 /nfs/dbraw/zinc/81/25/10/1072812510.db2.gz UAWKPJUDJDGZQB-GOEBONIOSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448406174 1072812558 /nfs/dbraw/zinc/81/25/58/1072812558.db2.gz UAWKPJUDJDGZQB-HOCLYGCPSA-N 0 0 427.479 -0.270 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448406175 1072812599 /nfs/dbraw/zinc/81/25/99/1072812599.db2.gz UAWKPJUDJDGZQB-ZBFHGGJFSA-N 0 0 427.479 -0.270 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H](CO)[C@H]3CCCO3)cc2)CC1 ZINC000448407886 1072811342 /nfs/dbraw/zinc/81/13/42/1072811342.db2.gz RFUXONRXJHOQGE-DLBZAZTESA-N 0 0 440.522 -0.783 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H](CO)[C@H]3CCCO3)cc2)CC1 ZINC000448407887 1072811583 /nfs/dbraw/zinc/81/15/83/1072811583.db2.gz RFUXONRXJHOQGE-IAGOWNOFSA-N 0 0 440.522 -0.783 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H](CO)[C@@H]3CCCO3)cc2)CC1 ZINC000448407888 1072811260 /nfs/dbraw/zinc/81/12/60/1072811260.db2.gz RFUXONRXJHOQGE-IRXDYDNUSA-N 0 0 440.522 -0.783 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H](CO)[C@@H]3CCCO3)cc2)CC1 ZINC000448407889 1072811572 /nfs/dbraw/zinc/81/15/72/1072811572.db2.gz RFUXONRXJHOQGE-SJORKVTESA-N 0 0 440.522 -0.783 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448413539 1072812471 /nfs/dbraw/zinc/81/24/71/1072812471.db2.gz JSASVQLUWLLKHC-AEFFLSMTSA-N 0 0 434.493 -0.323 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448413540 1072812649 /nfs/dbraw/zinc/81/26/49/1072812649.db2.gz JSASVQLUWLLKHC-FUHWJXTLSA-N 0 0 434.493 -0.323 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448413541 1072812669 /nfs/dbraw/zinc/81/26/69/1072812669.db2.gz JSASVQLUWLLKHC-SJLPKXTDSA-N 0 0 434.493 -0.323 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448413542 1072812492 /nfs/dbraw/zinc/81/24/92/1072812492.db2.gz JSASVQLUWLLKHC-WMZOPIPTSA-N 0 0 434.493 -0.323 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000448415908 1072813139 /nfs/dbraw/zinc/81/31/39/1072813139.db2.gz SRAYCYRNLFXGMR-UHFFFAOYSA-N 0 0 436.490 -0.341 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448418071 1072813111 /nfs/dbraw/zinc/81/31/11/1072813111.db2.gz UEMHNCGOLMIUDL-GDBMZVCRSA-N 0 0 428.511 -0.403 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448418072 1072813072 /nfs/dbraw/zinc/81/30/72/1072813072.db2.gz UEMHNCGOLMIUDL-GOEBONIOSA-N 0 0 428.511 -0.403 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448418073 1072813159 /nfs/dbraw/zinc/81/31/59/1072813159.db2.gz UEMHNCGOLMIUDL-HOCLYGCPSA-N 0 0 428.511 -0.403 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448418074 1072813156 /nfs/dbraw/zinc/81/31/56/1072813156.db2.gz UEMHNCGOLMIUDL-ZBFHGGJFSA-N 0 0 428.511 -0.403 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448418175 1072813093 /nfs/dbraw/zinc/81/30/93/1072813093.db2.gz PDTNNUCFOGDCBV-LBPRGKRZSA-N 0 0 430.483 -0.018 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC(=O)N(C3CC3)C2)ccc1OC ZINC000448418284 1072813123 /nfs/dbraw/zinc/81/31/23/1072813123.db2.gz PYHQVLKABKDEFI-UHFFFAOYSA-N 0 0 440.478 -0.651 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000448418809 1072813134 /nfs/dbraw/zinc/81/31/34/1072813134.db2.gz XAAVQLFLQRLVFO-MSOLQXFVSA-N 0 0 434.493 -0.657 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000448418810 1072813149 /nfs/dbraw/zinc/81/31/49/1072813149.db2.gz XAAVQLFLQRLVFO-QZTJIDSGSA-N 0 0 434.493 -0.657 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000448418811 1072813137 /nfs/dbraw/zinc/81/31/37/1072813137.db2.gz XAAVQLFLQRLVFO-ROUUACIJSA-N 0 0 434.493 -0.657 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000448418812 1072813115 /nfs/dbraw/zinc/81/31/15/1072813115.db2.gz XAAVQLFLQRLVFO-ZWKOTPCHSA-N 0 0 434.493 -0.657 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](CO)[C@H]2CCCO2)cc1 ZINC000448418958 1072813131 /nfs/dbraw/zinc/81/31/31/1072813131.db2.gz XNEYETYACVIWHM-APHBMKBZSA-N 0 0 429.495 -0.406 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](CO)[C@@H]2CCCO2)cc1 ZINC000448418959 1072813079 /nfs/dbraw/zinc/81/30/79/1072813079.db2.gz XNEYETYACVIWHM-MAZHCROVSA-N 0 0 429.495 -0.406 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](CO)[C@@H]2CCCO2)cc1 ZINC000448418960 1072813145 /nfs/dbraw/zinc/81/31/45/1072813145.db2.gz XNEYETYACVIWHM-RCBQFDQVSA-N 0 0 429.495 -0.406 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](CO)[C@H]2CCCO2)cc1 ZINC000448418961 1072813063 /nfs/dbraw/zinc/81/30/63/1072813063.db2.gz XNEYETYACVIWHM-VBNZEHGJSA-N 0 0 429.495 -0.406 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)NCCNS(=O)(=O)c1cccnc1 ZINC000448420202 1072813100 /nfs/dbraw/zinc/81/31/00/1072813100.db2.gz BQSQJYJRMXDLJG-UHFFFAOYSA-N 0 0 429.458 -0.252 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H]1CCCSC1 ZINC000448420429 1072813121 /nfs/dbraw/zinc/81/31/21/1072813121.db2.gz DGKVPJQJQNCUKE-HNNXBMFYSA-N 0 0 439.538 0.051 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H]1CCCSC1 ZINC000448420430 1072813051 /nfs/dbraw/zinc/81/30/51/1072813051.db2.gz DGKVPJQJQNCUKE-OAHLLOKOSA-N 0 0 439.538 0.051 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448420895 1072813533 /nfs/dbraw/zinc/81/35/33/1072813533.db2.gz ICBQTLVQPVTYOL-INIZCTEOSA-N 0 0 430.494 -0.236 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448420896 1072813560 /nfs/dbraw/zinc/81/35/60/1072813560.db2.gz ICBQTLVQPVTYOL-MRXNPFEDSA-N 0 0 430.494 -0.236 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448421187 1072813522 /nfs/dbraw/zinc/81/35/22/1072813522.db2.gz KRJOFJILJGKDET-UHFFFAOYSA-N 0 0 440.503 -0.343 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CN(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000448421628 1072813585 /nfs/dbraw/zinc/81/35/85/1072813585.db2.gz OUZKBPDDDZASAU-AOMKIAJQSA-N 0 0 443.504 0.479 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CN(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000448421629 1072813482 /nfs/dbraw/zinc/81/34/82/1072813482.db2.gz OUZKBPDDDZASAU-KSFYIVLOSA-N 0 0 443.504 0.479 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CN(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000448421630 1072813566 /nfs/dbraw/zinc/81/35/66/1072813566.db2.gz OUZKBPDDDZASAU-OPAMFIHVSA-N 0 0 443.504 0.479 20 0 IBADRN C[C@H]1OCC[C@]1(O)CN(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000448421631 1072813579 /nfs/dbraw/zinc/81/35/79/1072813579.db2.gz OUZKBPDDDZASAU-ZHRRBRCNSA-N 0 0 443.504 0.479 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H]1CCCSC1 ZINC000448422378 1072813512 /nfs/dbraw/zinc/81/35/12/1072813512.db2.gz WKSHZVSUYANMJU-CYBMUJFWSA-N 0 0 435.506 -0.059 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H]1CCCSC1 ZINC000448422379 1072813489 /nfs/dbraw/zinc/81/34/89/1072813489.db2.gz WKSHZVSUYANMJU-ZDUSSCGKSA-N 0 0 435.506 -0.059 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C3CC3)C2)cc1Cl ZINC000448422408 1072813471 /nfs/dbraw/zinc/81/34/71/1072813471.db2.gz WWAMVQOFAHLQEX-UHFFFAOYSA-N 0 0 435.868 -0.113 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](O)C(C)(C)C1 ZINC000448422781 1072813545 /nfs/dbraw/zinc/81/35/45/1072813545.db2.gz ZYNXSTWREIKYCZ-INIZCTEOSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](O)C(C)(C)C1 ZINC000448422782 1072813527 /nfs/dbraw/zinc/81/35/27/1072813527.db2.gz ZYNXSTWREIKYCZ-MRXNPFEDSA-N 0 0 441.506 -0.116 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(=O)N(C4CC4)C3)c2)CC1 ZINC000448423180 1072814149 /nfs/dbraw/zinc/81/41/49/1072814149.db2.gz DQYSNDFQWMNKSN-UHFFFAOYSA-N 0 0 435.506 -0.648 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448423306 1072814217 /nfs/dbraw/zinc/81/42/17/1072814217.db2.gz AKMRJIAOYFGZJM-GFCCVEGCSA-N 0 0 444.539 -0.807 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448423307 1072814201 /nfs/dbraw/zinc/81/42/01/1072814201.db2.gz AKMRJIAOYFGZJM-LBPRGKRZSA-N 0 0 444.539 -0.807 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C[C@@H](C)O2 ZINC000448423438 1072814130 /nfs/dbraw/zinc/81/41/30/1072814130.db2.gz FYIGXJPSRKIELK-FKANQGBASA-N 0 0 431.449 -0.634 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C[C@@H](C)O2 ZINC000448423439 1072814062 /nfs/dbraw/zinc/81/40/62/1072814062.db2.gz FYIGXJPSRKIELK-HTGLOVNISA-N 0 0 431.449 -0.634 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C[C@@H](C)O2 ZINC000448423440 1072814222 /nfs/dbraw/zinc/81/42/22/1072814222.db2.gz FYIGXJPSRKIELK-JGRMJRGVSA-N 0 0 431.449 -0.634 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C[C@@H](C)O2 ZINC000448423441 1072814118 /nfs/dbraw/zinc/81/41/18/1072814118.db2.gz FYIGXJPSRKIELK-XAAFQQQXSA-N 0 0 431.449 -0.634 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C[C@@H](C)O2 ZINC000448423634 1072813494 /nfs/dbraw/zinc/81/34/94/1072813494.db2.gz GTEGAGXMTXISHY-QEEYODRMSA-N 0 0 435.481 -0.525 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C[C@@H](C)O2 ZINC000448423635 1072813519 /nfs/dbraw/zinc/81/35/19/1072813519.db2.gz GTEGAGXMTXISHY-SIFCLUCFSA-N 0 0 435.481 -0.525 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C[C@@H](C)O2 ZINC000448423636 1072813572 /nfs/dbraw/zinc/81/35/72/1072813572.db2.gz GTEGAGXMTXISHY-STXHMFSFSA-N 0 0 435.481 -0.525 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C[C@@H](C)O2 ZINC000448423637 1072813541 /nfs/dbraw/zinc/81/35/41/1072813541.db2.gz GTEGAGXMTXISHY-SXGZJXTBSA-N 0 0 435.481 -0.525 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1ncn(CC(=O)N3CCCCCC3)n1)C[C@@H](C)O2 ZINC000448423698 1072814097 /nfs/dbraw/zinc/81/40/97/1072814097.db2.gz BYDNRHYAWJWMIV-VWKPWSFCSA-N 0 0 448.524 0.414 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1ncn(CC(=O)N3CCCCCC3)n1)C[C@@H](C)O2 ZINC000448423699 1072813554 /nfs/dbraw/zinc/81/35/54/1072813554.db2.gz BYDNRHYAWJWMIV-WHSLLNHNSA-N 0 0 448.524 0.414 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)Nc1ncn(CC(=O)N3CCCCCC3)n1)C[C@@H](C)O2 ZINC000448423700 1072813505 /nfs/dbraw/zinc/81/35/05/1072813505.db2.gz BYDNRHYAWJWMIV-XFQAVAEZSA-N 0 0 448.524 0.414 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)Nc1ncn(CC(=O)N3CCCCCC3)n1)C[C@@H](C)O2 ZINC000448423701 1073315893 /nfs/dbraw/zinc/31/58/93/1073315893.db2.gz BYDNRHYAWJWMIV-ZOCZFRKYSA-N 0 0 448.524 0.414 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448424270 1072814187 /nfs/dbraw/zinc/81/41/87/1072814187.db2.gz JMPGAWKSXLHIRL-NSHDSACASA-N 0 0 431.496 -0.552 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000448424815 1072814075 /nfs/dbraw/zinc/81/40/75/1072814075.db2.gz LHOJGWZPGXBGQD-UHFFFAOYSA-N 0 0 431.496 -0.957 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448425092 1072814157 /nfs/dbraw/zinc/81/41/57/1072814157.db2.gz IIIDBFORESSGSV-UHFFFAOYSA-N 0 0 432.256 -0.799 20 0 IBADRN COCCN(Cc1cncs1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000448425805 1072814207 /nfs/dbraw/zinc/81/42/07/1072814207.db2.gz LXGWGQSOLSWJOQ-UHFFFAOYSA-N 0 0 432.462 -0.298 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NCCNS(=O)(=O)c1cccnc1 ZINC000448426397 1072814107 /nfs/dbraw/zinc/81/41/07/1072814107.db2.gz SJORLBIAKSLUMU-UHFFFAOYSA-N 0 0 447.521 -0.605 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(=O)N(C4CC4)C3)c2)CC1 ZINC000448427873 1072814171 /nfs/dbraw/zinc/81/41/71/1072814171.db2.gz VTGAWXOJTGYZOQ-UHFFFAOYSA-N 0 0 449.533 -0.258 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448428053 1072814595 /nfs/dbraw/zinc/81/45/95/1072814595.db2.gz WSVCLSBEFFQGBA-ZDUSSCGKSA-N 0 0 442.519 -0.148 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000448429849 1072814697 /nfs/dbraw/zinc/81/46/97/1072814697.db2.gz CESUEJHPJXNUHY-UHFFFAOYSA-N 0 0 440.453 -0.424 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000448431259 1071313959 /nfs/dbraw/zinc/31/39/59/1071313959.db2.gz IBGOGYJSAXITIR-UHFFFAOYSA-N 0 0 436.490 -0.255 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448431335 1072814777 /nfs/dbraw/zinc/81/47/77/1072814777.db2.gz QVBLHJXPFGGLMW-UHFFFAOYSA-N 0 0 426.476 -0.951 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000448432347 1071314040 /nfs/dbraw/zinc/31/40/40/1071314040.db2.gz KZCGFVKRJQWYGM-UHFFFAOYSA-N 0 0 426.477 -0.131 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C(C)(C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000448432414 1072814605 /nfs/dbraw/zinc/81/46/05/1072814605.db2.gz WQFSMOROYSMQMP-BBRMVZONSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C(C)(C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000448432415 1072814581 /nfs/dbraw/zinc/81/45/81/1072814581.db2.gz WQFSMOROYSMQMP-CJNGLKHVSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C(C)(C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000448432416 1072814542 /nfs/dbraw/zinc/81/45/42/1072814542.db2.gz WQFSMOROYSMQMP-CZUORRHYSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C(C)(C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000448432417 1072814742 /nfs/dbraw/zinc/81/47/42/1072814742.db2.gz WQFSMOROYSMQMP-XJKSGUPXSA-N 0 0 441.506 -0.070 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000448434238 1072814759 /nfs/dbraw/zinc/81/47/59/1072814759.db2.gz PEGHJWDPPJKNCD-UHFFFAOYSA-N 0 0 436.490 -0.127 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(=O)N(C2CC2)C1 ZINC000448434378 1072814816 /nfs/dbraw/zinc/81/48/16/1072814816.db2.gz GJSSROCITWQRCD-KRWDZBQOSA-N 0 0 428.445 -0.570 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(=O)N(C2CC2)C1 ZINC000448434379 1072814824 /nfs/dbraw/zinc/81/48/24/1072814824.db2.gz GJSSROCITWQRCD-QGZVFWFLSA-N 0 0 428.445 -0.570 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC(=O)N(C4CC4)C3)cc2)CC1 ZINC000448434797 1072814681 /nfs/dbraw/zinc/81/46/81/1072814681.db2.gz IDGXQOUEKFOMSF-UHFFFAOYSA-N 0 0 435.506 -0.648 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(=O)N(C4CC4)C3)c2)CC1 ZINC000448434955 1072814619 /nfs/dbraw/zinc/81/46/19/1072814619.db2.gz JBBFSFUWMJKYTF-UHFFFAOYSA-N 0 0 449.533 -0.258 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1nc(-c2cccc(Br)c2)no1)S(N)(=O)=O ZINC000448435516 1072814587 /nfs/dbraw/zinc/81/45/87/1072814587.db2.gz UCPJOUSNQKNATL-MRVPVSSYSA-N 0 0 446.283 -0.092 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1nc(-c2cccc(Br)c2)no1)S(N)(=O)=O ZINC000448435517 1072814550 /nfs/dbraw/zinc/81/45/50/1072814550.db2.gz UCPJOUSNQKNATL-QMMMGPOBSA-N 0 0 446.283 -0.092 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)[C@H]1CCCSC1 ZINC000448435678 1072814520 /nfs/dbraw/zinc/81/45/20/1072814520.db2.gz KYCJEJGMCFFHRS-INIZCTEOSA-N 0 0 425.555 0.524 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)[C@@H]1CCCSC1 ZINC000448435679 1072814647 /nfs/dbraw/zinc/81/46/47/1072814647.db2.gz KYCJEJGMCFFHRS-MRXNPFEDSA-N 0 0 425.555 0.524 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000448438890 1072814791 /nfs/dbraw/zinc/81/47/91/1072814791.db2.gz UQDXFLWUNAOJDE-UHFFFAOYSA-N 0 0 429.477 -0.188 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C(C)(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000448439928 1072814726 /nfs/dbraw/zinc/81/47/26/1072814726.db2.gz PICGWMJFYQYMJB-INIZCTEOSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C(C)(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000448439929 1073138270 /nfs/dbraw/zinc/13/82/70/1073138270.db2.gz PICGWMJFYQYMJB-MRXNPFEDSA-N 0 0 441.506 -0.116 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000448442034 1072815216 /nfs/dbraw/zinc/81/52/16/1072815216.db2.gz CITZLYWVOLZFRI-UHFFFAOYSA-N 0 0 429.477 -0.522 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(Cc2ccccc2)C[C@@]2(O)CCO[C@H]2C)cc1C ZINC000448442801 1072814711 /nfs/dbraw/zinc/81/47/11/1072814711.db2.gz IDJIXWUYNZDFNW-AOMKIAJQSA-N 0 0 443.504 0.445 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(Cc2ccccc2)C[C@]2(O)CCO[C@H]2C)cc1C ZINC000448442802 1072815337 /nfs/dbraw/zinc/81/53/37/1072815337.db2.gz IDJIXWUYNZDFNW-KSFYIVLOSA-N 0 0 443.504 0.445 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(Cc2ccccc2)C[C@]2(O)CCO[C@@H]2C)cc1C ZINC000448442803 1072814665 /nfs/dbraw/zinc/81/46/65/1072814665.db2.gz IDJIXWUYNZDFNW-OPAMFIHVSA-N 0 0 443.504 0.445 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(Cc2ccccc2)C[C@@]2(O)CCO[C@@H]2C)cc1C ZINC000448442804 1073314428 /nfs/dbraw/zinc/31/44/28/1073314428.db2.gz IDJIXWUYNZDFNW-ZHRRBRCNSA-N 0 0 443.504 0.445 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](O)C(C)(C)C2)ccc1OC ZINC000448445237 1072815297 /nfs/dbraw/zinc/81/52/97/1072815297.db2.gz SKCBVHUHKFPMEY-HNNXBMFYSA-N 0 0 429.495 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](O)C(C)(C)C2)ccc1OC ZINC000448445238 1072815440 /nfs/dbraw/zinc/81/54/40/1072815440.db2.gz SKCBVHUHKFPMEY-OAHLLOKOSA-N 0 0 429.495 -0.212 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)c[nH]1 ZINC000448449022 1072815314 /nfs/dbraw/zinc/81/53/14/1072815314.db2.gz UNOASTROUKWESC-SECBINFHSA-N 0 0 425.389 -0.939 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)c[nH]1 ZINC000448449023 1072815424 /nfs/dbraw/zinc/81/54/24/1072815424.db2.gz UNOASTROUKWESC-VIFPVBQESA-N 0 0 425.389 -0.939 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000448449836 1072815242 /nfs/dbraw/zinc/81/52/42/1072815242.db2.gz XUUWKNKAEIEFNP-LLVKDONJSA-N 0 0 430.449 -0.510 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000448449850 1072815410 /nfs/dbraw/zinc/81/54/10/1072815410.db2.gz XUUWKNKAEIEFNP-NSHDSACASA-N 0 0 430.449 -0.510 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000448452341 1073147003 /nfs/dbraw/zinc/14/70/03/1073147003.db2.gz MOIZHBQQVUHSQS-GRYCIOLGSA-N 0 0 425.429 -0.309 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000448452342 1072815263 /nfs/dbraw/zinc/81/52/63/1072815263.db2.gz MOIZHBQQVUHSQS-IJLUTSLNSA-N 0 0 425.429 -0.309 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000448452343 1072815434 /nfs/dbraw/zinc/81/54/34/1072815434.db2.gz MOIZHBQQVUHSQS-QJPTWQEYSA-N 0 0 425.429 -0.309 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000448452344 1072815207 /nfs/dbraw/zinc/81/52/07/1072815207.db2.gz MOIZHBQQVUHSQS-SDDRHHMPSA-N 0 0 425.429 -0.309 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)o1 ZINC000448453342 1072816245 /nfs/dbraw/zinc/81/62/45/1072816245.db2.gz XOPRSJDOUQHNGJ-MRVPVSSYSA-N 0 0 426.373 -0.674 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)o1 ZINC000448453343 1072816195 /nfs/dbraw/zinc/81/61/95/1072816195.db2.gz XOPRSJDOUQHNGJ-QMMMGPOBSA-N 0 0 426.373 -0.674 20 0 IBADRN Cc1nc(C(=O)NCCNS(=O)(=O)c2cccnc2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC000448457461 1072816345 /nfs/dbraw/zinc/81/63/45/1072816345.db2.gz SKHNKWJKUSDMTK-UHFFFAOYSA-N 0 0 445.387 -0.184 20 0 IBADRN COc1cc(CNC(=O)NCCNS(=O)(=O)c2cccnc2)ccc1OCC(N)=O ZINC000448460752 1072815195 /nfs/dbraw/zinc/81/51/95/1072815195.db2.gz FTYCIQNENJIAPG-UHFFFAOYSA-N 0 0 437.478 -0.268 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCNS(=O)(=O)c3cccnc3)CC2)nc1 ZINC000448462876 1072815350 /nfs/dbraw/zinc/81/53/50/1072815350.db2.gz XKYZRRGRFOZSQO-UHFFFAOYSA-N 0 0 433.494 -0.614 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000448463633 1073158475 /nfs/dbraw/zinc/15/84/75/1073158475.db2.gz CRBJDUWXTYBSSR-UHFFFAOYSA-N 0 0 427.508 -0.451 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)NCCNS(=O)(=O)c2cccnc2)s1 ZINC000448465323 1072815796 /nfs/dbraw/zinc/81/57/96/1072815796.db2.gz JTNMREIZKURFKI-UHFFFAOYSA-N 0 0 433.537 -0.171 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)NCCNS(=O)(=O)c3cccnc3)cc2)NC(=O)NC1=O ZINC000448465559 1072815282 /nfs/dbraw/zinc/81/52/82/1072815282.db2.gz KQBABHDUEFWSTO-IBGZPJMESA-N 0 0 446.489 -0.086 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)NCCNS(=O)(=O)c3cccnc3)cc2)NC(=O)NC1=O ZINC000448465560 1072815818 /nfs/dbraw/zinc/81/58/18/1072815818.db2.gz KQBABHDUEFWSTO-LJQANCHMSA-N 0 0 446.489 -0.086 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NCCS(=O)(=O)NCc1ccccc1 ZINC000448466100 1072815881 /nfs/dbraw/zinc/81/58/81/1072815881.db2.gz MHPVSSHPGYXSJN-UHFFFAOYSA-N 0 0 441.535 -0.221 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448467524 1073159926 /nfs/dbraw/zinc/15/99/26/1073159926.db2.gz QZFBJPNYMIXOCJ-GOSISDBHSA-N 0 0 446.533 -0.086 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448467525 1072815933 /nfs/dbraw/zinc/81/59/33/1072815933.db2.gz QZFBJPNYMIXOCJ-SFHVURJKSA-N 0 0 446.533 -0.086 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NCCNS(=O)(=O)c3cccnc3)CC2)CC1 ZINC000448469384 1072815828 /nfs/dbraw/zinc/81/58/28/1072815828.db2.gz AENAUEBGIOUAJO-UHFFFAOYSA-N 0 0 438.554 -0.445 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)NCCNS(=O)(=O)c1cccnc1 ZINC000448469569 1072815807 /nfs/dbraw/zinc/81/58/07/1072815807.db2.gz YFBUPAQMNUAVJS-UHFFFAOYSA-N 0 0 427.508 -0.362 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000448469710 1072815716 /nfs/dbraw/zinc/81/57/16/1072815716.db2.gz BBBKJEKYFYNKQG-UHFFFAOYSA-N 0 0 425.511 -0.360 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000448470311 1072815923 /nfs/dbraw/zinc/81/59/23/1072815923.db2.gz DLPYGIQKQIJGTB-HNNXBMFYSA-N 0 0 425.511 -0.360 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000448470312 1072815761 /nfs/dbraw/zinc/81/57/61/1072815761.db2.gz DLPYGIQKQIJGTB-OAHLLOKOSA-N 0 0 425.511 -0.360 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)NCCNS(=O)(=O)c3cccnc3)CC2)cn1 ZINC000448471703 1072815942 /nfs/dbraw/zinc/81/59/42/1072815942.db2.gz LWDNBNPIFJLQKG-UHFFFAOYSA-N 0 0 449.537 -0.055 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000448471806 1072815874 /nfs/dbraw/zinc/81/58/74/1072815874.db2.gz FPXDRHAEGAGXPG-CYBMUJFWSA-N 0 0 449.430 -0.748 20 0 IBADRN O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000448471807 1072815784 /nfs/dbraw/zinc/81/57/84/1072815784.db2.gz FPXDRHAEGAGXPG-ZDUSSCGKSA-N 0 0 449.430 -0.748 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448471890 1072815895 /nfs/dbraw/zinc/81/58/95/1072815895.db2.gz MOVHHEYSCKMQAX-UHFFFAOYSA-N 0 0 433.556 -0.185 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000448472326 1072815928 /nfs/dbraw/zinc/81/59/28/1072815928.db2.gz HQTJBVNQYCCRCD-GFCCVEGCSA-N 0 0 447.414 -0.458 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000448472327 1072815913 /nfs/dbraw/zinc/81/59/13/1072815913.db2.gz HQTJBVNQYCCRCD-LBPRGKRZSA-N 0 0 447.414 -0.458 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](C)N2CCN(c3ccccc3)CC2)cn1 ZINC000448472522 1072815848 /nfs/dbraw/zinc/81/58/48/1072815848.db2.gz INKHVVBQYTYGTI-INIZCTEOSA-N 0 0 427.509 -0.106 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](C)N2CCN(c3ccccc3)CC2)cn1 ZINC000448472523 1072815901 /nfs/dbraw/zinc/81/59/01/1072815901.db2.gz INKHVVBQYTYGTI-MRXNPFEDSA-N 0 0 427.509 -0.106 20 0 IBADRN O=C(NCCN1CCc2ccccc2C1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448472525 1072815731 /nfs/dbraw/zinc/81/57/31/1072815731.db2.gz IOTIEIKQZQPXRD-UHFFFAOYSA-N 0 0 426.521 0.328 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000448472668 1073346115 /nfs/dbraw/zinc/34/61/15/1073346115.db2.gz JDXBCFPOKOOOFK-UHFFFAOYSA-N 0 0 431.472 0.322 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000448472786 1072815886 /nfs/dbraw/zinc/81/58/86/1072815886.db2.gz QBHXGGLMNQKDFL-AWEZNQCLSA-N 0 0 435.447 -0.274 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000448472787 1072815769 /nfs/dbraw/zinc/81/57/69/1072815769.db2.gz QBHXGGLMNQKDFL-CQSZACIVSA-N 0 0 435.447 -0.274 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000448473418 1072816436 /nfs/dbraw/zinc/81/64/36/1072816436.db2.gz MSCGAKJDRHRHLD-UHFFFAOYSA-N 0 0 446.533 0.200 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448473569 1072816268 /nfs/dbraw/zinc/81/62/68/1072816268.db2.gz SFMICPQVNMQAHQ-UHFFFAOYSA-N 0 0 426.543 -0.445 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000448473719 1072816424 /nfs/dbraw/zinc/81/64/24/1072816424.db2.gz NKJNHOFQLBYKAW-UHFFFAOYSA-N 0 0 431.540 -0.384 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448473866 1073165754 /nfs/dbraw/zinc/16/57/54/1073165754.db2.gz NVHFZOPQHMNODJ-UHFFFAOYSA-N 0 0 440.570 -0.054 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000448474133 1073165854 /nfs/dbraw/zinc/16/58/54/1073165854.db2.gz UXQXYBFFOHTLEE-AWEZNQCLSA-N 0 0 449.555 -0.852 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000448474134 1073165716 /nfs/dbraw/zinc/16/57/16/1073165716.db2.gz UXQXYBFFOHTLEE-CQSZACIVSA-N 0 0 449.555 -0.852 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448474915 1072816460 /nfs/dbraw/zinc/81/64/60/1072816460.db2.gz YQVMTTGMYAEKIE-HNNXBMFYSA-N 0 0 426.543 -0.398 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448474916 1072816116 /nfs/dbraw/zinc/81/61/16/1072816116.db2.gz YQVMTTGMYAEKIE-OAHLLOKOSA-N 0 0 426.543 -0.398 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cn1 ZINC000448475615 1072816536 /nfs/dbraw/zinc/81/65/36/1072816536.db2.gz UXTPEQWWYWTOFS-UHFFFAOYSA-N 0 0 433.513 -0.886 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1)N1CCOCC1 ZINC000448476289 1072818800 /nfs/dbraw/zinc/81/88/00/1072818800.db2.gz ZUFPLKHCQOQNCV-AWEZNQCLSA-N 0 0 435.447 -0.274 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1)N1CCOCC1 ZINC000448476290 1072818744 /nfs/dbraw/zinc/81/87/44/1072818744.db2.gz ZUFPLKHCQOQNCV-CQSZACIVSA-N 0 0 435.447 -0.274 20 0 IBADRN O=C(Nc1ccnn1C[C@@H]1CCOC1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000448476561 1073166952 /nfs/dbraw/zinc/16/69/52/1073166952.db2.gz BRRIUGLEXWEWNU-HNNXBMFYSA-N 0 0 432.481 -0.849 20 0 IBADRN O=C(Nc1ccnn1C[C@H]1CCOC1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000448476562 1072818602 /nfs/dbraw/zinc/81/86/02/1072818602.db2.gz BRRIUGLEXWEWNU-OAHLLOKOSA-N 0 0 432.481 -0.849 20 0 IBADRN O=C(Nc1ccnn1Cc1ccccn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000448476794 1072818730 /nfs/dbraw/zinc/81/87/30/1072818730.db2.gz DPIKAEDCRGKSCQ-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN O=C(NCCc1ccc2c(c1)OCCO2)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448477153 1073326796 /nfs/dbraw/zinc/32/67/96/1073326796.db2.gz GKDVILOBSFYLPF-UHFFFAOYSA-N 0 0 429.477 0.284 20 0 IBADRN O=C(Nc1ccn(CC(F)(F)F)n1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000448477202 1072818642 /nfs/dbraw/zinc/81/86/42/1072818642.db2.gz GXYMTLPJYPJWIJ-UHFFFAOYSA-N 0 0 430.387 -0.323 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC)c2)CC1 ZINC000448477399 1073169493 /nfs/dbraw/zinc/16/94/93/1073169493.db2.gz HVGCKODTYWZDHV-UHFFFAOYSA-N 0 0 442.476 -0.049 20 0 IBADRN CCn1nccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000448477486 1072818615 /nfs/dbraw/zinc/81/86/15/1072818615.db2.gz IMSKDEXSBDXHPM-UHFFFAOYSA-N 0 0 435.510 -0.495 20 0 IBADRN COc1cc(CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc(OC)c1OC ZINC000448477811 1072818833 /nfs/dbraw/zinc/81/88/33/1072818833.db2.gz JLRXQXSIKBPDMF-UHFFFAOYSA-N 0 0 447.492 0.496 20 0 IBADRN Cc1nn(C)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1C ZINC000448478217 1072818656 /nfs/dbraw/zinc/81/86/56/1072818656.db2.gz MLEKPRMNXBAJBR-UHFFFAOYSA-N 0 0 449.537 -0.361 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(Cc2ccncc2)CC1 ZINC000448478377 1072818758 /nfs/dbraw/zinc/81/87/58/1072818758.db2.gz MYALTEPXZAISPT-UHFFFAOYSA-N 0 0 427.509 -0.107 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000448478456 1072818699 /nfs/dbraw/zinc/81/86/99/1072818699.db2.gz OAZNTHSFZDAWHK-AUUYWEPGSA-N 0 0 436.490 -0.042 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000448478457 1072818792 /nfs/dbraw/zinc/81/87/92/1072818792.db2.gz OAZNTHSFZDAWHK-IFXJQAMLSA-N 0 0 436.490 -0.042 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000448478458 1072818552 /nfs/dbraw/zinc/81/85/52/1072818552.db2.gz OAZNTHSFZDAWHK-KUHUBIRLSA-N 0 0 436.490 -0.042 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000448478459 1072818589 /nfs/dbraw/zinc/81/85/89/1072818589.db2.gz OAZNTHSFZDAWHK-LIRRHRJNSA-N 0 0 436.490 -0.042 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)cn1 ZINC000448478614 1072818829 /nfs/dbraw/zinc/81/88/29/1072818829.db2.gz OQSGCEMUBNUACB-HOTGVXAUSA-N 0 0 447.540 -0.784 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)cn1 ZINC000448478615 1072818713 /nfs/dbraw/zinc/81/87/13/1072818713.db2.gz OQSGCEMUBNUACB-HZPDHXFCSA-N 0 0 447.540 -0.784 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)cn1 ZINC000448478616 1072818821 /nfs/dbraw/zinc/81/88/21/1072818821.db2.gz OQSGCEMUBNUACB-IYBDPMFKSA-N 0 0 447.540 -0.784 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000448478815 1073170972 /nfs/dbraw/zinc/17/09/72/1073170972.db2.gz PWLJTWVBIFEXGJ-GOSISDBHSA-N 0 0 438.462 -0.673 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000448478816 1073170881 /nfs/dbraw/zinc/17/08/81/1073170881.db2.gz PWLJTWVBIFEXGJ-SFHVURJKSA-N 0 0 438.462 -0.673 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)n(C2CCOCC2)n1 ZINC000448478913 1072818574 /nfs/dbraw/zinc/81/85/74/1072818574.db2.gz QYTWZQRYMJSPIW-UHFFFAOYSA-N 0 0 446.508 -0.225 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)cn1 ZINC000448479070 1072819296 /nfs/dbraw/zinc/81/92/96/1072819296.db2.gz QPDYSCUSXJYDCH-UHFFFAOYSA-N 0 0 431.472 -0.355 20 0 IBADRN COc1cccc(N2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)c1 ZINC000448479128 1072819193 /nfs/dbraw/zinc/81/91/93/1072819193.db2.gz RWRPCUVPHWGGHZ-UHFFFAOYSA-N 0 0 442.520 0.511 20 0 IBADRN O=C(Nc1cnn(Cc2ccncc2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000448479129 1072819257 /nfs/dbraw/zinc/81/92/57/1072819257.db2.gz RXJQTIPPDOBEKG-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000448479200 1073328291 /nfs/dbraw/zinc/32/82/91/1073328291.db2.gz SKJGMWXNOLKKJW-UHFFFAOYSA-N 0 0 429.477 0.299 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000448479351 1072819222 /nfs/dbraw/zinc/81/92/22/1072819222.db2.gz SIFWWRARWUCTMD-UHFFFAOYSA-N 0 0 434.478 -0.899 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C ZINC000448479646 1073344717 /nfs/dbraw/zinc/34/47/17/1073344717.db2.gz VNIOTKRWCFQDDQ-UHFFFAOYSA-N 0 0 426.474 0.441 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(Cc2ccncc2)CC1 ZINC000448479737 1073171312 /nfs/dbraw/zinc/17/13/12/1073171312.db2.gz VZGSETCAEVIMTR-UHFFFAOYSA-N 0 0 427.509 -0.107 20 0 IBADRN Cn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000448479864 1072819073 /nfs/dbraw/zinc/81/90/73/1072819073.db2.gz VSIDKRWZSXBPSW-UHFFFAOYSA-N 0 0 435.462 -0.337 20 0 IBADRN CCCn1nccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000448479942 1072819143 /nfs/dbraw/zinc/81/91/43/1072819143.db2.gz XCQVXAWMAOSRMX-UHFFFAOYSA-N 0 0 449.537 -0.105 20 0 IBADRN COC(=O)c1cc(CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)ccc1OC ZINC000448479978 1072819266 /nfs/dbraw/zinc/81/92/66/1072819266.db2.gz XPEKOJGNEYSMOF-UHFFFAOYSA-N 0 0 445.476 0.265 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(c2ccc(O)cc2)CC1 ZINC000448480400 1072819306 /nfs/dbraw/zinc/81/93/06/1072819306.db2.gz YKPPMGQWFCFNTL-UHFFFAOYSA-N 0 0 428.493 0.208 20 0 IBADRN O=C(NCc1cccc(C(=O)NC2CC2)c1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448480463 1072819236 /nfs/dbraw/zinc/81/92/36/1072819236.db2.gz ZHWJZAMGEKHVPP-UHFFFAOYSA-N 0 0 440.504 0.362 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000448480512 1073346002 /nfs/dbraw/zinc/34/60/02/1073346002.db2.gz ZXFKOBRLHGPFKN-UHFFFAOYSA-N 0 0 443.483 0.420 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000448480643 1073171305 /nfs/dbraw/zinc/17/13/05/1073171305.db2.gz BSEQSSWTXKJKRZ-KRWDZBQOSA-N 0 0 432.525 -0.081 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000448480644 1073171291 /nfs/dbraw/zinc/17/12/91/1073171291.db2.gz BSEQSSWTXKJKRZ-QGZVFWFLSA-N 0 0 432.525 -0.081 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)n(Cc2ccccn2)n1 ZINC000448480756 1072819335 /nfs/dbraw/zinc/81/93/35/1072819335.db2.gz DFWQLTOGFZMPAS-UHFFFAOYSA-N 0 0 425.493 -0.004 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000448480856 1072819282 /nfs/dbraw/zinc/81/92/82/1072819282.db2.gz FIOHMOGSPWAMEJ-HNNXBMFYSA-N 0 0 430.440 0.503 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000448480857 1072819326 /nfs/dbraw/zinc/81/93/26/1072819326.db2.gz FIOHMOGSPWAMEJ-OAHLLOKOSA-N 0 0 430.440 0.503 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CC2)on1 ZINC000448480932 1072819365 /nfs/dbraw/zinc/81/93/65/1072819365.db2.gz GLBHTCVMUJGLBX-UHFFFAOYSA-N 0 0 431.497 -0.205 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(Cc2ccccc2)CC1 ZINC000448481049 1072816511 /nfs/dbraw/zinc/81/65/11/1072816511.db2.gz HUMGVCRZFUMBES-UHFFFAOYSA-N 0 0 426.521 0.498 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1)N1CCCCC1 ZINC000448481247 1072816402 /nfs/dbraw/zinc/81/64/02/1072816402.db2.gz JZFRGKSNDGUECD-UHFFFAOYSA-N 0 0 430.431 0.541 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)on1 ZINC000448481381 1073320916 /nfs/dbraw/zinc/32/09/16/1073320916.db2.gz LSDZNLYZNJWTHI-UHFFFAOYSA-N 0 0 431.497 -0.205 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000448481449 1072816490 /nfs/dbraw/zinc/81/64/90/1072816490.db2.gz MLVNPQPHFUZRLP-UHFFFAOYSA-N 0 0 429.456 0.076 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000448481590 1072816317 /nfs/dbraw/zinc/81/63/17/1072816317.db2.gz NXYCJIWWJNQVLM-KRWDZBQOSA-N 0 0 432.525 -0.081 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000448481591 1072816289 /nfs/dbraw/zinc/81/62/89/1072816289.db2.gz NXYCJIWWJNQVLM-QGZVFWFLSA-N 0 0 432.525 -0.081 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000448481673 1072819899 /nfs/dbraw/zinc/81/98/99/1072819899.db2.gz PCICKWBNFHAQMR-KRWDZBQOSA-N 0 0 434.497 -0.996 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000448481674 1072819994 /nfs/dbraw/zinc/81/99/94/1072819994.db2.gz PCICKWBNFHAQMR-QGZVFWFLSA-N 0 0 434.497 -0.996 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(Cc2cccnc2)CC1 ZINC000448481853 1072819165 /nfs/dbraw/zinc/81/91/65/1072819165.db2.gz RBWDITKFTKZHCO-UHFFFAOYSA-N 0 0 427.509 -0.107 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(Cc2cccs2)CC1 ZINC000448481983 1073333758 /nfs/dbraw/zinc/33/37/58/1073333758.db2.gz SLAAJMGZZHLLPL-UHFFFAOYSA-N 0 0 432.550 0.560 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(Cc3ccncc3)c2)CC1 ZINC000448482027 1072816903 /nfs/dbraw/zinc/81/69/03/1072816903.db2.gz AAOPNCJLJXZLPB-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN O=C(N=c1ccn(CCN2CCOCC2)[nH]1)C(=O)NCCN=c1[nH]c2ccccc2s1 ZINC000448482059 1072817083 /nfs/dbraw/zinc/81/70/83/1072817083.db2.gz AJXYXFHQOXMHNO-UHFFFAOYSA-N 0 0 443.533 -0.164 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(CCc2ccccc2)CC1 ZINC000448482164 1072817046 /nfs/dbraw/zinc/81/70/46/1072817046.db2.gz UEEFFBAOVBQYHK-UHFFFAOYSA-N 0 0 440.548 0.541 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(C)c(OC)c3)CC2)cn1 ZINC000448482306 1072816501 /nfs/dbraw/zinc/81/65/01/1072816501.db2.gz VGIJWCXWKXNXPY-UHFFFAOYSA-N 0 0 442.476 -0.131 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)cn1 ZINC000448482357 1072816468 /nfs/dbraw/zinc/81/64/68/1072816468.db2.gz BYPAVFLPKXCRST-UHFFFAOYSA-N 0 0 445.455 -0.510 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(Cc2cccnc2)CC1 ZINC000448482736 1072816171 /nfs/dbraw/zinc/81/61/71/1072816171.db2.gz XLXQIKQAMIYXSN-UHFFFAOYSA-N 0 0 427.509 -0.107 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)c(F)c3)CC2)cn1 ZINC000448482894 1072816383 /nfs/dbraw/zinc/81/63/83/1072816383.db2.gz YLONVANZGLGITA-UHFFFAOYSA-N 0 0 434.403 -0.170 20 0 IBADRN O=C(NCCc1cc(F)cc2c1OCOC2)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448483140 1072817096 /nfs/dbraw/zinc/81/70/96/1072817096.db2.gz HHLCFLMVRJJVRP-UHFFFAOYSA-N 0 0 447.467 0.518 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(C(=O)c2ccccc2)CC1 ZINC000448483275 1072817061 /nfs/dbraw/zinc/81/70/61/1072817061.db2.gz ISOZCEBSMXZXDC-UHFFFAOYSA-N 0 0 440.504 0.138 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccnn3C)CC2)c1 ZINC000448483351 1072817109 /nfs/dbraw/zinc/81/71/09/1072817109.db2.gz JOPDHWRPFDYYDK-UHFFFAOYSA-N 0 0 437.478 -0.091 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccn2)CC1 ZINC000448483414 1072816914 /nfs/dbraw/zinc/81/69/14/1072816914.db2.gz KDLITRWFCBXXML-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)cn1 ZINC000448483548 1072817004 /nfs/dbraw/zinc/81/70/04/1072817004.db2.gz LTFADKFUCVZFBM-UHFFFAOYSA-N 0 0 441.492 -0.972 20 0 IBADRN COC(=O)c1cc(CN(C)C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)oc1C ZINC000448483763 1072816972 /nfs/dbraw/zinc/81/69/72/1072816972.db2.gz NZGULMNLELKMMI-UHFFFAOYSA-N 0 0 433.465 0.500 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cc(OC)ccc3OC)CC2)cn1 ZINC000448483854 1073171824 /nfs/dbraw/zinc/17/18/24/1073171824.db2.gz OXVMGQPNQYSKBR-UHFFFAOYSA-N 0 0 444.492 -0.071 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1 ZINC000448483947 1073309171 /nfs/dbraw/zinc/30/91/71/1073309171.db2.gz PZEPEXHPDCUHNQ-UHFFFAOYSA-N 0 0 437.386 0.409 20 0 IBADRN COc1ccccc1N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000448483978 1072816994 /nfs/dbraw/zinc/81/69/94/1072816994.db2.gz QEYIGDXQKKMNKI-UHFFFAOYSA-N 0 0 442.520 0.511 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(OC)c3)CC2)cn1 ZINC000448484083 1073171724 /nfs/dbraw/zinc/17/17/24/1073171724.db2.gz AXUKUZCUKLNAHF-UHFFFAOYSA-N 0 0 428.449 -0.439 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N=c3ccn(CC(F)(F)F)[nH]3)CC2)no1 ZINC000448484104 1072816950 /nfs/dbraw/zinc/81/69/50/1072816950.db2.gz QKIHFGDGJHMXRC-UHFFFAOYSA-N 0 0 443.386 -0.693 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n(Cc2ccccn2)n1 ZINC000448484251 1072817089 /nfs/dbraw/zinc/81/70/89/1072817089.db2.gz BQIZCLIAWMWHHI-UHFFFAOYSA-N 0 0 439.476 -0.477 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n(C2CCOCC2)n1 ZINC000448484279 1072816987 /nfs/dbraw/zinc/81/69/87/1072816987.db2.gz CBXCNCILMDGSTJ-UHFFFAOYSA-N 0 0 434.497 -0.323 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccn2)CC1 ZINC000448484579 1072817106 /nfs/dbraw/zinc/81/71/06/1072817106.db2.gz FKKDFWBYLSMJAC-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN Cn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000448484918 1072816961 /nfs/dbraw/zinc/81/69/61/1072816961.db2.gz GSMZCINIQHQROR-UHFFFAOYSA-N 0 0 435.491 -0.104 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)n(C2CCOCC2)n1 ZINC000448485085 1072817447 /nfs/dbraw/zinc/81/74/47/1072817447.db2.gz XHMMMPDMRYXQRR-UHFFFAOYSA-N 0 0 448.524 -0.515 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccnn3C[C@@H]3CCOC3)CC2)no1 ZINC000448485305 1072817540 /nfs/dbraw/zinc/81/75/40/1072817540.db2.gz YNTHHEBSNIDSEX-HNNXBMFYSA-N 0 0 445.480 -0.063 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccnn3C[C@H]3CCOC3)CC2)no1 ZINC000448485309 1072817521 /nfs/dbraw/zinc/81/75/21/1072817521.db2.gz YNTHHEBSNIDSEX-OAHLLOKOSA-N 0 0 445.480 -0.063 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n(Cc2ccccn2)n1 ZINC000448485622 1072817075 /nfs/dbraw/zinc/81/70/75/1072817075.db2.gz KRNBGDJLGBTQFI-UHFFFAOYSA-N 0 0 441.492 -0.231 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n(C2CCOCC2)n1 ZINC000448485645 1073172145 /nfs/dbraw/zinc/17/21/45/1073172145.db2.gz KWXHELNGMFEMEL-UHFFFAOYSA-N 0 0 432.481 -0.569 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000448485675 1073345898 /nfs/dbraw/zinc/34/58/98/1073345898.db2.gz LIIDNMPWEGBJIS-UHFFFAOYSA-N 0 0 445.455 -0.151 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)c2)n1C ZINC000448485798 1073172136 /nfs/dbraw/zinc/17/21/36/1073172136.db2.gz MXZVYXYXIZIPRM-UHFFFAOYSA-N 0 0 441.492 -0.076 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(OC)cc3)CC2)cn1 ZINC000448485876 1072817112 /nfs/dbraw/zinc/81/71/12/1072817112.db2.gz NYWMFSSNPCNFQJ-UHFFFAOYSA-N 0 0 428.449 -0.439 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(Cc3ccncc3)c2)CC1 ZINC000448486038 1072817400 /nfs/dbraw/zinc/81/74/00/1072817400.db2.gz PWEQHYJKSKQPKQ-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN O=C(Nc1cnn(Cc2ccncc2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000448486097 1072817473 /nfs/dbraw/zinc/81/74/73/1072817473.db2.gz QKAAECJUEKEIKN-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c2)n1C ZINC000448486195 1072817326 /nfs/dbraw/zinc/81/73/26/1072817326.db2.gz RRHBNKXYUJWCQN-UHFFFAOYSA-N 0 0 439.476 -0.322 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000448486284 1072817463 /nfs/dbraw/zinc/81/74/63/1072817463.db2.gz SMLDZPSGALRVEF-UHFFFAOYSA-N 0 0 443.439 -0.397 20 0 IBADRN O=C(Nc1ccnn1Cc1ccccn1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000448486707 1072817348 /nfs/dbraw/zinc/81/73/48/1072817348.db2.gz YLGQQFJZYIAADG-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN CCNC(=O)c1cccc(CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000448486756 1072817500 /nfs/dbraw/zinc/81/75/00/1072817500.db2.gz ZBALDVCBJINPFR-UHFFFAOYSA-N 0 0 428.493 0.220 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)cn1 ZINC000448487345 1072817314 /nfs/dbraw/zinc/81/73/14/1072817314.db2.gz GPHKQFXTJAOIQT-UHFFFAOYSA-N 0 0 442.432 -0.719 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000448487908 1072817411 /nfs/dbraw/zinc/81/74/11/1072817411.db2.gz MVCUUQRHMQQXAJ-UHFFFAOYSA-N 0 0 448.505 -0.758 20 0 IBADRN CC(C)C[C@H](C)n1nccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000448488457 1072817436 /nfs/dbraw/zinc/81/74/36/1072817436.db2.gz RNNFFUGMZRVCDT-INIZCTEOSA-N 0 0 448.524 -0.042 20 0 IBADRN CC(C)C[C@@H](C)n1nccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000448488464 1072817391 /nfs/dbraw/zinc/81/73/91/1072817391.db2.gz RNNFFUGMZRVCDT-MRXNPFEDSA-N 0 0 448.524 -0.042 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000448488467 1072817335 /nfs/dbraw/zinc/81/73/35/1072817335.db2.gz BGPSSPWXEFCQFG-UHFFFAOYSA-N 0 0 430.465 -0.269 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000448488481 1073337515 /nfs/dbraw/zinc/33/75/15/1073337515.db2.gz RRTBZCDAKJREQN-UHFFFAOYSA-N 0 0 428.493 0.220 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccnn3C)CC2)c1 ZINC000448488798 1072817426 /nfs/dbraw/zinc/81/74/26/1072817426.db2.gz TYHSNFQSUMRJAY-UHFFFAOYSA-N 0 0 441.491 -0.260 20 0 IBADRN CCCCn1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000448489042 1072818075 /nfs/dbraw/zinc/81/80/75/1072818075.db2.gz VOGVKJLQQSEMOD-UHFFFAOYSA-N 0 0 434.497 -0.540 20 0 IBADRN O=C(NCCOc1ccc2c(c1)OCO2)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448489952 1073326485 /nfs/dbraw/zinc/32/64/85/1073326485.db2.gz KYJYQEGVWFIZPJ-UHFFFAOYSA-N 0 0 431.449 0.078 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c(OC)c1OC ZINC000448490207 1072817957 /nfs/dbraw/zinc/81/79/57/1072817957.db2.gz AOHLMBSXHNJDIK-UHFFFAOYSA-N 0 0 447.492 0.496 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)cn1 ZINC000448490271 1072818023 /nfs/dbraw/zinc/81/80/23/1072818023.db2.gz NFWICIHDQGIVGI-UHFFFAOYSA-N 0 0 427.465 -0.650 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)cn1 ZINC000448490724 1072818111 /nfs/dbraw/zinc/81/81/11/1072818111.db2.gz PTFGBBROQDJZOI-UHFFFAOYSA-N 0 0 448.505 -0.591 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000448491874 1072818050 /nfs/dbraw/zinc/81/80/50/1072818050.db2.gz JLZYINGNFDKSMP-UHFFFAOYSA-N 0 0 433.538 -0.045 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000448492005 1072818237 /nfs/dbraw/zinc/81/82/37/1072818237.db2.gz XVYUTNBNOKIVLH-UHFFFAOYSA-N 0 0 430.465 -0.269 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000448493127 1072817994 /nfs/dbraw/zinc/81/79/94/1072817994.db2.gz SNLWWTZRXALKSI-UHFFFAOYSA-N 0 0 433.538 -0.045 20 0 IBADRN CCOc1ccc(NC(=O)CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000448493194 1073343902 /nfs/dbraw/zinc/34/39/02/1073343902.db2.gz TXJNDUNVSQVALB-UHFFFAOYSA-N 0 0 444.492 0.307 20 0 IBADRN Cn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000448494199 1072818202 /nfs/dbraw/zinc/81/82/02/1072818202.db2.gz DICKJEKDKHIEJK-UHFFFAOYSA-N 0 0 432.462 -0.614 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1nn(-c2ncccc2F)cc1C)S(C)(=O)=O ZINC000448494440 1073336014 /nfs/dbraw/zinc/33/60/14/1073336014.db2.gz CRJRFOUTSTYAQL-UHFFFAOYSA-N 0 0 426.474 0.441 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)C(=O)NCc1ccccc1 ZINC000448494444 1072818163 /nfs/dbraw/zinc/81/81/63/1072818163.db2.gz CULIUWZRISYSJW-INIZCTEOSA-N 0 0 428.493 -0.025 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)C(=O)NCc1ccccc1 ZINC000448494445 1072818140 /nfs/dbraw/zinc/81/81/40/1072818140.db2.gz CULIUWZRISYSJW-MRXNPFEDSA-N 0 0 428.493 -0.025 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)C(=O)NCc1ccccc1 ZINC000448494480 1072818248 /nfs/dbraw/zinc/81/82/48/1072818248.db2.gz DCGANFZZLKFJSE-INIZCTEOSA-N 0 0 428.493 -0.025 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)C(=O)NCc1ccccc1 ZINC000448494481 1072817928 /nfs/dbraw/zinc/81/79/28/1072817928.db2.gz DCGANFZZLKFJSE-MRXNPFEDSA-N 0 0 428.493 -0.025 20 0 IBADRN Cc1nn(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1C ZINC000448494546 1072818037 /nfs/dbraw/zinc/81/80/37/1072818037.db2.gz FXSBRVFOINOJSJ-UHFFFAOYSA-N 0 0 438.466 -0.800 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)cn1 ZINC000448494870 1072818128 /nfs/dbraw/zinc/81/81/28/1072818128.db2.gz GCGPJWKSHGJEQX-HNNXBMFYSA-N 0 0 433.513 -0.887 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)cn1 ZINC000448494871 1072818084 /nfs/dbraw/zinc/81/80/84/1072818084.db2.gz GCGPJWKSHGJEQX-OAHLLOKOSA-N 0 0 433.513 -0.887 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000448495023 1072820024 /nfs/dbraw/zinc/82/00/24/1072820024.db2.gz GPDFJCRDWKHYHY-KRWDZBQOSA-N 0 0 449.556 -0.787 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000448495024 1072819868 /nfs/dbraw/zinc/81/98/68/1072819868.db2.gz GPDFJCRDWKHYHY-QGZVFWFLSA-N 0 0 449.556 -0.787 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000448495692 1072818810 /nfs/dbraw/zinc/81/88/10/1072818810.db2.gz LCDXQGBLWMGEFT-UHFFFAOYSA-N 0 0 441.492 -0.467 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000448496070 1072820376 /nfs/dbraw/zinc/82/03/76/1072820376.db2.gz NCBVUIAGIBNLND-UHFFFAOYSA-N 0 0 446.533 0.200 20 0 IBADRN CCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000448496121 1072820670 /nfs/dbraw/zinc/82/06/70/1072820670.db2.gz ONTHHCOOPOTVPX-UHFFFAOYSA-N 0 0 446.489 -0.131 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448496236 1073326927 /nfs/dbraw/zinc/32/69/27/1073326927.db2.gz NWULPGDIIRUVLD-UHFFFAOYSA-N 0 0 435.506 -0.256 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)N(C)Cc3ccccc3)CC2)cn1 ZINC000448496726 1073173717 /nfs/dbraw/zinc/17/37/17/1073173717.db2.gz RRZGUBGGEQCKRO-UHFFFAOYSA-N 0 0 441.492 -0.036 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000448496756 1073344177 /nfs/dbraw/zinc/34/41/77/1073344177.db2.gz RYJJRQPUFWXOSR-UHFFFAOYSA-N 0 0 444.492 -0.405 20 0 IBADRN Cc1cccc(OC[C@@H](O)CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000448497117 1073342944 /nfs/dbraw/zinc/34/29/44/1073342944.db2.gz AFVUFFWMMWYADK-KRWDZBQOSA-N 0 0 431.493 0.018 20 0 IBADRN Cc1cccc(OC[C@H](O)CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000448497123 1073343100 /nfs/dbraw/zinc/34/31/00/1073343100.db2.gz AFVUFFWMMWYADK-QGZVFWFLSA-N 0 0 431.493 0.018 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)n1 ZINC000448497392 1073348453 /nfs/dbraw/zinc/34/84/53/1073348453.db2.gz UXGLVLKQXCSRHR-UHFFFAOYSA-N 0 0 433.538 0.268 20 0 IBADRN CCCCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000448497514 1073174471 /nfs/dbraw/zinc/17/44/71/1073174471.db2.gz CLZKETULLZBSJY-UHFFFAOYSA-N 0 0 428.515 -0.657 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)Nc1ccc(Cl)cc1 ZINC000448497964 1073320363 /nfs/dbraw/zinc/32/03/63/1073320363.db2.gz WPDOBOCOHQKJDT-UHFFFAOYSA-N 0 0 434.884 0.562 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)cc1 ZINC000448498027 1072820597 /nfs/dbraw/zinc/82/05/97/1072820597.db2.gz FBNLGMQJWSRZHK-UHFFFAOYSA-N 0 0 444.492 -0.405 20 0 IBADRN CCCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000448498400 1072820396 /nfs/dbraw/zinc/82/03/96/1072820396.db2.gz ZTWFHDJFKFWWCZ-UHFFFAOYSA-N 0 0 438.466 -0.543 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000448498449 1072820480 /nfs/dbraw/zinc/82/04/80/1072820480.db2.gz YGYFZLUBJVGPPH-UHFFFAOYSA-N 0 0 435.506 -0.256 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)cn1 ZINC000448498506 1072820523 /nfs/dbraw/zinc/82/05/23/1072820523.db2.gz YSMFYGTUHHXAIX-UHFFFAOYSA-N 0 0 446.439 -0.544 20 0 IBADRN Cc1cccc(C(=O)NCCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000448498605 1072820614 /nfs/dbraw/zinc/82/06/14/1072820614.db2.gz HLDGCPLRXSBGRJ-UHFFFAOYSA-N 0 0 428.493 0.008 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c(OC)c1 ZINC000448499464 1073342095 /nfs/dbraw/zinc/34/20/95/1073342095.db2.gz QUYODZVYJIGWCP-UHFFFAOYSA-N 0 0 447.492 0.496 20 0 IBADRN CC(C)Cn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000448499514 1072820634 /nfs/dbraw/zinc/82/06/34/1072820634.db2.gz RLUBYSKMANQHPH-UHFFFAOYSA-N 0 0 428.515 -0.801 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448499622 1073311299 /nfs/dbraw/zinc/31/12/99/1073311299.db2.gz SMMCIZNBMMTASD-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN CC(C)(C)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000448499872 1071548052 /nfs/dbraw/zinc/54/80/52/1071548052.db2.gz VXTPSYCQRRYTLY-UHFFFAOYSA-N 0 0 428.515 -0.702 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000448499908 1072820645 /nfs/dbraw/zinc/82/06/45/1072820645.db2.gz WIGGFPRCLMVDPV-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ncnc4sccc43)CC2)cn1 ZINC000448499971 1072820662 /nfs/dbraw/zinc/82/06/62/1072820662.db2.gz APBDVBSWDGUSSU-UHFFFAOYSA-N 0 0 428.478 -0.079 20 0 IBADRN O=C(Nc1ccn(CC(F)(F)F)n1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000448500010 1072820462 /nfs/dbraw/zinc/82/04/62/1072820462.db2.gz BBZNYIMZFZNRGD-UHFFFAOYSA-N 0 0 446.411 0.312 20 0 IBADRN CCCCn1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000448500286 1072820414 /nfs/dbraw/zinc/82/04/14/1072820414.db2.gz YFQUKCFKZLDLCA-UHFFFAOYSA-N 0 0 442.542 -0.349 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(C)c(F)c3)CC2)cn1 ZINC000448500635 1072820535 /nfs/dbraw/zinc/82/05/35/1072820535.db2.gz FHHUOWXPWDXBRF-UHFFFAOYSA-N 0 0 430.440 0.000 20 0 IBADRN O=C(Nc1ccnn1C[C@@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000448501849 1072821048 /nfs/dbraw/zinc/82/10/48/1072821048.db2.gz UBSVIIRWBCOZOU-HNNXBMFYSA-N 0 0 448.505 -0.214 20 0 IBADRN O=C(Nc1ccnn1C[C@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000448501850 1072821057 /nfs/dbraw/zinc/82/10/57/1072821057.db2.gz UBSVIIRWBCOZOU-OAHLLOKOSA-N 0 0 448.505 -0.214 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCCN(CC(F)(F)F)CC1 ZINC000448502559 1072821069 /nfs/dbraw/zinc/82/10/69/1072821069.db2.gz CHEBWXNVCJMNCU-UHFFFAOYSA-N 0 0 432.447 0.250 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448502964 1072821099 /nfs/dbraw/zinc/82/10/99/1072821099.db2.gz IOOSZLUTFCUFAY-INIZCTEOSA-N 0 0 435.456 -0.151 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448502965 1072821218 /nfs/dbraw/zinc/82/12/18/1072821218.db2.gz IOOSZLUTFCUFAY-MRXNPFEDSA-N 0 0 435.456 -0.151 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000448503529 1073343480 /nfs/dbraw/zinc/34/34/80/1073343480.db2.gz DHDLKIZCIIJUFG-UHFFFAOYSA-N 0 0 444.492 -0.291 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC)c3)CC2)nc1 ZINC000448503725 1072821194 /nfs/dbraw/zinc/82/11/94/1072821194.db2.gz MRBABLCDOAUBFL-UHFFFAOYSA-N 0 0 443.464 -0.512 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000448503844 1072821117 /nfs/dbraw/zinc/82/11/17/1072821117.db2.gz FWQSNMHWLAMMMG-UHFFFAOYSA-N 0 0 448.505 -0.509 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccnn3C[C@@H]3CCOC3)CC2)nc1 ZINC000448504172 1072821162 /nfs/dbraw/zinc/82/11/62/1072821162.db2.gz HKTUUPYPLZSLPL-AWEZNQCLSA-N 0 0 427.465 -0.299 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccnn3C[C@H]3CCOC3)CC2)nc1 ZINC000448504174 1072821088 /nfs/dbraw/zinc/82/10/88/1072821088.db2.gz HKTUUPYPLZSLPL-CQSZACIVSA-N 0 0 427.465 -0.299 20 0 IBADRN NC(=O)c1ccc(CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C2CC2)cc1 ZINC000448504407 1073317928 /nfs/dbraw/zinc/31/79/28/1073317928.db2.gz IOIIEJCQQJQGBT-UHFFFAOYSA-N 0 0 440.504 0.444 20 0 IBADRN Cc1nn(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1C ZINC000448504500 1072821131 /nfs/dbraw/zinc/82/11/31/1072821131.db2.gz IZURMUHUOJSIML-BETUJISGSA-N 0 0 442.542 -0.526 20 0 IBADRN Cc1nn(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1C ZINC000448504501 1072821063 /nfs/dbraw/zinc/82/10/63/1072821063.db2.gz IZURMUHUOJSIML-CHWSQXEVSA-N 0 0 442.542 -0.526 20 0 IBADRN Cc1nn(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1C ZINC000448504503 1072821214 /nfs/dbraw/zinc/82/12/14/1072821214.db2.gz IZURMUHUOJSIML-STQMWFEESA-N 0 0 442.542 -0.526 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000448504830 1072821033 /nfs/dbraw/zinc/82/10/33/1072821033.db2.gz TWJQLINXRMTOGM-UHFFFAOYSA-N 0 0 442.455 0.554 20 0 IBADRN CCCCn1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000448505109 1072821570 /nfs/dbraw/zinc/82/15/70/1072821570.db2.gz VGIQLXPQUMNCNT-UHFFFAOYSA-N 0 0 432.481 -0.250 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccnn1Cc1ccccn1 ZINC000448505114 1072821557 /nfs/dbraw/zinc/82/15/57/1072821557.db2.gz MAKFNOVEFNYEFV-UHFFFAOYSA-N 0 0 435.492 -0.007 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000448505407 1072821695 /nfs/dbraw/zinc/82/16/95/1072821695.db2.gz OAEKZGRQZGGIPD-UHFFFAOYSA-N 0 0 431.493 0.530 20 0 IBADRN CCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000448505413 1072821712 /nfs/dbraw/zinc/82/17/12/1072821712.db2.gz OBYTZFWSWJCAEZ-KBPBESRZSA-N 0 0 428.515 -0.660 20 0 IBADRN CCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000448505416 1072821510 /nfs/dbraw/zinc/82/15/10/1072821510.db2.gz OBYTZFWSWJCAEZ-OKILXGFUSA-N 0 0 428.515 -0.660 20 0 IBADRN CCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000448505417 1072821764 /nfs/dbraw/zinc/82/17/64/1072821764.db2.gz OBYTZFWSWJCAEZ-ZIAGYGMSSA-N 0 0 428.515 -0.660 20 0 IBADRN CCCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000448505608 1072821200 /nfs/dbraw/zinc/82/12/00/1072821200.db2.gz PDXWCAIWJHAFRA-GASCZTMLSA-N 0 0 442.542 -0.270 20 0 IBADRN CCCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000448505611 1072821206 /nfs/dbraw/zinc/82/12/06/1072821206.db2.gz PDXWCAIWJHAFRA-GJZGRUSLSA-N 0 0 442.542 -0.270 20 0 IBADRN CCCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000448505612 1072821081 /nfs/dbraw/zinc/82/10/81/1072821081.db2.gz PDXWCAIWJHAFRA-HUUCEWRRSA-N 0 0 442.542 -0.270 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000448505636 1072821124 /nfs/dbraw/zinc/82/11/24/1072821124.db2.gz XTKLJLLHCZBBQW-CYBMUJFWSA-N 0 0 438.485 0.441 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000448505639 1072821094 /nfs/dbraw/zinc/82/10/94/1072821094.db2.gz XTKLJLLHCZBBQW-ZDUSSCGKSA-N 0 0 438.485 0.441 20 0 IBADRN O=C(NCCN1CCc2sccc2C1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448505796 1073325413 /nfs/dbraw/zinc/32/54/13/1073325413.db2.gz YLVCKDMPGWQQLQ-UHFFFAOYSA-N 0 0 432.550 0.390 20 0 IBADRN CCCCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000448506134 1073188062 /nfs/dbraw/zinc/18/80/62/1073188062.db2.gz SGTLCRTVKOBHLA-UHFFFAOYSA-N 0 0 441.558 -0.742 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)cc1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000448506173 1072821526 /nfs/dbraw/zinc/82/15/26/1072821526.db2.gz SSYWNXZCZWKJJV-KRWDZBQOSA-N 0 0 435.456 -0.151 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(F)cc1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000448506174 1072821679 /nfs/dbraw/zinc/82/16/79/1072821679.db2.gz SSYWNXZCZWKJJV-QGZVFWFLSA-N 0 0 435.456 -0.151 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)cc1 ZINC000448506351 1072821653 /nfs/dbraw/zinc/82/16/53/1072821653.db2.gz VHITYGNKIFVOIY-UHFFFAOYSA-N 0 0 444.492 -0.291 20 0 IBADRN O=C(NCCNC(=O)c1ccc(O)cc1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000448506376 1072821809 /nfs/dbraw/zinc/82/18/09/1072821809.db2.gz VPKFGFURDWZWPH-UHFFFAOYSA-N 0 0 430.465 -0.594 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccn(CC(F)(F)F)n3)CC2)nc1 ZINC000448506443 1073317881 /nfs/dbraw/zinc/31/78/81/1073317881.db2.gz WGERQKRPQXSWPJ-UHFFFAOYSA-N 0 0 425.371 0.227 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000448506548 1073325472 /nfs/dbraw/zinc/32/54/72/1073325472.db2.gz AKXCXMRELHSEAF-UHFFFAOYSA-N 0 0 426.403 0.112 20 0 IBADRN CC(C)Cn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000448506632 1072821741 /nfs/dbraw/zinc/82/17/41/1072821741.db2.gz XFDYKQORBORHIT-UHFFFAOYSA-N 0 0 441.558 -0.886 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cnn(Cc2ccncc2)c1 ZINC000448506987 1073188122 /nfs/dbraw/zinc/18/81/22/1073188122.db2.gz CXHKJBQJICSARA-UHFFFAOYSA-N 0 0 435.492 -0.007 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(C(C)(C)C)c3)CC2)CC1 ZINC000448507063 1070846187 /nfs/dbraw/zinc/84/61/87/1070846187.db2.gz ZPVYYBXKSDDHMT-UHFFFAOYSA-N 0 0 441.558 -0.787 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCCN(c2nccs2)CC1 ZINC000448507223 1072821637 /nfs/dbraw/zinc/82/16/37/1072821637.db2.gz FNDUFPROFPLHHY-UHFFFAOYSA-N 0 0 433.538 0.349 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCS(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC000448507532 1072821582 /nfs/dbraw/zinc/82/15/82/1072821582.db2.gz IRQOATVWBQEJDR-UHFFFAOYSA-N 0 0 427.870 -0.189 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000448509415 1073348131 /nfs/dbraw/zinc/34/81/31/1073348131.db2.gz DFPKKUDMNXEMTF-UHFFFAOYSA-N 0 0 444.492 -0.291 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000448510199 1072819809 /nfs/dbraw/zinc/81/98/09/1072819809.db2.gz MZSSJPWVNAWNSF-GOSISDBHSA-N 0 0 440.504 0.071 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CCN(Cc2ccccc2)C1=O ZINC000448510200 1072820014 /nfs/dbraw/zinc/82/00/14/1072820014.db2.gz MZSSJPWVNAWNSF-SFHVURJKSA-N 0 0 440.504 0.071 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)cn1 ZINC000448510241 1072820031 /nfs/dbraw/zinc/82/00/31/1072820031.db2.gz NIPBUMWCMUPDIB-KRWDZBQOSA-N 0 0 432.456 -0.104 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)cn1 ZINC000448510242 1072819835 /nfs/dbraw/zinc/81/98/35/1072819835.db2.gz NIPBUMWCMUPDIB-QGZVFWFLSA-N 0 0 432.456 -0.104 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)c1 ZINC000448510266 1072819981 /nfs/dbraw/zinc/81/99/81/1072819981.db2.gz NNKLOOWWOZTKAF-UHFFFAOYSA-N 0 0 444.492 -0.291 20 0 IBADRN O=C(NCCNC(=O)c1ccc(Cl)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448510315 1073325606 /nfs/dbraw/zinc/32/56/06/1073325606.db2.gz OCVAPKLLBOHKDY-UHFFFAOYSA-N 0 0 448.911 0.353 20 0 IBADRN O=C(NCCN1C(=O)c2ccccc2C1=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000448510878 1072819955 /nfs/dbraw/zinc/81/99/55/1072819955.db2.gz QJYYXVCCVFBVEZ-UHFFFAOYSA-N 0 0 440.460 -0.434 20 0 IBADRN O=C(NCC[C@@H]1C(=O)Nc2ccccc21)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448511562 1072820042 /nfs/dbraw/zinc/82/00/42/1072820042.db2.gz UXOUPOWMUAEQCE-INIZCTEOSA-N 0 0 426.477 0.396 20 0 IBADRN O=C(NCC[C@H]1C(=O)Nc2ccccc21)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448511563 1072819965 /nfs/dbraw/zinc/81/99/65/1072819965.db2.gz UXOUPOWMUAEQCE-MRXNPFEDSA-N 0 0 426.477 0.396 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)cn1 ZINC000448511879 1072819888 /nfs/dbraw/zinc/81/98/88/1072819888.db2.gz IUYYVFDFPWZSSU-UHFFFAOYSA-N 0 0 442.885 -0.684 20 0 IBADRN O=C(NCCCS(=O)(=O)c1ccccc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448512245 1072819845 /nfs/dbraw/zinc/81/98/45/1072819845.db2.gz YSEOTTGOZFKUMQ-UHFFFAOYSA-N 0 0 449.533 0.134 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(C(=O)C2CCCCC2)CC1 ZINC000448513408 1072819919 /nfs/dbraw/zinc/81/99/19/1072819919.db2.gz QLTQIWLEJMVBKY-UHFFFAOYSA-N 0 0 446.552 0.405 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3cccc(OC)c3)CC2)cn1 ZINC000448513781 1073196007 /nfs/dbraw/zinc/19/60/07/1073196007.db2.gz SNEGFKRSLQYYTP-UHFFFAOYSA-N 0 0 442.476 -0.510 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)cn1 ZINC000448514012 1072820005 /nfs/dbraw/zinc/82/00/05/1072820005.db2.gz UMUATVTUFVUFSI-UHFFFAOYSA-N 0 0 430.440 -0.380 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(c2ccc(Cl)cn2)CC1 ZINC000448515244 1072819942 /nfs/dbraw/zinc/81/99/42/1072819942.db2.gz PGUATJGSGOMMOX-UHFFFAOYSA-N 0 0 447.927 0.551 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448520357 1072821773 /nfs/dbraw/zinc/82/17/73/1072821773.db2.gz JIVYKUYVFBCKQD-UHFFFAOYSA-N 0 0 441.510 -0.539 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1)N1CCCCC1 ZINC000448521485 1072821543 /nfs/dbraw/zinc/82/15/43/1072821543.db2.gz OJKBOQXJWSCRNP-UHFFFAOYSA-N 0 0 438.554 -0.300 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448522085 1072822423 /nfs/dbraw/zinc/82/24/23/1072822423.db2.gz RKPGQXRJANJTGV-UHFFFAOYSA-N 0 0 433.556 -0.137 20 0 IBADRN CCN(C1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1)S(C)(=O)=O ZINC000448522115 1072822496 /nfs/dbraw/zinc/82/24/96/1072822496.db2.gz RPORQEUDMJPTAF-UHFFFAOYSA-N 0 0 433.556 -0.185 20 0 IBADRN C[C@H]1CN(C(=O)NCCNS(=O)(=O)c2cccnc2)CCN1c1nccn2cnnc12 ZINC000448522774 1072821838 /nfs/dbraw/zinc/82/18/38/1072821838.db2.gz VIIFHGYPWIEKIC-AWEZNQCLSA-N 0 0 445.509 -0.282 20 0 IBADRN C[C@@H]1CN(C(=O)NCCNS(=O)(=O)c2cccnc2)CCN1c1nccn2cnnc12 ZINC000448522775 1072821721 /nfs/dbraw/zinc/82/17/21/1072821721.db2.gz VIIFHGYPWIEKIC-CQSZACIVSA-N 0 0 445.509 -0.282 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448523018 1072822391 /nfs/dbraw/zinc/82/23/91/1072822391.db2.gz WNCLUFKHCYGTNU-INIZCTEOSA-N 0 0 438.554 -0.302 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448523019 1072822257 /nfs/dbraw/zinc/82/22/57/1072822257.db2.gz WNCLUFKHCYGTNU-MRXNPFEDSA-N 0 0 438.554 -0.302 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCNS(=O)(=O)c3cccnc3)CC2)cc1 ZINC000448523554 1073200885 /nfs/dbraw/zinc/20/08/85/1073200885.db2.gz ZDRHYAQJNSNJKN-UHFFFAOYSA-N 0 0 432.506 -0.009 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448523726 1072822214 /nfs/dbraw/zinc/82/22/14/1072822214.db2.gz ZGLPIUNCCMYRLM-UHFFFAOYSA-N 0 0 445.509 -0.432 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448523903 1072822482 /nfs/dbraw/zinc/82/24/82/1072822482.db2.gz BXSANNUHIQQUFB-UHFFFAOYSA-N 0 0 427.527 -0.066 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448523959 1072822104 /nfs/dbraw/zinc/82/21/04/1072822104.db2.gz CKWXQIMKONVKRK-AWEZNQCLSA-N 0 0 433.556 -0.137 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000448523960 1072822506 /nfs/dbraw/zinc/82/25/06/1072822506.db2.gz CKWXQIMKONVKRK-CQSZACIVSA-N 0 0 433.556 -0.137 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000448524129 1072822279 /nfs/dbraw/zinc/82/22/79/1072822279.db2.gz DZRGQHNECIRNDM-CYBMUJFWSA-N 0 0 438.462 -0.172 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000448524130 1072822407 /nfs/dbraw/zinc/82/24/07/1072822407.db2.gz DZRGQHNECIRNDM-ZDUSSCGKSA-N 0 0 438.462 -0.172 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)NCCNS(=O)(=O)c2cccnc2)C1 ZINC000448524131 1073201346 /nfs/dbraw/zinc/20/13/46/1073201346.db2.gz FAFFFSARUZOSCX-CABCVRRESA-N 0 0 427.527 -0.067 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)NCCNS(=O)(=O)c2cccnc2)C1 ZINC000448524132 1073201460 /nfs/dbraw/zinc/20/14/60/1073201460.db2.gz FAFFFSARUZOSCX-GJZGRUSLSA-N 0 0 427.527 -0.067 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)NCCNS(=O)(=O)c2cccnc2)C1 ZINC000448524133 1072822163 /nfs/dbraw/zinc/82/21/63/1072822163.db2.gz FAFFFSARUZOSCX-HUUCEWRRSA-N 0 0 427.527 -0.067 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)NCCNS(=O)(=O)c2cccnc2)C1 ZINC000448524134 1072822340 /nfs/dbraw/zinc/82/23/40/1072822340.db2.gz FAFFFSARUZOSCX-LSDHHAIUSA-N 0 0 427.527 -0.067 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448524157 1072822374 /nfs/dbraw/zinc/82/23/74/1072822374.db2.gz FEVUBRKGENWACR-UHFFFAOYSA-N 0 0 449.555 -0.947 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000448525051 1073202411 /nfs/dbraw/zinc/20/24/11/1073202411.db2.gz NEICGJWOPJFZCH-UHFFFAOYSA-N 0 0 433.494 -0.614 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000448525771 1072822357 /nfs/dbraw/zinc/82/23/57/1072822357.db2.gz URSZIBOTPXRZFU-HNNXBMFYSA-N 0 0 431.540 -0.431 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000448525772 1073203401 /nfs/dbraw/zinc/20/34/01/1073203401.db2.gz URSZIBOTPXRZFU-OAHLLOKOSA-N 0 0 431.540 -0.431 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@H](C)O1 ZINC000448528227 1072822118 /nfs/dbraw/zinc/82/21/18/1072822118.db2.gz GZFPEHXEQUMDFD-CALCHBBNSA-N 0 0 436.557 0.158 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@@H](C)O1 ZINC000448528228 1072822293 /nfs/dbraw/zinc/82/22/93/1072822293.db2.gz GZFPEHXEQUMDFD-IAGOWNOFSA-N 0 0 436.557 0.158 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@H](C)O1 ZINC000448528229 1072822191 /nfs/dbraw/zinc/82/21/91/1072822191.db2.gz GZFPEHXEQUMDFD-IRXDYDNUSA-N 0 0 436.557 0.158 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCCCC1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448528421 1073323936 /nfs/dbraw/zinc/32/39/36/1073323936.db2.gz MZRRMZOFRQHGHS-UHFFFAOYSA-N 0 0 448.568 0.305 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1OC ZINC000448528497 1073343842 /nfs/dbraw/zinc/34/38/42/1073343842.db2.gz IMDLKOWZXPGAAZ-UHFFFAOYSA-N 0 0 431.493 0.530 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](c2ccc(OC)cc2)N2CCOCC2)cn1 ZINC000448529758 1073211015 /nfs/dbraw/zinc/21/10/15/1073211015.db2.gz SUUWBPUTJYHURY-GOSISDBHSA-N 0 0 444.492 -0.234 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](c2ccc(OC)cc2)N2CCOCC2)cn1 ZINC000448529761 1073211049 /nfs/dbraw/zinc/21/10/49/1073211049.db2.gz SUUWBPUTJYHURY-SFHVURJKSA-N 0 0 444.492 -0.234 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)N1CCOCC1 ZINC000448530896 1073316907 /nfs/dbraw/zinc/31/69/07/1073316907.db2.gz GNYWZBSDRJBHOD-GOSISDBHSA-N 0 0 436.557 0.017 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)N1CCOCC1 ZINC000448530905 1073316887 /nfs/dbraw/zinc/31/68/87/1073316887.db2.gz GNYWZBSDRJBHOD-SFHVURJKSA-N 0 0 436.557 0.017 20 0 IBADRN O=C(NCc1ccnc(N2CCCC2)c1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000448532088 1072822963 /nfs/dbraw/zinc/82/29/63/1072822963.db2.gz XDQYKWQHTYEXRD-UHFFFAOYSA-N 0 0 427.509 0.465 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000448532689 1073324721 /nfs/dbraw/zinc/32/47/21/1073324721.db2.gz OFWZMNMVBCSPRA-UHFFFAOYSA-N 0 0 440.430 0.502 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)cn1 ZINC000448532987 1072823111 /nfs/dbraw/zinc/82/31/11/1072823111.db2.gz RCHPFONOUSVMCZ-KRWDZBQOSA-N 0 0 432.456 -0.104 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)cn1 ZINC000448532988 1072822862 /nfs/dbraw/zinc/82/28/62/1072822862.db2.gz RCHPFONOUSVMCZ-QGZVFWFLSA-N 0 0 432.456 -0.104 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1F ZINC000448535148 1072823124 /nfs/dbraw/zinc/82/31/24/1072823124.db2.gz QDRPKAOXSYKVOO-UHFFFAOYSA-N 0 0 446.483 0.148 20 0 IBADRN O=C(CCC(=O)N1CCN2C(=O)N=NC2C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000460100620 1072980294 /nfs/dbraw/zinc/98/02/94/1072980294.db2.gz CBMBPZSJCBPKOS-UHFFFAOYSA-N 0 0 448.505 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN3C(=O)N=NC3C2)c1 ZINC000460100732 1072979912 /nfs/dbraw/zinc/97/99/12/1072979912.db2.gz CRSNJNNICCUSPI-UHFFFAOYSA-N 0 0 436.494 -0.273 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000460101588 1072980306 /nfs/dbraw/zinc/98/03/06/1072980306.db2.gz DWSRBUSMSBCFSP-IBGZPJMESA-N 0 0 443.522 -0.183 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000460101598 1072980222 /nfs/dbraw/zinc/98/02/22/1072980222.db2.gz DWSRBUSMSBCFSP-LJQANCHMSA-N 0 0 443.522 -0.183 20 0 IBADRN Cn1cc(NC(=O)c2ccc(C(=O)Nc3cn(C)c(=O)n(C)c3=O)s2)c(=O)n(C)c1=O ZINC000460101702 1072980100 /nfs/dbraw/zinc/98/01/00/1072980100.db2.gz FHJNMOQXBJSWMV-UHFFFAOYSA-N 0 0 446.445 -0.953 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000460103272 1072980117 /nfs/dbraw/zinc/98/01/17/1072980117.db2.gz KFULTQLYPCPYHA-GOSISDBHSA-N 0 0 426.495 -0.204 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000460103273 1072980169 /nfs/dbraw/zinc/98/01/69/1072980169.db2.gz KFULTQLYPCPYHA-SFHVURJKSA-N 0 0 426.495 -0.204 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000460104135 1072980156 /nfs/dbraw/zinc/98/01/56/1072980156.db2.gz GRVIZJULQGCJEH-UHFFFAOYSA-N 0 0 427.527 -0.051 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000460107290 1072980928 /nfs/dbraw/zinc/98/09/28/1072980928.db2.gz ICYBMJDXWYVZRC-HNNXBMFYSA-N 0 0 447.535 -0.279 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000460107291 1072980588 /nfs/dbraw/zinc/98/05/88/1072980588.db2.gz ICYBMJDXWYVZRC-OAHLLOKOSA-N 0 0 447.535 -0.279 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000460108559 1072980919 /nfs/dbraw/zinc/98/09/19/1072980919.db2.gz DPSLKZSSJBUFHQ-GOSISDBHSA-N 0 0 430.479 -0.240 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000460108568 1072980731 /nfs/dbraw/zinc/98/07/31/1072980731.db2.gz DPSLKZSSJBUFHQ-SFHVURJKSA-N 0 0 430.479 -0.240 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000460111284 1073527662 /nfs/dbraw/zinc/52/76/62/1073527662.db2.gz HZXCBMXDBASXTJ-GOSISDBHSA-N 0 0 430.479 -0.240 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000460111287 1073527530 /nfs/dbraw/zinc/52/75/30/1073527530.db2.gz HZXCBMXDBASXTJ-SFHVURJKSA-N 0 0 430.479 -0.240 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000460116469 1072980838 /nfs/dbraw/zinc/98/08/38/1072980838.db2.gz JWPAYOFUGBTSOG-GOSISDBHSA-N 0 0 429.495 -0.573 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000460116477 1072981362 /nfs/dbraw/zinc/98/13/62/1072981362.db2.gz JWPAYOFUGBTSOG-SFHVURJKSA-N 0 0 429.495 -0.573 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NC[C@](C)(O)C(=O)OC)CC1 ZINC000460117322 1072981797 /nfs/dbraw/zinc/98/17/97/1072981797.db2.gz RISLNNBUIWJECT-IBGZPJMESA-N 0 0 442.490 -0.086 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NC[C@@](C)(O)C(=O)OC)CC1 ZINC000460117323 1072982022 /nfs/dbraw/zinc/98/20/22/1072982022.db2.gz RISLNNBUIWJECT-LJQANCHMSA-N 0 0 442.490 -0.086 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)c3cccc(S(=O)(=O)NC[C@@H]4CCCO4)c3)C2)nn1 ZINC000460120372 1072981183 /nfs/dbraw/zinc/98/11/83/1072981183.db2.gz ZAAPIUNFLHLWQH-AWEZNQCLSA-N 0 0 434.478 -0.469 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)c3cccc(S(=O)(=O)NC[C@H]4CCCO4)c3)C2)nn1 ZINC000460120373 1072981452 /nfs/dbraw/zinc/98/14/52/1072981452.db2.gz ZAAPIUNFLHLWQH-CQSZACIVSA-N 0 0 434.478 -0.469 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000460122035 1072981274 /nfs/dbraw/zinc/98/12/74/1072981274.db2.gz WLMRHLGYHKMHBS-IBGZPJMESA-N 0 0 441.506 -0.660 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000460122036 1072981166 /nfs/dbraw/zinc/98/11/66/1072981166.db2.gz WLMRHLGYHKMHBS-LJQANCHMSA-N 0 0 441.506 -0.660 20 0 IBADRN CN(C)c1noc(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000460124837 1072981461 /nfs/dbraw/zinc/98/14/61/1072981461.db2.gz MNXNJCUKOKLHSR-UHFFFAOYSA-N 0 0 438.514 -0.518 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000460128250 1073530949 /nfs/dbraw/zinc/53/09/49/1073530949.db2.gz KCLIZXNABCTFQQ-INIZCTEOSA-N 0 0 433.490 -0.183 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000460128251 1072981348 /nfs/dbraw/zinc/98/13/48/1072981348.db2.gz KCLIZXNABCTFQQ-MRXNPFEDSA-N 0 0 433.490 -0.183 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1S(N)(=O)=O ZINC000460130641 1072982068 /nfs/dbraw/zinc/98/20/68/1072982068.db2.gz WNVUJWDUVXPHPY-UHFFFAOYSA-N 0 0 448.505 -0.132 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000460130995 1072981756 /nfs/dbraw/zinc/98/17/56/1072981756.db2.gz ZEYZDECSAVYPFR-LSDHHAIUSA-N 0 0 445.567 -0.734 20 0 IBADRN COCCOCCN(C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000460131024 1072981866 /nfs/dbraw/zinc/98/18/66/1072981866.db2.gz ZJNIBEUXIGTYHE-UHFFFAOYSA-N 0 0 429.543 -0.162 20 0 IBADRN COc1cc(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1OCC(N)=O ZINC000460133607 1072981986 /nfs/dbraw/zinc/98/19/86/1072981986.db2.gz HFYCOQYCPCSYMH-UHFFFAOYSA-N 0 0 443.526 -0.417 20 0 IBADRN CN(C)c1noc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000460136088 1072981977 /nfs/dbraw/zinc/98/19/77/1072981977.db2.gz XANIPTRYUOCNAC-UHFFFAOYSA-N 0 0 427.443 -0.956 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000460142162 1073535270 /nfs/dbraw/zinc/53/52/70/1073535270.db2.gz YBZPRHCKPMQOST-UHFFFAOYSA-N 0 0 447.583 -0.370 20 0 IBADRN Cn1cc(NC(=O)CCCCCC(=O)Nc2cn(C)c(=O)n(C)c2=O)c(=O)n(C)c1=O ZINC000460144023 1072982283 /nfs/dbraw/zinc/98/22/83/1072982283.db2.gz SRQPWMUMEJJPAB-UHFFFAOYSA-N 0 0 434.453 -0.991 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000460148993 1072982426 /nfs/dbraw/zinc/98/24/26/1072982426.db2.gz HMGAGEJDFOGKAG-UHFFFAOYSA-N 0 0 433.552 -0.050 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1=O ZINC000460180341 1073545736 /nfs/dbraw/zinc/54/57/36/1073545736.db2.gz JBDLSPORIWOGPD-UHFFFAOYSA-N 0 0 428.398 -0.794 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000460188390 1072984778 /nfs/dbraw/zinc/98/47/78/1072984778.db2.gz IHPHDNBGTYONQU-UHFFFAOYSA-N 0 0 435.499 -0.485 20 0 IBADRN CCN(C(=O)CN1CCN(C)C(=O)C1)c1ccc(NC(=O)CN2CCN(C)C(=O)C2)cc1 ZINC000460207267 1072984695 /nfs/dbraw/zinc/98/46/95/1072984695.db2.gz STDZDNKHBMOBMS-UHFFFAOYSA-N 0 0 444.536 -0.474 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000460219979 1072985731 /nfs/dbraw/zinc/98/57/31/1072985731.db2.gz FSMSOGZTBJJRMQ-INIZCTEOSA-N 0 0 445.528 -0.245 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000460219988 1072985625 /nfs/dbraw/zinc/98/56/25/1072985625.db2.gz FSMSOGZTBJJRMQ-MRXNPFEDSA-N 0 0 445.528 -0.245 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000460243788 1072986902 /nfs/dbraw/zinc/98/69/02/1072986902.db2.gz ACCZSTNNJNOIMB-UHFFFAOYSA-N 0 0 441.558 -0.554 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000460263814 1072987440 /nfs/dbraw/zinc/98/74/40/1072987440.db2.gz NVGQPXXVMKKFEN-UHFFFAOYSA-N 0 0 448.567 -0.007 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)NCCNS(=O)(=O)c3cccnc3)C2)nn1 ZINC000460268041 1072987350 /nfs/dbraw/zinc/98/73/50/1072987350.db2.gz GTMWAZMEENQAKB-CYBMUJFWSA-N 0 0 437.482 -0.215 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)NCCNS(=O)(=O)c3cccnc3)C2)nn1 ZINC000460268043 1072987384 /nfs/dbraw/zinc/98/73/84/1072987384.db2.gz GTMWAZMEENQAKB-ZDUSSCGKSA-N 0 0 437.482 -0.215 20 0 IBADRN CN1CCN(CC(=O)N2CCC(C(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)CC1=O ZINC000460296518 1072990823 /nfs/dbraw/zinc/99/08/23/1072990823.db2.gz AFFQRURCOPIJRE-UHFFFAOYSA-N 0 0 437.522 -0.715 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000460325888 1073000138 /nfs/dbraw/zinc/00/01/38/1073000138.db2.gz AZKXCRSMBMFFHG-UHFFFAOYSA-N 0 0 436.494 -0.052 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H](CO)C(=O)OC)CC2)o1 ZINC000460326132 1073000507 /nfs/dbraw/zinc/00/05/07/1073000507.db2.gz RQCOTDMGVRBZCJ-GFCCVEGCSA-N 0 0 432.451 -0.493 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](CO)C(=O)OC)CC2)o1 ZINC000460326140 1073000421 /nfs/dbraw/zinc/00/04/21/1073000421.db2.gz RQCOTDMGVRBZCJ-LBPRGKRZSA-N 0 0 432.451 -0.493 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)Nc2ncn(CC(=O)N(C)C)n2)cc1 ZINC000460326519 1073000369 /nfs/dbraw/zinc/00/03/69/1073000369.db2.gz SKGPHUOZOPMHEX-UHFFFAOYSA-N 0 0 437.482 -0.416 20 0 IBADRN O=C(N[C@H](CO)Cc1ccc(O)cc1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000460327256 1073000407 /nfs/dbraw/zinc/00/04/07/1073000407.db2.gz FMZNHRWJZHPBFT-INIZCTEOSA-N 0 0 433.486 -0.154 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)Nc3ncn(CC(=O)N(C)C)n3)n(C)c2)C1 ZINC000460327410 1073354470 /nfs/dbraw/zinc/35/44/70/1073354470.db2.gz ADBBFKCRKOUOKC-CYBMUJFWSA-N 0 0 437.526 0.378 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)Nc3ncn(CC(=O)N(C)C)n3)n(C)c2)C1 ZINC000460327412 1073312884 /nfs/dbraw/zinc/31/28/84/1073312884.db2.gz ADBBFKCRKOUOKC-ZDUSSCGKSA-N 0 0 437.526 0.378 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@H]1CN1CCOCC1 ZINC000460331108 1073000891 /nfs/dbraw/zinc/00/08/91/1073000891.db2.gz WGDCLFUUZZEABB-SFHVURJKSA-N 0 0 445.586 -0.466 20 0 IBADRN C[C@@H]1[C@H](N2CCOCC2)CCN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000460331487 1073000796 /nfs/dbraw/zinc/00/07/96/1073000796.db2.gz XJHIDMJXGWHFFK-SJLPKXTDSA-N 0 0 445.586 -0.468 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)Nc2ncn(CC(=O)N(C)C)n2)c1 ZINC000460335962 1073000922 /nfs/dbraw/zinc/00/09/22/1073000922.db2.gz RLGATERMVBGUKP-UHFFFAOYSA-N 0 0 439.498 -0.803 20 0 IBADRN Cc1ccc(C(=O)Nc2ncn(CC(=O)N(C)C)n2)cc1S(=O)(=O)N1CCOCC1 ZINC000460338671 1073001237 /nfs/dbraw/zinc/00/12/37/1073001237.db2.gz KZKYMAVNSKEZHS-UHFFFAOYSA-N 0 0 436.494 -0.052 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)c1 ZINC000460344444 1073002273 /nfs/dbraw/zinc/00/22/73/1073002273.db2.gz BDQZJRFZXTUXBU-UHFFFAOYSA-N 0 0 449.537 -0.235 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000460349201 1073001832 /nfs/dbraw/zinc/00/18/32/1073001832.db2.gz QLVQKQALWHGLGX-GOEBONIOSA-N 0 0 444.579 -0.145 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)nn1 ZINC000460353840 1073002175 /nfs/dbraw/zinc/00/21/75/1073002175.db2.gz QYRJHPLYSISWJR-JXXFODFXSA-N 0 0 443.464 -0.082 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)nn1 ZINC000460353841 1073002417 /nfs/dbraw/zinc/00/24/17/1073002417.db2.gz QYRJHPLYSISWJR-QINHECLXSA-N 0 0 443.464 -0.082 20 0 IBADRN CCn1c(=O)c(C(=O)CN[C@@H](CO)c2cnn(C)c2)c(N)n(Cc2ccccc2)c1=O ZINC000460356530 1073002309 /nfs/dbraw/zinc/00/23/09/1073002309.db2.gz BINQVBJZYOSEKY-INIZCTEOSA-N 0 0 426.477 -0.100 20 0 IBADRN CCn1c(=O)c(C(=O)CN[C@H](CO)c2cnn(C)c2)c(N)n(Cc2ccccc2)c1=O ZINC000460356531 1073002250 /nfs/dbraw/zinc/00/22/50/1073002250.db2.gz BINQVBJZYOSEKY-MRXNPFEDSA-N 0 0 426.477 -0.100 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C)CC2 ZINC000460366002 1073003776 /nfs/dbraw/zinc/00/37/76/1073003776.db2.gz XFFOLLBGBMAEKK-KBPBESRZSA-N 0 0 429.547 -0.301 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C)CC2 ZINC000460366003 1073003709 /nfs/dbraw/zinc/00/37/09/1073003709.db2.gz XFFOLLBGBMAEKK-KGLIPLIRSA-N 0 0 429.547 -0.301 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C)CC2 ZINC000460366004 1073003629 /nfs/dbraw/zinc/00/36/29/1073003629.db2.gz XFFOLLBGBMAEKK-UONOGXRCSA-N 0 0 429.547 -0.301 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C)CC2 ZINC000460366005 1073003767 /nfs/dbraw/zinc/00/37/67/1073003767.db2.gz XFFOLLBGBMAEKK-ZIAGYGMSSA-N 0 0 429.547 -0.301 20 0 IBADRN Cn1cc([C@H](CO)NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)cn1 ZINC000460367092 1073003604 /nfs/dbraw/zinc/00/36/04/1073003604.db2.gz UTQFJBDADALKHJ-KRWDZBQOSA-N 0 0 425.486 -0.285 20 0 IBADRN Cn1cc([C@@H](CO)NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)cn1 ZINC000460367093 1073003729 /nfs/dbraw/zinc/00/37/29/1073003729.db2.gz UTQFJBDADALKHJ-QGZVFWFLSA-N 0 0 425.486 -0.285 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(C)nn1-c1nc(C)cc(C)n1 ZINC000460374312 1073003716 /nfs/dbraw/zinc/00/37/16/1073003716.db2.gz HIMSWRXJCKFNTK-AWEZNQCLSA-N 0 0 436.494 -0.202 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(C)nn1-c1nc(C)cc(C)n1 ZINC000460374313 1073003722 /nfs/dbraw/zinc/00/37/22/1073003722.db2.gz HIMSWRXJCKFNTK-CQSZACIVSA-N 0 0 436.494 -0.202 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000460374820 1073003635 /nfs/dbraw/zinc/00/36/35/1073003635.db2.gz IYRGNRQRYBTCNT-AWEZNQCLSA-N 0 0 433.508 -0.839 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000460374821 1073004083 /nfs/dbraw/zinc/00/40/83/1073004083.db2.gz IYRGNRQRYBTCNT-CQSZACIVSA-N 0 0 433.508 -0.839 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000460375875 1073004093 /nfs/dbraw/zinc/00/40/93/1073004093.db2.gz MUTXEZVBDFSHLH-AWEZNQCLSA-N 0 0 447.535 -0.544 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000460375876 1073004075 /nfs/dbraw/zinc/00/40/75/1073004075.db2.gz MUTXEZVBDFSHLH-CQSZACIVSA-N 0 0 447.535 -0.544 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCS(=O)(=O)C[C@H]2C(=O)OC)cc(C(=O)OC)c1 ZINC000460377991 1073004105 /nfs/dbraw/zinc/00/41/05/1073004105.db2.gz VNAHRLIVVUIJQW-AWEZNQCLSA-N 0 0 442.446 -0.530 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCS(=O)(=O)C[C@@H]2C(=O)OC)cc(C(=O)OC)c1 ZINC000460377992 1073004010 /nfs/dbraw/zinc/00/40/10/1073004010.db2.gz VNAHRLIVVUIJQW-CQSZACIVSA-N 0 0 442.446 -0.530 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000460379340 1073003999 /nfs/dbraw/zinc/00/39/99/1073003999.db2.gz HFNSYNJIYYOHIO-AWEZNQCLSA-N 0 0 427.479 -0.670 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)Nc1cc(C)ccc1OC ZINC000460379341 1073004165 /nfs/dbraw/zinc/00/41/65/1073004165.db2.gz HFNSYNJIYYOHIO-CQSZACIVSA-N 0 0 427.479 -0.670 20 0 IBADRN CCCCn1c2nc(CN3CCS(=O)(=O)C[C@@H]3C(=O)OC)n(C)c2c(=O)[nH]c1=O ZINC000460379763 1073004140 /nfs/dbraw/zinc/00/41/40/1073004140.db2.gz JUIRRCADTZMLBK-LLVKDONJSA-N 0 0 427.483 -0.592 20 0 IBADRN CCCCn1c2nc(CN3CCS(=O)(=O)C[C@H]3C(=O)OC)n(C)c2c(=O)[nH]c1=O ZINC000460379772 1073004548 /nfs/dbraw/zinc/00/45/48/1073004548.db2.gz JUIRRCADTZMLBK-NSHDSACASA-N 0 0 427.483 -0.592 20 0 IBADRN COc1ccc(CNC(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cc1S(N)(=O)=O ZINC000460383603 1073004478 /nfs/dbraw/zinc/00/44/78/1073004478.db2.gz AGOSAWHPHMRYGW-CYBMUJFWSA-N 0 0 436.494 -0.011 20 0 IBADRN COc1ccc(CNC(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cc1S(N)(=O)=O ZINC000460383604 1073004443 /nfs/dbraw/zinc/00/44/43/1073004443.db2.gz AGOSAWHPHMRYGW-ZDUSSCGKSA-N 0 0 436.494 -0.011 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000460384296 1073004405 /nfs/dbraw/zinc/00/44/05/1073004405.db2.gz BORHCVSLKCXXIK-HNNXBMFYSA-N 0 0 425.507 -0.061 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000460384301 1073004390 /nfs/dbraw/zinc/00/43/90/1073004390.db2.gz BORHCVSLKCXXIK-OAHLLOKOSA-N 0 0 425.507 -0.061 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000460387615 1073004508 /nfs/dbraw/zinc/00/45/08/1073004508.db2.gz OBVVVGLDGCLVEV-CABCVRRESA-N 0 0 436.552 -0.783 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000460387618 1073004463 /nfs/dbraw/zinc/00/44/63/1073004463.db2.gz OBVVVGLDGCLVEV-GJZGRUSLSA-N 0 0 436.552 -0.783 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000460387692 1073004498 /nfs/dbraw/zinc/00/44/98/1073004498.db2.gz OBVVVGLDGCLVEV-HUUCEWRRSA-N 0 0 436.552 -0.783 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000460387695 1073004590 /nfs/dbraw/zinc/00/45/90/1073004590.db2.gz OBVVVGLDGCLVEV-LSDHHAIUSA-N 0 0 436.552 -0.783 20 0 IBADRN COC(=O)c1sc2[nH]c(CN3CCS(=O)(=O)C[C@@H]3C(=O)OC)nc(=O)c2c1C ZINC000460389417 1073004419 /nfs/dbraw/zinc/00/44/19/1073004419.db2.gz CSEVASHXZWFRIM-SECBINFHSA-N 0 0 429.476 -0.148 20 0 IBADRN COC(=O)c1sc2[nH]c(CN3CCS(=O)(=O)C[C@H]3C(=O)OC)nc(=O)c2c1C ZINC000460389418 1073004573 /nfs/dbraw/zinc/00/45/73/1073004573.db2.gz CSEVASHXZWFRIM-VIFPVBQESA-N 0 0 429.476 -0.148 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000460389547 1073004487 /nfs/dbraw/zinc/00/44/87/1073004487.db2.gz SYCRQIPDDGMFJW-HNNXBMFYSA-N 0 0 426.491 -0.140 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000460389556 1073004610 /nfs/dbraw/zinc/00/46/10/1073004610.db2.gz SYCRQIPDDGMFJW-OAHLLOKOSA-N 0 0 426.491 -0.140 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3C(=O)N=NC3C2)c(N)n(Cc2ccccc2)c1=O ZINC000460390196 1073004949 /nfs/dbraw/zinc/00/49/49/1073004949.db2.gz VGRBJGGFFPWNHT-UHFFFAOYSA-N 0 0 425.449 -0.344 20 0 IBADRN CCN(C(=O)CN1CCN2C(=O)N=NC2C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000460393016 1073004921 /nfs/dbraw/zinc/00/49/21/1073004921.db2.gz MDVZGPSGXONRGU-UHFFFAOYSA-N 0 0 440.464 -0.255 20 0 IBADRN COc1ccc(CNC(=O)NCCNS(=O)(=O)c2cccnc2)cc1S(N)(=O)=O ZINC000460393846 1073004994 /nfs/dbraw/zinc/00/49/94/1073004994.db2.gz KMVDDVUCOAIVHW-UHFFFAOYSA-N 0 0 443.507 -0.485 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N(CCC(N)=O)c1ccccc1OC ZINC000460393946 1073004841 /nfs/dbraw/zinc/00/48/41/1073004841.db2.gz PYLSOVIQZAAWSW-AWEZNQCLSA-N 0 0 427.479 -0.824 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N(CCC(N)=O)c1ccccc1OC ZINC000460393947 1073004869 /nfs/dbraw/zinc/00/48/69/1073004869.db2.gz PYLSOVIQZAAWSW-CQSZACIVSA-N 0 0 427.479 -0.824 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000460395711 1073004823 /nfs/dbraw/zinc/00/48/23/1073004823.db2.gz XRWYTOKLKYYDCY-AWEZNQCLSA-N 0 0 427.531 -0.642 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000460395712 1073004984 /nfs/dbraw/zinc/00/49/84/1073004984.db2.gz XRWYTOKLKYYDCY-CQSZACIVSA-N 0 0 427.531 -0.642 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3C(=O)N=NC3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000460405907 1071332693 /nfs/dbraw/zinc/33/26/93/1071332693.db2.gz XRJZZWXEOWCIDD-UHFFFAOYSA-N 0 0 436.494 -0.233 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)C2=O)n1 ZINC000460418620 1073006629 /nfs/dbraw/zinc/00/66/29/1073006629.db2.gz AAXQKILRQZPTIX-DLBZAZTESA-N 0 0 432.525 -0.255 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)C2=O)n1 ZINC000460418621 1073006762 /nfs/dbraw/zinc/00/67/62/1073006762.db2.gz AAXQKILRQZPTIX-IAGOWNOFSA-N 0 0 432.525 -0.255 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)C2=O)n1 ZINC000460418622 1073007200 /nfs/dbraw/zinc/00/72/00/1073007200.db2.gz AAXQKILRQZPTIX-IRXDYDNUSA-N 0 0 432.525 -0.255 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)C2=O)n1 ZINC000460418623 1073007150 /nfs/dbraw/zinc/00/71/50/1073007150.db2.gz AAXQKILRQZPTIX-SJORKVTESA-N 0 0 432.525 -0.255 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)C2=O)n1 ZINC000460442568 1073018677 /nfs/dbraw/zinc/01/86/77/1073018677.db2.gz JXUFCQCTYAJTDW-GFCCVEGCSA-N 0 0 442.461 -0.243 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)C2=O)n1 ZINC000460442573 1073018587 /nfs/dbraw/zinc/01/85/87/1073018587.db2.gz JXUFCQCTYAJTDW-LBPRGKRZSA-N 0 0 442.461 -0.243 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000460454056 1073021446 /nfs/dbraw/zinc/02/14/46/1073021446.db2.gz KRTHOMAKYLIZLD-GFCCVEGCSA-N 0 0 425.449 -0.400 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000460454057 1073021294 /nfs/dbraw/zinc/02/12/94/1073021294.db2.gz KRTHOMAKYLIZLD-LBPRGKRZSA-N 0 0 425.449 -0.400 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1)NC1CC1 ZINC000460461124 1073025449 /nfs/dbraw/zinc/02/54/49/1073025449.db2.gz KSQBBMOHTITRHM-UHFFFAOYSA-N 0 0 434.518 -0.101 20 0 IBADRN CC(C)(CN(C(=O)CCN1C(=O)CCC1=O)C1CC1)NC(=O)CCN1C(=O)CCC1=O ZINC000460463577 1073025406 /nfs/dbraw/zinc/02/54/06/1073025406.db2.gz UGWCGSAVRGWNSG-UHFFFAOYSA-N 0 0 434.493 -0.050 20 0 IBADRN O=C(Cn1nccc1C(=O)NCCO)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000460464924 1073025436 /nfs/dbraw/zinc/02/54/36/1073025436.db2.gz CBJMMNZVEWMWAE-UHFFFAOYSA-N 0 0 437.478 -0.735 20 0 IBADRN O=C1NCCc2ccc(S(=O)(=O)N3CCC(N4CCS(=O)(=O)CC4)CC3)cc21 ZINC000460466221 1073025774 /nfs/dbraw/zinc/02/57/74/1073025774.db2.gz IBSJMHVMDMNHDZ-UHFFFAOYSA-N 0 0 427.548 -0.144 20 0 IBADRN O=S(=O)(c1cccc(-n2cnnn2)c1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000460474266 1073033702 /nfs/dbraw/zinc/03/37/02/1073033702.db2.gz FOZADFDWDSKLTI-UHFFFAOYSA-N 0 0 426.524 -0.454 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCC(N4CCS(=O)(=O)CC4)CC3)cc2c(=O)[nH]1 ZINC000460477260 1073035644 /nfs/dbraw/zinc/03/56/44/1073035644.db2.gz FEGLMWQYKXUBIY-UHFFFAOYSA-N 0 0 442.519 -0.076 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1 ZINC000460479239 1073036176 /nfs/dbraw/zinc/03/61/76/1073036176.db2.gz AKIRAUNLXQGRKM-GFCCVEGCSA-N 0 0 449.459 -0.780 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)cc1 ZINC000460480248 1073036162 /nfs/dbraw/zinc/03/61/62/1073036162.db2.gz MUOWYXXXGBBIFG-CYBMUJFWSA-N 0 0 425.506 -0.559 20 0 IBADRN O=C1N=NC2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000460480911 1073036110 /nfs/dbraw/zinc/03/61/10/1073036110.db2.gz PYNWRUFSMGECGC-UHFFFAOYSA-N 0 0 429.480 -0.791 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)cc1 ZINC000460481665 1073036274 /nfs/dbraw/zinc/03/62/74/1073036274.db2.gz YVYUVSBRNKPTIC-AWEZNQCLSA-N 0 0 434.492 -0.977 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)cc1 ZINC000460481666 1073036095 /nfs/dbraw/zinc/03/60/95/1073036095.db2.gz YVYUVSBRNKPTIC-CQSZACIVSA-N 0 0 434.492 -0.977 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C ZINC000460482060 1073036076 /nfs/dbraw/zinc/03/60/76/1073036076.db2.gz XXVMLVLARDAFOB-GFCCVEGCSA-N 0 0 425.506 -0.641 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000460484453 1073037433 /nfs/dbraw/zinc/03/74/33/1073037433.db2.gz FCOPTQVUFQCJFT-UHFFFAOYSA-N 0 0 437.588 -0.533 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1 ZINC000460484896 1073037047 /nfs/dbraw/zinc/03/70/47/1073037047.db2.gz ZGRDIIQFDXEBFA-CYBMUJFWSA-N 0 0 449.459 -0.780 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000460484962 1071335222 /nfs/dbraw/zinc/33/52/22/1071335222.db2.gz GHCDXFSASQGJRM-GOSISDBHSA-N 0 0 430.479 -0.053 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000460484964 1071335442 /nfs/dbraw/zinc/33/54/42/1071335442.db2.gz GHCDXFSASQGJRM-SFHVURJKSA-N 0 0 430.479 -0.053 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)C[C@@H](C)N2C(C)=O ZINC000460485650 1073039852 /nfs/dbraw/zinc/03/98/52/1073039852.db2.gz DRSUFBHHZNQLLA-BDJLRTHQSA-N 0 0 430.504 -0.055 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)C[C@H](C)N2C(C)=O ZINC000460485651 1073040033 /nfs/dbraw/zinc/04/00/33/1073040033.db2.gz DRSUFBHHZNQLLA-MEDUHNTESA-N 0 0 430.504 -0.055 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)c1cccc(Br)c1 ZINC000460486403 1073042986 /nfs/dbraw/zinc/04/29/86/1073042986.db2.gz CFBNZJYKFPGCML-GFCCVEGCSA-N 0 0 433.280 -0.023 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)c1cccc(Br)c1 ZINC000460486404 1073042907 /nfs/dbraw/zinc/04/29/07/1073042907.db2.gz CFBNZJYKFPGCML-LBPRGKRZSA-N 0 0 433.280 -0.023 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC(C)C)c1 ZINC000460486893 1073039936 /nfs/dbraw/zinc/03/99/36/1073039936.db2.gz DOTLNGVAZOYCHK-CYBMUJFWSA-N 0 0 448.519 -0.206 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC(C)C)c1 ZINC000460486900 1073039820 /nfs/dbraw/zinc/03/98/20/1073039820.db2.gz DOTLNGVAZOYCHK-ZDUSSCGKSA-N 0 0 448.519 -0.206 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(Cl)c(OCC(N)=O)c(OC)c1 ZINC000460488765 1073044800 /nfs/dbraw/zinc/04/48/00/1073044800.db2.gz ILSHFZDMTZAXDN-LLVKDONJSA-N 0 0 434.854 -0.375 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(Cl)c(OCC(N)=O)c(OC)c1 ZINC000460488768 1073044396 /nfs/dbraw/zinc/04/43/96/1073044396.db2.gz ILSHFZDMTZAXDN-NSHDSACASA-N 0 0 434.854 -0.375 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000460489461 1073047928 /nfs/dbraw/zinc/04/79/28/1073047928.db2.gz KJUNMQTZUBJTIF-IBGZPJMESA-N 0 0 427.523 -0.268 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000460489462 1073048045 /nfs/dbraw/zinc/04/80/45/1073048045.db2.gz KJUNMQTZUBJTIF-LJQANCHMSA-N 0 0 427.523 -0.268 20 0 IBADRN COc1ccc(CC(=O)N2CCN3C(=O)N=NC3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000460490142 1073048920 /nfs/dbraw/zinc/04/89/20/1073048920.db2.gz GSLZBYOQFIRUBP-UHFFFAOYSA-N 0 0 437.478 -0.402 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC)C2=O ZINC000460491023 1073050701 /nfs/dbraw/zinc/05/07/01/1073050701.db2.gz MYHVNMXDJQCFDC-XYEKJYRLSA-N 0 0 429.495 -0.324 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC)C2=O ZINC000460491029 1073050639 /nfs/dbraw/zinc/05/06/39/1073050639.db2.gz MYHVNMXDJQCFDC-YNAXMUEKSA-N 0 0 429.495 -0.324 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CSCc1cc(=O)n2ccsc2n1 ZINC000460491319 1073050623 /nfs/dbraw/zinc/05/06/23/1073050623.db2.gz HWOLQMSEMSFYFJ-LLVKDONJSA-N 0 0 431.517 -0.212 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CSCc1cc(=O)n2ccsc2n1 ZINC000460491320 1071335241 /nfs/dbraw/zinc/33/52/41/1071335241.db2.gz HWOLQMSEMSFYFJ-NSHDSACASA-N 0 0 431.517 -0.212 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)NC2CC2)ccc1C ZINC000460492121 1073049250 /nfs/dbraw/zinc/04/92/50/1073049250.db2.gz PSUFFZHIHDDFJL-HNNXBMFYSA-N 0 0 430.504 -0.152 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)NC2CC2)ccc1C ZINC000460492126 1073050652 /nfs/dbraw/zinc/05/06/52/1073050652.db2.gz PSUFFZHIHDDFJL-OAHLLOKOSA-N 0 0 430.504 -0.152 20 0 IBADRN O=C(Cn1nccc1C(=O)NCCO)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000460492290 1073050714 /nfs/dbraw/zinc/05/07/14/1073050714.db2.gz PQWLIZJUXMMZJT-UHFFFAOYSA-N 0 0 437.478 -0.735 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN(C)S(=O)(=O)c1ccc(Cl)cc1 ZINC000460493671 1073050160 /nfs/dbraw/zinc/05/01/60/1073050160.db2.gz SZZSCGIOZPLOFK-CYBMUJFWSA-N 0 0 438.911 -0.241 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN(C)S(=O)(=O)c1ccc(Cl)cc1 ZINC000460493677 1073050218 /nfs/dbraw/zinc/05/02/18/1073050218.db2.gz SZZSCGIOZPLOFK-ZDUSSCGKSA-N 0 0 438.911 -0.241 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000460494790 1073051647 /nfs/dbraw/zinc/05/16/47/1073051647.db2.gz VUBSZRWDOOHULK-GXTWGEPZSA-N 0 0 438.458 -0.391 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000460494804 1073051694 /nfs/dbraw/zinc/05/16/94/1073051694.db2.gz VUBSZRWDOOHULK-JSGCOSHPSA-N 0 0 438.458 -0.391 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000460494805 1073051831 /nfs/dbraw/zinc/05/18/31/1073051831.db2.gz VUBSZRWDOOHULK-OCCSQVGLSA-N 0 0 438.458 -0.391 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000460494806 1073051727 /nfs/dbraw/zinc/05/17/27/1073051727.db2.gz VUBSZRWDOOHULK-TZMCWYRMSA-N 0 0 438.458 -0.391 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000460495169 1073052130 /nfs/dbraw/zinc/05/21/30/1073052130.db2.gz VDYKUPQVZRIMHR-CQSZACIVSA-N 0 0 444.491 -0.645 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000460495320 1073052004 /nfs/dbraw/zinc/05/20/04/1073052004.db2.gz WOEOFCZJOSBQGH-IBGZPJMESA-N 0 0 444.506 -0.311 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000460495321 1073052201 /nfs/dbraw/zinc/05/22/01/1073052201.db2.gz WOEOFCZJOSBQGH-LJQANCHMSA-N 0 0 444.506 -0.311 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)CCCN2S(C)(=O)=O ZINC000460496047 1073052014 /nfs/dbraw/zinc/05/20/14/1073052014.db2.gz QDWSTWZNXGXHHB-HNNXBMFYSA-N 0 0 430.504 -0.189 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)CCCN2S(C)(=O)=O ZINC000460496048 1073052061 /nfs/dbraw/zinc/05/20/61/1073052061.db2.gz QDWSTWZNXGXHHB-OAHLLOKOSA-N 0 0 430.504 -0.189 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000460496739 1071335280 /nfs/dbraw/zinc/33/52/80/1071335280.db2.gz ASSYTGPHARCCLK-GOSISDBHSA-N 0 0 430.479 -0.701 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000460496740 1073052049 /nfs/dbraw/zinc/05/20/49/1073052049.db2.gz ASSYTGPHARCCLK-SFHVURJKSA-N 0 0 430.479 -0.701 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1 ZINC000460497468 1073051066 /nfs/dbraw/zinc/05/10/66/1073051066.db2.gz SSTVTSXKMIIZED-AWEZNQCLSA-N 0 0 434.492 -0.977 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1 ZINC000460497469 1073051027 /nfs/dbraw/zinc/05/10/27/1073051027.db2.gz SSTVTSXKMIIZED-CQSZACIVSA-N 0 0 434.492 -0.977 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000460497674 1073051264 /nfs/dbraw/zinc/05/12/64/1073051264.db2.gz DAMRKRHAQDUJSF-CYBMUJFWSA-N 0 0 447.487 -0.580 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000460497675 1073051039 /nfs/dbraw/zinc/05/10/39/1073051039.db2.gz DAMRKRHAQDUJSF-ZDUSSCGKSA-N 0 0 447.487 -0.580 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000460497718 1073051373 /nfs/dbraw/zinc/05/13/73/1073051373.db2.gz DMVCRHLAPMUFKI-CYBMUJFWSA-N 0 0 446.503 -0.452 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000460497719 1073051363 /nfs/dbraw/zinc/05/13/63/1073051363.db2.gz DMVCRHLAPMUFKI-ZDUSSCGKSA-N 0 0 446.503 -0.452 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)N2CCCC2)c(C)o1 ZINC000460499338 1073052482 /nfs/dbraw/zinc/05/24/82/1073052482.db2.gz ZUIPKLPRJXKVQW-GFCCVEGCSA-N 0 0 434.492 -0.215 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)N2CCCC2)c(C)o1 ZINC000460499344 1073052732 /nfs/dbraw/zinc/05/27/32/1073052732.db2.gz ZUIPKLPRJXKVQW-LBPRGKRZSA-N 0 0 434.492 -0.215 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000460501227 1073052721 /nfs/dbraw/zinc/05/27/21/1073052721.db2.gz NRNJAMGQGXEBRJ-GWCFXTLKSA-N 0 0 438.911 -0.195 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000460501235 1073053039 /nfs/dbraw/zinc/05/30/39/1073053039.db2.gz NRNJAMGQGXEBRJ-GXFFZTMASA-N 0 0 438.911 -0.195 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000460501539 1073052709 /nfs/dbraw/zinc/05/27/09/1073052709.db2.gz TYDFQTYKEFWAOZ-INIZCTEOSA-N 0 0 436.508 -0.700 20 0 IBADRN CCOC(=O)[C@](C)(O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000460501543 1073052679 /nfs/dbraw/zinc/05/26/79/1073052679.db2.gz TYDFQTYKEFWAOZ-MRXNPFEDSA-N 0 0 436.508 -0.700 20 0 IBADRN Cn1c2ncn(Cc3nc(CCn4cnc5ccccc5c4=O)no3)c2c(=O)n(C)c1=O ZINC000460515949 1073054043 /nfs/dbraw/zinc/05/40/43/1073054043.db2.gz HQXZFEQTUYBYPN-UHFFFAOYSA-N 0 0 434.416 -0.182 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1nc(CCn3cnc4ccccc4c3=O)no1)c(=O)n2C ZINC000460528830 1073055074 /nfs/dbraw/zinc/05/50/74/1073055074.db2.gz RCHBRALONMXAII-UHFFFAOYSA-N 0 0 434.416 -0.182 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)n1 ZINC000460548697 1073118043 /nfs/dbraw/zinc/11/80/43/1073118043.db2.gz OSRQMUKGJMVXQX-UHFFFAOYSA-N 0 0 435.510 -0.577 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)n1 ZINC000460553471 1073117945 /nfs/dbraw/zinc/11/79/45/1073117945.db2.gz CAPTYRQDXFTIIR-GFCCVEGCSA-N 0 0 425.471 -0.332 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)n1 ZINC000460553472 1073118021 /nfs/dbraw/zinc/11/80/21/1073118021.db2.gz CAPTYRQDXFTIIR-LBPRGKRZSA-N 0 0 425.471 -0.332 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)c2ccc(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)cc2)n1 ZINC000460561118 1073118571 /nfs/dbraw/zinc/11/85/71/1073118571.db2.gz PPRLWYYWKVXMNV-BETUJISGSA-N 0 0 436.494 0.074 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)c2ccc(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)cc2)n1 ZINC000460561125 1073118552 /nfs/dbraw/zinc/11/85/52/1073118552.db2.gz PPRLWYYWKVXMNV-CHWSQXEVSA-N 0 0 436.494 0.074 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)c2ccc(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)cc2)n1 ZINC000460561129 1073118597 /nfs/dbraw/zinc/11/85/97/1073118597.db2.gz PPRLWYYWKVXMNV-STQMWFEESA-N 0 0 436.494 0.074 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000460579034 1073119229 /nfs/dbraw/zinc/11/92/29/1073119229.db2.gz SWGPGLQRCPVNFJ-UHFFFAOYSA-N 0 0 436.494 -0.384 20 0 IBADRN COc1cc(NC(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)ncn1 ZINC000460580446 1073119098 /nfs/dbraw/zinc/11/90/98/1073119098.db2.gz CCCIWSHZUSQQMJ-UHFFFAOYSA-N 0 0 449.489 -0.098 20 0 IBADRN COc1cc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)ncn1 ZINC000460581672 1073119760 /nfs/dbraw/zinc/11/97/60/1073119760.db2.gz HCTHIBUDIRXLEW-UHFFFAOYSA-N 0 0 448.505 -0.324 20 0 IBADRN Cc1cccc(F)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000460586399 1071336163 /nfs/dbraw/zinc/33/61/63/1071336163.db2.gz WWYHARUDLVLNBD-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCc4c3cccc4F)CC2)CC1 ZINC000460586926 1073119619 /nfs/dbraw/zinc/11/96/19/1073119619.db2.gz YNAMKNRQCDTROJ-UHFFFAOYSA-N 0 0 439.513 -0.649 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)ncn1 ZINC000460586941 1071336096 /nfs/dbraw/zinc/33/60/96/1071336096.db2.gz YQEXUXRPRQUBCH-UHFFFAOYSA-N 0 0 445.461 -0.167 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cc(OC)ncn2)CC1 ZINC000460589313 1071335986 /nfs/dbraw/zinc/33/59/86/1071335986.db2.gz ABCWVWNHIDXMBH-UHFFFAOYSA-N 0 0 434.478 -0.319 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)ncn1 ZINC000460590265 1071336067 /nfs/dbraw/zinc/33/60/67/1071336067.db2.gz ZYNFGDUWGVTPIJ-UHFFFAOYSA-N 0 0 449.493 -0.913 20 0 IBADRN COc1cc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)ncn1 ZINC000460593932 1073119641 /nfs/dbraw/zinc/11/96/41/1073119641.db2.gz COTHACZHQMMBLM-UHFFFAOYSA-N 0 0 435.462 -0.239 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)ncn1 ZINC000460594063 1073119579 /nfs/dbraw/zinc/11/95/79/1073119579.db2.gz WFWAHKGEMMZHNG-UHFFFAOYSA-N 0 0 426.480 -0.278 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)C(=O)Nc2cc(OC)ncn2)c1 ZINC000460595802 1073119823 /nfs/dbraw/zinc/11/98/23/1073119823.db2.gz GTHMLDBEYBMWTE-JTQLQIEISA-N 0 0 436.450 -0.525 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)C(=O)Nc2cc(OC)ncn2)c1 ZINC000460595803 1073119838 /nfs/dbraw/zinc/11/98/38/1073119838.db2.gz GTHMLDBEYBMWTE-SNVBAGLBSA-N 0 0 436.450 -0.525 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(OC)ncn3)CC2)cc1 ZINC000460597817 1073119593 /nfs/dbraw/zinc/11/95/93/1073119593.db2.gz LKQZRCLHJXIPQM-UHFFFAOYSA-N 0 0 435.462 -0.035 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)ncn1 ZINC000460600492 1073120243 /nfs/dbraw/zinc/12/02/43/1073120243.db2.gz RACUJLAWTCMNMO-UHFFFAOYSA-N 0 0 438.466 -0.048 20 0 IBADRN CCc1ccc(C(=O)Nc2ncn(CC(=O)NC)n2)cc1S(=O)(=O)N1CCOCC1 ZINC000460600563 1073120253 /nfs/dbraw/zinc/12/02/53/1073120253.db2.gz RCRAYMWVONTILO-UHFFFAOYSA-N 0 0 436.494 -0.140 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)ncn1 ZINC000460601010 1073119782 /nfs/dbraw/zinc/11/97/82/1073119782.db2.gz SOKRJCXJIBAJIK-UHFFFAOYSA-N 0 0 447.473 -0.188 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)ncn1 ZINC000460604581 1073120317 /nfs/dbraw/zinc/12/03/17/1073120317.db2.gz CYJLEMFDFIFGIV-UHFFFAOYSA-N 0 0 429.462 -0.163 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)ncn1 ZINC000460607742 1073120347 /nfs/dbraw/zinc/12/03/47/1073120347.db2.gz HVPIMWZDTXSIFB-UHFFFAOYSA-N 0 0 428.453 -0.601 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCc2c1cccc2F ZINC000460612272 1071336596 /nfs/dbraw/zinc/33/65/96/1071336596.db2.gz HNTXJAFVWNNNRG-UHFFFAOYSA-N 0 0 426.470 -0.564 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)ncn1 ZINC000460616691 1073121066 /nfs/dbraw/zinc/12/10/66/1073121066.db2.gz XWZHISZXASEKIH-AWEZNQCLSA-N 0 0 442.480 -0.165 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)ncn1 ZINC000460616692 1073120945 /nfs/dbraw/zinc/12/09/45/1073120945.db2.gz XWZHISZXASEKIH-CQSZACIVSA-N 0 0 442.480 -0.165 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)ncn1 ZINC000460622545 1073120332 /nfs/dbraw/zinc/12/03/32/1073120332.db2.gz WXNWQSAKUBTSLB-UHFFFAOYSA-N 0 0 426.499 -0.311 20 0 IBADRN CN(C)c1noc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)n1 ZINC000460624483 1071336704 /nfs/dbraw/zinc/33/67/04/1071336704.db2.gz ZWQYSVYFMDAANJ-UHFFFAOYSA-N 0 0 449.493 -0.154 20 0 IBADRN COCc1ncc(-c2noc(-c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)n2)c(N)n1 ZINC000460624861 1073120106 /nfs/dbraw/zinc/12/01/06/1073120106.db2.gz FNYMXELSAXODHT-UHFFFAOYSA-N 0 0 445.461 0.043 20 0 IBADRN COCc1ncc(-c2noc(-c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)n2)c(N)n1 ZINC000460626735 1073120906 /nfs/dbraw/zinc/12/09/06/1073120906.db2.gz OLTLNFJFFWWTLR-UHFFFAOYSA-N 0 0 445.461 0.043 20 0 IBADRN CN(C)c1noc(CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)n1 ZINC000460631691 1073120887 /nfs/dbraw/zinc/12/08/87/1073120887.db2.gz ZQGUFINBXRDWCH-UHFFFAOYSA-N 0 0 438.466 -0.221 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(N(C)C)no3)CC2)C[C@H](C)O1 ZINC000460632761 1073120827 /nfs/dbraw/zinc/12/08/27/1073120827.db2.gz VSZUQPQDMZKQOO-BETUJISGSA-N 0 0 431.519 -0.683 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(N(C)C)no3)CC2)C[C@@H](C)O1 ZINC000460632767 1073120982 /nfs/dbraw/zinc/12/09/82/1073120982.db2.gz VSZUQPQDMZKQOO-CHWSQXEVSA-N 0 0 431.519 -0.683 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(N(C)C)no3)CC2)C[C@H](C)O1 ZINC000460632768 1073120977 /nfs/dbraw/zinc/12/09/77/1073120977.db2.gz VSZUQPQDMZKQOO-STQMWFEESA-N 0 0 431.519 -0.683 20 0 IBADRN CC(C)(NS(C)(=O)=O)c1noc(-c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000460637059 1071336498 /nfs/dbraw/zinc/33/64/98/1071336498.db2.gz ADLBBCZCIYFDPF-UHFFFAOYSA-N 0 0 443.507 -0.359 20 0 IBADRN CC(C)(NS(C)(=O)=O)c1noc(-c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC000460651167 1071337039 /nfs/dbraw/zinc/33/70/39/1071337039.db2.gz SFMVGJKXWBLXSZ-UHFFFAOYSA-N 0 0 443.507 -0.359 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)C1=O ZINC000460658246 1071336981 /nfs/dbraw/zinc/33/69/81/1071336981.db2.gz VXBRSWLNZABDCR-INIZCTEOSA-N 0 0 438.506 -0.169 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)C1=O ZINC000460658247 1071337338 /nfs/dbraw/zinc/33/73/38/1071337338.db2.gz VXBRSWLNZABDCR-MRXNPFEDSA-N 0 0 438.506 -0.169 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000460666495 1073122087 /nfs/dbraw/zinc/12/20/87/1073122087.db2.gz DJFWFMCDTHKZEG-DZGCQCFKSA-N 0 0 440.570 -0.485 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000460666496 1071337803 /nfs/dbraw/zinc/33/78/03/1071337803.db2.gz DJFWFMCDTHKZEG-HIFRSBDPSA-N 0 0 440.570 -0.485 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000460666497 1073121997 /nfs/dbraw/zinc/12/19/97/1073121997.db2.gz DJFWFMCDTHKZEG-UKRRQHHQSA-N 0 0 440.570 -0.485 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000460666498 1073122124 /nfs/dbraw/zinc/12/21/24/1073122124.db2.gz DJFWFMCDTHKZEG-ZFWWWQNUSA-N 0 0 440.570 -0.485 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000460666915 1073122116 /nfs/dbraw/zinc/12/21/16/1073122116.db2.gz FZCRAWPZYWSOQX-GDBMZVCRSA-N 0 0 441.554 -0.199 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000460666916 1073122095 /nfs/dbraw/zinc/12/20/95/1073122095.db2.gz FZCRAWPZYWSOQX-GOEBONIOSA-N 0 0 441.554 -0.199 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000460666917 1073122023 /nfs/dbraw/zinc/12/20/23/1073122023.db2.gz FZCRAWPZYWSOQX-HOCLYGCPSA-N 0 0 441.554 -0.199 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000460666918 1073122091 /nfs/dbraw/zinc/12/20/91/1073122091.db2.gz FZCRAWPZYWSOQX-ZBFHGGJFSA-N 0 0 441.554 -0.199 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)s1 ZINC000460669564 1073123661 /nfs/dbraw/zinc/12/36/61/1073123661.db2.gz GOPGJEFKEZGBGZ-JTQLQIEISA-N 0 0 449.580 -0.010 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)s1 ZINC000460669565 1073123913 /nfs/dbraw/zinc/12/39/13/1073123913.db2.gz GOPGJEFKEZGBGZ-SNVBAGLBSA-N 0 0 449.580 -0.010 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1N1CCNC1=O ZINC000460670490 1071338043 /nfs/dbraw/zinc/33/80/43/1071338043.db2.gz JDUYVMRKJCSESG-UHFFFAOYSA-N 0 0 431.493 -0.159 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)NCCNS(=O)(=O)NC(C)C)C2)cc1 ZINC000460672877 1071337909 /nfs/dbraw/zinc/33/79/09/1071337909.db2.gz NYCYSECBEVZVML-UHFFFAOYSA-N 0 0 433.556 -0.500 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000460673009 1071337687 /nfs/dbraw/zinc/33/76/87/1071337687.db2.gz SZVXCNRNAJDDJX-UHFFFAOYSA-N 0 0 433.556 -0.334 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCc2c(cccc2NS(C)(=O)=O)C1 ZINC000460673074 1073122617 /nfs/dbraw/zinc/12/26/17/1073122617.db2.gz PAJVZIIHOHJYHR-UHFFFAOYSA-N 0 0 433.556 -0.042 20 0 IBADRN NC(=O)C(NC(=O)[C@@H]1CCCN(C(=O)c2ccc(Br)c(F)c2)C1)C(N)=O ZINC000460676598 1071337941 /nfs/dbraw/zinc/33/79/41/1071337941.db2.gz MRQZXHYDJHYLKL-SECBINFHSA-N 0 0 429.246 -0.104 20 0 IBADRN NC(=O)C(NC(=O)[C@H]1CCCN(C(=O)c2ccc(Br)c(F)c2)C1)C(N)=O ZINC000460676613 1071337979 /nfs/dbraw/zinc/33/79/79/1071337979.db2.gz MRQZXHYDJHYLKL-VIFPVBQESA-N 0 0 429.246 -0.104 20 0 IBADRN Cc1cc(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1S(N)(=O)=O ZINC000460679579 1071337871 /nfs/dbraw/zinc/33/78/71/1071337871.db2.gz UEKZOEAFUCBNBF-UHFFFAOYSA-N 0 0 433.556 -0.334 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H]2CCCN(C(=O)c3ccncc3)C2)CC1 ZINC000460680018 1071337742 /nfs/dbraw/zinc/33/77/42/1071337742.db2.gz VTIDZMSFXHVZJG-IBGZPJMESA-N 0 0 429.521 -0.063 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H]2CCCN(C(=O)c3ccncc3)C2)CC1 ZINC000460680019 1073122364 /nfs/dbraw/zinc/12/23/64/1073122364.db2.gz VTIDZMSFXHVZJG-LJQANCHMSA-N 0 0 429.521 -0.063 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000460682075 1071338417 /nfs/dbraw/zinc/33/84/17/1071338417.db2.gz ZNXHCHOBEFYSTQ-DLBZAZTESA-N 0 0 430.548 -0.363 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000460682076 1073123281 /nfs/dbraw/zinc/12/32/81/1073123281.db2.gz ZNXHCHOBEFYSTQ-IAGOWNOFSA-N 0 0 430.548 -0.363 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000460682077 1073123173 /nfs/dbraw/zinc/12/31/73/1073123173.db2.gz ZNXHCHOBEFYSTQ-IRXDYDNUSA-N 0 0 430.548 -0.363 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000460682078 1073123222 /nfs/dbraw/zinc/12/32/22/1073123222.db2.gz ZNXHCHOBEFYSTQ-SJORKVTESA-N 0 0 430.548 -0.363 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000460682539 1071338648 /nfs/dbraw/zinc/33/86/48/1071338648.db2.gz AIUSQEJDFPMNLL-JTQLQIEISA-N 0 0 445.523 -0.002 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000460682540 1073123283 /nfs/dbraw/zinc/12/32/83/1073123283.db2.gz AIUSQEJDFPMNLL-SNVBAGLBSA-N 0 0 445.523 -0.002 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000460686108 1073123307 /nfs/dbraw/zinc/12/33/07/1073123307.db2.gz DQQFGPRRSMAYCZ-CYBMUJFWSA-N 0 0 443.551 -0.202 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000460686111 1073123274 /nfs/dbraw/zinc/12/32/74/1073123274.db2.gz DQQFGPRRSMAYCZ-ZDUSSCGKSA-N 0 0 443.551 -0.202 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000460687780 1073123301 /nfs/dbraw/zinc/12/33/01/1073123301.db2.gz MNJMBQGHUMSUDL-UHFFFAOYSA-N 0 0 425.554 -0.287 20 0 IBADRN CCOCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)[C@H]1CC ZINC000460690098 1073123145 /nfs/dbraw/zinc/12/31/45/1073123145.db2.gz BVVHTRVXTPJIPF-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CCOCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)[C@@H]1CC ZINC000460690099 1073123910 /nfs/dbraw/zinc/12/39/10/1073123910.db2.gz BVVHTRVXTPJIPF-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCOCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)[C@H]1CC ZINC000460690100 1073123899 /nfs/dbraw/zinc/12/38/99/1073123899.db2.gz BVVHTRVXTPJIPF-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CCOCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)[C@@H]1CC ZINC000460690101 1073123209 /nfs/dbraw/zinc/12/32/09/1073123209.db2.gz BVVHTRVXTPJIPF-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000460690471 1073125637 /nfs/dbraw/zinc/12/56/37/1073125637.db2.gz QIYWZQJSRKXZSE-JTQLQIEISA-N 0 0 449.493 -0.712 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000460690476 1073125546 /nfs/dbraw/zinc/12/55/46/1073125546.db2.gz QIYWZQJSRKXZSE-SNVBAGLBSA-N 0 0 449.493 -0.712 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000460690781 1073124957 /nfs/dbraw/zinc/12/49/57/1073124957.db2.gz DBCUDOUCPKTGTR-UHFFFAOYSA-N 0 0 433.552 -0.207 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)ncn1 ZINC000460692095 1073125651 /nfs/dbraw/zinc/12/56/51/1073125651.db2.gz KHUAGPOLLAKGGR-GFCCVEGCSA-N 0 0 425.401 -0.053 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)ncn1 ZINC000460692096 1073125475 /nfs/dbraw/zinc/12/54/75/1073125475.db2.gz KHUAGPOLLAKGGR-LBPRGKRZSA-N 0 0 425.401 -0.053 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000460700609 1073124378 /nfs/dbraw/zinc/12/43/78/1073124378.db2.gz KHAOJABFOWYYCP-LLVKDONJSA-N 0 0 436.494 -0.442 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000460700610 1073124406 /nfs/dbraw/zinc/12/44/06/1073124406.db2.gz KHAOJABFOWYYCP-NSHDSACASA-N 0 0 436.494 -0.442 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000460706362 1073124808 /nfs/dbraw/zinc/12/48/08/1073124808.db2.gz AAXLPNGIIWQQOA-UHFFFAOYSA-N 0 0 433.552 -0.050 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCc1ccc(S(N)(=O)=O)s1 ZINC000460710440 1073124978 /nfs/dbraw/zinc/12/49/78/1073124978.db2.gz SBZWEUZUELTUHE-SECBINFHSA-N 0 0 435.553 -0.271 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCc1ccc(S(N)(=O)=O)s1 ZINC000460710441 1073124823 /nfs/dbraw/zinc/12/48/23/1073124823.db2.gz SBZWEUZUELTUHE-VIFPVBQESA-N 0 0 435.553 -0.271 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000460710690 1071339387 /nfs/dbraw/zinc/33/93/87/1071339387.db2.gz ZQVUZLCLYUYGGE-UHFFFAOYSA-N 0 0 445.523 -0.571 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000460710893 1073124945 /nfs/dbraw/zinc/12/49/45/1073124945.db2.gz VGMUGEHHMUPUEF-GXTWGEPZSA-N 0 0 435.572 -0.508 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000460710897 1073124974 /nfs/dbraw/zinc/12/49/74/1073124974.db2.gz VGMUGEHHMUPUEF-JSGCOSHPSA-N 0 0 435.572 -0.508 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000460710898 1073124984 /nfs/dbraw/zinc/12/49/84/1073124984.db2.gz VGMUGEHHMUPUEF-OCCSQVGLSA-N 0 0 435.572 -0.508 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000460710899 1073124967 /nfs/dbraw/zinc/12/49/67/1073124967.db2.gz VGMUGEHHMUPUEF-TZMCWYRMSA-N 0 0 435.572 -0.508 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460714670 1071339403 /nfs/dbraw/zinc/33/94/03/1071339403.db2.gz CWKOEXMBXHBCCG-AWEZNQCLSA-N 0 0 442.586 -0.189 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460714671 1073126652 /nfs/dbraw/zinc/12/66/52/1073126652.db2.gz CWKOEXMBXHBCCG-CQSZACIVSA-N 0 0 442.586 -0.189 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)c1 ZINC000460720536 1071340057 /nfs/dbraw/zinc/34/00/57/1071340057.db2.gz UKZJMAPBGZSOMN-GFCCVEGCSA-N 0 0 443.551 -0.072 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)c1 ZINC000460720537 1073125538 /nfs/dbraw/zinc/12/55/38/1073125538.db2.gz UKZJMAPBGZSOMN-LBPRGKRZSA-N 0 0 443.551 -0.072 20 0 IBADRN CCOCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC000460721812 1073126071 /nfs/dbraw/zinc/12/60/71/1073126071.db2.gz APJWYIFVLACICT-CABCVRRESA-N 0 0 444.558 -0.296 20 0 IBADRN CCOCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC000460721813 1073126222 /nfs/dbraw/zinc/12/62/22/1073126222.db2.gz APJWYIFVLACICT-GJZGRUSLSA-N 0 0 444.558 -0.296 20 0 IBADRN CCOCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC000460721814 1071339777 /nfs/dbraw/zinc/33/97/77/1071339777.db2.gz APJWYIFVLACICT-HUUCEWRRSA-N 0 0 444.558 -0.296 20 0 IBADRN CCOCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC000460721815 1071340088 /nfs/dbraw/zinc/34/00/88/1071340088.db2.gz APJWYIFVLACICT-LSDHHAIUSA-N 0 0 444.558 -0.296 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460721865 1071340006 /nfs/dbraw/zinc/34/00/06/1071340006.db2.gz ARDRBOZKKVNUDD-GFCCVEGCSA-N 0 0 435.572 -0.366 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460721871 1073126100 /nfs/dbraw/zinc/12/61/00/1073126100.db2.gz ARDRBOZKKVNUDD-LBPRGKRZSA-N 0 0 435.572 -0.366 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000460727370 1071340310 /nfs/dbraw/zinc/34/03/10/1071340310.db2.gz UOCAPPRWNDUFGP-GFCCVEGCSA-N 0 0 435.510 -0.326 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000460727671 1071340549 /nfs/dbraw/zinc/34/05/49/1071340549.db2.gz UOCAPPRWNDUFGP-LBPRGKRZSA-N 0 0 435.510 -0.326 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000460731058 1073126154 /nfs/dbraw/zinc/12/61/54/1073126154.db2.gz RGCPMLADGZIXAF-GXTWGEPZSA-N 0 0 435.572 -0.366 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000460731059 1073126262 /nfs/dbraw/zinc/12/62/62/1073126262.db2.gz RGCPMLADGZIXAF-JSGCOSHPSA-N 0 0 435.572 -0.366 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000460731060 1073126225 /nfs/dbraw/zinc/12/62/25/1073126225.db2.gz RGCPMLADGZIXAF-OCCSQVGLSA-N 0 0 435.572 -0.366 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000460731061 1073126597 /nfs/dbraw/zinc/12/65/97/1073126597.db2.gz RGCPMLADGZIXAF-TZMCWYRMSA-N 0 0 435.572 -0.366 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](c1cccc(F)c1)N1CCOCC1 ZINC000460736960 1073126758 /nfs/dbraw/zinc/12/67/58/1073126758.db2.gz QOKLXNZKMIWRMG-AEFFLSMTSA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](c1cccc(F)c1)N1CCOCC1 ZINC000460736961 1073126743 /nfs/dbraw/zinc/12/67/43/1073126743.db2.gz QOKLXNZKMIWRMG-FUHWJXTLSA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](c1cccc(F)c1)N1CCOCC1 ZINC000460736962 1073126787 /nfs/dbraw/zinc/12/67/87/1073126787.db2.gz QOKLXNZKMIWRMG-SJLPKXTDSA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](c1cccc(F)c1)N1CCOCC1 ZINC000460736963 1071340925 /nfs/dbraw/zinc/34/09/25/1071340925.db2.gz QOKLXNZKMIWRMG-WMZOPIPTSA-N 0 0 427.498 -0.039 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000460739869 1071340980 /nfs/dbraw/zinc/34/09/80/1071340980.db2.gz YFAASBIFAPVLIZ-UHFFFAOYSA-N 0 0 425.467 -0.167 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000460742103 1073126702 /nfs/dbraw/zinc/12/67/02/1073126702.db2.gz WCAXCVBAXPYSHW-CYBMUJFWSA-N 0 0 439.542 -0.395 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000460742104 1073126633 /nfs/dbraw/zinc/12/66/33/1073126633.db2.gz WCAXCVBAXPYSHW-ZDUSSCGKSA-N 0 0 439.542 -0.395 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCc1noc(C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)n1 ZINC000460742775 1071341039 /nfs/dbraw/zinc/34/10/39/1071341039.db2.gz QZOYQHFZFOCTMZ-AWEZNQCLSA-N 0 0 439.473 -0.042 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCc1noc(C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)n1 ZINC000460742776 1071341075 /nfs/dbraw/zinc/34/10/75/1071341075.db2.gz QZOYQHFZFOCTMZ-CQSZACIVSA-N 0 0 439.473 -0.042 20 0 IBADRN COCCNS(=O)(=O)c1ccc(-c2nc(CCN(C)S(=O)(=O)N(C)C)no2)cc1 ZINC000460744070 1073126590 /nfs/dbraw/zinc/12/65/90/1073126590.db2.gz SODZPPIHCVWEHH-UHFFFAOYSA-N 0 0 447.539 -0.058 20 0 IBADRN Cc1c(-c2nc(CCN(C)S(=O)(=O)N(C)C)no2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000460746011 1073127213 /nfs/dbraw/zinc/12/72/13/1073127213.db2.gz BMEDXBIOVCZETI-UHFFFAOYSA-N 0 0 437.482 -0.729 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460748194 1071341591 /nfs/dbraw/zinc/34/15/91/1071341591.db2.gz LHOBOWPQUIOHQU-DZGCQCFKSA-N 0 0 442.586 -0.237 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460748202 1071341737 /nfs/dbraw/zinc/34/17/37/1071341737.db2.gz LHOBOWPQUIOHQU-HIFRSBDPSA-N 0 0 442.586 -0.237 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460748203 1073126939 /nfs/dbraw/zinc/12/69/39/1073126939.db2.gz LHOBOWPQUIOHQU-UKRRQHHQSA-N 0 0 442.586 -0.237 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460748205 1071341578 /nfs/dbraw/zinc/34/15/78/1071341578.db2.gz LHOBOWPQUIOHQU-ZFWWWQNUSA-N 0 0 442.586 -0.237 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCc1ccc(S(N)(=O)=O)cc1 ZINC000460749781 1071341674 /nfs/dbraw/zinc/34/16/74/1071341674.db2.gz SJXMZPPGUPCNEL-LLVKDONJSA-N 0 0 429.524 -0.332 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCc1ccc(S(N)(=O)=O)cc1 ZINC000460749783 1071341630 /nfs/dbraw/zinc/34/16/30/1071341630.db2.gz SJXMZPPGUPCNEL-NSHDSACASA-N 0 0 429.524 -0.332 20 0 IBADRN CNS(=O)(=O)c1cc(-c2nc(CCN(C)S(=O)(=O)N(C)C)no2)ccc1OC ZINC000460750212 1071341602 /nfs/dbraw/zinc/34/16/02/1071341602.db2.gz CVLBQVNKBYHJMU-UHFFFAOYSA-N 0 0 433.512 -0.066 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000460751181 1073127029 /nfs/dbraw/zinc/12/70/29/1073127029.db2.gz FLNWKIIUWNJCPN-UHFFFAOYSA-N 0 0 447.535 -0.382 20 0 IBADRN COCCNS(=O)(=O)c1cccc(-c2nc(CCN(C)S(=O)(=O)N(C)C)no2)c1 ZINC000460752542 1073126732 /nfs/dbraw/zinc/12/67/32/1073126732.db2.gz LMYKSVRRRQVNAI-UHFFFAOYSA-N 0 0 447.539 -0.058 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(C)(C)NS(C)(=O)=O)CC2)c1 ZINC000460755577 1073126687 /nfs/dbraw/zinc/12/66/87/1073126687.db2.gz GIPPRLVEXJDCQL-UHFFFAOYSA-N 0 0 449.551 -0.136 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cccc1N1CCNC1=O ZINC000460756647 1071342282 /nfs/dbraw/zinc/34/22/82/1071342282.db2.gz ILDSMBYQRLEUOL-UHFFFAOYSA-N 0 0 437.522 -0.141 20 0 IBADRN O=C(c1c[nH]c(=O)c(Br)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000460758688 1071342266 /nfs/dbraw/zinc/34/22/66/1071342266.db2.gz NEYMUEGEPIIDSU-UHFFFAOYSA-N 0 0 435.300 -0.116 20 0 IBADRN CCC(CC)[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000460759946 1071342677 /nfs/dbraw/zinc/34/26/77/1071342677.db2.gz QKXFQIWFDUWCTB-GOSISDBHSA-N 0 0 432.587 -0.155 20 0 IBADRN CCC(CC)[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000460759947 1073126601 /nfs/dbraw/zinc/12/66/01/1073126601.db2.gz QKXFQIWFDUWCTB-SFHVURJKSA-N 0 0 432.587 -0.155 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1cccc(NS(N)(=O)=O)c1 ZINC000460762647 1073127264 /nfs/dbraw/zinc/12/72/64/1073127264.db2.gz HFFHIBQMGDAUGZ-JTQLQIEISA-N 0 0 430.512 -0.042 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1cccc(NS(N)(=O)=O)c1 ZINC000460762648 1073127292 /nfs/dbraw/zinc/12/72/92/1073127292.db2.gz HFFHIBQMGDAUGZ-SNVBAGLBSA-N 0 0 430.512 -0.042 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC000460763975 1073127276 /nfs/dbraw/zinc/12/72/76/1073127276.db2.gz CGBBEYOHAHYADJ-UHFFFAOYSA-N 0 0 438.550 -0.168 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000460764875 1071342570 /nfs/dbraw/zinc/34/25/70/1071342570.db2.gz CMWJFLBENPAYCS-UHFFFAOYSA-N 0 0 426.467 -0.294 20 0 IBADRN COc1cc(N2CCN([C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)CC2)ncn1 ZINC000460765616 1073126955 /nfs/dbraw/zinc/12/69/55/1073126955.db2.gz CRZSUYFJIKYESN-HNNXBMFYSA-N 0 0 446.489 -0.413 20 0 IBADRN COc1cc(N2CCN([C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)CC2)ncn1 ZINC000460765618 1073126879 /nfs/dbraw/zinc/12/68/79/1073126879.db2.gz CRZSUYFJIKYESN-OAHLLOKOSA-N 0 0 446.489 -0.413 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCc1cccc(S(N)(=O)=O)c1 ZINC000460766709 1073127130 /nfs/dbraw/zinc/12/71/30/1073127130.db2.gz LVAUVEIOBHXOSH-LLVKDONJSA-N 0 0 429.524 -0.332 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCc1cccc(S(N)(=O)=O)c1 ZINC000460766710 1073127240 /nfs/dbraw/zinc/12/72/40/1073127240.db2.gz LVAUVEIOBHXOSH-NSHDSACASA-N 0 0 429.524 -0.332 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1-n1cncn1 ZINC000460771906 1071343533 /nfs/dbraw/zinc/34/35/33/1071343533.db2.gz PIXNTRKPKRRVHQ-UHFFFAOYSA-N 0 0 433.538 -0.174 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000460773221 1071342625 /nfs/dbraw/zinc/34/26/25/1071342625.db2.gz YGBPHWUGAZYEAA-AWEZNQCLSA-N 0 0 425.463 -0.948 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000460773235 1073127225 /nfs/dbraw/zinc/12/72/25/1073127225.db2.gz YGBPHWUGAZYEAA-CQSZACIVSA-N 0 0 425.463 -0.948 20 0 IBADRN CCC(CC)[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1CCOCC1 ZINC000460774752 1073127050 /nfs/dbraw/zinc/12/70/50/1073127050.db2.gz YJGSZTPHJIKANZ-IBGZPJMESA-N 0 0 445.630 -0.240 20 0 IBADRN CCC(CC)[C@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1CCOCC1 ZINC000460774756 1073127200 /nfs/dbraw/zinc/12/72/00/1073127200.db2.gz YJGSZTPHJIKANZ-LJQANCHMSA-N 0 0 445.630 -0.240 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460774824 1073127246 /nfs/dbraw/zinc/12/72/46/1073127246.db2.gz YULIMZRXROOVKW-AWEZNQCLSA-N 0 0 428.559 -0.626 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460774825 1073127086 /nfs/dbraw/zinc/12/70/86/1073127086.db2.gz YULIMZRXROOVKW-CQSZACIVSA-N 0 0 428.559 -0.626 20 0 IBADRN Cc1cc(-n2cncn2)ccc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000460776092 1073126965 /nfs/dbraw/zinc/12/69/65/1073126965.db2.gz BLUHQJZJMBPNLL-UHFFFAOYSA-N 0 0 433.538 -0.174 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3c[nH]c(=O)c(Br)c3)CC2)CC1 ZINC000460781185 1071343564 /nfs/dbraw/zinc/34/35/64/1071343564.db2.gz SRKQBGWMYIPJKQ-UHFFFAOYSA-N 0 0 448.343 -0.200 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000460782498 1073127727 /nfs/dbraw/zinc/12/77/27/1073127727.db2.gz JCAJIPXTTSIMHQ-CYBMUJFWSA-N 0 0 426.543 -0.873 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000460782499 1073127695 /nfs/dbraw/zinc/12/76/95/1073127695.db2.gz JCAJIPXTTSIMHQ-ZDUSSCGKSA-N 0 0 426.543 -0.873 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000460782587 1073127844 /nfs/dbraw/zinc/12/78/44/1073127844.db2.gz WCAQWFQEESFTPO-HNNXBMFYSA-N 0 0 426.587 -0.148 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000460782588 1073127761 /nfs/dbraw/zinc/12/77/61/1073127761.db2.gz WCAQWFQEESFTPO-OAHLLOKOSA-N 0 0 426.587 -0.148 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN(C(=O)c3ccncc3)C2)CC1)N1CCOCC1 ZINC000460790716 1073127774 /nfs/dbraw/zinc/12/77/74/1073127774.db2.gz IIIGZBGXFPWQGA-IBGZPJMESA-N 0 0 429.521 -0.063 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN(C(=O)c3ccncc3)C2)CC1)N1CCOCC1 ZINC000460790717 1073127866 /nfs/dbraw/zinc/12/78/66/1073127866.db2.gz IIIGZBGXFPWQGA-LJQANCHMSA-N 0 0 429.521 -0.063 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000460795574 1073127862 /nfs/dbraw/zinc/12/78/62/1073127862.db2.gz SKLFSTBIRWWBPF-AWEZNQCLSA-N 0 0 428.559 -0.627 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000460795575 1073127854 /nfs/dbraw/zinc/12/78/54/1073127854.db2.gz SKLFSTBIRWWBPF-CQSZACIVSA-N 0 0 428.559 -0.627 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)c3ccncc3)C2)CC1)N1CCOCC1 ZINC000460796192 1073127739 /nfs/dbraw/zinc/12/77/39/1073127739.db2.gz FREKMOLJOQOTLT-GOSISDBHSA-N 0 0 443.504 -0.537 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)c3ccncc3)C2)CC1)N1CCOCC1 ZINC000460796193 1073127840 /nfs/dbraw/zinc/12/78/40/1073127840.db2.gz FREKMOLJOQOTLT-SFHVURJKSA-N 0 0 443.504 -0.537 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000460796327 1073128240 /nfs/dbraw/zinc/12/82/40/1073128240.db2.gz RPHCQLWNPXSVIA-HNNXBMFYSA-N 0 0 440.570 -0.530 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000460796333 1073128469 /nfs/dbraw/zinc/12/84/69/1073128469.db2.gz RPHCQLWNPXSVIA-OAHLLOKOSA-N 0 0 440.570 -0.530 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2c[nH]c(=O)c(Br)c2)CC1)N1CCOCC1 ZINC000460797263 1071344086 /nfs/dbraw/zinc/34/40/86/1071344086.db2.gz JDJTYDSSXFMRLS-UHFFFAOYSA-N 0 0 427.255 -0.307 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1N1CCNC1=O ZINC000460798424 1073128418 /nfs/dbraw/zinc/12/84/18/1073128418.db2.gz WDCXKGCLEAHZOX-UHFFFAOYSA-N 0 0 431.493 -0.159 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000460799397 1071344659 /nfs/dbraw/zinc/34/46/59/1071344659.db2.gz ZPIBDKCBEIYWQA-DZGCQCFKSA-N 0 0 447.583 -0.965 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000460799398 1071344834 /nfs/dbraw/zinc/34/48/34/1071344834.db2.gz ZPIBDKCBEIYWQA-HIFRSBDPSA-N 0 0 447.583 -0.965 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000460799399 1073128386 /nfs/dbraw/zinc/12/83/86/1073128386.db2.gz ZPIBDKCBEIYWQA-UKRRQHHQSA-N 0 0 447.583 -0.965 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000460799400 1073128412 /nfs/dbraw/zinc/12/84/12/1073128412.db2.gz ZPIBDKCBEIYWQA-ZFWWWQNUSA-N 0 0 447.583 -0.965 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1N1CCNC1=O ZINC000460803094 1073128459 /nfs/dbraw/zinc/12/84/59/1073128459.db2.gz DUYMENHQFIBZTC-UHFFFAOYSA-N 0 0 445.476 -0.632 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H](c2cccc(F)c2)N2CCOCC2)CC1)N1CCOCC1 ZINC000460803324 1071344851 /nfs/dbraw/zinc/34/48/51/1071344851.db2.gz FQLHOLNCUADVJF-IBGZPJMESA-N 0 0 448.495 -0.271 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H](c2cccc(F)c2)N2CCOCC2)CC1)N1CCOCC1 ZINC000460803339 1073128451 /nfs/dbraw/zinc/12/84/51/1073128451.db2.gz FQLHOLNCUADVJF-LJQANCHMSA-N 0 0 448.495 -0.271 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460806937 1073128476 /nfs/dbraw/zinc/12/84/76/1073128476.db2.gz QEHVKVKFSAXIBC-HNNXBMFYSA-N 0 0 442.586 -0.284 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460806938 1071344822 /nfs/dbraw/zinc/34/48/22/1071344822.db2.gz QEHVKVKFSAXIBC-OAHLLOKOSA-N 0 0 442.586 -0.284 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cccc1N1CCNC1=O ZINC000460807591 1073128259 /nfs/dbraw/zinc/12/82/59/1073128259.db2.gz SLJUXIZYFQTLBW-UHFFFAOYSA-N 0 0 429.477 -0.332 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000460807980 1073128367 /nfs/dbraw/zinc/12/83/67/1073128367.db2.gz VSXXORQDWFUNFP-UHFFFAOYSA-N 0 0 436.534 -0.415 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000460811936 1073128881 /nfs/dbraw/zinc/12/88/81/1073128881.db2.gz BSHYGFDKBNHRLG-GFCCVEGCSA-N 0 0 443.551 -0.290 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000460811937 1073128923 /nfs/dbraw/zinc/12/89/23/1073128923.db2.gz BSHYGFDKBNHRLG-LBPRGKRZSA-N 0 0 443.551 -0.290 20 0 IBADRN COc1ccc(NC(=O)C(C)(C)NS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000460816816 1071344779 /nfs/dbraw/zinc/34/47/79/1071344779.db2.gz XCAJFHMSDYTYIW-UHFFFAOYSA-N 0 0 435.524 -0.018 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000460817846 1073128405 /nfs/dbraw/zinc/12/84/05/1073128405.db2.gz SSOKEKRPJMYJRU-UHFFFAOYSA-N 0 0 447.564 -0.148 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000460817896 1073128313 /nfs/dbraw/zinc/12/83/13/1073128313.db2.gz UFUZBEAEYDJYCL-DZGCQCFKSA-N 0 0 427.527 -0.446 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000460817897 1073128296 /nfs/dbraw/zinc/12/82/96/1073128296.db2.gz UFUZBEAEYDJYCL-HIFRSBDPSA-N 0 0 427.527 -0.446 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000460817898 1073128344 /nfs/dbraw/zinc/12/83/44/1073128344.db2.gz UFUZBEAEYDJYCL-UKRRQHHQSA-N 0 0 427.527 -0.446 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000460817899 1073128335 /nfs/dbraw/zinc/12/83/35/1073128335.db2.gz UFUZBEAEYDJYCL-ZFWWWQNUSA-N 0 0 427.527 -0.446 20 0 IBADRN CCN1CCN(CCc2noc(Cn3cc(Br)c(=O)[nH]c3=O)n2)C(=O)C1=O ZINC000460821106 1073128988 /nfs/dbraw/zinc/12/89/88/1073128988.db2.gz SXHXHDMNXRAWBN-UHFFFAOYSA-N 0 0 441.242 -0.624 20 0 IBADRN CCN1CCN(CCc2noc(-c3ccc(OC)c(S(=O)(=O)NC)c3)n2)C(=O)C1=O ZINC000460821861 1071344722 /nfs/dbraw/zinc/34/47/22/1071344722.db2.gz CAROOOVWWLJZLG-UHFFFAOYSA-N 0 0 437.478 -0.114 20 0 IBADRN CCN1CCN(CCc2noc(-c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)n2)C(=O)C1=O ZINC000460822075 1073129061 /nfs/dbraw/zinc/12/90/61/1073129061.db2.gz CWRLVMVPPHFSFF-UHFFFAOYSA-N 0 0 441.448 -0.776 20 0 IBADRN CC1(C)NC(=O)N(Cc2noc(-c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)n2)C1=O ZINC000460824907 1073129074 /nfs/dbraw/zinc/12/90/74/1073129074.db2.gz ZONCTOGWWXAYNU-UHFFFAOYSA-N 0 0 448.461 -0.313 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)[N-]c2cnn(CC(=O)NCC[NH+](C)C)c2)c1 ZINC000460825307 1073129085 /nfs/dbraw/zinc/12/90/85/1073129085.db2.gz WOAKGMOOUKGAKL-UHFFFAOYSA-N 0 0 433.498 -0.448 20 0 IBADRN CC1(C)NC(=O)N(Cc2noc(-c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)n2)C1=O ZINC000460825953 1073129052 /nfs/dbraw/zinc/12/90/52/1073129052.db2.gz AEDJDOLKCNTPJB-UHFFFAOYSA-N 0 0 448.461 -0.313 20 0 IBADRN CCN1CCN(CCc2noc(CCNS(=O)(=O)c3ccc(F)cc3)n2)C(=O)C1=O ZINC000460828201 1073129037 /nfs/dbraw/zinc/12/90/37/1073129037.db2.gz AVGYQMAEUYOOTN-UHFFFAOYSA-N 0 0 439.469 -0.037 20 0 IBADRN CCN1CCN(CCc2noc(CCNS(=O)(=O)c3ccccc3F)n2)C(=O)C1=O ZINC000460828700 1073129099 /nfs/dbraw/zinc/12/90/99/1073129099.db2.gz CMWCSGKPBIQTEE-UHFFFAOYSA-N 0 0 439.469 -0.037 20 0 IBADRN CCN1CCN(CCc2noc(C3=NN(c4ccccc4)[C@H](C(N)=O)C3)n2)C(=O)C1=O ZINC000460833666 1073129715 /nfs/dbraw/zinc/12/97/15/1073129715.db2.gz ZEGBUCQNYGVAFB-HNNXBMFYSA-N 0 0 425.449 -0.229 20 0 IBADRN CCN1CCN(CCc2noc(C3=NN(c4ccccc4)[C@@H](C(N)=O)C3)n2)C(=O)C1=O ZINC000460833683 1073130364 /nfs/dbraw/zinc/13/03/64/1073130364.db2.gz ZEGBUCQNYGVAFB-OAHLLOKOSA-N 0 0 425.449 -0.229 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000460834366 1073130441 /nfs/dbraw/zinc/13/04/41/1073130441.db2.gz YBXHROAJGLCNBS-HNNXBMFYSA-N 0 0 441.558 -0.078 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000460834371 1073130465 /nfs/dbraw/zinc/13/04/65/1073130465.db2.gz YBXHROAJGLCNBS-OAHLLOKOSA-N 0 0 441.558 -0.078 20 0 IBADRN CCN1CCN(CCc2noc(-c3cc(OC)c(OCC(N)=O)c(OC)c3)n2)C(=O)C1=O ZINC000460835494 1073130456 /nfs/dbraw/zinc/13/04/56/1073130456.db2.gz FJNCZCDFHQLHRA-UHFFFAOYSA-N 0 0 447.448 -0.149 20 0 IBADRN CCN1CCN(CCc2noc(C3=NN(c4ccc(F)cc4)[C@H](C(N)=O)C3)n2)C(=O)C1=O ZINC000460836053 1073130409 /nfs/dbraw/zinc/13/04/09/1073130409.db2.gz OBJLWHVQQGUWHH-HNNXBMFYSA-N 0 0 443.439 -0.090 20 0 IBADRN CCN1CCN(CCc2noc(C3=NN(c4ccc(F)cc4)[C@@H](C(N)=O)C3)n2)C(=O)C1=O ZINC000460836054 1073130373 /nfs/dbraw/zinc/13/03/73/1073130373.db2.gz OBJLWHVQQGUWHH-OAHLLOKOSA-N 0 0 443.439 -0.090 20 0 IBADRN CCN1CCN(CCc2noc(CCn3[nH]c(=O)c4ccccc4c3=O)n2)C(=O)C1=O ZINC000460836133 1073130429 /nfs/dbraw/zinc/13/04/29/1073130429.db2.gz JHVGLESSFQZDST-UHFFFAOYSA-N 0 0 426.433 -0.039 20 0 IBADRN CCN1CCN(CCc2noc(CCc3ccc(S(=O)(=O)NC)cc3)n2)C(=O)C1=O ZINC000460839574 1073128941 /nfs/dbraw/zinc/12/89/41/1073128941.db2.gz ZVGLNJDGROIMDI-UHFFFAOYSA-N 0 0 435.506 -0.004 20 0 IBADRN CCN1CCN(CCc2noc(C3=NN(c4ccccc4)C(=O)[C@@H](C)N3)n2)C(=O)C1=O ZINC000460841704 1073129649 /nfs/dbraw/zinc/12/96/49/1073129649.db2.gz VNBUJAWSTNBVMJ-CYBMUJFWSA-N 0 0 425.449 -0.011 20 0 IBADRN CCN1CCN(CCc2noc(C3=NN(c4ccccc4)C(=O)[C@H](C)N3)n2)C(=O)C1=O ZINC000460841706 1073129636 /nfs/dbraw/zinc/12/96/36/1073129636.db2.gz VNBUJAWSTNBVMJ-ZDUSSCGKSA-N 0 0 425.449 -0.011 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)C1 ZINC000460842287 1073129624 /nfs/dbraw/zinc/12/96/24/1073129624.db2.gz KSCBKVVEDAYRPX-UHFFFAOYSA-N 0 0 430.552 -0.051 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000460843449 1073129802 /nfs/dbraw/zinc/12/98/02/1073129802.db2.gz WPPUKKZSZMGGAY-UHFFFAOYSA-N 0 0 426.543 -0.058 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000460849085 1071347007 /nfs/dbraw/zinc/34/70/07/1071347007.db2.gz VUAGRCXRIOLRAH-GFCCVEGCSA-N 0 0 435.572 -0.414 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000460849086 1073129776 /nfs/dbraw/zinc/12/97/76/1073129776.db2.gz VUAGRCXRIOLRAH-LBPRGKRZSA-N 0 0 435.572 -0.414 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000460849770 1071347373 /nfs/dbraw/zinc/34/73/73/1071347373.db2.gz OCSXTZMYKKMGLN-UHFFFAOYSA-N 0 0 445.563 -0.256 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000460850603 1073130986 /nfs/dbraw/zinc/13/09/86/1073130986.db2.gz YEVCHBAGDNSOEP-UHFFFAOYSA-N 0 0 437.518 -0.448 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc12 ZINC000460855473 1073130978 /nfs/dbraw/zinc/13/09/78/1073130978.db2.gz DCKCJIARDAQCIZ-GFCCVEGCSA-N 0 0 445.509 -0.581 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc12 ZINC000460855479 1071347796 /nfs/dbraw/zinc/34/77/96/1071347796.db2.gz DCKCJIARDAQCIZ-LBPRGKRZSA-N 0 0 445.509 -0.581 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCC(=O)N(C)CCc1ccccn1 ZINC000460859882 1071348687 /nfs/dbraw/zinc/34/86/87/1071348687.db2.gz QAUYMFLOHYXORW-AWEZNQCLSA-N 0 0 436.538 -0.084 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCC(=O)N(C)CCc1ccccn1 ZINC000460859883 1071348609 /nfs/dbraw/zinc/34/86/09/1071348609.db2.gz QAUYMFLOHYXORW-CQSZACIVSA-N 0 0 436.538 -0.084 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)NCCN3CCS(=O)(=O)CC3)cc12 ZINC000460871425 1073131004 /nfs/dbraw/zinc/13/10/04/1073131004.db2.gz CGUHTDGBDXJPKE-GFCCVEGCSA-N 0 0 427.552 -0.092 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)NCCN3CCS(=O)(=O)CC3)cc12 ZINC000460871426 1071350382 /nfs/dbraw/zinc/35/03/82/1071350382.db2.gz CGUHTDGBDXJPKE-LBPRGKRZSA-N 0 0 427.552 -0.092 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](C)NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000460872595 1073131756 /nfs/dbraw/zinc/13/17/56/1073131756.db2.gz BFNYDDXTKVGLIQ-AAEUAGOBSA-N 0 0 434.522 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H](C)NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000460872596 1073131794 /nfs/dbraw/zinc/13/17/94/1073131794.db2.gz BFNYDDXTKVGLIQ-DGCLKSJQSA-N 0 0 434.522 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H](C)NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000460872597 1073131870 /nfs/dbraw/zinc/13/18/70/1073131870.db2.gz BFNYDDXTKVGLIQ-WCQYABFASA-N 0 0 434.522 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H](C)NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000460872598 1073131875 /nfs/dbraw/zinc/13/18/75/1073131875.db2.gz BFNYDDXTKVGLIQ-YPMHNXCESA-N 0 0 434.522 -0.085 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000460873654 1073131829 /nfs/dbraw/zinc/13/18/29/1073131829.db2.gz VNXAHDDKOIWRAI-GDBMZVCRSA-N 0 0 441.554 -0.056 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000460873655 1071352137 /nfs/dbraw/zinc/35/21/37/1071352137.db2.gz VNXAHDDKOIWRAI-GOEBONIOSA-N 0 0 441.554 -0.056 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000460873656 1073131856 /nfs/dbraw/zinc/13/18/56/1073131856.db2.gz VNXAHDDKOIWRAI-HOCLYGCPSA-N 0 0 441.554 -0.056 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000460873657 1073131867 /nfs/dbraw/zinc/13/18/67/1073131867.db2.gz VNXAHDDKOIWRAI-ZBFHGGJFSA-N 0 0 441.554 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000460874810 1073131865 /nfs/dbraw/zinc/13/18/65/1073131865.db2.gz JJPSTGPYWOVDEZ-HNNXBMFYSA-N 0 0 438.506 -0.985 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000460874811 1073131724 /nfs/dbraw/zinc/13/17/24/1073131724.db2.gz JJPSTGPYWOVDEZ-OAHLLOKOSA-N 0 0 438.506 -0.985 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000460880923 1073132314 /nfs/dbraw/zinc/13/23/14/1073132314.db2.gz LBQRUDVYNGUDIH-AWEZNQCLSA-N 0 0 439.542 -0.129 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000460880932 1073132210 /nfs/dbraw/zinc/13/22/10/1073132210.db2.gz LBQRUDVYNGUDIH-CQSZACIVSA-N 0 0 439.542 -0.129 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC000460889121 1073132420 /nfs/dbraw/zinc/13/24/20/1073132420.db2.gz JEHUECZICKQBBB-UHFFFAOYSA-N 0 0 431.536 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000460895023 1073133508 /nfs/dbraw/zinc/13/35/08/1073133508.db2.gz BYAHIWNUUSKUGD-PXAZEXFGSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000460895025 1073133603 /nfs/dbraw/zinc/13/36/03/1073133603.db2.gz BYAHIWNUUSKUGD-SJCJKPOMSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000460895026 1073133597 /nfs/dbraw/zinc/13/35/97/1073133597.db2.gz BYAHIWNUUSKUGD-SJKOYZFVSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000460895028 1073133499 /nfs/dbraw/zinc/13/34/99/1073133499.db2.gz BYAHIWNUUSKUGD-YVEFUNNKSA-N 0 0 445.519 -0.053 20 0 IBADRN CC(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000460895080 1073133519 /nfs/dbraw/zinc/13/35/19/1073133519.db2.gz CEHIVYSRBXTHLJ-KFWWJZLASA-N 0 0 426.543 -0.018 20 0 IBADRN CC(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000460895081 1073133466 /nfs/dbraw/zinc/13/34/66/1073133466.db2.gz CEHIVYSRBXTHLJ-RBSFLKMASA-N 0 0 426.543 -0.018 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)NCc1cccc(NC(=O)C(C)(C)NS(C)(=O)=O)c1 ZINC000460896506 1072865739 /nfs/dbraw/zinc/86/57/39/1072865739.db2.gz PKUVEAGQRNZNQO-UHFFFAOYSA-N 0 0 448.567 -0.103 20 0 IBADRN COc1cc(N2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)ncn1 ZINC000460906064 1073134088 /nfs/dbraw/zinc/13/40/88/1073134088.db2.gz URZIBLJTEFTAIQ-UHFFFAOYSA-N 0 0 433.450 -0.656 20 0 IBADRN CC(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC000460906505 1073133015 /nfs/dbraw/zinc/13/30/15/1073133015.db2.gz SESQIVHQJXOINY-HNNXBMFYSA-N 0 0 444.558 -0.438 20 0 IBADRN CC(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC000460906520 1073132905 /nfs/dbraw/zinc/13/29/05/1073132905.db2.gz SESQIVHQJXOINY-OAHLLOKOSA-N 0 0 444.558 -0.438 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3cc(OC)ncn3)CC2)cc1 ZINC000460910317 1073134634 /nfs/dbraw/zinc/13/46/34/1073134634.db2.gz PHSOFFQIVFPVSW-UHFFFAOYSA-N 0 0 427.508 -0.096 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460911605 1073135258 /nfs/dbraw/zinc/13/52/58/1073135258.db2.gz KHYZMUYRLVIZRD-AWEZNQCLSA-N 0 0 429.543 -0.199 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000460911607 1073135270 /nfs/dbraw/zinc/13/52/70/1073135270.db2.gz KHYZMUYRLVIZRD-CQSZACIVSA-N 0 0 429.543 -0.199 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3cc(OC)ncn3)CC2)c1 ZINC000460911955 1073134733 /nfs/dbraw/zinc/13/47/33/1073134733.db2.gz MSDVLUHPFHDBEQ-UHFFFAOYSA-N 0 0 427.508 -0.096 20 0 IBADRN Cc1noc(CN2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)n1 ZINC000460914087 1073135221 /nfs/dbraw/zinc/13/52/21/1073135221.db2.gz OVUAYSCWGNUQNR-GFCCVEGCSA-N 0 0 425.515 -0.260 20 0 IBADRN Cc1noc(CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)n1 ZINC000460914088 1073135337 /nfs/dbraw/zinc/13/53/37/1073135337.db2.gz OVUAYSCWGNUQNR-LBPRGKRZSA-N 0 0 425.515 -0.260 20 0 IBADRN COc1ccnc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)n1 ZINC000460914771 1073135233 /nfs/dbraw/zinc/13/52/33/1073135233.db2.gz UBYIBZKMNXKIPG-CYBMUJFWSA-N 0 0 437.526 -0.149 20 0 IBADRN COc1ccnc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)n1 ZINC000460914772 1073135341 /nfs/dbraw/zinc/13/53/41/1073135341.db2.gz UBYIBZKMNXKIPG-ZDUSSCGKSA-N 0 0 437.526 -0.149 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000460915451 1073135197 /nfs/dbraw/zinc/13/51/97/1073135197.db2.gz WOFYSARIJYPYLI-AWEZNQCLSA-N 0 0 431.536 -0.298 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000460915452 1073135346 /nfs/dbraw/zinc/13/53/46/1073135346.db2.gz WOFYSARIJYPYLI-CQSZACIVSA-N 0 0 431.536 -0.298 20 0 IBADRN COc1cc(N2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)ncn1 ZINC000460919075 1073135673 /nfs/dbraw/zinc/13/56/73/1073135673.db2.gz WHLKFBHQBQKTSV-UHFFFAOYSA-N 0 0 441.535 -0.085 20 0 IBADRN COc1cc(N2CCN(C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)ncn1 ZINC000460921229 1073135778 /nfs/dbraw/zinc/13/57/78/1073135778.db2.gz JMSUXOYGMCNUKX-UHFFFAOYSA-N 0 0 425.471 -0.576 20 0 IBADRN COc1cc(N2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)ncn1 ZINC000460925424 1073136353 /nfs/dbraw/zinc/13/63/53/1073136353.db2.gz IKEJOWIBECUDTI-UHFFFAOYSA-N 0 0 427.513 -0.071 20 0 IBADRN CO[C@@]1(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCOC1 ZINC000460926422 1073136320 /nfs/dbraw/zinc/13/63/20/1073136320.db2.gz KMMOMGFCMLNLJI-GOSISDBHSA-N 0 0 427.527 -0.362 20 0 IBADRN CO[C@]1(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCOC1 ZINC000460926423 1073136437 /nfs/dbraw/zinc/13/64/37/1073136437.db2.gz KMMOMGFCMLNLJI-SFHVURJKSA-N 0 0 427.527 -0.362 20 0 IBADRN COc1cc(N2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)ncn1 ZINC000460927632 1073137031 /nfs/dbraw/zinc/13/70/31/1073137031.db2.gz JOCFWAHDZZWCFJ-UHFFFAOYSA-N 0 0 430.556 -0.305 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000460930412 1073134673 /nfs/dbraw/zinc/13/46/73/1073134673.db2.gz HYFXUZXPGQJYBZ-UHFFFAOYSA-N 0 0 439.585 -0.450 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)c(=O)n(C)c1=O ZINC000460930696 1073134645 /nfs/dbraw/zinc/13/46/45/1073134645.db2.gz GENAYJXXKVOCCM-UHFFFAOYSA-N 0 0 428.492 -0.898 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1ccc(C(=O)NCC(N)=O)cc1 ZINC000460932882 1073137638 /nfs/dbraw/zinc/13/76/38/1073137638.db2.gz OJLXSBXGMCWSRT-LLVKDONJSA-N 0 0 436.494 -0.442 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1ccc(C(=O)NCC(N)=O)cc1 ZINC000460932884 1073137565 /nfs/dbraw/zinc/13/75/65/1073137565.db2.gz OJLXSBXGMCWSRT-NSHDSACASA-N 0 0 436.494 -0.442 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000460937997 1073138215 /nfs/dbraw/zinc/13/82/15/1073138215.db2.gz SJLKMAZRKGQCOT-UHFFFAOYSA-N 0 0 425.554 -0.415 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000460939035 1073138187 /nfs/dbraw/zinc/13/81/87/1073138187.db2.gz MHBZLQDPAZPDMP-CYBMUJFWSA-N 0 0 449.537 -0.454 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000460939036 1073138779 /nfs/dbraw/zinc/13/87/79/1073138779.db2.gz MHBZLQDPAZPDMP-ZDUSSCGKSA-N 0 0 449.537 -0.454 20 0 IBADRN COc1nc(N2CCC[C@@H](S(C)(=O)=O)C2)nc(N2CCC[C@H](S(C)(=O)=O)C2)n1 ZINC000460941671 1073138833 /nfs/dbraw/zinc/13/88/33/1073138833.db2.gz YBZMHRKWIAGADX-BETUJISGSA-N 0 0 433.556 -0.093 20 0 IBADRN COc1nc(N2CCC[C@@H](S(C)(=O)=O)C2)nc(N2CCC[C@@H](S(C)(=O)=O)C2)n1 ZINC000460941681 1073138767 /nfs/dbraw/zinc/13/87/67/1073138767.db2.gz YBZMHRKWIAGADX-CHWSQXEVSA-N 0 0 433.556 -0.093 20 0 IBADRN COc1nc(N2CCC[C@H](S(C)(=O)=O)C2)nc(N2CCC[C@H](S(C)(=O)=O)C2)n1 ZINC000460941684 1073138775 /nfs/dbraw/zinc/13/87/75/1073138775.db2.gz YBZMHRKWIAGADX-STQMWFEESA-N 0 0 433.556 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)C2)cc1 ZINC000460952299 1073137608 /nfs/dbraw/zinc/13/76/08/1073137608.db2.gz NUADIACNJRKWQU-AWEZNQCLSA-N 0 0 430.552 -0.051 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)C2)cc1 ZINC000460952300 1073137662 /nfs/dbraw/zinc/13/76/62/1073137662.db2.gz NUADIACNJRKWQU-CQSZACIVSA-N 0 0 430.552 -0.051 20 0 IBADRN COc1cc(N2CCN(c3ccc(S(N)(=O)=O)cc3S(C)(=O)=O)CC2)ncn1 ZINC000460960591 1073139393 /nfs/dbraw/zinc/13/93/93/1073139393.db2.gz FZYUSCIEJCDBPS-UHFFFAOYSA-N 0 0 427.508 -0.137 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000460964782 1073138822 /nfs/dbraw/zinc/13/88/22/1073138822.db2.gz ARQLDGSDNUDPES-UHFFFAOYSA-N 0 0 429.524 -0.276 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2c[nH]c(=O)c(Br)c2)CC1 ZINC000460979049 1073140101 /nfs/dbraw/zinc/14/01/01/1073140101.db2.gz ADRMUYLGOUIJRQ-UHFFFAOYSA-N 0 0 425.239 -0.017 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000460979731 1073139410 /nfs/dbraw/zinc/13/94/10/1073139410.db2.gz LZIUYKMOZALCAL-UHFFFAOYSA-N 0 0 435.502 -0.158 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cccc1N1CCNC1=O ZINC000460980566 1073139539 /nfs/dbraw/zinc/13/95/39/1073139539.db2.gz FKKNGQNNTVZEBD-UHFFFAOYSA-N 0 0 427.461 -0.042 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)c3ccncc3)C2)CC1 ZINC000460986141 1073139536 /nfs/dbraw/zinc/13/95/36/1073139536.db2.gz GXQNYDMKMCVQLO-KRWDZBQOSA-N 0 0 441.488 -0.246 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)c3ccncc3)C2)CC1 ZINC000460986145 1073139468 /nfs/dbraw/zinc/13/94/68/1073139468.db2.gz GXQNYDMKMCVQLO-QGZVFWFLSA-N 0 0 441.488 -0.246 20 0 IBADRN CCN(CCCNC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)S(C)(=O)=O ZINC000460986326 1073139440 /nfs/dbraw/zinc/13/94/40/1073139440.db2.gz PRCMRLCGXIDGPS-UHFFFAOYSA-N 0 0 433.552 -0.050 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1N1CCNC1=O ZINC000460986402 1073139316 /nfs/dbraw/zinc/13/93/16/1073139316.db2.gz QKIDOAULEPZVDS-UHFFFAOYSA-N 0 0 443.460 -0.342 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000460988383 1073139332 /nfs/dbraw/zinc/13/93/32/1073139332.db2.gz LNLFTDOFTLPHSZ-UHFFFAOYSA-N 0 0 449.533 -0.141 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)NCC(=O)NCCN3CCOCC3)cc12 ZINC000460989317 1073139403 /nfs/dbraw/zinc/13/94/03/1073139403.db2.gz DKEHJECCFKZYMK-CYBMUJFWSA-N 0 0 436.538 -0.374 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)NCC(=O)NCCN3CCOCC3)cc12 ZINC000460989318 1073139353 /nfs/dbraw/zinc/13/93/53/1073139353.db2.gz DKEHJECCFKZYMK-ZDUSSCGKSA-N 0 0 436.538 -0.374 20 0 IBADRN COc1c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c(C)nn1C ZINC000460991198 1073140123 /nfs/dbraw/zinc/14/01/23/1073140123.db2.gz WSVAYZQZYAEQNO-KBPBESRZSA-N 0 0 444.558 -0.082 20 0 IBADRN COc1c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c(C)nn1C ZINC000460991199 1073140118 /nfs/dbraw/zinc/14/01/18/1073140118.db2.gz WSVAYZQZYAEQNO-OKILXGFUSA-N 0 0 444.558 -0.082 20 0 IBADRN COc1c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c(C)nn1C ZINC000460991200 1073140051 /nfs/dbraw/zinc/14/00/51/1073140051.db2.gz WSVAYZQZYAEQNO-ZIAGYGMSSA-N 0 0 444.558 -0.082 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(C)CC1 ZINC000460993685 1073140683 /nfs/dbraw/zinc/14/06/83/1073140683.db2.gz NYYLHPRGPWZBJF-GDBMZVCRSA-N 0 0 440.570 -0.531 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(C)CC1 ZINC000460993686 1073140747 /nfs/dbraw/zinc/14/07/47/1073140747.db2.gz NYYLHPRGPWZBJF-GOEBONIOSA-N 0 0 440.570 -0.531 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H]1C(=O)N1CCN(C)CC1 ZINC000460993687 1073141269 /nfs/dbraw/zinc/14/12/69/1073141269.db2.gz NYYLHPRGPWZBJF-HOCLYGCPSA-N 0 0 440.570 -0.531 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H]1C(=O)N1CCN(C)CC1 ZINC000460993688 1073141189 /nfs/dbraw/zinc/14/11/89/1073141189.db2.gz NYYLHPRGPWZBJF-ZBFHGGJFSA-N 0 0 440.570 -0.531 20 0 IBADRN COc1c(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(C)nn1C ZINC000460994530 1073141244 /nfs/dbraw/zinc/14/12/44/1073141244.db2.gz WWBCQGSBPRVYPH-UHFFFAOYSA-N 0 0 429.547 -0.943 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000460994904 1073141257 /nfs/dbraw/zinc/14/12/57/1073141257.db2.gz XRKNZPUMJRFDMC-AWEZNQCLSA-N 0 0 426.543 -0.274 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000460994905 1073141264 /nfs/dbraw/zinc/14/12/64/1073141264.db2.gz XRKNZPUMJRFDMC-CQSZACIVSA-N 0 0 426.543 -0.274 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000460996685 1073141251 /nfs/dbraw/zinc/14/12/51/1073141251.db2.gz PLFFFAPMDRVNDB-GXSJLCMTSA-N 0 0 425.433 -0.017 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000460996686 1073141249 /nfs/dbraw/zinc/14/12/49/1073141249.db2.gz PLFFFAPMDRVNDB-KOLCDFICSA-N 0 0 425.433 -0.017 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000460996687 1073141240 /nfs/dbraw/zinc/14/12/40/1073141240.db2.gz PLFFFAPMDRVNDB-MWLCHTKSSA-N 0 0 425.433 -0.017 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000460996688 1073141216 /nfs/dbraw/zinc/14/12/16/1073141216.db2.gz PLFFFAPMDRVNDB-ONGXEEELSA-N 0 0 425.433 -0.017 20 0 IBADRN COc1c(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C)nn1C ZINC000460996997 1073141252 /nfs/dbraw/zinc/14/12/52/1073141252.db2.gz BQNRCAIVLBVRHW-UHFFFAOYSA-N 0 0 430.531 -0.422 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCC(C)(C)N1CCS(=O)CC1 ZINC000460997016 1073141234 /nfs/dbraw/zinc/14/12/34/1073141234.db2.gz BTZUQNPAUJGDIZ-CYBMUJFWSA-N 0 0 433.600 -0.337 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCC(C)(C)N1CCS(=O)CC1 ZINC000460997017 1073141778 /nfs/dbraw/zinc/14/17/78/1073141778.db2.gz BTZUQNPAUJGDIZ-ZDUSSCGKSA-N 0 0 433.600 -0.337 20 0 IBADRN COc1c(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c(C)nn1C ZINC000460997148 1073141200 /nfs/dbraw/zinc/14/12/00/1073141200.db2.gz SLIKXDOQSFGVJY-UHFFFAOYSA-N 0 0 440.482 -0.355 20 0 IBADRN COc1c(CNC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c(C)nn1C ZINC000460998864 1073141803 /nfs/dbraw/zinc/14/18/03/1073141803.db2.gz XZJUICBJTBWAKE-UHFFFAOYSA-N 0 0 425.515 -0.005 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)cn1 ZINC000461013974 1073142293 /nfs/dbraw/zinc/14/22/93/1073142293.db2.gz IQRWMLMTBKYIPC-GFCCVEGCSA-N 0 0 441.514 -0.698 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)cn1 ZINC000461013975 1073142394 /nfs/dbraw/zinc/14/23/94/1073142394.db2.gz IQRWMLMTBKYIPC-LBPRGKRZSA-N 0 0 441.514 -0.698 20 0 IBADRN COC(=O)[C@@]1(NC(=O)Cc2ccc(CC(=O)N[C@]3(C(=O)OC)CCOC3)cc2)CCOC1 ZINC000461019209 1073142402 /nfs/dbraw/zinc/14/24/02/1073142402.db2.gz IYKHSNOGNYQRFR-FGZHOGPDSA-N 0 0 448.472 -0.332 20 0 IBADRN COC(=O)[C@@]1(NC(=O)Cc2ccc(CC(=O)N[C@@]3(C(=O)OC)CCOC3)cc2)CCOC1 ZINC000461019210 1073142335 /nfs/dbraw/zinc/14/23/35/1073142335.db2.gz IYKHSNOGNYQRFR-SZPZYZBQSA-N 0 0 448.472 -0.332 20 0 IBADRN COC(=O)[C@]1(NC(=O)Cc2ccc(CC(=O)N[C@@]3(C(=O)OC)CCOC3)cc2)CCOC1 ZINC000461019211 1073142725 /nfs/dbraw/zinc/14/27/25/1073142725.db2.gz IYKHSNOGNYQRFR-VXKWHMMOSA-N 0 0 448.472 -0.332 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)Cc1ccc(CC(=O)N2CCOC[C@H]2C(=O)OC)cc1 ZINC000461021377 1073142776 /nfs/dbraw/zinc/14/27/76/1073142776.db2.gz FQCOYFOQAAVXJO-HDICACEKSA-N 0 0 448.472 -0.428 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)Cc1ccc(CC(=O)N2CCOC[C@@H]2C(=O)OC)cc1 ZINC000461021380 1073142785 /nfs/dbraw/zinc/14/27/85/1073142785.db2.gz FQCOYFOQAAVXJO-QZTJIDSGSA-N 0 0 448.472 -0.428 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)Cc1ccc(CC(=O)N2CCOC[C@H]2C(=O)OC)cc1 ZINC000461021381 1073142624 /nfs/dbraw/zinc/14/26/24/1073142624.db2.gz FQCOYFOQAAVXJO-ROUUACIJSA-N 0 0 448.472 -0.428 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(C)(C)NS(C)(=O)=O)CC1 ZINC000461039896 1073143525 /nfs/dbraw/zinc/14/35/25/1073143525.db2.gz AULHOMGKWCMXTC-UHFFFAOYSA-N 0 0 432.568 -0.433 20 0 IBADRN COc1ccc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1N1CCNC1=O ZINC000461045331 1073143328 /nfs/dbraw/zinc/14/33/28/1073143328.db2.gz QFHNSTLIFBNGAB-INIZCTEOSA-N 0 0 431.493 -0.112 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1N1CCNC1=O ZINC000461045338 1073143375 /nfs/dbraw/zinc/14/33/75/1073143375.db2.gz QFHNSTLIFBNGAB-MRXNPFEDSA-N 0 0 431.493 -0.112 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000461053195 1073143917 /nfs/dbraw/zinc/14/39/17/1073143917.db2.gz NUULCGADJKDNOD-MOPGFXCFSA-N 0 0 429.521 -0.017 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000461053196 1073143818 /nfs/dbraw/zinc/14/38/18/1073143818.db2.gz NUULCGADJKDNOD-OALUTQOASA-N 0 0 429.521 -0.017 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000461053197 1073143879 /nfs/dbraw/zinc/14/38/79/1073143879.db2.gz NUULCGADJKDNOD-RBUKOAKNSA-N 0 0 429.521 -0.017 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000461053198 1073143945 /nfs/dbraw/zinc/14/39/45/1073143945.db2.gz NUULCGADJKDNOD-RTBURBONSA-N 0 0 429.521 -0.017 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccc(N3CCCS3(=O)=O)cc1)c(=O)n2C ZINC000461056097 1073143979 /nfs/dbraw/zinc/14/39/79/1073143979.db2.gz KXFCRPNTUZGLKH-UHFFFAOYSA-N 0 0 446.489 -0.710 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)c(=O)n(C)c1=O ZINC000461059055 1073143894 /nfs/dbraw/zinc/14/38/94/1073143894.db2.gz BMBUBGBCQWBERO-UHFFFAOYSA-N 0 0 445.501 -0.105 20 0 IBADRN COc1ccc(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1N1CCNC1=O ZINC000461061483 1073343669 /nfs/dbraw/zinc/34/36/69/1073343669.db2.gz VEZXUEPFWJPTIP-UHFFFAOYSA-N 0 0 428.449 0.532 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000461063607 1073143401 /nfs/dbraw/zinc/14/34/01/1073143401.db2.gz GREOIXDFZFMZNX-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)ccc1-n1cncn1 ZINC000461076651 1073144517 /nfs/dbraw/zinc/14/45/17/1073144517.db2.gz SZAUXGSYJRFORG-KRWDZBQOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)ccc1-n1cncn1 ZINC000461076652 1073144549 /nfs/dbraw/zinc/14/45/49/1073144549.db2.gz SZAUXGSYJRFORG-QGZVFWFLSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1cc(-n2cncn2)ccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000461081183 1073144546 /nfs/dbraw/zinc/14/45/46/1073144546.db2.gz CSMLALZETQRXJM-INIZCTEOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1cc(-n2cncn2)ccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000461081184 1073144467 /nfs/dbraw/zinc/14/44/67/1073144467.db2.gz CSMLALZETQRXJM-MRXNPFEDSA-N 0 0 446.533 -0.363 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000461083931 1073144558 /nfs/dbraw/zinc/14/45/58/1073144558.db2.gz LMTYQDCVJFUAHT-CYBMUJFWSA-N 0 0 449.599 -0.024 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000461083932 1073144455 /nfs/dbraw/zinc/14/44/55/1073144455.db2.gz LMTYQDCVJFUAHT-ZDUSSCGKSA-N 0 0 449.599 -0.024 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccc(N4CCCS4(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000461085794 1073143850 /nfs/dbraw/zinc/14/38/50/1073143850.db2.gz KWSGYRQIWOJSLH-UHFFFAOYSA-N 0 0 446.489 -0.710 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(C)(C)NS(C)(=O)=O)NC(=O)C(C)(C)NS(C)(=O)=O ZINC000461096519 1073145439 /nfs/dbraw/zinc/14/54/39/1073145439.db2.gz VBMHVZSNKRWSHY-GFCCVEGCSA-N 0 0 442.604 -0.711 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(C)(C)NS(C)(=O)=O)NC(=O)C(C)(C)NS(C)(=O)=O ZINC000461096520 1073145523 /nfs/dbraw/zinc/14/55/23/1073145523.db2.gz VBMHVZSNKRWSHY-LBPRGKRZSA-N 0 0 442.604 -0.711 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC000461098014 1073145613 /nfs/dbraw/zinc/14/56/13/1073145613.db2.gz HKLUVQGHTGFMPA-UHFFFAOYSA-N 0 0 438.550 -0.169 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)C(C)(C)NS(C)(=O)=O)CC2)cc1 ZINC000461101195 1073145978 /nfs/dbraw/zinc/14/59/78/1073145978.db2.gz RXQVURKYNYOASD-UHFFFAOYSA-N 0 0 426.539 -0.103 20 0 IBADRN CCc1nc(CN2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)no1 ZINC000461101702 1073146052 /nfs/dbraw/zinc/14/60/52/1073146052.db2.gz TWFMZTBZNLAUAS-CYBMUJFWSA-N 0 0 439.542 -0.006 20 0 IBADRN CCc1nc(CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)no1 ZINC000461101703 1073146093 /nfs/dbraw/zinc/14/60/93/1073146093.db2.gz TWFMZTBZNLAUAS-ZDUSSCGKSA-N 0 0 439.542 -0.006 20 0 IBADRN CCN(C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1)S(C)(=O)=O ZINC000461102709 1073146088 /nfs/dbraw/zinc/14/60/88/1073146088.db2.gz KMTDDINQYSXWMX-CYBMUJFWSA-N 0 0 449.599 -0.024 20 0 IBADRN CCN(C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1)S(C)(=O)=O ZINC000461102710 1073146019 /nfs/dbraw/zinc/14/60/19/1073146019.db2.gz KMTDDINQYSXWMX-ZDUSSCGKSA-N 0 0 449.599 -0.024 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000461102865 1073146100 /nfs/dbraw/zinc/14/61/00/1073146100.db2.gz QEOTYNCZPVBHNT-AWEZNQCLSA-N 0 0 445.542 -0.962 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000461102871 1073145967 /nfs/dbraw/zinc/14/59/67/1073145967.db2.gz QEOTYNCZPVBHNT-CQSZACIVSA-N 0 0 445.542 -0.962 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)no1 ZINC000461104434 1073146112 /nfs/dbraw/zinc/14/61/12/1073146112.db2.gz ASXRYXGEIXUPHQ-GFCCVEGCSA-N 0 0 425.515 -0.260 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)no1 ZINC000461104435 1073146095 /nfs/dbraw/zinc/14/60/95/1073146095.db2.gz ASXRYXGEIXUPHQ-LBPRGKRZSA-N 0 0 425.515 -0.260 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000461104968 1073146042 /nfs/dbraw/zinc/14/60/42/1073146042.db2.gz YDHQHGKXFMGZCE-CYBMUJFWSA-N 0 0 428.559 -0.627 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000461104970 1073146640 /nfs/dbraw/zinc/14/66/40/1073146640.db2.gz YDHQHGKXFMGZCE-ZDUSSCGKSA-N 0 0 428.559 -0.627 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000461105389 1073146026 /nfs/dbraw/zinc/14/60/26/1073146026.db2.gz ZSSPMCUJSYRBHX-UHFFFAOYSA-N 0 0 445.563 -0.002 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000461105899 1073146543 /nfs/dbraw/zinc/14/65/43/1073146543.db2.gz ZWWFZQQVVNMLAP-GFCCVEGCSA-N 0 0 435.572 -0.461 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000461105900 1073146518 /nfs/dbraw/zinc/14/65/18/1073146518.db2.gz ZWWFZQQVVNMLAP-LBPRGKRZSA-N 0 0 435.572 -0.461 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000461106250 1073146630 /nfs/dbraw/zinc/14/66/30/1073146630.db2.gz FVRSPVFTNMWSGY-AWEZNQCLSA-N 0 0 439.542 -0.129 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000461106251 1073146564 /nfs/dbraw/zinc/14/65/64/1073146564.db2.gz FVRSPVFTNMWSGY-CQSZACIVSA-N 0 0 439.542 -0.129 20 0 IBADRN COCCN(C(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000461111323 1073145046 /nfs/dbraw/zinc/14/50/46/1073145046.db2.gz KGQJBKSRRBCWPM-GXTWGEPZSA-N 0 0 436.556 -0.634 20 0 IBADRN COCCN(C(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)[C@H]1CCS(=O)(=O)C1 ZINC000461111342 1073145031 /nfs/dbraw/zinc/14/50/31/1073145031.db2.gz KGQJBKSRRBCWPM-JSGCOSHPSA-N 0 0 436.556 -0.634 20 0 IBADRN COCCN(C(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)[C@H]1CCS(=O)(=O)C1 ZINC000461111346 1073145144 /nfs/dbraw/zinc/14/51/44/1073145144.db2.gz KGQJBKSRRBCWPM-OCCSQVGLSA-N 0 0 436.556 -0.634 20 0 IBADRN COCCN(C(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000461111352 1071473983 /nfs/dbraw/zinc/47/39/83/1071473983.db2.gz KGQJBKSRRBCWPM-TZMCWYRMSA-N 0 0 436.556 -0.634 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)C(C)(C)NS(C)(=O)=O)CC2)cc1 ZINC000461116452 1073146599 /nfs/dbraw/zinc/14/65/99/1073146599.db2.gz XMOJROXNNMDIJJ-UHFFFAOYSA-N 0 0 446.595 -0.255 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC000461147039 1073146933 /nfs/dbraw/zinc/14/69/33/1073146933.db2.gz DZAQQIHZZSRKLA-KFWWJZLASA-N 0 0 442.542 -0.495 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC000461147050 1073146990 /nfs/dbraw/zinc/14/69/90/1073146990.db2.gz DZAQQIHZZSRKLA-RBSFLKMASA-N 0 0 442.542 -0.495 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCO1 ZINC000461147053 1073147018 /nfs/dbraw/zinc/14/70/18/1073147018.db2.gz DZAQQIHZZSRKLA-RRFJBIMHSA-N 0 0 442.542 -0.495 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCO1 ZINC000461147055 1073146912 /nfs/dbraw/zinc/14/69/12/1073146912.db2.gz DZAQQIHZZSRKLA-ZNMIVQPWSA-N 0 0 442.542 -0.495 20 0 IBADRN CCc1c(C(=O)N2CCN(c3cc(OC)ncn3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000461171805 1073147532 /nfs/dbraw/zinc/14/75/32/1073147532.db2.gz WKGXLCIKXZWUDN-UHFFFAOYSA-N 0 0 439.476 -0.044 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CC[C@@H](CN2CCOCC2)C1 ZINC000461177128 1073147546 /nfs/dbraw/zinc/14/75/46/1073147546.db2.gz ATNKQVMRKODZSY-INIZCTEOSA-N 0 0 436.534 -0.399 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CC[C@H](CN2CCOCC2)C1 ZINC000461177129 1073147646 /nfs/dbraw/zinc/14/76/46/1073147646.db2.gz ATNKQVMRKODZSY-MRXNPFEDSA-N 0 0 436.534 -0.399 20 0 IBADRN COc1cc(N2CCN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CC2)ncn1 ZINC000461177465 1073147597 /nfs/dbraw/zinc/14/75/97/1073147597.db2.gz BLYLLYSLPFVURW-UHFFFAOYSA-N 0 0 442.461 -0.141 20 0 IBADRN COc1cc(N2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)ncn1 ZINC000461178101 1073147584 /nfs/dbraw/zinc/14/75/84/1073147584.db2.gz DOAFUCLXZXFCPL-KRWDZBQOSA-N 0 0 432.525 -0.153 20 0 IBADRN COc1cc(N2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)ncn1 ZINC000461178102 1073147507 /nfs/dbraw/zinc/14/75/07/1073147507.db2.gz DOAFUCLXZXFCPL-QGZVFWFLSA-N 0 0 432.525 -0.153 20 0 IBADRN COc1cc(N2CCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)CC2)ncn1 ZINC000461178832 1073147680 /nfs/dbraw/zinc/14/76/80/1073147680.db2.gz GWOYLUDDHVYURI-UHFFFAOYSA-N 0 0 425.449 -0.298 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCO1 ZINC000461182950 1073147446 /nfs/dbraw/zinc/14/74/46/1073147446.db2.gz YIZALRGPPVHYKT-ILXRZTDVSA-N 0 0 442.542 -0.543 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC000461182951 1073147675 /nfs/dbraw/zinc/14/76/75/1073147675.db2.gz YIZALRGPPVHYKT-KFWWJZLASA-N 0 0 442.542 -0.543 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCO1 ZINC000461182952 1073147528 /nfs/dbraw/zinc/14/75/28/1073147528.db2.gz YIZALRGPPVHYKT-QLFBSQMISA-N 0 0 442.542 -0.543 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC000461182953 1073147560 /nfs/dbraw/zinc/14/75/60/1073147560.db2.gz YIZALRGPPVHYKT-RBSFLKMASA-N 0 0 442.542 -0.543 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CC[C@@H](CN2CCOCC2)C1 ZINC000461183851 1073148144 /nfs/dbraw/zinc/14/81/44/1073148144.db2.gz YOSWJKWKCBOCIV-INIZCTEOSA-N 0 0 436.534 -0.399 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CC[C@H](CN2CCOCC2)C1 ZINC000461183852 1073148207 /nfs/dbraw/zinc/14/82/07/1073148207.db2.gz YOSWJKWKCBOCIV-MRXNPFEDSA-N 0 0 436.534 -0.399 20 0 IBADRN COc1cc(N2CCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)CC2)ncn1 ZINC000461205165 1073148718 /nfs/dbraw/zinc/14/87/18/1073148718.db2.gz VMMYZIJVQCDEJX-UHFFFAOYSA-N 0 0 425.449 -0.298 20 0 IBADRN O=C1CN(Cc2cccc(NS(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)c2)CCN1 ZINC000461221889 1073149644 /nfs/dbraw/zinc/14/96/44/1073149644.db2.gz XYOJBPXUHKXUTO-UHFFFAOYSA-N 0 0 429.458 -0.051 20 0 IBADRN COc1ccc(C[C@](C)(O)CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1OC ZINC000461225208 1073148709 /nfs/dbraw/zinc/14/87/09/1073148709.db2.gz VCJIOYAPGRJOMF-NRFANRHFSA-N 0 0 445.476 -0.439 20 0 IBADRN COc1ccc(C[C@@](C)(O)CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1OC ZINC000461225209 1073148543 /nfs/dbraw/zinc/14/85/43/1073148543.db2.gz VCJIOYAPGRJOMF-OAQYLSRUSA-N 0 0 445.476 -0.439 20 0 IBADRN COc1ccc(C[C@](C)(O)CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000461226138 1073149159 /nfs/dbraw/zinc/14/91/59/1073149159.db2.gz YRRGTCVYYYXXBK-NRFANRHFSA-N 0 0 445.476 -0.439 20 0 IBADRN COc1ccc(C[C@@](C)(O)CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000461226139 1073149188 /nfs/dbraw/zinc/14/91/88/1073149188.db2.gz YRRGTCVYYYXXBK-OAQYLSRUSA-N 0 0 445.476 -0.439 20 0 IBADRN COc1ccc(C[C@@](C)(O)CNC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000461226253 1073149085 /nfs/dbraw/zinc/14/90/85/1073149085.db2.gz YPGYMSGOAUFZLT-GOSISDBHSA-N 0 0 426.495 -0.175 20 0 IBADRN COc1ccc(C[C@](C)(O)CNC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000461226254 1073149212 /nfs/dbraw/zinc/14/92/12/1073149212.db2.gz YPGYMSGOAUFZLT-SFHVURJKSA-N 0 0 426.495 -0.175 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N=c1nc(-c3ccccc3Cl)[nH]n1C)c(=O)n2C ZINC000461232741 1073150153 /nfs/dbraw/zinc/15/01/53/1073150153.db2.gz DMVIJXPXRILKLS-UHFFFAOYSA-N 0 0 428.840 -0.057 20 0 IBADRN Cn1[nH]c(-c2ccccc2Cl)nc1=NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000461239138 1073150344 /nfs/dbraw/zinc/15/03/44/1073150344.db2.gz LYIFNEVVHIDKLR-UHFFFAOYSA-N 0 0 428.840 -0.057 20 0 IBADRN COc1ccc(C[C@@](C)(O)CNC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC000461242585 1073149506 /nfs/dbraw/zinc/14/95/06/1073149506.db2.gz OHQWWHKMPDKRML-GOSISDBHSA-N 0 0 426.495 -0.175 20 0 IBADRN COc1ccc(C[C@](C)(O)CNC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC000461242586 1073149642 /nfs/dbraw/zinc/14/96/42/1073149642.db2.gz OHQWWHKMPDKRML-SFHVURJKSA-N 0 0 426.495 -0.175 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)CNS(=O)(=O)c3cn(C)cn3)C2)cc1 ZINC000461270458 1073150976 /nfs/dbraw/zinc/15/09/76/1073150976.db2.gz PRLGSARUAUCLOE-UHFFFAOYSA-N 0 0 427.508 -0.804 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)cn1C ZINC000461270503 1073151016 /nfs/dbraw/zinc/15/10/16/1073151016.db2.gz MCBQGWWAXVDKOJ-UHFFFAOYSA-N 0 0 441.535 -0.495 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)C2)cc1 ZINC000461271420 1073151021 /nfs/dbraw/zinc/15/10/21/1073151021.db2.gz QFHZKSWVVDWVRI-UHFFFAOYSA-N 0 0 443.485 -0.256 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)C2)cc1 ZINC000461271461 1073150996 /nfs/dbraw/zinc/15/09/96/1073150996.db2.gz UGDOVXXIDTYWDI-MSOLQXFVSA-N 0 0 437.518 -0.109 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2)cc1 ZINC000461273588 1073150959 /nfs/dbraw/zinc/15/09/59/1073150959.db2.gz YSQCMJXVSPCIHG-UHFFFAOYSA-N 0 0 445.501 -0.464 20 0 IBADRN CO[C@H]1C[C@H](C(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)N(S(C)(=O)=O)C1 ZINC000461276117 1073151547 /nfs/dbraw/zinc/15/15/47/1073151547.db2.gz BRRXBJYNRFHYLX-GOEBONIOSA-N 0 0 431.536 -0.467 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)CCc3nc4c([nH]3)n(C)c(=O)[nH]c4=O)C2)cc1 ZINC000461278079 1073151539 /nfs/dbraw/zinc/15/15/39/1073151539.db2.gz JTQDYMHQZFOPNC-UHFFFAOYSA-N 0 0 446.489 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)CNS(=O)(=O)c3cnn(C)c3)C2)cc1 ZINC000461278554 1073151482 /nfs/dbraw/zinc/15/14/82/1073151482.db2.gz XBICPMXLHVUISX-UHFFFAOYSA-N 0 0 427.508 -0.804 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)cc1 ZINC000461280318 1073151319 /nfs/dbraw/zinc/15/13/19/1073151319.db2.gz VYQHWWXOXMSSEW-UHFFFAOYSA-N 0 0 443.485 -0.256 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)CCn3cc(S(N)(=O)=O)cn3)C2)cc1 ZINC000461280540 1073151515 /nfs/dbraw/zinc/15/15/15/1073151515.db2.gz XQYDSEVPBJAFIO-UHFFFAOYSA-N 0 0 427.508 -0.582 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)CC1 ZINC000461281366 1073151282 /nfs/dbraw/zinc/15/12/82/1073151282.db2.gz CAYLALLCLICODO-UHFFFAOYSA-N 0 0 430.552 -0.340 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)C2)cc1 ZINC000461282748 1073151375 /nfs/dbraw/zinc/15/13/75/1073151375.db2.gz ODPZBFRIZVTNJZ-CYBMUJFWSA-N 0 0 441.535 -0.415 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)C2)cc1 ZINC000461282759 1073151552 /nfs/dbraw/zinc/15/15/52/1073151552.db2.gz ODPZBFRIZVTNJZ-ZDUSSCGKSA-N 0 0 441.535 -0.415 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)CNS(=O)(=O)CCOC(C)C)C2)cc1 ZINC000461284133 1073151782 /nfs/dbraw/zinc/15/17/82/1073151782.db2.gz LGHVXAVJBLAUHH-UHFFFAOYSA-N 0 0 433.552 -0.171 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000461293014 1073152462 /nfs/dbraw/zinc/15/24/62/1073152462.db2.gz MTGGFLXIMBDWMV-UHFFFAOYSA-N 0 0 448.567 -0.434 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000461295192 1073152866 /nfs/dbraw/zinc/15/28/66/1073152866.db2.gz BYZBZISFKDRBFS-ZDUSSCGKSA-N 0 0 436.531 -0.167 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000461295962 1073152809 /nfs/dbraw/zinc/15/28/09/1073152809.db2.gz RZZCVAAMHVHHOF-DLBZAZTESA-N 0 0 428.555 -0.232 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000461295970 1073152907 /nfs/dbraw/zinc/15/29/07/1073152907.db2.gz RZZCVAAMHVHHOF-IAGOWNOFSA-N 0 0 428.555 -0.232 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000461295972 1073152886 /nfs/dbraw/zinc/15/28/86/1073152886.db2.gz RZZCVAAMHVHHOF-IRXDYDNUSA-N 0 0 428.555 -0.232 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000461295974 1073152816 /nfs/dbraw/zinc/15/28/16/1073152816.db2.gz RZZCVAAMHVHHOF-SJORKVTESA-N 0 0 428.555 -0.232 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN2C(=O)N(C)C3(CCCCC3)C2=O)CC1 ZINC000461296014 1073152778 /nfs/dbraw/zinc/15/27/78/1073152778.db2.gz PSFXFZZMGQRVSE-UHFFFAOYSA-N 0 0 429.543 -0.076 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000461296406 1073152854 /nfs/dbraw/zinc/15/28/54/1073152854.db2.gz SMOWFTZLYUGVBC-UHFFFAOYSA-N 0 0 446.551 -0.664 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000461299075 1073152897 /nfs/dbraw/zinc/15/28/97/1073152897.db2.gz VGSRHJUOLCTKFB-UHFFFAOYSA-N 0 0 449.533 -0.601 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1OC ZINC000461301386 1073152819 /nfs/dbraw/zinc/15/28/19/1073152819.db2.gz OXULKPFMBZZLAF-UHFFFAOYSA-N 0 0 428.511 -0.226 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000461303141 1073153299 /nfs/dbraw/zinc/15/32/99/1073153299.db2.gz QVJQICFYHKKWRT-INIZCTEOSA-N 0 0 436.542 -0.385 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000461303142 1073153267 /nfs/dbraw/zinc/15/32/67/1073153267.db2.gz QVJQICFYHKKWRT-MRXNPFEDSA-N 0 0 436.542 -0.385 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000461303660 1073153172 /nfs/dbraw/zinc/15/31/72/1073153172.db2.gz XAVLPBQVFPBFMP-UHFFFAOYSA-N 0 0 426.543 -0.104 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000461305348 1073151821 /nfs/dbraw/zinc/15/18/21/1073151821.db2.gz DHSBJVDWAQJZQH-UHFFFAOYSA-N 0 0 432.568 -0.132 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000461305606 1073309146 /nfs/dbraw/zinc/30/91/46/1073309146.db2.gz XVEBCCFWIVZGPO-UHFFFAOYSA-N 0 0 439.498 -0.277 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000461306344 1073151853 /nfs/dbraw/zinc/15/18/53/1073151853.db2.gz KBSPCPGDLXKVJZ-UHFFFAOYSA-N 0 0 446.551 -0.492 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000461307780 1073152268 /nfs/dbraw/zinc/15/22/68/1073152268.db2.gz KCJJMURGAZTLMK-UHFFFAOYSA-N 0 0 429.543 -0.172 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000461309418 1073152278 /nfs/dbraw/zinc/15/22/78/1073152278.db2.gz NGXFHGVIYXJHFL-UHFFFAOYSA-N 0 0 446.551 -0.492 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2ccc3c(c2)CCN3S(C)(=O)=O)CC1 ZINC000461311769 1073153683 /nfs/dbraw/zinc/15/36/83/1073153683.db2.gz AARWOXLKVCTNRU-UHFFFAOYSA-N 0 0 430.552 -0.037 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(Cc2ccon2)CC1 ZINC000461313493 1073154175 /nfs/dbraw/zinc/15/41/75/1073154175.db2.gz DVRLVSZTUYYERG-UHFFFAOYSA-N 0 0 433.490 -0.247 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000461314289 1073154109 /nfs/dbraw/zinc/15/41/09/1073154109.db2.gz AIAGYHQWLHJINB-LBPRGKRZSA-N 0 0 437.544 -0.701 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000461315887 1073154251 /nfs/dbraw/zinc/15/42/51/1073154251.db2.gz JVIXMQKHDBEWBH-KBXCAEBGSA-N 0 0 429.543 -0.172 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000461315889 1073154037 /nfs/dbraw/zinc/15/40/37/1073154037.db2.gz JVIXMQKHDBEWBH-KDOFPFPSSA-N 0 0 429.543 -0.172 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000461315891 1073154048 /nfs/dbraw/zinc/15/40/48/1073154048.db2.gz JVIXMQKHDBEWBH-KSSFIOAISA-N 0 0 429.543 -0.172 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000461315893 1073154223 /nfs/dbraw/zinc/15/42/23/1073154223.db2.gz JVIXMQKHDBEWBH-RDTXWAMCSA-N 0 0 429.543 -0.172 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)no1 ZINC000461315945 1073335551 /nfs/dbraw/zinc/33/55/51/1073335551.db2.gz KACSNADFJOYIER-CYBMUJFWSA-N 0 0 433.556 0.384 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)no1 ZINC000461315946 1073336584 /nfs/dbraw/zinc/33/65/84/1073336584.db2.gz KACSNADFJOYIER-ZDUSSCGKSA-N 0 0 433.556 0.384 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CNC(=O)c2cnc3ccccc3c2O)CC1 ZINC000461316202 1073154226 /nfs/dbraw/zinc/15/42/26/1073154226.db2.gz FVFBLNWUKSFPGK-UHFFFAOYSA-N 0 0 435.506 -0.401 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CCNS(=O)(=O)c2ccccc2F)CC1 ZINC000461316425 1073154192 /nfs/dbraw/zinc/15/41/92/1073154192.db2.gz GAGNVKYEJHKKIS-UHFFFAOYSA-N 0 0 436.531 -0.165 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000461317074 1073154206 /nfs/dbraw/zinc/15/42/06/1073154206.db2.gz IGESJRWMDQSEKA-INIZCTEOSA-N 0 0 428.559 -0.176 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000461317075 1073154237 /nfs/dbraw/zinc/15/42/37/1073154237.db2.gz IGESJRWMDQSEKA-MRXNPFEDSA-N 0 0 428.559 -0.176 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000461317156 1073154168 /nfs/dbraw/zinc/15/41/68/1073154168.db2.gz WGSAWQHBYZQUCP-UHFFFAOYSA-N 0 0 448.567 -0.434 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1OC ZINC000461317175 1073154201 /nfs/dbraw/zinc/15/42/01/1073154201.db2.gz WKUSIJOVTREYSC-UHFFFAOYSA-N 0 0 434.540 -0.442 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CNS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000461317392 1073154072 /nfs/dbraw/zinc/15/40/72/1073154072.db2.gz WZYGAZILDDYUOI-UHFFFAOYSA-N 0 0 438.959 -0.041 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000461318432 1073154188 /nfs/dbraw/zinc/15/41/88/1073154188.db2.gz MQUUDFJCMQPRAQ-AWEZNQCLSA-N 0 0 448.567 -0.297 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1C ZINC000461320399 1073153222 /nfs/dbraw/zinc/15/32/22/1073153222.db2.gz DFIQDHXZBANBGQ-UHFFFAOYSA-N 0 0 432.568 -0.078 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(Cc2ccon2)CC1 ZINC000461321662 1073153183 /nfs/dbraw/zinc/15/31/83/1073153183.db2.gz HBYMNPCGJAQXKF-UHFFFAOYSA-N 0 0 433.490 -0.247 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)CC(=O)N2C)cnn1C ZINC000461321970 1073153165 /nfs/dbraw/zinc/15/31/65/1073153165.db2.gz LGJZNOIHYJDWSP-PBHICJAKSA-N 0 0 426.543 -0.411 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)CC(=O)N2C)cnn1C ZINC000461321971 1073153255 /nfs/dbraw/zinc/15/32/55/1073153255.db2.gz LGJZNOIHYJDWSP-RHSMWYFYSA-N 0 0 426.543 -0.411 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)CC(=O)N2C)cnn1C ZINC000461321972 1073153261 /nfs/dbraw/zinc/15/32/61/1073153261.db2.gz LGJZNOIHYJDWSP-WMLDXEAASA-N 0 0 426.543 -0.411 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)CC(=O)N2C)cnn1C ZINC000461321973 1073153153 /nfs/dbraw/zinc/15/31/53/1073153153.db2.gz LGJZNOIHYJDWSP-YOEHRIQHSA-N 0 0 426.543 -0.411 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000461322908 1073153292 /nfs/dbraw/zinc/15/32/92/1073153292.db2.gz ZAWFULXWODBGHS-UHFFFAOYSA-N 0 0 432.568 -0.078 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000461325185 1073153743 /nfs/dbraw/zinc/15/37/43/1073153743.db2.gz LLMIOWHTAMOQQA-UHFFFAOYSA-N 0 0 436.531 -0.165 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000461326673 1073153614 /nfs/dbraw/zinc/15/36/14/1073153614.db2.gz VWTABIIRIDSOSX-UHFFFAOYSA-N 0 0 428.511 -0.226 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2nc(S(C)(=O)=O)n3ccccc23)CC1 ZINC000461327337 1073153627 /nfs/dbraw/zinc/15/36/27/1073153627.db2.gz RAKXZVCREHRJDW-UHFFFAOYSA-N 0 0 429.524 -0.308 20 0 IBADRN COc1ccc(C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1S(=O)(=O)N(C)C ZINC000461327662 1073153699 /nfs/dbraw/zinc/15/36/99/1073153699.db2.gz SVFYHVYIKNOSBQ-UHFFFAOYSA-N 0 0 448.567 -0.100 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000461328014 1073153595 /nfs/dbraw/zinc/15/35/95/1073153595.db2.gz VBFCNYVTJUFSME-UHFFFAOYSA-N 0 0 444.539 -0.818 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000461329442 1073153604 /nfs/dbraw/zinc/15/36/04/1073153604.db2.gz ZZKUUPWAJNAIKS-UHFFFAOYSA-N 0 0 447.517 -0.036 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](S(C)(=O)=O)C2)c1 ZINC000461329813 1073153739 /nfs/dbraw/zinc/15/37/39/1073153739.db2.gz FOCPITACRAFRNW-AWEZNQCLSA-N 0 0 433.552 -0.086 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](S(C)(=O)=O)C2)c1 ZINC000461329815 1073153729 /nfs/dbraw/zinc/15/37/29/1073153729.db2.gz FOCPITACRAFRNW-CQSZACIVSA-N 0 0 433.552 -0.086 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000461331192 1073153707 /nfs/dbraw/zinc/15/37/07/1073153707.db2.gz JSORNBQMUISBDO-UHFFFAOYSA-N 0 0 438.304 -0.948 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000461333362 1073154948 /nfs/dbraw/zinc/15/49/48/1073154948.db2.gz QJFVDPPXNIOCHX-HNNXBMFYSA-N 0 0 429.520 -0.544 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000461333363 1073154970 /nfs/dbraw/zinc/15/49/70/1073154970.db2.gz QJFVDPPXNIOCHX-OAHLLOKOSA-N 0 0 429.520 -0.544 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2ccc(CCNS(C)(=O)=O)s2)CC1 ZINC000461336120 1073154957 /nfs/dbraw/zinc/15/49/57/1073154957.db2.gz WAXKIUOTODJYJZ-UHFFFAOYSA-N 0 0 438.597 -0.206 20 0 IBADRN COc1cc(N2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)ncn1 ZINC000461336801 1073154987 /nfs/dbraw/zinc/15/49/87/1073154987.db2.gz FKOUSUBOOPAIQG-UHFFFAOYSA-N 0 0 425.243 -0.141 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000461337307 1073155086 /nfs/dbraw/zinc/15/50/86/1073155086.db2.gz YXNUJRPGIHJOLN-UHFFFAOYSA-N 0 0 432.568 -0.132 20 0 IBADRN COc1cc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)ncn1 ZINC000461337930 1073154977 /nfs/dbraw/zinc/15/49/77/1073154977.db2.gz FVVGNNMJSQPHRU-CYBMUJFWSA-N 0 0 437.526 -0.149 20 0 IBADRN COc1cc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CC2)ncn1 ZINC000461338117 1073154924 /nfs/dbraw/zinc/15/49/24/1073154924.db2.gz FVVGNNMJSQPHRU-ZDUSSCGKSA-N 0 0 437.526 -0.149 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)cn1C ZINC000461344209 1073154627 /nfs/dbraw/zinc/15/46/27/1073154627.db2.gz RXCSZCQPKSNYJQ-UHFFFAOYSA-N 0 0 441.535 -0.137 20 0 IBADRN COc1cc(N2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)CC2)ncn1 ZINC000461345380 1073154602 /nfs/dbraw/zinc/15/46/02/1073154602.db2.gz BASNSNNPHOQVAN-UHFFFAOYSA-N 0 0 436.494 -0.203 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000461345786 1073154610 /nfs/dbraw/zinc/15/46/10/1073154610.db2.gz UHZREZBKTQZMAN-CYBMUJFWSA-N 0 0 441.535 -0.057 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000461345787 1073154631 /nfs/dbraw/zinc/15/46/31/1073154631.db2.gz UHZREZBKTQZMAN-ZDUSSCGKSA-N 0 0 441.535 -0.057 20 0 IBADRN C[C@@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@H](C)S1(=O)=O ZINC000461346663 1073154600 /nfs/dbraw/zinc/15/46/00/1073154600.db2.gz KOZHRZJMPZJSKM-BETUJISGSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@@H](C)S1(=O)=O ZINC000461346664 1073154569 /nfs/dbraw/zinc/15/45/69/1073154569.db2.gz KOZHRZJMPZJSKM-CHWSQXEVSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@H](C)S1(=O)=O ZINC000461346665 1073154607 /nfs/dbraw/zinc/15/46/07/1073154607.db2.gz KOZHRZJMPZJSKM-STQMWFEESA-N 0 0 431.536 -0.299 20 0 IBADRN COc1cc(N2CCN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)CC2)ncn1 ZINC000461347035 1073154647 /nfs/dbraw/zinc/15/46/47/1073154647.db2.gz AEUWWEHQUOAMTF-UHFFFAOYSA-N 0 0 433.557 -0.334 20 0 IBADRN COc1cc(N2CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CC2)ncn1 ZINC000461347096 1073154652 /nfs/dbraw/zinc/15/46/52/1073154652.db2.gz AYNRHOFTISYQPP-UHFFFAOYSA-N 0 0 428.559 -0.217 20 0 IBADRN COc1cc(N2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)ncn1 ZINC000461347941 1073154593 /nfs/dbraw/zinc/15/45/93/1073154593.db2.gz GAWNZOZDDMICTI-UHFFFAOYSA-N 0 0 434.522 -0.387 20 0 IBADRN COc1cc(N2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)CC2)ncn1 ZINC000461348013 1073154645 /nfs/dbraw/zinc/15/46/45/1073154645.db2.gz GXGUJMFSJZWYLI-UHFFFAOYSA-N 0 0 431.497 -0.411 20 0 IBADRN COc1cc(N2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)ncn1 ZINC000461348324 1073154560 /nfs/dbraw/zinc/15/45/60/1073154560.db2.gz HUWWWGKYTGLRAU-UHFFFAOYSA-N 0 0 432.506 -0.156 20 0 IBADRN COCCN(C(=O)CN1CCN(c2cc(OC)ncn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000461348960 1073154544 /nfs/dbraw/zinc/15/45/44/1073154544.db2.gz BUUYBKCSNYMLEA-HNNXBMFYSA-N 0 0 427.527 -0.731 20 0 IBADRN COCCN(C(=O)CN1CCN(c2cc(OC)ncn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000461348967 1073154543 /nfs/dbraw/zinc/15/45/43/1073154543.db2.gz BUUYBKCSNYMLEA-OAHLLOKOSA-N 0 0 427.527 -0.731 20 0 IBADRN COc1cc(N2CCN(CC(=O)Nc3cc(S(N)(=O)=O)ccc3OC)CC2)ncn1 ZINC000461351760 1073154637 /nfs/dbraw/zinc/15/46/37/1073154637.db2.gz KTHNDRGGNJTUAF-UHFFFAOYSA-N 0 0 436.494 -0.098 20 0 IBADRN C[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)S1(=O)=O ZINC000461352472 1073154912 /nfs/dbraw/zinc/15/49/12/1073154912.db2.gz MTSXPBDFHTVHNP-BETUJISGSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H](C)S1(=O)=O ZINC000461352473 1073155066 /nfs/dbraw/zinc/15/50/66/1073155066.db2.gz MTSXPBDFHTVHNP-CHWSQXEVSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)S1(=O)=O ZINC000461352474 1073155056 /nfs/dbraw/zinc/15/50/56/1073155056.db2.gz MTSXPBDFHTVHNP-STQMWFEESA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@@H]1CN(C(=O)CSCC(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)C[C@@H](C)S1(=O)=O ZINC000461352776 1073154633 /nfs/dbraw/zinc/15/46/33/1073154633.db2.gz LRPBFGFIONOMGO-KJGYPYNMSA-N 0 0 440.609 -0.212 20 0 IBADRN C[C@@H]1CN(C(=O)CSCC(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)C[C@H](C)S1(=O)=O ZINC000461352777 1073155092 /nfs/dbraw/zinc/15/50/92/1073155092.db2.gz LRPBFGFIONOMGO-KPWCQOOUSA-N 0 0 440.609 -0.212 20 0 IBADRN C[C@@H]1CN(C(=O)CSCC(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)C[C@@H](C)S1(=O)=O ZINC000461352778 1073154941 /nfs/dbraw/zinc/15/49/41/1073154941.db2.gz LRPBFGFIONOMGO-SYQHCUMBSA-N 0 0 440.609 -0.212 20 0 IBADRN C[C@@H]1CN(C(=O)CSCC(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)C[C@H](C)S1(=O)=O ZINC000461352779 1073154900 /nfs/dbraw/zinc/15/49/00/1073154900.db2.gz LRPBFGFIONOMGO-XDQVBPFNSA-N 0 0 440.609 -0.212 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)C2=O)n1 ZINC000461355657 1073155477 /nfs/dbraw/zinc/15/54/77/1073155477.db2.gz SVWYCCBHUMQHPE-GFCCVEGCSA-N 0 0 448.461 -0.713 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)C2=O)n1 ZINC000461355660 1073155539 /nfs/dbraw/zinc/15/55/39/1073155539.db2.gz SVWYCCBHUMQHPE-LBPRGKRZSA-N 0 0 448.461 -0.713 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)c1 ZINC000461355760 1073155342 /nfs/dbraw/zinc/15/53/42/1073155342.db2.gz WCWWOMOZGMZXEN-KBPBESRZSA-N 0 0 433.552 -0.087 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)c1 ZINC000461355761 1073155372 /nfs/dbraw/zinc/15/53/72/1073155372.db2.gz WCWWOMOZGMZXEN-OKILXGFUSA-N 0 0 433.552 -0.087 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)c1 ZINC000461355911 1073155406 /nfs/dbraw/zinc/15/54/06/1073155406.db2.gz WCWWOMOZGMZXEN-ZIAGYGMSSA-N 0 0 433.552 -0.087 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)C2=O)n1 ZINC000461356702 1073155507 /nfs/dbraw/zinc/15/55/07/1073155507.db2.gz XMYIHCBNCWEUMO-AWEZNQCLSA-N 0 0 436.494 -0.306 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)C2=O)n1 ZINC000461356703 1073155532 /nfs/dbraw/zinc/15/55/32/1073155532.db2.gz XMYIHCBNCWEUMO-CQSZACIVSA-N 0 0 436.494 -0.306 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@H](S(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000461357562 1073155976 /nfs/dbraw/zinc/15/59/76/1073155976.db2.gz BDPVMVQYWVGMKP-HNNXBMFYSA-N 0 0 434.518 -0.131 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@H](S(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000461357563 1073155990 /nfs/dbraw/zinc/15/59/90/1073155990.db2.gz BDPVMVQYWVGMKP-OAHLLOKOSA-N 0 0 434.518 -0.131 20 0 IBADRN CC(C)(C)CN1CCC[C@@H](N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000461357931 1073156106 /nfs/dbraw/zinc/15/61/06/1073156106.db2.gz FARMPGYDGYJBTM-DLBZAZTESA-N 0 0 428.599 -0.056 20 0 IBADRN CC(C)(C)CN1CCC[C@@H](N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000461357932 1073156090 /nfs/dbraw/zinc/15/60/90/1073156090.db2.gz FARMPGYDGYJBTM-IAGOWNOFSA-N 0 0 428.599 -0.056 20 0 IBADRN CC(C)(C)CN1CCC[C@H](N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000461357933 1073155952 /nfs/dbraw/zinc/15/59/52/1073155952.db2.gz FARMPGYDGYJBTM-IRXDYDNUSA-N 0 0 428.599 -0.056 20 0 IBADRN CC(C)(C)CN1CCC[C@H](N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000461357934 1073156032 /nfs/dbraw/zinc/15/60/32/1073156032.db2.gz FARMPGYDGYJBTM-SJORKVTESA-N 0 0 428.599 -0.056 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)[C@@]1(CO)CCOC1 ZINC000461359380 1073156414 /nfs/dbraw/zinc/15/64/14/1073156414.db2.gz FNMMPFPXDAZIPE-GOSISDBHSA-N 0 0 433.477 -0.119 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)[C@]1(CO)CCOC1 ZINC000461359381 1073156629 /nfs/dbraw/zinc/15/66/29/1073156629.db2.gz FNMMPFPXDAZIPE-SFHVURJKSA-N 0 0 433.477 -0.119 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC[C@H](S(C)(=O)=O)C2)CC1 ZINC000461359657 1073156028 /nfs/dbraw/zinc/15/60/28/1073156028.db2.gz BZCCSFWATJFVRN-HNNXBMFYSA-N 0 0 448.567 -0.367 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC[C@@H](S(C)(=O)=O)C2)CC1 ZINC000461359658 1073155987 /nfs/dbraw/zinc/15/59/87/1073155987.db2.gz BZCCSFWATJFVRN-OAHLLOKOSA-N 0 0 448.567 -0.367 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@]1(CO)CCOC1 ZINC000461359687 1073155933 /nfs/dbraw/zinc/15/59/33/1073155933.db2.gz CFQCHKAYOHRAQJ-IBGZPJMESA-N 0 0 427.523 -0.593 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@@]1(CO)CCOC1 ZINC000461359688 1073156062 /nfs/dbraw/zinc/15/60/62/1073156062.db2.gz CFQCHKAYOHRAQJ-LJQANCHMSA-N 0 0 427.523 -0.593 20 0 IBADRN COCCN(C(=O)CN(C)[C@]1(CO)CCOC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000461361340 1073156554 /nfs/dbraw/zinc/15/65/54/1073156554.db2.gz LTWUBAUKFZRUHV-IBGZPJMESA-N 0 0 427.502 -0.750 20 0 IBADRN COCCN(C(=O)CN(C)[C@@]1(CO)CCOC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000461361341 1073156397 /nfs/dbraw/zinc/15/63/97/1073156397.db2.gz LTWUBAUKFZRUHV-LJQANCHMSA-N 0 0 427.502 -0.750 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2=O)n1 ZINC000461364035 1073156503 /nfs/dbraw/zinc/15/65/03/1073156503.db2.gz SMGDVJQFGBBRBH-JTQLQIEISA-N 0 0 425.243 -0.243 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2=O)n1 ZINC000461364036 1073156616 /nfs/dbraw/zinc/15/66/16/1073156616.db2.gz SMGDVJQFGBBRBH-SNVBAGLBSA-N 0 0 425.243 -0.243 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@H](C)S1(=O)=O ZINC000461364441 1073156581 /nfs/dbraw/zinc/15/65/81/1073156581.db2.gz XRSIFTHDCSCIAL-BETUJISGSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@@H](C)S1(=O)=O ZINC000461364442 1073156383 /nfs/dbraw/zinc/15/63/83/1073156383.db2.gz XRSIFTHDCSCIAL-CHWSQXEVSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@H](C)S1(=O)=O ZINC000461364443 1073156494 /nfs/dbraw/zinc/15/64/94/1073156494.db2.gz XRSIFTHDCSCIAL-STQMWFEESA-N 0 0 429.520 -0.545 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000461366147 1073156549 /nfs/dbraw/zinc/15/65/49/1073156549.db2.gz VZYIRQDLQYQMIR-GXTWGEPZSA-N 0 0 437.526 -0.251 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000461366148 1073156604 /nfs/dbraw/zinc/15/66/04/1073156604.db2.gz VZYIRQDLQYQMIR-JSGCOSHPSA-N 0 0 437.526 -0.251 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000461366149 1073156535 /nfs/dbraw/zinc/15/65/35/1073156535.db2.gz VZYIRQDLQYQMIR-OCCSQVGLSA-N 0 0 437.526 -0.251 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000461366150 1073156625 /nfs/dbraw/zinc/15/66/25/1073156625.db2.gz VZYIRQDLQYQMIR-TZMCWYRMSA-N 0 0 437.526 -0.251 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@]3(CO)CCOC3)CC2)cc1 ZINC000461373102 1073155419 /nfs/dbraw/zinc/15/54/19/1073155419.db2.gz CXXOOTUWEDBESI-FQEVSTJZSA-N 0 0 439.534 -0.195 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@]3(CO)CCOC3)CC2)cc1 ZINC000461373108 1073155585 /nfs/dbraw/zinc/15/55/85/1073155585.db2.gz CXXOOTUWEDBESI-HXUWFJFHSA-N 0 0 439.534 -0.195 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@]1(CO)CCOC1 ZINC000461373861 1073155376 /nfs/dbraw/zinc/15/53/76/1073155376.db2.gz FECJLEMQHDALPX-IBGZPJMESA-N 0 0 427.523 -0.593 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@]1(CO)CCOC1 ZINC000461373862 1073155462 /nfs/dbraw/zinc/15/54/62/1073155462.db2.gz FECJLEMQHDALPX-LJQANCHMSA-N 0 0 427.523 -0.593 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@]2(CO)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000461379433 1073157051 /nfs/dbraw/zinc/15/70/51/1073157051.db2.gz ZRHJZRACDINCPW-IBGZPJMESA-N 0 0 443.522 -0.262 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@@]2(CO)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000461379434 1073156899 /nfs/dbraw/zinc/15/68/99/1073156899.db2.gz ZRHJZRACDINCPW-LJQANCHMSA-N 0 0 443.522 -0.262 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@]3(CO)CCOC3)CC2)cc1 ZINC000461379450 1073156961 /nfs/dbraw/zinc/15/69/61/1073156961.db2.gz ZTPCKAJFOCIROD-IBGZPJMESA-N 0 0 427.523 -0.389 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@]3(CO)CCOC3)CC2)cc1 ZINC000461379451 1073157063 /nfs/dbraw/zinc/15/70/63/1073157063.db2.gz ZTPCKAJFOCIROD-LJQANCHMSA-N 0 0 427.523 -0.389 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@]3(CO)CCOC3)CC2)c1 ZINC000461391499 1073156920 /nfs/dbraw/zinc/15/69/20/1073156920.db2.gz WSJISDDXLMQZIT-FQEVSTJZSA-N 0 0 439.534 -0.195 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@]3(CO)CCOC3)CC2)c1 ZINC000461391500 1073157041 /nfs/dbraw/zinc/15/70/41/1073157041.db2.gz WSJISDDXLMQZIT-HXUWFJFHSA-N 0 0 439.534 -0.195 20 0 IBADRN COc1cc(N2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)ncn1 ZINC000461391773 1073157030 /nfs/dbraw/zinc/15/70/30/1073157030.db2.gz UAFPIADWDOOVRD-UHFFFAOYSA-N 0 0 448.461 -0.611 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N3CCN(c4cc(OC)ncn4)CC3)CC2)ncn1 ZINC000461403145 1073157002 /nfs/dbraw/zinc/15/70/02/1073157002.db2.gz YPNDVHGLKNZQFI-UHFFFAOYSA-N 0 0 442.480 -0.719 20 0 IBADRN COC[C@H](CO)NC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000461428511 1073157537 /nfs/dbraw/zinc/15/75/37/1073157537.db2.gz ICTMGNZXFFNPDF-LBPRGKRZSA-N 0 0 425.463 -0.302 20 0 IBADRN COC[C@H](CO)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000461435743 1073158063 /nfs/dbraw/zinc/15/80/63/1073158063.db2.gz ZHVYWYVUUYQWPJ-GXTWGEPZSA-N 0 0 442.943 -0.455 20 0 IBADRN COC[C@H](CO)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000461435744 1073158038 /nfs/dbraw/zinc/15/80/38/1073158038.db2.gz ZHVYWYVUUYQWPJ-JSGCOSHPSA-N 0 0 442.943 -0.455 20 0 IBADRN COC[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000461436863 1073158025 /nfs/dbraw/zinc/15/80/25/1073158025.db2.gz QUEXMDUWWHWNDK-HNNXBMFYSA-N 0 0 431.486 -0.438 20 0 IBADRN COC[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000461440154 1073157566 /nfs/dbraw/zinc/15/75/66/1073157566.db2.gz AEOSQIPTIOQCAF-HNNXBMFYSA-N 0 0 443.522 -0.568 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc4c(c3)OCO4)CC2)cn1C ZINC000461441693 1073157602 /nfs/dbraw/zinc/15/76/02/1073157602.db2.gz GUJYJJPZBNIPHZ-UHFFFAOYSA-N 0 0 449.489 -0.280 20 0 IBADRN COC[C@H](CO)NC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000461443416 1073157607 /nfs/dbraw/zinc/15/76/07/1073157607.db2.gz BKLXUNNHICXFDW-AWEZNQCLSA-N 0 0 440.522 -0.350 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)c1 ZINC000461443848 1073157521 /nfs/dbraw/zinc/15/75/21/1073157521.db2.gz BYWIGSHXSFJIMT-UHFFFAOYSA-N 0 0 433.446 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000461444905 1073157573 /nfs/dbraw/zinc/15/75/73/1073157573.db2.gz FTBYQOCGKQKEAP-CYBMUJFWSA-N 0 0 426.495 -0.581 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000461444913 1073157999 /nfs/dbraw/zinc/15/79/99/1073157999.db2.gz FTBYQOCGKQKEAP-ZDUSSCGKSA-N 0 0 426.495 -0.581 20 0 IBADRN COC[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000461445521 1073158069 /nfs/dbraw/zinc/15/80/69/1073158069.db2.gz SEJSIODRASWWAG-INIZCTEOSA-N 0 0 427.523 -0.268 20 0 IBADRN COC[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000461446219 1073158088 /nfs/dbraw/zinc/15/80/88/1073158088.db2.gz PDYGLLVDOLQGGV-HNNXBMFYSA-N 0 0 443.522 -0.719 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNC(=O)c2cc(OC)cc(OC)c2)CC1 ZINC000461448131 1073158152 /nfs/dbraw/zinc/15/81/52/1073158152.db2.gz JUKVQSGRFDGQGB-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN O=S(=O)(NCC1CC1)c1ccc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)nc1 ZINC000461451291 1073158599 /nfs/dbraw/zinc/15/85/99/1073158599.db2.gz RQSONTSXVXIEBX-UHFFFAOYSA-N 0 0 445.567 -0.531 20 0 IBADRN COC[C@H](CO)NC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000461451446 1073157952 /nfs/dbraw/zinc/15/79/52/1073157952.db2.gz SOCQIPLIQGMQSK-ZDUSSCGKSA-N 0 0 427.479 -0.107 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)CC1 ZINC000461451703 1073158112 /nfs/dbraw/zinc/15/81/12/1073158112.db2.gz SQPJULPMEUNKNP-UHFFFAOYSA-N 0 0 427.479 -0.344 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)c1 ZINC000461451872 1073158011 /nfs/dbraw/zinc/15/80/11/1073158011.db2.gz FWJZRZZHCZCYLE-UHFFFAOYSA-N 0 0 433.446 -0.193 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1ccc2c(c1)OCO2 ZINC000461453296 1073158425 /nfs/dbraw/zinc/15/84/25/1073158425.db2.gz ZSYVTCXVEIRHQK-INIZCTEOSA-N 0 0 440.456 -0.136 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CNC(=O)c1ccc2c(c1)OCO2 ZINC000461453297 1073158442 /nfs/dbraw/zinc/15/84/42/1073158442.db2.gz ZSYVTCXVEIRHQK-MRXNPFEDSA-N 0 0 440.456 -0.136 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)c1 ZINC000461458273 1073158133 /nfs/dbraw/zinc/15/81/33/1073158133.db2.gz WWSFWAUSIIKBBX-UHFFFAOYSA-N 0 0 432.480 -0.195 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)c1 ZINC000461461602 1073158098 /nfs/dbraw/zinc/15/80/98/1073158098.db2.gz VYPPNMKBKATING-UHFFFAOYSA-N 0 0 444.491 -0.098 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)c1 ZINC000461461946 1073157986 /nfs/dbraw/zinc/15/79/86/1073157986.db2.gz HHNGPXZGFLNRID-UHFFFAOYSA-N 0 0 431.496 -0.351 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)c1 ZINC000461462989 1073157929 /nfs/dbraw/zinc/15/79/29/1073157929.db2.gz CTIJEPPCVCRZSL-JTQLQIEISA-N 0 0 445.523 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)c1 ZINC000461462994 1073157962 /nfs/dbraw/zinc/15/79/62/1073157962.db2.gz CTIJEPPCVCRZSL-SNVBAGLBSA-N 0 0 445.523 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000461463492 1073158053 /nfs/dbraw/zinc/15/80/53/1073158053.db2.gz GMVYNLPOMLHCGQ-UHFFFAOYSA-N 0 0 436.450 -0.924 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000461467032 1073158624 /nfs/dbraw/zinc/15/86/24/1073158624.db2.gz WXBFWLRVAMJYKM-UHFFFAOYSA-N 0 0 433.240 -0.036 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000461469979 1073158499 /nfs/dbraw/zinc/15/84/99/1073158499.db2.gz RIMQUACWLJTUKS-UHFFFAOYSA-N 0 0 442.520 -0.131 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000461474325 1073158579 /nfs/dbraw/zinc/15/85/79/1073158579.db2.gz MGFQOKDQAMRSLY-UHFFFAOYSA-N 0 0 430.509 -0.427 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000461479347 1073158488 /nfs/dbraw/zinc/15/84/88/1073158488.db2.gz JRPVROPOGBZHGS-CYBMUJFWSA-N 0 0 437.522 -0.093 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000461479348 1073158532 /nfs/dbraw/zinc/15/85/32/1073158532.db2.gz JRPVROPOGBZHGS-ZDUSSCGKSA-N 0 0 437.522 -0.093 20 0 IBADRN O=C(CCn1nc2ccccn2c1=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000461488978 1073158539 /nfs/dbraw/zinc/15/85/39/1073158539.db2.gz IZFGQQAUUKAJES-UHFFFAOYSA-N 0 0 444.536 -0.037 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccc(CC(=O)N2C[C@H](O)C[C@H]2C(=O)OC)cc1 ZINC000461502920 1073159152 /nfs/dbraw/zinc/15/91/52/1073159152.db2.gz NMBLRDNKWXOBFL-BDXSIMOUSA-N 0 0 448.472 -0.959 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N[C@@H](CCO)C3CCOCC3)CC2=O)c1 ZINC000461511810 1073159530 /nfs/dbraw/zinc/15/95/30/1073159530.db2.gz HCQPVALFBXJDEL-PBHICJAKSA-N 0 0 425.507 -0.019 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N[C@H](CCO)C3CCOCC3)CC2=O)c1 ZINC000461511811 1073159546 /nfs/dbraw/zinc/15/95/46/1073159546.db2.gz HCQPVALFBXJDEL-RHSMWYFYSA-N 0 0 425.507 -0.019 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N[C@H](CCO)C3CCOCC3)CC2=O)c1 ZINC000461511812 1073159522 /nfs/dbraw/zinc/15/95/22/1073159522.db2.gz HCQPVALFBXJDEL-WMLDXEAASA-N 0 0 425.507 -0.019 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N[C@@H](CCO)C3CCOCC3)CC2=O)c1 ZINC000461511813 1073159532 /nfs/dbraw/zinc/15/95/32/1073159532.db2.gz HCQPVALFBXJDEL-YOEHRIQHSA-N 0 0 425.507 -0.019 20 0 IBADRN O=C(N[C@@H](CCO)C1CCOCC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000461517765 1073159836 /nfs/dbraw/zinc/15/98/36/1073159836.db2.gz MEBOZEKBECTXFW-KRWDZBQOSA-N 0 0 425.507 -0.286 20 0 IBADRN O=C(N[C@H](CCO)C1CCOCC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000461517766 1073159870 /nfs/dbraw/zinc/15/98/70/1073159870.db2.gz MEBOZEKBECTXFW-QGZVFWFLSA-N 0 0 425.507 -0.286 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000461518045 1073160001 /nfs/dbraw/zinc/16/00/01/1073160001.db2.gz CKAAUMUEOYOBDN-ILXRZTDVSA-N 0 0 439.604 -0.193 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000461518047 1073159937 /nfs/dbraw/zinc/15/99/37/1073159937.db2.gz CKAAUMUEOYOBDN-KFWWJZLASA-N 0 0 439.604 -0.193 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000461518048 1073159881 /nfs/dbraw/zinc/15/98/81/1073159881.db2.gz CKAAUMUEOYOBDN-QLFBSQMISA-N 0 0 439.604 -0.193 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000461518049 1073159996 /nfs/dbraw/zinc/15/99/96/1073159996.db2.gz CKAAUMUEOYOBDN-RBSFLKMASA-N 0 0 439.604 -0.193 20 0 IBADRN O=C(N[C@@H](CCO)C1CCOCC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000461523968 1073159092 /nfs/dbraw/zinc/15/90/92/1073159092.db2.gz ULJCFFNECCJKFN-KRWDZBQOSA-N 0 0 425.507 -0.286 20 0 IBADRN O=C(N[C@H](CCO)C1CCOCC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000461523970 1073159004 /nfs/dbraw/zinc/15/90/04/1073159004.db2.gz ULJCFFNECCJKFN-QGZVFWFLSA-N 0 0 425.507 -0.286 20 0 IBADRN O=C(Cc1ccc(CC(=O)N2CCN3CCOC[C@H]3C2)cc1)N1CCN2CCOC[C@H]2C1 ZINC000461530026 1073158988 /nfs/dbraw/zinc/15/89/88/1073158988.db2.gz BQASLLRPTBTPCK-FGZHOGPDSA-N 0 0 442.560 -0.142 20 0 IBADRN O=C(Cc1ccc(CC(=O)N2CCN3CCOC[C@@H]3C2)cc1)N1CCN2CCOC[C@H]2C1 ZINC000461530027 1073159573 /nfs/dbraw/zinc/15/95/73/1073159573.db2.gz BQASLLRPTBTPCK-SZPZYZBQSA-N 0 0 442.560 -0.142 20 0 IBADRN O=C(Cc1ccc(CC(=O)N2CCN3CCOC[C@@H]3C2)cc1)N1CCN2CCOC[C@@H]2C1 ZINC000461530028 1073159511 /nfs/dbraw/zinc/15/95/11/1073159511.db2.gz BQASLLRPTBTPCK-VXKWHMMOSA-N 0 0 442.560 -0.142 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461530421 1073159045 /nfs/dbraw/zinc/15/90/45/1073159045.db2.gz CXAKPNUDAIQNIJ-AWEZNQCLSA-N 0 0 425.577 -0.676 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461530422 1073159139 /nfs/dbraw/zinc/15/91/39/1073159139.db2.gz CXAKPNUDAIQNIJ-CQSZACIVSA-N 0 0 425.577 -0.676 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000461530695 1073159453 /nfs/dbraw/zinc/15/94/53/1073159453.db2.gz HQJSPUATMCARME-DOMZBBRYSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000461530696 1073159445 /nfs/dbraw/zinc/15/94/45/1073159445.db2.gz HQJSPUATMCARME-IUODEOHRSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000461530697 1073159506 /nfs/dbraw/zinc/15/95/06/1073159506.db2.gz HQJSPUATMCARME-SWLSCSKDSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000461531014 1073159456 /nfs/dbraw/zinc/15/94/56/1073159456.db2.gz HQJSPUATMCARME-WFASDCNBSA-N 0 0 429.520 -0.278 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000461531413 1073159570 /nfs/dbraw/zinc/15/95/70/1073159570.db2.gz GZCDAFPRUFUCHF-CVEARBPZSA-N 0 0 431.559 -0.320 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000461531414 1073159540 /nfs/dbraw/zinc/15/95/40/1073159540.db2.gz GZCDAFPRUFUCHF-HOTGVXAUSA-N 0 0 431.559 -0.320 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000461531415 1073159551 /nfs/dbraw/zinc/15/95/51/1073159551.db2.gz GZCDAFPRUFUCHF-HZPDHXFCSA-N 0 0 431.559 -0.320 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000461531416 1073159559 /nfs/dbraw/zinc/15/95/59/1073159559.db2.gz GZCDAFPRUFUCHF-JKSUJKDBSA-N 0 0 431.559 -0.320 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000461532800 1073159567 /nfs/dbraw/zinc/15/95/67/1073159567.db2.gz LISSJHORLHAFBJ-DLBZAZTESA-N 0 0 445.586 -0.072 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000461532801 1073159561 /nfs/dbraw/zinc/15/95/61/1073159561.db2.gz LISSJHORLHAFBJ-IAGOWNOFSA-N 0 0 445.586 -0.072 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000461532803 1073159471 /nfs/dbraw/zinc/15/94/71/1073159471.db2.gz LISSJHORLHAFBJ-IRXDYDNUSA-N 0 0 445.586 -0.072 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000461532804 1073159537 /nfs/dbraw/zinc/15/95/37/1073159537.db2.gz LISSJHORLHAFBJ-SJORKVTESA-N 0 0 445.586 -0.072 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(Cc2cnn(C)c2)CC1 ZINC000461534781 1073159084 /nfs/dbraw/zinc/15/90/84/1073159084.db2.gz KDWOAOHBGBONQW-KRWDZBQOSA-N 0 0 427.575 -0.092 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(Cc2cnn(C)c2)CC1 ZINC000461534782 1073159126 /nfs/dbraw/zinc/15/91/26/1073159126.db2.gz KDWOAOHBGBONQW-QGZVFWFLSA-N 0 0 427.575 -0.092 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000461535707 1073161185 /nfs/dbraw/zinc/16/11/85/1073161185.db2.gz TYAMXDHJKMODNI-INIZCTEOSA-N 0 0 430.575 -0.747 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000461535708 1073161338 /nfs/dbraw/zinc/16/13/38/1073161338.db2.gz TYAMXDHJKMODNI-MRXNPFEDSA-N 0 0 430.575 -0.747 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(Cc2nccn2C)CC1 ZINC000461536005 1073161162 /nfs/dbraw/zinc/16/11/62/1073161162.db2.gz UUWINHSYUDTSKZ-INIZCTEOSA-N 0 0 427.575 -0.092 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(Cc2nccn2C)CC1 ZINC000461536006 1073161733 /nfs/dbraw/zinc/16/17/33/1073161733.db2.gz UUWINHSYUDTSKZ-MRXNPFEDSA-N 0 0 427.575 -0.092 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC(C(=O)NCCCO)CC1 ZINC000461537027 1073159942 /nfs/dbraw/zinc/15/99/42/1073159942.db2.gz XPTGWYYWAHKIPE-INIZCTEOSA-N 0 0 433.575 -0.433 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC(C(=O)NCCCO)CC1 ZINC000461537028 1073159976 /nfs/dbraw/zinc/15/99/76/1073159976.db2.gz XPTGWYYWAHKIPE-MRXNPFEDSA-N 0 0 433.575 -0.433 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461537931 1073161616 /nfs/dbraw/zinc/16/16/16/1073161616.db2.gz BOKKBDLNFSVSDE-INIZCTEOSA-N 0 0 432.591 -0.501 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461537936 1073161605 /nfs/dbraw/zinc/16/16/05/1073161605.db2.gz BOKKBDLNFSVSDE-MRXNPFEDSA-N 0 0 432.591 -0.501 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000461539448 1073159992 /nfs/dbraw/zinc/15/99/92/1073159992.db2.gz IKIZNOSNFOOOJS-GFCCVEGCSA-N 0 0 432.576 -0.387 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000461539449 1073159920 /nfs/dbraw/zinc/15/99/20/1073159920.db2.gz IKIZNOSNFOOOJS-LBPRGKRZSA-N 0 0 432.576 -0.387 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000461539573 1073159875 /nfs/dbraw/zinc/15/98/75/1073159875.db2.gz HUZGQZQNDHECBI-BRWVUGGUSA-N 0 0 436.575 -0.297 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000461539574 1073159889 /nfs/dbraw/zinc/15/98/89/1073159889.db2.gz HUZGQZQNDHECBI-GVDBMIGSSA-N 0 0 436.575 -0.297 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000461539575 1073159931 /nfs/dbraw/zinc/15/99/31/1073159931.db2.gz HUZGQZQNDHECBI-IXDOHACOSA-N 0 0 436.575 -0.297 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000461539576 1073159854 /nfs/dbraw/zinc/15/98/54/1073159854.db2.gz HUZGQZQNDHECBI-YESZJQIVSA-N 0 0 436.575 -0.297 20 0 IBADRN CO[C@@H]1C[C@@H](c2nncn2C)N(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000461540088 1073160228 /nfs/dbraw/zinc/16/02/28/1073160228.db2.gz KZYHKZSKSKDTBT-KFWWJZLASA-N 0 0 429.547 -0.053 20 0 IBADRN CO[C@@H]1C[C@@H](c2nncn2C)N(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000461540089 1073160260 /nfs/dbraw/zinc/16/02/60/1073160260.db2.gz KZYHKZSKSKDTBT-ZNMIVQPWSA-N 0 0 429.547 -0.053 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000461540440 1073160208 /nfs/dbraw/zinc/16/02/08/1073160208.db2.gz LVBBNRLXWVANPI-CABCVRRESA-N 0 0 439.604 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000461540441 1073160305 /nfs/dbraw/zinc/16/03/05/1073160305.db2.gz LVBBNRLXWVANPI-GJZGRUSLSA-N 0 0 439.604 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000461540442 1073160221 /nfs/dbraw/zinc/16/02/21/1073160221.db2.gz LVBBNRLXWVANPI-HUUCEWRRSA-N 0 0 439.604 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000461540443 1073160373 /nfs/dbraw/zinc/16/03/73/1073160373.db2.gz LVBBNRLXWVANPI-LSDHHAIUSA-N 0 0 439.604 -0.239 20 0 IBADRN CN(CC(=O)Nc1ccc(N(C)C(=O)CN(C)S(C)(=O)=O)c(F)c1)S(C)(=O)=O ZINC000461542512 1073160351 /nfs/dbraw/zinc/16/03/51/1073160351.db2.gz UXCRHTTXSBEZSD-UHFFFAOYSA-N 0 0 438.503 -0.490 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461545722 1073160267 /nfs/dbraw/zinc/16/02/67/1073160267.db2.gz OZQHSTNAANIJBC-KRWDZBQOSA-N 0 0 446.618 -0.157 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461545724 1073160362 /nfs/dbraw/zinc/16/03/62/1073160362.db2.gz OZQHSTNAANIJBC-QGZVFWFLSA-N 0 0 446.618 -0.157 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000461547390 1073160367 /nfs/dbraw/zinc/16/03/67/1073160367.db2.gz STQPHSYXQQGUHA-HBUWYVDXSA-N 0 0 444.558 -0.191 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@H]1C[C@]1(C)NC(=O)NC1=O ZINC000461547391 1073160370 /nfs/dbraw/zinc/16/03/70/1073160370.db2.gz STQPHSYXQQGUHA-IYOUNJFTSA-N 0 0 444.558 -0.191 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@@H]1C[C@]1(C)NC(=O)NC1=O ZINC000461547392 1073160318 /nfs/dbraw/zinc/16/03/18/1073160318.db2.gz STQPHSYXQQGUHA-LBTNJELSSA-N 0 0 444.558 -0.191 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000461547393 1073160347 /nfs/dbraw/zinc/16/03/47/1073160347.db2.gz STQPHSYXQQGUHA-PMUMKWKESA-N 0 0 444.558 -0.191 20 0 IBADRN COCCN(C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000461548279 1073160791 /nfs/dbraw/zinc/16/07/91/1073160791.db2.gz XJJZZGMJAHDTCD-CABCVRRESA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000461548280 1073160764 /nfs/dbraw/zinc/16/07/64/1073160764.db2.gz XJJZZGMJAHDTCD-GJZGRUSLSA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000461548281 1073160732 /nfs/dbraw/zinc/16/07/32/1073160732.db2.gz XJJZZGMJAHDTCD-HUUCEWRRSA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000461548382 1073160389 /nfs/dbraw/zinc/16/03/89/1073160389.db2.gz XJJZZGMJAHDTCD-LSDHHAIUSA-N 0 0 440.588 -0.508 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000461548511 1073160758 /nfs/dbraw/zinc/16/07/58/1073160758.db2.gz YBWDHXNBPIOQCQ-HNNXBMFYSA-N 0 0 439.604 -0.382 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000461548513 1073160796 /nfs/dbraw/zinc/16/07/96/1073160796.db2.gz YBWDHXNBPIOQCQ-OAHLLOKOSA-N 0 0 439.604 -0.382 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461548651 1073160808 /nfs/dbraw/zinc/16/08/08/1073160808.db2.gz YRZVKASMOQDOGZ-CVEARBPZSA-N 0 0 433.575 -0.074 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461548652 1073160785 /nfs/dbraw/zinc/16/07/85/1073160785.db2.gz YRZVKASMOQDOGZ-HOTGVXAUSA-N 0 0 433.575 -0.074 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461548653 1073160659 /nfs/dbraw/zinc/16/06/59/1073160659.db2.gz YRZVKASMOQDOGZ-HZPDHXFCSA-N 0 0 433.575 -0.074 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461548654 1073160782 /nfs/dbraw/zinc/16/07/82/1073160782.db2.gz YRZVKASMOQDOGZ-JKSUJKDBSA-N 0 0 433.575 -0.074 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC000461548911 1073160285 /nfs/dbraw/zinc/16/02/85/1073160285.db2.gz ZSWADQOBXXNYHF-CYBMUJFWSA-N 0 0 430.531 -0.627 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC000461548912 1073160709 /nfs/dbraw/zinc/16/07/09/1073160709.db2.gz ZSWADQOBXXNYHF-ZDUSSCGKSA-N 0 0 430.531 -0.627 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1C[C@@H](c2cnn(C)c2)[C@H](CO)C1 ZINC000461548938 1073160813 /nfs/dbraw/zinc/16/08/13/1073160813.db2.gz PDLHSZGQLNMYGZ-BBWFWOEESA-N 0 0 428.559 -0.202 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1C[C@@H](c2cnn(C)c2)[C@H](CO)C1 ZINC000461548939 1073160703 /nfs/dbraw/zinc/16/07/03/1073160703.db2.gz PDLHSZGQLNMYGZ-ULQDDVLXSA-N 0 0 428.559 -0.202 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461549277 1073160815 /nfs/dbraw/zinc/16/08/15/1073160815.db2.gz QOBHERYPJXVIFH-HNNXBMFYSA-N 0 0 433.575 -0.074 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461549278 1073160771 /nfs/dbraw/zinc/16/07/71/1073160771.db2.gz QOBHERYPJXVIFH-OAHLLOKOSA-N 0 0 433.575 -0.074 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)no1 ZINC000461549780 1073160671 /nfs/dbraw/zinc/16/06/71/1073160671.db2.gz RWZBLFIQQXRRIK-KBPBESRZSA-N 0 0 446.530 -0.040 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)no1 ZINC000461549781 1073160727 /nfs/dbraw/zinc/16/07/27/1073160727.db2.gz RWZBLFIQQXRRIK-KGLIPLIRSA-N 0 0 446.530 -0.040 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)no1 ZINC000461549782 1073160714 /nfs/dbraw/zinc/16/07/14/1073160714.db2.gz RWZBLFIQQXRRIK-UONOGXRCSA-N 0 0 446.530 -0.040 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)no1 ZINC000461549783 1073160803 /nfs/dbraw/zinc/16/08/03/1073160803.db2.gz RWZBLFIQQXRRIK-ZIAGYGMSSA-N 0 0 446.530 -0.040 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC000461550022 1073161267 /nfs/dbraw/zinc/16/12/67/1073161267.db2.gz SPUYXEIJXZAXPD-HNNXBMFYSA-N 0 0 439.604 -0.287 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC000461550029 1073161321 /nfs/dbraw/zinc/16/13/21/1073161321.db2.gz SPUYXEIJXZAXPD-OAHLLOKOSA-N 0 0 439.604 -0.287 20 0 IBADRN Nc1noc(CCNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000461550092 1073161253 /nfs/dbraw/zinc/16/12/53/1073161253.db2.gz ZDIPSBOVAIZXRW-UHFFFAOYSA-N 0 0 436.494 -0.376 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461550121 1073161331 /nfs/dbraw/zinc/16/13/31/1073161331.db2.gz SVCJMNKJHBCRNC-INIZCTEOSA-N 0 0 432.591 -0.547 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000461550124 1073161121 /nfs/dbraw/zinc/16/11/21/1073161121.db2.gz SVCJMNKJHBCRNC-MRXNPFEDSA-N 0 0 432.591 -0.547 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000461550306 1073161083 /nfs/dbraw/zinc/16/10/83/1073161083.db2.gz UBNIKDRJOVGJCP-KBXCAEBGSA-N 0 0 444.558 -0.333 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000461550307 1073161229 /nfs/dbraw/zinc/16/12/29/1073161229.db2.gz UBNIKDRJOVGJCP-KDOFPFPSSA-N 0 0 444.558 -0.333 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000461550308 1073161201 /nfs/dbraw/zinc/16/12/01/1073161201.db2.gz UBNIKDRJOVGJCP-KSSFIOAISA-N 0 0 444.558 -0.333 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000461550309 1073161238 /nfs/dbraw/zinc/16/12/38/1073161238.db2.gz UBNIKDRJOVGJCP-RDTXWAMCSA-N 0 0 444.558 -0.333 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000461550930 1073160749 /nfs/dbraw/zinc/16/07/49/1073160749.db2.gz XNMQOGKNAJHTNK-OLZOCXBDSA-N 0 0 434.481 -0.036 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000461550938 1073160680 /nfs/dbraw/zinc/16/06/80/1073160680.db2.gz XNMQOGKNAJHTNK-STQMWFEESA-N 0 0 434.481 -0.036 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCCOC3)CC2)cc1 ZINC000461551075 1073161346 /nfs/dbraw/zinc/16/13/46/1073161346.db2.gz YTXZZFKMXKESLS-CYBMUJFWSA-N 0 0 432.524 -0.471 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CCCN(c2ncc[nH]c2=O)C1 ZINC000461551232 1073161141 /nfs/dbraw/zinc/16/11/41/1073161141.db2.gz ZDSOHOJDPCLSEY-CABCVRRESA-N 0 0 441.558 -0.301 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@H]1CCCN(c2ncc[nH]c2=O)C1 ZINC000461551233 1073161356 /nfs/dbraw/zinc/16/13/56/1073161356.db2.gz ZDSOHOJDPCLSEY-GJZGRUSLSA-N 0 0 441.558 -0.301 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCCN(c2ncc[nH]c2=O)C1 ZINC000461551234 1073161148 /nfs/dbraw/zinc/16/11/48/1073161148.db2.gz ZDSOHOJDPCLSEY-HUUCEWRRSA-N 0 0 441.558 -0.301 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCCN(c2ncc[nH]c2=O)C1 ZINC000461551235 1073161107 /nfs/dbraw/zinc/16/11/07/1073161107.db2.gz ZDSOHOJDPCLSEY-LSDHHAIUSA-N 0 0 441.558 -0.301 20 0 IBADRN COc1ccc(C(=O)NCCN2C(=O)CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000461581074 1073161713 /nfs/dbraw/zinc/16/17/13/1073161713.db2.gz RJHKSRDRTXZAAD-UHFFFAOYSA-N 0 0 439.490 -0.015 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCN3C(=O)CCCC3=O)CC2)cc1 ZINC000461586290 1073162168 /nfs/dbraw/zinc/16/21/68/1073162168.db2.gz CYKHBXHAXNRHLA-UHFFFAOYSA-N 0 0 436.534 -0.043 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c2ccsc2S1(=O)=O ZINC000461608734 1073162558 /nfs/dbraw/zinc/16/25/58/1073162558.db2.gz MGQCOQAYGJGPPK-ONGXEEELSA-N 0 0 437.503 -0.081 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c2ccsc2S1(=O)=O ZINC000461615278 1073162632 /nfs/dbraw/zinc/16/26/32/1073162632.db2.gz CZGZXOSZOWYYKD-ONGXEEELSA-N 0 0 437.503 -0.081 20 0 IBADRN Cn1c2cc(O)c(NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)cc2n(C)c1=O ZINC000461618336 1073163053 /nfs/dbraw/zinc/16/30/53/1073163053.db2.gz IHYIVMXRIMDKJD-UHFFFAOYSA-N 0 0 427.421 -0.642 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000461626887 1073163107 /nfs/dbraw/zinc/16/31/07/1073163107.db2.gz FCWJCGJBLKTNRW-UHFFFAOYSA-N 0 0 428.330 -0.265 20 0 IBADRN COCC[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(=O)OC ZINC000461628340 1073163147 /nfs/dbraw/zinc/16/31/47/1073163147.db2.gz MNPGOVNKNRIVQU-KRWDZBQOSA-N 0 0 441.506 -0.153 20 0 IBADRN COCC[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(=O)OC ZINC000461628352 1073163089 /nfs/dbraw/zinc/16/30/89/1073163089.db2.gz MNPGOVNKNRIVQU-QGZVFWFLSA-N 0 0 441.506 -0.153 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000461628875 1073163138 /nfs/dbraw/zinc/16/31/38/1073163138.db2.gz DEUCOZWVVBKSLA-UHFFFAOYSA-N 0 0 433.552 -0.352 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCS(=O)(=O)N(C)C)CC2)cc1 ZINC000461629681 1073163153 /nfs/dbraw/zinc/16/31/53/1073163153.db2.gz SAWRKSSJKQEGPB-UHFFFAOYSA-N 0 0 432.568 -0.691 20 0 IBADRN COCC[C@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(=O)OC ZINC000461629899 1073163117 /nfs/dbraw/zinc/16/31/17/1073163117.db2.gz UPZNMGOHXVJGQD-KRWDZBQOSA-N 0 0 427.523 -0.004 20 0 IBADRN COCC[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(=O)OC ZINC000461629902 1073163154 /nfs/dbraw/zinc/16/31/54/1073163154.db2.gz UPZNMGOHXVJGQD-QGZVFWFLSA-N 0 0 427.523 -0.004 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000461630731 1073163033 /nfs/dbraw/zinc/16/30/33/1073163033.db2.gz YDUIABNXIWMZPP-UHFFFAOYSA-N 0 0 435.524 -0.476 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1cc2c(cc1O)n(C)c(=O)n2C ZINC000461631320 1073162669 /nfs/dbraw/zinc/16/26/69/1073162669.db2.gz LGKIGBFMEKLGPW-JTQLQIEISA-N 0 0 447.473 -0.013 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1cc2c(cc1O)n(C)c(=O)n2C ZINC000461631321 1073162581 /nfs/dbraw/zinc/16/25/81/1073162581.db2.gz LGKIGBFMEKLGPW-SNVBAGLBSA-N 0 0 447.473 -0.013 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1nc3cc(Br)cnc3[nH]1)c(=O)n2C ZINC000461632028 1073163004 /nfs/dbraw/zinc/16/30/04/1073163004.db2.gz OPDXCYJNQRWQEY-UHFFFAOYSA-N 0 0 447.253 -0.216 20 0 IBADRN COCC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(=O)OC ZINC000461632571 1073162514 /nfs/dbraw/zinc/16/25/14/1073162514.db2.gz FDUNMBNJOPVWPS-KRWDZBQOSA-N 0 0 441.506 -0.153 20 0 IBADRN COCC[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(=O)OC ZINC000461632572 1073162525 /nfs/dbraw/zinc/16/25/25/1073162525.db2.gz FDUNMBNJOPVWPS-QGZVFWFLSA-N 0 0 441.506 -0.153 20 0 IBADRN CCc1ccc(C(=O)NCCS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000461632618 1073162553 /nfs/dbraw/zinc/16/25/53/1073162553.db2.gz FYKKULMENIHUOB-UHFFFAOYSA-N 0 0 433.552 -0.109 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCS(=O)(=O)N(C)C ZINC000461634553 1073162641 /nfs/dbraw/zinc/16/26/41/1073162641.db2.gz CKOCDQNSRQUJAS-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCS(=O)(=O)N(C)C)cc2)CC1 ZINC000461635606 1073162568 /nfs/dbraw/zinc/16/25/68/1073162568.db2.gz PEKWKTMYMZMROU-UHFFFAOYSA-N 0 0 446.551 -0.839 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000461636870 1073162521 /nfs/dbraw/zinc/16/25/21/1073162521.db2.gz LUCJDSGIMQFUFD-UHFFFAOYSA-N 0 0 445.567 -0.236 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000461639149 1073163311 /nfs/dbraw/zinc/16/33/11/1073163311.db2.gz DQNWVYHGNMHANL-UHFFFAOYSA-N 0 0 428.330 -0.265 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCS(=O)(=O)N(C)C ZINC000461639940 1073163466 /nfs/dbraw/zinc/16/34/66/1073163466.db2.gz AJGGXSRSVVKQPK-INIZCTEOSA-N 0 0 449.551 -0.232 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)N(C)C)cc1OCC ZINC000461640270 1073163302 /nfs/dbraw/zinc/16/33/02/1073163302.db2.gz CTFNHGMDOBFTID-UHFFFAOYSA-N 0 0 437.540 -0.230 20 0 IBADRN COCC[C@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000461640335 1073163481 /nfs/dbraw/zinc/16/34/81/1073163481.db2.gz CRCWDCQIIGDUKO-HNNXBMFYSA-N 0 0 444.506 -0.047 20 0 IBADRN COCC[C@@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000461640336 1073163527 /nfs/dbraw/zinc/16/35/27/1073163527.db2.gz CRCWDCQIIGDUKO-OAHLLOKOSA-N 0 0 444.506 -0.047 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000461641559 1073163490 /nfs/dbraw/zinc/16/34/90/1073163490.db2.gz JHKHPHJWMTXFKQ-UHFFFAOYSA-N 0 0 449.551 -0.086 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000461642513 1073163355 /nfs/dbraw/zinc/16/33/55/1073163355.db2.gz VKOCIXYJIAUTJC-UHFFFAOYSA-N 0 0 434.359 -0.204 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCCS(=O)(=O)N(C)C)nc2n(CC)c1=O ZINC000461643033 1073352098 /nfs/dbraw/zinc/35/20/98/1073352098.db2.gz XPUKWPRAHBRBJR-UHFFFAOYSA-N 0 0 440.526 -0.036 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000461643332 1073163890 /nfs/dbraw/zinc/16/38/90/1073163890.db2.gz PTIYSBKUZBILKR-UHFFFAOYSA-N 0 0 448.567 -0.605 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000461643953 1073163433 /nfs/dbraw/zinc/16/34/33/1073163433.db2.gz XMABLDRCFFSZTB-UHFFFAOYSA-N 0 0 433.430 -0.129 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000461645351 1073163878 /nfs/dbraw/zinc/16/38/78/1073163878.db2.gz HCBYKLJNZCBIGC-UHFFFAOYSA-N 0 0 448.567 -0.546 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCS(=O)(=O)N(C)C)c2)CC1 ZINC000461645931 1073163924 /nfs/dbraw/zinc/16/39/24/1073163924.db2.gz JGCIKKSXPASCSU-UHFFFAOYSA-N 0 0 446.551 -0.839 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000461645946 1073163869 /nfs/dbraw/zinc/16/38/69/1073163869.db2.gz JJXSAZNQKOFQSE-UHFFFAOYSA-N 0 0 448.567 -0.546 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCS(=O)(=O)N(C)C ZINC000461647150 1073163935 /nfs/dbraw/zinc/16/39/35/1073163935.db2.gz MRTSNJRLQOELPK-LBPRGKRZSA-N 0 0 435.524 -0.478 20 0 IBADRN COc1ccc(CC(=O)NCCS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000461647674 1073163856 /nfs/dbraw/zinc/16/38/56/1073163856.db2.gz NUKXNMOBMRGKOE-UHFFFAOYSA-N 0 0 449.551 -0.734 20 0 IBADRN COc1ccc(C(=O)NCCS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000461648167 1073163904 /nfs/dbraw/zinc/16/39/04/1073163904.db2.gz QRGSEPXDIXEXFO-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000461650932 1073163846 /nfs/dbraw/zinc/16/38/46/1073163846.db2.gz VWLILGPMUDZNAZ-INIZCTEOSA-N 0 0 434.493 -0.517 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000461650937 1073163894 /nfs/dbraw/zinc/16/38/94/1073163894.db2.gz VWLILGPMUDZNAZ-MRXNPFEDSA-N 0 0 434.493 -0.517 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(c3nc(N)ns3)CC2)cc1S(N)(=O)=O ZINC000461651786 1073355238 /nfs/dbraw/zinc/35/52/38/1073355238.db2.gz FRONJZQAQZXFQE-UHFFFAOYSA-N 0 0 427.512 -0.192 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCS(=O)(=O)N(C)C)CC2)c1 ZINC000461652431 1073164498 /nfs/dbraw/zinc/16/44/98/1073164498.db2.gz YHVQTWUJIIGZBU-UHFFFAOYSA-N 0 0 432.568 -0.546 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1S(N)(=O)=O ZINC000461652631 1073163832 /nfs/dbraw/zinc/16/38/32/1073163832.db2.gz AFLCCSLGLORWAU-UHFFFAOYSA-N 0 0 448.505 -0.132 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1S(N)(=O)=O ZINC000461652986 1073164579 /nfs/dbraw/zinc/16/45/79/1073164579.db2.gz NLBLXURWFCFOLO-UHFFFAOYSA-N 0 0 441.510 -0.779 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1S(N)(=O)=O ZINC000461653633 1073164568 /nfs/dbraw/zinc/16/45/68/1073164568.db2.gz OSWDTYNEEVVIPB-UHFFFAOYSA-N 0 0 439.538 -0.208 20 0 IBADRN COc1ccc(CNC(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000461654609 1073164404 /nfs/dbraw/zinc/16/44/04/1073164404.db2.gz QANFTSSCJDQNJL-CYBMUJFWSA-N 0 0 434.540 -0.044 20 0 IBADRN COc1ccc(CNC(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000461654610 1073164564 /nfs/dbraw/zinc/16/45/64/1073164564.db2.gz QANFTSSCJDQNJL-ZDUSSCGKSA-N 0 0 434.540 -0.044 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000461655681 1073164435 /nfs/dbraw/zinc/16/44/35/1073164435.db2.gz RNTXMSRZVVUDLC-UHFFFAOYSA-N 0 0 425.511 -0.552 20 0 IBADRN COc1ccc(CNC(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000461659520 1073165253 /nfs/dbraw/zinc/16/52/53/1073165253.db2.gz HEBBHXWPFHFBRE-CYBMUJFWSA-N 0 0 439.538 -0.163 20 0 IBADRN COc1ccc(CNC(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000461659521 1073165379 /nfs/dbraw/zinc/16/53/79/1073165379.db2.gz HEBBHXWPFHFBRE-ZDUSSCGKSA-N 0 0 439.538 -0.163 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)Cc2ccc(CC(=O)N3CCO[C@H](C(=O)OC)C3)cc2)CCO1 ZINC000461660901 1073165414 /nfs/dbraw/zinc/16/54/14/1073165414.db2.gz HLRHZDPDAKOEDW-HDICACEKSA-N 0 0 448.472 -0.428 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)Cc2ccc(CC(=O)N3CCO[C@@H](C(=O)OC)C3)cc2)CCO1 ZINC000461660902 1073165407 /nfs/dbraw/zinc/16/54/07/1073165407.db2.gz HLRHZDPDAKOEDW-QZTJIDSGSA-N 0 0 448.472 -0.428 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)Cc2ccc(CC(=O)N3CCO[C@H](C(=O)OC)C3)cc2)CCO1 ZINC000461660903 1073165279 /nfs/dbraw/zinc/16/52/79/1073165279.db2.gz HLRHZDPDAKOEDW-ROUUACIJSA-N 0 0 448.472 -0.428 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000461665829 1073164988 /nfs/dbraw/zinc/16/49/88/1073164988.db2.gz NVZPLEKXVAIOIV-UHFFFAOYSA-N 0 0 427.527 -0.352 20 0 IBADRN COc1ccc(CNC(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000461673578 1073165028 /nfs/dbraw/zinc/16/50/28/1073165028.db2.gz VSQHWISMVDKBRT-CYBMUJFWSA-N 0 0 434.540 -0.046 20 0 IBADRN COc1ccc(CNC(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000461673579 1073164777 /nfs/dbraw/zinc/16/47/77/1073164777.db2.gz VSQHWISMVDKBRT-ZDUSSCGKSA-N 0 0 434.540 -0.046 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]3N2c2ncccn2)CC1 ZINC000461674115 1073164889 /nfs/dbraw/zinc/16/48/89/1073164889.db2.gz MAJXQCVQIJBCTH-BBWFWOEESA-N 0 0 425.497 -0.028 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2C[C@H]3CCCC[C@@H]3N2c2ncccn2)CC1 ZINC000461674116 1073165056 /nfs/dbraw/zinc/16/50/56/1073165056.db2.gz MAJXQCVQIJBCTH-IKGGRYGDSA-N 0 0 425.497 -0.028 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@@H]3N2c2ncccn2)CC1 ZINC000461674117 1073165015 /nfs/dbraw/zinc/16/50/15/1073165015.db2.gz MAJXQCVQIJBCTH-ULQDDVLXSA-N 0 0 425.497 -0.028 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2C[C@H]3CCCC[C@H]3N2c2ncccn2)CC1 ZINC000461674118 1073165070 /nfs/dbraw/zinc/16/50/70/1073165070.db2.gz MAJXQCVQIJBCTH-ZACQAIPSSA-N 0 0 425.497 -0.028 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1S(N)(=O)=O ZINC000461674812 1073164950 /nfs/dbraw/zinc/16/49/50/1073164950.db2.gz NFQZMZJIGMPGIY-UHFFFAOYSA-N 0 0 427.527 -0.306 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000461679341 1073165714 /nfs/dbraw/zinc/16/57/14/1073165714.db2.gz IHLIVLBSXIEQSK-GFCCVEGCSA-N 0 0 434.540 -0.092 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1S(N)(=O)=O ZINC000461685562 1073165785 /nfs/dbraw/zinc/16/57/85/1073165785.db2.gz TXZJVBBPQFAKFZ-HNNXBMFYSA-N 0 0 426.495 -0.125 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1S(N)(=O)=O ZINC000461685563 1073165833 /nfs/dbraw/zinc/16/58/33/1073165833.db2.gz TXZJVBBPQFAKFZ-OAHLLOKOSA-N 0 0 426.495 -0.125 20 0 IBADRN COc1ccc(CNC(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000461705691 1073165775 /nfs/dbraw/zinc/16/57/75/1073165775.db2.gz IYINGKHZWHRNBX-CYBMUJFWSA-N 0 0 434.540 -0.092 20 0 IBADRN COc1ccc(CNC(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000461705693 1073165837 /nfs/dbraw/zinc/16/58/37/1073165837.db2.gz IYINGKHZWHRNBX-ZDUSSCGKSA-N 0 0 434.540 -0.092 20 0 IBADRN CC(=O)N1CC(NC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)C1 ZINC000461709156 1073166161 /nfs/dbraw/zinc/16/61/61/1073166161.db2.gz QAXQUQRUBBAKPV-UHFFFAOYSA-N 0 0 449.533 -0.126 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3COc4ccc(F)cc4C3)CC2)CC1 ZINC000461716526 1073166244 /nfs/dbraw/zinc/16/62/44/1073166244.db2.gz FKMIVPTYSGMBCE-KRWDZBQOSA-N 0 0 441.529 -0.051 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3COc4ccc(F)cc4C3)CC2)CC1 ZINC000461716527 1073166300 /nfs/dbraw/zinc/16/63/00/1073166300.db2.gz FKMIVPTYSGMBCE-QGZVFWFLSA-N 0 0 441.529 -0.051 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)[C@@H]2C1 ZINC000461716881 1073166290 /nfs/dbraw/zinc/16/62/90/1073166290.db2.gz QTZNBSHQTZUNQO-BBWFWOEESA-N 0 0 433.509 -0.364 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)[C@@H]2C1 ZINC000461716882 1073166237 /nfs/dbraw/zinc/16/62/37/1073166237.db2.gz QTZNBSHQTZUNQO-GVDBMIGSSA-N 0 0 433.509 -0.364 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)[C@H]2C1 ZINC000461716883 1073166266 /nfs/dbraw/zinc/16/62/66/1073166266.db2.gz QTZNBSHQTZUNQO-ULQDDVLXSA-N 0 0 433.509 -0.364 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)[C@H]2C1 ZINC000461716884 1073166137 /nfs/dbraw/zinc/16/61/37/1073166137.db2.gz QTZNBSHQTZUNQO-YESZJQIVSA-N 0 0 433.509 -0.364 20 0 IBADRN COc1cc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)ncn1 ZINC000461720479 1073166664 /nfs/dbraw/zinc/16/66/64/1073166664.db2.gz AETAGLPHEZYOKV-CYBMUJFWSA-N 0 0 448.505 -0.400 20 0 IBADRN COc1cc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)ncn1 ZINC000461720480 1073166541 /nfs/dbraw/zinc/16/65/41/1073166541.db2.gz AETAGLPHEZYOKV-ZDUSSCGKSA-N 0 0 448.505 -0.400 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@]2(OC)CCOC2)cc1S(=O)(=O)NC1CC1 ZINC000461723625 1073166550 /nfs/dbraw/zinc/16/65/50/1073166550.db2.gz GIAFNIRHIQMRTL-GOSISDBHSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@]2(OC)CCOC2)cc1S(=O)(=O)NC1CC1 ZINC000461723626 1073166682 /nfs/dbraw/zinc/16/66/82/1073166682.db2.gz GIAFNIRHIQMRTL-SFHVURJKSA-N 0 0 427.479 -0.004 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCOC1 ZINC000461725969 1073166671 /nfs/dbraw/zinc/16/66/71/1073166671.db2.gz MBSJHCIUCDPSIZ-IBGZPJMESA-N 0 0 440.522 -0.517 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCOC1 ZINC000461725972 1073166616 /nfs/dbraw/zinc/16/66/16/1073166616.db2.gz MBSJHCIUCDPSIZ-LJQANCHMSA-N 0 0 440.522 -0.517 20 0 IBADRN COc1cc(N2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CC2)ncn1 ZINC000461734266 1073166555 /nfs/dbraw/zinc/16/65/55/1073166555.db2.gz RWRXEWLDIRFWGB-UHFFFAOYSA-N 0 0 426.437 0.530 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C(=O)N1CCN(c2ccccc2)CC1 ZINC000461737529 1073166580 /nfs/dbraw/zinc/16/65/80/1073166580.db2.gz PDUAUGPLFNBHOG-INIZCTEOSA-N 0 0 436.534 -0.513 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C(=O)N1CCN(c2ccccc2)CC1 ZINC000461737530 1073166667 /nfs/dbraw/zinc/16/66/67/1073166667.db2.gz PDUAUGPLFNBHOG-MRXNPFEDSA-N 0 0 436.534 -0.513 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCOC1 ZINC000461738056 1073166678 /nfs/dbraw/zinc/16/66/78/1073166678.db2.gz RDPIKLKIOGMPBY-DYESRHJHSA-N 0 0 433.461 -0.439 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCOC1 ZINC000461738060 1073166596 /nfs/dbraw/zinc/16/65/96/1073166596.db2.gz RDPIKLKIOGMPBY-LAUBAEHRSA-N 0 0 433.461 -0.439 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCOC1 ZINC000461738061 1073166661 /nfs/dbraw/zinc/16/66/61/1073166661.db2.gz RDPIKLKIOGMPBY-UTKZUKDTSA-N 0 0 433.461 -0.439 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCOC1 ZINC000461738062 1073166602 /nfs/dbraw/zinc/16/66/02/1073166602.db2.gz RDPIKLKIOGMPBY-UWJYYQICSA-N 0 0 433.461 -0.439 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1)N1CCOCC1 ZINC000461740261 1073167720 /nfs/dbraw/zinc/16/77/20/1073167720.db2.gz KKCFTBFMAHJQFZ-UHFFFAOYSA-N 0 0 429.521 -0.662 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000461744246 1073167898 /nfs/dbraw/zinc/16/78/98/1073167898.db2.gz DVXYNVDWWKVWKB-UHFFFAOYSA-N 0 0 430.552 -0.580 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)CC1 ZINC000461746351 1073167809 /nfs/dbraw/zinc/16/78/09/1073167809.db2.gz AULVQHGSUPDVBL-UONOGXRCSA-N 0 0 430.552 -0.141 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000461747551 1073167825 /nfs/dbraw/zinc/16/78/25/1073167825.db2.gz RIIGITAQLTZVCQ-HNNXBMFYSA-N 0 0 429.520 -0.544 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000461747553 1073167873 /nfs/dbraw/zinc/16/78/73/1073167873.db2.gz RIIGITAQLTZVCQ-OAHLLOKOSA-N 0 0 429.520 -0.544 20 0 IBADRN CC(=O)N1CCN(C(=O)CN(CC(=O)N2CCN(C(C)=O)CC2)c2ccccc2)CC1 ZINC000461748777 1073167747 /nfs/dbraw/zinc/16/77/47/1073167747.db2.gz SANGXDQMGYWYOW-UHFFFAOYSA-N 0 0 429.521 -0.126 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000461750274 1073167002 /nfs/dbraw/zinc/16/70/02/1073167002.db2.gz AHOJIWZQVUHPML-SMDDNHRTSA-N 0 0 445.519 -0.437 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000461751120 1073167038 /nfs/dbraw/zinc/16/70/38/1073167038.db2.gz DTJOBCQBGZQQIG-UHFFFAOYSA-N 0 0 436.446 -0.193 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)cn1 ZINC000461751362 1073166980 /nfs/dbraw/zinc/16/69/80/1073166980.db2.gz REUDOOHUBWMRFY-UHFFFAOYSA-N 0 0 427.508 -0.445 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000461752236 1073167054 /nfs/dbraw/zinc/16/70/54/1073167054.db2.gz RTCWXGYFLFCWGQ-XJKSGUPXSA-N 0 0 443.547 -0.009 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)c1 ZINC000461752609 1073166995 /nfs/dbraw/zinc/16/69/95/1073166995.db2.gz VBSWLMMAPVMJRX-UHFFFAOYSA-N 0 0 427.508 -0.223 20 0 IBADRN CNC(=O)N1CCN(C(=O)Cc2ccc(CC(=O)N3CCN(C(=O)NC)CC3)cc2)CC1 ZINC000461753335 1073167063 /nfs/dbraw/zinc/16/70/63/1073167063.db2.gz WUEJWADWLHAEHN-UHFFFAOYSA-N 0 0 444.536 -0.261 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000461756268 1073167481 /nfs/dbraw/zinc/16/74/81/1073167481.db2.gz DNHOVKJRFFDECU-BYNSBNAKSA-N 0 0 438.572 -0.899 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000461756284 1073167430 /nfs/dbraw/zinc/16/74/30/1073167430.db2.gz DNHOVKJRFFDECU-GBJTYRQASA-N 0 0 438.572 -0.899 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000461756286 1073167436 /nfs/dbraw/zinc/16/74/36/1073167436.db2.gz DNHOVKJRFFDECU-ZQDZILKHSA-N 0 0 438.572 -0.899 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](S(C)(=O)=O)C1)CC2 ZINC000461764879 1073169899 /nfs/dbraw/zinc/16/98/99/1073169899.db2.gz BDAIFDIAIDTCEE-AWEZNQCLSA-N 0 0 429.520 -0.481 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](S(C)(=O)=O)C1)CC2 ZINC000461764886 1073170382 /nfs/dbraw/zinc/17/03/82/1073170382.db2.gz BDAIFDIAIDTCEE-CQSZACIVSA-N 0 0 429.520 -0.481 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000461765487 1073170434 /nfs/dbraw/zinc/17/04/34/1073170434.db2.gz DNTGMUJHMWNUSO-CYBMUJFWSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000461765488 1073170417 /nfs/dbraw/zinc/17/04/17/1073170417.db2.gz DNTGMUJHMWNUSO-ZDUSSCGKSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CC2)ncn1 ZINC000461766187 1073170400 /nfs/dbraw/zinc/17/04/00/1073170400.db2.gz HNFRCMUYVAFBSB-UHFFFAOYSA-N 0 0 428.453 -0.752 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000461767717 1073167920 /nfs/dbraw/zinc/16/79/20/1073167920.db2.gz CVSWEIXJYIBBKY-HNNXBMFYSA-N 0 0 447.535 -0.415 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000461767718 1073167839 /nfs/dbraw/zinc/16/78/39/1073167839.db2.gz CVSWEIXJYIBBKY-OAHLLOKOSA-N 0 0 447.535 -0.415 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)cc1S(N)(=O)=O ZINC000461767949 1073167848 /nfs/dbraw/zinc/16/78/48/1073167848.db2.gz MSAZTRAGDKQSJG-UHFFFAOYSA-N 0 0 448.505 -0.018 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000461768047 1073167732 /nfs/dbraw/zinc/16/77/32/1073167732.db2.gz SIWKQJGAZFMJEN-UHFFFAOYSA-N 0 0 426.451 -0.343 20 0 IBADRN O=C(Cc1ccc(CC(=O)N2CCN3C(=O)NC[C@H]3C2)cc1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000461768072 1073167805 /nfs/dbraw/zinc/16/78/05/1073167805.db2.gz SRBHAPYBFZYVQP-HDICACEKSA-N 0 0 440.504 -0.756 20 0 IBADRN O=C(Cc1ccc(CC(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000461768073 1073167907 /nfs/dbraw/zinc/16/79/07/1073167907.db2.gz SRBHAPYBFZYVQP-QZTJIDSGSA-N 0 0 440.504 -0.756 20 0 IBADRN O=C(Cc1ccc(CC(=O)N2CCN3C(=O)NC[C@H]3C2)cc1)N1CCN2C(=O)NC[C@H]2C1 ZINC000461768074 1073167818 /nfs/dbraw/zinc/16/78/18/1073167818.db2.gz SRBHAPYBFZYVQP-ROUUACIJSA-N 0 0 440.504 -0.756 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)ncn1 ZINC000461768579 1073168233 /nfs/dbraw/zinc/16/82/33/1073168233.db2.gz PNJDLSIMEUITHG-UHFFFAOYSA-N 0 0 427.400 -0.113 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CCOC1 ZINC000461768591 1073168271 /nfs/dbraw/zinc/16/82/71/1073168271.db2.gz PPFJNSRSNVARFM-IBGZPJMESA-N 0 0 440.522 -0.517 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CCOC1 ZINC000461768592 1073168200 /nfs/dbraw/zinc/16/82/00/1073168200.db2.gz PPFJNSRSNVARFM-LJQANCHMSA-N 0 0 440.522 -0.517 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CC2)ncn1 ZINC000461768834 1073167760 /nfs/dbraw/zinc/16/77/60/1073167760.db2.gz QGXZGRQWHQBWIW-UHFFFAOYSA-N 0 0 444.496 -0.699 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)c1C ZINC000461768850 1073168248 /nfs/dbraw/zinc/16/82/48/1073168248.db2.gz QMBATRNSWUHQFT-UHFFFAOYSA-N 0 0 448.505 -0.011 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CC2)ncn1 ZINC000461769668 1073168308 /nfs/dbraw/zinc/16/83/08/1073168308.db2.gz HWQNBGQSSIIVCO-UHFFFAOYSA-N 0 0 448.505 -0.178 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CC2)ncn1 ZINC000461770626 1073168292 /nfs/dbraw/zinc/16/82/92/1073168292.db2.gz BNVBYAFYDXFTKM-UHFFFAOYSA-N 0 0 438.441 -0.441 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000461770736 1073168236 /nfs/dbraw/zinc/16/82/36/1073168236.db2.gz CBMKOGIZYSJFRF-AWEZNQCLSA-N 0 0 425.463 -0.437 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000461770740 1073168244 /nfs/dbraw/zinc/16/82/44/1073168244.db2.gz CBMKOGIZYSJFRF-CQSZACIVSA-N 0 0 425.463 -0.437 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)c1 ZINC000461770795 1073168301 /nfs/dbraw/zinc/16/83/01/1073168301.db2.gz XJEBUWWUBVFSFG-UHFFFAOYSA-N 0 0 448.505 -0.011 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)c1 ZINC000461770968 1073168243 /nfs/dbraw/zinc/16/82/43/1073168243.db2.gz KUCWEPBHELKVGR-UHFFFAOYSA-N 0 0 434.478 -0.319 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)CC2)ncn1 ZINC000461771362 1073168735 /nfs/dbraw/zinc/16/87/35/1073168735.db2.gz LTNLEPICEJEBIZ-UHFFFAOYSA-N 0 0 434.478 -0.272 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(OC)CCOC2)cc1 ZINC000461771407 1073168740 /nfs/dbraw/zinc/16/87/40/1073168740.db2.gz ZVIRZOIEPOQJAJ-ACJLOTCBSA-N 0 0 429.495 -0.140 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(OC)CCOC2)cc1 ZINC000461771408 1073168767 /nfs/dbraw/zinc/16/87/67/1073168767.db2.gz ZVIRZOIEPOQJAJ-FZKQIMNGSA-N 0 0 429.495 -0.140 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(OC)CCOC2)cc1 ZINC000461771409 1073168761 /nfs/dbraw/zinc/16/87/61/1073168761.db2.gz ZVIRZOIEPOQJAJ-SCLBCKFNSA-N 0 0 429.495 -0.140 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(OC)CCOC2)cc1 ZINC000461771410 1073168725 /nfs/dbraw/zinc/16/87/25/1073168725.db2.gz ZVIRZOIEPOQJAJ-UGSOOPFHSA-N 0 0 429.495 -0.140 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)ncn1 ZINC000461771791 1073168743 /nfs/dbraw/zinc/16/87/43/1073168743.db2.gz MLYUJUFGOUQIAT-UHFFFAOYSA-N 0 0 440.464 -0.896 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](CCO)C1CCOCC1)CC2 ZINC000461772387 1073168753 /nfs/dbraw/zinc/16/87/53/1073168753.db2.gz BWTHODAWBLBTKG-INIZCTEOSA-N 0 0 425.507 -0.222 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](CCO)C1CCOCC1)CC2 ZINC000461772394 1073168673 /nfs/dbraw/zinc/16/86/73/1073168673.db2.gz BWTHODAWBLBTKG-MRXNPFEDSA-N 0 0 425.507 -0.222 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)cc1 ZINC000461772615 1073168769 /nfs/dbraw/zinc/16/87/69/1073168769.db2.gz GNWFIPVDJYCLMR-UHFFFAOYSA-N 0 0 428.449 -0.103 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000461773409 1073169163 /nfs/dbraw/zinc/16/91/63/1073169163.db2.gz DZQSFVDFQFKNSA-GOSISDBHSA-N 0 0 445.469 -0.293 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000461773413 1073169153 /nfs/dbraw/zinc/16/91/53/1073169153.db2.gz DZQSFVDFQFKNSA-SFHVURJKSA-N 0 0 445.469 -0.293 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000461773576 1073168684 /nfs/dbraw/zinc/16/86/84/1073168684.db2.gz JEFFHSHDDDXULH-GOSISDBHSA-N 0 0 427.479 -0.432 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000461773577 1073168718 /nfs/dbraw/zinc/16/87/18/1073168718.db2.gz JEFFHSHDDDXULH-SFHVURJKSA-N 0 0 427.479 -0.432 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](S(C)(=O)=O)C1)CC2 ZINC000461773806 1073168747 /nfs/dbraw/zinc/16/87/47/1073168747.db2.gz RNGSIDJJGVJZNK-HNNXBMFYSA-N 0 0 443.547 -0.091 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](S(C)(=O)=O)C1)CC2 ZINC000461773807 1073168762 /nfs/dbraw/zinc/16/87/62/1073168762.db2.gz RNGSIDJJGVJZNK-OAHLLOKOSA-N 0 0 443.547 -0.091 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000461773937 1073168731 /nfs/dbraw/zinc/16/87/31/1073168731.db2.gz GAOCXTZXEIZBLM-GFCCVEGCSA-N 0 0 429.520 -0.017 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000461773942 1073168749 /nfs/dbraw/zinc/16/87/49/1073168749.db2.gz GAOCXTZXEIZBLM-LBPRGKRZSA-N 0 0 429.520 -0.017 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@H](CO)Cc2ccc(O)cc2)cc1 ZINC000461776201 1073169139 /nfs/dbraw/zinc/16/91/39/1073169139.db2.gz MNIFDORBNNOSCO-INIZCTEOSA-N 0 0 429.429 -0.047 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)ccc1C ZINC000461776262 1073169101 /nfs/dbraw/zinc/16/91/01/1073169101.db2.gz XDEBDMLBYQCZBU-UHFFFAOYSA-N 0 0 448.505 -0.011 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)CC2)ncn1 ZINC000461776508 1073169127 /nfs/dbraw/zinc/16/91/27/1073169127.db2.gz PDDSDVMDLRCOED-UHFFFAOYSA-N 0 0 425.449 -0.037 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCOC1 ZINC000461776551 1073169056 /nfs/dbraw/zinc/16/90/56/1073169056.db2.gz PMBASSOQTNTCJV-GOSISDBHSA-N 0 0 428.511 -0.137 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCOC1 ZINC000461776553 1073169035 /nfs/dbraw/zinc/16/90/35/1073169035.db2.gz PMBASSOQTNTCJV-SFHVURJKSA-N 0 0 428.511 -0.137 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)c2ccccc2O1 ZINC000461777345 1073169080 /nfs/dbraw/zinc/16/90/80/1073169080.db2.gz UYLSEEXSCOHHQJ-INIZCTEOSA-N 0 0 440.460 -0.326 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)c2ccccc2O1 ZINC000461777348 1073169134 /nfs/dbraw/zinc/16/91/34/1073169134.db2.gz UYLSEEXSCOHHQJ-MRXNPFEDSA-N 0 0 440.460 -0.326 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)c1=O ZINC000461777360 1073169174 /nfs/dbraw/zinc/16/91/74/1073169174.db2.gz VABWWQZHRZMVFS-UHFFFAOYSA-N 0 0 444.448 -0.503 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccc(OC)c(-n4cnnn4)c3)CC2)ncn1 ZINC000461777746 1073169108 /nfs/dbraw/zinc/16/91/08/1073169108.db2.gz NVGPLSQPWRIUBD-UHFFFAOYSA-N 0 0 439.436 -0.243 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@]1(OC)CCOC1 ZINC000461778364 1073169061 /nfs/dbraw/zinc/16/90/61/1073169061.db2.gz RFELYLQWVNMVPK-GOSISDBHSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@]1(OC)CCOC1 ZINC000461778365 1073169168 /nfs/dbraw/zinc/16/91/68/1073169168.db2.gz RFELYLQWVNMVPK-SFHVURJKSA-N 0 0 427.479 -0.004 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C1 ZINC000461778549 1073169185 /nfs/dbraw/zinc/16/91/85/1073169185.db2.gz XEWFDKVBEFKICM-AWEZNQCLSA-N 0 0 443.547 -0.022 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C1 ZINC000461778550 1073169146 /nfs/dbraw/zinc/16/91/46/1073169146.db2.gz XEWFDKVBEFKICM-CQSZACIVSA-N 0 0 443.547 -0.022 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCc2c(C)nn(C)c2OC)cc1 ZINC000461778613 1073169043 /nfs/dbraw/zinc/16/90/43/1073169043.db2.gz INZISQRPIVKXLF-UHFFFAOYSA-N 0 0 439.494 -0.083 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCOC1 ZINC000461779268 1073171285 /nfs/dbraw/zinc/17/12/85/1073171285.db2.gz YGVHEMZSESYEMJ-NRFANRHFSA-N 0 0 434.493 -0.391 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCOC1 ZINC000461779318 1073171357 /nfs/dbraw/zinc/17/13/57/1073171357.db2.gz YGVHEMZSESYEMJ-OAQYLSRUSA-N 0 0 434.493 -0.391 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000461779788 1073169615 /nfs/dbraw/zinc/16/96/15/1073169615.db2.gz RCFIKGHVYNBSDD-CYBMUJFWSA-N 0 0 446.503 -0.149 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000461779789 1073169538 /nfs/dbraw/zinc/16/95/38/1073169538.db2.gz RCFIKGHVYNBSDD-ZDUSSCGKSA-N 0 0 446.503 -0.149 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)CC2)ncn1 ZINC000461780048 1073171331 /nfs/dbraw/zinc/17/13/31/1073171331.db2.gz WMYBHUNCQNELIS-UHFFFAOYSA-N 0 0 438.448 -0.343 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(c2ncccc2C(N)=O)CC1 ZINC000461780383 1073170482 /nfs/dbraw/zinc/17/04/82/1073170482.db2.gz TUCPUSRSFQTZHV-UHFFFAOYSA-N 0 0 439.519 -0.442 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000461780510 1073170451 /nfs/dbraw/zinc/17/04/51/1073170451.db2.gz OKEFLBBOUHKVOU-UHFFFAOYSA-N 0 0 449.489 0.392 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccc(OC)c(OCC(N)=O)c3)CC2)ncn1 ZINC000461780632 1073170442 /nfs/dbraw/zinc/17/04/42/1073170442.db2.gz XZTJLEKYDYTMJT-UHFFFAOYSA-N 0 0 444.448 -0.355 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000461780836 1073170471 /nfs/dbraw/zinc/17/04/71/1073170471.db2.gz YYRMQVGXYFBMKR-GOSISDBHSA-N 0 0 427.479 -0.432 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000461780838 1073170457 /nfs/dbraw/zinc/17/04/57/1073170457.db2.gz YYRMQVGXYFBMKR-SFHVURJKSA-N 0 0 427.479 -0.432 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](CO)Cc1ccc(O)cc1)CC2 ZINC000461783734 1073170386 /nfs/dbraw/zinc/17/03/86/1073170386.db2.gz FGXYYKGVSMAGTQ-HNNXBMFYSA-N 0 0 433.486 -0.091 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000461783957 1073170478 /nfs/dbraw/zinc/17/04/78/1073170478.db2.gz HELZTVOSQZUXPM-HNNXBMFYSA-N 0 0 439.490 -0.508 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000461783958 1073170423 /nfs/dbraw/zinc/17/04/23/1073170423.db2.gz HELZTVOSQZUXPM-OAHLLOKOSA-N 0 0 439.490 -0.508 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000461784236 1073170425 /nfs/dbraw/zinc/17/04/25/1073170425.db2.gz INCRJKUYDAOWRC-HNNXBMFYSA-N 0 0 439.490 -0.046 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000461784240 1073170430 /nfs/dbraw/zinc/17/04/30/1073170430.db2.gz INCRJKUYDAOWRC-OAHLLOKOSA-N 0 0 439.490 -0.046 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000461786115 1073170408 /nfs/dbraw/zinc/17/04/08/1073170408.db2.gz LZBIRKKDWTTYMF-HNNXBMFYSA-N 0 0 425.511 -0.084 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000461786116 1073170414 /nfs/dbraw/zinc/17/04/14/1073170414.db2.gz LZBIRKKDWTTYMF-OAHLLOKOSA-N 0 0 425.511 -0.084 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC000461787147 1073170956 /nfs/dbraw/zinc/17/09/56/1073170956.db2.gz SQFAZVIDRXAETK-CYBMUJFWSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H](S(C)(=O)=O)C1 ZINC000461787149 1073170936 /nfs/dbraw/zinc/17/09/36/1073170936.db2.gz SQFAZVIDRXAETK-ZDUSSCGKSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@]1(OC)CCOC1 ZINC000461787306 1073170902 /nfs/dbraw/zinc/17/09/02/1073170902.db2.gz SZUSRHSZAROZQK-NRFANRHFSA-N 0 0 434.493 -0.057 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@]1(OC)CCOC1 ZINC000461787307 1073170979 /nfs/dbraw/zinc/17/09/79/1073170979.db2.gz SZUSRHSZAROZQK-OAQYLSRUSA-N 0 0 434.493 -0.057 20 0 IBADRN CO[C@]1(CNC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)CCOC1 ZINC000461789655 1073170833 /nfs/dbraw/zinc/17/08/33/1073170833.db2.gz MPFBZXIWQSIXCJ-IBGZPJMESA-N 0 0 441.506 -0.124 20 0 IBADRN CO[C@@]1(CNC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)CCOC1 ZINC000461789656 1073170896 /nfs/dbraw/zinc/17/08/96/1073170896.db2.gz MPFBZXIWQSIXCJ-LJQANCHMSA-N 0 0 441.506 -0.124 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000461791818 1073170860 /nfs/dbraw/zinc/17/08/60/1073170860.db2.gz WTDCNYMJIGHFFG-UHFFFAOYSA-N 0 0 429.521 -0.662 20 0 IBADRN O=C(CN(CC(=O)NCC(=O)N1CCCC1)c1ccccc1)NCC(=O)N1CCCC1 ZINC000461793680 1073170960 /nfs/dbraw/zinc/17/09/60/1073170960.db2.gz DCIVVVNQGVUMMN-UHFFFAOYSA-N 0 0 429.521 -0.030 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N3CCN(c4ccccc4)CC3)CC2)no1 ZINC000461798670 1073328587 /nfs/dbraw/zinc/32/85/87/1073328587.db2.gz BZPPAPBKHQOLNY-UHFFFAOYSA-N 0 0 440.504 0.415 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC[C@H](N2CCOCC2)C1 ZINC000461825386 1073171774 /nfs/dbraw/zinc/17/17/74/1073171774.db2.gz DBQPKFLQJRVROT-KRWDZBQOSA-N 0 0 431.559 -0.856 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC[C@@H](N2CCOCC2)C1 ZINC000461825389 1073171798 /nfs/dbraw/zinc/17/17/98/1073171798.db2.gz DBQPKFLQJRVROT-QGZVFWFLSA-N 0 0 431.559 -0.856 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@H](S(C)(=O)=O)C2)c1 ZINC000461857822 1073172140 /nfs/dbraw/zinc/17/21/40/1073172140.db2.gz CGOIDFAVOUABSD-HNNXBMFYSA-N 0 0 431.536 -0.298 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@@H](S(C)(=O)=O)C2)c1 ZINC000461857827 1073172031 /nfs/dbraw/zinc/17/20/31/1073172031.db2.gz CGOIDFAVOUABSD-OAHLLOKOSA-N 0 0 431.536 -0.298 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@]23CCOC3)CC1)[C@@H]1C[C@@]12CCOC2 ZINC000461865465 1073172071 /nfs/dbraw/zinc/17/20/71/1073172071.db2.gz HRKKPKFRZBVDMN-FEHORTKBSA-N 0 0 441.550 -0.570 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@]23CCOC3)CC1)[C@@H]1C[C@]12CCOC2 ZINC000461865466 1073172098 /nfs/dbraw/zinc/17/20/98/1073172098.db2.gz HRKKPKFRZBVDMN-FVCZOJIISA-N 0 0 441.550 -0.570 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@]23CCOC3)CC1)[C@H]1C[C@@]12CCOC2 ZINC000461865467 1073172058 /nfs/dbraw/zinc/17/20/58/1073172058.db2.gz HRKKPKFRZBVDMN-GJJHYRHESA-N 0 0 441.550 -0.570 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@]23CCOC3)CC1)[C@H]1C[C@]12CCOC2 ZINC000461865468 1073172085 /nfs/dbraw/zinc/17/20/85/1073172085.db2.gz HRKKPKFRZBVDMN-LPWQTFTOSA-N 0 0 441.550 -0.570 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000461868320 1073172581 /nfs/dbraw/zinc/17/25/81/1073172581.db2.gz SSQYLWZUKXIAJM-KRWDZBQOSA-N 0 0 429.477 -0.803 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000461868333 1073172489 /nfs/dbraw/zinc/17/24/89/1073172489.db2.gz SSQYLWZUKXIAJM-QGZVFWFLSA-N 0 0 429.477 -0.803 20 0 IBADRN Cc1cc(C)n(-c2ccc(CNC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)cn2)n1 ZINC000461868853 1073172498 /nfs/dbraw/zinc/17/24/98/1073172498.db2.gz VVGNFYSZKLGNAO-UHFFFAOYSA-N 0 0 431.478 -0.094 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](CCO)C2CCOCC2)c1 ZINC000461870191 1073172586 /nfs/dbraw/zinc/17/25/86/1073172586.db2.gz WKCUNVKSHFPSHX-KRWDZBQOSA-N 0 0 427.523 -0.040 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H](CCO)C2CCOCC2)c1 ZINC000461870192 1073172575 /nfs/dbraw/zinc/17/25/75/1073172575.db2.gz WKCUNVKSHFPSHX-QGZVFWFLSA-N 0 0 427.523 -0.040 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)Nc2cccc(C(=O)N3CCOCC3)c2)c[nH]1 ZINC000461871882 1073172597 /nfs/dbraw/zinc/17/25/97/1073172597.db2.gz OVFCHLKIRSUSGM-UHFFFAOYSA-N 0 0 435.462 -0.497 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2cccc(CN3CCOCC3)c2)c[nH]1 ZINC000461875028 1073172600 /nfs/dbraw/zinc/17/26/00/1073172600.db2.gz DNJMEMXWBAKWPS-UHFFFAOYSA-N 0 0 435.506 -0.460 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)c[nH]1 ZINC000461875571 1073172548 /nfs/dbraw/zinc/17/25/48/1073172548.db2.gz COYCQBGCGGBGLO-UHFFFAOYSA-N 0 0 435.462 -0.497 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000461875669 1073172567 /nfs/dbraw/zinc/17/25/67/1073172567.db2.gz IQMCSXCMTJVKAX-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCc2cc(F)cc3c2OCOC3)c[nH]1 ZINC000461877068 1073172590 /nfs/dbraw/zinc/17/25/90/1073172590.db2.gz LCLRCCCJISWCDI-UHFFFAOYSA-N 0 0 426.426 -0.244 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000461877409 1073172862 /nfs/dbraw/zinc/17/28/62/1073172862.db2.gz NAOZMYGJWOHGNI-HNNXBMFYSA-N 0 0 438.506 -0.296 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000461877410 1073172878 /nfs/dbraw/zinc/17/28/78/1073172878.db2.gz NAOZMYGJWOHGNI-OAHLLOKOSA-N 0 0 438.506 -0.296 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)cc1 ZINC000461878280 1073172535 /nfs/dbraw/zinc/17/25/35/1073172535.db2.gz ZQAIRVUKWFPSBP-UHFFFAOYSA-N 0 0 434.474 -0.050 20 0 IBADRN Cc1noc(C)c1CN1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1 ZINC000461880000 1073172899 /nfs/dbraw/zinc/17/28/99/1073172899.db2.gz DTSCDKIGDNQNJH-UHFFFAOYSA-N 0 0 429.543 -0.230 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H]1C(=O)NCc1ccco1 ZINC000461883052 1073172837 /nfs/dbraw/zinc/17/28/37/1073172837.db2.gz MUSOJPNASNHYNR-HNNXBMFYSA-N 0 0 428.511 -0.279 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@@H]1C(=O)NCc1ccco1 ZINC000461883053 1073172870 /nfs/dbraw/zinc/17/28/70/1073172870.db2.gz MUSOJPNASNHYNR-OAHLLOKOSA-N 0 0 428.511 -0.279 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CN(C)CCO2)CC1 ZINC000461884467 1073172883 /nfs/dbraw/zinc/17/28/83/1073172883.db2.gz LWGIOUPDVFZYGT-AWEZNQCLSA-N 0 0 429.495 -0.153 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2CN(C)CCO2)CC1 ZINC000461884468 1073173283 /nfs/dbraw/zinc/17/32/83/1073173283.db2.gz LWGIOUPDVFZYGT-CQSZACIVSA-N 0 0 429.495 -0.153 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)Nc2ccc(CCN3CCOCC3)cc2)c[nH]1 ZINC000461884529 1073172929 /nfs/dbraw/zinc/17/29/29/1073172929.db2.gz CKXSQSLABPXXEK-UHFFFAOYSA-N 0 0 435.506 -0.095 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000461885877 1073172856 /nfs/dbraw/zinc/17/28/56/1073172856.db2.gz PEWBLUBKBRECJG-KRWDZBQOSA-N 0 0 429.477 -0.147 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000461885890 1073172873 /nfs/dbraw/zinc/17/28/73/1073172873.db2.gz PEWBLUBKBRECJG-QGZVFWFLSA-N 0 0 429.477 -0.147 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCNC(=O)c2ccccc2Cl)c[nH]1 ZINC000461887284 1073172908 /nfs/dbraw/zinc/17/29/08/1073172908.db2.gz FDQDRQSQWYBAEM-UHFFFAOYSA-N 0 0 427.870 -0.409 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)cc1 ZINC000461887688 1073172822 /nfs/dbraw/zinc/17/28/22/1073172822.db2.gz YPPRIVKCYFHPBG-UHFFFAOYSA-N 0 0 433.490 -0.315 20 0 IBADRN CCN(CCCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)S(C)(=O)=O ZINC000461888662 1073172827 /nfs/dbraw/zinc/17/28/27/1073172827.db2.gz LHPNGOAKSQZYKW-CYBMUJFWSA-N 0 0 446.551 -0.525 20 0 IBADRN CCN(CCCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)S(C)(=O)=O ZINC000461888664 1073172939 /nfs/dbraw/zinc/17/29/39/1073172939.db2.gz LHPNGOAKSQZYKW-ZDUSSCGKSA-N 0 0 446.551 -0.525 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCNC(=O)c2cccnc2)s1 ZINC000461889348 1073173337 /nfs/dbraw/zinc/17/33/37/1073173337.db2.gz XEMTUFBNKOXZPZ-UHFFFAOYSA-N 0 0 439.519 -0.396 20 0 IBADRN CCCCN(C)C(=O)C1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000461890084 1073173302 /nfs/dbraw/zinc/17/33/02/1073173302.db2.gz NZKXPDGNTHXUKX-UHFFFAOYSA-N 0 0 427.527 -0.111 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000461890893 1073173299 /nfs/dbraw/zinc/17/32/99/1073173299.db2.gz OFXYGFGGALUOBD-HNNXBMFYSA-N 0 0 427.545 -0.900 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000461890894 1073173330 /nfs/dbraw/zinc/17/33/30/1073173330.db2.gz OFXYGFGGALUOBD-OAHLLOKOSA-N 0 0 427.545 -0.900 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)no1 ZINC000461891521 1073173762 /nfs/dbraw/zinc/17/37/62/1073173762.db2.gz WZVWBWZSISMSKO-AWEZNQCLSA-N 0 0 427.417 0.310 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)no1 ZINC000461891522 1073173650 /nfs/dbraw/zinc/17/36/50/1073173650.db2.gz WZVWBWZSISMSKO-CQSZACIVSA-N 0 0 427.417 0.310 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000461894316 1073173755 /nfs/dbraw/zinc/17/37/55/1073173755.db2.gz JNAWCOBZLVMYNC-IBGZPJMESA-N 0 0 442.520 -0.184 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000461894317 1073173583 /nfs/dbraw/zinc/17/35/83/1073173583.db2.gz JNAWCOBZLVMYNC-LJQANCHMSA-N 0 0 442.520 -0.184 20 0 IBADRN CCN(CCCNC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)S(C)(=O)=O ZINC000461894414 1073173306 /nfs/dbraw/zinc/17/33/06/1073173306.db2.gz MSJBZOJATQXIBV-HNNXBMFYSA-N 0 0 438.506 -0.296 20 0 IBADRN CCN(CCCNC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)S(C)(=O)=O ZINC000461894415 1073173336 /nfs/dbraw/zinc/17/33/36/1073173336.db2.gz MSJBZOJATQXIBV-OAHLLOKOSA-N 0 0 438.506 -0.296 20 0 IBADRN Cc1nnc(CN(C)CC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1C ZINC000461894504 1073173318 /nfs/dbraw/zinc/17/33/18/1073173318.db2.gz KKXPEKHOJOZFTB-UHFFFAOYSA-N 0 0 435.510 -0.685 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3CC(F)(F)F)CC2)CC1 ZINC000461894884 1073173327 /nfs/dbraw/zinc/17/33/27/1073173327.db2.gz QFXDYHDOOVFPQQ-AWEZNQCLSA-N 0 0 427.493 -0.351 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3CC(F)(F)F)CC2)CC1 ZINC000461894885 1073173342 /nfs/dbraw/zinc/17/33/42/1073173342.db2.gz QFXDYHDOOVFPQQ-CQSZACIVSA-N 0 0 427.493 -0.351 20 0 IBADRN C[C@@H]1[C@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC(=O)N1Cc1ccccc1 ZINC000461895630 1073173316 /nfs/dbraw/zinc/17/33/16/1073173316.db2.gz QNORDCODICTXLB-GDBMZVCRSA-N 0 0 430.552 -0.412 20 0 IBADRN C[C@H]1[C@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC(=O)N1Cc1ccccc1 ZINC000461895632 1073173323 /nfs/dbraw/zinc/17/33/23/1073173323.db2.gz QNORDCODICTXLB-GOEBONIOSA-N 0 0 430.552 -0.412 20 0 IBADRN C[C@H]1[C@@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC(=O)N1Cc1ccccc1 ZINC000461895634 1073173308 /nfs/dbraw/zinc/17/33/08/1073173308.db2.gz QNORDCODICTXLB-HOCLYGCPSA-N 0 0 430.552 -0.412 20 0 IBADRN C[C@@H]1[C@@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC(=O)N1Cc1ccccc1 ZINC000461895635 1073173319 /nfs/dbraw/zinc/17/33/19/1073173319.db2.gz QNORDCODICTXLB-ZBFHGGJFSA-N 0 0 430.552 -0.412 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc(CN4CCCC4)on3)CC2)CC1 ZINC000461896188 1073173289 /nfs/dbraw/zinc/17/32/89/1073173289.db2.gz UAAOINNGTOTAPY-UHFFFAOYSA-N 0 0 426.543 -0.480 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000461899472 1073173333 /nfs/dbraw/zinc/17/33/33/1073173333.db2.gz HYPGUDYCDKLEEC-INIZCTEOSA-N 0 0 433.494 -0.803 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN1S(=O)(=O)c1ccccc1 ZINC000461906393 1073173343 /nfs/dbraw/zinc/17/33/43/1073173343.db2.gz GSOLWUYRLOJLJZ-HOCLYGCPSA-N 0 0 443.547 -0.399 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN1S(=O)(=O)c1ccccc1 ZINC000461906394 1073173313 /nfs/dbraw/zinc/17/33/13/1073173313.db2.gz GSOLWUYRLOJLJZ-ZBFHGGJFSA-N 0 0 443.547 -0.399 20 0 IBADRN CCc1nc(C2(NCC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCCC2)no1 ZINC000461908117 1073173709 /nfs/dbraw/zinc/17/37/09/1073173709.db2.gz LTVXGNAXCFPSSC-UHFFFAOYSA-N 0 0 434.541 -0.006 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000461911061 1073173547 /nfs/dbraw/zinc/17/35/47/1073173547.db2.gz YOCQPOINCCAMCB-KRWDZBQOSA-N 0 0 434.518 -0.211 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC000461921119 1073173739 /nfs/dbraw/zinc/17/37/39/1073173739.db2.gz WGCWEYMKJYGJTJ-HOCLYGCPSA-N 0 0 443.547 -0.351 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000461921122 1073173602 /nfs/dbraw/zinc/17/36/02/1073173602.db2.gz WGCWEYMKJYGJTJ-ZBFHGGJFSA-N 0 0 443.547 -0.351 20 0 IBADRN O=C1C[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1c1ccccc1F ZINC000461921597 1073173765 /nfs/dbraw/zinc/17/37/65/1073173765.db2.gz URFWIXDQHVRQSY-IICQGDHZSA-N 0 0 444.423 -0.206 20 0 IBADRN O=C1C[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1c1ccccc1F ZINC000461921607 1073173697 /nfs/dbraw/zinc/17/36/97/1073173697.db2.gz URFWIXDQHVRQSY-WKCNYEPOSA-N 0 0 444.423 -0.206 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1)N1CCCC1 ZINC000461928499 1073173672 /nfs/dbraw/zinc/17/36/72/1073173672.db2.gz MZNFMQLKRIDLAE-UHFFFAOYSA-N 0 0 427.505 -0.372 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000461930261 1073174106 /nfs/dbraw/zinc/17/41/06/1073174106.db2.gz DZCLVTSLAXDEBA-UHFFFAOYSA-N 0 0 441.488 -0.845 20 0 IBADRN COc1cc(N2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)ncn1 ZINC000461932571 1073174125 /nfs/dbraw/zinc/17/41/25/1073174125.db2.gz ATOPASQSWGHRLI-UHFFFAOYSA-N 0 0 430.469 -0.105 20 0 IBADRN COc1cc(N2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)ncn1 ZINC000461936175 1073174080 /nfs/dbraw/zinc/17/40/80/1073174080.db2.gz MSIKVZHJKHAWEJ-UHFFFAOYSA-N 0 0 430.469 -0.105 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000461938305 1073174027 /nfs/dbraw/zinc/17/40/27/1073174027.db2.gz SYOGSSDRZPLXMU-AWEZNQCLSA-N 0 0 444.579 -0.130 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000461938642 1073174111 /nfs/dbraw/zinc/17/41/11/1073174111.db2.gz SYOGSSDRZPLXMU-CQSZACIVSA-N 0 0 444.579 -0.130 20 0 IBADRN NC(=O)c1cc([C@@H]2CCCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)C2)n[nH]1 ZINC000461946463 1073174922 /nfs/dbraw/zinc/17/49/22/1073174922.db2.gz ZZASIZSRWVRLSR-GFCCVEGCSA-N 0 0 436.494 -0.123 20 0 IBADRN NC(=O)c1cc([C@H]2CCCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)C2)n[nH]1 ZINC000461946464 1073174775 /nfs/dbraw/zinc/17/47/75/1073174775.db2.gz ZZASIZSRWVRLSR-LBPRGKRZSA-N 0 0 436.494 -0.123 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1ccc(S(N)(=O)=O)cc1S(C)(=O)=O ZINC000461947075 1073174580 /nfs/dbraw/zinc/17/45/80/1073174580.db2.gz IIYHELONNLYWRR-CMPLNLGQSA-N 0 0 425.554 -0.133 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1ccc(S(N)(=O)=O)cc1S(C)(=O)=O ZINC000461947076 1073174499 /nfs/dbraw/zinc/17/44/99/1073174499.db2.gz IIYHELONNLYWRR-JQWIXIFHSA-N 0 0 425.554 -0.133 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1ccc(S(N)(=O)=O)cc1S(C)(=O)=O ZINC000461947077 1073174783 /nfs/dbraw/zinc/17/47/83/1073174783.db2.gz IIYHELONNLYWRR-PWSUYJOCSA-N 0 0 425.554 -0.133 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1ccc(S(N)(=O)=O)cc1S(C)(=O)=O ZINC000461947078 1073174786 /nfs/dbraw/zinc/17/47/86/1073174786.db2.gz IIYHELONNLYWRR-ZYHUDNBSSA-N 0 0 425.554 -0.133 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000461951730 1073174070 /nfs/dbraw/zinc/17/40/70/1073174070.db2.gz YMCCCWSSSXWLDD-UHFFFAOYSA-N 0 0 437.565 -0.212 20 0 IBADRN CN1CCC[C@H]1C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000461958233 1073174443 /nfs/dbraw/zinc/17/44/43/1073174443.db2.gz MKCCXXQDCYDFQP-HNNXBMFYSA-N 0 0 430.552 -0.520 20 0 IBADRN CN1CCC[C@@H]1C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000461958236 1073174513 /nfs/dbraw/zinc/17/45/13/1073174513.db2.gz MKCCXXQDCYDFQP-OAHLLOKOSA-N 0 0 430.552 -0.520 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1NCCS(=O)(=O)NCC1CCC1 ZINC000461960308 1073174542 /nfs/dbraw/zinc/17/45/42/1073174542.db2.gz TYQZOLFVVMSVRJ-UHFFFAOYSA-N 0 0 425.554 -0.131 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000461966365 1073174462 /nfs/dbraw/zinc/17/44/62/1073174462.db2.gz BRCBDQORHSQENA-UHFFFAOYSA-N 0 0 446.551 -0.682 20 0 IBADRN COC[C@]1(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)OCCc2ccccc21 ZINC000461966393 1073174487 /nfs/dbraw/zinc/17/44/87/1073174487.db2.gz BIQNAWNRPWSZKN-FQEVSTJZSA-N 0 0 429.481 -0.359 20 0 IBADRN COC[C@@]1(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)OCCc2ccccc21 ZINC000461966394 1073174454 /nfs/dbraw/zinc/17/44/54/1073174454.db2.gz BIQNAWNRPWSZKN-HXUWFJFHSA-N 0 0 429.481 -0.359 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1NCCNS(=O)(=O)c1cccnc1 ZINC000461966729 1073174551 /nfs/dbraw/zinc/17/45/51/1073174551.db2.gz QPSAEVFHKDSJJB-UHFFFAOYSA-N 0 0 434.521 -0.477 20 0 IBADRN CCCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000461967005 1073174506 /nfs/dbraw/zinc/17/45/06/1073174506.db2.gz BPETXMZVUVHEIJ-CHWSQXEVSA-N 0 0 427.527 -0.065 20 0 IBADRN CCCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000461967006 1073174574 /nfs/dbraw/zinc/17/45/74/1073174574.db2.gz BPETXMZVUVHEIJ-OLZOCXBDSA-N 0 0 427.527 -0.065 20 0 IBADRN CCCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000461967007 1073174484 /nfs/dbraw/zinc/17/44/84/1073174484.db2.gz BPETXMZVUVHEIJ-QWHCGFSZSA-N 0 0 427.527 -0.065 20 0 IBADRN CCCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000461967008 1073174465 /nfs/dbraw/zinc/17/44/65/1073174465.db2.gz BPETXMZVUVHEIJ-STQMWFEESA-N 0 0 427.527 -0.065 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCCC2)c[nH]1 ZINC000461968031 1073174888 /nfs/dbraw/zinc/17/48/88/1073174888.db2.gz GPNIXAHFEGSQDT-KRWDZBQOSA-N 0 0 447.517 -0.258 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCCC2)c[nH]1 ZINC000461968032 1073174792 /nfs/dbraw/zinc/17/47/92/1073174792.db2.gz GPNIXAHFEGSQDT-QGZVFWFLSA-N 0 0 447.517 -0.258 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(c3ccc(Cl)cn3)CC2)c[nH]1 ZINC000461968459 1073175348 /nfs/dbraw/zinc/17/53/48/1073175348.db2.gz HYNPYCDTPQEHDE-UHFFFAOYSA-N 0 0 426.886 -0.211 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)C1 ZINC000461968850 1073175284 /nfs/dbraw/zinc/17/52/84/1073175284.db2.gz TYGPGFXDZKWTKF-GFCCVEGCSA-N 0 0 425.554 -0.356 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)C1 ZINC000461968863 1073175311 /nfs/dbraw/zinc/17/53/11/1073175311.db2.gz TYGPGFXDZKWTKF-LBPRGKRZSA-N 0 0 425.554 -0.356 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000461969978 1073175305 /nfs/dbraw/zinc/17/53/05/1073175305.db2.gz UZGZAXZROBQUPQ-CYBMUJFWSA-N 0 0 437.565 -0.258 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000461969979 1073175356 /nfs/dbraw/zinc/17/53/56/1073175356.db2.gz UZGZAXZROBQUPQ-ZDUSSCGKSA-N 0 0 437.565 -0.258 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000461970152 1073175332 /nfs/dbraw/zinc/17/53/32/1073175332.db2.gz KFFCWDYOHSBRCG-GFCCVEGCSA-N 0 0 437.565 -0.258 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000461970153 1073175345 /nfs/dbraw/zinc/17/53/45/1073175345.db2.gz KFFCWDYOHSBRCG-LBPRGKRZSA-N 0 0 437.565 -0.258 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000461970722 1073175310 /nfs/dbraw/zinc/17/53/10/1073175310.db2.gz LFGWFYHCAGMURG-HNNXBMFYSA-N 0 0 436.490 -0.589 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000461970723 1073175318 /nfs/dbraw/zinc/17/53/18/1073175318.db2.gz LFGWFYHCAGMURG-OAHLLOKOSA-N 0 0 436.490 -0.589 20 0 IBADRN CCCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000461970810 1073175360 /nfs/dbraw/zinc/17/53/60/1073175360.db2.gz NGQXOLXHNCYVCA-AWEZNQCLSA-N 0 0 425.573 -0.364 20 0 IBADRN CCCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000461970811 1073175328 /nfs/dbraw/zinc/17/53/28/1073175328.db2.gz NGQXOLXHNCYVCA-CQSZACIVSA-N 0 0 425.573 -0.364 20 0 IBADRN CCc1ccc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1S(N)(=O)=O ZINC000461971136 1073175339 /nfs/dbraw/zinc/17/53/39/1073175339.db2.gz LHNVTLWGTWQDNE-UHFFFAOYSA-N 0 0 434.540 -0.331 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C[C@H](C)O1 ZINC000461973826 1073175658 /nfs/dbraw/zinc/17/56/58/1073175658.db2.gz RSTDIRCPVQRHQK-HZSPNIEDSA-N 0 0 427.527 -0.508 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C[C@@H](C)O1 ZINC000461973827 1073175722 /nfs/dbraw/zinc/17/57/22/1073175722.db2.gz RSTDIRCPVQRHQK-MCIONIFRSA-N 0 0 427.527 -0.508 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C[C@@H](C)O1 ZINC000461973828 1073175583 /nfs/dbraw/zinc/17/55/83/1073175583.db2.gz RSTDIRCPVQRHQK-MGPQQGTHSA-N 0 0 427.527 -0.508 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C[C@H](C)O1 ZINC000461973829 1073175710 /nfs/dbraw/zinc/17/57/10/1073175710.db2.gz RSTDIRCPVQRHQK-MJBXVCDLSA-N 0 0 427.527 -0.508 20 0 IBADRN CCC[C@H](CNC(=O)CN1C(=O)NC(=O)C1(C)C)NC(=O)CN1C(=O)NC(=O)C1(C)C ZINC000461973850 1073175704 /nfs/dbraw/zinc/17/57/04/1073175704.db2.gz WLKQOHXKLZQHQP-LLVKDONJSA-N 0 0 438.485 -0.952 20 0 IBADRN CCC[C@@H](CNC(=O)CN1C(=O)NC(=O)C1(C)C)NC(=O)CN1C(=O)NC(=O)C1(C)C ZINC000461973851 1073175678 /nfs/dbraw/zinc/17/56/78/1073175678.db2.gz WLKQOHXKLZQHQP-NSHDSACASA-N 0 0 438.485 -0.952 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)N1CCOCC1 ZINC000461974506 1073175623 /nfs/dbraw/zinc/17/56/23/1073175623.db2.gz PPVFEMDDKNQLPJ-PBHICJAKSA-N 0 0 429.477 -0.100 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)N1CCOCC1 ZINC000461974510 1073175629 /nfs/dbraw/zinc/17/56/29/1073175629.db2.gz PPVFEMDDKNQLPJ-RHSMWYFYSA-N 0 0 429.477 -0.100 20 0 IBADRN C[C@H](NC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)N1CCOCC1 ZINC000461974511 1073175596 /nfs/dbraw/zinc/17/55/96/1073175596.db2.gz PPVFEMDDKNQLPJ-WMLDXEAASA-N 0 0 429.477 -0.100 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)N1CCOCC1 ZINC000461974512 1073175574 /nfs/dbraw/zinc/17/55/74/1073175574.db2.gz PPVFEMDDKNQLPJ-YOEHRIQHSA-N 0 0 429.477 -0.100 20 0 IBADRN COc1cc(N2CCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)ncn1 ZINC000461975999 1073175734 /nfs/dbraw/zinc/17/57/34/1073175734.db2.gz YQVWSBPXIBWJAY-UHFFFAOYSA-N 0 0 426.437 -0.215 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)cc1 ZINC000461976217 1073175644 /nfs/dbraw/zinc/17/56/44/1073175644.db2.gz BHQVKMHRSWKQFN-UHFFFAOYSA-N 0 0 448.501 -0.121 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCNC(=O)Cc2cccc(F)c2)c[nH]1 ZINC000461977965 1073175608 /nfs/dbraw/zinc/17/56/08/1073175608.db2.gz FUDJLLOBYXCSJS-UHFFFAOYSA-N 0 0 425.442 -0.994 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)CC1 ZINC000461978653 1073176078 /nfs/dbraw/zinc/17/60/78/1073176078.db2.gz CMBPRJMVBGTFCO-UHFFFAOYSA-N 0 0 448.501 -0.121 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(=O)NC2CC2)c[nH]1 ZINC000461978689 1073176065 /nfs/dbraw/zinc/17/60/65/1073176065.db2.gz CXQKWHMTMSKCSV-INIZCTEOSA-N 0 0 433.490 -0.602 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)C(=O)NC2CC2)c[nH]1 ZINC000461978690 1073176073 /nfs/dbraw/zinc/17/60/73/1073176073.db2.gz CXQKWHMTMSKCSV-MRXNPFEDSA-N 0 0 433.490 -0.602 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCNC(=O)Cc2cccc(F)c2)o1 ZINC000461980368 1073176041 /nfs/dbraw/zinc/17/60/41/1073176041.db2.gz HCMVCWPOGAVSMI-UHFFFAOYSA-N 0 0 426.426 -0.729 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)c[nH]1 ZINC000461982240 1073176089 /nfs/dbraw/zinc/17/60/89/1073176089.db2.gz ABVSNNVNOZWEOZ-INIZCTEOSA-N 0 0 433.490 -0.128 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H](CN2CCCC2=O)c2ccccc2)c[nH]1 ZINC000461982241 1073176126 /nfs/dbraw/zinc/17/61/26/1073176126.db2.gz ABVSNNVNOZWEOZ-MRXNPFEDSA-N 0 0 433.490 -0.128 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000461982721 1073174778 /nfs/dbraw/zinc/17/47/78/1073174778.db2.gz CHJGIKZHMWYZMD-INIZCTEOSA-N 0 0 435.506 -0.312 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000461982762 1073174802 /nfs/dbraw/zinc/17/48/02/1073174802.db2.gz CHJGIKZHMWYZMD-MRXNPFEDSA-N 0 0 435.506 -0.312 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(=O)Nc2cccnc2)s1 ZINC000461988037 1073177074 /nfs/dbraw/zinc/17/70/74/1073177074.db2.gz LPLWCSNVYOOWGG-UHFFFAOYSA-N 0 0 425.492 -0.188 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)c2)CCO1 ZINC000461988508 1073177643 /nfs/dbraw/zinc/17/76/43/1073177643.db2.gz XXXCROAIXNTFTD-AWEZNQCLSA-N 0 0 449.533 -0.071 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)c2)CCO1 ZINC000461988509 1073177546 /nfs/dbraw/zinc/17/75/46/1073177546.db2.gz XXXCROAIXNTFTD-CQSZACIVSA-N 0 0 449.533 -0.071 20 0 IBADRN CCc1nc(CN2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)cs1 ZINC000461988560 1073177633 /nfs/dbraw/zinc/17/76/33/1073177633.db2.gz SNNADQOBODXSAJ-UHFFFAOYSA-N 0 0 440.551 -0.245 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)cc1 ZINC000461988730 1073177622 /nfs/dbraw/zinc/17/76/22/1073177622.db2.gz MIABHIRJHZWYPJ-UHFFFAOYSA-N 0 0 447.517 -0.386 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000461991860 1073177668 /nfs/dbraw/zinc/17/76/68/1073177668.db2.gz ZQJRVQHTOKUMOH-UHFFFAOYSA-N 0 0 447.517 -0.386 20 0 IBADRN Cn1cncc1CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000461992544 1071432450 /nfs/dbraw/zinc/43/24/50/1071432450.db2.gz WQIOJJJKIXHEPP-UHFFFAOYSA-N 0 0 442.523 -0.716 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000461994462 1073177637 /nfs/dbraw/zinc/17/76/37/1073177637.db2.gz NOGQCBJYCWEYSS-DOMZBBRYSA-N 0 0 436.490 -0.543 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000461994463 1073177521 /nfs/dbraw/zinc/17/75/21/1073177521.db2.gz NOGQCBJYCWEYSS-IUODEOHRSA-N 0 0 436.490 -0.543 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000461994464 1073177590 /nfs/dbraw/zinc/17/75/90/1073177590.db2.gz NOGQCBJYCWEYSS-SWLSCSKDSA-N 0 0 436.490 -0.543 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000461994465 1073177664 /nfs/dbraw/zinc/17/76/64/1073177664.db2.gz NOGQCBJYCWEYSS-WFASDCNBSA-N 0 0 436.490 -0.543 20 0 IBADRN COc1cc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)ncn1 ZINC000461995139 1073177535 /nfs/dbraw/zinc/17/75/35/1073177535.db2.gz QDFKKJKRNKUKSW-HNNXBMFYSA-N 0 0 442.542 -0.195 20 0 IBADRN COc1cc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)ncn1 ZINC000461995141 1073177613 /nfs/dbraw/zinc/17/76/13/1073177613.db2.gz QDFKKJKRNKUKSW-OAHLLOKOSA-N 0 0 442.542 -0.195 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)ccc1F ZINC000461997264 1073176054 /nfs/dbraw/zinc/17/60/54/1073176054.db2.gz MJIOQIYIFBKINL-UHFFFAOYSA-N 0 0 427.462 -0.118 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CCC(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000461999825 1073176623 /nfs/dbraw/zinc/17/66/23/1073176623.db2.gz LHRAIYOZUBKDID-CYBMUJFWSA-N 0 0 437.522 -0.015 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CCC(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000461999826 1073176645 /nfs/dbraw/zinc/17/66/45/1073176645.db2.gz LHRAIYOZUBKDID-ZDUSSCGKSA-N 0 0 437.522 -0.015 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000462001509 1073177177 /nfs/dbraw/zinc/17/71/77/1073177177.db2.gz TWUIKHPFYNSRMJ-CYBMUJFWSA-N 0 0 448.567 -0.313 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000462001510 1073177187 /nfs/dbraw/zinc/17/71/87/1073177187.db2.gz TWUIKHPFYNSRMJ-ZDUSSCGKSA-N 0 0 448.567 -0.313 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000462002827 1073177146 /nfs/dbraw/zinc/17/71/46/1073177146.db2.gz DZZPTIQTNKMJHT-HNNXBMFYSA-N 0 0 432.433 -0.289 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000462002828 1073177108 /nfs/dbraw/zinc/17/71/08/1073177108.db2.gz DZZPTIQTNKMJHT-OAHLLOKOSA-N 0 0 432.433 -0.289 20 0 IBADRN C[C@H](NC(=O)CC[S@@](=O)c1ccc2c(c1)OCCO2)C(=O)N1CCS(=O)(=O)CC1 ZINC000462003730 1073177096 /nfs/dbraw/zinc/17/70/96/1073177096.db2.gz DLUNVOIZLGBZAA-CFJPQMKISA-N 0 0 444.531 -0.283 20 0 IBADRN C[C@@H](NC(=O)CC[S@@](=O)c1ccc2c(c1)OCCO2)C(=O)N1CCS(=O)(=O)CC1 ZINC000462003738 1073177054 /nfs/dbraw/zinc/17/70/54/1073177054.db2.gz DLUNVOIZLGBZAA-DBDZUICISA-N 0 0 444.531 -0.283 20 0 IBADRN C[C@H](NC(=O)CC[S@](=O)c1ccc2c(c1)OCCO2)C(=O)N1CCS(=O)(=O)CC1 ZINC000462003741 1073177104 /nfs/dbraw/zinc/17/71/04/1073177104.db2.gz DLUNVOIZLGBZAA-OIJAAMDRSA-N 0 0 444.531 -0.283 20 0 IBADRN C[C@@H](NC(=O)CC[S@](=O)c1ccc2c(c1)OCCO2)C(=O)N1CCS(=O)(=O)CC1 ZINC000462003742 1073177041 /nfs/dbraw/zinc/17/70/41/1073177041.db2.gz DLUNVOIZLGBZAA-QYSRSOONSA-N 0 0 444.531 -0.283 20 0 IBADRN COc1ccc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1-n1nnnc1C ZINC000462004084 1073177160 /nfs/dbraw/zinc/17/71/60/1073177160.db2.gz HTWHSQDQJMKENZ-UHFFFAOYSA-N 0 0 439.498 -0.248 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)c1ccnc(C(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000462004770 1073178174 /nfs/dbraw/zinc/17/81/74/1073178174.db2.gz SFYWPPBVZIJJIC-UHFFFAOYSA-N 0 0 443.460 -0.381 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)CN1CCN(Cc2ccc(Br)cc2F)CC1 ZINC000462005599 1073178157 /nfs/dbraw/zinc/17/81/57/1073178157.db2.gz DCCHUPQPDZKQLQ-UHFFFAOYSA-N 0 0 444.305 -0.495 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3cc(C)nc4ncnn43)CC2)c1 ZINC000462007974 1073178204 /nfs/dbraw/zinc/17/82/04/1073178204.db2.gz SPUHYKWKHHNDMV-UHFFFAOYSA-N 0 0 448.509 -0.352 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000462009522 1073178673 /nfs/dbraw/zinc/17/86/73/1073178673.db2.gz KLJBOUOSDCUVQM-UHFFFAOYSA-N 0 0 427.435 -0.001 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000462013258 1073178567 /nfs/dbraw/zinc/17/85/67/1073178567.db2.gz WDXNRRRSGLEZBH-CYBMUJFWSA-N 0 0 446.551 -0.525 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000462013259 1073178688 /nfs/dbraw/zinc/17/86/88/1073178688.db2.gz WDXNRRRSGLEZBH-ZDUSSCGKSA-N 0 0 446.551 -0.525 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(c3ncccc3Cl)CC2)c[nH]1 ZINC000462015088 1073179153 /nfs/dbraw/zinc/17/91/53/1073179153.db2.gz JDBVXCCBWQBDES-UHFFFAOYSA-N 0 0 426.886 -0.211 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000462015159 1073179232 /nfs/dbraw/zinc/17/92/32/1073179232.db2.gz OTGXWADZIQSYIA-UHFFFAOYSA-N 0 0 426.451 -0.266 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000462015637 1073179247 /nfs/dbraw/zinc/17/92/47/1073179247.db2.gz LUTHMAGLOBKRGW-HNNXBMFYSA-N 0 0 438.506 -0.296 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000462015638 1073179222 /nfs/dbraw/zinc/17/92/22/1073179222.db2.gz LUTHMAGLOBKRGW-OAHLLOKOSA-N 0 0 438.506 -0.296 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)[C@@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC000462017851 1073179628 /nfs/dbraw/zinc/17/96/28/1073179628.db2.gz YHMOPTOJZDZBFD-AWEZNQCLSA-N 0 0 443.551 -0.328 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)[C@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC000462017852 1073179723 /nfs/dbraw/zinc/17/97/23/1073179723.db2.gz YHMOPTOJZDZBFD-CQSZACIVSA-N 0 0 443.551 -0.328 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1F ZINC000462019699 1073180243 /nfs/dbraw/zinc/18/02/43/1073180243.db2.gz CZDOVLDWDVMNCZ-UHFFFAOYSA-N 0 0 425.442 -0.614 20 0 IBADRN CCN(CC(=O)Nc1cccc(OC)c1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000462021042 1073180213 /nfs/dbraw/zinc/18/02/13/1073180213.db2.gz ATTJQITUEVQKKW-UHFFFAOYSA-N 0 0 437.478 -0.112 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000462021812 1073180221 /nfs/dbraw/zinc/18/02/21/1073180221.db2.gz CDEBSXDSYIKUSB-HNNXBMFYSA-N 0 0 436.490 -0.589 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000462021813 1073180131 /nfs/dbraw/zinc/18/01/31/1073180131.db2.gz CDEBSXDSYIKUSB-OAHLLOKOSA-N 0 0 436.490 -0.589 20 0 IBADRN CCN(CC(=O)NCc1ccc(F)cc1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000462022217 1073180254 /nfs/dbraw/zinc/18/02/54/1073180254.db2.gz DDXJLCGKZDEWRE-UHFFFAOYSA-N 0 0 439.469 -0.304 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)c1 ZINC000462023643 1073180168 /nfs/dbraw/zinc/18/01/68/1073180168.db2.gz SWHCCQRAAXTBGX-UHFFFAOYSA-N 0 0 449.489 -0.615 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)NC3CCCCC3)CC2)o1 ZINC000462024267 1073180140 /nfs/dbraw/zinc/18/01/40/1073180140.db2.gz XAMKPYADWXNKTH-UHFFFAOYSA-N 0 0 441.510 -0.157 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)cc1 ZINC000462024560 1073178182 /nfs/dbraw/zinc/17/81/82/1073178182.db2.gz COQKNMKLNXXQIE-UHFFFAOYSA-N 0 0 447.517 -0.061 20 0 IBADRN O=C(CN(CC(=O)N1CCS(=O)(=O)CC1)c1ccccc1)N1CCS(=O)(=O)CC1 ZINC000462025368 1073180159 /nfs/dbraw/zinc/18/01/59/1073180159.db2.gz PVQQCLRCCVQLBY-UHFFFAOYSA-N 0 0 443.547 -0.993 20 0 IBADRN CCN(CC(=O)NCc1ccc(F)cc1)C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000462027510 1073183304 /nfs/dbraw/zinc/18/33/04/1073183304.db2.gz WZAZLSPJVUGDQF-UHFFFAOYSA-N 0 0 440.453 -0.039 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)NC3CCCCC3)CC2)c[nH]1 ZINC000462028507 1073183275 /nfs/dbraw/zinc/18/32/75/1073183275.db2.gz WYVNCTQBQJXYDQ-UHFFFAOYSA-N 0 0 440.526 -0.422 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCc2nccn2Cc2ccccc2)c[nH]1 ZINC000462028538 1073183224 /nfs/dbraw/zinc/18/32/24/1073183224.db2.gz XGXFGHGMXLBGFZ-UHFFFAOYSA-N 0 0 430.490 -0.004 20 0 IBADRN Cc1nc(CN2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)cs1 ZINC000462028746 1073183209 /nfs/dbraw/zinc/18/32/09/1073183209.db2.gz XWBGAVBHRJIURA-UHFFFAOYSA-N 0 0 426.524 -0.499 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@H](c2ccccc2)N2CCOCC2)c[nH]1 ZINC000462028811 1073183360 /nfs/dbraw/zinc/18/33/60/1073183360.db2.gz YVBINEQZGVFEKT-KRWDZBQOSA-N 0 0 435.506 -0.418 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@H](c2ccccc2)N2CCOCC2)c[nH]1 ZINC000462028812 1073183381 /nfs/dbraw/zinc/18/33/81/1073183381.db2.gz YVBINEQZGVFEKT-QGZVFWFLSA-N 0 0 435.506 -0.418 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(=O)Nc2ccc(F)c(F)c2F)c[nH]1 ZINC000462030959 1073183330 /nfs/dbraw/zinc/18/33/30/1073183330.db2.gz KZKULQNBFGNUFG-UHFFFAOYSA-N 0 0 433.368 -0.436 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(c4ccccc4)CC3)cn2)CC1 ZINC000462031057 1073183234 /nfs/dbraw/zinc/18/32/34/1073183234.db2.gz CIWRJQAVSJJKKN-UHFFFAOYSA-N 0 0 439.520 -0.056 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000462034060 1073180745 /nfs/dbraw/zinc/18/07/45/1073180745.db2.gz BMMXUEMEDLONNL-KRWDZBQOSA-N 0 0 443.504 -0.413 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000462034061 1073180720 /nfs/dbraw/zinc/18/07/20/1073180720.db2.gz BMMXUEMEDLONNL-QGZVFWFLSA-N 0 0 443.504 -0.413 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)cc1 ZINC000462034416 1073180841 /nfs/dbraw/zinc/18/08/41/1073180841.db2.gz QWTWQJKRHMNAQK-UHFFFAOYSA-N 0 0 449.489 -0.615 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2ccccc2)CC1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000462036936 1073181233 /nfs/dbraw/zinc/18/12/33/1073181233.db2.gz IKHVGIKEAQHDLA-CVEARBPZSA-N 0 0 436.534 -0.609 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2ccccc2)CC1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000462036937 1073181238 /nfs/dbraw/zinc/18/12/38/1073181238.db2.gz IKHVGIKEAQHDLA-HOTGVXAUSA-N 0 0 436.534 -0.609 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2ccccc2)CC1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000462036938 1073181167 /nfs/dbraw/zinc/18/11/67/1073181167.db2.gz IKHVGIKEAQHDLA-HZPDHXFCSA-N 0 0 436.534 -0.609 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2ccccc2)CC1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000462036939 1073181283 /nfs/dbraw/zinc/18/12/83/1073181283.db2.gz IKHVGIKEAQHDLA-JKSUJKDBSA-N 0 0 436.534 -0.609 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC000462037166 1073181219 /nfs/dbraw/zinc/18/12/19/1073181219.db2.gz AXMPCRLKQKZTTG-PBHICJAKSA-N 0 0 430.509 -0.012 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC000462037167 1073181209 /nfs/dbraw/zinc/18/12/09/1073181209.db2.gz AXMPCRLKQKZTTG-RHSMWYFYSA-N 0 0 430.509 -0.012 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC000462037168 1073181180 /nfs/dbraw/zinc/18/11/80/1073181180.db2.gz AXMPCRLKQKZTTG-WMLDXEAASA-N 0 0 430.509 -0.012 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC000462037169 1073181290 /nfs/dbraw/zinc/18/12/90/1073181290.db2.gz AXMPCRLKQKZTTG-YOEHRIQHSA-N 0 0 430.509 -0.012 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000462039691 1073181214 /nfs/dbraw/zinc/18/12/14/1073181214.db2.gz KAVMFXDLNDUSRW-CYBMUJFWSA-N 0 0 427.527 -0.636 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000462039692 1073181766 /nfs/dbraw/zinc/18/17/66/1073181766.db2.gz KAVMFXDLNDUSRW-ZDUSSCGKSA-N 0 0 427.527 -0.636 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(NC(=O)c3ccccc3)CC2)c[nH]1 ZINC000462039909 1073181202 /nfs/dbraw/zinc/18/12/02/1073181202.db2.gz PMQYPTZUGRWDLX-UHFFFAOYSA-N 0 0 433.490 -0.187 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000462040706 1073181906 /nfs/dbraw/zinc/18/19/06/1073181906.db2.gz OIYQGQBMKKNOIN-HOCLYGCPSA-N 0 0 443.547 -0.399 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000462040707 1073181889 /nfs/dbraw/zinc/18/18/89/1073181889.db2.gz OIYQGQBMKKNOIN-ZBFHGGJFSA-N 0 0 443.547 -0.399 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000462041365 1073181866 /nfs/dbraw/zinc/18/18/66/1073181866.db2.gz RCRQQQLLRIUASD-UHFFFAOYSA-N 0 0 430.464 -0.454 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1)N1CCCC1 ZINC000462042474 1073181847 /nfs/dbraw/zinc/18/18/47/1073181847.db2.gz VVFYVESDWWWQIX-KRWDZBQOSA-N 0 0 430.509 -0.057 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1)N1CCCC1 ZINC000462042476 1073181837 /nfs/dbraw/zinc/18/18/37/1073181837.db2.gz VVFYVESDWWWQIX-QGZVFWFLSA-N 0 0 430.509 -0.057 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000462043187 1073182425 /nfs/dbraw/zinc/18/24/25/1073182425.db2.gz XRVRRWMLXAVDEX-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000462043902 1073182367 /nfs/dbraw/zinc/18/23/67/1073182367.db2.gz GJTJZMRXVOPORQ-UHFFFAOYSA-N 0 0 427.527 -0.680 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)c3nccnc3C(N)=O)CC2)c1 ZINC000462044180 1073182380 /nfs/dbraw/zinc/18/23/80/1073182380.db2.gz IBFAZJWUVQVKKE-UHFFFAOYSA-N 0 0 435.462 -0.261 20 0 IBADRN NC(=O)c1nccnc1C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000462046619 1073182920 /nfs/dbraw/zinc/18/29/20/1073182920.db2.gz VAKUNSIZKIAHBX-UHFFFAOYSA-N 0 0 433.475 -0.273 20 0 IBADRN Cc1cc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)nc(C(C)C)n1 ZINC000462048617 1073183759 /nfs/dbraw/zinc/18/37/59/1073183759.db2.gz TXDIPTDBGKDTBS-UHFFFAOYSA-N 0 0 449.537 -0.037 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCN(CC(=O)NC2CC2)CC1)N1C(=O)c2ccccc2C1=O ZINC000462049223 1073183780 /nfs/dbraw/zinc/18/37/80/1073183780.db2.gz DWMMVYOVIJCTQS-KRWDZBQOSA-N 0 0 441.488 -0.661 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCN(CC(=O)NC2CC2)CC1)N1C(=O)c2ccccc2C1=O ZINC000462049224 1073183765 /nfs/dbraw/zinc/18/37/65/1073183765.db2.gz DWMMVYOVIJCTQS-QGZVFWFLSA-N 0 0 441.488 -0.661 20 0 IBADRN Nc1c(=O)[nH]c(=O)[nH]c1C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000462049867 1073184209 /nfs/dbraw/zinc/18/42/09/1073184209.db2.gz OZVFVVRKMQGESE-UHFFFAOYSA-N 0 0 437.463 -0.379 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)[C@@H]1CC)c1ccccc1 ZINC000462050030 1073184198 /nfs/dbraw/zinc/18/41/98/1073184198.db2.gz HTOGOJUQBRQOSL-HDICACEKSA-N 0 0 429.521 -0.033 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)[C@H]1CC)c1ccccc1 ZINC000462050031 1073184098 /nfs/dbraw/zinc/18/40/98/1073184098.db2.gz HTOGOJUQBRQOSL-QZTJIDSGSA-N 0 0 429.521 -0.033 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)[C@@H]1CC)c1ccccc1 ZINC000462050032 1073184291 /nfs/dbraw/zinc/18/42/91/1073184291.db2.gz HTOGOJUQBRQOSL-ROUUACIJSA-N 0 0 429.521 -0.033 20 0 IBADRN CCNC(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC000462050753 1073184182 /nfs/dbraw/zinc/18/41/82/1073184182.db2.gz LXRKGNREMWSGTQ-UHFFFAOYSA-N 0 0 431.540 -0.464 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2ccccc2CN2CCOCC2)c[nH]1 ZINC000462051491 1073184078 /nfs/dbraw/zinc/18/40/78/1073184078.db2.gz GABJPPIMPUIBPL-UHFFFAOYSA-N 0 0 435.506 -0.460 20 0 IBADRN Cc1ccc([C@H](CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)N2CCOCC2)o1 ZINC000462052918 1073184776 /nfs/dbraw/zinc/18/47/76/1073184776.db2.gz BTSWZOXHPKJYLB-HNNXBMFYSA-N 0 0 439.494 -0.517 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)N2CCOCC2)o1 ZINC000462052921 1073184669 /nfs/dbraw/zinc/18/46/69/1073184669.db2.gz BTSWZOXHPKJYLB-OAHLLOKOSA-N 0 0 439.494 -0.517 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000462054262 1073184761 /nfs/dbraw/zinc/18/47/61/1073184761.db2.gz ATDYGEKGBIMWCG-CYBMUJFWSA-N 0 0 439.494 -0.098 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000462054263 1073184780 /nfs/dbraw/zinc/18/47/80/1073184780.db2.gz ATDYGEKGBIMWCG-ZDUSSCGKSA-N 0 0 439.494 -0.098 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)no1 ZINC000462055879 1073331477 /nfs/dbraw/zinc/33/14/77/1073331477.db2.gz FHBITISTJYLLGH-UHFFFAOYSA-N 0 0 443.507 0.317 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)ccc1C ZINC000462057313 1073184725 /nfs/dbraw/zinc/18/47/25/1073184725.db2.gz VGRTZIFJRCHPPC-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN COc1cc(CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc(OC)c1OC ZINC000462057893 1073184739 /nfs/dbraw/zinc/18/47/39/1073184739.db2.gz WMALLHFUKFVIIZ-UHFFFAOYSA-N 0 0 426.451 -0.266 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H](CN2CCOCC2)c2ccccc2)c[nH]1 ZINC000462058292 1073184794 /nfs/dbraw/zinc/18/47/94/1073184794.db2.gz MCDKCUJLSUHQRW-KRWDZBQOSA-N 0 0 435.506 -0.418 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H](CN2CCOCC2)c2ccccc2)c[nH]1 ZINC000462058294 1073184664 /nfs/dbraw/zinc/18/46/64/1073184664.db2.gz MCDKCUJLSUHQRW-QGZVFWFLSA-N 0 0 435.506 -0.418 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)c[nH]1 ZINC000462063177 1073184633 /nfs/dbraw/zinc/18/46/33/1073184633.db2.gz ZZXBWNCOONWRAA-HNNXBMFYSA-N 0 0 435.506 -0.591 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)c[nH]1 ZINC000462063178 1073185306 /nfs/dbraw/zinc/18/53/06/1073185306.db2.gz ZZXBWNCOONWRAA-OAHLLOKOSA-N 0 0 435.506 -0.591 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC(=O)N[C@@H]2[C@H]2CCCO2)CC1 ZINC000462063422 1073184716 /nfs/dbraw/zinc/18/47/16/1073184716.db2.gz WQPHAYDPWNRBPV-MTNOOBJLSA-N 0 0 437.541 -0.613 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC(=O)N[C@H]2[C@@H]2CCCO2)CC1 ZINC000462063423 1073184705 /nfs/dbraw/zinc/18/47/05/1073184705.db2.gz WQPHAYDPWNRBPV-VXIBKDFQSA-N 0 0 437.541 -0.613 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC(=O)N[C@@H]2[C@@H]2CCCO2)CC1 ZINC000462063424 1073184735 /nfs/dbraw/zinc/18/47/35/1073184735.db2.gz WQPHAYDPWNRBPV-VXNCWWDNSA-N 0 0 437.541 -0.613 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC(=O)N[C@H]2[C@H]2CCCO2)CC1 ZINC000462063425 1073184688 /nfs/dbraw/zinc/18/46/88/1073184688.db2.gz WQPHAYDPWNRBPV-YWTNHNAXSA-N 0 0 437.541 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1)Nc1nncs1 ZINC000462064858 1073185289 /nfs/dbraw/zinc/18/52/89/1073185289.db2.gz GXMWFMCHCMDQLI-UHFFFAOYSA-N 0 0 443.533 -0.030 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000462065199 1073185236 /nfs/dbraw/zinc/18/52/36/1073185236.db2.gz AHNCFGSOKXEDFM-IBGZPJMESA-N 0 0 443.548 -0.273 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000462065200 1073185341 /nfs/dbraw/zinc/18/53/41/1073185341.db2.gz AHNCFGSOKXEDFM-LJQANCHMSA-N 0 0 443.548 -0.273 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@H](C)CO1 ZINC000462066492 1073185192 /nfs/dbraw/zinc/18/51/92/1073185192.db2.gz IITUNABEJWHJBI-CHWSQXEVSA-N 0 0 432.524 -0.427 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000462066493 1073185270 /nfs/dbraw/zinc/18/52/70/1073185270.db2.gz IITUNABEJWHJBI-OLZOCXBDSA-N 0 0 432.524 -0.427 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@@H](C)CO1 ZINC000462066494 1073185328 /nfs/dbraw/zinc/18/53/28/1073185328.db2.gz IITUNABEJWHJBI-QWHCGFSZSA-N 0 0 432.524 -0.427 20 0 IBADRN C[C@H]1CN(S(=O)(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@@H](C)CO1 ZINC000462066495 1073185213 /nfs/dbraw/zinc/18/52/13/1073185213.db2.gz IITUNABEJWHJBI-STQMWFEESA-N 0 0 432.524 -0.427 20 0 IBADRN O=C(CSCC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)N1CCOCC1 ZINC000462068839 1073184799 /nfs/dbraw/zinc/18/47/99/1073184799.db2.gz WNOMNARXTCJCDZ-UHFFFAOYSA-N 0 0 432.524 -0.759 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000462077761 1073185690 /nfs/dbraw/zinc/18/56/90/1073185690.db2.gz VLRUAFUIDMIGIH-UHFFFAOYSA-N 0 0 444.491 -0.382 20 0 IBADRN O=C(CCn1nnc2ccccc2c1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000462077941 1073185673 /nfs/dbraw/zinc/18/56/73/1073185673.db2.gz ZUQXNTIABJZOKW-UHFFFAOYSA-N 0 0 432.462 -0.156 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc2c1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000462078054 1073185710 /nfs/dbraw/zinc/18/57/10/1073185710.db2.gz VIKGHXVUXMLWHJ-UHFFFAOYSA-N 0 0 433.446 -0.236 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000462081320 1073185703 /nfs/dbraw/zinc/18/57/03/1073185703.db2.gz RLVDGHRGUYDKIZ-UHFFFAOYSA-N 0 0 428.492 -0.130 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)S(=O)(=O)c1ccccc1 ZINC000462081374 1073185680 /nfs/dbraw/zinc/18/56/80/1073185680.db2.gz BLFUDEQYYCRSBK-UHFFFAOYSA-N 0 0 442.519 -0.031 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)S(=O)(=O)c1cccnc1 ZINC000462081400 1073185600 /nfs/dbraw/zinc/18/56/00/1073185600.db2.gz BVHGAVYKCGZPEM-UHFFFAOYSA-N 0 0 443.507 -0.636 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)CC2(C(=O)OC)CCC2)c1 ZINC000462085399 1073185249 /nfs/dbraw/zinc/18/52/49/1073185249.db2.gz RILVCAUBDHUGFG-UHFFFAOYSA-N 0 0 433.508 -0.204 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCCN2C(=O)c3ccccc3C2=O)o1 ZINC000462087497 1073185112 /nfs/dbraw/zinc/18/51/12/1073185112.db2.gz JNNVZMSXFRXRTA-UHFFFAOYSA-N 0 0 448.457 -0.151 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCC1 ZINC000462088313 1073185297 /nfs/dbraw/zinc/18/52/97/1073185297.db2.gz GWVYZQDEYNPZMK-UHFFFAOYSA-N 0 0 434.540 -0.292 20 0 IBADRN COC(=O)C1(CS(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCC1 ZINC000462091975 1073186804 /nfs/dbraw/zinc/18/68/04/1073186804.db2.gz OBEPHYMUQGTRIX-UHFFFAOYSA-N 0 0 425.511 -0.222 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCC1 ZINC000462093067 1073187194 /nfs/dbraw/zinc/18/71/94/1073187194.db2.gz NYFBCBIILJKANJ-UHFFFAOYSA-N 0 0 449.507 -0.642 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)s1 ZINC000462093802 1073187095 /nfs/dbraw/zinc/18/70/95/1073187095.db2.gz PCQXPMHHSOAZFH-GHMZBOCLSA-N 0 0 439.581 -0.058 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O)s1 ZINC000462093803 1073187147 /nfs/dbraw/zinc/18/71/47/1073187147.db2.gz PCQXPMHHSOAZFH-MNOVXSKESA-N 0 0 439.581 -0.058 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O)s1 ZINC000462093804 1073187042 /nfs/dbraw/zinc/18/70/42/1073187042.db2.gz PCQXPMHHSOAZFH-QWRGUYRKSA-N 0 0 439.581 -0.058 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O)s1 ZINC000462093805 1073187141 /nfs/dbraw/zinc/18/71/41/1073187141.db2.gz PCQXPMHHSOAZFH-WDEREUQCSA-N 0 0 439.581 -0.058 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)c[nH]1 ZINC000462094356 1073187177 /nfs/dbraw/zinc/18/71/77/1073187177.db2.gz QWZMLJDGENKTRZ-GFCCVEGCSA-N 0 0 439.881 -0.033 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)c[nH]1 ZINC000462094357 1073187129 /nfs/dbraw/zinc/18/71/29/1073187129.db2.gz QWZMLJDGENKTRZ-LBPRGKRZSA-N 0 0 439.881 -0.033 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H](Cc1ccccc1)C(=O)N(C)C ZINC000462095156 1073187079 /nfs/dbraw/zinc/18/70/79/1073187079.db2.gz XXIXRFLCOFDADL-INIZCTEOSA-N 0 0 426.539 -0.364 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H](Cc1ccccc1)C(=O)N(C)C ZINC000462095158 1073187056 /nfs/dbraw/zinc/18/70/56/1073187056.db2.gz XXIXRFLCOFDADL-MRXNPFEDSA-N 0 0 426.539 -0.364 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000462095323 1073187172 /nfs/dbraw/zinc/18/71/72/1073187172.db2.gz UYBKJQLDJTVBBA-AGIUHOORSA-N 0 0 431.536 -0.033 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000462095324 1073187085 /nfs/dbraw/zinc/18/70/85/1073187085.db2.gz UYBKJQLDJTVBBA-FRRDWIJNSA-N 0 0 431.536 -0.033 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000462095325 1073187114 /nfs/dbraw/zinc/18/71/14/1073187114.db2.gz UYBKJQLDJTVBBA-JHJVBQTASA-N 0 0 431.536 -0.033 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000462095326 1073187122 /nfs/dbraw/zinc/18/71/22/1073187122.db2.gz UYBKJQLDJTVBBA-UPJWGTAASA-N 0 0 431.536 -0.033 20 0 IBADRN COC(=O)C1(CS(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCC1 ZINC000462101256 1073186159 /nfs/dbraw/zinc/18/61/59/1073186159.db2.gz KIKOZDSUCQPUGH-UHFFFAOYSA-N 0 0 439.556 -0.710 20 0 IBADRN COC(=O)C1(CS(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCC1 ZINC000462104509 1073186791 /nfs/dbraw/zinc/18/67/91/1073186791.db2.gz QZSDAIHLKQICPR-UHFFFAOYSA-N 0 0 434.540 -0.164 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)nn1 ZINC000462110502 1073187612 /nfs/dbraw/zinc/18/76/12/1073187612.db2.gz UUDKIGQNLXJLBH-UHFFFAOYSA-N 0 0 432.384 -0.673 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN2CC(F)(F)F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000462114238 1073188163 /nfs/dbraw/zinc/18/81/63/1073188163.db2.gz AEVIPJQPONGJDK-KBPBESRZSA-N 0 0 440.488 -0.539 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN2CC(F)(F)F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000462114240 1073188048 /nfs/dbraw/zinc/18/80/48/1073188048.db2.gz AEVIPJQPONGJDK-KGLIPLIRSA-N 0 0 440.488 -0.539 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN2CC(F)(F)F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000462114241 1073188099 /nfs/dbraw/zinc/18/80/99/1073188099.db2.gz AEVIPJQPONGJDK-UONOGXRCSA-N 0 0 440.488 -0.539 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN2CC(F)(F)F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000462114243 1073188025 /nfs/dbraw/zinc/18/80/25/1073188025.db2.gz AEVIPJQPONGJDK-ZIAGYGMSSA-N 0 0 440.488 -0.539 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CN(CC(=O)N1CCC[C@H]1C(=O)NC)c1ccccc1 ZINC000462115612 1073188054 /nfs/dbraw/zinc/18/80/54/1073188054.db2.gz CJIKTWWNHBSSHJ-HDICACEKSA-N 0 0 429.521 -0.033 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CN(CC(=O)N1CCC[C@@H]1C(=O)NC)c1ccccc1 ZINC000462115613 1073188102 /nfs/dbraw/zinc/18/81/02/1073188102.db2.gz CJIKTWWNHBSSHJ-QZTJIDSGSA-N 0 0 429.521 -0.033 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CN(CC(=O)N1CCC[C@H]1C(=O)NC)c1ccccc1 ZINC000462115614 1073188197 /nfs/dbraw/zinc/18/81/97/1073188197.db2.gz CJIKTWWNHBSSHJ-ROUUACIJSA-N 0 0 429.521 -0.033 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000462118558 1073188724 /nfs/dbraw/zinc/18/87/24/1073188724.db2.gz OAIOGVQTLQNMCC-GOSISDBHSA-N 0 0 429.521 -0.616 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000462118559 1073188787 /nfs/dbraw/zinc/18/87/87/1073188787.db2.gz OAIOGVQTLQNMCC-SFHVURJKSA-N 0 0 429.521 -0.616 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3ccccc3)CC2)n1)N1CCOCC1 ZINC000462125820 1073321620 /nfs/dbraw/zinc/32/16/20/1073321620.db2.gz DEPVHQNYIZTUOE-UHFFFAOYSA-N 0 0 426.477 0.029 20 0 IBADRN CN1C[C@H](NC(=O)CN(CC(=O)N[C@H]2CCC(=O)N(C)C2)c2ccccc2)CCC1=O ZINC000462127855 1073187689 /nfs/dbraw/zinc/18/76/89/1073187689.db2.gz GDJIZHLWYSIWPY-CALCHBBNSA-N 0 0 429.521 -0.033 20 0 IBADRN CN1C[C@H](NC(=O)CN(CC(=O)N[C@@H]2CCC(=O)N(C)C2)c2ccccc2)CCC1=O ZINC000462127856 1073187571 /nfs/dbraw/zinc/18/75/71/1073187571.db2.gz GDJIZHLWYSIWPY-IAGOWNOFSA-N 0 0 429.521 -0.033 20 0 IBADRN CN1C[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCC(=O)N(C)C2)c2ccccc2)CCC1=O ZINC000462127857 1073187624 /nfs/dbraw/zinc/18/76/24/1073187624.db2.gz GDJIZHLWYSIWPY-IRXDYDNUSA-N 0 0 429.521 -0.033 20 0 IBADRN CN(C(=O)CN(CC(=O)N(C)[C@H]1CCN(C)C1=O)c1ccccc1)[C@@H]1CCN(C)C1=O ZINC000462132659 1073189300 /nfs/dbraw/zinc/18/93/00/1073189300.db2.gz WERBPHCLWDKSIE-HDICACEKSA-N 0 0 429.521 -0.129 20 0 IBADRN CN(C(=O)CN(CC(=O)N(C)[C@@H]1CCN(C)C1=O)c1ccccc1)[C@@H]1CCN(C)C1=O ZINC000462132662 1073189303 /nfs/dbraw/zinc/18/93/03/1073189303.db2.gz WERBPHCLWDKSIE-QZTJIDSGSA-N 0 0 429.521 -0.129 20 0 IBADRN CN(C(=O)CN(CC(=O)N(C)[C@H]1CCN(C)C1=O)c1ccccc1)[C@H]1CCN(C)C1=O ZINC000462132664 1073189242 /nfs/dbraw/zinc/18/92/42/1073189242.db2.gz WERBPHCLWDKSIE-ROUUACIJSA-N 0 0 429.521 -0.129 20 0 IBADRN COCCNC(=O)CNS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000462135323 1073189255 /nfs/dbraw/zinc/18/92/55/1073189255.db2.gz PYPPHSXHGKKAQY-UHFFFAOYSA-N 0 0 435.300 -0.320 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)cn1 ZINC000462135672 1073189281 /nfs/dbraw/zinc/18/92/81/1073189281.db2.gz DQZLOJYZPXNSFY-UHFFFAOYSA-N 0 0 427.527 -0.379 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCCCC1 ZINC000462136486 1073189227 /nfs/dbraw/zinc/18/92/27/1073189227.db2.gz CLTLPXCNGJGCFY-UHFFFAOYSA-N 0 0 444.535 0.000 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NCCN3C(=O)CNC3=O)cc2n(C)c1=O ZINC000462138740 1073188762 /nfs/dbraw/zinc/18/87/62/1073188762.db2.gz IWOCEGKBELHSRO-UHFFFAOYSA-N 0 0 446.283 -0.530 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)cc2N1 ZINC000462141143 1073188814 /nfs/dbraw/zinc/18/88/14/1073188814.db2.gz RONRSIYQVUEYGD-UHFFFAOYSA-N 0 0 442.475 -0.158 20 0 IBADRN Cc1ccc(S(=O)(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000462141556 1073188702 /nfs/dbraw/zinc/18/87/02/1073188702.db2.gz LZEBYKKLDZSBCH-UHFFFAOYSA-N 0 0 432.524 -0.869 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000462145947 1073188554 /nfs/dbraw/zinc/18/85/54/1073188554.db2.gz JCLQEJYWEIDZKD-AWEZNQCLSA-N 0 0 444.539 -0.356 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000462145948 1073189163 /nfs/dbraw/zinc/18/91/63/1073189163.db2.gz JCLQEJYWEIDZKD-CQSZACIVSA-N 0 0 444.539 -0.356 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000462148363 1073189173 /nfs/dbraw/zinc/18/91/73/1073189173.db2.gz CFZDPXUFDNBQSP-UHFFFAOYSA-N 0 0 448.509 -0.733 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c(Br)c1 ZINC000462152720 1073190278 /nfs/dbraw/zinc/19/02/78/1073190278.db2.gz VDEIAXRTRFEQLS-UHFFFAOYSA-N 0 0 442.313 -0.255 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2cnn(CC(=O)OC)c2)C1 ZINC000462155314 1073190268 /nfs/dbraw/zinc/19/02/68/1073190268.db2.gz CRPBGAXICTUSMR-CYBMUJFWSA-N 0 0 430.483 -0.522 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2cnn(CC(=O)OC)c2)C1 ZINC000462155315 1073190248 /nfs/dbraw/zinc/19/02/48/1073190248.db2.gz CRPBGAXICTUSMR-ZDUSSCGKSA-N 0 0 430.483 -0.522 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)c2cnn(CC(=O)OC)c2)CC1 ZINC000462164861 1073189737 /nfs/dbraw/zinc/18/97/37/1073189737.db2.gz ORLGFDJDCRTKKT-UHFFFAOYSA-N 0 0 430.483 -0.522 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1C ZINC000462168686 1073189709 /nfs/dbraw/zinc/18/97/09/1073189709.db2.gz YPMMZQXRASZTJW-CHWSQXEVSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000462168687 1073189820 /nfs/dbraw/zinc/18/98/20/1073189820.db2.gz YPMMZQXRASZTJW-OLZOCXBDSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1C ZINC000462168688 1073189715 /nfs/dbraw/zinc/18/97/15/1073189715.db2.gz YPMMZQXRASZTJW-QWHCGFSZSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000462168689 1073189649 /nfs/dbraw/zinc/18/96/49/1073189649.db2.gz YPMMZQXRASZTJW-STQMWFEESA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1cc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c(OC)c(OC)c1 ZINC000462171604 1073189799 /nfs/dbraw/zinc/18/97/99/1073189799.db2.gz TUUCQMMUEIEXNC-LLVKDONJSA-N 0 0 429.451 -0.085 20 0 IBADRN COC(=O)c1cc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c(OC)c(OC)c1 ZINC000462171605 1073189694 /nfs/dbraw/zinc/18/96/94/1073189694.db2.gz TUUCQMMUEIEXNC-NSHDSACASA-N 0 0 429.451 -0.085 20 0 IBADRN COC(=O)c1ccc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C(=O)OC ZINC000462173480 1073190209 /nfs/dbraw/zinc/19/02/09/1073190209.db2.gz CQSDNYYZRITFMU-LLVKDONJSA-N 0 0 427.435 -0.315 20 0 IBADRN COC(=O)c1ccc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C(=O)OC ZINC000462173481 1073190259 /nfs/dbraw/zinc/19/02/59/1073190259.db2.gz CQSDNYYZRITFMU-NSHDSACASA-N 0 0 427.435 -0.315 20 0 IBADRN CN1CCC[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCCN(C)C2=O)c2ccccc2)C1=O ZINC000462178260 1073190221 /nfs/dbraw/zinc/19/02/21/1073190221.db2.gz BFVJUTALUWMGKZ-HDICACEKSA-N 0 0 429.521 -0.033 20 0 IBADRN CN1CCC[C@@H](NC(=O)CN(CC(=O)N[C@@H]2CCCN(C)C2=O)c2ccccc2)C1=O ZINC000462178261 1073190270 /nfs/dbraw/zinc/19/02/70/1073190270.db2.gz BFVJUTALUWMGKZ-QZTJIDSGSA-N 0 0 429.521 -0.033 20 0 IBADRN CN1CCC[C@H](NC(=O)CN(CC(=O)N[C@H]2CCCN(C)C2=O)c2ccccc2)C1=O ZINC000462178262 1073190733 /nfs/dbraw/zinc/19/07/33/1073190733.db2.gz BFVJUTALUWMGKZ-ROUUACIJSA-N 0 0 429.521 -0.033 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000462188577 1073190122 /nfs/dbraw/zinc/19/01/22/1073190122.db2.gz NTMJLGJYQOQACI-INIZCTEOSA-N 0 0 430.552 -0.728 20 0 IBADRN CCNC(=O)C1CN(C(=O)CN(CC(=O)N2CC(C(=O)NCC)C2)c2ccccc2)C1 ZINC000462188776 1073190233 /nfs/dbraw/zinc/19/02/33/1073190233.db2.gz MQFXQNGKIPEZAM-UHFFFAOYSA-N 0 0 429.521 -0.318 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)N1CCN(c2ccccc2)CC1 ZINC000462201177 1073190797 /nfs/dbraw/zinc/19/07/97/1073190797.db2.gz BVFXUCHSQQKRMX-UHFFFAOYSA-N 0 0 447.517 -0.003 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)nn1 ZINC000462208186 1073191258 /nfs/dbraw/zinc/19/12/58/1073191258.db2.gz CPSNJEAZFNKUGN-HZPDHXFCSA-N 0 0 428.515 -0.380 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(c4cccc(F)c4)C(=O)C3)c2c(=O)n(C)c1=O ZINC000462228486 1073191176 /nfs/dbraw/zinc/19/11/76/1073191176.db2.gz VYDHHBDPCJSVET-UHFFFAOYSA-N 0 0 428.424 -0.162 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCN(C(C)=O)C2)c2ccccc2)C1 ZINC000462241618 1072445490 /nfs/dbraw/zinc/44/54/90/1072445490.db2.gz AMCNUYPBEISQDT-KDURUIRLSA-N 0 0 429.521 -0.033 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)CN(CC(=O)N[C@H]2CCN(C(C)=O)C2)c2ccccc2)C1 ZINC000462241619 1072445495 /nfs/dbraw/zinc/44/54/95/1072445495.db2.gz AMCNUYPBEISQDT-OALUTQOASA-N 0 0 429.521 -0.033 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)CN(CC(=O)N[C@@H]2CCN(C(C)=O)C2)c2ccccc2)C1 ZINC000462241620 1072445471 /nfs/dbraw/zinc/44/54/71/1072445471.db2.gz AMCNUYPBEISQDT-RTBURBONSA-N 0 0 429.521 -0.033 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000462247074 1072446793 /nfs/dbraw/zinc/44/67/93/1072446793.db2.gz OWPOJTKEBHCXAZ-UHFFFAOYSA-N 0 0 438.550 -0.154 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000462251017 1072446206 /nfs/dbraw/zinc/44/62/06/1072446206.db2.gz CMDDVOYQHYULQI-UHFFFAOYSA-N 0 0 428.478 -0.118 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000462253933 1072446255 /nfs/dbraw/zinc/44/62/55/1072446255.db2.gz FXPACSCUSGADHI-UHFFFAOYSA-N 0 0 436.494 -0.532 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)CN(CC(=O)N1C[C@@H](CO)OC[C@@H]1C)c1ccccc1 ZINC000462254829 1072446245 /nfs/dbraw/zinc/44/62/45/1072446245.db2.gz KCBFGHLPVHAFSW-HNJRGHQBSA-N 0 0 435.521 -0.291 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)CN(CC(=O)N1C[C@@H](CO)OC[C@H]1C)c1ccccc1 ZINC000462254830 1072446227 /nfs/dbraw/zinc/44/62/27/1072446227.db2.gz KCBFGHLPVHAFSW-JYBIWHBTSA-N 0 0 435.521 -0.291 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)CN(CC(=O)N1C[C@H](CO)OC[C@@H]1C)c1ccccc1 ZINC000462254832 1072446211 /nfs/dbraw/zinc/44/62/11/1072446211.db2.gz KCBFGHLPVHAFSW-KJWXAFIESA-N 0 0 435.521 -0.291 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)CN(CC(=O)N1C[C@@H](CO)OC[C@H]1C)c1ccccc1 ZINC000462254834 1072446233 /nfs/dbraw/zinc/44/62/33/1072446233.db2.gz KCBFGHLPVHAFSW-LFGUQSLTSA-N 0 0 435.521 -0.291 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000462263621 1073304803 /nfs/dbraw/zinc/30/48/03/1073304803.db2.gz PNQIRFGTPYZPPX-KRWDZBQOSA-N 0 0 428.493 0.563 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000462263622 1073304837 /nfs/dbraw/zinc/30/48/37/1073304837.db2.gz PNQIRFGTPYZPPX-QGZVFWFLSA-N 0 0 428.493 0.563 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCO[C@@H](C(N)=O)C3)cc2n(C)c1=O ZINC000462264068 1072447413 /nfs/dbraw/zinc/44/74/13/1072447413.db2.gz UBIDIUVSBBRCLD-LLVKDONJSA-N 0 0 433.284 -0.486 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCO[C@H](C(N)=O)C3)cc2n(C)c1=O ZINC000462264069 1072447312 /nfs/dbraw/zinc/44/73/12/1072447312.db2.gz UBIDIUVSBBRCLD-NSHDSACASA-N 0 0 433.284 -0.486 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)Nc2nc(CS(C)(=O)=O)no2)cc1 ZINC000462265401 1072447432 /nfs/dbraw/zinc/44/74/32/1072447432.db2.gz BDSPMYHLBOQRSX-UHFFFAOYSA-N 0 0 431.496 -0.213 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)CN(CC(=O)N2CC[C@H](NC(N)=O)C2)c2ccccc2)C1 ZINC000462265554 1072447451 /nfs/dbraw/zinc/44/74/51/1072447451.db2.gz HYRWTWFNIWXLSU-GASCZTMLSA-N 0 0 431.497 -0.969 20 0 IBADRN NC(=O)N[C@H]1CCN(C(=O)CN(CC(=O)N2CC[C@H](NC(N)=O)C2)c2ccccc2)C1 ZINC000462265555 1072447461 /nfs/dbraw/zinc/44/74/61/1072447461.db2.gz HYRWTWFNIWXLSU-GJZGRUSLSA-N 0 0 431.497 -0.969 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)CN(CC(=O)N2CC[C@@H](NC(N)=O)C2)c2ccccc2)C1 ZINC000462265556 1072447467 /nfs/dbraw/zinc/44/74/67/1072447467.db2.gz HYRWTWFNIWXLSU-HUUCEWRRSA-N 0 0 431.497 -0.969 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)n1 ZINC000462268344 1072447350 /nfs/dbraw/zinc/44/73/50/1072447350.db2.gz KDIRUTIDJNVYAD-UHFFFAOYSA-N 0 0 441.441 -0.070 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)C1 ZINC000462271550 1072446745 /nfs/dbraw/zinc/44/67/45/1072446745.db2.gz LTFJPYKZVIIPTQ-KBPBESRZSA-N 0 0 442.542 -0.120 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)C1 ZINC000462271551 1072446636 /nfs/dbraw/zinc/44/66/36/1072446636.db2.gz LTFJPYKZVIIPTQ-KGLIPLIRSA-N 0 0 442.542 -0.120 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)C1 ZINC000462271552 1072446750 /nfs/dbraw/zinc/44/67/50/1072446750.db2.gz LTFJPYKZVIIPTQ-UONOGXRCSA-N 0 0 442.542 -0.120 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)C1 ZINC000462271553 1072446690 /nfs/dbraw/zinc/44/66/90/1072446690.db2.gz LTFJPYKZVIIPTQ-ZIAGYGMSSA-N 0 0 442.542 -0.120 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cn1 ZINC000462271671 1072446696 /nfs/dbraw/zinc/44/66/96/1072446696.db2.gz AIYUETGMSOWVRW-UHFFFAOYSA-N 0 0 427.527 -0.189 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)n1 ZINC000462273000 1072446737 /nfs/dbraw/zinc/44/67/37/1072446737.db2.gz DOQSEDQYSKYPJF-UHFFFAOYSA-N 0 0 440.457 -0.037 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)cc1 ZINC000462273038 1072446773 /nfs/dbraw/zinc/44/67/73/1072446773.db2.gz FBAUEUAQLYFELO-UHFFFAOYSA-N 0 0 443.507 -0.117 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC000462274958 1072447334 /nfs/dbraw/zinc/44/73/34/1072447334.db2.gz FGLWQNLUKXKRTG-UHFFFAOYSA-N 0 0 447.470 -0.286 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)n1 ZINC000462275135 1072447458 /nfs/dbraw/zinc/44/74/58/1072447458.db2.gz FWLIRUDZTYYTNJ-HNNXBMFYSA-N 0 0 448.505 -0.009 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)n1 ZINC000462275148 1072446727 /nfs/dbraw/zinc/44/67/27/1072446727.db2.gz FWLIRUDZTYYTNJ-OAHLLOKOSA-N 0 0 448.505 -0.009 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000462275454 1072446801 /nfs/dbraw/zinc/44/68/01/1072446801.db2.gz FRFMWYYARVQAFP-DOMZBBRYSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000462275455 1072446679 /nfs/dbraw/zinc/44/66/79/1072446679.db2.gz FRFMWYYARVQAFP-IUODEOHRSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000462275456 1072446768 /nfs/dbraw/zinc/44/67/68/1072446768.db2.gz FRFMWYYARVQAFP-SWLSCSKDSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000462275457 1072446732 /nfs/dbraw/zinc/44/67/32/1072446732.db2.gz FRFMWYYARVQAFP-WFASDCNBSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)n1 ZINC000462275715 1072446761 /nfs/dbraw/zinc/44/67/61/1072446761.db2.gz KQNPXMKVDRSEEC-UHFFFAOYSA-N 0 0 442.542 -0.118 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000462276640 1072447416 /nfs/dbraw/zinc/44/74/16/1072447416.db2.gz DTVOHCRXWNIANQ-UHFFFAOYSA-N 0 0 429.480 -0.426 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)n1 ZINC000462276928 1072447874 /nfs/dbraw/zinc/44/78/74/1072447874.db2.gz ZAXZHBGWXIMYKB-UHFFFAOYSA-N 0 0 448.505 -0.225 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1)C1CCCCC1 ZINC000462277175 1072447382 /nfs/dbraw/zinc/44/73/82/1072447382.db2.gz KESBPXIZAMCHPO-UHFFFAOYSA-N 0 0 442.542 -0.023 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)n1 ZINC000462278966 1072447919 /nfs/dbraw/zinc/44/79/19/1072447919.db2.gz JTPUNRPWFYFFLB-UHFFFAOYSA-N 0 0 436.494 -0.498 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCCCN2CCN(c3ncccn3)CC2)cn1 ZINC000462280606 1072447897 /nfs/dbraw/zinc/44/78/97/1072447897.db2.gz SSLRJPZHKPPACE-UHFFFAOYSA-N 0 0 437.526 -0.273 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)n1 ZINC000462280806 1072447928 /nfs/dbraw/zinc/44/79/28/1072447928.db2.gz XQPXLEDALXTAPZ-UHFFFAOYSA-N 0 0 437.887 -0.211 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000462281408 1072447966 /nfs/dbraw/zinc/44/79/66/1072447966.db2.gz VXBKFOPOMHZUSL-AWEZNQCLSA-N 0 0 437.478 -0.372 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000462281409 1072447956 /nfs/dbraw/zinc/44/79/56/1072447956.db2.gz VXBKFOPOMHZUSL-CQSZACIVSA-N 0 0 437.478 -0.372 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)cc1C ZINC000462281864 1073316321 /nfs/dbraw/zinc/31/63/21/1073316321.db2.gz XVWGCTMCMQPVIG-KRWDZBQOSA-N 0 0 428.493 0.529 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)cc1C ZINC000462281867 1073316248 /nfs/dbraw/zinc/31/62/48/1073316248.db2.gz XVWGCTMCMQPVIG-QGZVFWFLSA-N 0 0 428.493 0.529 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)cn1 ZINC000462282004 1072447905 /nfs/dbraw/zinc/44/79/05/1072447905.db2.gz SUHIFRLLNPSTBV-AWEZNQCLSA-N 0 0 427.527 -0.190 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)cn1 ZINC000462282005 1072447935 /nfs/dbraw/zinc/44/79/35/1072447935.db2.gz SUHIFRLLNPSTBV-CQSZACIVSA-N 0 0 427.527 -0.190 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)Nc3nc(CS(C)(=O)=O)no3)C2)cc1 ZINC000462282112 1072447974 /nfs/dbraw/zinc/44/79/74/1072447974.db2.gz YHSXRGOVXQVRRV-UHFFFAOYSA-N 0 0 445.479 -0.761 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@@H](O)C[C@H]2CO)c1 ZINC000462282376 1072447961 /nfs/dbraw/zinc/44/79/61/1072447961.db2.gz CFDAAESBRLUZFE-KBPBESRZSA-N 0 0 428.511 -0.267 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@@H](O)C[C@@H]2CO)c1 ZINC000462282377 1072447952 /nfs/dbraw/zinc/44/79/52/1072447952.db2.gz CFDAAESBRLUZFE-KGLIPLIRSA-N 0 0 428.511 -0.267 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@H](O)C[C@H]2CO)c1 ZINC000462282378 1072447923 /nfs/dbraw/zinc/44/79/23/1072447923.db2.gz CFDAAESBRLUZFE-UONOGXRCSA-N 0 0 428.511 -0.267 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@H](O)C[C@@H]2CO)c1 ZINC000462282379 1072447932 /nfs/dbraw/zinc/44/79/32/1072447932.db2.gz CFDAAESBRLUZFE-ZIAGYGMSSA-N 0 0 428.511 -0.267 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)n1 ZINC000462283356 1072447910 /nfs/dbraw/zinc/44/79/10/1072447910.db2.gz HRJYBHXWHREJEF-GFCCVEGCSA-N 0 0 435.528 -0.106 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)n1 ZINC000462283357 1072447891 /nfs/dbraw/zinc/44/78/91/1072447891.db2.gz HRJYBHXWHREJEF-LBPRGKRZSA-N 0 0 435.528 -0.106 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)n1 ZINC000462283589 1072447914 /nfs/dbraw/zinc/44/79/14/1072447914.db2.gz KLQGSXDGQJRIQJ-UHFFFAOYSA-N 0 0 425.471 -0.031 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000462284100 1072448576 /nfs/dbraw/zinc/44/85/76/1072448576.db2.gz RAELYRIEPLIVIJ-CYBMUJFWSA-N 0 0 428.515 -0.413 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000462284101 1072448659 /nfs/dbraw/zinc/44/86/59/1072448659.db2.gz RAELYRIEPLIVIJ-ZDUSSCGKSA-N 0 0 428.515 -0.413 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)nc1 ZINC000462284877 1072448585 /nfs/dbraw/zinc/44/85/85/1072448585.db2.gz XRNLKOZNTKXECZ-UHFFFAOYSA-N 0 0 438.466 -0.038 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)c1 ZINC000462285817 1072448692 /nfs/dbraw/zinc/44/86/92/1072448692.db2.gz KORNUAMYNUNTJX-UHFFFAOYSA-N 0 0 437.478 -0.037 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)n1 ZINC000462285969 1072448553 /nfs/dbraw/zinc/44/85/53/1072448553.db2.gz BSULPRZVWWTWHQ-UHFFFAOYSA-N 0 0 436.494 -0.104 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)CC1 ZINC000462287382 1072448604 /nfs/dbraw/zinc/44/86/04/1072448604.db2.gz IYAQVVGWNRRKLJ-UHFFFAOYSA-N 0 0 442.542 -0.120 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)Nc2nc(CS(C)(=O)=O)no2)C1=O ZINC000462287470 1072448674 /nfs/dbraw/zinc/44/86/74/1072448674.db2.gz GXMFJPMCNRADHU-SECBINFHSA-N 0 0 443.463 -0.189 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)Nc2nc(CS(C)(=O)=O)no2)C1=O ZINC000462287471 1072448683 /nfs/dbraw/zinc/44/86/83/1072448683.db2.gz GXMFJPMCNRADHU-VIFPVBQESA-N 0 0 443.463 -0.189 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000462287530 1072448594 /nfs/dbraw/zinc/44/85/94/1072448594.db2.gz HSIJBVCTZAFCLU-UHFFFAOYSA-N 0 0 443.507 -0.035 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n1 ZINC000462287649 1072448612 /nfs/dbraw/zinc/44/86/12/1072448612.db2.gz SDIQWMNJQDUKDO-UHFFFAOYSA-N 0 0 443.507 -0.284 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2c[nH]c(C(=O)OC)c2)c1 ZINC000462289154 1072449145 /nfs/dbraw/zinc/44/91/45/1072449145.db2.gz LZGSAJBMHZJUSL-UHFFFAOYSA-N 0 0 430.464 -0.374 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)n1 ZINC000462289621 1072448662 /nfs/dbraw/zinc/44/86/62/1072448662.db2.gz YWLCBHRCQZAHOX-UHFFFAOYSA-N 0 0 428.515 -0.412 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(S(=O)(=O)Cc4ccon4)CC3)C2=O)cn1 ZINC000462290558 1072449105 /nfs/dbraw/zinc/44/91/05/1072449105.db2.gz AXWBKMHROQRGJX-HNNXBMFYSA-N 0 0 435.462 -0.142 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(S(=O)(=O)Cc4ccon4)CC3)C2=O)cn1 ZINC000462290559 1072449188 /nfs/dbraw/zinc/44/91/88/1072449188.db2.gz AXWBKMHROQRGJX-OAHLLOKOSA-N 0 0 435.462 -0.142 20 0 IBADRN Cn1cc(CN2CCN([C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)CC2)cn1 ZINC000462290715 1072449052 /nfs/dbraw/zinc/44/90/52/1072449052.db2.gz TUHYRRCHZMCTCP-KRWDZBQOSA-N 0 0 432.506 -0.483 20 0 IBADRN Cn1cc(CN2CCN([C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)CC2)cn1 ZINC000462290720 1072449170 /nfs/dbraw/zinc/44/91/70/1072449170.db2.gz TUHYRRCHZMCTCP-QGZVFWFLSA-N 0 0 432.506 -0.483 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)cn1 ZINC000462291586 1072449161 /nfs/dbraw/zinc/44/91/61/1072449161.db2.gz RMQFYSUUVYHVJX-UHFFFAOYSA-N 0 0 449.536 -0.484 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000462291786 1072449178 /nfs/dbraw/zinc/44/91/78/1072449178.db2.gz BOFJJGUQWKWZDK-UHFFFAOYSA-N 0 0 432.553 -0.466 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000462292618 1072449211 /nfs/dbraw/zinc/44/92/11/1072449211.db2.gz HZWOYONQVKJIDP-UHFFFAOYSA-N 0 0 439.581 -0.003 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)n1 ZINC000462292762 1072449102 /nfs/dbraw/zinc/44/91/02/1072449102.db2.gz XCKMRBXGGQNJFP-UHFFFAOYSA-N 0 0 428.515 -0.366 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)Nc3nc(CS(C)(=O)=O)no3)C2=O)c1 ZINC000462294452 1072449153 /nfs/dbraw/zinc/44/91/53/1072449153.db2.gz SNQPGAYQTVHQKC-CYBMUJFWSA-N 0 0 439.450 -0.020 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)Nc3nc(CS(C)(=O)=O)no3)C2=O)c1 ZINC000462294453 1072449199 /nfs/dbraw/zinc/44/91/99/1072449199.db2.gz SNQPGAYQTVHQKC-ZDUSSCGKSA-N 0 0 439.450 -0.020 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NCCNS(C)(=O)=O)cc2n(C)c1=O ZINC000462295372 1072449132 /nfs/dbraw/zinc/44/91/32/1072449132.db2.gz KRWHEENHBDAGNQ-UHFFFAOYSA-N 0 0 441.329 -0.533 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N2C[C@H](C)OC[C@H]2C)c1 ZINC000462295592 1072449096 /nfs/dbraw/zinc/44/90/96/1072449096.db2.gz JMOLPNFBSCZAAH-AGIUHOORSA-N 0 0 434.540 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N2C[C@H](C)OC[C@@H]2C)c1 ZINC000462295594 1072449207 /nfs/dbraw/zinc/44/92/07/1072449207.db2.gz JMOLPNFBSCZAAH-AVGNSLFASA-N 0 0 434.540 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N2C[C@@H](C)OC[C@H]2C)c1 ZINC000462295596 1072449039 /nfs/dbraw/zinc/44/90/39/1072449039.db2.gz JMOLPNFBSCZAAH-UPJWGTAASA-N 0 0 434.540 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N2C[C@@H](C)OC[C@@H]2C)c1 ZINC000462295601 1072449193 /nfs/dbraw/zinc/44/91/93/1072449193.db2.gz JMOLPNFBSCZAAH-XQQFMLRXSA-N 0 0 434.540 -0.135 20 0 IBADRN CCOCc1nc([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)no1 ZINC000462301342 1072449822 /nfs/dbraw/zinc/44/98/22/1072449822.db2.gz KTSYQMQWEXGSIS-CYBMUJFWSA-N 0 0 432.503 -0.429 20 0 IBADRN CCOCc1nc([C@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)no1 ZINC000462301347 1072449886 /nfs/dbraw/zinc/44/98/86/1072449886.db2.gz KTSYQMQWEXGSIS-ZDUSSCGKSA-N 0 0 432.503 -0.429 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)n1 ZINC000462302128 1072449864 /nfs/dbraw/zinc/44/98/64/1072449864.db2.gz VUPSUJDFEQEZJD-UHFFFAOYSA-N 0 0 440.457 -0.037 20 0 IBADRN CCOCc1nc([C@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)no1 ZINC000462304171 1072449895 /nfs/dbraw/zinc/44/98/95/1072449895.db2.gz CQAZRSDILTVYPL-AWEZNQCLSA-N 0 0 445.546 -0.514 20 0 IBADRN CCOCc1nc([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)no1 ZINC000462304172 1072449875 /nfs/dbraw/zinc/44/98/75/1072449875.db2.gz CQAZRSDILTVYPL-CQSZACIVSA-N 0 0 445.546 -0.514 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)CN(CC(=O)N2CC[C@@](C)(C(N)=O)C2)c2ccccc2)C1 ZINC000462309722 1072450398 /nfs/dbraw/zinc/45/03/98/1072450398.db2.gz DGZHRBRQQHEFKQ-FGZHOGPDSA-N 0 0 429.521 -0.059 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)CN(CC(=O)N2CC[C@](C)(C(N)=O)C2)c2ccccc2)C1 ZINC000462309727 1072450245 /nfs/dbraw/zinc/45/02/45/1072450245.db2.gz DGZHRBRQQHEFKQ-SZPZYZBQSA-N 0 0 429.521 -0.059 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)CN(CC(=O)N2CC[C@](C)(C(N)=O)C2)c2ccccc2)C1 ZINC000462309730 1072450460 /nfs/dbraw/zinc/45/04/60/1072450460.db2.gz DGZHRBRQQHEFKQ-VXKWHMMOSA-N 0 0 429.521 -0.059 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)n1 ZINC000462317148 1072451123 /nfs/dbraw/zinc/45/11/23/1072451123.db2.gz LNXKFYQCSRIRIS-UHFFFAOYSA-N 0 0 437.478 -0.910 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000462321194 1072450257 /nfs/dbraw/zinc/45/02/57/1072450257.db2.gz UNLPABHDAYICRC-UHFFFAOYSA-N 0 0 443.507 -0.067 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)Nc2nc(CC(=O)OC)cs2)CC1 ZINC000462321401 1072450448 /nfs/dbraw/zinc/45/04/48/1072450448.db2.gz MWLSSDAEACZWAH-UHFFFAOYSA-N 0 0 434.540 -0.219 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n1 ZINC000462322142 1072452216 /nfs/dbraw/zinc/45/22/16/1072452216.db2.gz YQOFZKGXPQEYJT-LLVKDONJSA-N 0 0 432.524 -0.578 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)n1 ZINC000462322144 1072452080 /nfs/dbraw/zinc/45/20/80/1072452080.db2.gz YQOFZKGXPQEYJT-NSHDSACASA-N 0 0 432.524 -0.578 20 0 IBADRN COCCCN(C(=O)CN1CC(=O)N(C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000462327227 1072451685 /nfs/dbraw/zinc/45/16/85/1072451685.db2.gz NNKBCEHCPKULLR-UHFFFAOYSA-N 0 0 444.492 -0.320 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)C3)CC2)c1 ZINC000462327747 1072451748 /nfs/dbraw/zinc/45/17/48/1072451748.db2.gz PSZWJNHWMKRQPZ-UHFFFAOYSA-N 0 0 426.495 -0.732 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c[nH]1 ZINC000462331683 1072451694 /nfs/dbraw/zinc/45/16/94/1072451694.db2.gz JFSQVHKWVHZFSJ-UHFFFAOYSA-N 0 0 436.494 -0.061 20 0 IBADRN CCc1nc(CN2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)no1 ZINC000462331870 1072451675 /nfs/dbraw/zinc/45/16/75/1072451675.db2.gz DJZSOKMNJFGKLS-UHFFFAOYSA-N 0 0 435.466 -0.514 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)C[C@H]3CO)cc2)C[C@H](C)O1 ZINC000462332077 1072451713 /nfs/dbraw/zinc/45/17/13/1072451713.db2.gz LTPOOZYJYXGZKF-HDEZJCGLSA-N 0 0 427.523 -0.150 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)C[C@H]3CO)cc2)C[C@@H](C)O1 ZINC000462332082 1072451705 /nfs/dbraw/zinc/45/17/05/1072451705.db2.gz LTPOOZYJYXGZKF-TXCZRRACSA-N 0 0 427.523 -0.150 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c[nH]1 ZINC000462332488 1072451724 /nfs/dbraw/zinc/45/17/24/1072451724.db2.gz MYAAMZBOAKVDJM-UHFFFAOYSA-N 0 0 431.496 -0.334 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000462333926 1072452235 /nfs/dbraw/zinc/45/22/35/1072452235.db2.gz PJHFIXKDDFDHGL-CVEARBPZSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[C@@H](C)CO1 ZINC000462333931 1072452191 /nfs/dbraw/zinc/45/21/91/1072452191.db2.gz PJHFIXKDDFDHGL-HOTGVXAUSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[C@H](C)CO1 ZINC000462333932 1072452101 /nfs/dbraw/zinc/45/21/01/1072452101.db2.gz PJHFIXKDDFDHGL-HZPDHXFCSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[C@@H](C)CO1 ZINC000462333933 1072452206 /nfs/dbraw/zinc/45/22/06/1072452206.db2.gz PJHFIXKDDFDHGL-JKSUJKDBSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)CN(CC(=O)NC[C@@]1(O)CCO[C@H]1C)c1ccccc1 ZINC000462338154 1072453209 /nfs/dbraw/zinc/45/32/09/1072453209.db2.gz YTUCPBGZBJPKHO-FHQLIMNDSA-N 0 0 435.521 -0.195 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)CN(CC(=O)NC[C@@]1(O)CCO[C@H]1C)c1ccccc1 ZINC000462338156 1072453723 /nfs/dbraw/zinc/45/37/23/1072453723.db2.gz YTUCPBGZBJPKHO-KLDKWKSESA-N 0 0 435.521 -0.195 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)CN(CC(=O)NC[C@@]1(O)CCO[C@H]1C)c1ccccc1 ZINC000462338157 1072453815 /nfs/dbraw/zinc/45/38/15/1072453815.db2.gz YTUCPBGZBJPKHO-NEAWWFDSSA-N 0 0 435.521 -0.195 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)CN(CC(=O)NC[C@@]1(O)CCO[C@H]1C)c1ccccc1 ZINC000462338158 1072453856 /nfs/dbraw/zinc/45/38/56/1072453856.db2.gz YTUCPBGZBJPKHO-YVCBRDFCSA-N 0 0 435.521 -0.195 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](O)C[C@H]3CO)CC2)cc1 ZINC000462338744 1072453362 /nfs/dbraw/zinc/45/33/62/1072453362.db2.gz HLYXXKIERDQWBL-HOTGVXAUSA-N 0 0 427.523 -0.654 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](O)C[C@H]3CO)CC2)cc1 ZINC000462338746 1072453257 /nfs/dbraw/zinc/45/32/57/1072453257.db2.gz HLYXXKIERDQWBL-JKSUJKDBSA-N 0 0 427.523 -0.654 20 0 IBADRN CCn1nc(C)c(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000462338960 1072452690 /nfs/dbraw/zinc/45/26/90/1072452690.db2.gz HJAQXNQJYWPFNS-UHFFFAOYSA-N 0 0 435.572 -0.160 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)C3)CC2)cc1OC ZINC000462339106 1072452124 /nfs/dbraw/zinc/45/21/24/1072452124.db2.gz UZKPPCAXNOHYEA-UHFFFAOYSA-N 0 0 426.495 -0.732 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000462339334 1072453730 /nfs/dbraw/zinc/45/37/30/1072453730.db2.gz IPZNCGNIGQFIKI-GJZGRUSLSA-N 0 0 429.495 -0.918 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000462339336 1072453831 /nfs/dbraw/zinc/45/38/31/1072453831.db2.gz IPZNCGNIGQFIKI-LSDHHAIUSA-N 0 0 429.495 -0.918 20 0 IBADRN O=C(CN1C[C@@H](O)C[C@H]1CO)N1CCN(S(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000462340802 1072452721 /nfs/dbraw/zinc/45/27/21/1072452721.db2.gz MOXYBFWUCMQMNN-OALUTQOASA-N 0 0 437.562 -0.174 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H]1CO)N1CCN(S(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000462340804 1072452839 /nfs/dbraw/zinc/45/28/39/1072452839.db2.gz MOXYBFWUCMQMNN-RBUKOAKNSA-N 0 0 437.562 -0.174 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000462342800 1072452762 /nfs/dbraw/zinc/45/27/62/1072452762.db2.gz VHZUAEKAVJIEMI-AWEZNQCLSA-N 0 0 446.551 -0.077 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000462342801 1072452808 /nfs/dbraw/zinc/45/28/08/1072452808.db2.gz VHZUAEKAVJIEMI-CQSZACIVSA-N 0 0 446.551 -0.077 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c[nH]1 ZINC000462343827 1072453167 /nfs/dbraw/zinc/45/31/67/1072453167.db2.gz ZRGWPGUBWXUWGD-UHFFFAOYSA-N 0 0 436.512 -0.879 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2C[C@H](C)O[C@H](CO)C2)c2ccccc2)C[C@@H](CO)O1 ZINC000462343870 1072453298 /nfs/dbraw/zinc/45/32/98/1072453298.db2.gz ZWYJTQGWWDRIPS-HNJRGHQBSA-N 0 0 435.521 -0.291 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2C[C@H](CO)O[C@@H](C)C2)c2ccccc2)C[C@@H](CO)O1 ZINC000462343872 1072453235 /nfs/dbraw/zinc/45/32/35/1072453235.db2.gz ZWYJTQGWWDRIPS-KJWXAFIESA-N 0 0 435.521 -0.291 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2C[C@H](CO)O[C@@H](C)C2)c2ccccc2)C[C@H](CO)O1 ZINC000462343874 1072453345 /nfs/dbraw/zinc/45/33/45/1072453345.db2.gz ZWYJTQGWWDRIPS-PIKOESSRSA-N 0 0 435.521 -0.291 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2C[C@H](C)O[C@H](CO)C2)c2ccccc2)C[C@H](CO)O1 ZINC000462343876 1072453278 /nfs/dbraw/zinc/45/32/78/1072453278.db2.gz ZWYJTQGWWDRIPS-TZRIAGMCSA-N 0 0 435.521 -0.291 20 0 IBADRN C[C@H]1CN(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)[C@@H](C)CO1 ZINC000462344229 1072452780 /nfs/dbraw/zinc/45/27/80/1072452780.db2.gz UJNLZLLOUITJHZ-KBPBESRZSA-N 0 0 425.573 -0.097 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC000462344230 1072453247 /nfs/dbraw/zinc/45/32/47/1072453247.db2.gz UJNLZLLOUITJHZ-KGLIPLIRSA-N 0 0 425.573 -0.097 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)[C@@H](C)CO1 ZINC000462344231 1072453204 /nfs/dbraw/zinc/45/32/04/1072453204.db2.gz UJNLZLLOUITJHZ-UONOGXRCSA-N 0 0 425.573 -0.097 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)[C@H](C)CO1 ZINC000462344232 1072453180 /nfs/dbraw/zinc/45/31/80/1072453180.db2.gz UJNLZLLOUITJHZ-ZIAGYGMSSA-N 0 0 425.573 -0.097 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2CC(=O)N(C)C2)c1C(=O)OC ZINC000462344412 1072452881 /nfs/dbraw/zinc/45/28/81/1072452881.db2.gz CRFDROSXIKUEHY-UHFFFAOYSA-N 0 0 427.435 -0.293 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)c2cnn(CC(=O)OC)c2)c1 ZINC000462346138 1072453334 /nfs/dbraw/zinc/45/33/34/1072453334.db2.gz JORWVQUHYQMOOY-UHFFFAOYSA-N 0 0 446.507 -0.541 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H]1CO)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000462347994 1072455638 /nfs/dbraw/zinc/45/56/38/1072455638.db2.gz MBGUBIXIEMXJSU-QWHCGFSZSA-N 0 0 435.905 -0.261 20 0 IBADRN O=C(CN1C[C@@H](O)C[C@H]1CO)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000462347995 1072455534 /nfs/dbraw/zinc/45/55/34/1072455534.db2.gz MBGUBIXIEMXJSU-STQMWFEESA-N 0 0 435.905 -0.261 20 0 IBADRN COCCN(C(=O)CN1CC(=O)N(C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000462348337 1072455614 /nfs/dbraw/zinc/45/56/14/1072455614.db2.gz VVCFHVIXFMVMBN-UHFFFAOYSA-N 0 0 430.465 -0.710 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](O)C[C@H]3CO)CC2)cc1 ZINC000462349762 1072455630 /nfs/dbraw/zinc/45/56/30/1072455630.db2.gz XCXYPQPOYWOXHM-DLBZAZTESA-N 0 0 425.507 -0.850 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](O)C[C@H]3CO)CC2)cc1 ZINC000462349764 1072455581 /nfs/dbraw/zinc/45/55/81/1072455581.db2.gz XCXYPQPOYWOXHM-IRXDYDNUSA-N 0 0 425.507 -0.850 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](O)C[C@H]3CO)CC2)c1 ZINC000462350074 1072453667 /nfs/dbraw/zinc/45/36/67/1072453667.db2.gz QQGRACKDXRTAFR-DLBZAZTESA-N 0 0 425.507 -0.850 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](O)C[C@H]3CO)CC2)c1 ZINC000462350076 1072453868 /nfs/dbraw/zinc/45/38/68/1072453868.db2.gz QQGRACKDXRTAFR-IRXDYDNUSA-N 0 0 425.507 -0.850 20 0 IBADRN CC(C)CN(C(=O)CN1C[C@H](O)C[C@H]1CO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000462355412 1072454283 /nfs/dbraw/zinc/45/42/83/1072454283.db2.gz FMVKWTUHCMGGBS-DLBZAZTESA-N 0 0 445.520 -0.004 20 0 IBADRN CC(C)CN(C(=O)CN1C[C@@H](O)C[C@H]1CO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000462355417 1072454489 /nfs/dbraw/zinc/45/44/89/1072454489.db2.gz FMVKWTUHCMGGBS-IRXDYDNUSA-N 0 0 445.520 -0.004 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H]1CO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000462355854 1072454959 /nfs/dbraw/zinc/45/49/59/1072454959.db2.gz GIZPSSBWSUYVFP-QWHCGFSZSA-N 0 0 433.914 -0.273 20 0 IBADRN O=C(CN1C[C@@H](O)C[C@H]1CO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000462355855 1072454996 /nfs/dbraw/zinc/45/49/96/1072454996.db2.gz GIZPSSBWSUYVFP-STQMWFEESA-N 0 0 433.914 -0.273 20 0 IBADRN CN1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCCO4)CC2)CC1=O ZINC000462355895 1072455035 /nfs/dbraw/zinc/45/50/35/1072455035.db2.gz OPWIHAMBKHUDJK-UHFFFAOYSA-N 0 0 438.506 -0.588 20 0 IBADRN O=C(CN1C[C@@H](O)C[C@H]1CO)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000462356422 1072454515 /nfs/dbraw/zinc/45/45/15/1072454515.db2.gz QVPBFDSKMSOSOB-KBPBESRZSA-N 0 0 433.914 -0.273 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H]1CO)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000462356426 1072454312 /nfs/dbraw/zinc/45/43/12/1072454312.db2.gz QVPBFDSKMSOSOB-UONOGXRCSA-N 0 0 433.914 -0.273 20 0 IBADRN Cc1ccc(N2CCCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)nn1 ZINC000462363211 1072456614 /nfs/dbraw/zinc/45/66/14/1072456614.db2.gz YKQLYMXDGCGUEY-UHFFFAOYSA-N 0 0 445.568 -0.700 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)[N-]c2cccc(S(=O)(=O)NCC[NH+](C)C)c2)cn1 ZINC000462365882 1072456246 /nfs/dbraw/zinc/45/62/46/1072456246.db2.gz GTCBUOLZNAKJKM-UHFFFAOYSA-N 0 0 445.523 -0.303 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](Cn2nc(C)nc2C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000462367724 1072456201 /nfs/dbraw/zinc/45/62/01/1072456201.db2.gz KGVGGSLXJXBQTQ-DLBZAZTESA-N 0 0 429.543 -0.742 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](Cn2nc(C)nc2C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000462367731 1072456195 /nfs/dbraw/zinc/45/61/95/1072456195.db2.gz KGVGGSLXJXBQTQ-IAGOWNOFSA-N 0 0 429.543 -0.742 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](Cn2nc(C)nc2C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000462367733 1072456150 /nfs/dbraw/zinc/45/61/50/1072456150.db2.gz KGVGGSLXJXBQTQ-IRXDYDNUSA-N 0 0 429.543 -0.742 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](Cn2nc(C)nc2C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000462367735 1072456232 /nfs/dbraw/zinc/45/62/32/1072456232.db2.gz KGVGGSLXJXBQTQ-SJORKVTESA-N 0 0 429.543 -0.742 20 0 IBADRN Cn1cc(S(=O)(=O)[N-]c2cccc(S(=O)(=O)NCC[NH+](C)C)c2)cc1C(N)=O ZINC000462367909 1072456174 /nfs/dbraw/zinc/45/61/74/1072456174.db2.gz LHBVFMUSYXZHKM-UHFFFAOYSA-N 0 0 429.524 -0.235 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(CN3CCNC(=O)C3)c1)c(=O)n2C ZINC000462384235 1072457424 /nfs/dbraw/zinc/45/74/24/1072457424.db2.gz MKYDGYADZKQYGE-UHFFFAOYSA-N 0 0 425.449 -0.996 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CN(CC(=O)N[C@H]1C(=O)NCC[C@@H]1C)c1ccccc1 ZINC000462387047 1072457467 /nfs/dbraw/zinc/45/74/67/1072457467.db2.gz DRVKSYDGQDQLSS-HRYATBACSA-N 0 0 429.521 -0.225 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CN(CC(=O)N[C@@H]1C(=O)NCC[C@H]1C)c1ccccc1 ZINC000462387053 1072457341 /nfs/dbraw/zinc/45/73/41/1072457341.db2.gz DRVKSYDGQDQLSS-IONDEXAJSA-N 0 0 429.521 -0.225 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CN(CC(=O)N[C@@H]1C(=O)NCC[C@@H]1C)c1ccccc1 ZINC000462387055 1072457546 /nfs/dbraw/zinc/45/75/46/1072457546.db2.gz DRVKSYDGQDQLSS-LOHFZDIYSA-N 0 0 429.521 -0.225 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CN(CC(=O)N[C@H]1C(=O)NCC[C@H]1C)c1ccccc1 ZINC000462387056 1072457555 /nfs/dbraw/zinc/45/75/55/1072457555.db2.gz DRVKSYDGQDQLSS-PBGAUENZSA-N 0 0 429.521 -0.225 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2CC(=O)N(C)[C@@H](C)C2)c2ccccc2)CC(=O)N1C ZINC000462391482 1072456800 /nfs/dbraw/zinc/45/68/00/1072456800.db2.gz FPFZKZQKEKXSJD-CALCHBBNSA-N 0 0 429.521 -0.129 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2CC(=O)N(C)[C@H](C)C2)c2ccccc2)CC(=O)N1C ZINC000462391483 1072457373 /nfs/dbraw/zinc/45/73/73/1072457373.db2.gz FPFZKZQKEKXSJD-IAGOWNOFSA-N 0 0 429.521 -0.129 20 0 IBADRN C[C@H]1CN(C(=O)CN(CC(=O)N2CC(=O)N(C)[C@@H](C)C2)c2ccccc2)CC(=O)N1C ZINC000462391484 1072457476 /nfs/dbraw/zinc/45/74/76/1072457476.db2.gz FPFZKZQKEKXSJD-IRXDYDNUSA-N 0 0 429.521 -0.129 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(CN4CCNC(=O)C4)c3)c2c(=O)n(C)c1=O ZINC000462391761 1072456685 /nfs/dbraw/zinc/45/66/85/1072456685.db2.gz DRDHBAMMPNQLLG-UHFFFAOYSA-N 0 0 425.449 -0.996 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)Nc1cnn(CC(=O)N2CCN(C)CC2)c1 ZINC000462393027 1072457284 /nfs/dbraw/zinc/45/72/84/1072457284.db2.gz NHYVFUCMXYNUGZ-HNNXBMFYSA-N 0 0 428.515 -0.659 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)Nc1cnn(CC(=O)N2CCN(C)CC2)c1 ZINC000462393028 1072457312 /nfs/dbraw/zinc/45/73/12/1072457312.db2.gz NHYVFUCMXYNUGZ-OAHLLOKOSA-N 0 0 428.515 -0.659 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CC(=O)N(c4ccccc4F)C3)c2c(=O)n(C)c1=O ZINC000462393662 1072457532 /nfs/dbraw/zinc/45/75/32/1072457532.db2.gz LPBAJLUANDGOSK-GFCCVEGCSA-N 0 0 428.424 -0.115 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CC(=O)N(c4ccccc4F)C3)c2c(=O)n(C)c1=O ZINC000462393666 1072457560 /nfs/dbraw/zinc/45/75/60/1072457560.db2.gz LPBAJLUANDGOSK-LBPRGKRZSA-N 0 0 428.424 -0.115 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc(CN4CCNC(=O)C4)c3)c2c(=O)n(C)c1=O ZINC000462394110 1072457481 /nfs/dbraw/zinc/45/74/81/1072457481.db2.gz PYKSHGLUSXCHLS-UHFFFAOYSA-N 0 0 439.476 -0.606 20 0 IBADRN CCN(C(=O)CN(CC(=O)N(CC)[C@H]1CCNC1=O)c1ccccc1)[C@@H]1CCNC1=O ZINC000462395198 1072457326 /nfs/dbraw/zinc/45/73/26/1072457326.db2.gz OTIPJQRYYNTKHY-HDICACEKSA-N 0 0 429.521 -0.033 20 0 IBADRN CCN(C(=O)CN(CC(=O)N(CC)[C@@H]1CCNC1=O)c1ccccc1)[C@@H]1CCNC1=O ZINC000462395199 1072457506 /nfs/dbraw/zinc/45/75/06/1072457506.db2.gz OTIPJQRYYNTKHY-QZTJIDSGSA-N 0 0 429.521 -0.033 20 0 IBADRN CCN(C(=O)CN(CC(=O)N(CC)[C@H]1CCNC1=O)c1ccccc1)[C@H]1CCNC1=O ZINC000462395200 1072457416 /nfs/dbraw/zinc/45/74/16/1072457416.db2.gz OTIPJQRYYNTKHY-ROUUACIJSA-N 0 0 429.521 -0.033 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2cnn(C(C)(C)C)c2=O)CC1 ZINC000462399498 1072457924 /nfs/dbraw/zinc/45/79/24/1072457924.db2.gz WQMYVSQIHFDWQA-UHFFFAOYSA-N 0 0 426.499 -0.062 20 0 IBADRN O=C(CN(CC(=O)N1C[C@@H]2COC[C@H](C1)O2)c1ccccc1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000462401826 1072457844 /nfs/dbraw/zinc/45/78/44/1072457844.db2.gz KSYPERLNBDDQDP-FGYAAKKASA-N 0 0 431.489 -0.255 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(-c2ccccc2F)c1 ZINC000462419217 1072458574 /nfs/dbraw/zinc/45/85/74/1072458574.db2.gz JQOHORDPTIEQMH-GFCCVEGCSA-N 0 0 430.483 -0.065 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(-c2ccccc2F)c1 ZINC000462419223 1072458450 /nfs/dbraw/zinc/45/84/50/1072458450.db2.gz JQOHORDPTIEQMH-LBPRGKRZSA-N 0 0 430.483 -0.065 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)Nc2cnn(CC(=O)N3CCN(C)CC3)c2)CC1 ZINC000462420281 1072460512 /nfs/dbraw/zinc/46/05/12/1072460512.db2.gz UPCFQNZDDYPUPH-UHFFFAOYSA-N 0 0 428.515 -0.801 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000462420824 1072458439 /nfs/dbraw/zinc/45/84/39/1072458439.db2.gz HODVIGFYRMQEGN-UHFFFAOYSA-N 0 0 429.480 -0.854 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(Br)cn1 ZINC000462422488 1072461029 /nfs/dbraw/zinc/46/10/29/1072461029.db2.gz ODBWREYKPAURDH-JTQLQIEISA-N 0 0 426.314 -0.232 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(Br)cn1 ZINC000462422489 1072461085 /nfs/dbraw/zinc/46/10/85/1072461085.db2.gz ODBWREYKPAURDH-SNVBAGLBSA-N 0 0 426.314 -0.232 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000462422797 1072461142 /nfs/dbraw/zinc/46/11/42/1072461142.db2.gz PUDLOBFCKABHMX-CYBMUJFWSA-N 0 0 442.519 -0.195 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC000462422798 1072461015 /nfs/dbraw/zinc/46/10/15/1072461015.db2.gz PUDLOBFCKABHMX-ZDUSSCGKSA-N 0 0 442.519 -0.195 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000462424254 1072461118 /nfs/dbraw/zinc/46/11/18/1072461118.db2.gz XRZFHZXVFGZOAC-UHFFFAOYSA-N 0 0 449.518 -0.033 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)cn1 ZINC000462426455 1072461134 /nfs/dbraw/zinc/46/11/34/1072461134.db2.gz FIWIYHUFBFDEEY-UHFFFAOYSA-N 0 0 445.505 0.088 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000462427811 1072461124 /nfs/dbraw/zinc/46/11/24/1072461124.db2.gz KIZDUHHKPDXSMY-UHFFFAOYSA-N 0 0 428.478 -0.118 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c[nH]1 ZINC000462429226 1072459908 /nfs/dbraw/zinc/45/99/08/1072459908.db2.gz OAIMHYXAIKCJDI-UHFFFAOYSA-N 0 0 428.492 -0.040 20 0 IBADRN O=S(=O)(CC1CCOCC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000462431062 1072459792 /nfs/dbraw/zinc/45/97/92/1072459792.db2.gz WRLSJPSYPGJJSN-UHFFFAOYSA-N 0 0 432.568 -0.121 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000462431468 1072459752 /nfs/dbraw/zinc/45/97/52/1072459752.db2.gz XIIKTJLEZLHEME-CVEARBPZSA-N 0 0 447.583 -0.523 20 0 IBADRN C[C@H]1CN(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@@H](C)CO1 ZINC000462431469 1072459672 /nfs/dbraw/zinc/45/96/72/1072459672.db2.gz XIIKTJLEZLHEME-HOTGVXAUSA-N 0 0 447.583 -0.523 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@H](C)CO1 ZINC000462431470 1072459626 /nfs/dbraw/zinc/45/96/26/1072459626.db2.gz XIIKTJLEZLHEME-HZPDHXFCSA-N 0 0 447.583 -0.523 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@@H](C)CO1 ZINC000462431471 1072459610 /nfs/dbraw/zinc/45/96/10/1072459610.db2.gz XIIKTJLEZLHEME-JKSUJKDBSA-N 0 0 447.583 -0.523 20 0 IBADRN COc1cc2c(=O)ncn(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)c2cc1F ZINC000462431678 1072459902 /nfs/dbraw/zinc/45/99/02/1072459902.db2.gz KNZSKUYGGBGAEY-CYBMUJFWSA-N 0 0 438.481 -0.125 20 0 IBADRN COc1cc2c(=O)ncn(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)c2cc1F ZINC000462431679 1072459727 /nfs/dbraw/zinc/45/97/27/1072459727.db2.gz KNZSKUYGGBGAEY-ZDUSSCGKSA-N 0 0 438.481 -0.125 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000462432789 1072459857 /nfs/dbraw/zinc/45/98/57/1072459857.db2.gz UEQHLKOQNQVIKI-UHFFFAOYSA-N 0 0 443.507 -0.786 20 0 IBADRN CC[C@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)OC ZINC000462433345 1072459821 /nfs/dbraw/zinc/45/98/21/1072459821.db2.gz RYEWHGNLBTZVJA-CYBMUJFWSA-N 0 0 434.540 -0.225 20 0 IBADRN CC[C@@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)OC ZINC000462433347 1072460336 /nfs/dbraw/zinc/46/03/36/1072460336.db2.gz RYEWHGNLBTZVJA-ZDUSSCGKSA-N 0 0 434.540 -0.225 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)cn1 ZINC000462434385 1072461090 /nfs/dbraw/zinc/46/10/90/1072461090.db2.gz CCZHWPCPZPNQLG-UHFFFAOYSA-N 0 0 435.506 -0.078 20 0 IBADRN CCn1nc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1C ZINC000462434534 1072460435 /nfs/dbraw/zinc/46/04/35/1072460435.db2.gz DDWCLOGDBNBORX-UHFFFAOYSA-N 0 0 445.523 -0.093 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)[C@H](C)CO1 ZINC000462434699 1072460357 /nfs/dbraw/zinc/46/03/57/1072460357.db2.gz BOVXAHTUXGBCON-FPCVCCKLSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)[C@@H](C)CO1 ZINC000462434708 1072460462 /nfs/dbraw/zinc/46/04/62/1072460462.db2.gz BOVXAHTUXGBCON-FZKCQIBNSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)[C@H](C)CO1 ZINC000462434709 1072460554 /nfs/dbraw/zinc/46/05/54/1072460554.db2.gz BOVXAHTUXGBCON-WCVJEAGWSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)[C@@H](C)CO1 ZINC000462434710 1072460544 /nfs/dbraw/zinc/46/05/44/1072460544.db2.gz BOVXAHTUXGBCON-XUWVNRHRSA-N 0 0 440.588 -0.688 20 0 IBADRN CC(C)n1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000462434841 1072460498 /nfs/dbraw/zinc/46/04/98/1072460498.db2.gz FWTHPZAGJQHUGL-UHFFFAOYSA-N 0 0 431.496 -0.149 20 0 IBADRN O=C(CCNS(=O)(=O)c1cnn(-c2ccccc2F)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000462435491 1072462871 /nfs/dbraw/zinc/46/28/71/1072462871.db2.gz GFONCNWGHMSAKK-GFCCVEGCSA-N 0 0 430.483 -0.017 20 0 IBADRN O=C(CCNS(=O)(=O)c1cnn(-c2ccccc2F)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000462435493 1072462825 /nfs/dbraw/zinc/46/28/25/1072462825.db2.gz GFONCNWGHMSAKK-LBPRGKRZSA-N 0 0 430.483 -0.017 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cn1)N[C@H]1CCS(=O)(=O)C1 ZINC000462435833 1072462911 /nfs/dbraw/zinc/46/29/11/1072462911.db2.gz HQYFTHZKXQSHIR-JTQLQIEISA-N 0 0 426.314 -0.184 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cn1)N[C@@H]1CCS(=O)(=O)C1 ZINC000462435834 1072462850 /nfs/dbraw/zinc/46/28/50/1072462850.db2.gz HQYFTHZKXQSHIR-SNVBAGLBSA-N 0 0 426.314 -0.184 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000462436177 1072461008 /nfs/dbraw/zinc/46/10/08/1072461008.db2.gz JCGZNHGFGJCELU-CYBMUJFWSA-N 0 0 442.519 -0.147 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC000462436178 1072460999 /nfs/dbraw/zinc/46/09/99/1072460999.db2.gz JCGZNHGFGJCELU-ZDUSSCGKSA-N 0 0 442.519 -0.147 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000462437585 1072461633 /nfs/dbraw/zinc/46/16/33/1072461633.db2.gz SDIJVXDJBPYJHF-NEPJUHHUSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@@H](C)CO1 ZINC000462437598 1072461713 /nfs/dbraw/zinc/46/17/13/1072461713.db2.gz SDIJVXDJBPYJHF-NWDGAFQWSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@@H](C)CO1 ZINC000462437600 1072461705 /nfs/dbraw/zinc/46/17/05/1072461705.db2.gz SDIJVXDJBPYJHF-RYUDHWBXSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@H](C)CO1 ZINC000462437603 1072461604 /nfs/dbraw/zinc/46/16/04/1072461604.db2.gz SDIJVXDJBPYJHF-VXGBXAGGSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC3CCOCC3)CC2)C[C@H](C)O1 ZINC000462440706 1072461671 /nfs/dbraw/zinc/46/16/71/1072461671.db2.gz LJNADIXTNSFJDU-GASCZTMLSA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC3CCOCC3)CC2)C[C@H](C)O1 ZINC000462440708 1072461575 /nfs/dbraw/zinc/46/15/75/1072461575.db2.gz LJNADIXTNSFJDU-GJZGRUSLSA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC3CCOCC3)CC2)C[C@@H](C)O1 ZINC000462440710 1072461689 /nfs/dbraw/zinc/46/16/89/1072461689.db2.gz LJNADIXTNSFJDU-HUUCEWRRSA-N 0 0 425.573 -0.286 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)cn1 ZINC000462441293 1072461659 /nfs/dbraw/zinc/46/16/59/1072461659.db2.gz WLGBHAJRRLKNKT-UHFFFAOYSA-N 0 0 436.899 -0.034 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cc(F)c(F)c(F)c3)CC2)CC1 ZINC000462442408 1072461565 /nfs/dbraw/zinc/46/15/65/1072461565.db2.gz TYESFNNCUGQODD-UHFFFAOYSA-N 0 0 442.485 -0.098 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000462442830 1072461697 /nfs/dbraw/zinc/46/16/97/1072461697.db2.gz BRATWDULFJBTSJ-UHFFFAOYSA-N 0 0 435.510 -0.958 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000462442891 1072461719 /nfs/dbraw/zinc/46/17/19/1072461719.db2.gz CCNMXIZMFJSERX-UHFFFAOYSA-N 0 0 425.507 -0.221 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3cccc(-c4nnnn4C)c3)CC2)no1 ZINC000462443087 1072462114 /nfs/dbraw/zinc/46/21/14/1072462114.db2.gz YGJJOGYCJSUNEZ-UHFFFAOYSA-N 0 0 446.493 0.119 20 0 IBADRN CC(=O)N(C)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000462443155 1072461571 /nfs/dbraw/zinc/46/15/71/1072461571.db2.gz CWFBHMAOSXDCGC-UHFFFAOYSA-N 0 0 446.551 -0.447 20 0 IBADRN O=S(=O)(c1cc(F)c(F)c(F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000462443164 1072461558 /nfs/dbraw/zinc/46/15/58/1072461558.db2.gz CZSLTQKHJZMOGW-UHFFFAOYSA-N 0 0 429.442 -0.013 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(-c2nnnn2C)c1 ZINC000462443591 1072462090 /nfs/dbraw/zinc/46/20/90/1072462090.db2.gz GBYUWHFVTVPZEL-CYBMUJFWSA-N 0 0 442.523 -0.809 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(-c2nnnn2C)c1 ZINC000462443592 1072462152 /nfs/dbraw/zinc/46/21/52/1072462152.db2.gz GBYUWHFVTVPZEL-ZDUSSCGKSA-N 0 0 442.523 -0.809 20 0 IBADRN CC(=O)N(C)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000462444220 1072462122 /nfs/dbraw/zinc/46/21/22/1072462122.db2.gz ASIUDWZTSQRCOW-UHFFFAOYSA-N 0 0 438.506 -0.639 20 0 IBADRN Cc1c(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cnn1-c1ccccn1 ZINC000462444377 1072462103 /nfs/dbraw/zinc/46/21/03/1072462103.db2.gz KFCBOXJYLAUIPC-UHFFFAOYSA-N 0 0 434.522 -0.259 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000462444789 1072462208 /nfs/dbraw/zinc/46/22/08/1072462208.db2.gz LYISFCZOKDXAKV-UHFFFAOYSA-N 0 0 447.535 -0.502 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)cn1 ZINC000462445110 1072462168 /nfs/dbraw/zinc/46/21/68/1072462168.db2.gz BLCNUPCDXOYUHN-UHFFFAOYSA-N 0 0 447.495 -0.644 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1ccccc1C(=O)OC ZINC000462445246 1072462200 /nfs/dbraw/zinc/46/22/00/1072462200.db2.gz MPDTTXUHHQLAGV-AWEZNQCLSA-N 0 0 432.520 -0.072 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1ccccc1C(=O)OC ZINC000462445248 1072462079 /nfs/dbraw/zinc/46/20/79/1072462079.db2.gz MPDTTXUHHQLAGV-CQSZACIVSA-N 0 0 432.520 -0.072 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cs1 ZINC000462445554 1072462189 /nfs/dbraw/zinc/46/21/89/1072462189.db2.gz HCBIUBCKGUCTGK-UHFFFAOYSA-N 0 0 431.492 -0.774 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1C ZINC000462446085 1072462160 /nfs/dbraw/zinc/46/21/60/1072462160.db2.gz OVLMSFCBAUIHGB-UHFFFAOYSA-N 0 0 447.535 -0.335 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cs1 ZINC000462446369 1072462140 /nfs/dbraw/zinc/46/21/40/1072462140.db2.gz PXCIRTSRPQXQDD-UHFFFAOYSA-N 0 0 439.537 -0.582 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(-c2ccccn2)c1C ZINC000462446399 1072462148 /nfs/dbraw/zinc/46/21/48/1072462148.db2.gz QFWZZFLEIKMITL-AWEZNQCLSA-N 0 0 441.535 -0.110 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(-c2ccccn2)c1C ZINC000462446400 1072462131 /nfs/dbraw/zinc/46/21/31/1072462131.db2.gz QFWZZFLEIKMITL-CQSZACIVSA-N 0 0 441.535 -0.110 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1C ZINC000462446930 1072462896 /nfs/dbraw/zinc/46/28/96/1072462896.db2.gz SWYNIVYQLMEPIY-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(N(C)C(C)=O)cc1 ZINC000462447277 1072462846 /nfs/dbraw/zinc/46/28/46/1072462846.db2.gz VWQCLKXQWBLJAU-AWEZNQCLSA-N 0 0 431.536 -0.017 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(N(C)C(C)=O)cc1 ZINC000462447280 1072462857 /nfs/dbraw/zinc/46/28/57/1072462857.db2.gz VWQCLKXQWBLJAU-CQSZACIVSA-N 0 0 431.536 -0.017 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)cn1 ZINC000462447976 1072462840 /nfs/dbraw/zinc/46/28/40/1072462840.db2.gz PRHMOPZZFVXWPT-UHFFFAOYSA-N 0 0 435.506 -0.321 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000462448439 1072462876 /nfs/dbraw/zinc/46/28/76/1072462876.db2.gz RVJFQHRTHSKYEN-UHFFFAOYSA-N 0 0 439.490 -0.527 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000462450427 1072462791 /nfs/dbraw/zinc/46/27/91/1072462791.db2.gz DHCYUMXVUJPOEL-UHFFFAOYSA-N 0 0 442.519 -0.108 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000462450479 1072462822 /nfs/dbraw/zinc/46/28/22/1072462822.db2.gz DLDHQDDYMQCGRU-UHFFFAOYSA-N 0 0 428.492 -0.249 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)N2CCN(c3ccccc3)CC2)C1)C(N)=O ZINC000462451751 1072462778 /nfs/dbraw/zinc/46/27/78/1072462778.db2.gz NSAVZNFOBKJJDK-UHFFFAOYSA-N 0 0 429.521 -0.046 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)cn1 ZINC000462452349 1072462816 /nfs/dbraw/zinc/46/28/16/1072462816.db2.gz PSXQEUUXPTUCCC-UHFFFAOYSA-N 0 0 449.555 -0.517 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)cn1 ZINC000462455010 1072463392 /nfs/dbraw/zinc/46/33/92/1072463392.db2.gz MHGZFIRSAKEDLV-HNNXBMFYSA-N 0 0 427.527 -0.237 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)cn1 ZINC000462455011 1072463265 /nfs/dbraw/zinc/46/32/65/1072463265.db2.gz MHGZFIRSAKEDLV-OAHLLOKOSA-N 0 0 427.527 -0.237 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)cn1 ZINC000462455615 1072463462 /nfs/dbraw/zinc/46/34/62/1072463462.db2.gz KZDHDYQFJQWNGQ-UHFFFAOYSA-N 0 0 436.446 -0.069 20 0 IBADRN O=C(CN1CCN(c2cccc(F)c2)C(=O)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000462458121 1072463311 /nfs/dbraw/zinc/46/33/11/1072463311.db2.gz XXTMXOODZGOWNL-GOSISDBHSA-N 0 0 438.525 -0.194 20 0 IBADRN O=C(CN1CCN(c2cccc(F)c2)C(=O)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000462458125 1072463446 /nfs/dbraw/zinc/46/34/46/1072463446.db2.gz XXTMXOODZGOWNL-SFHVURJKSA-N 0 0 438.525 -0.194 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)cn1 ZINC000462460477 1072463906 /nfs/dbraw/zinc/46/39/06/1072463906.db2.gz SBRCUBCIXZNBPC-HOTGVXAUSA-N 0 0 441.554 -0.133 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)cn1 ZINC000462460479 1072463866 /nfs/dbraw/zinc/46/38/66/1072463866.db2.gz SBRCUBCIXZNBPC-HZPDHXFCSA-N 0 0 441.554 -0.133 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)cn1 ZINC000462460480 1072463923 /nfs/dbraw/zinc/46/39/23/1072463923.db2.gz SBRCUBCIXZNBPC-IYBDPMFKSA-N 0 0 441.554 -0.133 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cn1 ZINC000462461712 1072463943 /nfs/dbraw/zinc/46/39/43/1072463943.db2.gz XGZZKOUELUKPAA-UHFFFAOYSA-N 0 0 427.527 -0.235 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)cn1 ZINC000462464355 1072463365 /nfs/dbraw/zinc/46/33/65/1072463365.db2.gz KGGBWIBABLLQPH-UHFFFAOYSA-N 0 0 427.483 -0.021 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)c[nH]1 ZINC000462467820 1072463443 /nfs/dbraw/zinc/46/34/43/1072463443.db2.gz KNGMJWMKCQAEMV-AWEZNQCLSA-N 0 0 449.551 -0.299 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)c[nH]1 ZINC000462467821 1072463419 /nfs/dbraw/zinc/46/34/19/1072463419.db2.gz KNGMJWMKCQAEMV-CQSZACIVSA-N 0 0 449.551 -0.299 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3cccc(F)c3)C(=O)C2)CC1)N1CCOCC1 ZINC000462470040 1072897255 /nfs/dbraw/zinc/89/72/55/1072897255.db2.gz CLMLWRODUCECIZ-UHFFFAOYSA-N 0 0 447.511 -0.523 20 0 IBADRN O=C(CN1CCNC(=O)[C@@H]1c1c(F)cccc1Cl)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000462470728 1072463931 /nfs/dbraw/zinc/46/39/31/1072463931.db2.gz FYELXQFAQJHDLB-BMIGLBTASA-N 0 0 446.888 -0.035 20 0 IBADRN O=C(CN1CCNC(=O)[C@@H]1c1c(F)cccc1Cl)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000462470732 1072463950 /nfs/dbraw/zinc/46/39/50/1072463950.db2.gz FYELXQFAQJHDLB-BONVTDFDSA-N 0 0 446.888 -0.035 20 0 IBADRN O=C(CN1CCNC(=O)[C@H]1c1c(F)cccc1Cl)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000462470733 1072463847 /nfs/dbraw/zinc/46/38/47/1072463847.db2.gz FYELXQFAQJHDLB-MEBBXXQBSA-N 0 0 446.888 -0.035 20 0 IBADRN O=C(CN1CCNC(=O)[C@H]1c1c(F)cccc1Cl)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000462470734 1072463947 /nfs/dbraw/zinc/46/39/47/1072463947.db2.gz FYELXQFAQJHDLB-ZUZCIYMTSA-N 0 0 446.888 -0.035 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000462472315 1072464473 /nfs/dbraw/zinc/46/44/73/1072464473.db2.gz XSLLHCDCFWZEFS-AWEZNQCLSA-N 0 0 426.524 -0.630 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000462472319 1072464325 /nfs/dbraw/zinc/46/43/25/1072464325.db2.gz XSLLHCDCFWZEFS-CQSZACIVSA-N 0 0 426.524 -0.630 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)[C@@H](C)CO1 ZINC000462472388 1072463901 /nfs/dbraw/zinc/46/39/01/1072463901.db2.gz XZCROWLRVRJCAD-BBWFWOEESA-N 0 0 439.600 -0.448 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)[C@H](C)CO1 ZINC000462472392 1072463882 /nfs/dbraw/zinc/46/38/82/1072463882.db2.gz XZCROWLRVRJCAD-BRWVUGGUSA-N 0 0 439.600 -0.448 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)[C@@H](C)CO1 ZINC000462472393 1072464466 /nfs/dbraw/zinc/46/44/66/1072464466.db2.gz XZCROWLRVRJCAD-GVDBMIGSSA-N 0 0 439.600 -0.448 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)[C@H](C)CO1 ZINC000462472394 1072464413 /nfs/dbraw/zinc/46/44/13/1072464413.db2.gz XZCROWLRVRJCAD-ZACQAIPSSA-N 0 0 439.600 -0.448 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)cn1 ZINC000462478510 1072463948 /nfs/dbraw/zinc/46/39/48/1072463948.db2.gz CNZZMNRJXMVSDD-UHFFFAOYSA-N 0 0 446.482 -0.110 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCNS(=O)(=O)N2C[C@H](C)OC[C@@H]2C)[C@H](C)CO1 ZINC000462478984 1072464432 /nfs/dbraw/zinc/46/44/32/1072464432.db2.gz VMKANEAGWPOEFB-NMWPEEMBSA-N 0 0 428.577 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCNS(=O)(=O)N2C[C@H](C)OC[C@H]2C)[C@@H](C)CO1 ZINC000462478985 1072464426 /nfs/dbraw/zinc/46/44/26/1072464426.db2.gz VMKANEAGWPOEFB-PYHGIMPFSA-N 0 0 428.577 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCNS(=O)(=O)N2C[C@H](C)OC[C@H]2C)[C@H](C)CO1 ZINC000462478986 1072464384 /nfs/dbraw/zinc/46/43/84/1072464384.db2.gz VMKANEAGWPOEFB-TUVASFSCSA-N 0 0 428.577 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCNS(=O)(=O)N2C[C@H](C)OC[C@@H]2C)[C@@H](C)CO1 ZINC000462478987 1072464453 /nfs/dbraw/zinc/46/44/53/1072464453.db2.gz VMKANEAGWPOEFB-ZQDZILKHSA-N 0 0 428.577 -0.736 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000462481787 1072464478 /nfs/dbraw/zinc/46/44/78/1072464478.db2.gz BPRYHUKZISKSKU-UHFFFAOYSA-N 0 0 435.510 -0.958 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)OC[C@H]3C)CC2)[C@H](C)CO1 ZINC000462481932 1072464419 /nfs/dbraw/zinc/46/44/19/1072464419.db2.gz UDRCKFLYLXLZSP-FPCVCCKLSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)OC[C@@H]3C)CC2)[C@H](C)CO1 ZINC000462481933 1072464365 /nfs/dbraw/zinc/46/43/65/1072464365.db2.gz UDRCKFLYLXLZSP-GEEKYZPCSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)OC[C@H]3C)CC2)[C@@H](C)CO1 ZINC000462481934 1072464407 /nfs/dbraw/zinc/46/44/07/1072464407.db2.gz UDRCKFLYLXLZSP-SYMSYNOKSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)OC[C@@H]3C)CC2)[C@@H](C)CO1 ZINC000462481935 1072464300 /nfs/dbraw/zinc/46/43/00/1072464300.db2.gz UDRCKFLYLXLZSP-YHUYYLMFSA-N 0 0 440.588 -0.688 20 0 IBADRN Cc1c(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cnn1-c1ccccn1 ZINC000462482116 1072464460 /nfs/dbraw/zinc/46/44/60/1072464460.db2.gz DUXHLAQNYNDICJ-UHFFFAOYSA-N 0 0 434.522 -0.259 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000462484204 1072464373 /nfs/dbraw/zinc/46/43/73/1072464373.db2.gz QAGOMFZPDYEGAI-UHFFFAOYSA-N 0 0 425.507 -0.221 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1C ZINC000462484211 1072464402 /nfs/dbraw/zinc/46/44/02/1072464402.db2.gz QCNWWBJZKHPWIM-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)CN(CC(=O)N1CCN(C)C(=O)[C@@H]1C)c1ccccc1 ZINC000462487555 1072464279 /nfs/dbraw/zinc/46/42/79/1072464279.db2.gz FFJQOEIPIMLTLD-CALCHBBNSA-N 0 0 429.521 -0.129 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)CN(CC(=O)N1CCN(C)C(=O)[C@H]1C)c1ccccc1 ZINC000462487556 1072465019 /nfs/dbraw/zinc/46/50/19/1072465019.db2.gz FFJQOEIPIMLTLD-IAGOWNOFSA-N 0 0 429.521 -0.129 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)CN(CC(=O)N1CCN(C)C(=O)[C@@H]1C)c1ccccc1 ZINC000462487557 1072464939 /nfs/dbraw/zinc/46/49/39/1072464939.db2.gz FFJQOEIPIMLTLD-IRXDYDNUSA-N 0 0 429.521 -0.129 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1cccc(N3CCCS3(=O)=O)c1)c(=O)n2C ZINC000462504370 1072465049 /nfs/dbraw/zinc/46/50/49/1072465049.db2.gz NVRGJMKYKWGRJW-UHFFFAOYSA-N 0 0 446.489 -0.710 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCc2cccc(N3CCCS3(=O)=O)c2)c(=O)n(C)c1=O ZINC000462510184 1072465560 /nfs/dbraw/zinc/46/55/60/1072465560.db2.gz BYAYNXNHNRICBL-UHFFFAOYSA-N 0 0 445.501 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)c2cnn(CC(=O)OC)c2)cc1 ZINC000462515009 1072465663 /nfs/dbraw/zinc/46/56/63/1072465663.db2.gz CDCWBLUVDAEHHB-UHFFFAOYSA-N 0 0 446.507 -0.541 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cccc(N4CCCS4(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000462517126 1072465675 /nfs/dbraw/zinc/46/56/75/1072465675.db2.gz JQPWVDZHQDMDMR-UHFFFAOYSA-N 0 0 446.489 -0.710 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)[C@@H](C)CO1 ZINC000462519690 1072465646 /nfs/dbraw/zinc/46/56/46/1072465646.db2.gz DLPFTJYTKGOGHT-DLBZAZTESA-N 0 0 432.587 -0.109 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)[C@H](C)CO1 ZINC000462519691 1072465488 /nfs/dbraw/zinc/46/54/88/1072465488.db2.gz DLPFTJYTKGOGHT-IAGOWNOFSA-N 0 0 432.587 -0.109 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)[C@@H](C)CO1 ZINC000462519692 1072465499 /nfs/dbraw/zinc/46/54/99/1072465499.db2.gz DLPFTJYTKGOGHT-IRXDYDNUSA-N 0 0 432.587 -0.109 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000462519693 1072465547 /nfs/dbraw/zinc/46/55/47/1072465547.db2.gz DLPFTJYTKGOGHT-SJORKVTESA-N 0 0 432.587 -0.109 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cnn(-c3ccccc3F)c2)CC1 ZINC000462523739 1072465509 /nfs/dbraw/zinc/46/55/09/1072465509.db2.gz GJVIUZYMLUQMFR-UHFFFAOYSA-N 0 0 449.464 -0.007 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc(Br)cn2)CC1 ZINC000462524864 1072465585 /nfs/dbraw/zinc/46/55/85/1072465585.db2.gz ISMJTVKUXXLVGP-UHFFFAOYSA-N 0 0 445.295 -0.174 20 0 IBADRN CC(=O)N(C)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000462529419 1072466198 /nfs/dbraw/zinc/46/61/98/1072466198.db2.gz OUPNTRBVHJCNFH-UHFFFAOYSA-N 0 0 436.490 -0.349 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1C ZINC000462530167 1072466154 /nfs/dbraw/zinc/46/61/54/1072466154.db2.gz RBZFXGAMDQMTBB-UHFFFAOYSA-N 0 0 437.474 -0.236 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)cn1 ZINC000462531430 1072466138 /nfs/dbraw/zinc/46/61/38/1072466138.db2.gz UTLFJFXCDMXTPY-UHFFFAOYSA-N 0 0 447.517 -0.048 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cs1 ZINC000462531910 1072466222 /nfs/dbraw/zinc/46/62/22/1072466222.db2.gz WMUQMHLKYFTRQJ-UHFFFAOYSA-N 0 0 429.476 -0.483 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000462532392 1072466284 /nfs/dbraw/zinc/46/62/84/1072466284.db2.gz DMZSQKMRLNEIPW-UHFFFAOYSA-N 0 0 435.462 -0.893 20 0 IBADRN Cc1c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cnn1-c1ccccn1 ZINC000462532648 1072466168 /nfs/dbraw/zinc/46/61/68/1072466168.db2.gz YTXGMBDYECXVME-UHFFFAOYSA-N 0 0 446.489 -0.442 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000462537975 1072466725 /nfs/dbraw/zinc/46/67/25/1072466725.db2.gz LVRZHCBYIZTSSP-UHFFFAOYSA-N 0 0 433.490 -0.008 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000462540580 1072466278 /nfs/dbraw/zinc/46/62/78/1072466278.db2.gz UNATTZVYMVWBAP-UHFFFAOYSA-N 0 0 433.494 -0.668 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000462543677 1072466206 /nfs/dbraw/zinc/46/62/06/1072466206.db2.gz URRLMGIFVPLJFA-UHFFFAOYSA-N 0 0 429.510 -0.232 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cnc(N4CCOCC4)c(F)c3)c2c(=O)n(C)c1=O ZINC000462568318 1072466694 /nfs/dbraw/zinc/46/66/94/1072466694.db2.gz SWZQXEVKTZHKSD-UHFFFAOYSA-N 0 0 445.455 -0.489 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N(C)CCNS(=O)(=O)c2ccc(C)cc2)cn1 ZINC000462570089 1072466832 /nfs/dbraw/zinc/46/68/32/1072466832.db2.gz FUPPQTQKZQWXQD-UHFFFAOYSA-N 0 0 430.508 -0.036 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCNC(=O)[C@@H]1c1c(F)cccc1Cl)c(=O)n2C ZINC000462572281 1072467224 /nfs/dbraw/zinc/46/72/24/1072467224.db2.gz METGSTDAIVTFFX-AWEZNQCLSA-N 0 0 448.842 -0.074 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCNC(=O)[C@H]1c1c(F)cccc1Cl)c(=O)n2C ZINC000462572282 1072467305 /nfs/dbraw/zinc/46/73/05/1072467305.db2.gz METGSTDAIVTFFX-CQSZACIVSA-N 0 0 448.842 -0.074 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)S(=O)(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000462573349 1072467400 /nfs/dbraw/zinc/46/74/00/1072467400.db2.gz LIMRCDZVOBZEMB-UHFFFAOYSA-N 0 0 425.554 -0.820 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCc3cnc(N4CCOCC4)c(F)c3)cnc2n(C)c1=O ZINC000462573628 1072467436 /nfs/dbraw/zinc/46/74/36/1072467436.db2.gz PLUAWZAETPBVSE-UHFFFAOYSA-N 0 0 428.424 -0.067 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCc2cnc(N3CCOCC3)c(F)c2)c(=O)n(C)c1=O ZINC000462575253 1072467362 /nfs/dbraw/zinc/46/73/62/1072467362.db2.gz DQURUKGREULRTA-UHFFFAOYSA-N 0 0 430.440 -0.274 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCc3cnc(N4CCOCC4)c(F)c3)nc2n(C)c1=O ZINC000462575628 1072467345 /nfs/dbraw/zinc/46/73/45/1072467345.db2.gz SETKVVYGEYRRFM-UHFFFAOYSA-N 0 0 428.424 -0.067 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1cnc(N3CCOCC3)c(F)c1)c(=O)n2C ZINC000462581024 1072467190 /nfs/dbraw/zinc/46/71/90/1072467190.db2.gz OHEHDSLJYFPDSN-UHFFFAOYSA-N 0 0 431.428 -0.879 20 0 IBADRN O=C1C[C@@]2(CN1)CCCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000462581117 1072467256 /nfs/dbraw/zinc/46/72/56/1072467256.db2.gz ZFDNYZQGNOVVNW-GOSISDBHSA-N 0 0 443.547 -0.002 20 0 IBADRN O=C1C[C@]2(CN1)CCCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000462581118 1072467210 /nfs/dbraw/zinc/46/72/10/1072467210.db2.gz ZFDNYZQGNOVVNW-SFHVURJKSA-N 0 0 443.547 -0.002 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000462582532 1072467461 /nfs/dbraw/zinc/46/74/61/1072467461.db2.gz BLYNYDFTPDSLLT-CKEIUWERSA-N 0 0 443.547 -0.005 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000462582533 1072467315 /nfs/dbraw/zinc/46/73/15/1072467315.db2.gz BLYNYDFTPDSLLT-CPUCHLNUSA-N 0 0 443.547 -0.005 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000462582534 1072467492 /nfs/dbraw/zinc/46/74/92/1072467492.db2.gz BLYNYDFTPDSLLT-JKIFEVAISA-N 0 0 443.547 -0.005 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000462582535 1072467200 /nfs/dbraw/zinc/46/72/00/1072467200.db2.gz BLYNYDFTPDSLLT-KEYYUXOJSA-N 0 0 443.547 -0.005 20 0 IBADRN CCOC(=O)COc1ccc(CCNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000462584139 1072467378 /nfs/dbraw/zinc/46/73/78/1072467378.db2.gz ZFTVTIZQUGWOEK-UHFFFAOYSA-N 0 0 425.463 -0.453 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)s1 ZINC000462584442 1072467327 /nfs/dbraw/zinc/46/73/27/1072467327.db2.gz JQFCMWFKZXGHHW-UHFFFAOYSA-N 0 0 438.553 -0.583 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)s1 ZINC000462585702 1072467872 /nfs/dbraw/zinc/46/78/72/1072467872.db2.gz ZBHSSXUQVUGAJN-UHFFFAOYSA-N 0 0 443.507 -0.772 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCNC(=O)[C@@H]3c3c(F)cccc3Cl)c2c(=O)n(C)c1=O ZINC000462588112 1072467817 /nfs/dbraw/zinc/46/78/17/1072467817.db2.gz FEQWEXSFTSXUAE-AWEZNQCLSA-N 0 0 448.842 -0.074 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCNC(=O)[C@H]3c3c(F)cccc3Cl)c2c(=O)n(C)c1=O ZINC000462588113 1072467836 /nfs/dbraw/zinc/46/78/36/1072467836.db2.gz FEQWEXSFTSXUAE-CQSZACIVSA-N 0 0 448.842 -0.074 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cnc(N4CCOCC4)c(F)c3)c2c(=O)n(C)c1=O ZINC000462588312 1072467889 /nfs/dbraw/zinc/46/78/89/1072467889.db2.gz CBMUYWHNJIPHIC-UHFFFAOYSA-N 0 0 431.428 -0.879 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)CCC(=O)OCC)[C@@H](C)C1 ZINC000462599289 1072467955 /nfs/dbraw/zinc/46/79/55/1072467955.db2.gz ALLGBBVSFCDYLG-ZDUSSCGKSA-N 0 0 428.529 -0.442 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)CCCC(=O)OC)[C@@H](C)C1 ZINC000462599986 1072468096 /nfs/dbraw/zinc/46/80/96/1072468096.db2.gz CXINOBHOMODZNC-ZDUSSCGKSA-N 0 0 428.529 -0.442 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1C(N)=O ZINC000462600193 1072467826 /nfs/dbraw/zinc/46/78/26/1072467826.db2.gz CTBQETCDJZMELV-UHFFFAOYSA-N 0 0 428.492 -0.082 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC000462601802 1072467975 /nfs/dbraw/zinc/46/79/75/1072467975.db2.gz KFXVBEMSEZULFU-GFCCVEGCSA-N 0 0 442.498 -0.929 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC000462601803 1072468039 /nfs/dbraw/zinc/46/80/39/1072468039.db2.gz KFXVBEMSEZULFU-LBPRGKRZSA-N 0 0 442.498 -0.929 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1C(N)=O ZINC000462602427 1072467881 /nfs/dbraw/zinc/46/78/81/1072467881.db2.gz LTWQEHYQFHGJHM-UHFFFAOYSA-N 0 0 444.491 -0.382 20 0 IBADRN CCN1CCN(C(=O)CN(CC(=O)N2CCN(CC)C(=O)C2)c2ccccc2)CC1=O ZINC000462602799 1072468083 /nfs/dbraw/zinc/46/80/83/1072468083.db2.gz NKTBGWZQQKAFEL-UHFFFAOYSA-N 0 0 429.521 -0.126 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000462602914 1072467902 /nfs/dbraw/zinc/46/79/02/1072467902.db2.gz OABRFABMNFIKBP-UHFFFAOYSA-N 0 0 449.532 -0.086 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000462605888 1072468686 /nfs/dbraw/zinc/46/86/86/1072468686.db2.gz WDVQCAYHBWNKIT-UHFFFAOYSA-N 0 0 428.492 -0.130 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cc1 ZINC000462606024 1072468755 /nfs/dbraw/zinc/46/87/55/1072468755.db2.gz OPXHXVNFVYAVTN-AWEZNQCLSA-N 0 0 427.508 -0.280 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cc1 ZINC000462606025 1072468715 /nfs/dbraw/zinc/46/87/15/1072468715.db2.gz OPXHXVNFVYAVTN-CQSZACIVSA-N 0 0 427.508 -0.280 20 0 IBADRN O=C1NCCc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)cc21 ZINC000462609601 1072468000 /nfs/dbraw/zinc/46/80/00/1072468000.db2.gz CTYJGUWAKXMNKI-UHFFFAOYSA-N 0 0 440.503 -0.203 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000462611621 1072468649 /nfs/dbraw/zinc/46/86/49/1072468649.db2.gz AYMUXNZXBZVYMS-AWEZNQCLSA-N 0 0 436.512 -0.606 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000462611623 1072468700 /nfs/dbraw/zinc/46/87/00/1072468700.db2.gz AYMUXNZXBZVYMS-CQSZACIVSA-N 0 0 436.512 -0.606 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000462611803 1072468663 /nfs/dbraw/zinc/46/86/63/1072468663.db2.gz GUDXUKTYAYQCPW-UHFFFAOYSA-N 0 0 436.512 -0.748 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)Cc3cccc(Cl)c3)CC2)c(=O)n(C)c1=O ZINC000462613474 1072468592 /nfs/dbraw/zinc/46/85/92/1072468592.db2.gz JUFBSECFZKYLPT-UHFFFAOYSA-N 0 0 440.909 -0.187 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000462613803 1072468753 /nfs/dbraw/zinc/46/87/53/1072468753.db2.gz JERGZGIGWFXVKM-UHFFFAOYSA-N 0 0 449.532 -0.086 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000462616789 1072468750 /nfs/dbraw/zinc/46/87/50/1072468750.db2.gz JMAZFNOUHBOTRB-AWEZNQCLSA-N 0 0 427.508 -0.280 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000462616790 1072469362 /nfs/dbraw/zinc/46/93/62/1072469362.db2.gz JMAZFNOUHBOTRB-CQSZACIVSA-N 0 0 427.508 -0.280 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000462616798 1072469157 /nfs/dbraw/zinc/46/91/57/1072469157.db2.gz JNZDUOBCBWDJRT-UHFFFAOYSA-N 0 0 449.532 -0.086 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ccsc2S1(=O)=O ZINC000462618245 1072468534 /nfs/dbraw/zinc/46/85/34/1072468534.db2.gz NNGRHIHPQQWXOZ-AAEUAGOBSA-N 0 0 439.523 -0.104 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000462619175 1072468674 /nfs/dbraw/zinc/46/86/74/1072468674.db2.gz NMHNQQKPHMCZHF-UHFFFAOYSA-N 0 0 439.479 -0.513 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1C ZINC000462620648 1072468704 /nfs/dbraw/zinc/46/87/04/1072468704.db2.gz OXMAMPPBGFPLOQ-UHFFFAOYSA-N 0 0 431.496 -0.791 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000462623825 1072469287 /nfs/dbraw/zinc/46/92/87/1072469287.db2.gz TYULZMQIAZIIJC-UHFFFAOYSA-N 0 0 439.479 -0.513 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(S(=O)(=O)NC(C)(C)C)cn1)c(=O)n2C ZINC000462624184 1072469383 /nfs/dbraw/zinc/46/93/83/1072469383.db2.gz NMLSQUHLSXWNLC-UHFFFAOYSA-N 0 0 449.493 -0.456 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)cc2oc1=O ZINC000462624394 1072469372 /nfs/dbraw/zinc/46/93/72/1072469372.db2.gz XIMDVWZSACETLH-UHFFFAOYSA-N 0 0 442.475 -0.044 20 0 IBADRN Cc1cc(N2CC[C@@H](NS(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2=O)n(C)n1 ZINC000462630696 1072469219 /nfs/dbraw/zinc/46/92/19/1072469219.db2.gz IUZSYFHKYGUFRD-GFCCVEGCSA-N 0 0 433.450 -0.840 20 0 IBADRN Cc1cc(N2CC[C@H](NS(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2=O)n(C)n1 ZINC000462630697 1072469135 /nfs/dbraw/zinc/46/91/35/1072469135.db2.gz IUZSYFHKYGUFRD-LBPRGKRZSA-N 0 0 433.450 -0.840 20 0 IBADRN COC(=O)c1ncsc1S(=O)(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000462651850 1072469195 /nfs/dbraw/zinc/46/91/95/1072469195.db2.gz AXIUQYDIZMCBCG-UHFFFAOYSA-N 0 0 441.553 -0.009 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC000462652988 1072469242 /nfs/dbraw/zinc/46/92/42/1072469242.db2.gz DUHNMEWHOODVQK-UHFFFAOYSA-N 0 0 434.518 -0.119 20 0 IBADRN Cn1nccc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000462653370 1072469354 /nfs/dbraw/zinc/46/93/54/1072469354.db2.gz GACXHYSZNYEXQK-UHFFFAOYSA-N 0 0 441.491 -0.554 20 0 IBADRN COC(=O)c1ncsc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000462653379 1072469307 /nfs/dbraw/zinc/46/93/07/1072469307.db2.gz GAPPOEUTNMEJGB-LLVKDONJSA-N 0 0 439.537 -0.255 20 0 IBADRN COC(=O)c1ncsc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000462653381 1072469394 /nfs/dbraw/zinc/46/93/94/1072469394.db2.gz GAPPOEUTNMEJGB-NSHDSACASA-N 0 0 439.537 -0.255 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC000462657478 1072469320 /nfs/dbraw/zinc/46/93/20/1072469320.db2.gz BIDRKFTZCATYOK-UHFFFAOYSA-N 0 0 428.536 -0.883 20 0 IBADRN CCc1nsc(N2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)n1 ZINC000462659182 1072469959 /nfs/dbraw/zinc/46/99/59/1072469959.db2.gz ICIOFSLUURANIG-UHFFFAOYSA-N 0 0 437.507 -0.041 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)C1 ZINC000462661035 1072469740 /nfs/dbraw/zinc/46/97/40/1072469740.db2.gz LDPRUENMUSNFLP-GFCCVEGCSA-N 0 0 428.536 -0.284 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)C1 ZINC000462661036 1072469850 /nfs/dbraw/zinc/46/98/50/1072469850.db2.gz LDPRUENMUSNFLP-LBPRGKRZSA-N 0 0 428.536 -0.284 20 0 IBADRN CC1(C)C(=O)N(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C(=O)N1CC(N)=O ZINC000462661514 1072469928 /nfs/dbraw/zinc/46/99/28/1072469928.db2.gz ZLBDUBRVRYVYRP-UHFFFAOYSA-N 0 0 445.476 -0.697 20 0 IBADRN O=C1Cc2cc(S(=O)(=O)NCCNS(=O)(=O)Cc3ccon3)cc3c2N1CCC3 ZINC000462663512 1072469969 /nfs/dbraw/zinc/46/99/69/1072469969.db2.gz VFIRZIFBCZUJEI-UHFFFAOYSA-N 0 0 440.503 -0.092 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2scnc2C(=O)OC)CC1 ZINC000462667584 1072469755 /nfs/dbraw/zinc/46/97/55/1072469755.db2.gz HBGFOLFBTIVZLO-GFCCVEGCSA-N 0 0 434.540 -0.223 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2scnc2C(=O)OC)CC1 ZINC000462667585 1072469964 /nfs/dbraw/zinc/46/99/64/1072469964.db2.gz HBGFOLFBTIVZLO-LBPRGKRZSA-N 0 0 434.540 -0.223 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2scnc2C(=O)OC)CC1 ZINC000462667861 1072469948 /nfs/dbraw/zinc/46/99/48/1072469948.db2.gz JDIAPOUMTNWMCF-UHFFFAOYSA-N 0 0 427.526 -0.398 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCNC(=O)[C@H]2c2c(F)cccc2Cl)c(=O)n(C)c1=O ZINC000462672222 1072470430 /nfs/dbraw/zinc/47/04/30/1072470430.db2.gz CYQWHNSEJSXZES-CYBMUJFWSA-N 0 0 430.845 -0.262 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2c2c(F)cccc2Cl)c(=O)n(C)c1=O ZINC000462672223 1072470356 /nfs/dbraw/zinc/47/03/56/1072470356.db2.gz CYQWHNSEJSXZES-ZDUSSCGKSA-N 0 0 430.845 -0.262 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000462673781 1072470463 /nfs/dbraw/zinc/47/04/63/1072470463.db2.gz GFMNJSYCDQQRSD-INIZCTEOSA-N 0 0 433.552 -0.685 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000462673791 1072471139 /nfs/dbraw/zinc/47/11/39/1072471139.db2.gz GFMNJSYCDQQRSD-MRXNPFEDSA-N 0 0 433.552 -0.685 20 0 IBADRN O=C1NCCN(S(=O)(=O)N2CCS(=O)(=O)CC2)[C@@H]1c1c(F)cccc1Cl ZINC000462674885 1072469857 /nfs/dbraw/zinc/46/98/57/1072469857.db2.gz AGHVJIXCRVEUFV-CYBMUJFWSA-N 0 0 425.891 -0.073 20 0 IBADRN O=C1NCCN(S(=O)(=O)N2CCS(=O)(=O)CC2)[C@H]1c1c(F)cccc1Cl ZINC000462674886 1072470438 /nfs/dbraw/zinc/47/04/38/1072470438.db2.gz AGHVJIXCRVEUFV-ZDUSSCGKSA-N 0 0 425.891 -0.073 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)cn1 ZINC000462679003 1072470303 /nfs/dbraw/zinc/47/03/03/1072470303.db2.gz MPQPICUJSXXSFB-KRWDZBQOSA-N 0 0 436.490 -0.196 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)cn1 ZINC000462679004 1072470257 /nfs/dbraw/zinc/47/02/57/1072470257.db2.gz MPQPICUJSXXSFB-QGZVFWFLSA-N 0 0 436.490 -0.196 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000462681428 1072470414 /nfs/dbraw/zinc/47/04/14/1072470414.db2.gz RESZWPNZLQUJDC-UHFFFAOYSA-N 0 0 425.554 -0.415 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCc2cnc(N3CCOCC3)c(F)c2)n1)N1CCOCC1 ZINC000462682643 1073322820 /nfs/dbraw/zinc/32/28/20/1073322820.db2.gz XYKDHEGSUTVGGO-UHFFFAOYSA-N 0 0 447.471 0.434 20 0 IBADRN O=C([C@H](Cc1ccccc1)NS(=O)(=O)C1CCS(=O)(=O)CC1)N1CCOCC1 ZINC000462685280 1072470483 /nfs/dbraw/zinc/47/04/83/1072470483.db2.gz PHNOZSLAARLXLW-KRWDZBQOSA-N 0 0 430.548 -0.047 20 0 IBADRN O=C([C@@H](Cc1ccccc1)NS(=O)(=O)C1CCS(=O)(=O)CC1)N1CCOCC1 ZINC000462685283 1072470311 /nfs/dbraw/zinc/47/03/11/1072470311.db2.gz PHNOZSLAARLXLW-QGZVFWFLSA-N 0 0 430.548 -0.047 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2cccc(N3CCCS3(=O)=O)c2)c(=O)n(C)c1=O ZINC000462685722 1072470335 /nfs/dbraw/zinc/47/03/35/1072470335.db2.gz WWMWCTXKSCADFZ-UHFFFAOYSA-N 0 0 428.492 -0.898 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000462691559 1072471147 /nfs/dbraw/zinc/47/11/47/1072471147.db2.gz TVMKVEZDUTWHGM-GOSISDBHSA-N 0 0 429.539 -0.114 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000462691560 1072471039 /nfs/dbraw/zinc/47/10/39/1072471039.db2.gz TVMKVEZDUTWHGM-SFHVURJKSA-N 0 0 429.539 -0.114 20 0 IBADRN O=C([C@H](Cc1ccccc1)NS(=O)(=O)N1CCS(=O)(=O)CC1)N1CCOCC1 ZINC000462692159 1072471030 /nfs/dbraw/zinc/47/10/30/1072471030.db2.gz MCYTVJGDDJEEFQ-INIZCTEOSA-N 0 0 431.536 -0.979 20 0 IBADRN O=C([C@@H](Cc1ccccc1)NS(=O)(=O)N1CCS(=O)(=O)CC1)N1CCOCC1 ZINC000462692160 1072471051 /nfs/dbraw/zinc/47/10/51/1072471051.db2.gz MCYTVJGDDJEEFQ-MRXNPFEDSA-N 0 0 431.536 -0.979 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(CC(=O)OC)c1 ZINC000462692191 1072471106 /nfs/dbraw/zinc/47/11/06/1072471106.db2.gz NZIQLTZUHRZVEL-AWEZNQCLSA-N 0 0 436.556 -0.424 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(CC(=O)OC)c1 ZINC000462692192 1072471081 /nfs/dbraw/zinc/47/10/81/1072471081.db2.gz NZIQLTZUHRZVEL-CQSZACIVSA-N 0 0 436.556 -0.424 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1)N1CCCC1 ZINC000462692446 1072471115 /nfs/dbraw/zinc/47/11/15/1072471115.db2.gz NEXAKYQKNVAIQW-UHFFFAOYSA-N 0 0 446.529 -0.023 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC000462693603 1072471431 /nfs/dbraw/zinc/47/14/31/1072471431.db2.gz SNLNNYJAOBFXBN-UHFFFAOYSA-N 0 0 432.502 -0.367 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCNS(=O)(=O)CC ZINC000462693690 1072471459 /nfs/dbraw/zinc/47/14/59/1072471459.db2.gz YLEWNNAYTDEPDY-AWEZNQCLSA-N 0 0 433.618 -0.914 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCNS(=O)(=O)CC ZINC000462693691 1072471564 /nfs/dbraw/zinc/47/15/64/1072471564.db2.gz YLEWNNAYTDEPDY-CQSZACIVSA-N 0 0 433.618 -0.914 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1)N1CCOCC1 ZINC000462694742 1072471603 /nfs/dbraw/zinc/47/16/03/1072471603.db2.gz GPRLEPGWDBAART-UHFFFAOYSA-N 0 0 448.545 -0.313 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccnn3C)CC2)c1 ZINC000462696558 1072471583 /nfs/dbraw/zinc/47/15/83/1072471583.db2.gz LXCIWJRFLXLIAB-UHFFFAOYSA-N 0 0 434.521 -0.037 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC000462698096 1072471482 /nfs/dbraw/zinc/47/14/82/1072471482.db2.gz FHNWCLLQECLHPV-UHFFFAOYSA-N 0 0 434.518 -0.121 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000462701214 1072471625 /nfs/dbraw/zinc/47/16/25/1072471625.db2.gz JLZNWEIAWOVWCV-AWEZNQCLSA-N 0 0 427.508 -0.198 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000462701215 1072471520 /nfs/dbraw/zinc/47/15/20/1072471520.db2.gz JLZNWEIAWOVWCV-CQSZACIVSA-N 0 0 427.508 -0.198 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC000462704076 1072472243 /nfs/dbraw/zinc/47/22/43/1072472243.db2.gz SJLBRGYCKIWCRG-UHFFFAOYSA-N 0 0 448.545 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000462707353 1072472306 /nfs/dbraw/zinc/47/23/06/1072472306.db2.gz DJSXYIHFZSRWTB-AWEZNQCLSA-N 0 0 427.508 -0.198 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000462707354 1072472077 /nfs/dbraw/zinc/47/20/77/1072472077.db2.gz DJSXYIHFZSRWTB-CQSZACIVSA-N 0 0 427.508 -0.198 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)n1 ZINC000462708565 1072472276 /nfs/dbraw/zinc/47/22/76/1072472276.db2.gz ACWJVVNQPPLCCL-UHFFFAOYSA-N 0 0 428.471 -0.198 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)C1 ZINC000462709705 1072472934 /nfs/dbraw/zinc/47/29/34/1072472934.db2.gz KMCDPPQSJQCRPF-KBPBESRZSA-N 0 0 442.542 -0.310 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)C1 ZINC000462709706 1072472964 /nfs/dbraw/zinc/47/29/64/1072472964.db2.gz KMCDPPQSJQCRPF-OKILXGFUSA-N 0 0 442.542 -0.310 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)C1 ZINC000462709707 1072472879 /nfs/dbraw/zinc/47/28/79/1072472879.db2.gz KMCDPPQSJQCRPF-ZIAGYGMSSA-N 0 0 442.542 -0.310 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NS(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2=O)n1 ZINC000462709964 1072472137 /nfs/dbraw/zinc/47/21/37/1072472137.db2.gz VDDRAXWHRUDAKM-GFCCVEGCSA-N 0 0 433.450 -0.759 20 0 IBADRN Cn1ccc(N2CCC[C@H](NS(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2=O)n1 ZINC000462709965 1072472116 /nfs/dbraw/zinc/47/21/16/1072472116.db2.gz VDDRAXWHRUDAKM-LBPRGKRZSA-N 0 0 433.450 -0.759 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@@H]1CCCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000462718636 1072472792 /nfs/dbraw/zinc/47/27/92/1072472792.db2.gz KJJOMCXUNFPLFX-LLVKDONJSA-N 0 0 440.444 -0.105 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@H]1CCCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000462718637 1072472734 /nfs/dbraw/zinc/47/27/34/1072472734.db2.gz KJJOMCXUNFPLFX-NSHDSACASA-N 0 0 440.444 -0.105 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)n1 ZINC000462721432 1072472984 /nfs/dbraw/zinc/47/29/84/1072472984.db2.gz MKPTVPNAGZWGST-UHFFFAOYSA-N 0 0 443.916 -0.149 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)Nc2nc(CS(C)(=O)=O)no2)c(C)s1 ZINC000462723267 1072472859 /nfs/dbraw/zinc/47/28/59/1072472859.db2.gz SAMGLOLDKYBEDL-UHFFFAOYSA-N 0 0 437.525 -0.186 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)Nc1nc(CS(C)(=O)=O)no1 ZINC000462726414 1072472835 /nfs/dbraw/zinc/47/28/35/1072472835.db2.gz KCLCAQZCKFKQJY-ZDUSSCGKSA-N 0 0 425.467 -0.469 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)n1 ZINC000462727755 1072472942 /nfs/dbraw/zinc/47/29/42/1072472942.db2.gz PJKFCHYYKGDVTF-UHFFFAOYSA-N 0 0 441.491 -0.355 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000462728325 1072472765 /nfs/dbraw/zinc/47/27/65/1072472765.db2.gz SIAJTOMLPXQYLH-GFCCVEGCSA-N 0 0 428.515 -0.367 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000462728326 1072472921 /nfs/dbraw/zinc/47/29/21/1072472921.db2.gz SIAJTOMLPXQYLH-LBPRGKRZSA-N 0 0 428.515 -0.367 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000462732347 1072473436 /nfs/dbraw/zinc/47/34/36/1072473436.db2.gz YKFHTRMVVOFOEZ-UHFFFAOYSA-N 0 0 448.523 -0.979 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)NCCS(=O)(=O)N(C)C)C1 ZINC000462734063 1072473635 /nfs/dbraw/zinc/47/36/35/1072473635.db2.gz AEMOVWNJGXUZDQ-CYBMUJFWSA-N 0 0 430.531 -0.434 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)NCCS(=O)(=O)N(C)C)C1 ZINC000462734064 1072473596 /nfs/dbraw/zinc/47/35/96/1072473596.db2.gz AEMOVWNJGXUZDQ-ZDUSSCGKSA-N 0 0 430.531 -0.434 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000462744123 1072474260 /nfs/dbraw/zinc/47/42/60/1072474260.db2.gz YKHSPEWWWIKOTO-UHFFFAOYSA-N 0 0 429.518 -0.226 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000462744590 1072474187 /nfs/dbraw/zinc/47/41/87/1072474187.db2.gz YZWLBFGNPKDZCD-UHFFFAOYSA-N 0 0 446.551 -0.551 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000462745302 1072474305 /nfs/dbraw/zinc/47/43/05/1072474305.db2.gz ZAEMHWFTWGQPKS-UHFFFAOYSA-N 0 0 440.494 -0.128 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2cnc(N3CCOCC3)c(F)c2)cn1C ZINC000462746569 1072474366 /nfs/dbraw/zinc/47/43/66/1072474366.db2.gz QPBVXHMYARLBNL-UHFFFAOYSA-N 0 0 426.474 -0.306 20 0 IBADRN C[C@@H](O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000462747319 1072474165 /nfs/dbraw/zinc/47/41/65/1072474165.db2.gz CYXPYBMJOLJNSA-OAHLLOKOSA-N 0 0 427.523 -0.003 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000462752757 1072473535 /nfs/dbraw/zinc/47/35/35/1072473535.db2.gz IFNTZOSYZWIFBA-KRWDZBQOSA-N 0 0 435.500 -0.146 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000462752758 1072473666 /nfs/dbraw/zinc/47/36/66/1072473666.db2.gz IFNTZOSYZWIFBA-QGZVFWFLSA-N 0 0 435.500 -0.146 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000462752933 1072473502 /nfs/dbraw/zinc/47/35/02/1072473502.db2.gz BWRQQUFNFBGGET-GFCCVEGCSA-N 0 0 426.474 -0.226 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000462752934 1072473486 /nfs/dbraw/zinc/47/34/86/1072473486.db2.gz BWRQQUFNFBGGET-LBPRGKRZSA-N 0 0 426.474 -0.226 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000462756933 1072474868 /nfs/dbraw/zinc/47/48/68/1072474868.db2.gz WLKYLAIQFOOYAG-UHFFFAOYSA-N 0 0 445.517 -0.492 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N(C)C[C@@H](C)O)c(=O)n2Cc1ccccc1 ZINC000462757382 1072474763 /nfs/dbraw/zinc/47/47/63/1072474763.db2.gz SUEYTFPPZJBTDK-OAHLLOKOSA-N 0 0 429.477 -0.106 20 0 IBADRN O=C(Cc1ccc(O)cc1)NCC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000462777573 1072475575 /nfs/dbraw/zinc/47/55/75/1072475575.db2.gz NAYFDVBYONRWAS-KRWDZBQOSA-N 0 0 425.507 -0.296 20 0 IBADRN O=C(Cc1ccc(O)cc1)NCC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000462777579 1072475513 /nfs/dbraw/zinc/47/55/13/1072475513.db2.gz NAYFDVBYONRWAS-QGZVFWFLSA-N 0 0 425.507 -0.296 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CNC(=O)Cc3ccc(O)cc3)CC2)cn1C ZINC000462783306 1072475466 /nfs/dbraw/zinc/47/54/66/1072475466.db2.gz MBXVNXWSZNCZII-UHFFFAOYSA-N 0 0 435.506 -0.374 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CNC(=O)Cc2ccc(O)cc2)CC1 ZINC000462783851 1072475581 /nfs/dbraw/zinc/47/55/81/1072475581.db2.gz WWRQWGBLRMFAGX-UHFFFAOYSA-N 0 0 427.523 -0.050 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N(C)C[C@H](C)O)c(=O)n2Cc1ccccc1 ZINC000462791870 1072475531 /nfs/dbraw/zinc/47/55/31/1072475531.db2.gz SUEYTFPPZJBTDK-HNNXBMFYSA-N 0 0 429.477 -0.106 20 0 IBADRN C[C@H](O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000462792151 1072475618 /nfs/dbraw/zinc/47/56/18/1072475618.db2.gz CYXPYBMJOLJNSA-HNNXBMFYSA-N 0 0 427.523 -0.003 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2cccc(N3CCCS3(=O)=O)c2)cn1 ZINC000462801347 1072476163 /nfs/dbraw/zinc/47/61/63/1072476163.db2.gz GKOARVPANSQHQN-UHFFFAOYSA-N 0 0 427.508 -0.445 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCc2cccc(N3CCCS3(=O)=O)c2)c1 ZINC000462802450 1072476033 /nfs/dbraw/zinc/47/60/33/1072476033.db2.gz GOHDBBVSIJUAQM-UHFFFAOYSA-N 0 0 427.508 -0.223 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000462806970 1072476112 /nfs/dbraw/zinc/47/61/12/1072476112.db2.gz RCUNEBGBINYETA-CYBMUJFWSA-N 0 0 441.535 -0.057 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000462806971 1072476154 /nfs/dbraw/zinc/47/61/54/1072476154.db2.gz RCUNEBGBINYETA-ZDUSSCGKSA-N 0 0 441.535 -0.057 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2cccc(N3CCCS3(=O)=O)c2)cn1C ZINC000462807317 1072476141 /nfs/dbraw/zinc/47/61/41/1072476141.db2.gz AKMLNPGNWZRKJB-UHFFFAOYSA-N 0 0 441.535 -0.137 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000462814228 1072476230 /nfs/dbraw/zinc/47/62/30/1072476230.db2.gz OVGIIEOPNCSCNN-UHFFFAOYSA-N 0 0 430.552 -0.580 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000462921301 1072480214 /nfs/dbraw/zinc/48/02/14/1072480214.db2.gz FNHMJTPMJOKCKD-UHFFFAOYSA-N 0 0 434.428 0.222 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC(=O)N(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000462927915 1072480299 /nfs/dbraw/zinc/48/02/99/1072480299.db2.gz UDCBJQXEDKRDDX-UHFFFAOYSA-N 0 0 440.478 -0.697 20 0 IBADRN CN1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000462928303 1072480114 /nfs/dbraw/zinc/48/01/14/1072480114.db2.gz JCBJCPGYGCGBPE-UHFFFAOYSA-N 0 0 430.870 -0.443 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000462933882 1072480130 /nfs/dbraw/zinc/48/01/30/1072480130.db2.gz XNANKSOJDYGUJF-UHFFFAOYSA-N 0 0 449.489 0.392 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)Nc1cn(C)nn1)c(=O)n2Cc1ccccc1 ZINC000462934190 1072480180 /nfs/dbraw/zinc/48/01/80/1072480180.db2.gz DEZHFWATGYYOJR-UHFFFAOYSA-N 0 0 438.448 -0.178 20 0 IBADRN Cn1cc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)nn1 ZINC000462936421 1072480663 /nfs/dbraw/zinc/48/06/63/1072480663.db2.gz WHOFBFSACGNMTG-UHFFFAOYSA-N 0 0 436.494 -0.075 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(=O)N(C)C1 ZINC000462936990 1072480875 /nfs/dbraw/zinc/48/08/75/1072480875.db2.gz WEXMNLSZOUNSDT-UHFFFAOYSA-N 0 0 440.478 -0.697 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3cccc(F)c3)C(=O)C2)cn1 ZINC000462939525 1072480707 /nfs/dbraw/zinc/48/07/07/1072480707.db2.gz GDAUNOZGZFBVFM-UHFFFAOYSA-N 0 0 446.439 -0.401 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2CC(=O)N(C)C2)c1 ZINC000462940793 1072480827 /nfs/dbraw/zinc/48/08/27/1072480827.db2.gz PFPNYSSUOBYXNG-UHFFFAOYSA-N 0 0 425.511 -0.020 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)Nc1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000462950493 1072481464 /nfs/dbraw/zinc/48/14/64/1072481464.db2.gz DGQAMUHICQDZFF-UHFFFAOYSA-N 0 0 447.517 -0.202 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000462951258 1072481363 /nfs/dbraw/zinc/48/13/63/1072481363.db2.gz GJMWOTMTPSEAFL-UHFFFAOYSA-N 0 0 444.491 -0.239 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(c3ccccc3)C(=O)C2)cn1)N1CCOCC1 ZINC000462955768 1072481482 /nfs/dbraw/zinc/48/14/82/1072481482.db2.gz DYMKVHYZHBBMPC-UHFFFAOYSA-N 0 0 440.460 -0.444 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)N1CCN(S(=O)(=O)Cc3ccccc3)CC1)CC2 ZINC000462956717 1072481543 /nfs/dbraw/zinc/48/15/43/1072481543.db2.gz HQQYJDRCJGXGKX-UHFFFAOYSA-N 0 0 445.545 -0.187 20 0 IBADRN COC(=O)c1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n(C)c1C ZINC000462959291 1072481391 /nfs/dbraw/zinc/48/13/91/1072481391.db2.gz UIIJYJQAAQAHQK-HNNXBMFYSA-N 0 0 426.539 -0.459 20 0 IBADRN COC(=O)c1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n(C)c1C ZINC000462959293 1072481447 /nfs/dbraw/zinc/48/14/47/1072481447.db2.gz UIIJYJQAAQAHQK-OAHLLOKOSA-N 0 0 426.539 -0.459 20 0 IBADRN Cn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)NCCOc1cccc(F)c1 ZINC000462960693 1072482195 /nfs/dbraw/zinc/48/21/95/1072482195.db2.gz WEQUDMRMOWZIFH-UHFFFAOYSA-N 0 0 427.458 -0.240 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)Nc1cccc(S(=O)(=O)N3CCOCC3)c1)CC2 ZINC000462960992 1072482020 /nfs/dbraw/zinc/48/20/20/1072482020.db2.gz BYAHYRZMXVKZCN-UHFFFAOYSA-N 0 0 447.517 -0.202 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(c2ccccc2)C(=O)C1 ZINC000462961138 1073334094 /nfs/dbraw/zinc/33/40/94/1073334094.db2.gz YRUWRXHJZHXKIF-UHFFFAOYSA-N 0 0 426.477 0.029 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(c2cccc(F)c2)C(=O)C1 ZINC000462962042 1073333873 /nfs/dbraw/zinc/33/38/73/1073333873.db2.gz HLNKBBMYUVPRIE-UHFFFAOYSA-N 0 0 444.467 0.168 20 0 IBADRN Cn1c(N2CCOCC2)nnc1S(=O)(=O)CCOc1ccc(S(C)(=O)=O)cc1 ZINC000462964600 1072482102 /nfs/dbraw/zinc/48/21/02/1072482102.db2.gz JODHBAFQIXNMPZ-UHFFFAOYSA-N 0 0 430.508 -0.092 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccccc3)C(=O)C2)cn1 ZINC000462965794 1072482136 /nfs/dbraw/zinc/48/21/36/1072482136.db2.gz FQTLUSUVMROEGP-UHFFFAOYSA-N 0 0 428.449 -0.540 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(c2cccc(F)c2)C(=O)C1 ZINC000462966701 1072482065 /nfs/dbraw/zinc/48/20/65/1072482065.db2.gz OPPFPTNRLVPMHB-UHFFFAOYSA-N 0 0 440.435 -0.029 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(c4ccccc4)C(=O)C3)cnc2n(C)c1=O ZINC000462968541 1072482003 /nfs/dbraw/zinc/48/20/03/1072482003.db2.gz TVAZLWMZRLTYML-UHFFFAOYSA-N 0 0 436.428 -0.554 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)N1CCN(S(=O)(=O)c3ccccc3F)CC1)CC2 ZINC000462970165 1072482119 /nfs/dbraw/zinc/48/21/19/1072482119.db2.gz DJSQNUJMFHBMTJ-UHFFFAOYSA-N 0 0 449.508 -0.189 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)c1c(N)n(Cc3ccccc3)c(=O)n(C)c1=O)CC2 ZINC000462970275 1072482034 /nfs/dbraw/zinc/48/20/34/1072482034.db2.gz FBTALKXGZMLRLG-UHFFFAOYSA-N 0 0 436.472 -0.488 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cccc(F)c3)C(=O)C2)c1 ZINC000462974288 1072481992 /nfs/dbraw/zinc/48/19/92/1072481992.db2.gz ULQLJGWFDLKVPN-UHFFFAOYSA-N 0 0 441.419 -0.145 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)N1CCN(S(=O)(=O)c3ccc(F)cc3)CC1)CC2 ZINC000462974849 1072482204 /nfs/dbraw/zinc/48/22/04/1072482204.db2.gz SJHQPWOBTQYFDB-UHFFFAOYSA-N 0 0 449.508 -0.189 20 0 IBADRN CCn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)NCc1ccc2c(c1)OCO2 ZINC000462974924 1072482150 /nfs/dbraw/zinc/48/21/50/1072482150.db2.gz SWQTVYITQUJMSH-UHFFFAOYSA-N 0 0 437.478 -0.047 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)NCc1ccc(C(=O)N3CCOCC3)cc1)CC2 ZINC000462975581 1072481960 /nfs/dbraw/zinc/48/19/60/1072481960.db2.gz YHCXIBDYEWEYQK-UHFFFAOYSA-N 0 0 425.489 -0.073 20 0 IBADRN Cn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000462976874 1072482604 /nfs/dbraw/zinc/48/26/04/1072482604.db2.gz MKQNFOZVSWRZKV-CYBMUJFWSA-N 0 0 437.478 -0.618 20 0 IBADRN Cn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000462976875 1072482567 /nfs/dbraw/zinc/48/25/67/1072482567.db2.gz MKQNFOZVSWRZKV-ZDUSSCGKSA-N 0 0 437.478 -0.618 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCc3nn(C)c(=O)cc3C2)c(=O)[nH]c1=O ZINC000462976991 1072482837 /nfs/dbraw/zinc/48/28/37/1072482837.db2.gz CZFYNQWYMWJBEB-UHFFFAOYSA-N 0 0 431.497 -0.164 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)N1CCN(S(=O)(=O)c3cccc(F)c3)CC1)CC2 ZINC000462977175 1072482163 /nfs/dbraw/zinc/48/21/63/1072482163.db2.gz OFFJUYMFQRNHNO-UHFFFAOYSA-N 0 0 449.508 -0.189 20 0 IBADRN Cn1nc2c(cc1=O)CN(CC(=O)N1CCN(S(=O)(=O)c3ccccc3)CC1)CC2 ZINC000462978777 1072482807 /nfs/dbraw/zinc/48/28/07/1072482807.db2.gz WFWQDSMTNAMZEB-UHFFFAOYSA-N 0 0 431.518 -0.329 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000462996212 1072482695 /nfs/dbraw/zinc/48/26/95/1072482695.db2.gz VUKFTIYYKZJURN-GOSISDBHSA-N 0 0 429.521 -0.262 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000462996213 1072482613 /nfs/dbraw/zinc/48/26/13/1072482613.db2.gz VUKFTIYYKZJURN-SFHVURJKSA-N 0 0 429.521 -0.262 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000462996656 1072482795 /nfs/dbraw/zinc/48/27/95/1072482795.db2.gz XWBCIFDHIOPJDR-DOTOQJQBSA-N 0 0 434.518 -0.408 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000462996657 1072482585 /nfs/dbraw/zinc/48/25/85/1072482585.db2.gz XWBCIFDHIOPJDR-NVXWUHKLSA-N 0 0 434.518 -0.408 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000462996658 1072482672 /nfs/dbraw/zinc/48/26/72/1072482672.db2.gz XWBCIFDHIOPJDR-RDJZCZTQSA-N 0 0 434.518 -0.408 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000462996660 1072482766 /nfs/dbraw/zinc/48/27/66/1072482766.db2.gz XWBCIFDHIOPJDR-WBVHZDCISA-N 0 0 434.518 -0.408 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CNC(=O)Cc2ccc(O)cc2)c1 ZINC000462999066 1072482680 /nfs/dbraw/zinc/48/26/80/1072482680.db2.gz WPXPHGKDCBPPIC-UHFFFAOYSA-N 0 0 434.474 -0.286 20 0 IBADRN CN(C(=O)CNC(=O)Cc1ccc(O)cc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000463003022 1072482639 /nfs/dbraw/zinc/48/26/39/1072482639.db2.gz UHXQIIOTVXNYHK-UHFFFAOYSA-N 0 0 445.564 -0.252 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)cc1 ZINC000463009418 1072482781 /nfs/dbraw/zinc/48/27/81/1072482781.db2.gz JHENSOKJMOUECQ-UHFFFAOYSA-N 0 0 431.474 -0.157 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2cccc(CN3CCNC(=O)C3)c2)cn1C ZINC000463009717 1072482709 /nfs/dbraw/zinc/48/27/09/1072482709.db2.gz JMTOUUFVLWMSRZ-UHFFFAOYSA-N 0 0 434.522 -0.033 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000463010254 1072482751 /nfs/dbraw/zinc/48/27/51/1072482751.db2.gz LHXDVECFJJETAK-UHFFFAOYSA-N 0 0 449.533 -0.141 20 0 IBADRN Cn1c2[nH]c(CCC(=O)Nc3cccc(CN4CCNC(=O)C4)c3)nc2c(=O)[nH]c1=O ZINC000463016668 1072483311 /nfs/dbraw/zinc/48/33/11/1072483311.db2.gz YGBYTIHXAXDZRD-UHFFFAOYSA-N 0 0 425.449 -0.135 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(c2cccc(F)c2)C(=O)C1 ZINC000463026159 1072483421 /nfs/dbraw/zinc/48/34/21/1072483421.db2.gz ZKJOWLIKFZMCKR-UHFFFAOYSA-N 0 0 428.486 -0.165 20 0 IBADRN Cn1cnc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)n1 ZINC000463071093 1072484595 /nfs/dbraw/zinc/48/45/95/1072484595.db2.gz QJPMURVILBKACL-UHFFFAOYSA-N 0 0 436.494 -0.075 20 0 IBADRN CN(CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1COC[C@H]1O ZINC000463073182 1072484650 /nfs/dbraw/zinc/48/46/50/1072484650.db2.gz YDMNFOIXYSUJAJ-HUUCEWRRSA-N 0 0 433.914 -0.009 20 0 IBADRN CN(CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1COC[C@H]1O ZINC000463073189 1072484695 /nfs/dbraw/zinc/48/46/95/1072484695.db2.gz YDMNFOIXYSUJAJ-LSDHHAIUSA-N 0 0 433.914 -0.009 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C[NH+](C)C)CC2)cc([N-]S(C)(=O)=O)c1OC ZINC000463086575 1072484565 /nfs/dbraw/zinc/48/45/65/1072484565.db2.gz IHOVLIZVZPVCTK-UHFFFAOYSA-N 0 0 428.511 -0.079 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cc4ccccc4[nH]3)CC2)CC1 ZINC000463102060 1072487242 /nfs/dbraw/zinc/48/72/42/1072487242.db2.gz AUHBOXAMYURWSH-UHFFFAOYSA-N 0 0 448.549 -0.466 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)c3cn(CC(N)=O)nn3)CC2)c1 ZINC000463102622 1072487397 /nfs/dbraw/zinc/48/73/97/1072487397.db2.gz QXIUIYSGNNELIR-UHFFFAOYSA-N 0 0 443.489 -0.233 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)c1 ZINC000463107411 1072486179 /nfs/dbraw/zinc/48/61/79/1072486179.db2.gz CWEPMXISZMDROV-UHFFFAOYSA-N 0 0 425.488 -0.216 20 0 IBADRN CC(=O)N1CCC(CCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000463107448 1072486355 /nfs/dbraw/zinc/48/63/55/1072486355.db2.gz SZLKUFUWAFAQIM-UHFFFAOYSA-N 0 0 429.587 -0.339 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)n1nnc(-c2ccccc2)n1 ZINC000463107592 1072486263 /nfs/dbraw/zinc/48/62/63/1072486263.db2.gz UOFPGPABBISWHL-INIZCTEOSA-N 0 0 448.553 -0.463 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)n1nnc(-c2ccccc2)n1 ZINC000463107604 1072486294 /nfs/dbraw/zinc/48/62/94/1072486294.db2.gz UOFPGPABBISWHL-MRXNPFEDSA-N 0 0 448.553 -0.463 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccccc3Cn3cncn3)CC2)CC1 ZINC000463107694 1072486115 /nfs/dbraw/zinc/48/61/15/1072486115.db2.gz UQQZWRRAJYVPOQ-UHFFFAOYSA-N 0 0 433.538 -0.424 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cn(Cc4cccs4)nn3)CC2)CC1 ZINC000463109895 1072486849 /nfs/dbraw/zinc/48/68/49/1072486849.db2.gz ZWXCLQXESLJSHN-UHFFFAOYSA-N 0 0 439.567 -0.362 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)c1 ZINC000463119535 1072488623 /nfs/dbraw/zinc/48/86/23/1072488623.db2.gz JVOYDUSKVZGOBL-KRWDZBQOSA-N 0 0 435.506 -0.493 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)c1 ZINC000463119536 1072488613 /nfs/dbraw/zinc/48/86/13/1072488613.db2.gz JVOYDUSKVZGOBL-QGZVFWFLSA-N 0 0 435.506 -0.493 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)cn1C ZINC000463120209 1072489204 /nfs/dbraw/zinc/48/92/04/1072489204.db2.gz OSJOCZGVVWDAFG-KRWDZBQOSA-N 0 0 449.533 -0.407 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)cn1C ZINC000463120210 1072489191 /nfs/dbraw/zinc/48/91/91/1072489191.db2.gz OSJOCZGVVWDAFG-QGZVFWFLSA-N 0 0 449.533 -0.407 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463120520 1072489098 /nfs/dbraw/zinc/48/90/98/1072489098.db2.gz QEZNTNUORXMOOM-KRWDZBQOSA-N 0 0 427.523 -0.471 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463120521 1072489184 /nfs/dbraw/zinc/48/91/84/1072489184.db2.gz QEZNTNUORXMOOM-QGZVFWFLSA-N 0 0 427.523 -0.471 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463121641 1072489786 /nfs/dbraw/zinc/48/97/86/1072489786.db2.gz YDBOZJWZINFXBQ-GOSISDBHSA-N 0 0 441.550 -0.083 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463121642 1072489743 /nfs/dbraw/zinc/48/97/43/1072489743.db2.gz YDBOZJWZINFXBQ-SFHVURJKSA-N 0 0 441.550 -0.083 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463123176 1072489769 /nfs/dbraw/zinc/48/97/69/1072489769.db2.gz AXOCIZXAWUCSGR-CRAIPNDOSA-N 0 0 449.533 -0.327 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463123177 1072489650 /nfs/dbraw/zinc/48/96/50/1072489650.db2.gz AXOCIZXAWUCSGR-MAUKXSAKSA-N 0 0 449.533 -0.327 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463123178 1072489711 /nfs/dbraw/zinc/48/97/11/1072489711.db2.gz AXOCIZXAWUCSGR-QAPCUYQASA-N 0 0 449.533 -0.327 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463123179 1072489661 /nfs/dbraw/zinc/48/96/61/1072489661.db2.gz AXOCIZXAWUCSGR-YJBOKZPZSA-N 0 0 449.533 -0.327 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)cn1 ZINC000463124000 1072487410 /nfs/dbraw/zinc/48/74/10/1072487410.db2.gz LSJVTSQWWMEHFH-KRWDZBQOSA-N 0 0 435.506 -0.715 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)cn1 ZINC000463124001 1072487269 /nfs/dbraw/zinc/48/72/69/1072487269.db2.gz LSJVTSQWWMEHFH-QGZVFWFLSA-N 0 0 435.506 -0.715 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000463138446 1072491546 /nfs/dbraw/zinc/49/15/46/1072491546.db2.gz XGUBIUPBGDXGAH-UHFFFAOYSA-N 0 0 432.506 -0.712 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)CC1 ZINC000463151281 1072492662 /nfs/dbraw/zinc/49/26/62/1072492662.db2.gz YYLRQSTVWMKSKJ-IBGZPJMESA-N 0 0 431.537 -0.034 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)CC1 ZINC000463151286 1072492822 /nfs/dbraw/zinc/49/28/22/1072492822.db2.gz YYLRQSTVWMKSKJ-LJQANCHMSA-N 0 0 431.537 -0.034 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)CC1 ZINC000463155208 1072492691 /nfs/dbraw/zinc/49/26/91/1072492691.db2.gz HRQLKRPPLRXXBB-IBGZPJMESA-N 0 0 431.537 -0.080 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)CC1 ZINC000463155209 1072492812 /nfs/dbraw/zinc/49/28/12/1072492812.db2.gz HRQLKRPPLRXXBB-LJQANCHMSA-N 0 0 431.537 -0.080 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1 ZINC000463161262 1072491969 /nfs/dbraw/zinc/49/19/69/1072491969.db2.gz MJAABFRJAPGUJQ-DZGCQCFKSA-N 0 0 425.507 -0.051 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1 ZINC000463161263 1072492070 /nfs/dbraw/zinc/49/20/70/1072492070.db2.gz MJAABFRJAPGUJQ-HIFRSBDPSA-N 0 0 425.507 -0.051 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1 ZINC000463161264 1072492094 /nfs/dbraw/zinc/49/20/94/1072492094.db2.gz MJAABFRJAPGUJQ-UKRRQHHQSA-N 0 0 425.507 -0.051 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1 ZINC000463161265 1072492075 /nfs/dbraw/zinc/49/20/75/1072492075.db2.gz MJAABFRJAPGUJQ-ZFWWWQNUSA-N 0 0 425.507 -0.051 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@]2(N3CCOCC3)CCSC2)cc1 ZINC000463174471 1072492715 /nfs/dbraw/zinc/49/27/15/1072492715.db2.gz UJIUNICJOHLVMA-GOSISDBHSA-N 0 0 427.548 -0.117 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)cc1 ZINC000463174472 1072492709 /nfs/dbraw/zinc/49/27/09/1072492709.db2.gz UJIUNICJOHLVMA-SFHVURJKSA-N 0 0 427.548 -0.117 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N2CCN(Cc3ccc(OC)cc3)CC2)CC1 ZINC000463190365 1072493383 /nfs/dbraw/zinc/49/33/83/1072493383.db2.gz CSELJCCKLZKVEA-UHFFFAOYSA-N 0 0 439.582 -0.142 20 0 IBADRN CS(=O)(=O)NCCN(CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)C1CC1 ZINC000463190513 1072493222 /nfs/dbraw/zinc/49/32/22/1072493222.db2.gz DNDCAEXKAWEVEF-UHFFFAOYSA-N 0 0 438.550 -0.211 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000463195006 1072494211 /nfs/dbraw/zinc/49/42/11/1072494211.db2.gz UQUBBFAXBFMOLK-CHWSQXEVSA-N 0 0 447.583 -0.582 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000463195007 1072494069 /nfs/dbraw/zinc/49/40/69/1072494069.db2.gz UQUBBFAXBFMOLK-OLZOCXBDSA-N 0 0 447.583 -0.582 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000463195008 1072494234 /nfs/dbraw/zinc/49/42/34/1072494234.db2.gz UQUBBFAXBFMOLK-QWHCGFSZSA-N 0 0 447.583 -0.582 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000463195009 1072494022 /nfs/dbraw/zinc/49/40/22/1072494022.db2.gz UQUBBFAXBFMOLK-STQMWFEESA-N 0 0 447.583 -0.582 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(CCNS(C)(=O)=O)C1CC1 ZINC000463195547 1072494051 /nfs/dbraw/zinc/49/40/51/1072494051.db2.gz YIQFYRQMPBGCBF-GFCCVEGCSA-N 0 0 430.552 -0.375 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(CCNS(C)(=O)=O)C1CC1 ZINC000463195548 1072494086 /nfs/dbraw/zinc/49/40/86/1072494086.db2.gz YIQFYRQMPBGCBF-LBPRGKRZSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000463195929 1072494162 /nfs/dbraw/zinc/49/41/62/1072494162.db2.gz AENZEFDQXUJOKN-UHFFFAOYSA-N 0 0 437.566 -0.057 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2cc(-n3nnnc3C)ccc2F)CC1 ZINC000463196130 1072493980 /nfs/dbraw/zinc/49/39/80/1072493980.db2.gz IXCZKLWGPYTDIU-UHFFFAOYSA-N 0 0 426.478 -0.433 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N2CCC(C(=O)NCCC(C)C)CC2)CC1 ZINC000463196357 1072494184 /nfs/dbraw/zinc/49/41/84/1072494184.db2.gz KMUUZZGGGPUZIL-UHFFFAOYSA-N 0 0 431.603 -0.095 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NCc2c(OC)cc(OC)cc2OC)CC1 ZINC000463198456 1072494775 /nfs/dbraw/zinc/49/47/75/1072494775.db2.gz VVGOKWOVEASQEK-UHFFFAOYSA-N 0 0 430.527 -0.153 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000463199421 1072496815 /nfs/dbraw/zinc/49/68/15/1072496815.db2.gz PROZOUWHWGRUNR-UHFFFAOYSA-N 0 0 429.568 -0.449 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N(C)CC(=O)Nc2cccc(OC)c2)CC1 ZINC000463199774 1072496619 /nfs/dbraw/zinc/49/66/19/1072496619.db2.gz DORDCFLZUSQSLC-UHFFFAOYSA-N 0 0 427.527 -0.390 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000463200489 1072496722 /nfs/dbraw/zinc/49/67/22/1072496722.db2.gz BQLZPVYPGAMRHF-UHFFFAOYSA-N 0 0 433.556 -0.606 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N2CCC(NC(=O)c3ccccc3)CC2)CC1 ZINC000463201740 1072497236 /nfs/dbraw/zinc/49/72/36/1072497236.db2.gz FKBCHOAUGYDRPC-UHFFFAOYSA-N 0 0 437.566 -0.074 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463202359 1072497341 /nfs/dbraw/zinc/49/73/41/1072497341.db2.gz YIDXCIXKLLIBMB-KRWDZBQOSA-N 0 0 426.477 -0.024 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463202360 1072497289 /nfs/dbraw/zinc/49/72/89/1072497289.db2.gz YIDXCIXKLLIBMB-QGZVFWFLSA-N 0 0 426.477 -0.024 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@@H](C)C(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000463202560 1072497170 /nfs/dbraw/zinc/49/71/70/1072497170.db2.gz HTIOFZZKORUUMG-AWEZNQCLSA-N 0 0 427.527 -0.529 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@H](C)C(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000463202561 1072497194 /nfs/dbraw/zinc/49/71/94/1072497194.db2.gz HTIOFZZKORUUMG-CQSZACIVSA-N 0 0 427.527 -0.529 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)N3C[C@@H](O)C[C@H]3CO)cc2)CC1 ZINC000463204112 1072494708 /nfs/dbraw/zinc/49/47/08/1072494708.db2.gz REIRDZNBPUOWRM-PMACEKPBSA-N 0 0 434.537 -0.091 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)N3C[C@@H](O)C[C@@H]3CO)cc2)CC1 ZINC000463204113 1072494813 /nfs/dbraw/zinc/49/48/13/1072494813.db2.gz REIRDZNBPUOWRM-UXHICEINSA-N 0 0 434.537 -0.091 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)N3C[C@H](O)C[C@H]3CO)cc2)CC1 ZINC000463204114 1072494735 /nfs/dbraw/zinc/49/47/35/1072494735.db2.gz REIRDZNBPUOWRM-VQTJNVASSA-N 0 0 434.537 -0.091 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)N3C[C@H](O)C[C@@H]3CO)cc2)CC1 ZINC000463204115 1072494664 /nfs/dbraw/zinc/49/46/64/1072494664.db2.gz REIRDZNBPUOWRM-WOJBJXKFSA-N 0 0 434.537 -0.091 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCC(NS(=O)(=O)NC)CC1 ZINC000463204629 1072494854 /nfs/dbraw/zinc/49/48/54/1072494854.db2.gz RVGPWUVQGVJQKA-UHFFFAOYSA-N 0 0 431.584 -0.269 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NCc2cc(OC)c(OC)cc2OC)CC1 ZINC000463205441 1072495209 /nfs/dbraw/zinc/49/52/09/1072495209.db2.gz PQYIIJMLDLJPMP-UHFFFAOYSA-N 0 0 430.527 -0.153 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)CC1 ZINC000463205680 1072495483 /nfs/dbraw/zinc/49/54/83/1072495483.db2.gz WCIBSWMGDUXFEM-UHFFFAOYSA-N 0 0 433.556 -0.640 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1 ZINC000463205948 1072495464 /nfs/dbraw/zinc/49/54/64/1072495464.db2.gz WIYRXDUWPVJOSI-GFCCVEGCSA-N 0 0 445.567 -0.870 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1 ZINC000463205949 1072495359 /nfs/dbraw/zinc/49/53/59/1072495359.db2.gz WIYRXDUWPVJOSI-LBPRGKRZSA-N 0 0 445.567 -0.870 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000463206450 1072495272 /nfs/dbraw/zinc/49/52/72/1072495272.db2.gz YNDHMCPBZLVVON-UHFFFAOYSA-N 0 0 439.582 -0.347 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN(CCNS(C)(=O)=O)C2CC2)CC1 ZINC000463206786 1072495314 /nfs/dbraw/zinc/49/53/14/1072495314.db2.gz SFBXJKOTHSKHOX-UHFFFAOYSA-N 0 0 436.542 -0.975 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NCc2cc(OC)c(OC)c(OC)c2)CC1 ZINC000463208066 1072496108 /nfs/dbraw/zinc/49/61/08/1072496108.db2.gz VZMUGHCMELRQNW-UHFFFAOYSA-N 0 0 430.527 -0.153 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NCC(=O)N[C@H](C)c2ccc(F)cc2)CC1 ZINC000463208526 1072495928 /nfs/dbraw/zinc/49/59/28/1072495928.db2.gz FYIGATSQRVUODZ-CYBMUJFWSA-N 0 0 429.518 -0.363 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NCC(=O)N[C@@H](C)c2ccc(F)cc2)CC1 ZINC000463208527 1072495901 /nfs/dbraw/zinc/49/59/01/1072495901.db2.gz FYIGATSQRVUODZ-ZDUSSCGKSA-N 0 0 429.518 -0.363 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)CC1 ZINC000463209687 1072495841 /nfs/dbraw/zinc/49/58/41/1072495841.db2.gz LAMBHKKSSHCJGP-UHFFFAOYSA-N 0 0 439.947 -0.556 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)CC1 ZINC000463210273 1072495918 /nfs/dbraw/zinc/49/59/18/1072495918.db2.gz MWJRUQCJKJTUMU-GOSISDBHSA-N 0 0 438.550 -0.850 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)CC1 ZINC000463210281 1072495888 /nfs/dbraw/zinc/49/58/88/1072495888.db2.gz MWJRUQCJKJTUMU-SFHVURJKSA-N 0 0 438.550 -0.850 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@@H](C)C(=O)N2CCN(c3ccccc3O)CC2)CC1 ZINC000463210389 1072495983 /nfs/dbraw/zinc/49/59/83/1072495983.db2.gz NDWMJXBIZIFOTR-HNNXBMFYSA-N 0 0 425.555 -0.053 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@H](C)C(=O)N2CCN(c3ccccc3O)CC2)CC1 ZINC000463210393 1072495933 /nfs/dbraw/zinc/49/59/33/1072495933.db2.gz NDWMJXBIZIFOTR-OAHLLOKOSA-N 0 0 425.555 -0.053 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@H](C)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000463211615 1072496033 /nfs/dbraw/zinc/49/60/33/1072496033.db2.gz JHZONDAALBEIMJ-CYBMUJFWSA-N 0 0 447.583 -0.218 20 0 IBADRN CNS(=O)(=O)NC1CCN([C@@H](C)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000463211620 1072495854 /nfs/dbraw/zinc/49/58/54/1072495854.db2.gz JHZONDAALBEIMJ-ZDUSSCGKSA-N 0 0 447.583 -0.218 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000463211709 1072496601 /nfs/dbraw/zinc/49/66/01/1072496601.db2.gz QOEFVTZCMBRQGE-UHFFFAOYSA-N 0 0 427.483 -0.376 20 0 IBADRN COC(=O)[C@@H](CC(F)(F)F)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000463212330 1072496647 /nfs/dbraw/zinc/49/66/47/1072496647.db2.gz SRXMMSDONZGZON-MRVPVSSYSA-N 0 0 425.341 -0.148 20 0 IBADRN COC(=O)[C@H](CC(F)(F)F)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000463212332 1072496828 /nfs/dbraw/zinc/49/68/28/1072496828.db2.gz SRXMMSDONZGZON-QMMMGPOBSA-N 0 0 425.341 -0.148 20 0 IBADRN CS(=O)(=O)NCCN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C1CC1 ZINC000463213327 1072496592 /nfs/dbraw/zinc/49/65/92/1072496592.db2.gz XBRQWFLEDHMBBP-UHFFFAOYSA-N 0 0 444.579 -0.467 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2Cl)CC1 ZINC000463213359 1072496637 /nfs/dbraw/zinc/49/66/37/1072496637.db2.gz XITFFISDLBBLCF-UHFFFAOYSA-N 0 0 428.906 -0.228 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)CC1 ZINC000463214751 1072496735 /nfs/dbraw/zinc/49/67/35/1072496735.db2.gz MBONGLQJXXPITC-UHFFFAOYSA-N 0 0 438.554 -0.119 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)Nc2ccc(CN3CCOCC3)cc2)CC1 ZINC000463216925 1072497816 /nfs/dbraw/zinc/49/78/16/1072497816.db2.gz ZQAOZSQRXUNTOU-UHFFFAOYSA-N 0 0 425.555 -0.025 20 0 IBADRN Cn1c(=O)c(C(=O)CN(CCNS(C)(=O)=O)C2CC2)c(N)n(Cc2ccccc2)c1=O ZINC000463218128 1072498013 /nfs/dbraw/zinc/49/80/13/1072498013.db2.gz IUCHDIMEKYQCBX-UHFFFAOYSA-N 0 0 449.533 -0.626 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000463220842 1072497901 /nfs/dbraw/zinc/49/79/01/1072497901.db2.gz ITSNCXWEMNQQIN-CABCVRRESA-N 0 0 439.581 -0.255 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000463220894 1072497941 /nfs/dbraw/zinc/49/79/41/1072497941.db2.gz ITSNCXWEMNQQIN-GJZGRUSLSA-N 0 0 439.581 -0.255 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000463220895 1072498074 /nfs/dbraw/zinc/49/80/74/1072498074.db2.gz ITSNCXWEMNQQIN-HUUCEWRRSA-N 0 0 439.581 -0.255 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000463220896 1072498042 /nfs/dbraw/zinc/49/80/42/1072498042.db2.gz ITSNCXWEMNQQIN-LSDHHAIUSA-N 0 0 439.581 -0.255 20 0 IBADRN COc1ccc(NC(=O)CN(CCNS(C)(=O)=O)C2CC2)cc1S(=O)(=O)N(C)C ZINC000463225441 1072498028 /nfs/dbraw/zinc/49/80/28/1072498028.db2.gz ZBSMVZFNLSGCSP-UHFFFAOYSA-N 0 0 448.567 -0.102 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1C ZINC000463229533 1072497950 /nfs/dbraw/zinc/49/79/50/1072497950.db2.gz JWVZMZPEHVFYOY-UHFFFAOYSA-N 0 0 426.499 0.345 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCCC[C@H]3NS(C)(=O)=O)cnc21 ZINC000463233645 1072498686 /nfs/dbraw/zinc/49/86/86/1072498686.db2.gz NXFNLRYZCHSOIN-NEPJUHHUSA-N 0 0 431.496 -0.827 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCCC[C@@H]3NS(C)(=O)=O)cnc21 ZINC000463233646 1072498567 /nfs/dbraw/zinc/49/85/67/1072498567.db2.gz NXFNLRYZCHSOIN-NWDGAFQWSA-N 0 0 431.496 -0.827 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCCC[C@@H]3NS(C)(=O)=O)cnc21 ZINC000463233647 1072498436 /nfs/dbraw/zinc/49/84/36/1072498436.db2.gz NXFNLRYZCHSOIN-RYUDHWBXSA-N 0 0 431.496 -0.827 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCCC[C@H]3NS(C)(=O)=O)cnc21 ZINC000463233648 1072498454 /nfs/dbraw/zinc/49/84/54/1072498454.db2.gz NXFNLRYZCHSOIN-VXGBXAGGSA-N 0 0 431.496 -0.827 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000463249007 1072498632 /nfs/dbraw/zinc/49/86/32/1072498632.db2.gz SRBHNPXWXGRSSA-UHFFFAOYSA-N 0 0 425.488 -0.435 20 0 IBADRN Cn1cc(S(=O)(=O)NCCSc2ccc(S(=O)(=O)N3CCOCC3)cn2)cn1 ZINC000463249760 1072499158 /nfs/dbraw/zinc/49/91/58/1072499158.db2.gz GTKCVOGREOTNLJ-UHFFFAOYSA-N 0 0 447.564 -0.093 20 0 IBADRN Cn1cc(S(=O)(=O)NCCn2c(=O)c3ccc(C(F)(F)F)nc3n(C)c2=O)cn1 ZINC000463259362 1072498576 /nfs/dbraw/zinc/49/85/76/1072498576.db2.gz SUSJQFXNLOHPSA-UHFFFAOYSA-N 0 0 432.384 -0.174 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)cc1 ZINC000463265344 1072499104 /nfs/dbraw/zinc/49/91/04/1072499104.db2.gz JHPGKXVLOYWGIX-UHFFFAOYSA-N 0 0 438.487 -0.236 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)n1nnc(-c2ccccc2)n1 ZINC000463269177 1072499774 /nfs/dbraw/zinc/49/97/74/1072499774.db2.gz AHVOMXFSJNVSDX-AWEZNQCLSA-N 0 0 425.449 -0.279 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)n1nnc(-c2ccccc2)n1 ZINC000463269206 1072499944 /nfs/dbraw/zinc/49/99/44/1072499944.db2.gz AHVOMXFSJNVSDX-CQSZACIVSA-N 0 0 425.449 -0.279 20 0 IBADRN O=C(CNC(=O)c1cc2ccccc2[nH]1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000463271569 1072499802 /nfs/dbraw/zinc/49/98/02/1072499802.db2.gz LLGJZWJOPIIZSU-UHFFFAOYSA-N 0 0 425.445 -0.283 20 0 IBADRN CC(C)(C)C(=O)Nc1nccnc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000463272476 1072499669 /nfs/dbraw/zinc/49/96/69/1072499669.db2.gz QKOTZOWNIHXSML-UHFFFAOYSA-N 0 0 430.465 -0.105 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000463274723 1072499904 /nfs/dbraw/zinc/49/99/04/1072499904.db2.gz GQRJBBUBNMNDBM-AWEZNQCLSA-N 0 0 431.536 -0.120 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000463274724 1072499839 /nfs/dbraw/zinc/49/98/39/1072499839.db2.gz GQRJBBUBNMNDBM-CQSZACIVSA-N 0 0 431.536 -0.120 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000463276156 1072499654 /nfs/dbraw/zinc/49/96/54/1072499654.db2.gz OOZVEMSIGOYITH-UHFFFAOYSA-N 0 0 436.488 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CCNS(=O)(=O)c1cnn(C)c1 ZINC000463277007 1072498944 /nfs/dbraw/zinc/49/89/44/1072498944.db2.gz KQYACTMFPHNLFD-UHFFFAOYSA-N 0 0 429.480 -0.443 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000463286260 1072500880 /nfs/dbraw/zinc/50/08/80/1072500880.db2.gz KQGRGAMKHQZEJY-UHFFFAOYSA-N 0 0 442.473 -0.036 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000463287551 1072500914 /nfs/dbraw/zinc/50/09/14/1072500914.db2.gz MVOAMUVZNMZEAT-UHFFFAOYSA-N 0 0 434.472 -0.310 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000463288821 1072501443 /nfs/dbraw/zinc/50/14/43/1072501443.db2.gz PWVCEUCWYWJGKA-UHFFFAOYSA-N 0 0 448.509 -0.733 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463298986 1072500369 /nfs/dbraw/zinc/50/03/69/1072500369.db2.gz BHENNEFSHKBRNV-DLBZAZTESA-N 0 0 425.507 -0.431 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463298987 1072500384 /nfs/dbraw/zinc/50/03/84/1072500384.db2.gz BHENNEFSHKBRNV-IAGOWNOFSA-N 0 0 425.507 -0.431 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463298988 1072500131 /nfs/dbraw/zinc/50/01/31/1072500131.db2.gz BHENNEFSHKBRNV-IRXDYDNUSA-N 0 0 425.507 -0.431 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000463298989 1072500483 /nfs/dbraw/zinc/50/04/83/1072500483.db2.gz BHENNEFSHKBRNV-SJORKVTESA-N 0 0 425.507 -0.431 20 0 IBADRN CC(C)(C)C(=O)Nc1nccnc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000463300002 1072500149 /nfs/dbraw/zinc/50/01/49/1072500149.db2.gz KXXXZYKCYIZMMP-UHFFFAOYSA-N 0 0 440.526 -0.204 20 0 IBADRN O=C(CNC(=O)c1cc2ccccc2[nH]1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000463301541 1072500286 /nfs/dbraw/zinc/50/02/86/1072500286.db2.gz NJOFBUXJDRMXSQ-UHFFFAOYSA-N 0 0 435.506 -0.381 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000463301984 1072500678 /nfs/dbraw/zinc/50/06/78/1072500678.db2.gz FQHMIPYNBVNOHO-UHFFFAOYSA-N 0 0 436.488 -0.063 20 0 IBADRN O=C(c1cn(Cc2cccs2)nn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000463302626 1072500803 /nfs/dbraw/zinc/50/08/03/1072500803.db2.gz PGGOWWSXBFJXBK-UHFFFAOYSA-N 0 0 426.524 -0.277 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000463305588 1072501329 /nfs/dbraw/zinc/50/13/29/1072501329.db2.gz KXXANPXRKOMSFO-UHFFFAOYSA-N 0 0 438.504 -0.353 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)n1nnc(-c2ccccc2)n1 ZINC000463308085 1072501910 /nfs/dbraw/zinc/50/19/10/1072501910.db2.gz ZVKJKDDFIITPLB-HNNXBMFYSA-N 0 0 435.510 -0.378 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)n1nnc(-c2ccccc2)n1 ZINC000463308097 1072501891 /nfs/dbraw/zinc/50/18/91/1072501891.db2.gz ZVKJKDDFIITPLB-OAHLLOKOSA-N 0 0 435.510 -0.378 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)N3C[C@@H](O)C[C@@H]3CO)CC2)cc1OC ZINC000463309287 1072501826 /nfs/dbraw/zinc/50/18/26/1072501826.db2.gz PEEWGBFDQRVIMJ-CABCVRRESA-N 0 0 443.522 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)N3C[C@@H](O)C[C@H]3CO)CC2)cc1OC ZINC000463309288 1072501732 /nfs/dbraw/zinc/50/17/32/1072501732.db2.gz PEEWGBFDQRVIMJ-GJZGRUSLSA-N 0 0 443.522 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)N3C[C@H](O)C[C@@H]3CO)CC2)cc1OC ZINC000463309289 1072501765 /nfs/dbraw/zinc/50/17/65/1072501765.db2.gz PEEWGBFDQRVIMJ-HUUCEWRRSA-N 0 0 443.522 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)N3C[C@H](O)C[C@H]3CO)CC2)cc1OC ZINC000463309290 1072501669 /nfs/dbraw/zinc/50/16/69/1072501669.db2.gz PEEWGBFDQRVIMJ-LSDHHAIUSA-N 0 0 443.522 -0.006 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)C1=O ZINC000463310802 1072501719 /nfs/dbraw/zinc/50/17/19/1072501719.db2.gz PXHPQYDZSYNTJG-MSOLQXFVSA-N 0 0 446.504 -0.080 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)C1=O ZINC000463310803 1072501777 /nfs/dbraw/zinc/50/17/77/1072501777.db2.gz PXHPQYDZSYNTJG-QZTJIDSGSA-N 0 0 446.504 -0.080 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)C1=O ZINC000463310804 1072501789 /nfs/dbraw/zinc/50/17/89/1072501789.db2.gz PXHPQYDZSYNTJG-ROUUACIJSA-N 0 0 446.504 -0.080 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)C1=O ZINC000463310805 1072501685 /nfs/dbraw/zinc/50/16/85/1072501685.db2.gz PXHPQYDZSYNTJG-ZWKOTPCHSA-N 0 0 446.504 -0.080 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)nn1 ZINC000463313915 1072501745 /nfs/dbraw/zinc/50/17/45/1072501745.db2.gz ZTCNKGWFNCVMSZ-KRWDZBQOSA-N 0 0 430.465 -0.280 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)nn1 ZINC000463313919 1072502198 /nfs/dbraw/zinc/50/21/98/1072502198.db2.gz ZTCNKGWFNCVMSZ-QGZVFWFLSA-N 0 0 430.465 -0.280 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000463314748 1072501812 /nfs/dbraw/zinc/50/18/12/1072501812.db2.gz ZJSPZXYVLXYQFS-UHFFFAOYSA-N 0 0 433.448 -0.902 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000463315062 1072502195 /nfs/dbraw/zinc/50/21/95/1072502195.db2.gz DGGWTWSXXMZUCO-AWEZNQCLSA-N 0 0 438.572 -0.959 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000463315063 1072502185 /nfs/dbraw/zinc/50/21/85/1072502185.db2.gz DGGWTWSXXMZUCO-CQSZACIVSA-N 0 0 438.572 -0.959 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCNC(=O)c1ccc(F)cc1 ZINC000463316483 1072502222 /nfs/dbraw/zinc/50/22/22/1072502222.db2.gz SYYDJWZXJCINLL-HNNXBMFYSA-N 0 0 428.486 -0.110 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCNC(=O)c1ccc(F)cc1 ZINC000463316484 1072502178 /nfs/dbraw/zinc/50/21/78/1072502178.db2.gz SYYDJWZXJCINLL-OAHLLOKOSA-N 0 0 428.486 -0.110 20 0 IBADRN CN(C)c1nc(C(=O)NCCN(C(=O)c2cc(=O)[nH]c(N(C)C)n2)C2CC2)cc(=O)[nH]1 ZINC000463320599 1072502270 /nfs/dbraw/zinc/50/22/70/1072502270.db2.gz IBMGXUCFVFGMAJ-UHFFFAOYSA-N 0 0 430.469 -0.156 20 0 IBADRN Cc1cc(C)n(CC(=O)NCCN(C(=O)Cn2c(C)cc(C)nc2=O)C2CC2)c(=O)n1 ZINC000463320643 1072502388 /nfs/dbraw/zinc/50/23/88/1072502388.db2.gz IRHRXNGLKHAPPL-UHFFFAOYSA-N 0 0 428.493 -0.159 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000463327048 1072502399 /nfs/dbraw/zinc/50/23/99/1072502399.db2.gz HFJSDXRWQUHPDS-UHFFFAOYSA-N 0 0 436.490 -0.070 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCc1cccnc1OCCOC ZINC000463328437 1072502208 /nfs/dbraw/zinc/50/22/08/1072502208.db2.gz RPBKPUSJLVGNIO-HNNXBMFYSA-N 0 0 428.511 -0.058 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCc1cccnc1OCCOC ZINC000463328438 1072502308 /nfs/dbraw/zinc/50/23/08/1072502308.db2.gz RPBKPUSJLVGNIO-OAHLLOKOSA-N 0 0 428.511 -0.058 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2ccccc2)cc1 ZINC000463330546 1072502283 /nfs/dbraw/zinc/50/22/83/1072502283.db2.gz SRVJUHVAAFIQJU-UHFFFAOYSA-N 0 0 425.488 -0.346 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000463330568 1072502687 /nfs/dbraw/zinc/50/26/87/1072502687.db2.gz WPAHWXDEBGTWOB-CRAIPNDOSA-N 0 0 448.611 -0.471 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000463330569 1072502849 /nfs/dbraw/zinc/50/28/49/1072502849.db2.gz WPAHWXDEBGTWOB-MAUKXSAKSA-N 0 0 448.611 -0.471 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000463330570 1072502825 /nfs/dbraw/zinc/50/28/25/1072502825.db2.gz WPAHWXDEBGTWOB-QAPCUYQASA-N 0 0 448.611 -0.471 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000463330571 1072502652 /nfs/dbraw/zinc/50/26/52/1072502652.db2.gz WPAHWXDEBGTWOB-YJBOKZPZSA-N 0 0 448.611 -0.471 20 0 IBADRN COC[C@](C)(CNC(=O)Cn1c(C)cc(C)nc1=O)NC(=O)Cn1c(C)cc(C)nc1=O ZINC000463331040 1072502813 /nfs/dbraw/zinc/50/28/13/1072502813.db2.gz VKRWSQPHTKHVGT-NRFANRHFSA-N 0 0 446.508 -0.629 20 0 IBADRN COC[C@@](C)(CNC(=O)Cn1c(C)cc(C)nc1=O)NC(=O)Cn1c(C)cc(C)nc1=O ZINC000463331041 1072502887 /nfs/dbraw/zinc/50/28/87/1072502887.db2.gz VKRWSQPHTKHVGT-OAQYLSRUSA-N 0 0 446.508 -0.629 20 0 IBADRN CN(C)c1nc(C(=O)NCC(C)(C)CNC(=O)c2cc(=O)[nH]c(N(C)C)n2)cc(=O)[nH]1 ZINC000463333782 1072502746 /nfs/dbraw/zinc/50/27/46/1072502746.db2.gz GBLDXWQYGUGSRD-UHFFFAOYSA-N 0 0 432.485 -0.004 20 0 IBADRN Cc1cc(C)n(CC(=O)NCC(C)(C)CNC(=O)Cn2c(C)cc(C)nc2=O)c(=O)n1 ZINC000463334277 1072502737 /nfs/dbraw/zinc/50/27/37/1072502737.db2.gz IPYRRAWTKSULLM-UHFFFAOYSA-N 0 0 430.509 -0.008 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(S(=O)(=O)CCCN2CCOCC2)CC1 ZINC000463334674 1072502760 /nfs/dbraw/zinc/50/27/60/1072502760.db2.gz KGXWJXJSJRFJPD-UHFFFAOYSA-N 0 0 446.614 -0.411 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)CCCN2CCOCC2)cc1 ZINC000463334880 1072502724 /nfs/dbraw/zinc/50/27/24/1072502724.db2.gz LNCOLESKUQBEAK-UHFFFAOYSA-N 0 0 435.568 -0.247 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)s1 ZINC000463336293 1072502800 /nfs/dbraw/zinc/50/28/00/1072502800.db2.gz CFGZGCFSIRWDGA-UHFFFAOYSA-N 0 0 431.517 -0.155 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000463338318 1072502873 /nfs/dbraw/zinc/50/28/73/1072502873.db2.gz SYMBNFFWOMIDAL-AWEZNQCLSA-N 0 0 442.542 -0.894 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000463338319 1072502698 /nfs/dbraw/zinc/50/26/98/1072502698.db2.gz SYMBNFFWOMIDAL-CQSZACIVSA-N 0 0 442.542 -0.894 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCC(=O)N1CCc2ccccc2C1 ZINC000463340342 1072504340 /nfs/dbraw/zinc/50/43/40/1072504340.db2.gz NFVRHFGKOPGNCZ-KRWDZBQOSA-N 0 0 436.534 -0.094 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCC(=O)N1CCc2ccccc2C1 ZINC000463340343 1072504350 /nfs/dbraw/zinc/50/43/50/1072504350.db2.gz NFVRHFGKOPGNCZ-QGZVFWFLSA-N 0 0 436.534 -0.094 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(S(=O)(=O)CCCN2CCOCC2)CC1 ZINC000463342015 1072503177 /nfs/dbraw/zinc/50/31/77/1072503177.db2.gz ZUPWSOMHNUWFFA-UHFFFAOYSA-N 0 0 436.556 -0.350 20 0 IBADRN O=C(CCNS(=O)(=O)CCCN1CCOCC1)N1CCN(c2ccccn2)CC1 ZINC000463342138 1072503116 /nfs/dbraw/zinc/50/31/16/1072503116.db2.gz CAYRYVOGMKWBQE-UHFFFAOYSA-N 0 0 425.555 -0.238 20 0 IBADRN O=C(CCNS(=O)(=O)CCCN1CCOCC1)N1CCN(c2ncccn2)CC1 ZINC000463343259 1072503187 /nfs/dbraw/zinc/50/31/87/1072503187.db2.gz CUKAQSQWPDQDJG-UHFFFAOYSA-N 0 0 426.543 -0.843 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000463344761 1072503209 /nfs/dbraw/zinc/50/32/09/1072503209.db2.gz KMDGLCJOFDMCLN-UHFFFAOYSA-N 0 0 447.579 -0.151 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCCN2CCN(c3ncccn3)CC2)cc1 ZINC000463345984 1072503635 /nfs/dbraw/zinc/50/36/35/1072503635.db2.gz PMNDYYJYWKKRTH-UHFFFAOYSA-N 0 0 446.533 -0.322 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)CCCN2CCOCC2)CC1 ZINC000463346095 1072503626 /nfs/dbraw/zinc/50/36/26/1072503626.db2.gz RNCIAORGLZEAAX-UHFFFAOYSA-N 0 0 446.595 -0.231 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)cc1 ZINC000463346891 1072503600 /nfs/dbraw/zinc/50/36/00/1072503600.db2.gz YSFVSNQOENIOOG-UHFFFAOYSA-N 0 0 439.515 -0.038 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)CCCN3CCOCC3)CC2)cc1 ZINC000463347528 1072503565 /nfs/dbraw/zinc/50/35/65/1072503565.db2.gz YUYGIFDRHXOUFR-UHFFFAOYSA-N 0 0 432.568 -0.492 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H](c2ccccc2F)N2CCOCC2)CC1)N1CCOCC1 ZINC000463349993 1072504027 /nfs/dbraw/zinc/50/40/27/1072504027.db2.gz FQKFVQHZAPFAQQ-IBGZPJMESA-N 0 0 448.495 -0.271 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H](c2ccccc2F)N2CCOCC2)CC1)N1CCOCC1 ZINC000463349994 1072504003 /nfs/dbraw/zinc/50/40/03/1072504003.db2.gz FQKFVQHZAPFAQQ-LJQANCHMSA-N 0 0 448.495 -0.271 20 0 IBADRN O=C(NCCN1CCOCC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000463350116 1072503573 /nfs/dbraw/zinc/50/35/73/1072503573.db2.gz JSFPKCCWMGPGIP-UHFFFAOYSA-N 0 0 426.495 -0.538 20 0 IBADRN O=C(NCc1nnc2n1CCC2)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000463350469 1072503528 /nfs/dbraw/zinc/50/35/28/1072503528.db2.gz KOUHDRTYYYJPSN-UHFFFAOYSA-N 0 0 434.478 -0.132 20 0 IBADRN O=C(CNC(=O)c1cc2ccccc2[nH]1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000463350735 1072503519 /nfs/dbraw/zinc/50/35/19/1072503519.db2.gz IHKOQWCGMRIFQR-UHFFFAOYSA-N 0 0 427.461 -0.573 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000463350812 1072503951 /nfs/dbraw/zinc/50/39/51/1072503951.db2.gz JEFMXUYKELCMGT-UHFFFAOYSA-N 0 0 438.616 -0.223 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC000463351417 1072504058 /nfs/dbraw/zinc/50/40/58/1072504058.db2.gz VLHIPSZSJGTTSI-LLVKDONJSA-N 0 0 431.492 -0.683 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N[C@H]1CCS(=O)(=O)C1 ZINC000463351418 1072504019 /nfs/dbraw/zinc/50/40/19/1072504019.db2.gz VLHIPSZSJGTTSI-NSHDSACASA-N 0 0 431.492 -0.683 20 0 IBADRN CC(C)(C)n1nnnc1CS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000463351774 1072504042 /nfs/dbraw/zinc/50/40/42/1072504042.db2.gz NFWDXQOJPZJGSY-UHFFFAOYSA-N 0 0 430.512 -0.182 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1 ZINC000463352576 1072503975 /nfs/dbraw/zinc/50/39/75/1072503975.db2.gz QHTURZCNLGGQML-UHFFFAOYSA-N 0 0 436.534 -0.284 20 0 IBADRN CC(C)(C)C(=O)Nc1nccnc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000463352945 1072503960 /nfs/dbraw/zinc/50/39/60/1072503960.db2.gz RWYIQRCHIOXRRF-UHFFFAOYSA-N 0 0 432.481 -0.396 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc(NC(C)=O)c1OC ZINC000463352981 1072503989 /nfs/dbraw/zinc/50/39/89/1072503989.db2.gz SISGWLCSYIHKCR-UHFFFAOYSA-N 0 0 448.476 -0.195 20 0 IBADRN Cn1ccc(C(=O)NCCN(CCN2CCOCC2)C(=O)c2ccn(C)c(=O)c2)cc1=O ZINC000463353309 1072503882 /nfs/dbraw/zinc/50/38/82/1072503882.db2.gz DKGNLFGVOSFJDE-UHFFFAOYSA-N 0 0 443.504 -0.712 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(O)c(CO)c2)c(=O)n1CC(=O)N1CCOCC1 ZINC000463354182 1072504362 /nfs/dbraw/zinc/50/43/62/1072504362.db2.gz MAQSUUGBNCNSKT-UHFFFAOYSA-N 0 0 448.497 -0.861 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)n1nnc(-c2ccccc2)n1 ZINC000463354496 1072503933 /nfs/dbraw/zinc/50/39/33/1072503933.db2.gz OKCVBUCBHIPGSG-HNNXBMFYSA-N 0 0 427.465 -0.569 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)n1nnc(-c2ccccc2)n1 ZINC000463354497 1072504313 /nfs/dbraw/zinc/50/43/13/1072504313.db2.gz OKCVBUCBHIPGSG-OAHLLOKOSA-N 0 0 427.465 -0.569 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)c4cn(CC(N)=O)nn4)CC3)n2)cc1 ZINC000463355721 1072504357 /nfs/dbraw/zinc/50/43/57/1072504357.db2.gz ZMIKPYKFPZOJDJ-UHFFFAOYSA-N 0 0 426.437 -0.220 20 0 IBADRN Cn1c2ncc(NC(=O)c3ccc(S(=O)(=O)CC(N)=O)cc3)cc2c(=O)n(C)c1=O ZINC000463358905 1072504752 /nfs/dbraw/zinc/50/47/52/1072504752.db2.gz PHVLGIMQAODHFZ-UHFFFAOYSA-N 0 0 431.430 -0.857 20 0 IBADRN COCC[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000463361721 1072504727 /nfs/dbraw/zinc/50/47/27/1072504727.db2.gz NNHZNXSEOXTBPU-INIZCTEOSA-N 0 0 430.527 -0.138 20 0 IBADRN COCC[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000463361722 1072504746 /nfs/dbraw/zinc/50/47/46/1072504746.db2.gz NNHZNXSEOXTBPU-MRXNPFEDSA-N 0 0 430.527 -0.138 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000463368687 1072505065 /nfs/dbraw/zinc/50/50/65/1072505065.db2.gz QDJXGMKCQGKXTB-IBGZPJMESA-N 0 0 443.522 -0.007 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000463368688 1072505093 /nfs/dbraw/zinc/50/50/93/1072505093.db2.gz QDJXGMKCQGKXTB-LJQANCHMSA-N 0 0 443.522 -0.007 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cc1 ZINC000463369296 1072505125 /nfs/dbraw/zinc/50/51/25/1072505125.db2.gz RTKXZEHQIQJGFZ-IBGZPJMESA-N 0 0 444.513 -0.070 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cc1 ZINC000463369297 1072505204 /nfs/dbraw/zinc/50/52/04/1072505204.db2.gz RTKXZEHQIQJGFZ-LJQANCHMSA-N 0 0 444.513 -0.070 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](c1ccccc1F)N1CCOCC1 ZINC000463370848 1072505563 /nfs/dbraw/zinc/50/55/63/1072505563.db2.gz XNYJIPKXWDLRNS-KBXCAEBGSA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](c1ccccc1F)N1CCOCC1 ZINC000463370854 1072505594 /nfs/dbraw/zinc/50/55/94/1072505594.db2.gz XNYJIPKXWDLRNS-KDOFPFPSSA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](c1ccccc1F)N1CCOCC1 ZINC000463370856 1072505575 /nfs/dbraw/zinc/50/55/75/1072505575.db2.gz XNYJIPKXWDLRNS-KSSFIOAISA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](c1ccccc1F)N1CCOCC1 ZINC000463370858 1072505603 /nfs/dbraw/zinc/50/56/03/1072505603.db2.gz XNYJIPKXWDLRNS-RDTXWAMCSA-N 0 0 427.498 -0.039 20 0 IBADRN COc1ccc(C(=O)NCCN(CCN2CCOCC2)C(=O)c2ccc(OC)nn2)nn1 ZINC000463371897 1072505534 /nfs/dbraw/zinc/50/55/34/1072505534.db2.gz XZURTJLYZIQINC-UHFFFAOYSA-N 0 0 445.480 -0.512 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3ccc(S(=O)(=O)CC(N)=O)cc3)CC2)no1 ZINC000463371908 1073331019 /nfs/dbraw/zinc/33/10/19/1073331019.db2.gz YFYUOTQVJKKORU-UHFFFAOYSA-N 0 0 449.489 -0.361 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000463382986 1072505042 /nfs/dbraw/zinc/50/50/42/1072505042.db2.gz XAZOCZVGJIPKLN-IBGZPJMESA-N 0 0 441.506 -0.370 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000463382987 1072505160 /nfs/dbraw/zinc/50/51/60/1072505160.db2.gz XAZOCZVGJIPKLN-LJQANCHMSA-N 0 0 441.506 -0.370 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)NCc2ccccc2)cc1 ZINC000463390278 1072505861 /nfs/dbraw/zinc/50/58/61/1072505861.db2.gz HXKLNUNRYSYPNG-UHFFFAOYSA-N 0 0 439.515 -0.205 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000463401748 1072507208 /nfs/dbraw/zinc/50/72/08/1072507208.db2.gz VEPOSWGUIKPFID-KBPBESRZSA-N 0 0 440.526 -0.750 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000463401749 1072507221 /nfs/dbraw/zinc/50/72/21/1072507221.db2.gz VEPOSWGUIKPFID-KGLIPLIRSA-N 0 0 440.526 -0.750 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000463401750 1072507289 /nfs/dbraw/zinc/50/72/89/1072507289.db2.gz VEPOSWGUIKPFID-UONOGXRCSA-N 0 0 440.526 -0.750 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000463401751 1072507275 /nfs/dbraw/zinc/50/72/75/1072507275.db2.gz VEPOSWGUIKPFID-ZIAGYGMSSA-N 0 0 440.526 -0.750 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCS(=O)(=O)c1ccc(F)cc1 ZINC000463404888 1072508615 /nfs/dbraw/zinc/50/86/15/1072508615.db2.gz NUWFCPGJNGOQDX-AWEZNQCLSA-N 0 0 449.526 -0.066 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCS(=O)(=O)c1ccc(F)cc1 ZINC000463404889 1072508706 /nfs/dbraw/zinc/50/87/06/1072508706.db2.gz NUWFCPGJNGOQDX-CQSZACIVSA-N 0 0 449.526 -0.066 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000463405775 1072508583 /nfs/dbraw/zinc/50/85/83/1072508583.db2.gz OROIGPRMRACSCL-UHFFFAOYSA-N 0 0 431.536 -0.120 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000463408549 1072507009 /nfs/dbraw/zinc/50/70/09/1072507009.db2.gz GBHKTCUGOUABAQ-CYBMUJFWSA-N 0 0 443.526 -0.814 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000463408551 1072507283 /nfs/dbraw/zinc/50/72/83/1072507283.db2.gz GBHKTCUGOUABAQ-ZDUSSCGKSA-N 0 0 443.526 -0.814 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCNC(=O)c1ccccc1F ZINC000463410233 1072507599 /nfs/dbraw/zinc/50/75/99/1072507599.db2.gz IPWSFJYMONWGDQ-CYBMUJFWSA-N 0 0 428.486 -0.110 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCNC(=O)c1ccccc1F ZINC000463410234 1072507615 /nfs/dbraw/zinc/50/76/15/1072507615.db2.gz IPWSFJYMONWGDQ-ZDUSSCGKSA-N 0 0 428.486 -0.110 20 0 IBADRN O=C1CCc2cc(OC[C@@H](O)CNS(=O)(=O)CCCN3CCOCC3)ccc2N1 ZINC000463411238 1072507695 /nfs/dbraw/zinc/50/76/95/1072507695.db2.gz BWTYFYFQJFILNG-INIZCTEOSA-N 0 0 427.523 -0.047 20 0 IBADRN O=C1CCc2cc(OC[C@H](O)CNS(=O)(=O)CCCN3CCOCC3)ccc2N1 ZINC000463411239 1072507501 /nfs/dbraw/zinc/50/75/01/1072507501.db2.gz BWTYFYFQJFILNG-MRXNPFEDSA-N 0 0 427.523 -0.047 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H](C)C(N)=O)CC2)cc1 ZINC000463412603 1072507720 /nfs/dbraw/zinc/50/77/20/1072507720.db2.gz ADNFVCGHHRXTCA-CYBMUJFWSA-N 0 0 426.495 -0.702 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H](C)C(N)=O)CC2)cc1 ZINC000463412604 1072507469 /nfs/dbraw/zinc/50/74/69/1072507469.db2.gz ADNFVCGHHRXTCA-ZDUSSCGKSA-N 0 0 426.495 -0.702 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000463413548 1072507679 /nfs/dbraw/zinc/50/76/79/1072507679.db2.gz LIQCWCVOPQJNBB-BFHYXJOUSA-N 0 0 438.572 -0.961 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000463413550 1072507533 /nfs/dbraw/zinc/50/75/33/1072507533.db2.gz LIQCWCVOPQJNBB-MCIONIFRSA-N 0 0 438.572 -0.961 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000463413552 1072507666 /nfs/dbraw/zinc/50/76/66/1072507666.db2.gz LIQCWCVOPQJNBB-MGPQQGTHSA-N 0 0 438.572 -0.961 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000463413554 1072507642 /nfs/dbraw/zinc/50/76/42/1072507642.db2.gz LIQCWCVOPQJNBB-MJBXVCDLSA-N 0 0 438.572 -0.961 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)CCCN2CCOCC2)c1 ZINC000463414245 1072508034 /nfs/dbraw/zinc/50/80/34/1072508034.db2.gz MVNWJHLJFXEYFJ-AWEZNQCLSA-N 0 0 448.567 -0.437 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)CCCN2CCOCC2)c1 ZINC000463414246 1072508062 /nfs/dbraw/zinc/50/80/62/1072508062.db2.gz MVNWJHLJFXEYFJ-CQSZACIVSA-N 0 0 448.567 -0.437 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)CCCN3CCOCC3)CC2)cn1 ZINC000463415781 1072508127 /nfs/dbraw/zinc/50/81/27/1072508127.db2.gz QLKVLIDITYPUNO-UHFFFAOYSA-N 0 0 435.572 -0.785 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)CCCN2CCOCC2)c1 ZINC000463417079 1072508714 /nfs/dbraw/zinc/50/87/14/1072508714.db2.gz BOGATVOSVPXHBY-UHFFFAOYSA-N 0 0 434.540 -0.825 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(Cc4ccccn4)nn3)CC2)C[C@H](C)O1 ZINC000463419489 1072508478 /nfs/dbraw/zinc/50/84/78/1072508478.db2.gz XQUVSFFBPFTDAC-HOTGVXAUSA-N 0 0 449.537 -0.167 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(Cc4ccccn4)nn3)CC2)C[C@@H](C)O1 ZINC000463419490 1072508662 /nfs/dbraw/zinc/50/86/62/1072508662.db2.gz XQUVSFFBPFTDAC-HZPDHXFCSA-N 0 0 449.537 -0.167 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(Cc4ccccn4)nn3)CC2)C[C@H](C)O1 ZINC000463419491 1072508423 /nfs/dbraw/zinc/50/84/23/1072508423.db2.gz XQUVSFFBPFTDAC-IYBDPMFKSA-N 0 0 449.537 -0.167 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)CCCN3CCOCC3)CC2)cs1 ZINC000463421368 1072509234 /nfs/dbraw/zinc/50/92/34/1072509234.db2.gz IJUNHBNFEONJBQ-UHFFFAOYSA-N 0 0 438.597 -0.190 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)CCCN1CCOCC1 ZINC000463423493 1072509154 /nfs/dbraw/zinc/50/91/54/1072509154.db2.gz JCRIEIZCZZZCHI-UHFFFAOYSA-N 0 0 435.568 -0.559 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)CCCN2CCOCC2)CC1 ZINC000463424593 1072509079 /nfs/dbraw/zinc/50/90/79/1072509079.db2.gz QOIMHGSTURSUFN-UHFFFAOYSA-N 0 0 427.589 -0.589 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000463427453 1072509288 /nfs/dbraw/zinc/50/92/88/1072509288.db2.gz VOVYKOLKSSTXLA-UHFFFAOYSA-N 0 0 440.570 -0.513 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)CC[C@H](NC(=O)c1ccccc1)C(=O)N(C)CC(=O)N(C)C ZINC000463437171 1072509514 /nfs/dbraw/zinc/50/95/14/1072509514.db2.gz PTLLKFOKKGMGMD-KRWDZBQOSA-N 0 0 447.536 -0.342 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)CC[C@@H](NC(=O)c1ccccc1)C(=O)N(C)CC(=O)N(C)C ZINC000463437172 1072509655 /nfs/dbraw/zinc/50/96/55/1072509655.db2.gz PTLLKFOKKGMGMD-QGZVFWFLSA-N 0 0 447.536 -0.342 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)Nc1nncn1C)c(=O)n2Cc1ccccc1 ZINC000463447289 1072510640 /nfs/dbraw/zinc/51/06/40/1072510640.db2.gz INKVBOCZUDCKAX-UHFFFAOYSA-N 0 0 438.448 -0.178 20 0 IBADRN CN(C)c1nc(C(=O)NC[C@@H](NC(=O)c2cc(=O)[nH]c(N(C)C)n2)C2CC2)cc(=O)[nH]1 ZINC000463449968 1072510516 /nfs/dbraw/zinc/51/05/16/1072510516.db2.gz AXAOEQZPRVNNAJ-CYBMUJFWSA-N 0 0 430.469 -0.252 20 0 IBADRN CN(C)c1nc(C(=O)NC[C@H](NC(=O)c2cc(=O)[nH]c(N(C)C)n2)C2CC2)cc(=O)[nH]1 ZINC000463449970 1072510615 /nfs/dbraw/zinc/51/06/15/1072510615.db2.gz AXAOEQZPRVNNAJ-ZDUSSCGKSA-N 0 0 430.469 -0.252 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000463452904 1072510443 /nfs/dbraw/zinc/51/04/43/1072510443.db2.gz DEAOKMUGNFVQPF-IBGZPJMESA-N 0 0 441.506 -0.370 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000463452905 1072510686 /nfs/dbraw/zinc/51/06/86/1072510686.db2.gz DEAOKMUGNFVQPF-LJQANCHMSA-N 0 0 441.506 -0.370 20 0 IBADRN CC(C)N(CCCNC(=O)CS(=O)(=O)Cc1nnnn1C(C)(C)C)S(C)(=O)=O ZINC000463461064 1072511118 /nfs/dbraw/zinc/51/11/18/1072511118.db2.gz LKQZDFAPFOJCKI-UHFFFAOYSA-N 0 0 438.576 -0.481 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)c3cccc(C(F)(F)F)c3)CC2)nn1 ZINC000463461833 1072511090 /nfs/dbraw/zinc/51/10/90/1072511090.db2.gz RIVVBDBGIXQKHV-UHFFFAOYSA-N 0 0 446.411 -0.071 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000463472794 1072511704 /nfs/dbraw/zinc/51/17/04/1072511704.db2.gz DPAGIMMAPCWLNT-KBPBESRZSA-N 0 0 438.572 -0.865 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000463472795 1072511623 /nfs/dbraw/zinc/51/16/23/1072511623.db2.gz DPAGIMMAPCWLNT-KGLIPLIRSA-N 0 0 438.572 -0.865 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000463472796 1072511641 /nfs/dbraw/zinc/51/16/41/1072511641.db2.gz DPAGIMMAPCWLNT-UONOGXRCSA-N 0 0 438.572 -0.865 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000463472797 1072511608 /nfs/dbraw/zinc/51/16/08/1072511608.db2.gz DPAGIMMAPCWLNT-ZIAGYGMSSA-N 0 0 438.572 -0.865 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCn1cc(Br)cn1 ZINC000463477431 1072511278 /nfs/dbraw/zinc/51/12/78/1072511278.db2.gz XHZXMZMTTWKZOX-GFCCVEGCSA-N 0 0 436.332 -0.020 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCn1cc(Br)cn1 ZINC000463477432 1072511146 /nfs/dbraw/zinc/51/11/46/1072511146.db2.gz XHZXMZMTTWKZOX-LBPRGKRZSA-N 0 0 436.332 -0.020 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000463480053 1072511664 /nfs/dbraw/zinc/51/16/64/1072511664.db2.gz VCNYHOOTJGHCQJ-CHWSQXEVSA-N 0 0 444.476 -0.416 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000463480061 1072511536 /nfs/dbraw/zinc/51/15/36/1072511536.db2.gz VCNYHOOTJGHCQJ-OLZOCXBDSA-N 0 0 444.476 -0.416 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000463480062 1072511522 /nfs/dbraw/zinc/51/15/22/1072511522.db2.gz VCNYHOOTJGHCQJ-QWHCGFSZSA-N 0 0 444.476 -0.416 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000463480063 1072511631 /nfs/dbraw/zinc/51/16/31/1072511631.db2.gz VCNYHOOTJGHCQJ-STQMWFEESA-N 0 0 444.476 -0.416 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)c3cn(CC(N)=O)nn3)cc2)CC1 ZINC000463491400 1072511997 /nfs/dbraw/zinc/51/19/97/1072511997.db2.gz KZBHSQVJQJIFSC-UHFFFAOYSA-N 0 0 443.508 -0.721 20 0 IBADRN Cn1nc2c(c1CNC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)CCCC2 ZINC000463519649 1072513550 /nfs/dbraw/zinc/51/35/50/1072513550.db2.gz PYDPIXWKGLGEOB-UHFFFAOYSA-N 0 0 426.543 -0.297 20 0 IBADRN Cn1nc2c(c1CNC(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CCCC2 ZINC000463523216 1072513504 /nfs/dbraw/zinc/51/35/04/1072513504.db2.gz ALFJFHJJQCUBRG-UHFFFAOYSA-N 0 0 439.586 -0.382 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CC(=O)N(c3ccccc3F)C1)C2 ZINC000463538502 1072513628 /nfs/dbraw/zinc/51/36/28/1072513628.db2.gz YXXMKSZDADVUKW-CYBMUJFWSA-N 0 0 445.455 -0.397 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CC(=O)N(c3ccccc3F)C1)C2 ZINC000463538503 1072513873 /nfs/dbraw/zinc/51/38/73/1072513873.db2.gz YXXMKSZDADVUKW-ZDUSSCGKSA-N 0 0 445.455 -0.397 20 0 IBADRN O=C(CCNC(=O)N[C@@H]1CC(=O)N(c2ccccc2F)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC000463547777 1072514328 /nfs/dbraw/zinc/51/43/28/1072514328.db2.gz OIJSQEUWLUAUMJ-CHWSQXEVSA-N 0 0 426.470 -0.076 20 0 IBADRN O=C(CCNC(=O)N[C@H]1CC(=O)N(c2ccccc2F)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC000463547778 1072514457 /nfs/dbraw/zinc/51/44/57/1072514457.db2.gz OIJSQEUWLUAUMJ-OLZOCXBDSA-N 0 0 426.470 -0.076 20 0 IBADRN O=C(CCNC(=O)N[C@@H]1CC(=O)N(c2ccccc2F)C1)N[C@H]1CCS(=O)(=O)C1 ZINC000463547779 1072514426 /nfs/dbraw/zinc/51/44/26/1072514426.db2.gz OIJSQEUWLUAUMJ-QWHCGFSZSA-N 0 0 426.470 -0.076 20 0 IBADRN O=C(CCNC(=O)N[C@H]1CC(=O)N(c2ccccc2F)C1)N[C@H]1CCS(=O)(=O)C1 ZINC000463547780 1072514509 /nfs/dbraw/zinc/51/45/09/1072514509.db2.gz OIJSQEUWLUAUMJ-STQMWFEESA-N 0 0 426.470 -0.076 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)cc1 ZINC000463547929 1072514354 /nfs/dbraw/zinc/51/43/54/1072514354.db2.gz ZPCFUAIGARMXLM-UHFFFAOYSA-N 0 0 448.505 0.192 20 0 IBADRN O=C(N[C@H]1CC(=O)N(c2ccccc2F)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000463552055 1072514497 /nfs/dbraw/zinc/51/44/97/1072514497.db2.gz KHACGUGDGPWUQZ-HNNXBMFYSA-N 0 0 447.467 -0.356 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(c2ccccc2F)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000463552056 1072514396 /nfs/dbraw/zinc/51/43/96/1072514396.db2.gz KHACGUGDGPWUQZ-OAHLLOKOSA-N 0 0 447.467 -0.356 20 0 IBADRN CN1C[C@H](NC(=O)CC[C@@H](NC(=O)c2ccccc2)C(=O)N[C@@H]2CC(=O)N(C)C2)CC1=O ZINC000463601518 1072515256 /nfs/dbraw/zinc/51/52/56/1072515256.db2.gz UBONHZPOAPNBFU-BRWVUGGUSA-N 0 0 443.504 -0.741 20 0 IBADRN CN1C[C@H](NC(=O)CC[C@H](NC(=O)c2ccccc2)C(=O)N[C@H]2CC(=O)N(C)C2)CC1=O ZINC000463601519 1072515268 /nfs/dbraw/zinc/51/52/68/1072515268.db2.gz UBONHZPOAPNBFU-IKGGRYGDSA-N 0 0 443.504 -0.741 20 0 IBADRN CN1C[C@H](NC(=O)CC[C@@H](NC(=O)c2ccccc2)C(=O)N[C@H]2CC(=O)N(C)C2)CC1=O ZINC000463601520 1072515277 /nfs/dbraw/zinc/51/52/77/1072515277.db2.gz UBONHZPOAPNBFU-IXDOHACOSA-N 0 0 443.504 -0.741 20 0 IBADRN CN1C[C@H](NC(=O)CC[C@H](NC(=O)c2ccccc2)C(=O)N[C@@H]2CC(=O)N(C)C2)CC1=O ZINC000463601521 1072515305 /nfs/dbraw/zinc/51/53/05/1072515305.db2.gz UBONHZPOAPNBFU-ZACQAIPSSA-N 0 0 443.504 -0.741 20 0 IBADRN CC(C)OC(=O)C[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000463607870 1072515319 /nfs/dbraw/zinc/51/53/19/1072515319.db2.gz DEOROGKKPJIFOK-INIZCTEOSA-N 0 0 449.508 0.261 20 0 IBADRN CC(C)OC(=O)C[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000463607871 1072515283 /nfs/dbraw/zinc/51/52/83/1072515283.db2.gz DEOROGKKPJIFOK-MRXNPFEDSA-N 0 0 449.508 0.261 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)c3ccc(S(=O)(=O)CC(N)=O)cc3)cc2)NC(=O)NC1=O ZINC000463611284 1072515605 /nfs/dbraw/zinc/51/56/05/1072515605.db2.gz ZDWSUSPEOAVIMB-FQEVSTJZSA-N 0 0 444.469 -0.070 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)c3ccc(S(=O)(=O)CC(N)=O)cc3)cc2)NC(=O)NC1=O ZINC000463611285 1072515624 /nfs/dbraw/zinc/51/56/24/1072515624.db2.gz ZDWSUSPEOAVIMB-HXUWFJFHSA-N 0 0 444.469 -0.070 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1 ZINC000463625203 1072516428 /nfs/dbraw/zinc/51/64/28/1072516428.db2.gz DVPMICXPMONVLW-UHFFFAOYSA-N 0 0 443.464 -0.681 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1 ZINC000463628204 1072516369 /nfs/dbraw/zinc/51/63/69/1072516369.db2.gz WURURNYIHHQRFY-UHFFFAOYSA-N 0 0 443.464 -0.727 20 0 IBADRN Cc1nn(C)c2cc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc12 ZINC000463630928 1072516275 /nfs/dbraw/zinc/51/62/75/1072516275.db2.gz QBZRKQZCJDGYAK-UHFFFAOYSA-N 0 0 428.493 -0.177 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463633261 1072516266 /nfs/dbraw/zinc/51/62/66/1072516266.db2.gz CZSDYGFTFVVVQO-UHFFFAOYSA-N 0 0 444.536 -0.774 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463635244 1072516418 /nfs/dbraw/zinc/51/64/18/1072516418.db2.gz HHPRFZSNUWYVGP-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN Cc1cc(Cl)cnc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000463635849 1072516379 /nfs/dbraw/zinc/51/63/79/1072516379.db2.gz REJLDAGCVRVZQF-UHFFFAOYSA-N 0 0 444.945 -0.382 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)c2)nn1 ZINC000463636050 1072516308 /nfs/dbraw/zinc/51/63/08/1072516308.db2.gz RTYDYABHSAAYSO-UHFFFAOYSA-N 0 0 428.327 -0.213 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)c2)nn1 ZINC000463637131 1072516867 /nfs/dbraw/zinc/51/68/67/1072516867.db2.gz DCFQTCDULYOMQL-UHFFFAOYSA-N 0 0 430.421 -0.643 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463638708 1072516856 /nfs/dbraw/zinc/51/68/56/1072516856.db2.gz JGJWZEPRUFAHGE-UHFFFAOYSA-N 0 0 437.522 -0.949 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)c2)nn1 ZINC000463638858 1072516801 /nfs/dbraw/zinc/51/68/01/1072516801.db2.gz JUKAJHDSLTYQTM-UHFFFAOYSA-N 0 0 436.450 -0.904 20 0 IBADRN Cc1nn(C)c2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc12 ZINC000463643550 1072516874 /nfs/dbraw/zinc/51/68/74/1072516874.db2.gz MKKNDYYSNZBOBD-UHFFFAOYSA-N 0 0 442.476 -0.650 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)c2)nn1 ZINC000463645272 1072516834 /nfs/dbraw/zinc/51/68/34/1072516834.db2.gz HQCFFQRQHFCZCI-UHFFFAOYSA-N 0 0 443.464 -0.727 20 0 IBADRN O=C(Nc1ncc(C(F)(F)F)s1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000463645421 1070820107 /nfs/dbraw/zinc/82/01/07/1070820107.db2.gz XYVZSSBIOPEBSV-UHFFFAOYSA-N 0 0 449.411 -0.370 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)c1 ZINC000463646122 1072516794 /nfs/dbraw/zinc/51/67/94/1072516794.db2.gz MWMQCYXESAYKHG-UHFFFAOYSA-N 0 0 445.501 -0.479 20 0 IBADRN Cc1cc(Cl)cnc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000463647069 1072516818 /nfs/dbraw/zinc/51/68/18/1072516818.db2.gz FJKSTJLWOWTFNP-UHFFFAOYSA-N 0 0 431.902 -0.297 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)cc1 ZINC000463647153 1072516863 /nfs/dbraw/zinc/51/68/63/1072516863.db2.gz RMNYUQNAPCUDSP-UHFFFAOYSA-N 0 0 445.501 -0.479 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCNC(=O)c3cccnc3)c2)nn1 ZINC000463647951 1072516848 /nfs/dbraw/zinc/51/68/48/1072516848.db2.gz HXVXYSQBIJAOCG-UHFFFAOYSA-N 0 0 437.416 -0.066 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1 ZINC000463649056 1072516882 /nfs/dbraw/zinc/51/68/82/1072516882.db2.gz YLZPEYCEDZPTBR-UHFFFAOYSA-N 0 0 430.421 -0.690 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000463650113 1072517186 /nfs/dbraw/zinc/51/71/86/1072517186.db2.gz ORCFQYYVUCEZME-GOSISDBHSA-N 0 0 443.504 -0.593 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000463650114 1072517380 /nfs/dbraw/zinc/51/73/80/1072517380.db2.gz ORCFQYYVUCEZME-SFHVURJKSA-N 0 0 443.504 -0.593 20 0 IBADRN O=C(NCCN1C(=O)c2ccccc2C1=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463652024 1072517317 /nfs/dbraw/zinc/51/73/17/1072517317.db2.gz GZRLXFBDAPVYSR-UHFFFAOYSA-N 0 0 449.467 -0.031 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463654130 1072517259 /nfs/dbraw/zinc/51/72/59/1072517259.db2.gz UQLQODFNOGGPBI-UHFFFAOYSA-N 0 0 437.522 -0.949 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)CC2)cn1 ZINC000463658328 1072517710 /nfs/dbraw/zinc/51/77/10/1072517710.db2.gz AZJMNQJNPSCWCS-UHFFFAOYSA-N 0 0 439.520 -0.365 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463658626 1072517853 /nfs/dbraw/zinc/51/78/53/1072517853.db2.gz CQNYBTAJNWDRCF-UHFFFAOYSA-N 0 0 439.520 -0.365 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ncc(C(F)(F)F)s2)CC1 ZINC000463660550 1070819958 /nfs/dbraw/zinc/81/99/58/1070819958.db2.gz BHWZZTBWJZBBGA-UHFFFAOYSA-N 0 0 447.395 -0.080 20 0 IBADRN Cc1nn(C)c2cc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc12 ZINC000463661300 1072517271 /nfs/dbraw/zinc/51/72/71/1072517271.db2.gz FJZXNGMUCHJJIS-UHFFFAOYSA-N 0 0 440.460 -0.360 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCCN3C(=O)CNC3=O)c2)nn1 ZINC000463662169 1072517167 /nfs/dbraw/zinc/51/71/67/1072517167.db2.gz RENYBCMAPSTQPS-UHFFFAOYSA-N 0 0 429.393 -0.950 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)S(C)(=O)=O ZINC000463662263 1072517369 /nfs/dbraw/zinc/51/73/69/1072517369.db2.gz HFYVAGIHSBCRTF-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463665825 1072517301 /nfs/dbraw/zinc/51/73/01/1072517301.db2.gz SCLRXIOJWCSRRN-INIZCTEOSA-N 0 0 437.522 -0.903 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463665826 1072517844 /nfs/dbraw/zinc/51/78/44/1072517844.db2.gz SCLRXIOJWCSRRN-MRXNPFEDSA-N 0 0 437.522 -0.903 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)NCc1ccco1 ZINC000463668918 1072517767 /nfs/dbraw/zinc/51/77/67/1072517767.db2.gz KGVAQIQJIAVHRV-AWEZNQCLSA-N 0 0 427.461 -0.029 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)NCc1ccco1 ZINC000463668919 1072517744 /nfs/dbraw/zinc/51/77/44/1072517744.db2.gz KGVAQIQJIAVHRV-CQSZACIVSA-N 0 0 427.461 -0.029 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463669310 1072517866 /nfs/dbraw/zinc/51/78/66/1072517866.db2.gz LXHXPGSSMIZXOL-UHFFFAOYSA-N 0 0 425.511 -0.999 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(-n2cc(C(=O)OC)nn2)c1 ZINC000463669328 1072517888 /nfs/dbraw/zinc/51/78/88/1072517888.db2.gz MAWSFDPVGOBMAR-UHFFFAOYSA-N 0 0 438.466 -0.562 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)c2)nn1 ZINC000463672278 1072517778 /nfs/dbraw/zinc/51/77/78/1072517778.db2.gz YDLOSFGXUNDJDK-UHFFFAOYSA-N 0 0 438.466 -0.564 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463672418 1072517697 /nfs/dbraw/zinc/51/76/97/1072517697.db2.gz YPKCGVNTUYJSRJ-UHFFFAOYSA-N 0 0 425.511 -0.998 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCN3CCCS3(=O)=O)c2)nn1 ZINC000463672621 1072517683 /nfs/dbraw/zinc/51/76/83/1072517683.db2.gz KUMZXTXFWCQOKK-UHFFFAOYSA-N 0 0 436.450 -0.856 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463673104 1072517789 /nfs/dbraw/zinc/51/77/89/1072517789.db2.gz BTZFGOWSGGVASZ-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)CC2)n1 ZINC000463679405 1072518235 /nfs/dbraw/zinc/51/82/35/1072518235.db2.gz AKUSPXWDWREZGQ-UHFFFAOYSA-N 0 0 441.492 -0.407 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463683639 1072518178 /nfs/dbraw/zinc/51/81/78/1072518178.db2.gz HPGMVSAAEAPTBO-UHFFFAOYSA-N 0 0 432.477 -0.527 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463686674 1072518163 /nfs/dbraw/zinc/51/81/63/1072518163.db2.gz WNNQDXUVWMYKHD-INIZCTEOSA-N 0 0 445.520 -0.347 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463686677 1072518117 /nfs/dbraw/zinc/51/81/17/1072518117.db2.gz WNNQDXUVWMYKHD-MRXNPFEDSA-N 0 0 445.520 -0.347 20 0 IBADRN Cn1cc(Br)cc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000463687815 1072518306 /nfs/dbraw/zinc/51/83/06/1072518306.db2.gz CXTLUHLZZQOUAV-CYBMUJFWSA-N 0 0 447.355 -0.151 20 0 IBADRN Cn1cc(Br)cc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000463687816 1072518188 /nfs/dbraw/zinc/51/81/88/1072518188.db2.gz CXTLUHLZZQOUAV-ZDUSSCGKSA-N 0 0 447.355 -0.151 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1 ZINC000463690357 1072518283 /nfs/dbraw/zinc/51/82/83/1072518283.db2.gz YTQYXMFMIUMLON-UHFFFAOYSA-N 0 0 445.432 -0.091 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCCN3C(=O)CCC3=O)c2)nn1 ZINC000463691942 1072518201 /nfs/dbraw/zinc/51/82/01/1072518201.db2.gz UMRDYRDQSUOEJS-UHFFFAOYSA-N 0 0 428.405 -0.352 20 0 IBADRN CC1(C)NC(=O)N(c2cccc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)C1=O ZINC000463693201 1072518778 /nfs/dbraw/zinc/51/87/78/1072518778.db2.gz MIWGVYGJFMLVBT-UHFFFAOYSA-N 0 0 441.452 -0.116 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C1CCOCC1 ZINC000463693722 1072518847 /nfs/dbraw/zinc/51/88/47/1072518847.db2.gz SWWICFZLVBMRCR-GOSISDBHSA-N 0 0 446.504 -0.111 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C1CCOCC1 ZINC000463693723 1072518728 /nfs/dbraw/zinc/51/87/28/1072518728.db2.gz SWWICFZLVBMRCR-SFHVURJKSA-N 0 0 446.504 -0.111 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)c1 ZINC000463696528 1072518705 /nfs/dbraw/zinc/51/87/05/1072518705.db2.gz NOXMDUNWRXEUFC-UHFFFAOYSA-N 0 0 439.472 -0.263 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCN(Cc2ccon2)CC1 ZINC000463698544 1072518550 /nfs/dbraw/zinc/51/85/50/1072518550.db2.gz BZVNYJWKDPSPOL-UHFFFAOYSA-N 0 0 426.477 -0.111 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCC(N2CCNC2=O)CC1 ZINC000463698892 1072518717 /nfs/dbraw/zinc/51/87/17/1072518717.db2.gz OOUXVUHAVFSILA-UHFFFAOYSA-N 0 0 428.493 -0.427 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CCO1 ZINC000463699650 1072518655 /nfs/dbraw/zinc/51/86/55/1072518655.db2.gz RILZEUWAFFSZAN-AWEZNQCLSA-N 0 0 431.405 -0.217 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CCO1 ZINC000463699651 1072518667 /nfs/dbraw/zinc/51/86/67/1072518667.db2.gz RILZEUWAFFSZAN-CQSZACIVSA-N 0 0 431.405 -0.217 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c(C2CC2)n1 ZINC000463701154 1072519413 /nfs/dbraw/zinc/51/94/13/1072519413.db2.gz ANYRPOLMYBCWOR-UHFFFAOYSA-N 0 0 426.481 -0.119 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)CC2)cn1 ZINC000463701272 1072519365 /nfs/dbraw/zinc/51/93/65/1072519365.db2.gz WZIJQSSQOSVFPI-UHFFFAOYSA-N 0 0 425.493 -0.361 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCC3(CS(C)(=O)=O)CC3)c2)nn1 ZINC000463702924 1072519147 /nfs/dbraw/zinc/51/91/47/1072519147.db2.gz IWAKVKCORWFKPC-UHFFFAOYSA-N 0 0 435.462 -0.067 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)c2)nn1 ZINC000463702976 1072519298 /nfs/dbraw/zinc/51/92/98/1072519298.db2.gz GLZJBUPQLAMYIN-FQEVSTJZSA-N 0 0 446.464 -0.808 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)c2)nn1 ZINC000463702977 1072519228 /nfs/dbraw/zinc/51/92/28/1072519228.db2.gz GLZJBUPQLAMYIN-HXUWFJFHSA-N 0 0 446.464 -0.808 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)CC2=O)cn1 ZINC000463704168 1072518644 /nfs/dbraw/zinc/51/86/44/1072518644.db2.gz MPMPXNAEDCRPRR-UHFFFAOYSA-N 0 0 439.476 -0.834 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000463704554 1072519379 /nfs/dbraw/zinc/51/93/79/1072519379.db2.gz KMFBYNRSLALGSM-UHFFFAOYSA-N 0 0 429.521 -0.120 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCc4nn(C)c(=O)cc4C3)c2)nn1 ZINC000463704622 1072519162 /nfs/dbraw/zinc/51/91/62/1072519162.db2.gz NLDWXHJUIDRLTM-UHFFFAOYSA-N 0 0 437.416 -0.329 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCOCC1 ZINC000463704772 1072519121 /nfs/dbraw/zinc/51/91/21/1072519121.db2.gz HPEJFSJJNFFUAD-KRWDZBQOSA-N 0 0 431.493 -0.690 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCOCC1 ZINC000463704773 1072519403 /nfs/dbraw/zinc/51/94/03/1072519403.db2.gz HPEJFSJJNFFUAD-QGZVFWFLSA-N 0 0 431.493 -0.690 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(-n2cc(C(=O)OC)nn2)c1)C(=O)N1CCOCC1 ZINC000463704780 1072519350 /nfs/dbraw/zinc/51/93/50/1072519350.db2.gz HTVJLMIYTVVOEK-HNNXBMFYSA-N 0 0 444.448 -0.254 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(-n2cc(C(=O)OC)nn2)c1)C(=O)N1CCOCC1 ZINC000463704781 1072519215 /nfs/dbraw/zinc/51/92/15/1072519215.db2.gz HTVJLMIYTVVOEK-OAHLLOKOSA-N 0 0 444.448 -0.254 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463709653 1072519202 /nfs/dbraw/zinc/51/92/02/1072519202.db2.gz WEVYEWLTSFMBPU-UHFFFAOYSA-N 0 0 445.520 -0.299 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)c2)nn1 ZINC000463714827 1072519959 /nfs/dbraw/zinc/51/99/59/1072519959.db2.gz DOSROKOKLXFQHC-HNNXBMFYSA-N 0 0 430.421 -0.644 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)c2)nn1 ZINC000463714828 1072519885 /nfs/dbraw/zinc/51/98/85/1072519885.db2.gz DOSROKOKLXFQHC-OAHLLOKOSA-N 0 0 430.421 -0.644 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)c2)nn1 ZINC000463721935 1072519692 /nfs/dbraw/zinc/51/96/92/1072519692.db2.gz LFCMCXWUJMJNSN-GFCCVEGCSA-N 0 0 436.450 -0.858 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CC[C@H](NS(C)(=O)=O)C3)c2)nn1 ZINC000463721936 1072519973 /nfs/dbraw/zinc/51/99/73/1072519973.db2.gz LFCMCXWUJMJNSN-LBPRGKRZSA-N 0 0 436.450 -0.858 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000463727102 1072519708 /nfs/dbraw/zinc/51/97/08/1072519708.db2.gz XVFDWKKBFDGFFQ-UHFFFAOYSA-N 0 0 425.493 -0.376 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)C2=O)cn1 ZINC000463727233 1072520205 /nfs/dbraw/zinc/52/02/05/1072520205.db2.gz KAFYWAYHPJMIDH-KRWDZBQOSA-N 0 0 439.476 -0.788 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)C2=O)cn1 ZINC000463727234 1072520194 /nfs/dbraw/zinc/52/01/94/1072520194.db2.gz KAFYWAYHPJMIDH-QGZVFWFLSA-N 0 0 439.476 -0.788 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000463731670 1072520227 /nfs/dbraw/zinc/52/02/27/1072520227.db2.gz VOMPEVRKQKVYRP-AWEZNQCLSA-N 0 0 441.410 -0.164 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000463731671 1072520161 /nfs/dbraw/zinc/52/01/61/1072520161.db2.gz VOMPEVRKQKVYRP-CQSZACIVSA-N 0 0 441.410 -0.164 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463764152 1072520581 /nfs/dbraw/zinc/52/05/81/1072520581.db2.gz MUFSZSOZIKLWAE-KBPBESRZSA-N 0 0 447.492 -0.871 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463764153 1072520657 /nfs/dbraw/zinc/52/06/57/1072520657.db2.gz MUFSZSOZIKLWAE-KGLIPLIRSA-N 0 0 447.492 -0.871 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463764154 1072520591 /nfs/dbraw/zinc/52/05/91/1072520591.db2.gz MUFSZSOZIKLWAE-UONOGXRCSA-N 0 0 447.492 -0.871 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463764155 1072520538 /nfs/dbraw/zinc/52/05/38/1072520538.db2.gz MUFSZSOZIKLWAE-ZIAGYGMSSA-N 0 0 447.492 -0.871 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463764551 1072521111 /nfs/dbraw/zinc/52/11/11/1072521111.db2.gz GGEMZCWNOLPSOB-UHFFFAOYSA-N 0 0 428.493 -0.206 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCC3(OCCO)CCOCC3)c2)nn1 ZINC000463765378 1072521077 /nfs/dbraw/zinc/52/10/77/1072521077.db2.gz JSUUEFNHGSQHBM-UHFFFAOYSA-N 0 0 447.448 -0.333 20 0 IBADRN CCn1cc(CN(CCO)C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)cn1 ZINC000463767621 1072521094 /nfs/dbraw/zinc/52/10/94/1072521094.db2.gz YJZXTXSOKZOXAE-UHFFFAOYSA-N 0 0 428.493 -0.206 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463769522 1072521055 /nfs/dbraw/zinc/52/10/55/1072521055.db2.gz KAQIXNXKZHNIPT-KRWDZBQOSA-N 0 0 436.534 -0.017 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463769523 1072521119 /nfs/dbraw/zinc/52/11/19/1072521119.db2.gz KAQIXNXKZHNIPT-QGZVFWFLSA-N 0 0 436.534 -0.017 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)c1C ZINC000463770065 1072521011 /nfs/dbraw/zinc/52/10/11/1072521011.db2.gz QXWDPDQFZVLNBN-UHFFFAOYSA-N 0 0 428.449 -0.126 20 0 IBADRN CCS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463770346 1072521068 /nfs/dbraw/zinc/52/10/68/1072521068.db2.gz RZSKCQWGLKEUPN-UHFFFAOYSA-N 0 0 436.534 -0.017 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)C[C@H]1COCC2 ZINC000463772138 1073365169 /nfs/dbraw/zinc/36/51/69/1073365169.db2.gz YXIZHLNFYTVGRD-KSFYIVLOSA-N 0 0 444.488 -0.405 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463772477 1072521138 /nfs/dbraw/zinc/52/11/38/1072521138.db2.gz AMPBWBANAAAZDO-HKUYNNGSSA-N 0 0 448.520 -0.571 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463772478 1072521129 /nfs/dbraw/zinc/52/11/29/1072521129.db2.gz AMPBWBANAAAZDO-IEBWSBKVSA-N 0 0 448.520 -0.571 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463772479 1072520989 /nfs/dbraw/zinc/52/09/89/1072520989.db2.gz AMPBWBANAAAZDO-MJGOQNOKSA-N 0 0 448.520 -0.571 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463772480 1072521049 /nfs/dbraw/zinc/52/10/49/1072521049.db2.gz AMPBWBANAAAZDO-PKOBYXMFSA-N 0 0 448.520 -0.571 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)C[C@H]2CO)cn1 ZINC000463774523 1072520970 /nfs/dbraw/zinc/52/09/70/1072520970.db2.gz XXCIKXZDJFBWDR-HKUYNNGSSA-N 0 0 440.504 -0.475 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)c2)nn1 ZINC000463774924 1072520979 /nfs/dbraw/zinc/52/09/79/1072520979.db2.gz NASFSJUUFRIZPI-UHFFFAOYSA-N 0 0 428.449 -0.027 20 0 IBADRN O=C(NCCc1nnnn1-c1ccccc1)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463775917 1072521104 /nfs/dbraw/zinc/52/11/04/1072521104.db2.gz RELDVKAUSXUKOV-UHFFFAOYSA-N 0 0 448.487 -0.109 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000463777762 1072520998 /nfs/dbraw/zinc/52/09/98/1072520998.db2.gz ZOCUZKSWVDZMHT-UHFFFAOYSA-N 0 0 435.549 -0.946 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463779532 1072522009 /nfs/dbraw/zinc/52/20/09/1072522009.db2.gz AUGQNDRWLAEELG-NRFANRHFSA-N 0 0 434.493 -0.234 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463779535 1072522003 /nfs/dbraw/zinc/52/20/03/1072522003.db2.gz AUGQNDRWLAEELG-OAQYLSRUSA-N 0 0 434.493 -0.234 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCC(N2CCCNC2=O)CC1 ZINC000463782338 1072521960 /nfs/dbraw/zinc/52/19/60/1072521960.db2.gz OSNUFSLBLVTOQB-UHFFFAOYSA-N 0 0 442.520 -0.037 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463782361 1072521906 /nfs/dbraw/zinc/52/19/06/1072521906.db2.gz MKRYWFWRXHZSPV-KRWDZBQOSA-N 0 0 428.493 -0.381 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463782362 1072521978 /nfs/dbraw/zinc/52/19/78/1072521978.db2.gz MKRYWFWRXHZSPV-QGZVFWFLSA-N 0 0 428.493 -0.381 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)c2)nn1 ZINC000463782425 1072522017 /nfs/dbraw/zinc/52/20/17/1072522017.db2.gz MRQMWGUATRVJJC-CYBMUJFWSA-N 0 0 436.450 -0.728 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC[C@H](S(N)(=O)=O)C3)c2)nn1 ZINC000463782428 1072521983 /nfs/dbraw/zinc/52/19/83/1072521983.db2.gz MRQMWGUATRVJJC-ZDUSSCGKSA-N 0 0 436.450 -0.728 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463782459 1072521926 /nfs/dbraw/zinc/52/19/26/1072521926.db2.gz PUDZOPRLRISZID-UHFFFAOYSA-N 0 0 445.520 -0.347 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463784341 1072522408 /nfs/dbraw/zinc/52/24/08/1072522408.db2.gz SRYTYIXTTCMJIB-INIZCTEOSA-N 0 0 437.522 -0.903 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000463784342 1072522298 /nfs/dbraw/zinc/52/22/98/1072522298.db2.gz SRYTYIXTTCMJIB-MRXNPFEDSA-N 0 0 437.522 -0.903 20 0 IBADRN CN(C(=O)C(=O)Nc1cn(C)nc1C1CC1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000463784402 1072522327 /nfs/dbraw/zinc/52/23/27/1072522327.db2.gz SCGCQSPLYVZZBK-UHFFFAOYSA-N 0 0 445.568 -0.067 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463784818 1072522399 /nfs/dbraw/zinc/52/23/99/1072522399.db2.gz UNFSWWUPGKKMFU-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1 ZINC000463784826 1072522367 /nfs/dbraw/zinc/52/23/67/1072522367.db2.gz USURPHLGVQDXDN-UHFFFAOYSA-N 0 0 444.448 -0.300 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463785368 1072522278 /nfs/dbraw/zinc/52/22/78/1072522278.db2.gz WIALTTBPOCFZST-UHFFFAOYSA-N 0 0 444.536 -0.821 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3C[C@@H](C)O[C@@H](C(=O)OC)C3)c2)nn1 ZINC000463785520 1072522233 /nfs/dbraw/zinc/52/22/33/1072522233.db2.gz ZMANWTVVPPECFA-IAQYHMDHSA-N 0 0 431.405 -0.219 20 0 IBADRN Cn1cc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c(C2CC2)n1 ZINC000463787250 1072521519 /nfs/dbraw/zinc/52/15/19/1072521519.db2.gz GAVIKCLRKFSRBK-HNNXBMFYSA-N 0 0 426.477 -0.045 20 0 IBADRN Cn1cc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c(C2CC2)n1 ZINC000463787251 1072521507 /nfs/dbraw/zinc/52/15/07/1072521507.db2.gz GAVIKCLRKFSRBK-OAHLLOKOSA-N 0 0 426.477 -0.045 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCOCC1 ZINC000463787945 1072522222 /nfs/dbraw/zinc/52/22/22/1072522222.db2.gz DEZLHFULZPYXHU-IBGZPJMESA-N 0 0 445.520 -0.444 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCOCC1 ZINC000463787946 1072522417 /nfs/dbraw/zinc/52/24/17/1072522417.db2.gz DEZLHFULZPYXHU-LJQANCHMSA-N 0 0 445.520 -0.444 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1=O ZINC000463791286 1072521619 /nfs/dbraw/zinc/52/16/19/1072521619.db2.gz UMZWSCNTEAVRMW-UHFFFAOYSA-N 0 0 430.421 -0.690 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCc3nnnn3CC3CC3)c2)nn1 ZINC000463792315 1072521581 /nfs/dbraw/zinc/52/15/81/1072521581.db2.gz ZNSFLRGLRYECPV-UHFFFAOYSA-N 0 0 425.409 -0.295 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N[C@H](CO)Cc1ccc(O)cc1 ZINC000463792741 1072521525 /nfs/dbraw/zinc/52/15/25/1072521525.db2.gz AKQUNBRWLXFHQY-SFHVURJKSA-N 0 0 426.473 -0.018 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)c2)nn1 ZINC000463793810 1072521593 /nfs/dbraw/zinc/52/15/93/1072521593.db2.gz NCHUNJPRTKOBHL-INIZCTEOSA-N 0 0 446.464 -0.856 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)c2)nn1 ZINC000463793811 1072521537 /nfs/dbraw/zinc/52/15/37/1072521537.db2.gz NCHUNJPRTKOBHL-MRXNPFEDSA-N 0 0 446.464 -0.856 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N[C@@H](CC(N)=O)C3CCOCC3)c2)nn1 ZINC000463793853 1072521611 /nfs/dbraw/zinc/52/16/11/1072521611.db2.gz NODUMZBWZYYDRR-HNNXBMFYSA-N 0 0 444.448 -0.221 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N[C@H](CC(N)=O)C3CCOCC3)c2)nn1 ZINC000463793858 1072521553 /nfs/dbraw/zinc/52/15/53/1072521553.db2.gz NODUMZBWZYYDRR-OAHLLOKOSA-N 0 0 444.448 -0.221 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463793946 1072521500 /nfs/dbraw/zinc/52/15/00/1072521500.db2.gz DARRFEWJSZOGJY-MRXNPFEDSA-N 0 0 437.522 -0.903 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CC(=O)NC(=O)C12CCCCC2 ZINC000463794189 1072521485 /nfs/dbraw/zinc/52/14/85/1072521485.db2.gz PLKSVBODEFLOHZ-UHFFFAOYSA-N 0 0 441.488 -0.255 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)c2)nn1 ZINC000463794288 1072521548 /nfs/dbraw/zinc/52/15/48/1072521548.db2.gz GWJWUXKHAQSSTO-CYBMUJFWSA-N 0 0 428.405 -0.356 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)c2)nn1 ZINC000463794289 1072521626 /nfs/dbraw/zinc/52/16/26/1072521626.db2.gz GWJWUXKHAQSSTO-ZDUSSCGKSA-N 0 0 428.405 -0.356 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC(O)(C(=O)OC)CC3)c2)nn1 ZINC000463794923 1072521533 /nfs/dbraw/zinc/52/15/33/1072521533.db2.gz ADANDKVYNWVQJW-UHFFFAOYSA-N 0 0 431.405 -0.481 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(C2CC2)n1 ZINC000463796692 1072521630 /nfs/dbraw/zinc/52/16/30/1072521630.db2.gz GXPLKRXYNWAXRR-AWEZNQCLSA-N 0 0 425.511 -0.111 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(C2CC2)n1 ZINC000463796693 1072522013 /nfs/dbraw/zinc/52/20/13/1072522013.db2.gz GXPLKRXYNWAXRR-CQSZACIVSA-N 0 0 425.511 -0.111 20 0 IBADRN Cc1nn(C)c2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc12 ZINC000463797210 1072521559 /nfs/dbraw/zinc/52/15/59/1072521559.db2.gz HJKHAEQJVZWPDY-UHFFFAOYSA-N 0 0 428.493 -0.177 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1=O ZINC000463797225 1072521567 /nfs/dbraw/zinc/52/15/67/1072521567.db2.gz HRXKPJXKCCJVKA-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1=O ZINC000463797245 1072521604 /nfs/dbraw/zinc/52/16/04/1072521604.db2.gz HXLWHEWPYAJHCT-UHFFFAOYSA-N 0 0 444.448 -0.300 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)C(=O)Nc2cn(C)nc2C2CC2)c1 ZINC000463797589 1072521966 /nfs/dbraw/zinc/52/19/66/1072521966.db2.gz IIRQYEXXTJFZIJ-UHFFFAOYSA-N 0 0 434.478 -0.101 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)C1 ZINC000463798142 1072521994 /nfs/dbraw/zinc/52/19/94/1072521994.db2.gz JFBANGQUUOLEIN-CYBMUJFWSA-N 0 0 429.437 -0.088 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)C1 ZINC000463798143 1072521899 /nfs/dbraw/zinc/52/18/99/1072521899.db2.gz JFBANGQUUOLEIN-ZDUSSCGKSA-N 0 0 429.437 -0.088 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CCOCC1 ZINC000463798550 1072523532 /nfs/dbraw/zinc/52/35/32/1072523532.db2.gz MHLXVCSFPBMSOC-UHFFFAOYSA-N 0 0 432.477 -0.357 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CCN1S(C)(=O)=O ZINC000463799899 1072523552 /nfs/dbraw/zinc/52/35/52/1072523552.db2.gz YNPMTSBZIXFDRZ-AWEZNQCLSA-N 0 0 437.522 -0.951 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463800640 1072523511 /nfs/dbraw/zinc/52/35/11/1072523511.db2.gz CEHNPHYXOOAICE-INIZCTEOSA-N 0 0 431.537 -0.140 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463800641 1072523579 /nfs/dbraw/zinc/52/35/79/1072523579.db2.gz CEHNPHYXOOAICE-MRXNPFEDSA-N 0 0 431.537 -0.140 20 0 IBADRN Cc1nn(C)c2cc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc12 ZINC000463800891 1072523562 /nfs/dbraw/zinc/52/35/62/1072523562.db2.gz RUBOSULAHWFVAM-UHFFFAOYSA-N 0 0 440.464 -0.691 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)c2)nn1 ZINC000463801185 1072523543 /nfs/dbraw/zinc/52/35/43/1072523543.db2.gz AVSTZCVBZUCUFC-AWEZNQCLSA-N 0 0 430.421 -0.908 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)c2)nn1 ZINC000463801186 1072523633 /nfs/dbraw/zinc/52/36/33/1072523633.db2.gz AVSTZCVBZUCUFC-CQSZACIVSA-N 0 0 430.421 -0.908 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cn(C)nc3C3CC3)CC2)cn1C ZINC000463801215 1072523490 /nfs/dbraw/zinc/52/34/90/1072523490.db2.gz QSFJRTAEVZSBFV-UHFFFAOYSA-N 0 0 435.510 -0.189 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ncc(C(F)(F)F)s1)C2 ZINC000463801406 1070828485 /nfs/dbraw/zinc/82/84/85/1070828485.db2.gz TWPGRYISJRHVPG-UHFFFAOYSA-N 0 0 447.399 -0.411 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)c2)nn1 ZINC000463802271 1072522361 /nfs/dbraw/zinc/52/23/61/1072522361.db2.gz UFKUDVZAAMEVFU-UHFFFAOYSA-N 0 0 430.421 -0.690 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000463802280 1072522694 /nfs/dbraw/zinc/52/26/94/1072522694.db2.gz UHOPZLLXSMALRV-IBGZPJMESA-N 0 0 429.521 -0.120 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000463802281 1072522752 /nfs/dbraw/zinc/52/27/52/1072522752.db2.gz UHOPZLLXSMALRV-LJQANCHMSA-N 0 0 429.521 -0.120 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)O1 ZINC000463802490 1072522374 /nfs/dbraw/zinc/52/23/74/1072522374.db2.gz HZBNIYWUNQDHGY-DLBZAZTESA-N 0 0 431.493 -0.691 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)O1 ZINC000463802493 1072522381 /nfs/dbraw/zinc/52/23/81/1072522381.db2.gz HZBNIYWUNQDHGY-IAGOWNOFSA-N 0 0 431.493 -0.691 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)O1 ZINC000463802495 1072522389 /nfs/dbraw/zinc/52/23/89/1072522389.db2.gz HZBNIYWUNQDHGY-IRXDYDNUSA-N 0 0 431.493 -0.691 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)O1 ZINC000463802497 1072522244 /nfs/dbraw/zinc/52/22/44/1072522244.db2.gz HZBNIYWUNQDHGY-SJORKVTESA-N 0 0 431.493 -0.691 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC[C@@H]3CS(N)(=O)=O)c2)nn1 ZINC000463802557 1072522317 /nfs/dbraw/zinc/52/23/17/1072522317.db2.gz IIFGNGHKLHVHKD-CYBMUJFWSA-N 0 0 436.450 -0.728 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC[C@H]3CS(N)(=O)=O)c2)nn1 ZINC000463802559 1072522255 /nfs/dbraw/zinc/52/22/55/1072522255.db2.gz IIFGNGHKLHVHKD-ZDUSSCGKSA-N 0 0 436.450 -0.728 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000463803006 1072522201 /nfs/dbraw/zinc/52/22/01/1072522201.db2.gz IOGXZYSNBVKHND-HNNXBMFYSA-N 0 0 446.426 -0.309 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)C2)nn1 ZINC000463803631 1072522739 /nfs/dbraw/zinc/52/27/39/1072522739.db2.gz HHMXHOSOPCRNOC-UHFFFAOYSA-N 0 0 441.448 -0.982 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463803649 1072522745 /nfs/dbraw/zinc/52/27/45/1072522745.db2.gz HNAZUPDENNJJCN-GOSISDBHSA-N 0 0 432.477 -0.671 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463803650 1072522794 /nfs/dbraw/zinc/52/27/94/1072522794.db2.gz HNAZUPDENNJJCN-SFHVURJKSA-N 0 0 432.477 -0.671 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000463803847 1072522779 /nfs/dbraw/zinc/52/27/79/1072522779.db2.gz LGANGYWAFFTHSG-UHFFFAOYSA-N 0 0 441.467 -0.165 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)c2)nn1 ZINC000463804649 1072522809 /nfs/dbraw/zinc/52/28/09/1072522809.db2.gz BNKJSHCNOKTFHT-UHFFFAOYSA-N 0 0 446.464 -0.855 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000463806149 1072522720 /nfs/dbraw/zinc/52/27/20/1072522720.db2.gz HNXJSJJCBVRZTM-UHFFFAOYSA-N 0 0 427.383 -0.253 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)CC2)[nH]1 ZINC000463806283 1072522763 /nfs/dbraw/zinc/52/27/63/1072522763.db2.gz OKUQSAKGGOOSHW-UHFFFAOYSA-N 0 0 440.508 -0.672 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC([C@H](O)C(=O)OC)CC3)c2)nn1 ZINC000463806710 1072522816 /nfs/dbraw/zinc/52/28/16/1072522816.db2.gz PQYUMPUDXXJXOM-INIZCTEOSA-N 0 0 445.432 -0.235 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC([C@@H](O)C(=O)OC)CC3)c2)nn1 ZINC000463806711 1072522758 /nfs/dbraw/zinc/52/27/58/1072522758.db2.gz PQYUMPUDXXJXOM-MRXNPFEDSA-N 0 0 445.432 -0.235 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C1CC1 ZINC000463806789 1072522800 /nfs/dbraw/zinc/52/28/00/1072522800.db2.gz STBFADKQWYEFII-AWEZNQCLSA-N 0 0 436.534 -0.019 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C1CC1 ZINC000463806790 1072522730 /nfs/dbraw/zinc/52/27/30/1072522730.db2.gz STBFADKQWYEFII-CQSZACIVSA-N 0 0 436.534 -0.019 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)CC2)n1 ZINC000463807460 1072523079 /nfs/dbraw/zinc/52/30/79/1072523079.db2.gz LJTYHKMMVOSXHT-UHFFFAOYSA-N 0 0 444.521 -0.661 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463807629 1072523127 /nfs/dbraw/zinc/52/31/27/1072523127.db2.gz CLZMIOCFRWQJDF-UHFFFAOYSA-N 0 0 437.522 -0.916 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)NC(=O)[C@@H]1OC[C@H]2COCC[C@H]21 ZINC000463807649 1072522705 /nfs/dbraw/zinc/52/27/05/1072522705.db2.gz CMSVHJWJYYPXRV-GAGLWCAZSA-N 0 0 426.510 -0.273 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)NC(=O)[C@@H]1OC[C@@H]2COCC[C@@H]12 ZINC000463807666 1072522685 /nfs/dbraw/zinc/52/26/85/1072522685.db2.gz CMSVHJWJYYPXRV-HSEDVOAYSA-N 0 0 426.510 -0.273 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)NC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC000463807667 1072522700 /nfs/dbraw/zinc/52/27/00/1072522700.db2.gz CMSVHJWJYYPXRV-IXEPAOCPSA-N 0 0 426.510 -0.273 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)NC(=O)[C@@H]1OC[C@H]2COCC[C@@H]21 ZINC000463807669 1072522734 /nfs/dbraw/zinc/52/27/34/1072522734.db2.gz CMSVHJWJYYPXRV-MXBGRISYSA-N 0 0 426.510 -0.273 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)[C@@H](C)C1 ZINC000463808310 1072523096 /nfs/dbraw/zinc/52/30/96/1072523096.db2.gz XIKCOIPVEWPVCC-INIZCTEOSA-N 0 0 445.520 -0.347 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)[C@H](C)C1 ZINC000463808311 1072523137 /nfs/dbraw/zinc/52/31/37/1072523137.db2.gz XIKCOIPVEWPVCC-MRXNPFEDSA-N 0 0 445.520 -0.347 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC(S(N)(=O)=O)CC3)c2)nn1 ZINC000463808958 1072523174 /nfs/dbraw/zinc/52/31/74/1072523174.db2.gz OQPLADBVCXCKJP-UHFFFAOYSA-N 0 0 436.450 -0.728 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NC[C@H]3CC[C@H](C(=O)N(C)C)O3)c2)nn1 ZINC000463809212 1072523203 /nfs/dbraw/zinc/52/32/03/1072523203.db2.gz ZTHUBIRJRPAUAK-GDBMZVCRSA-N 0 0 444.448 -0.256 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NC[C@@H]3CC[C@H](C(=O)N(C)C)O3)c2)nn1 ZINC000463809213 1072523159 /nfs/dbraw/zinc/52/31/59/1072523159.db2.gz ZTHUBIRJRPAUAK-GOEBONIOSA-N 0 0 444.448 -0.256 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NC[C@@H]3CC[C@@H](C(=O)N(C)C)O3)c2)nn1 ZINC000463809214 1072523168 /nfs/dbraw/zinc/52/31/68/1072523168.db2.gz ZTHUBIRJRPAUAK-HOCLYGCPSA-N 0 0 444.448 -0.256 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NC[C@H]3CC[C@@H](C(=O)N(C)C)O3)c2)nn1 ZINC000463809215 1072523212 /nfs/dbraw/zinc/52/32/12/1072523212.db2.gz ZTHUBIRJRPAUAK-ZBFHGGJFSA-N 0 0 444.448 -0.256 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CC(OCC(=O)N(C)C)C3)c2)nn1 ZINC000463809559 1072523070 /nfs/dbraw/zinc/52/30/70/1072523070.db2.gz WEYPCJWBLKNIJM-UHFFFAOYSA-N 0 0 430.421 -0.692 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC[C@@H](O)[C@H]3C(=O)OC)c2)nn1 ZINC000463811190 1073579180 /nfs/dbraw/zinc/57/91/80/1073579180.db2.gz MSVNDRKIEMIECH-CABCVRRESA-N 0 0 431.405 -0.483 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC[C@H](O)[C@H]3C(=O)OC)c2)nn1 ZINC000463811191 1073579194 /nfs/dbraw/zinc/57/91/94/1073579194.db2.gz MSVNDRKIEMIECH-GJZGRUSLSA-N 0 0 431.405 -0.483 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC[C@@H](O)[C@@H]3C(=O)OC)c2)nn1 ZINC000463811192 1073579423 /nfs/dbraw/zinc/57/94/23/1073579423.db2.gz MSVNDRKIEMIECH-HUUCEWRRSA-N 0 0 431.405 -0.483 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCC[C@H](O)[C@@H]3C(=O)OC)c2)nn1 ZINC000463811193 1073579340 /nfs/dbraw/zinc/57/93/40/1073579340.db2.gz MSVNDRKIEMIECH-LSDHHAIUSA-N 0 0 431.405 -0.483 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000463812170 1072523117 /nfs/dbraw/zinc/52/31/17/1072523117.db2.gz VCMPIIYYBPQVHL-UHFFFAOYSA-N 0 0 438.550 -0.038 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3C[C@@H]4CCS(=O)(=O)[C@@H]4C3)c2)nn1 ZINC000463822131 1072523984 /nfs/dbraw/zinc/52/39/84/1072523984.db2.gz ABYVGCGKWGIQPS-XHDPSFHLSA-N 0 0 433.446 -0.362 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463823324 1072524005 /nfs/dbraw/zinc/52/40/05/1072524005.db2.gz BMXPWIOQGCCWCZ-GOSISDBHSA-N 0 0 445.520 -0.347 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000463823326 1072523898 /nfs/dbraw/zinc/52/38/98/1072523898.db2.gz BMXPWIOQGCCWCZ-SFHVURJKSA-N 0 0 445.520 -0.347 20 0 IBADRN Cc1nn(C)c2cc(NC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)ccc12 ZINC000463830403 1072524370 /nfs/dbraw/zinc/52/43/70/1072524370.db2.gz ZAQGCCSZIUNRQR-UHFFFAOYSA-N 0 0 425.449 0.514 20 0 IBADRN C[C@@H]1[C@H](N2CCOCC2)CCN1C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463833477 1072524722 /nfs/dbraw/zinc/52/47/22/1072524722.db2.gz HEVYKMQNDDJJOA-VQIMIIECSA-N 0 0 429.521 -0.122 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C[C@@H](C)S1(=O)=O ZINC000463837558 1072524879 /nfs/dbraw/zinc/52/48/79/1072524879.db2.gz LATDHJHKEDRVIS-PBHICJAKSA-N 0 0 436.534 -0.019 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C[C@@H](C)S1(=O)=O ZINC000463837559 1072524654 /nfs/dbraw/zinc/52/46/54/1072524654.db2.gz LATDHJHKEDRVIS-RHSMWYFYSA-N 0 0 436.534 -0.019 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C[C@H](C)S1(=O)=O ZINC000463837560 1072524756 /nfs/dbraw/zinc/52/47/56/1072524756.db2.gz LATDHJHKEDRVIS-WMLDXEAASA-N 0 0 436.534 -0.019 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C[C@H](C)S1(=O)=O ZINC000463837561 1072524665 /nfs/dbraw/zinc/52/46/65/1072524665.db2.gz LATDHJHKEDRVIS-YOEHRIQHSA-N 0 0 436.534 -0.019 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000463847295 1072524388 /nfs/dbraw/zinc/52/43/88/1072524388.db2.gz XSCOUVALJNFADA-UHFFFAOYSA-N 0 0 441.410 -0.210 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)C(=O)Nc1cccc(-n2cc(C(=O)OC)nn2)c1 ZINC000463848178 1072524278 /nfs/dbraw/zinc/52/42/78/1072524278.db2.gz FQTQOWBFDKDMCG-ZDUSSCGKSA-N 0 0 434.478 -0.024 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463848516 1072524360 /nfs/dbraw/zinc/52/43/60/1072524360.db2.gz QDMIATJQGBJGIB-APWZRJJASA-N 0 0 429.521 -0.122 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463848517 1072524307 /nfs/dbraw/zinc/52/43/07/1072524307.db2.gz QDMIATJQGBJGIB-LPHOPBHVSA-N 0 0 429.521 -0.122 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463848518 1072524854 /nfs/dbraw/zinc/52/48/54/1072524854.db2.gz QDMIATJQGBJGIB-QFBILLFUSA-N 0 0 429.521 -0.122 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463848519 1072524903 /nfs/dbraw/zinc/52/49/03/1072524903.db2.gz QDMIATJQGBJGIB-VQIMIIECSA-N 0 0 429.521 -0.122 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000463849168 1072524353 /nfs/dbraw/zinc/52/43/53/1072524353.db2.gz YLSLOTCLDXTIBK-AWEZNQCLSA-N 0 0 447.535 -0.836 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000463849170 1072524330 /nfs/dbraw/zinc/52/43/30/1072524330.db2.gz YLSLOTCLDXTIBK-CQSZACIVSA-N 0 0 447.535 -0.836 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NS(=O)(=O)CCCN3CCOCC3)C2)cc1 ZINC000463854257 1072525707 /nfs/dbraw/zinc/52/57/07/1072525707.db2.gz IXZWAMZHRIEFHS-UHFFFAOYSA-N 0 0 433.552 -0.290 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000463854758 1072525701 /nfs/dbraw/zinc/52/57/01/1072525701.db2.gz LRFOWBAOCJJPMR-UHFFFAOYSA-N 0 0 445.501 -0.479 20 0 IBADRN CSCC[C@H](NC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N(C)C ZINC000463854847 1072525673 /nfs/dbraw/zinc/52/56/73/1072525673.db2.gz MRXGGHDFSXODAP-INIZCTEOSA-N 0 0 435.550 -0.117 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3S(=O)(=O)CCCN3CCOCC3)no2)cn1 ZINC000463855262 1072525771 /nfs/dbraw/zinc/52/57/71/1072525771.db2.gz LULURCBNYOTPJH-HNNXBMFYSA-N 0 0 426.499 -0.105 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3S(=O)(=O)CCCN3CCOCC3)no2)cn1 ZINC000463855263 1072525578 /nfs/dbraw/zinc/52/55/78/1072525578.db2.gz LULURCBNYOTPJH-OAHLLOKOSA-N 0 0 426.499 -0.105 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)CCCN3CCOCC3)CC2)cn1C ZINC000463863994 1072524684 /nfs/dbraw/zinc/52/46/84/1072524684.db2.gz IOYNIYDGBYKXJF-UHFFFAOYSA-N 0 0 435.572 -0.913 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000463864230 1072524825 /nfs/dbraw/zinc/52/48/25/1072524825.db2.gz DKFVXSDMPDHJJY-INIZCTEOSA-N 0 0 425.573 -0.835 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000463864231 1072524674 /nfs/dbraw/zinc/52/46/74/1072524674.db2.gz DKFVXSDMPDHJJY-MRXNPFEDSA-N 0 0 425.573 -0.835 20 0 IBADRN CO[C@@](C)(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CC1 ZINC000463869455 1072529053 /nfs/dbraw/zinc/52/90/53/1072529053.db2.gz SLUZQBBGVSRRJI-IBGZPJMESA-N 0 0 438.506 -0.323 20 0 IBADRN CO[C@](C)(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CC1 ZINC000463869461 1072529176 /nfs/dbraw/zinc/52/91/76/1072529176.db2.gz SLUZQBBGVSRRJI-LJQANCHMSA-N 0 0 438.506 -0.323 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@H]2CC(=O)c2cccs2)CC1 ZINC000463872436 1072529437 /nfs/dbraw/zinc/52/94/37/1072529437.db2.gz OXOONGPUSWIBDK-CYBMUJFWSA-N 0 0 437.565 -0.156 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@@H]2CC(=O)c2cccs2)CC1 ZINC000463872437 1072529582 /nfs/dbraw/zinc/52/95/82/1072529582.db2.gz OXOONGPUSWIBDK-ZDUSSCGKSA-N 0 0 437.565 -0.156 20 0 IBADRN O=C(Cn1ccc(NC(=O)[C@@H]2CCCN2S(=O)(=O)c2ccccc2)n1)N1CCOCC1 ZINC000463872633 1073322784 /nfs/dbraw/zinc/32/27/84/1073322784.db2.gz WRMXQBOEGAEMMV-KRWDZBQOSA-N 0 0 447.517 0.534 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)c3ccc(S(=O)(=O)CC(N)=O)cc3)cn2)CC1 ZINC000463880486 1072528052 /nfs/dbraw/zinc/52/80/52/1072528052.db2.gz RAGDSJUWLOEPEK-UHFFFAOYSA-N 0 0 448.505 -0.832 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cn(Cc3ccccn3)nn2)c1 ZINC000463882886 1072528073 /nfs/dbraw/zinc/52/80/73/1072528073.db2.gz ZXUAEZJYNKOZKV-UHFFFAOYSA-N 0 0 429.462 -0.002 20 0 IBADRN CC1(C)NC(=O)N(c2cccc(NC(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)C1=O ZINC000463912826 1072530181 /nfs/dbraw/zinc/53/01/81/1072530181.db2.gz PIRQCTSXLROCBD-UHFFFAOYSA-N 0 0 438.510 -0.041 20 0 IBADRN NC(=O)Cn1cc(C(=O)NCCc2ccc(S(=O)(=O)N3CCCCC3)s2)nn1 ZINC000463921658 1072530708 /nfs/dbraw/zinc/53/07/08/1072530708.db2.gz CAMITZPAEFIPDU-UHFFFAOYSA-N 0 0 426.524 -0.028 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1 ZINC000463924518 1072530551 /nfs/dbraw/zinc/53/05/51/1072530551.db2.gz KOVADVYHKQGPLP-UHFFFAOYSA-N 0 0 445.480 -0.034 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCCc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000463936332 1072530664 /nfs/dbraw/zinc/53/06/64/1072530664.db2.gz RUDIRJQLDBLQDF-UHFFFAOYSA-N 0 0 430.552 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](O)C2(C)C)ccc1OC ZINC000463980035 1072532149 /nfs/dbraw/zinc/53/21/49/1072532149.db2.gz JOADIWXJNKTJJZ-HNNXBMFYSA-N 0 0 429.495 -0.070 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](O)C2(C)C)ccc1OC ZINC000463980036 1072532225 /nfs/dbraw/zinc/53/22/25/1072532225.db2.gz JOADIWXJNKTJJZ-OAHLLOKOSA-N 0 0 429.495 -0.070 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC[C@H](n2cccn2)C1 ZINC000463981228 1072532303 /nfs/dbraw/zinc/53/23/03/1072532303.db2.gz CDFFIIWOCYKLJI-HNNXBMFYSA-N 0 0 446.489 -0.584 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC[C@@H](n2cccn2)C1 ZINC000463981229 1072532268 /nfs/dbraw/zinc/53/22/68/1072532268.db2.gz CDFFIIWOCYKLJI-OAHLLOKOSA-N 0 0 446.489 -0.584 20 0 IBADRN COCC[C@H]1COCCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000463997530 1072531940 /nfs/dbraw/zinc/53/19/40/1072531940.db2.gz MPPJPMNYLNZDHO-KRWDZBQOSA-N 0 0 441.554 -0.020 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000463997531 1072531955 /nfs/dbraw/zinc/53/19/55/1072531955.db2.gz MPPJPMNYLNZDHO-QGZVFWFLSA-N 0 0 441.554 -0.020 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@](CO)(Cc3ccccc3)C2)n1 ZINC000464000240 1073305223 /nfs/dbraw/zinc/30/52/23/1073305223.db2.gz XZTPIAZUPMFWCD-NRFANRHFSA-N 0 0 428.493 0.149 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@@](CO)(Cc3ccccc3)C2)n1 ZINC000464000241 1072531709 /nfs/dbraw/zinc/53/17/09/1072531709.db2.gz XZTPIAZUPMFWCD-OAQYLSRUSA-N 0 0 428.493 0.149 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000464003668 1073334528 /nfs/dbraw/zinc/33/45/28/1073334528.db2.gz KDGMCGRTEZSYFV-JOCHJYFZSA-N 0 0 427.505 0.316 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CC[C@](CO)(c2ccccc2)C1 ZINC000464003669 1073334651 /nfs/dbraw/zinc/33/46/51/1073334651.db2.gz KDGMCGRTEZSYFV-QFIPXVFZSA-N 0 0 427.505 0.316 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3F)C2)c1 ZINC000464006032 1072532389 /nfs/dbraw/zinc/53/23/89/1072532389.db2.gz LRPXGVLZADUBLQ-AWEZNQCLSA-N 0 0 441.419 -0.099 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3F)C2)c1 ZINC000464006033 1072532239 /nfs/dbraw/zinc/53/22/39/1072532239.db2.gz LRPXGVLZADUBLQ-CQSZACIVSA-N 0 0 441.419 -0.099 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000464006450 1072532292 /nfs/dbraw/zinc/53/22/92/1072532292.db2.gz MWYBKKJBILOICW-BFYDXBDKSA-N 0 0 437.497 -0.279 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000464006460 1072532184 /nfs/dbraw/zinc/53/21/84/1072532184.db2.gz MWYBKKJBILOICW-HLLBOEOZSA-N 0 0 437.497 -0.279 20 0 IBADRN COCC[C@H]1COCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000464006461 1072532379 /nfs/dbraw/zinc/53/23/79/1072532379.db2.gz MWYBKKJBILOICW-UXLLHSPISA-N 0 0 437.497 -0.279 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000464006463 1072532327 /nfs/dbraw/zinc/53/23/27/1072532327.db2.gz MWYBKKJBILOICW-YQQAZPJKSA-N 0 0 437.497 -0.279 20 0 IBADRN COCC[C@H]1COCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464008391 1072532338 /nfs/dbraw/zinc/53/23/38/1072532338.db2.gz XLERKDIEFFVBOH-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464008392 1072532202 /nfs/dbraw/zinc/53/22/02/1072532202.db2.gz XLERKDIEFFVBOH-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000464009846 1072532402 /nfs/dbraw/zinc/53/24/02/1072532402.db2.gz JCTOSLVFRAZYBG-APWZRJJASA-N 0 0 447.488 -0.097 20 0 IBADRN COCC[C@H]1COCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000464009847 1072532349 /nfs/dbraw/zinc/53/23/49/1072532349.db2.gz JCTOSLVFRAZYBG-LPHOPBHVSA-N 0 0 447.488 -0.097 20 0 IBADRN COCC[C@H]1COCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000464009848 1072532255 /nfs/dbraw/zinc/53/22/55/1072532255.db2.gz JCTOSLVFRAZYBG-QFBILLFUSA-N 0 0 447.488 -0.097 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000464009849 1072532164 /nfs/dbraw/zinc/53/21/64/1072532164.db2.gz JCTOSLVFRAZYBG-VQIMIIECSA-N 0 0 447.488 -0.097 20 0 IBADRN COCC[C@H]1COCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000464011579 1072532828 /nfs/dbraw/zinc/53/28/28/1072532828.db2.gz AGGAVHZNIADEGH-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000464011580 1072532730 /nfs/dbraw/zinc/53/27/30/1072532730.db2.gz AGGAVHZNIADEGH-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN Cc1cc(N(C)C)cc(C)c1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000464011907 1072532665 /nfs/dbraw/zinc/53/26/65/1072532665.db2.gz BOGZSVAZZHPRFF-UHFFFAOYSA-N 0 0 445.520 -0.162 20 0 IBADRN COCC[C@H]1COCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000464012234 1072532747 /nfs/dbraw/zinc/53/27/47/1072532747.db2.gz CRRLARCYMVKVBB-IBGZPJMESA-N 0 0 448.520 -0.049 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000464012235 1072532716 /nfs/dbraw/zinc/53/27/16/1072532716.db2.gz CRRLARCYMVKVBB-LJQANCHMSA-N 0 0 448.520 -0.049 20 0 IBADRN COCC[C@H]1COCCN1C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000464016760 1073335040 /nfs/dbraw/zinc/33/50/40/1073335040.db2.gz HBLDXUOWSVCPDO-HNNXBMFYSA-N 0 0 432.481 0.549 20 0 IBADRN COCC[C@@H]1COCCN1C(=O)C(=O)Nc1ncn(Cc2ncc(C)c(OC)c2C)n1 ZINC000464016761 1072532637 /nfs/dbraw/zinc/53/26/37/1072532637.db2.gz HBLDXUOWSVCPDO-OAHLLOKOSA-N 0 0 432.481 0.549 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@@H]2CCOC)cc1 ZINC000464018094 1072533260 /nfs/dbraw/zinc/53/32/60/1072533260.db2.gz HWHGGINOTATOCF-HNNXBMFYSA-N 0 0 429.495 -0.186 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@H]2CCOC)cc1 ZINC000464018097 1072533335 /nfs/dbraw/zinc/53/33/35/1072533335.db2.gz HWHGGINOTATOCF-OAHLLOKOSA-N 0 0 429.495 -0.186 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3F)C2)cn1 ZINC000464018261 1072533239 /nfs/dbraw/zinc/53/32/39/1072533239.db2.gz IRKNUQJLOPVTAM-CYBMUJFWSA-N 0 0 446.439 -0.355 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3F)C2)cn1 ZINC000464018268 1072533396 /nfs/dbraw/zinc/53/33/96/1072533396.db2.gz IRKNUQJLOPVTAM-ZDUSSCGKSA-N 0 0 446.439 -0.355 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000464019214 1073334559 /nfs/dbraw/zinc/33/45/59/1073334559.db2.gz WJHCOMQRKWGLPO-HNNXBMFYSA-N 0 0 444.467 0.215 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000464019229 1073334359 /nfs/dbraw/zinc/33/43/59/1073334359.db2.gz WJHCOMQRKWGLPO-OAHLLOKOSA-N 0 0 444.467 0.215 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@](CO)(c4ccccc4)C3)cnc2n(C)c1=O ZINC000464020322 1072533254 /nfs/dbraw/zinc/53/32/54/1072533254.db2.gz MVTKKNAPLFFOPQ-JOCHJYFZSA-N 0 0 437.456 -0.267 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@](CO)(c4ccccc4)C3)cnc2n(C)c1=O ZINC000464020323 1072533170 /nfs/dbraw/zinc/53/31/70/1072533170.db2.gz MVTKKNAPLFFOPQ-QFIPXVFZSA-N 0 0 437.456 -0.267 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@@](CO)(c3ccccc3)C2)n1)N1CCOCC1 ZINC000464020546 1073321948 /nfs/dbraw/zinc/32/19/48/1073321948.db2.gz IFDAJZKNZIRENZ-JOCHJYFZSA-N 0 0 441.488 -0.157 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@](CO)(c3ccccc3)C2)n1)N1CCOCC1 ZINC000464020547 1073322043 /nfs/dbraw/zinc/32/20/43/1073322043.db2.gz IFDAJZKNZIRENZ-QFIPXVFZSA-N 0 0 441.488 -0.157 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@](CO)(c3ccccc3)C2)cn1 ZINC000464020645 1072533900 /nfs/dbraw/zinc/53/39/00/1072533900.db2.gz ZGZZHVWVHNUHAM-NRFANRHFSA-N 0 0 429.477 -0.253 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@@](CO)(c3ccccc3)C2)cn1 ZINC000464020646 1072533892 /nfs/dbraw/zinc/53/38/92/1072533892.db2.gz ZGZZHVWVHNUHAM-OAQYLSRUSA-N 0 0 429.477 -0.253 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@@](CO)(c3ccccc3)C2)cn1)N1CCOCC1 ZINC000464021826 1072533866 /nfs/dbraw/zinc/53/38/66/1072533866.db2.gz SQUXSWNRUQFIJN-JOCHJYFZSA-N 0 0 441.488 -0.157 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@](CO)(c3ccccc3)C2)cn1)N1CCOCC1 ZINC000464021827 1072533882 /nfs/dbraw/zinc/53/38/82/1072533882.db2.gz SQUXSWNRUQFIJN-QFIPXVFZSA-N 0 0 441.488 -0.157 20 0 IBADRN COC(=O)CCCc1nnc(NC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)s1 ZINC000464057436 1073323958 /nfs/dbraw/zinc/32/39/58/1073323958.db2.gz GBXPBNYXBKOUQT-UHFFFAOYSA-N 0 0 426.476 0.545 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)c3ccc(S(=O)(=O)CC(N)=O)cc3)CC2)n1 ZINC000464076785 1072535288 /nfs/dbraw/zinc/53/52/88/1072535288.db2.gz PTCVHOPNMVMMHE-UHFFFAOYSA-N 0 0 432.506 -0.236 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464089297 1073362859 /nfs/dbraw/zinc/36/28/59/1073362859.db2.gz VPXOEOPOJIAGHN-UHFFFAOYSA-N 0 0 448.509 -0.082 20 0 IBADRN O=C(CCCS(=O)(=O)N1CCCC1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464092642 1072535691 /nfs/dbraw/zinc/53/56/91/1072535691.db2.gz XNCDSOHOTKDFGP-UHFFFAOYSA-N 0 0 434.540 -0.146 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1=O ZINC000464093768 1072535639 /nfs/dbraw/zinc/53/56/39/1072535639.db2.gz FGXRKZAETHMFPF-UHFFFAOYSA-N 0 0 427.483 -0.241 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000464095251 1072535550 /nfs/dbraw/zinc/53/55/50/1072535550.db2.gz JXKKDRFOXPCCDV-UHFFFAOYSA-N 0 0 428.492 -0.130 20 0 IBADRN CC1(C)NC(=O)N(CCCC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1=O ZINC000464101203 1072535627 /nfs/dbraw/zinc/53/56/27/1072535627.db2.gz LYPRQLXUGXJWDP-UHFFFAOYSA-N 0 0 427.483 -0.241 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000464102051 1072535648 /nfs/dbraw/zinc/53/56/48/1072535648.db2.gz PAXZDKACLFTSQG-AWEZNQCLSA-N 0 0 434.540 -0.290 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000464102052 1072535664 /nfs/dbraw/zinc/53/56/64/1072535664.db2.gz PAXZDKACLFTSQG-CQSZACIVSA-N 0 0 434.540 -0.290 20 0 IBADRN CC(C)N(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCOC1 ZINC000464103952 1072535989 /nfs/dbraw/zinc/53/59/89/1072535989.db2.gz JAIMOZDPHCXERT-HNNXBMFYSA-N 0 0 438.506 -0.229 20 0 IBADRN CC(C)N(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCOC1 ZINC000464103953 1072536070 /nfs/dbraw/zinc/53/60/70/1072536070.db2.gz JAIMOZDPHCXERT-OAHLLOKOSA-N 0 0 438.506 -0.229 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464104665 1072536060 /nfs/dbraw/zinc/53/60/60/1072536060.db2.gz OECGCHFRNYMYBZ-UHFFFAOYSA-N 0 0 434.521 -0.020 20 0 IBADRN Cn1nc2c(c1CNC(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)CCCC2 ZINC000464105055 1072535899 /nfs/dbraw/zinc/53/58/99/1072535899.db2.gz RHGQWNZWCMBPBH-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464107197 1072535957 /nfs/dbraw/zinc/53/59/57/1072535957.db2.gz CQWXWHYLJURHLB-UHFFFAOYSA-N 0 0 443.507 -0.588 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)cc2[nH]c(=O)c1=O ZINC000464108890 1072536097 /nfs/dbraw/zinc/53/60/97/1072536097.db2.gz HDRIJICADYPACA-UHFFFAOYSA-N 0 0 447.473 -0.015 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1=O ZINC000464109572 1072536050 /nfs/dbraw/zinc/53/60/50/1072536050.db2.gz PKAZUFNVVYAEBY-KRWDZBQOSA-N 0 0 425.467 -0.631 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1=O ZINC000464109573 1072536038 /nfs/dbraw/zinc/53/60/38/1072536038.db2.gz PKAZUFNVVYAEBY-QGZVFWFLSA-N 0 0 425.467 -0.631 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464109728 1072536121 /nfs/dbraw/zinc/53/61/21/1072536121.db2.gz QCWWOGQKOQFYAL-UHFFFAOYSA-N 0 0 439.494 -0.097 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464111796 1072535920 /nfs/dbraw/zinc/53/59/20/1072535920.db2.gz QMKJVCJOVITKGL-UHFFFAOYSA-N 0 0 439.494 -0.097 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464114479 1072536088 /nfs/dbraw/zinc/53/60/88/1072536088.db2.gz YWYWIYWVNUAKIT-UHFFFAOYSA-N 0 0 425.467 -0.487 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)C2=O)n(C)n1 ZINC000464114849 1072536593 /nfs/dbraw/zinc/53/65/93/1072536593.db2.gz ODFMAMLDRNDQTJ-GFCCVEGCSA-N 0 0 442.461 -0.325 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)C2=O)n(C)n1 ZINC000464114850 1072536707 /nfs/dbraw/zinc/53/67/07/1072536707.db2.gz ODFMAMLDRNDQTJ-LBPRGKRZSA-N 0 0 442.461 -0.325 20 0 IBADRN Cn1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c(C2CC2)n1 ZINC000464115941 1072535597 /nfs/dbraw/zinc/53/55/97/1072535597.db2.gz PQTNWUVWVFXSJG-UHFFFAOYSA-N 0 0 446.489 -0.005 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)C2=O)n(C)n1 ZINC000464118479 1072536012 /nfs/dbraw/zinc/53/60/12/1072536012.db2.gz BGZSNKPEVDNCOB-IAGOWNOFSA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)C2=O)n(C)n1 ZINC000464119890 1072535933 /nfs/dbraw/zinc/53/59/33/1072535933.db2.gz SROZWJPLTUAXMU-GFCCVEGCSA-N 0 0 425.449 -0.482 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)C2=O)n(C)n1 ZINC000464119891 1072536004 /nfs/dbraw/zinc/53/60/04/1072536004.db2.gz SROZWJPLTUAXMU-LBPRGKRZSA-N 0 0 425.449 -0.482 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2=O)n(C)n1 ZINC000464123677 1072536553 /nfs/dbraw/zinc/53/65/53/1072536553.db2.gz VJJOSQABKWCALZ-GXTWGEPZSA-N 0 0 437.526 -0.333 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2=O)n(C)n1 ZINC000464123678 1072536581 /nfs/dbraw/zinc/53/65/81/1072536581.db2.gz VJJOSQABKWCALZ-JSGCOSHPSA-N 0 0 437.526 -0.333 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2=O)n(C)n1 ZINC000464123679 1072536491 /nfs/dbraw/zinc/53/64/91/1072536491.db2.gz VJJOSQABKWCALZ-OCCSQVGLSA-N 0 0 437.526 -0.333 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2=O)n(C)n1 ZINC000464123680 1072536687 /nfs/dbraw/zinc/53/66/87/1072536687.db2.gz VJJOSQABKWCALZ-TZMCWYRMSA-N 0 0 437.526 -0.333 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)C2=O)n(C)n1 ZINC000464126352 1072536526 /nfs/dbraw/zinc/53/65/26/1072536526.db2.gz PMKVLORJKTXSJX-AWEZNQCLSA-N 0 0 436.494 -0.387 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)C2=O)n(C)n1 ZINC000464126353 1072536642 /nfs/dbraw/zinc/53/66/42/1072536642.db2.gz PMKVLORJKTXSJX-CQSZACIVSA-N 0 0 436.494 -0.387 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2=O)n(C)n1 ZINC000464127404 1072536620 /nfs/dbraw/zinc/53/66/20/1072536620.db2.gz XRMCIHPJOKSSML-JTQLQIEISA-N 0 0 425.243 -0.325 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2=O)n(C)n1 ZINC000464127405 1072536651 /nfs/dbraw/zinc/53/66/51/1072536651.db2.gz XRMCIHPJOKSSML-SNVBAGLBSA-N 0 0 425.243 -0.325 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cc1S(N)(=O)=O ZINC000464140900 1072536542 /nfs/dbraw/zinc/53/65/42/1072536542.db2.gz LYCKLISDXUTJFN-CYBMUJFWSA-N 0 0 439.469 -0.012 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cc1S(N)(=O)=O ZINC000464140911 1072536699 /nfs/dbraw/zinc/53/66/99/1072536699.db2.gz LYCKLISDXUTJFN-ZDUSSCGKSA-N 0 0 439.469 -0.012 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)c1 ZINC000464145542 1072536505 /nfs/dbraw/zinc/53/65/05/1072536505.db2.gz REHGHCLHPHROPQ-UHFFFAOYSA-N 0 0 428.430 -0.108 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)C[C@H](C)O ZINC000464145600 1072536560 /nfs/dbraw/zinc/53/65/60/1072536560.db2.gz KKQZYGDEFYWVMY-ZDUSSCGKSA-N 0 0 428.511 -0.591 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2Cc3ccc(O)cc3C3(CC3)C2)n1)N1CCOCC1 ZINC000464147336 1072536567 /nfs/dbraw/zinc/53/65/67/1072536567.db2.gz SRGMGHONQINJLI-UHFFFAOYSA-N 0 0 439.472 0.460 20 0 IBADRN C[C@H](O)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000464151414 1072536721 /nfs/dbraw/zinc/53/67/21/1072536721.db2.gz KTDPTVQKXHIKSI-ZDUSSCGKSA-N 0 0 428.511 -0.449 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)c1 ZINC000464157033 1072536605 /nfs/dbraw/zinc/53/66/05/1072536605.db2.gz IHGRTNTVHRICHB-UHFFFAOYSA-N 0 0 448.501 -0.035 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)c1 ZINC000464160653 1072537531 /nfs/dbraw/zinc/53/75/31/1072537531.db2.gz YQDLZBIMWDDGEG-UHFFFAOYSA-N 0 0 446.485 -0.399 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)C[C@@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000464161776 1072537597 /nfs/dbraw/zinc/53/75/97/1072537597.db2.gz VVLYZYZLRLSIJA-CYBMUJFWSA-N 0 0 429.495 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)C[C@@H](C)O ZINC000464169289 1072537137 /nfs/dbraw/zinc/53/71/37/1072537137.db2.gz KKQZYGDEFYWVMY-CYBMUJFWSA-N 0 0 428.511 -0.591 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)C[C@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000464170213 1072537093 /nfs/dbraw/zinc/53/70/93/1072537093.db2.gz VVLYZYZLRLSIJA-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)C[C@@H](C)O ZINC000464170394 1072536976 /nfs/dbraw/zinc/53/69/76/1072536976.db2.gz RHZINVBAQJENTR-CYBMUJFWSA-N 0 0 429.495 -0.116 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000464171213 1072536965 /nfs/dbraw/zinc/53/69/65/1072536965.db2.gz RWDYOJKYJQYMLV-UHFFFAOYSA-N 0 0 446.485 -0.399 20 0 IBADRN C[C@@H](O)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000464174233 1072537063 /nfs/dbraw/zinc/53/70/63/1072537063.db2.gz KTDPTVQKXHIKSI-CYBMUJFWSA-N 0 0 428.511 -0.449 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)C[C@H](C)O ZINC000464175898 1072536991 /nfs/dbraw/zinc/53/69/91/1072536991.db2.gz RHZINVBAQJENTR-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN CCN(C[C@@H](C)OC)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000464178590 1072537159 /nfs/dbraw/zinc/53/71/59/1072537159.db2.gz URALUARUMHHYNT-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN(C[C@H](C)OC)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000464178591 1072537075 /nfs/dbraw/zinc/53/70/75/1072537075.db2.gz URALUARUMHHYNT-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000464190869 1072537983 /nfs/dbraw/zinc/53/79/83/1072537983.db2.gz WGBFLALELXDUBC-CYBMUJFWSA-N 0 0 441.514 -0.477 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000464190870 1072538142 /nfs/dbraw/zinc/53/81/42/1072538142.db2.gz WGBFLALELXDUBC-ZDUSSCGKSA-N 0 0 441.514 -0.477 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)n1 ZINC000464195166 1072538110 /nfs/dbraw/zinc/53/81/10/1072538110.db2.gz VYPXKWLOXUQDFU-CYBMUJFWSA-N 0 0 430.469 -0.045 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)n1 ZINC000464195172 1072538201 /nfs/dbraw/zinc/53/82/01/1072538201.db2.gz VYPXKWLOXUQDFU-ZDUSSCGKSA-N 0 0 430.469 -0.045 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@@H]2C[C@@H](C)O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000464198398 1072538195 /nfs/dbraw/zinc/53/81/95/1072538195.db2.gz HTIXSMMIPUGJIN-FTLABTOESA-N 0 0 427.479 -0.090 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@@H]2C[C@H](C)O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000464198405 1072538188 /nfs/dbraw/zinc/53/81/88/1072538188.db2.gz HTIXSMMIPUGJIN-OAVHHTNSSA-N 0 0 427.479 -0.090 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@@H]2C[C@H](C)O)cc1[C@]1(C)NC(=O)NC1=O ZINC000464198407 1072538047 /nfs/dbraw/zinc/53/80/47/1072538047.db2.gz HTIXSMMIPUGJIN-PZROIBLQSA-N 0 0 427.479 -0.090 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@@H]2C[C@@H](C)O)cc1[C@]1(C)NC(=O)NC1=O ZINC000464198409 1072538099 /nfs/dbraw/zinc/53/80/99/1072538099.db2.gz HTIXSMMIPUGJIN-SOZUMNATSA-N 0 0 427.479 -0.090 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464201624 1072538544 /nfs/dbraw/zinc/53/85/44/1072538544.db2.gz TZOWBOINHZLGDV-CABCVRRESA-N 0 0 434.536 -0.132 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464201625 1072538712 /nfs/dbraw/zinc/53/87/12/1072538712.db2.gz TZOWBOINHZLGDV-GJZGRUSLSA-N 0 0 434.536 -0.132 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464201626 1072538746 /nfs/dbraw/zinc/53/87/46/1072538746.db2.gz TZOWBOINHZLGDV-HUUCEWRRSA-N 0 0 434.536 -0.132 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464201627 1072538682 /nfs/dbraw/zinc/53/86/82/1072538682.db2.gz TZOWBOINHZLGDV-LSDHHAIUSA-N 0 0 434.536 -0.132 20 0 IBADRN COC(=O)C[C@@]1(NS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCOC1 ZINC000464218392 1072538669 /nfs/dbraw/zinc/53/86/69/1072538669.db2.gz LKIZQRZFPOITOX-MSOLQXFVSA-N 0 0 441.462 -0.250 20 0 IBADRN COC(=O)C[C@]1(NS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCOC1 ZINC000464218396 1072538625 /nfs/dbraw/zinc/53/86/25/1072538625.db2.gz LKIZQRZFPOITOX-QZTJIDSGSA-N 0 0 441.462 -0.250 20 0 IBADRN COC(=O)C[C@@]1(NS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCOC1 ZINC000464218398 1072538592 /nfs/dbraw/zinc/53/85/92/1072538592.db2.gz LKIZQRZFPOITOX-ROUUACIJSA-N 0 0 441.462 -0.250 20 0 IBADRN COC(=O)C[C@]1(NS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCOC1 ZINC000464218400 1072538693 /nfs/dbraw/zinc/53/86/93/1072538693.db2.gz LKIZQRZFPOITOX-ZWKOTPCHSA-N 0 0 441.462 -0.250 20 0 IBADRN COC(=O)C[C@@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000464219331 1072538576 /nfs/dbraw/zinc/53/85/76/1072538576.db2.gz QFFICUCHSQKRBP-KRWDZBQOSA-N 0 0 448.519 -0.292 20 0 IBADRN COC(=O)C[C@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000464219332 1072538530 /nfs/dbraw/zinc/53/85/30/1072538530.db2.gz QFFICUCHSQKRBP-QGZVFWFLSA-N 0 0 448.519 -0.292 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCS(=O)(=O)C(C)(C)C3)CC2)cn1 ZINC000464256420 1072539369 /nfs/dbraw/zinc/53/93/69/1072539369.db2.gz IXPFRFUVZOIUJH-UHFFFAOYSA-N 0 0 433.556 -0.208 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H](C)S1(=O)=O ZINC000464265232 1072539683 /nfs/dbraw/zinc/53/96/83/1072539683.db2.gz KRRVJSSPMPMNIX-KBPBESRZSA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H](C)S1(=O)=O ZINC000464265234 1072539645 /nfs/dbraw/zinc/53/96/45/1072539645.db2.gz KRRVJSSPMPMNIX-OKILXGFUSA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@H](C)S1(=O)=O ZINC000464265236 1072539781 /nfs/dbraw/zinc/53/97/81/1072539781.db2.gz KRRVJSSPMPMNIX-ZIAGYGMSSA-N 0 0 438.572 -0.755 20 0 IBADRN CC1(C)CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCS1(=O)=O ZINC000464266669 1072539814 /nfs/dbraw/zinc/53/98/14/1072539814.db2.gz OMEREMKCWJPXDS-UHFFFAOYSA-N 0 0 438.572 -0.754 20 0 IBADRN COc1ccccc1S(=O)(=O)CCCN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000464273612 1072540267 /nfs/dbraw/zinc/54/02/67/1072540267.db2.gz CEZHLDHOCHJERK-UHFFFAOYSA-N 0 0 439.534 -0.138 20 0 IBADRN NC(=O)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccc(F)cc1 ZINC000464274303 1072540342 /nfs/dbraw/zinc/54/03/42/1072540342.db2.gz HJCWKTFMHUGLGB-KRWDZBQOSA-N 0 0 434.449 -0.097 20 0 IBADRN NC(=O)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccc(F)cc1 ZINC000464274304 1072540291 /nfs/dbraw/zinc/54/02/91/1072540291.db2.gz HJCWKTFMHUGLGB-QGZVFWFLSA-N 0 0 434.449 -0.097 20 0 IBADRN COC(=O)C[C@]1(NC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCOC1 ZINC000464287825 1072539759 /nfs/dbraw/zinc/53/97/59/1072539759.db2.gz XIDHFGHBOFBNQZ-GOSISDBHSA-N 0 0 428.511 -0.032 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCOC1 ZINC000464287833 1072539629 /nfs/dbraw/zinc/53/96/29/1072539629.db2.gz XIDHFGHBOFBNQZ-SFHVURJKSA-N 0 0 428.511 -0.032 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@]2(CC(=O)OC)CCOC2)c1 ZINC000464296929 1072540432 /nfs/dbraw/zinc/54/04/32/1072540432.db2.gz IEKAHNHRDXZHFC-GOSISDBHSA-N 0 0 429.495 -0.283 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@]2(CC(=O)OC)CCOC2)c1 ZINC000464296931 1072540941 /nfs/dbraw/zinc/54/09/41/1072540941.db2.gz IEKAHNHRDXZHFC-SFHVURJKSA-N 0 0 429.495 -0.283 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOC1 ZINC000464299946 1072540917 /nfs/dbraw/zinc/54/09/17/1072540917.db2.gz LHAYXAZTCVJZTB-GOSISDBHSA-N 0 0 425.463 -0.741 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOC1 ZINC000464299951 1072540855 /nfs/dbraw/zinc/54/08/55/1072540855.db2.gz LHAYXAZTCVJZTB-SFHVURJKSA-N 0 0 425.463 -0.741 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@H]1CN1CCOCC1 ZINC000464305032 1072541019 /nfs/dbraw/zinc/54/10/19/1072541019.db2.gz CGZZSNJPPRKUPH-KRWDZBQOSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@@H]1CN1CCOCC1 ZINC000464305088 1072540979 /nfs/dbraw/zinc/54/09/79/1072540979.db2.gz CGZZSNJPPRKUPH-QGZVFWFLSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@H]1CN1CCOCC1 ZINC000464309633 1072540101 /nfs/dbraw/zinc/54/01/01/1072540101.db2.gz HGODVYMGCNFKRG-KRWDZBQOSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@@H]1CN1CCOCC1 ZINC000464309634 1072540509 /nfs/dbraw/zinc/54/05/09/1072540509.db2.gz HGODVYMGCNFKRG-QGZVFWFLSA-N 0 0 436.534 -0.256 20 0 IBADRN NC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccc(F)cc1 ZINC000464323633 1072541013 /nfs/dbraw/zinc/54/10/13/1072541013.db2.gz YMPCKKMHQNNMBF-KRWDZBQOSA-N 0 0 434.449 -0.097 20 0 IBADRN NC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccc(F)cc1 ZINC000464323634 1072540744 /nfs/dbraw/zinc/54/07/44/1072540744.db2.gz YMPCKKMHQNNMBF-QGZVFWFLSA-N 0 0 434.449 -0.097 20 0 IBADRN NC(=O)[C@H](NC(=O)COCC(=O)N[C@H](C(N)=O)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000464327272 1072540778 /nfs/dbraw/zinc/54/07/78/1072540778.db2.gz ZRCUBBCHLDMART-HDICACEKSA-N 0 0 434.399 -0.033 20 0 IBADRN NC(=O)[C@H](NC(=O)COCC(=O)N[C@@H](C(N)=O)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000464327273 1072541221 /nfs/dbraw/zinc/54/12/21/1072541221.db2.gz ZRCUBBCHLDMART-QZTJIDSGSA-N 0 0 434.399 -0.033 20 0 IBADRN NC(=O)[C@@H](NC(=O)COCC(=O)N[C@H](C(N)=O)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000464327274 1072541274 /nfs/dbraw/zinc/54/12/74/1072541274.db2.gz ZRCUBBCHLDMART-ROUUACIJSA-N 0 0 434.399 -0.033 20 0 IBADRN CC(C)(CCS(C)(=O)=O)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000464351260 1072541295 /nfs/dbraw/zinc/54/12/95/1072541295.db2.gz GBZTXWDDEJQEID-UHFFFAOYSA-N 0 0 431.536 -0.250 20 0 IBADRN CC(C)(CCS(C)(=O)=O)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000464356646 1072541354 /nfs/dbraw/zinc/54/13/54/1072541354.db2.gz YEWVRESGSOYUGL-UHFFFAOYSA-N 0 0 431.536 -0.250 20 0 IBADRN COCCOC[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000464413300 1072541802 /nfs/dbraw/zinc/54/18/02/1072541802.db2.gz YUMUKNFGZJSKKS-HNNXBMFYSA-N 0 0 425.507 -0.068 20 0 IBADRN COCCOC[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000464413310 1072541862 /nfs/dbraw/zinc/54/18/62/1072541862.db2.gz YUMUKNFGZJSKKS-OAHLLOKOSA-N 0 0 425.507 -0.068 20 0 IBADRN COCCOC[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000464420492 1072541957 /nfs/dbraw/zinc/54/19/57/1072541957.db2.gz ZAIWQRIAEZYXJQ-HNNXBMFYSA-N 0 0 425.507 -0.068 20 0 IBADRN COCCOC[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000464420493 1072542016 /nfs/dbraw/zinc/54/20/16/1072542016.db2.gz ZAIWQRIAEZYXJQ-OAHLLOKOSA-N 0 0 425.507 -0.068 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCOC1 ZINC000464426686 1072542864 /nfs/dbraw/zinc/54/28/64/1072542864.db2.gz LJMVGONROZHRQP-GOSISDBHSA-N 0 0 425.463 -0.741 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCOC1 ZINC000464426687 1072543026 /nfs/dbraw/zinc/54/30/26/1072543026.db2.gz LJMVGONROZHRQP-SFHVURJKSA-N 0 0 425.463 -0.741 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2cncc(C(=O)N[C@@]3(CC(=O)OC)CCOC3)c2)CCOC1 ZINC000464434133 1072542482 /nfs/dbraw/zinc/54/24/82/1072542482.db2.gz QELOCLICOZTOAL-NHCUHLMSSA-N 0 0 449.460 -0.014 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2cncc(C(=O)N[C@]3(CC(=O)OC)CCOC3)c2)CCOC1 ZINC000464434134 1072542390 /nfs/dbraw/zinc/54/23/90/1072542390.db2.gz QELOCLICOZTOAL-OYRHEFFESA-N 0 0 449.460 -0.014 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)c2cncc(C(=O)N[C@]3(CC(=O)OC)CCOC3)c2)CCOC1 ZINC000464434135 1072542486 /nfs/dbraw/zinc/54/24/86/1072542486.db2.gz QELOCLICOZTOAL-SFTDATJTSA-N 0 0 449.460 -0.014 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2ccc(C(=O)N[C@]3(CC(=O)OC)CCOC3)cn2)CCOC1 ZINC000464434480 1072542359 /nfs/dbraw/zinc/54/23/59/1072542359.db2.gz VAYAKGCTLZCCQH-LEWJYISDSA-N 0 0 449.460 -0.014 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2ccc(C(=O)N[C@@]3(CC(=O)OC)CCOC3)nc2)CCOC1 ZINC000464434481 1072542567 /nfs/dbraw/zinc/54/25/67/1072542567.db2.gz VAYAKGCTLZCCQH-NHCUHLMSSA-N 0 0 449.460 -0.014 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2ccc(C(=O)N[C@]3(CC(=O)OC)CCOC3)nc2)CCOC1 ZINC000464434482 1072542414 /nfs/dbraw/zinc/54/24/14/1072542414.db2.gz VAYAKGCTLZCCQH-RTWAWAEBSA-N 0 0 449.460 -0.014 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)c2ccc(C(=O)N[C@]3(CC(=O)OC)CCOC3)nc2)CCOC1 ZINC000464434483 1072542575 /nfs/dbraw/zinc/54/25/75/1072542575.db2.gz VAYAKGCTLZCCQH-SFTDATJTSA-N 0 0 449.460 -0.014 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1OC(F)F ZINC000464448100 1072542997 /nfs/dbraw/zinc/54/29/97/1072542997.db2.gz GEALGTLGHHHTIN-UHFFFAOYSA-N 0 0 433.393 -0.140 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCC(N2CCS(=O)(=O)CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000464478701 1072544100 /nfs/dbraw/zinc/54/41/00/1072544100.db2.gz GBSKMXLHBHZWTI-AEFFLSMTSA-N 0 0 449.639 -0.005 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCC(N2CCS(=O)(=O)CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000464478702 1072544367 /nfs/dbraw/zinc/54/43/67/1072544367.db2.gz GBSKMXLHBHZWTI-FUHWJXTLSA-N 0 0 449.639 -0.005 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCC(N2CCS(=O)(=O)CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000464478703 1072543981 /nfs/dbraw/zinc/54/39/81/1072543981.db2.gz GBSKMXLHBHZWTI-SJLPKXTDSA-N 0 0 449.639 -0.005 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCC(N2CCS(=O)(=O)CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000464478704 1072544240 /nfs/dbraw/zinc/54/42/40/1072544240.db2.gz GBSKMXLHBHZWTI-WMZOPIPTSA-N 0 0 449.639 -0.005 20 0 IBADRN Cc1ccc(NC(=O)CN2CCC(N3CCS(=O)(=O)CC3)CC2)cc1S(N)(=O)=O ZINC000464482637 1072543619 /nfs/dbraw/zinc/54/36/19/1072543619.db2.gz VYCDRKWAGJEUDG-UHFFFAOYSA-N 0 0 444.579 -0.224 20 0 IBADRN O=C(CN1CCC(N2CCS(=O)(=O)CC2)CC1)N1CCN(C(=O)c2ccco2)CC1 ZINC000464489711 1072543590 /nfs/dbraw/zinc/54/35/90/1072543590.db2.gz XHTNXKIFSZKCES-UHFFFAOYSA-N 0 0 438.550 -0.241 20 0 IBADRN O=C(CN1CCC(N2CCS(=O)(=O)CC2)CC1)Nc1cc(-n2cnnn2)ccc1F ZINC000464491826 1072544154 /nfs/dbraw/zinc/54/41/54/1072544154.db2.gz BSBHGSCDNSPROC-UHFFFAOYSA-N 0 0 437.501 -0.065 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC(N3CCS(=O)(=O)CC3)CC2)c(=O)[nH]c1=O ZINC000464492526 1072544341 /nfs/dbraw/zinc/54/43/41/1072544341.db2.gz IQJCDFCTQHRYGV-UHFFFAOYSA-N 0 0 427.527 -0.681 20 0 IBADRN CCCCN(C(=O)CN1CCC(N2CCS(=O)(=O)CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000464493224 1072544206 /nfs/dbraw/zinc/54/42/06/1072544206.db2.gz LKSZJUVBYLNQBV-GOSISDBHSA-N 0 0 449.639 -0.003 20 0 IBADRN CCCCN(C(=O)CN1CCC(N2CCS(=O)(=O)CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000464493229 1072544140 /nfs/dbraw/zinc/54/41/40/1072544140.db2.gz LKSZJUVBYLNQBV-SFHVURJKSA-N 0 0 449.639 -0.003 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000464495917 1072544800 /nfs/dbraw/zinc/54/48/00/1072544800.db2.gz GHJBYKCZNNLYJO-UHFFFAOYSA-N 0 0 427.527 -0.270 20 0 IBADRN CC(C)CN(C(=O)CN1CCC(N2CCS(=O)(=O)CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000464499013 1072544866 /nfs/dbraw/zinc/54/48/66/1072544866.db2.gz LRRUWQVZDNJIHQ-GOSISDBHSA-N 0 0 449.639 -0.147 20 0 IBADRN CC(C)CN(C(=O)CN1CCC(N2CCS(=O)(=O)CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000464499014 1072544889 /nfs/dbraw/zinc/54/48/89/1072544889.db2.gz LRRUWQVZDNJIHQ-SFHVURJKSA-N 0 0 449.639 -0.147 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCC(N3CCS(=O)(=O)CC3)CC2)n1 ZINC000464502578 1072544702 /nfs/dbraw/zinc/54/47/02/1072544702.db2.gz QZSVMGQBELOCIO-UHFFFAOYSA-N 0 0 430.552 -0.008 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C1)c(=O)n2C ZINC000464511411 1072544689 /nfs/dbraw/zinc/54/46/89/1072544689.db2.gz BJUSHKJRZSYPTN-BZUAXINKSA-N 0 0 436.472 -0.293 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C1)c(=O)n2C ZINC000464511425 1072544766 /nfs/dbraw/zinc/54/47/66/1072544766.db2.gz BJUSHKJRZSYPTN-OAGGEKHMSA-N 0 0 436.472 -0.293 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C1)c(=O)n2C ZINC000464511428 1072544808 /nfs/dbraw/zinc/54/48/08/1072544808.db2.gz BJUSHKJRZSYPTN-OWCLPIDISA-N 0 0 436.472 -0.293 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CC(=O)N([C@H]3C[C@H]3c3ccccc3)C1)c(=O)n2C ZINC000464511430 1072544755 /nfs/dbraw/zinc/54/47/55/1072544755.db2.gz BJUSHKJRZSYPTN-PMPSAXMXSA-N 0 0 436.472 -0.293 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CC(=O)N([C@@H]4C[C@@H]4c4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000464511579 1072544710 /nfs/dbraw/zinc/54/47/10/1072544710.db2.gz AYORZDGAMFVZBA-BZUAXINKSA-N 0 0 436.472 -0.293 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CC(=O)N([C@H]4C[C@@H]4c4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000464511581 1072544725 /nfs/dbraw/zinc/54/47/25/1072544725.db2.gz AYORZDGAMFVZBA-OAGGEKHMSA-N 0 0 436.472 -0.293 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CC(=O)N([C@@H]4C[C@H]4c4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000464511583 1072544815 /nfs/dbraw/zinc/54/48/15/1072544815.db2.gz AYORZDGAMFVZBA-OWCLPIDISA-N 0 0 436.472 -0.293 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CC(=O)N([C@H]4C[C@H]4c4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000464511584 1072544833 /nfs/dbraw/zinc/54/48/33/1072544833.db2.gz AYORZDGAMFVZBA-PMPSAXMXSA-N 0 0 436.472 -0.293 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CC(=O)N(c3ccccc3F)C2)CC1 ZINC000464540214 1072545900 /nfs/dbraw/zinc/54/59/00/1072545900.db2.gz ZSQJZUMJZGHKTJ-AWEZNQCLSA-N 0 0 445.451 -0.066 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CC(=O)N(c3ccccc3F)C2)CC1 ZINC000464540215 1072545807 /nfs/dbraw/zinc/54/58/07/1072545807.db2.gz ZSQJZUMJZGHKTJ-CQSZACIVSA-N 0 0 445.451 -0.066 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCN(C(=O)c3cccc(N)c3)CC2)CC1 ZINC000464551421 1072545885 /nfs/dbraw/zinc/54/58/85/1072545885.db2.gz BNPQCCLGNAMPMJ-UHFFFAOYSA-N 0 0 445.567 -0.761 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000464555087 1072547410 /nfs/dbraw/zinc/54/74/10/1072547410.db2.gz NMSXGZGMXXCMEC-SFHVURJKSA-N 0 0 438.550 -0.254 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000464559925 1072547396 /nfs/dbraw/zinc/54/73/96/1072547396.db2.gz KKRJSSAIIKQLMG-UHFFFAOYSA-N 0 0 445.501 -0.009 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000464563005 1072547423 /nfs/dbraw/zinc/54/74/23/1072547423.db2.gz CFHZCKHQTJDKOY-UHFFFAOYSA-N 0 0 445.501 -0.009 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000464576039 1072548511 /nfs/dbraw/zinc/54/85/11/1072548511.db2.gz INSLKDKYFSAINF-UHFFFAOYSA-N 0 0 429.524 -0.556 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)CCn2cc(S(N)(=O)=O)cn2)cc1 ZINC000464576749 1072548306 /nfs/dbraw/zinc/54/83/06/1072548306.db2.gz MAJPFMKVNSCCLD-UHFFFAOYSA-N 0 0 429.524 -0.334 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000464580157 1072549010 /nfs/dbraw/zinc/54/90/10/1072549010.db2.gz GATUIPSTMCMATR-UHFFFAOYSA-N 0 0 448.505 -0.821 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C)CCNS(=O)(=O)c2ccc(C)cc2)cn1C ZINC000464581579 1072549026 /nfs/dbraw/zinc/54/90/26/1072549026.db2.gz QASZLHJDGLPBFM-UHFFFAOYSA-N 0 0 443.551 -0.248 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000464581802 1072549074 /nfs/dbraw/zinc/54/90/74/1072549074.db2.gz RQSQZXYNJNCWSO-UHFFFAOYSA-N 0 0 448.505 -0.821 20 0 IBADRN COC(=O)C[C@@]1(NCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000464592236 1072550667 /nfs/dbraw/zinc/55/06/67/1072550667.db2.gz IFXOPKWVVQTYOF-IBGZPJMESA-N 0 0 441.506 -0.042 20 0 IBADRN COC(=O)C[C@]1(NCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000464592237 1072550493 /nfs/dbraw/zinc/55/04/93/1072550493.db2.gz IFXOPKWVVQTYOF-LJQANCHMSA-N 0 0 441.506 -0.042 20 0 IBADRN COC(=O)C[C@@]1([NH2+]CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCOC1 ZINC000464595383 1072549519 /nfs/dbraw/zinc/54/95/19/1072549519.db2.gz IOQZJVPJDGIKCW-AVRDEDQJSA-N 0 0 447.488 -0.191 20 0 IBADRN COC(=O)C[C@@]1([NH2+]CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCOC1 ZINC000464595384 1072549480 /nfs/dbraw/zinc/54/94/80/1072549480.db2.gz IOQZJVPJDGIKCW-GCJKJVERSA-N 0 0 447.488 -0.191 20 0 IBADRN COC(=O)C[C@]1([NH2+]CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCOC1 ZINC000464595385 1072549570 /nfs/dbraw/zinc/54/95/70/1072549570.db2.gz IOQZJVPJDGIKCW-PGRDOPGGSA-N 0 0 447.488 -0.191 20 0 IBADRN COC(=O)C[C@]1([NH2+]CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCOC1 ZINC000464595386 1072549338 /nfs/dbraw/zinc/54/93/38/1072549338.db2.gz IOQZJVPJDGIKCW-XMSQKQJNSA-N 0 0 447.488 -0.191 20 0 IBADRN COC(=O)C[C@@]1([NH2+]CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCOC1 ZINC000464596467 1072549629 /nfs/dbraw/zinc/54/96/29/1072549629.db2.gz DTXHWLASDJGLLH-IBGZPJMESA-N 0 0 443.497 -0.030 20 0 IBADRN COC(=O)C[C@]1([NH2+]CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCOC1 ZINC000464596471 1072549550 /nfs/dbraw/zinc/54/95/50/1072549550.db2.gz DTXHWLASDJGLLH-LJQANCHMSA-N 0 0 443.497 -0.030 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCOC[C@@H]2C[C@H](C)O)CC1 ZINC000464596499 1072549472 /nfs/dbraw/zinc/54/94/72/1072549472.db2.gz DWYPTWROGNFDMA-BBRMVZONSA-N 0 0 430.527 -0.404 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCOC[C@@H]2C[C@@H](C)O)CC1 ZINC000464596500 1072549622 /nfs/dbraw/zinc/54/96/22/1072549622.db2.gz DWYPTWROGNFDMA-CJNGLKHVSA-N 0 0 430.527 -0.404 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCOC[C@H]2C[C@@H](C)O)CC1 ZINC000464596501 1072549414 /nfs/dbraw/zinc/54/94/14/1072549414.db2.gz DWYPTWROGNFDMA-CZUORRHYSA-N 0 0 430.527 -0.404 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCOC[C@H]2C[C@H](C)O)CC1 ZINC000464596502 1072549535 /nfs/dbraw/zinc/54/95/35/1072549535.db2.gz DWYPTWROGNFDMA-XJKSGUPXSA-N 0 0 430.527 -0.404 20 0 IBADRN COC(=O)C[C@@]1([NH2+]CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCOC1 ZINC000464598004 1072549430 /nfs/dbraw/zinc/54/94/30/1072549430.db2.gz KEIIPMBIANCANV-FQEVSTJZSA-N 0 0 439.534 -0.028 20 0 IBADRN COC(=O)C[C@]1([NH2+]CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCOC1 ZINC000464598005 1072549383 /nfs/dbraw/zinc/54/93/83/1072549383.db2.gz KEIIPMBIANCANV-HXUWFJFHSA-N 0 0 439.534 -0.028 20 0 IBADRN COC(=O)C[C@@]1(NCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCOC1 ZINC000464600550 1072550178 /nfs/dbraw/zinc/55/01/78/1072550178.db2.gz HXQGLDJDHVIRKP-NRFANRHFSA-N 0 0 430.461 -0.328 20 0 IBADRN COC(=O)C[C@]1(NCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCOC1 ZINC000464600551 1072549907 /nfs/dbraw/zinc/54/99/07/1072549907.db2.gz HXQGLDJDHVIRKP-OAQYLSRUSA-N 0 0 430.461 -0.328 20 0 IBADRN COC(=O)C[C@@]1(NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000464600697 1072550088 /nfs/dbraw/zinc/55/00/88/1072550088.db2.gz WCFAHXKQJFXPAE-IBGZPJMESA-N 0 0 441.506 -0.042 20 0 IBADRN COC(=O)C[C@]1(NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000464600698 1072550160 /nfs/dbraw/zinc/55/01/60/1072550160.db2.gz WCFAHXKQJFXPAE-LJQANCHMSA-N 0 0 441.506 -0.042 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464602262 1072550620 /nfs/dbraw/zinc/55/06/20/1072550620.db2.gz OYTMWLCZVXEKFN-AEFFLSMTSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464602263 1072550629 /nfs/dbraw/zinc/55/06/29/1072550629.db2.gz OYTMWLCZVXEKFN-FUHWJXTLSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464602264 1072550597 /nfs/dbraw/zinc/55/05/97/1072550597.db2.gz OYTMWLCZVXEKFN-SJLPKXTDSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000464602265 1072550651 /nfs/dbraw/zinc/55/06/51/1072550651.db2.gz OYTMWLCZVXEKFN-WMZOPIPTSA-N 0 0 441.550 -0.205 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@@H]1C[C@@H](C)O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000464602423 1072550475 /nfs/dbraw/zinc/55/04/75/1072550475.db2.gz QNYFNQUSSBGXEB-CABCVRRESA-N 0 0 441.529 -0.362 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@@H]1C[C@H](C)O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000464602432 1072550658 /nfs/dbraw/zinc/55/06/58/1072550658.db2.gz QNYFNQUSSBGXEB-GJZGRUSLSA-N 0 0 441.529 -0.362 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@H]1C[C@@H](C)O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000464602433 1072550577 /nfs/dbraw/zinc/55/05/77/1072550577.db2.gz QNYFNQUSSBGXEB-HUUCEWRRSA-N 0 0 441.529 -0.362 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@H]1C[C@H](C)O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000464602435 1072550567 /nfs/dbraw/zinc/55/05/67/1072550567.db2.gz QNYFNQUSSBGXEB-LSDHHAIUSA-N 0 0 441.529 -0.362 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3C[C@H](C)O)CC2)cc1 ZINC000464605499 1072550695 /nfs/dbraw/zinc/55/06/95/1072550695.db2.gz GLLMAYMKTJTDNC-DLBZAZTESA-N 0 0 441.550 0.000 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3C[C@@H](C)O)CC2)cc1 ZINC000464605500 1072550586 /nfs/dbraw/zinc/55/05/86/1072550586.db2.gz GLLMAYMKTJTDNC-IAGOWNOFSA-N 0 0 441.550 0.000 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@@H]3C[C@H](C)O)CC2)cc1 ZINC000464605501 1072550641 /nfs/dbraw/zinc/55/06/41/1072550641.db2.gz GLLMAYMKTJTDNC-IRXDYDNUSA-N 0 0 441.550 0.000 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@@H]3C[C@@H](C)O)CC2)cc1 ZINC000464605502 1072551291 /nfs/dbraw/zinc/55/12/91/1072551291.db2.gz GLLMAYMKTJTDNC-SJORKVTESA-N 0 0 441.550 0.000 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000464618265 1072550685 /nfs/dbraw/zinc/55/06/85/1072550685.db2.gz HNFXDWGTDSNRCO-ABSDTBQOSA-N 0 0 433.505 -0.031 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000464618266 1072550534 /nfs/dbraw/zinc/55/05/34/1072550534.db2.gz HNFXDWGTDSNRCO-QKLQHJQFSA-N 0 0 433.505 -0.031 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000464618267 1072550516 /nfs/dbraw/zinc/55/05/16/1072550516.db2.gz HNFXDWGTDSNRCO-SQGPQFPESA-N 0 0 433.505 -0.031 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000464618268 1072550678 /nfs/dbraw/zinc/55/06/78/1072550678.db2.gz HNFXDWGTDSNRCO-ZWOKBUDYSA-N 0 0 433.505 -0.031 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000464625696 1072551204 /nfs/dbraw/zinc/55/12/04/1072551204.db2.gz SRWWFBDWSZJYMC-AEFFLSMTSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000464625698 1072551241 /nfs/dbraw/zinc/55/12/41/1072551241.db2.gz SRWWFBDWSZJYMC-FUHWJXTLSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000464625700 1072551151 /nfs/dbraw/zinc/55/11/51/1072551151.db2.gz SRWWFBDWSZJYMC-SJLPKXTDSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000464625702 1072551212 /nfs/dbraw/zinc/55/12/12/1072551212.db2.gz SRWWFBDWSZJYMC-WMZOPIPTSA-N 0 0 441.550 -0.205 20 0 IBADRN CCOC(=O)COc1ccc(CCNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000464652674 1072551821 /nfs/dbraw/zinc/55/18/21/1072551821.db2.gz ZPNZXWBGGLCKSN-UHFFFAOYSA-N 0 0 443.460 -0.266 20 0 IBADRN CCOC(=O)COc1ccc(CCNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000464653441 1072551757 /nfs/dbraw/zinc/55/17/57/1072551757.db2.gz RFKVDOSWMKKXDT-UHFFFAOYSA-N 0 0 443.460 -0.266 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000464661691 1072551628 /nfs/dbraw/zinc/55/16/28/1072551628.db2.gz FNOKCCBGQZJQSW-KBPBESRZSA-N 0 0 427.552 -0.079 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000464661692 1072551746 /nfs/dbraw/zinc/55/17/46/1072551746.db2.gz FNOKCCBGQZJQSW-KGLIPLIRSA-N 0 0 427.552 -0.079 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000464661693 1072551802 /nfs/dbraw/zinc/55/18/02/1072551802.db2.gz FNOKCCBGQZJQSW-UONOGXRCSA-N 0 0 427.552 -0.079 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000464661694 1072551766 /nfs/dbraw/zinc/55/17/66/1072551766.db2.gz FNOKCCBGQZJQSW-ZIAGYGMSSA-N 0 0 427.552 -0.079 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)s1 ZINC000464669772 1072552308 /nfs/dbraw/zinc/55/23/08/1072552308.db2.gz OOVULKNWJURVTF-UHFFFAOYSA-N 0 0 442.523 -0.097 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)s1 ZINC000464679380 1072552267 /nfs/dbraw/zinc/55/22/67/1072552267.db2.gz VVHPQAMXHLEYQL-UHFFFAOYSA-N 0 0 442.523 -0.320 20 0 IBADRN CC(C)(C)n1nnnc1CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000464681006 1072552256 /nfs/dbraw/zinc/55/22/56/1072552256.db2.gz YVCCWEKEMLAPMW-UHFFFAOYSA-N 0 0 443.555 -0.418 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(Cc2nnnn2C(C)(C)C)CCN1Cc1nnnn1C(C)(C)C ZINC000464688835 1072552288 /nfs/dbraw/zinc/55/22/88/1072552288.db2.gz IXGOVVMGZSOTMN-AWEZNQCLSA-N 0 0 433.565 -0.056 20 0 IBADRN CN(C)C(=O)[C@H]1CN(Cc2nnnn2C(C)(C)C)CCN1Cc1nnnn1C(C)(C)C ZINC000464688836 1072552324 /nfs/dbraw/zinc/55/23/24/1072552324.db2.gz IXGOVVMGZSOTMN-CQSZACIVSA-N 0 0 433.565 -0.056 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)s1 ZINC000464689817 1072552031 /nfs/dbraw/zinc/55/20/31/1072552031.db2.gz KNEUCTHTSOAPLB-UHFFFAOYSA-N 0 0 431.540 -0.116 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000464690066 1072552045 /nfs/dbraw/zinc/55/20/45/1072552045.db2.gz VADMTKTVUWPFIE-UHFFFAOYSA-N 0 0 432.510 -0.277 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(C(N)=O)cc3OCC(F)F)c2c(=O)n(C)c1=O ZINC000464706420 1072552772 /nfs/dbraw/zinc/55/27/72/1072552772.db2.gz DHOYHBQIIAPKAW-UHFFFAOYSA-N 0 0 436.375 -0.185 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(C(N)=O)cc1OCC(F)F)c(=O)n2C ZINC000464713439 1072552568 /nfs/dbraw/zinc/55/25/68/1072552568.db2.gz SEZTUSVHEYZVDZ-UHFFFAOYSA-N 0 0 436.375 -0.185 20 0 IBADRN NS(=O)(=O)c1ccc(OCCN2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000464726673 1072553122 /nfs/dbraw/zinc/55/31/22/1072553122.db2.gz AOBJZRWIUWQLPA-UHFFFAOYSA-N 0 0 430.508 -0.152 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000464727869 1072553199 /nfs/dbraw/zinc/55/31/99/1072553199.db2.gz DBXVRNIFNZDYSZ-AWEZNQCLSA-N 0 0 427.531 -0.003 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000464727870 1072553160 /nfs/dbraw/zinc/55/31/60/1072553160.db2.gz DBXVRNIFNZDYSZ-CQSZACIVSA-N 0 0 427.531 -0.003 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000464728297 1072553346 /nfs/dbraw/zinc/55/33/46/1072553346.db2.gz FFIWXUJGDBQTBE-CHWSQXEVSA-N 0 0 449.512 -0.464 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000464728307 1072553254 /nfs/dbraw/zinc/55/32/54/1072553254.db2.gz FFIWXUJGDBQTBE-OLZOCXBDSA-N 0 0 449.512 -0.464 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000464728309 1072553285 /nfs/dbraw/zinc/55/32/85/1072553285.db2.gz FFIWXUJGDBQTBE-QWHCGFSZSA-N 0 0 449.512 -0.464 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000464728314 1072553245 /nfs/dbraw/zinc/55/32/45/1072553245.db2.gz FFIWXUJGDBQTBE-STQMWFEESA-N 0 0 449.512 -0.464 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464728382 1072553106 /nfs/dbraw/zinc/55/31/06/1072553106.db2.gz FMQRGGWQUYAFSU-DZGCQCFKSA-N 0 0 434.540 -0.844 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464728383 1072553207 /nfs/dbraw/zinc/55/32/07/1072553207.db2.gz FMQRGGWQUYAFSU-HIFRSBDPSA-N 0 0 434.540 -0.844 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464728384 1072553378 /nfs/dbraw/zinc/55/33/78/1072553378.db2.gz FMQRGGWQUYAFSU-UKRRQHHQSA-N 0 0 434.540 -0.844 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464728385 1072553170 /nfs/dbraw/zinc/55/31/70/1072553170.db2.gz FMQRGGWQUYAFSU-ZFWWWQNUSA-N 0 0 434.540 -0.844 20 0 IBADRN O=C1c2ccccc2C(=O)N1C[C@H](O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464729247 1072553968 /nfs/dbraw/zinc/55/39/68/1072553968.db2.gz DDNPXHAJWUILPX-OAHLLOKOSA-N 0 0 434.474 -0.221 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)Cc2ccon2)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC000464729390 1072553766 /nfs/dbraw/zinc/55/37/66/1072553766.db2.gz BRBBQDPUWUDWLU-UHFFFAOYSA-N 0 0 425.511 -0.797 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464729395 1072553778 /nfs/dbraw/zinc/55/37/78/1072553778.db2.gz BROALUYOJNZUSG-INIZCTEOSA-N 0 0 449.537 -0.351 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464729396 1072553875 /nfs/dbraw/zinc/55/38/75/1072553875.db2.gz BROALUYOJNZUSG-MRXNPFEDSA-N 0 0 449.537 -0.351 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)Cc2ccon2)CC1)N1CCN(c2ncccn2)CC1 ZINC000464729634 1072553730 /nfs/dbraw/zinc/55/37/30/1072553730.db2.gz HPEZPYLEPPGBJY-UHFFFAOYSA-N 0 0 449.537 -0.349 20 0 IBADRN CC(C)(CNC(=O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1)N1CCOCC1 ZINC000464729839 1072553692 /nfs/dbraw/zinc/55/36/92/1072553692.db2.gz DTWLVMGMLWGWQG-UHFFFAOYSA-N 0 0 429.543 -0.651 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1)c1ccccc1 ZINC000464729948 1072553942 /nfs/dbraw/zinc/55/39/42/1072553942.db2.gz CEZBBJSPFKMFPY-GOSISDBHSA-N 0 0 435.506 -0.275 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1)c1ccccc1 ZINC000464729949 1072553817 /nfs/dbraw/zinc/55/38/17/1072553817.db2.gz CEZBBJSPFKMFPY-SFHVURJKSA-N 0 0 435.506 -0.275 20 0 IBADRN C[C@H](NC(=O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1)C(=O)N1CCCCC1 ZINC000464730068 1072553922 /nfs/dbraw/zinc/55/39/22/1072553922.db2.gz CYZKIBFTPXLAFQ-HNNXBMFYSA-N 0 0 427.527 -0.361 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1)C(=O)N1CCCCC1 ZINC000464730070 1072553931 /nfs/dbraw/zinc/55/39/31/1072553931.db2.gz CYZKIBFTPXLAFQ-OAHLLOKOSA-N 0 0 427.527 -0.361 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)CN3CCN(S(=O)(=O)Cc4ccon4)CC3)cc2C1=O ZINC000464731864 1072552797 /nfs/dbraw/zinc/55/27/97/1072552797.db2.gz LBPUOVCWJBBUCF-UHFFFAOYSA-N 0 0 447.473 -0.014 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000464732001 1072552749 /nfs/dbraw/zinc/55/27/49/1072552749.db2.gz HXVDHNMHNRIVSN-GFCCVEGCSA-N 0 0 435.485 -0.853 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000464732002 1072552586 /nfs/dbraw/zinc/55/25/86/1072552586.db2.gz HXVDHNMHNRIVSN-LBPRGKRZSA-N 0 0 435.485 -0.853 20 0 IBADRN Nc1c(C(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)c(=O)[nH]c(=O)n1C1CC1 ZINC000464736911 1072552734 /nfs/dbraw/zinc/55/27/34/1072552734.db2.gz MEZRYIATZUNZSM-UHFFFAOYSA-N 0 0 438.466 -0.816 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000464736916 1072553232 /nfs/dbraw/zinc/55/32/32/1072553232.db2.gz MFEUAPKIEINSSG-HNNXBMFYSA-N 0 0 434.540 -0.842 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000464736917 1072553295 /nfs/dbraw/zinc/55/32/95/1072553295.db2.gz MFEUAPKIEINSSG-OAHLLOKOSA-N 0 0 434.540 -0.842 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000464737837 1072553218 /nfs/dbraw/zinc/55/32/18/1072553218.db2.gz SJQTYHOTSRYRMV-AWEZNQCLSA-N 0 0 428.511 -0.078 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000464737838 1072553177 /nfs/dbraw/zinc/55/31/77/1072553177.db2.gz SJQTYHOTSRYRMV-CQSZACIVSA-N 0 0 428.511 -0.078 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000464739047 1072553362 /nfs/dbraw/zinc/55/33/62/1072553362.db2.gz ODEGVIMNWJHYHY-UHFFFAOYSA-N 0 0 428.511 -0.076 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)c(=O)[nH]c1=O ZINC000464739194 1072553400 /nfs/dbraw/zinc/55/34/00/1072553400.db2.gz OQNGOHLTSCIJST-UHFFFAOYSA-N 0 0 440.482 -0.741 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000464739739 1072555021 /nfs/dbraw/zinc/55/50/21/1072555021.db2.gz XGHGNDWHDQBSIT-UHFFFAOYSA-N 0 0 443.507 -0.592 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000464740226 1072553896 /nfs/dbraw/zinc/55/38/96/1072553896.db2.gz PPVFYKULTRMUSJ-AWEZNQCLSA-N 0 0 446.512 -0.268 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000464740227 1072553791 /nfs/dbraw/zinc/55/37/91/1072553791.db2.gz PPVFYKULTRMUSJ-CQSZACIVSA-N 0 0 446.512 -0.268 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(C(=O)Cc3cccc(Cl)c3)CC2)c(=O)n(C)c1=O ZINC000464740440 1072553957 /nfs/dbraw/zinc/55/39/57/1072553957.db2.gz RNNUZACYBFJSHY-UHFFFAOYSA-N 0 0 433.896 -0.111 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464740708 1072554954 /nfs/dbraw/zinc/55/49/54/1072554954.db2.gz ZLBFZTOJKZCACI-UHFFFAOYSA-N 0 0 440.482 -0.329 20 0 IBADRN C[C@@H](C(=O)NC(=O)NCC(F)(F)F)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464741322 1072553983 /nfs/dbraw/zinc/55/39/83/1072553983.db2.gz TUXYOEFPKBAFKA-JTQLQIEISA-N 0 0 427.405 -0.101 20 0 IBADRN C[C@H](C(=O)NC(=O)NCC(F)(F)F)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000464741323 1072553752 /nfs/dbraw/zinc/55/37/52/1072553752.db2.gz TUXYOEFPKBAFKA-SNVBAGLBSA-N 0 0 427.405 -0.101 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000464742409 1072553886 /nfs/dbraw/zinc/55/38/86/1072553886.db2.gz VXZZMTHMHAPYTO-UHFFFAOYSA-N 0 0 443.507 -0.592 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCO[C@H]3CCOC3)CC2)C[C@H](C)O1 ZINC000464747521 1072554470 /nfs/dbraw/zinc/55/44/70/1072554470.db2.gz ADKMAFSXSVPDOO-BBWFWOEESA-N 0 0 434.559 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCO[C@@H]3CCOC3)CC2)C[C@@H](C)O1 ZINC000464747522 1072554504 /nfs/dbraw/zinc/55/45/04/1072554504.db2.gz ADKMAFSXSVPDOO-BRWVUGGUSA-N 0 0 434.559 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCO[C@@H]3CCOC3)CC2)C[C@H](C)O1 ZINC000464747523 1072554364 /nfs/dbraw/zinc/55/43/64/1072554364.db2.gz ADKMAFSXSVPDOO-IXDOHACOSA-N 0 0 434.559 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCO[C@H]3CCOC3)CC2)C[C@@H](C)O1 ZINC000464747524 1072554449 /nfs/dbraw/zinc/55/44/49/1072554449.db2.gz ADKMAFSXSVPDOO-ZACQAIPSSA-N 0 0 434.559 -0.137 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCO[C@@H]3CCOC3)CC2)o1 ZINC000464753078 1072554438 /nfs/dbraw/zinc/55/44/38/1072554438.db2.gz GETXVWCRGIZKNE-CYBMUJFWSA-N 0 0 430.483 -0.410 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCO[C@H]3CCOC3)CC2)o1 ZINC000464753080 1072554511 /nfs/dbraw/zinc/55/45/11/1072554511.db2.gz GETXVWCRGIZKNE-ZDUSSCGKSA-N 0 0 430.483 -0.410 20 0 IBADRN CC[C@@H](CO)N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000464758666 1072554811 /nfs/dbraw/zinc/55/48/11/1072554811.db2.gz SVTKFPABKQUPML-KRWDZBQOSA-N 0 0 429.521 -0.251 20 0 IBADRN CC[C@H](CO)N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000464758672 1072554843 /nfs/dbraw/zinc/55/48/43/1072554843.db2.gz SVTKFPABKQUPML-QGZVFWFLSA-N 0 0 429.521 -0.251 20 0 IBADRN Cc1nsc(N2CCCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000464761096 1072554863 /nfs/dbraw/zinc/55/48/63/1072554863.db2.gz CKTQQBQXSDVQBC-INIZCTEOSA-N 0 0 442.611 -0.310 20 0 IBADRN Cc1nsc(N2CCCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000464761105 1072555006 /nfs/dbraw/zinc/55/50/06/1072555006.db2.gz CKTQQBQXSDVQBC-MRXNPFEDSA-N 0 0 442.611 -0.310 20 0 IBADRN O=C(CN1CCNC(=O)[C@@H]1c1cccs1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000464762466 1072554998 /nfs/dbraw/zinc/55/49/98/1072554998.db2.gz VWKWOLULMPTUQI-PBHICJAKSA-N 0 0 426.564 -0.448 20 0 IBADRN O=C(CN1CCNC(=O)[C@H]1c1cccs1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000464762467 1072554853 /nfs/dbraw/zinc/55/48/53/1072554853.db2.gz VWKWOLULMPTUQI-RHSMWYFYSA-N 0 0 426.564 -0.448 20 0 IBADRN O=C(CN1CCNC(=O)[C@H]1c1cccs1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000464762468 1072554946 /nfs/dbraw/zinc/55/49/46/1072554946.db2.gz VWKWOLULMPTUQI-WMLDXEAASA-N 0 0 426.564 -0.448 20 0 IBADRN O=C(CN1CCNC(=O)[C@@H]1c1cccs1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000464762469 1072554832 /nfs/dbraw/zinc/55/48/32/1072554832.db2.gz VWKWOLULMPTUQI-YOEHRIQHSA-N 0 0 426.564 -0.448 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)s1 ZINC000464763028 1072555032 /nfs/dbraw/zinc/55/50/32/1072555032.db2.gz NQEPZGQJSGAAIT-UHFFFAOYSA-N 0 0 447.583 -0.161 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)s1 ZINC000464764381 1072555453 /nfs/dbraw/zinc/55/54/53/1072555453.db2.gz GXAZURHAFNJOLC-UHFFFAOYSA-N 0 0 436.494 -0.696 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)Cc2ccon2)CC1)N1CCN(c2cnccn2)CC1 ZINC000464766476 1072555497 /nfs/dbraw/zinc/55/54/97/1072555497.db2.gz BGTBSKAHTMJEIU-UHFFFAOYSA-N 0 0 435.510 -0.739 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)s1 ZINC000464767310 1072555417 /nfs/dbraw/zinc/55/54/17/1072555417.db2.gz VYHGVRXGMYSQNX-UHFFFAOYSA-N 0 0 447.521 -0.111 20 0 IBADRN CCc1nsc(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000464769203 1072555344 /nfs/dbraw/zinc/55/53/44/1072555344.db2.gz IERVRXURXJEKIX-HNNXBMFYSA-N 0 0 442.611 -0.446 20 0 IBADRN CCc1nsc(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000464769204 1072555394 /nfs/dbraw/zinc/55/53/94/1072555394.db2.gz IERVRXURXJEKIX-OAHLLOKOSA-N 0 0 442.611 -0.446 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)CS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000464785079 1072555356 /nfs/dbraw/zinc/55/53/56/1072555356.db2.gz LMYMNPITRZFYAA-CHWSQXEVSA-N 0 0 434.511 -0.003 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000464785080 1072555390 /nfs/dbraw/zinc/55/53/90/1072555390.db2.gz LMYMNPITRZFYAA-OLZOCXBDSA-N 0 0 434.511 -0.003 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000464785081 1072555509 /nfs/dbraw/zinc/55/55/09/1072555509.db2.gz LMYMNPITRZFYAA-QWHCGFSZSA-N 0 0 434.511 -0.003 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000464785082 1072556000 /nfs/dbraw/zinc/55/60/00/1072556000.db2.gz LMYMNPITRZFYAA-STQMWFEESA-N 0 0 434.511 -0.003 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)CC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000464791075 1072556176 /nfs/dbraw/zinc/55/61/76/1072556176.db2.gz CTBLOTBRZCVDJI-UHFFFAOYSA-N 0 0 434.584 -0.397 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)CC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000464794520 1072556507 /nfs/dbraw/zinc/55/65/07/1072556507.db2.gz MYPWFRFJTCGGAN-UHFFFAOYSA-N 0 0 439.582 -0.562 20 0 IBADRN COCCn1c(N)c(C(=O)CN(CC(=O)N2CCCC2)C[C@@H]2CCCO2)c(=O)[nH]c1=O ZINC000464795616 1072556615 /nfs/dbraw/zinc/55/66/15/1072556615.db2.gz RHUMSGMBBVBQST-AWEZNQCLSA-N 0 0 437.497 -0.536 20 0 IBADRN COCCn1c(N)c(C(=O)CN(CC(=O)N2CCCC2)C[C@H]2CCCO2)c(=O)[nH]c1=O ZINC000464795621 1072556531 /nfs/dbraw/zinc/55/65/31/1072556531.db2.gz RHUMSGMBBVBQST-CQSZACIVSA-N 0 0 437.497 -0.536 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@]3(CNC(=O)C3)C2)c(N)n(Cc2ccccc2)c1=O ZINC000464797455 1072555957 /nfs/dbraw/zinc/55/59/57/1072555957.db2.gz GFCUCSAUKQWGFS-JOCHJYFZSA-N 0 0 425.489 -0.038 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@]3(CNC(=O)C3)C2)c(N)n(Cc2ccccc2)c1=O ZINC000464797456 1072556017 /nfs/dbraw/zinc/55/60/17/1072556017.db2.gz GFCUCSAUKQWGFS-QFIPXVFZSA-N 0 0 425.489 -0.038 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000464800112 1072556142 /nfs/dbraw/zinc/55/61/42/1072556142.db2.gz URQDUXNKIMGUKL-UHFFFAOYSA-N 0 0 434.522 -0.348 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)[C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000464800291 1072555925 /nfs/dbraw/zinc/55/59/25/1072555925.db2.gz VKVYYTJVFBIFRU-CYBMUJFWSA-N 0 0 437.522 -0.544 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)[C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000464800292 1072556183 /nfs/dbraw/zinc/55/61/83/1072556183.db2.gz VKVYYTJVFBIFRU-ZDUSSCGKSA-N 0 0 437.522 -0.544 20 0 IBADRN CS(=O)(=O)Cc1ccccc1CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000464807219 1072557046 /nfs/dbraw/zinc/55/70/46/1072557046.db2.gz HHIGGJYFAYBXKL-UHFFFAOYSA-N 0 0 438.550 -0.083 20 0 IBADRN CS(=O)(=O)Cc1ccccc1CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000464817766 1072557108 /nfs/dbraw/zinc/55/71/08/1072557108.db2.gz YRJWGTPZHJFOOL-UHFFFAOYSA-N 0 0 438.550 -0.083 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000464837880 1072557065 /nfs/dbraw/zinc/55/70/65/1072557065.db2.gz YRIXPCUGHHUJDT-CYBMUJFWSA-N 0 0 448.519 -0.097 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000464837881 1072557153 /nfs/dbraw/zinc/55/71/53/1072557153.db2.gz YRIXPCUGHHUJDT-ZDUSSCGKSA-N 0 0 448.519 -0.097 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCS(=O)(=O)C(C)(C)C2)c(N)n(Cc2ccccc2)c1=O ZINC000464860023 1072559706 /nfs/dbraw/zinc/55/97/06/1072559706.db2.gz YYIVPCSWAOTDNH-UHFFFAOYSA-N 0 0 434.518 -0.131 20 0 IBADRN CCc1nsc(N2CCCN(CC(=O)c3c(N)n(CCOC)c(=O)[nH]c3=O)CC2)n1 ZINC000464860449 1072560234 /nfs/dbraw/zinc/56/02/34/1072560234.db2.gz PWSMDLPDDZICRU-UHFFFAOYSA-N 0 0 437.526 -0.014 20 0 IBADRN COc1cccc(OC)c1CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000464861100 1072560168 /nfs/dbraw/zinc/56/01/68/1072560168.db2.gz AVILYWGYGZGXBA-UHFFFAOYSA-N 0 0 426.539 -0.117 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)C(C)(C)C2)CC1 ZINC000464861826 1072560037 /nfs/dbraw/zinc/56/00/37/1072560037.db2.gz FGOUPJLPVISHFW-UHFFFAOYSA-N 0 0 448.567 -0.367 20 0 IBADRN COc1ccccc1N1CC[C@@H](N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000464880736 1072561584 /nfs/dbraw/zinc/56/15/84/1072561584.db2.gz MLAIOAJRICEIJF-GOSISDBHSA-N 0 0 437.566 -0.090 20 0 IBADRN COc1ccccc1N1CC[C@H](N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000464880737 1072561596 /nfs/dbraw/zinc/56/15/96/1072561596.db2.gz MLAIOAJRICEIJF-SFHVURJKSA-N 0 0 437.566 -0.090 20 0 IBADRN COc1cccc(OC)c1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000464959129 1072562630 /nfs/dbraw/zinc/56/26/30/1072562630.db2.gz ITOXPQUQGBWNFB-HNNXBMFYSA-N 0 0 439.534 -0.306 20 0 IBADRN COc1cccc(OC)c1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000464959138 1072562654 /nfs/dbraw/zinc/56/26/54/1072562654.db2.gz ITOXPQUQGBWNFB-OAHLLOKOSA-N 0 0 439.534 -0.306 20 0 IBADRN CCc1nsc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000464959853 1072562741 /nfs/dbraw/zinc/56/27/41/1072562741.db2.gz MYLXJBGCQZYPHH-CHWSQXEVSA-N 0 0 427.552 -0.215 20 0 IBADRN CCc1nsc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000464959854 1072562815 /nfs/dbraw/zinc/56/28/15/1072562815.db2.gz MYLXJBGCQZYPHH-OLZOCXBDSA-N 0 0 427.552 -0.215 20 0 IBADRN CCc1nsc(N2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000464959855 1072562734 /nfs/dbraw/zinc/56/27/34/1072562734.db2.gz MYLXJBGCQZYPHH-QWHCGFSZSA-N 0 0 427.552 -0.215 20 0 IBADRN CCc1nsc(N2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000464959856 1072562711 /nfs/dbraw/zinc/56/27/11/1072562711.db2.gz MYLXJBGCQZYPHH-STQMWFEESA-N 0 0 427.552 -0.215 20 0 IBADRN CCc1nsc(N2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000464968839 1072562701 /nfs/dbraw/zinc/56/27/01/1072562701.db2.gz SDAZGELMVTZCJS-UHFFFAOYSA-N 0 0 432.510 -0.414 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccccc3CS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000465001158 1072563745 /nfs/dbraw/zinc/56/37/45/1072563745.db2.gz MVGVQVWZYQGPSU-UHFFFAOYSA-N 0 0 433.490 -0.315 20 0 IBADRN CN(C(=O)CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)C1CCCCC1 ZINC000465006113 1072564219 /nfs/dbraw/zinc/56/42/19/1072564219.db2.gz YUMKWQOMVYHIHZ-UHFFFAOYSA-N 0 0 431.559 -0.318 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000465008180 1072564447 /nfs/dbraw/zinc/56/44/47/1072564447.db2.gz KAGGXXJKLJJADG-KRWDZBQOSA-N 0 0 445.520 -0.014 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000465008182 1072564753 /nfs/dbraw/zinc/56/47/53/1072564753.db2.gz KAGGXXJKLJJADG-QGZVFWFLSA-N 0 0 445.520 -0.014 20 0 IBADRN CN(C(=O)CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C1CCCCC1 ZINC000465011763 1072565004 /nfs/dbraw/zinc/56/50/04/1072565004.db2.gz QJEIKBZVPNLVBY-UHFFFAOYSA-N 0 0 444.602 -0.403 20 0 IBADRN Cc1cc(N2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)n2ncnc2n1 ZINC000465020498 1072564955 /nfs/dbraw/zinc/56/49/55/1072564955.db2.gz FGVARRYVIZXAGG-UHFFFAOYSA-N 0 0 437.464 -0.866 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(Cc3ccc(C(N)=O)cc3)CC2)c(=O)n(C)c1=O ZINC000465020810 1072564798 /nfs/dbraw/zinc/56/47/98/1072564798.db2.gz KLUYKJZQWQZDTB-UHFFFAOYSA-N 0 0 438.488 -0.518 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)s1 ZINC000465020926 1072564843 /nfs/dbraw/zinc/56/48/43/1072564843.db2.gz MAEVUHYJDAERRT-UHFFFAOYSA-N 0 0 425.492 -0.675 20 0 IBADRN COc1cc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)ccc1OCC(N)=O ZINC000465020934 1072564679 /nfs/dbraw/zinc/56/46/79/1072564679.db2.gz MEHTXXMVAGOARN-UHFFFAOYSA-N 0 0 429.433 -0.772 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c1 ZINC000465021595 1072565528 /nfs/dbraw/zinc/56/55/28/1072565528.db2.gz ADEORZNAQOWYOB-UHFFFAOYSA-N 0 0 427.461 -0.178 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCS(=O)(=O)NCc2ccccc2)c(=O)n(C)c1=O ZINC000465022049 1072565435 /nfs/dbraw/zinc/56/54/35/1072565435.db2.gz YEJBGCQVQWQHFD-UHFFFAOYSA-N 0 0 433.490 -0.726 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465022619 1072565511 /nfs/dbraw/zinc/56/55/11/1072565511.db2.gz FYCQHZSFXARXHD-UHFFFAOYSA-N 0 0 425.511 -0.641 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000465024715 1072565371 /nfs/dbraw/zinc/56/53/71/1072565371.db2.gz SVZNCZCKRVNPQJ-UHFFFAOYSA-N 0 0 433.490 -0.395 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000465025286 1072565327 /nfs/dbraw/zinc/56/53/27/1072565327.db2.gz HSSJYBYYTVJNAW-CYBMUJFWSA-N 0 0 440.460 -0.246 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000465025288 1072565288 /nfs/dbraw/zinc/56/52/88/1072565288.db2.gz HSSJYBYYTVJNAW-ZDUSSCGKSA-N 0 0 440.460 -0.246 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000465025746 1072565468 /nfs/dbraw/zinc/56/54/68/1072565468.db2.gz ZBTVFOBZMGFGAA-UHFFFAOYSA-N 0 0 441.444 -0.491 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCCN2CCN(c3ncccn3)CC2)c(=O)n(C)c1=O ZINC000465027550 1072565479 /nfs/dbraw/zinc/56/54/79/1072565479.db2.gz FMTYGCQHIHLWEI-UHFFFAOYSA-N 0 0 440.508 -0.843 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000465028973 1072565447 /nfs/dbraw/zinc/56/54/47/1072565447.db2.gz GNTYONSJNBMVME-GFCCVEGCSA-N 0 0 426.433 -0.636 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000465028978 1072565463 /nfs/dbraw/zinc/56/54/63/1072565463.db2.gz GNTYONSJNBMVME-LBPRGKRZSA-N 0 0 426.433 -0.636 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)c(=O)n(C)c1=O ZINC000465030559 1072565496 /nfs/dbraw/zinc/56/54/96/1072565496.db2.gz LZHJFXOJAVZZJU-CYBMUJFWSA-N 0 0 425.511 -0.641 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)c(=O)n(C)c1=O ZINC000465030561 1072565964 /nfs/dbraw/zinc/56/59/64/1072565964.db2.gz LZHJFXOJAVZZJU-ZDUSSCGKSA-N 0 0 425.511 -0.641 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCC(C(=O)Nc3nncs3)CC2)c(=O)n(C)c1=O ZINC000465030790 1072565409 /nfs/dbraw/zinc/56/54/09/1072565409.db2.gz HUFCYJCGMKTRMX-UHFFFAOYSA-N 0 0 431.478 -0.233 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)c(=O)n(C)c1=O ZINC000465030924 1072565554 /nfs/dbraw/zinc/56/55/54/1072565554.db2.gz DPLNCWVPDOIUAQ-UHFFFAOYSA-N 0 0 430.465 -0.590 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000465031171 1072565347 /nfs/dbraw/zinc/56/53/47/1072565347.db2.gz KBEPXPXHCARDHR-UHFFFAOYSA-N 0 0 427.417 -0.420 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCN(C(=O)CCc3ccccc3)C1)c(=O)n2C ZINC000465032856 1072565875 /nfs/dbraw/zinc/56/58/75/1072565875.db2.gz KHVQHGFUKRHHEA-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCN(C(=O)CCc3ccccc3)C1)c(=O)n2C ZINC000465032857 1072566021 /nfs/dbraw/zinc/56/60/21/1072566021.db2.gz KHVQHGFUKRHHEA-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)c(=O)n(C)c1=O ZINC000465033796 1072564717 /nfs/dbraw/zinc/56/47/17/1072564717.db2.gz RUDYFGPDGOVYRZ-UHFFFAOYSA-N 0 0 432.462 -0.757 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)CC1 ZINC000465035746 1072564649 /nfs/dbraw/zinc/56/46/49/1072564649.db2.gz RHQWFWQMJCFHHT-UHFFFAOYSA-N 0 0 430.509 -0.949 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000465037353 1072564939 /nfs/dbraw/zinc/56/49/39/1072564939.db2.gz UWDBQQHNNIFIHR-UHFFFAOYSA-N 0 0 445.501 -0.428 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000465037967 1072566383 /nfs/dbraw/zinc/56/63/83/1072566383.db2.gz WNXQRPJSPQWASP-UHFFFAOYSA-N 0 0 433.490 -0.558 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)cn2)CCO1 ZINC000465038514 1072566436 /nfs/dbraw/zinc/56/64/36/1072566436.db2.gz YCCDSDHVAQWEDZ-AWEZNQCLSA-N 0 0 426.477 -0.025 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)cn2)CCO1 ZINC000465038519 1072566394 /nfs/dbraw/zinc/56/63/94/1072566394.db2.gz YCCDSDHVAQWEDZ-CQSZACIVSA-N 0 0 426.477 -0.025 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)c(=O)n(C)c1=O ZINC000465038924 1072566309 /nfs/dbraw/zinc/56/63/09/1072566309.db2.gz YMBWBYPVWITADZ-UHFFFAOYSA-N 0 0 444.492 -0.200 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1=O ZINC000465039597 1072566823 /nfs/dbraw/zinc/56/68/23/1072566823.db2.gz TYUKWXFPCXNAMC-AWEZNQCLSA-N 0 0 425.445 -0.031 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1=O ZINC000465039598 1072566883 /nfs/dbraw/zinc/56/68/83/1072566883.db2.gz TYUKWXFPCXNAMC-CQSZACIVSA-N 0 0 425.445 -0.031 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465040042 1072566808 /nfs/dbraw/zinc/56/68/08/1072566808.db2.gz WHFWAXUIRMBQSE-UHFFFAOYSA-N 0 0 425.511 -0.641 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1=O ZINC000465040445 1072566875 /nfs/dbraw/zinc/56/68/75/1072566875.db2.gz YLFWMOBLDZPDKH-GFCCVEGCSA-N 0 0 426.433 -0.636 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1=O ZINC000465040446 1072566849 /nfs/dbraw/zinc/56/68/49/1072566849.db2.gz YLFWMOBLDZPDKH-LBPRGKRZSA-N 0 0 426.433 -0.636 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465040894 1072566676 /nfs/dbraw/zinc/56/66/76/1072566676.db2.gz YBXSECQOVCNOJK-INIZCTEOSA-N 0 0 444.536 -0.416 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465040895 1072566752 /nfs/dbraw/zinc/56/67/52/1072566752.db2.gz YBXSECQOVCNOJK-MRXNPFEDSA-N 0 0 444.536 -0.416 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000465050065 1072566260 /nfs/dbraw/zinc/56/62/60/1072566260.db2.gz OOMUQUBMGVDAKP-GOSISDBHSA-N 0 0 438.488 -0.591 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000465050069 1072566275 /nfs/dbraw/zinc/56/62/75/1072566275.db2.gz OOMUQUBMGVDAKP-SFHVURJKSA-N 0 0 438.488 -0.591 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465051698 1072566291 /nfs/dbraw/zinc/56/62/91/1072566291.db2.gz CLYUSECXEPUYFN-UHFFFAOYSA-N 0 0 437.464 -0.936 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465052196 1072566420 /nfs/dbraw/zinc/56/64/20/1072566420.db2.gz HYLHLXKXPIZXEH-GFCCVEGCSA-N 0 0 433.490 -0.094 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465052197 1072566320 /nfs/dbraw/zinc/56/63/20/1072566320.db2.gz HYLHLXKXPIZXEH-LBPRGKRZSA-N 0 0 433.490 -0.094 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@H]3CCC[C@H]3NS(C)(=O)=O)nc2)CC1 ZINC000465054279 1073312231 /nfs/dbraw/zinc/31/22/31/1073312231.db2.gz VHTJGOJWRAVGLR-GDBMZVCRSA-N 0 0 431.584 0.148 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@@H]3CCC[C@H]3NS(C)(=O)=O)nc2)CC1 ZINC000465054280 1072567798 /nfs/dbraw/zinc/56/77/98/1072567798.db2.gz VHTJGOJWRAVGLR-GOEBONIOSA-N 0 0 431.584 0.148 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@@H]3CCC[C@@H]3NS(C)(=O)=O)nc2)CC1 ZINC000465054281 1073312167 /nfs/dbraw/zinc/31/21/67/1073312167.db2.gz VHTJGOJWRAVGLR-HOCLYGCPSA-N 0 0 431.584 0.148 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@H]3CCC[C@@H]3NS(C)(=O)=O)nc2)CC1 ZINC000465054282 1073312391 /nfs/dbraw/zinc/31/23/91/1073312391.db2.gz VHTJGOJWRAVGLR-ZBFHGGJFSA-N 0 0 431.584 0.148 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)c(=O)n(C)c1=O ZINC000465054555 1072567578 /nfs/dbraw/zinc/56/75/78/1072567578.db2.gz AKWACOCPNCEDGP-UHFFFAOYSA-N 0 0 444.536 -0.415 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000465055517 1072567698 /nfs/dbraw/zinc/56/76/98/1072567698.db2.gz GQTDSKXWTMALOT-UHFFFAOYSA-N 0 0 438.488 -0.178 20 0 IBADRN Cc1cc(NC(=O)C2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)no1 ZINC000465056034 1073327809 /nfs/dbraw/zinc/32/78/09/1073327809.db2.gz OPQPESLAOXBDFK-UHFFFAOYSA-N 0 0 428.449 0.212 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)C(C)(C)C2)s1 ZINC000465056370 1072567880 /nfs/dbraw/zinc/56/78/80/1072567880.db2.gz CKGXLYVVTAYQMV-UHFFFAOYSA-N 0 0 437.565 -0.302 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c(=O)n(C)c1=O ZINC000465056696 1072568261 /nfs/dbraw/zinc/56/82/61/1072568261.db2.gz LCSUUCOIMFUYDN-UHFFFAOYSA-N 0 0 430.509 -0.805 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465059959 1072566722 /nfs/dbraw/zinc/56/67/22/1072566722.db2.gz WSPLAMHWSZIXER-UHFFFAOYSA-N 0 0 433.490 -0.395 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)C(C)(C)C2)c1 ZINC000465060311 1072566898 /nfs/dbraw/zinc/56/68/98/1072566898.db2.gz RUEMKFHFLBGRCF-UHFFFAOYSA-N 0 0 433.552 -0.086 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000465062845 1072566923 /nfs/dbraw/zinc/56/69/23/1072566923.db2.gz DQAACAGIOYGAFO-DLBZAZTESA-N 0 0 434.518 -0.108 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000465062846 1072566817 /nfs/dbraw/zinc/56/68/17/1072566817.db2.gz DQAACAGIOYGAFO-IAGOWNOFSA-N 0 0 434.518 -0.108 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000465062847 1072566892 /nfs/dbraw/zinc/56/68/92/1072566892.db2.gz DQAACAGIOYGAFO-IRXDYDNUSA-N 0 0 434.518 -0.108 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000465062848 1072566783 /nfs/dbraw/zinc/56/67/83/1072566783.db2.gz DQAACAGIOYGAFO-SJORKVTESA-N 0 0 434.518 -0.108 20 0 IBADRN COc1c(F)cc(CC(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465065023 1072567236 /nfs/dbraw/zinc/56/72/36/1072567236.db2.gz JSHCZSTWCMQZLK-AAEUAGOBSA-N 0 0 437.515 -0.142 20 0 IBADRN COc1c(F)cc(CC(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465065024 1072567185 /nfs/dbraw/zinc/56/71/85/1072567185.db2.gz JSHCZSTWCMQZLK-DGCLKSJQSA-N 0 0 437.515 -0.142 20 0 IBADRN COc1c(F)cc(CC(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465065025 1072567304 /nfs/dbraw/zinc/56/73/04/1072567304.db2.gz JSHCZSTWCMQZLK-WCQYABFASA-N 0 0 437.515 -0.142 20 0 IBADRN COc1c(F)cc(CC(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465065026 1072567149 /nfs/dbraw/zinc/56/71/49/1072567149.db2.gz JSHCZSTWCMQZLK-YPMHNXCESA-N 0 0 437.515 -0.142 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465065222 1072567333 /nfs/dbraw/zinc/56/73/33/1072567333.db2.gz MOPBFEPQAXJBKZ-GDBMZVCRSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465065223 1072567122 /nfs/dbraw/zinc/56/71/22/1072567122.db2.gz MOPBFEPQAXJBKZ-GOEBONIOSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465065224 1072567316 /nfs/dbraw/zinc/56/73/16/1072567316.db2.gz MOPBFEPQAXJBKZ-HOCLYGCPSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465065225 1072567208 /nfs/dbraw/zinc/56/72/08/1072567208.db2.gz MOPBFEPQAXJBKZ-ZBFHGGJFSA-N 0 0 445.563 -0.004 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000465065986 1072567197 /nfs/dbraw/zinc/56/71/97/1072567197.db2.gz KEWNYFSVXKMEPT-BNOWGMLFSA-N 0 0 435.572 -0.462 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000465065987 1072567297 /nfs/dbraw/zinc/56/72/97/1072567297.db2.gz KEWNYFSVXKMEPT-KWCYVHTRSA-N 0 0 435.572 -0.462 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000465065988 1072567360 /nfs/dbraw/zinc/56/73/60/1072567360.db2.gz KEWNYFSVXKMEPT-MRVWCRGKSA-N 0 0 435.572 -0.462 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000465065989 1072567226 /nfs/dbraw/zinc/56/72/26/1072567226.db2.gz KEWNYFSVXKMEPT-XBFCOCLRSA-N 0 0 435.572 -0.462 20 0 IBADRN CC1(C)CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS1(=O)=O ZINC000465066852 1072567677 /nfs/dbraw/zinc/56/76/77/1072567677.db2.gz BFRRFWUNTSBQIC-GFCCVEGCSA-N 0 0 429.520 -0.278 20 0 IBADRN CC1(C)CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCS1(=O)=O ZINC000465066857 1072567823 /nfs/dbraw/zinc/56/78/23/1072567823.db2.gz BFRRFWUNTSBQIC-LBPRGKRZSA-N 0 0 429.520 -0.278 20 0 IBADRN CC1(C)CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC000465066953 1072567872 /nfs/dbraw/zinc/56/78/72/1072567872.db2.gz BTMFMBITUYVJJQ-UHFFFAOYSA-N 0 0 429.520 -0.544 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000465067272 1072567339 /nfs/dbraw/zinc/56/73/39/1072567339.db2.gz UPGFIRGGDOBLJF-BBWFWOEESA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000465067277 1072567242 /nfs/dbraw/zinc/56/72/42/1072567242.db2.gz UPGFIRGGDOBLJF-BRWVUGGUSA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000465067278 1072567163 /nfs/dbraw/zinc/56/71/63/1072567163.db2.gz UPGFIRGGDOBLJF-GVDBMIGSSA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000465067279 1072567259 /nfs/dbraw/zinc/56/72/59/1072567259.db2.gz UPGFIRGGDOBLJF-ZACQAIPSSA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000465067296 1072567136 /nfs/dbraw/zinc/56/71/36/1072567136.db2.gz BZHNNEYUJXDMIR-CMPLNLGQSA-N 0 0 446.507 -0.924 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000465067297 1072567663 /nfs/dbraw/zinc/56/76/63/1072567663.db2.gz BZHNNEYUJXDMIR-JQWIXIFHSA-N 0 0 446.507 -0.924 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000465067298 1072567611 /nfs/dbraw/zinc/56/76/11/1072567611.db2.gz BZHNNEYUJXDMIR-PWSUYJOCSA-N 0 0 446.507 -0.924 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000465067299 1072567842 /nfs/dbraw/zinc/56/78/42/1072567842.db2.gz BZHNNEYUJXDMIR-ZYHUDNBSSA-N 0 0 446.507 -0.924 20 0 IBADRN CC1(C)CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCS1(=O)=O ZINC000465069536 1072568327 /nfs/dbraw/zinc/56/83/27/1072568327.db2.gz VBHRCJHPIYJMOO-UHFFFAOYSA-N 0 0 431.536 -0.180 20 0 IBADRN CC1(C)CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC000465070388 1072568269 /nfs/dbraw/zinc/56/82/69/1072568269.db2.gz XVAXRGJFJXZSMA-UHFFFAOYSA-N 0 0 429.520 -0.544 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000465071167 1072568182 /nfs/dbraw/zinc/56/81/82/1072568182.db2.gz MGSBEQRZHJRXNI-NEPJUHHUSA-N 0 0 440.507 -0.455 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000465071168 1072568240 /nfs/dbraw/zinc/56/82/40/1072568240.db2.gz MGSBEQRZHJRXNI-NWDGAFQWSA-N 0 0 440.507 -0.455 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000465071169 1072568161 /nfs/dbraw/zinc/56/81/61/1072568161.db2.gz MGSBEQRZHJRXNI-RYUDHWBXSA-N 0 0 440.507 -0.455 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000465071171 1072568175 /nfs/dbraw/zinc/56/81/75/1072568175.db2.gz MGSBEQRZHJRXNI-VXGBXAGGSA-N 0 0 440.507 -0.455 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000465072131 1072568279 /nfs/dbraw/zinc/56/82/79/1072568279.db2.gz RCYKWTZBPLMBEX-GXTWGEPZSA-N 0 0 434.540 -0.517 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000465072132 1072568300 /nfs/dbraw/zinc/56/83/00/1072568300.db2.gz RCYKWTZBPLMBEX-JSGCOSHPSA-N 0 0 434.540 -0.517 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000465072133 1072568317 /nfs/dbraw/zinc/56/83/17/1072568317.db2.gz RCYKWTZBPLMBEX-OCCSQVGLSA-N 0 0 434.540 -0.517 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000465072134 1072568201 /nfs/dbraw/zinc/56/82/01/1072568201.db2.gz RCYKWTZBPLMBEX-TZMCWYRMSA-N 0 0 434.540 -0.517 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c1 ZINC000465079108 1072568703 /nfs/dbraw/zinc/56/87/03/1072568703.db2.gz FXXOBLTWZYGHKY-UHFFFAOYSA-N 0 0 427.461 -0.130 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000465082751 1072569195 /nfs/dbraw/zinc/56/91/95/1072569195.db2.gz BVSTYXYOJRVUFV-UHFFFAOYSA-N 0 0 446.537 -0.023 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCN(C(=O)CCc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000465103317 1072569254 /nfs/dbraw/zinc/56/92/54/1072569254.db2.gz PJBATELWVFSCPQ-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCN(C(=O)CCc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000465103318 1072569123 /nfs/dbraw/zinc/56/91/23/1072569123.db2.gz PJBATELWVFSCPQ-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1c2c(ccn2CC(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)c(=O)n(C)c1=O ZINC000465106982 1072569133 /nfs/dbraw/zinc/56/91/33/1072569133.db2.gz AKDYKSQARYFSIL-HNNXBMFYSA-N 0 0 439.472 -0.165 20 0 IBADRN Cn1c2c(ccn2CC(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)c(=O)n(C)c1=O ZINC000465106987 1072569232 /nfs/dbraw/zinc/56/92/32/1072569232.db2.gz AKDYKSQARYFSIL-OAHLLOKOSA-N 0 0 439.472 -0.165 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)Cn1ccc3c1n(C)c(=O)n(C)c3=O)CC2 ZINC000465108467 1072569145 /nfs/dbraw/zinc/56/91/45/1072569145.db2.gz AKRJHFQMGLNDSG-UHFFFAOYSA-N 0 0 431.474 -0.464 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)Cn1ccc3c1n(C)c(=O)n(C)c3=O)CC2 ZINC000465112271 1072569203 /nfs/dbraw/zinc/56/92/03/1072569203.db2.gz KSNGLBCCTWXIFP-UHFFFAOYSA-N 0 0 445.501 -0.074 20 0 IBADRN CCOc1ccnc(N2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000465117078 1072570481 /nfs/dbraw/zinc/57/04/81/1072570481.db2.gz AJHLSAYBBBGSHU-UHFFFAOYSA-N 0 0 427.465 -0.424 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)c(=O)n(C)c1=O ZINC000465120408 1072570404 /nfs/dbraw/zinc/57/04/04/1072570404.db2.gz JZCAHLKPCBWXLM-UHFFFAOYSA-N 0 0 444.536 -0.368 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCCN2C(=O)COc3ccccc32)c(=O)n(C)c1=O ZINC000465121512 1072570469 /nfs/dbraw/zinc/57/04/69/1072570469.db2.gz POANWIYRHDAIRC-UHFFFAOYSA-N 0 0 425.445 -0.029 20 0 IBADRN CCn1cc(CN2CCCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)cn1 ZINC000465121795 1072570273 /nfs/dbraw/zinc/57/02/73/1072570273.db2.gz NQJQVFWLNOBJQC-UHFFFAOYSA-N 0 0 427.509 -0.010 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465122228 1072570310 /nfs/dbraw/zinc/57/03/10/1072570310.db2.gz ONQVNNXFFFXIHO-INIZCTEOSA-N 0 0 439.520 -0.239 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465122229 1072570348 /nfs/dbraw/zinc/57/03/48/1072570348.db2.gz ONQVNNXFFFXIHO-MRXNPFEDSA-N 0 0 439.520 -0.239 20 0 IBADRN CCOc1cc(C)nc(N2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000465123064 1072569298 /nfs/dbraw/zinc/56/92/98/1072569298.db2.gz RMLARMLRHIZBSE-UHFFFAOYSA-N 0 0 441.492 -0.115 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2=O)cc1 ZINC000465124142 1072569167 /nfs/dbraw/zinc/56/91/67/1072569167.db2.gz VSGDTEWCBQDSMK-KRWDZBQOSA-N 0 0 439.472 -0.035 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2=O)cc1 ZINC000465124143 1072569874 /nfs/dbraw/zinc/56/98/74/1072569874.db2.gz VSGDTEWCBQDSMK-QGZVFWFLSA-N 0 0 439.472 -0.035 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)Cc1ccc(O)cc1 ZINC000465124893 1072569679 /nfs/dbraw/zinc/56/96/79/1072569679.db2.gz ZVAAPXVIIGHXPQ-AWEZNQCLSA-N 0 0 428.445 -0.108 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)Cc1ccc(O)cc1 ZINC000465124894 1072569763 /nfs/dbraw/zinc/56/97/63/1072569763.db2.gz ZVAAPXVIIGHXPQ-CQSZACIVSA-N 0 0 428.445 -0.108 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)c(=O)n(C)c1=O ZINC000465125817 1072569722 /nfs/dbraw/zinc/56/97/22/1072569722.db2.gz BKRGLGHHTWRRMC-UHFFFAOYSA-N 0 0 426.433 -0.717 20 0 IBADRN Cc1nn(C)c(C)c1CN1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465126583 1072569783 /nfs/dbraw/zinc/56/97/83/1072569783.db2.gz FYHOFRUOTSEVHY-UHFFFAOYSA-N 0 0 427.509 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000465126973 1072569714 /nfs/dbraw/zinc/56/97/14/1072569714.db2.gz GWTYHEPDFWILSR-GFCCVEGCSA-N 0 0 433.490 -0.176 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000465126974 1072569862 /nfs/dbraw/zinc/56/98/62/1072569862.db2.gz GWTYHEPDFWILSR-LBPRGKRZSA-N 0 0 433.490 -0.176 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCCN(CC(=O)N3CCCC3)CC2)c(=O)n(C)c1=O ZINC000465127329 1072569826 /nfs/dbraw/zinc/56/98/26/1072569826.db2.gz HLFIIVXCMIVMHD-UHFFFAOYSA-N 0 0 430.509 -0.805 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)CC1 ZINC000465127896 1072569665 /nfs/dbraw/zinc/56/96/65/1072569665.db2.gz HLNSYRAWSSHTQI-UHFFFAOYSA-N 0 0 444.536 -0.559 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c1 ZINC000465128445 1072569654 /nfs/dbraw/zinc/56/96/54/1072569654.db2.gz IWSPBXOOAZRQGI-GFCCVEGCSA-N 0 0 433.490 -0.176 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c1 ZINC000465128446 1072569806 /nfs/dbraw/zinc/56/98/06/1072569806.db2.gz IWSPBXOOAZRQGI-LBPRGKRZSA-N 0 0 433.490 -0.176 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465128761 1072570192 /nfs/dbraw/zinc/57/01/92/1072570192.db2.gz KYZBNCJAWUQHQH-GFCCVEGCSA-N 0 0 433.490 -0.094 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465128762 1072570506 /nfs/dbraw/zinc/57/05/06/1072570506.db2.gz KYZBNCJAWUQHQH-LBPRGKRZSA-N 0 0 433.490 -0.094 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cc(C(=O)NCCC(N)=O)ccc2F)c(=O)n(C)c1=O ZINC000465129585 1072570334 /nfs/dbraw/zinc/57/03/34/1072570334.db2.gz LJSGQKXAYNDONU-UHFFFAOYSA-N 0 0 444.423 -0.578 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465129721 1072570158 /nfs/dbraw/zinc/57/01/58/1072570158.db2.gz NGOJKJUAQJKMQW-UHFFFAOYSA-N 0 0 425.511 -0.689 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(C(=O)Cn1ccc3c1n(C)c(=O)n(C)c3=O)CC2 ZINC000465130005 1072570454 /nfs/dbraw/zinc/57/04/54/1072570454.db2.gz UXVPXUWTQFINLI-UHFFFAOYSA-N 0 0 445.501 -0.122 20 0 IBADRN Cn1c2c(ccn2CC(=O)N[C@H](C(=O)Nc2cc[nH]n2)c2ccccc2)c(=O)n(C)c1=O ZINC000465130944 1073353547 /nfs/dbraw/zinc/35/35/47/1073353547.db2.gz PWTYFZRSOQFBMY-KRWDZBQOSA-N 0 0 435.444 0.258 20 0 IBADRN Cn1c2c(ccn2CC(=O)N[C@@H](C(=O)Nc2cc[nH]n2)c2ccccc2)c(=O)n(C)c1=O ZINC000465130945 1072570247 /nfs/dbraw/zinc/57/02/47/1072570247.db2.gz PWTYFZRSOQFBMY-QGZVFWFLSA-N 0 0 435.444 0.258 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000465131298 1072570436 /nfs/dbraw/zinc/57/04/36/1072570436.db2.gz YMLYPCUZAMIGPV-UHFFFAOYSA-N 0 0 441.444 -0.030 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000465131528 1072570372 /nfs/dbraw/zinc/57/03/72/1072570372.db2.gz AZMRTHFGSLNDNP-UHFFFAOYSA-N 0 0 426.481 -0.757 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465131784 1072571074 /nfs/dbraw/zinc/57/10/74/1072571074.db2.gz ZISNSVVONDOYAM-HNNXBMFYSA-N 0 0 444.536 -0.370 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465131795 1072570916 /nfs/dbraw/zinc/57/09/16/1072570916.db2.gz ZISNSVVONDOYAM-OAHLLOKOSA-N 0 0 444.536 -0.370 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)c[nH]1 ZINC000465132605 1072571426 /nfs/dbraw/zinc/57/14/26/1072571426.db2.gz WDMOXCRJLAUAFJ-HNNXBMFYSA-N 0 0 447.517 -0.258 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)c[nH]1 ZINC000465132606 1072571506 /nfs/dbraw/zinc/57/15/06/1072571506.db2.gz WDMOXCRJLAUAFJ-OAHLLOKOSA-N 0 0 447.517 -0.258 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCC(N)=O ZINC000465132784 1072570873 /nfs/dbraw/zinc/57/08/73/1072570873.db2.gz GFZCNQDRUYMWSX-KRWDZBQOSA-N 0 0 440.522 -0.547 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCC(N)=O ZINC000465132785 1072570961 /nfs/dbraw/zinc/57/09/61/1072570961.db2.gz GFZCNQDRUYMWSX-QGZVFWFLSA-N 0 0 440.522 -0.547 20 0 IBADRN CCc1noc([C@@H](C)N2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000465133984 1072571601 /nfs/dbraw/zinc/57/16/01/1072571601.db2.gz AGIBTTJJRYEBRH-CYBMUJFWSA-N 0 0 429.481 -0.111 20 0 IBADRN CCc1noc([C@H](C)N2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000465133995 1072571484 /nfs/dbraw/zinc/57/14/84/1072571484.db2.gz AGIBTTJJRYEBRH-ZDUSSCGKSA-N 0 0 429.481 -0.111 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465134024 1072571460 /nfs/dbraw/zinc/57/14/60/1072571460.db2.gz KEPTVZGYVVCRBH-KRWDZBQOSA-N 0 0 436.472 -0.007 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465134031 1072571395 /nfs/dbraw/zinc/57/13/95/1072571395.db2.gz OGFODGGCBJBKSN-UHFFFAOYSA-N 0 0 433.509 -0.180 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465134901 1072571650 /nfs/dbraw/zinc/57/16/50/1072571650.db2.gz YKEJECHCWSEYLI-UHFFFAOYSA-N 0 0 432.525 -0.559 20 0 IBADRN NC(=O)NC1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000465135016 1072571533 /nfs/dbraw/zinc/57/15/33/1072571533.db2.gz AESBOEOEALIHGH-UHFFFAOYSA-N 0 0 439.542 -0.624 20 0 IBADRN NC(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000465135035 1072571519 /nfs/dbraw/zinc/57/15/19/1072571519.db2.gz ANAYAFMYDJXAKT-UHFFFAOYSA-N 0 0 426.495 -0.851 20 0 IBADRN CCN(C1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1)S(C)(=O)=O ZINC000465135493 1072571559 /nfs/dbraw/zinc/57/15/59/1072571559.db2.gz YNZBDXYEAFSYCT-UHFFFAOYSA-N 0 0 425.511 -0.689 20 0 IBADRN NC(=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000465136914 1072570228 /nfs/dbraw/zinc/57/02/28/1072570228.db2.gz RRTHHZLHRZOKMJ-UHFFFAOYSA-N 0 0 430.914 -0.055 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCOc2ccc(S(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000465137374 1072571408 /nfs/dbraw/zinc/57/14/08/1072571408.db2.gz UWVQTBFUNSKYIH-UHFFFAOYSA-N 0 0 434.474 -0.363 20 0 IBADRN COC[C@](C)(NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(=O)OC ZINC000465138362 1072570207 /nfs/dbraw/zinc/57/02/07/1072570207.db2.gz HJEPSMQMHPNEKF-IBGZPJMESA-N 0 0 441.506 -0.153 20 0 IBADRN COC[C@@](C)(NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(=O)OC ZINC000465138363 1072570863 /nfs/dbraw/zinc/57/08/63/1072570863.db2.gz HJEPSMQMHPNEKF-LJQANCHMSA-N 0 0 441.506 -0.153 20 0 IBADRN Cn1c2c(ccn2CC(=O)N(Cc2cccnc2)[C@H]2CCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000465138866 1072570828 /nfs/dbraw/zinc/57/08/28/1072570828.db2.gz SAWOGHMZURPHKU-HNNXBMFYSA-N 0 0 445.501 -0.350 20 0 IBADRN Cn1c2c(ccn2CC(=O)N(Cc2cccnc2)[C@@H]2CCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000465138867 1072570819 /nfs/dbraw/zinc/57/08/19/1072570819.db2.gz SAWOGHMZURPHKU-OAHLLOKOSA-N 0 0 445.501 -0.350 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCCC(N)=O)c(=O)n2Cc1ccccc1 ZINC000465141882 1072571056 /nfs/dbraw/zinc/57/10/56/1072571056.db2.gz ZKQHVVMNAHLSIL-UHFFFAOYSA-N 0 0 428.449 -0.954 20 0 IBADRN COC[C@](C)(NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(=O)OC ZINC000465143818 1072570947 /nfs/dbraw/zinc/57/09/47/1072570947.db2.gz FJXVAOMJZIJRIK-IBGZPJMESA-N 0 0 441.506 -0.153 20 0 IBADRN COC[C@@](C)(NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(=O)OC ZINC000465143819 1072571020 /nfs/dbraw/zinc/57/10/20/1072571020.db2.gz FJXVAOMJZIJRIK-LJQANCHMSA-N 0 0 441.506 -0.153 20 0 IBADRN COC[C@](C)(NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000465145761 1072570763 /nfs/dbraw/zinc/57/07/63/1072570763.db2.gz KDRKDSLLVNYKLJ-IBGZPJMESA-N 0 0 444.506 -0.047 20 0 IBADRN COC[C@@](C)(NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000465145764 1072570838 /nfs/dbraw/zinc/57/08/38/1072570838.db2.gz KDRKDSLLVNYKLJ-LJQANCHMSA-N 0 0 444.506 -0.047 20 0 IBADRN COC[C@](C)(NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(=O)OC ZINC000465151099 1072571610 /nfs/dbraw/zinc/57/16/10/1072571610.db2.gz YSRUFQILTFRATD-IBGZPJMESA-N 0 0 427.523 -0.004 20 0 IBADRN COC[C@@](C)(NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(=O)OC ZINC000465151100 1072571583 /nfs/dbraw/zinc/57/15/83/1072571583.db2.gz YSRUFQILTFRATD-LJQANCHMSA-N 0 0 427.523 -0.004 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000465154491 1072571943 /nfs/dbraw/zinc/57/19/43/1072571943.db2.gz IWHBIHLKESUYGR-UHFFFAOYSA-N 0 0 447.517 -0.216 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000465155513 1072572105 /nfs/dbraw/zinc/57/21/05/1072572105.db2.gz LLJWAUVYSFMFTH-KFWWJZLASA-N 0 0 427.523 -0.249 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000465155519 1072572153 /nfs/dbraw/zinc/57/21/53/1072572153.db2.gz LLJWAUVYSFMFTH-RBSFLKMASA-N 0 0 427.523 -0.249 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000465155521 1072572055 /nfs/dbraw/zinc/57/20/55/1072572055.db2.gz LLJWAUVYSFMFTH-RRFJBIMHSA-N 0 0 427.523 -0.249 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000465155523 1072572125 /nfs/dbraw/zinc/57/21/25/1072572125.db2.gz LLJWAUVYSFMFTH-ZNMIVQPWSA-N 0 0 427.523 -0.249 20 0 IBADRN CNc1cc(N2CCC[C@@H]2CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)ncn1 ZINC000465157037 1072571986 /nfs/dbraw/zinc/57/19/86/1072571986.db2.gz JVABXMBQGYHLJC-CYBMUJFWSA-N 0 0 426.481 -0.344 20 0 IBADRN CNc1cc(N2CCC[C@H]2CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)ncn1 ZINC000465157040 1072572022 /nfs/dbraw/zinc/57/20/22/1072572022.db2.gz JVABXMBQGYHLJC-ZDUSSCGKSA-N 0 0 426.481 -0.344 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCN2C(=O)CCCC2=O)c1 ZINC000465158483 1072571967 /nfs/dbraw/zinc/57/19/67/1072571967.db2.gz RNUCIHQLSAQWKC-UHFFFAOYSA-N 0 0 426.495 -0.466 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCN1C(=O)CCCC1=O ZINC000465159075 1072571372 /nfs/dbraw/zinc/57/13/72/1072571372.db2.gz UXASWVGSJJDDKK-UHFFFAOYSA-N 0 0 439.490 -0.015 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2=O)n(C)n1 ZINC000465161533 1072571419 /nfs/dbraw/zinc/57/14/19/1072571419.db2.gz ABCHSEMYQSWTJU-AWEZNQCLSA-N 0 0 427.465 -0.608 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2=O)n(C)n1 ZINC000465161535 1072571384 /nfs/dbraw/zinc/57/13/84/1072571384.db2.gz ABCHSEMYQSWTJU-CQSZACIVSA-N 0 0 427.465 -0.608 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)c(=O)n(C)c1=O ZINC000465161945 1072571574 /nfs/dbraw/zinc/57/15/74/1072571574.db2.gz CDIAFWVZIKBRIE-UHFFFAOYSA-N 0 0 445.501 -0.088 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)c(=O)n(C)c1=O ZINC000465163723 1072571472 /nfs/dbraw/zinc/57/14/72/1072571472.db2.gz BJWLSERPFYDMCD-UHFFFAOYSA-N 0 0 442.480 -0.329 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCC(=O)N2CCN(c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000465164637 1072572048 /nfs/dbraw/zinc/57/20/48/1072572048.db2.gz DCSJJNZIGJEECZ-UHFFFAOYSA-N 0 0 438.488 -0.496 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCC(=O)Nc2ccc(-n3cccn3)cc2)c(=O)n(C)c1=O ZINC000465166853 1072572138 /nfs/dbraw/zinc/57/21/38/1072572138.db2.gz GPCANFBKURQBEO-UHFFFAOYSA-N 0 0 435.444 -0.021 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000465168593 1072572093 /nfs/dbraw/zinc/57/20/93/1072572093.db2.gz RVTZPKXKNFTWCX-UHFFFAOYSA-N 0 0 447.492 -0.701 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000465170344 1072572476 /nfs/dbraw/zinc/57/24/76/1072572476.db2.gz BNKZBQRGAGPZBT-UHFFFAOYSA-N 0 0 431.474 -0.464 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)c(=O)n(C)c1=O ZINC000465172440 1072572703 /nfs/dbraw/zinc/57/27/03/1072572703.db2.gz BMZPUUZYSYSNNW-UHFFFAOYSA-N 0 0 427.465 -0.067 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)c(=O)n(C)c1=O ZINC000465172846 1072572459 /nfs/dbraw/zinc/57/24/59/1072572459.db2.gz IGLRJEHGHSUXGG-UHFFFAOYSA-N 0 0 445.501 -0.005 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2=O)c1 ZINC000465174011 1072572510 /nfs/dbraw/zinc/57/25/10/1072572510.db2.gz XKSPAGBDEGJYLZ-CYBMUJFWSA-N 0 0 425.445 -0.031 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2=O)c1 ZINC000465174012 1072572482 /nfs/dbraw/zinc/57/24/82/1072572482.db2.gz XKSPAGBDEGJYLZ-ZDUSSCGKSA-N 0 0 425.445 -0.031 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)c(=O)n(C)c1=O ZINC000465175360 1072572545 /nfs/dbraw/zinc/57/25/45/1072572545.db2.gz LXUFLERAKLUTGU-CYBMUJFWSA-N 0 0 437.547 -0.484 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CSC[C@H]2C(=O)N2CCSCC2)c(=O)n(C)c1=O ZINC000465175365 1072572569 /nfs/dbraw/zinc/57/25/69/1072572569.db2.gz LXUFLERAKLUTGU-ZDUSSCGKSA-N 0 0 437.547 -0.484 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(C(=O)c3ccc4[nH]cnc4c3)CC2)c(=O)n(C)c1=O ZINC000465175510 1072572581 /nfs/dbraw/zinc/57/25/81/1072572581.db2.gz QXQSOLSIMCOCNA-UHFFFAOYSA-N 0 0 449.471 -0.100 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)c(=O)n(C)c1=O ZINC000465176254 1072572664 /nfs/dbraw/zinc/57/26/64/1072572664.db2.gz SVQNOLCSJJXDTR-NRFANRHFSA-N 0 0 438.444 -0.590 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)c(=O)n(C)c1=O ZINC000465176255 1072572713 /nfs/dbraw/zinc/57/27/13/1072572713.db2.gz SVQNOLCSJJXDTR-OAQYLSRUSA-N 0 0 438.444 -0.590 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1 ZINC000465177197 1072573170 /nfs/dbraw/zinc/57/31/70/1072573170.db2.gz HUDWBUHSNZXZAZ-AWEZNQCLSA-N 0 0 447.492 -0.701 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1 ZINC000465177198 1072572947 /nfs/dbraw/zinc/57/29/47/1072572947.db2.gz HUDWBUHSNZXZAZ-CQSZACIVSA-N 0 0 447.492 -0.701 20 0 IBADRN Cn1c2c(ccn2CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000465177509 1072572601 /nfs/dbraw/zinc/57/26/01/1072572601.db2.gz XWAQSECXYCLONC-KBPBESRZSA-N 0 0 438.506 -0.767 20 0 IBADRN Cn1c2c(ccn2CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000465177510 1072572502 /nfs/dbraw/zinc/57/25/02/1072572502.db2.gz XWAQSECXYCLONC-KGLIPLIRSA-N 0 0 438.506 -0.767 20 0 IBADRN Cn1c2c(ccn2CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000465177511 1072572451 /nfs/dbraw/zinc/57/24/51/1072572451.db2.gz XWAQSECXYCLONC-UONOGXRCSA-N 0 0 438.506 -0.767 20 0 IBADRN Cn1c2c(ccn2CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000465177512 1072573005 /nfs/dbraw/zinc/57/30/05/1072573005.db2.gz XWAQSECXYCLONC-ZIAGYGMSSA-N 0 0 438.506 -0.767 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCc2cccc(C(=O)N3CCOCC3)c2)c(=O)n(C)c1=O ZINC000465178538 1072572012 /nfs/dbraw/zinc/57/20/12/1072572012.db2.gz XGUCNTUQZWPYPV-UHFFFAOYSA-N 0 0 439.472 -0.173 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)cn1 ZINC000465178697 1072571958 /nfs/dbraw/zinc/57/19/58/1072571958.db2.gz UMKWLIJRNARKCY-UHFFFAOYSA-N 0 0 441.492 -0.559 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(Cc3nccn3C(F)F)CC2)c(=O)n(C)c1=O ZINC000465178999 1072572008 /nfs/dbraw/zinc/57/20/08/1072572008.db2.gz YKFXRLSPDHVWRW-UHFFFAOYSA-N 0 0 435.435 -0.025 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000465184280 1072573067 /nfs/dbraw/zinc/57/30/67/1072573067.db2.gz KGCPWSMLHDDIGV-ILXRZTDVSA-N 0 0 435.502 -0.048 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000465184281 1072573149 /nfs/dbraw/zinc/57/31/49/1072573149.db2.gz KGCPWSMLHDDIGV-KFWWJZLASA-N 0 0 435.502 -0.048 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000465184282 1072572925 /nfs/dbraw/zinc/57/29/25/1072572925.db2.gz KGCPWSMLHDDIGV-KKUMJFAQSA-N 0 0 435.502 -0.048 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000465184283 1072572994 /nfs/dbraw/zinc/57/29/94/1072572994.db2.gz KGCPWSMLHDDIGV-ZNMIVQPWSA-N 0 0 435.502 -0.048 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCC(NC(N)=O)CC2)CC1 ZINC000465188148 1072572973 /nfs/dbraw/zinc/57/29/73/1072572973.db2.gz WKOFYGUYFMHQEG-UHFFFAOYSA-N 0 0 438.554 -0.035 20 0 IBADRN O=C(Nc1cccn(CC(F)(F)F)c1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000465197492 1072573564 /nfs/dbraw/zinc/57/35/64/1072573564.db2.gz PNPVVSCFELIZKC-UHFFFAOYSA-N 0 0 445.398 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000465220574 1072574143 /nfs/dbraw/zinc/57/41/43/1072574143.db2.gz AFCHRYXBRMGEQG-AWEZNQCLSA-N 0 0 435.506 -0.196 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000465221906 1072573516 /nfs/dbraw/zinc/57/35/16/1072573516.db2.gz GCQBPTFJABYWIQ-UHFFFAOYSA-N 0 0 449.533 -0.024 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCN(c4nccn(C)c4=O)CC3)cnc21 ZINC000465222591 1072573669 /nfs/dbraw/zinc/57/36/69/1072573669.db2.gz CQPXMEVAHJOBMP-UHFFFAOYSA-N 0 0 425.449 -0.037 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)c3cccc(CN4CCS(=O)(=O)CC4)c3)CC2)c1=O ZINC000465222960 1072573480 /nfs/dbraw/zinc/57/34/80/1072573480.db2.gz DIOURNAFJLVPBT-UHFFFAOYSA-N 0 0 445.545 -0.027 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)CC2)c1=O ZINC000465223288 1072573659 /nfs/dbraw/zinc/57/36/59/1072573659.db2.gz JGVCOIKYEPKSAO-UHFFFAOYSA-N 0 0 447.517 -0.236 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)c1=O ZINC000465223309 1072573436 /nfs/dbraw/zinc/57/34/36/1072573436.db2.gz JRWPGADTLIKQQV-UHFFFAOYSA-N 0 0 425.243 -0.846 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000465223334 1072573537 /nfs/dbraw/zinc/57/35/37/1072573537.db2.gz JXIIPRLUXSOVLZ-UHFFFAOYSA-N 0 0 435.506 -0.333 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)c3ccc(CCNS(C)(=O)=O)s3)CC2)c1=O ZINC000465226973 1072574033 /nfs/dbraw/zinc/57/40/33/1072574033.db2.gz GYSKIYLDBHPDLT-UHFFFAOYSA-N 0 0 425.536 -0.104 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)c3ccccc3S(=O)(=O)N3CCOCC3)CC2)c1=O ZINC000465228669 1072574794 /nfs/dbraw/zinc/57/47/94/1072574794.db2.gz NIOQAZZDZITQRI-UHFFFAOYSA-N 0 0 447.517 -0.236 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CC2)c1=O ZINC000465230626 1072574753 /nfs/dbraw/zinc/57/47/53/1072574753.db2.gz KOKNIGAHVTTZRT-UHFFFAOYSA-N 0 0 447.517 -0.236 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)CC2)c1=O ZINC000465231756 1072574063 /nfs/dbraw/zinc/57/40/63/1072574063.db2.gz FDHUOZHTXZHQBJ-UHFFFAOYSA-N 0 0 436.472 -0.499 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000465232322 1072574045 /nfs/dbraw/zinc/57/40/45/1072574045.db2.gz HMTIKQQIOYLPTC-DZGCQCFKSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000465232323 1072574281 /nfs/dbraw/zinc/57/42/81/1072574281.db2.gz HMTIKQQIOYLPTC-HIFRSBDPSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000465232324 1072574271 /nfs/dbraw/zinc/57/42/71/1072574271.db2.gz HMTIKQQIOYLPTC-UKRRQHHQSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000465232325 1072574222 /nfs/dbraw/zinc/57/42/22/1072574222.db2.gz HMTIKQQIOYLPTC-ZFWWWQNUSA-N 0 0 428.515 -0.419 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccccc2CS(C)(=O)=O)CC1 ZINC000465233456 1072574660 /nfs/dbraw/zinc/57/46/60/1072574660.db2.gz UHSJVDVXIZJECJ-UHFFFAOYSA-N 0 0 426.539 -0.179 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)c1=O ZINC000465234401 1072574734 /nfs/dbraw/zinc/57/47/34/1072574734.db2.gz AQHSBQBPDISTEA-KRWDZBQOSA-N 0 0 432.525 -0.858 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)c1=O ZINC000465234414 1072574621 /nfs/dbraw/zinc/57/46/21/1072574621.db2.gz AQHSBQBPDISTEA-QGZVFWFLSA-N 0 0 432.525 -0.858 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000465234424 1072574780 /nfs/dbraw/zinc/57/47/80/1072574780.db2.gz NHFRDVBTMAMGRL-UHFFFAOYSA-N 0 0 435.506 -0.333 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000465236673 1072574583 /nfs/dbraw/zinc/57/45/83/1072574583.db2.gz VIIGBSVMKXIHMH-CYBMUJFWSA-N 0 0 437.526 -0.854 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000465236674 1072574591 /nfs/dbraw/zinc/57/45/91/1072574591.db2.gz VIIGBSVMKXIHMH-ZDUSSCGKSA-N 0 0 437.526 -0.854 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000465252797 1072575141 /nfs/dbraw/zinc/57/51/41/1072575141.db2.gz DPJSDAQCUWIZIG-KBPBESRZSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000465252809 1072575313 /nfs/dbraw/zinc/57/53/13/1072575313.db2.gz DPJSDAQCUWIZIG-KGLIPLIRSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000465252811 1072575263 /nfs/dbraw/zinc/57/52/63/1072575263.db2.gz DPJSDAQCUWIZIG-UONOGXRCSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000465252814 1072575105 /nfs/dbraw/zinc/57/51/05/1072575105.db2.gz DPJSDAQCUWIZIG-ZIAGYGMSSA-N 0 0 428.515 -0.419 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1=O ZINC000465257464 1072575184 /nfs/dbraw/zinc/57/51/84/1072575184.db2.gz FLIVIRYEDVGPRA-LLVKDONJSA-N 0 0 436.446 -0.413 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1=O ZINC000465257465 1072575277 /nfs/dbraw/zinc/57/52/77/1072575277.db2.gz FLIVIRYEDVGPRA-NSHDSACASA-N 0 0 436.446 -0.413 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1=O ZINC000465266734 1072575655 /nfs/dbraw/zinc/57/56/55/1072575655.db2.gz OJEBUKBKLMPDCM-AWEZNQCLSA-N 0 0 440.522 -0.249 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1=O ZINC000465266735 1072575685 /nfs/dbraw/zinc/57/56/85/1072575685.db2.gz OJEBUKBKLMPDCM-CQSZACIVSA-N 0 0 440.522 -0.249 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1=O ZINC000465267848 1072575759 /nfs/dbraw/zinc/57/57/59/1072575759.db2.gz SIOFVSTVIYJHOG-GFCCVEGCSA-N 0 0 435.462 -0.678 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1=O ZINC000465267849 1072575773 /nfs/dbraw/zinc/57/57/73/1072575773.db2.gz SIOFVSTVIYJHOG-LBPRGKRZSA-N 0 0 435.462 -0.678 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)cn1 ZINC000465279622 1072576450 /nfs/dbraw/zinc/57/64/50/1072576450.db2.gz APXMGNYBJGFAJI-GOSISDBHSA-N 0 0 428.493 -0.073 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)cn1 ZINC000465279623 1072576422 /nfs/dbraw/zinc/57/64/22/1072576422.db2.gz APXMGNYBJGFAJI-SFHVURJKSA-N 0 0 428.493 -0.073 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000465310268 1072576982 /nfs/dbraw/zinc/57/69/82/1072576982.db2.gz ZJVYYVNHRILTOB-INIZCTEOSA-N 0 0 441.506 -0.042 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000465310269 1072577017 /nfs/dbraw/zinc/57/70/17/1072577017.db2.gz ZJVYYVNHRILTOB-MRXNPFEDSA-N 0 0 441.506 -0.042 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000465311071 1072577093 /nfs/dbraw/zinc/57/70/93/1072577093.db2.gz HWYFRJHSOSSTAY-HNNXBMFYSA-N 0 0 433.513 -0.935 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000465311072 1072576905 /nfs/dbraw/zinc/57/69/05/1072576905.db2.gz HWYFRJHSOSSTAY-OAHLLOKOSA-N 0 0 433.513 -0.935 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)n1 ZINC000465311390 1073303835 /nfs/dbraw/zinc/30/38/35/1073303835.db2.gz LQNSNBOEIIUPOM-UHFFFAOYSA-N 0 0 439.375 0.556 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000465313473 1072577056 /nfs/dbraw/zinc/57/70/56/1072577056.db2.gz CERQQHTUOWONFY-UHFFFAOYSA-N 0 0 441.492 -0.625 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000465314562 1072577065 /nfs/dbraw/zinc/57/70/65/1072577065.db2.gz GWAMXJSWHHBBQU-INIZCTEOSA-N 0 0 441.506 -0.042 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000465314563 1072576918 /nfs/dbraw/zinc/57/69/18/1072576918.db2.gz GWAMXJSWHHBBQU-MRXNPFEDSA-N 0 0 441.506 -0.042 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)cn1 ZINC000465316270 1072577367 /nfs/dbraw/zinc/57/73/67/1072577367.db2.gz NGHODLGAYXBJNK-HNNXBMFYSA-N 0 0 442.476 -0.294 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)cn1 ZINC000465316273 1072577461 /nfs/dbraw/zinc/57/74/61/1072577461.db2.gz NGHODLGAYXBJNK-OAHLLOKOSA-N 0 0 442.476 -0.294 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)cn1 ZINC000465316344 1072577480 /nfs/dbraw/zinc/57/74/80/1072577480.db2.gz IOBFFLDRVBSIPR-UHFFFAOYSA-N 0 0 428.375 -0.053 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000465316438 1072577566 /nfs/dbraw/zinc/57/75/66/1072577566.db2.gz JLTZAHIUSTWIGD-APWZRJJASA-N 0 0 447.488 -0.049 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000465316439 1072577403 /nfs/dbraw/zinc/57/74/03/1072577403.db2.gz JLTZAHIUSTWIGD-LPHOPBHVSA-N 0 0 447.488 -0.049 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000465316440 1072577542 /nfs/dbraw/zinc/57/75/42/1072577542.db2.gz JLTZAHIUSTWIGD-QFBILLFUSA-N 0 0 447.488 -0.049 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccn(CC(F)(F)F)c2=O)CC1 ZINC000465316477 1072577471 /nfs/dbraw/zinc/57/74/71/1072577471.db2.gz KVCOSVUYPJBWKU-UHFFFAOYSA-N 0 0 447.414 -0.744 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCCCN2C(=O)COc3ccccc32)cn1 ZINC000465316766 1072577576 /nfs/dbraw/zinc/57/75/76/1072577576.db2.gz AIMAXWADFDBIEP-UHFFFAOYSA-N 0 0 428.449 -0.158 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000465316867 1072577506 /nfs/dbraw/zinc/57/75/06/1072577506.db2.gz JLTZAHIUSTWIGD-VQIMIIECSA-N 0 0 447.488 -0.049 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCS(=O)(=O)C3(CCCCC3)C2)cn1 ZINC000465317213 1072577491 /nfs/dbraw/zinc/57/74/91/1072577491.db2.gz PIBCMNSUVDBLIG-UHFFFAOYSA-N 0 0 425.511 -0.130 20 0 IBADRN O=C(NC[C@H]1CCCS1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000465317326 1072577519 /nfs/dbraw/zinc/57/75/19/1072577519.db2.gz BZSNUIUVXAJSEC-CYBMUJFWSA-N 0 0 426.520 -0.243 20 0 IBADRN O=C(NC[C@@H]1CCCS1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000465317327 1072577381 /nfs/dbraw/zinc/57/73/81/1072577381.db2.gz BZSNUIUVXAJSEC-ZDUSSCGKSA-N 0 0 426.520 -0.243 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cccc(F)c3)C(=O)C2)cn1 ZINC000465318275 1072576358 /nfs/dbraw/zinc/57/63/58/1072576358.db2.gz DORWFNWVNNSZMW-UHFFFAOYSA-N 0 0 430.440 -0.080 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)C(=O)Nc3cnn(CC(=O)N(C)C)c3)nn2)cc1 ZINC000465320744 1072576384 /nfs/dbraw/zinc/57/63/84/1072576384.db2.gz SDULQCVROGGHPM-UHFFFAOYSA-N 0 0 426.437 -0.185 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)cn1 ZINC000465320918 1072578589 /nfs/dbraw/zinc/57/85/89/1072578589.db2.gz ADIZZGMKEOIXNZ-GOSISDBHSA-N 0 0 442.520 -0.031 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)cn1 ZINC000465321022 1072578702 /nfs/dbraw/zinc/57/87/02/1072578702.db2.gz ADIZZGMKEOIXNZ-SFHVURJKSA-N 0 0 442.520 -0.031 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cn1 ZINC000465321047 1072578649 /nfs/dbraw/zinc/57/86/49/1072578649.db2.gz HVAVCKNWIPRSJS-GOSISDBHSA-N 0 0 441.492 -0.719 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cn1 ZINC000465321065 1072578434 /nfs/dbraw/zinc/57/84/34/1072578434.db2.gz HVAVCKNWIPRSJS-SFHVURJKSA-N 0 0 441.492 -0.719 20 0 IBADRN Cc1nc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)s1 ZINC000465321433 1072578607 /nfs/dbraw/zinc/57/86/07/1072578607.db2.gz BDRPYSGKIDURRX-UHFFFAOYSA-N 0 0 441.491 -0.077 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)cn1 ZINC000465321507 1072578688 /nfs/dbraw/zinc/57/86/88/1072578688.db2.gz JHTVUPROCXUQIW-UHFFFAOYSA-N 0 0 427.465 -0.643 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)cn1 ZINC000465321618 1072578661 /nfs/dbraw/zinc/57/86/61/1072578661.db2.gz AANHSZKWAAZLPB-UHFFFAOYSA-N 0 0 445.499 -0.013 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3)CC2)cn1 ZINC000465322841 1072578369 /nfs/dbraw/zinc/57/83/69/1072578369.db2.gz MMJJMOIUZJOCJG-UHFFFAOYSA-N 0 0 426.477 -0.177 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cn1 ZINC000465322918 1072578711 /nfs/dbraw/zinc/57/87/11/1072578711.db2.gz DWJOJAAQNNSCNQ-UHFFFAOYSA-N 0 0 447.540 -0.543 20 0 IBADRN Cc1nc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000465323544 1072578510 /nfs/dbraw/zinc/57/85/10/1072578510.db2.gz FUCKVMJUTICTQI-UHFFFAOYSA-N 0 0 430.556 -0.665 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000465323787 1072578638 /nfs/dbraw/zinc/57/86/38/1072578638.db2.gz GZOPBSAGIBFNFC-KBPBESRZSA-N 0 0 428.515 -0.660 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000465323797 1072578494 /nfs/dbraw/zinc/57/84/94/1072578494.db2.gz GZOPBSAGIBFNFC-OKILXGFUSA-N 0 0 428.515 -0.660 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000465323799 1072578732 /nfs/dbraw/zinc/57/87/32/1072578732.db2.gz GZOPBSAGIBFNFC-ZIAGYGMSSA-N 0 0 428.515 -0.660 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cnn1C ZINC000465323824 1072578721 /nfs/dbraw/zinc/57/87/21/1072578721.db2.gz HDMSOKPJDMFTLF-BETUJISGSA-N 0 0 428.515 -0.835 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cnn1C ZINC000465323833 1072578680 /nfs/dbraw/zinc/57/86/80/1072578680.db2.gz HDMSOKPJDMFTLF-CHWSQXEVSA-N 0 0 428.515 -0.835 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cnn1C ZINC000465323835 1072579023 /nfs/dbraw/zinc/57/90/23/1072579023.db2.gz HDMSOKPJDMFTLF-STQMWFEESA-N 0 0 428.515 -0.835 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccn(CC(F)(F)F)c3=O)CC2=O)cn1 ZINC000465324762 1072579124 /nfs/dbraw/zinc/57/91/24/1072579124.db2.gz ZGQKHNUUNOSVTC-UHFFFAOYSA-N 0 0 426.355 -0.042 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000465324951 1072579145 /nfs/dbraw/zinc/57/91/45/1072579145.db2.gz HRWXFBRPWCRCGM-UHFFFAOYSA-N 0 0 448.505 -0.557 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)cn1 ZINC000465325270 1072579115 /nfs/dbraw/zinc/57/91/15/1072579115.db2.gz JKNKJRPOSVJGCQ-UHFFFAOYSA-N 0 0 444.467 -0.038 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCc2c1cccc2Cl ZINC000465325819 1072579152 /nfs/dbraw/zinc/57/91/52/1072579152.db2.gz LRNRNGNMBVHONA-UHFFFAOYSA-N 0 0 442.925 -0.050 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N(C)C)c3)CC2)cc1 ZINC000465325967 1072579066 /nfs/dbraw/zinc/57/90/66/1072579066.db2.gz TWTZXYAOCYSXPP-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)cc1 ZINC000465326100 1072579052 /nfs/dbraw/zinc/57/90/52/1072579052.db2.gz NMLKDVSJSHDEIW-UHFFFAOYSA-N 0 0 436.494 -0.687 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)Nc1cnn(CC(=O)N(C)C)c1 ZINC000465326924 1072579036 /nfs/dbraw/zinc/57/90/36/1072579036.db2.gz YYUGYDNEKUJQQG-INIZCTEOSA-N 0 0 442.524 -0.368 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)Nc1cnn(CC(=O)N(C)C)c1 ZINC000465326933 1072579087 /nfs/dbraw/zinc/57/90/87/1072579087.db2.gz YYUGYDNEKUJQQG-MRXNPFEDSA-N 0 0 442.524 -0.368 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1 ZINC000465327097 1072579071 /nfs/dbraw/zinc/57/90/71/1072579071.db2.gz PRYNBIUEZRHJNB-UHFFFAOYSA-N 0 0 433.513 -0.934 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)Nc3cnn(CC(=O)N(C)C)c3)CC2=O)c1 ZINC000465327549 1072579083 /nfs/dbraw/zinc/57/90/83/1072579083.db2.gz RYDPGRDBMPONHU-CYBMUJFWSA-N 0 0 428.449 -0.160 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)Nc3cnn(CC(=O)N(C)C)c3)CC2=O)c1 ZINC000465327550 1072579108 /nfs/dbraw/zinc/57/91/08/1072579108.db2.gz RYDPGRDBMPONHU-ZDUSSCGKSA-N 0 0 428.449 -0.160 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000465328178 1072577411 /nfs/dbraw/zinc/57/74/11/1072577411.db2.gz WGENGHYUQGBHFH-UHFFFAOYSA-N 0 0 435.529 -0.688 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)cn1 ZINC000465328224 1072577994 /nfs/dbraw/zinc/57/79/94/1072577994.db2.gz VZDFRFRWIYWBPL-UHFFFAOYSA-N 0 0 447.496 -0.329 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cn1 ZINC000465328291 1072577553 /nfs/dbraw/zinc/57/75/53/1072577553.db2.gz XACYYSDFEUUNKK-UHFFFAOYSA-N 0 0 447.540 -0.497 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cn4ccccc4n3)CC2)cn1 ZINC000465328330 1072577429 /nfs/dbraw/zinc/57/74/29/1072577429.db2.gz VSRVGBXDCPBXKM-UHFFFAOYSA-N 0 0 438.492 -0.098 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCc2c1cccc2Cl ZINC000465328347 1072577495 /nfs/dbraw/zinc/57/74/95/1072577495.db2.gz VYAJKOHQSKAGTL-UHFFFAOYSA-N 0 0 434.880 -0.241 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)cn1 ZINC000465328351 1072577531 /nfs/dbraw/zinc/57/75/31/1072577531.db2.gz WBRAKLHVXPVSEY-UHFFFAOYSA-N 0 0 441.492 -0.307 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)cnn1C ZINC000465328357 1072577439 /nfs/dbraw/zinc/57/74/39/1072577439.db2.gz WEWPQOKVUFWUSS-UHFFFAOYSA-N 0 0 449.489 -0.029 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)cn1 ZINC000465328502 1072577393 /nfs/dbraw/zinc/57/73/93/1072577393.db2.gz WQKSLPMZFTYATL-UHFFFAOYSA-N 0 0 428.449 -0.400 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccn(CC(F)(F)F)c2=O)CC1 ZINC000465328529 1072577453 /nfs/dbraw/zinc/57/74/53/1072577453.db2.gz WVZUFDNDTPFFEJ-UHFFFAOYSA-N 0 0 445.398 -0.456 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000465328532 1072579060 /nfs/dbraw/zinc/57/90/60/1072579060.db2.gz WYVODVJWTUPGQY-INIZCTEOSA-N 0 0 447.540 -0.545 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000465328533 1072579099 /nfs/dbraw/zinc/57/90/99/1072579099.db2.gz WYVODVJWTUPGQY-MRXNPFEDSA-N 0 0 447.540 -0.545 20 0 IBADRN COc1ccc(CN(CCO)C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)c(OC)c1 ZINC000465328662 1072579140 /nfs/dbraw/zinc/57/91/40/1072579140.db2.gz YDEMSDRSWFXCCW-UHFFFAOYSA-N 0 0 433.465 -0.052 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)c1 ZINC000465329053 1072578019 /nfs/dbraw/zinc/57/80/19/1072578019.db2.gz ZJTMRGFNHDDRDV-UHFFFAOYSA-N 0 0 433.465 -0.052 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000465329781 1072578012 /nfs/dbraw/zinc/57/80/12/1072578012.db2.gz ISDPQAVTNYBOIH-HNNXBMFYSA-N 0 0 447.540 -0.499 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000465329782 1072577936 /nfs/dbraw/zinc/57/79/36/1072577936.db2.gz ISDPQAVTNYBOIH-OAHLLOKOSA-N 0 0 447.540 -0.499 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cnn1C ZINC000465330258 1072578113 /nfs/dbraw/zinc/57/81/13/1072578113.db2.gz QYFFQMOMKJNPIC-UHFFFAOYSA-N 0 0 435.510 -0.670 20 0 IBADRN CCn1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000465330382 1072578039 /nfs/dbraw/zinc/57/80/39/1072578039.db2.gz TVTFJWVWMQHIEL-UHFFFAOYSA-N 0 0 435.510 -0.495 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(F)c(F)c2)cn1 ZINC000465330681 1072577981 /nfs/dbraw/zinc/57/79/81/1072577981.db2.gz YXBJBXNVKFNXKG-GFCCVEGCSA-N 0 0 425.392 -0.256 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2ccc(F)c(F)c2)cn1 ZINC000465330682 1072578080 /nfs/dbraw/zinc/57/80/80/1072578080.db2.gz YXBJBXNVKFNXKG-LBPRGKRZSA-N 0 0 425.392 -0.256 20 0 IBADRN Cc1c(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cnn1C ZINC000465330827 1072577930 /nfs/dbraw/zinc/57/79/30/1072577930.db2.gz AZNFIPLIWMHJEE-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN O=C(Nc1cccn(CC(F)(F)F)c1=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000465330860 1072578028 /nfs/dbraw/zinc/57/80/28/1072578028.db2.gz BKPCAPLFCHLMDM-UHFFFAOYSA-N 0 0 443.382 -0.702 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccn(CC(F)(F)F)c2=O)CC1)NC1CC1 ZINC000465331172 1072577920 /nfs/dbraw/zinc/57/79/20/1072577920.db2.gz GTKXYNQBJLXLRX-UHFFFAOYSA-N 0 0 429.399 -0.228 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)cn1 ZINC000465331467 1072578073 /nfs/dbraw/zinc/57/80/73/1072578073.db2.gz LEYUJBWDEZMFHY-UHFFFAOYSA-N 0 0 446.489 -0.131 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)cnn1C ZINC000465331549 1072577963 /nfs/dbraw/zinc/57/79/63/1072577963.db2.gz MNDBQMZSUCABRB-UHFFFAOYSA-N 0 0 446.489 -0.305 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N(C)C)c3)CC2)c1 ZINC000465332146 1072578001 /nfs/dbraw/zinc/57/80/01/1072578001.db2.gz ROYGDALRGKOSBZ-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)n1 ZINC000465333106 1072578065 /nfs/dbraw/zinc/57/80/65/1072578065.db2.gz DCHDLRPKLPAGCH-KRWDZBQOSA-N 0 0 440.504 0.260 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)n1 ZINC000465333115 1073303906 /nfs/dbraw/zinc/30/39/06/1073303906.db2.gz DCHDLRPKLPAGCH-QGZVFWFLSA-N 0 0 440.504 0.260 20 0 IBADRN COC(=O)C(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000465336489 1072578625 /nfs/dbraw/zinc/57/86/25/1072578625.db2.gz CQPMDISEGDHXHF-UHFFFAOYSA-N 0 0 440.522 -0.123 20 0 IBADRN COC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000465338504 1072579565 /nfs/dbraw/zinc/57/95/65/1072579565.db2.gz DKQREMCBCLXVCM-UHFFFAOYSA-N 0 0 440.522 -0.123 20 0 IBADRN COC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000465340198 1072579493 /nfs/dbraw/zinc/57/94/93/1072579493.db2.gz HZYYNWONCXXDBM-UHFFFAOYSA-N 0 0 427.479 -0.039 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC[C@]2(CCOC2)C1 ZINC000465341857 1072579337 /nfs/dbraw/zinc/57/93/37/1072579337.db2.gz JIRCNPDPLASSOP-IBGZPJMESA-N 0 0 436.490 -0.615 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC[C@@]2(CCOC2)C1 ZINC000465341858 1072579634 /nfs/dbraw/zinc/57/96/34/1072579634.db2.gz JIRCNPDPLASSOP-LJQANCHMSA-N 0 0 436.490 -0.615 20 0 IBADRN COC(=O)C(C)(C)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000465342182 1072579429 /nfs/dbraw/zinc/57/94/29/1072579429.db2.gz JUIHCJYUFHRWQW-INIZCTEOSA-N 0 0 433.461 -0.045 20 0 IBADRN COC(=O)C(C)(C)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000465342183 1072579656 /nfs/dbraw/zinc/57/96/56/1072579656.db2.gz JUIHCJYUFHRWQW-MRXNPFEDSA-N 0 0 433.461 -0.045 20 0 IBADRN COC(=O)C(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000465343942 1072579470 /nfs/dbraw/zinc/57/94/70/1072579470.db2.gz NJKLXYCWKXWZSP-UHFFFAOYSA-N 0 0 440.478 -0.939 20 0 IBADRN CN(C(=O)CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C1CCCCC1 ZINC000465349626 1072579402 /nfs/dbraw/zinc/57/94/02/1072579402.db2.gz PVJBORDISFXCOX-UHFFFAOYSA-N 0 0 430.465 -0.522 20 0 IBADRN COC(=O)C(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000465357587 1072580160 /nfs/dbraw/zinc/58/01/60/1072580160.db2.gz SOLGMZQDCSCLQT-UHFFFAOYSA-N 0 0 427.479 -0.039 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000465359835 1072580130 /nfs/dbraw/zinc/58/01/30/1072580130.db2.gz SQFBEYONHWLVIS-UHFFFAOYSA-N 0 0 427.465 -0.037 20 0 IBADRN O=C(CCc1ccccc1)N1CC[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000465365162 1072579414 /nfs/dbraw/zinc/57/94/14/1072579414.db2.gz AUFRBTIOBYAYPS-GOSISDBHSA-N 0 0 440.508 -0.239 20 0 IBADRN O=C(CCc1ccccc1)N1CC[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000465365163 1072579512 /nfs/dbraw/zinc/57/95/12/1072579512.db2.gz AUFRBTIOBYAYPS-SFHVURJKSA-N 0 0 440.508 -0.239 20 0 IBADRN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000465367650 1072579640 /nfs/dbraw/zinc/57/96/40/1072579640.db2.gz FHLZRJOAPMKLEM-AUUYWEPGSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000465367651 1072580094 /nfs/dbraw/zinc/58/00/94/1072580094.db2.gz FHLZRJOAPMKLEM-IFXJQAMLSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@H]1CCC[C@]12NC(=O)NC2=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000465367652 1072580174 /nfs/dbraw/zinc/58/01/74/1072580174.db2.gz FHLZRJOAPMKLEM-KUHUBIRLSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@@H]1CCC[C@]12NC(=O)NC2=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000465367653 1072580121 /nfs/dbraw/zinc/58/01/21/1072580121.db2.gz FHLZRJOAPMKLEM-LIRRHRJNSA-N 0 0 436.490 -0.184 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000465379308 1072580234 /nfs/dbraw/zinc/58/02/34/1072580234.db2.gz HGJDAEQPXJBJOF-UHFFFAOYSA-N 0 0 431.474 -0.901 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC000465380114 1072580059 /nfs/dbraw/zinc/58/00/59/1072580059.db2.gz UIVQDPIBYJGKOJ-BTYIYWSLSA-N 0 0 425.445 -0.447 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC000465380115 1072580215 /nfs/dbraw/zinc/58/02/15/1072580215.db2.gz UIVQDPIBYJGKOJ-QVKFZJNVSA-N 0 0 425.445 -0.447 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC000465380116 1072580117 /nfs/dbraw/zinc/58/01/17/1072580117.db2.gz UIVQDPIBYJGKOJ-VFNWGFHPSA-N 0 0 425.445 -0.447 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC000465380118 1072580049 /nfs/dbraw/zinc/58/00/49/1072580049.db2.gz UIVQDPIBYJGKOJ-YCRPNKLZSA-N 0 0 425.445 -0.447 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC000465383272 1072580027 /nfs/dbraw/zinc/58/00/27/1072580027.db2.gz RKGIDUGXPVZCHJ-KKYRWEBSSA-N 0 0 439.472 -0.043 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC000465383273 1072580226 /nfs/dbraw/zinc/58/02/26/1072580226.db2.gz RKGIDUGXPVZCHJ-KRYGIPSASA-N 0 0 439.472 -0.043 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC000465383276 1072580113 /nfs/dbraw/zinc/58/01/13/1072580113.db2.gz RKGIDUGXPVZCHJ-WAQMSGSHSA-N 0 0 439.472 -0.043 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC000465383278 1072580185 /nfs/dbraw/zinc/58/01/85/1072580185.db2.gz RKGIDUGXPVZCHJ-XUTBRHNUSA-N 0 0 439.472 -0.043 20 0 IBADRN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000465384054 1072580702 /nfs/dbraw/zinc/58/07/02/1072580702.db2.gz UOVBVJFVFWDTNA-AUUYWEPGSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000465384055 1072580762 /nfs/dbraw/zinc/58/07/62/1072580762.db2.gz UOVBVJFVFWDTNA-IFXJQAMLSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@H]1CCC[C@]12NC(=O)NC2=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000465384056 1072580737 /nfs/dbraw/zinc/58/07/37/1072580737.db2.gz UOVBVJFVFWDTNA-KUHUBIRLSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@@H]1CCC[C@]12NC(=O)NC2=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000465384057 1072580683 /nfs/dbraw/zinc/58/06/83/1072580683.db2.gz UOVBVJFVFWDTNA-LIRRHRJNSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000465384513 1072580193 /nfs/dbraw/zinc/58/01/93/1072580193.db2.gz XBNVDMSZNDLAKF-BFUOFWGJSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@@H]1CCC[C@]12NC(=O)NC2=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000465384514 1072580693 /nfs/dbraw/zinc/58/06/93/1072580693.db2.gz XBNVDMSZNDLAKF-DJJJIMSYSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000465384515 1072580747 /nfs/dbraw/zinc/58/07/47/1072580747.db2.gz XBNVDMSZNDLAKF-ORAYPTAESA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(NC[C@H]1CCC[C@]12NC(=O)NC2=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000465384516 1072580598 /nfs/dbraw/zinc/58/05/98/1072580598.db2.gz XBNVDMSZNDLAKF-YJYMSZOUSA-N 0 0 436.490 -0.184 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC000465385225 1072580634 /nfs/dbraw/zinc/58/06/34/1072580634.db2.gz CTUKAEAPQWTVKS-UHFFFAOYSA-N 0 0 433.490 -0.056 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC000465385467 1072580818 /nfs/dbraw/zinc/58/08/18/1072580818.db2.gz FGMIZIAFDRNDMS-UHFFFAOYSA-N 0 0 431.474 -0.901 20 0 IBADRN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000465386425 1072580725 /nfs/dbraw/zinc/58/07/25/1072580725.db2.gz JQGOPIBWQHDRMU-APPDUMDISA-N 0 0 441.535 -0.140 20 0 IBADRN O=C(NC[C@H]1CCC[C@]12NC(=O)NC2=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000465386426 1072580714 /nfs/dbraw/zinc/58/07/14/1072580714.db2.gz JQGOPIBWQHDRMU-DIFFPNOSSA-N 0 0 441.535 -0.140 20 0 IBADRN O=C(NC[C@@H]1CCC[C@]12NC(=O)NC2=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000465386427 1072580730 /nfs/dbraw/zinc/58/07/30/1072580730.db2.gz JQGOPIBWQHDRMU-GTNSWQLSSA-N 0 0 441.535 -0.140 20 0 IBADRN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000465386428 1072580645 /nfs/dbraw/zinc/58/06/45/1072580645.db2.gz JQGOPIBWQHDRMU-PIGZYNQJSA-N 0 0 441.535 -0.140 20 0 IBADRN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000465387522 1072580611 /nfs/dbraw/zinc/58/06/11/1072580611.db2.gz JDHBVTRKVWRVDU-APPDUMDISA-N 0 0 442.519 -0.123 20 0 IBADRN O=C(NC[C@H]1CCC[C@]12NC(=O)NC2=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000465387523 1072580624 /nfs/dbraw/zinc/58/06/24/1072580624.db2.gz JDHBVTRKVWRVDU-DIFFPNOSSA-N 0 0 442.519 -0.123 20 0 IBADRN O=C(NC[C@@H]1CCC[C@]12NC(=O)NC2=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000465387524 1072580774 /nfs/dbraw/zinc/58/07/74/1072580774.db2.gz JDHBVTRKVWRVDU-GTNSWQLSSA-N 0 0 442.519 -0.123 20 0 IBADRN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000465387525 1072580832 /nfs/dbraw/zinc/58/08/32/1072580832.db2.gz JDHBVTRKVWRVDU-PIGZYNQJSA-N 0 0 442.519 -0.123 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCc4nn(C)c(=O)cc4C3)CC2)cc1 ZINC000465391193 1072602653 /nfs/dbraw/zinc/60/26/53/1072602653.db2.gz WSFDDSIZMMLUSD-UHFFFAOYSA-N 0 0 445.545 -0.020 20 0 IBADRN O=C(NC[C@H]1CCC[C@]12NC(=O)NC2=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000465391801 1072602011 /nfs/dbraw/zinc/60/20/11/1072602011.db2.gz KDDMGDSGRPMVQU-PXAZEXFGSA-N 0 0 442.519 -0.123 20 0 IBADRN O=C(NC[C@@H]1CCC[C@]12NC(=O)NC2=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000465391802 1072602636 /nfs/dbraw/zinc/60/26/36/1072602636.db2.gz KDDMGDSGRPMVQU-SJCJKPOMSA-N 0 0 442.519 -0.123 20 0 IBADRN O=C(NC[C@H]1CCC[C@@]12NC(=O)NC2=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000465391803 1072602648 /nfs/dbraw/zinc/60/26/48/1072602648.db2.gz KDDMGDSGRPMVQU-SJKOYZFVSA-N 0 0 442.519 -0.123 20 0 IBADRN O=C(NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000465391804 1072602582 /nfs/dbraw/zinc/60/25/82/1072602582.db2.gz KDDMGDSGRPMVQU-YVEFUNNKSA-N 0 0 442.519 -0.123 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1=O ZINC000465394858 1072608253 /nfs/dbraw/zinc/60/82/53/1072608253.db2.gz NHPGYKUCNZXEHA-AWEZNQCLSA-N 0 0 428.453 -0.659 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1=O ZINC000465394863 1072608292 /nfs/dbraw/zinc/60/82/92/1072608292.db2.gz NHPGYKUCNZXEHA-CQSZACIVSA-N 0 0 428.453 -0.659 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000465395442 1072608811 /nfs/dbraw/zinc/60/88/11/1072608811.db2.gz PPBQFRGUSAHTLA-MCIONIFRSA-N 0 0 427.545 -0.903 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000465395444 1072608876 /nfs/dbraw/zinc/60/88/76/1072608876.db2.gz PPBQFRGUSAHTLA-MELADBBJSA-N 0 0 427.545 -0.903 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000465395446 1072608863 /nfs/dbraw/zinc/60/88/63/1072608863.db2.gz PPBQFRGUSAHTLA-MGPQQGTHSA-N 0 0 427.545 -0.903 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000465395448 1072608966 /nfs/dbraw/zinc/60/89/66/1072608966.db2.gz PPBQFRGUSAHTLA-RDBSUJKOSA-N 0 0 427.545 -0.903 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)C(C)(C)C1 ZINC000465398487 1072616207 /nfs/dbraw/zinc/61/62/07/1072616207.db2.gz BDGJXGVYFXJWAT-UHFFFAOYSA-N 0 0 447.535 -0.080 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000465399014 1072621017 /nfs/dbraw/zinc/62/10/17/1072621017.db2.gz HDASUZMNXYAZFJ-LLVKDONJSA-N 0 0 426.538 -0.003 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000465399015 1072620863 /nfs/dbraw/zinc/62/08/63/1072620863.db2.gz HDASUZMNXYAZFJ-NSHDSACASA-N 0 0 426.538 -0.003 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000465399658 1072618552 /nfs/dbraw/zinc/61/85/52/1072618552.db2.gz GTSYGWDDTDPYOS-HNNXBMFYSA-N 0 0 429.477 -0.067 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000465399660 1072618467 /nfs/dbraw/zinc/61/84/67/1072618467.db2.gz GTSYGWDDTDPYOS-OAHLLOKOSA-N 0 0 429.477 -0.067 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000465399816 1072618435 /nfs/dbraw/zinc/61/84/35/1072618435.db2.gz ZNIQNUKYEHSSSN-CABCVRRESA-N 0 0 426.557 -0.663 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000465399817 1072618415 /nfs/dbraw/zinc/61/84/15/1072618415.db2.gz ZNIQNUKYEHSSSN-GJZGRUSLSA-N 0 0 426.557 -0.663 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000465399818 1072618521 /nfs/dbraw/zinc/61/85/21/1072618521.db2.gz ZNIQNUKYEHSSSN-HUUCEWRRSA-N 0 0 426.557 -0.663 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000465399819 1072618538 /nfs/dbraw/zinc/61/85/38/1072618538.db2.gz ZNIQNUKYEHSSSN-LSDHHAIUSA-N 0 0 426.557 -0.663 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1 ZINC000465401213 1072622253 /nfs/dbraw/zinc/62/22/53/1072622253.db2.gz GUZDCXCVPSUFJX-UHFFFAOYSA-N 0 0 439.490 -0.046 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465402976 1072623432 /nfs/dbraw/zinc/62/34/32/1072623432.db2.gz JJKLYFGFZPVJAH-BBRMVZONSA-N 0 0 439.538 -0.041 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465402984 1072623288 /nfs/dbraw/zinc/62/32/88/1072623288.db2.gz JJKLYFGFZPVJAH-CJNGLKHVSA-N 0 0 439.538 -0.041 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465402986 1072623322 /nfs/dbraw/zinc/62/33/22/1072623322.db2.gz JJKLYFGFZPVJAH-CZUORRHYSA-N 0 0 439.538 -0.041 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465402988 1072623153 /nfs/dbraw/zinc/62/31/53/1072623153.db2.gz JJKLYFGFZPVJAH-XJKSGUPXSA-N 0 0 439.538 -0.041 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1-n1cnnn1 ZINC000465404225 1072623253 /nfs/dbraw/zinc/62/32/53/1072623253.db2.gz LYQMXWWLKDNYDS-AAEUAGOBSA-N 0 0 437.482 -0.557 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1-n1cnnn1 ZINC000465404228 1072623413 /nfs/dbraw/zinc/62/34/13/1072623413.db2.gz LYQMXWWLKDNYDS-DGCLKSJQSA-N 0 0 437.482 -0.557 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1-n1cnnn1 ZINC000465404230 1072623194 /nfs/dbraw/zinc/62/31/94/1072623194.db2.gz LYQMXWWLKDNYDS-WCQYABFASA-N 0 0 437.482 -0.557 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1-n1cnnn1 ZINC000465404231 1072623303 /nfs/dbraw/zinc/62/33/03/1072623303.db2.gz LYQMXWWLKDNYDS-YPMHNXCESA-N 0 0 437.482 -0.557 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000465405115 1072623371 /nfs/dbraw/zinc/62/33/71/1072623371.db2.gz KUSKTLRHFXILDP-INIZCTEOSA-N 0 0 433.509 -0.110 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000465405116 1072623361 /nfs/dbraw/zinc/62/33/61/1072623361.db2.gz KUSKTLRHFXILDP-MRXNPFEDSA-N 0 0 433.509 -0.110 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465406624 1072623976 /nfs/dbraw/zinc/62/39/76/1072623976.db2.gz PQLWWQDWHUYTGR-GXTWGEPZSA-N 0 0 446.551 -0.331 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465406628 1072623794 /nfs/dbraw/zinc/62/37/94/1072623794.db2.gz PQLWWQDWHUYTGR-JSGCOSHPSA-N 0 0 446.551 -0.331 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465406631 1072623954 /nfs/dbraw/zinc/62/39/54/1072623954.db2.gz PQLWWQDWHUYTGR-OCCSQVGLSA-N 0 0 446.551 -0.331 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465406633 1072623992 /nfs/dbraw/zinc/62/39/92/1072623992.db2.gz PQLWWQDWHUYTGR-TZMCWYRMSA-N 0 0 446.551 -0.331 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000465407601 1072625081 /nfs/dbraw/zinc/62/50/81/1072625081.db2.gz VHSASXXTZLFTIS-FQEVSTJZSA-N 0 0 433.553 -0.023 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000465407602 1072625162 /nfs/dbraw/zinc/62/51/62/1072625162.db2.gz VHSASXXTZLFTIS-HXUWFJFHSA-N 0 0 433.553 -0.023 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000465408162 1072626351 /nfs/dbraw/zinc/62/63/51/1072626351.db2.gz SKNSLQMYTYWLTP-NOZJJQNGSA-N 0 0 436.487 -0.754 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000465408167 1072626346 /nfs/dbraw/zinc/62/63/46/1072626346.db2.gz SKNSLQMYTYWLTP-RNCFNFMXSA-N 0 0 436.487 -0.754 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000465408169 1072626332 /nfs/dbraw/zinc/62/63/32/1072626332.db2.gz SKNSLQMYTYWLTP-TVQRCGJNSA-N 0 0 436.487 -0.754 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000465408171 1072626394 /nfs/dbraw/zinc/62/63/94/1072626394.db2.gz SKNSLQMYTYWLTP-ZANVPECISA-N 0 0 436.487 -0.754 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465408829 1072626253 /nfs/dbraw/zinc/62/62/53/1072626253.db2.gz UUNWANWTSLMUIJ-BXUZGUMPSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465408830 1072626244 /nfs/dbraw/zinc/62/62/44/1072626244.db2.gz UUNWANWTSLMUIJ-FZMZJTMJSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465408831 1072626327 /nfs/dbraw/zinc/62/63/27/1072626327.db2.gz UUNWANWTSLMUIJ-RISCZKNCSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465408832 1072626205 /nfs/dbraw/zinc/62/62/05/1072626205.db2.gz UUNWANWTSLMUIJ-SMDDNHRTSA-N 0 0 432.524 -0.633 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1C ZINC000465412030 1072636209 /nfs/dbraw/zinc/63/62/09/1072636209.db2.gz ZRGYGIHGPGRMJW-GXTWGEPZSA-N 0 0 446.551 -0.277 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1C ZINC000465412031 1072636128 /nfs/dbraw/zinc/63/61/28/1072636128.db2.gz ZRGYGIHGPGRMJW-JSGCOSHPSA-N 0 0 446.551 -0.277 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1C ZINC000465412032 1072636066 /nfs/dbraw/zinc/63/60/66/1072636066.db2.gz ZRGYGIHGPGRMJW-OCCSQVGLSA-N 0 0 446.551 -0.277 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1C ZINC000465412033 1072636181 /nfs/dbraw/zinc/63/61/81/1072636181.db2.gz ZRGYGIHGPGRMJW-TZMCWYRMSA-N 0 0 446.551 -0.277 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465412772 1072634945 /nfs/dbraw/zinc/63/49/45/1072634945.db2.gz CMUWSJMJDRCNFC-CHWSQXEVSA-N 0 0 426.495 -0.173 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465412773 1072635062 /nfs/dbraw/zinc/63/50/62/1072635062.db2.gz CMUWSJMJDRCNFC-OLZOCXBDSA-N 0 0 426.495 -0.173 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465412774 1072634941 /nfs/dbraw/zinc/63/49/41/1072634941.db2.gz CMUWSJMJDRCNFC-QWHCGFSZSA-N 0 0 426.495 -0.173 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465412775 1072635071 /nfs/dbraw/zinc/63/50/71/1072635071.db2.gz CMUWSJMJDRCNFC-STQMWFEESA-N 0 0 426.495 -0.173 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465412819 1072634966 /nfs/dbraw/zinc/63/49/66/1072634966.db2.gz CULDGHZJFGJFEL-DOMZBBRYSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465412820 1072635020 /nfs/dbraw/zinc/63/50/20/1072635020.db2.gz CULDGHZJFGJFEL-IUODEOHRSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465412821 1072634962 /nfs/dbraw/zinc/63/49/62/1072634962.db2.gz CULDGHZJFGJFEL-SWLSCSKDSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465412822 1072634930 /nfs/dbraw/zinc/63/49/30/1072634930.db2.gz CULDGHZJFGJFEL-WFASDCNBSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465413689 1072635618 /nfs/dbraw/zinc/63/56/18/1072635618.db2.gz FANOMWSTOYEAOX-BBRMVZONSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465413690 1072635591 /nfs/dbraw/zinc/63/55/91/1072635591.db2.gz FANOMWSTOYEAOX-CJNGLKHVSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465413691 1072635610 /nfs/dbraw/zinc/63/56/10/1072635610.db2.gz FANOMWSTOYEAOX-CZUORRHYSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465413692 1072635614 /nfs/dbraw/zinc/63/56/14/1072635614.db2.gz FANOMWSTOYEAOX-XJKSGUPXSA-N 0 0 440.522 -0.074 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000465414635 1072636632 /nfs/dbraw/zinc/63/66/32/1072636632.db2.gz GWJDSDSVRGXSFP-KBPBESRZSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000465414639 1072637335 /nfs/dbraw/zinc/63/73/35/1072637335.db2.gz GWJDSDSVRGXSFP-KGLIPLIRSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000465414640 1072637296 /nfs/dbraw/zinc/63/72/96/1072637296.db2.gz GWJDSDSVRGXSFP-UONOGXRCSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000465414641 1072637267 /nfs/dbraw/zinc/63/72/67/1072637267.db2.gz GWJDSDSVRGXSFP-ZIAGYGMSSA-N 0 0 425.511 -0.309 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1=O ZINC000465414858 1072637318 /nfs/dbraw/zinc/63/73/18/1072637318.db2.gz WMPRZMYYGZOKPZ-KRWDZBQOSA-N 0 0 445.520 -0.014 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1=O ZINC000465414859 1072637253 /nfs/dbraw/zinc/63/72/53/1072637253.db2.gz WMPRZMYYGZOKPZ-QGZVFWFLSA-N 0 0 445.520 -0.014 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1 ZINC000465417018 1072637244 /nfs/dbraw/zinc/63/72/44/1072637244.db2.gz MAKAETIKDHVVBF-UHFFFAOYSA-N 0 0 439.490 -0.508 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465417525 1072637279 /nfs/dbraw/zinc/63/72/79/1072637279.db2.gz OMDQLKKGLFKKHD-BBRMVZONSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465417526 1072637364 /nfs/dbraw/zinc/63/73/64/1072637364.db2.gz OMDQLKKGLFKKHD-CJNGLKHVSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465417527 1072637339 /nfs/dbraw/zinc/63/73/39/1072637339.db2.gz OMDQLKKGLFKKHD-CZUORRHYSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465417528 1072637292 /nfs/dbraw/zinc/63/72/92/1072637292.db2.gz OMDQLKKGLFKKHD-XJKSGUPXSA-N 0 0 440.522 -0.026 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CCS1(=O)=O ZINC000465417574 1072637235 /nfs/dbraw/zinc/63/72/35/1072637235.db2.gz OTVDADGXHLAGDP-UHFFFAOYSA-N 0 0 443.547 -0.105 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1=O ZINC000465417810 1072637323 /nfs/dbraw/zinc/63/73/23/1072637323.db2.gz QOTNNTFBVRBYSQ-GXSJLCMTSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1=O ZINC000465417811 1072637303 /nfs/dbraw/zinc/63/73/03/1072637303.db2.gz QOTNNTFBVRBYSQ-KOLCDFICSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1=O ZINC000465417812 1072637260 /nfs/dbraw/zinc/63/72/60/1072637260.db2.gz QOTNNTFBVRBYSQ-MWLCHTKSSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1=O ZINC000465417813 1072637372 /nfs/dbraw/zinc/63/73/72/1072637372.db2.gz QOTNNTFBVRBYSQ-ONGXEEELSA-N 0 0 449.327 -0.080 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000465417943 1072638517 /nfs/dbraw/zinc/63/85/17/1072638517.db2.gz RNGLWEUACWHCKF-DZGCQCFKSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000465417944 1072638533 /nfs/dbraw/zinc/63/85/33/1072638533.db2.gz RNGLWEUACWHCKF-HIFRSBDPSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000465417945 1072638507 /nfs/dbraw/zinc/63/85/07/1072638507.db2.gz RNGLWEUACWHCKF-UKRRQHHQSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000465417946 1072638558 /nfs/dbraw/zinc/63/85/58/1072638558.db2.gz RNGLWEUACWHCKF-ZFWWWQNUSA-N 0 0 425.511 -0.309 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1=O ZINC000465418124 1072638523 /nfs/dbraw/zinc/63/85/23/1072638523.db2.gz SFESXXLBBZKNCE-CYBMUJFWSA-N 0 0 428.449 -0.160 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1=O ZINC000465418125 1073349279 /nfs/dbraw/zinc/34/92/79/1073349279.db2.gz SFESXXLBBZKNCE-ZDUSSCGKSA-N 0 0 428.449 -0.160 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1 ZINC000465419549 1072638539 /nfs/dbraw/zinc/63/85/39/1072638539.db2.gz WQWNHXJPZLCRSU-UHFFFAOYSA-N 0 0 425.463 -0.437 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c2ccccc2O1 ZINC000465419673 1072638475 /nfs/dbraw/zinc/63/84/75/1072638475.db2.gz BHXVBVFQXRSQNL-IOASZLSFSA-N 0 0 438.506 -0.639 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c2ccccc2O1 ZINC000465419674 1072638443 /nfs/dbraw/zinc/63/84/43/1072638443.db2.gz BHXVBVFQXRSQNL-WWGRRREGSA-N 0 0 438.506 -0.639 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c2ccccc2O1 ZINC000465419675 1072638547 /nfs/dbraw/zinc/63/85/47/1072638547.db2.gz BHXVBVFQXRSQNL-XEZPLFJOSA-N 0 0 438.506 -0.639 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c2ccccc2O1 ZINC000465419676 1072638439 /nfs/dbraw/zinc/63/84/39/1072638439.db2.gz BHXVBVFQXRSQNL-ZENOOKHLSA-N 0 0 438.506 -0.639 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465419799 1072637950 /nfs/dbraw/zinc/63/79/50/1072637950.db2.gz GWEOXNOBLQUAOM-DOMZBBRYSA-N 0 0 426.495 -0.416 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465419800 1072638032 /nfs/dbraw/zinc/63/80/32/1072638032.db2.gz GWEOXNOBLQUAOM-IUODEOHRSA-N 0 0 426.495 -0.416 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465419801 1072637963 /nfs/dbraw/zinc/63/79/63/1072637963.db2.gz GWEOXNOBLQUAOM-SWLSCSKDSA-N 0 0 426.495 -0.416 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465419802 1072637997 /nfs/dbraw/zinc/63/79/97/1072637997.db2.gz GWEOXNOBLQUAOM-WFASDCNBSA-N 0 0 426.495 -0.416 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCS(=O)(=O)C(C)(C)C1)CC2 ZINC000465420011 1072640852 /nfs/dbraw/zinc/64/08/52/1072640852.db2.gz GYTYLGOGZWMFQP-UHFFFAOYSA-N 0 0 429.520 -0.481 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465420425 1072639573 /nfs/dbraw/zinc/63/95/73/1072639573.db2.gz CTCOZSAOUQKUGF-BBRMVZONSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465420426 1072639661 /nfs/dbraw/zinc/63/96/61/1072639661.db2.gz CTCOZSAOUQKUGF-CJNGLKHVSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465420427 1072639587 /nfs/dbraw/zinc/63/95/87/1072639587.db2.gz CTCOZSAOUQKUGF-CZUORRHYSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465420428 1072639580 /nfs/dbraw/zinc/63/95/80/1072639580.db2.gz CTCOZSAOUQKUGF-XJKSGUPXSA-N 0 0 440.522 -0.026 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465420589 1072639619 /nfs/dbraw/zinc/63/96/19/1072639619.db2.gz IXAYFLUNDQBHDF-GXTWGEPZSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465420591 1072639700 /nfs/dbraw/zinc/63/97/00/1072639700.db2.gz IXAYFLUNDQBHDF-JSGCOSHPSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465420592 1072639637 /nfs/dbraw/zinc/63/96/37/1072639637.db2.gz IXAYFLUNDQBHDF-OCCSQVGLSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465420593 1072639656 /nfs/dbraw/zinc/63/96/56/1072639656.db2.gz IXAYFLUNDQBHDF-TZMCWYRMSA-N 0 0 446.551 -0.324 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465420894 1072640961 /nfs/dbraw/zinc/64/09/61/1072640961.db2.gz JQHCQWJEHNBQNN-BBRMVZONSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465420895 1072641030 /nfs/dbraw/zinc/64/10/30/1072641030.db2.gz JQHCQWJEHNBQNN-CJNGLKHVSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465420896 1072640899 /nfs/dbraw/zinc/64/08/99/1072640899.db2.gz JQHCQWJEHNBQNN-CZUORRHYSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465420897 1072640956 /nfs/dbraw/zinc/64/09/56/1072640956.db2.gz JQHCQWJEHNBQNN-XJKSGUPXSA-N 0 0 440.522 -0.074 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465421167 1072641628 /nfs/dbraw/zinc/64/16/28/1072641628.db2.gz JWXQVJLDFGHUCB-BBRMVZONSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465421168 1072641653 /nfs/dbraw/zinc/64/16/53/1072641653.db2.gz JWXQVJLDFGHUCB-CJNGLKHVSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465421169 1072641596 /nfs/dbraw/zinc/64/15/96/1072641596.db2.gz JWXQVJLDFGHUCB-CZUORRHYSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465421170 1072641634 /nfs/dbraw/zinc/64/16/34/1072641634.db2.gz JWXQVJLDFGHUCB-XJKSGUPXSA-N 0 0 440.522 -0.026 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCS1(=O)=O ZINC000465421375 1072641643 /nfs/dbraw/zinc/64/16/43/1072641643.db2.gz KQPANDWIPLAKMR-UHFFFAOYSA-N 0 0 443.547 -0.022 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000465421604 1072641668 /nfs/dbraw/zinc/64/16/68/1072641668.db2.gz LMISVFJUYIULGE-CHWSQXEVSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000465421605 1072641610 /nfs/dbraw/zinc/64/16/10/1072641610.db2.gz LMISVFJUYIULGE-OLZOCXBDSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000465421606 1072641659 /nfs/dbraw/zinc/64/16/59/1072641659.db2.gz LMISVFJUYIULGE-QWHCGFSZSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000465421607 1072641620 /nfs/dbraw/zinc/64/16/20/1072641620.db2.gz LMISVFJUYIULGE-STQMWFEESA-N 0 0 426.495 -0.287 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465422118 1072641751 /nfs/dbraw/zinc/64/17/51/1072641751.db2.gz IZOITPHZFBTYPS-AAEUAGOBSA-N 0 0 432.524 -0.585 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465422119 1072641756 /nfs/dbraw/zinc/64/17/56/1072641756.db2.gz IZOITPHZFBTYPS-DGCLKSJQSA-N 0 0 432.524 -0.585 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465422120 1072641581 /nfs/dbraw/zinc/64/15/81/1072641581.db2.gz IZOITPHZFBTYPS-WCQYABFASA-N 0 0 432.524 -0.585 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000465422121 1072641674 /nfs/dbraw/zinc/64/16/74/1072641674.db2.gz IZOITPHZFBTYPS-YPMHNXCESA-N 0 0 432.524 -0.585 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465423000 1072642303 /nfs/dbraw/zinc/64/23/03/1072642303.db2.gz PVLKYXUSXVWVQV-DZGCQCFKSA-N 0 0 446.551 -0.492 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465423001 1072642363 /nfs/dbraw/zinc/64/23/63/1072642363.db2.gz PVLKYXUSXVWVQV-HIFRSBDPSA-N 0 0 446.551 -0.492 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465423002 1072642328 /nfs/dbraw/zinc/64/23/28/1072642328.db2.gz PVLKYXUSXVWVQV-UKRRQHHQSA-N 0 0 446.551 -0.492 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465423003 1072642274 /nfs/dbraw/zinc/64/22/74/1072642274.db2.gz PVLKYXUSXVWVQV-ZFWWWQNUSA-N 0 0 446.551 -0.492 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000465424312 1072643147 /nfs/dbraw/zinc/64/31/47/1072643147.db2.gz TZYGCJFFUYSEIO-DOMZBBRYSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000465424315 1072643161 /nfs/dbraw/zinc/64/31/61/1072643161.db2.gz TZYGCJFFUYSEIO-IUODEOHRSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000465424318 1072643185 /nfs/dbraw/zinc/64/31/85/1072643185.db2.gz TZYGCJFFUYSEIO-SWLSCSKDSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000465424321 1072643136 /nfs/dbraw/zinc/64/31/36/1072643136.db2.gz TZYGCJFFUYSEIO-WFASDCNBSA-N 0 0 426.495 -0.287 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)ccc1F ZINC000465424409 1072642963 /nfs/dbraw/zinc/64/29/63/1072642963.db2.gz UHWWLFBCSIRPBE-BXUZGUMPSA-N 0 0 439.473 -0.118 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)ccc1F ZINC000465424416 1072643125 /nfs/dbraw/zinc/64/31/25/1072643125.db2.gz UHWWLFBCSIRPBE-FZMZJTMJSA-N 0 0 439.473 -0.118 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)ccc1F ZINC000465424418 1072643232 /nfs/dbraw/zinc/64/32/32/1072643232.db2.gz UHWWLFBCSIRPBE-RISCZKNCSA-N 0 0 439.473 -0.118 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)ccc1F ZINC000465424532 1072643131 /nfs/dbraw/zinc/64/31/31/1072643131.db2.gz UHWWLFBCSIRPBE-SMDDNHRTSA-N 0 0 439.473 -0.118 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)C1=O ZINC000465424598 1072643220 /nfs/dbraw/zinc/64/32/20/1072643220.db2.gz URWDSVSQDHGYCZ-AWEZNQCLSA-N 0 0 428.449 -0.160 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)C1=O ZINC000465424600 1072643076 /nfs/dbraw/zinc/64/30/76/1072643076.db2.gz URWDSVSQDHGYCZ-CQSZACIVSA-N 0 0 428.449 -0.160 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1 ZINC000465426221 1072644361 /nfs/dbraw/zinc/64/43/61/1072644361.db2.gz WNSLZMLVAFYARI-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCS(=O)(=O)C(C)(C)C1)CC2 ZINC000465427719 1072644321 /nfs/dbraw/zinc/64/43/21/1072644321.db2.gz YUBLJJHNZMNORH-UHFFFAOYSA-N 0 0 443.547 -0.091 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000465428188 1072644244 /nfs/dbraw/zinc/64/42/44/1072644244.db2.gz ZGRXQSLYEUHHAO-CHWSQXEVSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000465428189 1072644265 /nfs/dbraw/zinc/64/42/65/1072644265.db2.gz ZGRXQSLYEUHHAO-OLZOCXBDSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000465428190 1072644950 /nfs/dbraw/zinc/64/49/50/1072644950.db2.gz ZGRXQSLYEUHHAO-QWHCGFSZSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000465428191 1072644996 /nfs/dbraw/zinc/64/49/96/1072644996.db2.gz ZGRXQSLYEUHHAO-STQMWFEESA-N 0 0 446.551 -0.291 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)ccc1C ZINC000465428241 1072645003 /nfs/dbraw/zinc/64/50/03/1072645003.db2.gz ZKZUYJDGKXJKGI-GXTWGEPZSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)ccc1C ZINC000465428242 1072644960 /nfs/dbraw/zinc/64/49/60/1072644960.db2.gz ZKZUYJDGKXJKGI-JSGCOSHPSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)ccc1C ZINC000465428244 1072644973 /nfs/dbraw/zinc/64/49/73/1072644973.db2.gz ZKZUYJDGKXJKGI-OCCSQVGLSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)ccc1C ZINC000465428246 1072644842 /nfs/dbraw/zinc/64/48/42/1072644842.db2.gz ZKZUYJDGKXJKGI-TZMCWYRMSA-N 0 0 446.551 -0.324 20 0 IBADRN Cc1nc(-c2ccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc2)n[nH]1 ZINC000465431820 1072644980 /nfs/dbraw/zinc/64/49/80/1072644980.db2.gz VFANHROGHMHERS-KRWDZBQOSA-N 0 0 446.533 -0.159 20 0 IBADRN Cc1nc(-c2ccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc2)n[nH]1 ZINC000465431821 1072644872 /nfs/dbraw/zinc/64/48/72/1072644872.db2.gz VFANHROGHMHERS-QGZVFWFLSA-N 0 0 446.533 -0.159 20 0 IBADRN Cc1c(C(=O)N2CCN(S(N)(=O)=O)CC2)cc(Br)cc1S(N)(=O)=O ZINC000465444729 1072647190 /nfs/dbraw/zinc/64/71/90/1072647190.db2.gz WTIIMMFJEUNMAO-UHFFFAOYSA-N 0 0 441.329 -0.634 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000465451911 1072649755 /nfs/dbraw/zinc/64/97/55/1072649755.db2.gz CTCRTHZIWUADGL-UHFFFAOYSA-N 0 0 443.507 -0.321 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000465455297 1072651067 /nfs/dbraw/zinc/65/10/67/1072651067.db2.gz ZYAHBTYGVFLHGL-AWEZNQCLSA-N 0 0 434.540 -0.738 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000465455298 1072651113 /nfs/dbraw/zinc/65/11/13/1072651113.db2.gz ZYAHBTYGVFLHGL-CQSZACIVSA-N 0 0 434.540 -0.738 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCS(=O)(=O)C(C)(C)C2)c1 ZINC000465464927 1072655365 /nfs/dbraw/zinc/65/53/65/1072655365.db2.gz IHAOYDLAUFRVIR-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000465467617 1072657757 /nfs/dbraw/zinc/65/77/57/1072657757.db2.gz ATVWKWAJUPBCEL-AWEZNQCLSA-N 0 0 433.552 -0.149 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000465467618 1072657780 /nfs/dbraw/zinc/65/77/80/1072657780.db2.gz ATVWKWAJUPBCEL-CQSZACIVSA-N 0 0 433.552 -0.149 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)s1 ZINC000465472168 1072663456 /nfs/dbraw/zinc/66/34/56/1072663456.db2.gz IGSWMTAHOYJJSD-UHFFFAOYSA-N 0 0 449.536 -0.259 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(c3nccn(C)c3=O)CC2)s1 ZINC000465476843 1072668457 /nfs/dbraw/zinc/66/84/57/1072668457.db2.gz BGDNHHMHFXSIGZ-UHFFFAOYSA-N 0 0 426.524 -0.218 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)CC2)c1=O ZINC000465478841 1072669581 /nfs/dbraw/zinc/66/95/81/1072669581.db2.gz RRSMEZYBZZKMBL-UHFFFAOYSA-N 0 0 431.497 -0.133 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](C)C(=O)OC)C[C@@H]1C ZINC000465478897 1072669647 /nfs/dbraw/zinc/66/96/47/1072669647.db2.gz ZUJCUQHWLQKOMH-AGIUHOORSA-N 0 0 428.529 -0.730 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@H](C)C(=O)OC)[C@@H](C)C1 ZINC000465478898 1072669602 /nfs/dbraw/zinc/66/96/02/1072669602.db2.gz ZUJCUQHWLQKOMH-AVGNSLFASA-N 0 0 428.529 -0.730 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](C)C(=O)OC)[C@@H](C)C1 ZINC000465478899 1072669755 /nfs/dbraw/zinc/66/97/55/1072669755.db2.gz ZUJCUQHWLQKOMH-UPJWGTAASA-N 0 0 428.529 -0.730 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](C)C(=O)OC)[C@@H](C)C1 ZINC000465478900 1072670287 /nfs/dbraw/zinc/67/02/87/1072670287.db2.gz ZUJCUQHWLQKOMH-XQQFMLRXSA-N 0 0 428.529 -0.730 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000465479743 1072670980 /nfs/dbraw/zinc/67/09/80/1072670980.db2.gz QNFRFWKPIAPPGY-UHFFFAOYSA-N 0 0 438.529 -0.669 20 0 IBADRN COc1ccccc1N1CC[C@@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC000465482354 1072675987 /nfs/dbraw/zinc/67/59/87/1072675987.db2.gz HNCDOBCCJXYPQP-CYBMUJFWSA-N 0 0 432.524 -0.788 20 0 IBADRN COc1ccccc1N1CC[C@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC000465482356 1072675998 /nfs/dbraw/zinc/67/59/98/1072675998.db2.gz HNCDOBCCJXYPQP-ZDUSSCGKSA-N 0 0 432.524 -0.788 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@H]3CCC[C@H]3NS(C)(=O)=O)cnc21 ZINC000465482785 1072675422 /nfs/dbraw/zinc/67/54/22/1072675422.db2.gz IYCQSMZTNFDWGE-BXKDBHETSA-N 0 0 431.496 -0.970 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H]3CCC[C@@H]3NS(C)(=O)=O)cnc21 ZINC000465482786 1072675413 /nfs/dbraw/zinc/67/54/13/1072675413.db2.gz IYCQSMZTNFDWGE-CABZTGNLSA-N 0 0 431.496 -0.970 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H]3CCC[C@H]3NS(C)(=O)=O)cnc21 ZINC000465482787 1072675484 /nfs/dbraw/zinc/67/54/84/1072675484.db2.gz IYCQSMZTNFDWGE-JOYOIKCWSA-N 0 0 431.496 -0.970 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@H]3CCC[C@@H]3NS(C)(=O)=O)cnc21 ZINC000465482788 1072675465 /nfs/dbraw/zinc/67/54/65/1072675465.db2.gz IYCQSMZTNFDWGE-SKDRFNHKSA-N 0 0 431.496 -0.970 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](CNS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)C2)c1 ZINC000465486994 1072679710 /nfs/dbraw/zinc/67/97/10/1072679710.db2.gz AGNHCBAMUHPAMT-CYBMUJFWSA-N 0 0 438.506 -0.094 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](CNS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)C2)c1 ZINC000465487002 1072679754 /nfs/dbraw/zinc/67/97/54/1072679754.db2.gz AGNHCBAMUHPAMT-ZDUSSCGKSA-N 0 0 438.506 -0.094 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000465491406 1072687727 /nfs/dbraw/zinc/68/77/27/1072687727.db2.gz KSBVLCRBHMQAMR-KRWDZBQOSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000465491414 1072687717 /nfs/dbraw/zinc/68/77/17/1072687717.db2.gz KSBVLCRBHMQAMR-QGZVFWFLSA-N 0 0 445.519 -0.053 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(=O)NCCOC)CC2)s1 ZINC000465491490 1072686933 /nfs/dbraw/zinc/68/69/33/1072686933.db2.gz CSDGNDDGSMPVGQ-UHFFFAOYSA-N 0 0 433.556 -0.754 20 0 IBADRN COCCOCCN(Cc1ccccc1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000465492256 1072690447 /nfs/dbraw/zinc/69/04/47/1072690447.db2.gz DZFRBHMGUYZKRC-UHFFFAOYSA-N 0 0 435.568 -0.026 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cc3c(cc2OC(F)F)OCO3)CC1 ZINC000465492528 1072689191 /nfs/dbraw/zinc/68/91/91/1072689191.db2.gz LSSDKANEMLVIEU-UHFFFAOYSA-N 0 0 443.450 -0.072 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000465492962 1072690833 /nfs/dbraw/zinc/69/08/33/1072690833.db2.gz MCLRCNCJKAKPTF-CYBMUJFWSA-N 0 0 432.520 -0.013 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000465492964 1072691294 /nfs/dbraw/zinc/69/12/94/1072691294.db2.gz MCLRCNCJKAKPTF-ZDUSSCGKSA-N 0 0 432.520 -0.013 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465497316 1072700966 /nfs/dbraw/zinc/70/09/66/1072700966.db2.gz ULNZRDJQIUTVTD-DOMZBBRYSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465497317 1072700927 /nfs/dbraw/zinc/70/09/27/1072700927.db2.gz ULNZRDJQIUTVTD-IUODEOHRSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000465497318 1072700895 /nfs/dbraw/zinc/70/08/95/1072700895.db2.gz ULNZRDJQIUTVTD-SWLSCSKDSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000465497319 1072701020 /nfs/dbraw/zinc/70/10/20/1072701020.db2.gz ULNZRDJQIUTVTD-WFASDCNBSA-N 0 0 439.581 -0.067 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000465498819 1072701004 /nfs/dbraw/zinc/70/10/04/1072701004.db2.gz XYSYSZKSGOYVDI-KRWDZBQOSA-N 0 0 444.579 -0.368 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000465498821 1072700912 /nfs/dbraw/zinc/70/09/12/1072700912.db2.gz XYSYSZKSGOYVDI-QGZVFWFLSA-N 0 0 444.579 -0.368 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465499172 1072703839 /nfs/dbraw/zinc/70/38/39/1072703839.db2.gz XKJXVFBEFOBIED-DOMZBBRYSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465499173 1072704313 /nfs/dbraw/zinc/70/43/13/1072704313.db2.gz XKJXVFBEFOBIED-IUODEOHRSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000465499174 1072704353 /nfs/dbraw/zinc/70/43/53/1072704353.db2.gz XKJXVFBEFOBIED-SWLSCSKDSA-N 0 0 439.581 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000465499175 1072704280 /nfs/dbraw/zinc/70/42/80/1072704280.db2.gz XKJXVFBEFOBIED-WFASDCNBSA-N 0 0 439.581 -0.067 20 0 IBADRN COC[C@](C)(NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000465503946 1072705288 /nfs/dbraw/zinc/70/52/88/1072705288.db2.gz OXGJUFQECANFRW-INIZCTEOSA-N 0 0 436.508 -0.436 20 0 IBADRN COC[C@@](C)(NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000465503950 1072705206 /nfs/dbraw/zinc/70/52/06/1072705206.db2.gz OXGJUFQECANFRW-MRXNPFEDSA-N 0 0 436.508 -0.436 20 0 IBADRN COC[C@](C)(NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000465505232 1072705637 /nfs/dbraw/zinc/70/56/37/1072705637.db2.gz USKFXFRIAFUDIB-DLBZAZTESA-N 0 0 429.451 -0.394 20 0 IBADRN COC[C@@](C)(NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000465505233 1072705569 /nfs/dbraw/zinc/70/55/69/1072705569.db2.gz USKFXFRIAFUDIB-IAGOWNOFSA-N 0 0 429.451 -0.394 20 0 IBADRN COC[C@](C)(NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000465505234 1072705708 /nfs/dbraw/zinc/70/57/08/1072705708.db2.gz USKFXFRIAFUDIB-IRXDYDNUSA-N 0 0 429.451 -0.394 20 0 IBADRN COC[C@@](C)(NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000465505235 1072705625 /nfs/dbraw/zinc/70/56/25/1072705625.db2.gz USKFXFRIAFUDIB-SJORKVTESA-N 0 0 429.451 -0.394 20 0 IBADRN CS(=O)(=O)Cc1ccccc1CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000465505369 1072705620 /nfs/dbraw/zinc/70/56/20/1072705620.db2.gz KWEKNVRMNFYMHJ-UHFFFAOYSA-N 0 0 425.554 -0.857 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](C)C(=O)OC)C[C@H]1C ZINC000465506104 1072707496 /nfs/dbraw/zinc/70/74/96/1072707496.db2.gz ZUJCUQHWLQKOMH-FRRDWIJNSA-N 0 0 428.529 -0.730 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](C)C(=O)OC)[C@H](C)C1 ZINC000465506106 1072707403 /nfs/dbraw/zinc/70/74/03/1072707403.db2.gz ZUJCUQHWLQKOMH-JHJVBQTASA-N 0 0 428.529 -0.730 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@H](C)C(=O)OC)[C@H](C)C1 ZINC000465506109 1072707534 /nfs/dbraw/zinc/70/75/34/1072707534.db2.gz ZUJCUQHWLQKOMH-RWMBFGLXSA-N 0 0 428.529 -0.730 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](C)C(=O)OC)[C@H](C)C1 ZINC000465506111 1072707458 /nfs/dbraw/zinc/70/74/58/1072707458.db2.gz ZUJCUQHWLQKOMH-YNEHKIRRSA-N 0 0 428.529 -0.730 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)CC1 ZINC000465510957 1072714810 /nfs/dbraw/zinc/71/48/10/1072714810.db2.gz BGXVQBLEKGHXGR-KRWDZBQOSA-N 0 0 434.541 -0.104 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)CC1 ZINC000465510958 1072714707 /nfs/dbraw/zinc/71/47/07/1072714707.db2.gz BGXVQBLEKGHXGR-QGZVFWFLSA-N 0 0 434.541 -0.104 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)NC[C@@](C)(O)CN3CCOCC3)cc2)CC1 ZINC000465517730 1072723450 /nfs/dbraw/zinc/72/34/50/1072723450.db2.gz NEZNHDUVIYQTFQ-JOCHJYFZSA-N 0 0 433.553 -0.043 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)NC[C@](C)(O)CN3CCOCC3)cc2)CC1 ZINC000465517731 1072723560 /nfs/dbraw/zinc/72/35/60/1072723560.db2.gz NEZNHDUVIYQTFQ-QFIPXVFZSA-N 0 0 433.553 -0.043 20 0 IBADRN C[C@](O)(CNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)CN1CCOCC1 ZINC000465519841 1072724955 /nfs/dbraw/zinc/72/49/55/1072724955.db2.gz PYJQVPYTZFZMCG-NRFANRHFSA-N 0 0 433.509 -0.859 20 0 IBADRN C[C@@](O)(CNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)CN1CCOCC1 ZINC000465519842 1072724930 /nfs/dbraw/zinc/72/49/30/1072724930.db2.gz PYJQVPYTZFZMCG-OAQYLSRUSA-N 0 0 433.509 -0.859 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN(CC(=O)NCCOC)CC2)CC1 ZINC000465537584 1072746866 /nfs/dbraw/zinc/74/68/66/1072746866.db2.gz DQRZPRKBJJYVDO-UHFFFAOYSA-N 0 0 426.562 -0.933 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)C2=O)n(C)n1 ZINC000465539542 1072754128 /nfs/dbraw/zinc/75/41/28/1072754128.db2.gz IRQKVWFNPKGONY-HNNXBMFYSA-N 0 0 426.481 -0.140 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)C2=O)n(C)n1 ZINC000465539546 1072754115 /nfs/dbraw/zinc/75/41/15/1072754115.db2.gz IRQKVWFNPKGONY-OAHLLOKOSA-N 0 0 426.481 -0.140 20 0 IBADRN COCCOC[C@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000465540509 1072755580 /nfs/dbraw/zinc/75/55/80/1072755580.db2.gz KADHZYRHFFCJGG-INIZCTEOSA-N 0 0 429.543 -0.116 20 0 IBADRN COCCOC[C@@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000465540517 1072756310 /nfs/dbraw/zinc/75/63/10/1072756310.db2.gz KADHZYRHFFCJGG-MRXNPFEDSA-N 0 0 429.543 -0.116 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)cc1 ZINC000465545895 1072761703 /nfs/dbraw/zinc/76/17/03/1072761703.db2.gz QOZLMDUSNRFPKC-BDJLRTHQSA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)cc1 ZINC000465545896 1072761763 /nfs/dbraw/zinc/76/17/63/1072761763.db2.gz QOZLMDUSNRFPKC-BZNIZROVSA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)cc1 ZINC000465545897 1072761839 /nfs/dbraw/zinc/76/18/39/1072761839.db2.gz QOZLMDUSNRFPKC-MEDUHNTESA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)cc1 ZINC000465545898 1072761685 /nfs/dbraw/zinc/76/16/85/1072761685.db2.gz QOZLMDUSNRFPKC-ZBEGNZNMSA-N 0 0 430.508 -0.407 20 0 IBADRN COC[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000465554130 1072938610 /nfs/dbraw/zinc/93/86/10/1072938610.db2.gz XRFXVNDTGATRIC-INIZCTEOSA-N 0 0 427.527 -0.410 20 0 IBADRN COC[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000465554131 1072938534 /nfs/dbraw/zinc/93/85/34/1072938534.db2.gz XRFXVNDTGATRIC-MRXNPFEDSA-N 0 0 427.527 -0.410 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(c3nccn(C)c3=O)CC2)CC1 ZINC000465558969 1072962907 /nfs/dbraw/zinc/96/29/07/1072962907.db2.gz VWBSRMYTXCINFS-UHFFFAOYSA-N 0 0 426.543 -0.184 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000465563098 1073022428 /nfs/dbraw/zinc/02/24/28/1073022428.db2.gz GFVSETSMBJASOG-UHFFFAOYSA-N 0 0 427.527 -0.945 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCCN3CCN(c4ncccn4)CC3)CC2)c1=O ZINC000465567280 1073037281 /nfs/dbraw/zinc/03/72/81/1073037281.db2.gz INALUWOVZAYRQT-UHFFFAOYSA-N 0 0 441.540 -0.386 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000465567956 1073037361 /nfs/dbraw/zinc/03/73/61/1073037361.db2.gz JKLWQWNOQUZGPP-UHFFFAOYSA-N 0 0 434.522 -0.101 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(CC(=O)NCCOC)CC2)CC1 ZINC000465569351 1073038537 /nfs/dbraw/zinc/03/85/37/1073038537.db2.gz IJKJWQBVCGCFNR-UHFFFAOYSA-N 0 0 433.575 -0.720 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000465569505 1073038594 /nfs/dbraw/zinc/03/85/94/1073038594.db2.gz NILFIMKDDVGUNV-UHFFFAOYSA-N 0 0 448.572 -0.922 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000465574699 1073043633 /nfs/dbraw/zinc/04/36/33/1073043633.db2.gz LJLVYNXKTRUEHL-UHFFFAOYSA-N 0 0 441.554 -0.637 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000465592506 1073080858 /nfs/dbraw/zinc/08/08/58/1073080858.db2.gz FPTLSGWCRDGKAM-UHFFFAOYSA-N 0 0 427.527 -0.816 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000465632528 1073134794 /nfs/dbraw/zinc/13/47/94/1073134794.db2.gz GHIYQCPHRFZEFP-UHFFFAOYSA-N 0 0 432.573 -0.206 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)c1=O ZINC000465638399 1073135785 /nfs/dbraw/zinc/13/57/85/1073135785.db2.gz NOBXXENIZGMZOV-UHFFFAOYSA-N 0 0 427.513 -0.776 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000465641960 1073136512 /nfs/dbraw/zinc/13/65/12/1073136512.db2.gz TXTBCZQZONDMKX-KRWDZBQOSA-N 0 0 427.513 -0.173 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000465641961 1073136614 /nfs/dbraw/zinc/13/66/14/1073136614.db2.gz TXTBCZQZONDMKX-QGZVFWFLSA-N 0 0 427.513 -0.173 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)C2=O)n(C)n1 ZINC000465643987 1073136376 /nfs/dbraw/zinc/13/63/76/1073136376.db2.gz XRQGLJZQZGNHDC-INIZCTEOSA-N 0 0 427.513 -0.255 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)C2=O)n(C)n1 ZINC000465643988 1073136479 /nfs/dbraw/zinc/13/64/79/1073136479.db2.gz XRQGLJZQZGNHDC-MRXNPFEDSA-N 0 0 427.513 -0.255 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000465644272 1073136412 /nfs/dbraw/zinc/13/64/12/1073136412.db2.gz ZDFPFTVVNINNRF-IBGZPJMESA-N 0 0 444.554 -0.506 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000465644273 1073136631 /nfs/dbraw/zinc/13/66/31/1073136631.db2.gz ZDFPFTVVNINNRF-LJQANCHMSA-N 0 0 444.554 -0.506 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC000465644309 1073136446 /nfs/dbraw/zinc/13/64/46/1073136446.db2.gz YVSRLWZLXSBVDR-UHFFFAOYSA-N 0 0 435.506 -0.027 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000465655264 1073137250 /nfs/dbraw/zinc/13/72/50/1073137250.db2.gz DSEAPFIXYGJUOT-UHFFFAOYSA-N 0 0 441.554 -0.804 20 0 IBADRN O=C(CCNC(=O)NCCCN1C(=O)COc2ccccc21)N[C@H]1CCS(=O)(=O)C1 ZINC000465655415 1073137263 /nfs/dbraw/zinc/13/72/63/1073137263.db2.gz FGPOLEIUTSMQLD-AWEZNQCLSA-N 0 0 438.506 -0.205 20 0 IBADRN O=C(CCNC(=O)NCCCN1C(=O)COc2ccccc21)N[C@@H]1CCS(=O)(=O)C1 ZINC000465655423 1073137276 /nfs/dbraw/zinc/13/72/76/1073137276.db2.gz FGPOLEIUTSMQLD-CQSZACIVSA-N 0 0 438.506 -0.205 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)n1 ZINC000465656011 1073137241 /nfs/dbraw/zinc/13/72/41/1073137241.db2.gz INMRAIQEENXBGT-GOSISDBHSA-N 0 0 429.529 -0.082 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)n1 ZINC000465656013 1073137232 /nfs/dbraw/zinc/13/72/32/1073137232.db2.gz INMRAIQEENXBGT-SFHVURJKSA-N 0 0 429.529 -0.082 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000465669395 1073138413 /nfs/dbraw/zinc/13/84/13/1073138413.db2.gz WQWSNFTXKJFDBZ-UHFFFAOYSA-N 0 0 443.507 -0.321 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000465671408 1073138334 /nfs/dbraw/zinc/13/83/34/1073138334.db2.gz YGCRTVUMICSBNA-UHFFFAOYSA-N 0 0 435.525 -0.257 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000465674106 1073138396 /nfs/dbraw/zinc/13/83/96/1073138396.db2.gz ALEDKRBVZPQLRD-UHFFFAOYSA-N 0 0 437.544 -0.497 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCS(=O)(=O)NCc3ccccc3)CC2)c1=O ZINC000465674688 1073138460 /nfs/dbraw/zinc/13/84/60/1073138460.db2.gz FPVHRFCUFKBISL-UHFFFAOYSA-N 0 0 434.522 -0.269 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000465676815 1073138387 /nfs/dbraw/zinc/13/83/87/1073138387.db2.gz BEKXYQGLIWJXPK-UHFFFAOYSA-N 0 0 441.554 -0.473 20 0 IBADRN CC(C)OC(=O)[C@H](C)CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000465676890 1073138406 /nfs/dbraw/zinc/13/84/06/1073138406.db2.gz VHFGTVYAKKJPGP-GFCCVEGCSA-N 0 0 430.483 -0.018 20 0 IBADRN CC(C)OC(=O)[C@@H](C)CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000465676891 1073138359 /nfs/dbraw/zinc/13/83/59/1073138359.db2.gz VHFGTVYAKKJPGP-LBPRGKRZSA-N 0 0 430.483 -0.018 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCOC3CCOCC3)CC2)o1 ZINC000465678030 1073138377 /nfs/dbraw/zinc/13/83/77/1073138377.db2.gz ZSJRERKIFNDXNG-UHFFFAOYSA-N 0 0 444.510 -0.020 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000465695977 1073138901 /nfs/dbraw/zinc/13/89/01/1073138901.db2.gz TYCJUZTXBROPOK-UHFFFAOYSA-N 0 0 426.539 -0.179 20 0 IBADRN CCN(CCCNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)S(C)(=O)=O ZINC000465711306 1073139586 /nfs/dbraw/zinc/13/95/86/1073139586.db2.gz QTPSDDQLNRQGQJ-UHFFFAOYSA-N 0 0 437.544 -0.497 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000465714948 1073139878 /nfs/dbraw/zinc/13/98/78/1073139878.db2.gz FLLVIAHYDARMIV-UHFFFAOYSA-N 0 0 447.536 -0.251 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000465750834 1073141999 /nfs/dbraw/zinc/14/19/99/1073141999.db2.gz NTADGLQAMOQACP-CYBMUJFWSA-N 0 0 435.528 -0.744 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000465750846 1073142168 /nfs/dbraw/zinc/14/21/68/1073142168.db2.gz NTADGLQAMOQACP-ZDUSSCGKSA-N 0 0 435.528 -0.744 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000465764410 1073145002 /nfs/dbraw/zinc/14/50/02/1073145002.db2.gz WTDUGQUOOZNVER-HNNXBMFYSA-N 0 0 441.554 -0.255 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000465764421 1073145018 /nfs/dbraw/zinc/14/50/18/1073145018.db2.gz WTDUGQUOOZNVER-OAHLLOKOSA-N 0 0 441.554 -0.255 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000465766190 1073143771 /nfs/dbraw/zinc/14/37/71/1073143771.db2.gz ZAQJKGLZTQSOLO-IBGZPJMESA-N 0 0 429.587 -0.716 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000465766191 1073143725 /nfs/dbraw/zinc/14/37/25/1073143725.db2.gz ZAQJKGLZTQSOLO-LJQANCHMSA-N 0 0 429.587 -0.716 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCCS(=O)(=O)c2ccccc2)CC1 ZINC000465774322 1073145996 /nfs/dbraw/zinc/14/59/96/1073145996.db2.gz SXLBEQACXWDZBP-UHFFFAOYSA-N 0 0 426.539 -0.060 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000465788820 1073146515 /nfs/dbraw/zinc/14/65/15/1073146515.db2.gz WHJHYXHHWRYSAC-UHFFFAOYSA-N 0 0 426.539 -0.179 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCC(=O)N3CCN(c4ccccc4)CC3)CC2)c1=O ZINC000465793603 1073146523 /nfs/dbraw/zinc/14/65/23/1073146523.db2.gz XQAOGNLYAZFCSH-UHFFFAOYSA-N 0 0 439.520 -0.039 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000465802478 1073146955 /nfs/dbraw/zinc/14/69/55/1073146955.db2.gz BRULYGWYSGMFSN-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN C[C@](O)(CNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC000465816698 1073147654 /nfs/dbraw/zinc/14/76/54/1073147654.db2.gz OQYGEXWBPQYFCT-NRFANRHFSA-N 0 0 434.541 -0.497 20 0 IBADRN C[C@@](O)(CNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC000465816699 1073147551 /nfs/dbraw/zinc/14/75/51/1073147551.db2.gz OQYGEXWBPQYFCT-OAQYLSRUSA-N 0 0 434.541 -0.497 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000465820965 1073147518 /nfs/dbraw/zinc/14/75/18/1073147518.db2.gz SXZMPVLSGNUSBO-INIZCTEOSA-N 0 0 433.509 -0.110 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000465820970 1073147712 /nfs/dbraw/zinc/14/77/12/1073147712.db2.gz SXZMPVLSGNUSBO-MRXNPFEDSA-N 0 0 433.509 -0.110 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000465836681 1073147960 /nfs/dbraw/zinc/14/79/60/1073147960.db2.gz NBHLZGWBTLBYMG-UHFFFAOYSA-N 0 0 441.492 -0.121 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000465844805 1073148152 /nfs/dbraw/zinc/14/81/52/1073148152.db2.gz LZTCZWMWFQZZKF-HNNXBMFYSA-N 0 0 441.554 -0.255 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000465844808 1073148190 /nfs/dbraw/zinc/14/81/90/1073148190.db2.gz LZTCZWMWFQZZKF-OAHLLOKOSA-N 0 0 441.554 -0.255 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000465848648 1073148558 /nfs/dbraw/zinc/14/85/58/1073148558.db2.gz RUJOVIMUPFIMMO-NRFANRHFSA-N 0 0 446.508 -0.669 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000465848649 1073148953 /nfs/dbraw/zinc/14/89/53/1073148953.db2.gz RUJOVIMUPFIMMO-OAQYLSRUSA-N 0 0 446.508 -0.669 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCc3ccc([C@]4(C)NC(=O)NC4=O)cc3)CC2)c1=O ZINC000465851538 1073149056 /nfs/dbraw/zinc/14/90/56/1073149056.db2.gz VFIYRWOLYASZJR-NRFANRHFSA-N 0 0 439.476 -0.133 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)CC2)c1=O ZINC000465851539 1073149045 /nfs/dbraw/zinc/14/90/45/1073149045.db2.gz VFIYRWOLYASZJR-OAQYLSRUSA-N 0 0 439.476 -0.133 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000465865523 1073154677 /nfs/dbraw/zinc/15/46/77/1073154677.db2.gz TZITXDLGIAYADT-UHFFFAOYSA-N 0 0 442.538 -0.441 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000465871267 1073154636 /nfs/dbraw/zinc/15/46/36/1073154636.db2.gz IYOFVIIOHCRYFC-UHFFFAOYSA-N 0 0 449.555 -0.449 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CCO2)n1 ZINC000465874154 1073155196 /nfs/dbraw/zinc/15/51/96/1073155196.db2.gz JCOLKNLLKRUASG-DLBZAZTESA-N 0 0 444.558 -0.206 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CCO2)n1 ZINC000465874156 1073155148 /nfs/dbraw/zinc/15/51/48/1073155148.db2.gz JCOLKNLLKRUASG-IAGOWNOFSA-N 0 0 444.558 -0.206 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CCO2)n1 ZINC000465874158 1073155198 /nfs/dbraw/zinc/15/51/98/1073155198.db2.gz JCOLKNLLKRUASG-IRXDYDNUSA-N 0 0 444.558 -0.206 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CCO2)n1 ZINC000465874159 1073155187 /nfs/dbraw/zinc/15/51/87/1073155187.db2.gz JCOLKNLLKRUASG-SJORKVTESA-N 0 0 444.558 -0.206 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000465876494 1073156045 /nfs/dbraw/zinc/15/60/45/1073156045.db2.gz MAQIHOPQRSCSEI-UHFFFAOYSA-N 0 0 442.542 -0.616 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000465883016 1073156415 /nfs/dbraw/zinc/15/64/15/1073156415.db2.gz YHSTWRTXGOAZOB-DOTOQJQBSA-N 0 0 445.586 -0.531 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000465883017 1073156399 /nfs/dbraw/zinc/15/63/99/1073156399.db2.gz YHSTWRTXGOAZOB-NVXWUHKLSA-N 0 0 445.586 -0.531 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000465883018 1073156458 /nfs/dbraw/zinc/15/64/58/1073156458.db2.gz YHSTWRTXGOAZOB-RDJZCZTQSA-N 0 0 445.586 -0.531 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000465883019 1073156483 /nfs/dbraw/zinc/15/64/83/1073156483.db2.gz YHSTWRTXGOAZOB-WBVHZDCISA-N 0 0 445.586 -0.531 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000465883571 1073156856 /nfs/dbraw/zinc/15/68/56/1073156856.db2.gz AKQLFTGWCWRJRY-KRWDZBQOSA-N 0 0 447.602 -0.330 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000465883572 1073156942 /nfs/dbraw/zinc/15/69/42/1073156942.db2.gz AKQLFTGWCWRJRY-QGZVFWFLSA-N 0 0 447.602 -0.330 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2=O)n(C)n1 ZINC000465883955 1073156922 /nfs/dbraw/zinc/15/69/22/1073156922.db2.gz DKHFBNBIGKQKAS-CABCVRRESA-N 0 0 442.542 -0.378 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2=O)n(C)n1 ZINC000465883956 1073156884 /nfs/dbraw/zinc/15/68/84/1073156884.db2.gz DKHFBNBIGKQKAS-GJZGRUSLSA-N 0 0 442.542 -0.378 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2=O)n(C)n1 ZINC000465883957 1073156898 /nfs/dbraw/zinc/15/68/98/1073156898.db2.gz DKHFBNBIGKQKAS-HUUCEWRRSA-N 0 0 442.542 -0.378 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2=O)n(C)n1 ZINC000465883958 1073156849 /nfs/dbraw/zinc/15/68/49/1073156849.db2.gz DKHFBNBIGKQKAS-LSDHHAIUSA-N 0 0 442.542 -0.378 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)c1=O ZINC000465884055 1073156879 /nfs/dbraw/zinc/15/68/79/1073156879.db2.gz AGYZAOKNOBMKQQ-HNNXBMFYSA-N 0 0 442.542 -0.900 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)c1=O ZINC000465884056 1073156945 /nfs/dbraw/zinc/15/69/45/1073156945.db2.gz AGYZAOKNOBMKQQ-OAHLLOKOSA-N 0 0 442.542 -0.900 20 0 IBADRN CC[C@H](NC(=O)N1CCN(CC(=O)NCCOC)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000465888843 1073158300 /nfs/dbraw/zinc/15/83/00/1073158300.db2.gz JEOZENNKHMQLSY-KRWDZBQOSA-N 0 0 441.554 -0.125 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(CC(=O)NCCOC)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000465888846 1073158285 /nfs/dbraw/zinc/15/82/85/1073158285.db2.gz JEOZENNKHMQLSY-QGZVFWFLSA-N 0 0 441.554 -0.125 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000465890423 1073158743 /nfs/dbraw/zinc/15/87/43/1073158743.db2.gz OMDWTALHORFDJE-INIZCTEOSA-N 0 0 428.511 -0.162 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000465890424 1073158757 /nfs/dbraw/zinc/15/87/57/1073158757.db2.gz OMDWTALHORFDJE-MRXNPFEDSA-N 0 0 428.511 -0.162 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)NCCS(=O)(=O)N3CCSCC3)CCO2)n1 ZINC000465896655 1073159218 /nfs/dbraw/zinc/15/92/18/1073159218.db2.gz CAFMOSAAGOYYCY-HNNXBMFYSA-N 0 0 432.572 -0.316 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)NCCS(=O)(=O)N3CCSCC3)CCO2)n1 ZINC000465896658 1073159168 /nfs/dbraw/zinc/15/91/68/1073159168.db2.gz CAFMOSAAGOYYCY-OAHLLOKOSA-N 0 0 432.572 -0.316 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000465914054 1073160896 /nfs/dbraw/zinc/16/08/96/1073160896.db2.gz ZEJVLGVLEQQRJY-UHFFFAOYSA-N 0 0 435.616 -0.439 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NCCS(=O)(=O)N3CCSCC3)C2=O)n(C)n1 ZINC000465914149 1073160930 /nfs/dbraw/zinc/16/09/30/1073160930.db2.gz ZZBYETWVYFEWKF-CYBMUJFWSA-N 0 0 430.556 -0.488 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NCCS(=O)(=O)N3CCSCC3)C2=O)n(C)n1 ZINC000465914150 1073160869 /nfs/dbraw/zinc/16/08/69/1073160869.db2.gz ZZBYETWVYFEWKF-ZDUSSCGKSA-N 0 0 430.556 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(CNC(=O)NC(CO)CO)CC2)cc1OC ZINC000465917393 1073161429 /nfs/dbraw/zinc/16/14/29/1073161429.db2.gz JGYBNBIFTQLQMA-UHFFFAOYSA-N 0 0 431.511 -0.243 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000465920385 1073161791 /nfs/dbraw/zinc/16/17/91/1073161791.db2.gz JABJEIZEVYQUAM-IBGZPJMESA-N 0 0 444.554 -0.818 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000465920391 1073161868 /nfs/dbraw/zinc/16/18/68/1073161868.db2.gz JABJEIZEVYQUAM-LJQANCHMSA-N 0 0 444.554 -0.818 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000465925315 1073162365 /nfs/dbraw/zinc/16/23/65/1073162365.db2.gz OPBPVNQKWRAOCG-GFCCVEGCSA-N 0 0 439.416 -0.005 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000465925324 1073162328 /nfs/dbraw/zinc/16/23/28/1073162328.db2.gz OPBPVNQKWRAOCG-LBPRGKRZSA-N 0 0 439.416 -0.005 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCNC(=O)c2c(F)cccc2F)CC1 ZINC000465928767 1073163054 /nfs/dbraw/zinc/16/30/54/1073163054.db2.gz RLAFBDPUKMQQTP-UHFFFAOYSA-N 0 0 427.452 -0.216 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCc2nn(C)c(=O)cc2C1 ZINC000465930563 1073163047 /nfs/dbraw/zinc/16/30/47/1073163047.db2.gz SYIXDEUXRSOLNR-UHFFFAOYSA-N 0 0 435.506 -0.339 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)CC2)c1=O ZINC000465946507 1073165577 /nfs/dbraw/zinc/16/55/77/1073165577.db2.gz FAVSFRIVCRWJDC-UHFFFAOYSA-N 0 0 431.541 -0.444 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1O ZINC000465947148 1073165151 /nfs/dbraw/zinc/16/51/51/1073165151.db2.gz FVHHDQGYQWCZRQ-KRWDZBQOSA-N 0 0 436.509 -0.068 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1O ZINC000465947149 1073165157 /nfs/dbraw/zinc/16/51/57/1073165157.db2.gz FVHHDQGYQWCZRQ-QGZVFWFLSA-N 0 0 436.509 -0.068 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000465947535 1073165590 /nfs/dbraw/zinc/16/55/90/1073165590.db2.gz JQAXATUGPLKKGV-UHFFFAOYSA-N 0 0 433.435 -0.003 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000465949607 1073166360 /nfs/dbraw/zinc/16/63/60/1073166360.db2.gz FQJWERUWPCBVHZ-UHFFFAOYSA-N 0 0 438.573 -0.980 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000465955677 1073167996 /nfs/dbraw/zinc/16/79/96/1073167996.db2.gz OJYKKBHOABCMMU-UHFFFAOYSA-N 0 0 437.544 -0.497 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000465964649 1073168937 /nfs/dbraw/zinc/16/89/37/1073168937.db2.gz GRIMTGLMOOCRPD-GOSISDBHSA-N 0 0 441.540 -0.262 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000465964650 1073168912 /nfs/dbraw/zinc/16/89/12/1073168912.db2.gz GRIMTGLMOOCRPD-SFHVURJKSA-N 0 0 441.540 -0.262 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@@H]1CN1CCOCC1 ZINC000465975285 1073169758 /nfs/dbraw/zinc/16/97/58/1073169758.db2.gz WGDCLFUUZZEABB-GOSISDBHSA-N 0 0 445.586 -0.466 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCc3nn(C)c(=O)cc3C2)c1 ZINC000465987133 1073177199 /nfs/dbraw/zinc/17/71/99/1073177199.db2.gz ULFOJNZEWXARMM-UHFFFAOYSA-N 0 0 435.506 -0.027 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(Cc2ccon2)CC1 ZINC000465993617 1073185243 /nfs/dbraw/zinc/18/52/43/1073185243.db2.gz XLFJLCDQYMZSAZ-UHFFFAOYSA-N 0 0 442.542 -0.457 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000465994889 1073184741 /nfs/dbraw/zinc/18/47/41/1073184741.db2.gz DNBBJSTVMLMJRI-IBGZPJMESA-N 0 0 447.584 -0.318 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000465994900 1073184807 /nfs/dbraw/zinc/18/48/07/1073184807.db2.gz DNBBJSTVMLMJRI-LJQANCHMSA-N 0 0 447.584 -0.318 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000465996657 1073185293 /nfs/dbraw/zinc/18/52/93/1073185293.db2.gz HRQWFKCOKXKEKK-IBGZPJMESA-N 0 0 444.554 -0.506 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000465996658 1073185402 /nfs/dbraw/zinc/18/54/02/1073185402.db2.gz HRQWFKCOKXKEKK-LJQANCHMSA-N 0 0 444.554 -0.506 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)C2=O)cn1 ZINC000465996768 1073185190 /nfs/dbraw/zinc/18/51/90/1073185190.db2.gz FOPHCOCPIIBUKK-HNNXBMFYSA-N 0 0 437.482 -0.629 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)C2=O)cn1 ZINC000465996769 1073185212 /nfs/dbraw/zinc/18/52/12/1073185212.db2.gz FOPHCOCPIIBUKK-OAHLLOKOSA-N 0 0 437.482 -0.629 20 0 IBADRN Cc1nccn1CCCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000466015718 1073188859 /nfs/dbraw/zinc/18/88/59/1073188859.db2.gz JHRYKUSMPHFRCT-UHFFFAOYSA-N 0 0 427.575 -0.209 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000466023319 1073189354 /nfs/dbraw/zinc/18/93/54/1073189354.db2.gz SBDJTYRJQFJVRM-IBGZPJMESA-N 0 0 447.536 -0.114 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000466023320 1073189359 /nfs/dbraw/zinc/18/93/59/1073189359.db2.gz SBDJTYRJQFJVRM-LJQANCHMSA-N 0 0 447.536 -0.114 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000466025119 1073189896 /nfs/dbraw/zinc/18/98/96/1073189896.db2.gz GEXHGWFHOUCDBA-INIZCTEOSA-N 0 0 442.480 -0.793 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000466025120 1073189916 /nfs/dbraw/zinc/18/99/16/1073189916.db2.gz GEXHGWFHOUCDBA-MRXNPFEDSA-N 0 0 442.480 -0.793 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)C2)cn1 ZINC000466032836 1073190945 /nfs/dbraw/zinc/19/09/45/1073190945.db2.gz BGHQIPQGQUNNFA-HNNXBMFYSA-N 0 0 431.497 -0.608 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)C2)cn1 ZINC000466032844 1073190908 /nfs/dbraw/zinc/19/09/08/1073190908.db2.gz BGHQIPQGQUNNFA-OAHLLOKOSA-N 0 0 431.497 -0.608 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000466032992 1073190972 /nfs/dbraw/zinc/19/09/72/1073190972.db2.gz QSEBQKKCANYNFR-UHFFFAOYSA-N 0 0 443.504 -0.066 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000466033006 1073190866 /nfs/dbraw/zinc/19/08/66/1073190866.db2.gz QTVXNXLVXVTJCB-KRWDZBQOSA-N 0 0 443.504 -0.201 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000466033007 1073190858 /nfs/dbraw/zinc/19/08/58/1073190858.db2.gz QTVXNXLVXVTJCB-QGZVFWFLSA-N 0 0 443.504 -0.201 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000466039534 1073191440 /nfs/dbraw/zinc/19/14/40/1073191440.db2.gz HQYQBQQVYDMABA-UHFFFAOYSA-N 0 0 445.520 -0.357 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)cn1 ZINC000466041521 1073192056 /nfs/dbraw/zinc/19/20/56/1073192056.db2.gz PFNOEEUTUQRXQR-INIZCTEOSA-N 0 0 433.513 -0.899 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)cn1 ZINC000466041523 1073192499 /nfs/dbraw/zinc/19/24/99/1073192499.db2.gz PFNOEEUTUQRXQR-MRXNPFEDSA-N 0 0 433.513 -0.899 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)C2)cn1 ZINC000466042513 1073192538 /nfs/dbraw/zinc/19/25/38/1073192538.db2.gz QYVWSCXGNDSTJD-INIZCTEOSA-N 0 0 441.558 -0.707 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)C2)cn1 ZINC000466042586 1073192011 /nfs/dbraw/zinc/19/20/11/1073192011.db2.gz QYVWSCXGNDSTJD-MRXNPFEDSA-N 0 0 441.558 -0.707 20 0 IBADRN Cc1nc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000466044239 1073192034 /nfs/dbraw/zinc/19/20/34/1073192034.db2.gz TYGWJHYUUDJVIA-UHFFFAOYSA-N 0 0 444.495 -0.758 20 0 IBADRN Cc1nc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)no1 ZINC000466044292 1073192003 /nfs/dbraw/zinc/19/20/03/1073192003.db2.gz HCZMLJRBRBGJJT-UHFFFAOYSA-N 0 0 436.450 -0.075 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000466044820 1073192546 /nfs/dbraw/zinc/19/25/46/1073192546.db2.gz OYIMJZLCFIFAOQ-GOSISDBHSA-N 0 0 447.536 -0.244 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000466044821 1073192487 /nfs/dbraw/zinc/19/24/87/1073192487.db2.gz OYIMJZLCFIFAOQ-SFHVURJKSA-N 0 0 447.536 -0.244 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000466052978 1073193083 /nfs/dbraw/zinc/19/30/83/1073193083.db2.gz TXHAQHLDFVXWMF-MSOLQXFVSA-N 0 0 433.557 -0.037 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000466052979 1073193058 /nfs/dbraw/zinc/19/30/58/1073193058.db2.gz TXHAQHLDFVXWMF-QZTJIDSGSA-N 0 0 433.557 -0.037 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000466052980 1073192962 /nfs/dbraw/zinc/19/29/62/1073192962.db2.gz TXHAQHLDFVXWMF-ROUUACIJSA-N 0 0 433.557 -0.037 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000466052981 1073193105 /nfs/dbraw/zinc/19/31/05/1073193105.db2.gz TXHAQHLDFVXWMF-ZWKOTPCHSA-N 0 0 433.557 -0.037 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCOC3CCOCC3)CC2)CC1 ZINC000466053550 1073193637 /nfs/dbraw/zinc/19/36/37/1073193637.db2.gz CIMRYIAWBCUGAQ-UHFFFAOYSA-N 0 0 433.575 -0.609 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCCN2C(=O)COc3ccccc32)CC1 ZINC000466065617 1073194662 /nfs/dbraw/zinc/19/46/62/1073194662.db2.gz KMGPJDMIFOFZGH-UHFFFAOYSA-N 0 0 429.477 -0.066 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCCN2C(=O)COc3ccccc32)CC1 ZINC000466066664 1073194540 /nfs/dbraw/zinc/19/45/40/1073194540.db2.gz AURNXRBZXOPHMO-UHFFFAOYSA-N 0 0 445.520 -0.012 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCCN2C(=O)COc3ccccc32)CC1 ZINC000466069343 1073194104 /nfs/dbraw/zinc/19/41/04/1073194104.db2.gz QPUUTLQHEKKEKP-UHFFFAOYSA-N 0 0 433.509 -0.108 20 0 IBADRN CS(=O)(=O)C1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000466072267 1073194704 /nfs/dbraw/zinc/19/47/04/1073194704.db2.gz CPPAPKCLWZPAPZ-UHFFFAOYSA-N 0 0 438.572 -0.754 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCCN2C(=O)COc3ccccc32)CC1 ZINC000466074337 1073194647 /nfs/dbraw/zinc/19/46/47/1073194647.db2.gz KHFXOIPYTRVRRI-UHFFFAOYSA-N 0 0 428.453 -0.657 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2=O)CC1 ZINC000466075301 1073194499 /nfs/dbraw/zinc/19/44/99/1073194499.db2.gz WXBMDZNYNWAWFU-AEFFLSMTSA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2=O)CC1 ZINC000466075302 1073194669 /nfs/dbraw/zinc/19/46/69/1073194669.db2.gz WXBMDZNYNWAWFU-FUHWJXTLSA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2=O)CC1 ZINC000466075303 1073194531 /nfs/dbraw/zinc/19/45/31/1073194531.db2.gz WXBMDZNYNWAWFU-SJLPKXTDSA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2=O)CC1 ZINC000466075304 1073195191 /nfs/dbraw/zinc/19/51/91/1073195191.db2.gz WXBMDZNYNWAWFU-WMZOPIPTSA-N 0 0 447.540 -0.510 20 0 IBADRN CC(C)Cn1ccnc1CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000466082341 1073195103 /nfs/dbraw/zinc/19/51/03/1073195103.db2.gz UATRTQHQZMBAKG-UHFFFAOYSA-N 0 0 427.575 -0.142 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC2CCC(S(C)(=O)=O)CC2)CC1 ZINC000466083298 1073195119 /nfs/dbraw/zinc/19/51/19/1073195119.db2.gz VRPJIKRCNSFZGZ-GTPINHCMSA-N 0 0 430.571 -0.083 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC2CCC(S(C)(=O)=O)CC2)CC1 ZINC000466083299 1073195029 /nfs/dbraw/zinc/19/50/29/1073195029.db2.gz VRPJIKRCNSFZGZ-KLAILNCOSA-N 0 0 430.571 -0.083 20 0 IBADRN CS(=O)(=O)C1CCC(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000466083310 1073195064 /nfs/dbraw/zinc/19/50/64/1073195064.db2.gz VVHLTXKSJZGPTN-UHFFFAOYSA-N 0 0 430.527 -0.945 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000466086638 1073195151 /nfs/dbraw/zinc/19/51/51/1073195151.db2.gz WBBYYLHUKHUZSW-HNNXBMFYSA-N 0 0 441.602 -0.213 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000466086639 1073195133 /nfs/dbraw/zinc/19/51/33/1073195133.db2.gz WBBYYLHUKHUZSW-OAHLLOKOSA-N 0 0 441.602 -0.213 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000466088189 1073195194 /nfs/dbraw/zinc/19/51/94/1073195194.db2.gz ZGPPLMMBAFVGJU-AWEZNQCLSA-N 0 0 428.559 -0.128 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000466088190 1073195173 /nfs/dbraw/zinc/19/51/73/1073195173.db2.gz ZGPPLMMBAFVGJU-CQSZACIVSA-N 0 0 428.559 -0.128 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)[C@H]1CCOC1 ZINC000466112780 1073198076 /nfs/dbraw/zinc/19/80/76/1073198076.db2.gz QTPFIQVXAUHYER-KBPBESRZSA-N 0 0 446.551 -0.225 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)[C@H]1CCOC1 ZINC000466112786 1073198051 /nfs/dbraw/zinc/19/80/51/1073198051.db2.gz QTPFIQVXAUHYER-KGLIPLIRSA-N 0 0 446.551 -0.225 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)[C@@H]1CCOC1 ZINC000466112788 1073197981 /nfs/dbraw/zinc/19/79/81/1073197981.db2.gz QTPFIQVXAUHYER-UONOGXRCSA-N 0 0 446.551 -0.225 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)[C@@H]1CCOC1 ZINC000466112789 1073198035 /nfs/dbraw/zinc/19/80/35/1073198035.db2.gz QTPFIQVXAUHYER-ZIAGYGMSSA-N 0 0 446.551 -0.225 20 0 IBADRN CSC1(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCOCC1 ZINC000466117387 1073197966 /nfs/dbraw/zinc/19/79/66/1073197966.db2.gz MVVIUQHIFUQLBY-UHFFFAOYSA-N 0 0 435.616 -0.282 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2=O)n1 ZINC000466165851 1073201941 /nfs/dbraw/zinc/20/19/41/1073201941.db2.gz NPHBRAYYVCIYHR-CYBMUJFWSA-N 0 0 426.437 -0.317 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2=O)n1 ZINC000466165856 1073201923 /nfs/dbraw/zinc/20/19/23/1073201923.db2.gz NPHBRAYYVCIYHR-ZDUSSCGKSA-N 0 0 426.437 -0.317 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N3CCN(c4ncccc4C(N)=O)CC3)C2=O)n1 ZINC000466166228 1073201932 /nfs/dbraw/zinc/20/19/32/1073201932.db2.gz MVRQPLOSEWHPCT-HNNXBMFYSA-N 0 0 426.481 -0.059 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)N3CCN(c4ncccc4C(N)=O)CC3)C2=O)n1 ZINC000466166229 1073201867 /nfs/dbraw/zinc/20/18/67/1073201867.db2.gz MVRQPLOSEWHPCT-OAHLLOKOSA-N 0 0 426.481 -0.059 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000466172655 1073202371 /nfs/dbraw/zinc/20/23/71/1073202371.db2.gz KMAPVFNCZZYQDQ-DLBZAZTESA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000466172657 1073202403 /nfs/dbraw/zinc/20/24/03/1073202403.db2.gz KMAPVFNCZZYQDQ-IAGOWNOFSA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000466172659 1073202391 /nfs/dbraw/zinc/20/23/91/1073202391.db2.gz KMAPVFNCZZYQDQ-IRXDYDNUSA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000466172661 1073202318 /nfs/dbraw/zinc/20/23/18/1073202318.db2.gz KMAPVFNCZZYQDQ-SJORKVTESA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1 ZINC000466182862 1073203351 /nfs/dbraw/zinc/20/33/51/1073203351.db2.gz UEEQRRBZPNLEFA-AEFFLSMTSA-N 0 0 443.504 -0.107 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1 ZINC000466182863 1073203278 /nfs/dbraw/zinc/20/32/78/1073203278.db2.gz UEEQRRBZPNLEFA-FUHWJXTLSA-N 0 0 443.504 -0.107 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1 ZINC000466182864 1073203336 /nfs/dbraw/zinc/20/33/36/1073203336.db2.gz UEEQRRBZPNLEFA-SJLPKXTDSA-N 0 0 443.504 -0.107 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1 ZINC000466182865 1073203216 /nfs/dbraw/zinc/20/32/16/1073203216.db2.gz UEEQRRBZPNLEFA-WMZOPIPTSA-N 0 0 443.504 -0.107 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000466211801 1073206343 /nfs/dbraw/zinc/20/63/43/1073206343.db2.gz XSBHLFQAGYMAJF-UHFFFAOYSA-N 0 0 431.449 -0.947 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000466212948 1073206260 /nfs/dbraw/zinc/20/62/60/1073206260.db2.gz YFWDZZUNDWXRET-UHFFFAOYSA-N 0 0 437.478 -0.104 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000466213448 1073206097 /nfs/dbraw/zinc/20/60/97/1073206097.db2.gz AJIHEMVZQYQZFH-INIZCTEOSA-N 0 0 433.509 -0.375 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000466213449 1073206369 /nfs/dbraw/zinc/20/63/69/1073206369.db2.gz AJIHEMVZQYQZFH-MRXNPFEDSA-N 0 0 433.509 -0.375 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000466215890 1073206372 /nfs/dbraw/zinc/20/63/72/1073206372.db2.gz GIGHQQSAMWERTI-INIZCTEOSA-N 0 0 438.554 -0.164 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000466215891 1073206196 /nfs/dbraw/zinc/20/61/96/1073206196.db2.gz GIGHQQSAMWERTI-MRXNPFEDSA-N 0 0 438.554 -0.164 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCc3cccc(OCC(N)=O)c3)CC2)no1 ZINC000466217301 1073330560 /nfs/dbraw/zinc/33/05/60/1073330560.db2.gz MVSIMPMBYTWGDH-UHFFFAOYSA-N 0 0 430.465 0.313 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000466218653 1073206228 /nfs/dbraw/zinc/20/62/28/1073206228.db2.gz OGKAKABXYHPJBQ-INIZCTEOSA-N 0 0 441.492 -0.189 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000466218654 1073206334 /nfs/dbraw/zinc/20/63/34/1073206334.db2.gz OGKAKABXYHPJBQ-MRXNPFEDSA-N 0 0 441.492 -0.189 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000466219619 1073206079 /nfs/dbraw/zinc/20/60/79/1073206079.db2.gz BIDKRZKQMDZRGH-GFCCVEGCSA-N 0 0 429.524 -0.312 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCN2C(=O)NC[C@H]2C1 ZINC000466219625 1073206362 /nfs/dbraw/zinc/20/63/62/1073206362.db2.gz BIDKRZKQMDZRGH-LBPRGKRZSA-N 0 0 429.524 -0.312 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1CCN2C(=O)NC[C@H]2C1 ZINC000466231650 1073206503 /nfs/dbraw/zinc/20/65/03/1073206503.db2.gz DCYXQFIGIZSKIT-KRWDZBQOSA-N 0 0 437.522 -0.232 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000466231651 1073206587 /nfs/dbraw/zinc/20/65/87/1073206587.db2.gz DCYXQFIGIZSKIT-QGZVFWFLSA-N 0 0 437.522 -0.232 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN(c4ncccc4C(N)=O)CC3)C2=O)n(C)n1 ZINC000466249586 1073208428 /nfs/dbraw/zinc/20/84/28/1073208428.db2.gz LSJCMDJOGZNUSY-HNNXBMFYSA-N 0 0 426.481 -0.140 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN(c4ncccc4C(N)=O)CC3)C2=O)n(C)n1 ZINC000466249588 1073208343 /nfs/dbraw/zinc/20/83/43/1073208343.db2.gz LSJCMDJOGZNUSY-OAHLLOKOSA-N 0 0 426.481 -0.140 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)C2=O)n(C)n1 ZINC000466253258 1073208949 /nfs/dbraw/zinc/20/89/49/1073208949.db2.gz ONEUIKPEBMZZNG-HNNXBMFYSA-N 0 0 431.497 -0.690 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)C2=O)n(C)n1 ZINC000466253263 1073208998 /nfs/dbraw/zinc/20/89/98/1073208998.db2.gz ONEUIKPEBMZZNG-OAHLLOKOSA-N 0 0 431.497 -0.690 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)n(C)n1 ZINC000466258557 1073208831 /nfs/dbraw/zinc/20/88/31/1073208831.db2.gz SVUDFUKWRNHPKD-INIZCTEOSA-N 0 0 433.513 -0.980 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)n(C)n1 ZINC000466258558 1073208968 /nfs/dbraw/zinc/20/89/68/1073208968.db2.gz SVUDFUKWRNHPKD-MRXNPFEDSA-N 0 0 433.513 -0.980 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000466262959 1073209241 /nfs/dbraw/zinc/20/92/41/1073209241.db2.gz YAADZIFMUSNWOF-DLBZAZTESA-N 0 0 449.556 -0.298 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000466262960 1073209215 /nfs/dbraw/zinc/20/92/15/1073209215.db2.gz YAADZIFMUSNWOF-IAGOWNOFSA-N 0 0 449.556 -0.298 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000466262962 1073209208 /nfs/dbraw/zinc/20/92/08/1073209208.db2.gz YAADZIFMUSNWOF-IRXDYDNUSA-N 0 0 449.556 -0.298 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000466262964 1073209227 /nfs/dbraw/zinc/20/92/27/1073209227.db2.gz YAADZIFMUSNWOF-SJORKVTESA-N 0 0 449.556 -0.298 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC000466263010 1073209260 /nfs/dbraw/zinc/20/92/60/1073209260.db2.gz YPSHZAWBMBQCRN-HNNXBMFYSA-N 0 0 443.512 -0.433 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC000466263014 1073209385 /nfs/dbraw/zinc/20/93/85/1073209385.db2.gz YPSHZAWBMBQCRN-OAHLLOKOSA-N 0 0 443.512 -0.433 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)C2=O)n(C)n1 ZINC000466264663 1073209352 /nfs/dbraw/zinc/20/93/52/1073209352.db2.gz UZUOQEYPMSCKTE-INIZCTEOSA-N 0 0 447.521 -0.055 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)C2=O)n(C)n1 ZINC000466264669 1073209221 /nfs/dbraw/zinc/20/92/21/1073209221.db2.gz UZUOQEYPMSCKTE-MRXNPFEDSA-N 0 0 447.521 -0.055 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN(CC(=O)Nc4cc(C)on4)CC3)C2=O)n(C)n1 ZINC000466269200 1073209360 /nfs/dbraw/zinc/20/93/60/1073209360.db2.gz IMKAOPZCWNSUPZ-HNNXBMFYSA-N 0 0 444.496 0.096 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN(CC(=O)Nc4cc(C)on4)CC3)C2=O)n(C)n1 ZINC000466269201 1073209248 /nfs/dbraw/zinc/20/92/48/1073209248.db2.gz IMKAOPZCWNSUPZ-OAHLLOKOSA-N 0 0 444.496 0.096 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000466275546 1073209796 /nfs/dbraw/zinc/20/97/96/1073209796.db2.gz QMBFXDFXDXQLDO-CYBMUJFWSA-N 0 0 426.437 -0.399 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000466275550 1073209769 /nfs/dbraw/zinc/20/97/69/1073209769.db2.gz QMBFXDFXDXQLDO-ZDUSSCGKSA-N 0 0 426.437 -0.399 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NC[C@](C)(NC(=O)CN(C)S(=O)(=O)CC)C(C)C ZINC000466279760 1073209815 /nfs/dbraw/zinc/20/98/15/1073209815.db2.gz JCZNOEWATHYGJV-INIZCTEOSA-N 0 0 442.604 -0.804 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NC[C@@](C)(NC(=O)CN(C)S(=O)(=O)CC)C(C)C ZINC000466279761 1073209766 /nfs/dbraw/zinc/20/97/66/1073209766.db2.gz JCZNOEWATHYGJV-MRXNPFEDSA-N 0 0 442.604 -0.804 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000466280000 1073209802 /nfs/dbraw/zinc/20/98/02/1073209802.db2.gz VNOGCNRQZXKIND-DLBZAZTESA-N 0 0 447.540 -0.592 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000466280006 1073209633 /nfs/dbraw/zinc/20/96/33/1073209633.db2.gz VNOGCNRQZXKIND-IAGOWNOFSA-N 0 0 447.540 -0.592 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000466280007 1073209779 /nfs/dbraw/zinc/20/97/79/1073209779.db2.gz VNOGCNRQZXKIND-IRXDYDNUSA-N 0 0 447.540 -0.592 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000466280009 1073209783 /nfs/dbraw/zinc/20/97/83/1073209783.db2.gz VNOGCNRQZXKIND-SJORKVTESA-N 0 0 447.540 -0.592 20 0 IBADRN O=C(NCCCNS(=O)(=O)c1ccccc1Cl)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000466316804 1073211381 /nfs/dbraw/zinc/21/13/81/1073211381.db2.gz HHJIPMCHQOWGNO-GFCCVEGCSA-N 0 0 429.886 -0.046 20 0 IBADRN O=C(NCCCNS(=O)(=O)c1ccccc1Cl)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000466316805 1073211359 /nfs/dbraw/zinc/21/13/59/1073211359.db2.gz HHJIPMCHQOWGNO-LBPRGKRZSA-N 0 0 429.886 -0.046 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000466331424 1073211874 /nfs/dbraw/zinc/21/18/74/1073211874.db2.gz RFCLADSTJFFJEI-UHFFFAOYSA-N 0 0 448.567 -0.483 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000466333460 1073211855 /nfs/dbraw/zinc/21/18/55/1073211855.db2.gz AKEIEMWKDXNXPZ-UHFFFAOYSA-N 0 0 426.539 -0.597 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000466333466 1073211752 /nfs/dbraw/zinc/21/17/52/1073211752.db2.gz ANVURMYWCVTUGS-UHFFFAOYSA-N 0 0 430.502 -0.136 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000466340264 1073212163 /nfs/dbraw/zinc/21/21/63/1073212163.db2.gz RAFUMMTWEPGLHK-UHFFFAOYSA-N 0 0 428.511 -0.148 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000466343315 1073212264 /nfs/dbraw/zinc/21/22/64/1073212264.db2.gz JKMSKWCLYADPTC-UHFFFAOYSA-N 0 0 427.527 -0.808 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(c2ccc(C(=O)OCC)cn2)CC1 ZINC000466346775 1073212628 /nfs/dbraw/zinc/21/26/28/1073212628.db2.gz NGMJYNRJUJOMBD-UHFFFAOYSA-N 0 0 428.511 -0.137 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000466351348 1073212699 /nfs/dbraw/zinc/21/26/99/1073212699.db2.gz BYMFJLBQRTYJEU-UHFFFAOYSA-N 0 0 442.538 -0.023 20 0 IBADRN CCOC(=O)COc1ccc(CCNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000466365338 1073212988 /nfs/dbraw/zinc/21/29/88/1073212988.db2.gz VYUXCRQFWHPYOM-UHFFFAOYSA-N 0 0 445.480 -0.289 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc2NC1=O ZINC000466366996 1073213004 /nfs/dbraw/zinc/21/30/04/1073213004.db2.gz OEBQPFRUWIEWMW-LLVKDONJSA-N 0 0 429.437 -0.100 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc2NC1=O ZINC000466366997 1073213010 /nfs/dbraw/zinc/21/30/10/1073213010.db2.gz OEBQPFRUWIEWMW-NSHDSACASA-N 0 0 429.437 -0.100 20 0 IBADRN Cc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1-n1cnnn1 ZINC000466371148 1073213061 /nfs/dbraw/zinc/21/30/61/1073213061.db2.gz WJBMUTYYKUPMJP-UHFFFAOYSA-N 0 0 426.441 -0.535 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466372672 1073213080 /nfs/dbraw/zinc/21/30/80/1073213080.db2.gz IECLIGNFZXKVDW-UHFFFAOYSA-N 0 0 445.480 -0.304 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466376581 1073212983 /nfs/dbraw/zinc/21/29/83/1073212983.db2.gz KNNXAUONWBMWRR-UHFFFAOYSA-N 0 0 426.441 -0.535 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466377760 1073213031 /nfs/dbraw/zinc/21/30/31/1073213031.db2.gz HFSFFFFNTDQNEH-UHFFFAOYSA-N 0 0 445.480 -0.352 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466383210 1073213400 /nfs/dbraw/zinc/21/34/00/1073213400.db2.gz KWDBAUMOPNDCTC-UHFFFAOYSA-N 0 0 445.480 -0.304 20 0 IBADRN COC(=O)COc1cccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466387551 1073213387 /nfs/dbraw/zinc/21/33/87/1073213387.db2.gz FLBNHEZSXLDYNV-UHFFFAOYSA-N 0 0 432.437 -0.268 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCSC3)CC2)cc1 ZINC000466392465 1073213830 /nfs/dbraw/zinc/21/38/30/1073213830.db2.gz QLOSEHXEFNSPJR-GFCCVEGCSA-N 0 0 434.565 -0.145 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCSC3)CC2)cc1 ZINC000466392466 1073213862 /nfs/dbraw/zinc/21/38/62/1073213862.db2.gz QLOSEHXEFNSPJR-LBPRGKRZSA-N 0 0 434.565 -0.145 20 0 IBADRN Cc1ccc(-n2cnnn2)cc1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466398143 1073214156 /nfs/dbraw/zinc/21/41/56/1073214156.db2.gz YAQPHALNUHIYEW-UHFFFAOYSA-N 0 0 426.441 -0.535 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@H]1CC(=O)N(c3cccc(Cl)c3)C1=O)C2 ZINC000466403603 1073214364 /nfs/dbraw/zinc/21/43/64/1073214364.db2.gz IBBPGULRSFHKAP-AWEZNQCLSA-N 0 0 432.868 -0.066 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@@H]1CC(=O)N(c3cccc(Cl)c3)C1=O)C2 ZINC000466403604 1073214347 /nfs/dbraw/zinc/21/43/47/1073214347.db2.gz IBBPGULRSFHKAP-CQSZACIVSA-N 0 0 432.868 -0.066 20 0 IBADRN COc1ccccc1N1C(=O)C[C@H](N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1=O ZINC000466403760 1073214728 /nfs/dbraw/zinc/21/47/28/1073214728.db2.gz IYBAEMVWLQYYQH-AWEZNQCLSA-N 0 0 428.449 -0.711 20 0 IBADRN COc1ccccc1N1C(=O)C[C@@H](N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1=O ZINC000466403761 1073214759 /nfs/dbraw/zinc/21/47/59/1073214759.db2.gz IYBAEMVWLQYYQH-CQSZACIVSA-N 0 0 428.449 -0.711 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@H]1CC(=O)N(CCc3ccc(F)cc3)C1=O)C2 ZINC000466404007 1073214746 /nfs/dbraw/zinc/21/47/46/1073214746.db2.gz KZGSNGDAHVQHEV-INIZCTEOSA-N 0 0 444.467 -0.542 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@@H]1CC(=O)N(CCc3ccc(F)cc3)C1=O)C2 ZINC000466404008 1073214629 /nfs/dbraw/zinc/21/46/29/1073214629.db2.gz KZGSNGDAHVQHEV-MRXNPFEDSA-N 0 0 444.467 -0.542 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@H]1CC(=O)N(CCc3ccccc3F)C1=O)C2 ZINC000466404578 1073214691 /nfs/dbraw/zinc/21/46/91/1073214691.db2.gz OAYFLNAKZABBSA-INIZCTEOSA-N 0 0 444.467 -0.542 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@@H]1CC(=O)N(CCc3ccccc3F)C1=O)C2 ZINC000466404579 1073214659 /nfs/dbraw/zinc/21/46/59/1073214659.db2.gz OAYFLNAKZABBSA-MRXNPFEDSA-N 0 0 444.467 -0.542 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc(N3CCOCC3)nc1)C2 ZINC000466404657 1073214637 /nfs/dbraw/zinc/21/46/37/1073214637.db2.gz LCAKJUNUZMEIEP-UHFFFAOYSA-N 0 0 430.469 -0.588 20 0 IBADRN CCOc1ccc(N2C(=O)C[C@H](N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2=O)cc1 ZINC000466406058 1073214736 /nfs/dbraw/zinc/21/47/36/1073214736.db2.gz JPIQELJKMIJNEL-INIZCTEOSA-N 0 0 442.476 -0.321 20 0 IBADRN CCOc1ccc(N2C(=O)C[C@@H](N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2=O)cc1 ZINC000466406059 1073214753 /nfs/dbraw/zinc/21/47/53/1073214753.db2.gz JPIQELJKMIJNEL-MRXNPFEDSA-N 0 0 442.476 -0.321 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCOc1ccc3c(c1)OCO3)C2 ZINC000466412575 1073214650 /nfs/dbraw/zinc/21/46/50/1073214650.db2.gz SLVUBBUUIZVTEY-UHFFFAOYSA-N 0 0 432.437 -0.534 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ccc(C(=O)Nc3nncs3)cn1)C2 ZINC000466430136 1073215682 /nfs/dbraw/zinc/21/56/82/1073215682.db2.gz CXMDJXBQHMAVRG-UHFFFAOYSA-N 0 0 429.466 -0.348 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ccc(S(N)(=O)=O)c(C(F)(F)F)c1)C2 ZINC000466430177 1073215640 /nfs/dbraw/zinc/21/56/40/1073215640.db2.gz GTMQWKPHTCSEJM-UHFFFAOYSA-N 0 0 448.427 -0.181 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ccc(S(=O)(=O)NCC3CC3)cn1)C2 ZINC000466430255 1073215591 /nfs/dbraw/zinc/21/55/91/1073215591.db2.gz HQPHSOUJRKGCCK-UHFFFAOYSA-N 0 0 435.510 -0.764 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ccc(S(=O)(=O)N3CCCC3)cn1)C2 ZINC000466430853 1073215103 /nfs/dbraw/zinc/21/51/03/1073215103.db2.gz LNSDWPPVHCSKJB-UHFFFAOYSA-N 0 0 435.510 -0.667 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ccc(S(=O)(=O)N3CCCCC3)cn1)C2 ZINC000466431136 1073215674 /nfs/dbraw/zinc/21/56/74/1073215674.db2.gz LYPBYYWHCZABAS-UHFFFAOYSA-N 0 0 449.537 -0.277 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nc3ccccc3nc1N1CCOCC1)C2 ZINC000466431491 1073215620 /nfs/dbraw/zinc/21/56/20/1073215620.db2.gz OVUORILFUPAQQR-UHFFFAOYSA-N 0 0 438.492 -0.067 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ncc(C(=O)N3CCOCC3)cc1Cl)C2 ZINC000466432128 1073215664 /nfs/dbraw/zinc/21/56/64/1073215664.db2.gz UVPRXDYHWBAKHM-UHFFFAOYSA-N 0 0 449.899 -0.326 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ccc(S(N)(=O)=O)cc1C(F)(F)F)C2 ZINC000466432168 1073215565 /nfs/dbraw/zinc/21/55/65/1073215565.db2.gz UUDPEERHOWFJHG-UHFFFAOYSA-N 0 0 448.427 -0.181 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nc3c(cnn3-c3ccccc3)c(=O)[nH]1)C2 ZINC000466432395 1073215632 /nfs/dbraw/zinc/21/56/32/1073215632.db2.gz WNATZBKMFLOCKX-UHFFFAOYSA-N 0 0 435.448 -0.012 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ncccc1S(=O)(=O)N1CCCCC1)C2 ZINC000466432493 1073215550 /nfs/dbraw/zinc/21/55/50/1073215550.db2.gz XPTZXDQMNUKUQF-UHFFFAOYSA-N 0 0 449.537 -0.277 20 0 IBADRN COc1nc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nc(N2CCCCCC2)n1 ZINC000466434417 1073216051 /nfs/dbraw/zinc/21/60/51/1073216051.db2.gz QGDMXGPRIRXKAG-UHFFFAOYSA-N 0 0 431.501 -0.273 20 0 IBADRN COc1cc2nc(N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)nc(N)c2cc1OC ZINC000466447286 1073360457 /nfs/dbraw/zinc/36/04/57/1073360457.db2.gz YJZNURXKIHSZQA-UHFFFAOYSA-N 0 0 428.453 -0.304 20 0 IBADRN NC(=O)c1cc(CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)on1 ZINC000466459057 1073217215 /nfs/dbraw/zinc/21/72/15/1073217215.db2.gz SZOBBQNECVNFED-UHFFFAOYSA-N 0 0 449.489 -0.297 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)Cc3nnnn3C)CC2)cc1 ZINC000466463903 1073218121 /nfs/dbraw/zinc/21/81/21/1073218121.db2.gz KRBNUJVOTGHOGO-UHFFFAOYSA-N 0 0 437.526 -0.426 20 0 IBADRN COc1ccc(NC(=O)CN(C)Cc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000466465286 1073219059 /nfs/dbraw/zinc/21/90/59/1073219059.db2.gz PJXIHDDJAHEHTE-UHFFFAOYSA-N 0 0 439.498 -0.690 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)ccc2Br)CC1 ZINC000466466102 1073219014 /nfs/dbraw/zinc/21/90/14/1073219014.db2.gz SOQJEYDGEZJHPK-UHFFFAOYSA-N 0 0 437.291 -0.041 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O)C(=O)N1CCOCC1 ZINC000466476339 1073219932 /nfs/dbraw/zinc/21/99/32/1073219932.db2.gz ZDBSFUVJEYNHNR-CYBMUJFWSA-N 0 0 426.495 -0.226 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O)C(=O)N1CCOCC1 ZINC000466476340 1073219801 /nfs/dbraw/zinc/21/98/01/1073219801.db2.gz ZDBSFUVJEYNHNR-ZDUSSCGKSA-N 0 0 426.495 -0.226 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1)Cc1nnnn1C ZINC000466477206 1073219898 /nfs/dbraw/zinc/21/98/98/1073219898.db2.gz IFTWZRHDGJSFSC-UHFFFAOYSA-N 0 0 433.538 -0.336 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O)S(C)(=O)=O ZINC000466478286 1073219371 /nfs/dbraw/zinc/21/93/71/1073219371.db2.gz YTVMCXBZSZWMTI-CHWSQXEVSA-N 0 0 446.551 -0.145 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O)S(C)(=O)=O ZINC000466478287 1073219455 /nfs/dbraw/zinc/21/94/55/1073219455.db2.gz YTVMCXBZSZWMTI-OLZOCXBDSA-N 0 0 446.551 -0.145 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O)S(C)(=O)=O ZINC000466478288 1073219514 /nfs/dbraw/zinc/21/95/14/1073219514.db2.gz YTVMCXBZSZWMTI-QWHCGFSZSA-N 0 0 446.551 -0.145 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O)S(C)(=O)=O ZINC000466478289 1073219544 /nfs/dbraw/zinc/21/95/44/1073219544.db2.gz YTVMCXBZSZWMTI-STQMWFEESA-N 0 0 446.551 -0.145 20 0 IBADRN CN(CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)Cc1nnnn1C ZINC000466480011 1073220291 /nfs/dbraw/zinc/22/02/91/1073220291.db2.gz BWWPRWPMDMXIRO-UHFFFAOYSA-N 0 0 443.917 -0.045 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1)S(C)(=O)=O ZINC000466480138 1073220510 /nfs/dbraw/zinc/22/05/10/1073220510.db2.gz BCTFMBIXAJXNCM-UHFFFAOYSA-N 0 0 434.478 -0.370 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000466480329 1073220357 /nfs/dbraw/zinc/22/03/57/1073220357.db2.gz DXHRPWDXKSNNNP-GFCCVEGCSA-N 0 0 432.524 -0.439 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000466480330 1073220546 /nfs/dbraw/zinc/22/05/46/1073220546.db2.gz DXHRPWDXKSNNNP-LBPRGKRZSA-N 0 0 432.524 -0.439 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)Cc1nnnn1C ZINC000466481568 1073220534 /nfs/dbraw/zinc/22/05/34/1073220534.db2.gz LLHYOHMULQINDH-UHFFFAOYSA-N 0 0 429.453 -0.547 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)Cc1nnnn1C ZINC000466481992 1073220502 /nfs/dbraw/zinc/22/05/02/1073220502.db2.gz PHPNXBPCNDMAGV-UHFFFAOYSA-N 0 0 427.918 -0.172 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)Cc3nnnn3C)CC2)c1 ZINC000466482251 1073220461 /nfs/dbraw/zinc/22/04/61/1073220461.db2.gz QRAUMJLODCPTOF-UHFFFAOYSA-N 0 0 435.510 -0.622 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N(C)Cc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000466482786 1073219820 /nfs/dbraw/zinc/21/98/20/1073219820.db2.gz SKAHRSBYDLZHQB-AWEZNQCLSA-N 0 0 437.526 -0.002 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N(C)Cc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000466482787 1073219907 /nfs/dbraw/zinc/21/99/07/1073219907.db2.gz SKAHRSBYDLZHQB-CQSZACIVSA-N 0 0 437.526 -0.002 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1)Cc1nnnn1C ZINC000466487981 1073221337 /nfs/dbraw/zinc/22/13/37/1073221337.db2.gz GLGVEJNKCCMFNK-UHFFFAOYSA-N 0 0 433.947 -0.110 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000466490965 1073220975 /nfs/dbraw/zinc/22/09/75/1073220975.db2.gz QEDDSYMLIWWSRQ-UHFFFAOYSA-N 0 0 427.479 -0.063 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1)Cc1nnnn1C ZINC000466494198 1073222305 /nfs/dbraw/zinc/22/23/05/1073222305.db2.gz BLTWGSKVEZZRGT-UHFFFAOYSA-N 0 0 445.908 -0.033 20 0 IBADRN CCN(C(=O)CN(C)Cc1nnnn1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000466494483 1073222340 /nfs/dbraw/zinc/22/23/40/1073222340.db2.gz DEGQYMDPELNMCE-UHFFFAOYSA-N 0 0 427.469 -0.412 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)Cc3nnnn3C)CC2)cc1 ZINC000466495953 1073221762 /nfs/dbraw/zinc/22/17/62/1073221762.db2.gz PRFFUOLDWOWARE-UHFFFAOYSA-N 0 0 435.510 -0.622 20 0 IBADRN CCCN(C(=O)CN(C)Cc1nnnn1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000466497419 1073222360 /nfs/dbraw/zinc/22/23/60/1073222360.db2.gz ZPHLCXDIJUVXRA-UHFFFAOYSA-N 0 0 441.496 -0.022 20 0 IBADRN CCCCOCCOCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000466523220 1073223200 /nfs/dbraw/zinc/22/32/00/1073223200.db2.gz VARLZMNOKUIOFK-UHFFFAOYSA-N 0 0 435.591 -0.361 20 0 IBADRN COC(=O)COc1ccc([C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000466523225 1073223165 /nfs/dbraw/zinc/22/31/65/1073223165.db2.gz VDAXWXRBHBMJFO-CYBMUJFWSA-N 0 0 435.524 -0.289 20 0 IBADRN COC(=O)COc1ccc([C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000466523226 1073223299 /nfs/dbraw/zinc/22/32/99/1073223299.db2.gz VDAXWXRBHBMJFO-ZDUSSCGKSA-N 0 0 435.524 -0.289 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)c(=O)n(C)c1=O ZINC000466538929 1073224034 /nfs/dbraw/zinc/22/40/34/1073224034.db2.gz FITIJPSMWLSZHQ-UHFFFAOYSA-N 0 0 436.432 -0.626 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466543433 1073346911 /nfs/dbraw/zinc/34/69/11/1073346911.db2.gz FPFLTOHUYPSEGN-UHFFFAOYSA-N 0 0 443.443 0.209 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466545462 1073248071 /nfs/dbraw/zinc/24/80/71/1073248071.db2.gz OAHPZLCOITVIML-UHFFFAOYSA-N 0 0 445.480 -0.304 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000466552637 1073249335 /nfs/dbraw/zinc/24/93/35/1073249335.db2.gz AMVNFDSCUATEQK-HNNXBMFYSA-N 0 0 425.511 -0.080 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000466552643 1073249426 /nfs/dbraw/zinc/24/94/26/1073249426.db2.gz AMVNFDSCUATEQK-OAHLLOKOSA-N 0 0 425.511 -0.080 20 0 IBADRN CCOc1cc(NC2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)ncn1 ZINC000466555695 1073249828 /nfs/dbraw/zinc/24/98/28/1073249828.db2.gz LWWPKMHDHNPQRG-UHFFFAOYSA-N 0 0 448.571 -0.426 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1nnc(CC(F)(F)F)s1)C2 ZINC000466556008 1073249761 /nfs/dbraw/zinc/24/97/61/1073249761.db2.gz NKZIBARTKJNGSG-UHFFFAOYSA-N 0 0 434.404 0.137 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466560981 1073250322 /nfs/dbraw/zinc/25/03/22/1073250322.db2.gz BXYWCGILWIQPHW-UHFFFAOYSA-N 0 0 444.496 -0.279 20 0 IBADRN Cc1cc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1S(C)(=O)=O ZINC000466563328 1073250697 /nfs/dbraw/zinc/25/06/97/1073250697.db2.gz OLCSRYWMJILJKR-UHFFFAOYSA-N 0 0 436.494 -0.107 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000466563707 1073250750 /nfs/dbraw/zinc/25/07/50/1073250750.db2.gz PIKVBQNVCHHZMQ-GFCCVEGCSA-N 0 0 431.540 -0.018 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000466563708 1073250705 /nfs/dbraw/zinc/25/07/05/1073250705.db2.gz PIKVBQNVCHHZMQ-LBPRGKRZSA-N 0 0 431.540 -0.018 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCN2CCc3ccccc3C2=O)n1)N1CCOCC1 ZINC000466566721 1073322708 /nfs/dbraw/zinc/32/27/08/1073322708.db2.gz XYHRFWPPLHEOBJ-UHFFFAOYSA-N 0 0 426.477 0.562 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466566971 1073251113 /nfs/dbraw/zinc/25/11/13/1073251113.db2.gz OLVWKCLZPLOQHB-UHFFFAOYSA-N 0 0 440.468 -0.146 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCS(=O)(=O)c1ccccc1)C2 ZINC000466566981 1073251122 /nfs/dbraw/zinc/25/11/22/1073251122.db2.gz MATQAQYAXWLGTF-UHFFFAOYSA-N 0 0 436.494 -0.868 20 0 IBADRN NC(=O)[C@@H]1CCCCC[C@@H]1NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000466570932 1073252044 /nfs/dbraw/zinc/25/20/44/1073252044.db2.gz YVGISWWNQOOCOR-CVEARBPZSA-N 0 0 431.559 -0.239 20 0 IBADRN NC(=O)[C@H]1CCCCC[C@@H]1NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000466570933 1073252046 /nfs/dbraw/zinc/25/20/46/1073252046.db2.gz YVGISWWNQOOCOR-HOTGVXAUSA-N 0 0 431.559 -0.239 20 0 IBADRN NC(=O)[C@@H]1CCCCC[C@H]1NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000466570934 1073252019 /nfs/dbraw/zinc/25/20/19/1073252019.db2.gz YVGISWWNQOOCOR-HZPDHXFCSA-N 0 0 431.559 -0.239 20 0 IBADRN NC(=O)[C@H]1CCCCC[C@H]1NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000466570935 1073251999 /nfs/dbraw/zinc/25/19/99/1073251999.db2.gz YVGISWWNQOOCOR-JKSUJKDBSA-N 0 0 431.559 -0.239 20 0 IBADRN Cn1cccc1CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000466571989 1073252449 /nfs/dbraw/zinc/25/24/49/1073252449.db2.gz HBTQSEJTNDIREE-UHFFFAOYSA-N 0 0 441.535 -0.111 20 0 IBADRN COc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1-n1cnnn1 ZINC000466572390 1073252617 /nfs/dbraw/zinc/25/26/17/1073252617.db2.gz JHUJYCZBKNQAIP-UHFFFAOYSA-N 0 0 442.440 -0.835 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCCN(Cc2ccc(OC)cc2)C(=O)C1 ZINC000466573845 1073252049 /nfs/dbraw/zinc/25/20/49/1073252049.db2.gz OEFBIOYQDNZXRM-UHFFFAOYSA-N 0 0 433.552 -0.001 20 0 IBADRN CNC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000466573976 1073251974 /nfs/dbraw/zinc/25/19/74/1073251974.db2.gz FRVNKWLQMDVJKP-BMLIUANNSA-N 0 0 425.467 -0.558 20 0 IBADRN CNC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000466574017 1073252007 /nfs/dbraw/zinc/25/20/07/1073252007.db2.gz FRVNKWLQMDVJKP-BTDLBPIBSA-N 0 0 425.467 -0.558 20 0 IBADRN CNC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000466574018 1073252030 /nfs/dbraw/zinc/25/20/30/1073252030.db2.gz FRVNKWLQMDVJKP-DYZYQPBXSA-N 0 0 425.467 -0.558 20 0 IBADRN CNC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000466574019 1073252076 /nfs/dbraw/zinc/25/20/76/1073252076.db2.gz FRVNKWLQMDVJKP-QGHHPUGFSA-N 0 0 425.467 -0.558 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1F ZINC000466574784 1073252583 /nfs/dbraw/zinc/25/25/83/1073252583.db2.gz HRFGAMQTOUYBQQ-UHFFFAOYSA-N 0 0 444.431 -0.396 20 0 IBADRN COc1ccc(CN2CCCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000466577041 1073253470 /nfs/dbraw/zinc/25/34/70/1073253470.db2.gz JBMFEBQHPCWSJX-UHFFFAOYSA-N 0 0 436.490 -0.484 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc3c(c1)C(=O)N(C)CC3)C2 ZINC000466580054 1073254002 /nfs/dbraw/zinc/25/40/02/1073254002.db2.gz WPRNVVIJPAHHDZ-UHFFFAOYSA-N 0 0 427.465 -0.191 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCN3C(=O)NC[C@H]3C2)CC1 ZINC000466581762 1073254853 /nfs/dbraw/zinc/25/48/53/1073254853.db2.gz PYLIUMIJYRERSL-INIZCTEOSA-N 0 0 436.538 -0.458 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCN3C(=O)NC[C@@H]3C2)CC1 ZINC000466581763 1073254797 /nfs/dbraw/zinc/25/47/97/1073254797.db2.gz PYLIUMIJYRERSL-MRXNPFEDSA-N 0 0 436.538 -0.458 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCN2C(=O)NC[C@H]2C1 ZINC000466582215 1073254771 /nfs/dbraw/zinc/25/47/71/1073254771.db2.gz VYHINXWLMILQRQ-CVEARBPZSA-N 0 0 430.513 -0.299 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCN2C(=O)NC[C@H]2C1 ZINC000466582216 1073254812 /nfs/dbraw/zinc/25/48/12/1073254812.db2.gz VYHINXWLMILQRQ-HOTGVXAUSA-N 0 0 430.513 -0.299 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000466582217 1073254824 /nfs/dbraw/zinc/25/48/24/1073254824.db2.gz VYHINXWLMILQRQ-HZPDHXFCSA-N 0 0 430.513 -0.299 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000466582218 1073254866 /nfs/dbraw/zinc/25/48/66/1073254866.db2.gz VYHINXWLMILQRQ-JKSUJKDBSA-N 0 0 430.513 -0.299 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000466582231 1073254803 /nfs/dbraw/zinc/25/48/03/1073254803.db2.gz WERBJYKGDAZOHM-UHFFFAOYSA-N 0 0 448.484 -0.325 20 0 IBADRN CNC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000466583010 1073254745 /nfs/dbraw/zinc/25/47/45/1073254745.db2.gz BCXMORIOWAMQEY-CYBMUJFWSA-N 0 0 432.524 -0.601 20 0 IBADRN CNC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000466583011 1073254897 /nfs/dbraw/zinc/25/48/97/1073254897.db2.gz BCXMORIOWAMQEY-ZDUSSCGKSA-N 0 0 432.524 -0.601 20 0 IBADRN Cc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1S(C)(=O)=O ZINC000466586857 1073255384 /nfs/dbraw/zinc/25/53/84/1073255384.db2.gz FCAWNELFQQJEQV-UHFFFAOYSA-N 0 0 436.494 -0.107 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C2)CC1 ZINC000466586945 1073255421 /nfs/dbraw/zinc/25/54/21/1073255421.db2.gz PLHOPENMNRYINT-FGTMMUONSA-N 0 0 438.492 -0.316 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C2)CC1 ZINC000466586951 1073255285 /nfs/dbraw/zinc/25/52/85/1073255285.db2.gz PLHOPENMNRYINT-KURKYZTESA-N 0 0 438.492 -0.316 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C2)CC1 ZINC000466586952 1073255416 /nfs/dbraw/zinc/25/54/16/1073255416.db2.gz PLHOPENMNRYINT-KZNAEPCWSA-N 0 0 438.492 -0.316 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3c3ccccc3)C2)CC1 ZINC000466586953 1073255334 /nfs/dbraw/zinc/25/53/34/1073255334.db2.gz PLHOPENMNRYINT-SQNIBIBYSA-N 0 0 438.492 -0.316 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466589559 1073256234 /nfs/dbraw/zinc/25/62/34/1073256234.db2.gz RMTUQDFWSJZYCX-UHFFFAOYSA-N 0 0 432.437 -0.024 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000466590226 1073256343 /nfs/dbraw/zinc/25/63/43/1073256343.db2.gz UMQVJKMHJSIXBR-HNNXBMFYSA-N 0 0 439.542 -0.754 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000466590227 1073256222 /nfs/dbraw/zinc/25/62/22/1073256222.db2.gz UMQVJKMHJSIXBR-OAHLLOKOSA-N 0 0 439.542 -0.754 20 0 IBADRN COc1ccc(CN2CCCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2=O)cc1 ZINC000466591679 1073256215 /nfs/dbraw/zinc/25/62/15/1073256215.db2.gz WBVYVACAXSMZSB-UHFFFAOYSA-N 0 0 431.536 -0.295 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)CC2 ZINC000466592570 1073256316 /nfs/dbraw/zinc/25/63/16/1073256316.db2.gz GLFPOXCJEWINPJ-KBPBESRZSA-N 0 0 430.531 -0.473 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)CC2 ZINC000466592571 1073256337 /nfs/dbraw/zinc/25/63/37/1073256337.db2.gz GLFPOXCJEWINPJ-KGLIPLIRSA-N 0 0 430.531 -0.473 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)CC2 ZINC000466592572 1073256715 /nfs/dbraw/zinc/25/67/15/1073256715.db2.gz GLFPOXCJEWINPJ-UONOGXRCSA-N 0 0 430.531 -0.473 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)CC2 ZINC000466592573 1073256690 /nfs/dbraw/zinc/25/66/90/1073256690.db2.gz GLFPOXCJEWINPJ-ZIAGYGMSSA-N 0 0 430.531 -0.473 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc3c(c1)S(=O)(=O)CC3)C2 ZINC000466592857 1073256327 /nfs/dbraw/zinc/25/63/27/1073256327.db2.gz JZHPBPVKIIIRAT-UHFFFAOYSA-N 0 0 434.478 -0.490 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)ncn1 ZINC000466594490 1073256255 /nfs/dbraw/zinc/25/62/55/1073256255.db2.gz BSBZAYWTLAJIEV-UHFFFAOYSA-N 0 0 442.480 -0.275 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)ncn1 ZINC000466601205 1073256682 /nfs/dbraw/zinc/25/66/82/1073256682.db2.gz ZDNXYDOBCGGFGO-UHFFFAOYSA-N 0 0 442.480 -0.275 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccc(-c3ncn(C)n3)c1)C2 ZINC000466605228 1073257187 /nfs/dbraw/zinc/25/71/87/1073257187.db2.gz YUCLRLGOZQMLNO-UHFFFAOYSA-N 0 0 425.453 -0.024 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NCCCS(N)(=O)=O ZINC000466615021 1073258258 /nfs/dbraw/zinc/25/82/58/1073258258.db2.gz ALFAKGMEMHIEPJ-UHFFFAOYSA-N 0 0 443.551 -0.136 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc(-n3ncn(C)c3=O)cc1)C2 ZINC000466617491 1073258695 /nfs/dbraw/zinc/25/86/95/1073258695.db2.gz JCSABSWQGYWHNA-UHFFFAOYSA-N 0 0 441.452 -0.935 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCC(=O)OC(C)(C)C)CC2)CC1 ZINC000466619821 1073258635 /nfs/dbraw/zinc/25/86/35/1073258635.db2.gz RIXHQHXIOKLCPO-UHFFFAOYSA-N 0 0 433.575 -0.072 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc(-c3ncn(C)n3)cc1)C2 ZINC000466621837 1073258643 /nfs/dbraw/zinc/25/86/43/1073258643.db2.gz VBTUOFDMKAENHU-UHFFFAOYSA-N 0 0 425.453 -0.024 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H](CC(N)=O)C2CCOCC2)c1 ZINC000466628345 1073258991 /nfs/dbraw/zinc/25/89/91/1073258991.db2.gz ODLXXHGXCXPMTR-INIZCTEOSA-N 0 0 442.538 -0.334 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H](CC(N)=O)C2CCOCC2)c1 ZINC000466628356 1073259179 /nfs/dbraw/zinc/25/91/79/1073259179.db2.gz ODLXXHGXCXPMTR-MRXNPFEDSA-N 0 0 442.538 -0.334 20 0 IBADRN CN(c1ccccc1NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)S(C)(=O)=O ZINC000466629758 1073259539 /nfs/dbraw/zinc/25/95/39/1073259539.db2.gz WVRISNQIUGYCGM-UHFFFAOYSA-N 0 0 434.478 -0.142 20 0 IBADRN Cc1cc(NC(=O)CN(C)S(C)(=O)=O)ccc1N(C)C(=O)CN(C)S(C)(=O)=O ZINC000466634684 1073301180 /nfs/dbraw/zinc/30/11/80/1073301180.db2.gz RXILNLHOFRLCSD-UHFFFAOYSA-N 0 0 434.540 -0.321 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C1CCOCC1 ZINC000466645177 1073311657 /nfs/dbraw/zinc/31/16/57/1073311657.db2.gz PEYSLJXOFQISDN-INIZCTEOSA-N 0 0 438.506 -0.793 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C1CCOCC1 ZINC000466645178 1073311920 /nfs/dbraw/zinc/31/19/20/1073311920.db2.gz PEYSLJXOFQISDN-MRXNPFEDSA-N 0 0 438.506 -0.793 20 0 IBADRN CN(Cc1nnnn1C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000466657259 1073319556 /nfs/dbraw/zinc/31/95/56/1073319556.db2.gz LUPBJHQYRVOWCE-INIZCTEOSA-N 0 0 427.465 -0.025 20 0 IBADRN CN(Cc1nnnn1C)C(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000466657268 1073319330 /nfs/dbraw/zinc/31/93/30/1073319330.db2.gz LUPBJHQYRVOWCE-MRXNPFEDSA-N 0 0 427.465 -0.025 20 0 IBADRN CN(Cc1nnnn1C)C(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000466658185 1073320450 /nfs/dbraw/zinc/32/04/50/1073320450.db2.gz PAIPCKPUJLZDOP-UHFFFAOYSA-N 0 0 447.521 -0.068 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(C)Cc1nnnn1C ZINC000466658194 1073320514 /nfs/dbraw/zinc/32/05/14/1073320514.db2.gz PDIMMGRKKOSYQB-UHFFFAOYSA-N 0 0 435.510 -0.212 20 0 IBADRN Cc1n[nH]cc1-c1nnc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000466665735 1073348739 /nfs/dbraw/zinc/34/87/39/1073348739.db2.gz JKKYGVZQORNLEQ-UHFFFAOYSA-N 0 0 432.470 -0.269 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N(C)Cc1nnnn1C ZINC000466666849 1073326023 /nfs/dbraw/zinc/32/60/23/1073326023.db2.gz JZELTFPFEPYVMK-UHFFFAOYSA-N 0 0 439.498 -0.107 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N(C)Cc3nnnn3C)cc2)CC1 ZINC000466668968 1073326266 /nfs/dbraw/zinc/32/62/66/1073326266.db2.gz DUTLAPVSKDPBIL-UHFFFAOYSA-N 0 0 428.497 -0.486 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N(C)Cc2nnnn2C)c1 ZINC000466672145 1073327834 /nfs/dbraw/zinc/32/78/34/1073327834.db2.gz XCGKIEPVLSDZGN-UHFFFAOYSA-N 0 0 439.498 -0.154 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(c4cccs4)CC3)cnc2n(C)c1=O ZINC000466678784 1073331755 /nfs/dbraw/zinc/33/17/55/1073331755.db2.gz IUEMXTRBKJEBFE-UHFFFAOYSA-N 0 0 428.474 -0.019 20 0 IBADRN O=C(NC1CCN(c2nccs2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000466691956 1073337479 /nfs/dbraw/zinc/33/74/79/1073337479.db2.gz XOOGATCFEIQLTM-UHFFFAOYSA-N 0 0 436.538 -0.176 20 0 IBADRN COC(=O)c1cccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000466695583 1073338359 /nfs/dbraw/zinc/33/83/59/1073338359.db2.gz JUHPRBOYGPDZCX-UHFFFAOYSA-N 0 0 425.401 -0.326 20 0 IBADRN CN(Cc1nnnn1C)C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000466705759 1073339878 /nfs/dbraw/zinc/33/98/78/1073339878.db2.gz OLQGTQYUHQMNIW-UHFFFAOYSA-N 0 0 440.464 -0.379 20 0 IBADRN COC(=O)c1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(OC)c1 ZINC000466707093 1073340316 /nfs/dbraw/zinc/34/03/16/1073340316.db2.gz XWZQOZHEVWWOPQ-UHFFFAOYSA-N 0 0 432.437 -0.024 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)Cc1nnnn1C ZINC000466711053 1073342678 /nfs/dbraw/zinc/34/26/78/1073342678.db2.gz ANXAODSROBXINC-UHFFFAOYSA-N 0 0 439.498 -0.155 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)Cc1nnnn1C ZINC000466713260 1073344764 /nfs/dbraw/zinc/34/47/64/1073344764.db2.gz MLINGUMGJDCIKW-UHFFFAOYSA-N 0 0 425.471 -0.544 20 0 IBADRN CN(Cc1nnnn1C)C(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000466713769 1073344646 /nfs/dbraw/zinc/34/46/46/1073344646.db2.gz QRTOGYWXDPNEFT-UHFFFAOYSA-N 0 0 434.258 0.210 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N(C)Cc2nnnn2C)c1 ZINC000466714404 1073345691 /nfs/dbraw/zinc/34/56/91/1073345691.db2.gz XIJNZBNTBRZNJV-HNNXBMFYSA-N 0 0 443.464 -0.025 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N(C)Cc2nnnn2C)c1 ZINC000466714405 1073345714 /nfs/dbraw/zinc/34/57/14/1073345714.db2.gz XIJNZBNTBRZNJV-OAHLLOKOSA-N 0 0 443.464 -0.025 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC1CCN(c3nccs3)CC1)C2 ZINC000466714445 1073345616 /nfs/dbraw/zinc/34/56/16/1073345616.db2.gz XQLGOWACQFNULR-UHFFFAOYSA-N 0 0 434.526 -0.216 20 0 IBADRN COc1cccc(OC)c1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466717561 1073344743 /nfs/dbraw/zinc/34/47/43/1073344743.db2.gz KITOPXGHYYOBHQ-UHFFFAOYSA-N 0 0 425.467 -0.645 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1F ZINC000466720275 1073347221 /nfs/dbraw/zinc/34/72/21/1073347221.db2.gz UKIDODRRHRZPMC-UHFFFAOYSA-N 0 0 440.457 -0.565 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc(Br)cn1)C2 ZINC000466720280 1073347244 /nfs/dbraw/zinc/34/72/44/1073347244.db2.gz UKRVEHINVTUQQW-UHFFFAOYSA-N 0 0 445.299 -0.505 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466721950 1073347698 /nfs/dbraw/zinc/34/76/98/1073347698.db2.gz HFXPFDGGHVNXKQ-UHFFFAOYSA-N 0 0 437.478 -0.735 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466721989 1073347686 /nfs/dbraw/zinc/34/76/86/1073347686.db2.gz HRIWPJSDCSPBJC-UHFFFAOYSA-N 0 0 439.494 -0.637 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc21 ZINC000466723487 1073348192 /nfs/dbraw/zinc/34/81/92/1073348192.db2.gz VAISWCFMHCQPDB-UHFFFAOYSA-N 0 0 448.505 -0.754 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000466723852 1073347977 /nfs/dbraw/zinc/34/79/77/1073347977.db2.gz ZHYJHJIYGDNEMT-UHFFFAOYSA-N 0 0 442.523 -0.965 20 0 IBADRN COc1cc(OC)cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466724041 1073348161 /nfs/dbraw/zinc/34/81/61/1073348161.db2.gz CCLPMBCUJTYEKN-UHFFFAOYSA-N 0 0 425.467 -0.645 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3c(c1)OCCCO3)C2 ZINC000466724767 1073347914 /nfs/dbraw/zinc/34/79/14/1073347914.db2.gz LKDSNQUSWRRSLS-UHFFFAOYSA-N 0 0 437.478 -0.501 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000466725846 1073348479 /nfs/dbraw/zinc/34/84/79/1073348479.db2.gz ZZEUNWGBZDGMCJ-UHFFFAOYSA-N 0 0 429.480 -0.814 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cnn(-c3ccccc3F)c1)C2 ZINC000466726140 1073348572 /nfs/dbraw/zinc/34/85/72/1073348572.db2.gz FJOGUVJVKXUWDI-UHFFFAOYSA-N 0 0 449.468 -0.338 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccccc1OC(F)F)C2 ZINC000466726188 1073348896 /nfs/dbraw/zinc/34/88/96/1073348896.db2.gz GBERYCYLQIJUKY-UHFFFAOYSA-N 0 0 431.421 -0.061 20 0 IBADRN CC(=O)N(C)c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466726318 1073348699 /nfs/dbraw/zinc/34/86/99/1073348699.db2.gz IGNZHOVNBOBIDJ-UHFFFAOYSA-N 0 0 436.494 -0.680 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(c2nccn(C)c2=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000466726340 1073348959 /nfs/dbraw/zinc/34/89/59/1073348959.db2.gz ILMAALFBGWZQAN-CVEARBPZSA-N 0 0 425.555 -0.284 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(c2nccn(C)c2=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000466726341 1073348688 /nfs/dbraw/zinc/34/86/88/1073348688.db2.gz ILMAALFBGWZQAN-HOTGVXAUSA-N 0 0 425.555 -0.284 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(c2nccn(C)c2=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000466726342 1073348710 /nfs/dbraw/zinc/34/87/10/1073348710.db2.gz ILMAALFBGWZQAN-HZPDHXFCSA-N 0 0 425.555 -0.284 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(c2nccn(C)c2=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000466726343 1073348885 /nfs/dbraw/zinc/34/88/85/1073348885.db2.gz ILMAALFBGWZQAN-JKSUJKDBSA-N 0 0 425.555 -0.284 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc(Br)o1)C2 ZINC000466726662 1073348726 /nfs/dbraw/zinc/34/87/26/1073348726.db2.gz KEFRSQFSIGWADT-UHFFFAOYSA-N 0 0 434.272 -0.307 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)oc1C ZINC000466727122 1073348875 /nfs/dbraw/zinc/34/88/75/1073348875.db2.gz HHJRPSHKQGXMRV-UHFFFAOYSA-N 0 0 427.439 -0.974 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466727251 1073349234 /nfs/dbraw/zinc/34/92/34/1073349234.db2.gz BPOWTFYVROYURV-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN Cc1c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnn1-c1ccccn1 ZINC000466728413 1073350264 /nfs/dbraw/zinc/35/02/64/1073350264.db2.gz SQZSQXIAPNDSEP-UHFFFAOYSA-N 0 0 446.493 -0.773 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466728431 1073349502 /nfs/dbraw/zinc/34/95/02/1073349502.db2.gz SVXDREJAOCVHLG-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466728893 1073349599 /nfs/dbraw/zinc/34/95/99/1073349599.db2.gz QJVUVMVUAMRILJ-UHFFFAOYSA-N 0 0 437.478 -0.486 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466728990 1073349656 /nfs/dbraw/zinc/34/96/56/1073349656.db2.gz WXIJRDZEDZLQIA-UHFFFAOYSA-N 0 0 439.494 -0.637 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466729277 1073350381 /nfs/dbraw/zinc/35/03/81/1073350381.db2.gz YJPUQUFWXIRKGW-UHFFFAOYSA-N 0 0 429.480 -0.814 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cnn(-c3ccccc3)c1)C2 ZINC000466730568 1073351698 /nfs/dbraw/zinc/35/16/98/1073351698.db2.gz GHRRMPIDSCZCAH-UHFFFAOYSA-N 0 0 431.478 -0.477 20 0 IBADRN CCCCN(C(=O)CN1CCN(c2nccn(C)c2=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000466730792 1073351732 /nfs/dbraw/zinc/35/17/32/1073351732.db2.gz VQGWRGQKDFYOHV-INIZCTEOSA-N 0 0 425.555 -0.282 20 0 IBADRN CCCCN(C(=O)CN1CCN(c2nccn(C)c2=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000466730793 1073351545 /nfs/dbraw/zinc/35/15/45/1073351545.db2.gz VQGWRGQKDFYOHV-MRXNPFEDSA-N 0 0 425.555 -0.282 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc(C(F)(F)F)nc1)C2 ZINC000466733298 1073353261 /nfs/dbraw/zinc/35/32/61/1073353261.db2.gz AITALZZJYVTSFE-UHFFFAOYSA-N 0 0 434.400 -0.249 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466733574 1073353130 /nfs/dbraw/zinc/35/31/30/1073353130.db2.gz CTEFFKTWLLUCST-CHWSQXEVSA-N 0 0 429.499 -0.990 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466733575 1073353085 /nfs/dbraw/zinc/35/30/85/1073353085.db2.gz CTEFFKTWLLUCST-OLZOCXBDSA-N 0 0 429.499 -0.990 20 0 IBADRN COC(=O)[C@H]1CCCC[C@H]1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466733576 1073353204 /nfs/dbraw/zinc/35/32/04/1073353204.db2.gz CTEFFKTWLLUCST-QWHCGFSZSA-N 0 0 429.499 -0.990 20 0 IBADRN COC(=O)[C@H]1CCCC[C@@H]1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466733577 1073353095 /nfs/dbraw/zinc/35/30/95/1073353095.db2.gz CTEFFKTWLLUCST-STQMWFEESA-N 0 0 429.499 -0.990 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000466733898 1073352304 /nfs/dbraw/zinc/35/23/04/1073352304.db2.gz FLIFHZSRUHFMGI-UHFFFAOYSA-N 0 0 425.467 -0.645 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc(N3CCCC3=O)cc1)C2 ZINC000466734730 1073353464 /nfs/dbraw/zinc/35/34/64/1073353464.db2.gz GFBVOPWQTYVJLQ-UHFFFAOYSA-N 0 0 448.505 -0.536 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466734851 1073353562 /nfs/dbraw/zinc/35/35/62/1073353562.db2.gz HKHCOQAJJJYUIK-UHFFFAOYSA-N 0 0 437.478 -0.735 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC000466734874 1073353521 /nfs/dbraw/zinc/35/35/21/1073353521.db2.gz MBYSQCGKSHSBBM-AWEZNQCLSA-N 0 0 448.501 -0.067 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC000466734880 1073353583 /nfs/dbraw/zinc/35/35/83/1073353583.db2.gz MBYSQCGKSHSBBM-CQSZACIVSA-N 0 0 448.501 -0.067 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cnn(CC(F)(F)F)c1)C2 ZINC000466735478 1073354367 /nfs/dbraw/zinc/35/43/67/1073354367.db2.gz KZHKZRKXRNJWJW-UHFFFAOYSA-N 0 0 437.404 -0.904 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466735750 1073354321 /nfs/dbraw/zinc/35/43/21/1073354321.db2.gz QTLXJGYLHQLQGU-UHFFFAOYSA-N 0 0 437.478 -0.567 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc(OCC(F)F)cc1)C2 ZINC000466736010 1073353555 /nfs/dbraw/zinc/35/35/55/1073353555.db2.gz SSQDRHSVFLXOET-UHFFFAOYSA-N 0 0 445.448 -0.019 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1C ZINC000466736243 1073354943 /nfs/dbraw/zinc/35/49/43/1073354943.db2.gz QITRIDAIMGTXAR-UHFFFAOYSA-N 0 0 437.478 -0.567 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000466736333 1073355274 /nfs/dbraw/zinc/35/52/74/1073355274.db2.gz VBKJMKFGCLXNOL-UHFFFAOYSA-N 0 0 437.478 -0.567 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3c(c1)CCCC(=O)N3)C2 ZINC000466736823 1073355187 /nfs/dbraw/zinc/35/51/87/1073355187.db2.gz YODVMWVMVAVHNF-UHFFFAOYSA-N 0 0 448.505 -0.388 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466737166 1073354033 /nfs/dbraw/zinc/35/40/33/1073354033.db2.gz XABMIMLYKKDKMC-UHFFFAOYSA-N 0 0 437.478 -0.567 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1C ZINC000466737450 1073356184 /nfs/dbraw/zinc/35/61/84/1073356184.db2.gz ZVZDPXXXHVVQDV-UHFFFAOYSA-N 0 0 437.478 -0.567 20 0 IBADRN COC(=O)c1ccccc1CS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466739805 1073357452 /nfs/dbraw/zinc/35/74/52/1073357452.db2.gz RHNNPQSCANIHOS-UHFFFAOYSA-N 0 0 437.478 -0.735 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3[nH]c(=O)ccc3c1)C2 ZINC000466740096 1073356982 /nfs/dbraw/zinc/35/69/82/1073356982.db2.gz WLQFQCIJLIBRQA-UHFFFAOYSA-N 0 0 432.462 -0.821 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466740218 1073358162 /nfs/dbraw/zinc/35/81/62/1073358162.db2.gz XXNNOWZELHGSQQ-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1Cl ZINC000466740305 1073358226 /nfs/dbraw/zinc/35/82/26/1073358226.db2.gz ZFLNBCGDKLAJLN-UHFFFAOYSA-N 0 0 429.886 -0.001 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(c2nccn(C)c2=O)CC1 ZINC000466740482 1073358071 /nfs/dbraw/zinc/35/80/71/1073358071.db2.gz BSBPYAKWRHAVPH-UHFFFAOYSA-N 0 0 428.493 -0.181 20 0 IBADRN Cn1ccnc(N2CCN(CC(=O)N(C3CCCC3)[C@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC000466740508 1073358197 /nfs/dbraw/zinc/35/81/97/1073358197.db2.gz CEYOCKSSNHXMRW-KRWDZBQOSA-N 0 0 437.566 -0.139 20 0 IBADRN Cn1ccnc(N2CCN(CC(=O)N(C3CCCC3)[C@@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC000466740509 1073358172 /nfs/dbraw/zinc/35/81/72/1073358172.db2.gz CEYOCKSSNHXMRW-QGZVFWFLSA-N 0 0 437.566 -0.139 20 0 IBADRN CCOC(=O)[C@H](CCOC)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000466740646 1073357704 /nfs/dbraw/zinc/35/77/04/1073357704.db2.gz FIOXLUSXWLQCEG-HNNXBMFYSA-N 0 0 427.479 -0.495 20 0 IBADRN CCOC(=O)[C@@H](CCOC)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000466740647 1073358190 /nfs/dbraw/zinc/35/81/90/1073358190.db2.gz FIOXLUSXWLQCEG-OAHLLOKOSA-N 0 0 427.479 -0.495 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccccc1-c1ccno1)C2 ZINC000466740957 1073357876 /nfs/dbraw/zinc/35/78/76/1073357876.db2.gz JSYZLHXVPXCROT-UHFFFAOYSA-N 0 0 432.462 -0.008 20 0 IBADRN CCc1noc2ncc(S(=O)(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc12 ZINC000466740976 1073358728 /nfs/dbraw/zinc/35/87/28/1073358728.db2.gz KBCNVQVCUJSJOH-UHFFFAOYSA-N 0 0 435.466 -0.564 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc21 ZINC000466741372 1073359643 /nfs/dbraw/zinc/35/96/43/1073359643.db2.gz QGQFPVFNUFUVIP-UHFFFAOYSA-N 0 0 448.505 -0.754 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1c[nH]c3nccc(Cl)c13)C2 ZINC000466741451 1073359066 /nfs/dbraw/zinc/35/90/66/1073359066.db2.gz RXJKJQUDFLPGIP-UHFFFAOYSA-N 0 0 439.885 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1F ZINC000466741601 1073359043 /nfs/dbraw/zinc/35/90/43/1073359043.db2.gz AOPCRWDPWZHUEP-UHFFFAOYSA-N 0 0 427.458 -0.206 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466741725 1073359034 /nfs/dbraw/zinc/35/90/34/1073359034.db2.gz UDONKGQCHIXDPQ-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(c2nccn(C)c2=O)CC1 ZINC000466742306 1073360867 /nfs/dbraw/zinc/36/08/67/1073360867.db2.gz XCJVGNWESPMBHB-INIZCTEOSA-N 0 0 428.493 -0.132 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(c2nccn(C)c2=O)CC1 ZINC000466742307 1073360963 /nfs/dbraw/zinc/36/09/63/1073360963.db2.gz XCJVGNWESPMBHB-MRXNPFEDSA-N 0 0 428.493 -0.132 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc(C(F)(F)F)cn1)C2 ZINC000466743093 1072583703 /nfs/dbraw/zinc/58/37/03/1072583703.db2.gz JWABQEWATRWNEO-UHFFFAOYSA-N 0 0 434.400 -0.249 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cnn(Cc3ccccc3)c1)C2 ZINC000466743270 1073361848 /nfs/dbraw/zinc/36/18/48/1073361848.db2.gz KVHMVULOTRFAQV-UHFFFAOYSA-N 0 0 445.505 -0.418 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cncc(Br)c1)C2 ZINC000466744189 1073362167 /nfs/dbraw/zinc/36/21/67/1073362167.db2.gz CYFRCAQEPVLTOT-UHFFFAOYSA-N 0 0 445.299 -0.505 20 0 IBADRN Cc1nn(CC(C)C)c(C)c1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466744629 1073361709 /nfs/dbraw/zinc/36/17/09/1073361709.db2.gz RGBNFZCECUHWDT-UHFFFAOYSA-N 0 0 439.542 -0.193 20 0 IBADRN CCOC(=O)[C@H](CCOC)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000466745449 1073364066 /nfs/dbraw/zinc/36/40/66/1073364066.db2.gz JDGOWDVSXXJBRE-HNNXBMFYSA-N 0 0 427.479 -0.495 20 0 IBADRN CCOC(=O)[C@@H](CCOC)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000466745450 1073363960 /nfs/dbraw/zinc/36/39/60/1073363960.db2.gz JDGOWDVSXXJBRE-OAHLLOKOSA-N 0 0 427.479 -0.495 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466745831 1073362571 /nfs/dbraw/zinc/36/25/71/1073362571.db2.gz YIDWCIVXVVSPFK-UHFFFAOYSA-N 0 0 441.466 -0.721 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cccc(C(=O)NC3CC3)c1)C2 ZINC000466746144 1073362078 /nfs/dbraw/zinc/36/20/78/1073362078.db2.gz OHDHELDGJDRQME-UHFFFAOYSA-N 0 0 448.505 -0.770 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1C ZINC000466746269 1073363215 /nfs/dbraw/zinc/36/32/15/1073363215.db2.gz QKPLEECKPQYEAT-UHFFFAOYSA-N 0 0 436.494 -0.396 20 0 IBADRN COC(=O)c1scc(C)c1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466746398 1073363310 /nfs/dbraw/zinc/36/33/10/1073363310.db2.gz SCYXFIJLKRRZHU-UHFFFAOYSA-N 0 0 443.507 -0.506 20 0 IBADRN CCC(=O)Nc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466746530 1073363324 /nfs/dbraw/zinc/36/33/24/1073363324.db2.gz UTSZRXBYQSTIHQ-UHFFFAOYSA-N 0 0 436.494 -0.314 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000466747592 1073365303 /nfs/dbraw/zinc/36/53/03/1073365303.db2.gz LGHLURXUKMYACJ-UHFFFAOYSA-N 0 0 427.439 -0.893 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466748163 1073364307 /nfs/dbraw/zinc/36/43/07/1073364307.db2.gz TVWUTYFLRZPYAZ-UHFFFAOYSA-N 0 0 425.467 -0.645 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(c2nccn(C)c2=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000466748323 1073524471 /nfs/dbraw/zinc/52/44/71/1073524471.db2.gz WFIRGWXYGAQCBJ-INIZCTEOSA-N 0 0 425.555 -0.426 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(c2nccn(C)c2=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000466748324 1073524552 /nfs/dbraw/zinc/52/45/52/1073524552.db2.gz WFIRGWXYGAQCBJ-MRXNPFEDSA-N 0 0 425.555 -0.426 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466748525 1073523900 /nfs/dbraw/zinc/52/39/00/1073523900.db2.gz YRWRQUCAWRBBBB-UHFFFAOYSA-N 0 0 429.480 -0.814 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cnc(-c3ccccc3)nc1)C2 ZINC000466748548 1073524502 /nfs/dbraw/zinc/52/45/02/1073524502.db2.gz ZDQKSTHHWFSICE-UHFFFAOYSA-N 0 0 443.489 -0.206 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000466750727 1074384924 /nfs/dbraw/zinc/38/49/24/1074384924.db2.gz AKUJTPBUDBORJK-UHFFFAOYSA-N 0 0 439.494 -0.337 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000466753266 1074414424 /nfs/dbraw/zinc/41/44/24/1074414424.db2.gz KZYKZLAQRUVZKP-UHFFFAOYSA-N 0 0 443.457 -0.506 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000466753936 1074410050 /nfs/dbraw/zinc/41/00/50/1074410050.db2.gz RCHMPYFHVOEQNZ-UHFFFAOYSA-N 0 0 437.478 -0.567 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000466754002 1074410720 /nfs/dbraw/zinc/41/07/20/1074410720.db2.gz RKKJOAGCGWYCFO-UHFFFAOYSA-N 0 0 434.540 -0.191 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cccc(C(=O)N(C)C)c1)C2 ZINC000466754296 1074416552 /nfs/dbraw/zinc/41/65/52/1074416552.db2.gz SLDOCMPVVZTJQU-UHFFFAOYSA-N 0 0 436.494 -0.961 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cs1 ZINC000466757270 1074420273 /nfs/dbraw/zinc/42/02/73/1074420273.db2.gz XUXXKSRQRGDIKR-UHFFFAOYSA-N 0 0 429.480 -0.814 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)o1 ZINC000466757304 1074420486 /nfs/dbraw/zinc/42/04/86/1074420486.db2.gz GJMJCVMOYIFOBA-UHFFFAOYSA-N 0 0 427.439 -0.974 20 0 IBADRN COc1ccc(Cl)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466758199 1074421660 /nfs/dbraw/zinc/42/16/60/1074421660.db2.gz KQASBHSYGPGRHB-UHFFFAOYSA-N 0 0 429.886 -0.001 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)N1CC[C@H]3CCCC[C@H]3C1)C2 ZINC000466758751 1074424586 /nfs/dbraw/zinc/42/45/86/1074424586.db2.gz OUDFBKFQWSEWAJ-CABCVRRESA-N 0 0 426.543 -0.295 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)N1CC[C@@H]3CCCC[C@H]3C1)C2 ZINC000466758752 1074425458 /nfs/dbraw/zinc/42/54/58/1074425458.db2.gz OUDFBKFQWSEWAJ-GJZGRUSLSA-N 0 0 426.543 -0.295 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)N1CC[C@H]3CCCC[C@@H]3C1)C2 ZINC000466758753 1074425419 /nfs/dbraw/zinc/42/54/19/1074425419.db2.gz OUDFBKFQWSEWAJ-HUUCEWRRSA-N 0 0 426.543 -0.295 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)N1CC[C@@H]3CCCC[C@@H]3C1)C2 ZINC000466758754 1074425447 /nfs/dbraw/zinc/42/54/47/1074425447.db2.gz OUDFBKFQWSEWAJ-LSDHHAIUSA-N 0 0 426.543 -0.295 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466758979 1074421575 /nfs/dbraw/zinc/42/15/75/1074421575.db2.gz RDIXCOPXVBTCFN-UHFFFAOYSA-N 0 0 437.478 -0.486 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cnccc1C(F)(F)F)C2 ZINC000466759270 1074428283 /nfs/dbraw/zinc/42/82/83/1074428283.db2.gz UXNRTQNXRUTJOV-UHFFFAOYSA-N 0 0 434.400 -0.249 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCN2CCc3ccccc3C2=O)CC1 ZINC000466760746 1074429674 /nfs/dbraw/zinc/42/96/74/1074429674.db2.gz LZTRPZHTZVZIAE-UHFFFAOYSA-N 0 0 429.521 -0.129 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@@H]1Cc3ccccc3N(C)C1)C2 ZINC000466761147 1074429134 /nfs/dbraw/zinc/42/91/34/1074429134.db2.gz BAIHFSLBIVXNDP-HNNXBMFYSA-N 0 0 427.509 -0.033 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@H]1Cc3ccccc3N(C)C1)C2 ZINC000466761148 1074429188 /nfs/dbraw/zinc/42/91/88/1074429188.db2.gz BAIHFSLBIVXNDP-OAHLLOKOSA-N 0 0 427.509 -0.033 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)S(C)(=O)=O ZINC000466761499 1074430885 /nfs/dbraw/zinc/43/08/85/1074430885.db2.gz CSSIUZSCMNALIB-INIZCTEOSA-N 0 0 438.506 -0.129 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)S(C)(=O)=O ZINC000466761500 1074430877 /nfs/dbraw/zinc/43/08/77/1074430877.db2.gz CSSIUZSCMNALIB-MRXNPFEDSA-N 0 0 438.506 -0.129 20 0 IBADRN COc1ccc(COCCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466762873 1074431305 /nfs/dbraw/zinc/43/13/05/1074431305.db2.gz AYCBUJCGUHJNPQ-UHFFFAOYSA-N 0 0 432.481 -0.116 20 0 IBADRN COc1cc(OC)cc(OCCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466768294 1074446343 /nfs/dbraw/zinc/44/63/43/1074446343.db2.gz VGSJNBKDXIGRMT-UHFFFAOYSA-N 0 0 448.480 -0.246 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000466768645 1074446380 /nfs/dbraw/zinc/44/63/80/1074446380.db2.gz PICGCEKYXCOHOR-UHFFFAOYSA-N 0 0 439.538 -0.310 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccnc1OCC(F)F)C2 ZINC000466768676 1074445595 /nfs/dbraw/zinc/44/55/95/1074445595.db2.gz WLYLZIAPGIVNML-UHFFFAOYSA-N 0 0 439.423 -0.103 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCN(C(=O)C3CCCCC3)C1)C2 ZINC000466769704 1074447601 /nfs/dbraw/zinc/44/76/01/1074447601.db2.gz WKAJLOUOAFJUFY-INIZCTEOSA-N 0 0 447.540 -0.161 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCN(C(=O)C3CCCCC3)C1)C2 ZINC000466769705 1074447561 /nfs/dbraw/zinc/44/75/61/1074447561.db2.gz WKAJLOUOAFJUFY-MRXNPFEDSA-N 0 0 447.540 -0.161 20 0 IBADRN O=C(NCCN1CCc2ccccc2C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000466775206 1074455461 /nfs/dbraw/zinc/45/54/61/1074455461.db2.gz JGNCGJSEARYAMH-UHFFFAOYSA-N 0 0 443.504 -0.603 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000466777096 1074455938 /nfs/dbraw/zinc/45/59/38/1074455938.db2.gz MKYBNQROGZMGGA-HNNXBMFYSA-N 0 0 443.508 -0.054 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000466777097 1074456017 /nfs/dbraw/zinc/45/60/17/1074456017.db2.gz MKYBNQROGZMGGA-OAHLLOKOSA-N 0 0 443.508 -0.054 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCN1CCc3ccccc3C1=O)C2 ZINC000466778473 1074456700 /nfs/dbraw/zinc/45/67/00/1074456700.db2.gz WZRASOMCNVWEOH-UHFFFAOYSA-N 0 0 441.492 -0.643 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000466780141 1074456631 /nfs/dbraw/zinc/45/66/31/1074456631.db2.gz VLZPWTVTTYCIST-JFTGJMIGSA-N 0 0 426.543 -0.262 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000466780144 1074456695 /nfs/dbraw/zinc/45/66/95/1074456695.db2.gz VLZPWTVTTYCIST-ODNVZBEZSA-N 0 0 426.543 -0.262 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000466780145 1074456780 /nfs/dbraw/zinc/45/67/80/1074456780.db2.gz VLZPWTVTTYCIST-SIJNZQJQSA-N 0 0 426.543 -0.262 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000466780146 1074456639 /nfs/dbraw/zinc/45/66/39/1074456639.db2.gz VLZPWTVTTYCIST-WXWKEVLISA-N 0 0 426.543 -0.262 20 0 IBADRN COc1cccc(COCCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466780483 1074456756 /nfs/dbraw/zinc/45/67/56/1074456756.db2.gz VTHJNDGVNRKMNN-UHFFFAOYSA-N 0 0 432.481 -0.116 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466781380 1074457248 /nfs/dbraw/zinc/45/72/48/1074457248.db2.gz ZWUDVCUCQLXBSU-UHFFFAOYSA-N 0 0 429.481 -0.740 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@H]2NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466786086 1074459096 /nfs/dbraw/zinc/45/90/96/1074459096.db2.gz JNXFDBSHBYRADD-CYBMUJFWSA-N 0 0 431.501 -0.092 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@@H]2NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466786087 1074458483 /nfs/dbraw/zinc/45/84/83/1074458483.db2.gz JNXFDBSHBYRADD-ZDUSSCGKSA-N 0 0 431.501 -0.092 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2CCc3ccccc3C2=O)CC1)N1CCOCC1 ZINC000466787084 1074458964 /nfs/dbraw/zinc/45/89/64/1074458964.db2.gz DPZUBPHXKKKUMI-UHFFFAOYSA-N 0 0 429.521 -0.129 20 0 IBADRN CC(C)n1cc2c(n1)C[C@@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CC2 ZINC000466793141 1074465804 /nfs/dbraw/zinc/46/58/04/1074465804.db2.gz ZCHFGQFGGRAIFP-HNNXBMFYSA-N 0 0 430.513 -0.007 20 0 IBADRN CC(C)n1cc2c(n1)C[C@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CC2 ZINC000466793142 1074465759 /nfs/dbraw/zinc/46/57/59/1074465759.db2.gz ZCHFGQFGGRAIFP-OAHLLOKOSA-N 0 0 430.513 -0.007 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)n2C ZINC000466793275 1074477120 /nfs/dbraw/zinc/47/71/20/1074477120.db2.gz UBSKXAKTQZDNLR-UHFFFAOYSA-N 0 0 442.480 -0.246 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCc1cccc(C(=O)N(C)C)c1)C2 ZINC000466793756 1074477136 /nfs/dbraw/zinc/47/71/36/1074477136.db2.gz VABFYZDZSCRQNN-UHFFFAOYSA-N 0 0 443.508 -0.397 20 0 IBADRN Cc1cc(N2CCC(NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2)n[nH]1 ZINC000466795440 1074478090 /nfs/dbraw/zinc/47/80/90/1074478090.db2.gz PJFZLTDBEYJYOI-UHFFFAOYSA-N 0 0 431.501 -0.641 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC1CCN(CC(F)(F)F)CC1)C2 ZINC000466797702 1074481081 /nfs/dbraw/zinc/48/10/81/1074481081.db2.gz XHCYAZNSGSAINM-UHFFFAOYSA-N 0 0 447.462 -0.068 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC[C@H]1COc3ccccc3O1)C2 ZINC000466800305 1074482155 /nfs/dbraw/zinc/48/21/55/1074482155.db2.gz BZIAPNOHNSAEHL-AWEZNQCLSA-N 0 0 430.465 -0.112 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC[C@@H]1COc3ccccc3O1)C2 ZINC000466800306 1074482245 /nfs/dbraw/zinc/48/22/45/1074482245.db2.gz BZIAPNOHNSAEHL-CQSZACIVSA-N 0 0 430.465 -0.112 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCc1nn(C)c3ccccc13)C2 ZINC000466804833 1074482083 /nfs/dbraw/zinc/48/20/83/1074482083.db2.gz WDHHQVPYBZSZDM-UHFFFAOYSA-N 0 0 426.481 -0.212 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCc1nnc3n1CCCCC3)C2 ZINC000466806787 1074482587 /nfs/dbraw/zinc/48/25/87/1074482587.db2.gz VNGKLTRLRVVVRG-UHFFFAOYSA-N 0 0 445.528 -0.391 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCNC(=O)c1ccccc1F)C2 ZINC000466807088 1074482645 /nfs/dbraw/zinc/48/26/45/1074482645.db2.gz PQGVAAZZTSKAGW-UHFFFAOYSA-N 0 0 433.444 -0.773 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cn(C)nc1C(F)(F)F)C2 ZINC000466807443 1074483596 /nfs/dbraw/zinc/48/35/96/1074483596.db2.gz RTCDVACTTHQDPS-UHFFFAOYSA-N 0 0 430.391 -0.389 20 0 IBADRN Cn1cc(-c2ncccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000466814467 1074485873 /nfs/dbraw/zinc/48/58/73/1074485873.db2.gz RZRUFTMFBHTGNZ-UHFFFAOYSA-N 0 0 425.449 -0.630 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccnc2-c2cnn(C)c2)CC1 ZINC000466815261 1074485830 /nfs/dbraw/zinc/48/58/30/1074485830.db2.gz UOOQYFCYOXMIAN-UHFFFAOYSA-N 0 0 427.465 -0.384 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000466815453 1074485858 /nfs/dbraw/zinc/48/58/58/1074485858.db2.gz LUBSEUDOMHOVIE-UHFFFAOYSA-N 0 0 429.437 -0.622 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000466818821 1074485809 /nfs/dbraw/zinc/48/58/09/1074485809.db2.gz DZNAZXIOWVKKNF-UHFFFAOYSA-N 0 0 447.452 -0.385 20 0 IBADRN Cn1cc(-c2ncccc2NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000466819247 1074485867 /nfs/dbraw/zinc/48/58/67/1074485867.db2.gz KWVYTSIJSMXSSY-UHFFFAOYSA-N 0 0 441.492 -0.576 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000466820019 1074485909 /nfs/dbraw/zinc/48/59/09/1074485909.db2.gz VTJKDAUQWNUIBK-UHFFFAOYSA-N 0 0 441.452 -0.439 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000466820145 1074485882 /nfs/dbraw/zinc/48/58/82/1074485882.db2.gz XSCBCHHVHZOGCS-UHFFFAOYSA-N 0 0 434.497 -0.308 20 0 IBADRN COCCc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000466820647 1074485900 /nfs/dbraw/zinc/48/59/00/1074485900.db2.gz BCUUEUBTYMIBHX-UHFFFAOYSA-N 0 0 440.522 -0.465 20 0 IBADRN COCCc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000466821505 1074485802 /nfs/dbraw/zinc/48/58/02/1074485802.db2.gz IHHUPQYXMGWKQF-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN C[C@H](CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)CS(C)(=O)=O ZINC000466823974 1074485780 /nfs/dbraw/zinc/48/57/80/1074485780.db2.gz HSBLWMIKNMLIMH-CYBMUJFWSA-N 0 0 439.581 -0.679 20 0 IBADRN C[C@@H](CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)CS(C)(=O)=O ZINC000466823977 1074485844 /nfs/dbraw/zinc/48/58/44/1074485844.db2.gz HSBLWMIKNMLIMH-ZDUSSCGKSA-N 0 0 439.581 -0.679 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)cc1 ZINC000466824389 1074486452 /nfs/dbraw/zinc/48/64/52/1074486452.db2.gz IHHPRXPIUQCEQW-UHFFFAOYSA-N 0 0 437.478 -0.062 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000466825104 1074485923 /nfs/dbraw/zinc/48/59/23/1074485923.db2.gz LTQQODMXDQUACC-UHFFFAOYSA-N 0 0 444.496 -0.346 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000466825319 1074486323 /nfs/dbraw/zinc/48/63/23/1074486323.db2.gz XOVKQKYFTPPBNW-UHFFFAOYSA-N 0 0 437.478 -0.229 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000466825590 1074486353 /nfs/dbraw/zinc/48/63/53/1074486353.db2.gz LUOXIBWSOXIGNR-UHFFFAOYSA-N 0 0 434.453 -0.094 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2c(C(=O)OCC)cnn2C)CC1 ZINC000466826159 1074486333 /nfs/dbraw/zinc/48/63/33/1074486333.db2.gz ZHIUBHBPNXLLLI-UHFFFAOYSA-N 0 0 429.499 -0.144 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000466826293 1074486345 /nfs/dbraw/zinc/48/63/45/1074486345.db2.gz ODWBBJFRZDQCQK-INIZCTEOSA-N 0 0 442.476 -0.094 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000466826295 1074486433 /nfs/dbraw/zinc/48/64/33/1074486433.db2.gz ODWBBJFRZDQCQK-MRXNPFEDSA-N 0 0 442.476 -0.094 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCCN(CC(=O)N(CC)CC)CC1 ZINC000466828028 1074486467 /nfs/dbraw/zinc/48/64/67/1074486467.db2.gz GQMVVMWSPDIYNK-UHFFFAOYSA-N 0 0 436.513 -0.062 20 0 IBADRN Cn1cc(-c2ncccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1 ZINC000466828545 1074486315 /nfs/dbraw/zinc/48/63/15/1074486315.db2.gz YMOYFHYBFUQKMA-UHFFFAOYSA-N 0 0 439.476 -0.286 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000466828985 1074486497 /nfs/dbraw/zinc/48/64/97/1074486497.db2.gz NIMBWXNHSUIYJR-UHFFFAOYSA-N 0 0 434.497 -0.308 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000466829252 1074486549 /nfs/dbraw/zinc/48/65/49/1074486549.db2.gz QVJMLIMJOKVMCZ-UHFFFAOYSA-N 0 0 430.469 -0.736 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)Nc1ccn(CC(N)=O)n1 ZINC000466829800 1073351708 /nfs/dbraw/zinc/35/17/08/1073351708.db2.gz ZRUAXCYYTJQEEW-UHFFFAOYSA-N 0 0 445.505 0.390 20 0 IBADRN C[C@H]1[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC(=O)N1Cc1ccccc1 ZINC000466835052 1074486367 /nfs/dbraw/zinc/48/63/67/1074486367.db2.gz NKWAEDVRLANDAC-DOTOQJQBSA-N 0 0 426.481 -0.284 20 0 IBADRN C[C@@H]1[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC(=O)N1Cc1ccccc1 ZINC000466835054 1074486389 /nfs/dbraw/zinc/48/63/89/1074486389.db2.gz NKWAEDVRLANDAC-NVXWUHKLSA-N 0 0 426.481 -0.284 20 0 IBADRN C[C@H]1[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC(=O)N1Cc1ccccc1 ZINC000466835055 1074486441 /nfs/dbraw/zinc/48/64/41/1074486441.db2.gz NKWAEDVRLANDAC-RDJZCZTQSA-N 0 0 426.481 -0.284 20 0 IBADRN C[C@@H]1[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC(=O)N1Cc1ccccc1 ZINC000466835056 1074486541 /nfs/dbraw/zinc/48/65/41/1074486541.db2.gz NKWAEDVRLANDAC-WBVHZDCISA-N 0 0 426.481 -0.284 20 0 IBADRN CN(Cc1nnnn1C)C(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000466848073 1074487749 /nfs/dbraw/zinc/48/77/49/1074487749.db2.gz IFKDFISZMPHBIF-UHFFFAOYSA-N 0 0 447.477 -0.243 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1C ZINC000466857137 1074487551 /nfs/dbraw/zinc/48/75/51/1074487551.db2.gz AAVWPGSPRHTKDD-UHFFFAOYSA-N 0 0 428.492 -0.098 20 0 IBADRN CN(Cc1nnnn1C)C(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000466857612 1074487643 /nfs/dbraw/zinc/48/76/43/1074487643.db2.gz LVZMQRVZLXYGOF-UHFFFAOYSA-N 0 0 445.299 -0.016 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1C ZINC000466859470 1074487655 /nfs/dbraw/zinc/48/76/55/1074487655.db2.gz PEZHEFDRFHGDDO-UHFFFAOYSA-N 0 0 439.490 -0.527 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cc(C(=O)OC)n(C)c2)c1 ZINC000466860182 1074487713 /nfs/dbraw/zinc/48/77/13/1074487713.db2.gz WQWNVVVWOIAFRO-UHFFFAOYSA-N 0 0 444.491 -0.363 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N(C)Cc2nnnn2C)o1 ZINC000466860267 1074487623 /nfs/dbraw/zinc/48/76/23/1074487623.db2.gz YCDIOXIIIHYMID-LLVKDONJSA-N 0 0 426.455 -0.209 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N(C)Cc2nnnn2C)o1 ZINC000466860268 1074487589 /nfs/dbraw/zinc/48/75/89/1074487589.db2.gz YCDIOXIIIHYMID-NSHDSACASA-N 0 0 426.455 -0.209 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000466861045 1074487730 /nfs/dbraw/zinc/48/77/30/1074487730.db2.gz KCWWSWPLSNKDCO-UHFFFAOYSA-N 0 0 441.535 -0.459 20 0 IBADRN CN(Cc1nnnn1C)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000466861309 1074488156 /nfs/dbraw/zinc/48/81/56/1074488156.db2.gz MWJGIXBEHDPLRU-UHFFFAOYSA-N 0 0 435.510 -0.518 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCN2CCc3ccccc3C2=O)CC1 ZINC000466861311 1074488100 /nfs/dbraw/zinc/48/81/00/1074488100.db2.gz BVYSKTRJILFSIB-UHFFFAOYSA-N 0 0 441.488 -0.312 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1C ZINC000466862460 1074488203 /nfs/dbraw/zinc/48/82/03/1074488203.db2.gz JREIUNCCHIYXGO-UHFFFAOYSA-N 0 0 437.474 -0.236 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000466863166 1074488147 /nfs/dbraw/zinc/48/81/47/1074488147.db2.gz YPMPHZBWBZOVNO-UHFFFAOYSA-N 0 0 427.508 -0.801 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N(C)Cc2nnnn2C)c1OC ZINC000466865097 1074488129 /nfs/dbraw/zinc/48/81/29/1074488129.db2.gz AQVXRXRNZUQDPK-UHFFFAOYSA-N 0 0 440.482 -0.480 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N(C)Cc2nnnn2C)cc1 ZINC000466865720 1074488698 /nfs/dbraw/zinc/48/86/98/1074488698.db2.gz IEWRSEDDQIXXDA-UHFFFAOYSA-N 0 0 426.499 -0.234 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1C ZINC000466866594 1074489270 /nfs/dbraw/zinc/48/92/70/1074489270.db2.gz HICATOPAAFMJJU-UHFFFAOYSA-N 0 0 432.480 -0.364 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1C ZINC000466866693 1074489324 /nfs/dbraw/zinc/48/93/24/1074489324.db2.gz TVHUDFDXXYCVSN-UHFFFAOYSA-N 0 0 447.535 -0.335 20 0 IBADRN CN(Cc1nnnn1C)C(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC000466868760 1074489852 /nfs/dbraw/zinc/48/98/52/1074489852.db2.gz TYJMRNIGNWJOSH-UHFFFAOYSA-N 0 0 449.493 -0.049 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1C ZINC000466869105 1074489752 /nfs/dbraw/zinc/48/97/52/1074489752.db2.gz WEFVHTFQWVQAAS-UHFFFAOYSA-N 0 0 434.474 -0.138 20 0 IBADRN Cn1ccnc(N2CCN(S(=O)(=O)c3ccc(NS(C)(=O)=O)cc3F)CC2)c1=O ZINC000466871119 1074491083 /nfs/dbraw/zinc/49/10/83/1074491083.db2.gz FMTZAIOXKINNHD-UHFFFAOYSA-N 0 0 445.498 -0.198 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cn1C ZINC000466873558 1074506523 /nfs/dbraw/zinc/50/65/23/1074506523.db2.gz JKBSKVSYMBLYDL-UHFFFAOYSA-N 0 0 445.523 -0.323 20 0 IBADRN O=C1CCCC(=O)N1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000466874281 1074506444 /nfs/dbraw/zinc/50/64/44/1074506444.db2.gz WCFWMYQIIAAMQQ-UHFFFAOYSA-N 0 0 445.519 -0.475 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cn1C ZINC000466874622 1073328189 /nfs/dbraw/zinc/32/81/89/1073328189.db2.gz YUFKAXDWHMCMJW-UHFFFAOYSA-N 0 0 425.467 0.053 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000466874664 1074507047 /nfs/dbraw/zinc/50/70/47/1074507047.db2.gz ZAOLMZBMAPNBHN-UHFFFAOYSA-N 0 0 435.506 -0.064 20 0 IBADRN Cn1ccnc(N2CCN(S(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)CC2)c1=O ZINC000466875855 1074507419 /nfs/dbraw/zinc/50/74/19/1074507419.db2.gz CQXMJLNLWWEBPE-UHFFFAOYSA-N 0 0 447.517 -0.236 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1C ZINC000466880732 1074508234 /nfs/dbraw/zinc/50/82/34/1074508234.db2.gz MMNBZMNQLMDBNX-UHFFFAOYSA-N 0 0 442.519 -0.030 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)ccc1C ZINC000466881896 1074508196 /nfs/dbraw/zinc/50/81/96/1074508196.db2.gz UOQNPFYDBSGXGO-CHWSQXEVSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)ccc1C ZINC000466881897 1074508302 /nfs/dbraw/zinc/50/83/02/1074508302.db2.gz UOQNPFYDBSGXGO-OLZOCXBDSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)ccc1C ZINC000466881898 1074508348 /nfs/dbraw/zinc/50/83/48/1074508348.db2.gz UOQNPFYDBSGXGO-QWHCGFSZSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)ccc1C ZINC000466881899 1074508285 /nfs/dbraw/zinc/50/82/85/1074508285.db2.gz UOQNPFYDBSGXGO-STQMWFEESA-N 0 0 432.520 -0.001 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)NCCN3CCc4ccccc4C3=O)CCO2)c1=O ZINC000466883419 1073305301 /nfs/dbraw/zinc/30/53/01/1073305301.db2.gz PTEXOGOFYVWTQW-KRWDZBQOSA-N 0 0 440.504 0.301 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)NCCN3CCc4ccccc4C3=O)CCO2)c1=O ZINC000466883420 1073304431 /nfs/dbraw/zinc/30/44/31/1073304431.db2.gz PTEXOGOFYVWTQW-QGZVFWFLSA-N 0 0 440.504 0.301 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1C ZINC000466887758 1071404359 /nfs/dbraw/zinc/40/43/59/1071404359.db2.gz NQGAQCNPNDOBCQ-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc(C(=O)OC)n(C)c2)CC1 ZINC000466890402 1074509226 /nfs/dbraw/zinc/50/92/26/1074509226.db2.gz ALGZRIAJNJZBGS-AWEZNQCLSA-N 0 0 430.527 -0.341 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc(C(=O)OC)n(C)c2)CC1 ZINC000466890403 1074509413 /nfs/dbraw/zinc/50/94/13/1074509413.db2.gz ALGZRIAJNJZBGS-CQSZACIVSA-N 0 0 430.527 -0.341 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000466890864 1074509182 /nfs/dbraw/zinc/50/91/82/1074509182.db2.gz MNPSXFVGLKKJLI-UHFFFAOYSA-N 0 0 427.508 -0.801 20 0 IBADRN CN(CCS(=O)(=O)N1CCc2nn(C)c(=O)cc2C1)S(=O)(=O)c1ccc(F)cc1 ZINC000466891477 1071462044 /nfs/dbraw/zinc/46/20/44/1071462044.db2.gz RHYDEGDZNSFQIP-UHFFFAOYSA-N 0 0 444.510 -0.072 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3nn(C)c(=O)cc3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000466893146 1074509421 /nfs/dbraw/zinc/50/94/21/1074509421.db2.gz BYTMWDPBHQHLII-IBGZPJMESA-N 0 0 447.473 -0.410 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3nn(C)c(=O)cc3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000466893147 1074509196 /nfs/dbraw/zinc/50/91/96/1074509196.db2.gz BYTMWDPBHQHLII-LJQANCHMSA-N 0 0 447.473 -0.410 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1C ZINC000466893357 1071465060 /nfs/dbraw/zinc/46/50/60/1071465060.db2.gz FGKQBPJLUQVWOL-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2C(=O)CCCC2=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000466895692 1074509378 /nfs/dbraw/zinc/50/93/78/1074509378.db2.gz KJRWXURTNDQLMP-GOSISDBHSA-N 0 0 438.462 -0.433 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2C(=O)CCCC2=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000466895693 1071473227 /nfs/dbraw/zinc/47/32/27/1071473227.db2.gz KJRWXURTNDQLMP-SFHVURJKSA-N 0 0 438.462 -0.433 20 0 IBADRN CN(CCS(=O)(=O)NCCN1C(=O)CCCC1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000466896350 1071482245 /nfs/dbraw/zinc/48/22/45/1071482245.db2.gz RQSAWAIVQYQVKJ-UHFFFAOYSA-N 0 0 435.499 -0.095 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1C ZINC000466897568 1070804520 /nfs/dbraw/zinc/80/45/20/1070804520.db2.gz LUGLPNJDKHGNCK-UHFFFAOYSA-N 0 0 436.494 -0.381 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000466902315 1070889932 /nfs/dbraw/zinc/88/99/32/1070889932.db2.gz XDEIMLDJRXYCBB-UHFFFAOYSA-N 0 0 441.535 -0.459 20 0 IBADRN COc1ccc(CN2CCCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2=O)cc1 ZINC000466919867 1074509694 /nfs/dbraw/zinc/50/96/94/1074509694.db2.gz AATZFPJAGZONQM-UHFFFAOYSA-N 0 0 445.564 -0.038 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@H]2CCc3nc(COC)nn3C2)CC1 ZINC000466919917 1074509746 /nfs/dbraw/zinc/50/97/46/1074509746.db2.gz AQACMEPMLPJCIC-CVEARBPZSA-N 0 0 437.545 -0.392 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2CCc3nc(COC)nn3C2)CC1 ZINC000466919918 1074509829 /nfs/dbraw/zinc/50/98/29/1074509829.db2.gz AQACMEPMLPJCIC-HOTGVXAUSA-N 0 0 437.545 -0.392 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@@H]2CCc3nc(COC)nn3C2)CC1 ZINC000466919919 1071268204 /nfs/dbraw/zinc/26/82/04/1071268204.db2.gz AQACMEPMLPJCIC-HZPDHXFCSA-N 0 0 437.545 -0.392 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2CCc3nc(COC)nn3C2)CC1 ZINC000466919920 1071268091 /nfs/dbraw/zinc/26/80/91/1071268091.db2.gz AQACMEPMLPJCIC-JKSUJKDBSA-N 0 0 437.545 -0.392 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCN(c3ncnc4c3cnn4C)CC1)CC2 ZINC000466920348 1074509731 /nfs/dbraw/zinc/50/97/31/1074509731.db2.gz DGQAWZFBOYEMMP-CYBMUJFWSA-N 0 0 426.485 -0.052 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCN(c3ncnc4c3cnn4C)CC1)CC2 ZINC000466920349 1074509705 /nfs/dbraw/zinc/50/97/05/1074509705.db2.gz DGQAWZFBOYEMMP-ZDUSSCGKSA-N 0 0 426.485 -0.052 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)CC2 ZINC000466921153 1071286122 /nfs/dbraw/zinc/28/61/22/1071286122.db2.gz JNICGIPLKVJEJA-HNNXBMFYSA-N 0 0 444.558 -0.179 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)CC2 ZINC000466921154 1071286073 /nfs/dbraw/zinc/28/60/73/1071286073.db2.gz JNICGIPLKVJEJA-OAHLLOKOSA-N 0 0 444.558 -0.179 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCN(S(=O)(=O)c3cccnc3)CC1)CC2 ZINC000466922109 1074510406 /nfs/dbraw/zinc/51/04/06/1074510406.db2.gz UFQCAKCJFDUGQZ-AWEZNQCLSA-N 0 0 435.510 -0.150 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCN(S(=O)(=O)c3cccnc3)CC1)CC2 ZINC000466922110 1074510268 /nfs/dbraw/zinc/51/02/68/1074510268.db2.gz UFQCAKCJFDUGQZ-CQSZACIVSA-N 0 0 435.510 -0.150 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccnc1-c1cnn(C)c1)C2 ZINC000466923365 1074510172 /nfs/dbraw/zinc/51/01/72/1074510172.db2.gz BUPSJIRESABWOF-UHFFFAOYSA-N 0 0 425.453 -0.024 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCN(CC(=O)Nc3cc(C)on3)CC1)CC2 ZINC000466923835 1073338506 /nfs/dbraw/zinc/33/85/06/1073338506.db2.gz GBNMSEXWPVIVDT-AWEZNQCLSA-N 0 0 432.485 0.002 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCN(CC(=O)Nc3cc(C)on3)CC1)CC2 ZINC000466923836 1073338395 /nfs/dbraw/zinc/33/83/95/1073338395.db2.gz GBNMSEXWPVIVDT-CQSZACIVSA-N 0 0 432.485 0.002 20 0 IBADRN COc1ccc(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000466924092 1074510322 /nfs/dbraw/zinc/51/03/22/1074510322.db2.gz OJGUIJICPPRIEZ-UHFFFAOYSA-N 0 0 432.481 -0.082 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC1(N3CCOCC3)CCCCC1)C2 ZINC000466924487 1074510355 /nfs/dbraw/zinc/51/03/55/1074510355.db2.gz ANWJZZDMJZIOOX-UHFFFAOYSA-N 0 0 449.556 -0.307 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000466925723 1074510149 /nfs/dbraw/zinc/51/01/49/1074510149.db2.gz HZRRFGYUFUZXQH-INIZCTEOSA-N 0 0 439.538 -0.673 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000466925724 1074510282 /nfs/dbraw/zinc/51/02/82/1074510282.db2.gz HZRRFGYUFUZXQH-MRXNPFEDSA-N 0 0 439.538 -0.673 20 0 IBADRN CCN(C(=O)CN1CC[C@H](NC(=O)NC)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000466926592 1074510207 /nfs/dbraw/zinc/51/02/07/1074510207.db2.gz UABQPQQKQXRZKW-HNNXBMFYSA-N 0 0 443.508 -0.064 20 0 IBADRN CCN(C(=O)CN1CC[C@@H](NC(=O)NC)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000466926593 1074510378 /nfs/dbraw/zinc/51/03/78/1074510378.db2.gz UABQPQQKQXRZKW-OAHLLOKOSA-N 0 0 443.508 -0.064 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)C1 ZINC000466928351 1074510439 /nfs/dbraw/zinc/51/04/39/1074510439.db2.gz BXNUCRDBANYVOK-CYBMUJFWSA-N 0 0 439.517 -0.830 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)C1 ZINC000466928352 1074510411 /nfs/dbraw/zinc/51/04/11/1074510411.db2.gz BXNUCRDBANYVOK-ZDUSSCGKSA-N 0 0 439.517 -0.830 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000466929593 1074510468 /nfs/dbraw/zinc/51/04/68/1074510468.db2.gz CGNLJVJOOZSFKH-CRAIPNDOSA-N 0 0 431.493 -0.500 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000466929594 1074510300 /nfs/dbraw/zinc/51/03/00/1074510300.db2.gz CGNLJVJOOZSFKH-MAUKXSAKSA-N 0 0 431.493 -0.500 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000466929595 1074510448 /nfs/dbraw/zinc/51/04/48/1074510448.db2.gz CGNLJVJOOZSFKH-QAPCUYQASA-N 0 0 431.493 -0.500 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000466929596 1074510243 /nfs/dbraw/zinc/51/02/43/1074510243.db2.gz CGNLJVJOOZSFKH-YJBOKZPZSA-N 0 0 431.493 -0.500 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@@H](NC(=O)NC)C2)c(=O)[nH]c1=O ZINC000466930023 1074510181 /nfs/dbraw/zinc/51/01/81/1074510181.db2.gz VPNNVSPJMJGHPD-CYBMUJFWSA-N 0 0 439.517 -0.686 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@H](NC(=O)NC)C2)c(=O)[nH]c1=O ZINC000466930024 1074510391 /nfs/dbraw/zinc/51/03/91/1074510391.db2.gz VPNNVSPJMJGHPD-ZDUSSCGKSA-N 0 0 439.517 -0.686 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000466930503 1074510852 /nfs/dbraw/zinc/51/08/52/1074510852.db2.gz IFIYYSWQHZQNIW-HNNXBMFYSA-N 0 0 427.502 -0.338 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000466930504 1074511012 /nfs/dbraw/zinc/51/10/12/1074511012.db2.gz IFIYYSWQHZQNIW-OAHLLOKOSA-N 0 0 427.502 -0.338 20 0 IBADRN CNC(=O)N[C@@H]1CCN(Cc2nc(=O)c3c(CC(=O)OC)c(C(=O)OC)sc3[nH]2)C1 ZINC000466931094 1074510761 /nfs/dbraw/zinc/51/07/61/1074510761.db2.gz PKHAIJIWODUVLW-SECBINFHSA-N 0 0 437.478 -0.010 20 0 IBADRN CNC(=O)N[C@H]1CCN(Cc2nc(=O)c3c(CC(=O)OC)c(C(=O)OC)sc3[nH]2)C1 ZINC000466931095 1074510898 /nfs/dbraw/zinc/51/08/98/1074510898.db2.gz PKHAIJIWODUVLW-VIFPVBQESA-N 0 0 437.478 -0.010 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000466932973 1071407008 /nfs/dbraw/zinc/40/70/08/1071407008.db2.gz NJHWPWIYHULVHI-HNNXBMFYSA-N 0 0 425.511 -0.351 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000466932974 1071406913 /nfs/dbraw/zinc/40/69/13/1071406913.db2.gz NJHWPWIYHULVHI-OAHLLOKOSA-N 0 0 425.511 -0.351 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000466933767 1074511080 /nfs/dbraw/zinc/51/10/80/1074511080.db2.gz STUNHKVLWJBCIW-HNNXBMFYSA-N 0 0 427.502 -0.338 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000466933768 1074511046 /nfs/dbraw/zinc/51/10/46/1074511046.db2.gz STUNHKVLWJBCIW-OAHLLOKOSA-N 0 0 427.502 -0.338 20 0 IBADRN O=C1CC2(CN1)CCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000466933819 1072592685 /nfs/dbraw/zinc/59/26/85/1072592685.db2.gz LWHTZJIMWHANFZ-UHFFFAOYSA-N 0 0 443.547 -0.002 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)C1 ZINC000466935460 1071414826 /nfs/dbraw/zinc/41/48/26/1071414826.db2.gz JULVEFKUAUXGFJ-HNNXBMFYSA-N 0 0 439.538 -0.469 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)C1 ZINC000466935464 1074510792 /nfs/dbraw/zinc/51/07/92/1074510792.db2.gz JULVEFKUAUXGFJ-OAHLLOKOSA-N 0 0 439.538 -0.469 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCN([C@H](C)C(=O)N3CCOCC3)CC1)CC2 ZINC000466935621 1074510928 /nfs/dbraw/zinc/51/09/28/1074510928.db2.gz LAAQGSUHWHWTTC-CVEARBPZSA-N 0 0 435.529 -0.686 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCN([C@@H](C)C(=O)N3CCOCC3)CC1)CC2 ZINC000466935623 1074511077 /nfs/dbraw/zinc/51/10/77/1074511077.db2.gz LAAQGSUHWHWTTC-HOTGVXAUSA-N 0 0 435.529 -0.686 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCN([C@H](C)C(=O)N3CCOCC3)CC1)CC2 ZINC000466935625 1074511004 /nfs/dbraw/zinc/51/10/04/1074511004.db2.gz LAAQGSUHWHWTTC-HZPDHXFCSA-N 0 0 435.529 -0.686 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCN([C@@H](C)C(=O)N3CCOCC3)CC1)CC2 ZINC000466935627 1074510752 /nfs/dbraw/zinc/51/07/52/1074510752.db2.gz LAAQGSUHWHWTTC-JKSUJKDBSA-N 0 0 435.529 -0.686 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCc1ccc3c(c1)OCCO3)C2 ZINC000466935749 1074511070 /nfs/dbraw/zinc/51/10/70/1074511070.db2.gz XBAHNQNHOYJVBN-UHFFFAOYSA-N 0 0 430.465 -0.328 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCN(c3ccc(S(N)(=O)=O)cc3)CC1)CC2 ZINC000466938313 1074511026 /nfs/dbraw/zinc/51/10/26/1074511026.db2.gz CBPFZLXNQWURKP-AWEZNQCLSA-N 0 0 449.537 -0.082 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCN(c3ccc(S(N)(=O)=O)cc3)CC1)CC2 ZINC000466938314 1074510915 /nfs/dbraw/zinc/51/09/15/1074510915.db2.gz CBPFZLXNQWURKP-CQSZACIVSA-N 0 0 449.537 -0.082 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCN(S(=O)(=O)Cc3ccon3)CC1)CC2 ZINC000466938939 1074510882 /nfs/dbraw/zinc/51/08/82/1074510882.db2.gz GVEYFSZHYQWHHL-CYBMUJFWSA-N 0 0 439.498 -0.416 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCN(S(=O)(=O)Cc3ccon3)CC1)CC2 ZINC000466938940 1074510999 /nfs/dbraw/zinc/51/09/99/1074510999.db2.gz GVEYFSZHYQWHHL-ZDUSSCGKSA-N 0 0 439.498 -0.416 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1 ZINC000466939718 1071434814 /nfs/dbraw/zinc/43/48/14/1071434814.db2.gz SPZDQADBKMKPBH-CYBMUJFWSA-N 0 0 445.492 -0.199 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1 ZINC000466939719 1071434843 /nfs/dbraw/zinc/43/48/43/1071434843.db2.gz SPZDQADBKMKPBH-ZDUSSCGKSA-N 0 0 445.492 -0.199 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000466940982 1074511349 /nfs/dbraw/zinc/51/13/49/1074511349.db2.gz SOGBJWGDBACKFP-UHFFFAOYSA-N 0 0 432.524 -0.485 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1)S(C)(=O)=O ZINC000466942371 1073172849 /nfs/dbraw/zinc/17/28/49/1073172849.db2.gz IYAFTXXMTMROQI-UHFFFAOYSA-N 0 0 432.524 -0.485 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@H](NC(=O)NC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000466942840 1074511410 /nfs/dbraw/zinc/51/14/10/1074511410.db2.gz DJYDVVWHFPMDRH-HNNXBMFYSA-N 0 0 428.493 -0.154 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@@H](NC(=O)NC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000466942841 1074511501 /nfs/dbraw/zinc/51/15/01/1074511501.db2.gz DJYDVVWHFPMDRH-OAHLLOKOSA-N 0 0 428.493 -0.154 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000466945350 1071668805 /nfs/dbraw/zinc/66/88/05/1071668805.db2.gz BHPQXGIOQJDRGV-HNNXBMFYSA-N 0 0 425.511 -0.351 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000466945351 1071669303 /nfs/dbraw/zinc/66/93/03/1071669303.db2.gz BHPQXGIOQJDRGV-OAHLLOKOSA-N 0 0 425.511 -0.351 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000466945680 1071714709 /nfs/dbraw/zinc/71/47/09/1071714709.db2.gz WCNUVVNEMOOWIS-AWEZNQCLSA-N 0 0 427.502 -0.338 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000466945681 1071714489 /nfs/dbraw/zinc/71/44/89/1071714489.db2.gz WCNUVVNEMOOWIS-CQSZACIVSA-N 0 0 427.502 -0.338 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000466947007 1074511511 /nfs/dbraw/zinc/51/15/11/1074511511.db2.gz QJMSJOTZJWKBJY-INIZCTEOSA-N 0 0 439.538 -0.042 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000466947008 1074511323 /nfs/dbraw/zinc/51/13/23/1074511323.db2.gz QJMSJOTZJWKBJY-MRXNPFEDSA-N 0 0 439.538 -0.042 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)S(C)(=O)=O ZINC000466947487 1074511391 /nfs/dbraw/zinc/51/13/91/1074511391.db2.gz ABIUZSSPXUJMPP-UHFFFAOYSA-N 0 0 448.505 -0.537 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000466948984 1074511457 /nfs/dbraw/zinc/51/14/57/1074511457.db2.gz AMIYVPAFTAGLRX-AWEZNQCLSA-N 0 0 428.515 -0.872 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000466948985 1074511519 /nfs/dbraw/zinc/51/15/19/1074511519.db2.gz AMIYVPAFTAGLRX-CQSZACIVSA-N 0 0 428.515 -0.872 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N(C)C ZINC000466949492 1074511330 /nfs/dbraw/zinc/51/13/30/1074511330.db2.gz PADAXHYIBIZBEX-INIZCTEOSA-N 0 0 445.524 -0.030 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N(C)C ZINC000466949493 1074511353 /nfs/dbraw/zinc/51/13/53/1074511353.db2.gz PADAXHYIBIZBEX-MRXNPFEDSA-N 0 0 445.524 -0.030 20 0 IBADRN CNC(=O)N[C@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000466950134 1074511479 /nfs/dbraw/zinc/51/14/79/1074511479.db2.gz HBJGFFSDXQLNAM-INIZCTEOSA-N 0 0 439.538 -0.673 20 0 IBADRN CNC(=O)N[C@@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000466950135 1074511312 /nfs/dbraw/zinc/51/13/12/1074511312.db2.gz HBJGFFSDXQLNAM-MRXNPFEDSA-N 0 0 439.538 -0.673 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)S(C)(=O)=O ZINC000466951131 1074511447 /nfs/dbraw/zinc/51/14/47/1074511447.db2.gz KMEFQJHJIYIPEL-CYBMUJFWSA-N 0 0 446.551 -0.191 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)S(C)(=O)=O ZINC000466951132 1074511342 /nfs/dbraw/zinc/51/13/42/1074511342.db2.gz KMEFQJHJIYIPEL-ZDUSSCGKSA-N 0 0 446.551 -0.191 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000466953029 1074511548 /nfs/dbraw/zinc/51/15/48/1074511548.db2.gz HLVZGJBLSBIPJT-UHFFFAOYSA-N 0 0 446.551 -0.049 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000466954302 1074511558 /nfs/dbraw/zinc/51/15/58/1074511558.db2.gz LAAZZOGYOCLKBU-UHFFFAOYSA-N 0 0 434.540 -0.191 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)S(C)(=O)=O ZINC000466954709 1074511379 /nfs/dbraw/zinc/51/13/79/1074511379.db2.gz KSYXPDTXFGVYIZ-UHFFFAOYSA-N 0 0 437.522 -0.556 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(F)ccc2Br)CC1 ZINC000466957663 1074511892 /nfs/dbraw/zinc/51/18/92/1074511892.db2.gz LBJFJJAIBBHOBX-UHFFFAOYSA-N 0 0 440.233 -0.116 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)NCC1CCC1)S(C)(=O)=O ZINC000466958597 1072091166 /nfs/dbraw/zinc/09/11/66/1072091166.db2.gz WRZIJAITQSTWKP-UHFFFAOYSA-N 0 0 446.551 -0.143 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)S(C)(=O)=O ZINC000466960378 1074511995 /nfs/dbraw/zinc/51/19/95/1074511995.db2.gz AELJYXPQURYYKN-GFCCVEGCSA-N 0 0 432.524 -0.439 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)S(C)(=O)=O ZINC000466960379 1074512033 /nfs/dbraw/zinc/51/20/33/1074512033.db2.gz AELJYXPQURYYKN-LBPRGKRZSA-N 0 0 432.524 -0.439 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000466960690 1072127975 /nfs/dbraw/zinc/12/79/75/1072127975.db2.gz YCUIPEWFSUSMTI-UHFFFAOYSA-N 0 0 425.511 -0.699 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccccc3N(C)S(C)(=O)=O)C2=O)n(C)n1 ZINC000466961654 1074512121 /nfs/dbraw/zinc/51/21/21/1074512121.db2.gz NEMXNZXDAQSFAW-AWEZNQCLSA-N 0 0 448.505 -0.015 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccccc3N(C)S(C)(=O)=O)C2=O)n(C)n1 ZINC000466961655 1074512107 /nfs/dbraw/zinc/51/21/07/1074512107.db2.gz NEMXNZXDAQSFAW-CQSZACIVSA-N 0 0 448.505 -0.015 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCn1c3ccccc3[nH]c1=O)C2 ZINC000466963211 1074511972 /nfs/dbraw/zinc/51/19/72/1074511972.db2.gz BPSOXEWYTSMZSK-UHFFFAOYSA-N 0 0 428.453 -0.586 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCc1nnc3ccccn31)C2 ZINC000466963400 1074512043 /nfs/dbraw/zinc/51/20/43/1074512043.db2.gz CTKDSSVWNSAXMY-UHFFFAOYSA-N 0 0 427.469 -0.666 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(N3CCOCC3)nc1)C2 ZINC000466966422 1074512070 /nfs/dbraw/zinc/51/20/70/1074512070.db2.gz ZSIGUORBUMGYJI-UHFFFAOYSA-N 0 0 444.496 -0.910 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)S(C)(=O)=O ZINC000466969921 1074511929 /nfs/dbraw/zinc/51/19/29/1074511929.db2.gz VBHSFHRUERLQBZ-UHFFFAOYSA-N 0 0 425.511 -0.699 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCN(c3ncccc3Cl)C1)C2 ZINC000466970105 1074512131 /nfs/dbraw/zinc/51/21/31/1074512131.db2.gz BJBJCNUPVWJQEM-CYBMUJFWSA-N 0 0 448.915 -0.015 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCN(c3ncccc3Cl)C1)C2 ZINC000466970106 1074511955 /nfs/dbraw/zinc/51/19/55/1074511955.db2.gz BJBJCNUPVWJQEM-ZDUSSCGKSA-N 0 0 448.915 -0.015 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O)S(C)(=O)=O ZINC000466970171 1074512057 /nfs/dbraw/zinc/51/20/57/1074512057.db2.gz XLWSTLFUVPNAIQ-UHFFFAOYSA-N 0 0 434.540 -0.191 20 0 IBADRN CCCNC(=O)c1cccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466972081 1074512097 /nfs/dbraw/zinc/51/20/97/1074512097.db2.gz BVSMCQQGEMCEEU-UHFFFAOYSA-N 0 0 443.508 -0.002 20 0 IBADRN CN(C)C(=O)C[C@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000466972713 1074512026 /nfs/dbraw/zinc/51/20/26/1074512026.db2.gz IVOPEEQLMSNQKR-INIZCTEOSA-N 0 0 443.508 -0.122 20 0 IBADRN CN(C)C(=O)C[C@@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000466972714 1074511946 /nfs/dbraw/zinc/51/19/46/1074511946.db2.gz IVOPEEQLMSNQKR-MRXNPFEDSA-N 0 0 443.508 -0.122 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@H]1CCN(CC(F)(F)F)C1)C2 ZINC000466972978 1072543886 /nfs/dbraw/zinc/54/38/86/1072543886.db2.gz BDVTZLQDZMCPQU-GFCCVEGCSA-N 0 0 433.435 -0.458 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@@H]1CCN(CC(F)(F)F)C1)C2 ZINC000466972979 1072544619 /nfs/dbraw/zinc/54/46/19/1072544619.db2.gz BDVTZLQDZMCPQU-LBPRGKRZSA-N 0 0 433.435 -0.458 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(N3CCCC3)nc1)C2 ZINC000466973111 1074511909 /nfs/dbraw/zinc/51/19/09/1074511909.db2.gz KVYODJICPSINKL-UHFFFAOYSA-N 0 0 428.497 -0.146 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCN(c3ncccn3)C1)C2 ZINC000466973239 1072543936 /nfs/dbraw/zinc/54/39/36/1072543936.db2.gz CUONMSZPZFPXOZ-AWEZNQCLSA-N 0 0 429.485 -0.883 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCN(c3ncccn3)C1)C2 ZINC000466973240 1072543901 /nfs/dbraw/zinc/54/39/01/1072543901.db2.gz CUONMSZPZFPXOZ-CQSZACIVSA-N 0 0 429.485 -0.883 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCNC(=O)c1ccccc1Cl)C2 ZINC000466973244 1072544038 /nfs/dbraw/zinc/54/40/38/1072544038.db2.gz CYRNRSKCABSDCP-UHFFFAOYSA-N 0 0 449.899 -0.258 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccnc(N3CCOCC3)c1)C2 ZINC000466973557 1074512527 /nfs/dbraw/zinc/51/25/27/1074512527.db2.gz OEWBBDXFAILCGP-UHFFFAOYSA-N 0 0 444.496 -0.910 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(N3CCCC3=O)c1)C2 ZINC000466973609 1072599821 /nfs/dbraw/zinc/59/98/21/1072599821.db2.gz GGHQYLKCEVABRP-UHFFFAOYSA-N 0 0 441.492 -0.015 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCNC(=O)c1ccc(Cl)cc1)C2 ZINC000466973622 1074512389 /nfs/dbraw/zinc/51/23/89/1074512389.db2.gz GKLCDSNWYBEZMX-UHFFFAOYSA-N 0 0 449.899 -0.258 20 0 IBADRN COCCOc1ncccc1CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466973981 1072591597 /nfs/dbraw/zinc/59/15/97/1072591597.db2.gz QJDPSLVFDPDDID-UHFFFAOYSA-N 0 0 433.469 -0.721 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466974022 1074512554 /nfs/dbraw/zinc/51/25/54/1074512554.db2.gz IQADYKDLXYGUBB-UHFFFAOYSA-N 0 0 432.481 -0.082 20 0 IBADRN COc1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(OC)c1OC ZINC000466974294 1074511922 /nfs/dbraw/zinc/51/19/22/1074511922.db2.gz SCFIYPBOLLQANC-UHFFFAOYSA-N 0 0 448.480 -0.116 20 0 IBADRN CCNC(=O)c1cccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466974320 1074512464 /nfs/dbraw/zinc/51/24/64/1074512464.db2.gz SIQKSLMEQJKDTG-UHFFFAOYSA-N 0 0 429.481 -0.392 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@H](C)O1 ZINC000466974552 1072623215 /nfs/dbraw/zinc/62/32/15/1072623215.db2.gz UYINFTSAMQNGMC-GASCZTMLSA-N 0 0 437.545 -0.454 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@H](C)O1 ZINC000466974553 1072623256 /nfs/dbraw/zinc/62/32/56/1072623256.db2.gz UYINFTSAMQNGMC-GJZGRUSLSA-N 0 0 437.545 -0.454 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@@H](C)O1 ZINC000466974554 1072623193 /nfs/dbraw/zinc/62/31/93/1072623193.db2.gz UYINFTSAMQNGMC-HUUCEWRRSA-N 0 0 437.545 -0.454 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466974728 1074512545 /nfs/dbraw/zinc/51/25/45/1074512545.db2.gz AVMSRFTVHJKIBF-UHFFFAOYSA-N 0 0 445.480 -0.903 20 0 IBADRN CCN(Cc1ccccc1)C(=O)CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466975206 1074512458 /nfs/dbraw/zinc/51/24/58/1074512458.db2.gz OAIBLWQHQFSJAP-UHFFFAOYSA-N 0 0 443.508 -0.293 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(C(=O)NC3CC3)c1)C2 ZINC000466975218 1074512540 /nfs/dbraw/zinc/51/25/40/1074512540.db2.gz OGZZROSVYZCALI-UHFFFAOYSA-N 0 0 441.492 -0.249 20 0 IBADRN COCCOc1ccccc1CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466975465 1074512421 /nfs/dbraw/zinc/51/24/21/1074512421.db2.gz OTXLMHNRPNKXDN-UHFFFAOYSA-N 0 0 432.481 -0.116 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccnc1N1CCOCC1)C2 ZINC000466975558 1074512447 /nfs/dbraw/zinc/51/24/47/1074512447.db2.gz PYESSSVXNFQJRS-UHFFFAOYSA-N 0 0 444.496 -0.910 20 0 IBADRN COCCOc1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466975669 1074512561 /nfs/dbraw/zinc/51/25/61/1074512561.db2.gz FFJBSYMGJJXTFI-UHFFFAOYSA-N 0 0 432.481 -0.116 20 0 IBADRN COCCOc1cccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000466975680 1074512439 /nfs/dbraw/zinc/51/24/39/1074512439.db2.gz FHDYCZNDOVPQKC-UHFFFAOYSA-N 0 0 432.481 -0.116 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccnc(N3CCCC3)c1)C2 ZINC000466976203 1074512402 /nfs/dbraw/zinc/51/24/02/1074512402.db2.gz SSNPTOHZSBKOAR-UHFFFAOYSA-N 0 0 428.497 -0.146 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1nncn1-c1ccccc1)C2 ZINC000466976316 1074512452 /nfs/dbraw/zinc/51/24/52/1074512452.db2.gz IZETXZZCBOJXFZ-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN CC(C)C[C@@H](CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N1CCOCC1 ZINC000466976823 1074512470 /nfs/dbraw/zinc/51/24/70/1074512470.db2.gz LHCZHCZLOWNYRN-INIZCTEOSA-N 0 0 437.545 -0.595 20 0 IBADRN CC(C)C[C@H](CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N1CCOCC1 ZINC000466976827 1072672857 /nfs/dbraw/zinc/67/28/57/1072672857.db2.gz LHCZHCZLOWNYRN-MRXNPFEDSA-N 0 0 437.545 -0.595 20 0 IBADRN COC(=O)c1cc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1OC ZINC000466977663 1074512395 /nfs/dbraw/zinc/51/23/95/1074512395.db2.gz UARSMFONGCXDOI-UHFFFAOYSA-N 0 0 446.464 -0.346 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC(=O)Nc1ccc(Cl)cc1)C2 ZINC000466978684 1074512515 /nfs/dbraw/zinc/51/25/15/1074512515.db2.gz HSPDPDZDVOTLSW-UHFFFAOYSA-N 0 0 435.872 -0.050 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCc1cc(F)cc3c1OCOC3)C2 ZINC000466979249 1074512878 /nfs/dbraw/zinc/51/28/78/1074512878.db2.gz ORGQZHTWUAKIQD-UHFFFAOYSA-N 0 0 448.455 -0.094 20 0 IBADRN COc1cc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(OC)c1OC ZINC000466979332 1074512871 /nfs/dbraw/zinc/51/28/71/1074512871.db2.gz PQHNMQIQJDAKKP-UHFFFAOYSA-N 0 0 448.480 -0.116 20 0 IBADRN COc1cc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1OCCO ZINC000466979611 1074513077 /nfs/dbraw/zinc/51/30/77/1074513077.db2.gz SVXHWWPZVJHYKM-UHFFFAOYSA-N 0 0 448.480 -0.762 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CC(=O)N(c3ccccc3)C1)C2 ZINC000466979770 1074512940 /nfs/dbraw/zinc/51/29/40/1074512940.db2.gz UEPZQCJEIHONBQ-AWEZNQCLSA-N 0 0 427.465 -0.536 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CC(=O)N(c3ccccc3)C1)C2 ZINC000466979771 1074512991 /nfs/dbraw/zinc/51/29/91/1074512991.db2.gz UEPZQCJEIHONBQ-CQSZACIVSA-N 0 0 427.465 -0.536 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000466979810 1074513001 /nfs/dbraw/zinc/51/30/01/1074513001.db2.gz URFURZBDUXSJKW-UHFFFAOYSA-N 0 0 447.471 -0.464 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccnc(-n3cccn3)c1)C2 ZINC000466980106 1074513094 /nfs/dbraw/zinc/51/30/94/1074513094.db2.gz DFXFCYDDLNPWJV-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466980430 1072792593 /nfs/dbraw/zinc/79/25/93/1072792593.db2.gz YRAUFEGWOOMWAL-UHFFFAOYSA-N 0 0 430.465 -0.313 20 0 IBADRN COc1cc(OC)c(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(OC)c1 ZINC000466980525 1074513066 /nfs/dbraw/zinc/51/30/66/1074513066.db2.gz HIPWIPBQBJQQSH-UHFFFAOYSA-N 0 0 448.480 -0.116 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCN1CCc3sccc3C1)C2 ZINC000466980746 1072767449 /nfs/dbraw/zinc/76/74/49/1072767449.db2.gz JHXVSPPADXANFO-UHFFFAOYSA-N 0 0 433.538 -0.222 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(-n3cncn3)cc1)C2 ZINC000466980791 1074513056 /nfs/dbraw/zinc/51/30/56/1074513056.db2.gz JUXXCMJWNUCPAZ-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000466980862 1074512887 /nfs/dbraw/zinc/51/28/87/1074512887.db2.gz KSGKYEALLAOCRC-UHFFFAOYSA-N 0 0 448.480 -0.116 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCNC(=O)c1ccc(F)cc1)C2 ZINC000466980996 1072766321 /nfs/dbraw/zinc/76/63/21/1072766321.db2.gz MLRRLUHHQMOLSS-UHFFFAOYSA-N 0 0 433.444 -0.773 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466982643 1073126768 /nfs/dbraw/zinc/12/67/68/1073126768.db2.gz KOCOCQOINPTILE-UHFFFAOYSA-N 0 0 429.481 -0.392 20 0 IBADRN CCOc1ccc(NC(=O)CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000466982753 1074512928 /nfs/dbraw/zinc/51/29/28/1074512928.db2.gz MHLBQSRMMDVWBC-UHFFFAOYSA-N 0 0 445.480 -0.304 20 0 IBADRN C[C@@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc2c(c1)NC(=O)CO2 ZINC000466982792 1074512910 /nfs/dbraw/zinc/51/29/10/1074512910.db2.gz MSWDZAUZLYNZBP-GFCCVEGCSA-N 0 0 443.464 -0.250 20 0 IBADRN C[C@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc2c(c1)NC(=O)CO2 ZINC000466982793 1074513449 /nfs/dbraw/zinc/51/34/49/1074513449.db2.gz MSWDZAUZLYNZBP-LBPRGKRZSA-N 0 0 443.464 -0.250 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC1CCN(c3ccccn3)CC1)C2 ZINC000466982960 1074513017 /nfs/dbraw/zinc/51/30/17/1074513017.db2.gz NYPHQXXFUUOWND-UHFFFAOYSA-N 0 0 428.497 -0.278 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC(=O)N1CCCc3ccccc31)C2 ZINC000466984794 1074513362 /nfs/dbraw/zinc/51/33/62/1074513362.db2.gz PZSLTVYEOFJPNX-UHFFFAOYSA-N 0 0 441.492 -0.362 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC(=O)N(C)Cc1ccccc1)C2 ZINC000466985796 1074513374 /nfs/dbraw/zinc/51/33/74/1074513374.db2.gz YNBAWECOGHDPTN-UHFFFAOYSA-N 0 0 429.481 -0.683 20 0 IBADRN CC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000466986410 1074513435 /nfs/dbraw/zinc/51/34/35/1074513435.db2.gz CBGACZLXYUKKFB-UHFFFAOYSA-N 0 0 448.501 -0.576 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000466989170 1072493951 /nfs/dbraw/zinc/49/39/51/1072493951.db2.gz BJPUTFNRWMIRPX-UHFFFAOYSA-N 0 0 438.550 -0.324 20 0 IBADRN CC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000466989978 1074513392 /nfs/dbraw/zinc/51/33/92/1074513392.db2.gz SRQLMRJLZGHSBU-UHFFFAOYSA-N 0 0 434.474 -0.717 20 0 IBADRN CCc1n[nH]c(=O)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1CC ZINC000466991826 1072557167 /nfs/dbraw/zinc/55/71/67/1072557167.db2.gz KWMGHUOSICQGNZ-UHFFFAOYSA-N 0 0 426.543 -0.443 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000466995055 1074513463 /nfs/dbraw/zinc/51/34/63/1074513463.db2.gz QMNJXUIMSIXRFX-UHFFFAOYSA-N 0 0 426.539 -0.354 20 0 IBADRN CC(=O)c1cn(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000466995792 1072750100 /nfs/dbraw/zinc/75/01/00/1072750100.db2.gz DZMSQMZSQBDVMP-UHFFFAOYSA-N 0 0 437.474 -0.151 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC(=O)N1CCc3ccccc3C1)C2 ZINC000467004605 1074513819 /nfs/dbraw/zinc/51/38/19/1074513819.db2.gz DMYXQDWHUVPEPX-UHFFFAOYSA-N 0 0 441.492 -0.757 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cc(F)cc3c1OCOC3)C2 ZINC000467004718 1074513934 /nfs/dbraw/zinc/51/39/34/1074513934.db2.gz FOJRKRGWWOCMAL-UHFFFAOYSA-N 0 0 434.428 -0.136 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCn1c3ccccc3oc1=O)C2 ZINC000467006278 1074513929 /nfs/dbraw/zinc/51/39/29/1074513929.db2.gz GSJDOMLJKGHCGJ-UHFFFAOYSA-N 0 0 429.437 -0.734 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467006304 1074513878 /nfs/dbraw/zinc/51/38/78/1074513878.db2.gz HJSGTTDGCRWHJC-UHFFFAOYSA-N 0 0 429.481 -0.603 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCN(C(=O)C1CCC1)C1CC1)C2 ZINC000467006463 1074513848 /nfs/dbraw/zinc/51/38/48/1074513848.db2.gz NZKHTPVTFSGVLB-UHFFFAOYSA-N 0 0 447.540 -0.161 20 0 IBADRN COc1ccc(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(OC)c1 ZINC000467006800 1074513954 /nfs/dbraw/zinc/51/39/54/1074513954.db2.gz KRKNHWMDXULNSO-UHFFFAOYSA-N 0 0 432.481 -0.082 20 0 IBADRN CC(=O)Nc1cccc(OCCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467006830 1074513784 /nfs/dbraw/zinc/51/37/84/1074513784.db2.gz XNBTZMMXLDGKIN-UHFFFAOYSA-N 0 0 445.480 -0.304 20 0 IBADRN COc1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1NC(C)=O ZINC000467006901 1074513840 /nfs/dbraw/zinc/51/38/40/1074513840.db2.gz POBXQRWLFGYYEX-UHFFFAOYSA-N 0 0 445.480 -0.175 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCN(c4nccn(C)c4=O)CC3)nc2)CC1 ZINC000467008170 1074513830 /nfs/dbraw/zinc/51/38/30/1074513830.db2.gz AZQPLPVYWGUAOQ-UHFFFAOYSA-N 0 0 433.538 -0.562 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(-n3cncn3)c1)C2 ZINC000467008312 1074513990 /nfs/dbraw/zinc/51/39/90/1074513990.db2.gz XVBUEGKCEQDWMF-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCNC(=O)c1ccc(F)cc1)C2 ZINC000467008552 1074513947 /nfs/dbraw/zinc/51/39/47/1074513947.db2.gz YWQVXNZYIUSXTB-UHFFFAOYSA-N 0 0 447.471 -0.383 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC(=O)N1CCc3ccccc31)C2 ZINC000467008806 1074513966 /nfs/dbraw/zinc/51/39/66/1074513966.db2.gz GESRYYZUCVGSJH-UHFFFAOYSA-N 0 0 427.465 -0.753 20 0 IBADRN C[C@@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1nnnn1-c1ccccc1 ZINC000467010228 1074513801 /nfs/dbraw/zinc/51/38/01/1074513801.db2.gz CWNOHSGVAXWUSW-CYBMUJFWSA-N 0 0 440.468 -0.605 20 0 IBADRN C[C@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1nnnn1-c1ccccc1 ZINC000467010229 1074514397 /nfs/dbraw/zinc/51/43/97/1074514397.db2.gz CWNOHSGVAXWUSW-ZDUSSCGKSA-N 0 0 440.468 -0.605 20 0 IBADRN COc1cccc(N2CC[C@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2)c1 ZINC000467010519 1074514367 /nfs/dbraw/zinc/51/43/67/1074514367.db2.gz IYFVCBWFRNCTTI-HNNXBMFYSA-N 0 0 443.508 -0.054 20 0 IBADRN COc1cccc(N2CC[C@@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2)c1 ZINC000467010520 1074514232 /nfs/dbraw/zinc/51/42/32/1074514232.db2.gz IYFVCBWFRNCTTI-OAHLLOKOSA-N 0 0 443.508 -0.054 20 0 IBADRN CC(C)CC(=O)N1CCC(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000467011627 1074514440 /nfs/dbraw/zinc/51/44/40/1074514440.db2.gz AMHILLZFLNLDRP-UHFFFAOYSA-N 0 0 435.529 -0.305 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCN1C(=O)Cc3ccccc31)C2 ZINC000467011861 1074514434 /nfs/dbraw/zinc/51/44/34/1074514434.db2.gz DYKKLCXBWIWFEQ-UHFFFAOYSA-N 0 0 427.465 -0.753 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCN(Cc3ccccc3)C1=O)C2 ZINC000467013527 1074514256 /nfs/dbraw/zinc/51/42/56/1074514256.db2.gz KKNPCPDGSYCXQM-INIZCTEOSA-N 0 0 441.492 -0.541 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCN(Cc3ccccc3)C1=O)C2 ZINC000467013528 1074514304 /nfs/dbraw/zinc/51/43/04/1074514304.db2.gz KKNPCPDGSYCXQM-MRXNPFEDSA-N 0 0 441.492 -0.541 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467015922 1074514742 /nfs/dbraw/zinc/51/47/42/1074514742.db2.gz TUPGNRLRBQZCFB-UHFFFAOYSA-N 0 0 446.464 -0.590 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1sccc1S(=O)(=O)N(C)C)C2 ZINC000467042199 1071483296 /nfs/dbraw/zinc/48/32/96/1071483296.db2.gz BLZQCTPZULRBFO-UHFFFAOYSA-N 0 0 442.523 -0.899 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cnc12 ZINC000467042229 1074514784 /nfs/dbraw/zinc/51/47/84/1074514784.db2.gz BUSBSODZSXHQEV-UHFFFAOYSA-N 0 0 425.449 -0.806 20 0 IBADRN CC1CCC(N2C[C@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)CC1 ZINC000467043991 1074514888 /nfs/dbraw/zinc/51/48/88/1074514888.db2.gz HRUYHHSKCMVASL-HWOWSKLDSA-N 0 0 432.525 -0.098 20 0 IBADRN CC1CCC(N2C[C@@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)CC1 ZINC000467043992 1074514801 /nfs/dbraw/zinc/51/48/01/1074514801.db2.gz HRUYHHSKCMVASL-PCKAHOCUSA-N 0 0 432.525 -0.098 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000467044431 1074514749 /nfs/dbraw/zinc/51/47/49/1074514749.db2.gz JCCWHEONIMXIIJ-CYBMUJFWSA-N 0 0 444.535 -0.492 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000467044548 1074514811 /nfs/dbraw/zinc/51/48/11/1074514811.db2.gz JCCWHEONIMXIIJ-ZDUSSCGKSA-N 0 0 444.535 -0.492 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000467044581 1074514836 /nfs/dbraw/zinc/51/48/36/1074514836.db2.gz JHCRCYUQVYAQHW-HNNXBMFYSA-N 0 0 425.507 -0.373 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000467044592 1074514776 /nfs/dbraw/zinc/51/47/76/1074514776.db2.gz JHCRCYUQVYAQHW-OAHLLOKOSA-N 0 0 425.507 -0.373 20 0 IBADRN C[C@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)n1nnc(-c2ccccc2)n1 ZINC000467044725 1074514879 /nfs/dbraw/zinc/51/48/79/1074514879.db2.gz JTAHIKJOCVOEJI-CYBMUJFWSA-N 0 0 425.453 -0.610 20 0 IBADRN C[C@@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)n1nnc(-c2ccccc2)n1 ZINC000467044728 1074514730 /nfs/dbraw/zinc/51/47/30/1074514730.db2.gz JTAHIKJOCVOEJI-ZDUSSCGKSA-N 0 0 425.453 -0.610 20 0 IBADRN Cc1nc(-c2cnn(C)c2)sc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467045660 1074515256 /nfs/dbraw/zinc/51/52/56/1074515256.db2.gz MLXNFQPNXFHZEQ-UHFFFAOYSA-N 0 0 430.494 -0.046 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCCN1C(=O)[C@@H]1CCCO1)C2 ZINC000467045730 1074515359 /nfs/dbraw/zinc/51/53/59/1074515359.db2.gz NAKPSKCAGRHMGQ-CABCVRRESA-N 0 0 434.497 -0.965 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCCN1C(=O)[C@@H]1CCCO1)C2 ZINC000467045731 1074515263 /nfs/dbraw/zinc/51/52/63/1074515263.db2.gz NAKPSKCAGRHMGQ-GJZGRUSLSA-N 0 0 434.497 -0.965 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCCN1C(=O)[C@H]1CCCO1)C2 ZINC000467045732 1074515324 /nfs/dbraw/zinc/51/53/24/1074515324.db2.gz NAKPSKCAGRHMGQ-HUUCEWRRSA-N 0 0 434.497 -0.965 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCCN1C(=O)[C@H]1CCCO1)C2 ZINC000467045733 1074515280 /nfs/dbraw/zinc/51/52/80/1074515280.db2.gz NAKPSKCAGRHMGQ-LSDHHAIUSA-N 0 0 434.497 -0.965 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467045876 1074514816 /nfs/dbraw/zinc/51/48/16/1074514816.db2.gz MIHZAEVKOWQQHP-HNNXBMFYSA-N 0 0 435.529 -0.447 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467045877 1074514843 /nfs/dbraw/zinc/51/48/43/1074514843.db2.gz MIHZAEVKOWQQHP-OAHLLOKOSA-N 0 0 435.529 -0.447 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467046461 1074514718 /nfs/dbraw/zinc/51/47/18/1074514718.db2.gz NWFPHEPCQHTQBN-UHFFFAOYSA-N 0 0 446.464 -0.656 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cn1cc(Br)ccc1=O)C2 ZINC000467046772 1071510346 /nfs/dbraw/zinc/51/03/46/1071510346.db2.gz ORYZTDGKUUDESY-UHFFFAOYSA-N 0 0 439.270 -0.900 20 0 IBADRN Cn1ccnc(N2CCN(S(=O)(=O)CCCN3C(=O)c4ccccc4C3=O)CC2)c1=O ZINC000467047020 1074515235 /nfs/dbraw/zinc/51/52/35/1074515235.db2.gz PQXWVXKJFKLCOA-UHFFFAOYSA-N 0 0 445.501 -0.082 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000467047586 1071513147 /nfs/dbraw/zinc/51/31/47/1071513147.db2.gz SAITUNBZNIKZDX-CYBMUJFWSA-N 0 0 429.520 -0.126 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000467047587 1071513174 /nfs/dbraw/zinc/51/31/74/1071513174.db2.gz SAITUNBZNIKZDX-ZDUSSCGKSA-N 0 0 429.520 -0.126 20 0 IBADRN Cc1nc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nn1-c1ccc(F)cc1 ZINC000467047706 1074515335 /nfs/dbraw/zinc/51/53/35/1074515335.db2.gz QRHWYBSYJHAKMH-UHFFFAOYSA-N 0 0 428.428 -0.183 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1C(=O)CSc3ccccc31)C2 ZINC000467048098 1074515327 /nfs/dbraw/zinc/51/53/27/1074515327.db2.gz RKPQMZRNRRFZRA-UHFFFAOYSA-N 0 0 430.490 -0.386 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)n2)cc1 ZINC000467048857 1074515302 /nfs/dbraw/zinc/51/53/02/1074515302.db2.gz SZDZZQWIYRSZPM-UHFFFAOYSA-N 0 0 425.453 -0.863 20 0 IBADRN C[C@H](NC(=O)COc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467049060 1074515312 /nfs/dbraw/zinc/51/53/12/1074515312.db2.gz VRJJBOUXKQGCSC-AWEZNQCLSA-N 0 0 430.465 -0.941 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC000467049063 1074515193 /nfs/dbraw/zinc/51/51/93/1074515193.db2.gz GEVROBUWYAYHAI-AWEZNQCLSA-N 0 0 448.505 -0.710 20 0 IBADRN C[C@@H](NC(=O)COc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467049064 1074515293 /nfs/dbraw/zinc/51/52/93/1074515293.db2.gz VRJJBOUXKQGCSC-CQSZACIVSA-N 0 0 430.465 -0.941 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC000467049068 1074515217 /nfs/dbraw/zinc/51/52/17/1074515217.db2.gz GEVROBUWYAYHAI-CQSZACIVSA-N 0 0 448.505 -0.710 20 0 IBADRN C[C@H]1CN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CCN1S(C)(=O)=O ZINC000467049503 1071516487 /nfs/dbraw/zinc/51/64/87/1071516487.db2.gz WNQOUMDZOKPGDM-ZDUSSCGKSA-N 0 0 429.520 -0.032 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3ccc(OC[C@@H]4CCOC4)c(F)c3)CCN2C1=O ZINC000467049665 1074515284 /nfs/dbraw/zinc/51/52/84/1074515284.db2.gz VKFGBBJACXOPIG-CHWSQXEVSA-N 0 0 427.454 -0.428 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3ccc(OC[C@@H]4CCOC4)c(F)c3)CCN2C1=O ZINC000467049666 1074515376 /nfs/dbraw/zinc/51/53/76/1074515376.db2.gz VKFGBBJACXOPIG-OLZOCXBDSA-N 0 0 427.454 -0.428 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3ccc(OC[C@H]4CCOC4)c(F)c3)CCN2C1=O ZINC000467049667 1074515364 /nfs/dbraw/zinc/51/53/64/1074515364.db2.gz VKFGBBJACXOPIG-QWHCGFSZSA-N 0 0 427.454 -0.428 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3ccc(OC[C@H]4CCOC4)c(F)c3)CCN2C1=O ZINC000467049668 1074515208 /nfs/dbraw/zinc/51/52/08/1074515208.db2.gz VKFGBBJACXOPIG-STQMWFEESA-N 0 0 427.454 -0.428 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467052396 1071530943 /nfs/dbraw/zinc/53/09/43/1071530943.db2.gz YJCXGWZYHAMGGS-AWEZNQCLSA-N 0 0 442.542 -0.931 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467052397 1071531001 /nfs/dbraw/zinc/53/10/01/1071531001.db2.gz YJCXGWZYHAMGGS-CQSZACIVSA-N 0 0 442.542 -0.931 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CSCN1C(=O)C1CCCC1)C2 ZINC000467052548 1071534938 /nfs/dbraw/zinc/53/49/38/1071534938.db2.gz ZJTOUYFLXFAWKF-AWEZNQCLSA-N 0 0 436.538 -0.433 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CSCN1C(=O)C1CCCC1)C2 ZINC000467052549 1071535160 /nfs/dbraw/zinc/53/51/60/1071535160.db2.gz ZJTOUYFLXFAWKF-CQSZACIVSA-N 0 0 436.538 -0.433 20 0 IBADRN COc1ccc([C@H](CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)NC(C)=O)cc1 ZINC000467052756 1074515707 /nfs/dbraw/zinc/51/57/07/1074515707.db2.gz BJAIIBFSDLYMJT-KRWDZBQOSA-N 0 0 444.492 -0.249 20 0 IBADRN COc1ccc([C@@H](CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)NC(C)=O)cc1 ZINC000467052757 1074515765 /nfs/dbraw/zinc/51/57/65/1074515765.db2.gz BJAIIBFSDLYMJT-QGZVFWFLSA-N 0 0 444.492 -0.249 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCN1C(=O)[C@H]3CCCC[C@@H]3C1=O)C2 ZINC000467052889 1074515645 /nfs/dbraw/zinc/51/56/45/1074515645.db2.gz CPQPMOODGMAPGJ-KBPBESRZSA-N 0 0 432.481 -0.960 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCN1C(=O)[C@@H]3CCCC[C@@H]3C1=O)C2 ZINC000467052890 1074515663 /nfs/dbraw/zinc/51/56/63/1074515663.db2.gz CPQPMOODGMAPGJ-OKILXGFUSA-N 0 0 432.481 -0.960 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCN1C(=O)[C@@H]3CCCC[C@H]3C1=O)C2 ZINC000467052891 1074515693 /nfs/dbraw/zinc/51/56/93/1074515693.db2.gz CPQPMOODGMAPGJ-ZIAGYGMSSA-N 0 0 432.481 -0.960 20 0 IBADRN CC(C)[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467053035 1074515739 /nfs/dbraw/zinc/51/57/39/1074515739.db2.gz DXGOFBGLCTVTNP-FQEVSTJZSA-N 0 0 442.520 -0.141 20 0 IBADRN CC(C)[C@@H](NC(=O)Cc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467053036 1074515656 /nfs/dbraw/zinc/51/56/56/1074515656.db2.gz DXGOFBGLCTVTNP-HXUWFJFHSA-N 0 0 442.520 -0.141 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467053441 1074515383 /nfs/dbraw/zinc/51/53/83/1074515383.db2.gz IUIFTYLOIBZEHF-AWEZNQCLSA-N 0 0 442.542 -0.931 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467053442 1074515700 /nfs/dbraw/zinc/51/57/00/1074515700.db2.gz IUIFTYLOIBZEHF-CQSZACIVSA-N 0 0 442.542 -0.931 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000467053680 1074515730 /nfs/dbraw/zinc/51/57/30/1074515730.db2.gz LDDZJMFTYZNLRZ-HNNXBMFYSA-N 0 0 426.488 -0.320 20 0 IBADRN CCOC(=O)[C@](C)(O)CNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000467053681 1074515680 /nfs/dbraw/zinc/51/56/80/1074515680.db2.gz LDDZJMFTYZNLRZ-OAHLLOKOSA-N 0 0 426.488 -0.320 20 0 IBADRN CC(=O)N(CCc1ccccc1)CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467053952 1074515773 /nfs/dbraw/zinc/51/57/73/1074515773.db2.gz OEPAUXXETZUIFD-UHFFFAOYSA-N 0 0 428.493 -0.434 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)CC2 ZINC000467054008 1074515639 /nfs/dbraw/zinc/51/56/39/1074515639.db2.gz OTNNLUJOQLLZOE-AAEUAGOBSA-N 0 0 425.515 -0.427 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)CC2 ZINC000467054009 1074515759 /nfs/dbraw/zinc/51/57/59/1074515759.db2.gz OTNNLUJOQLLZOE-DGCLKSJQSA-N 0 0 425.515 -0.427 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)CC2 ZINC000467054010 1074515675 /nfs/dbraw/zinc/51/56/75/1074515675.db2.gz OTNNLUJOQLLZOE-WCQYABFASA-N 0 0 425.515 -0.427 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)CC2 ZINC000467054011 1074515720 /nfs/dbraw/zinc/51/57/20/1074515720.db2.gz OTNNLUJOQLLZOE-YPMHNXCESA-N 0 0 425.515 -0.427 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(NC(=O)[C@@H]3CCCO3)c1)C2 ZINC000467054318 1071536437 /nfs/dbraw/zinc/53/64/37/1071536437.db2.gz RTJNKIREYSNNMM-INIZCTEOSA-N 0 0 442.476 -0.094 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(NC(=O)[C@H]3CCCO3)c1)C2 ZINC000467054319 1071536348 /nfs/dbraw/zinc/53/63/48/1071536348.db2.gz RTJNKIREYSNNMM-MRXNPFEDSA-N 0 0 442.476 -0.094 20 0 IBADRN CCc1ccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1S(C)(=O)=O ZINC000467054466 1074515688 /nfs/dbraw/zinc/51/56/88/1074515688.db2.gz TVNFLKLDTIGRJU-UHFFFAOYSA-N 0 0 435.506 -0.245 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(c3ccc(F)cc3F)C1)C2 ZINC000467054516 1074515780 /nfs/dbraw/zinc/51/57/80/1074515780.db2.gz UCHVWGXHIWJXLG-GFCCVEGCSA-N 0 0 448.430 -0.194 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(c3ccc(F)cc3F)C1)C2 ZINC000467054517 1074515634 /nfs/dbraw/zinc/51/56/34/1074515634.db2.gz UCHVWGXHIWJXLG-LBPRGKRZSA-N 0 0 448.430 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467054718 1074516175 /nfs/dbraw/zinc/51/61/75/1074516175.db2.gz WCFFAGFYUWTJPI-UHFFFAOYSA-N 0 0 435.506 -0.352 20 0 IBADRN CCn1c2ccccc2n(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1=O ZINC000467055139 1074516156 /nfs/dbraw/zinc/51/61/56/1074516156.db2.gz ANKKDNJTUUIXLR-UHFFFAOYSA-N 0 0 427.465 -0.688 20 0 IBADRN Cc1cnn(-c2c(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c(C)nn2C)c1 ZINC000467055319 1074515747 /nfs/dbraw/zinc/51/57/47/1074515747.db2.gz BPIMHYXYIJKWDS-UHFFFAOYSA-N 0 0 427.469 -0.675 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C1(CC(=O)N3CCOCC3)CCCC1)C2 ZINC000467056236 1074516192 /nfs/dbraw/zinc/51/61/92/1074516192.db2.gz AITBYBWFCBOHFV-UHFFFAOYSA-N 0 0 448.524 -0.716 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc3c(c1)NC(=O)CCN3C)C2 ZINC000467056260 1074516085 /nfs/dbraw/zinc/51/60/85/1074516085.db2.gz ARKBUIOOGRNLRJ-UHFFFAOYSA-N 0 0 427.465 -0.433 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN1C(=O)Cc1cccs1)C2 ZINC000467056374 1074516149 /nfs/dbraw/zinc/51/61/49/1074516149.db2.gz HJWVAOFTBUPRLD-HNNXBMFYSA-N 0 0 446.533 -0.230 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN1C(=O)Cc1cccs1)C2 ZINC000467056384 1074516107 /nfs/dbraw/zinc/51/61/07/1074516107.db2.gz HJWVAOFTBUPRLD-OAHLLOKOSA-N 0 0 446.533 -0.230 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCN1C(=O)CCc3ccccc31)C2 ZINC000467056536 1074516138 /nfs/dbraw/zinc/51/61/38/1074516138.db2.gz ILWXYYJJIQAMRB-UHFFFAOYSA-N 0 0 426.477 -0.155 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCC(=O)N1CCc3sccc3C1)C2 ZINC000467056548 1074516118 /nfs/dbraw/zinc/51/61/18/1074516118.db2.gz IPWLYRGICRQUFH-UHFFFAOYSA-N 0 0 446.533 -0.098 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467056555 1074516220 /nfs/dbraw/zinc/51/62/20/1074516220.db2.gz FTTUSEJULGRGPQ-UHFFFAOYSA-N 0 0 435.506 -0.489 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)COc1ccc3c(c1)CCC(=O)N3)C2 ZINC000467056817 1074516020 /nfs/dbraw/zinc/51/60/20/1074516020.db2.gz JHNDKWDLCHAMQH-UHFFFAOYSA-N 0 0 428.449 -0.561 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1=O ZINC000467056821 1074516167 /nfs/dbraw/zinc/51/61/67/1074516167.db2.gz JIZWAPRTUVAKDS-HNNXBMFYSA-N 0 0 437.474 -0.244 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1=O ZINC000467056822 1074516225 /nfs/dbraw/zinc/51/62/25/1074516225.db2.gz JIZWAPRTUVAKDS-OAHLLOKOSA-N 0 0 437.474 -0.244 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc3c(c1)CCN3S(C)(=O)=O)C2 ZINC000467057072 1074516203 /nfs/dbraw/zinc/51/62/03/1074516203.db2.gz CZQBSMWYTJXZMG-UHFFFAOYSA-N 0 0 448.505 -0.889 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(I)c[nH]1)C2 ZINC000467057605 1074516127 /nfs/dbraw/zinc/51/61/27/1074516127.db2.gz FUQUBQRKKJCNKB-UHFFFAOYSA-N 0 0 444.233 -0.278 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN1C(=O)c1cccs1)C2 ZINC000467058170 1070812929 /nfs/dbraw/zinc/81/29/29/1070812929.db2.gz KOQRYARQIWRNPB-ZDUSSCGKSA-N 0 0 432.506 -0.159 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCn1cnc3ccccc3c1=O)C2 ZINC000467058315 1074516184 /nfs/dbraw/zinc/51/61/84/1074516184.db2.gz LEJWGDDXAYQZEJ-UHFFFAOYSA-N 0 0 425.449 -0.725 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1=O ZINC000467058694 1074516471 /nfs/dbraw/zinc/51/64/71/1074516471.db2.gz JNVOICOSDHXHAO-CYBMUJFWSA-N 0 0 428.449 -0.711 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1=O ZINC000467058695 1074516585 /nfs/dbraw/zinc/51/65/85/1074516585.db2.gz JNVOICOSDHXHAO-ZDUSSCGKSA-N 0 0 428.449 -0.711 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCCN1C(=O)c1ccco1)C2 ZINC000467058736 1074516609 /nfs/dbraw/zinc/51/66/09/1074516609.db2.gz QYQYZSRIRXGZLN-AWEZNQCLSA-N 0 0 430.465 -0.237 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCCN1C(=O)c1ccco1)C2 ZINC000467058737 1074516598 /nfs/dbraw/zinc/51/65/98/1074516598.db2.gz QYQYZSRIRXGZLN-CQSZACIVSA-N 0 0 430.465 -0.237 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467058925 1074516053 /nfs/dbraw/zinc/51/60/53/1074516053.db2.gz KAFQWVVJYJHEDD-UHFFFAOYSA-N 0 0 430.465 -0.744 20 0 IBADRN CCc1csc(-n2cc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cn2)n1 ZINC000467059293 1074516075 /nfs/dbraw/zinc/51/60/75/1074516075.db2.gz LOPPRMRURBGAJG-UHFFFAOYSA-N 0 0 430.494 -0.006 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(N3CCCS3(=O)=O)cc1)C2 ZINC000467059409 1074516040 /nfs/dbraw/zinc/51/60/40/1074516040.db2.gz OCYAKFJSMJHGMR-UHFFFAOYSA-N 0 0 448.505 -0.671 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467060593 1074516969 /nfs/dbraw/zinc/51/69/69/1074516969.db2.gz YTMIBXHDRRCQDN-INIZCTEOSA-N 0 0 449.556 -0.057 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467060594 1074517101 /nfs/dbraw/zinc/51/71/01/1074517101.db2.gz YTMIBXHDRRCQDN-MRXNPFEDSA-N 0 0 449.556 -0.057 20 0 IBADRN COc1ccccc1N1C[C@@H](C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1=O ZINC000467060786 1074517093 /nfs/dbraw/zinc/51/70/93/1074517093.db2.gz ZWABYLCFWPMRCM-AWEZNQCLSA-N 0 0 442.476 -0.463 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1=O ZINC000467060787 1074517038 /nfs/dbraw/zinc/51/70/38/1074517038.db2.gz ZWABYLCFWPMRCM-CQSZACIVSA-N 0 0 442.476 -0.463 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nn1-c1ccccc1 ZINC000467060953 1074517010 /nfs/dbraw/zinc/51/70/10/1074517010.db2.gz UHWXHNDYLAFVBN-UHFFFAOYSA-N 0 0 437.460 -0.357 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](c1ccccc1)N1CCN(C)CC1)C2 ZINC000467061148 1070825406 /nfs/dbraw/zinc/82/54/06/1070825406.db2.gz CDVYTHHZFJUDNA-FQEVSTJZSA-N 0 0 441.536 -0.536 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](c1ccccc1)N1CCN(C)CC1)C2 ZINC000467061149 1070824859 /nfs/dbraw/zinc/82/48/59/1070824859.db2.gz CDVYTHHZFJUDNA-HXUWFJFHSA-N 0 0 441.536 -0.536 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCN1C(=O)COc3ccccc31)C2 ZINC000467061158 1074516620 /nfs/dbraw/zinc/51/66/20/1074516620.db2.gz CGUMMRQHAJUNFK-UHFFFAOYSA-N 0 0 428.449 -0.709 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)COc1ccc(N3CCCC3=O)cc1)C2 ZINC000467061195 1074516881 /nfs/dbraw/zinc/51/68/81/1074516881.db2.gz CRIHRTSUNGKJNU-UHFFFAOYSA-N 0 0 442.476 -0.319 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cc1cccc(NS(C)(=O)=O)c1)C2 ZINC000467061377 1074516614 /nfs/dbraw/zinc/51/66/14/1074516614.db2.gz DJMQYNYAGXVJFQ-UHFFFAOYSA-N 0 0 436.494 -0.911 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cn(Cc3cccc(F)c3)nn1)C2 ZINC000467061490 1074516551 /nfs/dbraw/zinc/51/65/51/1074516551.db2.gz BACAGTOSQPQVCN-UHFFFAOYSA-N 0 0 428.428 -0.432 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CNC(=O)NCc1ccc(F)cc1)C2 ZINC000467061721 1074516926 /nfs/dbraw/zinc/51/69/26/1074516926.db2.gz DXUWBZMDCNPDKC-UHFFFAOYSA-N 0 0 433.444 -0.886 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)CCCN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000467061827 1074517073 /nfs/dbraw/zinc/51/70/73/1074517073.db2.gz FFMCXBQPGLACAV-UHFFFAOYSA-N 0 0 433.446 -0.063 20 0 IBADRN CC(C)S(=O)(=O)c1ccccc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467063405 1074517085 /nfs/dbraw/zinc/51/70/85/1074517085.db2.gz RATRIYRVVXGMIC-UHFFFAOYSA-N 0 0 435.506 -0.029 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCCc1ccc3c(c1)CC(=O)N3)C2 ZINC000467063512 1074516914 /nfs/dbraw/zinc/51/69/14/1074516914.db2.gz JJUQPLZIJOFLCC-UHFFFAOYSA-N 0 0 426.477 -0.007 20 0 IBADRN CC(=O)N(CCC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Cc1ccccc1 ZINC000467063783 1074517027 /nfs/dbraw/zinc/51/70/27/1074517027.db2.gz KJIFLMRIVZDTSY-UHFFFAOYSA-N 0 0 428.493 -0.086 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CSc1nnnn1C1CCCC1)C2 ZINC000467064103 1074517018 /nfs/dbraw/zinc/51/70/18/1074517018.db2.gz LPVRQFZGTWIUSH-UHFFFAOYSA-N 0 0 435.514 -0.631 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467064393 1074516948 /nfs/dbraw/zinc/51/69/48/1074516948.db2.gz MIOJJBWIHTZDTK-UHFFFAOYSA-N 0 0 449.533 -0.100 20 0 IBADRN Cc1ccc(CNC(=O)NCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467064800 1074517049 /nfs/dbraw/zinc/51/70/49/1074517049.db2.gz OGIYFAVBEMIRJB-UHFFFAOYSA-N 0 0 429.481 -0.717 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467064939 1074516897 /nfs/dbraw/zinc/51/68/97/1074516897.db2.gz XKWXFJCPSOUABG-UHFFFAOYSA-N 0 0 435.506 -0.245 20 0 IBADRN C[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467065400 1074516938 /nfs/dbraw/zinc/51/69/38/1074516938.db2.gz QCHHOPIZGUUXKI-GFCCVEGCSA-N 0 0 434.884 -0.053 20 0 IBADRN C[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467065401 1074516990 /nfs/dbraw/zinc/51/69/90/1074516990.db2.gz QCHHOPIZGUUXKI-LBPRGKRZSA-N 0 0 434.884 -0.053 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(CN3CCCC3=O)c1)C2 ZINC000467065520 1074517452 /nfs/dbraw/zinc/51/74/52/1074517452.db2.gz QWPKFIUZSIQMFW-UHFFFAOYSA-N 0 0 426.477 -0.089 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000467065729 1074517556 /nfs/dbraw/zinc/51/75/56/1074517556.db2.gz RFWDUAWBHLVZBD-UHFFFAOYSA-N 0 0 435.506 -0.191 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCn1c3ccccc3n(C)c1=O)C2 ZINC000467065799 1074517519 /nfs/dbraw/zinc/51/75/19/1074517519.db2.gz RQRDTGWLXXJMKQ-UHFFFAOYSA-N 0 0 427.465 -0.781 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467065922 1074517509 /nfs/dbraw/zinc/51/75/09/1074517509.db2.gz SAGPQDNORMDOMN-UHFFFAOYSA-N 0 0 436.494 -0.913 20 0 IBADRN Cc1ccc(CN2C(=O)CC[C@H]2C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467066503 1074517476 /nfs/dbraw/zinc/51/74/76/1074517476.db2.gz VROFCWVERZYCGY-KRWDZBQOSA-N 0 0 440.504 -0.025 20 0 IBADRN Cc1ccc(CN2C(=O)CC[C@@H]2C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467066504 1074517437 /nfs/dbraw/zinc/51/74/37/1074517437.db2.gz VROFCWVERZYCGY-QGZVFWFLSA-N 0 0 440.504 -0.025 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(Cc3ccccc3)C1)C2 ZINC000467067005 1070872692 /nfs/dbraw/zinc/87/26/92/1070872692.db2.gz ZNYVSTSGDHJZFN-INIZCTEOSA-N 0 0 426.477 -0.476 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(Cc3ccccc3)C1)C2 ZINC000467067006 1070872897 /nfs/dbraw/zinc/87/28/97/1070872897.db2.gz ZNYVSTSGDHJZFN-MRXNPFEDSA-N 0 0 426.477 -0.476 20 0 IBADRN Cc1ccc(CN2C[C@@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)cc1 ZINC000467067033 1074517492 /nfs/dbraw/zinc/51/74/92/1074517492.db2.gz ZVZKBHQZJCZUEZ-KRWDZBQOSA-N 0 0 440.504 -0.168 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)cc1 ZINC000467067034 1074517483 /nfs/dbraw/zinc/51/74/83/1074517483.db2.gz ZVZKBHQZJCZUEZ-QGZVFWFLSA-N 0 0 440.504 -0.168 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(=O)n(-c3ccccc3F)n1)C2 ZINC000467067391 1074517527 /nfs/dbraw/zinc/51/75/27/1074517527.db2.gz BCVNKKXOHNJHJW-UHFFFAOYSA-N 0 0 441.423 -0.526 20 0 IBADRN COc1cn(-c2ccccc2)nc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467067575 1074517970 /nfs/dbraw/zinc/51/79/70/1074517970.db2.gz DMYHQCNQLMEQPU-UHFFFAOYSA-N 0 0 425.449 -0.017 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN1C(=O)Cc1ccccc1)C2 ZINC000467067847 1074517563 /nfs/dbraw/zinc/51/75/63/1074517563.db2.gz HJKSZDLCFPIZJZ-KRWDZBQOSA-N 0 0 440.504 -0.291 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN1C(=O)Cc1ccccc1)C2 ZINC000467067848 1074517501 /nfs/dbraw/zinc/51/75/01/1074517501.db2.gz HJKSZDLCFPIZJZ-QGZVFWFLSA-N 0 0 440.504 -0.291 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467068012 1074517537 /nfs/dbraw/zinc/51/75/37/1074517537.db2.gz IEZZHZCERDVQJA-KRWDZBQOSA-N 0 0 444.492 -0.769 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467068013 1074517547 /nfs/dbraw/zinc/51/75/47/1074517547.db2.gz IEZZHZCERDVQJA-QGZVFWFLSA-N 0 0 444.492 -0.769 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1=O ZINC000467068073 1074517570 /nfs/dbraw/zinc/51/75/70/1074517570.db2.gz IVIKRFAGZDIRJN-AWEZNQCLSA-N 0 0 442.476 -0.321 20 0 IBADRN C[C@H]1Oc2ccccc2N(CCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1=O ZINC000467068074 1074517465 /nfs/dbraw/zinc/51/74/65/1074517465.db2.gz IVIKRFAGZDIRJN-CQSZACIVSA-N 0 0 442.476 -0.321 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCCNC(=O)[C@@H]3CC=CCC3)CC2)CC1 ZINC000467068075 1074517445 /nfs/dbraw/zinc/51/74/45/1074517445.db2.gz IVJCQDWJLKTVKJ-GOSISDBHSA-N 0 0 441.598 -0.125 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCCNC(=O)[C@H]3CC=CCC3)CC2)CC1 ZINC000467068076 1074517404 /nfs/dbraw/zinc/51/74/04/1074517404.db2.gz IVJCQDWJLKTVKJ-SFHVURJKSA-N 0 0 441.598 -0.125 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(=O)n(Cc3ccccc3)n1)C2 ZINC000467068096 1074517431 /nfs/dbraw/zinc/51/74/31/1074517431.db2.gz BKQAFTAKFXVBCD-UHFFFAOYSA-N 0 0 437.460 -0.606 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1C(=O)N(C)C3(CCCCC3)C1=O)C2 ZINC000467068514 1070883516 /nfs/dbraw/zinc/88/35/16/1070883516.db2.gz FCCQIMPCMUQJOE-UHFFFAOYSA-N 0 0 447.496 -0.928 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(CN3CCCC3=O)cc1)C2 ZINC000467068622 1074517906 /nfs/dbraw/zinc/51/79/06/1074517906.db2.gz LPHRSXDZYKXQEU-UHFFFAOYSA-N 0 0 426.477 -0.089 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(c3c(F)cccc3F)C1)C2 ZINC000467068705 1074517992 /nfs/dbraw/zinc/51/79/92/1074517992.db2.gz GIHUTRSZWZEZPE-GFCCVEGCSA-N 0 0 448.430 -0.194 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(c3c(F)cccc3F)C1)C2 ZINC000467068706 1074517883 /nfs/dbraw/zinc/51/78/83/1074517883.db2.gz GIHUTRSZWZEZPE-LBPRGKRZSA-N 0 0 448.430 -0.194 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(c3ccccc3F)C1)C2 ZINC000467069201 1074517894 /nfs/dbraw/zinc/51/78/94/1074517894.db2.gz POLQSPTULFFEMT-CYBMUJFWSA-N 0 0 430.440 -0.333 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(c3ccccc3F)C1)C2 ZINC000467069202 1074517858 /nfs/dbraw/zinc/51/78/58/1074517858.db2.gz POLQSPTULFFEMT-ZDUSSCGKSA-N 0 0 430.440 -0.333 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC ZINC000467069466 1074517999 /nfs/dbraw/zinc/51/79/99/1074517999.db2.gz RDYVZMVYZNPACE-AWEZNQCLSA-N 0 0 441.462 -0.317 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC ZINC000467069467 1074517866 /nfs/dbraw/zinc/51/78/66/1074517866.db2.gz RDYVZMVYZNPACE-CQSZACIVSA-N 0 0 441.462 -0.317 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467069516 1074517849 /nfs/dbraw/zinc/51/78/49/1074517849.db2.gz LCFFJNNFQGTWFH-PBHICJAKSA-N 0 0 435.529 -0.305 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467069517 1074517977 /nfs/dbraw/zinc/51/79/77/1074517977.db2.gz LCFFJNNFQGTWFH-YOEHRIQHSA-N 0 0 435.529 -0.305 20 0 IBADRN Cc1cc(F)ccc1-n1cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nn1 ZINC000467069563 1074517948 /nfs/dbraw/zinc/51/79/48/1074517948.db2.gz LRTYXHCHXNFLCM-UHFFFAOYSA-N 0 0 428.428 -0.183 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccs1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467071011 1074517917 /nfs/dbraw/zinc/51/79/17/1074517917.db2.gz GWPMBNRPPIOLCE-INIZCTEOSA-N 0 0 434.522 -0.009 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccs1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467071013 1074518401 /nfs/dbraw/zinc/51/84/01/1074518401.db2.gz GWPMBNRPPIOLCE-MRXNPFEDSA-N 0 0 434.522 -0.009 20 0 IBADRN Cc1cc(N2C[C@@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)ccc1F ZINC000467071072 1074518396 /nfs/dbraw/zinc/51/83/96/1074518396.db2.gz RJZQCJBGHMEXSW-AWEZNQCLSA-N 0 0 444.467 -0.024 20 0 IBADRN Cc1cc(N2C[C@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)ccc1F ZINC000467071074 1070892325 /nfs/dbraw/zinc/89/23/25/1070892325.db2.gz RJZQCJBGHMEXSW-CQSZACIVSA-N 0 0 444.467 -0.024 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNS(=O)(=O)c1ccc(CCNS(=O)(=O)CC)s1 ZINC000467071483 1074517942 /nfs/dbraw/zinc/51/79/42/1074517942.db2.gz IXJQOHMGKXAUIR-AWEZNQCLSA-N 0 0 428.554 -0.178 20 0 IBADRN CCOC(=O)[C@](C)(O)CNS(=O)(=O)c1ccc(CCNS(=O)(=O)CC)s1 ZINC000467071484 1074517924 /nfs/dbraw/zinc/51/79/24/1074517924.db2.gz IXJQOHMGKXAUIR-CQSZACIVSA-N 0 0 428.554 -0.178 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1c[nH]c(=O)c(Br)c1)C2 ZINC000467071626 1074518319 /nfs/dbraw/zinc/51/83/19/1074518319.db2.gz VQYXOIHRBYNIEG-UHFFFAOYSA-N 0 0 425.243 -0.348 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467071937 1074518340 /nfs/dbraw/zinc/51/83/40/1074518340.db2.gz XUKJNJIXEKXMTL-INIZCTEOSA-N 0 0 439.480 -0.746 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467071938 1074518415 /nfs/dbraw/zinc/51/84/15/1074518415.db2.gz XUKJNJIXEKXMTL-MRXNPFEDSA-N 0 0 439.480 -0.746 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCn1cnc3sccc3c1=O)C2 ZINC000467072132 1074518383 /nfs/dbraw/zinc/51/83/83/1074518383.db2.gz AACDUEISJKHBDP-UHFFFAOYSA-N 0 0 431.478 -0.663 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCNC(=O)c1[nH]nc3ccccc31)C2 ZINC000467072148 1074518325 /nfs/dbraw/zinc/51/83/25/1074518325.db2.gz AFTYAZGHZWHMCI-UHFFFAOYSA-N 0 0 440.464 -0.828 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467072358 1074518387 /nfs/dbraw/zinc/51/83/87/1074518387.db2.gz ZDFYQKUZFGFRKW-UHFFFAOYSA-N 0 0 442.436 -0.992 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cnc12 ZINC000467072508 1074518410 /nfs/dbraw/zinc/51/84/10/1074518410.db2.gz NRFGBMJCKHBVNO-UHFFFAOYSA-N 0 0 439.476 -0.416 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467072766 1074518426 /nfs/dbraw/zinc/51/84/26/1074518426.db2.gz ONVMGLZHNVCWML-UHFFFAOYSA-N 0 0 435.506 -0.489 20 0 IBADRN Cc1nn(C)c(C)c1-c1cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)[nH]n1 ZINC000467073239 1074518373 /nfs/dbraw/zinc/51/83/73/1074518373.db2.gz FIGSOHIRTSWWTO-UHFFFAOYSA-N 0 0 427.469 -0.471 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1c3ccccc3CCCC1=O)C2 ZINC000467073486 1074518420 /nfs/dbraw/zinc/51/84/20/1074518420.db2.gz HGSNJEBGCAFFOZ-UHFFFAOYSA-N 0 0 426.477 -0.155 20 0 IBADRN C[C@](O)(CNS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O)CN1CCOCC1 ZINC000467073506 1074518392 /nfs/dbraw/zinc/51/83/92/1074518392.db2.gz HNSBZODMSGMTRT-IBGZPJMESA-N 0 0 425.507 -0.325 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O)CN1CCOCC1 ZINC000467073507 1074518307 /nfs/dbraw/zinc/51/83/07/1074518307.db2.gz HNSBZODMSGMTRT-LJQANCHMSA-N 0 0 425.507 -0.325 20 0 IBADRN CCCS(=O)(=O)c1ccccc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467073806 1074518404 /nfs/dbraw/zinc/51/84/04/1074518404.db2.gz IXEIXCNMVSFJAZ-UHFFFAOYSA-N 0 0 435.506 -0.027 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCCNC(=O)c1ccc(F)cc1)C2 ZINC000467075225 1074518355 /nfs/dbraw/zinc/51/83/55/1074518355.db2.gz XXYYKLNRVGOBBY-UHFFFAOYSA-N 0 0 432.456 -0.176 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(CN3C(=O)CCC3=O)cc1)C2 ZINC000467075582 1074518435 /nfs/dbraw/zinc/51/84/35/1074518435.db2.gz ZDOKCYLWOLTZHP-UHFFFAOYSA-N 0 0 440.460 -0.562 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(CN3CCOCC3)c1)C2 ZINC000467075593 1074518433 /nfs/dbraw/zinc/51/84/33/1074518433.db2.gz ZIDZNHIBTMUHCO-UHFFFAOYSA-N 0 0 428.493 -0.379 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnn3cc(Br)cnc13)C2 ZINC000467075690 1074518795 /nfs/dbraw/zinc/51/87/95/1074518795.db2.gz PTCGGDVCSUCCRH-UHFFFAOYSA-N 0 0 449.269 -0.406 20 0 IBADRN CCS(=O)(=O)c1ccc(CCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467076185 1074518914 /nfs/dbraw/zinc/51/89/14/1074518914.db2.gz TUARNYSVZUZGFI-UHFFFAOYSA-N 0 0 449.533 -0.098 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(S(=O)(=O)N(C)C)c1)C2 ZINC000467076356 1072598277 /nfs/dbraw/zinc/59/82/77/1072598277.db2.gz UOGUKIBKRGCZHP-UHFFFAOYSA-N 0 0 436.494 -0.961 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(S(=O)(=O)NC3CC3)c1)C2 ZINC000467076508 1074518347 /nfs/dbraw/zinc/51/83/47/1074518347.db2.gz VIXTUEKLEFTQSS-UHFFFAOYSA-N 0 0 448.505 -0.770 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(c3cc(F)cc(F)c3)C1)C2 ZINC000467076829 1074518907 /nfs/dbraw/zinc/51/89/07/1074518907.db2.gz WYBJIKFMDKWTKO-GFCCVEGCSA-N 0 0 448.430 -0.194 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(c3cc(F)cc(F)c3)C1)C2 ZINC000467076830 1074518804 /nfs/dbraw/zinc/51/88/04/1074518804.db2.gz WYBJIKFMDKWTKO-LBPRGKRZSA-N 0 0 448.430 -0.194 20 0 IBADRN Cc1sc2ncc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c(=O)n2c1C ZINC000467076838 1074518865 /nfs/dbraw/zinc/51/88/65/1074518865.db2.gz XBCFDZKLDKMRMQ-UHFFFAOYSA-N 0 0 431.478 -0.525 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cs1 ZINC000467077017 1074518729 /nfs/dbraw/zinc/51/87/29/1074518729.db2.gz YALATBOVXVCNHI-UHFFFAOYSA-N 0 0 435.510 -0.231 20 0 IBADRN COc1cc(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(OC)c1OC ZINC000467077627 1074518870 /nfs/dbraw/zinc/51/88/70/1074518870.db2.gz CACXINOJIPQAPW-UHFFFAOYSA-N 0 0 433.465 -0.256 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCNC(=O)N(C)Cc1ccccc1)C2 ZINC000467077835 1074518815 /nfs/dbraw/zinc/51/88/15/1074518815.db2.gz CZRZZFJLZKLVKL-UHFFFAOYSA-N 0 0 443.508 -0.293 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(F)c(NS(C)(=O)=O)c1)C2 ZINC000467078138 1074518697 /nfs/dbraw/zinc/51/86/97/1074518697.db2.gz FRNYRMJXCWZLBQ-UHFFFAOYSA-N 0 0 440.457 -0.700 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)COc1cccc(N3CCCC3=O)c1)C2 ZINC000467078366 1074518882 /nfs/dbraw/zinc/51/88/82/1074518882.db2.gz HUNDOGXQYPFOMY-UHFFFAOYSA-N 0 0 442.476 -0.319 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467078388 1074518932 /nfs/dbraw/zinc/51/89/32/1074518932.db2.gz HZWLWWXJBDVORP-UHFFFAOYSA-N 0 0 437.478 -0.799 20 0 IBADRN Cc1ccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1NS(C)(=O)=O ZINC000467078435 1074518717 /nfs/dbraw/zinc/51/87/17/1074518717.db2.gz IGNMIMKIDCXNPN-UHFFFAOYSA-N 0 0 436.494 -0.531 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCn1ncc(=O)c3ccccc31)C2 ZINC000467078935 1074518848 /nfs/dbraw/zinc/51/88/48/1074518848.db2.gz KOMLEFTWGCXIQX-UHFFFAOYSA-N 0 0 425.449 -0.725 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(Cl)ccc1-n1cnnn1)C2 ZINC000467079112 1074518840 /nfs/dbraw/zinc/51/88/40/1074518840.db2.gz LXWFTWYBECWCMZ-UHFFFAOYSA-N 0 0 431.844 -0.582 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CSCN1C(=O)CC(C)(C)C)C2 ZINC000467079123 1074518826 /nfs/dbraw/zinc/51/88/26/1074518826.db2.gz MFBWZFXXRHMWIE-CYBMUJFWSA-N 0 0 438.554 -0.187 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CSCN1C(=O)CC(C)(C)C)C2 ZINC000467079125 1070972745 /nfs/dbraw/zinc/97/27/45/1070972745.db2.gz MFBWZFXXRHMWIE-ZDUSSCGKSA-N 0 0 438.554 -0.187 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN1C(=O)c1ccccc1)C2 ZINC000467079290 1074518924 /nfs/dbraw/zinc/51/89/24/1074518924.db2.gz MQSYRNNDKNQUBH-INIZCTEOSA-N 0 0 426.477 -0.220 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN1C(=O)c1ccccc1)C2 ZINC000467079291 1074518897 /nfs/dbraw/zinc/51/88/97/1074518897.db2.gz MQSYRNNDKNQUBH-MRXNPFEDSA-N 0 0 426.477 -0.220 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(N3CCCS3(=O)=O)c1)C2 ZINC000467080364 1074519207 /nfs/dbraw/zinc/51/92/07/1074519207.db2.gz TYAKQCXMZPJLDQ-UHFFFAOYSA-N 0 0 448.505 -0.671 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnn(CC(=O)NC3CCCC3)c1)C2 ZINC000467080768 1070995917 /nfs/dbraw/zinc/99/59/17/1070995917.db2.gz WKSCUNWTUGSPSK-UHFFFAOYSA-N 0 0 444.496 -0.956 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN(C(=O)N3CCCC3)C1)C2 ZINC000467080791 1074519291 /nfs/dbraw/zinc/51/92/91/1074519291.db2.gz WUJQYZSWZDVBKY-HNNXBMFYSA-N 0 0 433.513 -0.597 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN(C(=O)N3CCCC3)C1)C2 ZINC000467080792 1074519327 /nfs/dbraw/zinc/51/93/27/1074519327.db2.gz WUJQYZSWZDVBKY-OAHLLOKOSA-N 0 0 433.513 -0.597 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)cc1 ZINC000467081067 1074519365 /nfs/dbraw/zinc/51/93/65/1074519365.db2.gz XRXBYDQIHSFBLV-AWEZNQCLSA-N 0 0 442.476 -0.463 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)cc1 ZINC000467081068 1074519217 /nfs/dbraw/zinc/51/92/17/1074519217.db2.gz XRXBYDQIHSFBLV-CQSZACIVSA-N 0 0 442.476 -0.463 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCC(=O)c1ccc3c(c1)OCCO3)C2 ZINC000467082033 1070998180 /nfs/dbraw/zinc/99/81/80/1070998180.db2.gz RTCGYAFPRYKHBS-UHFFFAOYSA-N 0 0 443.460 -0.091 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@@H]2CNS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)N1 ZINC000467084796 1074519285 /nfs/dbraw/zinc/51/92/85/1074519285.db2.gz KUNDRNZPRPMHLT-BLVKFPJESA-N 0 0 434.474 -0.030 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@H]2CNS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)N1 ZINC000467084800 1074519264 /nfs/dbraw/zinc/51/92/64/1074519264.db2.gz KUNDRNZPRPMHLT-BUXKBTBVSA-N 0 0 434.474 -0.030 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@@H]2CNS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)N1 ZINC000467084801 1074519319 /nfs/dbraw/zinc/51/93/19/1074519319.db2.gz KUNDRNZPRPMHLT-CWTRNNRKSA-N 0 0 434.474 -0.030 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@H]2CNS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)N1 ZINC000467084802 1074519379 /nfs/dbraw/zinc/51/93/79/1074519379.db2.gz KUNDRNZPRPMHLT-HXPMCKFVSA-N 0 0 434.474 -0.030 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CCC2 ZINC000467086137 1074519371 /nfs/dbraw/zinc/51/93/71/1074519371.db2.gz QNYBFTMJFILAOH-HNNXBMFYSA-N 0 0 446.512 -0.421 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CCC2 ZINC000467086138 1074519250 /nfs/dbraw/zinc/51/92/50/1074519250.db2.gz QNYBFTMJFILAOH-OAHLLOKOSA-N 0 0 446.512 -0.421 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCNC(=O)c1ccccc1)C2 ZINC000467086201 1074519311 /nfs/dbraw/zinc/51/93/11/1074519311.db2.gz QVTGDYBHZNBTHJ-UHFFFAOYSA-N 0 0 429.481 -0.522 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000467086542 1074519303 /nfs/dbraw/zinc/51/93/03/1074519303.db2.gz SKTUBHQVYRYDRR-DLBZAZTESA-N 0 0 429.451 -0.658 20 0 IBADRN CCOC(=O)[C@](C)(O)CNS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000467086551 1074519344 /nfs/dbraw/zinc/51/93/44/1074519344.db2.gz SKTUBHQVYRYDRR-IAGOWNOFSA-N 0 0 429.451 -0.658 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000467086552 1074519275 /nfs/dbraw/zinc/51/92/75/1074519275.db2.gz SKTUBHQVYRYDRR-IRXDYDNUSA-N 0 0 429.451 -0.658 20 0 IBADRN CCOC(=O)[C@](C)(O)CNS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000467086553 1074519243 /nfs/dbraw/zinc/51/92/43/1074519243.db2.gz SKTUBHQVYRYDRR-SJORKVTESA-N 0 0 429.451 -0.658 20 0 IBADRN COC(=O)c1cccc(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467089361 1074519630 /nfs/dbraw/zinc/51/96/30/1074519630.db2.gz JQKAMFIRKQBZGX-UHFFFAOYSA-N 0 0 430.465 -0.313 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)C2 ZINC000467089707 1074519679 /nfs/dbraw/zinc/51/96/79/1074519679.db2.gz KZUXCIGWVDADIT-AWEZNQCLSA-N 0 0 430.465 -0.330 20 0 IBADRN COc1ccc2c(c1)OC[C@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)C2 ZINC000467089708 1074519747 /nfs/dbraw/zinc/51/97/47/1074519747.db2.gz KZUXCIGWVDADIT-CQSZACIVSA-N 0 0 430.465 -0.330 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CC(=O)N(C3CCCCC3)C1)C2 ZINC000467090195 1074519611 /nfs/dbraw/zinc/51/96/11/1074519611.db2.gz JLGSKEDQCQWKPQ-AWEZNQCLSA-N 0 0 433.513 -0.408 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CC(=O)N(C3CCCCC3)C1)C2 ZINC000467090196 1074519548 /nfs/dbraw/zinc/51/95/48/1074519548.db2.gz JLGSKEDQCQWKPQ-CQSZACIVSA-N 0 0 433.513 -0.408 20 0 IBADRN COC(=O)c1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467091884 1074519656 /nfs/dbraw/zinc/51/96/56/1074519656.db2.gz RIYNFACSNQPXJD-UHFFFAOYSA-N 0 0 446.464 -0.346 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(N3CCOCC3)n1)C2 ZINC000467092673 1074519643 /nfs/dbraw/zinc/51/96/43/1074519643.db2.gz DELNQGDCOPIWDR-UHFFFAOYSA-N 0 0 444.496 -0.910 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O)C2 ZINC000467093170 1074519576 /nfs/dbraw/zinc/51/95/76/1074519576.db2.gz FPFDGTCLLIZDHT-LLVKDONJSA-N 0 0 447.418 -0.789 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O)C2 ZINC000467093171 1074519620 /nfs/dbraw/zinc/51/96/20/1074519620.db2.gz FPFDGTCLLIZDHT-NSHDSACASA-N 0 0 447.418 -0.789 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccccc1N1CCOCC1)C2 ZINC000467093569 1074519715 /nfs/dbraw/zinc/51/97/15/1074519715.db2.gz QEOLGZZEHUJDBG-UHFFFAOYSA-N 0 0 443.508 -0.305 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCN(c3cccnc3)C1=O)C2 ZINC000467093705 1074519691 /nfs/dbraw/zinc/51/96/91/1074519691.db2.gz HIJRWYYMTNCJHU-HNNXBMFYSA-N 0 0 442.480 -0.751 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCN(c3cccnc3)C1=O)C2 ZINC000467093706 1074519601 /nfs/dbraw/zinc/51/96/01/1074519601.db2.gz HIJRWYYMTNCJHU-OAHLLOKOSA-N 0 0 442.480 -0.751 20 0 IBADRN O=C(NCC(F)(F)CO)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000467094510 1074519733 /nfs/dbraw/zinc/51/97/33/1074519733.db2.gz VNNWQBJBXGTFDA-LLVKDONJSA-N 0 0 427.451 -0.099 20 0 IBADRN O=C(NCC(F)(F)CO)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000467094518 1074519771 /nfs/dbraw/zinc/51/97/71/1074519771.db2.gz VNNWQBJBXGTFDA-NSHDSACASA-N 0 0 427.451 -0.099 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)Nc3cccc(S(=O)(=O)N4CCOCC4)c3)C2)nn1 ZINC000467094641 1074519758 /nfs/dbraw/zinc/51/97/58/1074519758.db2.gz IUOFNZVPFSGTPI-UHFFFAOYSA-N 0 0 435.466 -0.513 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCc1nnc(-c3ccccc3)o1)C2 ZINC000467094772 1074519539 /nfs/dbraw/zinc/51/95/39/1074519539.db2.gz JOXMSSTXTCQRAX-UHFFFAOYSA-N 0 0 440.464 -0.049 20 0 IBADRN CCc1n[nH]c(=O)c(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1CC ZINC000467094783 1074519668 /nfs/dbraw/zinc/51/96/68/1074519668.db2.gz JRADRFHFRZDTCE-UHFFFAOYSA-N 0 0 432.485 -0.521 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc3c(c1)C(=O)NCC3)C2 ZINC000467096566 1074520103 /nfs/dbraw/zinc/52/01/03/1074520103.db2.gz ZIBYPLVHVYZMNW-UHFFFAOYSA-N 0 0 427.465 -0.856 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCN(C3CCCCC3)C1=O)C2 ZINC000467097564 1074520075 /nfs/dbraw/zinc/52/00/75/1074520075.db2.gz PMVHYDJKBPJYRO-INIZCTEOSA-N 0 0 447.540 -0.018 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCN(C3CCCCC3)C1=O)C2 ZINC000467097565 1074520081 /nfs/dbraw/zinc/52/00/81/1074520081.db2.gz PMVHYDJKBPJYRO-MRXNPFEDSA-N 0 0 447.540 -0.018 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000467099242 1074520054 /nfs/dbraw/zinc/52/00/54/1074520054.db2.gz DTSNQYXYINXPMG-UHFFFAOYSA-N 0 0 436.498 -0.218 20 0 IBADRN COCCNc1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467099891 1074520092 /nfs/dbraw/zinc/52/00/92/1074520092.db2.gz DCDLMJJDNKEGAX-UHFFFAOYSA-N 0 0 431.497 -0.083 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCNC(=O)Cc1cccc(F)c1)C2 ZINC000467100278 1074520117 /nfs/dbraw/zinc/52/01/17/1074520117.db2.gz IWXDOUFTPNNHPB-UHFFFAOYSA-N 0 0 447.471 -0.844 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000467102971 1074520097 /nfs/dbraw/zinc/52/00/97/1074520097.db2.gz IEMGZXYMVLGCRN-UHFFFAOYSA-N 0 0 435.466 -0.085 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c(=O)[nH]c1=O ZINC000467103327 1074520107 /nfs/dbraw/zinc/52/01/07/1074520107.db2.gz TYJZCBYRHVPOOB-UHFFFAOYSA-N 0 0 445.480 -0.264 20 0 IBADRN CCCCn1c(N)c(N(C)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c(=O)[nH]c1=O ZINC000467103722 1074520070 /nfs/dbraw/zinc/52/00/70/1074520070.db2.gz RGRPWUVSGHLLEG-UHFFFAOYSA-N 0 0 431.453 -0.654 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCNC(=O)CC1CCCCC1)C2 ZINC000467105394 1074520047 /nfs/dbraw/zinc/52/00/47/1074520047.db2.gz FPYFTVDSDRAJMW-UHFFFAOYSA-N 0 0 435.529 -0.255 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCN(c3cnn(C)c3)C1)C2 ZINC000467105456 1074520090 /nfs/dbraw/zinc/52/00/90/1074520090.db2.gz FVMZTKFJDFCNMN-AWEZNQCLSA-N 0 0 431.501 -0.939 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCN(c3cnn(C)c3)C1)C2 ZINC000467105461 1074520078 /nfs/dbraw/zinc/52/00/78/1074520078.db2.gz FVMZTKFJDFCNMN-CQSZACIVSA-N 0 0 431.501 -0.939 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C(=O)N1c1ccccc1 ZINC000467105647 1074520444 /nfs/dbraw/zinc/52/04/44/1074520444.db2.gz GBRBXYRMCZAQOF-GDBMZVCRSA-N 0 0 441.492 -0.148 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C(=O)N1c1ccccc1 ZINC000467105651 1074520485 /nfs/dbraw/zinc/52/04/85/1074520485.db2.gz GBRBXYRMCZAQOF-GOEBONIOSA-N 0 0 441.492 -0.148 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C(=O)N1c1ccccc1 ZINC000467105652 1074520401 /nfs/dbraw/zinc/52/04/01/1074520401.db2.gz GBRBXYRMCZAQOF-HOCLYGCPSA-N 0 0 441.492 -0.148 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C(=O)N1c1ccccc1 ZINC000467105655 1074520363 /nfs/dbraw/zinc/52/03/63/1074520363.db2.gz GBRBXYRMCZAQOF-ZBFHGGJFSA-N 0 0 441.492 -0.148 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467105778 1074520379 /nfs/dbraw/zinc/52/03/79/1074520379.db2.gz HETXVVSJSMUUAM-CABCVRRESA-N 0 0 435.529 -0.258 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467105779 1074520353 /nfs/dbraw/zinc/52/03/53/1074520353.db2.gz HETXVVSJSMUUAM-GJZGRUSLSA-N 0 0 435.529 -0.258 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467105780 1074520469 /nfs/dbraw/zinc/52/04/69/1074520469.db2.gz HETXVVSJSMUUAM-HUUCEWRRSA-N 0 0 435.529 -0.258 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467105781 1074520459 /nfs/dbraw/zinc/52/04/59/1074520459.db2.gz HETXVVSJSMUUAM-LSDHHAIUSA-N 0 0 435.529 -0.258 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCN(c3ccccc3F)C1=O)C2 ZINC000467106060 1074520410 /nfs/dbraw/zinc/52/04/10/1074520410.db2.gz IFVHGGILAPQMMI-AWEZNQCLSA-N 0 0 445.455 -0.397 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCN(c3ccccc3F)C1=O)C2 ZINC000467106062 1074520417 /nfs/dbraw/zinc/52/04/17/1074520417.db2.gz IFVHGGILAPQMMI-CQSZACIVSA-N 0 0 445.455 -0.397 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000467106546 1074520438 /nfs/dbraw/zinc/52/04/38/1074520438.db2.gz UZOBPJMNTQDVGM-UHFFFAOYSA-N 0 0 449.489 -0.064 20 0 IBADRN CCc1cccc(NC(=O)CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467107372 1074520369 /nfs/dbraw/zinc/52/03/69/1074520369.db2.gz PYNUJLNKNSPUPV-UHFFFAOYSA-N 0 0 429.481 -0.141 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCN(c3ccccc3)C1=O)C2 ZINC000467107675 1074520474 /nfs/dbraw/zinc/52/04/74/1074520474.db2.gz SWDWNHYRNCYZHF-INIZCTEOSA-N 0 0 441.492 -0.146 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCN(c3ccccc3)C1=O)C2 ZINC000467107676 1074520429 /nfs/dbraw/zinc/52/04/29/1074520429.db2.gz SWDWNHYRNCYZHF-MRXNPFEDSA-N 0 0 441.492 -0.146 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467108326 1074520481 /nfs/dbraw/zinc/52/04/81/1074520481.db2.gz AEJZWSQEPPEUMG-UHFFFAOYSA-N 0 0 429.481 -0.141 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCN(c3ccccc3)C1=O)C2 ZINC000467109559 1074520860 /nfs/dbraw/zinc/52/08/60/1074520860.db2.gz IIWFUQJYAARRGW-HNNXBMFYSA-N 0 0 427.465 -0.536 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCN(c3ccccc3)C1=O)C2 ZINC000467109560 1074520874 /nfs/dbraw/zinc/52/08/74/1074520874.db2.gz IIWFUQJYAARRGW-OAHLLOKOSA-N 0 0 427.465 -0.536 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCNC(=O)c1cccc(F)c1)C2 ZINC000467110255 1074520836 /nfs/dbraw/zinc/52/08/36/1074520836.db2.gz MEAWWTPWTBARPL-UHFFFAOYSA-N 0 0 447.471 -0.383 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCc1csc(N3CCCC3)n1)C2 ZINC000467112833 1074520810 /nfs/dbraw/zinc/52/08/10/1074520810.db2.gz XUWJVXDKANTEFU-UHFFFAOYSA-N 0 0 448.553 -0.042 20 0 IBADRN COCc1nc2n(n1)C[C@H](NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1)CC2 ZINC000467117264 1074520734 /nfs/dbraw/zinc/52/07/34/1074520734.db2.gz QBAHUPYZSWOEJA-GFCCVEGCSA-N 0 0 429.524 -0.032 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1)CC2 ZINC000467117265 1071121070 /nfs/dbraw/zinc/12/10/70/1071121070.db2.gz QBAHUPYZSWOEJA-LBPRGKRZSA-N 0 0 429.524 -0.032 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000467118937 1074520764 /nfs/dbraw/zinc/52/07/64/1074520764.db2.gz JUWALOSRGAEPBN-GOSISDBHSA-N 0 0 425.511 -0.141 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000467118938 1074520786 /nfs/dbraw/zinc/52/07/86/1074520786.db2.gz JUWALOSRGAEPBN-SFHVURJKSA-N 0 0 425.511 -0.141 20 0 IBADRN CCC(=O)Nc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467127126 1074520804 /nfs/dbraw/zinc/52/08/04/1074520804.db2.gz GKBMOMSTZIKAMZ-UHFFFAOYSA-N 0 0 443.464 -0.538 20 0 IBADRN COCCc1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000467127948 1074521152 /nfs/dbraw/zinc/52/11/52/1074521152.db2.gz XKJHOMIMDFTSDJ-UHFFFAOYSA-N 0 0 430.461 -0.366 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467128007 1074521217 /nfs/dbraw/zinc/52/12/17/1074521217.db2.gz IXNGNSYMXMQCKX-UHFFFAOYSA-N 0 0 430.465 -0.099 20 0 IBADRN COCCc1ccccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467128308 1074521246 /nfs/dbraw/zinc/52/12/46/1074521246.db2.gz JWKSDOBPZFJHJP-UHFFFAOYSA-N 0 0 430.465 -0.697 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467128785 1074521198 /nfs/dbraw/zinc/52/11/98/1074521198.db2.gz LQOBKZGAPOIVJX-UHFFFAOYSA-N 0 0 443.464 -0.903 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3ncsc3c1)C2 ZINC000467128835 1074521137 /nfs/dbraw/zinc/52/11/37/1074521137.db2.gz MBVWJVLULYXCQS-UHFFFAOYSA-N 0 0 429.462 -0.276 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnn1CC(C)C ZINC000467129169 1074521102 /nfs/dbraw/zinc/52/11/02/1074521102.db2.gz NITVPXGGOFEVIO-UHFFFAOYSA-N 0 0 432.485 -0.725 20 0 IBADRN COCc1nc2n(n1)C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1)CC2 ZINC000467129326 1074521123 /nfs/dbraw/zinc/52/11/23/1074521123.db2.gz NTMXTNIXLIDAFA-GFCCVEGCSA-N 0 0 429.524 -0.032 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1)CC2 ZINC000467129327 1074521185 /nfs/dbraw/zinc/52/11/85/1074521185.db2.gz NTMXTNIXLIDAFA-LBPRGKRZSA-N 0 0 429.524 -0.032 20 0 IBADRN COc1c(Cl)cccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467129333 1074521205 /nfs/dbraw/zinc/52/12/05/1074521205.db2.gz BIFIIMUJYXYDPE-UHFFFAOYSA-N 0 0 436.856 -0.224 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3ccn(C)c3c1)C2 ZINC000467129351 1074521253 /nfs/dbraw/zinc/52/12/53/1074521253.db2.gz BJNSXFDJZKTDNI-UHFFFAOYSA-N 0 0 425.449 -0.394 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1C ZINC000467129387 1074521130 /nfs/dbraw/zinc/52/11/30/1074521130.db2.gz BSVNSOYLQQEIKW-UHFFFAOYSA-N 0 0 444.448 -0.791 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccccc1N1CCCC1)C2 ZINC000467129462 1074521239 /nfs/dbraw/zinc/52/12/39/1074521239.db2.gz CFYDFQLQSRDPFT-UHFFFAOYSA-N 0 0 441.492 -0.286 20 0 IBADRN CCOC(=O)c1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467130502 1074521177 /nfs/dbraw/zinc/52/11/77/1074521177.db2.gz SLKHURPFMJHGQL-UHFFFAOYSA-N 0 0 444.448 -0.709 20 0 IBADRN CCCOc1ccccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467130790 1074521587 /nfs/dbraw/zinc/52/15/87/1074521587.db2.gz ILZVUNIYAGYGNZ-UHFFFAOYSA-N 0 0 430.465 -0.097 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(Cl)c1N(C)C)C2 ZINC000467130906 1074521666 /nfs/dbraw/zinc/52/16/66/1074521666.db2.gz UWQBFQRJZWPRFF-UHFFFAOYSA-N 0 0 449.899 -0.167 20 0 IBADRN CCCOc1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467130978 1074521611 /nfs/dbraw/zinc/52/16/11/1074521611.db2.gz JGLMFSVCJQZDFD-UHFFFAOYSA-N 0 0 430.465 -0.097 20 0 IBADRN Cc1cc2cc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc2[nH]1 ZINC000467130990 1074521645 /nfs/dbraw/zinc/52/16/45/1074521645.db2.gz JJSVYWFMQBSOBY-UHFFFAOYSA-N 0 0 425.449 -0.096 20 0 IBADRN CC(=O)c1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1Cl ZINC000467131125 1074521569 /nfs/dbraw/zinc/52/15/69/1074521569.db2.gz WAPYABFQDONCJT-UHFFFAOYSA-N 0 0 448.867 -0.030 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000467131166 1074521558 /nfs/dbraw/zinc/52/15/58/1074521558.db2.gz WKUOPNNMFYDDTD-LLVKDONJSA-N 0 0 434.405 -0.180 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000467131167 1074521606 /nfs/dbraw/zinc/52/16/06/1074521606.db2.gz WKUOPNNMFYDDTD-NSHDSACASA-N 0 0 434.405 -0.180 20 0 IBADRN COc1ccc(OC)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467131204 1074521545 /nfs/dbraw/zinc/52/15/45/1074521545.db2.gz KMEKLNGTANCIKO-UHFFFAOYSA-N 0 0 432.437 -0.869 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467131242 1074521635 /nfs/dbraw/zinc/52/16/35/1074521635.db2.gz KQRFMTSAOLSNOL-UHFFFAOYSA-N 0 0 432.437 -0.869 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(F)c(F)c1F)C2 ZINC000467131334 1074521620 /nfs/dbraw/zinc/52/16/20/1074521620.db2.gz LEJBHBPCBGLTQV-UHFFFAOYSA-N 0 0 426.355 -0.469 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467131471 1074521224 /nfs/dbraw/zinc/52/12/24/1074521224.db2.gz LTCFNQPULBQDMC-UHFFFAOYSA-N 0 0 446.464 -0.560 20 0 IBADRN CO[C@H](C)c1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467131542 1074521232 /nfs/dbraw/zinc/52/12/32/1074521232.db2.gz MRTCNIVMMDXJPW-CYBMUJFWSA-N 0 0 430.465 -0.179 20 0 IBADRN CO[C@@H](C)c1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467131543 1074521194 /nfs/dbraw/zinc/52/11/94/1074521194.db2.gz MRTCNIVMMDXJPW-ZDUSSCGKSA-N 0 0 430.465 -0.179 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc3c1CCCC3)C2 ZINC000467131575 1074521599 /nfs/dbraw/zinc/52/15/99/1074521599.db2.gz YGQQSDUCUICMOG-UHFFFAOYSA-N 0 0 426.477 -0.007 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467131674 1074521678 /nfs/dbraw/zinc/52/16/78/1074521678.db2.gz NCZKWWDZXBQCEH-UHFFFAOYSA-N 0 0 448.411 -0.960 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3c(c1)C(=O)CCC3)C2 ZINC000467132046 1074521505 /nfs/dbraw/zinc/52/15/05/1074521505.db2.gz QDZKFJMAXTWGMX-UHFFFAOYSA-N 0 0 440.460 -0.367 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(-c3cnco3)c1)C2 ZINC000467132211 1074521525 /nfs/dbraw/zinc/52/15/25/1074521525.db2.gz SCNCJMPVBCRQBH-UHFFFAOYSA-N 0 0 439.432 -0.231 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000467132277 1074521653 /nfs/dbraw/zinc/52/16/53/1074521653.db2.gz SVQRLLJNOWZIQY-UHFFFAOYSA-N 0 0 443.453 -0.696 20 0 IBADRN CCCCCn1nc(C)cc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467132467 1074521537 /nfs/dbraw/zinc/52/15/37/1074521537.db2.gz WBUUBRRJEACBFC-UHFFFAOYSA-N 0 0 446.512 -0.191 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000467132512 1074521627 /nfs/dbraw/zinc/52/16/27/1074521627.db2.gz WQMZKUPLNLTROR-UHFFFAOYSA-N 0 0 431.453 -0.704 20 0 IBADRN CCN(CC)c1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000467132572 1074521888 /nfs/dbraw/zinc/52/18/88/1074521888.db2.gz XKQWABRGFIYMMU-UHFFFAOYSA-N 0 0 444.496 -0.645 20 0 IBADRN COc1ccc(Cl)cc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467132713 1074521931 /nfs/dbraw/zinc/52/19/31/1074521931.db2.gz ZCQCBSHLWIFIFQ-UHFFFAOYSA-N 0 0 436.856 -0.224 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC[C@@H]1C ZINC000467132987 1074521973 /nfs/dbraw/zinc/52/19/73/1074521973.db2.gz CLDDWBRQDAXCNH-BBRMVZONSA-N 0 0 438.506 -0.371 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC[C@H]1C ZINC000467132988 1074521864 /nfs/dbraw/zinc/52/18/64/1074521864.db2.gz CLDDWBRQDAXCNH-CJNGLKHVSA-N 0 0 438.506 -0.371 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC[C@H]1C ZINC000467132989 1074521945 /nfs/dbraw/zinc/52/19/45/1074521945.db2.gz CLDDWBRQDAXCNH-CZUORRHYSA-N 0 0 438.506 -0.371 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC[C@@H]1C ZINC000467132990 1074521926 /nfs/dbraw/zinc/52/19/26/1074521926.db2.gz CLDDWBRQDAXCNH-XJKSGUPXSA-N 0 0 438.506 -0.371 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(-c3ncco3)cc1)C2 ZINC000467133108 1074521894 /nfs/dbraw/zinc/52/18/94/1074521894.db2.gz DVKGCTWPRBKZLZ-UHFFFAOYSA-N 0 0 439.432 -0.231 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cnn(-c3ccccc3)c1)C2 ZINC000467133577 1074521904 /nfs/dbraw/zinc/52/19/04/1074521904.db2.gz KMALQUWTXGIUKX-UHFFFAOYSA-N 0 0 438.448 -0.700 20 0 IBADRN Cc1ccccc1C[C@@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000467133709 1074521951 /nfs/dbraw/zinc/52/19/51/1074521951.db2.gz MGTJXSJXUQPGBP-KRWDZBQOSA-N 0 0 429.477 -0.291 20 0 IBADRN Cc1ccccc1C[C@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000467133710 1074522009 /nfs/dbraw/zinc/52/20/09/1074522009.db2.gz MGTJXSJXUQPGBP-QGZVFWFLSA-N 0 0 429.477 -0.291 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N1CCCc3ccc(F)cc31)C2 ZINC000467133793 1074521901 /nfs/dbraw/zinc/52/19/01/1074521901.db2.gz NNEIEXDDSUGHGZ-UHFFFAOYSA-N 0 0 430.440 -0.406 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc3c1OCCC3)C2 ZINC000467133935 1074521995 /nfs/dbraw/zinc/52/19/95/1074521995.db2.gz PESBRHNZLKAMHJ-UHFFFAOYSA-N 0 0 428.449 -0.561 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(OCCF)c1)C2 ZINC000467134119 1074521978 /nfs/dbraw/zinc/52/19/78/1074521978.db2.gz RPGUVJFVNZYVHU-UHFFFAOYSA-N 0 0 434.428 -0.538 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc2o1 ZINC000467134141 1074521964 /nfs/dbraw/zinc/52/19/64/1074521964.db2.gz RXQTVGUXWRDOGF-UHFFFAOYSA-N 0 0 427.421 -0.436 20 0 IBADRN Cc1ccccc1C[C@@H](CO)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000467134311 1074521880 /nfs/dbraw/zinc/52/18/80/1074521880.db2.gz VBBYAMBTUKKAPZ-HNNXBMFYSA-N 0 0 425.445 -0.401 20 0 IBADRN Cc1ccccc1C[C@H](CO)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000467134312 1074521940 /nfs/dbraw/zinc/52/19/40/1074521940.db2.gz VBBYAMBTUKKAPZ-OAHLLOKOSA-N 0 0 425.445 -0.401 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cnc3c(c1)CCCC3)C2 ZINC000467134343 1074521872 /nfs/dbraw/zinc/52/18/72/1074521872.db2.gz VMGCRENRXJUNEF-UHFFFAOYSA-N 0 0 427.465 -0.612 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000467134439 1071199263 /nfs/dbraw/zinc/19/92/63/1071199263.db2.gz WQXGEMWAMULKMX-UHFFFAOYSA-N 0 0 426.539 -0.444 20 0 IBADRN CC(C)C[C@H](C)n1nccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467134661 1074522257 /nfs/dbraw/zinc/52/22/57/1074522257.db2.gz ZSFZLHCCJZEYOI-AWEZNQCLSA-N 0 0 446.512 -0.083 20 0 IBADRN CC(C)C[C@@H](C)n1nccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467134662 1074522342 /nfs/dbraw/zinc/52/23/42/1074522342.db2.gz ZSFZLHCCJZEYOI-CQSZACIVSA-N 0 0 446.512 -0.083 20 0 IBADRN COc1c(C)ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000467134901 1074522386 /nfs/dbraw/zinc/52/23/86/1074522386.db2.gz CNXOPGAWANHMFL-UHFFFAOYSA-N 0 0 430.465 -0.261 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cc(F)c(F)c(F)c1)C2 ZINC000467135076 1074521918 /nfs/dbraw/zinc/52/19/18/1074521918.db2.gz FLEJPKCCHARXQM-UHFFFAOYSA-N 0 0 426.355 -0.469 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467135344 1074522352 /nfs/dbraw/zinc/52/23/52/1074522352.db2.gz IEXMMXAWIFZRAT-UHFFFAOYSA-N 0 0 443.464 -0.903 20 0 IBADRN C[C@H](C1CC1)n1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000467135369 1074522281 /nfs/dbraw/zinc/52/22/81/1074522281.db2.gz AGBAXIYZBZAGRQ-GFCCVEGCSA-N 0 0 430.469 -0.719 20 0 IBADRN C[C@@H](C1CC1)n1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000467135374 1074522229 /nfs/dbraw/zinc/52/22/29/1074522229.db2.gz AGBAXIYZBZAGRQ-LBPRGKRZSA-N 0 0 430.469 -0.719 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(-n3cccn3)cc1)C2 ZINC000467135415 1074522303 /nfs/dbraw/zinc/52/23/03/1074522303.db2.gz ASANILMQGXTDFG-UHFFFAOYSA-N 0 0 438.448 -0.700 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(OC)c1 ZINC000467135469 1074522363 /nfs/dbraw/zinc/52/23/63/1074522363.db2.gz AZGXXAIRWMEGQV-UHFFFAOYSA-N 0 0 432.437 -0.869 20 0 IBADRN CC[C@@H](C)n1nc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1C ZINC000467135910 1074522321 /nfs/dbraw/zinc/52/23/21/1074522321.db2.gz DZONDYJUGATJRS-GFCCVEGCSA-N 0 0 432.485 -0.410 20 0 IBADRN CC[C@H](C)n1nc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1C ZINC000467135911 1074522272 /nfs/dbraw/zinc/52/22/72/1074522272.db2.gz DZONDYJUGATJRS-LBPRGKRZSA-N 0 0 432.485 -0.410 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467135938 1074522331 /nfs/dbraw/zinc/52/23/31/1074522331.db2.gz FGNNTNHVEOAHOL-UHFFFAOYSA-N 0 0 444.448 -0.791 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467136133 1074522425 /nfs/dbraw/zinc/52/24/25/1074522425.db2.gz GHZKHSNNIQZUTK-UHFFFAOYSA-N 0 0 444.448 -0.709 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1c(F)cc(F)cc1F)C2 ZINC000467136521 1074522239 /nfs/dbraw/zinc/52/22/39/1074522239.db2.gz OFUMTWFFTDVZLW-UHFFFAOYSA-N 0 0 426.355 -0.469 20 0 IBADRN COCCN(C)S(=O)(=O)NC1C(C)(C)C(NS(=O)(=O)N(C)CCOC)C1(C)C ZINC000467136720 1074522247 /nfs/dbraw/zinc/52/22/47/1074522247.db2.gz BSOKKEXQNOYAKY-UHFFFAOYSA-N 0 0 444.620 -0.385 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(F)c1 ZINC000467136740 1074522785 /nfs/dbraw/zinc/52/27/85/1074522785.db2.gz BXAWMQMNKVRFQN-UHFFFAOYSA-N 0 0 448.411 -0.960 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cc(Cl)ccc1N(C)C)C2 ZINC000467137003 1074522292 /nfs/dbraw/zinc/52/22/92/1074522292.db2.gz CNJKIPUKFVGGIH-UHFFFAOYSA-N 0 0 449.899 -0.167 20 0 IBADRN COc1ccc(Cl)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467137308 1074522414 /nfs/dbraw/zinc/52/24/14/1074522414.db2.gz FDXXNWJVKZPCGV-UHFFFAOYSA-N 0 0 436.856 -0.224 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cc(F)c(F)cc1F)C2 ZINC000467137405 1074522372 /nfs/dbraw/zinc/52/23/72/1074522372.db2.gz RPSJXVOHIWBEQG-UHFFFAOYSA-N 0 0 426.355 -0.469 20 0 IBADRN COCCOc1ccccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467137417 1072599617 /nfs/dbraw/zinc/59/96/17/1072599617.db2.gz FZZIWAHXUSEFLN-UHFFFAOYSA-N 0 0 446.464 -0.861 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(N3CCCC3)c1)C2 ZINC000467137457 1074522311 /nfs/dbraw/zinc/52/23/11/1074522311.db2.gz SBEKGBAEIORSOK-UHFFFAOYSA-N 0 0 441.492 -0.286 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467137497 1074522394 /nfs/dbraw/zinc/52/23/94/1074522394.db2.gz LDLQNRUNJVSRST-UHFFFAOYSA-N 0 0 444.448 -0.791 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnn1CCC(C)C ZINC000467137612 1074522803 /nfs/dbraw/zinc/52/28/03/1074522803.db2.gz GGNSYJDZVDPUDI-UHFFFAOYSA-N 0 0 446.512 -0.335 20 0 IBADRN CN(Cc1nnnn1C)S(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000467137719 1074522728 /nfs/dbraw/zinc/52/27/28/1074522728.db2.gz SPHJVKJFZQBQEG-UHFFFAOYSA-N 0 0 428.418 -0.129 20 0 IBADRN Cc1cc2c(cc1NC(=O)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)OCO2 ZINC000467137907 1074522744 /nfs/dbraw/zinc/52/27/44/1074522744.db2.gz HQPRFAQCJVJQRO-UHFFFAOYSA-N 0 0 430.421 -0.849 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(OC(F)F)cc1)C2 ZINC000467138114 1074522687 /nfs/dbraw/zinc/52/26/87/1074522687.db2.gz NXJMCEPCWGANGU-UHFFFAOYSA-N 0 0 438.391 -0.285 20 0 IBADRN CCOc1cc(F)ccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467138390 1074522798 /nfs/dbraw/zinc/52/27/98/1074522798.db2.gz OSGQPUPXUOGILK-UHFFFAOYSA-N 0 0 434.428 -0.348 20 0 IBADRN COc1c(F)cc(F)cc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467138811 1074522809 /nfs/dbraw/zinc/52/28/09/1074522809.db2.gz LDVFOXVGIOPHHY-UHFFFAOYSA-N 0 0 438.391 -0.599 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000467138946 1074522694 /nfs/dbraw/zinc/52/26/94/1074522694.db2.gz YGCWPWPGLCBPQM-JKOKRWQUSA-N 0 0 429.433 -0.629 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000467138947 1074522773 /nfs/dbraw/zinc/52/27/73/1074522773.db2.gz YGCWPWPGLCBPQM-OSMZGAPFSA-N 0 0 429.433 -0.629 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000467138948 1074522768 /nfs/dbraw/zinc/52/27/68/1074522768.db2.gz YGCWPWPGLCBPQM-QKCSRTOESA-N 0 0 429.433 -0.629 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000467138949 1074522739 /nfs/dbraw/zinc/52/27/39/1074522739.db2.gz YGCWPWPGLCBPQM-SUHUHFCYSA-N 0 0 429.433 -0.629 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(F)c1C(=O)OC ZINC000467139286 1074522702 /nfs/dbraw/zinc/52/27/02/1074522702.db2.gz YQLVJGDJGBQJQK-LLVKDONJSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(F)c1C(=O)OC ZINC000467139287 1074522762 /nfs/dbraw/zinc/52/27/62/1074522762.db2.gz YQLVJGDJGBQJQK-NSHDSACASA-N 0 0 436.483 -0.074 20 0 IBADRN Cc1cc2cc[nH]c2cc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467139550 1074522757 /nfs/dbraw/zinc/52/27/57/1074522757.db2.gz BTYFVGPCMVFKLQ-UHFFFAOYSA-N 0 0 425.449 -0.096 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000467139772 1074523152 /nfs/dbraw/zinc/52/31/52/1074523152.db2.gz UUDXULZCRODKDP-UHFFFAOYSA-N 0 0 445.542 -0.839 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000467139970 1074523075 /nfs/dbraw/zinc/52/30/75/1074523075.db2.gz PSBQIWFDZFPEJC-KMFMINBZSA-N 0 0 433.465 -0.520 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000467139971 1074523144 /nfs/dbraw/zinc/52/31/44/1074523144.db2.gz PSBQIWFDZFPEJC-LXZKKBNFSA-N 0 0 433.465 -0.520 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000467139972 1074523163 /nfs/dbraw/zinc/52/31/63/1074523163.db2.gz PSBQIWFDZFPEJC-QRTARXTBSA-N 0 0 433.465 -0.520 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000467139973 1074523124 /nfs/dbraw/zinc/52/31/24/1074523124.db2.gz PSBQIWFDZFPEJC-UNEWFSDZSA-N 0 0 433.465 -0.520 20 0 IBADRN CCCCn1ncc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000467139997 1074523025 /nfs/dbraw/zinc/52/30/25/1074523025.db2.gz PXUCOHPNCBQZAP-UHFFFAOYSA-N 0 0 432.485 -0.581 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467140025 1074523174 /nfs/dbraw/zinc/52/31/74/1074523174.db2.gz VPWFMAYATFICEH-UHFFFAOYSA-N 0 0 444.448 -0.709 20 0 IBADRN Cc1ccc2cccc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c2n1 ZINC000467140112 1074523115 /nfs/dbraw/zinc/52/31/15/1074523115.db2.gz CZIIEXNOGZLZDP-UHFFFAOYSA-N 0 0 437.460 -0.029 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467140344 1074523006 /nfs/dbraw/zinc/52/30/06/1074523006.db2.gz XCYYUJCFIYFLDW-UHFFFAOYSA-N 0 0 429.437 -0.928 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nn1[C@H](C)C1CC1 ZINC000467140386 1073325134 /nfs/dbraw/zinc/32/51/34/1073325134.db2.gz XQNSJVUWUBTNOL-CYBMUJFWSA-N 0 0 444.496 -0.410 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nn1[C@@H](C)C1CC1 ZINC000467140387 1073324567 /nfs/dbraw/zinc/32/45/67/1073324567.db2.gz XQNSJVUWUBTNOL-ZDUSSCGKSA-N 0 0 444.496 -0.410 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3c(c1)CN(C)C3)C2 ZINC000467140437 1074522710 /nfs/dbraw/zinc/52/27/10/1074522710.db2.gz DVSGJERNOVFEQR-UHFFFAOYSA-N 0 0 427.465 -0.941 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cc(C3CCCC3)nn1C)C2 ZINC000467140539 1074523031 /nfs/dbraw/zinc/52/30/31/1074523031.db2.gz FJHXWFJLYGYDOK-UHFFFAOYSA-N 0 0 444.496 -0.495 20 0 IBADRN CCC(=O)c1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467140723 1074523041 /nfs/dbraw/zinc/52/30/41/1074523041.db2.gz ZCVFWYYWZUWMPK-UHFFFAOYSA-N 0 0 428.449 -0.293 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c2ccccc2O1 ZINC000467140772 1074523494 /nfs/dbraw/zinc/52/34/94/1074523494.db2.gz GBGWWRUNYYUWSI-CYBMUJFWSA-N 0 0 428.449 -0.711 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c2ccccc2O1 ZINC000467140773 1074523436 /nfs/dbraw/zinc/52/34/36/1074523436.db2.gz GBGWWRUNYYUWSI-ZDUSSCGKSA-N 0 0 428.449 -0.711 20 0 IBADRN CCCCOc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000467140776 1074523420 /nfs/dbraw/zinc/52/34/20/1074523420.db2.gz GCHQWTVNPJZICU-UHFFFAOYSA-N 0 0 445.480 -0.312 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3c(c1)N(C)CC3)C2 ZINC000467140904 1074523050 /nfs/dbraw/zinc/52/30/50/1074523050.db2.gz ZTHHZELALWGOCK-UHFFFAOYSA-N 0 0 427.465 -0.894 20 0 IBADRN Cc1cn2cc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc2n1 ZINC000467140923 1074523098 /nfs/dbraw/zinc/52/30/98/1074523098.db2.gz ZWMCJWSKJPBICR-UHFFFAOYSA-N 0 0 426.437 -0.930 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000467140994 1074522990 /nfs/dbraw/zinc/52/29/90/1074522990.db2.gz GUJFCALGHQPMDU-UHFFFAOYSA-N 0 0 434.428 -0.430 20 0 IBADRN CCCOc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467141017 1074523015 /nfs/dbraw/zinc/52/30/15/1074523015.db2.gz GZPSUGAGGFNDEB-UHFFFAOYSA-N 0 0 430.465 -0.097 20 0 IBADRN CCc1nc2cc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc2o1 ZINC000467141120 1074523086 /nfs/dbraw/zinc/52/30/86/1074523086.db2.gz UXYXRARYFNMLGG-UHFFFAOYSA-N 0 0 441.448 -0.183 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cnc(C(C)(C)C)nc1)C2 ZINC000467141505 1074523132 /nfs/dbraw/zinc/52/31/32/1074523132.db2.gz XUQCAZBMJLKMLX-UHFFFAOYSA-N 0 0 430.469 -0.799 20 0 IBADRN Cc1cc(N(C)C)cc(C)c1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467141585 1074523458 /nfs/dbraw/zinc/52/34/58/1074523458.db2.gz YIARPKAWLNZQTH-UHFFFAOYSA-N 0 0 443.508 -0.203 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467141744 1074523487 /nfs/dbraw/zinc/52/34/87/1074523487.db2.gz KMLAFVZNFLBUAZ-UHFFFAOYSA-N 0 0 429.437 -0.928 20 0 IBADRN CCCc1nc(C)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000467141973 1074523411 /nfs/dbraw/zinc/52/34/11/1074523411.db2.gz LVUIQVVBNYPWCE-UHFFFAOYSA-N 0 0 435.510 -0.169 20 0 IBADRN COC(=O)c1c(C)cccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467142165 1074523470 /nfs/dbraw/zinc/52/34/70/1074523470.db2.gz NZCJVRPAQKNQGU-UHFFFAOYSA-N 0 0 444.448 -0.791 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N1CCc3cc(F)c(F)cc31)C2 ZINC000467142257 1074523441 /nfs/dbraw/zinc/52/34/41/1074523441.db2.gz OYJOPDNMHDQOMT-UHFFFAOYSA-N 0 0 434.403 -0.657 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1Cl ZINC000467142260 1074523500 /nfs/dbraw/zinc/52/35/00/1074523500.db2.gz OYVKPLFTLNOMQG-UHFFFAOYSA-N 0 0 436.856 -0.224 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c2cccnc12 ZINC000467142643 1074523427 /nfs/dbraw/zinc/52/34/27/1074523427.db2.gz TXAFOVMGDKQYCU-UHFFFAOYSA-N 0 0 437.460 -0.029 20 0 IBADRN COC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467143096 1074523449 /nfs/dbraw/zinc/52/34/49/1074523449.db2.gz XLGCSZKJNKIDJG-UHFFFAOYSA-N 0 0 448.411 -0.960 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccccc1-n1cccn1)C2 ZINC000467143457 1074523375 /nfs/dbraw/zinc/52/33/75/1074523375.db2.gz YNBGIYYXCSXTEJ-UHFFFAOYSA-N 0 0 438.448 -0.700 20 0 IBADRN CCOc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1OC ZINC000467143919 1074523385 /nfs/dbraw/zinc/52/33/85/1074523385.db2.gz IWMSLGXDPROTRE-UHFFFAOYSA-N 0 0 446.464 -0.479 20 0 IBADRN CCn1ncc2ccc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc21 ZINC000467144059 1074523404 /nfs/dbraw/zinc/52/34/04/1074523404.db2.gz KLMWZBXBXCKUNX-UHFFFAOYSA-N 0 0 440.464 -0.517 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(N3CCCC3)nc1)C2 ZINC000467144097 1074523479 /nfs/dbraw/zinc/52/34/79/1074523479.db2.gz KZTFNSQAGDLBAV-UHFFFAOYSA-N 0 0 442.480 -0.891 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccnn1-c1ccccc1)C2 ZINC000467144412 1072599526 /nfs/dbraw/zinc/59/95/26/1072599526.db2.gz OQRGTUMRGSUQJD-UHFFFAOYSA-N 0 0 438.448 -0.700 20 0 IBADRN CC(C)Oc1ccccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467144442 1074523508 /nfs/dbraw/zinc/52/35/08/1074523508.db2.gz PBGYNCOTHYAMCB-UHFFFAOYSA-N 0 0 430.465 -0.099 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000467144497 1074523473 /nfs/dbraw/zinc/52/34/73/1074523473.db2.gz PKOLIUYESCMZPW-UHFFFAOYSA-N 0 0 434.428 -0.348 20 0 IBADRN CC(=O)Nc1ccc(F)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467144798 1074523773 /nfs/dbraw/zinc/52/37/73/1074523773.db2.gz SMVIMHITVRARBK-UHFFFAOYSA-N 0 0 447.427 -0.789 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000467144838 1074523831 /nfs/dbraw/zinc/52/38/31/1074523831.db2.gz SWJWJVMDSKAWNJ-UHFFFAOYSA-N 0 0 444.529 -0.305 20 0 IBADRN CC(=O)c1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1C ZINC000467144870 1074523868 /nfs/dbraw/zinc/52/38/68/1074523868.db2.gz UBKHXBCGDCLKSZ-UHFFFAOYSA-N 0 0 428.449 -0.375 20 0 IBADRN CC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467145110 1074523782 /nfs/dbraw/zinc/52/37/82/1074523782.db2.gz WEKYAZISLLOHCM-UHFFFAOYSA-N 0 0 443.464 -0.619 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(OC)c1 ZINC000467145159 1074523818 /nfs/dbraw/zinc/52/38/18/1074523818.db2.gz WUUYONWFHSOBPR-UHFFFAOYSA-N 0 0 432.437 -0.869 20 0 IBADRN CSCc1ccccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467145433 1074523743 /nfs/dbraw/zinc/52/37/43/1074523743.db2.gz ABHPHUVTDYWQGT-UHFFFAOYSA-N 0 0 432.506 -0.023 20 0 IBADRN COCCOc1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467145593 1074523713 /nfs/dbraw/zinc/52/37/13/1074523713.db2.gz BOVZNIWXOYGZPG-UHFFFAOYSA-N 0 0 446.464 -0.861 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1c[nH]nc1-c1ccccc1)C2 ZINC000467145764 1074523875 /nfs/dbraw/zinc/52/38/75/1074523875.db2.gz DUWFWSZIHFTMJJ-UHFFFAOYSA-N 0 0 438.448 -0.496 20 0 IBADRN Cc1cnn(-c2ccc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2F)c1 ZINC000467145939 1074523755 /nfs/dbraw/zinc/52/37/55/1074523755.db2.gz FNFLIUJBKNMDOB-UHFFFAOYSA-N 0 0 431.515 -0.085 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cc(CC(C)(C)C)nn1C)C2 ZINC000467146177 1074523764 /nfs/dbraw/zinc/52/37/64/1074523764.db2.gz HADIYFVERFMFFM-UHFFFAOYSA-N 0 0 446.512 -0.564 20 0 IBADRN CC(C)N(C)c1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467146336 1074523882 /nfs/dbraw/zinc/52/38/82/1074523882.db2.gz HSFATIBGSNRISN-UHFFFAOYSA-N 0 0 443.508 -0.042 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3c(c1)CC(C)(C)O3)C2 ZINC000467146517 1074523862 /nfs/dbraw/zinc/52/38/62/1074523862.db2.gz FMVPLGSMSCMFJA-UHFFFAOYSA-N 0 0 442.476 -0.173 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N1CCc3c1cccc3Cl)C2 ZINC000467146529 1074523731 /nfs/dbraw/zinc/52/37/31/1074523731.db2.gz FQXAEKRJQPLBQT-UHFFFAOYSA-N 0 0 432.868 -0.282 20 0 IBADRN CC(C)COc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000467146626 1074523854 /nfs/dbraw/zinc/52/38/54/1074523854.db2.gz JUVSFLGROUGRCP-UHFFFAOYSA-N 0 0 445.480 -0.456 20 0 IBADRN CC(C)n1nc2c(c1NC(=O)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CCC2 ZINC000467147230 1074523809 /nfs/dbraw/zinc/52/38/09/1074523809.db2.gz LNZDDUCZJQYBPH-UHFFFAOYSA-N 0 0 444.496 -0.620 20 0 IBADRN CCOCc1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467147267 1074523802 /nfs/dbraw/zinc/52/38/02/1074523802.db2.gz LWRKJSOUZNAGDR-UHFFFAOYSA-N 0 0 430.465 -0.350 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(-c3ncon3)cc1)C2 ZINC000467147434 1074523825 /nfs/dbraw/zinc/52/38/25/1074523825.db2.gz IYDAWACEVOOEBF-UHFFFAOYSA-N 0 0 440.420 -0.836 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc3c1OCCC3=O)C2 ZINC000467147561 1074523792 /nfs/dbraw/zinc/52/37/92/1074523792.db2.gz MUOCIQYEIBFEBW-UHFFFAOYSA-N 0 0 442.432 -0.921 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccccc1OCC1CC1)C2 ZINC000467147572 1074523838 /nfs/dbraw/zinc/52/38/38/1074523838.db2.gz MZERXRQVQBGEPS-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN COCCN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)CC(=O)N(C)C ZINC000467147629 1074524223 /nfs/dbraw/zinc/52/42/23/1074524223.db2.gz NVKXQGNVQQIVNK-UHFFFAOYSA-N 0 0 431.493 -0.603 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1=O ZINC000467147769 1074524257 /nfs/dbraw/zinc/52/42/57/1074524257.db2.gz KJFORFXGZWLGTG-KKUMJFAQSA-N 0 0 443.547 -0.273 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1=O ZINC000467147770 1074524299 /nfs/dbraw/zinc/52/42/99/1074524299.db2.gz KJFORFXGZWLGTG-RRFJBIMHSA-N 0 0 443.547 -0.273 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1=O ZINC000467147771 1074524272 /nfs/dbraw/zinc/52/42/72/1074524272.db2.gz KJFORFXGZWLGTG-SOUVJXGZSA-N 0 0 443.547 -0.273 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1=O ZINC000467147772 1074524233 /nfs/dbraw/zinc/52/42/33/1074524233.db2.gz KJFORFXGZWLGTG-ZNMIVQPWSA-N 0 0 443.547 -0.273 20 0 IBADRN CC(C)Oc1ncccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467147918 1074524334 /nfs/dbraw/zinc/52/43/34/1074524334.db2.gz DLBUQMYELSZWOS-UHFFFAOYSA-N 0 0 431.453 -0.704 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3c(c1)OC(C)(C)O3)C2 ZINC000467147994 1074524197 /nfs/dbraw/zinc/52/41/97/1074524197.db2.gz PAXCLONLROUGSA-UHFFFAOYSA-N 0 0 444.448 -0.379 20 0 IBADRN CCOc1cc(C)ccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467149223 1074524152 /nfs/dbraw/zinc/52/41/52/1074524152.db2.gz JJOAJGDQYSUEFH-UHFFFAOYSA-N 0 0 430.465 -0.179 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467149257 1074524123 /nfs/dbraw/zinc/52/41/23/1074524123.db2.gz OJQJVVYTLFBISY-UHFFFAOYSA-N 0 0 446.464 -0.479 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(-c3cc[nH]n3)cc1)C2 ZINC000467149789 1074524343 /nfs/dbraw/zinc/52/43/43/1074524343.db2.gz GLLTZKLATIYYQF-UHFFFAOYSA-N 0 0 438.448 -0.496 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467150159 1074524313 /nfs/dbraw/zinc/52/43/13/1074524313.db2.gz USOBLVSPVITORK-UHFFFAOYSA-N 0 0 448.411 -0.960 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000467150576 1074524290 /nfs/dbraw/zinc/52/42/90/1074524290.db2.gz JADLYHQEMDZZMM-INMHGKMJSA-N 0 0 446.508 0.419 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000467150577 1074524166 /nfs/dbraw/zinc/52/41/66/1074524166.db2.gz JADLYHQEMDZZMM-UXLLHSPISA-N 0 0 446.508 0.419 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000467150578 1074524214 /nfs/dbraw/zinc/52/42/14/1074524214.db2.gz JADLYHQEMDZZMM-VYDXJSESSA-N 0 0 446.508 0.419 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000467150579 1074524205 /nfs/dbraw/zinc/52/42/05/1074524205.db2.gz JADLYHQEMDZZMM-ZOBUZTSGSA-N 0 0 446.508 0.419 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc2o1 ZINC000467150870 1074524326 /nfs/dbraw/zinc/52/43/26/1074524326.db2.gz JYNMETBNMBNWFI-UHFFFAOYSA-N 0 0 427.421 -0.436 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1C ZINC000467151024 1074524186 /nfs/dbraw/zinc/52/41/86/1074524186.db2.gz YHEJMINTJKPVNB-UHFFFAOYSA-N 0 0 444.448 -0.791 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000467151052 1074524724 /nfs/dbraw/zinc/52/47/24/1074524724.db2.gz VSJNCHGDCGTVSM-UHFFFAOYSA-N 0 0 448.411 -0.960 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(-n3cccn3)c1)C2 ZINC000467151271 1074524111 /nfs/dbraw/zinc/52/41/11/1074524111.db2.gz YWXNAVMXIXQJMK-UHFFFAOYSA-N 0 0 438.448 -0.700 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(-c3ncco3)c1)C2 ZINC000467151490 1074524137 /nfs/dbraw/zinc/52/41/37/1074524137.db2.gz ZIIQCSBKSSPJMP-UHFFFAOYSA-N 0 0 439.432 -0.231 20 0 IBADRN Cc1cc(N(C)C)ccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467151704 1074524605 /nfs/dbraw/zinc/52/46/05/1074524605.db2.gz LRXBOXOMVMKPGM-UHFFFAOYSA-N 0 0 429.481 -0.512 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cc1 ZINC000467152055 1074524561 /nfs/dbraw/zinc/52/45/61/1074524561.db2.gz ZVPWLVQONLCLLI-UHFFFAOYSA-N 0 0 430.421 -0.015 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cccc1N(C)C ZINC000467152131 1074524656 /nfs/dbraw/zinc/52/46/56/1074524656.db2.gz ODJPNEGJJOFHHB-UHFFFAOYSA-N 0 0 429.481 -0.512 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467152606 1074524736 /nfs/dbraw/zinc/52/47/36/1074524736.db2.gz PMHVOBMTMUSBSR-UHFFFAOYSA-N 0 0 446.464 -0.861 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccccc1OC(F)F)C2 ZINC000467152752 1074524669 /nfs/dbraw/zinc/52/46/69/1074524669.db2.gz RQGKCVBKBNNSAM-UHFFFAOYSA-N 0 0 438.391 -0.285 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000467153190 1074524714 /nfs/dbraw/zinc/52/47/14/1074524714.db2.gz SZUVWTGAIIETAI-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COc1c(F)cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000467153251 1074524690 /nfs/dbraw/zinc/52/46/90/1074524690.db2.gz UTPXVFAMPPBNFW-UHFFFAOYSA-N 0 0 438.391 -0.599 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000467153441 1074524626 /nfs/dbraw/zinc/52/46/26/1074524626.db2.gz VGSSITUCHQZDPM-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N1CCc3c1cc(F)cc3F)C2 ZINC000467153489 1074524636 /nfs/dbraw/zinc/52/46/36/1074524636.db2.gz VHIDXUBOROGYEV-UHFFFAOYSA-N 0 0 434.403 -0.657 20 0 IBADRN Cc1cc2cccnc2c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467153895 1074525159 /nfs/dbraw/zinc/52/51/59/1074525159.db2.gz COIQUTBWMBZUIF-UHFFFAOYSA-N 0 0 437.460 -0.029 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3c(c1)ncn3C)C2 ZINC000467153960 1074524973 /nfs/dbraw/zinc/52/49/73/1074524973.db2.gz DFJFLFOFJUOXEQ-UHFFFAOYSA-N 0 0 426.437 -0.999 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3scnc3c1)C2 ZINC000467153972 1074525047 /nfs/dbraw/zinc/52/50/47/1074525047.db2.gz DILYRRXFTZPVSS-UHFFFAOYSA-N 0 0 429.462 -0.276 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(-n3ccnc3)c1)C2 ZINC000467154141 1074525013 /nfs/dbraw/zinc/52/50/13/1074525013.db2.gz YFZBTNUJYGATRW-UHFFFAOYSA-N 0 0 438.448 -0.700 20 0 IBADRN CCOc1ccc(OC)cc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467154198 1074524586 /nfs/dbraw/zinc/52/45/86/1074524586.db2.gz DRLXGRWUGVWWEJ-UHFFFAOYSA-N 0 0 446.464 -0.479 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3c(c1)CCCO3)C2 ZINC000467154199 1074524534 /nfs/dbraw/zinc/52/45/34/1074524534.db2.gz DRNLMIMXBABNJQ-UHFFFAOYSA-N 0 0 428.449 -0.561 20 0 IBADRN CCOCc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467154226 1074524680 /nfs/dbraw/zinc/52/46/80/1074524680.db2.gz FDDWUZFPPWGLTR-UHFFFAOYSA-N 0 0 430.465 -0.350 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(OC(F)F)c1)C2 ZINC000467154499 1074524615 /nfs/dbraw/zinc/52/46/15/1074524615.db2.gz ZOUQCODOCIDICD-UHFFFAOYSA-N 0 0 438.391 -0.285 20 0 IBADRN Cc1ccccc1C[C@@H](CO)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000467155453 1074525001 /nfs/dbraw/zinc/52/50/01/1074525001.db2.gz OWPDWDKVIMVXGZ-KRWDZBQOSA-N 0 0 429.477 -0.291 20 0 IBADRN Cc1ccccc1C[C@H](CO)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000467155454 1074525095 /nfs/dbraw/zinc/52/50/95/1074525095.db2.gz OWPDWDKVIMVXGZ-QGZVFWFLSA-N 0 0 429.477 -0.291 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(OCC3CC3)cc1)C2 ZINC000467155508 1074525178 /nfs/dbraw/zinc/52/51/78/1074525178.db2.gz PSWOIXVYAOBPDJ-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN CSCc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467155550 1074525169 /nfs/dbraw/zinc/52/51/69/1074525169.db2.gz QEEROKSMLWNWEC-UHFFFAOYSA-N 0 0 432.506 -0.023 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000467155726 1074525148 /nfs/dbraw/zinc/52/51/48/1074525148.db2.gz SBKVPOBDNWLJPP-UHFFFAOYSA-N 0 0 430.465 -0.179 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000467155800 1074525036 /nfs/dbraw/zinc/52/50/36/1074525036.db2.gz TVFOMGLFTZUTMY-UHFFFAOYSA-N 0 0 438.391 -0.599 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(C(F)(F)F)nc1)C2 ZINC000467156233 1074525057 /nfs/dbraw/zinc/52/50/57/1074525057.db2.gz YLRCLJOQBTUZLB-UHFFFAOYSA-N 0 0 441.370 -0.472 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(c2nccn(C)c2=O)CC1)S(C)(=O)=O ZINC000467161119 1074525354 /nfs/dbraw/zinc/52/53/54/1074525354.db2.gz MUPMRWBHZHIVOF-UHFFFAOYSA-N 0 0 428.559 -0.921 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(c2nccn(C)c2=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000467161926 1074525370 /nfs/dbraw/zinc/52/53/70/1074525370.db2.gz PEFKFKQXANPQLT-CABCVRRESA-N 0 0 448.549 -0.184 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(c2nccn(C)c2=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000467161927 1074525494 /nfs/dbraw/zinc/52/54/94/1074525494.db2.gz PEFKFKQXANPQLT-GJZGRUSLSA-N 0 0 448.549 -0.184 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(c2nccn(C)c2=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000467161928 1074525554 /nfs/dbraw/zinc/52/55/54/1074525554.db2.gz PEFKFKQXANPQLT-HUUCEWRRSA-N 0 0 448.549 -0.184 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(c2nccn(C)c2=O)CC1 ZINC000467161929 1074525361 /nfs/dbraw/zinc/52/53/61/1074525361.db2.gz PEFKFKQXANPQLT-LSDHHAIUSA-N 0 0 448.549 -0.184 20 0 IBADRN Cn1ccnc(N2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)c1=O ZINC000467162404 1074525485 /nfs/dbraw/zinc/52/54/85/1074525485.db2.gz JWNAZZFIANUQFB-UHFFFAOYSA-N 0 0 432.506 -0.861 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(c2nccn(C)c2=O)CC1 ZINC000467162512 1074525467 /nfs/dbraw/zinc/52/54/67/1074525467.db2.gz LBLJLISGMWBDSF-AWEZNQCLSA-N 0 0 446.533 -0.473 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(c2nccn(C)c2=O)CC1 ZINC000467162514 1074525428 /nfs/dbraw/zinc/52/54/28/1074525428.db2.gz LBLJLISGMWBDSF-CQSZACIVSA-N 0 0 446.533 -0.473 20 0 IBADRN CN(Cc1nnnn1C)S(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000467163131 1071268683 /nfs/dbraw/zinc/26/86/83/1071268683.db2.gz TYBYKEGIGFHHCX-UHFFFAOYSA-N 0 0 430.288 -0.016 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(c3nccn(C)c3=O)CC2)ccc1C ZINC000467163191 1074525475 /nfs/dbraw/zinc/52/54/75/1074525475.db2.gz BYJLGOZSTAWWIX-UHFFFAOYSA-N 0 0 434.522 -0.242 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCN(c3nccn(C)c3=O)CC2)c1C ZINC000467163572 1074525503 /nfs/dbraw/zinc/52/55/03/1074525503.db2.gz DGXKGULKBUISRW-UHFFFAOYSA-N 0 0 434.522 -0.195 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC(n2cc(C(=O)OC)nn2)CC1 ZINC000467164513 1074525347 /nfs/dbraw/zinc/52/53/47/1074525347.db2.gz QGTNGIHPALAWRO-UHFFFAOYSA-N 0 0 433.425 -0.223 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CC(n4cc(C(N)=O)nn4)C3)c2)CC1 ZINC000467166192 1074525377 /nfs/dbraw/zinc/52/53/77/1074525377.db2.gz QQJBXVVPAHTZPV-UHFFFAOYSA-N 0 0 448.509 -0.598 20 0 IBADRN O=C(Nc1cc(Br)c(F)cc1F)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000467170164 1074525438 /nfs/dbraw/zinc/52/54/38/1074525438.db2.gz OSHZCNBCSLOAKR-SSDOTTSWSA-N 0 0 431.193 -0.165 20 0 IBADRN O=C(Nc1cc(Br)c(F)cc1F)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000467170178 1074525383 /nfs/dbraw/zinc/52/53/83/1074525383.db2.gz OSHZCNBCSLOAKR-ZETCQYMHSA-N 0 0 431.193 -0.165 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000467172597 1074525948 /nfs/dbraw/zinc/52/59/48/1074525948.db2.gz YQTJCIXVYIIESV-UHFFFAOYSA-N 0 0 434.522 -0.209 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)CC(=O)N1CCN(C(=O)CN(C)[C@H](C)C[S@](C)=O)CC1 ZINC000467177288 1074526042 /nfs/dbraw/zinc/52/60/42/1074526042.db2.gz USXXZDGFDIKARY-FYKBGJEGSA-N 0 0 436.644 -0.945 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)CC(=O)N1CCN(C(=O)CN(C)[C@H](C)C[S@@](C)=O)CC1 ZINC000467177289 1074525854 /nfs/dbraw/zinc/52/58/54/1074525854.db2.gz USXXZDGFDIKARY-GBOIKXPFSA-N 0 0 436.644 -0.945 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)CC(=O)N1CCN(C(=O)CN(C)[C@@H](C)C[S@@](C)=O)CC1 ZINC000467177290 1074525809 /nfs/dbraw/zinc/52/58/09/1074525809.db2.gz USXXZDGFDIKARY-YEVOLAAUSA-N 0 0 436.644 -0.945 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)CC(=O)N1CCN(C(=O)CN(C)[C@@H](C)C[S@](C)=O)CC1 ZINC000467177291 1074525890 /nfs/dbraw/zinc/52/58/90/1074525890.db2.gz USXXZDGFDIKARY-YHIDPYCLSA-N 0 0 436.644 -0.945 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000467177665 1074525928 /nfs/dbraw/zinc/52/59/28/1074525928.db2.gz WQOGQDRREQEUAA-UHFFFAOYSA-N 0 0 442.480 -0.138 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000467178312 1074526000 /nfs/dbraw/zinc/52/60/00/1074526000.db2.gz ZWYWONSXGVBOQI-CHWSQXEVSA-N 0 0 442.494 -0.270 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000467178313 1074525966 /nfs/dbraw/zinc/52/59/66/1074525966.db2.gz ZWYWONSXGVBOQI-OLZOCXBDSA-N 0 0 442.494 -0.270 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000467178314 1074526030 /nfs/dbraw/zinc/52/60/30/1074526030.db2.gz ZWYWONSXGVBOQI-QWHCGFSZSA-N 0 0 442.494 -0.270 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000467178315 1074525959 /nfs/dbraw/zinc/52/59/59/1074525959.db2.gz ZWYWONSXGVBOQI-STQMWFEESA-N 0 0 442.494 -0.270 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCN(c2nccn(C)c2=O)CC1 ZINC000467179302 1074526020 /nfs/dbraw/zinc/52/60/20/1074526020.db2.gz FZFVSYIHARTTIZ-UHFFFAOYSA-N 0 0 428.493 -0.026 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(c2nccn(C)c2=O)CC1 ZINC000467180305 1074526006 /nfs/dbraw/zinc/52/60/06/1074526006.db2.gz JFMBXSODCTYTPO-HNNXBMFYSA-N 0 0 448.549 -0.703 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(c2nccn(C)c2=O)CC1 ZINC000467180311 1074526343 /nfs/dbraw/zinc/52/63/43/1074526343.db2.gz JFMBXSODCTYTPO-OAHLLOKOSA-N 0 0 448.549 -0.703 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000467180483 1074525796 /nfs/dbraw/zinc/52/57/96/1074525796.db2.gz FXUXTXFMDMEDFY-LLVKDONJSA-N 0 0 433.425 -0.223 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000467180484 1074525848 /nfs/dbraw/zinc/52/58/48/1074525848.db2.gz FXUXTXFMDMEDFY-NSHDSACASA-N 0 0 433.425 -0.223 20 0 IBADRN Cn1ccnc(N2CCN(CC(=O)N3CCN(C(=O)c4cccs4)CC3)CC2)c1=O ZINC000467181022 1074526300 /nfs/dbraw/zinc/52/63/00/1074526300.db2.gz MAQYNHUZCVZTIG-UHFFFAOYSA-N 0 0 430.534 -0.052 20 0 IBADRN CN(CC[C@@H]1CCCO1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000467183795 1074526359 /nfs/dbraw/zinc/52/63/59/1074526359.db2.gz YVSZDSARRRGEIK-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CN(CC[C@H]1CCCO1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000467183815 1074526434 /nfs/dbraw/zinc/52/64/34/1074526434.db2.gz YVSZDSARRRGEIK-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000467186278 1074526310 /nfs/dbraw/zinc/52/63/10/1074526310.db2.gz LNIPDNRJDDFIHS-UHFFFAOYSA-N 0 0 435.441 -0.591 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000467188433 1074526366 /nfs/dbraw/zinc/52/63/66/1074526366.db2.gz YUCOGVLBMYSZCM-UHFFFAOYSA-N 0 0 429.437 -0.622 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000467192689 1074526400 /nfs/dbraw/zinc/52/64/00/1074526400.db2.gz WCGBDTFOHIVEQJ-DZGCQCFKSA-N 0 0 444.535 -0.106 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000467192690 1074526338 /nfs/dbraw/zinc/52/63/38/1074526338.db2.gz WCGBDTFOHIVEQJ-HIFRSBDPSA-N 0 0 444.535 -0.106 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000467192691 1074526415 /nfs/dbraw/zinc/52/64/15/1074526415.db2.gz WCGBDTFOHIVEQJ-UKRRQHHQSA-N 0 0 444.535 -0.106 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000467192692 1074526320 /nfs/dbraw/zinc/52/63/20/1074526320.db2.gz WCGBDTFOHIVEQJ-ZFWWWQNUSA-N 0 0 444.535 -0.106 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000467198065 1071348149 /nfs/dbraw/zinc/34/81/49/1071348149.db2.gz WJIBSBDPNVESTK-UHFFFAOYSA-N 0 0 436.556 -0.103 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC3(CNC(=O)C3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000467208867 1072601435 /nfs/dbraw/zinc/60/14/35/1072601435.db2.gz VEGLNKKPNKZSNP-UHFFFAOYSA-N 0 0 425.489 -0.038 20 0 IBADRN COc1ccc(NC(=O)N(C)Cc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000467210753 1074526746 /nfs/dbraw/zinc/52/67/46/1074526746.db2.gz HNXKTSKIGRRBBR-UHFFFAOYSA-N 0 0 425.471 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N(C)Cc1nnnn1C ZINC000467211287 1074526824 /nfs/dbraw/zinc/52/68/24/1074526824.db2.gz KZADZBUCCYSDRW-UHFFFAOYSA-N 0 0 425.471 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000467211489 1074526352 /nfs/dbraw/zinc/52/63/52/1074526352.db2.gz LXUKPLYJZGEHHP-CYBMUJFWSA-N 0 0 439.494 -0.043 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000467211490 1074526427 /nfs/dbraw/zinc/52/64/27/1074526427.db2.gz LXUKPLYJZGEHHP-ZDUSSCGKSA-N 0 0 439.494 -0.043 20 0 IBADRN COc1ccc(NC(=O)N2CCN3C(=O)NC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000467211884 1074526810 /nfs/dbraw/zinc/52/68/10/1074526810.db2.gz PQZKKSMNALMMGL-AWEZNQCLSA-N 0 0 439.494 -0.043 20 0 IBADRN COc1ccc(NC(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000467211885 1074526761 /nfs/dbraw/zinc/52/67/61/1074526761.db2.gz PQZKKSMNALMMGL-CQSZACIVSA-N 0 0 439.494 -0.043 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000467212951 1074526721 /nfs/dbraw/zinc/52/67/21/1074526721.db2.gz AXTHYOWYQXTBTD-KRWDZBQOSA-N 0 0 427.465 -0.343 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000467212952 1074526817 /nfs/dbraw/zinc/52/68/17/1074526817.db2.gz AXTHYOWYQXTBTD-QGZVFWFLSA-N 0 0 427.465 -0.343 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)c(=O)n(C)c1=O ZINC000467215599 1074526776 /nfs/dbraw/zinc/52/67/76/1074526776.db2.gz HNOGEVJZAMAGJB-GFCCVEGCSA-N 0 0 430.417 -0.677 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)c(=O)n(C)c1=O ZINC000467215600 1074526854 /nfs/dbraw/zinc/52/68/54/1074526854.db2.gz HNOGEVJZAMAGJB-LBPRGKRZSA-N 0 0 430.417 -0.677 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000467222150 1074526787 /nfs/dbraw/zinc/52/67/87/1074526787.db2.gz HLCNCJSAVGTXDR-AWEZNQCLSA-N 0 0 434.497 -0.310 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000467222151 1074526859 /nfs/dbraw/zinc/52/68/59/1074526859.db2.gz HLCNCJSAVGTXDR-CQSZACIVSA-N 0 0 434.497 -0.310 20 0 IBADRN COc1ccc(CN2CCCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000467223163 1074526848 /nfs/dbraw/zinc/52/68/48/1074526848.db2.gz CVRAYJHERPNZMJ-AWEZNQCLSA-N 0 0 443.504 -0.020 20 0 IBADRN COc1ccc(CN2CCCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000467223164 1074526713 /nfs/dbraw/zinc/52/67/13/1074526713.db2.gz CVRAYJHERPNZMJ-CQSZACIVSA-N 0 0 443.504 -0.020 20 0 IBADRN COc1ccc(CN2CCCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000467226869 1074526804 /nfs/dbraw/zinc/52/68/04/1074526804.db2.gz VBTBULWXDNLYMY-UHFFFAOYSA-N 0 0 429.477 -0.408 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC(N(CC)S(C)(=O)=O)CC1 ZINC000467227059 1074526730 /nfs/dbraw/zinc/52/67/30/1074526730.db2.gz DEACQRBXRGLEEQ-UHFFFAOYSA-N 0 0 429.499 -0.192 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2c(C(=O)OCC)cnn2C)CC1 ZINC000467227073 1074526767 /nfs/dbraw/zinc/52/67/67/1074526767.db2.gz DJKDTRCTCKYIKC-UHFFFAOYSA-N 0 0 437.453 -0.547 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000467227335 1074526754 /nfs/dbraw/zinc/52/67/54/1074526754.db2.gz VVRMYQVPSVZTRY-GFCCVEGCSA-N 0 0 441.452 -0.290 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000467227337 1074526699 /nfs/dbraw/zinc/52/66/99/1074526699.db2.gz VVRMYQVPSVZTRY-LBPRGKRZSA-N 0 0 441.452 -0.290 20 0 IBADRN Cc1ccc(-n2cc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cn2)c(C)c1 ZINC000467228540 1074526690 /nfs/dbraw/zinc/52/66/90/1074526690.db2.gz HWOJMSFSRPNVGJ-UHFFFAOYSA-N 0 0 434.522 -0.140 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000467230455 1074527242 /nfs/dbraw/zinc/52/72/42/1074527242.db2.gz ODIKFNKEDXYLOB-FQEVSTJZSA-N 0 0 442.432 -0.094 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000467230456 1074527191 /nfs/dbraw/zinc/52/71/91/1074527191.db2.gz ODIKFNKEDXYLOB-HXUWFJFHSA-N 0 0 442.432 -0.094 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccnc2-c2cnn(C)c2)CC1 ZINC000467230487 1074527174 /nfs/dbraw/zinc/52/71/74/1074527174.db2.gz OOIDDTMJBVIVDL-UHFFFAOYSA-N 0 0 429.481 -0.673 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC(N(C)S(=O)(=O)CC)CC1 ZINC000467232132 1074527218 /nfs/dbraw/zinc/52/72/18/1074527218.db2.gz VVKGUGRFPPFYBN-UHFFFAOYSA-N 0 0 429.499 -0.192 20 0 IBADRN COC(=O)c1ccc(C(C)C)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000467232140 1074527112 /nfs/dbraw/zinc/52/71/12/1074527112.db2.gz VXCAPLGVEWLNBI-UHFFFAOYSA-N 0 0 440.522 -0.032 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000467235066 1074527274 /nfs/dbraw/zinc/52/72/74/1074527274.db2.gz IBWHBORKTXLCQU-UHFFFAOYSA-N 0 0 428.449 -0.017 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000467235436 1074527137 /nfs/dbraw/zinc/52/71/37/1074527137.db2.gz LFVPNNDOFBYMAP-GFCCVEGCSA-N 0 0 427.483 -0.438 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000467235437 1071411750 /nfs/dbraw/zinc/41/17/50/1071411750.db2.gz LFVPNNDOFBYMAP-LBPRGKRZSA-N 0 0 427.483 -0.438 20 0 IBADRN Cn1cc(-c2ncccc2NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cn1 ZINC000467235787 1074527182 /nfs/dbraw/zinc/52/71/82/1074527182.db2.gz BLOJCLZIXSHRNV-UHFFFAOYSA-N 0 0 429.462 -0.090 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000467236163 1074527156 /nfs/dbraw/zinc/52/71/56/1074527156.db2.gz DBGPTEPELPRSGT-UHFFFAOYSA-N 0 0 443.507 0.000 20 0 IBADRN Cn1cc(-c2ncccc2NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000467240401 1074527130 /nfs/dbraw/zinc/52/71/30/1074527130.db2.gz CJYFKXRKAXCKHX-UHFFFAOYSA-N 0 0 438.535 -0.085 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000467241402 1074527123 /nfs/dbraw/zinc/52/71/23/1074527123.db2.gz HQTJNYWUEIZGBO-CYBMUJFWSA-N 0 0 448.567 -0.313 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000467241403 1071414883 /nfs/dbraw/zinc/41/48/83/1071414883.db2.gz HQTJNYWUEIZGBO-ZDUSSCGKSA-N 0 0 448.567 -0.313 20 0 IBADRN COC(=O)c1ccc(C(C)C)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000467241958 1074527562 /nfs/dbraw/zinc/52/75/62/1074527562.db2.gz JVWNUJYRIJIEDG-UHFFFAOYSA-N 0 0 443.464 -0.107 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000467242342 1074527507 /nfs/dbraw/zinc/52/75/07/1074527507.db2.gz LTACIUQXYUBPFA-UHFFFAOYSA-N 0 0 433.512 -0.970 20 0 IBADRN Cc1ccc(-n2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cn2)c(C)c1 ZINC000467242395 1074527556 /nfs/dbraw/zinc/52/75/56/1074527556.db2.gz MFFQGXCURCTDGP-UHFFFAOYSA-N 0 0 437.464 -0.215 20 0 IBADRN Cn1cc(-c2ncccc2NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000467243980 1074527625 /nfs/dbraw/zinc/52/76/25/1074527625.db2.gz CLQGELLMLVREAK-UHFFFAOYSA-N 0 0 441.492 -0.576 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000467245630 1074527571 /nfs/dbraw/zinc/52/75/71/1074527571.db2.gz IJWXPCVYOCETAT-GFCCVEGCSA-N 0 0 445.498 -0.860 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000467245631 1074527634 /nfs/dbraw/zinc/52/76/34/1074527634.db2.gz IJWXPCVYOCETAT-LBPRGKRZSA-N 0 0 445.498 -0.860 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1cccs1 ZINC000467246547 1071416416 /nfs/dbraw/zinc/41/64/16/1071416416.db2.gz NFJMFLMPYPJLBF-UHFFFAOYSA-N 0 0 429.480 -0.309 20 0 IBADRN CN(C)Cc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1Br ZINC000467246782 1074528031 /nfs/dbraw/zinc/52/80/31/1074528031.db2.gz OLYTWXVYGYLMSI-UHFFFAOYSA-N 0 0 426.315 -0.003 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2cc(S(N)(=O)=O)ccc2OC)c1 ZINC000467247433 1074527860 /nfs/dbraw/zinc/52/78/60/1074527860.db2.gz GKZSOZRRIRIFBR-UHFFFAOYSA-N 0 0 432.480 -0.152 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000467250345 1074527966 /nfs/dbraw/zinc/52/79/66/1074527966.db2.gz JUMXWSZDQLVKBH-UHFFFAOYSA-N 0 0 445.498 -0.954 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000467250454 1074528023 /nfs/dbraw/zinc/52/80/23/1074528023.db2.gz UCTYAVGSUUYASB-UHFFFAOYSA-N 0 0 445.480 -0.063 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC ZINC000467256196 1074527914 /nfs/dbraw/zinc/52/79/14/1074527914.db2.gz PATLKHLFSSBUMM-GFCCVEGCSA-N 0 0 445.519 -0.072 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC ZINC000467256197 1074527849 /nfs/dbraw/zinc/52/78/49/1074527849.db2.gz PATLKHLFSSBUMM-LBPRGKRZSA-N 0 0 445.519 -0.072 20 0 IBADRN O=C(Nc1ncn(CC(=O)N2CCCCCC2)n1)C(=O)N[C@H](CO)Cc1ccccc1 ZINC000467257527 1073336894 /nfs/dbraw/zinc/33/68/94/1073336894.db2.gz NGDZLNZAAODZMM-KRWDZBQOSA-N 0 0 428.493 0.339 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2oc1=O ZINC000467258781 1074527976 /nfs/dbraw/zinc/52/79/76/1074527976.db2.gz MHSSVJKVHURREY-UHFFFAOYSA-N 0 0 438.506 -0.221 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000467260299 1074527870 /nfs/dbraw/zinc/52/78/70/1074527870.db2.gz KTJVPMDESJYJJI-UHFFFAOYSA-N 0 0 426.539 -0.597 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccc(OC)nc1N1CCN(C(C)=O)CC1 ZINC000467263415 1074528045 /nfs/dbraw/zinc/52/80/45/1074528045.db2.gz VRZBPIZJMSRFFA-UHFFFAOYSA-N 0 0 443.526 -0.347 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)c2cnnn2C)C(=O)c2cnnn2C)cc1 ZINC000467264941 1073583633 /nfs/dbraw/zinc/58/36/33/1073583633.db2.gz DAPZSZGBTVJKAU-UHFFFAOYSA-N 0 0 426.437 -0.197 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000467265611 1074528358 /nfs/dbraw/zinc/52/83/58/1074528358.db2.gz ITFKXNFVGRNIAU-UHFFFAOYSA-N 0 0 426.539 -0.203 20 0 IBADRN O=C(NCCc1cn2c(n1)SCC2)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000467268121 1071554676 /nfs/dbraw/zinc/55/46/76/1071554676.db2.gz IVPADFPSXOHJDJ-UHFFFAOYSA-N 0 0 444.583 -0.128 20 0 IBADRN CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@H]1CCOC1 ZINC000467270104 1074528350 /nfs/dbraw/zinc/52/83/50/1074528350.db2.gz XFEHQTVZBVKVPG-GXTWGEPZSA-N 0 0 427.479 -0.383 20 0 IBADRN CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@H]1CCOC1 ZINC000467270105 1074528390 /nfs/dbraw/zinc/52/83/90/1074528390.db2.gz XFEHQTVZBVKVPG-JSGCOSHPSA-N 0 0 427.479 -0.383 20 0 IBADRN CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@@H]1CCOC1 ZINC000467270106 1074528405 /nfs/dbraw/zinc/52/84/05/1074528405.db2.gz XFEHQTVZBVKVPG-OCCSQVGLSA-N 0 0 427.479 -0.383 20 0 IBADRN CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@@H]1CCOC1 ZINC000467270107 1074528401 /nfs/dbraw/zinc/52/84/01/1074528401.db2.gz XFEHQTVZBVKVPG-TZMCWYRMSA-N 0 0 427.479 -0.383 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)Cc3nnnn3C)CC2)cc1 ZINC000467273626 1074528365 /nfs/dbraw/zinc/52/83/65/1074528365.db2.gz RWSRXHWVJZSQIY-UHFFFAOYSA-N 0 0 449.537 -0.210 20 0 IBADRN O=C(NCCc1cn2c(n1)SCC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000467274152 1071581064 /nfs/dbraw/zinc/58/10/64/1071581064.db2.gz FDEKAZCRJGKKIV-UHFFFAOYSA-N 0 0 430.556 -0.565 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCCN(c3nnc(C(F)(F)F)s3)CC2)c(=O)n(C)c1=O ZINC000467274772 1071584801 /nfs/dbraw/zinc/58/48/01/1071584801.db2.gz ZRJIRSSVSXSPIV-UHFFFAOYSA-N 0 0 447.443 -0.069 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3cn4c(n3)SCC4)CC2)CC1 ZINC000467278789 1071599479 /nfs/dbraw/zinc/59/94/79/1071599479.db2.gz PJSKUOLSEVORBG-UHFFFAOYSA-N 0 0 443.599 -0.649 20 0 IBADRN Cc1ccc(NC(=O)NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000467278796 1074528295 /nfs/dbraw/zinc/52/82/95/1074528295.db2.gz ABKFKJBIFFEKON-UHFFFAOYSA-N 0 0 429.481 -0.086 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N1CCN(Cc3ccccc3)CC1)C2 ZINC000467278888 1074528369 /nfs/dbraw/zinc/52/83/69/1074528369.db2.gz BGGVBOFAPCVVPD-UHFFFAOYSA-N 0 0 441.536 -0.707 20 0 IBADRN Cc1ccc(Nc2nc(N)nc(CN3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)n2)cc1 ZINC000467279567 1073360478 /nfs/dbraw/zinc/36/04/78/1073360478.db2.gz DIDXWUAQGPSQKW-UHFFFAOYSA-N 0 0 438.496 -0.032 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467281006 1074528649 /nfs/dbraw/zinc/52/86/49/1074528649.db2.gz IHBGTTJXYUHEIR-UHFFFAOYSA-N 0 0 430.465 -0.236 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467281033 1074528420 /nfs/dbraw/zinc/52/84/20/1074528420.db2.gz IPHDQSHVBLCUCX-AWEZNQCLSA-N 0 0 446.508 -0.157 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467281034 1074528703 /nfs/dbraw/zinc/52/87/03/1074528703.db2.gz IPHDQSHVBLCUCX-CQSZACIVSA-N 0 0 446.508 -0.157 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCCCOc1ccccc1F)C2 ZINC000467281063 1074528628 /nfs/dbraw/zinc/52/86/28/1074528628.db2.gz IYFNWYGVAXSBTP-UHFFFAOYSA-N 0 0 434.472 -0.327 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467282236 1074528694 /nfs/dbraw/zinc/52/86/94/1074528694.db2.gz OUGBMCYIAANMKV-UHFFFAOYSA-N 0 0 430.509 -0.239 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467282691 1074528759 /nfs/dbraw/zinc/52/87/59/1074528759.db2.gz CVPWSJMHGVCHOB-UHFFFAOYSA-N 0 0 443.508 -0.646 20 0 IBADRN Cc1ccc(NC(=O)NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1C ZINC000467283008 1074528784 /nfs/dbraw/zinc/52/87/84/1074528784.db2.gz SHPUFXUXGZTIKC-UHFFFAOYSA-N 0 0 429.481 -0.086 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NC(=O)Nc1ccc3c(c1)OCO3)C2 ZINC000467283162 1074528683 /nfs/dbraw/zinc/52/86/83/1074528683.db2.gz HIRHNOTXTRKSQI-UHFFFAOYSA-N 0 0 445.436 -0.974 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467283230 1074528726 /nfs/dbraw/zinc/52/87/26/1074528726.db2.gz UOCZANNWCCXWEI-CYBMUJFWSA-N 0 0 430.465 -0.253 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467283231 1074528790 /nfs/dbraw/zinc/52/87/90/1074528790.db2.gz UOCZANNWCCXWEI-ZDUSSCGKSA-N 0 0 430.465 -0.253 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467283537 1074528673 /nfs/dbraw/zinc/52/86/73/1074528673.db2.gz JQPVCFFKJZSILP-INIZCTEOSA-N 0 0 430.509 -0.159 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467283538 1074528743 /nfs/dbraw/zinc/52/87/43/1074528743.db2.gz JQPVCFFKJZSILP-MRXNPFEDSA-N 0 0 430.509 -0.159 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCCN1CCc3ccccc31)C2 ZINC000467283759 1074528657 /nfs/dbraw/zinc/52/86/57/1074528657.db2.gz LJYDNXSHEDAZNU-UHFFFAOYSA-N 0 0 427.509 -0.873 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2)CC1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467283780 1074529048 /nfs/dbraw/zinc/52/90/48/1074529048.db2.gz LRRCSTNDPYTKMA-KRWDZBQOSA-N 0 0 441.536 -0.314 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2)CC1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467283781 1074529106 /nfs/dbraw/zinc/52/91/06/1074529106.db2.gz LRRCSTNDPYTKMA-QGZVFWFLSA-N 0 0 441.536 -0.314 20 0 IBADRN COc1ccc(CNC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467283945 1074528734 /nfs/dbraw/zinc/52/87/34/1074528734.db2.gz MMWDFYGZLMKYIN-UHFFFAOYSA-N 0 0 432.481 -0.718 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N1CCN(Cc3cccs3)CC1)C2 ZINC000467284325 1074528796 /nfs/dbraw/zinc/52/87/96/1074528796.db2.gz QHLULVXNLFQMMN-UHFFFAOYSA-N 0 0 447.565 -0.646 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000467284961 1074529027 /nfs/dbraw/zinc/52/90/27/1074529027.db2.gz AKKWDIHKADKQAO-AWEZNQCLSA-N 0 0 436.513 -0.861 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000467284964 1074529066 /nfs/dbraw/zinc/52/90/66/1074529066.db2.gz AKKWDIHKADKQAO-CQSZACIVSA-N 0 0 436.513 -0.861 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccccc1SCC(N)=O)C2 ZINC000467285544 1074529117 /nfs/dbraw/zinc/52/91/17/1074529117.db2.gz HMTWGEUGZGNLHJ-UHFFFAOYSA-N 0 0 447.521 -0.835 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N1CCN(Cc3ccsc3)CC1)C2 ZINC000467285594 1074529074 /nfs/dbraw/zinc/52/90/74/1074529074.db2.gz IFINSUDPBSYWRT-UHFFFAOYSA-N 0 0 447.565 -0.646 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCCN(C(=O)c3ccsc3)CC2)c[nH]1 ZINC000467285658 1074529180 /nfs/dbraw/zinc/52/91/80/1074529180.db2.gz IZHJQYPEVWSHGJ-UHFFFAOYSA-N 0 0 439.519 -0.172 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467285885 1074529000 /nfs/dbraw/zinc/52/90/00/1074529000.db2.gz MUYPWDZHLPKBTO-CYBMUJFWSA-N 0 0 429.481 -0.066 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467285886 1074529059 /nfs/dbraw/zinc/52/90/59/1074529059.db2.gz MUYPWDZHLPKBTO-ZDUSSCGKSA-N 0 0 429.481 -0.066 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CCCS(=O)(=O)c1ccc(F)cc1)C2 ZINC000467285959 1074529101 /nfs/dbraw/zinc/52/91/01/1074529101.db2.gz NPRGPNIRBABKIN-UHFFFAOYSA-N 0 0 425.486 -0.048 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467285960 1074529012 /nfs/dbraw/zinc/52/90/12/1074529012.db2.gz NQEUIWJQIYYECP-UHFFFAOYSA-N 0 0 430.465 -0.318 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1cccc(N3CCCC3=O)c1)C2 ZINC000467286103 1074529136 /nfs/dbraw/zinc/52/91/36/1074529136.db2.gz PFHQIYMBRYQLQQ-UHFFFAOYSA-N 0 0 441.492 -0.286 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467286191 1074529167 /nfs/dbraw/zinc/52/91/67/1074529167.db2.gz QMRGMIGFHLNTCA-AWEZNQCLSA-N 0 0 446.508 -0.329 20 0 IBADRN COc1ccc(CNC(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467286192 1074529187 /nfs/dbraw/zinc/52/91/87/1074529187.db2.gz QMRGMIGFHLNTCA-CQSZACIVSA-N 0 0 446.508 -0.329 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccc(C(=O)N(C)C)cc1)C2 ZINC000467286213 1074529175 /nfs/dbraw/zinc/52/91/75/1074529175.db2.gz QSRQYWGWKDJTFD-UHFFFAOYSA-N 0 0 429.481 -0.711 20 0 IBADRN COC(=O)c1cccc([C@@H](C(=O)OC)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467286577 1074529194 /nfs/dbraw/zinc/52/91/94/1074529194.db2.gz WSHFITAZKJSGFC-KRWDZBQOSA-N 0 0 431.449 -0.351 20 0 IBADRN COC(=O)c1cccc([C@H](C(=O)OC)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467286578 1074529092 /nfs/dbraw/zinc/52/90/92/1074529092.db2.gz WSHFITAZKJSGFC-QGZVFWFLSA-N 0 0 431.449 -0.351 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1nc3c(cnn3-c3ccccc3)c(=O)[nH]1)C2 ZINC000467286928 1074529424 /nfs/dbraw/zinc/52/94/24/1074529424.db2.gz BMDBANYVNAECKA-UHFFFAOYSA-N 0 0 449.475 -0.017 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467287001 1074529529 /nfs/dbraw/zinc/52/95/29/1074529529.db2.gz CLZVLHPGFZYHCZ-UHFFFAOYSA-N 0 0 446.508 -0.710 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1=O ZINC000467287216 1074529441 /nfs/dbraw/zinc/52/94/41/1074529441.db2.gz FUUMDIIGOBWJOX-CYBMUJFWSA-N 0 0 448.505 -0.398 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1=O ZINC000467287217 1074529414 /nfs/dbraw/zinc/52/94/14/1074529414.db2.gz FUUMDIIGOBWJOX-ZDUSSCGKSA-N 0 0 448.505 -0.398 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@H](C(N)=O)c1ccc(Br)cc1)C2 ZINC000467287298 1074529474 /nfs/dbraw/zinc/52/94/74/1074529474.db2.gz AELYWCAYQOZDDR-HNNXBMFYSA-N 0 0 437.298 -0.062 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@@H](C(N)=O)c1ccc(Br)cc1)C2 ZINC000467287299 1074529382 /nfs/dbraw/zinc/52/93/82/1074529382.db2.gz AELYWCAYQOZDDR-OAHLLOKOSA-N 0 0 437.298 -0.062 20 0 IBADRN COc1cc(NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(OC)c1OC ZINC000467287339 1074529158 /nfs/dbraw/zinc/52/91/58/1074529158.db2.gz ATMXLEWGELDAEK-UHFFFAOYSA-N 0 0 448.480 -0.387 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccc3c(c1)OC(F)(F)O3)C2 ZINC000467287599 1074529125 /nfs/dbraw/zinc/52/91/25/1074529125.db2.gz IDWSQWMWPQYJHF-UHFFFAOYSA-N 0 0 438.391 -0.091 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCCCN(C)c1ccccc1)C2 ZINC000467287836 1074529771 /nfs/dbraw/zinc/52/97/71/1074529771.db2.gz KJQLGJIBLIVSED-UHFFFAOYSA-N 0 0 429.525 -0.409 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467287891 1074529760 /nfs/dbraw/zinc/52/97/60/1074529760.db2.gz LCIDRPJBLLGXNT-CABCVRRESA-N 0 0 436.513 -0.861 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467287892 1074529819 /nfs/dbraw/zinc/52/98/19/1074529819.db2.gz LCIDRPJBLLGXNT-GJZGRUSLSA-N 0 0 436.513 -0.861 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467287993 1074529835 /nfs/dbraw/zinc/52/98/35/1074529835.db2.gz LCIDRPJBLLGXNT-HUUCEWRRSA-N 0 0 436.513 -0.861 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000467287994 1074529885 /nfs/dbraw/zinc/52/98/85/1074529885.db2.gz LCIDRPJBLLGXNT-LSDHHAIUSA-N 0 0 436.513 -0.861 20 0 IBADRN CCOC(=O)c1cccc(OC[C@@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467288058 1074529450 /nfs/dbraw/zinc/52/94/50/1074529450.db2.gz LXZRETYVTUEVGD-INIZCTEOSA-N 0 0 447.492 -0.435 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467288059 1074529811 /nfs/dbraw/zinc/52/98/11/1074529811.db2.gz LXZRETYVTUEVGD-MRXNPFEDSA-N 0 0 447.492 -0.435 20 0 IBADRN C[C@@H](C(=O)NC(=O)NCc1ccccc1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467288219 1074529500 /nfs/dbraw/zinc/52/95/00/1074529500.db2.gz GPCDYNKSUMCRMG-AWEZNQCLSA-N 0 0 429.481 -0.637 20 0 IBADRN CC(=O)Nc1ccc(OC[C@@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467288225 1074529407 /nfs/dbraw/zinc/52/94/07/1074529407.db2.gz MYVSPSBVYUPWHI-INIZCTEOSA-N 0 0 432.481 -0.653 20 0 IBADRN C[C@H](C(=O)NC(=O)NCc1ccccc1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467288226 1074529541 /nfs/dbraw/zinc/52/95/41/1074529541.db2.gz GPCDYNKSUMCRMG-CQSZACIVSA-N 0 0 429.481 -0.637 20 0 IBADRN CC(=O)Nc1ccc(OC[C@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467288229 1074529372 /nfs/dbraw/zinc/52/93/72/1074529372.db2.gz MYVSPSBVYUPWHI-MRXNPFEDSA-N 0 0 432.481 -0.653 20 0 IBADRN COC(=O)c1ccc2c(c1)nc(CN1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)[nH]c2=O ZINC000467288362 1074529433 /nfs/dbraw/zinc/52/94/33/1074529433.db2.gz HFYYEBVVKWCITA-UHFFFAOYSA-N 0 0 441.448 -0.416 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CCC(=O)Nc1ccc(C(N)=O)c(Cl)c1)C2 ZINC000467288423 1074529459 /nfs/dbraw/zinc/52/94/59/1074529459.db2.gz HSFYPGWAGYMBNS-UHFFFAOYSA-N 0 0 449.899 -0.270 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467288505 1074529549 /nfs/dbraw/zinc/52/95/49/1074529549.db2.gz ONCDWUGLKGMARF-UHFFFAOYSA-N 0 0 446.508 -0.376 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467288542 1074529520 /nfs/dbraw/zinc/52/95/20/1074529520.db2.gz OVOGRHAPAGVQTD-UHFFFAOYSA-N 0 0 446.508 -0.710 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCCc1cccc3cccnc31)C2 ZINC000467288680 1074529391 /nfs/dbraw/zinc/52/93/91/1074529391.db2.gz JRXWIXQHXCLXAM-UHFFFAOYSA-N 0 0 437.504 -0.144 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N1CCN(c3ccc(F)cc3)CC1)C2 ZINC000467288705 1074529399 /nfs/dbraw/zinc/52/93/99/1074529399.db2.gz PRWDKRUNDQNQRI-UHFFFAOYSA-N 0 0 445.499 -0.564 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2=O)c1 ZINC000467288756 1074529923 /nfs/dbraw/zinc/52/99/23/1074529923.db2.gz QHXXJVPHFJXDMY-KRWDZBQOSA-N 0 0 444.492 -0.229 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2=O)c1 ZINC000467288757 1074529794 /nfs/dbraw/zinc/52/97/94/1074529794.db2.gz QHXXJVPHFJXDMY-QGZVFWFLSA-N 0 0 444.492 -0.229 20 0 IBADRN C[C@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467288855 1073361325 /nfs/dbraw/zinc/36/13/25/1073361325.db2.gz KRXUCYVHYKSQTQ-CYBMUJFWSA-N 0 0 438.496 -0.358 20 0 IBADRN C[C@@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467288856 1073359485 /nfs/dbraw/zinc/35/94/85/1073359485.db2.gz KRXUCYVHYKSQTQ-ZDUSSCGKSA-N 0 0 438.496 -0.358 20 0 IBADRN Cc1cccc(NC(=O)NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000467288901 1074529801 /nfs/dbraw/zinc/52/98/01/1074529801.db2.gz QXGGDGLWHBGEQD-UHFFFAOYSA-N 0 0 429.481 -0.086 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467289104 1074529875 /nfs/dbraw/zinc/52/98/75/1074529875.db2.gz LKKVMJFDWDUCKM-UHFFFAOYSA-N 0 0 436.494 -0.175 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@@H](O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467289216 1074529894 /nfs/dbraw/zinc/52/98/94/1074529894.db2.gz BQHIJLJRTMCODN-INIZCTEOSA-N 0 0 447.492 -0.400 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467289217 1074529843 /nfs/dbraw/zinc/52/98/43/1074529843.db2.gz BQHIJLJRTMCODN-MRXNPFEDSA-N 0 0 447.492 -0.400 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1cccc(C(=O)NC3CC3)c1)C2 ZINC000467289256 1074529903 /nfs/dbraw/zinc/52/99/03/1074529903.db2.gz SEYMDHOHOJWXJA-UHFFFAOYSA-N 0 0 441.492 -0.521 20 0 IBADRN COC(=O)[C@@H](c1cc(OC)cc(OC)c1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467289282 1074529868 /nfs/dbraw/zinc/52/98/68/1074529868.db2.gz CCKMYMZJWXABJN-GOSISDBHSA-N 0 0 433.465 -0.120 20 0 IBADRN COC(=O)[C@H](c1cc(OC)cc(OC)c1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467289284 1074529781 /nfs/dbraw/zinc/52/97/81/1074529781.db2.gz CCKMYMZJWXABJN-SFHVURJKSA-N 0 0 433.465 -0.120 20 0 IBADRN O=C(CCC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000467289316 1074529861 /nfs/dbraw/zinc/52/98/61/1074529861.db2.gz CKCVMUPXSBIEKX-UHFFFAOYSA-N 0 0 425.449 -0.108 20 0 IBADRN COc1ccc(COC[C@@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467289455 1074529931 /nfs/dbraw/zinc/52/99/31/1074529931.db2.gz NFTFAQRDLRLXQZ-INIZCTEOSA-N 0 0 449.508 -0.457 20 0 IBADRN COc1ccc(COC[C@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467289456 1074529826 /nfs/dbraw/zinc/52/98/26/1074529826.db2.gz NFTFAQRDLRLXQZ-MRXNPFEDSA-N 0 0 449.508 -0.457 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N1CCN(c3ccccc3F)CC1)C2 ZINC000467289739 1074530159 /nfs/dbraw/zinc/53/01/59/1074530159.db2.gz DXEHHQNXGVKRLK-UHFFFAOYSA-N 0 0 445.499 -0.564 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N1CCO[C@H](c3ccc(F)cc3)C1)C2 ZINC000467289799 1074530105 /nfs/dbraw/zinc/53/01/05/1074530105.db2.gz FGXLUNFUFSGLDM-KRWDZBQOSA-N 0 0 446.483 -0.312 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N1CCO[C@@H](c3ccc(F)cc3)C1)C2 ZINC000467289801 1074530103 /nfs/dbraw/zinc/53/01/03/1074530103.db2.gz FGXLUNFUFSGLDM-QGZVFWFLSA-N 0 0 446.483 -0.312 20 0 IBADRN COc1ccc2c(c1)CCCN2C(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467289913 1074530167 /nfs/dbraw/zinc/53/01/67/1074530167.db2.gz VESKFXNAZQPALG-UHFFFAOYSA-N 0 0 428.493 -0.063 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467290005 1074530099 /nfs/dbraw/zinc/53/00/99/1074530099.db2.gz FVWGKOYUPPTXBH-CYBMUJFWSA-N 0 0 429.481 -0.665 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467290006 1074530095 /nfs/dbraw/zinc/53/00/95/1074530095.db2.gz FVWGKOYUPPTXBH-ZDUSSCGKSA-N 0 0 429.481 -0.665 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467290206 1074529915 /nfs/dbraw/zinc/52/99/15/1074529915.db2.gz WQDQFGFDJSSYHU-AWEZNQCLSA-N 0 0 444.492 -0.560 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467290207 1074530146 /nfs/dbraw/zinc/53/01/46/1074530146.db2.gz WQDQFGFDJSSYHU-CQSZACIVSA-N 0 0 444.492 -0.560 20 0 IBADRN C[C@H](Cc1c(F)cccc1F)NC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467290774 1074530152 /nfs/dbraw/zinc/53/01/52/1074530152.db2.gz JPZJNPCGPYYOHJ-CYBMUJFWSA-N 0 0 436.463 -0.026 20 0 IBADRN C[C@@H](Cc1c(F)cccc1F)NC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467290780 1074530404 /nfs/dbraw/zinc/53/04/04/1074530404.db2.gz JPZJNPCGPYYOHJ-ZDUSSCGKSA-N 0 0 436.463 -0.026 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCCc1nc3ccccc3s1)C2 ZINC000467290854 1074530130 /nfs/dbraw/zinc/53/01/30/1074530130.db2.gz JTEUGQSKIAQQDT-UHFFFAOYSA-N 0 0 443.533 -0.083 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000467290975 1074530091 /nfs/dbraw/zinc/53/00/91/1074530091.db2.gz KHQVUTHDGRAYDD-UHFFFAOYSA-N 0 0 435.524 -0.398 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCc1cnn(-c3ccccc3)c1)C2 ZINC000467291161 1074530086 /nfs/dbraw/zinc/53/00/86/1074530086.db2.gz LBTJIRWVQRQPQG-UHFFFAOYSA-N 0 0 438.492 -0.549 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccccc1N1CCCC1=O)C2 ZINC000467291420 1074530181 /nfs/dbraw/zinc/53/01/81/1074530181.db2.gz NAJCFBFXXGUPNC-UHFFFAOYSA-N 0 0 441.492 -0.286 20 0 IBADRN COc1ccccc1S(=O)(=O)CCCN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467291430 1074530112 /nfs/dbraw/zinc/53/01/12/1074530112.db2.gz NEYUCAYDMAYISR-UHFFFAOYSA-N 0 0 437.522 -0.179 20 0 IBADRN COc1cccc(OC)c1OC[C@@H](O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467291478 1074530120 /nfs/dbraw/zinc/53/01/20/1074530120.db2.gz NSDLJMBWCOELDZ-AWEZNQCLSA-N 0 0 435.481 -0.594 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467291479 1074530173 /nfs/dbraw/zinc/53/01/73/1074530173.db2.gz NSDLJMBWCOELDZ-CQSZACIVSA-N 0 0 435.481 -0.594 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N(C)CCCOc1ccccc1)C2 ZINC000467291616 1074530140 /nfs/dbraw/zinc/53/01/40/1074530140.db2.gz OCXJCYPQOLSPFB-UHFFFAOYSA-N 0 0 430.509 -0.124 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCOc2ccccc21)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467292469 1074530474 /nfs/dbraw/zinc/53/04/74/1074530474.db2.gz BHVCJXGNQCMUEA-GDBMZVCRSA-N 0 0 428.493 -0.023 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCOc2ccccc21)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467292470 1074530450 /nfs/dbraw/zinc/53/04/50/1074530450.db2.gz BHVCJXGNQCMUEA-GOEBONIOSA-N 0 0 428.493 -0.023 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCOc2ccccc21)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467292471 1074530441 /nfs/dbraw/zinc/53/04/41/1074530441.db2.gz BHVCJXGNQCMUEA-HOCLYGCPSA-N 0 0 428.493 -0.023 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCOc2ccccc21)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467292472 1074530459 /nfs/dbraw/zinc/53/04/59/1074530459.db2.gz BHVCJXGNQCMUEA-ZBFHGGJFSA-N 0 0 428.493 -0.023 20 0 IBADRN COc1cc2nc(CN3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)[nH]c(=O)c2cc1OC ZINC000467292565 1074530422 /nfs/dbraw/zinc/53/04/22/1074530422.db2.gz USNSIMALCZQUGP-UHFFFAOYSA-N 0 0 443.464 -0.185 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467292636 1074530539 /nfs/dbraw/zinc/53/05/39/1074530539.db2.gz VRMMOTHHXZKIPL-INIZCTEOSA-N 0 0 442.524 -0.919 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467292637 1074530412 /nfs/dbraw/zinc/53/04/12/1074530412.db2.gz VRMMOTHHXZKIPL-MRXNPFEDSA-N 0 0 442.524 -0.919 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccccc1F)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467292818 1074530574 /nfs/dbraw/zinc/53/05/74/1074530574.db2.gz WPWMZXOAOLRPRP-GFCCVEGCSA-N 0 0 433.444 -0.176 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccccc1F)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467292819 1074530466 /nfs/dbraw/zinc/53/04/66/1074530466.db2.gz WPWMZXOAOLRPRP-LBPRGKRZSA-N 0 0 433.444 -0.176 20 0 IBADRN COc1ccc(NC(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467293024 1074530566 /nfs/dbraw/zinc/53/05/66/1074530566.db2.gz XOXLCXFBQDCPLQ-CYBMUJFWSA-N 0 0 432.481 -0.007 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467293025 1074530515 /nfs/dbraw/zinc/53/05/15/1074530515.db2.gz XOXLCXFBQDCPLQ-ZDUSSCGKSA-N 0 0 432.481 -0.007 20 0 IBADRN CCOCc1ccc(CNC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467293153 1074530508 /nfs/dbraw/zinc/53/05/08/1074530508.db2.gz INDACYHJYXJQCD-UHFFFAOYSA-N 0 0 430.509 -0.199 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467293182 1074530428 /nfs/dbraw/zinc/53/04/28/1074530428.db2.gz YGVTUXWVXANYFB-HNNXBMFYSA-N 0 0 433.465 -0.825 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467293183 1074530481 /nfs/dbraw/zinc/53/04/81/1074530481.db2.gz YGVTUXWVXANYFB-OAHLLOKOSA-N 0 0 433.465 -0.825 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CCC(=O)NC(=O)NCc1ccccc1)C2 ZINC000467293292 1074530521 /nfs/dbraw/zinc/53/05/21/1074530521.db2.gz JGTICOAWTJFRPT-UHFFFAOYSA-N 0 0 429.481 -0.635 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2)c1 ZINC000467293449 1074530530 /nfs/dbraw/zinc/53/05/30/1074530530.db2.gz KDZIJKCQEVQSDJ-UHFFFAOYSA-N 0 0 441.536 -0.394 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2ccc(Cn3ccccc3=O)cc2)c[nH]1 ZINC000467294515 1074530761 /nfs/dbraw/zinc/53/07/61/1074530761.db2.gz ZVQFBPCNUGGVIN-UHFFFAOYSA-N 0 0 443.485 -0.082 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000467294690 1074530804 /nfs/dbraw/zinc/53/08/04/1074530804.db2.gz CDUOQMQXMIVJIX-CALCHBBNSA-N 0 0 432.587 -0.409 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000467294691 1074530840 /nfs/dbraw/zinc/53/08/40/1074530840.db2.gz CDUOQMQXMIVJIX-IAGOWNOFSA-N 0 0 432.587 -0.409 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000467294692 1074530835 /nfs/dbraw/zinc/53/08/35/1074530835.db2.gz CDUOQMQXMIVJIX-IRXDYDNUSA-N 0 0 432.587 -0.409 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1OC ZINC000467295121 1074530780 /nfs/dbraw/zinc/53/07/80/1074530780.db2.gz JBBWNMBDKOEOLP-UHFFFAOYSA-N 0 0 446.508 -0.376 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467295310 1074530771 /nfs/dbraw/zinc/53/07/71/1074530771.db2.gz MMMKAKAMNVILBF-UHFFFAOYSA-N 0 0 431.453 -0.695 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467295323 1074530825 /nfs/dbraw/zinc/53/08/25/1074530825.db2.gz MUMDMQGMIJKDSL-UHFFFAOYSA-N 0 0 430.465 -0.236 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467295889 1074530799 /nfs/dbraw/zinc/53/07/99/1074530799.db2.gz VUXPGFIFRAWTQO-UHFFFAOYSA-N 0 0 430.465 -0.602 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000467295962 1074530768 /nfs/dbraw/zinc/53/07/68/1074530768.db2.gz WWVJNQUFMQIXBO-UHFFFAOYSA-N 0 0 432.481 -0.047 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467295966 1074530818 /nfs/dbraw/zinc/53/08/18/1074530818.db2.gz WYBUCJNILZYECP-UHFFFAOYSA-N 0 0 436.900 -0.073 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1nnc3n1c1ccccc1c(=O)n3C)C2 ZINC000467296489 1074530764 /nfs/dbraw/zinc/53/07/64/1074530764.db2.gz FDNQVONCVREIMX-UHFFFAOYSA-N 0 0 437.464 -0.957 20 0 IBADRN COc1ccc(NC(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(OC)c1 ZINC000467297017 1074530792 /nfs/dbraw/zinc/53/07/92/1074530792.db2.gz CBNFWAWSLQMPJM-CYBMUJFWSA-N 0 0 432.481 -0.007 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(OC)c1 ZINC000467297018 1074530830 /nfs/dbraw/zinc/53/08/30/1074530830.db2.gz CBNFWAWSLQMPJM-ZDUSSCGKSA-N 0 0 432.481 -0.007 20 0 IBADRN COC(=O)c1cc(CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(C(=O)OC)c1 ZINC000467297325 1074530757 /nfs/dbraw/zinc/53/07/57/1074530757.db2.gz NPJCGVVXUZNPOI-UHFFFAOYSA-N 0 0 431.449 -0.278 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000467297397 1074530777 /nfs/dbraw/zinc/53/07/77/1074530777.db2.gz GFIJOYPJQLVMPR-UHFFFAOYSA-N 0 0 434.472 -0.245 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1nc(-c3ccc4c(c3)OCO4)no1)C2 ZINC000467297451 1074530785 /nfs/dbraw/zinc/53/07/85/1074530785.db2.gz HAWHIMWTXVEJQH-UHFFFAOYSA-N 0 0 427.421 -0.073 20 0 IBADRN Cc1cc2ncn(C[C@@H](O)CN3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c2cc1C ZINC000467297920 1074531147 /nfs/dbraw/zinc/53/11/47/1074531147.db2.gz AJYQXGAOMRFCRK-INIZCTEOSA-N 0 0 427.509 -0.024 20 0 IBADRN Cc1cc2ncn(C[C@H](O)CN3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c2cc1C ZINC000467297921 1074531140 /nfs/dbraw/zinc/53/11/40/1074531140.db2.gz AJYQXGAOMRFCRK-MRXNPFEDSA-N 0 0 427.509 -0.024 20 0 IBADRN CCOC(=O)CN(C(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000467297935 1074531153 /nfs/dbraw/zinc/53/11/53/1074531153.db2.gz APUIVMFLLDMQJK-UHFFFAOYSA-N 0 0 444.492 -0.455 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N(C)CCOc1ccccc1F)C2 ZINC000467298283 1074531135 /nfs/dbraw/zinc/53/11/35/1074531135.db2.gz CPYAKJKSAALWDG-UHFFFAOYSA-N 0 0 434.472 -0.375 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1ccc(S(=O)(=O)N3CCCC3)o1)C2 ZINC000467298486 1074531075 /nfs/dbraw/zinc/53/10/75/1074531075.db2.gz OFJDCTKNIYFLEP-UHFFFAOYSA-N 0 0 438.510 -0.474 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1cccc(C(=O)N(C)C)c1)C2 ZINC000467298502 1074531111 /nfs/dbraw/zinc/53/11/11/1074531111.db2.gz VKVBYMRZVGJMDN-UHFFFAOYSA-N 0 0 429.481 -0.711 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CCCOc1ccc(S(C)(=O)=O)cc1)C2 ZINC000467298722 1071671635 /nfs/dbraw/zinc/67/16/35/1071671635.db2.gz WPPLAECPXFFOPF-UHFFFAOYSA-N 0 0 437.522 -0.179 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCc1ccc(OC(F)F)cc1)C2 ZINC000467299327 1074531096 /nfs/dbraw/zinc/53/10/96/1074531096.db2.gz SFIMFBYGOWXPHV-UHFFFAOYSA-N 0 0 438.435 -0.134 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(C(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000467299466 1074531082 /nfs/dbraw/zinc/53/10/82/1074531082.db2.gz KREMOFYQMHMVEU-UHFFFAOYSA-N 0 0 432.481 -0.047 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467299621 1074531045 /nfs/dbraw/zinc/53/10/45/1074531045.db2.gz LIRWCVYVWSYBHK-UHFFFAOYSA-N 0 0 446.508 -0.675 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467299709 1074531116 /nfs/dbraw/zinc/53/11/16/1074531116.db2.gz WDVQFBQNOHBUOX-KRWDZBQOSA-N 0 0 428.493 -0.735 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467299716 1074531124 /nfs/dbraw/zinc/53/11/24/1074531124.db2.gz WDVQFBQNOHBUOX-QGZVFWFLSA-N 0 0 428.493 -0.735 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000467299835 1074531053 /nfs/dbraw/zinc/53/10/53/1074531053.db2.gz NJJGZJFWKUBDAY-UHFFFAOYSA-N 0 0 430.552 -0.518 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467299876 1074531128 /nfs/dbraw/zinc/53/11/28/1074531128.db2.gz NRPLPORNEUUVAK-UHFFFAOYSA-N 0 0 430.509 -0.158 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccc(Br)cn1)C2 ZINC000467299880 1074531103 /nfs/dbraw/zinc/53/11/03/1074531103.db2.gz NSKUUZYMXZSSGL-UHFFFAOYSA-N 0 0 438.286 -0.255 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000467300062 1074531088 /nfs/dbraw/zinc/53/10/88/1074531088.db2.gz AEJRYZVVKKSKBI-UHFFFAOYSA-N 0 0 446.508 -0.458 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NC[C@@H]1CCN(c3ccccc3)C1)C2 ZINC000467300065 1074531473 /nfs/dbraw/zinc/53/14/73/1074531473.db2.gz AEVFRRKYXAUXDB-KRWDZBQOSA-N 0 0 441.536 -0.409 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NC[C@H]1CCN(c3ccccc3)C1)C2 ZINC000467300066 1074531427 /nfs/dbraw/zinc/53/14/27/1074531427.db2.gz AEVFRRKYXAUXDB-QGZVFWFLSA-N 0 0 441.536 -0.409 20 0 IBADRN C[C@@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000467300138 1071695713 /nfs/dbraw/zinc/69/57/13/1071695713.db2.gz BHGIMZVFDICLRK-INIZCTEOSA-N 0 0 427.546 -0.231 20 0 IBADRN C[C@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000467300139 1071696899 /nfs/dbraw/zinc/69/68/99/1071696899.db2.gz BHGIMZVFDICLRK-MRXNPFEDSA-N 0 0 427.546 -0.231 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCCOc1cccc(Cl)c1)C2 ZINC000467300272 1074531059 /nfs/dbraw/zinc/53/10/59/1074531059.db2.gz BQEOSPSVVJXPHD-UHFFFAOYSA-N 0 0 436.900 -0.203 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccc(N3CCCCC3)nc1)C2 ZINC000467300600 1074531031 /nfs/dbraw/zinc/53/10/31/1074531031.db2.gz TUDBAXURDCKOHK-UHFFFAOYSA-N 0 0 442.524 -0.027 20 0 IBADRN COc1cc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc(Cl)c1OCC(N)=O ZINC000467300623 1074531039 /nfs/dbraw/zinc/53/10/39/1074531039.db2.gz UFLNZKUQMSTLGQ-UHFFFAOYSA-N 0 0 434.902 -0.741 20 0 IBADRN Cc1ccccc1Nc1nc(N)nc(CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC000467300634 1073361382 /nfs/dbraw/zinc/36/13/82/1073361382.db2.gz UPIIZXOWKAIIOW-UHFFFAOYSA-N 0 0 438.496 -0.610 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccc3c(c1)OCCCO3)C2 ZINC000467300686 1074531069 /nfs/dbraw/zinc/53/10/69/1074531069.db2.gz GGMXFHMSHJFNGT-UHFFFAOYSA-N 0 0 430.465 -0.251 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@H](C(N)=O)c1cccc(Br)c1)C2 ZINC000467300836 1071706338 /nfs/dbraw/zinc/70/63/38/1071706338.db2.gz WGRIRZVPFWDCIK-HNNXBMFYSA-N 0 0 437.298 -0.062 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@@H](C(N)=O)c1cccc(Br)c1)C2 ZINC000467300837 1071706351 /nfs/dbraw/zinc/70/63/51/1071706351.db2.gz WGRIRZVPFWDCIK-OAHLLOKOSA-N 0 0 437.298 -0.062 20 0 IBADRN COc1ccc(C(=O)N[C@H](C(=O)N2CCN(CCS(N)(=O)=O)CC2)C(C)C)cc1 ZINC000467301067 1074531400 /nfs/dbraw/zinc/53/14/00/1074531400.db2.gz KDPCJLZIACRQQZ-KRWDZBQOSA-N 0 0 426.539 -0.118 20 0 IBADRN COc1ccc(C(=O)N[C@@H](C(=O)N2CCN(CCS(N)(=O)=O)CC2)C(C)C)cc1 ZINC000467301068 1074531465 /nfs/dbraw/zinc/53/14/65/1074531465.db2.gz KDPCJLZIACRQQZ-QGZVFWFLSA-N 0 0 426.539 -0.118 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CCC(=O)N1CCN(c3ccccn3)CC1)C2 ZINC000467301141 1074531435 /nfs/dbraw/zinc/53/14/35/1074531435.db2.gz LILYAOIFZPAGON-UHFFFAOYSA-N 0 0 442.524 -0.918 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)NCCOc1ccc(Cl)cc1)C2 ZINC000467301759 1074531385 /nfs/dbraw/zinc/53/13/85/1074531385.db2.gz RUFOPRXBTOIIBG-UHFFFAOYSA-N 0 0 436.900 -0.203 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1nc(N)nc(Nc3ccc(F)cc3)n1)C2 ZINC000467302028 1073359933 /nfs/dbraw/zinc/35/99/33/1073359933.db2.gz CVTQSNOXHLYMMF-UHFFFAOYSA-N 0 0 442.459 -0.201 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)N1c3ccccc3NC(=O)C1(C)C)C2 ZINC000467302247 1074531419 /nfs/dbraw/zinc/53/14/19/1074531419.db2.gz FWJOSKXKMMRQMF-UHFFFAOYSA-N 0 0 441.492 -0.288 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000467302339 1074531408 /nfs/dbraw/zinc/53/14/08/1074531408.db2.gz YFUYZKKATXEYSD-AWEZNQCLSA-N 0 0 438.506 -0.757 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000467302340 1074531454 /nfs/dbraw/zinc/53/14/54/1074531454.db2.gz YFUYZKKATXEYSD-CQSZACIVSA-N 0 0 438.506 -0.757 20 0 IBADRN Cc1oc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000467302349 1071710073 /nfs/dbraw/zinc/71/00/73/1071710073.db2.gz YJMATCRZKMJGSE-UHFFFAOYSA-N 0 0 434.540 -0.581 20 0 IBADRN C[C@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467302515 1074531414 /nfs/dbraw/zinc/53/14/14/1074531414.db2.gz ZPGKFTSAFHWQAQ-CYBMUJFWSA-N 0 0 427.465 -0.678 20 0 IBADRN C[C@@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467302516 1074531423 /nfs/dbraw/zinc/53/14/23/1074531423.db2.gz ZPGKFTSAFHWQAQ-ZDUSSCGKSA-N 0 0 427.465 -0.678 20 0 IBADRN CS(=O)(=O)c1ccc(OCCCC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000467302601 1071709174 /nfs/dbraw/zinc/70/91/74/1071709174.db2.gz JDYDVPBJAGEKGE-UHFFFAOYSA-N 0 0 433.552 -0.318 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000467302746 1074531460 /nfs/dbraw/zinc/53/14/60/1074531460.db2.gz LTZXHJCWYOFZBW-CYBMUJFWSA-N 0 0 436.581 -0.666 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000467302747 1074531463 /nfs/dbraw/zinc/53/14/63/1074531463.db2.gz LTZXHJCWYOFZBW-ZDUSSCGKSA-N 0 0 436.581 -0.666 20 0 IBADRN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467302815 1074531745 /nfs/dbraw/zinc/53/17/45/1074531745.db2.gz NEVJOVUMQIHHIV-CABCVRRESA-N 0 0 444.492 -0.707 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467302816 1074531684 /nfs/dbraw/zinc/53/16/84/1074531684.db2.gz NEVJOVUMQIHHIV-GJZGRUSLSA-N 0 0 444.492 -0.707 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467302817 1074531716 /nfs/dbraw/zinc/53/17/16/1074531716.db2.gz NEVJOVUMQIHHIV-HUUCEWRRSA-N 0 0 444.492 -0.707 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467302818 1074531708 /nfs/dbraw/zinc/53/17/08/1074531708.db2.gz NEVJOVUMQIHHIV-LSDHHAIUSA-N 0 0 444.492 -0.707 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467302825 1074531663 /nfs/dbraw/zinc/53/16/63/1074531663.db2.gz NIIKIJDDMJAIKM-UHFFFAOYSA-N 0 0 443.508 -0.734 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467302860 1074531671 /nfs/dbraw/zinc/53/16/71/1074531671.db2.gz NZTTWDUHBQKLTE-UHFFFAOYSA-N 0 0 430.509 -0.199 20 0 IBADRN COc1ccc(OC)c(NC(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467302908 1074531625 /nfs/dbraw/zinc/53/16/25/1074531625.db2.gz OQCKYAXCFLKZLR-CYBMUJFWSA-N 0 0 432.481 -0.007 20 0 IBADRN COc1ccc(OC)c(NC(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467302909 1074531738 /nfs/dbraw/zinc/53/17/38/1074531738.db2.gz OQCKYAXCFLKZLR-ZDUSSCGKSA-N 0 0 432.481 -0.007 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000467303008 1074531392 /nfs/dbraw/zinc/53/13/92/1074531392.db2.gz QKYSNVAGQLEMKX-CYBMUJFWSA-N 0 0 432.481 -0.048 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000467303009 1074531450 /nfs/dbraw/zinc/53/14/50/1074531450.db2.gz QKYSNVAGQLEMKX-ZDUSSCGKSA-N 0 0 432.481 -0.048 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccnn1Cc1cccs1)C2 ZINC000467303114 1074531635 /nfs/dbraw/zinc/53/16/35/1074531635.db2.gz SVKOIFKKMROBBU-UHFFFAOYSA-N 0 0 444.521 -0.106 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000467303393 1074531470 /nfs/dbraw/zinc/53/14/70/1074531470.db2.gz YHIMUPDCNWSUGH-UHFFFAOYSA-N 0 0 429.481 -0.663 20 0 IBADRN Cc1nn(-c2nc(C)cc(C)n2)c(C)c1CC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000467303503 1074531446 /nfs/dbraw/zinc/53/14/46/1074531446.db2.gz AHUUTGFTXOVBQM-UHFFFAOYSA-N 0 0 435.554 -0.129 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000467303813 1074531732 /nfs/dbraw/zinc/53/17/32/1074531732.db2.gz GKDALASALMLWRO-LLVKDONJSA-N 0 0 445.519 -0.280 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000467303814 1074531677 /nfs/dbraw/zinc/53/16/77/1074531677.db2.gz GKDALASALMLWRO-NSHDSACASA-N 0 0 445.519 -0.280 20 0 IBADRN COc1nn(C)c2nc(C)c(CCC(=O)N3CCN(CCS(N)(=O)=O)CC3)c(C)c12 ZINC000467304261 1071715108 /nfs/dbraw/zinc/71/51/08/1071715108.db2.gz KFTZMDSEMFRETH-UHFFFAOYSA-N 0 0 438.554 -0.041 20 0 IBADRN COc1ccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000467304561 1074531723 /nfs/dbraw/zinc/53/17/23/1074531723.db2.gz GDRRXODQRQLWEO-UHFFFAOYSA-N 0 0 446.551 -0.818 20 0 IBADRN COc1ccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1S(=O)(=O)NC(C)C ZINC000467304950 1074531692 /nfs/dbraw/zinc/53/16/92/1074531692.db2.gz AUFYTTJWIHYIDB-UHFFFAOYSA-N 0 0 448.567 -0.572 20 0 IBADRN CN(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000467305095 1074532091 /nfs/dbraw/zinc/53/20/91/1074532091.db2.gz IJXRODGUMWDQGA-UHFFFAOYSA-N 0 0 438.959 -0.607 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc21 ZINC000467305425 1074531938 /nfs/dbraw/zinc/53/19/38/1074531938.db2.gz KLQXDRAHUWEVBS-UHFFFAOYSA-N 0 0 430.552 -0.555 20 0 IBADRN CC(C)C[C@@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)N1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000467305685 1074531655 /nfs/dbraw/zinc/53/16/55/1074531655.db2.gz UNXNSDIOBSUANJ-BBWFWOEESA-N 0 0 440.566 -0.215 20 0 IBADRN CC(C)C[C@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)N1C(=O)[C@@H]2CC=CC[C@H]2C1=O ZINC000467305686 1074531751 /nfs/dbraw/zinc/53/17/51/1074531751.db2.gz UNXNSDIOBSUANJ-BRWVUGGUSA-N 0 0 440.566 -0.215 20 0 IBADRN CC(C)C[C@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)N1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000467305687 1074531701 /nfs/dbraw/zinc/53/17/01/1074531701.db2.gz UNXNSDIOBSUANJ-IXDOHACOSA-N 0 0 440.566 -0.215 20 0 IBADRN CC(C)C[C@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)N1C(=O)[C@H]2CC=CC[C@@H]2C1=O ZINC000467305688 1074531741 /nfs/dbraw/zinc/53/17/41/1074531741.db2.gz UNXNSDIOBSUANJ-YESZJQIVSA-N 0 0 440.566 -0.215 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@H]1CC[S@@](=O)C1 ZINC000467305901 1074532074 /nfs/dbraw/zinc/53/20/74/1074532074.db2.gz UUBRPHPDFKDMNJ-KUNJGFBQSA-N 0 0 427.548 -0.063 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@H]1CC[S@](=O)C1 ZINC000467305902 1074532086 /nfs/dbraw/zinc/53/20/86/1074532086.db2.gz UUBRPHPDFKDMNJ-QZXCRCNTSA-N 0 0 427.548 -0.063 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H]1CC[S@](=O)C1 ZINC000467305903 1074532110 /nfs/dbraw/zinc/53/21/10/1074532110.db2.gz UUBRPHPDFKDMNJ-RXAIFQJESA-N 0 0 427.548 -0.063 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H]1CC[S@@](=O)C1 ZINC000467305904 1074531993 /nfs/dbraw/zinc/53/19/93/1074531993.db2.gz UUBRPHPDFKDMNJ-YVORESIASA-N 0 0 427.548 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000467306161 1071715741 /nfs/dbraw/zinc/71/57/41/1071715741.db2.gz GOTAUGUSOQPKAY-UHFFFAOYSA-N 0 0 432.568 -0.237 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000467306272 1074531957 /nfs/dbraw/zinc/53/19/57/1074531957.db2.gz XNWOBHIKMVEOIX-AWEZNQCLSA-N 0 0 438.506 -0.459 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000467306273 1074532032 /nfs/dbraw/zinc/53/20/32/1074532032.db2.gz XNWOBHIKMVEOIX-CQSZACIVSA-N 0 0 438.506 -0.459 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000467306516 1074532065 /nfs/dbraw/zinc/53/20/65/1074532065.db2.gz HFCGCGQAPMJYBF-LBPRGKRZSA-N 0 0 438.959 -0.561 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc2N1S(C)(=O)=O ZINC000467306975 1071716233 /nfs/dbraw/zinc/71/62/33/1071716233.db2.gz IZOGMDXFKIMZHI-CYBMUJFWSA-N 0 0 430.552 -0.557 20 0 IBADRN C[C@H]1Cc2cc(C(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc2N1S(C)(=O)=O ZINC000467306976 1071716172 /nfs/dbraw/zinc/71/61/72/1071716172.db2.gz IZOGMDXFKIMZHI-ZDUSSCGKSA-N 0 0 430.552 -0.557 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000467307247 1074531973 /nfs/dbraw/zinc/53/19/73/1074531973.db2.gz JYTLLJCMEZLFER-JTQLQIEISA-N 0 0 433.483 -0.150 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000467307248 1074532039 /nfs/dbraw/zinc/53/20/39/1074532039.db2.gz JYTLLJCMEZLFER-SNVBAGLBSA-N 0 0 433.483 -0.150 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000467307537 1074532022 /nfs/dbraw/zinc/53/20/22/1074532022.db2.gz KZCVFJMNTXNQGY-AWEZNQCLSA-N 0 0 439.490 -0.492 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000467307538 1074532044 /nfs/dbraw/zinc/53/20/44/1074532044.db2.gz KZCVFJMNTXNQGY-CQSZACIVSA-N 0 0 439.490 -0.492 20 0 IBADRN Cc1cccc(C[C@@H](CO)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000467308080 1074532378 /nfs/dbraw/zinc/53/23/78/1074532378.db2.gz IYAUYXDKYLTZOM-KRWDZBQOSA-N 0 0 429.477 -0.291 20 0 IBADRN Cc1cccc(C[C@H](CO)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000467308081 1074532284 /nfs/dbraw/zinc/53/22/84/1074532284.db2.gz IYAUYXDKYLTZOM-QGZVFWFLSA-N 0 0 429.477 -0.291 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1 ZINC000467308162 1074531985 /nfs/dbraw/zinc/53/19/85/1074531985.db2.gz OCOQRIAPDLCTQE-GFCCVEGCSA-N 0 0 425.463 -0.898 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1 ZINC000467308163 1074532414 /nfs/dbraw/zinc/53/24/14/1074532414.db2.gz OCOQRIAPDLCTQE-LBPRGKRZSA-N 0 0 425.463 -0.898 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000467308677 1074532053 /nfs/dbraw/zinc/53/20/53/1074532053.db2.gz QYSUHGKWDPSOQV-LLVKDONJSA-N 0 0 445.519 -0.280 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000467308678 1074532104 /nfs/dbraw/zinc/53/21/04/1074532104.db2.gz QYSUHGKWDPSOQV-NSHDSACASA-N 0 0 445.519 -0.280 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000467308948 1074532302 /nfs/dbraw/zinc/53/23/02/1074532302.db2.gz OAAQRWIYAWBOMO-AWEZNQCLSA-N 0 0 449.533 -0.276 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000467308949 1074532374 /nfs/dbraw/zinc/53/23/74/1074532374.db2.gz OAAQRWIYAWBOMO-CQSZACIVSA-N 0 0 449.533 -0.276 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000467309032 1071725246 /nfs/dbraw/zinc/72/52/46/1071725246.db2.gz SVLIGAUBOXDOJV-AWEZNQCLSA-N 0 0 432.568 -0.190 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000467309033 1071725381 /nfs/dbraw/zinc/72/53/81/1071725381.db2.gz SVLIGAUBOXDOJV-CQSZACIVSA-N 0 0 432.568 -0.190 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)CC1 ZINC000467309457 1074532401 /nfs/dbraw/zinc/53/24/01/1074532401.db2.gz CCEHRWFZDSJNRC-HNNXBMFYSA-N 0 0 436.534 -0.065 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)CC1 ZINC000467309458 1074532390 /nfs/dbraw/zinc/53/23/90/1074532390.db2.gz CCEHRWFZDSJNRC-OAHLLOKOSA-N 0 0 436.534 -0.065 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000467310987 1071734601 /nfs/dbraw/zinc/73/46/01/1071734601.db2.gz LDOWUCBHAUXLOP-UHFFFAOYSA-N 0 0 432.568 -0.190 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000467311383 1074532334 /nfs/dbraw/zinc/53/23/34/1074532334.db2.gz PVAUEUQZNROWIR-CYBMUJFWSA-N 0 0 445.519 -0.709 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000467311384 1074532296 /nfs/dbraw/zinc/53/22/96/1074532296.db2.gz PVAUEUQZNROWIR-ZDUSSCGKSA-N 0 0 445.519 -0.709 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@@H]3C)cc2)CC1 ZINC000467312120 1074532445 /nfs/dbraw/zinc/53/24/45/1074532445.db2.gz AINQCOVKEQCLQE-HNNXBMFYSA-N 0 0 436.534 -0.065 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@H]3C)cc2)CC1 ZINC000467312121 1074532421 /nfs/dbraw/zinc/53/24/21/1074532421.db2.gz AINQCOVKEQCLQE-OAHLLOKOSA-N 0 0 436.534 -0.065 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000467312229 1074532383 /nfs/dbraw/zinc/53/23/83/1074532383.db2.gz BSBFFOAHWVVKIP-CYBMUJFWSA-N 0 0 439.490 -0.248 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000467312230 1074532324 /nfs/dbraw/zinc/53/23/24/1074532324.db2.gz BSBFFOAHWVVKIP-ZDUSSCGKSA-N 0 0 439.490 -0.248 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000467312693 1074532367 /nfs/dbraw/zinc/53/23/67/1074532367.db2.gz HXSROBZJUKDEBM-GFCCVEGCSA-N 0 0 429.520 -0.412 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000467312694 1074532456 /nfs/dbraw/zinc/53/24/56/1074532456.db2.gz HXSROBZJUKDEBM-LBPRGKRZSA-N 0 0 429.520 -0.412 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000467312972 1074532437 /nfs/dbraw/zinc/53/24/37/1074532437.db2.gz BGKABLMLSRCZPN-LLVKDONJSA-N 0 0 433.508 -0.471 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000467312973 1074532356 /nfs/dbraw/zinc/53/23/56/1074532356.db2.gz BGKABLMLSRCZPN-NSHDSACASA-N 0 0 433.508 -0.471 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cccc(NC(=O)CCn3ccnc3)c2)CC1 ZINC000467315605 1074532671 /nfs/dbraw/zinc/53/26/71/1074532671.db2.gz GACMMNVCCOERJU-UHFFFAOYSA-N 0 0 434.522 -0.042 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000467316318 1074532760 /nfs/dbraw/zinc/53/27/60/1074532760.db2.gz XAMPYKUODZWSCO-LLVKDONJSA-N 0 0 446.503 -0.149 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000467316319 1074532861 /nfs/dbraw/zinc/53/28/61/1074532861.db2.gz XAMPYKUODZWSCO-NSHDSACASA-N 0 0 446.503 -0.149 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CC1 ZINC000467316459 1074532739 /nfs/dbraw/zinc/53/27/39/1074532739.db2.gz XOARCBATILPARD-UHFFFAOYSA-N 0 0 444.579 -0.093 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000467317017 1074532779 /nfs/dbraw/zinc/53/27/79/1074532779.db2.gz RXGJMXKHTKFDQZ-UHFFFAOYSA-N 0 0 429.543 -0.690 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1S(=O)(=O)N(C)C ZINC000467317729 1071780692 /nfs/dbraw/zinc/78/06/92/1071780692.db2.gz FGENYBFMBUBIDL-GFCCVEGCSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1S(=O)(=O)N(C)C ZINC000467317730 1071780588 /nfs/dbraw/zinc/78/05/88/1071780588.db2.gz FGENYBFMBUBIDL-LBPRGKRZSA-N 0 0 447.535 -0.080 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCCC2)CC1 ZINC000467319481 1071787220 /nfs/dbraw/zinc/78/72/20/1071787220.db2.gz YOUDOLRPXBBXBV-UHFFFAOYSA-N 0 0 436.581 -0.421 20 0 IBADRN Cc1cccc(C[C@@H](CO)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000467319590 1074532687 /nfs/dbraw/zinc/53/26/87/1074532687.db2.gz KCNCKYHFLMBODT-HNNXBMFYSA-N 0 0 425.445 -0.401 20 0 IBADRN Cc1cccc(C[C@H](CO)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000467319591 1074532771 /nfs/dbraw/zinc/53/27/71/1074532771.db2.gz KCNCKYHFLMBODT-OAHLLOKOSA-N 0 0 425.445 -0.401 20 0 IBADRN Cc1ccc(C[C@@H](CO)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000467320843 1074532710 /nfs/dbraw/zinc/53/27/10/1074532710.db2.gz GPPFYIJLLALTPY-KRWDZBQOSA-N 0 0 429.477 -0.291 20 0 IBADRN Cc1ccc(C[C@H](CO)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000467320844 1074532807 /nfs/dbraw/zinc/53/28/07/1074532807.db2.gz GPPFYIJLLALTPY-QGZVFWFLSA-N 0 0 429.477 -0.291 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C2CCN(C(=O)COc3ccccc3)CC2)CC1 ZINC000467321567 1074532816 /nfs/dbraw/zinc/53/28/16/1074532816.db2.gz NRZLBFLDLWHBRD-UHFFFAOYSA-N 0 0 438.550 -0.263 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@@H]3C)c2)CC1 ZINC000467322992 1074532831 /nfs/dbraw/zinc/53/28/31/1074532831.db2.gz XDAYOSYLUNKXSV-HNNXBMFYSA-N 0 0 436.534 -0.065 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@H]3C)c2)CC1 ZINC000467322993 1074532720 /nfs/dbraw/zinc/53/27/20/1074532720.db2.gz XDAYOSYLUNKXSV-OAHLLOKOSA-N 0 0 436.534 -0.065 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cccc(S(=O)(=O)NCC3CC3)c2)CC1 ZINC000467323008 1074532842 /nfs/dbraw/zinc/53/28/42/1074532842.db2.gz XIDWEAAKTOKQGR-UHFFFAOYSA-N 0 0 430.552 -0.579 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCS(=O)(=O)C[C@H]1C)CC2 ZINC000467324183 1074532695 /nfs/dbraw/zinc/53/26/95/1074532695.db2.gz LYCAUXAPUCEVMV-GFCCVEGCSA-N 0 0 429.520 -0.481 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1C)CC2 ZINC000467324184 1074533162 /nfs/dbraw/zinc/53/31/62/1074533162.db2.gz LYCAUXAPUCEVMV-LBPRGKRZSA-N 0 0 429.520 -0.481 20 0 IBADRN Cc1cccc(C[C@@H](CO)NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000467324274 1074532854 /nfs/dbraw/zinc/53/28/54/1074532854.db2.gz XEAOEIXUSZUEMM-KRWDZBQOSA-N 0 0 429.477 -0.291 20 0 IBADRN Cc1cccc(C[C@H](CO)NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000467324275 1074532749 /nfs/dbraw/zinc/53/27/49/1074532749.db2.gz XEAOEIXUSZUEMM-QGZVFWFLSA-N 0 0 429.477 -0.291 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1 ZINC000467324440 1074532864 /nfs/dbraw/zinc/53/28/64/1074532864.db2.gz OKEKURFFRQCUKB-GFCCVEGCSA-N 0 0 425.463 -0.437 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1 ZINC000467324441 1074532881 /nfs/dbraw/zinc/53/28/81/1074532881.db2.gz OKEKURFFRQCUKB-LBPRGKRZSA-N 0 0 425.463 -0.437 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1 ZINC000467324860 1074533032 /nfs/dbraw/zinc/53/30/32/1074533032.db2.gz SMBGOZYKUQOKCR-CHWSQXEVSA-N 0 0 447.535 -0.416 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1 ZINC000467324861 1074533149 /nfs/dbraw/zinc/53/31/49/1074533149.db2.gz SMBGOZYKUQOKCR-OLZOCXBDSA-N 0 0 447.535 -0.416 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1 ZINC000467324862 1071823186 /nfs/dbraw/zinc/82/31/86/1071823186.db2.gz SMBGOZYKUQOKCR-QWHCGFSZSA-N 0 0 447.535 -0.416 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1 ZINC000467324863 1071823222 /nfs/dbraw/zinc/82/32/22/1071823222.db2.gz SMBGOZYKUQOKCR-STQMWFEESA-N 0 0 447.535 -0.416 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000467325514 1074532990 /nfs/dbraw/zinc/53/29/90/1074532990.db2.gz CURHPLVHLAMRGJ-GFCCVEGCSA-N 0 0 427.454 -0.118 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000467325515 1074533014 /nfs/dbraw/zinc/53/30/14/1074533014.db2.gz CURHPLVHLAMRGJ-LBPRGKRZSA-N 0 0 427.454 -0.118 20 0 IBADRN O=C(NCCN1CCc2ccccc2C1=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000467327810 1074533123 /nfs/dbraw/zinc/53/31/23/1074533123.db2.gz NPMWUYQYKBAGMC-UHFFFAOYSA-N 0 0 436.472 -0.229 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)ccc1S(=O)(=O)N(C)C ZINC000467328576 1074533152 /nfs/dbraw/zinc/53/31/52/1074533152.db2.gz BABSGYNKSNIJKS-LLVKDONJSA-N 0 0 433.508 -0.471 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)ccc1S(=O)(=O)N(C)C ZINC000467328577 1074532996 /nfs/dbraw/zinc/53/29/96/1074532996.db2.gz BABSGYNKSNIJKS-NSHDSACASA-N 0 0 433.508 -0.471 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cnn(-c2ccccc2S(C)(=O)=O)c1 ZINC000467329402 1074533135 /nfs/dbraw/zinc/53/31/35/1074533135.db2.gz BFAZBNYPXBWFDG-GFCCVEGCSA-N 0 0 440.503 -0.140 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cnn(-c2ccccc2S(C)(=O)=O)c1 ZINC000467329403 1074533096 /nfs/dbraw/zinc/53/30/96/1074533096.db2.gz BFAZBNYPXBWFDG-LBPRGKRZSA-N 0 0 440.503 -0.140 20 0 IBADRN Cc1ccc(C[C@@H](CO)NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000467333549 1074533158 /nfs/dbraw/zinc/53/31/58/1074533158.db2.gz CUKKAZZFNHRZKL-KRWDZBQOSA-N 0 0 429.477 -0.291 20 0 IBADRN Cc1ccc(C[C@H](CO)NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000467333550 1074533142 /nfs/dbraw/zinc/53/31/42/1074533142.db2.gz CUKKAZZFNHRZKL-QGZVFWFLSA-N 0 0 429.477 -0.291 20 0 IBADRN O=C(NCCN1CCc2ccccc2C1=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000467334064 1074533446 /nfs/dbraw/zinc/53/34/46/1074533446.db2.gz MNDUUDHPWHQFNB-UHFFFAOYSA-N 0 0 440.504 -0.032 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCN(c2nnc(C(F)(F)F)s2)CC1)S(N)(=O)=O ZINC000467334164 1074533479 /nfs/dbraw/zinc/53/34/79/1074533479.db2.gz MXHYDAOTWLUIDX-MRVPVSSYSA-N 0 0 444.461 -0.611 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCN(c2nnc(C(F)(F)F)s2)CC1)S(N)(=O)=O ZINC000467334165 1074533437 /nfs/dbraw/zinc/53/34/37/1074533437.db2.gz MXHYDAOTWLUIDX-QMMMGPOBSA-N 0 0 444.461 -0.611 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1OCC(=O)NC1CC1 ZINC000467334295 1074533402 /nfs/dbraw/zinc/53/34/02/1074533402.db2.gz GJCQFTHKLKXPLR-GFCCVEGCSA-N 0 0 439.490 -0.063 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1OCC(=O)NC1CC1 ZINC000467334296 1074533503 /nfs/dbraw/zinc/53/35/03/1074533503.db2.gz GJCQFTHKLKXPLR-LBPRGKRZSA-N 0 0 439.490 -0.063 20 0 IBADRN Cc1ccc(C[C@@H](CO)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000467335595 1074533430 /nfs/dbraw/zinc/53/34/30/1074533430.db2.gz RTXXRPFPNUHHPU-HNNXBMFYSA-N 0 0 425.445 -0.401 20 0 IBADRN Cc1ccc(C[C@H](CO)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000467335596 1074533352 /nfs/dbraw/zinc/53/33/52/1074533352.db2.gz RTXXRPFPNUHHPU-OAHLLOKOSA-N 0 0 425.445 -0.401 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000467336052 1074533532 /nfs/dbraw/zinc/53/35/32/1074533532.db2.gz NIGOZODCJDTTLD-CYBMUJFWSA-N 0 0 439.490 -0.106 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000467336053 1074533360 /nfs/dbraw/zinc/53/33/60/1074533360.db2.gz NIGOZODCJDTTLD-ZDUSSCGKSA-N 0 0 439.490 -0.106 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1 ZINC000467337163 1071883205 /nfs/dbraw/zinc/88/32/05/1071883205.db2.gz AKLZBZYBRPYAHL-GFCCVEGCSA-N 0 0 433.508 -0.805 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1 ZINC000467337164 1071883228 /nfs/dbraw/zinc/88/32/28/1071883228.db2.gz AKLZBZYBRPYAHL-LBPRGKRZSA-N 0 0 433.508 -0.805 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN(c3nccn(C)c3=O)CC2)cc(OC)c1OC ZINC000467337219 1074533487 /nfs/dbraw/zinc/53/34/87/1074533487.db2.gz ULAAFBQJQMQUCP-UHFFFAOYSA-N 0 0 445.476 -0.115 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000467337541 1074533518 /nfs/dbraw/zinc/53/35/18/1074533518.db2.gz VXNBEASBMPHGKA-JTQLQIEISA-N 0 0 432.476 -0.539 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000467337545 1074533393 /nfs/dbraw/zinc/53/33/93/1074533393.db2.gz VXNBEASBMPHGKA-SNVBAGLBSA-N 0 0 432.476 -0.539 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000467345183 1074533772 /nfs/dbraw/zinc/53/37/72/1074533772.db2.gz FWLSBFJNMKOYPY-LLVKDONJSA-N 0 0 433.483 -0.050 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000467345184 1074533738 /nfs/dbraw/zinc/53/37/38/1074533738.db2.gz FWLSBFJNMKOYPY-NSHDSACASA-N 0 0 433.483 -0.050 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@@H]3C)cn2)C[C@@H](C)O1 ZINC000467345213 1074533813 /nfs/dbraw/zinc/53/38/13/1074533813.db2.gz GEMPHULCBMHMAE-BFHYXJOUSA-N 0 0 441.510 -0.897 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@H]3C)cn2)C[C@H](C)O1 ZINC000467345214 1074533808 /nfs/dbraw/zinc/53/38/08/1074533808.db2.gz GEMPHULCBMHMAE-MCIONIFRSA-N 0 0 441.510 -0.897 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@@H]3C)cn2)C[C@H](C)O1 ZINC000467345215 1074533786 /nfs/dbraw/zinc/53/37/86/1074533786.db2.gz GEMPHULCBMHMAE-MELADBBJSA-N 0 0 441.510 -0.897 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@H]3C)cn2)C[C@@H](C)O1 ZINC000467345216 1074533838 /nfs/dbraw/zinc/53/38/38/1074533838.db2.gz GEMPHULCBMHMAE-MGPQQGTHSA-N 0 0 441.510 -0.897 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000467345489 1074533473 /nfs/dbraw/zinc/53/34/73/1074533473.db2.gz GYKQTROCSYHKPM-GFCCVEGCSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000467345490 1074533513 /nfs/dbraw/zinc/53/35/13/1074533513.db2.gz GYKQTROCSYHKPM-LBPRGKRZSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1 ZINC000467345548 1074533527 /nfs/dbraw/zinc/53/35/27/1074533527.db2.gz HPQDIFRDUHEGSQ-CHWSQXEVSA-N 0 0 439.490 -0.048 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1 ZINC000467345549 1074533462 /nfs/dbraw/zinc/53/34/62/1074533462.db2.gz HPQDIFRDUHEGSQ-OLZOCXBDSA-N 0 0 439.490 -0.048 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1 ZINC000467345550 1074533497 /nfs/dbraw/zinc/53/34/97/1074533497.db2.gz HPQDIFRDUHEGSQ-QWHCGFSZSA-N 0 0 439.490 -0.048 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1 ZINC000467345551 1074533844 /nfs/dbraw/zinc/53/38/44/1074533844.db2.gz HPQDIFRDUHEGSQ-STQMWFEESA-N 0 0 439.490 -0.048 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000467346412 1074533779 /nfs/dbraw/zinc/53/37/79/1074533779.db2.gz KNFBJJTWNRCPOZ-UHFFFAOYSA-N 0 0 429.477 -0.099 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000467346425 1071920205 /nfs/dbraw/zinc/92/02/05/1071920205.db2.gz KPMMFYFGTTYSPR-LLVKDONJSA-N 0 0 433.483 -0.050 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000467346426 1071920195 /nfs/dbraw/zinc/92/01/95/1071920195.db2.gz KPMMFYFGTTYSPR-NSHDSACASA-N 0 0 433.483 -0.050 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000467346823 1074533732 /nfs/dbraw/zinc/53/37/32/1074533732.db2.gz YKRYGDBQWDDYNV-GFCCVEGCSA-N 0 0 446.551 -0.413 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000467346827 1074533766 /nfs/dbraw/zinc/53/37/66/1074533766.db2.gz YKRYGDBQWDDYNV-LBPRGKRZSA-N 0 0 446.551 -0.413 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000467347652 1071923717 /nfs/dbraw/zinc/92/37/17/1071923717.db2.gz PJHBPTMAUPRBMJ-CYBMUJFWSA-N 0 0 445.519 -0.709 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000467347654 1074533723 /nfs/dbraw/zinc/53/37/23/1074533723.db2.gz PJHBPTMAUPRBMJ-ZDUSSCGKSA-N 0 0 445.519 -0.709 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000467349090 1074533818 /nfs/dbraw/zinc/53/38/18/1074533818.db2.gz VCPSACRPVTXBNX-HNNXBMFYSA-N 0 0 436.534 -0.413 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000467349109 1074533795 /nfs/dbraw/zinc/53/37/95/1074533795.db2.gz VCPSACRPVTXBNX-OAHLLOKOSA-N 0 0 436.534 -0.413 20 0 IBADRN O=C(NCCN1CCc2ccccc2C1=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000467349767 1074533745 /nfs/dbraw/zinc/53/37/45/1074533745.db2.gz YZRBGDJRWNZCFZ-UHFFFAOYSA-N 0 0 440.504 -0.032 20 0 IBADRN Cc1ncccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000467375255 1074533997 /nfs/dbraw/zinc/53/39/97/1074533997.db2.gz BSNOKHPJMZEQAB-UHFFFAOYSA-N 0 0 432.506 -0.008 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(NC(=O)CN(C)C)cc1 ZINC000467376432 1074534009 /nfs/dbraw/zinc/53/40/09/1074534009.db2.gz JQBYJGLSMMWXMS-UHFFFAOYSA-N 0 0 427.527 -0.087 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000467384696 1074533983 /nfs/dbraw/zinc/53/39/83/1074533983.db2.gz XSPDPHRMNAXOLQ-UHFFFAOYSA-N 0 0 444.492 -0.925 20 0 IBADRN Cc1nn(CC(=O)OC(C)C)c(C)c1CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000467385046 1074534643 /nfs/dbraw/zinc/53/46/43/1074534643.db2.gz ZDEMJLCQVVFLTI-UHFFFAOYSA-N 0 0 433.513 -0.086 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)Nc3cnn(CC(=O)N4C[C@@H](C)O[C@@H](C)C4)c3)C2)nn1 ZINC000467386887 1074534667 /nfs/dbraw/zinc/53/46/67/1074534667.db2.gz BPYNCMUAAHLPID-BETUJISGSA-N 0 0 446.468 -0.014 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)Nc3cnn(CC(=O)N4C[C@@H](C)O[C@H](C)C4)c3)C2)nn1 ZINC000467386888 1074534604 /nfs/dbraw/zinc/53/46/04/1074534604.db2.gz BPYNCMUAAHLPID-CHWSQXEVSA-N 0 0 446.468 -0.014 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)Nc3cnn(CC(=O)N4C[C@H](C)O[C@@H](C)C4)c3)C2)nn1 ZINC000467386889 1074534628 /nfs/dbraw/zinc/53/46/28/1074534628.db2.gz BPYNCMUAAHLPID-STQMWFEESA-N 0 0 446.468 -0.014 20 0 IBADRN CCNC(=O)COc1ccc(CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1OC ZINC000467387726 1074534648 /nfs/dbraw/zinc/53/46/48/1074534648.db2.gz HZMPWEFVVUVVEH-UHFFFAOYSA-N 0 0 446.508 -0.328 20 0 IBADRN COCCOc1cc2c(cc1CN1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)OCO2 ZINC000467387992 1074534614 /nfs/dbraw/zinc/53/46/14/1074534614.db2.gz FPWATHJLLKTOBX-UHFFFAOYSA-N 0 0 433.465 -0.097 20 0 IBADRN CS(=O)(=O)N1CCCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000467391695 1072102739 /nfs/dbraw/zinc/10/27/39/1072102739.db2.gz CRLAEKIHRLTCHP-UHFFFAOYSA-N 0 0 429.520 -0.030 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)NCCN3CCN(C(C)=O)CC3)cc21 ZINC000467393066 1074534587 /nfs/dbraw/zinc/53/45/87/1074534587.db2.gz JDZNNXXTQCTAEU-UHFFFAOYSA-N 0 0 430.509 -0.003 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(Cl)c(-n2nnnc2C2CC2)c1 ZINC000467394130 1074534631 /nfs/dbraw/zinc/53/46/31/1074534631.db2.gz OIXOYGWCBKTIIM-UHFFFAOYSA-N 0 0 427.874 -0.203 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2oc1=O ZINC000467397778 1074534722 /nfs/dbraw/zinc/53/47/22/1074534722.db2.gz AJXTUSSPGSBTFR-LLVKDONJSA-N 0 0 431.492 -0.462 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)cc2oc1=O ZINC000467397779 1074534707 /nfs/dbraw/zinc/53/47/07/1074534707.db2.gz AJXTUSSPGSBTFR-NSHDSACASA-N 0 0 431.492 -0.462 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000467398178 1074534578 /nfs/dbraw/zinc/53/45/78/1074534578.db2.gz GDHXMSFSRQMSSC-UHFFFAOYSA-N 0 0 429.520 -0.030 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000467403569 1072153305 /nfs/dbraw/zinc/15/33/05/1072153305.db2.gz IFAWBKBJDQGXOG-UHFFFAOYSA-N 0 0 448.501 -0.575 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000467403593 1074534698 /nfs/dbraw/zinc/53/46/98/1074534698.db2.gz WXKOIAUULGJNTF-HNNXBMFYSA-N 0 0 436.490 -0.671 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000467403599 1074534623 /nfs/dbraw/zinc/53/46/23/1074534623.db2.gz WXKOIAUULGJNTF-OAHLLOKOSA-N 0 0 436.490 -0.671 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2oc1=O ZINC000467418703 1074534596 /nfs/dbraw/zinc/53/45/96/1074534596.db2.gz KVSBSZIGAIOORD-UHFFFAOYSA-N 0 0 438.506 -0.221 20 0 IBADRN CC[C@H](NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)c1cccc(S(N)(=O)=O)c1 ZINC000467431869 1074535153 /nfs/dbraw/zinc/53/51/53/1074535153.db2.gz FZPMVARKJMFASV-HNNXBMFYSA-N 0 0 433.490 -0.046 20 0 IBADRN CC[C@@H](NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)c1cccc(S(N)(=O)=O)c1 ZINC000467431870 1074535182 /nfs/dbraw/zinc/53/51/82/1074535182.db2.gz FZPMVARKJMFASV-OAHLLOKOSA-N 0 0 433.490 -0.046 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)c(=O)n(C)c1=O ZINC000467438572 1074535191 /nfs/dbraw/zinc/53/51/91/1074535191.db2.gz HGKOGHSERRSNIX-UHFFFAOYSA-N 0 0 425.445 -0.271 20 0 IBADRN CNC(=O)C1CN(C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC000467440617 1074535171 /nfs/dbraw/zinc/53/51/71/1074535171.db2.gz VSPBYTZQNSZDRV-UHFFFAOYSA-N 0 0 425.511 -0.017 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000467447362 1074535084 /nfs/dbraw/zinc/53/50/84/1074535084.db2.gz SPYFDYWZMLMAJD-AAEUAGOBSA-N 0 0 430.508 -0.496 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000467447363 1074535164 /nfs/dbraw/zinc/53/51/64/1074535164.db2.gz SPYFDYWZMLMAJD-DGCLKSJQSA-N 0 0 430.508 -0.496 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000467447364 1074535115 /nfs/dbraw/zinc/53/51/15/1074535115.db2.gz SPYFDYWZMLMAJD-WCQYABFASA-N 0 0 430.508 -0.496 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000467447365 1074535252 /nfs/dbraw/zinc/53/52/52/1074535252.db2.gz SPYFDYWZMLMAJD-YPMHNXCESA-N 0 0 430.508 -0.496 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000467454905 1074535533 /nfs/dbraw/zinc/53/55/33/1074535533.db2.gz AFYRBKYAVNOESY-ZDUSSCGKSA-N 0 0 439.538 -0.280 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000467459900 1074535550 /nfs/dbraw/zinc/53/55/50/1074535550.db2.gz KHVVWQUGMGRDTL-UHFFFAOYSA-N 0 0 430.552 -0.209 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000467459932 1074535483 /nfs/dbraw/zinc/53/54/83/1074535483.db2.gz KNSAGZJJSJBUEF-UHFFFAOYSA-N 0 0 429.568 -0.226 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000467466822 1074535513 /nfs/dbraw/zinc/53/55/13/1074535513.db2.gz KHTMABOJEVPISX-UHFFFAOYSA-N 0 0 439.538 -0.808 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)Nc3ccnc(C(=O)N4CCN(C)CC4)c3)C2)nn1 ZINC000467469289 1074535554 /nfs/dbraw/zinc/53/55/54/1074535554.db2.gz NVXIHZAQVQKSJU-UHFFFAOYSA-N 0 0 428.453 -0.064 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1OC ZINC000467470186 1072559070 /nfs/dbraw/zinc/55/90/70/1072559070.db2.gz WYKOMQDPUGXYNK-UHFFFAOYSA-N 0 0 442.538 -0.220 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000467470305 1074535494 /nfs/dbraw/zinc/53/54/94/1074535494.db2.gz SPCFYJFJNAFAAZ-UHFFFAOYSA-N 0 0 427.527 -0.006 20 0 IBADRN O=C(Nc1cccc2c1OCCC2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000467471009 1074535523 /nfs/dbraw/zinc/53/55/23/1074535523.db2.gz BUJQXGHBXFPNNB-UHFFFAOYSA-N 0 0 430.461 -0.520 20 0 IBADRN COc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1)NC(=O)CC2 ZINC000467471074 1074535872 /nfs/dbraw/zinc/53/58/72/1074535872.db2.gz CQCWYCAEPHMTHS-UHFFFAOYSA-N 0 0 445.476 -0.286 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)n(-c2ncccn2)n1 ZINC000467475042 1072590032 /nfs/dbraw/zinc/59/00/32/1072590032.db2.gz KUDHPWDAHPZMLS-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n(-c2ncccn2)n1 ZINC000467475738 1074535890 /nfs/dbraw/zinc/53/58/90/1074535890.db2.gz YYJARPRNUURHJN-UHFFFAOYSA-N 0 0 428.453 -0.895 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)n(-c2ncccn2)n1 ZINC000467478321 1074535971 /nfs/dbraw/zinc/53/59/71/1074535971.db2.gz RUGKFRPLGPTCIN-UHFFFAOYSA-N 0 0 426.481 -0.324 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1OCC(=O)Nc1cccnc1 ZINC000467479925 1074535923 /nfs/dbraw/zinc/53/59/23/1074535923.db2.gz VWYSJLWFCSDVSV-UHFFFAOYSA-N 0 0 435.462 -0.297 20 0 IBADRN Cc1noc(C2CC2)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000467480881 1074536015 /nfs/dbraw/zinc/53/60/15/1074536015.db2.gz KRHDLESXSMQULY-UHFFFAOYSA-N 0 0 440.526 -0.565 20 0 IBADRN O=C(Nc1cncnc1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000467482480 1074536003 /nfs/dbraw/zinc/53/60/03/1074536003.db2.gz KFFJCIZUTARBEH-UHFFFAOYSA-N 0 0 433.446 -0.281 20 0 IBADRN COc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)NC(=O)CC2 ZINC000467482708 1074535914 /nfs/dbraw/zinc/53/59/14/1074535914.db2.gz BQNFAGFOMQEOJR-UHFFFAOYSA-N 0 0 443.460 -0.532 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n(-c2ncccn2)n1 ZINC000467483852 1074535841 /nfs/dbraw/zinc/53/58/41/1074535841.db2.gz QKPSMVBJXWKNHG-UHFFFAOYSA-N 0 0 447.463 -0.065 20 0 IBADRN O=C(Nc1cncnc1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000467485305 1074535861 /nfs/dbraw/zinc/53/58/61/1074535861.db2.gz NKEKUFNCNSTBTQ-UHFFFAOYSA-N 0 0 433.475 -0.047 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)CC1 ZINC000467485309 1074535932 /nfs/dbraw/zinc/53/59/32/1074535932.db2.gz NNNOEPNORXIMNI-UHFFFAOYSA-N 0 0 426.481 -0.242 20 0 IBADRN C[C@](O)(CNC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)CN1CCOCC1 ZINC000467487001 1072634716 /nfs/dbraw/zinc/63/47/16/1072634716.db2.gz KJQINEAWDDAPIW-KRWDZBQOSA-N 0 0 432.568 -0.435 20 0 IBADRN C[C@@](O)(CNC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)CN1CCOCC1 ZINC000467487002 1072634730 /nfs/dbraw/zinc/63/47/30/1072634730.db2.gz KJQINEAWDDAPIW-QGZVFWFLSA-N 0 0 432.568 -0.435 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)n(-c2ncccn2)n1 ZINC000467489071 1074535944 /nfs/dbraw/zinc/53/59/44/1074535944.db2.gz AMMBQVWXVHALEH-UHFFFAOYSA-N 0 0 440.464 -0.798 20 0 IBADRN O=C([C@@H]1CCCO1)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467491458 1074535989 /nfs/dbraw/zinc/53/59/89/1074535989.db2.gz HWTFCZQUUJIOEQ-INIZCTEOSA-N 0 0 431.536 -0.382 20 0 IBADRN O=C([C@H]1CCCO1)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467491459 1074535980 /nfs/dbraw/zinc/53/59/80/1074535980.db2.gz HWTFCZQUUJIOEQ-MRXNPFEDSA-N 0 0 431.536 -0.382 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)CC1 ZINC000467491541 1074536392 /nfs/dbraw/zinc/53/63/92/1074536392.db2.gz JQPCOILPGAMGQH-UHFFFAOYSA-N 0 0 433.494 -0.028 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1nc2ccsc2c(=O)[nH]1 ZINC000467491697 1072649817 /nfs/dbraw/zinc/64/98/17/1072649817.db2.gz ALMIXTQROOUVMB-UHFFFAOYSA-N 0 0 448.549 -0.168 20 0 IBADRN C[C@](O)(CNC(=O)c1sccc1S(=O)(=O)N1CCOCC1)CN1CCOCC1 ZINC000467493684 1074536330 /nfs/dbraw/zinc/53/63/30/1074536330.db2.gz HFWVSSDLCRHVSH-KRWDZBQOSA-N 0 0 433.552 -0.418 20 0 IBADRN C[C@@](O)(CNC(=O)c1sccc1S(=O)(=O)N1CCOCC1)CN1CCOCC1 ZINC000467493688 1074536306 /nfs/dbraw/zinc/53/63/06/1074536306.db2.gz HFWVSSDLCRHVSH-QGZVFWFLSA-N 0 0 433.552 -0.418 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)n(-c2ncccn2)n1 ZINC000467494559 1074536416 /nfs/dbraw/zinc/53/64/16/1074536416.db2.gz RVJAPWVQTAQDDC-UHFFFAOYSA-N 0 0 425.453 -0.190 20 0 IBADRN NS(=O)(=O)c1ccc(CCNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)cc1 ZINC000467495023 1072673361 /nfs/dbraw/zinc/67/33/61/1072673361.db2.gz LOTKZZUOYSJBAJ-UHFFFAOYSA-N 0 0 447.560 -0.226 20 0 IBADRN NS(=O)(=O)c1ccc(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)cc1 ZINC000467495836 1074536478 /nfs/dbraw/zinc/53/64/78/1074536478.db2.gz OFRXMDMVWWONHA-UHFFFAOYSA-N 0 0 433.533 -0.268 20 0 IBADRN COc1ccc(C(=O)NC[C@](C)(O)CN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000467496612 1074536437 /nfs/dbraw/zinc/53/64/37/1074536437.db2.gz REBKJTRIZUPKDP-IBGZPJMESA-N 0 0 427.523 -0.051 20 0 IBADRN COc1ccc(C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000467496613 1074536367 /nfs/dbraw/zinc/53/63/67/1074536367.db2.gz REBKJTRIZUPKDP-LJQANCHMSA-N 0 0 427.523 -0.051 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000467499378 1074536467 /nfs/dbraw/zinc/53/64/67/1074536467.db2.gz QMFVZODWOILAJB-BTDLBPIBSA-N 0 0 427.483 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000467499379 1074536398 /nfs/dbraw/zinc/53/63/98/1074536398.db2.gz QMFVZODWOILAJB-DYZYQPBXSA-N 0 0 427.483 -0.205 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncnc3)CC2)c1 ZINC000467499443 1072688551 /nfs/dbraw/zinc/68/85/51/1072688551.db2.gz RKLKDXZCBJOTQG-UHFFFAOYSA-N 0 0 439.475 -0.204 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncnc3)CC2)c1 ZINC000467499720 1074536442 /nfs/dbraw/zinc/53/64/42/1074536442.db2.gz DGZOFSINYZABLT-UHFFFAOYSA-N 0 0 435.462 -0.035 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)nn2-c2ncccn2)CC1 ZINC000467500120 1074536633 /nfs/dbraw/zinc/53/66/33/1074536633.db2.gz FQRGGRCYDANPEN-AWEZNQCLSA-N 0 0 428.497 -0.032 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)nn2-c2ncccn2)CC1 ZINC000467500121 1074536788 /nfs/dbraw/zinc/53/67/88/1074536788.db2.gz FQRGGRCYDANPEN-CQSZACIVSA-N 0 0 428.497 -0.032 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1)NC1CC1 ZINC000467500187 1072693103 /nfs/dbraw/zinc/69/31/03/1072693103.db2.gz UBXATBKXTHIQFT-UHFFFAOYSA-N 0 0 430.552 -0.809 20 0 IBADRN NC(=O)c1cc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)on1 ZINC000467500310 1074536666 /nfs/dbraw/zinc/53/66/66/1074536666.db2.gz CUQHYJARACOVQT-UHFFFAOYSA-N 0 0 430.464 -0.727 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCc2cc(C(N)=O)no2)c1 ZINC000467501165 1074536821 /nfs/dbraw/zinc/53/68/21/1074536821.db2.gz HLYUYMBNTMRVET-UHFFFAOYSA-N 0 0 437.478 -0.210 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467501212 1074536784 /nfs/dbraw/zinc/53/67/84/1074536784.db2.gz GNGYQELVSZIBFL-HNNXBMFYSA-N 0 0 444.579 -0.421 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467501213 1074536687 /nfs/dbraw/zinc/53/66/87/1074536687.db2.gz GNGYQELVSZIBFL-OAHLLOKOSA-N 0 0 444.579 -0.421 20 0 IBADRN CCN(CC)C(=O)CN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467501662 1074536815 /nfs/dbraw/zinc/53/68/15/1074536815.db2.gz JAIBEOMZJGGZMH-UHFFFAOYSA-N 0 0 446.595 -0.219 20 0 IBADRN Cc1ccc(C(=O)NC[C@](C)(O)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000467502130 1074536756 /nfs/dbraw/zinc/53/67/56/1074536756.db2.gz KABKGSUYMQJWIO-FQEVSTJZSA-N 0 0 441.550 -0.171 20 0 IBADRN Cc1ccc(C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000467502131 1074536806 /nfs/dbraw/zinc/53/68/06/1074536806.db2.gz KABKGSUYMQJWIO-HXUWFJFHSA-N 0 0 441.550 -0.171 20 0 IBADRN O=c1n(CCCNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)nc2n1CCCC2 ZINC000467502574 1074536800 /nfs/dbraw/zinc/53/68/00/1074536800.db2.gz DBZGYMDXZPXFKX-UHFFFAOYSA-N 0 0 443.551 -0.331 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467503060 1074536845 /nfs/dbraw/zinc/53/68/45/1074536845.db2.gz OMLDXPGPOZKDEV-UHFFFAOYSA-N 0 0 432.568 -0.562 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467503098 1074536774 /nfs/dbraw/zinc/53/67/74/1074536774.db2.gz MYWKFSOFMXIOTM-INIZCTEOSA-N 0 0 446.595 -0.173 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467503100 1074536779 /nfs/dbraw/zinc/53/67/79/1074536779.db2.gz MYWKFSOFMXIOTM-MRXNPFEDSA-N 0 0 446.595 -0.173 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000467503225 1074536708 /nfs/dbraw/zinc/53/67/08/1074536708.db2.gz NAIMADPNRFMOIA-IFXJQAMLSA-N 0 0 442.538 -0.489 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000467503226 1074536652 /nfs/dbraw/zinc/53/66/52/1074536652.db2.gz NAIMADPNRFMOIA-LIRRHRJNSA-N 0 0 442.538 -0.489 20 0 IBADRN O=C(Nc1cccc2c1OCCC2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000467503555 1074536699 /nfs/dbraw/zinc/53/66/99/1074536699.db2.gz PEXYHMULVJODHF-UHFFFAOYSA-N 0 0 438.506 -0.329 20 0 IBADRN C[C@](O)(CNC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2)CN1CCOCC1 ZINC000467503963 1074536851 /nfs/dbraw/zinc/53/68/51/1074536851.db2.gz RARVFQZZSAGHEH-IBGZPJMESA-N 0 0 428.507 -0.179 20 0 IBADRN C[C@@](O)(CNC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2)CN1CCOCC1 ZINC000467503964 1074536792 /nfs/dbraw/zinc/53/67/92/1074536792.db2.gz RARVFQZZSAGHEH-LJQANCHMSA-N 0 0 428.507 -0.179 20 0 IBADRN Cc1noc(C2CC2)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000467504238 1074537365 /nfs/dbraw/zinc/53/73/65/1074537365.db2.gz RCYHGCRFYJKFSF-UHFFFAOYSA-N 0 0 427.483 -0.480 20 0 IBADRN O=C([C@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1)N1CCOCC1 ZINC000467504262 1074537227 /nfs/dbraw/zinc/53/72/27/1074537227.db2.gz RPBFHIPDIUMTQK-INIZCTEOSA-N 0 0 445.563 -0.135 20 0 IBADRN O=C([C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1)N1CCOCC1 ZINC000467504263 1074537121 /nfs/dbraw/zinc/53/71/21/1074537121.db2.gz RPBFHIPDIUMTQK-MRXNPFEDSA-N 0 0 445.563 -0.135 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)n(-c2ncccn2)n1 ZINC000467504736 1074537097 /nfs/dbraw/zinc/53/70/97/1074537097.db2.gz UHMMUUMBTBIINM-UHFFFAOYSA-N 0 0 436.480 -0.362 20 0 IBADRN O=C(Nc1cncnc1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000467505568 1074537109 /nfs/dbraw/zinc/53/71/09/1074537109.db2.gz XEDSTSITHRIOSA-UHFFFAOYSA-N 0 0 430.446 -0.557 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)CC1 ZINC000467505684 1074537349 /nfs/dbraw/zinc/53/73/49/1074537349.db2.gz XMNPTMZQOAAATB-UHFFFAOYSA-N 0 0 426.481 -0.242 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@](C)(O)CN2CCOCC2)cc1OC ZINC000467506026 1074537386 /nfs/dbraw/zinc/53/73/86/1074537386.db2.gz YCQIEWSLLAPUAH-IBGZPJMESA-N 0 0 445.538 -0.428 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1OC ZINC000467506027 1074537332 /nfs/dbraw/zinc/53/73/32/1074537332.db2.gz YCQIEWSLLAPUAH-LJQANCHMSA-N 0 0 445.538 -0.428 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCC3)CC1 ZINC000467506930 1074537355 /nfs/dbraw/zinc/53/73/55/1074537355.db2.gz WYFVLJHUTGUOSU-UHFFFAOYSA-N 0 0 428.445 -0.230 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCc2cc(C(N)=O)no2)c1 ZINC000467507462 1074537172 /nfs/dbraw/zinc/53/71/72/1074537172.db2.gz DYECAKMCBYHDHC-UHFFFAOYSA-N 0 0 437.478 -0.150 20 0 IBADRN CCOC(=O)CN1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000467507800 1074537266 /nfs/dbraw/zinc/53/72/66/1074537266.db2.gz IXIABLFDLCTQSR-UHFFFAOYSA-N 0 0 433.552 -0.135 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)CC1)NC1CC1 ZINC000467509896 1074537156 /nfs/dbraw/zinc/53/71/56/1074537156.db2.gz IJDUGQPBLBQUGQ-UHFFFAOYSA-N 0 0 438.492 -0.099 20 0 IBADRN COc1cc2c(cc1NC(=O)C(=O)N1CCN(CC(=O)NC3CC3)CC1)NC(=O)CC2 ZINC000467510524 1074537850 /nfs/dbraw/zinc/53/78/50/1074537850.db2.gz LGDJOENYGOAVQF-UHFFFAOYSA-N 0 0 429.477 -0.059 20 0 IBADRN C[C@](O)(CNC(=O)c1ccccc1S(=O)(=O)N1CCOCC1)CN1CCOCC1 ZINC000467511637 1074537680 /nfs/dbraw/zinc/53/76/80/1074537680.db2.gz QKNWLTJSMWUVGU-IBGZPJMESA-N 0 0 427.523 -0.480 20 0 IBADRN C[C@@](O)(CNC(=O)c1ccccc1S(=O)(=O)N1CCOCC1)CN1CCOCC1 ZINC000467511638 1074537727 /nfs/dbraw/zinc/53/77/27/1074537727.db2.gz QKNWLTJSMWUVGU-LJQANCHMSA-N 0 0 427.523 -0.480 20 0 IBADRN Cc1nc(CN2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)no1 ZINC000467512333 1074537717 /nfs/dbraw/zinc/53/77/17/1074537717.db2.gz SPHPQVNIFXSIKB-UHFFFAOYSA-N 0 0 429.524 -0.196 20 0 IBADRN C[C@@H](c1nccs1)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000467517075 1074537692 /nfs/dbraw/zinc/53/76/92/1074537692.db2.gz CBHQOAFZDMLLKL-INIZCTEOSA-N 0 0 447.565 0.516 20 0 IBADRN C[C@H](c1nccs1)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000467517076 1074537666 /nfs/dbraw/zinc/53/76/66/1074537666.db2.gz CBHQOAFZDMLLKL-MRXNPFEDSA-N 0 0 447.565 0.516 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@@H](C)c3nccs3)CC2)cn1 ZINC000467523063 1074537755 /nfs/dbraw/zinc/53/77/55/1074537755.db2.gz YPXWVOSAHGQLRA-AWEZNQCLSA-N 0 0 449.537 -0.054 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@H](C)c3nccs3)CC2)cn1 ZINC000467523066 1074537740 /nfs/dbraw/zinc/53/77/40/1074537740.db2.gz YPXWVOSAHGQLRA-CQSZACIVSA-N 0 0 449.537 -0.054 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCNC(=O)c2ccc(Cl)cc2)c[nH]1 ZINC000467523542 1072801273 /nfs/dbraw/zinc/80/12/73/1072801273.db2.gz VMDVOLOSWCCREL-UHFFFAOYSA-N 0 0 427.870 -0.409 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000467533748 1074537943 /nfs/dbraw/zinc/53/79/43/1074537943.db2.gz XCFJODFHPLXYHO-UHFFFAOYSA-N 0 0 447.536 -0.201 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2CC(=O)c2cccs2)c[nH]1 ZINC000467533933 1074537865 /nfs/dbraw/zinc/53/78/65/1074537865.db2.gz YHBYMUFJKPPBGC-LLVKDONJSA-N 0 0 440.503 -0.046 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2CC(=O)c2cccs2)c[nH]1 ZINC000467533934 1074537906 /nfs/dbraw/zinc/53/79/06/1074537906.db2.gz YHBYMUFJKPPBGC-NSHDSACASA-N 0 0 440.503 -0.046 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@H]3CC(=O)c3cccs3)c2c(=O)n(C)c1=O ZINC000467536211 1074538489 /nfs/dbraw/zinc/53/84/89/1074538489.db2.gz DYLSZUAKTFWOOT-GFCCVEGCSA-N 0 0 431.474 -0.004 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@@H]3CC(=O)c3cccs3)c2c(=O)n(C)c1=O ZINC000467536212 1074538554 /nfs/dbraw/zinc/53/85/54/1074538554.db2.gz DYLSZUAKTFWOOT-LBPRGKRZSA-N 0 0 431.474 -0.004 20 0 IBADRN CCN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@H](C)CS(C)(=O)=O ZINC000467536947 1074537782 /nfs/dbraw/zinc/53/77/82/1074537782.db2.gz JEEURZZEQYHXSK-CHWSQXEVSA-N 0 0 431.536 -0.032 20 0 IBADRN CCN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@H](C)CS(C)(=O)=O ZINC000467536949 1074537702 /nfs/dbraw/zinc/53/77/02/1074537702.db2.gz JEEURZZEQYHXSK-OLZOCXBDSA-N 0 0 431.536 -0.032 20 0 IBADRN CCN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@H](C)CS(C)(=O)=O ZINC000467536951 1074538581 /nfs/dbraw/zinc/53/85/81/1074538581.db2.gz JEEURZZEQYHXSK-QWHCGFSZSA-N 0 0 431.536 -0.032 20 0 IBADRN CCN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@H](C)CS(C)(=O)=O ZINC000467536953 1072980194 /nfs/dbraw/zinc/98/01/94/1072980194.db2.gz JEEURZZEQYHXSK-STQMWFEESA-N 0 0 431.536 -0.032 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)[C@H](C)CS(C)(=O)=O ZINC000467536954 1072979975 /nfs/dbraw/zinc/97/99/75/1072979975.db2.gz JGKAWVJZSIRTNJ-LLVKDONJSA-N 0 0 439.581 -0.056 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)[C@@H](C)CS(C)(=O)=O ZINC000467536960 1074538424 /nfs/dbraw/zinc/53/84/24/1074538424.db2.gz JGKAWVJZSIRTNJ-NSHDSACASA-N 0 0 439.581 -0.056 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCC(=O)N2CCc3ccccc32)c[nH]1 ZINC000467540079 1074538408 /nfs/dbraw/zinc/53/84/08/1074538408.db2.gz NTLXABZFDJSWQH-UHFFFAOYSA-N 0 0 433.490 -0.122 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCc2csc(N3CCCC3)n2)c[nH]1 ZINC000467541085 1074538571 /nfs/dbraw/zinc/53/85/71/1074538571.db2.gz FCLKZZMPRARFLU-UHFFFAOYSA-N 0 0 426.524 -0.193 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000467542270 1074538543 /nfs/dbraw/zinc/53/85/43/1074538543.db2.gz JCWGLHXONASTAF-LLVKDONJSA-N 0 0 439.581 -0.056 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000467542271 1074538376 /nfs/dbraw/zinc/53/83/76/1074538376.db2.gz JCWGLHXONASTAF-NSHDSACASA-N 0 0 439.581 -0.056 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000467542965 1074538535 /nfs/dbraw/zinc/53/85/35/1074538535.db2.gz MSWIMYPDAPOJII-CHWSQXEVSA-N 0 0 431.536 -0.032 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000467542966 1074538341 /nfs/dbraw/zinc/53/83/41/1074538341.db2.gz MSWIMYPDAPOJII-OLZOCXBDSA-N 0 0 431.536 -0.032 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000467542967 1074538464 /nfs/dbraw/zinc/53/84/64/1074538464.db2.gz MSWIMYPDAPOJII-QWHCGFSZSA-N 0 0 431.536 -0.032 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000467542968 1074538502 /nfs/dbraw/zinc/53/85/02/1074538502.db2.gz MSWIMYPDAPOJII-STQMWFEESA-N 0 0 431.536 -0.032 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)o1 ZINC000467543046 1074538389 /nfs/dbraw/zinc/53/83/89/1074538389.db2.gz NQWYIHSMQLMRMW-LLVKDONJSA-N 0 0 430.508 -0.777 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C(=O)N2CCSCC2)o1 ZINC000467543047 1074538298 /nfs/dbraw/zinc/53/82/98/1074538298.db2.gz NQWYIHSMQLMRMW-NSHDSACASA-N 0 0 430.508 -0.777 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)NC[C@H](NC(=O)[C@H](C)NS(C)(=O)=O)C1CCCCC1 ZINC000467546051 1073126180 /nfs/dbraw/zinc/12/61/80/1073126180.db2.gz KAVBKENOAZMGMN-OBJOEFQTSA-N 0 0 440.588 -0.957 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N[C@H](CNC(=O)[C@H](C)NS(C)(=O)=O)C1CCCCC1 ZINC000467546052 1073126108 /nfs/dbraw/zinc/12/61/08/1073126108.db2.gz KAVBKENOAZMGMN-OUCADQQQSA-N 0 0 440.588 -0.957 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N[C@@H](CNC(=O)[C@H](C)NS(C)(=O)=O)C1CCCCC1 ZINC000467546053 1073126229 /nfs/dbraw/zinc/12/62/29/1073126229.db2.gz KAVBKENOAZMGMN-SCRDCRAPSA-N 0 0 440.588 -0.957 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)NC[C@@H](NC(=O)[C@H](C)NS(C)(=O)=O)C1CCCCC1 ZINC000467546054 1073126200 /nfs/dbraw/zinc/12/62/00/1073126200.db2.gz KAVBKENOAZMGMN-SGMGOOAPSA-N 0 0 440.588 -0.957 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCC(=O)N2Cc3ccccc3C2)c[nH]1 ZINC000467547316 1074539020 /nfs/dbraw/zinc/53/90/20/1074539020.db2.gz GWFWMOGPWMRHRU-UHFFFAOYSA-N 0 0 433.490 -0.169 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H]1C(=O)N1CCSCC1 ZINC000467547755 1074539007 /nfs/dbraw/zinc/53/90/07/1074539007.db2.gz VGDCBWIDXRFTHV-AWEZNQCLSA-N 0 0 434.584 -0.613 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@@H]1C(=O)N1CCSCC1 ZINC000467547756 1074538756 /nfs/dbraw/zinc/53/87/56/1074538756.db2.gz VGDCBWIDXRFTHV-CQSZACIVSA-N 0 0 434.584 -0.613 20 0 IBADRN CC(C)(CNC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)N1CCS(=O)CC1 ZINC000467549811 1074538970 /nfs/dbraw/zinc/53/89/70/1074538970.db2.gz CVZUAIWMZHHTFS-INIZCTEOSA-N 0 0 448.545 -0.124 20 0 IBADRN CC(C)(CNC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)N1CCS(=O)CC1 ZINC000467549812 1074538798 /nfs/dbraw/zinc/53/87/98/1074538798.db2.gz CVZUAIWMZHHTFS-MRXNPFEDSA-N 0 0 448.545 -0.124 20 0 IBADRN O=C(NCCCN1CCOCC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467551269 1074538858 /nfs/dbraw/zinc/53/88/58/1074538858.db2.gz ALOCCFSFWPPBDI-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)CC1 ZINC000467552847 1074538940 /nfs/dbraw/zinc/53/89/40/1074538940.db2.gz GKRMDUXJGQQHKQ-UHFFFAOYSA-N 0 0 447.536 -0.200 20 0 IBADRN CC(C)C(=O)NCCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467557770 1074538978 /nfs/dbraw/zinc/53/89/78/1074538978.db2.gz NUQJMHPWTJAYMX-UHFFFAOYSA-N 0 0 426.495 -0.098 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n(-c2ncccn2)n1 ZINC000467562572 1074538952 /nfs/dbraw/zinc/53/89/52/1074538952.db2.gz BRSAZQZKDNOPQJ-AWEZNQCLSA-N 0 0 426.481 -0.279 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)n(-c2ncccn2)n1 ZINC000467562573 1074538768 /nfs/dbraw/zinc/53/87/68/1074538768.db2.gz BRSAZQZKDNOPQJ-CQSZACIVSA-N 0 0 426.481 -0.279 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)CCN1S(C)(=O)=O ZINC000467573716 1074538960 /nfs/dbraw/zinc/53/89/60/1074538960.db2.gz BQYQLHAYHHPOCW-LBPRGKRZSA-N 0 0 433.494 -0.030 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)n(-c2ncccn2)n1 ZINC000467574134 1074539400 /nfs/dbraw/zinc/53/94/00/1074539400.db2.gz ANUBVIRLURACHL-UHFFFAOYSA-N 0 0 430.450 -0.601 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(C(F)F)c3)CC2)cn1C ZINC000467578188 1074539445 /nfs/dbraw/zinc/53/94/45/1074539445.db2.gz OFUYCIMYPRHMFH-UHFFFAOYSA-N 0 0 431.425 -0.208 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)Nc4cncnc4)CC3)C2=O)n(C)n1 ZINC000467579481 1074539462 /nfs/dbraw/zinc/53/94/62/1074539462.db2.gz SUIKDBGJHFEKCP-INIZCTEOSA-N 0 0 426.481 -0.203 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)Nc4cncnc4)CC3)C2=O)n(C)n1 ZINC000467579482 1074539342 /nfs/dbraw/zinc/53/93/42/1074539342.db2.gz SUIKDBGJHFEKCP-MRXNPFEDSA-N 0 0 426.481 -0.203 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCC[N@H+]3C)CC2)n(-c2ncccn2)n1 ZINC000467581645 1074539279 /nfs/dbraw/zinc/53/92/79/1074539279.db2.gz ZDVOQNYLYLAMSK-HNNXBMFYSA-N 0 0 426.481 -0.326 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCC[N@H+]3C)CC2)n(-c2ncccn2)n1 ZINC000467581646 1074539312 /nfs/dbraw/zinc/53/93/12/1074539312.db2.gz ZDVOQNYLYLAMSK-OAHLLOKOSA-N 0 0 426.481 -0.326 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)n(-c2ncccn2)n1 ZINC000467582659 1074539356 /nfs/dbraw/zinc/53/93/56/1074539356.db2.gz DKFFHPRAVXAPJK-AWEZNQCLSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)n(-c2ncccn2)n1 ZINC000467582660 1074539488 /nfs/dbraw/zinc/53/94/88/1074539488.db2.gz DKFFHPRAVXAPJK-CQSZACIVSA-N 0 0 433.494 -0.064 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1OC(C)C ZINC000467583687 1074539435 /nfs/dbraw/zinc/53/94/35/1074539435.db2.gz KBOGIPDUICERSM-UHFFFAOYSA-N 0 0 428.511 -0.146 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1OC(C)C ZINC000467586033 1074539390 /nfs/dbraw/zinc/53/93/90/1074539390.db2.gz DWXHUHKTJMQDNI-UHFFFAOYSA-N 0 0 431.453 -0.222 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc3c(cc2OC)CCC(=O)N3)C1=O ZINC000467587056 1074539424 /nfs/dbraw/zinc/53/94/24/1074539424.db2.gz GKYJFPNBYVXIMF-GFCCVEGCSA-N 0 0 432.433 -0.201 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc3c(cc2OC)CCC(=O)N3)C1=O ZINC000467587057 1074539411 /nfs/dbraw/zinc/53/94/11/1074539411.db2.gz GKYJFPNBYVXIMF-LBPRGKRZSA-N 0 0 432.433 -0.201 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)cn1 ZINC000467588398 1074539749 /nfs/dbraw/zinc/53/97/49/1074539749.db2.gz KZUQCONPKJADDR-FGRKSUEESA-N 0 0 437.566 -0.235 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)cn1 ZINC000467588399 1074539863 /nfs/dbraw/zinc/53/98/63/1074539863.db2.gz KZUQCONPKJADDR-KSZYLEPESA-N 0 0 437.566 -0.235 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)cn1 ZINC000467588400 1074539687 /nfs/dbraw/zinc/53/96/87/1074539687.db2.gz KZUQCONPKJADDR-LHQHVEIWSA-N 0 0 437.566 -0.235 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)cn1 ZINC000467588401 1074539870 /nfs/dbraw/zinc/53/98/70/1074539870.db2.gz KZUQCONPKJADDR-RAZKKESJSA-N 0 0 437.566 -0.235 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3cc(C4CC4)nn3-c3ncccn3)CC2)c1=O ZINC000467590112 1074539676 /nfs/dbraw/zinc/53/96/76/1074539676.db2.gz VHANKVQOWAIMSZ-UHFFFAOYSA-N 0 0 449.475 -0.079 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCN1CCNC(=O)C1)Nc1cccnc1 ZINC000467590705 1074539814 /nfs/dbraw/zinc/53/98/14/1074539814.db2.gz XDMCLHVGBJEQSX-UHFFFAOYSA-N 0 0 440.460 -0.414 20 0 IBADRN COc1cc2c(cc1NC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)NC(=O)CC2 ZINC000467590716 1074539876 /nfs/dbraw/zinc/53/98/76/1074539876.db2.gz XHNJPHGCDQMJFB-UHFFFAOYSA-N 0 0 440.460 -0.039 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ncccc12 ZINC000467590975 1074539696 /nfs/dbraw/zinc/53/96/96/1074539696.db2.gz XUVHUWIFAMZFNT-UHFFFAOYSA-N 0 0 438.448 -0.071 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCC(=O)NC(N)=O ZINC000467591081 1074539802 /nfs/dbraw/zinc/53/98/02/1074539802.db2.gz RSBTWGKJYPUTDA-INIZCTEOSA-N 0 0 442.494 -0.148 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCC(=O)NC(N)=O ZINC000467591082 1074539848 /nfs/dbraw/zinc/53/98/48/1074539848.db2.gz RSBTWGKJYPUTDA-MRXNPFEDSA-N 0 0 442.494 -0.148 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)nn2-c2ncccn2)CC1 ZINC000467591731 1074539659 /nfs/dbraw/zinc/53/96/59/1074539659.db2.gz BDRFCJDJNDYWTM-UHFFFAOYSA-N 0 0 428.497 -0.078 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc3c(cc2OC)CCC(=O)N3)CC1 ZINC000467591778 1074539827 /nfs/dbraw/zinc/53/98/27/1074539827.db2.gz USEGTPWCHPSFCM-UHFFFAOYSA-N 0 0 447.492 -0.575 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)C1=O ZINC000467593441 1074539756 /nfs/dbraw/zinc/53/97/56/1074539756.db2.gz ZTCXBCLEEBVPER-CYBMUJFWSA-N 0 0 441.448 -0.242 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)C1=O ZINC000467593442 1074539840 /nfs/dbraw/zinc/53/98/40/1074539840.db2.gz ZTCXBCLEEBVPER-ZDUSSCGKSA-N 0 0 441.448 -0.242 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)n(-c2ncccn2)n1 ZINC000467593459 1074539720 /nfs/dbraw/zinc/53/97/20/1074539720.db2.gz ZZRPGFRXRQWOPG-FQEVSTJZSA-N 0 0 431.497 -0.076 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)n(-c2ncccn2)n1 ZINC000467593460 1074539777 /nfs/dbraw/zinc/53/97/77/1074539777.db2.gz ZZRPGFRXRQWOPG-HXUWFJFHSA-N 0 0 431.497 -0.076 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467597191 1074540191 /nfs/dbraw/zinc/54/01/91/1074540191.db2.gz SADVWSXODHLSMA-UHFFFAOYSA-N 0 0 442.476 -0.034 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)c1=O ZINC000467597315 1074540234 /nfs/dbraw/zinc/54/02/34/1074540234.db2.gz TYYADEKXSGKFKN-UHFFFAOYSA-N 0 0 426.437 -0.920 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000467597426 1074540153 /nfs/dbraw/zinc/54/01/53/1074540153.db2.gz UYLSKJHQLSBCQY-CBYRWEIWSA-N 0 0 437.522 -0.332 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000467597427 1074540171 /nfs/dbraw/zinc/54/01/71/1074540171.db2.gz UYLSKJHQLSBCQY-CQLPVEMMSA-N 0 0 437.522 -0.332 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000467597428 1074540265 /nfs/dbraw/zinc/54/02/65/1074540265.db2.gz UYLSKJHQLSBCQY-IPFGDSPKSA-N 0 0 437.522 -0.332 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000467597429 1074540202 /nfs/dbraw/zinc/54/02/02/1074540202.db2.gz UYLSKJHQLSBCQY-ZNDDKRQGSA-N 0 0 437.522 -0.332 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)cn1 ZINC000467599404 1074540148 /nfs/dbraw/zinc/54/01/48/1074540148.db2.gz CSYJIRHGEYOZIQ-OSXTUODASA-N 0 0 431.478 0.448 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)cn1 ZINC000467599406 1074540278 /nfs/dbraw/zinc/54/02/78/1074540278.db2.gz CSYJIRHGEYOZIQ-GNDSEDMPSA-N 0 0 431.478 0.448 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)cn1 ZINC000467599408 1074540120 /nfs/dbraw/zinc/54/01/20/1074540120.db2.gz CSYJIRHGEYOZIQ-FZPQWHJUSA-N 0 0 431.478 0.448 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)cn1 ZINC000467599410 1074540132 /nfs/dbraw/zinc/54/01/32/1074540132.db2.gz CSYJIRHGEYOZIQ-BRRNQIAESA-N 0 0 431.478 0.448 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCC(=O)NC(N)=O ZINC000467600202 1074540287 /nfs/dbraw/zinc/54/02/87/1074540287.db2.gz ICHJOPFHZVOZJF-HNNXBMFYSA-N 0 0 428.467 -0.538 20 0 IBADRN Cc1c(C(=O)N2CC[S@@](=O)C[C@@H]2c2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000467600688 1074540273 /nfs/dbraw/zinc/54/02/73/1074540273.db2.gz LZFQIPAAEVIRIP-ILHIWHGASA-N 0 0 430.490 -0.380 20 0 IBADRN Cc1c(C(=O)N2CC[S@](=O)C[C@H]2c2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000467600689 1074540163 /nfs/dbraw/zinc/54/01/63/1074540163.db2.gz LZFQIPAAEVIRIP-KJEZJMDVSA-N 0 0 430.490 -0.380 20 0 IBADRN Cc1c(C(=O)N2CC[S@@](=O)C[C@H]2c2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000467600690 1074540212 /nfs/dbraw/zinc/54/02/12/1074540212.db2.gz LZFQIPAAEVIRIP-NGFNCXNUSA-N 0 0 430.490 -0.380 20 0 IBADRN Cc1c(C(=O)N2CC[S@](=O)C[C@@H]2c2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000467600691 1074540184 /nfs/dbraw/zinc/54/01/84/1074540184.db2.gz LZFQIPAAEVIRIP-WYIRRWHOSA-N 0 0 430.490 -0.380 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1)Nc1cccnc1 ZINC000467602193 1074540552 /nfs/dbraw/zinc/54/05/52/1074540552.db2.gz QBTKRLUXPLJAQH-UHFFFAOYSA-N 0 0 441.444 -0.299 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000467605691 1074541552 /nfs/dbraw/zinc/54/15/52/1074541552.db2.gz LAWBDARXYBJQQQ-UHFFFAOYSA-N 0 0 428.467 -0.392 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000467605987 1074540979 /nfs/dbraw/zinc/54/09/79/1074540979.db2.gz DOVACRVUSJNKQH-UHFFFAOYSA-N 0 0 449.283 -0.496 20 0 IBADRN Cc1cc(C(=O)N2CC[S@@](=O)C[C@@H]2c2cnn(C)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000467606150 1074541875 /nfs/dbraw/zinc/54/18/75/1074541875.db2.gz SMSIWMUKMAILLO-ILHIWHGASA-N 0 0 430.490 -0.380 20 0 IBADRN Cc1cc(C(=O)N2CC[S@](=O)C[C@H]2c2cnn(C)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000467606151 1074541872 /nfs/dbraw/zinc/54/18/72/1074541872.db2.gz SMSIWMUKMAILLO-KJEZJMDVSA-N 0 0 430.490 -0.380 20 0 IBADRN Cc1cc(C(=O)N2CC[S@@](=O)C[C@H]2c2cnn(C)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000467606152 1074541868 /nfs/dbraw/zinc/54/18/68/1074541868.db2.gz SMSIWMUKMAILLO-NGFNCXNUSA-N 0 0 430.490 -0.380 20 0 IBADRN Cc1cc(C(=O)N2CC[S@](=O)C[C@@H]2c2cnn(C)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000467606153 1074541815 /nfs/dbraw/zinc/54/18/15/1074541815.db2.gz SMSIWMUKMAILLO-WYIRRWHOSA-N 0 0 430.490 -0.380 20 0 IBADRN CCc1c(C(=O)N2CC[S@](=O)C[C@H]2c2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000467607452 1074541828 /nfs/dbraw/zinc/54/18/28/1074541828.db2.gz YLIRZKZBDZZPQY-IWAYBZMOSA-N 0 0 444.517 -0.126 20 0 IBADRN CCc1c(C(=O)N2CC[S@@](=O)C[C@@H]2c2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000467607453 1074541880 /nfs/dbraw/zinc/54/18/80/1074541880.db2.gz YLIRZKZBDZZPQY-LHEBDHONSA-N 0 0 444.517 -0.126 20 0 IBADRN CCc1c(C(=O)N2CC[S@@](=O)C[C@H]2c2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000467607454 1074541832 /nfs/dbraw/zinc/54/18/32/1074541832.db2.gz YLIRZKZBDZZPQY-PBNKOMEISA-N 0 0 444.517 -0.126 20 0 IBADRN CCc1c(C(=O)N2CC[S@](=O)C[C@@H]2c2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000467607455 1074541841 /nfs/dbraw/zinc/54/18/41/1074541841.db2.gz YLIRZKZBDZZPQY-QLCIJSRNSA-N 0 0 444.517 -0.126 20 0 IBADRN COc1ccc(C(=O)NCCC(=O)NC(N)=O)cc1S(=O)(=O)NCc1ccccn1 ZINC000467611709 1074542686 /nfs/dbraw/zinc/54/26/86/1074542686.db2.gz VJFCELIKLPAGQO-UHFFFAOYSA-N 0 0 435.462 -0.117 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)Nc2cccnc2)CCO1 ZINC000467611815 1074542261 /nfs/dbraw/zinc/54/22/61/1074542261.db2.gz DUOSUWNFLNYGOA-INIZCTEOSA-N 0 0 427.417 -0.250 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)Nc2cccnc2)CCO1 ZINC000467611816 1074542666 /nfs/dbraw/zinc/54/26/66/1074542666.db2.gz DUOSUWNFLNYGOA-MRXNPFEDSA-N 0 0 427.417 -0.250 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)CCCCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000467612614 1074542701 /nfs/dbraw/zinc/54/27/01/1074542701.db2.gz ZNNPDMIICIBMQY-UHFFFAOYSA-N 0 0 442.494 -0.002 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCO[C@H](c2ccnc(N(C)C)n2)C1 ZINC000467612678 1074542695 /nfs/dbraw/zinc/54/26/95/1074542695.db2.gz BLNLCJVAKAZITE-HNNXBMFYSA-N 0 0 442.542 -0.723 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCO[C@@H](c2ccnc(N(C)C)n2)C1 ZINC000467612679 1074542671 /nfs/dbraw/zinc/54/26/71/1074542671.db2.gz BLNLCJVAKAZITE-OAHLLOKOSA-N 0 0 442.542 -0.723 20 0 IBADRN O=C(Nc1cncnc1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000467613802 1074542709 /nfs/dbraw/zinc/54/27/09/1074542709.db2.gz FKYNQMFJODJEMW-UHFFFAOYSA-N 0 0 446.445 -0.721 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCC(=O)NC(N)=O)c1OC ZINC000467615862 1074542741 /nfs/dbraw/zinc/54/27/41/1074542741.db2.gz XMNDHUIYRHAQGE-UHFFFAOYSA-N 0 0 444.466 -0.961 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)CC1 ZINC000467616040 1074542756 /nfs/dbraw/zinc/54/27/56/1074542756.db2.gz AYLFNCAKTILJJZ-UHFFFAOYSA-N 0 0 433.494 -0.028 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCC(=O)NC(N)=O)cc1 ZINC000467616148 1074542732 /nfs/dbraw/zinc/54/27/32/1074542732.db2.gz YJKNOZDHKXGUIZ-UHFFFAOYSA-N 0 0 427.483 -0.852 20 0 IBADRN CN(C)c1nccc([C@H]2CN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CCO2)n1 ZINC000467620220 1074543158 /nfs/dbraw/zinc/54/31/58/1074543158.db2.gz VVYZPRGXDMLOCR-BPQIPLTHSA-N 0 0 429.481 -0.226 20 0 IBADRN CN(C)c1nccc([C@@H]2CN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CCO2)n1 ZINC000467620221 1074543259 /nfs/dbraw/zinc/54/32/59/1074543259.db2.gz VVYZPRGXDMLOCR-RYQLBKOJSA-N 0 0 429.481 -0.226 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccccc1OCC(=O)Nc1cccnc1 ZINC000467620500 1074543214 /nfs/dbraw/zinc/54/32/14/1074543214.db2.gz YWEMWXDRTXRWFY-UHFFFAOYSA-N 0 0 435.462 -0.168 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCN1C(=O)CNC1=O)Nc1cccnc1 ZINC000467622359 1074542965 /nfs/dbraw/zinc/54/29/65/1074542965.db2.gz QQJPDYGFKBVGBZ-UHFFFAOYSA-N 0 0 440.416 -0.294 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000467627310 1074543049 /nfs/dbraw/zinc/54/30/49/1074543049.db2.gz BTOYYQRGZXTEMQ-HNNXBMFYSA-N 0 0 425.511 -0.335 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000467627311 1074543200 /nfs/dbraw/zinc/54/32/00/1074543200.db2.gz BTOYYQRGZXTEMQ-OAHLLOKOSA-N 0 0 425.511 -0.335 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000467628019 1074542993 /nfs/dbraw/zinc/54/29/93/1074542993.db2.gz FCVMEXACERNFHU-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)CC1 ZINC000467628499 1074543180 /nfs/dbraw/zinc/54/31/80/1074543180.db2.gz GRRZEYSKMHFKNH-UHFFFAOYSA-N 0 0 446.508 -0.679 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n(-c2ncccn2)n1 ZINC000467629415 1074543094 /nfs/dbraw/zinc/54/30/94/1074543094.db2.gz NBEPHYNJBRIWRN-UHFFFAOYSA-N 0 0 439.523 -0.596 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000467632160 1074543733 /nfs/dbraw/zinc/54/37/33/1074543733.db2.gz CABURQHVFZIUEQ-UHFFFAOYSA-N 0 0 445.476 -0.863 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000467634195 1074544132 /nfs/dbraw/zinc/54/41/32/1074544132.db2.gz TURYFSMXGHORJK-UHFFFAOYSA-N 0 0 425.511 -0.381 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000467634574 1074544010 /nfs/dbraw/zinc/54/40/10/1074544010.db2.gz ASUWLGMSROJILC-UHFFFAOYSA-N 0 0 430.509 -0.452 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000467637282 1074544393 /nfs/dbraw/zinc/54/43/93/1074544393.db2.gz AOSWJDBVTPFRSA-AWEZNQCLSA-N 0 0 439.538 -0.087 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000467637284 1074544668 /nfs/dbraw/zinc/54/46/68/1074544668.db2.gz AOSWJDBVTPFRSA-CQSZACIVSA-N 0 0 439.538 -0.087 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000467638288 1072824556 /nfs/dbraw/zinc/82/45/56/1072824556.db2.gz WJLKSWYUXCACFW-GJZGRUSLSA-N 0 0 447.579 -0.061 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000467638289 1074544438 /nfs/dbraw/zinc/54/44/38/1074544438.db2.gz WJLKSWYUXCACFW-LSDHHAIUSA-N 0 0 447.579 -0.061 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C(=O)NCC)CC3)CC1 ZINC000467641529 1074544611 /nfs/dbraw/zinc/54/46/11/1074544611.db2.gz SHXRDBKLVWZZEK-UHFFFAOYSA-N 0 0 430.509 -0.003 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NC(=O)CN(C)C)cc1 ZINC000467642550 1074544582 /nfs/dbraw/zinc/54/45/82/1074544582.db2.gz XYKJFQILEUAZGG-UHFFFAOYSA-N 0 0 427.527 -0.087 20 0 IBADRN CN(C)CCN(C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000467642845 1074544657 /nfs/dbraw/zinc/54/46/57/1074544657.db2.gz BEABHMUEOSNMCF-MSOLQXFVSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(C)CCN(C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000467642846 1074544478 /nfs/dbraw/zinc/54/44/78/1074544478.db2.gz BEABHMUEOSNMCF-QZTJIDSGSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(C)CCN(C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000467642847 1074544571 /nfs/dbraw/zinc/54/45/71/1074544571.db2.gz BEABHMUEOSNMCF-ROUUACIJSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(C)CCN(C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000467642848 1074544633 /nfs/dbraw/zinc/54/46/33/1074544633.db2.gz BEABHMUEOSNMCF-ZWKOTPCHSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(C)CCN(C(=O)Cn1cc(Br)c(=O)[nH]c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000467643777 1074544598 /nfs/dbraw/zinc/54/45/98/1074544598.db2.gz NMNSOLSQKNDSOE-JTQLQIEISA-N 0 0 437.316 -0.711 20 0 IBADRN CN(C)CCN(C(=O)Cn1cc(Br)c(=O)[nH]c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000467643778 1074545025 /nfs/dbraw/zinc/54/50/25/1074545025.db2.gz NMNSOLSQKNDSOE-SNVBAGLBSA-N 0 0 437.316 -0.711 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)CC1 ZINC000467644090 1073080799 /nfs/dbraw/zinc/08/07/99/1073080799.db2.gz RKBSJHIDRXGWIC-UHFFFAOYSA-N 0 0 425.511 -0.381 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cc21 ZINC000467644790 1074544875 /nfs/dbraw/zinc/54/48/75/1074544875.db2.gz BFXKQXKUEBJDJM-UHFFFAOYSA-N 0 0 430.509 -0.003 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N(CCN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000467644806 1074544907 /nfs/dbraw/zinc/54/49/07/1074544907.db2.gz CWEJSBMGHNTRLZ-DZGCQCFKSA-N 0 0 449.599 -0.719 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N(CCN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000467644807 1074544859 /nfs/dbraw/zinc/54/48/59/1074544859.db2.gz CWEJSBMGHNTRLZ-HIFRSBDPSA-N 0 0 449.599 -0.719 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N(CCN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000467644810 1074545000 /nfs/dbraw/zinc/54/50/00/1074545000.db2.gz CWEJSBMGHNTRLZ-UKRRQHHQSA-N 0 0 449.599 -0.719 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N(CCN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000467644812 1074544962 /nfs/dbraw/zinc/54/49/62/1074544962.db2.gz CWEJSBMGHNTRLZ-ZFWWWQNUSA-N 0 0 449.599 -0.719 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000467645388 1074544973 /nfs/dbraw/zinc/54/49/73/1074544973.db2.gz HKCXMTXFVCYDGM-UHFFFAOYSA-N 0 0 444.536 -0.108 20 0 IBADRN Cc1cc(C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000467646756 1074545009 /nfs/dbraw/zinc/54/50/09/1074545009.db2.gz MSSAJHLZXXRRGX-CYBMUJFWSA-N 0 0 437.522 -0.868 20 0 IBADRN Cc1cc(C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000467646760 1074544922 /nfs/dbraw/zinc/54/49/22/1074544922.db2.gz MSSAJHLZXXRRGX-ZDUSSCGKSA-N 0 0 437.522 -0.868 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000467647094 1074544949 /nfs/dbraw/zinc/54/49/49/1074544949.db2.gz OUORTKYKQWFUSQ-KRWDZBQOSA-N 0 0 431.493 -0.025 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000467647095 1074544934 /nfs/dbraw/zinc/54/49/34/1074544934.db2.gz OUORTKYKQWFUSQ-QGZVFWFLSA-N 0 0 431.493 -0.025 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000467647731 1074544888 /nfs/dbraw/zinc/54/48/88/1074544888.db2.gz RYNKGLNIRQMIDB-UHFFFAOYSA-N 0 0 439.538 -0.039 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)CC1 ZINC000467648528 1074544988 /nfs/dbraw/zinc/54/49/88/1074544988.db2.gz XRJREJOKKBUNFF-UHFFFAOYSA-N 0 0 432.525 -0.206 20 0 IBADRN Cc1c(F)cccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000467648620 1074545515 /nfs/dbraw/zinc/54/55/15/1074545515.db2.gz BKRQHLHSGQRMLN-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000467649781 1074545436 /nfs/dbraw/zinc/54/54/36/1074545436.db2.gz IRSNKHDSCYHMSD-UHFFFAOYSA-N 0 0 429.495 -0.181 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(NC(=O)CN(C)C)cc1)S(C)(=O)=O ZINC000467651618 1073148176 /nfs/dbraw/zinc/14/81/76/1073148176.db2.gz TVWWWSRMOMJEDM-UHFFFAOYSA-N 0 0 427.527 -0.087 20 0 IBADRN Cc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000467651642 1074545340 /nfs/dbraw/zinc/54/53/40/1074545340.db2.gz UEIMMROYNLZBTL-KBPBESRZSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000467651643 1074545468 /nfs/dbraw/zinc/54/54/68/1074545468.db2.gz UEIMMROYNLZBTL-OKILXGFUSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000467651644 1074545525 /nfs/dbraw/zinc/54/55/25/1074545525.db2.gz UEIMMROYNLZBTL-ZIAGYGMSSA-N 0 0 425.511 -0.173 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC3CC3)c1)CC2 ZINC000467652881 1074545509 /nfs/dbraw/zinc/54/55/09/1074545509.db2.gz IBSAJYNMCFXUJI-UHFFFAOYSA-N 0 0 449.464 -0.117 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)c2ccccc2O1 ZINC000467653938 1074545453 /nfs/dbraw/zinc/54/54/53/1074545453.db2.gz WNGOWTPJCNQULL-GOSISDBHSA-N 0 0 439.472 -0.015 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)c2ccccc2O1 ZINC000467653939 1074545353 /nfs/dbraw/zinc/54/53/53/1074545353.db2.gz WNGOWTPJCNQULL-SFHVURJKSA-N 0 0 439.472 -0.015 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCc3nn(C)c(=O)cc3C1)CC2 ZINC000467657384 1074545531 /nfs/dbraw/zinc/54/55/31/1074545531.db2.gz CQYXAUILRFIFQX-UHFFFAOYSA-N 0 0 431.474 -0.838 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)NCC(=O)N3CCC[C@H]3C(=O)NC)cc21 ZINC000467658102 1074545538 /nfs/dbraw/zinc/54/55/38/1074545538.db2.gz GKRNIYNCYWGLCY-HNNXBMFYSA-N 0 0 444.492 -0.430 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)NCC(=O)N3CCC[C@@H]3C(=O)NC)cc21 ZINC000467658103 1074545445 /nfs/dbraw/zinc/54/54/45/1074545445.db2.gz GKRNIYNCYWGLCY-OAHLLOKOSA-N 0 0 444.492 -0.430 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCc2nn(C)c(=O)cc2C1 ZINC000467658106 1074545318 /nfs/dbraw/zinc/54/53/18/1074545318.db2.gz GLXHISYWNQBSRL-UHFFFAOYSA-N 0 0 449.489 -0.437 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)cc1S(=O)(=O)N(C)C ZINC000467660492 1074545856 /nfs/dbraw/zinc/54/58/56/1074545856.db2.gz UGZHLSDUBJSDAJ-UHFFFAOYSA-N 0 0 433.490 -0.138 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCc4nn(C)c(=O)cc4C3)cc2)CC1 ZINC000467660562 1074545931 /nfs/dbraw/zinc/54/59/31/1074545931.db2.gz WTOWQMWKAYWDJV-UHFFFAOYSA-N 0 0 438.488 -0.309 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC000467660610 1074545897 /nfs/dbraw/zinc/54/58/97/1074545897.db2.gz XNHTUXHPDUIIHW-UHFFFAOYSA-N 0 0 433.490 -0.010 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)cc21 ZINC000467660669 1074545776 /nfs/dbraw/zinc/54/57/76/1074545776.db2.gz VCAKMLJFZCIREH-NRFANRHFSA-N 0 0 433.509 -0.084 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)cc21 ZINC000467660670 1074545823 /nfs/dbraw/zinc/54/58/23/1074545823.db2.gz VCAKMLJFZCIREH-OAQYLSRUSA-N 0 0 433.509 -0.084 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3cncnc3)CC2)cc1 ZINC000467661109 1074545800 /nfs/dbraw/zinc/54/58/00/1074545800.db2.gz YQGFXQBBFFUGOJ-UHFFFAOYSA-N 0 0 426.480 -0.338 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1cc(N3CCCS3(=O)=O)ccc1F)CC2 ZINC000467665814 1074545812 /nfs/dbraw/zinc/54/58/12/1074545812.db2.gz KJFWBICXHOOKEL-UHFFFAOYSA-N 0 0 449.464 -0.017 20 0 IBADRN O=C(NCCCNS(=O)(=O)c1ccccc1Cl)c1cn(CCO)c(=O)[nH]c1=O ZINC000467677228 1073319947 /nfs/dbraw/zinc/31/99/47/1073319947.db2.gz SFUWDELXBFXONQ-UHFFFAOYSA-N 0 0 430.870 -0.307 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCc3nn(C)c(=O)cc3C1)CC2 ZINC000467677322 1074546002 /nfs/dbraw/zinc/54/60/02/1074546002.db2.gz HKTBEMMEJDNHSU-UHFFFAOYSA-N 0 0 445.501 -0.448 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)cc1C(=O)OC ZINC000467684036 1074545840 /nfs/dbraw/zinc/54/58/40/1074545840.db2.gz BFUSYWDWTRSBFL-UHFFFAOYSA-N 0 0 428.401 -0.123 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(CC(=O)N3CCOCC3)cc1)CC2 ZINC000467685084 1074545948 /nfs/dbraw/zinc/54/59/48/1074545948.db2.gz FKSBRVYLLYKMAJ-UHFFFAOYSA-N 0 0 439.472 -0.295 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000467688551 1074546316 /nfs/dbraw/zinc/54/63/16/1074546316.db2.gz MCCGBQUGLMPASQ-INIZCTEOSA-N 0 0 427.465 -0.220 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000467688552 1074546341 /nfs/dbraw/zinc/54/63/41/1074546341.db2.gz MCCGBQUGLMPASQ-MRXNPFEDSA-N 0 0 427.465 -0.220 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000467698232 1074546458 /nfs/dbraw/zinc/54/64/58/1074546458.db2.gz PQIVYOKEGLOUPT-INIZCTEOSA-N 0 0 449.489 -0.051 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000467698233 1074546300 /nfs/dbraw/zinc/54/63/00/1074546300.db2.gz PQIVYOKEGLOUPT-MRXNPFEDSA-N 0 0 449.489 -0.051 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCC[C@H]3C(=O)NC[C@H]32)c1C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000467705511 1070807871 /nfs/dbraw/zinc/80/78/71/1070807871.db2.gz DWBHVHXXZVEBPU-JOCBIADPSA-N 0 0 441.532 -0.036 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1C ZINC000467707605 1074546688 /nfs/dbraw/zinc/54/66/88/1074546688.db2.gz ROTXROQATJNOCR-BRWVUGGUSA-N 0 0 436.534 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1C ZINC000467707606 1074546828 /nfs/dbraw/zinc/54/68/28/1074546828.db2.gz ROTXROQATJNOCR-IXDOHACOSA-N 0 0 436.534 -0.047 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000467709760 1074546672 /nfs/dbraw/zinc/54/66/72/1074546672.db2.gz ZOTIXWBNOGBNSZ-AWEZNQCLSA-N 0 0 448.505 -0.710 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000467709761 1074546876 /nfs/dbraw/zinc/54/68/76/1074546876.db2.gz ZOTIXWBNOGBNSZ-CQSZACIVSA-N 0 0 448.505 -0.710 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)[C@H]1C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000467711080 1074546881 /nfs/dbraw/zinc/54/68/81/1074546881.db2.gz QKLQIHPHENRXFY-CWMWYVAESA-N 0 0 444.532 -0.308 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)[C@@H]1C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000467711081 1074546843 /nfs/dbraw/zinc/54/68/43/1074546843.db2.gz QKLQIHPHENRXFY-OOXNMXKUSA-N 0 0 444.532 -0.308 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)[C@@H]1C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000467711082 1074547422 /nfs/dbraw/zinc/54/74/22/1074547422.db2.gz QKLQIHPHENRXFY-SSBZYEIASA-N 0 0 444.532 -0.308 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)[C@H]1C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000467711083 1074547215 /nfs/dbraw/zinc/54/72/15/1074547215.db2.gz QKLQIHPHENRXFY-ZNXONEHFSA-N 0 0 444.532 -0.308 20 0 IBADRN CNc1nc(N2CCN(Cc3ccon3)CC2)nc(N2CCN(Cc3ccon3)CC2)n1 ZINC000467714687 1074547356 /nfs/dbraw/zinc/54/73/56/1074547356.db2.gz OVBSNCSERKWDRU-UHFFFAOYSA-N 0 0 440.512 -0.044 20 0 IBADRN CCS(=O)(=O)c1cccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000467716996 1074547247 /nfs/dbraw/zinc/54/72/47/1074547247.db2.gz WLPQXHMCPZXYIO-UHFFFAOYSA-N 0 0 429.476 -0.233 20 0 IBADRN CCS(=O)(=O)c1cccc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1 ZINC000467717891 1074547200 /nfs/dbraw/zinc/54/72/00/1074547200.db2.gz IIKPHTGKNUQKSC-UHFFFAOYSA-N 0 0 433.552 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCS(=O)(=O)NC3CC3)C2)cc1 ZINC000467741902 1074547266 /nfs/dbraw/zinc/54/72/66/1074547266.db2.gz LOCSIRQAJYMIQJ-UHFFFAOYSA-N 0 0 432.524 -0.551 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3ncccc3Cl)C2)c[nH]1 ZINC000467752972 1074547918 /nfs/dbraw/zinc/54/79/18/1074547918.db2.gz INNNTXPFFYRKID-JTQLQIEISA-N 0 0 426.886 -0.165 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3ncccc3Cl)C2)c[nH]1 ZINC000467752973 1074547902 /nfs/dbraw/zinc/54/79/02/1074547902.db2.gz INNNTXPFFYRKID-SNVBAGLBSA-N 0 0 426.886 -0.165 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3cccc(Cl)c3)C(=O)C2)c(=O)n(C)c1=O ZINC000467759501 1074547754 /nfs/dbraw/zinc/54/77/54/1074547754.db2.gz ULWYTHFMEDBOBP-UHFFFAOYSA-N 0 0 426.882 -0.230 20 0 IBADRN CNc1nc(N2CCN(c3cnn(C)c3)CC2)nc(N2CCN(c3cnn(C)c3)CC2)n1 ZINC000467771600 1074547761 /nfs/dbraw/zinc/54/77/61/1074547761.db2.gz QUBLTDNMUIRXSB-UHFFFAOYSA-N 0 0 438.544 -0.545 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NC[C@@H](NC(=O)CN(C)S(=O)(=O)CC)C(C)(C)C ZINC000467775922 1071459657 /nfs/dbraw/zinc/45/96/57/1071459657.db2.gz PGSASHVRBQXLEY-CYBMUJFWSA-N 0 0 442.604 -0.804 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NC[C@H](NC(=O)CN(C)S(=O)(=O)CC)C(C)(C)C ZINC000467775923 1071459865 /nfs/dbraw/zinc/45/98/65/1071459865.db2.gz PGSASHVRBQXLEY-ZDUSSCGKSA-N 0 0 442.604 -0.804 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NC[C@@H](NC(=O)CCS(=O)(=O)N(C)C)C(C)(C)C ZINC000467778474 1074547732 /nfs/dbraw/zinc/54/77/32/1074547732.db2.gz QFVCFQCTSDBETK-CYBMUJFWSA-N 0 0 442.604 -0.804 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NC[C@H](NC(=O)CCS(=O)(=O)N(C)C)C(C)(C)C ZINC000467778475 1074547832 /nfs/dbraw/zinc/54/78/32/1074547832.db2.gz QFVCFQCTSDBETK-ZDUSSCGKSA-N 0 0 442.604 -0.804 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000467779972 1074547787 /nfs/dbraw/zinc/54/77/87/1074547787.db2.gz JDGBQMSDRKGGDF-FQEVSTJZSA-N 0 0 435.481 -0.358 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000467779973 1074547881 /nfs/dbraw/zinc/54/78/81/1074547881.db2.gz JDGBQMSDRKGGDF-HXUWFJFHSA-N 0 0 435.481 -0.358 20 0 IBADRN CNc1nc(N2CCN(Cc3ncc[nH]3)CC2)nc(N2CCN(Cc3ncc[nH]3)CC2)n1 ZINC000467780367 1074547887 /nfs/dbraw/zinc/54/78/87/1074547887.db2.gz PBAJEBVQIRCTIY-UHFFFAOYSA-N 0 0 438.544 -0.574 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000467787444 1074548415 /nfs/dbraw/zinc/54/84/15/1074548415.db2.gz GPDJPGCUSGVIAG-KRWDZBQOSA-N 0 0 430.483 -0.790 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000467787445 1074548286 /nfs/dbraw/zinc/54/82/86/1074548286.db2.gz GPDJPGCUSGVIAG-QGZVFWFLSA-N 0 0 430.483 -0.790 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000467790354 1074548420 /nfs/dbraw/zinc/54/84/20/1074548420.db2.gz PTRZXCDTMUSBJM-KRWDZBQOSA-N 0 0 431.467 -0.525 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000467790360 1074548256 /nfs/dbraw/zinc/54/82/56/1074548256.db2.gz PTRZXCDTMUSBJM-QGZVFWFLSA-N 0 0 431.467 -0.525 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(c3cnn(C)c3)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000467792247 1074548402 /nfs/dbraw/zinc/54/84/02/1074548402.db2.gz CFXZLQSLNOEBIK-IBGZPJMESA-N 0 0 448.505 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(c3cnn(C)c3)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000467792248 1074548353 /nfs/dbraw/zinc/54/83/53/1074548353.db2.gz CFXZLQSLNOEBIK-LJQANCHMSA-N 0 0 448.505 -0.006 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000467796339 1074548923 /nfs/dbraw/zinc/54/89/23/1074548923.db2.gz FUYBSCIYNDOQHI-QMTMVMCOSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000467796340 1074548813 /nfs/dbraw/zinc/54/88/13/1074548813.db2.gz FUYBSCIYNDOQHI-SPYBWZPUSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000467796341 1074548919 /nfs/dbraw/zinc/54/89/19/1074548919.db2.gz FUYBSCIYNDOQHI-YZVOILCLSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000467796342 1074548862 /nfs/dbraw/zinc/54/88/62/1074548862.db2.gz FUYBSCIYNDOQHI-ZRGWGRIASA-N 0 0 430.523 -0.160 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000467802128 1074548879 /nfs/dbraw/zinc/54/88/79/1074548879.db2.gz ATIUUUUIARKERO-CHWSQXEVSA-N 0 0 448.505 -0.444 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000467802129 1074548914 /nfs/dbraw/zinc/54/89/14/1074548914.db2.gz ATIUUUUIARKERO-OLZOCXBDSA-N 0 0 448.505 -0.444 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000467802130 1074548872 /nfs/dbraw/zinc/54/88/72/1074548872.db2.gz ATIUUUUIARKERO-QWHCGFSZSA-N 0 0 448.505 -0.444 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000467802131 1074548898 /nfs/dbraw/zinc/54/88/98/1074548898.db2.gz ATIUUUUIARKERO-STQMWFEESA-N 0 0 448.505 -0.444 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467802520 1074548951 /nfs/dbraw/zinc/54/89/51/1074548951.db2.gz GKARETLZZGCHMN-AWEZNQCLSA-N 0 0 440.522 -0.149 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467802521 1074548828 /nfs/dbraw/zinc/54/88/28/1074548828.db2.gz GKARETLZZGCHMN-CQSZACIVSA-N 0 0 440.522 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC3CCN(S(C)(=O)=O)CC3)C2)cc1 ZINC000467803444 1074549301 /nfs/dbraw/zinc/54/93/01/1074549301.db2.gz RDTUPHZDCZGZND-UHFFFAOYSA-N 0 0 446.551 -0.209 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)CC1 ZINC000467803744 1074549242 /nfs/dbraw/zinc/54/92/42/1074549242.db2.gz BZELPFQRJDMGNZ-UHFFFAOYSA-N 0 0 439.538 -0.422 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000467804028 1074548795 /nfs/dbraw/zinc/54/87/95/1074548795.db2.gz VLLBWGNCFVUMMA-DYESRHJHSA-N 0 0 425.526 -0.015 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000467804029 1074548777 /nfs/dbraw/zinc/54/87/77/1074548777.db2.gz VLLBWGNCFVUMMA-LAUBAEHRSA-N 0 0 425.526 -0.015 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000467804030 1074548903 /nfs/dbraw/zinc/54/89/03/1074548903.db2.gz VLLBWGNCFVUMMA-UTKZUKDTSA-N 0 0 425.526 -0.015 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000467804031 1074548886 /nfs/dbraw/zinc/54/88/86/1074548886.db2.gz VLLBWGNCFVUMMA-UWJYYQICSA-N 0 0 425.526 -0.015 20 0 IBADRN O=C(NC[C@H]1CCS(=O)(=O)C1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467804666 1074549429 /nfs/dbraw/zinc/54/94/29/1074549429.db2.gz HWMJMYKPUHOUHN-GFCCVEGCSA-N 0 0 445.519 -0.435 20 0 IBADRN O=C(NC[C@@H]1CCS(=O)(=O)C1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467804667 1074549492 /nfs/dbraw/zinc/54/94/92/1074549492.db2.gz HWMJMYKPUHOUHN-LBPRGKRZSA-N 0 0 445.519 -0.435 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCC(=O)N3CCN(C)CC3)C2)cc1 ZINC000467808944 1074549270 /nfs/dbraw/zinc/54/92/70/1074549270.db2.gz LBAMDMQBRSTDEK-UHFFFAOYSA-N 0 0 439.538 -0.469 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000467815695 1074549408 /nfs/dbraw/zinc/54/94/08/1074549408.db2.gz POYMJYKDZDTEQT-UHFFFAOYSA-N 0 0 425.467 -0.359 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000467817791 1074549318 /nfs/dbraw/zinc/54/93/18/1074549318.db2.gz RVIKZQMJFGZGSK-DLBZAZTESA-N 0 0 441.554 -0.285 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000467817792 1074549286 /nfs/dbraw/zinc/54/92/86/1074549286.db2.gz RVIKZQMJFGZGSK-IAGOWNOFSA-N 0 0 441.554 -0.285 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000467817793 1074549353 /nfs/dbraw/zinc/54/93/53/1074549353.db2.gz RVIKZQMJFGZGSK-IRXDYDNUSA-N 0 0 441.554 -0.285 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000467817794 1074549395 /nfs/dbraw/zinc/54/93/95/1074549395.db2.gz RVIKZQMJFGZGSK-SJORKVTESA-N 0 0 441.554 -0.285 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467818094 1074549260 /nfs/dbraw/zinc/54/92/60/1074549260.db2.gz WJZJARITJQXVNX-UHFFFAOYSA-N 0 0 448.523 -0.931 20 0 IBADRN COCC[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000467818441 1074549905 /nfs/dbraw/zinc/54/99/05/1074549905.db2.gz BJFWNRFUUWEGDA-INIZCTEOSA-N 0 0 445.513 -0.048 20 0 IBADRN COCC[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000467818442 1074549857 /nfs/dbraw/zinc/54/98/57/1074549857.db2.gz BJFWNRFUUWEGDA-MRXNPFEDSA-N 0 0 445.513 -0.048 20 0 IBADRN COCC[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000467818842 1074549933 /nfs/dbraw/zinc/54/99/33/1074549933.db2.gz HNMUUHXWMUQIPA-INIZCTEOSA-N 0 0 427.523 -0.187 20 0 IBADRN COCC[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000467818843 1074549990 /nfs/dbraw/zinc/54/99/90/1074549990.db2.gz HNMUUHXWMUQIPA-MRXNPFEDSA-N 0 0 427.523 -0.187 20 0 IBADRN C[C@H]1[C@H](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)CC(=O)N1Cc1ccccc1 ZINC000467819037 1074549332 /nfs/dbraw/zinc/54/93/32/1074549332.db2.gz KKINTPHSTWPSMK-GXTWGEPZSA-N 0 0 434.474 -0.037 20 0 IBADRN C[C@H]1[C@@H](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)CC(=O)N1Cc1ccccc1 ZINC000467819038 1074550033 /nfs/dbraw/zinc/55/00/33/1074550033.db2.gz KKINTPHSTWPSMK-JSGCOSHPSA-N 0 0 434.474 -0.037 20 0 IBADRN C[C@@H]1[C@@H](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)CC(=O)N1Cc1ccccc1 ZINC000467819039 1074549960 /nfs/dbraw/zinc/54/99/60/1074549960.db2.gz KKINTPHSTWPSMK-OCCSQVGLSA-N 0 0 434.474 -0.037 20 0 IBADRN C[C@@H]1[C@H](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)CC(=O)N1Cc1ccccc1 ZINC000467819040 1074549995 /nfs/dbraw/zinc/54/99/95/1074549995.db2.gz KKINTPHSTWPSMK-TZMCWYRMSA-N 0 0 434.474 -0.037 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCC(=O)N3CCOCC3)C2)cc1 ZINC000467821094 1074550021 /nfs/dbraw/zinc/55/00/21/1074550021.db2.gz KMKGQYKELDQQSC-UHFFFAOYSA-N 0 0 426.495 -0.384 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000467827856 1074550012 /nfs/dbraw/zinc/55/00/12/1074550012.db2.gz FOPVIHKOTUZLRX-KBPBESRZSA-N 0 0 429.543 -0.086 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000467827857 1074550064 /nfs/dbraw/zinc/55/00/64/1074550064.db2.gz FOPVIHKOTUZLRX-OKILXGFUSA-N 0 0 429.543 -0.086 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000467827858 1074549829 /nfs/dbraw/zinc/54/98/29/1074549829.db2.gz FOPVIHKOTUZLRX-ZIAGYGMSSA-N 0 0 429.543 -0.086 20 0 IBADRN COCC[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000467830764 1074550293 /nfs/dbraw/zinc/55/02/93/1074550293.db2.gz OWVXUMUYSRVKPW-CYBMUJFWSA-N 0 0 432.495 -0.148 20 0 IBADRN COCC[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000467830765 1074550317 /nfs/dbraw/zinc/55/03/17/1074550317.db2.gz OWVXUMUYSRVKPW-ZDUSSCGKSA-N 0 0 432.495 -0.148 20 0 IBADRN C[C@@H]1[C@@H](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC(=O)N1Cc1ccccc1 ZINC000467832733 1074550275 /nfs/dbraw/zinc/55/02/75/1074550275.db2.gz CQJQZOYTKRCNMF-DOMZBBRYSA-N 0 0 433.490 -0.302 20 0 IBADRN C[C@@H]1[C@H](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC(=O)N1Cc1ccccc1 ZINC000467832734 1074550310 /nfs/dbraw/zinc/55/03/10/1074550310.db2.gz CQJQZOYTKRCNMF-IUODEOHRSA-N 0 0 433.490 -0.302 20 0 IBADRN C[C@H]1[C@H](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC(=O)N1Cc1ccccc1 ZINC000467832735 1074550421 /nfs/dbraw/zinc/55/04/21/1074550421.db2.gz CQJQZOYTKRCNMF-SWLSCSKDSA-N 0 0 433.490 -0.302 20 0 IBADRN C[C@H]1[C@@H](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC(=O)N1Cc1ccccc1 ZINC000467832736 1074550363 /nfs/dbraw/zinc/55/03/63/1074550363.db2.gz CQJQZOYTKRCNMF-WFASDCNBSA-N 0 0 433.490 -0.302 20 0 IBADRN CCOC(=O)[C@H](CCOC)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000467833251 1074550332 /nfs/dbraw/zinc/55/03/32/1074550332.db2.gz YAOHIOWLLPMIBJ-DOMZBBRYSA-N 0 0 427.479 -0.229 20 0 IBADRN CCOC(=O)[C@@H](CCOC)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000467833252 1074550416 /nfs/dbraw/zinc/55/04/16/1074550416.db2.gz YAOHIOWLLPMIBJ-IUODEOHRSA-N 0 0 427.479 -0.229 20 0 IBADRN CCOC(=O)[C@@H](CCOC)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000467833253 1074550436 /nfs/dbraw/zinc/55/04/36/1074550436.db2.gz YAOHIOWLLPMIBJ-SWLSCSKDSA-N 0 0 427.479 -0.229 20 0 IBADRN CCOC(=O)[C@H](CCOC)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000467833254 1074550445 /nfs/dbraw/zinc/55/04/45/1074550445.db2.gz YAOHIOWLLPMIBJ-WFASDCNBSA-N 0 0 427.479 -0.229 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2CC(=O)c2ccccc2)c[nH]1 ZINC000467839612 1074550368 /nfs/dbraw/zinc/55/03/68/1074550368.db2.gz DCXRRNIYVWZJTN-AWEZNQCLSA-N 0 0 434.474 -0.108 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2CC(=O)c2ccccc2)c[nH]1 ZINC000467839613 1074550391 /nfs/dbraw/zinc/55/03/91/1074550391.db2.gz DCXRRNIYVWZJTN-CQSZACIVSA-N 0 0 434.474 -0.108 20 0 IBADRN Nc1nsc(N2CCN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)CC2)n1 ZINC000467843291 1074550379 /nfs/dbraw/zinc/55/03/79/1074550379.db2.gz VVONJKLUZWZTEY-UHFFFAOYSA-N 0 0 427.490 -0.159 20 0 IBADRN Nc1nsc(N2CCN(C(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)CC2)n1 ZINC000467843763 1073363949 /nfs/dbraw/zinc/36/39/49/1073363949.db2.gz KBOLIQHQQRDXIF-UHFFFAOYSA-N 0 0 438.535 0.104 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(c3nc(N)ns3)CC2)cc1S(N)(=O)=O ZINC000467845175 1074550431 /nfs/dbraw/zinc/55/04/31/1074550431.db2.gz ZCPIYVIIVJLMGM-UHFFFAOYSA-N 0 0 430.487 -0.193 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000467847292 1073355647 /nfs/dbraw/zinc/35/56/47/1073355647.db2.gz WUEXDKYFIYGGSV-NSHDSACASA-N 0 0 426.524 0.145 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@@H]1CC(=O)c1ccccc1)c(=O)n2C ZINC000467850298 1074550886 /nfs/dbraw/zinc/55/08/86/1074550886.db2.gz JDQKOVLVBZTXRP-HNNXBMFYSA-N 0 0 425.445 -0.066 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@H]1CC(=O)c1ccccc1)c(=O)n2C ZINC000467850299 1074550759 /nfs/dbraw/zinc/55/07/59/1074550759.db2.gz JDQKOVLVBZTXRP-OAHLLOKOSA-N 0 0 425.445 -0.066 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000467854195 1074550899 /nfs/dbraw/zinc/55/08/99/1074550899.db2.gz VLKHRXFRSGOQMJ-UHFFFAOYSA-N 0 0 440.478 -0.440 20 0 IBADRN Nc1nsc(N2CCN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CC2)n1 ZINC000467855600 1074550873 /nfs/dbraw/zinc/55/08/73/1074550873.db2.gz VYDQETHQXMVWJK-UHFFFAOYSA-N 0 0 433.479 -0.506 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000467856394 1074550781 /nfs/dbraw/zinc/55/07/81/1074550781.db2.gz PQBFJTSLWWLNKH-UHFFFAOYSA-N 0 0 429.510 0.160 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCC(=O)NC(N)=O)c1 ZINC000467857802 1074550768 /nfs/dbraw/zinc/55/07/68/1074550768.db2.gz CBOBTTGKBNUGAC-UHFFFAOYSA-N 0 0 427.483 -0.912 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(c4nc(N)ns4)CC3)ccc21 ZINC000467860400 1073355658 /nfs/dbraw/zinc/35/56/58/1073355658.db2.gz AZOWRHVDZRQJEG-UHFFFAOYSA-N 0 0 429.506 0.108 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@@H]3CC(=O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000467861154 1074551265 /nfs/dbraw/zinc/55/12/65/1074551265.db2.gz COJPHEPTFIBONF-HNNXBMFYSA-N 0 0 425.445 -0.066 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@H]3CC(=O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000467861156 1074551341 /nfs/dbraw/zinc/55/13/41/1074551341.db2.gz COJPHEPTFIBONF-OAHLLOKOSA-N 0 0 425.445 -0.066 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCN(c2nc(N)ns2)CC1)N1C(=O)c2ccccc2C1=O ZINC000467866716 1074551216 /nfs/dbraw/zinc/55/12/16/1074551216.db2.gz YPUYINMXJNHYTP-CYBMUJFWSA-N 0 0 443.489 -0.301 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCN(c2nc(N)ns2)CC1)N1C(=O)c2ccccc2C1=O ZINC000467866717 1074551365 /nfs/dbraw/zinc/55/13/65/1074551365.db2.gz YPUYINMXJNHYTP-ZDUSSCGKSA-N 0 0 443.489 -0.301 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000467867044 1073360066 /nfs/dbraw/zinc/36/00/66/1073360066.db2.gz MZAAQCWDWQMDTD-UHFFFAOYSA-N 0 0 438.535 -0.055 20 0 IBADRN Nc1nsc(N2CCN(C(=O)c3csc(S(=O)(=O)N4CCOCC4)c3)CC2)n1 ZINC000467868337 1074551350 /nfs/dbraw/zinc/55/13/50/1074551350.db2.gz SDEZXLQWFFRWBH-UHFFFAOYSA-N 0 0 444.564 0.165 20 0 IBADRN Nc1nsc(N2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)CC2)n1 ZINC000467872353 1074551225 /nfs/dbraw/zinc/55/12/25/1074551225.db2.gz CBZVQAVKRFUEMF-UHFFFAOYSA-N 0 0 427.512 -0.568 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000467873471 1073360550 /nfs/dbraw/zinc/36/05/50/1073360550.db2.gz HNKTYXBLYPWCLH-JTQLQIEISA-N 0 0 428.544 -0.514 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000467873472 1073360506 /nfs/dbraw/zinc/36/05/06/1073360506.db2.gz HNKTYXBLYPWCLH-SNVBAGLBSA-N 0 0 428.544 -0.514 20 0 IBADRN Nc1nsc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CC2)n1 ZINC000467875400 1074551686 /nfs/dbraw/zinc/55/16/86/1074551686.db2.gz PGJLBYJYKONYTD-UHFFFAOYSA-N 0 0 438.535 0.104 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)ccc1C ZINC000467878007 1074551855 /nfs/dbraw/zinc/55/18/55/1074551855.db2.gz HDNGLRUHVHGDTL-UHFFFAOYSA-N 0 0 448.505 -0.716 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000467880236 1074551835 /nfs/dbraw/zinc/55/18/35/1074551835.db2.gz MMGGFQJFVJWNSQ-UHFFFAOYSA-N 0 0 428.449 -0.564 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000467882267 1074552168 /nfs/dbraw/zinc/55/21/68/1074552168.db2.gz BGEDQAGQMBVVAB-UHFFFAOYSA-N 0 0 448.505 -0.682 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(NC(=O)C4CC4)nc3)CC2)c1=O ZINC000467882352 1074552141 /nfs/dbraw/zinc/55/21/41/1074552141.db2.gz CJTSLUCGSQOJJN-UHFFFAOYSA-N 0 0 425.449 -0.189 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)cc2n(C)c1=O ZINC000467883793 1074552307 /nfs/dbraw/zinc/55/23/07/1074552307.db2.gz TVGZKNFBUWGERL-UHFFFAOYSA-N 0 0 425.449 -0.742 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000467884533 1074552320 /nfs/dbraw/zinc/55/23/20/1074552320.db2.gz CRDZVKMYCASULB-UHFFFAOYSA-N 0 0 429.433 -0.381 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)ccc1F ZINC000467884543 1074552375 /nfs/dbraw/zinc/55/23/75/1074552375.db2.gz CUKKNXXAMNWZST-UHFFFAOYSA-N 0 0 441.427 -0.509 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)C ZINC000467887506 1074552211 /nfs/dbraw/zinc/55/22/11/1074552211.db2.gz LZWFLVRYFQFNEG-HNNXBMFYSA-N 0 0 426.495 -0.467 20 0 IBADRN CO[C@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)C ZINC000467887507 1074552364 /nfs/dbraw/zinc/55/23/64/1074552364.db2.gz LZWFLVRYFQFNEG-OAHLLOKOSA-N 0 0 426.495 -0.467 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1S(N)(=O)=O ZINC000467887587 1074552246 /nfs/dbraw/zinc/55/22/46/1074552246.db2.gz RDLXBMIGUQOJRN-UHFFFAOYSA-N 0 0 434.478 -0.977 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c(C)c1 ZINC000467887806 1074552146 /nfs/dbraw/zinc/55/21/46/1074552146.db2.gz MFTHRSUGIBYMGW-UHFFFAOYSA-N 0 0 443.460 -0.072 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467888413 1074552177 /nfs/dbraw/zinc/55/21/77/1074552177.db2.gz TZQZVPWGNZJOIM-AWEZNQCLSA-N 0 0 440.522 -0.149 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000467888414 1074552741 /nfs/dbraw/zinc/55/27/41/1074552741.db2.gz TZQZVPWGNZJOIM-CQSZACIVSA-N 0 0 440.522 -0.149 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467888788 1074552295 /nfs/dbraw/zinc/55/22/95/1074552295.db2.gz JSNRGADDDBOMAE-UHFFFAOYSA-N 0 0 433.490 -0.139 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467888817 1074552355 /nfs/dbraw/zinc/55/23/55/1074552355.db2.gz JXQSTEJZKBXEQB-UHFFFAOYSA-N 0 0 426.477 -0.302 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)(C)C ZINC000467890438 1074552786 /nfs/dbraw/zinc/55/27/86/1074552786.db2.gz UPGURDDWLUJKIG-HNNXBMFYSA-N 0 0 440.522 -0.077 20 0 IBADRN CO[C@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)(C)C ZINC000467890439 1074552675 /nfs/dbraw/zinc/55/26/75/1074552675.db2.gz UPGURDDWLUJKIG-OAHLLOKOSA-N 0 0 440.522 -0.077 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc4c(c3)N(C)C(=O)CO4)CC2)c1=O ZINC000467891124 1074552800 /nfs/dbraw/zinc/55/28/00/1074552800.db2.gz UAHMPRMYUATKJF-UHFFFAOYSA-N 0 0 426.433 -0.577 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccccc3OCCC(N)=O)CC2)c1=O ZINC000467891803 1074552650 /nfs/dbraw/zinc/55/26/50/1074552650.db2.gz ZCBPFLVPUZVCAI-UHFFFAOYSA-N 0 0 428.449 -0.678 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(-n4cncn4)c(F)c3)CC2)c1=O ZINC000467892246 1074552722 /nfs/dbraw/zinc/55/27/22/1074552722.db2.gz HVGKHVSKXJJONE-UHFFFAOYSA-N 0 0 426.412 -0.213 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1S(N)(=O)=O ZINC000467892522 1074552692 /nfs/dbraw/zinc/55/26/92/1074552692.db2.gz KLSYXKINRRZVND-UHFFFAOYSA-N 0 0 448.505 -0.723 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000467892829 1074552730 /nfs/dbraw/zinc/55/27/30/1074552730.db2.gz MHUGCABEQWNFAJ-UHFFFAOYSA-N 0 0 426.373 -0.045 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467894129 1074552684 /nfs/dbraw/zinc/55/26/84/1074552684.db2.gz IPAGRCHOMRMEPK-UHFFFAOYSA-N 0 0 428.449 -0.808 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000467894239 1074552763 /nfs/dbraw/zinc/55/27/63/1074552763.db2.gz SVUVFAGDVCLWJF-UHFFFAOYSA-N 0 0 448.505 -0.682 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCC(=O)NC(N)=O)CC2)cc1OC ZINC000467895025 1074553283 /nfs/dbraw/zinc/55/32/83/1074553283.db2.gz XUCSYICYWYPNRC-UHFFFAOYSA-N 0 0 442.494 -0.194 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3cccc(N4CCCNC4=O)c3)CC2)c1=O ZINC000467895285 1074553215 /nfs/dbraw/zinc/55/32/15/1074553215.db2.gz ZGFGYCGRFUGKDI-UHFFFAOYSA-N 0 0 439.476 -0.013 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467896195 1074553203 /nfs/dbraw/zinc/55/32/03/1074553203.db2.gz WVXBJXMUTAEILD-UHFFFAOYSA-N 0 0 442.476 -0.418 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccccc3CN3CCOCC3)CC2)c1=O ZINC000467896380 1074553195 /nfs/dbraw/zinc/55/31/95/1074553195.db2.gz YWKLJBJNBLTZLM-UHFFFAOYSA-N 0 0 440.504 -0.100 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467899322 1074553265 /nfs/dbraw/zinc/55/32/65/1074553265.db2.gz GCAIMCKXDXLRKR-UHFFFAOYSA-N 0 0 441.492 -0.432 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1C ZINC000467899779 1074553177 /nfs/dbraw/zinc/55/31/77/1074553177.db2.gz JEBPCPSPEBPENA-UHFFFAOYSA-N 0 0 448.505 -0.668 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)cc2NC1=O ZINC000467900311 1074553162 /nfs/dbraw/zinc/55/31/62/1074553162.db2.gz SNUFFFCQVGLKFN-GFCCVEGCSA-N 0 0 426.433 -0.213 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)cc2NC1=O ZINC000467900312 1074553210 /nfs/dbraw/zinc/55/32/10/1074553210.db2.gz SNUFFFCQVGLKFN-LBPRGKRZSA-N 0 0 426.433 -0.213 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCNC(=O)c3cnccn3)C2)cc1 ZINC000467902210 1074553135 /nfs/dbraw/zinc/55/31/35/1074553135.db2.gz XPRXUBRBLCHINQ-UHFFFAOYSA-N 0 0 434.478 -0.413 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CC2)c1=O ZINC000467902921 1074553158 /nfs/dbraw/zinc/55/31/58/1074553158.db2.gz YMUSWCZBTQADPX-UHFFFAOYSA-N 0 0 448.505 -0.883 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000467904054 1074553276 /nfs/dbraw/zinc/55/32/76/1074553276.db2.gz NCHDVBLKZPGGSJ-UHFFFAOYSA-N 0 0 442.476 -0.465 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000467904581 1074553688 /nfs/dbraw/zinc/55/36/88/1074553688.db2.gz UFPDLWMEBLWOAE-UHFFFAOYSA-N 0 0 442.476 -0.222 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467904789 1074553109 /nfs/dbraw/zinc/55/31/09/1074553109.db2.gz XAHDLHNGDIXFJB-UHFFFAOYSA-N 0 0 442.476 -0.465 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1 ZINC000467905366 1073354375 /nfs/dbraw/zinc/35/43/75/1073354375.db2.gz DRLHNZIHORYGLP-IRXDYDNUSA-N 0 0 448.503 0.210 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1-n1cnnn1 ZINC000467909467 1074553605 /nfs/dbraw/zinc/55/36/05/1074553605.db2.gz GPBBZMXFFVITFR-UHFFFAOYSA-N 0 0 439.436 -0.948 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000467909584 1074553672 /nfs/dbraw/zinc/55/36/72/1074553672.db2.gz LAUZDCGXMBOZNG-UHFFFAOYSA-N 0 0 442.476 -0.418 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467912279 1074553643 /nfs/dbraw/zinc/55/36/43/1074553643.db2.gz WNZBMDZTHXDRBO-UHFFFAOYSA-N 0 0 429.433 -0.381 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000467913279 1074553705 /nfs/dbraw/zinc/55/37/05/1074553705.db2.gz ZQEDOORNYWSNTD-UHFFFAOYSA-N 0 0 433.490 -0.220 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000467918598 1074553732 /nfs/dbraw/zinc/55/37/32/1074553732.db2.gz KXSURYCCVZBCNB-UHFFFAOYSA-N 0 0 442.476 -0.418 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)n1 ZINC000467925295 1073326050 /nfs/dbraw/zinc/32/60/50/1073326050.db2.gz YNBZVIQQOZGTPF-UHFFFAOYSA-N 0 0 428.496 0.359 20 0 IBADRN Cc1ccc(NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1S(=O)(=O)N(C)C ZINC000467926429 1074553692 /nfs/dbraw/zinc/55/36/92/1074553692.db2.gz JLPVMHBGQQDUNJ-UHFFFAOYSA-N 0 0 444.491 -0.146 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)cs1 ZINC000467930593 1074553612 /nfs/dbraw/zinc/55/36/12/1074553612.db2.gz GJXRHVUFWBJSQO-UHFFFAOYSA-N 0 0 442.527 -0.330 20 0 IBADRN Cn1cncc1CS(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000467930824 1074553722 /nfs/dbraw/zinc/55/37/22/1074553722.db2.gz ICIJPMFOXGVQSC-UHFFFAOYSA-N 0 0 442.519 -0.006 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N[C@H]1CCC(=O)NC1=O ZINC000467932012 1074553634 /nfs/dbraw/zinc/55/36/34/1074553634.db2.gz RAEOYAPXRPAMCX-INIZCTEOSA-N 0 0 433.490 -0.009 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N[C@@H]1CCC(=O)NC1=O ZINC000467932013 1074553575 /nfs/dbraw/zinc/55/35/75/1074553575.db2.gz RAEOYAPXRPAMCX-MRXNPFEDSA-N 0 0 433.490 -0.009 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)Nc2ccc(S(N)(=O)=O)cc2)s1 ZINC000467932992 1074553652 /nfs/dbraw/zinc/55/36/52/1074553652.db2.gz FLGUZHBFAZBINP-UHFFFAOYSA-N 0 0 446.532 -0.051 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cc1 ZINC000467933724 1074554110 /nfs/dbraw/zinc/55/41/10/1074554110.db2.gz PEWYQUXVWJDGGB-LLVKDONJSA-N 0 0 438.487 -0.027 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cc1 ZINC000467933725 1074554184 /nfs/dbraw/zinc/55/41/84/1074554184.db2.gz PEWYQUXVWJDGGB-NSHDSACASA-N 0 0 438.487 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)NCCCC[NH+]2CCN(CCO)CC2)c1 ZINC000467936070 1074554192 /nfs/dbraw/zinc/55/41/92/1074554192.db2.gz VTZPJIYAJFLVCA-UHFFFAOYSA-N 0 0 428.555 -0.238 20 0 IBADRN Nc1c(=O)[nH]c(=O)[nH]c1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000467937480 1074554140 /nfs/dbraw/zinc/55/41/40/1074554140.db2.gz IDOJIKUAUDTRGS-UHFFFAOYSA-N 0 0 437.434 -0.612 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)Nc2cccc(S(N)(=O)=O)c2)s1 ZINC000467938008 1074554050 /nfs/dbraw/zinc/55/40/50/1074554050.db2.gz LTKHMCMTHLDEHT-UHFFFAOYSA-N 0 0 446.532 -0.051 20 0 IBADRN NC(=O)c1nccnc1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000467939643 1074554246 /nfs/dbraw/zinc/55/42/46/1074554246.db2.gz SYPDIKNUWAPBCA-UHFFFAOYSA-N 0 0 433.446 -0.507 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)c1 ZINC000467941104 1074554099 /nfs/dbraw/zinc/55/40/99/1074554099.db2.gz ASSOAZNHUUCOSJ-LLVKDONJSA-N 0 0 438.487 -0.027 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)c1 ZINC000467941105 1074554037 /nfs/dbraw/zinc/55/40/37/1074554037.db2.gz ASSOAZNHUUCOSJ-NSHDSACASA-N 0 0 438.487 -0.027 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1)N1CCOCC1 ZINC000467946554 1074554250 /nfs/dbraw/zinc/55/42/50/1074554250.db2.gz AQPHNVTWKIEPOK-JOCHJYFZSA-N 0 0 444.488 -0.009 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCC[C@]2(CNC(=O)C2)C1)N1CCOCC1 ZINC000467946555 1074554005 /nfs/dbraw/zinc/55/40/05/1074554005.db2.gz AQPHNVTWKIEPOK-QFIPXVFZSA-N 0 0 444.488 -0.009 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCCN(Cc3ccc(OC)cc3)C(=O)C2)cn1 ZINC000467946562 1074554239 /nfs/dbraw/zinc/55/42/39/1074554239.db2.gz ARVTYXHCKMPMLC-UHFFFAOYSA-N 0 0 442.476 -0.163 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000467949795 1074554158 /nfs/dbraw/zinc/55/41/58/1074554158.db2.gz QRHQTYUUKAUYHS-FQEVSTJZSA-N 0 0 434.518 -0.011 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000467949796 1074554023 /nfs/dbraw/zinc/55/40/23/1074554023.db2.gz QRHQTYUUKAUYHS-HXUWFJFHSA-N 0 0 434.518 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC(C(=O)NC)C2)c1 ZINC000467951474 1074554129 /nfs/dbraw/zinc/55/41/29/1074554129.db2.gz FLISLIJDYOTPIJ-UHFFFAOYSA-N 0 0 426.495 -0.131 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@]3(CNC(=O)C3)C2)cc1 ZINC000467953351 1074554539 /nfs/dbraw/zinc/55/45/39/1074554539.db2.gz IXYTXQIYQPMABD-IBGZPJMESA-N 0 0 438.506 -0.322 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)cc1 ZINC000467953352 1074554483 /nfs/dbraw/zinc/55/44/83/1074554483.db2.gz IXYTXQIYQPMABD-LJQANCHMSA-N 0 0 438.506 -0.322 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCCN(C(=O)c3ccccc3)CC2)c[nH]1 ZINC000467956692 1074554507 /nfs/dbraw/zinc/55/45/07/1074554507.db2.gz DTEISMGMGFHIPG-UHFFFAOYSA-N 0 0 433.490 -0.233 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2=O)cc1 ZINC000467960318 1074554439 /nfs/dbraw/zinc/55/44/39/1074554439.db2.gz VADMUGFLIRJNQZ-CYBMUJFWSA-N 0 0 426.495 -0.951 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2=O)cc1 ZINC000467960319 1074554522 /nfs/dbraw/zinc/55/45/22/1074554522.db2.gz VADMUGFLIRJNQZ-ZDUSSCGKSA-N 0 0 426.495 -0.951 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2)cc1 ZINC000467965867 1074554420 /nfs/dbraw/zinc/55/44/20/1074554420.db2.gz SWFNPHSSOHVURQ-KRWDZBQOSA-N 0 0 448.505 -0.094 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2)cc1 ZINC000467965868 1074554551 /nfs/dbraw/zinc/55/45/51/1074554551.db2.gz SWFNPHSSOHVURQ-QGZVFWFLSA-N 0 0 448.505 -0.094 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC(C)(C)C)ccc2OC)C1 ZINC000467967621 1074554426 /nfs/dbraw/zinc/55/44/26/1074554426.db2.gz GKUVOFZDJFIYRU-UHFFFAOYSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)C1 ZINC000467971214 1074554917 /nfs/dbraw/zinc/55/49/17/1074554917.db2.gz XQQAGDADAWAFKX-UHFFFAOYSA-N 0 0 427.461 -0.357 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)s1 ZINC000467972233 1074555036 /nfs/dbraw/zinc/55/50/36/1074555036.db2.gz XTXBWLIYWBFUTJ-UHFFFAOYSA-N 0 0 439.519 -0.254 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@]4(CNC(=O)C4)C3)cn2)C[C@H](C)O1 ZINC000467980901 1074555414 /nfs/dbraw/zinc/55/54/14/1074555414.db2.gz DHHJFCQZUOOTLD-LRAJWGHMSA-N 0 0 446.508 -0.414 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@]4(CNC(=O)C4)C3)cn2)C[C@@H](C)O1 ZINC000467980903 1074555425 /nfs/dbraw/zinc/55/54/25/1074555425.db2.gz DHHJFCQZUOOTLD-PZPWOCDFSA-N 0 0 446.508 -0.414 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@]4(CNC(=O)C4)C3)cn2)C[C@@H](C)O1 ZINC000467980905 1074555428 /nfs/dbraw/zinc/55/54/28/1074555428.db2.gz DHHJFCQZUOOTLD-VTJXTGGHSA-N 0 0 446.508 -0.414 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@]4(CNC(=O)C4)C3)cn2)C[C@H](C)O1 ZINC000467980907 1074555408 /nfs/dbraw/zinc/55/54/08/1074555408.db2.gz DHHJFCQZUOOTLD-ZSDSOXJFSA-N 0 0 446.508 -0.414 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@]3(CNC(=O)C3)C2)cc1 ZINC000467985251 1074555439 /nfs/dbraw/zinc/55/54/39/1074555439.db2.gz SEBSVQSDISSZJF-NRFANRHFSA-N 0 0 430.461 -0.415 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)cc1 ZINC000467985252 1074555434 /nfs/dbraw/zinc/55/54/34/1074555434.db2.gz SEBSVQSDISSZJF-OAQYLSRUSA-N 0 0 430.461 -0.415 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2=O)cc1 ZINC000467986173 1074555454 /nfs/dbraw/zinc/55/54/54/1074555454.db2.gz UTLMNAQFISJLIB-UHFFFAOYSA-N 0 0 428.449 -0.423 20 0 IBADRN CC(C)c1nnc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000467987431 1074555388 /nfs/dbraw/zinc/55/53/88/1074555388.db2.gz AZSZZVDGHXMPJX-UHFFFAOYSA-N 0 0 431.588 -0.019 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N1CCN(C(=O)CO)CC1 ZINC000467989675 1074555894 /nfs/dbraw/zinc/55/58/94/1074555894.db2.gz XDKGXTYXPCBJKW-UHFFFAOYSA-N 0 0 449.533 -0.268 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468000332 1074556261 /nfs/dbraw/zinc/55/62/61/1074556261.db2.gz NEJSSJWHBGOOON-GFCCVEGCSA-N 0 0 447.535 -0.047 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468000333 1074556238 /nfs/dbraw/zinc/55/62/38/1074556238.db2.gz NEJSSJWHBGOOON-LBPRGKRZSA-N 0 0 447.535 -0.047 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc(S(N)(=O)=O)c1C ZINC000468001341 1074556329 /nfs/dbraw/zinc/55/63/29/1074556329.db2.gz BDHYNIMISNVSQO-UHFFFAOYSA-N 0 0 436.490 -0.616 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCC[C@H]1CCNS(C)(=O)=O ZINC000468001731 1074556303 /nfs/dbraw/zinc/55/63/03/1074556303.db2.gz DFXDUVBKOGVLDN-AWEZNQCLSA-N 0 0 440.588 -0.859 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000468001738 1070852909 /nfs/dbraw/zinc/85/29/09/1070852909.db2.gz DFXDUVBKOGVLDN-CQSZACIVSA-N 0 0 440.588 -0.859 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000468003846 1074556616 /nfs/dbraw/zinc/55/66/16/1074556616.db2.gz JXWDYUICPKDXPU-UHFFFAOYSA-N 0 0 438.462 -0.512 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)c1 ZINC000468004322 1074556505 /nfs/dbraw/zinc/55/65/05/1074556505.db2.gz KSQZCDOKUMNKKX-CYBMUJFWSA-N 0 0 436.556 -0.127 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)c1 ZINC000468004323 1074556689 /nfs/dbraw/zinc/55/66/89/1074556689.db2.gz KSQZCDOKUMNKKX-ZDUSSCGKSA-N 0 0 436.556 -0.127 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccccc2CN2CCOCC2)CC1 ZINC000468006476 1074557000 /nfs/dbraw/zinc/55/70/00/1074557000.db2.gz SSCOPSNVKQDRFY-UHFFFAOYSA-N 0 0 428.489 -0.048 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CN2CCN(c3ccccn3)CC2)CC1 ZINC000468007313 1074556670 /nfs/dbraw/zinc/55/66/70/1074556670.db2.gz UZGRZVHFCQVXQO-UHFFFAOYSA-N 0 0 428.493 -0.977 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)c1 ZINC000468007352 1074556524 /nfs/dbraw/zinc/55/65/24/1074556524.db2.gz VEABYQAWXZBQOW-UHFFFAOYSA-N 0 0 443.507 -0.242 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000468008653 1074557025 /nfs/dbraw/zinc/55/70/25/1074557025.db2.gz CJLCWHGEZKEMMK-INIZCTEOSA-N 0 0 440.456 -0.675 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000468008654 1074557007 /nfs/dbraw/zinc/55/70/07/1074557007.db2.gz CJLCWHGEZKEMMK-MRXNPFEDSA-N 0 0 440.456 -0.675 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc2c(c1)N(S(N)(=O)=O)CC2 ZINC000468009580 1074557088 /nfs/dbraw/zinc/55/70/88/1074557088.db2.gz NQFOWHRFCJAUGO-UHFFFAOYSA-N 0 0 447.539 -0.975 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468011733 1074557059 /nfs/dbraw/zinc/55/70/59/1074557059.db2.gz MQIMXMTUXCYSSL-LLVKDONJSA-N 0 0 433.508 -0.437 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468011734 1074557170 /nfs/dbraw/zinc/55/71/70/1074557170.db2.gz MQIMXMTUXCYSSL-NSHDSACASA-N 0 0 433.508 -0.437 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)c3ccsc3)CC2)o1 ZINC000468014985 1074557095 /nfs/dbraw/zinc/55/70/95/1074557095.db2.gz AEMSSQZFPLCJGF-UHFFFAOYSA-N 0 0 426.476 -0.297 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)N2CCOCC2)cc1 ZINC000468016550 1074557441 /nfs/dbraw/zinc/55/74/41/1074557441.db2.gz LHIKNIXSHJWDEE-GOSISDBHSA-N 0 0 449.533 -0.110 20 0 IBADRN Cc1ccc([C@H](CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)N2CCOCC2)cc1 ZINC000468016551 1074557460 /nfs/dbraw/zinc/55/74/60/1074557460.db2.gz LHIKNIXSHJWDEE-SFHVURJKSA-N 0 0 449.533 -0.110 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468017785 1074557598 /nfs/dbraw/zinc/55/75/98/1074557598.db2.gz NTYQEQSUBJPARX-UHFFFAOYSA-N 0 0 438.462 -0.721 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccsc3)CC2)c[nH]1 ZINC000468018540 1074557577 /nfs/dbraw/zinc/55/75/77/1074557577.db2.gz RKISWLLUTYEGEN-UHFFFAOYSA-N 0 0 425.492 -0.562 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000468022716 1074557533 /nfs/dbraw/zinc/55/75/33/1074557533.db2.gz QRIPCDULGBZKDM-UHFFFAOYSA-N 0 0 430.552 -0.133 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000468025322 1070876364 /nfs/dbraw/zinc/87/63/64/1070876364.db2.gz PUWCVCGBNGBXHD-UHFFFAOYSA-N 0 0 439.494 -0.865 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)C1 ZINC000468034145 1074557858 /nfs/dbraw/zinc/55/78/58/1074557858.db2.gz SAEVIZRLBCTBTA-KBPBESRZSA-N 0 0 430.527 -0.057 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)C1 ZINC000468034146 1074558079 /nfs/dbraw/zinc/55/80/79/1074558079.db2.gz SAEVIZRLBCTBTA-KGLIPLIRSA-N 0 0 430.527 -0.057 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)C1 ZINC000468034147 1074558088 /nfs/dbraw/zinc/55/80/88/1074558088.db2.gz SAEVIZRLBCTBTA-UONOGXRCSA-N 0 0 430.527 -0.057 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)C1 ZINC000468034148 1074557968 /nfs/dbraw/zinc/55/79/68/1074557968.db2.gz SAEVIZRLBCTBTA-ZIAGYGMSSA-N 0 0 430.527 -0.057 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1 ZINC000468037263 1074557999 /nfs/dbraw/zinc/55/79/99/1074557999.db2.gz MLHCKXJQCLDCRR-CABCVRRESA-N 0 0 434.559 -0.790 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1 ZINC000468037264 1074558051 /nfs/dbraw/zinc/55/80/51/1074558051.db2.gz MLHCKXJQCLDCRR-GJZGRUSLSA-N 0 0 434.559 -0.790 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1 ZINC000468037265 1074557961 /nfs/dbraw/zinc/55/79/61/1074557961.db2.gz MLHCKXJQCLDCRR-HUUCEWRRSA-N 0 0 434.559 -0.790 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1 ZINC000468037266 1074557897 /nfs/dbraw/zinc/55/78/97/1074557897.db2.gz MLHCKXJQCLDCRR-LSDHHAIUSA-N 0 0 434.559 -0.790 20 0 IBADRN O=C(CN1CSCC1=O)NCCc1csc(NC(=O)CN2CSCC2=O)n1 ZINC000468037744 1074557974 /nfs/dbraw/zinc/55/79/74/1074557974.db2.gz KBABAOGUMSFBCG-UHFFFAOYSA-N 0 0 429.549 -0.194 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000468039569 1074557952 /nfs/dbraw/zinc/55/79/52/1074557952.db2.gz SRDYNCFXAMUMKX-NEPJUHHUSA-N 0 0 430.483 -0.954 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000468039570 1074557921 /nfs/dbraw/zinc/55/79/21/1074557921.db2.gz SRDYNCFXAMUMKX-NWDGAFQWSA-N 0 0 430.483 -0.954 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000468039571 1074557938 /nfs/dbraw/zinc/55/79/38/1074557938.db2.gz SRDYNCFXAMUMKX-RYUDHWBXSA-N 0 0 430.483 -0.954 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000468039572 1074557872 /nfs/dbraw/zinc/55/78/72/1074557872.db2.gz SRDYNCFXAMUMKX-VXGBXAGGSA-N 0 0 430.483 -0.954 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)c(C)o1 ZINC000468040425 1074558060 /nfs/dbraw/zinc/55/80/60/1074558060.db2.gz UEUWIBHRJFYFSQ-UHFFFAOYSA-N 0 0 438.462 -0.149 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)c(C)o1 ZINC000468044921 1074558429 /nfs/dbraw/zinc/55/84/29/1074558429.db2.gz NSCVTZPIXHGIKJ-UHFFFAOYSA-N 0 0 437.478 -0.414 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000468045335 1074558555 /nfs/dbraw/zinc/55/85/55/1074558555.db2.gz SJEMBKRROVMALY-CYBMUJFWSA-N 0 0 426.426 -0.048 20 0 IBADRN COC(=O)C[C@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000468045336 1074558404 /nfs/dbraw/zinc/55/84/04/1074558404.db2.gz SJEMBKRROVMALY-ZDUSSCGKSA-N 0 0 426.426 -0.048 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C1 ZINC000468050825 1074558470 /nfs/dbraw/zinc/55/84/70/1074558470.db2.gz NEGMAINUYSNVRQ-UHFFFAOYSA-N 0 0 431.493 -0.497 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000468053647 1074558596 /nfs/dbraw/zinc/55/85/96/1074558596.db2.gz TXAKJCJAPQSOCI-UHFFFAOYSA-N 0 0 444.897 -0.496 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)C1 ZINC000468053738 1074558537 /nfs/dbraw/zinc/55/85/37/1074558537.db2.gz VKRLCGNZUFLKRH-UHFFFAOYSA-N 0 0 435.456 -0.371 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000468054088 1074558564 /nfs/dbraw/zinc/55/85/64/1074558564.db2.gz AOQPMMGWEYNFAQ-UHFFFAOYSA-N 0 0 426.539 -0.550 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(F)(F)CO ZINC000468054339 1074558609 /nfs/dbraw/zinc/55/86/09/1074558609.db2.gz DJIIQXWBFAEASK-UHFFFAOYSA-N 0 0 437.421 -0.602 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000468054653 1074558526 /nfs/dbraw/zinc/55/85/26/1074558526.db2.gz ICPAZKKXDORYPD-AWEZNQCLSA-N 0 0 439.494 -0.824 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000468054654 1074558878 /nfs/dbraw/zinc/55/88/78/1074558878.db2.gz ICPAZKKXDORYPD-CQSZACIVSA-N 0 0 439.494 -0.824 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)NCCN2CCS(=O)(=O)CC2)CCCC1 ZINC000468054962 1074558452 /nfs/dbraw/zinc/55/84/52/1074558452.db2.gz MIGYFEAONLFCLP-UHFFFAOYSA-N 0 0 448.567 -0.271 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(C(=O)NC)C3)c2)CC1 ZINC000468055032 1074558485 /nfs/dbraw/zinc/55/84/85/1074558485.db2.gz NGSMYRRGAWQAQK-UHFFFAOYSA-N 0 0 437.522 -0.844 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(F)(F)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000468055384 1074558367 /nfs/dbraw/zinc/55/83/67/1074558367.db2.gz RPBXWYHOWLPCCB-UHFFFAOYSA-N 0 0 437.421 -0.602 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2CC(C(=O)NC)C2)c1 ZINC000468055429 1074558442 /nfs/dbraw/zinc/55/84/42/1074558442.db2.gz SEXWSOBHAMFGHN-UHFFFAOYSA-N 0 0 439.538 -0.074 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000468055924 1074558901 /nfs/dbraw/zinc/55/89/01/1074558901.db2.gz XGECQVFBQJTXFC-AWEZNQCLSA-N 0 0 433.465 -0.607 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000468055925 1074558898 /nfs/dbraw/zinc/55/88/98/1074558898.db2.gz XGECQVFBQJTXFC-CQSZACIVSA-N 0 0 433.465 -0.607 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)C1 ZINC000468056201 1074558907 /nfs/dbraw/zinc/55/89/07/1074558907.db2.gz FUZQLWHZWOJWIC-AWEZNQCLSA-N 0 0 433.465 -0.364 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)C1 ZINC000468056202 1074558859 /nfs/dbraw/zinc/55/88/59/1074558859.db2.gz FUZQLWHZWOJWIC-CQSZACIVSA-N 0 0 433.465 -0.364 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000468056608 1074558896 /nfs/dbraw/zinc/55/88/96/1074558896.db2.gz KIUDQSWGMNUTRY-AWEZNQCLSA-N 0 0 439.494 -0.824 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000468056609 1074558872 /nfs/dbraw/zinc/55/88/72/1074558872.db2.gz KIUDQSWGMNUTRY-CQSZACIVSA-N 0 0 439.494 -0.824 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](NC(=O)NC)C1 ZINC000468057336 1074558919 /nfs/dbraw/zinc/55/89/19/1074558919.db2.gz VQZHDFDYGQCHTP-GFCCVEGCSA-N 0 0 441.510 -0.196 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](NC(=O)NC)C1 ZINC000468057337 1074558911 /nfs/dbraw/zinc/55/89/11/1074558911.db2.gz VQZHDFDYGQCHTP-LBPRGKRZSA-N 0 0 441.510 -0.196 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(F)(F)CO)c2)CC1 ZINC000468057601 1074558870 /nfs/dbraw/zinc/55/88/70/1074558870.db2.gz ZGIFHCLDGZUSJM-UHFFFAOYSA-N 0 0 434.465 -0.305 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000468059995 1074558931 /nfs/dbraw/zinc/55/89/31/1074558931.db2.gz OGLSHSLHUBKHBU-GFCCVEGCSA-N 0 0 427.483 -0.586 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000468059996 1074558892 /nfs/dbraw/zinc/55/88/92/1074558892.db2.gz OGLSHSLHUBKHBU-LBPRGKRZSA-N 0 0 427.483 -0.586 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000468060092 1074558886 /nfs/dbraw/zinc/55/88/86/1074558886.db2.gz NWKSSQPHDJKYLZ-GFCCVEGCSA-N 0 0 427.458 -0.166 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000468060093 1074558902 /nfs/dbraw/zinc/55/89/02/1074558902.db2.gz NWKSSQPHDJKYLZ-LBPRGKRZSA-N 0 0 427.458 -0.166 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000468061069 1074558866 /nfs/dbraw/zinc/55/88/66/1074558866.db2.gz UIJRUTFCDFMLKE-GXTWGEPZSA-N 0 0 441.510 -0.532 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000468061070 1074558905 /nfs/dbraw/zinc/55/89/05/1074558905.db2.gz UIJRUTFCDFMLKE-JSGCOSHPSA-N 0 0 441.510 -0.532 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000468061071 1074558924 /nfs/dbraw/zinc/55/89/24/1074558924.db2.gz UIJRUTFCDFMLKE-OCCSQVGLSA-N 0 0 441.510 -0.532 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000468061072 1074558910 /nfs/dbraw/zinc/55/89/10/1074558910.db2.gz UIJRUTFCDFMLKE-TZMCWYRMSA-N 0 0 441.510 -0.532 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1 ZINC000468061332 1074558881 /nfs/dbraw/zinc/55/88/81/1074558881.db2.gz UUNRSTOYSPXPLG-INIZCTEOSA-N 0 0 443.508 -0.391 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1 ZINC000468061345 1074558863 /nfs/dbraw/zinc/55/88/63/1074558863.db2.gz UUNRSTOYSPXPLG-MRXNPFEDSA-N 0 0 443.508 -0.391 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)C1 ZINC000468061914 1074559308 /nfs/dbraw/zinc/55/93/08/1074559308.db2.gz MSZOIAAWNLEQAC-HNNXBMFYSA-N 0 0 446.508 -0.449 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)C1 ZINC000468061915 1074559386 /nfs/dbraw/zinc/55/93/86/1074559386.db2.gz MSZOIAAWNLEQAC-OAHLLOKOSA-N 0 0 446.508 -0.449 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000468062547 1074559259 /nfs/dbraw/zinc/55/92/59/1074559259.db2.gz QFBILQVLRIQROQ-LLVKDONJSA-N 0 0 427.458 -0.166 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000468062548 1074559416 /nfs/dbraw/zinc/55/94/16/1074559416.db2.gz QFBILQVLRIQROQ-NSHDSACASA-N 0 0 427.458 -0.166 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000468064125 1074559444 /nfs/dbraw/zinc/55/94/44/1074559444.db2.gz ISPSTYZORQDQNR-CVEARBPZSA-N 0 0 432.529 -0.005 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000468064126 1074559270 /nfs/dbraw/zinc/55/92/70/1074559270.db2.gz ISPSTYZORQDQNR-HOTGVXAUSA-N 0 0 432.529 -0.005 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000468064127 1074559485 /nfs/dbraw/zinc/55/94/85/1074559485.db2.gz ISPSTYZORQDQNR-HZPDHXFCSA-N 0 0 432.529 -0.005 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000468064128 1074559423 /nfs/dbraw/zinc/55/94/23/1074559423.db2.gz ISPSTYZORQDQNR-JKSUJKDBSA-N 0 0 432.529 -0.005 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2c(C(=O)OC)cc(OC)c(OC)c2OC)C1 ZINC000468064820 1074559480 /nfs/dbraw/zinc/55/94/80/1074559480.db2.gz MHYRCEDUXRGNFF-JTQLQIEISA-N 0 0 438.437 -0.033 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2c(C(=O)OC)cc(OC)c(OC)c2OC)C1 ZINC000468064821 1074559352 /nfs/dbraw/zinc/55/93/52/1074559352.db2.gz MHYRCEDUXRGNFF-SNVBAGLBSA-N 0 0 438.437 -0.033 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)Cn2ccnc2S(C)(=O)=O)c(=O)[nH]c1=O ZINC000468065877 1074559405 /nfs/dbraw/zinc/55/94/05/1074559405.db2.gz SAOOJQHBDMDEQY-UHFFFAOYSA-N 0 0 442.498 -0.389 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CC[C@@H](NC(=O)NC)C3)cc2)CC1 ZINC000468066063 1074559296 /nfs/dbraw/zinc/55/92/96/1074559296.db2.gz UEYDOLPNNFSJTO-GOSISDBHSA-N 0 0 444.536 -0.138 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CC[C@H](NC(=O)NC)C3)cc2)CC1 ZINC000468066064 1074559224 /nfs/dbraw/zinc/55/92/24/1074559224.db2.gz UEYDOLPNNFSJTO-SFHVURJKSA-N 0 0 444.536 -0.138 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)C1 ZINC000468066439 1074559473 /nfs/dbraw/zinc/55/94/73/1074559473.db2.gz WJJHSFHDWDAVRF-GFCCVEGCSA-N 0 0 439.494 -0.396 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)C1 ZINC000468066440 1074559327 /nfs/dbraw/zinc/55/93/27/1074559327.db2.gz WJJHSFHDWDAVRF-LBPRGKRZSA-N 0 0 439.494 -0.396 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000468066557 1074559507 /nfs/dbraw/zinc/55/95/07/1074559507.db2.gz XUUHNHPMVQILBZ-KRWDZBQOSA-N 0 0 446.508 -0.783 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000468066558 1074559335 /nfs/dbraw/zinc/55/93/35/1074559335.db2.gz XUUHNHPMVQILBZ-QGZVFWFLSA-N 0 0 446.508 -0.783 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000468066575 1074559248 /nfs/dbraw/zinc/55/92/48/1074559248.db2.gz XZSQBLHIULSTIJ-CYBMUJFWSA-N 0 0 427.483 -0.920 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000468066576 1074559468 /nfs/dbraw/zinc/55/94/68/1074559468.db2.gz XZSQBLHIULSTIJ-ZDUSSCGKSA-N 0 0 427.483 -0.920 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)C1 ZINC000468067283 1074559366 /nfs/dbraw/zinc/55/93/66/1074559366.db2.gz CVOVKFOJQFEFEL-UHFFFAOYSA-N 0 0 437.522 -0.844 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCCOC)cc2)C1 ZINC000468067995 1074559851 /nfs/dbraw/zinc/55/98/51/1074559851.db2.gz IAADNTQINNSASO-AWEZNQCLSA-N 0 0 426.495 -0.035 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCCOC)cc2)C1 ZINC000468067996 1074559862 /nfs/dbraw/zinc/55/98/62/1074559862.db2.gz IAADNTQINNSASO-CQSZACIVSA-N 0 0 426.495 -0.035 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000468068517 1074559820 /nfs/dbraw/zinc/55/98/20/1074559820.db2.gz KZOOUMRNRBLEOR-LLVKDONJSA-N 0 0 427.483 -0.586 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000468068518 1074559837 /nfs/dbraw/zinc/55/98/37/1074559837.db2.gz KZOOUMRNRBLEOR-NSHDSACASA-N 0 0 427.483 -0.586 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000468069170 1074559876 /nfs/dbraw/zinc/55/98/76/1074559876.db2.gz OMWYHUNGTVHZTP-KRWDZBQOSA-N 0 0 430.509 -0.529 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000468069176 1074559828 /nfs/dbraw/zinc/55/98/28/1074559828.db2.gz OMWYHUNGTVHZTP-QGZVFWFLSA-N 0 0 430.509 -0.529 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC)C2)cc1OC ZINC000468072008 1074559859 /nfs/dbraw/zinc/55/98/59/1074559859.db2.gz ULZQHZLDTDBYNL-GFCCVEGCSA-N 0 0 427.483 -0.075 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC)C2)cc1OC ZINC000468072009 1074559789 /nfs/dbraw/zinc/55/97/89/1074559789.db2.gz ULZQHZLDTDBYNL-LBPRGKRZSA-N 0 0 427.483 -0.075 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000468072317 1074559885 /nfs/dbraw/zinc/55/98/85/1074559885.db2.gz YKQKHNYOUFCDTP-PBHICJAKSA-N 0 0 445.476 -0.831 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000468072318 1074559866 /nfs/dbraw/zinc/55/98/66/1074559866.db2.gz YKQKHNYOUFCDTP-RHSMWYFYSA-N 0 0 445.476 -0.831 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000468072319 1074559889 /nfs/dbraw/zinc/55/98/89/1074559889.db2.gz YKQKHNYOUFCDTP-WMLDXEAASA-N 0 0 445.476 -0.831 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000468072320 1074559783 /nfs/dbraw/zinc/55/97/83/1074559783.db2.gz YKQKHNYOUFCDTP-YOEHRIQHSA-N 0 0 445.476 -0.831 20 0 IBADRN CS(=O)(=O)CCCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468073680 1074559848 /nfs/dbraw/zinc/55/98/48/1074559848.db2.gz DAHBYFBATUIOJQ-UHFFFAOYSA-N 0 0 433.508 -0.435 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000468073701 1074559814 /nfs/dbraw/zinc/55/98/14/1074559814.db2.gz DIMBGHAHBRTBNJ-LLVKDONJSA-N 0 0 426.451 -0.655 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000468073702 1074559864 /nfs/dbraw/zinc/55/98/64/1074559864.db2.gz DIMBGHAHBRTBNJ-NSHDSACASA-N 0 0 426.451 -0.655 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000468074491 1074559842 /nfs/dbraw/zinc/55/98/42/1074559842.db2.gz ILDHBLAIOSSQLB-INIZCTEOSA-N 0 0 432.481 -0.574 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000468074492 1074559882 /nfs/dbraw/zinc/55/98/82/1074559882.db2.gz ILDHBLAIOSSQLB-MRXNPFEDSA-N 0 0 432.481 -0.574 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC(C)C)C1 ZINC000468075113 1074560286 /nfs/dbraw/zinc/56/02/86/1074560286.db2.gz WWYLSPUBRKQTSE-UHFFFAOYSA-N 0 0 426.495 -0.133 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)C1 ZINC000468075215 1074560256 /nfs/dbraw/zinc/56/02/56/1074560256.db2.gz NDHPAFDDWBMNAN-GOSISDBHSA-N 0 0 430.509 -0.181 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)C1 ZINC000468075216 1074560167 /nfs/dbraw/zinc/56/01/67/1074560167.db2.gz NDHPAFDDWBMNAN-SFHVURJKSA-N 0 0 430.509 -0.181 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468075666 1074560293 /nfs/dbraw/zinc/56/02/93/1074560293.db2.gz QFNFDLHSFBLJSW-UHFFFAOYSA-N 0 0 442.519 -0.376 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C(=O)OC)C1 ZINC000468075830 1074560213 /nfs/dbraw/zinc/56/02/13/1074560213.db2.gz SCCITKJXCPCZJD-CYBMUJFWSA-N 0 0 433.465 -0.222 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C(=O)OC)C1 ZINC000468075831 1074560134 /nfs/dbraw/zinc/56/01/34/1074560134.db2.gz SCCITKJXCPCZJD-ZDUSSCGKSA-N 0 0 433.465 -0.222 20 0 IBADRN O=C(Cn1cc(Cl)c(=O)[nH]c1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468076396 1074560185 /nfs/dbraw/zinc/56/01/85/1074560185.db2.gz ZZSXRKBYWNGHFI-UHFFFAOYSA-N 0 0 442.881 -0.060 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)C1 ZINC000468077117 1074560225 /nfs/dbraw/zinc/56/02/25/1074560225.db2.gz CNOBJUCKDIVNCE-AWEZNQCLSA-N 0 0 433.465 -0.179 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)C1 ZINC000468077118 1074560245 /nfs/dbraw/zinc/56/02/45/1074560245.db2.gz CNOBJUCKDIVNCE-CQSZACIVSA-N 0 0 433.465 -0.179 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2ccc(CN3CCCC3=O)cc2)c[nH]1 ZINC000468077262 1074560266 /nfs/dbraw/zinc/56/02/66/1074560266.db2.gz DIOILQCZYOHIHU-UHFFFAOYSA-N 0 0 433.490 -0.169 20 0 IBADRN O=C(NCC(F)(F)CO)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000468078160 1074560218 /nfs/dbraw/zinc/56/02/18/1074560218.db2.gz HQINSZRRSJDPME-UHFFFAOYSA-N 0 0 425.385 -0.471 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC)C2)cc(S(C)(=O)=O)c1 ZINC000468078342 1074560146 /nfs/dbraw/zinc/56/01/46/1074560146.db2.gz HHFVVZNTTJBALV-GFCCVEGCSA-N 0 0 440.478 -0.265 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC)C2)cc(S(C)(=O)=O)c1 ZINC000468078343 1074560239 /nfs/dbraw/zinc/56/02/39/1074560239.db2.gz HHFVVZNTTJBALV-LBPRGKRZSA-N 0 0 440.478 -0.265 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2cccc(C(=O)N3CCCC[C@H]3C(=O)OC)c2)C1 ZINC000468079504 1074560202 /nfs/dbraw/zinc/56/02/02/1074560202.db2.gz NEZKQNGUEXGALT-INIZCTEOSA-N 0 0 430.461 -0.003 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2cccc(C(=O)N3CCCC[C@@H]3C(=O)OC)c2)C1 ZINC000468079513 1074560277 /nfs/dbraw/zinc/56/02/77/1074560277.db2.gz NEZKQNGUEXGALT-MRXNPFEDSA-N 0 0 430.461 -0.003 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(F)(F)CO)c2)CC1 ZINC000468079671 1074560096 /nfs/dbraw/zinc/56/00/96/1074560096.db2.gz MFIFPUMCTUQNBN-UHFFFAOYSA-N 0 0 434.465 -0.305 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCCN3C(=O)CNC3=O)C2)cc1 ZINC000468081482 1074560250 /nfs/dbraw/zinc/56/02/50/1074560250.db2.gz XRIOHJKWLXFOEM-UHFFFAOYSA-N 0 0 425.467 -0.691 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)cc2)C1 ZINC000468081771 1074560273 /nfs/dbraw/zinc/56/02/73/1074560273.db2.gz VINORMFHFGMTOX-BETUJISGSA-N 0 0 438.506 -0.373 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)cc2)C1 ZINC000468081772 1074560157 /nfs/dbraw/zinc/56/01/57/1074560157.db2.gz VINORMFHFGMTOX-CHWSQXEVSA-N 0 0 438.506 -0.373 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)cc2)C1 ZINC000468081773 1074560676 /nfs/dbraw/zinc/56/06/76/1074560676.db2.gz VINORMFHFGMTOX-STQMWFEESA-N 0 0 438.506 -0.373 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000468082566 1074560615 /nfs/dbraw/zinc/56/06/15/1074560615.db2.gz JCKRVNGZXPKHJO-UHFFFAOYSA-N 0 0 430.483 -0.041 20 0 IBADRN COc1ccc2c(c1)[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)CCO2 ZINC000468082742 1074560655 /nfs/dbraw/zinc/56/06/55/1074560655.db2.gz KNDRRRHKNZWAEG-INIZCTEOSA-N 0 0 443.460 0.329 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N4CCCCC4)ccc32)C1 ZINC000468083248 1074560747 /nfs/dbraw/zinc/56/07/47/1074560747.db2.gz SIHHWPTTYUQPTO-UHFFFAOYSA-N 0 0 434.518 -0.045 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000468083754 1074560796 /nfs/dbraw/zinc/56/07/96/1074560796.db2.gz BBTLIGLHZOZCCL-GFCCVEGCSA-N 0 0 427.458 -0.265 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000468083755 1074560664 /nfs/dbraw/zinc/56/06/64/1074560664.db2.gz BBTLIGLHZOZCCL-LBPRGKRZSA-N 0 0 427.458 -0.265 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000468084614 1074560776 /nfs/dbraw/zinc/56/07/76/1074560776.db2.gz FFXMMIYUTQLXOP-UHFFFAOYSA-N 0 0 426.520 -0.039 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC)C2)cc1 ZINC000468085351 1074560702 /nfs/dbraw/zinc/56/07/02/1074560702.db2.gz IXAMKZRMRNWALG-DOMZBBRYSA-N 0 0 433.465 -0.164 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC)C2)cc1 ZINC000468085354 1074560641 /nfs/dbraw/zinc/56/06/41/1074560641.db2.gz IXAMKZRMRNWALG-IUODEOHRSA-N 0 0 433.465 -0.164 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC)C2)cc1 ZINC000468085355 1074560722 /nfs/dbraw/zinc/56/07/22/1074560722.db2.gz IXAMKZRMRNWALG-SWLSCSKDSA-N 0 0 433.465 -0.164 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC)C2)cc1 ZINC000468085356 1074560742 /nfs/dbraw/zinc/56/07/42/1074560742.db2.gz IXAMKZRMRNWALG-WFASDCNBSA-N 0 0 433.465 -0.164 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000468085715 1074561119 /nfs/dbraw/zinc/56/11/19/1074561119.db2.gz KOHRXUBETFMUAU-UHFFFAOYSA-N 0 0 428.492 -0.054 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000468086121 1074560727 /nfs/dbraw/zinc/56/07/27/1074560727.db2.gz JJZIOHZCTBXCNF-INIZCTEOSA-N 0 0 434.474 -0.203 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000468086122 1074560604 /nfs/dbraw/zinc/56/06/04/1074560604.db2.gz JJZIOHZCTBXCNF-MRXNPFEDSA-N 0 0 434.474 -0.203 20 0 IBADRN O=C(NCCNc1cnccn1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468086169 1074560769 /nfs/dbraw/zinc/56/07/69/1074560769.db2.gz FIQBOHZMDWGFHA-UHFFFAOYSA-N 0 0 434.478 0.032 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000468086429 1074560735 /nfs/dbraw/zinc/56/07/35/1074560735.db2.gz NGGJXUJNBPEGAW-GFCCVEGCSA-N 0 0 440.526 -0.529 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000468086430 1074560629 /nfs/dbraw/zinc/56/06/29/1074560629.db2.gz NGGJXUJNBPEGAW-LBPRGKRZSA-N 0 0 440.526 -0.529 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)C1 ZINC000468086708 1074561097 /nfs/dbraw/zinc/56/10/97/1074561097.db2.gz MOGOJGVGDMHKGW-DOMZBBRYSA-N 0 0 428.453 -0.054 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)C1 ZINC000468086709 1074561111 /nfs/dbraw/zinc/56/11/11/1074561111.db2.gz MOGOJGVGDMHKGW-IUODEOHRSA-N 0 0 428.453 -0.054 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)C1 ZINC000468086710 1074561088 /nfs/dbraw/zinc/56/10/88/1074561088.db2.gz MOGOJGVGDMHKGW-SWLSCSKDSA-N 0 0 428.453 -0.054 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)C1 ZINC000468086711 1074561187 /nfs/dbraw/zinc/56/11/87/1074561187.db2.gz MOGOJGVGDMHKGW-WFASDCNBSA-N 0 0 428.453 -0.054 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000468087455 1074561066 /nfs/dbraw/zinc/56/10/66/1074561066.db2.gz PALZEUNNKVHABW-CYBMUJFWSA-N 0 0 439.494 -0.396 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000468087456 1074561093 /nfs/dbraw/zinc/56/10/93/1074561093.db2.gz PALZEUNNKVHABW-ZDUSSCGKSA-N 0 0 439.494 -0.396 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)C1 ZINC000468088822 1074561005 /nfs/dbraw/zinc/56/10/05/1074561005.db2.gz UFHDZYCXYYSFJV-GFCCVEGCSA-N 0 0 434.478 -0.256 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)C1 ZINC000468088823 1074561042 /nfs/dbraw/zinc/56/10/42/1074561042.db2.gz UFHDZYCXYYSFJV-LBPRGKRZSA-N 0 0 434.478 -0.256 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC)C2)cc1S(=O)(=O)N(C)C ZINC000468089775 1074561027 /nfs/dbraw/zinc/56/10/27/1074561027.db2.gz XGFREZWBCZYCHS-CYBMUJFWSA-N 0 0 441.510 -0.196 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC)C2)cc1S(=O)(=O)N(C)C ZINC000468089777 1074561150 /nfs/dbraw/zinc/56/11/50/1074561150.db2.gz XGFREZWBCZYCHS-ZDUSSCGKSA-N 0 0 441.510 -0.196 20 0 IBADRN CCN(C1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1)S(C)(=O)=O ZINC000468092009 1074561129 /nfs/dbraw/zinc/56/11/29/1074561129.db2.gz NSJSOWPFFKIMGF-GOSISDBHSA-N 0 0 444.554 -0.126 20 0 IBADRN CCN(C1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1)S(C)(=O)=O ZINC000468092010 1074561034 /nfs/dbraw/zinc/56/10/34/1074561034.db2.gz NSJSOWPFFKIMGF-SFHVURJKSA-N 0 0 444.554 -0.126 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)C2)cc1 ZINC000468093762 1074561079 /nfs/dbraw/zinc/56/10/79/1074561079.db2.gz KRAZVNKTAFYBMT-CYBMUJFWSA-N 0 0 446.551 -0.209 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)C2)cc1 ZINC000468093763 1074561662 /nfs/dbraw/zinc/56/16/62/1074561662.db2.gz KRAZVNKTAFYBMT-ZDUSSCGKSA-N 0 0 446.551 -0.209 20 0 IBADRN COCCN(C(=O)Cn1ccnc1S(C)(=O)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000468097629 1071000723 /nfs/dbraw/zinc/00/07/23/1071000723.db2.gz KLFPGPGTCKCFSH-UHFFFAOYSA-N 0 0 442.498 -0.533 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCN3CCCS3(=O)=O)C2)cc1 ZINC000468098946 1074561559 /nfs/dbraw/zinc/56/15/59/1074561559.db2.gz CQLJQZZKYJZGQY-UHFFFAOYSA-N 0 0 432.524 -0.597 20 0 IBADRN CN(C)C(=O)CCCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468099212 1074561615 /nfs/dbraw/zinc/56/16/15/1074561615.db2.gz RUBMSJVNHICXSO-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468101899 1074561476 /nfs/dbraw/zinc/56/14/76/1074561476.db2.gz KZALYZXISDUFML-UHFFFAOYSA-N 0 0 428.492 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCS(=O)(=O)NC(C)C)C2)cc1 ZINC000468102350 1074561587 /nfs/dbraw/zinc/56/15/87/1074561587.db2.gz OROQZSLPHHUWGR-UHFFFAOYSA-N 0 0 434.540 -0.305 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)cc2)CC1 ZINC000468104004 1074561488 /nfs/dbraw/zinc/56/14/88/1074561488.db2.gz NIPNHALCMBPYDF-UHFFFAOYSA-N 0 0 448.505 -0.582 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)cc2)CC1 ZINC000468105360 1074561631 /nfs/dbraw/zinc/56/16/31/1074561631.db2.gz YBXGMZBBXFBLKW-UHFFFAOYSA-N 0 0 449.489 -0.317 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)s1 ZINC000468109364 1071012472 /nfs/dbraw/zinc/01/24/72/1071012472.db2.gz JUJDOIFYRBSZGA-KBPBESRZSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)s1 ZINC000468109365 1074561577 /nfs/dbraw/zinc/56/15/77/1074561577.db2.gz JUJDOIFYRBSZGA-KGLIPLIRSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)s1 ZINC000468109367 1074561530 /nfs/dbraw/zinc/56/15/30/1074561530.db2.gz JUJDOIFYRBSZGA-UONOGXRCSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)s1 ZINC000468109369 1074561466 /nfs/dbraw/zinc/56/14/66/1074561466.db2.gz JUJDOIFYRBSZGA-ZIAGYGMSSA-N 0 0 428.536 -0.068 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000468111177 1074561439 /nfs/dbraw/zinc/56/14/39/1074561439.db2.gz SLFPOMUCBWGTGQ-GOSISDBHSA-N 0 0 444.554 -0.126 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000468111178 1074561428 /nfs/dbraw/zinc/56/14/28/1074561428.db2.gz SLFPOMUCBWGTGQ-SFHVURJKSA-N 0 0 444.554 -0.126 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(CCc3cccs3)CC2)c[nH]1 ZINC000468116383 1074561509 /nfs/dbraw/zinc/56/15/09/1074561509.db2.gz FRCNXAXBAHYCQT-UHFFFAOYSA-N 0 0 425.536 -0.160 20 0 IBADRN O=C(CN1CCN(Cc2cccc(Cl)c2)C(=O)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000468117453 1074561443 /nfs/dbraw/zinc/56/14/43/1074561443.db2.gz QRLWBFHMVYXPBJ-HNNXBMFYSA-N 0 0 442.925 -0.003 20 0 IBADRN O=C(CN1CCN(Cc2cccc(Cl)c2)C(=O)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000468117454 1074561623 /nfs/dbraw/zinc/56/16/23/1074561623.db2.gz QRLWBFHMVYXPBJ-OAHLLOKOSA-N 0 0 442.925 -0.003 20 0 IBADRN Cc1c(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cccc1C(=O)N1CCOCC1 ZINC000468120365 1074561651 /nfs/dbraw/zinc/56/16/51/1074561651.db2.gz JZWNVDSKIVHVQC-UHFFFAOYSA-N 0 0 449.489 -0.189 20 0 IBADRN COc1ccc(-n2ccc(CNC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)n2)cc1 ZINC000468124558 1074561598 /nfs/dbraw/zinc/56/15/98/1074561598.db2.gz BGTGWHXHAQVLLA-UHFFFAOYSA-N 0 0 432.462 -0.097 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N[C@@H]1CCCS(=O)(=O)C1 ZINC000468127375 1074561608 /nfs/dbraw/zinc/56/16/08/1074561608.db2.gz AQPVBAGOFJVVHQ-GFCCVEGCSA-N 0 0 445.519 -0.293 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N[C@H]1CCCS(=O)(=O)C1 ZINC000468127379 1074561565 /nfs/dbraw/zinc/56/15/65/1074561565.db2.gz AQPVBAGOFJVVHQ-LBPRGKRZSA-N 0 0 445.519 -0.293 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468129305 1071024907 /nfs/dbraw/zinc/02/49/07/1071024907.db2.gz BNLBPBGGPQHNLU-UHFFFAOYSA-N 0 0 426.495 -0.098 20 0 IBADRN Cc1ccc(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(OCC(=O)N(C)C)c1 ZINC000468129826 1074561988 /nfs/dbraw/zinc/56/19/88/1074561988.db2.gz CXRONULNUALADO-UHFFFAOYSA-N 0 0 437.478 -0.194 20 0 IBADRN NC(=O)c1cc(CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)on1 ZINC000468130043 1074561547 /nfs/dbraw/zinc/56/15/47/1074561547.db2.gz DOOXQLXQECXBCG-UHFFFAOYSA-N 0 0 437.434 -0.583 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)c2ccc(Cl)cc2)o1 ZINC000468130101 1074561959 /nfs/dbraw/zinc/56/19/59/1074561959.db2.gz NGMSISLTUULLPV-UHFFFAOYSA-N 0 0 449.894 -0.100 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)c2ccc(Cl)cc2)c[nH]1 ZINC000468130881 1074561953 /nfs/dbraw/zinc/56/19/53/1074561953.db2.gz GYACMFZTHRCJOO-UHFFFAOYSA-N 0 0 448.910 -0.365 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@H]3CS(=O)(=O)CCO3)C2)cc1 ZINC000468131268 1074561996 /nfs/dbraw/zinc/56/19/96/1074561996.db2.gz IMPFHTWOONUPNJ-AWEZNQCLSA-N 0 0 433.508 -0.819 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@@H]3CS(=O)(=O)CCO3)C2)cc1 ZINC000468131269 1074562080 /nfs/dbraw/zinc/56/20/80/1074562080.db2.gz IMPFHTWOONUPNJ-CQSZACIVSA-N 0 0 433.508 -0.819 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)nn1 ZINC000468132108 1074562014 /nfs/dbraw/zinc/56/20/14/1074562014.db2.gz MFKCITMKMKFOBC-UHFFFAOYSA-N 0 0 438.466 -0.668 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468132296 1074561979 /nfs/dbraw/zinc/56/19/79/1074561979.db2.gz VIBMVWDXOZHCGU-AWEZNQCLSA-N 0 0 445.519 -0.293 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468132297 1074562031 /nfs/dbraw/zinc/56/20/31/1074562031.db2.gz VIBMVWDXOZHCGU-CQSZACIVSA-N 0 0 445.519 -0.293 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)C2)cc1 ZINC000468132624 1074562049 /nfs/dbraw/zinc/56/20/49/1074562049.db2.gz WKRVWMKXERHOBY-CYBMUJFWSA-N 0 0 446.551 -0.351 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)C2)cc1 ZINC000468132625 1074561972 /nfs/dbraw/zinc/56/19/72/1074561972.db2.gz WKRVWMKXERHOBY-ZDUSSCGKSA-N 0 0 446.551 -0.351 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)O1 ZINC000468133158 1074562037 /nfs/dbraw/zinc/56/20/37/1074562037.db2.gz XPGNSLLNGAXUJX-DOMZBBRYSA-N 0 0 440.478 -0.837 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)O1 ZINC000468133159 1074562044 /nfs/dbraw/zinc/56/20/44/1074562044.db2.gz XPGNSLLNGAXUJX-IUODEOHRSA-N 0 0 440.478 -0.837 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)O1 ZINC000468133160 1074562076 /nfs/dbraw/zinc/56/20/76/1074562076.db2.gz XPGNSLLNGAXUJX-SWLSCSKDSA-N 0 0 440.478 -0.837 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)O1 ZINC000468133161 1074562085 /nfs/dbraw/zinc/56/20/85/1074562085.db2.gz XPGNSLLNGAXUJX-WFASDCNBSA-N 0 0 440.478 -0.837 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000468133365 1074562068 /nfs/dbraw/zinc/56/20/68/1074562068.db2.gz QUKPDJWFZNGGRU-INIZCTEOSA-N 0 0 425.507 -0.053 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000468133372 1074562061 /nfs/dbraw/zinc/56/20/61/1074562061.db2.gz QUKPDJWFZNGGRU-MRXNPFEDSA-N 0 0 425.507 -0.053 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccccc1N1CCOCC1 ZINC000468133773 1074562071 /nfs/dbraw/zinc/56/20/71/1074562071.db2.gz ZNMXKSKRKYDSNN-UHFFFAOYSA-N 0 0 426.539 -0.027 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC(=O)N[C@@H]3CCCC[C@@H]32)CC1 ZINC000468137972 1074562009 /nfs/dbraw/zinc/56/20/09/1074562009.db2.gz IKVUMFGAVMPFRP-CVEARBPZSA-N 0 0 439.538 -0.133 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC(=O)N[C@H]3CCCC[C@@H]32)CC1 ZINC000468137973 1074562091 /nfs/dbraw/zinc/56/20/91/1074562091.db2.gz IKVUMFGAVMPFRP-HOTGVXAUSA-N 0 0 439.538 -0.133 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC(=O)N[C@@H]3CCCC[C@H]32)CC1 ZINC000468137974 1074562055 /nfs/dbraw/zinc/56/20/55/1074562055.db2.gz IKVUMFGAVMPFRP-HZPDHXFCSA-N 0 0 439.538 -0.133 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC(=O)N[C@H]3CCCC[C@H]32)CC1 ZINC000468137975 1074562336 /nfs/dbraw/zinc/56/23/36/1074562336.db2.gz IKVUMFGAVMPFRP-JKSUJKDBSA-N 0 0 439.538 -0.133 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(C(=O)NCc3ccccc3)CC2)c[nH]1 ZINC000468137976 1074562509 /nfs/dbraw/zinc/56/25/09/1074562509.db2.gz IKWVOTPFENPMRS-UHFFFAOYSA-N 0 0 447.517 -0.053 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(CCOc3ccccc3)CC2)o1 ZINC000468140084 1074562407 /nfs/dbraw/zinc/56/24/07/1074562407.db2.gz KQSVKLRXHCGHBD-UHFFFAOYSA-N 0 0 436.490 -0.120 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1C ZINC000468140888 1071032811 /nfs/dbraw/zinc/03/28/11/1071032811.db2.gz WEMHCYJNIUAFHF-UHFFFAOYSA-N 0 0 434.540 -0.277 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000468141544 1074562472 /nfs/dbraw/zinc/56/24/72/1074562472.db2.gz GOBDNIISZQFDIG-UHFFFAOYSA-N 0 0 430.552 -0.133 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(CCOc3ccccc3)CC2)c[nH]1 ZINC000468141685 1074562392 /nfs/dbraw/zinc/56/23/92/1074562392.db2.gz IJDNLAMTLAZIHY-UHFFFAOYSA-N 0 0 435.506 -0.385 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)c3cccs3)CC2)o1 ZINC000468142809 1074562529 /nfs/dbraw/zinc/56/25/29/1074562529.db2.gz ZTAWUIRTAPCLKC-UHFFFAOYSA-N 0 0 426.476 -0.297 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1)N1CCOCC1 ZINC000468144469 1074562518 /nfs/dbraw/zinc/56/25/18/1074562518.db2.gz APTHOWPMDYLWSY-GOSISDBHSA-N 0 0 428.489 -0.492 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1)N1CCOCC1 ZINC000468144470 1074562458 /nfs/dbraw/zinc/56/24/58/1074562458.db2.gz APTHOWPMDYLWSY-SFHVURJKSA-N 0 0 428.489 -0.492 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2)cc1 ZINC000468144532 1074562499 /nfs/dbraw/zinc/56/24/99/1074562499.db2.gz NFJJQEJYVDPMDK-CQSZACIVSA-N 0 0 446.551 -0.209 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000468144936 1074562384 /nfs/dbraw/zinc/56/23/84/1074562384.db2.gz CREUJWQLTNMNSA-KRWDZBQOSA-N 0 0 443.504 -0.415 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000468144938 1074562488 /nfs/dbraw/zinc/56/24/88/1074562488.db2.gz CREUJWQLTNMNSA-QGZVFWFLSA-N 0 0 443.504 -0.415 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000468146974 1074562454 /nfs/dbraw/zinc/56/24/54/1074562454.db2.gz WVEFMKUADILAGR-GOSISDBHSA-N 0 0 449.533 -0.110 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000468146975 1074562485 /nfs/dbraw/zinc/56/24/85/1074562485.db2.gz WVEFMKUADILAGR-SFHVURJKSA-N 0 0 449.533 -0.110 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468147168 1074562366 /nfs/dbraw/zinc/56/23/66/1074562366.db2.gz CKVVMVWEEZNKFZ-KRWDZBQOSA-N 0 0 429.477 -0.147 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468147169 1074562377 /nfs/dbraw/zinc/56/23/77/1074562377.db2.gz CKVVMVWEEZNKFZ-QGZVFWFLSA-N 0 0 429.477 -0.147 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3cccs3)CC2)c[nH]1 ZINC000468148046 1074562352 /nfs/dbraw/zinc/56/23/52/1074562352.db2.gz YNNUDTSFTRVGPP-UHFFFAOYSA-N 0 0 425.492 -0.562 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000468154463 1074562790 /nfs/dbraw/zinc/56/27/90/1074562790.db2.gz VDQDVIGDKRDHPP-UHFFFAOYSA-N 0 0 427.527 -0.682 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@@H]1CN(c2ccc(F)cc2)C1=O ZINC000468172770 1074562873 /nfs/dbraw/zinc/56/28/73/1074562873.db2.gz CBJLVFUPJWUPJN-CYBMUJFWSA-N 0 0 434.405 -0.087 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@H]1CN(c2ccc(F)cc2)C1=O ZINC000468172771 1074562907 /nfs/dbraw/zinc/56/29/07/1074562907.db2.gz CBJLVFUPJWUPJN-ZDUSSCGKSA-N 0 0 434.405 -0.087 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)c1=O ZINC000468174364 1074562862 /nfs/dbraw/zinc/56/28/62/1074562862.db2.gz QJMFXYHLTHFRJS-UHFFFAOYSA-N 0 0 441.535 -0.567 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)c1=O ZINC000468174444 1074562917 /nfs/dbraw/zinc/56/29/17/1074562917.db2.gz RMJXFLUXDRWVNI-CYBMUJFWSA-N 0 0 426.520 -0.032 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)c1=O ZINC000468174545 1074562891 /nfs/dbraw/zinc/56/28/91/1074562891.db2.gz RMJXFLUXDRWVNI-ZDUSSCGKSA-N 0 0 426.520 -0.032 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(F)c(NS(C)(=O)=O)c1 ZINC000468174732 1074562925 /nfs/dbraw/zinc/56/29/25/1074562925.db2.gz QIDGLFHKNBWCIL-UHFFFAOYSA-N 0 0 438.503 -0.030 20 0 IBADRN COC(=O)c1cc(NC(=O)Nc2cnn(CC(=O)NCCO)c2)cc(S(C)(=O)=O)c1 ZINC000468175113 1074562931 /nfs/dbraw/zinc/56/29/31/1074562931.db2.gz SLRMVGBBWJFISA-UHFFFAOYSA-N 0 0 439.450 -0.174 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000468178089 1074562938 /nfs/dbraw/zinc/56/29/38/1074562938.db2.gz MSQYKCYVGLVVKA-GFCCVEGCSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000468178090 1074562952 /nfs/dbraw/zinc/56/29/52/1074562952.db2.gz MSQYKCYVGLVVKA-LBPRGKRZSA-N 0 0 444.535 -0.773 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)c1=O ZINC000468180277 1074562881 /nfs/dbraw/zinc/56/28/81/1074562881.db2.gz WUKDOOKOUQUXTJ-UHFFFAOYSA-N 0 0 442.519 -0.551 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000468180485 1074562946 /nfs/dbraw/zinc/56/29/46/1074562946.db2.gz RKKYMBMBGMPVBH-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCNS(=O)(=O)c2ccccc2)c1 ZINC000468180830 1074562913 /nfs/dbraw/zinc/56/29/13/1074562913.db2.gz UDXCZGBREGQAOS-UHFFFAOYSA-N 0 0 430.508 -0.352 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)Nc2ccccc2C(=O)N2CCOCC2)c[nH]1 ZINC000468181591 1074562801 /nfs/dbraw/zinc/56/28/01/1074562801.db2.gz BGEYNFJYFFFHHI-UHFFFAOYSA-N 0 0 435.462 -0.497 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NCCN1CCNC(=O)C1 ZINC000468181937 1074563174 /nfs/dbraw/zinc/56/31/74/1074563174.db2.gz FNJKBNWGDWCFAD-UHFFFAOYSA-N 0 0 448.549 -0.383 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)c1=O ZINC000468184641 1074563157 /nfs/dbraw/zinc/56/31/57/1074563157.db2.gz XEUXUTVQEFJUET-AWEZNQCLSA-N 0 0 428.445 -0.122 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)c1=O ZINC000468184642 1074563186 /nfs/dbraw/zinc/56/31/86/1074563186.db2.gz XEUXUTVQEFJUET-CQSZACIVSA-N 0 0 428.445 -0.122 20 0 IBADRN CCN(CC(=O)Nc1ccccc1OC)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000468186413 1074563230 /nfs/dbraw/zinc/56/32/30/1074563230.db2.gz ABRBQIAOJXWLIR-UHFFFAOYSA-N 0 0 437.478 -0.112 20 0 IBADRN COC(=O)c1cc(NC(=O)CNS(=O)(=O)c2cn(C)cn2)cc(S(C)(=O)=O)c1 ZINC000468192304 1074563210 /nfs/dbraw/zinc/56/32/10/1074563210.db2.gz OEDRWUWSGAKCSB-UHFFFAOYSA-N 0 0 430.464 -0.473 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000468192340 1074563145 /nfs/dbraw/zinc/56/31/45/1074563145.db2.gz OWMGPZJZOXUKAX-UHFFFAOYSA-N 0 0 441.510 -0.076 20 0 IBADRN COC(=O)c1cc(NC(=O)CNS(=O)(=O)c2cnn(C)c2)cc(S(C)(=O)=O)c1 ZINC000468193028 1074563163 /nfs/dbraw/zinc/56/31/63/1074563163.db2.gz TXKHQIFYZGRRRQ-UHFFFAOYSA-N 0 0 430.464 -0.473 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000468194237 1074563191 /nfs/dbraw/zinc/56/31/91/1074563191.db2.gz CGUNWUYUIFKGBN-UHFFFAOYSA-N 0 0 445.542 -0.809 20 0 IBADRN O=C(NCCc1nnc2n1CCC2)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468207342 1074563252 /nfs/dbraw/zinc/56/32/52/1074563252.db2.gz VIDANXMJWSQENS-UHFFFAOYSA-N 0 0 448.505 -0.090 20 0 IBADRN NC(=O)c1ccc(CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1 ZINC000468208520 1074563217 /nfs/dbraw/zinc/56/32/17/1074563217.db2.gz INJHXHRWTGOMIU-UHFFFAOYSA-N 0 0 447.473 -0.176 20 0 IBADRN COC(=O)c1cc(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc(S(C)(=O)=O)c1 ZINC000468211874 1074563610 /nfs/dbraw/zinc/56/36/10/1074563610.db2.gz RGZPAQBDXXKUOQ-UHFFFAOYSA-N 0 0 448.457 -0.133 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000468215589 1074563687 /nfs/dbraw/zinc/56/36/87/1074563687.db2.gz NISCYGADOAOGIG-GFCCVEGCSA-N 0 0 436.490 -0.107 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000468215590 1074563709 /nfs/dbraw/zinc/56/37/09/1074563709.db2.gz NISCYGADOAOGIG-LBPRGKRZSA-N 0 0 436.490 -0.107 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)N1C(=O)c2ccccc2C1=O ZINC000468215877 1074563663 /nfs/dbraw/zinc/56/36/63/1074563663.db2.gz PMOLCAFMHFYCIC-DLBZAZTESA-N 0 0 442.472 -0.234 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)N1C(=O)c2ccccc2C1=O ZINC000468215878 1074563784 /nfs/dbraw/zinc/56/37/84/1074563784.db2.gz PMOLCAFMHFYCIC-IAGOWNOFSA-N 0 0 442.472 -0.234 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)N1C(=O)c2ccccc2C1=O ZINC000468215879 1074563601 /nfs/dbraw/zinc/56/36/01/1074563601.db2.gz PMOLCAFMHFYCIC-IRXDYDNUSA-N 0 0 442.472 -0.234 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)N1C(=O)c2ccccc2C1=O ZINC000468215880 1074563745 /nfs/dbraw/zinc/56/37/45/1074563745.db2.gz PMOLCAFMHFYCIC-SJORKVTESA-N 0 0 442.472 -0.234 20 0 IBADRN COC(=O)c1cc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(S(C)(=O)=O)c1 ZINC000468219925 1074563576 /nfs/dbraw/zinc/56/35/76/1074563576.db2.gz VIDYORQUURQYKL-UHFFFAOYSA-N 0 0 449.445 -0.738 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(C)C)CC2)s1 ZINC000468221043 1074563757 /nfs/dbraw/zinc/56/37/57/1074563757.db2.gz KTDXFLBTEKZEOF-UHFFFAOYSA-N 0 0 430.552 -0.011 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000468221277 1074563750 /nfs/dbraw/zinc/56/37/50/1074563750.db2.gz MPMSWMVZIADMQI-GFCCVEGCSA-N 0 0 434.540 -0.655 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000468221278 1074563592 /nfs/dbraw/zinc/56/35/92/1074563592.db2.gz MPMSWMVZIADMQI-LBPRGKRZSA-N 0 0 434.540 -0.655 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3=O)CC2)c1 ZINC000468224165 1074563790 /nfs/dbraw/zinc/56/37/90/1074563790.db2.gz JPFZACWUGNNNTO-UHFFFAOYSA-N 0 0 427.479 -0.605 20 0 IBADRN COC(=O)c1cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc(S(C)(=O)=O)c1 ZINC000468226682 1074563763 /nfs/dbraw/zinc/56/37/63/1074563763.db2.gz DZDWLGAIURZYGF-UHFFFAOYSA-N 0 0 449.445 -0.738 20 0 IBADRN COC(=O)c1cc(NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc(S(C)(=O)=O)c1 ZINC000468227942 1074563774 /nfs/dbraw/zinc/56/37/74/1074563774.db2.gz SCTHWRLHQZLSGO-UHFFFAOYSA-N 0 0 444.491 -0.164 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3nccnc3C(N)=O)CC2)cc1 ZINC000468231248 1074563673 /nfs/dbraw/zinc/56/36/73/1074563673.db2.gz VXODFZOROVYWNE-UHFFFAOYSA-N 0 0 447.473 -0.101 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccc(N2CCOCC2)nc1 ZINC000468233089 1074563699 /nfs/dbraw/zinc/56/36/99/1074563699.db2.gz DEEDWONFKARDPW-UHFFFAOYSA-N 0 0 427.527 -0.632 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000468235050 1074564132 /nfs/dbraw/zinc/56/41/32/1074564132.db2.gz QGZLRRIGDJYWQB-UHFFFAOYSA-N 0 0 436.450 -0.863 20 0 IBADRN CO[C@@]1(CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCOC1 ZINC000468240517 1074564141 /nfs/dbraw/zinc/56/41/41/1074564141.db2.gz GSULABMRMLKNLZ-GOSISDBHSA-N 0 0 427.479 -0.065 20 0 IBADRN CO[C@]1(CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCOC1 ZINC000468240518 1074564176 /nfs/dbraw/zinc/56/41/76/1074564176.db2.gz GSULABMRMLKNLZ-SFHVURJKSA-N 0 0 427.479 -0.065 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cccc(NS(C)(=O)=O)c1 ZINC000468243013 1074564159 /nfs/dbraw/zinc/56/41/59/1074564159.db2.gz XEHCCGQRHVSTGI-UHFFFAOYSA-N 0 0 434.540 -0.492 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)OC ZINC000468244347 1074564109 /nfs/dbraw/zinc/56/41/09/1074564109.db2.gz IZTHKZWACGOQCA-CABCVRRESA-N 0 0 432.433 -0.243 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)OC ZINC000468244349 1074564151 /nfs/dbraw/zinc/56/41/51/1074564151.db2.gz IZTHKZWACGOQCA-GJZGRUSLSA-N 0 0 432.433 -0.243 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)OC ZINC000468244351 1074564102 /nfs/dbraw/zinc/56/41/02/1074564102.db2.gz IZTHKZWACGOQCA-HUUCEWRRSA-N 0 0 432.433 -0.243 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)OC ZINC000468244353 1074564185 /nfs/dbraw/zinc/56/41/85/1074564185.db2.gz IZTHKZWACGOQCA-LSDHHAIUSA-N 0 0 432.433 -0.243 20 0 IBADRN Cc1cc(F)cc(-n2cc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)nn2)c1 ZINC000468244712 1074564124 /nfs/dbraw/zinc/56/41/24/1074564124.db2.gz KXTPJPCCLHQETF-UHFFFAOYSA-N 0 0 430.440 -0.142 20 0 IBADRN CCc1n[nH]c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1Br ZINC000468245984 1074564089 /nfs/dbraw/zinc/56/40/89/1074564089.db2.gz SDTBIPWVSYEXBG-UHFFFAOYSA-N 0 0 428.287 -0.122 20 0 IBADRN CCC[C@@H](CCCS(C)(=O)=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000468246476 1074564166 /nfs/dbraw/zinc/56/41/66/1074564166.db2.gz YCYHYJRSVXVEIH-INIZCTEOSA-N 0 0 431.555 -0.243 20 0 IBADRN CCC[C@H](CCCS(C)(=O)=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000468246477 1074564119 /nfs/dbraw/zinc/56/41/19/1074564119.db2.gz YCYHYJRSVXVEIH-MRXNPFEDSA-N 0 0 431.555 -0.243 20 0 IBADRN CCOC(=O)C(NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)OCC ZINC000468246820 1074564171 /nfs/dbraw/zinc/56/41/71/1074564171.db2.gz KPINSDFLAHPUDI-LLVKDONJSA-N 0 0 441.462 -0.702 20 0 IBADRN CCOC(=O)C(NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)OCC ZINC000468246821 1074564180 /nfs/dbraw/zinc/56/41/80/1074564180.db2.gz KPINSDFLAHPUDI-NSHDSACASA-N 0 0 441.462 -0.702 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)c[nH]1 ZINC000468247037 1074564064 /nfs/dbraw/zinc/56/40/64/1074564064.db2.gz MTCIGDYSWDIKFD-UHFFFAOYSA-N 0 0 448.505 -0.825 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c1 ZINC000468247059 1074564075 /nfs/dbraw/zinc/56/40/75/1074564075.db2.gz NATWCXUGBCYIGD-UHFFFAOYSA-N 0 0 448.509 -0.422 20 0 IBADRN CCC[C@@H](CCCS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000468254187 1074564546 /nfs/dbraw/zinc/56/45/46/1074564546.db2.gz RYJGDUMLDJLVOH-INIZCTEOSA-N 0 0 439.600 -0.051 20 0 IBADRN CCC[C@H](CCCS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000468254188 1074564589 /nfs/dbraw/zinc/56/45/89/1074564589.db2.gz RYJGDUMLDJLVOH-MRXNPFEDSA-N 0 0 439.600 -0.051 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)o1 ZINC000468254469 1074564456 /nfs/dbraw/zinc/56/44/56/1074564456.db2.gz IJENFWDGESKWOL-UHFFFAOYSA-N 0 0 442.475 -0.165 20 0 IBADRN Cc1nnc(CN(C)CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)n1C ZINC000468254474 1074564442 /nfs/dbraw/zinc/56/44/42/1074564442.db2.gz ILLSCVHVBPVJDD-UHFFFAOYSA-N 0 0 442.567 -0.046 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000468254883 1074564488 /nfs/dbraw/zinc/56/44/88/1074564488.db2.gz LDFIPRWUPUYUEZ-UHFFFAOYSA-N 0 0 430.508 -0.284 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000468256108 1074564423 /nfs/dbraw/zinc/56/44/23/1074564423.db2.gz UWCYOPYFFMBFLV-UHFFFAOYSA-N 0 0 446.551 -0.001 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)C1CCN(c2cccnn2)CC1 ZINC000468256549 1074564581 /nfs/dbraw/zinc/56/45/81/1074564581.db2.gz YEATWSDMVDTIGT-UHFFFAOYSA-N 0 0 426.543 -0.262 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)c1 ZINC000468257303 1074564534 /nfs/dbraw/zinc/56/45/34/1074564534.db2.gz OYNXEKZMBAHPKN-GOSISDBHSA-N 0 0 449.533 -0.076 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)c1 ZINC000468257304 1074564857 /nfs/dbraw/zinc/56/48/57/1074564857.db2.gz OYNXEKZMBAHPKN-SFHVURJKSA-N 0 0 449.533 -0.076 20 0 IBADRN CN(C)C(=O)CC(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000468257557 1074564472 /nfs/dbraw/zinc/56/44/72/1074564472.db2.gz SIIHUZBXNNFJJS-UHFFFAOYSA-N 0 0 444.897 -0.018 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000468259007 1074564892 /nfs/dbraw/zinc/56/48/92/1074564892.db2.gz FLSMKRMAWVHGIT-IBGZPJMESA-N 0 0 426.539 -0.136 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000468259008 1074564966 /nfs/dbraw/zinc/56/49/66/1074564966.db2.gz FLSMKRMAWVHGIT-LJQANCHMSA-N 0 0 426.539 -0.136 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CN3CCc4ccccc4C3=O)CC2)CC1 ZINC000468259590 1074564834 /nfs/dbraw/zinc/56/48/34/1074564834.db2.gz KPZYKRYJYSTWIS-UHFFFAOYSA-N 0 0 435.550 -0.679 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCOCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000468259942 1074565019 /nfs/dbraw/zinc/56/50/19/1074565019.db2.gz MEVWOZVEHSJLRD-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3ccc4ccccc4c3=O)CC2)CC1 ZINC000468260728 1074565034 /nfs/dbraw/zinc/56/50/34/1074565034.db2.gz RFXOLOIKBFFWTN-UHFFFAOYSA-N 0 0 433.534 -0.362 20 0 IBADRN CCc1n[nH]c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1Br ZINC000468260980 1074564984 /nfs/dbraw/zinc/56/49/84/1074564984.db2.gz RXNZAJWAGQRPQG-UHFFFAOYSA-N 0 0 449.375 -0.015 20 0 IBADRN COc1ccccc1N(C)S(=O)(=O)c1ccc(C)c(C(=O)NC(C(N)=O)C(N)=O)c1 ZINC000468261070 1074564818 /nfs/dbraw/zinc/56/48/18/1074564818.db2.gz NBGHHTYKVFQFTP-UHFFFAOYSA-N 0 0 434.474 -0.102 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C1CCN(c2cccnn2)CC1 ZINC000468262108 1074565048 /nfs/dbraw/zinc/56/50/48/1074565048.db2.gz OYSKCQDKIMYWKY-UHFFFAOYSA-N 0 0 426.481 -0.259 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnnn3-c3cccc(F)c3)CC2)CC1 ZINC000468262291 1074565012 /nfs/dbraw/zinc/56/50/12/1074565012.db2.gz ZUQZSTMQAGALGH-UHFFFAOYSA-N 0 0 437.501 -0.344 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000468262839 1074564919 /nfs/dbraw/zinc/56/49/19/1074564919.db2.gz SYJOVMUCJGRSGL-UHFFFAOYSA-N 0 0 438.506 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CN2C(=O)NC(=O)C2(C)C)CC1 ZINC000468264378 1074564846 /nfs/dbraw/zinc/56/48/46/1074564846.db2.gz JLJPVPRYRXPXLO-UHFFFAOYSA-N 0 0 427.483 -0.155 20 0 IBADRN COCCCN(C(=O)CN1CCOCC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000468265331 1074565042 /nfs/dbraw/zinc/56/50/42/1074565042.db2.gz DOJXTBYPEMTESE-UHFFFAOYSA-N 0 0 445.476 -0.192 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@@](C)(O)Cn2ccc(C)cc2=O)c1 ZINC000468266538 1074564975 /nfs/dbraw/zinc/56/49/75/1074564975.db2.gz PHBFGNDQMWDQFX-IBGZPJMESA-N 0 0 437.474 -0.002 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@](C)(O)Cn2ccc(C)cc2=O)c1 ZINC000468266539 1074564955 /nfs/dbraw/zinc/56/49/55/1074564955.db2.gz PHBFGNDQMWDQFX-LJQANCHMSA-N 0 0 437.474 -0.002 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c(=O)c1 ZINC000468266872 1074565460 /nfs/dbraw/zinc/56/54/60/1074565460.db2.gz UKPRCQFPXVNQQB-JOCHJYFZSA-N 0 0 426.473 -0.204 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c(=O)c1 ZINC000468266873 1074565366 /nfs/dbraw/zinc/56/53/66/1074565366.db2.gz UKPRCQFPXVNQQB-QFIPXVFZSA-N 0 0 426.473 -0.204 20 0 IBADRN O=C(CN1CCOCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000468268151 1074565467 /nfs/dbraw/zinc/56/54/67/1074565467.db2.gz ORIOAVGTJMEXQV-UHFFFAOYSA-N 0 0 425.463 -0.851 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000468268650 1071125368 /nfs/dbraw/zinc/12/53/68/1071125368.db2.gz CLOJMKOWUKVCAB-GOSISDBHSA-N 0 0 441.531 -0.002 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000468268651 1074565453 /nfs/dbraw/zinc/56/54/53/1074565453.db2.gz CLOJMKOWUKVCAB-SFHVURJKSA-N 0 0 441.531 -0.002 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2=O)CC1 ZINC000468270339 1074565419 /nfs/dbraw/zinc/56/54/19/1074565419.db2.gz MGAGGYVDEUIMBY-UHFFFAOYSA-N 0 0 443.478 -0.625 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000468271004 1074565489 /nfs/dbraw/zinc/56/54/89/1074565489.db2.gz SIZRZLMKLCLAJJ-IBGZPJMESA-N 0 0 434.474 -0.642 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000468271005 1074565345 /nfs/dbraw/zinc/56/53/45/1074565345.db2.gz SIZRZLMKLCLAJJ-LJQANCHMSA-N 0 0 434.474 -0.642 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c(=O)c1 ZINC000468271276 1074565324 /nfs/dbraw/zinc/56/53/24/1074565324.db2.gz VVAMSAFNDJZVBB-FQEVSTJZSA-N 0 0 448.501 -0.333 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c(=O)c1 ZINC000468271277 1074565482 /nfs/dbraw/zinc/56/54/82/1074565482.db2.gz VVAMSAFNDJZVBB-HXUWFJFHSA-N 0 0 448.501 -0.333 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c(=O)c1 ZINC000468271629 1074565382 /nfs/dbraw/zinc/56/53/82/1074565382.db2.gz YHZBGDDYNLGFCQ-FQEVSTJZSA-N 0 0 434.518 -0.096 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c(=O)c1 ZINC000468271630 1074565495 /nfs/dbraw/zinc/56/54/95/1074565495.db2.gz YHZBGDDYNLGFCQ-HXUWFJFHSA-N 0 0 434.518 -0.096 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3=O)CC2)cc1 ZINC000468272083 1074565397 /nfs/dbraw/zinc/56/53/97/1074565397.db2.gz SLARJVIIHAMZCG-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000468272691 1074565497 /nfs/dbraw/zinc/56/54/97/1074565497.db2.gz NZXYFOQCWRAHGC-FQEVSTJZSA-N 0 0 449.533 -0.738 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000468272692 1074565430 /nfs/dbraw/zinc/56/54/30/1074565430.db2.gz NZXYFOQCWRAHGC-HXUWFJFHSA-N 0 0 449.533 -0.738 20 0 IBADRN O=C(NC1CCCC1)N1CCC[C@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000468274105 1074565309 /nfs/dbraw/zinc/56/53/09/1074565309.db2.gz HPMDTGKAACRHIM-KRWDZBQOSA-N 0 0 449.552 -0.120 20 0 IBADRN O=C(NC1CCCC1)N1CCC[C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000468274106 1074565447 /nfs/dbraw/zinc/56/54/47/1074565447.db2.gz HPMDTGKAACRHIM-QGZVFWFLSA-N 0 0 449.552 -0.120 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468274998 1074565843 /nfs/dbraw/zinc/56/58/43/1074565843.db2.gz TYZIWXGBORFPSN-FQEVSTJZSA-N 0 0 435.502 -0.063 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468274999 1074565760 /nfs/dbraw/zinc/56/57/60/1074565760.db2.gz TYZIWXGBORFPSN-HXUWFJFHSA-N 0 0 435.502 -0.063 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)Cn2ncn3nccc3c2=O)C1=O ZINC000468275392 1074565777 /nfs/dbraw/zinc/56/57/77/1074565777.db2.gz YWFGHVPEHBKEHO-BBRMVZONSA-N 0 0 432.481 -0.026 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)Cn2ncn3nccc3c2=O)C1=O ZINC000468275393 1074565700 /nfs/dbraw/zinc/56/57/00/1074565700.db2.gz YWFGHVPEHBKEHO-CJNGLKHVSA-N 0 0 432.481 -0.026 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)Cn2ncn3nccc3c2=O)C1=O ZINC000468275394 1074565810 /nfs/dbraw/zinc/56/58/10/1074565810.db2.gz YWFGHVPEHBKEHO-CZUORRHYSA-N 0 0 432.481 -0.026 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)Cn2ncn3nccc3c2=O)C1=O ZINC000468275395 1074565714 /nfs/dbraw/zinc/56/57/14/1074565714.db2.gz YWFGHVPEHBKEHO-XJKSGUPXSA-N 0 0 432.481 -0.026 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CC(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000468280310 1074565911 /nfs/dbraw/zinc/56/59/11/1074565911.db2.gz IVTFNKFVKGADGE-UHFFFAOYSA-N 0 0 434.603 -0.414 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@](C)(O)Cn3ccccc3=O)CC2)C[C@H](C)O1 ZINC000468280841 1074565785 /nfs/dbraw/zinc/56/57/85/1074565785.db2.gz KZCWCKFHWRGXJP-FCEWJHQRSA-N 0 0 442.538 -0.903 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@](C)(O)Cn3ccccc3=O)CC2)C[C@@H](C)O1 ZINC000468280849 1074565890 /nfs/dbraw/zinc/56/58/90/1074565890.db2.gz KZCWCKFHWRGXJP-GPMSIDNRSA-N 0 0 442.538 -0.903 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@](C)(O)Cn3ccccc3=O)CC2)C[C@H](C)O1 ZINC000468280850 1074565684 /nfs/dbraw/zinc/56/56/84/1074565684.db2.gz KZCWCKFHWRGXJP-JTDSTZFVSA-N 0 0 442.538 -0.903 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@](C)(O)Cn3ccccc3=O)CC2)C[C@@H](C)O1 ZINC000468280851 1074565754 /nfs/dbraw/zinc/56/57/54/1074565754.db2.gz KZCWCKFHWRGXJP-MDZRGWNJSA-N 0 0 442.538 -0.903 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CC[S@](=O)c2ccc3c(c2)OCCO3)CC1 ZINC000468281538 1074565818 /nfs/dbraw/zinc/56/58/18/1074565818.db2.gz NVCAGZUUIGBZNX-PMERELPUSA-N 0 0 439.534 -0.138 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CC[S@@](=O)c2ccc3c(c2)OCCO3)CC1 ZINC000468281544 1074565768 /nfs/dbraw/zinc/56/57/68/1074565768.db2.gz NVCAGZUUIGBZNX-SSEXGKCCSA-N 0 0 439.534 -0.138 20 0 IBADRN CCc1cc(=O)[nH]c(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000468281961 1074565721 /nfs/dbraw/zinc/56/57/21/1074565721.db2.gz NTEIEJSLFNQGBA-UHFFFAOYSA-N 0 0 441.535 -0.102 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)CC1 ZINC000468283682 1074565900 /nfs/dbraw/zinc/56/59/00/1074565900.db2.gz ZIQPAAGDKUUPJI-UHFFFAOYSA-N 0 0 449.552 -0.490 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000468285497 1074566244 /nfs/dbraw/zinc/56/62/44/1074566244.db2.gz KIISGQBOOHFDMI-UHFFFAOYSA-N 0 0 440.566 -0.031 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3cn4ccccc4n3)CC2)c[nH]1 ZINC000468286923 1074566186 /nfs/dbraw/zinc/56/61/86/1074566186.db2.gz ONKLNDJZOGVGPD-UHFFFAOYSA-N 0 0 445.505 -0.616 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN3CCOCC3=O)cc2)CC1 ZINC000468287117 1074566274 /nfs/dbraw/zinc/56/62/74/1074566274.db2.gz IVSWWYPTPDQUHV-UHFFFAOYSA-N 0 0 432.521 -0.253 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(Cc3cn4ccccc4n3)CC2)o1 ZINC000468287565 1074566319 /nfs/dbraw/zinc/56/63/19/1074566319.db2.gz QZBJTNUSCJFGSM-UHFFFAOYSA-N 0 0 446.489 -0.351 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CCN(S(C)(=O)=O)CC2)CCCC1 ZINC000468288486 1074566332 /nfs/dbraw/zinc/56/63/32/1074566332.db2.gz JXKFNGSVZCFJRU-UHFFFAOYSA-N 0 0 434.540 -0.014 20 0 IBADRN CN(Cc1ccccc1N1CCOCC1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000468290512 1074566313 /nfs/dbraw/zinc/56/63/13/1074566313.db2.gz OKLAHXBETPPWTC-UHFFFAOYSA-N 0 0 435.506 -0.113 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@](C)(O)Cn2ccc(C)cc2=O)c1 ZINC000468290521 1074566368 /nfs/dbraw/zinc/56/63/68/1074566368.db2.gz SBKDGNYVYRZLEY-IBGZPJMESA-N 0 0 436.490 -0.429 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@](C)(O)Cn2ccc(C)cc2=O)c1 ZINC000468290524 1074566268 /nfs/dbraw/zinc/56/62/68/1074566268.db2.gz SBKDGNYVYRZLEY-LJQANCHMSA-N 0 0 436.490 -0.429 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2ccc(CN3CCOCC3)cc2)c[nH]1 ZINC000468292302 1074566290 /nfs/dbraw/zinc/56/62/90/1074566290.db2.gz QVWUILKFEJNYPU-UHFFFAOYSA-N 0 0 435.506 -0.460 20 0 IBADRN CC[C@H](CNC(=O)[C@](C)(O)Cn1ccccc1=O)NC(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000468293408 1074566355 /nfs/dbraw/zinc/56/63/55/1074566355.db2.gz YFDMZUQYZQMWFG-HVETUWLQSA-N 0 0 446.504 -0.777 20 0 IBADRN CC[C@H](CNC(=O)[C@](C)(O)Cn1ccccc1=O)NC(=O)[C@](C)(O)Cn1ccccc1=O ZINC000468293409 1074566230 /nfs/dbraw/zinc/56/62/30/1074566230.db2.gz YFDMZUQYZQMWFG-NPFVIJTESA-N 0 0 446.504 -0.777 20 0 IBADRN CC[C@H](CNC(=O)[C@@](C)(O)Cn1ccccc1=O)NC(=O)[C@](C)(O)Cn1ccccc1=O ZINC000468293410 1074566825 /nfs/dbraw/zinc/56/68/25/1074566825.db2.gz YFDMZUQYZQMWFG-URZJWIJPSA-N 0 0 446.504 -0.777 20 0 IBADRN CC[C@H](CNC(=O)[C@@](C)(O)Cn1ccccc1=O)NC(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000468293411 1074566765 /nfs/dbraw/zinc/56/67/65/1074566765.db2.gz YFDMZUQYZQMWFG-XGRCMKMKSA-N 0 0 446.504 -0.777 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCc2ccc(CN3CCOCC3)cc2)o1 ZINC000468293459 1074566707 /nfs/dbraw/zinc/56/67/07/1074566707.db2.gz YTXNKROZMNJXBT-UHFFFAOYSA-N 0 0 436.490 -0.195 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@@](C)(O)Cn2ccccc2=O)CC1 ZINC000468294948 1074566737 /nfs/dbraw/zinc/56/67/37/1074566737.db2.gz MFZUEUXNTDFBTR-FQEVSTJZSA-N 0 0 434.518 -0.144 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@](C)(O)Cn2ccccc2=O)CC1 ZINC000468294949 1074566647 /nfs/dbraw/zinc/56/66/47/1074566647.db2.gz MFZUEUXNTDFBTR-HXUWFJFHSA-N 0 0 434.518 -0.144 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@](C)(O)Cn3ccccc3=O)CC2)cs1 ZINC000468295196 1074566847 /nfs/dbraw/zinc/56/68/47/1074566847.db2.gz OHRNLHFFBKHWEL-KRWDZBQOSA-N 0 0 426.520 -0.103 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@](C)(O)Cn3ccccc3=O)CC2)cs1 ZINC000468295197 1074566632 /nfs/dbraw/zinc/56/66/32/1074566632.db2.gz OHRNLHFFBKHWEL-QGZVFWFLSA-N 0 0 426.520 -0.103 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c(=O)c1 ZINC000468297654 1074566801 /nfs/dbraw/zinc/56/68/01/1074566801.db2.gz LASXJPZMPRSRJJ-NRFANRHFSA-N 0 0 428.493 -0.447 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c(=O)c1 ZINC000468297655 1074566840 /nfs/dbraw/zinc/56/68/40/1074566840.db2.gz LASXJPZMPRSRJJ-OAQYLSRUSA-N 0 0 428.493 -0.447 20 0 IBADRN NC(=O)c1nccnc1C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000468301157 1074567159 /nfs/dbraw/zinc/56/71/59/1074567159.db2.gz AREADYSHXPIMQV-UHFFFAOYSA-N 0 0 431.434 -0.696 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NCCNc2cnccn2)[C@H]1C(=O)NCCNc1cnccn1 ZINC000468305659 1074567284 /nfs/dbraw/zinc/56/72/84/1074567284.db2.gz FQVAWRINSULLPY-ALKREAHSSA-N 0 0 440.508 -0.902 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NCCNc2cnccn2)[C@@H]1C(=O)NCCNc1cnccn1 ZINC000468305660 1074567293 /nfs/dbraw/zinc/56/72/93/1074567293.db2.gz FQVAWRINSULLPY-IDHHARJASA-N 0 0 440.508 -0.902 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NCCNc2cnccn2)[C@H]1C(=O)NCCNc1cnccn1 ZINC000468305661 1074567228 /nfs/dbraw/zinc/56/72/28/1074567228.db2.gz FQVAWRINSULLPY-OGWOLHLISA-N 0 0 440.508 -0.902 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NCCNc2cnccn2)[C@@H]1C(=O)NCCNc1cnccn1 ZINC000468305662 1074567258 /nfs/dbraw/zinc/56/72/58/1074567258.db2.gz FQVAWRINSULLPY-SIXWZSSISA-N 0 0 440.508 -0.902 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@H](c2cccs2)N2CCOCC2)c[nH]1 ZINC000468306695 1074567246 /nfs/dbraw/zinc/56/72/46/1074567246.db2.gz NZFYHGKPRBIZPY-AWEZNQCLSA-N 0 0 441.535 -0.357 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@H](c2cccs2)N2CCOCC2)c[nH]1 ZINC000468306696 1074567201 /nfs/dbraw/zinc/56/72/01/1074567201.db2.gz NZFYHGKPRBIZPY-CQSZACIVSA-N 0 0 441.535 -0.357 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)CN2CCS(=O)(=O)CC2)C1=O ZINC000468306706 1074567251 /nfs/dbraw/zinc/56/72/51/1074567251.db2.gz OCFANIBWKUPOCJ-GDBMZVCRSA-N 0 0 431.555 -0.200 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)CN2CCS(=O)(=O)CC2)C1=O ZINC000468306707 1074567237 /nfs/dbraw/zinc/56/72/37/1074567237.db2.gz OCFANIBWKUPOCJ-GOEBONIOSA-N 0 0 431.555 -0.200 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)CN2CCS(=O)(=O)CC2)C1=O ZINC000468306708 1074567093 /nfs/dbraw/zinc/56/70/93/1074567093.db2.gz OCFANIBWKUPOCJ-HOCLYGCPSA-N 0 0 431.555 -0.200 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)CN2CCS(=O)(=O)CC2)C1=O ZINC000468306709 1074567277 /nfs/dbraw/zinc/56/72/77/1074567277.db2.gz OCFANIBWKUPOCJ-ZBFHGGJFSA-N 0 0 431.555 -0.200 20 0 IBADRN CN(C(=O)[C@](C)(O)Cn1ccccc1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000468306927 1074567792 /nfs/dbraw/zinc/56/77/92/1074567792.db2.gz GSXAVOJYILTLTM-JOCHJYFZSA-N 0 0 433.553 -0.704 20 0 IBADRN CN(C(=O)[C@@](C)(O)Cn1ccccc1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000468306928 1074567662 /nfs/dbraw/zinc/56/76/62/1074567662.db2.gz GSXAVOJYILTLTM-QFIPXVFZSA-N 0 0 433.553 -0.704 20 0 IBADRN O=C(CN1CCOCC1=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000468307292 1074567264 /nfs/dbraw/zinc/56/72/64/1074567264.db2.gz HFKPKWUQWNCUQW-GOSISDBHSA-N 0 0 428.489 -0.247 20 0 IBADRN O=C(CN1CCOCC1=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000468307293 1074567170 /nfs/dbraw/zinc/56/71/70/1074567170.db2.gz HFKPKWUQWNCUQW-SFHVURJKSA-N 0 0 428.489 -0.247 20 0 IBADRN NS(=O)(=O)c1ccc(CCNc2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)cc1 ZINC000468307352 1074567724 /nfs/dbraw/zinc/56/77/24/1074567724.db2.gz IIWGCUHSLMMLBM-UHFFFAOYSA-N 0 0 438.531 0.254 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000468309173 1074567686 /nfs/dbraw/zinc/56/76/86/1074567686.db2.gz MJHAVQBEXFEAFV-INIZCTEOSA-N 0 0 435.506 -0.360 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000468309174 1074567718 /nfs/dbraw/zinc/56/77/18/1074567718.db2.gz MJHAVQBEXFEAFV-MRXNPFEDSA-N 0 0 435.506 -0.360 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3=O)CC2)c1 ZINC000468309554 1074567618 /nfs/dbraw/zinc/56/76/18/1074567618.db2.gz ORQJBTAUFZWRQN-UHFFFAOYSA-N 0 0 431.492 -0.774 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)c(=O)c1 ZINC000468310069 1074567819 /nfs/dbraw/zinc/56/78/19/1074567819.db2.gz QQGSFJWAVZRREM-HSZRJFAPSA-N 0 0 447.580 -0.395 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)c(=O)c1 ZINC000468310070 1074567587 /nfs/dbraw/zinc/56/75/87/1074567587.db2.gz QQGSFJWAVZRREM-QHCPKHFHSA-N 0 0 447.580 -0.395 20 0 IBADRN O=C(CN1CCOCC1=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000468310911 1074567655 /nfs/dbraw/zinc/56/76/55/1074567655.db2.gz QQIIHZISUALCBS-UHFFFAOYSA-N 0 0 439.490 -0.460 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)c3nccnc3C(N)=O)CC2)c1 ZINC000468311377 1074567743 /nfs/dbraw/zinc/56/77/43/1074567743.db2.gz UQNUTLVAKLTTOP-UHFFFAOYSA-N 0 0 439.475 -0.430 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@](C)(O)Cn2ccccc2=O)c1 ZINC000468311406 1074567704 /nfs/dbraw/zinc/56/77/04/1074567704.db2.gz UUKANFZCCHJZFX-BFUOFWGJSA-N 0 0 436.490 -0.349 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@](C)(O)Cn2ccccc2=O)c1 ZINC000468311407 1074567574 /nfs/dbraw/zinc/56/75/74/1074567574.db2.gz UUKANFZCCHJZFX-DJJJIMSYSA-N 0 0 436.490 -0.349 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@](C)(O)Cn2ccccc2=O)c1 ZINC000468311409 1074567770 /nfs/dbraw/zinc/56/77/70/1074567770.db2.gz UUKANFZCCHJZFX-ORAYPTAESA-N 0 0 436.490 -0.349 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@@](C)(O)Cn2ccccc2=O)c1 ZINC000468311411 1074567711 /nfs/dbraw/zinc/56/77/11/1074567711.db2.gz UUKANFZCCHJZFX-YJYMSZOUSA-N 0 0 436.490 -0.349 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2nnc3ccc(C(F)(F)F)cn32)c[nH]1 ZINC000468312442 1074567695 /nfs/dbraw/zinc/56/76/95/1074567695.db2.gz VBSQQQRYFRIRCF-UHFFFAOYSA-N 0 0 445.383 -0.230 20 0 IBADRN O=C(c1cc(N2CCNC(=O)C2)ccn1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000468314642 1074567605 /nfs/dbraw/zinc/56/76/05/1074567605.db2.gz DABKCUDCWXUBHW-UHFFFAOYSA-N 0 0 430.490 -0.441 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1ccc(CN(C(=O)CN2CCOCC2=O)C2CC2)cc1 ZINC000468315846 1074567641 /nfs/dbraw/zinc/56/76/41/1074567641.db2.gz CTSOUHFXXAFSFH-UHFFFAOYSA-N 0 0 444.488 -0.166 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCOCC1=O ZINC000468316159 1074567753 /nfs/dbraw/zinc/56/77/53/1074567753.db2.gz DWKLTVAOSOMNLG-UHFFFAOYSA-N 0 0 426.495 -0.063 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)[C@@H]1C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000468317905 1074567776 /nfs/dbraw/zinc/56/77/76/1074567776.db2.gz FEQAUHXWGAFFCK-ILVCIKCMSA-N 0 0 444.532 -0.023 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)[C@H]1C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000468317906 1074568139 /nfs/dbraw/zinc/56/81/39/1074568139.db2.gz FEQAUHXWGAFFCK-OQWBLYDWSA-N 0 0 444.532 -0.023 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)[C@H]1C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000468317907 1074568202 /nfs/dbraw/zinc/56/82/02/1074568202.db2.gz FEQAUHXWGAFFCK-RFNNKZKBSA-N 0 0 444.532 -0.023 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)[C@@H]1C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000468317908 1074568282 /nfs/dbraw/zinc/56/82/82/1074568282.db2.gz FEQAUHXWGAFFCK-RZKXCGKSSA-N 0 0 444.532 -0.023 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1cc(NC(=O)CN2CCOCC2=O)c(F)cc1F ZINC000468324971 1074568289 /nfs/dbraw/zinc/56/82/89/1074568289.db2.gz CEEIOWQZGVUKBA-UHFFFAOYSA-N 0 0 426.376 -0.441 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)CN3CCOCC3=O)CC2)cc1OC ZINC000468326078 1074568694 /nfs/dbraw/zinc/56/86/94/1074568694.db2.gz KOGLQDZPUZPUID-UHFFFAOYSA-N 0 0 441.506 -0.168 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)c(=O)c1 ZINC000468326151 1074568253 /nfs/dbraw/zinc/56/82/53/1074568253.db2.gz GTJRPOBLJRXSDU-HSZRJFAPSA-N 0 0 448.564 -0.015 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)c(=O)c1 ZINC000468326152 1074568188 /nfs/dbraw/zinc/56/81/88/1074568188.db2.gz GTJRPOBLJRXSDU-QHCPKHFHSA-N 0 0 448.564 -0.015 20 0 IBADRN COC[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@H]2C(=O)N2CCO[C@H](COC)C2)CCO1 ZINC000468328320 1074568674 /nfs/dbraw/zinc/56/86/74/1074568674.db2.gz UXEOVJDDNIXFEH-GPFWEFOESA-N 0 0 426.510 -0.035 20 0 IBADRN COC[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2CCO[C@H](COC)C2)CCO1 ZINC000468328321 1074568600 /nfs/dbraw/zinc/56/86/00/1074568600.db2.gz UXEOVJDDNIXFEH-HZZCBIDNSA-N 0 0 426.510 -0.035 20 0 IBADRN COC[C@H]1CN(C(=O)[C@@H]2[C@H](C(=O)N3CCO[C@H](COC)C3)CC(=O)C[C@@H]2C)CCO1 ZINC000468328322 1074568623 /nfs/dbraw/zinc/56/86/23/1074568623.db2.gz UXEOVJDDNIXFEH-NLNMYJFZSA-N 0 0 426.510 -0.035 20 0 IBADRN COC[C@H]1CN(C(=O)[C@H]2[C@H](C(=O)N3CCO[C@H](COC)C3)CC(=O)C[C@@H]2C)CCO1 ZINC000468328323 1074568709 /nfs/dbraw/zinc/56/87/09/1074568709.db2.gz UXEOVJDDNIXFEH-UKNAQKAOSA-N 0 0 426.510 -0.035 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c(=O)c1 ZINC000468330870 1074568504 /nfs/dbraw/zinc/56/85/04/1074568504.db2.gz HYRLWJNBZQXXMJ-IBGZPJMESA-N 0 0 437.522 -0.389 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c(=O)c1 ZINC000468330871 1074568719 /nfs/dbraw/zinc/56/87/19/1074568719.db2.gz HYRLWJNBZQXXMJ-LJQANCHMSA-N 0 0 437.522 -0.389 20 0 IBADRN CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)N2CCC(O)(C[NH+]3CCOCC3)CC2)c1 ZINC000468332582 1074568574 /nfs/dbraw/zinc/56/85/74/1074568574.db2.gz SMPKDQLKEIDQKN-UHFFFAOYSA-N 0 0 427.523 -0.058 20 0 IBADRN C[C@@H](CN(C(=O)CN1CCOCC1=O)c1ccccc1)NC(=O)CN1CCOCC1=O ZINC000468335323 1074568704 /nfs/dbraw/zinc/56/87/04/1074568704.db2.gz FQVANMDYWKVUHK-INIZCTEOSA-N 0 0 432.477 -0.758 20 0 IBADRN C[C@H](CN(C(=O)CN1CCOCC1=O)c1ccccc1)NC(=O)CN1CCOCC1=O ZINC000468335324 1074568613 /nfs/dbraw/zinc/56/86/13/1074568613.db2.gz FQVANMDYWKVUHK-MRXNPFEDSA-N 0 0 432.477 -0.758 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)[C@@](C)(O)Cn2ccccc2=O)CC1 ZINC000468335653 1074568561 /nfs/dbraw/zinc/56/85/61/1074568561.db2.gz IGCRFKKNLAASMN-NRFANRHFSA-N 0 0 448.545 -0.148 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)[C@](C)(O)Cn2ccccc2=O)CC1 ZINC000468335654 1074568700 /nfs/dbraw/zinc/56/87/00/1074568700.db2.gz IGCRFKKNLAASMN-OAQYLSRUSA-N 0 0 448.545 -0.148 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000468337806 1074569083 /nfs/dbraw/zinc/56/90/83/1074569083.db2.gz GRQUXFOSKSVPNI-NRFANRHFSA-N 0 0 428.493 -0.425 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000468337807 1074569066 /nfs/dbraw/zinc/56/90/66/1074569066.db2.gz GRQUXFOSKSVPNI-OAQYLSRUSA-N 0 0 428.493 -0.425 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c(=O)c1 ZINC000468339123 1074568983 /nfs/dbraw/zinc/56/89/83/1074568983.db2.gz KVZGOCKUYQQXJY-JOCHJYFZSA-N 0 0 442.520 -0.117 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c(=O)c1 ZINC000468339129 1074569019 /nfs/dbraw/zinc/56/90/19/1074569019.db2.gz KVZGOCKUYQQXJY-QFIPXVFZSA-N 0 0 442.520 -0.117 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)cn1 ZINC000468342125 1074569160 /nfs/dbraw/zinc/56/91/60/1074569160.db2.gz PWIDGUZGWFTKKZ-INIZCTEOSA-N 0 0 436.490 -0.372 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)cn1 ZINC000468342126 1074569038 /nfs/dbraw/zinc/56/90/38/1074569038.db2.gz PWIDGUZGWFTKKZ-MRXNPFEDSA-N 0 0 436.490 -0.372 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NC[C@@H](C)S(N)(=O)=O ZINC000468346102 1074569146 /nfs/dbraw/zinc/56/91/46/1074569146.db2.gz MRGRBCVCLDWHBE-LLVKDONJSA-N 0 0 443.551 -0.138 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NC[C@H](C)S(N)(=O)=O ZINC000468346103 1074569165 /nfs/dbraw/zinc/56/91/65/1074569165.db2.gz MRGRBCVCLDWHBE-NSHDSACASA-N 0 0 443.551 -0.138 20 0 IBADRN O=C(CN1CCOCC1=O)N1CCN(C(=O)CN2CCOCC2=O)C2(CCCCC2)C1 ZINC000468346429 1074569119 /nfs/dbraw/zinc/56/91/19/1074569119.db2.gz BFZQLTNGCZLFNS-UHFFFAOYSA-N 0 0 436.509 -0.922 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000468348124 1074569127 /nfs/dbraw/zinc/56/91/27/1074569127.db2.gz KRBZAPZDIBXILL-NRFANRHFSA-N 0 0 447.513 -0.013 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000468348125 1074569415 /nfs/dbraw/zinc/56/94/15/1074569415.db2.gz KRBZAPZDIBXILL-OAQYLSRUSA-N 0 0 447.513 -0.013 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCOCC1=O ZINC000468349308 1074569429 /nfs/dbraw/zinc/56/94/29/1074569429.db2.gz ARKCAZRXAMVJEF-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(Cc3cccc(Cl)c3)C(=O)C1)c(=O)n2C ZINC000468352004 1074569586 /nfs/dbraw/zinc/56/95/86/1074569586.db2.gz LQGHIGZMOSTULJ-UHFFFAOYSA-N 0 0 444.879 -0.042 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c(=O)c1 ZINC000468352137 1074569644 /nfs/dbraw/zinc/56/96/44/1074569644.db2.gz KGVXTSHMMCDWBV-IBGZPJMESA-N 0 0 429.539 -0.193 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c(=O)c1 ZINC000468352138 1074569633 /nfs/dbraw/zinc/56/96/33/1074569633.db2.gz KGVXTSHMMCDWBV-LJQANCHMSA-N 0 0 429.539 -0.193 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2ccc3ccccc3c2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000468355136 1074569481 /nfs/dbraw/zinc/56/94/81/1074569481.db2.gz CNMWVPMNAOLUAM-KRWDZBQOSA-N 0 0 446.529 -0.551 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2ccc3ccccc3c2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000468355137 1074569441 /nfs/dbraw/zinc/56/94/41/1074569441.db2.gz CNMWVPMNAOLUAM-QGZVFWFLSA-N 0 0 446.529 -0.551 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4cccc(Cl)c4)C(=O)C3)c2c(=O)n(C)c1=O ZINC000468356865 1074569984 /nfs/dbraw/zinc/56/99/84/1074569984.db2.gz HUTWMSDQCPWURJ-UHFFFAOYSA-N 0 0 444.879 -0.042 20 0 IBADRN COCCOC1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@H]2C(=O)N2CC(OCCOC)C2)C1 ZINC000468359466 1074569896 /nfs/dbraw/zinc/56/98/96/1074569896.db2.gz LSGXCIIYSCAFDQ-GDIGMMSISA-N 0 0 426.510 -0.035 20 0 IBADRN COCCOC1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2CC(OCCOC)C2)C1 ZINC000468359468 1074569907 /nfs/dbraw/zinc/56/99/07/1074569907.db2.gz LSGXCIIYSCAFDQ-KYNGSXCRSA-N 0 0 426.510 -0.035 20 0 IBADRN COCCOC1CN(C(=O)[C@@H]2CC(=O)C[C@@H](C)[C@H]2C(=O)N2CC(OCCOC)C2)C1 ZINC000468359470 1074569918 /nfs/dbraw/zinc/56/99/18/1074569918.db2.gz LSGXCIIYSCAFDQ-NIKGAXFTSA-N 0 0 426.510 -0.035 20 0 IBADRN COCCOC1CN(C(=O)[C@@H]2CC(=O)C[C@@H](C)[C@@H]2C(=O)N2CC(OCCOC)C2)C1 ZINC000468359472 1074569955 /nfs/dbraw/zinc/56/99/55/1074569955.db2.gz LSGXCIIYSCAFDQ-ZMYBRWDISA-N 0 0 426.510 -0.035 20 0 IBADRN C[C@@H](CNC(=O)CN1CCOCC1=O)N(Cc1ccccc1)C(=O)CN1CCOCC1=O ZINC000468365798 1074569945 /nfs/dbraw/zinc/56/99/45/1074569945.db2.gz ZJAOFDYQXIQURO-KRWDZBQOSA-N 0 0 446.504 -0.763 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)[C@H]1CC(=O)C[C@@H](C)[C@H]1C(=O)NC[C@]1(O)CCO[C@H]1C ZINC000468368139 1074569967 /nfs/dbraw/zinc/56/99/67/1074569967.db2.gz RUBFCYLLXDIFOC-HCVFEHQTSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)[C@@H]1[C@H](C)CC(=O)C[C@@H]1C(=O)NC[C@]1(O)CCO[C@@H]1C ZINC000468368140 1074569930 /nfs/dbraw/zinc/56/99/30/1074569930.db2.gz RUBFCYLLXDIFOC-QLIROJFQSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)[C@H]1CC(=O)C[C@@H](C)[C@H]1C(=O)NC[C@@]1(O)CCO[C@@H]1C ZINC000468368141 1074569962 /nfs/dbraw/zinc/56/99/62/1074569962.db2.gz RUBFCYLLXDIFOC-RGIICKQWSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)[C@H]1CC(=O)C[C@@H](C)[C@H]1C(=O)NC[C@@]1(O)CCO[C@H]1C ZINC000468368142 1074569972 /nfs/dbraw/zinc/56/99/72/1074569972.db2.gz RUBFCYLLXDIFOC-UMPHEMFLSA-N 0 0 426.510 -0.470 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@](C)(O)Cn2ccc(C)cc2=O)C1 ZINC000468370965 1074569960 /nfs/dbraw/zinc/56/99/60/1074569960.db2.gz CTPQTEIOINVLMB-APWZRJJASA-N 0 0 429.539 -0.193 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@](C)(O)Cn2ccc(C)cc2=O)C1 ZINC000468370967 1074569911 /nfs/dbraw/zinc/56/99/11/1074569911.db2.gz CTPQTEIOINVLMB-LPHOPBHVSA-N 0 0 429.539 -0.193 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@](C)(O)Cn2ccc(C)cc2=O)C1 ZINC000468370969 1074570014 /nfs/dbraw/zinc/57/00/14/1074570014.db2.gz CTPQTEIOINVLMB-QFBILLFUSA-N 0 0 429.539 -0.193 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@](C)(O)Cn2ccc(C)cc2=O)C1 ZINC000468370971 1074569925 /nfs/dbraw/zinc/56/99/25/1074569925.db2.gz CTPQTEIOINVLMB-VQIMIIECSA-N 0 0 429.539 -0.193 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2C[C@@H](OC)[C@@H](OC)C2)C[C@H]1OC ZINC000468377780 1074570353 /nfs/dbraw/zinc/57/03/53/1074570353.db2.gz BMAMZSNRUOQWAG-HGQJZTBZSA-N 0 0 426.510 -0.038 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2C[C@H](OC)[C@@H](OC)C2)C[C@H]1OC ZINC000468377782 1074570396 /nfs/dbraw/zinc/57/03/96/1074570396.db2.gz BMAMZSNRUOQWAG-HXUMPVJBSA-N 0 0 426.510 -0.038 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2C[C@H](OC)[C@@H](OC)C2)C[C@@H]1OC ZINC000468377784 1074570401 /nfs/dbraw/zinc/57/04/01/1074570401.db2.gz BMAMZSNRUOQWAG-OJFYJRGUSA-N 0 0 426.510 -0.038 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2C[C@@H](OC)[C@@H](OC)C2)C[C@@H]1OC ZINC000468377786 1074570295 /nfs/dbraw/zinc/57/02/95/1074570295.db2.gz BMAMZSNRUOQWAG-PUHNHLPXSA-N 0 0 426.510 -0.038 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1ccc(NC(=O)CN2CCOCC2=O)c2cnccc12 ZINC000468381150 1074570345 /nfs/dbraw/zinc/57/03/45/1074570345.db2.gz CTZHHWYHOGERNQ-UHFFFAOYSA-N 0 0 441.444 -0.171 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@@H](C)[C@H]2C(=O)N2C[C@@H](OC)[C@@H](OC)C2)C[C@@H]1OC ZINC000468382130 1074570331 /nfs/dbraw/zinc/57/03/31/1074570331.db2.gz BMAMZSNRUOQWAG-JSXYIOQMSA-N 0 0 426.510 -0.038 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@@H](C)[C@@H]2C(=O)N2C[C@@H](OC)[C@@H](OC)C2)C[C@@H]1OC ZINC000468382131 1074570338 /nfs/dbraw/zinc/57/03/38/1074570338.db2.gz BMAMZSNRUOQWAG-LDCXIIFCSA-N 0 0 426.510 -0.038 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@H]2C(=O)N2C[C@@H](OC)[C@@H](OC)C2)C[C@@H]1OC ZINC000468382132 1074570382 /nfs/dbraw/zinc/57/03/82/1074570382.db2.gz BMAMZSNRUOQWAG-OQSIGJDMSA-N 0 0 426.510 -0.038 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000468392084 1074570322 /nfs/dbraw/zinc/57/03/22/1074570322.db2.gz KETQXRFAKDKLLS-UHFFFAOYSA-N 0 0 430.421 -0.164 20 0 IBADRN CCN(CCNC(=O)[C@@](C)(O)Cn1ccccc1=O)C(=O)[C@](C)(O)Cn1ccccc1=O ZINC000468393209 1074570361 /nfs/dbraw/zinc/57/03/61/1074570361.db2.gz AWJIMSUBVUIKDG-FCHUYYIVSA-N 0 0 446.504 -0.823 20 0 IBADRN CCN(CCNC(=O)[C@](C)(O)Cn1ccccc1=O)C(=O)[C@](C)(O)Cn1ccccc1=O ZINC000468393210 1074570375 /nfs/dbraw/zinc/57/03/75/1074570375.db2.gz AWJIMSUBVUIKDG-FGZHOGPDSA-N 0 0 446.504 -0.823 20 0 IBADRN CCN(CCNC(=O)[C@@](C)(O)Cn1ccccc1=O)C(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000468393211 1074570284 /nfs/dbraw/zinc/57/02/84/1074570284.db2.gz AWJIMSUBVUIKDG-VXKWHMMOSA-N 0 0 446.504 -0.823 20 0 IBADRN CCN(CCNC(=O)[C@](C)(O)Cn1ccccc1=O)C(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000468393212 1074570390 /nfs/dbraw/zinc/57/03/90/1074570390.db2.gz AWJIMSUBVUIKDG-YADHBBJMSA-N 0 0 446.504 -0.823 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC2CC2)s1 ZINC000468393975 1074570751 /nfs/dbraw/zinc/57/07/51/1074570751.db2.gz SBXONKUCKFZNHC-AWEZNQCLSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC2CC2)s1 ZINC000468393977 1074570776 /nfs/dbraw/zinc/57/07/76/1074570776.db2.gz SBXONKUCKFZNHC-CQSZACIVSA-N 0 0 428.536 -0.068 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@@H]1CN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CCO1 ZINC000468398563 1074570649 /nfs/dbraw/zinc/57/06/49/1074570649.db2.gz XNAHQMFOPVFZJM-CYBMUJFWSA-N 0 0 426.470 -0.944 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@H]1CN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CCO1 ZINC000468398565 1074570674 /nfs/dbraw/zinc/57/06/74/1074570674.db2.gz XNAHQMFOPVFZJM-ZDUSSCGKSA-N 0 0 426.470 -0.944 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccc(NS(C)(=O)=O)cc1 ZINC000468399031 1074570740 /nfs/dbraw/zinc/57/07/40/1074570740.db2.gz FELSXMSDUBTKBP-UHFFFAOYSA-N 0 0 434.540 -0.492 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000468400270 1074570691 /nfs/dbraw/zinc/57/06/91/1074570691.db2.gz BCUMLIXDBPSIAP-UHFFFAOYSA-N 0 0 448.505 -0.231 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2C[C@H](C)O[C@H](CO)C2)C[C@@H](CO)O1 ZINC000468403109 1074570665 /nfs/dbraw/zinc/57/06/65/1074570665.db2.gz NQOYJHOUBSXGDF-CXCZFYNESA-N 0 0 426.510 -0.566 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2C[C@H](CO)O[C@@H](C)C2)C[C@H](CO)O1 ZINC000468403110 1074570759 /nfs/dbraw/zinc/57/07/59/1074570759.db2.gz NQOYJHOUBSXGDF-DSDGTKOASA-N 0 0 426.510 -0.566 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2C[C@H](C)O[C@H](CO)C2)C[C@H](CO)O1 ZINC000468403111 1074570711 /nfs/dbraw/zinc/57/07/11/1074570711.db2.gz NQOYJHOUBSXGDF-MVXLZWMQSA-N 0 0 426.510 -0.566 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC(=O)C[C@H](C)[C@@H]2C(=O)N2C[C@H](CO)O[C@@H](C)C2)C[C@@H](CO)O1 ZINC000468403112 1074570792 /nfs/dbraw/zinc/57/07/92/1074570792.db2.gz NQOYJHOUBSXGDF-WWEFPCDHSA-N 0 0 426.510 -0.566 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CC[S@](=O)c2ccc3c(c2)OCCO3)C1 ZINC000468405579 1074570782 /nfs/dbraw/zinc/57/07/82/1074570782.db2.gz OCHDDBALAXFGOF-CEXHIMGSSA-N 0 0 431.536 -0.013 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CC[S@@](=O)c2ccc3c(c2)OCCO3)C1 ZINC000468405580 1074570797 /nfs/dbraw/zinc/57/07/97/1074570797.db2.gz OCHDDBALAXFGOF-JGTBNHKDSA-N 0 0 431.536 -0.013 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CC[S@@](=O)c2ccc3c(c2)OCCO3)C1 ZINC000468405581 1074570806 /nfs/dbraw/zinc/57/08/06/1074570806.db2.gz OCHDDBALAXFGOF-PFUSGODGSA-N 0 0 431.536 -0.013 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CC[S@](=O)c2ccc3c(c2)OCCO3)C1 ZINC000468405582 1074570802 /nfs/dbraw/zinc/57/08/02/1074570802.db2.gz OCHDDBALAXFGOF-QWVSSGJLSA-N 0 0 431.536 -0.013 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000468406854 1074570788 /nfs/dbraw/zinc/57/07/88/1074570788.db2.gz YZFPJVJUESBOIU-HNNXBMFYSA-N 0 0 441.554 -0.365 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000468406855 1074570682 /nfs/dbraw/zinc/57/06/82/1074570682.db2.gz YZFPJVJUESBOIU-OAHLLOKOSA-N 0 0 441.554 -0.365 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)N(C)CC(C)(CO)CO)[C@@H]1C(=O)N(C)CC(C)(CO)CO ZINC000468411129 1074571193 /nfs/dbraw/zinc/57/11/93/1074571193.db2.gz GEURZYPGWJWXNR-DJIMGWMZSA-N 0 0 430.542 -0.884 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N(C)CC(C)(CO)CO)[C@@H]1C(=O)N(C)CC(C)(CO)CO ZINC000468411130 1074571067 /nfs/dbraw/zinc/57/10/67/1074571067.db2.gz GEURZYPGWJWXNR-HYVNUMGLSA-N 0 0 430.542 -0.884 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)N(C)CC(C)(CO)CO)[C@H]1C(=O)N(C)CC(C)(CO)CO ZINC000468411131 1074571226 /nfs/dbraw/zinc/57/12/26/1074571226.db2.gz GEURZYPGWJWXNR-OIISXLGYSA-N 0 0 430.542 -0.884 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N(C)CC(C)(CO)CO)[C@H]1C(=O)N(C)CC(C)(CO)CO ZINC000468411132 1074571157 /nfs/dbraw/zinc/57/11/57/1074571157.db2.gz GEURZYPGWJWXNR-PVAVHDDUSA-N 0 0 430.542 -0.884 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(Cc3ccc(C(N)=O)cc3)CC2)c1 ZINC000468411183 1074571111 /nfs/dbraw/zinc/57/11/11/1074571111.db2.gz GSESYYSBLOTHBI-UHFFFAOYSA-N 0 0 449.533 -0.004 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(Cc3ccc(C(N)=O)cc3)CC2)o1 ZINC000468411914 1074571129 /nfs/dbraw/zinc/57/11/29/1074571129.db2.gz OJKUQUNVKCEDHL-UHFFFAOYSA-N 0 0 449.489 -0.900 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1 ZINC000468411964 1074571043 /nfs/dbraw/zinc/57/10/43/1074571043.db2.gz PBKKMMBZBHGLMA-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3C(=O)CC[C@@H]3C2)[C@H]1C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000468413219 1074571077 /nfs/dbraw/zinc/57/10/77/1074571077.db2.gz ZFGJDGPJOSIRLE-ACQLLZESSA-N 0 0 444.532 -0.116 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3C(=O)CC[C@@H]3C2)[C@@H]1C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000468413220 1074571053 /nfs/dbraw/zinc/57/10/53/1074571053.db2.gz ZFGJDGPJOSIRLE-FYNPAFEHSA-N 0 0 444.532 -0.116 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3C(=O)CC[C@@H]3C2)[C@H]1C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000468413221 1074571105 /nfs/dbraw/zinc/57/11/05/1074571105.db2.gz ZFGJDGPJOSIRLE-GSCOEGLNSA-N 0 0 444.532 -0.116 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3C(=O)CC[C@@H]3C2)[C@@H]1C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000468413222 1074571149 /nfs/dbraw/zinc/57/11/49/1074571149.db2.gz ZFGJDGPJOSIRLE-YEXGDUPYSA-N 0 0 444.532 -0.116 20 0 IBADRN CCN(C[C@@H](NC(=O)CN1CCOCC1=O)c1ccccc1)C(=O)CN1CCOCC1=O ZINC000468414402 1074571028 /nfs/dbraw/zinc/57/10/28/1074571028.db2.gz IVSSAYFJJDMXBR-GOSISDBHSA-N 0 0 446.504 -0.590 20 0 IBADRN CCN(C[C@H](NC(=O)CN1CCOCC1=O)c1ccccc1)C(=O)CN1CCOCC1=O ZINC000468414403 1074571185 /nfs/dbraw/zinc/57/11/85/1074571185.db2.gz IVSSAYFJJDMXBR-SFHVURJKSA-N 0 0 446.504 -0.590 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468416072 1074571619 /nfs/dbraw/zinc/57/16/19/1074571619.db2.gz FWCZVFRWVLDBJV-FQEVSTJZSA-N 0 0 449.485 -0.440 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000468416073 1074571507 /nfs/dbraw/zinc/57/15/07/1074571507.db2.gz FWCZVFRWVLDBJV-HXUWFJFHSA-N 0 0 449.485 -0.440 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)Cc3ccccc3)CC2)o1 ZINC000468416362 1074571719 /nfs/dbraw/zinc/57/17/19/1074571719.db2.gz ATRUSOVTIVTTSU-UHFFFAOYSA-N 0 0 434.474 -0.430 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000468417967 1074571625 /nfs/dbraw/zinc/57/16/25/1074571625.db2.gz HODBOAZQRXSDDK-IBGZPJMESA-N 0 0 437.474 -0.036 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000468417968 1074571687 /nfs/dbraw/zinc/57/16/87/1074571687.db2.gz HODBOAZQRXSDDK-LJQANCHMSA-N 0 0 437.474 -0.036 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCNc2ccc(C(F)(F)F)cn2)c[nH]1 ZINC000468418259 1074571517 /nfs/dbraw/zinc/57/15/17/1074571517.db2.gz ISBYNPGGEQBHSJ-UHFFFAOYSA-N 0 0 448.427 0.424 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000468418641 1074571700 /nfs/dbraw/zinc/57/17/00/1074571700.db2.gz JTPPDSHFUWFKHS-UHFFFAOYSA-N 0 0 427.570 -0.362 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@@H](O)Cc3cnn(C)c3)CC2)c1 ZINC000468418715 1074571497 /nfs/dbraw/zinc/57/14/97/1074571497.db2.gz KXQYJJLOSSXUBW-INIZCTEOSA-N 0 0 438.506 -0.126 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@H](O)Cc3cnn(C)c3)CC2)c1 ZINC000468418745 1074571524 /nfs/dbraw/zinc/57/15/24/1074571524.db2.gz KXQYJJLOSSXUBW-MRXNPFEDSA-N 0 0 438.506 -0.126 20 0 IBADRN CN(CCN(Cc1ccccc1)C(=O)CN1CCOCC1=O)C(=O)CN1CCOCC1=O ZINC000468419548 1074571769 /nfs/dbraw/zinc/57/17/69/1074571769.db2.gz NGHFDNYWAQHFLK-UHFFFAOYSA-N 0 0 446.504 -0.809 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)cn2)CCO1 ZINC000468420126 1074571671 /nfs/dbraw/zinc/57/16/71/1074571671.db2.gz OCMSGTDHDVWGLO-GFCCVEGCSA-N 0 0 436.494 -0.672 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)cn2)CCO1 ZINC000468420127 1074571581 /nfs/dbraw/zinc/57/15/81/1074571581.db2.gz OCMSGTDHDVWGLO-LBPRGKRZSA-N 0 0 436.494 -0.672 20 0 IBADRN O=C(CN1CCOCC1=O)NCC[C@H](NC(=O)CN1CCOCC1=O)c1ccccc1 ZINC000468420467 1074571531 /nfs/dbraw/zinc/57/15/31/1074571531.db2.gz ROXXLSZCBRNALS-KRWDZBQOSA-N 0 0 432.477 -0.932 20 0 IBADRN O=C(CN1CCOCC1=O)NCC[C@@H](NC(=O)CN1CCOCC1=O)c1ccccc1 ZINC000468420468 1074571712 /nfs/dbraw/zinc/57/17/12/1074571712.db2.gz ROXXLSZCBRNALS-QGZVFWFLSA-N 0 0 432.477 -0.932 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)Cc2ccccc2)CC1 ZINC000468421327 1074572254 /nfs/dbraw/zinc/57/22/54/1074572254.db2.gz WPENAQQUUAXRKY-UHFFFAOYSA-N 0 0 438.550 -0.266 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000468421709 1071269033 /nfs/dbraw/zinc/26/90/33/1071269033.db2.gz YKERMBLHEVIRGP-GFCCVEGCSA-N 0 0 431.627 -0.452 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000468421710 1071268711 /nfs/dbraw/zinc/26/87/11/1071268711.db2.gz YKERMBLHEVIRGP-LBPRGKRZSA-N 0 0 431.627 -0.452 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)CN1CCOCC1=O)C(=O)CN1CCOCC1=O ZINC000468422168 1074572263 /nfs/dbraw/zinc/57/22/63/1074572263.db2.gz WWTXRGXCSWYHDZ-INIZCTEOSA-N 0 0 426.514 -0.917 20 0 IBADRN CCN(C[C@@H](CC(C)C)NC(=O)CN1CCOCC1=O)C(=O)CN1CCOCC1=O ZINC000468422169 1074572184 /nfs/dbraw/zinc/57/21/84/1074572184.db2.gz WWTXRGXCSWYHDZ-MRXNPFEDSA-N 0 0 426.514 -0.917 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000468422817 1074572320 /nfs/dbraw/zinc/57/23/20/1074572320.db2.gz DNIMIQLZQTZAFO-UHFFFAOYSA-N 0 0 447.535 -0.216 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C)[C@@H](C)O ZINC000468424437 1074572115 /nfs/dbraw/zinc/57/21/15/1074572115.db2.gz ASZGGWRQPZNLSA-JMCQJSRRSA-N 0 0 436.284 -0.160 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C)[C@H](C)O ZINC000468424438 1074572333 /nfs/dbraw/zinc/57/23/33/1074572333.db2.gz ASZGGWRQPZNLSA-JVXZTZIISA-N 0 0 436.284 -0.160 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C)[C@@H](C)O ZINC000468424439 1074572337 /nfs/dbraw/zinc/57/23/37/1074572337.db2.gz ASZGGWRQPZNLSA-KRTXAFLBSA-N 0 0 436.284 -0.160 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C)[C@H](C)O ZINC000468424440 1074572162 /nfs/dbraw/zinc/57/21/62/1074572162.db2.gz ASZGGWRQPZNLSA-MADCSZMMSA-N 0 0 436.284 -0.160 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3CCOC[C@@H]3C2)[C@H]1C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000468424848 1074572170 /nfs/dbraw/zinc/57/21/70/1074572170.db2.gz BDMMUWWOBKJWSU-JOTVOLILSA-N 0 0 448.564 -0.696 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3CCOC[C@@H]3C2)[C@@H]1C(=O)N1CCN2CCOC[C@H]2C1 ZINC000468424849 1074572226 /nfs/dbraw/zinc/57/22/26/1074572226.db2.gz BDMMUWWOBKJWSU-MTFRMWQMSA-N 0 0 448.564 -0.696 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3CCOC[C@@H]3C2)[C@H]1C(=O)N1CCN2CCOC[C@H]2C1 ZINC000468424850 1074572219 /nfs/dbraw/zinc/57/22/19/1074572219.db2.gz BDMMUWWOBKJWSU-SQAAOVBZSA-N 0 0 448.564 -0.696 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3CCOC[C@@H]3C2)[C@@H]1C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000468424851 1074572342 /nfs/dbraw/zinc/57/23/42/1074572342.db2.gz BDMMUWWOBKJWSU-WQBVUOOHSA-N 0 0 448.564 -0.696 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)Cc3ccccc3)CC2)c[nH]1 ZINC000468425313 1074572584 /nfs/dbraw/zinc/57/25/84/1074572584.db2.gz FLKWHWOTVZIBHY-UHFFFAOYSA-N 0 0 433.490 -0.695 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3ccc(O)cc3)CC2)n1)N1CCOCC1 ZINC000468425640 1073321503 /nfs/dbraw/zinc/32/15/03/1073321503.db2.gz AKOQGPIRCRDDKK-UHFFFAOYSA-N 0 0 442.476 -0.265 20 0 IBADRN CN(CCN(C)C(=O)[C@](C)(O)Cn1ccccc1=O)C(=O)[C@](C)(O)Cn1ccccc1=O ZINC000468425703 1074572291 /nfs/dbraw/zinc/57/22/91/1074572291.db2.gz HPGHBLAELPTRHI-FGZHOGPDSA-N 0 0 446.504 -0.871 20 0 IBADRN CN(CCN(C)C(=O)[C@@](C)(O)Cn1ccccc1=O)C(=O)[C@](C)(O)Cn1ccccc1=O ZINC000468425705 1074572213 /nfs/dbraw/zinc/57/22/13/1074572213.db2.gz HPGHBLAELPTRHI-SZPZYZBQSA-N 0 0 446.504 -0.871 20 0 IBADRN CN(CCN(C)C(=O)[C@@](C)(O)Cn1ccccc1=O)C(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000468425708 1074572154 /nfs/dbraw/zinc/57/21/54/1074572154.db2.gz HPGHBLAELPTRHI-VXKWHMMOSA-N 0 0 446.504 -0.871 20 0 IBADRN COCCN1CCCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1=O ZINC000468425949 1074572767 /nfs/dbraw/zinc/57/27/67/1074572767.db2.gz CNKWTZGRWASLKP-UHFFFAOYSA-N 0 0 431.493 -0.351 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCCN2CCc3ccccc32)n1)N1CCOCC1 ZINC000468426131 1074572574 /nfs/dbraw/zinc/57/25/74/1074572574.db2.gz CKZSKBOIRSGWNU-UHFFFAOYSA-N 0 0 440.504 0.249 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCCn2cnc3ccccc32)n1)N1CCOCC1 ZINC000468427298 1073322118 /nfs/dbraw/zinc/32/21/18/1073322118.db2.gz GQWHKOFPYZHUPZ-UHFFFAOYSA-N 0 0 439.476 0.237 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3ccccc3F)CC2)n1)N1CCOCC1 ZINC000468428084 1073322018 /nfs/dbraw/zinc/32/20/18/1073322018.db2.gz ILPFZOVJGRGVRD-UHFFFAOYSA-N 0 0 444.467 0.168 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000468429226 1074572670 /nfs/dbraw/zinc/57/26/70/1074572670.db2.gz PNYQJQALTNZNQX-HNNXBMFYSA-N 0 0 445.629 -0.076 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000468429227 1074572748 /nfs/dbraw/zinc/57/27/48/1074572748.db2.gz PNYQJQALTNZNQX-OAHLLOKOSA-N 0 0 445.629 -0.076 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@](C)(O)Cn1ccc(C)cc1=O ZINC000468430342 1074572789 /nfs/dbraw/zinc/57/27/89/1074572789.db2.gz TVNYYTBAYXLVMU-INIZCTEOSA-N 0 0 430.508 -0.527 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@](C)(O)Cn1ccc(C)cc1=O ZINC000468430365 1074572604 /nfs/dbraw/zinc/57/26/04/1074572604.db2.gz TVNYYTBAYXLVMU-MRXNPFEDSA-N 0 0 430.508 -0.527 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1n[nH]c2ccc(NC(=O)CN3CCOCC3=O)cc21 ZINC000468430751 1074572632 /nfs/dbraw/zinc/57/26/32/1074572632.db2.gz ZZOAKJOCOGLZJO-UHFFFAOYSA-N 0 0 430.421 -0.843 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000468431306 1074572703 /nfs/dbraw/zinc/57/27/03/1074572703.db2.gz VCIXNMAXFJHRRD-UHFFFAOYSA-N 0 0 434.588 -0.810 20 0 IBADRN Cc1cccc(N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)c1 ZINC000468431860 1073343117 /nfs/dbraw/zinc/34/31/17/1073343117.db2.gz BBDDVBBQRCEATE-UHFFFAOYSA-N 0 0 440.504 0.338 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H]2COc3ccccc3O2)n1)N1CCOCC1 ZINC000468432033 1073322295 /nfs/dbraw/zinc/32/22/95/1073322295.db2.gz DBPPASNOFSTMRY-AWEZNQCLSA-N 0 0 429.433 -0.363 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H]2COc3ccccc3O2)n1)N1CCOCC1 ZINC000468432034 1074573258 /nfs/dbraw/zinc/57/32/58/1074573258.db2.gz DBPPASNOFSTMRY-CQSZACIVSA-N 0 0 429.433 -0.363 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(=O)c1 ZINC000468432038 1074572723 /nfs/dbraw/zinc/57/27/23/1074572723.db2.gz DGHPPHFVXFISTJ-APWZRJJASA-N 0 0 427.523 -0.439 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(=O)c1 ZINC000468432039 1074572622 /nfs/dbraw/zinc/57/26/22/1074572622.db2.gz DGHPPHFVXFISTJ-LPHOPBHVSA-N 0 0 427.523 -0.439 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(=O)c1 ZINC000468432040 1074572732 /nfs/dbraw/zinc/57/27/32/1074572732.db2.gz DGHPPHFVXFISTJ-QFBILLFUSA-N 0 0 427.523 -0.439 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(=O)c1 ZINC000468432041 1074572756 /nfs/dbraw/zinc/57/27/56/1074572756.db2.gz DGHPPHFVXFISTJ-VQIMIIECSA-N 0 0 427.523 -0.439 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3ccc(F)cc3)CC2)n1)N1CCOCC1 ZINC000468432095 1074572776 /nfs/dbraw/zinc/57/27/76/1074572776.db2.gz FEUYXCVBJVUOEG-UHFFFAOYSA-N 0 0 444.467 0.168 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000468432175 1074572647 /nfs/dbraw/zinc/57/26/47/1074572647.db2.gz GFTSVCROGYENCE-UHFFFAOYSA-N 0 0 438.616 -0.382 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@H](c3ccc(F)cc3)C2)n1)N1CCOCC1 ZINC000468432419 1073321937 /nfs/dbraw/zinc/32/19/37/1073321937.db2.gz JWZNWRZRONEEMX-KRWDZBQOSA-N 0 0 445.451 0.420 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@@H](c3ccc(F)cc3)C2)n1)N1CCOCC1 ZINC000468432420 1074572693 /nfs/dbraw/zinc/57/26/93/1074572693.db2.gz JWZNWRZRONEEMX-QGZVFWFLSA-N 0 0 445.451 0.420 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@](C)(O)Cn3ccc(C)cc3=O)CC2)cn1C ZINC000468432472 1074572590 /nfs/dbraw/zinc/57/25/90/1074572590.db2.gz KOBLVRNBJGNBMU-IBGZPJMESA-N 0 0 437.522 -0.517 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@](C)(O)Cn3ccc(C)cc3=O)CC2)cn1C ZINC000468432473 1074572566 /nfs/dbraw/zinc/57/25/66/1074572566.db2.gz KOBLVRNBJGNBMU-LJQANCHMSA-N 0 0 437.522 -0.517 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)n1 ZINC000468432905 1073348350 /nfs/dbraw/zinc/34/83/50/1073348350.db2.gz PHOVJGXTFLIIKK-UHFFFAOYSA-N 0 0 447.521 -0.206 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccsc1 ZINC000468433246 1074573197 /nfs/dbraw/zinc/57/31/97/1074573197.db2.gz ULMZDANQZZGFAH-HNNXBMFYSA-N 0 0 434.522 0.161 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccsc1 ZINC000468433247 1073309682 /nfs/dbraw/zinc/30/96/82/1073309682.db2.gz ULMZDANQZZGFAH-OAHLLOKOSA-N 0 0 434.522 0.161 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)N(C)c1ccccc1 ZINC000468433491 1074573222 /nfs/dbraw/zinc/57/32/22/1074573222.db2.gz XLZLVJRFRLIUCQ-INIZCTEOSA-N 0 0 428.493 0.322 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)N(C)c1ccccc1 ZINC000468433492 1074573228 /nfs/dbraw/zinc/57/32/28/1074573228.db2.gz XLZLVJRFRLIUCQ-MRXNPFEDSA-N 0 0 428.493 0.322 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1)N1CCCC1 ZINC000468433500 1073319819 /nfs/dbraw/zinc/31/98/19/1073319819.db2.gz XPCDRKZBDBMSLU-UHFFFAOYSA-N 0 0 439.520 0.226 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2ccc(-n3cccn3)cc2)n1)N1CCOCC1 ZINC000468433866 1074573108 /nfs/dbraw/zinc/57/31/08/1074573108.db2.gz ZUGJXBUQTNMDPF-UHFFFAOYSA-N 0 0 437.460 0.183 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000468433879 1073325621 /nfs/dbraw/zinc/32/56/21/1073325621.db2.gz ZWNBPVIHYOMPHY-UHFFFAOYSA-N 0 0 442.501 0.554 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccc2c(c1)OCO2 ZINC000468434348 1074573240 /nfs/dbraw/zinc/57/32/40/1074573240.db2.gz GJASGEVDSPLUCV-CYBMUJFWSA-N 0 0 429.433 0.287 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccc2c(c1)OCO2 ZINC000468434349 1074573095 /nfs/dbraw/zinc/57/30/95/1074573095.db2.gz GJASGEVDSPLUCV-ZDUSSCGKSA-N 0 0 429.433 0.287 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)CC(F)(F)F ZINC000468434537 1074573163 /nfs/dbraw/zinc/57/31/63/1074573163.db2.gz HLKCKNNJXJLBSE-UHFFFAOYSA-N 0 0 434.419 -0.319 20 0 IBADRN CCN(CC)c1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1 ZINC000468434772 1073336045 /nfs/dbraw/zinc/33/60/45/1073336045.db2.gz JCBQPIQGCIPDFL-UHFFFAOYSA-N 0 0 443.508 0.238 20 0 IBADRN Cc1ccccc1N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000468435215 1074573157 /nfs/dbraw/zinc/57/31/57/1074573157.db2.gz KJMVBONIHSKWOY-UHFFFAOYSA-N 0 0 440.504 0.338 20 0 IBADRN COc1ccc(CN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c(OC)c1 ZINC000468435271 1073344210 /nfs/dbraw/zinc/34/42/10/1073344210.db2.gz LMILZQFLNZEYSQ-UHFFFAOYSA-N 0 0 445.476 0.356 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H](CO)Cc2ccc(F)cc2)n1)N1CCOCC1 ZINC000468435534 1074573082 /nfs/dbraw/zinc/57/30/82/1074573082.db2.gz BMCBANGRZKQLLR-INIZCTEOSA-N 0 0 447.467 -0.213 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H](CO)Cc2ccc(F)cc2)n1)N1CCOCC1 ZINC000468435535 1073322480 /nfs/dbraw/zinc/32/24/80/1073322480.db2.gz BMCBANGRZKQLLR-MRXNPFEDSA-N 0 0 447.467 -0.213 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000468435813 1073335033 /nfs/dbraw/zinc/33/50/33/1073335033.db2.gz CGJOWLBOEHAAFC-KRWDZBQOSA-N 0 0 426.477 0.309 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000468435815 1074573177 /nfs/dbraw/zinc/57/31/77/1074573177.db2.gz CGJOWLBOEHAAFC-QGZVFWFLSA-N 0 0 426.477 0.309 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468436252 1074573246 /nfs/dbraw/zinc/57/32/46/1074573246.db2.gz GGDRAUQXQQUCMA-AWEZNQCLSA-N 0 0 445.476 0.575 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468436253 1074573233 /nfs/dbraw/zinc/57/32/33/1074573233.db2.gz GGDRAUQXQQUCMA-CQSZACIVSA-N 0 0 445.476 0.575 20 0 IBADRN CCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468436288 1073336154 /nfs/dbraw/zinc/33/61/54/1073336154.db2.gz GRSKRQSJEPIIDR-UHFFFAOYSA-N 0 0 443.460 0.458 20 0 IBADRN COC(=O)c1cc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)oc1C ZINC000468436306 1073327802 /nfs/dbraw/zinc/32/78/02/1073327802.db2.gz DJDFXFOGSRJZDO-UHFFFAOYSA-N 0 0 433.421 -0.315 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000468436399 1073318253 /nfs/dbraw/zinc/31/82/53/1073318253.db2.gz OIYTUAWEKYAJCF-UHFFFAOYSA-N 0 0 441.492 -0.345 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1 ZINC000468437294 1073318794 /nfs/dbraw/zinc/31/87/94/1073318794.db2.gz HUPGZFYBSYGXFS-UHFFFAOYSA-N 0 0 430.465 0.179 20 0 IBADRN COCCN(Cc1cccs1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468437397 1074573685 /nfs/dbraw/zinc/57/36/85/1074573685.db2.gz VHZXOWYWYQQCLW-UHFFFAOYSA-N 0 0 435.506 0.417 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2C[C@H](CO)OC[C@@H]2C)[C@@H]1C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000468437400 1074573617 /nfs/dbraw/zinc/57/36/17/1074573617.db2.gz JESWABZLDSZMRY-CLZWAGIQSA-N 0 0 426.510 -0.566 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2C[C@H](CO)OC[C@@H]2C)[C@@H]1C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000468437401 1074573520 /nfs/dbraw/zinc/57/35/20/1074573520.db2.gz JESWABZLDSZMRY-DGQSTGFESA-N 0 0 426.510 -0.566 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2C[C@H](CO)OC[C@@H]2C)[C@@H]1C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000468437402 1074573597 /nfs/dbraw/zinc/57/35/97/1074573597.db2.gz JESWABZLDSZMRY-IRVUKYLWSA-N 0 0 426.510 -0.566 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2C[C@H](CO)OC[C@@H]2C)[C@@H]1C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000468437403 1074573668 /nfs/dbraw/zinc/57/36/68/1074573668.db2.gz JESWABZLDSZMRY-WIYBQETGSA-N 0 0 426.510 -0.566 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(Cc3cccs3)CC2)n1)N1CCOCC1 ZINC000468437501 1074573213 /nfs/dbraw/zinc/57/32/13/1074573213.db2.gz SROJYYFJZCIIGZ-UHFFFAOYSA-N 0 0 446.533 0.086 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@H](c3ccccc3)C2)n1)N1CCOCC1 ZINC000468437851 1074573117 /nfs/dbraw/zinc/57/31/17/1074573117.db2.gz KUVICIYMOLIXQH-KRWDZBQOSA-N 0 0 427.461 0.281 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@@H](c3ccccc3)C2)n1)N1CCOCC1 ZINC000468437852 1074573151 /nfs/dbraw/zinc/57/31/51/1074573151.db2.gz KUVICIYMOLIXQH-QGZVFWFLSA-N 0 0 427.461 0.281 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1F ZINC000468437935 1074573660 /nfs/dbraw/zinc/57/36/60/1074573660.db2.gz VNFWCVVNLHELCZ-UHFFFAOYSA-N 0 0 440.403 -0.559 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1F ZINC000468438042 1074573550 /nfs/dbraw/zinc/57/35/50/1074573550.db2.gz XKRLXPSUJCINPB-UHFFFAOYSA-N 0 0 448.448 -0.367 20 0 IBADRN COC(=O)c1cc(CN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)oc1C ZINC000468438129 1073327781 /nfs/dbraw/zinc/32/77/81/1073327781.db2.gz JBVFAAVORNBRDA-UHFFFAOYSA-N 0 0 447.448 0.027 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000468438264 1074573631 /nfs/dbraw/zinc/57/36/31/1074573631.db2.gz LXQLFRMJPVMHND-UHFFFAOYSA-N 0 0 426.420 -0.085 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(Cc3ccco3)CC2)n1)N1CCOCC1 ZINC000468438344 1074573677 /nfs/dbraw/zinc/57/36/77/1074573677.db2.gz WSCJUATZEDDYDX-UHFFFAOYSA-N 0 0 430.465 -0.382 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCc2ccc3c(c2)OCCO3)n1)N1CCOCC1 ZINC000468438444 1073322052 /nfs/dbraw/zinc/32/20/52/1073322052.db2.gz YKPDIOMSUCJVQS-UHFFFAOYSA-N 0 0 443.460 -0.190 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3ccccc3O)CC2)n1)N1CCOCC1 ZINC000468438755 1074573642 /nfs/dbraw/zinc/57/36/42/1074573642.db2.gz XMDOLZJUJMKESH-UHFFFAOYSA-N 0 0 442.476 -0.265 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)CC2)nc1 ZINC000468439012 1073317949 /nfs/dbraw/zinc/31/79/49/1073317949.db2.gz ZPRCFEOAHPRVRF-UHFFFAOYSA-N 0 0 448.487 0.302 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)N1CCc2ccccc21 ZINC000468439018 1074573607 /nfs/dbraw/zinc/57/36/07/1074573607.db2.gz NTVDZLUAUMUBMW-INIZCTEOSA-N 0 0 440.504 0.248 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)N1CCc2ccccc21 ZINC000468439023 1074573569 /nfs/dbraw/zinc/57/35/69/1074573569.db2.gz NTVDZLUAUMUBMW-MRXNPFEDSA-N 0 0 440.504 0.248 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCOc2ccc3c(c2)OCO3)n1)N1CCOCC1 ZINC000468439257 1073322039 /nfs/dbraw/zinc/32/20/39/1073322039.db2.gz OTTQXYXZOWYSQJ-UHFFFAOYSA-N 0 0 445.432 -0.396 20 0 IBADRN CN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468439353 1074573541 /nfs/dbraw/zinc/57/35/41/1074573541.db2.gz NVSBJFWJTUXXIF-UHFFFAOYSA-N 0 0 429.433 0.068 20 0 IBADRN CCOC(=O)CC1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000468439836 1074573559 /nfs/dbraw/zinc/57/35/59/1074573559.db2.gz RQSVXJKXRODWCF-UHFFFAOYSA-N 0 0 435.481 -0.128 20 0 IBADRN Cc1nc2ccccc2n1CCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468440178 1074574071 /nfs/dbraw/zinc/57/40/71/1074574071.db2.gz UFEXXWBORRDTQP-UHFFFAOYSA-N 0 0 439.476 0.155 20 0 IBADRN CCOc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1OC ZINC000468440641 1073343830 /nfs/dbraw/zinc/34/38/30/1073343830.db2.gz XILWJFXCXKNGEN-UHFFFAOYSA-N 0 0 445.476 0.404 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468440652 1074574154 /nfs/dbraw/zinc/57/41/54/1074574154.db2.gz XWTDNRSWQWLJSE-UHFFFAOYSA-N 0 0 443.460 -0.174 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCS(=O)(=O)NCc2ccccc2)c1 ZINC000468441012 1074573964 /nfs/dbraw/zinc/57/39/64/1074573964.db2.gz ZPOVSRMIBYNQNL-UHFFFAOYSA-N 0 0 444.535 -0.211 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H](CO)Cc2ccccc2)n1)N1CCOCC1 ZINC000468441346 1074574108 /nfs/dbraw/zinc/57/41/08/1074574108.db2.gz CUWGUTRZPCSTBZ-KRWDZBQOSA-N 0 0 429.477 -0.352 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H](CO)Cc2ccccc2)n1)N1CCOCC1 ZINC000468441347 1074573954 /nfs/dbraw/zinc/57/39/54/1074573954.db2.gz CUWGUTRZPCSTBZ-QGZVFWFLSA-N 0 0 429.477 -0.352 20 0 IBADRN COc1ccc(OCCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468442563 1074573975 /nfs/dbraw/zinc/57/39/75/1074573975.db2.gz KXXQBEPFEGVEIC-UHFFFAOYSA-N 0 0 431.449 -0.116 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)nc2c1 ZINC000468443312 1073344238 /nfs/dbraw/zinc/34/42/38/1073344238.db2.gz FNNFWAPZKNNYRW-UHFFFAOYSA-N 0 0 425.449 -0.047 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468443475 1073334959 /nfs/dbraw/zinc/33/49/59/1073334959.db2.gz GUHQQNNCOCPNLR-UHFFFAOYSA-N 0 0 445.476 0.022 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000468443600 1074574057 /nfs/dbraw/zinc/57/40/57/1074574057.db2.gz GOBRYENHKUPTHH-UHFFFAOYSA-N 0 0 438.492 0.360 20 0 IBADRN CN(CCOc1ccc(F)cc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468443932 1074574009 /nfs/dbraw/zinc/57/40/09/1074574009.db2.gz HQJZFCMGIBPXHG-UHFFFAOYSA-N 0 0 433.440 0.357 20 0 IBADRN C[NH+](C)[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1cccc(F)c1 ZINC000468444482 1074574079 /nfs/dbraw/zinc/57/40/79/1074574079.db2.gz JBBKIROIOBTTRD-KRWDZBQOSA-N 0 0 446.483 0.239 20 0 IBADRN C[NH+](C)[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1cccc(F)c1 ZINC000468444483 1074574029 /nfs/dbraw/zinc/57/40/29/1074574029.db2.gz JBBKIROIOBTTRD-QGZVFWFLSA-N 0 0 446.483 0.239 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2cn3cc(Cl)ccc3n2)n1)N1CCOCC1 ZINC000468444775 1074573942 /nfs/dbraw/zinc/57/39/42/1074573942.db2.gz JWGNWTZSQRNLPU-UHFFFAOYSA-N 0 0 445.867 0.298 20 0 IBADRN C[NH+](C)[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Cc1ccccc1 ZINC000468444850 1074574095 /nfs/dbraw/zinc/57/40/95/1074574095.db2.gz KFUAEADVVDUIOB-GOSISDBHSA-N 0 0 442.520 -0.031 20 0 IBADRN C[NH+](C)[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Cc1ccccc1 ZINC000468444851 1074573985 /nfs/dbraw/zinc/57/39/85/1074573985.db2.gz KFUAEADVVDUIOB-SFHVURJKSA-N 0 0 442.520 -0.031 20 0 IBADRN CCn1[nH]c(=NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1C1CC1 ZINC000468445009 1074574548 /nfs/dbraw/zinc/57/45/48/1074574548.db2.gz AKCYEYLKLROQLR-UHFFFAOYSA-N 0 0 429.481 -0.358 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000468445497 1073345158 /nfs/dbraw/zinc/34/51/58/1073345158.db2.gz TUUPBQHJCYTADJ-UHFFFAOYSA-N 0 0 434.522 0.390 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1OC ZINC000468445565 1073344241 /nfs/dbraw/zinc/34/42/41/1073344241.db2.gz MDXWBNQTSNIFQB-UHFFFAOYSA-N 0 0 431.449 0.014 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468445671 1073331885 /nfs/dbraw/zinc/33/18/85/1073331885.db2.gz BOORGJSYBGEKTG-UHFFFAOYSA-N 0 0 430.465 0.181 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1OC ZINC000468445908 1073343797 /nfs/dbraw/zinc/34/37/97/1073343797.db2.gz CKTWEZLSDBSUNC-UHFFFAOYSA-N 0 0 445.476 0.057 20 0 IBADRN CCOC(=O)c1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468445923 1074574484 /nfs/dbraw/zinc/57/44/84/1074574484.db2.gz CNDNFENBUWPEML-UHFFFAOYSA-N 0 0 443.460 0.174 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCS(=O)(=O)Cc2ccccc2)c[nH]1 ZINC000468446790 1074574426 /nfs/dbraw/zinc/57/44/26/1074574426.db2.gz NTVDKJSDKBOGAX-UHFFFAOYSA-N 0 0 442.519 -0.487 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H]2CCN(c3ccccc3)C2)n1)N1CCOCC1 ZINC000468446897 1074574438 /nfs/dbraw/zinc/57/44/38/1074574438.db2.gz DZPBIQRESRYFBT-KRWDZBQOSA-N 0 0 440.504 0.323 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H]2CCN(c3ccccc3)C2)n1)N1CCOCC1 ZINC000468446898 1074574512 /nfs/dbraw/zinc/57/45/12/1074574512.db2.gz DZPBIQRESRYFBT-QGZVFWFLSA-N 0 0 440.504 0.323 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000468446931 1073318363 /nfs/dbraw/zinc/31/83/63/1073318363.db2.gz FMHPWEWBDXUCKH-UHFFFAOYSA-N 0 0 427.509 0.128 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccccc1F ZINC000468446964 1074574393 /nfs/dbraw/zinc/57/43/93/1074574393.db2.gz FFCWNLXMPUZOCO-UHFFFAOYSA-N 0 0 446.483 0.462 20 0 IBADRN COc1cccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1OC ZINC000468447058 1073347993 /nfs/dbraw/zinc/34/79/93/1073347993.db2.gz OJWJHVTZQNQFSE-UHFFFAOYSA-N 0 0 431.449 0.014 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c(OC)c1 ZINC000468447496 1074574398 /nfs/dbraw/zinc/57/43/98/1074574398.db2.gz GLAPLJQBNQCLHH-UHFFFAOYSA-N 0 0 431.449 0.014 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N(Cc2ccco2)C[C@@H]2CCCO2)n1)N1CCOCC1 ZINC000468447890 1074574559 /nfs/dbraw/zinc/57/45/59/1074574559.db2.gz GZOOWSSMNNJBCJ-KRWDZBQOSA-N 0 0 445.476 0.481 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N(Cc2ccco2)C[C@H]2CCCO2)n1)N1CCOCC1 ZINC000468447891 1074574569 /nfs/dbraw/zinc/57/45/69/1074574569.db2.gz GZOOWSSMNNJBCJ-QGZVFWFLSA-N 0 0 445.476 0.481 20 0 IBADRN COc1ccc2c(c1)CN(C(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)CC2 ZINC000468448331 1073347592 /nfs/dbraw/zinc/34/75/92/1073347592.db2.gz IADMITJFXDQYLQ-UHFFFAOYSA-N 0 0 427.461 0.274 20 0 IBADRN Cc1cccc(OC[C@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468448811 1074574518 /nfs/dbraw/zinc/57/45/18/1074574518.db2.gz JTARDHZMECUJCE-INIZCTEOSA-N 0 0 429.477 0.573 20 0 IBADRN Cc1cccc(OC[C@@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468448813 1074574460 /nfs/dbraw/zinc/57/44/60/1074574460.db2.gz JTARDHZMECUJCE-MRXNPFEDSA-N 0 0 429.477 0.573 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000468448896 1074574401 /nfs/dbraw/zinc/57/44/01/1074574401.db2.gz KEZOAKQHJVRMKS-KRWDZBQOSA-N 0 0 441.536 0.518 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000468448897 1073346384 /nfs/dbraw/zinc/34/63/84/1073346384.db2.gz KEZOAKQHJVRMKS-QGZVFWFLSA-N 0 0 441.536 0.518 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000468448906 1074574538 /nfs/dbraw/zinc/57/45/38/1074574538.db2.gz KILZPMPCTGPLBT-UHFFFAOYSA-N 0 0 446.551 -0.001 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468449967 1074574448 /nfs/dbraw/zinc/57/44/48/1074574448.db2.gz NDLJGSZQPNROTE-UHFFFAOYSA-N 0 0 433.440 0.357 20 0 IBADRN COc1ccc(OCCCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468450707 1073347345 /nfs/dbraw/zinc/34/73/45/1073347345.db2.gz PEIWKMBLUSFLMK-UHFFFAOYSA-N 0 0 445.476 0.274 20 0 IBADRN Cc1ccc(OCCN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468450973 1073341518 /nfs/dbraw/zinc/34/15/18/1073341518.db2.gz YPTQJLLVELRMAI-UHFFFAOYSA-N 0 0 429.477 0.526 20 0 IBADRN COc1cc(CN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc(OC)c1 ZINC000468451712 1073340221 /nfs/dbraw/zinc/34/02/21/1073340221.db2.gz SEXVAFLTAWHLKK-UHFFFAOYSA-N 0 0 445.476 0.356 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2ccn(-c3ccccc3)n2)n1)N1CCOCC1 ZINC000468452563 1074575047 /nfs/dbraw/zinc/57/50/47/1074575047.db2.gz SDYUBMWARNNZNQ-UHFFFAOYSA-N 0 0 437.460 0.183 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)NC1CCCCC1 ZINC000468452806 1073319399 /nfs/dbraw/zinc/31/93/99/1073319399.db2.gz WMLNGFUPDSWMIM-UHFFFAOYSA-N 0 0 434.497 -0.364 20 0 IBADRN COc1ccc(OCCN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468453555 1074575027 /nfs/dbraw/zinc/57/50/27/1074575027.db2.gz WBIAIWRKYXPXOR-UHFFFAOYSA-N 0 0 445.476 0.226 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC000468454497 1074574846 /nfs/dbraw/zinc/57/48/46/1074574846.db2.gz BDRBMSWKJKNYKW-UHFFFAOYSA-N 0 0 438.387 -0.268 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Nc1ccc(Cl)cc1 ZINC000468454505 1073320190 /nfs/dbraw/zinc/32/01/90/1073320190.db2.gz BFQKJSQVGZNKBJ-UHFFFAOYSA-N 0 0 448.867 0.089 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)C1 ZINC000468454820 1073350899 /nfs/dbraw/zinc/35/08/99/1073350899.db2.gz FHXYLMPCPMJRMC-INIZCTEOSA-N 0 0 434.522 0.247 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)C1 ZINC000468454821 1073350674 /nfs/dbraw/zinc/35/06/74/1073350674.db2.gz FHXYLMPCPMJRMC-MRXNPFEDSA-N 0 0 434.522 0.247 20 0 IBADRN Cc1ccccc1OCCN(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468455037 1073343928 /nfs/dbraw/zinc/34/39/28/1073343928.db2.gz HSRPJXIRLSKMHK-UHFFFAOYSA-N 0 0 429.477 0.526 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCCN(CC(F)(F)F)CC2)n1)N1CCOCC1 ZINC000468455109 1074575033 /nfs/dbraw/zinc/57/50/33/1074575033.db2.gz AGYUNXNWRJNQAL-UHFFFAOYSA-N 0 0 446.430 -0.223 20 0 IBADRN CCN1CCCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000468455306 1074574935 /nfs/dbraw/zinc/57/49/35/1074574935.db2.gz BOADSWQEWHXUKW-UHFFFAOYSA-N 0 0 438.554 -0.203 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccccc1 ZINC000468455812 1074574985 /nfs/dbraw/zinc/57/49/85/1074574985.db2.gz LVAMZTNTUBFXJQ-UHFFFAOYSA-N 0 0 428.493 0.323 20 0 IBADRN CCOCc1ccccc1CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468456919 1073343006 /nfs/dbraw/zinc/34/30/06/1073343006.db2.gz AKKVFUXEQKHDPS-UHFFFAOYSA-N 0 0 429.477 0.533 20 0 IBADRN Cc1cc(C)cc(OCCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468457570 1074574909 /nfs/dbraw/zinc/57/49/09/1074574909.db2.gz PBIAOSPXNFDMLB-UHFFFAOYSA-N 0 0 429.477 0.492 20 0 IBADRN Cc1nc(SCCC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n[nH]1 ZINC000468457686 1074574878 /nfs/dbraw/zinc/57/48/78/1074574878.db2.gz KKTZXKDUZVVGJD-UHFFFAOYSA-N 0 0 428.496 -0.180 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)c[nH]1 ZINC000468458030 1074575557 /nfs/dbraw/zinc/57/55/57/1074575557.db2.gz CUKFXYQIEJWPOO-UHFFFAOYSA-N 0 0 449.489 -0.568 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468458070 1073347248 /nfs/dbraw/zinc/34/72/48/1073347248.db2.gz DJEQPVGUMLFALY-UHFFFAOYSA-N 0 0 445.476 0.057 20 0 IBADRN COCCN(Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468458187 1073333030 /nfs/dbraw/zinc/33/30/30/1073333030.db2.gz HLKRGVAIHKJJLW-UHFFFAOYSA-N 0 0 429.477 0.356 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468458237 1073342668 /nfs/dbraw/zinc/34/26/68/1073342668.db2.gz HYIWGSCNBUHUPJ-UHFFFAOYSA-N 0 0 429.477 0.492 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCCN(c3nccs3)CC2)n1)N1CCOCC1 ZINC000468458248 1074575507 /nfs/dbraw/zinc/57/55/07/1074575507.db2.gz HXEXTXASHAQUCZ-UHFFFAOYSA-N 0 0 447.521 -0.124 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCOc2ccc(Cl)cc2)n1)N1CCOCC1 ZINC000468458327 1074575478 /nfs/dbraw/zinc/57/54/78/1074575478.db2.gz IIOAHVLXTFERQE-UHFFFAOYSA-N 0 0 435.868 0.529 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3)C2)n1)N1CCOCC1 ZINC000468458996 1074575540 /nfs/dbraw/zinc/57/55/40/1074575540.db2.gz MWYMLCBDUGSXAH-HNNXBMFYSA-N 0 0 440.460 -0.398 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3)C2)n1)N1CCOCC1 ZINC000468458997 1074575568 /nfs/dbraw/zinc/57/55/68/1074575568.db2.gz MWYMLCBDUGSXAH-OAHLLOKOSA-N 0 0 440.460 -0.398 20 0 IBADRN COc1ccccc1OCCN(C)C(=O)C(=O)N=c1ccn(CC(=O)N2CCOCC2)[nH]1 ZINC000468459404 1074481093 /nfs/dbraw/zinc/48/10/93/1074481093.db2.gz HXYYGLQAYYZGQS-UHFFFAOYSA-N 0 0 445.476 -0.352 20 0 IBADRN CN(C[C@H]1COc2ccccc2O1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468459615 1073311246 /nfs/dbraw/zinc/31/12/46/1073311246.db2.gz LOLUAWJYIPJRMX-HNNXBMFYSA-N 0 0 443.460 -0.021 20 0 IBADRN CN(C[C@@H]1COc2ccccc2O1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468459616 1074575328 /nfs/dbraw/zinc/57/53/28/1074575328.db2.gz LOLUAWJYIPJRMX-OAHLLOKOSA-N 0 0 443.460 -0.021 20 0 IBADRN CCOCc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468459980 1071292139 /nfs/dbraw/zinc/29/21/39/1071292139.db2.gz JXEABKURJZRRBO-UHFFFAOYSA-N 0 0 429.477 0.533 20 0 IBADRN C[C@@H](CCc1ccc(O)cc1)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468460501 1073352314 /nfs/dbraw/zinc/35/23/14/1073352314.db2.gz NRBSNOSITNLUKD-HNNXBMFYSA-N 0 0 429.477 0.524 20 0 IBADRN C[C@H](CCc1ccc(O)cc1)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468460502 1074575382 /nfs/dbraw/zinc/57/53/82/1074575382.db2.gz NRBSNOSITNLUKD-OAHLLOKOSA-N 0 0 429.477 0.524 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2cnn(-c3ccccc3)c2)n1)N1CCOCC1 ZINC000468460644 1074575467 /nfs/dbraw/zinc/57/54/67/1074575467.db2.gz PMZFORXAJDXTQU-UHFFFAOYSA-N 0 0 437.460 0.183 20 0 IBADRN C[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@@H](CO)O1 ZINC000468460753 1074575411 /nfs/dbraw/zinc/57/54/11/1074575411.db2.gz RCBAHKRIAFSMDC-CVEARBPZSA-N 0 0 427.527 -0.675 20 0 IBADRN C[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@@H](CO)O1 ZINC000468460754 1074575521 /nfs/dbraw/zinc/57/55/21/1074575521.db2.gz RCBAHKRIAFSMDC-HOTGVXAUSA-N 0 0 427.527 -0.675 20 0 IBADRN C[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H](CO)O1 ZINC000468460755 1074575393 /nfs/dbraw/zinc/57/53/93/1074575393.db2.gz RCBAHKRIAFSMDC-HZPDHXFCSA-N 0 0 427.527 -0.675 20 0 IBADRN C[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H](CO)O1 ZINC000468460756 1074575302 /nfs/dbraw/zinc/57/53/02/1074575302.db2.gz RCBAHKRIAFSMDC-JKSUJKDBSA-N 0 0 427.527 -0.675 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1)NC1CC1 ZINC000468460925 1073320288 /nfs/dbraw/zinc/32/02/88/1073320288.db2.gz WAPCURXGQFSASZ-UHFFFAOYSA-N 0 0 425.493 -0.118 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1nc2ccccc2[nH]1 ZINC000468461907 1074576040 /nfs/dbraw/zinc/57/60/40/1074576040.db2.gz UOXHJMVLPGSQNK-CYBMUJFWSA-N 0 0 425.449 0.434 20 0 IBADRN Cc1cccc(OCCN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468461949 1074576100 /nfs/dbraw/zinc/57/61/00/1074576100.db2.gz XUJUPGZPJNRIHZ-UHFFFAOYSA-N 0 0 429.477 0.526 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1nc2ccccc2[nH]1 ZINC000468462208 1074575340 /nfs/dbraw/zinc/57/53/40/1074575340.db2.gz UOXHJMVLPGSQNK-ZDUSSCGKSA-N 0 0 425.449 0.434 20 0 IBADRN CCCCOc1ncccc1CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468462368 1074575374 /nfs/dbraw/zinc/57/53/74/1074575374.db2.gz YVFMYKCGMYOKFE-UHFFFAOYSA-N 0 0 444.492 0.571 20 0 IBADRN CCCCCNC(=O)C1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000468462836 1074575487 /nfs/dbraw/zinc/57/54/87/1074575487.db2.gz XCFJBYDQUWJUML-UHFFFAOYSA-N 0 0 427.527 -0.063 20 0 IBADRN COC(=O)c1ccc(CN(C)C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468462983 1074576125 /nfs/dbraw/zinc/57/61/25/1074576125.db2.gz XUEGWLAVMKDEOI-UHFFFAOYSA-N 0 0 443.460 0.126 20 0 IBADRN COCCOc1cccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468462986 1073334931 /nfs/dbraw/zinc/33/49/31/1073334931.db2.gz ZEJSQKVCXAQLNV-UHFFFAOYSA-N 0 0 445.476 0.022 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCOc2cccc(Cl)c2)n1)N1CCOCC1 ZINC000468463051 1074576092 /nfs/dbraw/zinc/57/60/92/1074576092.db2.gz YMWNTKKKOFUXCS-UHFFFAOYSA-N 0 0 435.868 0.529 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468463591 1074576084 /nfs/dbraw/zinc/57/60/84/1074576084.db2.gz OZVRREWHNFLGPP-UHFFFAOYSA-N 0 0 431.449 0.014 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2cccc(CN3CCCC3=O)c2)c[nH]1 ZINC000468464648 1074575854 /nfs/dbraw/zinc/57/58/54/1074575854.db2.gz AJVKKWGKNSVXTG-UHFFFAOYSA-N 0 0 433.490 -0.169 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2ccccc2-n2ccnc2)n1)N1CCOCC1 ZINC000468465028 1074575988 /nfs/dbraw/zinc/57/59/88/1074575988.db2.gz BISXZJGZRLHDFC-UHFFFAOYSA-N 0 0 437.460 0.183 20 0 IBADRN COCCOc1ccccc1CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468465301 1073335145 /nfs/dbraw/zinc/33/51/45/1073335145.db2.gz DKFQLZJTKXBCSQ-UHFFFAOYSA-N 0 0 445.476 0.022 20 0 IBADRN COc1ccc(C)cc1CCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468465686 1073343937 /nfs/dbraw/zinc/34/39/37/1073343937.db2.gz HDDHTIOKXCGALN-UHFFFAOYSA-N 0 0 429.477 0.356 20 0 IBADRN C[C@H]1Cc2ccccc2N1CCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468465697 1073315804 /nfs/dbraw/zinc/31/58/04/1073315804.db2.gz HGOFBKCFTGTXTL-INIZCTEOSA-N 0 0 440.504 0.248 20 0 IBADRN C[C@@H]1Cc2ccccc2N1CCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468465698 1073307287 /nfs/dbraw/zinc/30/72/87/1073307287.db2.gz HGOFBKCFTGTXTL-MRXNPFEDSA-N 0 0 440.504 0.248 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCCN(c3ccccc3)CC2)n1)N1CCOCC1 ZINC000468465750 1074575977 /nfs/dbraw/zinc/57/59/77/1074575977.db2.gz HXGJNVUUUIAPIT-UHFFFAOYSA-N 0 0 440.504 0.419 20 0 IBADRN COc1ccc(CCCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000468466547 1074576107 /nfs/dbraw/zinc/57/61/07/1074576107.db2.gz JUJDMPWXCPABCO-UHFFFAOYSA-N 0 0 429.477 0.438 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCN2CCc3sccc3C2)n1)N1CCOCC1 ZINC000468466880 1074576006 /nfs/dbraw/zinc/57/60/06/1074576006.db2.gz KDEVFLMUWGAYSN-UHFFFAOYSA-N 0 0 446.533 -0.084 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC2CCN(c3ccccc3)CC2)n1)N1CCOCC1 ZINC000468467001 1073321999 /nfs/dbraw/zinc/32/19/99/1073321999.db2.gz KXKAALRJHLGNLX-UHFFFAOYSA-N 0 0 440.504 0.466 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000468467246 1073325032 /nfs/dbraw/zinc/32/50/32/1073325032.db2.gz NGBGYLFGADOCGE-UHFFFAOYSA-N 0 0 449.519 0.188 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCN2CCc3ccccc3C2)n1)N1CCOCC1 ZINC000468467585 1074575908 /nfs/dbraw/zinc/57/59/08/1074575908.db2.gz MQUZBBSLWXMFES-UHFFFAOYSA-N 0 0 440.504 -0.145 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCCN2CCCCCC2=O)n1)N1CCOCC1 ZINC000468468140 1074576113 /nfs/dbraw/zinc/57/61/13/1074576113.db2.gz QHZZEWONLWQIQC-UHFFFAOYSA-N 0 0 434.497 -0.411 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H](c2ccco2)N2CCCC2)n1)N1CCOCC1 ZINC000468469625 1073322383 /nfs/dbraw/zinc/32/23/83/1073322383.db2.gz XGPJEPHQYUBQOB-INIZCTEOSA-N 0 0 444.492 0.227 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H](c2ccco2)N2CCCC2)n1)N1CCOCC1 ZINC000468469626 1074575836 /nfs/dbraw/zinc/57/58/36/1074575836.db2.gz XGPJEPHQYUBQOB-MRXNPFEDSA-N 0 0 444.492 0.227 20 0 IBADRN C[C@@H](COc1cccc(F)c1)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468470186 1074576484 /nfs/dbraw/zinc/57/64/84/1074576484.db2.gz ZRDBVHJZKNEOAJ-AWEZNQCLSA-N 0 0 433.440 0.403 20 0 IBADRN C[C@H](COc1cccc(F)c1)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468470187 1074576540 /nfs/dbraw/zinc/57/65/40/1074576540.db2.gz ZRDBVHJZKNEOAJ-CQSZACIVSA-N 0 0 433.440 0.403 20 0 IBADRN Cc1nc(SCC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n[nH]1 ZINC000468471241 1074576326 /nfs/dbraw/zinc/57/63/26/1074576326.db2.gz UJMAOTZYUSHRHO-UHFFFAOYSA-N 0 0 425.540 -0.132 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CCC(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000468477472 1074576518 /nfs/dbraw/zinc/57/65/18/1074576518.db2.gz CXDCORNCZHJQJC-CYBMUJFWSA-N 0 0 437.522 -0.015 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CCC(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000468477476 1074576474 /nfs/dbraw/zinc/57/64/74/1074576474.db2.gz CXDCORNCZHJQJC-ZDUSSCGKSA-N 0 0 437.522 -0.015 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CC[S@@](=O)c1ccc2c(c1)OCCO2 ZINC000468478491 1074576420 /nfs/dbraw/zinc/57/64/20/1074576420.db2.gz ITPAFCSSLCUPSC-CFJPQMKISA-N 0 0 444.531 -0.283 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CC[S@@](=O)c1ccc2c(c1)OCCO2 ZINC000468478492 1074576464 /nfs/dbraw/zinc/57/64/64/1074576464.db2.gz ITPAFCSSLCUPSC-DBDZUICISA-N 0 0 444.531 -0.283 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CC[S@](=O)c1ccc2c(c1)OCCO2 ZINC000468478493 1074576532 /nfs/dbraw/zinc/57/65/32/1074576532.db2.gz ITPAFCSSLCUPSC-OIJAAMDRSA-N 0 0 444.531 -0.283 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CC[S@](=O)c1ccc2c(c1)OCCO2 ZINC000468478494 1074576525 /nfs/dbraw/zinc/57/65/25/1074576525.db2.gz ITPAFCSSLCUPSC-QYSRSOONSA-N 0 0 444.531 -0.283 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)N2CCOCC2)c1 ZINC000468480579 1074576301 /nfs/dbraw/zinc/57/63/01/1074576301.db2.gz TZPSWQIIFJIIFR-GOSISDBHSA-N 0 0 449.533 -0.110 20 0 IBADRN Cc1cccc([C@H](CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)N2CCOCC2)c1 ZINC000468480581 1074576407 /nfs/dbraw/zinc/57/64/07/1074576407.db2.gz TZPSWQIIFJIIFR-SFHVURJKSA-N 0 0 449.533 -0.110 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)o1 ZINC000468480704 1074576311 /nfs/dbraw/zinc/57/63/11/1074576311.db2.gz VHISXMAUNBYARB-UHFFFAOYSA-N 0 0 442.475 -0.165 20 0 IBADRN O=C(CC[S@@](=O)c1ccc2c(c1)OCCO2)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000468482042 1071301402 /nfs/dbraw/zinc/30/14/02/1071301402.db2.gz ZDYSMZGJNPDHDE-CFJPQMKISA-N 0 0 444.531 -0.235 20 0 IBADRN O=C(CC[S@@](=O)c1ccc2c(c1)OCCO2)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000468482043 1074576492 /nfs/dbraw/zinc/57/64/92/1074576492.db2.gz ZDYSMZGJNPDHDE-DBDZUICISA-N 0 0 444.531 -0.235 20 0 IBADRN O=C(CC[S@](=O)c1ccc2c(c1)OCCO2)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000468482044 1074576950 /nfs/dbraw/zinc/57/69/50/1074576950.db2.gz ZDYSMZGJNPDHDE-OIJAAMDRSA-N 0 0 444.531 -0.235 20 0 IBADRN O=C(CC[S@](=O)c1ccc2c(c1)OCCO2)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000468482045 1074576816 /nfs/dbraw/zinc/57/68/16/1074576816.db2.gz ZDYSMZGJNPDHDE-QYSRSOONSA-N 0 0 444.531 -0.235 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468495701 1074577003 /nfs/dbraw/zinc/57/70/03/1074577003.db2.gz NXPGQBGHTLKCFX-CVEARBPZSA-N 0 0 443.460 -0.574 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468495702 1074577037 /nfs/dbraw/zinc/57/70/37/1074577037.db2.gz NXPGQBGHTLKCFX-HOTGVXAUSA-N 0 0 443.460 -0.574 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468495703 1074576828 /nfs/dbraw/zinc/57/68/28/1074576828.db2.gz NXPGQBGHTLKCFX-HZPDHXFCSA-N 0 0 443.460 -0.574 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468495704 1074576979 /nfs/dbraw/zinc/57/69/79/1074576979.db2.gz NXPGQBGHTLKCFX-JKSUJKDBSA-N 0 0 443.460 -0.574 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cn(CC(=O)C(C)(C)C)nn3)CC2)CC1 ZINC000468497785 1074577012 /nfs/dbraw/zinc/57/70/12/1074577012.db2.gz UAKVPBYOCPRIPR-UHFFFAOYSA-N 0 0 441.558 -0.857 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCN(S(=O)(=O)c2cccc3cc(C)cnc32)CC1)C(N)=O ZINC000468499490 1074576808 /nfs/dbraw/zinc/57/68/08/1074576808.db2.gz AJOGPUVZWAYPLJ-INIZCTEOSA-N 0 0 447.517 -0.244 20 0 IBADRN COCCN1CCCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1=O ZINC000468506120 1074577328 /nfs/dbraw/zinc/57/73/28/1074577328.db2.gz ITFZKJXZHQCCJY-UHFFFAOYSA-N 0 0 433.513 -0.594 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCc4c[nH]nc4C3)CC2)C[C@@H](C)O1 ZINC000468506438 1074576916 /nfs/dbraw/zinc/57/69/16/1074576916.db2.gz KHQPVORRWKZELX-FMKPAKJESA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCc4c[nH]nc4C3)CC2)C[C@@H](C)O1 ZINC000468506439 1074577297 /nfs/dbraw/zinc/57/72/97/1074577297.db2.gz KHQPVORRWKZELX-IIAWOOMASA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCc4c[nH]nc4C3)CC2)C[C@H](C)O1 ZINC000468506440 1074577292 /nfs/dbraw/zinc/57/72/92/1074577292.db2.gz KHQPVORRWKZELX-IJEWVQPXSA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCc4c[nH]nc4C3)CC2)C[C@H](C)O1 ZINC000468506441 1074577362 /nfs/dbraw/zinc/57/73/62/1074577362.db2.gz KHQPVORRWKZELX-LZWOXQAQSA-N 0 0 426.543 -0.052 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccnc(N2CCOCC2)c1 ZINC000468508856 1074577410 /nfs/dbraw/zinc/57/74/10/1074577410.db2.gz XQYZNFIBHKZHKR-UHFFFAOYSA-N 0 0 427.527 -0.632 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(c4cnccn4)CC3)CC2=O)c1 ZINC000468508997 1074577429 /nfs/dbraw/zinc/57/74/29/1074577429.db2.gz ZHDNJLZVXGTHGX-AWEZNQCLSA-N 0 0 430.490 -0.174 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(c4cnccn4)CC3)CC2=O)c1 ZINC000468508998 1074577416 /nfs/dbraw/zinc/57/74/16/1074577416.db2.gz ZHDNJLZVXGTHGX-CQSZACIVSA-N 0 0 430.490 -0.174 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3cc(N4CCNC(=O)C4)ccn3)CC2)no1 ZINC000468522322 1073331089 /nfs/dbraw/zinc/33/10/89/1073331089.db2.gz HMIRYYXITJLUJB-UHFFFAOYSA-N 0 0 427.465 -0.289 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2cccc(F)c2)CC1 ZINC000468526950 1074577405 /nfs/dbraw/zinc/57/74/05/1074577405.db2.gz GNAMTDKDTAHGGC-UHFFFAOYSA-N 0 0 442.513 -0.056 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)c3cccc(F)c3)CC2)o1 ZINC000468526951 1074577340 /nfs/dbraw/zinc/57/73/40/1074577340.db2.gz GNBVXQNSMVPJPZ-UHFFFAOYSA-N 0 0 438.437 -0.220 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3cccc(F)c3)CC2)c[nH]1 ZINC000468537089 1074577718 /nfs/dbraw/zinc/57/77/18/1074577718.db2.gz PZKTXARJHHAGEM-UHFFFAOYSA-N 0 0 437.453 -0.484 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000468549860 1074578318 /nfs/dbraw/zinc/57/83/18/1074578318.db2.gz WJDTUMGVTLPRLK-UHFFFAOYSA-N 0 0 425.511 -0.800 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H](O)Cc3cnn(C)c3)CC2)c1 ZINC000468550404 1074578266 /nfs/dbraw/zinc/57/82/66/1074578266.db2.gz ZVUMWCWSVHXFIE-AWEZNQCLSA-N 0 0 442.519 -0.295 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@H](O)Cc3cnn(C)c3)CC2)c1 ZINC000468550405 1074578201 /nfs/dbraw/zinc/57/82/01/1074578201.db2.gz ZVUMWCWSVHXFIE-CQSZACIVSA-N 0 0 442.519 -0.295 20 0 IBADRN O=C(CCNC(=O)c1ccnc(C(=O)NCCC(=O)N2CCOCC2)c1)N1CCOCC1 ZINC000468552581 1074578272 /nfs/dbraw/zinc/57/82/72/1074578272.db2.gz HVHSWKPDLVLOMT-UHFFFAOYSA-N 0 0 447.492 -0.961 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CSC[C@H]2C(=O)Nc2ccccc2)c[nH]1 ZINC000468557373 1074578285 /nfs/dbraw/zinc/57/82/85/1074578285.db2.gz WITNTYPWKFQTRN-AWEZNQCLSA-N 0 0 437.503 -0.068 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CSC[C@@H]2C(=O)Nc2ccccc2)c[nH]1 ZINC000468557374 1074578312 /nfs/dbraw/zinc/57/83/12/1074578312.db2.gz WITNTYPWKFQTRN-CQSZACIVSA-N 0 0 437.503 -0.068 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCOCC1 ZINC000468557679 1074578348 /nfs/dbraw/zinc/57/83/48/1074578348.db2.gz XFCDWOOAUJYPBX-KRWDZBQOSA-N 0 0 429.477 -0.099 20 0 IBADRN O=C(CCNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCOCC1 ZINC000468557680 1074578306 /nfs/dbraw/zinc/57/83/06/1074578306.db2.gz XFCDWOOAUJYPBX-QGZVFWFLSA-N 0 0 429.477 -0.099 20 0 IBADRN COc1ccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c(OC)c1OC ZINC000468561475 1074578163 /nfs/dbraw/zinc/57/81/63/1074578163.db2.gz VAUWICUDUSSYSQ-UHFFFAOYSA-N 0 0 427.435 -0.001 20 0 IBADRN COc1ccc(CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(OC)c1OC ZINC000468563401 1074578152 /nfs/dbraw/zinc/57/81/52/1074578152.db2.gz FBSKOWGHUZZBOZ-UHFFFAOYSA-N 0 0 426.451 -0.266 20 0 IBADRN COC(=O)c1cccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468564385 1073328528 /nfs/dbraw/zinc/32/85/28/1073328528.db2.gz HVVYZMGYYWXZAP-UHFFFAOYSA-N 0 0 429.433 -0.217 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@@H]1C(=O)Nc1nccs1 ZINC000468566115 1074578770 /nfs/dbraw/zinc/57/87/70/1074578770.db2.gz QLDHPHBZXSQRHV-GFCCVEGCSA-N 0 0 431.540 -0.093 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H]1C(=O)Nc1nccs1 ZINC000468566116 1074578588 /nfs/dbraw/zinc/57/85/88/1074578588.db2.gz QLDHPHBZXSQRHV-LBPRGKRZSA-N 0 0 431.540 -0.093 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3cccs3)CC2)n1)N1CCOCC1 ZINC000468566608 1074578753 /nfs/dbraw/zinc/57/87/53/1074578753.db2.gz QRVKZUQSDFGDBM-UHFFFAOYSA-N 0 0 432.506 0.091 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)Nc2nccs2)c[nH]1 ZINC000468567764 1074578711 /nfs/dbraw/zinc/57/87/11/1074578711.db2.gz AASKAGUYCRJLOL-LLVKDONJSA-N 0 0 426.480 -0.522 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)Nc2nccs2)c[nH]1 ZINC000468567774 1074578658 /nfs/dbraw/zinc/57/86/58/1074578658.db2.gz AASKAGUYCRJLOL-NSHDSACASA-N 0 0 426.480 -0.522 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)cn1 ZINC000468568763 1074578776 /nfs/dbraw/zinc/57/87/76/1074578776.db2.gz FVSKFPVPHOMIQE-AWEZNQCLSA-N 0 0 436.519 -0.139 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)cn1 ZINC000468568764 1074578598 /nfs/dbraw/zinc/57/85/98/1074578598.db2.gz FVSKFPVPHOMIQE-CQSZACIVSA-N 0 0 436.519 -0.139 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C(=O)Nc2nccs2)o1 ZINC000468569405 1074578700 /nfs/dbraw/zinc/57/87/00/1074578700.db2.gz ZHJXWCXWAREUJC-SECBINFHSA-N 0 0 427.464 -0.257 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C(=O)Nc2nccs2)o1 ZINC000468569406 1074578666 /nfs/dbraw/zinc/57/86/66/1074578666.db2.gz ZHJXWCXWAREUJC-VIFPVBQESA-N 0 0 427.464 -0.257 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1nc(CN2CCOCC2)cs1 ZINC000468570185 1074578636 /nfs/dbraw/zinc/57/86/36/1074578636.db2.gz CSTAZUXCMIGOFQ-UHFFFAOYSA-N 0 0 433.556 -0.252 20 0 IBADRN C[C@H](c1nccs1)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000468570715 1074578748 /nfs/dbraw/zinc/57/87/48/1074578748.db2.gz SQGBOLWAAZRKNB-LLVKDONJSA-N 0 0 426.524 -0.246 20 0 IBADRN C[C@@H](c1nccs1)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000468570716 1074578645 /nfs/dbraw/zinc/57/86/45/1074578645.db2.gz SQGBOLWAAZRKNB-NSHDSACASA-N 0 0 426.524 -0.246 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1CC[NH+](Cc2ccncc2)CC1 ZINC000468576803 1074579066 /nfs/dbraw/zinc/57/90/66/1074579066.db2.gz NXONNCGYJLVNHP-UHFFFAOYSA-N 0 0 425.555 -0.004 20 0 IBADRN CC1(C)CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@@H]1O ZINC000468579086 1074579117 /nfs/dbraw/zinc/57/91/17/1074579117.db2.gz BYBVKOPMDZZFRW-BBRMVZONSA-N 0 0 441.506 -0.401 20 0 IBADRN CC1(C)CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@@H]1O ZINC000468579087 1074579132 /nfs/dbraw/zinc/57/91/32/1074579132.db2.gz BYBVKOPMDZZFRW-CJNGLKHVSA-N 0 0 441.506 -0.401 20 0 IBADRN CC1(C)CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1O ZINC000468579088 1074579093 /nfs/dbraw/zinc/57/90/93/1074579093.db2.gz BYBVKOPMDZZFRW-CZUORRHYSA-N 0 0 441.506 -0.401 20 0 IBADRN CC1(C)CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C[C@H]1O ZINC000468579089 1074579103 /nfs/dbraw/zinc/57/91/03/1074579103.db2.gz BYBVKOPMDZZFRW-XJKSGUPXSA-N 0 0 441.506 -0.401 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@@H](O)C(C)(C)C2)c(=O)[nH]c1=O ZINC000468579906 1074579166 /nfs/dbraw/zinc/57/91/66/1074579166.db2.gz FTXYDINJWYZALW-CYBMUJFWSA-N 0 0 439.513 -0.070 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@H](O)C(C)(C)C2)c(=O)[nH]c1=O ZINC000468579907 1074579140 /nfs/dbraw/zinc/57/91/40/1074579140.db2.gz FTXYDINJWYZALW-ZDUSSCGKSA-N 0 0 439.513 -0.070 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)Nc2nc(CN3CCOCC3)cs2)c[nH]1 ZINC000468580449 1074579136 /nfs/dbraw/zinc/57/91/36/1074579136.db2.gz YTBLGYYXYDYYOZ-UHFFFAOYSA-N 0 0 428.496 -0.681 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(OCC(=O)N(C)C)c1 ZINC000468582632 1074579555 /nfs/dbraw/zinc/57/95/55/1074579555.db2.gz ZRZONZRTFUJQHT-UHFFFAOYSA-N 0 0 428.511 -0.074 20 0 IBADRN COc1cc(OC)c(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c(OC)c1 ZINC000468585509 1074579536 /nfs/dbraw/zinc/57/95/36/1074579536.db2.gz BMVQZJWSTKGVIS-UHFFFAOYSA-N 0 0 427.435 -0.001 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000468587007 1074579597 /nfs/dbraw/zinc/57/95/97/1074579597.db2.gz FNWWJURSJKZQCX-UHFFFAOYSA-N 0 0 438.462 -0.560 20 0 IBADRN CN(CCOCc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468588843 1073310679 /nfs/dbraw/zinc/31/06/79/1073310679.db2.gz LDODYSJHHPMHSG-UHFFFAOYSA-N 0 0 429.477 0.356 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N[C@H](C(N)=O)c3ccc(F)cc3)nc2n(C)c1=O ZINC000468588902 1074579636 /nfs/dbraw/zinc/57/96/36/1074579636.db2.gz SMLMBWIDUBWOQI-KRWDZBQOSA-N 0 0 428.424 0.473 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N[C@@H](C(N)=O)c3ccc(F)cc3)nc2n(C)c1=O ZINC000468588903 1073354075 /nfs/dbraw/zinc/35/40/75/1073354075.db2.gz SMLMBWIDUBWOQI-QGZVFWFLSA-N 0 0 428.424 0.473 20 0 IBADRN Cc1ccc(NC(=O)C2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)nc1 ZINC000468589921 1074579577 /nfs/dbraw/zinc/57/95/77/1074579577.db2.gz VVCHRNSYQQKREY-UHFFFAOYSA-N 0 0 448.505 -0.027 20 0 IBADRN CN(C(=O)CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C1CCCCC1 ZINC000468591045 1073353241 /nfs/dbraw/zinc/35/32/41/1073353241.db2.gz WTHSHVAMCGMSDP-UHFFFAOYSA-N 0 0 434.497 -0.412 20 0 IBADRN Cc1cccc(NC(=O)[C@@H]2CCCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)n1 ZINC000468592927 1074579644 /nfs/dbraw/zinc/57/96/44/1074579644.db2.gz YBRBFZXZHBAQGG-CYBMUJFWSA-N 0 0 448.505 -0.027 20 0 IBADRN Cc1cccc(NC(=O)[C@H]2CCCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)n1 ZINC000468592928 1074579568 /nfs/dbraw/zinc/57/95/68/1074579568.db2.gz YBRBFZXZHBAQGG-ZDUSSCGKSA-N 0 0 448.505 -0.027 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000468595102 1073351182 /nfs/dbraw/zinc/35/11/82/1073351182.db2.gz IXMYQWJLGBUDRH-CVEARBPZSA-N 0 0 434.522 0.293 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000468595103 1073351238 /nfs/dbraw/zinc/35/12/38/1073351238.db2.gz IXMYQWJLGBUDRH-HOTGVXAUSA-N 0 0 434.522 0.293 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000468595104 1073350797 /nfs/dbraw/zinc/35/07/97/1073350797.db2.gz IXMYQWJLGBUDRH-HZPDHXFCSA-N 0 0 434.522 0.293 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000468595105 1073351325 /nfs/dbraw/zinc/35/13/25/1073351325.db2.gz IXMYQWJLGBUDRH-JKSUJKDBSA-N 0 0 434.522 0.293 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000468595435 1074579622 /nfs/dbraw/zinc/57/96/22/1074579622.db2.gz KMPKLTSEFAUWAH-UHFFFAOYSA-N 0 0 437.478 -0.825 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@H](c3ccsc3)C2)n1)N1CCOCC1 ZINC000468596268 1074579494 /nfs/dbraw/zinc/57/94/94/1074579494.db2.gz KVZGLDPAPDWJIJ-HNNXBMFYSA-N 0 0 433.490 0.342 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@@H](c3ccsc3)C2)n1)N1CCOCC1 ZINC000468596269 1074579632 /nfs/dbraw/zinc/57/96/32/1074579632.db2.gz KVZGLDPAPDWJIJ-OAHLLOKOSA-N 0 0 433.490 0.342 20 0 IBADRN COc1cc(OC)c(CNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(OC)c1 ZINC000468596739 1074579506 /nfs/dbraw/zinc/57/95/06/1074579506.db2.gz CYXMIJUZXGUXNL-UHFFFAOYSA-N 0 0 426.451 -0.266 20 0 IBADRN Cc1ccc(NC(=O)[C@@H]2CCCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)nc1 ZINC000468601139 1074579829 /nfs/dbraw/zinc/57/98/29/1074579829.db2.gz SWHIHYLCGJGPIR-CYBMUJFWSA-N 0 0 448.505 -0.027 20 0 IBADRN Cc1ccc(NC(=O)[C@H]2CCCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)nc1 ZINC000468601140 1074579871 /nfs/dbraw/zinc/57/98/71/1074579871.db2.gz SWHIHYLCGJGPIR-ZDUSSCGKSA-N 0 0 448.505 -0.027 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000468605924 1074580373 /nfs/dbraw/zinc/58/03/73/1074580373.db2.gz MJBXJYUXWRPFOD-INIZCTEOSA-N 0 0 429.477 -0.803 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000468605925 1074580520 /nfs/dbraw/zinc/58/05/20/1074580520.db2.gz MJBXJYUXWRPFOD-MRXNPFEDSA-N 0 0 429.477 -0.803 20 0 IBADRN O=C(c1ccccc1CN1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000468606022 1074580335 /nfs/dbraw/zinc/58/03/35/1074580335.db2.gz DYXNXJIVRMRXLC-UHFFFAOYSA-N 0 0 438.550 -0.146 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000468608161 1074580526 /nfs/dbraw/zinc/58/05/26/1074580526.db2.gz LELDVBLCARFAGX-GOSISDBHSA-N 0 0 442.520 -0.184 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000468608168 1074580448 /nfs/dbraw/zinc/58/04/48/1074580448.db2.gz LELDVBLCARFAGX-SFHVURJKSA-N 0 0 442.520 -0.184 20 0 IBADRN CCOC(=O)CN(CCOC)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000468609361 1074580381 /nfs/dbraw/zinc/58/03/81/1074580381.db2.gz GDVAENQHUZFPQO-CYBMUJFWSA-N 0 0 427.479 -0.275 20 0 IBADRN CCOC(=O)CN(CCOC)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000468609362 1074580460 /nfs/dbraw/zinc/58/04/60/1074580460.db2.gz GDVAENQHUZFPQO-ZDUSSCGKSA-N 0 0 427.479 -0.275 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)c[nH]1 ZINC000468612747 1074580998 /nfs/dbraw/zinc/58/09/98/1074580998.db2.gz RVOFQUKRNKNECI-UHFFFAOYSA-N 0 0 437.453 -0.484 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(Cc3cccc(Cl)c3)C(=O)C2)n1 ZINC000468614151 1073302957 /nfs/dbraw/zinc/30/29/57/1073302957.db2.gz XCPSQRXCNNDXNG-UHFFFAOYSA-N 0 0 446.895 0.434 20 0 IBADRN CC(C)(C)C(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)nn1 ZINC000468614743 1071336618 /nfs/dbraw/zinc/33/66/18/1071336618.db2.gz FIIFHAGGLGITOB-UHFFFAOYSA-N 0 0 428.515 -0.772 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000468614833 1074580878 /nfs/dbraw/zinc/58/08/78/1074580878.db2.gz GGEYBKCJXJQDGZ-UHFFFAOYSA-N 0 0 442.513 -0.056 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)o1 ZINC000468616594 1074580888 /nfs/dbraw/zinc/58/08/88/1074580888.db2.gz YXLVUZWWNDYXJN-UHFFFAOYSA-N 0 0 438.437 -0.220 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000468621369 1074580843 /nfs/dbraw/zinc/58/08/43/1074580843.db2.gz WWYDWOLZDQJKKG-UHFFFAOYSA-N 0 0 449.555 -0.964 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(c3ncnc4sccc43)CC2)c[nH]1 ZINC000468624764 1074580912 /nfs/dbraw/zinc/58/09/12/1074580912.db2.gz FAJUFOUSINMTNQ-UHFFFAOYSA-N 0 0 449.518 -0.255 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nc(NCCOC)nc(N3CCC[C@H](C(=O)NC)C3)n2)C1 ZINC000468625498 1074581009 /nfs/dbraw/zinc/58/10/09/1074581009.db2.gz PYUYMVJBSJHMOR-GASCZTMLSA-N 0 0 434.545 -0.723 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nc(NCCOC)nc(N3CCC[C@H](C(=O)NC)C3)n2)C1 ZINC000468625499 1074580815 /nfs/dbraw/zinc/58/08/15/1074580815.db2.gz PYUYMVJBSJHMOR-GJZGRUSLSA-N 0 0 434.545 -0.723 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nc(NCCOC)nc(N3CCC[C@@H](C(=O)NC)C3)n2)C1 ZINC000468625500 1074580960 /nfs/dbraw/zinc/58/09/60/1074580960.db2.gz PYUYMVJBSJHMOR-HUUCEWRRSA-N 0 0 434.545 -0.723 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000468627542 1074580904 /nfs/dbraw/zinc/58/09/04/1074580904.db2.gz AYHFUFLIHOAMNZ-LLVKDONJSA-N 0 0 439.368 -0.637 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000468627543 1074581306 /nfs/dbraw/zinc/58/13/06/1074581306.db2.gz AYHFUFLIHOAMNZ-NSHDSACASA-N 0 0 439.368 -0.637 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)Cc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000468629567 1074581355 /nfs/dbraw/zinc/58/13/55/1074581355.db2.gz IOJOCIAHDVSSAP-CYBMUJFWSA-N 0 0 429.520 -0.035 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)Cc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000468629574 1074581344 /nfs/dbraw/zinc/58/13/44/1074581344.db2.gz IOJOCIAHDVSSAP-ZDUSSCGKSA-N 0 0 429.520 -0.035 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N[C@H](CO)[C@@H]2CCCO2)[C@@H]1C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000468630829 1074581404 /nfs/dbraw/zinc/58/14/04/1074581404.db2.gz IEGMAPBHAWRBRE-IMTGKERLSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N[C@H](CO)[C@@H]2CCCO2)[C@@H]1C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000468630830 1074581331 /nfs/dbraw/zinc/58/13/31/1074581331.db2.gz IEGMAPBHAWRBRE-IXLDOGFXSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N[C@H](CO)[C@@H]2CCCO2)[C@@H]1C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000468630831 1074581384 /nfs/dbraw/zinc/58/13/84/1074581384.db2.gz IEGMAPBHAWRBRE-RCQHAYOSSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N[C@H](CO)[C@@H]2CCCO2)[C@@H]1C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000468630832 1074581393 /nfs/dbraw/zinc/58/13/93/1074581393.db2.gz IEGMAPBHAWRBRE-SBSGYXCISA-N 0 0 426.510 -0.470 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000468631222 1074581360 /nfs/dbraw/zinc/58/13/60/1074581360.db2.gz OGNNNXUVNRDRDQ-CYBMUJFWSA-N 0 0 441.462 -0.984 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000468631223 1074581271 /nfs/dbraw/zinc/58/12/71/1074581271.db2.gz OGNNNXUVNRDRDQ-ZDUSSCGKSA-N 0 0 441.462 -0.984 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CS(=O)(=O)Cc1cccc(Br)c1 ZINC000468631226 1074581312 /nfs/dbraw/zinc/58/13/12/1074581312.db2.gz OHFSLMSLDQOXHG-GFCCVEGCSA-N 0 0 433.280 -0.136 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CS(=O)(=O)Cc1cccc(Br)c1 ZINC000468631227 1074581259 /nfs/dbraw/zinc/58/12/59/1074581259.db2.gz OHFSLMSLDQOXHG-LBPRGKRZSA-N 0 0 433.280 -0.136 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@H](CO)[C@@H]1CCCO1 ZINC000468631951 1071342784 /nfs/dbraw/zinc/34/27/84/1071342784.db2.gz QXYKCBDGLFPUHY-MSOLQXFVSA-N 0 0 439.534 -0.044 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@H](CO)[C@H]1CCCO1 ZINC000468631952 1074581410 /nfs/dbraw/zinc/58/14/10/1074581410.db2.gz QXYKCBDGLFPUHY-QZTJIDSGSA-N 0 0 439.534 -0.044 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H](CO)[C@@H]1CCCO1 ZINC000468631953 1074581369 /nfs/dbraw/zinc/58/13/69/1074581369.db2.gz QXYKCBDGLFPUHY-ROUUACIJSA-N 0 0 439.534 -0.044 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H](CO)[C@H]1CCCO1 ZINC000468631954 1074581282 /nfs/dbraw/zinc/58/12/82/1074581282.db2.gz QXYKCBDGLFPUHY-ZWKOTPCHSA-N 0 0 439.534 -0.044 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000468633450 1071343219 /nfs/dbraw/zinc/34/32/19/1071343219.db2.gz XTGIQKBACGEOOC-AAEUAGOBSA-N 0 0 441.462 -0.985 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000468633451 1071343302 /nfs/dbraw/zinc/34/33/02/1071343302.db2.gz XTGIQKBACGEOOC-WCQYABFASA-N 0 0 441.462 -0.985 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCN1C(=O)c2ccc(Br)cc2C1=O ZINC000468635713 1074581675 /nfs/dbraw/zinc/58/16/75/1074581675.db2.gz SMCDZGUJBODXBU-GFCCVEGCSA-N 0 0 438.234 -0.065 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCN1C(=O)c2ccc(Br)cc2C1=O ZINC000468635714 1074581757 /nfs/dbraw/zinc/58/17/57/1074581757.db2.gz SMCDZGUJBODXBU-LBPRGKRZSA-N 0 0 438.234 -0.065 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)[C@@H]3CCCO3)c2)CC1 ZINC000468635923 1074581376 /nfs/dbraw/zinc/58/13/76/1074581376.db2.gz VGQJDYGAEADXOS-MSOLQXFVSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)[C@H]3CCCO3)c2)CC1 ZINC000468635924 1074581782 /nfs/dbraw/zinc/58/17/82/1074581782.db2.gz VGQJDYGAEADXOS-QZTJIDSGSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)[C@@H]3CCCO3)c2)CC1 ZINC000468635925 1074581771 /nfs/dbraw/zinc/58/17/71/1074581771.db2.gz VGQJDYGAEADXOS-ROUUACIJSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)[C@H]3CCCO3)c2)CC1 ZINC000468635926 1074581740 /nfs/dbraw/zinc/58/17/40/1074581740.db2.gz VGQJDYGAEADXOS-ZWKOTPCHSA-N 0 0 425.507 -0.191 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(C)s2)C1 ZINC000468636532 1071344174 /nfs/dbraw/zinc/34/41/74/1071344174.db2.gz CJCKSJXFFCCKHM-CHWSQXEVSA-N 0 0 429.520 -0.043 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(C)s2)C1 ZINC000468636533 1071344058 /nfs/dbraw/zinc/34/40/58/1071344058.db2.gz CJCKSJXFFCCKHM-OLZOCXBDSA-N 0 0 429.520 -0.043 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(C)s2)C1 ZINC000468636534 1074581661 /nfs/dbraw/zinc/58/16/61/1074581661.db2.gz CJCKSJXFFCCKHM-QWHCGFSZSA-N 0 0 429.520 -0.043 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(C)s2)C1 ZINC000468636535 1074581640 /nfs/dbraw/zinc/58/16/40/1074581640.db2.gz CJCKSJXFFCCKHM-STQMWFEESA-N 0 0 429.520 -0.043 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCc4c[nH]nc4C3)c2)CC1 ZINC000468636795 1074581700 /nfs/dbraw/zinc/58/17/00/1074581700.db2.gz BGJVYMWUVZBSTA-INIZCTEOSA-N 0 0 446.533 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCc4c[nH]nc4C3)c2)CC1 ZINC000468636796 1074581688 /nfs/dbraw/zinc/58/16/88/1074581688.db2.gz BGJVYMWUVZBSTA-MRXNPFEDSA-N 0 0 446.533 -0.042 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000468637671 1074581651 /nfs/dbraw/zinc/58/16/51/1074581651.db2.gz IDUUTEIMHHXWLV-HNNXBMFYSA-N 0 0 425.463 -0.870 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000468637672 1074581668 /nfs/dbraw/zinc/58/16/68/1074581668.db2.gz IDUUTEIMHHXWLV-OAHLLOKOSA-N 0 0 425.463 -0.870 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000468637866 1074581743 /nfs/dbraw/zinc/58/17/43/1074581743.db2.gz JNQZFKSJUUFTAV-CYBMUJFWSA-N 0 0 428.467 -0.808 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000468637867 1074581706 /nfs/dbraw/zinc/58/17/06/1074581706.db2.gz JNQZFKSJUUFTAV-ZDUSSCGKSA-N 0 0 428.467 -0.808 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000468638852 1074581764 /nfs/dbraw/zinc/58/17/64/1074581764.db2.gz NXDSMODZTNTRTH-DZGCQCFKSA-N 0 0 425.463 -0.743 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000468638853 1074581714 /nfs/dbraw/zinc/58/17/14/1074581714.db2.gz NXDSMODZTNTRTH-HIFRSBDPSA-N 0 0 425.463 -0.743 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000468638854 1074581734 /nfs/dbraw/zinc/58/17/34/1074581734.db2.gz NXDSMODZTNTRTH-UKRRQHHQSA-N 0 0 425.463 -0.743 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000468638855 1074582113 /nfs/dbraw/zinc/58/21/13/1074582113.db2.gz NXDSMODZTNTRTH-ZFWWWQNUSA-N 0 0 425.463 -0.743 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000468639014 1074581749 /nfs/dbraw/zinc/58/17/49/1074581749.db2.gz QQEJFWGLAYHERE-SSDOTTSWSA-N 0 0 440.297 -0.711 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000468639015 1074581720 /nfs/dbraw/zinc/58/17/20/1074581720.db2.gz QQEJFWGLAYHERE-ZETCQYMHSA-N 0 0 440.297 -0.711 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCCn1cnc2cc(OC)c(OC)cc2c1=O ZINC000468639038 1074581681 /nfs/dbraw/zinc/58/16/81/1074581681.db2.gz QZQPFJIMSCCIDY-AWEZNQCLSA-N 0 0 432.433 -0.306 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCCn1cnc2cc(OC)c(OC)cc2c1=O ZINC000468639039 1074581790 /nfs/dbraw/zinc/58/17/90/1074581790.db2.gz QZQPFJIMSCCIDY-CQSZACIVSA-N 0 0 432.433 -0.306 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CC(=O)NC[C@@H]2C(=O)OC)cc1OCC ZINC000468640151 1071345365 /nfs/dbraw/zinc/34/53/65/1071345365.db2.gz VIBXTSZXIYFTDW-CYBMUJFWSA-N 0 0 443.478 -0.738 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CC(=O)NC[C@H]2C(=O)OC)cc1OCC ZINC000468640152 1074582052 /nfs/dbraw/zinc/58/20/52/1074582052.db2.gz VIBXTSZXIYFTDW-ZDUSSCGKSA-N 0 0 443.478 -0.738 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000468640302 1074582165 /nfs/dbraw/zinc/58/21/65/1074582165.db2.gz WQONZSPRTQCDOR-AWEZNQCLSA-N 0 0 427.454 -0.274 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000468640312 1074582104 /nfs/dbraw/zinc/58/21/04/1074582104.db2.gz WQONZSPRTQCDOR-CQSZACIVSA-N 0 0 427.454 -0.274 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CNC(=O)c1ccccc1I ZINC000468641218 1074582218 /nfs/dbraw/zinc/58/22/18/1074582218.db2.gz YMSQVHBPWSXAMN-LLVKDONJSA-N 0 0 445.213 -0.479 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CNC(=O)c1ccccc1I ZINC000468641219 1074582018 /nfs/dbraw/zinc/58/20/18/1074582018.db2.gz YMSQVHBPWSXAMN-NSHDSACASA-N 0 0 445.213 -0.479 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000468641448 1074582228 /nfs/dbraw/zinc/58/22/28/1074582228.db2.gz ZMWAWSURZDXDCW-AWEZNQCLSA-N 0 0 425.463 -0.870 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000468641449 1074582033 /nfs/dbraw/zinc/58/20/33/1074582033.db2.gz ZMWAWSURZDXDCW-CQSZACIVSA-N 0 0 425.463 -0.870 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC000468641845 1074582155 /nfs/dbraw/zinc/58/21/55/1074582155.db2.gz NEXANRUXORNECX-AAEUAGOBSA-N 0 0 435.433 -0.435 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC000468641846 1074582009 /nfs/dbraw/zinc/58/20/09/1074582009.db2.gz NEXANRUXORNECX-DGCLKSJQSA-N 0 0 435.433 -0.435 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC000468641847 1074582027 /nfs/dbraw/zinc/58/20/27/1074582027.db2.gz NEXANRUXORNECX-WCQYABFASA-N 0 0 435.433 -0.435 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC000468641848 1074582123 /nfs/dbraw/zinc/58/21/23/1074582123.db2.gz NEXANRUXORNECX-YPMHNXCESA-N 0 0 435.433 -0.435 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000468642438 1074582089 /nfs/dbraw/zinc/58/20/89/1074582089.db2.gz RBHYZVDTNRSLIH-DVOMOZLQSA-N 0 0 439.490 -0.402 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000468642439 1074582044 /nfs/dbraw/zinc/58/20/44/1074582044.db2.gz RBHYZVDTNRSLIH-IOASZLSFSA-N 0 0 439.490 -0.402 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000468642440 1074582506 /nfs/dbraw/zinc/58/25/06/1074582506.db2.gz RBHYZVDTNRSLIH-XEZPLFJOSA-N 0 0 439.490 -0.402 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000468642441 1074582425 /nfs/dbraw/zinc/58/24/25/1074582425.db2.gz RBHYZVDTNRSLIH-ZENOOKHLSA-N 0 0 439.490 -0.402 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000468642503 1074582096 /nfs/dbraw/zinc/58/20/96/1074582096.db2.gz KKZMRKYPBCBUIS-HNNXBMFYSA-N 0 0 445.444 -0.135 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000468642504 1074582208 /nfs/dbraw/zinc/58/22/08/1074582208.db2.gz KKZMRKYPBCBUIS-OAHLLOKOSA-N 0 0 445.444 -0.135 20 0 IBADRN COCCNc1nc(N2CCC(CC(N)=O)CC2)nc(N2CCC(CC(N)=O)CC2)n1 ZINC000468642548 1074582063 /nfs/dbraw/zinc/58/20/63/1074582063.db2.gz KVPVVOKUHOPCBV-UHFFFAOYSA-N 0 0 434.545 -0.465 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@@H](C)N1C(=O)c2ccc(Br)cc2C1=O ZINC000468643875 1074582462 /nfs/dbraw/zinc/58/24/62/1074582462.db2.gz VEXZVZMANSPVHW-PELKAZGASA-N 0 0 438.234 -0.066 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@@H](C)N1C(=O)c2ccc(Br)cc2C1=O ZINC000468643876 1074582548 /nfs/dbraw/zinc/58/25/48/1074582548.db2.gz VEXZVZMANSPVHW-PRHODGIISA-N 0 0 438.234 -0.066 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H](C)N1C(=O)c2ccc(Br)cc2C1=O ZINC000468643877 1074582555 /nfs/dbraw/zinc/58/25/55/1074582555.db2.gz VEXZVZMANSPVHW-QPUJVOFHSA-N 0 0 438.234 -0.066 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H](C)N1C(=O)c2ccc(Br)cc2C1=O ZINC000468643878 1074582564 /nfs/dbraw/zinc/58/25/64/1074582564.db2.gz VEXZVZMANSPVHW-UFBFGSQYSA-N 0 0 438.234 -0.066 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000468644076 1074582444 /nfs/dbraw/zinc/58/24/44/1074582444.db2.gz XHGVHXXNLSJTTO-AWEZNQCLSA-N 0 0 445.444 -0.135 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000468644077 1074582453 /nfs/dbraw/zinc/58/24/53/1074582453.db2.gz XHGVHXXNLSJTTO-CQSZACIVSA-N 0 0 445.444 -0.135 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](CO)[C@@H]3CCCO3)cc2)CC1 ZINC000468644928 1074582434 /nfs/dbraw/zinc/58/24/34/1074582434.db2.gz IMQZOFHYUGMHHY-MSOLQXFVSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](CO)[C@H]3CCCO3)cc2)CC1 ZINC000468644929 1074582538 /nfs/dbraw/zinc/58/25/38/1074582538.db2.gz IMQZOFHYUGMHHY-QZTJIDSGSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](CO)[C@@H]3CCCO3)cc2)CC1 ZINC000468644930 1074582497 /nfs/dbraw/zinc/58/24/97/1074582497.db2.gz IMQZOFHYUGMHHY-ROUUACIJSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](CO)[C@H]3CCCO3)cc2)CC1 ZINC000468644931 1074582468 /nfs/dbraw/zinc/58/24/68/1074582468.db2.gz IMQZOFHYUGMHHY-ZWKOTPCHSA-N 0 0 425.507 -0.191 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H](C)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000468648305 1074582959 /nfs/dbraw/zinc/58/29/59/1074582959.db2.gz OPKVNDFHFSMFCE-CABZTGNLSA-N 0 0 437.396 -0.128 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H](C)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000468648306 1074582946 /nfs/dbraw/zinc/58/29/46/1074582946.db2.gz OPKVNDFHFSMFCE-JOYOIKCWSA-N 0 0 437.396 -0.128 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1ccc(OC)c(S(=O)(=O)NC(C)(C)C)c1 ZINC000468648663 1074582831 /nfs/dbraw/zinc/58/28/31/1074582831.db2.gz MPYXWLPVUVKYMY-GFCCVEGCSA-N 0 0 427.479 -0.114 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(OC)c(S(=O)(=O)NC(C)(C)C)c1 ZINC000468648779 1074582528 /nfs/dbraw/zinc/58/25/28/1074582528.db2.gz MPYXWLPVUVKYMY-LBPRGKRZSA-N 0 0 427.479 -0.114 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1cccc(NC(=O)CSc2nncn2C)c1 ZINC000468648895 1074582482 /nfs/dbraw/zinc/58/24/82/1074582482.db2.gz AXQCYHMLJPRKMW-CYBMUJFWSA-N 0 0 432.462 -0.341 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cccc(NC(=O)CSc2nncn2C)c1 ZINC000468648897 1074582853 /nfs/dbraw/zinc/58/28/53/1074582853.db2.gz AXQCYHMLJPRKMW-ZDUSSCGKSA-N 0 0 432.462 -0.341 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468650212 1074582877 /nfs/dbraw/zinc/58/28/77/1074582877.db2.gz XQSGLJPOURMXMK-INIZCTEOSA-N 0 0 439.490 -0.860 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468650219 1074582909 /nfs/dbraw/zinc/58/29/09/1074582909.db2.gz XQSGLJPOURMXMK-MRXNPFEDSA-N 0 0 439.490 -0.860 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCc4c[nH]nc4C3)cc2)CC1 ZINC000468650636 1074582842 /nfs/dbraw/zinc/58/28/42/1074582842.db2.gz UWFBVIRRECVXME-INIZCTEOSA-N 0 0 446.533 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCc4c[nH]nc4C3)cc2)CC1 ZINC000468650637 1074582848 /nfs/dbraw/zinc/58/28/48/1074582848.db2.gz UWFBVIRRECVXME-MRXNPFEDSA-N 0 0 446.533 -0.042 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCCN(C)S(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000468651330 1074582871 /nfs/dbraw/zinc/58/28/71/1074582871.db2.gz YGSMEWNVWVPYDQ-INIZCTEOSA-N 0 0 439.490 -0.210 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCCN(C)S(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000468651331 1074582937 /nfs/dbraw/zinc/58/29/37/1074582937.db2.gz YGSMEWNVWVPYDQ-MRXNPFEDSA-N 0 0 439.490 -0.210 20 0 IBADRN COc1ccc(CC(=O)N[C@H](CO)[C@@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000468651377 1074582894 /nfs/dbraw/zinc/58/28/94/1074582894.db2.gz YXTSFLOGAWNTEK-CVEARBPZSA-N 0 0 428.507 -0.085 20 0 IBADRN COc1ccc(CC(=O)N[C@@H](CO)[C@@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000468651378 1074582819 /nfs/dbraw/zinc/58/28/19/1074582819.db2.gz YXTSFLOGAWNTEK-HOTGVXAUSA-N 0 0 428.507 -0.085 20 0 IBADRN COc1ccc(CC(=O)N[C@H](CO)[C@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000468651379 1074582886 /nfs/dbraw/zinc/58/28/86/1074582886.db2.gz YXTSFLOGAWNTEK-HZPDHXFCSA-N 0 0 428.507 -0.085 20 0 IBADRN COc1ccc(CC(=O)N[C@@H](CO)[C@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000468651380 1074582856 /nfs/dbraw/zinc/58/28/56/1074582856.db2.gz YXTSFLOGAWNTEK-JKSUJKDBSA-N 0 0 428.507 -0.085 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC(C)C)s1 ZINC000468651490 1074582901 /nfs/dbraw/zinc/58/29/01/1074582901.db2.gz BWUCOQFSVQISCU-UHFFFAOYSA-N 0 0 440.569 -0.894 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cc(Br)cc(S(N)(=O)=O)c1C ZINC000468652058 1071348007 /nfs/dbraw/zinc/34/80/07/1071348007.db2.gz OURDNLPQFGBECV-JTQLQIEISA-N 0 0 434.268 -0.481 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1cc(Br)cc(S(N)(=O)=O)c1C ZINC000468652059 1071348079 /nfs/dbraw/zinc/34/80/79/1071348079.db2.gz OURDNLPQFGBECV-SNVBAGLBSA-N 0 0 434.268 -0.481 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC000468652172 1074583199 /nfs/dbraw/zinc/58/31/99/1074583199.db2.gz OZIDNZCEESFQMS-DOMZBBRYSA-N 0 0 427.454 -0.274 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC000468652173 1074583286 /nfs/dbraw/zinc/58/32/86/1074583286.db2.gz OZIDNZCEESFQMS-IUODEOHRSA-N 0 0 427.454 -0.274 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC000468652174 1074583230 /nfs/dbraw/zinc/58/32/30/1074583230.db2.gz OZIDNZCEESFQMS-SWLSCSKDSA-N 0 0 427.454 -0.274 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC000468652175 1074583351 /nfs/dbraw/zinc/58/33/51/1074583351.db2.gz OZIDNZCEESFQMS-WFASDCNBSA-N 0 0 427.454 -0.274 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000468652419 1074583281 /nfs/dbraw/zinc/58/32/81/1074583281.db2.gz IDNQAZKIRCDJBE-INIZCTEOSA-N 0 0 439.490 -0.404 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)C1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000468652423 1074583266 /nfs/dbraw/zinc/58/32/66/1074583266.db2.gz IDNQAZKIRCDJBE-MRXNPFEDSA-N 0 0 439.490 -0.404 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H](C)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000468653062 1074582863 /nfs/dbraw/zinc/58/28/63/1074582863.db2.gz LWFJAVRBCJNQAC-GXSJLCMTSA-N 0 0 437.396 -0.128 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@@H](C)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000468653065 1074582824 /nfs/dbraw/zinc/58/28/24/1074582824.db2.gz LWFJAVRBCJNQAC-KOLCDFICSA-N 0 0 437.396 -0.128 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@@H](C)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000468653068 1074583345 /nfs/dbraw/zinc/58/33/45/1074583345.db2.gz LWFJAVRBCJNQAC-MWLCHTKSSA-N 0 0 437.396 -0.128 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H](C)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000468653069 1074583301 /nfs/dbraw/zinc/58/33/01/1074583301.db2.gz LWFJAVRBCJNQAC-ONGXEEELSA-N 0 0 437.396 -0.128 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000468653180 1074583320 /nfs/dbraw/zinc/58/33/20/1074583320.db2.gz NOTGGNGZHCQUMH-GFCCVEGCSA-N 0 0 432.524 -0.869 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000468653181 1074583293 /nfs/dbraw/zinc/58/32/93/1074583293.db2.gz NOTGGNGZHCQUMH-LBPRGKRZSA-N 0 0 432.524 -0.869 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H](CO)[C@@H]2CCCO2)c1OC ZINC000468653823 1074583326 /nfs/dbraw/zinc/58/33/26/1074583326.db2.gz YOJKINLVIKEXDM-CVEARBPZSA-N 0 0 444.506 -0.006 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H](CO)[C@@H]2CCCO2)c1OC ZINC000468653829 1074583218 /nfs/dbraw/zinc/58/32/18/1074583218.db2.gz YOJKINLVIKEXDM-HOTGVXAUSA-N 0 0 444.506 -0.006 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H](CO)[C@H]2CCCO2)c1OC ZINC000468653831 1074583333 /nfs/dbraw/zinc/58/33/33/1074583333.db2.gz YOJKINLVIKEXDM-HZPDHXFCSA-N 0 0 444.506 -0.006 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H](CO)[C@H]2CCCO2)c1OC ZINC000468653833 1074583314 /nfs/dbraw/zinc/58/33/14/1074583314.db2.gz YOJKINLVIKEXDM-JKSUJKDBSA-N 0 0 444.506 -0.006 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468655855 1074583307 /nfs/dbraw/zinc/58/33/07/1074583307.db2.gz PAIDPBLFRHHPKL-INIZCTEOSA-N 0 0 437.522 -0.020 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468655856 1074583256 /nfs/dbraw/zinc/58/32/56/1074583256.db2.gz PAIDPBLFRHHPKL-MRXNPFEDSA-N 0 0 437.522 -0.020 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CS(=O)(=O)Cc1ccc(Br)cc1 ZINC000468656277 1074583596 /nfs/dbraw/zinc/58/35/96/1074583596.db2.gz UHJMDRRKSHIDPG-GFCCVEGCSA-N 0 0 433.280 -0.136 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CS(=O)(=O)Cc1ccc(Br)cc1 ZINC000468656278 1074583696 /nfs/dbraw/zinc/58/36/96/1074583696.db2.gz UHJMDRRKSHIDPG-LBPRGKRZSA-N 0 0 433.280 -0.136 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000468656683 1074583360 /nfs/dbraw/zinc/58/33/60/1074583360.db2.gz YVIUPVOVOCSNGE-CYBMUJFWSA-N 0 0 441.462 -0.984 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000468656684 1074583680 /nfs/dbraw/zinc/58/36/80/1074583680.db2.gz YVIUPVOVOCSNGE-ZDUSSCGKSA-N 0 0 441.462 -0.984 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC000468657175 1074583648 /nfs/dbraw/zinc/58/36/48/1074583648.db2.gz CVBMXQLYECWGDU-GFCCVEGCSA-N 0 0 448.295 -0.383 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC000468657176 1071348570 /nfs/dbraw/zinc/34/85/70/1071348570.db2.gz CVBMXQLYECWGDU-LBPRGKRZSA-N 0 0 448.295 -0.383 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCOC1 ZINC000468658264 1074583592 /nfs/dbraw/zinc/58/35/92/1074583592.db2.gz KEWROMNJJBQOHV-KPZWWZAWSA-N 0 0 425.463 -0.475 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCOC1 ZINC000468658265 1074583669 /nfs/dbraw/zinc/58/36/69/1074583669.db2.gz KEWROMNJJBQOHV-KZULUSFZSA-N 0 0 425.463 -0.475 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCOC1 ZINC000468658266 1074583585 /nfs/dbraw/zinc/58/35/85/1074583585.db2.gz KEWROMNJJBQOHV-SGTLLEGYSA-N 0 0 425.463 -0.475 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCOC1 ZINC000468658267 1074583658 /nfs/dbraw/zinc/58/36/58/1074583658.db2.gz KEWROMNJJBQOHV-XIKOKIGWSA-N 0 0 425.463 -0.475 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000468658453 1074583577 /nfs/dbraw/zinc/58/35/77/1074583577.db2.gz LATGAEBFCYWBTR-CYBMUJFWSA-N 0 0 426.447 -0.488 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000468658454 1074583618 /nfs/dbraw/zinc/58/36/18/1074583618.db2.gz LATGAEBFCYWBTR-ZDUSSCGKSA-N 0 0 426.447 -0.488 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC000468658463 1074583611 /nfs/dbraw/zinc/58/36/11/1074583611.db2.gz LDCBMONWCPFZNJ-GFCCVEGCSA-N 0 0 448.295 -0.383 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC000468658464 1074583655 /nfs/dbraw/zinc/58/36/55/1074583655.db2.gz LDCBMONWCPFZNJ-LBPRGKRZSA-N 0 0 448.295 -0.383 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000468658969 1074583691 /nfs/dbraw/zinc/58/36/91/1074583691.db2.gz JCHREKWQTOIXTR-DVOMOZLQSA-N 0 0 439.490 -0.402 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000468658970 1074583643 /nfs/dbraw/zinc/58/36/43/1074583643.db2.gz JCHREKWQTOIXTR-IOASZLSFSA-N 0 0 439.490 -0.402 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000468658971 1074583685 /nfs/dbraw/zinc/58/36/85/1074583685.db2.gz JCHREKWQTOIXTR-XEZPLFJOSA-N 0 0 439.490 -0.402 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000468658972 1074583623 /nfs/dbraw/zinc/58/36/23/1074583623.db2.gz JCHREKWQTOIXTR-ZENOOKHLSA-N 0 0 439.490 -0.402 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000468660937 1074583633 /nfs/dbraw/zinc/58/36/33/1074583633.db2.gz TVVDDBHTBDZLPU-HNNXBMFYSA-N 0 0 433.461 -0.131 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000468660938 1074583674 /nfs/dbraw/zinc/58/36/74/1074583674.db2.gz TVVDDBHTBDZLPU-OAHLLOKOSA-N 0 0 433.461 -0.131 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C)C2)cn1C ZINC000468660999 1074584062 /nfs/dbraw/zinc/58/40/62/1074584062.db2.gz UEDDXXPHVXMCAF-DOMZBBRYSA-N 0 0 426.495 -0.441 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C)C2)cn1C ZINC000468661003 1074583962 /nfs/dbraw/zinc/58/39/62/1074583962.db2.gz UEDDXXPHVXMCAF-IUODEOHRSA-N 0 0 426.495 -0.441 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCC[C@H](C)C2)cn1C ZINC000468661005 1074584024 /nfs/dbraw/zinc/58/40/24/1074584024.db2.gz UEDDXXPHVXMCAF-SWLSCSKDSA-N 0 0 426.495 -0.441 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCC[C@H](C)C2)cn1C ZINC000468661007 1074583936 /nfs/dbraw/zinc/58/39/36/1074583936.db2.gz UEDDXXPHVXMCAF-WFASDCNBSA-N 0 0 426.495 -0.441 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CN1C(=O)COc2cc(Br)ccc21 ZINC000468661590 1074583969 /nfs/dbraw/zinc/58/39/69/1074583969.db2.gz VKALREUKHODJCN-LLVKDONJSA-N 0 0 426.223 -0.326 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CN1C(=O)COc2cc(Br)ccc21 ZINC000468661591 1074584020 /nfs/dbraw/zinc/58/40/20/1074584020.db2.gz VKALREUKHODJCN-NSHDSACASA-N 0 0 426.223 -0.326 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CC(=O)NC[C@@H]2C(=O)OC)ccc1OC ZINC000468661651 1074583929 /nfs/dbraw/zinc/58/39/29/1074583929.db2.gz WCEAESCMYQFMSH-CYBMUJFWSA-N 0 0 427.479 -0.161 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CC(=O)NC[C@H]2C(=O)OC)ccc1OC ZINC000468661652 1074583914 /nfs/dbraw/zinc/58/39/14/1074583914.db2.gz WCEAESCMYQFMSH-ZDUSSCGKSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CCCN(C)S(=O)(=O)c1ccc(OC)cc1 ZINC000468661888 1074583944 /nfs/dbraw/zinc/58/39/44/1074583944.db2.gz WJHYKUZUNPQVSP-HNNXBMFYSA-N 0 0 427.479 -0.404 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CCCN(C)S(=O)(=O)c1ccc(OC)cc1 ZINC000468661889 1074583905 /nfs/dbraw/zinc/58/39/05/1074583905.db2.gz WJHYKUZUNPQVSP-OAHLLOKOSA-N 0 0 427.479 -0.404 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@H](CO)[C@H]2CCCO2)cc1C ZINC000468662441 1074583989 /nfs/dbraw/zinc/58/39/89/1074583989.db2.gz STIBLAHMIQRPAJ-BRWVUGGUSA-N 0 0 427.523 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@@H](CO)[C@@H]2CCCO2)cc1C ZINC000468662442 1074583997 /nfs/dbraw/zinc/58/39/97/1074583997.db2.gz STIBLAHMIQRPAJ-IKGGRYGDSA-N 0 0 427.523 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@@H](CO)[C@H]2CCCO2)cc1C ZINC000468662443 1074583977 /nfs/dbraw/zinc/58/39/77/1074583977.db2.gz STIBLAHMIQRPAJ-IXDOHACOSA-N 0 0 427.523 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@H](CO)[C@@H]2CCCO2)cc1C ZINC000468662444 1074584054 /nfs/dbraw/zinc/58/40/54/1074584054.db2.gz STIBLAHMIQRPAJ-ZACQAIPSSA-N 0 0 427.523 -0.128 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC000468663328 1074584313 /nfs/dbraw/zinc/58/43/13/1074584313.db2.gz RCPQJWXYSWOXKG-CABCVRRESA-N 0 0 433.461 -0.405 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC000468663329 1074584271 /nfs/dbraw/zinc/58/42/71/1074584271.db2.gz RCPQJWXYSWOXKG-GJZGRUSLSA-N 0 0 433.461 -0.405 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC000468663330 1074584336 /nfs/dbraw/zinc/58/43/36/1074584336.db2.gz RCPQJWXYSWOXKG-HUUCEWRRSA-N 0 0 433.461 -0.405 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC000468663331 1074584384 /nfs/dbraw/zinc/58/43/84/1074584384.db2.gz RCPQJWXYSWOXKG-LSDHHAIUSA-N 0 0 433.461 -0.405 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCOC1 ZINC000468663882 1074584202 /nfs/dbraw/zinc/58/42/02/1074584202.db2.gz YNZCYYAAGRBOKC-INIZCTEOSA-N 0 0 433.508 -0.499 20 0 IBADRN COC(=O)C[C@]1(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCOC1 ZINC000468663883 1074584246 /nfs/dbraw/zinc/58/42/46/1074584246.db2.gz YNZCYYAAGRBOKC-MRXNPFEDSA-N 0 0 433.508 -0.499 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1 ZINC000468664951 1074584328 /nfs/dbraw/zinc/58/43/28/1074584328.db2.gz DSWUXFKRJBSUBT-GFCCVEGCSA-N 0 0 448.295 -0.430 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1 ZINC000468664952 1074584304 /nfs/dbraw/zinc/58/43/04/1074584304.db2.gz DSWUXFKRJBSUBT-LBPRGKRZSA-N 0 0 448.295 -0.430 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)N(C)C)c(Br)c1 ZINC000468665008 1074584253 /nfs/dbraw/zinc/58/42/53/1074584253.db2.gz AHLJPLJRRUFXQK-LLVKDONJSA-N 0 0 448.295 -0.187 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(S(=O)(=O)N(C)C)c(Br)c1 ZINC000468665009 1071350087 /nfs/dbraw/zinc/35/00/87/1071350087.db2.gz AHLJPLJRRUFXQK-NSHDSACASA-N 0 0 448.295 -0.187 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468665015 1074584222 /nfs/dbraw/zinc/58/42/22/1074584222.db2.gz AIEISCRNXDBCEU-CABCVRRESA-N 0 0 429.433 -0.964 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468665016 1074584353 /nfs/dbraw/zinc/58/43/53/1074584353.db2.gz AIEISCRNXDBCEU-GJZGRUSLSA-N 0 0 429.433 -0.964 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468665017 1074584296 /nfs/dbraw/zinc/58/42/96/1074584296.db2.gz AIEISCRNXDBCEU-HUUCEWRRSA-N 0 0 429.433 -0.964 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468665018 1074584346 /nfs/dbraw/zinc/58/43/46/1074584346.db2.gz AIEISCRNXDBCEU-LSDHHAIUSA-N 0 0 429.433 -0.964 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000468665893 1074584261 /nfs/dbraw/zinc/58/42/61/1074584261.db2.gz HQQAVDBGKBLHJK-CHWSQXEVSA-N 0 0 428.467 -0.808 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000468665894 1074584320 /nfs/dbraw/zinc/58/43/20/1074584320.db2.gz HQQAVDBGKBLHJK-OLZOCXBDSA-N 0 0 428.467 -0.808 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000468665895 1074584394 /nfs/dbraw/zinc/58/43/94/1074584394.db2.gz HQQAVDBGKBLHJK-QWHCGFSZSA-N 0 0 428.467 -0.808 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000468665896 1074584609 /nfs/dbraw/zinc/58/46/09/1074584609.db2.gz HQQAVDBGKBLHJK-STQMWFEESA-N 0 0 428.467 -0.808 20 0 IBADRN CCc1ccc(C(=O)N2CC(=O)NC[C@H]2C(=O)OC)cc1S(=O)(=O)N1CCOCC1 ZINC000468666226 1074584686 /nfs/dbraw/zinc/58/46/86/1074584686.db2.gz IFYRGMQWBCXAJM-HNNXBMFYSA-N 0 0 439.490 -0.617 20 0 IBADRN CCc1ccc(C(=O)N2CC(=O)NC[C@@H]2C(=O)OC)cc1S(=O)(=O)N1CCOCC1 ZINC000468666227 1074584723 /nfs/dbraw/zinc/58/47/23/1074584723.db2.gz IFYRGMQWBCXAJM-OAHLLOKOSA-N 0 0 439.490 -0.617 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@]2(CC(=O)OC)CCOC2)cc1 ZINC000468667645 1074584705 /nfs/dbraw/zinc/58/47/05/1074584705.db2.gz OAZLVEWOPWCOSK-GOSISDBHSA-N 0 0 428.463 -0.020 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@]2(CC(=O)OC)CCOC2)cc1 ZINC000468667646 1074584617 /nfs/dbraw/zinc/58/46/17/1074584617.db2.gz OAZLVEWOPWCOSK-SFHVURJKSA-N 0 0 428.463 -0.020 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)N3CC(=O)NC[C@@H]3C(=O)OC)cc(C3CC3)nc21 ZINC000468668917 1074584623 /nfs/dbraw/zinc/58/46/23/1074584623.db2.gz QHDSIYRKKFEEJN-CYBMUJFWSA-N 0 0 429.433 -0.102 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)N3CC(=O)NC[C@H]3C(=O)OC)cc(C3CC3)nc21 ZINC000468668918 1074584699 /nfs/dbraw/zinc/58/46/99/1074584699.db2.gz QHDSIYRKKFEEJN-ZDUSSCGKSA-N 0 0 429.433 -0.102 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000468669946 1074584682 /nfs/dbraw/zinc/58/46/82/1074584682.db2.gz ZBSRTMRFWXWOQQ-CYBMUJFWSA-N 0 0 425.463 -0.407 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000468669949 1074584599 /nfs/dbraw/zinc/58/45/99/1074584599.db2.gz ZBSRTMRFWXWOQQ-ZDUSSCGKSA-N 0 0 425.463 -0.407 20 0 IBADRN CS(=O)(=O)N1CCC(CNc2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC000468695642 1073350228 /nfs/dbraw/zinc/35/02/28/1073350228.db2.gz HKDYMDBCZKOCJA-UHFFFAOYSA-N 0 0 430.552 0.036 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1(c2noc(CN(C)C)n2)CCCC1 ZINC000468698759 1074585390 /nfs/dbraw/zinc/58/53/90/1074585390.db2.gz PBRDRBJAPYEKTQ-UHFFFAOYSA-N 0 0 444.558 -0.149 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3nccn3C(F)F)CC2)c[nH]1 ZINC000468699786 1074585370 /nfs/dbraw/zinc/58/53/70/1074585370.db2.gz XYQHNKALMZJSLV-UHFFFAOYSA-N 0 0 445.452 -0.672 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC000468701568 1074585264 /nfs/dbraw/zinc/58/52/64/1074585264.db2.gz DHZYJKCDRAXPRX-INIZCTEOSA-N 0 0 437.566 -0.011 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC000468701572 1074585407 /nfs/dbraw/zinc/58/54/07/1074585407.db2.gz DHZYJKCDRAXPRX-MRXNPFEDSA-N 0 0 437.566 -0.011 20 0 IBADRN CNC(=O)C1CN(C(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)C1 ZINC000468703406 1074585348 /nfs/dbraw/zinc/58/53/48/1074585348.db2.gz UOODEXCMDRBNIX-UHFFFAOYSA-N 0 0 449.533 -0.269 20 0 IBADRN CNC(=O)C1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cc(OC)c2OC)C1 ZINC000468703990 1074585379 /nfs/dbraw/zinc/58/53/79/1074585379.db2.gz YVOQQJATCZNRGC-UHFFFAOYSA-N 0 0 427.479 -0.457 20 0 IBADRN CNC(=O)C1CN(C(=O)CCc2c(C)nn(CCC(=O)N3CC(C(=O)NC)C3)c2C)C1 ZINC000468704696 1074585668 /nfs/dbraw/zinc/58/56/68/1074585668.db2.gz ZHAICSXDVUOQHZ-UHFFFAOYSA-N 0 0 432.525 -0.769 20 0 IBADRN CNC(=O)C1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC000468704906 1074585648 /nfs/dbraw/zinc/58/56/48/1074585648.db2.gz DHTRBCANCYHTHX-UHFFFAOYSA-N 0 0 436.534 -0.187 20 0 IBADRN O=C(c1ccc(NCCS(=O)(=O)N2CCSCC2)nc1)N1CCS(=O)(=O)CC1 ZINC000468705340 1074585796 /nfs/dbraw/zinc/58/57/96/1074585796.db2.gz DOFIMFMIEHJPLC-UHFFFAOYSA-N 0 0 448.592 -0.257 20 0 IBADRN O=C(C(=O)N1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1)N1CCCC1 ZINC000468705800 1074585762 /nfs/dbraw/zinc/58/57/62/1074585762.db2.gz KZGSTWMJZOJHRT-UHFFFAOYSA-N 0 0 449.533 -0.777 20 0 IBADRN CNC(=O)C1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000468706218 1074585725 /nfs/dbraw/zinc/58/57/25/1074585725.db2.gz JBVXWGXJUAMYDQ-UHFFFAOYSA-N 0 0 440.497 -0.357 20 0 IBADRN O=C(NCC(F)(F)CO)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000468706933 1074585803 /nfs/dbraw/zinc/58/58/03/1074585803.db2.gz NAPQJSDAZCHSBJ-UHFFFAOYSA-N 0 0 449.476 -0.099 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000468707064 1074585677 /nfs/dbraw/zinc/58/56/77/1074585677.db2.gz QOWUZNFIOUUFGJ-HNNXBMFYSA-N 0 0 429.520 -0.441 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000468707065 1074585711 /nfs/dbraw/zinc/58/57/11/1074585711.db2.gz QOWUZNFIOUUFGJ-OAHLLOKOSA-N 0 0 429.520 -0.441 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC000468707386 1074585718 /nfs/dbraw/zinc/58/57/18/1074585718.db2.gz SPQDNCAKQOHAEV-UHFFFAOYSA-N 0 0 437.522 -0.875 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC000468707866 1074586007 /nfs/dbraw/zinc/58/60/07/1074586007.db2.gz VBFRIOMKFXSVRO-UHFFFAOYSA-N 0 0 437.566 -0.057 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@]3(CNC(=O)C3)C2)s1 ZINC000468707876 1074586064 /nfs/dbraw/zinc/58/60/64/1074586064.db2.gz VCIQKHKIQBNRSS-KRWDZBQOSA-N 0 0 428.536 -0.209 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@]3(CNC(=O)C3)C2)s1 ZINC000468707877 1074586079 /nfs/dbraw/zinc/58/60/79/1074586079.db2.gz VCIQKHKIQBNRSS-QGZVFWFLSA-N 0 0 428.536 -0.209 20 0 IBADRN CNC(=O)C1CN(C(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)C1 ZINC000468707900 1074585657 /nfs/dbraw/zinc/58/56/57/1074585657.db2.gz VVDQVXBPUTVXEC-UHFFFAOYSA-N 0 0 434.474 -0.221 20 0 IBADRN CNC(=O)C1CN(C(=O)CCn2c3ccc(S(=O)(=O)N4CCCC4)cc3oc2=O)C1 ZINC000468707913 1074585688 /nfs/dbraw/zinc/58/56/88/1074585688.db2.gz VHJSUZHZUHFYAV-UHFFFAOYSA-N 0 0 436.490 -0.027 20 0 IBADRN O=C(c1ccc(NCCNS(=O)(=O)c2ccccc2)nc1)N1CCS(=O)(=O)CC1 ZINC000468709425 1073338959 /nfs/dbraw/zinc/33/89/59/1073338959.db2.gz KSUWXYFYQWHSSD-UHFFFAOYSA-N 0 0 438.531 0.343 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1 ZINC000468711812 1074586118 /nfs/dbraw/zinc/58/61/18/1074586118.db2.gz RRFSOEBUVSSUGI-CYBMUJFWSA-N 0 0 426.495 -0.140 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1 ZINC000468711813 1074586387 /nfs/dbraw/zinc/58/63/87/1074586387.db2.gz RRFSOEBUVSSUGI-ZDUSSCGKSA-N 0 0 426.495 -0.140 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CC[C@@H](NC(=O)NC)C3)nc2n(CC)c1=O ZINC000468713102 1073351999 /nfs/dbraw/zinc/35/19/99/1073351999.db2.gz GRJCTBFWXUOQBJ-CYBMUJFWSA-N 0 0 431.497 0.486 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CC[C@H](NC(=O)NC)C3)nc2n(CC)c1=O ZINC000468713103 1073352029 /nfs/dbraw/zinc/35/20/29/1073352029.db2.gz GRJCTBFWXUOQBJ-ZDUSSCGKSA-N 0 0 431.497 0.486 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC000468713383 1073351321 /nfs/dbraw/zinc/35/13/21/1073351321.db2.gz HPEAANPVBBBEKK-DZGCQCFKSA-N 0 0 430.552 0.082 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC000468713384 1073351334 /nfs/dbraw/zinc/35/13/34/1073351334.db2.gz HPEAANPVBBBEKK-HIFRSBDPSA-N 0 0 430.552 0.082 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC000468713385 1073351217 /nfs/dbraw/zinc/35/12/17/1073351217.db2.gz HPEAANPVBBBEKK-UKRRQHHQSA-N 0 0 430.552 0.082 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC000468713386 1073351202 /nfs/dbraw/zinc/35/12/02/1073351202.db2.gz HPEAANPVBBBEKK-ZFWWWQNUSA-N 0 0 430.552 0.082 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)Cc2ccc(CC(=O)N3CC[C@H](NC(=O)NC)C3)cc2)C1 ZINC000468714275 1074586402 /nfs/dbraw/zinc/58/64/02/1074586402.db2.gz LYSYYRNIQHUWLE-HDICACEKSA-N 0 0 444.536 -0.169 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)Cc2ccc(CC(=O)N3CC[C@@H](NC(=O)NC)C3)cc2)C1 ZINC000468714276 1074586463 /nfs/dbraw/zinc/58/64/63/1074586463.db2.gz LYSYYRNIQHUWLE-QZTJIDSGSA-N 0 0 444.536 -0.169 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)Cc2ccc(CC(=O)N3CC[C@H](NC(=O)NC)C3)cc2)C1 ZINC000468714277 1074586501 /nfs/dbraw/zinc/58/65/01/1074586501.db2.gz LYSYYRNIQHUWLE-ROUUACIJSA-N 0 0 444.536 -0.169 20 0 IBADRN O=C(c1ccc(NCCS(=O)(=O)NCC2CCC2)nc1)N1CCS(=O)(=O)CC1 ZINC000468714802 1074586457 /nfs/dbraw/zinc/58/64/57/1074586457.db2.gz MRKSQDATEHLMJY-UHFFFAOYSA-N 0 0 430.552 0.084 20 0 IBADRN O=C(c1ccc(N2CC[C@H](S(=O)(=O)N3CCCC3)C2)nc1)N1CCS(=O)(=O)CC1 ZINC000468715303 1074586537 /nfs/dbraw/zinc/58/65/37/1074586537.db2.gz CNYBELDDWJGMNT-INIZCTEOSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C(c1ccc(N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)nc1)N1CCS(=O)(=O)CC1 ZINC000468715304 1074586468 /nfs/dbraw/zinc/58/64/68/1074586468.db2.gz CNYBELDDWJGMNT-MRXNPFEDSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C(c1ccc(NCCNS(=O)(=O)c2cccnc2)nc1)N1CCS(=O)(=O)CC1 ZINC000468716370 1074586378 /nfs/dbraw/zinc/58/63/78/1074586378.db2.gz RBTKTJWLSGNJLY-UHFFFAOYSA-N 0 0 439.519 -0.262 20 0 IBADRN CNS(=O)(=O)c1cccc(CNc2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)c1 ZINC000468716942 1073317905 /nfs/dbraw/zinc/31/79/05/1073317905.db2.gz WRKXJUCKMQYAMT-UHFFFAOYSA-N 0 0 438.531 0.472 20 0 IBADRN O=C(CN1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1)N1CCCC1 ZINC000468717540 1074586799 /nfs/dbraw/zinc/58/67/99/1074586799.db2.gz YSEQZICSICMLSV-UHFFFAOYSA-N 0 0 435.550 -0.303 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000468718180 1074586806 /nfs/dbraw/zinc/58/68/06/1074586806.db2.gz TWDHJTSRENXCDP-GUTXKFCHSA-N 0 0 430.914 -0.006 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000468718181 1074586790 /nfs/dbraw/zinc/58/67/90/1074586790.db2.gz TWDHJTSRENXCDP-IPYPFGDCSA-N 0 0 430.914 -0.006 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000468718182 1074586764 /nfs/dbraw/zinc/58/67/64/1074586764.db2.gz TWDHJTSRENXCDP-NFAWXSAZSA-N 0 0 430.914 -0.006 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000468718183 1074586755 /nfs/dbraw/zinc/58/67/55/1074586755.db2.gz TWDHJTSRENXCDP-YDHLFZDLSA-N 0 0 430.914 -0.006 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCC(=O)NCc3cccnc3)CC2=O)c1 ZINC000468718541 1074586911 /nfs/dbraw/zinc/58/69/11/1074586911.db2.gz VBJIYZCQXXCLTM-HNNXBMFYSA-N 0 0 445.501 -0.095 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCC(=O)NCc3cccnc3)CC2=O)c1 ZINC000468718565 1074586849 /nfs/dbraw/zinc/58/68/49/1074586849.db2.gz VBJIYZCQXXCLTM-OAHLLOKOSA-N 0 0 445.501 -0.095 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)C1 ZINC000468718891 1074586828 /nfs/dbraw/zinc/58/68/28/1074586828.db2.gz ZFMHOZJZVXVSHB-HNNXBMFYSA-N 0 0 430.552 -0.141 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)C1 ZINC000468718892 1074586962 /nfs/dbraw/zinc/58/69/62/1074586962.db2.gz ZFMHOZJZVXVSHB-OAHLLOKOSA-N 0 0 430.552 -0.141 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC000468718927 1074586814 /nfs/dbraw/zinc/58/68/14/1074586814.db2.gz ZXLLBYCCYHDFLU-HNNXBMFYSA-N 0 0 435.550 -0.259 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC000468718928 1074586773 /nfs/dbraw/zinc/58/67/73/1074586773.db2.gz ZXLLBYCCYHDFLU-OAHLLOKOSA-N 0 0 435.550 -0.259 20 0 IBADRN O=C(c1ccc(NCCN2CCN(C(=O)C3CCC3)CC2)nc1)N1CCS(=O)(=O)CC1 ZINC000468719747 1074586886 /nfs/dbraw/zinc/58/68/86/1074586886.db2.gz YWJRJEMJPKSBRR-UHFFFAOYSA-N 0 0 449.577 0.308 20 0 IBADRN O=C(c1ccc(NCCNS(=O)(=O)c2cccs2)nc1)N1CCS(=O)(=O)CC1 ZINC000468720055 1074586856 /nfs/dbraw/zinc/58/68/56/1074586856.db2.gz LYLQKMXXNWEPJB-UHFFFAOYSA-N 0 0 444.560 0.404 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000468720565 1074587311 /nfs/dbraw/zinc/58/73/11/1074587311.db2.gz RZWPITLDBQTTAG-AWEZNQCLSA-N 0 0 426.495 -0.140 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000468720566 1074587252 /nfs/dbraw/zinc/58/72/52/1074587252.db2.gz RZWPITLDBQTTAG-CQSZACIVSA-N 0 0 426.495 -0.140 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000468721336 1074587374 /nfs/dbraw/zinc/58/73/74/1074587374.db2.gz BXFFNZGCTCTUCR-HNNXBMFYSA-N 0 0 440.522 -0.212 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000468721337 1074587210 /nfs/dbraw/zinc/58/72/10/1074587210.db2.gz BXFFNZGCTCTUCR-OAHLLOKOSA-N 0 0 440.522 -0.212 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)CCSCCC(=O)N2CC[C@H](NC(=O)NC)C2)C1 ZINC000468721997 1074587384 /nfs/dbraw/zinc/58/73/84/1074587384.db2.gz BXCWMMWTVSAZKV-KBPBESRZSA-N 0 0 428.559 -0.440 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)CCSCCC(=O)N2CC[C@H](NC(=O)NC)C2)C1 ZINC000468721998 1074587189 /nfs/dbraw/zinc/58/71/89/1074587189.db2.gz BXCWMMWTVSAZKV-OKILXGFUSA-N 0 0 428.559 -0.440 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)CCSCCC(=O)N2CC[C@@H](NC(=O)NC)C2)C1 ZINC000468721999 1074587355 /nfs/dbraw/zinc/58/73/55/1074587355.db2.gz BXCWMMWTVSAZKV-ZIAGYGMSSA-N 0 0 428.559 -0.440 20 0 IBADRN NC(=O)c1cccc(OCCC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000468723388 1074587281 /nfs/dbraw/zinc/58/72/81/1074587281.db2.gz JZSCGRQSNDZCJK-UHFFFAOYSA-N 0 0 440.522 -0.288 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](NC(=O)NC)C2)c1 ZINC000468723753 1074587345 /nfs/dbraw/zinc/58/73/45/1074587345.db2.gz KYNCJUHROFSHBA-HNNXBMFYSA-N 0 0 439.538 -0.023 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](NC(=O)NC)C2)c1 ZINC000468723754 1074587272 /nfs/dbraw/zinc/58/72/72/1074587272.db2.gz KYNCJUHROFSHBA-OAHLLOKOSA-N 0 0 439.538 -0.023 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC000468724981 1074587292 /nfs/dbraw/zinc/58/72/92/1074587292.db2.gz NXOOQJGVWNAOJC-INIZCTEOSA-N 0 0 437.522 -0.317 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC000468724982 1074587612 /nfs/dbraw/zinc/58/76/12/1074587612.db2.gz NXOOQJGVWNAOJC-MRXNPFEDSA-N 0 0 437.522 -0.317 20 0 IBADRN O=C(c1ccc(NCCCn2nc3n(c2=O)CCCC3)nc1)N1CCS(=O)(=O)CC1 ZINC000468725528 1074587694 /nfs/dbraw/zinc/58/76/94/1074587694.db2.gz PEPYDRLAQYKGJW-UHFFFAOYSA-N 0 0 434.522 0.149 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)C1 ZINC000468726095 1074587538 /nfs/dbraw/zinc/58/75/38/1074587538.db2.gz RPBVLRZCIPWVMK-INIZCTEOSA-N 0 0 437.522 -0.317 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)C1 ZINC000468726097 1074587721 /nfs/dbraw/zinc/58/77/21/1074587721.db2.gz RPBVLRZCIPWVMK-MRXNPFEDSA-N 0 0 437.522 -0.317 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC000468728221 1074587702 /nfs/dbraw/zinc/58/77/02/1074587702.db2.gz ZQUDFPHSQPZFOG-AWEZNQCLSA-N 0 0 439.538 -0.083 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC000468728222 1074587631 /nfs/dbraw/zinc/58/76/31/1074587631.db2.gz ZQUDFPHSQPZFOG-CQSZACIVSA-N 0 0 439.538 -0.083 20 0 IBADRN CCN1CCCN(c2nc(N3CCOCC3)nc(N3CCCN(CC)C(=O)C3)n2)CC1=O ZINC000468732384 1074587743 /nfs/dbraw/zinc/58/77/43/1074587743.db2.gz FRQTYUQAILYDGH-UHFFFAOYSA-N 0 0 446.556 -0.175 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCS(=O)(=O)c2ccccc2)o1 ZINC000468737188 1074587921 /nfs/dbraw/zinc/58/79/21/1074587921.db2.gz DFYCVUJMNUGOMX-UHFFFAOYSA-N 0 0 429.476 -0.363 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C3CCCCC3)CC2)c[nH]1 ZINC000468737864 1074587983 /nfs/dbraw/zinc/58/79/83/1074587983.db2.gz FXQFGZBWWQQTAE-UHFFFAOYSA-N 0 0 425.511 -0.357 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C3CCCCC3)CC2)o1 ZINC000468740462 1074587956 /nfs/dbraw/zinc/58/79/56/1074587956.db2.gz REZMHGJPIRSAEQ-UHFFFAOYSA-N 0 0 426.495 -0.092 20 0 IBADRN CCN1CCCN(c2nc(NCCOC)nc(N3CCCN(CC)C(=O)C3)n2)CC1=O ZINC000468740916 1074588072 /nfs/dbraw/zinc/58/80/72/1074588072.db2.gz UZLIYSFWLZYUDA-UHFFFAOYSA-N 0 0 434.545 -0.531 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468741639 1074588159 /nfs/dbraw/zinc/58/81/59/1074588159.db2.gz BLWOONXLRUBUDG-HNNXBMFYSA-N 0 0 431.449 -0.587 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000468741642 1074587972 /nfs/dbraw/zinc/58/79/72/1074587972.db2.gz BLWOONXLRUBUDG-OAHLLOKOSA-N 0 0 431.449 -0.587 20 0 IBADRN O=C(c1ccc(NCCN2C(=O)NC3(CCCC3)C2=O)nc1)N1CCS(=O)(=O)CC1 ZINC000468743505 1074588584 /nfs/dbraw/zinc/58/85/84/1074588584.db2.gz IJOYOANASPINQU-UHFFFAOYSA-N 0 0 435.506 0.229 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000468743861 1074588487 /nfs/dbraw/zinc/58/84/87/1074588487.db2.gz KGARRIJHWXCPLP-CYBMUJFWSA-N 0 0 432.524 -0.407 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000468743862 1074588416 /nfs/dbraw/zinc/58/84/16/1074588416.db2.gz KGARRIJHWXCPLP-ZDUSSCGKSA-N 0 0 432.524 -0.407 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCS(=O)(=O)c2ccccc2)c[nH]1 ZINC000468746248 1074588464 /nfs/dbraw/zinc/58/84/64/1074588464.db2.gz YHLGMLJGICCUCN-UHFFFAOYSA-N 0 0 428.492 -0.628 20 0 IBADRN COc1cccc(O[C@@H](C)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468751108 1074588431 /nfs/dbraw/zinc/58/84/31/1074588431.db2.gz AANOCLXWIZWUFS-HNNXBMFYSA-N 0 0 445.476 0.273 20 0 IBADRN COc1cccc(O[C@H](C)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468753413 1074588402 /nfs/dbraw/zinc/58/84/02/1074588402.db2.gz AANOCLXWIZWUFS-OAHLLOKOSA-N 0 0 445.476 0.273 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cn(Cc3cccc(F)c3)nn2)CC1 ZINC000468753880 1074588545 /nfs/dbraw/zinc/58/85/45/1074588545.db2.gz AEBDSAYZQKHMGR-UHFFFAOYSA-N 0 0 428.424 -0.101 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)Cn1c(=O)[nH]c(=O)c2ccccc21 ZINC000468755499 1074588657 /nfs/dbraw/zinc/58/86/57/1074588657.db2.gz AFBVPIAEIMSWBR-UHFFFAOYSA-N 0 0 439.475 -0.333 20 0 IBADRN O=C(c1cc[nH]c(=O)c1Br)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000468761387 1074588555 /nfs/dbraw/zinc/58/85/55/1074588555.db2.gz AMZBVXIPPBVROT-UHFFFAOYSA-N 0 0 435.300 -0.116 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2[nH]c(=O)ccc2c1 ZINC000468761578 1074588369 /nfs/dbraw/zinc/58/83/69/1074588369.db2.gz ALNPWYWVCWOHJQ-CYBMUJFWSA-N 0 0 427.504 -0.158 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2[nH]c(=O)ccc2c1 ZINC000468761687 1074588595 /nfs/dbraw/zinc/58/85/95/1074588595.db2.gz ALNPWYWVCWOHJQ-ZDUSSCGKSA-N 0 0 427.504 -0.158 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1OCC(=O)NC1CC1 ZINC000468762701 1074588388 /nfs/dbraw/zinc/58/83/88/1074588388.db2.gz ALROMNUTFMCYMD-UHFFFAOYSA-N 0 0 440.522 -0.132 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2=O)cc1 ZINC000468763699 1074588474 /nfs/dbraw/zinc/58/84/74/1074588474.db2.gz AKIKTLJYFSEMGE-UHFFFAOYSA-N 0 0 435.506 -0.032 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000468765845 1073310273 /nfs/dbraw/zinc/31/02/73/1073310273.db2.gz ANPRKOIGCSZRTG-UHFFFAOYSA-N 0 0 449.508 0.261 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN(C)C2CCN(S(C)(=O)=O)CC2)c(=O)n(C)c1=O ZINC000468765969 1074588809 /nfs/dbraw/zinc/58/88/09/1074588809.db2.gz APDIGMKXUSTZNY-UHFFFAOYSA-N 0 0 429.543 -0.676 20 0 IBADRN COc1cccc(CCCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000468768161 1074588991 /nfs/dbraw/zinc/58/89/91/1074588991.db2.gz ATMPHMCOAVTTAP-UHFFFAOYSA-N 0 0 429.477 0.438 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468768199 1074588865 /nfs/dbraw/zinc/58/88/65/1074588865.db2.gz BCTOQJSXLUZRIE-UHFFFAOYSA-N 0 0 432.466 -0.666 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000468768788 1074588967 /nfs/dbraw/zinc/58/89/67/1074588967.db2.gz BBLNKUAQQKXREM-ZDUSSCGKSA-N 0 0 442.523 -0.687 20 0 IBADRN O=C([C@H]1CCCN(C(=O)N2CCCC2)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468768900 1074589012 /nfs/dbraw/zinc/58/90/12/1074589012.db2.gz BBXHQJZRKAOJIR-AWEZNQCLSA-N 0 0 425.515 -0.435 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)C(=O)OC ZINC000468769020 1074588891 /nfs/dbraw/zinc/58/88/91/1074588891.db2.gz BDGZMJHSHGKNNT-LBPRGKRZSA-N 0 0 434.492 -0.100 20 0 IBADRN O=C([C@@H]1CCCN(C(=O)N2CCCC2)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468769029 1074588925 /nfs/dbraw/zinc/58/89/25/1074588925.db2.gz BBXHQJZRKAOJIR-CQSZACIVSA-N 0 0 425.515 -0.435 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000468769839 1074588844 /nfs/dbraw/zinc/58/88/44/1074588844.db2.gz AXKHSSXKRINQEN-UHFFFAOYSA-N 0 0 431.493 -0.109 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)c1cc(N2CCNC(=O)C2)ccn1 ZINC000468769957 1074588955 /nfs/dbraw/zinc/58/89/55/1074588955.db2.gz APUYFJNYLSBMFH-HNNXBMFYSA-N 0 0 425.511 -0.764 20 0 IBADRN Cc1ccc([C@@H]2COCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)o1 ZINC000468770127 1073342691 /nfs/dbraw/zinc/34/26/91/1073342691.db2.gz AVCSZFSDIFAFBQ-HNNXBMFYSA-N 0 0 431.449 0.182 20 0 IBADRN Cc1ccc([C@H]2COCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)o1 ZINC000468770173 1074588829 /nfs/dbraw/zinc/58/88/29/1074588829.db2.gz AVCSZFSDIFAFBQ-OAHLLOKOSA-N 0 0 431.449 0.182 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@](C)(O)C(=O)OC(C)(C)C ZINC000468772051 1074588908 /nfs/dbraw/zinc/58/89/08/1074588908.db2.gz ATYVWBLONDGBAU-ADLMAVQZSA-N 0 0 429.495 -0.339 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@](C)(O)C(=O)OC(C)(C)C ZINC000468772093 1074588918 /nfs/dbraw/zinc/58/89/18/1074588918.db2.gz ATYVWBLONDGBAU-BBATYDOGSA-N 0 0 429.495 -0.339 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@](C)(O)C(=O)OC(C)(C)C ZINC000468772116 1074589351 /nfs/dbraw/zinc/58/93/51/1074589351.db2.gz ATYVWBLONDGBAU-VOJFVSQTSA-N 0 0 429.495 -0.339 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@](C)(O)C(=O)OC(C)(C)C ZINC000468772136 1074589261 /nfs/dbraw/zinc/58/92/61/1074589261.db2.gz ATYVWBLONDGBAU-ZMZPIMSZSA-N 0 0 429.495 -0.339 20 0 IBADRN COC(=O)COc1ccc([C@@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000468772708 1074589343 /nfs/dbraw/zinc/58/93/43/1074589343.db2.gz ASISZIUSQZTKKZ-LLVKDONJSA-N 0 0 438.462 -0.179 20 0 IBADRN COC(=O)COc1ccc([C@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000468772752 1074589202 /nfs/dbraw/zinc/58/92/02/1074589202.db2.gz ASISZIUSQZTKKZ-NSHDSACASA-N 0 0 438.462 -0.179 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CN2C(=O)NC(=O)C2(C)C)c1 ZINC000468773186 1074589377 /nfs/dbraw/zinc/58/93/77/1074589377.db2.gz BEGTZTLZFAEUHQ-JTQLQIEISA-N 0 0 425.467 -0.632 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CN2C(=O)NC(=O)C2(C)C)c1 ZINC000468773291 1074589283 /nfs/dbraw/zinc/58/92/83/1074589283.db2.gz BEGTZTLZFAEUHQ-SNVBAGLBSA-N 0 0 425.467 -0.632 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)c1cc(N2CCNC(=O)C2)ccn1 ZINC000468773301 1074589271 /nfs/dbraw/zinc/58/92/71/1074589271.db2.gz APUYFJNYLSBMFH-OAHLLOKOSA-N 0 0 425.511 -0.764 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2cc(F)cc3c2OCOC3)n1)N1CCOCC1 ZINC000468774192 1074589241 /nfs/dbraw/zinc/58/92/41/1074589241.db2.gz AYYHLYJOHNYZRR-UHFFFAOYSA-N 0 0 447.423 0.002 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCN(C(=O)C2CCC2)C2CC2)o1 ZINC000468774447 1074589316 /nfs/dbraw/zinc/58/93/16/1074589316.db2.gz AXXQMRPTXTVNPC-UHFFFAOYSA-N 0 0 426.495 -0.046 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2F)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468775661 1074589333 /nfs/dbraw/zinc/58/93/33/1074589333.db2.gz BCKLUQBKPOWEJZ-LLVKDONJSA-N 0 0 440.432 -0.031 20 0 IBADRN O=C([C@H]1CC(=O)N(c2ccc(F)cc2F)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468775761 1074589231 /nfs/dbraw/zinc/58/92/31/1074589231.db2.gz BCKLUQBKPOWEJZ-NSHDSACASA-N 0 0 440.432 -0.031 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000468776514 1074589180 /nfs/dbraw/zinc/58/91/80/1074589180.db2.gz AVCNMEPERMEUIB-CHWSQXEVSA-N 0 0 444.535 -0.786 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000468776618 1074589369 /nfs/dbraw/zinc/58/93/69/1074589369.db2.gz AVCNMEPERMEUIB-OLZOCXBDSA-N 0 0 444.535 -0.786 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000468776684 1074589190 /nfs/dbraw/zinc/58/91/90/1074589190.db2.gz AVCNMEPERMEUIB-QWHCGFSZSA-N 0 0 444.535 -0.786 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000468776734 1071392315 /nfs/dbraw/zinc/39/23/15/1071392315.db2.gz AVCNMEPERMEUIB-STQMWFEESA-N 0 0 444.535 -0.786 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)C2=O)n(C)n1 ZINC000468776766 1074589169 /nfs/dbraw/zinc/58/91/69/1074589169.db2.gz AYUNLDUIZDLEMR-INIZCTEOSA-N 0 0 436.476 0.423 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)C2=O)n(C)n1 ZINC000468776870 1073321114 /nfs/dbraw/zinc/32/11/14/1073321114.db2.gz AYUNLDUIZDLEMR-MRXNPFEDSA-N 0 0 436.476 0.423 20 0 IBADRN CN(CCCOc1ccc(S(C)(=O)=O)cc1)C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000468778879 1074589292 /nfs/dbraw/zinc/58/92/92/1074589292.db2.gz BQTMVOOPVKPZHN-UHFFFAOYSA-N 0 0 447.579 -0.105 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)CN2CCN(S(C)(=O)=O)CC2)c1 ZINC000468779415 1074589251 /nfs/dbraw/zinc/58/92/51/1074589251.db2.gz BBCCSXYTDOWFPX-UHFFFAOYSA-N 0 0 432.568 -0.433 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1)n1cncn1 ZINC000468779465 1074589634 /nfs/dbraw/zinc/58/96/34/1074589634.db2.gz BJDWOQQTJKUPQY-AWEZNQCLSA-N 0 0 435.510 -0.007 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1)n1cncn1 ZINC000468779569 1074589361 /nfs/dbraw/zinc/58/93/61/1074589361.db2.gz BJDWOQQTJKUPQY-CQSZACIVSA-N 0 0 435.510 -0.007 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)ccc1C ZINC000468779930 1074589222 /nfs/dbraw/zinc/58/92/22/1074589222.db2.gz BKRKCUWDIOOXLB-UHFFFAOYSA-N 0 0 438.550 -0.135 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468780261 1074589644 /nfs/dbraw/zinc/58/96/44/1074589644.db2.gz BTUCRWUVJIIQAI-UHFFFAOYSA-N 0 0 427.508 -0.083 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)n1 ZINC000468780289 1074589611 /nfs/dbraw/zinc/58/96/11/1074589611.db2.gz BQBIRHAFXJMVKF-UHFFFAOYSA-N 0 0 427.512 -0.709 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)n1 ZINC000468782341 1074589540 /nfs/dbraw/zinc/58/95/40/1074589540.db2.gz BKONDZBNMYAEBE-UHFFFAOYSA-N 0 0 437.478 -0.201 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC000468782965 1074589672 /nfs/dbraw/zinc/58/96/72/1074589672.db2.gz BMLOGBIAIYRVSS-UHFFFAOYSA-N 0 0 438.550 -0.420 20 0 IBADRN CCOc1ccnc(N2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000468782982 1074589653 /nfs/dbraw/zinc/58/96/53/1074589653.db2.gz BKNCBNVJTWFPNY-UHFFFAOYSA-N 0 0 442.542 -0.642 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)no1 ZINC000468785097 1074589737 /nfs/dbraw/zinc/58/97/37/1074589737.db2.gz BNDVNEYBLWPZQL-AWEZNQCLSA-N 0 0 448.505 -0.277 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)no1 ZINC000468785153 1074589726 /nfs/dbraw/zinc/58/97/26/1074589726.db2.gz BNDVNEYBLWPZQL-CQSZACIVSA-N 0 0 448.505 -0.277 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)c1 ZINC000468786259 1074589622 /nfs/dbraw/zinc/58/96/22/1074589622.db2.gz BKTHJTILMRFLAJ-IBGZPJMESA-N 0 0 449.489 -0.076 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@@]3(CCOC3)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000468787102 1074589523 /nfs/dbraw/zinc/58/95/23/1074589523.db2.gz CGQPWNFRJFYGFZ-MSOLQXFVSA-N 0 0 425.463 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@]3(CCOC3)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000468787235 1074590047 /nfs/dbraw/zinc/59/00/47/1074590047.db2.gz CGQPWNFRJFYGFZ-QZTJIDSGSA-N 0 0 425.463 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@@]3(CCOC3)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000468787329 1074589599 /nfs/dbraw/zinc/58/95/99/1074589599.db2.gz CGQPWNFRJFYGFZ-ROUUACIJSA-N 0 0 425.463 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@]3(CCOC3)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000468787360 1074589683 /nfs/dbraw/zinc/58/96/83/1074589683.db2.gz CGQPWNFRJFYGFZ-ZWKOTPCHSA-N 0 0 425.463 -0.070 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCN(C)S(=O)(=O)N2C[C@H](C)OC[C@H]2C)[C@H](C)CO1 ZINC000468788626 1074589967 /nfs/dbraw/zinc/58/99/67/1074589967.db2.gz CBSWPVRMOWPJDI-FPCVCCKLSA-N 0 0 442.604 -0.394 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCN(C)S(=O)(=O)N2C[C@H](C)OC[C@H]2C)[C@@H](C)CO1 ZINC000468788693 1074590109 /nfs/dbraw/zinc/59/01/09/1074590109.db2.gz CBSWPVRMOWPJDI-JJXSEGSLSA-N 0 0 442.604 -0.394 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCN(C)S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)[C@@H](C)CO1 ZINC000468788705 1074590021 /nfs/dbraw/zinc/59/00/21/1074590021.db2.gz CBSWPVRMOWPJDI-JONQDZQNSA-N 0 0 442.604 -0.394 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCN(C)S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)[C@H](C)CO1 ZINC000468788711 1074589958 /nfs/dbraw/zinc/58/99/58/1074589958.db2.gz CBSWPVRMOWPJDI-QXSJWSMHSA-N 0 0 442.604 -0.394 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)c1 ZINC000468788888 1074590039 /nfs/dbraw/zinc/59/00/39/1074590039.db2.gz BKTHJTILMRFLAJ-LJQANCHMSA-N 0 0 449.489 -0.076 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCN(Cc2cnn(C)c2)CC1 ZINC000468794220 1074589903 /nfs/dbraw/zinc/58/99/03/1074589903.db2.gz BKQVLZUTBCGAJP-UHFFFAOYSA-N 0 0 428.559 -0.712 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000468794772 1074590030 /nfs/dbraw/zinc/59/00/30/1074590030.db2.gz BVTSPMJMYOLUQR-HNNXBMFYSA-N 0 0 441.554 -0.294 20 0 IBADRN O=C(CCC(=O)N1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468796006 1074590118 /nfs/dbraw/zinc/59/01/18/1074590118.db2.gz CPYHLWFHBMAXEJ-UHFFFAOYSA-N 0 0 447.477 -0.597 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000468797673 1074589947 /nfs/dbraw/zinc/58/99/47/1074589947.db2.gz BVTSPMJMYOLUQR-OAHLLOKOSA-N 0 0 441.554 -0.294 20 0 IBADRN O=C(Cn1cnc(NC(=O)c2cc(N3CCNC(=O)C3)ccn2)n1)N1CCCCCC1 ZINC000468797866 1073323140 /nfs/dbraw/zinc/32/31/40/1073323140.db2.gz CZAHEKWGKKDWRY-UHFFFAOYSA-N 0 0 426.481 0.264 20 0 IBADRN Cc1cc(F)cc(-n2cc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)nn2)c1 ZINC000468798172 1074590150 /nfs/dbraw/zinc/59/01/50/1074590150.db2.gz BZWDXAPNVAMDDG-UHFFFAOYSA-N 0 0 428.428 -0.183 20 0 IBADRN Cn1cc(CN2CCN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)cn1 ZINC000468799170 1074590081 /nfs/dbraw/zinc/59/00/81/1074590081.db2.gz CYIFAQNAGXTPBX-GOSISDBHSA-N 0 0 438.488 -0.005 20 0 IBADRN Cn1cc(CN2CCN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)cn1 ZINC000468799244 1074589937 /nfs/dbraw/zinc/58/99/37/1074589937.db2.gz CYIFAQNAGXTPBX-SFHVURJKSA-N 0 0 438.488 -0.005 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCC(=O)N2CCCCCC2)n1)N1CCOCC1 ZINC000468801929 1074589976 /nfs/dbraw/zinc/58/99/76/1074589976.db2.gz BTRLTSIGQTXRRT-UHFFFAOYSA-N 0 0 434.497 -0.411 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000468803857 1074589985 /nfs/dbraw/zinc/58/99/85/1074589985.db2.gz CAGDXXAANAOKAT-UHFFFAOYSA-N 0 0 438.550 -0.378 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F)C(=O)OC ZINC000468803968 1074590091 /nfs/dbraw/zinc/59/00/91/1074590091.db2.gz CTPZJOINRSRXRY-NSHDSACASA-N 0 0 426.444 -0.030 20 0 IBADRN O=C([C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000468804826 1074590601 /nfs/dbraw/zinc/59/06/01/1074590601.db2.gz CVSGZIBFRJJZIR-CVEARBPZSA-N 0 0 425.445 -0.058 20 0 IBADRN O=C(c1cc(N2CCNC(=O)C2)ccn1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000468805154 1074590591 /nfs/dbraw/zinc/59/05/91/1074590591.db2.gz CQRIQKMNCFPJHF-UHFFFAOYSA-N 0 0 447.503 -0.049 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H](Cc1ccccc1)NC(N)=O ZINC000468805814 1074590530 /nfs/dbraw/zinc/59/05/30/1074590530.db2.gz CMOUZBUSDVUJTG-GFCCVEGCSA-N 0 0 427.508 -0.169 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H](Cc1ccccc1)NC(N)=O ZINC000468806070 1074590556 /nfs/dbraw/zinc/59/05/56/1074590556.db2.gz CMOUZBUSDVUJTG-LBPRGKRZSA-N 0 0 427.508 -0.169 20 0 IBADRN O=C([C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000468806077 1074590519 /nfs/dbraw/zinc/59/05/19/1074590519.db2.gz CVSGZIBFRJJZIR-HOTGVXAUSA-N 0 0 425.445 -0.058 20 0 IBADRN O=C([C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000468806125 1074590418 /nfs/dbraw/zinc/59/04/18/1074590418.db2.gz CVSGZIBFRJJZIR-HZPDHXFCSA-N 0 0 425.445 -0.058 20 0 IBADRN O=C([C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000468806177 1074590376 /nfs/dbraw/zinc/59/03/76/1074590376.db2.gz CVSGZIBFRJJZIR-JKSUJKDBSA-N 0 0 425.445 -0.058 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1 ZINC000468806469 1074590360 /nfs/dbraw/zinc/59/03/60/1074590360.db2.gz CZRXGYBQRXWOFH-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN O=C([C@H]1CCN(c2ccc(F)c(F)c2)C1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468807740 1074590546 /nfs/dbraw/zinc/59/05/46/1074590546.db2.gz DMMLDNZPRORJGV-GFCCVEGCSA-N 0 0 440.432 -0.031 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468809645 1074590610 /nfs/dbraw/zinc/59/06/10/1074590610.db2.gz CXESATZWPVJONP-UHFFFAOYSA-N 0 0 434.482 -0.364 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(F)c(F)c2)C1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468809838 1074590316 /nfs/dbraw/zinc/59/03/16/1074590316.db2.gz DMMLDNZPRORJGV-LBPRGKRZSA-N 0 0 440.432 -0.031 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cc(OC)no3)CC2)on1 ZINC000468811030 1074590346 /nfs/dbraw/zinc/59/03/46/1074590346.db2.gz DXQLFXKDEPRALX-UHFFFAOYSA-N 0 0 443.438 -0.803 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)n1C ZINC000468813609 1074590490 /nfs/dbraw/zinc/59/04/90/1074590490.db2.gz CYYKQQIPRMMZRL-UHFFFAOYSA-N 0 0 434.589 -0.748 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCNC(=O)c2ccc(Br)cc2)CC1 ZINC000468814549 1074590338 /nfs/dbraw/zinc/59/03/38/1074590338.db2.gz CWQXSFPIAMCKIC-UHFFFAOYSA-N 0 0 447.355 -0.128 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C(=O)C12CCCCC2 ZINC000468815428 1074590430 /nfs/dbraw/zinc/59/04/30/1074590430.db2.gz DVAXRLZCXFSSSS-UHFFFAOYSA-N 0 0 439.498 -0.766 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](CCS(C)(=O)=O)c1ccccc1)C2 ZINC000468816343 1074590443 /nfs/dbraw/zinc/59/04/43/1074590443.db2.gz CSOKLPWPJYAFHZ-INIZCTEOSA-N 0 0 449.533 -0.306 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](CCS(C)(=O)=O)c1ccccc1)C2 ZINC000468816363 1074590936 /nfs/dbraw/zinc/59/09/36/1074590936.db2.gz CSOKLPWPJYAFHZ-MRXNPFEDSA-N 0 0 449.533 -0.306 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)n1C ZINC000468816535 1074590456 /nfs/dbraw/zinc/59/04/56/1074590456.db2.gz DPFDLXYVRKCKHW-KRWDZBQOSA-N 0 0 443.556 -0.033 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)n1C ZINC000468816617 1074590387 /nfs/dbraw/zinc/59/03/87/1074590387.db2.gz DPFDLXYVRKCKHW-QGZVFWFLSA-N 0 0 443.556 -0.033 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cn1C ZINC000468818005 1074590954 /nfs/dbraw/zinc/59/09/54/1074590954.db2.gz CFKJMQIYENGENO-AWEZNQCLSA-N 0 0 433.556 -0.577 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cn1C ZINC000468818063 1074590817 /nfs/dbraw/zinc/59/08/17/1074590817.db2.gz CFKJMQIYENGENO-CQSZACIVSA-N 0 0 433.556 -0.577 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000468820023 1074590973 /nfs/dbraw/zinc/59/09/73/1074590973.db2.gz DWUZFLFZQZAFTL-AWEZNQCLSA-N 0 0 431.497 -0.271 20 0 IBADRN Cc1noc(Cn2cc(C(=O)NCCS(=O)(=O)N3CCSCC3)ccc2=O)n1 ZINC000468820122 1071034951 /nfs/dbraw/zinc/03/49/51/1071034951.db2.gz DONDVNBQISKNMB-UHFFFAOYSA-N 0 0 427.508 -0.304 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000468820150 1074590864 /nfs/dbraw/zinc/59/08/64/1074590864.db2.gz DWUZFLFZQZAFTL-CQSZACIVSA-N 0 0 431.497 -0.271 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H](C(C)C)N1CCCS1(=O)=O ZINC000468820411 1074590749 /nfs/dbraw/zinc/59/07/49/1074590749.db2.gz CICSCIGOBZYIDY-LLVKDONJSA-N 0 0 440.569 -0.388 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000468820768 1074590910 /nfs/dbraw/zinc/59/09/10/1074590910.db2.gz DCHNEUXRRSYXRV-INIZCTEOSA-N 0 0 432.525 -0.683 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000468820822 1074590963 /nfs/dbraw/zinc/59/09/63/1074590963.db2.gz DCHNEUXRRSYXRV-MRXNPFEDSA-N 0 0 432.525 -0.683 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)OC ZINC000468821094 1074590788 /nfs/dbraw/zinc/59/07/88/1074590788.db2.gz DZXYEWCCOHGDDT-AWEZNQCLSA-N 0 0 434.492 -0.100 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H](C(C)C)N1CCCS1(=O)=O ZINC000468822340 1074590798 /nfs/dbraw/zinc/59/07/98/1074590798.db2.gz CICSCIGOBZYIDY-NSHDSACASA-N 0 0 440.569 -0.388 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC ZINC000468823056 1074590836 /nfs/dbraw/zinc/59/08/36/1074590836.db2.gz DHXGAAQFTXOOEF-GFCCVEGCSA-N 0 0 425.456 -0.188 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1c(C)cc(=O)n(CC(=O)NC(C)C)c1C ZINC000468826900 1074590827 /nfs/dbraw/zinc/59/08/27/1074590827.db2.gz FBFVPQOTHCXBTE-HNNXBMFYSA-N 0 0 433.509 -0.543 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1c(C)cc(=O)n(CC(=O)NC(C)C)c1C ZINC000468827115 1074590945 /nfs/dbraw/zinc/59/09/45/1074590945.db2.gz FBFVPQOTHCXBTE-OAHLLOKOSA-N 0 0 433.509 -0.543 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)C2=O)n1 ZINC000468827618 1073354922 /nfs/dbraw/zinc/35/49/22/1073354922.db2.gz CQQUWLKNAZTERZ-INIZCTEOSA-N 0 0 436.476 0.505 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)C2=O)n1 ZINC000468827769 1074590927 /nfs/dbraw/zinc/59/09/27/1074590927.db2.gz CQQUWLKNAZTERZ-MRXNPFEDSA-N 0 0 436.476 0.505 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468828701 1074590740 /nfs/dbraw/zinc/59/07/40/1074590740.db2.gz FJPBXIULGFHEGB-GFCCVEGCSA-N 0 0 445.498 -0.113 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468828891 1074590900 /nfs/dbraw/zinc/59/09/00/1074590900.db2.gz FJPBXIULGFHEGB-LBPRGKRZSA-N 0 0 445.498 -0.113 20 0 IBADRN COCCOc1ccc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000468829685 1074590919 /nfs/dbraw/zinc/59/09/19/1074590919.db2.gz DGMNPTIYVVLRBI-UHFFFAOYSA-N 0 0 427.523 -0.025 20 0 IBADRN CCOc1cc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)ccc1OC ZINC000468829887 1074590772 /nfs/dbraw/zinc/59/07/72/1074590772.db2.gz DDDKCNKYOVMQAO-UHFFFAOYSA-N 0 0 445.476 0.404 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cn(Cc3cccc(F)c3)nn2)CC1)N1CCOCC1 ZINC000468832335 1074591223 /nfs/dbraw/zinc/59/12/23/1074591223.db2.gz FBXBHGPDHLTJSR-UHFFFAOYSA-N 0 0 430.440 -0.391 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)[C@H]3C[C@H](OC)CN3S(C)(=O)=O)C2)no1 ZINC000468832739 1071038083 /nfs/dbraw/zinc/03/80/83/1071038083.db2.gz DPWBYZLCUVLUPW-QLFBSQMISA-N 0 0 443.526 -0.370 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)[C@H]3C[C@H](OC)CN3S(C)(=O)=O)C2)no1 ZINC000468833006 1071038163 /nfs/dbraw/zinc/03/81/63/1071038163.db2.gz DPWBYZLCUVLUPW-SOUVJXGZSA-N 0 0 443.526 -0.370 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)o1 ZINC000468836204 1074591431 /nfs/dbraw/zinc/59/14/31/1074591431.db2.gz FXRAMPIAHIWWJO-UHFFFAOYSA-N 0 0 446.511 -0.379 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1C ZINC000468836670 1074591250 /nfs/dbraw/zinc/59/12/50/1074591250.db2.gz FMUQWCRVETWDIH-UHFFFAOYSA-N 0 0 427.508 -0.028 20 0 IBADRN CCc1ccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1S(C)(=O)=O ZINC000468836895 1074591340 /nfs/dbraw/zinc/59/13/40/1074591340.db2.gz FDDPFBNYVUTYFK-UHFFFAOYSA-N 0 0 427.508 -0.083 20 0 IBADRN COc1ccc([C@H](CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)NC(C)=O)cc1 ZINC000468837043 1074591372 /nfs/dbraw/zinc/59/13/72/1074591372.db2.gz FDNGXPDABPIRHH-INIZCTEOSA-N 0 0 436.494 -0.086 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000468838033 1074591258 /nfs/dbraw/zinc/59/12/58/1074591258.db2.gz FVGYXENLQQTCDW-GOSISDBHSA-N 0 0 428.530 -0.108 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000468838089 1074591364 /nfs/dbraw/zinc/59/13/64/1074591364.db2.gz FVGYXENLQQTCDW-SFHVURJKSA-N 0 0 428.530 -0.108 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN([C@H](C)CO)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000468839507 1074591380 /nfs/dbraw/zinc/59/13/80/1074591380.db2.gz FGIVNUMTVHSGHS-BFUOFWGJSA-N 0 0 440.522 -0.173 20 0 IBADRN COc1ccc([C@@H](CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)NC(C)=O)cc1 ZINC000468839796 1074591423 /nfs/dbraw/zinc/59/14/23/1074591423.db2.gz FDNGXPDABPIRHH-MRXNPFEDSA-N 0 0 436.494 -0.086 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN([C@@H](C)CO)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000468839937 1074591162 /nfs/dbraw/zinc/59/11/62/1074591162.db2.gz FGIVNUMTVHSGHS-DJJJIMSYSA-N 0 0 440.522 -0.173 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN([C@@H](C)CO)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000468839959 1074591402 /nfs/dbraw/zinc/59/14/02/1074591402.db2.gz FGIVNUMTVHSGHS-ORAYPTAESA-N 0 0 440.522 -0.173 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN([C@H](C)CO)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000468840061 1074591196 /nfs/dbraw/zinc/59/11/96/1074591196.db2.gz FGIVNUMTVHSGHS-YJYMSZOUSA-N 0 0 440.522 -0.173 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN(C)Cc3nnc(C)n3C)CC2)cs1 ZINC000468840066 1074591357 /nfs/dbraw/zinc/59/13/57/1074591357.db2.gz DQHZPUONAFRQSL-UHFFFAOYSA-N 0 0 427.556 -0.147 20 0 IBADRN NC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468840737 1074591348 /nfs/dbraw/zinc/59/13/48/1074591348.db2.gz FAHPRKKSXIGWDU-COXVUDFISA-N 0 0 443.547 -0.018 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCCCNc2ccccn2)n1)N1CCOCC1 ZINC000468840902 1074591324 /nfs/dbraw/zinc/59/13/24/1074591324.db2.gz CVKOVCIXBCWLSO-UHFFFAOYSA-N 0 0 429.481 0.084 20 0 IBADRN NC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468840943 1074591301 /nfs/dbraw/zinc/59/13/01/1074591301.db2.gz FAHPRKKSXIGWDU-JQFCIGGWSA-N 0 0 443.547 -0.018 20 0 IBADRN NC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468841133 1074591288 /nfs/dbraw/zinc/59/12/88/1074591288.db2.gz FAHPRKKSXIGWDU-XKQJLSEDSA-N 0 0 443.547 -0.018 20 0 IBADRN NC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000468841319 1074591582 /nfs/dbraw/zinc/59/15/82/1074591582.db2.gz FAHPRKKSXIGWDU-XYPHTWIQSA-N 0 0 443.547 -0.018 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCCNC(=O)c2cccs2)n1)N1CCOCC1 ZINC000468842811 1074591662 /nfs/dbraw/zinc/59/16/62/1074591662.db2.gz DKKBZZRNCZSOMN-UHFFFAOYSA-N 0 0 448.505 -0.322 20 0 IBADRN O=C([C@H](c1ccccc1)[NH+]1CCC(O)CC1)N1CCN(S(=O)(=O)c2nc[n-]n2)CC1 ZINC000468845934 1074591772 /nfs/dbraw/zinc/59/17/72/1074591772.db2.gz GCDYUKBSPNPDCU-KRWDZBQOSA-N 0 0 434.522 -0.164 20 0 IBADRN O=C([C@@H](c1ccccc1)[NH+]1CCC(O)CC1)N1CCN(S(=O)(=O)c2nc[n-]n2)CC1 ZINC000468848015 1074591858 /nfs/dbraw/zinc/59/18/58/1074591858.db2.gz GCDYUKBSPNPDCU-QGZVFWFLSA-N 0 0 434.522 -0.164 20 0 IBADRN Nc1nsc(N2CCN(CCC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000468849443 1074591743 /nfs/dbraw/zinc/59/17/43/1074591743.db2.gz FDRAFEKOIPUXDA-UHFFFAOYSA-N 0 0 439.567 -0.361 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3nc[nH]n3)CC1)C2=O ZINC000468849693 1074591629 /nfs/dbraw/zinc/59/16/29/1074591629.db2.gz GYHIWOVEKBRWDS-PXAZEXFGSA-N 0 0 439.498 -0.862 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3nc[nH]n3)CC1)C2=O ZINC000468849837 1074591639 /nfs/dbraw/zinc/59/16/39/1074591639.db2.gz GYHIWOVEKBRWDS-SJCJKPOMSA-N 0 0 439.498 -0.862 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3nc[nH]n3)CC1)C2=O ZINC000468849862 1074591652 /nfs/dbraw/zinc/59/16/52/1074591652.db2.gz GYHIWOVEKBRWDS-SJKOYZFVSA-N 0 0 439.498 -0.862 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3nc[nH]n3)CC1)C2=O ZINC000468849928 1074591763 /nfs/dbraw/zinc/59/17/63/1074591763.db2.gz GYHIWOVEKBRWDS-YVEFUNNKSA-N 0 0 439.498 -0.862 20 0 IBADRN Cc1ccc2c(c1C)OC[C@@H]2NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468852725 1074591596 /nfs/dbraw/zinc/59/15/96/1074591596.db2.gz DOKDLWHIPJONCL-INIZCTEOSA-N 0 0 427.461 0.547 20 0 IBADRN Cc1ccc2c(c1C)OC[C@H]2NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000468852937 1073342418 /nfs/dbraw/zinc/34/24/18/1073342418.db2.gz DOKDLWHIPJONCL-MRXNPFEDSA-N 0 0 427.461 0.547 20 0 IBADRN COCCN1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1=O ZINC000468853268 1074591838 /nfs/dbraw/zinc/59/18/38/1074591838.db2.gz GSMKYGDUSQQGAV-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)cc1 ZINC000468853867 1074591819 /nfs/dbraw/zinc/59/18/19/1074591819.db2.gz FGEQMJXSSGCERK-UHFFFAOYSA-N 0 0 428.492 -0.010 20 0 IBADRN Cc1noc([C@@H](C)N2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000468854088 1074591675 /nfs/dbraw/zinc/59/16/75/1074591675.db2.gz DQIKVZBNLMKLEV-CYBMUJFWSA-N 0 0 430.531 -0.583 20 0 IBADRN Cc1noc([C@H](C)N2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000468854346 1074592208 /nfs/dbraw/zinc/59/22/08/1074592208.db2.gz DQIKVZBNLMKLEV-ZDUSSCGKSA-N 0 0 430.531 -0.583 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)CC1 ZINC000468856671 1074592289 /nfs/dbraw/zinc/59/22/89/1074592289.db2.gz FFFCXOHIMSWPCF-UHFFFAOYSA-N 0 0 434.522 -0.285 20 0 IBADRN Cc1cccc2oc(CCNC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)nc21 ZINC000468859349 1074592165 /nfs/dbraw/zinc/59/21/65/1074592165.db2.gz FFARUWHTKOBKAS-UHFFFAOYSA-N 0 0 440.460 0.489 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cc[nH]c(=O)c2Br)CC1 ZINC000468861487 1074592102 /nfs/dbraw/zinc/59/21/02/1074592102.db2.gz FXPWNUQLPGIRJH-UHFFFAOYSA-N 0 0 425.239 -0.017 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(c3ccccc3Cl)C2)c[nH]1 ZINC000468861970 1074592221 /nfs/dbraw/zinc/59/22/21/1074592221.db2.gz FJXILMFWFRUNDI-JTQLQIEISA-N 0 0 439.881 -0.033 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(c3ccccc3Cl)C2)c[nH]1 ZINC000468862057 1074592111 /nfs/dbraw/zinc/59/21/11/1074592111.db2.gz FJXILMFWFRUNDI-SNVBAGLBSA-N 0 0 439.881 -0.033 20 0 IBADRN O=C(CN1CCCS1(=O)=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000468862852 1074592176 /nfs/dbraw/zinc/59/21/76/1074592176.db2.gz DYBSYXXSRKABBV-INIZCTEOSA-N 0 0 427.498 -0.005 20 0 IBADRN O=C(CN1CCCS1(=O)=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000468863046 1074592155 /nfs/dbraw/zinc/59/21/55/1074592155.db2.gz DYBSYXXSRKABBV-MRXNPFEDSA-N 0 0 427.498 -0.005 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)c1 ZINC000468863072 1074592231 /nfs/dbraw/zinc/59/22/31/1074592231.db2.gz FAYSDBAQFOTOQW-UHFFFAOYSA-N 0 0 442.519 -0.081 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)Cc1cccc(F)c1 ZINC000468865789 1074592085 /nfs/dbraw/zinc/59/20/85/1074592085.db2.gz HWNMVBKFESSTQW-GFCCVEGCSA-N 0 0 441.437 -0.056 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)Cc1cccc(F)c1 ZINC000468865872 1074592186 /nfs/dbraw/zinc/59/21/86/1074592186.db2.gz HWNMVBKFESSTQW-LBPRGKRZSA-N 0 0 441.437 -0.056 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Nc1ccccc1 ZINC000468866355 1074592246 /nfs/dbraw/zinc/59/22/46/1074592246.db2.gz FTALRCSLNQEJQM-UHFFFAOYSA-N 0 0 428.449 -0.175 20 0 IBADRN CCc1n[nH]c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1Br ZINC000468866739 1074592051 /nfs/dbraw/zinc/59/20/51/1074592051.db2.gz GMOJFQGQCFCFJN-UHFFFAOYSA-N 0 0 426.275 -0.163 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)NC[C@@H](NC(=O)[C@@H](O)Cc2cnn(C)c2)C2CCCCC2)cn1 ZINC000468866805 1074592300 /nfs/dbraw/zinc/59/23/00/1074592300.db2.gz IAKAQZQEVHYCID-AABGKKOBSA-N 0 0 446.552 -0.158 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)N[C@H](CNC(=O)[C@@H](O)Cc2cnn(C)c2)C2CCCCC2)cn1 ZINC000468867081 1074592260 /nfs/dbraw/zinc/59/22/60/1074592260.db2.gz IAKAQZQEVHYCID-HSALFYBXSA-N 0 0 446.552 -0.158 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)N[C@@H](CNC(=O)[C@@H](O)Cc2cnn(C)c2)C2CCCCC2)cn1 ZINC000468867325 1074592726 /nfs/dbraw/zinc/59/27/26/1074592726.db2.gz IAKAQZQEVHYCID-SLFFLAALSA-N 0 0 446.552 -0.158 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)NC[C@H](NC(=O)[C@@H](O)Cc2cnn(C)c2)C2CCCCC2)cn1 ZINC000468867613 1074592609 /nfs/dbraw/zinc/59/26/09/1074592609.db2.gz IAKAQZQEVHYCID-UFYCRDLUSA-N 0 0 446.552 -0.158 20 0 IBADRN Nc1nsc(N2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000468867723 1074592474 /nfs/dbraw/zinc/59/24/74/1074592474.db2.gz GGPMQAHOJCFFEE-KBPBESRZSA-N 0 0 444.583 -0.563 20 0 IBADRN Nc1nsc(N2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000468868009 1074592531 /nfs/dbraw/zinc/59/25/31/1074592531.db2.gz GGPMQAHOJCFFEE-KGLIPLIRSA-N 0 0 444.583 -0.563 20 0 IBADRN Nc1nsc(N2CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000468868259 1074592125 /nfs/dbraw/zinc/59/21/25/1074592125.db2.gz GGPMQAHOJCFFEE-UONOGXRCSA-N 0 0 444.583 -0.563 20 0 IBADRN Nc1nsc(N2CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000468868468 1074592720 /nfs/dbraw/zinc/59/27/20/1074592720.db2.gz GGPMQAHOJCFFEE-ZIAGYGMSSA-N 0 0 444.583 -0.563 20 0 IBADRN Cc1sc2ncn(CC(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)c(=O)c2c1C ZINC000468869237 1074592552 /nfs/dbraw/zinc/59/25/52/1074592552.db2.gz IBXBSHSRGGEAFN-UHFFFAOYSA-N 0 0 437.507 -0.274 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)NCCCN2C(=O)CNC2=O)CCCC1 ZINC000468872287 1074592730 /nfs/dbraw/zinc/59/27/30/1074592730.db2.gz GDYSUUPFAFBKEG-UHFFFAOYSA-N 0 0 427.483 -0.059 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Oc1ccccc1F ZINC000468872479 1074592488 /nfs/dbraw/zinc/59/24/88/1074592488.db2.gz FLJOPIWLVRAWIU-AWEZNQCLSA-N 0 0 433.440 0.403 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)CN3CCN(c4ccccn4)CC3)cn2)CC1 ZINC000468872753 1074592691 /nfs/dbraw/zinc/59/26/91/1074592691.db2.gz HKGRQZCMNCTLRF-UHFFFAOYSA-N 0 0 426.525 -0.187 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C2CC2)[C@@H]2CC(=O)NC2=O)s1 ZINC000468874920 1074592716 /nfs/dbraw/zinc/59/27/16/1074592716.db2.gz HXFWUWIVHZQPPJ-GFCCVEGCSA-N 0 0 428.492 -0.931 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Oc1ccccc1F ZINC000468874969 1074592685 /nfs/dbraw/zinc/59/26/85/1074592685.db2.gz FLJOPIWLVRAWIU-CQSZACIVSA-N 0 0 433.440 0.403 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)s1 ZINC000468875008 1074592674 /nfs/dbraw/zinc/59/26/74/1074592674.db2.gz HXFWUWIVHZQPPJ-LBPRGKRZSA-N 0 0 428.492 -0.931 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000468879935 1074592498 /nfs/dbraw/zinc/59/24/98/1074592498.db2.gz HVRQFBHBCMIKOW-IYBDPMFKSA-N 0 0 438.550 -0.012 20 0 IBADRN O=C(Cc1cccs1)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468880194 1074592541 /nfs/dbraw/zinc/59/25/41/1074592541.db2.gz IVTHMOSOUAJZLS-AWEZNQCLSA-N 0 0 438.535 -0.067 20 0 IBADRN O=C(Cc1cccs1)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468880477 1074593213 /nfs/dbraw/zinc/59/32/13/1074593213.db2.gz IVTHMOSOUAJZLS-CQSZACIVSA-N 0 0 438.535 -0.067 20 0 IBADRN O=C(c1cccc(CNc2ccncn2)c1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468884074 1073338832 /nfs/dbraw/zinc/33/88/32/1073338832.db2.gz IDERNGUGNSGFLF-UHFFFAOYSA-N 0 0 428.478 0.354 20 0 IBADRN Cc1cc(C)n(C[C@@H]2CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CCO2)n1 ZINC000468885069 1074593156 /nfs/dbraw/zinc/59/31/56/1074593156.db2.gz HSDXKEDUASGXDX-INIZCTEOSA-N 0 0 429.543 -0.439 20 0 IBADRN Cc1cc(C)n(C[C@H]2CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CCO2)n1 ZINC000468885189 1074592878 /nfs/dbraw/zinc/59/28/78/1074592878.db2.gz HSDXKEDUASGXDX-MRXNPFEDSA-N 0 0 429.543 -0.439 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)c1 ZINC000468885758 1074593167 /nfs/dbraw/zinc/59/31/67/1074593167.db2.gz JHMXPDUDMGCTLZ-INIZCTEOSA-N 0 0 427.527 -0.682 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)c1 ZINC000468885898 1074592924 /nfs/dbraw/zinc/59/29/24/1074592924.db2.gz JHMXPDUDMGCTLZ-MRXNPFEDSA-N 0 0 427.527 -0.682 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468887190 1074593027 /nfs/dbraw/zinc/59/30/27/1074593027.db2.gz JEFWSDIWLGJCHZ-UHFFFAOYSA-N 0 0 430.849 -0.140 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC(N(C)c2cccnn2)CC1 ZINC000468887422 1074593189 /nfs/dbraw/zinc/59/31/89/1074593189.db2.gz IXBIZEIGPOEJKA-UHFFFAOYSA-N 0 0 426.543 -0.262 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000468887532 1074593529 /nfs/dbraw/zinc/59/35/29/1074593529.db2.gz FZSUTDQQDIYJJF-KRWDZBQOSA-N 0 0 441.525 -0.310 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000468887652 1074592956 /nfs/dbraw/zinc/59/29/56/1074592956.db2.gz FZSUTDQQDIYJJF-QGZVFWFLSA-N 0 0 441.525 -0.310 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@H]1CCN(c2ccccc2F)C1=O ZINC000468887655 1074593146 /nfs/dbraw/zinc/59/31/46/1074593146.db2.gz JHAUIEIPIBMTNW-HNNXBMFYSA-N 0 0 437.453 -0.205 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000468887808 1074592906 /nfs/dbraw/zinc/59/29/06/1074592906.db2.gz JHAUIEIPIBMTNW-OAHLLOKOSA-N 0 0 437.453 -0.205 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)CC1 ZINC000468888563 1074593459 /nfs/dbraw/zinc/59/34/59/1074593459.db2.gz INTHSPYUBKJSCQ-UHFFFAOYSA-N 0 0 442.513 -0.548 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CCCN(C(=O)NC2CCCC2)C1 ZINC000468888862 1074593422 /nfs/dbraw/zinc/59/34/22/1074593422.db2.gz GLKCJRMKTNINQY-CGTJXYLNSA-N 0 0 442.582 -0.035 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CCCN(C(=O)NC2CCCC2)C1 ZINC000468888976 1074593615 /nfs/dbraw/zinc/59/36/15/1074593615.db2.gz GLKCJRMKTNINQY-JQHSSLGASA-N 0 0 442.582 -0.035 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CCCN(C(=O)NC2CCCC2)C1 ZINC000468889018 1074593407 /nfs/dbraw/zinc/59/34/07/1074593407.db2.gz GLKCJRMKTNINQY-KBAYOESNSA-N 0 0 442.582 -0.035 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CCCN(C(=O)NC2CCCC2)C1 ZINC000468889040 1074593657 /nfs/dbraw/zinc/59/36/57/1074593657.db2.gz GLKCJRMKTNINQY-NXHRZFHOSA-N 0 0 442.582 -0.035 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCOc3ccc(F)cc32)n1)N1CCOCC1 ZINC000468889200 1074593448 /nfs/dbraw/zinc/59/34/48/1074593448.db2.gz GUJIKFVSSHIFHR-HNNXBMFYSA-N 0 0 431.424 0.460 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000468889218 1074593652 /nfs/dbraw/zinc/59/36/52/1074593652.db2.gz IEKXWLVTNDRLSD-UHFFFAOYSA-N 0 0 436.494 -0.144 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1)C(=O)OC ZINC000468889625 1074593644 /nfs/dbraw/zinc/59/36/44/1074593644.db2.gz IZEWPNXQVPVXNE-AWEZNQCLSA-N 0 0 434.492 -0.100 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000468889859 1074593541 /nfs/dbraw/zinc/59/35/41/1074593541.db2.gz IJFHAXUCQHLHSM-APWZRJJASA-N 0 0 445.630 -0.353 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000468889912 1074593472 /nfs/dbraw/zinc/59/34/72/1074593472.db2.gz IJFHAXUCQHLHSM-LPHOPBHVSA-N 0 0 445.630 -0.353 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000468889982 1074593502 /nfs/dbraw/zinc/59/35/02/1074593502.db2.gz IJFHAXUCQHLHSM-QFBILLFUSA-N 0 0 445.630 -0.353 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCOc3ccc(F)cc32)n1)N1CCOCC1 ZINC000468891136 1074593635 /nfs/dbraw/zinc/59/36/35/1074593635.db2.gz GUJIKFVSSHIFHR-OAHLLOKOSA-N 0 0 431.424 0.460 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1cc(N2CCNC(=O)C2)ccn1 ZINC000468891643 1074593564 /nfs/dbraw/zinc/59/35/64/1074593564.db2.gz INJFCIBIMVNPTO-UHFFFAOYSA-N 0 0 440.507 -0.803 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000468892049 1074593590 /nfs/dbraw/zinc/59/35/90/1074593590.db2.gz IJFHAXUCQHLHSM-VQIMIIECSA-N 0 0 445.630 -0.353 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468892212 1074593625 /nfs/dbraw/zinc/59/36/25/1074593625.db2.gz JCARDPIJRLEARI-UHFFFAOYSA-N 0 0 434.525 -0.932 20 0 IBADRN O=C(c1cc(I)c[nH]1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468892812 1071423934 /nfs/dbraw/zinc/42/39/34/1071423934.db2.gz JPUYFLXMAFJKDQ-UHFFFAOYSA-N 0 0 436.235 -0.116 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)n1 ZINC000468894512 1074593844 /nfs/dbraw/zinc/59/38/44/1074593844.db2.gz GRJQCMYIKDCZDT-UHFFFAOYSA-N 0 0 436.494 -0.466 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)CC1 ZINC000468894839 1074593965 /nfs/dbraw/zinc/59/39/65/1074593965.db2.gz IOHKYZISHSMFPC-GOSISDBHSA-N 0 0 438.550 -0.211 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CCN(c2ccccc2F)C1=O ZINC000468895531 1074593870 /nfs/dbraw/zinc/59/38/70/1074593870.db2.gz JFNGQBHHQJIUQP-AWEZNQCLSA-N 0 0 428.486 -0.119 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1CCN(c2ccccc2F)C1=O ZINC000468895854 1074593830 /nfs/dbraw/zinc/59/38/30/1074593830.db2.gz JFNGQBHHQJIUQP-CQSZACIVSA-N 0 0 428.486 -0.119 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@@H](O)Cc3cnn(C)c3)CC2)cc1 ZINC000468896518 1074593953 /nfs/dbraw/zinc/59/39/53/1074593953.db2.gz JNIAIGQZARFXOA-IBGZPJMESA-N 0 0 435.550 -0.245 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)CC1 ZINC000468896637 1074593878 /nfs/dbraw/zinc/59/38/78/1074593878.db2.gz IOHKYZISHSMFPC-SFHVURJKSA-N 0 0 438.550 -0.211 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000468896824 1074594010 /nfs/dbraw/zinc/59/40/10/1074594010.db2.gz HWWBQIVTOHXOBY-UHFFFAOYSA-N 0 0 426.495 -0.966 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@H](O)Cc3cnn(C)c3)CC2)cc1 ZINC000468896855 1074593820 /nfs/dbraw/zinc/59/38/20/1074593820.db2.gz JNIAIGQZARFXOA-LJQANCHMSA-N 0 0 435.550 -0.245 20 0 IBADRN COC(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000468898933 1071426155 /nfs/dbraw/zinc/42/61/55/1071426155.db2.gz JIOOBHXUAMMHJU-UHFFFAOYSA-N 0 0 427.483 -0.999 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000468900520 1074593937 /nfs/dbraw/zinc/59/39/37/1074593937.db2.gz HNSWKXHUIZQJET-GXTWGEPZSA-N 0 0 434.540 -0.015 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468900531 1074594018 /nfs/dbraw/zinc/59/40/18/1074594018.db2.gz JJZBHJZXEMFNOG-CYBMUJFWSA-N 0 0 434.544 -0.768 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000468900749 1074593991 /nfs/dbraw/zinc/59/39/91/1074593991.db2.gz HNSWKXHUIZQJET-JSGCOSHPSA-N 0 0 434.540 -0.015 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468900795 1074593982 /nfs/dbraw/zinc/59/39/82/1074593982.db2.gz JJZBHJZXEMFNOG-ZDUSSCGKSA-N 0 0 434.544 -0.768 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1cncc(NS(C)(=O)=O)c1 ZINC000468904205 1074593853 /nfs/dbraw/zinc/59/38/53/1074593853.db2.gz GPIGTNOADJTBAM-UHFFFAOYSA-N 0 0 435.509 -0.368 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000468905159 1074593889 /nfs/dbraw/zinc/59/38/89/1074593889.db2.gz GXDRVWYGPFHYLB-IBGZPJMESA-N 0 0 427.523 -0.123 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000468905265 1074593973 /nfs/dbraw/zinc/59/39/73/1074593973.db2.gz GXDRVWYGPFHYLB-LJQANCHMSA-N 0 0 427.523 -0.123 20 0 IBADRN CN(CC(=O)Nc1cc(F)cc(F)c1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000468905554 1074594358 /nfs/dbraw/zinc/59/43/58/1074594358.db2.gz IIGMSFFJMMAIEE-UHFFFAOYSA-N 0 0 429.405 -0.233 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCNc2nccc(C(F)(F)F)n2)o1 ZINC000468908078 1074594281 /nfs/dbraw/zinc/59/42/81/1074594281.db2.gz IRIJFQGFXJHAGF-UHFFFAOYSA-N 0 0 436.372 -0.306 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468911267 1074594330 /nfs/dbraw/zinc/59/43/30/1074594330.db2.gz LCULNVRFELJHSS-UHFFFAOYSA-N 0 0 445.461 -0.277 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000468912791 1074594254 /nfs/dbraw/zinc/59/42/54/1074594254.db2.gz JMTXPGKXSVTUDB-UHFFFAOYSA-N 0 0 430.552 -0.563 20 0 IBADRN CC(=O)N[C@@H](CC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)C(N)=O ZINC000468913032 1074594442 /nfs/dbraw/zinc/59/44/42/1074594442.db2.gz IKKZLUGWVBPBPV-INIZCTEOSA-N 0 0 425.511 -0.669 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2cccc(-n3ccnc3)c2)n1)N1CCOCC1 ZINC000468915129 1074594426 /nfs/dbraw/zinc/59/44/26/1074594426.db2.gz HMCPYGGXMLNSAA-UHFFFAOYSA-N 0 0 437.460 0.183 20 0 IBADRN O=C([C@H]1COCC(=O)N1Cc1ccccc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468916091 1074594169 /nfs/dbraw/zinc/59/41/69/1074594169.db2.gz KCMYPOJARFBSNG-OAHLLOKOSA-N 0 0 434.478 -0.935 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)no1 ZINC000468918290 1074594241 /nfs/dbraw/zinc/59/42/41/1074594241.db2.gz IGJCUDPCMWKUDK-INIZCTEOSA-N 0 0 440.460 -0.047 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)no1 ZINC000468918485 1074594314 /nfs/dbraw/zinc/59/43/14/1074594314.db2.gz IGJCUDPCMWKUDK-MRXNPFEDSA-N 0 0 440.460 -0.047 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1)C(=O)OC ZINC000468919871 1074594321 /nfs/dbraw/zinc/59/43/21/1074594321.db2.gz LFEZWUPWBPTMRN-HNNXBMFYSA-N 0 0 428.463 -0.068 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCc2cnn(C)c2)CCN1C(=O)CCc1cnn(C)c1 ZINC000468920296 1074594347 /nfs/dbraw/zinc/59/43/47/1074594347.db2.gz IOKDDLNZOUIGPS-GOSISDBHSA-N 0 0 429.525 -0.153 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCc2cnn(C)c2)CCN1C(=O)CCc1cnn(C)c1 ZINC000468920467 1074594366 /nfs/dbraw/zinc/59/43/66/1074594366.db2.gz IOKDDLNZOUIGPS-SFHVURJKSA-N 0 0 429.525 -0.153 20 0 IBADRN O=C(c1cncc(I)c1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468921238 1074594300 /nfs/dbraw/zinc/59/43/00/1074594300.db2.gz LZGJYTNBRTZTPR-UHFFFAOYSA-N 0 0 448.246 -0.049 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)ncn1 ZINC000468925838 1074594458 /nfs/dbraw/zinc/59/44/58/1074594458.db2.gz LVWMUUMFXPVRSK-INIZCTEOSA-N 0 0 440.570 -0.042 20 0 IBADRN CC(C)CCNC(=O)[C@@H]1CSCN1C(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000468926006 1074594181 /nfs/dbraw/zinc/59/41/81/1074594181.db2.gz JHBJNRIQDUEZOC-AWEZNQCLSA-N 0 0 436.600 -0.116 20 0 IBADRN CC(C)CCNC(=O)[C@H]1CSCN1C(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000468926103 1074594272 /nfs/dbraw/zinc/59/42/72/1074594272.db2.gz JHBJNRIQDUEZOC-CQSZACIVSA-N 0 0 436.600 -0.116 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)ncn1 ZINC000468927913 1074594708 /nfs/dbraw/zinc/59/47/08/1074594708.db2.gz LVWMUUMFXPVRSK-MRXNPFEDSA-N 0 0 440.570 -0.042 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000468928888 1074594808 /nfs/dbraw/zinc/59/48/08/1074594808.db2.gz KKBCJLNKDGHTFR-UHFFFAOYSA-N 0 0 440.569 -0.892 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468933713 1074594732 /nfs/dbraw/zinc/59/47/32/1074594732.db2.gz LULAMCKTPOHHSG-LLVKDONJSA-N 0 0 440.432 -0.031 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2[nH]1 ZINC000468933835 1074594728 /nfs/dbraw/zinc/59/47/28/1074594728.db2.gz LUIOZLIDKRNHKA-UHFFFAOYSA-N 0 0 442.519 -0.589 20 0 IBADRN O=C([C@H]1CC(=O)N(c2cc(F)cc(F)c2)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468934019 1074594763 /nfs/dbraw/zinc/59/47/63/1074594763.db2.gz LULAMCKTPOHHSG-NSHDSACASA-N 0 0 440.432 -0.031 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000468938292 1074594702 /nfs/dbraw/zinc/59/47/02/1074594702.db2.gz MDFCICNRCDAYHF-UHFFFAOYSA-N 0 0 445.523 -0.023 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCC(=O)Nc3ccncc3)CC2=O)c1 ZINC000468939502 1074594773 /nfs/dbraw/zinc/59/47/73/1074594773.db2.gz IPUBWLVGDUDUPS-CYBMUJFWSA-N 0 0 431.474 -0.351 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCC(=O)Nc3ccncc3)CC2=O)c1 ZINC000468939740 1074594789 /nfs/dbraw/zinc/59/47/89/1074594789.db2.gz IPUBWLVGDUDUPS-ZDUSSCGKSA-N 0 0 431.474 -0.351 20 0 IBADRN O=C(CCNC(=O)c1cc(N2CCNC(=O)C2)ccn1)N1CCN(c2ncccn2)CC1 ZINC000468941446 1074594758 /nfs/dbraw/zinc/59/47/58/1074594758.db2.gz MQTVOPUMQRNFDU-UHFFFAOYSA-N 0 0 438.492 -0.724 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)n1 ZINC000468948294 1073326099 /nfs/dbraw/zinc/32/60/99/1073326099.db2.gz KHBGDAHRADLJPR-UHFFFAOYSA-N 0 0 429.480 -0.329 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc(S(N)(=O)=O)c1C ZINC000468949685 1074594798 /nfs/dbraw/zinc/59/47/98/1074594798.db2.gz JNCUKEKZFWFYES-INIZCTEOSA-N 0 0 438.550 -0.044 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc(S(N)(=O)=O)c1C ZINC000468949891 1074594736 /nfs/dbraw/zinc/59/47/36/1074594736.db2.gz JNCUKEKZFWFYES-MRXNPFEDSA-N 0 0 438.550 -0.044 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000468949910 1074594832 /nfs/dbraw/zinc/59/48/32/1074594832.db2.gz MVVQBYWRWGXBPO-INIZCTEOSA-N 0 0 430.509 -0.977 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000468950038 1074594769 /nfs/dbraw/zinc/59/47/69/1074594769.db2.gz MVVQBYWRWGXBPO-MRXNPFEDSA-N 0 0 430.509 -0.977 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC000468952138 1074595264 /nfs/dbraw/zinc/59/52/64/1074595264.db2.gz LODKVZNSDIAFPA-UHFFFAOYSA-N 0 0 442.519 -0.346 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2CNC(N)=O)s1 ZINC000468952916 1074595089 /nfs/dbraw/zinc/59/50/89/1074595089.db2.gz KFJQGQBUJKSFCQ-GFCCVEGCSA-N 0 0 431.540 -0.288 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2CNC(N)=O)s1 ZINC000468953261 1074595059 /nfs/dbraw/zinc/59/50/59/1074595059.db2.gz KFJQGQBUJKSFCQ-LBPRGKRZSA-N 0 0 431.540 -0.288 20 0 IBADRN Nc1nsc(N2CCN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000468955523 1074595304 /nfs/dbraw/zinc/59/53/04/1074595304.db2.gz IZSSLLRORQPENG-UHFFFAOYSA-N 0 0 444.564 0.165 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)CN3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000468957921 1074595209 /nfs/dbraw/zinc/59/52/09/1074595209.db2.gz MABPTNOFRUFDKF-HOTGVXAUSA-N 0 0 425.555 -0.111 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)CN3CCN(S(C)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000468958078 1074595219 /nfs/dbraw/zinc/59/52/19/1074595219.db2.gz MABPTNOFRUFDKF-HZPDHXFCSA-N 0 0 425.555 -0.111 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)CN3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000468958223 1074595312 /nfs/dbraw/zinc/59/53/12/1074595312.db2.gz MABPTNOFRUFDKF-IYBDPMFKSA-N 0 0 425.555 -0.111 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468958492 1074595069 /nfs/dbraw/zinc/59/50/69/1074595069.db2.gz NILGYLMUBDEUFU-LLVKDONJSA-N 0 0 432.466 -0.668 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468958767 1074595096 /nfs/dbraw/zinc/59/50/96/1074595096.db2.gz NILGYLMUBDEUFU-NSHDSACASA-N 0 0 432.466 -0.668 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)c(C)c1 ZINC000468963475 1074595532 /nfs/dbraw/zinc/59/55/32/1074595532.db2.gz KVSDPSVFDSUVSD-HNNXBMFYSA-N 0 0 426.426 -0.130 20 0 IBADRN COC(=O)[C@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)c(C)c1 ZINC000468963744 1074595248 /nfs/dbraw/zinc/59/52/48/1074595248.db2.gz KVSDPSVFDSUVSD-OAHLLOKOSA-N 0 0 426.426 -0.130 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)c3cc(N4CCNC(=O)C4)ccn3)C2)cc1 ZINC000468964512 1074595647 /nfs/dbraw/zinc/59/56/47/1074595647.db2.gz NAINTGKCBMKMLG-UHFFFAOYSA-N 0 0 445.501 -0.171 20 0 IBADRN CO[C@H](CCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(F)(F)F ZINC000468964757 1074595544 /nfs/dbraw/zinc/59/55/44/1074595544.db2.gz JZGHWTBATKHUHZ-CYBMUJFWSA-N 0 0 431.481 -0.227 20 0 IBADRN CO[C@@H](CCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(F)(F)F ZINC000468964980 1074595657 /nfs/dbraw/zinc/59/56/57/1074595657.db2.gz JZGHWTBATKHUHZ-ZDUSSCGKSA-N 0 0 431.481 -0.227 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCO[C@@]3(CCOC3)C2)cc1)N1CCOCC1 ZINC000468966464 1074595613 /nfs/dbraw/zinc/59/56/13/1074595613.db2.gz OOYOYPPPMVBZIK-KRWDZBQOSA-N 0 0 432.520 -0.113 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCO[C@]3(CCOC3)C2)cc1)N1CCOCC1 ZINC000468966766 1074595682 /nfs/dbraw/zinc/59/56/82/1074595682.db2.gz OOYOYPPPMVBZIK-QGZVFWFLSA-N 0 0 432.520 -0.113 20 0 IBADRN CC(C)C[C@H](CCC(=O)N1CCN2C(=O)NC[C@@H]2C1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000468967282 1074595746 /nfs/dbraw/zinc/59/57/46/1074595746.db2.gz KTLAMSRMHXYEEY-BBWFWOEESA-N 0 0 434.541 -0.099 20 0 IBADRN CC(C)C[C@H](CCC(=O)N1CCN2C(=O)NC[C@@H]2C1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000468967661 1074595493 /nfs/dbraw/zinc/59/54/93/1074595493.db2.gz KTLAMSRMHXYEEY-GVDBMIGSSA-N 0 0 434.541 -0.099 20 0 IBADRN CC(C)C[C@H](CCC(=O)N1CCN2C(=O)NC[C@H]2C1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000468967670 1074595571 /nfs/dbraw/zinc/59/55/71/1074595571.db2.gz KTLAMSRMHXYEEY-ULQDDVLXSA-N 0 0 434.541 -0.099 20 0 IBADRN CC(C)C[C@H](CCC(=O)N1CCN2C(=O)NC[C@H]2C1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000468967679 1074595741 /nfs/dbraw/zinc/59/57/41/1074595741.db2.gz KTLAMSRMHXYEEY-YESZJQIVSA-N 0 0 434.541 -0.099 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000468967752 1074595724 /nfs/dbraw/zinc/59/57/24/1074595724.db2.gz NPWKVNOADGWRLC-UHFFFAOYSA-N 0 0 431.603 -0.645 20 0 IBADRN CCc1nc(CN2CCN(C(=O)c3cc(S(=O)(=O)NCCOC)c[nH]3)CC2)no1 ZINC000468967958 1074595516 /nfs/dbraw/zinc/59/55/16/1074595516.db2.gz KYJZFTLHTYIVTG-UHFFFAOYSA-N 0 0 426.499 -0.157 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000468970344 1074595702 /nfs/dbraw/zinc/59/57/02/1074595702.db2.gz LAVKWQBXLXENHS-UHFFFAOYSA-N 0 0 441.554 -0.037 20 0 IBADRN CN(C)C(=O)[C@H]1CN(CC(=O)NCc2ccco2)CCN1CC(=O)NCc1ccco1 ZINC000468970696 1074595584 /nfs/dbraw/zinc/59/55/84/1074595584.db2.gz LPYSSJPNKRJPTL-GOSISDBHSA-N 0 0 431.493 -0.120 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(CC(=O)NCc2ccco2)CCN1CC(=O)NCc1ccco1 ZINC000468970796 1074595601 /nfs/dbraw/zinc/59/56/01/1074595601.db2.gz LPYSSJPNKRJPTL-SFHVURJKSA-N 0 0 431.493 -0.120 20 0 IBADRN O=C(CCNC(=O)c1cc(N2CCNC(=O)C2)ccn1)N1CCN(c2ccccn2)CC1 ZINC000468972951 1074595625 /nfs/dbraw/zinc/59/56/25/1074595625.db2.gz OUERLQGXDJCWRZ-UHFFFAOYSA-N 0 0 437.504 -0.119 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC2CC2)c1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468972967 1074595720 /nfs/dbraw/zinc/59/57/20/1074595720.db2.gz OCKDIAHGNVODCH-UHFFFAOYSA-N 0 0 440.507 -0.608 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c2ccccc12 ZINC000468974515 1074595674 /nfs/dbraw/zinc/59/56/74/1074595674.db2.gz KIFHJYKVRWSHIW-UHFFFAOYSA-N 0 0 428.401 -0.002 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000468975458 1074595711 /nfs/dbraw/zinc/59/57/11/1074595711.db2.gz LRDLWMRIOUKTJP-AWEZNQCLSA-N 0 0 430.527 -0.945 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000468975615 1074595691 /nfs/dbraw/zinc/59/56/91/1074595691.db2.gz LRDLWMRIOUKTJP-CQSZACIVSA-N 0 0 430.527 -0.945 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1)C(=O)OC ZINC000468976508 1074596031 /nfs/dbraw/zinc/59/60/31/1074596031.db2.gz OKZJBEOIFNEWMO-LBPRGKRZSA-N 0 0 426.444 -0.030 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCN2CCOCC2(C)C)s1 ZINC000468977442 1071550093 /nfs/dbraw/zinc/55/00/93/1071550093.db2.gz MHFWGJJWNWMLQH-UHFFFAOYSA-N 0 0 432.568 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)c2cc(N3CCNC(=O)C3)ccn2)n1)NCc1ccccc1 ZINC000468977851 1073323262 /nfs/dbraw/zinc/32/32/62/1073323262.db2.gz RBDDPYFGRZEHOK-UHFFFAOYSA-N 0 0 434.460 0.178 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CSC[C@H]2C(=O)N2CCSCC2)o1 ZINC000468982048 1074596077 /nfs/dbraw/zinc/59/60/77/1074596077.db2.gz NOHBWUFIDYACLX-JTQLQIEISA-N 0 0 448.548 -0.866 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)o1 ZINC000468982327 1074595942 /nfs/dbraw/zinc/59/59/42/1074595942.db2.gz NOHBWUFIDYACLX-SNVBAGLBSA-N 0 0 448.548 -0.866 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1 ZINC000468982573 1074596070 /nfs/dbraw/zinc/59/60/70/1074596070.db2.gz MMKBNBSQWLDUOT-CYBMUJFWSA-N 0 0 448.567 -0.394 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1 ZINC000468982815 1074596095 /nfs/dbraw/zinc/59/60/95/1074596095.db2.gz MMKBNBSQWLDUOT-ZDUSSCGKSA-N 0 0 448.567 -0.394 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cc(N4CCNC(=O)C4)ccn3)CC2)cn1C ZINC000468983899 1074595978 /nfs/dbraw/zinc/59/59/78/1074595978.db2.gz NQBWDLPWSGNRFY-UHFFFAOYSA-N 0 0 447.521 -0.794 20 0 IBADRN CCN1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000468984940 1074596015 /nfs/dbraw/zinc/59/60/15/1074596015.db2.gz NMMHEWRINRWJJT-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN COCCCN(C(=O)Cn1nnnc1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000468985211 1074595928 /nfs/dbraw/zinc/59/59/28/1074595928.db2.gz NKADQDLHMWKKPC-UHFFFAOYSA-N 0 0 428.453 -0.056 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)Cc1cccc(F)c1 ZINC000468985929 1074596008 /nfs/dbraw/zinc/59/60/08/1074596008.db2.gz QDYYUIMDEXLIJA-GFCCVEGCSA-N 0 0 440.453 -0.321 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCNC(=O)c2c(F)cccc2F)c[nH]1 ZINC000468985972 1074595914 /nfs/dbraw/zinc/59/59/14/1074595914.db2.gz QIPVGMTXAZVVAO-UHFFFAOYSA-N 0 0 429.405 -0.784 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)Cc1cccc(F)c1 ZINC000468986235 1074596062 /nfs/dbraw/zinc/59/60/62/1074596062.db2.gz QDYYUIMDEXLIJA-LBPRGKRZSA-N 0 0 440.453 -0.321 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468987267 1074596052 /nfs/dbraw/zinc/59/60/52/1074596052.db2.gz OYYUBPBERQREFW-UHFFFAOYSA-N 0 0 446.486 -0.855 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCNC(=O)c2c(F)cccc2F)o1 ZINC000468989557 1074595887 /nfs/dbraw/zinc/59/58/87/1074595887.db2.gz QAKAMRFKBVMHFR-UHFFFAOYSA-N 0 0 430.389 -0.519 20 0 IBADRN Cc1nc(Cn2cc(C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)nn2)no1 ZINC000468990174 1074595988 /nfs/dbraw/zinc/59/59/88/1074595988.db2.gz OBYRTZSCGMMWIQ-UHFFFAOYSA-N 0 0 425.453 -0.118 20 0 IBADRN COC(=O)CN(Cc1cc(OC)cc(OC)c1)C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000468990902 1074596023 /nfs/dbraw/zinc/59/60/23/1074596023.db2.gz OGLQWVOPJWEHQR-UHFFFAOYSA-N 0 0 443.522 -0.217 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)Cc3c[nH]c4ccccc34)CC2)CC1 ZINC000468992016 1074596447 /nfs/dbraw/zinc/59/64/47/1074596447.db2.gz PJDIEFOLZVDQJX-UHFFFAOYSA-N 0 0 447.561 -0.042 20 0 IBADRN O=C(CNC(=O)c1ccccc1OC(F)F)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468992724 1074596423 /nfs/dbraw/zinc/59/64/23/1074596423.db2.gz PWJXPEBVCVMNOX-UHFFFAOYSA-N 0 0 444.420 -0.331 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)c3[nH]c(=O)[nH]c(=O)c3N)cc2)CC1 ZINC000468993214 1074596458 /nfs/dbraw/zinc/59/64/58/1074596458.db2.gz OKNGDIXONCXMFU-UHFFFAOYSA-N 0 0 444.492 -0.015 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)s1 ZINC000468994582 1074596354 /nfs/dbraw/zinc/59/63/54/1074596354.db2.gz NSAONLMALNSPHR-HNNXBMFYSA-N 0 0 438.531 -0.125 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)C(N)=O)s1 ZINC000468994735 1074596488 /nfs/dbraw/zinc/59/64/88/1074596488.db2.gz NSAONLMALNSPHR-OAHLLOKOSA-N 0 0 438.531 -0.125 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CC1 ZINC000468994802 1074596381 /nfs/dbraw/zinc/59/63/81/1074596381.db2.gz QDDFPZZCCPKMMS-UHFFFAOYSA-N 0 0 434.544 -0.864 20 0 IBADRN O=C(CN1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1)N1CCCC1 ZINC000468995330 1074596301 /nfs/dbraw/zinc/59/63/01/1074596301.db2.gz QFCQXVNFDKSKMN-UHFFFAOYSA-N 0 0 434.522 -0.392 20 0 IBADRN O=C(CCNC(=O)N1CCc2ccccc2C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000468996772 1074596406 /nfs/dbraw/zinc/59/64/06/1074596406.db2.gz PSMDPZWGLJAMGB-UHFFFAOYSA-N 0 0 447.521 -0.204 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000468998744 1074596313 /nfs/dbraw/zinc/59/63/13/1074596313.db2.gz PIBHZKOZXOBHOH-UHFFFAOYSA-N 0 0 446.595 -0.043 20 0 IBADRN COCCN1CCCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1=O ZINC000468999472 1074596374 /nfs/dbraw/zinc/59/63/74/1074596374.db2.gz LSWWKAPKBSDOQN-UHFFFAOYSA-N 0 0 446.570 -0.150 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469002310 1074596392 /nfs/dbraw/zinc/59/63/92/1074596392.db2.gz QBYUPTHGAFRBMC-LBPRGKRZSA-N 0 0 428.496 -0.995 20 0 IBADRN O=C(c1cn(Cc2cccc(F)c2)nn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000469004507 1074596334 /nfs/dbraw/zinc/59/63/34/1074596334.db2.gz QWYPDTWZEHLLAO-UHFFFAOYSA-N 0 0 438.485 -0.200 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)CC(=O)N2C)cnn1C ZINC000469006330 1074596326 /nfs/dbraw/zinc/59/63/26/1074596326.db2.gz NKSMIKLKTVLZAX-CRAIPNDOSA-N 0 0 430.509 -0.459 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)CC(=O)N2C)cnn1C ZINC000469006470 1074596732 /nfs/dbraw/zinc/59/67/32/1074596732.db2.gz NKSMIKLKTVLZAX-MAUKXSAKSA-N 0 0 430.509 -0.459 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(c2nc(N)ns2)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000469006471 1074596807 /nfs/dbraw/zinc/59/68/07/1074596807.db2.gz PNBKQOPZHJLDSC-NEPJUHHUSA-N 0 0 439.567 0.156 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC000469006495 1074596750 /nfs/dbraw/zinc/59/67/50/1074596750.db2.gz OJMIDFQEKREPOQ-UHFFFAOYSA-N 0 0 438.550 -0.514 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)CC(=O)N2C)cnn1C ZINC000469006592 1074596741 /nfs/dbraw/zinc/59/67/41/1074596741.db2.gz PFJFZAXNTHCHFQ-JLTOFOAXSA-N 0 0 444.492 -0.932 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)CC(=O)N2C)cnn1C ZINC000469006686 1071562382 /nfs/dbraw/zinc/56/23/82/1071562382.db2.gz NKSMIKLKTVLZAX-QAPCUYQASA-N 0 0 430.509 -0.459 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2)n1)N1CCOCC1 ZINC000469006696 1073322308 /nfs/dbraw/zinc/32/23/08/1073322308.db2.gz MNNGIRHOXLXBRZ-KRWDZBQOSA-N 0 0 440.504 0.466 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(c2nc(N)ns2)CC1 ZINC000469006711 1073360920 /nfs/dbraw/zinc/36/09/20/1073360920.db2.gz PNBKQOPZHJLDSC-NWDGAFQWSA-N 0 0 439.567 0.156 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(c2nc(N)ns2)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000469006745 1074596347 /nfs/dbraw/zinc/59/63/47/1074596347.db2.gz PNBKQOPZHJLDSC-RYUDHWBXSA-N 0 0 439.567 0.156 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)CC(=O)N2C)cnn1C ZINC000469006751 1074596291 /nfs/dbraw/zinc/59/62/91/1074596291.db2.gz NKSMIKLKTVLZAX-YJBOKZPZSA-N 0 0 430.509 -0.459 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(c2nc(N)ns2)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000469006765 1074596483 /nfs/dbraw/zinc/59/64/83/1074596483.db2.gz PNBKQOPZHJLDSC-VXGBXAGGSA-N 0 0 439.567 0.156 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)CC(=O)N2C)cnn1C ZINC000469006767 1074596415 /nfs/dbraw/zinc/59/64/15/1074596415.db2.gz PFJFZAXNTHCHFQ-VBKZILBWSA-N 0 0 444.492 -0.932 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)CC(=O)N2C)cnn1C ZINC000469006795 1074596283 /nfs/dbraw/zinc/59/62/83/1074596283.db2.gz PFJFZAXNTHCHFQ-VLIAUNLRSA-N 0 0 444.492 -0.932 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)CC(=O)N2C)cnn1C ZINC000469006842 1074596434 /nfs/dbraw/zinc/59/64/34/1074596434.db2.gz PFJFZAXNTHCHFQ-XOBRGWDASA-N 0 0 444.492 -0.932 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2)n1)N1CCOCC1 ZINC000469006904 1074596366 /nfs/dbraw/zinc/59/63/66/1074596366.db2.gz MNNGIRHOXLXBRZ-QGZVFWFLSA-N 0 0 440.504 0.466 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(c3nc(N)ns3)CC2)ccc1C ZINC000469009806 1073359564 /nfs/dbraw/zinc/35/95/64/1073359564.db2.gz PPIVCUMIQVTYJO-UHFFFAOYSA-N 0 0 425.540 0.098 20 0 IBADRN NC(=O)c1nccnc1C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000469011563 1074596709 /nfs/dbraw/zinc/59/67/09/1074596709.db2.gz PGHGSGKVJJYPHI-UHFFFAOYSA-N 0 0 447.473 -0.117 20 0 IBADRN CCCC(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469015630 1073327706 /nfs/dbraw/zinc/32/77/06/1073327706.db2.gz LQNXTTODJSERFF-INIZCTEOSA-N 0 0 448.524 -0.165 20 0 IBADRN CCCC(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469015673 1073327726 /nfs/dbraw/zinc/32/77/26/1073327726.db2.gz LQNXTTODJSERFF-MRXNPFEDSA-N 0 0 448.524 -0.165 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000469016543 1074596779 /nfs/dbraw/zinc/59/67/79/1074596779.db2.gz MTEXXDNQSXNKLU-AABGKKOBSA-N 0 0 437.562 -0.109 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000469016730 1074596772 /nfs/dbraw/zinc/59/67/72/1074596772.db2.gz MTEXXDNQSXNKLU-AQNXPRMDSA-N 0 0 437.562 -0.109 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000469016811 1074596764 /nfs/dbraw/zinc/59/67/64/1074596764.db2.gz MTEXXDNQSXNKLU-HSALFYBXSA-N 0 0 437.562 -0.109 20 0 IBADRN Cc1ncc(CN2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)s1 ZINC000469016955 1074596715 /nfs/dbraw/zinc/59/67/15/1074596715.db2.gz PLKZZUNSFLFREA-UHFFFAOYSA-N 0 0 431.584 -0.070 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000469016987 1074596745 /nfs/dbraw/zinc/59/67/45/1074596745.db2.gz MTEXXDNQSXNKLU-VAMGGRTRSA-N 0 0 437.562 -0.109 20 0 IBADRN COCCN1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1=O ZINC000469018112 1074596721 /nfs/dbraw/zinc/59/67/21/1074596721.db2.gz SJUFSDHAMONRKO-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN C[C@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000469021005 1074596703 /nfs/dbraw/zinc/59/67/03/1074596703.db2.gz QOXKGCZASCKHAD-AWEZNQCLSA-N 0 0 432.568 -0.309 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000469021304 1074596727 /nfs/dbraw/zinc/59/67/27/1074596727.db2.gz QOXKGCZASCKHAD-CQSZACIVSA-N 0 0 432.568 -0.309 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469022002 1074596684 /nfs/dbraw/zinc/59/66/84/1074596684.db2.gz RGAJDWQHAXANIN-UHFFFAOYSA-N 0 0 435.510 -0.131 20 0 IBADRN O=C([C@@H]1CCCO1)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469022836 1074597245 /nfs/dbraw/zinc/59/72/45/1074597245.db2.gz RHQUCJGUDFUQJK-KBPBESRZSA-N 0 0 426.499 -0.802 20 0 IBADRN O=C([C@@H]1CCCO1)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469023261 1074597060 /nfs/dbraw/zinc/59/70/60/1074597060.db2.gz RHQUCJGUDFUQJK-KGLIPLIRSA-N 0 0 426.499 -0.802 20 0 IBADRN O=C([C@H]1CCCO1)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469023439 1074596755 /nfs/dbraw/zinc/59/67/55/1074596755.db2.gz RHQUCJGUDFUQJK-UONOGXRCSA-N 0 0 426.499 -0.802 20 0 IBADRN O=C([C@H]1CCCO1)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469023592 1074597199 /nfs/dbraw/zinc/59/71/99/1074597199.db2.gz RHQUCJGUDFUQJK-ZIAGYGMSSA-N 0 0 426.499 -0.802 20 0 IBADRN Cc1nc(CN2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)oc1C ZINC000469023665 1074597069 /nfs/dbraw/zinc/59/70/69/1074597069.db2.gz RHBCNSGVWJXNMM-UHFFFAOYSA-N 0 0 429.543 -0.230 20 0 IBADRN Cc1ccccc1OCCCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469024035 1074597079 /nfs/dbraw/zinc/59/70/79/1074597079.db2.gz MGAJJPBZNVYQLM-UHFFFAOYSA-N 0 0 429.477 0.574 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cc(N3CCNC(=O)C3)ccn2)c1 ZINC000469024690 1074597181 /nfs/dbraw/zinc/59/71/81/1074597181.db2.gz RXGLUKOAIORYLO-UHFFFAOYSA-N 0 0 446.489 -0.706 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000469025720 1074597104 /nfs/dbraw/zinc/59/71/04/1074597104.db2.gz RTTMLBBDMYUWQL-UHFFFAOYSA-N 0 0 442.523 -0.822 20 0 IBADRN CC(C)CCNC(=O)[C@H]1CSCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469029237 1074597234 /nfs/dbraw/zinc/59/72/34/1074597234.db2.gz PJFVQHILLVSXCA-CYBMUJFWSA-N 0 0 431.540 -0.544 20 0 IBADRN CC(C)CCNC(=O)[C@@H]1CSCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469029401 1074597190 /nfs/dbraw/zinc/59/71/90/1074597190.db2.gz PJFVQHILLVSXCA-ZDUSSCGKSA-N 0 0 431.540 -0.544 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000469031066 1073326785 /nfs/dbraw/zinc/32/67/85/1073326785.db2.gz NJBWNKOGNQMLNJ-UHFFFAOYSA-N 0 0 434.522 0.295 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ccc(S(C)(=O)=O)s3)cnc21 ZINC000469031820 1074597037 /nfs/dbraw/zinc/59/70/37/1074597037.db2.gz QWQGJJFVZNIAGV-UHFFFAOYSA-N 0 0 430.489 -0.022 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CNC(=O)C(C)(C)C)CCN1C(=O)CNC(=O)C(C)(C)C ZINC000469032576 1074597222 /nfs/dbraw/zinc/59/72/22/1074597222.db2.gz PCWABXFOCPCISB-AWEZNQCLSA-N 0 0 439.557 -0.561 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CNC(=O)C(C)(C)C)CCN1C(=O)CNC(=O)C(C)(C)C ZINC000469033037 1074597125 /nfs/dbraw/zinc/59/71/25/1074597125.db2.gz PCWABXFOCPCISB-CQSZACIVSA-N 0 0 439.557 -0.561 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000469037249 1074597137 /nfs/dbraw/zinc/59/71/37/1074597137.db2.gz SVNJLMIUCPKWBR-ABAIWWIYSA-N 0 0 434.540 -0.387 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469037328 1074597115 /nfs/dbraw/zinc/59/71/15/1074597115.db2.gz QNMQQUBNUHOBLD-PBHICJAKSA-N 0 0 430.486 -0.100 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000469037470 1074597255 /nfs/dbraw/zinc/59/72/55/1074597255.db2.gz SVNJLMIUCPKWBR-IAQYHMDHSA-N 0 0 434.540 -0.387 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2=O)c1 ZINC000469037561 1074597490 /nfs/dbraw/zinc/59/74/90/1074597490.db2.gz PPLQVODUPLEOPN-LLVKDONJSA-N 0 0 435.462 -0.678 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000469037592 1074597452 /nfs/dbraw/zinc/59/74/52/1074597452.db2.gz SVNJLMIUCPKWBR-NHYWBVRUSA-N 0 0 434.540 -0.387 20 0 IBADRN NC(=O)[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469037635 1074597537 /nfs/dbraw/zinc/59/75/37/1074597537.db2.gz QNMQQUBNUHOBLD-RHSMWYFYSA-N 0 0 430.486 -0.100 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000469037750 1074597484 /nfs/dbraw/zinc/59/74/84/1074597484.db2.gz SVNJLMIUCPKWBR-XHDPSFHLSA-N 0 0 434.540 -0.387 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2=O)c1 ZINC000469037826 1074597050 /nfs/dbraw/zinc/59/70/50/1074597050.db2.gz PPLQVODUPLEOPN-NSHDSACASA-N 0 0 435.462 -0.678 20 0 IBADRN NC(=O)[C@@H](Cc1ccccc1)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469037897 1074597149 /nfs/dbraw/zinc/59/71/49/1074597149.db2.gz QNMQQUBNUHOBLD-WMLDXEAASA-N 0 0 430.486 -0.100 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469037953 1074597216 /nfs/dbraw/zinc/59/72/16/1074597216.db2.gz QNMQQUBNUHOBLD-YOEHRIQHSA-N 0 0 430.486 -0.100 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(=O)n(Cc3nc(C)no3)c2)CC1 ZINC000469039097 1071055723 /nfs/dbraw/zinc/05/57/23/1071055723.db2.gz SHOBHDGFQWTKKV-HNNXBMFYSA-N 0 0 446.508 -0.113 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(=O)n(Cc3nc(C)no3)c2)CC1 ZINC000469039345 1071056317 /nfs/dbraw/zinc/05/63/17/1071056317.db2.gz SHOBHDGFQWTKKV-OAHLLOKOSA-N 0 0 446.508 -0.113 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC(=O)N2C)cc1 ZINC000469040022 1074597441 /nfs/dbraw/zinc/59/74/41/1074597441.db2.gz SIGLVMUQPAADIN-DOTOQJQBSA-N 0 0 448.505 -0.134 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC(=O)N2C)cc1 ZINC000469040295 1074597527 /nfs/dbraw/zinc/59/75/27/1074597527.db2.gz SIGLVMUQPAADIN-NVXWUHKLSA-N 0 0 448.505 -0.134 20 0 IBADRN COc1ccc([C@H]2[C@@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC(=O)N2C)cc1 ZINC000469040534 1074597517 /nfs/dbraw/zinc/59/75/17/1074597517.db2.gz SIGLVMUQPAADIN-RDJZCZTQSA-N 0 0 448.505 -0.134 20 0 IBADRN COc1ccc([C@H]2[C@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC(=O)N2C)cc1 ZINC000469040696 1074597477 /nfs/dbraw/zinc/59/74/77/1074597477.db2.gz SIGLVMUQPAADIN-WBVHZDCISA-N 0 0 448.505 -0.134 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)ncn1 ZINC000469048202 1074597466 /nfs/dbraw/zinc/59/74/66/1074597466.db2.gz SOZWCZJEPSZVBM-CYBMUJFWSA-N 0 0 435.510 -0.471 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)ncn1 ZINC000469048336 1074597499 /nfs/dbraw/zinc/59/74/99/1074597499.db2.gz SOZWCZJEPSZVBM-ZDUSSCGKSA-N 0 0 435.510 -0.471 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)ccc3c2)CC1 ZINC000469051583 1074597460 /nfs/dbraw/zinc/59/74/60/1074597460.db2.gz VOBMFIWOCMGNIV-UHFFFAOYSA-N 0 0 432.458 -0.490 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1F ZINC000469055026 1073343865 /nfs/dbraw/zinc/34/38/65/1073343865.db2.gz OJJOVYMZKSEFFF-UHFFFAOYSA-N 0 0 433.440 0.187 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000469056327 1074597877 /nfs/dbraw/zinc/59/78/77/1074597877.db2.gz PLADXUPPCCCAJO-IBGZPJMESA-N 0 0 441.506 -0.417 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)c1cc(N2CCNC(=O)C2)ccn1 ZINC000469056354 1074597846 /nfs/dbraw/zinc/59/78/46/1074597846.db2.gz RQBREFPNLALRAX-UHFFFAOYSA-N 0 0 436.472 -0.480 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000469057265 1074597790 /nfs/dbraw/zinc/59/77/90/1074597790.db2.gz PLADXUPPCCCAJO-LJQANCHMSA-N 0 0 441.506 -0.417 20 0 IBADRN COCCN1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1=O ZINC000469058980 1074597719 /nfs/dbraw/zinc/59/77/19/1074597719.db2.gz VQPJMUPNICJYTD-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000469059872 1074597833 /nfs/dbraw/zinc/59/78/33/1074597833.db2.gz UMKAKLFOCMWHOI-UHFFFAOYSA-N 0 0 428.496 -0.750 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000469060065 1074597907 /nfs/dbraw/zinc/59/79/07/1074597907.db2.gz WFVKEZDGSANWFH-UHFFFAOYSA-N 0 0 432.572 -0.281 20 0 IBADRN O=C(CN1CCN(c2ccccn2)CC1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000469060557 1074597758 /nfs/dbraw/zinc/59/77/58/1074597758.db2.gz WCYUJNKIOUEZMC-UHFFFAOYSA-N 0 0 434.522 -0.134 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000469061010 1074597778 /nfs/dbraw/zinc/59/77/78/1074597778.db2.gz UKHVCJUGUFNBBP-INIZCTEOSA-N 0 0 437.522 -0.018 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC[C@](C)(O)C(=O)OC(C)(C)C)nc2n(C)c1=O ZINC000469061121 1074597731 /nfs/dbraw/zinc/59/77/31/1074597731.db2.gz PYXBZRVWYKFRCB-FQEVSTJZSA-N 0 0 435.481 0.200 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC[C@@](C)(O)C(=O)OC(C)(C)C)nc2n(C)c1=O ZINC000469061319 1074597871 /nfs/dbraw/zinc/59/78/71/1074597871.db2.gz PYXBZRVWYKFRCB-HXUWFJFHSA-N 0 0 435.481 0.200 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000469061350 1074597864 /nfs/dbraw/zinc/59/78/64/1074597864.db2.gz UKHVCJUGUFNBBP-MRXNPFEDSA-N 0 0 437.522 -0.018 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)NC2C(C)(C)C(NC(=O)[C@@H](O)Cc3cnn(C)c3)C2(C)C)cn1 ZINC000469065174 1074597853 /nfs/dbraw/zinc/59/78/53/1074597853.db2.gz RXEWJEQHHJGLFV-BANKROOTSA-N 0 0 446.552 -0.304 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)NC2C(C)(C)C(NC(=O)[C@H](O)Cc3cnn(C)c3)C2(C)C)cn1 ZINC000469065343 1074597741 /nfs/dbraw/zinc/59/77/41/1074597741.db2.gz RXEWJEQHHJGLFV-JZFKGDSASA-N 0 0 446.552 -0.304 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)NC2C(C)(C)C(NC(=O)[C@@H](O)Cc3cnn(C)c3)C2(C)C)cn1 ZINC000469065542 1074597751 /nfs/dbraw/zinc/59/77/51/1074597751.db2.gz RXEWJEQHHJGLFV-MVYVIFSASA-N 0 0 446.552 -0.304 20 0 IBADRN COCCN1CCCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1=O ZINC000469065797 1074597799 /nfs/dbraw/zinc/59/77/99/1074597799.db2.gz OPGLWADHCIKPGI-UHFFFAOYSA-N 0 0 442.542 -0.537 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2CCN(c3ccccn3)CC2)c1 ZINC000469070520 1074597822 /nfs/dbraw/zinc/59/78/22/1074597822.db2.gz VFRMPLNJMXZCNT-UHFFFAOYSA-N 0 0 446.533 -0.133 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1ccc(=O)n(-c2cnn(C)c2)c1 ZINC000469071143 1074597930 /nfs/dbraw/zinc/59/79/30/1074597930.db2.gz PBHYSLNVWLRULG-UHFFFAOYSA-N 0 0 438.491 -0.250 20 0 IBADRN COCc1cc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)ccc1F ZINC000469071796 1073338533 /nfs/dbraw/zinc/33/85/33/1073338533.db2.gz QENWFYNWCAQZMW-UHFFFAOYSA-N 0 0 433.440 0.282 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2)ncn1 ZINC000469075034 1074598187 /nfs/dbraw/zinc/59/81/87/1074598187.db2.gz XSKQBSPCYUHCOP-CYBMUJFWSA-N 0 0 436.494 -0.206 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469075420 1074598129 /nfs/dbraw/zinc/59/81/29/1074598129.db2.gz XHTBJSIPVXLQIR-UHFFFAOYSA-N 0 0 445.299 -0.348 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2)ncn1 ZINC000469076079 1074598233 /nfs/dbraw/zinc/59/82/33/1074598233.db2.gz XSKQBSPCYUHCOP-ZDUSSCGKSA-N 0 0 436.494 -0.206 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)Nc1ccccc1 ZINC000469079342 1074598274 /nfs/dbraw/zinc/59/82/74/1074598274.db2.gz QMJBGKXRVRRDOP-AWEZNQCLSA-N 0 0 428.449 -0.176 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccc(F)cc1 ZINC000469079689 1074598116 /nfs/dbraw/zinc/59/81/16/1074598116.db2.gz PLXVDLYMLKPNPS-INIZCTEOSA-N 0 0 433.440 0.323 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccc(F)cc1 ZINC000469079758 1074598198 /nfs/dbraw/zinc/59/81/98/1074598198.db2.gz PLXVDLYMLKPNPS-MRXNPFEDSA-N 0 0 433.440 0.323 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)Nc1ccccc1 ZINC000469080773 1074598170 /nfs/dbraw/zinc/59/81/70/1074598170.db2.gz QMJBGKXRVRRDOP-CQSZACIVSA-N 0 0 428.449 -0.176 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCNC(=O)c1c(F)cccc1F ZINC000469080862 1074598139 /nfs/dbraw/zinc/59/81/39/1074598139.db2.gz YGSVSLBQSAYCMI-UHFFFAOYSA-N 0 0 434.465 -0.355 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000469081285 1074598227 /nfs/dbraw/zinc/59/82/27/1074598227.db2.gz XMMZYYJGTSCAHT-BBRMVZONSA-N 0 0 435.506 -0.184 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000469081406 1074598251 /nfs/dbraw/zinc/59/82/51/1074598251.db2.gz XMMZYYJGTSCAHT-CJNGLKHVSA-N 0 0 435.506 -0.184 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000469081411 1074598176 /nfs/dbraw/zinc/59/81/76/1074598176.db2.gz XMMZYYJGTSCAHT-CZUORRHYSA-N 0 0 435.506 -0.184 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000469081423 1074598223 /nfs/dbraw/zinc/59/82/23/1074598223.db2.gz XMMZYYJGTSCAHT-XJKSGUPXSA-N 0 0 435.506 -0.184 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)CCN2CCOCC2)s1 ZINC000469081805 1074598206 /nfs/dbraw/zinc/59/82/06/1074598206.db2.gz XNUCQQVZJAIPMH-CYBMUJFWSA-N 0 0 432.568 -0.111 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CCCN(Cc3ccc(F)cc3F)C2=O)c(=O)n(C)c1=O ZINC000469082017 1074598283 /nfs/dbraw/zinc/59/82/83/1074598283.db2.gz YFMFIWXXPWFUKE-AWEZNQCLSA-N 0 0 442.444 -0.168 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)CCN2CCOCC2)s1 ZINC000469082093 1074598216 /nfs/dbraw/zinc/59/82/16/1074598216.db2.gz XNUCQQVZJAIPMH-ZDUSSCGKSA-N 0 0 432.568 -0.111 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CCCN(Cc3ccc(F)cc3F)C2=O)c(=O)n(C)c1=O ZINC000469082329 1074598256 /nfs/dbraw/zinc/59/82/56/1074598256.db2.gz YFMFIWXXPWFUKE-CQSZACIVSA-N 0 0 442.444 -0.168 20 0 IBADRN Cc1c([C@H]2OCC[C@@H]2C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cnn1C ZINC000469085796 1074598101 /nfs/dbraw/zinc/59/81/01/1074598101.db2.gz SEFKPMSQQHBGQQ-RDJZCZTQSA-N 0 0 427.527 -0.473 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)CC1 ZINC000469092617 1074598537 /nfs/dbraw/zinc/59/85/37/1074598537.db2.gz STYPDCSSNLVIDW-UHFFFAOYSA-N 0 0 426.514 -0.384 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CSC[C@@H]2C(=O)N(C)C)s1 ZINC000469092641 1074598552 /nfs/dbraw/zinc/59/85/52/1074598552.db2.gz VLINOSXWHWZJFD-GFCCVEGCSA-N 0 0 434.565 -0.348 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CSC[C@H]2C(=O)N(C)C)s1 ZINC000469092862 1074598688 /nfs/dbraw/zinc/59/86/88/1074598688.db2.gz VLINOSXWHWZJFD-LBPRGKRZSA-N 0 0 434.565 -0.348 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000469096196 1074598489 /nfs/dbraw/zinc/59/84/89/1074598489.db2.gz VEXZUELAHOJVPO-INIZCTEOSA-N 0 0 449.489 -0.813 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000469096799 1074598680 /nfs/dbraw/zinc/59/86/80/1074598680.db2.gz VEXZUELAHOJVPO-MRXNPFEDSA-N 0 0 449.489 -0.813 20 0 IBADRN CN(C[C@H]1OCCc2ccccc21)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469097695 1074598673 /nfs/dbraw/zinc/59/86/73/1074598673.db2.gz QKBCHQCMZQORIB-GOSISDBHSA-N 0 0 441.488 0.453 20 0 IBADRN CN(C[C@@H]1OCCc2ccccc21)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469097760 1074598713 /nfs/dbraw/zinc/59/87/13/1074598713.db2.gz QKBCHQCMZQORIB-SFHVURJKSA-N 0 0 441.488 0.453 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000469102582 1074598566 /nfs/dbraw/zinc/59/85/66/1074598566.db2.gz QHWJZKMSECWNDU-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000469103648 1074599112 /nfs/dbraw/zinc/59/91/12/1074599112.db2.gz ZZAJGHSROMWGBB-UHFFFAOYSA-N 0 0 438.959 -0.688 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000469104394 1074599037 /nfs/dbraw/zinc/59/90/37/1074599037.db2.gz RYCRHLFDJXKTSC-UHFFFAOYSA-N 0 0 425.504 -0.554 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3nc[nH]n3)CC1)C2=O ZINC000469104620 1074599088 /nfs/dbraw/zinc/59/90/88/1074599088.db2.gz XDDKMBQMYSXYPT-UHFFFAOYSA-N 0 0 439.498 -0.862 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)CN3CCN(S(C)(=O)=O)CC3)cc2)CC1 ZINC000469105942 1074599045 /nfs/dbraw/zinc/59/90/45/1074599045.db2.gz VUBYAWOCUIRTKW-UHFFFAOYSA-N 0 0 437.566 -0.732 20 0 IBADRN O=C([C@@H]1COCC(=O)N1Cc1ccccc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469108019 1074598989 /nfs/dbraw/zinc/59/89/89/1074598989.db2.gz KCMYPOJARFBSNG-HNNXBMFYSA-N 0 0 434.478 -0.935 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)CC1 ZINC000469110220 1074599061 /nfs/dbraw/zinc/59/90/61/1074599061.db2.gz WRDHDQHFCYWOEW-UHFFFAOYSA-N 0 0 438.506 -0.723 20 0 IBADRN CCC[C@@H](C)NC(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000469110731 1074599149 /nfs/dbraw/zinc/59/91/49/1074599149.db2.gz YDNAKSUHNQZKTI-CHWSQXEVSA-N 0 0 427.527 -0.065 20 0 IBADRN CCC[C@@H](C)NC(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000469110878 1074598917 /nfs/dbraw/zinc/59/89/17/1074598917.db2.gz YDNAKSUHNQZKTI-OLZOCXBDSA-N 0 0 427.527 -0.065 20 0 IBADRN CCC[C@H](C)NC(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000469110888 1074599001 /nfs/dbraw/zinc/59/90/01/1074599001.db2.gz YDNAKSUHNQZKTI-QWHCGFSZSA-N 0 0 427.527 -0.065 20 0 IBADRN CCC[C@H](C)NC(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000469110916 1074598979 /nfs/dbraw/zinc/59/89/79/1074598979.db2.gz YDNAKSUHNQZKTI-STQMWFEESA-N 0 0 427.527 -0.065 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000469112956 1074599029 /nfs/dbraw/zinc/59/90/29/1074599029.db2.gz ZVECOOHIPRADEF-KRWDZBQOSA-N 0 0 438.488 -0.005 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000469113268 1074598905 /nfs/dbraw/zinc/59/89/05/1074598905.db2.gz ZVECOOHIPRADEF-QGZVFWFLSA-N 0 0 438.488 -0.005 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCc2ccc3c(c2)CCO3)n1)N1CCOCC1 ZINC000469113714 1074599450 /nfs/dbraw/zinc/59/94/50/1074599450.db2.gz SBSIYNWUGZHNFK-UHFFFAOYSA-N 0 0 427.461 -0.026 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(c2nc(N)ns2)CC1 ZINC000469115980 1073360642 /nfs/dbraw/zinc/36/06/42/1073360642.db2.gz YJHQTCRCAAEFGO-LLVKDONJSA-N 0 0 437.551 -0.133 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)CN3C(=O)NC(=O)C3(C)C)CC2)cc1 ZINC000469116435 1074599441 /nfs/dbraw/zinc/59/94/41/1074599441.db2.gz VCIQFUHYOVXCTJ-UHFFFAOYSA-N 0 0 431.493 -0.100 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2=O)cc1 ZINC000469116689 1074599410 /nfs/dbraw/zinc/59/94/10/1074599410.db2.gz UYIPXWZPZSVKFL-UHFFFAOYSA-N 0 0 438.550 -0.167 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(c2nc(N)ns2)CC1 ZINC000469116799 1073361676 /nfs/dbraw/zinc/36/16/76/1073361676.db2.gz YJHQTCRCAAEFGO-NSHDSACASA-N 0 0 437.551 -0.133 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)c2cc(N3CCNC(=O)C3)ccn2)c1 ZINC000469117217 1074599332 /nfs/dbraw/zinc/59/93/32/1074599332.db2.gz WZTBOOKLYYSEHL-UHFFFAOYSA-N 0 0 447.473 -0.279 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C1CCN(c2cnccn2)CC1 ZINC000469117810 1074599398 /nfs/dbraw/zinc/59/93/98/1074599398.db2.gz RTLZJKPEBXSDFF-UHFFFAOYSA-N 0 0 426.481 -0.259 20 0 IBADRN O=C(CC[S@](=O)c1ccc2c(c1)OCCO2)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000469122163 1074599346 /nfs/dbraw/zinc/59/93/46/1074599346.db2.gz WKNRGUOWWUKBNJ-PMERELPUSA-N 0 0 434.478 -0.687 20 0 IBADRN O=C(CC[S@@](=O)c1ccc2c(c1)OCCO2)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000469122312 1074599291 /nfs/dbraw/zinc/59/92/91/1074599291.db2.gz WKNRGUOWWUKBNJ-SSEXGKCCSA-N 0 0 434.478 -0.687 20 0 IBADRN O=C(c1ccccc1)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469122372 1074599272 /nfs/dbraw/zinc/59/92/72/1074599272.db2.gz LGCGLWQLVSXSMG-AWEZNQCLSA-N 0 0 436.519 -0.147 20 0 IBADRN O=C(c1ccccc1)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469122543 1074599462 /nfs/dbraw/zinc/59/94/62/1074599462.db2.gz LGCGLWQLVSXSMG-CQSZACIVSA-N 0 0 436.519 -0.147 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000469122868 1074599368 /nfs/dbraw/zinc/59/93/68/1074599368.db2.gz YNBGGFVNGCOLKA-UHFFFAOYSA-N 0 0 440.522 -0.175 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000469122969 1074599421 /nfs/dbraw/zinc/59/94/21/1074599421.db2.gz YHTSWGSKGULGEX-UHFFFAOYSA-N 0 0 435.506 -0.255 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2CCN(c3ccccn3)CC2)CC1 ZINC000469125413 1074599471 /nfs/dbraw/zinc/59/94/71/1074599471.db2.gz UQPCOBZZYGWUPJ-IBGZPJMESA-N 0 0 430.553 -0.405 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2CCN(c3ccccn3)CC2)CC1 ZINC000469125517 1074599358 /nfs/dbraw/zinc/59/93/58/1074599358.db2.gz UQPCOBZZYGWUPJ-LJQANCHMSA-N 0 0 430.553 -0.405 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)c3cc(N4CCNC(=O)C4)ccn3)CC2)cc1 ZINC000469126531 1074599384 /nfs/dbraw/zinc/59/93/84/1074599384.db2.gz WJZAMUHRBKWLSH-UHFFFAOYSA-N 0 0 444.517 -0.372 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)c3cc(N4CCNC(=O)C4)ccn3)cn2)CC1 ZINC000469129626 1074599772 /nfs/dbraw/zinc/59/97/72/1074599772.db2.gz ZAMPNCMCPWNJME-UHFFFAOYSA-N 0 0 426.481 -0.759 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1cccc(S(N)(=O)=O)c1C ZINC000469130078 1074599694 /nfs/dbraw/zinc/59/96/94/1074599694.db2.gz SJASYGNEBTXZIU-UHFFFAOYSA-N 0 0 434.521 -0.179 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3cccc(O)c3)CC2)n1)N1CCOCC1 ZINC000469131034 1074599628 /nfs/dbraw/zinc/59/96/28/1074599628.db2.gz AXSCEGBSTJWAMS-UHFFFAOYSA-N 0 0 442.476 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469133758 1074600234 /nfs/dbraw/zinc/60/02/34/1074600234.db2.gz YQUXFJOJWDVJNO-GFCCVEGCSA-N 0 0 432.524 -0.868 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469134024 1074600064 /nfs/dbraw/zinc/60/00/64/1074600064.db2.gz YQUXFJOJWDVJNO-LBPRGKRZSA-N 0 0 432.524 -0.868 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000469136058 1074600203 /nfs/dbraw/zinc/60/02/03/1074600203.db2.gz VJVBDBMWXRHMAS-JTQLQIEISA-N 0 0 435.300 -0.262 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000469136088 1074599989 /nfs/dbraw/zinc/59/99/89/1074599989.db2.gz VJVBDBMWXRHMAS-SNVBAGLBSA-N 0 0 435.300 -0.262 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2)CC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469139260 1074600034 /nfs/dbraw/zinc/60/00/34/1074600034.db2.gz XNWDXRVGMBYTMX-UHFFFAOYSA-N 0 0 433.538 -0.545 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCCN(S(=O)(=O)c2cccc3cnccc32)CC1)C(N)=O ZINC000469141517 1074600121 /nfs/dbraw/zinc/60/01/21/1074600121.db2.gz ZZCXRJMBDFVKLZ-KRWDZBQOSA-N 0 0 447.517 -0.162 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@H](C(N)=O)c2cccc(Br)c2)CC1 ZINC000469143012 1074600110 /nfs/dbraw/zinc/60/01/10/1074600110.db2.gz LRIKYMBOJBHECZ-AWEZNQCLSA-N 0 0 433.328 -0.331 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@@H](C(N)=O)c2cccc(Br)c2)CC1 ZINC000469143030 1071654804 /nfs/dbraw/zinc/65/48/04/1071654804.db2.gz LRIKYMBOJBHECZ-CQSZACIVSA-N 0 0 433.328 -0.331 20 0 IBADRN CCn1nc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1C1CC1 ZINC000469143381 1074600145 /nfs/dbraw/zinc/60/01/45/1074600145.db2.gz TZWSMRMVUNSPIO-AWEZNQCLSA-N 0 0 427.527 0.324 20 0 IBADRN CCn1nc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1C1CC1 ZINC000469143530 1074600012 /nfs/dbraw/zinc/60/00/12/1074600012.db2.gz TZWSMRMVUNSPIO-CQSZACIVSA-N 0 0 427.527 0.324 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC[C@H](NC(=O)NC)C2)cc1 ZINC000469144038 1074600224 /nfs/dbraw/zinc/60/02/24/1074600224.db2.gz VGKZTNFZUCDBPY-HNNXBMFYSA-N 0 0 439.538 -0.023 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC[C@@H](NC(=O)NC)C2)cc1 ZINC000469144117 1074600245 /nfs/dbraw/zinc/60/02/45/1074600245.db2.gz VGKZTNFZUCDBPY-OAHLLOKOSA-N 0 0 439.538 -0.023 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000469145676 1074600253 /nfs/dbraw/zinc/60/02/53/1074600253.db2.gz WQXBOHIRMGHOCA-KPZWWZAWSA-N 0 0 430.479 -0.055 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000469145964 1074600283 /nfs/dbraw/zinc/60/02/83/1074600283.db2.gz WQXBOHIRMGHOCA-SGTLLEGYSA-N 0 0 430.479 -0.055 20 0 IBADRN CCn1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1C1CC1 ZINC000469146700 1074600677 /nfs/dbraw/zinc/60/06/77/1074600677.db2.gz UWLVXKZJFUAAPG-UHFFFAOYSA-N 0 0 436.494 0.381 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)cc2)CC1 ZINC000469152522 1074600694 /nfs/dbraw/zinc/60/06/94/1074600694.db2.gz ZAARAHGFOLQMCM-UHFFFAOYSA-N 0 0 437.566 -0.481 20 0 IBADRN COC(=O)COc1ccc([C@@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000469153991 1074601156 /nfs/dbraw/zinc/60/11/56/1074601156.db2.gz YFBILSONOWBRPZ-GFCCVEGCSA-N 0 0 429.433 -0.137 20 0 IBADRN COC(=O)COc1ccc([C@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000469154130 1074601209 /nfs/dbraw/zinc/60/12/09/1074601209.db2.gz YFBILSONOWBRPZ-LBPRGKRZSA-N 0 0 429.433 -0.137 20 0 IBADRN COc1cccc(OCCCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000469154804 1073348559 /nfs/dbraw/zinc/34/85/59/1073348559.db2.gz BJNQHLKYCGVFRD-UHFFFAOYSA-N 0 0 445.476 0.274 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cc(N2CCNC(=O)C2)ccn1 ZINC000469155291 1074601253 /nfs/dbraw/zinc/60/12/53/1074601253.db2.gz FDOOCEUKAQHAGV-GOSISDBHSA-N 0 0 438.488 -0.650 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cc(N2CCNC(=O)C2)ccn1 ZINC000469155519 1074601128 /nfs/dbraw/zinc/60/11/28/1074601128.db2.gz FDOOCEUKAQHAGV-SFHVURJKSA-N 0 0 438.488 -0.650 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)c2C)cc1 ZINC000469156629 1074601222 /nfs/dbraw/zinc/60/12/22/1074601222.db2.gz NRZWLUHEOUXPTG-UHFFFAOYSA-N 0 0 432.466 -0.151 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCc3c(F)ccc(F)c3C2)n1)N1CCOCC1 ZINC000469159110 1074601202 /nfs/dbraw/zinc/60/12/02/1074601202.db2.gz WXGSBHPVGMSFCU-UHFFFAOYSA-N 0 0 433.415 0.544 20 0 IBADRN Cc1noc(CN2CCN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)n1 ZINC000469159586 1074601172 /nfs/dbraw/zinc/60/11/72/1074601172.db2.gz VZIVRZAOSPOCPZ-INIZCTEOSA-N 0 0 440.460 -0.047 20 0 IBADRN Cc1noc(CN2CCN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)n1 ZINC000469159678 1074601259 /nfs/dbraw/zinc/60/12/59/1074601259.db2.gz VZIVRZAOSPOCPZ-MRXNPFEDSA-N 0 0 440.460 -0.047 20 0 IBADRN CCc1nc(CN2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)no1 ZINC000469160650 1074601195 /nfs/dbraw/zinc/60/11/95/1074601195.db2.gz XPXHPZBPEATSGH-UHFFFAOYSA-N 0 0 430.531 -0.890 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000469161700 1074601736 /nfs/dbraw/zinc/60/17/36/1074601736.db2.gz YGOVHZYEZFBABY-UHFFFAOYSA-N 0 0 436.494 -0.604 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469161807 1073338043 /nfs/dbraw/zinc/33/80/43/1073338043.db2.gz VVJGEBBAERRRGP-KRWDZBQOSA-N 0 0 429.477 0.054 20 0 IBADRN COc1ccc(O[C@@H](C)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000469161873 1074601682 /nfs/dbraw/zinc/60/16/82/1074601682.db2.gz WEMCKJGGSSYZAA-HNNXBMFYSA-N 0 0 445.476 0.273 20 0 IBADRN COc1ccc(O[C@H](C)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000469161963 1073347264 /nfs/dbraw/zinc/34/72/64/1073347264.db2.gz WEMCKJGGSSYZAA-OAHLLOKOSA-N 0 0 445.476 0.273 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)Cc1cccc(C)c1 ZINC000469162014 1074601729 /nfs/dbraw/zinc/60/17/29/1074601729.db2.gz LDLKODMBLRSWSS-AWEZNQCLSA-N 0 0 436.490 -0.152 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469162053 1074601691 /nfs/dbraw/zinc/60/16/91/1074601691.db2.gz VVJGEBBAERRRGP-QGZVFWFLSA-N 0 0 429.477 0.054 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)Cc1cccc(C)c1 ZINC000469162155 1074601614 /nfs/dbraw/zinc/60/16/14/1074601614.db2.gz LDLKODMBLRSWSS-CQSZACIVSA-N 0 0 436.490 -0.152 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1coc(S(=O)(=O)N(C)C)c1 ZINC000469164775 1074601700 /nfs/dbraw/zinc/60/17/00/1074601700.db2.gz VWBMNWGRXQHFHH-UHFFFAOYSA-N 0 0 438.509 -0.291 20 0 IBADRN CC(C)(C)C(=O)NC[C@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000469164986 1074601652 /nfs/dbraw/zinc/60/16/52/1074601652.db2.gz NXCDIYXJLYIKQF-GFCCVEGCSA-N 0 0 427.527 -0.207 20 0 IBADRN CC(C)(C)C(=O)NC[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000469165216 1074601721 /nfs/dbraw/zinc/60/17/21/1074601721.db2.gz NXCDIYXJLYIKQF-LBPRGKRZSA-N 0 0 427.527 -0.207 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2ccc3nncn3c2)CCN1C(=O)c1ccc2nncn2c1 ZINC000469165999 1074601599 /nfs/dbraw/zinc/60/15/99/1074601599.db2.gz ZCIMMQAHTYARFC-INIZCTEOSA-N 0 0 447.459 -0.173 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2ccc3nncn3c2)CCN1C(=O)c1ccc2nncn2c1 ZINC000469166065 1074601663 /nfs/dbraw/zinc/60/16/63/1074601663.db2.gz ZCIMMQAHTYARFC-MRXNPFEDSA-N 0 0 447.459 -0.173 20 0 IBADRN Cc1noc(CN2CCN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)n1 ZINC000469166201 1074601577 /nfs/dbraw/zinc/60/15/77/1074601577.db2.gz WNQHVQOYAWQOMN-AWEZNQCLSA-N 0 0 448.505 -0.277 20 0 IBADRN Cc1noc(CN2CCN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)n1 ZINC000469166344 1074601668 /nfs/dbraw/zinc/60/16/68/1074601668.db2.gz WNQHVQOYAWQOMN-CQSZACIVSA-N 0 0 448.505 -0.277 20 0 IBADRN COc1ccccc1[C@@]1(C)C[C@@H]1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469168011 1074601674 /nfs/dbraw/zinc/60/16/74/1074601674.db2.gz WLIQFAOWMBBZBG-HTAPYJJXSA-N 0 0 441.488 0.535 20 0 IBADRN COc1ccccc1[C@]1(C)C[C@@H]1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469168105 1074601769 /nfs/dbraw/zinc/60/17/69/1074601769.db2.gz WLIQFAOWMBBZBG-JTSKRJEESA-N 0 0 441.488 0.535 20 0 IBADRN COc1ccccc1[C@@]1(C)C[C@H]1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469168125 1074601627 /nfs/dbraw/zinc/60/16/27/1074601627.db2.gz WLIQFAOWMBBZBG-VGOFRKELSA-N 0 0 441.488 0.535 20 0 IBADRN COc1ccccc1[C@]1(C)C[C@H]1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469168143 1074601644 /nfs/dbraw/zinc/60/16/44/1074601644.db2.gz WLIQFAOWMBBZBG-VGSWGCGISA-N 0 0 441.488 0.535 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)n1)N1CCOCC1 ZINC000469169415 1074602136 /nfs/dbraw/zinc/60/21/36/1074602136.db2.gz FSUPPSBHYMSWTD-INIZCTEOSA-N 0 0 440.460 -0.398 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)n1)N1CCOCC1 ZINC000469169481 1074601713 /nfs/dbraw/zinc/60/17/13/1074601713.db2.gz FSUPPSBHYMSWTD-MRXNPFEDSA-N 0 0 440.460 -0.398 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)n1 ZINC000469169560 1073304886 /nfs/dbraw/zinc/30/48/86/1073304886.db2.gz VPWPXQLDEXVLHB-GFCCVEGCSA-N 0 0 434.478 -0.390 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)n1 ZINC000469169640 1073304869 /nfs/dbraw/zinc/30/48/69/1073304869.db2.gz VPWPXQLDEXVLHB-LBPRGKRZSA-N 0 0 434.478 -0.390 20 0 IBADRN CCCCNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469172815 1073328286 /nfs/dbraw/zinc/32/82/86/1073328286.db2.gz IDUYEOCLLZKPCO-INIZCTEOSA-N 0 0 448.524 -0.165 20 0 IBADRN CCCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469172870 1073328226 /nfs/dbraw/zinc/32/82/26/1073328226.db2.gz IDUYEOCLLZKPCO-MRXNPFEDSA-N 0 0 448.524 -0.165 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000469173037 1074602237 /nfs/dbraw/zinc/60/22/37/1074602237.db2.gz IZHOAYGHMWJRLW-CYBMUJFWSA-N 0 0 438.499 -0.177 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000469173295 1074602243 /nfs/dbraw/zinc/60/22/43/1074602243.db2.gz IZHOAYGHMWJRLW-ZDUSSCGKSA-N 0 0 438.499 -0.177 20 0 IBADRN CO[C@H]1C[C@H](C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)N(S(C)(=O)=O)C1 ZINC000469173569 1074602159 /nfs/dbraw/zinc/60/21/59/1074602159.db2.gz YGMZODGZGJLMDO-QLFBSQMISA-N 0 0 437.526 -0.494 20 0 IBADRN CO[C@H]1C[C@H](C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)N(S(C)(=O)=O)C1 ZINC000469173636 1074602272 /nfs/dbraw/zinc/60/22/72/1074602272.db2.gz YGMZODGZGJLMDO-SOUVJXGZSA-N 0 0 437.526 -0.494 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Oc1ccc(F)cc1 ZINC000469174058 1074602130 /nfs/dbraw/zinc/60/21/30/1074602130.db2.gz XXDINADPKPTJGR-AWEZNQCLSA-N 0 0 433.440 0.403 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Oc1ccc(F)cc1 ZINC000469174065 1074602231 /nfs/dbraw/zinc/60/22/31/1074602231.db2.gz XXDINADPKPTJGR-CQSZACIVSA-N 0 0 433.440 0.403 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1ccc(OC)cc1 ZINC000469174123 1074602144 /nfs/dbraw/zinc/60/21/44/1074602144.db2.gz SDAYNMOZEDLISF-HNNXBMFYSA-N 0 0 425.419 -0.304 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1ccc(OC)cc1 ZINC000469174243 1074602170 /nfs/dbraw/zinc/60/21/70/1074602170.db2.gz SDAYNMOZEDLISF-OAHLLOKOSA-N 0 0 425.419 -0.304 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCN(C(=O)C2CCC2)C2CC2)c[nH]1 ZINC000469176652 1074602227 /nfs/dbraw/zinc/60/22/27/1074602227.db2.gz YMUCEALTTMXBCJ-UHFFFAOYSA-N 0 0 425.511 -0.311 20 0 IBADRN O=C(CCN1CCN(c2ccccc2)CC1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000469176653 1074602191 /nfs/dbraw/zinc/60/21/91/1074602191.db2.gz XPXCBIQEZOTPPQ-PMACEKPBSA-N 0 0 436.578 -0.187 20 0 IBADRN O=C(CCN1CCN(c2ccccc2)CC1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000469176767 1074602117 /nfs/dbraw/zinc/60/21/17/1074602117.db2.gz XPXCBIQEZOTPPQ-UXHICEINSA-N 0 0 436.578 -0.187 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CCC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469177420 1074602109 /nfs/dbraw/zinc/60/21/09/1074602109.db2.gz ZMDMAPVQMYUBTN-UHFFFAOYSA-N 0 0 448.509 -0.125 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1 ZINC000469177447 1074602250 /nfs/dbraw/zinc/60/22/50/1074602250.db2.gz ZZCXXFFYVJBBJX-UHFFFAOYSA-N 0 0 439.581 -0.019 20 0 IBADRN O=C(CCN1CCN(c2ccccc2)CC1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000469178084 1074602935 /nfs/dbraw/zinc/60/29/35/1074602935.db2.gz XPXCBIQEZOTPPQ-VQTJNVASSA-N 0 0 436.578 -0.187 20 0 IBADRN O=C(CCN1CCN(c2ccccc2)CC1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000469178106 1074602894 /nfs/dbraw/zinc/60/28/94/1074602894.db2.gz XPXCBIQEZOTPPQ-WOJBJXKFSA-N 0 0 436.578 -0.187 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469182729 1073310775 /nfs/dbraw/zinc/31/07/75/1073310775.db2.gz YAEJFCADAWYMJQ-AWEZNQCLSA-N 0 0 435.481 -0.129 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469182935 1073310752 /nfs/dbraw/zinc/31/07/52/1073310752.db2.gz YAEJFCADAWYMJQ-CQSZACIVSA-N 0 0 435.481 -0.129 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(CC(=O)NCC(F)(F)F)CCN1CC(=O)NCC(F)(F)F ZINC000469183281 1074602750 /nfs/dbraw/zinc/60/27/50/1074602750.db2.gz XGRWNRHZASOAML-JTQLQIEISA-N 0 0 435.369 -0.582 20 0 IBADRN CN(C)C(=O)[C@H]1CN(CC(=O)NCC(F)(F)F)CCN1CC(=O)NCC(F)(F)F ZINC000469183408 1074602877 /nfs/dbraw/zinc/60/28/77/1074602877.db2.gz XGRWNRHZASOAML-SNVBAGLBSA-N 0 0 435.369 -0.582 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N(C)C2CCN(S(C)(=O)=O)CC2)c1 ZINC000469184376 1074602860 /nfs/dbraw/zinc/60/28/60/1074602860.db2.gz MPRDSLUBMJMQQY-UHFFFAOYSA-N 0 0 439.581 -0.019 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CN2CCN(c3ccccn3)CC2)CC1 ZINC000469184396 1074602802 /nfs/dbraw/zinc/60/28/02/1074602802.db2.gz LXQNVIAWJRZSTG-IBGZPJMESA-N 0 0 432.569 -0.111 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(c3nc(N)ns3)CC2)CC1 ZINC000469184440 1074602837 /nfs/dbraw/zinc/60/28/37/1074602837.db2.gz FTALWNWXXJMHAR-UHFFFAOYSA-N 0 0 443.541 -0.732 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CN2CCN(c3ccccn3)CC2)CC1 ZINC000469184444 1074602817 /nfs/dbraw/zinc/60/28/17/1074602817.db2.gz LXQNVIAWJRZSTG-LJQANCHMSA-N 0 0 432.569 -0.111 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000469184870 1074602917 /nfs/dbraw/zinc/60/29/17/1074602917.db2.gz MAKGSOTYQNSUSX-IBGZPJMESA-N 0 0 441.506 -0.417 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000469184949 1074602932 /nfs/dbraw/zinc/60/29/32/1074602932.db2.gz MAKGSOTYQNSUSX-LJQANCHMSA-N 0 0 441.506 -0.417 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000469185044 1073325481 /nfs/dbraw/zinc/32/54/81/1073325481.db2.gz MQUILRIAOKMZJT-INIZCTEOSA-N 0 0 428.493 0.555 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000469185094 1073325109 /nfs/dbraw/zinc/32/51/09/1073325109.db2.gz MQUILRIAOKMZJT-MRXNPFEDSA-N 0 0 428.493 0.555 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)[C@@H]2CCCN2C(C)=O)[C@@H](C(=O)N(C)C)C1 ZINC000469185736 1074603296 /nfs/dbraw/zinc/60/32/96/1074603296.db2.gz JNNQJCNWSXTEPT-FGTMMUONSA-N 0 0 435.525 -0.864 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)[C@H]2CCCN2C(C)=O)[C@H](C(=O)N(C)C)C1 ZINC000469185791 1074603224 /nfs/dbraw/zinc/60/32/24/1074603224.db2.gz JNNQJCNWSXTEPT-KURKYZTESA-N 0 0 435.525 -0.864 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)[C@H]2CCCN2C(C)=O)[C@@H](C(=O)N(C)C)C1 ZINC000469185817 1074603280 /nfs/dbraw/zinc/60/32/80/1074603280.db2.gz JNNQJCNWSXTEPT-KZNAEPCWSA-N 0 0 435.525 -0.864 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)[C@@H]2CCCN2C(C)=O)[C@H](C(=O)N(C)C)C1 ZINC000469185862 1074603317 /nfs/dbraw/zinc/60/33/17/1074603317.db2.gz JNNQJCNWSXTEPT-SQNIBIBYSA-N 0 0 435.525 -0.864 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1=O ZINC000469189346 1074603286 /nfs/dbraw/zinc/60/32/86/1074603286.db2.gz JQBZWBAXDFLKSO-AWEZNQCLSA-N 0 0 434.424 -0.620 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1=O ZINC000469189438 1074603194 /nfs/dbraw/zinc/60/31/94/1074603194.db2.gz JQBZWBAXDFLKSO-CQSZACIVSA-N 0 0 434.424 -0.620 20 0 IBADRN COc1ccccc1CCN(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469190345 1074603178 /nfs/dbraw/zinc/60/31/78/1074603178.db2.gz ZQAOEDOFQWGQNO-UHFFFAOYSA-N 0 0 429.477 0.390 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000469191084 1074603912 /nfs/dbraw/zinc/60/39/12/1074603912.db2.gz RVODOUXWUCWTIF-DZGCQCFKSA-N 0 0 447.583 -0.270 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000469191283 1074603213 /nfs/dbraw/zinc/60/32/13/1074603213.db2.gz RVODOUXWUCWTIF-HIFRSBDPSA-N 0 0 447.583 -0.270 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000469191308 1074603152 /nfs/dbraw/zinc/60/31/52/1074603152.db2.gz RVODOUXWUCWTIF-UKRRQHHQSA-N 0 0 447.583 -0.270 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000469191324 1074603163 /nfs/dbraw/zinc/60/31/63/1074603163.db2.gz RVODOUXWUCWTIF-ZFWWWQNUSA-N 0 0 447.583 -0.270 20 0 IBADRN C[C@@H](CO)N1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000469191560 1074603250 /nfs/dbraw/zinc/60/32/50/1074603250.db2.gz RVVDTNHBNRYPDQ-INIZCTEOSA-N 0 0 447.579 -0.215 20 0 IBADRN C[C@H](CO)N1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000469191705 1074603860 /nfs/dbraw/zinc/60/38/60/1074603860.db2.gz RVVDTNHBNRYPDQ-MRXNPFEDSA-N 0 0 447.579 -0.215 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469192228 1074603928 /nfs/dbraw/zinc/60/39/28/1074603928.db2.gz CSPULNQHUXZPCK-BXUZGUMPSA-N 0 0 426.520 -0.323 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469192429 1074603829 /nfs/dbraw/zinc/60/38/29/1074603829.db2.gz CSPULNQHUXZPCK-FZMZJTMJSA-N 0 0 426.520 -0.323 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469192444 1074603953 /nfs/dbraw/zinc/60/39/53/1074603953.db2.gz CSPULNQHUXZPCK-RISCZKNCSA-N 0 0 426.520 -0.323 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469192452 1074603919 /nfs/dbraw/zinc/60/39/19/1074603919.db2.gz CSPULNQHUXZPCK-SMDDNHRTSA-N 0 0 426.520 -0.323 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2cc(N3CCNC(=O)C3)ccn2)C1 ZINC000469194348 1074603967 /nfs/dbraw/zinc/60/39/67/1074603967.db2.gz ISACJKCSWZHTPO-HNNXBMFYSA-N 0 0 431.493 -0.109 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2cc(N3CCNC(=O)C3)ccn2)C1 ZINC000469194354 1074603980 /nfs/dbraw/zinc/60/39/80/1074603980.db2.gz ISACJKCSWZHTPO-OAHLLOKOSA-N 0 0 431.493 -0.109 20 0 IBADRN Cc1ccc(O[C@@H](C)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000469194944 1073341682 /nfs/dbraw/zinc/34/16/82/1073341682.db2.gz KPMZWSPDZKDVAR-INIZCTEOSA-N 0 0 429.477 0.573 20 0 IBADRN Cc1ccc(O[C@H](C)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000469195052 1073341756 /nfs/dbraw/zinc/34/17/56/1073341756.db2.gz KPMZWSPDZKDVAR-MRXNPFEDSA-N 0 0 429.477 0.573 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)c1 ZINC000469196215 1071715585 /nfs/dbraw/zinc/71/55/85/1071715585.db2.gz HCZYKNGFYMWGBG-UHFFFAOYSA-N 0 0 440.550 -0.165 20 0 IBADRN CCOC(=O)c1csc(CCNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)n1 ZINC000469196815 1074603924 /nfs/dbraw/zinc/60/39/24/1074603924.db2.gz XVZPBNLQKXEGCR-UHFFFAOYSA-N 0 0 426.542 -0.726 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000469198565 1074603986 /nfs/dbraw/zinc/60/39/86/1074603986.db2.gz DMZHRDNQNVBMNG-UHFFFAOYSA-N 0 0 430.552 -0.162 20 0 IBADRN CC(C)CCNC(=O)[C@H]1CSCN1C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469199230 1074603938 /nfs/dbraw/zinc/60/39/38/1074603938.db2.gz XTHRFQVYCAWQMZ-LLVKDONJSA-N 0 0 432.524 -0.279 20 0 IBADRN CC(C)CCNC(=O)[C@@H]1CSCN1C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469199349 1074603877 /nfs/dbraw/zinc/60/38/77/1074603877.db2.gz XTHRFQVYCAWQMZ-NSHDSACASA-N 0 0 432.524 -0.279 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCN(c3nc(N)ns3)CC2)c1 ZINC000469199946 1074603814 /nfs/dbraw/zinc/60/38/14/1074603814.db2.gz HSUQEHGHFRPFKZ-UHFFFAOYSA-N 0 0 425.540 0.131 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCC(=O)Nc2ccncc2)s1 ZINC000469200460 1074603974 /nfs/dbraw/zinc/60/39/74/1074603974.db2.gz ZZMWVOYFQLBOGX-UHFFFAOYSA-N 0 0 439.519 -0.376 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000469200991 1074603904 /nfs/dbraw/zinc/60/39/04/1074603904.db2.gz SNZKGWWRFLAXGR-UHFFFAOYSA-N 0 0 444.579 -0.336 20 0 IBADRN CCc1noc([C@H](C)N2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000469203397 1074604330 /nfs/dbraw/zinc/60/43/30/1074604330.db2.gz VNAFGTGATLFEQB-AWEZNQCLSA-N 0 0 444.558 -0.329 20 0 IBADRN CCc1noc([C@@H](C)N2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000469203659 1074604356 /nfs/dbraw/zinc/60/43/56/1074604356.db2.gz VNAFGTGATLFEQB-CQSZACIVSA-N 0 0 444.558 -0.329 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000469205386 1074604466 /nfs/dbraw/zinc/60/44/66/1074604466.db2.gz PLYZOOUSHHNBSM-UHFFFAOYSA-N 0 0 446.595 -0.091 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)nn1 ZINC000469206159 1074604343 /nfs/dbraw/zinc/60/43/43/1074604343.db2.gz LNCBNUIAXUXOJG-INIZCTEOSA-N 0 0 446.483 -0.047 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)nn1 ZINC000469206165 1074604398 /nfs/dbraw/zinc/60/43/98/1074604398.db2.gz LNCBNUIAXUXOJG-MRXNPFEDSA-N 0 0 446.483 -0.047 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000469207864 1074604408 /nfs/dbraw/zinc/60/44/08/1074604408.db2.gz LECBVQHKFSVPPW-AWEZNQCLSA-N 0 0 446.551 -0.324 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000469208234 1074604459 /nfs/dbraw/zinc/60/44/59/1074604459.db2.gz LECBVQHKFSVPPW-CQSZACIVSA-N 0 0 446.551 -0.324 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCOc2ccc(F)c(F)c2)n1)N1CCOCC1 ZINC000469209300 1074604320 /nfs/dbraw/zinc/60/43/20/1074604320.db2.gz ILWAHZRDWNQTCI-UHFFFAOYSA-N 0 0 437.403 0.154 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2c(F)cccc2F)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469210146 1074604403 /nfs/dbraw/zinc/60/44/03/1074604403.db2.gz WBOIKDVJAZGGHE-LLVKDONJSA-N 0 0 440.432 -0.031 20 0 IBADRN O=C([C@H]1CC(=O)N(c2c(F)cccc2F)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000469210245 1074604418 /nfs/dbraw/zinc/60/44/18/1074604418.db2.gz WBOIKDVJAZGGHE-NSHDSACASA-N 0 0 440.432 -0.031 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC000469210894 1074604448 /nfs/dbraw/zinc/60/44/48/1074604448.db2.gz LXQQHILJDDWENC-UHFFFAOYSA-N 0 0 431.496 -0.584 20 0 IBADRN COc1ccccc1C1(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000469215098 1073348767 /nfs/dbraw/zinc/34/87/67/1073348767.db2.gz KXLLWRQNQHDYRR-UHFFFAOYSA-N 0 0 441.488 0.537 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000469216097 1074604827 /nfs/dbraw/zinc/60/48/27/1074604827.db2.gz WZWDVVWTDNRNEY-UHFFFAOYSA-N 0 0 438.466 -0.915 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Oc1cccc(F)c1 ZINC000469218260 1074604864 /nfs/dbraw/zinc/60/48/64/1074604864.db2.gz NSHRAUPNIBHUHO-AWEZNQCLSA-N 0 0 433.440 0.403 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)Oc1cccc(F)c1 ZINC000469218310 1074604911 /nfs/dbraw/zinc/60/49/11/1074604911.db2.gz NSHRAUPNIBHUHO-CQSZACIVSA-N 0 0 433.440 0.403 20 0 IBADRN COc1cc(OC)c(CCNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(OC)c1 ZINC000469220680 1074604902 /nfs/dbraw/zinc/60/49/02/1074604902.db2.gz NEOBOBGUBAFHFN-UHFFFAOYSA-N 0 0 440.478 -0.223 20 0 IBADRN COC(=O)C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C1CCCCC1 ZINC000469221533 1074604914 /nfs/dbraw/zinc/60/49/14/1074604914.db2.gz OJKANDRNHMYNBO-INIZCTEOSA-N 0 0 449.508 0.309 20 0 IBADRN COC(=O)C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C1CCCCC1 ZINC000469221717 1074604852 /nfs/dbraw/zinc/60/48/52/1074604852.db2.gz OJKANDRNHMYNBO-MRXNPFEDSA-N 0 0 449.508 0.309 20 0 IBADRN COCCN1CCCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1=O ZINC000469221966 1074604872 /nfs/dbraw/zinc/60/48/72/1074604872.db2.gz CLGKHSGYPZNDNI-UHFFFAOYSA-N 0 0 442.538 -0.310 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000469224215 1074604845 /nfs/dbraw/zinc/60/48/45/1074604845.db2.gz IAVGDLCKMBCPMA-UHFFFAOYSA-N 0 0 430.552 -0.162 20 0 IBADRN COc1ccccc1O[C@@H](C)CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469226056 1073349625 /nfs/dbraw/zinc/34/96/25/1073349625.db2.gz FVSCRXMIGCMRCK-HNNXBMFYSA-N 0 0 445.476 0.273 20 0 IBADRN COc1ccccc1O[C@H](C)CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469226171 1073349639 /nfs/dbraw/zinc/34/96/39/1073349639.db2.gz FVSCRXMIGCMRCK-OAHLLOKOSA-N 0 0 445.476 0.273 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000469227434 1074604877 /nfs/dbraw/zinc/60/48/77/1074604877.db2.gz WNXVARLOLYHKBX-UHFFFAOYSA-N 0 0 442.538 -0.597 20 0 IBADRN COc1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1F ZINC000469230360 1074604835 /nfs/dbraw/zinc/60/48/35/1074604835.db2.gz RFWVFINMFYBJON-UHFFFAOYSA-N 0 0 436.531 -0.367 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000469230450 1074604796 /nfs/dbraw/zinc/60/47/96/1074604796.db2.gz YYQYBPPKRHAPJM-UHFFFAOYSA-N 0 0 428.496 -0.750 20 0 IBADRN COc1cccc(C(=O)NCC(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)c1 ZINC000469231473 1074604921 /nfs/dbraw/zinc/60/49/21/1074604921.db2.gz OSXJZHOCTMZFNN-UHFFFAOYSA-N 0 0 428.492 -0.010 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H](C)NS(=O)(=O)c1ccccc1 ZINC000469232632 1074605505 /nfs/dbraw/zinc/60/55/05/1074605505.db2.gz PGMYSKJSZZUESG-JTQLQIEISA-N 0 0 448.548 -0.081 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000469233966 1074605500 /nfs/dbraw/zinc/60/55/00/1074605500.db2.gz WXCYZFBFJABHIO-UHFFFAOYSA-N 0 0 444.535 -0.636 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(-n2ccc(C(N)=O)n2)cc1 ZINC000469235495 1074605535 /nfs/dbraw/zinc/60/55/35/1074605535.db2.gz XINASGXSGOVCKC-UHFFFAOYSA-N 0 0 436.494 -0.256 20 0 IBADRN O=C(CC1CCCCC1)NCCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469236050 1073319013 /nfs/dbraw/zinc/31/90/13/1073319013.db2.gz FDPYNXAPZATEJF-UHFFFAOYSA-N 0 0 448.524 -0.117 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000469236087 1074605480 /nfs/dbraw/zinc/60/54/80/1074605480.db2.gz PABQQMBZIHWIBP-INIZCTEOSA-N 0 0 439.600 -0.604 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000469236286 1074605466 /nfs/dbraw/zinc/60/54/66/1074605466.db2.gz PABQQMBZIHWIBP-MRXNPFEDSA-N 0 0 439.600 -0.604 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2cccc(N3CC=CC3)c2)n1)N1CCOCC1 ZINC000469237618 1074605438 /nfs/dbraw/zinc/60/54/38/1074605438.db2.gz MPEOPNRUROZODT-UHFFFAOYSA-N 0 0 438.488 0.373 20 0 IBADRN CCn1nc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1C1CC1 ZINC000469238124 1074605515 /nfs/dbraw/zinc/60/55/15/1074605515.db2.gz QVZLXHVRNLMGNN-UHFFFAOYSA-N 0 0 440.508 0.364 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469241844 1073318313 /nfs/dbraw/zinc/31/83/13/1073318313.db2.gz OXGSAESPUKFVAB-CVEARBPZSA-N 0 0 448.524 -0.120 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469241894 1073318390 /nfs/dbraw/zinc/31/83/90/1073318390.db2.gz OXGSAESPUKFVAB-HOTGVXAUSA-N 0 0 448.524 -0.120 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469241922 1073318413 /nfs/dbraw/zinc/31/84/13/1073318413.db2.gz OXGSAESPUKFVAB-HZPDHXFCSA-N 0 0 448.524 -0.120 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000469241938 1074605429 /nfs/dbraw/zinc/60/54/29/1074605429.db2.gz OXGSAESPUKFVAB-JKSUJKDBSA-N 0 0 448.524 -0.120 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)ncn1 ZINC000469242294 1074605443 /nfs/dbraw/zinc/60/54/43/1074605443.db2.gz GSXCLTNEEJXJAA-UHFFFAOYSA-N 0 0 426.543 -0.145 20 0 IBADRN CNC(=O)c1cccc(OCC(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)c1 ZINC000469243082 1074605547 /nfs/dbraw/zinc/60/55/47/1074605547.db2.gz MZDBNGIGRMUTLQ-UHFFFAOYSA-N 0 0 428.492 -0.010 20 0 IBADRN Cc1noc(Cn2cc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)ccc2=O)n1 ZINC000469243545 1071168655 /nfs/dbraw/zinc/16/86/55/1071168655.db2.gz NGJYIENLGCIJOI-HNNXBMFYSA-N 0 0 439.494 -0.194 20 0 IBADRN Cc1noc(Cn2cc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)ccc2=O)n1 ZINC000469243647 1071168759 /nfs/dbraw/zinc/16/87/59/1071168759.db2.gz NGJYIENLGCIJOI-OAHLLOKOSA-N 0 0 439.494 -0.194 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCn2cnc3ccccc32)n1)N1CCOCC1 ZINC000469243721 1074605421 /nfs/dbraw/zinc/60/54/21/1074605421.db2.gz NQMQJGQFDPRQOU-UHFFFAOYSA-N 0 0 425.449 -0.154 20 0 IBADRN Cc1cccc(O[C@@H](C)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000469244202 1074605449 /nfs/dbraw/zinc/60/54/49/1074605449.db2.gz RLRBSUUJFYGGHS-INIZCTEOSA-N 0 0 429.477 0.573 20 0 IBADRN Cc1cccc(O[C@H](C)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000469244355 1074605453 /nfs/dbraw/zinc/60/54/53/1074605453.db2.gz RLRBSUUJFYGGHS-MRXNPFEDSA-N 0 0 429.477 0.573 20 0 IBADRN Cn1cc(CN2CCN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)cn1 ZINC000469246216 1074605458 /nfs/dbraw/zinc/60/54/58/1074605458.db2.gz VIGLBGSXEKCTSM-INIZCTEOSA-N 0 0 446.533 -0.235 20 0 IBADRN Cn1cc(CN2CCN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)cn1 ZINC000469246342 1074605473 /nfs/dbraw/zinc/60/54/73/1074605473.db2.gz VIGLBGSXEKCTSM-MRXNPFEDSA-N 0 0 446.533 -0.235 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)CC2)c1=O ZINC000469246460 1074605555 /nfs/dbraw/zinc/60/55/55/1074605555.db2.gz OFDSLHOCBHCKGU-UHFFFAOYSA-N 0 0 436.476 -0.098 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccc(F)cc1 ZINC000469246798 1074605889 /nfs/dbraw/zinc/60/58/89/1074605889.db2.gz IHVQBPRNUXJSDO-INIZCTEOSA-N 0 0 433.440 0.323 20 0 IBADRN CO[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccc(F)cc1 ZINC000469247049 1074606121 /nfs/dbraw/zinc/60/61/21/1074606121.db2.gz IHVQBPRNUXJSDO-MRXNPFEDSA-N 0 0 433.440 0.323 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC2CCC3(CCOCC3)CC2)n1)N1CCOCC1 ZINC000469247133 1074605486 /nfs/dbraw/zinc/60/54/86/1074605486.db2.gz BTODJDYTRPIGBU-UHFFFAOYSA-N 0 0 433.509 0.536 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2ccc3c(c2)OCCCO3)n1)N1CCOCC1 ZINC000469247256 1074605541 /nfs/dbraw/zinc/60/55/41/1074605541.db2.gz QXXQMSVZHUNRJQ-UHFFFAOYSA-N 0 0 443.460 0.158 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1cccnc1 ZINC000469249421 1074605941 /nfs/dbraw/zinc/60/59/41/1074605941.db2.gz RDMGGGLFVZZELN-UHFFFAOYSA-N 0 0 449.536 -0.685 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)Nc2cnn(CC(=O)N3CCN(C)CC3)c2)c1 ZINC000469250458 1074605912 /nfs/dbraw/zinc/60/59/12/1074605912.db2.gz VRWRBWIBTBLAKE-UHFFFAOYSA-N 0 0 437.526 -0.076 20 0 IBADRN Cc1ccccc1O[C@@H](C)CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469251586 1074605961 /nfs/dbraw/zinc/60/59/61/1074605961.db2.gz RJLDLOZEQSXXJK-INIZCTEOSA-N 0 0 429.477 0.573 20 0 IBADRN Cc1ccccc1O[C@H](C)CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469251643 1073343917 /nfs/dbraw/zinc/34/39/17/1073343917.db2.gz RJLDLOZEQSXXJK-MRXNPFEDSA-N 0 0 429.477 0.573 20 0 IBADRN COC(=O)CN(Cc1ccc(F)c(F)c1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469252149 1074606060 /nfs/dbraw/zinc/60/60/60/1074606060.db2.gz WCOKJZBRRDZFFP-UHFFFAOYSA-N 0 0 444.416 -0.128 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000469252730 1074606076 /nfs/dbraw/zinc/60/60/76/1074606076.db2.gz OKSFQMMWTUBWEZ-UHFFFAOYSA-N 0 0 426.499 -0.280 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000469254132 1074606053 /nfs/dbraw/zinc/60/60/53/1074606053.db2.gz RRCCAFTXHUIXOH-APWZRJJASA-N 0 0 442.516 -0.103 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000469254236 1074606115 /nfs/dbraw/zinc/60/61/15/1074606115.db2.gz RRCCAFTXHUIXOH-LPHOPBHVSA-N 0 0 442.516 -0.103 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000469254272 1074606029 /nfs/dbraw/zinc/60/60/29/1074606029.db2.gz RRCCAFTXHUIXOH-QFBILLFUSA-N 0 0 442.516 -0.103 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000469254298 1074606107 /nfs/dbraw/zinc/60/61/07/1074606107.db2.gz RRCCAFTXHUIXOH-VQIMIIECSA-N 0 0 442.516 -0.103 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1cc(N2CCNC(=O)C2)ccn1)C(=O)NCCN1CCOCC1 ZINC000469255433 1074605901 /nfs/dbraw/zinc/60/59/01/1074605901.db2.gz ZANFSQXNERBHBC-JXFKEZNVSA-N 0 0 446.552 -0.389 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1cc(N2CCNC(=O)C2)ccn1)C(=O)NCCN1CCOCC1 ZINC000469255442 1074606005 /nfs/dbraw/zinc/60/60/05/1074606005.db2.gz ZANFSQXNERBHBC-OXJNMPFZSA-N 0 0 446.552 -0.389 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1cc(N2CCNC(=O)C2)ccn1)C(=O)NCCN1CCOCC1 ZINC000469255450 1074606070 /nfs/dbraw/zinc/60/60/70/1074606070.db2.gz ZANFSQXNERBHBC-OXQOHEQNSA-N 0 0 446.552 -0.389 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1cc(N2CCNC(=O)C2)ccn1)C(=O)NCCN1CCOCC1 ZINC000469255455 1074606020 /nfs/dbraw/zinc/60/60/20/1074606020.db2.gz ZANFSQXNERBHBC-UZLBHIALSA-N 0 0 446.552 -0.389 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc(=O)n(Cc3nc(C)no3)c2)CC1 ZINC000469255618 1071199934 /nfs/dbraw/zinc/19/99/34/1071199934.db2.gz JIPWJMQPARCLFA-UHFFFAOYSA-N 0 0 439.494 -0.288 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000469256592 1074605979 /nfs/dbraw/zinc/60/59/79/1074605979.db2.gz REGNJJPCFADFER-GOSISDBHSA-N 0 0 440.504 0.557 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000469256641 1074605995 /nfs/dbraw/zinc/60/59/95/1074605995.db2.gz REGNJJPCFADFER-SFHVURJKSA-N 0 0 440.504 0.557 20 0 IBADRN CCc1ccc([C@@H]2COCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)o1 ZINC000469257585 1073349923 /nfs/dbraw/zinc/34/99/23/1073349923.db2.gz IUVSZJCPXLYSFY-INIZCTEOSA-N 0 0 445.476 0.436 20 0 IBADRN CCc1ccc([C@H]2COCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)o1 ZINC000469257667 1073349808 /nfs/dbraw/zinc/34/98/08/1073349808.db2.gz IUVSZJCPXLYSFY-MRXNPFEDSA-N 0 0 445.476 0.436 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469261610 1074606043 /nfs/dbraw/zinc/60/60/43/1074606043.db2.gz UYBRIYOTHNYETF-UHFFFAOYSA-N 0 0 449.489 -0.189 20 0 IBADRN Cc1ccc(N2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)nn1 ZINC000469261801 1074605926 /nfs/dbraw/zinc/60/59/26/1074605926.db2.gz ZKITZFXMFVWJBG-UHFFFAOYSA-N 0 0 426.543 -0.342 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000469262776 1074606412 /nfs/dbraw/zinc/60/64/12/1074606412.db2.gz XJVZBXCNLQROGZ-UHFFFAOYSA-N 0 0 429.470 -0.038 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000469264587 1074606448 /nfs/dbraw/zinc/60/64/48/1074606448.db2.gz RVWFXOBQNMLNSM-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)Cn1c2ccccc2n(C)c1=O ZINC000469266611 1074606421 /nfs/dbraw/zinc/60/64/21/1074606421.db2.gz UJMMXJZBZXDDAN-UHFFFAOYSA-N 0 0 425.492 -0.095 20 0 IBADRN Cc1ccc2[nH]c(CNC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)nc2c1 ZINC000469267531 1073342624 /nfs/dbraw/zinc/34/26/24/1073342624.db2.gz RHXVVRBNHQAGRQ-UHFFFAOYSA-N 0 0 425.449 0.182 20 0 IBADRN CCn1nc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cc1C1CC1 ZINC000469268295 1074606399 /nfs/dbraw/zinc/60/63/99/1074606399.db2.gz SPHZUXRCCJCIST-HNNXBMFYSA-N 0 0 434.541 0.404 20 0 IBADRN CCn1nc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cc1C1CC1 ZINC000469268350 1074606404 /nfs/dbraw/zinc/60/64/04/1074606404.db2.gz SPHZUXRCCJCIST-OAHLLOKOSA-N 0 0 434.541 0.404 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CCCS(=O)(=O)N1CCCC1 ZINC000469269692 1074606358 /nfs/dbraw/zinc/60/63/58/1074606358.db2.gz COCKJJCQDDUAFZ-UHFFFAOYSA-N 0 0 440.569 -0.243 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2nc(-c3ccccc3)c[nH]2)n1)N1CCOCC1 ZINC000469270597 1074606390 /nfs/dbraw/zinc/60/63/90/1074606390.db2.gz LSEYAQJMTJQMSK-UHFFFAOYSA-N 0 0 437.460 0.387 20 0 IBADRN COc1ccc([C@H](CCO)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000469271030 1073347660 /nfs/dbraw/zinc/34/76/60/1073347660.db2.gz LHXUJBKDOANYRN-KRWDZBQOSA-N 0 0 445.476 -0.071 20 0 IBADRN COc1ccc([C@@H](CCO)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000469271354 1074606429 /nfs/dbraw/zinc/60/64/29/1074606429.db2.gz LHXUJBKDOANYRN-QGZVFWFLSA-N 0 0 445.476 -0.071 20 0 IBADRN CCN1CCN(CC(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C(=O)C1=O ZINC000469272816 1074606342 /nfs/dbraw/zinc/60/63/42/1074606342.db2.gz VQOIUTSZKJJRQP-KRWDZBQOSA-N 0 0 448.495 -0.350 20 0 IBADRN CCN1CCN(CC(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C(=O)C1=O ZINC000469272869 1074606410 /nfs/dbraw/zinc/60/64/10/1074606410.db2.gz VQOIUTSZKJJRQP-QGZVFWFLSA-N 0 0 448.495 -0.350 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)Cc2ccccc21 ZINC000469275824 1074606945 /nfs/dbraw/zinc/60/69/45/1074606945.db2.gz MKBNQMZDPVRKDQ-UHFFFAOYSA-N 0 0 426.477 0.159 20 0 IBADRN CCNc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000469276188 1074606435 /nfs/dbraw/zinc/60/64/35/1074606435.db2.gz GGDZXVVGFQBILM-UHFFFAOYSA-N 0 0 447.583 -0.116 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@](C)(O)C(=O)OC(C)(C)C)c1 ZINC000469276553 1074606444 /nfs/dbraw/zinc/60/64/44/1074606444.db2.gz QRELLHJNPOGABS-IBGZPJMESA-N 0 0 443.522 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@](C)(O)C(=O)OC(C)(C)C)c1 ZINC000469276559 1074606351 /nfs/dbraw/zinc/60/63/51/1074606351.db2.gz QRELLHJNPOGABS-LJQANCHMSA-N 0 0 443.522 -0.124 20 0 IBADRN CCc1ccc([C@H](COC)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)o1 ZINC000469276985 1074606954 /nfs/dbraw/zinc/60/69/54/1074606954.db2.gz SWHYAAKOVVFIEZ-HNNXBMFYSA-N 0 0 433.465 0.340 20 0 IBADRN CCc1ccc([C@@H](COC)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)o1 ZINC000469277067 1074606981 /nfs/dbraw/zinc/60/69/81/1074606981.db2.gz SWHYAAKOVVFIEZ-OAHLLOKOSA-N 0 0 433.465 0.340 20 0 IBADRN CCn1nc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1C1CC1 ZINC000469277151 1074606962 /nfs/dbraw/zinc/60/69/62/1074606962.db2.gz UVLAYIQFZOTFCU-HNNXBMFYSA-N 0 0 432.525 0.110 20 0 IBADRN CCn1nc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1C1CC1 ZINC000469277250 1074606976 /nfs/dbraw/zinc/60/69/76/1074606976.db2.gz UVLAYIQFZOTFCU-OAHLLOKOSA-N 0 0 432.525 0.110 20 0 IBADRN NC(=O)c1nccnc1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000469278922 1074606982 /nfs/dbraw/zinc/60/69/82/1074606982.db2.gz SDULGPQJNKSJSO-UHFFFAOYSA-N 0 0 446.445 -0.947 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2=O)c1 ZINC000469279723 1074606990 /nfs/dbraw/zinc/60/69/90/1074606990.db2.gz NYDDZQSTXCBTAX-LLVKDONJSA-N 0 0 436.446 -0.413 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2=O)c1 ZINC000469279760 1074606949 /nfs/dbraw/zinc/60/69/49/1074606949.db2.gz NYDDZQSTXCBTAX-NSHDSACASA-N 0 0 436.446 -0.413 20 0 IBADRN COC(=O)COc1ccc([C@@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000469281531 1074606975 /nfs/dbraw/zinc/60/69/75/1074606975.db2.gz NTINKTUXHFEWJQ-GFCCVEGCSA-N 0 0 429.433 -0.137 20 0 IBADRN COC(=O)COc1ccc([C@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000469281551 1074606987 /nfs/dbraw/zinc/60/69/87/1074606987.db2.gz NTINKTUXHFEWJQ-LBPRGKRZSA-N 0 0 429.433 -0.137 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000469283127 1073352503 /nfs/dbraw/zinc/35/25/03/1073352503.db2.gz WFFRRCLHGQMDIL-INIZCTEOSA-N 0 0 439.520 0.271 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000469283168 1074606964 /nfs/dbraw/zinc/60/69/64/1074606964.db2.gz WFFRRCLHGQMDIL-MRXNPFEDSA-N 0 0 439.520 0.271 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000469285067 1074606974 /nfs/dbraw/zinc/60/69/74/1074606974.db2.gz WIVVMUHPGUZEHW-CYBMUJFWSA-N 0 0 438.499 -0.225 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000469285179 1074606986 /nfs/dbraw/zinc/60/69/86/1074606986.db2.gz WIVVMUHPGUZEHW-ZDUSSCGKSA-N 0 0 438.499 -0.225 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C12CCCC2 ZINC000469285273 1074606984 /nfs/dbraw/zinc/60/69/84/1074606984.db2.gz OIVDVZPKGSKUFO-CVEARBPZSA-N 0 0 433.509 0.534 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C12CCCC2 ZINC000469285401 1074606973 /nfs/dbraw/zinc/60/69/73/1074606973.db2.gz OIVDVZPKGSKUFO-HOTGVXAUSA-N 0 0 433.509 0.534 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C12CCCC2 ZINC000469285408 1074606960 /nfs/dbraw/zinc/60/69/60/1074606960.db2.gz OIVDVZPKGSKUFO-HZPDHXFCSA-N 0 0 433.509 0.534 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C12CCCC2 ZINC000469285419 1073342842 /nfs/dbraw/zinc/34/28/42/1073342842.db2.gz OIVDVZPKGSKUFO-JKSUJKDBSA-N 0 0 433.509 0.534 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c(OC)c1 ZINC000469286050 1074606971 /nfs/dbraw/zinc/60/69/71/1074606971.db2.gz OTCHVGGNCMYCKR-UHFFFAOYSA-N 0 0 445.476 0.057 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN(C)S(=O)(=O)c1cccnc1 ZINC000469286184 1074606978 /nfs/dbraw/zinc/60/69/78/1074606978.db2.gz UIETWSBTUGRJHU-UHFFFAOYSA-N 0 0 449.536 -0.733 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2n2cccn2)n1)N1CCOCC1 ZINC000469287547 1074607349 /nfs/dbraw/zinc/60/73/49/1074607349.db2.gz XBASPGQHTJGKKK-CVEARBPZSA-N 0 0 429.481 0.177 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2n2cccn2)n1)N1CCOCC1 ZINC000469287711 1074607420 /nfs/dbraw/zinc/60/74/20/1074607420.db2.gz XBASPGQHTJGKKK-HOTGVXAUSA-N 0 0 429.481 0.177 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2n2cccn2)n1)N1CCOCC1 ZINC000469287733 1074607429 /nfs/dbraw/zinc/60/74/29/1074607429.db2.gz XBASPGQHTJGKKK-HZPDHXFCSA-N 0 0 429.481 0.177 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2n2cccn2)n1)N1CCOCC1 ZINC000469287747 1074607477 /nfs/dbraw/zinc/60/74/77/1074607477.db2.gz XBASPGQHTJGKKK-JKSUJKDBSA-N 0 0 429.481 0.177 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCCNC(=O)c2ccccc2)n1)N1CCOCC1 ZINC000469287762 1074607494 /nfs/dbraw/zinc/60/74/94/1074607494.db2.gz HJMWUXFXLUATBI-UHFFFAOYSA-N 0 0 442.476 -0.383 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1)C(F)(F)F ZINC000469290414 1074607284 /nfs/dbraw/zinc/60/72/84/1074607284.db2.gz POUKRCVTTOOZAM-CYBMUJFWSA-N 0 0 446.430 -0.225 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1)C(F)(F)F ZINC000469290477 1074607312 /nfs/dbraw/zinc/60/73/12/1074607312.db2.gz POUKRCVTTOOZAM-ZDUSSCGKSA-N 0 0 446.430 -0.225 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H](C)N1C(=O)NC2(CCCC2)C1=O ZINC000469291237 1074607464 /nfs/dbraw/zinc/60/74/64/1074607464.db2.gz VFRVONMKUWIGAN-JTQLQIEISA-N 0 0 445.523 -0.195 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H](C)N1C(=O)NC2(CCCC2)C1=O ZINC000469291300 1074607359 /nfs/dbraw/zinc/60/73/59/1074607359.db2.gz VFRVONMKUWIGAN-SNVBAGLBSA-N 0 0 445.523 -0.195 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)COCCNC(=O)c1ccccc1 ZINC000469291698 1074607452 /nfs/dbraw/zinc/60/74/52/1074607452.db2.gz VKUMLIYUKHOTIJ-UHFFFAOYSA-N 0 0 442.519 -0.002 20 0 IBADRN COc1cccc(C[C@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000469293810 1073348106 /nfs/dbraw/zinc/34/81/06/1073348106.db2.gz POAGQQUVYTWQCH-HNNXBMFYSA-N 0 0 429.477 0.436 20 0 IBADRN COc1cccc(C[C@@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000469293868 1074607329 /nfs/dbraw/zinc/60/73/29/1074607329.db2.gz POAGQQUVYTWQCH-OAHLLOKOSA-N 0 0 429.477 0.436 20 0 IBADRN CCn1cc(CN2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)cn1 ZINC000469297689 1074607396 /nfs/dbraw/zinc/60/73/96/1074607396.db2.gz UCRRJSLRCSSHAY-UHFFFAOYSA-N 0 0 442.586 -0.229 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)[C@@H](C)N2CCOCC2)s1 ZINC000469299902 1074607792 /nfs/dbraw/zinc/60/77/92/1074607792.db2.gz XQQXTSAXNWDLLQ-CHWSQXEVSA-N 0 0 432.568 -0.112 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)[C@H](C)N2CCOCC2)s1 ZINC000469299951 1074607834 /nfs/dbraw/zinc/60/78/34/1074607834.db2.gz XQQXTSAXNWDLLQ-OLZOCXBDSA-N 0 0 432.568 -0.112 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)s1 ZINC000469299954 1074607842 /nfs/dbraw/zinc/60/78/42/1074607842.db2.gz XQQXTSAXNWDLLQ-QWHCGFSZSA-N 0 0 432.568 -0.112 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)[C@H](C)N2CCOCC2)s1 ZINC000469299962 1074607775 /nfs/dbraw/zinc/60/77/75/1074607775.db2.gz XQQXTSAXNWDLLQ-STQMWFEESA-N 0 0 432.568 -0.112 20 0 IBADRN CCOCCN1CCN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC000469300293 1074607760 /nfs/dbraw/zinc/60/77/60/1074607760.db2.gz SELIJRMQZITUGR-UHFFFAOYSA-N 0 0 432.568 -0.157 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000469300418 1074607770 /nfs/dbraw/zinc/60/77/70/1074607770.db2.gz TYDIEGTZRYQJJJ-UHFFFAOYSA-N 0 0 425.511 -0.858 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(c4cccnn4)CC3)CC2=O)c1 ZINC000469301257 1074607825 /nfs/dbraw/zinc/60/78/25/1074607825.db2.gz KBTYLIUBHXHYJX-AWEZNQCLSA-N 0 0 430.490 -0.174 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(c4cccnn4)CC3)CC2=O)c1 ZINC000469301344 1074607800 /nfs/dbraw/zinc/60/78/00/1074607800.db2.gz KBTYLIUBHXHYJX-CQSZACIVSA-N 0 0 430.490 -0.174 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2ccc(OCC3CC3)nc2)n1)N1CCOCC1 ZINC000469302600 1074607781 /nfs/dbraw/zinc/60/77/81/1074607781.db2.gz SCKHGJIBCORIHR-UHFFFAOYSA-N 0 0 442.476 0.181 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N(C)C2CCN(S(C)(=O)=O)CC2)c1 ZINC000469303600 1074607755 /nfs/dbraw/zinc/60/77/55/1074607755.db2.gz XCDMKYMLXVBLIW-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(CCN(C)c1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469304943 1073310169 /nfs/dbraw/zinc/31/01/69/1073310169.db2.gz UFLMNIZRJRQBHE-UHFFFAOYSA-N 0 0 428.493 0.275 20 0 IBADRN C[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C[C@H](CO)O1 ZINC000469306551 1074607831 /nfs/dbraw/zinc/60/78/31/1074607831.db2.gz UNDWRGSZPOOOGJ-DOTOQJQBSA-N 0 0 426.539 -0.086 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C[C@H](CO)O1 ZINC000469306563 1074607788 /nfs/dbraw/zinc/60/77/88/1074607788.db2.gz UNDWRGSZPOOOGJ-NVXWUHKLSA-N 0 0 426.539 -0.086 20 0 IBADRN C[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C[C@@H](CO)O1 ZINC000469306564 1074607806 /nfs/dbraw/zinc/60/78/06/1074607806.db2.gz UNDWRGSZPOOOGJ-RDJZCZTQSA-N 0 0 426.539 -0.086 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C[C@@H](CO)O1 ZINC000469306565 1074607749 /nfs/dbraw/zinc/60/77/49/1074607749.db2.gz UNDWRGSZPOOOGJ-WBVHZDCISA-N 0 0 426.539 -0.086 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000469307727 1074607812 /nfs/dbraw/zinc/60/78/12/1074607812.db2.gz WZCQHLTTZFNDJB-HNNXBMFYSA-N 0 0 446.533 -0.235 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000469307746 1074607768 /nfs/dbraw/zinc/60/77/68/1074607768.db2.gz WZCQHLTTZFNDJB-OAHLLOKOSA-N 0 0 446.533 -0.235 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@H](c3cccc(F)c3)C2)n1)N1CCOCC1 ZINC000469310881 1074608274 /nfs/dbraw/zinc/60/82/74/1074608274.db2.gz VIBDEOOLRTZUPC-KRWDZBQOSA-N 0 0 445.451 0.420 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@@H](c3cccc(F)c3)C2)n1)N1CCOCC1 ZINC000469310926 1074608238 /nfs/dbraw/zinc/60/82/38/1074608238.db2.gz VIBDEOOLRTZUPC-QGZVFWFLSA-N 0 0 445.451 0.420 20 0 IBADRN COCCN(CCc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000469311110 1074608306 /nfs/dbraw/zinc/60/83/06/1074608306.db2.gz JMSKGVXPACXNNO-UHFFFAOYSA-N 0 0 443.504 0.398 20 0 IBADRN COc1ccc(C2(NC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)cc1 ZINC000469311198 1074608346 /nfs/dbraw/zinc/60/83/46/1074608346.db2.gz VNGBRDSCCFCPFU-UHFFFAOYSA-N 0 0 427.461 0.495 20 0 IBADRN CCc1nc(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)sc1C ZINC000469311950 1073350405 /nfs/dbraw/zinc/35/04/05/1073350405.db2.gz NLYUUBATRSWYRV-UHFFFAOYSA-N 0 0 434.522 0.367 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3cccnn3)CC2)s1 ZINC000469313956 1074608323 /nfs/dbraw/zinc/60/83/23/1074608323.db2.gz PAXSPFXRMITFDV-UHFFFAOYSA-N 0 0 438.535 -0.199 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)C1=O ZINC000469318915 1074608312 /nfs/dbraw/zinc/60/83/12/1074608312.db2.gz PFHYYAUBDMBGJL-UHFFFAOYSA-N 0 0 433.512 -0.338 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H]2CCOc3ccccc32)n1)N1CCOCC1 ZINC000469319119 1074608370 /nfs/dbraw/zinc/60/83/70/1074608370.db2.gz XTGGHSXNNVPCTF-HNNXBMFYSA-N 0 0 427.461 0.363 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H]2CCOc3ccccc32)n1)N1CCOCC1 ZINC000469319127 1074608364 /nfs/dbraw/zinc/60/83/64/1074608364.db2.gz XTGGHSXNNVPCTF-OAHLLOKOSA-N 0 0 427.461 0.363 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(S(N)(=O)=O)c1C ZINC000469319395 1074608355 /nfs/dbraw/zinc/60/83/55/1074608355.db2.gz YUVPMENVNHQTEA-CABCVRRESA-N 0 0 431.536 -0.822 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(S(N)(=O)=O)c1C ZINC000469319401 1074608358 /nfs/dbraw/zinc/60/83/58/1074608358.db2.gz YUVPMENVNHQTEA-GJZGRUSLSA-N 0 0 431.536 -0.822 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(S(N)(=O)=O)c1C ZINC000469319402 1074608246 /nfs/dbraw/zinc/60/82/46/1074608246.db2.gz YUVPMENVNHQTEA-HUUCEWRRSA-N 0 0 431.536 -0.822 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(S(N)(=O)=O)c1C ZINC000469319403 1074608351 /nfs/dbraw/zinc/60/83/51/1074608351.db2.gz YUVPMENVNHQTEA-LSDHHAIUSA-N 0 0 431.536 -0.822 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)n1 ZINC000469319847 1073304924 /nfs/dbraw/zinc/30/49/24/1073304924.db2.gz DPXBRJGOLKKDDP-INIZCTEOSA-N 0 0 439.476 0.460 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)n1 ZINC000469319852 1073304851 /nfs/dbraw/zinc/30/48/51/1073304851.db2.gz DPXBRJGOLKKDDP-MRXNPFEDSA-N 0 0 439.476 0.460 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCCc2nc3ccccc3[nH]2)n1)N1CCOCC1 ZINC000469321358 1074608280 /nfs/dbraw/zinc/60/82/80/1074608280.db2.gz YWBVHAGTRIZIMY-UHFFFAOYSA-N 0 0 439.476 0.306 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C ZINC000469321567 1074608255 /nfs/dbraw/zinc/60/82/55/1074608255.db2.gz PSUYIMDATMFQLQ-SECBINFHSA-N 0 0 428.558 -0.484 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C ZINC000469321582 1071930042 /nfs/dbraw/zinc/93/00/42/1071930042.db2.gz PSUYIMDATMFQLQ-VIFPVBQESA-N 0 0 428.558 -0.484 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@H](c3ccccc3F)C2)n1)N1CCOCC1 ZINC000469322003 1074608760 /nfs/dbraw/zinc/60/87/60/1074608760.db2.gz YVZMSQUXDMRCMC-KRWDZBQOSA-N 0 0 445.451 0.420 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@@H](c3ccccc3F)C2)n1)N1CCOCC1 ZINC000469322030 1074608817 /nfs/dbraw/zinc/60/88/17/1074608817.db2.gz YVZMSQUXDMRCMC-QGZVFWFLSA-N 0 0 445.451 0.420 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)N(C)C)o1 ZINC000469322325 1074608679 /nfs/dbraw/zinc/60/86/79/1074608679.db2.gz QHPKWVKLIZXXSD-UHFFFAOYSA-N 0 0 438.509 -0.291 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCN2CCOC(C)(C)C2)s1 ZINC000469323203 1074608795 /nfs/dbraw/zinc/60/87/95/1074608795.db2.gz SKCNBYCQAWOZRG-UHFFFAOYSA-N 0 0 432.568 -0.111 20 0 IBADRN Cc1ccc(OCCCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000469323496 1073341493 /nfs/dbraw/zinc/34/14/93/1073341493.db2.gz ZQCYAOXWFYXKOG-UHFFFAOYSA-N 0 0 429.477 0.574 20 0 IBADRN CCOc1ccccc1CCNC(=O)C(=O)N=c1ccn(CC(=O)N2CCOCC2)[nH]1 ZINC000469323994 1071256159 /nfs/dbraw/zinc/25/61/59/1071256159.db2.gz ZXXRPPOFPLXPCT-UHFFFAOYSA-N 0 0 429.477 -0.140 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2=O)c1 ZINC000469325567 1074608774 /nfs/dbraw/zinc/60/87/74/1074608774.db2.gz KKRVUDOEYZEFAR-AWEZNQCLSA-N 0 0 440.522 -0.249 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2=O)c1 ZINC000469325587 1074608810 /nfs/dbraw/zinc/60/88/10/1074608810.db2.gz KKRVUDOEYZEFAR-CQSZACIVSA-N 0 0 440.522 -0.249 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCOc2ccc(F)cc2F)n1)N1CCOCC1 ZINC000469326985 1074608702 /nfs/dbraw/zinc/60/87/02/1074608702.db2.gz DZKNXYWANUFUAJ-UHFFFAOYSA-N 0 0 437.403 0.154 20 0 IBADRN CCOc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1F ZINC000469327522 1074608750 /nfs/dbraw/zinc/60/87/50/1074608750.db2.gz OSKSBQMPWNIYRR-UHFFFAOYSA-N 0 0 433.440 0.535 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1)Nc1nncs1 ZINC000469331392 1074608822 /nfs/dbraw/zinc/60/88/22/1074608822.db2.gz KWXCHBBJTUKNLA-UHFFFAOYSA-N 0 0 430.494 -0.734 20 0 IBADRN Nc1nsc(N2CCN(CC(=O)NCc3ccc(C(=O)N4CCOCC4)cc3)CC2)n1 ZINC000469332193 1074608735 /nfs/dbraw/zinc/60/87/35/1074608735.db2.gz UNXVNVHVLDQEPB-UHFFFAOYSA-N 0 0 445.549 0.031 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CCN1C(=O)NC2(CCCC2)C1=O ZINC000469335134 1074609253 /nfs/dbraw/zinc/60/92/53/1074609253.db2.gz WIYBWJSUPVHGMM-UHFFFAOYSA-N 0 0 445.523 -0.194 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)c2cc(N3CCNC(=O)C3)ccn2)cc1 ZINC000469337520 1074609272 /nfs/dbraw/zinc/60/92/72/1074609272.db2.gz UJEJTBUOFDAYNP-UHFFFAOYSA-N 0 0 447.517 -0.128 20 0 IBADRN CN(CCCOc1cccc(S(C)(=O)=O)c1)C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000469339147 1074609215 /nfs/dbraw/zinc/60/92/15/1074609215.db2.gz YHBYKCWSDURLQY-UHFFFAOYSA-N 0 0 447.579 -0.105 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCCC[C@H]1C ZINC000469339345 1074609226 /nfs/dbraw/zinc/60/92/26/1074609226.db2.gz JYJLRDIGIVXZQQ-CABCVRRESA-N 0 0 434.497 -0.414 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCCC[C@@H]1C ZINC000469339349 1074609223 /nfs/dbraw/zinc/60/92/23/1074609223.db2.gz JYJLRDIGIVXZQQ-GJZGRUSLSA-N 0 0 434.497 -0.414 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCCC[C@H]1C ZINC000469339352 1074609219 /nfs/dbraw/zinc/60/92/19/1074609219.db2.gz JYJLRDIGIVXZQQ-HUUCEWRRSA-N 0 0 434.497 -0.414 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCCC[C@@H]1C ZINC000469339354 1074609296 /nfs/dbraw/zinc/60/92/96/1074609296.db2.gz JYJLRDIGIVXZQQ-LSDHHAIUSA-N 0 0 434.497 -0.414 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@H](c3nccs3)C2)n1)N1CCOCC1 ZINC000469339403 1074609287 /nfs/dbraw/zinc/60/92/87/1074609287.db2.gz JZYQLOZWXYFHSY-AWEZNQCLSA-N 0 0 432.506 0.543 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@@H](c3nccs3)C2)n1)N1CCOCC1 ZINC000469339411 1074609241 /nfs/dbraw/zinc/60/92/41/1074609241.db2.gz JZYQLOZWXYFHSY-CQSZACIVSA-N 0 0 432.506 0.543 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)C1 ZINC000469340635 1074609649 /nfs/dbraw/zinc/60/96/49/1074609649.db2.gz YKTBVIZRCNWNBB-LLVKDONJSA-N 0 0 440.569 -0.387 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)C1 ZINC000469340649 1074609801 /nfs/dbraw/zinc/60/98/01/1074609801.db2.gz YKTBVIZRCNWNBB-NSHDSACASA-N 0 0 440.569 -0.387 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1 ZINC000469341425 1074609727 /nfs/dbraw/zinc/60/97/27/1074609727.db2.gz XRXHLAGNJKIWBT-UHFFFAOYSA-N 0 0 430.465 0.181 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN(C)c1ncnc2nc[nH]c21 ZINC000469343341 1074609673 /nfs/dbraw/zinc/60/96/73/1074609673.db2.gz ZMTUACCEOZWGMM-UHFFFAOYSA-N 0 0 426.484 -0.646 20 0 IBADRN Cc1cccc2nc(CNC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)cn21 ZINC000469344771 1074609780 /nfs/dbraw/zinc/60/97/80/1074609780.db2.gz NIUNVIZDWSHMNZ-UHFFFAOYSA-N 0 0 425.449 -0.047 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccccc1 ZINC000469346358 1073326458 /nfs/dbraw/zinc/32/64/58/1073326458.db2.gz OCAAQDMOKQRABT-INIZCTEOSA-N 0 0 443.460 -0.247 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccccc1 ZINC000469346392 1073327396 /nfs/dbraw/zinc/32/73/96/1073327396.db2.gz OCAAQDMOKQRABT-MRXNPFEDSA-N 0 0 443.460 -0.247 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000469348067 1074609750 /nfs/dbraw/zinc/60/97/50/1074609750.db2.gz YCJZELAGGVQCNO-DLBZAZTESA-N 0 0 428.555 -0.806 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000469348109 1074609707 /nfs/dbraw/zinc/60/97/07/1074609707.db2.gz YCJZELAGGVQCNO-IAGOWNOFSA-N 0 0 428.555 -0.806 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000469348114 1074609816 /nfs/dbraw/zinc/60/98/16/1074609816.db2.gz YCJZELAGGVQCNO-IRXDYDNUSA-N 0 0 428.555 -0.806 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000469348119 1074609787 /nfs/dbraw/zinc/60/97/87/1074609787.db2.gz YCJZELAGGVQCNO-SJORKVTESA-N 0 0 428.555 -0.806 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000469349054 1073335023 /nfs/dbraw/zinc/33/50/23/1073335023.db2.gz ZKCIOOWGOXOSLN-UHFFFAOYSA-N 0 0 441.536 0.472 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)c1=O ZINC000469350686 1074610250 /nfs/dbraw/zinc/61/02/50/1074610250.db2.gz XYCVBABVSABMEX-INIZCTEOSA-N 0 0 432.452 -0.480 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)c1=O ZINC000469350690 1074610265 /nfs/dbraw/zinc/61/02/65/1074610265.db2.gz XYCVBABVSABMEX-MRXNPFEDSA-N 0 0 432.452 -0.480 20 0 IBADRN COc1cc(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)ccc1C ZINC000469351517 1073340274 /nfs/dbraw/zinc/34/02/74/1073340274.db2.gz RZSQRFNJKQLOMW-UHFFFAOYSA-N 0 0 429.477 0.356 20 0 IBADRN Cn1cc([C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C(C)(C)C)cn1 ZINC000469351652 1073354910 /nfs/dbraw/zinc/35/49/10/1073354910.db2.gz SEGFHAWSJZSEIJ-KRWDZBQOSA-N 0 0 431.497 0.318 20 0 IBADRN Cn1cc([C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C(C)(C)C)cn1 ZINC000469351663 1074610293 /nfs/dbraw/zinc/61/02/93/1074610293.db2.gz SEGFHAWSJZSEIJ-QGZVFWFLSA-N 0 0 431.497 0.318 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(F)ccc1OCCOC ZINC000469357074 1074610358 /nfs/dbraw/zinc/61/03/58/1074610358.db2.gz AFPYRBUJHGSJGO-AWEZNQCLSA-N 0 0 448.469 -0.080 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(F)ccc1OCCOC ZINC000469357076 1074610840 /nfs/dbraw/zinc/61/08/40/1074610840.db2.gz AFPYRBUJHGSJGO-CQSZACIVSA-N 0 0 448.469 -0.080 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCCOc2ccc(F)cc2)n1)N1CCOCC1 ZINC000469357130 1074610778 /nfs/dbraw/zinc/61/07/78/1074610778.db2.gz XVTWAVRVSQQMST-UHFFFAOYSA-N 0 0 433.440 0.405 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)c3nccnc3C(N)=O)CC2)cc1 ZINC000469358279 1074610917 /nfs/dbraw/zinc/61/09/17/1074610917.db2.gz LTMOXDXZHIDLEI-UHFFFAOYSA-N 0 0 432.506 -0.380 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000469358793 1074610836 /nfs/dbraw/zinc/61/08/36/1074610836.db2.gz MCVYMTIBDPCDJA-GOSISDBHSA-N 0 0 428.530 -0.108 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000469358794 1074610804 /nfs/dbraw/zinc/61/08/04/1074610804.db2.gz MCVYMTIBDPCDJA-SFHVURJKSA-N 0 0 428.530 -0.108 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000469360532 1074610750 /nfs/dbraw/zinc/61/07/50/1074610750.db2.gz GEMVUGVNAOUYFA-AEFFLSMTSA-N 0 0 436.484 -0.270 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000469360535 1074610914 /nfs/dbraw/zinc/61/09/14/1074610914.db2.gz GEMVUGVNAOUYFA-FUHWJXTLSA-N 0 0 436.484 -0.270 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000469360539 1074610731 /nfs/dbraw/zinc/61/07/31/1074610731.db2.gz GEMVUGVNAOUYFA-SJLPKXTDSA-N 0 0 436.484 -0.270 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000469360541 1074610895 /nfs/dbraw/zinc/61/08/95/1074610895.db2.gz GEMVUGVNAOUYFA-WMZOPIPTSA-N 0 0 436.484 -0.270 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)NC2(CCCCC2)C1=O ZINC000469362716 1074610815 /nfs/dbraw/zinc/61/08/15/1074610815.db2.gz ZUYMCKZRHHOURC-UHFFFAOYSA-N 0 0 445.523 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)c3[nH]c(=O)[nH]c(=O)c3N)CC2)cc1 ZINC000469363838 1074610908 /nfs/dbraw/zinc/61/09/08/1074610908.db2.gz XNAFDHPPVQMPRI-UHFFFAOYSA-N 0 0 436.494 -0.485 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1 ZINC000469366625 1074610892 /nfs/dbraw/zinc/61/08/92/1074610892.db2.gz CRYPAXWLTOBGKM-CYBMUJFWSA-N 0 0 448.567 -0.394 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1 ZINC000469366626 1074610901 /nfs/dbraw/zinc/61/09/01/1074610901.db2.gz CRYPAXWLTOBGKM-ZDUSSCGKSA-N 0 0 448.567 -0.394 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CCC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000469367814 1074610847 /nfs/dbraw/zinc/61/08/47/1074610847.db2.gz RBKZZPFUFIGIRB-UHFFFAOYSA-N 0 0 427.469 -0.419 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)n1 ZINC000469367857 1074611294 /nfs/dbraw/zinc/61/12/94/1074611294.db2.gz RNADDOBGSSFAIU-HNNXBMFYSA-N 0 0 426.433 0.544 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)n1 ZINC000469367858 1074611377 /nfs/dbraw/zinc/61/13/77/1074611377.db2.gz RNADDOBGSSFAIU-OAHLLOKOSA-N 0 0 426.433 0.544 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000469370379 1074611279 /nfs/dbraw/zinc/61/12/79/1074611279.db2.gz ZLGOVEKEPJOPFJ-AWEZNQCLSA-N 0 0 434.501 -0.375 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000469370380 1074611326 /nfs/dbraw/zinc/61/13/26/1074611326.db2.gz ZLGOVEKEPJOPFJ-CQSZACIVSA-N 0 0 434.501 -0.375 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCCC[C@H]2C(=O)N2CCOCC2)c1 ZINC000469371465 1074611353 /nfs/dbraw/zinc/61/13/53/1074611353.db2.gz NTKMBFCYAUCLRO-INIZCTEOSA-N 0 0 428.511 -0.207 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCCC[C@@H]2C(=O)N2CCOCC2)c1 ZINC000469371466 1074611395 /nfs/dbraw/zinc/61/13/95/1074611395.db2.gz NTKMBFCYAUCLRO-MRXNPFEDSA-N 0 0 428.511 -0.207 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000469372233 1074611386 /nfs/dbraw/zinc/61/13/86/1074611386.db2.gz XQNKOZLPNOFZJP-HNNXBMFYSA-N 0 0 432.543 -0.940 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000469372234 1074611224 /nfs/dbraw/zinc/61/12/24/1074611224.db2.gz XQNKOZLPNOFZJP-OAHLLOKOSA-N 0 0 432.543 -0.940 20 0 IBADRN COc1ccc(CCNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(OC)c1OC ZINC000469372332 1074611341 /nfs/dbraw/zinc/61/13/41/1074611341.db2.gz ZDLNLTNLLZTKDC-UHFFFAOYSA-N 0 0 440.478 -0.223 20 0 IBADRN Cc1noc(Cn2cc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)ccc2=O)n1 ZINC000469373463 1071312048 /nfs/dbraw/zinc/31/20/48/1071312048.db2.gz OGZLTDIHXNZZCI-INIZCTEOSA-N 0 0 430.465 -0.749 20 0 IBADRN Cc1noc(Cn2cc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)ccc2=O)n1 ZINC000469373464 1071312110 /nfs/dbraw/zinc/31/21/10/1071312110.db2.gz OGZLTDIHXNZZCI-MRXNPFEDSA-N 0 0 430.465 -0.749 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)CC1 ZINC000469373782 1074611305 /nfs/dbraw/zinc/61/13/05/1074611305.db2.gz SKEKWUGNXXOOTJ-ABSDTBQOSA-N 0 0 448.568 -0.067 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)CC1 ZINC000469373783 1074611336 /nfs/dbraw/zinc/61/13/36/1074611336.db2.gz SKEKWUGNXXOOTJ-QKLQHJQFSA-N 0 0 448.568 -0.067 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)CC1 ZINC000469373784 1074611320 /nfs/dbraw/zinc/61/13/20/1074611320.db2.gz SKEKWUGNXXOOTJ-SQGPQFPESA-N 0 0 448.568 -0.067 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)CC1 ZINC000469373785 1074611330 /nfs/dbraw/zinc/61/13/30/1074611330.db2.gz SKEKWUGNXXOOTJ-ZWOKBUDYSA-N 0 0 448.568 -0.067 20 0 IBADRN CC(C)(COCC(F)F)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000469375007 1074611791 /nfs/dbraw/zinc/61/17/91/1074611791.db2.gz JAULOUOURXLLPH-CYBMUJFWSA-N 0 0 425.498 -0.258 20 0 IBADRN CC(C)(COCC(F)F)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000469375008 1074611804 /nfs/dbraw/zinc/61/18/04/1074611804.db2.gz JAULOUOURXLLPH-ZDUSSCGKSA-N 0 0 425.498 -0.258 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)NCCS(=O)(=O)N3CCSCC3)CC(=O)N2C)cnn1C ZINC000469375152 1074611645 /nfs/dbraw/zinc/61/16/45/1074611645.db2.gz LIXDRLWWQXBYAC-BBRMVZONSA-N 0 0 429.568 -0.257 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)NCCS(=O)(=O)N3CCSCC3)CC(=O)N2C)cnn1C ZINC000469375153 1074611858 /nfs/dbraw/zinc/61/18/58/1074611858.db2.gz LIXDRLWWQXBYAC-CJNGLKHVSA-N 0 0 429.568 -0.257 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)NCCS(=O)(=O)N3CCSCC3)CC(=O)N2C)cnn1C ZINC000469375154 1074611928 /nfs/dbraw/zinc/61/19/28/1074611928.db2.gz LIXDRLWWQXBYAC-CZUORRHYSA-N 0 0 429.568 -0.257 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)NCCS(=O)(=O)N3CCSCC3)CC(=O)N2C)cnn1C ZINC000469375155 1074611742 /nfs/dbraw/zinc/61/17/42/1074611742.db2.gz LIXDRLWWQXBYAC-XJKSGUPXSA-N 0 0 429.568 -0.257 20 0 IBADRN COC(=O)CC1(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC000469375350 1074611410 /nfs/dbraw/zinc/61/14/10/1074611410.db2.gz OFHOWPKXZNQNKB-INIZCTEOSA-N 0 0 443.566 -0.053 20 0 IBADRN COC(=O)CC1(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC000469375351 1074611863 /nfs/dbraw/zinc/61/18/63/1074611863.db2.gz OFHOWPKXZNQNKB-MRXNPFEDSA-N 0 0 443.566 -0.053 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CN(C)Cc2nnc(C)n2C)CC1 ZINC000469377289 1074611870 /nfs/dbraw/zinc/61/18/70/1074611870.db2.gz OXSXIYWJANFPCD-UHFFFAOYSA-N 0 0 435.554 -0.188 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCCN(Cc3cscn3)CC2)c[nH]1 ZINC000469380832 1074611678 /nfs/dbraw/zinc/61/16/78/1074611678.db2.gz GZZCGEPUKGJZFQ-UHFFFAOYSA-N 0 0 426.524 -0.417 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCO[C@@]2(CCOC2)C1 ZINC000469384017 1074611717 /nfs/dbraw/zinc/61/17/17/1074611717.db2.gz OIDJTTDCDOHAAV-IBGZPJMESA-N 0 0 439.538 -0.266 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCO[C@]2(CCOC2)C1 ZINC000469384018 1074611738 /nfs/dbraw/zinc/61/17/38/1074611738.db2.gz OIDJTTDCDOHAAV-LJQANCHMSA-N 0 0 439.538 -0.266 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)c2cc(N3CCNC(=O)C3)ccn2)c1 ZINC000469388630 1074612281 /nfs/dbraw/zinc/61/22/81/1074612281.db2.gz NIESTXANMDPSOA-UHFFFAOYSA-N 0 0 447.517 -0.128 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)c3cc(N4CCNC(=O)C4)ccn3)CC2)cn1 ZINC000469388642 1074612348 /nfs/dbraw/zinc/61/23/48/1074612348.db2.gz NKNHLYORJPNFPD-UHFFFAOYSA-N 0 0 447.521 -0.666 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)c1cnccn1 ZINC000469396022 1074612409 /nfs/dbraw/zinc/61/24/09/1074612409.db2.gz RUQDHLIERPDROV-KRWDZBQOSA-N 0 0 437.460 -0.128 20 0 IBADRN O=C(NCCNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)c1cnccn1 ZINC000469396023 1074612310 /nfs/dbraw/zinc/61/23/10/1074612310.db2.gz RUQDHLIERPDROV-QGZVFWFLSA-N 0 0 437.460 -0.128 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000469403699 1074612455 /nfs/dbraw/zinc/61/24/55/1074612455.db2.gz JMJRLCRKNJPISY-UHFFFAOYSA-N 0 0 440.522 -0.317 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCc3nc(C)nn3C2)s1 ZINC000469405003 1074613035 /nfs/dbraw/zinc/61/30/35/1074613035.db2.gz BDLOEDJLAPQOCB-GFCCVEGCSA-N 0 0 426.524 -0.306 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCc3nc(C)nn3C2)s1 ZINC000469405004 1074613043 /nfs/dbraw/zinc/61/30/43/1074613043.db2.gz BDLOEDJLAPQOCB-LBPRGKRZSA-N 0 0 426.524 -0.306 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CCN(S(N)(=O)=O)CC2)CCCC1 ZINC000469408007 1074612886 /nfs/dbraw/zinc/61/28/86/1074612886.db2.gz MPESLYMMHOOWES-UHFFFAOYSA-N 0 0 435.528 -0.770 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000469417813 1074613536 /nfs/dbraw/zinc/61/35/36/1074613536.db2.gz JHZVHKGXLDLDDW-AWEZNQCLSA-N 0 0 439.494 -0.198 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000469418078 1074613521 /nfs/dbraw/zinc/61/35/21/1074613521.db2.gz MNECHTIHGQTZCA-UHFFFAOYSA-N 0 0 446.551 -0.929 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2ccc(C(=O)Nc3ccncc3)cc2)CC1 ZINC000469419087 1074613466 /nfs/dbraw/zinc/61/34/66/1074613466.db2.gz ZAYVFLDRKDJQNV-UHFFFAOYSA-N 0 0 431.518 -0.051 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCNC(=O)c3cnccn3)CC2=O)c1 ZINC000469420698 1074613531 /nfs/dbraw/zinc/61/35/31/1074613531.db2.gz UBEZUYSNYHFBSJ-GFCCVEGCSA-N 0 0 432.462 -0.977 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCNC(=O)c3cnccn3)CC2=O)c1 ZINC000469420699 1074613422 /nfs/dbraw/zinc/61/34/22/1074613422.db2.gz UBEZUYSNYHFBSJ-LBPRGKRZSA-N 0 0 432.462 -0.977 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC(=O)N2C)cnn1C ZINC000469421366 1074613547 /nfs/dbraw/zinc/61/35/47/1074613547.db2.gz BHVKRRBTFRVHNL-AUUYWEPGSA-N 0 0 429.481 -0.058 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC(=O)N2C)cnn1C ZINC000469421367 1074613418 /nfs/dbraw/zinc/61/34/18/1074613418.db2.gz BHVKRRBTFRVHNL-IFXJQAMLSA-N 0 0 429.481 -0.058 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC(=O)N2C)cnn1C ZINC000469421368 1074613434 /nfs/dbraw/zinc/61/34/34/1074613434.db2.gz BHVKRRBTFRVHNL-KUHUBIRLSA-N 0 0 429.481 -0.058 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC(=O)N2C)cnn1C ZINC000469421369 1074613406 /nfs/dbraw/zinc/61/34/06/1074613406.db2.gz BHVKRRBTFRVHNL-LIRRHRJNSA-N 0 0 429.481 -0.058 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000469429966 1074613931 /nfs/dbraw/zinc/61/39/31/1074613931.db2.gz KKQOUENNUTVRCW-UHFFFAOYSA-N 0 0 439.538 -0.470 20 0 IBADRN CN(C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C1CCN(S(C)(=O)=O)CC1 ZINC000469433286 1074614037 /nfs/dbraw/zinc/61/40/37/1074614037.db2.gz CMHSXZAURMHRGB-UHFFFAOYSA-N 0 0 434.518 -0.059 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC000469434735 1074613998 /nfs/dbraw/zinc/61/39/98/1074613998.db2.gz SPEDKVZRNJGENE-GFCCVEGCSA-N 0 0 435.572 -0.414 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC000469434736 1074614062 /nfs/dbraw/zinc/61/40/62/1074614062.db2.gz SPEDKVZRNJGENE-LBPRGKRZSA-N 0 0 435.572 -0.414 20 0 IBADRN CCC1(CC)CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC[S@@]1=O ZINC000469442518 1074614493 /nfs/dbraw/zinc/61/44/93/1074614493.db2.gz JYXUQGXIQSSUMF-LJAQVGFWSA-N 0 0 428.599 -0.388 20 0 IBADRN CCC1(CC)CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC[S@]1=O ZINC000469442519 1074614633 /nfs/dbraw/zinc/61/46/33/1074614633.db2.gz JYXUQGXIQSSUMF-GDLZYMKVSA-N 0 0 428.599 -0.388 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)N2CCS(=O)(=O)C[C@H]2C)[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2C)C1 ZINC000469458291 1074614627 /nfs/dbraw/zinc/61/46/27/1074614627.db2.gz CKDWQSPGGWSMDU-JGPUMOJJSA-N 0 0 434.580 -0.060 20 0 IBADRN C[C@@H]1C[C@H](C(=O)N2CCS(=O)(=O)C[C@H]2C)[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2C)C1 ZINC000469458292 1074614649 /nfs/dbraw/zinc/61/46/49/1074614649.db2.gz CKDWQSPGGWSMDU-QMHWVQJVSA-N 0 0 434.580 -0.060 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2C)[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2C)C1 ZINC000469458293 1074614646 /nfs/dbraw/zinc/61/46/46/1074614646.db2.gz CKDWQSPGGWSMDU-UVPYHEFZSA-N 0 0 434.580 -0.060 20 0 IBADRN CC1C[C@H](C(=O)N2CCS(=O)(=O)C[C@@H]2C)[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2C)C1 ZINC000469458294 1074614564 /nfs/dbraw/zinc/61/45/64/1074614564.db2.gz CKDWQSPGGWSMDU-VGWMRTNUSA-N 0 0 434.580 -0.060 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000469460462 1074614547 /nfs/dbraw/zinc/61/45/47/1074614547.db2.gz HHIWSTAXRDPXNR-AWEZNQCLSA-N 0 0 440.522 -0.116 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000469460463 1074614653 /nfs/dbraw/zinc/61/46/53/1074614653.db2.gz HHIWSTAXRDPXNR-CQSZACIVSA-N 0 0 440.522 -0.116 20 0 IBADRN CN(C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C1CCN(S(C)(=O)=O)CC1 ZINC000469467281 1074614611 /nfs/dbraw/zinc/61/46/11/1074614611.db2.gz UBNWZYYMWVTIKW-KRWDZBQOSA-N 0 0 430.571 -0.418 20 0 IBADRN CN(C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C1CCN(S(C)(=O)=O)CC1 ZINC000469467282 1074614596 /nfs/dbraw/zinc/61/45/96/1074614596.db2.gz UBNWZYYMWVTIKW-QGZVFWFLSA-N 0 0 430.571 -0.418 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000469468543 1074614638 /nfs/dbraw/zinc/61/46/38/1074614638.db2.gz LCMWRQDXIHQGDA-UHFFFAOYSA-N 0 0 443.614 -0.596 20 0 IBADRN CCOC(=O)COc1ccc(CCNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000469469307 1074614582 /nfs/dbraw/zinc/61/45/82/1074614582.db2.gz UKBVCKDGXYAXCW-UHFFFAOYSA-N 0 0 427.523 -0.136 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000469473287 1074614946 /nfs/dbraw/zinc/61/49/46/1074614946.db2.gz XHPRQMZXXWWXOZ-UHFFFAOYSA-N 0 0 427.531 -0.804 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)CC1 ZINC000469474487 1074615088 /nfs/dbraw/zinc/61/50/88/1074615088.db2.gz NAPJKFHKHFSEIK-UHFFFAOYSA-N 0 0 443.614 -0.644 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000469474545 1074615064 /nfs/dbraw/zinc/61/50/64/1074615064.db2.gz NVLOMIQMENTEAQ-IBGZPJMESA-N 0 0 449.577 -0.487 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000469474546 1074615042 /nfs/dbraw/zinc/61/50/42/1074615042.db2.gz NVLOMIQMENTEAQ-LJQANCHMSA-N 0 0 449.577 -0.487 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)CC1 ZINC000469476000 1074614976 /nfs/dbraw/zinc/61/49/76/1074614976.db2.gz IDANCUSDJTWRAP-UHFFFAOYSA-N 0 0 430.477 -0.174 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000469476501 1074615060 /nfs/dbraw/zinc/61/50/60/1074615060.db2.gz QPNVOERPJQVVIU-UHFFFAOYSA-N 0 0 441.535 -0.209 20 0 IBADRN CN(CC(=O)Nc1ccc(Br)cn1)C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000469476614 1074615057 /nfs/dbraw/zinc/61/50/57/1074615057.db2.gz SAQWPAOVLWDPPZ-UHFFFAOYSA-N 0 0 448.343 -0.182 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000469476630 1074614969 /nfs/dbraw/zinc/61/49/69/1074614969.db2.gz SEIFGRWNQXJKNI-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)CC1 ZINC000469479368 1074615598 /nfs/dbraw/zinc/61/55/98/1074615598.db2.gz FUTHQBFMTOTBAW-UHFFFAOYSA-N 0 0 430.477 -0.174 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000469479445 1074615568 /nfs/dbraw/zinc/61/55/68/1074615568.db2.gz GWLYSLGKBHEZIX-UHFFFAOYSA-N 0 0 446.551 -0.348 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000469479637 1074615638 /nfs/dbraw/zinc/61/56/38/1074615638.db2.gz JVOVKXMTAMIHOD-GASCZTMLSA-N 0 0 442.542 -0.965 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000469479638 1074615617 /nfs/dbraw/zinc/61/56/17/1074615617.db2.gz JVOVKXMTAMIHOD-GJZGRUSLSA-N 0 0 442.542 -0.965 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000469479639 1074615640 /nfs/dbraw/zinc/61/56/40/1074615640.db2.gz JVOVKXMTAMIHOD-HUUCEWRRSA-N 0 0 442.542 -0.965 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(C(=O)CN1CCN(S(C)(=O)=O)CC1)CC2 ZINC000469479816 1072137591 /nfs/dbraw/zinc/13/75/91/1072137591.db2.gz MFDAKQPLLCYIPF-UHFFFAOYSA-N 0 0 430.552 -0.597 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccccc1-n1ccc(C(N)=O)n1 ZINC000469480113 1074616085 /nfs/dbraw/zinc/61/60/85/1074616085.db2.gz PYNYKWDIZJMBRB-UHFFFAOYSA-N 0 0 436.494 -0.256 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000469482470 1074615945 /nfs/dbraw/zinc/61/59/45/1074615945.db2.gz UJOIEEAHVVNPGY-GOSISDBHSA-N 0 0 442.520 -0.184 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000469482471 1074615903 /nfs/dbraw/zinc/61/59/03/1074615903.db2.gz UJOIEEAHVVNPGY-SFHVURJKSA-N 0 0 442.520 -0.184 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(Cc4ncc[nH]4)CC3)CC2=O)c1 ZINC000469482474 1074616060 /nfs/dbraw/zinc/61/60/60/1074616060.db2.gz UJRHWNUHJPYCGY-AWEZNQCLSA-N 0 0 432.506 -0.246 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(Cc4ncc[nH]4)CC3)CC2=O)c1 ZINC000469482475 1074615856 /nfs/dbraw/zinc/61/58/56/1074615856.db2.gz UJRHWNUHJPYCGY-CQSZACIVSA-N 0 0 432.506 -0.246 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CC1 ZINC000469482674 1074616112 /nfs/dbraw/zinc/61/61/12/1074616112.db2.gz WPNAAEJEPGIYLX-UHFFFAOYSA-N 0 0 430.552 -0.480 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(Cc3ncc[nH]3)CC2)s1 ZINC000469482768 1074615931 /nfs/dbraw/zinc/61/59/31/1074615931.db2.gz XOTHANSHUDJAIJ-UHFFFAOYSA-N 0 0 440.551 -0.270 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ncn(CC(=O)NCc3ccccc3)n2)CC1 ZINC000469483972 1074616567 /nfs/dbraw/zinc/61/65/67/1074616567.db2.gz MZMJCWNRHTWFRS-UHFFFAOYSA-N 0 0 435.510 -0.890 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCNC(=O)c2cccc(Br)c2)CC1 ZINC000469484710 1074615880 /nfs/dbraw/zinc/61/58/80/1074615880.db2.gz XKOSPNQXJRGXDH-UHFFFAOYSA-N 0 0 447.355 -0.128 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC000469484776 1074615922 /nfs/dbraw/zinc/61/59/22/1074615922.db2.gz YFEYMXAQVULBGP-UHFFFAOYSA-N 0 0 434.515 -0.119 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000469485800 1074616504 /nfs/dbraw/zinc/61/65/04/1074616504.db2.gz KVHAOEDKIRPKSD-UHFFFAOYSA-N 0 0 430.465 -0.696 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000469486507 1074616518 /nfs/dbraw/zinc/61/65/18/1074616518.db2.gz VJUNILVTIUDJEB-UHFFFAOYSA-N 0 0 430.552 -0.049 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCCc1nnc2n1CCCCC2 ZINC000469486556 1074616467 /nfs/dbraw/zinc/61/64/67/1074616467.db2.gz WBNUFDKUNYWNNV-UHFFFAOYSA-N 0 0 428.559 -0.113 20 0 IBADRN Cc1c(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cccc1S(=O)(=O)NC1CC1 ZINC000469486628 1074616541 /nfs/dbraw/zinc/61/65/41/1074616541.db2.gz XDGBUPSZMOGABM-UHFFFAOYSA-N 0 0 430.552 -0.049 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000469486847 1074616475 /nfs/dbraw/zinc/61/64/75/1074616475.db2.gz ZNFSCQHYIKCOIE-UHFFFAOYSA-N 0 0 434.515 -0.218 20 0 IBADRN Cc1[nH]c(=O)c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1Br ZINC000469486911 1074616514 /nfs/dbraw/zinc/61/65/14/1074616514.db2.gz AEELLXJDGFKKEW-UHFFFAOYSA-N 0 0 439.270 -0.039 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN(C(=O)NC3CCCC3)C1)C2 ZINC000469486949 1072146015 /nfs/dbraw/zinc/14/60/15/1072146015.db2.gz ANAFYNVRZXRNGZ-HNNXBMFYSA-N 0 0 447.540 -0.161 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN(C(=O)NC3CCCC3)C1)C2 ZINC000469486950 1072146051 /nfs/dbraw/zinc/14/60/51/1072146051.db2.gz ANAFYNVRZXRNGZ-OAHLLOKOSA-N 0 0 447.540 -0.161 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000469487040 1072147001 /nfs/dbraw/zinc/14/70/01/1072147001.db2.gz BIOQURYQWBSCFE-UHFFFAOYSA-N 0 0 430.465 -0.696 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CNC(=O)c1cc3ccccc3[nH]1)C2 ZINC000469487044 1072146956 /nfs/dbraw/zinc/14/69/56/1072146956.db2.gz BLFRYOFOIMUIPJ-UHFFFAOYSA-N 0 0 425.449 -0.614 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(=O)n(-c3ccc(F)cc3)n1)C2 ZINC000469487203 1074616406 /nfs/dbraw/zinc/61/64/06/1074616406.db2.gz DUFUDROMBYJPBX-UHFFFAOYSA-N 0 0 441.423 -0.526 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C[C@@H]1CN(Cc3ccccc3)CCO1)C2 ZINC000469487330 1074616433 /nfs/dbraw/zinc/61/64/33/1074616433.db2.gz GBXCSBKYVHJIFE-GOSISDBHSA-N 0 0 442.520 -0.234 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C[C@H]1CN(Cc3ccccc3)CCO1)C2 ZINC000469487331 1074616530 /nfs/dbraw/zinc/61/65/30/1074616530.db2.gz GBXCSBKYVHJIFE-SFHVURJKSA-N 0 0 442.520 -0.234 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](O)Cc1c(F)cccc1Cl)C2 ZINC000469487378 1074616455 /nfs/dbraw/zinc/61/64/55/1074616455.db2.gz GQSMBSGMNQIHEZ-AWEZNQCLSA-N 0 0 425.848 -0.129 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](O)Cc1c(F)cccc1Cl)C2 ZINC000469487379 1074616416 /nfs/dbraw/zinc/61/64/16/1074616416.db2.gz GQSMBSGMNQIHEZ-CQSZACIVSA-N 0 0 425.848 -0.129 20 0 IBADRN Cc1cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nn1-c1nc(C)cc(C)n1 ZINC000469487999 1074617070 /nfs/dbraw/zinc/61/70/70/1074617070.db2.gz NSUBMGHXCFUYSI-UHFFFAOYSA-N 0 0 439.480 -0.310 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnccc1NC(=O)C(C)(C)C)C2 ZINC000469488062 1074617036 /nfs/dbraw/zinc/61/70/36/1074617036.db2.gz OIPKYJZUQATZKH-UHFFFAOYSA-N 0 0 429.481 -0.410 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(CNc3ccncn3)c1)C2 ZINC000469488078 1073306281 /nfs/dbraw/zinc/30/62/81/1073306281.db2.gz OPEXSFPRHWWHLS-UHFFFAOYSA-N 0 0 436.476 0.191 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000469488320 1074616522 /nfs/dbraw/zinc/61/65/22/1074616522.db2.gz RAILOFKKAWCMDV-UHFFFAOYSA-N 0 0 427.469 -0.594 20 0 IBADRN COc1cccc(-c2nc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)no2)c1 ZINC000469488794 1074616424 /nfs/dbraw/zinc/61/64/24/1074616424.db2.gz XEGXBLBVYBWZEI-UHFFFAOYSA-N 0 0 427.421 -0.152 20 0 IBADRN Cc1c(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nnn1-c1ccc(C)cc1 ZINC000469489916 1074617132 /nfs/dbraw/zinc/61/71/32/1074617132.db2.gz MEAQLWQJVWNLJV-UHFFFAOYSA-N 0 0 438.492 -0.085 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CS(=O)(=O)c1ccc(Cl)cc1)C2 ZINC000469490014 1074617027 /nfs/dbraw/zinc/61/70/27/1074617027.db2.gz NCXQMKXOHYTBHP-UHFFFAOYSA-N 0 0 441.897 -0.398 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnn3c1[nH]c(=O)c1ccccc13)C2 ZINC000469490998 1074617017 /nfs/dbraw/zinc/61/70/17/1074617017.db2.gz AGXBBHAXLBQIPA-UHFFFAOYSA-N 0 0 436.432 -0.722 20 0 IBADRN Cc1ccccc1[C@H](CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)NC(N)=O ZINC000469491201 1074617077 /nfs/dbraw/zinc/61/70/77/1074617077.db2.gz CTPBZQJCKPNJHI-HNNXBMFYSA-N 0 0 429.481 -0.417 20 0 IBADRN Cc1ccccc1[C@@H](CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)NC(N)=O ZINC000469491202 1074617116 /nfs/dbraw/zinc/61/71/16/1074617116.db2.gz CTPBZQJCKPNJHI-OAHLLOKOSA-N 0 0 429.481 -0.417 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000469491221 1074617122 /nfs/dbraw/zinc/61/71/22/1074617122.db2.gz CYHJGEXSWCJPQW-UHFFFAOYSA-N 0 0 444.492 -0.306 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CSCN1C(=O)c1ccccc1)C2 ZINC000469491335 1072148339 /nfs/dbraw/zinc/14/83/39/1072148339.db2.gz FIXRFZZMQYUCAU-HNNXBMFYSA-N 0 0 444.517 -0.310 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CSCN1C(=O)c1ccccc1)C2 ZINC000469491336 1072148143 /nfs/dbraw/zinc/14/81/43/1072148143.db2.gz FIXRFZZMQYUCAU-OAHLLOKOSA-N 0 0 444.517 -0.310 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1nccnc1NC(=O)C(C)(C)C)C2 ZINC000469494077 1072152343 /nfs/dbraw/zinc/15/23/43/1072152343.db2.gz OCXHXASPFUVTPF-UHFFFAOYSA-N 0 0 430.469 -0.436 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CSC[C@H]1C(=O)N[C@@H](C)C(C)C ZINC000469495007 1074617538 /nfs/dbraw/zinc/61/75/38/1074617538.db2.gz AUYRSTLOHAZJGV-KBPBESRZSA-N 0 0 436.600 -0.117 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CSC[C@H]1C(=O)N[C@H](C)C(C)C ZINC000469495008 1074617460 /nfs/dbraw/zinc/61/74/60/1074617460.db2.gz AUYRSTLOHAZJGV-KGLIPLIRSA-N 0 0 436.600 -0.117 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CSC[C@@H]1C(=O)N[C@@H](C)C(C)C ZINC000469495009 1074617490 /nfs/dbraw/zinc/61/74/90/1074617490.db2.gz AUYRSTLOHAZJGV-UONOGXRCSA-N 0 0 436.600 -0.117 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CSC[C@@H]1C(=O)N[C@H](C)C(C)C ZINC000469495010 1074617534 /nfs/dbraw/zinc/61/75/34/1074617534.db2.gz AUYRSTLOHAZJGV-ZIAGYGMSSA-N 0 0 436.600 -0.117 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cn1nnc(-c3ccc(Cl)cc3)n1)C2 ZINC000469496051 1074617451 /nfs/dbraw/zinc/61/74/51/1074617451.db2.gz OYHUBESLSLTIBI-UHFFFAOYSA-N 0 0 445.871 -0.518 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCc2ccnc(OC3CCOCC3)c2)o1 ZINC000469496091 1074617545 /nfs/dbraw/zinc/61/75/45/1074617545.db2.gz PMBUIBGUAWLDQB-UHFFFAOYSA-N 0 0 438.462 -0.074 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000469496092 1074617431 /nfs/dbraw/zinc/61/74/31/1074617431.db2.gz PMZWADGXTOQNJZ-UHFFFAOYSA-N 0 0 428.453 -0.917 20 0 IBADRN Cc1c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cccc1N1CCNC1=O ZINC000469496486 1072155989 /nfs/dbraw/zinc/15/59/89/1072155989.db2.gz VGZBPCCXJSMHAX-UHFFFAOYSA-N 0 0 427.465 -0.373 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1CCN(Cc3ccccc3)CC1)C2 ZINC000469496591 1074617496 /nfs/dbraw/zinc/61/74/96/1074617496.db2.gz WQKXZGWHGQNDJP-UHFFFAOYSA-N 0 0 441.536 -0.707 20 0 IBADRN CC(C)[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469496595 1074617518 /nfs/dbraw/zinc/61/75/18/1074617518.db2.gz WTZNHPSKOCZMHQ-GHMZBOCLSA-N 0 0 432.524 -0.281 20 0 IBADRN CC(C)[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469496596 1074617509 /nfs/dbraw/zinc/61/75/09/1074617509.db2.gz WTZNHPSKOCZMHQ-MNOVXSKESA-N 0 0 432.524 -0.281 20 0 IBADRN CC(C)[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469496597 1074617554 /nfs/dbraw/zinc/61/75/54/1074617554.db2.gz WTZNHPSKOCZMHQ-QWRGUYRKSA-N 0 0 432.524 -0.281 20 0 IBADRN CC(C)[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469496598 1074617565 /nfs/dbraw/zinc/61/75/65/1074617565.db2.gz WTZNHPSKOCZMHQ-WDEREUQCSA-N 0 0 432.524 -0.281 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](c1ccccc1F)N1CCOCC1)C2 ZINC000469496792 1074617470 /nfs/dbraw/zinc/61/74/70/1074617470.db2.gz ZJPJYLOWAHXVEE-IBGZPJMESA-N 0 0 446.483 -0.312 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](c1ccccc1F)N1CCOCC1)C2 ZINC000469496793 1074617483 /nfs/dbraw/zinc/61/74/83/1074617483.db2.gz ZJPJYLOWAHXVEE-LJQANCHMSA-N 0 0 446.483 -0.312 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2ccnc(OC3CCOCC3)c2)c[nH]1 ZINC000469497921 1074617527 /nfs/dbraw/zinc/61/75/27/1074617527.db2.gz PRNVEVQLSPCFSY-UHFFFAOYSA-N 0 0 437.478 -0.339 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CNC(=O)NCc1ccc(Cl)cc1)C2 ZINC000469498181 1074618062 /nfs/dbraw/zinc/61/80/62/1074618062.db2.gz UANSVDAQJDEXET-UHFFFAOYSA-N 0 0 449.899 -0.372 20 0 IBADRN CC(C)[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469498419 1074617900 /nfs/dbraw/zinc/61/79/00/1074617900.db2.gz WTABKQXCVKRXGD-GWCFXTLKSA-N 0 0 431.540 -0.546 20 0 IBADRN CC(C)[C@H](C)NC(=O)[C@H]1CSCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469498420 1074618046 /nfs/dbraw/zinc/61/80/46/1074618046.db2.gz WTABKQXCVKRXGD-GXFFZTMASA-N 0 0 431.540 -0.546 20 0 IBADRN CC(C)[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469498421 1074617938 /nfs/dbraw/zinc/61/79/38/1074617938.db2.gz WTABKQXCVKRXGD-MFKMUULPSA-N 0 0 431.540 -0.546 20 0 IBADRN CC(C)[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469498422 1074618003 /nfs/dbraw/zinc/61/80/03/1074618003.db2.gz WTABKQXCVKRXGD-ZWNOBZJWSA-N 0 0 431.540 -0.546 20 0 IBADRN Cc1cccc([C@H](CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)NC(N)=O)c1 ZINC000469499355 1074617944 /nfs/dbraw/zinc/61/79/44/1074617944.db2.gz IVPCGOBUUNSHKK-HNNXBMFYSA-N 0 0 429.481 -0.417 20 0 IBADRN Cc1cccc([C@@H](CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)NC(N)=O)c1 ZINC000469499356 1074617911 /nfs/dbraw/zinc/61/79/11/1074617911.db2.gz IVPCGOBUUNSHKK-OAHLLOKOSA-N 0 0 429.481 -0.417 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCC[C@H]1C(=O)N1CCCC1)C2 ZINC000469499700 1074617915 /nfs/dbraw/zinc/61/79/15/1074617915.db2.gz NRPKJPJHZVIUTC-CVEARBPZSA-N 0 0 432.525 -0.096 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCC[C@@H]1C(=O)N1CCCC1)C2 ZINC000469499701 1074617932 /nfs/dbraw/zinc/61/79/32/1074617932.db2.gz NRPKJPJHZVIUTC-HOTGVXAUSA-N 0 0 432.525 -0.096 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCC[C@H]1C(=O)N1CCCC1)C2 ZINC000469499702 1074618053 /nfs/dbraw/zinc/61/80/53/1074618053.db2.gz NRPKJPJHZVIUTC-HZPDHXFCSA-N 0 0 432.525 -0.096 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCC[C@@H]1C(=O)N1CCCC1)C2 ZINC000469499703 1074618038 /nfs/dbraw/zinc/61/80/38/1074618038.db2.gz NRPKJPJHZVIUTC-JKSUJKDBSA-N 0 0 432.525 -0.096 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000469504328 1074618602 /nfs/dbraw/zinc/61/86/02/1074618602.db2.gz CIQMDQCMCJLDMO-UHFFFAOYSA-N 0 0 434.540 -0.774 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000469504357 1074618489 /nfs/dbraw/zinc/61/84/89/1074618489.db2.gz DBEMDBHIFQARRN-INIZCTEOSA-N 0 0 429.477 -0.803 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000469504358 1074618515 /nfs/dbraw/zinc/61/85/15/1074618515.db2.gz DBEMDBHIFQARRN-MRXNPFEDSA-N 0 0 429.477 -0.803 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469505724 1072164980 /nfs/dbraw/zinc/16/49/80/1072164980.db2.gz XEXPFCYHHNLNKP-CYBMUJFWSA-N 0 0 426.495 -0.750 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469505725 1072165059 /nfs/dbraw/zinc/16/50/59/1072165059.db2.gz XEXPFCYHHNLNKP-ZDUSSCGKSA-N 0 0 426.495 -0.750 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000469506052 1074619029 /nfs/dbraw/zinc/61/90/29/1074619029.db2.gz BJBZYOGYIWILGX-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccc(S(C)(=O)=O)s3)c2c(=O)n(C)c1=O ZINC000469513140 1074619555 /nfs/dbraw/zinc/61/95/55/1074619555.db2.gz CJLULSTXIADRHN-UHFFFAOYSA-N 0 0 425.492 -0.395 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCO[C@]3(CCOC3)C2)CC1 ZINC000469514340 1074619478 /nfs/dbraw/zinc/61/94/78/1074619478.db2.gz VRWZWTLITUNKGK-GOSISDBHSA-N 0 0 428.511 -0.384 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCO[C@@]3(CCOC3)C2)CC1 ZINC000469514341 1074619583 /nfs/dbraw/zinc/61/95/83/1074619583.db2.gz VRWZWTLITUNKGK-SFHVURJKSA-N 0 0 428.511 -0.384 20 0 IBADRN CN1CCO[C@@H](C(=O)N2CCN(c3ccccc3CNC(=O)[C@@H]3CN(C)CCO3)CC2)C1 ZINC000469516174 1074620158 /nfs/dbraw/zinc/62/01/58/1074620158.db2.gz YNHQPHVSORCKAL-LEWJYISDSA-N 0 0 445.564 -0.387 20 0 IBADRN CN1CCO[C@@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@H]3CN(C)CCO3)CC2)C1 ZINC000469516175 1074619986 /nfs/dbraw/zinc/61/99/86/1074619986.db2.gz YNHQPHVSORCKAL-NHCUHLMSSA-N 0 0 445.564 -0.387 20 0 IBADRN CN1CCO[C@@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@@H]3CN(C)CCO3)CC2)C1 ZINC000469516176 1074619932 /nfs/dbraw/zinc/61/99/32/1074619932.db2.gz YNHQPHVSORCKAL-RTWAWAEBSA-N 0 0 445.564 -0.387 20 0 IBADRN CN1CCO[C@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@@H]3CN(C)CCO3)CC2)C1 ZINC000469516177 1074620076 /nfs/dbraw/zinc/62/00/76/1074620076.db2.gz YNHQPHVSORCKAL-SFTDATJTSA-N 0 0 445.564 -0.387 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCO[C@@]3(CCOC3)C2)c(=O)[nH]c1=O ZINC000469518724 1074620010 /nfs/dbraw/zinc/62/00/10/1074620010.db2.gz ITTGJPUHWQFJKS-FQEVSTJZSA-N 0 0 439.513 -0.198 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCO[C@]3(CCOC3)C2)c(=O)[nH]c1=O ZINC000469518725 1074620057 /nfs/dbraw/zinc/62/00/57/1074620057.db2.gz ITTGJPUHWQFJKS-HXUWFJFHSA-N 0 0 439.513 -0.198 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)CN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000469520202 1074620143 /nfs/dbraw/zinc/62/01/43/1074620143.db2.gz GNYHUOBRZZXHTD-CYBMUJFWSA-N 0 0 444.535 -0.667 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)CN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000469520203 1074620096 /nfs/dbraw/zinc/62/00/96/1074620096.db2.gz GNYHUOBRZZXHTD-ZDUSSCGKSA-N 0 0 444.535 -0.667 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2=O)c1 ZINC000469521195 1074620071 /nfs/dbraw/zinc/62/00/71/1074620071.db2.gz XCQAQBMZTWBUFG-KRWDZBQOSA-N 0 0 440.522 -0.498 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2=O)c1 ZINC000469521196 1074619953 /nfs/dbraw/zinc/61/99/53/1074619953.db2.gz XCQAQBMZTWBUFG-QGZVFWFLSA-N 0 0 440.522 -0.498 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@]2(CCOC2)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000469521201 1074620134 /nfs/dbraw/zinc/62/01/34/1074620134.db2.gz XFZWTWQVTJVERB-FQEVSTJZSA-N 0 0 439.513 -0.342 20 0 IBADRN COCCN(C(=O)CN1CCO[C@]2(CCOC2)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000469521202 1074620021 /nfs/dbraw/zinc/62/00/21/1074620021.db2.gz XFZWTWQVTJVERB-HXUWFJFHSA-N 0 0 439.513 -0.342 20 0 IBADRN O=C(CN1CCO[C@]2(CCOC2)C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000469522208 1074619962 /nfs/dbraw/zinc/61/99/62/1074619962.db2.gz PLAJMOVPBAXYBV-DENIHFKCSA-N 0 0 431.489 -0.012 20 0 IBADRN O=C(CN1CCO[C@@]2(CCOC2)C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000469522209 1074620028 /nfs/dbraw/zinc/62/00/28/1074620028.db2.gz PLAJMOVPBAXYBV-KNQAVFIVSA-N 0 0 431.489 -0.012 20 0 IBADRN O=C(CN1CCO[C@]2(CCOC2)C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000469522210 1074620124 /nfs/dbraw/zinc/62/01/24/1074620124.db2.gz PLAJMOVPBAXYBV-SIKLNZKXSA-N 0 0 431.489 -0.012 20 0 IBADRN O=C(CN1CCO[C@@]2(CCOC2)C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000469522211 1074620107 /nfs/dbraw/zinc/62/01/07/1074620107.db2.gz PLAJMOVPBAXYBV-UGKGYDQZSA-N 0 0 431.489 -0.012 20 0 IBADRN COc1cc(CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)cc(OC)c1 ZINC000469523986 1074619979 /nfs/dbraw/zinc/61/99/79/1074619979.db2.gz SDHRJQJJOSAICK-UHFFFAOYSA-N 0 0 440.566 -0.075 20 0 IBADRN COc1cc(NC(=O)CN(C)C(=O)CN2CCN(S(C)(=O)=O)CC2)cc(OC)c1 ZINC000469524599 1074620065 /nfs/dbraw/zinc/62/00/65/1074620065.db2.gz BLUWYCJYUVIBOX-UHFFFAOYSA-N 0 0 428.511 -0.322 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(CC(F)(F)C(F)F)CC2)o1 ZINC000469524670 1074620551 /nfs/dbraw/zinc/62/05/51/1074620551.db2.gz CGWPRQVQJVLVNH-UHFFFAOYSA-N 0 0 430.380 -0.299 20 0 IBADRN O=C(CN1CCO[C@@]2(CCOC2)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000469525478 1074620480 /nfs/dbraw/zinc/62/04/80/1074620480.db2.gz OCOAZGDOZXQSBI-FQEVSTJZSA-N 0 0 439.534 -0.185 20 0 IBADRN O=C(CN1CCO[C@]2(CCOC2)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000469525479 1074620577 /nfs/dbraw/zinc/62/05/77/1074620577.db2.gz OCOAZGDOZXQSBI-HXUWFJFHSA-N 0 0 439.534 -0.185 20 0 IBADRN CCOC(=O)c1cc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc(S(C)(=O)=O)c1 ZINC000469525744 1074620615 /nfs/dbraw/zinc/62/06/15/1074620615.db2.gz SEVRJIGAGFCIET-UHFFFAOYSA-N 0 0 447.535 -0.218 20 0 IBADRN Cc1nc(Cn2cc(C(=O)N3CCN(S(=O)(=O)CCOC(C)C)CC3)nn2)no1 ZINC000469526725 1072194196 /nfs/dbraw/zinc/19/41/96/1072194196.db2.gz FUTOTGTUDMPBOX-UHFFFAOYSA-N 0 0 427.487 -0.470 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000469527385 1074620493 /nfs/dbraw/zinc/62/04/93/1074620493.db2.gz OCKKAYNAMRTNAB-UHFFFAOYSA-N 0 0 436.490 -0.295 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(CC(F)(F)C(F)F)CC1 ZINC000469527467 1074620521 /nfs/dbraw/zinc/62/05/21/1074620521.db2.gz PLCMXJDYIOQZRX-UHFFFAOYSA-N 0 0 434.456 -0.135 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc(S(C)(=O)=O)c1 ZINC000469528273 1074620526 /nfs/dbraw/zinc/62/05/26/1074620526.db2.gz BFLJALKKRZJSAH-UHFFFAOYSA-N 0 0 433.508 -0.608 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000469529666 1074620570 /nfs/dbraw/zinc/62/05/70/1074620570.db2.gz SVBISLFLTRJDGW-UHFFFAOYSA-N 0 0 440.522 -0.560 20 0 IBADRN CN1CCN(C(=O)Cc2cccc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)c2)CC1 ZINC000469530634 1074620511 /nfs/dbraw/zinc/62/05/11/1074620511.db2.gz GMNGLXZGDQLPDV-UHFFFAOYSA-N 0 0 437.566 -0.481 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000469530936 1074620584 /nfs/dbraw/zinc/62/05/84/1074620584.db2.gz KKHQJFBIVTVPSI-HNNXBMFYSA-N 0 0 426.539 -0.024 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000469530937 1074620486 /nfs/dbraw/zinc/62/04/86/1074620486.db2.gz KKHQJFBIVTVPSI-OAHLLOKOSA-N 0 0 426.539 -0.024 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)N3CCN(S(=O)(=O)CCOC(C)C)CC3)c2C)no1 ZINC000469531236 1074620590 /nfs/dbraw/zinc/62/05/90/1074620590.db2.gz OKBAEWBEDZZXKM-UHFFFAOYSA-N 0 0 441.514 -0.161 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(CC(F)(F)C(F)F)CC2)c[nH]1 ZINC000469531323 1074620605 /nfs/dbraw/zinc/62/06/05/1074620605.db2.gz PLXVLGBNOVUCSL-UHFFFAOYSA-N 0 0 429.396 -0.564 20 0 IBADRN O=C(CN1CCO[C@@]2(CCOC2)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000469533063 1074620599 /nfs/dbraw/zinc/62/05/99/1074620599.db2.gz KYFVBIXCRAHNKK-FQEVSTJZSA-N 0 0 439.534 -0.185 20 0 IBADRN O=C(CN1CCO[C@]2(CCOC2)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000469533064 1074620611 /nfs/dbraw/zinc/62/06/11/1074620611.db2.gz KYFVBIXCRAHNKK-HXUWFJFHSA-N 0 0 439.534 -0.185 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000469533942 1074620561 /nfs/dbraw/zinc/62/05/61/1074620561.db2.gz UVUKYZBWRPGIHX-UHFFFAOYSA-N 0 0 425.419 -0.475 20 0 IBADRN Cc1nn(C)c(C)c1CN1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1 ZINC000469534367 1074620532 /nfs/dbraw/zinc/62/05/32/1074620532.db2.gz ZNPIIVHARFANAL-UHFFFAOYSA-N 0 0 442.586 -0.485 20 0 IBADRN CC(C)c1nccn1CCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000469535090 1074621121 /nfs/dbraw/zinc/62/11/21/1074621121.db2.gz HWUDBYLEIJEIAO-INIZCTEOSA-N 0 0 425.555 -0.156 20 0 IBADRN CC(C)c1nccn1CCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000469535091 1074621200 /nfs/dbraw/zinc/62/12/00/1074621200.db2.gz HWUDBYLEIJEIAO-MRXNPFEDSA-N 0 0 425.555 -0.156 20 0 IBADRN O=C(Cn1ccc(NC(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)n1)N1CCOCC1 ZINC000469538602 1074621212 /nfs/dbraw/zinc/62/12/12/1074621212.db2.gz WMGRZUYBBOYNPQ-INIZCTEOSA-N 0 0 425.445 0.199 20 0 IBADRN O=C(Cn1ccc(NC(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)n1)N1CCOCC1 ZINC000469538603 1074621157 /nfs/dbraw/zinc/62/11/57/1074621157.db2.gz WMGRZUYBBOYNPQ-MRXNPFEDSA-N 0 0 425.445 0.199 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2Cn2cccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000469538777 1074621114 /nfs/dbraw/zinc/62/11/14/1074621114.db2.gz YNNPSIRYHKFRLI-GOSISDBHSA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2Cn2cccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000469538778 1074621259 /nfs/dbraw/zinc/62/12/59/1074621259.db2.gz YNNPSIRYHKFRLI-SFHVURJKSA-N 0 0 445.545 -0.008 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000469541949 1074621251 /nfs/dbraw/zinc/62/12/51/1074621251.db2.gz IKWATFHQMNQUSQ-ARFHVFGLSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000469541950 1074621225 /nfs/dbraw/zinc/62/12/25/1074621225.db2.gz IKWATFHQMNQUSQ-BZUAXINKSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000469541951 1074621263 /nfs/dbraw/zinc/62/12/63/1074621263.db2.gz IKWATFHQMNQUSQ-OAGGEKHMSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000469541952 1074621070 /nfs/dbraw/zinc/62/10/70/1074621070.db2.gz IKWATFHQMNQUSQ-XHSDSOJGSA-N 0 0 429.539 -0.587 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCN2Cc2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000469542587 1074621759 /nfs/dbraw/zinc/62/17/59/1074621759.db2.gz PEMXVGOOYQZQIY-MOPGFXCFSA-N 0 0 434.562 -0.292 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCN2Cc2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000469542588 1074621606 /nfs/dbraw/zinc/62/16/06/1074621606.db2.gz PEMXVGOOYQZQIY-OALUTQOASA-N 0 0 434.562 -0.292 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCN2Cc2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000469542589 1074621704 /nfs/dbraw/zinc/62/17/04/1074621704.db2.gz PEMXVGOOYQZQIY-RBUKOAKNSA-N 0 0 434.562 -0.292 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCN2Cc2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000469542590 1074621527 /nfs/dbraw/zinc/62/15/27/1074621527.db2.gz PEMXVGOOYQZQIY-RTBURBONSA-N 0 0 434.562 -0.292 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000469542662 1074621580 /nfs/dbraw/zinc/62/15/80/1074621580.db2.gz PWAKFMCMJAIQDG-UHFFFAOYSA-N 0 0 434.540 -0.492 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-c3ccccn3)no2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000469543009 1074621774 /nfs/dbraw/zinc/62/17/74/1074621774.db2.gz TVZMUJKPMAHKRP-AWEZNQCLSA-N 0 0 433.490 -0.202 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-c3ccccn3)no2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000469543010 1074621795 /nfs/dbraw/zinc/62/17/95/1074621795.db2.gz TVZMUJKPMAHKRP-CQSZACIVSA-N 0 0 433.490 -0.202 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@H]1n1ccnc1 ZINC000469543812 1074621789 /nfs/dbraw/zinc/62/17/89/1074621789.db2.gz BTTKZNZZEAOQLE-DOMZBBRYSA-N 0 0 425.449 -0.123 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H]1n1ccnc1 ZINC000469543813 1074621645 /nfs/dbraw/zinc/62/16/45/1074621645.db2.gz BTTKZNZZEAOQLE-IUODEOHRSA-N 0 0 425.449 -0.123 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H]1n1ccnc1 ZINC000469543814 1074621799 /nfs/dbraw/zinc/62/17/99/1074621799.db2.gz BTTKZNZZEAOQLE-SWLSCSKDSA-N 0 0 425.449 -0.123 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@H]1n1ccnc1 ZINC000469543815 1074621549 /nfs/dbraw/zinc/62/15/49/1074621549.db2.gz BTTKZNZZEAOQLE-WFASDCNBSA-N 0 0 425.449 -0.123 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@H](Oc3ccncc3)C2)n1)N1CCOCC1 ZINC000469545458 1074621588 /nfs/dbraw/zinc/62/15/88/1074621588.db2.gz TXHLAJZSSXRZCR-INIZCTEOSA-N 0 0 428.449 -0.245 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000469548410 1074621513 /nfs/dbraw/zinc/62/15/13/1074621513.db2.gz ZLNUKHZUNHGGGL-MSOLQXFVSA-N 0 0 428.489 -0.445 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000469548411 1074621628 /nfs/dbraw/zinc/62/16/28/1074621628.db2.gz ZLNUKHZUNHGGGL-QZTJIDSGSA-N 0 0 428.489 -0.445 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000469548412 1074621723 /nfs/dbraw/zinc/62/17/23/1074621723.db2.gz ZLNUKHZUNHGGGL-ROUUACIJSA-N 0 0 428.489 -0.445 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000469548413 1074621683 /nfs/dbraw/zinc/62/16/83/1074621683.db2.gz ZLNUKHZUNHGGGL-ZWKOTPCHSA-N 0 0 428.489 -0.445 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCO1 ZINC000469549837 1074621743 /nfs/dbraw/zinc/62/17/43/1074621743.db2.gz PCOZYECAZZTDBH-CRAIPNDOSA-N 0 0 429.477 -0.100 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCO1 ZINC000469549838 1074622287 /nfs/dbraw/zinc/62/22/87/1074622287.db2.gz PCOZYECAZZTDBH-MAUKXSAKSA-N 0 0 429.477 -0.100 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCO1 ZINC000469549839 1074622282 /nfs/dbraw/zinc/62/22/82/1074622282.db2.gz PCOZYECAZZTDBH-QAPCUYQASA-N 0 0 429.477 -0.100 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCO1 ZINC000469549840 1074622296 /nfs/dbraw/zinc/62/22/96/1074622296.db2.gz PCOZYECAZZTDBH-YJBOKZPZSA-N 0 0 429.477 -0.100 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000469550919 1074622275 /nfs/dbraw/zinc/62/22/75/1074622275.db2.gz AHUPTAQHONRYKQ-AWEZNQCLSA-N 0 0 427.527 -0.636 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000469550920 1074622196 /nfs/dbraw/zinc/62/21/96/1074622196.db2.gz AHUPTAQHONRYKQ-CQSZACIVSA-N 0 0 427.527 -0.636 20 0 IBADRN CC(C)(C)C(=O)Cn1cc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)nn1 ZINC000469554039 1074622301 /nfs/dbraw/zinc/62/23/01/1074622301.db2.gz KGJRUUKWAHTHMH-UHFFFAOYSA-N 0 0 442.542 -0.336 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H]1n1ccnc1 ZINC000469558087 1073354741 /nfs/dbraw/zinc/35/47/41/1073354741.db2.gz GEVWXCBKVLEKRV-CVEARBPZSA-N 0 0 429.481 -0.013 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H]1n1ccnc1 ZINC000469558088 1073312807 /nfs/dbraw/zinc/31/28/07/1073312807.db2.gz GEVWXCBKVLEKRV-HOTGVXAUSA-N 0 0 429.481 -0.013 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H]1n1ccnc1 ZINC000469558089 1073354779 /nfs/dbraw/zinc/35/47/79/1073354779.db2.gz GEVWXCBKVLEKRV-HZPDHXFCSA-N 0 0 429.481 -0.013 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H]1n1ccnc1 ZINC000469558090 1074622715 /nfs/dbraw/zinc/62/27/15/1074622715.db2.gz GEVWXCBKVLEKRV-JKSUJKDBSA-N 0 0 429.481 -0.013 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000469558350 1074622324 /nfs/dbraw/zinc/62/23/24/1074622324.db2.gz JVBFETNHRLDFNC-UHFFFAOYSA-N 0 0 439.538 -0.732 20 0 IBADRN CCN(C(=O)[C@H](C)NS(C)(=O)=O)c1ccc(NC(=O)[C@@H](C)NS(C)(=O)=O)cc1 ZINC000469562985 1074622859 /nfs/dbraw/zinc/62/28/59/1074622859.db2.gz ZXEMIDPOKUCEJL-NEPJUHHUSA-N 0 0 434.540 -0.147 20 0 IBADRN CCN(C(=O)[C@@H](C)NS(C)(=O)=O)c1ccc(NC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000469562986 1074622732 /nfs/dbraw/zinc/62/27/32/1074622732.db2.gz ZXEMIDPOKUCEJL-NWDGAFQWSA-N 0 0 434.540 -0.147 20 0 IBADRN CCN(C(=O)[C@H](C)NS(C)(=O)=O)c1ccc(NC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000469562987 1074622783 /nfs/dbraw/zinc/62/27/83/1074622783.db2.gz ZXEMIDPOKUCEJL-RYUDHWBXSA-N 0 0 434.540 -0.147 20 0 IBADRN CCN(C(=O)[C@@H](C)NS(C)(=O)=O)c1ccc(NC(=O)[C@@H](C)NS(C)(=O)=O)cc1 ZINC000469562988 1074622885 /nfs/dbraw/zinc/62/28/85/1074622885.db2.gz ZXEMIDPOKUCEJL-VXGBXAGGSA-N 0 0 434.540 -0.147 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)[nH]1 ZINC000469563120 1074622862 /nfs/dbraw/zinc/62/28/62/1074622862.db2.gz CFKGRHOZEVZWBO-INIZCTEOSA-N 0 0 439.476 -0.312 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)[nH]1 ZINC000469563121 1074622817 /nfs/dbraw/zinc/62/28/17/1074622817.db2.gz CFKGRHOZEVZWBO-MRXNPFEDSA-N 0 0 439.476 -0.312 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2=O)cn1 ZINC000469563465 1074622803 /nfs/dbraw/zinc/62/28/03/1074622803.db2.gz ITOPLJSFPVPXLT-BLLLJJGKSA-N 0 0 446.489 -0.658 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2=O)cn1 ZINC000469563466 1074622834 /nfs/dbraw/zinc/62/28/34/1074622834.db2.gz ITOPLJSFPVPXLT-LRDDRELGSA-N 0 0 446.489 -0.658 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2=O)cn1 ZINC000469563467 1074622793 /nfs/dbraw/zinc/62/27/93/1074622793.db2.gz ITOPLJSFPVPXLT-MLGOLLRUSA-N 0 0 446.489 -0.658 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2=O)cn1 ZINC000469563468 1074622870 /nfs/dbraw/zinc/62/28/70/1074622870.db2.gz ITOPLJSFPVPXLT-WBMJQRKESA-N 0 0 446.489 -0.658 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469563807 1074622686 /nfs/dbraw/zinc/62/26/86/1074622686.db2.gz NWORSUBZVONONU-AWEZNQCLSA-N 0 0 449.537 -0.886 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469563808 1074622767 /nfs/dbraw/zinc/62/27/67/1074622767.db2.gz NWORSUBZVONONU-CQSZACIVSA-N 0 0 449.537 -0.886 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)[nH]1 ZINC000469564070 1074622890 /nfs/dbraw/zinc/62/28/90/1074622890.db2.gz SERONFQNNATNAU-AWEZNQCLSA-N 0 0 447.521 -0.542 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)[nH]1 ZINC000469564071 1074622878 /nfs/dbraw/zinc/62/28/78/1074622878.db2.gz SERONFQNNATNAU-CQSZACIVSA-N 0 0 447.521 -0.542 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)C2=O)cn1 ZINC000469564095 1074622752 /nfs/dbraw/zinc/62/27/52/1074622752.db2.gz SRGDDGGDSNVHGM-CVEARBPZSA-N 0 0 438.444 -0.428 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)C2=O)cn1 ZINC000469564096 1074622808 /nfs/dbraw/zinc/62/28/08/1074622808.db2.gz SRGDDGGDSNVHGM-HOTGVXAUSA-N 0 0 438.444 -0.428 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)C2=O)cn1 ZINC000469564097 1074622873 /nfs/dbraw/zinc/62/28/73/1074622873.db2.gz SRGDDGGDSNVHGM-HZPDHXFCSA-N 0 0 438.444 -0.428 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)C2=O)cn1 ZINC000469564098 1074622830 /nfs/dbraw/zinc/62/28/30/1074622830.db2.gz SRGDDGGDSNVHGM-JKSUJKDBSA-N 0 0 438.444 -0.428 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)CC1 ZINC000469567284 1074623297 /nfs/dbraw/zinc/62/32/97/1074623297.db2.gz QGBLFHGLRYRFOO-UHFFFAOYSA-N 0 0 442.513 -0.022 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCCc1ccc(S(=O)(=O)N2CCCCC2)s1)C(N)=O ZINC000469568873 1074623291 /nfs/dbraw/zinc/62/32/91/1074623291.db2.gz MVWGULGIFRPMGF-AWEZNQCLSA-N 0 0 430.552 -0.039 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCO[C@@]2(CCOC2)C1 ZINC000469571088 1074623225 /nfs/dbraw/zinc/62/32/25/1074623225.db2.gz QSDSCDCGYKYPMH-AVRDEDQJSA-N 0 0 445.472 -0.343 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCO[C@@]2(CCOC2)C1 ZINC000469571089 1074623251 /nfs/dbraw/zinc/62/32/51/1074623251.db2.gz QSDSCDCGYKYPMH-GCJKJVERSA-N 0 0 445.472 -0.343 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCO[C@]2(CCOC2)C1 ZINC000469571090 1074623317 /nfs/dbraw/zinc/62/33/17/1074623317.db2.gz QSDSCDCGYKYPMH-PGRDOPGGSA-N 0 0 445.472 -0.343 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCO[C@]2(CCOC2)C1 ZINC000469571091 1074623271 /nfs/dbraw/zinc/62/32/71/1074623271.db2.gz QSDSCDCGYKYPMH-XMSQKQJNSA-N 0 0 445.472 -0.343 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000469572644 1074623281 /nfs/dbraw/zinc/62/32/81/1074623281.db2.gz LOVMZRYTXZLFPF-GOSISDBHSA-N 0 0 427.479 -0.098 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000469572645 1074623242 /nfs/dbraw/zinc/62/32/42/1074623242.db2.gz LOVMZRYTXZLFPF-SFHVURJKSA-N 0 0 427.479 -0.098 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(Cc3ccon3)CC2)s1 ZINC000469574488 1074623194 /nfs/dbraw/zinc/62/31/94/1074623194.db2.gz LBZVVSNYGXZZOI-UHFFFAOYSA-N 0 0 441.535 -0.005 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCN2C(=O)CCCC2=O)s1 ZINC000469575856 1074623253 /nfs/dbraw/zinc/62/32/53/1074623253.db2.gz DAIWXSWVIFZGDE-UHFFFAOYSA-N 0 0 430.508 -0.682 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)cc1 ZINC000469576620 1074623320 /nfs/dbraw/zinc/62/33/20/1074623320.db2.gz NNUBOMCZYLUKAU-GOSISDBHSA-N 0 0 427.479 -0.432 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)cc1 ZINC000469576621 1074623231 /nfs/dbraw/zinc/62/32/31/1074623231.db2.gz NNUBOMCZYLUKAU-SFHVURJKSA-N 0 0 427.479 -0.432 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)CC1 ZINC000469578132 1074623307 /nfs/dbraw/zinc/62/33/07/1074623307.db2.gz HURPUTROSRYUNH-UHFFFAOYSA-N 0 0 435.499 -0.197 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1F ZINC000469581503 1074623826 /nfs/dbraw/zinc/62/38/26/1074623826.db2.gz XOOIZRPYIYPYNE-UHFFFAOYSA-N 0 0 440.497 -0.268 20 0 IBADRN CCc1cnc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)s1 ZINC000469581664 1074623208 /nfs/dbraw/zinc/62/32/08/1074623208.db2.gz ZGJFGPUAEOFVFQ-UHFFFAOYSA-N 0 0 426.524 -0.240 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)cc1 ZINC000469582001 1074623830 /nfs/dbraw/zinc/62/38/30/1074623830.db2.gz CWJIEGDDMLLDCG-AUUYWEPGSA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)cc1 ZINC000469582002 1074623849 /nfs/dbraw/zinc/62/38/49/1074623849.db2.gz CWJIEGDDMLLDCG-IFXJQAMLSA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)cc1 ZINC000469582003 1074623888 /nfs/dbraw/zinc/62/38/88/1074623888.db2.gz CWJIEGDDMLLDCG-KUHUBIRLSA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)cc1 ZINC000469582004 1074623821 /nfs/dbraw/zinc/62/38/21/1074623821.db2.gz CWJIEGDDMLLDCG-LIRRHRJNSA-N 0 0 441.506 -0.044 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCO[C@@]2(CCOC2)C1)N1CCOCC1 ZINC000469584388 1074623834 /nfs/dbraw/zinc/62/38/34/1074623834.db2.gz GEZRAQHFCRAHRG-NRFANRHFSA-N 0 0 433.461 -0.119 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCO[C@]2(CCOC2)C1)N1CCOCC1 ZINC000469584389 1074623853 /nfs/dbraw/zinc/62/38/53/1074623853.db2.gz GEZRAQHFCRAHRG-OAQYLSRUSA-N 0 0 433.461 -0.119 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)c1ccnc(C(=O)NCCN2C(=O)CCCC2=O)c1 ZINC000469585138 1074623910 /nfs/dbraw/zinc/62/39/10/1074623910.db2.gz OGOAFUZLUJTCNO-UHFFFAOYSA-N 0 0 443.460 -0.381 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2CN2CCOCC2)s1 ZINC000469586460 1074623870 /nfs/dbraw/zinc/62/38/70/1074623870.db2.gz FXNXZYKZCUWQRZ-OAHLLOKOSA-N 0 0 444.579 -0.014 20 0 IBADRN CC1(C)Cc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2O1 ZINC000469593091 1074623903 /nfs/dbraw/zinc/62/39/03/1074623903.db2.gz OTNFSKGIMZIBAD-UHFFFAOYSA-N 0 0 444.488 -0.132 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)c1 ZINC000469594423 1074623891 /nfs/dbraw/zinc/62/38/91/1074623891.db2.gz GZQYRCJEEHJUIY-AWEZNQCLSA-N 0 0 446.485 -0.133 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)c1 ZINC000469594424 1074624178 /nfs/dbraw/zinc/62/41/78/1074624178.db2.gz GZQYRCJEEHJUIY-CQSZACIVSA-N 0 0 446.485 -0.133 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)CC1 ZINC000469596840 1074624181 /nfs/dbraw/zinc/62/41/81/1074624181.db2.gz MFSILNGSYOQPQT-UHFFFAOYSA-N 0 0 428.486 -0.411 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)cc(S(C)(=O)=O)c1 ZINC000469603961 1074624211 /nfs/dbraw/zinc/62/42/11/1074624211.db2.gz ASTRPKFMZXVAJE-GOSISDBHSA-N 0 0 426.447 -0.167 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)cc(S(C)(=O)=O)c1 ZINC000469603962 1074624266 /nfs/dbraw/zinc/62/42/66/1074624266.db2.gz ASTRPKFMZXVAJE-SFHVURJKSA-N 0 0 426.447 -0.167 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000469609105 1074624195 /nfs/dbraw/zinc/62/41/95/1074624195.db2.gz MAXVKVNYVOSVOP-IBGZPJMESA-N 0 0 440.522 -0.041 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000469609106 1074624131 /nfs/dbraw/zinc/62/41/31/1074624131.db2.gz MAXVKVNYVOSVOP-LJQANCHMSA-N 0 0 440.522 -0.041 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)ccc1S(=O)(=O)N(C)C ZINC000469610580 1074624242 /nfs/dbraw/zinc/62/42/42/1074624242.db2.gz DHNFCAWHGOZMNM-GOSISDBHSA-N 0 0 427.479 -0.098 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)ccc1S(=O)(=O)N(C)C ZINC000469610581 1074624258 /nfs/dbraw/zinc/62/42/58/1074624258.db2.gz DHNFCAWHGOZMNM-SFHVURJKSA-N 0 0 427.479 -0.098 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)cn2)C[C@H](C)O1 ZINC000469610880 1074624171 /nfs/dbraw/zinc/62/41/71/1074624171.db2.gz HXMYBFAZODOVSA-MDOVXXIYSA-N 0 0 435.481 -0.525 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)cn2)C[C@H](C)O1 ZINC000469610881 1074624295 /nfs/dbraw/zinc/62/42/95/1074624295.db2.gz HXMYBFAZODOVSA-QEEYODRMSA-N 0 0 435.481 -0.525 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)cn2)C[C@@H](C)O1 ZINC000469610882 1074624189 /nfs/dbraw/zinc/62/41/89/1074624189.db2.gz HXMYBFAZODOVSA-STXHMFSFSA-N 0 0 435.481 -0.525 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)cn2)C[C@@H](C)O1 ZINC000469610883 1074624291 /nfs/dbraw/zinc/62/42/91/1074624291.db2.gz HXMYBFAZODOVSA-SXGZJXTBSA-N 0 0 435.481 -0.525 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000469612166 1074624224 /nfs/dbraw/zinc/62/42/24/1074624224.db2.gz WQQICVRNLCCLQG-IBGZPJMESA-N 0 0 439.490 -0.336 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000469612167 1074624252 /nfs/dbraw/zinc/62/42/52/1074624252.db2.gz WQQICVRNLCCLQG-LJQANCHMSA-N 0 0 439.490 -0.336 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000469612455 1074624158 /nfs/dbraw/zinc/62/41/58/1074624158.db2.gz ZZXJKQOCGXBFIW-IBGZPJMESA-N 0 0 439.490 -0.336 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000469612456 1074624236 /nfs/dbraw/zinc/62/42/36/1074624236.db2.gz ZZXJKQOCGXBFIW-LJQANCHMSA-N 0 0 439.490 -0.336 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC[S@]1=O ZINC000469619432 1074624284 /nfs/dbraw/zinc/62/42/84/1074624284.db2.gz FLEBIMNJWFPBLE-SSEXGKCCSA-N 0 0 435.506 -0.280 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC[S@@]1=O ZINC000469619447 1074624199 /nfs/dbraw/zinc/62/41/99/1074624199.db2.gz FLEBIMNJWFPBLE-PMERELPUSA-N 0 0 435.506 -0.280 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)cc21 ZINC000469623389 1074624787 /nfs/dbraw/zinc/62/47/87/1074624787.db2.gz XGCPJFSITMYPQS-UHFFFAOYSA-N 0 0 432.462 -0.269 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)cc21 ZINC000469623598 1074624781 /nfs/dbraw/zinc/62/47/81/1074624781.db2.gz WGZRHCCLUVTNKS-UHFFFAOYSA-N 0 0 433.446 -0.004 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC[S@]1=O ZINC000469625055 1074624805 /nfs/dbraw/zinc/62/48/05/1074624805.db2.gz IMABAKVMJOAHQJ-SSEXGKCCSA-N 0 0 439.538 -0.170 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC[S@@]1=O ZINC000469625056 1074624809 /nfs/dbraw/zinc/62/48/09/1074624809.db2.gz IMABAKVMJOAHQJ-PMERELPUSA-N 0 0 439.538 -0.170 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC[S@]1=O ZINC000469625144 1074624788 /nfs/dbraw/zinc/62/47/88/1074624788.db2.gz JTRRIXCDMMHLBX-PMERELPUSA-N 0 0 439.538 -0.170 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC[S@@]1=O ZINC000469625145 1074624824 /nfs/dbraw/zinc/62/48/24/1074624824.db2.gz JTRRIXCDMMHLBX-SSEXGKCCSA-N 0 0 439.538 -0.170 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(CS(C)(=O)=O)c(F)c1 ZINC000469627517 1074624798 /nfs/dbraw/zinc/62/47/98/1074624798.db2.gz MSGPPFKIEYWQLG-CYBMUJFWSA-N 0 0 435.499 -0.151 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(CS(C)(=O)=O)c(F)c1 ZINC000469627518 1074624783 /nfs/dbraw/zinc/62/47/83/1074624783.db2.gz MSGPPFKIEYWQLG-ZDUSSCGKSA-N 0 0 435.499 -0.151 20 0 IBADRN Cc1ccc2c(c1)nc(CNC(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)n2C ZINC000469628682 1074624796 /nfs/dbraw/zinc/62/47/96/1074624796.db2.gz DDMYBHSDQPODBO-UHFFFAOYSA-N 0 0 439.476 0.192 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(CS(C)(=O)=O)c(F)c3)CC2=O)cn1 ZINC000469629004 1074624845 /nfs/dbraw/zinc/62/48/45/1074624845.db2.gz DURCTEKBELLTMA-UHFFFAOYSA-N 0 0 437.453 -0.082 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)cc1 ZINC000469632155 1074625445 /nfs/dbraw/zinc/62/54/45/1074625445.db2.gz LWDZCMJYBHVBRO-NRFANRHFSA-N 0 0 432.477 -0.086 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)cc1 ZINC000469632159 1074625238 /nfs/dbraw/zinc/62/52/38/1074625238.db2.gz LWDZCMJYBHVBRO-OAQYLSRUSA-N 0 0 432.477 -0.086 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC[S@@]1=O ZINC000469635745 1074625417 /nfs/dbraw/zinc/62/54/17/1074625417.db2.gz ZQMPOPIZWNPBCR-GDLZYMKVSA-N 0 0 425.555 0.304 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC[S@]1=O ZINC000469635746 1074625408 /nfs/dbraw/zinc/62/54/08/1074625408.db2.gz ZQMPOPIZWNPBCR-LJAQVGFWSA-N 0 0 425.555 0.304 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)cc2)CC1 ZINC000469639307 1074625280 /nfs/dbraw/zinc/62/52/80/1074625280.db2.gz KBMDFXZAZVZOQZ-JOCHJYFZSA-N 0 0 430.505 -0.041 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)cc2)CC1 ZINC000469639308 1074625440 /nfs/dbraw/zinc/62/54/40/1074625440.db2.gz KBMDFXZAZVZOQZ-QFIPXVFZSA-N 0 0 430.505 -0.041 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000469641164 1074625933 /nfs/dbraw/zinc/62/59/33/1074625933.db2.gz WAWBXUAAPXUYKM-JOCHJYFZSA-N 0 0 446.504 -0.295 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000469641165 1074625898 /nfs/dbraw/zinc/62/58/98/1074625898.db2.gz WAWBXUAAPXUYKM-QFIPXVFZSA-N 0 0 446.504 -0.295 20 0 IBADRN COC(=O)[C@@](C)(CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000469642316 1074625849 /nfs/dbraw/zinc/62/58/49/1074625849.db2.gz GEMHQPBQYXTTRM-NRFANRHFSA-N 0 0 444.492 -0.211 20 0 IBADRN COC(=O)[C@](C)(CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000469642319 1074625854 /nfs/dbraw/zinc/62/58/54/1074625854.db2.gz GEMHQPBQYXTTRM-OAQYLSRUSA-N 0 0 444.492 -0.211 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC[S@@]1=O ZINC000469643392 1074625892 /nfs/dbraw/zinc/62/58/92/1074625892.db2.gz KFCPJGWOCYQRAC-LJAQVGFWSA-N 0 0 427.527 -0.266 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC[S@]1=O ZINC000469643393 1074625793 /nfs/dbraw/zinc/62/57/93/1074625793.db2.gz KFCPJGWOCYQRAC-GDLZYMKVSA-N 0 0 427.527 -0.266 20 0 IBADRN Cc1nsc(N2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)n1 ZINC000469657916 1074625940 /nfs/dbraw/zinc/62/59/40/1074625940.db2.gz IUTUFFAMQCPPRD-UHFFFAOYSA-N 0 0 438.491 -0.249 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H]1CC[C@H](CO)CC1 ZINC000469658859 1074625949 /nfs/dbraw/zinc/62/59/49/1074625949.db2.gz PQUYBUBQWSCKTQ-HDJSIYSDSA-N 0 0 438.506 -0.587 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)C[C@@H]1CCCN1c1cccnn1 ZINC000469658950 1074625857 /nfs/dbraw/zinc/62/58/57/1074625857.db2.gz QECHMGJERHSWFA-HNNXBMFYSA-N 0 0 426.543 -0.262 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)C[C@H]1CCCN1c1cccnn1 ZINC000469658952 1074625926 /nfs/dbraw/zinc/62/59/26/1074625926.db2.gz QECHMGJERHSWFA-OAHLLOKOSA-N 0 0 426.543 -0.262 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)c[nH]1 ZINC000469675338 1074625827 /nfs/dbraw/zinc/62/58/27/1074625827.db2.gz ZLHKJBKEYCIOMA-CYBMUJFWSA-N 0 0 439.881 -0.033 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)c[nH]1 ZINC000469675339 1074625895 /nfs/dbraw/zinc/62/58/95/1074625895.db2.gz ZLHKJBKEYCIOMA-ZDUSSCGKSA-N 0 0 439.881 -0.033 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3ccnc(N(C)C)n3)CC2)c1 ZINC000469680894 1074626461 /nfs/dbraw/zinc/62/64/61/1074626461.db2.gz NNBBJWLOZWCKPI-UHFFFAOYSA-N 0 0 437.526 -0.242 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000469681329 1074626442 /nfs/dbraw/zinc/62/64/42/1074626442.db2.gz RIKBMQBVEHMDBU-AWEZNQCLSA-N 0 0 438.506 -0.336 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000469681333 1074626497 /nfs/dbraw/zinc/62/64/97/1074626497.db2.gz RIKBMQBVEHMDBU-CQSZACIVSA-N 0 0 438.506 -0.336 20 0 IBADRN COCCN1CCCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1=O ZINC000469684965 1074626486 /nfs/dbraw/zinc/62/64/86/1074626486.db2.gz LIVLRBGBGVZTKW-UHFFFAOYSA-N 0 0 430.513 -0.807 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(c2ccnc(N(C)C)n2)CC1 ZINC000469685825 1074626424 /nfs/dbraw/zinc/62/64/24/1074626424.db2.gz QKWRDRPOQKSGJH-UHFFFAOYSA-N 0 0 441.558 -0.975 20 0 IBADRN COCCN1CCCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1=O ZINC000469687599 1074626414 /nfs/dbraw/zinc/62/64/14/1074626414.db2.gz MENUDGCNKNXNAV-UHFFFAOYSA-N 0 0 443.504 -0.459 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCCN(CCOC)C(=O)C2)c(=O)[nH]c1=O ZINC000469690763 1074626407 /nfs/dbraw/zinc/62/64/07/1074626407.db2.gz NTFGRBTTWJGFNX-UHFFFAOYSA-N 0 0 438.529 -0.135 20 0 IBADRN COCCN1CCCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1=O ZINC000469693396 1074626458 /nfs/dbraw/zinc/62/64/58/1074626458.db2.gz ZFXMJVBUUQLJIO-UHFFFAOYSA-N 0 0 432.521 -0.044 20 0 IBADRN COCCN1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1=O ZINC000469693610 1074626398 /nfs/dbraw/zinc/62/63/98/1074626398.db2.gz ZOTGBNFMPOPIEW-UHFFFAOYSA-N 0 0 438.550 -0.300 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)Cc1ccc(S(C)(=O)=O)cc1 ZINC000469694342 1074626393 /nfs/dbraw/zinc/62/63/93/1074626393.db2.gz GDTWPJHHONHOIW-UHFFFAOYSA-N 0 0 433.552 -0.117 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCc2cc(F)ccc2N2CCOCC2)o1 ZINC000469694982 1074626381 /nfs/dbraw/zinc/62/63/81/1074626381.db2.gz TWPBGRYFPLLXIK-UHFFFAOYSA-N 0 0 440.453 -0.051 20 0 IBADRN CCOC(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1C ZINC000469695460 1074626434 /nfs/dbraw/zinc/62/64/34/1074626434.db2.gz MJNMCHYTSLMFTM-UHFFFAOYSA-N 0 0 429.499 -0.072 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCCN1C(=O)COc2ccccc21 ZINC000469696945 1074626481 /nfs/dbraw/zinc/62/64/81/1074626481.db2.gz BGYRZLJVRKLVOI-UHFFFAOYSA-N 0 0 440.522 -0.248 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2 ZINC000469697358 1074627018 /nfs/dbraw/zinc/62/70/18/1074627018.db2.gz HGBBHHWFSDHBSL-UHFFFAOYSA-N 0 0 446.551 -0.682 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2cc(F)ccc2N2CCOCC2)c[nH]1 ZINC000469697635 1074627028 /nfs/dbraw/zinc/62/70/28/1074627028.db2.gz KSDUVEZMUMZXOQ-UHFFFAOYSA-N 0 0 439.469 -0.316 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCN2C(=O)COc3ccccc32)c[nH]1 ZINC000469700242 1074626964 /nfs/dbraw/zinc/62/69/64/1074626964.db2.gz IWNZIZPWWZMYDJ-UHFFFAOYSA-N 0 0 435.462 -0.676 20 0 IBADRN COCCN1CCCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1=O ZINC000469700434 1074626898 /nfs/dbraw/zinc/62/68/98/1074626898.db2.gz KROXTAZRURAXTE-HNNXBMFYSA-N 0 0 426.539 -0.438 20 0 IBADRN COCCN1CCCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1=O ZINC000469700435 1074626940 /nfs/dbraw/zinc/62/69/40/1074626940.db2.gz KROXTAZRURAXTE-OAHLLOKOSA-N 0 0 426.539 -0.438 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3cccc(F)c3)C(=O)C2)c[nH]1 ZINC000469702094 1074626953 /nfs/dbraw/zinc/62/69/53/1074626953.db2.gz PQOPHRXZDUBSKU-UHFFFAOYSA-N 0 0 437.453 -0.598 20 0 IBADRN COCCN1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000469703616 1074626989 /nfs/dbraw/zinc/62/69/89/1074626989.db2.gz VGVNVBLLJRGABE-DLBZAZTESA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000469703621 1074626978 /nfs/dbraw/zinc/62/69/78/1074626978.db2.gz VGVNVBLLJRGABE-IAGOWNOFSA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000469703623 1074626914 /nfs/dbraw/zinc/62/69/14/1074626914.db2.gz VGVNVBLLJRGABE-IRXDYDNUSA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000469703625 1074626984 /nfs/dbraw/zinc/62/69/84/1074626984.db2.gz VGVNVBLLJRGABE-SJORKVTESA-N 0 0 431.555 -0.638 20 0 IBADRN COc1ccc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1-n1cnnn1 ZINC000469710218 1074626948 /nfs/dbraw/zinc/62/69/48/1074626948.db2.gz LPZBEDGQLNTUBZ-UHFFFAOYSA-N 0 0 425.471 -0.557 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCc1cccc(C(=O)N(C)C)c1 ZINC000469715743 1074627009 /nfs/dbraw/zinc/62/70/09/1074627009.db2.gz FOKPSUHBYQCEJD-UHFFFAOYSA-N 0 0 426.539 -0.119 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@H](O)CC(F)(F)F)CC2)cc1 ZINC000469719194 1074627022 /nfs/dbraw/zinc/62/70/22/1074627022.db2.gz DAUQWMXHLCZNQG-LLVKDONJSA-N 0 0 431.458 -0.046 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H](O)CC(F)(F)F)CC2)cc1 ZINC000469719195 1074627488 /nfs/dbraw/zinc/62/74/88/1074627488.db2.gz DAUQWMXHLCZNQG-NSHDSACASA-N 0 0 431.458 -0.046 20 0 IBADRN COC(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000469719705 1074626881 /nfs/dbraw/zinc/62/68/81/1074626881.db2.gz GWWCPNLVTLQZEO-UHFFFAOYSA-N 0 0 436.494 -0.145 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(Cc3cccc(F)c3)C(=O)C2)o1 ZINC000469721067 1074627390 /nfs/dbraw/zinc/62/73/90/1074627390.db2.gz NLABYGOCOXALRO-UHFFFAOYSA-N 0 0 438.437 -0.333 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCN2C(=O)COc3ccccc32)o1 ZINC000469721812 1074627438 /nfs/dbraw/zinc/62/74/38/1074627438.db2.gz SHSMMQUTXROKMF-UHFFFAOYSA-N 0 0 436.446 -0.411 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(Cc2cccc(F)c2)C(=O)C1 ZINC000469722872 1074627339 /nfs/dbraw/zinc/62/73/39/1074627339.db2.gz ISZDUGYYRNMGPT-UHFFFAOYSA-N 0 0 442.513 -0.169 20 0 IBADRN CCC[C@@H](NC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000469725335 1074627350 /nfs/dbraw/zinc/62/73/50/1074627350.db2.gz MSWRZPBMBQLJAO-CYBMUJFWSA-N 0 0 439.494 -0.313 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000469726298 1074627424 /nfs/dbraw/zinc/62/74/24/1074627424.db2.gz SLSYXVHCZBLOCC-UHFFFAOYSA-N 0 0 440.482 -0.753 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(c3ncnc4ccsc43)CC2)c[nH]1 ZINC000469730057 1074627516 /nfs/dbraw/zinc/62/75/16/1074627516.db2.gz UXXPXNAYVJJHDC-UHFFFAOYSA-N 0 0 449.518 -0.255 20 0 IBADRN CC(=O)N1CC(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC000469730765 1074627498 /nfs/dbraw/zinc/62/74/98/1074627498.db2.gz DNBVYOYHSVFMBU-UHFFFAOYSA-N 0 0 436.534 -0.045 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)c[nH]1 ZINC000469731093 1074627478 /nfs/dbraw/zinc/62/74/78/1074627478.db2.gz JXCYEWAOQRPKKK-CYBMUJFWSA-N 0 0 449.489 -0.812 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)c[nH]1 ZINC000469731094 1074627507 /nfs/dbraw/zinc/62/75/07/1074627507.db2.gz JXCYEWAOQRPKKK-ZDUSSCGKSA-N 0 0 449.489 -0.812 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000469736119 1074627452 /nfs/dbraw/zinc/62/74/52/1074627452.db2.gz VZLBRFQLPBPHPI-UHFFFAOYSA-N 0 0 427.502 -0.384 20 0 IBADRN CNC(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000469736154 1074627493 /nfs/dbraw/zinc/62/74/93/1074627493.db2.gz KXLJXNUFSJLRIL-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN CCN(C(=O)CN1CCN(C(=O)NC)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000469736438 1074627379 /nfs/dbraw/zinc/62/73/79/1074627379.db2.gz LQBNZCSVMJRQOR-UHFFFAOYSA-N 0 0 443.508 -0.111 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000469736628 1074627363 /nfs/dbraw/zinc/62/73/63/1074627363.db2.gz MTVSDJICKPGOIO-UHFFFAOYSA-N 0 0 445.492 -0.245 20 0 IBADRN CNC(=O)N1CCN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000469736942 1074627467 /nfs/dbraw/zinc/62/74/67/1074627467.db2.gz YEXHJMXDIWWEPK-UHFFFAOYSA-N 0 0 439.538 -0.089 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC2CN(C(C)=O)C2)c1OC ZINC000469737382 1074627987 /nfs/dbraw/zinc/62/79/87/1074627987.db2.gz ZPZCVOWYAIPCSV-UHFFFAOYSA-N 0 0 427.479 -0.315 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000469737835 1074627937 /nfs/dbraw/zinc/62/79/37/1074627937.db2.gz TZRNLSZNXHVGPK-UHFFFAOYSA-N 0 0 427.502 -0.384 20 0 IBADRN CNC(=O)N1CCN(Cc2nc(=O)c3c(CC(=O)OC)c(C(=O)OC)sc3[nH]2)CC1 ZINC000469738268 1074627954 /nfs/dbraw/zinc/62/79/54/1074627954.db2.gz UEWDYNJCLHPLQS-UHFFFAOYSA-N 0 0 437.478 -0.056 20 0 IBADRN CNC(=O)N1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000469738405 1074627919 /nfs/dbraw/zinc/62/79/19/1074627919.db2.gz VPLUIBRXMBBPOK-UHFFFAOYSA-N 0 0 439.538 -0.719 20 0 IBADRN CNC(=O)N1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000469738613 1074627960 /nfs/dbraw/zinc/62/79/60/1074627960.db2.gz XFHBMFQJJYBMQR-UHFFFAOYSA-N 0 0 439.538 -0.719 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000469738705 1074627974 /nfs/dbraw/zinc/62/79/74/1074627974.db2.gz YTHQHIOIHLQZJM-GOSISDBHSA-N 0 0 431.493 -0.546 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000469738706 1074628008 /nfs/dbraw/zinc/62/80/08/1074628008.db2.gz YTHQHIOIHLQZJM-SFHVURJKSA-N 0 0 431.493 -0.546 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CC1 ZINC000469739446 1074628011 /nfs/dbraw/zinc/62/80/11/1074628011.db2.gz JJFYVUHRTVABPQ-UHFFFAOYSA-N 0 0 439.517 -0.877 20 0 IBADRN CC(=O)N1CC(NC(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)C1 ZINC000469740716 1074627967 /nfs/dbraw/zinc/62/79/67/1074627967.db2.gz CPKVPYUOWXOGTF-UHFFFAOYSA-N 0 0 434.474 -0.078 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)NC2CN(C(C)=O)C2)c(=O)[nH]c1=O ZINC000469741074 1074627894 /nfs/dbraw/zinc/62/78/94/1074627894.db2.gz HAVVBHRRZRUJTH-UHFFFAOYSA-N 0 0 438.485 -0.952 20 0 IBADRN CNC(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000469741364 1074627979 /nfs/dbraw/zinc/62/79/79/1074627979.db2.gz KVTMQAUDSWRYIF-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN CNC(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000469742143 1074627923 /nfs/dbraw/zinc/62/79/23/1074627923.db2.gz RKWGDNADWCEDQE-HNNXBMFYSA-N 0 0 439.538 -0.009 20 0 IBADRN CNC(=O)N1CCN([C@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000469742145 1074627930 /nfs/dbraw/zinc/62/79/30/1074627930.db2.gz RKWGDNADWCEDQE-OAHLLOKOSA-N 0 0 439.538 -0.009 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)CC1 ZINC000469743989 1074627946 /nfs/dbraw/zinc/62/79/46/1074627946.db2.gz XDDXZUWEQFGIPP-UHFFFAOYSA-N 0 0 449.577 -0.035 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469744131 1074627995 /nfs/dbraw/zinc/62/79/95/1074627995.db2.gz YHUKMFQWCFQUSG-HNNXBMFYSA-N 0 0 449.533 -0.016 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469744132 1074627903 /nfs/dbraw/zinc/62/79/03/1074627903.db2.gz YHUKMFQWCFQUSG-OAHLLOKOSA-N 0 0 449.533 -0.016 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)C1CCN(S(C)(=O)=O)CC1 ZINC000469744718 1074627915 /nfs/dbraw/zinc/62/79/15/1074627915.db2.gz IOQLCNQGUIKXPT-HNNXBMFYSA-N 0 0 440.588 -0.460 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)C1CCN(S(C)(=O)=O)CC1 ZINC000469744724 1074627886 /nfs/dbraw/zinc/62/78/86/1074627886.db2.gz IOQLCNQGUIKXPT-OAHLLOKOSA-N 0 0 440.588 -0.460 20 0 IBADRN CC(=O)N1CC(NC(=O)CC[C@H](NC(=O)c2ccccc2)C(=O)NC2CN(C(C)=O)C2)C1 ZINC000469745106 1074627992 /nfs/dbraw/zinc/62/79/92/1074627992.db2.gz OOGUAAWBDBITBP-IBGZPJMESA-N 0 0 443.504 -0.741 20 0 IBADRN CC(=O)N1CC(NC(=O)CC[C@@H](NC(=O)c2ccccc2)C(=O)NC2CN(C(C)=O)C2)C1 ZINC000469745108 1074627921 /nfs/dbraw/zinc/62/79/21/1074627921.db2.gz OOGUAAWBDBITBP-LJQANCHMSA-N 0 0 443.504 -0.741 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000469745195 1074628475 /nfs/dbraw/zinc/62/84/75/1074628475.db2.gz KYNWYUIBUVQUIW-UHFFFAOYSA-N 0 0 427.502 -0.384 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000469745671 1074628346 /nfs/dbraw/zinc/62/83/46/1074628346.db2.gz ROWRGSSXYDOOBS-UHFFFAOYSA-N 0 0 428.515 -0.919 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(C(=O)NC)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000469745936 1074628470 /nfs/dbraw/zinc/62/84/70/1074628470.db2.gz STZKPBDROAFOEL-UHFFFAOYSA-N 0 0 428.493 -0.200 20 0 IBADRN CNC(=O)N1CCN([C@@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000469746433 1074628381 /nfs/dbraw/zinc/62/83/81/1074628381.db2.gz WOSWVMWQTTXCIH-HNNXBMFYSA-N 0 0 439.538 -0.009 20 0 IBADRN CNC(=O)N1CCN([C@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000469746434 1074628391 /nfs/dbraw/zinc/62/83/91/1074628391.db2.gz WOSWVMWQTTXCIH-OAHLLOKOSA-N 0 0 439.538 -0.009 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3CCN(c4ccccn4)CC3)CC2)cn1 ZINC000469748056 1074628445 /nfs/dbraw/zinc/62/84/45/1074628445.db2.gz GYXQFEZKEIYEBX-UHFFFAOYSA-N 0 0 447.565 -0.093 20 0 IBADRN CNC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CC1 ZINC000469748987 1074628370 /nfs/dbraw/zinc/62/83/70/1074628370.db2.gz PSMMABAHJRIRRV-UHFFFAOYSA-N 0 0 439.538 -0.515 20 0 IBADRN CN(C(=O)NCCN1CCN(c2ncccn2)CC1)C1CCN(S(C)(=O)=O)CC1 ZINC000469754237 1074628511 /nfs/dbraw/zinc/62/85/11/1074628511.db2.gz XHCCUXZWTGYBEZ-UHFFFAOYSA-N 0 0 425.559 -0.336 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(C(=O)NC)CC2)c(=O)[nH]c1=O ZINC000469754605 1074628454 /nfs/dbraw/zinc/62/84/54/1074628454.db2.gz YZPLUWJXSUJJFG-UHFFFAOYSA-N 0 0 439.517 -0.732 20 0 IBADRN COC(=O)c1cccc(NC(=O)CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000469756894 1074628502 /nfs/dbraw/zinc/62/85/02/1074628502.db2.gz JIMAJDWISFWYBA-AWEZNQCLSA-N 0 0 426.495 -0.067 20 0 IBADRN COC(=O)c1cccc(NC(=O)CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000469756895 1074628406 /nfs/dbraw/zinc/62/84/06/1074628406.db2.gz JIMAJDWISFWYBA-CQSZACIVSA-N 0 0 426.495 -0.067 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)N1CCSCC1)C1CCN(S(C)(=O)=O)CC1 ZINC000469761463 1074628358 /nfs/dbraw/zinc/62/83/58/1074628358.db2.gz UOPBUZUIJJRNMU-UHFFFAOYSA-N 0 0 428.602 -0.570 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1cccc(C(=O)N2CCOCC2)c1 ZINC000469784208 1074628416 /nfs/dbraw/zinc/62/84/16/1074628416.db2.gz VUQAZMFLNJJWBD-AWEZNQCLSA-N 0 0 435.506 -0.124 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1cccc(C(=O)N2CCOCC2)c1 ZINC000469784209 1074628440 /nfs/dbraw/zinc/62/84/40/1074628440.db2.gz VUQAZMFLNJJWBD-CQSZACIVSA-N 0 0 435.506 -0.124 20 0 IBADRN CN(C(=O)NCCN1CCN(C(=O)C2CCC2)CC1)C1CCN(S(C)(=O)=O)CC1 ZINC000469795959 1074628461 /nfs/dbraw/zinc/62/84/61/1074628461.db2.gz CEOSEZHUSARKFA-UHFFFAOYSA-N 0 0 429.587 -0.004 20 0 IBADRN O=C(c1cc(N2CCNC(=O)C2)ccn1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000469803176 1072530577 /nfs/dbraw/zinc/53/05/77/1072530577.db2.gz ZTKKUHSYJMOIQB-INIZCTEOSA-N 0 0 437.522 -0.716 20 0 IBADRN O=C(c1cc(N2CCNC(=O)C2)ccn1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000469803177 1072530482 /nfs/dbraw/zinc/53/04/82/1072530482.db2.gz ZTKKUHSYJMOIQB-MRXNPFEDSA-N 0 0 437.522 -0.716 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc[nH]c(=O)c1Br)C2 ZINC000469807250 1072532738 /nfs/dbraw/zinc/53/27/38/1072532738.db2.gz CDLPATWCRFPANK-UHFFFAOYSA-N 0 0 425.243 -0.348 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)[C@@H](O)Cc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000469808334 1072533194 /nfs/dbraw/zinc/53/31/94/1072533194.db2.gz FZXUXAZAUZVQKY-MSOLQXFVSA-N 0 0 429.525 -0.295 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)[C@H](O)Cc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000469808339 1072533320 /nfs/dbraw/zinc/53/33/20/1072533320.db2.gz FZXUXAZAUZVQKY-QZTJIDSGSA-N 0 0 429.525 -0.295 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@@H](O)Cc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000469808340 1072533237 /nfs/dbraw/zinc/53/32/37/1072533237.db2.gz FZXUXAZAUZVQKY-ROUUACIJSA-N 0 0 429.525 -0.295 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@H](O)Cc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000469808341 1072533226 /nfs/dbraw/zinc/53/32/26/1072533226.db2.gz FZXUXAZAUZVQKY-ZWKOTPCHSA-N 0 0 429.525 -0.295 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cc1cccc(N3CCCC3=O)c1)C2 ZINC000469811524 1074628941 /nfs/dbraw/zinc/62/89/41/1074628941.db2.gz QPQPNSPNDIJCAD-UHFFFAOYSA-N 0 0 426.477 -0.155 20 0 IBADRN Cc1c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nnn1Cc1ccc(F)cc1 ZINC000469812651 1074628966 /nfs/dbraw/zinc/62/89/66/1074628966.db2.gz ZXNRCBGZVDLCFU-UHFFFAOYSA-N 0 0 442.455 -0.124 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000469820869 1074629082 /nfs/dbraw/zinc/62/90/82/1074629082.db2.gz MDWCLBSZTHRWRP-UHFFFAOYSA-N 0 0 449.533 -0.063 20 0 IBADRN COc1ccc(CCNC(=O)c2cc(N3CCNC(=O)C3)ccn2)cc1S(N)(=O)=O ZINC000469822348 1074629129 /nfs/dbraw/zinc/62/91/29/1074629129.db2.gz XOXWFUDOYCIRIZ-UHFFFAOYSA-N 0 0 433.490 -0.354 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469825944 1074629104 /nfs/dbraw/zinc/62/91/04/1074629104.db2.gz CGSZULITDBIWJA-UHFFFAOYSA-N 0 0 448.549 -0.328 20 0 IBADRN Cn1c(=O)c(C(=O)CN2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)c(N)n(Cc2ccccc2)c1=O ZINC000469838605 1074628927 /nfs/dbraw/zinc/62/89/27/1074628927.db2.gz NQGLGINPYHBRTF-DAYGRLMNSA-N 0 0 425.489 -0.054 20 0 IBADRN Cn1c(=O)c(C(=O)CN2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)c(N)n(Cc2ccccc2)c1=O ZINC000469838606 1074628950 /nfs/dbraw/zinc/62/89/50/1074628950.db2.gz NQGLGINPYHBRTF-HDMKZQKVSA-N 0 0 425.489 -0.054 20 0 IBADRN Cn1c(=O)c(C(=O)CN2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)c(N)n(Cc2ccccc2)c1=O ZINC000469838607 1074629125 /nfs/dbraw/zinc/62/91/25/1074629125.db2.gz NQGLGINPYHBRTF-MPGHIAIKSA-N 0 0 425.489 -0.054 20 0 IBADRN Cn1c(=O)c(C(=O)CN2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)c(N)n(Cc2ccccc2)c1=O ZINC000469838608 1074628982 /nfs/dbraw/zinc/62/89/82/1074628982.db2.gz NQGLGINPYHBRTF-RLFYNMQTSA-N 0 0 425.489 -0.054 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000469842124 1074629062 /nfs/dbraw/zinc/62/90/62/1074629062.db2.gz NEKACVHGZWWRFD-CYBMUJFWSA-N 0 0 439.490 -0.397 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000469842125 1074629101 /nfs/dbraw/zinc/62/91/01/1074629101.db2.gz NEKACVHGZWWRFD-ZDUSSCGKSA-N 0 0 439.490 -0.397 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000469842374 1074629118 /nfs/dbraw/zinc/62/91/18/1074629118.db2.gz NTYWAIAPOJBFJT-UHFFFAOYSA-N 0 0 445.523 -0.014 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@@H](C)CN2CCOCC2)s1 ZINC000469843815 1074629073 /nfs/dbraw/zinc/62/90/73/1074629073.db2.gz WDBZOXDXORTQQC-CYBMUJFWSA-N 0 0 432.568 -0.253 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@H](C)CN2CCOCC2)s1 ZINC000469843816 1074629016 /nfs/dbraw/zinc/62/90/16/1074629016.db2.gz WDBZOXDXORTQQC-ZDUSSCGKSA-N 0 0 432.568 -0.253 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1C ZINC000469847016 1074629692 /nfs/dbraw/zinc/62/96/92/1074629692.db2.gz RQGHIICWTJUVPA-UHFFFAOYSA-N 0 0 429.529 -0.469 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2nnn(Cc3noc(C)n3)c2C)C1 ZINC000469851527 1074629608 /nfs/dbraw/zinc/62/96/08/1074629608.db2.gz JBAUBMYWYFIAPZ-AWEZNQCLSA-N 0 0 441.514 -0.161 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2nnn(Cc3noc(C)n3)c2C)C1 ZINC000469851528 1074629652 /nfs/dbraw/zinc/62/96/52/1074629652.db2.gz JBAUBMYWYFIAPZ-CQSZACIVSA-N 0 0 441.514 -0.161 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)CC1 ZINC000469853879 1074629755 /nfs/dbraw/zinc/62/97/55/1074629755.db2.gz QBEZLTAQHIJDGO-UXLLHSPISA-N 0 0 439.538 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)CC1 ZINC000469853880 1074629723 /nfs/dbraw/zinc/62/97/23/1074629723.db2.gz QBEZLTAQHIJDGO-YQQAZPJKSA-N 0 0 439.538 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)CC1 ZINC000469853881 1074629513 /nfs/dbraw/zinc/62/95/13/1074629513.db2.gz QBEZLTAQHIJDGO-ZMSDIMECSA-N 0 0 439.538 -0.290 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)CC1 ZINC000469853882 1074629535 /nfs/dbraw/zinc/62/95/35/1074629535.db2.gz QBEZLTAQHIJDGO-ZOBUZTSGSA-N 0 0 439.538 -0.290 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@H]1CCCN(c2cccnn2)C1 ZINC000469864186 1074630079 /nfs/dbraw/zinc/63/00/79/1074630079.db2.gz UPYIPEVWPYRLFL-HNNXBMFYSA-N 0 0 426.543 -0.262 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@@H]1CCCN(c2cccnn2)C1 ZINC000469864187 1074630067 /nfs/dbraw/zinc/63/00/67/1074630067.db2.gz UPYIPEVWPYRLFL-OAHLLOKOSA-N 0 0 426.543 -0.262 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000469869595 1074630088 /nfs/dbraw/zinc/63/00/88/1074630088.db2.gz KFSIGLKKJJVZKH-UHFFFAOYSA-N 0 0 437.566 -0.581 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000469869749 1074630187 /nfs/dbraw/zinc/63/01/87/1074630187.db2.gz GCMCPGXFGVHZEH-UHFFFAOYSA-N 0 0 434.540 -0.028 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC000469870107 1074630044 /nfs/dbraw/zinc/63/00/44/1074630044.db2.gz IAKWBOVPVGRCMT-UHFFFAOYSA-N 0 0 437.566 -0.976 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000469870631 1074630124 /nfs/dbraw/zinc/63/01/24/1074630124.db2.gz KWIYTQGUSRISFL-UHFFFAOYSA-N 0 0 427.546 -0.359 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)CN1CCN(S(C)(=O)=O)CC1)CC2 ZINC000469871008 1074630141 /nfs/dbraw/zinc/63/01/41/1074630141.db2.gz QYVIHPZCXMGUDS-UHFFFAOYSA-N 0 0 430.552 -0.549 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CC1 ZINC000469871977 1074630199 /nfs/dbraw/zinc/63/01/99/1074630199.db2.gz PJYVYZYYLBAILZ-UHFFFAOYSA-N 0 0 449.577 -0.703 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000469872193 1074630269 /nfs/dbraw/zinc/63/02/69/1074630269.db2.gz OXBNQFLGTQHSBE-HDICACEKSA-N 0 0 443.614 -0.788 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000469872194 1074630228 /nfs/dbraw/zinc/63/02/28/1074630228.db2.gz OXBNQFLGTQHSBE-QZTJIDSGSA-N 0 0 443.614 -0.788 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000469872195 1074630238 /nfs/dbraw/zinc/63/02/38/1074630238.db2.gz OXBNQFLGTQHSBE-ROUUACIJSA-N 0 0 443.614 -0.788 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)nn1 ZINC000469872353 1074630263 /nfs/dbraw/zinc/63/02/63/1074630263.db2.gz BMVGLUWFJZYCCH-HNNXBMFYSA-N 0 0 426.543 -0.296 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)nn1 ZINC000469872354 1074630174 /nfs/dbraw/zinc/63/01/74/1074630174.db2.gz BMVGLUWFJZYCCH-OAHLLOKOSA-N 0 0 426.543 -0.296 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(C3CC3)nc3c1c(=O)[nH]n3C)C2 ZINC000469872452 1074630108 /nfs/dbraw/zinc/63/01/08/1074630108.db2.gz CFKRPISYMJSLKB-UHFFFAOYSA-N 0 0 440.464 -0.346 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)nc1 ZINC000469872805 1074630219 /nfs/dbraw/zinc/63/02/19/1074630219.db2.gz XOIVUWWBYFJUSN-UHFFFAOYSA-N 0 0 439.538 -0.516 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc2c1=O ZINC000469872975 1074630152 /nfs/dbraw/zinc/63/01/52/1074630152.db2.gz DYPQYOIMTKNSQV-UHFFFAOYSA-N 0 0 441.448 -0.776 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2cccc(OCCN3CCOCC3)c2)CC1 ZINC000469873785 1074630161 /nfs/dbraw/zinc/63/01/61/1074630161.db2.gz TYPXKHGFLUBWAM-UHFFFAOYSA-N 0 0 440.566 -0.409 20 0 IBADRN CN(Cc1ccccc1)C(=O)N1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000469874571 1074630697 /nfs/dbraw/zinc/63/06/97/1074630697.db2.gz WYMMDJONRCCNGM-UHFFFAOYSA-N 0 0 437.566 -0.040 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)[C@H](C)[C@H]2C)s1 ZINC000469875411 1074630688 /nfs/dbraw/zinc/63/06/88/1074630688.db2.gz KVXNPCBBKBAGRW-GHMZBOCLSA-N 0 0 437.565 -0.304 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)[C@@H](C)[C@H]2C)s1 ZINC000469875412 1074630781 /nfs/dbraw/zinc/63/07/81/1074630781.db2.gz KVXNPCBBKBAGRW-MNOVXSKESA-N 0 0 437.565 -0.304 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)[C@@H](C)[C@@H]2C)s1 ZINC000469875413 1074630767 /nfs/dbraw/zinc/63/07/67/1074630767.db2.gz KVXNPCBBKBAGRW-QWRGUYRKSA-N 0 0 437.565 -0.304 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)[C@H](C)[C@@H]2C)s1 ZINC000469875414 1074630652 /nfs/dbraw/zinc/63/06/52/1074630652.db2.gz KVXNPCBBKBAGRW-WDEREUQCSA-N 0 0 437.565 -0.304 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCN(c3ccc(F)c(F)c3)C1=O)C2 ZINC000469875823 1074630732 /nfs/dbraw/zinc/63/07/32/1074630732.db2.gz NHYPCQGXBVDWIE-CYBMUJFWSA-N 0 0 448.430 -0.194 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCN(c3ccc(F)c(F)c3)C1=O)C2 ZINC000469875835 1074630778 /nfs/dbraw/zinc/63/07/78/1074630778.db2.gz NHYPCQGXBVDWIE-ZDUSSCGKSA-N 0 0 448.430 -0.194 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000469875878 1074630720 /nfs/dbraw/zinc/63/07/20/1074630720.db2.gz NQPFAIGSCCKEDY-UHFFFAOYSA-N 0 0 442.480 -0.527 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469876440 1074630693 /nfs/dbraw/zinc/63/06/93/1074630693.db2.gz UZMHCZKDSAUHHW-AGIUHOORSA-N 0 0 429.520 -0.279 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469876441 1074630783 /nfs/dbraw/zinc/63/07/83/1074630783.db2.gz UZMHCZKDSAUHHW-FRRDWIJNSA-N 0 0 429.520 -0.279 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469876442 1074630664 /nfs/dbraw/zinc/63/06/64/1074630664.db2.gz UZMHCZKDSAUHHW-JHJVBQTASA-N 0 0 429.520 -0.279 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469876443 1074630786 /nfs/dbraw/zinc/63/07/86/1074630786.db2.gz UZMHCZKDSAUHHW-UPJWGTAASA-N 0 0 429.520 -0.279 20 0 IBADRN Cc1cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(S(N)(=O)=O)c1C ZINC000469877172 1074630773 /nfs/dbraw/zinc/63/07/73/1074630773.db2.gz ASSXCMBTYBIXER-UHFFFAOYSA-N 0 0 436.494 -0.947 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc3c(ncnc3N(C)C)n1C)C2 ZINC000469878376 1072604635 /nfs/dbraw/zinc/60/46/35/1072604635.db2.gz KERVXXAPOBKIII-UHFFFAOYSA-N 0 0 427.469 -0.863 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCCNC(=O)NC1CCCCC1)C2 ZINC000469879007 1074630674 /nfs/dbraw/zinc/63/06/74/1074630674.db2.gz DWCWOKUUOIEFFZ-UHFFFAOYSA-N 0 0 435.529 -0.113 20 0 IBADRN COC(=O)COc1c(C)cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1C ZINC000469879088 1074630758 /nfs/dbraw/zinc/63/07/58/1074630758.db2.gz HKSAVGCVZNSJRH-UHFFFAOYSA-N 0 0 445.476 -0.042 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(S(=O)(=O)NC3CC3)cc1)C2 ZINC000469879322 1074631237 /nfs/dbraw/zinc/63/12/37/1074631237.db2.gz NHKDSJVGPJDAIY-UHFFFAOYSA-N 0 0 448.505 -0.770 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](c1cccc(F)c1)N1CCOCC1)C2 ZINC000469879338 1074631298 /nfs/dbraw/zinc/63/12/98/1074631298.db2.gz JCMBOEAXVHJLON-IBGZPJMESA-N 0 0 446.483 -0.312 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](c1cccc(F)c1)N1CCOCC1)C2 ZINC000469879348 1074631279 /nfs/dbraw/zinc/63/12/79/1074631279.db2.gz JCMBOEAXVHJLON-LJQANCHMSA-N 0 0 446.483 -0.312 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1c[nH]nc1-c1ccc3c(c1)OCO3)C2 ZINC000469880361 1074631293 /nfs/dbraw/zinc/63/12/93/1074631293.db2.gz MRNUPYHEJGVENE-UHFFFAOYSA-N 0 0 439.432 -0.092 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000469880730 1074631264 /nfs/dbraw/zinc/63/12/64/1074631264.db2.gz RMABPBLPOWGMJQ-UHFFFAOYSA-N 0 0 442.480 -0.678 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CN(Cc3ccccc3)CCO1)C2 ZINC000469880937 1074631150 /nfs/dbraw/zinc/63/11/50/1074631150.db2.gz LCWCZIRFGJFUNH-KRWDZBQOSA-N 0 0 428.493 -0.624 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CN(Cc3ccccc3)CCO1)C2 ZINC000469880938 1074631286 /nfs/dbraw/zinc/63/12/86/1074631286.db2.gz LCWCZIRFGJFUNH-QGZVFWFLSA-N 0 0 428.493 -0.624 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)C2=O ZINC000469881004 1074631282 /nfs/dbraw/zinc/63/12/82/1074631282.db2.gz PGLNAEQTSWMINF-CYBMUJFWSA-N 0 0 440.460 -0.532 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)C2=O ZINC000469881005 1074631259 /nfs/dbraw/zinc/63/12/59/1074631259.db2.gz PGLNAEQTSWMINF-ZDUSSCGKSA-N 0 0 440.460 -0.532 20 0 IBADRN CC(C)NC(=O)NCc1ccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000469881603 1074631269 /nfs/dbraw/zinc/63/12/69/1074631269.db2.gz BIVFQUWDZQHRPY-UHFFFAOYSA-N 0 0 443.508 -0.003 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C2 ZINC000469881943 1074631187 /nfs/dbraw/zinc/63/11/87/1074631187.db2.gz BNPATSREOXFBJS-UHFFFAOYSA-N 0 0 436.494 -0.961 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c2C)cc1 ZINC000469882123 1074631193 /nfs/dbraw/zinc/63/11/93/1074631193.db2.gz WTJCNEDSYKIASG-UHFFFAOYSA-N 0 0 440.464 -0.313 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000469882455 1074631211 /nfs/dbraw/zinc/63/12/11/1074631211.db2.gz RUKHMHOKLNZJHK-UHFFFAOYSA-N 0 0 436.494 -0.947 20 0 IBADRN COc1ccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1N1CCNC1=O ZINC000469882583 1074631205 /nfs/dbraw/zinc/63/12/05/1074631205.db2.gz UMWDSMGAXDWDMA-UHFFFAOYSA-N 0 0 443.464 -0.673 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CNC(=O)N1CCc3ccccc3C1)C2 ZINC000469883169 1074631165 /nfs/dbraw/zinc/63/11/65/1074631165.db2.gz UNFUAEIQNSBOFG-UHFFFAOYSA-N 0 0 441.492 -0.757 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CNC(=O)c1ccc3c(c1)CCC3)C2 ZINC000469884099 1074631226 /nfs/dbraw/zinc/63/12/26/1074631226.db2.gz YRQQNDMCKBBSHC-UHFFFAOYSA-N 0 0 426.477 -0.606 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000469885976 1074631603 /nfs/dbraw/zinc/63/16/03/1074631603.db2.gz XIFLHWFINQIXLT-UHFFFAOYSA-N 0 0 436.494 -0.913 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCN1C(=O)c3ccccc3C1=O)C2 ZINC000469886123 1074631592 /nfs/dbraw/zinc/63/15/92/1074631592.db2.gz YOIFHKRTSTXIJX-UHFFFAOYSA-N 0 0 426.433 -0.839 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccccc1CN1CCOCC1)C2 ZINC000469886335 1074631701 /nfs/dbraw/zinc/63/17/01/1074631701.db2.gz AVQOMVPQLNUMKW-UHFFFAOYSA-N 0 0 428.493 -0.379 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(-n3cnnn3)cc1Cl)C2 ZINC000469886707 1074631767 /nfs/dbraw/zinc/63/17/67/1074631767.db2.gz DWMKIRHANONISS-UHFFFAOYSA-N 0 0 431.844 -0.582 20 0 IBADRN C[C@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000469887505 1074631761 /nfs/dbraw/zinc/63/17/61/1074631761.db2.gz IXKNQAHQMTXXGF-MCIONIFRSA-N 0 0 432.481 -0.961 20 0 IBADRN C[C@@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000469887506 1074631695 /nfs/dbraw/zinc/63/16/95/1074631695.db2.gz IXKNQAHQMTXXGF-MELADBBJSA-N 0 0 432.481 -0.961 20 0 IBADRN C[C@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000469887507 1074631757 /nfs/dbraw/zinc/63/17/57/1074631757.db2.gz IXKNQAHQMTXXGF-MGPQQGTHSA-N 0 0 432.481 -0.961 20 0 IBADRN C[C@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000469887508 1074631649 /nfs/dbraw/zinc/63/16/49/1074631649.db2.gz IXKNQAHQMTXXGF-RDBSUJKOSA-N 0 0 432.481 -0.961 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cn2)C[C@H](C)O1 ZINC000469887956 1074631718 /nfs/dbraw/zinc/63/17/18/1074631718.db2.gz GAAATZJTCPNYIV-GASCZTMLSA-N 0 0 443.508 -0.202 20 0 IBADRN C[C@H]1CN(c2ccc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cn2)C[C@H](C)O1 ZINC000469887957 1074631611 /nfs/dbraw/zinc/63/16/11/1074631611.db2.gz GAAATZJTCPNYIV-GJZGRUSLSA-N 0 0 443.508 -0.202 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cn2)C[C@@H](C)O1 ZINC000469887959 1074631707 /nfs/dbraw/zinc/63/17/07/1074631707.db2.gz GAAATZJTCPNYIV-HUUCEWRRSA-N 0 0 443.508 -0.202 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCN(c3ccc(F)cc3)C1=O)C2 ZINC000469888349 1074631712 /nfs/dbraw/zinc/63/17/12/1074631712.db2.gz HDWMTQFOILLHBF-HNNXBMFYSA-N 0 0 430.440 -0.333 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCN(c3ccc(F)cc3)C1=O)C2 ZINC000469888355 1074632149 /nfs/dbraw/zinc/63/21/49/1074632149.db2.gz HDWMTQFOILLHBF-OAHLLOKOSA-N 0 0 430.440 -0.333 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(N3CCCNC3=O)c1)C2 ZINC000469888465 1074632040 /nfs/dbraw/zinc/63/20/40/1074632040.db2.gz KIMYMQPYGROAOK-UHFFFAOYSA-N 0 0 427.465 -0.291 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(N3CCNC3=O)ccc1F)C2 ZINC000469888517 1074631659 /nfs/dbraw/zinc/63/16/59/1074631659.db2.gz LAHWHUQEBFNKHJ-UHFFFAOYSA-N 0 0 431.428 -0.542 20 0 IBADRN Cc1ccc(CCC(=O)NCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000469888597 1074631743 /nfs/dbraw/zinc/63/17/43/1074631743.db2.gz IJCVECAUNPEAMH-UHFFFAOYSA-N 0 0 428.493 -0.467 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CNC(=O)c1c(F)cccc1Cl)C2 ZINC000469888827 1074631737 /nfs/dbraw/zinc/63/17/37/1074631737.db2.gz JOHOIHAMNWOUEV-UHFFFAOYSA-N 0 0 438.847 -0.302 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CNC(=O)c1cc3c(s1)CCCC3)C2 ZINC000469888982 1074631671 /nfs/dbraw/zinc/63/16/71/1074631671.db2.gz KETPQTWJQJFNRO-UHFFFAOYSA-N 0 0 446.533 -0.155 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1c(Cl)cccc1S(C)(=O)=O)C2 ZINC000469888995 1074631620 /nfs/dbraw/zinc/63/16/20/1074631620.db2.gz KHVSUVCIXJXDIC-UHFFFAOYSA-N 0 0 441.897 -0.154 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000469889530 1074632044 /nfs/dbraw/zinc/63/20/44/1074632044.db2.gz PGOHWXQCLYKUIZ-KRWDZBQOSA-N 0 0 429.481 -0.675 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000469889531 1074632062 /nfs/dbraw/zinc/63/20/62/1074632062.db2.gz PGOHWXQCLYKUIZ-QGZVFWFLSA-N 0 0 429.481 -0.675 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)C2=O ZINC000469890510 1074632157 /nfs/dbraw/zinc/63/21/57/1074632157.db2.gz RWUAGOVBQNVXGC-UHFFFAOYSA-N 0 0 426.433 -0.920 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CS(=O)(=O)CCCc1ccccc1)C2 ZINC000469891191 1074632103 /nfs/dbraw/zinc/63/21/03/1074632103.db2.gz RCIXCKNOLQKIKI-UHFFFAOYSA-N 0 0 449.533 -0.477 20 0 IBADRN Cc1sc2ncn(CC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c(=O)c2c1C ZINC000469891512 1074632084 /nfs/dbraw/zinc/63/20/84/1074632084.db2.gz ZDXUEHSFPBVKJZ-UHFFFAOYSA-N 0 0 445.505 -0.436 20 0 IBADRN C[C@@H]1C(=O)Nc2cc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc2N1C ZINC000469891773 1074632075 /nfs/dbraw/zinc/63/20/75/1074632075.db2.gz UDHPDBMJMLYWCW-GFCCVEGCSA-N 0 0 427.465 -0.434 20 0 IBADRN C[C@H]1C(=O)Nc2cc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc2N1C ZINC000469891778 1074632095 /nfs/dbraw/zinc/63/20/95/1074632095.db2.gz UDHPDBMJMLYWCW-LBPRGKRZSA-N 0 0 427.465 -0.434 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C[C@@H]1Sc3ccccc3NC1=O)C2 ZINC000469892084 1074632051 /nfs/dbraw/zinc/63/20/51/1074632051.db2.gz ULIKWEABGSSMQF-AWEZNQCLSA-N 0 0 430.490 -0.022 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C[C@H]1Sc3ccccc3NC1=O)C2 ZINC000469892085 1074632132 /nfs/dbraw/zinc/63/21/32/1074632132.db2.gz ULIKWEABGSSMQF-CQSZACIVSA-N 0 0 430.490 -0.022 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(N3CCNC3=O)ccc1Cl)C2 ZINC000469892112 1074632168 /nfs/dbraw/zinc/63/21/68/1074632168.db2.gz UWITUFZBOKZKBR-UHFFFAOYSA-N 0 0 447.883 -0.028 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cccnc1)C2 ZINC000469892177 1074632122 /nfs/dbraw/zinc/63/21/22/1074632122.db2.gz VNHPHAHSPBVIBC-KBXCAEBGSA-N 0 0 427.465 -0.910 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(C)[C@H]1c1cccnc1)C2 ZINC000469892184 1074632176 /nfs/dbraw/zinc/63/21/76/1074632176.db2.gz VNHPHAHSPBVIBC-KDOFPFPSSA-N 0 0 427.465 -0.910 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(C)[C@H]1c1cccnc1)C2 ZINC000469892187 1072615904 /nfs/dbraw/zinc/61/59/04/1072615904.db2.gz VNHPHAHSPBVIBC-KSSFIOAISA-N 0 0 427.465 -0.910 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1cccnc1)C2 ZINC000469892190 1072616102 /nfs/dbraw/zinc/61/61/02/1072616102.db2.gz VNHPHAHSPBVIBC-RDTXWAMCSA-N 0 0 427.465 -0.910 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)COc1cccc(NC(=O)C3CC3)c1)C2 ZINC000469892277 1074632136 /nfs/dbraw/zinc/63/21/36/1074632136.db2.gz JAPFMIWHAZPCER-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN COCCCn1ccc(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)n1 ZINC000469892524 1073332696 /nfs/dbraw/zinc/33/26/96/1073332696.db2.gz VWAXYUKYZZLJGO-UHFFFAOYSA-N 0 0 429.524 0.534 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000469893086 1074632748 /nfs/dbraw/zinc/63/27/48/1074632748.db2.gz MGMJKGNKXSLWLB-GFCCVEGCSA-N 0 0 440.464 -0.830 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000469893087 1074632734 /nfs/dbraw/zinc/63/27/34/1074632734.db2.gz MGMJKGNKXSLWLB-LBPRGKRZSA-N 0 0 440.464 -0.830 20 0 IBADRN CCn1nc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(=O)c2ccccc21 ZINC000469893145 1074632110 /nfs/dbraw/zinc/63/21/10/1074632110.db2.gz ZBCCOMOOPUNGRB-UHFFFAOYSA-N 0 0 425.449 -0.481 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000469893224 1074632115 /nfs/dbraw/zinc/63/21/15/1074632115.db2.gz MTHWFGZWVZEAOC-UHFFFAOYSA-N 0 0 435.506 -0.029 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(Cl)c(N3CCNC3=O)c1)C2 ZINC000469893455 1074632731 /nfs/dbraw/zinc/63/27/31/1074632731.db2.gz PBYZMBQGMZDBEK-UHFFFAOYSA-N 0 0 447.883 -0.028 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](c1ccccc1)N1CCC(O)CC1)C2 ZINC000469894184 1074632685 /nfs/dbraw/zinc/63/26/85/1074632685.db2.gz ULWPZAFWKDGSNO-FQEVSTJZSA-N 0 0 442.520 -0.327 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](c1ccccc1)N1CCC(O)CC1)C2 ZINC000469894185 1074632740 /nfs/dbraw/zinc/63/27/40/1074632740.db2.gz ULWPZAFWKDGSNO-HXUWFJFHSA-N 0 0 442.520 -0.327 20 0 IBADRN COc1ccc(-n2ccc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)n2)cc1 ZINC000469894283 1074632714 /nfs/dbraw/zinc/63/27/14/1074632714.db2.gz UVSXXHRYHHNMJX-UHFFFAOYSA-N 0 0 425.449 -0.017 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(C(N)=O)n(-c3ccccc3)n1)C2 ZINC000469894837 1074632783 /nfs/dbraw/zinc/63/27/83/1074632783.db2.gz ZWXNPMRVDZJHFN-UHFFFAOYSA-N 0 0 438.448 -0.926 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2n[nH]c(CC(=O)OC)n2)cc1 ZINC000469895289 1074632662 /nfs/dbraw/zinc/63/26/62/1074632662.db2.gz AZYCNSVSRMXDOU-UHFFFAOYSA-N 0 0 425.423 -0.386 20 0 IBADRN Cc1nc(C)n(C[C@H]2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)n1 ZINC000469895581 1074632760 /nfs/dbraw/zinc/63/27/60/1074632760.db2.gz CKOBNTDUYHUMOF-INIZCTEOSA-N 0 0 428.559 -0.032 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)n1 ZINC000469895582 1074632698 /nfs/dbraw/zinc/63/26/98/1074632698.db2.gz CKOBNTDUYHUMOF-MRXNPFEDSA-N 0 0 428.559 -0.032 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC[C@H](O)C1CC1)c(=O)n2Cc1ccccc1 ZINC000469896527 1074632763 /nfs/dbraw/zinc/63/27/63/1074632763.db2.gz JGYHZAXQHRIWGR-KRWDZBQOSA-N 0 0 441.488 -0.059 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC[C@@H](O)C1CC1)c(=O)n2Cc1ccccc1 ZINC000469896529 1074632705 /nfs/dbraw/zinc/63/27/05/1074632705.db2.gz JGYHZAXQHRIWGR-QGZVFWFLSA-N 0 0 441.488 -0.059 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)CC1 ZINC000469898766 1073357214 /nfs/dbraw/zinc/35/72/14/1073357214.db2.gz QJMWEVLVXHHMRT-UHFFFAOYSA-N 0 0 447.565 -0.567 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2)cc1 ZINC000469898997 1072624397 /nfs/dbraw/zinc/62/43/97/1072624397.db2.gz GDOZRWDRLBYTKH-INIZCTEOSA-N 0 0 436.490 -0.142 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2)cc1 ZINC000469898998 1074632745 /nfs/dbraw/zinc/63/27/45/1074632745.db2.gz GDOZRWDRLBYTKH-MRXNPFEDSA-N 0 0 436.490 -0.142 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000469899282 1072623852 /nfs/dbraw/zinc/62/38/52/1072623852.db2.gz SDDNPZGKGOSPBV-UHFFFAOYSA-N 0 0 440.522 -0.178 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC2CCC(C(=O)N3CCSCC3)CC2)CC1 ZINC000469900777 1072629159 /nfs/dbraw/zinc/62/91/59/1072629159.db2.gz XLRKSJCEYWKDRI-UHFFFAOYSA-N 0 0 432.612 -0.186 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000469902610 1074633102 /nfs/dbraw/zinc/63/31/02/1074633102.db2.gz YWKKGBYENKTSND-KBPBESRZSA-N 0 0 432.506 -0.034 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000469902611 1074633162 /nfs/dbraw/zinc/63/31/62/1074633162.db2.gz YWKKGBYENKTSND-KGLIPLIRSA-N 0 0 432.506 -0.034 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000469902612 1074633036 /nfs/dbraw/zinc/63/30/36/1074633036.db2.gz YWKKGBYENKTSND-UONOGXRCSA-N 0 0 432.506 -0.034 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000469902613 1074633184 /nfs/dbraw/zinc/63/31/84/1074633184.db2.gz YWKKGBYENKTSND-ZIAGYGMSSA-N 0 0 432.506 -0.034 20 0 IBADRN Cc1nnc(CN(C)CC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)n1C ZINC000469902819 1074633197 /nfs/dbraw/zinc/63/31/97/1074633197.db2.gz VLNGOFCZSFCBDE-UHFFFAOYSA-N 0 0 449.581 -0.192 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2)cc1 ZINC000469903263 1074633187 /nfs/dbraw/zinc/63/31/87/1074633187.db2.gz BIFDUCYPDXGNBD-INIZCTEOSA-N 0 0 435.506 -0.936 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2)cc1 ZINC000469903264 1074633193 /nfs/dbraw/zinc/63/31/93/1074633193.db2.gz BIFDUCYPDXGNBD-MRXNPFEDSA-N 0 0 435.506 -0.936 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)C3CC3)CC2)s1 ZINC000469903632 1074633054 /nfs/dbraw/zinc/63/30/54/1074633054.db2.gz DSZRZEYQQUMBKI-UHFFFAOYSA-N 0 0 442.563 -0.214 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N(CCCN2CCOCC2)Cc2ccncc2)CC1 ZINC000469904548 1074633069 /nfs/dbraw/zinc/63/30/69/1074633069.db2.gz FQWXEIRVQCPHRN-UHFFFAOYSA-N 0 0 439.582 -0.290 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2=O)cc1 ZINC000469906743 1074633707 /nfs/dbraw/zinc/63/37/07/1074633707.db2.gz LQUKVXZJVFTXDW-HNNXBMFYSA-N 0 0 449.489 -0.682 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2=O)cc1 ZINC000469906744 1074633677 /nfs/dbraw/zinc/63/36/77/1074633677.db2.gz LQUKVXZJVFTXDW-OAHLLOKOSA-N 0 0 449.489 -0.682 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)c3ccc4[nH]cnc4c3)CC2)CC1 ZINC000469909659 1074633690 /nfs/dbraw/zinc/63/36/90/1074633690.db2.gz SYOFKCHOXXSRSF-UHFFFAOYSA-N 0 0 434.522 -0.576 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(CC(=O)C4CC4)CC3)CC2=O)c1 ZINC000469909663 1074633730 /nfs/dbraw/zinc/63/37/30/1074633730.db2.gz SSKJXDZDBMVBDP-HNNXBMFYSA-N 0 0 434.518 -0.190 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(CC(=O)C4CC4)CC3)CC2=O)c1 ZINC000469909664 1074633709 /nfs/dbraw/zinc/63/37/09/1074633709.db2.gz SSKJXDZDBMVBDP-OAHLLOKOSA-N 0 0 434.518 -0.190 20 0 IBADRN COc1cc(F)ccc1N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000469912003 1074633660 /nfs/dbraw/zinc/63/36/60/1074633660.db2.gz YWJAEEWNDLHGFN-UHFFFAOYSA-N 0 0 439.469 -0.112 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC[C@@H](O)C1)c(=O)n2Cc1ccccc1 ZINC000469915652 1074633681 /nfs/dbraw/zinc/63/36/81/1074633681.db2.gz HJJWBIUJMKKKLV-MRXNPFEDSA-N 0 0 427.461 -0.352 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CN2C(=O)NC(=O)C2(C)C)CC1 ZINC000469916771 1074633686 /nfs/dbraw/zinc/63/36/86/1074633686.db2.gz KUQRKALPWMLYRT-UHFFFAOYSA-N 0 0 437.522 -0.431 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000469918394 1074633704 /nfs/dbraw/zinc/63/37/04/1074633704.db2.gz UEGUQUIAFUQLEN-BBRMVZONSA-N 0 0 435.506 -0.314 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000469918396 1074633655 /nfs/dbraw/zinc/63/36/55/1074633655.db2.gz UEGUQUIAFUQLEN-CJNGLKHVSA-N 0 0 435.506 -0.314 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000469918398 1074633671 /nfs/dbraw/zinc/63/36/71/1074633671.db2.gz UEGUQUIAFUQLEN-CZUORRHYSA-N 0 0 435.506 -0.314 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000469918400 1074633649 /nfs/dbraw/zinc/63/36/49/1074633649.db2.gz UEGUQUIAFUQLEN-XJKSGUPXSA-N 0 0 435.506 -0.314 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(CN3CCCCC3=O)CC2)c[nH]1 ZINC000469920364 1074633638 /nfs/dbraw/zinc/63/36/38/1074633638.db2.gz ZEKDURFLQQXKKZ-UHFFFAOYSA-N 0 0 425.511 -0.357 20 0 IBADRN NC(=O)NC(=O)C1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000469924747 1074633720 /nfs/dbraw/zinc/63/37/20/1074633720.db2.gz FBVXOEYDFASLLV-UHFFFAOYSA-N 0 0 427.483 -0.481 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC1CCC(C(=O)NC(N)=O)CC1 ZINC000469929669 1074634235 /nfs/dbraw/zinc/63/42/35/1074634235.db2.gz OYHGUWGXOSBIFN-PKSQDBQZSA-N 0 0 428.515 -0.426 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC1CCC(C(=O)NC(N)=O)CC1 ZINC000469929671 1074634110 /nfs/dbraw/zinc/63/41/10/1074634110.db2.gz OYHGUWGXOSBIFN-QFWMXSHPSA-N 0 0 428.515 -0.426 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCc3cc(C(N)=O)no3)cc2)CC1 ZINC000469940718 1074634213 /nfs/dbraw/zinc/63/42/13/1074634213.db2.gz ZZKIOWRDKDQZPZ-UHFFFAOYSA-N 0 0 435.462 -0.444 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@@H](c2cc(C(N)=O)[nH]n2)C1 ZINC000469950731 1074634632 /nfs/dbraw/zinc/63/46/32/1074634632.db2.gz QAJMUNQQDPULIO-GFCCVEGCSA-N 0 0 428.515 -0.951 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H](c2cc(C(N)=O)[nH]n2)C1 ZINC000469950732 1074634663 /nfs/dbraw/zinc/63/46/63/1074634663.db2.gz QAJMUNQQDPULIO-LBPRGKRZSA-N 0 0 428.515 -0.951 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCc3cc(C(N)=O)no3)c2)CC1 ZINC000469953820 1074634626 /nfs/dbraw/zinc/63/46/26/1074634626.db2.gz QKLSGTMBWJZFOO-UHFFFAOYSA-N 0 0 435.462 -0.444 20 0 IBADRN CCOC(=O)c1csc(CCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)n1 ZINC000469954885 1074634577 /nfs/dbraw/zinc/63/45/77/1074634577.db2.gz XWVFCXUDPMVMPD-UHFFFAOYSA-N 0 0 434.478 -0.184 20 0 IBADRN CNc1cc(N2CCC[C@H]2CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)ncn1 ZINC000469957119 1074634674 /nfs/dbraw/zinc/63/46/74/1074634674.db2.gz RWXOCQKJFWEUGO-AWEZNQCLSA-N 0 0 441.558 -0.563 20 0 IBADRN CNc1cc(N2CCC[C@@H]2CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)ncn1 ZINC000469957120 1074634564 /nfs/dbraw/zinc/63/45/64/1074634564.db2.gz RWXOCQKJFWEUGO-CQSZACIVSA-N 0 0 441.558 -0.563 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3ccccn3)CC2)CC1)Nc1nncs1 ZINC000469957217 1074635198 /nfs/dbraw/zinc/63/51/98/1074635198.db2.gz MCEYQZVLKOTKAI-UHFFFAOYSA-N 0 0 430.538 -0.162 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469957683 1074635227 /nfs/dbraw/zinc/63/52/27/1074635227.db2.gz PJVRFDWEQUPYMV-KYOSRNDESA-N 0 0 425.463 -0.524 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469957684 1074635130 /nfs/dbraw/zinc/63/51/30/1074635130.db2.gz PJVRFDWEQUPYMV-LNSITVRQSA-N 0 0 425.463 -0.524 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469957685 1074635282 /nfs/dbraw/zinc/63/52/82/1074635282.db2.gz PJVRFDWEQUPYMV-WHOFXGATSA-N 0 0 425.463 -0.524 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000469957686 1074635286 /nfs/dbraw/zinc/63/52/86/1074635286.db2.gz PJVRFDWEQUPYMV-ZLDLUXBVSA-N 0 0 425.463 -0.524 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCNC(=O)c2cccc(F)c2)o1 ZINC000469960607 1074635577 /nfs/dbraw/zinc/63/55/77/1074635577.db2.gz AMCVUMPAEZCCGR-UHFFFAOYSA-N 0 0 426.426 -0.268 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2C[C@@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000469961812 1074635499 /nfs/dbraw/zinc/63/54/99/1074635499.db2.gz INCMYGGOLYMLKS-DZGCQCFKSA-N 0 0 428.463 -0.070 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2C[C@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000469961813 1074635565 /nfs/dbraw/zinc/63/55/65/1074635565.db2.gz INCMYGGOLYMLKS-HIFRSBDPSA-N 0 0 428.463 -0.070 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2C[C@H](OC)C[C@@H]2C(=O)OC)cc1 ZINC000469961814 1074635588 /nfs/dbraw/zinc/63/55/88/1074635588.db2.gz INCMYGGOLYMLKS-UKRRQHHQSA-N 0 0 428.463 -0.070 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)cc1 ZINC000469961815 1074635552 /nfs/dbraw/zinc/63/55/52/1074635552.db2.gz INCMYGGOLYMLKS-ZFWWWQNUSA-N 0 0 428.463 -0.070 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCNC(=O)c2cccc(F)c2)c[nH]1 ZINC000469962338 1074635524 /nfs/dbraw/zinc/63/55/24/1074635524.db2.gz CYWKTVKBOGJOBS-UHFFFAOYSA-N 0 0 425.442 -0.533 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)NCC(=O)NCCN1CCOCC1 ZINC000469963778 1074636093 /nfs/dbraw/zinc/63/60/93/1074636093.db2.gz QRANPBCSZZDBBP-UHFFFAOYSA-N 0 0 435.525 -0.832 20 0 IBADRN O=C(CC[S@@](=O)c1ccc2c(c1)OCCO2)NCC(=O)NCCN1CCOCC1 ZINC000469964066 1074636104 /nfs/dbraw/zinc/63/61/04/1074636104.db2.gz QAIGHYZXLIFOJS-GDLZYMKVSA-N 0 0 425.507 -0.480 20 0 IBADRN O=C(CC[S@](=O)c1ccc2c(c1)OCCO2)NCC(=O)NCCN1CCOCC1 ZINC000469964067 1074636040 /nfs/dbraw/zinc/63/60/40/1074636040.db2.gz QAIGHYZXLIFOJS-LJAQVGFWSA-N 0 0 425.507 -0.480 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000469964790 1074636107 /nfs/dbraw/zinc/63/61/07/1074636107.db2.gz MNSUNRWNUIPDGR-CYBMUJFWSA-N 0 0 436.556 -0.080 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000469964792 1074636046 /nfs/dbraw/zinc/63/60/46/1074636046.db2.gz MNSUNRWNUIPDGR-ZDUSSCGKSA-N 0 0 436.556 -0.080 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccn1)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000469964887 1074636073 /nfs/dbraw/zinc/63/60/73/1074636073.db2.gz SLTRWMXWRUTHCL-AWEZNQCLSA-N 0 0 428.449 -0.491 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000469964890 1074636084 /nfs/dbraw/zinc/63/60/84/1074636084.db2.gz SLTRWMXWRUTHCL-CQSZACIVSA-N 0 0 428.449 -0.491 20 0 IBADRN CN1CCO[C@@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@@H]3CN(C)CCO3)CC2)C1 ZINC000469965047 1074636091 /nfs/dbraw/zinc/63/60/91/1074636091.db2.gz HJULJUFSHQCDGH-MOPGFXCFSA-N 0 0 446.552 -0.992 20 0 IBADRN CN1CCO[C@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@@H]3CN(C)CCO3)CC2)C1 ZINC000469965052 1074636056 /nfs/dbraw/zinc/63/60/56/1074636056.db2.gz HJULJUFSHQCDGH-OALUTQOASA-N 0 0 446.552 -0.992 20 0 IBADRN CN1CCO[C@@H](C(=O)N2CCN(c3ncccc3CNC(=O)[C@@H]3CN(C)CCO3)CC2)C1 ZINC000469965053 1074636088 /nfs/dbraw/zinc/63/60/88/1074636088.db2.gz HJULJUFSHQCDGH-RBUKOAKNSA-N 0 0 446.552 -0.992 20 0 IBADRN CN1CCO[C@@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@H]3CN(C)CCO3)CC2)C1 ZINC000469965054 1074636101 /nfs/dbraw/zinc/63/61/01/1074636101.db2.gz HJULJUFSHQCDGH-RTBURBONSA-N 0 0 446.552 -0.992 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c1 ZINC000469968445 1074636118 /nfs/dbraw/zinc/63/61/18/1074636118.db2.gz BBBRMXUQZPGXLC-UHFFFAOYSA-N 0 0 441.539 -0.051 20 0 IBADRN O=C(NC1CCN(c2cccnn2)CC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000469969092 1074636122 /nfs/dbraw/zinc/63/61/22/1074636122.db2.gz JFWBRPWULXHRDW-UHFFFAOYSA-N 0 0 444.517 -0.004 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000469971397 1074636077 /nfs/dbraw/zinc/63/60/77/1074636077.db2.gz DLQOKFFWNSMSFP-UHFFFAOYSA-N 0 0 438.462 -0.204 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C[C@@H](CO)O1 ZINC000469978130 1074636574 /nfs/dbraw/zinc/63/65/74/1074636574.db2.gz DGYLISJZYXEYGL-KBPBESRZSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C[C@@H](CO)O1 ZINC000469978131 1074636580 /nfs/dbraw/zinc/63/65/80/1074636580.db2.gz DGYLISJZYXEYGL-KGLIPLIRSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C[C@H](CO)O1 ZINC000469978132 1074636376 /nfs/dbraw/zinc/63/63/76/1074636376.db2.gz DGYLISJZYXEYGL-UONOGXRCSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C[C@H](CO)O1 ZINC000469978133 1074636434 /nfs/dbraw/zinc/63/64/34/1074636434.db2.gz DGYLISJZYXEYGL-ZIAGYGMSSA-N 0 0 433.477 -0.121 20 0 IBADRN COCCN(C(=O)CN1C[C@H](C)O[C@H](CO)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000469978296 1074636014 /nfs/dbraw/zinc/63/60/14/1074636014.db2.gz GTECCEJIDVTJPR-KBPBESRZSA-N 0 0 427.502 -0.752 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](C)O[C@H](CO)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000469978297 1074636500 /nfs/dbraw/zinc/63/65/00/1074636500.db2.gz GTECCEJIDVTJPR-KGLIPLIRSA-N 0 0 427.502 -0.752 20 0 IBADRN COCCN(C(=O)CN1C[C@H](CO)O[C@@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000469978298 1074636549 /nfs/dbraw/zinc/63/65/49/1074636549.db2.gz GTECCEJIDVTJPR-UONOGXRCSA-N 0 0 427.502 -0.752 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](C)O[C@@H](CO)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000469978299 1074636426 /nfs/dbraw/zinc/63/64/26/1074636426.db2.gz GTECCEJIDVTJPR-ZIAGYGMSSA-N 0 0 427.502 -0.752 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)O[C@@H](C)C3)CC2)cc1 ZINC000469978618 1074636417 /nfs/dbraw/zinc/63/64/17/1074636417.db2.gz KSNCOHULHAYPNJ-DOTOQJQBSA-N 0 0 427.523 -0.390 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@@H](CO)C3)CC2)cc1 ZINC000469978619 1074636494 /nfs/dbraw/zinc/63/64/94/1074636494.db2.gz KSNCOHULHAYPNJ-NVXWUHKLSA-N 0 0 427.523 -0.390 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](C)O[C@H](CO)C3)CC2)cc1 ZINC000469978620 1074636359 /nfs/dbraw/zinc/63/63/59/1074636359.db2.gz KSNCOHULHAYPNJ-RDJZCZTQSA-N 0 0 427.523 -0.390 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@H](CO)C3)CC2)cc1 ZINC000469978621 1074636571 /nfs/dbraw/zinc/63/65/71/1074636571.db2.gz KSNCOHULHAYPNJ-WBVHZDCISA-N 0 0 427.523 -0.390 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCNC(=O)c2ccc(F)cc2)o1 ZINC000469980564 1074636400 /nfs/dbraw/zinc/63/64/00/1074636400.db2.gz GUCSKEBMRZLZHT-UHFFFAOYSA-N 0 0 426.426 -0.268 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)c[nH]1 ZINC000469982874 1074636529 /nfs/dbraw/zinc/63/65/29/1074636529.db2.gz NXGDLLCXZGKNBZ-INIZCTEOSA-N 0 0 449.533 -0.548 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)c[nH]1 ZINC000469982875 1074636444 /nfs/dbraw/zinc/63/64/44/1074636444.db2.gz NXGDLLCXZGKNBZ-MRXNPFEDSA-N 0 0 449.533 -0.548 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)CC2=O)c1 ZINC000469984517 1074636539 /nfs/dbraw/zinc/63/65/39/1074636539.db2.gz VRSCKNNZTVBYEU-GWCFXTLKSA-N 0 0 448.423 -0.034 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)CC2=O)c1 ZINC000469984518 1074636509 /nfs/dbraw/zinc/63/65/09/1074636509.db2.gz VRSCKNNZTVBYEU-GXFFZTMASA-N 0 0 448.423 -0.034 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)CC2=O)c1 ZINC000469984519 1074636525 /nfs/dbraw/zinc/63/65/25/1074636525.db2.gz VRSCKNNZTVBYEU-MFKMUULPSA-N 0 0 448.423 -0.034 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)CC2=O)c1 ZINC000469984520 1074636545 /nfs/dbraw/zinc/63/65/45/1074636545.db2.gz VRSCKNNZTVBYEU-ZWNOBZJWSA-N 0 0 448.423 -0.034 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCNC(=O)c2ccc(F)cc2)c[nH]1 ZINC000469985488 1074636901 /nfs/dbraw/zinc/63/69/01/1074636901.db2.gz XILGZYHYTDHLJV-UHFFFAOYSA-N 0 0 425.442 -0.533 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@H](C)O[C@H](CO)C2)c(=O)[nH]c1=O ZINC000469985497 1074636907 /nfs/dbraw/zinc/63/69/07/1074636907.db2.gz YHMYYUIUBNUGPR-KBPBESRZSA-N 0 0 427.502 -0.608 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@@H](C)O[C@H](CO)C2)c(=O)[nH]c1=O ZINC000469985502 1074636940 /nfs/dbraw/zinc/63/69/40/1074636940.db2.gz YHMYYUIUBNUGPR-KGLIPLIRSA-N 0 0 427.502 -0.608 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@H](CO)O[C@@H](C)C2)c(=O)[nH]c1=O ZINC000469985504 1074636925 /nfs/dbraw/zinc/63/69/25/1074636925.db2.gz YHMYYUIUBNUGPR-UONOGXRCSA-N 0 0 427.502 -0.608 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@@H](C)O[C@@H](CO)C2)c(=O)[nH]c1=O ZINC000469985505 1074636915 /nfs/dbraw/zinc/63/69/15/1074636915.db2.gz YHMYYUIUBNUGPR-ZIAGYGMSSA-N 0 0 427.502 -0.608 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000469986437 1074636927 /nfs/dbraw/zinc/63/69/27/1074636927.db2.gz ZJNHNLKCOPOACR-AAEUAGOBSA-N 0 0 433.508 -0.549 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000469986438 1074636938 /nfs/dbraw/zinc/63/69/38/1074636938.db2.gz ZJNHNLKCOPOACR-DGCLKSJQSA-N 0 0 433.508 -0.549 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000469986439 1074636897 /nfs/dbraw/zinc/63/68/97/1074636897.db2.gz ZJNHNLKCOPOACR-WCQYABFASA-N 0 0 433.508 -0.549 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000469986440 1074636911 /nfs/dbraw/zinc/63/69/11/1074636911.db2.gz ZJNHNLKCOPOACR-YPMHNXCESA-N 0 0 433.508 -0.549 20 0 IBADRN CCN1CCCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1=O ZINC000469986783 1074636944 /nfs/dbraw/zinc/63/69/44/1074636944.db2.gz FTTKQKYZZHJGDY-UHFFFAOYSA-N 0 0 427.527 -0.321 20 0 IBADRN CCN1CCCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1=O ZINC000469990523 1074636931 /nfs/dbraw/zinc/63/69/31/1074636931.db2.gz GXWINXSLVDIYIF-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN O=C(CN1CCN(c2ccccn2)CC1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000469991138 1074636885 /nfs/dbraw/zinc/63/68/85/1074636885.db2.gz NJCKJXWPIIEQKR-KRWDZBQOSA-N 0 0 425.555 -0.192 20 0 IBADRN O=C(CN1CCN(c2ccccn2)CC1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000469991142 1074636914 /nfs/dbraw/zinc/63/69/14/1074636914.db2.gz NJCKJXWPIIEQKR-QGZVFWFLSA-N 0 0 425.555 -0.192 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@@H](CO)C3)CC2)c1 ZINC000469991610 1074636919 /nfs/dbraw/zinc/63/69/19/1074636919.db2.gz MVDFKQNAKCQQAV-CRAIPNDOSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)O[C@@H](C)C3)CC2)c1 ZINC000469991611 1074637496 /nfs/dbraw/zinc/63/74/96/1074637496.db2.gz MVDFKQNAKCQQAV-MAUKXSAKSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@H](CO)C3)CC2)c1 ZINC000469991612 1074637483 /nfs/dbraw/zinc/63/74/83/1074637483.db2.gz MVDFKQNAKCQQAV-QAPCUYQASA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](C)O[C@H](CO)C3)CC2)c1 ZINC000469991613 1074637535 /nfs/dbraw/zinc/63/75/35/1074637535.db2.gz MVDFKQNAKCQQAV-YJBOKZPZSA-N 0 0 439.534 -0.196 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@H](C(N)=O)C3)CC2)cc1 ZINC000469993924 1074637465 /nfs/dbraw/zinc/63/74/65/1074637465.db2.gz RMAFKKNHTDVZPY-PBHICJAKSA-N 0 0 440.522 -0.897 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@@H](C(N)=O)C3)CC2)cc1 ZINC000469993925 1074637527 /nfs/dbraw/zinc/63/75/27/1074637527.db2.gz RMAFKKNHTDVZPY-RHSMWYFYSA-N 0 0 440.522 -0.897 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@@H](CO)C3)CC2)cc1 ZINC000469994634 1074637537 /nfs/dbraw/zinc/63/75/37/1074637537.db2.gz ZRJMGDXLCSUPKE-CRAIPNDOSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)O[C@@H](C)C3)CC2)cc1 ZINC000469994635 1074637533 /nfs/dbraw/zinc/63/75/33/1074637533.db2.gz ZRJMGDXLCSUPKE-MAUKXSAKSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@H](CO)C3)CC2)cc1 ZINC000469994636 1074637506 /nfs/dbraw/zinc/63/75/06/1074637506.db2.gz ZRJMGDXLCSUPKE-QAPCUYQASA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](C)O[C@H](CO)C3)CC2)cc1 ZINC000469994637 1074637514 /nfs/dbraw/zinc/63/75/14/1074637514.db2.gz ZRJMGDXLCSUPKE-YJBOKZPZSA-N 0 0 439.534 -0.196 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C[C@@H](C(N)=O)O1 ZINC000469994688 1074637517 /nfs/dbraw/zinc/63/75/17/1074637517.db2.gz UORLHYJUBRKHBY-CJNGLKHVSA-N 0 0 444.941 -0.253 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C[C@H](C(N)=O)O1 ZINC000469994689 1074637539 /nfs/dbraw/zinc/63/75/39/1074637539.db2.gz UORLHYJUBRKHBY-CZUORRHYSA-N 0 0 444.941 -0.253 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](CO)O1 ZINC000469997287 1074637524 /nfs/dbraw/zinc/63/75/24/1074637524.db2.gz XOCJPXABJQHJNT-DOTOQJQBSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](CO)O1 ZINC000469997288 1074637500 /nfs/dbraw/zinc/63/75/00/1074637500.db2.gz XOCJPXABJQHJNT-NVXWUHKLSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](CO)O1 ZINC000469997289 1074638005 /nfs/dbraw/zinc/63/80/05/1074638005.db2.gz XOCJPXABJQHJNT-RDJZCZTQSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](CO)O1 ZINC000469997290 1074638033 /nfs/dbraw/zinc/63/80/33/1074638033.db2.gz XOCJPXABJQHJNT-WBVHZDCISA-N 0 0 427.523 -0.595 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H](C)O[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000469998213 1074637910 /nfs/dbraw/zinc/63/79/10/1074637910.db2.gz DSPNQNNNVFFDTG-GDBMZVCRSA-N 0 0 443.522 -0.264 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@H](CO)O[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000469998214 1074638011 /nfs/dbraw/zinc/63/80/11/1074638011.db2.gz DSPNQNNNVFFDTG-GOEBONIOSA-N 0 0 443.522 -0.264 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@H](C)O[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000469998215 1074637984 /nfs/dbraw/zinc/63/79/84/1074637984.db2.gz DSPNQNNNVFFDTG-HOCLYGCPSA-N 0 0 443.522 -0.264 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H](C)O[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000469998216 1074637988 /nfs/dbraw/zinc/63/79/88/1074637988.db2.gz DSPNQNNNVFFDTG-ZBFHGGJFSA-N 0 0 443.522 -0.264 20 0 IBADRN CCN1CCCN(CC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CC1=O ZINC000469999770 1074637997 /nfs/dbraw/zinc/63/79/97/1074637997.db2.gz CEXGPQCOFNPTPW-UHFFFAOYSA-N 0 0 438.529 -0.279 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(c3cnn(C)c3)CC2)c1 ZINC000470001546 1074637955 /nfs/dbraw/zinc/63/79/55/1074637955.db2.gz XPNIMOULTSALJP-UHFFFAOYSA-N 0 0 436.538 -0.039 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@H](CO)C3)CC2)cc1 ZINC000470002084 1074638038 /nfs/dbraw/zinc/63/80/38/1074638038.db2.gz FSZKPYCNZWAPNZ-AEFFLSMTSA-N 0 0 441.550 0.000 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)O[C@@H](C)C3)CC2)cc1 ZINC000470002085 1074637886 /nfs/dbraw/zinc/63/78/86/1074637886.db2.gz FSZKPYCNZWAPNZ-FUHWJXTLSA-N 0 0 441.550 0.000 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@@H](CO)C3)CC2)cc1 ZINC000470002086 1074638031 /nfs/dbraw/zinc/63/80/31/1074638031.db2.gz FSZKPYCNZWAPNZ-SJLPKXTDSA-N 0 0 441.550 0.000 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](C)O[C@H](CO)C3)CC2)cc1 ZINC000470002087 1074637991 /nfs/dbraw/zinc/63/79/91/1074637991.db2.gz FSZKPYCNZWAPNZ-WMZOPIPTSA-N 0 0 441.550 0.000 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3C[C@@H](C)O[C@@H](C(N)=O)C3)CC2)cc1 ZINC000470003745 1074637938 /nfs/dbraw/zinc/63/79/38/1074637938.db2.gz TVDULPYBEZWZMT-JFIYKMOQSA-N 0 0 438.550 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3C[C@@H](C)O[C@H](C(N)=O)C3)CC2)cc1 ZINC000470003746 1074637848 /nfs/dbraw/zinc/63/78/48/1074637848.db2.gz TVDULPYBEZWZMT-NUJGCVRESA-N 0 0 438.550 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3C[C@@H](C)O[C@H](C(N)=O)C3)CC2)cc1 ZINC000470003747 1074637978 /nfs/dbraw/zinc/63/79/78/1074637978.db2.gz TVDULPYBEZWZMT-RYRKJORJSA-N 0 0 438.550 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3C[C@@H](C)O[C@@H](C(N)=O)C3)CC2)cc1 ZINC000470003748 1074637966 /nfs/dbraw/zinc/63/79/66/1074637966.db2.gz TVDULPYBEZWZMT-SOLBZPMBSA-N 0 0 438.550 -0.209 20 0 IBADRN CCCCN(C(=O)CN1C[C@@H](C)O[C@H](C(N)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000470006842 1074638403 /nfs/dbraw/zinc/63/84/03/1074638403.db2.gz VJWISGBYLOLOTL-KGLIPLIRSA-N 0 0 438.529 -0.105 20 0 IBADRN CCCCN(C(=O)CN1C[C@@H](C)O[C@@H](C(N)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000470006843 1074638374 /nfs/dbraw/zinc/63/83/74/1074638374.db2.gz VJWISGBYLOLOTL-ZIAGYGMSSA-N 0 0 438.529 -0.105 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCCN(CC)C(=O)C2)c(=O)[nH]c1=O ZINC000470006868 1074638353 /nfs/dbraw/zinc/63/83/53/1074638353.db2.gz VSIINJKSBOCICL-UHFFFAOYSA-N 0 0 438.529 -0.135 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C[C@@H](C(N)=O)O1 ZINC000470006923 1074637923 /nfs/dbraw/zinc/63/79/23/1074637923.db2.gz WGKYHMKTUVMPIS-FHLIZLRMSA-N 0 0 432.477 -0.928 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C[C@@H](C(N)=O)O1 ZINC000470006924 1074638015 /nfs/dbraw/zinc/63/80/15/1074638015.db2.gz WGKYHMKTUVMPIS-JLSDUUJJSA-N 0 0 432.477 -0.928 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C[C@H](C(N)=O)O1 ZINC000470006925 1074638026 /nfs/dbraw/zinc/63/80/26/1074638026.db2.gz WGKYHMKTUVMPIS-OLMNPRSZSA-N 0 0 432.477 -0.928 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C[C@H](C(N)=O)O1 ZINC000470006926 1074638020 /nfs/dbraw/zinc/63/80/20/1074638020.db2.gz WGKYHMKTUVMPIS-ZTFGCOKTSA-N 0 0 432.477 -0.928 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2C[C@@H](C)O[C@H](CO)C2)c(=O)[nH]c1=O ZINC000470006976 1074638368 /nfs/dbraw/zinc/63/83/68/1074638368.db2.gz WWFZNIGVLIJDPB-ILXRZTDVSA-N 0 0 441.529 -0.219 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2C[C@H](C)O[C@H](CO)C2)c(=O)[nH]c1=O ZINC000470006977 1074638454 /nfs/dbraw/zinc/63/84/54/1074638454.db2.gz WWFZNIGVLIJDPB-KKUMJFAQSA-N 0 0 441.529 -0.219 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2C[C@@H](C)O[C@@H](CO)C2)c(=O)[nH]c1=O ZINC000470006978 1074638399 /nfs/dbraw/zinc/63/83/99/1074638399.db2.gz WWFZNIGVLIJDPB-QLFBSQMISA-N 0 0 441.529 -0.219 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2C[C@H](CO)O[C@@H](C)C2)c(=O)[nH]c1=O ZINC000470006979 1074638445 /nfs/dbraw/zinc/63/84/45/1074638445.db2.gz WWFZNIGVLIJDPB-SOUVJXGZSA-N 0 0 441.529 -0.219 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C[C@H](CO)O1 ZINC000470008611 1074638468 /nfs/dbraw/zinc/63/84/68/1074638468.db2.gz JSVVGBCPRLGHSO-DOTOQJQBSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C[C@H](CO)O1 ZINC000470008612 1074638383 /nfs/dbraw/zinc/63/83/83/1074638383.db2.gz JSVVGBCPRLGHSO-NVXWUHKLSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C[C@@H](CO)O1 ZINC000470008613 1074638441 /nfs/dbraw/zinc/63/84/41/1074638441.db2.gz JSVVGBCPRLGHSO-RDJZCZTQSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C[C@@H](CO)O1 ZINC000470008614 1074638394 /nfs/dbraw/zinc/63/83/94/1074638394.db2.gz JSVVGBCPRLGHSO-WBVHZDCISA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@@H](C(N)=O)O1 ZINC000470009580 1074638449 /nfs/dbraw/zinc/63/84/49/1074638449.db2.gz QAZZNWMCAYRPBC-CJNGLKHVSA-N 0 0 426.495 -0.779 20 0 IBADRN C[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@H](C(N)=O)O1 ZINC000470009581 1074638412 /nfs/dbraw/zinc/63/84/12/1074638412.db2.gz QAZZNWMCAYRPBC-CZUORRHYSA-N 0 0 426.495 -0.779 20 0 IBADRN CCN1CCCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000470010289 1074638429 /nfs/dbraw/zinc/63/84/29/1074638429.db2.gz YKBUWUKMQMQSMT-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2C[C@@H](C)O[C@H](C(N)=O)C2)CC1 ZINC000470010443 1074638331 /nfs/dbraw/zinc/63/83/31/1074638331.db2.gz ZDQSXLBWMDDKAM-APWZRJJASA-N 0 0 431.537 -0.094 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2C[C@@H](C)O[C@@H](C(N)=O)C2)CC1 ZINC000470010444 1074638882 /nfs/dbraw/zinc/63/88/82/1074638882.db2.gz ZDQSXLBWMDDKAM-VQIMIIECSA-N 0 0 431.537 -0.094 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000470010765 1074638342 /nfs/dbraw/zinc/63/83/42/1074638342.db2.gz ZSGPPKQMDCRGLA-CKEIUWERSA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000470010766 1074638425 /nfs/dbraw/zinc/63/84/25/1074638425.db2.gz ZSGPPKQMDCRGLA-CPUCHLNUSA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000470010767 1074638420 /nfs/dbraw/zinc/63/84/20/1074638420.db2.gz ZSGPPKQMDCRGLA-JKIFEVAISA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000470010768 1074638438 /nfs/dbraw/zinc/63/84/38/1074638438.db2.gz ZSGPPKQMDCRGLA-KEYYUXOJSA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](C(N)=O)O1 ZINC000470012628 1074638810 /nfs/dbraw/zinc/63/88/10/1074638810.db2.gz RNDJVXIQGJFPTC-CJNGLKHVSA-N 0 0 426.495 -0.779 20 0 IBADRN C[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](C(N)=O)O1 ZINC000470012629 1074638839 /nfs/dbraw/zinc/63/88/39/1074638839.db2.gz RNDJVXIQGJFPTC-CZUORRHYSA-N 0 0 426.495 -0.779 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2C[C@@H](C)O[C@H](C(N)=O)C2)CC1 ZINC000470013037 1074638901 /nfs/dbraw/zinc/63/89/01/1074638901.db2.gz UHVNTKGLLNUYEL-MSOLQXFVSA-N 0 0 431.537 -0.039 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2C[C@@H](C)O[C@@H](C(N)=O)C2)CC1 ZINC000470013038 1074638892 /nfs/dbraw/zinc/63/88/92/1074638892.db2.gz UHVNTKGLLNUYEL-QZTJIDSGSA-N 0 0 431.537 -0.039 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000470015562 1074638791 /nfs/dbraw/zinc/63/87/91/1074638791.db2.gz AXIACXABGLHMOH-CKEIUWERSA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000470015563 1074638916 /nfs/dbraw/zinc/63/89/16/1074638916.db2.gz AXIACXABGLHMOH-CPUCHLNUSA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000470015564 1074638927 /nfs/dbraw/zinc/63/89/27/1074638927.db2.gz AXIACXABGLHMOH-JKIFEVAISA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000470015565 1074638879 /nfs/dbraw/zinc/63/88/79/1074638879.db2.gz AXIACXABGLHMOH-KEYYUXOJSA-N 0 0 440.522 -0.391 20 0 IBADRN Cc1ccc(NC(=O)CN2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000470016104 1074638887 /nfs/dbraw/zinc/63/88/87/1074638887.db2.gz RVKBYWBBGZBMOY-GDBMZVCRSA-N 0 0 440.522 -0.471 20 0 IBADRN Cc1ccc(NC(=O)CN2C[C@@H](C)O[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000470016107 1074638831 /nfs/dbraw/zinc/63/88/31/1074638831.db2.gz RVKBYWBBGZBMOY-ZBFHGGJFSA-N 0 0 440.522 -0.471 20 0 IBADRN CCN(C(=O)CN1C[C@@H](C)O[C@H](C(N)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000470016829 1074638935 /nfs/dbraw/zinc/63/89/35/1074638935.db2.gz WDRBLQQTAMTNIZ-HIFRSBDPSA-N 0 0 444.492 -0.493 20 0 IBADRN CCN(C(=O)CN1C[C@@H](C)O[C@@H](C(N)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000470016830 1074638821 /nfs/dbraw/zinc/63/88/21/1074638821.db2.gz WDRBLQQTAMTNIZ-UKRRQHHQSA-N 0 0 444.492 -0.493 20 0 IBADRN CC(C)CN(C(=O)CN1C[C@@H](C)O[C@H](C(N)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000470017123 1074638845 /nfs/dbraw/zinc/63/88/45/1074638845.db2.gz WVNAAZBMQCAPRI-KGLIPLIRSA-N 0 0 438.529 -0.249 20 0 IBADRN CC(C)CN(C(=O)CN1C[C@@H](C)O[C@@H](C(N)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000470017124 1074638863 /nfs/dbraw/zinc/63/88/63/1074638863.db2.gz WVNAAZBMQCAPRI-ZIAGYGMSSA-N 0 0 438.529 -0.249 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000470017720 1074638871 /nfs/dbraw/zinc/63/88/71/1074638871.db2.gz ZMYBHMSXZUZXFC-BFQNTYOBSA-N 0 0 428.511 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000470017721 1074638799 /nfs/dbraw/zinc/63/87/99/1074638799.db2.gz ZMYBHMSXZUZXFC-HSMVNMDESA-N 0 0 428.511 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000470017722 1074638920 /nfs/dbraw/zinc/63/89/20/1074638920.db2.gz ZMYBHMSXZUZXFC-WQGACYEGSA-N 0 0 428.511 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000470017723 1074639380 /nfs/dbraw/zinc/63/93/80/1074639380.db2.gz ZMYBHMSXZUZXFC-XHBSWPGZSA-N 0 0 428.511 -0.153 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2)cn1 ZINC000470019207 1074639548 /nfs/dbraw/zinc/63/95/48/1074639548.db2.gz DKQPOQAGRSEOEB-UHFFFAOYSA-N 0 0 432.506 -0.497 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)cn1 ZINC000470019520 1074639516 /nfs/dbraw/zinc/63/95/16/1074639516.db2.gz GBUOIYMFHGUVML-UHFFFAOYSA-N 0 0 432.506 -0.497 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(c3cnn(C)c3)CC2)c1 ZINC000470020264 1074639385 /nfs/dbraw/zinc/63/93/85/1074639385.db2.gz YPCTWTWKXVEFSC-UHFFFAOYSA-N 0 0 434.522 -0.251 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C[C@@H](C(N)=O)O1 ZINC000470020295 1074639396 /nfs/dbraw/zinc/63/93/96/1074639396.db2.gz XLYSZJNPZWZISW-CJNGLKHVSA-N 0 0 428.486 -0.767 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C[C@H](C(N)=O)O1 ZINC000470020296 1074639501 /nfs/dbraw/zinc/63/95/01/1074639501.db2.gz XLYSZJNPZWZISW-CZUORRHYSA-N 0 0 428.486 -0.767 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C[C@@H](C(N)=O)O1 ZINC000470020479 1074639510 /nfs/dbraw/zinc/63/95/10/1074639510.db2.gz INJVSKZWXGPQRB-HIFRSBDPSA-N 0 0 428.486 -0.767 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C[C@H](C(N)=O)O1 ZINC000470020480 1074639366 /nfs/dbraw/zinc/63/93/66/1074639366.db2.gz INJVSKZWXGPQRB-UKRRQHHQSA-N 0 0 428.486 -0.767 20 0 IBADRN CCn1c(=O)c(C(=O)CN2C[C@@H](C)O[C@H](C(N)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000470023217 1074639481 /nfs/dbraw/zinc/63/94/81/1074639481.db2.gz XUPOOJPDALFPKW-CJNGLKHVSA-N 0 0 429.477 -0.582 20 0 IBADRN CCn1c(=O)c(C(=O)CN2C[C@@H](C)O[C@@H](C(N)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000470023218 1074639524 /nfs/dbraw/zinc/63/95/24/1074639524.db2.gz XUPOOJPDALFPKW-CZUORRHYSA-N 0 0 429.477 -0.582 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C[C@@H](C(N)=O)O1 ZINC000470024721 1074639417 /nfs/dbraw/zinc/63/94/17/1074639417.db2.gz OSHAGCYYWBDPCE-CJNGLKHVSA-N 0 0 428.486 -0.767 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C[C@H](C(N)=O)O1 ZINC000470024722 1074639495 /nfs/dbraw/zinc/63/94/95/1074639495.db2.gz OSHAGCYYWBDPCE-CZUORRHYSA-N 0 0 428.486 -0.767 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3C[C@@H](C)O[C@@H](C(N)=O)C3)nc(=O)c12 ZINC000470028780 1074639553 /nfs/dbraw/zinc/63/95/53/1074639553.db2.gz KYGZMXQGGWSJSG-PSASIEDQSA-N 0 0 438.462 -0.439 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3C[C@@H](C)O[C@H](C(N)=O)C3)nc(=O)c12 ZINC000470028781 1074639530 /nfs/dbraw/zinc/63/95/30/1074639530.db2.gz KYGZMXQGGWSJSG-SCZZXKLOSA-N 0 0 438.462 -0.439 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C[C@@H](C(N)=O)O1 ZINC000470030960 1074639476 /nfs/dbraw/zinc/63/94/76/1074639476.db2.gz SEELNXOAWWGOPS-DOMZBBRYSA-N 0 0 446.476 -0.628 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C[C@H](C(N)=O)O1 ZINC000470030961 1074639350 /nfs/dbraw/zinc/63/93/50/1074639350.db2.gz SEELNXOAWWGOPS-IUODEOHRSA-N 0 0 446.476 -0.628 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@H](C(N)=O)C3)CC2)cc1C ZINC000470035294 1074639428 /nfs/dbraw/zinc/63/94/28/1074639428.db2.gz ZOZIPTXYVDGHPA-AEFFLSMTSA-N 0 0 438.550 -0.289 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](C)O[C@@H](C(N)=O)C3)CC2)cc1C ZINC000470035295 1074639401 /nfs/dbraw/zinc/63/94/01/1074639401.db2.gz ZOZIPTXYVDGHPA-SJLPKXTDSA-N 0 0 438.550 -0.289 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C[C@H](C(N)=O)O1 ZINC000470040212 1074639463 /nfs/dbraw/zinc/63/94/63/1074639463.db2.gz ANEVLJRRBJYXOP-FRFSOERESA-N 0 0 440.522 -0.072 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C[C@@H](C(N)=O)O1 ZINC000470040213 1074640062 /nfs/dbraw/zinc/64/00/62/1074640062.db2.gz ANEVLJRRBJYXOP-KMFMINBZSA-N 0 0 440.522 -0.072 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C[C@H](C(N)=O)O1 ZINC000470040214 1074639833 /nfs/dbraw/zinc/63/98/33/1074639833.db2.gz ANEVLJRRBJYXOP-UKPHBRMFSA-N 0 0 440.522 -0.072 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C[C@@H](C(N)=O)O1 ZINC000470040215 1074639845 /nfs/dbraw/zinc/63/98/45/1074639845.db2.gz ANEVLJRRBJYXOP-UNEWFSDZSA-N 0 0 440.522 -0.072 20 0 IBADRN O=C(C(=O)N1CCN(c2cc(S(=O)(=O)N3CCCC3)ccn2)CC1)N1CCOCC1 ZINC000470045209 1074639922 /nfs/dbraw/zinc/63/99/22/1074639922.db2.gz MCBYHKQMAGNTDW-UHFFFAOYSA-N 0 0 437.522 -0.627 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)O1 ZINC000470045807 1074640020 /nfs/dbraw/zinc/64/00/20/1074640020.db2.gz WQEDIEDZSUFCJF-INMHGKMJSA-N 0 0 440.522 -0.024 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)O1 ZINC000470045808 1074639901 /nfs/dbraw/zinc/63/99/01/1074639901.db2.gz WQEDIEDZSUFCJF-UXLLHSPISA-N 0 0 440.522 -0.024 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)O1 ZINC000470045809 1074640064 /nfs/dbraw/zinc/64/00/64/1074640064.db2.gz WQEDIEDZSUFCJF-VYDXJSESSA-N 0 0 440.522 -0.024 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)O1 ZINC000470045810 1074640029 /nfs/dbraw/zinc/64/00/29/1074640029.db2.gz WQEDIEDZSUFCJF-ZOBUZTSGSA-N 0 0 440.522 -0.024 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)O1 ZINC000470047302 1074639940 /nfs/dbraw/zinc/63/99/40/1074639940.db2.gz SFXZAWDOROQAFA-DLBZAZTESA-N 0 0 440.522 -0.319 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)O1 ZINC000470047303 1074640088 /nfs/dbraw/zinc/64/00/88/1074640088.db2.gz SFXZAWDOROQAFA-IAGOWNOFSA-N 0 0 440.522 -0.319 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)O1 ZINC000470047304 1074639858 /nfs/dbraw/zinc/63/98/58/1074639858.db2.gz SFXZAWDOROQAFA-IRXDYDNUSA-N 0 0 440.522 -0.319 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)O1 ZINC000470047305 1074640119 /nfs/dbraw/zinc/64/01/19/1074640119.db2.gz SFXZAWDOROQAFA-SJORKVTESA-N 0 0 440.522 -0.319 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470052649 1074640554 /nfs/dbraw/zinc/64/05/54/1074640554.db2.gz CMOLCTKSAHBUOK-GDBMZVCRSA-N 0 0 426.495 -0.460 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470052650 1074640433 /nfs/dbraw/zinc/64/04/33/1074640433.db2.gz CMOLCTKSAHBUOK-GOEBONIOSA-N 0 0 426.495 -0.460 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470052651 1074640465 /nfs/dbraw/zinc/64/04/65/1074640465.db2.gz CMOLCTKSAHBUOK-HOCLYGCPSA-N 0 0 426.495 -0.460 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470052652 1074640462 /nfs/dbraw/zinc/64/04/62/1074640462.db2.gz CMOLCTKSAHBUOK-ZBFHGGJFSA-N 0 0 426.495 -0.460 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C[C@H](C(N)=O)O1 ZINC000470052671 1074640443 /nfs/dbraw/zinc/64/04/43/1074640443.db2.gz CQXUANRDAUFASK-DGCLKSJQSA-N 0 0 432.524 -0.447 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C[C@@H](C(N)=O)O1 ZINC000470052672 1074640565 /nfs/dbraw/zinc/64/05/65/1074640565.db2.gz CQXUANRDAUFASK-YPMHNXCESA-N 0 0 432.524 -0.447 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C[C@H](C(N)=O)O1 ZINC000470054077 1074640535 /nfs/dbraw/zinc/64/05/35/1074640535.db2.gz DIWZYPCVKTYEQN-GDBMZVCRSA-N 0 0 439.538 -0.593 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C[C@@H](C(N)=O)O1 ZINC000470054078 1074640569 /nfs/dbraw/zinc/64/05/69/1074640569.db2.gz DIWZYPCVKTYEQN-ZBFHGGJFSA-N 0 0 439.538 -0.593 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)O1 ZINC000470056025 1074640546 /nfs/dbraw/zinc/64/05/46/1074640546.db2.gz PSGXYLWBGUMOQH-AAEUAGOBSA-N 0 0 432.524 -0.399 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)O1 ZINC000470056026 1074640499 /nfs/dbraw/zinc/64/04/99/1074640499.db2.gz PSGXYLWBGUMOQH-DGCLKSJQSA-N 0 0 432.524 -0.399 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)O1 ZINC000470056027 1074640557 /nfs/dbraw/zinc/64/05/57/1074640557.db2.gz PSGXYLWBGUMOQH-WCQYABFASA-N 0 0 432.524 -0.399 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)O1 ZINC000470056028 1074640482 /nfs/dbraw/zinc/64/04/82/1074640482.db2.gz PSGXYLWBGUMOQH-YPMHNXCESA-N 0 0 432.524 -0.399 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000470057679 1074640548 /nfs/dbraw/zinc/64/05/48/1074640548.db2.gz RHCSQWAWGJKEFS-CVEARBPZSA-N 0 0 439.538 -0.545 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000470057680 1074640505 /nfs/dbraw/zinc/64/05/05/1074640505.db2.gz RHCSQWAWGJKEFS-HOTGVXAUSA-N 0 0 439.538 -0.545 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000470057681 1074640562 /nfs/dbraw/zinc/64/05/62/1074640562.db2.gz RHCSQWAWGJKEFS-HZPDHXFCSA-N 0 0 439.538 -0.545 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000470057682 1074640473 /nfs/dbraw/zinc/64/04/73/1074640473.db2.gz RHCSQWAWGJKEFS-JKSUJKDBSA-N 0 0 439.538 -0.545 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCn3c(nnc3-c3ccccc3)C2)c[nH]1 ZINC000470083906 1074641499 /nfs/dbraw/zinc/64/14/99/1074641499.db2.gz XVJIEAJMCFBFJU-UHFFFAOYSA-N 0 0 429.462 -0.307 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CC(=O)NC1=O ZINC000470085154 1074641490 /nfs/dbraw/zinc/64/14/90/1074641490.db2.gz CCFVZEBZALVEOZ-UHFFFAOYSA-N 0 0 426.451 -0.404 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CNC(=O)CNC(C)=O ZINC000470087517 1074641466 /nfs/dbraw/zinc/64/14/66/1074641466.db2.gz ODCPRPIOOGWJET-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(NC(=O)CN(C)C)cc1 ZINC000470089613 1074641597 /nfs/dbraw/zinc/64/15/97/1074641597.db2.gz CEKWFTVADHWSQK-UHFFFAOYSA-N 0 0 427.527 -0.041 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@](C)(C(=O)OC)C2)cc1 ZINC000470102700 1074642146 /nfs/dbraw/zinc/64/21/46/1074642146.db2.gz XORKCUXGDJTEQJ-GOSISDBHSA-N 0 0 427.479 -0.039 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@](C)(C(=O)OC)C2)cc1 ZINC000470102703 1074642159 /nfs/dbraw/zinc/64/21/59/1074642159.db2.gz XORKCUXGDJTEQJ-SFHVURJKSA-N 0 0 427.479 -0.039 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)n1 ZINC000470102989 1074642181 /nfs/dbraw/zinc/64/21/81/1074642181.db2.gz BZYVSLNCTOQDKW-UHFFFAOYSA-N 0 0 442.523 -0.190 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000470112521 1074642062 /nfs/dbraw/zinc/64/20/62/1074642062.db2.gz WGUUGAQRRJKIHZ-UHFFFAOYSA-N 0 0 446.599 -0.027 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)n1 ZINC000470113229 1074642118 /nfs/dbraw/zinc/64/21/18/1074642118.db2.gz GSDPVYMSBXOJLA-UHFFFAOYSA-N 0 0 441.539 -0.455 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc2c(c1)CCO2 ZINC000470116991 1074642170 /nfs/dbraw/zinc/64/21/70/1074642170.db2.gz XNHNKIPWFGLRJH-HNNXBMFYSA-N 0 0 427.417 -0.601 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc2c(c1)CCO2 ZINC000470116992 1074642162 /nfs/dbraw/zinc/64/21/62/1074642162.db2.gz XNHNKIPWFGLRJH-OAHLLOKOSA-N 0 0 427.417 -0.601 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@@H](C)NS(C)(=O)=O ZINC000470117072 1074642142 /nfs/dbraw/zinc/64/21/42/1074642142.db2.gz JFWMBVCNVOPGFS-GFCCVEGCSA-N 0 0 435.524 -0.018 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@H](C)NS(C)(=O)=O ZINC000470117073 1074642076 /nfs/dbraw/zinc/64/20/76/1074642076.db2.gz JFWMBVCNVOPGFS-LBPRGKRZSA-N 0 0 435.524 -0.018 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCn3c(nnc3-c3ccccc3)C2)o1 ZINC000470124187 1074642165 /nfs/dbraw/zinc/64/21/65/1074642165.db2.gz YUQPBAIOTJLCAP-UHFFFAOYSA-N 0 0 430.446 -0.042 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc2c(c1)CCO2 ZINC000470133544 1074642755 /nfs/dbraw/zinc/64/27/55/1074642755.db2.gz NIWFNPPNQMUUKI-HNNXBMFYSA-N 0 0 427.417 -0.601 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc2c(c1)CCO2 ZINC000470133545 1074642634 /nfs/dbraw/zinc/64/26/34/1074642634.db2.gz NIWFNPPNQMUUKI-OAHLLOKOSA-N 0 0 427.417 -0.601 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc2c(c1)CCO2 ZINC000470142133 1074642724 /nfs/dbraw/zinc/64/27/24/1074642724.db2.gz NISPIHMGCCXAGV-INIZCTEOSA-N 0 0 441.444 -0.211 20 0 IBADRN COC(=O)[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc2c(c1)CCO2 ZINC000470142134 1074642700 /nfs/dbraw/zinc/64/27/00/1074642700.db2.gz NISPIHMGCCXAGV-MRXNPFEDSA-N 0 0 441.444 -0.211 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC2(N3CCOCC3)CC2)c1 ZINC000470160116 1074643280 /nfs/dbraw/zinc/64/32/80/1074643280.db2.gz RYSSVRKXAZUPTK-UHFFFAOYSA-N 0 0 426.539 -0.140 20 0 IBADRN CC(=O)NC1CN(C(=O)CC[C@H](NC(=O)c2ccccc2)C(=O)N2CC(NC(C)=O)C2)C1 ZINC000470167186 1074643329 /nfs/dbraw/zinc/64/33/29/1074643329.db2.gz NDHQSJGCXMLMBI-IBGZPJMESA-N 0 0 443.504 -0.741 20 0 IBADRN CC(=O)NC1CN(C(=O)CC[C@@H](NC(=O)c2ccccc2)C(=O)N2CC(NC(C)=O)C2)C1 ZINC000470167187 1074643259 /nfs/dbraw/zinc/64/32/59/1074643259.db2.gz NDHQSJGCXMLMBI-LJQANCHMSA-N 0 0 443.504 -0.741 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC000470171547 1074643218 /nfs/dbraw/zinc/64/32/18/1074643218.db2.gz LKAQWLHFXKEXHB-DOTOQJQBSA-N 0 0 438.506 -0.062 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC000470171548 1074643206 /nfs/dbraw/zinc/64/32/06/1074643206.db2.gz LKAQWLHFXKEXHB-NVXWUHKLSA-N 0 0 438.506 -0.062 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC000470171549 1074643071 /nfs/dbraw/zinc/64/30/71/1074643071.db2.gz LKAQWLHFXKEXHB-RDJZCZTQSA-N 0 0 438.506 -0.062 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC000470171550 1074643789 /nfs/dbraw/zinc/64/37/89/1074643789.db2.gz LKAQWLHFXKEXHB-WBVHZDCISA-N 0 0 438.506 -0.062 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC000470171564 1074643246 /nfs/dbraw/zinc/64/32/46/1074643246.db2.gz LOQNPLVDVRTNII-GDBMZVCRSA-N 0 0 426.495 -0.256 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC000470171565 1074643290 /nfs/dbraw/zinc/64/32/90/1074643290.db2.gz LOQNPLVDVRTNII-GOEBONIOSA-N 0 0 426.495 -0.256 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC000470171566 1074643324 /nfs/dbraw/zinc/64/33/24/1074643324.db2.gz LOQNPLVDVRTNII-HOCLYGCPSA-N 0 0 426.495 -0.256 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC000470171567 1074643335 /nfs/dbraw/zinc/64/33/35/1074643335.db2.gz LOQNPLVDVRTNII-ZBFHGGJFSA-N 0 0 426.495 -0.256 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000470172012 1074643805 /nfs/dbraw/zinc/64/38/05/1074643805.db2.gz RCJCSAHLBGODRM-KBPBESRZSA-N 0 0 429.499 -0.270 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000470172013 1074643812 /nfs/dbraw/zinc/64/38/12/1074643812.db2.gz RCJCSAHLBGODRM-KGLIPLIRSA-N 0 0 429.499 -0.270 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000470172014 1074643729 /nfs/dbraw/zinc/64/37/29/1074643729.db2.gz RCJCSAHLBGODRM-UONOGXRCSA-N 0 0 429.499 -0.270 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000470172015 1074643698 /nfs/dbraw/zinc/64/36/98/1074643698.db2.gz RCJCSAHLBGODRM-ZIAGYGMSSA-N 0 0 429.499 -0.270 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)O1 ZINC000470175892 1074643736 /nfs/dbraw/zinc/64/37/36/1074643736.db2.gz SYULWJOFVXVBPN-GXTWGEPZSA-N 0 0 436.494 -0.388 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)O1 ZINC000470175894 1074643767 /nfs/dbraw/zinc/64/37/67/1074643767.db2.gz SYULWJOFVXVBPN-JSGCOSHPSA-N 0 0 436.494 -0.388 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)O1 ZINC000470175896 1074643794 /nfs/dbraw/zinc/64/37/94/1074643794.db2.gz SYULWJOFVXVBPN-OCCSQVGLSA-N 0 0 436.494 -0.388 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)O1 ZINC000470175898 1074643771 /nfs/dbraw/zinc/64/37/71/1074643771.db2.gz SYULWJOFVXVBPN-TZMCWYRMSA-N 0 0 436.494 -0.388 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000470176720 1074643677 /nfs/dbraw/zinc/64/36/77/1074643677.db2.gz YKVJGJKPKPPZLA-CVEARBPZSA-N 0 0 431.559 -0.144 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000470176721 1074643800 /nfs/dbraw/zinc/64/38/00/1074643800.db2.gz YKVJGJKPKPPZLA-HOTGVXAUSA-N 0 0 431.559 -0.144 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000470176722 1074643747 /nfs/dbraw/zinc/64/37/47/1074643747.db2.gz YKVJGJKPKPPZLA-HZPDHXFCSA-N 0 0 431.559 -0.144 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000470176723 1074643784 /nfs/dbraw/zinc/64/37/84/1074643784.db2.gz YKVJGJKPKPPZLA-JKSUJKDBSA-N 0 0 431.559 -0.144 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470178133 1074643690 /nfs/dbraw/zinc/64/36/90/1074643690.db2.gz HZGQVVGUSNEBDS-JKOKRWQUSA-N 0 0 427.483 -0.746 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470178134 1074643774 /nfs/dbraw/zinc/64/37/74/1074643774.db2.gz HZGQVVGUSNEBDS-SUHUHFCYSA-N 0 0 427.483 -0.746 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470178135 1074644291 /nfs/dbraw/zinc/64/42/91/1074644291.db2.gz HZGQVVGUSNEBDS-VHRBIJSZSA-N 0 0 427.483 -0.746 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470178136 1074644258 /nfs/dbraw/zinc/64/42/58/1074644258.db2.gz HZGQVVGUSNEBDS-ZKYQVNSYSA-N 0 0 427.483 -0.746 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)O1 ZINC000470178978 1074644274 /nfs/dbraw/zinc/64/42/74/1074644274.db2.gz QMXIQJILXCEREU-JYJNAYRXSA-N 0 0 433.513 -0.386 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)O1 ZINC000470178979 1074644346 /nfs/dbraw/zinc/64/43/46/1074644346.db2.gz QMXIQJILXCEREU-OAGGEKHMSA-N 0 0 433.513 -0.386 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)O1 ZINC000470178980 1074644261 /nfs/dbraw/zinc/64/42/61/1074644261.db2.gz QMXIQJILXCEREU-PMPSAXMXSA-N 0 0 433.513 -0.386 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)O1 ZINC000470178981 1074644245 /nfs/dbraw/zinc/64/42/45/1074644245.db2.gz QMXIQJILXCEREU-XHSDSOJGSA-N 0 0 433.513 -0.386 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000470179760 1074644343 /nfs/dbraw/zinc/64/43/43/1074644343.db2.gz BYKFHXXRATVNEN-DZGCQCFKSA-N 0 0 425.511 -0.541 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000470179761 1074644299 /nfs/dbraw/zinc/64/42/99/1074644299.db2.gz BYKFHXXRATVNEN-HIFRSBDPSA-N 0 0 425.511 -0.541 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000470179762 1074644319 /nfs/dbraw/zinc/64/43/19/1074644319.db2.gz BYKFHXXRATVNEN-UKRRQHHQSA-N 0 0 425.511 -0.541 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000470179763 1074644335 /nfs/dbraw/zinc/64/43/35/1074644335.db2.gz BYKFHXXRATVNEN-ZFWWWQNUSA-N 0 0 425.511 -0.541 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(c2ccnc(N(C)C)n2)CC1 ZINC000470194482 1074644867 /nfs/dbraw/zinc/64/48/67/1074644867.db2.gz PDXSQHWPVXDBJJ-UHFFFAOYSA-N 0 0 449.537 -0.041 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(c2nc(N)ns2)CC1 ZINC000470198037 1073356193 /nfs/dbraw/zinc/35/61/93/1073356193.db2.gz NKDVNJOJFNLSSQ-UHFFFAOYSA-N 0 0 427.512 -0.463 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)Nc1cc(S(N)(=O)=O)ccc1C ZINC000470199361 1074645322 /nfs/dbraw/zinc/64/53/22/1074645322.db2.gz YIDCVPBNWBGNBM-UHFFFAOYSA-N 0 0 428.511 -0.612 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000470199701 1074645317 /nfs/dbraw/zinc/64/53/17/1074645317.db2.gz FULGKOISLYHLKE-INIZCTEOSA-N 0 0 440.522 -0.006 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000470199702 1074645173 /nfs/dbraw/zinc/64/51/73/1074645173.db2.gz FULGKOISLYHLKE-MRXNPFEDSA-N 0 0 440.522 -0.006 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCSC[C@@H]2CCO)CC1 ZINC000470202671 1074645202 /nfs/dbraw/zinc/64/52/02/1074645202.db2.gz KJRCGHXWNPVXEV-HNNXBMFYSA-N 0 0 432.568 -0.076 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCSC[C@H]2CCO)CC1 ZINC000470202672 1074645183 /nfs/dbraw/zinc/64/51/83/1074645183.db2.gz KJRCGHXWNPVXEV-OAHLLOKOSA-N 0 0 432.568 -0.076 20 0 IBADRN CCOC1CC(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)(N2CCOCC2)C1 ZINC000470206477 1074645844 /nfs/dbraw/zinc/64/58/44/1074645844.db2.gz KMVPTLBCGGMOAZ-FLPIEVNYSA-N 0 0 438.569 -0.447 20 0 IBADRN CCOC1CC(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)(N2CCOCC2)C1 ZINC000470206483 1074645884 /nfs/dbraw/zinc/64/58/84/1074645884.db2.gz KMVPTLBCGGMOAZ-PGFLUOATSA-N 0 0 438.569 -0.447 20 0 IBADRN CCOC1CC(CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)(N2CCOCC2)C1 ZINC000470207975 1074645893 /nfs/dbraw/zinc/64/58/93/1074645893.db2.gz FQXDVXZHHJFNBS-KSTDHSDQSA-N 0 0 429.543 -0.527 20 0 IBADRN CCOC1CC(CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)(N2CCOCC2)C1 ZINC000470207978 1074645984 /nfs/dbraw/zinc/64/59/84/1074645984.db2.gz FQXDVXZHHJFNBS-SYJJWHGVSA-N 0 0 429.543 -0.527 20 0 IBADRN CCOC1CC(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)(N2CCOCC2)C1 ZINC000470210182 1074645961 /nfs/dbraw/zinc/64/59/61/1074645961.db2.gz SNWIUPNFCRWLKU-UHFFFAOYSA-N 0 0 448.524 -0.790 20 0 IBADRN CCOC1CC(CNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)(N2CCOCC2)C1 ZINC000470212202 1074645819 /nfs/dbraw/zinc/64/58/19/1074645819.db2.gz RNEAREKWYPEDNE-UHFFFAOYSA-N 0 0 443.570 -0.217 20 0 IBADRN CCOC1CC(CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)(N2CCOCC2)C1 ZINC000470215810 1074646174 /nfs/dbraw/zinc/64/61/74/1074646174.db2.gz MZDWBSSMSLXFKI-UHFFFAOYSA-N 0 0 429.543 -0.607 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)n(C)n1 ZINC000470216170 1074645991 /nfs/dbraw/zinc/64/59/91/1074645991.db2.gz PFNCIHCNUVIYLW-AWEZNQCLSA-N 0 0 428.497 -0.349 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)n(C)n1 ZINC000470216171 1074646339 /nfs/dbraw/zinc/64/63/39/1074646339.db2.gz PFNCIHCNUVIYLW-CQSZACIVSA-N 0 0 428.497 -0.349 20 0 IBADRN CC(C)OCCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000470220425 1074646182 /nfs/dbraw/zinc/64/61/82/1074646182.db2.gz YIPCBABQMUABIJ-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCCN(CCOC)C(=O)C2)c1 ZINC000470222262 1074646162 /nfs/dbraw/zinc/64/61/62/1074646162.db2.gz FUCJAYYREQMEJV-UHFFFAOYSA-N 0 0 442.538 -0.414 20 0 IBADRN CC(C)OCCN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000470222614 1074646276 /nfs/dbraw/zinc/64/62/76/1074646276.db2.gz ICIGKNOOTKBVFA-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN COCCN1CCCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1=O ZINC000470222770 1074646963 /nfs/dbraw/zinc/64/69/63/1074646963.db2.gz IWNXIMQECRCXRO-UHFFFAOYSA-N 0 0 441.554 -0.163 20 0 IBADRN Cn1cc(N2CCN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)cn1 ZINC000470222863 1074646240 /nfs/dbraw/zinc/64/62/40/1074646240.db2.gz JFJRURPSDOFTSC-AWEZNQCLSA-N 0 0 432.506 -0.231 20 0 IBADRN Cn1cc(N2CCN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)cn1 ZINC000470222864 1074646169 /nfs/dbraw/zinc/64/61/69/1074646169.db2.gz JFJRURPSDOFTSC-CQSZACIVSA-N 0 0 432.506 -0.231 20 0 IBADRN COCCN1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1=O ZINC000470223606 1074646309 /nfs/dbraw/zinc/64/63/09/1074646309.db2.gz NMMLAZYEBGTKIX-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN COCCN1CCCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1=O ZINC000470223984 1074646947 /nfs/dbraw/zinc/64/69/47/1074646947.db2.gz PZNLGJPVTOWNIQ-UHFFFAOYSA-N 0 0 425.507 -0.131 20 0 IBADRN COCCN1CCCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1=O ZINC000470224102 1074646927 /nfs/dbraw/zinc/64/69/27/1074646927.db2.gz SMTSWWPGHLNYGX-UHFFFAOYSA-N 0 0 427.469 -0.428 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470228315 1074646925 /nfs/dbraw/zinc/64/69/25/1074646925.db2.gz NJSNKYHMXXJKRZ-DOTOQJQBSA-N 0 0 425.507 -0.211 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470228316 1074646860 /nfs/dbraw/zinc/64/68/60/1074646860.db2.gz NJSNKYHMXXJKRZ-NVXWUHKLSA-N 0 0 425.507 -0.211 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470228317 1074646872 /nfs/dbraw/zinc/64/68/72/1074646872.db2.gz NJSNKYHMXXJKRZ-RDJZCZTQSA-N 0 0 425.507 -0.211 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470228318 1074646884 /nfs/dbraw/zinc/64/68/84/1074646884.db2.gz NJSNKYHMXXJKRZ-WBVHZDCISA-N 0 0 425.507 -0.211 20 0 IBADRN COCCN1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1=O ZINC000470230073 1074646864 /nfs/dbraw/zinc/64/68/64/1074646864.db2.gz JIJRULBGBNERMB-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN CC(C)OCCN1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000470230793 1074647458 /nfs/dbraw/zinc/64/74/58/1074647458.db2.gz DFWMEUPSLHRSEQ-UHFFFAOYSA-N 0 0 426.495 -0.189 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)CC2)cn1 ZINC000470231736 1074647341 /nfs/dbraw/zinc/64/73/41/1074647341.db2.gz DGEDNMXUZWVQAS-UHFFFAOYSA-N 0 0 434.522 -0.134 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000470233769 1074647403 /nfs/dbraw/zinc/64/74/03/1074647403.db2.gz RLIFPBSWHNEFJL-DOTOQJQBSA-N 0 0 438.506 -0.698 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000470233770 1074647396 /nfs/dbraw/zinc/64/73/96/1074647396.db2.gz RLIFPBSWHNEFJL-NVXWUHKLSA-N 0 0 438.506 -0.698 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000470233771 1074647376 /nfs/dbraw/zinc/64/73/76/1074647376.db2.gz RLIFPBSWHNEFJL-RDJZCZTQSA-N 0 0 438.506 -0.698 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000470233772 1074647390 /nfs/dbraw/zinc/64/73/90/1074647390.db2.gz RLIFPBSWHNEFJL-WBVHZDCISA-N 0 0 438.506 -0.698 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3cnn(C)c3)CC2)s1 ZINC000470234377 1074647267 /nfs/dbraw/zinc/64/72/67/1074647267.db2.gz PJCHAALMTHJBCJ-UHFFFAOYSA-N 0 0 440.551 -0.255 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)O1 ZINC000470234972 1074647303 /nfs/dbraw/zinc/64/73/03/1074647303.db2.gz TVAIYCHYJKZAFA-DOMZBBRYSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)O1 ZINC000470234973 1074647385 /nfs/dbraw/zinc/64/73/85/1074647385.db2.gz TVAIYCHYJKZAFA-IUODEOHRSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)O1 ZINC000470234974 1074647331 /nfs/dbraw/zinc/64/73/31/1074647331.db2.gz TVAIYCHYJKZAFA-SWLSCSKDSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)O1 ZINC000470234975 1074647356 /nfs/dbraw/zinc/64/73/56/1074647356.db2.gz TVAIYCHYJKZAFA-WFASDCNBSA-N 0 0 427.479 -0.335 20 0 IBADRN COCCN1CCCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1=O ZINC000470237292 1074647441 /nfs/dbraw/zinc/64/74/41/1074647441.db2.gz OGCFOUUJYZHKRC-UHFFFAOYSA-N 0 0 440.522 -0.626 20 0 IBADRN CCN1CCCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000470239295 1074647474 /nfs/dbraw/zinc/64/74/74/1074647474.db2.gz LDIYUTBQKPSKCC-INIZCTEOSA-N 0 0 445.563 -0.151 20 0 IBADRN CCN1CCCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000470239296 1074647319 /nfs/dbraw/zinc/64/73/19/1074647319.db2.gz LDIYUTBQKPSKCC-MRXNPFEDSA-N 0 0 445.563 -0.151 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000470240633 1074647481 /nfs/dbraw/zinc/64/74/81/1074647481.db2.gz XOORPXZWLQXDOL-BLLLJJGKSA-N 0 0 427.479 -0.521 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000470240634 1074647368 /nfs/dbraw/zinc/64/73/68/1074647368.db2.gz XOORPXZWLQXDOL-LRDDRELGSA-N 0 0 427.479 -0.521 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000470240635 1074647435 /nfs/dbraw/zinc/64/74/35/1074647435.db2.gz XOORPXZWLQXDOL-MLGOLLRUSA-N 0 0 427.479 -0.521 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000470240636 1074647287 /nfs/dbraw/zinc/64/72/87/1074647287.db2.gz XOORPXZWLQXDOL-WBMJQRKESA-N 0 0 427.479 -0.521 20 0 IBADRN COCCN1CCCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1=O ZINC000470241342 1074647419 /nfs/dbraw/zinc/64/74/19/1074647419.db2.gz IGQAWSNJXQFPPJ-UHFFFAOYSA-N 0 0 440.522 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@@H]1CC[C@H](C(N)=O)O1)n2C ZINC000470244900 1074647942 /nfs/dbraw/zinc/64/79/42/1074647942.db2.gz FXJLEEGLRAAYDQ-BLLLJJGKSA-N 0 0 437.522 -0.095 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1)n2C ZINC000470244901 1074647881 /nfs/dbraw/zinc/64/78/81/1074647881.db2.gz FXJLEEGLRAAYDQ-LRDDRELGSA-N 0 0 437.522 -0.095 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@H]1CC[C@H](C(N)=O)O1)n2C ZINC000470244902 1074647866 /nfs/dbraw/zinc/64/78/66/1074647866.db2.gz FXJLEEGLRAAYDQ-MLGOLLRUSA-N 0 0 437.522 -0.095 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@H]1CC[C@@H](C(N)=O)O1)n2C ZINC000470244903 1074647877 /nfs/dbraw/zinc/64/78/77/1074647877.db2.gz FXJLEEGLRAAYDQ-WBMJQRKESA-N 0 0 437.522 -0.095 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470245396 1074647854 /nfs/dbraw/zinc/64/78/54/1074647854.db2.gz AWVVUKOSVKZWGF-GDBMZVCRSA-N 0 0 440.522 -0.404 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470245397 1074647912 /nfs/dbraw/zinc/64/79/12/1074647912.db2.gz AWVVUKOSVKZWGF-GOEBONIOSA-N 0 0 440.522 -0.404 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470245398 1074647845 /nfs/dbraw/zinc/64/78/45/1074647845.db2.gz AWVVUKOSVKZWGF-HOCLYGCPSA-N 0 0 440.522 -0.404 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470245399 1074647874 /nfs/dbraw/zinc/64/78/74/1074647874.db2.gz AWVVUKOSVKZWGF-ZBFHGGJFSA-N 0 0 440.522 -0.404 20 0 IBADRN COc1ccc(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470245671 1074647927 /nfs/dbraw/zinc/64/79/27/1074647927.db2.gz BRSJLMVEWBFPCQ-DZGCQCFKSA-N 0 0 427.479 -0.521 20 0 IBADRN COc1ccc(C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470245672 1074647953 /nfs/dbraw/zinc/64/79/53/1074647953.db2.gz BRSJLMVEWBFPCQ-HIFRSBDPSA-N 0 0 427.479 -0.521 20 0 IBADRN COc1ccc(C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470245673 1074647950 /nfs/dbraw/zinc/64/79/50/1074647950.db2.gz BRSJLMVEWBFPCQ-UKRRQHHQSA-N 0 0 427.479 -0.521 20 0 IBADRN COc1ccc(C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470245674 1074647900 /nfs/dbraw/zinc/64/79/00/1074647900.db2.gz BRSJLMVEWBFPCQ-ZFWWWQNUSA-N 0 0 427.479 -0.521 20 0 IBADRN COc1ccc(CC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470250497 1074647977 /nfs/dbraw/zinc/64/79/77/1074647977.db2.gz MECOJKSDLMGIMN-GDBMZVCRSA-N 0 0 441.506 -0.592 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470250498 1074647855 /nfs/dbraw/zinc/64/78/55/1074647855.db2.gz MECOJKSDLMGIMN-GOEBONIOSA-N 0 0 441.506 -0.592 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470250499 1074648570 /nfs/dbraw/zinc/64/85/70/1074648570.db2.gz MECOJKSDLMGIMN-HOCLYGCPSA-N 0 0 441.506 -0.592 20 0 IBADRN COc1ccc(CC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470250500 1074648599 /nfs/dbraw/zinc/64/85/99/1074648599.db2.gz MECOJKSDLMGIMN-ZBFHGGJFSA-N 0 0 441.506 -0.592 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)c2)CC1 ZINC000470251947 1074648490 /nfs/dbraw/zinc/64/84/90/1074648490.db2.gz ITGAMHGHRUCLCO-DOTOQJQBSA-N 0 0 438.506 -0.698 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)c2)CC1 ZINC000470251948 1074648458 /nfs/dbraw/zinc/64/84/58/1074648458.db2.gz ITGAMHGHRUCLCO-NVXWUHKLSA-N 0 0 438.506 -0.698 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)c2)CC1 ZINC000470251949 1074648523 /nfs/dbraw/zinc/64/85/23/1074648523.db2.gz ITGAMHGHRUCLCO-RDJZCZTQSA-N 0 0 438.506 -0.698 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)c2)CC1 ZINC000470251950 1074648471 /nfs/dbraw/zinc/64/84/71/1074648471.db2.gz ITGAMHGHRUCLCO-WBVHZDCISA-N 0 0 438.506 -0.698 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)[C@H](C)C[S@@](C)=O ZINC000470265378 1074648494 /nfs/dbraw/zinc/64/84/94/1074648494.db2.gz FMJDWOSRGBFLQK-NUTCPSBVSA-N 0 0 438.659 -0.566 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)[C@@H](C)C[S@@](C)=O ZINC000470265380 1074648564 /nfs/dbraw/zinc/64/85/64/1074648564.db2.gz FMJDWOSRGBFLQK-PMRUCUADSA-N 0 0 438.659 -0.566 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)[C@H](C)C[S@](C)=O ZINC000470265381 1074648583 /nfs/dbraw/zinc/64/85/83/1074648583.db2.gz FMJDWOSRGBFLQK-TXWYVZNASA-N 0 0 438.659 -0.566 20 0 IBADRN C[C@H](C[S@](C)=O)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)[C@@H](C)C[S@](C)=O ZINC000470265382 1074648479 /nfs/dbraw/zinc/64/84/79/1074648479.db2.gz FMJDWOSRGBFLQK-ZHDUWUSBSA-N 0 0 438.659 -0.566 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1 ZINC000470294741 1074649720 /nfs/dbraw/zinc/64/97/20/1074649720.db2.gz AACAIFAFRMJSKY-INIZCTEOSA-N 0 0 432.477 -0.010 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1 ZINC000470294742 1074649641 /nfs/dbraw/zinc/64/96/41/1074649641.db2.gz AACAIFAFRMJSKY-MRXNPFEDSA-N 0 0 432.477 -0.010 20 0 IBADRN CCN(CC)c1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCO ZINC000470296281 1074649541 /nfs/dbraw/zinc/64/95/41/1074649541.db2.gz DAQKNKGJTRAKDZ-UHFFFAOYSA-N 0 0 428.511 -0.399 20 0 IBADRN O=C(NCCO)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCCC1 ZINC000470299167 1074649507 /nfs/dbraw/zinc/64/95/07/1074649507.db2.gz QAFDXIUNHFDHLX-UHFFFAOYSA-N 0 0 440.522 -0.255 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc(OCCF)c2)CC1 ZINC000470302958 1074649702 /nfs/dbraw/zinc/64/97/02/1074649702.db2.gz OMVNZCCAFKLJKS-UHFFFAOYSA-N 0 0 434.424 -0.207 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCN(C)CC2)c(NC(=O)C(=O)NCCO)c1 ZINC000470303702 1074649579 /nfs/dbraw/zinc/64/95/79/1074649579.db2.gz RXPKSHHZPGYUKL-UHFFFAOYSA-N 0 0 441.554 -0.484 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NCCO)c1 ZINC000470308574 1074649658 /nfs/dbraw/zinc/64/96/58/1074649658.db2.gz MRTZWJCKSNOYEL-UHFFFAOYSA-N 0 0 428.511 -0.399 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1cnn(Cc2nnc3n2CCCC3)c1 ZINC000470310205 1074649629 /nfs/dbraw/zinc/64/96/29/1074649629.db2.gz UGODBSFUJIHSEG-GFCCVEGCSA-N 0 0 433.498 -0.102 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1cnn(Cc2nnc3n2CCCC3)c1 ZINC000470310217 1074650036 /nfs/dbraw/zinc/65/00/36/1074650036.db2.gz UGODBSFUJIHSEG-LBPRGKRZSA-N 0 0 433.498 -0.102 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)CC1 ZINC000470311294 1074650063 /nfs/dbraw/zinc/65/00/63/1074650063.db2.gz XPNBPBKBVYXJSM-UHFFFAOYSA-N 0 0 442.513 -0.022 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1 ZINC000470312132 1074650093 /nfs/dbraw/zinc/65/00/93/1074650093.db2.gz CYAALWDHSHPXNL-KRWDZBQOSA-N 0 0 446.504 -0.204 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1 ZINC000470312133 1074650053 /nfs/dbraw/zinc/65/00/53/1074650053.db2.gz CYAALWDHSHPXNL-QGZVFWFLSA-N 0 0 446.504 -0.204 20 0 IBADRN O=C(NCCO)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1N1CCOCC1 ZINC000470312792 1074650030 /nfs/dbraw/zinc/65/00/30/1074650030.db2.gz HAXQCGVNLWPZRO-UHFFFAOYSA-N 0 0 440.522 -0.255 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)CC1 ZINC000470313239 1074650081 /nfs/dbraw/zinc/65/00/81/1074650081.db2.gz KFWSPVRHOFLXHA-UHFFFAOYSA-N 0 0 428.486 -0.411 20 0 IBADRN O=C(Nc1ccccc1O[C@H]1CCOC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000470315267 1074650786 /nfs/dbraw/zinc/65/07/86/1074650786.db2.gz WOIJOHABMPIDMB-HNNXBMFYSA-N 0 0 430.461 -0.258 20 0 IBADRN O=C(Nc1ccccc1O[C@@H]1CCOC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000470315268 1074650746 /nfs/dbraw/zinc/65/07/46/1074650746.db2.gz WOIJOHABMPIDMB-OAHLLOKOSA-N 0 0 430.461 -0.258 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)cn1C ZINC000470317223 1074650732 /nfs/dbraw/zinc/65/07/32/1074650732.db2.gz IRWKDCBZMBIEGE-UHFFFAOYSA-N 0 0 433.498 -0.182 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)CC1 ZINC000470317384 1074650776 /nfs/dbraw/zinc/65/07/76/1074650776.db2.gz CVGBRKZHNCXPRH-UHFFFAOYSA-N 0 0 435.499 -0.197 20 0 IBADRN O=C(Nc1cccc(OCCF)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000470320014 1074650789 /nfs/dbraw/zinc/65/07/89/1074650789.db2.gz ZUMMCIXOGPOVSY-UHFFFAOYSA-N 0 0 436.440 -0.497 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1 ZINC000470321255 1074650716 /nfs/dbraw/zinc/65/07/16/1074650716.db2.gz SPNXQOBQALWSOV-HNNXBMFYSA-N 0 0 432.477 -0.012 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1 ZINC000470321256 1074650779 /nfs/dbraw/zinc/65/07/79/1074650779.db2.gz SPNXQOBQALWSOV-OAHLLOKOSA-N 0 0 432.477 -0.012 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C)n1 ZINC000470327301 1074651022 /nfs/dbraw/zinc/65/10/22/1074651022.db2.gz JEGMZSRIXMSZJE-UHFFFAOYSA-N 0 0 427.483 -0.942 20 0 IBADRN O=C(Nc1cccc(OCCF)c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000470327426 1074651051 /nfs/dbraw/zinc/65/10/51/1074651051.db2.gz NWNLLEPJJFUHAS-UHFFFAOYSA-N 0 0 444.485 -0.305 20 0 IBADRN CS(=O)(=O)Cc1cc(F)ccc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000470328697 1074651200 /nfs/dbraw/zinc/65/12/00/1074651200.db2.gz WYNDQCRUUWLSBH-UHFFFAOYSA-N 0 0 440.497 -0.268 20 0 IBADRN O=C(NCCO)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000470330507 1074651181 /nfs/dbraw/zinc/65/11/81/1074651181.db2.gz NAPYYRNDXQHUPY-UHFFFAOYSA-N 0 0 426.495 -0.645 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000470336116 1074651078 /nfs/dbraw/zinc/65/10/78/1074651078.db2.gz INCUTQWRVVQAJH-INIZCTEOSA-N 0 0 446.533 -0.061 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000470336117 1074651170 /nfs/dbraw/zinc/65/11/70/1074651170.db2.gz INCUTQWRVVQAJH-MRXNPFEDSA-N 0 0 446.533 -0.061 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000470339971 1074651680 /nfs/dbraw/zinc/65/16/80/1074651680.db2.gz GFEPTUIGXBMFJE-UHFFFAOYSA-N 0 0 444.579 -0.513 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(CCCOCC(F)(F)F)CC2)CC1 ZINC000470346160 1072521936 /nfs/dbraw/zinc/52/19/36/1072521936.db2.gz YNOPJVSOJAKTMJ-UHFFFAOYSA-N 0 0 430.493 -0.323 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000470348863 1074651696 /nfs/dbraw/zinc/65/16/96/1074651696.db2.gz ANJXRLQTRKPLPG-INIZCTEOSA-N 0 0 442.524 -0.022 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000470348864 1074651582 /nfs/dbraw/zinc/65/15/82/1074651582.db2.gz ANJXRLQTRKPLPG-MRXNPFEDSA-N 0 0 442.524 -0.022 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000470356912 1074651614 /nfs/dbraw/zinc/65/16/14/1074651614.db2.gz LLPDNBOXIKLJOU-UHFFFAOYSA-N 0 0 447.473 -0.023 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000470358736 1074651565 /nfs/dbraw/zinc/65/15/65/1074651565.db2.gz GBBXACLPIUTRAJ-UHFFFAOYSA-N 0 0 435.506 -0.474 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000470359235 1074651670 /nfs/dbraw/zinc/65/16/70/1074651670.db2.gz MCXADHZYPGLJJQ-GASCZTMLSA-N 0 0 428.511 -0.639 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000470359236 1074651638 /nfs/dbraw/zinc/65/16/38/1074651638.db2.gz MCXADHZYPGLJJQ-GJZGRUSLSA-N 0 0 428.511 -0.639 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000470359237 1074651650 /nfs/dbraw/zinc/65/16/50/1074651650.db2.gz MCXADHZYPGLJJQ-HUUCEWRRSA-N 0 0 428.511 -0.639 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H](C)O[C@H](CO)C3)c2)CC1 ZINC000470367177 1074652273 /nfs/dbraw/zinc/65/22/73/1074652273.db2.gz MCTAOQSPYFWDNC-PBHICJAKSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H](C)O[C@@H](CO)C3)c2)CC1 ZINC000470367178 1074652173 /nfs/dbraw/zinc/65/21/73/1074652173.db2.gz MCTAOQSPYFWDNC-RHSMWYFYSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@H](CO)O[C@@H](C)C3)c2)CC1 ZINC000470367179 1074652280 /nfs/dbraw/zinc/65/22/80/1074652280.db2.gz MCTAOQSPYFWDNC-WMLDXEAASA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@H](C)O[C@H](CO)C3)c2)CC1 ZINC000470367180 1074652145 /nfs/dbraw/zinc/65/21/45/1074652145.db2.gz MCTAOQSPYFWDNC-YOEHRIQHSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C[C@H](CO)O1 ZINC000470368886 1074652286 /nfs/dbraw/zinc/65/22/86/1074652286.db2.gz GQSXGACEUHURPR-DLBZAZTESA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C[C@H](CO)O1 ZINC000470368887 1074652204 /nfs/dbraw/zinc/65/22/04/1074652204.db2.gz GQSXGACEUHURPR-IAGOWNOFSA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C[C@@H](CO)O1 ZINC000470368888 1074652135 /nfs/dbraw/zinc/65/21/35/1074652135.db2.gz GQSXGACEUHURPR-IRXDYDNUSA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C[C@@H](CO)O1 ZINC000470368889 1074652196 /nfs/dbraw/zinc/65/21/96/1074652196.db2.gz GQSXGACEUHURPR-SJORKVTESA-N 0 0 439.534 -0.092 20 0 IBADRN COCCN(C(=O)CN1CCSC[C@@H]1CCO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000470369676 1074652208 /nfs/dbraw/zinc/65/22/08/1074652208.db2.gz PJTGGEYEXCDCBP-AWEZNQCLSA-N 0 0 443.570 -0.034 20 0 IBADRN COCCN(C(=O)CN1CCSC[C@H]1CCO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000470369677 1074652105 /nfs/dbraw/zinc/65/21/05/1074652105.db2.gz PJTGGEYEXCDCBP-CQSZACIVSA-N 0 0 443.570 -0.034 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000470370753 1074652159 /nfs/dbraw/zinc/65/21/59/1074652159.db2.gz AMXWASBQFZYCNR-UHFFFAOYSA-N 0 0 432.587 -0.267 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000470372521 1074652267 /nfs/dbraw/zinc/65/22/67/1074652267.db2.gz JMDHXSRVDRLHPY-INIZCTEOSA-N 0 0 429.587 -0.845 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000470372522 1074652258 /nfs/dbraw/zinc/65/22/58/1074652258.db2.gz JMDHXSRVDRLHPY-MRXNPFEDSA-N 0 0 429.587 -0.845 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2ccccc2OCCN2CCOCC2)CC1 ZINC000470373947 1074652236 /nfs/dbraw/zinc/65/22/36/1074652236.db2.gz GUVWHSUZSWKMJR-UHFFFAOYSA-N 0 0 440.566 -0.409 20 0 IBADRN COc1ccc(CC(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000470374242 1074652292 /nfs/dbraw/zinc/65/22/92/1074652292.db2.gz KPMIRPAHFJELAH-GDBMZVCRSA-N 0 0 428.507 -0.133 20 0 IBADRN COc1ccc(CC(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000470374246 1074652308 /nfs/dbraw/zinc/65/23/08/1074652308.db2.gz KPMIRPAHFJELAH-GOEBONIOSA-N 0 0 428.507 -0.133 20 0 IBADRN COc1ccc(CC(=O)N2C[C@H](C)O[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000470374247 1074652180 /nfs/dbraw/zinc/65/21/80/1074652180.db2.gz KPMIRPAHFJELAH-HOCLYGCPSA-N 0 0 428.507 -0.133 20 0 IBADRN COc1ccc(CC(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000470374248 1074652249 /nfs/dbraw/zinc/65/22/49/1074652249.db2.gz KPMIRPAHFJELAH-ZBFHGGJFSA-N 0 0 428.507 -0.133 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)CC1 ZINC000470374572 1074652224 /nfs/dbraw/zinc/65/22/24/1074652224.db2.gz JXXQDNQCJLZLGQ-UHFFFAOYSA-N 0 0 443.614 -0.597 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@H](C)O[C@H](CO)C2)c1OC ZINC000470375931 1074652297 /nfs/dbraw/zinc/65/22/97/1074652297.db2.gz PHWYNPJPPMLXGE-KBPBESRZSA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@@H](C)O[C@H](CO)C2)c1OC ZINC000470375932 1074652748 /nfs/dbraw/zinc/65/27/48/1074652748.db2.gz PHWYNPJPPMLXGE-KGLIPLIRSA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@H](CO)O[C@@H](C)C2)c1OC ZINC000470375933 1074652669 /nfs/dbraw/zinc/65/26/69/1074652669.db2.gz PHWYNPJPPMLXGE-UONOGXRCSA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@@H](C)O[C@@H](CO)C2)c1OC ZINC000470375934 1074652764 /nfs/dbraw/zinc/65/27/64/1074652764.db2.gz PHWYNPJPPMLXGE-ZIAGYGMSSA-N 0 0 444.506 -0.054 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1)C1CCCCC1 ZINC000470378119 1074652665 /nfs/dbraw/zinc/65/26/65/1074652665.db2.gz WMAISVNNSOOZRV-UHFFFAOYSA-N 0 0 443.614 -0.501 20 0 IBADRN Cc1cnc(NC(=O)[C@H]2CSCN2C(=O)CN2CCN(S(C)(=O)=O)CC2)s1 ZINC000470381082 1074652650 /nfs/dbraw/zinc/65/26/50/1074652650.db2.gz DOCMDOVINIBTGC-GFCCVEGCSA-N 0 0 433.581 -0.131 20 0 IBADRN Cc1cnc(NC(=O)[C@@H]2CSCN2C(=O)CN2CCN(S(C)(=O)=O)CC2)s1 ZINC000470381083 1074652744 /nfs/dbraw/zinc/65/27/44/1074652744.db2.gz DOCMDOVINIBTGC-LBPRGKRZSA-N 0 0 433.581 -0.131 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NC[C@H](NC(=O)Cn1c(C)cc(O)cc1=O)C1CC1 ZINC000470381145 1074652705 /nfs/dbraw/zinc/65/27/05/1074652705.db2.gz FBOSLRQXUITEOY-KRWDZBQOSA-N 0 0 430.461 -0.251 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NC[C@@H](NC(=O)Cn1c(C)cc(O)cc1=O)C1CC1 ZINC000470381146 1074652623 /nfs/dbraw/zinc/65/26/23/1074652623.db2.gz FBOSLRQXUITEOY-QGZVFWFLSA-N 0 0 430.461 -0.251 20 0 IBADRN O=C(Cn1cc(NC(=O)Nc2ccn(CCN3CCOCC3)n2)cn1)N1CCOCC1 ZINC000470381561 1073321681 /nfs/dbraw/zinc/32/16/81/1073321681.db2.gz DQYZZVWMRXCMCJ-UHFFFAOYSA-N 0 0 432.485 -0.085 20 0 IBADRN CS(=O)(=O)Nc1cccc2c1CCN(C(=O)CN1CCN(S(C)(=O)=O)CC1)C2 ZINC000470382828 1074652728 /nfs/dbraw/zinc/65/27/28/1074652728.db2.gz LRPUASUGPRCXIM-UHFFFAOYSA-N 0 0 430.552 -0.480 20 0 IBADRN CN(Cc1ccco1)C(=O)[C@@H]1CSCN1C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000470384141 1074652655 /nfs/dbraw/zinc/65/26/55/1074652655.db2.gz UYUVUGKYSDWVLH-HNNXBMFYSA-N 0 0 430.552 -0.283 20 0 IBADRN CN(Cc1ccco1)C(=O)[C@H]1CSCN1C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000470384142 1074652714 /nfs/dbraw/zinc/65/27/14/1074652714.db2.gz UYUVUGKYSDWVLH-OAHLLOKOSA-N 0 0 430.552 -0.283 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@@H](C)O[C@H](CO)C3)cc2)CC1 ZINC000470387507 1074652718 /nfs/dbraw/zinc/65/27/18/1074652718.db2.gz NLKLWAHKJXTMOV-PBHICJAKSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@@H](C)O[C@@H](CO)C3)cc2)CC1 ZINC000470387508 1074653216 /nfs/dbraw/zinc/65/32/16/1074653216.db2.gz NLKLWAHKJXTMOV-RHSMWYFYSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](CO)O[C@@H](C)C3)cc2)CC1 ZINC000470387509 1074653269 /nfs/dbraw/zinc/65/32/69/1074653269.db2.gz NLKLWAHKJXTMOV-WMLDXEAASA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](C)O[C@H](CO)C3)cc2)CC1 ZINC000470387710 1074652613 /nfs/dbraw/zinc/65/26/13/1074652613.db2.gz NLKLWAHKJXTMOV-YOEHRIQHSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000470388682 1074653207 /nfs/dbraw/zinc/65/32/07/1074653207.db2.gz JWAMPYNDCVDPDF-AWEZNQCLSA-N 0 0 432.568 -0.309 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000470388683 1074653230 /nfs/dbraw/zinc/65/32/30/1074653230.db2.gz JWAMPYNDCVDPDF-CQSZACIVSA-N 0 0 432.568 -0.309 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)CC1 ZINC000470389224 1074653143 /nfs/dbraw/zinc/65/31/43/1074653143.db2.gz LQVPMKLSBHPZKT-UHFFFAOYSA-N 0 0 429.587 -0.843 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000470389351 1074653233 /nfs/dbraw/zinc/65/32/33/1074653233.db2.gz URFILABMPTXDSJ-UHFFFAOYSA-N 0 0 437.566 -0.452 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000470422591 1074653186 /nfs/dbraw/zinc/65/31/86/1074653186.db2.gz QWZHUYVLQJNCLP-CWRNSKLLSA-N 0 0 432.543 -0.424 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000470422592 1074653134 /nfs/dbraw/zinc/65/31/34/1074653134.db2.gz QWZHUYVLQJNCLP-FVQBIDKESA-N 0 0 432.543 -0.424 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000470422593 1074653249 /nfs/dbraw/zinc/65/32/49/1074653249.db2.gz QWZHUYVLQJNCLP-NUEKZKHPSA-N 0 0 432.543 -0.424 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000470422594 1074653262 /nfs/dbraw/zinc/65/32/62/1074653262.db2.gz QWZHUYVLQJNCLP-VNQPRFMTSA-N 0 0 432.543 -0.424 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000470426843 1074653256 /nfs/dbraw/zinc/65/32/56/1074653256.db2.gz FQAJTGDYCREZIS-MOPGFXCFSA-N 0 0 431.489 -0.069 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000470426847 1074653221 /nfs/dbraw/zinc/65/32/21/1074653221.db2.gz FQAJTGDYCREZIS-OALUTQOASA-N 0 0 431.489 -0.069 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000470426849 1074653244 /nfs/dbraw/zinc/65/32/44/1074653244.db2.gz FQAJTGDYCREZIS-RBUKOAKNSA-N 0 0 431.489 -0.069 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000470426851 1074653174 /nfs/dbraw/zinc/65/31/74/1074653174.db2.gz FQAJTGDYCREZIS-RTBURBONSA-N 0 0 431.489 -0.069 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000470440192 1074653124 /nfs/dbraw/zinc/65/31/24/1074653124.db2.gz CRRDYXCMJXDSMH-UHFFFAOYSA-N 0 0 448.505 -0.403 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000470441048 1074653687 /nfs/dbraw/zinc/65/36/87/1074653687.db2.gz RSQYMTOPSDMINO-UHFFFAOYSA-N 0 0 432.506 -0.103 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000470442242 1074653722 /nfs/dbraw/zinc/65/37/22/1074653722.db2.gz MKWIVVGVKMYHAS-UHFFFAOYSA-N 0 0 439.538 -0.943 20 0 IBADRN CCN(CC)C(=O)CN1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000470443908 1074653747 /nfs/dbraw/zinc/65/37/47/1074653747.db2.gz BVRAGKNOTZLZRL-UHFFFAOYSA-N 0 0 441.554 -0.233 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000470444004 1074653576 /nfs/dbraw/zinc/65/35/76/1074653576.db2.gz MPKBLGPMUUKXEM-AWEZNQCLSA-N 0 0 440.522 -0.148 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000470444010 1074653775 /nfs/dbraw/zinc/65/37/75/1074653775.db2.gz MPKBLGPMUUKXEM-CQSZACIVSA-N 0 0 440.522 -0.148 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCCC[C@@H]1CNS(C)(=O)=O ZINC000470446685 1074653666 /nfs/dbraw/zinc/65/36/66/1074653666.db2.gz UIRXRYHYBPRFKJ-GFCCVEGCSA-N 0 0 434.540 -0.315 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCCC[C@H]1CNS(C)(=O)=O ZINC000470446687 1074653642 /nfs/dbraw/zinc/65/36/42/1074653642.db2.gz UIRXRYHYBPRFKJ-LBPRGKRZSA-N 0 0 434.540 -0.315 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)Nc3cc(S(N)(=O)=O)ccc3OC)CC2)n1 ZINC000470446821 1074653753 /nfs/dbraw/zinc/65/37/53/1074653753.db2.gz UVALHDXWPZGMFJ-UHFFFAOYSA-N 0 0 436.494 -0.098 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000470447373 1074653736 /nfs/dbraw/zinc/65/37/36/1074653736.db2.gz YMDQOJYSRGPHSU-UHFFFAOYSA-N 0 0 425.511 -0.750 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000470448002 1074653761 /nfs/dbraw/zinc/65/37/61/1074653761.db2.gz JDGZVAGZCAJVPZ-UHFFFAOYSA-N 0 0 437.522 -0.652 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCc2cc(S(N)(=O)=O)ccc21 ZINC000470448224 1072677970 /nfs/dbraw/zinc/67/79/70/1072677970.db2.gz MTJPERWUQMDJER-UHFFFAOYSA-N 0 0 440.503 -0.009 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(c2ncccc2C(N)=O)CC1 ZINC000470451133 1074653590 /nfs/dbraw/zinc/65/35/90/1074653590.db2.gz GLHWNCZPRFTSHW-UHFFFAOYSA-N 0 0 448.505 -0.403 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000470451346 1074653788 /nfs/dbraw/zinc/65/37/88/1074653788.db2.gz ILGDOBJEASVFAA-INIZCTEOSA-N 0 0 426.495 -0.396 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000470451347 1074653613 /nfs/dbraw/zinc/65/36/13/1074653613.db2.gz ILGDOBJEASVFAA-MRXNPFEDSA-N 0 0 426.495 -0.396 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(c2ncccc2C(N)=O)CC1 ZINC000470451663 1074653710 /nfs/dbraw/zinc/65/37/10/1074653710.db2.gz KLTJHEONWZTHDD-UHFFFAOYSA-N 0 0 432.506 -0.103 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000470460716 1074653608 /nfs/dbraw/zinc/65/36/08/1074653608.db2.gz YJVVAQLKWFPOBB-AWEZNQCLSA-N 0 0 441.554 -0.187 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000470460717 1074653599 /nfs/dbraw/zinc/65/35/99/1074653599.db2.gz YJVVAQLKWFPOBB-CQSZACIVSA-N 0 0 441.554 -0.187 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)NCC3CC3)cc2)CC1 ZINC000470462122 1074653651 /nfs/dbraw/zinc/65/36/51/1074653651.db2.gz OLVLUXWLRHLTEP-UHFFFAOYSA-N 0 0 430.552 -0.109 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C[C@@H](CO)O1 ZINC000470466191 1074653696 /nfs/dbraw/zinc/65/36/96/1074653696.db2.gz KYHSSAXTTQYYDS-CABCVRRESA-N 0 0 427.523 -0.005 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C[C@@H](CO)O1 ZINC000470466192 1074654202 /nfs/dbraw/zinc/65/42/02/1074654202.db2.gz KYHSSAXTTQYYDS-GJZGRUSLSA-N 0 0 427.523 -0.005 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C[C@H](CO)O1 ZINC000470466193 1074654171 /nfs/dbraw/zinc/65/41/71/1074654171.db2.gz KYHSSAXTTQYYDS-HUUCEWRRSA-N 0 0 427.523 -0.005 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C[C@H](CO)O1 ZINC000470466194 1074654166 /nfs/dbraw/zinc/65/41/66/1074654166.db2.gz KYHSSAXTTQYYDS-LSDHHAIUSA-N 0 0 427.523 -0.005 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2nc3cc(Br)cnc3[nH]2)CC1 ZINC000470468438 1074654229 /nfs/dbraw/zinc/65/42/29/1074654229.db2.gz ZJFDJJVQGCMKKK-UHFFFAOYSA-N 0 0 431.316 -0.086 20 0 IBADRN COc1cc2c(cc1OC)CN(CCNC(=O)CN1CCN(S(C)(=O)=O)CC1)CC2 ZINC000470471913 1074654278 /nfs/dbraw/zinc/65/42/78/1074654278.db2.gz BHORUWFOTWJQBM-UHFFFAOYSA-N 0 0 440.566 -0.245 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N(Cc2cccnc2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000470472206 1072798842 /nfs/dbraw/zinc/79/88/42/1072798842.db2.gz DQSMAUKGLYSFCP-INIZCTEOSA-N 0 0 430.552 -0.826 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N(Cc2cccnc2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000470472207 1072798911 /nfs/dbraw/zinc/79/89/11/1072798911.db2.gz DQSMAUKGLYSFCP-MRXNPFEDSA-N 0 0 430.552 -0.826 20 0 IBADRN COC[C@](C)(CNC(=O)Cn1c(C)cc(O)cc1=O)NC(=O)Cn1c(C)cc(O)cc1=O ZINC000470474517 1072864441 /nfs/dbraw/zinc/86/44/41/1072864441.db2.gz CUGLUOKMWFYZII-NRFANRHFSA-N 0 0 448.476 -0.624 20 0 IBADRN COC[C@@](C)(CNC(=O)Cn1c(C)cc(O)cc1=O)NC(=O)Cn1c(C)cc(O)cc1=O ZINC000470474521 1074654178 /nfs/dbraw/zinc/65/41/78/1074654178.db2.gz CUGLUOKMWFYZII-OAQYLSRUSA-N 0 0 448.476 -0.624 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000470476421 1074654207 /nfs/dbraw/zinc/65/42/07/1074654207.db2.gz VUYXIFXHOJTMCT-INIZCTEOSA-N 0 0 427.523 -0.400 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000470476422 1074654300 /nfs/dbraw/zinc/65/43/00/1074654300.db2.gz VUYXIFXHOJTMCT-MRXNPFEDSA-N 0 0 427.523 -0.400 20 0 IBADRN CC(C)(C)c1nc(CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC000470478172 1072969953 /nfs/dbraw/zinc/96/99/53/1072969953.db2.gz SZLPMEOYDFMLBO-UHFFFAOYSA-N 0 0 428.559 -0.412 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000470478546 1074654294 /nfs/dbraw/zinc/65/42/94/1074654294.db2.gz WLMDXVFOYWGYPC-MSOLQXFVSA-N 0 0 443.614 -0.597 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000470478547 1074654262 /nfs/dbraw/zinc/65/42/62/1074654262.db2.gz WLMDXVFOYWGYPC-QZTJIDSGSA-N 0 0 443.614 -0.597 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000470478548 1074654289 /nfs/dbraw/zinc/65/42/89/1074654289.db2.gz WLMDXVFOYWGYPC-ROUUACIJSA-N 0 0 443.614 -0.597 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000470478549 1074654236 /nfs/dbraw/zinc/65/42/36/1074654236.db2.gz WLMDXVFOYWGYPC-ZWKOTPCHSA-N 0 0 443.614 -0.597 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@H](CO)O1 ZINC000470479070 1074654193 /nfs/dbraw/zinc/65/41/93/1074654193.db2.gz YZZFJKWHWHCTEY-FMKPAKJESA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@H](CO)O1 ZINC000470479071 1074654298 /nfs/dbraw/zinc/65/42/98/1074654298.db2.gz YZZFJKWHWHCTEY-IIAWOOMASA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@@H](CO)O1 ZINC000470479072 1074654882 /nfs/dbraw/zinc/65/48/82/1074654882.db2.gz YZZFJKWHWHCTEY-IJEWVQPXSA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@@H](CO)O1 ZINC000470479073 1074654841 /nfs/dbraw/zinc/65/48/41/1074654841.db2.gz YZZFJKWHWHCTEY-YCPHGPKFSA-N 0 0 434.536 -0.624 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NCC(C)(C)CNC(=O)Cn1c(C)cc(O)cc1=O ZINC000470479258 1074654304 /nfs/dbraw/zinc/65/43/04/1074654304.db2.gz CDIKTMFJQCYHRE-UHFFFAOYSA-N 0 0 432.477 -0.003 20 0 IBADRN CCCn1nc(C)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000470480138 1074654729 /nfs/dbraw/zinc/65/47/29/1074654729.db2.gz PDTUBFGYARYZJK-INIZCTEOSA-N 0 0 425.555 -0.029 20 0 IBADRN CCCn1nc(C)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000470480139 1074654793 /nfs/dbraw/zinc/65/47/93/1074654793.db2.gz PDTUBFGYARYZJK-MRXNPFEDSA-N 0 0 425.555 -0.029 20 0 IBADRN COc1cc(F)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000470480390 1074654856 /nfs/dbraw/zinc/65/48/56/1074654856.db2.gz SQHUNMYCKUUOQA-CYBMUJFWSA-N 0 0 443.497 -0.096 20 0 IBADRN COc1cc(F)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000470480391 1074654773 /nfs/dbraw/zinc/65/47/73/1074654773.db2.gz SQHUNMYCKUUOQA-ZDUSSCGKSA-N 0 0 443.497 -0.096 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2cccc(C(=O)Nc3ccncc3)c2)CC1 ZINC000470482239 1074654821 /nfs/dbraw/zinc/65/48/21/1074654821.db2.gz MQLNXCPKZYEFQS-UHFFFAOYSA-N 0 0 431.518 -0.051 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NC[C@H](NC(=O)Cn1c(C)cc(O)cc1=O)C(C)C ZINC000470483368 1074654779 /nfs/dbraw/zinc/65/47/79/1074654779.db2.gz NFYNUAIMBHFTKE-KRWDZBQOSA-N 0 0 432.477 -0.005 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NC[C@@H](NC(=O)Cn1c(C)cc(O)cc1=O)C(C)C ZINC000470483369 1074654715 /nfs/dbraw/zinc/65/47/15/1074654715.db2.gz NFYNUAIMBHFTKE-QGZVFWFLSA-N 0 0 432.477 -0.005 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N1CCCN(C(=O)Cn2c(C)cc(O)cc2=O)CC1 ZINC000470489857 1074654849 /nfs/dbraw/zinc/65/48/49/1074654849.db2.gz MGPABLZULGVITJ-UHFFFAOYSA-N 0 0 430.461 -0.201 20 0 IBADRN CC(C)(C)c1nc(CN2CCCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC000470490723 1074654810 /nfs/dbraw/zinc/65/48/10/1074654810.db2.gz VTEZQGWNWZINHT-UHFFFAOYSA-N 0 0 442.586 -0.021 20 0 IBADRN COCCN1CCCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1=O ZINC000470504178 1074654783 /nfs/dbraw/zinc/65/47/83/1074654783.db2.gz KWZVSRCXTANDFV-UHFFFAOYSA-N 0 0 441.510 -0.185 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000470509558 1074654832 /nfs/dbraw/zinc/65/48/32/1074654832.db2.gz NPNHCRVIAVYJPM-UHFFFAOYSA-N 0 0 429.543 -0.675 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)CC1 ZINC000470515717 1074654763 /nfs/dbraw/zinc/65/47/63/1074654763.db2.gz GBVYGTUKRKTJDW-UHFFFAOYSA-N 0 0 444.579 -0.467 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3c3ccccc3)C2)o1 ZINC000470518012 1074654797 /nfs/dbraw/zinc/65/47/97/1074654797.db2.gz JRRVAEWWZHZTFI-ILXRZTDVSA-N 0 0 446.485 -0.070 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C2)o1 ZINC000470518013 1074654755 /nfs/dbraw/zinc/65/47/55/1074654755.db2.gz JRRVAEWWZHZTFI-KFWWJZLASA-N 0 0 446.485 -0.070 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C2)o1 ZINC000470518014 1074654803 /nfs/dbraw/zinc/65/48/03/1074654803.db2.gz JRRVAEWWZHZTFI-QLFBSQMISA-N 0 0 446.485 -0.070 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C2)o1 ZINC000470518015 1074654740 /nfs/dbraw/zinc/65/47/40/1074654740.db2.gz JRRVAEWWZHZTFI-RBSFLKMASA-N 0 0 446.485 -0.070 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C2)c[nH]1 ZINC000470520125 1074655160 /nfs/dbraw/zinc/65/51/60/1074655160.db2.gz HYLHZTYTSHMBFB-FRFSOERESA-N 0 0 445.501 -0.335 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3c3ccccc3)C2)c[nH]1 ZINC000470520126 1074655130 /nfs/dbraw/zinc/65/51/30/1074655130.db2.gz HYLHZTYTSHMBFB-KMFMINBZSA-N 0 0 445.501 -0.335 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C2)c[nH]1 ZINC000470520127 1074655348 /nfs/dbraw/zinc/65/53/48/1074655348.db2.gz HYLHZTYTSHMBFB-UKPHBRMFSA-N 0 0 445.501 -0.335 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C2)c[nH]1 ZINC000470520128 1074655356 /nfs/dbraw/zinc/65/53/56/1074655356.db2.gz HYLHZTYTSHMBFB-UNEWFSDZSA-N 0 0 445.501 -0.335 20 0 IBADRN CCc1nsc(N2CCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)n1 ZINC000470530434 1074655220 /nfs/dbraw/zinc/65/52/20/1074655220.db2.gz IAGZWEPVTRNBPC-UHFFFAOYSA-N 0 0 428.496 -0.581 20 0 IBADRN CCc1nsc(N2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000470538407 1074655315 /nfs/dbraw/zinc/65/53/15/1074655315.db2.gz UUSFFHHXQVECHO-UHFFFAOYSA-N 0 0 432.572 -0.417 20 0 IBADRN CCc1nsc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)n1 ZINC000470539637 1074655336 /nfs/dbraw/zinc/65/53/36/1074655336.db2.gz KSFTUQXYGTZRTG-UHFFFAOYSA-N 0 0 427.512 -0.845 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2=O)n(C)n1 ZINC000470540183 1074655180 /nfs/dbraw/zinc/65/51/80/1074655180.db2.gz RZHWBHCVJUPRNC-AWEZNQCLSA-N 0 0 442.542 -0.826 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2=O)n(C)n1 ZINC000470540184 1074655146 /nfs/dbraw/zinc/65/51/46/1074655146.db2.gz RZHWBHCVJUPRNC-CQSZACIVSA-N 0 0 442.542 -0.826 20 0 IBADRN O=C(CNC(=O)CNC(=O)c1ccc(Br)s1)NCCN1CCOCC1 ZINC000470545681 1073192391 /nfs/dbraw/zinc/19/23/91/1073192391.db2.gz GTOFZPXVIIOHBK-UHFFFAOYSA-N 0 0 433.328 -0.195 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)s1 ZINC000470549964 1074655383 /nfs/dbraw/zinc/65/53/83/1074655383.db2.gz SHFBIKLBIPZSNR-MPKXVKKWSA-N 0 0 428.536 -0.212 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)s1 ZINC000470549965 1074655262 /nfs/dbraw/zinc/65/52/62/1074655262.db2.gz SHFBIKLBIPZSNR-QKCSRTOESA-N 0 0 428.536 -0.212 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)s1 ZINC000470549966 1074655298 /nfs/dbraw/zinc/65/52/98/1074655298.db2.gz SHFBIKLBIPZSNR-SUHUHFCYSA-N 0 0 428.536 -0.212 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)s1 ZINC000470549967 1074655329 /nfs/dbraw/zinc/65/53/29/1074655329.db2.gz SHFBIKLBIPZSNR-ZKYQVNSYSA-N 0 0 428.536 -0.212 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000470550957 1074655207 /nfs/dbraw/zinc/65/52/07/1074655207.db2.gz GFQUGCYLCOXVDX-UHFFFAOYSA-N 0 0 430.552 -0.477 20 0 IBADRN COC(=O)[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1ccc2c(c1)CCO2 ZINC000470562241 1074655830 /nfs/dbraw/zinc/65/58/30/1074655830.db2.gz FDLMUDHSQFAZCR-GOSISDBHSA-N 0 0 441.506 -0.214 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1ccc2c(c1)CCO2 ZINC000470562242 1074655773 /nfs/dbraw/zinc/65/57/73/1074655773.db2.gz FDLMUDHSQFAZCR-SFHVURJKSA-N 0 0 441.506 -0.214 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1ccc2c(c1)CCO2 ZINC000470562685 1074655776 /nfs/dbraw/zinc/65/57/76/1074655776.db2.gz CHTLOZCRJFLSFP-HDMKZQKVSA-N 0 0 436.486 -0.013 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1ccc2c(c1)CCO2 ZINC000470562692 1074655808 /nfs/dbraw/zinc/65/58/08/1074655808.db2.gz CHTLOZCRJFLSFP-IIDMSEBBSA-N 0 0 436.486 -0.013 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1ccc2c(c1)CCO2 ZINC000470562694 1074655786 /nfs/dbraw/zinc/65/57/86/1074655786.db2.gz CHTLOZCRJFLSFP-RLFYNMQTSA-N 0 0 436.486 -0.013 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1ccc2c(c1)CCO2 ZINC000470562696 1074655824 /nfs/dbraw/zinc/65/58/24/1074655824.db2.gz CHTLOZCRJFLSFP-RVKKMQEKSA-N 0 0 436.486 -0.013 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1ccc2c(c1)CCO2 ZINC000470563067 1074655842 /nfs/dbraw/zinc/65/58/42/1074655842.db2.gz FQFBZASVOCXMAZ-INIZCTEOSA-N 0 0 437.430 -0.378 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1ccc2c(c1)CCO2 ZINC000470563068 1074655801 /nfs/dbraw/zinc/65/58/01/1074655801.db2.gz FQFBZASVOCXMAZ-MRXNPFEDSA-N 0 0 437.430 -0.378 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc2c(c1)CCO2 ZINC000470565882 1074655827 /nfs/dbraw/zinc/65/58/27/1074655827.db2.gz VGYVGXJYKDFEPR-INIZCTEOSA-N 0 0 436.446 -0.643 20 0 IBADRN COC(=O)[C@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc2c(c1)CCO2 ZINC000470565883 1074655770 /nfs/dbraw/zinc/65/57/70/1074655770.db2.gz VGYVGXJYKDFEPR-MRXNPFEDSA-N 0 0 436.446 -0.643 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000470575899 1074656562 /nfs/dbraw/zinc/65/65/62/1074656562.db2.gz WTKYHIBAUWJKFA-UHFFFAOYSA-N 0 0 435.506 -0.171 20 0 IBADRN CN(Cc1ncnn1CC(F)F)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000470584198 1074656611 /nfs/dbraw/zinc/65/66/11/1074656611.db2.gz UYKIZRDPUGQHBF-UHFFFAOYSA-N 0 0 442.448 -0.064 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(CCO)CCN3CCOCC3)cn2)C[C@H](C)O1 ZINC000470591162 1072706449 /nfs/dbraw/zinc/70/64/49/1072706449.db2.gz PLXCBIFPEHZLND-CALCHBBNSA-N 0 0 438.529 -0.323 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(CCO)CCN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000470591163 1072706513 /nfs/dbraw/zinc/70/65/13/1072706513.db2.gz PLXCBIFPEHZLND-IAGOWNOFSA-N 0 0 438.529 -0.323 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N(CCO)CCN3CCOCC3)cn2)C[C@H](C)O1 ZINC000470591164 1072707088 /nfs/dbraw/zinc/70/70/88/1072707088.db2.gz PLXCBIFPEHZLND-IRXDYDNUSA-N 0 0 438.529 -0.323 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000470597627 1072711970 /nfs/dbraw/zinc/71/19/70/1072711970.db2.gz FVGAMEUZWJRZPO-UHFFFAOYSA-N 0 0 430.527 -0.230 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000470601467 1074656374 /nfs/dbraw/zinc/65/63/74/1074656374.db2.gz LTDSYSHPWKCCNP-UHFFFAOYSA-N 0 0 432.568 -0.691 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C[C@H](C(N)=O)O1 ZINC000470603434 1074656528 /nfs/dbraw/zinc/65/65/28/1074656528.db2.gz UPWPPAIPROICHQ-BXKDBHETSA-N 0 0 445.441 -0.210 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C[C@@H](C(N)=O)O1 ZINC000470603435 1074656570 /nfs/dbraw/zinc/65/65/70/1074656570.db2.gz UPWPPAIPROICHQ-SKDRFNHKSA-N 0 0 445.441 -0.210 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)s1 ZINC000470603439 1074656618 /nfs/dbraw/zinc/65/66/18/1074656618.db2.gz USDRHTOYXSFLKO-UHFFFAOYSA-N 0 0 445.567 -0.454 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000470603642 1074656587 /nfs/dbraw/zinc/65/65/87/1074656587.db2.gz VPPSDAHSLQCWGM-HXEOFFAUSA-N 0 0 426.451 -0.987 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000470603643 1074656415 /nfs/dbraw/zinc/65/64/15/1074656415.db2.gz VPPSDAHSLQCWGM-IJPZITHUSA-N 0 0 426.451 -0.987 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000470603644 1074656473 /nfs/dbraw/zinc/65/64/73/1074656473.db2.gz VPPSDAHSLQCWGM-JEIZZZKLSA-N 0 0 426.451 -0.987 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000470603645 1074656622 /nfs/dbraw/zinc/65/66/22/1074656622.db2.gz VPPSDAHSLQCWGM-KRVQHAQSSA-N 0 0 426.451 -0.987 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)O1 ZINC000470606445 1074656988 /nfs/dbraw/zinc/65/69/88/1074656988.db2.gz NCNGHCXVVLDTNL-BXKDBHETSA-N 0 0 445.441 -0.162 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)O1 ZINC000470606446 1074656929 /nfs/dbraw/zinc/65/69/29/1074656929.db2.gz NCNGHCXVVLDTNL-CABZTGNLSA-N 0 0 445.441 -0.162 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)O1 ZINC000470606447 1074657057 /nfs/dbraw/zinc/65/70/57/1074657057.db2.gz NCNGHCXVVLDTNL-JOYOIKCWSA-N 0 0 445.441 -0.162 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)O1 ZINC000470606448 1074656825 /nfs/dbraw/zinc/65/68/25/1074656825.db2.gz NCNGHCXVVLDTNL-SKDRFNHKSA-N 0 0 445.441 -0.162 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000470606928 1074656543 /nfs/dbraw/zinc/65/65/43/1074656543.db2.gz OZYCHWSKSOKMCP-SIXIKZCJSA-N 0 0 426.451 -0.939 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000470606929 1074656524 /nfs/dbraw/zinc/65/65/24/1074656524.db2.gz OZYCHWSKSOKMCP-VNQQYTOKSA-N 0 0 426.451 -0.939 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1[C@]1(C)NC(=O)NC1=O ZINC000470606930 1074656479 /nfs/dbraw/zinc/65/64/79/1074656479.db2.gz OZYCHWSKSOKMCP-VSQKUCPKSA-N 0 0 426.451 -0.939 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1[C@]1(C)NC(=O)NC1=O ZINC000470606931 1074656883 /nfs/dbraw/zinc/65/68/83/1074656883.db2.gz OZYCHWSKSOKMCP-YHYSRRTLSA-N 0 0 426.451 -0.939 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C[C@H](CO)O1 ZINC000470608330 1074656845 /nfs/dbraw/zinc/65/68/45/1074656845.db2.gz FPNRTVGPQOXDSV-BPLDGKMQSA-N 0 0 447.535 -0.235 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C[C@@H](CO)O1 ZINC000470608331 1074656875 /nfs/dbraw/zinc/65/68/75/1074656875.db2.gz FPNRTVGPQOXDSV-SNPRPXQTSA-N 0 0 447.535 -0.235 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C[C@H](CO)O1 ZINC000470608332 1074656964 /nfs/dbraw/zinc/65/69/64/1074656964.db2.gz FPNRTVGPQOXDSV-VHDGCEQUSA-N 0 0 447.535 -0.235 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C[C@@H](CO)O1 ZINC000470608333 1074656891 /nfs/dbraw/zinc/65/68/91/1074656891.db2.gz FPNRTVGPQOXDSV-YUELXQCFSA-N 0 0 447.535 -0.235 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)C[C@@H](C(N)=O)O1 ZINC000470608717 1074657013 /nfs/dbraw/zinc/65/70/13/1074657013.db2.gz ALLMBHLFYAJRNA-PELKAZGASA-N 0 0 447.311 -0.097 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)C[C@H](C(N)=O)O1 ZINC000470608719 1074657045 /nfs/dbraw/zinc/65/70/45/1074657045.db2.gz ALLMBHLFYAJRNA-PRHODGIISA-N 0 0 447.311 -0.097 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470612370 1074657033 /nfs/dbraw/zinc/65/70/33/1074657033.db2.gz MYOAAWSDOLZBHP-DOMZBBRYSA-N 0 0 433.508 -0.981 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470612373 1074657075 /nfs/dbraw/zinc/65/70/75/1074657075.db2.gz MYOAAWSDOLZBHP-IUODEOHRSA-N 0 0 433.508 -0.981 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470612375 1074656945 /nfs/dbraw/zinc/65/69/45/1074656945.db2.gz MYOAAWSDOLZBHP-SWLSCSKDSA-N 0 0 433.508 -0.981 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000470612376 1074657004 /nfs/dbraw/zinc/65/70/04/1074657004.db2.gz MYOAAWSDOLZBHP-WFASDCNBSA-N 0 0 433.508 -0.981 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)cc2n(C)c1=O ZINC000470613832 1074656976 /nfs/dbraw/zinc/65/69/76/1074656976.db2.gz RUHVQSJZDCWGQL-PELKAZGASA-N 0 0 447.311 -0.049 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC[C@H]3CC[C@H](C(N)=O)O3)cc2n(C)c1=O ZINC000470613842 1074657069 /nfs/dbraw/zinc/65/70/69/1074657069.db2.gz RUHVQSJZDCWGQL-PRHODGIISA-N 0 0 447.311 -0.049 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)cc2n(C)c1=O ZINC000470613844 1074656861 /nfs/dbraw/zinc/65/68/61/1074656861.db2.gz RUHVQSJZDCWGQL-QPUJVOFHSA-N 0 0 447.311 -0.049 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)cc2n(C)c1=O ZINC000470613847 1074657053 /nfs/dbraw/zinc/65/70/53/1074657053.db2.gz RUHVQSJZDCWGQL-UFBFGSQYSA-N 0 0 447.311 -0.049 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000470615678 1074656905 /nfs/dbraw/zinc/65/69/05/1074656905.db2.gz YJRFJWQCBJXNOP-HNNXBMFYSA-N 0 0 444.558 -0.612 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000470615679 1074657558 /nfs/dbraw/zinc/65/75/58/1074657558.db2.gz YJRFJWQCBJXNOP-OAHLLOKOSA-N 0 0 444.558 -0.612 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2)cc(OC)c1 ZINC000470632397 1074657482 /nfs/dbraw/zinc/65/74/82/1074657482.db2.gz AYLJNRZADNQUJZ-KRWDZBQOSA-N 0 0 440.566 -0.029 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2)cc(OC)c1 ZINC000470632403 1074657493 /nfs/dbraw/zinc/65/74/93/1074657493.db2.gz AYLJNRZADNQUJZ-QGZVFWFLSA-N 0 0 440.566 -0.029 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000470640233 1074657537 /nfs/dbraw/zinc/65/75/37/1074657537.db2.gz ZXIQMCCAZNKXOU-HNNXBMFYSA-N 0 0 444.558 -0.612 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000470640234 1074657601 /nfs/dbraw/zinc/65/76/01/1074657601.db2.gz ZXIQMCCAZNKXOU-OAHLLOKOSA-N 0 0 444.558 -0.612 20 0 IBADRN CN(C)CCN(C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)[C@H]1CCS(=O)(=O)C1 ZINC000470642848 1074657609 /nfs/dbraw/zinc/65/76/09/1074657609.db2.gz FLVIOSLBTUZOPP-INIZCTEOSA-N 0 0 445.563 -0.428 20 0 IBADRN CN(C)CCN(C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)[C@@H]1CCS(=O)(=O)C1 ZINC000470642853 1074657546 /nfs/dbraw/zinc/65/75/46/1074657546.db2.gz FLVIOSLBTUZOPP-MRXNPFEDSA-N 0 0 445.563 -0.428 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c(C)n1 ZINC000470643117 1074657503 /nfs/dbraw/zinc/65/75/03/1074657503.db2.gz FGBUMEPXHBLIIK-UHFFFAOYSA-N 0 0 427.465 -0.018 20 0 IBADRN COc1cc(C[C@H](CO)CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc(OC)c1 ZINC000470643182 1074657583 /nfs/dbraw/zinc/65/75/83/1074657583.db2.gz HEUJPVBGGRHFTO-INIZCTEOSA-N 0 0 429.539 -0.452 20 0 IBADRN COc1cc(C[C@@H](CO)CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc(OC)c1 ZINC000470643186 1074657510 /nfs/dbraw/zinc/65/75/10/1074657510.db2.gz HEUJPVBGGRHFTO-MRXNPFEDSA-N 0 0 429.539 -0.452 20 0 IBADRN CNC(=O)N1CCN(C(=O)CCSCCC(=O)N2CCN(C(=O)NC)CC2)CC1 ZINC000470648028 1074657490 /nfs/dbraw/zinc/65/74/90/1074657490.db2.gz AIAYTNZCIRXZTE-UHFFFAOYSA-N 0 0 428.559 -0.533 20 0 IBADRN CNC(=O)N1CCN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000470648709 1074657605 /nfs/dbraw/zinc/65/76/05/1074657605.db2.gz GSPKXMZQBPJCMI-UHFFFAOYSA-N 0 0 440.522 -0.258 20 0 IBADRN CNC(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CC1 ZINC000470648730 1074657588 /nfs/dbraw/zinc/65/75/88/1074657588.db2.gz MWXBGRVVVSXRIE-UHFFFAOYSA-N 0 0 437.522 -0.363 20 0 IBADRN CNC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC000470648969 1074657500 /nfs/dbraw/zinc/65/75/00/1074657500.db2.gz IXINGCSRNZAYKP-UHFFFAOYSA-N 0 0 426.495 -0.187 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000470649490 1074657479 /nfs/dbraw/zinc/65/74/79/1074657479.db2.gz UHUCFNQVANMPIF-ZDUSSCGKSA-N 0 0 426.495 -0.002 20 0 IBADRN CNC(=O)N1CCN(C(=O)CC2(C(=O)N3CCN(C(=O)NC)CC3)CCOCC2)CC1 ZINC000470650648 1074657616 /nfs/dbraw/zinc/65/76/16/1074657616.db2.gz GJRGUWZDDCHWJY-UHFFFAOYSA-N 0 0 438.529 -0.860 20 0 IBADRN CNC(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000470650762 1074657528 /nfs/dbraw/zinc/65/75/28/1074657528.db2.gz UDKKOHKZGCOKHV-UHFFFAOYSA-N 0 0 426.495 -0.187 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)O ZINC000470651450 1074658255 /nfs/dbraw/zinc/65/82/55/1074658255.db2.gz XHASEFIXOGTRQQ-PXAZEXFGSA-N 0 0 430.479 -0.703 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@H](C)O ZINC000470651455 1074658242 /nfs/dbraw/zinc/65/82/42/1074658242.db2.gz XHASEFIXOGTRQQ-SJCJKPOMSA-N 0 0 430.479 -0.703 20 0 IBADRN COC(=O)[C@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)O ZINC000470651456 1074658164 /nfs/dbraw/zinc/65/81/64/1074658164.db2.gz XHASEFIXOGTRQQ-SJKOYZFVSA-N 0 0 430.479 -0.703 20 0 IBADRN COC(=O)[C@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@H](C)O ZINC000470651457 1074658176 /nfs/dbraw/zinc/65/81/76/1074658176.db2.gz XHASEFIXOGTRQQ-YVEFUNNKSA-N 0 0 430.479 -0.703 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(C(=O)NC)CC2)c1 ZINC000470651518 1074657594 /nfs/dbraw/zinc/65/75/94/1074657594.db2.gz XWUAARGFWMFMRY-UHFFFAOYSA-N 0 0 439.538 -0.070 20 0 IBADRN CNC(=O)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000470652257 1074658300 /nfs/dbraw/zinc/65/83/00/1074658300.db2.gz RFZPHEZOABIJPY-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN COC(=O)[C@H](NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C)[C@H](C)O ZINC000470653886 1074658341 /nfs/dbraw/zinc/65/83/41/1074658341.db2.gz WZTDBIATNQZHGM-APPDUMDISA-N 0 0 426.495 -0.205 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C)[C@@H](C)O ZINC000470653887 1074658325 /nfs/dbraw/zinc/65/83/25/1074658325.db2.gz WZTDBIATNQZHGM-DIFFPNOSSA-N 0 0 426.495 -0.205 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C)[C@H](C)O ZINC000470653888 1074658228 /nfs/dbraw/zinc/65/82/28/1074658228.db2.gz WZTDBIATNQZHGM-GTNSWQLSSA-N 0 0 426.495 -0.205 20 0 IBADRN COC(=O)[C@H](NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C)[C@@H](C)O ZINC000470653889 1074658318 /nfs/dbraw/zinc/65/83/18/1074658318.db2.gz WZTDBIATNQZHGM-PIGZYNQJSA-N 0 0 426.495 -0.205 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@@H](C(=O)OC)[C@H](C)O)CC1 ZINC000470654975 1074658288 /nfs/dbraw/zinc/65/82/88/1074658288.db2.gz ABIKZLXJOSAZTR-BLLLJJGKSA-N 0 0 442.490 -0.088 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@H](C(=O)OC)[C@H](C)O)CC1 ZINC000470654976 1074658314 /nfs/dbraw/zinc/65/83/14/1074658314.db2.gz ABIKZLXJOSAZTR-LRDDRELGSA-N 0 0 442.490 -0.088 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@@H](C(=O)OC)[C@@H](C)O)CC1 ZINC000470654977 1074658345 /nfs/dbraw/zinc/65/83/45/1074658345.db2.gz ABIKZLXJOSAZTR-MLGOLLRUSA-N 0 0 442.490 -0.088 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@H](C(=O)OC)[C@@H](C)O)CC1 ZINC000470654978 1074658202 /nfs/dbraw/zinc/65/82/02/1074658202.db2.gz ABIKZLXJOSAZTR-WBMJQRKESA-N 0 0 442.490 -0.088 20 0 IBADRN COC(=O)[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@H](C)O ZINC000470656678 1074658185 /nfs/dbraw/zinc/65/81/85/1074658185.db2.gz OEAILGPJJZYSST-KBXCAEBGSA-N 0 0 441.506 -0.662 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@@H](C)O ZINC000470656684 1074658291 /nfs/dbraw/zinc/65/82/91/1074658291.db2.gz OEAILGPJJZYSST-KDOFPFPSSA-N 0 0 441.506 -0.662 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@H](C)O ZINC000470656687 1074658251 /nfs/dbraw/zinc/65/82/51/1074658251.db2.gz OEAILGPJJZYSST-KSSFIOAISA-N 0 0 441.506 -0.662 20 0 IBADRN COC(=O)[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@@H](C)O ZINC000470656689 1074658245 /nfs/dbraw/zinc/65/82/45/1074658245.db2.gz OEAILGPJJZYSST-RDTXWAMCSA-N 0 0 441.506 -0.662 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C)n1 ZINC000470657422 1074658331 /nfs/dbraw/zinc/65/83/31/1074658331.db2.gz MVOKZQBSRYHURM-UHFFFAOYSA-N 0 0 441.510 -0.505 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000470657976 1074658275 /nfs/dbraw/zinc/65/82/75/1074658275.db2.gz OYSRFSGDTVVRPA-UHFFFAOYSA-N 0 0 425.554 -0.432 20 0 IBADRN CNC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000470659090 1074658216 /nfs/dbraw/zinc/65/82/16/1074658216.db2.gz LNKLVOFTXYWBQY-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN CNC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CC1 ZINC000470662441 1074658713 /nfs/dbraw/zinc/65/87/13/1074658713.db2.gz REKZKDILCIAQQV-UHFFFAOYSA-N 0 0 439.538 -0.129 20 0 IBADRN CNC(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CC1 ZINC000470663567 1074658832 /nfs/dbraw/zinc/65/88/32/1074658832.db2.gz PZNZDAQIAYZEIV-UHFFFAOYSA-N 0 0 437.522 -0.363 20 0 IBADRN COC(=O)CC[C@H](NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)OC ZINC000470671076 1074658722 /nfs/dbraw/zinc/65/87/22/1074658722.db2.gz XDIRVZSIMDIAKD-ZDUSSCGKSA-N 0 0 448.519 -0.078 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000470673320 1074658668 /nfs/dbraw/zinc/65/86/68/1074658668.db2.gz OLVRJCFAHXFIBE-HNNXBMFYSA-N 0 0 428.463 -0.068 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000470676883 1074658747 /nfs/dbraw/zinc/65/87/47/1074658747.db2.gz BSYVVOKHLZSCKJ-HNNXBMFYSA-N 0 0 428.463 -0.068 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)OC ZINC000470679786 1074658660 /nfs/dbraw/zinc/65/86/60/1074658660.db2.gz RCERCYORBXWZRO-AWEZNQCLSA-N 0 0 441.462 -0.968 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)OC ZINC000470680118 1074658828 /nfs/dbraw/zinc/65/88/28/1074658828.db2.gz TYCJFCYNCUIPLJ-FZMZJTMJSA-N 0 0 441.462 -0.702 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)OC ZINC000470680119 1074658778 /nfs/dbraw/zinc/65/87/78/1074658778.db2.gz TYCJFCYNCUIPLJ-RISCZKNCSA-N 0 0 441.462 -0.702 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000470681195 1074658769 /nfs/dbraw/zinc/65/87/69/1074658769.db2.gz HIYMXKNFJPTXOR-LBPRGKRZSA-N 0 0 434.492 -0.006 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)OC ZINC000470682680 1074658811 /nfs/dbraw/zinc/65/88/11/1074658811.db2.gz LJGLLVRWMHUWLF-AWEZNQCLSA-N 0 0 441.462 -0.968 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)C(=O)OC ZINC000470686486 1074658639 /nfs/dbraw/zinc/65/86/39/1074658639.db2.gz TUGWLVSFCALHFC-LBPRGKRZSA-N 0 0 433.508 -0.023 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)OCC(=O)N2C)cn1 ZINC000470718036 1074658735 /nfs/dbraw/zinc/65/87/35/1074658735.db2.gz YLSCYRLBNLENRQ-RBUKOAKNSA-N 0 0 447.517 -0.149 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)OCC(=O)N2C)cn1 ZINC000470734113 1074659380 /nfs/dbraw/zinc/65/93/80/1074659380.db2.gz SVGXWUTYPJLSKW-JKSUJKDBSA-N 0 0 432.481 -0.311 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)CC2)cn1 ZINC000470734301 1074659892 /nfs/dbraw/zinc/65/98/92/1074659892.db2.gz WNYICYIDGGNDNX-UHFFFAOYSA-N 0 0 427.513 -0.219 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@H](C(=O)OC)c2ccn(C)n2)cc1 ZINC000470742540 1074659777 /nfs/dbraw/zinc/65/97/77/1074659777.db2.gz CTFGABJVDVYQJT-INIZCTEOSA-N 0 0 438.462 -0.094 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](C(=O)OC)c2ccn(C)n2)cc1 ZINC000470742541 1074659899 /nfs/dbraw/zinc/65/98/99/1074659899.db2.gz CTFGABJVDVYQJT-MRXNPFEDSA-N 0 0 438.462 -0.094 20 0 IBADRN COCCN1CCCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1=O ZINC000470745828 1074660351 /nfs/dbraw/zinc/66/03/51/1074660351.db2.gz QRGZIZDIFNSBLL-CRAIPNDOSA-N 0 0 427.523 -0.270 20 0 IBADRN COCCN1CCCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1=O ZINC000470745829 1074660367 /nfs/dbraw/zinc/66/03/67/1074660367.db2.gz QRGZIZDIFNSBLL-MAUKXSAKSA-N 0 0 427.523 -0.270 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1=O ZINC000470745830 1074660324 /nfs/dbraw/zinc/66/03/24/1074660324.db2.gz QRGZIZDIFNSBLL-QAPCUYQASA-N 0 0 427.523 -0.270 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1=O ZINC000470745831 1074660370 /nfs/dbraw/zinc/66/03/70/1074660370.db2.gz QRGZIZDIFNSBLL-YJBOKZPZSA-N 0 0 427.523 -0.270 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)c1ccn(C)n1 ZINC000470746289 1074660309 /nfs/dbraw/zinc/66/03/09/1074660309.db2.gz NHGIJZAXVWLNTF-INIZCTEOSA-N 0 0 437.478 -0.569 20 0 IBADRN COC(=O)[C@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)c1ccn(C)n1 ZINC000470746292 1074660337 /nfs/dbraw/zinc/66/03/37/1074660337.db2.gz NHGIJZAXVWLNTF-MRXNPFEDSA-N 0 0 437.478 -0.569 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)cc1 ZINC000470746389 1074660316 /nfs/dbraw/zinc/66/03/16/1074660316.db2.gz NYLQLCFXMLRIRS-ZWKOTPCHSA-N 0 0 429.433 -0.140 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)c1ccn(C)n1 ZINC000470747975 1074660355 /nfs/dbraw/zinc/66/03/55/1074660355.db2.gz ZKKVRXQVENKUEM-BDJLRTHQSA-N 0 0 435.462 -0.549 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)c1ccn(C)n1 ZINC000470747976 1074660357 /nfs/dbraw/zinc/66/03/57/1074660357.db2.gz ZKKVRXQVENKUEM-BZNIZROVSA-N 0 0 435.462 -0.549 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)c1ccn(C)n1 ZINC000470747977 1074660374 /nfs/dbraw/zinc/66/03/74/1074660374.db2.gz ZKKVRXQVENKUEM-MEDUHNTESA-N 0 0 435.462 -0.549 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)c1ccn(C)n1 ZINC000470747978 1074660320 /nfs/dbraw/zinc/66/03/20/1074660320.db2.gz ZKKVRXQVENKUEM-ZBEGNZNMSA-N 0 0 435.462 -0.549 20 0 IBADRN COCCN1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1=O ZINC000470752633 1074660359 /nfs/dbraw/zinc/66/03/59/1074660359.db2.gz AGHBHSHBKZAHAC-UHFFFAOYSA-N 0 0 440.522 -0.509 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccn(C)n1 ZINC000470754953 1074660303 /nfs/dbraw/zinc/66/03/03/1074660303.db2.gz KJXOEMQXIVCVPX-INIZCTEOSA-N 0 0 435.462 -0.815 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccn(C)n1 ZINC000470754954 1074660930 /nfs/dbraw/zinc/66/09/30/1074660930.db2.gz KJXOEMQXIVCVPX-MRXNPFEDSA-N 0 0 435.462 -0.815 20 0 IBADRN COCCN1CCCN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CC1=O ZINC000470755929 1074660891 /nfs/dbraw/zinc/66/08/91/1074660891.db2.gz NIYQVFRVOWLOCQ-UHFFFAOYSA-N 0 0 446.551 -0.630 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000470756816 1074660979 /nfs/dbraw/zinc/66/09/79/1074660979.db2.gz QIVJEDDYFHRUSD-ZWKOTPCHSA-N 0 0 435.506 -0.115 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000470757427 1074660990 /nfs/dbraw/zinc/66/09/90/1074660990.db2.gz SAYSAMLJIZBGPP-BRWVUGGUSA-N 0 0 443.566 -0.197 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000470757437 1074660937 /nfs/dbraw/zinc/66/09/37/1074660937.db2.gz SAYSAMLJIZBGPP-GVDBMIGSSA-N 0 0 443.566 -0.197 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000470757440 1074660882 /nfs/dbraw/zinc/66/08/82/1074660882.db2.gz SAYSAMLJIZBGPP-IXDOHACOSA-N 0 0 443.566 -0.197 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000470757442 1074660832 /nfs/dbraw/zinc/66/08/32/1074660832.db2.gz SAYSAMLJIZBGPP-YESZJQIVSA-N 0 0 443.566 -0.197 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(CC(=O)N4CCCCCC4)CC3)OCC(=O)N2C)cn1 ZINC000470757542 1074660919 /nfs/dbraw/zinc/66/09/19/1074660919.db2.gz WBJGSQBLQUYYAK-LEWJYISDSA-N 0 0 446.552 -0.135 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1=O ZINC000470760319 1074661371 /nfs/dbraw/zinc/66/13/71/1074661371.db2.gz AJKKSZSSISEZTH-WBVHZDCISA-N 0 0 443.497 -0.343 20 0 IBADRN COCCN1CCCN(C(=O)CCCC(=O)N2CCCN(CCOC)C(=O)C2)CC1=O ZINC000470760859 1074661309 /nfs/dbraw/zinc/66/13/09/1074661309.db2.gz FPCQKZJOOQDDPA-UHFFFAOYSA-N 0 0 440.541 -0.429 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccn(C)n1 ZINC000470763283 1074661378 /nfs/dbraw/zinc/66/13/78/1074661378.db2.gz CVITYZFSBGUBDO-INIZCTEOSA-N 0 0 435.462 -0.815 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccn(C)n1 ZINC000470763284 1074661447 /nfs/dbraw/zinc/66/14/47/1074661447.db2.gz CVITYZFSBGUBDO-MRXNPFEDSA-N 0 0 435.462 -0.815 20 0 IBADRN COCCN1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1=O ZINC000470763913 1074661276 /nfs/dbraw/zinc/66/12/76/1074661276.db2.gz DRMBVRYWURBPGH-AWEZNQCLSA-N 0 0 438.506 -0.606 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1=O ZINC000470763914 1074661314 /nfs/dbraw/zinc/66/13/14/1074661314.db2.gz DRMBVRYWURBPGH-CQSZACIVSA-N 0 0 438.506 -0.606 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000470764212 1074661351 /nfs/dbraw/zinc/66/13/51/1074661351.db2.gz FTVWEKWAFHTCCB-UHFFFAOYSA-N 0 0 435.524 -0.259 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@H]2CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)N1 ZINC000470764858 1074661403 /nfs/dbraw/zinc/66/14/03/1074661403.db2.gz ITIWADKVZJHZQZ-APPDUMDISA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@@H]2CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)N1 ZINC000470764865 1074661292 /nfs/dbraw/zinc/66/12/92/1074661292.db2.gz ITIWADKVZJHZQZ-DIFFPNOSSA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@H]2CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)N1 ZINC000470764866 1074661282 /nfs/dbraw/zinc/66/12/82/1074661282.db2.gz ITIWADKVZJHZQZ-GTNSWQLSSA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@@H]2CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)N1 ZINC000470764867 1074661437 /nfs/dbraw/zinc/66/14/37/1074661437.db2.gz ITIWADKVZJHZQZ-PIGZYNQJSA-N 0 0 442.519 -0.216 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1=O ZINC000470765086 1074661393 /nfs/dbraw/zinc/66/13/93/1074661393.db2.gz JXOUUKFOOGNXFM-CYBMUJFWSA-N 0 0 426.495 -0.840 20 0 IBADRN COCCN1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1=O ZINC000470765091 1074661343 /nfs/dbraw/zinc/66/13/43/1074661343.db2.gz JXOUUKFOOGNXFM-ZDUSSCGKSA-N 0 0 426.495 -0.840 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2C[C@H]1C ZINC000470765201 1074662010 /nfs/dbraw/zinc/66/20/10/1074662010.db2.gz KPZUNPOKNSVWAH-CYBMUJFWSA-N 0 0 430.552 -0.421 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2C[C@@H]1C ZINC000470765202 1074661972 /nfs/dbraw/zinc/66/19/72/1074661972.db2.gz KPZUNPOKNSVWAH-ZDUSSCGKSA-N 0 0 430.552 -0.421 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)c1 ZINC000470765409 1074661335 /nfs/dbraw/zinc/66/13/35/1074661335.db2.gz LEYPGWQZCOKJPX-KPZWWZAWSA-N 0 0 439.446 -0.084 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)c1 ZINC000470765416 1074662008 /nfs/dbraw/zinc/66/20/08/1074662008.db2.gz LEYPGWQZCOKJPX-KZULUSFZSA-N 0 0 439.446 -0.084 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)c1 ZINC000470765419 1074662006 /nfs/dbraw/zinc/66/20/06/1074662006.db2.gz LEYPGWQZCOKJPX-SGTLLEGYSA-N 0 0 439.446 -0.084 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)c1 ZINC000470765421 1074661946 /nfs/dbraw/zinc/66/19/46/1074661946.db2.gz LEYPGWQZCOKJPX-XIKOKIGWSA-N 0 0 439.446 -0.084 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H](C(=O)OC)c2ccn(C)n2)c1 ZINC000470765705 1074661287 /nfs/dbraw/zinc/66/12/87/1074661287.db2.gz MRUKPMWISZNWKN-KRWDZBQOSA-N 0 0 439.494 -0.357 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H](C(=O)OC)c2ccn(C)n2)c1 ZINC000470765712 1074661429 /nfs/dbraw/zinc/66/14/29/1074661429.db2.gz MRUKPMWISZNWKN-QGZVFWFLSA-N 0 0 439.494 -0.357 20 0 IBADRN COCCN1CCCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1=O ZINC000470766831 1074661914 /nfs/dbraw/zinc/66/19/14/1074661914.db2.gz REHMDYMOVAGRTM-UHFFFAOYSA-N 0 0 432.481 -0.302 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@H]2CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)N1 ZINC000470766877 1074661994 /nfs/dbraw/zinc/66/19/94/1074661994.db2.gz RQRYQUXQKSUNKR-APPDUMDISA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@@H]2CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)N1 ZINC000470766879 1074661968 /nfs/dbraw/zinc/66/19/68/1074661968.db2.gz RQRYQUXQKSUNKR-DIFFPNOSSA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@H]2CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)N1 ZINC000470766881 1074661953 /nfs/dbraw/zinc/66/19/53/1074661953.db2.gz RQRYQUXQKSUNKR-GTNSWQLSSA-N 0 0 442.519 -0.216 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@@H]2CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)N1 ZINC000470766882 1074661964 /nfs/dbraw/zinc/66/19/64/1074661964.db2.gz RQRYQUXQKSUNKR-PIGZYNQJSA-N 0 0 442.519 -0.216 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)c(F)c1 ZINC000470767438 1074661986 /nfs/dbraw/zinc/66/19/86/1074661986.db2.gz UYQRLKWXYPVUCY-BJOHPYRUSA-N 0 0 434.471 -0.146 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)c(F)c1 ZINC000470767439 1074662011 /nfs/dbraw/zinc/66/20/11/1074662011.db2.gz UYQRLKWXYPVUCY-PSLIRLAXSA-N 0 0 434.471 -0.146 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)c(F)c1 ZINC000470767440 1074661925 /nfs/dbraw/zinc/66/19/25/1074661925.db2.gz UYQRLKWXYPVUCY-RFAUZJTJSA-N 0 0 434.471 -0.146 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)c(F)c1 ZINC000470767441 1074661937 /nfs/dbraw/zinc/66/19/37/1074661937.db2.gz UYQRLKWXYPVUCY-VFZGTOFNSA-N 0 0 434.471 -0.146 20 0 IBADRN COCCN1CCCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1=O ZINC000470768165 1074661991 /nfs/dbraw/zinc/66/19/91/1074661991.db2.gz ZLGFLQDRPHSZTQ-INIZCTEOSA-N 0 0 430.461 -0.376 20 0 IBADRN COCCN1CCCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1=O ZINC000470768166 1074661977 /nfs/dbraw/zinc/66/19/77/1074661977.db2.gz ZLGFLQDRPHSZTQ-MRXNPFEDSA-N 0 0 430.461 -0.376 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000470769523 1073352632 /nfs/dbraw/zinc/35/26/32/1073352632.db2.gz OMZGLUZVYCRBSC-UHFFFAOYSA-N 0 0 425.554 -0.925 20 0 IBADRN CCN(CC)C(=O)c1ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000470769697 1074661958 /nfs/dbraw/zinc/66/19/58/1074661958.db2.gz RABIXYFLHDTTLN-UHFFFAOYSA-N 0 0 432.568 -0.237 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000470771136 1074661997 /nfs/dbraw/zinc/66/19/97/1074661997.db2.gz CTNPLKACSWEOKV-UHFFFAOYSA-N 0 0 435.524 -0.777 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c(Cl)c1 ZINC000470771678 1073352715 /nfs/dbraw/zinc/35/27/15/1073352715.db2.gz GBQGPRORVGKPAT-UHFFFAOYSA-N 0 0 425.916 -0.279 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470782953 1074662002 /nfs/dbraw/zinc/66/20/02/1074662002.db2.gz GEZWGSWLOOPGAB-DZGCQCFKSA-N 0 0 442.494 -0.130 20 0 IBADRN COc1ccc(NC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470782954 1074662477 /nfs/dbraw/zinc/66/24/77/1074662477.db2.gz GEZWGSWLOOPGAB-HIFRSBDPSA-N 0 0 442.494 -0.130 20 0 IBADRN COc1ccc(NC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470782955 1074662531 /nfs/dbraw/zinc/66/25/31/1074662531.db2.gz GEZWGSWLOOPGAB-UKRRQHHQSA-N 0 0 442.494 -0.130 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000470782956 1074662424 /nfs/dbraw/zinc/66/24/24/1074662424.db2.gz GEZWGSWLOOPGAB-ZFWWWQNUSA-N 0 0 442.494 -0.130 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC[C@H]3CC[C@H](C(N)=O)O3)c2)CC1 ZINC000470783251 1074662507 /nfs/dbraw/zinc/66/25/07/1074662507.db2.gz JDRJOKKURPWNFG-GDBMZVCRSA-N 0 0 425.511 -0.223 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)c2)CC1 ZINC000470783252 1074662359 /nfs/dbraw/zinc/66/23/59/1074662359.db2.gz JDRJOKKURPWNFG-GOEBONIOSA-N 0 0 425.511 -0.223 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)c2)CC1 ZINC000470783253 1074662554 /nfs/dbraw/zinc/66/25/54/1074662554.db2.gz JDRJOKKURPWNFG-HOCLYGCPSA-N 0 0 425.511 -0.223 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)c2)CC1 ZINC000470783254 1074662500 /nfs/dbraw/zinc/66/25/00/1074662500.db2.gz JDRJOKKURPWNFG-ZBFHGGJFSA-N 0 0 425.511 -0.223 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)c1 ZINC000470789015 1074662447 /nfs/dbraw/zinc/66/24/47/1074662447.db2.gz IXWOITKJRFJTJV-BDJLRTHQSA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)c1 ZINC000470789016 1074662547 /nfs/dbraw/zinc/66/25/47/1074662547.db2.gz IXWOITKJRFJTJV-BZNIZROVSA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)c1 ZINC000470789019 1074662310 /nfs/dbraw/zinc/66/23/10/1074662310.db2.gz IXWOITKJRFJTJV-MEDUHNTESA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)c1 ZINC000470789021 1074662330 /nfs/dbraw/zinc/66/23/30/1074662330.db2.gz IXWOITKJRFJTJV-ZBEGNZNMSA-N 0 0 430.508 -0.407 20 0 IBADRN CC(C)Cn1cnc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000470789427 1074662483 /nfs/dbraw/zinc/66/24/83/1074662483.db2.gz KTCHOGFWMVIPRT-UHFFFAOYSA-N 0 0 434.588 -0.662 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)cc1 ZINC000470793631 1074662454 /nfs/dbraw/zinc/66/24/54/1074662454.db2.gz HHUFGBYEZHGTMS-ZWKOTPCHSA-N 0 0 435.506 -0.278 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)OCC(=O)N2C)cn1 ZINC000470801500 1074662392 /nfs/dbraw/zinc/66/23/92/1074662392.db2.gz KBDHUKFGFVJNRP-ZWKOTPCHSA-N 0 0 448.505 -0.754 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000470803481 1074662896 /nfs/dbraw/zinc/66/28/96/1074662896.db2.gz RTQAJPWVIIDJDD-BLLLJJGKSA-N 0 0 442.494 -0.130 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000470803482 1074662854 /nfs/dbraw/zinc/66/28/54/1074662854.db2.gz RTQAJPWVIIDJDD-LRDDRELGSA-N 0 0 442.494 -0.130 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000470803483 1074662821 /nfs/dbraw/zinc/66/28/21/1074662821.db2.gz RTQAJPWVIIDJDD-MLGOLLRUSA-N 0 0 442.494 -0.130 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000470803484 1074662826 /nfs/dbraw/zinc/66/28/26/1074662826.db2.gz RTQAJPWVIIDJDD-WBMJQRKESA-N 0 0 442.494 -0.130 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)CC2(CC(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)CCCC2)O1 ZINC000470805415 1074662859 /nfs/dbraw/zinc/66/28/59/1074662859.db2.gz YQMIBPZNUIZAFW-FPCVCCKLSA-N 0 0 438.525 -0.375 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)CC2(CC(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)CCCC2)O1 ZINC000470805417 1074662905 /nfs/dbraw/zinc/66/29/05/1074662905.db2.gz YQMIBPZNUIZAFW-FZKCQIBNSA-N 0 0 438.525 -0.375 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)CC2(CC(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)CCCC2)O1 ZINC000470805418 1074662834 /nfs/dbraw/zinc/66/28/34/1074662834.db2.gz YQMIBPZNUIZAFW-SYMSYNOKSA-N 0 0 438.525 -0.375 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)CC2(CC(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)CCCC2)O1 ZINC000470805419 1074662830 /nfs/dbraw/zinc/66/28/30/1074662830.db2.gz YQMIBPZNUIZAFW-WCVJEAGWSA-N 0 0 438.525 -0.375 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470806282 1074662835 /nfs/dbraw/zinc/66/28/35/1074662835.db2.gz LSOZZIDSEHPOCN-CXAGYDPISA-N 0 0 440.522 -0.464 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470806283 1074662832 /nfs/dbraw/zinc/66/28/32/1074662832.db2.gz LSOZZIDSEHPOCN-DYVFJYSZSA-N 0 0 440.522 -0.464 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470806284 1074662818 /nfs/dbraw/zinc/66/28/18/1074662818.db2.gz LSOZZIDSEHPOCN-GUYCJALGSA-N 0 0 440.522 -0.464 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470806285 1074662881 /nfs/dbraw/zinc/66/28/81/1074662881.db2.gz LSOZZIDSEHPOCN-SUMWQHHRSA-N 0 0 440.522 -0.464 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ncccn1 ZINC000470807922 1074662846 /nfs/dbraw/zinc/66/28/46/1074662846.db2.gz JTOFSJKNQFLYIQ-IHRRRGAJSA-N 0 0 433.488 -0.428 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ncccn1 ZINC000470807923 1074662810 /nfs/dbraw/zinc/66/28/10/1074662810.db2.gz JTOFSJKNQFLYIQ-RDBSUJKOSA-N 0 0 433.488 -0.428 20 0 IBADRN CN(CC(=O)NCCCN(C)S(C)(=O)=O)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000470808671 1074662862 /nfs/dbraw/zinc/66/28/62/1074662862.db2.gz RZPJLRSGEJWUEO-RDJZCZTQSA-N 0 0 432.566 -0.338 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000470810614 1074663472 /nfs/dbraw/zinc/66/34/72/1074663472.db2.gz JDJNQGDFKIBTMQ-HUBLWGQQSA-N 0 0 427.479 -0.336 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000470810615 1074663438 /nfs/dbraw/zinc/66/34/38/1074663438.db2.gz JDJNQGDFKIBTMQ-SLEUVZQESA-N 0 0 427.479 -0.336 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000470810616 1074663368 /nfs/dbraw/zinc/66/33/68/1074663368.db2.gz JDJNQGDFKIBTMQ-YWPYICTPSA-N 0 0 427.479 -0.336 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000470810617 1074663414 /nfs/dbraw/zinc/66/34/14/1074663414.db2.gz JDJNQGDFKIBTMQ-ZOWXZIJZSA-N 0 0 427.479 -0.336 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)o1 ZINC000470811261 1074663386 /nfs/dbraw/zinc/66/33/86/1074663386.db2.gz HQDBVYGCVXVCQJ-JHJVBQTASA-N 0 0 443.478 -0.242 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)o1 ZINC000470811263 1074663499 /nfs/dbraw/zinc/66/34/99/1074663499.db2.gz HQDBVYGCVXVCQJ-UPJWGTAASA-N 0 0 443.478 -0.242 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)o1 ZINC000470811265 1074663434 /nfs/dbraw/zinc/66/34/34/1074663434.db2.gz HQDBVYGCVXVCQJ-XQQFMLRXSA-N 0 0 443.478 -0.242 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)o1 ZINC000470811267 1074663475 /nfs/dbraw/zinc/66/34/75/1074663475.db2.gz HQDBVYGCVXVCQJ-YNEHKIRRSA-N 0 0 443.478 -0.242 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000470812122 1074663441 /nfs/dbraw/zinc/66/34/41/1074663441.db2.gz PNTXGDFVNJCREB-IPYPFGDCSA-N 0 0 435.504 -0.485 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000470812123 1074663492 /nfs/dbraw/zinc/66/34/92/1074663492.db2.gz PNTXGDFVNJCREB-YDHLFZDLSA-N 0 0 435.504 -0.485 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000470812348 1074663465 /nfs/dbraw/zinc/66/34/65/1074663465.db2.gz LQEYBSONUQMWFZ-MJEQTWJJSA-N 0 0 441.506 -0.090 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000470812349 1074663419 /nfs/dbraw/zinc/66/34/19/1074663419.db2.gz LQEYBSONUQMWFZ-NUTKFTJISA-N 0 0 441.506 -0.090 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000470812350 1074663427 /nfs/dbraw/zinc/66/34/27/1074663427.db2.gz LQEYBSONUQMWFZ-PVUWLOKVSA-N 0 0 441.506 -0.090 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000470812351 1074663403 /nfs/dbraw/zinc/66/34/03/1074663403.db2.gz LQEYBSONUQMWFZ-VMGRFDJRSA-N 0 0 441.506 -0.090 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCc3ccccc3C(N)=O)CC2)o1 ZINC000470819216 1074663895 /nfs/dbraw/zinc/66/38/95/1074663895.db2.gz CYOXHDSDLIPJJB-UHFFFAOYSA-N 0 0 434.474 -0.057 20 0 IBADRN COCc1nn(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)c(=O)o1 ZINC000470819325 1074663968 /nfs/dbraw/zinc/66/39/68/1074663968.db2.gz GJKFYQGROVNGRF-UHFFFAOYSA-N 0 0 442.450 -0.349 20 0 IBADRN COCc1nn(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)o1 ZINC000470822071 1074663823 /nfs/dbraw/zinc/66/38/23/1074663823.db2.gz JNCLLMLUZIOZNA-UHFFFAOYSA-N 0 0 426.451 -0.680 20 0 IBADRN CN(CC(=O)NCCCN(C)S(C)(=O)=O)C[C@@H]1C[C@H](F)CN1Cc1ncccn1 ZINC000470824179 1074663933 /nfs/dbraw/zinc/66/39/33/1074663933.db2.gz XSTAYCQVNKEHQS-HOTGVXAUSA-N 0 0 430.550 -0.282 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000470824272 1074663755 /nfs/dbraw/zinc/66/37/55/1074663755.db2.gz FUTHPRWIDLSAPO-DLBZAZTESA-N 0 0 427.527 -0.361 20 0 IBADRN COCc1nn(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)c(=O)o1 ZINC000470824899 1074663925 /nfs/dbraw/zinc/66/39/25/1074663925.db2.gz QKFYOICNIQDKJG-UHFFFAOYSA-N 0 0 442.450 -0.349 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)cc1 ZINC000470829533 1074663832 /nfs/dbraw/zinc/66/38/32/1074663832.db2.gz DOTKGZCDJNSDLJ-ZWKOTPCHSA-N 0 0 435.506 -0.115 20 0 IBADRN COC(=O)c1cccc(N2CC(=O)N(CC(=O)N(C)CC(=O)N3CCOCC3)C2=O)c1 ZINC000470834988 1074663793 /nfs/dbraw/zinc/66/37/93/1074663793.db2.gz DWVSTGVSMJSAIL-UHFFFAOYSA-N 0 0 432.433 -0.441 20 0 IBADRN COCc1nn(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)c(=O)o1 ZINC000470836245 1074663912 /nfs/dbraw/zinc/66/39/12/1074663912.db2.gz MGMUCSSHPREQJQ-UHFFFAOYSA-N 0 0 432.405 -0.206 20 0 IBADRN COCc1nn(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)c(=O)o1 ZINC000470836952 1074663905 /nfs/dbraw/zinc/66/39/05/1074663905.db2.gz RQIQFYZNZXKKMV-UHFFFAOYSA-N 0 0 426.451 -0.049 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nc(COC)oc3=O)CC2)cc1 ZINC000470839844 1074663737 /nfs/dbraw/zinc/66/37/37/1074663737.db2.gz GVNPJDSFBQYYKJ-UHFFFAOYSA-N 0 0 440.478 -0.086 20 0 IBADRN COCc1nn(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)c(=O)o1 ZINC000470840684 1074663774 /nfs/dbraw/zinc/66/37/74/1074663774.db2.gz LKUBSNGQJZWAJN-UHFFFAOYSA-N 0 0 438.462 -0.282 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)cc1 ZINC000470841401 1074663809 /nfs/dbraw/zinc/66/38/09/1074663809.db2.gz TTZJRHFYVHKVQP-VQTJNVASSA-N 0 0 443.460 -0.211 20 0 IBADRN COC(=O)c1cccc(N2CC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C2=O)c1 ZINC000470842051 1074663854 /nfs/dbraw/zinc/66/38/54/1074663854.db2.gz RKSOBQJCJCBNFE-UHFFFAOYSA-N 0 0 440.478 -0.361 20 0 IBADRN COCc1nn(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)c(=O)o1 ZINC000470842771 1074663948 /nfs/dbraw/zinc/66/39/48/1074663948.db2.gz VMDBJAFKUBYZLW-UHFFFAOYSA-N 0 0 426.451 -0.680 20 0 IBADRN COCc1nn(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)c(=O)o1 ZINC000470844238 1074663960 /nfs/dbraw/zinc/66/39/60/1074663960.db2.gz HAAQHNFLRSBHAO-UHFFFAOYSA-N 0 0 426.451 -0.476 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCS(=O)(=O)NCc3ccccc3)OCC(=O)N2C)cn1 ZINC000470844426 1074664383 /nfs/dbraw/zinc/66/43/83/1074664383.db2.gz INRPKPFDKJALIW-ZWKOTPCHSA-N 0 0 435.506 -0.446 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccc(S(C)(=O)=O)s1 ZINC000470854421 1074664458 /nfs/dbraw/zinc/66/44/58/1074664458.db2.gz KZZPDQXACKYELT-UHFFFAOYSA-N 0 0 425.554 -0.398 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N1CCN(C(=O)Cn2c(C)cc(O)cc2=O)[C@H](C)C1 ZINC000470857572 1074664349 /nfs/dbraw/zinc/66/43/49/1074664349.db2.gz GHFFRYXTYARTBA-OAHLLOKOSA-N 0 0 430.461 -0.202 20 0 IBADRN CNC(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nn1 ZINC000470860386 1074664431 /nfs/dbraw/zinc/66/44/31/1074664431.db2.gz SUDLDKYQSXVREB-UHFFFAOYSA-N 0 0 432.462 -0.322 20 0 IBADRN O=C(Nc1nncs1)c1ccc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)nc1 ZINC000470869074 1074664337 /nfs/dbraw/zinc/66/43/37/1074664337.db2.gz WFPUEIFBYBAGGH-UHFFFAOYSA-N 0 0 439.523 -0.116 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)Nc3ncn(CC(=O)N4CCCCCC4)n3)OCC(=O)N2C)cn1 ZINC000470877197 1074664425 /nfs/dbraw/zinc/66/44/25/1074664425.db2.gz GDUFUIJVNJJZPG-ZWKOTPCHSA-N 0 0 444.496 -0.049 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)OCC(=O)N2C)cn1 ZINC000470878043 1074664447 /nfs/dbraw/zinc/66/44/47/1074664447.db2.gz SFBGHLHHYRTGJK-ZWKOTPCHSA-N 0 0 436.490 -0.083 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCC(=O)Nc3ccc(-n4cncn4)cc3)OCC(=O)N2C)cn1 ZINC000470885335 1074664404 /nfs/dbraw/zinc/66/44/04/1074664404.db2.gz AGOKQPGRUQIVJZ-RBUKOAKNSA-N 0 0 438.448 -0.346 20 0 IBADRN Cn1cc(N2CCN(C(=O)NC3CCN(S(=O)(=O)c4cnn(C)c4)CC3)CC2)cn1 ZINC000470885782 1074664397 /nfs/dbraw/zinc/66/43/97/1074664397.db2.gz HBTILKGLEPKRLX-UHFFFAOYSA-N 0 0 436.542 -0.162 20 0 IBADRN COCCOCCOCCN(C)C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000470893935 1074664320 /nfs/dbraw/zinc/66/43/20/1074664320.db2.gz ZQRFMGSJERZFGY-UHFFFAOYSA-N 0 0 425.467 -0.151 20 0 IBADRN Cn1cc(N2CCN(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)CC2)cn1 ZINC000470898896 1074664938 /nfs/dbraw/zinc/66/49/38/1074664938.db2.gz GMFYMAFBLBWDBO-UHFFFAOYSA-N 0 0 441.558 -0.707 20 0 IBADRN CC(C)OCCN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000470901461 1074665011 /nfs/dbraw/zinc/66/50/11/1074665011.db2.gz ZLZXVHHFOFCKDH-UHFFFAOYSA-N 0 0 447.602 -0.220 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CCNS(=O)(=O)c3cnn(C)c3)CC2)cs1 ZINC000470911971 1074665000 /nfs/dbraw/zinc/66/50/00/1074665000.db2.gz AWDMGBSHZQSNHU-UHFFFAOYSA-N 0 0 434.569 -0.530 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000470912826 1074665019 /nfs/dbraw/zinc/66/50/19/1074665019.db2.gz JPKAODMDOLUFTN-UHFFFAOYSA-N 0 0 426.586 -0.286 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000470914118 1074664964 /nfs/dbraw/zinc/66/49/64/1074664964.db2.gz UYWIGICSGZHKDH-DZGCQCFKSA-N 0 0 441.506 -0.523 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000470914119 1074665009 /nfs/dbraw/zinc/66/50/09/1074665009.db2.gz UYWIGICSGZHKDH-HIFRSBDPSA-N 0 0 441.506 -0.523 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000470914120 1074664969 /nfs/dbraw/zinc/66/49/69/1074664969.db2.gz UYWIGICSGZHKDH-UKRRQHHQSA-N 0 0 441.506 -0.523 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000470914121 1074664947 /nfs/dbraw/zinc/66/49/47/1074664947.db2.gz UYWIGICSGZHKDH-ZFWWWQNUSA-N 0 0 441.506 -0.523 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000470914530 1074665432 /nfs/dbraw/zinc/66/54/32/1074665432.db2.gz BFIRSDYCOIEEQT-CHWSQXEVSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000470914531 1074665412 /nfs/dbraw/zinc/66/54/12/1074665412.db2.gz BFIRSDYCOIEEQT-OLZOCXBDSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000470914532 1074665463 /nfs/dbraw/zinc/66/54/63/1074665463.db2.gz BFIRSDYCOIEEQT-QWHCGFSZSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000470914533 1074665359 /nfs/dbraw/zinc/66/53/59/1074665359.db2.gz BFIRSDYCOIEEQT-STQMWFEESA-N 0 0 429.495 -0.118 20 0 IBADRN CCN1CCCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000470914747 1074665461 /nfs/dbraw/zinc/66/54/61/1074665461.db2.gz WXHBVNBIWVCWCJ-GOSISDBHSA-N 0 0 444.488 -0.280 20 0 IBADRN CCN1CCCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000470914748 1074665429 /nfs/dbraw/zinc/66/54/29/1074665429.db2.gz WXHBVNBIWVCWCJ-SFHVURJKSA-N 0 0 444.488 -0.280 20 0 IBADRN COC(=O)CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)C1CC1 ZINC000470916334 1074665467 /nfs/dbraw/zinc/66/54/67/1074665467.db2.gz JNZGGNOLZKPFMN-UHFFFAOYSA-N 0 0 425.507 -0.039 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C(=O)OC)[C@H]2C)cc1 ZINC000470916384 1074665471 /nfs/dbraw/zinc/66/54/71/1074665471.db2.gz HYTKFWFZIWUGLX-DOMZBBRYSA-N 0 0 427.479 -0.040 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C(=O)OC)[C@H]2C)cc1 ZINC000470916385 1074665436 /nfs/dbraw/zinc/66/54/36/1074665436.db2.gz HYTKFWFZIWUGLX-IUODEOHRSA-N 0 0 427.479 -0.040 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C(=O)OC)[C@@H]2C)cc1 ZINC000470916386 1074665451 /nfs/dbraw/zinc/66/54/51/1074665451.db2.gz HYTKFWFZIWUGLX-SWLSCSKDSA-N 0 0 427.479 -0.040 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C(=O)OC)[C@@H]2C)cc1 ZINC000470916387 1074665390 /nfs/dbraw/zinc/66/53/90/1074665390.db2.gz HYTKFWFZIWUGLX-WFASDCNBSA-N 0 0 427.479 -0.040 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@H](CO)O1 ZINC000470916703 1074665376 /nfs/dbraw/zinc/66/53/76/1074665376.db2.gz IZFCTOBZYNHDSJ-CHWSQXEVSA-N 0 0 428.511 -0.450 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@@H](CO)O1 ZINC000470916704 1074665454 /nfs/dbraw/zinc/66/54/54/1074665454.db2.gz IZFCTOBZYNHDSJ-OLZOCXBDSA-N 0 0 428.511 -0.450 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@H](CO)O1 ZINC000470916705 1074665785 /nfs/dbraw/zinc/66/57/85/1074665785.db2.gz IZFCTOBZYNHDSJ-QWHCGFSZSA-N 0 0 428.511 -0.450 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@@H](CO)O1 ZINC000470916706 1074665910 /nfs/dbraw/zinc/66/59/10/1074665910.db2.gz IZFCTOBZYNHDSJ-STQMWFEESA-N 0 0 428.511 -0.450 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000470917152 1074665458 /nfs/dbraw/zinc/66/54/58/1074665458.db2.gz DRYONMOOETUISV-AAEUAGOBSA-N 0 0 436.581 -0.550 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000470917153 1074665456 /nfs/dbraw/zinc/66/54/56/1074665456.db2.gz DRYONMOOETUISV-DGCLKSJQSA-N 0 0 436.581 -0.550 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000470917154 1074665404 /nfs/dbraw/zinc/66/54/04/1074665404.db2.gz DRYONMOOETUISV-WCQYABFASA-N 0 0 436.581 -0.550 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000470917155 1074665465 /nfs/dbraw/zinc/66/54/65/1074665465.db2.gz DRYONMOOETUISV-YPMHNXCESA-N 0 0 436.581 -0.550 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@H](CO)O1 ZINC000470918318 1074665860 /nfs/dbraw/zinc/66/58/60/1074665860.db2.gz MSBZGXZTCPQCQP-CRAIPNDOSA-N 0 0 434.493 -0.705 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@H](CO)O1 ZINC000470918319 1074665873 /nfs/dbraw/zinc/66/58/73/1074665873.db2.gz MSBZGXZTCPQCQP-MAUKXSAKSA-N 0 0 434.493 -0.705 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@@H](CO)O1 ZINC000470918320 1074665772 /nfs/dbraw/zinc/66/57/72/1074665772.db2.gz MSBZGXZTCPQCQP-QAPCUYQASA-N 0 0 434.493 -0.705 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@@H](CO)O1 ZINC000470918321 1074665805 /nfs/dbraw/zinc/66/58/05/1074665805.db2.gz MSBZGXZTCPQCQP-YJBOKZPZSA-N 0 0 434.493 -0.705 20 0 IBADRN COC(=O)CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CC1 ZINC000470918678 1074665867 /nfs/dbraw/zinc/66/58/67/1074665867.db2.gz QNHXNFWEMPCIHS-UHFFFAOYSA-N 0 0 425.507 -0.039 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1=O ZINC000470919432 1074665883 /nfs/dbraw/zinc/66/58/83/1074665883.db2.gz PZYQJQHIILFXSK-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](CO)O1 ZINC000470920965 1074665864 /nfs/dbraw/zinc/66/58/64/1074665864.db2.gz AJLMGGPFYLCXOL-DZGCQCFKSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](CO)O1 ZINC000470920966 1074665890 /nfs/dbraw/zinc/66/58/90/1074665890.db2.gz AJLMGGPFYLCXOL-HIFRSBDPSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](CO)O1 ZINC000470920967 1074665854 /nfs/dbraw/zinc/66/58/54/1074665854.db2.gz AJLMGGPFYLCXOL-UKRRQHHQSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](CO)O1 ZINC000470920968 1074665811 /nfs/dbraw/zinc/66/58/11/1074665811.db2.gz AJLMGGPFYLCXOL-ZFWWWQNUSA-N 0 0 427.479 -0.746 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1=O ZINC000470921500 1074665877 /nfs/dbraw/zinc/66/58/77/1074665877.db2.gz WCPQSXRTVFFVMO-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000470921534 1074665724 /nfs/dbraw/zinc/66/57/24/1074665724.db2.gz WFCDKDQXGDCNHI-GDBMZVCRSA-N 0 0 434.493 -0.371 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000470921541 1074665793 /nfs/dbraw/zinc/66/57/93/1074665793.db2.gz WFCDKDQXGDCNHI-GOEBONIOSA-N 0 0 434.493 -0.371 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000470921543 1074665894 /nfs/dbraw/zinc/66/58/94/1074665894.db2.gz WFCDKDQXGDCNHI-HOCLYGCPSA-N 0 0 434.493 -0.371 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000470921546 1074665902 /nfs/dbraw/zinc/66/59/02/1074665902.db2.gz WFCDKDQXGDCNHI-ZBFHGGJFSA-N 0 0 434.493 -0.371 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)CC1=O ZINC000470922449 1074666462 /nfs/dbraw/zinc/66/64/62/1074666462.db2.gz GHOOOWYYLCVETJ-UHFFFAOYSA-N 0 0 425.463 -0.104 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C[C@H](CO)O1 ZINC000470923723 1074666380 /nfs/dbraw/zinc/66/63/80/1074666380.db2.gz OJUOFCWOMLPDHA-GDBMZVCRSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C[C@H](CO)O1 ZINC000470923724 1074666417 /nfs/dbraw/zinc/66/64/17/1074666417.db2.gz OJUOFCWOMLPDHA-GOEBONIOSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C[C@@H](CO)O1 ZINC000470923725 1074666311 /nfs/dbraw/zinc/66/63/11/1074666311.db2.gz OJUOFCWOMLPDHA-HOCLYGCPSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C[C@@H](CO)O1 ZINC000470923726 1074666457 /nfs/dbraw/zinc/66/64/57/1074666457.db2.gz OJUOFCWOMLPDHA-ZBFHGGJFSA-N 0 0 440.522 -0.831 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc(S(C)(=O)=O)c1 ZINC000470923749 1074666375 /nfs/dbraw/zinc/66/63/75/1074666375.db2.gz OWAOCYCSSYJPDQ-BXUZGUMPSA-N 0 0 428.463 -0.187 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)cc(S(C)(=O)=O)c1 ZINC000470923750 1074666431 /nfs/dbraw/zinc/66/64/31/1074666431.db2.gz OWAOCYCSSYJPDQ-FZMZJTMJSA-N 0 0 428.463 -0.187 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc(S(C)(=O)=O)c1 ZINC000470923751 1074666436 /nfs/dbraw/zinc/66/64/36/1074666436.db2.gz OWAOCYCSSYJPDQ-RISCZKNCSA-N 0 0 428.463 -0.187 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000470923752 1074666285 /nfs/dbraw/zinc/66/62/85/1074666285.db2.gz OWAOCYCSSYJPDQ-SMDDNHRTSA-N 0 0 428.463 -0.187 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1=O ZINC000470925432 1074666363 /nfs/dbraw/zinc/66/63/63/1074666363.db2.gz HDLCTQIJEZSUMW-UHFFFAOYSA-N 0 0 431.493 -0.023 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)[C@@H]1C ZINC000470926122 1074666442 /nfs/dbraw/zinc/66/64/42/1074666442.db2.gz NSMGNQLXSQPQPZ-HLPPOEQASA-N 0 0 435.481 -0.133 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)[C@H]1C ZINC000470926123 1074666321 /nfs/dbraw/zinc/66/63/21/1074666321.db2.gz NSMGNQLXSQPQPZ-KNCOVGOOSA-N 0 0 435.481 -0.133 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)[C@H]1C ZINC000470926124 1074666403 /nfs/dbraw/zinc/66/64/03/1074666403.db2.gz NSMGNQLXSQPQPZ-RZLSGREXSA-N 0 0 435.481 -0.133 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)[C@@H]1C ZINC000470926125 1074666355 /nfs/dbraw/zinc/66/63/55/1074666355.db2.gz NSMGNQLXSQPQPZ-TTZDDIAXSA-N 0 0 435.481 -0.133 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000470926710 1074666341 /nfs/dbraw/zinc/66/63/41/1074666341.db2.gz SGYVXJTWIZRPFH-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000470927173 1074666866 /nfs/dbraw/zinc/66/68/66/1074666866.db2.gz WVJPMPAVVITJFR-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1 ZINC000470927272 1074666435 /nfs/dbraw/zinc/66/64/35/1074666435.db2.gz BGIAGXOZWXLSGP-GUTXKFCHSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1 ZINC000470927276 1074666423 /nfs/dbraw/zinc/66/64/23/1074666423.db2.gz BGIAGXOZWXLSGP-GZBFAFLISA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1 ZINC000470927278 1074666735 /nfs/dbraw/zinc/66/67/35/1074666735.db2.gz BGIAGXOZWXLSGP-KCQAQPDRSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)cc1 ZINC000470927280 1074666795 /nfs/dbraw/zinc/66/67/95/1074666795.db2.gz BGIAGXOZWXLSGP-YDHLFZDLSA-N 0 0 429.495 -0.454 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C[C@@H](CO)O1 ZINC000470928594 1074666820 /nfs/dbraw/zinc/66/68/20/1074666820.db2.gz BNBVSDVSFKLUNS-AAEUAGOBSA-N 0 0 440.884 -0.295 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C[C@H](CO)O1 ZINC000470928795 1074666742 /nfs/dbraw/zinc/66/67/42/1074666742.db2.gz BNBVSDVSFKLUNS-DGCLKSJQSA-N 0 0 440.884 -0.295 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C[C@H](CO)O1 ZINC000470928796 1074666906 /nfs/dbraw/zinc/66/69/06/1074666906.db2.gz BNBVSDVSFKLUNS-WCQYABFASA-N 0 0 440.884 -0.295 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C[C@@H](CO)O1 ZINC000470928797 1074666835 /nfs/dbraw/zinc/66/68/35/1074666835.db2.gz BNBVSDVSFKLUNS-YPMHNXCESA-N 0 0 440.884 -0.295 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1S(=O)(=O)NC1CC1 ZINC000470931480 1074666806 /nfs/dbraw/zinc/66/68/06/1074666806.db2.gz KYKGQBDVLIVRPI-BXUZGUMPSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)cc1S(=O)(=O)NC1CC1 ZINC000470931487 1074666761 /nfs/dbraw/zinc/66/67/61/1074666761.db2.gz KYKGQBDVLIVRPI-FZMZJTMJSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1S(=O)(=O)NC1CC1 ZINC000470931488 1074666916 /nfs/dbraw/zinc/66/69/16/1074666916.db2.gz KYKGQBDVLIVRPI-RISCZKNCSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1S(=O)(=O)NC1CC1 ZINC000470931489 1074666899 /nfs/dbraw/zinc/66/68/99/1074666899.db2.gz KYKGQBDVLIVRPI-SMDDNHRTSA-N 0 0 427.479 -0.317 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@H](CO)O1 ZINC000470931490 1074666857 /nfs/dbraw/zinc/66/68/57/1074666857.db2.gz KZFXRFWBHABHOO-GXTWGEPZSA-N 0 0 445.469 -0.607 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@@H](CO)O1 ZINC000470931496 1074666842 /nfs/dbraw/zinc/66/68/42/1074666842.db2.gz KZFXRFWBHABHOO-JSGCOSHPSA-N 0 0 445.469 -0.607 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@@H](CO)O1 ZINC000470931497 1074666923 /nfs/dbraw/zinc/66/69/23/1074666923.db2.gz KZFXRFWBHABHOO-OCCSQVGLSA-N 0 0 445.469 -0.607 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@H](CO)O1 ZINC000470931499 1074666936 /nfs/dbraw/zinc/66/69/36/1074666936.db2.gz KZFXRFWBHABHOO-TZMCWYRMSA-N 0 0 445.469 -0.607 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1S(=O)(=O)N(C)C ZINC000470932657 1074666849 /nfs/dbraw/zinc/66/68/49/1074666849.db2.gz OWYDRKBIHTVUMC-GXTWGEPZSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)cc1S(=O)(=O)N(C)C ZINC000470932658 1074666930 /nfs/dbraw/zinc/66/69/30/1074666930.db2.gz OWYDRKBIHTVUMC-JSGCOSHPSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1S(=O)(=O)N(C)C ZINC000470932659 1074667351 /nfs/dbraw/zinc/66/73/51/1074667351.db2.gz OWYDRKBIHTVUMC-OCCSQVGLSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1S(=O)(=O)N(C)C ZINC000470932660 1074667280 /nfs/dbraw/zinc/66/72/80/1074667280.db2.gz OWYDRKBIHTVUMC-TZMCWYRMSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000470933267 1074667267 /nfs/dbraw/zinc/66/72/67/1074667267.db2.gz QYYNZJNTMAREOQ-AAEUAGOBSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000470933268 1074667329 /nfs/dbraw/zinc/66/73/29/1074667329.db2.gz QYYNZJNTMAREOQ-DGCLKSJQSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000470933269 1074667357 /nfs/dbraw/zinc/66/73/57/1074667357.db2.gz QYYNZJNTMAREOQ-WCQYABFASA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000470933270 1074667258 /nfs/dbraw/zinc/66/72/58/1074667258.db2.gz QYYNZJNTMAREOQ-YPMHNXCESA-N 0 0 427.479 -0.317 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C[C@@H](CO)O1 ZINC000470933758 1074667360 /nfs/dbraw/zinc/66/73/60/1074667360.db2.gz UEWAWVKMNSXSIU-AEFFLSMTSA-N 0 0 448.520 -0.094 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C[C@H](CO)O1 ZINC000470933759 1074667201 /nfs/dbraw/zinc/66/72/01/1074667201.db2.gz UEWAWVKMNSXSIU-FUHWJXTLSA-N 0 0 448.520 -0.094 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C[C@H](CO)O1 ZINC000470933760 1074667286 /nfs/dbraw/zinc/66/72/86/1074667286.db2.gz UEWAWVKMNSXSIU-SJLPKXTDSA-N 0 0 448.520 -0.094 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C[C@@H](CO)O1 ZINC000470933761 1074667327 /nfs/dbraw/zinc/66/73/27/1074667327.db2.gz UEWAWVKMNSXSIU-WMZOPIPTSA-N 0 0 448.520 -0.094 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@@H](CO)O1 ZINC000470936116 1074667340 /nfs/dbraw/zinc/66/73/40/1074667340.db2.gz DPXJSNMOSIIGQS-PBHICJAKSA-N 0 0 431.493 -0.313 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@H](CO)O1 ZINC000470936117 1074667335 /nfs/dbraw/zinc/66/73/35/1074667335.db2.gz DPXJSNMOSIIGQS-RHSMWYFYSA-N 0 0 431.493 -0.313 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@H](CO)O1 ZINC000470936118 1074667959 /nfs/dbraw/zinc/66/79/59/1074667959.db2.gz DPXJSNMOSIIGQS-WMLDXEAASA-N 0 0 431.493 -0.313 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@@H](CO)O1 ZINC000470936119 1074667839 /nfs/dbraw/zinc/66/78/39/1074667839.db2.gz DPXJSNMOSIIGQS-YOEHRIQHSA-N 0 0 431.493 -0.313 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1=O ZINC000470937267 1074667913 /nfs/dbraw/zinc/66/79/13/1074667913.db2.gz KXGNDCWMRMYTPF-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)CC1=O ZINC000470937413 1074667855 /nfs/dbraw/zinc/66/78/55/1074667855.db2.gz MBDQHDXRLZXRPV-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@H](CO)C3)cc2)CC1 ZINC000470937980 1074667986 /nfs/dbraw/zinc/66/79/86/1074667986.db2.gz RCRNCJRXDLUHGN-APWZRJJASA-N 0 0 432.521 -0.060 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3C[C@H](C)O[C@H](CO)C3)cc2)CC1 ZINC000470937981 1074667887 /nfs/dbraw/zinc/66/78/87/1074667887.db2.gz RCRNCJRXDLUHGN-LPHOPBHVSA-N 0 0 432.521 -0.060 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3C[C@H](CO)O[C@@H](C)C3)cc2)CC1 ZINC000470937982 1074667977 /nfs/dbraw/zinc/66/79/77/1074667977.db2.gz RCRNCJRXDLUHGN-QFBILLFUSA-N 0 0 432.521 -0.060 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@@H](CO)C3)cc2)CC1 ZINC000470937983 1074667921 /nfs/dbraw/zinc/66/79/21/1074667921.db2.gz RCRNCJRXDLUHGN-VQIMIIECSA-N 0 0 432.521 -0.060 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@H](CO)O1 ZINC000470939759 1074667844 /nfs/dbraw/zinc/66/78/44/1074667844.db2.gz HYXJLWQORICGDB-IIDMSEBBSA-N 0 0 433.461 -0.752 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@H](CO)O1 ZINC000470939760 1074667863 /nfs/dbraw/zinc/66/78/63/1074667863.db2.gz HYXJLWQORICGDB-RKVPGOIHSA-N 0 0 433.461 -0.752 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@@H](CO)O1 ZINC000470939761 1074668311 /nfs/dbraw/zinc/66/83/11/1074668311.db2.gz HYXJLWQORICGDB-RVKKMQEKSA-N 0 0 433.461 -0.752 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@@H](CO)O1 ZINC000470939762 1074668265 /nfs/dbraw/zinc/66/82/65/1074668265.db2.gz HYXJLWQORICGDB-VKJFTORMSA-N 0 0 433.461 -0.752 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000470945358 1074668318 /nfs/dbraw/zinc/66/83/18/1074668318.db2.gz JFTAZYHUZGYAKE-GASCZTMLSA-N 0 0 434.497 -0.462 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000470945359 1074668463 /nfs/dbraw/zinc/66/84/63/1074668463.db2.gz JFTAZYHUZGYAKE-GJZGRUSLSA-N 0 0 434.497 -0.462 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1=O ZINC000470945360 1074668485 /nfs/dbraw/zinc/66/84/85/1074668485.db2.gz JFTAZYHUZGYAKE-HUUCEWRRSA-N 0 0 434.497 -0.462 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@H](CO)O1 ZINC000470946254 1074668459 /nfs/dbraw/zinc/66/84/59/1074668459.db2.gz LDOXCHQSLUQUMO-DZGCQCFKSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@@H](CO)O1 ZINC000470946255 1074668429 /nfs/dbraw/zinc/66/84/29/1074668429.db2.gz LDOXCHQSLUQUMO-HIFRSBDPSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@H](CO)O1 ZINC000470946256 1074668437 /nfs/dbraw/zinc/66/84/37/1074668437.db2.gz LDOXCHQSLUQUMO-UKRRQHHQSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C[C@H](CO)O1 ZINC000470946340 1074668425 /nfs/dbraw/zinc/66/84/25/1074668425.db2.gz NLSACZUZUJUGSQ-GDBMZVCRSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C[C@H](CO)O1 ZINC000470946341 1074668272 /nfs/dbraw/zinc/66/82/72/1074668272.db2.gz NLSACZUZUJUGSQ-GOEBONIOSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C[C@@H](CO)O1 ZINC000470946342 1074668466 /nfs/dbraw/zinc/66/84/66/1074668466.db2.gz NLSACZUZUJUGSQ-HOCLYGCPSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C[C@@H](CO)O1 ZINC000470946343 1074668469 /nfs/dbraw/zinc/66/84/69/1074668469.db2.gz NLSACZUZUJUGSQ-ZBFHGGJFSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@@H](CO)O1 ZINC000470946486 1074668328 /nfs/dbraw/zinc/66/83/28/1074668328.db2.gz LDOXCHQSLUQUMO-ZFWWWQNUSA-N 0 0 427.479 -0.746 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000470947880 1074668927 /nfs/dbraw/zinc/66/89/27/1074668927.db2.gz QVWDRGWVBSHNME-CABCVRRESA-N 0 0 441.506 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000470947881 1074668912 /nfs/dbraw/zinc/66/89/12/1074668912.db2.gz QVWDRGWVBSHNME-GJZGRUSLSA-N 0 0 441.506 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000470947882 1074668920 /nfs/dbraw/zinc/66/89/20/1074668920.db2.gz QVWDRGWVBSHNME-HUUCEWRRSA-N 0 0 441.506 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000470947883 1074668953 /nfs/dbraw/zinc/66/89/53/1074668953.db2.gz QVWDRGWVBSHNME-LSDHHAIUSA-N 0 0 441.506 -0.437 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000470951903 1074668856 /nfs/dbraw/zinc/66/88/56/1074668856.db2.gz ZILNXDQRRGJLMP-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN Nc1nnc(CCNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)o1 ZINC000470963144 1074669525 /nfs/dbraw/zinc/66/95/25/1074669525.db2.gz ZKZRHYNZZFKBGZ-UHFFFAOYSA-N 0 0 436.494 -0.376 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000470974121 1074669501 /nfs/dbraw/zinc/66/95/01/1074669501.db2.gz BYEWJZLBHXECKC-DLBZAZTESA-N 0 0 431.493 -0.909 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000470974128 1074669425 /nfs/dbraw/zinc/66/94/25/1074669425.db2.gz BYEWJZLBHXECKC-IAGOWNOFSA-N 0 0 431.493 -0.909 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000470974129 1074669539 /nfs/dbraw/zinc/66/95/39/1074669539.db2.gz BYEWJZLBHXECKC-IRXDYDNUSA-N 0 0 431.493 -0.909 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000470974131 1074669365 /nfs/dbraw/zinc/66/93/65/1074669365.db2.gz BYEWJZLBHXECKC-SJORKVTESA-N 0 0 431.493 -0.909 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C(C)(C)C(N)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000470974440 1074669493 /nfs/dbraw/zinc/66/94/93/1074669493.db2.gz JSGIRZLFYODICS-UHFFFAOYSA-N 0 0 441.554 -0.235 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(c4ccc(C(N)=O)cc4)CC3)OCC(=O)N2C)cn1 ZINC000470974868 1074669474 /nfs/dbraw/zinc/66/94/74/1074669474.db2.gz FQNMDAHGOIWRBZ-RBUKOAKNSA-N 0 0 426.477 -0.234 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000470975471 1074669567 /nfs/dbraw/zinc/66/95/67/1074669567.db2.gz OACQXTOPQCVPSI-UHFFFAOYSA-N 0 0 440.566 -0.032 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(C(C)(C)C(N)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000470978764 1074669984 /nfs/dbraw/zinc/66/99/84/1074669984.db2.gz VYHWJGIZGCYDQH-UHFFFAOYSA-N 0 0 442.520 -0.758 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)ccc1F ZINC000470978790 1074670010 /nfs/dbraw/zinc/67/00/10/1074670010.db2.gz WIPCLRHEJXNXIX-AAEUAGOBSA-N 0 0 444.485 -0.010 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)ccc1F ZINC000470978791 1074669950 /nfs/dbraw/zinc/66/99/50/1074669950.db2.gz WIPCLRHEJXNXIX-DGCLKSJQSA-N 0 0 444.485 -0.010 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)ccc1F ZINC000470978792 1074670020 /nfs/dbraw/zinc/67/00/20/1074670020.db2.gz WIPCLRHEJXNXIX-WCQYABFASA-N 0 0 444.485 -0.010 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)ccc1F ZINC000470978793 1074669930 /nfs/dbraw/zinc/66/99/30/1074669930.db2.gz WIPCLRHEJXNXIX-YPMHNXCESA-N 0 0 444.485 -0.010 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470979083 1074669915 /nfs/dbraw/zinc/66/99/15/1074669915.db2.gz YHMVNVMMOHFTHW-DZGCQCFKSA-N 0 0 426.495 -0.147 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470979085 1074669979 /nfs/dbraw/zinc/66/99/79/1074669979.db2.gz YHMVNVMMOHFTHW-HIFRSBDPSA-N 0 0 426.495 -0.147 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470979087 1074669926 /nfs/dbraw/zinc/66/99/26/1074669926.db2.gz YHMVNVMMOHFTHW-UKRRQHHQSA-N 0 0 426.495 -0.147 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470979089 1074669971 /nfs/dbraw/zinc/66/99/71/1074669971.db2.gz YHMVNVMMOHFTHW-ZFWWWQNUSA-N 0 0 426.495 -0.147 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)O1 ZINC000470979234 1074669967 /nfs/dbraw/zinc/66/99/67/1074669967.db2.gz GGDGANKWNWHDTJ-GDBMZVCRSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)O1 ZINC000470979235 1074669909 /nfs/dbraw/zinc/66/99/09/1074669909.db2.gz GGDGANKWNWHDTJ-GOEBONIOSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)O1 ZINC000470979236 1074669963 /nfs/dbraw/zinc/66/99/63/1074669963.db2.gz GGDGANKWNWHDTJ-HOCLYGCPSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)O1 ZINC000470979237 1074669999 /nfs/dbraw/zinc/66/99/99/1074669999.db2.gz GGDGANKWNWHDTJ-ZBFHGGJFSA-N 0 0 438.506 -0.051 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)C[C@H](C(N)=O)O1 ZINC000470979567 1074670611 /nfs/dbraw/zinc/67/06/11/1074670611.db2.gz LNHPCSWCMLIYRK-QMTHXVAHSA-N 0 0 444.897 -0.080 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)C[C@@H](C(N)=O)O1 ZINC000470979568 1074670539 /nfs/dbraw/zinc/67/05/39/1074670539.db2.gz LNHPCSWCMLIYRK-YGRLFVJLSA-N 0 0 444.897 -0.080 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C[C@H](C(N)=O)O1 ZINC000470979730 1074670626 /nfs/dbraw/zinc/67/06/26/1074670626.db2.gz OBLHHAYXMPQVCS-QMTHXVAHSA-N 0 0 428.442 -0.595 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C[C@@H](C(N)=O)O1 ZINC000470979731 1074670526 /nfs/dbraw/zinc/67/05/26/1074670526.db2.gz OBLHHAYXMPQVCS-YGRLFVJLSA-N 0 0 428.442 -0.595 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1-n1nnnc1C1CC1 ZINC000470980145 1074669937 /nfs/dbraw/zinc/66/99/37/1074669937.db2.gz UZOYUIGKIIVWBP-BMIGLBTASA-N 0 0 429.437 -0.412 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1-n1nnnc1C1CC1 ZINC000470980146 1074669942 /nfs/dbraw/zinc/66/99/42/1074669942.db2.gz UZOYUIGKIIVWBP-MEBBXXQBSA-N 0 0 429.437 -0.412 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)C[C@H](C(N)=O)O1 ZINC000470980397 1074669921 /nfs/dbraw/zinc/66/99/21/1074669921.db2.gz YDWUWLMCTGIIQY-BXUZGUMPSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)C[C@@H](C(N)=O)O1 ZINC000470980398 1074669947 /nfs/dbraw/zinc/66/99/47/1074669947.db2.gz YDWUWLMCTGIIQY-RISCZKNCSA-N 0 0 426.495 -0.197 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000470980462 1074670606 /nfs/dbraw/zinc/67/06/06/1074670606.db2.gz ZDNGPZLMJLYAEJ-ABAIWWIYSA-N 0 0 438.506 -0.216 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000470980463 1074670535 /nfs/dbraw/zinc/67/05/35/1074670535.db2.gz ZDNGPZLMJLYAEJ-IAQYHMDHSA-N 0 0 438.506 -0.216 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000470980532 1074670568 /nfs/dbraw/zinc/67/05/68/1074670568.db2.gz AHNQFMRQFYTCRN-CXAGYDPISA-N 0 0 447.492 -0.878 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000470980533 1074670617 /nfs/dbraw/zinc/67/06/17/1074670617.db2.gz AHNQFMRQFYTCRN-DYVFJYSZSA-N 0 0 447.492 -0.878 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)O1 ZINC000470981053 1074670602 /nfs/dbraw/zinc/67/06/02/1074670602.db2.gz DHZLJIJCGXZXAR-DZGCQCFKSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)O1 ZINC000470981054 1074670522 /nfs/dbraw/zinc/67/05/22/1074670522.db2.gz DHZLJIJCGXZXAR-HIFRSBDPSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)O1 ZINC000470981055 1074670563 /nfs/dbraw/zinc/67/05/63/1074670563.db2.gz DHZLJIJCGXZXAR-UKRRQHHQSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)O1 ZINC000470981056 1074670517 /nfs/dbraw/zinc/67/05/17/1074670517.db2.gz DHZLJIJCGXZXAR-ZFWWWQNUSA-N 0 0 438.506 -0.051 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(F)cc1CS(C)(=O)=O ZINC000470981277 1074670554 /nfs/dbraw/zinc/67/05/54/1074670554.db2.gz FCPBRESTKVVGJP-CYBMUJFWSA-N 0 0 435.499 -0.151 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(F)cc1CS(C)(=O)=O ZINC000470981278 1074670633 /nfs/dbraw/zinc/67/06/33/1074670633.db2.gz FCPBRESTKVVGJP-ZDUSSCGKSA-N 0 0 435.499 -0.151 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)C2=O)n(C)n1 ZINC000470981811 1074670594 /nfs/dbraw/zinc/67/05/94/1074670594.db2.gz DSMDNDPCDLUQSB-FHLIZLRMSA-N 0 0 429.481 -0.328 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)C2=O)n(C)n1 ZINC000470981812 1074670630 /nfs/dbraw/zinc/67/06/30/1074670630.db2.gz DSMDNDPCDLUQSB-JCGIZDLHSA-N 0 0 429.481 -0.328 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC(C)(C)C)c2)C[C@@H](C(N)=O)O1 ZINC000470982428 1074670530 /nfs/dbraw/zinc/67/05/30/1074670530.db2.gz JFKCJIOSMPGDNO-MFKMUULPSA-N 0 0 444.485 -0.058 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC(C)(C)C)c2)C[C@H](C(N)=O)O1 ZINC000470982433 1074670579 /nfs/dbraw/zinc/67/05/79/1074670579.db2.gz JFKCJIOSMPGDNO-ZWNOBZJWSA-N 0 0 444.485 -0.058 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000470982917 1074671033 /nfs/dbraw/zinc/67/10/33/1074671033.db2.gz CRQUDBKNDDFXLK-ABAIWWIYSA-N 0 0 440.478 -0.777 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000470982918 1074671089 /nfs/dbraw/zinc/67/10/89/1074671089.db2.gz CRQUDBKNDDFXLK-IAQYHMDHSA-N 0 0 440.478 -0.777 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000470982919 1074671021 /nfs/dbraw/zinc/67/10/21/1074671021.db2.gz CRQUDBKNDDFXLK-NHYWBVRUSA-N 0 0 440.478 -0.777 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000470982920 1074671092 /nfs/dbraw/zinc/67/10/92/1074671092.db2.gz CRQUDBKNDDFXLK-XHDPSFHLSA-N 0 0 440.478 -0.777 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)C[C@@H](C(N)=O)O1 ZINC000470982922 1074671097 /nfs/dbraw/zinc/67/10/97/1074671097.db2.gz CSQUUOIMEWLKFQ-HIFRSBDPSA-N 0 0 438.506 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)C[C@H](C(N)=O)O1 ZINC000470982923 1074671026 /nfs/dbraw/zinc/67/10/26/1074671026.db2.gz CSQUUOIMEWLKFQ-UKRRQHHQSA-N 0 0 438.506 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C[C@@H](C(N)=O)O1 ZINC000470983050 1074670584 /nfs/dbraw/zinc/67/05/84/1074670584.db2.gz IJYDJZNKBRWGLG-PBHICJAKSA-N 0 0 431.493 -0.957 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C[C@H](C(N)=O)O1 ZINC000470983051 1074671058 /nfs/dbraw/zinc/67/10/58/1074671058.db2.gz IJYDJZNKBRWGLG-RHSMWYFYSA-N 0 0 431.493 -0.957 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)C[C@@H](C(N)=O)O1 ZINC000470983116 1074671019 /nfs/dbraw/zinc/67/10/19/1074671019.db2.gz LEBSGVZUQWHWJC-CJNGLKHVSA-N 0 0 438.506 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)C[C@H](C(N)=O)O1 ZINC000470983117 1074670965 /nfs/dbraw/zinc/67/09/65/1074670965.db2.gz LEBSGVZUQWHWJC-CZUORRHYSA-N 0 0 438.506 -0.099 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1 ZINC000470984284 1074671039 /nfs/dbraw/zinc/67/10/39/1074671039.db2.gz RLPNEZSMTAZKIJ-DOMZBBRYSA-N 0 0 426.495 -0.245 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1 ZINC000470984286 1074670973 /nfs/dbraw/zinc/67/09/73/1074670973.db2.gz RLPNEZSMTAZKIJ-IUODEOHRSA-N 0 0 426.495 -0.245 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(F)cc3CS(C)(=O)=O)CC2=O)cn1 ZINC000470985688 1074671588 /nfs/dbraw/zinc/67/15/88/1074671588.db2.gz RJPXLXYOBBJEPH-UHFFFAOYSA-N 0 0 437.453 -0.082 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)C[C@@H](C(N)=O)O1 ZINC000470986263 1074671080 /nfs/dbraw/zinc/67/10/80/1074671080.db2.gz YYMVEFDEMYVTIM-INWMFGNUSA-N 0 0 439.490 -0.321 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)C[C@H](C(N)=O)O1 ZINC000470986264 1074671106 /nfs/dbraw/zinc/67/11/06/1074671106.db2.gz YYMVEFDEMYVTIM-IVMMDQJWSA-N 0 0 439.490 -0.321 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)C[C@H](C(N)=O)O1 ZINC000470986265 1074671085 /nfs/dbraw/zinc/67/10/85/1074671085.db2.gz YYMVEFDEMYVTIM-XNRPHZJLSA-N 0 0 439.490 -0.321 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)C[C@@H](C(N)=O)O1 ZINC000470986266 1074671578 /nfs/dbraw/zinc/67/15/78/1074671578.db2.gz YYMVEFDEMYVTIM-XPKDYRNWSA-N 0 0 439.490 -0.321 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c1 ZINC000470986656 1074671609 /nfs/dbraw/zinc/67/16/09/1074671609.db2.gz WXGKVFAPGLGVLO-DOMZBBRYSA-N 0 0 426.495 -0.195 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c1 ZINC000470986657 1074671626 /nfs/dbraw/zinc/67/16/26/1074671626.db2.gz WXGKVFAPGLGVLO-IUODEOHRSA-N 0 0 426.495 -0.195 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1 ZINC000470987319 1074671570 /nfs/dbraw/zinc/67/15/70/1074671570.db2.gz ZSHXNWBGNVGIEH-AWEZNQCLSA-N 0 0 426.495 -0.775 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1 ZINC000470987326 1074671524 /nfs/dbraw/zinc/67/15/24/1074671524.db2.gz ZSHXNWBGNVGIEH-CQSZACIVSA-N 0 0 426.495 -0.775 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)ccc1Cl ZINC000470987635 1074671545 /nfs/dbraw/zinc/67/15/45/1074671545.db2.gz VUIZSEGCFXXXIR-CMPLNLGQSA-N 0 0 432.886 -0.322 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)ccc1Cl ZINC000470987636 1074671573 /nfs/dbraw/zinc/67/15/73/1074671573.db2.gz VUIZSEGCFXXXIR-JQWIXIFHSA-N 0 0 432.886 -0.322 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)ccc1Cl ZINC000470987637 1074671535 /nfs/dbraw/zinc/67/15/35/1074671535.db2.gz VUIZSEGCFXXXIR-PWSUYJOCSA-N 0 0 432.886 -0.322 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)ccc1Cl ZINC000470987638 1074671619 /nfs/dbraw/zinc/67/16/19/1074671619.db2.gz VUIZSEGCFXXXIR-ZYHUDNBSSA-N 0 0 432.886 -0.322 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1Cl ZINC000470987693 1074671614 /nfs/dbraw/zinc/67/16/14/1074671614.db2.gz WNXAQLLRPVMRDI-QMTHXVAHSA-N 0 0 426.857 -0.244 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1Cl ZINC000470987694 1074671623 /nfs/dbraw/zinc/67/16/23/1074671623.db2.gz WNXAQLLRPVMRDI-YGRLFVJLSA-N 0 0 426.857 -0.244 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@@H](C(N)=O)O1 ZINC000470987824 1074671595 /nfs/dbraw/zinc/67/15/95/1074671595.db2.gz YOEYAFJJOPXZAP-CJNGLKHVSA-N 0 0 444.492 -0.820 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@H](C(N)=O)O1 ZINC000470987825 1074671551 /nfs/dbraw/zinc/67/15/51/1074671551.db2.gz YOEYAFJJOPXZAP-CZUORRHYSA-N 0 0 444.492 -0.820 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000470988418 1074671598 /nfs/dbraw/zinc/67/15/98/1074671598.db2.gz DYMFUCVMYZJHKO-BMIGLBTASA-N 0 0 440.478 -0.824 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000470988419 1074671558 /nfs/dbraw/zinc/67/15/58/1074671558.db2.gz DYMFUCVMYZJHKO-MEBBXXQBSA-N 0 0 440.478 -0.824 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c(C(=O)N2CCCC2)cc1OC ZINC000470988610 1074672057 /nfs/dbraw/zinc/67/20/57/1074672057.db2.gz HUTOWESOQWBIPZ-PXAZEXFGSA-N 0 0 448.476 -0.021 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c(C(=O)N2CCCC2)cc1OC ZINC000470988611 1074672035 /nfs/dbraw/zinc/67/20/35/1074672035.db2.gz HUTOWESOQWBIPZ-SJKOYZFVSA-N 0 0 448.476 -0.021 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(F)ccc2OC(F)F)CC1 ZINC000470989142 1074672020 /nfs/dbraw/zinc/67/20/20/1074672020.db2.gz MZFYPJPXPWZBOS-UHFFFAOYSA-N 0 0 427.343 -0.277 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1 ZINC000470989243 1074671581 /nfs/dbraw/zinc/67/15/81/1074671581.db2.gz OHGORQOZTZKGIG-AWEZNQCLSA-N 0 0 429.437 -0.850 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1 ZINC000470989244 1074671605 /nfs/dbraw/zinc/67/16/05/1074671605.db2.gz OHGORQOZTZKGIG-CQSZACIVSA-N 0 0 429.437 -0.850 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1 ZINC000470989731 1074672063 /nfs/dbraw/zinc/67/20/63/1074672063.db2.gz UYGNBPMDJYKYRA-DZGCQCFKSA-N 0 0 426.495 -0.195 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1 ZINC000470989732 1074672075 /nfs/dbraw/zinc/67/20/75/1074672075.db2.gz UYGNBPMDJYKYRA-HIFRSBDPSA-N 0 0 426.495 -0.195 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1 ZINC000470989733 1074672045 /nfs/dbraw/zinc/67/20/45/1074672045.db2.gz UYGNBPMDJYKYRA-UKRRQHHQSA-N 0 0 426.495 -0.195 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1 ZINC000470989734 1074671981 /nfs/dbraw/zinc/67/19/81/1074671981.db2.gz UYGNBPMDJYKYRA-ZFWWWQNUSA-N 0 0 426.495 -0.195 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470990442 1074671972 /nfs/dbraw/zinc/67/19/72/1074671972.db2.gz CGUQVIJOWYTBLH-CABCVRRESA-N 0 0 440.522 -0.008 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000470990443 1074672081 /nfs/dbraw/zinc/67/20/81/1074672081.db2.gz CGUQVIJOWYTBLH-GJZGRUSLSA-N 0 0 440.522 -0.008 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470990444 1074672087 /nfs/dbraw/zinc/67/20/87/1074672087.db2.gz CGUQVIJOWYTBLH-HUUCEWRRSA-N 0 0 440.522 -0.008 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000470990445 1074672524 /nfs/dbraw/zinc/67/25/24/1074672524.db2.gz CGUQVIJOWYTBLH-LSDHHAIUSA-N 0 0 440.522 -0.008 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)O1 ZINC000470990639 1074672014 /nfs/dbraw/zinc/67/20/14/1074672014.db2.gz AWUNCYPBYIHZEP-FHLIZLRMSA-N 0 0 444.488 -0.058 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)O1 ZINC000470990640 1074672052 /nfs/dbraw/zinc/67/20/52/1074672052.db2.gz AWUNCYPBYIHZEP-JCGIZDLHSA-N 0 0 444.488 -0.058 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)O1 ZINC000470990641 1074672006 /nfs/dbraw/zinc/67/20/06/1074672006.db2.gz AWUNCYPBYIHZEP-JLSDUUJJSA-N 0 0 444.488 -0.058 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)O1 ZINC000470990642 1074672000 /nfs/dbraw/zinc/67/20/00/1074672000.db2.gz AWUNCYPBYIHZEP-WBAXXEDZSA-N 0 0 444.488 -0.058 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1Cl ZINC000470990889 1074671936 /nfs/dbraw/zinc/67/19/36/1074671936.db2.gz BOABMUMKZBBQDH-BXUZGUMPSA-N 0 0 426.857 -0.105 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1Cl ZINC000470990890 1074672091 /nfs/dbraw/zinc/67/20/91/1074672091.db2.gz BOABMUMKZBBQDH-FZMZJTMJSA-N 0 0 426.857 -0.105 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1Cl ZINC000470990891 1074671961 /nfs/dbraw/zinc/67/19/61/1074671961.db2.gz BOABMUMKZBBQDH-RISCZKNCSA-N 0 0 426.857 -0.105 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1Cl ZINC000470990892 1074671951 /nfs/dbraw/zinc/67/19/51/1074671951.db2.gz BOABMUMKZBBQDH-SMDDNHRTSA-N 0 0 426.857 -0.105 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1OCC(=O)NC1CC1 ZINC000470991165 1074672069 /nfs/dbraw/zinc/67/20/69/1074672069.db2.gz CDGRKTCRLCTFOG-BDJLRTHQSA-N 0 0 434.449 -0.608 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1OCC(=O)NC1CC1 ZINC000470991166 1074671991 /nfs/dbraw/zinc/67/19/91/1074671991.db2.gz CDGRKTCRLCTFOG-BZNIZROVSA-N 0 0 434.449 -0.608 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)O1 ZINC000470992691 1074672568 /nfs/dbraw/zinc/67/25/68/1074672568.db2.gz MGUQKJMEOIEQIJ-CVEARBPZSA-N 0 0 434.518 -0.108 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)O1 ZINC000470992692 1074672564 /nfs/dbraw/zinc/67/25/64/1074672564.db2.gz MGUQKJMEOIEQIJ-HOTGVXAUSA-N 0 0 434.518 -0.108 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)O1 ZINC000470992693 1074672529 /nfs/dbraw/zinc/67/25/29/1074672529.db2.gz MGUQKJMEOIEQIJ-HZPDHXFCSA-N 0 0 434.518 -0.108 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)O1 ZINC000470992694 1074672513 /nfs/dbraw/zinc/67/25/13/1074672513.db2.gz MGUQKJMEOIEQIJ-JKSUJKDBSA-N 0 0 434.518 -0.108 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CC2)n1 ZINC000470993620 1074672948 /nfs/dbraw/zinc/67/29/48/1074672948.db2.gz OSTWJMUPHGUYGT-ZWKOTPCHSA-N 0 0 428.497 -0.477 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(CS(=O)(=O)NC(C)(C)C)c2)C[C@@H](C(N)=O)O1 ZINC000470994271 1074672559 /nfs/dbraw/zinc/67/25/59/1074672559.db2.gz DZYZRANOVZKBME-DOMZBBRYSA-N 0 0 440.522 -0.056 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(CS(=O)(=O)NC(C)(C)C)c2)C[C@H](C(N)=O)O1 ZINC000470994278 1074672485 /nfs/dbraw/zinc/67/24/85/1074672485.db2.gz DZYZRANOVZKBME-IUODEOHRSA-N 0 0 440.522 -0.056 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1 ZINC000470994307 1074672477 /nfs/dbraw/zinc/67/24/77/1074672477.db2.gz FLIQERGSEQBPJJ-JMSVASOKSA-N 0 0 434.449 -0.592 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1 ZINC000470994308 1074672503 /nfs/dbraw/zinc/67/25/03/1074672503.db2.gz FLIQERGSEQBPJJ-LALPHHSUSA-N 0 0 434.449 -0.592 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1 ZINC000470994309 1074672573 /nfs/dbraw/zinc/67/25/73/1074672573.db2.gz FLIQERGSEQBPJJ-TYNCELHUSA-N 0 0 434.449 -0.592 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1 ZINC000470994310 1074672499 /nfs/dbraw/zinc/67/24/99/1074672499.db2.gz FLIQERGSEQBPJJ-XUJVJEKNSA-N 0 0 434.449 -0.592 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)O1 ZINC000470994402 1074672547 /nfs/dbraw/zinc/67/25/47/1074672547.db2.gz QRITXYJKFAETIM-AAEUAGOBSA-N 0 0 428.442 -0.646 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)O1 ZINC000470994403 1074672578 /nfs/dbraw/zinc/67/25/78/1074672578.db2.gz QRITXYJKFAETIM-DGCLKSJQSA-N 0 0 428.442 -0.646 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)O1 ZINC000470994404 1074672508 /nfs/dbraw/zinc/67/25/08/1074672508.db2.gz QRITXYJKFAETIM-WCQYABFASA-N 0 0 428.442 -0.646 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)O1 ZINC000470994405 1074672533 /nfs/dbraw/zinc/67/25/33/1074672533.db2.gz QRITXYJKFAETIM-YPMHNXCESA-N 0 0 428.442 -0.646 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1S(=O)(=O)N(C)C ZINC000470994414 1074672551 /nfs/dbraw/zinc/67/25/51/1074672551.db2.gz QUIKUUIDNVQADI-BXUZGUMPSA-N 0 0 442.494 -0.625 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1S(=O)(=O)N(C)C ZINC000470994415 1074672978 /nfs/dbraw/zinc/67/29/78/1074672978.db2.gz QUIKUUIDNVQADI-RISCZKNCSA-N 0 0 442.494 -0.625 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C[C@H](C(N)=O)O1 ZINC000470994470 1074673026 /nfs/dbraw/zinc/67/30/26/1074673026.db2.gz MXMXNNBJEQTFTI-NOZJJQNGSA-N 0 0 428.442 -0.694 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C[C@@H](C(N)=O)O1 ZINC000470994471 1074673016 /nfs/dbraw/zinc/67/30/16/1074673016.db2.gz MXMXNNBJEQTFTI-RNCFNFMXSA-N 0 0 428.442 -0.694 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1 ZINC000470995221 1074673031 /nfs/dbraw/zinc/67/30/31/1074673031.db2.gz SPUXALFTGWOBLX-CQDKDKBSSA-N 0 0 434.449 -0.544 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1 ZINC000470995222 1074673042 /nfs/dbraw/zinc/67/30/42/1074673042.db2.gz SPUXALFTGWOBLX-GLQYFDAESA-N 0 0 434.449 -0.544 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1 ZINC000470995223 1074672993 /nfs/dbraw/zinc/67/29/93/1074672993.db2.gz SPUXALFTGWOBLX-NILFDRSVSA-N 0 0 434.449 -0.544 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1 ZINC000470995225 1074672973 /nfs/dbraw/zinc/67/29/73/1074672973.db2.gz SPUXALFTGWOBLX-TUKIKUTGSA-N 0 0 434.449 -0.544 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)C[C@H](C(N)=O)O1 ZINC000470995256 1074673022 /nfs/dbraw/zinc/67/30/22/1074673022.db2.gz SSTPUFLYJVRMJM-BXKDBHETSA-N 0 0 432.886 -0.370 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)C[C@@H](C(N)=O)O1 ZINC000470995263 1074672917 /nfs/dbraw/zinc/67/29/17/1074672917.db2.gz SSTPUFLYJVRMJM-SKDRFNHKSA-N 0 0 432.886 -0.370 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)O1 ZINC000470995618 1074673036 /nfs/dbraw/zinc/67/30/36/1074673036.db2.gz PGHIUAAUTGGDRQ-GDBMZVCRSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)O1 ZINC000470995620 1074672931 /nfs/dbraw/zinc/67/29/31/1074672931.db2.gz PGHIUAAUTGGDRQ-GOEBONIOSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)O1 ZINC000470995622 1074673012 /nfs/dbraw/zinc/67/30/12/1074673012.db2.gz PGHIUAAUTGGDRQ-HOCLYGCPSA-N 0 0 438.506 -0.051 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)O1 ZINC000470995625 1074673006 /nfs/dbraw/zinc/67/30/06/1074673006.db2.gz PGHIUAAUTGGDRQ-ZBFHGGJFSA-N 0 0 438.506 -0.051 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@H](C(N)=O)C3)cc2)C[C@H](C)O1 ZINC000470995937 1074672966 /nfs/dbraw/zinc/67/29/66/1074672966.db2.gz JFYBVWKBLIVAMX-OEUWWYETSA-N 0 0 432.477 -0.024 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@@H](C)O[C@@H](C)C3)cc2)C[C@H](C(N)=O)O1 ZINC000470995938 1074673001 /nfs/dbraw/zinc/67/30/01/1074673001.db2.gz JFYBVWKBLIVAMX-UMPJEAMMSA-N 0 0 432.477 -0.024 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@@H](C(N)=O)C3)cc2)C[C@@H](C)O1 ZINC000470995939 1074673046 /nfs/dbraw/zinc/67/30/46/1074673046.db2.gz JFYBVWKBLIVAMX-VMUDFCTBSA-N 0 0 432.477 -0.024 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@H](C(N)=O)C3)cc2)C[C@@H](C)O1 ZINC000470995940 1074672941 /nfs/dbraw/zinc/67/29/41/1074672941.db2.gz JFYBVWKBLIVAMX-WBOJAVRRSA-N 0 0 432.477 -0.024 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000470996544 1074673490 /nfs/dbraw/zinc/67/34/90/1074673490.db2.gz RXEQWYVTKYJKRG-MSOLQXFVSA-N 0 0 445.520 -0.519 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000470996545 1074673558 /nfs/dbraw/zinc/67/35/58/1074673558.db2.gz RXEQWYVTKYJKRG-QZTJIDSGSA-N 0 0 445.520 -0.519 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000470996546 1074673484 /nfs/dbraw/zinc/67/34/84/1074673484.db2.gz RXEQWYVTKYJKRG-ROUUACIJSA-N 0 0 445.520 -0.519 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000470996547 1074673548 /nfs/dbraw/zinc/67/35/48/1074673548.db2.gz RXEQWYVTKYJKRG-ZWKOTPCHSA-N 0 0 445.520 -0.519 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1 ZINC000470996944 1074673526 /nfs/dbraw/zinc/67/35/26/1074673526.db2.gz SXJZGKHXIMFQJX-DOMZBBRYSA-N 0 0 426.495 -0.243 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1 ZINC000470996945 1074673458 /nfs/dbraw/zinc/67/34/58/1074673458.db2.gz SXJZGKHXIMFQJX-IUODEOHRSA-N 0 0 426.495 -0.243 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)C[C@@H](C(N)=O)O1 ZINC000470997229 1074673546 /nfs/dbraw/zinc/67/35/46/1074673546.db2.gz MDTUCHNWRNKAHZ-CJNGLKHVSA-N 0 0 438.506 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)C[C@H](C(N)=O)O1 ZINC000470997230 1074673496 /nfs/dbraw/zinc/67/34/96/1074673496.db2.gz MDTUCHNWRNKAHZ-CZUORRHYSA-N 0 0 438.506 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)C[C@@H](C(N)=O)O1 ZINC000470997331 1074673501 /nfs/dbraw/zinc/67/35/01/1074673501.db2.gz UKBNFYOSTOHRLG-CJNGLKHVSA-N 0 0 438.444 -0.416 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)C[C@H](C(N)=O)O1 ZINC000470997336 1074673478 /nfs/dbraw/zinc/67/34/78/1074673478.db2.gz UKBNFYOSTOHRLG-CZUORRHYSA-N 0 0 438.444 -0.416 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@@H](C(N)=O)C3)cc2)CC1 ZINC000470998196 1074673531 /nfs/dbraw/zinc/67/35/31/1074673531.db2.gz OSSZYIVSHPTPSN-CRAIPNDOSA-N 0 0 445.520 -0.567 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@H](C(N)=O)C3)cc2)CC1 ZINC000470998197 1074673581 /nfs/dbraw/zinc/67/35/81/1074673581.db2.gz OSSZYIVSHPTPSN-QAPCUYQASA-N 0 0 445.520 -0.567 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)C[C@@H](C(N)=O)O1 ZINC000470998939 1074674109 /nfs/dbraw/zinc/67/41/09/1074674109.db2.gz YYZXOYORGVTDQS-FHLIZLRMSA-N 0 0 444.488 -0.106 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)C[C@@H](C(N)=O)O1 ZINC000470998940 1074674023 /nfs/dbraw/zinc/67/40/23/1074674023.db2.gz YYZXOYORGVTDQS-JLSDUUJJSA-N 0 0 444.488 -0.106 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)C[C@H](C(N)=O)O1 ZINC000470998941 1074673995 /nfs/dbraw/zinc/67/39/95/1074673995.db2.gz YYZXOYORGVTDQS-OLMNPRSZSA-N 0 0 444.488 -0.106 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)C[C@H](C(N)=O)O1 ZINC000470998942 1074674118 /nfs/dbraw/zinc/67/41/18/1074674118.db2.gz YYZXOYORGVTDQS-ZTFGCOKTSA-N 0 0 444.488 -0.106 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)C[C@@H](C(N)=O)O1 ZINC000470999401 1074673454 /nfs/dbraw/zinc/67/34/54/1074673454.db2.gz UNAAAGDMJFLHPI-CJNGLKHVSA-N 0 0 434.518 -0.156 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)C[C@H](C(N)=O)O1 ZINC000470999402 1074673556 /nfs/dbraw/zinc/67/35/56/1074673556.db2.gz UNAAAGDMJFLHPI-CZUORRHYSA-N 0 0 434.518 -0.156 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1 ZINC000471000046 1074674094 /nfs/dbraw/zinc/67/40/94/1074674094.db2.gz DRAVDMQEHMPFAP-JMSVASOKSA-N 0 0 442.494 -0.961 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1 ZINC000471000047 1074674114 /nfs/dbraw/zinc/67/41/14/1074674114.db2.gz DRAVDMQEHMPFAP-LALPHHSUSA-N 0 0 442.494 -0.961 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1 ZINC000471000048 1074674073 /nfs/dbraw/zinc/67/40/73/1074674073.db2.gz DRAVDMQEHMPFAP-YWPYICTPSA-N 0 0 442.494 -0.961 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1 ZINC000471000049 1074673963 /nfs/dbraw/zinc/67/39/63/1074673963.db2.gz DRAVDMQEHMPFAP-ZOWXZIJZSA-N 0 0 442.494 -0.961 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1OC ZINC000471000352 1074674059 /nfs/dbraw/zinc/67/40/59/1074674059.db2.gz ZUYOUCHYDVKYEN-QMTHXVAHSA-N 0 0 428.467 -0.504 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1OC ZINC000471000353 1074673975 /nfs/dbraw/zinc/67/39/75/1074673975.db2.gz ZUYOUCHYDVKYEN-YGRLFVJLSA-N 0 0 428.467 -0.504 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000471002395 1074674001 /nfs/dbraw/zinc/67/40/01/1074674001.db2.gz YZMGAVGAZUNBKU-ABAIWWIYSA-N 0 0 441.510 -0.910 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000471002396 1074674053 /nfs/dbraw/zinc/67/40/53/1074674053.db2.gz YZMGAVGAZUNBKU-IAQYHMDHSA-N 0 0 441.510 -0.910 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000471002397 1074674078 /nfs/dbraw/zinc/67/40/78/1074674078.db2.gz YZMGAVGAZUNBKU-NHYWBVRUSA-N 0 0 441.510 -0.910 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000471002398 1074674016 /nfs/dbraw/zinc/67/40/16/1074674016.db2.gz YZMGAVGAZUNBKU-XHDPSFHLSA-N 0 0 441.510 -0.910 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000471004935 1074673954 /nfs/dbraw/zinc/67/39/54/1074673954.db2.gz IXXMVIJUQLYQFR-GXTWGEPZSA-N 0 0 426.495 -0.149 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000471004936 1074674070 /nfs/dbraw/zinc/67/40/70/1074674070.db2.gz IXXMVIJUQLYQFR-JSGCOSHPSA-N 0 0 426.495 -0.149 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000471004937 1074674497 /nfs/dbraw/zinc/67/44/97/1074674497.db2.gz IXXMVIJUQLYQFR-OCCSQVGLSA-N 0 0 426.495 -0.149 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000471004938 1074674511 /nfs/dbraw/zinc/67/45/11/1074674511.db2.gz IXXMVIJUQLYQFR-TZMCWYRMSA-N 0 0 426.495 -0.149 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c(C)c1S(=O)(=O)NC1CC1 ZINC000471005051 1074674491 /nfs/dbraw/zinc/67/44/91/1074674491.db2.gz JGRDTKVIASTLTL-DZGCQCFKSA-N 0 0 438.506 -0.168 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c(C)c1S(=O)(=O)NC1CC1 ZINC000471005052 1074674459 /nfs/dbraw/zinc/67/44/59/1074674459.db2.gz JGRDTKVIASTLTL-HIFRSBDPSA-N 0 0 438.506 -0.168 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c(C)c1S(=O)(=O)NC1CC1 ZINC000471005053 1074674454 /nfs/dbraw/zinc/67/44/54/1074674454.db2.gz JGRDTKVIASTLTL-UKRRQHHQSA-N 0 0 438.506 -0.168 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c(C)c1S(=O)(=O)NC1CC1 ZINC000471005054 1074674348 /nfs/dbraw/zinc/67/43/48/1074674348.db2.gz JGRDTKVIASTLTL-ZFWWWQNUSA-N 0 0 438.506 -0.168 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000471005121 1074674124 /nfs/dbraw/zinc/67/41/24/1074674124.db2.gz JQPWTGBMHANWJW-MLGOLLRUSA-N 0 0 434.449 -0.793 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000471005122 1074674101 /nfs/dbraw/zinc/67/41/01/1074674101.db2.gz JQPWTGBMHANWJW-WBMJQRKESA-N 0 0 434.449 -0.793 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@@H](C(N)=O)O1 ZINC000471005545 1074674482 /nfs/dbraw/zinc/67/44/82/1074674482.db2.gz KZETVXMCMJONNA-ABAIWWIYSA-N 0 0 441.510 -0.957 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@H](C(N)=O)O1 ZINC000471005546 1074674504 /nfs/dbraw/zinc/67/45/04/1074674504.db2.gz KZETVXMCMJONNA-IAQYHMDHSA-N 0 0 441.510 -0.957 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1 ZINC000471005568 1074674449 /nfs/dbraw/zinc/67/44/49/1074674449.db2.gz LCPHRMZRINWMRG-INIZCTEOSA-N 0 0 434.493 -0.300 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1 ZINC000471005569 1074674390 /nfs/dbraw/zinc/67/43/90/1074674390.db2.gz LCPHRMZRINWMRG-MRXNPFEDSA-N 0 0 434.493 -0.300 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000471006515 1074674467 /nfs/dbraw/zinc/67/44/67/1074674467.db2.gz JWMQKBPSXPTWOT-QMTHXVAHSA-N 0 0 440.478 -0.824 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000471006516 1074674438 /nfs/dbraw/zinc/67/44/38/1074674438.db2.gz JWMQKBPSXPTWOT-YGRLFVJLSA-N 0 0 440.478 -0.824 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000471007270 1074674522 /nfs/dbraw/zinc/67/45/22/1074674522.db2.gz PRLBXINYHVATAV-ABAIWWIYSA-N 0 0 442.494 -0.577 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000471007273 1074674519 /nfs/dbraw/zinc/67/45/19/1074674519.db2.gz PRLBXINYHVATAV-IAQYHMDHSA-N 0 0 442.494 -0.577 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000471007274 1074674406 /nfs/dbraw/zinc/67/44/06/1074674406.db2.gz PRLBXINYHVATAV-NHYWBVRUSA-N 0 0 442.494 -0.577 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000471007275 1074674475 /nfs/dbraw/zinc/67/44/75/1074674475.db2.gz PRLBXINYHVATAV-XHDPSFHLSA-N 0 0 442.494 -0.577 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(Cl)c2)C[C@@H](C(N)=O)O1 ZINC000471008082 1074674415 /nfs/dbraw/zinc/67/44/15/1074674415.db2.gz SJZFYHWBJLANCL-ABAIWWIYSA-N 0 0 438.868 -0.148 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(Cl)c2)C[C@H](C(N)=O)O1 ZINC000471008083 1074674359 /nfs/dbraw/zinc/67/43/59/1074674359.db2.gz SJZFYHWBJLANCL-IAQYHMDHSA-N 0 0 438.868 -0.148 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)O1 ZINC000471008724 1074674910 /nfs/dbraw/zinc/67/49/10/1074674910.db2.gz TYYLUMHGXKVZAP-BXUZGUMPSA-N 0 0 444.897 -0.033 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)O1 ZINC000471008725 1074674962 /nfs/dbraw/zinc/67/49/62/1074674962.db2.gz TYYLUMHGXKVZAP-FZMZJTMJSA-N 0 0 444.897 -0.033 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)O1 ZINC000471008726 1074674983 /nfs/dbraw/zinc/67/49/83/1074674983.db2.gz TYYLUMHGXKVZAP-RISCZKNCSA-N 0 0 444.897 -0.033 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)O1 ZINC000471008727 1074674993 /nfs/dbraw/zinc/67/49/93/1074674993.db2.gz TYYLUMHGXKVZAP-SMDDNHRTSA-N 0 0 444.897 -0.033 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)C[C@H](C(N)=O)O1 ZINC000471009298 1074675030 /nfs/dbraw/zinc/67/50/30/1074675030.db2.gz SBUHDEITTNHGIV-QMTHXVAHSA-N 0 0 426.857 -0.153 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)C[C@@H](C(N)=O)O1 ZINC000471009299 1074674908 /nfs/dbraw/zinc/67/49/08/1074674908.db2.gz SBUHDEITTNHGIV-YGRLFVJLSA-N 0 0 426.857 -0.153 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc(S(C)(=O)=O)c1 ZINC000471009302 1074674944 /nfs/dbraw/zinc/67/49/44/1074674944.db2.gz SCJOZCHSLUFJHZ-QMTHXVAHSA-N 0 0 441.462 -0.694 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc(S(C)(=O)=O)c1 ZINC000471009303 1074674901 /nfs/dbraw/zinc/67/49/01/1074674901.db2.gz SCJOZCHSLUFJHZ-YGRLFVJLSA-N 0 0 441.462 -0.694 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C[C@H](C(N)=O)O1 ZINC000471011249 1074674921 /nfs/dbraw/zinc/67/49/21/1074674921.db2.gz IUGWXNQYRIWJGL-QMTHXVAHSA-N 0 0 428.442 -0.595 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C[C@@H](C(N)=O)O1 ZINC000471011250 1074674935 /nfs/dbraw/zinc/67/49/35/1074674935.db2.gz IUGWXNQYRIWJGL-YGRLFVJLSA-N 0 0 428.442 -0.595 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000471011977 1074675544 /nfs/dbraw/zinc/67/55/44/1074675544.db2.gz LEJRTNSYBXPWEL-ABAIWWIYSA-N 0 0 442.494 -0.625 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000471011978 1074675608 /nfs/dbraw/zinc/67/56/08/1074675608.db2.gz LEJRTNSYBXPWEL-IAQYHMDHSA-N 0 0 442.494 -0.625 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1 ZINC000471012157 1074674988 /nfs/dbraw/zinc/67/49/88/1074674988.db2.gz MZSRLNRAZQRZRW-DZGCQCFKSA-N 0 0 426.495 -0.197 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1 ZINC000471012158 1074674942 /nfs/dbraw/zinc/67/49/42/1074674942.db2.gz MZSRLNRAZQRZRW-HIFRSBDPSA-N 0 0 426.495 -0.197 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1 ZINC000471012159 1074675036 /nfs/dbraw/zinc/67/50/36/1074675036.db2.gz MZSRLNRAZQRZRW-UKRRQHHQSA-N 0 0 426.495 -0.197 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1 ZINC000471012160 1074674938 /nfs/dbraw/zinc/67/49/38/1074674938.db2.gz MZSRLNRAZQRZRW-ZFWWWQNUSA-N 0 0 426.495 -0.197 20 0 IBADRN CCn1c(N)c(C(=O)CN[C@H](C(=O)N(C)Cc2nnc3n2CCC3)C(C)C)c(=O)[nH]c1=O ZINC000471012175 1074674971 /nfs/dbraw/zinc/67/49/71/1074674971.db2.gz NCPGNRZDEFWJCK-INIZCTEOSA-N 0 0 446.512 -0.456 20 0 IBADRN CCn1c(N)c(C(=O)CN[C@@H](C(=O)N(C)Cc2nnc3n2CCC3)C(C)C)c(=O)[nH]c1=O ZINC000471012176 1074675020 /nfs/dbraw/zinc/67/50/20/1074675020.db2.gz NCPGNRZDEFWJCK-MRXNPFEDSA-N 0 0 446.512 -0.456 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2c3ccccc3oc2C(=O)N2CCOCC2)C[C@@H](C(N)=O)O1 ZINC000471012198 1074674926 /nfs/dbraw/zinc/67/49/26/1074674926.db2.gz NMMYOCWJAITTTR-DOMZBBRYSA-N 0 0 444.444 -0.055 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2c3ccccc3oc2C(=O)N2CCOCC2)C[C@H](C(N)=O)O1 ZINC000471012199 1074675603 /nfs/dbraw/zinc/67/56/03/1074675603.db2.gz NMMYOCWJAITTTR-IUODEOHRSA-N 0 0 444.444 -0.055 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000471015047 1074675648 /nfs/dbraw/zinc/67/56/48/1074675648.db2.gz RKZMAXJHOCTDGP-FKIZINRSSA-N 0 0 449.533 -0.078 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000471015048 1074675475 /nfs/dbraw/zinc/67/54/75/1074675475.db2.gz RKZMAXJHOCTDGP-MPBGBICISA-N 0 0 449.533 -0.078 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000471015049 1074675630 /nfs/dbraw/zinc/67/56/30/1074675630.db2.gz RKZMAXJHOCTDGP-ODXCJYRJSA-N 0 0 449.533 -0.078 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000471015050 1074675491 /nfs/dbraw/zinc/67/54/91/1074675491.db2.gz RKZMAXJHOCTDGP-YUNKPMOVSA-N 0 0 449.533 -0.078 20 0 IBADRN NC(=O)NC1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000471015247 1074675638 /nfs/dbraw/zinc/67/56/38/1074675638.db2.gz UKMBINMPYIBGDZ-UHFFFAOYSA-N 0 0 425.511 -0.221 20 0 IBADRN C[C@@]1(C2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)NC(=O)NC1=O ZINC000471015643 1074675555 /nfs/dbraw/zinc/67/55/55/1074675555.db2.gz YGPYIQLNOSLLKZ-IBGZPJMESA-N 0 0 435.506 -0.467 20 0 IBADRN C[C@]1(C2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)NC(=O)NC1=O ZINC000471015644 1074675504 /nfs/dbraw/zinc/67/55/04/1074675504.db2.gz YGPYIQLNOSLLKZ-LJQANCHMSA-N 0 0 435.506 -0.467 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC([C@]3(C)NC(=O)NC3=O)CC2)c(=O)n(C)c1=O ZINC000471019258 1074675434 /nfs/dbraw/zinc/67/54/34/1074675434.db2.gz ADOGIYVWFLZUOO-FQEVSTJZSA-N 0 0 434.497 -0.722 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c(=O)n(C)c1=O ZINC000471019259 1074675623 /nfs/dbraw/zinc/67/56/23/1074675623.db2.gz ADOGIYVWFLZUOO-HXUWFJFHSA-N 0 0 434.497 -0.722 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC(NC(N)=O)CC3)CC2)cc1 ZINC000471019683 1074675652 /nfs/dbraw/zinc/67/56/52/1074675652.db2.gz SPORDDJGCSCQOK-UHFFFAOYSA-N 0 0 439.538 -0.339 20 0 IBADRN NS(=O)(=O)CCN1CCN(CCC(=O)N2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000471020014 1074675585 /nfs/dbraw/zinc/67/55/85/1074675585.db2.gz VAEWFBGHQDXQEU-UHFFFAOYSA-N 0 0 427.546 -0.230 20 0 IBADRN NC(=O)NC1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000471020839 1074675667 /nfs/dbraw/zinc/67/56/67/1074675667.db2.gz HPEHYASJDBVOOJ-UHFFFAOYSA-N 0 0 427.502 -0.209 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(Cc3nccn3C(F)F)CC2)c(=O)[nH]c1=O ZINC000471020961 1074675569 /nfs/dbraw/zinc/67/55/69/1074675569.db2.gz WNAUPJBSPLKMSD-UHFFFAOYSA-N 0 0 441.439 -0.230 20 0 IBADRN CCc1ccccc1NC(=O)CN(C)C(=O)[C@H](C)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471022721 1074676109 /nfs/dbraw/zinc/67/61/09/1074676109.db2.gz PRUTYZKIGBJAKM-INIZCTEOSA-N 0 0 439.582 -0.060 20 0 IBADRN CCc1ccccc1NC(=O)CN(C)C(=O)[C@@H](C)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471022722 1074676078 /nfs/dbraw/zinc/67/60/78/1074676078.db2.gz PRUTYZKIGBJAKM-MRXNPFEDSA-N 0 0 439.582 -0.060 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC(NC(N)=O)CC2)CC1 ZINC000471022805 1074676126 /nfs/dbraw/zinc/67/61/26/1074676126.db2.gz QCGHMRBCVXDOKW-UHFFFAOYSA-N 0 0 428.515 -0.743 20 0 IBADRN NC(=O)NC1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000471023630 1074676051 /nfs/dbraw/zinc/67/60/51/1074676051.db2.gz VVKLQGKPPIQEOI-UHFFFAOYSA-N 0 0 427.502 -0.209 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471024485 1074676113 /nfs/dbraw/zinc/67/61/13/1074676113.db2.gz AAKXETLHNCBALM-AWEZNQCLSA-N 0 0 447.583 -0.830 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471024486 1074676171 /nfs/dbraw/zinc/67/61/71/1074676171.db2.gz AAKXETLHNCBALM-CQSZACIVSA-N 0 0 447.583 -0.830 20 0 IBADRN O=C(CN1CCn2c(CO)nnc2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000471024973 1074676039 /nfs/dbraw/zinc/67/60/39/1074676039.db2.gz GTMYFPBKGORRJI-UHFFFAOYSA-N 0 0 438.485 -0.742 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCn4c(CO)nnc4C3)CC2)cc1C ZINC000471026199 1074676066 /nfs/dbraw/zinc/67/60/66/1074676066.db2.gz VAAQKDBXLFFVLE-UHFFFAOYSA-N 0 0 448.549 -0.264 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(Cc3nccn3C(F)F)CC2)CC1 ZINC000471026368 1074676157 /nfs/dbraw/zinc/67/61/57/1074676157.db2.gz WSZXYTRYSKNUDE-UHFFFAOYSA-N 0 0 427.500 -0.372 20 0 IBADRN O=C(CN1CCn2c(CO)nnc2C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000471026567 1074676139 /nfs/dbraw/zinc/67/61/39/1074676139.db2.gz ZBCWNXSHMFYLQN-UHFFFAOYSA-N 0 0 438.485 -0.742 20 0 IBADRN NC(=O)NC1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000471027233 1074676146 /nfs/dbraw/zinc/67/61/46/1074676146.db2.gz CZLNDCWQIRZCBQ-UHFFFAOYSA-N 0 0 425.511 -0.221 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471027666 1074676579 /nfs/dbraw/zinc/67/65/79/1074676579.db2.gz GBKCBMVZVZPHAI-HNNXBMFYSA-N 0 0 426.539 -0.589 20 0 IBADRN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471027667 1074676506 /nfs/dbraw/zinc/67/65/06/1074676506.db2.gz GBKCBMVZVZPHAI-OAHLLOKOSA-N 0 0 426.539 -0.589 20 0 IBADRN COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000471027791 1074676098 /nfs/dbraw/zinc/67/60/98/1074676098.db2.gz IZFJLORIZBLDEJ-AAEUAGOBSA-N 0 0 438.868 -0.139 20 0 IBADRN COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000471027792 1074676583 /nfs/dbraw/zinc/67/65/83/1074676583.db2.gz IZFJLORIZBLDEJ-DGCLKSJQSA-N 0 0 438.868 -0.139 20 0 IBADRN COC[C@H](C)Oc1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000471027793 1074676520 /nfs/dbraw/zinc/67/65/20/1074676520.db2.gz IZFJLORIZBLDEJ-WCQYABFASA-N 0 0 438.868 -0.139 20 0 IBADRN COC[C@@H](C)Oc1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000471027794 1074676654 /nfs/dbraw/zinc/67/66/54/1074676654.db2.gz IZFJLORIZBLDEJ-YPMHNXCESA-N 0 0 438.868 -0.139 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)Nc2cc(Cl)ccc2-n2cncn2)CC1 ZINC000471028404 1074676008 /nfs/dbraw/zinc/67/60/08/1074676008.db2.gz KCXDXFPIENGWDN-UHFFFAOYSA-N 0 0 427.918 -0.235 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC(NC(N)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000471029620 1074676645 /nfs/dbraw/zinc/67/66/45/1074676645.db2.gz PILOLKAGNZQRHE-UHFFFAOYSA-N 0 0 428.493 -0.024 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCn3c(CO)nnc3C2)c(N)n(Cc2ccccc2)c1=O ZINC000471030802 1074676662 /nfs/dbraw/zinc/67/66/62/1074676662.db2.gz FFXGFPSJKAJAKS-UHFFFAOYSA-N 0 0 439.476 -0.557 20 0 IBADRN Cc1c(Cl)cccc1NC(=O)CNC(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000471031524 1074676543 /nfs/dbraw/zinc/67/65/43/1074676543.db2.gz VKGHPGVPJAGYRP-UHFFFAOYSA-N 0 0 431.946 -0.391 20 0 IBADRN Cc1nsc(N2CCN(CC(=O)N3CCN(c4ncnc5c4cnn5C)CC3)CC2)n1 ZINC000471031627 1074676477 /nfs/dbraw/zinc/67/64/77/1074676477.db2.gz XIISLQXQDQDXCQ-UHFFFAOYSA-N 0 0 442.553 -0.006 20 0 IBADRN CCN(Cc1ccc(OC)c(OC)c1)C(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000471031887 1074676495 /nfs/dbraw/zinc/67/64/95/1074676495.db2.gz WCKWAPIMLSELNX-UHFFFAOYSA-N 0 0 428.555 -0.042 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000471033825 1074676610 /nfs/dbraw/zinc/67/66/10/1074676610.db2.gz RIDWUEDCHAPDAE-UHFFFAOYSA-N 0 0 427.483 -0.988 20 0 IBADRN CN(CC(=O)Nc1ccccc1Cl)C(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000471034948 1074677052 /nfs/dbraw/zinc/67/70/52/1074677052.db2.gz IVDLGVFTRQPHQX-UHFFFAOYSA-N 0 0 431.946 -0.357 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000471035266 1074677058 /nfs/dbraw/zinc/67/70/58/1074677058.db2.gz KUJNEAUOGSMTLC-UHFFFAOYSA-N 0 0 439.582 -0.959 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3CCN(CCS(N)(=O)=O)CC3)CC2)cc1 ZINC000471035339 1074676550 /nfs/dbraw/zinc/67/65/50/1074676550.db2.gz LTQLCSHYRKYIRQ-UHFFFAOYSA-N 0 0 439.582 -0.755 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)Nc2ccc(CN3CCOCC3)cc2)CC1 ZINC000471035466 1074677105 /nfs/dbraw/zinc/67/71/05/1074677105.db2.gz NCFMWNQRNUCYCT-UHFFFAOYSA-N 0 0 425.555 -0.637 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)CC1 ZINC000471036789 1074677152 /nfs/dbraw/zinc/67/71/52/1074677152.db2.gz FRKRZSHKGFVIPA-UHFFFAOYSA-N 0 0 438.554 -0.731 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CN1CCN(CCS(N)(=O)=O)CC1)CC2 ZINC000471037634 1074677097 /nfs/dbraw/zinc/67/70/97/1074677097.db2.gz LCHTWNWUNDVSRE-UHFFFAOYSA-N 0 0 426.539 -0.505 20 0 IBADRN CCCCn1c2nc(CN3CCN(CCS(N)(=O)=O)CC3)n(CC)c2c(=O)[nH]c1=O ZINC000471037823 1074677166 /nfs/dbraw/zinc/67/71/66/1074677166.db2.gz MNQYRPXXDIVOAE-UHFFFAOYSA-N 0 0 441.558 -0.475 20 0 IBADRN COc1ccc(CCNC(=O)[C@H](C)N2CCN(CCS(N)(=O)=O)CC2)cc1OC ZINC000471039132 1074677077 /nfs/dbraw/zinc/67/70/77/1074677077.db2.gz DNSHVHBRFASWIJ-HNNXBMFYSA-N 0 0 428.555 -0.343 20 0 IBADRN COc1ccc(CCNC(=O)[C@@H](C)N2CCN(CCS(N)(=O)=O)CC2)cc1OC ZINC000471039133 1074677160 /nfs/dbraw/zinc/67/71/60/1074677160.db2.gz DNSHVHBRFASWIJ-OAHLLOKOSA-N 0 0 428.555 -0.343 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471043401 1074677537 /nfs/dbraw/zinc/67/75/37/1074677537.db2.gz ZROSVMIKJNHWMF-INIZCTEOSA-N 0 0 425.555 -0.665 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471043402 1074677567 /nfs/dbraw/zinc/67/75/67/1074677567.db2.gz ZROSVMIKJNHWMF-MRXNPFEDSA-N 0 0 425.555 -0.665 20 0 IBADRN CCCCn1c2nc(CN3CCN(CCS(N)(=O)=O)CC3)n(C)c2c(=O)[nH]c1=O ZINC000471044350 1074677578 /nfs/dbraw/zinc/67/75/78/1074677578.db2.gz LPDOBEGZSSZBOX-UHFFFAOYSA-N 0 0 427.531 -0.958 20 0 IBADRN NC(=O)NC1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000471044601 1074678152 /nfs/dbraw/zinc/67/81/52/1074678152.db2.gz NVQPZXXWTUWOLS-UHFFFAOYSA-N 0 0 427.502 -0.209 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CCS(N)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000471045123 1074678113 /nfs/dbraw/zinc/67/81/13/1074678113.db2.gz XDHHWUBFYOHMLC-UHFFFAOYSA-N 0 0 447.583 -0.910 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(CC)[C@H]2CC(=O)NC2=O)c1 ZINC000471045913 1074678108 /nfs/dbraw/zinc/67/81/08/1074678108.db2.gz ZPLLAJBAJXTFOG-HNNXBMFYSA-N 0 0 438.506 -0.290 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(CC)[C@@H]2CC(=O)NC2=O)c1 ZINC000471045914 1074678197 /nfs/dbraw/zinc/67/81/97/1074678197.db2.gz ZPLLAJBAJXTFOG-OAHLLOKOSA-N 0 0 438.506 -0.290 20 0 IBADRN O=C(CN1CCn2c(CO)nnc2C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000471046210 1074678143 /nfs/dbraw/zinc/67/81/43/1074678143.db2.gz DAPSWUCGEKGHLI-UHFFFAOYSA-N 0 0 438.485 -0.742 20 0 IBADRN O=C(CN1CCn2c(CO)nnc2C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000471046630 1074678088 /nfs/dbraw/zinc/67/80/88/1074678088.db2.gz CUBNJCAVDPZOPB-UHFFFAOYSA-N 0 0 434.522 -0.740 20 0 IBADRN COC(=O)c1sc2nc(CN3CCN(CCS(N)(=O)=O)CC3)[nH]c(=O)c2c1C ZINC000471047151 1074678099 /nfs/dbraw/zinc/67/80/99/1074678099.db2.gz HKDOMGNGDGQSIO-UHFFFAOYSA-N 0 0 429.524 -0.514 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471047681 1074678608 /nfs/dbraw/zinc/67/86/08/1074678608.db2.gz OMOYKRHJUIAMSO-CYBMUJFWSA-N 0 0 441.510 -0.600 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCN(CCS(N)(=O)=O)CC1 ZINC000471047682 1074678701 /nfs/dbraw/zinc/67/87/01/1074678701.db2.gz OMOYKRHJUIAMSO-ZDUSSCGKSA-N 0 0 441.510 -0.600 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCn3c(CO)nnc3C2)CC1 ZINC000471047870 1074678630 /nfs/dbraw/zinc/67/86/30/1074678630.db2.gz PMXLPFURXJHFKG-UHFFFAOYSA-N 0 0 441.536 -0.069 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN2CCN(CCS(N)(=O)=O)CC2)c(OC)c1 ZINC000471048214 1074678044 /nfs/dbraw/zinc/67/80/44/1074678044.db2.gz OQPKGAFZXFOXGP-UHFFFAOYSA-N 0 0 430.527 -0.765 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCN(CCS(N)(=O)=O)CC1)c1ccc(F)cc1 ZINC000471048895 1074678756 /nfs/dbraw/zinc/67/87/56/1074678756.db2.gz XONIUQQCFTZYSC-AWEZNQCLSA-N 0 0 429.518 -0.975 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCN(CCS(N)(=O)=O)CC1)c1ccc(F)cc1 ZINC000471048896 1074678703 /nfs/dbraw/zinc/67/87/03/1074678703.db2.gz XONIUQQCFTZYSC-CQSZACIVSA-N 0 0 429.518 -0.975 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCN(CCS(N)(=O)=O)CC2)cc(C(=O)OC)c1 ZINC000471049542 1074678694 /nfs/dbraw/zinc/67/86/94/1074678694.db2.gz ZOOXUPPPISGLJD-UHFFFAOYSA-N 0 0 442.494 -0.896 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CC[C@H](S(=O)(=O)N4CCCC4)C3)OCC(=O)N2C)cn1 ZINC000471049925 1074678750 /nfs/dbraw/zinc/67/87/50/1074678750.db2.gz GBWDKCKYDJBVMZ-BHYGNILZSA-N 0 0 425.511 -0.655 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CC[C@@H](S(=O)(=O)N4CCCC4)C3)OCC(=O)N2C)cn1 ZINC000471049926 1074678653 /nfs/dbraw/zinc/67/86/53/1074678653.db2.gz GBWDKCKYDJBVMZ-HYVNUMGLSA-N 0 0 425.511 -0.655 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCNS(=O)(=O)c3cccs3)OCC(=O)N2C)cn1 ZINC000471051407 1074678684 /nfs/dbraw/zinc/67/86/84/1074678684.db2.gz YNXXBSJBTVWRJC-LSDHHAIUSA-N 0 0 427.508 -0.525 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CCN1c1nccn2cnnc12 ZINC000471055257 1074679168 /nfs/dbraw/zinc/67/91/68/1074679168.db2.gz GLKNLNANGZJSGW-RRQGHBQHSA-N 0 0 439.480 -0.507 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CCN1c1nccn2cnnc12 ZINC000471055258 1074679153 /nfs/dbraw/zinc/67/91/53/1074679153.db2.gz GLKNLNANGZJSGW-XOKHGSTOSA-N 0 0 439.480 -0.507 20 0 IBADRN CN(CCNC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1)S(=O)(=O)c1cccs1 ZINC000471059513 1074679186 /nfs/dbraw/zinc/67/91/86/1074679186.db2.gz OZWFPYTWUVEBOT-JKSUJKDBSA-N 0 0 441.535 -0.183 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C[C@@H]1c1ncc[nH]1 ZINC000471065982 1072978017 /nfs/dbraw/zinc/97/80/17/1072978017.db2.gz MYRYKCUQPQTPNW-GOSISDBHSA-N 0 0 433.557 -0.909 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C[C@H]1c1ncc[nH]1 ZINC000471065983 1072977969 /nfs/dbraw/zinc/97/79/69/1072977969.db2.gz MYRYKCUQPQTPNW-SFHVURJKSA-N 0 0 433.557 -0.909 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1=O ZINC000471084804 1074680098 /nfs/dbraw/zinc/68/00/98/1074680098.db2.gz CSHOTQISIFXTJG-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1=O ZINC000471085044 1074680190 /nfs/dbraw/zinc/68/01/90/1074680190.db2.gz FNLXSLAQKZSNJV-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)Nc3ccnc(C(=O)N4CCN(C)CC4)c3)OCC(=O)N2C)cn1 ZINC000471085627 1074680076 /nfs/dbraw/zinc/68/00/76/1074680076.db2.gz IPLQSTIJFPSLQN-RBUKOAKNSA-N 0 0 441.492 -0.260 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)CC1=O ZINC000471085646 1074680842 /nfs/dbraw/zinc/68/08/42/1074680842.db2.gz IVHYGPLREUKELR-UHFFFAOYSA-N 0 0 432.477 -0.132 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)c1 ZINC000471086200 1074680146 /nfs/dbraw/zinc/68/01/46/1074680146.db2.gz QSHJIKNVJIKLEY-ZWKOTPCHSA-N 0 0 435.506 -0.115 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)CC1=O ZINC000471086555 1074680690 /nfs/dbraw/zinc/68/06/90/1074680690.db2.gz OJXPFJUMMCDXAQ-UHFFFAOYSA-N 0 0 432.477 -0.132 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1=O ZINC000471087104 1074680799 /nfs/dbraw/zinc/68/07/99/1074680799.db2.gz RFTDAQZXYJQZDH-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)CC1=O ZINC000471087607 1074681108 /nfs/dbraw/zinc/68/11/08/1074681108.db2.gz URPGEJYVZYXANH-UHFFFAOYSA-N 0 0 441.506 -0.248 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1=O ZINC000471087951 1074680816 /nfs/dbraw/zinc/68/08/16/1074680816.db2.gz WINPEWWCIMTNSY-UHFFFAOYSA-N 0 0 446.508 -0.885 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)cc1S(N)(=O)=O ZINC000471088304 1074680708 /nfs/dbraw/zinc/68/07/08/1074680708.db2.gz FXVNYVKURFEGIM-UHFFFAOYSA-N 0 0 426.495 -0.458 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)CC1=O ZINC000471088354 1074680697 /nfs/dbraw/zinc/68/06/97/1074680697.db2.gz YWDVLMDRIABPHU-UHFFFAOYSA-N 0 0 434.449 -0.765 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)CC1=O ZINC000471088465 1074680806 /nfs/dbraw/zinc/68/08/06/1074680806.db2.gz GGIATVYJMOSBJK-UHFFFAOYSA-N 0 0 435.433 -0.094 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CCCN(Cc2ccc(F)cc2F)C1=O)S(N)(=O)=O ZINC000471088635 1074681100 /nfs/dbraw/zinc/68/11/00/1074681100.db2.gz IKOIGZHMBXQUSK-HZMBPMFUSA-N 0 0 432.449 -0.635 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2F)C1=O)S(N)(=O)=O ZINC000471088636 1074681184 /nfs/dbraw/zinc/68/11/84/1074681184.db2.gz IKOIGZHMBXQUSK-IINYFYTJSA-N 0 0 432.449 -0.635 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2F)C1=O)S(N)(=O)=O ZINC000471088637 1074681144 /nfs/dbraw/zinc/68/11/44/1074681144.db2.gz IKOIGZHMBXQUSK-QMTHXVAHSA-N 0 0 432.449 -0.635 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCCN(Cc2ccc(F)cc2F)C1=O)S(N)(=O)=O ZINC000471088638 1074681132 /nfs/dbraw/zinc/68/11/32/1074681132.db2.gz IKOIGZHMBXQUSK-YGRLFVJLSA-N 0 0 432.449 -0.635 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1=O ZINC000471089183 1074681124 /nfs/dbraw/zinc/68/11/24/1074681124.db2.gz PQWMSEGCYLCROT-UHFFFAOYSA-N 0 0 429.271 -0.206 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1=O ZINC000471089199 1074681209 /nfs/dbraw/zinc/68/12/09/1074681209.db2.gz PUMPZIDHAPBCOL-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1=O ZINC000471089737 1073014567 /nfs/dbraw/zinc/01/45/67/1073014567.db2.gz CMDLKRXWOQXRQC-UHFFFAOYSA-N 0 0 442.494 -0.288 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1=O ZINC000471089795 1074681168 /nfs/dbraw/zinc/68/11/68/1074681168.db2.gz WAXCUKCMKIFVMO-UHFFFAOYSA-N 0 0 427.461 -0.063 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CC1=O ZINC000471089850 1074681154 /nfs/dbraw/zinc/68/11/54/1074681154.db2.gz CQFPWNQJLALEDU-UHFFFAOYSA-N 0 0 425.507 -0.081 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1=O ZINC000471089873 1074681161 /nfs/dbraw/zinc/68/11/61/1074681161.db2.gz DAFXAQFPKNVVIG-UHFFFAOYSA-N 0 0 440.522 -0.109 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1=O ZINC000471090090 1074681176 /nfs/dbraw/zinc/68/11/76/1074681176.db2.gz XALTVGPHKABBHQ-UHFFFAOYSA-N 0 0 426.495 -0.272 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)c1 ZINC000471090399 1074681138 /nfs/dbraw/zinc/68/11/38/1074681138.db2.gz IQSKKGZHWMNOJV-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1=O ZINC000471090860 1074681762 /nfs/dbraw/zinc/68/17/62/1074681762.db2.gz NJSHQCWEFSPHRW-UHFFFAOYSA-N 0 0 438.506 -0.128 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1=O ZINC000471091330 1074681197 /nfs/dbraw/zinc/68/11/97/1074681197.db2.gz SDQJIECFZCYNOL-UHFFFAOYSA-N 0 0 442.494 -0.288 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1=O ZINC000471091335 1074335619 /nfs/dbraw/zinc/33/56/19/1074335619.db2.gz SFSKFFJJILDROP-UHFFFAOYSA-N 0 0 449.512 -0.674 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1=O ZINC000471091486 1074681115 /nfs/dbraw/zinc/68/11/15/1074681115.db2.gz TWQXHUAKKRAOJP-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1=O ZINC000471091834 1074682267 /nfs/dbraw/zinc/68/22/67/1074682267.db2.gz XMVPDOUGRATTHS-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1=O ZINC000471091854 1074682215 /nfs/dbraw/zinc/68/22/15/1074682215.db2.gz FFQAIGSAAYTHRL-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1=O ZINC000471092134 1074681703 /nfs/dbraw/zinc/68/17/03/1074681703.db2.gz GPAKVZMROLSPSI-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1=O ZINC000471092397 1074681749 /nfs/dbraw/zinc/68/17/49/1074681749.db2.gz JTZWPUQOAMWDQV-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1=O ZINC000471092580 1074682552 /nfs/dbraw/zinc/68/25/52/1074682552.db2.gz LTLZUEREJZZFMP-UHFFFAOYSA-N 0 0 448.476 -0.375 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1=O ZINC000471093638 1074682590 /nfs/dbraw/zinc/68/25/90/1074682590.db2.gz YKWHLSQZPZDDQN-UHFFFAOYSA-N 0 0 448.476 -0.836 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1=O ZINC000471093647 1074682616 /nfs/dbraw/zinc/68/26/16/1074682616.db2.gz YQGUOVDALGQDTL-UHFFFAOYSA-N 0 0 431.453 -0.375 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1=O ZINC000471093650 1074682610 /nfs/dbraw/zinc/68/26/10/1074682610.db2.gz YRCQEXVIFMDWLR-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1=O ZINC000471093700 1074682638 /nfs/dbraw/zinc/68/26/38/1074682638.db2.gz BUZDDYGZHQRQEK-UHFFFAOYSA-N 0 0 426.495 -0.618 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1=O ZINC000471093712 1074682658 /nfs/dbraw/zinc/68/26/58/1074682658.db2.gz BWNFEBBNBZNSBH-UHFFFAOYSA-N 0 0 438.506 -0.128 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)c1C ZINC000471094488 1074682537 /nfs/dbraw/zinc/68/25/37/1074682537.db2.gz FTUJPQGRYXQLQZ-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1=O ZINC000471095228 1074682622 /nfs/dbraw/zinc/68/26/22/1074682622.db2.gz MBDGIQJNOLBKOL-HNNXBMFYSA-N 0 0 430.461 -0.462 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1=O ZINC000471095229 1074682558 /nfs/dbraw/zinc/68/25/58/1074682558.db2.gz MBDGIQJNOLBKOL-OAHLLOKOSA-N 0 0 430.461 -0.462 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)ccc1C ZINC000471095501 1074682630 /nfs/dbraw/zinc/68/26/30/1074682630.db2.gz MZNQKJKANIMJDO-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CC1=O ZINC000471095745 1074682662 /nfs/dbraw/zinc/68/26/62/1074682662.db2.gz OSGYVKZAQWIYNC-UHFFFAOYSA-N 0 0 429.271 -0.206 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1=O ZINC000471095894 1074682581 /nfs/dbraw/zinc/68/25/81/1074682581.db2.gz PIUYNIXFEGQBHN-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCCN(CCOC)C(=O)C1)CC2 ZINC000471095947 1074682543 /nfs/dbraw/zinc/68/25/43/1074682543.db2.gz QGNSDCAWBBSPQZ-UHFFFAOYSA-N 0 0 438.506 -0.809 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1=O ZINC000471096461 1074682668 /nfs/dbraw/zinc/68/26/68/1074682668.db2.gz UKLMHXFWQVLYGQ-UHFFFAOYSA-N 0 0 442.494 -0.288 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1=O ZINC000471096573 1074682642 /nfs/dbraw/zinc/68/26/42/1074682642.db2.gz VBUUZBOAHZQZDC-UHFFFAOYSA-N 0 0 442.476 -0.075 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1=O ZINC000471096863 1074683207 /nfs/dbraw/zinc/68/32/07/1074683207.db2.gz YEYCBXRZTZAEOW-UHFFFAOYSA-N 0 0 434.497 -0.412 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1=O ZINC000471096872 1074683240 /nfs/dbraw/zinc/68/32/40/1074683240.db2.gz YIVCOCZOWAQYLG-UHFFFAOYSA-N 0 0 426.495 -0.403 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1=O ZINC000471097056 1074682528 /nfs/dbraw/zinc/68/25/28/1074682528.db2.gz ZJCWYQDLKCALIT-UHFFFAOYSA-N 0 0 444.485 -0.278 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1=O ZINC000471098660 1070793272 /nfs/dbraw/zinc/79/32/72/1070793272.db2.gz OOAZJDMLXRMWES-UHFFFAOYSA-N 0 0 431.449 -0.616 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)s1 ZINC000471106353 1070793956 /nfs/dbraw/zinc/79/39/56/1070793956.db2.gz HSFCHMQXFKVSLG-JKSUJKDBSA-N 0 0 441.535 -0.217 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)cn1 ZINC000471125157 1070806107 /nfs/dbraw/zinc/80/61/07/1070806107.db2.gz PZGBFOFMHQAJDS-UHFFFAOYSA-N 0 0 447.514 -0.096 20 0 IBADRN C[C@@H]1CN(C(=O)CN(C)S(C)(=O)=O)c2ccccc2N1C(=O)CN(C)S(C)(=O)=O ZINC000471130819 1070808771 /nfs/dbraw/zinc/80/87/71/1070808771.db2.gz LSRUIKSHBXUENH-CYBMUJFWSA-N 0 0 446.551 -0.463 20 0 IBADRN C[C@H]1CN(C(=O)CN(C)S(C)(=O)=O)c2ccccc2N1C(=O)CN(C)S(C)(=O)=O ZINC000471130826 1070809093 /nfs/dbraw/zinc/80/90/93/1070809093.db2.gz LSRUIKSHBXUENH-ZDUSSCGKSA-N 0 0 446.551 -0.463 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)cc1 ZINC000471140953 1070810374 /nfs/dbraw/zinc/81/03/74/1070810374.db2.gz DLJORNDAZVZDOX-UHFFFAOYSA-N 0 0 442.457 -0.105 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)C1=O ZINC000471152125 1070814587 /nfs/dbraw/zinc/81/45/87/1070814587.db2.gz PMBCRHKPCDJQJI-FHLIZLRMSA-N 0 0 429.481 -0.153 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)C1=O ZINC000471152126 1070814622 /nfs/dbraw/zinc/81/46/22/1070814622.db2.gz PMBCRHKPCDJQJI-JCGIZDLHSA-N 0 0 429.481 -0.153 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)OCC(=O)N2C)cn1 ZINC000471155409 1070814763 /nfs/dbraw/zinc/81/47/63/1070814763.db2.gz AYCJZCKCGBEYAU-BHYGNILZSA-N 0 0 425.511 -0.655 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)OCC(=O)N2C)cn1 ZINC000471155410 1070814791 /nfs/dbraw/zinc/81/47/91/1070814791.db2.gz AYCJZCKCGBEYAU-HYVNUMGLSA-N 0 0 425.511 -0.655 20 0 IBADRN CCOC1CC(CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)(N2CCOCC2)C1 ZINC000471155737 1070816917 /nfs/dbraw/zinc/81/69/17/1070816917.db2.gz HILDPEWFYUUCAW-CXHBIVLNSA-N 0 0 435.525 -0.296 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC(=O)N1C ZINC000471158822 1070817226 /nfs/dbraw/zinc/81/72/26/1070817226.db2.gz XVTXNVGDGLBFCN-ZVEHZALQSA-N 0 0 443.508 -0.243 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)Nc3ccc4c(c3)CN(CC(N)=O)CC4)OCC(=O)N2C)cn1 ZINC000471161434 1070818222 /nfs/dbraw/zinc/81/82/22/1070818222.db2.gz OVOBRIQBRBACGX-VQTJNVASSA-N 0 0 426.477 -0.200 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CC(=O)N2C)cnn1C ZINC000471166033 1070820342 /nfs/dbraw/zinc/82/03/42/1070820342.db2.gz RKXJQCVBAULWFB-GRGSLBFTSA-N 0 0 429.481 -0.551 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(C(=O)c3cccc(O)c3)CC1)c(=O)n2C ZINC000471168655 1070821823 /nfs/dbraw/zinc/82/18/23/1070821823.db2.gz JYDJOAFBEMIWJA-UHFFFAOYSA-N 0 0 426.477 -0.013 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCCc3ccc(S(N)(=O)=O)cc31)c(=O)n2C ZINC000471168933 1070822045 /nfs/dbraw/zinc/82/20/45/1070822045.db2.gz JVCBYRJEYBLXSJ-UHFFFAOYSA-N 0 0 432.506 -0.076 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000471169179 1070821576 /nfs/dbraw/zinc/82/15/76/1070821576.db2.gz MZVHAAKTSGXSOM-UHFFFAOYSA-N 0 0 444.488 -0.250 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCCc3ccc(S(N)(=O)=O)cc32)c(=O)[nH]c1=O ZINC000471176638 1070822571 /nfs/dbraw/zinc/82/25/71/1070822571.db2.gz GYNROVRIFBEFGP-UHFFFAOYSA-N 0 0 437.478 -0.540 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000471180972 1070824119 /nfs/dbraw/zinc/82/41/19/1070824119.db2.gz BQBPJYXXIKABEF-UHFFFAOYSA-N 0 0 431.497 -0.598 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)c3cccc(O)c3)CC2)c(=O)[nH]c1=O ZINC000471182452 1070825463 /nfs/dbraw/zinc/82/54/63/1070825463.db2.gz RZMCOCMZQGAHMR-UHFFFAOYSA-N 0 0 431.449 -0.476 20 0 IBADRN CCOC(=O)C1(O)CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000471182766 1070824977 /nfs/dbraw/zinc/82/49/77/1070824977.db2.gz NSXRSZKGVIPOAS-AWEZNQCLSA-N 0 0 427.523 -0.229 20 0 IBADRN CCOC(=O)C1(O)CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000471182768 1070824915 /nfs/dbraw/zinc/82/49/15/1070824915.db2.gz NSXRSZKGVIPOAS-CQSZACIVSA-N 0 0 427.523 -0.229 20 0 IBADRN COC(=O)C[C@H]1CSCCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000471205770 1070830003 /nfs/dbraw/zinc/83/00/03/1070830003.db2.gz WYLNROCAYSTBEI-AWEZNQCLSA-N 0 0 433.538 -0.008 20 0 IBADRN COC(=O)C[C@@H]1CSCCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000471205771 1070829765 /nfs/dbraw/zinc/82/97/65/1070829765.db2.gz WYLNROCAYSTBEI-CQSZACIVSA-N 0 0 433.538 -0.008 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(S(N)(=O)=O)ccc3Cl)c2c(=O)n(C)c1=O ZINC000471206759 1070830838 /nfs/dbraw/zinc/83/08/38/1070830838.db2.gz FUHPDZCIYTZVSN-UHFFFAOYSA-N 0 0 426.842 -0.627 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCCN(Cc3ccc(F)cc3F)C2=O)cn1 ZINC000471207037 1070830240 /nfs/dbraw/zinc/83/02/40/1070830240.db2.gz IFLQVOULDNOBJE-HNNXBMFYSA-N 0 0 434.403 -0.108 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCCN(Cc3ccc(F)cc3F)C2=O)cn1 ZINC000471207038 1070829574 /nfs/dbraw/zinc/82/95/74/1070829574.db2.gz IFLQVOULDNOBJE-OAHLLOKOSA-N 0 0 434.403 -0.108 20 0 IBADRN CCOC(=O)[C@@](C)(CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)c1ccccc1 ZINC000471212090 1070830447 /nfs/dbraw/zinc/83/04/47/1070830447.db2.gz NBVBEZXOCIENLH-FQEVSTJZSA-N 0 0 430.465 -0.058 20 0 IBADRN CCOC(=O)[C@](C)(CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)c1ccccc1 ZINC000471212097 1070830953 /nfs/dbraw/zinc/83/09/53/1070830953.db2.gz NBVBEZXOCIENLH-HXUWFJFHSA-N 0 0 430.465 -0.058 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)Cc3nc[nH]n3)CC2)c1 ZINC000471215466 1070832424 /nfs/dbraw/zinc/83/24/24/1070832424.db2.gz KKMWRHAOGOSMBJ-UHFFFAOYSA-N 0 0 438.510 -0.213 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)Cc1nc[nH]n1 ZINC000471221050 1070833731 /nfs/dbraw/zinc/83/37/31/1070833731.db2.gz QTICOAUFXFAMNI-UHFFFAOYSA-N 0 0 436.494 -0.459 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)Cc3nc[nH]n3)CC2)cc1OC ZINC000471221965 1070834225 /nfs/dbraw/zinc/83/42/25/1070834225.db2.gz ZLVHRVALRLPDGD-UHFFFAOYSA-N 0 0 438.510 -0.213 20 0 IBADRN CC(C)NC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000471226994 1070835673 /nfs/dbraw/zinc/83/56/73/1070835673.db2.gz GQOOSZRIPLXPOB-ZDUSSCGKSA-N 0 0 441.510 -0.206 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)CC2)no1 ZINC000471234850 1070839903 /nfs/dbraw/zinc/83/99/03/1070839903.db2.gz CUBNZYVAUOBPJN-UHFFFAOYSA-N 0 0 435.573 -0.192 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000471236734 1070841554 /nfs/dbraw/zinc/84/15/54/1070841554.db2.gz ZQJWKKXOJDKNEK-UHFFFAOYSA-N 0 0 436.538 -0.244 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)no1 ZINC000471239131 1070842886 /nfs/dbraw/zinc/84/28/86/1070842886.db2.gz ZPIACJMPBGTPSB-UHFFFAOYSA-N 0 0 434.522 -0.014 20 0 IBADRN CCc1nc(CN2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)CC2)no1 ZINC000471239714 1070843998 /nfs/dbraw/zinc/84/39/98/1070843998.db2.gz XUENTCXVZHYOIG-UHFFFAOYSA-N 0 0 433.513 -0.269 20 0 IBADRN CC(C)NC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000471239754 1070844032 /nfs/dbraw/zinc/84/40/32/1070844032.db2.gz YSUSSSIONSELTI-INIZCTEOSA-N 0 0 430.575 -0.795 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)Nc1cc(-n3nnnc3C)ccc1F)c2=O ZINC000471241534 1070844466 /nfs/dbraw/zinc/84/44/66/1070844466.db2.gz QUDTXAKTNIQENA-UHFFFAOYSA-N 0 0 441.383 -0.172 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)Nc1cc(-n3cnnn3)ccc1F)c2=O ZINC000471242197 1070845498 /nfs/dbraw/zinc/84/54/98/1070845498.db2.gz UURULEGOLURWAC-UHFFFAOYSA-N 0 0 427.356 -0.481 20 0 IBADRN CC(=O)c1cn(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000471245561 1070847129 /nfs/dbraw/zinc/84/71/29/1070847129.db2.gz RPRXXXODBULSKE-UHFFFAOYSA-N 0 0 428.445 -0.462 20 0 IBADRN Cc1cc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cnnc(C)c3)CC2)cnn1 ZINC000471247677 1070847096 /nfs/dbraw/zinc/84/70/96/1070847096.db2.gz VDZYZBNWDVYQMY-UHFFFAOYSA-N 0 0 433.494 -0.599 20 0 IBADRN Cc1nnsc1C(=O)NCCS(=O)(=O)N1CCN(C(=O)c2snnc2C)CC1 ZINC000471247707 1070847817 /nfs/dbraw/zinc/84/78/17/1070847817.db2.gz VLTOWFPBGNCBOD-UHFFFAOYSA-N 0 0 445.552 -0.476 20 0 IBADRN O=C(Cn1cnccc1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000471247899 1070847948 /nfs/dbraw/zinc/84/79/48/1070847948.db2.gz YZKSCUKXRRADJS-UHFFFAOYSA-N 0 0 434.474 -0.062 20 0 IBADRN COc1ccc(NC(=O)Cn2cc(C(C)=O)c(=O)n(C)c2=O)cc1S(=O)(=O)N(C)C ZINC000471251129 1070847787 /nfs/dbraw/zinc/84/77/87/1070847787.db2.gz GAWPDQDXLIAMOR-UHFFFAOYSA-N 0 0 438.462 -0.353 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000471270473 1070853451 /nfs/dbraw/zinc/85/34/51/1070853451.db2.gz PAKSZCGINSWEEZ-UHFFFAOYSA-N 0 0 425.492 -0.395 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000471272331 1070854168 /nfs/dbraw/zinc/85/41/68/1070854168.db2.gz HTBWWODFPQLCDS-UHFFFAOYSA-N 0 0 439.519 -0.005 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2ccnc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)c2)CCO1 ZINC000471280555 1070857580 /nfs/dbraw/zinc/85/75/80/1070857580.db2.gz VVMQKIKDMTYXAC-CVEARBPZSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)c2ccnc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)c2)CCO1 ZINC000471280556 1070857398 /nfs/dbraw/zinc/85/73/98/1070857398.db2.gz VVMQKIKDMTYXAC-HOTGVXAUSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2ccnc(C(=O)N3CCO[C@H](CC(=O)OC)C3)c2)CCO1 ZINC000471280557 1070857424 /nfs/dbraw/zinc/85/74/24/1070857424.db2.gz VVMQKIKDMTYXAC-HZPDHXFCSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2cc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)ccn2)CCO1 ZINC000471280558 1070857553 /nfs/dbraw/zinc/85/75/53/1070857553.db2.gz VVMQKIKDMTYXAC-JKSUJKDBSA-N 0 0 449.460 -0.110 20 0 IBADRN Cn1c2ncn(CC(=O)NCCCCn3cc(Br)cn3)c2c(=O)n(C)c1=O ZINC000471281532 1070858172 /nfs/dbraw/zinc/85/81/72/1070858172.db2.gz FNIAAZMKWRXINI-UHFFFAOYSA-N 0 0 438.286 -0.011 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000471287871 1070862147 /nfs/dbraw/zinc/86/21/47/1070862147.db2.gz LLFPDXRWXMHUOY-UHFFFAOYSA-N 0 0 425.492 -0.395 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)c1ccnc(C(=O)NCc2nnnn2CC2CC2)c1 ZINC000471288445 1070862429 /nfs/dbraw/zinc/86/24/29/1070862429.db2.gz OMNUOFHWQTTYQX-UHFFFAOYSA-N 0 0 437.468 -0.265 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCCCn1cc(Br)cn1)c(=O)n2C ZINC000471292084 1070863254 /nfs/dbraw/zinc/86/32/54/1070863254.db2.gz JLKDDRSVOIKCSJ-UHFFFAOYSA-N 0 0 438.286 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2nnn(OCC(=O)N3CCC[C@@H](C(N)=O)C3)c2c1 ZINC000471292271 1070862889 /nfs/dbraw/zinc/86/28/89/1070862889.db2.gz KKLJSRTXSWIUBH-CYBMUJFWSA-N 0 0 438.510 -0.386 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2nnn(OCC(=O)N3CCC[C@H](C(N)=O)C3)c2c1 ZINC000471292272 1070863338 /nfs/dbraw/zinc/86/33/38/1070863338.db2.gz KKLJSRTXSWIUBH-ZDUSSCGKSA-N 0 0 438.510 -0.386 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000471302541 1070864501 /nfs/dbraw/zinc/86/45/01/1070864501.db2.gz CAIFLRPODXETLT-UHFFFAOYSA-N 0 0 429.520 -0.408 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CC1 ZINC000471304558 1070864805 /nfs/dbraw/zinc/86/48/05/1070864805.db2.gz QUFQEHHLLQRFNC-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1F ZINC000471304941 1070864688 /nfs/dbraw/zinc/86/46/88/1070864688.db2.gz UYYBCWRDMVXGIZ-UHFFFAOYSA-N 0 0 433.483 -0.659 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000471307994 1070865293 /nfs/dbraw/zinc/86/52/93/1070865293.db2.gz PAOHBHQXXNIGCZ-GFCCVEGCSA-N 0 0 438.549 -0.548 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000471307995 1070865213 /nfs/dbraw/zinc/86/52/13/1070865213.db2.gz PAOHBHQXXNIGCZ-LBPRGKRZSA-N 0 0 438.549 -0.548 20 0 IBADRN CC(=O)N1CCc2ccccc2[C@@H]1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471310900 1070865895 /nfs/dbraw/zinc/86/58/95/1070865895.db2.gz IRQPXQFCFFBTRF-SLFFLAALSA-N 0 0 435.546 -0.176 20 0 IBADRN CC(=O)N1CCc2ccccc2[C@@H]1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471310909 1070865868 /nfs/dbraw/zinc/86/58/68/1070865868.db2.gz IRQPXQFCFFBTRF-UFYCRDLUSA-N 0 0 435.546 -0.176 20 0 IBADRN CC(=O)N1CCc2ccccc2[C@@H]1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471310911 1070865716 /nfs/dbraw/zinc/86/57/16/1070865716.db2.gz IRQPXQFCFFBTRF-XUVXKRRUSA-N 0 0 435.546 -0.176 20 0 IBADRN CC(=O)N1CCc2ccccc2[C@@H]1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471310912 1070865842 /nfs/dbraw/zinc/86/58/42/1070865842.db2.gz IRQPXQFCFFBTRF-ZCNNSNEGSA-N 0 0 435.546 -0.176 20 0 IBADRN Cc1csc(N2CCC(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2)n1 ZINC000471311434 1070865349 /nfs/dbraw/zinc/86/53/49/1070865349.db2.gz KOPOONLLTQYGPL-CVEARBPZSA-N 0 0 428.580 -0.030 20 0 IBADRN Cc1csc(N2CCC(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2)n1 ZINC000471311435 1070865373 /nfs/dbraw/zinc/86/53/73/1070865373.db2.gz KOPOONLLTQYGPL-HOTGVXAUSA-N 0 0 428.580 -0.030 20 0 IBADRN Cc1csc(N2CCC(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2)n1 ZINC000471311436 1070865971 /nfs/dbraw/zinc/86/59/71/1070865971.db2.gz KOPOONLLTQYGPL-HZPDHXFCSA-N 0 0 428.580 -0.030 20 0 IBADRN Cc1csc(N2CCC(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2)n1 ZINC000471311437 1070866057 /nfs/dbraw/zinc/86/60/57/1070866057.db2.gz KOPOONLLTQYGPL-JKSUJKDBSA-N 0 0 428.580 -0.030 20 0 IBADRN O=C(CCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000471311633 1070865814 /nfs/dbraw/zinc/86/58/14/1070865814.db2.gz LKANXSLNITUOHE-HKUYNNGSSA-N 0 0 449.529 -0.164 20 0 IBADRN O=C(CCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000471311634 1070865659 /nfs/dbraw/zinc/86/56/59/1070865659.db2.gz LKANXSLNITUOHE-IEBWSBKVSA-N 0 0 449.529 -0.164 20 0 IBADRN O=C(CCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000471311635 1070865740 /nfs/dbraw/zinc/86/57/40/1070865740.db2.gz LKANXSLNITUOHE-MJGOQNOKSA-N 0 0 449.529 -0.164 20 0 IBADRN O=C(CCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000471311636 1070866011 /nfs/dbraw/zinc/86/60/11/1070866011.db2.gz LKANXSLNITUOHE-PKOBYXMFSA-N 0 0 449.529 -0.164 20 0 IBADRN Cc1cc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)ccc1F ZINC000471311667 1070866138 /nfs/dbraw/zinc/86/61/38/1070866138.db2.gz LTKUQDHFQJKUEJ-FHLIZLRMSA-N 0 0 439.509 -0.211 20 0 IBADRN Cc1cc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)ccc1F ZINC000471311668 1070866029 /nfs/dbraw/zinc/86/60/29/1070866029.db2.gz LTKUQDHFQJKUEJ-JLSDUUJJSA-N 0 0 439.509 -0.211 20 0 IBADRN Cc1cc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)ccc1F ZINC000471311669 1070865991 /nfs/dbraw/zinc/86/59/91/1070865991.db2.gz LTKUQDHFQJKUEJ-OLMNPRSZSA-N 0 0 439.509 -0.211 20 0 IBADRN Cc1cc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)ccc1F ZINC000471311670 1070865789 /nfs/dbraw/zinc/86/57/89/1070865789.db2.gz LTKUQDHFQJKUEJ-ZTFGCOKTSA-N 0 0 439.509 -0.211 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471313345 1070866505 /nfs/dbraw/zinc/86/65/05/1070866505.db2.gz VLYSBZBSMRRTJR-CSMYWGQOSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471313346 1070866325 /nfs/dbraw/zinc/86/63/25/1070866325.db2.gz VLYSBZBSMRRTJR-DQYPLSBCSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471313347 1070866636 /nfs/dbraw/zinc/86/66/36/1070866636.db2.gz VLYSBZBSMRRTJR-JLZZUVOBSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471313348 1070866532 /nfs/dbraw/zinc/86/65/32/1070866532.db2.gz VLYSBZBSMRRTJR-OAUYIBNBSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471313642 1070866617 /nfs/dbraw/zinc/86/66/17/1070866617.db2.gz HVVOTQZXNXIBHH-KBPBESRZSA-N 0 0 448.339 -0.697 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471313643 1070866275 /nfs/dbraw/zinc/86/62/75/1070866275.db2.gz HVVOTQZXNXIBHH-KGLIPLIRSA-N 0 0 448.339 -0.697 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471313644 1070866599 /nfs/dbraw/zinc/86/65/99/1070866599.db2.gz HVVOTQZXNXIBHH-UONOGXRCSA-N 0 0 448.339 -0.697 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471313645 1070866402 /nfs/dbraw/zinc/86/64/02/1070866402.db2.gz HVVOTQZXNXIBHH-ZIAGYGMSSA-N 0 0 448.339 -0.697 20 0 IBADRN O=C(CCn1c(=O)oc2cc(Cl)ccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471313769 1070868375 /nfs/dbraw/zinc/86/83/75/1070868375.db2.gz IACGYQKPIQMFHH-CABCVRRESA-N 0 0 443.909 -0.060 20 0 IBADRN O=C(CCn1c(=O)oc2cc(Cl)ccc21)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471313770 1070868589 /nfs/dbraw/zinc/86/85/89/1070868589.db2.gz IACGYQKPIQMFHH-GJZGRUSLSA-N 0 0 443.909 -0.060 20 0 IBADRN O=C(CCn1c(=O)oc2cc(Cl)ccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471313771 1070868089 /nfs/dbraw/zinc/86/80/89/1070868089.db2.gz IACGYQKPIQMFHH-HUUCEWRRSA-N 0 0 443.909 -0.060 20 0 IBADRN O=C(CCn1c(=O)oc2cc(Cl)ccc21)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471313772 1070868256 /nfs/dbraw/zinc/86/82/56/1070868256.db2.gz IACGYQKPIQMFHH-LSDHHAIUSA-N 0 0 443.909 -0.060 20 0 IBADRN Cc1c(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)nnn1-c1ccc(C)cc1 ZINC000471313805 1070868147 /nfs/dbraw/zinc/86/81/47/1070868147.db2.gz IMDPHUGKDGLSOJ-MOPGFXCFSA-N 0 0 433.534 -0.271 20 0 IBADRN Cc1c(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)nnn1-c1ccc(C)cc1 ZINC000471313806 1070868560 /nfs/dbraw/zinc/86/85/60/1070868560.db2.gz IMDPHUGKDGLSOJ-OALUTQOASA-N 0 0 433.534 -0.271 20 0 IBADRN Cc1c(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)nnn1-c1ccc(C)cc1 ZINC000471313807 1070868426 /nfs/dbraw/zinc/86/84/26/1070868426.db2.gz IMDPHUGKDGLSOJ-RBUKOAKNSA-N 0 0 433.534 -0.271 20 0 IBADRN Cc1c(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)nnn1-c1ccc(C)cc1 ZINC000471313808 1070868304 /nfs/dbraw/zinc/86/83/04/1070868304.db2.gz IMDPHUGKDGLSOJ-RTBURBONSA-N 0 0 433.534 -0.271 20 0 IBADRN Cc1[nH]c(=O)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1Br ZINC000471314037 1070868204 /nfs/dbraw/zinc/86/82/04/1070868204.db2.gz JPOIJOFEGLRAET-CHWSQXEVSA-N 0 0 434.312 -0.226 20 0 IBADRN Cc1[nH]c(=O)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1Br ZINC000471314038 1070868173 /nfs/dbraw/zinc/86/81/73/1070868173.db2.gz JPOIJOFEGLRAET-OLZOCXBDSA-N 0 0 434.312 -0.226 20 0 IBADRN Cc1[nH]c(=O)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1Br ZINC000471314039 1070868400 /nfs/dbraw/zinc/86/84/00/1070868400.db2.gz JPOIJOFEGLRAET-QWHCGFSZSA-N 0 0 434.312 -0.226 20 0 IBADRN Cc1[nH]c(=O)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1Br ZINC000471314040 1070868452 /nfs/dbraw/zinc/86/84/52/1070868452.db2.gz JPOIJOFEGLRAET-STQMWFEESA-N 0 0 434.312 -0.226 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314068 1070869171 /nfs/dbraw/zinc/86/91/71/1070869171.db2.gz ZMZHHQJANBUALQ-DLBZAZTESA-N 0 0 427.498 -0.362 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314069 1070869123 /nfs/dbraw/zinc/86/91/23/1070869123.db2.gz ZMZHHQJANBUALQ-IAGOWNOFSA-N 0 0 427.498 -0.362 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314070 1070868828 /nfs/dbraw/zinc/86/88/28/1070868828.db2.gz ZMZHHQJANBUALQ-IRXDYDNUSA-N 0 0 427.498 -0.362 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314071 1070868694 /nfs/dbraw/zinc/86/86/94/1070868694.db2.gz ZMZHHQJANBUALQ-SJORKVTESA-N 0 0 427.498 -0.362 20 0 IBADRN Cc1nc(-c2cnn(C)c2)sc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314314 1070867712 /nfs/dbraw/zinc/86/77/12/1070867712.db2.gz LFPLFPAJILBFAA-KBPBESRZSA-N 0 0 425.536 -0.232 20 0 IBADRN Cc1nc(-c2cnn(C)c2)sc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314315 1070867487 /nfs/dbraw/zinc/86/74/87/1070867487.db2.gz LFPLFPAJILBFAA-KGLIPLIRSA-N 0 0 425.536 -0.232 20 0 IBADRN Cc1nc(-c2cnn(C)c2)sc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314316 1070867756 /nfs/dbraw/zinc/86/77/56/1070867756.db2.gz LFPLFPAJILBFAA-UONOGXRCSA-N 0 0 425.536 -0.232 20 0 IBADRN Cc1nc(-c2cnn(C)c2)sc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314317 1070867932 /nfs/dbraw/zinc/86/79/32/1070867932.db2.gz LFPLFPAJILBFAA-ZIAGYGMSSA-N 0 0 425.536 -0.232 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314347 1070868279 /nfs/dbraw/zinc/86/82/79/1070868279.db2.gz LPPDUDBPSGIDKC-CABCVRRESA-N 0 0 441.575 -0.341 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314348 1070868120 /nfs/dbraw/zinc/86/81/20/1070868120.db2.gz LPPDUDBPSGIDKC-GJZGRUSLSA-N 0 0 441.575 -0.341 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314349 1070868332 /nfs/dbraw/zinc/86/83/32/1070868332.db2.gz LPPDUDBPSGIDKC-HUUCEWRRSA-N 0 0 441.575 -0.341 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314350 1070868224 /nfs/dbraw/zinc/86/82/24/1070868224.db2.gz LPPDUDBPSGIDKC-LSDHHAIUSA-N 0 0 441.575 -0.341 20 0 IBADRN O=C(c1cnn2cc(Br)cnc12)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314534 1070867634 /nfs/dbraw/zinc/86/76/34/1070867634.db2.gz ALUQZCJNPRBFRL-CHWSQXEVSA-N 0 0 444.311 -0.593 20 0 IBADRN O=C(c1cnn2cc(Br)cnc12)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314535 1070867800 /nfs/dbraw/zinc/86/78/00/1070867800.db2.gz ALUQZCJNPRBFRL-OLZOCXBDSA-N 0 0 444.311 -0.593 20 0 IBADRN O=C(c1cnn2cc(Br)cnc12)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314536 1070867856 /nfs/dbraw/zinc/86/78/56/1070867856.db2.gz ALUQZCJNPRBFRL-QWHCGFSZSA-N 0 0 444.311 -0.593 20 0 IBADRN O=C(c1cnn2cc(Br)cnc12)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314537 1070867959 /nfs/dbraw/zinc/86/79/59/1070867959.db2.gz ALUQZCJNPRBFRL-STQMWFEESA-N 0 0 444.311 -0.593 20 0 IBADRN O=C([C@@H]1CCN(c2cccc(Cl)c2)C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314564 1070867606 /nfs/dbraw/zinc/86/76/06/1070867606.db2.gz ATYKXPPRFRTLLK-BBWFWOEESA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CCN(c2cccc(Cl)c2)C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314565 1070867540 /nfs/dbraw/zinc/86/75/40/1070867540.db2.gz ATYKXPPRFRTLLK-GVDBMIGSSA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CCN(c2cccc(Cl)c2)C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471314566 1070867686 /nfs/dbraw/zinc/86/76/86/1070867686.db2.gz ATYKXPPRFRTLLK-ULQDDVLXSA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CCN(c2cccc(Cl)c2)C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471314567 1070867561 /nfs/dbraw/zinc/86/75/61/1070867561.db2.gz ATYKXPPRFRTLLK-YESZJQIVSA-N 0 0 441.937 -0.005 20 0 IBADRN Cc1ccc(CN2C(=O)CC[C@@H]2C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471315004 1070867143 /nfs/dbraw/zinc/86/71/43/1070867143.db2.gz OXEBMSWLOHGORM-CEXWTWQISA-N 0 0 435.546 -0.212 20 0 IBADRN Cc1ccc(CN2C(=O)CC[C@@H]2C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471315005 1070867117 /nfs/dbraw/zinc/86/71/17/1070867117.db2.gz OXEBMSWLOHGORM-GUDVDZBRSA-N 0 0 435.546 -0.212 20 0 IBADRN Cc1ccc(CN2C(=O)CC[C@@H]2C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471315006 1070867169 /nfs/dbraw/zinc/86/71/69/1070867169.db2.gz OXEBMSWLOHGORM-QRVBRYPASA-N 0 0 435.546 -0.212 20 0 IBADRN Cc1ccc(CN2C(=O)CC[C@@H]2C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471315007 1070867313 /nfs/dbraw/zinc/86/73/13/1070867313.db2.gz OXEBMSWLOHGORM-QYZOEREBSA-N 0 0 435.546 -0.212 20 0 IBADRN O=C(CCN1C(=O)CSc2ccccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471315029 1070867230 /nfs/dbraw/zinc/86/72/30/1070867230.db2.gz PBTXDKMGXHEIKD-CVEARBPZSA-N 0 0 439.559 -0.183 20 0 IBADRN O=C(CCN1C(=O)CSc2ccccc21)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471315030 1070867002 /nfs/dbraw/zinc/86/70/02/1070867002.db2.gz PBTXDKMGXHEIKD-HOTGVXAUSA-N 0 0 439.559 -0.183 20 0 IBADRN O=C(CCN1C(=O)CSc2ccccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471315031 1070867267 /nfs/dbraw/zinc/86/72/67/1070867267.db2.gz PBTXDKMGXHEIKD-HZPDHXFCSA-N 0 0 439.559 -0.183 20 0 IBADRN O=C(CCN1C(=O)CSc2ccccc21)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471315032 1070867288 /nfs/dbraw/zinc/86/72/88/1070867288.db2.gz PBTXDKMGXHEIKD-JKSUJKDBSA-N 0 0 439.559 -0.183 20 0 IBADRN CC(C)NC(=O)NCc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471315527 1070866375 /nfs/dbraw/zinc/86/63/75/1070866375.db2.gz RTXLOCCPDGGPMV-MSOLQXFVSA-N 0 0 438.550 -0.190 20 0 IBADRN CC(C)NC(=O)NCc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471315528 1070866478 /nfs/dbraw/zinc/86/64/78/1070866478.db2.gz RTXLOCCPDGGPMV-QZTJIDSGSA-N 0 0 438.550 -0.190 20 0 IBADRN CC(C)NC(=O)NCc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471315529 1070866951 /nfs/dbraw/zinc/86/69/51/1070866951.db2.gz RTXLOCCPDGGPMV-ROUUACIJSA-N 0 0 438.550 -0.190 20 0 IBADRN CC(C)NC(=O)NCc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471315530 1070867367 /nfs/dbraw/zinc/86/73/67/1070867367.db2.gz RTXLOCCPDGGPMV-ZWKOTPCHSA-N 0 0 438.550 -0.190 20 0 IBADRN CC(C)(C)C(=O)Nc1nc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cs1 ZINC000471315569 1070866896 /nfs/dbraw/zinc/86/68/96/1070866896.db2.gz SCHQKZMZGLPKQK-KBPBESRZSA-N 0 0 444.579 -0.028 20 0 IBADRN CC(C)(C)C(=O)Nc1nc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cs1 ZINC000471315570 1070867089 /nfs/dbraw/zinc/86/70/89/1070867089.db2.gz SCHQKZMZGLPKQK-KGLIPLIRSA-N 0 0 444.579 -0.028 20 0 IBADRN CC(C)(C)C(=O)Nc1nc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cs1 ZINC000471315571 1070867060 /nfs/dbraw/zinc/86/70/60/1070867060.db2.gz SCHQKZMZGLPKQK-UONOGXRCSA-N 0 0 444.579 -0.028 20 0 IBADRN CC(C)(C)C(=O)Nc1nc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cs1 ZINC000471315572 1070867247 /nfs/dbraw/zinc/86/72/47/1070867247.db2.gz SCHQKZMZGLPKQK-ZIAGYGMSSA-N 0 0 444.579 -0.028 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471315848 1070869705 /nfs/dbraw/zinc/86/97/05/1070869705.db2.gz GUHVQJXNWNCWED-MSOLQXFVSA-N 0 0 444.575 -0.287 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471315849 1070869629 /nfs/dbraw/zinc/86/96/29/1070869629.db2.gz GUHVQJXNWNCWED-QZTJIDSGSA-N 0 0 444.575 -0.287 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471315850 1070869579 /nfs/dbraw/zinc/86/95/79/1070869579.db2.gz GUHVQJXNWNCWED-ROUUACIJSA-N 0 0 444.575 -0.287 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471315851 1070869428 /nfs/dbraw/zinc/86/94/28/1070869428.db2.gz GUHVQJXNWNCWED-ZWKOTPCHSA-N 0 0 444.575 -0.287 20 0 IBADRN COCCOCc1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)ccc1F ZINC000471316304 1070868846 /nfs/dbraw/zinc/86/88/46/1070868846.db2.gz IAPPKLYIDFWFSV-MSOLQXFVSA-N 0 0 430.498 -0.096 20 0 IBADRN COCCOCc1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)ccc1F ZINC000471316305 1070868868 /nfs/dbraw/zinc/86/88/68/1070868868.db2.gz IAPPKLYIDFWFSV-QZTJIDSGSA-N 0 0 430.498 -0.096 20 0 IBADRN COCCOCc1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)ccc1F ZINC000471316306 1070869297 /nfs/dbraw/zinc/86/92/97/1070869297.db2.gz IAPPKLYIDFWFSV-ROUUACIJSA-N 0 0 430.498 -0.096 20 0 IBADRN COCCOCc1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)ccc1F ZINC000471316307 1070869348 /nfs/dbraw/zinc/86/93/48/1070869348.db2.gz IAPPKLYIDFWFSV-ZWKOTPCHSA-N 0 0 430.498 -0.096 20 0 IBADRN CC1CCC(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)CC1 ZINC000471316392 1070867880 /nfs/dbraw/zinc/86/78/80/1070867880.db2.gz ISDVJQFWPFCBMY-CAGXLSFWSA-N 0 0 427.567 -0.284 20 0 IBADRN CC1CCC(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)CC1 ZINC000471316393 1070867661 /nfs/dbraw/zinc/86/76/61/1070867661.db2.gz ISDVJQFWPFCBMY-GNBAHCQZSA-N 0 0 427.567 -0.284 20 0 IBADRN CC1CCC(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)CC1 ZINC000471316394 1070867734 /nfs/dbraw/zinc/86/77/34/1070867734.db2.gz ISDVJQFWPFCBMY-OAGCAAQDSA-N 0 0 427.567 -0.284 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471316456 1070867775 /nfs/dbraw/zinc/86/77/75/1070867775.db2.gz XZIOFJLEDLHJNY-MSOLQXFVSA-N 0 0 439.534 -0.493 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471316457 1070867905 /nfs/dbraw/zinc/86/79/05/1070867905.db2.gz XZIOFJLEDLHJNY-QZTJIDSGSA-N 0 0 439.534 -0.493 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471316458 1070867830 /nfs/dbraw/zinc/86/78/30/1070867830.db2.gz XZIOFJLEDLHJNY-ROUUACIJSA-N 0 0 439.534 -0.493 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471316459 1070867583 /nfs/dbraw/zinc/86/75/83/1070867583.db2.gz XZIOFJLEDLHJNY-ZWKOTPCHSA-N 0 0 439.534 -0.493 20 0 IBADRN CC1CCC(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)CC1 ZINC000471316495 1070869401 /nfs/dbraw/zinc/86/94/01/1070869401.db2.gz ISDVJQFWPFCBMY-RYAZAAMFSA-N 0 0 427.567 -0.284 20 0 IBADRN O=C(Cc1ccc(Br)cc1O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471316823 1070869681 /nfs/dbraw/zinc/86/96/81/1070869681.db2.gz JSYUXHQQZWQDPZ-DZGCQCFKSA-N 0 0 433.324 -0.001 20 0 IBADRN O=C(Cc1ccc(Br)cc1O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471316824 1070870350 /nfs/dbraw/zinc/87/03/50/1070870350.db2.gz JSYUXHQQZWQDPZ-HIFRSBDPSA-N 0 0 433.324 -0.001 20 0 IBADRN O=C(Cc1ccc(Br)cc1O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471316825 1070869931 /nfs/dbraw/zinc/86/99/31/1070869931.db2.gz JSYUXHQQZWQDPZ-UKRRQHHQSA-N 0 0 433.324 -0.001 20 0 IBADRN O=C(Cc1ccc(Br)cc1O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471316826 1070869881 /nfs/dbraw/zinc/86/98/81/1070869881.db2.gz JSYUXHQQZWQDPZ-ZFWWWQNUSA-N 0 0 433.324 -0.001 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471316841 1070870090 /nfs/dbraw/zinc/87/00/90/1070870090.db2.gz JWWKHWAEOIZMLI-DLBZAZTESA-N 0 0 448.501 -0.627 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471316842 1070870181 /nfs/dbraw/zinc/87/01/81/1070870181.db2.gz JWWKHWAEOIZMLI-IAGOWNOFSA-N 0 0 448.501 -0.627 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471316843 1070870301 /nfs/dbraw/zinc/87/03/01/1070870301.db2.gz JWWKHWAEOIZMLI-IRXDYDNUSA-N 0 0 448.501 -0.627 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471316844 1070870279 /nfs/dbraw/zinc/87/02/79/1070870279.db2.gz JWWKHWAEOIZMLI-SJORKVTESA-N 0 0 448.501 -0.627 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471317074 1070869728 /nfs/dbraw/zinc/86/97/28/1070869728.db2.gz LNWJNUOQGGAYHG-DLBZAZTESA-N 0 0 430.548 -0.432 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471317075 1070869601 /nfs/dbraw/zinc/86/96/01/1070869601.db2.gz LNWJNUOQGGAYHG-IAGOWNOFSA-N 0 0 430.548 -0.432 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471317076 1070869559 /nfs/dbraw/zinc/86/95/59/1070869559.db2.gz LNWJNUOQGGAYHG-IRXDYDNUSA-N 0 0 430.548 -0.432 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471317077 1070869150 /nfs/dbraw/zinc/86/91/50/1070869150.db2.gz LNWJNUOQGGAYHG-SJORKVTESA-N 0 0 430.548 -0.432 20 0 IBADRN COCc1c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cnn1-c1ccccc1 ZINC000471317105 1070869039 /nfs/dbraw/zinc/86/90/39/1070869039.db2.gz LYTZYKQZOVFPHP-MOPGFXCFSA-N 0 0 434.518 -0.066 20 0 IBADRN COCc1c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cnn1-c1ccccc1 ZINC000471317106 1070868985 /nfs/dbraw/zinc/86/89/85/1070868985.db2.gz LYTZYKQZOVFPHP-OALUTQOASA-N 0 0 434.518 -0.066 20 0 IBADRN COCc1c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cnn1-c1ccccc1 ZINC000471317107 1070868718 /nfs/dbraw/zinc/86/87/18/1070868718.db2.gz LYTZYKQZOVFPHP-RBUKOAKNSA-N 0 0 434.518 -0.066 20 0 IBADRN COCc1c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cnn1-c1ccccc1 ZINC000471317108 1070869010 /nfs/dbraw/zinc/86/90/10/1070869010.db2.gz LYTZYKQZOVFPHP-RTBURBONSA-N 0 0 434.518 -0.066 20 0 IBADRN O=C(CCCOc1ccc2c(c1)OCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471317212 1070868800 /nfs/dbraw/zinc/86/88/00/1070868800.db2.gz NBFKVPMNJGCWOM-CVEARBPZSA-N 0 0 426.491 -0.124 20 0 IBADRN O=C(CCCOc1ccc2c(c1)OCO2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471317213 1070868956 /nfs/dbraw/zinc/86/89/56/1070868956.db2.gz NBFKVPMNJGCWOM-HOTGVXAUSA-N 0 0 426.491 -0.124 20 0 IBADRN O=C(CCCOc1ccc2c(c1)OCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471317214 1070869092 /nfs/dbraw/zinc/86/90/92/1070869092.db2.gz NBFKVPMNJGCWOM-HZPDHXFCSA-N 0 0 426.491 -0.124 20 0 IBADRN O=C(CCCOc1ccc2c(c1)OCO2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471317215 1070868887 /nfs/dbraw/zinc/86/88/87/1070868887.db2.gz NBFKVPMNJGCWOM-JKSUJKDBSA-N 0 0 426.491 -0.124 20 0 IBADRN COc1ccc([C@H](CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)NC(C)=O)cc1 ZINC000471317681 1070869750 /nfs/dbraw/zinc/86/97/50/1070869750.db2.gz QEJZPKQLDLVJLA-FHWLQOOXSA-N 0 0 439.534 -0.435 20 0 IBADRN COc1ccc([C@H](CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)NC(C)=O)cc1 ZINC000471317686 1070869525 /nfs/dbraw/zinc/86/95/25/1070869525.db2.gz QEJZPKQLDLVJLA-GBESFXJTSA-N 0 0 439.534 -0.435 20 0 IBADRN COc1ccc([C@H](CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)NC(C)=O)cc1 ZINC000471317687 1070869475 /nfs/dbraw/zinc/86/94/75/1070869475.db2.gz QEJZPKQLDLVJLA-IPMKNSEASA-N 0 0 439.534 -0.435 20 0 IBADRN COc1ccc([C@H](CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)NC(C)=O)cc1 ZINC000471317688 1070869499 /nfs/dbraw/zinc/86/94/99/1070869499.db2.gz QEJZPKQLDLVJLA-OTWHNJEPSA-N 0 0 439.534 -0.435 20 0 IBADRN O=C(c1cn(-c2cccc(Cl)c2F)nn1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471317755 1070870138 /nfs/dbraw/zinc/87/01/38/1070870138.db2.gz BINSFHZCQQMRLZ-CABCVRRESA-N 0 0 443.888 -0.025 20 0 IBADRN O=C(c1cn(-c2cccc(Cl)c2F)nn1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471317756 1070870069 /nfs/dbraw/zinc/87/00/69/1070870069.db2.gz BINSFHZCQQMRLZ-GJZGRUSLSA-N 0 0 443.888 -0.025 20 0 IBADRN O=C(c1cn(-c2cccc(Cl)c2F)nn1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471317757 1070870050 /nfs/dbraw/zinc/87/00/50/1070870050.db2.gz BINSFHZCQQMRLZ-HUUCEWRRSA-N 0 0 443.888 -0.025 20 0 IBADRN O=C(c1cn(-c2cccc(Cl)c2F)nn1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471317758 1070870114 /nfs/dbraw/zinc/87/01/14/1070870114.db2.gz BINSFHZCQQMRLZ-LSDHHAIUSA-N 0 0 443.888 -0.025 20 0 IBADRN CCc1nc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)nn1-c1ccccc1F ZINC000471317796 1070869904 /nfs/dbraw/zinc/86/99/04/1070869904.db2.gz QQBDIKMFCNANLG-CVEARBPZSA-N 0 0 437.497 -0.116 20 0 IBADRN CCc1nc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)nn1-c1ccccc1F ZINC000471317797 1070870323 /nfs/dbraw/zinc/87/03/23/1070870323.db2.gz QQBDIKMFCNANLG-HOTGVXAUSA-N 0 0 437.497 -0.116 20 0 IBADRN CCc1nc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)nn1-c1ccccc1F ZINC000471317798 1070870027 /nfs/dbraw/zinc/87/00/27/1070870027.db2.gz QQBDIKMFCNANLG-HZPDHXFCSA-N 0 0 437.497 -0.116 20 0 IBADRN CCc1nc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)nn1-c1ccccc1F ZINC000471317799 1070870001 /nfs/dbraw/zinc/87/00/01/1070870001.db2.gz QQBDIKMFCNANLG-JKSUJKDBSA-N 0 0 437.497 -0.116 20 0 IBADRN COc1ccc(Cn2cc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)cn2)cc1 ZINC000471317859 1070870598 /nfs/dbraw/zinc/87/05/98/1070870598.db2.gz BNJNMSXKXOSCEZ-MOPGFXCFSA-N 0 0 434.518 -0.144 20 0 IBADRN COc1ccc(Cn2cc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)cn2)cc1 ZINC000471317865 1070870738 /nfs/dbraw/zinc/87/07/38/1070870738.db2.gz BNJNMSXKXOSCEZ-OALUTQOASA-N 0 0 434.518 -0.144 20 0 IBADRN COc1ccc(Cn2cc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)cn2)cc1 ZINC000471317872 1070870488 /nfs/dbraw/zinc/87/04/88/1070870488.db2.gz BNJNMSXKXOSCEZ-RBUKOAKNSA-N 0 0 434.518 -0.144 20 0 IBADRN COc1ccc(Cn2cc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)cn2)cc1 ZINC000471317873 1070870953 /nfs/dbraw/zinc/87/09/53/1070870953.db2.gz BNJNMSXKXOSCEZ-RTBURBONSA-N 0 0 434.518 -0.144 20 0 IBADRN Cc1nc(COc2cccc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c2)no1 ZINC000471318014 1070871351 /nfs/dbraw/zinc/87/13/51/1070871351.db2.gz RORFCAZQAXUMGG-DLBZAZTESA-N 0 0 436.490 -0.127 20 0 IBADRN Cc1nc(COc2cccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c2)no1 ZINC000471318015 1070871180 /nfs/dbraw/zinc/87/11/80/1070871180.db2.gz RORFCAZQAXUMGG-IAGOWNOFSA-N 0 0 436.490 -0.127 20 0 IBADRN Cc1nc(COc2cccc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c2)no1 ZINC000471318016 1070871503 /nfs/dbraw/zinc/87/15/03/1070871503.db2.gz RORFCAZQAXUMGG-IRXDYDNUSA-N 0 0 436.490 -0.127 20 0 IBADRN Cc1nc(COc2cccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c2)no1 ZINC000471318017 1070871533 /nfs/dbraw/zinc/87/15/33/1070871533.db2.gz RORFCAZQAXUMGG-SJORKVTESA-N 0 0 436.490 -0.127 20 0 IBADRN O=C(c1c[nH]nc1-c1ccc2c(c1)OCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471318138 1070870784 /nfs/dbraw/zinc/87/07/84/1070870784.db2.gz COHJSGYLIVWGKK-CABCVRRESA-N 0 0 434.474 -0.279 20 0 IBADRN O=C(c1c[nH]nc1-c1ccc2c(c1)OCO2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471318139 1070871562 /nfs/dbraw/zinc/87/15/62/1070871562.db2.gz COHJSGYLIVWGKK-GJZGRUSLSA-N 0 0 434.474 -0.279 20 0 IBADRN O=C(c1c[nH]nc1-c1ccc2c(c1)OCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471318140 1070871396 /nfs/dbraw/zinc/87/13/96/1070871396.db2.gz COHJSGYLIVWGKK-HUUCEWRRSA-N 0 0 434.474 -0.279 20 0 IBADRN O=C(c1c[nH]nc1-c1ccc2c(c1)OCO2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471318141 1070871280 /nfs/dbraw/zinc/87/12/80/1070871280.db2.gz COHJSGYLIVWGKK-LSDHHAIUSA-N 0 0 434.474 -0.279 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471318362 1070870571 /nfs/dbraw/zinc/87/05/71/1070870571.db2.gz DLTWNQKFVAAYNJ-KBPBESRZSA-N 0 0 433.889 -0.489 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471318363 1070870858 /nfs/dbraw/zinc/87/08/58/1070870858.db2.gz DLTWNQKFVAAYNJ-KGLIPLIRSA-N 0 0 433.889 -0.489 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471318364 1070870520 /nfs/dbraw/zinc/87/05/20/1070870520.db2.gz DLTWNQKFVAAYNJ-UONOGXRCSA-N 0 0 433.889 -0.489 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471318365 1070870884 /nfs/dbraw/zinc/87/08/84/1070870884.db2.gz DLTWNQKFVAAYNJ-ZIAGYGMSSA-N 0 0 433.889 -0.489 20 0 IBADRN CCc1csc(-n2cc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)cn2)n1 ZINC000471318630 1070870809 /nfs/dbraw/zinc/87/08/09/1070870809.db2.gz FJXUEVFYWJPGOY-CABCVRRESA-N 0 0 425.536 -0.193 20 0 IBADRN CCc1csc(-n2cc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)cn2)n1 ZINC000471318631 1070870661 /nfs/dbraw/zinc/87/06/61/1070870661.db2.gz FJXUEVFYWJPGOY-GJZGRUSLSA-N 0 0 425.536 -0.193 20 0 IBADRN CCc1csc(-n2cc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)cn2)n1 ZINC000471318632 1070870832 /nfs/dbraw/zinc/87/08/32/1070870832.db2.gz FJXUEVFYWJPGOY-HUUCEWRRSA-N 0 0 425.536 -0.193 20 0 IBADRN CCc1csc(-n2cc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)cn2)n1 ZINC000471318633 1070870907 /nfs/dbraw/zinc/87/09/07/1070870907.db2.gz FJXUEVFYWJPGOY-LSDHHAIUSA-N 0 0 425.536 -0.193 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1=O ZINC000471318964 1070871233 /nfs/dbraw/zinc/87/12/33/1070871233.db2.gz WQOMWWWTHPVYHJ-DJIMGWMZSA-N 0 0 437.518 -0.650 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1=O ZINC000471318965 1070871208 /nfs/dbraw/zinc/87/12/08/1070871208.db2.gz WQOMWWWTHPVYHJ-HYVNUMGLSA-N 0 0 437.518 -0.650 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1=O ZINC000471318966 1070871474 /nfs/dbraw/zinc/87/14/74/1070871474.db2.gz WQOMWWWTHPVYHJ-OIISXLGYSA-N 0 0 437.518 -0.650 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1=O ZINC000471318967 1070871683 /nfs/dbraw/zinc/87/16/83/1070871683.db2.gz WQOMWWWTHPVYHJ-PVAVHDDUSA-N 0 0 437.518 -0.650 20 0 IBADRN Cc1sc2ncn(CC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(=O)c2c1C ZINC000471321132 1070872100 /nfs/dbraw/zinc/87/21/00/1070872100.db2.gz GJLMWKSBTMWWNW-KBPBESRZSA-N 0 0 440.547 -0.623 20 0 IBADRN Cc1sc2ncn(CC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(=O)c2c1C ZINC000471321133 1070871883 /nfs/dbraw/zinc/87/18/83/1070871883.db2.gz GJLMWKSBTMWWNW-KGLIPLIRSA-N 0 0 440.547 -0.623 20 0 IBADRN Cc1sc2ncn(CC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c(=O)c2c1C ZINC000471321134 1070872257 /nfs/dbraw/zinc/87/22/57/1070872257.db2.gz GJLMWKSBTMWWNW-UONOGXRCSA-N 0 0 440.547 -0.623 20 0 IBADRN Cc1sc2ncn(CC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c(=O)c2c1C ZINC000471321135 1070872149 /nfs/dbraw/zinc/87/21/49/1070872149.db2.gz GJLMWKSBTMWWNW-ZIAGYGMSSA-N 0 0 440.547 -0.623 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1F ZINC000471321850 1070872571 /nfs/dbraw/zinc/87/25/71/1070872571.db2.gz JXRGRJBKWWNROJ-UHFFFAOYSA-N 0 0 447.510 -0.316 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)c1c(F)cccc1F ZINC000471322019 1070872557 /nfs/dbraw/zinc/87/25/57/1070872557.db2.gz KMKPDSDJRCPVGH-BRWVUGGUSA-N 0 0 445.488 -0.166 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1c(F)cccc1F ZINC000471322020 1070872882 /nfs/dbraw/zinc/87/28/82/1070872882.db2.gz KMKPDSDJRCPVGH-IKGGRYGDSA-N 0 0 445.488 -0.166 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)c1c(F)cccc1F ZINC000471322021 1070872663 /nfs/dbraw/zinc/87/26/63/1070872663.db2.gz KMKPDSDJRCPVGH-IXDOHACOSA-N 0 0 445.488 -0.166 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1c(F)cccc1F ZINC000471322022 1070872917 /nfs/dbraw/zinc/87/29/17/1070872917.db2.gz KMKPDSDJRCPVGH-ZACQAIPSSA-N 0 0 445.488 -0.166 20 0 IBADRN CCc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1S(C)(=O)=O ZINC000471322153 1070872492 /nfs/dbraw/zinc/87/24/92/1070872492.db2.gz QIPZKWPSNWUMHX-CVEARBPZSA-N 0 0 430.548 -0.432 20 0 IBADRN CCc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1S(C)(=O)=O ZINC000471322154 1070872750 /nfs/dbraw/zinc/87/27/50/1070872750.db2.gz QIPZKWPSNWUMHX-HOTGVXAUSA-N 0 0 430.548 -0.432 20 0 IBADRN CCc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1S(C)(=O)=O ZINC000471322155 1070872724 /nfs/dbraw/zinc/87/27/24/1070872724.db2.gz QIPZKWPSNWUMHX-HZPDHXFCSA-N 0 0 430.548 -0.432 20 0 IBADRN CCc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1S(C)(=O)=O ZINC000471322156 1070872539 /nfs/dbraw/zinc/87/25/39/1070872539.db2.gz QIPZKWPSNWUMHX-JKSUJKDBSA-N 0 0 430.548 -0.432 20 0 IBADRN O=C(c1cn(-c2cccc(Cl)c2)nn1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471322262 1070872277 /nfs/dbraw/zinc/87/22/77/1070872277.db2.gz LKZMOCFUCAZCRR-CVEARBPZSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2cccc(Cl)c2)nn1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471322263 1070871839 /nfs/dbraw/zinc/87/18/39/1070871839.db2.gz LKZMOCFUCAZCRR-HOTGVXAUSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2cccc(Cl)c2)nn1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471322264 1070872018 /nfs/dbraw/zinc/87/20/18/1070872018.db2.gz LKZMOCFUCAZCRR-HZPDHXFCSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2cccc(Cl)c2)nn1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471322265 1070872858 /nfs/dbraw/zinc/87/28/58/1070872858.db2.gz LKZMOCFUCAZCRR-JKSUJKDBSA-N 0 0 425.898 -0.164 20 0 IBADRN CC(C)n1nc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c2ccccc2c1=O ZINC000471322453 1070871929 /nfs/dbraw/zinc/87/19/29/1070871929.db2.gz RGOCNPSXBWELQV-DLBZAZTESA-N 0 0 434.518 -0.107 20 0 IBADRN CC(C)n1nc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c2ccccc2c1=O ZINC000471322454 1070871992 /nfs/dbraw/zinc/87/19/92/1070871992.db2.gz RGOCNPSXBWELQV-IAGOWNOFSA-N 0 0 434.518 -0.107 20 0 IBADRN CC(C)n1nc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c2ccccc2c1=O ZINC000471322455 1070871962 /nfs/dbraw/zinc/87/19/62/1070871962.db2.gz RGOCNPSXBWELQV-IRXDYDNUSA-N 0 0 434.518 -0.107 20 0 IBADRN CC(C)n1nc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c2ccccc2c1=O ZINC000471322456 1070871976 /nfs/dbraw/zinc/87/19/76/1070871976.db2.gz RGOCNPSXBWELQV-SJORKVTESA-N 0 0 434.518 -0.107 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471322552 1070872213 /nfs/dbraw/zinc/87/22/13/1070872213.db2.gz MFCMCZUWELYJFJ-MSOLQXFVSA-N 0 0 438.550 -0.480 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471322553 1070872045 /nfs/dbraw/zinc/87/20/45/1070872045.db2.gz MFCMCZUWELYJFJ-QZTJIDSGSA-N 0 0 438.550 -0.480 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471322554 1070871946 /nfs/dbraw/zinc/87/19/46/1070871946.db2.gz MFCMCZUWELYJFJ-ROUUACIJSA-N 0 0 438.550 -0.480 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471322555 1070872124 /nfs/dbraw/zinc/87/21/24/1070872124.db2.gz MFCMCZUWELYJFJ-ZWKOTPCHSA-N 0 0 438.550 -0.480 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(F)cc2)C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471322674 1070872237 /nfs/dbraw/zinc/87/22/37/1070872237.db2.gz RZJDAZGQPKOXQZ-BBWFWOEESA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(F)cc2)C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471322680 1070871912 /nfs/dbraw/zinc/87/19/12/1070871912.db2.gz RZJDAZGQPKOXQZ-GVDBMIGSSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(F)cc2)C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471322681 1070872071 /nfs/dbraw/zinc/87/20/71/1070872071.db2.gz RZJDAZGQPKOXQZ-ULQDDVLXSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(F)cc2)C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471322682 1070872299 /nfs/dbraw/zinc/87/22/99/1070872299.db2.gz RZJDAZGQPKOXQZ-YESZJQIVSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C(CSCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc(F)cc1 ZINC000471322892 1070873479 /nfs/dbraw/zinc/87/34/79/1070873479.db2.gz NMIJNNPBTRBNGS-CVEARBPZSA-N 0 0 445.538 -0.201 20 0 IBADRN O=C(CSCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc(F)cc1 ZINC000471322893 1070873427 /nfs/dbraw/zinc/87/34/27/1070873427.db2.gz NMIJNNPBTRBNGS-HOTGVXAUSA-N 0 0 445.538 -0.201 20 0 IBADRN O=C(CSCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc(F)cc1 ZINC000471322894 1070873214 /nfs/dbraw/zinc/87/32/14/1070873214.db2.gz NMIJNNPBTRBNGS-HZPDHXFCSA-N 0 0 445.538 -0.201 20 0 IBADRN O=C(CSCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc(F)cc1 ZINC000471322895 1070873117 /nfs/dbraw/zinc/87/31/17/1070873117.db2.gz NMIJNNPBTRBNGS-JKSUJKDBSA-N 0 0 445.538 -0.201 20 0 IBADRN O=C(c1cn(-c2ccccc2Cl)nn1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471323027 1070873138 /nfs/dbraw/zinc/87/31/38/1070873138.db2.gz SROPINOBZNWQFU-CVEARBPZSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2ccccc2Cl)nn1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471323028 1070873531 /nfs/dbraw/zinc/87/35/31/1070873531.db2.gz SROPINOBZNWQFU-HOTGVXAUSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2ccccc2Cl)nn1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471323029 1070873352 /nfs/dbraw/zinc/87/33/52/1070873352.db2.gz SROPINOBZNWQFU-HZPDHXFCSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2ccccc2Cl)nn1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471323030 1070873454 /nfs/dbraw/zinc/87/34/54/1070873454.db2.gz SROPINOBZNWQFU-JKSUJKDBSA-N 0 0 425.898 -0.164 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1C ZINC000471323064 1070873511 /nfs/dbraw/zinc/87/35/11/1070873511.db2.gz NZOCAWAWSMCIBD-DLBZAZTESA-N 0 0 430.548 -0.377 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1C ZINC000471323065 1070873250 /nfs/dbraw/zinc/87/32/50/1070873250.db2.gz NZOCAWAWSMCIBD-IAGOWNOFSA-N 0 0 430.548 -0.377 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1C ZINC000471323066 1070873402 /nfs/dbraw/zinc/87/34/02/1070873402.db2.gz NZOCAWAWSMCIBD-IRXDYDNUSA-N 0 0 430.548 -0.377 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1C ZINC000471323067 1070873325 /nfs/dbraw/zinc/87/33/25/1070873325.db2.gz NZOCAWAWSMCIBD-SJORKVTESA-N 0 0 430.548 -0.377 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c2C)cc1 ZINC000471323250 1070871153 /nfs/dbraw/zinc/87/11/53/1070871153.db2.gz UNHDDUMMSWGJOK-DLBZAZTESA-N 0 0 435.506 -0.500 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c2C)cc1 ZINC000471323251 1070871376 /nfs/dbraw/zinc/87/13/76/1070871376.db2.gz UNHDDUMMSWGJOK-IAGOWNOFSA-N 0 0 435.506 -0.500 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c2C)cc1 ZINC000471323252 1070871254 /nfs/dbraw/zinc/87/12/54/1070871254.db2.gz UNHDDUMMSWGJOK-IRXDYDNUSA-N 0 0 435.506 -0.500 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c2C)cc1 ZINC000471323253 1070872184 /nfs/dbraw/zinc/87/21/84/1070872184.db2.gz UNHDDUMMSWGJOK-SJORKVTESA-N 0 0 435.506 -0.500 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2c(F)cccc2F)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471323363 1070872513 /nfs/dbraw/zinc/87/25/13/1070872513.db2.gz UPEFQHNIZMWQCA-DAXOMENPSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2c(F)cccc2F)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471323364 1070872838 /nfs/dbraw/zinc/87/28/38/1070872838.db2.gz UPEFQHNIZMWQCA-KCXAZCMYSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2c(F)cccc2F)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471323365 1070872778 /nfs/dbraw/zinc/87/27/78/1070872778.db2.gz UPEFQHNIZMWQCA-UHOFOFEASA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2c(F)cccc2F)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471323366 1070872817 /nfs/dbraw/zinc/87/28/17/1070872817.db2.gz UPEFQHNIZMWQCA-WQVCFCJDSA-N 0 0 443.472 -0.380 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000471324021 1070873811 /nfs/dbraw/zinc/87/38/11/1070873811.db2.gz SGRMFWVDZOJCBR-UHFFFAOYSA-N 0 0 443.547 -0.065 20 0 IBADRN O=C(CNC(=O)c1ccccc1C(F)(F)F)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471324111 1070873232 /nfs/dbraw/zinc/87/32/32/1070873232.db2.gz XGKDOUWIWIGQNK-CABCVRRESA-N 0 0 449.451 -0.263 20 0 IBADRN O=C(CNC(=O)c1ccccc1C(F)(F)F)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471324117 1070873178 /nfs/dbraw/zinc/87/31/78/1070873178.db2.gz XGKDOUWIWIGQNK-GJZGRUSLSA-N 0 0 449.451 -0.263 20 0 IBADRN O=C(CNC(=O)c1ccccc1C(F)(F)F)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471324119 1070873555 /nfs/dbraw/zinc/87/35/55/1070873555.db2.gz XGKDOUWIWIGQNK-HUUCEWRRSA-N 0 0 449.451 -0.263 20 0 IBADRN O=C(CNC(=O)c1ccccc1C(F)(F)F)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471324121 1070873196 /nfs/dbraw/zinc/87/31/96/1070873196.db2.gz XGKDOUWIWIGQNK-LSDHHAIUSA-N 0 0 449.451 -0.263 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1F)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471324710 1070873158 /nfs/dbraw/zinc/87/31/58/1070873158.db2.gz ZRXKHXVIXAJUJW-DLBZAZTESA-N 0 0 445.488 -0.223 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471324711 1070873301 /nfs/dbraw/zinc/87/33/01/1070873301.db2.gz ZRXKHXVIXAJUJW-IAGOWNOFSA-N 0 0 445.488 -0.223 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1F)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471324712 1070873277 /nfs/dbraw/zinc/87/32/77/1070873277.db2.gz ZRXKHXVIXAJUJW-IRXDYDNUSA-N 0 0 445.488 -0.223 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471324714 1070873374 /nfs/dbraw/zinc/87/33/74/1070873374.db2.gz ZRXKHXVIXAJUJW-SJORKVTESA-N 0 0 445.488 -0.223 20 0 IBADRN O=C(Cc1cccs1)N1CCC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471325294 1070873998 /nfs/dbraw/zinc/87/39/98/1070873998.db2.gz BGACZWYFHRANDG-BBWFWOEESA-N 0 0 441.575 -0.416 20 0 IBADRN O=C(Cc1cccs1)N1CCC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471325295 1070873718 /nfs/dbraw/zinc/87/37/18/1070873718.db2.gz BGACZWYFHRANDG-GVDBMIGSSA-N 0 0 441.575 -0.416 20 0 IBADRN O=C(Cc1cccs1)N1CCC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471325296 1070873947 /nfs/dbraw/zinc/87/39/47/1070873947.db2.gz BGACZWYFHRANDG-ULQDDVLXSA-N 0 0 441.575 -0.416 20 0 IBADRN O=C(Cc1cccs1)N1CCC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471325297 1070874046 /nfs/dbraw/zinc/87/40/46/1070874046.db2.gz BGACZWYFHRANDG-YESZJQIVSA-N 0 0 441.575 -0.416 20 0 IBADRN COc1ccc(C(=O)CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC000471325589 1070874153 /nfs/dbraw/zinc/87/41/53/1070874153.db2.gz DKCSVFHPJMJRML-DOTOQJQBSA-N 0 0 440.518 -0.031 20 0 IBADRN COc1ccc(C(=O)CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC000471325590 1070873884 /nfs/dbraw/zinc/87/38/84/1070873884.db2.gz DKCSVFHPJMJRML-NVXWUHKLSA-N 0 0 440.518 -0.031 20 0 IBADRN COc1ccc(C(=O)CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC000471325591 1070874118 /nfs/dbraw/zinc/87/41/18/1070874118.db2.gz DKCSVFHPJMJRML-RDJZCZTQSA-N 0 0 440.518 -0.031 20 0 IBADRN COc1ccc(C(=O)CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC000471325592 1070873782 /nfs/dbraw/zinc/87/37/82/1070873782.db2.gz DKCSVFHPJMJRML-WBVHZDCISA-N 0 0 440.518 -0.031 20 0 IBADRN COC(=O)COc1c(C)cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1C ZINC000471326252 1070874024 /nfs/dbraw/zinc/87/40/24/1070874024.db2.gz GVQFQQBBNIYCJM-DLBZAZTESA-N 0 0 440.518 -0.229 20 0 IBADRN COC(=O)COc1c(C)cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1C ZINC000471326253 1070873973 /nfs/dbraw/zinc/87/39/73/1070873973.db2.gz GVQFQQBBNIYCJM-IAGOWNOFSA-N 0 0 440.518 -0.229 20 0 IBADRN COC(=O)COc1c(C)cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1C ZINC000471326254 1070873862 /nfs/dbraw/zinc/87/38/62/1070873862.db2.gz GVQFQQBBNIYCJM-IRXDYDNUSA-N 0 0 440.518 -0.229 20 0 IBADRN COC(=O)COc1c(C)cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1C ZINC000471326255 1070873924 /nfs/dbraw/zinc/87/39/24/1070873924.db2.gz GVQFQQBBNIYCJM-SJORKVTESA-N 0 0 440.518 -0.229 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccc(F)cc1F ZINC000471328208 1070873746 /nfs/dbraw/zinc/87/37/46/1070873746.db2.gz NJUQDFUKWHQHAL-FGTMMUONSA-N 0 0 445.488 -0.166 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccc(F)cc1F ZINC000471328210 1070873694 /nfs/dbraw/zinc/87/36/94/1070873694.db2.gz NJUQDFUKWHQHAL-KURKYZTESA-N 0 0 445.488 -0.166 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccc(F)cc1F ZINC000471328212 1070874099 /nfs/dbraw/zinc/87/40/99/1070874099.db2.gz NJUQDFUKWHQHAL-KZNAEPCWSA-N 0 0 445.488 -0.166 20 0 IBADRN CC(=O)N[C@H](CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccc(F)cc1F ZINC000471328214 1070874136 /nfs/dbraw/zinc/87/41/36/1070874136.db2.gz NJUQDFUKWHQHAL-SQNIBIBYSA-N 0 0 445.488 -0.166 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471328959 1070874604 /nfs/dbraw/zinc/87/46/04/1070874604.db2.gz PUIBZFDHBBSIKB-DLBZAZTESA-N 0 0 445.563 -0.246 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000471328963 1070874726 /nfs/dbraw/zinc/87/47/26/1070874726.db2.gz PUIBZFDHBBSIKB-IAGOWNOFSA-N 0 0 445.563 -0.246 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471328964 1070874521 /nfs/dbraw/zinc/87/45/21/1070874521.db2.gz PUIBZFDHBBSIKB-IRXDYDNUSA-N 0 0 445.563 -0.246 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000471328966 1070874541 /nfs/dbraw/zinc/87/45/41/1070874541.db2.gz PUIBZFDHBBSIKB-SJORKVTESA-N 0 0 445.563 -0.246 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000471331020 1070874632 /nfs/dbraw/zinc/87/46/32/1070874632.db2.gz VMVOBQGQVRQGCC-UHFFFAOYSA-N 0 0 431.536 -0.162 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(Cl)cc2)C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471331651 1070874770 /nfs/dbraw/zinc/87/47/70/1070874770.db2.gz XFUNDXVVAKSNCY-BBWFWOEESA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(Cl)cc2)C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471331652 1070874657 /nfs/dbraw/zinc/87/46/57/1070874657.db2.gz XFUNDXVVAKSNCY-GVDBMIGSSA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(Cl)cc2)C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000471331653 1070874426 /nfs/dbraw/zinc/87/44/26/1070874426.db2.gz XFUNDXVVAKSNCY-ULQDDVLXSA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(Cl)cc2)C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000471331654 1070874451 /nfs/dbraw/zinc/87/44/51/1070874451.db2.gz XFUNDXVVAKSNCY-YESZJQIVSA-N 0 0 441.937 -0.005 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)CC1 ZINC000471332281 1070874398 /nfs/dbraw/zinc/87/43/98/1070874398.db2.gz YHCSCAPJOYZKMY-UHFFFAOYSA-N 0 0 435.499 -0.413 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000471334572 1070875836 /nfs/dbraw/zinc/87/58/36/1070875836.db2.gz DNQVRBANLULYLF-BBWFWOEESA-N 0 0 428.489 -0.119 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000471334573 1070875889 /nfs/dbraw/zinc/87/58/89/1070875889.db2.gz DNQVRBANLULYLF-IXDOHACOSA-N 0 0 428.489 -0.119 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000471334574 1070875863 /nfs/dbraw/zinc/87/58/63/1070875863.db2.gz DNQVRBANLULYLF-ULQDDVLXSA-N 0 0 428.489 -0.119 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000471334575 1070875999 /nfs/dbraw/zinc/87/59/99/1070875999.db2.gz DNQVRBANLULYLF-ZACQAIPSSA-N 0 0 428.489 -0.119 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1 ZINC000471343523 1070875814 /nfs/dbraw/zinc/87/58/14/1070875814.db2.gz ZVBCGHMHMKGGRA-KRWDZBQOSA-N 0 0 432.502 -0.073 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1 ZINC000471343524 1070875713 /nfs/dbraw/zinc/87/57/13/1070875713.db2.gz ZVBCGHMHMKGGRA-QGZVFWFLSA-N 0 0 432.502 -0.073 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)Cn1c(=O)[nH]c2ccccc2c1=O ZINC000471347178 1070876383 /nfs/dbraw/zinc/87/63/83/1070876383.db2.gz AMSANCSRPCBVQH-KRWDZBQOSA-N 0 0 437.456 -0.179 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)Cn1c(=O)[nH]c2ccccc2c1=O ZINC000471347180 1070876850 /nfs/dbraw/zinc/87/68/50/1070876850.db2.gz AMSANCSRPCBVQH-QGZVFWFLSA-N 0 0 437.456 -0.179 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CCn1nnc2ccccc2c1=O ZINC000471348666 1070876540 /nfs/dbraw/zinc/87/65/40/1070876540.db2.gz OXEPRPVMXUBEOQ-GOSISDBHSA-N 0 0 436.472 -0.099 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CCn1nnc2ccccc2c1=O ZINC000471348667 1070876566 /nfs/dbraw/zinc/87/65/66/1070876566.db2.gz OXEPRPVMXUBEOQ-SFHVURJKSA-N 0 0 436.472 -0.099 20 0 IBADRN CC(=O)c1cn(CC(=O)N2CCCc3cc(S(=O)(=O)N(C)C)ccc32)c(=O)n(C)c1=O ZINC000471369117 1070880191 /nfs/dbraw/zinc/88/01/91/1070880191.db2.gz CAAACCZUOMTXJM-UHFFFAOYSA-N 0 0 448.501 -0.021 20 0 IBADRN CC(C)[C@@H](NC(=O)Cn1nc2ccccn2c1=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000471380810 1070880726 /nfs/dbraw/zinc/88/07/26/1070880726.db2.gz JAOZXCFNWWHRTO-GOSISDBHSA-N 0 0 426.481 -0.167 20 0 IBADRN CC(C)[C@H](NC(=O)Cn1nc2ccccn2c1=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000471380811 1070880527 /nfs/dbraw/zinc/88/05/27/1070880527.db2.gz JAOZXCFNWWHRTO-SFHVURJKSA-N 0 0 426.481 -0.167 20 0 IBADRN COc1ccc2c(c1)ncc(C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)c2O ZINC000471392940 1070882729 /nfs/dbraw/zinc/88/27/29/1070882729.db2.gz YXPVCKALMSKQOK-UHFFFAOYSA-N 0 0 426.433 -0.361 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1)NC1CCCCC1 ZINC000471396686 1070883688 /nfs/dbraw/zinc/88/36/88/1070883688.db2.gz QGJBXUINMILLLI-UHFFFAOYSA-N 0 0 446.552 -0.051 20 0 IBADRN O=C(CNC(=O)c1cccc(O)c1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000471399352 1070883733 /nfs/dbraw/zinc/88/37/33/1070883733.db2.gz OEIFUBVAANOPIC-UHFFFAOYSA-N 0 0 432.458 -0.119 20 0 IBADRN COCCN1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1=O ZINC000471418425 1070886364 /nfs/dbraw/zinc/88/63/64/1070886364.db2.gz JONHYFLRKKVTLF-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(C(=O)NC)CC2)cc1 ZINC000471431872 1070888737 /nfs/dbraw/zinc/88/87/37/1070888737.db2.gz PPVINJVLIARGQK-UHFFFAOYSA-N 0 0 439.538 -0.070 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cc(O)cc(F)c2)c(=O)n1CC(=O)N1CCOCC1 ZINC000471449356 1070891403 /nfs/dbraw/zinc/89/14/03/1070891403.db2.gz BSBAVRQULPEKCF-UHFFFAOYSA-N 0 0 436.461 -0.214 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)CC2)c1 ZINC000471462233 1070896655 /nfs/dbraw/zinc/89/66/55/1070896655.db2.gz LUVHUIWHPMTFTC-GDBMZVCRSA-N 0 0 438.506 -0.410 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)CC2)c1 ZINC000471462234 1070896776 /nfs/dbraw/zinc/89/67/76/1070896776.db2.gz LUVHUIWHPMTFTC-GOEBONIOSA-N 0 0 438.506 -0.410 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)CC2)c1 ZINC000471462235 1070896861 /nfs/dbraw/zinc/89/68/61/1070896861.db2.gz LUVHUIWHPMTFTC-HOCLYGCPSA-N 0 0 438.506 -0.410 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)CC2)c1 ZINC000471462236 1070896998 /nfs/dbraw/zinc/89/69/98/1070896998.db2.gz LUVHUIWHPMTFTC-ZBFHGGJFSA-N 0 0 438.506 -0.410 20 0 IBADRN Cc1sc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1CS(C)(=O)=O ZINC000471485257 1070903584 /nfs/dbraw/zinc/90/35/84/1070903584.db2.gz OITKAMLKLHMHTC-UHFFFAOYSA-N 0 0 443.547 -0.256 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)c1ccc(C(=O)N2CCOC[C@H]2C(=O)OC)s1 ZINC000471485290 1070903568 /nfs/dbraw/zinc/90/35/68/1070903568.db2.gz OUUCQDQVIKVIBV-RYUDHWBXSA-N 0 0 426.447 -0.224 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)c1ccc(C(=O)N2CCOC[C@H]2C(=O)OC)s1 ZINC000471485291 1070903753 /nfs/dbraw/zinc/90/37/53/1070903753.db2.gz OUUCQDQVIKVIBV-TXEJJXNPSA-N 0 0 426.447 -0.224 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)c1ccc(C(=O)N2CCOC[C@@H]2C(=O)OC)s1 ZINC000471485292 1070903698 /nfs/dbraw/zinc/90/36/98/1070903698.db2.gz OUUCQDQVIKVIBV-VXGBXAGGSA-N 0 0 426.447 -0.224 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000471489251 1070905077 /nfs/dbraw/zinc/90/50/77/1070905077.db2.gz TWYFQMTUHXHALQ-HNNXBMFYSA-N 0 0 442.490 -0.341 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000471489252 1070905140 /nfs/dbraw/zinc/90/51/40/1070905140.db2.gz TWYFQMTUHXHALQ-OAHLLOKOSA-N 0 0 442.490 -0.341 20 0 IBADRN COC1CCN(C(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000471490128 1070905263 /nfs/dbraw/zinc/90/52/63/1070905263.db2.gz YNCJZYHXLAFQKZ-UHFFFAOYSA-N 0 0 446.551 -0.129 20 0 IBADRN COc1cccc(-c2nc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)no2)c1 ZINC000471491985 1070905684 /nfs/dbraw/zinc/90/56/84/1070905684.db2.gz IGHLAYAGUGAYQB-UHFFFAOYSA-N 0 0 429.433 -0.112 20 0 IBADRN COC(=O)CCCCCS(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000471494066 1070906250 /nfs/dbraw/zinc/90/62/50/1070906250.db2.gz XMRNBVSYAZKQIA-UHFFFAOYSA-N 0 0 427.545 -0.756 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2C(=O)OC)cc1 ZINC000471502000 1070907676 /nfs/dbraw/zinc/90/76/76/1070907676.db2.gz ZUOHPUJHSVZDCG-KRWDZBQOSA-N 0 0 444.506 -0.016 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2C(=O)OC)cc1 ZINC000471502001 1070907741 /nfs/dbraw/zinc/90/77/41/1070907741.db2.gz ZUOHPUJHSVZDCG-QGZVFWFLSA-N 0 0 444.506 -0.016 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000471502433 1070907843 /nfs/dbraw/zinc/90/78/43/1070907843.db2.gz GOUDSSSCEDDQBF-KRWDZBQOSA-N 0 0 425.507 -0.153 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000471502434 1070907895 /nfs/dbraw/zinc/90/78/95/1070907895.db2.gz GOUDSSSCEDDQBF-QGZVFWFLSA-N 0 0 425.507 -0.153 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000471504377 1070907771 /nfs/dbraw/zinc/90/77/71/1070907771.db2.gz MDPAXBWTXBFMGL-KRWDZBQOSA-N 0 0 439.490 -0.447 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000471504378 1070907659 /nfs/dbraw/zinc/90/76/59/1070907659.db2.gz MDPAXBWTXBFMGL-QGZVFWFLSA-N 0 0 439.490 -0.447 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000471513847 1070908326 /nfs/dbraw/zinc/90/83/26/1070908326.db2.gz AVNINHKXCFGAJR-AWEZNQCLSA-N 0 0 428.463 -0.270 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000471513857 1070909065 /nfs/dbraw/zinc/90/90/65/1070909065.db2.gz AVNINHKXCFGAJR-CQSZACIVSA-N 0 0 428.463 -0.270 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000471516438 1070909195 /nfs/dbraw/zinc/90/91/95/1070909195.db2.gz PPSGWGFAWJDGLP-KRWDZBQOSA-N 0 0 439.490 -0.447 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000471516439 1070909026 /nfs/dbraw/zinc/90/90/26/1070909026.db2.gz PPSGWGFAWJDGLP-QGZVFWFLSA-N 0 0 439.490 -0.447 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)CCSCCC(=O)N1CCOC[C@H]1C(=O)OC ZINC000471516873 1070908929 /nfs/dbraw/zinc/90/89/29/1070908929.db2.gz UOITYRHJMDVLME-KBPBESRZSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)CCSCCC(=O)N1CCOC[C@H]1C(=O)OC ZINC000471516874 1070908976 /nfs/dbraw/zinc/90/89/76/1070908976.db2.gz UOITYRHJMDVLME-OKILXGFUSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)CCSCCC(=O)N1CCOC[C@@H]1C(=O)OC ZINC000471516875 1070909223 /nfs/dbraw/zinc/90/92/23/1070909223.db2.gz UOITYRHJMDVLME-ZIAGYGMSSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000471516998 1070909777 /nfs/dbraw/zinc/90/97/77/1070909777.db2.gz VVVMZCYATNAARQ-KRWDZBQOSA-N 0 0 425.507 -0.298 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000471516999 1070909694 /nfs/dbraw/zinc/90/96/94/1070909694.db2.gz VVVMZCYATNAARQ-QGZVFWFLSA-N 0 0 425.507 -0.298 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000471517291 1070909106 /nfs/dbraw/zinc/90/91/06/1070909106.db2.gz ZMCDDCUEJWRQLG-HNNXBMFYSA-N 0 0 428.463 -0.270 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000471517292 1070908943 /nfs/dbraw/zinc/90/89/43/1070908943.db2.gz ZMCDDCUEJWRQLG-OAHLLOKOSA-N 0 0 428.463 -0.270 20 0 IBADRN CC(C)OCC[C@H](O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000471523721 1070909556 /nfs/dbraw/zinc/90/95/56/1070909556.db2.gz VMPJKJDZMOCJRG-HNNXBMFYSA-N 0 0 435.568 -0.184 20 0 IBADRN CC(C)OCC[C@@H](O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000471523722 1070909824 /nfs/dbraw/zinc/90/98/24/1070909824.db2.gz VMPJKJDZMOCJRG-OAHLLOKOSA-N 0 0 435.568 -0.184 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(OC)cc1OC ZINC000471528568 1070909764 /nfs/dbraw/zinc/90/97/64/1070909764.db2.gz FNMAVDMTZYWTEZ-HNNXBMFYSA-N 0 0 445.432 -0.519 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(OC)cc1OC ZINC000471528576 1070909749 /nfs/dbraw/zinc/90/97/49/1070909749.db2.gz FNMAVDMTZYWTEZ-OAHLLOKOSA-N 0 0 445.432 -0.519 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)cc1OC ZINC000471549594 1070919353 /nfs/dbraw/zinc/91/93/53/1070919353.db2.gz FBJVDJILOXLZDH-HNNXBMFYSA-N 0 0 445.432 -0.519 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)cc1OC ZINC000471549595 1070919405 /nfs/dbraw/zinc/91/94/05/1070919405.db2.gz FBJVDJILOXLZDH-OAHLLOKOSA-N 0 0 445.432 -0.519 20 0 IBADRN COC(=O)CCCCCS(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000471603537 1070939653 /nfs/dbraw/zinc/93/96/53/1070939653.db2.gz TXSMKKXCAOVXKH-UHFFFAOYSA-N 0 0 441.572 -0.319 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(c4ncnc5c4cnn5C)CC3)OCC(=O)N2C)cn1 ZINC000471619111 1070949598 /nfs/dbraw/zinc/94/95/98/1070949598.db2.gz DWOMBYLTYCGQFC-DLBZAZTESA-N 0 0 439.480 -0.656 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)Cn2cnc3c(cnn3C)c2=O)C1=O ZINC000471624490 1070949577 /nfs/dbraw/zinc/94/95/77/1070949577.db2.gz HVPLOCUCCPHBES-GFCCVEGCSA-N 0 0 430.446 -0.100 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)Cn2cnc3c(cnn3C)c2=O)C1=O ZINC000471624491 1070949621 /nfs/dbraw/zinc/94/96/21/1070949621.db2.gz HVPLOCUCCPHBES-LBPRGKRZSA-N 0 0 430.446 -0.100 20 0 IBADRN CC(C)NC(=O)NC1(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCCCC1 ZINC000471630438 1070953887 /nfs/dbraw/zinc/95/38/87/1070953887.db2.gz YRKSEUFKRPJTCM-UHFFFAOYSA-N 0 0 437.541 -0.074 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000471632175 1070955971 /nfs/dbraw/zinc/95/59/71/1070955971.db2.gz DSIAWBRMFSXGQZ-GOSISDBHSA-N 0 0 446.426 -0.187 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000471632176 1070955982 /nfs/dbraw/zinc/95/59/82/1070955982.db2.gz DSIAWBRMFSXGQZ-SFHVURJKSA-N 0 0 446.426 -0.187 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN([C@H](C(N)=O)c4ccccc4)CC3)OCC(=O)N2C)cn1 ZINC000471633261 1070956125 /nfs/dbraw/zinc/95/61/25/1070956125.db2.gz JFALIIJQBBMGGO-SLFFLAALSA-N 0 0 440.504 -0.311 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN([C@@H](C(N)=O)c4ccccc4)CC3)OCC(=O)N2C)cn1 ZINC000471633265 1070956020 /nfs/dbraw/zinc/95/60/20/1070956020.db2.gz JFALIIJQBBMGGO-XUVXKRRUSA-N 0 0 440.504 -0.311 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CC2)no1 ZINC000471638445 1074338383 /nfs/dbraw/zinc/33/83/83/1074338383.db2.gz JKZAIXNROSULRE-RBUKOAKNSA-N 0 0 445.480 -0.602 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000471642052 1070966101 /nfs/dbraw/zinc/96/61/01/1070966101.db2.gz IUTAPNHZHWXAPQ-GOSISDBHSA-N 0 0 448.442 -0.475 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000471642082 1070967586 /nfs/dbraw/zinc/96/75/86/1070967586.db2.gz IUTAPNHZHWXAPQ-SFHVURJKSA-N 0 0 448.442 -0.475 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)s1 ZINC000471644547 1070972104 /nfs/dbraw/zinc/97/21/04/1070972104.db2.gz VUBQOPFQOVXEHH-LSDHHAIUSA-N 0 0 427.508 -0.396 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(CC(=O)N4CCCCC4)CC3)OCC(=O)N2C)cn1 ZINC000471648236 1070974980 /nfs/dbraw/zinc/97/49/80/1070974980.db2.gz UBMIBNOFTQFOGC-VQTJNVASSA-N 0 0 432.525 -0.525 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCCN3CCN(c4ncccn4)CC3)OCC(=O)N2C)cn1 ZINC000471659826 1070977918 /nfs/dbraw/zinc/97/79/18/1070977918.db2.gz UARJYEFGLCSNGZ-RBUKOAKNSA-N 0 0 442.524 -0.563 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1)NC1CCCCC1 ZINC000471669288 1070981392 /nfs/dbraw/zinc/98/13/92/1070981392.db2.gz VRKNTNNOTGUJPG-UHFFFAOYSA-N 0 0 446.552 -0.051 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cnn(CC(=O)NC3CCCCC3)c2)CC1 ZINC000471680397 1070992129 /nfs/dbraw/zinc/99/21/29/1070992129.db2.gz QIYSLMROPBUXQO-UHFFFAOYSA-N 0 0 434.541 -0.148 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CC2)n2ncnc2n1 ZINC000471694217 1070995775 /nfs/dbraw/zinc/99/57/75/1070995775.db2.gz SRGUYRZZPNZKNH-ZWKOTPCHSA-N 0 0 439.480 -0.587 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCN(CC(F)(F)F)C3)CC2)CC1 ZINC000471698499 1070995792 /nfs/dbraw/zinc/99/57/92/1070995792.db2.gz QLJWCTDZONZIRU-AWEZNQCLSA-N 0 0 427.493 -0.493 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCN(CC(F)(F)F)C3)CC2)CC1 ZINC000471698500 1070995895 /nfs/dbraw/zinc/99/58/95/1070995895.db2.gz QLJWCTDZONZIRU-CQSZACIVSA-N 0 0 427.493 -0.493 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCc3nnnn3CC3CC3)cc2)CC1 ZINC000471703064 1070995862 /nfs/dbraw/zinc/99/58/62/1070995862.db2.gz YPBFWRVBQNYPSD-UHFFFAOYSA-N 0 0 447.521 -0.134 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)c1cncc(C(=O)NCc2nnnn2CC2CC2)c1 ZINC000471704067 1070996474 /nfs/dbraw/zinc/99/64/74/1070996474.db2.gz BWFVKWZBFZSXGR-UHFFFAOYSA-N 0 0 437.468 -0.265 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCc3nnnn3CC3CC3)c2)CC1 ZINC000471713445 1070998129 /nfs/dbraw/zinc/99/81/29/1070998129.db2.gz NHWRPEBGKIQMHD-UHFFFAOYSA-N 0 0 447.521 -0.134 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000471717473 1070998030 /nfs/dbraw/zinc/99/80/30/1070998030.db2.gz RAAKYFVWCKCAPD-UHFFFAOYSA-N 0 0 445.563 -0.355 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)c1ccc(C(=O)NCc2nnnn2CC2CC2)nc1 ZINC000471717613 1070998090 /nfs/dbraw/zinc/99/80/90/1070998090.db2.gz RQLQGGCUFHFHJZ-UHFFFAOYSA-N 0 0 437.468 -0.265 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000471718922 1070998109 /nfs/dbraw/zinc/99/81/09/1070998109.db2.gz WAJUSTBRPSMLLC-UHFFFAOYSA-N 0 0 449.526 -0.606 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000471719244 1070998861 /nfs/dbraw/zinc/99/88/61/1070998861.db2.gz ZKJGIQMZHHZSHH-ORYQWCPZSA-N 0 0 446.552 -0.136 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000471719245 1070998704 /nfs/dbraw/zinc/99/87/04/1070998704.db2.gz ZKJGIQMZHHZSHH-TYCQWZJGSA-N 0 0 446.552 -0.136 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000471733609 1071000368 /nfs/dbraw/zinc/00/03/68/1071000368.db2.gz CREATKNRTDKITI-GXTWGEPZSA-N 0 0 428.463 -0.085 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000471733610 1071000087 /nfs/dbraw/zinc/00/00/87/1071000087.db2.gz CREATKNRTDKITI-JSGCOSHPSA-N 0 0 428.463 -0.085 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000471738338 1071001975 /nfs/dbraw/zinc/00/19/75/1071001975.db2.gz REYMQOUYIGFTKW-AWEZNQCLSA-N 0 0 428.463 -0.083 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000471738343 1071001850 /nfs/dbraw/zinc/00/18/50/1071001850.db2.gz REYMQOUYIGFTKW-CQSZACIVSA-N 0 0 428.463 -0.083 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000471746122 1071002517 /nfs/dbraw/zinc/00/25/17/1071002517.db2.gz BQIWCPCMCBSIDS-DLBZAZTESA-N 0 0 427.527 -0.361 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000471746233 1071002306 /nfs/dbraw/zinc/00/23/06/1071002306.db2.gz JKPMFVVNQQKYGA-ABAIWWIYSA-N 0 0 440.444 -0.350 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000471746569 1071002551 /nfs/dbraw/zinc/00/25/51/1071002551.db2.gz JKPMFVVNQQKYGA-IAQYHMDHSA-N 0 0 440.444 -0.350 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000471746571 1071002326 /nfs/dbraw/zinc/00/23/26/1071002326.db2.gz JKPMFVVNQQKYGA-NHYWBVRUSA-N 0 0 440.444 -0.350 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000471746572 1071002286 /nfs/dbraw/zinc/00/22/86/1071002286.db2.gz JKPMFVVNQQKYGA-XHDPSFHLSA-N 0 0 440.444 -0.350 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(Cc4ccc(C(N)=O)cc4)CC3)OCC(=O)N2C)cn1 ZINC000471747354 1071002480 /nfs/dbraw/zinc/00/24/80/1071002480.db2.gz FSOOPHXVCDWYEJ-VQTJNVASSA-N 0 0 440.504 -0.238 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000471750433 1071002401 /nfs/dbraw/zinc/00/24/01/1071002401.db2.gz VMRYLCOUUQSAEG-GOSISDBHSA-N 0 0 444.410 -0.433 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000471750434 1071002534 /nfs/dbraw/zinc/00/25/34/1071002534.db2.gz VMRYLCOUUQSAEG-SFHVURJKSA-N 0 0 444.410 -0.433 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@@](C)(O)Cn2c(C)cccc2=O)c1 ZINC000471752508 1071002462 /nfs/dbraw/zinc/00/24/62/1071002462.db2.gz ZHOLOXARTDXUST-IBGZPJMESA-N 0 0 437.474 -0.002 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@](C)(O)Cn2c(C)cccc2=O)c1 ZINC000471752509 1071002269 /nfs/dbraw/zinc/00/22/69/1071002269.db2.gz ZHOLOXARTDXUST-LJQANCHMSA-N 0 0 437.474 -0.002 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000471755247 1071002424 /nfs/dbraw/zinc/00/24/24/1071002424.db2.gz MHHIXQOEYHZMGO-FQEVSTJZSA-N 0 0 434.518 -0.096 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000471755248 1071002249 /nfs/dbraw/zinc/00/22/49/1071002249.db2.gz MHHIXQOEYHZMGO-HXUWFJFHSA-N 0 0 434.518 -0.096 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000471756998 1071002380 /nfs/dbraw/zinc/00/23/80/1071002380.db2.gz IZXCDRBQCGMJOL-UHFFFAOYSA-N 0 0 439.519 -0.126 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000471757223 1071002181 /nfs/dbraw/zinc/00/21/81/1071002181.db2.gz QSSROLWTEPDRSL-UHFFFAOYSA-N 0 0 445.501 -0.166 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000471761098 1071002622 /nfs/dbraw/zinc/00/26/22/1071002622.db2.gz SOAJVTDZNVZWHY-FQEVSTJZSA-N 0 0 448.501 -0.333 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000471761105 1071002870 /nfs/dbraw/zinc/00/28/70/1071002870.db2.gz SOAJVTDZNVZWHY-HXUWFJFHSA-N 0 0 448.501 -0.333 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1N(C)C ZINC000471779463 1071004364 /nfs/dbraw/zinc/00/43/64/1071004364.db2.gz IGRBGNONYCMPTG-UHFFFAOYSA-N 0 0 432.568 -0.056 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000471779621 1071004704 /nfs/dbraw/zinc/00/47/04/1071004704.db2.gz JQMMACMAKWQBSI-UHFFFAOYSA-N 0 0 449.526 -0.606 20 0 IBADRN CS(=O)(=O)NCCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000471781094 1071004549 /nfs/dbraw/zinc/00/45/49/1071004549.db2.gz OCYSNHCXERVZJF-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000471781397 1071004912 /nfs/dbraw/zinc/00/49/12/1071004912.db2.gz PRIAYGUGXKSDHA-UHFFFAOYSA-N 0 0 445.563 -0.355 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000471788172 1071005471 /nfs/dbraw/zinc/00/54/71/1071005471.db2.gz BAJCGGOWVDYLGX-JOCHJYFZSA-N 0 0 426.473 -0.204 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000471788173 1071005377 /nfs/dbraw/zinc/00/53/77/1071005377.db2.gz BAJCGGOWVDYLGX-QFIPXVFZSA-N 0 0 426.473 -0.204 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000471790581 1071005760 /nfs/dbraw/zinc/00/57/60/1071005760.db2.gz VYWSRDKDQKTUJN-AWEZNQCLSA-N 0 0 436.577 -0.026 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000471790582 1071006231 /nfs/dbraw/zinc/00/62/31/1071006231.db2.gz VYWSRDKDQKTUJN-CQSZACIVSA-N 0 0 436.577 -0.026 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)Nc3ccc4c(c3)N(S(N)(=O)=O)CC4)OCC(=O)N2C)cn1 ZINC000471794258 1071006988 /nfs/dbraw/zinc/00/69/88/1071006988.db2.gz SOBABAOXTOIEAQ-DLBZAZTESA-N 0 0 434.478 -0.477 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCN3CCN(c4ncccn4)CC3)OCC(=O)N2C)cn1 ZINC000471796529 1071006660 /nfs/dbraw/zinc/00/66/60/1071006660.db2.gz FPICGRSPKRCAPA-ZWKOTPCHSA-N 0 0 428.497 -0.953 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1)NC1CCCCC1 ZINC000471798097 1071007531 /nfs/dbraw/zinc/00/75/31/1071007531.db2.gz KEZWJTWPYGFIFR-UHFFFAOYSA-N 0 0 430.509 -0.105 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)c2cnn(CC(=O)NC3CCCCC3)c2)C1 ZINC000471808745 1071007647 /nfs/dbraw/zinc/00/76/47/1071007647.db2.gz IBAIFBUVXJFJAZ-AWEZNQCLSA-N 0 0 426.543 -0.023 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)c2cnn(CC(=O)NC3CCCCC3)c2)C1 ZINC000471808746 1071008332 /nfs/dbraw/zinc/00/83/32/1071008332.db2.gz IBAIFBUVXJFJAZ-CQSZACIVSA-N 0 0 426.543 -0.023 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cn1 ZINC000471809270 1071007881 /nfs/dbraw/zinc/00/78/81/1071007881.db2.gz HQMVMKBATSHJQI-AWEZNQCLSA-N 0 0 434.540 -0.450 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cn1 ZINC000471809271 1071007730 /nfs/dbraw/zinc/00/77/30/1071007730.db2.gz HQMVMKBATSHJQI-CQSZACIVSA-N 0 0 434.540 -0.450 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CNC(=O)NCCNC(=O)c2cnccn2)n1 ZINC000471831096 1071008860 /nfs/dbraw/zinc/00/88/60/1071008860.db2.gz FZHNOIZJFYUQHH-KBPBESRZSA-N 0 0 431.476 -0.021 20 0 IBADRN CCNC(=O)N1CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000471869699 1071014440 /nfs/dbraw/zinc/01/44/40/1071014440.db2.gz IAFQRGUQPBTUGH-AWEZNQCLSA-N 0 0 446.551 -0.210 20 0 IBADRN CCNC(=O)N1CC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000471869700 1071014705 /nfs/dbraw/zinc/01/47/05/1071014705.db2.gz IAFQRGUQPBTUGH-CQSZACIVSA-N 0 0 446.551 -0.210 20 0 IBADRN O=C(Cn1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cn1)NC1CCCCC1 ZINC000471872322 1071015291 /nfs/dbraw/zinc/01/52/91/1071015291.db2.gz PIOFFNOXSMWVJW-UHFFFAOYSA-N 0 0 429.485 -0.697 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000471877069 1071017257 /nfs/dbraw/zinc/01/72/57/1071017257.db2.gz PBJNQINNVDWUSB-UHFFFAOYSA-N 0 0 444.579 -0.088 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CC1 ZINC000471884631 1071020141 /nfs/dbraw/zinc/02/01/41/1071020141.db2.gz NGKDFYGMTJABBO-UHFFFAOYSA-N 0 0 436.531 -0.018 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000471886482 1071020386 /nfs/dbraw/zinc/02/03/86/1071020386.db2.gz SMTLFLSMXIMYPG-HNNXBMFYSA-N 0 0 425.429 -0.535 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000471886483 1071020101 /nfs/dbraw/zinc/02/01/01/1071020101.db2.gz SMTLFLSMXIMYPG-OAHLLOKOSA-N 0 0 425.429 -0.535 20 0 IBADRN C[C@H](NC(=O)c1cnn(CC(=O)NC2CCCCC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000471890748 1071021017 /nfs/dbraw/zinc/02/10/17/1071021017.db2.gz ZNMUMDJGKNVOPO-AWEZNQCLSA-N 0 0 439.538 -0.293 20 0 IBADRN C[C@@H](NC(=O)c1cnn(CC(=O)NC2CCCCC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000471890749 1071021333 /nfs/dbraw/zinc/02/13/33/1071021333.db2.gz ZNMUMDJGKNVOPO-CQSZACIVSA-N 0 0 439.538 -0.293 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000471892550 1071021615 /nfs/dbraw/zinc/02/16/15/1071021615.db2.gz JLPUSBKKMHLGLS-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000471893792 1071021723 /nfs/dbraw/zinc/02/17/23/1071021723.db2.gz VDGYKJDZGDFQAU-UHFFFAOYSA-N 0 0 432.568 -0.279 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000471894449 1071021783 /nfs/dbraw/zinc/02/17/83/1071021783.db2.gz YFRSWCPAUZEQIB-UHFFFAOYSA-N 0 0 444.579 -0.088 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000471895737 1071022348 /nfs/dbraw/zinc/02/23/48/1071022348.db2.gz MRPGAKWKLQGNSS-UHFFFAOYSA-N 0 0 432.568 -0.279 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CCO2 ZINC000471923538 1071027769 /nfs/dbraw/zinc/02/77/69/1071027769.db2.gz JGNWOBZMCPZSCZ-CRAIPNDOSA-N 0 0 432.543 -0.383 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CCO2 ZINC000471923540 1071027603 /nfs/dbraw/zinc/02/76/03/1071027603.db2.gz JGNWOBZMCPZSCZ-MAUKXSAKSA-N 0 0 432.543 -0.383 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CCO2 ZINC000471923543 1071028249 /nfs/dbraw/zinc/02/82/49/1071028249.db2.gz JGNWOBZMCPZSCZ-QAPCUYQASA-N 0 0 432.543 -0.383 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CCO2 ZINC000471923545 1071028234 /nfs/dbraw/zinc/02/82/34/1071028234.db2.gz JGNWOBZMCPZSCZ-YJBOKZPZSA-N 0 0 432.543 -0.383 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@@H]2C[C@H](F)CN2Cc2cnn(C)c2)CC1 ZINC000471926684 1071028721 /nfs/dbraw/zinc/02/87/21/1071028721.db2.gz GTSCWAHDTLYDOR-ROUUACIJSA-N 0 0 437.520 -0.288 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCC(=O)N3CCN(c4ccccc4)CC3)OCC(=O)N2C)cn1 ZINC000471926996 1071028804 /nfs/dbraw/zinc/02/88/04/1071028804.db2.gz KHLSTEVPVMKQNV-LEWJYISDSA-N 0 0 440.504 -0.217 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)c[nH]1 ZINC000471939091 1071030887 /nfs/dbraw/zinc/03/08/87/1071030887.db2.gz BNIKDWZAUHLMQK-UHFFFAOYSA-N 0 0 435.462 -0.918 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(c4ncccc4C(N)=O)CC3)OCC(=O)N2C)cn1 ZINC000471940525 1071031331 /nfs/dbraw/zinc/03/13/31/1071031331.db2.gz OTMCNUSTYIGNGV-DLBZAZTESA-N 0 0 427.465 -0.839 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN3C(=O)NC[C@H]3C2)c1 ZINC000471943798 1071031906 /nfs/dbraw/zinc/03/19/06/1071031906.db2.gz OKWFSUIRXORTJC-HNNXBMFYSA-N 0 0 437.522 -0.317 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN3C(=O)NC[C@@H]3C2)c1 ZINC000471943799 1071031999 /nfs/dbraw/zinc/03/19/99/1071031999.db2.gz OKWFSUIRXORTJC-OAHLLOKOSA-N 0 0 437.522 -0.317 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCC(=O)NC(N)=O)c1 ZINC000471944196 1071032059 /nfs/dbraw/zinc/03/20/59/1071032059.db2.gz QUSFAEWZOWVFSE-UHFFFAOYSA-N 0 0 427.483 -0.852 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CC2)cn1 ZINC000471957714 1071033189 /nfs/dbraw/zinc/03/31/89/1071033189.db2.gz VZPBOAIBOGHRET-RBUKOAKNSA-N 0 0 443.508 -0.280 20 0 IBADRN C[C@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)NCC(=O)NCCN1CCOCC1 ZINC000471961920 1071033911 /nfs/dbraw/zinc/03/39/11/1071033911.db2.gz XXRURHFSFVLVIY-JOCHJYFZSA-N 0 0 443.504 -0.450 20 0 IBADRN C[C@@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)NCC(=O)NCCN1CCOCC1 ZINC000471961921 1071033932 /nfs/dbraw/zinc/03/39/32/1071033932.db2.gz XXRURHFSFVLVIY-QFIPXVFZSA-N 0 0 443.504 -0.450 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@]2(C(=O)OC)CCOC2)c1 ZINC000471981015 1071042379 /nfs/dbraw/zinc/04/23/79/1071042379.db2.gz UMGLAHCCTHPUIE-IBGZPJMESA-N 0 0 441.506 -0.105 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@]2(C(=O)OC)CCOC2)c1 ZINC000471981016 1071042318 /nfs/dbraw/zinc/04/23/18/1071042318.db2.gz UMGLAHCCTHPUIE-LJQANCHMSA-N 0 0 441.506 -0.105 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)OCC(=O)N2C)cn1 ZINC000471999003 1071048709 /nfs/dbraw/zinc/04/87/09/1071048709.db2.gz QNGHOZVVKBCPQY-CSODHUTKSA-N 0 0 440.460 -0.311 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCc3ccc([C@]4(C)NC(=O)NC4=O)cc3)OCC(=O)N2C)cn1 ZINC000471999005 1071048685 /nfs/dbraw/zinc/04/86/85/1071048685.db2.gz QNGHOZVVKBCPQY-FVJLSDCUSA-N 0 0 440.460 -0.311 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)C1 ZINC000472009526 1071050352 /nfs/dbraw/zinc/05/03/52/1071050352.db2.gz BLLQCKQJLJUQFX-MDASCCDHSA-N 0 0 449.508 -0.422 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)C1 ZINC000472009527 1071050344 /nfs/dbraw/zinc/05/03/44/1071050344.db2.gz BLLQCKQJLJUQFX-ZOCIIQOWSA-N 0 0 449.508 -0.422 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(CC(=O)NC4CCCCC4)CC3)OCC(=O)N2C)cn1 ZINC000472017180 1071050720 /nfs/dbraw/zinc/05/07/20/1071050720.db2.gz JGMQEQRVYYPDFS-LEWJYISDSA-N 0 0 446.552 -0.089 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000472017507 1071050776 /nfs/dbraw/zinc/05/07/76/1071050776.db2.gz PNLHNOIFLIHKPX-RYGJVYDSSA-N 0 0 446.552 -0.090 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000472017511 1071050888 /nfs/dbraw/zinc/05/08/88/1071050888.db2.gz PNLHNOIFLIHKPX-UIAACRFSSA-N 0 0 446.552 -0.090 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000472021346 1071050701 /nfs/dbraw/zinc/05/07/01/1071050701.db2.gz KHNKQPPDUCVMII-NRFANRHFSA-N 0 0 428.493 -0.447 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000472021347 1071050766 /nfs/dbraw/zinc/05/07/66/1071050766.db2.gz KHNKQPPDUCVMII-OAQYLSRUSA-N 0 0 428.493 -0.447 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@](C)(O)Cn1cc(C(F)(F)F)ccc1=O ZINC000472022103 1071051354 /nfs/dbraw/zinc/05/13/54/1071051354.db2.gz OFIZYIQSFRURHU-PXAZEXFGSA-N 0 0 432.399 -0.529 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@](C)(O)Cn1cc(C(F)(F)F)ccc1=O ZINC000472022115 1071051247 /nfs/dbraw/zinc/05/12/47/1071051247.db2.gz OFIZYIQSFRURHU-SJCJKPOMSA-N 0 0 432.399 -0.529 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@](C)(O)Cn1cc(C(F)(F)F)ccc1=O ZINC000472022116 1071051403 /nfs/dbraw/zinc/05/14/03/1071051403.db2.gz OFIZYIQSFRURHU-SJKOYZFVSA-N 0 0 432.399 -0.529 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@](C)(O)Cn1cc(C(F)(F)F)ccc1=O ZINC000472022117 1071051259 /nfs/dbraw/zinc/05/12/59/1071051259.db2.gz OFIZYIQSFRURHU-YVEFUNNKSA-N 0 0 432.399 -0.529 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@](C)(O)Cn2c(C)cccc2=O)c1 ZINC000472025257 1071051187 /nfs/dbraw/zinc/05/11/87/1071051187.db2.gz NBVRRXSPYPBRLY-IBGZPJMESA-N 0 0 436.490 -0.429 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@](C)(O)Cn2c(C)cccc2=O)c1 ZINC000472025259 1071051366 /nfs/dbraw/zinc/05/13/66/1071051366.db2.gz NBVRRXSPYPBRLY-LJQANCHMSA-N 0 0 436.490 -0.429 20 0 IBADRN Cn1nnnc1N1CCC(NC(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000472027436 1071051885 /nfs/dbraw/zinc/05/18/85/1071051885.db2.gz MUWLQQXEZCTENH-INIZCTEOSA-N 0 0 429.403 -0.073 20 0 IBADRN Cn1nnnc1N1CCC(NC(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000472027437 1071052229 /nfs/dbraw/zinc/05/22/29/1071052229.db2.gz MUWLQQXEZCTENH-MRXNPFEDSA-N 0 0 429.403 -0.073 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000472030462 1071053072 /nfs/dbraw/zinc/05/30/72/1071053072.db2.gz VQPODINRMMRBCH-RBUKOAKNSA-N 0 0 449.508 -0.422 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@]21C ZINC000472031214 1071052587 /nfs/dbraw/zinc/05/25/87/1071052587.db2.gz AKSJHCWMIQASRO-BTYIYWSLSA-N 0 0 428.445 -0.125 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C ZINC000472031215 1071052567 /nfs/dbraw/zinc/05/25/67/1071052567.db2.gz AKSJHCWMIQASRO-QVKFZJNVSA-N 0 0 428.445 -0.125 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@]21C ZINC000472031216 1071052621 /nfs/dbraw/zinc/05/26/21/1071052621.db2.gz AKSJHCWMIQASRO-VFNWGFHPSA-N 0 0 428.445 -0.125 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C ZINC000472031217 1071052544 /nfs/dbraw/zinc/05/25/44/1071052544.db2.gz AKSJHCWMIQASRO-YCRPNKLZSA-N 0 0 428.445 -0.125 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000472035406 1071053107 /nfs/dbraw/zinc/05/31/07/1071053107.db2.gz QFIZAEUOGMCNPN-AWEZNQCLSA-N 0 0 428.511 -0.255 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000472035407 1071053503 /nfs/dbraw/zinc/05/35/03/1071053503.db2.gz QFIZAEUOGMCNPN-CQSZACIVSA-N 0 0 428.511 -0.255 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000472038471 1071053454 /nfs/dbraw/zinc/05/34/54/1071053454.db2.gz OJLYPPRBWRMREU-GXTWGEPZSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000472038472 1071053687 /nfs/dbraw/zinc/05/36/87/1071053687.db2.gz OJLYPPRBWRMREU-JSGCOSHPSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000472038474 1071053638 /nfs/dbraw/zinc/05/36/38/1071053638.db2.gz OJLYPPRBWRMREU-OCCSQVGLSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000472038475 1071053532 /nfs/dbraw/zinc/05/35/32/1071053532.db2.gz OJLYPPRBWRMREU-TZMCWYRMSA-N 0 0 429.543 -0.200 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC000472044888 1071054281 /nfs/dbraw/zinc/05/42/81/1071054281.db2.gz PJOSFOGOWQCRAG-HOCLYGCPSA-N 0 0 443.505 -0.261 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000472045480 1071054337 /nfs/dbraw/zinc/05/43/37/1071054337.db2.gz SZDGXJQAJHMMFM-GFCCVEGCSA-N 0 0 434.478 -0.193 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000472045484 1071054290 /nfs/dbraw/zinc/05/42/90/1071054290.db2.gz SZDGXJQAJHMMFM-LBPRGKRZSA-N 0 0 434.478 -0.193 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000472047543 1071054195 /nfs/dbraw/zinc/05/41/95/1071054195.db2.gz KTYLERGFGYPSFN-GFCCVEGCSA-N 0 0 440.478 -0.662 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000472047544 1071054267 /nfs/dbraw/zinc/05/42/67/1071054267.db2.gz KTYLERGFGYPSFN-LBPRGKRZSA-N 0 0 440.478 -0.662 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NC[C@@H]2C[C@H](F)CN2c2ccnc(N(C)C)n2)nn1 ZINC000472065756 1071056926 /nfs/dbraw/zinc/05/69/26/1071056926.db2.gz NZCZARPKCFGEGG-JSGCOSHPSA-N 0 0 435.464 -0.277 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)nn1 ZINC000472066153 1071057415 /nfs/dbraw/zinc/05/74/15/1071057415.db2.gz QFJZJJNYQBUZLQ-UHFFFAOYSA-N 0 0 443.511 -0.568 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000472084139 1071059343 /nfs/dbraw/zinc/05/93/43/1071059343.db2.gz HOHIEOAQSISXED-GOSISDBHSA-N 0 0 446.426 -0.185 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000472084160 1071059360 /nfs/dbraw/zinc/05/93/60/1071059360.db2.gz HOHIEOAQSISXED-SFHVURJKSA-N 0 0 446.426 -0.185 20 0 IBADRN CCCN1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1=O ZINC000472087317 1071059709 /nfs/dbraw/zinc/05/97/09/1071059709.db2.gz RLSUMJZRMKIPCC-UHFFFAOYSA-N 0 0 431.559 -0.318 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCC(CC(=O)N4CCN(C)CC4)CC3)OCC(=O)N2C)cn1 ZINC000472089916 1071059723 /nfs/dbraw/zinc/05/97/23/1071059723.db2.gz XRVRNYDXISWZCT-LEWJYISDSA-N 0 0 446.552 -0.279 20 0 IBADRN CCOc1ccnc(N2CCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CC2)n1 ZINC000472091334 1071060113 /nfs/dbraw/zinc/06/01/13/1071060113.db2.gz XXRJLXVPOUVJLC-ZWKOTPCHSA-N 0 0 429.481 -0.144 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000472091473 1071059768 /nfs/dbraw/zinc/05/97/68/1071059768.db2.gz ADRLTUXWYWEKJP-HSZRJFAPSA-N 0 0 447.580 -0.395 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000472091474 1071059931 /nfs/dbraw/zinc/05/99/31/1071059931.db2.gz ADRLTUXWYWEKJP-QHCPKHFHSA-N 0 0 447.580 -0.395 20 0 IBADRN CC(C)NC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000472091621 1071060097 /nfs/dbraw/zinc/06/00/97/1071060097.db2.gz CIQIWDJFKVZDOS-CVEARBPZSA-N 0 0 443.570 -0.984 20 0 IBADRN CC(C)NC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000472091622 1071059986 /nfs/dbraw/zinc/05/99/86/1071059986.db2.gz CIQIWDJFKVZDOS-HOTGVXAUSA-N 0 0 443.570 -0.984 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)CC1 ZINC000472094407 1071059796 /nfs/dbraw/zinc/05/97/96/1071059796.db2.gz FCZICDCELGPLQL-UHFFFAOYSA-N 0 0 435.499 -0.412 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000472096040 1071060761 /nfs/dbraw/zinc/06/07/61/1071060761.db2.gz TZROFUNLEWZYDG-KRWDZBQOSA-N 0 0 434.415 -0.817 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000472096043 1071060505 /nfs/dbraw/zinc/06/05/05/1071060505.db2.gz TZROFUNLEWZYDG-QGZVFWFLSA-N 0 0 434.415 -0.817 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000472098637 1071060854 /nfs/dbraw/zinc/06/08/54/1071060854.db2.gz VNQKEGWFEHZTPA-UHFFFAOYSA-N 0 0 431.536 -0.161 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N(CCN2CCOCC2)CC(=O)OC)c1 ZINC000472106069 1071061924 /nfs/dbraw/zinc/06/19/24/1071061924.db2.gz MXEVXCDEQJRDDY-UHFFFAOYSA-N 0 0 434.536 -0.024 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(S(C)(=O)=O)c(F)c1 ZINC000472107044 1071061883 /nfs/dbraw/zinc/06/18/83/1071061883.db2.gz YNJGBAIVGJEDAC-UHFFFAOYSA-N 0 0 438.499 -0.275 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)cc1F ZINC000472107126 1071061647 /nfs/dbraw/zinc/06/16/47/1071061647.db2.gz ZJCQJDKPZVUONX-UHFFFAOYSA-N 0 0 446.569 -0.114 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CNC(=O)NC[C@H]2CS(=O)(=O)CCO2)n1 ZINC000472108128 1071061848 /nfs/dbraw/zinc/06/18/48/1071061848.db2.gz LLUMHPHAIQRLLV-IHRRRGAJSA-N 0 0 430.506 -0.428 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CNC(=O)NC[C@@H]2CS(=O)(=O)CCO2)n1 ZINC000472108129 1071062405 /nfs/dbraw/zinc/06/24/05/1071062405.db2.gz LLUMHPHAIQRLLV-MELADBBJSA-N 0 0 430.506 -0.428 20 0 IBADRN O=C1CNC(=O)N1CCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000472116908 1071062235 /nfs/dbraw/zinc/06/22/35/1071062235.db2.gz CGXFROUSIJATLR-UHFFFAOYSA-N 0 0 430.508 -0.309 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000472117633 1071062285 /nfs/dbraw/zinc/06/22/85/1071062285.db2.gz KZBLTDJGQRAUOB-UHFFFAOYSA-N 0 0 447.535 -0.100 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000472124190 1071062873 /nfs/dbraw/zinc/06/28/73/1071062873.db2.gz LXQDHBBDBYGVER-UHFFFAOYSA-N 0 0 435.477 -0.136 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NC[C@@H]2C[C@H](F)CN2Cc2noc(C)n2)C1=O ZINC000472135227 1071063571 /nfs/dbraw/zinc/06/35/71/1071063571.db2.gz WHEKPZCTCITKLE-IHRRRGAJSA-N 0 0 426.449 -0.246 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NC[C@@H]2C[C@H](F)CN2Cc2noc(C)n2)C1=O ZINC000472135228 1071063483 /nfs/dbraw/zinc/06/34/83/1071063483.db2.gz WHEKPZCTCITKLE-MELADBBJSA-N 0 0 426.449 -0.246 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCN(C(C)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000472136518 1071063712 /nfs/dbraw/zinc/06/37/12/1071063712.db2.gz MVYMHSTWBLDJKF-INIZCTEOSA-N 0 0 425.507 -0.004 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CCN(C(C)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000472136519 1071063691 /nfs/dbraw/zinc/06/36/91/1071063691.db2.gz MVYMHSTWBLDJKF-MRXNPFEDSA-N 0 0 425.507 -0.004 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CN(C)C(=O)NC[C@H]2CS(=O)(=O)CCO2)n1 ZINC000472142036 1071065066 /nfs/dbraw/zinc/06/50/66/1071065066.db2.gz KKADIVQQHVSSAZ-KKUMJFAQSA-N 0 0 444.533 -0.086 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CN(C)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)n1 ZINC000472142037 1071065153 /nfs/dbraw/zinc/06/51/53/1071065153.db2.gz KKADIVQQHVSSAZ-SOUVJXGZSA-N 0 0 444.533 -0.086 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000472146204 1071066221 /nfs/dbraw/zinc/06/62/21/1071066221.db2.gz SWILCOUXZOLSPS-RBUKOAKNSA-N 0 0 431.497 -0.395 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000472147921 1071066579 /nfs/dbraw/zinc/06/65/79/1071066579.db2.gz SNTWLJRBGBPWJH-VQTJNVASSA-N 0 0 434.541 -0.279 20 0 IBADRN O=C(Cn1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cn1)NC1CCCCC1 ZINC000472149074 1071066706 /nfs/dbraw/zinc/06/67/06/1071066706.db2.gz BOOPLZLRVGUXDG-IBGZPJMESA-N 0 0 446.552 -0.005 20 0 IBADRN O=C(Cn1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cn1)NC1CCCCC1 ZINC000472149075 1071066812 /nfs/dbraw/zinc/06/68/12/1071066812.db2.gz BOOPLZLRVGUXDG-LJQANCHMSA-N 0 0 446.552 -0.005 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)C1 ZINC000472150200 1071066194 /nfs/dbraw/zinc/06/61/94/1071066194.db2.gz ARCCKANHNQCJGH-AWEZNQCLSA-N 0 0 427.527 -0.201 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)C1 ZINC000472150207 1071066165 /nfs/dbraw/zinc/06/61/65/1071066165.db2.gz ARCCKANHNQCJGH-CQSZACIVSA-N 0 0 427.527 -0.201 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCN(CC(F)(F)F)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000472151597 1071066735 /nfs/dbraw/zinc/06/67/35/1071066735.db2.gz DSCCMCCVWJTPRM-KBPBESRZSA-N 0 0 440.488 -0.682 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCN(CC(F)(F)F)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000472151613 1071067352 /nfs/dbraw/zinc/06/73/52/1071067352.db2.gz DSCCMCCVWJTPRM-KGLIPLIRSA-N 0 0 440.488 -0.682 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCN(CC(F)(F)F)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000472151614 1071067231 /nfs/dbraw/zinc/06/72/31/1071067231.db2.gz DSCCMCCVWJTPRM-UONOGXRCSA-N 0 0 440.488 -0.682 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCN(CC(F)(F)F)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000472151616 1071067202 /nfs/dbraw/zinc/06/72/02/1071067202.db2.gz DSCCMCCVWJTPRM-ZIAGYGMSSA-N 0 0 440.488 -0.682 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(c4ccc5nncn5n4)CC3)OCC(=O)N2C)cn1 ZINC000472152100 1071067399 /nfs/dbraw/zinc/06/73/99/1071067399.db2.gz FEELHQNBAGSHFN-ZWKOTPCHSA-N 0 0 425.453 -0.895 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000472154034 1071067978 /nfs/dbraw/zinc/06/79/78/1071067978.db2.gz JUFMVPQUSITMSU-FQEVSTJZSA-N 0 0 437.518 -0.163 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000472154038 1071067862 /nfs/dbraw/zinc/06/78/62/1071067862.db2.gz JUFMVPQUSITMSU-HXUWFJFHSA-N 0 0 437.518 -0.163 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3nccnc3cc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000472156423 1071068382 /nfs/dbraw/zinc/06/83/82/1071068382.db2.gz LUYFTRBADNCFCK-CYBMUJFWSA-N 0 0 435.481 -0.170 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3nccnc3cc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000472156428 1071068363 /nfs/dbraw/zinc/06/83/63/1071068363.db2.gz LUYFTRBADNCFCK-ZDUSSCGKSA-N 0 0 435.481 -0.170 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)OCC(=O)N2C)cn1 ZINC000472157820 1071068938 /nfs/dbraw/zinc/06/89/38/1071068938.db2.gz OSSHAEVOAWHBKP-RBUKOAKNSA-N 0 0 432.525 -0.621 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000472158207 1071068790 /nfs/dbraw/zinc/06/87/90/1071068790.db2.gz PBPTYICKTTZLJK-CABCVRRESA-N 0 0 428.493 -0.270 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000472158220 1071069110 /nfs/dbraw/zinc/06/91/10/1071069110.db2.gz PBPTYICKTTZLJK-GJZGRUSLSA-N 0 0 428.493 -0.270 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000472158221 1071069155 /nfs/dbraw/zinc/06/91/55/1071069155.db2.gz PBPTYICKTTZLJK-HUUCEWRRSA-N 0 0 428.493 -0.270 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000472158223 1071069247 /nfs/dbraw/zinc/06/92/47/1071069247.db2.gz PBPTYICKTTZLJK-LSDHHAIUSA-N 0 0 428.493 -0.270 20 0 IBADRN O=C(CN1CCN(C(=O)c2c[nH]nc2-c2ccncc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000472162069 1071069631 /nfs/dbraw/zinc/06/96/31/1071069631.db2.gz XTFWXFLJZLXIMX-HNNXBMFYSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2c[nH]nc2-c2ccncc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000472162072 1071069776 /nfs/dbraw/zinc/06/97/76/1071069776.db2.gz XTFWXFLJZLXIMX-OAHLLOKOSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnn2-c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000472163264 1071069649 /nfs/dbraw/zinc/06/96/49/1071069649.db2.gz XAUXMAVUIXIGBR-INIZCTEOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnn2-c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000472163269 1071069731 /nfs/dbraw/zinc/06/97/31/1071069731.db2.gz XAUXMAVUIXIGBR-MRXNPFEDSA-N 0 0 431.518 -0.067 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCCN(CC(=O)N4CCCC4)CC3)OCC(=O)N2C)cn1 ZINC000472165934 1071070208 /nfs/dbraw/zinc/07/02/08/1071070208.db2.gz SBTFOVASNRCDJN-VQTJNVASSA-N 0 0 432.525 -0.525 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C2CCN(S(N)(=O)=O)CC2)C1=O ZINC000472167404 1071070832 /nfs/dbraw/zinc/07/08/32/1071070832.db2.gz BLAOBXANXCXRNH-GFCCVEGCSA-N 0 0 430.508 -0.328 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C2CCN(S(N)(=O)=O)CC2)C1=O ZINC000472167407 1071070765 /nfs/dbraw/zinc/07/07/65/1071070765.db2.gz BLAOBXANXCXRNH-LBPRGKRZSA-N 0 0 430.508 -0.328 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)CNS(=O)(=O)c2cnn(C)c2)C1=O ZINC000472171200 1071071716 /nfs/dbraw/zinc/07/17/16/1071071716.db2.gz KVGMSWFEWQSDQL-GFCCVEGCSA-N 0 0 441.491 -0.532 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)CNS(=O)(=O)c2cnn(C)c2)C1=O ZINC000472171206 1071071550 /nfs/dbraw/zinc/07/15/50/1071071550.db2.gz KVGMSWFEWQSDQL-LBPRGKRZSA-N 0 0 441.491 -0.532 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000472172258 1071071465 /nfs/dbraw/zinc/07/14/65/1071071465.db2.gz FGDXVDKDGVFNHY-HOCLYGCPSA-N 0 0 433.554 -0.194 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCOC[C@H]2C(=O)OC)c1 ZINC000472174413 1071072108 /nfs/dbraw/zinc/07/21/08/1071072108.db2.gz QJNNPIKTRCOYHA-INIZCTEOSA-N 0 0 441.506 -0.153 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCOC[C@@H]2C(=O)OC)c1 ZINC000472174426 1071072070 /nfs/dbraw/zinc/07/20/70/1071072070.db2.gz QJNNPIKTRCOYHA-MRXNPFEDSA-N 0 0 441.506 -0.153 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)CCn2cc(S(N)(=O)=O)cn2)C1=O ZINC000472177409 1071072344 /nfs/dbraw/zinc/07/23/44/1071072344.db2.gz WSDCBHXNVSQFPB-GFCCVEGCSA-N 0 0 441.491 -0.310 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)CCn2cc(S(N)(=O)=O)cn2)C1=O ZINC000472177413 1071072395 /nfs/dbraw/zinc/07/23/95/1071072395.db2.gz WSDCBHXNVSQFPB-LBPRGKRZSA-N 0 0 441.491 -0.310 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000472181219 1071072675 /nfs/dbraw/zinc/07/26/75/1071072675.db2.gz ZIDUNSOUSLFVHD-JOCHJYFZSA-N 0 0 442.520 -0.117 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000472181221 1071072765 /nfs/dbraw/zinc/07/27/65/1071072765.db2.gz ZIDUNSOUSLFVHD-QFIPXVFZSA-N 0 0 442.520 -0.117 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ncccn1 ZINC000472183538 1071073244 /nfs/dbraw/zinc/07/32/44/1071073244.db2.gz SSOZPRLXLLHIOL-GJZGRUSLSA-N 0 0 431.538 -0.137 20 0 IBADRN CC(C)N(CCOCCNS(=O)(=O)c1ccnn1C)S(=O)(=O)c1ccnn1C ZINC000472191948 1071075958 /nfs/dbraw/zinc/07/59/58/1071075958.db2.gz DTPXQCTVKJKPDK-UHFFFAOYSA-N 0 0 434.544 -0.452 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cs1 ZINC000472194347 1071077807 /nfs/dbraw/zinc/07/78/07/1071077807.db2.gz NKMPGEYQHQGFGZ-UHFFFAOYSA-N 0 0 437.569 -0.641 20 0 IBADRN CC(C)N(CCOCCNS(=O)(=O)c1cn(C)cn1)S(=O)(=O)c1cn(C)cn1 ZINC000472196427 1071078317 /nfs/dbraw/zinc/07/83/17/1071078317.db2.gz MIYMDRRXHXJGIA-UHFFFAOYSA-N 0 0 434.544 -0.452 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1)CC2 ZINC000472200038 1071079804 /nfs/dbraw/zinc/07/98/04/1071079804.db2.gz OUEFVXNXKJQGND-ZWKOTPCHSA-N 0 0 433.490 -0.184 20 0 IBADRN CC(C)N(CCOCCNS(=O)(=O)c1cnn(C)c1)S(=O)(=O)c1cnn(C)c1 ZINC000472202108 1071079638 /nfs/dbraw/zinc/07/96/38/1071079638.db2.gz YGXCKILCXJIWOJ-UHFFFAOYSA-N 0 0 434.544 -0.452 20 0 IBADRN CNc1cc(N2CCC[C@@H]2CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)ncn1 ZINC000472204900 1071079820 /nfs/dbraw/zinc/07/98/20/1071079820.db2.gz LMPYCNYHGDGTNW-MDASCCDHSA-N 0 0 428.497 -0.065 20 0 IBADRN CNc1cc(N2CCC[C@H]2CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)ncn1 ZINC000472204920 1071080237 /nfs/dbraw/zinc/08/02/37/1071080237.db2.gz LMPYCNYHGDGTNW-ZOCIIQOWSA-N 0 0 428.497 -0.065 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000472207593 1071079787 /nfs/dbraw/zinc/07/97/87/1071079787.db2.gz CXVUAOCKUHNDOA-IBGZPJMESA-N 0 0 437.522 -0.389 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000472207594 1071079866 /nfs/dbraw/zinc/07/98/66/1071079866.db2.gz CXVUAOCKUHNDOA-LJQANCHMSA-N 0 0 437.522 -0.389 20 0 IBADRN CN1CCN(C(=O)Cc2cccc(NC(=O)CCn3cc(S(N)(=O)=O)cn3)c2)CC1 ZINC000472208309 1071080077 /nfs/dbraw/zinc/08/00/77/1071080077.db2.gz FCEUAEUNBDRTJG-UHFFFAOYSA-N 0 0 434.522 -0.124 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000472210354 1071080959 /nfs/dbraw/zinc/08/09/59/1071080959.db2.gz WLSUCTUCECNVJA-HSZRJFAPSA-N 0 0 448.564 -0.015 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000472210365 1071080803 /nfs/dbraw/zinc/08/08/03/1071080803.db2.gz WLSUCTUCECNVJA-QHCPKHFHSA-N 0 0 448.564 -0.015 20 0 IBADRN CCOC(=O)[C@@H](COC)N(C1CC1)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000472211310 1071081453 /nfs/dbraw/zinc/08/14/53/1071081453.db2.gz YUSHKOVELSSNRK-CYBMUJFWSA-N 0 0 426.451 -0.235 20 0 IBADRN CCOC(=O)[C@H](COC)N(C1CC1)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000472211317 1071081593 /nfs/dbraw/zinc/08/15/93/1071081593.db2.gz YUSHKOVELSSNRK-ZDUSSCGKSA-N 0 0 426.451 -0.235 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cccc(CC(=O)N3CCN(C)CC3)c2)cn1 ZINC000472214451 1071082335 /nfs/dbraw/zinc/08/23/35/1071082335.db2.gz PLOGEOQNHUJODB-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cccc(CC(=O)N3CCN(C)CC3)c2)cn1C ZINC000472215683 1071082883 /nfs/dbraw/zinc/08/28/83/1071082883.db2.gz ZOCITIIEIXTHAF-UHFFFAOYSA-N 0 0 448.549 -0.038 20 0 IBADRN CC(C)NC(=O)N1CCC[C@H]1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000472234002 1071108038 /nfs/dbraw/zinc/10/80/38/1071108038.db2.gz RWZQGQCKTOALFB-INIZCTEOSA-N 0 0 431.559 -0.274 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000472240291 1071115583 /nfs/dbraw/zinc/11/55/83/1071115583.db2.gz NQNHKMSNARAFST-HNNXBMFYSA-N 0 0 428.511 -0.076 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000472240295 1071115373 /nfs/dbraw/zinc/11/53/73/1071115373.db2.gz NQNHKMSNARAFST-OAHLLOKOSA-N 0 0 428.511 -0.076 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000472247282 1071117975 /nfs/dbraw/zinc/11/79/75/1071117975.db2.gz OVWRIZURZOGXHP-KBPBESRZSA-N 0 0 425.573 -0.365 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000472247283 1071118006 /nfs/dbraw/zinc/11/80/06/1071118006.db2.gz OVWRIZURZOGXHP-KGLIPLIRSA-N 0 0 425.573 -0.365 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000472247284 1071117872 /nfs/dbraw/zinc/11/78/72/1071117872.db2.gz OVWRIZURZOGXHP-UONOGXRCSA-N 0 0 425.573 -0.365 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000472247285 1071118743 /nfs/dbraw/zinc/11/87/43/1071118743.db2.gz OVWRIZURZOGXHP-ZIAGYGMSSA-N 0 0 425.573 -0.365 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000472251196 1071120437 /nfs/dbraw/zinc/12/04/37/1071120437.db2.gz LSBGCTIKXVRNEC-UHFFFAOYSA-N 0 0 433.465 -0.177 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000472260319 1071123757 /nfs/dbraw/zinc/12/37/57/1071123757.db2.gz BCTRBPPDJCBJMS-INIZCTEOSA-N 0 0 441.506 -0.172 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000472260320 1071123698 /nfs/dbraw/zinc/12/36/98/1071123698.db2.gz BCTRBPPDJCBJMS-MRXNPFEDSA-N 0 0 441.506 -0.172 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(CC(=O)Nc2c(C)cccc2C)CC1 ZINC000472261621 1071123675 /nfs/dbraw/zinc/12/36/75/1071123675.db2.gz SENOTUKELIDYPL-MSOLQXFVSA-N 0 0 432.521 -0.006 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000472261877 1071124650 /nfs/dbraw/zinc/12/46/50/1071124650.db2.gz DXTHUPMMGVKSIJ-INIZCTEOSA-N 0 0 427.479 -0.480 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000472261878 1071124644 /nfs/dbraw/zinc/12/46/44/1071124644.db2.gz DXTHUPMMGVKSIJ-MRXNPFEDSA-N 0 0 427.479 -0.480 20 0 IBADRN CCCCN(C(=O)CN1CCOC[C@@H]1C(=O)OC)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000472262111 1071124698 /nfs/dbraw/zinc/12/46/98/1071124698.db2.gz VYWOGAZSARSRTL-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCCCN(C(=O)CN1CCOC[C@H]1C(=O)OC)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000472262119 1071124637 /nfs/dbraw/zinc/12/46/37/1071124637.db2.gz VYWOGAZSARSRTL-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000472263510 1071124674 /nfs/dbraw/zinc/12/46/74/1071124674.db2.gz IJDZWDTVJKHVNK-INIZCTEOSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000472263521 1071124691 /nfs/dbraw/zinc/12/46/91/1071124691.db2.gz IJDZWDTVJKHVNK-MRXNPFEDSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000472265498 1071125263 /nfs/dbraw/zinc/12/52/63/1071125263.db2.gz RNLDWUBINXSSHJ-INIZCTEOSA-N 0 0 427.479 -0.480 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000472265499 1071125316 /nfs/dbraw/zinc/12/53/16/1071125316.db2.gz RNLDWUBINXSSHJ-MRXNPFEDSA-N 0 0 427.479 -0.480 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000472265599 1071125354 /nfs/dbraw/zinc/12/53/54/1071125354.db2.gz XVMHRQZLLVQMFP-AYOQOUSVSA-N 0 0 432.525 -0.527 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000472265600 1071125241 /nfs/dbraw/zinc/12/52/41/1071125241.db2.gz XVMHRQZLLVQMFP-ZYSHUDEJSA-N 0 0 432.525 -0.527 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000472267600 1071126561 /nfs/dbraw/zinc/12/65/61/1071126561.db2.gz IJJCTFIRESKDNS-CRAIPNDOSA-N 0 0 433.461 -0.629 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000472267603 1071126231 /nfs/dbraw/zinc/12/62/31/1071126231.db2.gz IJJCTFIRESKDNS-MAUKXSAKSA-N 0 0 433.461 -0.629 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000472267605 1071126553 /nfs/dbraw/zinc/12/65/53/1071126553.db2.gz IJJCTFIRESKDNS-QAPCUYQASA-N 0 0 433.461 -0.629 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000472267607 1071126345 /nfs/dbraw/zinc/12/63/45/1071126345.db2.gz IJJCTFIRESKDNS-YJBOKZPZSA-N 0 0 433.461 -0.629 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCOc3ncccc3Cl)CC2)CC1 ZINC000472267789 1071127310 /nfs/dbraw/zinc/12/73/10/1071127310.db2.gz JPAOQVDDZKNLRS-UHFFFAOYSA-N 0 0 446.961 -0.067 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000472268610 1071126285 /nfs/dbraw/zinc/12/62/85/1071126285.db2.gz PNKSIXVUFJMQAT-INIZCTEOSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000472268611 1071127179 /nfs/dbraw/zinc/12/71/79/1071127179.db2.gz PNKSIXVUFJMQAT-MRXNPFEDSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000472268882 1071128204 /nfs/dbraw/zinc/12/82/04/1071128204.db2.gz KPWCBHUXXUKNEK-INIZCTEOSA-N 0 0 441.506 -0.803 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000472268883 1071128134 /nfs/dbraw/zinc/12/81/34/1071128134.db2.gz KPWCBHUXXUKNEK-MRXNPFEDSA-N 0 0 441.506 -0.803 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000472269789 1071129759 /nfs/dbraw/zinc/12/97/59/1071129759.db2.gz YFPMTQFTDYTYTL-IBGZPJMESA-N 0 0 429.539 -0.193 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000472269790 1071129558 /nfs/dbraw/zinc/12/95/58/1071129558.db2.gz YFPMTQFTDYTYTL-LJQANCHMSA-N 0 0 429.539 -0.193 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000472275348 1071142389 /nfs/dbraw/zinc/14/23/89/1071142389.db2.gz GCCUTWATZZMNLI-HNNXBMFYSA-N 0 0 447.460 -0.329 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000472275361 1071142175 /nfs/dbraw/zinc/14/21/75/1071142175.db2.gz GCCUTWATZZMNLI-OAHLLOKOSA-N 0 0 447.460 -0.329 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCOc3ccc(Cl)cn3)CC2)CC1 ZINC000472276130 1071143878 /nfs/dbraw/zinc/14/38/78/1071143878.db2.gz KFQWQQAMDMZRGH-UHFFFAOYSA-N 0 0 446.961 -0.067 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000472280062 1071150348 /nfs/dbraw/zinc/15/03/48/1071150348.db2.gz PPONTZGJIBSZAR-KRWDZBQOSA-N 0 0 441.506 -0.803 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000472280064 1071150312 /nfs/dbraw/zinc/15/03/12/1071150312.db2.gz PPONTZGJIBSZAR-QGZVFWFLSA-N 0 0 441.506 -0.803 20 0 IBADRN NC(=O)C[C@@H]1CCC[N@H+](CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000472284751 1071157944 /nfs/dbraw/zinc/15/79/44/1071157944.db2.gz VLDSCQLZDHNYJK-INIZCTEOSA-N 0 0 438.550 -0.089 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000472291056 1071172004 /nfs/dbraw/zinc/17/20/04/1071172004.db2.gz HXBZWTUZVZETGR-KRWDZBQOSA-N 0 0 425.507 -0.466 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000472291057 1071171655 /nfs/dbraw/zinc/17/16/55/1071171655.db2.gz HXBZWTUZVZETGR-QGZVFWFLSA-N 0 0 425.507 -0.466 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000472292272 1071172800 /nfs/dbraw/zinc/17/28/00/1071172800.db2.gz HWGQJQTXGGLOHU-HNNXBMFYSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000472292275 1071172897 /nfs/dbraw/zinc/17/28/97/1071172897.db2.gz HWGQJQTXGGLOHU-OAHLLOKOSA-N 0 0 429.470 -0.468 20 0 IBADRN O=C(NCCOc1ncccc1Cl)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000472295876 1071183740 /nfs/dbraw/zinc/18/37/40/1071183740.db2.gz OWIYKSPVNCLDHZ-UHFFFAOYSA-N 0 0 425.873 -0.174 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000472296923 1071195981 /nfs/dbraw/zinc/19/59/81/1071195981.db2.gz VLRUDGYCWSGORM-CYBMUJFWSA-N 0 0 441.485 -0.960 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000472296924 1071196908 /nfs/dbraw/zinc/19/69/08/1071196908.db2.gz VLRUDGYCWSGORM-ZDUSSCGKSA-N 0 0 441.485 -0.960 20 0 IBADRN CCN(C(=O)CN1CCOC[C@H]1C(=O)OC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000472297642 1071195302 /nfs/dbraw/zinc/19/53/02/1071195302.db2.gz VXTIGCLESFJIKT-HNNXBMFYSA-N 0 0 445.476 -0.194 20 0 IBADRN CCN(C(=O)CN1CCOC[C@@H]1C(=O)OC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000472297643 1071195169 /nfs/dbraw/zinc/19/51/69/1071195169.db2.gz VXTIGCLESFJIKT-OAHLLOKOSA-N 0 0 445.476 -0.194 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)s1 ZINC000472298054 1071196790 /nfs/dbraw/zinc/19/67/90/1071196790.db2.gz LXWZFHSGYSYQBH-UHFFFAOYSA-N 0 0 427.512 -0.418 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCOc2ccc(C(F)(F)F)cn2)CC1 ZINC000472298174 1071196808 /nfs/dbraw/zinc/19/68/08/1071196808.db2.gz ITEBUEPAMSEEAH-UHFFFAOYSA-N 0 0 432.446 -0.109 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCOC[C@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000472300373 1071199051 /nfs/dbraw/zinc/19/90/51/1071199051.db2.gz XOLHKZAQUNRJCE-HNNXBMFYSA-N 0 0 430.461 -0.283 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCOC[C@@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000472300379 1071199141 /nfs/dbraw/zinc/19/91/41/1071199141.db2.gz XOLHKZAQUNRJCE-OAHLLOKOSA-N 0 0 430.461 -0.283 20 0 IBADRN COC(=O)[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000472301241 1071200042 /nfs/dbraw/zinc/20/00/42/1071200042.db2.gz ZJMAAMZGJHQWEU-KRWDZBQOSA-N 0 0 441.506 -0.598 20 0 IBADRN COC(=O)[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000472301242 1071199957 /nfs/dbraw/zinc/19/99/57/1071199957.db2.gz ZJMAAMZGJHQWEU-QGZVFWFLSA-N 0 0 441.506 -0.598 20 0 IBADRN Cn1ccnc(N2CCN(S(=O)(=O)c3ccc(S(C)(=O)=O)c(F)c3)CC2)c1=O ZINC000472301593 1071198999 /nfs/dbraw/zinc/19/89/99/1071198999.db2.gz UGKQMIMVZHDBLQ-UHFFFAOYSA-N 0 0 430.483 -0.166 20 0 IBADRN O=C(NCCOc1ccc(Cl)cn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000472301813 1071200781 /nfs/dbraw/zinc/20/07/81/1071200781.db2.gz VGPBRIWVBUDUCG-UHFFFAOYSA-N 0 0 425.873 -0.174 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@H](O)COc3ccc4c(c3)OCO4)OCC(=O)N2C)cn1 ZINC000472312920 1071216671 /nfs/dbraw/zinc/21/66/71/1071216671.db2.gz FKIFWHJPNKVCSD-FASAQXTFSA-N 0 0 432.433 -0.397 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@@H](O)COc3ccc4c(c3)OCO4)OCC(=O)N2C)cn1 ZINC000472312928 1071216581 /nfs/dbraw/zinc/21/65/81/1071216581.db2.gz FKIFWHJPNKVCSD-ZNZDAUKMSA-N 0 0 432.433 -0.397 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000472321398 1071224637 /nfs/dbraw/zinc/22/46/37/1071224637.db2.gz AAOYKVLEYWGFRY-UHFFFAOYSA-N 0 0 425.554 -0.925 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)cc1F ZINC000472322979 1071226828 /nfs/dbraw/zinc/22/68/28/1071226828.db2.gz HGVLDOGJWHGEIK-UHFFFAOYSA-N 0 0 441.484 -0.385 20 0 IBADRN CC(C)(C)c1nc(CN2CCCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)no1 ZINC000472328813 1071240234 /nfs/dbraw/zinc/24/02/34/1071240234.db2.gz QHRWFWPHMMEFEG-UHFFFAOYSA-N 0 0 435.572 -0.150 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000472329056 1071239861 /nfs/dbraw/zinc/23/98/61/1071239861.db2.gz RRIFZASCUQMLGH-UHFFFAOYSA-N 0 0 437.521 -0.134 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCCCn2cc(Br)cn2)CC1 ZINC000472329106 1071240051 /nfs/dbraw/zinc/24/00/51/1071240051.db2.gz RUEBXCXQYBBRMV-UHFFFAOYSA-N 0 0 440.306 -0.034 20 0 IBADRN CCNC(=O)N1CC[C@@H](NS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000472334824 1071249216 /nfs/dbraw/zinc/24/92/16/1071249216.db2.gz JOJFMPMOZUVFTM-ADLMAVQZSA-N 0 0 439.494 -0.168 20 0 IBADRN CCNC(=O)N1CC[C@H](NS(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000472334828 1071249181 /nfs/dbraw/zinc/24/91/81/1071249181.db2.gz JOJFMPMOZUVFTM-BBATYDOGSA-N 0 0 439.494 -0.168 20 0 IBADRN CCNC(=O)N1CC[C@H](NS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000472334830 1071249289 /nfs/dbraw/zinc/24/92/89/1071249289.db2.gz JOJFMPMOZUVFTM-VOJFVSQTSA-N 0 0 439.494 -0.168 20 0 IBADRN CCNC(=O)N1CC[C@@H](NS(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000472334831 1071249102 /nfs/dbraw/zinc/24/91/02/1071249102.db2.gz JOJFMPMOZUVFTM-ZMZPIMSZSA-N 0 0 439.494 -0.168 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@](C)(O)Cn3c(C)cccc3=O)CC2)cn1C ZINC000472338205 1071249972 /nfs/dbraw/zinc/24/99/72/1071249972.db2.gz AMBJYAZJMCABJY-IBGZPJMESA-N 0 0 437.522 -0.517 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@](C)(O)Cn3c(C)cccc3=O)CC2)cn1C ZINC000472338206 1071249920 /nfs/dbraw/zinc/24/99/20/1071249920.db2.gz AMBJYAZJMCABJY-LJQANCHMSA-N 0 0 437.522 -0.517 20 0 IBADRN COc1ccc(CNC(=O)C2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000472345055 1071253699 /nfs/dbraw/zinc/25/36/99/1071253699.db2.gz VFVGPGLOKIPMRM-UHFFFAOYSA-N 0 0 443.504 -0.114 20 0 IBADRN CO[C@H]1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000472346394 1071253656 /nfs/dbraw/zinc/25/36/56/1071253656.db2.gz GRDWBXBGCDOFDC-KBPBESRZSA-N 0 0 446.551 -0.083 20 0 IBADRN CO[C@H]1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000472346395 1071253784 /nfs/dbraw/zinc/25/37/84/1071253784.db2.gz GRDWBXBGCDOFDC-KGLIPLIRSA-N 0 0 446.551 -0.083 20 0 IBADRN CO[C@@H]1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000472346396 1071253685 /nfs/dbraw/zinc/25/36/85/1071253685.db2.gz GRDWBXBGCDOFDC-UONOGXRCSA-N 0 0 446.551 -0.083 20 0 IBADRN CO[C@@H]1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000472346397 1071253834 /nfs/dbraw/zinc/25/38/34/1071253834.db2.gz GRDWBXBGCDOFDC-ZIAGYGMSSA-N 0 0 446.551 -0.083 20 0 IBADRN COc1ccc(CCNC(=O)c2ccc(S(=O)(=O)N(C)C)nc2)cc1S(N)(=O)=O ZINC000472349249 1071255272 /nfs/dbraw/zinc/25/52/72/1071255272.db2.gz MACOEZLZFDQXSI-UHFFFAOYSA-N 0 0 442.519 -0.040 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)cc1 ZINC000472351817 1071256094 /nfs/dbraw/zinc/25/60/94/1071256094.db2.gz RKGMIYSSYOFPSK-ZWKOTPCHSA-N 0 0 435.506 -0.067 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC000472358625 1071258127 /nfs/dbraw/zinc/25/81/27/1071258127.db2.gz ICOUYJZXCXCUEF-UHFFFAOYSA-N 0 0 434.540 -0.544 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)cc2C1=O ZINC000472365028 1071260444 /nfs/dbraw/zinc/26/04/44/1071260444.db2.gz OHQQKZQGYUJNLE-UHFFFAOYSA-N 0 0 437.504 -0.018 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)CC3)CC1 ZINC000472365105 1071260558 /nfs/dbraw/zinc/26/05/58/1071260558.db2.gz FLOWJJCKJWKITM-UHFFFAOYSA-N 0 0 429.477 -0.551 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(C)cc1C ZINC000472371855 1071261940 /nfs/dbraw/zinc/26/19/40/1071261940.db2.gz AMGDCHSABGDMBG-CYBMUJFWSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(C)cc1C ZINC000472371856 1071261894 /nfs/dbraw/zinc/26/18/94/1071261894.db2.gz AMGDCHSABGDMBG-ZDUSSCGKSA-N 0 0 439.490 -0.162 20 0 IBADRN COc1c(F)cc(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000472371937 1071261953 /nfs/dbraw/zinc/26/19/53/1071261953.db2.gz WHJYJZLRYCXYNX-UHFFFAOYSA-N 0 0 448.448 -0.367 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000472372099 1071261786 /nfs/dbraw/zinc/26/17/86/1071261786.db2.gz FJYPPAALXLUGFU-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN O=C(NCc1ncnn1-c1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000472374448 1071262050 /nfs/dbraw/zinc/26/20/50/1071262050.db2.gz PZDZYPUXJVEAFP-UHFFFAOYSA-N 0 0 435.510 -0.329 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(C)cc1C ZINC000472379100 1071262453 /nfs/dbraw/zinc/26/24/53/1071262453.db2.gz GDSLIQGPZTWVAJ-UHFFFAOYSA-N 0 0 430.461 -0.022 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ncnn3-c3ccccc3)CC2)CC1 ZINC000472384517 1071262535 /nfs/dbraw/zinc/26/25/35/1071262535.db2.gz HCRVXECALAPOAB-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000472385118 1071262514 /nfs/dbraw/zinc/26/25/14/1071262514.db2.gz QKIOANZRUKXCDM-HNNXBMFYSA-N 0 0 446.551 -0.402 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000472385121 1071262614 /nfs/dbraw/zinc/26/26/14/1071262614.db2.gz QKIOANZRUKXCDM-OAHLLOKOSA-N 0 0 446.551 -0.402 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)N1CC[C@@H](O)C1 ZINC000472387404 1071263436 /nfs/dbraw/zinc/26/34/36/1071263436.db2.gz MEECRWUACXTBSC-OAHLLOKOSA-N 0 0 425.507 -0.249 20 0 IBADRN COc1c(F)cc(F)cc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000472387690 1071263299 /nfs/dbraw/zinc/26/32/99/1071263299.db2.gz MEILKLBCPZIITM-UHFFFAOYSA-N 0 0 438.387 -0.268 20 0 IBADRN O=C(NCc1ncnn1-c1ccccc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000472391586 1071263411 /nfs/dbraw/zinc/26/34/11/1071263411.db2.gz XQUTVJMSSFDIRM-UHFFFAOYSA-N 0 0 427.465 -0.520 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2C1=O ZINC000472392854 1071263461 /nfs/dbraw/zinc/26/34/61/1071263461.db2.gz BWJJLEZJCNCSIL-UHFFFAOYSA-N 0 0 443.504 -0.744 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ncnn1-c1ccccc1)C2 ZINC000472396326 1071264015 /nfs/dbraw/zinc/26/40/15/1071264015.db2.gz QXRXROPROIMVTQ-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN COc1c(F)cc(F)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000472397548 1071263964 /nfs/dbraw/zinc/26/39/64/1071263964.db2.gz VYVZMLGHYKCUPL-UHFFFAOYSA-N 0 0 426.420 -0.085 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(Cl)c(S(C)(=O)=O)c2)CC1 ZINC000472397829 1071264002 /nfs/dbraw/zinc/26/40/02/1071264002.db2.gz WWINLDUACSXMJW-UHFFFAOYSA-N 0 0 430.914 -0.038 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2C1=O ZINC000472399727 1071264360 /nfs/dbraw/zinc/26/43/60/1071264360.db2.gz XYZHZFQEHYBOIX-UHFFFAOYSA-N 0 0 441.488 -0.454 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2C1=O ZINC000472404645 1071266821 /nfs/dbraw/zinc/26/68/21/1071266821.db2.gz PFZCNFQQEPFSSV-UHFFFAOYSA-N 0 0 427.461 -0.797 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(Cl)c(S(C)(=O)=O)c2)CC1 ZINC000472406090 1071268258 /nfs/dbraw/zinc/26/82/58/1071268258.db2.gz IQXPYHRXDWLUII-UHFFFAOYSA-N 0 0 430.914 -0.038 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000472408750 1071268243 /nfs/dbraw/zinc/26/82/43/1071268243.db2.gz NCAJSCADXZYASA-AAEUAGOBSA-N 0 0 429.520 -0.125 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000472408752 1071268119 /nfs/dbraw/zinc/26/81/19/1071268119.db2.gz NCAJSCADXZYASA-DGCLKSJQSA-N 0 0 429.520 -0.125 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000472408755 1071268294 /nfs/dbraw/zinc/26/82/94/1071268294.db2.gz NCAJSCADXZYASA-WCQYABFASA-N 0 0 429.520 -0.125 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000472408757 1071268155 /nfs/dbraw/zinc/26/81/55/1071268155.db2.gz NCAJSCADXZYASA-YPMHNXCESA-N 0 0 429.520 -0.125 20 0 IBADRN Cn1ccc2cccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c21 ZINC000472412442 1071269518 /nfs/dbraw/zinc/26/95/18/1071269518.db2.gz HQZMXRXSKLKXSZ-UHFFFAOYSA-N 0 0 448.549 -0.247 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(Cl)c(S(C)(=O)=O)c1)CN1CCOCC1 ZINC000472414349 1071271201 /nfs/dbraw/zinc/27/12/01/1071271201.db2.gz VHQRBAWDULCNGF-KRWDZBQOSA-N 0 0 433.914 -0.119 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(Cl)c(S(C)(=O)=O)c1)CN1CCOCC1 ZINC000472414357 1071271650 /nfs/dbraw/zinc/27/16/50/1071271650.db2.gz VHQRBAWDULCNGF-QGZVFWFLSA-N 0 0 433.914 -0.119 20 0 IBADRN Cn1ccc2cccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)c21 ZINC000472416368 1071272836 /nfs/dbraw/zinc/27/28/36/1071272836.db2.gz AZQLJAODTMINRU-UHFFFAOYSA-N 0 0 435.506 -0.162 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@@H](O)CS(=O)(=O)c3cccs3)OCC(=O)N2C)cn1 ZINC000472417548 1071273837 /nfs/dbraw/zinc/27/38/37/1071273837.db2.gz RRDSDIOBXMENNL-UHOFOFEASA-N 0 0 442.519 -0.669 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@H](O)CS(=O)(=O)c3cccs3)OCC(=O)N2C)cn1 ZINC000472417553 1071273562 /nfs/dbraw/zinc/27/35/62/1071273562.db2.gz RRDSDIOBXMENNL-VBNZEHGJSA-N 0 0 442.519 -0.669 20 0 IBADRN Cn1ccc2cccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)c21 ZINC000472419007 1071274671 /nfs/dbraw/zinc/27/46/71/1071274671.db2.gz XOMAZJNPJIITID-UHFFFAOYSA-N 0 0 425.445 -0.063 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(C)cc1C ZINC000472421442 1071274600 /nfs/dbraw/zinc/27/46/00/1071274600.db2.gz IBTZGSZSANMSPH-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@](C)(O)Cn1c(C)cccc1=O ZINC000472424295 1071275938 /nfs/dbraw/zinc/27/59/38/1071275938.db2.gz VBTPNAMSLUJJEA-INIZCTEOSA-N 0 0 430.508 -0.527 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@](C)(O)Cn1c(C)cccc1=O ZINC000472424296 1071276069 /nfs/dbraw/zinc/27/60/69/1071276069.db2.gz VBTPNAMSLUJJEA-MRXNPFEDSA-N 0 0 430.508 -0.527 20 0 IBADRN Cn1ccc2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c21 ZINC000472424867 1071275988 /nfs/dbraw/zinc/27/59/88/1071275988.db2.gz HIOFOJMTGHEFBM-UHFFFAOYSA-N 0 0 427.461 -0.354 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(Cl)cc2-n2cccn2)CC1 ZINC000472424917 1071275972 /nfs/dbraw/zinc/27/59/72/1071275972.db2.gz WVOYLQIPWDIROC-UHFFFAOYSA-N 0 0 440.913 -0.103 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000472425931 1071276796 /nfs/dbraw/zinc/27/67/96/1071276796.db2.gz LPQCQXVCUXBBTK-UHFFFAOYSA-N 0 0 447.535 -0.334 20 0 IBADRN COc1ccc(CN(C)C(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000472427437 1071276768 /nfs/dbraw/zinc/27/67/68/1071276768.db2.gz DQBUWCZIDNBRER-HNNXBMFYSA-N 0 0 427.523 -0.103 20 0 IBADRN COc1ccc(CN(C)C(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000472427439 1071276824 /nfs/dbraw/zinc/27/68/24/1071276824.db2.gz DQBUWCZIDNBRER-OAHLLOKOSA-N 0 0 427.523 -0.103 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)c(C)cc2C)CC1 ZINC000472427585 1071276837 /nfs/dbraw/zinc/27/68/37/1071276837.db2.gz IOTDSHJKJRICRL-UHFFFAOYSA-N 0 0 434.493 -0.065 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(C)(=O)=O)c2)CC1 ZINC000472430339 1071278194 /nfs/dbraw/zinc/27/81/94/1071278194.db2.gz TVRGWLZIACGHGW-UHFFFAOYSA-N 0 0 430.914 -0.038 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(Cl)cc2-n2cccn2)CC1 ZINC000472431892 1071279018 /nfs/dbraw/zinc/27/90/18/1071279018.db2.gz OJNLWLAQZOXICR-UHFFFAOYSA-N 0 0 443.855 -0.178 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(C)cc1C ZINC000472434564 1071279749 /nfs/dbraw/zinc/27/97/49/1071279749.db2.gz UFBLLDXHZXTEQO-UHFFFAOYSA-N 0 0 429.437 -0.614 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)C1=O ZINC000472435382 1071279734 /nfs/dbraw/zinc/27/97/34/1071279734.db2.gz GJJVARNEALCLFV-PXAZEXFGSA-N 0 0 433.383 -0.102 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)C1=O ZINC000472435383 1071279674 /nfs/dbraw/zinc/27/96/74/1071279674.db2.gz GJJVARNEALCLFV-SJCJKPOMSA-N 0 0 433.383 -0.102 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)C1=O ZINC000472435384 1071279592 /nfs/dbraw/zinc/27/95/92/1071279592.db2.gz GJJVARNEALCLFV-SJKOYZFVSA-N 0 0 433.383 -0.102 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)C1=O ZINC000472435385 1071279850 /nfs/dbraw/zinc/27/98/50/1071279850.db2.gz GJJVARNEALCLFV-YVEFUNNKSA-N 0 0 433.383 -0.102 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000472465584 1071289110 /nfs/dbraw/zinc/28/91/10/1071289110.db2.gz SMPMIGBIFOIEGU-AEFFLSMTSA-N 0 0 425.555 -0.263 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000472465739 1071289205 /nfs/dbraw/zinc/28/92/05/1071289205.db2.gz SMPMIGBIFOIEGU-FUHWJXTLSA-N 0 0 425.555 -0.263 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000472465742 1071289179 /nfs/dbraw/zinc/28/91/79/1071289179.db2.gz SMPMIGBIFOIEGU-SJLPKXTDSA-N 0 0 425.555 -0.263 20 0 IBADRN Cn1cc(N2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000472465743 1071289212 /nfs/dbraw/zinc/28/92/12/1071289212.db2.gz SMPMIGBIFOIEGU-WMZOPIPTSA-N 0 0 425.555 -0.263 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000472466308 1071289948 /nfs/dbraw/zinc/28/99/48/1071289948.db2.gz NXYBUCKXCYJQGC-HNNXBMFYSA-N 0 0 432.547 -0.869 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000472466309 1071289958 /nfs/dbraw/zinc/28/99/58/1071289958.db2.gz NXYBUCKXCYJQGC-OAHLLOKOSA-N 0 0 432.547 -0.869 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)C1 ZINC000472469829 1071291272 /nfs/dbraw/zinc/29/12/72/1071291272.db2.gz JPCIZPYSQDMEJG-CYBMUJFWSA-N 0 0 426.499 -0.364 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)C1 ZINC000472469835 1071291371 /nfs/dbraw/zinc/29/13/71/1071291371.db2.gz JPCIZPYSQDMEJG-ZDUSSCGKSA-N 0 0 426.499 -0.364 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000472472127 1071294020 /nfs/dbraw/zinc/29/40/20/1071294020.db2.gz VPYUPHRANFLQFM-GFCCVEGCSA-N 0 0 442.498 -0.802 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000472472130 1071293794 /nfs/dbraw/zinc/29/37/94/1071293794.db2.gz VPYUPHRANFLQFM-LBPRGKRZSA-N 0 0 442.498 -0.802 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000472474856 1071293839 /nfs/dbraw/zinc/29/38/39/1071293839.db2.gz DGLULUYLCPQNNY-ZWKOTPCHSA-N 0 0 441.554 -0.115 20 0 IBADRN O=C(N[C@@H]1CCN(C(=O)C2CCCCC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000472476402 1071294619 /nfs/dbraw/zinc/29/46/19/1071294619.db2.gz IJIGDAUFMHRGPG-GOSISDBHSA-N 0 0 449.552 -0.120 20 0 IBADRN O=C(N[C@H]1CCN(C(=O)C2CCCCC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000472476403 1071294970 /nfs/dbraw/zinc/29/49/70/1071294970.db2.gz IJIGDAUFMHRGPG-SFHVURJKSA-N 0 0 449.552 -0.120 20 0 IBADRN Cn1cc(N2CCN(CC(=O)c3c(N)n(Cc4ccccc4)c(=O)n(C)c3=O)CC2)cn1 ZINC000472479585 1071294999 /nfs/dbraw/zinc/29/49/99/1071294999.db2.gz VATSUADZWKRCTQ-UHFFFAOYSA-N 0 0 437.504 -0.084 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC000472483233 1071295721 /nfs/dbraw/zinc/29/57/21/1071295721.db2.gz ZJBISLUFWQGFLB-INIZCTEOSA-N 0 0 432.529 -0.051 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC000472483239 1071295547 /nfs/dbraw/zinc/29/55/47/1071295547.db2.gz ZJBISLUFWQGFLB-MRXNPFEDSA-N 0 0 432.529 -0.051 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000472484656 1071295654 /nfs/dbraw/zinc/29/56/54/1071295654.db2.gz KBMYZWWWVBXCMT-JYJNAYRXSA-N 0 0 446.574 -0.529 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000472484657 1071295385 /nfs/dbraw/zinc/29/53/85/1071295385.db2.gz KBMYZWWWVBXCMT-OAGGEKHMSA-N 0 0 446.574 -0.529 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000472484658 1071295407 /nfs/dbraw/zinc/29/54/07/1071295407.db2.gz KBMYZWWWVBXCMT-OWCLPIDISA-N 0 0 446.574 -0.529 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000472484659 1071295618 /nfs/dbraw/zinc/29/56/18/1071295618.db2.gz KBMYZWWWVBXCMT-XHSDSOJGSA-N 0 0 446.574 -0.529 20 0 IBADRN CC(C)OC(=O)CC[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000472485668 1071296419 /nfs/dbraw/zinc/29/64/19/1071296419.db2.gz HDPXNTVDGAUJOJ-INIZCTEOSA-N 0 0 433.575 -0.074 20 0 IBADRN CC(C)OC(=O)CC[C@@H](C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000472485675 1071296285 /nfs/dbraw/zinc/29/62/85/1071296285.db2.gz HDPXNTVDGAUJOJ-MRXNPFEDSA-N 0 0 433.575 -0.074 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cc(Br)cnc3C(N)=O)CC2)CC1 ZINC000472486853 1071296405 /nfs/dbraw/zinc/29/64/05/1071296405.db2.gz KXNYJTCDBKSIHU-UHFFFAOYSA-N 0 0 447.359 -0.443 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000472488699 1071296458 /nfs/dbraw/zinc/29/64/58/1071296458.db2.gz SHPDGCAFRFGZST-AWEZNQCLSA-N 0 0 427.531 -0.324 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000472488700 1071296623 /nfs/dbraw/zinc/29/66/23/1071296623.db2.gz SHPDGCAFRFGZST-CQSZACIVSA-N 0 0 427.531 -0.324 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1=O ZINC000472492472 1071297292 /nfs/dbraw/zinc/29/72/92/1071297292.db2.gz CDAKHZDHIUNEON-UHFFFAOYSA-N 0 0 432.477 -0.072 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000472500614 1071298644 /nfs/dbraw/zinc/29/86/44/1071298644.db2.gz NLNKQPNCQAKQPV-HOTGVXAUSA-N 0 0 448.524 -0.072 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1=O ZINC000472500647 1071298506 /nfs/dbraw/zinc/29/85/06/1071298506.db2.gz NLNKQPNCQAKQPV-HZPDHXFCSA-N 0 0 448.524 -0.072 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000472500648 1071298555 /nfs/dbraw/zinc/29/85/55/1071298555.db2.gz NLNKQPNCQAKQPV-IYBDPMFKSA-N 0 0 448.524 -0.072 20 0 IBADRN NC(=O)c1ncc(Br)cc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000472504884 1071298690 /nfs/dbraw/zinc/29/86/90/1071298690.db2.gz UTCQCSUKNMWCSZ-UHFFFAOYSA-N 0 0 434.316 -0.358 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@@H]1C ZINC000472506159 1071299386 /nfs/dbraw/zinc/29/93/86/1071299386.db2.gz VQIPWDUBLZVSFC-KBPBESRZSA-N 0 0 440.522 -0.449 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@H]1C ZINC000472506177 1071299307 /nfs/dbraw/zinc/29/93/07/1071299307.db2.gz VQIPWDUBLZVSFC-KGLIPLIRSA-N 0 0 440.522 -0.449 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](O)C[C@@H]1C ZINC000472506178 1071299287 /nfs/dbraw/zinc/29/92/87/1071299287.db2.gz VQIPWDUBLZVSFC-UONOGXRCSA-N 0 0 440.522 -0.449 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H]1C ZINC000472506179 1071299476 /nfs/dbraw/zinc/29/94/76/1071299476.db2.gz VQIPWDUBLZVSFC-ZIAGYGMSSA-N 0 0 440.522 -0.449 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)Nc1cnn(CC(=O)NCCO)c1 ZINC000472506214 1071299357 /nfs/dbraw/zinc/29/93/57/1071299357.db2.gz SHERFFBFNAMALP-INIZCTEOSA-N 0 0 431.371 -0.438 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)Nc1cnn(CC(=O)NCCO)c1 ZINC000472506248 1071299246 /nfs/dbraw/zinc/29/92/46/1071299246.db2.gz SHERFFBFNAMALP-MRXNPFEDSA-N 0 0 431.371 -0.438 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H]1C ZINC000472507241 1071300124 /nfs/dbraw/zinc/30/01/24/1071300124.db2.gz XQHARBSGOSUJIS-CHWSQXEVSA-N 0 0 427.479 -0.364 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@H]1C ZINC000472507260 1071300170 /nfs/dbraw/zinc/30/01/70/1071300170.db2.gz XQHARBSGOSUJIS-OLZOCXBDSA-N 0 0 427.479 -0.364 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](O)C[C@@H]1C ZINC000472507262 1071299905 /nfs/dbraw/zinc/29/99/05/1071299905.db2.gz XQHARBSGOSUJIS-QWHCGFSZSA-N 0 0 427.479 -0.364 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@@H]1C ZINC000472507263 1071300217 /nfs/dbraw/zinc/30/02/17/1071300217.db2.gz XQHARBSGOSUJIS-STQMWFEESA-N 0 0 427.479 -0.364 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CC(S(=O)(=O)NC4CCCC4)C3)OCC(=O)N2C)cn1 ZINC000472507329 1071299397 /nfs/dbraw/zinc/29/93/97/1071299397.db2.gz XOVATCDFYDBHHY-DLBZAZTESA-N 0 0 425.511 -0.609 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000472512847 1071300818 /nfs/dbraw/zinc/30/08/18/1071300818.db2.gz IDRNBFGMLLEYCW-APWZRJJASA-N 0 0 427.523 -0.439 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000472512852 1071300566 /nfs/dbraw/zinc/30/05/66/1071300566.db2.gz IDRNBFGMLLEYCW-LPHOPBHVSA-N 0 0 427.523 -0.439 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000472512853 1071300783 /nfs/dbraw/zinc/30/07/83/1071300783.db2.gz IDRNBFGMLLEYCW-QFBILLFUSA-N 0 0 427.523 -0.439 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000472512854 1071300672 /nfs/dbraw/zinc/30/06/72/1071300672.db2.gz IDRNBFGMLLEYCW-VQIMIIECSA-N 0 0 427.523 -0.439 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)C2)nc1 ZINC000472516565 1071301315 /nfs/dbraw/zinc/30/13/15/1071301315.db2.gz NYBNMVAMIOWWAD-RBUKOAKNSA-N 0 0 442.476 -0.105 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1=O ZINC000472518529 1071301381 /nfs/dbraw/zinc/30/13/81/1071301381.db2.gz KVVGVYWUMCOIHP-UHFFFAOYSA-N 0 0 430.509 -0.121 20 0 IBADRN CCC(O)(CC)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000472518606 1071301353 /nfs/dbraw/zinc/30/13/53/1071301353.db2.gz LAPUOVVKFXNIMY-UHFFFAOYSA-N 0 0 440.522 -0.197 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)C2)nn1 ZINC000472522000 1071302072 /nfs/dbraw/zinc/30/20/72/1071302072.db2.gz NLFITRRBQIULRV-RRQGHBQHSA-N 0 0 431.453 -0.440 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)C2)nn1 ZINC000472522001 1071302194 /nfs/dbraw/zinc/30/21/94/1071302194.db2.gz NLFITRRBQIULRV-XOKHGSTOSA-N 0 0 431.453 -0.440 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CCCNC(=O)[C@H]1C ZINC000472522010 1071301945 /nfs/dbraw/zinc/30/19/45/1071301945.db2.gz NQBKVDBZACHTBR-CYBMUJFWSA-N 0 0 438.506 -0.395 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CCCNC(=O)[C@@H]1C ZINC000472522011 1071301928 /nfs/dbraw/zinc/30/19/28/1071301928.db2.gz NQBKVDBZACHTBR-ZDUSSCGKSA-N 0 0 438.506 -0.395 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(c4ccc(C(=O)NCCO)nn4)CC3)C2=O)n(C)n1 ZINC000472522193 1071302017 /nfs/dbraw/zinc/30/20/17/1071302017.db2.gz ZJCNOCDQSONREC-KRWDZBQOSA-N 0 0 442.524 -0.442 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(c4ccc(C(=O)NCCO)nn4)CC3)C2=O)n(C)n1 ZINC000472522202 1071302139 /nfs/dbraw/zinc/30/21/39/1071302139.db2.gz ZJCNOCDQSONREC-QGZVFWFLSA-N 0 0 442.524 -0.442 20 0 IBADRN C[C@H]1C[C@H](O)CN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000472522941 1071302154 /nfs/dbraw/zinc/30/21/54/1071302154.db2.gz WEIQXEYDRKNRJE-KBPBESRZSA-N 0 0 440.522 -0.306 20 0 IBADRN C[C@@H]1C[C@H](O)CN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000472522955 1071302508 /nfs/dbraw/zinc/30/25/08/1071302508.db2.gz WEIQXEYDRKNRJE-KGLIPLIRSA-N 0 0 440.522 -0.306 20 0 IBADRN C[C@H]1C[C@@H](O)CN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000472522956 1071302716 /nfs/dbraw/zinc/30/27/16/1071302716.db2.gz WEIQXEYDRKNRJE-UONOGXRCSA-N 0 0 440.522 -0.306 20 0 IBADRN C[C@@H]1C[C@@H](O)CN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000472522959 1071302563 /nfs/dbraw/zinc/30/25/63/1071302563.db2.gz WEIQXEYDRKNRJE-ZIAGYGMSSA-N 0 0 440.522 -0.306 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000472526839 1071303279 /nfs/dbraw/zinc/30/32/79/1071303279.db2.gz ZSADQIQCDFYOHM-GXTWGEPZSA-N 0 0 427.479 -0.364 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000472526840 1071303294 /nfs/dbraw/zinc/30/32/94/1071303294.db2.gz ZSADQIQCDFYOHM-JSGCOSHPSA-N 0 0 427.479 -0.364 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](O)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000472526841 1071303198 /nfs/dbraw/zinc/30/31/98/1071303198.db2.gz ZSADQIQCDFYOHM-OCCSQVGLSA-N 0 0 427.479 -0.364 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000472526843 1071303310 /nfs/dbraw/zinc/30/33/10/1071303310.db2.gz ZSADQIQCDFYOHM-TZMCWYRMSA-N 0 0 427.479 -0.364 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)ccc2[nH]1 ZINC000472538448 1071307643 /nfs/dbraw/zinc/30/76/43/1071307643.db2.gz HZIJMIVLLHIQLT-UHFFFAOYSA-N 0 0 426.477 -0.111 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)cn1 ZINC000472548581 1071310917 /nfs/dbraw/zinc/31/09/17/1071310917.db2.gz PDVBVAFSWNZFJG-UHFFFAOYSA-N 0 0 442.519 -0.156 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc2[nH]1 ZINC000472549316 1071311605 /nfs/dbraw/zinc/31/16/05/1071311605.db2.gz IOXMMSSADBCPDF-UHFFFAOYSA-N 0 0 440.460 -0.585 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc(-n2cccn2)c1 ZINC000472549790 1071310869 /nfs/dbraw/zinc/31/08/69/1071310869.db2.gz JUTKVDHCWQWYEE-UHFFFAOYSA-N 0 0 440.460 -0.231 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)ccc2[nH]1 ZINC000472550466 1071311568 /nfs/dbraw/zinc/31/15/68/1071311568.db2.gz MBINZIMETWXVJV-UHFFFAOYSA-N 0 0 442.476 -0.339 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cccc1NC(=O)N(C)C ZINC000472551265 1071311381 /nfs/dbraw/zinc/31/13/81/1071311381.db2.gz PDQLRTRLFDZGLK-UHFFFAOYSA-N 0 0 444.492 -0.024 20 0 IBADRN CC(C)Cc1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000472551365 1071311555 /nfs/dbraw/zinc/31/15/55/1071311555.db2.gz YUPBYXUUNDSIKQ-UHFFFAOYSA-N 0 0 432.481 -0.857 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)cn1 ZINC000472553280 1071311629 /nfs/dbraw/zinc/31/16/29/1071311629.db2.gz WFLRIMHJYIJJMD-UHFFFAOYSA-N 0 0 442.519 -0.156 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CCCC3=O)c2)CC1 ZINC000472554428 1071311424 /nfs/dbraw/zinc/31/14/24/1071311424.db2.gz NOOFXMGWJDISOU-UHFFFAOYSA-N 0 0 429.477 -0.051 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)cn1 ZINC000472557585 1071311520 /nfs/dbraw/zinc/31/15/20/1071311520.db2.gz BVUWJFLTWGYGAW-UHFFFAOYSA-N 0 0 445.548 -0.099 20 0 IBADRN Cn1c2ncn(CCN3CCNC(=O)[C@@H]3Cc3nc4ccccc4o3)c2c(=O)n(C)c1=O ZINC000472560367 1071312026 /nfs/dbraw/zinc/31/20/26/1071312026.db2.gz IEHOQMUDVIAJGP-AWEZNQCLSA-N 0 0 437.460 -0.382 20 0 IBADRN Cn1c2ncn(CCN3CCNC(=O)[C@H]3Cc3nc4ccccc4o3)c2c(=O)n(C)c1=O ZINC000472560368 1071312208 /nfs/dbraw/zinc/31/22/08/1071312208.db2.gz IEHOQMUDVIAJGP-CQSZACIVSA-N 0 0 437.460 -0.382 20 0 IBADRN CC(C)Cc1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000472566655 1071312603 /nfs/dbraw/zinc/31/26/03/1071312603.db2.gz RMYHZKLVGOVKBI-UHFFFAOYSA-N 0 0 430.465 -0.567 20 0 IBADRN CC(C)Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000472570812 1071312807 /nfs/dbraw/zinc/31/28/07/1071312807.db2.gz PSUKNSGIIKVXIJ-UHFFFAOYSA-N 0 0 440.526 -0.665 20 0 IBADRN COC(=O)c1cc(F)c(C)c(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000472583879 1071313413 /nfs/dbraw/zinc/31/34/13/1071313413.db2.gz GNOWWXHTCVEMGH-GFCCVEGCSA-N 0 0 436.483 -0.155 20 0 IBADRN COC(=O)c1cc(F)c(C)c(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000472583880 1071313273 /nfs/dbraw/zinc/31/32/73/1071313273.db2.gz GNOWWXHTCVEMGH-LBPRGKRZSA-N 0 0 436.483 -0.155 20 0 IBADRN Cn1ccnc(N2CCN(CC(=O)Nc3cc(S(N)(=O)=O)ccc3Cl)CC2)c1=O ZINC000472590014 1071313980 /nfs/dbraw/zinc/31/39/80/1071313980.db2.gz DGXMUJLNKCBORP-UHFFFAOYSA-N 0 0 440.913 -0.158 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000472593643 1071314087 /nfs/dbraw/zinc/31/40/87/1071314087.db2.gz ZBTWPFCJSDPSCN-UHFFFAOYSA-N 0 0 427.444 -0.036 20 0 IBADRN CCOC(CNS(=O)(=O)c1ccnn1CC)CNS(=O)(=O)c1ccnn1CC ZINC000472594498 1071314431 /nfs/dbraw/zinc/31/44/31/1071314431.db2.gz BTWKSIQOCSQTSB-UHFFFAOYSA-N 0 0 434.544 -0.219 20 0 IBADRN C[C@H]1CCCN1S(=O)(=O)NCCOCCOCCNS(=O)(=O)N1CCC[C@@H]1C ZINC000472596412 1071314317 /nfs/dbraw/zinc/31/43/17/1071314317.db2.gz KQYZAIJLKXSAPO-HOTGVXAUSA-N 0 0 442.604 -0.343 20 0 IBADRN C[C@@H]1CCCN1S(=O)(=O)NCCOCCOCCNS(=O)(=O)N1CCC[C@H]1C ZINC000472596415 1071314589 /nfs/dbraw/zinc/31/45/89/1071314589.db2.gz KQYZAIJLKXSAPO-HZPDHXFCSA-N 0 0 442.604 -0.343 20 0 IBADRN C[C@@H]1CCCN1S(=O)(=O)NCCOCCOCCNS(=O)(=O)N1CCC[C@@H]1C ZINC000472596416 1071314504 /nfs/dbraw/zinc/31/45/04/1071314504.db2.gz KQYZAIJLKXSAPO-IYBDPMFKSA-N 0 0 442.604 -0.343 20 0 IBADRN Cc1nnc(-c2cc(F)ccc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)o1 ZINC000472597737 1071314397 /nfs/dbraw/zinc/31/43/97/1071314397.db2.gz CFSDPBRIRJISMJ-UHFFFAOYSA-N 0 0 443.399 -0.520 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)cc(F)c1C ZINC000472601305 1071314899 /nfs/dbraw/zinc/31/48/99/1071314899.db2.gz RQQAWFQWIBPZAT-AWEZNQCLSA-N 0 0 446.453 -0.010 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)cc(F)c1C ZINC000472601310 1071315092 /nfs/dbraw/zinc/31/50/92/1071315092.db2.gz RQQAWFQWIBPZAT-CQSZACIVSA-N 0 0 446.453 -0.010 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)ccc2[nH]1 ZINC000472602964 1071315179 /nfs/dbraw/zinc/31/51/79/1071315179.db2.gz BILSRLWPFWEDNF-UHFFFAOYSA-N 0 0 444.473 -0.044 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc(-n2cccn2)c1 ZINC000472613341 1071316576 /nfs/dbraw/zinc/31/65/76/1071316576.db2.gz RQROSJTXDZRPAL-UHFFFAOYSA-N 0 0 439.436 -0.823 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cccc1NC(=O)N(C)C ZINC000472614452 1071317545 /nfs/dbraw/zinc/31/75/45/1071317545.db2.gz VKVMTNYNNAPFNP-UHFFFAOYSA-N 0 0 443.468 -0.615 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)NCC(=O)N3CCC[C@H]3C(=O)NC)ccc2[nH]1 ZINC000472616797 1071317164 /nfs/dbraw/zinc/31/71/64/1071317164.db2.gz FKXPSTCWUNYITN-HNNXBMFYSA-N 0 0 428.449 -0.681 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)NCC(=O)N3CCC[C@@H]3C(=O)NC)ccc2[nH]1 ZINC000472616800 1071317620 /nfs/dbraw/zinc/31/76/20/1071317620.db2.gz FKXPSTCWUNYITN-OAHLLOKOSA-N 0 0 428.449 -0.681 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(OC)cc(-n2cccn2)c1 ZINC000472618078 1071318640 /nfs/dbraw/zinc/31/86/40/1071318640.db2.gz MCHTYHMKLVUTQP-INIZCTEOSA-N 0 0 428.449 -0.327 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(OC)cc(-n2cccn2)c1 ZINC000472618079 1071318588 /nfs/dbraw/zinc/31/85/88/1071318588.db2.gz MCHTYHMKLVUTQP-MRXNPFEDSA-N 0 0 428.449 -0.327 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)cc1OC ZINC000472627048 1071320197 /nfs/dbraw/zinc/32/01/97/1071320197.db2.gz OGISDZUJCACIHJ-AWEZNQCLSA-N 0 0 427.435 -0.706 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)cc1OC ZINC000472627050 1071320284 /nfs/dbraw/zinc/32/02/84/1071320284.db2.gz OGISDZUJCACIHJ-CQSZACIVSA-N 0 0 427.435 -0.706 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)cn1 ZINC000472628134 1071321767 /nfs/dbraw/zinc/32/17/67/1071321767.db2.gz HUPXEBCCLXTMNE-UHFFFAOYSA-N 0 0 446.551 -0.307 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N3CCN(CC(=O)NCCOC)CC3)ccc2[nH]1 ZINC000472628792 1071321579 /nfs/dbraw/zinc/32/15/79/1071321579.db2.gz JUIRSUMDVFSSOI-UHFFFAOYSA-N 0 0 444.492 -0.627 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)ccc2[nH]1 ZINC000472631135 1071321711 /nfs/dbraw/zinc/32/17/11/1071321711.db2.gz QBZHYXVJZQPERR-UHFFFAOYSA-N 0 0 437.460 -0.092 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(NC(=O)N(C)C)c2C)CC1 ZINC000472631153 1071322388 /nfs/dbraw/zinc/32/23/88/1071322388.db2.gz MHFXGFTWBLOLIL-UHFFFAOYSA-N 0 0 448.524 -0.066 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(OC)cc(-n3cccn3)c2)CC1 ZINC000472632736 1071321593 /nfs/dbraw/zinc/32/15/93/1071321593.db2.gz QZTKCIKRQNTRFU-UHFFFAOYSA-N 0 0 444.492 -0.274 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1 ZINC000472636257 1071322611 /nfs/dbraw/zinc/32/26/11/1071322611.db2.gz OXHBNWUCIAGVPI-UHFFFAOYSA-N 0 0 442.523 -0.822 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CC[C@@](O)(C(N)=O)C3)cc2n(C)c1=O ZINC000472636394 1071322595 /nfs/dbraw/zinc/32/25/95/1071322595.db2.gz INTMRNIAUVJKTI-AWEZNQCLSA-N 0 0 433.284 -0.750 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CC[C@](O)(C(N)=O)C3)cc2n(C)c1=O ZINC000472636395 1071322949 /nfs/dbraw/zinc/32/29/49/1071322949.db2.gz INTMRNIAUVJKTI-CQSZACIVSA-N 0 0 433.284 -0.750 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc(-n2cccn2)c1 ZINC000472638611 1071323478 /nfs/dbraw/zinc/32/34/78/1071323478.db2.gz LJWUWDQAWZRTPU-UHFFFAOYSA-N 0 0 436.494 -0.748 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cccc1NC(=O)N(C)C ZINC000472640176 1071323812 /nfs/dbraw/zinc/32/38/12/1071323812.db2.gz QMUQDKOCXZRGTG-UHFFFAOYSA-N 0 0 440.526 -0.540 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1 ZINC000472643315 1071324050 /nfs/dbraw/zinc/32/40/50/1071324050.db2.gz REXLOIQMHWJXLH-UHFFFAOYSA-N 0 0 429.480 -0.735 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)cn1 ZINC000472645141 1071324309 /nfs/dbraw/zinc/32/43/09/1071324309.db2.gz ICGDTWAULPIHNK-UHFFFAOYSA-N 0 0 428.492 -0.382 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000472647750 1071324402 /nfs/dbraw/zinc/32/44/02/1071324402.db2.gz GHEWCSOFOZRIDO-AWEZNQCLSA-N 0 0 432.524 -0.744 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000472647752 1071324383 /nfs/dbraw/zinc/32/43/83/1071324383.db2.gz GHEWCSOFOZRIDO-CQSZACIVSA-N 0 0 432.524 -0.744 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000472649052 1071325021 /nfs/dbraw/zinc/32/50/21/1071325021.db2.gz IWHDBKIFRKYJGS-UHFFFAOYSA-N 0 0 447.521 -0.421 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cn1 ZINC000472649609 1071325530 /nfs/dbraw/zinc/32/55/30/1071325530.db2.gz KDOPZJRDBRBSMA-UHFFFAOYSA-N 0 0 442.523 -0.694 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000472654013 1071325982 /nfs/dbraw/zinc/32/59/82/1071325982.db2.gz XUXSWTKHJBKACP-FHWLQOOXSA-N 0 0 436.532 -0.371 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000472654015 1071326013 /nfs/dbraw/zinc/32/60/13/1071326013.db2.gz XUXSWTKHJBKACP-QYZOEREBSA-N 0 0 436.532 -0.371 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000472654039 1071326328 /nfs/dbraw/zinc/32/63/28/1071326328.db2.gz YAPHXKGIKQCZPK-HNNXBMFYSA-N 0 0 448.503 -0.101 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000472654040 1071326199 /nfs/dbraw/zinc/32/61/99/1071326199.db2.gz YAPHXKGIKQCZPK-OAHLLOKOSA-N 0 0 448.503 -0.101 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cn1 ZINC000472654216 1071326311 /nfs/dbraw/zinc/32/63/11/1071326311.db2.gz ZKTCMUUJNRPVFS-KBPBESRZSA-N 0 0 429.456 -0.292 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC000472654942 1071326158 /nfs/dbraw/zinc/32/61/58/1071326158.db2.gz HNPBACJQYCNBOD-GJZGRUSLSA-N 0 0 446.487 -0.714 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cn1 ZINC000472656513 1071326846 /nfs/dbraw/zinc/32/68/46/1071326846.db2.gz TWSOCRQXDWSZNT-GJZGRUSLSA-N 0 0 431.472 -0.499 20 0 IBADRN Cc1oncc1CN1C[C@@H](F)C[C@H]1CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000472656829 1071326861 /nfs/dbraw/zinc/32/68/61/1071326861.db2.gz VLFJZADNBCTDBJ-HOTGVXAUSA-N 0 0 447.533 -0.154 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cn1 ZINC000472657507 1071326814 /nfs/dbraw/zinc/32/68/14/1071326814.db2.gz AQDMOIVUZWZHPR-NXOAAHMSSA-N 0 0 441.529 -0.516 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cn1 ZINC000472657510 1071326987 /nfs/dbraw/zinc/32/69/87/1071326987.db2.gz AQDMOIVUZWZHPR-QAETUUGQSA-N 0 0 441.529 -0.516 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cn1 ZINC000472657514 1071326671 /nfs/dbraw/zinc/32/66/71/1071326671.db2.gz AQDMOIVUZWZHPR-QZWWFDLISA-N 0 0 441.529 -0.516 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cn1 ZINC000472657516 1071326972 /nfs/dbraw/zinc/32/69/72/1071326972.db2.gz AQDMOIVUZWZHPR-TWMKSMIVSA-N 0 0 441.529 -0.516 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cn1 ZINC000472657523 1071326609 /nfs/dbraw/zinc/32/66/09/1071326609.db2.gz ZLDBIVRIPDHOOE-STQMWFEESA-N 0 0 442.473 -0.881 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000472658971 1071326955 /nfs/dbraw/zinc/32/69/55/1071326955.db2.gz HOYZTFSEYVQKNU-GJZGRUSLSA-N 0 0 429.456 -0.292 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@H]2C[C@H](F)CN2Cc2cnn(C)c2)cn1C ZINC000472659509 1071326911 /nfs/dbraw/zinc/32/69/11/1071326911.db2.gz LJDIGCMULIWSKL-HOTGVXAUSA-N 0 0 441.533 -0.141 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)cn1 ZINC000472663947 1071328198 /nfs/dbraw/zinc/32/81/98/1071328198.db2.gz PWHUBYGVPFBYOX-PBWTXFEYSA-N 0 0 433.488 -0.219 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000472665257 1071328399 /nfs/dbraw/zinc/32/83/99/1071328399.db2.gz WLXMHQFZTWIGPD-ZFWWWQNUSA-N 0 0 438.485 -0.265 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)Nc2cc(-n3cnnn3)ccc2Cl)C1 ZINC000472665328 1071328439 /nfs/dbraw/zinc/32/84/39/1071328439.db2.gz IVPREKZQIGFVNE-LLVKDONJSA-N 0 0 428.906 -0.241 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)Nc2cc(-n3cnnn3)ccc2Cl)C1 ZINC000472665331 1071328341 /nfs/dbraw/zinc/32/83/41/1071328341.db2.gz IVPREKZQIGFVNE-NSHDSACASA-N 0 0 428.906 -0.241 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000472667651 1071328749 /nfs/dbraw/zinc/32/87/49/1071328749.db2.gz OWCTXBJLVZRAKU-GFCCVEGCSA-N 0 0 426.478 -0.447 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000472667660 1071328458 /nfs/dbraw/zinc/32/84/58/1071328458.db2.gz OWCTXBJLVZRAKU-LBPRGKRZSA-N 0 0 426.478 -0.447 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H]1C[C@H](F)CN1Cc1cnn(C)c1 ZINC000472670094 1071329007 /nfs/dbraw/zinc/32/90/07/1071329007.db2.gz QBEONNAIJPIOGE-QEJZJMRPSA-N 0 0 427.506 -0.451 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H]1C[C@H](F)CN1Cc1cnn(C)c1 ZINC000472670111 1071328879 /nfs/dbraw/zinc/32/88/79/1071328879.db2.gz QBEONNAIJPIOGE-SNPRPXQTSA-N 0 0 427.506 -0.451 20 0 IBADRN COC(=O)c1cc(F)c(C)c(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000472671436 1071329136 /nfs/dbraw/zinc/32/91/36/1071329136.db2.gz QDIVGLAILBAPKJ-GFCCVEGCSA-N 0 0 436.483 -0.108 20 0 IBADRN COC(=O)c1cc(F)c(C)c(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000472671438 1071329154 /nfs/dbraw/zinc/32/91/54/1071329154.db2.gz QDIVGLAILBAPKJ-LBPRGKRZSA-N 0 0 436.483 -0.108 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cn1 ZINC000472672639 1071329230 /nfs/dbraw/zinc/32/92/30/1071329230.db2.gz YCRMURMMVULWGZ-STQMWFEESA-N 0 0 432.460 -0.243 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1C[C@H](F)CN1Cc1cnn(C)c1 ZINC000472672999 1071328968 /nfs/dbraw/zinc/32/89/68/1071328968.db2.gz ULJHZRFXNISSJK-HOTGVXAUSA-N 0 0 446.549 -0.717 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@@H]2C[C@H](F)CN2Cc2cnn(C)c2)cn1C ZINC000472673638 1071329083 /nfs/dbraw/zinc/32/90/83/1071329083.db2.gz WRFGQRZZJNLOQI-GJZGRUSLSA-N 0 0 427.506 -0.531 20 0 IBADRN CCn1nccc1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000472673803 1071329100 /nfs/dbraw/zinc/32/91/00/1071329100.db2.gz YZFBBQZPOYJHID-UHFFFAOYSA-N 0 0 428.540 -0.272 20 0 IBADRN CN(CCS(=O)(=O)NCc1nnnn1CC1CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000472688373 1071329655 /nfs/dbraw/zinc/32/96/55/1071329655.db2.gz DYPNRDISHOKBON-UHFFFAOYSA-N 0 0 432.503 -0.038 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N[C@H]3CCN(CCC(=O)OC)C3=O)ccc2[nH]1 ZINC000472691749 1071329398 /nfs/dbraw/zinc/32/93/98/1071329398.db2.gz JECUMAUIEQDPMA-AWEZNQCLSA-N 0 0 429.433 -0.254 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N[C@@H]3CCN(CCC(=O)OC)C3=O)ccc2[nH]1 ZINC000472691750 1071329356 /nfs/dbraw/zinc/32/93/56/1071329356.db2.gz JECUMAUIEQDPMA-CQSZACIVSA-N 0 0 429.433 -0.254 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N3CC(n4cc(C(=O)OC)nn4)C3)ccc2[nH]1 ZINC000472692602 1071329517 /nfs/dbraw/zinc/32/95/17/1071329517.db2.gz LGPYTCXCTGWWAR-UHFFFAOYSA-N 0 0 425.405 -0.072 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2nc(COc3ccccc3)n[nH]2)CC1 ZINC000472694306 1071329669 /nfs/dbraw/zinc/32/96/69/1071329669.db2.gz UOJAAECDQUTBKS-UHFFFAOYSA-N 0 0 430.512 -0.705 20 0 IBADRN COc1ccc(S(=O)(=O)NCc2nnnn2CC2CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000472699302 1071330453 /nfs/dbraw/zinc/33/04/53/1071330453.db2.gz ONFOZIPIMCYRAZ-KRWDZBQOSA-N 0 0 435.466 -0.375 20 0 IBADRN COc1ccc(S(=O)(=O)NCc2nnnn2CC2CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000472699303 1071330344 /nfs/dbraw/zinc/33/03/44/1071330344.db2.gz ONFOZIPIMCYRAZ-QGZVFWFLSA-N 0 0 435.466 -0.375 20 0 IBADRN O=S(=O)(NCc1nnnn1CC1CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000472701060 1071330150 /nfs/dbraw/zinc/33/01/50/1071330150.db2.gz SBQLNWWFGHXKEZ-UHFFFAOYSA-N 0 0 442.523 -0.418 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000472715124 1071330243 /nfs/dbraw/zinc/33/02/43/1071330243.db2.gz ORWKYPFMVGBSOA-UHFFFAOYSA-N 0 0 425.492 -0.469 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)cn1 ZINC000472715966 1071330327 /nfs/dbraw/zinc/33/03/27/1071330327.db2.gz QQQFQQLIYWMEOS-UHFFFAOYSA-N 0 0 445.567 -0.132 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)cn1 ZINC000472718079 1071330182 /nfs/dbraw/zinc/33/01/82/1071330182.db2.gz XKISYYGBCRQRKP-UHFFFAOYSA-N 0 0 443.507 -0.259 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cccc1NC(=O)N(C)C ZINC000472722117 1071330207 /nfs/dbraw/zinc/33/02/07/1071330207.db2.gz GRMUDPNMPHXMLO-UHFFFAOYSA-N 0 0 428.515 -0.024 20 0 IBADRN O=C(Nc1cccc(N2C(=O)CCCC2=O)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000472723908 1073107168 /nfs/dbraw/zinc/10/71/68/1073107168.db2.gz OEPFTZZZDMEKHX-UHFFFAOYSA-N 0 0 432.477 -0.178 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(N2C(=O)CCCC2=O)c1 ZINC000472724196 1071330358 /nfs/dbraw/zinc/33/03/58/1071330358.db2.gz LNZZSPYRPZMKGB-UHFFFAOYSA-N 0 0 439.494 -0.383 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000472726242 1071330796 /nfs/dbraw/zinc/33/07/96/1071330796.db2.gz CYHDSSXXZDAOIA-UHFFFAOYSA-N 0 0 431.474 -0.508 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000472727083 1071330310 /nfs/dbraw/zinc/33/03/10/1071330310.db2.gz SQPNUCWOURDZKQ-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1C[C@H](F)CN1Cc1nccn1C ZINC000472739027 1071331155 /nfs/dbraw/zinc/33/11/55/1071331155.db2.gz VJSWTDQSAAWEOX-GJZGRUSLSA-N 0 0 446.549 -0.717 20 0 IBADRN CC(C)Cc1ncc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000472741058 1071331079 /nfs/dbraw/zinc/33/10/79/1071331079.db2.gz ZSCPMJKQFBDTSM-UHFFFAOYSA-N 0 0 430.469 -0.898 20 0 IBADRN COc1coc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cc1=O ZINC000472743102 1071331727 /nfs/dbraw/zinc/33/17/27/1071331727.db2.gz ANDBHZXVCAIFRR-UHFFFAOYSA-N 0 0 443.503 -0.198 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000472743827 1071331538 /nfs/dbraw/zinc/33/15/38/1071331538.db2.gz BJZWNMUMTNUWTO-UHFFFAOYSA-N 0 0 430.444 -0.529 20 0 IBADRN CN(CC(=O)Nc1cc(-n2cnnn2)ccc1Cl)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000472744022 1071331586 /nfs/dbraw/zinc/33/15/86/1071331586.db2.gz CNWORWDOAVHGLR-LLVKDONJSA-N 0 0 441.901 -0.511 20 0 IBADRN CN(CC(=O)Nc1cc(-n2cnnn2)ccc1Cl)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000472744067 1071331749 /nfs/dbraw/zinc/33/17/49/1071331749.db2.gz CNWORWDOAVHGLR-NSHDSACASA-N 0 0 441.901 -0.511 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000472745368 1071332125 /nfs/dbraw/zinc/33/21/25/1071332125.db2.gz DSUQOJJMUYSEEQ-UHFFFAOYSA-N 0 0 432.460 -0.283 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000472746301 1071332113 /nfs/dbraw/zinc/33/21/13/1071332113.db2.gz FKRIQTHNOBVAAE-KRWDZBQOSA-N 0 0 443.489 -0.457 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000472746311 1071332201 /nfs/dbraw/zinc/33/22/01/1071332201.db2.gz FKRIQTHNOBVAAE-QGZVFWFLSA-N 0 0 443.489 -0.457 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2Cl)CC1 ZINC000472747313 1071332230 /nfs/dbraw/zinc/33/22/30/1071332230.db2.gz HURMSLYPBQBSFU-UHFFFAOYSA-N 0 0 434.888 -0.077 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)Nc1cc(S(N)(=O)=O)ccc1Cl ZINC000472749462 1071332250 /nfs/dbraw/zinc/33/22/50/1071332250.db2.gz KQCWIHHSWKVFFP-UHFFFAOYSA-N 0 0 448.929 -0.267 20 0 IBADRN Cn1ccnc1CN1C[C@@H](F)C[C@H]1CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000472749579 1071332169 /nfs/dbraw/zinc/33/21/69/1071332169.db2.gz LFTBQKNVBZSJFM-KBPBESRZSA-N 0 0 431.472 -0.499 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000472751489 1071332678 /nfs/dbraw/zinc/33/26/78/1071332678.db2.gz NJTGHSGOMVVITA-UHFFFAOYSA-N 0 0 443.913 -0.651 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)CC1 ZINC000472753309 1071332721 /nfs/dbraw/zinc/33/27/21/1071332721.db2.gz QHYKVYJHFVGESN-UHFFFAOYSA-N 0 0 445.929 -0.405 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)Nc1cc(-n2nnnc2C)ccc1F ZINC000472757299 1071333215 /nfs/dbraw/zinc/33/32/15/1071333215.db2.gz VYQYRIDMYNFFPE-UHFFFAOYSA-N 0 0 435.460 -0.144 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)Nc1cc(-n2cnnn2)ccc1Cl ZINC000472757869 1071333167 /nfs/dbraw/zinc/33/31/67/1071333167.db2.gz YHIOAEJVYGJVJN-UHFFFAOYSA-N 0 0 432.872 -0.323 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000472758166 1071333187 /nfs/dbraw/zinc/33/31/87/1071333187.db2.gz WVFNMIFXHRHVFB-GOSISDBHSA-N 0 0 444.513 -0.146 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000472758175 1071333322 /nfs/dbraw/zinc/33/33/22/1071333322.db2.gz WVFNMIFXHRHVFB-SFHVURJKSA-N 0 0 444.513 -0.146 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000472759100 1071333291 /nfs/dbraw/zinc/33/32/91/1071333291.db2.gz YGQDXJBDUXCMPQ-HNNXBMFYSA-N 0 0 446.487 -0.395 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000472759102 1071333227 /nfs/dbraw/zinc/33/32/27/1071333227.db2.gz YGQDXJBDUXCMPQ-OAHLLOKOSA-N 0 0 446.487 -0.395 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)Nc1cc(-n2cnnn2)ccc1F ZINC000472762438 1071333274 /nfs/dbraw/zinc/33/32/74/1071333274.db2.gz LWAHAKLLIFDJOE-UHFFFAOYSA-N 0 0 432.460 -0.784 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2Cl)CC1 ZINC000472763504 1071333842 /nfs/dbraw/zinc/33/38/42/1071333842.db2.gz ARRRIJNBSXGKLX-UHFFFAOYSA-N 0 0 436.904 -0.366 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000472763818 1071333728 /nfs/dbraw/zinc/33/37/28/1071333728.db2.gz OXCOTEZNJVZJMK-UHFFFAOYSA-N 0 0 446.487 -0.475 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)Nc1cc(-n2cnnn2)ccc1Cl ZINC000472764844 1071333966 /nfs/dbraw/zinc/33/39/66/1071333966.db2.gz RFFRZNYTVSQQOV-UHFFFAOYSA-N 0 0 448.915 -0.269 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000472766350 1071333713 /nfs/dbraw/zinc/33/37/13/1071333713.db2.gz FVQNPYYBDWPKPH-UHFFFAOYSA-N 0 0 444.471 -0.185 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)Nc1cc(-n2cnnn2)ccc1F ZINC000472767796 1071334262 /nfs/dbraw/zinc/33/42/62/1071334262.db2.gz HJGVCCXOACDESN-UHFFFAOYSA-N 0 0 432.460 -0.784 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)Nc1cc(-n2nnnc2C2CC2)ccc1F ZINC000472768091 1071333799 /nfs/dbraw/zinc/33/37/99/1071333799.db2.gz IRMGFIRCMPRXRG-HNNXBMFYSA-N 0 0 431.428 -0.019 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)Nc1cc(-n2nnnc2C2CC2)ccc1F ZINC000472768097 1071333748 /nfs/dbraw/zinc/33/37/48/1071333748.db2.gz IRMGFIRCMPRXRG-OAHLLOKOSA-N 0 0 431.428 -0.019 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)Nc1cc(-n2cnnn2)ccc1Cl ZINC000472769821 1071334409 /nfs/dbraw/zinc/33/44/09/1071334409.db2.gz KAEHFQWIJCTMFS-UHFFFAOYSA-N 0 0 448.915 -0.269 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000472769833 1071334277 /nfs/dbraw/zinc/33/42/77/1071334277.db2.gz KCMKVPAEJKFVAI-UHFFFAOYSA-N 0 0 446.487 -0.475 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCCC2)CC1)Nc1cc(-n2cnnn2)ccc1F ZINC000472770271 1071334424 /nfs/dbraw/zinc/33/44/24/1071334424.db2.gz LNGGENXENWMHKN-UHFFFAOYSA-N 0 0 430.444 -0.494 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@@H]3C[C@H](F)CN3Cc3nccn3C)OCC(=O)N2C)cn1 ZINC000472771323 1071334242 /nfs/dbraw/zinc/33/42/42/1071334242.db2.gz NAZBNOHRHHRALA-STEAMIEHSA-N 0 0 433.488 -0.219 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)Nc2cc(-n3nnnc3C)ccc2F)CC1 ZINC000472772537 1071334365 /nfs/dbraw/zinc/33/43/65/1071334365.db2.gz PGCNTCWQMJKRDX-UHFFFAOYSA-N 0 0 434.476 -0.571 20 0 IBADRN CCN(CC(=O)Nc1cc(-n2cnnn2)ccc1F)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000472776503 1071334969 /nfs/dbraw/zinc/33/49/69/1071334969.db2.gz WQEFGEZBKKMTKW-GFCCVEGCSA-N 0 0 439.473 -0.635 20 0 IBADRN CCN(CC(=O)Nc1cc(-n2cnnn2)ccc1F)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000472776512 1071334714 /nfs/dbraw/zinc/33/47/14/1071334714.db2.gz WQEFGEZBKKMTKW-LBPRGKRZSA-N 0 0 439.473 -0.635 20 0 IBADRN O=C(NCC1(C(F)F)CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000472782027 1071334807 /nfs/dbraw/zinc/33/48/07/1071334807.db2.gz BOCVBVDMVMLXBD-UHFFFAOYSA-N 0 0 430.433 -0.093 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C2CN(C(C)=O)C2)CC1 ZINC000472790172 1071335471 /nfs/dbraw/zinc/33/54/71/1071335471.db2.gz RGHMSBKNXIKIHJ-UHFFFAOYSA-N 0 0 427.479 -0.006 20 0 IBADRN Cc1cccc(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000472798139 1073107244 /nfs/dbraw/zinc/10/72/44/1073107244.db2.gz YUFITZUFZXXPBD-UHFFFAOYSA-N 0 0 445.501 -0.192 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ncnn3-c3ccccc3)cnc2n(C)c1=O ZINC000472805480 1071335931 /nfs/dbraw/zinc/33/59/31/1071335931.db2.gz GFCGITXCMQBURD-UHFFFAOYSA-N 0 0 434.416 -0.532 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000472816744 1071336119 /nfs/dbraw/zinc/33/61/19/1071336119.db2.gz KUXZXQLXHBHTFX-UHFFFAOYSA-N 0 0 428.496 -0.335 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)n1 ZINC000472818816 1073335766 /nfs/dbraw/zinc/33/57/66/1073335766.db2.gz WMPOPMOTCBFNMW-UHFFFAOYSA-N 0 0 442.523 -0.234 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccn(C)c3=O)CC2)cs1 ZINC000472829685 1071336551 /nfs/dbraw/zinc/33/65/51/1071336551.db2.gz SQKUZQBLGUQCMJ-UHFFFAOYSA-N 0 0 425.492 -0.378 20 0 IBADRN CO[C@@]1(C)CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000472829808 1071336519 /nfs/dbraw/zinc/33/65/19/1071336519.db2.gz MSZVICQPTLFIBC-IBGZPJMESA-N 0 0 438.506 -0.227 20 0 IBADRN CO[C@]1(C)CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000472829809 1071336670 /nfs/dbraw/zinc/33/66/70/1071336670.db2.gz MSZVICQPTLFIBC-LJQANCHMSA-N 0 0 438.506 -0.227 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnnn3CC3CC3)CC2)C[C@H](C)O1 ZINC000472838972 1071336636 /nfs/dbraw/zinc/33/66/36/1071336636.db2.gz HBDACZCUVKQKOX-KBPBESRZSA-N 0 0 442.546 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnnn3CC3CC3)CC2)C[C@H](C)O1 ZINC000472838977 1071336442 /nfs/dbraw/zinc/33/64/42/1071336442.db2.gz HBDACZCUVKQKOX-OKILXGFUSA-N 0 0 442.546 -0.736 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnnn3CC3CC3)CC2)C[C@@H](C)O1 ZINC000472838980 1071336765 /nfs/dbraw/zinc/33/67/65/1071336765.db2.gz HBDACZCUVKQKOX-ZIAGYGMSSA-N 0 0 442.546 -0.736 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NCc1nnnn1CC1CC1 ZINC000472848543 1071337214 /nfs/dbraw/zinc/33/72/14/1071337214.db2.gz BWHYOHFXJTYPFI-UHFFFAOYSA-N 0 0 449.541 -0.571 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(C)cn(C)c3=O)CC2)cs1 ZINC000472849498 1071336997 /nfs/dbraw/zinc/33/69/97/1071336997.db2.gz ACPPATFHNLPVIM-UHFFFAOYSA-N 0 0 439.519 -0.070 20 0 IBADRN Cc1nc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)no1 ZINC000472860574 1071338740 /nfs/dbraw/zinc/33/87/40/1071338740.db2.gz UWMZJTWXEVTNFA-DFEHZGFQSA-N 0 0 435.460 -0.261 20 0 IBADRN O=C(NCCOCC(F)F)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000472861403 1071338573 /nfs/dbraw/zinc/33/85/73/1071338573.db2.gz OYSYVKYRKFWKSI-UHFFFAOYSA-N 0 0 434.421 -0.857 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCc2nnnn2CC2CC2)c1 ZINC000472862558 1071339369 /nfs/dbraw/zinc/33/93/69/1071339369.db2.gz ZETJMVCOSWMWIO-LLVKDONJSA-N 0 0 436.498 -0.182 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCc2nnnn2CC2CC2)c1 ZINC000472862570 1071339283 /nfs/dbraw/zinc/33/92/83/1071339283.db2.gz ZETJMVCOSWMWIO-NSHDSACASA-N 0 0 436.498 -0.182 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000472866091 1071339462 /nfs/dbraw/zinc/33/94/62/1071339462.db2.gz QVEICYBRAZQLRL-UHFFFAOYSA-N 0 0 428.501 -0.259 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(Cl)c(S(C)(=O)=O)c1 ZINC000472871132 1071340430 /nfs/dbraw/zinc/34/04/30/1071340430.db2.gz RATIMYAEELJUPW-CYBMUJFWSA-N 0 0 433.914 -0.167 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(Cl)c(S(C)(=O)=O)c1 ZINC000472871133 1071340392 /nfs/dbraw/zinc/34/03/92/1071340392.db2.gz RATIMYAEELJUPW-ZDUSSCGKSA-N 0 0 433.914 -0.167 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc3ccn(C)c31)C2 ZINC000472872182 1071339796 /nfs/dbraw/zinc/33/97/96/1071339796.db2.gz ACEQOZNVXHMTMO-UHFFFAOYSA-N 0 0 425.449 -0.394 20 0 IBADRN Cc1nc(CN2C[C@@H](F)C[C@H]2CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)no1 ZINC000472873798 1071340525 /nfs/dbraw/zinc/34/05/25/1071340525.db2.gz WBBQZBAFXYDOIU-KBPBESRZSA-N 0 0 448.521 -0.759 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCC(F)F)cc2)CC1 ZINC000472876280 1071340666 /nfs/dbraw/zinc/34/06/66/1071340666.db2.gz JRWLRIMTXODKFD-UHFFFAOYSA-N 0 0 434.465 -0.041 20 0 IBADRN CCOC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000472878985 1071341057 /nfs/dbraw/zinc/34/10/57/1071341057.db2.gz HFWNSSZKSIZDKQ-UHFFFAOYSA-N 0 0 428.467 -0.262 20 0 IBADRN Cc1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)on1 ZINC000472886761 1071341757 /nfs/dbraw/zinc/34/17/57/1071341757.db2.gz XKYAHAXGFLQIGR-GJZGRUSLSA-N 0 0 447.533 -0.154 20 0 IBADRN CS(=O)(=O)c1cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)ccc1Cl ZINC000472893092 1073111944 /nfs/dbraw/zinc/11/19/44/1073111944.db2.gz WCHRVNMSEVEJJN-UHFFFAOYSA-N 0 0 433.914 -0.165 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCOCC(F)F)c2)CC1 ZINC000472895609 1071341703 /nfs/dbraw/zinc/34/17/03/1071341703.db2.gz RIIOXTWGBOOKAZ-UHFFFAOYSA-N 0 0 434.465 -0.041 20 0 IBADRN Cc1nc(CN2C[C@@H](F)C[C@H]2CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)no1 ZINC000472901658 1071341970 /nfs/dbraw/zinc/34/19/70/1071341970.db2.gz UMNUPDPKHPECDN-STQMWFEESA-N 0 0 433.444 -0.541 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(Cl)c(S(C)(=O)=O)c2)C1=O ZINC000472906169 1071342641 /nfs/dbraw/zinc/34/26/41/1071342641.db2.gz XGXTXSCZUDZVCP-GFCCVEGCSA-N 0 0 445.881 -0.038 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(Cl)c(S(C)(=O)=O)c2)C1=O ZINC000472906176 1071342918 /nfs/dbraw/zinc/34/29/18/1071342918.db2.gz XGXTXSCZUDZVCP-LBPRGKRZSA-N 0 0 445.881 -0.038 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)n2C ZINC000472918316 1071344231 /nfs/dbraw/zinc/34/42/31/1071344231.db2.gz BCOSDIVLAMGALW-UHFFFAOYSA-N 0 0 444.492 -0.206 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@]3(CCO[C@H]3C)C2)cc1 ZINC000472919063 1071344274 /nfs/dbraw/zinc/34/42/74/1071344274.db2.gz QRIMPKLWFFKGNV-LHSJRXKWSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCO[C@H]3C)C2)cc1 ZINC000472919064 1071344290 /nfs/dbraw/zinc/34/42/90/1071344290.db2.gz QRIMPKLWFFKGNV-QKKBWIMNSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@]3(CCO[C@@H]3C)C2)cc1 ZINC000472919065 1071344213 /nfs/dbraw/zinc/34/42/13/1071344213.db2.gz QRIMPKLWFFKGNV-SPLOXXLWSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCO[C@@H]3C)C2)cc1 ZINC000472919066 1071344139 /nfs/dbraw/zinc/34/41/39/1071344139.db2.gz QRIMPKLWFFKGNV-SZNDQCEHSA-N 0 0 433.461 -0.137 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@]3(CCO[C@@H]3C)C2)cc1 ZINC000472928071 1071344843 /nfs/dbraw/zinc/34/48/43/1071344843.db2.gz MIKXBPZOFCSUHQ-AUUYWEPGSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@]3(CCO[C@H]3C)C2)cc1 ZINC000472928079 1071344747 /nfs/dbraw/zinc/34/47/47/1071344747.db2.gz MIKXBPZOFCSUHQ-IFXJQAMLSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCO[C@@H]3C)C2)cc1 ZINC000472928083 1071344861 /nfs/dbraw/zinc/34/48/61/1071344861.db2.gz MIKXBPZOFCSUHQ-KUHUBIRLSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCO[C@H]3C)C2)cc1 ZINC000472928086 1071344760 /nfs/dbraw/zinc/34/47/60/1071344760.db2.gz MIKXBPZOFCSUHQ-LIRRHRJNSA-N 0 0 441.506 -0.044 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c1)CCO2 ZINC000472938335 1071346620 /nfs/dbraw/zinc/34/66/20/1071346620.db2.gz FZYGIKDTZGQUHY-DXEZIKHYSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c1)CCO2 ZINC000472938345 1071346398 /nfs/dbraw/zinc/34/63/98/1071346398.db2.gz FZYGIKDTZGQUHY-KGWSRGFLSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c1)CCO2 ZINC000472938348 1071346306 /nfs/dbraw/zinc/34/63/06/1071346306.db2.gz FZYGIKDTZGQUHY-WSOZGMELSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c1)CCO2 ZINC000472938352 1071346367 /nfs/dbraw/zinc/34/63/67/1071346367.db2.gz FZYGIKDTZGQUHY-YUWJWYLASA-N 0 0 449.508 -0.136 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)c(Cl)c1 ZINC000472940988 1071347263 /nfs/dbraw/zinc/34/72/63/1071347263.db2.gz RAZXPYJEQITYLC-CYBMUJFWSA-N 0 0 431.854 -0.061 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)c(Cl)c1 ZINC000472940989 1071347111 /nfs/dbraw/zinc/34/71/11/1071347111.db2.gz RAZXPYJEQITYLC-ZDUSSCGKSA-N 0 0 431.854 -0.061 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)Nc1ccnc(C(=O)N3CCN(C)CC3)c1)CCO2 ZINC000472941719 1071347338 /nfs/dbraw/zinc/34/73/38/1071347338.db2.gz UXAPKIGYOQPUDD-BTYIYWSLSA-N 0 0 431.493 -0.186 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1ccnc(C(=O)N3CCN(C)CC3)c1)CCO2 ZINC000472941720 1071347315 /nfs/dbraw/zinc/34/73/15/1071347315.db2.gz UXAPKIGYOQPUDD-QVKFZJNVSA-N 0 0 431.493 -0.186 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)Nc1ccnc(C(=O)N3CCN(C)CC3)c1)CCO2 ZINC000472941921 1071347397 /nfs/dbraw/zinc/34/73/97/1071347397.db2.gz UXAPKIGYOQPUDD-VFNWGFHPSA-N 0 0 431.493 -0.186 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1ccnc(C(=O)N3CCN(C)CC3)c1)CCO2 ZINC000472941922 1071347143 /nfs/dbraw/zinc/34/71/43/1071347143.db2.gz UXAPKIGYOQPUDD-YCRPNKLZSA-N 0 0 431.493 -0.186 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000472981898 1071350525 /nfs/dbraw/zinc/35/05/25/1071350525.db2.gz WVAOQCDOZDLQQC-INIZCTEOSA-N 0 0 438.554 -0.170 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000472981937 1071350399 /nfs/dbraw/zinc/35/03/99/1071350399.db2.gz WVAOQCDOZDLQQC-MRXNPFEDSA-N 0 0 438.554 -0.170 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000472985458 1071350716 /nfs/dbraw/zinc/35/07/16/1071350716.db2.gz AEGJSRXEHCKIFB-CYBMUJFWSA-N 0 0 425.511 -0.296 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000472985464 1071350601 /nfs/dbraw/zinc/35/06/01/1071350601.db2.gz AEGJSRXEHCKIFB-ZDUSSCGKSA-N 0 0 425.511 -0.296 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000472992148 1071351346 /nfs/dbraw/zinc/35/13/46/1071351346.db2.gz VCJGSKRTSBVRDF-AWEZNQCLSA-N 0 0 425.511 -0.413 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000472992149 1071351103 /nfs/dbraw/zinc/35/11/03/1071351103.db2.gz VCJGSKRTSBVRDF-CQSZACIVSA-N 0 0 425.511 -0.413 20 0 IBADRN COCCN(C(=O)CCC(=O)N1C[C@@H](O)C[C@@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000472996944 1071351828 /nfs/dbraw/zinc/35/18/28/1071351828.db2.gz DMFZNXHYZKUWBY-KBPBESRZSA-N 0 0 439.513 -0.072 20 0 IBADRN COCCN(C(=O)CCC(=O)N1C[C@@H](O)C[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000472996945 1071351957 /nfs/dbraw/zinc/35/19/57/1071351957.db2.gz DMFZNXHYZKUWBY-KGLIPLIRSA-N 0 0 439.513 -0.072 20 0 IBADRN COCCN(C(=O)CCC(=O)N1C[C@H](O)C[C@@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000472996946 1071352123 /nfs/dbraw/zinc/35/21/23/1071352123.db2.gz DMFZNXHYZKUWBY-UONOGXRCSA-N 0 0 439.513 -0.072 20 0 IBADRN COCCN(C(=O)CCC(=O)N1C[C@H](O)C[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000472996947 1071351993 /nfs/dbraw/zinc/35/19/93/1071351993.db2.gz DMFZNXHYZKUWBY-ZIAGYGMSSA-N 0 0 439.513 -0.072 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)C1 ZINC000472998141 1071351938 /nfs/dbraw/zinc/35/19/38/1071351938.db2.gz ZHXSDQPUDRJVIV-GFCCVEGCSA-N 0 0 431.540 -0.418 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)C1 ZINC000472998149 1071351844 /nfs/dbraw/zinc/35/18/44/1071351844.db2.gz ZHXSDQPUDRJVIV-LBPRGKRZSA-N 0 0 431.540 -0.418 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C)C[C@@H]2C[C@H](F)CN2Cc2ccnn2C)cn1C ZINC000472999499 1071351893 /nfs/dbraw/zinc/35/18/93/1071351893.db2.gz KTSVHAPFDJBEIN-HOCLYGCPSA-N 0 0 441.533 -0.189 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)o1 ZINC000473000283 1071352036 /nfs/dbraw/zinc/35/20/36/1071352036.db2.gz MKEMEQNJKQMHDQ-CYBMUJFWSA-N 0 0 426.495 -0.046 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)o1 ZINC000473000289 1071351905 /nfs/dbraw/zinc/35/19/05/1071351905.db2.gz MKEMEQNJKQMHDQ-ZDUSSCGKSA-N 0 0 426.495 -0.046 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)c[nH]1 ZINC000473000486 1071352192 /nfs/dbraw/zinc/35/21/92/1071352192.db2.gz NCLBPGNARMDQHG-CYBMUJFWSA-N 0 0 425.511 -0.311 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)c[nH]1 ZINC000473000489 1071352072 /nfs/dbraw/zinc/35/20/72/1071352072.db2.gz NCLBPGNARMDQHG-ZDUSSCGKSA-N 0 0 425.511 -0.311 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000473004295 1071352844 /nfs/dbraw/zinc/35/28/44/1071352844.db2.gz ZCSHEEQAIWRKOO-HOCLYGCPSA-N 0 0 445.499 -0.157 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1cnn(C)c1)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000473006534 1071353598 /nfs/dbraw/zinc/35/35/98/1071353598.db2.gz XSGBXPGXQZVMBJ-HOTGVXAUSA-N 0 0 445.499 -0.157 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000473007719 1071354236 /nfs/dbraw/zinc/35/42/36/1071354236.db2.gz AQLVWWYSHQZVOY-ZFWWWQNUSA-N 0 0 446.487 -0.762 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000473008118 1071353999 /nfs/dbraw/zinc/35/39/99/1071353999.db2.gz BQIGULKLHNLRMG-ZFWWWQNUSA-N 0 0 427.506 -0.497 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000473010990 1071354899 /nfs/dbraw/zinc/35/48/99/1071354899.db2.gz RKGGDXVBZHLFCG-ZFWWWQNUSA-N 0 0 427.506 -0.275 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(c4cncc5ncnn54)CC3)OCC(=O)N2C)cn1 ZINC000473014394 1071354699 /nfs/dbraw/zinc/35/46/99/1071354699.db2.gz HQSPQAHGMUUGSQ-ZWKOTPCHSA-N 0 0 425.453 -0.895 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)[C@@H]4OCC(=O)N(C)[C@H]4c4cnn(C)c4)CC3)n2n1 ZINC000473014894 1071354815 /nfs/dbraw/zinc/35/48/15/1071354815.db2.gz LJXDPPGYCWJJST-RBUKOAKNSA-N 0 0 439.480 -0.587 20 0 IBADRN CN(Cc1nccc(N)n1)[C@@H]1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)C1 ZINC000473019738 1073358588 /nfs/dbraw/zinc/35/85/88/1073358588.db2.gz HWOAISBIYWKWIP-MDASCCDHSA-N 0 0 428.497 -0.577 20 0 IBADRN CN(Cc1nccc(N)n1)[C@H]1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)C1 ZINC000473019739 1073358772 /nfs/dbraw/zinc/35/87/72/1073358772.db2.gz HWOAISBIYWKWIP-ZOCIIQOWSA-N 0 0 428.497 -0.577 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000473020490 1071357174 /nfs/dbraw/zinc/35/71/74/1071357174.db2.gz GPOUQOJTWKHFLI-ZFWWWQNUSA-N 0 0 446.487 -0.762 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2ncccc2O)ccc1OC ZINC000473031014 1071362241 /nfs/dbraw/zinc/36/22/41/1071362241.db2.gz HAGQXFQAQXLYNL-UHFFFAOYSA-N 0 0 438.462 -0.025 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000473036678 1071364123 /nfs/dbraw/zinc/36/41/23/1071364123.db2.gz NOTHXVOKDUZEII-IBGZPJMESA-N 0 0 438.506 -0.491 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000473036683 1071364142 /nfs/dbraw/zinc/36/41/42/1071364142.db2.gz NOTHXVOKDUZEII-LJQANCHMSA-N 0 0 438.506 -0.491 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)NCCN2CCCS2(=O)=O)C1=O ZINC000473039800 1071367611 /nfs/dbraw/zinc/36/76/11/1071367611.db2.gz WTLTYZHUPSYWOQ-GFCCVEGCSA-N 0 0 430.508 -0.025 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)NCCN2CCCS2(=O)=O)C1=O ZINC000473039801 1071367564 /nfs/dbraw/zinc/36/75/64/1071367564.db2.gz WTLTYZHUPSYWOQ-LBPRGKRZSA-N 0 0 430.508 -0.025 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3ncccc3O)cc2)CC1 ZINC000473046716 1071374264 /nfs/dbraw/zinc/37/42/64/1071374264.db2.gz LPMANIQHDVCDIL-UHFFFAOYSA-N 0 0 433.490 -0.022 20 0 IBADRN CN(Cc1ccnn1C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000473047331 1071372558 /nfs/dbraw/zinc/37/25/58/1071372558.db2.gz JVWQSGSZXYJYME-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN O=C(NCc1ncccc1O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000473047858 1071374895 /nfs/dbraw/zinc/37/48/95/1071374895.db2.gz JNZZGLDZSRYXRR-UHFFFAOYSA-N 0 0 433.446 -0.837 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3ncccc3O)c2)CC1 ZINC000473054176 1071387511 /nfs/dbraw/zinc/38/75/11/1071387511.db2.gz ANUICIKHJZPTIX-UHFFFAOYSA-N 0 0 433.490 -0.022 20 0 IBADRN COC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000473056834 1071390840 /nfs/dbraw/zinc/39/08/40/1071390840.db2.gz IPOVVQKYEPZEBZ-CQSZACIVSA-N 0 0 441.506 -0.165 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H](C)C(=O)OC)c(=O)n2Cc1ccccc1 ZINC000473057602 1071393027 /nfs/dbraw/zinc/39/30/27/1071393027.db2.gz KVTDOXTUNZCGQB-CQSZACIVSA-N 0 0 443.460 -0.268 20 0 IBADRN CN(Cc1ccnn1C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000473059333 1071393319 /nfs/dbraw/zinc/39/33/19/1071393319.db2.gz MHZBAFMOYBIENP-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CC)[C@H](c3ncc[nH]3)C2)c1=O ZINC000473067421 1071403702 /nfs/dbraw/zinc/40/37/02/1071403702.db2.gz AHQGGVJDCNYSKO-HNNXBMFYSA-N 0 0 430.465 -0.022 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CC)[C@@H](c3ncc[nH]3)C2)c1=O ZINC000473067424 1071403474 /nfs/dbraw/zinc/40/34/74/1071403474.db2.gz AHQGGVJDCNYSKO-OAHLLOKOSA-N 0 0 430.465 -0.022 20 0 IBADRN CO[C@H]1C[C@H](C(=O)N(C)C[C@@H]2C[C@H](F)CN2Cc2ccnn2C)N(S(C)(=O)=O)C1 ZINC000473075727 1071408597 /nfs/dbraw/zinc/40/85/97/1071408597.db2.gz YLLNPWYXFIKRHK-QSPRXWTASA-N 0 0 431.534 -0.160 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ncccn1 ZINC000473077846 1071411076 /nfs/dbraw/zinc/41/10/76/1071411076.db2.gz VEUJYOQBPLLASU-ILXRZTDVSA-N 0 0 439.517 -0.052 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ncccn1 ZINC000473077847 1071411288 /nfs/dbraw/zinc/41/12/88/1071411288.db2.gz VEUJYOQBPLLASU-KKUMJFAQSA-N 0 0 439.517 -0.052 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1nccn1C)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000473078037 1071411259 /nfs/dbraw/zinc/41/12/59/1071411259.db2.gz YGVGEHIVDTZJIN-GJZGRUSLSA-N 0 0 445.499 -0.157 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1nccs1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000473081575 1071417271 /nfs/dbraw/zinc/41/72/71/1071417271.db2.gz RNRJZHSZCHSUSW-STQMWFEESA-N 0 0 449.512 -0.039 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H]1c1ncc[nH]1 ZINC000473081926 1071417307 /nfs/dbraw/zinc/41/73/07/1071417307.db2.gz PUCJTMBMLNLNKY-INIZCTEOSA-N 0 0 444.496 -0.691 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H]1c1ncc[nH]1 ZINC000473081931 1071417320 /nfs/dbraw/zinc/41/73/20/1071417320.db2.gz PUCJTMBMLNLNKY-MRXNPFEDSA-N 0 0 444.496 -0.691 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ncccn1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000473083859 1071417153 /nfs/dbraw/zinc/41/71/53/1071417153.db2.gz UVOGFQFABFOJIG-KBPBESRZSA-N 0 0 444.471 -0.705 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)C[C@H]1c1ncc[nH]1 ZINC000473084948 1071417144 /nfs/dbraw/zinc/41/71/44/1071417144.db2.gz ILQIYBAUSLBGOZ-HNNXBMFYSA-N 0 0 432.485 -0.787 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)C[C@@H]1c1ncc[nH]1 ZINC000473084951 1071417253 /nfs/dbraw/zinc/41/72/53/1071417253.db2.gz ILQIYBAUSLBGOZ-OAHLLOKOSA-N 0 0 432.485 -0.787 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ncccn1)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000473085189 1071417230 /nfs/dbraw/zinc/41/72/30/1071417230.db2.gz KCTPJCQHXXXGLJ-GJZGRUSLSA-N 0 0 443.483 -0.100 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ncccn1)C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000473088130 1071418060 /nfs/dbraw/zinc/41/80/60/1071418060.db2.gz VJAQPZHWYSDYBM-HOTGVXAUSA-N 0 0 428.534 -0.575 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H]1c1ncc[nH]1 ZINC000473088268 1074349587 /nfs/dbraw/zinc/34/95/87/1074349587.db2.gz MAMNMTFJCLNGNA-HNNXBMFYSA-N 0 0 444.496 -0.691 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H]1c1ncc[nH]1 ZINC000473088269 1074349773 /nfs/dbraw/zinc/34/97/73/1074349773.db2.gz MAMNMTFJCLNGNA-OAHLLOKOSA-N 0 0 444.496 -0.691 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1nccs1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000473090546 1071418051 /nfs/dbraw/zinc/41/80/51/1071418051.db2.gz VFEQHOYBGNWZSL-STQMWFEESA-N 0 0 449.512 -0.039 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C)C[C@@H]2C[C@H](F)CN2Cc2ncccn2)cn1C ZINC000473093878 1071418137 /nfs/dbraw/zinc/41/81/37/1071418137.db2.gz GZPXNHRNAMBLLO-GJZGRUSLSA-N 0 0 439.517 -0.132 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H]1c1ncc[nH]1 ZINC000473094939 1071418866 /nfs/dbraw/zinc/41/88/66/1071418866.db2.gz IGRIYCHSPHOPAJ-AWEZNQCLSA-N 0 0 440.464 -0.801 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@H]1c1ncc[nH]1 ZINC000473094950 1071419159 /nfs/dbraw/zinc/41/91/59/1071419159.db2.gz IGRIYCHSPHOPAJ-CQSZACIVSA-N 0 0 440.464 -0.801 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C[C@H]1c1ncc[nH]1 ZINC000473096999 1071419052 /nfs/dbraw/zinc/41/90/52/1071419052.db2.gz HESRZSDZLWEHSQ-KRWDZBQOSA-N 0 0 430.513 -0.218 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C[C@@H]1c1ncc[nH]1 ZINC000473097001 1071419148 /nfs/dbraw/zinc/41/91/48/1071419148.db2.gz HESRZSDZLWEHSQ-QGZVFWFLSA-N 0 0 430.513 -0.218 20 0 IBADRN CO[C@H]1C[C@H](C(=O)N(C)C[C@@H]2C[C@H](F)CN2Cc2ncccn2)N(S(C)(=O)=O)C1 ZINC000473098257 1071419172 /nfs/dbraw/zinc/41/91/72/1071419172.db2.gz OAQNQWGEGXUDQD-YHUYYLMFSA-N 0 0 429.518 -0.104 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C[C@H]1c1ncc[nH]1 ZINC000473099404 1071419775 /nfs/dbraw/zinc/41/97/75/1071419775.db2.gz KWMKPMSKZVMTTB-HNNXBMFYSA-N 0 0 426.481 -0.415 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C[C@@H]1c1ncc[nH]1 ZINC000473099424 1071419866 /nfs/dbraw/zinc/41/98/66/1071419866.db2.gz KWMKPMSKZVMTTB-OAHLLOKOSA-N 0 0 426.481 -0.415 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@H]1c1ncc[nH]1 ZINC000473101756 1071421002 /nfs/dbraw/zinc/42/10/02/1071421002.db2.gz OJGAYCHSOYGNOA-INIZCTEOSA-N 0 0 430.513 -0.218 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@@H]1c1ncc[nH]1 ZINC000473101758 1071420991 /nfs/dbraw/zinc/42/09/91/1071420991.db2.gz OJGAYCHSOYGNOA-MRXNPFEDSA-N 0 0 430.513 -0.218 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ncccn1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000473103033 1071420831 /nfs/dbraw/zinc/42/08/31/1071420831.db2.gz VPLSAOKGHVYWRT-KBPBESRZSA-N 0 0 444.471 -0.705 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ncccn1)C(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000473103449 1071421019 /nfs/dbraw/zinc/42/10/19/1071421019.db2.gz XBGRDDBPWGUVLU-KBPBESRZSA-N 0 0 425.490 -0.218 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000473104238 1071420650 /nfs/dbraw/zinc/42/06/50/1071420650.db2.gz YQOPZWBHHWSMOR-DZKIICNBSA-N 0 0 441.533 -0.109 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000473104239 1071420819 /nfs/dbraw/zinc/42/08/19/1071420819.db2.gz YQOPZWBHHWSMOR-YCPHGPKFSA-N 0 0 441.533 -0.109 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ncccn1)C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000473105171 1071420855 /nfs/dbraw/zinc/42/08/55/1071420855.db2.gz LPDLXTHLLDSWAZ-KBPBESRZSA-N 0 0 425.490 -0.441 20 0 IBADRN Cc1nc(CN2C[C@@H](F)C[C@H]2CN(C)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)no1 ZINC000473129193 1071425471 /nfs/dbraw/zinc/42/54/71/1071425471.db2.gz WCDGTPOZSWGTRY-KBPBESRZSA-N 0 0 447.471 -0.199 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000473129265 1071425261 /nfs/dbraw/zinc/42/52/61/1071425261.db2.gz QRXUJIYZZRRESA-UHFFFAOYSA-N 0 0 425.467 -0.015 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000473140798 1071427178 /nfs/dbraw/zinc/42/71/78/1071427178.db2.gz SMUHZJMKPZKPSN-DLBZAZTESA-N 0 0 428.507 -0.364 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000473140799 1071427101 /nfs/dbraw/zinc/42/71/01/1071427101.db2.gz SMUHZJMKPZKPSN-IAGOWNOFSA-N 0 0 428.507 -0.364 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000473140801 1071427092 /nfs/dbraw/zinc/42/70/92/1071427092.db2.gz SMUHZJMKPZKPSN-IRXDYDNUSA-N 0 0 428.507 -0.364 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000473140802 1071426895 /nfs/dbraw/zinc/42/68/95/1071426895.db2.gz SMUHZJMKPZKPSN-SJORKVTESA-N 0 0 428.507 -0.364 20 0 IBADRN CCOC(=O)c1nn(C)cc1CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000473141822 1071427183 /nfs/dbraw/zinc/42/71/83/1071427183.db2.gz BKYHZPSPSJYAQU-HNNXBMFYSA-N 0 0 427.527 -0.982 20 0 IBADRN CCOC(=O)c1nn(C)cc1CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000473141834 1071427053 /nfs/dbraw/zinc/42/70/53/1071427053.db2.gz BKYHZPSPSJYAQU-OAHLLOKOSA-N 0 0 427.527 -0.982 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)C[C@@H]1C[C@H](F)CN1c1ccncn1 ZINC000473147223 1071428715 /nfs/dbraw/zinc/42/87/15/1071428715.db2.gz CKKMAQHNGQKAAS-GJZGRUSLSA-N 0 0 444.533 -0.314 20 0 IBADRN CCOC(=O)c1nn(C)cc1CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000473147373 1071428511 /nfs/dbraw/zinc/42/85/11/1071428511.db2.gz WAGLQSGRUKNJSB-UHFFFAOYSA-N 0 0 425.467 -0.205 20 0 IBADRN Cc1nnc(N2C[C@@H](F)C[C@H]2CN(C)C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)o1 ZINC000473148968 1071429385 /nfs/dbraw/zinc/42/93/85/1071429385.db2.gz IFTDQJRUQZATIF-KBPBESRZSA-N 0 0 448.521 -0.413 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CN(C)C(=O)c2cn(CCO)c(=O)[nH]c2=O)n1 ZINC000473152772 1071429319 /nfs/dbraw/zinc/42/93/19/1071429319.db2.gz QPPMLFWFEXHUQR-STQMWFEESA-N 0 0 435.460 -0.514 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc2C1=O ZINC000473156221 1071431011 /nfs/dbraw/zinc/43/10/11/1071431011.db2.gz ANKPWGSQUXFSIR-UHFFFAOYSA-N 0 0 440.547 -0.252 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2C[C@@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000473158464 1071431099 /nfs/dbraw/zinc/43/10/99/1071431099.db2.gz GLZHOWSAXNTPFE-PVAVHDDUSA-N 0 0 427.523 -0.230 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2C[C@@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000473158467 1071430935 /nfs/dbraw/zinc/43/09/35/1071430935.db2.gz GLZHOWSAXNTPFE-XIRDDKMYSA-N 0 0 427.523 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@@H](O)C[C@H]3CO)cc2)C[C@H](C)O1 ZINC000473167999 1071439279 /nfs/dbraw/zinc/43/92/79/1071439279.db2.gz LTPOOZYJYXGZKF-FSDCSDTHSA-N 0 0 427.523 -0.150 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@@H](O)C[C@H]3CO)cc2)C[C@@H](C)O1 ZINC000473168006 1071439135 /nfs/dbraw/zinc/43/91/35/1071439135.db2.gz LTPOOZYJYXGZKF-JHNDHUHGSA-N 0 0 427.523 -0.150 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@@H](O)C[C@H]3CO)cc2)C[C@H](C)O1 ZINC000473168007 1071439347 /nfs/dbraw/zinc/43/93/47/1071439347.db2.gz LTPOOZYJYXGZKF-OTRWWLKZSA-N 0 0 427.523 -0.150 20 0 IBADRN CCCN(C(=O)CN1C[C@@H](O)C[C@H]1CO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000473169733 1071439846 /nfs/dbraw/zinc/43/98/46/1071439846.db2.gz NQSYXZWGXJTFSZ-HOTGVXAUSA-N 0 0 431.493 -0.250 20 0 IBADRN COCc1noc(CN2CCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)CC2)n1 ZINC000473172056 1071439982 /nfs/dbraw/zinc/43/99/82/1071439982.db2.gz VHSRIXBDNLZNGQ-ZWKOTPCHSA-N 0 0 433.469 -0.808 20 0 IBADRN COc1c(F)cc(F)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000473174011 1071440971 /nfs/dbraw/zinc/44/09/71/1071440971.db2.gz XPUAOKYGQGMRIC-UHFFFAOYSA-N 0 0 440.403 -0.559 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC000473175294 1071440794 /nfs/dbraw/zinc/44/07/94/1071440794.db2.gz OIOWOAQIKHDYFH-GFCCVEGCSA-N 0 0 430.508 -0.073 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC000473175298 1071440716 /nfs/dbraw/zinc/44/07/16/1071440716.db2.gz OIOWOAQIKHDYFH-LBPRGKRZSA-N 0 0 430.508 -0.073 20 0 IBADRN Cc1nnc(N2C[C@@H](F)C[C@H]2CN(C)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)o1 ZINC000473178760 1071442347 /nfs/dbraw/zinc/44/23/47/1071442347.db2.gz QWVSXCPLKOSCIJ-STQMWFEESA-N 0 0 433.444 -0.194 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1c1ccncn1)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000473178823 1071442331 /nfs/dbraw/zinc/44/23/31/1071442331.db2.gz RPICOWLNNCWFGZ-KBPBESRZSA-N 0 0 429.456 -0.096 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2C[C@@H](O)C[C@H]2CO)c(=O)[nH]c1=O ZINC000473178868 1071442174 /nfs/dbraw/zinc/44/21/74/1071442174.db2.gz SDBFWWFWIPTMSA-IHRRRGAJSA-N 0 0 427.502 -0.873 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2C[C@@H](O)C[C@H]2CO)c(=O)[nH]c1=O ZINC000473178869 1071442299 /nfs/dbraw/zinc/44/22/99/1071442299.db2.gz SDBFWWFWIPTMSA-RDBSUJKOSA-N 0 0 427.502 -0.873 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000473187126 1071443816 /nfs/dbraw/zinc/44/38/16/1071443816.db2.gz DSMBUKISMGSYKP-GDBMZVCRSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000473187131 1071443048 /nfs/dbraw/zinc/44/30/48/1071443048.db2.gz DSMBUKISMGSYKP-GOEBONIOSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000473187134 1071443860 /nfs/dbraw/zinc/44/38/60/1071443860.db2.gz DSMBUKISMGSYKP-HOCLYGCPSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000473187136 1071443713 /nfs/dbraw/zinc/44/37/13/1071443713.db2.gz DSMBUKISMGSYKP-ZBFHGGJFSA-N 0 0 429.470 -0.733 20 0 IBADRN CCn1c(=O)c(C(=O)CN2C[C@H](O)C[C@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000473188117 1071444627 /nfs/dbraw/zinc/44/46/27/1071444627.db2.gz NMSHWNQKRHIZLD-CABCVRRESA-N 0 0 430.461 -0.549 20 0 IBADRN CCn1c(=O)c(C(=O)CN2C[C@@H](O)C[C@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000473188121 1071444476 /nfs/dbraw/zinc/44/44/76/1071444476.db2.gz NMSHWNQKRHIZLD-GJZGRUSLSA-N 0 0 430.461 -0.549 20 0 IBADRN CCn1c(=O)c(C(=O)CN2C[C@H](O)C[C@@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000473188124 1071444621 /nfs/dbraw/zinc/44/46/21/1071444621.db2.gz NMSHWNQKRHIZLD-HUUCEWRRSA-N 0 0 430.461 -0.549 20 0 IBADRN CCn1c(=O)c(C(=O)CN2C[C@@H](O)C[C@@H]2C(=O)OC)c(N)n(Cc2ccccc2)c1=O ZINC000473188126 1071444544 /nfs/dbraw/zinc/44/45/44/1071444544.db2.gz NMSHWNQKRHIZLD-LSDHHAIUSA-N 0 0 430.461 -0.549 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000473188579 1071443802 /nfs/dbraw/zinc/44/38/02/1071443802.db2.gz GKZDKAJDADSOQK-GDBMZVCRSA-N 0 0 445.925 -0.219 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000473188580 1071443870 /nfs/dbraw/zinc/44/38/70/1071443870.db2.gz GKZDKAJDADSOQK-GOEBONIOSA-N 0 0 445.925 -0.219 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000473188582 1071443900 /nfs/dbraw/zinc/44/39/00/1071443900.db2.gz GKZDKAJDADSOQK-HOCLYGCPSA-N 0 0 445.925 -0.219 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000473188584 1071443791 /nfs/dbraw/zinc/44/37/91/1071443791.db2.gz GKZDKAJDADSOQK-ZBFHGGJFSA-N 0 0 445.925 -0.219 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2C[C@@H](O)C[C@H]2C(=O)OC)CC1 ZINC000473190460 1071444578 /nfs/dbraw/zinc/44/45/78/1071444578.db2.gz LDTQFJJESCYMIT-HKUYNNGSSA-N 0 0 432.521 -0.060 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2C[C@H](O)C[C@@H]2C(=O)OC)CC1 ZINC000473190461 1071444570 /nfs/dbraw/zinc/44/45/70/1071444570.db2.gz LDTQFJJESCYMIT-IEBWSBKVSA-N 0 0 432.521 -0.060 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2C[C@H](O)C[C@H]2C(=O)OC)CC1 ZINC000473190462 1071445346 /nfs/dbraw/zinc/44/53/46/1071445346.db2.gz LDTQFJJESCYMIT-MJGOQNOKSA-N 0 0 432.521 -0.060 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000473190465 1071445164 /nfs/dbraw/zinc/44/51/64/1071445164.db2.gz UBWYCKATBSVHSY-DZGCQCFKSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000473190466 1071445222 /nfs/dbraw/zinc/44/52/22/1071445222.db2.gz UBWYCKATBSVHSY-HIFRSBDPSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000473190467 1071445320 /nfs/dbraw/zinc/44/53/20/1071445320.db2.gz UBWYCKATBSVHSY-UKRRQHHQSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000473190468 1071445281 /nfs/dbraw/zinc/44/52/81/1071445281.db2.gz UBWYCKATBSVHSY-ZFWWWQNUSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000473191105 1071445359 /nfs/dbraw/zinc/44/53/59/1071445359.db2.gz WVYIOOMLUDUZOV-DLBZAZTESA-N 0 0 425.507 -0.731 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000473191106 1071445140 /nfs/dbraw/zinc/44/51/40/1071445140.db2.gz WVYIOOMLUDUZOV-IAGOWNOFSA-N 0 0 425.507 -0.731 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000473191107 1071445198 /nfs/dbraw/zinc/44/51/98/1071445198.db2.gz WVYIOOMLUDUZOV-IRXDYDNUSA-N 0 0 425.507 -0.731 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000473191108 1071445729 /nfs/dbraw/zinc/44/57/29/1071445729.db2.gz WVYIOOMLUDUZOV-SJORKVTESA-N 0 0 425.507 -0.731 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2C[C@@H](O)C[C@@H]2C(=O)OC)CC1 ZINC000473192172 1071445835 /nfs/dbraw/zinc/44/58/35/1071445835.db2.gz LDTQFJJESCYMIT-PKOBYXMFSA-N 0 0 432.521 -0.060 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000473192957 1071445815 /nfs/dbraw/zinc/44/58/15/1071445815.db2.gz PUEHAHBZKWFBBD-AEFFLSMTSA-N 0 0 439.534 -0.255 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000473192961 1071445881 /nfs/dbraw/zinc/44/58/81/1071445881.db2.gz PUEHAHBZKWFBBD-FUHWJXTLSA-N 0 0 439.534 -0.255 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000473192962 1071445889 /nfs/dbraw/zinc/44/58/89/1071445889.db2.gz PUEHAHBZKWFBBD-SJLPKXTDSA-N 0 0 439.534 -0.255 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000473192963 1071445855 /nfs/dbraw/zinc/44/58/55/1071445855.db2.gz PUEHAHBZKWFBBD-WMZOPIPTSA-N 0 0 439.534 -0.255 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000473193838 1071446611 /nfs/dbraw/zinc/44/66/11/1071446611.db2.gz HONHTAPDFYZLBN-AWEZNQCLSA-N 0 0 440.522 -0.029 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000473193858 1071446516 /nfs/dbraw/zinc/44/65/16/1071446516.db2.gz HONHTAPDFYZLBN-CQSZACIVSA-N 0 0 440.522 -0.029 20 0 IBADRN CC1(C)CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCS1(=O)=O ZINC000473194010 1071446544 /nfs/dbraw/zinc/44/65/44/1071446544.db2.gz IFDZADGLQMBBEH-HIFRSBDPSA-N 0 0 434.511 -0.015 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)cc1 ZINC000473194195 1071446592 /nfs/dbraw/zinc/44/65/92/1071446592.db2.gz QCVTWMYSMXCWFR-AWEZNQCLSA-N 0 0 448.476 -0.376 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)cc1 ZINC000473194196 1071446632 /nfs/dbraw/zinc/44/66/32/1071446632.db2.gz QCVTWMYSMXCWFR-CQSZACIVSA-N 0 0 448.476 -0.376 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000473195291 1071447244 /nfs/dbraw/zinc/44/72/44/1071447244.db2.gz LCIMKAUWFRCSHD-CYBMUJFWSA-N 0 0 440.522 -0.015 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000473195292 1071447293 /nfs/dbraw/zinc/44/72/93/1071447293.db2.gz LCIMKAUWFRCSHD-ZDUSSCGKSA-N 0 0 440.522 -0.015 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000473195433 1071446645 /nfs/dbraw/zinc/44/66/45/1071446645.db2.gz RRJQYKRJVOKAOV-PBHICJAKSA-N 0 0 441.506 -0.864 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000473195434 1071446528 /nfs/dbraw/zinc/44/65/28/1071446528.db2.gz RRJQYKRJVOKAOV-RHSMWYFYSA-N 0 0 441.506 -0.864 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000473195435 1071446485 /nfs/dbraw/zinc/44/64/85/1071446485.db2.gz RRJQYKRJVOKAOV-WMLDXEAASA-N 0 0 441.506 -0.864 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000473195436 1071446650 /nfs/dbraw/zinc/44/66/50/1071446650.db2.gz RRJQYKRJVOKAOV-YOEHRIQHSA-N 0 0 441.506 -0.864 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(CC(=O)Nc2c(C)cccc2C)CC1 ZINC000473195494 1071446574 /nfs/dbraw/zinc/44/65/74/1071446574.db2.gz SENOTUKELIDYPL-QZTJIDSGSA-N 0 0 432.521 -0.006 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(CC(=O)Nc2c(C)cccc2C)CC1 ZINC000473195495 1071446539 /nfs/dbraw/zinc/44/65/39/1071446539.db2.gz SENOTUKELIDYPL-ROUUACIJSA-N 0 0 432.521 -0.006 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(CC(=O)Nc2c(C)cccc2C)CC1 ZINC000473195497 1071447341 /nfs/dbraw/zinc/44/73/41/1071447341.db2.gz SENOTUKELIDYPL-ZWKOTPCHSA-N 0 0 432.521 -0.006 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000473195954 1071447939 /nfs/dbraw/zinc/44/79/39/1071447939.db2.gz XFVUIGLUBJGFKC-GDBMZVCRSA-N 0 0 427.479 -0.746 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000473195957 1071447899 /nfs/dbraw/zinc/44/78/99/1071447899.db2.gz XFVUIGLUBJGFKC-GOEBONIOSA-N 0 0 427.479 -0.746 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000473195959 1071447787 /nfs/dbraw/zinc/44/77/87/1071447787.db2.gz XFVUIGLUBJGFKC-HOCLYGCPSA-N 0 0 427.479 -0.746 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000473195960 1071448110 /nfs/dbraw/zinc/44/81/10/1071448110.db2.gz XFVUIGLUBJGFKC-ZBFHGGJFSA-N 0 0 427.479 -0.746 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000473196546 1071447283 /nfs/dbraw/zinc/44/72/83/1071447283.db2.gz USGYBSZLFYAYIF-CVEARBPZSA-N 0 0 441.506 -0.437 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000473196549 1071447289 /nfs/dbraw/zinc/44/72/89/1071447289.db2.gz USGYBSZLFYAYIF-HOTGVXAUSA-N 0 0 441.506 -0.437 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000473196551 1071447306 /nfs/dbraw/zinc/44/73/06/1071447306.db2.gz USGYBSZLFYAYIF-HZPDHXFCSA-N 0 0 441.506 -0.437 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000473196553 1071447258 /nfs/dbraw/zinc/44/72/58/1071447258.db2.gz USGYBSZLFYAYIF-JKSUJKDBSA-N 0 0 441.506 -0.437 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000473196569 1071447339 /nfs/dbraw/zinc/44/73/39/1071447339.db2.gz YYHFKGJNMLGFGH-GDBMZVCRSA-N 0 0 427.479 -0.746 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000473196570 1071447323 /nfs/dbraw/zinc/44/73/23/1071447323.db2.gz YYHFKGJNMLGFGH-GOEBONIOSA-N 0 0 427.479 -0.746 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000473196571 1071447346 /nfs/dbraw/zinc/44/73/46/1071447346.db2.gz YYHFKGJNMLGFGH-HOCLYGCPSA-N 0 0 427.479 -0.746 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000473196572 1071447274 /nfs/dbraw/zinc/44/72/74/1071447274.db2.gz YYHFKGJNMLGFGH-ZBFHGGJFSA-N 0 0 427.479 -0.746 20 0 IBADRN CCN(C(=O)CN1C[C@H](O)C[C@H]1C(=O)OC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000473196735 1071448735 /nfs/dbraw/zinc/44/87/35/1071448735.db2.gz ZJFWTMFTGNUZDC-CABCVRRESA-N 0 0 445.476 -0.460 20 0 IBADRN CCN(C(=O)CN1C[C@@H](O)C[C@H]1C(=O)OC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000473196736 1071448632 /nfs/dbraw/zinc/44/86/32/1071448632.db2.gz ZJFWTMFTGNUZDC-GJZGRUSLSA-N 0 0 445.476 -0.460 20 0 IBADRN CCN(C(=O)CN1C[C@H](O)C[C@@H]1C(=O)OC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000473196737 1071448569 /nfs/dbraw/zinc/44/85/69/1071448569.db2.gz ZJFWTMFTGNUZDC-HUUCEWRRSA-N 0 0 445.476 -0.460 20 0 IBADRN CCN(C(=O)CN1C[C@@H](O)C[C@@H]1C(=O)OC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000473196738 1071448626 /nfs/dbraw/zinc/44/86/26/1071448626.db2.gz ZJFWTMFTGNUZDC-LSDHHAIUSA-N 0 0 445.476 -0.460 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000473197428 1071448097 /nfs/dbraw/zinc/44/80/97/1071448097.db2.gz PKCQUCQUCXXGEP-AWEZNQCLSA-N 0 0 445.476 -0.227 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000473197429 1071447951 /nfs/dbraw/zinc/44/79/51/1071447951.db2.gz PKCQUCQUCXXGEP-CQSZACIVSA-N 0 0 445.476 -0.227 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000473197949 1071448704 /nfs/dbraw/zinc/44/87/04/1071448704.db2.gz YIPVJOFSNKZXOY-GDBMZVCRSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000473197950 1071448612 /nfs/dbraw/zinc/44/86/12/1071448612.db2.gz YIPVJOFSNKZXOY-GOEBONIOSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000473197951 1071448717 /nfs/dbraw/zinc/44/87/17/1071448717.db2.gz YIPVJOFSNKZXOY-HOCLYGCPSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000473197952 1071448743 /nfs/dbraw/zinc/44/87/43/1071448743.db2.gz YIPVJOFSNKZXOY-ZBFHGGJFSA-N 0 0 429.470 -0.733 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000473198080 1071448640 /nfs/dbraw/zinc/44/86/40/1071448640.db2.gz QNCOGEHHCQQLKH-LLVKDONJSA-N 0 0 430.458 -0.493 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000473198083 1071448595 /nfs/dbraw/zinc/44/85/95/1071448595.db2.gz QNCOGEHHCQQLKH-NSHDSACASA-N 0 0 430.458 -0.493 20 0 IBADRN CN(Cc1nccs1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000473198637 1071450149 /nfs/dbraw/zinc/45/01/49/1071450149.db2.gz RLPAAYMOLFSPTM-UHFFFAOYSA-N 0 0 437.503 -0.139 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)CC1 ZINC000473198824 1071449545 /nfs/dbraw/zinc/44/95/45/1071449545.db2.gz QQJFHIWQTFJTSI-CYBMUJFWSA-N 0 0 436.465 -0.406 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)CC1 ZINC000473198829 1071449963 /nfs/dbraw/zinc/44/99/63/1071449963.db2.gz QQJFHIWQTFJTSI-ZDUSSCGKSA-N 0 0 436.465 -0.406 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)c1 ZINC000473199073 1071449582 /nfs/dbraw/zinc/44/95/82/1071449582.db2.gz GPEIRZJXEKDEBR-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)c1 ZINC000473199102 1071449355 /nfs/dbraw/zinc/44/93/55/1071449355.db2.gz GPEIRZJXEKDEBR-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1=O ZINC000473199291 1071449467 /nfs/dbraw/zinc/44/94/67/1071449467.db2.gz SUXRKEANSAIZEE-SNPRPXQTSA-N 0 0 427.454 -0.398 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1=O ZINC000473199294 1071449556 /nfs/dbraw/zinc/44/95/56/1071449556.db2.gz SUXRKEANSAIZEE-YUELXQCFSA-N 0 0 427.454 -0.398 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)ccc1C ZINC000473200228 1071450852 /nfs/dbraw/zinc/45/08/52/1071450852.db2.gz JXETYWCSJBPPDG-AWEZNQCLSA-N 0 0 440.522 -0.063 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)ccc1C ZINC000473200229 1071450837 /nfs/dbraw/zinc/45/08/37/1071450837.db2.gz JXETYWCSJBPPDG-CQSZACIVSA-N 0 0 440.522 -0.063 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000473201034 1071451406 /nfs/dbraw/zinc/45/14/06/1071451406.db2.gz ZFKHEWRPZWCPIV-AWEZNQCLSA-N 0 0 440.522 -0.029 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000473201035 1071451611 /nfs/dbraw/zinc/45/16/11/1071451611.db2.gz ZFKHEWRPZWCPIV-CQSZACIVSA-N 0 0 440.522 -0.029 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000473201674 1071451342 /nfs/dbraw/zinc/45/13/42/1071451342.db2.gz NRVQFFBITCOQOT-GUTXKFCHSA-N 0 0 435.499 -0.900 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000473201675 1071451642 /nfs/dbraw/zinc/45/16/42/1071451642.db2.gz NRVQFFBITCOQOT-NFAWXSAZSA-N 0 0 435.499 -0.900 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000473202074 1071451480 /nfs/dbraw/zinc/45/14/80/1071451480.db2.gz VUAJQTZAUXTHKC-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000473202075 1071451502 /nfs/dbraw/zinc/45/15/02/1071451502.db2.gz VUAJQTZAUXTHKC-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000473203308 1071451442 /nfs/dbraw/zinc/45/14/42/1071451442.db2.gz YWTPENDLGUFWGF-HIFRSBDPSA-N 0 0 427.454 -0.395 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000473203882 1071451652 /nfs/dbraw/zinc/45/16/52/1071451652.db2.gz RZEXTLGJPLOFIN-AWEZNQCLSA-N 0 0 440.522 -0.230 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000473203883 1071451576 /nfs/dbraw/zinc/45/15/76/1071451576.db2.gz RZEXTLGJPLOFIN-CQSZACIVSA-N 0 0 440.522 -0.230 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)c2ccccc2O1 ZINC000473204805 1071452319 /nfs/dbraw/zinc/45/23/19/1071452319.db2.gz UDQDHTKNYQTZCK-PBHICJAKSA-N 0 0 432.477 -0.378 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)c2ccccc2O1 ZINC000473204806 1071452257 /nfs/dbraw/zinc/45/22/57/1071452257.db2.gz UDQDHTKNYQTZCK-RHSMWYFYSA-N 0 0 432.477 -0.378 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)c2ccccc2O1 ZINC000473204807 1071452226 /nfs/dbraw/zinc/45/22/26/1071452226.db2.gz UDQDHTKNYQTZCK-WMLDXEAASA-N 0 0 432.477 -0.378 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)c2ccccc2O1 ZINC000473204808 1071452290 /nfs/dbraw/zinc/45/22/90/1071452290.db2.gz UDQDHTKNYQTZCK-YOEHRIQHSA-N 0 0 432.477 -0.378 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)cc1S(N)(=O)=O ZINC000473204898 1071452239 /nfs/dbraw/zinc/45/22/39/1071452239.db2.gz VXFJOITWTAZTGO-CYBMUJFWSA-N 0 0 440.522 -0.069 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)cc1S(N)(=O)=O ZINC000473204899 1071452392 /nfs/dbraw/zinc/45/23/92/1071452392.db2.gz VXFJOITWTAZTGO-ZDUSSCGKSA-N 0 0 440.522 -0.069 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000473206679 1071455420 /nfs/dbraw/zinc/45/54/20/1071455420.db2.gz YXYZGPPDAKANMX-CYBMUJFWSA-N 0 0 431.453 -0.295 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000473206683 1071455404 /nfs/dbraw/zinc/45/54/04/1071455404.db2.gz YXYZGPPDAKANMX-ZDUSSCGKSA-N 0 0 431.453 -0.295 20 0 IBADRN CC(C)NC(=O)NC1(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCCCC1 ZINC000473208293 1071456020 /nfs/dbraw/zinc/45/60/20/1071456020.db2.gz MDRFNJXHRSAFDW-UHFFFAOYSA-N 0 0 435.529 -0.114 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)CC3)CC1 ZINC000473214526 1071465831 /nfs/dbraw/zinc/46/58/31/1071465831.db2.gz JYFYWQMDCBTVBH-UHFFFAOYSA-N 0 0 431.493 -0.840 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)cc1 ZINC000473217499 1071468238 /nfs/dbraw/zinc/46/82/38/1071468238.db2.gz FPALWIPERMCOOK-UHFFFAOYSA-N 0 0 448.505 -0.016 20 0 IBADRN CN1CCOc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc21 ZINC000473219384 1071471294 /nfs/dbraw/zinc/47/12/94/1071471294.db2.gz FVUNVNWFVQGZGH-UHFFFAOYSA-N 0 0 429.477 -0.253 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccsc3)CC2)c1 ZINC000473219985 1071472377 /nfs/dbraw/zinc/47/23/77/1071472377.db2.gz HMANVVLISNLCED-UHFFFAOYSA-N 0 0 443.485 -0.114 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@](C)(O)Cn2c(C)cccc2=O)C1 ZINC000473223871 1071472941 /nfs/dbraw/zinc/47/29/41/1071472941.db2.gz RLOXPZNENXAPJU-APWZRJJASA-N 0 0 429.539 -0.193 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@](C)(O)Cn2c(C)cccc2=O)C1 ZINC000473223876 1071472921 /nfs/dbraw/zinc/47/29/21/1071472921.db2.gz RLOXPZNENXAPJU-LPHOPBHVSA-N 0 0 429.539 -0.193 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@](C)(O)Cn2c(C)cccc2=O)C1 ZINC000473223879 1071473220 /nfs/dbraw/zinc/47/32/20/1071473220.db2.gz RLOXPZNENXAPJU-QFBILLFUSA-N 0 0 429.539 -0.193 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@](C)(O)Cn2c(C)cccc2=O)C1 ZINC000473223881 1071473992 /nfs/dbraw/zinc/47/39/92/1071473992.db2.gz RLOXPZNENXAPJU-VQIMIIECSA-N 0 0 429.539 -0.193 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)cc1 ZINC000473224718 1073355573 /nfs/dbraw/zinc/35/55/73/1073355573.db2.gz HBAWNIHRIDVRLK-LBPRGKRZSA-N 0 0 436.494 -0.211 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@](C)(O)Cn1cc(C(F)(F)F)ccc1=O ZINC000473224895 1071474010 /nfs/dbraw/zinc/47/40/10/1071474010.db2.gz BFXKZLXZFXCUDU-AWEZNQCLSA-N 0 0 428.433 -0.433 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@](C)(O)Cn1cc(C(F)(F)F)ccc1=O ZINC000473224903 1071473881 /nfs/dbraw/zinc/47/38/81/1071473881.db2.gz BFXKZLXZFXCUDU-CQSZACIVSA-N 0 0 428.433 -0.433 20 0 IBADRN CC(C)S(=O)(=O)N1CCC(NC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000473226182 1071473915 /nfs/dbraw/zinc/47/39/15/1071473915.db2.gz TZVZIDVYDRTGFL-DLBZAZTESA-N 0 0 427.527 -0.363 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)c1 ZINC000473227696 1073356083 /nfs/dbraw/zinc/35/60/83/1073356083.db2.gz SMFTUVWSRFQTHI-UHFFFAOYSA-N 0 0 448.505 -0.016 20 0 IBADRN COc1ncc(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000473230453 1071473932 /nfs/dbraw/zinc/47/39/32/1071473932.db2.gz LPVICCYMMPXQQR-UHFFFAOYSA-N 0 0 427.483 -0.942 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000473231369 1071473904 /nfs/dbraw/zinc/47/39/04/1071473904.db2.gz TZHOLLDKSZYZHH-UHFFFAOYSA-N 0 0 437.478 -0.829 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000473237680 1071474768 /nfs/dbraw/zinc/47/47/68/1071474768.db2.gz INDPICONNJBFDP-UHFFFAOYSA-N 0 0 437.478 -0.829 20 0 IBADRN CC(C)n1nc2c(c1NC(=O)C(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)CCC2 ZINC000473240203 1071475592 /nfs/dbraw/zinc/47/55/92/1071475592.db2.gz ANNUIGDKPRZCOB-UHFFFAOYSA-N 0 0 444.492 -0.289 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2-c2cccc(F)c2)CC1 ZINC000473240819 1071477601 /nfs/dbraw/zinc/47/76/01/1071477601.db2.gz QYFKUQQGANFWDI-UHFFFAOYSA-N 0 0 444.467 -0.047 20 0 IBADRN CC(C)n1nc2c(c1NC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CCC2 ZINC000473241400 1071477323 /nfs/dbraw/zinc/47/73/23/1071477323.db2.gz RVONYVXWTRFZFO-UHFFFAOYSA-N 0 0 432.525 -0.106 20 0 IBADRN O=C(Nc1ccnn1-c1cccc(F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000473244289 1071479810 /nfs/dbraw/zinc/47/98/10/1071479810.db2.gz WXILPAIWFVYUTK-UHFFFAOYSA-N 0 0 428.424 -0.101 20 0 IBADRN CC(C)n1nc2c(c1NC(=O)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)CCC2 ZINC000473246932 1071487633 /nfs/dbraw/zinc/48/76/33/1071487633.db2.gz WURAPEDOOKBXSX-UHFFFAOYSA-N 0 0 446.508 -0.579 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000473247985 1071499226 /nfs/dbraw/zinc/49/92/26/1071499226.db2.gz FOOPJFMBLMAWFN-UHFFFAOYSA-N 0 0 425.467 -0.879 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000473248136 1071495661 /nfs/dbraw/zinc/49/56/61/1071495661.db2.gz AMWYTAWGOSBILB-AWEZNQCLSA-N 0 0 437.478 -0.783 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000473248137 1071495509 /nfs/dbraw/zinc/49/55/09/1071495509.db2.gz AMWYTAWGOSBILB-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN COC(=O)CN1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000473248837 1071499993 /nfs/dbraw/zinc/49/99/93/1071499993.db2.gz JKGLETLRQYCJSK-UHFFFAOYSA-N 0 0 439.534 -0.043 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000473256593 1071527890 /nfs/dbraw/zinc/52/78/90/1071527890.db2.gz RNMTWJCAABKNGO-GOSISDBHSA-N 0 0 426.539 -0.765 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000473256597 1071528091 /nfs/dbraw/zinc/52/80/91/1071528091.db2.gz RNMTWJCAABKNGO-SFHVURJKSA-N 0 0 426.539 -0.765 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000473258302 1071527871 /nfs/dbraw/zinc/52/78/71/1071527871.db2.gz RRTRXWZNVBSLEL-UHFFFAOYSA-N 0 0 439.494 -0.535 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000473261394 1071527948 /nfs/dbraw/zinc/52/79/48/1071527948.db2.gz QXCNKQGBIMCLDD-UHFFFAOYSA-N 0 0 444.492 -0.654 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC000473263856 1071527925 /nfs/dbraw/zinc/52/79/25/1071527925.db2.gz WKSISFDXTVJMKK-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000473264459 1071527825 /nfs/dbraw/zinc/52/78/25/1071527825.db2.gz NTIGZJACBYURAV-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1)NC1CC1 ZINC000473264700 1071528108 /nfs/dbraw/zinc/52/81/08/1071528108.db2.gz YVKNFBDBNIGLEQ-UHFFFAOYSA-N 0 0 442.476 -0.900 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c(OC)c1 ZINC000473265922 1071527933 /nfs/dbraw/zinc/52/79/33/1071527933.db2.gz ZFIXGFVPIMGIBZ-UHFFFAOYSA-N 0 0 427.417 -0.096 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1C ZINC000473266976 1071528052 /nfs/dbraw/zinc/52/80/52/1071528052.db2.gz YJYAXKIDMVXPOF-UHFFFAOYSA-N 0 0 446.460 -0.750 20 0 IBADRN COC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000473269825 1071528640 /nfs/dbraw/zinc/52/86/40/1071528640.db2.gz OGMXEGUECPDDDH-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1)S(C)(=O)=O ZINC000473271529 1071528538 /nfs/dbraw/zinc/52/85/38/1071528538.db2.gz IDFDESVEZDBZAP-UHFFFAOYSA-N 0 0 439.494 -0.535 20 0 IBADRN CCN1CCN(CC(=O)N2CCC[C@H](c3n[nH]cc3C(=O)NCCOC)C2)C(=O)C1=O ZINC000473273535 1071528767 /nfs/dbraw/zinc/52/87/67/1071528767.db2.gz RCYLTBMBMCCHKR-AWEZNQCLSA-N 0 0 434.497 -0.817 20 0 IBADRN CCN1CCN(CC(=O)N2CCC[C@@H](c3n[nH]cc3C(=O)NCCOC)C2)C(=O)C1=O ZINC000473273542 1071528571 /nfs/dbraw/zinc/52/85/71/1071528571.db2.gz RCYLTBMBMCCHKR-CQSZACIVSA-N 0 0 434.497 -0.817 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)O1 ZINC000473274336 1071528866 /nfs/dbraw/zinc/52/88/66/1071528866.db2.gz BZTYCKKTSDSYEP-NEPJUHHUSA-N 0 0 425.492 -0.443 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)O1 ZINC000473274342 1071528661 /nfs/dbraw/zinc/52/86/61/1071528661.db2.gz BZTYCKKTSDSYEP-NWDGAFQWSA-N 0 0 425.492 -0.443 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)O1 ZINC000473274344 1071528479 /nfs/dbraw/zinc/52/84/79/1071528479.db2.gz BZTYCKKTSDSYEP-RYUDHWBXSA-N 0 0 425.492 -0.443 20 0 IBADRN NC(=O)[C@H]1CC[C@H](C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)O1 ZINC000473274346 1071528790 /nfs/dbraw/zinc/52/87/90/1071528790.db2.gz BZTYCKKTSDSYEP-VXGBXAGGSA-N 0 0 425.492 -0.443 20 0 IBADRN CN1CCOc2ccc(NC(=O)C(=O)NCc3ccc(C(=O)NCC(N)=O)cc3)cc21 ZINC000473276308 1071529217 /nfs/dbraw/zinc/52/92/17/1071529217.db2.gz KNVRCIZFSWMMCG-UHFFFAOYSA-N 0 0 425.445 -0.015 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)s1 ZINC000473276458 1071529428 /nfs/dbraw/zinc/52/94/28/1071529428.db2.gz LPUWYBNBOLXHHH-UHFFFAOYSA-N 0 0 439.581 -0.008 20 0 IBADRN CN1CCOc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc21 ZINC000473277642 1071529683 /nfs/dbraw/zinc/52/96/83/1071529683.db2.gz GHOVQEMMOBJKNS-UHFFFAOYSA-N 0 0 431.493 -0.543 20 0 IBADRN Cc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC000473277932 1071530148 /nfs/dbraw/zinc/53/01/48/1071530148.db2.gz QPZFWQQCHYDJCO-BETUJISGSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC000473277933 1071530338 /nfs/dbraw/zinc/53/03/38/1071530338.db2.gz QPZFWQQCHYDJCO-CHWSQXEVSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC000473277934 1071530325 /nfs/dbraw/zinc/53/03/25/1071530325.db2.gz QPZFWQQCHYDJCO-STQMWFEESA-N 0 0 431.540 -0.112 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1C ZINC000473278878 1071530260 /nfs/dbraw/zinc/53/02/60/1071530260.db2.gz ZEXURMGAGIONPF-CYBMUJFWSA-N 0 0 425.463 -0.470 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1C ZINC000473278879 1071530128 /nfs/dbraw/zinc/53/01/28/1071530128.db2.gz ZEXURMGAGIONPF-ZDUSSCGKSA-N 0 0 425.463 -0.470 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000473279207 1071530301 /nfs/dbraw/zinc/53/03/01/1071530301.db2.gz VJEAQEJWNRZLHQ-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CC(C)n1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000473279233 1071530161 /nfs/dbraw/zinc/53/01/61/1071530161.db2.gz VXVXWKOZGAKQPT-UHFFFAOYSA-N 0 0 427.531 -0.961 20 0 IBADRN CC(C)n1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000473279245 1071530211 /nfs/dbraw/zinc/53/02/11/1071530211.db2.gz WCWOIGAZJITVJB-GASCZTMLSA-N 0 0 442.542 -0.099 20 0 IBADRN CC(C)n1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000473279246 1071530376 /nfs/dbraw/zinc/53/03/76/1071530376.db2.gz WCWOIGAZJITVJB-GJZGRUSLSA-N 0 0 442.542 -0.099 20 0 IBADRN CC(C)n1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000473279247 1071530199 /nfs/dbraw/zinc/53/01/99/1071530199.db2.gz WCWOIGAZJITVJB-HUUCEWRRSA-N 0 0 442.542 -0.099 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1C ZINC000473279256 1071530141 /nfs/dbraw/zinc/53/01/41/1071530141.db2.gz JGGOLNLXIUNEOF-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000473279445 1071530317 /nfs/dbraw/zinc/53/03/17/1071530317.db2.gz SWNZYTJGQAWPNV-CHWSQXEVSA-N 0 0 443.478 -0.451 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000473279446 1071530382 /nfs/dbraw/zinc/53/03/82/1071530382.db2.gz SWNZYTJGQAWPNV-OLZOCXBDSA-N 0 0 443.478 -0.451 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000473279447 1071530279 /nfs/dbraw/zinc/53/02/79/1071530279.db2.gz SWNZYTJGQAWPNV-QWHCGFSZSA-N 0 0 443.478 -0.451 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000473279448 1071530295 /nfs/dbraw/zinc/53/02/95/1071530295.db2.gz SWNZYTJGQAWPNV-STQMWFEESA-N 0 0 443.478 -0.451 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000473280672 1071530348 /nfs/dbraw/zinc/53/03/48/1071530348.db2.gz XBIZXTAEYJXQNM-INIZCTEOSA-N 0 0 443.460 -0.473 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000473280859 1071529594 /nfs/dbraw/zinc/52/95/94/1071529594.db2.gz XBIZXTAEYJXQNM-MRXNPFEDSA-N 0 0 443.460 -0.473 20 0 IBADRN COC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000473280900 1071529622 /nfs/dbraw/zinc/52/96/22/1071529622.db2.gz XLDLANZIAPVGFR-UHFFFAOYSA-N 0 0 427.527 -0.554 20 0 IBADRN CC(C)n1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000473281799 1071529541 /nfs/dbraw/zinc/52/95/41/1071529541.db2.gz LJGVYVWNYUMPHT-UHFFFAOYSA-N 0 0 438.466 -0.373 20 0 IBADRN Cc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000473285039 1071530861 /nfs/dbraw/zinc/53/08/61/1071530861.db2.gz WKGPAWNTLNBMDK-UHFFFAOYSA-N 0 0 427.464 -0.385 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCCn2cc(Br)cn2)c[nH]1 ZINC000473285165 1071530268 /nfs/dbraw/zinc/53/02/68/1071530268.db2.gz WGGJLFFDFWTIOD-UHFFFAOYSA-N 0 0 447.315 -0.053 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3cnn(C)c3)CC1)CC2 ZINC000473286610 1071531041 /nfs/dbraw/zinc/53/10/41/1071531041.db2.gz FRSNZAACWAVAKT-UHFFFAOYSA-N 0 0 432.506 -0.434 20 0 IBADRN COCCOc1ccccc1N1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000473288187 1071530779 /nfs/dbraw/zinc/53/07/79/1071530779.db2.gz POZGMCAYRLSZTR-UHFFFAOYSA-N 0 0 431.493 -0.304 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(N4CCS(=O)(=O)CC4)c3)CC2)cn1 ZINC000473289089 1071530795 /nfs/dbraw/zinc/53/07/95/1071530795.db2.gz AIRMPOIGJLVRSD-UHFFFAOYSA-N 0 0 446.533 -0.058 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)CC2)cn1 ZINC000473290261 1071531011 /nfs/dbraw/zinc/53/10/11/1071531011.db2.gz FERWMFMJZOWFFJ-UHFFFAOYSA-N 0 0 425.449 -0.241 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)N2CCc3ccc(S(=O)(=O)NCC)cc32)C1 ZINC000473291738 1071530817 /nfs/dbraw/zinc/53/08/17/1071530817.db2.gz AELBUJBNQJOUFL-AWEZNQCLSA-N 0 0 437.522 -0.206 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCc3ccc(S(=O)(=O)NCC)cc32)C1 ZINC000473291739 1071530849 /nfs/dbraw/zinc/53/08/49/1071530849.db2.gz AELBUJBNQJOUFL-CQSZACIVSA-N 0 0 437.522 -0.206 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cc1 ZINC000473295563 1071530762 /nfs/dbraw/zinc/53/07/62/1071530762.db2.gz ZFIGZQDEMPVCSS-UHFFFAOYSA-N 0 0 428.449 -0.390 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)NCC(F)(F)F ZINC000473299511 1071532498 /nfs/dbraw/zinc/53/24/98/1071532498.db2.gz HNEZGWSBFJJUTQ-PWSUYJOCSA-N 0 0 427.376 -0.256 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000473302007 1071531486 /nfs/dbraw/zinc/53/14/86/1071531486.db2.gz OLAUGQCABZLIAY-KRWDZBQOSA-N 0 0 430.509 -0.067 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000473302008 1071531510 /nfs/dbraw/zinc/53/15/10/1071531510.db2.gz OLAUGQCABZLIAY-QGZVFWFLSA-N 0 0 430.509 -0.067 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3cnn(C)c3)CC1)CC2 ZINC000473302027 1071531476 /nfs/dbraw/zinc/53/14/76/1071531476.db2.gz OQQUSXPGHWKJIJ-UHFFFAOYSA-N 0 0 446.533 -0.044 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000473302854 1071531714 /nfs/dbraw/zinc/53/17/14/1071531714.db2.gz QRIKKXYCVBVFAV-AWEZNQCLSA-N 0 0 441.510 -0.530 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000473302855 1071531849 /nfs/dbraw/zinc/53/18/49/1071531849.db2.gz QRIKKXYCVBVFAV-CQSZACIVSA-N 0 0 441.510 -0.530 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H](CO)c1ccccc1 ZINC000473303154 1071531575 /nfs/dbraw/zinc/53/15/75/1071531575.db2.gz NKYKRPXCNMOJQL-KRWDZBQOSA-N 0 0 446.485 -0.405 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCc1nnnn1CC1CC1 ZINC000473305393 1071531556 /nfs/dbraw/zinc/53/15/56/1071531556.db2.gz CSSQGORVZJHKSV-UHFFFAOYSA-N 0 0 443.464 -0.039 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cc1 ZINC000473307250 1071533002 /nfs/dbraw/zinc/53/30/02/1071533002.db2.gz XOHLMLCGMRGVOL-UHFFFAOYSA-N 0 0 442.476 -0.461 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000473309651 1071533151 /nfs/dbraw/zinc/53/31/51/1071533151.db2.gz KUQQGMQIQILVOC-CRAIPNDOSA-N 0 0 430.461 -0.624 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000473309652 1071532955 /nfs/dbraw/zinc/53/29/55/1071532955.db2.gz KUQQGMQIQILVOC-MAUKXSAKSA-N 0 0 430.461 -0.624 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000473309654 1071533137 /nfs/dbraw/zinc/53/31/37/1071533137.db2.gz KUQQGMQIQILVOC-QAPCUYQASA-N 0 0 430.461 -0.624 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000473309656 1071533114 /nfs/dbraw/zinc/53/31/14/1071533114.db2.gz KUQQGMQIQILVOC-YJBOKZPZSA-N 0 0 430.461 -0.624 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000473310600 1071533125 /nfs/dbraw/zinc/53/31/25/1071533125.db2.gz YKMQNDHCYKDWAU-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000473310601 1071533031 /nfs/dbraw/zinc/53/30/31/1071533031.db2.gz YKMQNDHCYKDWAU-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000473311373 1071532482 /nfs/dbraw/zinc/53/24/82/1071532482.db2.gz QSPGXJCXXQNVHB-GFCCVEGCSA-N 0 0 441.510 -0.196 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000473311374 1071532511 /nfs/dbraw/zinc/53/25/11/1071532511.db2.gz QSPGXJCXXQNVHB-LBPRGKRZSA-N 0 0 441.510 -0.196 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)C1 ZINC000473313714 1071532438 /nfs/dbraw/zinc/53/24/38/1071532438.db2.gz GNZOTVSELMKTMK-HNNXBMFYSA-N 0 0 433.465 -0.162 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)C1 ZINC000473313715 1071532534 /nfs/dbraw/zinc/53/25/34/1071532534.db2.gz GNZOTVSELMKTMK-OAHLLOKOSA-N 0 0 433.465 -0.162 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)C1 ZINC000473315314 1071532184 /nfs/dbraw/zinc/53/21/84/1071532184.db2.gz MLGKGKYHCZEELR-AWEZNQCLSA-N 0 0 426.495 -0.035 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)C1 ZINC000473315318 1071533076 /nfs/dbraw/zinc/53/30/76/1071533076.db2.gz MLGKGKYHCZEELR-CQSZACIVSA-N 0 0 426.495 -0.035 20 0 IBADRN Cc1nnc([C@@H]2CCCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)[nH]1 ZINC000473315993 1071533096 /nfs/dbraw/zinc/53/30/96/1071533096.db2.gz DNEFNZHMFNIQIF-LLVKDONJSA-N 0 0 426.437 -0.597 20 0 IBADRN Cc1nnc([C@H]2CCCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)[nH]1 ZINC000473315994 1071533042 /nfs/dbraw/zinc/53/30/42/1071533042.db2.gz DNEFNZHMFNIQIF-NSHDSACASA-N 0 0 426.437 -0.597 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCC(N2CCNC2=O)CC1 ZINC000473316600 1071533172 /nfs/dbraw/zinc/53/31/72/1071533172.db2.gz QPLFAVKSWVOWIS-WBVHZDCISA-N 0 0 440.497 -0.034 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000473317809 1071533205 /nfs/dbraw/zinc/53/32/05/1071533205.db2.gz IDGLOAHAMSIMIH-UHFFFAOYSA-N 0 0 449.493 -0.641 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCc3nnnn3CC3CC3)cc2)CC1 ZINC000473318864 1071533706 /nfs/dbraw/zinc/53/37/06/1071533706.db2.gz HEIYHXDALJGIHY-UHFFFAOYSA-N 0 0 426.481 -0.274 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCc1nnnn1CC1CC1)N1CCOCC1 ZINC000473319682 1071533682 /nfs/dbraw/zinc/53/36/82/1071533682.db2.gz MFBAHTQZDLTRRI-UHFFFAOYSA-N 0 0 443.464 -0.424 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCc2nnnn2CC2CC2)cc1S(=O)(=O)NC1CC1 ZINC000473322706 1071533635 /nfs/dbraw/zinc/53/36/35/1071533635.db2.gz RVTWJYNAWIPGKO-UHFFFAOYSA-N 0 0 449.493 -0.213 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000473324681 1071533836 /nfs/dbraw/zinc/53/38/36/1071533836.db2.gz VUDXSBIQEGKURB-UHFFFAOYSA-N 0 0 449.493 -0.641 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)CC2)n1 ZINC000473325685 1071533582 /nfs/dbraw/zinc/53/35/82/1071533582.db2.gz JZWABEULAKGYBQ-UHFFFAOYSA-N 0 0 441.448 -0.287 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000473325812 1071533594 /nfs/dbraw/zinc/53/35/94/1071533594.db2.gz XOXRTRUEYSYSOO-KRWDZBQOSA-N 0 0 446.508 -0.184 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000473325813 1071533753 /nfs/dbraw/zinc/53/37/53/1071533753.db2.gz XOXRTRUEYSYSOO-QGZVFWFLSA-N 0 0 446.508 -0.184 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000473328443 1071534341 /nfs/dbraw/zinc/53/43/41/1071534341.db2.gz AJEBPLKLBGESEN-CYBMUJFWSA-N 0 0 425.511 -0.322 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000473328444 1071534347 /nfs/dbraw/zinc/53/43/47/1071534347.db2.gz AJEBPLKLBGESEN-ZDUSSCGKSA-N 0 0 425.511 -0.322 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)cn1 ZINC000473331409 1071534293 /nfs/dbraw/zinc/53/42/93/1071534293.db2.gz HKGYWZRDQQSSHA-HIFRSBDPSA-N 0 0 425.442 -0.469 20 0 IBADRN CN(Cc1cccn1C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000473332803 1071534363 /nfs/dbraw/zinc/53/43/63/1071534363.db2.gz FRBHMGLMAFMLNK-UHFFFAOYSA-N 0 0 433.490 -0.257 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000473332899 1071534258 /nfs/dbraw/zinc/53/42/58/1071534258.db2.gz GKAMXTSBKSVGSW-GOSISDBHSA-N 0 0 444.536 -0.138 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000473332900 1071534304 /nfs/dbraw/zinc/53/43/04/1071534304.db2.gz GKAMXTSBKSVGSW-SFHVURJKSA-N 0 0 444.536 -0.138 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCc1nnnn1CC1CC1 ZINC000473333098 1071534273 /nfs/dbraw/zinc/53/42/73/1071534273.db2.gz YQMCSGFALSIMIQ-UHFFFAOYSA-N 0 0 437.482 -0.403 20 0 IBADRN COc1ncc(C)cc1NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000473334659 1071534388 /nfs/dbraw/zinc/53/43/88/1071534388.db2.gz VGAWTCULNRZJID-UHFFFAOYSA-N 0 0 427.465 -0.018 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCc2nnnn2CC2CC2)cc1 ZINC000473334918 1071534282 /nfs/dbraw/zinc/53/42/82/1071534282.db2.gz MFVPTONWTDBCME-UHFFFAOYSA-N 0 0 442.480 -0.391 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000473337954 1071535567 /nfs/dbraw/zinc/53/55/67/1071535567.db2.gz FPVNCBHVPNWYLM-UHFFFAOYSA-N 0 0 425.449 -0.256 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C1 ZINC000473338068 1071535675 /nfs/dbraw/zinc/53/56/75/1071535675.db2.gz XBCOTBBKLSKCNX-AWEZNQCLSA-N 0 0 437.522 -0.137 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C1 ZINC000473338069 1071535664 /nfs/dbraw/zinc/53/56/64/1071535664.db2.gz XBCOTBBKLSKCNX-CQSZACIVSA-N 0 0 437.522 -0.137 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCc1nnnn1CC1CC1)CC2 ZINC000473339008 1071534993 /nfs/dbraw/zinc/53/49/93/1071534993.db2.gz JJSLGYIJAOEWCD-UHFFFAOYSA-N 0 0 433.494 -0.413 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1 ZINC000473339604 1071535731 /nfs/dbraw/zinc/53/57/31/1071535731.db2.gz KJLZDZKGGGHDFD-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1 ZINC000473339705 1071535839 /nfs/dbraw/zinc/53/58/39/1071535839.db2.gz KJLZDZKGGGHDFD-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN COc1c(=O)[nH]c(=O)[nH]c1C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000473340592 1071535121 /nfs/dbraw/zinc/53/51/21/1071535121.db2.gz BAWCNOUBRIUBRD-UHFFFAOYSA-N 0 0 434.434 -0.081 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000473341468 1071535202 /nfs/dbraw/zinc/53/52/02/1071535202.db2.gz VFNSNYTXFURTON-ZBFHGGJFSA-N 0 0 429.470 -0.733 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000473342091 1071535173 /nfs/dbraw/zinc/53/51/73/1071535173.db2.gz FGXLQDQLYOIJIY-ZBFHGGJFSA-N 0 0 449.526 -0.557 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)C1 ZINC000473342469 1071535194 /nfs/dbraw/zinc/53/51/94/1071535194.db2.gz GWAARVHIKLGACA-LLVKDONJSA-N 0 0 429.474 -0.066 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)C1 ZINC000473342470 1071535074 /nfs/dbraw/zinc/53/50/74/1071535074.db2.gz GWAARVHIKLGACA-NSHDSACASA-N 0 0 429.474 -0.066 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCc2nnnn2CC2CC2)cc1)N1CCOCC1 ZINC000473343475 1071535144 /nfs/dbraw/zinc/53/51/44/1071535144.db2.gz VFSNDRLCBMXOEG-UHFFFAOYSA-N 0 0 427.465 -0.261 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000473344109 1071535028 /nfs/dbraw/zinc/53/50/28/1071535028.db2.gz LRVLKQQFFZIUDV-KRWDZBQOSA-N 0 0 431.493 -0.054 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000473344125 1071535892 /nfs/dbraw/zinc/53/58/92/1071535892.db2.gz LRVLKQQFFZIUDV-QGZVFWFLSA-N 0 0 431.493 -0.054 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000473344328 1071535016 /nfs/dbraw/zinc/53/50/16/1071535016.db2.gz MIXIEJLCHCSBFU-HNNXBMFYSA-N 0 0 438.506 -0.309 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000473344329 1071534957 /nfs/dbraw/zinc/53/49/57/1071534957.db2.gz MIXIEJLCHCSBFU-OAHLLOKOSA-N 0 0 438.506 -0.309 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCc3nnnn3CC3CC3)cc2)CC1 ZINC000473344381 1071534974 /nfs/dbraw/zinc/53/49/74/1071534974.db2.gz LGBLHWDCUNJBGI-UHFFFAOYSA-N 0 0 440.508 -0.346 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccnc(S(N)(=O)=O)c3)CC2)cs1 ZINC000473344518 1071535090 /nfs/dbraw/zinc/53/50/90/1071535090.db2.gz MMQJPONFPPTNBZ-UHFFFAOYSA-N 0 0 431.521 -0.359 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCOc2ncccc2Cl)n1)N1CCOCC1 ZINC000473345538 1073321974 /nfs/dbraw/zinc/32/19/74/1073321974.db2.gz BKFXNECYBWJQPP-UHFFFAOYSA-N 0 0 436.856 -0.076 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCc2nnnn2CC2CC2)c1 ZINC000473346190 1071535745 /nfs/dbraw/zinc/53/57/45/1071535745.db2.gz DBDJEDBTVMLAFB-UHFFFAOYSA-N 0 0 425.446 -0.273 20 0 IBADRN COc1ncc(C)cc1NC(=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000473346693 1071535693 /nfs/dbraw/zinc/53/56/93/1071535693.db2.gz FNEQYSBXNLGYGG-UHFFFAOYSA-N 0 0 441.510 -0.505 20 0 IBADRN COc1c(=O)[nH]c(=O)[nH]c1C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000473351382 1071536416 /nfs/dbraw/zinc/53/64/16/1071536416.db2.gz PUXARTHCEZUNTH-UHFFFAOYSA-N 0 0 426.407 -0.125 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCOc3ncccc3Cl)cnc2n(C)c1=O ZINC000473352186 1071536400 /nfs/dbraw/zinc/53/64/00/1071536400.db2.gz ANJPPUQBFVUMLD-UHFFFAOYSA-N 0 0 432.824 -0.186 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCOc3ccc(Cl)cn3)cnc2n(C)c1=O ZINC000473352752 1071536251 /nfs/dbraw/zinc/53/62/51/1071536251.db2.gz VVKVUBKSXZKSJF-UHFFFAOYSA-N 0 0 432.824 -0.186 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000473353109 1071536565 /nfs/dbraw/zinc/53/65/65/1071536565.db2.gz WFIUETLSXUCFNS-UHFFFAOYSA-N 0 0 440.569 -0.760 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCOc2ccc(Cl)cn2)n1)N1CCOCC1 ZINC000473353702 1073322106 /nfs/dbraw/zinc/32/21/06/1073322106.db2.gz XSRKIXBKFCUYJP-UHFFFAOYSA-N 0 0 436.856 -0.076 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000473353920 1071536532 /nfs/dbraw/zinc/53/65/32/1071536532.db2.gz XEFLCQOBKVSHPQ-UHFFFAOYSA-N 0 0 437.457 -0.082 20 0 IBADRN O=C(CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCOCC1 ZINC000473354965 1071536549 /nfs/dbraw/zinc/53/65/49/1071536549.db2.gz KITQCMNPUWKXHE-ZBFHGGJFSA-N 0 0 429.470 -0.685 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000473355166 1071536385 /nfs/dbraw/zinc/53/63/85/1071536385.db2.gz LFIVMIGUTFIRSL-GFCCVEGCSA-N 0 0 441.366 -0.044 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000473355168 1071536525 /nfs/dbraw/zinc/53/65/25/1071536525.db2.gz LFIVMIGUTFIRSL-LBPRGKRZSA-N 0 0 441.366 -0.044 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCc1nnnn1CC1CC1 ZINC000473357826 1071536329 /nfs/dbraw/zinc/53/63/29/1071536329.db2.gz ORSFSWJKMCWYCZ-UHFFFAOYSA-N 0 0 449.493 -0.213 20 0 IBADRN CN1CCOc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc21 ZINC000473364751 1071536991 /nfs/dbraw/zinc/53/69/91/1071536991.db2.gz GUWSCBDKHVNVRW-UHFFFAOYSA-N 0 0 428.536 -0.052 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCc3nnnn3C)CC2)o1 ZINC000473366735 1071536891 /nfs/dbraw/zinc/53/68/91/1071536891.db2.gz WYGBODJOAQGREZ-UHFFFAOYSA-N 0 0 426.455 -0.303 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000473366798 1071537116 /nfs/dbraw/zinc/53/71/16/1071537116.db2.gz CXHDDCYYNIDSBA-UHFFFAOYSA-N 0 0 439.494 -0.535 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)C1 ZINC000473367697 1071537011 /nfs/dbraw/zinc/53/70/11/1071537011.db2.gz HFXLLQUGOTZXLW-HNNXBMFYSA-N 0 0 433.465 -0.623 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)C1 ZINC000473367698 1071537141 /nfs/dbraw/zinc/53/71/41/1071537141.db2.gz HFXLLQUGOTZXLW-OAHLLOKOSA-N 0 0 433.465 -0.623 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000473368028 1071536946 /nfs/dbraw/zinc/53/69/46/1071536946.db2.gz AUKHFNLHEIQJGR-DOMZBBRYSA-N 0 0 447.460 -0.594 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000473368030 1071537496 /nfs/dbraw/zinc/53/74/96/1071537496.db2.gz AUKHFNLHEIQJGR-IUODEOHRSA-N 0 0 447.460 -0.594 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000473368032 1071537591 /nfs/dbraw/zinc/53/75/91/1071537591.db2.gz AUKHFNLHEIQJGR-SWLSCSKDSA-N 0 0 447.460 -0.594 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000473368034 1071537604 /nfs/dbraw/zinc/53/76/04/1071537604.db2.gz AUKHFNLHEIQJGR-WFASDCNBSA-N 0 0 447.460 -0.594 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000473368390 1071537148 /nfs/dbraw/zinc/53/71/48/1071537148.db2.gz KFEMUKHWBXQQEC-HNNXBMFYSA-N 0 0 447.492 -0.217 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000473368391 1071537062 /nfs/dbraw/zinc/53/70/62/1071537062.db2.gz KFEMUKHWBXQQEC-OAHLLOKOSA-N 0 0 447.492 -0.217 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N(CC(C)C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000473369350 1071537579 /nfs/dbraw/zinc/53/75/79/1071537579.db2.gz MYBIGXOMCRPGJI-KBPBESRZSA-N 0 0 439.513 -0.216 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N(CC(C)C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000473369358 1071537635 /nfs/dbraw/zinc/53/76/35/1071537635.db2.gz MYBIGXOMCRPGJI-KGLIPLIRSA-N 0 0 439.513 -0.216 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N(CC(C)C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000473369361 1071537643 /nfs/dbraw/zinc/53/76/43/1071537643.db2.gz MYBIGXOMCRPGJI-UONOGXRCSA-N 0 0 439.513 -0.216 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N(CC(C)C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000473369364 1071537530 /nfs/dbraw/zinc/53/75/30/1071537530.db2.gz MYBIGXOMCRPGJI-ZIAGYGMSSA-N 0 0 439.513 -0.216 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccccc2-n2cccn2)CC1 ZINC000473370351 1071537505 /nfs/dbraw/zinc/53/75/05/1071537505.db2.gz AHJRQFXGGKBPBR-UHFFFAOYSA-N 0 0 438.444 -0.369 20 0 IBADRN CCOc1ccc(OC)cc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000473370405 1071537619 /nfs/dbraw/zinc/53/76/19/1071537619.db2.gz AZUNTHKIZKUMPB-UHFFFAOYSA-N 0 0 446.460 -0.148 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473370412 1071537627 /nfs/dbraw/zinc/53/76/27/1071537627.db2.gz BBMQULWGTMZNCD-UHFFFAOYSA-N 0 0 429.433 -0.597 20 0 IBADRN CCCCN(C(=O)CN1C[C@H](O)C[C@@H]1C(=O)OC)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000473371394 1071538248 /nfs/dbraw/zinc/53/82/48/1071538248.db2.gz FLEKZRJXAFSZFL-CHWSQXEVSA-N 0 0 425.486 -0.318 20 0 IBADRN CCCCN(C(=O)CN1C[C@H](O)C[C@H]1C(=O)OC)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000473371398 1071538016 /nfs/dbraw/zinc/53/80/16/1071538016.db2.gz FLEKZRJXAFSZFL-OLZOCXBDSA-N 0 0 425.486 -0.318 20 0 IBADRN CCCCN(C(=O)CN1C[C@@H](O)C[C@@H]1C(=O)OC)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000473371400 1071538240 /nfs/dbraw/zinc/53/82/40/1071538240.db2.gz FLEKZRJXAFSZFL-QWHCGFSZSA-N 0 0 425.486 -0.318 20 0 IBADRN CCCCN(C(=O)CN1C[C@@H](O)C[C@H]1C(=O)OC)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000473371402 1071538192 /nfs/dbraw/zinc/53/81/92/1071538192.db2.gz FLEKZRJXAFSZFL-STQMWFEESA-N 0 0 425.486 -0.318 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000473371728 1071537647 /nfs/dbraw/zinc/53/76/47/1071537647.db2.gz VILCBNBDCLVLMU-PBHICJAKSA-N 0 0 425.507 -0.176 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000473371731 1071537468 /nfs/dbraw/zinc/53/74/68/1071537468.db2.gz VILCBNBDCLVLMU-RHSMWYFYSA-N 0 0 425.507 -0.176 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN1CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000473371733 1071537659 /nfs/dbraw/zinc/53/76/59/1071537659.db2.gz VILCBNBDCLVLMU-WMLDXEAASA-N 0 0 425.507 -0.176 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000473371735 1071537557 /nfs/dbraw/zinc/53/75/57/1071537557.db2.gz VILCBNBDCLVLMU-YOEHRIQHSA-N 0 0 425.507 -0.176 20 0 IBADRN CCCCN(C(=O)CN1C[C@@H](O)C[C@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000473371954 1071538097 /nfs/dbraw/zinc/53/80/97/1071538097.db2.gz JZXBYNAUQVJICL-KBPBESRZSA-N 0 0 439.513 -0.072 20 0 IBADRN CCCCN(C(=O)CN1C[C@H](O)C[C@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000473371956 1071538083 /nfs/dbraw/zinc/53/80/83/1071538083.db2.gz JZXBYNAUQVJICL-KGLIPLIRSA-N 0 0 439.513 -0.072 20 0 IBADRN CCCCN(C(=O)CN1C[C@@H](O)C[C@@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000473371958 1071537970 /nfs/dbraw/zinc/53/79/70/1071537970.db2.gz JZXBYNAUQVJICL-UONOGXRCSA-N 0 0 439.513 -0.072 20 0 IBADRN CCCCN(C(=O)CN1C[C@H](O)C[C@@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000473371959 1071537984 /nfs/dbraw/zinc/53/79/84/1071537984.db2.gz JZXBYNAUQVJICL-ZIAGYGMSSA-N 0 0 439.513 -0.072 20 0 IBADRN CCOc1cc(F)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000473372249 1071538125 /nfs/dbraw/zinc/53/81/25/1071538125.db2.gz IQCSDKOWXASXKD-UHFFFAOYSA-N 0 0 434.424 -0.017 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000473372748 1071538000 /nfs/dbraw/zinc/53/80/00/1071538000.db2.gz JXGRIWVPFJNYAI-UHFFFAOYSA-N 0 0 432.433 -0.538 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2-c2cccc(F)c2)CC1 ZINC000473372899 1071538109 /nfs/dbraw/zinc/53/81/09/1071538109.db2.gz YXHMFSUTWWOARB-UHFFFAOYSA-N 0 0 432.456 -0.143 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1 ZINC000473373207 1071538139 /nfs/dbraw/zinc/53/81/39/1071538139.db2.gz LRTJUTINDXSICY-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1C ZINC000473373902 1071538823 /nfs/dbraw/zinc/53/88/23/1071538823.db2.gz OLPMLQZKTLBZKE-UHFFFAOYSA-N 0 0 444.444 -0.460 20 0 IBADRN CN1CCOc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc21 ZINC000473373906 1071538801 /nfs/dbraw/zinc/53/88/01/1071538801.db2.gz ONCWWGMSCXGTIT-UHFFFAOYSA-N 0 0 443.460 -0.727 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCc3nnnn3C)CC2)cc1 ZINC000473374189 1071538657 /nfs/dbraw/zinc/53/86/57/1071538657.db2.gz POBFZHLXVQJAJS-UHFFFAOYSA-N 0 0 435.510 -0.552 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1C ZINC000473374218 1071538791 /nfs/dbraw/zinc/53/87/91/1071538791.db2.gz PYADVCKCDGRNDR-UHFFFAOYSA-N 0 0 444.444 -0.460 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473374290 1071538751 /nfs/dbraw/zinc/53/87/51/1071538751.db2.gz QTHFDWKEZPPWKY-UHFFFAOYSA-N 0 0 443.460 -0.853 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)CC2)cn1 ZINC000473374407 1071538849 /nfs/dbraw/zinc/53/88/49/1071538849.db2.gz BGVCRNQLSFXMII-UHFFFAOYSA-N 0 0 439.476 -0.245 20 0 IBADRN Cn1nc(CC(C)(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000473374543 1071538702 /nfs/dbraw/zinc/53/87/02/1071538702.db2.gz RQNCGVHEPOTGSD-UHFFFAOYSA-N 0 0 446.508 -0.233 20 0 IBADRN CC(=O)Nc1ccc(F)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473374966 1071538683 /nfs/dbraw/zinc/53/86/83/1071538683.db2.gz UJBRQXJEHAMVJU-UHFFFAOYSA-N 0 0 447.423 -0.458 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(-c3ncon3)cc2)CC1 ZINC000473374980 1071538674 /nfs/dbraw/zinc/53/86/74/1071538674.db2.gz UPUBWALBDLQEFR-UHFFFAOYSA-N 0 0 440.416 -0.505 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473375323 1071538738 /nfs/dbraw/zinc/53/87/38/1071538738.db2.gz VHMMFGOQTVDUFH-UHFFFAOYSA-N 0 0 444.444 -0.840 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000473375630 1071538839 /nfs/dbraw/zinc/53/88/39/1071538839.db2.gz WRHUNQOTOVVCPE-UHFFFAOYSA-N 0 0 430.417 -0.784 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCc3nnnn3C)CC2=O)cc1 ZINC000473375824 1071538773 /nfs/dbraw/zinc/53/87/73/1071538773.db2.gz YMRCILJXDUERIC-CYBMUJFWSA-N 0 0 435.510 -0.090 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCc3nnnn3C)CC2=O)cc1 ZINC000473375825 1071538692 /nfs/dbraw/zinc/53/86/92/1071538692.db2.gz YMRCILJXDUERIC-ZDUSSCGKSA-N 0 0 435.510 -0.090 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCc3nnnn3C)CC2)c1 ZINC000473375843 1071539355 /nfs/dbraw/zinc/53/93/55/1071539355.db2.gz YWMSSEGSJANYFP-UHFFFAOYSA-N 0 0 449.537 -0.244 20 0 IBADRN CCOCc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473376379 1071538815 /nfs/dbraw/zinc/53/88/15/1071538815.db2.gz IJEXQLZFNVEQJM-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1 ZINC000473376411 1071538764 /nfs/dbraw/zinc/53/87/64/1071538764.db2.gz IQOQUYLQRYDAPI-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2o1 ZINC000473377642 1071539289 /nfs/dbraw/zinc/53/92/89/1071539289.db2.gz AOIFOBOOMDJQDX-UHFFFAOYSA-N 0 0 427.417 -0.105 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473377684 1071539199 /nfs/dbraw/zinc/53/91/99/1071539199.db2.gz BDEJFCTYZYSXIO-UHFFFAOYSA-N 0 0 429.433 -0.597 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3cccn3)c2)CC1 ZINC000473377787 1071539329 /nfs/dbraw/zinc/53/93/29/1071539329.db2.gz CEMGWXWBBDAMTR-UHFFFAOYSA-N 0 0 438.444 -0.369 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000473377837 1071539158 /nfs/dbraw/zinc/53/91/58/1071539158.db2.gz UJJAWTKSFSEYQI-UHFFFAOYSA-N 0 0 438.444 -0.655 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2c(F)cc(F)cc2F)CC1 ZINC000473377929 1071539892 /nfs/dbraw/zinc/53/98/92/1071539892.db2.gz CLZYBPSDZUAMLM-UHFFFAOYSA-N 0 0 426.351 -0.138 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000473378041 1071539129 /nfs/dbraw/zinc/53/91/29/1071539129.db2.gz DCHASBHZBMSELB-UHFFFAOYSA-N 0 0 447.423 -0.458 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1 ZINC000473378063 1071539238 /nfs/dbraw/zinc/53/92/38/1071539238.db2.gz DJNSUSMGYZGFAW-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473378071 1071539211 /nfs/dbraw/zinc/53/92/11/1071539211.db2.gz DPAZLKUJFLGSKO-UHFFFAOYSA-N 0 0 430.417 -0.769 20 0 IBADRN CC(C)(C)c1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000473378306 1071539920 /nfs/dbraw/zinc/53/99/20/1071539920.db2.gz FBJGDSNNMZYGIS-UHFFFAOYSA-N 0 0 430.465 -0.468 20 0 IBADRN C[S@](=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473378341 1071539102 /nfs/dbraw/zinc/53/91/02/1071539102.db2.gz FOUDTEJFCWJZOF-PMERELPUSA-N 0 0 434.474 -0.818 20 0 IBADRN C[S@@](=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473378342 1071539275 /nfs/dbraw/zinc/53/92/75/1071539275.db2.gz FOUDTEJFCWJZOF-SSEXGKCCSA-N 0 0 434.474 -0.818 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473378377 1071539939 /nfs/dbraw/zinc/53/99/39/1071539939.db2.gz FWYHLVOAMILYQJ-UHFFFAOYSA-N 0 0 430.417 -0.769 20 0 IBADRN CC(C)(C)c1nnc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC000473378382 1073311330 /nfs/dbraw/zinc/31/13/30/1073311330.db2.gz FYTQFNXJVMSNPY-UHFFFAOYSA-N 0 0 436.494 -0.406 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000473378436 1071539827 /nfs/dbraw/zinc/53/98/27/1071539827.db2.gz XPWUENHELBHQJO-UHFFFAOYSA-N 0 0 439.476 -0.245 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(OC)c1 ZINC000473378629 1071538293 /nfs/dbraw/zinc/53/82/93/1071538293.db2.gz GMISDJJXMDNDFT-UHFFFAOYSA-N 0 0 432.433 -0.538 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1Cl ZINC000473378671 1071538746 /nfs/dbraw/zinc/53/87/46/1071538746.db2.gz HCFFPZMIJIJWGC-UHFFFAOYSA-N 0 0 449.851 -0.803 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473378968 1071539767 /nfs/dbraw/zinc/53/97/67/1071539767.db2.gz IYNJAGPNDROSKN-UHFFFAOYSA-N 0 0 443.460 -0.853 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc(-c3nnco3)c2)CC1 ZINC000473379029 1071539951 /nfs/dbraw/zinc/53/99/51/1071539951.db2.gz JJSSZVLTVZVKEO-UHFFFAOYSA-N 0 0 440.416 -0.505 20 0 IBADRN COCCOc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473379031 1071539754 /nfs/dbraw/zinc/53/97/54/1071539754.db2.gz JJXLINKMXURRHM-UHFFFAOYSA-N 0 0 446.460 -0.530 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000473379060 1071539713 /nfs/dbraw/zinc/53/97/13/1071539713.db2.gz JUDKHRALOLQKRH-UHFFFAOYSA-N 0 0 446.460 -0.148 20 0 IBADRN COc1ccc(OC)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473379061 1071539869 /nfs/dbraw/zinc/53/98/69/1071539869.db2.gz JVEOUFVTUFGABW-UHFFFAOYSA-N 0 0 432.433 -0.538 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000473379113 1071539696 /nfs/dbraw/zinc/53/96/96/1071539696.db2.gz KKDGATGZMGQJOR-UHFFFAOYSA-N 0 0 431.449 -0.373 20 0 IBADRN CC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473379241 1071539878 /nfs/dbraw/zinc/53/98/78/1071539878.db2.gz KWNLEEXNKJAXOO-UHFFFAOYSA-N 0 0 443.460 -0.288 20 0 IBADRN CCn1ncc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc21 ZINC000473379260 1071539854 /nfs/dbraw/zinc/53/98/54/1071539854.db2.gz LENNENKYFGLURN-UHFFFAOYSA-N 0 0 440.460 -0.186 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473379307 1071539906 /nfs/dbraw/zinc/53/99/06/1071539906.db2.gz LUSFOJBVNYFEJK-UHFFFAOYSA-N 0 0 448.407 -0.629 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000473379795 1071539813 /nfs/dbraw/zinc/53/98/13/1071539813.db2.gz NCFWJLCQLWXNCM-UHFFFAOYSA-N 0 0 425.445 -0.385 20 0 IBADRN CC1(C)Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2O1 ZINC000473379977 1071540389 /nfs/dbraw/zinc/54/03/89/1071540389.db2.gz OXLSLLOHZJTEGJ-UHFFFAOYSA-N 0 0 444.444 -0.048 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(F)c2F)CC1 ZINC000473380130 1071539801 /nfs/dbraw/zinc/53/98/01/1071539801.db2.gz QEGVTCYDJCJQDO-UHFFFAOYSA-N 0 0 426.351 -0.138 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3)nc2)CC1 ZINC000473380195 1071540298 /nfs/dbraw/zinc/54/02/98/1071540298.db2.gz QVVIRWYYKQNLGI-UHFFFAOYSA-N 0 0 442.476 -0.560 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473380227 1071539842 /nfs/dbraw/zinc/53/98/42/1071539842.db2.gz RCXREDVTFHWYHD-UHFFFAOYSA-N 0 0 444.444 -0.378 20 0 IBADRN COC(=O)c1ccsc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000473380266 1071539790 /nfs/dbraw/zinc/53/97/90/1071539790.db2.gz RSDQHXFOSNBWRR-UHFFFAOYSA-N 0 0 436.446 -0.707 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000473380491 1071539778 /nfs/dbraw/zinc/53/97/78/1071539778.db2.gz UHZAXNVABREBJK-UHFFFAOYSA-N 0 0 441.444 -0.670 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCN(CC2CC2)CC1 ZINC000473380825 1071540440 /nfs/dbraw/zinc/54/04/40/1071540440.db2.gz WMOKKSATZNFHQZ-UHFFFAOYSA-N 0 0 449.533 -0.700 20 0 IBADRN CCOC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473380967 1071540413 /nfs/dbraw/zinc/54/04/13/1071540413.db2.gz XTRPWQUOWDUZLL-UHFFFAOYSA-N 0 0 444.444 -0.378 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473381014 1071540327 /nfs/dbraw/zinc/54/03/27/1071540327.db2.gz YIUVEXFTILEWGS-UHFFFAOYSA-N 0 0 443.460 -0.887 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000473381156 1071540407 /nfs/dbraw/zinc/54/04/07/1071540407.db2.gz YTVIOZKFWHSLBK-MPGHIAIKSA-N 0 0 433.461 -0.895 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000473381157 1071540356 /nfs/dbraw/zinc/54/03/56/1071540356.db2.gz YTVIOZKFWHSLBK-RLFYNMQTSA-N 0 0 433.461 -0.895 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000473381158 1071540399 /nfs/dbraw/zinc/54/03/99/1071540399.db2.gz YTVIOZKFWHSLBK-RVKKMQEKSA-N 0 0 433.461 -0.895 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000473381159 1071540344 /nfs/dbraw/zinc/54/03/44/1071540344.db2.gz YTVIOZKFWHSLBK-VKJFTORMSA-N 0 0 433.461 -0.895 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc(OC)c1 ZINC000473381172 1071540313 /nfs/dbraw/zinc/54/03/13/1071540313.db2.gz YXWXSHXRYWMXOG-UHFFFAOYSA-N 0 0 432.433 -0.538 20 0 IBADRN CCN(CC)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000473381180 1071540306 /nfs/dbraw/zinc/54/03/06/1071540306.db2.gz YYWBTGYKSBQHSA-UHFFFAOYSA-N 0 0 444.492 -0.314 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)N2CCc3c2cc(F)cc3F)CC1 ZINC000473381209 1071540363 /nfs/dbraw/zinc/54/03/63/1071540363.db2.gz ZMOXFUQHCDWAHW-UHFFFAOYSA-N 0 0 434.399 -0.326 20 0 IBADRN CN(Cc1ccccc1)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000473382448 1071540373 /nfs/dbraw/zinc/54/03/73/1071540373.db2.gz AZTGCIQYOKREBD-UHFFFAOYSA-N 0 0 427.465 -0.297 20 0 IBADRN COCCOc1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000473384009 1073123715 /nfs/dbraw/zinc/12/37/15/1073123715.db2.gz AEFHXZJVRBXFRH-UHFFFAOYSA-N 0 0 446.460 -0.530 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cc(F)c(F)cc2F)CC1 ZINC000473384784 1071540275 /nfs/dbraw/zinc/54/02/75/1071540275.db2.gz CNPCAIWFAYXUER-UHFFFAOYSA-N 0 0 426.351 -0.138 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc21 ZINC000473385476 1071540262 /nfs/dbraw/zinc/54/02/62/1071540262.db2.gz DUKGAYKTIBCTSG-UHFFFAOYSA-N 0 0 425.445 -0.063 20 0 IBADRN CC(C)Oc1ncccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000473386150 1071539303 /nfs/dbraw/zinc/53/93/03/1071539303.db2.gz FVDPEBQVSFVEIN-UHFFFAOYSA-N 0 0 431.449 -0.373 20 0 IBADRN CCOCc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473386743 1071539249 /nfs/dbraw/zinc/53/92/49/1071539249.db2.gz GYMIGCYCWBAQCA-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN O=C(Nc1ccnn1-c1cccc(F)c1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000473387171 1071539120 /nfs/dbraw/zinc/53/91/20/1071539120.db2.gz VHBIJYJORIKDIG-UHFFFAOYSA-N 0 0 427.400 -0.693 20 0 IBADRN CCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473387650 1071539342 /nfs/dbraw/zinc/53/93/42/1071539342.db2.gz JIHUMVNURDJFNG-UHFFFAOYSA-N 0 0 443.460 -0.207 20 0 IBADRN COc1c(F)cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC000473388258 1071539184 /nfs/dbraw/zinc/53/91/84/1071539184.db2.gz KJEAZICXVAEJDT-UHFFFAOYSA-N 0 0 438.387 -0.268 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(F)c1 ZINC000473388327 1071539145 /nfs/dbraw/zinc/53/91/45/1071539145.db2.gz KTPRHZVXGYRNGG-UHFFFAOYSA-N 0 0 448.407 -0.629 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473388871 1071540974 /nfs/dbraw/zinc/54/09/74/1071540974.db2.gz LZDMEPFMPBXKOG-UHFFFAOYSA-N 0 0 443.460 -0.805 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000473389459 1071540334 /nfs/dbraw/zinc/54/03/34/1071540334.db2.gz MQDGWECAGIFMPE-UHFFFAOYSA-N 0 0 446.460 -0.229 20 0 IBADRN Cn1cnc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc21 ZINC000473389970 1071541015 /nfs/dbraw/zinc/54/10/15/1071541015.db2.gz NVKKYVLVZMUVTF-UHFFFAOYSA-N 0 0 426.433 -0.668 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c2ccccc2O1 ZINC000473391314 1071541072 /nfs/dbraw/zinc/54/10/72/1071541072.db2.gz CEBDDECHPQNMPQ-AWEZNQCLSA-N 0 0 428.445 -0.380 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c2ccccc2O1 ZINC000473391315 1071541062 /nfs/dbraw/zinc/54/10/62/1071541062.db2.gz CEBDDECHPQNMPQ-CQSZACIVSA-N 0 0 428.445 -0.380 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473391350 1071540983 /nfs/dbraw/zinc/54/09/83/1071540983.db2.gz RGQFZDUEXMSCPU-UHFFFAOYSA-N 0 0 443.460 -0.919 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC000473391477 1071541082 /nfs/dbraw/zinc/54/10/82/1071541082.db2.gz SCGONNSZPOXWRG-UHFFFAOYSA-N 0 0 434.424 -0.017 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)N2CCc3cc(F)c(F)cc32)CC1 ZINC000473392017 1071541809 /nfs/dbraw/zinc/54/18/09/1071541809.db2.gz SXNYKACBEOEFJG-UHFFFAOYSA-N 0 0 434.399 -0.326 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473392343 1071541155 /nfs/dbraw/zinc/54/11/55/1071541155.db2.gz DWGQLUJSSKLKPN-UHFFFAOYSA-N 0 0 444.444 -0.460 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cc(F)c(F)c(F)c2)CC1 ZINC000473392389 1071541590 /nfs/dbraw/zinc/54/15/90/1071541590.db2.gz FHBJWIJDTGGPPI-UHFFFAOYSA-N 0 0 426.351 -0.138 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnc3)c2)CC1 ZINC000473392509 1071541100 /nfs/dbraw/zinc/54/11/00/1071541100.db2.gz GBAHZBBSSPAZNG-UHFFFAOYSA-N 0 0 438.444 -0.369 20 0 IBADRN CCOc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1OC ZINC000473393213 1071541568 /nfs/dbraw/zinc/54/15/68/1071541568.db2.gz VGLBMTQQOFBCTD-UHFFFAOYSA-N 0 0 446.460 -0.148 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)cc2)CC1 ZINC000473393234 1071541623 /nfs/dbraw/zinc/54/16/23/1071541623.db2.gz VJQRBRSJVDRBJQ-UHFFFAOYSA-N 0 0 438.444 -0.369 20 0 IBADRN CC(C)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000473393752 1071541683 /nfs/dbraw/zinc/54/16/83/1071541683.db2.gz WYXXBTKBUQPXQP-UHFFFAOYSA-N 0 0 445.476 -0.125 20 0 IBADRN Cc1cc(N(C)C)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000473394530 1071541598 /nfs/dbraw/zinc/54/15/98/1071541598.db2.gz ZAYNUTRMTZPHOQ-UHFFFAOYSA-N 0 0 429.477 -0.181 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000473394562 1071541744 /nfs/dbraw/zinc/54/17/44/1071541744.db2.gz ZMIKFFFJQWOIMG-UHFFFAOYSA-N 0 0 446.460 -0.530 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1 ZINC000473394842 1071541713 /nfs/dbraw/zinc/54/17/13/1071541713.db2.gz KTASWFPKDXRPLF-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN CN1Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2C1 ZINC000473394903 1071542246 /nfs/dbraw/zinc/54/22/46/1071542246.db2.gz LCGSSGXSRPMBQK-UHFFFAOYSA-N 0 0 427.461 -0.610 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCCO3)CC1 ZINC000473395270 1071541608 /nfs/dbraw/zinc/54/16/08/1071541608.db2.gz NPDFFQGBYKHPPN-UHFFFAOYSA-N 0 0 428.445 -0.230 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1Cl ZINC000473395446 1071541775 /nfs/dbraw/zinc/54/17/75/1071541775.db2.gz OJPPNVYIADKQAT-UHFFFAOYSA-N 0 0 449.851 -0.803 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000473395514 1071541558 /nfs/dbraw/zinc/54/15/58/1071541558.db2.gz OZZBDTRDIPIRPC-UHFFFAOYSA-N 0 0 443.460 -0.572 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000473395674 1071541541 /nfs/dbraw/zinc/54/15/41/1071541541.db2.gz QHAFQKKIBDQUJG-UHFFFAOYSA-N 0 0 443.460 -0.288 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1C ZINC000473396056 1071542481 /nfs/dbraw/zinc/54/24/81/1071542481.db2.gz STUMNMPXTYIAIW-UHFFFAOYSA-N 0 0 443.460 -0.288 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccn(-c3ccccc3)n2)CC1 ZINC000473396063 1071542373 /nfs/dbraw/zinc/54/23/73/1071542373.db2.gz SUOMZFSPNOGCKB-UHFFFAOYSA-N 0 0 438.444 -0.369 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000473396397 1071542222 /nfs/dbraw/zinc/54/22/22/1071542222.db2.gz VWDFSFIHNIPVPZ-UHFFFAOYSA-N 0 0 443.460 -0.572 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000473396734 1071542425 /nfs/dbraw/zinc/54/24/25/1071542425.db2.gz XHQOEAUEFPXOPH-UHFFFAOYSA-N 0 0 443.460 -0.887 20 0 IBADRN O=C(CCNC(=O)c1ccc(C(=O)NCCC(=O)N2CCOCC2)o1)N1CCOCC1 ZINC000473398171 1073123818 /nfs/dbraw/zinc/12/38/18/1073123818.db2.gz FZMSQOFBZKJMJH-UHFFFAOYSA-N 0 0 436.465 -0.763 20 0 IBADRN C[C@H](NC(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)o1)C(=O)N1CCOCC1 ZINC000473401774 1073123696 /nfs/dbraw/zinc/12/36/96/1073123696.db2.gz OYLVFQNYRIUMCP-KBPBESRZSA-N 0 0 436.465 -0.766 20 0 IBADRN C[C@@H](NC(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)o1)C(=O)N1CCOCC1 ZINC000473401775 1073123677 /nfs/dbraw/zinc/12/36/77/1073123677.db2.gz OYLVFQNYRIUMCP-OKILXGFUSA-N 0 0 436.465 -0.766 20 0 IBADRN C[C@@H](NC(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCOCC2)o1)C(=O)N1CCOCC1 ZINC000473401776 1073123759 /nfs/dbraw/zinc/12/37/59/1073123759.db2.gz OYLVFQNYRIUMCP-ZIAGYGMSSA-N 0 0 436.465 -0.766 20 0 IBADRN CC(C)n1nc2c(c1NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CCC2 ZINC000473404341 1071542438 /nfs/dbraw/zinc/54/24/38/1071542438.db2.gz HXCGVKZDVKUSCU-UHFFFAOYSA-N 0 0 432.525 -0.106 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccnn2-c2cccc(F)c2)CC1)N1CCOCC1 ZINC000473405905 1071542229 /nfs/dbraw/zinc/54/22/29/1071542229.db2.gz KZFFJBSMWNQAKK-UHFFFAOYSA-N 0 0 444.467 -0.047 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000473417573 1071542967 /nfs/dbraw/zinc/54/29/67/1071542967.db2.gz CFDZBBZDYFCKDJ-KRWDZBQOSA-N 0 0 443.504 -0.461 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000473417574 1071542813 /nfs/dbraw/zinc/54/28/13/1071542813.db2.gz CFDZBBZDYFCKDJ-QGZVFWFLSA-N 0 0 443.504 -0.461 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000473418683 1071542865 /nfs/dbraw/zinc/54/28/65/1071542865.db2.gz FQFFYASACORDNO-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1 ZINC000473424570 1071543483 /nfs/dbraw/zinc/54/34/83/1071543483.db2.gz JAKZVUNCUGCAQI-UHFFFAOYSA-N 0 0 426.539 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000473424596 1071543675 /nfs/dbraw/zinc/54/36/75/1071543675.db2.gz JGLQWYDJLPOLET-UHFFFAOYSA-N 0 0 426.539 -0.153 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000473425252 1071543468 /nfs/dbraw/zinc/54/34/68/1071543468.db2.gz CIZVIMNTPDWLBV-UHFFFAOYSA-N 0 0 443.595 -0.012 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1 ZINC000473426254 1071543540 /nfs/dbraw/zinc/54/35/40/1071543540.db2.gz IBTMJGXRLFNBFG-HNNXBMFYSA-N 0 0 426.539 -0.016 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1-n1cnnn1 ZINC000473428822 1071544047 /nfs/dbraw/zinc/54/40/47/1071544047.db2.gz AZBKACBOHIBTBZ-UHFFFAOYSA-N 0 0 430.469 -0.768 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000473429234 1071544026 /nfs/dbraw/zinc/54/40/26/1071544026.db2.gz BPBUUIRZGDLNSF-AWEZNQCLSA-N 0 0 438.506 -0.240 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000473429237 1071544165 /nfs/dbraw/zinc/54/41/65/1071544165.db2.gz BPBUUIRZGDLNSF-CQSZACIVSA-N 0 0 438.506 -0.240 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000473430305 1071544031 /nfs/dbraw/zinc/54/40/31/1071544031.db2.gz PTRFASAJZFSJKJ-INIZCTEOSA-N 0 0 445.520 -0.199 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000473430306 1071544112 /nfs/dbraw/zinc/54/41/12/1071544112.db2.gz PTRFASAJZFSJKJ-MRXNPFEDSA-N 0 0 445.520 -0.199 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000473430934 1071544226 /nfs/dbraw/zinc/54/42/26/1071544226.db2.gz BDCBTTUISMUXMV-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000473432805 1071544179 /nfs/dbraw/zinc/54/41/79/1071544179.db2.gz VWWHKZMOSWNXNU-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000473433032 1071544752 /nfs/dbraw/zinc/54/47/52/1071544752.db2.gz JTIZGEZBWBPZFP-UHFFFAOYSA-N 0 0 428.486 -0.210 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000473433157 1071544959 /nfs/dbraw/zinc/54/49/59/1071544959.db2.gz HWJCGIYZYACUBF-UHFFFAOYSA-N 0 0 433.509 -0.285 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1 ZINC000473433590 1071544922 /nfs/dbraw/zinc/54/49/22/1071544922.db2.gz IKYNYLLWMOFKLC-UHFFFAOYSA-N 0 0 432.525 -0.252 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000473433863 1071544830 /nfs/dbraw/zinc/54/48/30/1071544830.db2.gz NGZJSLFMVDKHJB-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000473434202 1071545056 /nfs/dbraw/zinc/54/50/56/1071545056.db2.gz ONHZJKKVCHZRHW-UHFFFAOYSA-N 0 0 439.538 -0.502 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)CC1 ZINC000473435218 1071544770 /nfs/dbraw/zinc/54/47/70/1071544770.db2.gz PSQUOCWJARESHE-UHFFFAOYSA-N 0 0 446.476 -0.071 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000473435830 1071545017 /nfs/dbraw/zinc/54/50/17/1071545017.db2.gz SLANJFLPKUGFJY-UHFFFAOYSA-N 0 0 446.537 -0.055 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)cc21 ZINC000473436856 1071544983 /nfs/dbraw/zinc/54/49/83/1071544983.db2.gz RFUAGRSJOWCCRP-UHFFFAOYSA-N 0 0 442.520 -0.052 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000473437107 1071544788 /nfs/dbraw/zinc/54/47/88/1071544788.db2.gz XHKCFJDJXVVTQB-UHFFFAOYSA-N 0 0 429.474 -0.966 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)CC1 ZINC000473438665 1071545106 /nfs/dbraw/zinc/54/51/06/1071545106.db2.gz DFQPGXTXRXDWEW-UHFFFAOYSA-N 0 0 442.513 -0.069 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1 ZINC000473439848 1071545517 /nfs/dbraw/zinc/54/55/17/1071545517.db2.gz YWVUNVVULGCVTB-UHFFFAOYSA-N 0 0 442.314 -0.291 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000473440146 1071545487 /nfs/dbraw/zinc/54/54/87/1071545487.db2.gz HEORJKPGPSULPN-UHFFFAOYSA-N 0 0 433.509 -0.042 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1OCC(N)=O ZINC000473440214 1071545691 /nfs/dbraw/zinc/54/56/91/1071545691.db2.gz ZQQSIXLVQLRRCA-UHFFFAOYSA-N 0 0 435.481 -0.880 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000473440259 1071545450 /nfs/dbraw/zinc/54/54/50/1071545450.db2.gz ZYWUHTODCWXTHF-UHFFFAOYSA-N 0 0 443.504 -0.103 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000473440906 1071545708 /nfs/dbraw/zinc/54/57/08/1071545708.db2.gz VMMZJTWGYMJRLJ-UHFFFAOYSA-N 0 0 443.504 -0.103 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000473441056 1071545740 /nfs/dbraw/zinc/54/57/40/1071545740.db2.gz IUYDTWWOSXVWHI-UHFFFAOYSA-N 0 0 433.509 -0.238 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000473441584 1071545675 /nfs/dbraw/zinc/54/56/75/1071545675.db2.gz NVVXMTOQEXBVOP-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000473441662 1071545723 /nfs/dbraw/zinc/54/57/23/1071545723.db2.gz GGAUZCUFLPQJHH-UHFFFAOYSA-N 0 0 439.538 -0.703 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000473441665 1071545652 /nfs/dbraw/zinc/54/56/52/1071545652.db2.gz GIEUZWROKTXCIB-UHFFFAOYSA-N 0 0 439.538 -0.502 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccn(CC(F)(F)F)c2=O)CC1 ZINC000473441838 1071545424 /nfs/dbraw/zinc/54/54/24/1071545424.db2.gz LCCPEQRPZVMAMS-UHFFFAOYSA-N 0 0 431.415 -0.028 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1 ZINC000473441860 1071545612 /nfs/dbraw/zinc/54/56/12/1071545612.db2.gz LJRLVWAYTVVHDJ-UHFFFAOYSA-N 0 0 447.492 -0.850 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000473442291 1071545566 /nfs/dbraw/zinc/54/55/66/1071545566.db2.gz ZRUPFLGCKLQJAU-UHFFFAOYSA-N 0 0 439.538 -0.543 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1 ZINC000473442610 1071545587 /nfs/dbraw/zinc/54/55/87/1071545587.db2.gz QLFZFGNJNCGHMZ-UHFFFAOYSA-N 0 0 433.509 -0.238 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1 ZINC000473442819 1071545538 /nfs/dbraw/zinc/54/55/38/1071545538.db2.gz NUEYGTSGTWRSGL-UHFFFAOYSA-N 0 0 433.509 -0.238 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1C(=O)OC ZINC000473443177 1071546227 /nfs/dbraw/zinc/54/62/27/1071546227.db2.gz ONCBPFLDMINSME-UHFFFAOYSA-N 0 0 448.476 -0.179 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCn4ncnc4C3)CC2)cc1 ZINC000473443789 1071546327 /nfs/dbraw/zinc/54/63/27/1071546327.db2.gz VTEUSPBBPDJFGG-UHFFFAOYSA-N 0 0 432.506 -0.171 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000473444107 1071546400 /nfs/dbraw/zinc/54/64/00/1071546400.db2.gz XSLQXFSJXYBESR-UHFFFAOYSA-N 0 0 433.509 -0.042 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1C ZINC000473444377 1071546365 /nfs/dbraw/zinc/54/63/65/1071546365.db2.gz YTIZTBZPDWSCIF-UHFFFAOYSA-N 0 0 439.538 -0.488 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)CC1 ZINC000473444908 1071545093 /nfs/dbraw/zinc/54/50/93/1071545093.db2.gz VLPLPOFGCVWXII-UHFFFAOYSA-N 0 0 433.509 -0.285 20 0 IBADRN COc1ccc(NC(=O)CN2CCn3ncnc3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000473445048 1071546281 /nfs/dbraw/zinc/54/62/81/1071546281.db2.gz WABNPJKZTZQOTI-UHFFFAOYSA-N 0 0 436.494 -0.238 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1-n1nnnc1C ZINC000473445805 1071546189 /nfs/dbraw/zinc/54/61/89/1071546189.db2.gz WSYDZWNDJRWJAS-UHFFFAOYSA-N 0 0 444.496 -0.460 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000473445916 1071546996 /nfs/dbraw/zinc/54/69/96/1071546996.db2.gz XVURPVRJHISWSA-UHFFFAOYSA-N 0 0 440.504 -0.147 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)ccc1C ZINC000473445918 1071546787 /nfs/dbraw/zinc/54/67/87/1071546787.db2.gz AAALDPLINBOGJH-UHFFFAOYSA-N 0 0 439.538 -0.536 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H](CC(N)=O)C1 ZINC000473446133 1071546147 /nfs/dbraw/zinc/54/61/47/1071546147.db2.gz YZLGKTOBJUSFQK-GFCCVEGCSA-N 0 0 426.495 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@@H](CC(N)=O)C1 ZINC000473446135 1071544738 /nfs/dbraw/zinc/54/47/38/1071544738.db2.gz YZLGKTOBJUSFQK-LBPRGKRZSA-N 0 0 426.495 -0.002 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c2ccccc2O1 ZINC000473447091 1071546727 /nfs/dbraw/zinc/54/67/27/1071546727.db2.gz GWBHSTJTYOCVKC-KRWDZBQOSA-N 0 0 431.493 -0.851 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c2ccccc2O1 ZINC000473447092 1071546900 /nfs/dbraw/zinc/54/69/00/1071546900.db2.gz GWBHSTJTYOCVKC-QGZVFWFLSA-N 0 0 431.493 -0.851 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000473447476 1071546807 /nfs/dbraw/zinc/54/68/07/1071546807.db2.gz IOXCBLPNYWQNSA-UHFFFAOYSA-N 0 0 428.497 -0.079 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000473447505 1071546738 /nfs/dbraw/zinc/54/67/38/1071546738.db2.gz IWFOZPQEQNETHZ-UHFFFAOYSA-N 0 0 439.538 -0.357 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000473448956 1071547455 /nfs/dbraw/zinc/54/74/55/1071547455.db2.gz MZQCXNOWXRDOSH-UHFFFAOYSA-N 0 0 444.492 -0.701 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000473449005 1071547603 /nfs/dbraw/zinc/54/76/03/1071547603.db2.gz NKTAUBWNWNBMOA-UHFFFAOYSA-N 0 0 425.511 -0.797 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)ccc1F ZINC000473449285 1071547491 /nfs/dbraw/zinc/54/74/91/1071547491.db2.gz OQRGGPNCJMXCEU-UHFFFAOYSA-N 0 0 432.460 -0.329 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000473449602 1071546959 /nfs/dbraw/zinc/54/69/59/1071546959.db2.gz PWVZHGYFZLSEOB-CRAIPNDOSA-N 0 0 444.488 -0.247 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000473449603 1071546948 /nfs/dbraw/zinc/54/69/48/1071546948.db2.gz PWVZHGYFZLSEOB-MAUKXSAKSA-N 0 0 444.488 -0.247 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000473449604 1071546932 /nfs/dbraw/zinc/54/69/32/1071546932.db2.gz PWVZHGYFZLSEOB-QAPCUYQASA-N 0 0 444.488 -0.247 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000473449605 1071546967 /nfs/dbraw/zinc/54/69/67/1071546967.db2.gz PWVZHGYFZLSEOB-YJBOKZPZSA-N 0 0 444.488 -0.247 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000473449610 1071547628 /nfs/dbraw/zinc/54/76/28/1071547628.db2.gz PYNFOJDOKZRRCM-AWEZNQCLSA-N 0 0 438.506 -0.240 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000473449612 1071547481 /nfs/dbraw/zinc/54/74/81/1071547481.db2.gz PYNFOJDOKZRRCM-CQSZACIVSA-N 0 0 438.506 -0.240 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCn4ncnc4C3)CC2)c1 ZINC000473450053 1071547517 /nfs/dbraw/zinc/54/75/17/1071547517.db2.gz SGIFIIAKKKTETC-UHFFFAOYSA-N 0 0 432.506 -0.171 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)CC1 ZINC000473450126 1071547679 /nfs/dbraw/zinc/54/76/79/1071547679.db2.gz RHWOYXDSYHMIHJ-UHFFFAOYSA-N 0 0 442.513 -0.069 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000473451557 1071547652 /nfs/dbraw/zinc/54/76/52/1071547652.db2.gz VPTXXQKPUKKHGW-UHFFFAOYSA-N 0 0 439.538 -0.536 20 0 IBADRN O=C(CN1CCn2ncnc2C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000473452487 1071547637 /nfs/dbraw/zinc/54/76/37/1071547637.db2.gz YVXJOLTZSMZXMG-UHFFFAOYSA-N 0 0 448.505 -0.602 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000473453392 1071547574 /nfs/dbraw/zinc/54/75/74/1071547574.db2.gz ZCBYIAXBJKOEAD-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CCn3ncnc3C2)c(=O)[nH]c1=O ZINC000473454706 1071546869 /nfs/dbraw/zinc/54/68/69/1071546869.db2.gz RYRLYTBZMMENLR-CYBMUJFWSA-N 0 0 434.501 -0.194 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CCn3ncnc3C2)c(=O)[nH]c1=O ZINC000473454707 1071546921 /nfs/dbraw/zinc/54/69/21/1071546921.db2.gz RYRLYTBZMMENLR-ZDUSSCGKSA-N 0 0 434.501 -0.194 20 0 IBADRN O=C(CN1CCn2ncnc2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000473455755 1071546721 /nfs/dbraw/zinc/54/67/21/1071546721.db2.gz XHLDMIUEEJYBOK-UHFFFAOYSA-N 0 0 426.449 -0.095 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000473457674 1071546843 /nfs/dbraw/zinc/54/68/43/1071546843.db2.gz MYPIOCGBPCYGGU-HNNXBMFYSA-N 0 0 426.543 -0.301 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000473457675 1071547018 /nfs/dbraw/zinc/54/70/18/1071547018.db2.gz MYPIOCGBPCYGGU-OAHLLOKOSA-N 0 0 426.543 -0.301 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000473458807 1071547534 /nfs/dbraw/zinc/54/75/34/1071547534.db2.gz AXVNWDAFKHWNDT-KRWDZBQOSA-N 0 0 448.553 -0.487 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCN2C(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000473458808 1071547408 /nfs/dbraw/zinc/54/74/08/1071547408.db2.gz AXVNWDAFKHWNDT-QGZVFWFLSA-N 0 0 448.553 -0.487 20 0 IBADRN CS(=O)(=O)CC1(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000473471384 1071560804 /nfs/dbraw/zinc/56/08/04/1071560804.db2.gz HWRCPRLKPKJUSM-UHFFFAOYSA-N 0 0 444.579 -0.136 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CC3(CS(C)(=O)=O)CC3)CC2)C[C@H](C)O1 ZINC000473479520 1071567835 /nfs/dbraw/zinc/56/78/35/1071567835.db2.gz CRKGFQGUUOUGOD-GASCZTMLSA-N 0 0 437.584 -0.301 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CC3(CS(C)(=O)=O)CC3)CC2)C[C@H](C)O1 ZINC000473479521 1071567739 /nfs/dbraw/zinc/56/77/39/1071567739.db2.gz CRKGFQGUUOUGOD-GJZGRUSLSA-N 0 0 437.584 -0.301 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CC3(CS(C)(=O)=O)CC3)CC2)C[C@@H](C)O1 ZINC000473479522 1071567779 /nfs/dbraw/zinc/56/77/79/1071567779.db2.gz CRKGFQGUUOUGOD-HUUCEWRRSA-N 0 0 437.584 -0.301 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000473480785 1071569564 /nfs/dbraw/zinc/56/95/64/1071569564.db2.gz OCCUUKLIIJIFIJ-CYBMUJFWSA-N 0 0 432.520 -0.029 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000473480786 1071569827 /nfs/dbraw/zinc/56/98/27/1071569827.db2.gz OCCUUKLIIJIFIJ-ZDUSSCGKSA-N 0 0 432.520 -0.029 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCS(=O)(=O)C[C@H]2c2cnn(C)c2)C1=O ZINC000473483209 1071573365 /nfs/dbraw/zinc/57/33/65/1071573365.db2.gz VMSJYPOEAHOWNY-KBPBESRZSA-N 0 0 427.483 -0.935 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCS(=O)(=O)C[C@H]2c2cnn(C)c2)C1=O ZINC000473483210 1071573387 /nfs/dbraw/zinc/57/33/87/1071573387.db2.gz VMSJYPOEAHOWNY-KGLIPLIRSA-N 0 0 427.483 -0.935 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCS(=O)(=O)C[C@@H]2c2cnn(C)c2)C1=O ZINC000473483211 1071573205 /nfs/dbraw/zinc/57/32/05/1071573205.db2.gz VMSJYPOEAHOWNY-UONOGXRCSA-N 0 0 427.483 -0.935 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCS(=O)(=O)C[C@@H]2c2cnn(C)c2)C1=O ZINC000473483212 1071573185 /nfs/dbraw/zinc/57/31/85/1071573185.db2.gz VMSJYPOEAHOWNY-ZIAGYGMSSA-N 0 0 427.483 -0.935 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000473489122 1071586262 /nfs/dbraw/zinc/58/62/62/1071586262.db2.gz HWYYQAJVBFTHPL-AWEZNQCLSA-N 0 0 438.510 -0.234 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCN2C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000473489124 1071586251 /nfs/dbraw/zinc/58/62/51/1071586251.db2.gz HWYYQAJVBFTHPL-CQSZACIVSA-N 0 0 438.510 -0.234 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N[C@H]1CCCN(CCO)C1=O ZINC000473500916 1071592207 /nfs/dbraw/zinc/59/22/07/1071592207.db2.gz ZBDWNGTVYUGAQN-INIZCTEOSA-N 0 0 426.495 -0.188 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N[C@@H]1CCCN(CCO)C1=O ZINC000473500917 1071592141 /nfs/dbraw/zinc/59/21/41/1071592141.db2.gz ZBDWNGTVYUGAQN-MRXNPFEDSA-N 0 0 426.495 -0.188 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000473503409 1071598174 /nfs/dbraw/zinc/59/81/74/1071598174.db2.gz FELVVLRKJVWIKX-BMFZPTHFSA-N 0 0 449.526 -0.510 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000473503418 1071598205 /nfs/dbraw/zinc/59/82/05/1071598205.db2.gz FELVVLRKJVWIKX-KBMXLJTQSA-N 0 0 449.526 -0.510 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCN1S(C)(=O)=O ZINC000473503694 1071598195 /nfs/dbraw/zinc/59/81/95/1071598195.db2.gz FKHLJHPUSCIRTD-BJJXKVORSA-N 0 0 449.526 -0.558 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000473505887 1071598618 /nfs/dbraw/zinc/59/86/18/1071598618.db2.gz KYWOUWUQDOHJPP-BJJXKVORSA-N 0 0 429.470 -0.999 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000473506400 1071598990 /nfs/dbraw/zinc/59/89/90/1071598990.db2.gz KYWOUWUQDOHJPP-XPKDYRNWSA-N 0 0 429.470 -0.999 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1=O ZINC000473509424 1071599417 /nfs/dbraw/zinc/59/94/17/1071599417.db2.gz STTNAWNUDQKNSY-ZBFHGGJFSA-N 0 0 429.470 -0.733 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)c1ccn[nH]1 ZINC000473511582 1071599504 /nfs/dbraw/zinc/59/95/04/1071599504.db2.gz UTNPRKRSVZMIMJ-DOMZBBRYSA-N 0 0 425.442 -0.781 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CCc2nc(-c3nc[nH]n3)no2)CC1 ZINC000473519223 1071603350 /nfs/dbraw/zinc/60/33/50/1071603350.db2.gz BMOWFIZUGSRMBR-UHFFFAOYSA-N 0 0 427.487 -0.314 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CCn2nc3ccccn3c2=O)CC1 ZINC000473524844 1071614164 /nfs/dbraw/zinc/61/41/64/1071614164.db2.gz IRKMMDGNQIGFSC-UHFFFAOYSA-N 0 0 425.511 -0.215 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000473525983 1071615034 /nfs/dbraw/zinc/61/50/34/1071615034.db2.gz JPDUNQMWGXJHBT-UHFFFAOYSA-N 0 0 439.494 -0.453 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000473526879 1071614230 /nfs/dbraw/zinc/61/42/30/1071614230.db2.gz KMKJLVUCFVRLRL-UHFFFAOYSA-N 0 0 430.527 -0.346 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C2CCN(S(C)(=O)=O)CC2)CC1 ZINC000473531344 1071635076 /nfs/dbraw/zinc/63/50/76/1071635076.db2.gz KLFCPVCSJDBBEY-UHFFFAOYSA-N 0 0 425.573 -0.443 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000473531746 1071638593 /nfs/dbraw/zinc/63/85/93/1071638593.db2.gz OSNWCOSFSDYZBW-UHFFFAOYSA-N 0 0 449.551 -0.145 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CSCC(=O)N2CCOCC2)CC1 ZINC000473532263 1071639765 /nfs/dbraw/zinc/63/97/65/1071639765.db2.gz UMCXIFZLVHCKDZ-UHFFFAOYSA-N 0 0 437.584 -0.523 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000473534289 1071643257 /nfs/dbraw/zinc/64/32/57/1071643257.db2.gz QOGDKHLPWKPYHX-UHFFFAOYSA-N 0 0 425.511 -0.227 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000473536195 1071644739 /nfs/dbraw/zinc/64/47/39/1071644739.db2.gz YMECYWCVNHMVOR-UHFFFAOYSA-N 0 0 447.521 -0.205 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN(C)C(=O)[C@H](C)n2cccn2)CC1 ZINC000473538782 1071651351 /nfs/dbraw/zinc/65/13/51/1071651351.db2.gz ADFQPFFQDVOIIW-INIZCTEOSA-N 0 0 429.543 -0.199 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN(C)C(=O)[C@@H](C)n2cccn2)CC1 ZINC000473538783 1071651449 /nfs/dbraw/zinc/65/14/49/1071651449.db2.gz ADFQPFFQDVOIIW-MRXNPFEDSA-N 0 0 429.543 -0.199 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1=O ZINC000473538925 1071651428 /nfs/dbraw/zinc/65/14/28/1071651428.db2.gz CPIAEOGZYHNKBG-UHFFFAOYSA-N 0 0 432.543 -0.004 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)c1ccc(CNS(C)(=O)=O)o1 ZINC000473540976 1071674713 /nfs/dbraw/zinc/67/47/13/1071674713.db2.gz NLGUVGCAVAPFNR-UHFFFAOYSA-N 0 0 445.519 -0.128 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2ccc(CS(N)(=O)=O)cc2)CC1 ZINC000473544314 1071693908 /nfs/dbraw/zinc/69/39/08/1071693908.db2.gz TVNYEFZXFVJSAR-UHFFFAOYSA-N 0 0 433.552 -0.012 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000473544684 1071693880 /nfs/dbraw/zinc/69/38/80/1071693880.db2.gz PAYVGRKMNGRGFI-UHFFFAOYSA-N 0 0 448.567 -0.351 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC000473545339 1071695698 /nfs/dbraw/zinc/69/56/98/1071695698.db2.gz CPJYIPAFNGWGKW-UHFFFAOYSA-N 0 0 448.567 -0.399 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC000473546105 1071697557 /nfs/dbraw/zinc/69/75/57/1071697557.db2.gz DSQYNUAQXRYMLV-UHFFFAOYSA-N 0 0 432.543 -0.004 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000473546599 1071696968 /nfs/dbraw/zinc/69/69/68/1071696968.db2.gz OVIQMXOMCMOSEN-CABCVRRESA-N 0 0 427.589 -0.151 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000473546600 1071697550 /nfs/dbraw/zinc/69/75/50/1071697550.db2.gz OVIQMXOMCMOSEN-GJZGRUSLSA-N 0 0 427.589 -0.151 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000473546601 1071697369 /nfs/dbraw/zinc/69/73/69/1071697369.db2.gz OVIQMXOMCMOSEN-HUUCEWRRSA-N 0 0 427.589 -0.151 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000473546602 1071697405 /nfs/dbraw/zinc/69/74/05/1071697405.db2.gz OVIQMXOMCMOSEN-LSDHHAIUSA-N 0 0 427.589 -0.151 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN(C)c2ncnc3nc[nH]c32)CC1 ZINC000473549141 1071714124 /nfs/dbraw/zinc/71/41/24/1071714124.db2.gz JCLGHDKNPYAMLU-UHFFFAOYSA-N 0 0 425.515 -0.312 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)s2)CC1 ZINC000473549342 1071713977 /nfs/dbraw/zinc/71/39/77/1071713977.db2.gz NEMOVKVUOXPDLO-UHFFFAOYSA-N 0 0 425.554 -0.092 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CC1 ZINC000473549900 1071714681 /nfs/dbraw/zinc/71/46/81/1071714681.db2.gz KPRYGWZCKTVBFD-UHFFFAOYSA-N 0 0 439.600 -0.053 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2cccc(CS(N)(=O)=O)c2)CC1 ZINC000473550123 1071716206 /nfs/dbraw/zinc/71/62/06/1071716206.db2.gz IZYCXOPWYPVORW-UHFFFAOYSA-N 0 0 433.552 -0.012 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000473551785 1071716219 /nfs/dbraw/zinc/71/62/19/1071716219.db2.gz VHFFLFGBEOGVMF-UHFFFAOYSA-N 0 0 430.527 -0.250 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)COCC(=O)NCc2cccnc2)CC1 ZINC000473554474 1071727087 /nfs/dbraw/zinc/72/70/87/1071727087.db2.gz RUOLOKJCKZTQAF-UHFFFAOYSA-N 0 0 442.538 -0.387 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)CC1 ZINC000473558147 1071746751 /nfs/dbraw/zinc/74/67/51/1071746751.db2.gz ZNDKMXICKCQJSL-GOSISDBHSA-N 0 0 430.527 -0.394 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)CC1 ZINC000473558160 1071746739 /nfs/dbraw/zinc/74/67/39/1071746739.db2.gz ZNDKMXICKCQJSL-SFHVURJKSA-N 0 0 430.527 -0.394 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2csc(S(N)(=O)=O)c2)CC1 ZINC000473559019 1071747261 /nfs/dbraw/zinc/74/72/61/1071747261.db2.gz CYEVKAUSGPLXGP-UHFFFAOYSA-N 0 0 425.554 -0.092 20 0 IBADRN CC(C)[C@H](NC(=O)CNC(=O)c1ncccc1O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000473560290 1071761358 /nfs/dbraw/zinc/76/13/58/1071761358.db2.gz JFKWRIIYCZGVDG-KRWDZBQOSA-N 0 0 429.481 -0.146 20 0 IBADRN CC(C)[C@@H](NC(=O)CNC(=O)c1ncccc1O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000473560294 1071761873 /nfs/dbraw/zinc/76/18/73/1071761873.db2.gz JFKWRIIYCZGVDG-QGZVFWFLSA-N 0 0 429.481 -0.146 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N[C@H](C(=O)N(C)Cc1nnc2n1CCC2)C(C)C ZINC000473562195 1071771260 /nfs/dbraw/zinc/77/12/60/1071771260.db2.gz KWQBZPVQNAEFJG-KRWDZBQOSA-N 0 0 428.559 -0.005 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N[C@@H](C(=O)N(C)Cc1nnc2n1CCC2)C(C)C ZINC000473562196 1071771169 /nfs/dbraw/zinc/77/11/69/1071771169.db2.gz KWQBZPVQNAEFJG-QGZVFWFLSA-N 0 0 428.559 -0.005 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1 ZINC000473563181 1071782438 /nfs/dbraw/zinc/78/24/38/1071782438.db2.gz UGMMJBFWWFOYHB-INIZCTEOSA-N 0 0 439.600 -0.053 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1 ZINC000473563182 1071782364 /nfs/dbraw/zinc/78/23/64/1071782364.db2.gz UGMMJBFWWFOYHB-MRXNPFEDSA-N 0 0 439.600 -0.053 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC000473575442 1071938922 /nfs/dbraw/zinc/93/89/22/1071938922.db2.gz HMRSMLLKFMZJDJ-CVEARBPZSA-N 0 0 431.580 -0.078 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)[C@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC000473575443 1071938934 /nfs/dbraw/zinc/93/89/34/1071938934.db2.gz HMRSMLLKFMZJDJ-HOTGVXAUSA-N 0 0 431.580 -0.078 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC000473575444 1071938998 /nfs/dbraw/zinc/93/89/98/1071938998.db2.gz HMRSMLLKFMZJDJ-HZPDHXFCSA-N 0 0 431.580 -0.078 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)[C@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC000473575445 1071938992 /nfs/dbraw/zinc/93/89/92/1071938992.db2.gz HMRSMLLKFMZJDJ-JKSUJKDBSA-N 0 0 431.580 -0.078 20 0 IBADRN CCN1CCN(CC(=O)N[C@H](C(=O)N(C)Cc2nnc3n2CCC3)C(C)C)C(=O)C1=O ZINC000473576972 1071941649 /nfs/dbraw/zinc/94/16/49/1071941649.db2.gz XVHXFRZTKJRTMA-KRWDZBQOSA-N 0 0 433.513 -0.986 20 0 IBADRN CCN1CCN(CC(=O)N[C@@H](C(=O)N(C)Cc2nnc3n2CCC3)C(C)C)C(=O)C1=O ZINC000473576976 1071941680 /nfs/dbraw/zinc/94/16/80/1071941680.db2.gz XVHXFRZTKJRTMA-QGZVFWFLSA-N 0 0 433.513 -0.986 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@H](C(=O)N(C)Cc2nnc3n2CCC3)C(C)C)cn1 ZINC000473584576 1071989161 /nfs/dbraw/zinc/98/91/61/1071989161.db2.gz XHXWHGGXYAJXJA-KRWDZBQOSA-N 0 0 449.537 -0.060 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@@H](C(=O)N(C)Cc2nnc3n2CCC3)C(C)C)cn1 ZINC000473584577 1071989226 /nfs/dbraw/zinc/98/92/26/1071989226.db2.gz XHXWHGGXYAJXJA-QGZVFWFLSA-N 0 0 449.537 -0.060 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCn2ncnc2C1 ZINC000473606795 1072097615 /nfs/dbraw/zinc/09/76/15/1072097615.db2.gz NLUNRCKZRSBVEV-UHFFFAOYSA-N 0 0 438.441 -0.581 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCn2ncnc2C1 ZINC000473607323 1072105623 /nfs/dbraw/zinc/10/56/23/1072105623.db2.gz PBWINBSWRWHEIE-UHFFFAOYSA-N 0 0 427.465 -0.679 20 0 IBADRN O=C(NCC1(CO)CCCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000473607737 1072104535 /nfs/dbraw/zinc/10/45/35/1072104535.db2.gz LAGYVQCTJXYEOY-UHFFFAOYSA-N 0 0 438.506 -0.586 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCn2ncnc2C1 ZINC000473607810 1072105094 /nfs/dbraw/zinc/10/50/94/1072105094.db2.gz CRZBQVOYVGCTFU-UHFFFAOYSA-N 0 0 427.465 -0.345 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCSC4(CCOCC4)C3)cnc2n(C)c1=O ZINC000473608243 1072114723 /nfs/dbraw/zinc/11/47/23/1072114723.db2.gz MCTXRRCCPNDOEO-UHFFFAOYSA-N 0 0 433.490 -0.305 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCn4ncnc4C3)c2)CC1 ZINC000473614151 1072144659 /nfs/dbraw/zinc/14/46/59/1072144659.db2.gz XOLVQFMVAIVWQS-UHFFFAOYSA-N 0 0 447.521 -0.415 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCSC3(CCOCC3)C2)n1)N1CCOCC1 ZINC000473617277 1073321905 /nfs/dbraw/zinc/32/19/05/1073321905.db2.gz KORIUHDNPFXXNG-UHFFFAOYSA-N 0 0 437.522 -0.195 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCSC3(CCOCC3)C2)cn1)N1CCOCC1 ZINC000473619005 1072146030 /nfs/dbraw/zinc/14/60/30/1072146030.db2.gz QBRVESCJBIAUDB-UHFFFAOYSA-N 0 0 437.522 -0.195 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC1 ZINC000473619787 1072146038 /nfs/dbraw/zinc/14/60/38/1072146038.db2.gz MLBIHZKUHBOQNV-UHFFFAOYSA-N 0 0 430.531 -0.124 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CC1 ZINC000473623808 1072146076 /nfs/dbraw/zinc/14/60/76/1072146076.db2.gz XGRRBMPMQKTQFS-UHFFFAOYSA-N 0 0 434.559 -0.294 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1CCSC1 ZINC000473625134 1072146495 /nfs/dbraw/zinc/14/64/95/1072146495.db2.gz LVDWWGBNVSSVDE-AAEUAGOBSA-N 0 0 429.520 -0.008 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H]1CCSC1 ZINC000473625138 1072146482 /nfs/dbraw/zinc/14/64/82/1072146482.db2.gz LVDWWGBNVSSVDE-DGCLKSJQSA-N 0 0 429.520 -0.008 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1CCSC1 ZINC000473625139 1072146501 /nfs/dbraw/zinc/14/65/01/1072146501.db2.gz LVDWWGBNVSSVDE-WCQYABFASA-N 0 0 429.520 -0.008 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H]1CCSC1 ZINC000473625140 1072146514 /nfs/dbraw/zinc/14/65/14/1072146514.db2.gz LVDWWGBNVSSVDE-YPMHNXCESA-N 0 0 429.520 -0.008 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCn4ncnc4C3)c2)CC1 ZINC000473625707 1072146101 /nfs/dbraw/zinc/14/61/01/1072146101.db2.gz NPAUYGFWCRXELD-UHFFFAOYSA-N 0 0 433.494 -0.805 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCn4ncnc4C3)cc2)CC1 ZINC000473627256 1072146461 /nfs/dbraw/zinc/14/64/61/1072146461.db2.gz RMDQTGHIVBNZSW-UHFFFAOYSA-N 0 0 433.494 -0.805 20 0 IBADRN CCOC(=O)C1(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000473628747 1072146443 /nfs/dbraw/zinc/14/64/43/1072146443.db2.gz XWKUJNLFCWTJQI-KBPBESRZSA-N 0 0 435.481 -0.083 20 0 IBADRN CCOC(=O)C1(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000473628748 1072146554 /nfs/dbraw/zinc/14/65/54/1072146554.db2.gz XWKUJNLFCWTJQI-OKILXGFUSA-N 0 0 435.481 -0.083 20 0 IBADRN CCOC(=O)C1(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000473628749 1072146450 /nfs/dbraw/zinc/14/64/50/1072146450.db2.gz XWKUJNLFCWTJQI-ZIAGYGMSSA-N 0 0 435.481 -0.083 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCn2ncnc2C1 ZINC000473630551 1072147075 /nfs/dbraw/zinc/14/70/75/1072147075.db2.gz IGPRSIIDOGNVGG-UHFFFAOYSA-N 0 0 434.478 -0.412 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCn4ncnc4C3)c2)CC1 ZINC000473631643 1072146983 /nfs/dbraw/zinc/14/69/83/1072146983.db2.gz MIUAMQGEAYRWSB-UHFFFAOYSA-N 0 0 447.521 -0.415 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1)N1CCCC1 ZINC000473641400 1072147346 /nfs/dbraw/zinc/14/73/46/1072147346.db2.gz VTMOIIRYESUDAP-MSOLQXFVSA-N 0 0 432.521 -0.279 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1)N1CCCC1 ZINC000473641402 1072147360 /nfs/dbraw/zinc/14/73/60/1072147360.db2.gz VTMOIIRYESUDAP-QZTJIDSGSA-N 0 0 432.521 -0.279 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1)N1CCCC1 ZINC000473641403 1072147372 /nfs/dbraw/zinc/14/73/72/1072147372.db2.gz VTMOIIRYESUDAP-ROUUACIJSA-N 0 0 432.521 -0.279 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1)N1CCCC1 ZINC000473641404 1072147403 /nfs/dbraw/zinc/14/74/03/1072147403.db2.gz VTMOIIRYESUDAP-ZWKOTPCHSA-N 0 0 432.521 -0.279 20 0 IBADRN CS(=O)(=O)CC1(CC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000473648302 1072148116 /nfs/dbraw/zinc/14/81/16/1072148116.db2.gz KFNBPHWBKFFNSN-UHFFFAOYSA-N 0 0 429.520 -0.040 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000473650322 1072148189 /nfs/dbraw/zinc/14/81/89/1072148189.db2.gz SJNRMDRNEGYZMB-UHFFFAOYSA-N 0 0 432.568 -0.393 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N[C@H]1CCCN(CCO)C1=O ZINC000473653277 1072148128 /nfs/dbraw/zinc/14/81/28/1072148128.db2.gz FXOSTIYEZRHROA-HNNXBMFYSA-N 0 0 444.485 -0.049 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N[C@@H]1CCCN(CCO)C1=O ZINC000473653278 1072148369 /nfs/dbraw/zinc/14/83/69/1072148369.db2.gz FXOSTIYEZRHROA-OAHLLOKOSA-N 0 0 444.485 -0.049 20 0 IBADRN CCn1cc(N2C(=O)C[C@H](N3CCN(S(=O)(=O)CCOC(C)C)CC3)C2=O)cn1 ZINC000473653628 1072148794 /nfs/dbraw/zinc/14/87/94/1072148794.db2.gz XZJYQCYDBLHOHY-INIZCTEOSA-N 0 0 427.527 -0.093 20 0 IBADRN CCn1cc(N2C(=O)C[C@@H](N3CCN(S(=O)(=O)CCOC(C)C)CC3)C2=O)cn1 ZINC000473653629 1072148888 /nfs/dbraw/zinc/14/88/88/1072148888.db2.gz XZJYQCYDBLHOHY-MRXNPFEDSA-N 0 0 427.527 -0.093 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N[C@H]3CCCN(CCO)C3=O)c2)CC1 ZINC000473658902 1072149287 /nfs/dbraw/zinc/14/92/87/1072149287.db2.gz OAPPFDIYHGTDMO-KRWDZBQOSA-N 0 0 439.538 -0.273 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N[C@@H]3CCCN(CCO)C3=O)c2)CC1 ZINC000473658905 1072149274 /nfs/dbraw/zinc/14/92/74/1072149274.db2.gz OAPPFDIYHGTDMO-QGZVFWFLSA-N 0 0 439.538 -0.273 20 0 IBADRN CS(=O)(=O)CC1(CC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000473674889 1072154287 /nfs/dbraw/zinc/15/42/87/1072154287.db2.gz IRMCCANAMJLJDY-INIZCTEOSA-N 0 0 436.596 -0.061 20 0 IBADRN CS(=O)(=O)CC1(CC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000473674895 1072154316 /nfs/dbraw/zinc/15/43/16/1072154316.db2.gz IRMCCANAMJLJDY-MRXNPFEDSA-N 0 0 436.596 -0.061 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000473682977 1072154952 /nfs/dbraw/zinc/15/49/52/1072154952.db2.gz PDTMCYINOTVSPI-GFCCVEGCSA-N 0 0 445.519 -0.469 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000473682982 1072154968 /nfs/dbraw/zinc/15/49/68/1072154968.db2.gz PDTMCYINOTVSPI-LBPRGKRZSA-N 0 0 445.519 -0.469 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](O)C3)cc2)C1 ZINC000473689704 1072155466 /nfs/dbraw/zinc/15/54/66/1072155466.db2.gz ARXBVLQIJDFSMD-DZGCQCFKSA-N 0 0 439.490 -0.208 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](O)C3)cc2)C1 ZINC000473689705 1072155497 /nfs/dbraw/zinc/15/54/97/1072155497.db2.gz ARXBVLQIJDFSMD-UKRRQHHQSA-N 0 0 439.490 -0.208 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)cn1 ZINC000473690219 1072155472 /nfs/dbraw/zinc/15/54/72/1072155472.db2.gz KFUKADUKQASCPC-UHFFFAOYSA-N 0 0 426.477 -0.096 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](O)C1 ZINC000473691879 1072155552 /nfs/dbraw/zinc/15/55/52/1072155552.db2.gz HMGIFUMVJCGKHQ-CYBMUJFWSA-N 0 0 427.479 -0.362 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)c2ccc(C(=O)N=c3ccn(CC(=O)OC)[nH]3)o2)[nH]1 ZINC000473693432 1072155931 /nfs/dbraw/zinc/15/59/31/1072155931.db2.gz VQJBKHQKTGKXPG-UHFFFAOYSA-N 0 0 430.377 -0.633 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000473695106 1072155978 /nfs/dbraw/zinc/15/59/78/1072155978.db2.gz IFAAXNMXCQSLTP-HNNXBMFYSA-N 0 0 440.444 -0.949 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000473695113 1072155907 /nfs/dbraw/zinc/15/59/07/1072155907.db2.gz IFAAXNMXCQSLTP-OAHLLOKOSA-N 0 0 440.444 -0.949 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1 ZINC000473695621 1072156008 /nfs/dbraw/zinc/15/60/08/1072156008.db2.gz ZVYIDOLWYIYQPF-UHFFFAOYSA-N 0 0 430.494 -0.029 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N2CC[C@@H](O)C2)cc1)N1CCN(c2ncccn2)CC1 ZINC000473697910 1072156539 /nfs/dbraw/zinc/15/65/39/1072156539.db2.gz QLLFEWURYIEKET-GOSISDBHSA-N 0 0 438.488 -0.100 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000473698954 1072155533 /nfs/dbraw/zinc/15/55/33/1072155533.db2.gz NYXJZCGQRWMWFF-CQSZACIVSA-N 0 0 427.479 -0.362 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)c1ccc(C(=O)NCCN2C(=O)CCCC2=O)o1 ZINC000473701711 1073125501 /nfs/dbraw/zinc/12/55/01/1073125501.db2.gz ZAZJPGJPEYVHSG-UHFFFAOYSA-N 0 0 432.433 -0.183 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)c2ccc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)o2)C1=O ZINC000473704211 1073125518 /nfs/dbraw/zinc/12/55/18/1073125518.db2.gz BRKUXGHGVJGEAW-RYUDHWBXSA-N 0 0 432.433 -0.189 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2ccc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)o2)C1=O ZINC000473704212 1073125539 /nfs/dbraw/zinc/12/55/39/1073125539.db2.gz BRKUXGHGVJGEAW-TXEJJXNPSA-N 0 0 432.433 -0.189 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2ccc(C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)o2)C1=O ZINC000473704213 1073125492 /nfs/dbraw/zinc/12/54/92/1073125492.db2.gz BRKUXGHGVJGEAW-VXGBXAGGSA-N 0 0 432.433 -0.189 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)c2ccc(C(=O)N3CC(OCC(=O)N(C)C)C3)o2)C1 ZINC000473708086 1073125530 /nfs/dbraw/zinc/12/55/30/1073125530.db2.gz YLFSZXVJUIFAKZ-UHFFFAOYSA-N 0 0 436.465 -0.862 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)Nc2cccc(S(=O)(=O)NCC(=O)OC)c2)cn1 ZINC000473723018 1072158109 /nfs/dbraw/zinc/15/81/09/1072158109.db2.gz UOKZPOUCFVETHT-UHFFFAOYSA-N 0 0 442.475 -0.307 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@@H](O)C1 ZINC000473724628 1072158151 /nfs/dbraw/zinc/15/81/51/1072158151.db2.gz QIGDBZBNIIBAIQ-CYBMUJFWSA-N 0 0 426.495 -0.837 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2ccc(C(=O)N3CCN(CC(=O)OC)CC3)o2)CC1 ZINC000473728196 1072810972 /nfs/dbraw/zinc/81/09/72/1072810972.db2.gz DXNYWCDKYOGBLB-UHFFFAOYSA-N 0 0 436.465 -0.859 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000473729764 1072158167 /nfs/dbraw/zinc/15/81/67/1072158167.db2.gz BZBUINNDORUPCG-UHFFFAOYSA-N 0 0 447.521 -0.409 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC000473733744 1072158655 /nfs/dbraw/zinc/15/86/55/1072158655.db2.gz VBGIOWAYRUKITC-HNNXBMFYSA-N 0 0 441.554 -0.369 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC000473733992 1072158638 /nfs/dbraw/zinc/15/86/38/1072158638.db2.gz VBGIOWAYRUKITC-OAHLLOKOSA-N 0 0 441.554 -0.369 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1ccc(Br)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000473735611 1072158740 /nfs/dbraw/zinc/15/87/40/1072158740.db2.gz RFPJBCFPXDXHJF-CYBMUJFWSA-N 0 0 446.323 -0.012 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1ccc(Br)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC000473735612 1072158725 /nfs/dbraw/zinc/15/87/25/1072158725.db2.gz RFPJBCFPXDXHJF-ZDUSSCGKSA-N 0 0 446.323 -0.012 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)c1ccc(C(=O)NCc2nnnn2CC2CC2)o1 ZINC000473736669 1073126622 /nfs/dbraw/zinc/12/66/22/1073126622.db2.gz QAEYZYLWAJYCOK-UHFFFAOYSA-N 0 0 426.441 -0.067 20 0 IBADRN CN(C(=O)CC1(CS(C)(=O)=O)CC1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000473737112 1072158532 /nfs/dbraw/zinc/15/85/32/1072158532.db2.gz WUVLPLCNFXKAPC-UHFFFAOYSA-N 0 0 428.599 -0.102 20 0 IBADRN COc1ccccc1C(=O)NCC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000473747457 1072159171 /nfs/dbraw/zinc/15/91/71/1072159171.db2.gz WDEMYKINNWSYDI-UHFFFAOYSA-N 0 0 439.538 -0.939 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccc(Br)cc1 ZINC000473753082 1072159763 /nfs/dbraw/zinc/15/97/63/1072159763.db2.gz WPZMJTOQXGRVTO-CYBMUJFWSA-N 0 0 446.323 -0.059 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccc(Br)cc1 ZINC000473753229 1072159669 /nfs/dbraw/zinc/15/96/69/1072159669.db2.gz WPZMJTOQXGRVTO-ZDUSSCGKSA-N 0 0 446.323 -0.059 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000473762652 1072160108 /nfs/dbraw/zinc/16/01/08/1072160108.db2.gz MLONCRCWVQQLEL-UHFFFAOYSA-N 0 0 426.543 -0.102 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)n1 ZINC000473766903 1072161303 /nfs/dbraw/zinc/16/13/03/1072161303.db2.gz FZVMWFGYXSMLKG-UHFFFAOYSA-N 0 0 429.484 -0.095 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)N[C@H]2CCCN(CCO)C2=O)cc1 ZINC000473771077 1072161273 /nfs/dbraw/zinc/16/12/73/1072161273.db2.gz XZKNLMVDDULJIQ-HNNXBMFYSA-N 0 0 446.464 -0.328 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)N[C@@H]2CCCN(CCO)C2=O)cc1 ZINC000473771078 1072161330 /nfs/dbraw/zinc/16/13/30/1072161330.db2.gz XZKNLMVDDULJIQ-OAHLLOKOSA-N 0 0 446.464 -0.328 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000473774150 1072162569 /nfs/dbraw/zinc/16/25/69/1072162569.db2.gz GJWNDUYKAWWCGE-UHFFFAOYSA-N 0 0 443.501 -0.258 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000473780673 1072163284 /nfs/dbraw/zinc/16/32/84/1072163284.db2.gz VTGJJFKAUUVXID-DLBZAZTESA-N 0 0 446.504 -0.753 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000473780674 1072163300 /nfs/dbraw/zinc/16/33/00/1072163300.db2.gz VTGJJFKAUUVXID-IAGOWNOFSA-N 0 0 446.504 -0.753 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000473780675 1072163173 /nfs/dbraw/zinc/16/31/73/1072163173.db2.gz VTGJJFKAUUVXID-IRXDYDNUSA-N 0 0 446.504 -0.753 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000473780676 1072163151 /nfs/dbraw/zinc/16/31/51/1072163151.db2.gz VTGJJFKAUUVXID-SJORKVTESA-N 0 0 446.504 -0.753 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000473783027 1072163875 /nfs/dbraw/zinc/16/38/75/1072163875.db2.gz RCQLTJAFSOSQPB-UHFFFAOYSA-N 0 0 439.538 -0.056 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1 ZINC000473785870 1072164558 /nfs/dbraw/zinc/16/45/58/1072164558.db2.gz ZPXKVGDHHVXUPB-UHFFFAOYSA-N 0 0 438.554 -0.482 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CC1 ZINC000473797221 1072165066 /nfs/dbraw/zinc/16/50/66/1072165066.db2.gz HSUVCLVPWMAAKE-UHFFFAOYSA-N 0 0 432.525 -0.022 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H]1C ZINC000473803730 1072165829 /nfs/dbraw/zinc/16/58/29/1072165829.db2.gz HUCZCAJRUKUNMR-GDBMZVCRSA-N 0 0 432.543 -0.132 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@H]1C ZINC000473803731 1072165836 /nfs/dbraw/zinc/16/58/36/1072165836.db2.gz HUCZCAJRUKUNMR-GOEBONIOSA-N 0 0 432.543 -0.132 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@H]1C ZINC000473803732 1072165882 /nfs/dbraw/zinc/16/58/82/1072165882.db2.gz HUCZCAJRUKUNMR-HOCLYGCPSA-N 0 0 432.543 -0.132 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H]1C ZINC000473803733 1072166441 /nfs/dbraw/zinc/16/64/41/1072166441.db2.gz HUCZCAJRUKUNMR-ZBFHGGJFSA-N 0 0 432.543 -0.132 20 0 IBADRN CCO[C@@H]1C[C@](O)(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1(C)C ZINC000473811740 1072167094 /nfs/dbraw/zinc/16/70/94/1072167094.db2.gz WNKMOPGEHHMGSZ-APWZRJJASA-N 0 0 448.586 -0.107 20 0 IBADRN CCO[C@H]1C[C@](O)(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1(C)C ZINC000473811742 1072167100 /nfs/dbraw/zinc/16/71/00/1072167100.db2.gz WNKMOPGEHHMGSZ-LPHOPBHVSA-N 0 0 448.586 -0.107 20 0 IBADRN CCO[C@H]1C[C@@](O)(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1(C)C ZINC000473811744 1072167079 /nfs/dbraw/zinc/16/70/79/1072167079.db2.gz WNKMOPGEHHMGSZ-QFBILLFUSA-N 0 0 448.586 -0.107 20 0 IBADRN CCO[C@@H]1C[C@@](O)(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1(C)C ZINC000473811747 1072167042 /nfs/dbraw/zinc/16/70/42/1072167042.db2.gz WNKMOPGEHHMGSZ-VQIMIIECSA-N 0 0 448.586 -0.107 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000473814015 1073362408 /nfs/dbraw/zinc/36/24/08/1073362408.db2.gz BYXIKMUINPPYPA-UHFFFAOYSA-N 0 0 439.542 -0.235 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000473814961 1072167682 /nfs/dbraw/zinc/16/76/82/1072167682.db2.gz DPSWSTPVONMJRB-HNNXBMFYSA-N 0 0 425.573 -0.996 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000473814962 1072167650 /nfs/dbraw/zinc/16/76/50/1072167650.db2.gz DPSWSTPVONMJRB-OAHLLOKOSA-N 0 0 425.573 -0.996 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC000473859674 1072211483 /nfs/dbraw/zinc/21/14/83/1072211483.db2.gz PEPNHWMQXZQRBL-CVEARBPZSA-N 0 0 426.495 -0.349 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)[C@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC000473859675 1072211518 /nfs/dbraw/zinc/21/15/18/1072211518.db2.gz PEPNHWMQXZQRBL-HOTGVXAUSA-N 0 0 426.495 -0.349 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC000473859676 1072211396 /nfs/dbraw/zinc/21/13/96/1072211396.db2.gz PEPNHWMQXZQRBL-HZPDHXFCSA-N 0 0 426.495 -0.349 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)[C@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC000473859677 1072211386 /nfs/dbraw/zinc/21/13/86/1072211386.db2.gz PEPNHWMQXZQRBL-JKSUJKDBSA-N 0 0 426.495 -0.349 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000473872621 1072225167 /nfs/dbraw/zinc/22/51/67/1072225167.db2.gz DUDRRFDALFCFCB-UHFFFAOYSA-N 0 0 444.536 -0.436 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCCn3ncnn3)CC2=O)cc1 ZINC000473893279 1072249185 /nfs/dbraw/zinc/24/91/85/1072249185.db2.gz FXJHMHRPWUPQIN-AWEZNQCLSA-N 0 0 435.510 -0.127 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCCn3ncnn3)CC2=O)cc1 ZINC000473893282 1072249252 /nfs/dbraw/zinc/24/92/52/1072249252.db2.gz FXJHMHRPWUPQIN-CQSZACIVSA-N 0 0 435.510 -0.127 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCn3ncnn3)CC2)o1 ZINC000473898504 1072272047 /nfs/dbraw/zinc/27/20/47/1072272047.db2.gz WSQCNCSGAJCPQX-UHFFFAOYSA-N 0 0 426.455 -0.340 20 0 IBADRN Cn1c(CCC(=O)NCCn2ncnn2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000473905478 1072274358 /nfs/dbraw/zinc/27/43/58/1072274358.db2.gz DQXGQGZQZHDGHP-UHFFFAOYSA-N 0 0 448.509 -0.670 20 0 IBADRN CCOC(=O)c1ccc(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000473906456 1072274458 /nfs/dbraw/zinc/27/44/58/1072274458.db2.gz SKWQSLHZJCYTKX-CVEARBPZSA-N 0 0 446.547 -0.052 20 0 IBADRN CCOC(=O)c1ccc(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000473906457 1072274502 /nfs/dbraw/zinc/27/45/02/1072274502.db2.gz SKWQSLHZJCYTKX-HOTGVXAUSA-N 0 0 446.547 -0.052 20 0 IBADRN CCOC(=O)c1ccc(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000473906458 1072274327 /nfs/dbraw/zinc/27/43/27/1072274327.db2.gz SKWQSLHZJCYTKX-HZPDHXFCSA-N 0 0 446.547 -0.052 20 0 IBADRN CCOC(=O)c1ccc(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000473906459 1072274346 /nfs/dbraw/zinc/27/43/46/1072274346.db2.gz SKWQSLHZJCYTKX-JKSUJKDBSA-N 0 0 446.547 -0.052 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCn3ncnn3)CC2)c1 ZINC000473906767 1072274551 /nfs/dbraw/zinc/27/45/51/1072274551.db2.gz NRPNQYHGKMUUPM-UHFFFAOYSA-N 0 0 449.537 -0.281 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)Nc2cnn(CC(=O)N3CCN(C)CC3)c2)cn1 ZINC000473907227 1072274411 /nfs/dbraw/zinc/27/44/11/1072274411.db2.gz AOXDPDUUHFYNKD-UHFFFAOYSA-N 0 0 435.510 -0.445 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(S(=O)(=O)NC)nc2)c1 ZINC000473919281 1072276292 /nfs/dbraw/zinc/27/62/92/1072276292.db2.gz KYKMOXHHZHDCFC-UHFFFAOYSA-N 0 0 441.491 -0.734 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cn1 ZINC000473921816 1072276244 /nfs/dbraw/zinc/27/62/44/1072276244.db2.gz WQZOMKHTSBCNAY-AWEZNQCLSA-N 0 0 427.527 -0.711 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cn1 ZINC000473921817 1072276034 /nfs/dbraw/zinc/27/60/34/1072276034.db2.gz WQZOMKHTSBCNAY-CQSZACIVSA-N 0 0 427.527 -0.711 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000473922056 1072275516 /nfs/dbraw/zinc/27/55/16/1072275516.db2.gz JGOUCPZKOXDIBQ-GFCCVEGCSA-N 0 0 426.495 -0.003 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000473922057 1072275475 /nfs/dbraw/zinc/27/54/75/1072275475.db2.gz JGOUCPZKOXDIBQ-LBPRGKRZSA-N 0 0 426.495 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)cn1 ZINC000473923891 1072277135 /nfs/dbraw/zinc/27/71/35/1072277135.db2.gz RBCKNUYURMJZPC-UHFFFAOYSA-N 0 0 442.505 -0.077 20 0 IBADRN O=C(CC12CC3CC(C1)CC(CC(=O)NCCn1ncnn1)(C3)C2)NCCn1ncnn1 ZINC000473925839 1072277158 /nfs/dbraw/zinc/27/71/58/1072277158.db2.gz VJYNVNZQRSCGNN-UHFFFAOYSA-N 0 0 442.528 -0.041 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)cc1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000473928541 1072277665 /nfs/dbraw/zinc/27/76/65/1072277665.db2.gz QPYMUYYMZXIEFK-UHFFFAOYSA-N 0 0 436.270 -0.464 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)c1ncnn1C ZINC000473932181 1072277617 /nfs/dbraw/zinc/27/76/17/1072277617.db2.gz KWCYYFIVLCUACM-GFCCVEGCSA-N 0 0 449.493 -0.077 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)c1ncnn1C ZINC000473932182 1072278254 /nfs/dbraw/zinc/27/82/54/1072278254.db2.gz KWCYYFIVLCUACM-LBPRGKRZSA-N 0 0 449.493 -0.077 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1ccc(Br)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000473934057 1072278633 /nfs/dbraw/zinc/27/86/33/1072278633.db2.gz TUQBYRFZXQRYRB-LLVKDONJSA-N 0 0 446.323 -0.059 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1ccc(Br)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000473934058 1072278627 /nfs/dbraw/zinc/27/86/27/1072278627.db2.gz TUQBYRFZXQRYRB-NSHDSACASA-N 0 0 446.323 -0.059 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000473943510 1072281038 /nfs/dbraw/zinc/28/10/38/1072281038.db2.gz HLRIOZSTHOACEY-UHFFFAOYSA-N 0 0 432.506 -0.147 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000473944402 1072279682 /nfs/dbraw/zinc/27/96/82/1072279682.db2.gz JTTMLVKPMMLRQI-UHFFFAOYSA-N 0 0 425.555 -0.089 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2ccc(S(=O)(=O)NC)nc2)C1 ZINC000473949628 1072281026 /nfs/dbraw/zinc/28/10/26/1072281026.db2.gz GJOORXRKLCJYSI-AWEZNQCLSA-N 0 0 426.495 -0.137 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2ccc(S(=O)(=O)NC)nc2)C1 ZINC000473949633 1072280885 /nfs/dbraw/zinc/28/08/85/1072280885.db2.gz GJOORXRKLCJYSI-CQSZACIVSA-N 0 0 426.495 -0.137 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2ccc(S(=O)(=O)NC)nc2)CC1 ZINC000473952880 1072281731 /nfs/dbraw/zinc/28/17/31/1072281731.db2.gz RUVIBIIQEVVKLE-UHFFFAOYSA-N 0 0 426.495 -0.137 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000473954785 1072282029 /nfs/dbraw/zinc/28/20/29/1072282029.db2.gz YPSSGXBNZALDEZ-AWEZNQCLSA-N 0 0 428.536 -0.344 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000473954786 1072282448 /nfs/dbraw/zinc/28/24/48/1072282448.db2.gz YPSSGXBNZALDEZ-CQSZACIVSA-N 0 0 428.536 -0.344 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000473955195 1072281737 /nfs/dbraw/zinc/28/17/37/1072281737.db2.gz ANARXNFSXOKJKY-HNNXBMFYSA-N 0 0 427.527 -0.098 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000473955196 1072281562 /nfs/dbraw/zinc/28/15/62/1072281562.db2.gz ANARXNFSXOKJKY-OAHLLOKOSA-N 0 0 427.527 -0.098 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCCC[C@H]2CNC(N)=O)c1 ZINC000473955253 1072282017 /nfs/dbraw/zinc/28/20/17/1072282017.db2.gz BNTGFZNZSFQAOB-AWEZNQCLSA-N 0 0 425.511 -0.284 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCCC[C@@H]2CNC(N)=O)c1 ZINC000473955254 1072282338 /nfs/dbraw/zinc/28/23/38/1072282338.db2.gz BNTGFZNZSFQAOB-CQSZACIVSA-N 0 0 425.511 -0.284 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000473956293 1072283398 /nfs/dbraw/zinc/28/33/98/1072283398.db2.gz CDGWQAVJQMQSEW-UHFFFAOYSA-N 0 0 433.494 -0.752 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000473961631 1072284169 /nfs/dbraw/zinc/28/41/69/1072284169.db2.gz XNCQWCMHNQQGBN-INIZCTEOSA-N 0 0 433.575 -0.720 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000473961632 1072283914 /nfs/dbraw/zinc/28/39/14/1072283914.db2.gz XNCQWCMHNQQGBN-MRXNPFEDSA-N 0 0 433.575 -0.720 20 0 IBADRN CCn1c(CCC(=O)NCCOCC(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000473973750 1072284125 /nfs/dbraw/zinc/28/41/25/1072284125.db2.gz HKDDXWNWWNRCGJ-UHFFFAOYSA-N 0 0 425.511 -0.143 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCOCC(N)=O)CC2)cc1 ZINC000473979494 1072287999 /nfs/dbraw/zinc/28/79/99/1072287999.db2.gz AXGMSAOMNWOOLJ-UHFFFAOYSA-N 0 0 441.506 -0.118 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000473980558 1072288990 /nfs/dbraw/zinc/28/89/90/1072288990.db2.gz ZLTWQZWZKCPLOS-UHFFFAOYSA-N 0 0 425.555 -0.001 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000473986323 1072291398 /nfs/dbraw/zinc/29/13/98/1072291398.db2.gz JGFYRUMUUGPHSM-UHFFFAOYSA-N 0 0 425.511 -0.403 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000473986523 1072291919 /nfs/dbraw/zinc/29/19/19/1072291919.db2.gz CNWOLHOJOIOXPH-UHFFFAOYSA-N 0 0 437.519 -0.486 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000473987212 1072292037 /nfs/dbraw/zinc/29/20/37/1072292037.db2.gz COSGGFDEUUDEHD-UHFFFAOYSA-N 0 0 425.511 -0.403 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000473988127 1072292022 /nfs/dbraw/zinc/29/20/22/1072292022.db2.gz KBPFKCJKBZDBRQ-UHFFFAOYSA-N 0 0 441.510 -0.583 20 0 IBADRN NC(=O)COCCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000473990643 1072294670 /nfs/dbraw/zinc/29/46/70/1072294670.db2.gz JZSFKECBAFVIMU-UHFFFAOYSA-N 0 0 427.479 -0.523 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCCOCC(N)=O)CC2=O)cc1 ZINC000473993293 1072295072 /nfs/dbraw/zinc/29/50/72/1072295072.db2.gz GXIJDBBVVWSSLH-AWEZNQCLSA-N 0 0 440.522 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCCOCC(N)=O)CC2=O)cc1 ZINC000473993294 1072295131 /nfs/dbraw/zinc/29/51/31/1072295131.db2.gz GXIJDBBVVWSSLH-CQSZACIVSA-N 0 0 440.522 -0.312 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCOCC(N)=O)CC2)cc1OC ZINC000473994508 1072300164 /nfs/dbraw/zinc/30/01/64/1072300164.db2.gz XZMFVDDRUIXTBN-UHFFFAOYSA-N 0 0 429.495 -0.277 20 0 IBADRN Cc1c(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cccc1C(=O)N1CCOCC1 ZINC000473994604 1072300206 /nfs/dbraw/zinc/30/02/06/1072300206.db2.gz XAKWZUXPWHYEAK-UHFFFAOYSA-N 0 0 439.538 -0.095 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000473999528 1072307417 /nfs/dbraw/zinc/30/74/17/1072307417.db2.gz IYMAOGLELNCGHY-UHFFFAOYSA-N 0 0 435.529 -0.146 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000474000505 1072309546 /nfs/dbraw/zinc/30/95/46/1072309546.db2.gz JGUAWSYLAQMFEP-UHFFFAOYSA-N 0 0 443.526 -0.021 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000474001693 1072311363 /nfs/dbraw/zinc/31/13/63/1072311363.db2.gz NUOKDDURGFRXPK-INIZCTEOSA-N 0 0 431.493 -0.196 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000474001694 1072311415 /nfs/dbraw/zinc/31/14/15/1072311415.db2.gz NUOKDDURGFRXPK-MRXNPFEDSA-N 0 0 431.493 -0.196 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000474002084 1072310187 /nfs/dbraw/zinc/31/01/87/1072310187.db2.gz UOLASLJABONTHE-UHFFFAOYSA-N 0 0 433.513 -0.486 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000474004129 1072314095 /nfs/dbraw/zinc/31/40/95/1072314095.db2.gz BWVYAYCIEQRNKR-UHFFFAOYSA-N 0 0 436.494 -0.247 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000474004380 1072314764 /nfs/dbraw/zinc/31/47/64/1072314764.db2.gz FVJBBQCDBIINQU-UHFFFAOYSA-N 0 0 429.485 -0.378 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)Nc1cnn(CCN2CCOCC2)c1 ZINC000474004423 1072314613 /nfs/dbraw/zinc/31/46/13/1072314613.db2.gz GHXVOBLEEUKAAL-UHFFFAOYSA-N 0 0 429.529 -0.051 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000474006167 1072315206 /nfs/dbraw/zinc/31/52/06/1072315206.db2.gz FVZJESZNVLPCCU-INIZCTEOSA-N 0 0 435.529 -0.194 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000474006168 1072315193 /nfs/dbraw/zinc/31/51/93/1072315193.db2.gz FVZJESZNVLPCCU-MRXNPFEDSA-N 0 0 435.529 -0.194 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000474007227 1072317440 /nfs/dbraw/zinc/31/74/40/1072317440.db2.gz QKEXUYBQYISUPL-UHFFFAOYSA-N 0 0 431.501 -0.621 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000474007673 1072318048 /nfs/dbraw/zinc/31/80/48/1072318048.db2.gz DSTBUKMYDFUWSB-UHFFFAOYSA-N 0 0 429.485 -0.378 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000474011956 1072320568 /nfs/dbraw/zinc/32/05/68/1072320568.db2.gz TVRBBLREZIGNCN-UHFFFAOYSA-N 0 0 446.556 -0.679 20 0 IBADRN COC(=O)[C@]12CN(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)C[C@H]1COCC2 ZINC000474013491 1072810875 /nfs/dbraw/zinc/81/08/75/1072810875.db2.gz ICJPBNMWTXLQQB-HTAPYJJXSA-N 0 0 444.488 -0.020 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000474015251 1072321920 /nfs/dbraw/zinc/32/19/20/1072321920.db2.gz UPLXYNVYXAWZPB-UHFFFAOYSA-N 0 0 445.568 -0.074 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000474017239 1072322572 /nfs/dbraw/zinc/32/25/72/1072322572.db2.gz BIIMNANJUZCWDL-HNNXBMFYSA-N 0 0 433.513 -0.442 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000474017240 1072322743 /nfs/dbraw/zinc/32/27/43/1072322743.db2.gz BIIMNANJUZCWDL-OAHLLOKOSA-N 0 0 433.513 -0.442 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cn1)N1CCOCC1 ZINC000474017834 1072323973 /nfs/dbraw/zinc/32/39/73/1072323973.db2.gz DCKJDRBXHGSADD-INIZCTEOSA-N 0 0 434.497 -0.155 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cn1)N1CCOCC1 ZINC000474017835 1072323990 /nfs/dbraw/zinc/32/39/90/1072323990.db2.gz DCKJDRBXHGSADD-MRXNPFEDSA-N 0 0 434.497 -0.155 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1)N1CCOCC1 ZINC000474018269 1072323642 /nfs/dbraw/zinc/32/36/42/1072323642.db2.gz MKQHKTAYFMGOIJ-UHFFFAOYSA-N 0 0 447.496 -0.960 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000474019780 1072330513 /nfs/dbraw/zinc/33/05/13/1072330513.db2.gz IEIMUFAZSRGACE-KBPBESRZSA-N 0 0 430.531 -0.030 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000474019792 1072330986 /nfs/dbraw/zinc/33/09/86/1072330986.db2.gz IEIMUFAZSRGACE-OKILXGFUSA-N 0 0 430.531 -0.030 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000474019794 1072330863 /nfs/dbraw/zinc/33/08/63/1072330863.db2.gz IEIMUFAZSRGACE-ZIAGYGMSSA-N 0 0 430.531 -0.030 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCN2CCN(c3ncccn3)CC2)cn1)N1CCOCC1 ZINC000474021333 1072332924 /nfs/dbraw/zinc/33/29/24/1072332924.db2.gz MIHGWDMNMPYNQS-UHFFFAOYSA-N 0 0 443.512 -0.524 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1)N1CCCC1 ZINC000474025353 1072357586 /nfs/dbraw/zinc/35/75/86/1072357586.db2.gz UEXXNOAKIQLDJF-UHFFFAOYSA-N 0 0 433.513 -0.486 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000474029029 1072378473 /nfs/dbraw/zinc/37/84/73/1072378473.db2.gz KVHFRISCTWKUGG-UHFFFAOYSA-N 0 0 435.529 -0.240 20 0 IBADRN CCn1cnc(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000474030532 1072407948 /nfs/dbraw/zinc/40/79/48/1072407948.db2.gz KJAQUMBXPGAKBF-UHFFFAOYSA-N 0 0 428.540 -0.272 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC[C@]2(N3CCOCC3)CCSC2)cn1 ZINC000474035155 1072435631 /nfs/dbraw/zinc/43/56/31/1072435631.db2.gz NZZZKRGSNGXOKU-GOSISDBHSA-N 0 0 426.543 -0.025 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC[C@@]2(N3CCOCC3)CCSC2)cn1 ZINC000474035156 1072435700 /nfs/dbraw/zinc/43/57/00/1072435700.db2.gz NZZZKRGSNGXOKU-SFHVURJKSA-N 0 0 426.543 -0.025 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(C(=O)OC)ccc1OC ZINC000474038958 1072461796 /nfs/dbraw/zinc/46/17/96/1072461796.db2.gz FTNLISDFPNTQQJ-CYBMUJFWSA-N 0 0 448.519 -0.204 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(C(=O)OC)ccc1OC ZINC000474038967 1072459165 /nfs/dbraw/zinc/45/91/65/1072459165.db2.gz FTNLISDFPNTQQJ-ZDUSSCGKSA-N 0 0 448.519 -0.204 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000474047199 1072499262 /nfs/dbraw/zinc/49/92/62/1072499262.db2.gz WLHMQVDOQSDOKF-UHFFFAOYSA-N 0 0 445.567 -0.590 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000474047383 1072499237 /nfs/dbraw/zinc/49/92/37/1072499237.db2.gz VZUHJQYWGNZLES-UHFFFAOYSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000474052177 1072500338 /nfs/dbraw/zinc/50/03/38/1072500338.db2.gz BCOFBRNHFJAXSW-AWEZNQCLSA-N 0 0 432.520 -0.025 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000474052178 1072500144 /nfs/dbraw/zinc/50/01/44/1072500144.db2.gz BCOFBRNHFJAXSW-CQSZACIVSA-N 0 0 432.520 -0.025 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc(S(=O)(=O)NC)nc1)C(=O)NCCN1CCOCC1 ZINC000474056837 1072500657 /nfs/dbraw/zinc/50/06/57/1072500657.db2.gz JKQHFYYCCGLSLU-PBHICJAKSA-N 0 0 441.554 -0.417 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc(S(=O)(=O)NC)nc1)C(=O)NCCN1CCOCC1 ZINC000474056839 1072501000 /nfs/dbraw/zinc/50/10/00/1072501000.db2.gz JKQHFYYCCGLSLU-RHSMWYFYSA-N 0 0 441.554 -0.417 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc(S(=O)(=O)NC)nc1)C(=O)NCCN1CCOCC1 ZINC000474056841 1072500706 /nfs/dbraw/zinc/50/07/06/1072500706.db2.gz JKQHFYYCCGLSLU-WMLDXEAASA-N 0 0 441.554 -0.417 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc(S(=O)(=O)NC)nc1)C(=O)NCCN1CCOCC1 ZINC000474056842 1072500782 /nfs/dbraw/zinc/50/07/82/1072500782.db2.gz JKQHFYYCCGLSLU-YOEHRIQHSA-N 0 0 441.554 -0.417 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000474062893 1072502456 /nfs/dbraw/zinc/50/24/56/1072502456.db2.gz FWRVTKPOCPKKTF-UHFFFAOYSA-N 0 0 425.463 -0.407 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000474063499 1072502415 /nfs/dbraw/zinc/50/24/15/1072502415.db2.gz XDKRKHGPNGAZDN-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474064434 1072502477 /nfs/dbraw/zinc/50/24/77/1072502477.db2.gz HXNUXMNKOHGKQZ-UHFFFAOYSA-N 0 0 428.511 -0.770 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000474067344 1072502362 /nfs/dbraw/zinc/50/23/62/1072502362.db2.gz QOSHYSZPQLVUHT-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000474067802 1072502905 /nfs/dbraw/zinc/50/29/05/1072502905.db2.gz SEYSBAQANXOKNS-UHFFFAOYSA-N 0 0 439.490 -0.063 20 0 IBADRN O=C(CNC(=O)CNC(=O)c1ccc(Br)cc1)NCCN1CCOCC1 ZINC000474069992 1072502847 /nfs/dbraw/zinc/50/28/47/1072502847.db2.gz XDNDJEBTBOXDKV-UHFFFAOYSA-N 0 0 427.299 -0.257 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)CNC(=O)c2ccccc2I)C1 ZINC000474070561 1072503533 /nfs/dbraw/zinc/50/35/33/1072503533.db2.gz AHNYWUNGRFDJRW-SECBINFHSA-N 0 0 437.259 -0.090 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)CNC(=O)c2ccccc2I)C1 ZINC000474070562 1072503399 /nfs/dbraw/zinc/50/33/99/1072503399.db2.gz AHNYWUNGRFDJRW-VIFPVBQESA-N 0 0 437.259 -0.090 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000474072154 1072503542 /nfs/dbraw/zinc/50/35/42/1072503542.db2.gz IKDQMLRGAYZPQE-GFCCVEGCSA-N 0 0 434.492 -0.594 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000474072155 1072503409 /nfs/dbraw/zinc/50/34/09/1072503409.db2.gz IKDQMLRGAYZPQE-LBPRGKRZSA-N 0 0 434.492 -0.594 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cn1 ZINC000474074231 1072503866 /nfs/dbraw/zinc/50/38/66/1072503866.db2.gz OIUQZJBEEUDYCS-UHFFFAOYSA-N 0 0 442.519 -0.468 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)C2(C(=O)N(C)CC(=O)Nc3cc(C)on3)CCC2)no1 ZINC000474075600 1072504062 /nfs/dbraw/zinc/50/40/62/1072504062.db2.gz VLBOQYHPITWDIG-UHFFFAOYSA-N 0 0 446.464 -0.212 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000474075782 1072505296 /nfs/dbraw/zinc/50/52/96/1072505296.db2.gz WTCWKYNIPWILRV-GFCCVEGCSA-N 0 0 434.492 -0.547 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000474076054 1072505366 /nfs/dbraw/zinc/50/53/66/1072505366.db2.gz WTCWKYNIPWILRV-LBPRGKRZSA-N 0 0 434.492 -0.547 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474078819 1072507547 /nfs/dbraw/zinc/50/75/47/1072507547.db2.gz IVDCZSPXPCUVEA-DZGCQCFKSA-N 0 0 427.479 -0.544 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474078821 1072506962 /nfs/dbraw/zinc/50/69/62/1072506962.db2.gz IVDCZSPXPCUVEA-HIFRSBDPSA-N 0 0 427.479 -0.544 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474078824 1072506878 /nfs/dbraw/zinc/50/68/78/1072506878.db2.gz IVDCZSPXPCUVEA-UKRRQHHQSA-N 0 0 427.479 -0.544 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474078826 1072506868 /nfs/dbraw/zinc/50/68/68/1072506868.db2.gz IVDCZSPXPCUVEA-ZFWWWQNUSA-N 0 0 427.479 -0.544 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)cn1 ZINC000474078893 1072506828 /nfs/dbraw/zinc/50/68/28/1072506828.db2.gz HJWBUKGMTGAFCW-UHFFFAOYSA-N 0 0 425.496 -0.762 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)cn1 ZINC000474084932 1072515473 /nfs/dbraw/zinc/51/54/73/1072515473.db2.gz UZUUPWVOOMCJSS-UHFFFAOYSA-N 0 0 439.523 -0.420 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000474087243 1072516044 /nfs/dbraw/zinc/51/60/44/1072516044.db2.gz IMJUEOYKRLYVHW-UHFFFAOYSA-N 0 0 449.493 -0.273 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2nc(COc3ccccc3)n[nH]2)CC1 ZINC000474090350 1072517147 /nfs/dbraw/zinc/51/71/47/1072517147.db2.gz RTHDFNARSODEOZ-UHFFFAOYSA-N 0 0 426.441 -0.576 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000474094202 1072518070 /nfs/dbraw/zinc/51/80/70/1072518070.db2.gz IBMIBYJWYZZYGY-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000474094607 1072518003 /nfs/dbraw/zinc/51/80/03/1072518003.db2.gz HJSNJPLTNNUVCV-UHFFFAOYSA-N 0 0 441.506 -0.417 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2nc(COc3ccccc3)n[nH]2)CC1 ZINC000474097075 1072518047 /nfs/dbraw/zinc/51/80/47/1072518047.db2.gz QMFBTEMWWUQISC-UHFFFAOYSA-N 0 0 431.497 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCc2cccc(OCC(N)=O)c2)c1 ZINC000474098006 1072518566 /nfs/dbraw/zinc/51/85/66/1072518566.db2.gz UTZASPFXAKXRRH-UHFFFAOYSA-N 0 0 448.501 -0.153 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@H]2CN2CCOCC2)c1 ZINC000474099827 1072519117 /nfs/dbraw/zinc/51/91/17/1072519117.db2.gz SCTZLKXWTBUHOK-KRWDZBQOSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@@H]2CN2CCOCC2)c1 ZINC000474099831 1072519035 /nfs/dbraw/zinc/51/90/35/1072519035.db2.gz SCTZLKXWTBUHOK-QGZVFWFLSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CCn3cncn3)CC2)c1 ZINC000474100317 1072519001 /nfs/dbraw/zinc/51/90/01/1072519001.db2.gz UJYIYNLNFLOUCU-UHFFFAOYSA-N 0 0 449.537 -0.897 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000474105664 1072521170 /nfs/dbraw/zinc/52/11/70/1072521170.db2.gz LBBWJFXJXAZDTK-LLVKDONJSA-N 0 0 425.467 -0.708 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000474105665 1072521193 /nfs/dbraw/zinc/52/11/93/1072521193.db2.gz LBBWJFXJXAZDTK-NSHDSACASA-N 0 0 425.467 -0.708 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(Cc3ccon3)CC2)c1 ZINC000474109010 1072521278 /nfs/dbraw/zinc/52/12/78/1072521278.db2.gz WMILZPKBIVHJNK-UHFFFAOYSA-N 0 0 435.506 -0.001 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1cc(C(=O)OC)ccc1OC ZINC000474110685 1072521105 /nfs/dbraw/zinc/52/11/05/1072521105.db2.gz AZTNCJBDNXYNJA-UHFFFAOYSA-N 0 0 430.479 -0.022 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1Br ZINC000474113584 1072521613 /nfs/dbraw/zinc/52/16/13/1072521613.db2.gz DIUOMHCZJVFMRC-UHFFFAOYSA-N 0 0 444.329 -0.024 20 0 IBADRN COc1ccc(CNC(=O)C2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)cc1 ZINC000474114072 1072521661 /nfs/dbraw/zinc/52/16/61/1072521661.db2.gz HSVIBLGINVSWDJ-UHFFFAOYSA-N 0 0 445.563 -0.001 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCC1 ZINC000474116310 1072522241 /nfs/dbraw/zinc/52/22/41/1072522241.db2.gz MLQKHCPCNVLJLV-UHFFFAOYSA-N 0 0 448.523 -0.638 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-c3nc[nH]n3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000474120579 1072522617 /nfs/dbraw/zinc/52/26/17/1072522617.db2.gz AYQRYXMXRBKUHH-INIZCTEOSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-c3nc[nH]n3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000474120580 1072522577 /nfs/dbraw/zinc/52/25/77/1072522577.db2.gz AYQRYXMXRBKUHH-MRXNPFEDSA-N 0 0 432.506 -0.467 20 0 IBADRN CCSCc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000474124262 1072522975 /nfs/dbraw/zinc/52/29/75/1072522975.db2.gz LKGZRZYKUUNNBP-CYBMUJFWSA-N 0 0 430.552 -0.011 20 0 IBADRN CCSCc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000474124263 1072523083 /nfs/dbraw/zinc/52/30/83/1072523083.db2.gz LKGZRZYKUUNNBP-ZDUSSCGKSA-N 0 0 430.552 -0.011 20 0 IBADRN O=C(CN1CCN(C(=O)CCc2cccc3c2OCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000474124287 1072523109 /nfs/dbraw/zinc/52/31/09/1072523109.db2.gz LRHKSOVEDBTBMF-INIZCTEOSA-N 0 0 437.518 -0.205 20 0 IBADRN O=C(CN1CCN(C(=O)CCc2cccc3c2OCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000474124288 1072523017 /nfs/dbraw/zinc/52/30/17/1072523017.db2.gz LRHKSOVEDBTBMF-MRXNPFEDSA-N 0 0 437.518 -0.205 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)CNC(=O)c3cccc(S(=O)(=O)N(C)C)c3)CC2)[nH]1 ZINC000474137625 1072523939 /nfs/dbraw/zinc/52/39/39/1072523939.db2.gz KNPRLMLRXWTWSO-UHFFFAOYSA-N 0 0 449.537 -0.562 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CC(=O)C3CC3)CC2)c1 ZINC000474137703 1072524126 /nfs/dbraw/zinc/52/41/26/1072524126.db2.gz QIECSVPUWSOPRR-UHFFFAOYSA-N 0 0 436.534 -0.210 20 0 IBADRN CN1CCc2ccc(NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2C1=O ZINC000474147879 1072525422 /nfs/dbraw/zinc/52/54/22/1072525422.db2.gz SABFTNDWEUMFPH-UHFFFAOYSA-N 0 0 429.477 -0.150 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3cc(-c4ccccc4)nn3)CC2)CC1 ZINC000474152806 1072526844 /nfs/dbraw/zinc/52/68/44/1072526844.db2.gz IQLGTPFRKAUSTB-UHFFFAOYSA-N 0 0 433.538 -0.419 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000474157593 1072527695 /nfs/dbraw/zinc/52/76/95/1072527695.db2.gz KCDYVTCZTDAFOM-UHFFFAOYSA-N 0 0 449.551 -0.003 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC000474157820 1072527930 /nfs/dbraw/zinc/52/79/30/1072527930.db2.gz KDMZLDXSLKMJFL-HNNXBMFYSA-N 0 0 439.538 -0.663 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC000474157821 1072527808 /nfs/dbraw/zinc/52/78/08/1072527808.db2.gz KDMZLDXSLKMJFL-OAHLLOKOSA-N 0 0 439.538 -0.663 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000474169674 1072528836 /nfs/dbraw/zinc/52/88/36/1072528836.db2.gz OGNBSDQWTLLTIP-UHFFFAOYSA-N 0 0 434.518 -0.156 20 0 IBADRN NC(=O)C[C@@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000474172353 1072528870 /nfs/dbraw/zinc/52/88/70/1072528870.db2.gz GGLPBPMZVDSPMC-AWEZNQCLSA-N 0 0 431.536 -0.016 20 0 IBADRN NC(=O)C[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000474172354 1072528935 /nfs/dbraw/zinc/52/89/35/1072528935.db2.gz GGLPBPMZVDSPMC-CQSZACIVSA-N 0 0 431.536 -0.016 20 0 IBADRN COC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000474175573 1072529396 /nfs/dbraw/zinc/52/93/96/1072529396.db2.gz KSVAKPOUELBRKW-HNNXBMFYSA-N 0 0 434.492 -0.730 20 0 IBADRN COC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000474175576 1072529426 /nfs/dbraw/zinc/52/94/26/1072529426.db2.gz KSVAKPOUELBRKW-OAHLLOKOSA-N 0 0 434.492 -0.730 20 0 IBADRN COC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000474179749 1072529436 /nfs/dbraw/zinc/52/94/36/1072529436.db2.gz UEMGTLMUWCSDSW-PXAZEXFGSA-N 0 0 427.435 -0.688 20 0 IBADRN COC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000474179757 1072529304 /nfs/dbraw/zinc/52/93/04/1072529304.db2.gz UEMGTLMUWCSDSW-SJCJKPOMSA-N 0 0 427.435 -0.688 20 0 IBADRN COC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000474179760 1072529317 /nfs/dbraw/zinc/52/93/17/1072529317.db2.gz UEMGTLMUWCSDSW-SJKOYZFVSA-N 0 0 427.435 -0.688 20 0 IBADRN COC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000474179761 1072529333 /nfs/dbraw/zinc/52/93/33/1072529333.db2.gz UEMGTLMUWCSDSW-YVEFUNNKSA-N 0 0 427.435 -0.688 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474183356 1072529759 /nfs/dbraw/zinc/52/97/59/1072529759.db2.gz PGJOAYGZPHFLTB-GDBMZVCRSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474183357 1072529864 /nfs/dbraw/zinc/52/98/64/1072529864.db2.gz PGJOAYGZPHFLTB-GOEBONIOSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474183358 1072529999 /nfs/dbraw/zinc/52/99/99/1072529999.db2.gz PGJOAYGZPHFLTB-HOCLYGCPSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474183359 1072529825 /nfs/dbraw/zinc/52/98/25/1072529825.db2.gz PGJOAYGZPHFLTB-ZBFHGGJFSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)cn1 ZINC000474188943 1072529789 /nfs/dbraw/zinc/52/97/89/1072529789.db2.gz JITKLWLLEJLGSK-GFCCVEGCSA-N 0 0 442.475 -0.012 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)cn1 ZINC000474188944 1072529900 /nfs/dbraw/zinc/52/99/00/1072529900.db2.gz JITKLWLLEJLGSK-LBPRGKRZSA-N 0 0 442.475 -0.012 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000474192508 1072529802 /nfs/dbraw/zinc/52/98/02/1072529802.db2.gz BQABTUQGDXFIJP-GDBMZVCRSA-N 0 0 439.490 -0.728 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NS(=O)(=O)N2CCS(=O)(=O)CC2)C1=O ZINC000474193462 1072529883 /nfs/dbraw/zinc/52/98/83/1072529883.db2.gz UYZMGZSGUCDTJJ-LLVKDONJSA-N 0 0 437.521 -0.795 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NS(=O)(=O)N2CCS(=O)(=O)CC2)C1=O ZINC000474193467 1072529961 /nfs/dbraw/zinc/52/99/61/1072529961.db2.gz UYZMGZSGUCDTJJ-NSHDSACASA-N 0 0 437.521 -0.795 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1=O ZINC000474195671 1072529918 /nfs/dbraw/zinc/52/99/18/1072529918.db2.gz YTWHDOSYLUNPIQ-JTQLQIEISA-N 0 0 442.475 -0.984 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1=O ZINC000474195672 1072529776 /nfs/dbraw/zinc/52/97/76/1072529776.db2.gz YTWHDOSYLUNPIQ-SNVBAGLBSA-N 0 0 442.475 -0.984 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1C ZINC000474197853 1072530016 /nfs/dbraw/zinc/53/00/16/1072530016.db2.gz LVGDVAMSDLKLSC-UHFFFAOYSA-N 0 0 437.540 -0.127 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC000474202821 1072529949 /nfs/dbraw/zinc/52/99/49/1072529949.db2.gz DOWOCUPIQVXHJA-INIZCTEOSA-N 0 0 431.536 -0.005 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC000474202822 1072529937 /nfs/dbraw/zinc/52/99/37/1072529937.db2.gz DOWOCUPIQVXHJA-MRXNPFEDSA-N 0 0 431.536 -0.005 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCN(C3CCOCC3)C2=O)c1 ZINC000474203710 1072530338 /nfs/dbraw/zinc/53/03/38/1072530338.db2.gz AJGPZKKOPCYRMN-INIZCTEOSA-N 0 0 431.536 -0.005 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)c1 ZINC000474203711 1072530311 /nfs/dbraw/zinc/53/03/11/1072530311.db2.gz AJGPZKKOPCYRMN-MRXNPFEDSA-N 0 0 431.536 -0.005 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000474203995 1072530503 /nfs/dbraw/zinc/53/05/03/1072530503.db2.gz BHQQUXCLFJSCPW-UHFFFAOYSA-N 0 0 444.539 -0.277 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)cn1 ZINC000474205287 1072530348 /nfs/dbraw/zinc/53/03/48/1072530348.db2.gz JFLRXVDLKDSIDL-CYBMUJFWSA-N 0 0 433.450 -0.013 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)cn1 ZINC000474205288 1072530469 /nfs/dbraw/zinc/53/04/69/1072530469.db2.gz JFLRXVDLKDSIDL-ZDUSSCGKSA-N 0 0 433.450 -0.013 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000474205646 1072530525 /nfs/dbraw/zinc/53/05/25/1072530525.db2.gz KTIMVZPVOJWOCU-HNNXBMFYSA-N 0 0 441.572 -0.369 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000474205647 1072530326 /nfs/dbraw/zinc/53/03/26/1072530326.db2.gz KTIMVZPVOJWOCU-OAHLLOKOSA-N 0 0 441.572 -0.369 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCCN(C(=O)C2CC2)CC1 ZINC000474206560 1072530440 /nfs/dbraw/zinc/53/04/40/1072530440.db2.gz FTLHJOPMZJVGFN-UHFFFAOYSA-N 0 0 434.518 -0.108 20 0 IBADRN NC(=O)c1ccc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)o1 ZINC000474207406 1072530333 /nfs/dbraw/zinc/53/03/33/1072530333.db2.gz DQQAXIRKMSXTNN-UHFFFAOYSA-N 0 0 429.476 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cc1C(N)=O ZINC000474207539 1072530425 /nfs/dbraw/zinc/53/04/25/1072530425.db2.gz LOKAEDSRMQJVTK-UHFFFAOYSA-N 0 0 449.551 -0.145 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000474209751 1072530318 /nfs/dbraw/zinc/53/03/18/1072530318.db2.gz ILMOICZFJLMFPT-UHFFFAOYSA-N 0 0 431.577 -0.802 20 0 IBADRN COc1cc(CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc(OC)c1 ZINC000474214455 1072530989 /nfs/dbraw/zinc/53/09/89/1072530989.db2.gz APAXMOVLGXXHCY-UHFFFAOYSA-N 0 0 438.506 -0.392 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC000474214773 1072531004 /nfs/dbraw/zinc/53/10/04/1072531004.db2.gz RZHRNJKSZXVGGF-UHFFFAOYSA-N 0 0 432.586 -0.734 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1C ZINC000474215671 1072531020 /nfs/dbraw/zinc/53/10/20/1072531020.db2.gz UPDGTOOAMZYICO-UHFFFAOYSA-N 0 0 436.556 -0.554 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1 ZINC000474216429 1072530925 /nfs/dbraw/zinc/53/09/25/1072530925.db2.gz WNVGNBDDRJRPFK-UHFFFAOYSA-N 0 0 438.528 -0.883 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CC1 ZINC000474216968 1072530979 /nfs/dbraw/zinc/53/09/79/1072530979.db2.gz XIQAALGVASCLJF-UHFFFAOYSA-N 0 0 441.572 -0.511 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCc1ccc(S(N)(=O)=O)s1 ZINC000474218918 1072531060 /nfs/dbraw/zinc/53/10/60/1072531060.db2.gz OZWKKFCQTVMNHU-HNNXBMFYSA-N 0 0 430.508 -0.373 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCc1ccc(S(N)(=O)=O)s1 ZINC000474218919 1072531155 /nfs/dbraw/zinc/53/11/55/1072531155.db2.gz OZWKKFCQTVMNHU-OAHLLOKOSA-N 0 0 430.508 -0.373 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000474219919 1072531037 /nfs/dbraw/zinc/53/10/37/1072531037.db2.gz XVQDDTRXQXQBCD-UHFFFAOYSA-N 0 0 444.539 -0.277 20 0 IBADRN COc1cc(CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)cc(OC)c1 ZINC000474221682 1072530998 /nfs/dbraw/zinc/53/09/98/1072530998.db2.gz UBKYCURMQFIYAE-UHFFFAOYSA-N 0 0 433.552 -0.203 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCO[C@H](c3ncon3)C2)c1 ZINC000474226679 1072531071 /nfs/dbraw/zinc/53/10/71/1072531071.db2.gz BVYNUOZDKOJDJY-AWEZNQCLSA-N 0 0 425.467 -0.138 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCO[C@@H](c3ncon3)C2)c1 ZINC000474226689 1072531112 /nfs/dbraw/zinc/53/11/12/1072531112.db2.gz BVYNUOZDKOJDJY-CQSZACIVSA-N 0 0 425.467 -0.138 20 0 IBADRN C[C@@H](CCS(C)(=O)=O)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000474237098 1072531431 /nfs/dbraw/zinc/53/14/31/1072531431.db2.gz IHHJEYQTADRXEA-HNNXBMFYSA-N 0 0 447.583 -0.344 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000474237100 1072531776 /nfs/dbraw/zinc/53/17/76/1072531776.db2.gz IHHJEYQTADRXEA-OAHLLOKOSA-N 0 0 447.583 -0.344 20 0 IBADRN COc1ncc(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)cc1C(N)=O ZINC000474250885 1072531485 /nfs/dbraw/zinc/53/14/85/1072531485.db2.gz OPXAIKGQEBACJD-UHFFFAOYSA-N 0 0 435.462 -0.192 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(c3nccn3C)CC2)c1 ZINC000474256173 1072531612 /nfs/dbraw/zinc/53/16/12/1072531612.db2.gz SDMWOBYKPPCRKF-UHFFFAOYSA-N 0 0 434.522 -0.251 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000474259874 1072531467 /nfs/dbraw/zinc/53/14/67/1072531467.db2.gz PGQUOUFIYSHHMF-CYBMUJFWSA-N 0 0 435.572 -0.079 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000474259875 1072531710 /nfs/dbraw/zinc/53/17/10/1072531710.db2.gz PGQUOUFIYSHHMF-ZDUSSCGKSA-N 0 0 435.572 -0.079 20 0 IBADRN COCc1noc(CN2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n1 ZINC000474262053 1072531565 /nfs/dbraw/zinc/53/15/65/1072531565.db2.gz AGVPNVYCMWDSJP-INIZCTEOSA-N 0 0 436.513 -0.959 20 0 IBADRN COCc1noc(CN2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n1 ZINC000474262054 1072532043 /nfs/dbraw/zinc/53/20/43/1072532043.db2.gz AGVPNVYCMWDSJP-MRXNPFEDSA-N 0 0 436.513 -0.959 20 0 IBADRN COCc1noc(CN2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)n1 ZINC000474265385 1072532223 /nfs/dbraw/zinc/53/22/23/1072532223.db2.gz IFKFLCBHPYVIHD-UHFFFAOYSA-N 0 0 443.258 -0.415 20 0 IBADRN COCc1noc(CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC000474271185 1072532253 /nfs/dbraw/zinc/53/22/53/1072532253.db2.gz NVPMSRCCDUZTTQ-LBPRGKRZSA-N 0 0 442.498 -0.168 20 0 IBADRN CC(C)(C)N(CC(N)=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000474271678 1072532129 /nfs/dbraw/zinc/53/21/29/1072532129.db2.gz FFFHNQHTQGXRTF-UHFFFAOYSA-N 0 0 426.539 -0.091 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000474272020 1072532295 /nfs/dbraw/zinc/53/22/95/1072532295.db2.gz JYLTUSDMOGSZPL-HNNXBMFYSA-N 0 0 433.490 -0.678 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000474272027 1072532320 /nfs/dbraw/zinc/53/23/20/1072532320.db2.gz JYLTUSDMOGSZPL-OAHLLOKOSA-N 0 0 433.490 -0.678 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000474276789 1072532276 /nfs/dbraw/zinc/53/22/76/1072532276.db2.gz XZNGWRCHINUXNV-UHFFFAOYSA-N 0 0 441.514 -0.729 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000474277705 1072532120 /nfs/dbraw/zinc/53/21/20/1072532120.db2.gz UDQUOZDAPMWVHK-INIZCTEOSA-N 0 0 447.517 -0.335 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000474277712 1072532313 /nfs/dbraw/zinc/53/23/13/1072532313.db2.gz UDQUOZDAPMWVHK-MRXNPFEDSA-N 0 0 447.517 -0.335 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000474287183 1072532108 /nfs/dbraw/zinc/53/21/08/1072532108.db2.gz GNEDQJHSAKWQSZ-UHFFFAOYSA-N 0 0 444.579 -0.028 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000474291222 1072532168 /nfs/dbraw/zinc/53/21/68/1072532168.db2.gz QIEBEHPSTXHBAP-UHFFFAOYSA-N 0 0 438.550 -0.518 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000474291428 1072532344 /nfs/dbraw/zinc/53/23/44/1072532344.db2.gz KODJYGGRKHPLKH-INIZCTEOSA-N 0 0 429.564 -0.189 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000474291430 1072532061 /nfs/dbraw/zinc/53/20/61/1072532061.db2.gz KODJYGGRKHPLKH-MRXNPFEDSA-N 0 0 429.564 -0.189 20 0 IBADRN C[C@H](CN1CCN(C[C@@H](C)CS(C)(=O)=O)[C@@H](C(=O)N(C)C)C1)CS(C)(=O)=O ZINC000474292137 1072532874 /nfs/dbraw/zinc/53/28/74/1072532874.db2.gz NAUIENCUCAOPSL-BZUAXINKSA-N 0 0 425.617 -0.578 20 0 IBADRN C[C@H](CN1CCN(C[C@@H](C)CS(C)(=O)=O)[C@H](C(=O)N(C)C)C1)CS(C)(=O)=O ZINC000474292140 1072532725 /nfs/dbraw/zinc/53/27/25/1072532725.db2.gz NAUIENCUCAOPSL-OAGGEKHMSA-N 0 0 425.617 -0.578 20 0 IBADRN C[C@H](CN1CCN(C[C@H](C)CS(C)(=O)=O)[C@@H](C(=O)N(C)C)C1)CS(C)(=O)=O ZINC000474292142 1072532664 /nfs/dbraw/zinc/53/26/64/1072532664.db2.gz NAUIENCUCAOPSL-OWCLPIDISA-N 0 0 425.617 -0.578 20 0 IBADRN C[C@H](CN1CCN(C[C@H](C)CS(C)(=O)=O)[C@H](C(=O)N(C)C)C1)CS(C)(=O)=O ZINC000474292145 1072532609 /nfs/dbraw/zinc/53/26/09/1072532609.db2.gz NAUIENCUCAOPSL-PMPSAXMXSA-N 0 0 425.617 -0.578 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)Nc3cc(C)no3)[C@H](C(=O)N(C)C)C2)on1 ZINC000474295047 1072532790 /nfs/dbraw/zinc/53/27/90/1072532790.db2.gz WVRPNBJMOOOCPN-AWEZNQCLSA-N 0 0 433.469 -0.069 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)Nc3cc(C)no3)[C@@H](C(=O)N(C)C)C2)on1 ZINC000474295054 1072532618 /nfs/dbraw/zinc/53/26/18/1072532618.db2.gz WVRPNBJMOOOCPN-CQSZACIVSA-N 0 0 433.469 -0.069 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)nn1 ZINC000474295540 1072532760 /nfs/dbraw/zinc/53/27/60/1072532760.db2.gz CPBJPGHZFUPTOG-UHFFFAOYSA-N 0 0 433.450 -0.526 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000474299009 1072532838 /nfs/dbraw/zinc/53/28/38/1072532838.db2.gz MKRWWSLKNHNOEP-UHFFFAOYSA-N 0 0 430.513 -0.064 20 0 IBADRN CCn1cc(CN(CCO)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000474299107 1072532678 /nfs/dbraw/zinc/53/26/78/1072532678.db2.gz NQTPMFVSINKQLN-UHFFFAOYSA-N 0 0 430.513 -0.064 20 0 IBADRN COC(=O)[C@]12CN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)C[C@H]1COCC2 ZINC000474305632 1072810819 /nfs/dbraw/zinc/81/08/19/1072810819.db2.gz UZZTTXIACRAVSY-HRAATJIYSA-N 0 0 446.508 -0.264 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)CCS(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000474306584 1072533205 /nfs/dbraw/zinc/53/32/05/1072533205.db2.gz RQDNCCMMVBOYNF-HWPZZCPQSA-N 0 0 433.508 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)CCS(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000474306586 1072533155 /nfs/dbraw/zinc/53/31/55/1072533155.db2.gz RQDNCCMMVBOYNF-MGPLVRAMSA-N 0 0 433.508 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)CCS(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000474306588 1072533376 /nfs/dbraw/zinc/53/33/76/1072533376.db2.gz RQDNCCMMVBOYNF-QFYYESIMSA-N 0 0 433.508 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)CCS(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000474306591 1072533165 /nfs/dbraw/zinc/53/31/65/1072533165.db2.gz RQDNCCMMVBOYNF-QLJPJBMISA-N 0 0 433.508 -0.149 20 0 IBADRN CCC(=O)N1CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000474309659 1072532708 /nfs/dbraw/zinc/53/27/08/1072532708.db2.gz VUHQGLSGYXVARC-AWEZNQCLSA-N 0 0 431.536 -0.003 20 0 IBADRN CCC(=O)N1CC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000474309660 1072532625 /nfs/dbraw/zinc/53/26/25/1072532625.db2.gz VUHQGLSGYXVARC-CQSZACIVSA-N 0 0 431.536 -0.003 20 0 IBADRN C[C@@H](NC(=O)Cn1nnc(-c2ccc(Cl)cc2)n1)C(=O)N1CCS(=O)(=O)CC1 ZINC000474312383 1072533255 /nfs/dbraw/zinc/53/32/55/1072533255.db2.gz KUXKWOLYLATEON-LLVKDONJSA-N 0 0 426.886 -0.245 20 0 IBADRN C[C@H](NC(=O)Cn1nnc(-c2ccc(Cl)cc2)n1)C(=O)N1CCS(=O)(=O)CC1 ZINC000474312384 1072533287 /nfs/dbraw/zinc/53/32/87/1072533287.db2.gz KUXKWOLYLATEON-NSHDSACASA-N 0 0 426.886 -0.245 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000474313356 1072533177 /nfs/dbraw/zinc/53/31/77/1072533177.db2.gz BQBQMEHOFMTWGC-CYBMUJFWSA-N 0 0 440.565 -0.191 20 0 IBADRN C[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000474313357 1072533348 /nfs/dbraw/zinc/53/33/48/1072533348.db2.gz BQBQMEHOFMTWGC-ZDUSSCGKSA-N 0 0 440.565 -0.191 20 0 IBADRN COC(=O)c1cn(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000474323043 1072533361 /nfs/dbraw/zinc/53/33/61/1072533361.db2.gz UEUJDIRVGDMFOJ-UHFFFAOYSA-N 0 0 439.450 -0.267 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)nn1 ZINC000474324886 1072533394 /nfs/dbraw/zinc/53/33/94/1072533394.db2.gz CGYKENFAOQFRFQ-UHFFFAOYSA-N 0 0 428.515 -0.282 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000474333336 1072533782 /nfs/dbraw/zinc/53/37/82/1072533782.db2.gz RTZUYVIPCRAOPL-UHFFFAOYSA-N 0 0 443.511 -0.407 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)nn1 ZINC000474346882 1072533792 /nfs/dbraw/zinc/53/37/92/1072533792.db2.gz DWPONAUYXWGKEY-UHFFFAOYSA-N 0 0 429.405 -0.124 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)nn1 ZINC000474348705 1072533834 /nfs/dbraw/zinc/53/38/34/1072533834.db2.gz IBFMWMSZAMEKOC-UHFFFAOYSA-N 0 0 435.462 -0.200 20 0 IBADRN COC(=O)c1cn(CC(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000474352718 1072533747 /nfs/dbraw/zinc/53/37/47/1072533747.db2.gz UNXAYIVEPWZDKQ-UHFFFAOYSA-N 0 0 427.414 -0.137 20 0 IBADRN COC(=O)[C@]12CN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C[C@H]1COCC2 ZINC000474360573 1072811020 /nfs/dbraw/zinc/81/10/20/1072811020.db2.gz XHPHZSIKRBVLCX-HDMKZQKVSA-N 0 0 433.527 -0.304 20 0 IBADRN COC(=O)[C@]12CN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C[C@H]1COCC2 ZINC000474360586 1072811012 /nfs/dbraw/zinc/81/10/12/1072811012.db2.gz XHPHZSIKRBVLCX-RLFYNMQTSA-N 0 0 433.527 -0.304 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCC2(S(C)(=O)=O)CC2)CC1 ZINC000474365762 1072534332 /nfs/dbraw/zinc/53/43/32/1072534332.db2.gz CHQDVVGHTMNHRF-UHFFFAOYSA-N 0 0 444.579 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)cn1 ZINC000474365955 1072534310 /nfs/dbraw/zinc/53/43/10/1072534310.db2.gz DOHHGXXNICWJGE-UHFFFAOYSA-N 0 0 440.503 -0.199 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@]2(CCOC2)O1 ZINC000474372843 1072534278 /nfs/dbraw/zinc/53/42/78/1072534278.db2.gz ZVPWBPJXAVKZNN-CRAIPNDOSA-N 0 0 432.543 -0.383 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@]2(CCOC2)O1 ZINC000474372851 1072534220 /nfs/dbraw/zinc/53/42/20/1072534220.db2.gz ZVPWBPJXAVKZNN-MAUKXSAKSA-N 0 0 432.543 -0.383 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@]2(CCOC2)O1 ZINC000474372854 1072534294 /nfs/dbraw/zinc/53/42/94/1072534294.db2.gz ZVPWBPJXAVKZNN-QAPCUYQASA-N 0 0 432.543 -0.383 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@]2(CCOC2)O1 ZINC000474372855 1072534326 /nfs/dbraw/zinc/53/43/26/1072534326.db2.gz ZVPWBPJXAVKZNN-YJBOKZPZSA-N 0 0 432.543 -0.383 20 0 IBADRN COC(=O)[C@]1(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCSC1 ZINC000474373867 1072534392 /nfs/dbraw/zinc/53/43/92/1072534392.db2.gz OGXGWJIENWJMNO-KRWDZBQOSA-N 0 0 429.520 -0.168 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCSC1 ZINC000474373868 1072534352 /nfs/dbraw/zinc/53/43/52/1072534352.db2.gz OGXGWJIENWJMNO-QGZVFWFLSA-N 0 0 429.520 -0.168 20 0 IBADRN COCCC(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000474377925 1072534867 /nfs/dbraw/zinc/53/48/67/1072534867.db2.gz PHRBSQSLFGCKFY-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN COCCC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000474384918 1072534260 /nfs/dbraw/zinc/53/42/60/1072534260.db2.gz OONVQXJBBGVGHN-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C1(C(=O)NCCS(=O)(=O)NC(C)C)CCC1 ZINC000474385966 1072534851 /nfs/dbraw/zinc/53/48/51/1072534851.db2.gz XQYPBAXWEOKBLZ-UHFFFAOYSA-N 0 0 440.588 -0.955 20 0 IBADRN COCCC(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000474386003 1072534990 /nfs/dbraw/zinc/53/49/90/1072534990.db2.gz YBMVIZAEZJTYMJ-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000474394690 1072534888 /nfs/dbraw/zinc/53/48/88/1072534888.db2.gz RWKOXDKJDGPAOT-UHFFFAOYSA-N 0 0 426.539 -0.614 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474418429 1072534722 /nfs/dbraw/zinc/53/47/22/1072534722.db2.gz SOXHFZQCPCTTHK-JLTOFOAXSA-N 0 0 435.525 -0.587 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474418434 1072534668 /nfs/dbraw/zinc/53/46/68/1072534668.db2.gz SOXHFZQCPCTTHK-VBKZILBWSA-N 0 0 435.525 -0.587 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474418438 1072534656 /nfs/dbraw/zinc/53/46/56/1072534656.db2.gz SOXHFZQCPCTTHK-VLIAUNLRSA-N 0 0 435.525 -0.587 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474418441 1072534879 /nfs/dbraw/zinc/53/48/79/1072534879.db2.gz SOXHFZQCPCTTHK-XOBRGWDASA-N 0 0 435.525 -0.587 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474420186 1072534774 /nfs/dbraw/zinc/53/47/74/1072534774.db2.gz XQLWDHOTZKSIFM-KRWDZBQOSA-N 0 0 430.527 -0.468 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474420187 1072534637 /nfs/dbraw/zinc/53/46/37/1072534637.db2.gz XQLWDHOTZKSIFM-QGZVFWFLSA-N 0 0 430.527 -0.468 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000474420375 1072534682 /nfs/dbraw/zinc/53/46/82/1072534682.db2.gz CTBGSNLBUXWFGQ-UHFFFAOYSA-N 0 0 438.550 -0.518 20 0 IBADRN COC(=O)C[C@]1(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCOC1 ZINC000474421675 1072535443 /nfs/dbraw/zinc/53/54/43/1072535443.db2.gz OLLLETBDUBSDJC-GOSISDBHSA-N 0 0 427.479 -0.495 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCOC1 ZINC000474421676 1072535449 /nfs/dbraw/zinc/53/54/49/1072535449.db2.gz OLLLETBDUBSDJC-SFHVURJKSA-N 0 0 427.479 -0.495 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)cnc2n(C)c1=O ZINC000474427509 1072535453 /nfs/dbraw/zinc/53/54/53/1072535453.db2.gz BAELZJQIJQLRTC-IBGZPJMESA-N 0 0 444.448 -0.814 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)cnc2n(C)c1=O ZINC000474427510 1072535428 /nfs/dbraw/zinc/53/54/28/1072535428.db2.gz BAELZJQIJQLRTC-LJQANCHMSA-N 0 0 444.448 -0.814 20 0 IBADRN Cc1nn(C)c(-n2ccnc2C)c1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000474431305 1072535275 /nfs/dbraw/zinc/53/52/75/1072535275.db2.gz IIVAJOUUBAPEMD-UHFFFAOYSA-N 0 0 429.481 -0.634 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cnn(CCO)c2-c2ccccc2)CC1)N1CCOCC1 ZINC000474431621 1072535353 /nfs/dbraw/zinc/53/53/53/1072535353.db2.gz OGRRTGNAWMKMBO-UHFFFAOYSA-N 0 0 441.488 -0.314 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCC3(S(C)(=O)=O)CCC3)CC2)cn1 ZINC000474438031 1072535341 /nfs/dbraw/zinc/53/53/41/1072535341.db2.gz IDFDSWVTVYEUHK-UHFFFAOYSA-N 0 0 433.556 -0.160 20 0 IBADRN COC(=O)CC1(NC(=O)[C@H](C)NS(=O)(=O)c2ccccc2)CCS(=O)(=O)CC1 ZINC000474450765 1072535331 /nfs/dbraw/zinc/53/53/31/1072535331.db2.gz JEMRIEMKENKVLF-ZDUSSCGKSA-N 0 0 432.520 -0.020 20 0 IBADRN COC(=O)CC1(NC(=O)CN2C(=O)NC3(CCCCC3)C2=O)CCS(=O)(=O)CC1 ZINC000474451668 1072535409 /nfs/dbraw/zinc/53/54/09/1072535409.db2.gz LJFBZXYIFCWXSS-UHFFFAOYSA-N 0 0 429.495 -0.132 20 0 IBADRN COC(=O)CC1(NC(=O)CN(C)S(=O)(=O)c2ccccc2)CCS(=O)(=O)CC1 ZINC000474458206 1072535294 /nfs/dbraw/zinc/53/52/94/1072535294.db2.gz NPVUVJYVAPBGHR-UHFFFAOYSA-N 0 0 432.520 -0.066 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN(C)S(C)(=O)=O)CC2)c(OC)c1OC ZINC000474458330 1072535463 /nfs/dbraw/zinc/53/54/63/1072535463.db2.gz WOGBMBCYJOVPFN-UHFFFAOYSA-N 0 0 429.495 -0.112 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1 ZINC000474462451 1072535732 /nfs/dbraw/zinc/53/57/32/1072535732.db2.gz ZUJPNKBUTXSZAP-HNNXBMFYSA-N 0 0 435.506 -0.182 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1 ZINC000474462452 1072535881 /nfs/dbraw/zinc/53/58/81/1072535881.db2.gz ZUJPNKBUTXSZAP-OAHLLOKOSA-N 0 0 435.506 -0.182 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)c(OC)c1OC ZINC000474470333 1072535800 /nfs/dbraw/zinc/53/58/00/1072535800.db2.gz RFURWICQTWBAKE-UHFFFAOYSA-N 0 0 448.432 -0.583 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)c(OC)c1OC ZINC000474474801 1072535958 /nfs/dbraw/zinc/53/59/58/1072535958.db2.gz SCLLSYGKTNPJPZ-UHFFFAOYSA-N 0 0 446.460 -0.443 20 0 IBADRN CSc1nc(=O)[nH]c(C)c1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000474477412 1072536401 /nfs/dbraw/zinc/53/64/01/1072536401.db2.gz MULHSKIRDUTHBA-UHFFFAOYSA-N 0 0 430.556 -0.537 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474477859 1072536355 /nfs/dbraw/zinc/53/63/55/1072536355.db2.gz NKWXEQTWBNMKOW-AWEZNQCLSA-N 0 0 446.551 -0.793 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474477865 1072536337 /nfs/dbraw/zinc/53/63/37/1072536337.db2.gz NKWXEQTWBNMKOW-CQSZACIVSA-N 0 0 446.551 -0.793 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000474478551 1072536446 /nfs/dbraw/zinc/53/64/46/1072536446.db2.gz WBHIFXICAFLIOI-LLVKDONJSA-N 0 0 442.213 -0.216 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000474478552 1072536498 /nfs/dbraw/zinc/53/64/98/1072536498.db2.gz WBHIFXICAFLIOI-NSHDSACASA-N 0 0 442.213 -0.216 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000474480762 1072536278 /nfs/dbraw/zinc/53/62/78/1072536278.db2.gz VFRICXWNEUAIKX-UHFFFAOYSA-N 0 0 443.511 -0.407 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)o1)NCCNS(=O)(=O)Cc1ccon1 ZINC000474481018 1072536898 /nfs/dbraw/zinc/53/68/98/1072536898.db2.gz GSZBLWGAHDBVBF-UHFFFAOYSA-N 0 0 435.256 -0.004 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CNC(=O)c1cc(OC)c(OC)c(OC)c1 ZINC000474481860 1072536951 /nfs/dbraw/zinc/53/69/51/1072536951.db2.gz ZSBSQEALVKXNPB-CYBMUJFWSA-N 0 0 429.495 -0.018 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CNC(=O)c1cc(OC)c(OC)c(OC)c1 ZINC000474481861 1072536932 /nfs/dbraw/zinc/53/69/32/1072536932.db2.gz ZSBSQEALVKXNPB-ZDUSSCGKSA-N 0 0 429.495 -0.018 20 0 IBADRN COC(=O)c1cn(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)nn1 ZINC000474482889 1072536863 /nfs/dbraw/zinc/53/68/63/1072536863.db2.gz PXBWHCZCUNVGCY-UHFFFAOYSA-N 0 0 429.480 -0.537 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000474483221 1072536880 /nfs/dbraw/zinc/53/68/80/1072536880.db2.gz UDZFMMDAFNZFTQ-UHFFFAOYSA-N 0 0 448.505 -0.724 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000474485866 1072536926 /nfs/dbraw/zinc/53/69/26/1072536926.db2.gz GGBLAZDJTGQITJ-AWEZNQCLSA-N 0 0 427.479 -0.543 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000474485867 1072536811 /nfs/dbraw/zinc/53/68/11/1072536811.db2.gz GGBLAZDJTGQITJ-CQSZACIVSA-N 0 0 427.479 -0.543 20 0 IBADRN COC[C@@](C)(CC(=O)OC)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474488828 1072536378 /nfs/dbraw/zinc/53/63/78/1072536378.db2.gz ACTJFRQJMRGXKY-GOSISDBHSA-N 0 0 429.495 -0.249 20 0 IBADRN COC[C@](C)(CC(=O)OC)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000474488829 1072536436 /nfs/dbraw/zinc/53/64/36/1072536436.db2.gz ACTJFRQJMRGXKY-SFHVURJKSA-N 0 0 429.495 -0.249 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC2(CS(C)(=O)=O)CC2)c1 ZINC000474489369 1072536944 /nfs/dbraw/zinc/53/69/44/1072536944.db2.gz BEWBHLWIJFXRHA-UHFFFAOYSA-N 0 0 431.536 -0.392 20 0 IBADRN COC(=O)CC1(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCOCC1 ZINC000474493275 1072536920 /nfs/dbraw/zinc/53/69/20/1072536920.db2.gz NKBKCBVYBBCQFP-UHFFFAOYSA-N 0 0 441.506 -0.105 20 0 IBADRN COC(=O)c1cn(CC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)nn1 ZINC000474494625 1072536871 /nfs/dbraw/zinc/53/68/71/1072536871.db2.gz LXYYNDIACRZNMV-UHFFFAOYSA-N 0 0 437.478 -0.457 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(Br)cc(S(N)(=O)=O)c1C ZINC000474496082 1072537292 /nfs/dbraw/zinc/53/72/92/1072537292.db2.gz WLURQBXYSLBQPC-LLVKDONJSA-N 0 0 448.295 -0.091 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(Br)cc(S(N)(=O)=O)c1C ZINC000474496088 1072537346 /nfs/dbraw/zinc/53/73/46/1072537346.db2.gz WLURQBXYSLBQPC-NSHDSACASA-N 0 0 448.295 -0.091 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)ccc1F ZINC000474499204 1072536804 /nfs/dbraw/zinc/53/68/04/1072536804.db2.gz QSZWHLYNGXYVIG-UHFFFAOYSA-N 0 0 436.433 -0.234 20 0 IBADRN COc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1-n1nnnc1C ZINC000474502575 1072536831 /nfs/dbraw/zinc/53/68/31/1072536831.db2.gz WWMSFPCJMCPTKM-UHFFFAOYSA-N 0 0 448.469 -0.364 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)nn1 ZINC000474503054 1072537426 /nfs/dbraw/zinc/53/74/26/1072537426.db2.gz VYNALPYLHJQDNK-UHFFFAOYSA-N 0 0 449.449 -0.820 20 0 IBADRN CC[C@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000474503922 1072537588 /nfs/dbraw/zinc/53/75/88/1072537588.db2.gz YXCSAHWNMQYFRE-INIZCTEOSA-N 0 0 440.522 -0.580 20 0 IBADRN CC[C@@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000474503923 1072537277 /nfs/dbraw/zinc/53/72/77/1072537277.db2.gz YXCSAHWNMQYFRE-MRXNPFEDSA-N 0 0 440.522 -0.580 20 0 IBADRN O=C(CCC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Nc1nnc(C2CC2)o1 ZINC000474511534 1072537383 /nfs/dbraw/zinc/53/73/83/1072537383.db2.gz CTVSTBFRNPKENI-UHFFFAOYSA-N 0 0 432.437 -0.515 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000474523702 1072537978 /nfs/dbraw/zinc/53/79/78/1072537978.db2.gz UHDSPYUDEOXWAB-UHFFFAOYSA-N 0 0 445.867 -0.187 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000474531372 1072537912 /nfs/dbraw/zinc/53/79/12/1072537912.db2.gz RBDRVXWLKLAYGA-KRWDZBQOSA-N 0 0 441.506 -0.561 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000474531373 1072537970 /nfs/dbraw/zinc/53/79/70/1072537970.db2.gz RBDRVXWLKLAYGA-QGZVFWFLSA-N 0 0 441.506 -0.561 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cn1 ZINC000474532297 1072537955 /nfs/dbraw/zinc/53/79/55/1072537955.db2.gz XVNOPQBNRKHIRX-GFCCVEGCSA-N 0 0 433.533 -0.042 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cn1 ZINC000474532298 1072537960 /nfs/dbraw/zinc/53/79/60/1072537960.db2.gz XVNOPQBNRKHIRX-LBPRGKRZSA-N 0 0 433.533 -0.042 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](CC(N)=O)C2CCOCC2)c1 ZINC000474542160 1072537929 /nfs/dbraw/zinc/53/79/29/1072537929.db2.gz AFYYSQKOSXUPBM-INIZCTEOSA-N 0 0 440.522 -0.547 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H](CC(N)=O)C2CCOCC2)c1 ZINC000474542161 1072538040 /nfs/dbraw/zinc/53/80/40/1072538040.db2.gz AFYYSQKOSXUPBM-MRXNPFEDSA-N 0 0 440.522 -0.547 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000474542804 1072537989 /nfs/dbraw/zinc/53/79/89/1072537989.db2.gz SZOHOVHVAYLMEH-UHFFFAOYSA-N 0 0 439.538 -0.925 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)ccc1C ZINC000474543034 1072537879 /nfs/dbraw/zinc/53/78/79/1072537879.db2.gz NOPACHQVSWLMNA-UHFFFAOYSA-N 0 0 443.511 -0.440 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC(C)(C)CCS(C)(=O)=O)c1 ZINC000474544441 1072538003 /nfs/dbraw/zinc/53/80/03/1072538003.db2.gz WDNYQOYZCHKCQU-UHFFFAOYSA-N 0 0 433.552 -0.004 20 0 IBADRN COC(=O)c1cn(CC(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)nn1 ZINC000474547570 1072538030 /nfs/dbraw/zinc/53/80/30/1072538030.db2.gz MNPIHJOORULMGR-UHFFFAOYSA-N 0 0 429.393 -0.416 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC000474549413 1072538730 /nfs/dbraw/zinc/53/87/30/1072538730.db2.gz WDDQMNJASIRWTC-UHFFFAOYSA-N 0 0 448.567 -0.156 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1 ZINC000474549531 1072538330 /nfs/dbraw/zinc/53/83/30/1072538330.db2.gz CEQZHIGLKSZDQP-UHFFFAOYSA-N 0 0 434.540 -0.498 20 0 IBADRN COC(=O)c1cn(CC(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)nn1 ZINC000474551397 1072538560 /nfs/dbraw/zinc/53/85/60/1072538560.db2.gz CBVQESBMGBCWGN-AWEZNQCLSA-N 0 0 437.478 -0.162 20 0 IBADRN COC(=O)c1cn(CC(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)nn1 ZINC000474551398 1072538670 /nfs/dbraw/zinc/53/86/70/1072538670.db2.gz CBVQESBMGBCWGN-CQSZACIVSA-N 0 0 437.478 -0.162 20 0 IBADRN CCc1cnc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NCCOC)c3)CC2)s1 ZINC000474564742 1072538711 /nfs/dbraw/zinc/53/87/11/1072538711.db2.gz DSYBERYZWFCOEF-UHFFFAOYSA-N 0 0 449.537 -0.048 20 0 IBADRN COCCOC[C@@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000474569539 1072539078 /nfs/dbraw/zinc/53/90/78/1072539078.db2.gz CGBXGMDRWAIEPO-KFWWJZLASA-N 0 0 425.486 -0.375 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000474569540 1072538993 /nfs/dbraw/zinc/53/89/93/1072538993.db2.gz CGBXGMDRWAIEPO-KKUMJFAQSA-N 0 0 425.486 -0.375 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000474569541 1072539095 /nfs/dbraw/zinc/53/90/95/1072539095.db2.gz CGBXGMDRWAIEPO-RRFJBIMHSA-N 0 0 425.486 -0.375 20 0 IBADRN COCCOC[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000474569542 1072539167 /nfs/dbraw/zinc/53/91/67/1072539167.db2.gz CGBXGMDRWAIEPO-SOUVJXGZSA-N 0 0 425.486 -0.375 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000474570709 1072539156 /nfs/dbraw/zinc/53/91/56/1072539156.db2.gz RXTUNRRRTJSYGV-FHSNZYRGSA-N 0 0 427.527 -0.312 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000474570710 1072539030 /nfs/dbraw/zinc/53/90/30/1072539030.db2.gz RXTUNRRRTJSYGV-JCGVRSQUSA-N 0 0 427.527 -0.312 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000474570711 1072539196 /nfs/dbraw/zinc/53/91/96/1072539196.db2.gz RXTUNRRRTJSYGV-SNUQEOBHSA-N 0 0 427.527 -0.312 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000474570712 1072539241 /nfs/dbraw/zinc/53/92/41/1072539241.db2.gz RXTUNRRRTJSYGV-ZJNRKIDTSA-N 0 0 427.527 -0.312 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000474572756 1072539628 /nfs/dbraw/zinc/53/96/28/1072539628.db2.gz FMEOJMLQOSWVDK-GOSISDBHSA-N 0 0 438.506 -0.303 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000474572764 1072539654 /nfs/dbraw/zinc/53/96/54/1072539654.db2.gz FMEOJMLQOSWVDK-SFHVURJKSA-N 0 0 438.506 -0.303 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNS(=O)(=O)c1cn(C)c(C)n1)c1ccc(OC)c(O)c1 ZINC000474573197 1072539268 /nfs/dbraw/zinc/53/92/68/1072539268.db2.gz ADRCPMYRJRRWEF-INIZCTEOSA-N 0 0 426.451 -0.248 20 0 IBADRN COC(=O)[C@H](NC(=O)CNS(=O)(=O)c1cn(C)c(C)n1)c1ccc(OC)c(O)c1 ZINC000474573198 1072539105 /nfs/dbraw/zinc/53/91/05/1072539105.db2.gz ADRCPMYRJRRWEF-MRXNPFEDSA-N 0 0 426.451 -0.248 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)c(O)c1 ZINC000474575306 1072539226 /nfs/dbraw/zinc/53/92/26/1072539226.db2.gz KFASASQWXZVZOU-HNNXBMFYSA-N 0 0 445.432 -0.432 20 0 IBADRN COC(=O)[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)c(O)c1 ZINC000474575323 1072539253 /nfs/dbraw/zinc/53/92/53/1072539253.db2.gz KFASASQWXZVZOU-OAHLLOKOSA-N 0 0 445.432 -0.432 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)c(O)c1 ZINC000474575745 1072539178 /nfs/dbraw/zinc/53/91/78/1072539178.db2.gz KBFGEHTVKIPYHF-AWEZNQCLSA-N 0 0 431.405 -0.822 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)c(O)c1 ZINC000474575746 1072539044 /nfs/dbraw/zinc/53/90/44/1072539044.db2.gz KBFGEHTVKIPYHF-CQSZACIVSA-N 0 0 431.405 -0.822 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCC[C@H](C(N)=O)C3)cn2)C[C@@H](C)O1 ZINC000474575914 1072538972 /nfs/dbraw/zinc/53/89/72/1072538972.db2.gz NAXSXKMOPMLDIO-APIJFGDWSA-N 0 0 434.497 -0.382 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCC[C@@H](C(N)=O)C3)cn2)C[C@@H](C)O1 ZINC000474575919 1072539216 /nfs/dbraw/zinc/53/92/16/1072539216.db2.gz NAXSXKMOPMLDIO-KBUPBQIOSA-N 0 0 434.497 -0.382 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCC[C@H](C(N)=O)C3)cn2)C[C@H](C)O1 ZINC000474575920 1072539073 /nfs/dbraw/zinc/53/90/73/1072539073.db2.gz NAXSXKMOPMLDIO-LJISPDSOSA-N 0 0 434.497 -0.382 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCC[C@@H](C(N)=O)C3)cn2)C[C@H](C)O1 ZINC000474575922 1072539194 /nfs/dbraw/zinc/53/91/94/1072539194.db2.gz NAXSXKMOPMLDIO-LXTVHRRPSA-N 0 0 434.497 -0.382 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)c1ccc(OC)c(O)c1 ZINC000474577061 1072539744 /nfs/dbraw/zinc/53/97/44/1072539744.db2.gz HJTSNCVLISCHDN-INIZCTEOSA-N 0 0 430.417 -0.217 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)c1ccc(OC)c(O)c1 ZINC000474577191 1072539718 /nfs/dbraw/zinc/53/97/18/1072539718.db2.gz NEBWNOZNIGWUGS-BMIGLBTASA-N 0 0 426.451 -0.168 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)c1ccc(OC)c(O)c1 ZINC000474577192 1072539688 /nfs/dbraw/zinc/53/96/88/1072539688.db2.gz NEBWNOZNIGWUGS-BONVTDFDSA-N 0 0 426.451 -0.168 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)c1ccc(OC)c(O)c1 ZINC000474577193 1072539756 /nfs/dbraw/zinc/53/97/56/1072539756.db2.gz NEBWNOZNIGWUGS-MEBBXXQBSA-N 0 0 426.451 -0.168 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)c1ccc(OC)c(O)c1 ZINC000474577194 1072539700 /nfs/dbraw/zinc/53/97/00/1072539700.db2.gz NEBWNOZNIGWUGS-ZUZCIYMTSA-N 0 0 426.451 -0.168 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)c1ccc(OC)c(O)c1 ZINC000474577246 1072539854 /nfs/dbraw/zinc/53/98/54/1072539854.db2.gz HJTSNCVLISCHDN-MRXNPFEDSA-N 0 0 430.417 -0.217 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3nc(COc4ccccc4)n[nH]3)c2c(=O)n(C)c1=O ZINC000474578091 1072540451 /nfs/dbraw/zinc/54/04/51/1072540451.db2.gz IHWIHPBMKJGEQG-UHFFFAOYSA-N 0 0 438.448 -0.163 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)c1ccc(OC)c(O)c1 ZINC000474581084 1072539641 /nfs/dbraw/zinc/53/96/41/1072539641.db2.gz UNFVHNKMANFNMP-HNNXBMFYSA-N 0 0 428.401 -0.010 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)c1ccc(OC)c(O)c1 ZINC000474581095 1072539605 /nfs/dbraw/zinc/53/96/05/1072539605.db2.gz UNFVHNKMANFNMP-OAHLLOKOSA-N 0 0 428.401 -0.010 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000474582545 1072539862 /nfs/dbraw/zinc/53/98/62/1072539862.db2.gz XPXXNVXBPWVBQA-HNNXBMFYSA-N 0 0 445.563 -0.369 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000474582546 1072539845 /nfs/dbraw/zinc/53/98/45/1072539845.db2.gz XPXXNVXBPWVBQA-OAHLLOKOSA-N 0 0 445.563 -0.369 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)cc1C(N)=O ZINC000474584523 1072539669 /nfs/dbraw/zinc/53/96/69/1072539669.db2.gz SLWJYKUPPVCHLX-AWEZNQCLSA-N 0 0 441.444 -0.076 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)cc1C(N)=O ZINC000474584524 1072539834 /nfs/dbraw/zinc/53/98/34/1072539834.db2.gz SLWJYKUPPVCHLX-CQSZACIVSA-N 0 0 441.444 -0.076 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3ccccc3)C2=O)cn1 ZINC000474588106 1072540512 /nfs/dbraw/zinc/54/05/12/1072540512.db2.gz XFVLYAPZHJZPOV-PBHICJAKSA-N 0 0 442.476 -0.106 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3ccccc3)C2=O)cn1 ZINC000474588110 1072540439 /nfs/dbraw/zinc/54/04/39/1072540439.db2.gz XFVLYAPZHJZPOV-RHSMWYFYSA-N 0 0 442.476 -0.106 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3ccccc3)C2=O)cn1 ZINC000474588113 1072540346 /nfs/dbraw/zinc/54/03/46/1072540346.db2.gz XFVLYAPZHJZPOV-WMLDXEAASA-N 0 0 442.476 -0.106 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3ccccc3)C2=O)cn1 ZINC000474588115 1072540317 /nfs/dbraw/zinc/54/03/17/1072540317.db2.gz XFVLYAPZHJZPOV-YOEHRIQHSA-N 0 0 442.476 -0.106 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](CO)Cc2ccc(F)cc2)cn1)N1CCOCC1 ZINC000474588233 1072540413 /nfs/dbraw/zinc/54/04/13/1072540413.db2.gz YBZPZGSLIREXFH-INIZCTEOSA-N 0 0 447.467 -0.213 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](CO)Cc2ccc(F)cc2)cn1)N1CCOCC1 ZINC000474588238 1072540175 /nfs/dbraw/zinc/54/01/75/1072540175.db2.gz YBZPZGSLIREXFH-MRXNPFEDSA-N 0 0 447.467 -0.213 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccc(OC)c(O)c1 ZINC000474590569 1072540280 /nfs/dbraw/zinc/54/02/80/1072540280.db2.gz WQCVWOBKWYQEMV-HNNXBMFYSA-N 0 0 428.401 -0.010 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccc(OC)c(O)c1 ZINC000474590570 1072540428 /nfs/dbraw/zinc/54/04/28/1072540428.db2.gz WQCVWOBKWYQEMV-OAHLLOKOSA-N 0 0 428.401 -0.010 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1)N1CCOCC1 ZINC000474591896 1072540359 /nfs/dbraw/zinc/54/03/59/1072540359.db2.gz KRWYFLYBLFCQOL-KRWDZBQOSA-N 0 0 443.504 -0.100 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1)N1CCOCC1 ZINC000474591897 1072540236 /nfs/dbraw/zinc/54/02/36/1072540236.db2.gz KRWYFLYBLFCQOL-QGZVFWFLSA-N 0 0 443.504 -0.100 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(CCNC(=O)c3ccc(OC)cc3)CC2)nn1 ZINC000474592307 1072540877 /nfs/dbraw/zinc/54/08/77/1072540877.db2.gz GDZHEQFFHYKNTD-UHFFFAOYSA-N 0 0 430.465 -0.353 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1ccc(OC)c(O)c1 ZINC000474592752 1072540763 /nfs/dbraw/zinc/54/07/63/1072540763.db2.gz HNTDTCSMGXOMBI-GDZNZVCISA-N 0 0 440.474 -0.233 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1ccc(OC)c(O)c1 ZINC000474592753 1072540970 /nfs/dbraw/zinc/54/09/70/1072540970.db2.gz HNTDTCSMGXOMBI-IIYDPXPESA-N 0 0 440.474 -0.233 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1ccc(OC)c(O)c1 ZINC000474592754 1072540996 /nfs/dbraw/zinc/54/09/96/1072540996.db2.gz HNTDTCSMGXOMBI-OGHNNQOOSA-N 0 0 440.474 -0.233 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1ccc(OC)c(O)c1 ZINC000474592755 1072540779 /nfs/dbraw/zinc/54/07/79/1072540779.db2.gz HNTDTCSMGXOMBI-PBFPGSCMSA-N 0 0 440.474 -0.233 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(OC)c(O)c1 ZINC000474594486 1072540828 /nfs/dbraw/zinc/54/08/28/1072540828.db2.gz NFXVXFSECJWHJS-BEFAXECRSA-N 0 0 435.477 -0.088 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(OC)c(O)c1 ZINC000474594488 1072541035 /nfs/dbraw/zinc/54/10/35/1072541035.db2.gz NFXVXFSECJWHJS-DNVCBOLYSA-N 0 0 435.477 -0.088 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(OC)c(O)c1 ZINC000474594490 1072540894 /nfs/dbraw/zinc/54/08/94/1072540894.db2.gz NFXVXFSECJWHJS-HNAYVOBHSA-N 0 0 435.477 -0.088 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(OC)c(O)c1 ZINC000474594492 1072540927 /nfs/dbraw/zinc/54/09/27/1072540927.db2.gz NFXVXFSECJWHJS-KXBFYZLASA-N 0 0 435.477 -0.088 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(OC)c(O)c1 ZINC000474595384 1072541008 /nfs/dbraw/zinc/54/10/08/1072541008.db2.gz DECYUYCWDYVLKB-AWEZNQCLSA-N 0 0 431.405 -0.822 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(OC)c(O)c1 ZINC000474595388 1072540747 /nfs/dbraw/zinc/54/07/47/1072540747.db2.gz DECYUYCWDYVLKB-CQSZACIVSA-N 0 0 431.405 -0.822 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000474597041 1072540983 /nfs/dbraw/zinc/54/09/83/1072540983.db2.gz IYFPCDHWOJWOJT-UHFFFAOYSA-N 0 0 439.538 -0.089 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000474597925 1072540498 /nfs/dbraw/zinc/54/04/98/1072540498.db2.gz BDLUTGCCYZTKMS-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1)N1CCOCC1 ZINC000474598475 1072541315 /nfs/dbraw/zinc/54/13/15/1072541315.db2.gz BNDRCUAOTLQLFL-UHFFFAOYSA-N 0 0 435.529 -0.776 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)[C@H](C)O ZINC000474599440 1072541433 /nfs/dbraw/zinc/54/14/33/1072541433.db2.gz LRTSQGQHNWPHJU-BLLLJJGKSA-N 0 0 429.495 -0.574 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)[C@H](C)O ZINC000474599441 1072541455 /nfs/dbraw/zinc/54/14/55/1072541455.db2.gz LRTSQGQHNWPHJU-LRDDRELGSA-N 0 0 429.495 -0.574 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)[C@@H](C)O ZINC000474599442 1072541231 /nfs/dbraw/zinc/54/12/31/1072541231.db2.gz LRTSQGQHNWPHJU-MLGOLLRUSA-N 0 0 429.495 -0.574 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)[C@@H](C)O ZINC000474599443 1072541503 /nfs/dbraw/zinc/54/15/03/1072541503.db2.gz LRTSQGQHNWPHJU-WBMJQRKESA-N 0 0 429.495 -0.574 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000474603415 1073324633 /nfs/dbraw/zinc/32/46/33/1073324633.db2.gz VZEAGZZTJXHNDO-UHFFFAOYSA-N 0 0 426.499 -0.348 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000474604480 1072541882 /nfs/dbraw/zinc/54/18/82/1072541882.db2.gz IIMQKRHVRGTCBT-UHFFFAOYSA-N 0 0 439.538 -0.256 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1)NC1CCCC1 ZINC000474605793 1072541491 /nfs/dbraw/zinc/54/14/91/1072541491.db2.gz RTBODVQGXCGZOY-UHFFFAOYSA-N 0 0 447.540 -0.050 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000474606546 1072541258 /nfs/dbraw/zinc/54/12/58/1072541258.db2.gz LKCBJHAQWNUPJR-UHFFFAOYSA-N 0 0 429.474 -0.519 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H](C(=O)OC)[C@@H](C)O)CC2)o1 ZINC000474607938 1072541894 /nfs/dbraw/zinc/54/18/94/1072541894.db2.gz QDYROCOEKSAMHO-ABAIWWIYSA-N 0 0 446.478 -0.104 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](C(=O)OC)[C@@H](C)O)CC2)o1 ZINC000474607941 1072541385 /nfs/dbraw/zinc/54/13/85/1072541385.db2.gz QDYROCOEKSAMHO-IAQYHMDHSA-N 0 0 446.478 -0.104 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H](C(=O)OC)[C@H](C)O)CC2)o1 ZINC000474607942 1072541485 /nfs/dbraw/zinc/54/14/85/1072541485.db2.gz QDYROCOEKSAMHO-NHYWBVRUSA-N 0 0 446.478 -0.104 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](C(=O)OC)[C@H](C)O)CC2)o1 ZINC000474607943 1072541447 /nfs/dbraw/zinc/54/14/47/1072541447.db2.gz QDYROCOEKSAMHO-XHDPSFHLSA-N 0 0 446.478 -0.104 20 0 IBADRN CCc1ccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1S(N)(=O)=O ZINC000474612849 1072541924 /nfs/dbraw/zinc/54/19/24/1072541924.db2.gz BNDQBENYTJDMNG-UHFFFAOYSA-N 0 0 439.538 -0.095 20 0 IBADRN COc1ncc(NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cc1C(N)=O ZINC000474618175 1072542884 /nfs/dbraw/zinc/54/28/84/1072542884.db2.gz CAVVQIJTAXZWLF-UHFFFAOYSA-N 0 0 437.482 -0.103 20 0 IBADRN COc1ncc(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1C(N)=O ZINC000474618719 1072542352 /nfs/dbraw/zinc/54/23/52/1072542352.db2.gz JYATWIXAGDEHSF-UHFFFAOYSA-N 0 0 442.498 -0.648 20 0 IBADRN COc1ncc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1C(N)=O ZINC000474620920 1072542478 /nfs/dbraw/zinc/54/24/78/1072542478.db2.gz POJTXYRMWDGOEI-UHFFFAOYSA-N 0 0 428.453 -0.161 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1)N1CCOCC1 ZINC000474621944 1074351263 /nfs/dbraw/zinc/35/12/63/1074351263.db2.gz LIMNXEGQAVEAFP-UHFFFAOYSA-N 0 0 435.529 -0.776 20 0 IBADRN COc1ccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1-n1cnnn1 ZINC000474622900 1072542229 /nfs/dbraw/zinc/54/22/29/1072542229.db2.gz MPWHGBQHMOJXGO-UHFFFAOYSA-N 0 0 430.469 -0.321 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3coc(C4CCOCC4)n3)CC2)CC1 ZINC000474624423 1072542276 /nfs/dbraw/zinc/54/22/76/1072542276.db2.gz UCTLXJILLVHMTA-UHFFFAOYSA-N 0 0 427.527 -0.181 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000474626529 1072542871 /nfs/dbraw/zinc/54/28/71/1072542871.db2.gz ANMMNUYFJDGSQU-UHFFFAOYSA-N 0 0 439.538 -0.041 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1S(N)(=O)=O ZINC000474629841 1072542822 /nfs/dbraw/zinc/54/28/22/1072542822.db2.gz XYXGFGFTPIHXOZ-UHFFFAOYSA-N 0 0 425.511 -0.349 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000474635190 1072542982 /nfs/dbraw/zinc/54/29/82/1072542982.db2.gz ABXANTQFFHLBPH-CABCVRRESA-N 0 0 440.913 -0.393 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000474635200 1072542895 /nfs/dbraw/zinc/54/28/95/1072542895.db2.gz ABXANTQFFHLBPH-GJZGRUSLSA-N 0 0 440.913 -0.393 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000474635204 1072543010 /nfs/dbraw/zinc/54/30/10/1072543010.db2.gz ABXANTQFFHLBPH-HUUCEWRRSA-N 0 0 440.913 -0.393 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000474635208 1072543058 /nfs/dbraw/zinc/54/30/58/1072543058.db2.gz ABXANTQFFHLBPH-LSDHHAIUSA-N 0 0 440.913 -0.393 20 0 IBADRN Cn1cnc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)ccc21 ZINC000474641332 1072542966 /nfs/dbraw/zinc/54/29/66/1072542966.db2.gz OZLGXHNGHRCNNO-UHFFFAOYSA-N 0 0 442.567 -0.628 20 0 IBADRN COCc1nc2n(n1)CCC[C@@H]2NC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000474652479 1072543340 /nfs/dbraw/zinc/54/33/40/1072543340.db2.gz GIABTHGKMKRALI-CVEARBPZSA-N 0 0 435.529 -0.166 20 0 IBADRN COCc1nc2n(n1)CCC[C@@H]2NC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000474652480 1072543478 /nfs/dbraw/zinc/54/34/78/1072543478.db2.gz GIABTHGKMKRALI-HOTGVXAUSA-N 0 0 435.529 -0.166 20 0 IBADRN COCc1nc2n(n1)CCC[C@H]2NC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000474652481 1072543601 /nfs/dbraw/zinc/54/36/01/1072543601.db2.gz GIABTHGKMKRALI-HZPDHXFCSA-N 0 0 435.529 -0.166 20 0 IBADRN COCc1nc2n(n1)CCC[C@H]2NC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000474652483 1072543463 /nfs/dbraw/zinc/54/34/63/1072543463.db2.gz GIABTHGKMKRALI-JKSUJKDBSA-N 0 0 435.529 -0.166 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cn1 ZINC000474659857 1072543579 /nfs/dbraw/zinc/54/35/79/1072543579.db2.gz JVUQMZMGWAKJLH-UHFFFAOYSA-N 0 0 440.486 -0.162 20 0 IBADRN CN(c1ccccc1NC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)S(C)(=O)=O ZINC000474662339 1072543491 /nfs/dbraw/zinc/54/34/91/1072543491.db2.gz QDGHHZFGJHBOEV-UHFFFAOYSA-N 0 0 443.511 -0.261 20 0 IBADRN COC(=O)CCc1csc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)n1 ZINC000474680027 1072544074 /nfs/dbraw/zinc/54/40/74/1072544074.db2.gz XHOJWTAQWDRYLS-UHFFFAOYSA-N 0 0 429.484 -0.095 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474692097 1072544658 /nfs/dbraw/zinc/54/46/58/1072544658.db2.gz ZLNAMEIBKYHPKO-FOIQADDNSA-N 0 0 437.541 -0.339 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474692098 1072544693 /nfs/dbraw/zinc/54/46/93/1072544693.db2.gz ZLNAMEIBKYHPKO-MGPUTAFESA-N 0 0 437.541 -0.339 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474692099 1072544588 /nfs/dbraw/zinc/54/45/88/1072544588.db2.gz ZLNAMEIBKYHPKO-QRWLVFNGSA-N 0 0 437.541 -0.339 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474692100 1072544577 /nfs/dbraw/zinc/54/45/77/1072544577.db2.gz ZLNAMEIBKYHPKO-YWZLYKJASA-N 0 0 437.541 -0.339 20 0 IBADRN NC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000474693664 1072544481 /nfs/dbraw/zinc/54/44/81/1072544481.db2.gz TTWMDJDRMMVRNZ-AWEZNQCLSA-N 0 0 437.478 -0.607 20 0 IBADRN COCCN(CCCNC(=O)[C@H]1CSCN1C(C)=O)C(=O)[C@@H]1CSCN1C(C)=O ZINC000474699179 1072810888 /nfs/dbraw/zinc/81/08/88/1072810888.db2.gz VWJNVICGFZNZBG-CVEARBPZSA-N 0 0 446.595 -0.190 20 0 IBADRN COCCN(CCCNC(=O)[C@@H]1CSCN1C(C)=O)C(=O)[C@@H]1CSCN1C(C)=O ZINC000474699180 1072810861 /nfs/dbraw/zinc/81/08/61/1072810861.db2.gz VWJNVICGFZNZBG-HOTGVXAUSA-N 0 0 446.595 -0.190 20 0 IBADRN COCCN(CCCNC(=O)[C@H]1CSCN1C(C)=O)C(=O)[C@H]1CSCN1C(C)=O ZINC000474699181 1072810810 /nfs/dbraw/zinc/81/08/10/1072810810.db2.gz VWJNVICGFZNZBG-HZPDHXFCSA-N 0 0 446.595 -0.190 20 0 IBADRN COCCN(CCCNC(=O)[C@@H]1CSCN1C(C)=O)C(=O)[C@H]1CSCN1C(C)=O ZINC000474699182 1072810850 /nfs/dbraw/zinc/81/08/50/1072810850.db2.gz VWJNVICGFZNZBG-JKSUJKDBSA-N 0 0 446.595 -0.190 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1nc(C)n(-c2nc(C(F)(F)F)n[nH]2)n1 ZINC000474700161 1072544499 /nfs/dbraw/zinc/54/44/99/1072544499.db2.gz AKWMKVKRTXEJJM-UHFFFAOYSA-N 0 0 446.390 -0.340 20 0 IBADRN Cc1nn(C)c(-n2ccnc2C)c1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000474700162 1072544468 /nfs/dbraw/zinc/54/44/68/1072544468.db2.gz ALHQTTSDUDSXSZ-UHFFFAOYSA-N 0 0 437.526 -0.443 20 0 IBADRN O=C(NC1CCC(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1)C1CC1 ZINC000474700836 1072544723 /nfs/dbraw/zinc/54/47/23/1072544723.db2.gz ITJRSICKYQVFAE-UHFFFAOYSA-N 0 0 428.555 -0.207 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNS(=O)(=O)c1cnn(C)c1)c1ccc(OC)cc1OC ZINC000474701119 1072544442 /nfs/dbraw/zinc/54/44/42/1072544442.db2.gz KMVGKYDQJJSBOZ-INIZCTEOSA-N 0 0 426.451 -0.254 20 0 IBADRN COC(=O)[C@H](NC(=O)CNS(=O)(=O)c1cnn(C)c1)c1ccc(OC)cc1OC ZINC000474701120 1072544531 /nfs/dbraw/zinc/54/45/31/1072544531.db2.gz KMVGKYDQJJSBOZ-MRXNPFEDSA-N 0 0 426.451 -0.254 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1C ZINC000474701778 1072544519 /nfs/dbraw/zinc/54/45/19/1072544519.db2.gz AMTXYCGIIOUGCV-UHFFFAOYSA-N 0 0 439.538 -0.089 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)nn1 ZINC000474701920 1072544732 /nfs/dbraw/zinc/54/47/32/1072544732.db2.gz PFTIWJYXRJLYBG-UHFFFAOYSA-N 0 0 427.405 -0.120 20 0 IBADRN COC(=O)c1cn(CC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1 ZINC000474702180 1072544633 /nfs/dbraw/zinc/54/46/33/1072544633.db2.gz QEUUCICDPMGZRK-AWEZNQCLSA-N 0 0 430.469 -0.524 20 0 IBADRN COC(=O)c1cn(CC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1 ZINC000474702181 1072544670 /nfs/dbraw/zinc/54/46/70/1072544670.db2.gz QEUUCICDPMGZRK-CQSZACIVSA-N 0 0 430.469 -0.524 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNS(=O)(=O)c1cn(C)cn1)c1ccc(OC)cc1OC ZINC000474703151 1072545088 /nfs/dbraw/zinc/54/50/88/1072545088.db2.gz MASGHFISJMMWGS-INIZCTEOSA-N 0 0 426.451 -0.254 20 0 IBADRN COC(=O)[C@H](NC(=O)CNS(=O)(=O)c1cn(C)cn1)c1ccc(OC)cc1OC ZINC000474703152 1072545201 /nfs/dbraw/zinc/54/52/01/1072545201.db2.gz MASGHFISJMMWGS-MRXNPFEDSA-N 0 0 426.451 -0.254 20 0 IBADRN O=C(c1cnn(CCO)c1-c1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000474703607 1072545165 /nfs/dbraw/zinc/54/51/65/1072545165.db2.gz XGLUSEORHYXQGK-UHFFFAOYSA-N 0 0 449.533 -0.123 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1ccc(OC)cc1OC ZINC000474704782 1072545206 /nfs/dbraw/zinc/54/52/06/1072545206.db2.gz JUZMIVKZYWMJKP-KRWDZBQOSA-N 0 0 429.495 -0.389 20 0 IBADRN COC(=O)[C@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1ccc(OC)cc1OC ZINC000474704783 1072545176 /nfs/dbraw/zinc/54/51/76/1072545176.db2.gz JUZMIVKZYWMJKP-QGZVFWFLSA-N 0 0 429.495 -0.389 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000474710412 1072545536 /nfs/dbraw/zinc/54/55/36/1072545536.db2.gz KUKFFWOWNKJDRC-FQEVSTJZSA-N 0 0 429.477 -0.018 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000474710413 1072545510 /nfs/dbraw/zinc/54/55/10/1072545510.db2.gz KUKFFWOWNKJDRC-HXUWFJFHSA-N 0 0 429.477 -0.018 20 0 IBADRN O=C(CCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1nnc(C2CC2)o1 ZINC000474713001 1072545657 /nfs/dbraw/zinc/54/56/57/1072545657.db2.gz WFIZGBWHCOTBKK-UHFFFAOYSA-N 0 0 434.453 -0.805 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000474713699 1072545636 /nfs/dbraw/zinc/54/56/36/1072545636.db2.gz NZSAYKWLIQZAFF-UHFFFAOYSA-N 0 0 426.455 -0.407 20 0 IBADRN COc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1-n1cnnn1 ZINC000474713843 1072545474 /nfs/dbraw/zinc/54/54/74/1072545474.db2.gz QIHAJFIIYYBNAI-UHFFFAOYSA-N 0 0 434.442 -0.673 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)nn1 ZINC000474716025 1072545618 /nfs/dbraw/zinc/54/56/18/1072545618.db2.gz WHSZEENFHZPEFN-UHFFFAOYSA-N 0 0 429.405 -0.124 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCn1cc(S(N)(=O)=O)cn1)c1ccc(OC)cc1OC ZINC000474718282 1072545526 /nfs/dbraw/zinc/54/55/26/1072545526.db2.gz XSSSYHCEABFTRT-INIZCTEOSA-N 0 0 426.451 -0.032 20 0 IBADRN COC(=O)[C@H](NC(=O)CCn1cc(S(N)(=O)=O)cn1)c1ccc(OC)cc1OC ZINC000474718285 1072545495 /nfs/dbraw/zinc/54/54/95/1072545495.db2.gz XSSSYHCEABFTRT-MRXNPFEDSA-N 0 0 426.451 -0.032 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000474743998 1072546099 /nfs/dbraw/zinc/54/60/99/1072546099.db2.gz UPNRLCLZWJSIDO-UHFFFAOYSA-N 0 0 447.492 -0.402 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NCCS(N)(=O)=O ZINC000474744695 1072546066 /nfs/dbraw/zinc/54/60/66/1072546066.db2.gz IZQWRZQMPADQDK-UHFFFAOYSA-N 0 0 429.524 -0.526 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000474748743 1072546088 /nfs/dbraw/zinc/54/60/88/1072546088.db2.gz ZGTMRCPONYBMTJ-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN Cc1nc(C(=O)N2CCN(CC(=O)NC(C)C)CC2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC000474763817 1072546029 /nfs/dbraw/zinc/54/60/29/1072546029.db2.gz ODIYPESEHYSWAT-UHFFFAOYSA-N 0 0 429.407 -0.005 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC000474769604 1072546495 /nfs/dbraw/zinc/54/64/95/1072546495.db2.gz SWZMNIFJCPYNFK-GOSISDBHSA-N 0 0 426.473 -0.046 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC000474769605 1072546582 /nfs/dbraw/zinc/54/65/82/1072546582.db2.gz SWZMNIFJCPYNFK-SFHVURJKSA-N 0 0 426.473 -0.046 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474780220 1072546560 /nfs/dbraw/zinc/54/65/60/1072546560.db2.gz NMRIOONIXAHTSI-FQEVSTJZSA-N 0 0 437.541 -0.291 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474780221 1072546647 /nfs/dbraw/zinc/54/66/47/1072546647.db2.gz NMRIOONIXAHTSI-HXUWFJFHSA-N 0 0 437.541 -0.291 20 0 IBADRN COCCOC1CCC(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000474786984 1072547232 /nfs/dbraw/zinc/54/72/32/1072547232.db2.gz DGBAVXRXOMBPNE-UHFFFAOYSA-N 0 0 432.587 -0.155 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3CCC(NC(=O)C4CC4)CC3)CC2)CC1 ZINC000474787493 1072547277 /nfs/dbraw/zinc/54/72/77/1072547277.db2.gz KEONMPYMUAMJMH-UHFFFAOYSA-N 0 0 441.598 -0.292 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000474789724 1072547022 /nfs/dbraw/zinc/54/70/22/1072547022.db2.gz AYBCTVCJTPXVMF-GOSISDBHSA-N 0 0 438.506 -0.174 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000474789725 1072547122 /nfs/dbraw/zinc/54/71/22/1072547122.db2.gz AYBCTVCJTPXVMF-SFHVURJKSA-N 0 0 438.506 -0.174 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000474789726 1072547196 /nfs/dbraw/zinc/54/71/96/1072547196.db2.gz AZXPQEPCGOLPNJ-CXAGYDPISA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000474789727 1072547169 /nfs/dbraw/zinc/54/71/69/1072547169.db2.gz AZXPQEPCGOLPNJ-DYVFJYSZSA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000474789728 1072547102 /nfs/dbraw/zinc/54/71/02/1072547102.db2.gz AZXPQEPCGOLPNJ-GUYCJALGSA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000474789729 1072547390 /nfs/dbraw/zinc/54/73/90/1072547390.db2.gz AZXPQEPCGOLPNJ-SUMWQHHRSA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCC(=O)c3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000474795185 1072546625 /nfs/dbraw/zinc/54/66/25/1072546625.db2.gz VSMVCAJEJKMXMB-GASCZTMLSA-N 0 0 427.527 -0.119 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CCC(=O)c3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000474795186 1072546704 /nfs/dbraw/zinc/54/67/04/1072546704.db2.gz VSMVCAJEJKMXMB-GJZGRUSLSA-N 0 0 427.527 -0.119 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCC(=O)c3cnn(C)c3)CC2)C[C@@H](C)O1 ZINC000474795187 1072546640 /nfs/dbraw/zinc/54/66/40/1072546640.db2.gz VSMVCAJEJKMXMB-HUUCEWRRSA-N 0 0 427.527 -0.119 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)nn1 ZINC000474802998 1072547627 /nfs/dbraw/zinc/54/76/27/1072547627.db2.gz WPMYNMJRZSSYPH-UHFFFAOYSA-N 0 0 435.462 -0.547 20 0 IBADRN C[C@H](c1ccccc1)[C@](C)(O)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000474805626 1072547735 /nfs/dbraw/zinc/54/77/35/1072547735.db2.gz CJBZCKQLLPRPCV-BLIXFSHQSA-N 0 0 437.562 -0.011 20 0 IBADRN C[C@H](c1ccccc1)[C@@](C)(O)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000474805627 1072547842 /nfs/dbraw/zinc/54/78/42/1072547842.db2.gz CJBZCKQLLPRPCV-HGHGUNKESA-N 0 0 437.562 -0.011 20 0 IBADRN C[C@H](c1ccccc1)[C@](C)(O)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000474805629 1072547925 /nfs/dbraw/zinc/54/79/25/1072547925.db2.gz CJBZCKQLLPRPCV-MMOPVJDHSA-N 0 0 437.562 -0.011 20 0 IBADRN C[C@H](c1ccccc1)[C@@](C)(O)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000474805631 1072547873 /nfs/dbraw/zinc/54/78/73/1072547873.db2.gz CJBZCKQLLPRPCV-PLMTUMEDSA-N 0 0 437.562 -0.011 20 0 IBADRN Cc1nc(-c2cccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c2)n[nH]1 ZINC000474810544 1072547187 /nfs/dbraw/zinc/54/71/87/1072547187.db2.gz PEUMOAPUXIXXRZ-KRWDZBQOSA-N 0 0 446.533 -0.159 20 0 IBADRN Cc1nc(-c2cccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c2)n[nH]1 ZINC000474810549 1072547861 /nfs/dbraw/zinc/54/78/61/1072547861.db2.gz PEUMOAPUXIXXRZ-QGZVFWFLSA-N 0 0 446.533 -0.159 20 0 IBADRN COC(=O)c1cn(CC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)nn1 ZINC000474818202 1072547777 /nfs/dbraw/zinc/54/77/77/1072547777.db2.gz LEICBYDWZFWWDW-UHFFFAOYSA-N 0 0 436.494 -0.683 20 0 IBADRN COCCC(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000474832398 1072548875 /nfs/dbraw/zinc/54/88/75/1072548875.db2.gz MOLHSJKLXGFGMR-UHFFFAOYSA-N 0 0 441.510 -0.185 20 0 IBADRN CN1CC[C@H](NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000474832928 1072548797 /nfs/dbraw/zinc/54/87/97/1072548797.db2.gz OCLMIZQNEPFRTO-INIZCTEOSA-N 0 0 440.497 -0.214 20 0 IBADRN CN1CC[C@@H](NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000474832934 1072548846 /nfs/dbraw/zinc/54/88/46/1072548846.db2.gz OCLMIZQNEPFRTO-MRXNPFEDSA-N 0 0 440.497 -0.214 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cc(Br)cc(S(N)(=O)=O)c1C ZINC000474835068 1072548822 /nfs/dbraw/zinc/54/88/22/1072548822.db2.gz OBKBTZRXRQOCIE-UHFFFAOYSA-N 0 0 436.284 -0.104 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000474837420 1072548966 /nfs/dbraw/zinc/54/89/66/1072548966.db2.gz FPWJVRCOLWQIDH-GFCCVEGCSA-N 0 0 427.483 -0.595 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000474837424 1072548955 /nfs/dbraw/zinc/54/89/55/1072548955.db2.gz FPWJVRCOLWQIDH-LBPRGKRZSA-N 0 0 427.483 -0.595 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000474838185 1072548896 /nfs/dbraw/zinc/54/88/96/1072548896.db2.gz SCWXRBRYWNRMQK-INIZCTEOSA-N 0 0 438.554 -0.157 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000474838186 1072548855 /nfs/dbraw/zinc/54/88/55/1072548855.db2.gz SCWXRBRYWNRMQK-MRXNPFEDSA-N 0 0 438.554 -0.157 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCn3ncnc3C2)c1OC ZINC000474841395 1072549302 /nfs/dbraw/zinc/54/93/02/1072549302.db2.gz MEUXRSWCICDQGZ-UHFFFAOYSA-N 0 0 437.478 -0.028 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000474843152 1072549453 /nfs/dbraw/zinc/54/94/53/1072549453.db2.gz RYFVUXOFHXSBEB-JYJNAYRXSA-N 0 0 431.559 -0.322 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000474843153 1072549278 /nfs/dbraw/zinc/54/92/78/1072549278.db2.gz RYFVUXOFHXSBEB-OAGGEKHMSA-N 0 0 431.559 -0.322 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000474843154 1072549314 /nfs/dbraw/zinc/54/93/14/1072549314.db2.gz RYFVUXOFHXSBEB-OWCLPIDISA-N 0 0 431.559 -0.322 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000474843155 1072549355 /nfs/dbraw/zinc/54/93/55/1072549355.db2.gz RYFVUXOFHXSBEB-XHSDSOJGSA-N 0 0 431.559 -0.322 20 0 IBADRN O=C(CCC(=O)N1CCn2ncnc2C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000474845690 1072549290 /nfs/dbraw/zinc/54/92/90/1072549290.db2.gz MZZKQKUMASIOJM-UHFFFAOYSA-N 0 0 432.506 -0.066 20 0 IBADRN C[C@@H](CCS(C)(=O)=O)NC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000474847373 1072549402 /nfs/dbraw/zinc/54/94/02/1072549402.db2.gz ZZJOBSBUYXFSNI-HNNXBMFYSA-N 0 0 426.543 -0.032 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000474847374 1072549478 /nfs/dbraw/zinc/54/94/78/1072549478.db2.gz ZZJOBSBUYXFSNI-OAHLLOKOSA-N 0 0 426.543 -0.032 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000474847976 1072549268 /nfs/dbraw/zinc/54/92/68/1072549268.db2.gz FLODHYLBOCJVGD-ILXRZTDVSA-N 0 0 440.588 -0.509 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000474847977 1072549198 /nfs/dbraw/zinc/54/91/98/1072549198.db2.gz FLODHYLBOCJVGD-KFWWJZLASA-N 0 0 440.588 -0.509 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000474847978 1072549213 /nfs/dbraw/zinc/54/92/13/1072549213.db2.gz FLODHYLBOCJVGD-RBSFLKMASA-N 0 0 440.588 -0.509 20 0 IBADRN C[C@@H](CCS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000474847979 1072549330 /nfs/dbraw/zinc/54/93/30/1072549330.db2.gz FLODHYLBOCJVGD-SOUVJXGZSA-N 0 0 440.588 -0.509 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1 ZINC000474854210 1072549930 /nfs/dbraw/zinc/54/99/30/1072549930.db2.gz OROWSTWRAARPRH-UHFFFAOYSA-N 0 0 445.519 -0.293 20 0 IBADRN COc1ncc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cc1C(N)=O ZINC000474863018 1072550082 /nfs/dbraw/zinc/55/00/82/1072550082.db2.gz UJDMENKEWJLUPU-UHFFFAOYSA-N 0 0 433.446 -0.438 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3(S(C)(=O)=O)CC3)CC2)C[C@H](C)O1 ZINC000474864380 1072549842 /nfs/dbraw/zinc/54/98/42/1072549842.db2.gz ZAMDKQPVLOFXDZ-KBPBESRZSA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3(S(C)(=O)=O)CC3)CC2)C[C@H](C)O1 ZINC000474864382 1072549816 /nfs/dbraw/zinc/54/98/16/1072549816.db2.gz ZAMDKQPVLOFXDZ-OKILXGFUSA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3(S(C)(=O)=O)CC3)CC2)C[C@@H](C)O1 ZINC000474864384 1072549885 /nfs/dbraw/zinc/54/98/85/1072549885.db2.gz ZAMDKQPVLOFXDZ-ZIAGYGMSSA-N 0 0 438.572 -0.755 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CNC(=O)CNC(C)=O)CC2)c(OC)c1OC ZINC000474870454 1072550148 /nfs/dbraw/zinc/55/01/48/1072550148.db2.gz CEUFZIZKSVQJDE-UHFFFAOYSA-N 0 0 436.465 -0.751 20 0 IBADRN COc1ncc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1C(N)=O ZINC000474872146 1072549852 /nfs/dbraw/zinc/54/98/52/1072549852.db2.gz ITVKKLAUWRIDLI-UHFFFAOYSA-N 0 0 433.446 -0.438 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CN(C)C3=O)CC2)c(OC)c1OC ZINC000474873013 1072549869 /nfs/dbraw/zinc/54/98/69/1072549869.db2.gz MGFHZDJYIKOZOB-UHFFFAOYSA-N 0 0 434.449 -0.109 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)Cn3ccc(=O)[nH]c3=O)CC2)c(OC)c1OC ZINC000474875830 1072550517 /nfs/dbraw/zinc/55/05/17/1072550517.db2.gz TYHZLWZWFKJNPS-UHFFFAOYSA-N 0 0 432.433 -0.041 20 0 IBADRN CS(=O)(=O)C1(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCC1 ZINC000474886683 1072550535 /nfs/dbraw/zinc/55/05/35/1072550535.db2.gz JRCKIIGBXDTCEY-UHFFFAOYSA-N 0 0 430.552 -0.383 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474888471 1072550360 /nfs/dbraw/zinc/55/03/60/1072550360.db2.gz DGPXSEGRULCTCO-GOSISDBHSA-N 0 0 444.554 -0.078 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474888472 1072550394 /nfs/dbraw/zinc/55/03/94/1072550394.db2.gz DGPXSEGRULCTCO-SFHVURJKSA-N 0 0 444.554 -0.078 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000474891431 1072550568 /nfs/dbraw/zinc/55/05/68/1072550568.db2.gz MZDZZOYPLITFNN-CXAGYDPISA-N 0 0 430.527 -0.610 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000474891432 1072550559 /nfs/dbraw/zinc/55/05/59/1072550559.db2.gz MZDZZOYPLITFNN-DYVFJYSZSA-N 0 0 430.527 -0.610 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000474891433 1072550380 /nfs/dbraw/zinc/55/03/80/1072550380.db2.gz MZDZZOYPLITFNN-GUYCJALGSA-N 0 0 430.527 -0.610 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000474891434 1072550446 /nfs/dbraw/zinc/55/04/46/1072550446.db2.gz MZDZZOYPLITFNN-SUMWQHHRSA-N 0 0 430.527 -0.610 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)c1cccc(C(=O)NCc2nnnn2CC2CC2)n1 ZINC000474898505 1073146084 /nfs/dbraw/zinc/14/60/84/1073146084.db2.gz QYBFSDDYXMESLI-UHFFFAOYSA-N 0 0 437.468 -0.265 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474899845 1072550578 /nfs/dbraw/zinc/55/05/78/1072550578.db2.gz OEAVOCFDXQNZFO-KRWDZBQOSA-N 0 0 430.527 -0.468 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000474899847 1072550488 /nfs/dbraw/zinc/55/04/88/1072550488.db2.gz OEAVOCFDXQNZFO-QGZVFWFLSA-N 0 0 430.527 -0.468 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000474902211 1072550636 /nfs/dbraw/zinc/55/06/36/1072550636.db2.gz XAGHUNMNAXEJEB-UHFFFAOYSA-N 0 0 436.534 -0.227 20 0 IBADRN COc1cc(CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)ccc1OCC(N)=O ZINC000474902982 1072550501 /nfs/dbraw/zinc/55/05/01/1072550501.db2.gz XLSKPYCBVNSGII-FQEVSTJZSA-N 0 0 448.476 -0.209 20 0 IBADRN COc1cc(CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)ccc1OCC(N)=O ZINC000474902983 1072550646 /nfs/dbraw/zinc/55/06/46/1072550646.db2.gz XLSKPYCBVNSGII-HXUWFJFHSA-N 0 0 448.476 -0.209 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000474906895 1072550431 /nfs/dbraw/zinc/55/04/31/1072550431.db2.gz GDKRCLLWENHXKS-IBGZPJMESA-N 0 0 434.497 -0.497 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000474906900 1072550615 /nfs/dbraw/zinc/55/06/15/1072550615.db2.gz GDKRCLLWENHXKS-LJQANCHMSA-N 0 0 434.497 -0.497 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)CC1 ZINC000474909953 1072551096 /nfs/dbraw/zinc/55/10/96/1072551096.db2.gz GRLNPTPYOIGIGA-NRFANRHFSA-N 0 0 449.552 -0.385 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)CC1 ZINC000474909957 1072551172 /nfs/dbraw/zinc/55/11/72/1072551172.db2.gz GRLNPTPYOIGIGA-OAQYLSRUSA-N 0 0 449.552 -0.385 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)cn1 ZINC000474912085 1072550896 /nfs/dbraw/zinc/55/08/96/1072550896.db2.gz JYAOPNCJXWVMEI-UHFFFAOYSA-N 0 0 435.509 -0.831 20 0 IBADRN COc1ccccc1C(=O)NCC(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000474912086 1072550949 /nfs/dbraw/zinc/55/09/49/1072550949.db2.gz JYFADNNUBKCZEG-UHFFFAOYSA-N 0 0 428.492 -0.010 20 0 IBADRN NC(=O)C[C@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CCOCC1 ZINC000474913815 1072551122 /nfs/dbraw/zinc/55/11/22/1072551122.db2.gz PZEYYNPVIXZVPP-BBRMVZONSA-N 0 0 438.506 -0.526 20 0 IBADRN NC(=O)C[C@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CCOCC1 ZINC000474913819 1072550877 /nfs/dbraw/zinc/55/08/77/1072550877.db2.gz PZEYYNPVIXZVPP-CJNGLKHVSA-N 0 0 438.506 -0.526 20 0 IBADRN NC(=O)C[C@@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CCOCC1 ZINC000474913820 1072551083 /nfs/dbraw/zinc/55/10/83/1072551083.db2.gz PZEYYNPVIXZVPP-CZUORRHYSA-N 0 0 438.506 -0.526 20 0 IBADRN NC(=O)C[C@@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CCOCC1 ZINC000474913821 1072550987 /nfs/dbraw/zinc/55/09/87/1072550987.db2.gz PZEYYNPVIXZVPP-XJKSGUPXSA-N 0 0 438.506 -0.526 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)N(C)C)nc1 ZINC000474914278 1072551046 /nfs/dbraw/zinc/55/10/46/1072551046.db2.gz QXVSWMWJHRRVRE-UHFFFAOYSA-N 0 0 449.536 -0.489 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CCOCC1 ZINC000474914581 1072551159 /nfs/dbraw/zinc/55/11/59/1072551159.db2.gz HKFMCQWAHJEVAK-INIZCTEOSA-N 0 0 438.506 -0.793 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CCOCC1 ZINC000474914587 1072551133 /nfs/dbraw/zinc/55/11/33/1072551133.db2.gz HKFMCQWAHJEVAK-MRXNPFEDSA-N 0 0 438.506 -0.793 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](CC(N)=O)C2CCOCC2)cc1 ZINC000474918625 1072551027 /nfs/dbraw/zinc/55/10/27/1072551027.db2.gz OCGYMBCPIMPBHD-INIZCTEOSA-N 0 0 441.506 -0.072 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@H](CC(N)=O)C2CCOCC2)cc1 ZINC000474918626 1072551183 /nfs/dbraw/zinc/55/11/83/1072551183.db2.gz OCGYMBCPIMPBHD-MRXNPFEDSA-N 0 0 441.506 -0.072 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)c3ccc(S(=O)(=O)N(C)C)nc3)CC2)nn1 ZINC000474920553 1072551185 /nfs/dbraw/zinc/55/11/85/1072551185.db2.gz CWVRIRGAHGPMOH-UHFFFAOYSA-N 0 0 434.478 -0.129 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CNC(=O)c2ccc(Br)cc2)CC1 ZINC000474926853 1072551205 /nfs/dbraw/zinc/55/12/05/1072551205.db2.gz CKQBIBGIBNOZNA-UHFFFAOYSA-N 0 0 433.328 -0.388 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)c2cccnc2)CC1 ZINC000474949394 1072551739 /nfs/dbraw/zinc/55/17/39/1072551739.db2.gz DGGCZMIHQAYGKB-FQEVSTJZSA-N 0 0 429.477 -0.018 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)c2cccnc2)CC1 ZINC000474949395 1072551538 /nfs/dbraw/zinc/55/15/38/1072551538.db2.gz DGGCZMIHQAYGKB-HXUWFJFHSA-N 0 0 429.477 -0.018 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O ZINC000474952597 1072551693 /nfs/dbraw/zinc/55/16/93/1072551693.db2.gz JPYJLZYKKLBUAP-KBXCAEBGSA-N 0 0 444.554 -0.078 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000474952598 1072551591 /nfs/dbraw/zinc/55/15/91/1072551591.db2.gz JPYJLZYKKLBUAP-KDOFPFPSSA-N 0 0 444.554 -0.078 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O ZINC000474952599 1072551685 /nfs/dbraw/zinc/55/16/85/1072551685.db2.gz JPYJLZYKKLBUAP-KSSFIOAISA-N 0 0 444.554 -0.078 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000474952600 1072551624 /nfs/dbraw/zinc/55/16/24/1072551624.db2.gz JPYJLZYKKLBUAP-RDTXWAMCSA-N 0 0 444.554 -0.078 20 0 IBADRN CC(C)OCCOC[C@@H](O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000474953154 1072551729 /nfs/dbraw/zinc/55/17/29/1072551729.db2.gz MAXWNZPRRRJUJP-HNNXBMFYSA-N 0 0 433.527 -0.090 20 0 IBADRN CC(C)OCCOC[C@H](O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000474953155 1072551567 /nfs/dbraw/zinc/55/15/67/1072551567.db2.gz MAXWNZPRRRJUJP-OAHLLOKOSA-N 0 0 433.527 -0.090 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC3(S(C)(=O)=O)CCC3)CC2)cn1C ZINC000474969954 1072551708 /nfs/dbraw/zinc/55/17/08/1072551708.db2.gz HXFOQOMBENDCOA-UHFFFAOYSA-N 0 0 433.556 -0.288 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C2(C(=O)N3CCO[C@@H](CC(=O)OC)C3)CCC2)CCO1 ZINC000474971936 1072551665 /nfs/dbraw/zinc/55/16/65/1072551665.db2.gz SNRYOYWFPOUVFT-GASCZTMLSA-N 0 0 426.466 -0.262 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C2(C(=O)N3CCO[C@@H](CC(=O)OC)C3)CCC2)CCO1 ZINC000474971937 1072552008 /nfs/dbraw/zinc/55/20/08/1072552008.db2.gz SNRYOYWFPOUVFT-GJZGRUSLSA-N 0 0 426.466 -0.262 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C2(C(=O)N3CCO[C@H](CC(=O)OC)C3)CCC2)CCO1 ZINC000474971938 1072552261 /nfs/dbraw/zinc/55/22/61/1072552261.db2.gz SNRYOYWFPOUVFT-HUUCEWRRSA-N 0 0 426.466 -0.262 20 0 IBADRN CC(=O)N1CCC[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000474990389 1072552197 /nfs/dbraw/zinc/55/21/97/1072552197.db2.gz APSFNOGAVJIBQQ-JFIYKMOQSA-N 0 0 445.586 -0.074 20 0 IBADRN CC(=O)N1CCC[C@@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000474990390 1072552266 /nfs/dbraw/zinc/55/22/66/1072552266.db2.gz APSFNOGAVJIBQQ-JZXOWHBKSA-N 0 0 445.586 -0.074 20 0 IBADRN CC(=O)N1CCC[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000474990391 1072552123 /nfs/dbraw/zinc/55/21/23/1072552123.db2.gz APSFNOGAVJIBQQ-SOLBZPMBSA-N 0 0 445.586 -0.074 20 0 IBADRN CC(=O)N1CCC[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000474990392 1072552036 /nfs/dbraw/zinc/55/20/36/1072552036.db2.gz APSFNOGAVJIBQQ-XYJFISCASA-N 0 0 445.586 -0.074 20 0 IBADRN CC(=O)N1CCC[C@@H](CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000475007601 1072553102 /nfs/dbraw/zinc/55/31/02/1072553102.db2.gz IVLJVKHEQKXTJA-KRWDZBQOSA-N 0 0 430.575 -0.936 20 0 IBADRN CC(=O)N1CCC[C@H](CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000475007602 1072553398 /nfs/dbraw/zinc/55/33/98/1072553398.db2.gz IVLJVKHEQKXTJA-QGZVFWFLSA-N 0 0 430.575 -0.936 20 0 IBADRN O=C(Cn1cccnc1=O)N1CCN(C(=O)Cn2cccnc2=O)C2(CCCCC2)C1 ZINC000475007932 1072553369 /nfs/dbraw/zinc/55/33/69/1072553369.db2.gz DXJCONRKSOAHAH-UHFFFAOYSA-N 0 0 426.477 -0.126 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)n1 ZINC000475009081 1072553280 /nfs/dbraw/zinc/55/32/80/1072553280.db2.gz NPJFWOQFFKHVOS-UHFFFAOYSA-N 0 0 432.470 -0.064 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCN(C(=O)c4ccccn4)C3)cnc21 ZINC000475009808 1072553069 /nfs/dbraw/zinc/55/30/69/1072553069.db2.gz LUAZCCDIDARKOK-LLVKDONJSA-N 0 0 430.446 -0.378 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCN(C(=O)c4ccccn4)C3)cnc21 ZINC000475009809 1072553082 /nfs/dbraw/zinc/55/30/82/1072553082.db2.gz LUAZCCDIDARKOK-NSHDSACASA-N 0 0 430.446 -0.378 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cnn(CCO)c2-c2ccccc2)CC1 ZINC000475015516 1072554415 /nfs/dbraw/zinc/55/44/15/1072554415.db2.gz DIDCANVYTCCJCU-UHFFFAOYSA-N 0 0 439.472 -0.024 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000475015697 1072554373 /nfs/dbraw/zinc/55/43/73/1072554373.db2.gz SECSYMXVHGKOBD-UHFFFAOYSA-N 0 0 442.480 -0.186 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC[C@H](C(N)=O)O1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000475016124 1072554328 /nfs/dbraw/zinc/55/43/28/1072554328.db2.gz FRFZNTNMZPXPPO-CABCVRRESA-N 0 0 445.476 -0.018 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC[C@@H](C(N)=O)O1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000475016125 1072554229 /nfs/dbraw/zinc/55/42/29/1072554229.db2.gz FRFZNTNMZPXPPO-GJZGRUSLSA-N 0 0 445.476 -0.018 20 0 IBADRN COCCCN(C(=O)[C@H]1CC[C@H](C(N)=O)O1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000475016126 1072554463 /nfs/dbraw/zinc/55/44/63/1072554463.db2.gz FRFZNTNMZPXPPO-HUUCEWRRSA-N 0 0 445.476 -0.018 20 0 IBADRN COCCCN(C(=O)[C@H]1CC[C@@H](C(N)=O)O1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000475016127 1072554454 /nfs/dbraw/zinc/55/44/54/1072554454.db2.gz FRFZNTNMZPXPPO-LSDHHAIUSA-N 0 0 445.476 -0.018 20 0 IBADRN CN(C(=O)Cn1cccnc1=O)[C@@H](CNC(=O)Cn1cccnc1=O)c1ccc(F)cc1 ZINC000475018436 1072554768 /nfs/dbraw/zinc/55/47/68/1072554768.db2.gz LTXTYIWSUWYZID-KRWDZBQOSA-N 0 0 440.435 -0.045 20 0 IBADRN CN(C(=O)Cn1cccnc1=O)[C@H](CNC(=O)Cn1cccnc1=O)c1ccc(F)cc1 ZINC000475018442 1072554867 /nfs/dbraw/zinc/55/48/67/1072554867.db2.gz LTXTYIWSUWYZID-QGZVFWFLSA-N 0 0 440.435 -0.045 20 0 IBADRN Cc1nn(C)c(-n2ccnc2C)c1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000475018775 1072554338 /nfs/dbraw/zinc/55/43/38/1072554338.db2.gz VWUMMKJTQPSSDE-UHFFFAOYSA-N 0 0 427.465 -0.344 20 0 IBADRN COCCN(C(=O)CNc1ccc(S(=O)(=O)CCO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000475022247 1072555701 /nfs/dbraw/zinc/55/57/01/1072555701.db2.gz GRDIQHJAINKGFU-HNNXBMFYSA-N 0 0 434.536 -0.473 20 0 IBADRN COCCN(C(=O)CNc1ccc(S(=O)(=O)CCO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000475022249 1072555868 /nfs/dbraw/zinc/55/58/68/1072555868.db2.gz GRDIQHJAINKGFU-OAHLLOKOSA-N 0 0 434.536 -0.473 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000475022466 1072556319 /nfs/dbraw/zinc/55/63/19/1072556319.db2.gz IFJWCKZFCITDSS-FQEVSTJZSA-N 0 0 438.525 -0.007 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000475022470 1072556256 /nfs/dbraw/zinc/55/62/56/1072556256.db2.gz IFJWCKZFCITDSS-HXUWFJFHSA-N 0 0 438.525 -0.007 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CNc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC000475034833 1072561202 /nfs/dbraw/zinc/56/12/02/1072561202.db2.gz REFJEVQYSUQMSU-UHFFFAOYSA-N 0 0 440.566 -0.077 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CNc2ccc(S(=O)(=O)CCO)cc2)c(=O)n(C)c1=O ZINC000475040798 1072561973 /nfs/dbraw/zinc/56/19/73/1072561973.db2.gz XGHSVRGDDNVRGD-UHFFFAOYSA-N 0 0 438.506 -0.154 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2cc(-c3ccccc3)nn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000475044716 1072561859 /nfs/dbraw/zinc/56/18/59/1072561859.db2.gz FJYMAZKTTSPCFO-KRWDZBQOSA-N 0 0 446.533 -0.607 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2cc(-c3ccccc3)nn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000475044717 1072562596 /nfs/dbraw/zinc/56/25/96/1072562596.db2.gz FJYMAZKTTSPCFO-QGZVFWFLSA-N 0 0 446.533 -0.607 20 0 IBADRN C[C@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(=O)N1Cc2ccccc2C1 ZINC000475063372 1072587209 /nfs/dbraw/zinc/58/72/09/1072587209.db2.gz JLUXVKKWNAHFJM-AWEZNQCLSA-N 0 0 441.492 -0.411 20 0 IBADRN O=C(CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1Cc2ccccc2C1 ZINC000475065137 1072588051 /nfs/dbraw/zinc/58/80/51/1072588051.db2.gz BSGJKPSHWJNCAE-UHFFFAOYSA-N 0 0 437.522 -0.567 20 0 IBADRN C[C@@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(=O)N1Cc2ccccc2C1 ZINC000475065990 1072588745 /nfs/dbraw/zinc/58/87/45/1072588745.db2.gz JLUXVKKWNAHFJM-CQSZACIVSA-N 0 0 441.492 -0.411 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1Cc2ccccc2C1 ZINC000475067343 1072590023 /nfs/dbraw/zinc/59/00/23/1072590023.db2.gz JKQSNOKWTRCCKV-UHFFFAOYSA-N 0 0 427.461 -0.468 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(=O)N1Cc2ccccc2C1 ZINC000475070843 1072589901 /nfs/dbraw/zinc/58/99/01/1072589901.db2.gz VJQKYADXTCORIG-HNNXBMFYSA-N 0 0 441.488 -0.080 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(=O)N1Cc2ccccc2C1 ZINC000475071137 1072589994 /nfs/dbraw/zinc/58/99/94/1072589994.db2.gz VJQKYADXTCORIG-OAHLLOKOSA-N 0 0 441.488 -0.080 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(=O)N1Cc2ccccc2C1 ZINC000475073104 1072589912 /nfs/dbraw/zinc/58/99/12/1072589912.db2.gz ZXTKDFJITXPJRC-INIZCTEOSA-N 0 0 443.504 -0.370 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(=O)N1Cc2ccccc2C1 ZINC000475073105 1072590043 /nfs/dbraw/zinc/59/00/43/1072590043.db2.gz ZXTKDFJITXPJRC-MRXNPFEDSA-N 0 0 443.504 -0.370 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC(=O)N1Cc3ccccc3C1)C2 ZINC000475076774 1072589982 /nfs/dbraw/zinc/58/99/82/1072589982.db2.gz CPDYJSNMOZPPNF-UHFFFAOYSA-N 0 0 427.465 -0.799 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1Cc2ccccc2C1 ZINC000475078099 1072590609 /nfs/dbraw/zinc/59/06/09/1072590609.db2.gz WFYKDBGFNSFCAN-UHFFFAOYSA-N 0 0 429.477 -0.759 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN4C(=O)N=NC4C3)nc(=O)c12 ZINC000475093162 1072590582 /nfs/dbraw/zinc/59/05/82/1072590582.db2.gz GIZXZKIVQBRCOD-UHFFFAOYSA-N 0 0 434.434 -0.200 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000475095519 1072590561 /nfs/dbraw/zinc/59/05/61/1072590561.db2.gz URPYVAMOOBBXJP-UHFFFAOYSA-N 0 0 436.494 -0.863 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3C(=O)N=NC3C2)c(=O)[nH]c1=O ZINC000475096819 1072590432 /nfs/dbraw/zinc/59/04/32/1072590432.db2.gz OVCRKQFWLISYNQ-UHFFFAOYSA-N 0 0 436.473 -0.877 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000475099298 1072590438 /nfs/dbraw/zinc/59/04/38/1072590438.db2.gz WNCKPKOAGPVHJA-UHFFFAOYSA-N 0 0 446.533 -0.179 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)N=NC4C3)CC2)cc1 ZINC000475100876 1072590374 /nfs/dbraw/zinc/59/03/74/1072590374.db2.gz LLHLBUUHRZGIGU-UHFFFAOYSA-N 0 0 448.505 -0.465 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)N=NC4C3)CC2)c1 ZINC000475103472 1072591028 /nfs/dbraw/zinc/59/10/28/1072591028.db2.gz YHDYAMNTRQLBSA-UHFFFAOYSA-N 0 0 448.505 -0.465 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000475103852 1072591141 /nfs/dbraw/zinc/59/11/41/1072591141.db2.gz ZYRLZUAAVGZHQF-UHFFFAOYSA-N 0 0 435.500 -0.330 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)N=NC4C3)CC2)cc1 ZINC000475104693 1072591175 /nfs/dbraw/zinc/59/11/75/1072591175.db2.gz WMMDZROVGHXWPR-UHFFFAOYSA-N 0 0 436.494 -0.659 20 0 IBADRN O=C(CN1CCN(C(=O)NCCCN2C(=O)COc3ccccc32)CC1)N1CCOCC1 ZINC000475107474 1072591064 /nfs/dbraw/zinc/59/10/64/1072591064.db2.gz LFHMMSMJIPOWHV-UHFFFAOYSA-N 0 0 445.520 -0.012 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000475107886 1072590483 /nfs/dbraw/zinc/59/04/83/1072590483.db2.gz MLEAPTJKKXOACY-UHFFFAOYSA-N 0 0 442.448 -0.389 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)N=NC4C3)CC2)cc1C ZINC000475109399 1072590333 /nfs/dbraw/zinc/59/03/33/1072590333.db2.gz QPZTULSUXPDMSI-UHFFFAOYSA-N 0 0 434.522 -0.051 20 0 IBADRN CC(C)CN(C(=O)CN1CCN2C(=O)N=NC2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000475110501 1072591198 /nfs/dbraw/zinc/59/11/98/1072591198.db2.gz SGNMFODXKSQIJV-UHFFFAOYSA-N 0 0 434.501 -0.011 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000475110651 1072591124 /nfs/dbraw/zinc/59/11/24/1072591124.db2.gz TWKCDEWIKCLOTO-INIZCTEOSA-N 0 0 428.449 -0.690 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000475110653 1072591152 /nfs/dbraw/zinc/59/11/52/1072591152.db2.gz TWKCDEWIKCLOTO-MRXNPFEDSA-N 0 0 428.449 -0.690 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000475111505 1072591079 /nfs/dbraw/zinc/59/10/79/1072591079.db2.gz QRQMSVOBTQPEKM-MOPGFXCFSA-N 0 0 440.566 -0.074 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000475111507 1072591000 /nfs/dbraw/zinc/59/10/00/1072591000.db2.gz QRQMSVOBTQPEKM-OALUTQOASA-N 0 0 440.566 -0.074 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000475111508 1072590903 /nfs/dbraw/zinc/59/09/03/1072590903.db2.gz QRQMSVOBTQPEKM-RBUKOAKNSA-N 0 0 440.566 -0.074 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000475111509 1072591044 /nfs/dbraw/zinc/59/10/44/1072591044.db2.gz QRQMSVOBTQPEKM-RTBURBONSA-N 0 0 440.566 -0.074 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)N=NC2C1 ZINC000475111886 1072590955 /nfs/dbraw/zinc/59/09/55/1072590955.db2.gz WQADBUZQMKYWIV-CYBMUJFWSA-N 0 0 436.494 -0.153 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)N=NC2C1 ZINC000475111890 1072591095 /nfs/dbraw/zinc/59/10/95/1072591095.db2.gz WQADBUZQMKYWIV-ZDUSSCGKSA-N 0 0 436.494 -0.153 20 0 IBADRN NC(=O)c1ccc(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)o1 ZINC000475115770 1072591532 /nfs/dbraw/zinc/59/15/32/1072591532.db2.gz ZWIIAWGWQMOWEB-UHFFFAOYSA-N 0 0 436.494 -0.275 20 0 IBADRN COc1ccnc(N2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)n1 ZINC000475124247 1072591699 /nfs/dbraw/zinc/59/16/99/1072591699.db2.gz ZXMKKRVPMWVGNK-IBGZPJMESA-N 0 0 432.481 -0.251 20 0 IBADRN COc1ccnc(N2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)n1 ZINC000475124248 1072591627 /nfs/dbraw/zinc/59/16/27/1072591627.db2.gz ZXMKKRVPMWVGNK-LJQANCHMSA-N 0 0 432.481 -0.251 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)N=NC2C1 ZINC000475127108 1072591519 /nfs/dbraw/zinc/59/15/19/1072591519.db2.gz HCRRBBPKXOAASY-CYBMUJFWSA-N 0 0 436.494 -0.153 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)N=NC2C1 ZINC000475127109 1072591526 /nfs/dbraw/zinc/59/15/26/1072591526.db2.gz HCRRBBPKXOAASY-ZDUSSCGKSA-N 0 0 436.494 -0.153 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000475129866 1072591608 /nfs/dbraw/zinc/59/16/08/1072591608.db2.gz SURQWHYWFPCZLU-UHFFFAOYSA-N 0 0 436.494 -0.863 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000475130274 1072591676 /nfs/dbraw/zinc/59/16/76/1072591676.db2.gz UUOPLZRAKFPUJQ-UHFFFAOYSA-N 0 0 440.913 -0.014 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000475133101 1072592087 /nfs/dbraw/zinc/59/20/87/1072592087.db2.gz WXVWRBTZMZHWRH-UHFFFAOYSA-N 0 0 425.511 -0.980 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2C(=O)c3ccccc3C2=O)CC1)N1CCOCC1 ZINC000475133660 1072592181 /nfs/dbraw/zinc/59/21/81/1072592181.db2.gz CUOVGDWTRFRSSG-UHFFFAOYSA-N 0 0 429.477 -0.531 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@]1(CCO)CCOC1 ZINC000475136341 1072591488 /nfs/dbraw/zinc/59/14/88/1072591488.db2.gz PAHDMHRFKBALHV-IBGZPJMESA-N 0 0 441.554 -0.378 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@]1(CCO)CCOC1 ZINC000475136342 1072591472 /nfs/dbraw/zinc/59/14/72/1072591472.db2.gz PAHDMHRFKBALHV-LJQANCHMSA-N 0 0 441.554 -0.378 20 0 IBADRN O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000475140795 1072592643 /nfs/dbraw/zinc/59/26/43/1072592643.db2.gz SWSCBGXIFSZLSW-WBVHZDCISA-N 0 0 441.510 -0.479 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1)N1CCOCC1 ZINC000475141485 1072592060 /nfs/dbraw/zinc/59/20/60/1072592060.db2.gz WCTICLMGPRVGSL-UHFFFAOYSA-N 0 0 439.538 -0.849 20 0 IBADRN COc1cc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1OCC(N)=O ZINC000475142550 1072592792 /nfs/dbraw/zinc/59/27/92/1072592792.db2.gz ZKLNWVCPSKZBFE-UHFFFAOYSA-N 0 0 449.508 -0.755 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000475143628 1072592752 /nfs/dbraw/zinc/59/27/52/1072592752.db2.gz QJELLIODZHYNKN-UHFFFAOYSA-N 0 0 433.509 -0.389 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000475144333 1072592598 /nfs/dbraw/zinc/59/25/98/1072592598.db2.gz LZLMPMUBIBOTER-UHFFFAOYSA-N 0 0 445.567 -0.658 20 0 IBADRN COc1cc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1OCCO ZINC000475144630 1072592622 /nfs/dbraw/zinc/59/26/22/1072592622.db2.gz MVSCUFZYDJTBJD-UHFFFAOYSA-N 0 0 436.509 -0.248 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3)C2)cn1 ZINC000475147433 1072592152 /nfs/dbraw/zinc/59/21/52/1072592152.db2.gz DTGZSRRRBBOXDL-AWEZNQCLSA-N 0 0 428.449 -0.494 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3)C2)cn1 ZINC000475147434 1072592080 /nfs/dbraw/zinc/59/20/80/1072592080.db2.gz DTGZSRRRBBOXDL-CQSZACIVSA-N 0 0 428.449 -0.494 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2ccc(F)cc2)cn1)N1CCOCC1 ZINC000475147722 1072592261 /nfs/dbraw/zinc/59/22/61/1072592261.db2.gz HJOZLCLGGYXTCI-INIZCTEOSA-N 0 0 449.439 -0.624 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(F)cc2)cn1)N1CCOCC1 ZINC000475147723 1072592207 /nfs/dbraw/zinc/59/22/07/1072592207.db2.gz HJOZLCLGGYXTCI-MRXNPFEDSA-N 0 0 449.439 -0.624 20 0 IBADRN CN(C[C@H]1COc2ccccc2O1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475147757 1072592212 /nfs/dbraw/zinc/59/22/12/1072592212.db2.gz HWJQUURKGIFBPR-INIZCTEOSA-N 0 0 443.460 -0.021 20 0 IBADRN CN(C[C@@H]1COc2ccccc2O1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475147758 1072592194 /nfs/dbraw/zinc/59/21/94/1072592194.db2.gz HWJQUURKGIFBPR-MRXNPFEDSA-N 0 0 443.460 -0.021 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCNC(=O)c2ccc(F)cc2)cn1)N1CCOCC1 ZINC000475148332 1072592233 /nfs/dbraw/zinc/59/22/33/1072592233.db2.gz CHFWPDXFVNOKFX-UHFFFAOYSA-N 0 0 446.439 -0.634 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3)C2)cn1)N1CCOCC1 ZINC000475149862 1072592202 /nfs/dbraw/zinc/59/22/02/1072592202.db2.gz JDLMDRHDGMZREJ-HNNXBMFYSA-N 0 0 440.460 -0.398 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3)C2)cn1)N1CCOCC1 ZINC000475149866 1072592188 /nfs/dbraw/zinc/59/21/88/1072592188.db2.gz JDLMDRHDGMZREJ-OAHLLOKOSA-N 0 0 440.460 -0.398 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)C3CCCCC3)CC2)cn1 ZINC000475150012 1072592139 /nfs/dbraw/zinc/59/21/39/1072592139.db2.gz HDMLIPRZBUOWNQ-UHFFFAOYSA-N 0 0 448.524 -0.165 20 0 IBADRN O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000475150173 1072592160 /nfs/dbraw/zinc/59/21/60/1072592160.db2.gz KQJAPSGVYCACHU-WBVHZDCISA-N 0 0 433.465 -0.670 20 0 IBADRN CC(=O)NCC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475151301 1072592251 /nfs/dbraw/zinc/59/22/51/1072592251.db2.gz WSYDBTBYPKZMPU-GASCZTMLSA-N 0 0 448.524 -0.168 20 0 IBADRN CC(=O)NCC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475151302 1072592243 /nfs/dbraw/zinc/59/22/43/1072592243.db2.gz WSYDBTBYPKZMPU-GJZGRUSLSA-N 0 0 448.524 -0.168 20 0 IBADRN CC(=O)NCC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000475151303 1072592171 /nfs/dbraw/zinc/59/21/71/1072592171.db2.gz WSYDBTBYPKZMPU-HUUCEWRRSA-N 0 0 448.524 -0.168 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)cc1C(N)=O ZINC000475152157 1072592683 /nfs/dbraw/zinc/59/26/83/1072592683.db2.gz AOTHVFYHRACHPP-UHFFFAOYSA-N 0 0 435.462 -0.306 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC(=O)Nc2ccc(Cl)cc2)cn1 ZINC000475152398 1072592632 /nfs/dbraw/zinc/59/26/32/1072592632.db2.gz WVYQPXRPQQSSMO-UHFFFAOYSA-N 0 0 436.856 -0.008 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C[C@@H](CO)O1 ZINC000475152675 1072592576 /nfs/dbraw/zinc/59/25/76/1072592576.db2.gz BHLQYRBYNGSHGG-BBRMVZONSA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C[C@@H](CO)O1 ZINC000475152676 1072593342 /nfs/dbraw/zinc/59/33/42/1072593342.db2.gz BHLQYRBYNGSHGG-CJNGLKHVSA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C[C@H](CO)O1 ZINC000475152677 1072593245 /nfs/dbraw/zinc/59/32/45/1072593245.db2.gz BHLQYRBYNGSHGG-CZUORRHYSA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C[C@H](CO)O1 ZINC000475152678 1072593295 /nfs/dbraw/zinc/59/32/95/1072593295.db2.gz BHLQYRBYNGSHGG-XJKSGUPXSA-N 0 0 441.506 -0.309 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccc(Cl)cn3)CC2)cn1 ZINC000475152874 1072592694 /nfs/dbraw/zinc/59/26/94/1072592694.db2.gz FIXKDFOWODZWDW-UHFFFAOYSA-N 0 0 449.899 -0.019 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](C(=O)NCC3CC3)C2)cn1 ZINC000475152926 1072592720 /nfs/dbraw/zinc/59/27/20/1072592720.db2.gz FNQSRVHEBBRZFK-HNNXBMFYSA-N 0 0 434.497 -0.651 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](C(=O)NCC3CC3)C2)cn1 ZINC000475152929 1072592610 /nfs/dbraw/zinc/59/26/10/1072592610.db2.gz FNQSRVHEBBRZFK-OAHLLOKOSA-N 0 0 434.497 -0.651 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)nc2c1 ZINC000475153709 1072592657 /nfs/dbraw/zinc/59/26/57/1072592657.db2.gz FUXOUECCXVLOEC-UHFFFAOYSA-N 0 0 425.449 -0.047 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)NCc1ccccc1 ZINC000475153781 1072592811 /nfs/dbraw/zinc/59/28/11/1072592811.db2.gz FBHLMTZITNJXPJ-HNNXBMFYSA-N 0 0 442.476 -0.499 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)NCc1ccccc1 ZINC000475153787 1072592802 /nfs/dbraw/zinc/59/28/02/1072592802.db2.gz FBHLMTZITNJXPJ-OAHLLOKOSA-N 0 0 442.476 -0.499 20 0 IBADRN CCOC(=O)[C@](C)(O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000475153915 1072592669 /nfs/dbraw/zinc/59/26/69/1072592669.db2.gz OULVVLZMFFFBDA-GOSISDBHSA-N 0 0 427.479 -0.362 20 0 IBADRN CCOC(=O)[C@@](C)(O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000475153916 1072592736 /nfs/dbraw/zinc/59/27/36/1072592736.db2.gz OULVVLZMFFFBDA-SFHVURJKSA-N 0 0 427.479 -0.362 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCN2CCc3sccc3C2)cn1)N1CCOCC1 ZINC000475154315 1072593179 /nfs/dbraw/zinc/59/31/79/1072593179.db2.gz KCPHMXIOAZUHDD-UHFFFAOYSA-N 0 0 446.533 -0.084 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCCN(c3nccs3)CC2)cn1 ZINC000475155772 1072593369 /nfs/dbraw/zinc/59/33/69/1072593369.db2.gz KLHIAPWQEGQWPB-UHFFFAOYSA-N 0 0 435.510 -0.220 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)cc1C(N)=O ZINC000475155895 1072593388 /nfs/dbraw/zinc/59/33/88/1072593388.db2.gz GVKVDDIBRHXCFO-UHFFFAOYSA-N 0 0 435.462 -0.637 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCCN2CCc3sccc3C2)cn1 ZINC000475156788 1072593758 /nfs/dbraw/zinc/59/37/58/1072593758.db2.gz NMLFTIQNAZPQMS-UHFFFAOYSA-N 0 0 434.522 -0.180 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)cc2)cc1C(N)=O ZINC000475156971 1072593733 /nfs/dbraw/zinc/59/37/33/1072593733.db2.gz PHKWUCTYWZQENS-UHFFFAOYSA-N 0 0 435.462 -0.469 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@H](C(=O)NCC3CC3)C2)cn1)N1CCOCC1 ZINC000475157553 1072593406 /nfs/dbraw/zinc/59/34/06/1072593406.db2.gz TYFYTTCQFMEUSG-INIZCTEOSA-N 0 0 446.508 -0.555 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@@H](C(=O)NCC3CC3)C2)cn1)N1CCOCC1 ZINC000475157554 1072593418 /nfs/dbraw/zinc/59/34/18/1072593418.db2.gz TYFYTTCQFMEUSG-MRXNPFEDSA-N 0 0 446.508 -0.555 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475158759 1072593353 /nfs/dbraw/zinc/59/33/53/1072593353.db2.gz UZERTVIQGXNIJC-UHFFFAOYSA-N 0 0 442.476 -0.545 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCN(c3nccs3)CC2)cn1)N1CCOCC1 ZINC000475158760 1072593283 /nfs/dbraw/zinc/59/32/83/1072593283.db2.gz UZHAMZFMDTYIBS-UHFFFAOYSA-N 0 0 447.521 -0.124 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(CC(F)(F)F)CC2)cn1)N1CCOCC1 ZINC000475158897 1072593331 /nfs/dbraw/zinc/59/33/31/1072593331.db2.gz WHZZLLFANLKXQF-UHFFFAOYSA-N 0 0 432.403 -0.613 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475159181 1072593211 /nfs/dbraw/zinc/59/32/11/1072593211.db2.gz XUDHGIRXEDSHOE-CYBMUJFWSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475159194 1072593384 /nfs/dbraw/zinc/59/33/84/1072593384.db2.gz XUDHGIRXEDSHOE-ZDUSSCGKSA-N 0 0 438.506 -0.229 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475160360 1072593305 /nfs/dbraw/zinc/59/33/05/1072593305.db2.gz WRLLZRWQOVHHAK-KFWWJZLASA-N 0 0 436.513 -0.122 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475160361 1072593359 /nfs/dbraw/zinc/59/33/59/1072593359.db2.gz WRLLZRWQOVHHAK-KKUMJFAQSA-N 0 0 436.513 -0.122 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475160362 1072593254 /nfs/dbraw/zinc/59/32/54/1072593254.db2.gz WRLLZRWQOVHHAK-RRFJBIMHSA-N 0 0 436.513 -0.122 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475160363 1072593231 /nfs/dbraw/zinc/59/32/31/1072593231.db2.gz WRLLZRWQOVHHAK-SOUVJXGZSA-N 0 0 436.513 -0.122 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)cn1 ZINC000475161505 1072593269 /nfs/dbraw/zinc/59/32/69/1072593269.db2.gz HIELTXXEEWVWLJ-UHFFFAOYSA-N 0 0 435.510 -0.615 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C1 ZINC000475163428 1072594143 /nfs/dbraw/zinc/59/41/43/1072594143.db2.gz ISGNAZWYIQTOFP-UHFFFAOYSA-N 0 0 441.506 -0.044 20 0 IBADRN CC(C)Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000475165059 1072593743 /nfs/dbraw/zinc/59/37/43/1072593743.db2.gz KGIVIHDWSUBUPI-UHFFFAOYSA-N 0 0 428.515 -0.801 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)CC1=O ZINC000475165348 1072593722 /nfs/dbraw/zinc/59/37/22/1072593722.db2.gz VLJLIMYBJFJDAB-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)CC1 ZINC000475166134 1072593850 /nfs/dbraw/zinc/59/38/50/1072593850.db2.gz VETQQNDPENZNIF-UHFFFAOYSA-N 0 0 427.483 -0.552 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1F ZINC000475166992 1072593829 /nfs/dbraw/zinc/59/38/29/1072593829.db2.gz ZGPWLNVTOOXTSL-UHFFFAOYSA-N 0 0 434.424 -0.156 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)cn1)N1CCOCC1 ZINC000475167090 1072593836 /nfs/dbraw/zinc/59/38/36/1072593836.db2.gz OWQDHALNJBYREH-UHFFFAOYSA-N 0 0 447.521 -0.519 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCOc2ccc3c(c2)OCO3)cn1)N1CCOCC1 ZINC000475167425 1072593802 /nfs/dbraw/zinc/59/38/02/1072593802.db2.gz XFEFUTJQZIKBEZ-UHFFFAOYSA-N 0 0 445.432 -0.396 20 0 IBADRN O=C(Nc1cnn(CC(F)(F)F)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000475168380 1072593707 /nfs/dbraw/zinc/59/37/07/1072593707.db2.gz GFQXCFQHDSIMKM-UHFFFAOYSA-N 0 0 430.387 -0.323 20 0 IBADRN C[C@@H]1C(=O)NCCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475168472 1072593702 /nfs/dbraw/zinc/59/37/02/1072593702.db2.gz VNPDIAKTUUKLMC-CYBMUJFWSA-N 0 0 438.506 -0.181 20 0 IBADRN C[C@H]1C(=O)NCCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475168473 1072593695 /nfs/dbraw/zinc/59/36/95/1072593695.db2.gz VNPDIAKTUUKLMC-ZDUSSCGKSA-N 0 0 438.506 -0.181 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)(F)F)c2)CC1 ZINC000475168490 1072593844 /nfs/dbraw/zinc/59/38/44/1072593844.db2.gz VRQBPJCBBICMED-UHFFFAOYSA-N 0 0 444.370 -0.796 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC000475168494 1072593814 /nfs/dbraw/zinc/59/38/14/1072593814.db2.gz VRTQXHFFHDRTFP-UHFFFAOYSA-N 0 0 448.407 -0.629 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475169155 1072594201 /nfs/dbraw/zinc/59/42/01/1072594201.db2.gz YSJXTHOTLMZHAJ-UHFFFAOYSA-N 0 0 443.522 -0.468 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)NC1CCCCC1 ZINC000475169310 1072593713 /nfs/dbraw/zinc/59/37/13/1072593713.db2.gz DCAFBNONCMQQSL-UHFFFAOYSA-N 0 0 434.497 -0.364 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccsc3)CC2)cn1 ZINC000475169483 1072593727 /nfs/dbraw/zinc/59/37/27/1072593727.db2.gz KZIDWMCPDUCWRK-UHFFFAOYSA-N 0 0 448.505 -0.370 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)cc1 ZINC000475169579 1072593750 /nfs/dbraw/zinc/59/37/50/1072593750.db2.gz ALOPDAUTALQRDD-JTQLQIEISA-N 0 0 435.462 -0.087 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)cc1 ZINC000475169580 1072593672 /nfs/dbraw/zinc/59/36/72/1072593672.db2.gz ALOPDAUTALQRDD-SNVBAGLBSA-N 0 0 435.462 -0.087 20 0 IBADRN COCCCN(C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000475170395 1072594220 /nfs/dbraw/zinc/59/42/20/1072594220.db2.gz CXLGXNPCXPSXEH-KBXCAEBGSA-N 0 0 445.538 -0.346 20 0 IBADRN COCCCN(C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000475170396 1072594167 /nfs/dbraw/zinc/59/41/67/1072594167.db2.gz CXLGXNPCXPSXEH-KDOFPFPSSA-N 0 0 445.538 -0.346 20 0 IBADRN COCCCN(C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000475170397 1072594187 /nfs/dbraw/zinc/59/41/87/1072594187.db2.gz CXLGXNPCXPSXEH-KSSFIOAISA-N 0 0 445.538 -0.346 20 0 IBADRN COCCCN(C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000475170398 1072594182 /nfs/dbraw/zinc/59/41/82/1072594182.db2.gz CXLGXNPCXPSXEH-RDTXWAMCSA-N 0 0 445.538 -0.346 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)cc1C(N)=O ZINC000475170556 1072594210 /nfs/dbraw/zinc/59/42/10/1072594210.db2.gz BZWXQYOJMHKNPL-UHFFFAOYSA-N 0 0 440.460 -0.090 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CC(=O)NC(C)(C)C)cn2)C[C@H](C)O1 ZINC000475170620 1072594229 /nfs/dbraw/zinc/59/42/29/1072594229.db2.gz CPQINYJMJNCYGR-KBPBESRZSA-N 0 0 436.513 -0.170 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CC(=O)NC(C)(C)C)cn2)C[C@H](C)O1 ZINC000475170622 1072594215 /nfs/dbraw/zinc/59/42/15/1072594215.db2.gz CPQINYJMJNCYGR-OKILXGFUSA-N 0 0 436.513 -0.170 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CC(=O)NC(C)(C)C)cn2)C[C@@H](C)O1 ZINC000475170624 1072594191 /nfs/dbraw/zinc/59/41/91/1072594191.db2.gz CPQINYJMJNCYGR-ZIAGYGMSSA-N 0 0 436.513 -0.170 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475171300 1072594233 /nfs/dbraw/zinc/59/42/33/1072594233.db2.gz DZLJKGFOPPQAOV-BZUAXINKSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475171301 1072594154 /nfs/dbraw/zinc/59/41/54/1072594154.db2.gz DZLJKGFOPPQAOV-HRCADAONSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475171302 1072594115 /nfs/dbraw/zinc/59/41/15/1072594115.db2.gz DZLJKGFOPPQAOV-OAGGEKHMSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475171303 1072594261 /nfs/dbraw/zinc/59/42/61/1072594261.db2.gz DZLJKGFOPPQAOV-PMPSAXMXSA-N 0 0 436.513 -0.706 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccccc3)CC2)cn1 ZINC000475172086 1072594662 /nfs/dbraw/zinc/59/46/62/1072594662.db2.gz GCHQFKZTGOVEKC-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN COc1ccc(OCCNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000475172448 1072594570 /nfs/dbraw/zinc/59/45/70/1072594570.db2.gz ICCRYSCVYBMDSM-UHFFFAOYSA-N 0 0 431.449 -0.116 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cccs3)CC2)cn1 ZINC000475172499 1072594702 /nfs/dbraw/zinc/59/47/02/1072594702.db2.gz GQDSNZRSTZJCJK-UHFFFAOYSA-N 0 0 434.522 -0.010 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cc(C)no3)CC2)cn1 ZINC000475172569 1072594715 /nfs/dbraw/zinc/59/47/15/1072594715.db2.gz GWWWNMRAHPEOEM-UHFFFAOYSA-N 0 0 433.469 -0.775 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1C(N)=O ZINC000475172599 1072594590 /nfs/dbraw/zinc/59/45/90/1072594590.db2.gz GZSISYDEBOKENQ-UHFFFAOYSA-N 0 0 432.481 -0.716 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CCc3ccccc3)CC2)cn1 ZINC000475172610 1072594725 /nfs/dbraw/zinc/59/47/25/1072594725.db2.gz HBLSAEVCAHRCDD-UHFFFAOYSA-N 0 0 442.520 -0.029 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)on1 ZINC000475172840 1072594736 /nfs/dbraw/zinc/59/47/36/1072594736.db2.gz JEDCFLZYIWSADD-UHFFFAOYSA-N 0 0 445.480 -0.679 20 0 IBADRN Cc1cccc(OC[C@@H](O)CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000475173022 1072594690 /nfs/dbraw/zinc/59/46/90/1072594690.db2.gz LYLKEHIDIIDLPT-KRWDZBQOSA-N 0 0 445.476 -0.455 20 0 IBADRN Cc1cccc(OC[C@H](O)CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000475173023 1072594600 /nfs/dbraw/zinc/59/46/00/1072594600.db2.gz LYLKEHIDIIDLPT-QGZVFWFLSA-N 0 0 445.476 -0.455 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3nc(C)cs3)CC2)cn1 ZINC000475173422 1072594557 /nfs/dbraw/zinc/59/45/57/1072594557.db2.gz KOZMCDLPJMXTSW-UHFFFAOYSA-N 0 0 435.510 -0.302 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)n1 ZINC000475174388 1072594581 /nfs/dbraw/zinc/59/45/81/1072594581.db2.gz NHCCWHNBPJWFAD-UHFFFAOYSA-N 0 0 447.521 -0.206 20 0 IBADRN CCCN1C(=O)CC[C@@H](C(=O)NCCN2CCS(=O)(=O)CC2)[C@@H]1c1cncn1C ZINC000475174741 1072594641 /nfs/dbraw/zinc/59/46/41/1072594641.db2.gz OOEMAHNJVNSPFZ-CRAIPNDOSA-N 0 0 425.555 -0.044 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cc1C(N)=O ZINC000475175353 1072594695 /nfs/dbraw/zinc/59/46/95/1072594695.db2.gz MSQUAACVICLHEO-INIZCTEOSA-N 0 0 440.460 -0.502 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cc1C(N)=O ZINC000475175360 1072594610 /nfs/dbraw/zinc/59/46/10/1072594610.db2.gz MSQUAACVICLHEO-MRXNPFEDSA-N 0 0 440.460 -0.502 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](CO)Cc2ccccc2)cn1)N1CCOCC1 ZINC000475175371 1072594617 /nfs/dbraw/zinc/59/46/17/1072594617.db2.gz MVDYMAHKBDUIJD-KRWDZBQOSA-N 0 0 429.477 -0.352 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](CO)Cc2ccccc2)cn1)N1CCOCC1 ZINC000475175376 1072594745 /nfs/dbraw/zinc/59/47/45/1072594745.db2.gz MVDYMAHKBDUIJD-QGZVFWFLSA-N 0 0 429.477 -0.352 20 0 IBADRN Cc1cccc(C(=O)NCCNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000475175962 1072594679 /nfs/dbraw/zinc/59/46/79/1072594679.db2.gz SLNCOHHDAAYUAS-UHFFFAOYSA-N 0 0 442.476 -0.465 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)C[C@H]2COc3ccccc3O2)cn1 ZINC000475176608 1072594626 /nfs/dbraw/zinc/59/46/26/1072594626.db2.gz SUWRDCPJDGHAGG-HNNXBMFYSA-N 0 0 431.449 -0.117 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)C[C@@H]2COc3ccccc3O2)cn1 ZINC000475176609 1072595090 /nfs/dbraw/zinc/59/50/90/1072595090.db2.gz SUWRDCPJDGHAGG-OAHLLOKOSA-N 0 0 431.449 -0.117 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)cn1)N1CCOCC1 ZINC000475177003 1072594249 /nfs/dbraw/zinc/59/42/49/1072594249.db2.gz XFBNVGFUXBJCGA-UHFFFAOYSA-N 0 0 444.448 -0.742 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)cc1C(N)=O ZINC000475177545 1072595315 /nfs/dbraw/zinc/59/53/15/1072595315.db2.gz YXESLSNVASBREZ-AWEZNQCLSA-N 0 0 446.508 -0.327 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)cc1C(N)=O ZINC000475177546 1072595119 /nfs/dbraw/zinc/59/51/19/1072595119.db2.gz YXESLSNVASBREZ-CQSZACIVSA-N 0 0 446.508 -0.327 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000475177632 1072594224 /nfs/dbraw/zinc/59/42/24/1072594224.db2.gz OMLAYHGSKLDQAE-FOIQADDNSA-N 0 0 436.509 -0.301 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000475177633 1072594134 /nfs/dbraw/zinc/59/41/34/1072594134.db2.gz OMLAYHGSKLDQAE-MGPUTAFESA-N 0 0 436.509 -0.301 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000475177634 1072594258 /nfs/dbraw/zinc/59/42/58/1072594258.db2.gz OMLAYHGSKLDQAE-QRWLVFNGSA-N 0 0 436.509 -0.301 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000475177635 1072594177 /nfs/dbraw/zinc/59/41/77/1072594177.db2.gz OMLAYHGSKLDQAE-YWZLYKJASA-N 0 0 436.509 -0.301 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)N1CCOCC1 ZINC000475178103 1072595744 /nfs/dbraw/zinc/59/57/44/1072595744.db2.gz QUHJONJRZBRNQV-KRWDZBQOSA-N 0 0 436.513 -0.847 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)N1CCOCC1 ZINC000475178108 1072595775 /nfs/dbraw/zinc/59/57/75/1072595775.db2.gz QUHJONJRZBRNQV-QGZVFWFLSA-N 0 0 436.513 -0.847 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCCN2CCc3ccccc3C2)cn1 ZINC000475179274 1072595685 /nfs/dbraw/zinc/59/56/85/1072595685.db2.gz BRFOMKJZRREHBJ-UHFFFAOYSA-N 0 0 428.493 -0.241 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1)C(=O)N1CCN(CCO)CC1 ZINC000475179564 1072595730 /nfs/dbraw/zinc/59/57/30/1072595730.db2.gz CKHAQLSSWSPJKV-UHFFFAOYSA-N 0 0 440.522 -0.781 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)N3CCCCC3)cn2)C[C@H](C)O1 ZINC000475180158 1072595798 /nfs/dbraw/zinc/59/57/98/1072595798.db2.gz CTXPTGLTVLQWTI-GASCZTMLSA-N 0 0 434.497 -0.414 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)N3CCCCC3)cn2)C[C@H](C)O1 ZINC000475180163 1072595627 /nfs/dbraw/zinc/59/56/27/1072595627.db2.gz CTXPTGLTVLQWTI-GJZGRUSLSA-N 0 0 434.497 -0.414 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)N3CCCCC3)cn2)C[C@@H](C)O1 ZINC000475180165 1072595719 /nfs/dbraw/zinc/59/57/19/1072595719.db2.gz CTXPTGLTVLQWTI-HUUCEWRRSA-N 0 0 434.497 -0.414 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccccc3OC)CC2)cn1 ZINC000475180775 1072595243 /nfs/dbraw/zinc/59/52/43/1072595243.db2.gz ZDHPTGFFAGLRQH-UHFFFAOYSA-N 0 0 444.492 -0.058 20 0 IBADRN O=C(NCCOC(F)(F)F)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000475181088 1072595174 /nfs/dbraw/zinc/59/51/74/1072595174.db2.gz AVASDWROGCJFLR-UHFFFAOYSA-N 0 0 438.384 -0.602 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3)CC2)cn1 ZINC000475181181 1072595318 /nfs/dbraw/zinc/59/53/18/1072595318.db2.gz GKKXSAQBWNLDMU-UHFFFAOYSA-N 0 0 442.476 -0.431 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cccnc3)CC2)cn1 ZINC000475181924 1072595267 /nfs/dbraw/zinc/59/52/67/1072595267.db2.gz JMOYDALEHBOMQN-UHFFFAOYSA-N 0 0 429.481 -0.676 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cc1C(N)=O ZINC000475182482 1072595280 /nfs/dbraw/zinc/59/52/80/1072595280.db2.gz GIHRJXONKNJTGM-UHFFFAOYSA-N 0 0 447.473 -0.339 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCN2CCc3ccccc3C2)cn1)N1CCOCC1 ZINC000475182582 1072595295 /nfs/dbraw/zinc/59/52/95/1072595295.db2.gz MHQXCIQEBIGAGZ-UHFFFAOYSA-N 0 0 440.504 -0.145 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)cc1C(N)=O ZINC000475182674 1072595101 /nfs/dbraw/zinc/59/51/01/1072595101.db2.gz LCXDSILXVCSHST-UHFFFAOYSA-N 0 0 435.462 -0.306 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccccc3O)CC2)cn1 ZINC000475182686 1072595231 /nfs/dbraw/zinc/59/52/31/1072595231.db2.gz LEBMSSSIKXMKND-UHFFFAOYSA-N 0 0 430.465 -0.361 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000475183280 1072595136 /nfs/dbraw/zinc/59/51/36/1072595136.db2.gz NUMANGKUMSYQIE-UHFFFAOYSA-N 0 0 443.460 -0.174 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(c3ccccc3O)CC2)cn1)N1CCOCC1 ZINC000475183806 1072595187 /nfs/dbraw/zinc/59/51/87/1072595187.db2.gz KIHVSVRPTOLFOA-UHFFFAOYSA-N 0 0 442.476 -0.265 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)CC1 ZINC000475184129 1072595325 /nfs/dbraw/zinc/59/53/25/1072595325.db2.gz QMAMLBPLCLONNF-UHFFFAOYSA-N 0 0 434.497 -0.470 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cc(C)on3)CC2)cn1 ZINC000475184595 1072595345 /nfs/dbraw/zinc/59/53/45/1072595345.db2.gz MSOGPBXNVBZBME-UHFFFAOYSA-N 0 0 433.469 -0.775 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3cccc(OC)c3)CC2)cn1 ZINC000475184674 1072595255 /nfs/dbraw/zinc/59/52/55/1072595255.db2.gz GBZNMWVTULSORT-UHFFFAOYSA-N 0 0 444.492 -0.058 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(Cc3cccnc3)CC2)cn1)N1CCOCC1 ZINC000475186612 1072595215 /nfs/dbraw/zinc/59/52/15/1072595215.db2.gz ZSVMKDBBBLBBFM-UHFFFAOYSA-N 0 0 441.492 -0.580 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)s1 ZINC000475186636 1072595204 /nfs/dbraw/zinc/59/52/04/1072595204.db2.gz ZWWOGPIFNUGEHG-INIZCTEOSA-N 0 0 444.535 -0.112 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)s1 ZINC000475186638 1072595154 /nfs/dbraw/zinc/59/51/54/1072595154.db2.gz ZWWOGPIFNUGEHG-MRXNPFEDSA-N 0 0 444.535 -0.112 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)no1 ZINC000475188023 1072595664 /nfs/dbraw/zinc/59/56/64/1072595664.db2.gz WRUHEYAQUUORSH-UHFFFAOYSA-N 0 0 445.480 -0.679 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccco3)CC2)cn1)N1CCOCC1 ZINC000475188771 1072596245 /nfs/dbraw/zinc/59/62/45/1072596245.db2.gz DXYKOXHAHNGDCJ-UHFFFAOYSA-N 0 0 430.465 -0.382 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cc1C(N)=O ZINC000475188922 1072595652 /nfs/dbraw/zinc/59/56/52/1072595652.db2.gz CNHFPGJXTWZOPQ-UHFFFAOYSA-N 0 0 446.508 -0.326 20 0 IBADRN COC(=O)c1cc(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)oc1C ZINC000475188950 1072595804 /nfs/dbraw/zinc/59/58/04/1072595804.db2.gz FZGLULOKTAZZSF-UHFFFAOYSA-N 0 0 433.421 -0.315 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNC(=O)c3ccco3)cn2)C[C@H](C)O1 ZINC000475188955 1072595674 /nfs/dbraw/zinc/59/56/74/1072595674.db2.gz GBMSDFZNANSISQ-KBPBESRZSA-N 0 0 446.464 -0.403 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNC(=O)c3ccco3)cn2)C[C@H](C)O1 ZINC000475188956 1072596139 /nfs/dbraw/zinc/59/61/39/1072596139.db2.gz GBMSDFZNANSISQ-OKILXGFUSA-N 0 0 446.464 -0.403 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNC(=O)c3ccco3)cn2)C[C@@H](C)O1 ZINC000475188957 1072596144 /nfs/dbraw/zinc/59/61/44/1072596144.db2.gz GBMSDFZNANSISQ-ZIAGYGMSSA-N 0 0 446.464 -0.403 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3csc(C)n3)CC2)cn1 ZINC000475189339 1072596174 /nfs/dbraw/zinc/59/61/74/1072596174.db2.gz AEQNCQLIIUGOPO-UHFFFAOYSA-N 0 0 449.537 -0.306 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)CC1 ZINC000475189579 1072596077 /nfs/dbraw/zinc/59/60/77/1072596077.db2.gz HDRGZEBGNWYPLT-UHFFFAOYSA-N 0 0 435.481 -0.091 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccc(O)cc3)CC2)cn1 ZINC000475189610 1072596065 /nfs/dbraw/zinc/59/60/65/1072596065.db2.gz HWBXEMNAKZPXBI-UHFFFAOYSA-N 0 0 430.465 -0.361 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(c3ccc(O)cc3)CC2)cn1)N1CCOCC1 ZINC000475191447 1072596153 /nfs/dbraw/zinc/59/61/53/1072596153.db2.gz JNNZYJKNKXSOFC-UHFFFAOYSA-N 0 0 442.476 -0.265 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000475192029 1072596221 /nfs/dbraw/zinc/59/62/21/1072596221.db2.gz SKUOMBRXWCENDY-GOSISDBHSA-N 0 0 444.554 -0.078 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000475192031 1072596128 /nfs/dbraw/zinc/59/61/28/1072596128.db2.gz SKUOMBRXWCENDY-SFHVURJKSA-N 0 0 444.554 -0.078 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCCN(CC(F)(F)F)CC2)cn1 ZINC000475192351 1072596262 /nfs/dbraw/zinc/59/62/62/1072596262.db2.gz GJCJWNLSQDOWRG-UHFFFAOYSA-N 0 0 434.419 -0.319 20 0 IBADRN CN(C1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1)S(C)(=O)=O ZINC000475192608 1072596207 /nfs/dbraw/zinc/59/62/07/1072596207.db2.gz RRFXDXCJLBIKDX-KRWDZBQOSA-N 0 0 430.527 -0.516 20 0 IBADRN CN(C1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1)S(C)(=O)=O ZINC000475192609 1072596231 /nfs/dbraw/zinc/59/62/31/1072596231.db2.gz RRFXDXCJLBIKDX-QGZVFWFLSA-N 0 0 430.527 -0.516 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000475193774 1072596849 /nfs/dbraw/zinc/59/68/49/1072596849.db2.gz CMJNIIIFDPQUCA-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)NCc3ccco3)cn2)C[C@H](C)O1 ZINC000475195173 1072596794 /nfs/dbraw/zinc/59/67/94/1072596794.db2.gz GXCHSGJZGSJZPW-KBPBESRZSA-N 0 0 446.464 -0.517 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)NCc3ccco3)cn2)C[C@H](C)O1 ZINC000475195174 1072596876 /nfs/dbraw/zinc/59/68/76/1072596876.db2.gz GXCHSGJZGSJZPW-OKILXGFUSA-N 0 0 446.464 -0.517 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)NCc3ccco3)cn2)C[C@@H](C)O1 ZINC000475195175 1072596744 /nfs/dbraw/zinc/59/67/44/1072596744.db2.gz GXCHSGJZGSJZPW-ZIAGYGMSSA-N 0 0 446.464 -0.517 20 0 IBADRN CC(C)(C)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000475195446 1072596838 /nfs/dbraw/zinc/59/68/38/1072596838.db2.gz NOLHZHDGIOJPIF-UHFFFAOYSA-N 0 0 434.497 -0.603 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475195632 1072596824 /nfs/dbraw/zinc/59/68/24/1072596824.db2.gz YCOGSQQYAABYTP-HOTGVXAUSA-N 0 0 448.524 -0.216 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000475195633 1072596783 /nfs/dbraw/zinc/59/67/83/1072596783.db2.gz YCOGSQQYAABYTP-HZPDHXFCSA-N 0 0 448.524 -0.216 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475195634 1072596901 /nfs/dbraw/zinc/59/69/01/1072596901.db2.gz YCOGSQQYAABYTP-IYBDPMFKSA-N 0 0 448.524 -0.216 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCCc2ccc3c(c2)OCCO3)cn1 ZINC000475195679 1072596612 /nfs/dbraw/zinc/59/66/12/1072596612.db2.gz IGZFCIHQZVJXGM-UHFFFAOYSA-N 0 0 431.449 -0.286 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)cc1C(N)=O ZINC000475195961 1072596695 /nfs/dbraw/zinc/59/66/95/1072596695.db2.gz OTRMXUUUOIZVNM-UHFFFAOYSA-N 0 0 429.433 -0.089 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cc1C(N)=O ZINC000475196022 1072596883 /nfs/dbraw/zinc/59/68/83/1072596883.db2.gz PEXJIVXYCOSNTH-UHFFFAOYSA-N 0 0 432.481 -0.716 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCN(CC(F)(F)F)CC2)cn1)N1CCOCC1 ZINC000475196427 1072596860 /nfs/dbraw/zinc/59/68/60/1072596860.db2.gz QHMZPZLHCLJYFU-UHFFFAOYSA-N 0 0 446.430 -0.223 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)(F)F)c2)CC1 ZINC000475196546 1072596917 /nfs/dbraw/zinc/59/69/17/1072596917.db2.gz KLFLCURGZNXGBJ-UHFFFAOYSA-N 0 0 432.403 -0.613 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3cccs3)CC2)cn1 ZINC000475197045 1072198559 /nfs/dbraw/zinc/19/85/59/1072198559.db2.gz MMBWKWWAZJALRX-UHFFFAOYSA-N 0 0 448.505 -0.370 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cc1C(N)=O ZINC000475197339 1072596907 /nfs/dbraw/zinc/59/69/07/1072596907.db2.gz MHCJCVMKOJJLGJ-UHFFFAOYSA-N 0 0 442.480 -0.754 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C1 ZINC000475198508 1072596645 /nfs/dbraw/zinc/59/66/45/1072596645.db2.gz QEUCKBDLVFGBIZ-UHFFFAOYSA-N 0 0 438.506 -0.323 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(c3ccccn3)CC2)cn1)N1CCOCC1 ZINC000475199030 1072597436 /nfs/dbraw/zinc/59/74/36/1072597436.db2.gz ZJRZFMLDYCBVEL-UHFFFAOYSA-N 0 0 427.465 -0.576 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(Cc3ccccc3)c2)CC1 ZINC000475199167 1072597479 /nfs/dbraw/zinc/59/74/79/1072597479.db2.gz SLORYLXMVUZRSB-UHFFFAOYSA-N 0 0 440.504 -0.127 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCc2ccc3c(c2)OCCO3)cn1)N1CCOCC1 ZINC000475199476 1072596771 /nfs/dbraw/zinc/59/67/71/1072596771.db2.gz VFLXMFLNKBBMQJ-UHFFFAOYSA-N 0 0 443.460 -0.190 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)CC(F)(F)F ZINC000475200797 1072597493 /nfs/dbraw/zinc/59/74/93/1072597493.db2.gz BXZBZYWUSIIIGG-UHFFFAOYSA-N 0 0 434.419 -0.319 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000475201959 1072597157 /nfs/dbraw/zinc/59/71/57/1072597157.db2.gz KFOWRZZSMDJVTJ-UHFFFAOYSA-N 0 0 449.512 -0.714 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)cn1 ZINC000475202323 1072597171 /nfs/dbraw/zinc/59/71/71/1072597171.db2.gz LZMRMYGMLJYDPT-UHFFFAOYSA-N 0 0 429.481 -0.676 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cc1C(N)=O ZINC000475204681 1072596804 /nfs/dbraw/zinc/59/68/04/1072596804.db2.gz SUKBXXHCXXWIOL-UHFFFAOYSA-N 0 0 439.436 -0.847 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC000475205047 1072596719 /nfs/dbraw/zinc/59/67/19/1072596719.db2.gz UGSVRVLVPCWEHU-UHFFFAOYSA-N 0 0 437.501 -0.810 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)cn1)N1CCOCC1 ZINC000475205167 1072596759 /nfs/dbraw/zinc/59/67/59/1072596759.db2.gz QRBJQAUOFNMKHE-UHFFFAOYSA-N 0 0 441.492 -0.580 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1C(N)=O ZINC000475206579 1072597207 /nfs/dbraw/zinc/59/72/07/1072597207.db2.gz VFXJXUQQPXJLRT-UHFFFAOYSA-N 0 0 448.461 -0.944 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)cn1 ZINC000475206670 1072597336 /nfs/dbraw/zinc/59/73/36/1072597336.db2.gz VQNAENNPCXYWGC-GASCZTMLSA-N 0 0 438.529 -0.412 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC(C)(C)N2C[C@H](C)O[C@@H](C)C2)cn1 ZINC000475206675 1072597137 /nfs/dbraw/zinc/59/71/37/1072597137.db2.gz VQNAENNPCXYWGC-GJZGRUSLSA-N 0 0 438.529 -0.412 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)cn1 ZINC000475206676 1072597459 /nfs/dbraw/zinc/59/74/59/1072597459.db2.gz VQNAENNPCXYWGC-HUUCEWRRSA-N 0 0 438.529 -0.412 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)c1 ZINC000475212424 1072597223 /nfs/dbraw/zinc/59/72/23/1072597223.db2.gz UTIHTYXXTAMNHD-UHFFFAOYSA-N 0 0 429.433 -0.041 20 0 IBADRN Cc1[nH]nc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3n[nH]c(C)c3C)CC2)c1C ZINC000475216953 1072597242 /nfs/dbraw/zinc/59/72/42/1072597242.db2.gz QKMHCHKFVXSZDP-UHFFFAOYSA-N 0 0 437.526 -0.116 20 0 IBADRN O=C(NC[C@H](O)C(F)F)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000475217112 1072597396 /nfs/dbraw/zinc/59/73/96/1072597396.db2.gz WJFJNIHCVXEXKS-INIZCTEOSA-N 0 0 449.476 -0.100 20 0 IBADRN O=C(NC[C@@H](O)C(F)F)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000475217120 1072597367 /nfs/dbraw/zinc/59/73/67/1072597367.db2.gz WJFJNIHCVXEXKS-MRXNPFEDSA-N 0 0 449.476 -0.100 20 0 IBADRN CCOC(=O)CC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000475218721 1072597952 /nfs/dbraw/zinc/59/79/52/1072597952.db2.gz XQLGGVPXOVHSNC-UHFFFAOYSA-N 0 0 435.481 -0.128 20 0 IBADRN CC(C)Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000475222921 1072597916 /nfs/dbraw/zinc/59/79/16/1072597916.db2.gz DRABMXSOJKEEJP-UHFFFAOYSA-N 0 0 441.558 -0.886 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCC(=O)N2CCCCCC2)cn1)N1CCOCC1 ZINC000475228477 1072597270 /nfs/dbraw/zinc/59/72/70/1072597270.db2.gz DAZCRZZFDVOXRY-UHFFFAOYSA-N 0 0 434.497 -0.411 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@@H](O)COCC3CC3)cn2)C[C@@H](C)O1 ZINC000475228816 1072597839 /nfs/dbraw/zinc/59/78/39/1072597839.db2.gz FBKAEULXKRSWBK-CKEIUWERSA-N 0 0 437.497 -0.639 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@H](O)COCC3CC3)cn2)C[C@@H](C)O1 ZINC000475228822 1072598003 /nfs/dbraw/zinc/59/80/03/1072598003.db2.gz FBKAEULXKRSWBK-CPUCHLNUSA-N 0 0 437.497 -0.639 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@@H](O)COCC3CC3)cn2)C[C@H](C)O1 ZINC000475228823 1072597976 /nfs/dbraw/zinc/59/79/76/1072597976.db2.gz FBKAEULXKRSWBK-JKIFEVAISA-N 0 0 437.497 -0.639 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@H](O)COCC3CC3)cn2)C[C@H](C)O1 ZINC000475228824 1072597995 /nfs/dbraw/zinc/59/79/95/1072597995.db2.gz FBKAEULXKRSWBK-VBQJREDUSA-N 0 0 437.497 -0.639 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)NCc1ccccc1 ZINC000475230037 1072597864 /nfs/dbraw/zinc/59/78/64/1072597864.db2.gz DJJNIKMEXFYGRC-UHFFFAOYSA-N 0 0 442.476 -0.497 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475230347 1072597874 /nfs/dbraw/zinc/59/78/74/1072597874.db2.gz IGRDUULMCCRLNX-AJNGGQMLSA-N 0 0 436.513 -0.123 20 0 IBADRN CC[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475230349 1072597908 /nfs/dbraw/zinc/59/79/08/1072597908.db2.gz IGRDUULMCCRLNX-BYNSBNAKSA-N 0 0 436.513 -0.123 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475230350 1072597937 /nfs/dbraw/zinc/59/79/37/1072597937.db2.gz IGRDUULMCCRLNX-XQLPTFJDSA-N 0 0 436.513 -0.123 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475230351 1072597943 /nfs/dbraw/zinc/59/79/43/1072597943.db2.gz IGRDUULMCCRLNX-YJNKXOJESA-N 0 0 436.513 -0.123 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2)cn1)N1CCOCC1 ZINC000475231543 1072597879 /nfs/dbraw/zinc/59/78/79/1072597879.db2.gz IJIVXROABOAONX-GOSISDBHSA-N 0 0 440.460 -0.620 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2)cn1)N1CCOCC1 ZINC000475231544 1072597899 /nfs/dbraw/zinc/59/78/99/1072597899.db2.gz IJIVXROABOAONX-SFHVURJKSA-N 0 0 440.460 -0.620 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475233025 1072598325 /nfs/dbraw/zinc/59/83/25/1072598325.db2.gz KXIOQTPGWNBKPH-BFYDXBDKSA-N 0 0 439.513 -0.393 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475233030 1072598301 /nfs/dbraw/zinc/59/83/01/1072598301.db2.gz KXIOQTPGWNBKPH-HLLBOEOZSA-N 0 0 439.513 -0.393 20 0 IBADRN CC(C)COC[C@@H](O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475233031 1072598416 /nfs/dbraw/zinc/59/84/16/1072598416.db2.gz KXIOQTPGWNBKPH-UXLLHSPISA-N 0 0 439.513 -0.393 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475233033 1072598394 /nfs/dbraw/zinc/59/83/94/1072598394.db2.gz KXIOQTPGWNBKPH-YQQAZPJKSA-N 0 0 439.513 -0.393 20 0 IBADRN O=C(CC1CCCCC1)NCCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475233172 1072598286 /nfs/dbraw/zinc/59/82/86/1072598286.db2.gz LCBMKDWIHVDQSZ-UHFFFAOYSA-N 0 0 448.524 -0.117 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNC(=O)C(C)(C)C)cn2)C[C@H](C)O1 ZINC000475235592 1072598469 /nfs/dbraw/zinc/59/84/69/1072598469.db2.gz KRLSOSIJZVGULL-KBPBESRZSA-N 0 0 436.513 -0.264 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNC(=O)C(C)(C)C)cn2)C[C@H](C)O1 ZINC000475235593 1072598278 /nfs/dbraw/zinc/59/82/78/1072598278.db2.gz KRLSOSIJZVGULL-OKILXGFUSA-N 0 0 436.513 -0.264 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNC(=O)C(C)(C)C)cn2)C[C@@H](C)O1 ZINC000475235594 1072598966 /nfs/dbraw/zinc/59/89/66/1072598966.db2.gz KRLSOSIJZVGULL-ZIAGYGMSSA-N 0 0 436.513 -0.264 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCCNC(=O)c2cccs2)cn1)N1CCOCC1 ZINC000475237050 1072598792 /nfs/dbraw/zinc/59/87/92/1072598792.db2.gz UKEFLWAMYLFHTJ-UHFFFAOYSA-N 0 0 448.505 -0.322 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475238114 1072598944 /nfs/dbraw/zinc/59/89/44/1072598944.db2.gz ZCPYSLCYVBIAMS-HNNXBMFYSA-N 0 0 434.497 -0.555 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475238115 1072598762 /nfs/dbraw/zinc/59/87/62/1072598762.db2.gz ZCPYSLCYVBIAMS-OAHLLOKOSA-N 0 0 434.497 -0.555 20 0 IBADRN CC(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475239086 1072597856 /nfs/dbraw/zinc/59/78/56/1072597856.db2.gz VXLHGTCSRNSBGG-HLLBOEOZSA-N 0 0 448.524 -0.168 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000475239087 1072597891 /nfs/dbraw/zinc/59/78/91/1072597891.db2.gz VXLHGTCSRNSBGG-INMHGKMJSA-N 0 0 448.524 -0.168 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475239088 1072597985 /nfs/dbraw/zinc/59/79/85/1072597985.db2.gz VXLHGTCSRNSBGG-UXLLHSPISA-N 0 0 448.524 -0.168 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000475239089 1072598460 /nfs/dbraw/zinc/59/84/60/1072598460.db2.gz VXLHGTCSRNSBGG-ZOBUZTSGSA-N 0 0 448.524 -0.168 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000475239236 1072597844 /nfs/dbraw/zinc/59/78/44/1072597844.db2.gz WNWXJGHXZABARK-BZUAXINKSA-N 0 0 448.524 -0.025 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000475239240 1072597932 /nfs/dbraw/zinc/59/79/32/1072597932.db2.gz WNWXJGHXZABARK-HRCADAONSA-N 0 0 448.524 -0.025 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475239242 1072597828 /nfs/dbraw/zinc/59/78/28/1072597828.db2.gz WNWXJGHXZABARK-OWCLPIDISA-N 0 0 448.524 -0.025 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475239244 1072597964 /nfs/dbraw/zinc/59/79/64/1072597964.db2.gz WNWXJGHXZABARK-XHSDSOJGSA-N 0 0 448.524 -0.025 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)NCCc1ccccc1 ZINC000475239818 1072598268 /nfs/dbraw/zinc/59/82/68/1072598268.db2.gz PDCLXTKQQUVBAZ-UHFFFAOYSA-N 0 0 442.476 -0.845 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2)cn1 ZINC000475241768 1072598313 /nfs/dbraw/zinc/59/83/13/1072598313.db2.gz APZNCQJQGXNYQE-KRWDZBQOSA-N 0 0 428.449 -0.716 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2)cn1 ZINC000475241769 1072598335 /nfs/dbraw/zinc/59/83/35/1072598335.db2.gz APZNCQJQGXNYQE-QGZVFWFLSA-N 0 0 428.449 -0.716 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCCNC(=O)c2ccccc2)cn1)N1CCOCC1 ZINC000475243273 1072598350 /nfs/dbraw/zinc/59/83/50/1072598350.db2.gz FGFNYEAOOUCOLY-UHFFFAOYSA-N 0 0 442.476 -0.383 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H](OCCO)C3)cn2)C[C@@H](C)O1 ZINC000475244679 1072600433 /nfs/dbraw/zinc/60/04/33/1072600433.db2.gz HRCZQGUGPUICLM-BFYDXBDKSA-N 0 0 437.497 -0.543 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H](OCCO)C3)cn2)C[C@H](C)O1 ZINC000475244684 1072600513 /nfs/dbraw/zinc/60/05/13/1072600513.db2.gz HRCZQGUGPUICLM-HLLBOEOZSA-N 0 0 437.497 -0.543 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H](OCCO)C3)cn2)C[C@@H](C)O1 ZINC000475244686 1072600501 /nfs/dbraw/zinc/60/05/01/1072600501.db2.gz HRCZQGUGPUICLM-INMHGKMJSA-N 0 0 437.497 -0.543 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H](OCCO)C3)cn2)C[C@H](C)O1 ZINC000475244687 1072600549 /nfs/dbraw/zinc/60/05/49/1072600549.db2.gz HRCZQGUGPUICLM-UXLLHSPISA-N 0 0 437.497 -0.543 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCSCC3)cn2)C[C@H](C)O1 ZINC000475244774 1072600401 /nfs/dbraw/zinc/60/04/01/1072600401.db2.gz IBLOZCQISVXBHO-GASCZTMLSA-N 0 0 438.554 -0.378 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCSCC3)cn2)C[C@H](C)O1 ZINC000475244778 1072600472 /nfs/dbraw/zinc/60/04/72/1072600472.db2.gz IBLOZCQISVXBHO-GJZGRUSLSA-N 0 0 438.554 -0.378 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCSCC3)cn2)C[C@@H](C)O1 ZINC000475244779 1072600530 /nfs/dbraw/zinc/60/05/30/1072600530.db2.gz IBLOZCQISVXBHO-HUUCEWRRSA-N 0 0 438.554 -0.378 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cc1C(N)=O ZINC000475245692 1072600451 /nfs/dbraw/zinc/60/04/51/1072600451.db2.gz JQWMTSYGHCSQFR-UHFFFAOYSA-N 0 0 446.508 -0.279 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCc2ccc3c(c2)CCO3)cn1)N1CCOCC1 ZINC000475245955 1072600409 /nfs/dbraw/zinc/60/04/09/1072600409.db2.gz KDUMHGYDUUCZMK-UHFFFAOYSA-N 0 0 427.461 -0.026 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)cn1 ZINC000475246279 1072600522 /nfs/dbraw/zinc/60/05/22/1072600522.db2.gz LUUDKJLLLOLBIU-KRWDZBQOSA-N 0 0 442.476 -0.499 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)cn1 ZINC000475246281 1072600486 /nfs/dbraw/zinc/60/04/86/1072600486.db2.gz LUUDKJLLLOLBIU-QGZVFWFLSA-N 0 0 442.476 -0.499 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCn2cnc3ccccc32)cn1)N1CCOCC1 ZINC000475248094 1072601192 /nfs/dbraw/zinc/60/11/92/1072601192.db2.gz QDFCHXFKVXMAHZ-UHFFFAOYSA-N 0 0 425.449 -0.154 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(CC(F)F)CC3)cn2)C[C@H](C)O1 ZINC000475248367 1072600424 /nfs/dbraw/zinc/60/04/24/1072600424.db2.gz SFACFFDHLRDODL-KBPBESRZSA-N 0 0 442.467 -0.133 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(CC(F)F)CC3)cn2)C[C@H](C)O1 ZINC000475248368 1072600416 /nfs/dbraw/zinc/60/04/16/1072600416.db2.gz SFACFFDHLRDODL-OKILXGFUSA-N 0 0 442.467 -0.133 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(CC(F)F)CC3)cn2)C[C@@H](C)O1 ZINC000475248369 1072601348 /nfs/dbraw/zinc/60/13/48/1072601348.db2.gz SFACFFDHLRDODL-ZIAGYGMSSA-N 0 0 442.467 -0.133 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2=O)cn1 ZINC000475249422 1072601390 /nfs/dbraw/zinc/60/13/90/1072601390.db2.gz UXUWVSRGYCBENQ-KRWDZBQOSA-N 0 0 442.476 -0.104 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2=O)cn1 ZINC000475249425 1072601205 /nfs/dbraw/zinc/60/12/05/1072601205.db2.gz UXUWVSRGYCBENQ-QGZVFWFLSA-N 0 0 442.476 -0.104 20 0 IBADRN Cc1cccc2nc(CNC(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)cn21 ZINC000475249469 1072601221 /nfs/dbraw/zinc/60/12/21/1072601221.db2.gz VNSWVLQULUBDQG-UHFFFAOYSA-N 0 0 425.449 -0.047 20 0 IBADRN CCCCNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475250147 1072601404 /nfs/dbraw/zinc/60/14/04/1072601404.db2.gz RLYVBRPPVPGARJ-INIZCTEOSA-N 0 0 448.524 -0.165 20 0 IBADRN CCCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475250148 1072601378 /nfs/dbraw/zinc/60/13/78/1072601378.db2.gz RLYVBRPPVPGARJ-MRXNPFEDSA-N 0 0 448.524 -0.165 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCC(=O)NC(C)(C)C)cn2)C[C@H](C)O1 ZINC000475250478 1072601316 /nfs/dbraw/zinc/60/13/16/1072601316.db2.gz ZPPIOSYUOCCINB-KBPBESRZSA-N 0 0 436.513 -0.122 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCC(=O)NC(C)(C)C)cn2)C[C@H](C)O1 ZINC000475250479 1072601367 /nfs/dbraw/zinc/60/13/67/1072601367.db2.gz ZPPIOSYUOCCINB-OKILXGFUSA-N 0 0 436.513 -0.122 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCC(=O)NC(C)(C)C)cn2)C[C@@H](C)O1 ZINC000475250480 1072601182 /nfs/dbraw/zinc/60/11/82/1072601182.db2.gz ZPPIOSYUOCCINB-ZIAGYGMSSA-N 0 0 436.513 -0.122 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCn2c(=O)oc3ccccc32)cn1)N1CCOCC1 ZINC000475252035 1072598831 /nfs/dbraw/zinc/59/88/31/1072598831.db2.gz FLJBGQOIUPBNNO-UHFFFAOYSA-N 0 0 442.432 -0.595 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000475252203 1072598872 /nfs/dbraw/zinc/59/88/72/1072598872.db2.gz HDVISLNOAQBQIN-UHFFFAOYSA-N 0 0 442.476 -0.465 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)Nc3cccnc3)cn2)C[C@H](C)O1 ZINC000475252488 1072598853 /nfs/dbraw/zinc/59/88/53/1072598853.db2.gz ILJPUAAUXJPLOO-KBPBESRZSA-N 0 0 443.464 -0.393 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)Nc3cccnc3)cn2)C[C@H](C)O1 ZINC000475252489 1072598840 /nfs/dbraw/zinc/59/88/40/1072598840.db2.gz ILJPUAAUXJPLOO-OKILXGFUSA-N 0 0 443.464 -0.393 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)Nc3cccnc3)cn2)C[C@@H](C)O1 ZINC000475252490 1072598804 /nfs/dbraw/zinc/59/88/04/1072598804.db2.gz ILJPUAAUXJPLOO-ZIAGYGMSSA-N 0 0 443.464 -0.393 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)N1CCc2ccccc21 ZINC000475252569 1072598917 /nfs/dbraw/zinc/59/89/17/1072598917.db2.gz JLSGRHYJAVHOBY-UHFFFAOYSA-N 0 0 440.460 -0.614 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCC(=O)N(C)C)CC2)cc1 ZINC000475252916 1072598933 /nfs/dbraw/zinc/59/89/33/1072598933.db2.gz LGVFPGXXXCOROX-UHFFFAOYSA-N 0 0 440.522 -0.487 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475253139 1072598751 /nfs/dbraw/zinc/59/87/51/1072598751.db2.gz FMWODNXBYAQMLM-BIENJYKASA-N 0 0 425.486 -0.639 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475253141 1072598972 /nfs/dbraw/zinc/59/89/72/1072598972.db2.gz FMWODNXBYAQMLM-KSMMKXTCSA-N 0 0 425.486 -0.639 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475253144 1072598908 /nfs/dbraw/zinc/59/89/08/1072598908.db2.gz FMWODNXBYAQMLM-LPMFXHHGSA-N 0 0 425.486 -0.639 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475253147 1072598957 /nfs/dbraw/zinc/59/89/57/1072598957.db2.gz FMWODNXBYAQMLM-NJSLBKSFSA-N 0 0 425.486 -0.639 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2C[C@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000475253422 1072598886 /nfs/dbraw/zinc/59/88/86/1072598886.db2.gz GLZHOWSAXNTPFE-BHYGNILZSA-N 0 0 427.523 -0.230 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)Nc1ccccc1 ZINC000475253688 1072598775 /nfs/dbraw/zinc/59/87/75/1072598775.db2.gz DBQINWSIPQNATG-AWEZNQCLSA-N 0 0 428.449 -0.176 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)Nc1ccccc1 ZINC000475253689 1072598784 /nfs/dbraw/zinc/59/87/84/1072598784.db2.gz DBQINWSIPQNATG-CQSZACIVSA-N 0 0 428.449 -0.176 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNc3cnccn3)cn2)C[C@H](C)O1 ZINC000475254415 1074351587 /nfs/dbraw/zinc/35/15/87/1074351587.db2.gz JFAZJSVCDKFANU-KBPBESRZSA-N 0 0 430.469 -0.524 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNc3cnccn3)cn2)C[C@H](C)O1 ZINC000475254416 1074351779 /nfs/dbraw/zinc/35/17/79/1074351779.db2.gz JFAZJSVCDKFANU-OKILXGFUSA-N 0 0 430.469 -0.524 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCNc3cnccn3)cn2)C[C@@H](C)O1 ZINC000475254417 1074351729 /nfs/dbraw/zinc/35/17/29/1074351729.db2.gz JFAZJSVCDKFANU-ZIAGYGMSSA-N 0 0 430.469 -0.524 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cnn(CC(F)(F)F)c1 ZINC000475254486 1072598820 /nfs/dbraw/zinc/59/88/20/1072598820.db2.gz HAVUSDJROGYVNJ-UHFFFAOYSA-N 0 0 429.446 -0.121 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)cc1C(N)=O ZINC000475254874 1072599442 /nfs/dbraw/zinc/59/94/42/1072599442.db2.gz LDTMSGOMVXSJJK-UHFFFAOYSA-N 0 0 426.433 -0.425 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1=O ZINC000475254980 1072599309 /nfs/dbraw/zinc/59/93/09/1072599309.db2.gz MMTDYUKKHDJJQQ-FMKPAKJESA-N 0 0 434.497 -0.417 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1=O ZINC000475254981 1072599322 /nfs/dbraw/zinc/59/93/22/1072599322.db2.gz MMTDYUKKHDJJQQ-IIAWOOMASA-N 0 0 434.497 -0.417 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1=O ZINC000475254982 1072599247 /nfs/dbraw/zinc/59/92/47/1072599247.db2.gz MMTDYUKKHDJJQQ-IJEWVQPXSA-N 0 0 434.497 -0.417 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1=O ZINC000475254983 1072599336 /nfs/dbraw/zinc/59/93/36/1072599336.db2.gz MMTDYUKKHDJJQQ-LZWOXQAQSA-N 0 0 434.497 -0.417 20 0 IBADRN CCCN(C(=O)CN1C[C@H](O)C[C@H]1CO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000475255432 1072599274 /nfs/dbraw/zinc/59/92/74/1072599274.db2.gz NQSYXZWGXJTFSZ-JKSUJKDBSA-N 0 0 431.493 -0.250 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC(=O)N2CCc3ccccc32)cn1 ZINC000475255687 1072599430 /nfs/dbraw/zinc/59/94/30/1072599430.db2.gz KXJTVIUSZBSDHK-UHFFFAOYSA-N 0 0 428.449 -0.710 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)Nc1ccccc1 ZINC000475255701 1072599402 /nfs/dbraw/zinc/59/94/02/1072599402.db2.gz CEUOUPXMTNSMIG-UHFFFAOYSA-N 0 0 428.449 -0.175 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCSCCCO)cn2)C[C@H](C)O1 ZINC000475256037 1072599416 /nfs/dbraw/zinc/59/94/16/1072599416.db2.gz VNDRQLSYOZYPPT-KBPBESRZSA-N 0 0 427.527 -0.311 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCSCCCO)cn2)C[C@H](C)O1 ZINC000475256038 1072599301 /nfs/dbraw/zinc/59/93/01/1072599301.db2.gz VNDRQLSYOZYPPT-OKILXGFUSA-N 0 0 427.527 -0.311 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCSCCCO)cn2)C[C@@H](C)O1 ZINC000475256039 1072599256 /nfs/dbraw/zinc/59/92/56/1072599256.db2.gz VNDRQLSYOZYPPT-ZIAGYGMSSA-N 0 0 427.527 -0.311 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475256205 1072599357 /nfs/dbraw/zinc/59/93/57/1072599357.db2.gz MBWQKHVAYOHFAW-BETUJISGSA-N 0 0 441.510 -0.520 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475256206 1072599393 /nfs/dbraw/zinc/59/93/93/1072599393.db2.gz MBWQKHVAYOHFAW-CHWSQXEVSA-N 0 0 441.510 -0.520 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475256207 1072599314 /nfs/dbraw/zinc/59/93/14/1072599314.db2.gz MBWQKHVAYOHFAW-STQMWFEESA-N 0 0 441.510 -0.520 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475256267 1072599261 /nfs/dbraw/zinc/59/92/61/1072599261.db2.gz MUYDRENKHJVAEM-CVEARBPZSA-N 0 0 448.524 -0.120 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475256268 1072599457 /nfs/dbraw/zinc/59/94/57/1072599457.db2.gz MUYDRENKHJVAEM-HOTGVXAUSA-N 0 0 448.524 -0.120 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475256269 1072599369 /nfs/dbraw/zinc/59/93/69/1072599369.db2.gz MUYDRENKHJVAEM-HZPDHXFCSA-N 0 0 448.524 -0.120 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475256270 1072599238 /nfs/dbraw/zinc/59/92/38/1072599238.db2.gz MUYDRENKHJVAEM-JKSUJKDBSA-N 0 0 448.524 -0.120 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCCn2c(=O)oc3ccccc32)cn1 ZINC000475256773 1072599897 /nfs/dbraw/zinc/59/98/97/1072599897.db2.gz XPCMGCYGKKRSHZ-UHFFFAOYSA-N 0 0 430.421 -0.692 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)cc1C(N)=O ZINC000475257293 1072599796 /nfs/dbraw/zinc/59/97/96/1072599796.db2.gz YFCPQTVCVANRDW-AWEZNQCLSA-N 0 0 441.492 -0.151 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)cc1C(N)=O ZINC000475257296 1072599862 /nfs/dbraw/zinc/59/98/62/1072599862.db2.gz YFCPQTVCVANRDW-CQSZACIVSA-N 0 0 441.492 -0.151 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3cccc(OC)c3)C2)cn1 ZINC000475257664 1072599342 /nfs/dbraw/zinc/59/93/42/1072599342.db2.gz ZOOLGVYHKUNBNL-HNNXBMFYSA-N 0 0 444.492 -0.012 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cccc(OC)c3)C2)cn1 ZINC000475257666 1072599883 /nfs/dbraw/zinc/59/98/83/1072599883.db2.gz ZOOLGVYHKUNBNL-OAHLLOKOSA-N 0 0 444.492 -0.012 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2C[C@H](O)C[C@H]2CO)c(=O)[nH]c1=O ZINC000475257759 1072599958 /nfs/dbraw/zinc/59/99/58/1072599958.db2.gz SDBFWWFWIPTMSA-MELADBBJSA-N 0 0 427.502 -0.873 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cc1C(N)=O ZINC000475258338 1072599807 /nfs/dbraw/zinc/59/98/07/1072599807.db2.gz UKKZMMFMJBFIPG-UHFFFAOYSA-N 0 0 440.460 -0.407 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCC[C@@H](C(=O)NC(C)C)C2)cn1 ZINC000475258353 1072599834 /nfs/dbraw/zinc/59/98/34/1072599834.db2.gz PWQXKAZPMXEVHF-CABCVRRESA-N 0 0 436.513 -0.216 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCC[C@H](C(=O)NC(C)C)C2)cn1 ZINC000475258354 1072599852 /nfs/dbraw/zinc/59/98/52/1072599852.db2.gz PWQXKAZPMXEVHF-GJZGRUSLSA-N 0 0 436.513 -0.216 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCC[C@@H](C(=O)NC(C)C)C2)cn1 ZINC000475258355 1072599791 /nfs/dbraw/zinc/59/97/91/1072599791.db2.gz PWQXKAZPMXEVHF-HUUCEWRRSA-N 0 0 436.513 -0.216 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCC[C@H](C(=O)NC(C)C)C2)cn1 ZINC000475258356 1072599776 /nfs/dbraw/zinc/59/97/76/1072599776.db2.gz PWQXKAZPMXEVHF-LSDHHAIUSA-N 0 0 436.513 -0.216 20 0 IBADRN CN(C)C(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000475258418 1072599785 /nfs/dbraw/zinc/59/97/85/1072599785.db2.gz QSRONDLBCXZZRB-UHFFFAOYSA-N 0 0 428.486 -0.357 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H](CCC(N)=O)C3)cn2)C[C@@H](C)O1 ZINC000475258695 1072599906 /nfs/dbraw/zinc/59/99/06/1072599906.db2.gz UPDHECAFRSYODR-BZUAXINKSA-N 0 0 448.524 -0.039 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H](CCC(N)=O)C3)cn2)C[C@@H](C)O1 ZINC000475258697 1072599939 /nfs/dbraw/zinc/59/99/39/1072599939.db2.gz UPDHECAFRSYODR-OAGGEKHMSA-N 0 0 448.524 -0.039 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H](CCC(N)=O)C3)cn2)C[C@H](C)O1 ZINC000475258698 1072599916 /nfs/dbraw/zinc/59/99/16/1072599916.db2.gz UPDHECAFRSYODR-OWCLPIDISA-N 0 0 448.524 -0.039 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H](CCC(N)=O)C3)cn2)C[C@H](C)O1 ZINC000475258699 1072599950 /nfs/dbraw/zinc/59/99/50/1072599950.db2.gz UPDHECAFRSYODR-XHSDSOJGSA-N 0 0 448.524 -0.039 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCc3cnn(C)c3)cn2)C[C@H](C)O1 ZINC000475259132 1072600560 /nfs/dbraw/zinc/60/05/60/1072600560.db2.gz YWNCQJYLGUBWEO-GASCZTMLSA-N 0 0 431.497 -0.060 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCc3cnn(C)c3)cn2)C[C@H](C)O1 ZINC000475259133 1072600545 /nfs/dbraw/zinc/60/05/45/1072600545.db2.gz YWNCQJYLGUBWEO-GJZGRUSLSA-N 0 0 431.497 -0.060 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCc3cnn(C)c3)cn2)C[C@@H](C)O1 ZINC000475259134 1072600443 /nfs/dbraw/zinc/60/04/43/1072600443.db2.gz YWNCQJYLGUBWEO-HUUCEWRRSA-N 0 0 431.497 -0.060 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC[C@H](O)C(F)(F)F)cn2)C[C@H](C)O1 ZINC000475259151 1072600492 /nfs/dbraw/zinc/60/04/92/1072600492.db2.gz ZEHWPTLRARSCDL-LOWVWBTDSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC[C@@H](O)C(F)(F)F)cn2)C[C@@H](C)O1 ZINC000475259452 1072599812 /nfs/dbraw/zinc/59/98/12/1072599812.db2.gz ZEHWPTLRARSCDL-NQBHXWOUSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC[C@@H](O)C(F)(F)F)cn2)C[C@H](C)O1 ZINC000475259453 1072599828 /nfs/dbraw/zinc/59/98/28/1072599828.db2.gz ZEHWPTLRARSCDL-NTZNESFSSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC[C@H](O)C(F)(F)F)cn2)C[C@@H](C)O1 ZINC000475259454 1072599841 /nfs/dbraw/zinc/59/98/41/1072599841.db2.gz ZEHWPTLRARSCDL-WZRBSPASSA-N 0 0 435.403 -0.113 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475259530 1072599928 /nfs/dbraw/zinc/59/99/28/1072599928.db2.gz SLOCITMZPXNDLP-QLFBSQMISA-N 0 0 434.497 -0.558 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000475259531 1072600429 /nfs/dbraw/zinc/60/04/29/1072600429.db2.gz SLOCITMZPXNDLP-RBSFLKMASA-N 0 0 434.497 -0.558 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000475259532 1072600554 /nfs/dbraw/zinc/60/05/54/1072600554.db2.gz SLOCITMZPXNDLP-SOUVJXGZSA-N 0 0 434.497 -0.558 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475259533 1072600508 /nfs/dbraw/zinc/60/05/08/1072600508.db2.gz SLOCITMZPXNDLP-ZNMIVQPWSA-N 0 0 434.497 -0.558 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)[C@H](C)[C@H]3C)cn2)C[C@@H](C)O1 ZINC000475259753 1072602965 /nfs/dbraw/zinc/60/29/65/1072602965.db2.gz XYETYOWXZAHXCS-AMIHDKNHSA-N 0 0 439.538 -0.175 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)[C@H](C)[C@H]3C)cn2)C[C@H](C)O1 ZINC000475259754 1072603019 /nfs/dbraw/zinc/60/30/19/1072603019.db2.gz XYETYOWXZAHXCS-IMBQSUTHSA-N 0 0 439.538 -0.175 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)[C@H](C)[C@@H]3C)cn2)C[C@@H](C)O1 ZINC000475259755 1072602835 /nfs/dbraw/zinc/60/28/35/1072602835.db2.gz XYETYOWXZAHXCS-PCNOKSQQSA-N 0 0 439.538 -0.175 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)[C@H](C)[C@@H]3C)cn2)C[C@H](C)O1 ZINC000475259756 1072602863 /nfs/dbraw/zinc/60/28/63/1072602863.db2.gz XYETYOWXZAHXCS-XEFXJZKASA-N 0 0 439.538 -0.175 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@@H](C)c3cccnc3)CC2)cn1 ZINC000475259958 1072602804 /nfs/dbraw/zinc/60/28/04/1072602804.db2.gz AMTXOYMMSUNYLP-INIZCTEOSA-N 0 0 443.508 -0.115 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@H](C)c3cccnc3)CC2)cn1 ZINC000475259959 1072602942 /nfs/dbraw/zinc/60/29/42/1072602942.db2.gz AMTXOYMMSUNYLP-MRXNPFEDSA-N 0 0 443.508 -0.115 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000475259971 1072602995 /nfs/dbraw/zinc/60/29/95/1072602995.db2.gz ARQFHPLDXJYHNK-UHFFFAOYSA-N 0 0 440.482 -0.105 20 0 IBADRN COC(=O)[C@@H]1CC12CCN(C(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)CC2 ZINC000475259985 1072602953 /nfs/dbraw/zinc/60/29/53/1072602953.db2.gz AUXQJOQOLGAUNI-HNNXBMFYSA-N 0 0 433.465 -0.518 20 0 IBADRN COC(=O)[C@H]1CC12CCN(C(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)CC2 ZINC000475259986 1072602773 /nfs/dbraw/zinc/60/27/73/1072602773.db2.gz AUXQJOQOLGAUNI-OAHLLOKOSA-N 0 0 433.465 -0.518 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000475260134 1072602319 /nfs/dbraw/zinc/60/23/19/1072602319.db2.gz ADOXSFIMIRBZAN-UHFFFAOYSA-N 0 0 430.469 -0.657 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cnn(CC(F)(F)F)c1 ZINC000475260236 1072602246 /nfs/dbraw/zinc/60/22/46/1072602246.db2.gz AZXQUNJPRSASML-GFCCVEGCSA-N 0 0 441.432 -0.011 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cnn(CC(F)(F)F)c1 ZINC000475260240 1072602484 /nfs/dbraw/zinc/60/24/84/1072602484.db2.gz AZXQUNJPRSASML-LBPRGKRZSA-N 0 0 441.432 -0.011 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCOC[C@@H]3C)cn2)C[C@@H](C)O1 ZINC000475260261 1072602259 /nfs/dbraw/zinc/60/22/59/1072602259.db2.gz PZYSGVLDYCPIJE-ARFHVFGLSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCOC[C@H]3C)cn2)C[C@@H](C)O1 ZINC000475260262 1072602383 /nfs/dbraw/zinc/60/23/83/1072602383.db2.gz PZYSGVLDYCPIJE-BZUAXINKSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCOC[C@@H]3C)cn2)C[C@H](C)O1 ZINC000475260263 1072603032 /nfs/dbraw/zinc/60/30/32/1072603032.db2.gz PZYSGVLDYCPIJE-HRCADAONSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCOC[C@H]3C)cn2)C[C@H](C)O1 ZINC000475260264 1072603001 /nfs/dbraw/zinc/60/30/01/1072603001.db2.gz PZYSGVLDYCPIJE-OAGGEKHMSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3(C(N)=O)CCCC3)cn2)C[C@H](C)O1 ZINC000475260383 1072602280 /nfs/dbraw/zinc/60/22/80/1072602280.db2.gz LZQJLSMYUYZQII-KBPBESRZSA-N 0 0 434.497 -0.381 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3(C(N)=O)CCCC3)cn2)C[C@H](C)O1 ZINC000475260388 1072602412 /nfs/dbraw/zinc/60/24/12/1072602412.db2.gz LZQJLSMYUYZQII-OKILXGFUSA-N 0 0 434.497 -0.381 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC3(C(N)=O)CCCC3)cn2)C[C@@H](C)O1 ZINC000475260390 1072602471 /nfs/dbraw/zinc/60/24/71/1072602471.db2.gz LZQJLSMYUYZQII-ZIAGYGMSSA-N 0 0 434.497 -0.381 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCC2(S(C)(=O)=O)CC2)c1 ZINC000475260468 1072602351 /nfs/dbraw/zinc/60/23/51/1072602351.db2.gz MGYYOURVJFUKPH-LLVKDONJSA-N 0 0 432.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCC2(S(C)(=O)=O)CC2)c1 ZINC000475260469 1072602478 /nfs/dbraw/zinc/60/24/78/1072602478.db2.gz MGYYOURVJFUKPH-NSHDSACASA-N 0 0 432.524 -0.202 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)cc1C(N)=O ZINC000475260511 1072602293 /nfs/dbraw/zinc/60/22/93/1072602293.db2.gz MSUYOABOLXDZPD-UHFFFAOYSA-N 0 0 446.508 -0.470 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)cn1 ZINC000475261303 1072603011 /nfs/dbraw/zinc/60/30/11/1072603011.db2.gz DGYOSMNPWAEYAZ-INIZCTEOSA-N 0 0 428.449 -0.494 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)cn1 ZINC000475261305 1072602850 /nfs/dbraw/zinc/60/28/50/1072602850.db2.gz DGYOSMNPWAEYAZ-MRXNPFEDSA-N 0 0 428.449 -0.494 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc1C(N)=O ZINC000475261354 1072602826 /nfs/dbraw/zinc/60/28/26/1072602826.db2.gz OWSFASIEDBLXQW-UHFFFAOYSA-N 0 0 432.481 -0.812 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)cc1C(N)=O ZINC000475262690 1072602875 /nfs/dbraw/zinc/60/28/75/1072602875.db2.gz SBQAXWIPGRTFKA-CYBMUJFWSA-N 0 0 446.508 -0.281 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)cc1C(N)=O ZINC000475262691 1072602815 /nfs/dbraw/zinc/60/28/15/1072602815.db2.gz SBQAXWIPGRTFKA-ZDUSSCGKSA-N 0 0 446.508 -0.281 20 0 IBADRN O=C(CC1CCCC1)NCCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475262971 1072601420 /nfs/dbraw/zinc/60/14/20/1072601420.db2.gz YATUEZYNQNRILV-UHFFFAOYSA-N 0 0 434.497 -0.507 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)cc1C(N)=O ZINC000475263088 1072603510 /nfs/dbraw/zinc/60/35/10/1072603510.db2.gz IFRUSETUAFPNAY-UHFFFAOYSA-N 0 0 436.446 -0.274 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCCCC3=O)cn2)C[C@H](C)O1 ZINC000475263264 1072603498 /nfs/dbraw/zinc/60/34/98/1072603498.db2.gz UMUXLHFBXUUTKO-HOTGVXAUSA-N 0 0 448.524 -0.024 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCCCC3=O)cn2)C[C@@H](C)O1 ZINC000475263265 1072603459 /nfs/dbraw/zinc/60/34/59/1072603459.db2.gz UMUXLHFBXUUTKO-HZPDHXFCSA-N 0 0 448.524 -0.024 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCCCC3=O)cn2)C[C@H](C)O1 ZINC000475263267 1072603401 /nfs/dbraw/zinc/60/34/01/1072603401.db2.gz UMUXLHFBXUUTKO-IYBDPMFKSA-N 0 0 448.524 -0.024 20 0 IBADRN O=C(CN1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1)N1CCOCC1 ZINC000475264050 1072601292 /nfs/dbraw/zinc/60/12/92/1072601292.db2.gz DTUPEZACLDAHGF-UHFFFAOYSA-N 0 0 443.570 -0.871 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)cn1)N1CCOCC1 ZINC000475264130 1072601276 /nfs/dbraw/zinc/60/12/76/1072601276.db2.gz KDVXOLQGPDDKGM-KRWDZBQOSA-N 0 0 440.460 -0.398 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)cn1)N1CCOCC1 ZINC000475264131 1072601237 /nfs/dbraw/zinc/60/12/37/1072601237.db2.gz KDVXOLQGPDDKGM-QGZVFWFLSA-N 0 0 440.460 -0.398 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCCN(Cc3cscn3)CC2)cn1 ZINC000475264323 1072601412 /nfs/dbraw/zinc/60/14/12/1072601412.db2.gz LOXXMTWDJGCYAB-UHFFFAOYSA-N 0 0 449.537 -0.225 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1=O ZINC000475264699 1072601918 /nfs/dbraw/zinc/60/19/18/1072601918.db2.gz GLSKFFFDXYOKLY-KRWDZBQOSA-N 0 0 445.520 -0.014 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1=O ZINC000475264725 1072601761 /nfs/dbraw/zinc/60/17/61/1072601761.db2.gz GLSKFFFDXYOKLY-QGZVFWFLSA-N 0 0 445.520 -0.014 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)cc1C(N)=O ZINC000475264843 1072601857 /nfs/dbraw/zinc/60/18/57/1072601857.db2.gz NAZMTOXAYVBHLF-UHFFFAOYSA-N 0 0 427.417 -0.182 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCN2C(=O)Cc3ccccc32)cn1)N1CCOCC1 ZINC000475265082 1072601360 /nfs/dbraw/zinc/60/13/60/1072601360.db2.gz LOMYZIFZZRDQND-UHFFFAOYSA-N 0 0 440.460 -0.614 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CCCC1 ZINC000475265201 1072601430 /nfs/dbraw/zinc/60/14/30/1072601430.db2.gz OLOHXCJLIKFNGZ-UHFFFAOYSA-N 0 0 434.497 -0.555 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)c1 ZINC000475266192 1072601869 /nfs/dbraw/zinc/60/18/69/1072601869.db2.gz QVCFJHDDPFKBCA-JTQLQIEISA-N 0 0 435.462 -0.087 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)c1 ZINC000475266193 1072601851 /nfs/dbraw/zinc/60/18/51/1072601851.db2.gz QVCFJHDDPFKBCA-SNVBAGLBSA-N 0 0 435.462 -0.087 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000475266372 1072601742 /nfs/dbraw/zinc/60/17/42/1072601742.db2.gz QZXGITBTXGLFKJ-UHFFFAOYSA-N 0 0 449.489 -0.245 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC(=O)N2CCCc3ccccc32)cn1 ZINC000475267376 1072601796 /nfs/dbraw/zinc/60/17/96/1072601796.db2.gz VRCRLCILRIPXPO-UHFFFAOYSA-N 0 0 442.476 -0.320 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)cn1 ZINC000475267410 1072601788 /nfs/dbraw/zinc/60/17/88/1072601788.db2.gz WEXCKUOFENDOKW-HNNXBMFYSA-N 0 0 446.439 -0.355 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)cn1 ZINC000475267411 1072601751 /nfs/dbraw/zinc/60/17/51/1072601751.db2.gz WEXCKUOFENDOKW-OAHLLOKOSA-N 0 0 446.439 -0.355 20 0 IBADRN CCCC(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475267485 1072601732 /nfs/dbraw/zinc/60/17/32/1072601732.db2.gz SILMMMCIBWERKN-INIZCTEOSA-N 0 0 448.524 -0.165 20 0 IBADRN CCCC(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475267486 1072601805 /nfs/dbraw/zinc/60/18/05/1072601805.db2.gz SILMMMCIBWERKN-MRXNPFEDSA-N 0 0 448.524 -0.165 20 0 IBADRN O=C(N[C@H]1CC[S@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000475267760 1072601913 /nfs/dbraw/zinc/60/19/13/1072601913.db2.gz ULMVLWHZYBEBKV-CEXHIMGSSA-N 0 0 426.520 -0.282 20 0 IBADRN O=C(N[C@H]1CC[S@@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000475267761 1072601906 /nfs/dbraw/zinc/60/19/06/1072601906.db2.gz ULMVLWHZYBEBKV-JGTBNHKDSA-N 0 0 426.520 -0.282 20 0 IBADRN O=C(N[C@@H]1CC[S@@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000475267762 1072601849 /nfs/dbraw/zinc/60/18/49/1072601849.db2.gz ULMVLWHZYBEBKV-PFUSGODGSA-N 0 0 426.520 -0.282 20 0 IBADRN O=C(N[C@@H]1CC[S@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000475267763 1072602406 /nfs/dbraw/zinc/60/24/06/1072602406.db2.gz ULMVLWHZYBEBKV-QWVSSGJLSA-N 0 0 426.520 -0.282 20 0 IBADRN C[C@@H]1CN(C(=O)CCSc2nnnn2C)CCN1C(=O)CCSc1nnnn1C ZINC000475268177 1072601925 /nfs/dbraw/zinc/60/19/25/1072601925.db2.gz WQTMFECHDWFKOY-LLVKDONJSA-N 0 0 440.559 -0.543 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(CCOC)C3)[C@H](C)C2)CC1=O ZINC000475269240 1072602373 /nfs/dbraw/zinc/60/23/73/1072602373.db2.gz KYICGEMEUXSLPH-BRWVUGGUSA-N 0 0 438.525 -0.965 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CCOC)C3)[C@H](C)C2)CC1=O ZINC000475269246 1072602422 /nfs/dbraw/zinc/60/24/22/1072602422.db2.gz KYICGEMEUXSLPH-IKGGRYGDSA-N 0 0 438.525 -0.965 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CCOC)C3)C[C@H]2C)CC1=O ZINC000475269248 1072602361 /nfs/dbraw/zinc/60/23/61/1072602361.db2.gz KYICGEMEUXSLPH-IXDOHACOSA-N 0 0 438.525 -0.965 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CCOC)C3)[C@H](C)C2)CC1=O ZINC000475269251 1072602268 /nfs/dbraw/zinc/60/22/68/1072602268.db2.gz KYICGEMEUXSLPH-ZACQAIPSSA-N 0 0 438.525 -0.965 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475269698 1072603348 /nfs/dbraw/zinc/60/33/48/1072603348.db2.gz MTNQDIPXVHCYFW-INIZCTEOSA-N 0 0 438.506 -0.181 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475269700 1072603521 /nfs/dbraw/zinc/60/35/21/1072603521.db2.gz MTNQDIPXVHCYFW-MRXNPFEDSA-N 0 0 438.506 -0.181 20 0 IBADRN COCC(=O)N[C@H](C(=O)N1CCN(C(=O)[C@@H](NC(=O)COC)C(C)C)[C@H](C)C1)C(C)C ZINC000475270499 1072603334 /nfs/dbraw/zinc/60/33/34/1072603334.db2.gz REUHNRGTUZDFGV-MNEFBYGVSA-N 0 0 442.557 -0.380 20 0 IBADRN O=C(N[C@@H]1CC[S@@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000475271760 1072603311 /nfs/dbraw/zinc/60/33/11/1072603311.db2.gz WKOFUROSZXORLP-BODITIBLSA-N 0 0 442.519 -0.446 20 0 IBADRN O=C(N[C@H]1CC[S@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000475271761 1072603412 /nfs/dbraw/zinc/60/34/12/1072603412.db2.gz WKOFUROSZXORLP-JNFKXCBWSA-N 0 0 442.519 -0.446 20 0 IBADRN O=C(N[C@H]1CC[S@@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000475271762 1072604154 /nfs/dbraw/zinc/60/41/54/1072604154.db2.gz WKOFUROSZXORLP-PSBQPLHCSA-N 0 0 442.519 -0.446 20 0 IBADRN O=C(N[C@@H]1CC[S@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000475271763 1072603912 /nfs/dbraw/zinc/60/39/12/1072603912.db2.gz WKOFUROSZXORLP-YASQENCXSA-N 0 0 442.519 -0.446 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000475272484 1072604091 /nfs/dbraw/zinc/60/40/91/1072604091.db2.gz BYPSZXJCELTIMY-INIZCTEOSA-N 0 0 433.513 -0.980 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000475272485 1072604064 /nfs/dbraw/zinc/60/40/64/1072604064.db2.gz BYPSZXJCELTIMY-MRXNPFEDSA-N 0 0 433.513 -0.980 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)nn2)cc1 ZINC000475275199 1072603538 /nfs/dbraw/zinc/60/35/38/1072603538.db2.gz AFZCGDUVDSRBBW-UHFFFAOYSA-N 0 0 443.508 -0.038 20 0 IBADRN O=C(N[C@H]1CC[S@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000475279268 1072603468 /nfs/dbraw/zinc/60/34/68/1072603468.db2.gz XZPGSMIEDVDSPL-CEXHIMGSSA-N 0 0 429.520 -0.005 20 0 IBADRN O=C(N[C@H]1CC[S@@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000475279269 1072603372 /nfs/dbraw/zinc/60/33/72/1072603372.db2.gz XZPGSMIEDVDSPL-JGTBNHKDSA-N 0 0 429.520 -0.005 20 0 IBADRN O=C(N[C@@H]1CC[S@@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000475279270 1072603491 /nfs/dbraw/zinc/60/34/91/1072603491.db2.gz XZPGSMIEDVDSPL-PFUSGODGSA-N 0 0 429.520 -0.005 20 0 IBADRN O=C(N[C@@H]1CC[S@](=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000475279271 1072603323 /nfs/dbraw/zinc/60/33/23/1072603323.db2.gz XZPGSMIEDVDSPL-QWVSSGJLSA-N 0 0 429.520 -0.005 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)o3)CC2)C[C@H](C)O1 ZINC000475280657 1072603420 /nfs/dbraw/zinc/60/34/20/1072603420.db2.gz QZOIODPRKZKANB-BETUJISGSA-N 0 0 429.499 -0.440 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)o3)CC2)C[C@@H](C)O1 ZINC000475280739 1072603830 /nfs/dbraw/zinc/60/38/30/1072603830.db2.gz QZOIODPRKZKANB-CHWSQXEVSA-N 0 0 429.499 -0.440 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)o3)CC2)C[C@H](C)O1 ZINC000475280741 1072604171 /nfs/dbraw/zinc/60/41/71/1072604171.db2.gz QZOIODPRKZKANB-STQMWFEESA-N 0 0 429.499 -0.440 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)CCO1 ZINC000475285494 1072604000 /nfs/dbraw/zinc/60/40/00/1072604000.db2.gz MMVGIJIXXBOCHJ-INIZCTEOSA-N 0 0 441.506 -0.044 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)CCO1 ZINC000475285686 1072603864 /nfs/dbraw/zinc/60/38/64/1072603864.db2.gz MMVGIJIXXBOCHJ-MRXNPFEDSA-N 0 0 441.506 -0.044 20 0 IBADRN Cn1[nH]cnc1=NC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000475290588 1072604040 /nfs/dbraw/zinc/60/40/40/1072604040.db2.gz IKWJHTNYUGBSDC-UHFFFAOYSA-N 0 0 433.494 -0.284 20 0 IBADRN CNC(=O)CC(=O)N(C)CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000475291212 1072603949 /nfs/dbraw/zinc/60/39/49/1072603949.db2.gz MLANRXONUGPLNK-UHFFFAOYSA-N 0 0 446.913 -0.106 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000475296396 1072604161 /nfs/dbraw/zinc/60/41/61/1072604161.db2.gz LPVRNGFEFYZYJH-GFCCVEGCSA-N 0 0 427.417 -0.109 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000475296401 1072603923 /nfs/dbraw/zinc/60/39/23/1072603923.db2.gz LPVRNGFEFYZYJH-LBPRGKRZSA-N 0 0 427.417 -0.109 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CCNC(=O)C1 ZINC000475298137 1072603846 /nfs/dbraw/zinc/60/38/46/1072603846.db2.gz BTANJFBCTFCMLE-GFCCVEGCSA-N 0 0 436.412 -0.424 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CCNC(=O)C1 ZINC000475298141 1072604081 /nfs/dbraw/zinc/60/40/81/1072604081.db2.gz BTANJFBCTFCMLE-LBPRGKRZSA-N 0 0 436.412 -0.424 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000475299542 1072603975 /nfs/dbraw/zinc/60/39/75/1072603975.db2.gz FSNXVTGKOPDIBR-AWEZNQCLSA-N 0 0 444.496 -0.221 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000475299563 1072604177 /nfs/dbraw/zinc/60/41/77/1072604177.db2.gz FSNXVTGKOPDIBR-CQSZACIVSA-N 0 0 444.496 -0.221 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCC2(S(C)(=O)=O)CCC2)c1 ZINC000475300077 1072604704 /nfs/dbraw/zinc/60/47/04/1072604704.db2.gz GDUFCPARCZVIPK-UHFFFAOYSA-N 0 0 432.524 -0.200 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475300182 1072604721 /nfs/dbraw/zinc/60/47/21/1072604721.db2.gz UQATUSXOCAYKEY-BBRMVZONSA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475300183 1072604614 /nfs/dbraw/zinc/60/46/14/1072604614.db2.gz UQATUSXOCAYKEY-CJNGLKHVSA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475300184 1072604562 /nfs/dbraw/zinc/60/45/62/1072604562.db2.gz UQATUSXOCAYKEY-CZUORRHYSA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475300185 1072604629 /nfs/dbraw/zinc/60/46/29/1072604629.db2.gz UQATUSXOCAYKEY-XJKSGUPXSA-N 0 0 441.506 -0.309 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475302864 1072604582 /nfs/dbraw/zinc/60/45/82/1072604582.db2.gz ZAXJGBMYQFSFMT-INIZCTEOSA-N 0 0 438.506 -0.181 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475302867 1072604642 /nfs/dbraw/zinc/60/46/42/1072604642.db2.gz ZAXJGBMYQFSFMT-MRXNPFEDSA-N 0 0 438.506 -0.181 20 0 IBADRN Cn1[nH]cnc1=NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000475302896 1072604680 /nfs/dbraw/zinc/60/46/80/1072604680.db2.gz NMIQQKOISIWFCS-UHFFFAOYSA-N 0 0 436.494 -0.653 20 0 IBADRN CCn1ncnc1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000475304712 1072604714 /nfs/dbraw/zinc/60/47/14/1072604714.db2.gz RDKDEADUVDEMJP-UHFFFAOYSA-N 0 0 449.493 -0.155 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cn(C)nc2OC)CC1 ZINC000475305005 1072604660 /nfs/dbraw/zinc/60/46/60/1072604660.db2.gz MOQIMBSLIPEWAS-UHFFFAOYSA-N 0 0 436.494 -0.376 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N=c1nc[nH]n1C)c(=O)n2Cc1ccccc1 ZINC000475305603 1072604687 /nfs/dbraw/zinc/60/46/87/1072604687.db2.gz WVCNONUDWHTHGY-UHFFFAOYSA-N 0 0 438.448 -0.757 20 0 IBADRN COC(=O)CN1CCN(c2cc(C)nc([C@H](C)N3CCN(CC(=O)OC)CC3)n2)CC1 ZINC000475319501 1072604698 /nfs/dbraw/zinc/60/46/98/1072604698.db2.gz SSRTVESECJGEPN-KRWDZBQOSA-N 0 0 434.541 -0.068 20 0 IBADRN COC(=O)CN1CCN(c2cc(C)nc([C@@H](C)N3CCN(CC(=O)OC)CC3)n2)CC1 ZINC000475319502 1072604670 /nfs/dbraw/zinc/60/46/70/1072604670.db2.gz SSRTVESECJGEPN-QGZVFWFLSA-N 0 0 434.541 -0.068 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000475342105 1072605154 /nfs/dbraw/zinc/60/51/54/1072605154.db2.gz HQYGFNCFPGGZHT-HNNXBMFYSA-N 0 0 440.522 -0.996 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000475342106 1072605168 /nfs/dbraw/zinc/60/51/68/1072605168.db2.gz HQYGFNCFPGGZHT-OAHLLOKOSA-N 0 0 440.522 -0.996 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000475344117 1072605050 /nfs/dbraw/zinc/60/50/50/1072605050.db2.gz BVTUTSRYMOLDKK-APWZRJJASA-N 0 0 449.504 -0.016 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000475344122 1072605209 /nfs/dbraw/zinc/60/52/09/1072605209.db2.gz BVTUTSRYMOLDKK-LPHOPBHVSA-N 0 0 449.504 -0.016 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000475344124 1072605176 /nfs/dbraw/zinc/60/51/76/1072605176.db2.gz BVTUTSRYMOLDKK-QFBILLFUSA-N 0 0 449.504 -0.016 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000475344126 1072605199 /nfs/dbraw/zinc/60/51/99/1072605199.db2.gz BVTUTSRYMOLDKK-VQIMIIECSA-N 0 0 449.504 -0.016 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000475346853 1072605784 /nfs/dbraw/zinc/60/57/84/1072605784.db2.gz DORSMJBODZSGFT-UHFFFAOYSA-N 0 0 428.559 -0.609 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cc1 ZINC000475347390 1072605697 /nfs/dbraw/zinc/60/56/97/1072605697.db2.gz AIYROJQPNYYUHF-CYBMUJFWSA-N 0 0 436.442 -0.156 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cc1 ZINC000475347392 1072605817 /nfs/dbraw/zinc/60/58/17/1072605817.db2.gz AIYROJQPNYYUHF-ZDUSSCGKSA-N 0 0 436.442 -0.156 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000475350363 1072605226 /nfs/dbraw/zinc/60/52/26/1072605226.db2.gz PGXSJQWRZKQQSI-NEPJUHHUSA-N 0 0 426.451 -0.628 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000475350374 1072605190 /nfs/dbraw/zinc/60/51/90/1072605190.db2.gz PGXSJQWRZKQQSI-NWDGAFQWSA-N 0 0 426.451 -0.628 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000475350376 1072605220 /nfs/dbraw/zinc/60/52/20/1072605220.db2.gz PGXSJQWRZKQQSI-RYUDHWBXSA-N 0 0 426.451 -0.628 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000475350377 1072605246 /nfs/dbraw/zinc/60/52/46/1072605246.db2.gz PGXSJQWRZKQQSI-VXGBXAGGSA-N 0 0 426.451 -0.628 20 0 IBADRN CC(C)OCCN1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000475356086 1073148515 /nfs/dbraw/zinc/14/85/15/1073148515.db2.gz YMBQDSXNUIZRNK-UHFFFAOYSA-N 0 0 425.574 -0.968 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000475356587 1072605801 /nfs/dbraw/zinc/60/58/01/1072605801.db2.gz UKZHTBLCLIEEGL-UHFFFAOYSA-N 0 0 447.579 -0.196 20 0 IBADRN CS(=O)(=O)N1CCCN(c2cc(N3CCCN(S(C)(=O)=O)CC3)ncn2)CC1 ZINC000475360913 1072605727 /nfs/dbraw/zinc/60/57/27/1072605727.db2.gz KOYGZVGWOJOONM-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000475362487 1072605684 /nfs/dbraw/zinc/60/56/84/1072605684.db2.gz YHRJVWRTHVEZCT-AWEZNQCLSA-N 0 0 426.495 -0.657 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000475362488 1072605851 /nfs/dbraw/zinc/60/58/51/1072605851.db2.gz YHRJVWRTHVEZCT-CQSZACIVSA-N 0 0 426.495 -0.657 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NC[C@H](O)COc1ccc(I)cc1)C(N)=O ZINC000475366001 1072606368 /nfs/dbraw/zinc/60/63/68/1072606368.db2.gz GCDNERJXPQBJIE-AAEUAGOBSA-N 0 0 449.245 -0.473 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NC[C@@H](O)COc1ccc(I)cc1)C(N)=O ZINC000475366006 1072606284 /nfs/dbraw/zinc/60/62/84/1072606284.db2.gz GCDNERJXPQBJIE-YPMHNXCESA-N 0 0 449.245 -0.473 20 0 IBADRN CCO[C@H]1C[C@](O)(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1(C)C ZINC000475366218 1072606271 /nfs/dbraw/zinc/60/62/71/1072606271.db2.gz CINBRWWAYDHRLU-RBZFPXEDSA-N 0 0 433.465 -0.747 20 0 IBADRN CCO[C@H]1C[C@@](O)(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1(C)C ZINC000475366219 1072606375 /nfs/dbraw/zinc/60/63/75/1072606375.db2.gz CINBRWWAYDHRLU-RNODOKPDSA-N 0 0 433.465 -0.747 20 0 IBADRN CCO[C@@H]1C[C@](O)(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1(C)C ZINC000475366220 1072606257 /nfs/dbraw/zinc/60/62/57/1072606257.db2.gz CINBRWWAYDHRLU-XCLFUZPHSA-N 0 0 433.465 -0.747 20 0 IBADRN CCO[C@@H]1C[C@@](O)(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1(C)C ZINC000475366221 1072606361 /nfs/dbraw/zinc/60/63/61/1072606361.db2.gz CINBRWWAYDHRLU-ZUOKHONESA-N 0 0 433.465 -0.747 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@H](O)COc2ccc(F)c(F)c2)c[nH]1 ZINC000475366422 1072605768 /nfs/dbraw/zinc/60/57/68/1072605768.db2.gz DITXIZLQJXQRMP-SECBINFHSA-N 0 0 432.405 -0.774 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@H](O)COc2ccc(F)c(F)c2)c[nH]1 ZINC000475366428 1072606389 /nfs/dbraw/zinc/60/63/89/1072606389.db2.gz DITXIZLQJXQRMP-VIFPVBQESA-N 0 0 432.405 -0.774 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCOCC3)cn2)C[C@H](C)O1 ZINC000475367284 1072606247 /nfs/dbraw/zinc/60/62/47/1072606247.db2.gz GRAHFYDHTOAOCD-HOTGVXAUSA-N 0 0 436.513 -0.704 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000475367286 1072606343 /nfs/dbraw/zinc/60/63/43/1072606343.db2.gz GRAHFYDHTOAOCD-HZPDHXFCSA-N 0 0 436.513 -0.704 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCOCC3)cn2)C[C@H](C)O1 ZINC000475367288 1072606263 /nfs/dbraw/zinc/60/62/63/1072606263.db2.gz GRAHFYDHTOAOCD-IYBDPMFKSA-N 0 0 436.513 -0.704 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCCN2CCCCCC2=O)cn1)N1CCOCC1 ZINC000475367368 1072606355 /nfs/dbraw/zinc/60/63/55/1072606355.db2.gz HITFCTKFVKYYFM-UHFFFAOYSA-N 0 0 434.497 -0.411 20 0 IBADRN CCO[C@@H]1C[C@@](O)(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1(C)C ZINC000475370049 1072607099 /nfs/dbraw/zinc/60/70/99/1072607099.db2.gz NZZCUOGCWVRHEG-FOIQADDNSA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@H]1C[C@@](O)(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1(C)C ZINC000475370201 1072606232 /nfs/dbraw/zinc/60/62/32/1072606232.db2.gz NZZCUOGCWVRHEG-MGPUTAFESA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@@H]1C[C@](O)(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1(C)C ZINC000475370202 1072606304 /nfs/dbraw/zinc/60/63/04/1072606304.db2.gz NZZCUOGCWVRHEG-QRWLVFNGSA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@H]1C[C@](O)(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1(C)C ZINC000475370203 1072606417 /nfs/dbraw/zinc/60/64/17/1072606417.db2.gz NZZCUOGCWVRHEG-YWZLYKJASA-N 0 0 437.497 -0.637 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@@H](O)COc2ccc(F)c(F)c2)o1 ZINC000475374493 1072606274 /nfs/dbraw/zinc/60/62/74/1072606274.db2.gz ZAUMDSOUXNDHNI-SECBINFHSA-N 0 0 433.389 -0.509 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@H](O)COc2ccc(F)c(F)c2)o1 ZINC000475374494 1072606399 /nfs/dbraw/zinc/60/63/99/1072606399.db2.gz ZAUMDSOUXNDHNI-VIFPVBQESA-N 0 0 433.389 -0.509 20 0 IBADRN CNS(=O)(=O)CC(=O)NC[C@H](O)COc1ccc(I)cc1 ZINC000475378198 1072606941 /nfs/dbraw/zinc/60/69/41/1072606941.db2.gz SDVUXGVZELJGDO-JTQLQIEISA-N 0 0 428.248 -0.304 20 0 IBADRN CNS(=O)(=O)CC(=O)NC[C@@H](O)COc1ccc(I)cc1 ZINC000475378199 1072607077 /nfs/dbraw/zinc/60/70/77/1072607077.db2.gz SDVUXGVZELJGDO-SNVBAGLBSA-N 0 0 428.248 -0.304 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H]2COc3ccccc3O2)cn1)N1CCOCC1 ZINC000475378290 1072607106 /nfs/dbraw/zinc/60/71/06/1072607106.db2.gz DHBQJBJAHYMPTI-HNNXBMFYSA-N 0 0 429.433 -0.363 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H]2COc3ccccc3O2)cn1)N1CCOCC1 ZINC000475378291 1072606917 /nfs/dbraw/zinc/60/69/17/1072606917.db2.gz DHBQJBJAHYMPTI-OAHLLOKOSA-N 0 0 429.433 -0.363 20 0 IBADRN CCO[C@@H]1C[C@@](O)(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1(C)C ZINC000475379020 1074352183 /nfs/dbraw/zinc/35/21/83/1074352183.db2.gz VOJGWSAFJQZIIZ-JLTOFOAXSA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@H]1C[C@@](O)(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1(C)C ZINC000475379021 1074352177 /nfs/dbraw/zinc/35/21/77/1074352177.db2.gz VOJGWSAFJQZIIZ-VBKZILBWSA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@@H]1C[C@](O)(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1(C)C ZINC000475379022 1074352166 /nfs/dbraw/zinc/35/21/66/1074352166.db2.gz VOJGWSAFJQZIIZ-VLIAUNLRSA-N 0 0 437.497 -0.637 20 0 IBADRN CCO[C@H]1C[C@](O)(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1(C)C ZINC000475379023 1074352090 /nfs/dbraw/zinc/35/20/90/1074352090.db2.gz VOJGWSAFJQZIIZ-XOBRGWDASA-N 0 0 437.497 -0.637 20 0 IBADRN NC(=O)Cn1cc(C(=O)NC[C@H](O)COc2ccc(I)cc2)nn1 ZINC000475384814 1072607113 /nfs/dbraw/zinc/60/71/13/1072607113.db2.gz BHCMFRGNGXUJPT-JTQLQIEISA-N 0 0 445.217 -0.462 20 0 IBADRN NC(=O)Cn1cc(C(=O)NC[C@@H](O)COc2ccc(I)cc2)nn1 ZINC000475384815 1072607084 /nfs/dbraw/zinc/60/70/84/1072607084.db2.gz BHCMFRGNGXUJPT-SNVBAGLBSA-N 0 0 445.217 -0.462 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000475385105 1072606955 /nfs/dbraw/zinc/60/69/55/1072606955.db2.gz CXTAWFKKHCZXKZ-UHFFFAOYSA-N 0 0 437.478 -0.296 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000475386982 1072607518 /nfs/dbraw/zinc/60/75/18/1072607518.db2.gz KVFQCYGWGFDMRN-UHFFFAOYSA-N 0 0 437.478 -0.091 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000475389154 1072607622 /nfs/dbraw/zinc/60/76/22/1072607622.db2.gz RJYRDXDURCZPRA-UHFFFAOYSA-N 0 0 426.455 -0.495 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NC[C@@H](O)COc1ccc(F)cc1 ZINC000475391459 1072607561 /nfs/dbraw/zinc/60/75/61/1072607561.db2.gz QJGOKFGDRXRGPL-GFCCVEGCSA-N 0 0 439.421 -0.063 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NC[C@H](O)COc1ccc(F)cc1 ZINC000475391460 1072607484 /nfs/dbraw/zinc/60/74/84/1072607484.db2.gz QJGOKFGDRXRGPL-LBPRGKRZSA-N 0 0 439.421 -0.063 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)cc1)N1CCOCC1 ZINC000475401285 1072607554 /nfs/dbraw/zinc/60/75/54/1072607554.db2.gz NDUDHXVDLYDYAE-AUUYWEPGSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)cc1)N1CCOCC1 ZINC000475401286 1072607547 /nfs/dbraw/zinc/60/75/47/1072607547.db2.gz NDUDHXVDLYDYAE-IFXJQAMLSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)cc1)N1CCOCC1 ZINC000475401287 1072607581 /nfs/dbraw/zinc/60/75/81/1072607581.db2.gz NDUDHXVDLYDYAE-KUHUBIRLSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)cc1)N1CCOCC1 ZINC000475401288 1072607497 /nfs/dbraw/zinc/60/74/97/1072607497.db2.gz NDUDHXVDLYDYAE-LIRRHRJNSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@@H]2CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)N1 ZINC000475403069 1072607575 /nfs/dbraw/zinc/60/75/75/1072607575.db2.gz UTFKQOZWNPTMKT-PXAZEXFGSA-N 0 0 442.519 -0.117 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@H]2CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)N1 ZINC000475403070 1072607536 /nfs/dbraw/zinc/60/75/36/1072607536.db2.gz UTFKQOZWNPTMKT-SJCJKPOMSA-N 0 0 442.519 -0.117 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@@H]2CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)N1 ZINC000475403071 1072607608 /nfs/dbraw/zinc/60/76/08/1072607608.db2.gz UTFKQOZWNPTMKT-SJKOYZFVSA-N 0 0 442.519 -0.117 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@H]2CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)N1 ZINC000475403072 1072607590 /nfs/dbraw/zinc/60/75/90/1072607590.db2.gz UTFKQOZWNPTMKT-YVEFUNNKSA-N 0 0 442.519 -0.117 20 0 IBADRN Cc1ccc(-n2cccn2)cc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000475403408 1072608111 /nfs/dbraw/zinc/60/81/11/1072608111.db2.gz IEAMGYWRDJGIJT-AWEZNQCLSA-N 0 0 433.490 -0.071 20 0 IBADRN Cc1ccc(-n2cccn2)cc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000475403409 1072608246 /nfs/dbraw/zinc/60/82/46/1072608246.db2.gz IEAMGYWRDJGIJT-CQSZACIVSA-N 0 0 433.490 -0.071 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000475403980 1072608207 /nfs/dbraw/zinc/60/82/07/1072608207.db2.gz XIXQFWRILZFMQO-UHFFFAOYSA-N 0 0 447.477 -0.223 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H](O)Cc2c(F)cccc2Cl)CC1)N1CCOCC1 ZINC000475404213 1072608321 /nfs/dbraw/zinc/60/83/21/1072608321.db2.gz KTAPMAKKPYEVEW-INIZCTEOSA-N 0 0 427.860 -0.088 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H](O)Cc2c(F)cccc2Cl)CC1)N1CCOCC1 ZINC000475404220 1072608223 /nfs/dbraw/zinc/60/82/23/1072608223.db2.gz KTAPMAKKPYEVEW-MRXNPFEDSA-N 0 0 427.860 -0.088 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000475406011 1072608098 /nfs/dbraw/zinc/60/80/98/1072608098.db2.gz ZSHXVMNLOVKPOA-GFCCVEGCSA-N 0 0 438.428 -0.178 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000475406023 1072608239 /nfs/dbraw/zinc/60/82/39/1072608239.db2.gz ZSHXVMNLOVKPOA-LBPRGKRZSA-N 0 0 438.428 -0.178 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000475407454 1072608254 /nfs/dbraw/zinc/60/82/54/1072608254.db2.gz BDVLQQMPWPXQJT-UHFFFAOYSA-N 0 0 431.478 -0.219 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000475407820 1072608314 /nfs/dbraw/zinc/60/83/14/1072608314.db2.gz BLWACCIAESNTON-UHFFFAOYSA-N 0 0 428.515 -0.368 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000475412030 1072608175 /nfs/dbraw/zinc/60/81/75/1072608175.db2.gz AYJGLUJLVOUVAL-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000475413583 1072608232 /nfs/dbraw/zinc/60/82/32/1072608232.db2.gz CSPTYRDOLFAVLN-UHFFFAOYSA-N 0 0 431.449 -0.616 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000475413659 1072608277 /nfs/dbraw/zinc/60/82/77/1072608277.db2.gz DWTFSAIJFSZRGC-UHFFFAOYSA-N 0 0 446.508 -0.885 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1 ZINC000475414136 1072608189 /nfs/dbraw/zinc/60/81/89/1072608189.db2.gz FWUZLCYDKHNPDK-UHFFFAOYSA-N 0 0 448.476 -0.375 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)CC1 ZINC000475415238 1072608085 /nfs/dbraw/zinc/60/80/85/1072608085.db2.gz JDXMBEXVLAWCGS-UHFFFAOYSA-N 0 0 432.477 -0.132 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000475415704 1072608152 /nfs/dbraw/zinc/60/81/52/1072608152.db2.gz KDABVEPCGFNWKN-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1 ZINC000475416028 1072608895 /nfs/dbraw/zinc/60/88/95/1072608895.db2.gz YLRAJVYZVYAIDC-UHFFFAOYSA-N 0 0 431.453 -0.375 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000475416235 1072608976 /nfs/dbraw/zinc/60/89/76/1072608976.db2.gz LPLUUFVHOPZMSD-AWEZNQCLSA-N 0 0 439.538 -0.456 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000475416236 1072608962 /nfs/dbraw/zinc/60/89/62/1072608962.db2.gz LPLUUFVHOPZMSD-CQSZACIVSA-N 0 0 439.538 -0.456 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)c3ncnn3C)c2)CC1 ZINC000475416720 1072608057 /nfs/dbraw/zinc/60/80/57/1072608057.db2.gz MTQZPPYOMXKOIQ-AWEZNQCLSA-N 0 0 449.537 -0.043 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)c3ncnn3C)c2)CC1 ZINC000475416721 1072608982 /nfs/dbraw/zinc/60/89/82/1072608982.db2.gz MTQZPPYOMXKOIQ-CQSZACIVSA-N 0 0 449.537 -0.043 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000475417090 1072608801 /nfs/dbraw/zinc/60/88/01/1072608801.db2.gz NWFLAEORNQVYHE-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000475417396 1072608967 /nfs/dbraw/zinc/60/89/67/1072608967.db2.gz PEQBAYYXSRMHCS-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000475417726 1072608783 /nfs/dbraw/zinc/60/87/83/1072608783.db2.gz QRIBKYRFZDTGCU-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000475417863 1072608841 /nfs/dbraw/zinc/60/88/41/1072608841.db2.gz REPYNBAYEFGQBW-AWEZNQCLSA-N 0 0 439.538 -0.456 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000475417864 1072608971 /nfs/dbraw/zinc/60/89/71/1072608971.db2.gz REPYNBAYEFGQBW-CQSZACIVSA-N 0 0 439.538 -0.456 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)CC1 ZINC000475419230 1072608979 /nfs/dbraw/zinc/60/89/79/1072608979.db2.gz YAZGRPMLYXBHTJ-UHFFFAOYSA-N 0 0 434.449 -0.765 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000475419458 1072608948 /nfs/dbraw/zinc/60/89/48/1072608948.db2.gz DAKGJIQIQOSTPF-UHFFFAOYSA-N 0 0 444.485 -0.278 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000475420251 1072609371 /nfs/dbraw/zinc/60/93/71/1072609371.db2.gz GCHYRHYYIGBIQJ-UHFFFAOYSA-N 0 0 434.497 -0.412 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000475420510 1072608943 /nfs/dbraw/zinc/60/89/43/1072608943.db2.gz GTEYRLQGTZAFSC-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000475420708 1072608818 /nfs/dbraw/zinc/60/88/18/1072608818.db2.gz JWAFHEBQACMIQD-UHFFFAOYSA-N 0 0 438.506 -0.128 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000475420785 1072608990 /nfs/dbraw/zinc/60/89/90/1072608990.db2.gz LBJRGYSFDKTZSS-HNNXBMFYSA-N 0 0 430.461 -0.462 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000475420786 1072608930 /nfs/dbraw/zinc/60/89/30/1072608930.db2.gz LBJRGYSFDKTZSS-OAHLLOKOSA-N 0 0 430.461 -0.462 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000475420903 1072609342 /nfs/dbraw/zinc/60/93/42/1072609342.db2.gz LHYMZAURXPXJDF-UHFFFAOYSA-N 0 0 438.506 -0.128 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)CC1 ZINC000475421294 1072609472 /nfs/dbraw/zinc/60/94/72/1072609472.db2.gz NTNFPASGXLAKCF-UHFFFAOYSA-N 0 0 441.506 -0.248 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000475421475 1072608985 /nfs/dbraw/zinc/60/89/85/1072608985.db2.gz LOJUPGQPJRZYDD-GFCCVEGCSA-N 0 0 427.527 -0.076 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000475421477 1072608992 /nfs/dbraw/zinc/60/89/92/1072608992.db2.gz LOJUPGQPJRZYDD-LBPRGKRZSA-N 0 0 427.527 -0.076 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)CC1 ZINC000475421511 1072608885 /nfs/dbraw/zinc/60/88/85/1072608885.db2.gz NVVXAVMANBTQFS-UHFFFAOYSA-N 0 0 432.477 -0.132 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000475422417 1072609409 /nfs/dbraw/zinc/60/94/09/1072609409.db2.gz DOQWITYEDHFSED-UHFFFAOYSA-N 0 0 426.495 -0.272 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CCOC)CC2)c1 ZINC000475422464 1072609463 /nfs/dbraw/zinc/60/94/63/1072609463.db2.gz JTQXILDSZKCQOT-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000475422583 1072609392 /nfs/dbraw/zinc/60/93/92/1072609392.db2.gz FYTLNFDTZRHMOF-UHFFFAOYSA-N 0 0 426.495 -0.618 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC000475422935 1072609256 /nfs/dbraw/zinc/60/92/56/1072609256.db2.gz RNGJEWOONHDWSL-UHFFFAOYSA-N 0 0 448.476 -0.836 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000475424389 1072609352 /nfs/dbraw/zinc/60/93/52/1072609352.db2.gz OGSSPIPUSYQRFM-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000475424390 1072609240 /nfs/dbraw/zinc/60/92/40/1072609240.db2.gz OGSSPIPUSYQRFM-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000475424407 1072609427 /nfs/dbraw/zinc/60/94/27/1072609427.db2.gz SZGWPSQTZWTGFW-AWEZNQCLSA-N 0 0 440.522 -0.063 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000475424408 1072609454 /nfs/dbraw/zinc/60/94/54/1072609454.db2.gz SZGWPSQTZWTGFW-CQSZACIVSA-N 0 0 440.522 -0.063 20 0 IBADRN CCOC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)C ZINC000475424886 1072610054 /nfs/dbraw/zinc/61/00/54/1072610054.db2.gz WIETXJPUBUKKED-INIZCTEOSA-N 0 0 440.522 -0.077 20 0 IBADRN CCOC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)C ZINC000475424887 1072609311 /nfs/dbraw/zinc/60/93/11/1072609311.db2.gz WIETXJPUBUKKED-MRXNPFEDSA-N 0 0 440.522 -0.077 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000475425020 1072609333 /nfs/dbraw/zinc/60/93/33/1072609333.db2.gz QKZCYRKHKDGQNI-UHFFFAOYSA-N 0 0 426.495 -0.403 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000475425624 1072609386 /nfs/dbraw/zinc/60/93/86/1072609386.db2.gz XIKZUMBWEDIWKA-CHWSQXEVSA-N 0 0 428.511 -0.079 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000475425628 1072609459 /nfs/dbraw/zinc/60/94/59/1072609459.db2.gz XIKZUMBWEDIWKA-OLZOCXBDSA-N 0 0 428.511 -0.079 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000475425629 1072609445 /nfs/dbraw/zinc/60/94/45/1072609445.db2.gz XIKZUMBWEDIWKA-QWHCGFSZSA-N 0 0 428.511 -0.079 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000475425631 1072609403 /nfs/dbraw/zinc/60/94/03/1072609403.db2.gz XIKZUMBWEDIWKA-STQMWFEESA-N 0 0 428.511 -0.079 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000475425868 1072610004 /nfs/dbraw/zinc/61/00/04/1072610004.db2.gz XWLUWKKCOWTGCI-CYBMUJFWSA-N 0 0 440.522 -0.149 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000475425869 1072609926 /nfs/dbraw/zinc/60/99/26/1072609926.db2.gz XWLUWKKCOWTGCI-ZDUSSCGKSA-N 0 0 440.522 -0.149 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(C(=O)CCOC)CC2)cc1S(N)(=O)=O ZINC000475427123 1072609994 /nfs/dbraw/zinc/60/99/94/1072609994.db2.gz GLHSLBRJPLXRMG-UHFFFAOYSA-N 0 0 426.495 -0.458 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000475427468 1072610068 /nfs/dbraw/zinc/61/00/68/1072610068.db2.gz GUVOECVBMYJTJU-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000475427841 1072610059 /nfs/dbraw/zinc/61/00/59/1072610059.db2.gz CGQGKDBBVMACHV-UHFFFAOYSA-N 0 0 440.522 -0.109 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000475428236 1072610093 /nfs/dbraw/zinc/61/00/93/1072610093.db2.gz DNMKHUVHYYJZFC-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000475428237 1072610080 /nfs/dbraw/zinc/61/00/80/1072610080.db2.gz DNMKHUVHYYJZFC-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000475428954 1072610030 /nfs/dbraw/zinc/61/00/30/1072610030.db2.gz NFCOVLQZQOYMEI-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000475429213 1072609973 /nfs/dbraw/zinc/60/99/73/1072609973.db2.gz OPVWBYNAGXZXJG-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000475429219 1072609980 /nfs/dbraw/zinc/60/99/80/1072609980.db2.gz ORRDXQICWUFDCZ-PBHICJAKSA-N 0 0 432.477 -0.378 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000475429220 1072610009 /nfs/dbraw/zinc/61/00/09/1072610009.db2.gz ORRDXQICWUFDCZ-RHSMWYFYSA-N 0 0 432.477 -0.378 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000475429221 1072610073 /nfs/dbraw/zinc/61/00/73/1072610073.db2.gz ORRDXQICWUFDCZ-WMLDXEAASA-N 0 0 432.477 -0.378 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000475429222 1072609965 /nfs/dbraw/zinc/60/99/65/1072609965.db2.gz ORRDXQICWUFDCZ-YOEHRIQHSA-N 0 0 432.477 -0.378 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CCOC)CC2)ccc1C ZINC000475429954 1072609939 /nfs/dbraw/zinc/60/99/39/1072609939.db2.gz RDVZQJKCBSJOOL-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)CC1 ZINC000475430155 1072610098 /nfs/dbraw/zinc/61/00/98/1072610098.db2.gz QGIYQRIYHHJCJP-UHFFFAOYSA-N 0 0 435.433 -0.094 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000475430456 1072610106 /nfs/dbraw/zinc/61/01/06/1072610106.db2.gz QSKBYUYSHMFEBI-UHFFFAOYSA-N 0 0 427.461 -0.063 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000475431731 1072610014 /nfs/dbraw/zinc/61/00/14/1072610014.db2.gz AOUDPWFOKMQQGT-UHFFFAOYSA-N 0 0 442.494 -0.288 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1 ZINC000475432127 1072610636 /nfs/dbraw/zinc/61/06/36/1072610636.db2.gz QMRVHMJMKHURNS-UHFFFAOYSA-N 0 0 442.476 -0.075 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)c1ncnn1C ZINC000475432249 1072610519 /nfs/dbraw/zinc/61/05/19/1072610519.db2.gz YLHNRCGNJBOPPM-BBRMVZONSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)c1ncnn1C ZINC000475432250 1072610599 /nfs/dbraw/zinc/61/05/99/1072610599.db2.gz YLHNRCGNJBOPPM-CJNGLKHVSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)c1ncnn1C ZINC000475432251 1072610641 /nfs/dbraw/zinc/61/06/41/1072610641.db2.gz YLHNRCGNJBOPPM-CZUORRHYSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)c1ncnn1C ZINC000475432252 1072610604 /nfs/dbraw/zinc/61/06/04/1072610604.db2.gz YLHNRCGNJBOPPM-XJKSGUPXSA-N 0 0 428.449 -0.355 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1=O ZINC000475433605 1072611273 /nfs/dbraw/zinc/61/12/73/1072611273.db2.gz DJXLWNIWZXNDMK-CYBMUJFWSA-N 0 0 440.453 -0.044 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1=O ZINC000475433606 1072611198 /nfs/dbraw/zinc/61/11/98/1072611198.db2.gz DJXLWNIWZXNDMK-ZDUSSCGKSA-N 0 0 440.453 -0.044 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CC1 ZINC000475434055 1072611215 /nfs/dbraw/zinc/61/12/15/1072611215.db2.gz LJSLOIZMTGAJDO-UHFFFAOYSA-N 0 0 429.271 -0.206 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000475434605 1074352047 /nfs/dbraw/zinc/35/20/47/1074352047.db2.gz OHUPBYFIWGLAHY-UHFFFAOYSA-N 0 0 449.512 -0.674 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CC1 ZINC000475434734 1072611332 /nfs/dbraw/zinc/61/13/32/1072611332.db2.gz LHHVHMNRJMZYCQ-UHFFFAOYSA-N 0 0 425.507 -0.081 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000475434836 1072610483 /nfs/dbraw/zinc/61/04/83/1072610483.db2.gz PUJRBOPYFJNVMJ-HNNXBMFYSA-N 0 0 433.509 -0.330 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000475434837 1072610615 /nfs/dbraw/zinc/61/06/15/1072610615.db2.gz PUJRBOPYFJNVMJ-OAHLLOKOSA-N 0 0 433.509 -0.330 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC[C@@H](C)O ZINC000475435096 1072611101 /nfs/dbraw/zinc/61/11/01/1072611101.db2.gz NKILBCLHANMHNY-CYBMUJFWSA-N 0 0 429.495 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC[C@H](C)O ZINC000475435097 1072611155 /nfs/dbraw/zinc/61/11/55/1072611155.db2.gz NKILBCLHANMHNY-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000475435215 1072611246 /nfs/dbraw/zinc/61/12/46/1072611246.db2.gz RXTSOLULTCRBIG-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC[C@@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000475435477 1072611310 /nfs/dbraw/zinc/61/13/10/1072611310.db2.gz PPFLQBFWMYDPQP-CYBMUJFWSA-N 0 0 429.495 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CC[C@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000475435478 1072611141 /nfs/dbraw/zinc/61/11/41/1072611141.db2.gz PPFLQBFWMYDPQP-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CCOC)CC2)c1C ZINC000475435479 1072611234 /nfs/dbraw/zinc/61/12/34/1072611234.db2.gz PRCNVTZEKDQSOR-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000475435567 1072611291 /nfs/dbraw/zinc/61/12/91/1072611291.db2.gz UMQJWKPIPSMHST-UHFFFAOYSA-N 0 0 442.494 -0.288 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000475435823 1072611316 /nfs/dbraw/zinc/61/13/16/1072611316.db2.gz WUFMZWFJHLDEGE-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000475436490 1072611303 /nfs/dbraw/zinc/61/13/03/1072611303.db2.gz YRGLZGIGDFVVAE-AWEZNQCLSA-N 0 0 432.433 -0.891 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000475436491 1072611279 /nfs/dbraw/zinc/61/12/79/1072611279.db2.gz YRGLZGIGDFVVAE-CQSZACIVSA-N 0 0 432.433 -0.891 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1 ZINC000475436499 1072611341 /nfs/dbraw/zinc/61/13/41/1072611341.db2.gz YUPXOPKFHWTBKY-UHFFFAOYSA-N 0 0 429.271 -0.206 20 0 IBADRN O=C(Nc1n[nH]c(SCCO)n1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000475436665 1072611160 /nfs/dbraw/zinc/61/11/60/1072611160.db2.gz AZEYQUXOVCAUCB-UHFFFAOYSA-N 0 0 426.480 -0.738 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1=O ZINC000475437053 1072611131 /nfs/dbraw/zinc/61/11/31/1072611131.db2.gz HAMOWMMGURJRBX-INIZCTEOSA-N 0 0 445.476 -0.452 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1=O ZINC000475437054 1072611210 /nfs/dbraw/zinc/61/12/10/1072611210.db2.gz HAMOWMMGURJRBX-MRXNPFEDSA-N 0 0 445.476 -0.452 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(C(=O)CCOC)CC1)CC2 ZINC000475437551 1072611347 /nfs/dbraw/zinc/61/13/47/1072611347.db2.gz JWWSYCRCHQAMGZ-UHFFFAOYSA-N 0 0 438.506 -0.809 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C1=O ZINC000475439615 1072611949 /nfs/dbraw/zinc/61/19/49/1072611949.db2.gz FYANZXPHFQSRPG-HNNXBMFYSA-N 0 0 436.490 -0.405 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C1=O ZINC000475439619 1072611753 /nfs/dbraw/zinc/61/17/53/1072611753.db2.gz FYANZXPHFQSRPG-OAHLLOKOSA-N 0 0 436.490 -0.405 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000475439659 1072612550 /nfs/dbraw/zinc/61/25/50/1072612550.db2.gz GGKKODGXYINENW-AWEZNQCLSA-N 0 0 432.433 -0.430 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000475439660 1072612603 /nfs/dbraw/zinc/61/26/03/1072612603.db2.gz GGKKODGXYINENW-CQSZACIVSA-N 0 0 432.433 -0.430 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1=O ZINC000475439953 1072613216 /nfs/dbraw/zinc/61/32/16/1072613216.db2.gz HKGHQPXUPXIDCV-HNNXBMFYSA-N 0 0 436.490 -0.488 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1=O ZINC000475439959 1072613249 /nfs/dbraw/zinc/61/32/49/1072613249.db2.gz HKGHQPXUPXIDCV-OAHLLOKOSA-N 0 0 436.490 -0.488 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C(C)C)C1=O ZINC000475440344 1072612526 /nfs/dbraw/zinc/61/25/26/1072612526.db2.gz BCCZIKARMHKWKS-HNNXBMFYSA-N 0 0 446.460 -0.242 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C(C)C)C1=O ZINC000475440347 1072612611 /nfs/dbraw/zinc/61/26/11/1072612611.db2.gz BCCZIKARMHKWKS-OAHLLOKOSA-N 0 0 446.460 -0.242 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000475441039 1072613143 /nfs/dbraw/zinc/61/31/43/1072613143.db2.gz XJGSLXAHVHQUPR-GFCCVEGCSA-N 0 0 444.485 -0.232 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000475441040 1072613298 /nfs/dbraw/zinc/61/32/98/1072613298.db2.gz XJGSLXAHVHQUPR-LBPRGKRZSA-N 0 0 444.485 -0.232 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)Nc3n[nH]c(SCCO)n3)CC2)cn1C ZINC000475441044 1072613084 /nfs/dbraw/zinc/61/30/84/1072613084.db2.gz XLOSKXXEJAAETG-UHFFFAOYSA-N 0 0 429.528 -0.030 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000475441300 1072613115 /nfs/dbraw/zinc/61/31/15/1072613115.db2.gz OSXFDGNJHSGZBY-UHFFFAOYSA-N 0 0 442.542 -0.332 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CC(=O)N(C(C)C)C1=O)CC2 ZINC000475441885 1072613263 /nfs/dbraw/zinc/61/32/63/1072613263.db2.gz FSANVWUPPJJNPV-AWEZNQCLSA-N 0 0 436.490 -0.474 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CC(=O)N(C(C)C)C1=O)CC2 ZINC000475441894 1072613228 /nfs/dbraw/zinc/61/32/28/1072613228.db2.gz FSANVWUPPJJNPV-CQSZACIVSA-N 0 0 436.490 -0.474 20 0 IBADRN O=C(Nc1n[nH]c(SCCO)n1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000475441997 1072613180 /nfs/dbraw/zinc/61/31/80/1072613180.db2.gz ZULKPIUEOPBMHC-UHFFFAOYSA-N 0 0 426.480 -0.738 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475442543 1072613285 /nfs/dbraw/zinc/61/32/85/1072613285.db2.gz HFILISSRRDBCDD-GASCZTMLSA-N 0 0 425.486 -0.421 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475442551 1072613291 /nfs/dbraw/zinc/61/32/91/1072613291.db2.gz HFILISSRRDBCDD-GJZGRUSLSA-N 0 0 425.486 -0.421 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475442553 1072613131 /nfs/dbraw/zinc/61/31/31/1072613131.db2.gz HFILISSRRDBCDD-HUUCEWRRSA-N 0 0 425.486 -0.421 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000475444465 1072613237 /nfs/dbraw/zinc/61/32/37/1072613237.db2.gz OHPIKLHUEOLENP-UHFFFAOYSA-N 0 0 436.494 -0.058 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)C1=O ZINC000475447446 1072613670 /nfs/dbraw/zinc/61/36/70/1072613670.db2.gz PMYYFMLQMDXYFL-HNNXBMFYSA-N 0 0 429.477 -0.021 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)C1=O ZINC000475447451 1072613784 /nfs/dbraw/zinc/61/37/84/1072613784.db2.gz PMYYFMLQMDXYFL-OAHLLOKOSA-N 0 0 429.477 -0.021 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000475448585 1072613754 /nfs/dbraw/zinc/61/37/54/1072613754.db2.gz SLKGCMZIDDSNMF-UHFFFAOYSA-N 0 0 436.494 -0.058 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1=O ZINC000475449625 1072614211 /nfs/dbraw/zinc/61/42/11/1072614211.db2.gz MDLRKRQZOOEZSM-GUTXKFCHSA-N 0 0 448.480 -0.891 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1=O ZINC000475449628 1072614299 /nfs/dbraw/zinc/61/42/99/1072614299.db2.gz MDLRKRQZOOEZSM-NFAWXSAZSA-N 0 0 448.480 -0.891 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1=O ZINC000475449629 1072614429 /nfs/dbraw/zinc/61/44/29/1072614429.db2.gz MDLRKRQZOOEZSM-UMVBOHGHSA-N 0 0 448.480 -0.891 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1=O ZINC000475449630 1072614275 /nfs/dbraw/zinc/61/42/75/1072614275.db2.gz MDLRKRQZOOEZSM-VNHYZAJKSA-N 0 0 448.480 -0.891 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)c2ccccc2O1 ZINC000475453641 1072614815 /nfs/dbraw/zinc/61/48/15/1072614815.db2.gz NLZHKQRVKWWGRX-BBRMVZONSA-N 0 0 430.461 -0.041 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)c2ccccc2O1 ZINC000475453642 1072614831 /nfs/dbraw/zinc/61/48/31/1072614831.db2.gz NLZHKQRVKWWGRX-CJNGLKHVSA-N 0 0 430.461 -0.041 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)c2ccccc2O1 ZINC000475453643 1072614863 /nfs/dbraw/zinc/61/48/63/1072614863.db2.gz NLZHKQRVKWWGRX-CZUORRHYSA-N 0 0 430.461 -0.041 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)c2ccccc2O1 ZINC000475453644 1072614874 /nfs/dbraw/zinc/61/48/74/1072614874.db2.gz NLZHKQRVKWWGRX-XJKSGUPXSA-N 0 0 430.461 -0.041 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H]1CC[C@H](O)C1 ZINC000475455585 1072614725 /nfs/dbraw/zinc/61/47/25/1072614725.db2.gz CNCXFHSPKRAILP-KBPBESRZSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H]1CC[C@H](O)C1 ZINC000475455586 1072614882 /nfs/dbraw/zinc/61/48/82/1072614882.db2.gz CNCXFHSPKRAILP-KGLIPLIRSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](O)C1 ZINC000475455587 1072614858 /nfs/dbraw/zinc/61/48/58/1072614858.db2.gz CNCXFHSPKRAILP-UONOGXRCSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H]1CC[C@@H](O)C1 ZINC000475455588 1072614821 /nfs/dbraw/zinc/61/48/21/1072614821.db2.gz CNCXFHSPKRAILP-ZIAGYGMSSA-N 0 0 441.506 -0.068 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)C1=O ZINC000475455655 1072614753 /nfs/dbraw/zinc/61/47/53/1072614753.db2.gz DJVMABYRGXNIGD-INIZCTEOSA-N 0 0 429.477 -0.335 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)C1=O ZINC000475455656 1072614903 /nfs/dbraw/zinc/61/49/03/1072614903.db2.gz DJVMABYRGXNIGD-MRXNPFEDSA-N 0 0 429.477 -0.335 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1=O ZINC000475456285 1072614743 /nfs/dbraw/zinc/61/47/43/1072614743.db2.gz IHOHNLHHPIEFSL-CYBMUJFWSA-N 0 0 440.453 -0.143 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1=O ZINC000475456286 1072614837 /nfs/dbraw/zinc/61/48/37/1072614837.db2.gz IHOHNLHHPIEFSL-ZDUSSCGKSA-N 0 0 440.453 -0.143 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)CC[C@H](C)O ZINC000475457116 1072615573 /nfs/dbraw/zinc/61/55/73/1072615573.db2.gz LMDBBIATFZGFKN-AWEZNQCLSA-N 0 0 442.538 -0.201 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)CC[C@@H](C)O ZINC000475457117 1072615560 /nfs/dbraw/zinc/61/55/60/1072615560.db2.gz LMDBBIATFZGFKN-CQSZACIVSA-N 0 0 442.538 -0.201 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1OCC(=O)NC1CC1 ZINC000475458710 1072615328 /nfs/dbraw/zinc/61/53/28/1072615328.db2.gz MOQCJEATYUMWIB-AWEZNQCLSA-N 0 0 446.460 -0.057 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1OCC(=O)NC1CC1 ZINC000475458713 1072615425 /nfs/dbraw/zinc/61/54/25/1072615425.db2.gz MOQCJEATYUMWIB-CQSZACIVSA-N 0 0 446.460 -0.057 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1=O ZINC000475458864 1072615410 /nfs/dbraw/zinc/61/54/10/1072615410.db2.gz BPVYUTCSBDKQGI-AWEZNQCLSA-N 0 0 440.453 -0.044 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1=O ZINC000475458866 1072615434 /nfs/dbraw/zinc/61/54/34/1072615434.db2.gz BPVYUTCSBDKQGI-CQSZACIVSA-N 0 0 440.453 -0.044 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000475460627 1072615539 /nfs/dbraw/zinc/61/55/39/1072615539.db2.gz ARSSPAAZYJSENR-GOSISDBHSA-N 0 0 445.520 -0.186 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000475460628 1072615550 /nfs/dbraw/zinc/61/55/50/1072615550.db2.gz ARSSPAAZYJSENR-SFHVURJKSA-N 0 0 445.520 -0.186 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000475460944 1072616136 /nfs/dbraw/zinc/61/61/36/1072616136.db2.gz RCNWAWKJXISURR-DLBZAZTESA-N 0 0 445.563 -0.907 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000475460954 1072616056 /nfs/dbraw/zinc/61/60/56/1072616056.db2.gz RCNWAWKJXISURR-IAGOWNOFSA-N 0 0 445.563 -0.907 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000475460957 1072616042 /nfs/dbraw/zinc/61/60/42/1072616042.db2.gz RCNWAWKJXISURR-IRXDYDNUSA-N 0 0 445.563 -0.907 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000475460959 1072616186 /nfs/dbraw/zinc/61/61/86/1072616186.db2.gz RCNWAWKJXISURR-SJORKVTESA-N 0 0 445.563 -0.907 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)C1=O ZINC000475461236 1072616143 /nfs/dbraw/zinc/61/61/43/1072616143.db2.gz XSERRCQIIPSOSC-CYBMUJFWSA-N 0 0 428.442 -0.333 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)C1=O ZINC000475461240 1072616112 /nfs/dbraw/zinc/61/61/12/1072616112.db2.gz XSERRCQIIPSOSC-ZDUSSCGKSA-N 0 0 428.442 -0.333 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1=O ZINC000475462125 1072616176 /nfs/dbraw/zinc/61/61/76/1072616176.db2.gz ZOYKCGRLNCSPOD-HNNXBMFYSA-N 0 0 446.460 -0.485 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1=O ZINC000475462131 1072616061 /nfs/dbraw/zinc/61/60/61/1072616061.db2.gz ZOYKCGRLNCSPOD-OAHLLOKOSA-N 0 0 446.460 -0.485 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000475462740 1072615986 /nfs/dbraw/zinc/61/59/86/1072615986.db2.gz BTODUJWQZASNTP-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000475462741 1072616092 /nfs/dbraw/zinc/61/60/92/1072616092.db2.gz BTODUJWQZASNTP-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)c2ccccc2O1 ZINC000475466400 1072616019 /nfs/dbraw/zinc/61/60/19/1072616019.db2.gz YVACTZLNBBGGQL-GOSISDBHSA-N 0 0 442.476 -0.306 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)c2ccccc2O1 ZINC000475466401 1072616000 /nfs/dbraw/zinc/61/60/00/1072616000.db2.gz YVACTZLNBBGGQL-SFHVURJKSA-N 0 0 442.476 -0.306 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](C)CCS(C)(=O)=O)CC2 ZINC000475466645 1072616032 /nfs/dbraw/zinc/61/60/32/1072616032.db2.gz MQAUKGXXVSXQAP-GFCCVEGCSA-N 0 0 431.536 -0.187 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](C)CCS(C)(=O)=O)CC2 ZINC000475466647 1072615967 /nfs/dbraw/zinc/61/59/67/1072615967.db2.gz MQAUKGXXVSXQAP-LBPRGKRZSA-N 0 0 431.536 -0.187 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000475469197 1072616740 /nfs/dbraw/zinc/61/67/40/1072616740.db2.gz WTINSDKSQHBMOB-DOMZBBRYSA-N 0 0 446.460 -0.041 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000475469198 1072616751 /nfs/dbraw/zinc/61/67/51/1072616751.db2.gz WTINSDKSQHBMOB-IUODEOHRSA-N 0 0 446.460 -0.041 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000475469199 1072616592 /nfs/dbraw/zinc/61/65/92/1072616592.db2.gz WTINSDKSQHBMOB-SWLSCSKDSA-N 0 0 446.460 -0.041 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000475469200 1072616614 /nfs/dbraw/zinc/61/66/14/1072616614.db2.gz WTINSDKSQHBMOB-WFASDCNBSA-N 0 0 446.460 -0.041 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000475469452 1072616545 /nfs/dbraw/zinc/61/65/45/1072616545.db2.gz JBGMBVOEESVQHE-CRAIPNDOSA-N 0 0 444.488 -0.234 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000475469453 1072616748 /nfs/dbraw/zinc/61/67/48/1072616748.db2.gz JBGMBVOEESVQHE-MAUKXSAKSA-N 0 0 444.488 -0.234 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000475469455 1072616079 /nfs/dbraw/zinc/61/60/79/1072616079.db2.gz JBGMBVOEESVQHE-QAPCUYQASA-N 0 0 444.488 -0.234 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000475469457 1072615924 /nfs/dbraw/zinc/61/59/24/1072615924.db2.gz JBGMBVOEESVQHE-YJBOKZPZSA-N 0 0 444.488 -0.234 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000475471769 1072616733 /nfs/dbraw/zinc/61/67/33/1072616733.db2.gz UCHMVHPOEVVPJZ-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000475471770 1072616686 /nfs/dbraw/zinc/61/66/86/1072616686.db2.gz UCHMVHPOEVVPJZ-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](C)CCS(C)(=O)=O ZINC000475473049 1072616637 /nfs/dbraw/zinc/61/66/37/1072616637.db2.gz REKMMQYEFFDDBC-LLVKDONJSA-N 0 0 435.524 -0.177 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](C)CCS(C)(=O)=O ZINC000475473053 1072616601 /nfs/dbraw/zinc/61/66/01/1072616601.db2.gz REKMMQYEFFDDBC-NSHDSACASA-N 0 0 435.524 -0.177 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000475474834 1072617388 /nfs/dbraw/zinc/61/73/88/1072617388.db2.gz ZKBKKOYLMZVAPE-KRWDZBQOSA-N 0 0 430.527 -0.610 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000475474836 1072617210 /nfs/dbraw/zinc/61/72/10/1072617210.db2.gz ZKBKKOYLMZVAPE-QGZVFWFLSA-N 0 0 430.527 -0.610 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000475475881 1072617473 /nfs/dbraw/zinc/61/74/73/1072617473.db2.gz BQXVAIQJUFEVDS-GFCCVEGCSA-N 0 0 448.567 -0.119 20 0 IBADRN C[C@@H](CCS(C)(=O)=O)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000475475882 1072617366 /nfs/dbraw/zinc/61/73/66/1072617366.db2.gz BQXVAIQJUFEVDS-LBPRGKRZSA-N 0 0 448.567 -0.119 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000475477657 1072616700 /nfs/dbraw/zinc/61/67/00/1072616700.db2.gz MIFDVQFNZVFJEW-HNNXBMFYSA-N 0 0 432.477 -0.010 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000475477661 1072617446 /nfs/dbraw/zinc/61/74/46/1072617446.db2.gz MIFDVQFNZVFJEW-OAHLLOKOSA-N 0 0 432.477 -0.010 20 0 IBADRN CNC(=O)CC(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000475480318 1072617191 /nfs/dbraw/zinc/61/71/91/1072617191.db2.gz JGIAVUUBWPACET-UHFFFAOYSA-N 0 0 430.870 -0.360 20 0 IBADRN C[C@@H](CCS(C)(=O)=O)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000475484777 1072617461 /nfs/dbraw/zinc/61/74/61/1072617461.db2.gz ZARPVOKVCHHROC-HNNXBMFYSA-N 0 0 438.550 -0.119 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000475484778 1072617407 /nfs/dbraw/zinc/61/74/07/1072617407.db2.gz ZARPVOKVCHHROC-OAHLLOKOSA-N 0 0 438.550 -0.119 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C(=O)Nc1cc(OC)ccc1OC ZINC000475489429 1072618577 /nfs/dbraw/zinc/61/85/77/1072618577.db2.gz ZZRXUUWIEYUJEA-GFCCVEGCSA-N 0 0 427.479 -0.206 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C(=O)Nc1cc(OC)ccc1OC ZINC000475489436 1072618412 /nfs/dbraw/zinc/61/84/12/1072618412.db2.gz ZZRXUUWIEYUJEA-LBPRGKRZSA-N 0 0 427.479 -0.206 20 0 IBADRN COCC(=O)N[C@H](C(=O)N1CCN(C(=O)[C@@H](NC(=O)COC)C(C)C)[C@@H](C)C1)C(C)C ZINC000475497713 1072618507 /nfs/dbraw/zinc/61/85/07/1072618507.db2.gz REUHNRGTUZDFGV-SNRMKQJTSA-N 0 0 442.557 -0.380 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1O ZINC000475517716 1072623746 /nfs/dbraw/zinc/62/37/46/1072623746.db2.gz JUXFDNBNECARKA-GXTWGEPZSA-N 0 0 426.495 -0.232 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1O ZINC000475517717 1072623729 /nfs/dbraw/zinc/62/37/29/1072623729.db2.gz JUXFDNBNECARKA-JSGCOSHPSA-N 0 0 426.495 -0.232 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1O ZINC000475517718 1072623815 /nfs/dbraw/zinc/62/38/15/1072623815.db2.gz JUXFDNBNECARKA-OCCSQVGLSA-N 0 0 426.495 -0.232 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1O ZINC000475517719 1072623819 /nfs/dbraw/zinc/62/38/19/1072623819.db2.gz JUXFDNBNECARKA-TZMCWYRMSA-N 0 0 426.495 -0.232 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1O ZINC000475518944 1072623790 /nfs/dbraw/zinc/62/37/90/1072623790.db2.gz FHRHDWSBTLJMJM-ARFHVFGLSA-N 0 0 440.518 -0.296 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1O ZINC000475518967 1072624406 /nfs/dbraw/zinc/62/44/06/1072624406.db2.gz FHRHDWSBTLJMJM-HRCADAONSA-N 0 0 440.518 -0.296 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1O ZINC000475518969 1072624532 /nfs/dbraw/zinc/62/45/32/1072624532.db2.gz FHRHDWSBTLJMJM-JYJNAYRXSA-N 0 0 440.518 -0.296 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1O ZINC000475518971 1072624514 /nfs/dbraw/zinc/62/45/14/1072624514.db2.gz FHRHDWSBTLJMJM-XHSDSOJGSA-N 0 0 440.518 -0.296 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1O ZINC000475519268 1072623942 /nfs/dbraw/zinc/62/39/42/1072623942.db2.gz GMEJQYUGJSMODN-MSOLQXFVSA-N 0 0 435.521 -0.151 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1O ZINC000475519269 1072623754 /nfs/dbraw/zinc/62/37/54/1072623754.db2.gz GMEJQYUGJSMODN-QZTJIDSGSA-N 0 0 435.521 -0.151 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1O ZINC000475519270 1072623920 /nfs/dbraw/zinc/62/39/20/1072623920.db2.gz GMEJQYUGJSMODN-ROUUACIJSA-N 0 0 435.521 -0.151 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1O ZINC000475519271 1072624542 /nfs/dbraw/zinc/62/45/42/1072624542.db2.gz GMEJQYUGJSMODN-ZWKOTPCHSA-N 0 0 435.521 -0.151 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1O ZINC000475519838 1072623777 /nfs/dbraw/zinc/62/37/77/1072623777.db2.gz CZKSCGMNEBARKO-AWEZNQCLSA-N 0 0 430.461 -0.280 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1O ZINC000475519839 1072623883 /nfs/dbraw/zinc/62/38/83/1072623883.db2.gz CZKSCGMNEBARKO-CQSZACIVSA-N 0 0 430.461 -0.280 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1O ZINC000475521584 1072624491 /nfs/dbraw/zinc/62/44/91/1072624491.db2.gz HGKOTANFSDTBJU-CYBMUJFWSA-N 0 0 431.449 -0.885 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1O ZINC000475521585 1072624452 /nfs/dbraw/zinc/62/44/52/1072624452.db2.gz HGKOTANFSDTBJU-ZDUSSCGKSA-N 0 0 431.449 -0.885 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1O ZINC000475523187 1072624424 /nfs/dbraw/zinc/62/44/24/1072624424.db2.gz QPDIWMVQFKZSEI-CYBMUJFWSA-N 0 0 428.445 -0.073 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1O ZINC000475523188 1072624522 /nfs/dbraw/zinc/62/45/22/1072624522.db2.gz QPDIWMVQFKZSEI-ZDUSSCGKSA-N 0 0 428.445 -0.073 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1O ZINC000475523320 1072624517 /nfs/dbraw/zinc/62/45/17/1072624517.db2.gz FBGJVERPTSKXNW-AWEZNQCLSA-N 0 0 426.495 -0.312 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1O ZINC000475523321 1072624527 /nfs/dbraw/zinc/62/45/27/1072624527.db2.gz FBGJVERPTSKXNW-CQSZACIVSA-N 0 0 426.495 -0.312 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1O ZINC000475523887 1072624463 /nfs/dbraw/zinc/62/44/63/1072624463.db2.gz JWKPMUQWEDFABG-AWEZNQCLSA-N 0 0 445.476 -0.495 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1O ZINC000475523890 1072624478 /nfs/dbraw/zinc/62/44/78/1072624478.db2.gz JWKPMUQWEDFABG-CQSZACIVSA-N 0 0 445.476 -0.495 20 0 IBADRN O=S(=O)(c1cnc2n1CCC2)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000475526663 1072625079 /nfs/dbraw/zinc/62/50/79/1072625079.db2.gz GFYFDYUOVXGYAV-HNNXBMFYSA-N 0 0 432.568 -0.271 20 0 IBADRN O=S(=O)(c1cnc2n1CCC2)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000475526673 1072625100 /nfs/dbraw/zinc/62/51/00/1072625100.db2.gz GFYFDYUOVXGYAV-OAHLLOKOSA-N 0 0 432.568 -0.271 20 0 IBADRN O=S(=O)(c1cnc2n1CCC2)N1CCN(S(=O)(=O)c2cnc3n2CCC3)CC1 ZINC000475527264 1072625029 /nfs/dbraw/zinc/62/50/29/1072625029.db2.gz HISBSHTUFSLXSE-UHFFFAOYSA-N 0 0 426.524 -0.333 20 0 IBADRN COc1cccc(OC)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000475527417 1072625189 /nfs/dbraw/zinc/62/51/89/1072625189.db2.gz HPEAYAPZHMGSCY-UHFFFAOYSA-N 0 0 448.567 -0.498 20 0 IBADRN CCCN1C(=O)CC[C@@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)[C@@H]1c1cncn1C ZINC000475527427 1072624956 /nfs/dbraw/zinc/62/49/56/1072624956.db2.gz NLVZSPWVZGOFGQ-DNVCBOLYSA-N 0 0 443.512 -0.533 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000475527703 1072624922 /nfs/dbraw/zinc/62/49/22/1072624922.db2.gz DNRWVHXTRABAKM-UHFFFAOYSA-N 0 0 438.572 -0.056 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)NC1CC1 ZINC000475527793 1072625112 /nfs/dbraw/zinc/62/51/12/1072625112.db2.gz HWPCTJVMAQPEQX-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1cc(S(=O)(=O)N3CCOCC3)ccc1C)C2 ZINC000475528957 1072624414 /nfs/dbraw/zinc/62/44/14/1072624414.db2.gz HJSQZSZSQYCAFQ-UHFFFAOYSA-N 0 0 448.505 -0.103 20 0 IBADRN CCN(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000475533232 1072624947 /nfs/dbraw/zinc/62/49/47/1072624947.db2.gz BISAHBZJJQQXDA-UHFFFAOYSA-N 0 0 428.511 -0.656 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1cnc2n1CCC2)N1CCN(c2ccccn2)CC1 ZINC000475533655 1072625063 /nfs/dbraw/zinc/62/50/63/1072625063.db2.gz RYHKECMSYBTESS-UHFFFAOYSA-N 0 0 440.551 -0.345 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CCNS(=O)(=O)CCCOC)CC1 ZINC000475535271 1072625140 /nfs/dbraw/zinc/62/51/40/1072625140.db2.gz GAUXBPVJKGUBCX-UHFFFAOYSA-N 0 0 434.584 -0.327 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000475536216 1072625130 /nfs/dbraw/zinc/62/51/30/1072625130.db2.gz ZZTOKRRUUSUMRO-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CCN(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000475536459 1072625015 /nfs/dbraw/zinc/62/50/15/1072625015.db2.gz BMFKTRXIOSSJCZ-UHFFFAOYSA-N 0 0 440.522 -0.512 20 0 IBADRN O=C1NC(=O)C2(CCN(S(=O)(=O)c3ccccc3S(=O)(=O)N3CCCC3)CC2)N1 ZINC000475537517 1072625040 /nfs/dbraw/zinc/62/50/40/1072625040.db2.gz GZMROVVECVCVTL-UHFFFAOYSA-N 0 0 442.519 -0.166 20 0 IBADRN COc1cccc(OC)c1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000475538305 1072625625 /nfs/dbraw/zinc/62/56/25/1072625625.db2.gz MTZDTTMECXNYFP-UHFFFAOYSA-N 0 0 427.479 -0.605 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cnn(CC(F)(F)F)c2)CC1)N1CCOCC1 ZINC000475538847 1072625640 /nfs/dbraw/zinc/62/56/40/1072625640.db2.gz QZFXSSWUXNMYFO-UHFFFAOYSA-N 0 0 439.416 -0.863 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1ccc(NC(=O)CN3CCOCC3)cc1)C2 ZINC000475540119 1072625740 /nfs/dbraw/zinc/62/57/40/1072625740.db2.gz BVIUYQZWSVKLKW-UHFFFAOYSA-N 0 0 427.465 -0.162 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N3CCN(C)CC3)c1)C2 ZINC000475540699 1072625604 /nfs/dbraw/zinc/62/56/04/1072625604.db2.gz KRPHUBRBVJUJHV-UHFFFAOYSA-N 0 0 447.521 -0.496 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCn2nc(C)nc2C1 ZINC000475540955 1072625706 /nfs/dbraw/zinc/62/57/06/1072625706.db2.gz MWECILXBOGYWNZ-UHFFFAOYSA-N 0 0 441.492 -0.036 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475541320 1072625702 /nfs/dbraw/zinc/62/57/02/1072625702.db2.gz DWUVKHBJKYIKGK-CYBMUJFWSA-N 0 0 445.519 -0.477 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475541322 1072625693 /nfs/dbraw/zinc/62/56/93/1072625693.db2.gz DWUVKHBJKYIKGK-ZDUSSCGKSA-N 0 0 445.519 -0.477 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1ccc(CC(=O)N3CCN(C)CC3)cc1)C2 ZINC000475541389 1072625654 /nfs/dbraw/zinc/62/56/54/1072625654.db2.gz PMUWYNMALPPUEO-UHFFFAOYSA-N 0 0 425.493 -0.116 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000475543490 1072625756 /nfs/dbraw/zinc/62/57/56/1072625756.db2.gz QKJWZPFWHVZZJS-UHFFFAOYSA-N 0 0 444.579 -0.040 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475544962 1072625590 /nfs/dbraw/zinc/62/55/90/1072625590.db2.gz XIKJWDNMJCRPMX-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN COc1ncc(NC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)cc1C(N)=O ZINC000475545426 1072625515 /nfs/dbraw/zinc/62/55/15/1072625515.db2.gz JQRIHSBDDFOJIP-UHFFFAOYSA-N 0 0 435.462 -0.075 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCNS(=O)(=O)c2ccccc2)cn1)N1CCOCC1 ZINC000475545763 1072625577 /nfs/dbraw/zinc/62/55/77/1072625577.db2.gz CADKUCLWWLJUAH-UHFFFAOYSA-N 0 0 436.494 -0.158 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)nc1 ZINC000475545859 1072625752 /nfs/dbraw/zinc/62/57/52/1072625752.db2.gz CDXHBZCYLJUQPW-UHFFFAOYSA-N 0 0 442.480 -0.410 20 0 IBADRN COc1ncc(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)cc1C(N)=O ZINC000475546171 1072625049 /nfs/dbraw/zinc/62/50/49/1072625049.db2.gz GQCGXSSCEQUVKU-UHFFFAOYSA-N 0 0 441.491 -0.196 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000475549157 1072625168 /nfs/dbraw/zinc/62/51/68/1072625168.db2.gz DQJAOABYMBBTQB-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000475549254 1072625198 /nfs/dbraw/zinc/62/51/98/1072625198.db2.gz OPMPOVIIUSLEJJ-UHFFFAOYSA-N 0 0 437.565 -0.263 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)N1CCOCC1 ZINC000475555780 1072626380 /nfs/dbraw/zinc/62/63/80/1072626380.db2.gz YBDJMMIKCJVZIG-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cnn(CC(F)(F)F)c2)CC1)N1CCOCC1 ZINC000475556146 1072625730 /nfs/dbraw/zinc/62/57/30/1072625730.db2.gz OMHFNQVZAAAJCT-UHFFFAOYSA-N 0 0 425.433 -0.390 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000475557417 1072626271 /nfs/dbraw/zinc/62/62/71/1072626271.db2.gz BCQFRNKUTBWLIX-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CN(CC(=O)N1CCOCC1)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475557635 1072625557 /nfs/dbraw/zinc/62/55/57/1072625557.db2.gz ZOFCEDBUWQMKOX-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CS(=O)(=O)NCCCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475558184 1072626360 /nfs/dbraw/zinc/62/63/60/1072626360.db2.gz DIUZVYFXNCIQTJ-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc4n3CCC4)CC2)C[C@H](C)O1 ZINC000475558974 1072626232 /nfs/dbraw/zinc/62/62/32/1072626232.db2.gz MIPCMURELHEOHH-KBPBESRZSA-N 0 0 433.556 -0.510 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc4n3CCC4)CC2)C[C@H](C)O1 ZINC000475558975 1072626355 /nfs/dbraw/zinc/62/63/55/1072626355.db2.gz MIPCMURELHEOHH-OKILXGFUSA-N 0 0 433.556 -0.510 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc4n3CCC4)CC2)C[C@@H](C)O1 ZINC000475558976 1072626342 /nfs/dbraw/zinc/62/63/42/1072626342.db2.gz MIPCMURELHEOHH-ZIAGYGMSSA-N 0 0 433.556 -0.510 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000475561172 1072626851 /nfs/dbraw/zinc/62/68/51/1072626851.db2.gz AKAJLNUUYPEJBQ-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)s1 ZINC000475561986 1072626347 /nfs/dbraw/zinc/62/63/47/1072626347.db2.gz SILORPNODMXSNR-UHFFFAOYSA-N 0 0 428.496 -0.228 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000475562134 1072626807 /nfs/dbraw/zinc/62/68/07/1072626807.db2.gz BOFCXWKWODKUIB-UHFFFAOYSA-N 0 0 442.480 -0.410 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc4n3CCC4)CC2)o1 ZINC000475562874 1072626959 /nfs/dbraw/zinc/62/69/59/1072626959.db2.gz FMHOKAVICUAOPQ-UHFFFAOYSA-N 0 0 429.480 -0.784 20 0 IBADRN O=S(=O)(c1cnn(CC(F)(F)F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000475563300 1072626969 /nfs/dbraw/zinc/62/69/69/1072626969.db2.gz IPSDRJFJYSYCGQ-UHFFFAOYSA-N 0 0 447.461 -0.671 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000475563749 1072626951 /nfs/dbraw/zinc/62/69/51/1072626951.db2.gz KKLQTUGKUZALIP-UHFFFAOYSA-N 0 0 430.552 -0.477 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2cnn(CC(F)(F)F)c2)CC1 ZINC000475564570 1072627388 /nfs/dbraw/zinc/62/73/88/1072627388.db2.gz MNBNCGUDRZMPDQ-UHFFFAOYSA-N 0 0 425.433 -0.390 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)C(=O)OC ZINC000475564756 1072627351 /nfs/dbraw/zinc/62/73/51/1072627351.db2.gz KSHMNCCJTBKZAW-GFCCVEGCSA-N 0 0 434.492 -0.146 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)C(=O)OC ZINC000475564757 1072627304 /nfs/dbraw/zinc/62/73/04/1072627304.db2.gz KSHMNCCJTBKZAW-LBPRGKRZSA-N 0 0 434.492 -0.146 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000475564996 1072627322 /nfs/dbraw/zinc/62/73/22/1072627322.db2.gz NPDYGMPCXIYLAO-CABCVRRESA-N 0 0 439.556 -0.757 20 0 IBADRN COC(=O)[C@H]1CCCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000475564999 1072627498 /nfs/dbraw/zinc/62/74/98/1072627498.db2.gz NPDYGMPCXIYLAO-GJZGRUSLSA-N 0 0 439.556 -0.757 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000475565002 1072627432 /nfs/dbraw/zinc/62/74/32/1072627432.db2.gz NPDYGMPCXIYLAO-HUUCEWRRSA-N 0 0 439.556 -0.757 20 0 IBADRN COC(=O)[C@H]1CCCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000475565005 1072627377 /nfs/dbraw/zinc/62/73/77/1072627377.db2.gz NPDYGMPCXIYLAO-LSDHHAIUSA-N 0 0 439.556 -0.757 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000475566550 1072627512 /nfs/dbraw/zinc/62/75/12/1072627512.db2.gz SXJBFRQZJKGYQG-UHFFFAOYSA-N 0 0 447.496 -0.960 20 0 IBADRN O=S(=O)(NCCN1CCCS1(=O)=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475566781 1072627299 /nfs/dbraw/zinc/62/72/99/1072627299.db2.gz ARZNFOMOLVHQGN-UHFFFAOYSA-N 0 0 437.565 -0.215 20 0 IBADRN COc1cccc(OC)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000475566943 1072627491 /nfs/dbraw/zinc/62/74/91/1072627491.db2.gz VFWVTNZMTTWKPF-UHFFFAOYSA-N 0 0 435.524 -0.413 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475568343 1072627418 /nfs/dbraw/zinc/62/74/18/1072627418.db2.gz UXJQCNVKXAQRGQ-HNNXBMFYSA-N 0 0 428.515 -0.323 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475568347 1072627459 /nfs/dbraw/zinc/62/74/59/1072627459.db2.gz UXJQCNVKXAQRGQ-OAHLLOKOSA-N 0 0 428.515 -0.323 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475568428 1072627438 /nfs/dbraw/zinc/62/74/38/1072627438.db2.gz ANLWOXNEHAUQEG-UHFFFAOYSA-N 0 0 433.508 -0.490 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000475573103 1072628119 /nfs/dbraw/zinc/62/81/19/1072628119.db2.gz QYCDXOYOSWGVSU-UHFFFAOYSA-N 0 0 436.494 -0.028 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCn3nc(C)nc3C2)cc1 ZINC000475577975 1072628065 /nfs/dbraw/zinc/62/80/65/1072628065.db2.gz KBEUKHYOGBFLRV-GFCCVEGCSA-N 0 0 436.494 -0.119 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCn3nc(C)nc3C2)cc1 ZINC000475577976 1072628177 /nfs/dbraw/zinc/62/81/77/1072628177.db2.gz KBEUKHYOGBFLRV-LBPRGKRZSA-N 0 0 436.494 -0.119 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1)N1CCOCC1 ZINC000475579812 1072628455 /nfs/dbraw/zinc/62/84/55/1072628455.db2.gz ATSXAEDBXBAYKQ-UHFFFAOYSA-N 0 0 447.540 -0.096 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000475579816 1072628564 /nfs/dbraw/zinc/62/85/64/1072628564.db2.gz ILDBLVDHUHMLDY-UHFFFAOYSA-N 0 0 435.529 -0.146 20 0 IBADRN COc1cccc(OC)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000475580252 1072629247 /nfs/dbraw/zinc/62/92/47/1072629247.db2.gz BICSOUMBMNNPMG-UHFFFAOYSA-N 0 0 425.463 -0.314 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475580407 1072628430 /nfs/dbraw/zinc/62/84/30/1072628430.db2.gz KMRKYNCSZONWOH-UHFFFAOYSA-N 0 0 446.555 -0.758 20 0 IBADRN O=C(Cn1cc(NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cn1)N1CCOCC1 ZINC000475581773 1072629149 /nfs/dbraw/zinc/62/91/49/1072629149.db2.gz LEHQNNPIGKJCAY-UHFFFAOYSA-N 0 0 446.468 -0.559 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2=O)n1 ZINC000475581955 1072628411 /nfs/dbraw/zinc/62/84/11/1072628411.db2.gz RJGZIXMZBPYETF-HNNXBMFYSA-N 0 0 430.469 -0.208 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2=O)n1 ZINC000475581957 1072628617 /nfs/dbraw/zinc/62/86/17/1072628617.db2.gz RJGZIXMZBPYETF-OAHLLOKOSA-N 0 0 430.469 -0.208 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2=O)n(C)n1 ZINC000475582012 1072629176 /nfs/dbraw/zinc/62/91/76/1072629176.db2.gz RTPOEUXPJQHCNG-HNNXBMFYSA-N 0 0 430.469 -0.289 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2=O)n(C)n1 ZINC000475582016 1072629231 /nfs/dbraw/zinc/62/92/31/1072629231.db2.gz RTPOEUXPJQHCNG-OAHLLOKOSA-N 0 0 430.469 -0.289 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cnn(CC(F)(F)F)c2)CC1 ZINC000475584797 1072629028 /nfs/dbraw/zinc/62/90/28/1072629028.db2.gz PMLSOIICUYAQGT-UHFFFAOYSA-N 0 0 437.400 -0.573 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000475586247 1072629777 /nfs/dbraw/zinc/62/97/77/1072629777.db2.gz LLZUITVNCNRNON-FOIQADDNSA-N 0 0 436.509 -0.158 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000475586248 1072629672 /nfs/dbraw/zinc/62/96/72/1072629672.db2.gz LLZUITVNCNRNON-MGPUTAFESA-N 0 0 436.509 -0.158 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000475586249 1072629774 /nfs/dbraw/zinc/62/97/74/1072629774.db2.gz LLZUITVNCNRNON-QRWLVFNGSA-N 0 0 436.509 -0.158 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000475586250 1072629788 /nfs/dbraw/zinc/62/97/88/1072629788.db2.gz LLZUITVNCNRNON-YWZLYKJASA-N 0 0 436.509 -0.158 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000475586372 1072629266 /nfs/dbraw/zinc/62/92/66/1072629266.db2.gz DFYNSMJQAZOUKK-IBGZPJMESA-N 0 0 434.497 -0.231 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000475586373 1072629071 /nfs/dbraw/zinc/62/90/71/1072629071.db2.gz DFYNSMJQAZOUKK-LJQANCHMSA-N 0 0 434.497 -0.231 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCS(=O)(=O)NCC2CCC2)cn1)N1CCOCC1 ZINC000475586412 1072629743 /nfs/dbraw/zinc/62/97/43/1072629743.db2.gz DPBJSWGOXDJKMO-UHFFFAOYSA-N 0 0 428.515 -0.417 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000475587573 1072629780 /nfs/dbraw/zinc/62/97/80/1072629780.db2.gz GKHZMFRXYPJKMF-UHFFFAOYSA-N 0 0 429.481 -0.041 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)C(=O)N1CCOCC1 ZINC000475587995 1072629791 /nfs/dbraw/zinc/62/97/91/1072629791.db2.gz PBZHTHKAFCCESE-AWEZNQCLSA-N 0 0 431.536 -0.003 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)C(=O)N1CCOCC1 ZINC000475587996 1072629692 /nfs/dbraw/zinc/62/96/92/1072629692.db2.gz PBZHTHKAFCCESE-CQSZACIVSA-N 0 0 431.536 -0.003 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cn1)N1CCOCC1 ZINC000475588068 1072629767 /nfs/dbraw/zinc/62/97/67/1072629767.db2.gz GROCODIPVFUCFB-UHFFFAOYSA-N 0 0 447.540 -0.192 20 0 IBADRN COc1ccnc(N2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)n1 ZINC000475588237 1072629735 /nfs/dbraw/zinc/62/97/35/1072629735.db2.gz LDZJFQLCVUPPQN-UHFFFAOYSA-N 0 0 430.469 -0.105 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCCn2nc3n(c2=O)CCCC3)cn1)N1CCOCC1 ZINC000475588921 1072629757 /nfs/dbraw/zinc/62/97/57/1072629757.db2.gz RIMSAWPGTMEWQU-UHFFFAOYSA-N 0 0 432.485 -0.352 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N3CCN(C)CC3)cc1)C2 ZINC000475589973 1072630097 /nfs/dbraw/zinc/63/00/97/1072630097.db2.gz MWJRLAMYYZXHGW-UHFFFAOYSA-N 0 0 447.521 -0.496 20 0 IBADRN O=C(Cn1cc(NC(=O)Nc2cnn(CCN3CCOCC3)c2)cn1)N1CCOCC1 ZINC000475590171 1072631277 /nfs/dbraw/zinc/63/12/77/1072631277.db2.gz VGSXPATUZXQCSH-UHFFFAOYSA-N 0 0 432.485 -0.085 20 0 IBADRN CCCN1C(=O)CC[C@@H](C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)[C@@H]1c1cncn1C ZINC000475592138 1072631730 /nfs/dbraw/zinc/63/17/30/1072631730.db2.gz SBARKCXIJGOXAP-SPYBWZPUSA-N 0 0 432.525 -0.037 20 0 IBADRN CCCN1C(=O)CC[C@@H](C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)[C@@H]1c1cncn1C ZINC000475592139 1072631902 /nfs/dbraw/zinc/63/19/02/1072631902.db2.gz SBARKCXIJGOXAP-ZRGWGRIASA-N 0 0 432.525 -0.037 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CCOCC1 ZINC000475603816 1072634221 /nfs/dbraw/zinc/63/42/21/1072634221.db2.gz DPJAUBXBGAAAOX-CYBMUJFWSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CCOCC1 ZINC000475603817 1072634187 /nfs/dbraw/zinc/63/41/87/1072634187.db2.gz DPJAUBXBGAAAOX-ZDUSSCGKSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@@H](NC(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000475605873 1072634711 /nfs/dbraw/zinc/63/47/11/1072634711.db2.gz SFUPIPSTDUQCQF-CYBMUJFWSA-N 0 0 431.536 -0.759 20 0 IBADRN C[C@H](NC(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000475605877 1072634636 /nfs/dbraw/zinc/63/46/36/1072634636.db2.gz SFUPIPSTDUQCQF-ZDUSSCGKSA-N 0 0 431.536 -0.759 20 0 IBADRN CCN(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000475607676 1072643851 /nfs/dbraw/zinc/64/38/51/1072643851.db2.gz MCJAFMZMLIVBPN-UHFFFAOYSA-N 0 0 428.511 -0.656 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000475607936 1072643107 /nfs/dbraw/zinc/64/31/07/1072643107.db2.gz WRJZEPQGKSROSQ-FQEVSTJZSA-N 0 0 431.493 -0.186 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000475607939 1072643312 /nfs/dbraw/zinc/64/33/12/1072643312.db2.gz WRJZEPQGKSROSQ-HXUWFJFHSA-N 0 0 431.493 -0.186 20 0 IBADRN CCN(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000475611452 1072654326 /nfs/dbraw/zinc/65/43/26/1072654326.db2.gz YUIFGFYZPYACMG-UHFFFAOYSA-N 0 0 426.495 -0.902 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@]1(CCO)CCOC1)CC2 ZINC000475616839 1072662811 /nfs/dbraw/zinc/66/28/11/1072662811.db2.gz OTQGOXRLIBCLOD-IBGZPJMESA-N 0 0 425.507 -0.221 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@]1(CCO)CCOC1)CC2 ZINC000475616841 1072662735 /nfs/dbraw/zinc/66/27/35/1072662735.db2.gz OTQGOXRLIBCLOD-LJQANCHMSA-N 0 0 425.507 -0.221 20 0 IBADRN O=C(NC[C@]1(CCO)CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000475619092 1072665802 /nfs/dbraw/zinc/66/58/02/1072665802.db2.gz DKOFRPLUQYOCHP-AVRDEDQJSA-N 0 0 447.488 -0.455 20 0 IBADRN O=C(NC[C@]1(CCO)CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000475619094 1072665785 /nfs/dbraw/zinc/66/57/85/1072665785.db2.gz DKOFRPLUQYOCHP-GCJKJVERSA-N 0 0 447.488 -0.455 20 0 IBADRN O=C(NC[C@@]1(CCO)CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000475619096 1072665764 /nfs/dbraw/zinc/66/57/64/1072665764.db2.gz DKOFRPLUQYOCHP-PGRDOPGGSA-N 0 0 447.488 -0.455 20 0 IBADRN O=C(NC[C@@]1(CCO)CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000475619098 1072666471 /nfs/dbraw/zinc/66/64/71/1072666471.db2.gz DKOFRPLUQYOCHP-XMSQKQJNSA-N 0 0 447.488 -0.455 20 0 IBADRN O=C(NC[C@H](O)CN1CCOCC1)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475621489 1072672715 /nfs/dbraw/zinc/67/27/15/1072672715.db2.gz FENOUIKODNBUJX-KRWDZBQOSA-N 0 0 442.538 -0.042 20 0 IBADRN O=C(NC[C@@H](O)CN1CCOCC1)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475621490 1072672746 /nfs/dbraw/zinc/67/27/46/1072672746.db2.gz FENOUIKODNBUJX-QGZVFWFLSA-N 0 0 442.538 -0.042 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)c1 ZINC000475631907 1072698011 /nfs/dbraw/zinc/69/80/11/1072698011.db2.gz CRROPMXJHWPVFT-UHFFFAOYSA-N 0 0 441.452 -0.104 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475646622 1072752760 /nfs/dbraw/zinc/75/27/60/1072752760.db2.gz KMYBLAQMAWLIRA-UHFFFAOYSA-N 0 0 425.511 -0.303 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)CCNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000475646866 1072752894 /nfs/dbraw/zinc/75/28/94/1072752894.db2.gz CYAIFXKCYIQGEM-UHFFFAOYSA-N 0 0 428.511 -0.123 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000475648827 1072758438 /nfs/dbraw/zinc/75/84/38/1072758438.db2.gz ODLTVYYPCZXZTI-UHFFFAOYSA-N 0 0 425.467 -0.183 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000475650300 1072769798 /nfs/dbraw/zinc/76/97/98/1072769798.db2.gz MLFJRPIACZXEMF-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN COCCC(=O)N1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000475651563 1072771906 /nfs/dbraw/zinc/77/19/06/1072771906.db2.gz QBTQKRHJXCGHCD-UHFFFAOYSA-N 0 0 430.513 -0.807 20 0 IBADRN COCCC(=O)N1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000475651726 1072772072 /nfs/dbraw/zinc/77/20/72/1072772072.db2.gz QQWMOJZHIQZZDF-HNNXBMFYSA-N 0 0 426.539 -0.438 20 0 IBADRN COCCC(=O)N1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000475651727 1072771965 /nfs/dbraw/zinc/77/19/65/1072771965.db2.gz QQWMOJZHIQZZDF-OAHLLOKOSA-N 0 0 426.539 -0.438 20 0 IBADRN O=C(CO)N1CCN(C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)CC1 ZINC000475657258 1072823710 /nfs/dbraw/zinc/82/37/10/1072823710.db2.gz TUYHHMJESYQKJD-UHFFFAOYSA-N 0 0 426.495 -0.188 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)C(=O)C1=O ZINC000475658487 1072823732 /nfs/dbraw/zinc/82/37/32/1072823732.db2.gz DJOVPNKJEPCBJT-UHFFFAOYSA-N 0 0 438.506 -0.441 20 0 IBADRN COCCC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000475662995 1072823383 /nfs/dbraw/zinc/82/33/83/1072823383.db2.gz VFONJAJQDCRKEE-UHFFFAOYSA-N 0 0 438.550 -0.300 20 0 IBADRN COCCC(=O)N1CCN(CC2(CN3CCN(C(=O)CCOC)CC3)COC2)CC1 ZINC000475663910 1072823605 /nfs/dbraw/zinc/82/36/05/1072823605.db2.gz ZAMGWQNVSKHFEF-UHFFFAOYSA-N 0 0 426.558 -0.636 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)F)c2)CC1 ZINC000475668339 1072824104 /nfs/dbraw/zinc/82/41/04/1072824104.db2.gz ATPYXBGUKKQNSB-UHFFFAOYSA-N 0 0 437.469 -0.014 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)C(=O)N1CCCCC1 ZINC000475668377 1072824139 /nfs/dbraw/zinc/82/41/39/1072824139.db2.gz BEDSLLHVXUHQKW-JYJNAYRXSA-N 0 0 448.524 -0.025 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)N1CCCCC1 ZINC000475668378 1072824092 /nfs/dbraw/zinc/82/40/92/1072824092.db2.gz BEDSLLHVXUHQKW-OAGGEKHMSA-N 0 0 448.524 -0.025 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)N1CCCCC1 ZINC000475668379 1072824264 /nfs/dbraw/zinc/82/42/64/1072824264.db2.gz BEDSLLHVXUHQKW-XHSDSOJGSA-N 0 0 448.524 -0.025 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000475669783 1072825259 /nfs/dbraw/zinc/82/52/59/1072825259.db2.gz FCDSQIXNOTUTCA-UHFFFAOYSA-N 0 0 449.551 -0.176 20 0 IBADRN COCCC(=O)N1CCN(CCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000475671594 1072829165 /nfs/dbraw/zinc/82/91/65/1072829165.db2.gz JTHWXTZTARGCHE-UHFFFAOYSA-N 0 0 426.539 -0.437 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCCS(=O)(=O)CC2)cc1 ZINC000475672001 1072828670 /nfs/dbraw/zinc/82/86/70/1072828670.db2.gz KTMNGUYTJGXJCO-CYBMUJFWSA-N 0 0 439.490 -0.046 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCCS(=O)(=O)CC2)cc1 ZINC000475672011 1072828720 /nfs/dbraw/zinc/82/87/20/1072828720.db2.gz KTMNGUYTJGXJCO-ZDUSSCGKSA-N 0 0 439.490 -0.046 20 0 IBADRN COCCC(=O)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000475672911 1072829124 /nfs/dbraw/zinc/82/91/24/1072829124.db2.gz MMCRVVPRTQRZOP-DLBZAZTESA-N 0 0 431.555 -0.638 20 0 IBADRN COCCC(=O)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000475672915 1072829882 /nfs/dbraw/zinc/82/98/82/1072829882.db2.gz MMCRVVPRTQRZOP-IAGOWNOFSA-N 0 0 431.555 -0.638 20 0 IBADRN COCCC(=O)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000475672917 1072829719 /nfs/dbraw/zinc/82/97/19/1072829719.db2.gz MMCRVVPRTQRZOP-IRXDYDNUSA-N 0 0 431.555 -0.638 20 0 IBADRN COCCC(=O)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000475672919 1072829857 /nfs/dbraw/zinc/82/98/57/1072829857.db2.gz MMCRVVPRTQRZOP-SJORKVTESA-N 0 0 431.555 -0.638 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCOc2ncccc2Cl)cn1)N1CCOCC1 ZINC000475673015 1072829649 /nfs/dbraw/zinc/82/96/49/1072829649.db2.gz MZAZZTJSLXWUJQ-UHFFFAOYSA-N 0 0 436.856 -0.076 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@H](Oc3ccncc3)C2)cn1)N1CCOCC1 ZINC000475673486 1072833505 /nfs/dbraw/zinc/83/35/05/1072833505.db2.gz NYVGJDAYKRMKNT-KRWDZBQOSA-N 0 0 428.449 -0.245 20 0 IBADRN COCCC(=O)N1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000475673946 1072832183 /nfs/dbraw/zinc/83/21/83/1072832183.db2.gz RRGAIDFJTFXDQL-UHFFFAOYSA-N 0 0 432.521 -0.044 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@H](C)C(F)(F)F)CC2)cn1 ZINC000475674350 1072837654 /nfs/dbraw/zinc/83/76/54/1072837654.db2.gz CUPBERGAKCACRA-GFCCVEGCSA-N 0 0 434.419 -0.321 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(F)(F)F)CC2)cn1 ZINC000475674351 1072837636 /nfs/dbraw/zinc/83/76/36/1072837636.db2.gz CUPBERGAKCACRA-LBPRGKRZSA-N 0 0 434.419 -0.321 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCOc2ccc(Cl)cn2)cn1)N1CCOCC1 ZINC000475674403 1072837544 /nfs/dbraw/zinc/83/75/44/1072837544.db2.gz PVTZLGOPYNWYBQ-UHFFFAOYSA-N 0 0 436.856 -0.076 20 0 IBADRN CSC[C@@H](CCO)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475674589 1072836740 /nfs/dbraw/zinc/83/67/40/1072836740.db2.gz DIEOADSZLSVHJG-HZSPNIEDSA-N 0 0 427.527 -0.312 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475674591 1072836968 /nfs/dbraw/zinc/83/69/68/1072836968.db2.gz DIEOADSZLSVHJG-IHRRRGAJSA-N 0 0 427.527 -0.312 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475674593 1072836931 /nfs/dbraw/zinc/83/69/31/1072836931.db2.gz DIEOADSZLSVHJG-MCIONIFRSA-N 0 0 427.527 -0.312 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475674595 1072837030 /nfs/dbraw/zinc/83/70/30/1072837030.db2.gz DIEOADSZLSVHJG-MJBXVCDLSA-N 0 0 427.527 -0.312 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)NC(C)(C)C ZINC000475676132 1072839100 /nfs/dbraw/zinc/83/91/00/1072839100.db2.gz IWWPXDVXUAMIJU-HZSPNIEDSA-N 0 0 436.513 -0.123 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)NC(C)(C)C ZINC000475676133 1072839131 /nfs/dbraw/zinc/83/91/31/1072839131.db2.gz IWWPXDVXUAMIJU-MCIONIFRSA-N 0 0 436.513 -0.123 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)NC(C)(C)C ZINC000475676134 1072838958 /nfs/dbraw/zinc/83/89/58/1072838958.db2.gz IWWPXDVXUAMIJU-MGPQQGTHSA-N 0 0 436.513 -0.123 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)NC(C)(C)C ZINC000475676135 1072838978 /nfs/dbraw/zinc/83/89/78/1072838978.db2.gz IWWPXDVXUAMIJU-MJBXVCDLSA-N 0 0 436.513 -0.123 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCNC(=O)C3CCC3)cn2)C[C@H](C)O1 ZINC000475676405 1072839668 /nfs/dbraw/zinc/83/96/68/1072839668.db2.gz JRFFRKBAIZXFNQ-GASCZTMLSA-N 0 0 448.524 -0.120 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCNC(=O)C3CCC3)cn2)C[C@H](C)O1 ZINC000475676408 1072839517 /nfs/dbraw/zinc/83/95/17/1072839517.db2.gz JRFFRKBAIZXFNQ-GJZGRUSLSA-N 0 0 448.524 -0.120 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCNC(=O)C3CCC3)cn2)C[C@@H](C)O1 ZINC000475676409 1072839527 /nfs/dbraw/zinc/83/95/27/1072839527.db2.gz JRFFRKBAIZXFNQ-HUUCEWRRSA-N 0 0 448.524 -0.120 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c1)C2 ZINC000475677067 1072838948 /nfs/dbraw/zinc/83/89/48/1072838948.db2.gz SEALIQFRWQEYBU-BETUJISGSA-N 0 0 430.469 -0.600 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c1)C2 ZINC000475677068 1072838987 /nfs/dbraw/zinc/83/89/87/1072838987.db2.gz SEALIQFRWQEYBU-CHWSQXEVSA-N 0 0 430.469 -0.600 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c1)C2 ZINC000475677069 1072839125 /nfs/dbraw/zinc/83/91/25/1072839125.db2.gz SEALIQFRWQEYBU-STQMWFEESA-N 0 0 430.469 -0.600 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H]1n1ccnc1 ZINC000475677408 1072841315 /nfs/dbraw/zinc/84/13/15/1072841315.db2.gz SUDMKZKDHIWEER-DOTOQJQBSA-N 0 0 429.481 -0.013 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H]1n1ccnc1 ZINC000475677410 1072841347 /nfs/dbraw/zinc/84/13/47/1072841347.db2.gz SUDMKZKDHIWEER-NVXWUHKLSA-N 0 0 429.481 -0.013 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H]1n1ccnc1 ZINC000475677411 1072841299 /nfs/dbraw/zinc/84/12/99/1072841299.db2.gz SUDMKZKDHIWEER-RDJZCZTQSA-N 0 0 429.481 -0.013 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H]1n1ccnc1 ZINC000475677412 1072841370 /nfs/dbraw/zinc/84/13/70/1072841370.db2.gz SUDMKZKDHIWEER-WBVHZDCISA-N 0 0 429.481 -0.013 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)cn1 ZINC000475677501 1072841967 /nfs/dbraw/zinc/84/19/67/1072841967.db2.gz FQDYOKRPKYTOGB-INIZCTEOSA-N 0 0 448.524 -0.118 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)cn1 ZINC000475677502 1072841694 /nfs/dbraw/zinc/84/16/94/1072841694.db2.gz FQDYOKRPKYTOGB-MRXNPFEDSA-N 0 0 448.524 -0.118 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1)C(F)(F)F ZINC000475680575 1072843346 /nfs/dbraw/zinc/84/33/46/1072843346.db2.gz WPQCOLJDADFIQN-CYBMUJFWSA-N 0 0 446.430 -0.225 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1)C(F)(F)F ZINC000475680576 1072843235 /nfs/dbraw/zinc/84/32/35/1072843235.db2.gz WPQCOLJDADFIQN-ZDUSSCGKSA-N 0 0 446.430 -0.225 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cc1C(N)=O ZINC000475680611 1072843183 /nfs/dbraw/zinc/84/31/83/1072843183.db2.gz WWTJJFDEKGZMBW-CYBMUJFWSA-N 0 0 432.481 -0.717 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cc1C(N)=O ZINC000475680612 1072844784 /nfs/dbraw/zinc/84/47/84/1072844784.db2.gz WWTJJFDEKGZMBW-ZDUSSCGKSA-N 0 0 432.481 -0.717 20 0 IBADRN COc1ccc([C@@H](CCO)NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000475680619 1072845282 /nfs/dbraw/zinc/84/52/82/1072845282.db2.gz WYPDYJCGXJZOQK-GOSISDBHSA-N 0 0 445.476 -0.071 20 0 IBADRN COc1ccc([C@H](CCO)NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000475680620 1072845461 /nfs/dbraw/zinc/84/54/61/1072845461.db2.gz WYPDYJCGXJZOQK-SFHVURJKSA-N 0 0 445.476 -0.071 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2)cn1 ZINC000475682504 1072847388 /nfs/dbraw/zinc/84/73/88/1072847388.db2.gz RRYWQDSCQJWSOQ-HNNXBMFYSA-N 0 0 444.492 -0.012 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2)cn1 ZINC000475682505 1072847555 /nfs/dbraw/zinc/84/75/55/1072847555.db2.gz RRYWQDSCQJWSOQ-OAHLLOKOSA-N 0 0 444.492 -0.012 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000475682548 1072847895 /nfs/dbraw/zinc/84/78/95/1072847895.db2.gz SEIQYDFAHWBOLB-KFWWJZLASA-N 0 0 449.512 -0.623 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000475682549 1072847949 /nfs/dbraw/zinc/84/79/49/1072847949.db2.gz SEIQYDFAHWBOLB-KKUMJFAQSA-N 0 0 449.512 -0.623 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475682550 1072848010 /nfs/dbraw/zinc/84/80/10/1072848010.db2.gz SEIQYDFAHWBOLB-QLFBSQMISA-N 0 0 449.512 -0.623 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475682551 1072847960 /nfs/dbraw/zinc/84/79/60/1072847960.db2.gz SEIQYDFAHWBOLB-ZNMIVQPWSA-N 0 0 449.512 -0.623 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@](O)(C(F)(F)F)C3)cn2)C[C@H](C)O1 ZINC000475682650 1072847826 /nfs/dbraw/zinc/84/78/26/1072847826.db2.gz TUQKILMPROTZTE-BWACUDIHSA-N 0 0 447.414 -0.017 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@@](O)(C(F)(F)F)C3)cn2)C[C@H](C)O1 ZINC000475682651 1072848033 /nfs/dbraw/zinc/84/80/33/1072848033.db2.gz TUQKILMPROTZTE-JKDFXYPNSA-N 0 0 447.414 -0.017 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@](O)(C(F)(F)F)C3)cn2)C[C@@H](C)O1 ZINC000475682652 1072847868 /nfs/dbraw/zinc/84/78/68/1072847868.db2.gz TUQKILMPROTZTE-PSTGCABASA-N 0 0 447.414 -0.017 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@@](O)(C(F)(F)F)C3)cn2)C[C@@H](C)O1 ZINC000475682653 1072847849 /nfs/dbraw/zinc/84/78/49/1072847849.db2.gz TUQKILMPROTZTE-QFSBIZTOSA-N 0 0 447.414 -0.017 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475682914 1072847535 /nfs/dbraw/zinc/84/75/35/1072847535.db2.gz WDLOLFDYYQZAGG-AWEZNQCLSA-N 0 0 435.481 -0.129 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000475682915 1072847629 /nfs/dbraw/zinc/84/76/29/1072847629.db2.gz WDLOLFDYYQZAGG-CQSZACIVSA-N 0 0 435.481 -0.129 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(NC(=O)N(C)C)cc1)C2 ZINC000475684780 1072849825 /nfs/dbraw/zinc/84/98/25/1072849825.db2.gz FSONFPGSNYOMND-UHFFFAOYSA-N 0 0 444.496 -0.048 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3nc(C)c(C)o3)CC2)cn1 ZINC000475685497 1072851681 /nfs/dbraw/zinc/85/16/81/1072851681.db2.gz GJTRUNVTMDKUCB-UHFFFAOYSA-N 0 0 447.496 -0.467 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N1CCCC[C@H]1C ZINC000475685764 1072850996 /nfs/dbraw/zinc/85/09/96/1072850996.db2.gz IXCLWTLJTJUXNL-CABCVRRESA-N 0 0 434.497 -0.414 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N1CCCC[C@@H]1C ZINC000475685768 1072850959 /nfs/dbraw/zinc/85/09/59/1072850959.db2.gz IXCLWTLJTJUXNL-GJZGRUSLSA-N 0 0 434.497 -0.414 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N1CCCC[C@H]1C ZINC000475685770 1072850887 /nfs/dbraw/zinc/85/08/87/1072850887.db2.gz IXCLWTLJTJUXNL-HUUCEWRRSA-N 0 0 434.497 -0.414 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N1CCCC[C@@H]1C ZINC000475685772 1072850948 /nfs/dbraw/zinc/85/09/48/1072850948.db2.gz IXCLWTLJTJUXNL-LSDHHAIUSA-N 0 0 434.497 -0.414 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCCC3)C2)cn1 ZINC000475686275 1072852243 /nfs/dbraw/zinc/85/22/43/1072852243.db2.gz JXPCXIOVXABVMU-HNNXBMFYSA-N 0 0 434.497 -0.555 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCCC3)C2)cn1 ZINC000475686276 1072852432 /nfs/dbraw/zinc/85/24/32/1072852432.db2.gz JXPCXIOVXABVMU-OAHLLOKOSA-N 0 0 434.497 -0.555 20 0 IBADRN COCCC(=O)N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000475686390 1072852014 /nfs/dbraw/zinc/85/20/14/1072852014.db2.gz AKCZOHIMLCCYPG-UHFFFAOYSA-N 0 0 443.504 -0.459 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)F)c2)CC1 ZINC000475686861 1072851520 /nfs/dbraw/zinc/85/15/20/1072851520.db2.gz BDMRDASWLIPMIP-CYBMUJFWSA-N 0 0 430.456 -0.228 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)F)c2)CC1 ZINC000475686863 1072851598 /nfs/dbraw/zinc/85/15/98/1072851598.db2.gz BDMRDASWLIPMIP-ZDUSSCGKSA-N 0 0 430.456 -0.228 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(C(=O)CCOC)CC2)c(=O)[nH]c1=O ZINC000475687908 1072852740 /nfs/dbraw/zinc/85/27/40/1072852740.db2.gz WXHZCKPGNTYMDI-UHFFFAOYSA-N 0 0 438.529 -0.135 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)F)c2)CC1 ZINC000475689483 1072859041 /nfs/dbraw/zinc/85/90/41/1072859041.db2.gz ILBRPKMYQIULHQ-CYBMUJFWSA-N 0 0 428.440 -0.522 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)F)c2)CC1 ZINC000475689487 1072859140 /nfs/dbraw/zinc/85/91/40/1072859140.db2.gz ILBRPKMYQIULHQ-ZDUSSCGKSA-N 0 0 428.440 -0.522 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCCC3)C2)cn1)N1CCOCC1 ZINC000475690185 1072860145 /nfs/dbraw/zinc/86/01/45/1072860145.db2.gz VCXDIKQHSXTMLS-INIZCTEOSA-N 0 0 446.508 -0.459 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCCC3)C2)cn1)N1CCOCC1 ZINC000475690186 1072859963 /nfs/dbraw/zinc/85/99/63/1072859963.db2.gz VCXDIKQHSXTMLS-MRXNPFEDSA-N 0 0 446.508 -0.459 20 0 IBADRN O=C(Nc1cnn(CC(F)F)c1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000475691154 1072860055 /nfs/dbraw/zinc/86/00/55/1072860055.db2.gz CWDPAKDDGXVKDL-UHFFFAOYSA-N 0 0 432.409 -0.251 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCO[C@@H]3CCOC3)cn2)C[C@@H](C)O1 ZINC000475691198 1072860132 /nfs/dbraw/zinc/86/01/32/1072860132.db2.gz DKEOMKGDJNACMX-BFYDXBDKSA-N 0 0 437.497 -0.231 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCO[C@@H]3CCOC3)cn2)C[C@H](C)O1 ZINC000475691199 1072860092 /nfs/dbraw/zinc/86/00/92/1072860092.db2.gz DKEOMKGDJNACMX-HLLBOEOZSA-N 0 0 437.497 -0.231 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCO[C@H]3CCOC3)cn2)C[C@@H](C)O1 ZINC000475691200 1072860233 /nfs/dbraw/zinc/86/02/33/1072860233.db2.gz DKEOMKGDJNACMX-INMHGKMJSA-N 0 0 437.497 -0.231 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCO[C@H]3CCOC3)cn2)C[C@H](C)O1 ZINC000475691201 1072859986 /nfs/dbraw/zinc/85/99/86/1072859986.db2.gz DKEOMKGDJNACMX-UXLLHSPISA-N 0 0 437.497 -0.231 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCCS(=O)(=O)CC2)cc1S(=O)(=O)N(C)C ZINC000475691615 1072860018 /nfs/dbraw/zinc/86/00/18/1072860018.db2.gz WKAGMJOLHCQBAK-UHFFFAOYSA-N 0 0 447.535 -0.079 20 0 IBADRN CN(C(=O)CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C1CCCCC1 ZINC000475692081 1072860190 /nfs/dbraw/zinc/86/01/90/1072860190.db2.gz AZPCWPRUIKFTGR-UHFFFAOYSA-N 0 0 434.497 -0.412 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)N1CCCCC1 ZINC000475692121 1072860031 /nfs/dbraw/zinc/86/00/31/1072860031.db2.gz BEDSLLHVXUHQKW-BZUAXINKSA-N 0 0 448.524 -0.025 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)N1CCCCC1 ZINC000475692123 1072860220 /nfs/dbraw/zinc/86/02/20/1072860220.db2.gz BEDSLLHVXUHQKW-OWCLPIDISA-N 0 0 448.524 -0.025 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCCS(=O)(=O)CC1 ZINC000475692630 1072860451 /nfs/dbraw/zinc/86/04/51/1072860451.db2.gz IRBUIMXNNJCGPU-UHFFFAOYSA-N 0 0 446.551 -0.412 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000475692774 1072860510 /nfs/dbraw/zinc/86/05/10/1072860510.db2.gz CZLBSRVZLJEOKP-KFWWJZLASA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000475692775 1072860684 /nfs/dbraw/zinc/86/06/84/1072860684.db2.gz CZLBSRVZLJEOKP-KKUMJFAQSA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475692776 1072860672 /nfs/dbraw/zinc/86/06/72/1072860672.db2.gz CZLBSRVZLJEOKP-ZNMIVQPWSA-N 0 0 435.481 -0.131 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)cc1C(N)=O ZINC000475694005 1072860206 /nfs/dbraw/zinc/86/02/06/1072860206.db2.gz FJDFXZPUYJXKFB-UHFFFAOYSA-N 0 0 443.464 -0.470 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000475694109 1072859940 /nfs/dbraw/zinc/85/99/40/1072859940.db2.gz FWAVKIVDQMVRDQ-ARGWCVDVSA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475694110 1072859955 /nfs/dbraw/zinc/85/99/55/1072859955.db2.gz FWAVKIVDQMVRDQ-LAPQFRIASA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000475694111 1072859975 /nfs/dbraw/zinc/85/99/75/1072859975.db2.gz FWAVKIVDQMVRDQ-MNVSYLFESA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000475694112 1072860043 /nfs/dbraw/zinc/86/00/43/1072860043.db2.gz FWAVKIVDQMVRDQ-PJSUUKDQSA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475695516 1072860581 /nfs/dbraw/zinc/86/05/81/1072860581.db2.gz BAHDAQPYPWCEPD-KBPBESRZSA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475695517 1072860595 /nfs/dbraw/zinc/86/05/95/1072860595.db2.gz BAHDAQPYPWCEPD-OKILXGFUSA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000475695518 1072860723 /nfs/dbraw/zinc/86/07/23/1072860723.db2.gz BAHDAQPYPWCEPD-ZIAGYGMSSA-N 0 0 435.481 -0.131 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000475697134 1072860982 /nfs/dbraw/zinc/86/09/82/1072860982.db2.gz VZRVOEUEBCSMCT-UHFFFAOYSA-N 0 0 435.529 -0.776 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)(F)F)c2)CC1 ZINC000475697845 1072861017 /nfs/dbraw/zinc/86/10/17/1072861017.db2.gz YSAOTRWLXFPUFA-CYBMUJFWSA-N 0 0 446.430 -0.225 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(F)(F)F)c2)CC1 ZINC000475697847 1072861148 /nfs/dbraw/zinc/86/11/48/1072861148.db2.gz YSAOTRWLXFPUFA-ZDUSSCGKSA-N 0 0 446.430 -0.225 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1(C)C ZINC000475699608 1072861488 /nfs/dbraw/zinc/86/14/88/1072861488.db2.gz LOICODRTCGLNTH-UHFFFAOYSA-N 0 0 434.497 -0.462 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)cn2)C[C@H](C)O1 ZINC000475700655 1072861697 /nfs/dbraw/zinc/86/16/97/1072861697.db2.gz NSXZKQMCXQLRRB-HZSPNIEDSA-N 0 0 432.481 -0.663 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)cn2)C[C@@H](C)O1 ZINC000475700659 1072861790 /nfs/dbraw/zinc/86/17/90/1072861790.db2.gz NSXZKQMCXQLRRB-MCIONIFRSA-N 0 0 432.481 -0.663 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)cn2)C[C@@H](C)O1 ZINC000475700661 1072861780 /nfs/dbraw/zinc/86/17/80/1072861780.db2.gz NSXZKQMCXQLRRB-MGPQQGTHSA-N 0 0 432.481 -0.663 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)cn2)C[C@H](C)O1 ZINC000475700664 1072861762 /nfs/dbraw/zinc/86/17/62/1072861762.db2.gz NSXZKQMCXQLRRB-MJBXVCDLSA-N 0 0 432.481 -0.663 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCCS(=O)(=O)CC3)cc2)CC1 ZINC000475700706 1072861714 /nfs/dbraw/zinc/86/17/14/1072861714.db2.gz NYMILWXPONHINU-UHFFFAOYSA-N 0 0 436.534 -0.411 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(Cc3ccccc3)c2)CC1 ZINC000475703521 1072862650 /nfs/dbraw/zinc/86/26/50/1072862650.db2.gz CYZBLRXKRLUKNX-UHFFFAOYSA-N 0 0 428.493 -0.223 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475703801 1072862853 /nfs/dbraw/zinc/86/28/53/1072862853.db2.gz FJUUYMSROUWKQL-AIANPOQGSA-N 0 0 437.497 -0.232 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475703802 1072863016 /nfs/dbraw/zinc/86/30/16/1072863016.db2.gz FJUUYMSROUWKQL-KCYZZUKISA-N 0 0 437.497 -0.232 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475703803 1072862966 /nfs/dbraw/zinc/86/29/66/1072862966.db2.gz FJUUYMSROUWKQL-PNBKFKSVSA-N 0 0 437.497 -0.232 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475703804 1072862737 /nfs/dbraw/zinc/86/27/37/1072862737.db2.gz FJUUYMSROUWKQL-WBTNSWJXSA-N 0 0 437.497 -0.232 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H](CC(N)=O)C3)cn2)C[C@@H](C)O1 ZINC000475703818 1072863005 /nfs/dbraw/zinc/86/30/05/1072863005.db2.gz FPFGJCSSXUYISL-KFWWJZLASA-N 0 0 434.497 -0.429 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H](CC(N)=O)C3)cn2)C[C@H](C)O1 ZINC000475703819 1072862944 /nfs/dbraw/zinc/86/29/44/1072862944.db2.gz FPFGJCSSXUYISL-QLFBSQMISA-N 0 0 434.497 -0.429 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H](CC(N)=O)C3)cn2)C[C@@H](C)O1 ZINC000475703820 1072862752 /nfs/dbraw/zinc/86/27/52/1072862752.db2.gz FPFGJCSSXUYISL-RBSFLKMASA-N 0 0 434.497 -0.429 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H](CC(N)=O)C3)cn2)C[C@H](C)O1 ZINC000475703821 1072862934 /nfs/dbraw/zinc/86/29/34/1072862934.db2.gz FPFGJCSSXUYISL-ZNMIVQPWSA-N 0 0 434.497 -0.429 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)c1ccccc1 ZINC000475704414 1072863523 /nfs/dbraw/zinc/86/35/23/1072863523.db2.gz QONOCSHFKLQUOA-KRWDZBQOSA-N 0 0 443.460 -0.247 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)c1ccccc1 ZINC000475704415 1072863566 /nfs/dbraw/zinc/86/35/66/1072863566.db2.gz QONOCSHFKLQUOA-QGZVFWFLSA-N 0 0 443.460 -0.247 20 0 IBADRN COC(=O)c1cccc(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000475705250 1072863489 /nfs/dbraw/zinc/86/34/89/1072863489.db2.gz JTWOXRCAORAYPN-UHFFFAOYSA-N 0 0 429.433 -0.217 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@@](=O)[C@@H](C)C3)cn2)C[C@@H](C)O1 ZINC000475707324 1072863511 /nfs/dbraw/zinc/86/35/11/1072863511.db2.gz CKMZKGVBUNIZAD-MZNMPBRQSA-N 0 0 425.511 -0.563 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)[C@H](C)C3)cn2)C[C@H](C)O1 ZINC000475707325 1072863545 /nfs/dbraw/zinc/86/35/45/1072863545.db2.gz CKMZKGVBUNIZAD-JUHYKSISSA-N 0 0 425.511 -0.563 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)[C@@H](C)C3)cn2)C[C@H](C)O1 ZINC000475707326 1072863992 /nfs/dbraw/zinc/86/39/92/1072863992.db2.gz CKMZKGVBUNIZAD-MVWDOPIYSA-N 0 0 425.511 -0.563 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[S@](=O)[C@H](C)C3)cn2)C[C@@H](C)O1 ZINC000475707327 1072863891 /nfs/dbraw/zinc/86/38/91/1072863891.db2.gz CKMZKGVBUNIZAD-RMBHEVAPSA-N 0 0 425.511 -0.563 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@]3(O)CCSC3)cn2)C[C@H](C)O1 ZINC000475707816 1072863554 /nfs/dbraw/zinc/86/35/54/1072863554.db2.gz ZSVYUYAIVKRFBI-FHSNZYRGSA-N 0 0 425.511 -0.558 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@@]3(O)CCSC3)cn2)C[C@H](C)O1 ZINC000475707821 1072863471 /nfs/dbraw/zinc/86/34/71/1072863471.db2.gz ZSVYUYAIVKRFBI-JCGVRSQUSA-N 0 0 425.511 -0.558 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@]3(O)CCSC3)cn2)C[C@@H](C)O1 ZINC000475707823 1072863306 /nfs/dbraw/zinc/86/33/06/1072863306.db2.gz ZSVYUYAIVKRFBI-SNUQEOBHSA-N 0 0 425.511 -0.558 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@@]3(O)CCSC3)cn2)C[C@@H](C)O1 ZINC000475707825 1072863464 /nfs/dbraw/zinc/86/34/64/1072863464.db2.gz ZSVYUYAIVKRFBI-VFVRVIDISA-N 0 0 425.511 -0.558 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)C2 ZINC000475709131 1072863930 /nfs/dbraw/zinc/86/39/30/1072863930.db2.gz IWWNPFJSXHIZCC-UHFFFAOYSA-N 0 0 428.449 -0.195 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCCS(=O)(=O)CC1 ZINC000475709779 1072864188 /nfs/dbraw/zinc/86/41/88/1072864188.db2.gz NFAHJEWCDIGKEK-UHFFFAOYSA-N 0 0 445.519 -0.279 20 0 IBADRN COc1ncc(NC(=O)C(=O)N(C)CCNS(=O)(=O)c2ccc(C)cc2)cc1C(N)=O ZINC000475710013 1072863947 /nfs/dbraw/zinc/86/39/47/1072863947.db2.gz ORDSPJNIWFGHQH-UHFFFAOYSA-N 0 0 449.489 -0.127 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(c3cccc(O)c3)CC2)cn1)N1CCOCC1 ZINC000475710171 1072864075 /nfs/dbraw/zinc/86/40/75/1072864075.db2.gz PQXFSKZFQTUPQI-UHFFFAOYSA-N 0 0 442.476 -0.265 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475710235 1072864134 /nfs/dbraw/zinc/86/41/34/1072864134.db2.gz PFNDQALULIPPEX-HOTGVXAUSA-N 0 0 436.513 -0.752 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000475710236 1072863960 /nfs/dbraw/zinc/86/39/60/1072863960.db2.gz PFNDQALULIPPEX-HZPDHXFCSA-N 0 0 436.513 -0.752 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475710237 1072864154 /nfs/dbraw/zinc/86/41/54/1072864154.db2.gz PFNDQALULIPPEX-IYBDPMFKSA-N 0 0 436.513 -0.752 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000475710504 1072864174 /nfs/dbraw/zinc/86/41/74/1072864174.db2.gz RFSQFTBYVOMSTJ-UHFFFAOYSA-N 0 0 434.478 -0.412 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)C2 ZINC000475711124 1072864004 /nfs/dbraw/zinc/86/40/04/1072864004.db2.gz UOCPLTMZKVSHGG-UHFFFAOYSA-N 0 0 435.510 -0.116 20 0 IBADRN C[C@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000475711307 1072864090 /nfs/dbraw/zinc/86/40/90/1072864090.db2.gz WBVLIEPHGCHJOZ-DEQQHWRFSA-N 0 0 446.508 -0.417 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1=O ZINC000475711309 1072863825 /nfs/dbraw/zinc/86/38/25/1072863825.db2.gz WBVLIEPHGCHJOZ-DRXUAVOGSA-N 0 0 446.508 -0.417 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000475711310 1072864723 /nfs/dbraw/zinc/86/47/23/1072864723.db2.gz WBVLIEPHGCHJOZ-OWVAZHOYSA-N 0 0 446.508 -0.417 20 0 IBADRN C[C@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1=O ZINC000475711311 1072864532 /nfs/dbraw/zinc/86/45/32/1072864532.db2.gz WBVLIEPHGCHJOZ-ZAPJKBGESA-N 0 0 446.508 -0.417 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1ccc(C(=O)NCCN3CCOCC3)cc1)C2 ZINC000475711760 1072864484 /nfs/dbraw/zinc/86/44/84/1072864484.db2.gz XMMQYSKNGAWPPT-UHFFFAOYSA-N 0 0 441.492 -0.371 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCCS(=O)(=O)CC1 ZINC000475712664 1072864651 /nfs/dbraw/zinc/86/46/51/1072864651.db2.gz BWSAKRSCSCVJAX-UHFFFAOYSA-N 0 0 447.535 -0.079 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N3CCOCC3)c1)C2 ZINC000475712745 1072864554 /nfs/dbraw/zinc/86/45/54/1072864554.db2.gz ZDMQCIHCVAFEHA-UHFFFAOYSA-N 0 0 434.478 -0.412 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCCn2c(=O)[nH]c3ccccc32)cn1 ZINC000475713216 1072864465 /nfs/dbraw/zinc/86/44/65/1072864465.db2.gz DUHYBBNPKBTPHW-UHFFFAOYSA-N 0 0 429.437 -0.544 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1=O ZINC000475713751 1072865494 /nfs/dbraw/zinc/86/54/94/1072865494.db2.gz KFCSLNGFNUIKOB-KFWWJZLASA-N 0 0 434.497 -0.417 20 0 IBADRN CC(C)N1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000475713752 1072865341 /nfs/dbraw/zinc/86/53/41/1072865341.db2.gz KFCSLNGFNUIKOB-QLFBSQMISA-N 0 0 434.497 -0.417 20 0 IBADRN CC(C)N1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1=O ZINC000475713753 1072865049 /nfs/dbraw/zinc/86/50/49/1072865049.db2.gz KFCSLNGFNUIKOB-RBSFLKMASA-N 0 0 434.497 -0.417 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000475713754 1072864423 /nfs/dbraw/zinc/86/44/23/1072864423.db2.gz KFCSLNGFNUIKOB-ZNMIVQPWSA-N 0 0 434.497 -0.417 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)Nc1cnn(CCN2CCOCC2)c1 ZINC000475714102 1072864663 /nfs/dbraw/zinc/86/46/63/1072864663.db2.gz LSFUMTPYWXBWOT-KRWDZBQOSA-N 0 0 444.558 -0.175 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)Nc1cnn(CCN2CCOCC2)c1 ZINC000475714103 1072864797 /nfs/dbraw/zinc/86/47/97/1072864797.db2.gz LSFUMTPYWXBWOT-QGZVFWFLSA-N 0 0 444.558 -0.175 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCn2c(=O)[nH]c3ccccc32)cn1)N1CCOCC1 ZINC000475715289 1072865071 /nfs/dbraw/zinc/86/50/71/1072865071.db2.gz MFPYMGPQVWTRJS-UHFFFAOYSA-N 0 0 441.448 -0.448 20 0 IBADRN CN(C)C(=O)Nc1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000475715506 1072865453 /nfs/dbraw/zinc/86/54/53/1072865453.db2.gz QGEFVSJYIQHTJC-UHFFFAOYSA-N 0 0 446.508 -0.007 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCCS(=O)(=O)CC1 ZINC000475715911 1072865366 /nfs/dbraw/zinc/86/53/66/1072865366.db2.gz RGQJYDWSEMJISG-UHFFFAOYSA-N 0 0 433.483 -0.148 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)(C)C)C3)cn2)C[C@@H](C)O1 ZINC000475716106 1072865483 /nfs/dbraw/zinc/86/54/83/1072865483.db2.gz RONDUBRAYVXFEH-KFWWJZLASA-N 0 0 448.524 -0.027 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)(C)C)C3)cn2)C[C@H](C)O1 ZINC000475716121 1072865326 /nfs/dbraw/zinc/86/53/26/1072865326.db2.gz RONDUBRAYVXFEH-QLFBSQMISA-N 0 0 448.524 -0.027 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)(C)C)C3)cn2)C[C@@H](C)O1 ZINC000475716124 1072865408 /nfs/dbraw/zinc/86/54/08/1072865408.db2.gz RONDUBRAYVXFEH-RBSFLKMASA-N 0 0 448.524 -0.027 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)(C)C)C3)cn2)C[C@H](C)O1 ZINC000475716127 1072865269 /nfs/dbraw/zinc/86/52/69/1072865269.db2.gz RONDUBRAYVXFEH-ZNMIVQPWSA-N 0 0 448.524 -0.027 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)Nc2cnn(CCN3CCOCC3)c2)cc1 ZINC000475718469 1072866015 /nfs/dbraw/zinc/86/60/15/1072866015.db2.gz ZAZZAASCXWSPSX-UHFFFAOYSA-N 0 0 429.481 -0.248 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000475718737 1072865690 /nfs/dbraw/zinc/86/56/90/1072865690.db2.gz RIVZBZPCOVEKGG-GUIRCDHDSA-N 0 0 427.527 -0.314 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000475718741 1072865779 /nfs/dbraw/zinc/86/57/79/1072865779.db2.gz RIVZBZPCOVEKGG-PWNZVWSESA-N 0 0 427.527 -0.314 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475718742 1072865721 /nfs/dbraw/zinc/86/57/21/1072865721.db2.gz RIVZBZPCOVEKGG-QVHKTLOISA-N 0 0 427.527 -0.314 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000475718747 1072865967 /nfs/dbraw/zinc/86/59/67/1072865967.db2.gz RIVZBZPCOVEKGG-SFDCQRBFSA-N 0 0 427.527 -0.314 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475719254 1072865910 /nfs/dbraw/zinc/86/59/10/1072865910.db2.gz TWXUCXWYUZZYSS-GASCZTMLSA-N 0 0 448.524 -0.168 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000475719255 1072865926 /nfs/dbraw/zinc/86/59/26/1072865926.db2.gz TWXUCXWYUZZYSS-GJZGRUSLSA-N 0 0 448.524 -0.168 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000475719256 1072865978 /nfs/dbraw/zinc/86/59/78/1072865978.db2.gz TWXUCXWYUZZYSS-HUUCEWRRSA-N 0 0 448.524 -0.168 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000475720104 1072865802 /nfs/dbraw/zinc/86/58/02/1072865802.db2.gz WQVBFSSRZSCITJ-UHFFFAOYSA-N 0 0 436.513 -0.750 20 0 IBADRN CC[C@H]1CN(C(C)=O)[C@@H](CC)CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000475723121 1073150869 /nfs/dbraw/zinc/15/08/69/1073150869.db2.gz KLGPEBOOPVYYPR-PMACEKPBSA-N 0 0 437.585 -0.289 20 0 IBADRN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000475723122 1073150840 /nfs/dbraw/zinc/15/08/40/1073150840.db2.gz KLGPEBOOPVYYPR-UXHICEINSA-N 0 0 437.585 -0.289 20 0 IBADRN CC[C@@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@@H](CC)CN1C(C)=O ZINC000475723123 1073150917 /nfs/dbraw/zinc/15/09/17/1073150917.db2.gz KLGPEBOOPVYYPR-VQTJNVASSA-N 0 0 437.585 -0.289 20 0 IBADRN CC[C@@H]1CN(C(C)=O)[C@H](CC)CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000475723124 1073151047 /nfs/dbraw/zinc/15/10/47/1073151047.db2.gz KLGPEBOOPVYYPR-WOJBJXKFSA-N 0 0 437.585 -0.289 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000475726021 1072866696 /nfs/dbraw/zinc/86/66/96/1072866696.db2.gz GQXDDPXJDBXRJG-UHFFFAOYSA-N 0 0 445.542 -0.092 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN([C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000475731421 1072867008 /nfs/dbraw/zinc/86/70/08/1072867008.db2.gz MRFRZOAHWPOAPW-CVEARBPZSA-N 0 0 439.600 -0.607 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN([C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000475731425 1072866904 /nfs/dbraw/zinc/86/69/04/1072866904.db2.gz MRFRZOAHWPOAPW-HOTGVXAUSA-N 0 0 439.600 -0.607 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN([C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000475731427 1072867169 /nfs/dbraw/zinc/86/71/69/1072867169.db2.gz MRFRZOAHWPOAPW-HZPDHXFCSA-N 0 0 439.600 -0.607 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN([C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000475731430 1072867032 /nfs/dbraw/zinc/86/70/32/1072867032.db2.gz MRFRZOAHWPOAPW-JKSUJKDBSA-N 0 0 439.600 -0.607 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CC(=O)N[C@@H](C)C(=O)N2CCCCC2)CC1 ZINC000475732589 1072867078 /nfs/dbraw/zinc/86/70/78/1072867078.db2.gz QJOLBGUBEMIRMC-KRWDZBQOSA-N 0 0 432.587 -0.124 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CC(=O)N[C@H](C)C(=O)N2CCCCC2)CC1 ZINC000475732591 1072866864 /nfs/dbraw/zinc/86/68/64/1072866864.db2.gz QJOLBGUBEMIRMC-QGZVFWFLSA-N 0 0 432.587 -0.124 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)CC1 ZINC000475735016 1072866994 /nfs/dbraw/zinc/86/69/94/1072866994.db2.gz APDBWYNJRWVFFT-UHFFFAOYSA-N 0 0 430.571 -0.560 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN([C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000475735917 1072867021 /nfs/dbraw/zinc/86/70/21/1072867021.db2.gz VWEWVZQMDHVTOW-CABCVRRESA-N 0 0 425.573 -0.949 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN([C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000475735918 1072867185 /nfs/dbraw/zinc/86/71/85/1072867185.db2.gz VWEWVZQMDHVTOW-GJZGRUSLSA-N 0 0 425.573 -0.949 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN([C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000475735919 1072867082 /nfs/dbraw/zinc/86/70/82/1072867082.db2.gz VWEWVZQMDHVTOW-HUUCEWRRSA-N 0 0 425.573 -0.949 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN([C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000475735921 1072866886 /nfs/dbraw/zinc/86/68/86/1072866886.db2.gz VWEWVZQMDHVTOW-LSDHHAIUSA-N 0 0 425.573 -0.949 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CCN(C4CCOCC4)C3=O)CC2)C[C@@H](C)O1 ZINC000475745607 1072810962 /nfs/dbraw/zinc/81/09/62/1072810962.db2.gz IBGJDEUMJRFDAC-JFIYKMOQSA-N 0 0 430.571 -0.262 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CCN(C4CCOCC4)C3=O)CC2)C[C@H](C)O1 ZINC000475745608 1072811051 /nfs/dbraw/zinc/81/10/51/1072811051.db2.gz IBGJDEUMJRFDAC-JZXOWHBKSA-N 0 0 430.571 -0.262 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CCN(C4CCOCC4)C3=O)CC2)C[C@@H](C)O1 ZINC000475745609 1072810905 /nfs/dbraw/zinc/81/09/05/1072810905.db2.gz IBGJDEUMJRFDAC-NUJGCVRESA-N 0 0 430.571 -0.262 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CCN(C4CCOCC4)C3=O)CC2)C[C@H](C)O1 ZINC000475745610 1072810838 /nfs/dbraw/zinc/81/08/38/1072810838.db2.gz IBGJDEUMJRFDAC-SOLBZPMBSA-N 0 0 430.571 -0.262 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)CCOC(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000475747768 1072867623 /nfs/dbraw/zinc/86/76/23/1072867623.db2.gz LMYJKZMEYCOGSC-INIZCTEOSA-N 0 0 439.600 -0.606 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)CCOC(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000475747772 1072867423 /nfs/dbraw/zinc/86/74/23/1072867423.db2.gz LMYJKZMEYCOGSC-MRXNPFEDSA-N 0 0 439.600 -0.606 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000475748029 1072867685 /nfs/dbraw/zinc/86/76/85/1072867685.db2.gz FHNMLFOJPXFOIR-UHFFFAOYSA-N 0 0 435.593 -0.026 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000475748591 1072867718 /nfs/dbraw/zinc/86/77/18/1072867718.db2.gz OTVHSLHGUNAHMY-UHFFFAOYSA-N 0 0 426.605 -0.319 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000475749703 1072867783 /nfs/dbraw/zinc/86/77/83/1072867783.db2.gz OILMXASRMOXFGR-UHFFFAOYSA-N 0 0 435.568 -0.043 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000475755856 1072867963 /nfs/dbraw/zinc/86/79/63/1072867963.db2.gz INMSBEPKXUMYKM-UHFFFAOYSA-N 0 0 427.523 -0.187 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)c1cnccn1 ZINC000475762858 1072868880 /nfs/dbraw/zinc/86/88/80/1072868880.db2.gz VRUVIYHDANPEAR-UHFFFAOYSA-N 0 0 439.519 -0.031 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000475767565 1072868712 /nfs/dbraw/zinc/86/87/12/1072868712.db2.gz FDYNBLMFAWCWPM-KRWDZBQOSA-N 0 0 443.504 -0.100 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000475767568 1072868918 /nfs/dbraw/zinc/86/89/18/1072868918.db2.gz FDYNBLMFAWCWPM-QGZVFWFLSA-N 0 0 443.504 -0.100 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C1CCOCC1 ZINC000475770049 1072868674 /nfs/dbraw/zinc/86/86/74/1072868674.db2.gz RWAGMAOUTVZEOY-AUUYWEPGSA-N 0 0 425.482 -0.066 20 0 IBADRN COC(=O)[C@@H](CNC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C1CCOCC1 ZINC000475770052 1072868935 /nfs/dbraw/zinc/86/89/35/1072868935.db2.gz RWAGMAOUTVZEOY-IFXJQAMLSA-N 0 0 425.482 -0.066 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C1CCOCC1 ZINC000475770054 1072868730 /nfs/dbraw/zinc/86/87/30/1072868730.db2.gz RWAGMAOUTVZEOY-KUHUBIRLSA-N 0 0 425.482 -0.066 20 0 IBADRN COC(=O)[C@@H](CNC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C1CCOCC1 ZINC000475770056 1072868925 /nfs/dbraw/zinc/86/89/25/1072868925.db2.gz RWAGMAOUTVZEOY-LIRRHRJNSA-N 0 0 425.482 -0.066 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000475773700 1072869684 /nfs/dbraw/zinc/86/96/84/1072869684.db2.gz LJRCRCXEVSNZRO-IBGZPJMESA-N 0 0 434.497 -0.231 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000475773704 1072869986 /nfs/dbraw/zinc/86/99/86/1072869986.db2.gz LJRCRCXEVSNZRO-LJQANCHMSA-N 0 0 434.497 -0.231 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000475775772 1072870056 /nfs/dbraw/zinc/87/00/56/1072870056.db2.gz OPAWOPLQVGCUBZ-AWEZNQCLSA-N 0 0 427.461 -0.154 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000475775775 1072869732 /nfs/dbraw/zinc/86/97/32/1072869732.db2.gz OPAWOPLQVGCUBZ-CQSZACIVSA-N 0 0 427.461 -0.154 20 0 IBADRN O=C1CNC(=O)N1CCCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475788309 1072870415 /nfs/dbraw/zinc/87/04/15/1072870415.db2.gz GIMSUEBXVQOEEF-UHFFFAOYSA-N 0 0 430.508 -0.309 20 0 IBADRN CC(=O)NC[C@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CCO1 ZINC000475791541 1072870779 /nfs/dbraw/zinc/87/07/79/1072870779.db2.gz CELHLEAOIWKZLI-HNNXBMFYSA-N 0 0 431.536 -0.003 20 0 IBADRN CC(=O)NC[C@@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CCO1 ZINC000475791542 1072870815 /nfs/dbraw/zinc/87/08/15/1072870815.db2.gz CELHLEAOIWKZLI-OAHLLOKOSA-N 0 0 431.536 -0.003 20 0 IBADRN CCN(CC(N)=O)C(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000475793664 1072870805 /nfs/dbraw/zinc/87/08/05/1072870805.db2.gz ZLELZTBQXPLXOD-UHFFFAOYSA-N 0 0 442.476 -0.612 20 0 IBADRN CCN(CC(N)=O)C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000475795722 1072870869 /nfs/dbraw/zinc/87/08/69/1072870869.db2.gz IZGFPDURRPHYCE-UHFFFAOYSA-N 0 0 437.522 -0.139 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Nc2cnn(CC(=O)N(C)C)c2)c1 ZINC000475798393 1072871144 /nfs/dbraw/zinc/87/11/44/1072871144.db2.gz OLYCJFHAUHQJSR-UHFFFAOYSA-N 0 0 437.482 -0.360 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000475800583 1072871125 /nfs/dbraw/zinc/87/11/25/1072871125.db2.gz VLTMIIXCUWBMBD-UHFFFAOYSA-N 0 0 435.510 -0.027 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000475801333 1072871792 /nfs/dbraw/zinc/87/17/92/1072871792.db2.gz ZXKIWBPFRZQUQJ-UHFFFAOYSA-N 0 0 430.531 -0.124 20 0 IBADRN COC(=O)CN(CC(=O)OC)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000475803316 1072871650 /nfs/dbraw/zinc/87/16/50/1072871650.db2.gz JCMSVCWZWGEHGB-UHFFFAOYSA-N 0 0 434.492 -0.192 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000475804610 1072871347 /nfs/dbraw/zinc/87/13/47/1072871347.db2.gz HAEPCMNCQIPJNS-UHFFFAOYSA-N 0 0 427.465 -0.135 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cn1 ZINC000475810224 1072871778 /nfs/dbraw/zinc/87/17/78/1072871778.db2.gz AJUIXAOQLZEZSG-UHFFFAOYSA-N 0 0 438.510 -0.094 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000475819944 1072872192 /nfs/dbraw/zinc/87/21/92/1072872192.db2.gz NPIYHEPBNUFJAN-UHFFFAOYSA-N 0 0 443.512 -0.048 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1)NC1CCCC1 ZINC000475820289 1072872313 /nfs/dbraw/zinc/87/23/13/1072872313.db2.gz NZBYYYZRDKRZHY-UHFFFAOYSA-N 0 0 431.497 -0.103 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000475820667 1072871971 /nfs/dbraw/zinc/87/19/71/1072871971.db2.gz YCCYUQMVMIOJCD-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000475820673 1072872230 /nfs/dbraw/zinc/87/22/30/1072872230.db2.gz YCCYUQMVMIOJCD-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cn1 ZINC000475823137 1072872779 /nfs/dbraw/zinc/87/27/79/1072872779.db2.gz ZQOYGBAKYUMMRE-UHFFFAOYSA-N 0 0 430.512 -0.840 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1)NC1CCCC1 ZINC000475824636 1072872557 /nfs/dbraw/zinc/87/25/57/1072872557.db2.gz DJENXDYQXCSHKI-UHFFFAOYSA-N 0 0 447.540 -0.050 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Nc2cnn(CC(=O)NC3CC3)c2)c1 ZINC000475827740 1072873477 /nfs/dbraw/zinc/87/34/77/1072873477.db2.gz JQMKUWQYFQFCIC-UHFFFAOYSA-N 0 0 449.493 -0.170 20 0 IBADRN COCC[C@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C)C(N)=O ZINC000475830625 1072874399 /nfs/dbraw/zinc/87/43/99/1072874399.db2.gz KNQZHYYZRPVCKK-BBRMVZONSA-N 0 0 429.495 -0.233 20 0 IBADRN COCC[C@@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C)C(N)=O ZINC000475830629 1072874354 /nfs/dbraw/zinc/87/43/54/1072874354.db2.gz KNQZHYYZRPVCKK-CJNGLKHVSA-N 0 0 429.495 -0.233 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@H](CCOC)C(N)=O)o1 ZINC000475830637 1072874453 /nfs/dbraw/zinc/87/44/53/1072874453.db2.gz KQLHUWOXFAAAET-NEPJUHHUSA-N 0 0 431.467 -0.384 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H](CCOC)C(N)=O)o1 ZINC000475830644 1072874437 /nfs/dbraw/zinc/87/44/37/1072874437.db2.gz KQLHUWOXFAAAET-NWDGAFQWSA-N 0 0 431.467 -0.384 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H](CCOC)C(N)=O)o1 ZINC000475831284 1072874320 /nfs/dbraw/zinc/87/43/20/1072874320.db2.gz KQLHUWOXFAAAET-RYUDHWBXSA-N 0 0 431.467 -0.384 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H](CCOC)C(N)=O)o1 ZINC000475831287 1072874254 /nfs/dbraw/zinc/87/42/54/1072874254.db2.gz KQLHUWOXFAAAET-VXGBXAGGSA-N 0 0 431.467 -0.384 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)Nc2ncn(CC(=O)N(C)C)n2)cc1 ZINC000475831921 1073333620 /nfs/dbraw/zinc/33/36/20/1073333620.db2.gz LTXUKPLWIFSKMM-UHFFFAOYSA-N 0 0 439.498 -0.387 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)Nc2ncn(CC(=O)N(C)C)n2)c1 ZINC000475835532 1073333459 /nfs/dbraw/zinc/33/34/59/1073333459.db2.gz JQRWLNOEKMJLTE-UHFFFAOYSA-N 0 0 439.498 -0.387 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)n1 ZINC000475840162 1072875509 /nfs/dbraw/zinc/87/55/09/1072875509.db2.gz XNGZYNPDARBNTE-UHFFFAOYSA-N 0 0 428.497 -0.168 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)n1 ZINC000475842714 1074352150 /nfs/dbraw/zinc/35/21/50/1074352150.db2.gz BCPVEICRESRIKZ-UHFFFAOYSA-N 0 0 439.498 -0.699 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000475856309 1074352136 /nfs/dbraw/zinc/35/21/36/1074352136.db2.gz ZJQSUTPTBCYUHD-UHFFFAOYSA-N 0 0 431.519 -0.729 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)Cn2nc(C)c(S(=O)(=O)N3CCOCC3)c2C)C1 ZINC000475860384 1072882682 /nfs/dbraw/zinc/88/26/82/1072882682.db2.gz WRJIMMVXLVNPCA-INIZCTEOSA-N 0 0 427.527 -0.352 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)Cn2nc(C)c(S(=O)(=O)N3CCOCC3)c2C)C1 ZINC000475860385 1072882714 /nfs/dbraw/zinc/88/27/14/1072882714.db2.gz WRJIMMVXLVNPCA-MRXNPFEDSA-N 0 0 427.527 -0.352 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)n1 ZINC000475862114 1072882734 /nfs/dbraw/zinc/88/27/34/1072882734.db2.gz MOFFGUHJDRLCFU-UHFFFAOYSA-N 0 0 442.546 -0.363 20 0 IBADRN CNC(=O)[C@H](NC(=O)COn1nnc2ccc(S(=O)(=O)N(C)C)cc21)c1ccccc1 ZINC000475878514 1072883076 /nfs/dbraw/zinc/88/30/76/1072883076.db2.gz BZECDSQNWSUUTP-GOSISDBHSA-N 0 0 446.489 -0.286 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COn1nnc2ccc(S(=O)(=O)N(C)C)cc21)c1ccccc1 ZINC000475878530 1072883222 /nfs/dbraw/zinc/88/32/22/1072883222.db2.gz BZECDSQNWSUUTP-SFHVURJKSA-N 0 0 446.489 -0.286 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2nnn(OCC(=O)N[C@H](C)C(=O)N(C)C)c2c1 ZINC000475881639 1072883726 /nfs/dbraw/zinc/88/37/26/1072883726.db2.gz OKYWBMMXZNUFJD-GFCCVEGCSA-N 0 0 426.499 -0.517 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2nnn(OCC(=O)N[C@@H](C)C(=O)N(C)C)c2c1 ZINC000475881640 1072883699 /nfs/dbraw/zinc/88/36/99/1072883699.db2.gz OKYWBMMXZNUFJD-LBPRGKRZSA-N 0 0 426.499 -0.517 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000475888219 1072884124 /nfs/dbraw/zinc/88/41/24/1072884124.db2.gz NDOTTWSULWFSGA-UHFFFAOYSA-N 0 0 428.577 -0.604 20 0 IBADRN C[C@@H](NC(=O)COn1nnc2ccc(S(=O)(=O)N(C)C)cc21)C(=O)N1CCCCC1 ZINC000475897471 1072886595 /nfs/dbraw/zinc/88/65/95/1072886595.db2.gz NJFFAXFVEIVAHE-CYBMUJFWSA-N 0 0 438.510 -0.373 20 0 IBADRN C[C@H](NC(=O)COn1nnc2ccc(S(=O)(=O)N(C)C)cc21)C(=O)N1CCCCC1 ZINC000475897472 1072886229 /nfs/dbraw/zinc/88/62/29/1072886229.db2.gz NJFFAXFVEIVAHE-ZDUSSCGKSA-N 0 0 438.510 -0.373 20 0 IBADRN CC1(C)C(=O)N(CC(=O)NCc2ccccc2CN2CCCC2=O)C(=O)N1CC(N)=O ZINC000475899444 1072888747 /nfs/dbraw/zinc/88/87/47/1072888747.db2.gz RTBOVGOTYIIBCW-UHFFFAOYSA-N 0 0 429.477 -0.047 20 0 IBADRN COC(=O)c1ccc(N(CC(=O)NCCCN(C)S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000475899565 1072888290 /nfs/dbraw/zinc/88/82/90/1072888290.db2.gz KUSVABXTYNYRQE-UHFFFAOYSA-N 0 0 435.524 -0.363 20 0 IBADRN CCOCCOCc1cccc(CNC(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)c1 ZINC000475899854 1072888423 /nfs/dbraw/zinc/88/84/23/1072888423.db2.gz ODCGLLVLPGNMKN-KRWDZBQOSA-N 0 0 447.492 -0.117 20 0 IBADRN CCOCCOCc1cccc(CNC(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)c1 ZINC000475899855 1072888096 /nfs/dbraw/zinc/88/80/96/1072888096.db2.gz ODCGLLVLPGNMKN-QGZVFWFLSA-N 0 0 447.492 -0.117 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000475900968 1072888107 /nfs/dbraw/zinc/88/81/07/1072888107.db2.gz QNQBUOZIVYKDFG-HNNXBMFYSA-N 0 0 440.588 -0.508 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000475900969 1072888251 /nfs/dbraw/zinc/88/82/51/1072888251.db2.gz QNQBUOZIVYKDFG-OAHLLOKOSA-N 0 0 440.588 -0.508 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)c(OC)c1 ZINC000475904908 1072889386 /nfs/dbraw/zinc/88/93/86/1072889386.db2.gz XGLNWDJQKZBSRR-CYBMUJFWSA-N 0 0 435.437 -0.644 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)c(OC)c1 ZINC000475904909 1072889367 /nfs/dbraw/zinc/88/93/67/1072889367.db2.gz XGLNWDJQKZBSRR-ZDUSSCGKSA-N 0 0 435.437 -0.644 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCC3(c4ccccc4)CCOCC3)C(=O)[C@@H]2C1 ZINC000475909758 1072891335 /nfs/dbraw/zinc/89/13/35/1072891335.db2.gz ZYNDYXGORRZZGK-INIZCTEOSA-N 0 0 429.477 -0.122 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCC3(c4ccccc4)CCOCC3)C(=O)[C@H]2C1 ZINC000475909759 1072891352 /nfs/dbraw/zinc/89/13/52/1072891352.db2.gz ZYNDYXGORRZZGK-MRXNPFEDSA-N 0 0 429.477 -0.122 20 0 IBADRN C[C@H](NC(=O)COc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1)C(=O)N(C)C ZINC000475912913 1072892177 /nfs/dbraw/zinc/89/21/77/1072892177.db2.gz UANOGFPHAVIASM-AWEZNQCLSA-N 0 0 440.522 -0.624 20 0 IBADRN C[C@@H](NC(=O)COc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1)C(=O)N(C)C ZINC000475912915 1072892195 /nfs/dbraw/zinc/89/21/95/1072892195.db2.gz UANOGFPHAVIASM-CQSZACIVSA-N 0 0 440.522 -0.624 20 0 IBADRN COc1cccc(CN2C(=O)C(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C2=O)c1 ZINC000475913202 1072892399 /nfs/dbraw/zinc/89/23/99/1072892399.db2.gz VMAYURXOXPFHCQ-UHFFFAOYSA-N 0 0 440.478 -0.616 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000475923895 1072899162 /nfs/dbraw/zinc/89/91/62/1072899162.db2.gz XRJBUFJAZHDFPG-NRFANRHFSA-N 0 0 449.552 -0.338 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000475923896 1072899127 /nfs/dbraw/zinc/89/91/27/1072899127.db2.gz XRJBUFJAZHDFPG-OAQYLSRUSA-N 0 0 449.552 -0.338 20 0 IBADRN CCCN1C(=O)CC[C@@H](C(=O)NCC(=O)NCCN2CCOCC2)[C@@H]1c1cncn1C ZINC000475925070 1072899001 /nfs/dbraw/zinc/89/90/01/1072899001.db2.gz FHGOAOLJDZPQPD-OXQOHEQNSA-N 0 0 434.541 -0.326 20 0 IBADRN COc1ccc(OC)c(NC(=O)C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000475931074 1072900212 /nfs/dbraw/zinc/90/02/12/1072900212.db2.gz LLVPZPYPBZPPCY-CABCVRRESA-N 0 0 427.479 -0.744 20 0 IBADRN COc1ccc(OC)c(NC(=O)C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000475931075 1072900190 /nfs/dbraw/zinc/90/01/90/1072900190.db2.gz LLVPZPYPBZPPCY-GJZGRUSLSA-N 0 0 427.479 -0.744 20 0 IBADRN COc1ccc(OC)c(NC(=O)C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000475931076 1072900164 /nfs/dbraw/zinc/90/01/64/1072900164.db2.gz LLVPZPYPBZPPCY-HUUCEWRRSA-N 0 0 427.479 -0.744 20 0 IBADRN COc1ccc(OC)c(NC(=O)C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000475931077 1072900145 /nfs/dbraw/zinc/90/01/45/1072900145.db2.gz LLVPZPYPBZPPCY-LSDHHAIUSA-N 0 0 427.479 -0.744 20 0 IBADRN CC(C)(CNC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)N1CCS(=O)CC1 ZINC000475942103 1072905410 /nfs/dbraw/zinc/90/54/10/1072905410.db2.gz XXJVSVDFXBMICB-GOSISDBHSA-N 0 0 428.555 -0.439 20 0 IBADRN CC(C)(CNC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)N1CCS(=O)CC1 ZINC000475942104 1072905443 /nfs/dbraw/zinc/90/54/43/1072905443.db2.gz XXJVSVDFXBMICB-SFHVURJKSA-N 0 0 428.555 -0.439 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)N[C@H](C)C(=O)N(C)C ZINC000475955415 1072926818 /nfs/dbraw/zinc/92/68/18/1072926818.db2.gz NSELZSGLMHJOBT-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)N[C@@H](C)C(=O)N(C)C ZINC000475955416 1072926605 /nfs/dbraw/zinc/92/66/05/1072926605.db2.gz NSELZSGLMHJOBT-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN CN(CCCNC(=O)CNc1cccc(S(=O)(=O)N2CCOCC2)c1)S(C)(=O)=O ZINC000475962653 1072933783 /nfs/dbraw/zinc/93/37/83/1072933783.db2.gz RXOCMLGGELXATD-UHFFFAOYSA-N 0 0 448.567 -0.483 20 0 IBADRN CC(C)N(CCCNC(=O)CN1C(=O)C[C@H](Cc2cnn(C)c2)C1=O)S(C)(=O)=O ZINC000475965937 1072938969 /nfs/dbraw/zinc/93/89/69/1072938969.db2.gz PLDHXZSBSHNBLA-HNNXBMFYSA-N 0 0 427.527 -0.486 20 0 IBADRN CC(C)N(CCCNC(=O)CN1C(=O)C[C@@H](Cc2cnn(C)c2)C1=O)S(C)(=O)=O ZINC000475965938 1072939022 /nfs/dbraw/zinc/93/90/22/1072939022.db2.gz PLDHXZSBSHNBLA-OAHLLOKOSA-N 0 0 427.527 -0.486 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NCC(=O)NCCCN(C)S(C)(=O)=O ZINC000475969110 1072942330 /nfs/dbraw/zinc/94/23/30/1072942330.db2.gz RHIIBMVYFZSUPE-UHFFFAOYSA-N 0 0 436.556 -0.245 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000475970272 1072945021 /nfs/dbraw/zinc/94/50/21/1072945021.db2.gz YWZINKMJQDIHKK-UHFFFAOYSA-N 0 0 427.527 -0.107 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCCNS(C)(=O)=O)CC2)c(OC)c1OC ZINC000475971627 1072948955 /nfs/dbraw/zinc/94/89/55/1072948955.db2.gz WNVPEKPQPMODHZ-UHFFFAOYSA-N 0 0 444.510 -0.271 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000475974492 1072951907 /nfs/dbraw/zinc/95/19/07/1072951907.db2.gz JRJSTDRMEHKAKH-UHFFFAOYSA-N 0 0 433.575 -0.768 20 0 IBADRN Cc1cccc(C)c1-n1nnnc1C[S@@](=O)CC(=O)NCCCN(C)S(C)(=O)=O ZINC000475979057 1072954841 /nfs/dbraw/zinc/95/48/41/1072954841.db2.gz SWPQVRSBBZDIBF-MUUNZHRXSA-N 0 0 442.567 -0.074 20 0 IBADRN Cc1cccc(C)c1-n1nnnc1C[S@](=O)CC(=O)NCCCN(C)S(C)(=O)=O ZINC000475979061 1072954786 /nfs/dbraw/zinc/95/47/86/1072954786.db2.gz SWPQVRSBBZDIBF-NDEPHWFRSA-N 0 0 442.567 -0.074 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000475981131 1072955559 /nfs/dbraw/zinc/95/55/59/1072955559.db2.gz CBJANTOPGMCRCO-UHFFFAOYSA-N 0 0 440.588 -0.508 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000475984570 1072956464 /nfs/dbraw/zinc/95/64/64/1072956464.db2.gz CGTRFUKPMKMZPC-UHFFFAOYSA-N 0 0 448.567 -0.084 20 0 IBADRN CCOC(=O)CSc1cncc(N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000475985349 1072956061 /nfs/dbraw/zinc/95/60/61/1072956061.db2.gz GRPXYLFJUJQBBJ-UHFFFAOYSA-N 0 0 444.583 -0.254 20 0 IBADRN CN(CCCNC(=O)C[S@](=O)Cc1cc(=O)n2cc(Cl)ccc2n1)S(C)(=O)=O ZINC000476012515 1072963795 /nfs/dbraw/zinc/96/37/95/1072963795.db2.gz RWGPUOPABJNWHK-HHHXNRCGSA-N 0 0 448.954 -0.006 20 0 IBADRN CN(CCCNC(=O)C[S@@](=O)Cc1cc(=O)n2cc(Cl)ccc2n1)S(C)(=O)=O ZINC000476012516 1072963803 /nfs/dbraw/zinc/96/38/03/1072963803.db2.gz RWGPUOPABJNWHK-MHZLTWQESA-N 0 0 448.954 -0.006 20 0 IBADRN CCOC(=O)CSc1cncc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000476013093 1072962583 /nfs/dbraw/zinc/96/25/83/1072962583.db2.gz SPUDKBVSTAXOKU-UHFFFAOYSA-N 0 0 431.540 -0.169 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NC3CCC(C(N)=O)CC3)CC2)C[C@H](C)O1 ZINC000476016993 1072966342 /nfs/dbraw/zinc/96/63/42/1072966342.db2.gz ADZRBDBNHAPTDJ-FXQLRMTLSA-N 0 0 431.559 -0.288 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC3CCC(C(N)=O)CC3)CC2)C[C@H](C)O1 ZINC000476016997 1072966786 /nfs/dbraw/zinc/96/67/86/1072966786.db2.gz ADZRBDBNHAPTDJ-PJPHBNEVSA-N 0 0 431.559 -0.288 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC3CCC(C(N)=O)CC3)CC2)C[C@@H](C)O1 ZINC000476016998 1072966835 /nfs/dbraw/zinc/96/68/35/1072966835.db2.gz ADZRBDBNHAPTDJ-WXLSXGNJSA-N 0 0 431.559 -0.288 20 0 IBADRN CCCN1C(=O)N=NC1S(=O)(=O)CC(=O)NCCCN(C(C)C)S(C)(=O)=O ZINC000476019190 1072968285 /nfs/dbraw/zinc/96/82/85/1072968285.db2.gz FLSDBZLNMGCZJO-UHFFFAOYSA-N 0 0 425.533 -0.656 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000476021155 1072968308 /nfs/dbraw/zinc/96/83/08/1072968308.db2.gz KBNNBLVVFOBACL-INIZCTEOSA-N 0 0 442.542 -0.393 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000476021156 1072968381 /nfs/dbraw/zinc/96/83/81/1072968381.db2.gz KBNNBLVVFOBACL-MRXNPFEDSA-N 0 0 442.542 -0.393 20 0 IBADRN CCNc1nnc(S(=O)(=O)CC(=O)NCCCN(C(C)C)S(C)(=O)=O)s1 ZINC000476022326 1072968251 /nfs/dbraw/zinc/96/82/51/1072968251.db2.gz DLKRTKJWPACKLL-UHFFFAOYSA-N 0 0 427.574 -0.080 20 0 IBADRN Cc1nnc(S(=O)(=O)CC(=O)N(C)CCCOc2ccc(F)cc2)n1CC(N)=O ZINC000476024523 1072979474 /nfs/dbraw/zinc/97/94/74/1072979474.db2.gz PEEIJZRJOMJWTE-UHFFFAOYSA-N 0 0 427.458 -0.088 20 0 IBADRN NC(=O)C1CCC(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000476024812 1072979651 /nfs/dbraw/zinc/97/96/51/1072979651.db2.gz KHSMVPLHZTUPET-UHFFFAOYSA-N 0 0 438.554 -0.123 20 0 IBADRN Cc1nnc(S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)n1Cc1ccccc1 ZINC000476027148 1072980857 /nfs/dbraw/zinc/98/08/57/1072980857.db2.gz AFGDUBJUCBVNOU-UHFFFAOYSA-N 0 0 443.551 -0.194 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC3CCC(C(N)=O)CC3)CC2)o1 ZINC000476027592 1072983000 /nfs/dbraw/zinc/98/30/00/1072983000.db2.gz BMPZLHNEWOKLMQ-UHFFFAOYSA-N 0 0 427.483 -0.562 20 0 IBADRN CC(C)N(CCCNC(=O)CS(=O)(=O)c1nnc2n1CCCCC2)S(C)(=O)=O ZINC000476028530 1072984224 /nfs/dbraw/zinc/98/42/24/1072984224.db2.gz ZVSJMWJQSXRETF-UHFFFAOYSA-N 0 0 435.572 -0.046 20 0 IBADRN Cc1ccccc1-n1cnnc1S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O ZINC000476028682 1072984212 /nfs/dbraw/zinc/98/42/12/1072984212.db2.gz YSKDHOLFBCTVOO-UHFFFAOYSA-N 0 0 429.524 -0.253 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000476028749 1072983532 /nfs/dbraw/zinc/98/35/32/1072983532.db2.gz CZRWFEKHBSYJFB-UHFFFAOYSA-N 0 0 442.604 -0.260 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000476029508 1072986789 /nfs/dbraw/zinc/98/67/89/1072986789.db2.gz FUMIGIHZYOUKPE-NRFANRHFSA-N 0 0 449.552 -0.241 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000476029509 1072986881 /nfs/dbraw/zinc/98/68/81/1072986881.db2.gz FUMIGIHZYOUKPE-OAQYLSRUSA-N 0 0 449.552 -0.241 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1nnc([C@H]2COc3ccccc3O2)n1C)C(=O)N(C)C ZINC000476031200 1072987418 /nfs/dbraw/zinc/98/74/18/1072987418.db2.gz HPJMDOUPMNZPMD-BXUZGUMPSA-N 0 0 437.478 -0.306 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1nnc([C@@H]2COc3ccccc3O2)n1C)C(=O)N(C)C ZINC000476031201 1072987339 /nfs/dbraw/zinc/98/73/39/1072987339.db2.gz HPJMDOUPMNZPMD-FZMZJTMJSA-N 0 0 437.478 -0.306 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1nnc([C@@H]2COc3ccccc3O2)n1C)C(=O)N(C)C ZINC000476031203 1072987434 /nfs/dbraw/zinc/98/74/34/1072987434.db2.gz HPJMDOUPMNZPMD-RISCZKNCSA-N 0 0 437.478 -0.306 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1nnc([C@H]2COc3ccccc3O2)n1C)C(=O)N(C)C ZINC000476031206 1072987345 /nfs/dbraw/zinc/98/73/45/1072987345.db2.gz HPJMDOUPMNZPMD-SMDDNHRTSA-N 0 0 437.478 -0.306 20 0 IBADRN Cc1ccc(-c2nnc(S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)n2C)cc1 ZINC000476031816 1072987390 /nfs/dbraw/zinc/98/73/90/1072987390.db2.gz IOSTXBAHKVUMCN-UHFFFAOYSA-N 0 0 443.551 -0.038 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cn1)C(=O)N(C)C ZINC000476034457 1072987971 /nfs/dbraw/zinc/98/79/71/1072987971.db2.gz OHMAUEZTCAMNTH-GFCCVEGCSA-N 0 0 432.524 -0.767 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cn1)C(=O)N(C)C ZINC000476034466 1072987869 /nfs/dbraw/zinc/98/78/69/1072987869.db2.gz OHMAUEZTCAMNTH-LBPRGKRZSA-N 0 0 432.524 -0.767 20 0 IBADRN Cc1cccc(-c2nnc(S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)n2C)c1 ZINC000476035044 1072987854 /nfs/dbraw/zinc/98/78/54/1072987854.db2.gz RWDAUPMWKLMULW-UHFFFAOYSA-N 0 0 443.551 -0.038 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1ccc(S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000476035836 1072988000 /nfs/dbraw/zinc/98/80/00/1072988000.db2.gz UCKUTVUXRTVADO-UHFFFAOYSA-N 0 0 426.538 -0.739 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1nnc(-c2ccccc2F)n1C)S(C)(=O)=O ZINC000476036345 1072987922 /nfs/dbraw/zinc/98/79/22/1072987922.db2.gz UANQGOBDSVKRQQ-UHFFFAOYSA-N 0 0 447.514 -0.207 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cn1)C(=O)N(C)C ZINC000476036843 1072988024 /nfs/dbraw/zinc/98/80/24/1072988024.db2.gz VTCLCSALPSXEEN-CYBMUJFWSA-N 0 0 446.551 -0.377 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cn1)C(=O)N(C)C ZINC000476036844 1072987914 /nfs/dbraw/zinc/98/79/14/1072987914.db2.gz VTCLCSALPSXEEN-ZDUSSCGKSA-N 0 0 446.551 -0.377 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCCC2)cn1)S(C)(=O)=O ZINC000476039222 1072988470 /nfs/dbraw/zinc/98/84/70/1072988470.db2.gz FEPCTBCHFATOKS-UHFFFAOYSA-N 0 0 446.551 -0.511 20 0 IBADRN CNC(=O)[C@H](NC(=O)CS(=O)(=O)c1n[nH]c(=O)n1C[C@@H]1CCCO1)c1ccccc1 ZINC000476039502 1072988007 /nfs/dbraw/zinc/98/80/07/1072988007.db2.gz FWFITMIIYITSCU-DZGCQCFKSA-N 0 0 437.478 -0.460 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CS(=O)(=O)c1n[nH]c(=O)n1C[C@H]1CCCO1)c1ccccc1 ZINC000476039504 1072988018 /nfs/dbraw/zinc/98/80/18/1072988018.db2.gz FWFITMIIYITSCU-HIFRSBDPSA-N 0 0 437.478 -0.460 20 0 IBADRN CNC(=O)[C@H](NC(=O)CS(=O)(=O)c1n[nH]c(=O)n1C[C@H]1CCCO1)c1ccccc1 ZINC000476039506 1072987795 /nfs/dbraw/zinc/98/77/95/1072987795.db2.gz FWFITMIIYITSCU-UKRRQHHQSA-N 0 0 437.478 -0.460 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CS(=O)(=O)c1n[nH]c(=O)n1C[C@@H]1CCCO1)c1ccccc1 ZINC000476039508 1072987831 /nfs/dbraw/zinc/98/78/31/1072987831.db2.gz FWFITMIIYITSCU-ZFWWWQNUSA-N 0 0 437.478 -0.460 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1n[nH]c(=O)n1C[C@H]1CCCO1)C(=O)N1CCCCC1 ZINC000476041509 1072987963 /nfs/dbraw/zinc/98/79/63/1072987963.db2.gz HCANFOMUHGTATA-CHWSQXEVSA-N 0 0 429.499 -0.546 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1n[nH]c(=O)n1C[C@@H]1CCCO1)C(=O)N1CCCCC1 ZINC000476041510 1072987946 /nfs/dbraw/zinc/98/79/46/1072987946.db2.gz HCANFOMUHGTATA-OLZOCXBDSA-N 0 0 429.499 -0.546 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1n[nH]c(=O)n1C[C@H]1CCCO1)C(=O)N1CCCCC1 ZINC000476041513 1072987980 /nfs/dbraw/zinc/98/79/80/1072987980.db2.gz HCANFOMUHGTATA-QWHCGFSZSA-N 0 0 429.499 -0.546 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1n[nH]c(=O)n1C[C@@H]1CCCO1)C(=O)N1CCCCC1 ZINC000476041514 1072988431 /nfs/dbraw/zinc/98/84/31/1072988431.db2.gz HCANFOMUHGTATA-STQMWFEESA-N 0 0 429.499 -0.546 20 0 IBADRN Cc1ccccc1-c1nnc(S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)n1C ZINC000476041876 1072988478 /nfs/dbraw/zinc/98/84/78/1072988478.db2.gz NKHZLVITTKHIKF-UHFFFAOYSA-N 0 0 443.551 -0.038 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000476042120 1072988450 /nfs/dbraw/zinc/98/84/50/1072988450.db2.gz JDBIZBLUVUEZLQ-UHFFFAOYSA-N 0 0 428.515 -0.712 20 0 IBADRN NC(=O)CCn1c(C2CC2)nnc1S(=O)(=O)CC(=O)NC[C@@H]1Cc2ccccc2O1 ZINC000476043410 1072988413 /nfs/dbraw/zinc/98/84/13/1072988413.db2.gz RRLSQVBMOQIAEX-AWEZNQCLSA-N 0 0 433.490 -0.076 20 0 IBADRN NC(=O)CCn1c(C2CC2)nnc1S(=O)(=O)CC(=O)NC[C@H]1Cc2ccccc2O1 ZINC000476043419 1072988370 /nfs/dbraw/zinc/98/83/70/1072988370.db2.gz RRLSQVBMOQIAEX-CQSZACIVSA-N 0 0 433.490 -0.076 20 0 IBADRN Cn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)NCCOc1ccc(F)cc1 ZINC000476045744 1072988463 /nfs/dbraw/zinc/98/84/63/1072988463.db2.gz BEHYYYSBVIYNSI-UHFFFAOYSA-N 0 0 427.458 -0.240 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000476046922 1072988395 /nfs/dbraw/zinc/98/83/95/1072988395.db2.gz DSHLZUQZUUVULR-KRWDZBQOSA-N 0 0 438.550 -0.471 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000476046957 1072988455 /nfs/dbraw/zinc/98/84/55/1072988455.db2.gz DSHLZUQZUUVULR-QGZVFWFLSA-N 0 0 438.550 -0.471 20 0 IBADRN CC(C)N(CCCNC(=O)CS(=O)(=O)c1nnc(N(C)C)s1)S(C)(=O)=O ZINC000476046988 1072988352 /nfs/dbraw/zinc/98/83/52/1072988352.db2.gz GPLWCQUCPKUDBI-UHFFFAOYSA-N 0 0 427.574 -0.446 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)CC1 ZINC000476047709 1072988484 /nfs/dbraw/zinc/98/84/84/1072988484.db2.gz GQYBMXKFICTQNM-UHFFFAOYSA-N 0 0 448.567 -0.084 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)N[C@H](C)C(=O)N(C)C)nc1 ZINC000476049242 1072988462 /nfs/dbraw/zinc/98/84/62/1072988462.db2.gz HBTDUICBMVFFKB-GFCCVEGCSA-N 0 0 434.540 -0.521 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)N[C@@H](C)C(=O)N(C)C)nc1 ZINC000476049243 1072988388 /nfs/dbraw/zinc/98/83/88/1072988388.db2.gz HBTDUICBMVFFKB-LBPRGKRZSA-N 0 0 434.540 -0.521 20 0 IBADRN CCn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000476050596 1072988938 /nfs/dbraw/zinc/98/89/38/1072988938.db2.gz MJNHGLUEIKCJLE-AWEZNQCLSA-N 0 0 442.542 -0.575 20 0 IBADRN CCn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000476050597 1072988841 /nfs/dbraw/zinc/98/88/41/1072988841.db2.gz MJNHGLUEIKCJLE-CQSZACIVSA-N 0 0 442.542 -0.575 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1nnc(-c2ccccc2)n1C)S(C)(=O)=O ZINC000476051323 1072988442 /nfs/dbraw/zinc/98/84/42/1072988442.db2.gz QSBVACTYZDIKJD-UHFFFAOYSA-N 0 0 429.524 -0.347 20 0 IBADRN Cc1nnc(S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)n1-c1ccccc1 ZINC000476051356 1072988953 /nfs/dbraw/zinc/98/89/53/1072988953.db2.gz PLCRLCKQCILLAY-UHFFFAOYSA-N 0 0 429.524 -0.253 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N[C@H]1CCN(C2CCOCC2)C1=O ZINC000476052475 1072988930 /nfs/dbraw/zinc/98/89/30/1072988930.db2.gz QECGVXWFYCYHBQ-CVEARBPZSA-N 0 0 432.543 -0.446 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N[C@H]1CCN(C2CCOCC2)C1=O ZINC000476052476 1072988909 /nfs/dbraw/zinc/98/89/09/1072988909.db2.gz QECGVXWFYCYHBQ-HOTGVXAUSA-N 0 0 432.543 -0.446 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC000476052477 1072988944 /nfs/dbraw/zinc/98/89/44/1072988944.db2.gz QECGVXWFYCYHBQ-HZPDHXFCSA-N 0 0 432.543 -0.446 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC000476052478 1072988900 /nfs/dbraw/zinc/98/89/00/1072988900.db2.gz QECGVXWFYCYHBQ-JKSUJKDBSA-N 0 0 432.543 -0.446 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1nnc(N2CCCC2)s1)S(C)(=O)=O ZINC000476054021 1072988920 /nfs/dbraw/zinc/98/89/20/1072988920.db2.gz WOFITUHTGUAEDL-UHFFFAOYSA-N 0 0 425.558 -0.690 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)s1)c1ccccc1 ZINC000476054558 1072988823 /nfs/dbraw/zinc/98/88/23/1072988823.db2.gz XSCMXXKRYNUMPH-AWEZNQCLSA-N 0 0 439.519 -0.248 20 0 IBADRN CNC(=O)[C@H](NC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)s1)c1ccccc1 ZINC000476054559 1072988767 /nfs/dbraw/zinc/98/87/67/1072988767.db2.gz XSCMXXKRYNUMPH-CQSZACIVSA-N 0 0 439.519 -0.248 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCCN3C(=O)CNC3=O)CC2)c(OC)c1OC ZINC000476055582 1072988947 /nfs/dbraw/zinc/98/89/47/1072988947.db2.gz YDGVSMDUOLJFIR-UHFFFAOYSA-N 0 0 449.464 -0.268 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000476055991 1072988724 /nfs/dbraw/zinc/98/87/24/1072988724.db2.gz OINVJNIDCLHSIM-UHFFFAOYSA-N 0 0 447.602 -0.379 20 0 IBADRN CNC(=O)[C@H](NC(=O)CS(=O)(=O)c1nnc(NCCOC)s1)c1ccccc1 ZINC000476056609 1073316687 /nfs/dbraw/zinc/31/66/87/1073316687.db2.gz SUTPGPFFYJCMRU-CYBMUJFWSA-N 0 0 427.508 -0.026 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CS(=O)(=O)c1nnc(NCCOC)s1)c1ccccc1 ZINC000476056610 1073316833 /nfs/dbraw/zinc/31/68/33/1073316833.db2.gz SUTPGPFFYJCMRU-ZDUSSCGKSA-N 0 0 427.508 -0.026 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)s1)C(=O)N1CCCCC1 ZINC000476056839 1072989340 /nfs/dbraw/zinc/98/93/40/1072989340.db2.gz VRNQDCGCOKTDMD-GFCCVEGCSA-N 0 0 431.540 -0.334 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)s1)C(=O)N1CCCCC1 ZINC000476056840 1072989219 /nfs/dbraw/zinc/98/92/19/1072989219.db2.gz VRNQDCGCOKTDMD-LBPRGKRZSA-N 0 0 431.540 -0.334 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@]1(O)CCSC1 ZINC000476057697 1072989433 /nfs/dbraw/zinc/98/94/33/1072989433.db2.gz CNQASEGVTYVWKH-KRWDZBQOSA-N 0 0 429.568 -0.299 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@]1(O)CCSC1 ZINC000476057698 1072989254 /nfs/dbraw/zinc/98/92/54/1072989254.db2.gz CNQASEGVTYVWKH-QGZVFWFLSA-N 0 0 429.568 -0.299 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000476058183 1074352255 /nfs/dbraw/zinc/35/22/55/1074352255.db2.gz DXTKOOKYGMWDCX-IBGZPJMESA-N 0 0 448.480 -0.704 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000476058189 1074352057 /nfs/dbraw/zinc/35/20/57/1074352057.db2.gz DXTKOOKYGMWDCX-LJQANCHMSA-N 0 0 448.480 -0.704 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000476061858 1072988863 /nfs/dbraw/zinc/98/88/63/1072988863.db2.gz NWULZEAGJQGGCB-UHFFFAOYSA-N 0 0 442.604 -0.260 20 0 IBADRN CCN(CCCNC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)S(C)(=O)=O ZINC000476062303 1072988787 /nfs/dbraw/zinc/98/87/87/1072988787.db2.gz OGJCTLCUSFUJLH-UHFFFAOYSA-N 0 0 442.604 -0.260 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1nncn1CCc1cccs1)S(C)(=O)=O ZINC000476062874 1072989239 /nfs/dbraw/zinc/98/92/39/1072989239.db2.gz QLZKLFYLOGOAFU-UHFFFAOYSA-N 0 0 449.580 -0.246 20 0 IBADRN COc1ccccc1-n1cnnc1S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O ZINC000476062892 1072989347 /nfs/dbraw/zinc/98/93/47/1072989347.db2.gz QQPHSIICEINKFA-UHFFFAOYSA-N 0 0 445.523 -0.553 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CC1 ZINC000476064039 1072989769 /nfs/dbraw/zinc/98/97/69/1072989769.db2.gz TXSMILPIFMYJGD-UHFFFAOYSA-N 0 0 433.575 -0.721 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000476066902 1072989417 /nfs/dbraw/zinc/98/94/17/1072989417.db2.gz ZICSVEZMFMBAAX-FQEVSTJZSA-N 0 0 448.524 -0.111 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000476066909 1072989307 /nfs/dbraw/zinc/98/93/07/1072989307.db2.gz ZICSVEZMFMBAAX-HXUWFJFHSA-N 0 0 448.524 -0.111 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000476069581 1072989709 /nfs/dbraw/zinc/98/97/09/1072989709.db2.gz BMFMCHZXJJROKK-GASCZTMLSA-N 0 0 435.529 -0.149 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000476069584 1072989792 /nfs/dbraw/zinc/98/97/92/1072989792.db2.gz BMFMCHZXJJROKK-GJZGRUSLSA-N 0 0 435.529 -0.149 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000476069585 1072990182 /nfs/dbraw/zinc/99/01/82/1072990182.db2.gz BMFMCHZXJJROKK-HUUCEWRRSA-N 0 0 435.529 -0.149 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1 ZINC000476069974 1072989762 /nfs/dbraw/zinc/98/97/62/1072989762.db2.gz DOIZCEQTGQHRCU-UHFFFAOYSA-N 0 0 435.529 -0.192 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000476070421 1072989786 /nfs/dbraw/zinc/98/97/86/1072989786.db2.gz DKWWFASAPWARJE-UHFFFAOYSA-N 0 0 434.544 -0.854 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)NCCN3CCCS3(=O)=O)cn2)C[C@H](C)O1 ZINC000476070524 1072990385 /nfs/dbraw/zinc/99/03/85/1072990385.db2.gz FEYDZBMJHWMERL-KBPBESRZSA-N 0 0 428.515 -0.324 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCN3CCCS3(=O)=O)cn2)C[C@H](C)O1 ZINC000476070526 1072990375 /nfs/dbraw/zinc/99/03/75/1072990375.db2.gz FEYDZBMJHWMERL-OKILXGFUSA-N 0 0 428.515 -0.324 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCN3CCCS3(=O)=O)cn2)C[C@@H](C)O1 ZINC000476070527 1072990361 /nfs/dbraw/zinc/99/03/61/1072990361.db2.gz FEYDZBMJHWMERL-ZIAGYGMSSA-N 0 0 428.515 -0.324 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@@](C)(O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000476070839 1072990209 /nfs/dbraw/zinc/99/02/09/1072990209.db2.gz JILVTRZVUKQEDB-GQIGUUNPSA-N 0 0 438.529 -0.276 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@@](C)(O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000476070840 1072990323 /nfs/dbraw/zinc/99/03/23/1072990323.db2.gz JILVTRZVUKQEDB-JXXFODFXSA-N 0 0 438.529 -0.276 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@](C)(O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000476070845 1072990274 /nfs/dbraw/zinc/99/02/74/1072990274.db2.gz JILVTRZVUKQEDB-QINHECLXSA-N 0 0 438.529 -0.276 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@](C)(O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000476070846 1072990267 /nfs/dbraw/zinc/99/02/67/1072990267.db2.gz JILVTRZVUKQEDB-YRNRMSPPSA-N 0 0 438.529 -0.276 20 0 IBADRN Cc1nc2ccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc2n1C ZINC000476072528 1072989685 /nfs/dbraw/zinc/98/96/85/1072989685.db2.gz OJLQVWBJNOFCSG-INIZCTEOSA-N 0 0 433.534 -0.057 20 0 IBADRN Cc1nc2ccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc2n1C ZINC000476072529 1072989835 /nfs/dbraw/zinc/98/98/35/1072989835.db2.gz OJLQVWBJNOFCSG-MRXNPFEDSA-N 0 0 433.534 -0.057 20 0 IBADRN O=C(CN1CCN(C(=O)c2ncccc2Br)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000476072563 1072989808 /nfs/dbraw/zinc/98/98/08/1072989808.db2.gz OUDDRXUDNSDOLD-GFCCVEGCSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2ncccc2Br)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000476072567 1072989811 /nfs/dbraw/zinc/98/98/11/1072989811.db2.gz OUDDRXUDNSDOLD-LBPRGKRZSA-N 0 0 445.339 -0.095 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1 ZINC000476074966 1072989733 /nfs/dbraw/zinc/98/97/33/1072989733.db2.gz XITKTDAIADFFPY-UHFFFAOYSA-N 0 0 442.484 -0.324 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(CC(=O)NC4CC4)CC3)cn2)C[C@H](C)O1 ZINC000476075258 1072989802 /nfs/dbraw/zinc/98/98/02/1072989802.db2.gz QNWBKKBWJZPOHX-HOTGVXAUSA-N 0 0 447.540 -0.053 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(CC(=O)NC4CC4)CC3)cn2)C[C@@H](C)O1 ZINC000476075263 1072989844 /nfs/dbraw/zinc/98/98/44/1072989844.db2.gz QNWBKKBWJZPOHX-HZPDHXFCSA-N 0 0 447.540 -0.053 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(CC(=O)NC4CC4)CC3)cn2)C[C@H](C)O1 ZINC000476075265 1072989818 /nfs/dbraw/zinc/98/98/18/1072989818.db2.gz QNWBKKBWJZPOHX-IYBDPMFKSA-N 0 0 447.540 -0.053 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000476076519 1072990368 /nfs/dbraw/zinc/99/03/68/1072990368.db2.gz WAHDMGHXQVAGPI-HOTGVXAUSA-N 0 0 435.529 -0.196 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000476076520 1072990228 /nfs/dbraw/zinc/99/02/28/1072990228.db2.gz WAHDMGHXQVAGPI-HZPDHXFCSA-N 0 0 435.529 -0.196 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000476076522 1072990338 /nfs/dbraw/zinc/99/03/38/1072990338.db2.gz WAHDMGHXQVAGPI-IYBDPMFKSA-N 0 0 435.529 -0.196 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1 ZINC000476077178 1072990197 /nfs/dbraw/zinc/99/01/97/1072990197.db2.gz XKRUFZGMDYEIOQ-UHFFFAOYSA-N 0 0 430.469 -0.506 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@H](C)O1 ZINC000476077510 1072990238 /nfs/dbraw/zinc/99/02/38/1072990238.db2.gz HHJGVTSWOVSETK-HOTGVXAUSA-N 0 0 435.529 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@@H](C)O1 ZINC000476077511 1072990829 /nfs/dbraw/zinc/99/08/29/1072990829.db2.gz HHJGVTSWOVSETK-HZPDHXFCSA-N 0 0 435.529 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@H](C)O1 ZINC000476077512 1072990836 /nfs/dbraw/zinc/99/08/36/1072990836.db2.gz HHJGVTSWOVSETK-IYBDPMFKSA-N 0 0 435.529 -0.196 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)Nc2cnn(CC(=O)NCCOC)c2)s1 ZINC000476077596 1072990393 /nfs/dbraw/zinc/99/03/93/1072990393.db2.gz FPJLNQHGOPUXER-UHFFFAOYSA-N 0 0 430.512 -0.063 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1 ZINC000476078334 1072990930 /nfs/dbraw/zinc/99/09/30/1072990930.db2.gz IXUJCEGFEIEDFT-UHFFFAOYSA-N 0 0 430.469 -0.506 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)NCCNC(=O)c3cnccn3)cn2)C[C@H](C)O1 ZINC000476080525 1072990350 /nfs/dbraw/zinc/99/03/50/1072990350.db2.gz PPQRZPVNZFRQJH-KBPBESRZSA-N 0 0 430.469 -0.140 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCNC(=O)c3cnccn3)cn2)C[C@H](C)O1 ZINC000476080526 1072990166 /nfs/dbraw/zinc/99/01/66/1072990166.db2.gz PPQRZPVNZFRQJH-OKILXGFUSA-N 0 0 430.469 -0.140 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCNC(=O)c3cnccn3)cn2)C[C@@H](C)O1 ZINC000476080528 1072990254 /nfs/dbraw/zinc/99/02/54/1072990254.db2.gz PPQRZPVNZFRQJH-ZIAGYGMSSA-N 0 0 430.469 -0.140 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000476081101 1072990292 /nfs/dbraw/zinc/99/02/92/1072990292.db2.gz USJBAUNANHALSM-KBPBESRZSA-N 0 0 428.515 -0.372 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000476081112 1072990305 /nfs/dbraw/zinc/99/03/05/1072990305.db2.gz USJBAUNANHALSM-OKILXGFUSA-N 0 0 428.515 -0.372 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000476081115 1072990312 /nfs/dbraw/zinc/99/03/12/1072990312.db2.gz USJBAUNANHALSM-ZIAGYGMSSA-N 0 0 428.515 -0.372 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000476084208 1072990895 /nfs/dbraw/zinc/99/08/95/1072990895.db2.gz NBKNCYHMHUHQNE-KBPBESRZSA-N 0 0 430.531 -0.032 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000476084210 1072990914 /nfs/dbraw/zinc/99/09/14/1072990914.db2.gz NBKNCYHMHUHQNE-OKILXGFUSA-N 0 0 430.531 -0.032 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000476084212 1072990904 /nfs/dbraw/zinc/99/09/04/1072990904.db2.gz NBKNCYHMHUHQNE-ZIAGYGMSSA-N 0 0 430.531 -0.032 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cn1 ZINC000476084880 1072990854 /nfs/dbraw/zinc/99/08/54/1072990854.db2.gz PISINUSXVLGWMW-UHFFFAOYSA-N 0 0 435.529 -0.289 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@@H](NS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000476086617 1072990941 /nfs/dbraw/zinc/99/09/41/1072990941.db2.gz QPQLQJWJOZCNTP-HZSPNIEDSA-N 0 0 428.515 -0.326 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@H](NS(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000476086619 1072990861 /nfs/dbraw/zinc/99/08/61/1072990861.db2.gz QPQLQJWJOZCNTP-MCIONIFRSA-N 0 0 428.515 -0.326 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@@H](NS(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000476086622 1072990815 /nfs/dbraw/zinc/99/08/15/1072990815.db2.gz QPQLQJWJOZCNTP-MGPQQGTHSA-N 0 0 428.515 -0.326 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@H](NS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000476086863 1072990888 /nfs/dbraw/zinc/99/08/88/1072990888.db2.gz QPQLQJWJOZCNTP-MJBXVCDLSA-N 0 0 428.515 -0.326 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nc(C)nc(N3CC[C@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000476089568 1072990875 /nfs/dbraw/zinc/99/08/75/1072990875.db2.gz IUAJAKKSYINDRC-KBPBESRZSA-N 0 0 447.587 -0.784 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nc(C)nc(N3CC[C@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000476089569 1072990982 /nfs/dbraw/zinc/99/09/82/1072990982.db2.gz IUAJAKKSYINDRC-OKILXGFUSA-N 0 0 447.587 -0.784 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nc(C)nc(N3CC[C@@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000476089570 1072990924 /nfs/dbraw/zinc/99/09/24/1072990924.db2.gz IUAJAKKSYINDRC-ZIAGYGMSSA-N 0 0 447.587 -0.784 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC000476089884 1072990799 /nfs/dbraw/zinc/99/07/99/1072990799.db2.gz IXHRMXZIWMIVKH-UHFFFAOYSA-N 0 0 430.531 -0.029 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cn1 ZINC000476090169 1072990866 /nfs/dbraw/zinc/99/08/66/1072990866.db2.gz MVPGFQWFZSJUPH-UHFFFAOYSA-N 0 0 448.484 -0.270 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)n1 ZINC000476090991 1072990843 /nfs/dbraw/zinc/99/08/43/1072990843.db2.gz NDBVVXBJRGZGLA-FQEVSTJZSA-N 0 0 445.524 -0.193 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)n1 ZINC000476090992 1072990961 /nfs/dbraw/zinc/99/09/61/1072990961.db2.gz NDBVVXBJRGZGLA-HXUWFJFHSA-N 0 0 445.524 -0.193 20 0 IBADRN Cc1nc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)nc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1 ZINC000476091907 1072990951 /nfs/dbraw/zinc/99/09/51/1072990951.db2.gz PNKYVOVUTSYZBQ-STQMWFEESA-N 0 0 447.587 -0.880 20 0 IBADRN Cc1cc(NC(=O)CN(C)c2nc(C)nc(N(C)CC(=O)Nc3cc(C)on3)n2)no1 ZINC000476096292 1072991391 /nfs/dbraw/zinc/99/13/91/1072991391.db2.gz SYJNJKYVBVGGIY-UHFFFAOYSA-N 0 0 429.441 -0.234 20 0 IBADRN C[C@@H](NC(=O)CSCc1nnnn1-c1ccc(S(C)(=O)=O)cc1)C(=O)N(C)C ZINC000476106602 1072991321 /nfs/dbraw/zinc/99/13/21/1072991321.db2.gz DWMSGXGZKDVNMF-LLVKDONJSA-N 0 0 426.524 -0.108 20 0 IBADRN C[C@H](NC(=O)CSCc1nnnn1-c1ccc(S(C)(=O)=O)cc1)C(=O)N(C)C ZINC000476106608 1072991430 /nfs/dbraw/zinc/99/14/30/1072991430.db2.gz DWMSGXGZKDVNMF-NSHDSACASA-N 0 0 426.524 -0.108 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(C(=O)[C@H]3CC[C@@H](C(N)=O)O3)C3(CCCCC3)C2)O1 ZINC000476115653 1072991408 /nfs/dbraw/zinc/99/14/08/1072991408.db2.gz ZSSSYOSQPYXGTJ-CAOSSQGBSA-N 0 0 436.509 -0.574 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](C(=O)N2CCN(C(=O)[C@H]3CC[C@@H](C(N)=O)O3)CC23CCCCC3)O1 ZINC000476115658 1072991336 /nfs/dbraw/zinc/99/13/36/1072991336.db2.gz ZSSSYOSQPYXGTJ-JJXSEGSLSA-N 0 0 436.509 -0.574 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(C(=O)[C@@H]3CC[C@@H](C(N)=O)O3)C3(CCCCC3)C2)O1 ZINC000476115659 1072991314 /nfs/dbraw/zinc/99/13/14/1072991314.db2.gz ZSSSYOSQPYXGTJ-JONQDZQNSA-N 0 0 436.509 -0.574 20 0 IBADRN NC(=O)[C@H]1CC[C@H](C(=O)N2CCN(C(=O)[C@H]3CC[C@@H](C(N)=O)O3)CC23CCCCC3)O1 ZINC000476115660 1072991284 /nfs/dbraw/zinc/99/12/84/1072991284.db2.gz ZSSSYOSQPYXGTJ-ZJIFWQFVSA-N 0 0 436.509 -0.574 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000476117203 1072991856 /nfs/dbraw/zinc/99/18/56/1072991856.db2.gz HKCVICXAOFNIFV-IBGZPJMESA-N 0 0 430.465 -0.428 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000476117204 1072991769 /nfs/dbraw/zinc/99/17/69/1072991769.db2.gz HKCVICXAOFNIFV-LJQANCHMSA-N 0 0 430.465 -0.428 20 0 IBADRN Cc1nc(N2CCCN(S(C)(=O)=O)CC2)nc(N2CCCN(S(C)(=O)=O)CC2)n1 ZINC000476117476 1072991297 /nfs/dbraw/zinc/99/12/97/1072991297.db2.gz YALVFYGEKIUITN-UHFFFAOYSA-N 0 0 447.587 -0.877 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)Nc1ccc(S(=O)(=O)NC2CCOCC2)cc1 ZINC000476120097 1072991308 /nfs/dbraw/zinc/99/13/08/1072991308.db2.gz JUQKMLYWJXWUKB-UHFFFAOYSA-N 0 0 431.536 -0.187 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000476122003 1072991738 /nfs/dbraw/zinc/99/17/38/1072991738.db2.gz PWXYQKMMXKKYGL-GOSISDBHSA-N 0 0 436.469 -0.800 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000476122004 1072991925 /nfs/dbraw/zinc/99/19/25/1072991925.db2.gz PWXYQKMMXKKYGL-SFHVURJKSA-N 0 0 436.469 -0.800 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000476125339 1072991911 /nfs/dbraw/zinc/99/19/11/1072991911.db2.gz AJMZQSOHOUADDL-WBMJQRKESA-N 0 0 438.506 -0.109 20 0 IBADRN O=C(Cn1cc(NC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)cn1)NCCO ZINC000476127809 1072993325 /nfs/dbraw/zinc/99/33/25/1072993325.db2.gz BKLRSURTMDHAHZ-UHFFFAOYSA-N 0 0 442.523 -0.691 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3nccs3)CC2)cn1C ZINC000476128928 1072993307 /nfs/dbraw/zinc/99/33/07/1072993307.db2.gz BNAOLRKVPJAGOB-UHFFFAOYSA-N 0 0 426.524 -0.623 20 0 IBADRN O=C(CN1CCOc2ccc(Cl)cc21)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476131543 1072993250 /nfs/dbraw/zinc/99/32/50/1072993250.db2.gz CQPSOFKBNQKORS-CVEARBPZSA-N 0 0 429.926 -0.159 20 0 IBADRN O=C(CN1CCOc2ccc(Cl)cc21)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476131546 1072993265 /nfs/dbraw/zinc/99/32/65/1072993265.db2.gz CQPSOFKBNQKORS-HOTGVXAUSA-N 0 0 429.926 -0.159 20 0 IBADRN O=C(CN1CCOc2ccc(Cl)cc21)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476131548 1072993277 /nfs/dbraw/zinc/99/32/77/1072993277.db2.gz CQPSOFKBNQKORS-HZPDHXFCSA-N 0 0 429.926 -0.159 20 0 IBADRN O=C(CN1CCOc2ccc(Cl)cc21)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476131550 1072993220 /nfs/dbraw/zinc/99/32/20/1072993220.db2.gz CQPSOFKBNQKORS-JKSUJKDBSA-N 0 0 429.926 -0.159 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n1 ZINC000476132106 1072993785 /nfs/dbraw/zinc/99/37/85/1072993785.db2.gz DNSHHHULWFJPIY-BFUOFWGJSA-N 0 0 432.481 -0.353 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n1 ZINC000476132110 1072993705 /nfs/dbraw/zinc/99/37/05/1072993705.db2.gz DNSHHHULWFJPIY-DJJJIMSYSA-N 0 0 432.481 -0.353 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n1 ZINC000476132112 1072993690 /nfs/dbraw/zinc/99/36/90/1072993690.db2.gz DNSHHHULWFJPIY-ORAYPTAESA-N 0 0 432.481 -0.353 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n1 ZINC000476132114 1072993722 /nfs/dbraw/zinc/99/37/22/1072993722.db2.gz DNSHHHULWFJPIY-YJYMSZOUSA-N 0 0 432.481 -0.353 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccccc2OCC2CC2)CC1 ZINC000476132117 1072993708 /nfs/dbraw/zinc/99/37/08/1072993708.db2.gz DIKDNPCDAYORID-UHFFFAOYSA-N 0 0 427.465 -0.551 20 0 IBADRN CN(CCCNC(=O)CNc1ccccc1OCC(=O)N1CCOCC1)S(C)(=O)=O ZINC000476134001 1072993772 /nfs/dbraw/zinc/99/37/72/1072993772.db2.gz FAHHONUBBCIGOG-UHFFFAOYSA-N 0 0 442.538 -0.266 20 0 IBADRN COc1cccc(C[C@@H](C(=O)Nc2cnn(CC(=O)NCCO)c2)n2nnnc2C)c1 ZINC000476134888 1072993694 /nfs/dbraw/zinc/99/36/94/1072993694.db2.gz DOTMMNYEWOKLCO-KRWDZBQOSA-N 0 0 428.453 -0.282 20 0 IBADRN COc1cccc(C[C@H](C(=O)Nc2cnn(CC(=O)NCCO)c2)n2nnnc2C)c1 ZINC000476134895 1072993710 /nfs/dbraw/zinc/99/37/10/1072993710.db2.gz DOTMMNYEWOKLCO-QGZVFWFLSA-N 0 0 428.453 -0.282 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000476135524 1072993725 /nfs/dbraw/zinc/99/37/25/1072993725.db2.gz GRSSAGNWMQOBFQ-UHFFFAOYSA-N 0 0 442.523 -0.685 20 0 IBADRN Cc1oc(C(=O)Nc2cnn(CC(=O)NCCO)c2)cc1S(=O)(=O)N1CCCC1 ZINC000476141541 1072993737 /nfs/dbraw/zinc/99/37/37/1072993737.db2.gz HNTSLQRDONGZJB-UHFFFAOYSA-N 0 0 425.467 -0.070 20 0 IBADRN O=C([C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000476141893 1072994289 /nfs/dbraw/zinc/99/42/89/1072994289.db2.gz JYOYKLTZCIFXHE-CABCVRRESA-N 0 0 438.510 -0.921 20 0 IBADRN O=C([C@H]1CC=CC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)N1CCOCC1 ZINC000476141899 1072994237 /nfs/dbraw/zinc/99/42/37/1072994237.db2.gz JYOYKLTZCIFXHE-GJZGRUSLSA-N 0 0 438.510 -0.921 20 0 IBADRN O=C([C@@H]1CC=CC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)N1CCOCC1 ZINC000476141901 1072994229 /nfs/dbraw/zinc/99/42/29/1072994229.db2.gz JYOYKLTZCIFXHE-HUUCEWRRSA-N 0 0 438.510 -0.921 20 0 IBADRN O=C([C@@H]1CC=CC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)N1CCOCC1 ZINC000476141903 1072994210 /nfs/dbraw/zinc/99/42/10/1072994210.db2.gz JYOYKLTZCIFXHE-LSDHHAIUSA-N 0 0 438.510 -0.921 20 0 IBADRN COc1ccc(C(=O)Nc2cnn(CC(=O)NCCO)c2)cc1S(=O)(=O)NC(C)C ZINC000476142014 1072994269 /nfs/dbraw/zinc/99/42/69/1072994269.db2.gz HTPCGBDWLSEOKU-UHFFFAOYSA-N 0 0 439.494 -0.061 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)Nc1cnn(CC(=O)NCCO)c1 ZINC000476143939 1072994242 /nfs/dbraw/zinc/99/42/42/1072994242.db2.gz IPBNCZWCVGOPOQ-UHFFFAOYSA-N 0 0 438.462 -0.435 20 0 IBADRN COc1ccc(C(=O)Nc2cnn(CC(=O)NCCO)c2)cc1S(=O)(=O)NC1CC1 ZINC000476145141 1072994699 /nfs/dbraw/zinc/99/46/99/1072994699.db2.gz JERXGUNCPMVJPF-UHFFFAOYSA-N 0 0 437.478 -0.307 20 0 IBADRN O=C(Cn1cc(NC(=O)CNS(=O)(=O)c2cccc(C(F)(F)F)c2)cn1)NCCO ZINC000476146331 1072994622 /nfs/dbraw/zinc/99/46/22/1072994622.db2.gz KDYRGLHWCNBKHK-UHFFFAOYSA-N 0 0 449.411 -0.073 20 0 IBADRN O=C(Cn1cc(NC(=O)[C@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)cn1)NCCO ZINC000476147836 1072994732 /nfs/dbraw/zinc/99/47/32/1072994732.db2.gz JXUKCAPCNACMSC-CVEARBPZSA-N 0 0 435.481 -0.088 20 0 IBADRN O=C(Cn1cc(NC(=O)[C@@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)cn1)NCCO ZINC000476147846 1072994805 /nfs/dbraw/zinc/99/48/05/1072994805.db2.gz JXUKCAPCNACMSC-HOTGVXAUSA-N 0 0 435.481 -0.088 20 0 IBADRN O=C(Cn1cc(NC(=O)[C@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)cn1)NCCO ZINC000476147856 1072994645 /nfs/dbraw/zinc/99/46/45/1072994645.db2.gz JXUKCAPCNACMSC-HZPDHXFCSA-N 0 0 435.481 -0.088 20 0 IBADRN O=C(Cn1cc(NC(=O)[C@@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)cn1)NCCO ZINC000476147868 1072994814 /nfs/dbraw/zinc/99/48/14/1072994814.db2.gz JXUKCAPCNACMSC-JKSUJKDBSA-N 0 0 435.481 -0.088 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000476148822 1072994659 /nfs/dbraw/zinc/99/46/59/1072994659.db2.gz JYCMAVUHXVAGRY-CYBMUJFWSA-N 0 0 443.478 -0.738 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000476148844 1072994755 /nfs/dbraw/zinc/99/47/55/1072994755.db2.gz JYCMAVUHXVAGRY-ZDUSSCGKSA-N 0 0 443.478 -0.738 20 0 IBADRN O=C(Cn1cc(NC(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)cn1)NCCO ZINC000476149561 1072994787 /nfs/dbraw/zinc/99/47/87/1072994787.db2.gz LUBAUGPXCZLGSU-CYBMUJFWSA-N 0 0 427.508 -0.155 20 0 IBADRN O=C(Cn1cc(NC(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)cn1)NCCO ZINC000476149563 1072994827 /nfs/dbraw/zinc/99/48/27/1072994827.db2.gz LUBAUGPXCZLGSU-ZDUSSCGKSA-N 0 0 427.508 -0.155 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000476150811 1072995136 /nfs/dbraw/zinc/99/51/36/1072995136.db2.gz LAKRSOQSRLJINM-UHFFFAOYSA-N 0 0 428.511 -0.389 20 0 IBADRN O=C(Cn1cc(NC(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)cn1)NCCO ZINC000476152034 1072995330 /nfs/dbraw/zinc/99/53/30/1072995330.db2.gz LQNOERQIZABAPG-CYBMUJFWSA-N 0 0 429.433 -0.246 20 0 IBADRN O=C(Cn1cc(NC(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)cn1)NCCO ZINC000476152061 1072995306 /nfs/dbraw/zinc/99/53/06/1072995306.db2.gz LQNOERQIZABAPG-ZDUSSCGKSA-N 0 0 429.433 -0.246 20 0 IBADRN CN(CC(=O)Nc1cnn(CC(=O)NCCO)c1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000476156721 1072995241 /nfs/dbraw/zinc/99/52/41/1072995241.db2.gz OUECGJNHLOSHLB-UHFFFAOYSA-N 0 0 429.886 -0.096 20 0 IBADRN COc1ccc(CC(=O)NC[C@H]2CC[C@@H](CO)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000476157585 1072995091 /nfs/dbraw/zinc/99/50/91/1072995091.db2.gz PODBYLXJHYUFFV-CVEARBPZSA-N 0 0 428.507 -0.085 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)Nc2cnn(CC(=O)NCCO)c2)c1 ZINC000476158298 1072995149 /nfs/dbraw/zinc/99/51/49/1072995149.db2.gz PVHMEUGHKSEUEW-UHFFFAOYSA-N 0 0 425.467 -0.831 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000476158803 1072995107 /nfs/dbraw/zinc/99/51/07/1072995107.db2.gz OMCAVJDNFMPGLC-OAHLLOKOSA-N 0 0 429.547 -0.392 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)Nc2cnn(CC(=O)NCCO)c2)cc1 ZINC000476167027 1072995198 /nfs/dbraw/zinc/99/51/98/1072995198.db2.gz TVGNNOQRFIUQRM-UHFFFAOYSA-N 0 0 425.467 -0.831 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2Cl)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476171070 1072995763 /nfs/dbraw/zinc/99/57/63/1072995763.db2.gz YQXFKMVGABOGAI-COXVUDFISA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2Cl)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476171074 1072995725 /nfs/dbraw/zinc/99/57/25/1072995725.db2.gz YQXFKMVGABOGAI-KBRIMQKVSA-N 0 0 441.937 -0.005 20 0 IBADRN O=C(CC1(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CCCC1)N1CCOCC1 ZINC000476171417 1072995742 /nfs/dbraw/zinc/99/57/42/1072995742.db2.gz YJIOFKVDKQPXQX-UHFFFAOYSA-N 0 0 440.526 -0.553 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)Nc1cnn(CC(=O)NCCO)c1 ZINC000476172380 1072995657 /nfs/dbraw/zinc/99/56/57/1072995657.db2.gz WTZBSCGLKLNJBU-NSHDSACASA-N 0 0 429.886 -0.050 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000476172480 1072995768 /nfs/dbraw/zinc/99/57/68/1072995768.db2.gz WULHVKRBQFUJCV-WBVHZDCISA-N 0 0 425.507 -0.564 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2Cl)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476172484 1072995697 /nfs/dbraw/zinc/99/56/97/1072995697.db2.gz YQXFKMVGABOGAI-XOKHGSTOSA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2Cl)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476172487 1072995751 /nfs/dbraw/zinc/99/57/51/1072995751.db2.gz YQXFKMVGABOGAI-XYPHTWIQSA-N 0 0 441.937 -0.005 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@H]2CC[C@@H](CO)O2)cc1C ZINC000476178620 1072995705 /nfs/dbraw/zinc/99/57/05/1072995705.db2.gz ZBLJWCZJSGKRAS-HLLBOEOZSA-N 0 0 427.523 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@H]2CC[C@@H](CO)O2)cc1C ZINC000476178625 1072995716 /nfs/dbraw/zinc/99/57/16/1072995716.db2.gz ZBLJWCZJSGKRAS-VYDXJSESSA-N 0 0 427.523 -0.128 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000476180651 1072996277 /nfs/dbraw/zinc/99/62/77/1072996277.db2.gz WJJBUFGJHVCMRR-IBGZPJMESA-N 0 0 448.480 -0.704 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000476180668 1072996266 /nfs/dbraw/zinc/99/62/66/1072996266.db2.gz WJJBUFGJHVCMRR-LJQANCHMSA-N 0 0 448.480 -0.704 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NC[C@H]2CC[C@@H](CO)O2)[C@@H]1C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000476182604 1072996238 /nfs/dbraw/zinc/99/62/38/1072996238.db2.gz QFLYAWULIXRCKO-GUGQIIKSSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NC[C@H]2CC[C@@H](CO)O2)[C@@H]1C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000476182606 1072996258 /nfs/dbraw/zinc/99/62/58/1072996258.db2.gz QFLYAWULIXRCKO-KXAJLMTRSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NC[C@H]2CC[C@@H](CO)O2)[C@H]1C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000476182608 1072996255 /nfs/dbraw/zinc/99/62/55/1072996255.db2.gz QFLYAWULIXRCKO-SGNYEFMRSA-N 0 0 426.510 -0.470 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NC[C@H]2CC[C@@H](CO)O2)[C@H]1C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000476182610 1072996180 /nfs/dbraw/zinc/99/61/80/1072996180.db2.gz QFLYAWULIXRCKO-VPUINSRXSA-N 0 0 426.510 -0.470 20 0 IBADRN COc1ccc(CCCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000476183049 1072995788 /nfs/dbraw/zinc/99/57/88/1072995788.db2.gz ZKZCQQKSIXOFGQ-UHFFFAOYSA-N 0 0 444.492 -0.767 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000476183670 1072996217 /nfs/dbraw/zinc/99/62/17/1072996217.db2.gz XIZREFDMACADLW-UHFFFAOYSA-N 0 0 430.505 -0.508 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Nc1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000476184762 1072996192 /nfs/dbraw/zinc/99/61/92/1072996192.db2.gz YMLDYEVGWHFYMF-UHFFFAOYSA-N 0 0 448.445 -0.046 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000476186351 1072996211 /nfs/dbraw/zinc/99/62/11/1072996211.db2.gz DRYHBSOERKJEAH-UHFFFAOYSA-N 0 0 427.508 -0.190 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)c1=O ZINC000476187133 1072996235 /nfs/dbraw/zinc/99/62/35/1072996235.db2.gz FPQHMSSOFWCOLN-IBGZPJMESA-N 0 0 432.481 -0.956 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)c1=O ZINC000476187134 1072996202 /nfs/dbraw/zinc/99/62/02/1072996202.db2.gz FPQHMSSOFWCOLN-LJQANCHMSA-N 0 0 432.481 -0.956 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3ccccc3S(=O)(=O)N3CCCC3)C2)nn1 ZINC000476187466 1072996242 /nfs/dbraw/zinc/99/62/42/1072996242.db2.gz CUBURGKLHSTNCG-UHFFFAOYSA-N 0 0 440.507 -0.593 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)N1CCN(S(=O)(=O)c3nc[nH]n3)CC1)C2=O ZINC000476187758 1072996289 /nfs/dbraw/zinc/99/62/89/1072996289.db2.gz GYSBOOLNERLEBH-GFCCVEGCSA-N 0 0 432.462 -0.369 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)N1CCN(S(=O)(=O)c3nc[nH]n3)CC1)C2=O ZINC000476187767 1072996250 /nfs/dbraw/zinc/99/62/50/1072996250.db2.gz GYSBOOLNERLEBH-LBPRGKRZSA-N 0 0 432.462 -0.369 20 0 IBADRN O=C(NCC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)NCc1ccc(F)cc1 ZINC000476188786 1072996271 /nfs/dbraw/zinc/99/62/71/1072996271.db2.gz JDGAETRHOINFNU-UHFFFAOYSA-N 0 0 425.446 -0.724 20 0 IBADRN O=C(C1CCCC1)N1CC(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)C1 ZINC000476189420 1072996230 /nfs/dbraw/zinc/99/62/30/1072996230.db2.gz JKSXYCYYVNCFTC-UHFFFAOYSA-N 0 0 433.596 -0.088 20 0 IBADRN COC(=O)COc1ccc(CN(C)S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000476191980 1072996688 /nfs/dbraw/zinc/99/66/88/1072996688.db2.gz NRNLYOSUUNNYPZ-UHFFFAOYSA-N 0 0 435.524 -0.508 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)sc2nc3n(c(=O)c12)CCC3 ZINC000476192158 1072996594 /nfs/dbraw/zinc/99/65/94/1072996594.db2.gz CCOBSYFLTNXKKJ-UHFFFAOYSA-N 0 0 449.518 -0.023 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3nccs3)CC2)o1 ZINC000476192599 1072996670 /nfs/dbraw/zinc/99/66/70/1072996670.db2.gz GSCQVQMUOBJFCB-UHFFFAOYSA-N 0 0 441.491 -0.973 20 0 IBADRN O=S(=O)(c1ccc(-n2cnnn2)cc1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000476193052 1072996586 /nfs/dbraw/zinc/99/65/86/1072996586.db2.gz NWHPHDHRMMVCCH-UHFFFAOYSA-N 0 0 426.524 -0.454 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000476193928 1072996728 /nfs/dbraw/zinc/99/67/28/1072996728.db2.gz PCULUJBPPHJKPA-INIZCTEOSA-N 0 0 436.494 -0.606 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000476193966 1072996650 /nfs/dbraw/zinc/99/66/50/1072996650.db2.gz PCULUJBPPHJKPA-MRXNPFEDSA-N 0 0 436.494 -0.606 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000476194274 1072996663 /nfs/dbraw/zinc/99/66/63/1072996663.db2.gz POFKWQYKFNUFLK-UHFFFAOYSA-N 0 0 443.507 -0.490 20 0 IBADRN Cn1[nH]c(=O)c2c1nc(C1CC1)cc2C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000476194771 1072996709 /nfs/dbraw/zinc/99/67/09/1072996709.db2.gz GBWWSBXTYKOFKU-UHFFFAOYSA-N 0 0 432.466 -0.184 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000476194815 1072996735 /nfs/dbraw/zinc/99/67/35/1072996735.db2.gz GCSWWVWLMCAQCY-KBPBESRZSA-N 0 0 427.531 -0.142 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000476194827 1072996639 /nfs/dbraw/zinc/99/66/39/1072996639.db2.gz GCSWWVWLMCAQCY-KGLIPLIRSA-N 0 0 427.531 -0.142 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000476195905 1072997124 /nfs/dbraw/zinc/99/71/24/1072997124.db2.gz QJZRVQNFELDBPV-MOPGFXCFSA-N 0 0 435.481 -0.561 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000476195917 1072997202 /nfs/dbraw/zinc/99/72/02/1072997202.db2.gz QJZRVQNFELDBPV-OALUTQOASA-N 0 0 435.481 -0.561 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000476195927 1072997146 /nfs/dbraw/zinc/99/71/46/1072997146.db2.gz QJZRVQNFELDBPV-RBUKOAKNSA-N 0 0 435.481 -0.561 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000476195933 1072997178 /nfs/dbraw/zinc/99/71/78/1072997178.db2.gz QJZRVQNFELDBPV-RTBURBONSA-N 0 0 435.481 -0.561 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000476197384 1072997154 /nfs/dbraw/zinc/99/71/54/1072997154.db2.gz IAYPYXYVBZCPSI-UHFFFAOYSA-N 0 0 433.450 -0.857 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)c1 ZINC000476197475 1072997069 /nfs/dbraw/zinc/99/70/69/1072997069.db2.gz RIFRXVPOGBEWKT-UHFFFAOYSA-N 0 0 440.551 -0.239 20 0 IBADRN COc1ccc(CCCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000476198243 1072997191 /nfs/dbraw/zinc/99/71/91/1072997191.db2.gz JDAYWYXITJGHDS-UHFFFAOYSA-N 0 0 446.504 -0.726 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000476201967 1072996719 /nfs/dbraw/zinc/99/67/19/1072996719.db2.gz MSAKEMAPLJCEAD-CABCVRRESA-N 0 0 442.490 -0.607 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cc1C(N)=O ZINC000476204801 1072996680 /nfs/dbraw/zinc/99/66/80/1072996680.db2.gz OSSBEPHLSAVBIU-UHFFFAOYSA-N 0 0 431.536 -0.322 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000476205661 1072996606 /nfs/dbraw/zinc/99/66/06/1072996606.db2.gz RDUHCCZLBMJPCO-OCCSQVGLSA-N 0 0 428.463 -0.349 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000476206013 1072996701 /nfs/dbraw/zinc/99/67/01/1072996701.db2.gz UOPKPOXKERFOGR-CYBMUJFWSA-N 0 0 434.544 -0.768 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000476206058 1072996742 /nfs/dbraw/zinc/99/67/42/1072996742.db2.gz UOPKPOXKERFOGR-ZDUSSCGKSA-N 0 0 434.544 -0.768 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCC(N4CCS(=O)(=O)CC4)CC3)cc2C1=O ZINC000476209339 1072996656 /nfs/dbraw/zinc/99/66/56/1072996656.db2.gz ZQYCGNGHORMEMB-UHFFFAOYSA-N 0 0 441.531 -0.204 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000476210092 1072996569 /nfs/dbraw/zinc/99/65/69/1072996569.db2.gz VVWVSRMSRVWOGK-UHFFFAOYSA-N 0 0 428.493 -0.549 20 0 IBADRN O=C(Cn1cc(NC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)cn1)NCCO ZINC000476212754 1072997613 /nfs/dbraw/zinc/99/76/13/1072997613.db2.gz WRBAHUPSSZMJBP-UHFFFAOYSA-N 0 0 437.478 -0.735 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000476214437 1072997133 /nfs/dbraw/zinc/99/71/33/1072997133.db2.gz BFVSFEBFDAKYKS-KRWDZBQOSA-N 0 0 437.522 -0.123 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000476214440 1072997185 /nfs/dbraw/zinc/99/71/85/1072997185.db2.gz BFVSFEBFDAKYKS-QGZVFWFLSA-N 0 0 437.522 -0.123 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCC(N4CCS(=O)(=O)CC4)CC3)cc2n(C)c1=O ZINC000476216340 1072997095 /nfs/dbraw/zinc/99/70/95/1072997095.db2.gz KOIMONQXNUMQEA-UHFFFAOYSA-N 0 0 442.563 -0.240 20 0 IBADRN COC(CNS(=O)(=O)c1cnc2n1CCC2)CNS(=O)(=O)c1cnc2n1CCC2 ZINC000476219459 1072997187 /nfs/dbraw/zinc/99/71/87/1072997187.db2.gz OAJZMLOJHBFLFO-UHFFFAOYSA-N 0 0 444.539 -0.756 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476219563 1072997183 /nfs/dbraw/zinc/99/71/83/1072997183.db2.gz PDMDUSNUWWFNSX-KRWDZBQOSA-N 0 0 446.570 -0.152 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476219566 1072997110 /nfs/dbraw/zinc/99/71/10/1072997110.db2.gz PDMDUSNUWWFNSX-QGZVFWFLSA-N 0 0 446.570 -0.152 20 0 IBADRN COc1ccc(CCCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000476222351 1072997162 /nfs/dbraw/zinc/99/71/62/1072997162.db2.gz BAUXQAVGERQOEQ-UHFFFAOYSA-N 0 0 432.521 -0.253 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n(C)n1 ZINC000476222812 1072997171 /nfs/dbraw/zinc/99/71/71/1072997171.db2.gz QGWMTMCKZRCRLE-BFUOFWGJSA-N 0 0 432.481 -0.434 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n(C)n1 ZINC000476222817 1072997199 /nfs/dbraw/zinc/99/71/99/1072997199.db2.gz QGWMTMCKZRCRLE-DJJJIMSYSA-N 0 0 432.481 -0.434 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n(C)n1 ZINC000476222823 1072997195 /nfs/dbraw/zinc/99/71/95/1072997195.db2.gz QGWMTMCKZRCRLE-ORAYPTAESA-N 0 0 432.481 -0.434 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n(C)n1 ZINC000476222829 1072997080 /nfs/dbraw/zinc/99/70/80/1072997080.db2.gz QGWMTMCKZRCRLE-YJYMSZOUSA-N 0 0 432.481 -0.434 20 0 IBADRN COc1ccc(CCCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000476224010 1072997540 /nfs/dbraw/zinc/99/75/40/1072997540.db2.gz DWMCYJCWMMMRGR-UHFFFAOYSA-N 0 0 432.521 -0.253 20 0 IBADRN CN(C)CCN(C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000476228449 1072997626 /nfs/dbraw/zinc/99/76/26/1072997626.db2.gz PYGROKMPSITARF-KBXCAEBGSA-N 0 0 444.554 -0.821 20 0 IBADRN CN(C)CCN(C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000476228453 1072997515 /nfs/dbraw/zinc/99/75/15/1072997515.db2.gz PYGROKMPSITARF-KDOFPFPSSA-N 0 0 444.554 -0.821 20 0 IBADRN CN(C)CCN(C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000476228456 1072997421 /nfs/dbraw/zinc/99/74/21/1072997421.db2.gz PYGROKMPSITARF-KSSFIOAISA-N 0 0 444.554 -0.821 20 0 IBADRN CN(C)CCN(C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000476228458 1072997684 /nfs/dbraw/zinc/99/76/84/1072997684.db2.gz PYGROKMPSITARF-RDTXWAMCSA-N 0 0 444.554 -0.821 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476232457 1072997599 /nfs/dbraw/zinc/99/75/99/1072997599.db2.gz NXDFCXFNCZQHTQ-AEFFLSMTSA-N 0 0 439.557 -0.365 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476232461 1072997588 /nfs/dbraw/zinc/99/75/88/1072997588.db2.gz NXDFCXFNCZQHTQ-FUHWJXTLSA-N 0 0 439.557 -0.365 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476232462 1072997652 /nfs/dbraw/zinc/99/76/52/1072997652.db2.gz NXDFCXFNCZQHTQ-SJLPKXTDSA-N 0 0 439.557 -0.365 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476232463 1072997379 /nfs/dbraw/zinc/99/73/79/1072997379.db2.gz NXDFCXFNCZQHTQ-WMZOPIPTSA-N 0 0 439.557 -0.365 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3nccs3)CC2)C[C@H](C)O1 ZINC000476232515 1072997496 /nfs/dbraw/zinc/99/74/96/1072997496.db2.gz NIDYXMGTJLNFOJ-KBPBESRZSA-N 0 0 445.567 -0.700 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3nccs3)CC2)C[C@H](C)O1 ZINC000476232516 1072997394 /nfs/dbraw/zinc/99/73/94/1072997394.db2.gz NIDYXMGTJLNFOJ-OKILXGFUSA-N 0 0 445.567 -0.700 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3nccs3)CC2)C[C@@H](C)O1 ZINC000476232517 1072997489 /nfs/dbraw/zinc/99/74/89/1072997489.db2.gz NIDYXMGTJLNFOJ-ZIAGYGMSSA-N 0 0 445.567 -0.700 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476236956 1072997560 /nfs/dbraw/zinc/99/75/60/1072997560.db2.gz LDXVHMJNCPJXDE-INIZCTEOSA-N 0 0 428.497 -0.025 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476236961 1072997468 /nfs/dbraw/zinc/99/74/68/1072997468.db2.gz LDXVHMJNCPJXDE-MRXNPFEDSA-N 0 0 428.497 -0.025 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476237002 1072997507 /nfs/dbraw/zinc/99/75/07/1072997507.db2.gz LMEWLGUWAYTHLJ-AEFFLSMTSA-N 0 0 437.541 -0.659 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476237008 1072997664 /nfs/dbraw/zinc/99/76/64/1072997664.db2.gz LMEWLGUWAYTHLJ-FUHWJXTLSA-N 0 0 437.541 -0.659 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476237011 1072997672 /nfs/dbraw/zinc/99/76/72/1072997672.db2.gz LMEWLGUWAYTHLJ-SJLPKXTDSA-N 0 0 437.541 -0.659 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000476237013 1072997404 /nfs/dbraw/zinc/99/74/04/1072997404.db2.gz LMEWLGUWAYTHLJ-WMZOPIPTSA-N 0 0 437.541 -0.659 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000476240572 1072997451 /nfs/dbraw/zinc/99/74/51/1072997451.db2.gz WSMXZDJKAKEEMW-UHFFFAOYSA-N 0 0 430.508 -0.297 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000476262456 1072998161 /nfs/dbraw/zinc/99/81/61/1072998161.db2.gz REZIMMLBPRBMBK-AHIWAGSCSA-N 0 0 430.527 -0.564 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000476262457 1072998101 /nfs/dbraw/zinc/99/81/01/1072998101.db2.gz REZIMMLBPRBMBK-DCGLDWPTSA-N 0 0 430.527 -0.564 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000476262458 1072997972 /nfs/dbraw/zinc/99/79/72/1072997972.db2.gz REZIMMLBPRBMBK-IGCXYCKISA-N 0 0 430.527 -0.564 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000476262459 1072998004 /nfs/dbraw/zinc/99/80/04/1072998004.db2.gz REZIMMLBPRBMBK-XNJGSVPQSA-N 0 0 430.527 -0.564 20 0 IBADRN O=C(NCCc1nccs1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000476265511 1072998016 /nfs/dbraw/zinc/99/80/16/1072998016.db2.gz UQEHSBNKPKIIMC-UHFFFAOYSA-N 0 0 431.522 -0.223 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000476269918 1072998068 /nfs/dbraw/zinc/99/80/68/1072998068.db2.gz VMDGKOCEVMKTER-UHFFFAOYSA-N 0 0 440.522 -0.292 20 0 IBADRN CC(C)N(CCCNC(=O)CS(=O)(=O)Cc1ccc(C(N)=O)cc1)S(C)(=O)=O ZINC000476287069 1072998054 /nfs/dbraw/zinc/99/80/54/1072998054.db2.gz BVSCOFRTOGDRAA-UHFFFAOYSA-N 0 0 433.552 -0.123 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1nc(Cc2ccccc2)no1)S(C)(=O)=O ZINC000476287158 1072998083 /nfs/dbraw/zinc/99/80/83/1072998083.db2.gz NYTNSUHPJSLKQN-UHFFFAOYSA-N 0 0 444.535 -0.027 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2cnn3ccncc23)CCN1C(=O)c1cnn2ccncc12 ZINC000476288278 1072998185 /nfs/dbraw/zinc/99/81/85/1072998185.db2.gz ZETXPQZFINNZEF-GOSISDBHSA-N 0 0 447.459 -0.173 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2cnn3ccncc23)CCN1C(=O)c1cnn2ccncc12 ZINC000476288279 1072998703 /nfs/dbraw/zinc/99/87/03/1072998703.db2.gz ZETXPQZFINNZEF-SFHVURJKSA-N 0 0 447.459 -0.173 20 0 IBADRN CC(C)N(CCCNC(=O)CS(=O)(=O)Cc1cccc(C(N)=O)c1)S(C)(=O)=O ZINC000476288472 1072997995 /nfs/dbraw/zinc/99/79/95/1072997995.db2.gz OSGQWJCRJVIWDL-UHFFFAOYSA-N 0 0 433.552 -0.123 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000476292867 1072998669 /nfs/dbraw/zinc/99/86/69/1072998669.db2.gz PKHZTNZOJNHQPN-AWEZNQCLSA-N 0 0 434.540 -0.378 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000476292872 1072998489 /nfs/dbraw/zinc/99/84/89/1072998489.db2.gz PKHZTNZOJNHQPN-CQSZACIVSA-N 0 0 434.540 -0.378 20 0 IBADRN Cc1cccc(OC[C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000476297060 1072998512 /nfs/dbraw/zinc/99/85/12/1072998512.db2.gz WNRXWNZMBXCUBY-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1cccc(OC[C@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000476297101 1072998476 /nfs/dbraw/zinc/99/84/76/1072998476.db2.gz WNRXWNZMBXCUBY-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(CC(=O)NCCO)cc1 ZINC000476298511 1072998713 /nfs/dbraw/zinc/99/87/13/1072998713.db2.gz HOZXRVLWIULPAU-FQEVSTJZSA-N 0 0 432.477 -0.108 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(CC(=O)NCCO)cc1 ZINC000476298512 1072998682 /nfs/dbraw/zinc/99/86/82/1072998682.db2.gz HOZXRVLWIULPAU-HXUWFJFHSA-N 0 0 432.477 -0.108 20 0 IBADRN Cc1cccc(OC[C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000476298736 1072998605 /nfs/dbraw/zinc/99/86/05/1072998605.db2.gz YXODSSYXENJPAZ-KRWDZBQOSA-N 0 0 446.504 -0.592 20 0 IBADRN Cc1cccc(OC[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000476298737 1072998534 /nfs/dbraw/zinc/99/85/34/1072998534.db2.gz YXODSSYXENJPAZ-QGZVFWFLSA-N 0 0 446.504 -0.592 20 0 IBADRN C[C@@](O)(CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)CN1CCOCC1 ZINC000476302725 1072998007 /nfs/dbraw/zinc/99/80/07/1072998007.db2.gz GJGLODSXHJBCAM-GOSISDBHSA-N 0 0 447.579 -0.167 20 0 IBADRN C[C@](O)(CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)CN1CCOCC1 ZINC000476302726 1072997989 /nfs/dbraw/zinc/99/79/89/1072997989.db2.gz GJGLODSXHJBCAM-SFHVURJKSA-N 0 0 447.579 -0.167 20 0 IBADRN CN(C)C(=O)COC1CN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000476307515 1072998146 /nfs/dbraw/zinc/99/81/46/1072998146.db2.gz IIGNAULQKGJCHH-UHFFFAOYSA-N 0 0 431.536 -0.051 20 0 IBADRN O=S(=O)(NCc1ccccc1N1CCN(S(=O)(=O)NC2CC2)CC1)NC1CC1 ZINC000476310341 1072998203 /nfs/dbraw/zinc/99/82/03/1072998203.db2.gz BISJDRRQNXNBBW-UHFFFAOYSA-N 0 0 429.568 -0.108 20 0 IBADRN Cc1cccc(OC[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000476314482 1072999265 /nfs/dbraw/zinc/99/92/65/1072999265.db2.gz GSQLRTYXJSIOOR-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1cccc(OC[C@H](C)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000476314483 1072999294 /nfs/dbraw/zinc/99/92/94/1072999294.db2.gz GSQLRTYXJSIOOR-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN C[C@H](COc1ccc(F)cc1F)NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000476314940 1072999155 /nfs/dbraw/zinc/99/91/55/1072999155.db2.gz IBKFJFBPXVBFCL-GFCCVEGCSA-N 0 0 438.431 -0.202 20 0 IBADRN C[C@@H](COc1ccc(F)cc1F)NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000476314941 1072999091 /nfs/dbraw/zinc/99/90/91/1072999091.db2.gz IBKFJFBPXVBFCL-LBPRGKRZSA-N 0 0 438.431 -0.202 20 0 IBADRN C[C@H](COc1ccc(F)cc1F)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000476315708 1072999181 /nfs/dbraw/zinc/99/91/81/1072999181.db2.gz JZBFADFWDLHMDL-GFCCVEGCSA-N 0 0 437.407 -0.794 20 0 IBADRN C[C@@H](COc1ccc(F)cc1F)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000476315709 1072999115 /nfs/dbraw/zinc/99/91/15/1072999115.db2.gz JZBFADFWDLHMDL-LBPRGKRZSA-N 0 0 437.407 -0.794 20 0 IBADRN CN(CCCNS(=O)(=O)c1cnc2n1CCC2)S(=O)(=O)c1cnc2n1CCC2 ZINC000476316277 1072999074 /nfs/dbraw/zinc/99/90/74/1072999074.db2.gz XIUHYGXYALPGNV-UHFFFAOYSA-N 0 0 428.540 -0.039 20 0 IBADRN Cc1cccc(OC[C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000476325583 1072998501 /nfs/dbraw/zinc/99/85/01/1072998501.db2.gz QGMAUYHMAUVRLX-HNNXBMFYSA-N 0 0 444.492 -0.633 20 0 IBADRN Cc1cccc(OC[C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000476325585 1072998523 /nfs/dbraw/zinc/99/85/23/1072998523.db2.gz QGMAUYHMAUVRLX-OAHLLOKOSA-N 0 0 444.492 -0.633 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCOC1CCCCCC1)C2 ZINC000476327931 1072998613 /nfs/dbraw/zinc/99/86/13/1072998613.db2.gz YZRBSLFJMJYMRU-UHFFFAOYSA-N 0 0 436.513 -0.669 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000476328239 1072998722 /nfs/dbraw/zinc/99/87/22/1072998722.db2.gz QIIZPDCNTQKMRY-RBZFPXEDSA-N 0 0 449.460 -0.113 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000476328240 1072998623 /nfs/dbraw/zinc/99/86/23/1072998623.db2.gz QIIZPDCNTQKMRY-RNODOKPDSA-N 0 0 449.460 -0.113 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000476328241 1072998694 /nfs/dbraw/zinc/99/86/94/1072998694.db2.gz QIIZPDCNTQKMRY-XCLFUZPHSA-N 0 0 449.460 -0.113 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000476328242 1072998548 /nfs/dbraw/zinc/99/85/48/1072998548.db2.gz QIIZPDCNTQKMRY-ZUOKHONESA-N 0 0 449.460 -0.113 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000476330354 1072999194 /nfs/dbraw/zinc/99/91/94/1072999194.db2.gz FLYBVJZDCCECCT-JXFKEZNVSA-N 0 0 438.525 -0.448 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000476330359 1072999047 /nfs/dbraw/zinc/99/90/47/1072999047.db2.gz FLYBVJZDCCECCT-OXJNMPFZSA-N 0 0 438.525 -0.448 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000476330362 1072999227 /nfs/dbraw/zinc/99/92/27/1072999227.db2.gz FLYBVJZDCCECCT-OXQOHEQNSA-N 0 0 438.525 -0.448 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000476330364 1072999143 /nfs/dbraw/zinc/99/91/43/1072999143.db2.gz FLYBVJZDCCECCT-UZLBHIALSA-N 0 0 438.525 -0.448 20 0 IBADRN CN(C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C1CCN(S(C)(=O)=O)CC1 ZINC000476334024 1072999212 /nfs/dbraw/zinc/99/92/12/1072999212.db2.gz IBQOZGRSLVAAJW-KRWDZBQOSA-N 0 0 430.527 -0.516 20 0 IBADRN CN(C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C1CCN(S(C)(=O)=O)CC1 ZINC000476334027 1072999254 /nfs/dbraw/zinc/99/92/54/1072999254.db2.gz IBQOZGRSLVAAJW-QGZVFWFLSA-N 0 0 430.527 -0.516 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CS(=O)(=O)Cc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000476336307 1072999127 /nfs/dbraw/zinc/99/91/27/1072999127.db2.gz LTXXQPJKROKWFU-INIZCTEOSA-N 0 0 445.563 -0.021 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CS(=O)(=O)Cc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000476336321 1072999137 /nfs/dbraw/zinc/99/91/37/1072999137.db2.gz LTXXQPJKROKWFU-MRXNPFEDSA-N 0 0 445.563 -0.021 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000476340540 1072999303 /nfs/dbraw/zinc/99/93/03/1072999303.db2.gz TZLDVEXHOJMYNX-INIZCTEOSA-N 0 0 447.579 -0.215 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000476340541 1072999163 /nfs/dbraw/zinc/99/91/63/1072999163.db2.gz TZLDVEXHOJMYNX-MRXNPFEDSA-N 0 0 447.579 -0.215 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NCC(=O)NCCCN(C)S(C)(=O)=O ZINC000476350143 1072999277 /nfs/dbraw/zinc/99/92/77/1072999277.db2.gz XOCLRZDUZITDEM-UHFFFAOYSA-N 0 0 448.567 -0.055 20 0 IBADRN CN(CCN(Cc1ccccc1)C(=O)[C@@H]1CC[C@H](C(N)=O)O1)C(=O)[C@@H]1CC[C@H](C(N)=O)O1 ZINC000476354547 1073002937 /nfs/dbraw/zinc/00/29/37/1073002937.db2.gz KIRAAPWUGFRONF-BDXSIMOUSA-N 0 0 446.504 -0.461 20 0 IBADRN CN(CCN(Cc1ccccc1)C(=O)[C@@H]1CC[C@H](C(N)=O)O1)C(=O)[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000476354548 1073002797 /nfs/dbraw/zinc/00/27/97/1073002797.db2.gz KIRAAPWUGFRONF-MHORFTMASA-N 0 0 446.504 -0.461 20 0 IBADRN CN(CCN(Cc1ccccc1)C(=O)[C@@H]1CC[C@H](C(N)=O)O1)C(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC000476354549 1073002723 /nfs/dbraw/zinc/00/27/23/1073002723.db2.gz KIRAAPWUGFRONF-MLHJIOFPSA-N 0 0 446.504 -0.461 20 0 IBADRN CN(CCN(Cc1ccccc1)C(=O)[C@@H]1CC[C@H](C(N)=O)O1)C(=O)[C@H]1CC[C@H](C(N)=O)O1 ZINC000476354550 1073002856 /nfs/dbraw/zinc/00/28/56/1073002856.db2.gz KIRAAPWUGFRONF-TVFCKZIOSA-N 0 0 446.504 -0.461 20 0 IBADRN CN(CCCNC(=O)CNc1cccc2c1CCN(S(C)(=O)=O)C2)S(C)(=O)=O ZINC000476358865 1073003214 /nfs/dbraw/zinc/00/32/14/1073003214.db2.gz YXEAJRGGVNEIRB-UHFFFAOYSA-N 0 0 432.568 -0.186 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000476363936 1073003383 /nfs/dbraw/zinc/00/33/83/1073003383.db2.gz OQQCHBKBIVQKFC-KBXCAEBGSA-N 0 0 442.538 -0.372 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000476363938 1073003305 /nfs/dbraw/zinc/00/33/05/1073003305.db2.gz OQQCHBKBIVQKFC-KDOFPFPSSA-N 0 0 442.538 -0.372 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000476363939 1073003370 /nfs/dbraw/zinc/00/33/70/1073003370.db2.gz OQQCHBKBIVQKFC-KSSFIOAISA-N 0 0 442.538 -0.372 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000476363940 1073003275 /nfs/dbraw/zinc/00/32/75/1073003275.db2.gz OQQCHBKBIVQKFC-RDTXWAMCSA-N 0 0 442.538 -0.372 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CNc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000476363959 1073003250 /nfs/dbraw/zinc/00/32/50/1073003250.db2.gz IXVKNFJFIFTTCL-INIZCTEOSA-N 0 0 437.522 -0.654 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CNc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000476363966 1073003238 /nfs/dbraw/zinc/00/32/38/1073003238.db2.gz IXVKNFJFIFTTCL-MRXNPFEDSA-N 0 0 437.522 -0.654 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NCC(=O)NCCCN(C)S(C)(=O)=O ZINC000476387910 1073005620 /nfs/dbraw/zinc/00/56/20/1073005620.db2.gz UROJDACWYNJWCD-UHFFFAOYSA-N 0 0 442.538 -0.023 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000476395853 1073006572 /nfs/dbraw/zinc/00/65/72/1073006572.db2.gz HCOMTWVTYRJSNH-UHFFFAOYSA-N 0 0 426.520 -0.031 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000476398129 1073007625 /nfs/dbraw/zinc/00/76/25/1073007625.db2.gz DPNISYAWNNFOCQ-GFCCVEGCSA-N 0 0 446.489 -0.578 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000476398130 1073007498 /nfs/dbraw/zinc/00/74/98/1073007498.db2.gz DPNISYAWNNFOCQ-LBPRGKRZSA-N 0 0 446.489 -0.578 20 0 IBADRN CCOC(=O)C1(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CCC1 ZINC000476401116 1073007124 /nfs/dbraw/zinc/00/71/24/1073007124.db2.gz YWQCJEDUGGYSTB-HNNXBMFYSA-N 0 0 429.539 -0.443 20 0 IBADRN CCOC(=O)C1(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CCC1 ZINC000476401118 1073007247 /nfs/dbraw/zinc/00/72/47/1073007247.db2.gz YWQCJEDUGGYSTB-OAHLLOKOSA-N 0 0 429.539 -0.443 20 0 IBADRN CCN(C[C@H](NC(=O)[C@@H]1CC[C@H](C(N)=O)O1)c1ccccc1)C(=O)[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000476401274 1073007270 /nfs/dbraw/zinc/00/72/70/1073007270.db2.gz AFPWQZHQSZFUKG-ADHGMGHFSA-N 0 0 446.504 -0.242 20 0 IBADRN CCN(C[C@H](NC(=O)[C@@H]1CC[C@H](C(N)=O)O1)c1ccccc1)C(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC000476401277 1073007173 /nfs/dbraw/zinc/00/71/73/1073007173.db2.gz AFPWQZHQSZFUKG-FLXSYLCISA-N 0 0 446.504 -0.242 20 0 IBADRN CCN(C[C@H](NC(=O)[C@@H]1CC[C@H](C(N)=O)O1)c1ccccc1)C(=O)[C@H]1CC[C@H](C(N)=O)O1 ZINC000476401279 1073007045 /nfs/dbraw/zinc/00/70/45/1073007045.db2.gz AFPWQZHQSZFUKG-OBVSXPTNSA-N 0 0 446.504 -0.242 20 0 IBADRN CCN(C[C@H](NC(=O)[C@@H]1CC[C@H](C(N)=O)O1)c1ccccc1)C(=O)[C@@H]1CC[C@H](C(N)=O)O1 ZINC000476401282 1073007224 /nfs/dbraw/zinc/00/72/24/1073007224.db2.gz AFPWQZHQSZFUKG-PNKHAZJDSA-N 0 0 446.504 -0.242 20 0 IBADRN O=C(NCCc1csc(N2CCCC2)n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000476403434 1073007638 /nfs/dbraw/zinc/00/76/38/1073007638.db2.gz AJOBFKPCMBGSHC-UHFFFAOYSA-N 0 0 448.549 -0.649 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000476403868 1073007543 /nfs/dbraw/zinc/00/75/43/1073007543.db2.gz JZUHGHQMXYAYJU-LLVKDONJSA-N 0 0 434.478 -0.434 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000476403869 1073007530 /nfs/dbraw/zinc/00/75/30/1073007530.db2.gz JZUHGHQMXYAYJU-NSHDSACASA-N 0 0 434.478 -0.434 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000476404915 1073007730 /nfs/dbraw/zinc/00/77/30/1073007730.db2.gz ZOOKDEAUCCADSN-UHFFFAOYSA-N 0 0 447.579 -0.010 20 0 IBADRN CC(C)[C@H](CNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)N1CCOCC1 ZINC000476406884 1073008169 /nfs/dbraw/zinc/00/81/69/1073008169.db2.gz FHJDXNDJOHSGBS-PBHICJAKSA-N 0 0 426.539 -0.075 20 0 IBADRN CC(C)[C@@H](CNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)N1CCOCC1 ZINC000476406886 1073008269 /nfs/dbraw/zinc/00/82/69/1073008269.db2.gz FHJDXNDJOHSGBS-RHSMWYFYSA-N 0 0 426.539 -0.075 20 0 IBADRN CC(C)[C@@H](CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)N1CCOCC1 ZINC000476406888 1073008089 /nfs/dbraw/zinc/00/80/89/1073008089.db2.gz FHJDXNDJOHSGBS-WMLDXEAASA-N 0 0 426.539 -0.075 20 0 IBADRN CC(C)[C@H](CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)N1CCOCC1 ZINC000476406890 1073008288 /nfs/dbraw/zinc/00/82/88/1073008288.db2.gz FHJDXNDJOHSGBS-YOEHRIQHSA-N 0 0 426.539 -0.075 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCc2csc(N3CCCC3)n2)C1 ZINC000476407169 1073008026 /nfs/dbraw/zinc/00/80/26/1073008026.db2.gz IQPHWTXXUMDLMP-AWEZNQCLSA-N 0 0 429.568 -0.058 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCc2csc(N3CCCC3)n2)C1 ZINC000476407174 1073008283 /nfs/dbraw/zinc/00/82/83/1073008283.db2.gz IQPHWTXXUMDLMP-CQSZACIVSA-N 0 0 429.568 -0.058 20 0 IBADRN O=C(NCCOC1CCCCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000476407848 1073008186 /nfs/dbraw/zinc/00/81/86/1073008186.db2.gz PYOFXUHFGQFPBJ-UHFFFAOYSA-N 0 0 438.525 -0.628 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)cc1 ZINC000476408638 1073008048 /nfs/dbraw/zinc/00/80/48/1073008048.db2.gz RQUFBUATGQAUMK-CYBMUJFWSA-N 0 0 448.501 -0.107 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)cc1 ZINC000476408640 1073008106 /nfs/dbraw/zinc/00/81/06/1073008106.db2.gz RQUFBUATGQAUMK-ZDUSSCGKSA-N 0 0 448.501 -0.107 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCc3csc(N4CCCC4)n3)CC2)c1=O ZINC000476411289 1073007970 /nfs/dbraw/zinc/00/79/70/1073007970.db2.gz QLMQZWWWEAHSET-UHFFFAOYSA-N 0 0 445.549 -0.156 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCc2csc(N3CCCC3)n2)CC1 ZINC000476411346 1073008242 /nfs/dbraw/zinc/00/82/42/1073008242.db2.gz QWAJUWYJDZJZME-UHFFFAOYSA-N 0 0 429.568 -0.104 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000476411570 1073007594 /nfs/dbraw/zinc/00/75/94/1073007594.db2.gz YVFOFJCCINQYLU-KRWDZBQOSA-N 0 0 439.494 -0.908 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000476411575 1073007585 /nfs/dbraw/zinc/00/75/85/1073007585.db2.gz YVFOFJCCINQYLU-QGZVFWFLSA-N 0 0 439.494 -0.908 20 0 IBADRN COc1ccnc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)n1 ZINC000476412184 1073008773 /nfs/dbraw/zinc/00/87/73/1073008773.db2.gz ZDLBTZFGJRASHX-CYBMUJFWSA-N 0 0 448.505 -0.400 20 0 IBADRN COc1ccnc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)n1 ZINC000476412187 1073008588 /nfs/dbraw/zinc/00/85/88/1073008588.db2.gz ZDLBTZFGJRASHX-ZDUSSCGKSA-N 0 0 448.505 -0.400 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2csc(N3CCCC3)n2)CC1)NC1CC1 ZINC000476414699 1073008701 /nfs/dbraw/zinc/00/87/01/1073008701.db2.gz YMSBTWDRAULVON-UHFFFAOYSA-N 0 0 434.566 -0.175 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCC(=O)NC3CCCCC3)CC2)n1 ZINC000476417785 1073009720 /nfs/dbraw/zinc/00/97/20/1073009720.db2.gz HFVSSIKAFRMQNP-UHFFFAOYSA-N 0 0 436.513 -0.185 20 0 IBADRN O=C(NCC(F)(F)F)c1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000476418238 1073009582 /nfs/dbraw/zinc/00/95/82/1073009582.db2.gz ZYUQUICAFGVJIV-LLVKDONJSA-N 0 0 434.396 -0.690 20 0 IBADRN O=C(NCC(F)(F)F)c1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000476418239 1073009791 /nfs/dbraw/zinc/00/97/91/1073009791.db2.gz ZYUQUICAFGVJIV-NSHDSACASA-N 0 0 434.396 -0.690 20 0 IBADRN COc1cc(Br)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1OC ZINC000476419924 1073009380 /nfs/dbraw/zinc/00/93/80/1073009380.db2.gz TWIVMNOKAPPZIO-SECBINFHSA-N 0 0 448.295 -0.202 20 0 IBADRN COc1cc(Br)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1OC ZINC000476419925 1073009199 /nfs/dbraw/zinc/00/91/99/1073009199.db2.gz TWIVMNOKAPPZIO-VIFPVBQESA-N 0 0 448.295 -0.202 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)nn1 ZINC000476420720 1073009239 /nfs/dbraw/zinc/00/92/39/1073009239.db2.gz XCLPKDWJTNVPRH-UHFFFAOYSA-N 0 0 443.507 -0.286 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCCC(=O)NC2CCCCC2)C1=O ZINC000476421679 1073009359 /nfs/dbraw/zinc/00/93/59/1073009359.db2.gz ZSHNAPFBXWQYTQ-INIZCTEOSA-N 0 0 432.525 -0.018 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCCC(=O)NC2CCCCC2)C1=O ZINC000476421683 1073009289 /nfs/dbraw/zinc/00/92/89/1073009289.db2.gz ZSHNAPFBXWQYTQ-MRXNPFEDSA-N 0 0 432.525 -0.018 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476424158 1073010667 /nfs/dbraw/zinc/01/06/67/1073010667.db2.gz QHUUIWAIUUGHER-AWEZNQCLSA-N 0 0 439.538 -0.879 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476424159 1073010959 /nfs/dbraw/zinc/01/09/59/1073010959.db2.gz QHUUIWAIUUGHER-CQSZACIVSA-N 0 0 439.538 -0.879 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)no1 ZINC000476428229 1073010211 /nfs/dbraw/zinc/01/02/11/1073010211.db2.gz YOASNHBWSPMKTQ-GFCCVEGCSA-N 0 0 436.494 -0.512 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)no1 ZINC000476428235 1073010304 /nfs/dbraw/zinc/01/03/04/1073010304.db2.gz YOASNHBWSPMKTQ-LBPRGKRZSA-N 0 0 436.494 -0.512 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000476428399 1073010396 /nfs/dbraw/zinc/01/03/96/1073010396.db2.gz ZGHOTDYZPRNVSB-BXKDBHETSA-N 0 0 436.412 -0.268 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000476428402 1073010191 /nfs/dbraw/zinc/01/01/91/1073010191.db2.gz ZGHOTDYZPRNVSB-CABZTGNLSA-N 0 0 436.412 -0.268 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000476428404 1073010464 /nfs/dbraw/zinc/01/04/64/1073010464.db2.gz ZGHOTDYZPRNVSB-JOYOIKCWSA-N 0 0 436.412 -0.268 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000476428407 1073010448 /nfs/dbraw/zinc/01/04/48/1073010448.db2.gz ZGHOTDYZPRNVSB-SKDRFNHKSA-N 0 0 436.412 -0.268 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCCc1csc(N2CCCC2)n1 ZINC000476429693 1073010258 /nfs/dbraw/zinc/01/02/58/1073010258.db2.gz GDMMFQMNCVLFCA-CQSZACIVSA-N 0 0 429.568 -0.058 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)on1 ZINC000476429862 1073011187 /nfs/dbraw/zinc/01/11/87/1073011187.db2.gz JFLWKYNTDITXRG-UHFFFAOYSA-N 0 0 438.238 -0.302 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCNS(=O)(=O)c1cccs1 ZINC000476437832 1073012437 /nfs/dbraw/zinc/01/24/37/1073012437.db2.gz PWNBJGUMMWMJGJ-INIZCTEOSA-N 0 0 444.535 -0.242 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCNS(=O)(=O)c1cccs1 ZINC000476437834 1073012483 /nfs/dbraw/zinc/01/24/83/1073012483.db2.gz PWNBJGUMMWMJGJ-MRXNPFEDSA-N 0 0 444.535 -0.242 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000476440283 1073012500 /nfs/dbraw/zinc/01/25/00/1073012500.db2.gz VMTUEBDWXZYYAX-BBRMVZONSA-N 0 0 438.506 -0.698 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000476440285 1073012946 /nfs/dbraw/zinc/01/29/46/1073012946.db2.gz VMTUEBDWXZYYAX-CJNGLKHVSA-N 0 0 438.506 -0.698 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000476440287 1073012930 /nfs/dbraw/zinc/01/29/30/1073012930.db2.gz VMTUEBDWXZYYAX-CZUORRHYSA-N 0 0 438.506 -0.698 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000476440289 1073012924 /nfs/dbraw/zinc/01/29/24/1073012924.db2.gz VMTUEBDWXZYYAX-XJKSGUPXSA-N 0 0 438.506 -0.698 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)CCO1 ZINC000476442805 1073013063 /nfs/dbraw/zinc/01/30/63/1073013063.db2.gz HWBYJOIULMNVOZ-CYBMUJFWSA-N 0 0 441.282 -0.732 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)CCO1 ZINC000476442806 1073012914 /nfs/dbraw/zinc/01/29/14/1073012914.db2.gz HWBYJOIULMNVOZ-ZDUSSCGKSA-N 0 0 441.282 -0.732 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)NC1CCCCC1 ZINC000476443596 1073013512 /nfs/dbraw/zinc/01/35/12/1073013512.db2.gz LZSFZGYWQRLSLW-INIZCTEOSA-N 0 0 428.555 -0.032 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)NC1CCCCC1 ZINC000476443597 1073013458 /nfs/dbraw/zinc/01/34/58/1073013458.db2.gz LZSFZGYWQRLSLW-MRXNPFEDSA-N 0 0 428.555 -0.032 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)NC1CCCCC1 ZINC000476443924 1073013816 /nfs/dbraw/zinc/01/38/16/1073013816.db2.gz NEKPAZOBHLVNBF-UHFFFAOYSA-N 0 0 434.584 -0.564 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000476445040 1073013856 /nfs/dbraw/zinc/01/38/56/1073013856.db2.gz ATODLTBULPOQDU-CXAGYDPISA-N 0 0 430.527 -0.469 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000476445041 1073013765 /nfs/dbraw/zinc/01/37/65/1073013765.db2.gz ATODLTBULPOQDU-DYVFJYSZSA-N 0 0 430.527 -0.469 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000476445042 1073014017 /nfs/dbraw/zinc/01/40/17/1073014017.db2.gz ATODLTBULPOQDU-GUYCJALGSA-N 0 0 430.527 -0.469 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000476445043 1073013909 /nfs/dbraw/zinc/01/39/09/1073013909.db2.gz ATODLTBULPOQDU-SUMWQHHRSA-N 0 0 430.527 -0.469 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@@H]1C(=O)OC ZINC000476446818 1073014436 /nfs/dbraw/zinc/01/44/36/1073014436.db2.gz DHTPDSICFHEXOS-NQCMUKECSA-N 0 0 439.465 -0.445 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@@H]1C(=O)OC ZINC000476446884 1073014918 /nfs/dbraw/zinc/01/49/18/1073014918.db2.gz DHTPDSICFHEXOS-QHRIQVFBSA-N 0 0 439.465 -0.445 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@H]1C(=O)OC ZINC000476446887 1073015105 /nfs/dbraw/zinc/01/51/05/1073015105.db2.gz DHTPDSICFHEXOS-VLXJIEOASA-N 0 0 439.465 -0.445 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@H]1C(=O)OC ZINC000476446889 1073015080 /nfs/dbraw/zinc/01/50/80/1073015080.db2.gz DHTPDSICFHEXOS-VPZZIHKRSA-N 0 0 439.465 -0.445 20 0 IBADRN CCn1c(CCC(=O)NCC(=O)NCCO)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000476446974 1073014952 /nfs/dbraw/zinc/01/49/52/1073014952.db2.gz KRSXAAMYHFKVCN-UHFFFAOYSA-N 0 0 425.511 -0.536 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)CC1 ZINC000476448537 1073015097 /nfs/dbraw/zinc/01/50/97/1073015097.db2.gz SRFSTRGXBSUHKQ-UHFFFAOYSA-N 0 0 434.515 -0.103 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCc2ccc(C(=O)NCC(=O)NCCO)cc2)cc1 ZINC000476448686 1073015071 /nfs/dbraw/zinc/01/50/71/1073015071.db2.gz SLCJXIBEOQOXMD-UHFFFAOYSA-N 0 0 448.501 -0.038 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1O ZINC000476450255 1073015053 /nfs/dbraw/zinc/01/50/53/1073015053.db2.gz SXGVSWFUCKCOJR-CYBMUJFWSA-N 0 0 431.449 -0.885 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1O ZINC000476450257 1073015031 /nfs/dbraw/zinc/01/50/31/1073015031.db2.gz SXGVSWFUCKCOJR-ZDUSSCGKSA-N 0 0 431.449 -0.885 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1O ZINC000476452707 1073015550 /nfs/dbraw/zinc/01/55/50/1073015550.db2.gz RAFGFFPOAKCIIJ-CYBMUJFWSA-N 0 0 428.445 -0.073 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1O ZINC000476452708 1073015511 /nfs/dbraw/zinc/01/55/11/1073015511.db2.gz RAFGFFPOAKCIIJ-ZDUSSCGKSA-N 0 0 428.445 -0.073 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000476459216 1073016358 /nfs/dbraw/zinc/01/63/58/1073016358.db2.gz LPUWZWYOBCKYSH-UHFFFAOYSA-N 0 0 430.483 -0.041 20 0 IBADRN COC[C@@H](O)CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000476460945 1073016802 /nfs/dbraw/zinc/01/68/02/1073016802.db2.gz OWCPEAKESMSSJI-AWEZNQCLSA-N 0 0 440.522 -0.350 20 0 IBADRN COC[C@H](O)CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000476460948 1073016793 /nfs/dbraw/zinc/01/67/93/1073016793.db2.gz OWCPEAKESMSSJI-CQSZACIVSA-N 0 0 440.522 -0.350 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NCC(=O)NCCO)n2C ZINC000476460966 1073016980 /nfs/dbraw/zinc/01/69/80/1073016980.db2.gz OTHNERDPBRMCPD-UHFFFAOYSA-N 0 0 439.538 -0.239 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)CC(=O)N1C ZINC000476463214 1073016962 /nfs/dbraw/zinc/01/69/62/1073016962.db2.gz QXLPHJXAHJGEIW-LLVKDONJSA-N 0 0 425.283 -0.016 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)CC(=O)N1C ZINC000476463216 1073017383 /nfs/dbraw/zinc/01/73/83/1073017383.db2.gz QXLPHJXAHJGEIW-NSHDSACASA-N 0 0 425.283 -0.016 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCC(=O)NCCO)CC2)cc1 ZINC000476464020 1073017346 /nfs/dbraw/zinc/01/73/46/1073017346.db2.gz CUFPLNQLQSEASS-UHFFFAOYSA-N 0 0 441.506 -0.511 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCc1csc(N2CCCC2)n1 ZINC000476465909 1073016325 /nfs/dbraw/zinc/01/63/25/1073016325.db2.gz XUWPABICNUYIJO-INIZCTEOSA-N 0 0 425.555 -0.447 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCc1csc(N2CCCC2)n1 ZINC000476465910 1073016428 /nfs/dbraw/zinc/01/64/28/1073016428.db2.gz XUWPABICNUYIJO-MRXNPFEDSA-N 0 0 425.555 -0.447 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCc1csc(N2CCCC2)n1 ZINC000476466799 1073016440 /nfs/dbraw/zinc/01/64/40/1073016440.db2.gz ZQOCZQODCFXNLW-AWEZNQCLSA-N 0 0 429.568 -0.058 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCc1csc(N2CCCC2)n1 ZINC000476466800 1073016220 /nfs/dbraw/zinc/01/62/20/1073016220.db2.gz ZQOCZQODCFXNLW-CQSZACIVSA-N 0 0 429.568 -0.058 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)CCO1 ZINC000476470967 1073017884 /nfs/dbraw/zinc/01/78/84/1073017884.db2.gz PRUBQWSGWOHAPF-GFCCVEGCSA-N 0 0 427.255 -0.992 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)CCO1 ZINC000476470969 1073017753 /nfs/dbraw/zinc/01/77/53/1073017753.db2.gz PRUBQWSGWOHAPF-LBPRGKRZSA-N 0 0 427.255 -0.992 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCCC(=O)NC2CCCCC2)CC1 ZINC000476471889 1073017642 /nfs/dbraw/zinc/01/76/42/1073017642.db2.gz SEYRGZBXACNZGJ-UHFFFAOYSA-N 0 0 430.509 -0.216 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000476473258 1073017800 /nfs/dbraw/zinc/01/78/00/1073017800.db2.gz VQIFEEAAFMANCG-UHFFFAOYSA-N 0 0 430.483 -0.041 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3ccnc3S(C)(=O)=O)CC2)cc1 ZINC000476476706 1073018082 /nfs/dbraw/zinc/01/80/82/1073018082.db2.gz PXBAFBINODTKFD-UHFFFAOYSA-N 0 0 442.519 -0.172 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)C(=O)C1=O ZINC000476485832 1073018613 /nfs/dbraw/zinc/01/86/13/1073018613.db2.gz CAEXTHHDWHGWMR-UHFFFAOYSA-N 0 0 426.470 -0.328 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2ccnc2S(C)(=O)=O)CC1 ZINC000476485988 1073019110 /nfs/dbraw/zinc/01/91/10/1073019110.db2.gz UCPQGVZCWZSJEZ-UHFFFAOYSA-N 0 0 431.496 -0.575 20 0 IBADRN CS(=O)(=O)c1nccn1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000476486924 1073019222 /nfs/dbraw/zinc/01/92/22/1073019222.db2.gz UWWZIQBYPPEGGI-UHFFFAOYSA-N 0 0 442.519 -0.376 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCc1ccc(N2CCCS2(=O)=O)c(F)c1 ZINC000476487347 1073019086 /nfs/dbraw/zinc/01/90/86/1073019086.db2.gz ISQAHWNGRMEIFZ-UHFFFAOYSA-N 0 0 437.515 -0.062 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000476493674 1073019514 /nfs/dbraw/zinc/01/95/14/1073019514.db2.gz MFUGHAUHJHNCDD-GFCCVEGCSA-N 0 0 425.467 -0.656 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000476493675 1073019818 /nfs/dbraw/zinc/01/98/18/1073019818.db2.gz MFUGHAUHJHNCDD-LBPRGKRZSA-N 0 0 425.467 -0.656 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000476495405 1073019543 /nfs/dbraw/zinc/01/95/43/1073019543.db2.gz OXHLPBQZVHKSHZ-IFXJQAMLSA-N 0 0 426.514 -0.105 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000476495407 1073019574 /nfs/dbraw/zinc/01/95/74/1073019574.db2.gz OXHLPBQZVHKSHZ-LIRRHRJNSA-N 0 0 426.514 -0.105 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)NC1CCCCC1 ZINC000476496963 1073020541 /nfs/dbraw/zinc/02/05/41/1073020541.db2.gz RQMKJWQGCYRPIO-UHFFFAOYSA-N 0 0 429.499 -0.835 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1S(=O)(=O)N(C)C ZINC000476498129 1073020519 /nfs/dbraw/zinc/02/05/19/1073020519.db2.gz ZXOHUSKHHYLOOT-GFCCVEGCSA-N 0 0 427.483 -0.457 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1S(=O)(=O)N(C)C ZINC000476498130 1073020507 /nfs/dbraw/zinc/02/05/07/1073020507.db2.gz ZXOHUSKHHYLOOT-LBPRGKRZSA-N 0 0 427.483 -0.457 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1=O ZINC000476498209 1073020572 /nfs/dbraw/zinc/02/05/72/1073020572.db2.gz AJLZBUPZQUELDK-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000476498307 1073020265 /nfs/dbraw/zinc/02/02/65/1073020265.db2.gz CAYKWLGJIBOMGL-NHYWBVRUSA-N 0 0 425.404 -0.264 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000476498308 1073020243 /nfs/dbraw/zinc/02/02/43/1073020243.db2.gz CAYKWLGJIBOMGL-XHDPSFHLSA-N 0 0 425.404 -0.264 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nn1C ZINC000476503896 1073021362 /nfs/dbraw/zinc/02/13/62/1073021362.db2.gz HVHLRFRSCUFUSB-UHFFFAOYSA-N 0 0 435.462 -0.094 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCC(=O)N3CCCCC3)cn2)C[C@H](C)O1 ZINC000476505671 1073021479 /nfs/dbraw/zinc/02/14/79/1073021479.db2.gz CQPNDZFFNHYFOP-HOTGVXAUSA-N 0 0 448.524 -0.024 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCC(=O)N3CCCCC3)cn2)C[C@@H](C)O1 ZINC000476505676 1073021281 /nfs/dbraw/zinc/02/12/81/1073021281.db2.gz CQPNDZFFNHYFOP-HZPDHXFCSA-N 0 0 448.524 -0.024 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCC(=O)N3CCCCC3)cn2)C[C@H](C)O1 ZINC000476505677 1073021341 /nfs/dbraw/zinc/02/13/41/1073021341.db2.gz CQPNDZFFNHYFOP-IYBDPMFKSA-N 0 0 448.524 -0.024 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)C1(O)CCOCC1 ZINC000476507001 1073021408 /nfs/dbraw/zinc/02/14/08/1073021408.db2.gz LSCTUZLYTVVRPE-UHFFFAOYSA-N 0 0 425.463 -0.608 20 0 IBADRN O=C(NCCNC(=O)c1ccc(Br)cc1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000476507098 1073021436 /nfs/dbraw/zinc/02/14/36/1073021436.db2.gz BHEWLDAQVIUIFL-HNNXBMFYSA-N 0 0 439.310 -0.162 20 0 IBADRN O=C(NCCNC(=O)c1ccc(Br)cc1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000476507099 1073021332 /nfs/dbraw/zinc/02/13/32/1073021332.db2.gz BHEWLDAQVIUIFL-OAHLLOKOSA-N 0 0 439.310 -0.162 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)C[C@H](CO)O1 ZINC000476507882 1073021490 /nfs/dbraw/zinc/02/14/90/1073021490.db2.gz CMOZNBAVVGIWJY-BXUZGUMPSA-N 0 0 428.283 -0.097 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)C[C@@H](CO)O1 ZINC000476507902 1073021428 /nfs/dbraw/zinc/02/14/28/1073021428.db2.gz CMOZNBAVVGIWJY-FZMZJTMJSA-N 0 0 428.283 -0.097 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)C[C@@H](CO)O1 ZINC000476507907 1073021348 /nfs/dbraw/zinc/02/13/48/1073021348.db2.gz CMOZNBAVVGIWJY-RISCZKNCSA-N 0 0 428.283 -0.097 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)C[C@H](CO)O1 ZINC000476507983 1073021716 /nfs/dbraw/zinc/02/17/16/1073021716.db2.gz CMOZNBAVVGIWJY-SMDDNHRTSA-N 0 0 428.283 -0.097 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000476511445 1073021695 /nfs/dbraw/zinc/02/16/95/1073021695.db2.gz MBCRGYCZVCIPPO-HNNXBMFYSA-N 0 0 432.520 -0.170 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000476511448 1073021797 /nfs/dbraw/zinc/02/17/97/1073021797.db2.gz MBCRGYCZVCIPPO-OAHLLOKOSA-N 0 0 432.520 -0.170 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCCC(=O)NC3CCCCC3)CC2)CC1 ZINC000476514007 1073022501 /nfs/dbraw/zinc/02/25/01/1073022501.db2.gz LCWFAGPDOFKLNP-UHFFFAOYSA-N 0 0 435.569 -0.046 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCC(=O)NC2CCCCC2)CC1 ZINC000476514759 1073022481 /nfs/dbraw/zinc/02/24/81/1073022481.db2.gz OOFQOPXLSQBJAO-UHFFFAOYSA-N 0 0 442.524 -0.033 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)C1 ZINC000476514880 1073022465 /nfs/dbraw/zinc/02/24/65/1073022465.db2.gz LSXRWFYHYUESLV-GFCCVEGCSA-N 0 0 447.311 -0.816 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)C1 ZINC000476514886 1073022524 /nfs/dbraw/zinc/02/25/24/1073022524.db2.gz LSXRWFYHYUESLV-LBPRGKRZSA-N 0 0 447.311 -0.816 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)C1 ZINC000476516663 1073023038 /nfs/dbraw/zinc/02/30/38/1073023038.db2.gz NWABCPOFTXGYGY-CYBMUJFWSA-N 0 0 440.298 -0.175 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)C1 ZINC000476516666 1073022887 /nfs/dbraw/zinc/02/28/87/1073022887.db2.gz NWABCPOFTXGYGY-ZDUSSCGKSA-N 0 0 440.298 -0.175 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCC(=O)NC3CCCCC3)CC2)nc1 ZINC000476516688 1073022920 /nfs/dbraw/zinc/02/29/20/1073022920.db2.gz NWFYKJIXSKNJAL-UHFFFAOYSA-N 0 0 430.509 -0.216 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)N3CCCC3)C[C@H]12 ZINC000476518699 1073022988 /nfs/dbraw/zinc/02/29/88/1073022988.db2.gz ZCFLNNQWQOLTFK-GFCCVEGCSA-N 0 0 428.492 -0.604 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)N3CCCC3)C[C@@H]12 ZINC000476518703 1073022871 /nfs/dbraw/zinc/02/28/71/1073022871.db2.gz ZCFLNNQWQOLTFK-LBPRGKRZSA-N 0 0 428.492 -0.604 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476520797 1073023343 /nfs/dbraw/zinc/02/33/43/1073023343.db2.gz BQTPHYUSVOKZGK-GFCCVEGCSA-N 0 0 427.479 -0.631 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476520800 1073023400 /nfs/dbraw/zinc/02/34/00/1073023400.db2.gz BQTPHYUSVOKZGK-LBPRGKRZSA-N 0 0 427.479 -0.631 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1 ZINC000476521494 1073022452 /nfs/dbraw/zinc/02/24/52/1073022452.db2.gz UWXKAJBUTZXZAB-NEPJUHHUSA-N 0 0 430.299 -0.115 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1)[C@@H](C)CO ZINC000476521499 1073022543 /nfs/dbraw/zinc/02/25/43/1073022543.db2.gz UWXKAJBUTZXZAB-NWDGAFQWSA-N 0 0 430.299 -0.115 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1)[C@@H](C)CO ZINC000476521501 1073022535 /nfs/dbraw/zinc/02/25/35/1073022535.db2.gz UWXKAJBUTZXZAB-RYUDHWBXSA-N 0 0 430.299 -0.115 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1)[C@H](C)CO ZINC000476521503 1073023035 /nfs/dbraw/zinc/02/30/35/1073023035.db2.gz UWXKAJBUTZXZAB-VXGBXAGGSA-N 0 0 430.299 -0.115 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)CC1=O ZINC000476522682 1073023920 /nfs/dbraw/zinc/02/39/20/1073023920.db2.gz XLOJXKXXIWEGGQ-UHFFFAOYSA-N 0 0 425.283 -0.014 20 0 IBADRN O=C1CN(CCCNS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CCN1 ZINC000476523741 1073024004 /nfs/dbraw/zinc/02/40/04/1073024004.db2.gz FVBDQLGNINQKBZ-UHFFFAOYSA-N 0 0 430.552 -0.429 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000476525193 1073023229 /nfs/dbraw/zinc/02/32/29/1073023229.db2.gz OYRWCABEIVWPHW-CYBMUJFWSA-N 0 0 437.565 -0.087 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000476525194 1073023393 /nfs/dbraw/zinc/02/33/93/1073023393.db2.gz OYRWCABEIVWPHW-ZDUSSCGKSA-N 0 0 437.565 -0.087 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000476525975 1073023996 /nfs/dbraw/zinc/02/39/96/1073023996.db2.gz RHIBSPCBDPKLNB-CYBMUJFWSA-N 0 0 437.565 -0.087 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000476525976 1073023937 /nfs/dbraw/zinc/02/39/37/1073023937.db2.gz RHIBSPCBDPKLNB-ZDUSSCGKSA-N 0 0 437.565 -0.087 20 0 IBADRN COC(=O)CN1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000476532518 1073025235 /nfs/dbraw/zinc/02/52/35/1073025235.db2.gz INVQODHKMUWOBF-UHFFFAOYSA-N 0 0 429.477 -0.849 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476533171 1073025868 /nfs/dbraw/zinc/02/58/68/1073025868.db2.gz BHAPOXCJMHMROB-CABCVRRESA-N 0 0 437.522 -0.865 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476533178 1073025916 /nfs/dbraw/zinc/02/59/16/1073025916.db2.gz BHAPOXCJMHMROB-GJZGRUSLSA-N 0 0 437.522 -0.865 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476533181 1073025909 /nfs/dbraw/zinc/02/59/09/1073025909.db2.gz BHAPOXCJMHMROB-HUUCEWRRSA-N 0 0 437.522 -0.865 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476533183 1073025921 /nfs/dbraw/zinc/02/59/21/1073025921.db2.gz BHAPOXCJMHMROB-LSDHHAIUSA-N 0 0 437.522 -0.865 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c2ccccc2)CC1 ZINC000476533207 1073025804 /nfs/dbraw/zinc/02/58/04/1073025804.db2.gz BKZXFWDSKPZNNZ-AABGKKOBSA-N 0 0 436.578 -0.723 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c2ccccc2)CC1 ZINC000476533208 1073026463 /nfs/dbraw/zinc/02/64/63/1073026463.db2.gz BKZXFWDSKPZNNZ-AQNXPRMDSA-N 0 0 436.578 -0.723 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c2ccccc2)CC1 ZINC000476533209 1073026203 /nfs/dbraw/zinc/02/62/03/1073026203.db2.gz BKZXFWDSKPZNNZ-UFYCRDLUSA-N 0 0 436.578 -0.723 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c2ccccc2)CC1 ZINC000476533210 1073026394 /nfs/dbraw/zinc/02/63/94/1073026394.db2.gz BKZXFWDSKPZNNZ-ZCNNSNEGSA-N 0 0 436.578 -0.723 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cnn(CC(F)(F)F)c1 ZINC000476533381 1073025849 /nfs/dbraw/zinc/02/58/49/1073025849.db2.gz LSEMJMVVYGQHLR-LLVKDONJSA-N 0 0 428.389 -0.486 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cnn(CC(F)(F)F)c1 ZINC000476533382 1073025860 /nfs/dbraw/zinc/02/58/60/1073025860.db2.gz LSEMJMVVYGQHLR-NSHDSACASA-N 0 0 428.389 -0.486 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000476533945 1073025883 /nfs/dbraw/zinc/02/58/83/1073025883.db2.gz CHJNLJRHYAMEOX-FHWLQOOXSA-N 0 0 435.546 -0.159 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000476533949 1073025768 /nfs/dbraw/zinc/02/57/68/1073025768.db2.gz CHJNLJRHYAMEOX-GBESFXJTSA-N 0 0 435.546 -0.159 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000476533954 1073025874 /nfs/dbraw/zinc/02/58/74/1073025874.db2.gz CHJNLJRHYAMEOX-IPMKNSEASA-N 0 0 435.546 -0.159 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000476533958 1073025823 /nfs/dbraw/zinc/02/58/23/1073025823.db2.gz CHJNLJRHYAMEOX-OTWHNJEPSA-N 0 0 435.546 -0.159 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(Cl)cc2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476534436 1073026913 /nfs/dbraw/zinc/02/69/13/1073026913.db2.gz GHTVTIYKVGXHNJ-COXVUDFISA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(Cl)cc2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476534437 1073026948 /nfs/dbraw/zinc/02/69/48/1073026948.db2.gz GHTVTIYKVGXHNJ-KBRIMQKVSA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(Cl)cc2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476534438 1073026848 /nfs/dbraw/zinc/02/68/48/1073026848.db2.gz GHTVTIYKVGXHNJ-XOKHGSTOSA-N 0 0 441.937 -0.005 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(Cl)cc2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476534439 1073026785 /nfs/dbraw/zinc/02/67/85/1073026785.db2.gz GHTVTIYKVGXHNJ-XYPHTWIQSA-N 0 0 441.937 -0.005 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)[nH]1 ZINC000476534817 1073026644 /nfs/dbraw/zinc/02/66/44/1073026644.db2.gz DOWQGGSDOMHBJB-GFCCVEGCSA-N 0 0 435.510 -0.777 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)[nH]1 ZINC000476534832 1073026831 /nfs/dbraw/zinc/02/68/31/1073026831.db2.gz DOWQGGSDOMHBJB-LBPRGKRZSA-N 0 0 435.510 -0.777 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000476535493 1073026753 /nfs/dbraw/zinc/02/67/53/1073026753.db2.gz NTWUJUJDWCORIH-BLLLJJGKSA-N 0 0 441.506 -0.216 20 0 IBADRN COC(=O)[C@@H](CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000476535496 1073027001 /nfs/dbraw/zinc/02/70/01/1073027001.db2.gz NTWUJUJDWCORIH-LRDDRELGSA-N 0 0 441.506 -0.216 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000476535499 1073027477 /nfs/dbraw/zinc/02/74/77/1073027477.db2.gz NTWUJUJDWCORIH-MLGOLLRUSA-N 0 0 441.506 -0.216 20 0 IBADRN COC(=O)[C@@H](CNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000476535501 1073027422 /nfs/dbraw/zinc/02/74/22/1073027422.db2.gz NTWUJUJDWCORIH-WBMJQRKESA-N 0 0 441.506 -0.216 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC000476535694 1073026422 /nfs/dbraw/zinc/02/64/22/1073026422.db2.gz OYUPBISLZHDICQ-JLTOFOAXSA-N 0 0 443.460 -0.009 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC000476535705 1073026224 /nfs/dbraw/zinc/02/62/24/1073026224.db2.gz OYUPBISLZHDICQ-VBKZILBWSA-N 0 0 443.460 -0.009 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC000476535710 1073026477 /nfs/dbraw/zinc/02/64/77/1073026477.db2.gz OYUPBISLZHDICQ-VLIAUNLRSA-N 0 0 443.460 -0.009 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC000476535716 1073026296 /nfs/dbraw/zinc/02/62/96/1073026296.db2.gz OYUPBISLZHDICQ-XOBRGWDASA-N 0 0 443.460 -0.009 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476536687 1073027222 /nfs/dbraw/zinc/02/72/22/1073027222.db2.gz HZUVWZHSRASYGX-AABGKKOBSA-N 0 0 437.562 -0.420 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476536688 1073027391 /nfs/dbraw/zinc/02/73/91/1073027391.db2.gz HZUVWZHSRASYGX-AQNXPRMDSA-N 0 0 437.562 -0.420 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476536689 1073027453 /nfs/dbraw/zinc/02/74/53/1073027453.db2.gz HZUVWZHSRASYGX-HSALFYBXSA-N 0 0 437.562 -0.420 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476536690 1073027357 /nfs/dbraw/zinc/02/73/57/1073027357.db2.gz HZUVWZHSRASYGX-VAMGGRTRSA-N 0 0 437.562 -0.420 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476537413 1073027297 /nfs/dbraw/zinc/02/72/97/1073027297.db2.gz BUDKETMPLWEOOF-COXVUDFISA-N 0 0 448.538 -0.462 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476537422 1073027925 /nfs/dbraw/zinc/02/79/25/1073027925.db2.gz BUDKETMPLWEOOF-KBRIMQKVSA-N 0 0 448.538 -0.462 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476537426 1073027439 /nfs/dbraw/zinc/02/74/39/1073027439.db2.gz BUDKETMPLWEOOF-XOKHGSTOSA-N 0 0 448.538 -0.462 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476537429 1073027431 /nfs/dbraw/zinc/02/74/31/1073027431.db2.gz BUDKETMPLWEOOF-XYPHTWIQSA-N 0 0 448.538 -0.462 20 0 IBADRN O=S(=O)(NC[C@@H]1CS(=O)(=O)CCO1)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000476538463 1073027784 /nfs/dbraw/zinc/02/77/84/1073027784.db2.gz QRWBQHXGNRIPML-CYBMUJFWSA-N 0 0 438.549 -0.437 20 0 IBADRN O=S(=O)(NC[C@H]1CS(=O)(=O)CCO1)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000476538465 1073027726 /nfs/dbraw/zinc/02/77/26/1073027726.db2.gz QRWBQHXGNRIPML-ZDUSSCGKSA-N 0 0 438.549 -0.437 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000476538722 1073027317 /nfs/dbraw/zinc/02/73/17/1073027317.db2.gz ADQXSJGBCWKCFA-LLVKDONJSA-N 0 0 425.467 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000476538724 1073027281 /nfs/dbraw/zinc/02/72/81/1073027281.db2.gz ADQXSJGBCWKCFA-NSHDSACASA-N 0 0 425.467 -0.656 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1 ZINC000476539315 1073027854 /nfs/dbraw/zinc/02/78/54/1073027854.db2.gz CYFAKBGRNSUTKM-CEXWTWQISA-N 0 0 435.546 -0.354 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1 ZINC000476539320 1073027770 /nfs/dbraw/zinc/02/77/70/1073027770.db2.gz CYFAKBGRNSUTKM-GUDVDZBRSA-N 0 0 435.546 -0.354 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1 ZINC000476539322 1073027864 /nfs/dbraw/zinc/02/78/64/1073027864.db2.gz CYFAKBGRNSUTKM-QRVBRYPASA-N 0 0 435.546 -0.354 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1 ZINC000476539324 1073027918 /nfs/dbraw/zinc/02/79/18/1073027918.db2.gz CYFAKBGRNSUTKM-QYZOEREBSA-N 0 0 435.546 -0.354 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476540847 1073027645 /nfs/dbraw/zinc/02/76/45/1073027645.db2.gz GDRCICYIRIJAOB-KBPBESRZSA-N 0 0 426.520 -0.850 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476541295 1073028154 /nfs/dbraw/zinc/02/81/54/1073028154.db2.gz GDRCICYIRIJAOB-KGLIPLIRSA-N 0 0 426.520 -0.850 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476541299 1073028849 /nfs/dbraw/zinc/02/88/49/1073028849.db2.gz GDRCICYIRIJAOB-UONOGXRCSA-N 0 0 426.520 -0.850 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476541302 1073028606 /nfs/dbraw/zinc/02/86/06/1073028606.db2.gz GDRCICYIRIJAOB-ZIAGYGMSSA-N 0 0 426.520 -0.850 20 0 IBADRN C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000476541331 1073028690 /nfs/dbraw/zinc/02/86/90/1073028690.db2.gz SEUYOQVVRJZZCY-AWEZNQCLSA-N 0 0 431.536 -0.315 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000476541336 1073028866 /nfs/dbraw/zinc/02/88/66/1073028866.db2.gz SEUYOQVVRJZZCY-CQSZACIVSA-N 0 0 431.536 -0.315 20 0 IBADRN O=C(c1[nH]nc(C2CC2)c1Br)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476541641 1073028309 /nfs/dbraw/zinc/02/83/09/1073028309.db2.gz GZIQRNLEWVXNPR-GHMZBOCLSA-N 0 0 433.328 -0.035 20 0 IBADRN O=C(c1[nH]nc(C2CC2)c1Br)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476541648 1073028205 /nfs/dbraw/zinc/02/82/05/1073028205.db2.gz GZIQRNLEWVXNPR-MNOVXSKESA-N 0 0 433.328 -0.035 20 0 IBADRN O=C(c1[nH]nc(C2CC2)c1Br)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476541652 1073028375 /nfs/dbraw/zinc/02/83/75/1073028375.db2.gz GZIQRNLEWVXNPR-QWRGUYRKSA-N 0 0 433.328 -0.035 20 0 IBADRN O=C(c1[nH]nc(C2CC2)c1Br)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476541655 1073028447 /nfs/dbraw/zinc/02/84/47/1073028447.db2.gz GZIQRNLEWVXNPR-WDEREUQCSA-N 0 0 433.328 -0.035 20 0 IBADRN O=S(=O)(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)c1cnc2n1CCC2 ZINC000476541793 1073028351 /nfs/dbraw/zinc/02/83/51/1073028351.db2.gz SLLDURPWOKDMJY-UHFFFAOYSA-N 0 0 440.503 -0.048 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(Cc2nccn2C)CC1 ZINC000476547936 1073029246 /nfs/dbraw/zinc/02/92/46/1073029246.db2.gz NHPWCOXXULUMPN-AWEZNQCLSA-N 0 0 434.522 -0.470 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(Cc2nccn2C)CC1 ZINC000476547949 1073029182 /nfs/dbraw/zinc/02/91/82/1073029182.db2.gz NHPWCOXXULUMPN-CQSZACIVSA-N 0 0 434.522 -0.470 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(Cc2cnn(C)c2)CC1 ZINC000476549738 1073029288 /nfs/dbraw/zinc/02/92/88/1073029288.db2.gz QETGFLQDJWYHIJ-AWEZNQCLSA-N 0 0 434.522 -0.470 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(Cc2cnn(C)c2)CC1 ZINC000476549739 1073029223 /nfs/dbraw/zinc/02/92/23/1073029223.db2.gz QETGFLQDJWYHIJ-CQSZACIVSA-N 0 0 434.522 -0.470 20 0 IBADRN O=C([C@H](c1cccc(F)c1)N1CCOCC1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476550760 1073029121 /nfs/dbraw/zinc/02/91/21/1073029121.db2.gz QTLJWFRBRIMJIS-FHWLQOOXSA-N 0 0 441.525 -0.499 20 0 IBADRN O=C([C@H](c1cccc(F)c1)N1CCOCC1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476550764 1073029258 /nfs/dbraw/zinc/02/92/58/1073029258.db2.gz QTLJWFRBRIMJIS-OTWHNJEPSA-N 0 0 441.525 -0.499 20 0 IBADRN O=C([C@H](c1cccc(F)c1)N1CCOCC1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476550766 1073029149 /nfs/dbraw/zinc/02/91/49/1073029149.db2.gz QTLJWFRBRIMJIS-QRVBRYPASA-N 0 0 441.525 -0.499 20 0 IBADRN O=C([C@H](c1cccc(F)c1)N1CCOCC1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476550768 1073029280 /nfs/dbraw/zinc/02/92/80/1073029280.db2.gz QTLJWFRBRIMJIS-QYZOEREBSA-N 0 0 441.525 -0.499 20 0 IBADRN O=C([C@H](c1ccccc1F)N1CCOCC1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476550805 1073029299 /nfs/dbraw/zinc/02/92/99/1073029299.db2.gz RBYIBOZZVZQNDB-FHWLQOOXSA-N 0 0 441.525 -0.499 20 0 IBADRN O=C([C@H](c1ccccc1F)N1CCOCC1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476550807 1073029133 /nfs/dbraw/zinc/02/91/33/1073029133.db2.gz RBYIBOZZVZQNDB-OTWHNJEPSA-N 0 0 441.525 -0.499 20 0 IBADRN O=C([C@H](c1ccccc1F)N1CCOCC1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476550808 1073029327 /nfs/dbraw/zinc/02/93/27/1073029327.db2.gz RBYIBOZZVZQNDB-QRVBRYPASA-N 0 0 441.525 -0.499 20 0 IBADRN O=C([C@H](c1ccccc1F)N1CCOCC1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476550809 1073029234 /nfs/dbraw/zinc/02/92/34/1073029234.db2.gz RBYIBOZZVZQNDB-QYZOEREBSA-N 0 0 441.525 -0.499 20 0 IBADRN O=C(c1cc(N2CCCC2=O)ccc1F)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476551100 1073029330 /nfs/dbraw/zinc/02/93/30/1073029330.db2.gz RILIZAHXQQKJOK-DLBZAZTESA-N 0 0 425.482 -0.132 20 0 IBADRN O=C(c1cc(N2CCCC2=O)ccc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476551102 1073029174 /nfs/dbraw/zinc/02/91/74/1073029174.db2.gz RILIZAHXQQKJOK-IAGOWNOFSA-N 0 0 425.482 -0.132 20 0 IBADRN O=C(c1cc(N2CCCC2=O)ccc1F)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476551105 1073029709 /nfs/dbraw/zinc/02/97/09/1073029709.db2.gz RILIZAHXQQKJOK-IRXDYDNUSA-N 0 0 425.482 -0.132 20 0 IBADRN O=C(c1cc(N2CCCC2=O)ccc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476551106 1073029741 /nfs/dbraw/zinc/02/97/41/1073029741.db2.gz RILIZAHXQQKJOK-SJORKVTESA-N 0 0 425.482 -0.132 20 0 IBADRN O=C(c1ccc(Cl)c(N2CCNC2=O)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476551233 1073029162 /nfs/dbraw/zinc/02/91/62/1073029162.db2.gz RRNOUEZXIZXVKH-CVEARBPZSA-N 0 0 442.925 -0.215 20 0 IBADRN O=C(c1ccc(Cl)c(N2CCNC2=O)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476551246 1073029269 /nfs/dbraw/zinc/02/92/69/1073029269.db2.gz RRNOUEZXIZXVKH-HOTGVXAUSA-N 0 0 442.925 -0.215 20 0 IBADRN O=C(c1ccc(Cl)c(N2CCNC2=O)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476551251 1073029312 /nfs/dbraw/zinc/02/93/12/1073029312.db2.gz RRNOUEZXIZXVKH-HZPDHXFCSA-N 0 0 442.925 -0.215 20 0 IBADRN O=C(c1ccc(Cl)c(N2CCNC2=O)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476551256 1073029202 /nfs/dbraw/zinc/02/92/02/1073029202.db2.gz RRNOUEZXIZXVKH-JKSUJKDBSA-N 0 0 442.925 -0.215 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476552608 1073029688 /nfs/dbraw/zinc/02/96/88/1073029688.db2.gz ULRXRUFOTXNWKJ-FHWLQOOXSA-N 0 0 435.546 -0.478 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476552609 1073029550 /nfs/dbraw/zinc/02/95/50/1073029550.db2.gz ULRXRUFOTXNWKJ-GBESFXJTSA-N 0 0 435.546 -0.478 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476552610 1073029536 /nfs/dbraw/zinc/02/95/36/1073029536.db2.gz ULRXRUFOTXNWKJ-IPMKNSEASA-N 0 0 435.546 -0.478 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476552611 1073029676 /nfs/dbraw/zinc/02/96/76/1073029676.db2.gz ULRXRUFOTXNWKJ-OTWHNJEPSA-N 0 0 435.546 -0.478 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000476553694 1073028679 /nfs/dbraw/zinc/02/86/79/1073028679.db2.gz VDEYHCFOQLSVCA-AWEZNQCLSA-N 0 0 439.581 -0.431 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000476553695 1073028666 /nfs/dbraw/zinc/02/86/66/1073028666.db2.gz VDEYHCFOQLSVCA-CQSZACIVSA-N 0 0 439.581 -0.431 20 0 IBADRN COc1ccc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c(OC)c1OC ZINC000476554067 1073029192 /nfs/dbraw/zinc/02/91/92/1073029192.db2.gz WPAIAASVEWIMRU-CVEARBPZSA-N 0 0 442.534 -0.053 20 0 IBADRN COc1ccc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c(OC)c1OC ZINC000476554070 1073030742 /nfs/dbraw/zinc/03/07/42/1073030742.db2.gz WPAIAASVEWIMRU-HOTGVXAUSA-N 0 0 442.534 -0.053 20 0 IBADRN COc1ccc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c(OC)c1OC ZINC000476554072 1073030646 /nfs/dbraw/zinc/03/06/46/1073030646.db2.gz WPAIAASVEWIMRU-HZPDHXFCSA-N 0 0 442.534 -0.053 20 0 IBADRN COc1ccc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c(OC)c1OC ZINC000476554074 1073030605 /nfs/dbraw/zinc/03/06/05/1073030605.db2.gz WPAIAASVEWIMRU-JKSUJKDBSA-N 0 0 442.534 -0.053 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476555403 1073030684 /nfs/dbraw/zinc/03/06/84/1073030684.db2.gz QOLHFGWYWFLMOG-MSOLQXFVSA-N 0 0 437.518 -0.506 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476555404 1073030499 /nfs/dbraw/zinc/03/04/99/1073030499.db2.gz QOLHFGWYWFLMOG-QZTJIDSGSA-N 0 0 437.518 -0.506 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476555405 1073030522 /nfs/dbraw/zinc/03/05/22/1073030522.db2.gz QOLHFGWYWFLMOG-ROUUACIJSA-N 0 0 437.518 -0.506 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476555406 1073030514 /nfs/dbraw/zinc/03/05/14/1073030514.db2.gz QOLHFGWYWFLMOG-ZWKOTPCHSA-N 0 0 437.518 -0.506 20 0 IBADRN CCCc1c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)[nH]c(C)c1C(=O)OC ZINC000476555497 1073030751 /nfs/dbraw/zinc/03/07/51/1073030751.db2.gz ROSUFNDQFMDLSE-CABCVRRESA-N 0 0 427.523 -0.022 20 0 IBADRN CCCc1c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)[nH]c(C)c1C(=O)OC ZINC000476555798 1073030532 /nfs/dbraw/zinc/03/05/32/1073030532.db2.gz ROSUFNDQFMDLSE-GJZGRUSLSA-N 0 0 427.523 -0.022 20 0 IBADRN CCCc1c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)[nH]c(C)c1C(=O)OC ZINC000476555799 1073030673 /nfs/dbraw/zinc/03/06/73/1073030673.db2.gz ROSUFNDQFMDLSE-HUUCEWRRSA-N 0 0 427.523 -0.022 20 0 IBADRN CCCc1c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)[nH]c(C)c1C(=O)OC ZINC000476555800 1073030505 /nfs/dbraw/zinc/03/05/05/1073030505.db2.gz ROSUFNDQFMDLSE-LSDHHAIUSA-N 0 0 427.523 -0.022 20 0 IBADRN CCC[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476555956 1073030717 /nfs/dbraw/zinc/03/07/17/1073030717.db2.gz ZGZHQYGOXJLEGO-FHWLQOOXSA-N 0 0 437.562 -0.184 20 0 IBADRN CCC[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476555957 1073030730 /nfs/dbraw/zinc/03/07/30/1073030730.db2.gz ZGZHQYGOXJLEGO-GBESFXJTSA-N 0 0 437.562 -0.184 20 0 IBADRN CCC[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476555958 1073030565 /nfs/dbraw/zinc/03/05/65/1073030565.db2.gz ZGZHQYGOXJLEGO-IPMKNSEASA-N 0 0 437.562 -0.184 20 0 IBADRN CCC[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476555959 1073030692 /nfs/dbraw/zinc/03/06/92/1073030692.db2.gz ZGZHQYGOXJLEGO-OTWHNJEPSA-N 0 0 437.562 -0.184 20 0 IBADRN CCc1nnc([C@@H](C)NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[nH]1 ZINC000476556194 1073030086 /nfs/dbraw/zinc/03/00/86/1073030086.db2.gz ZTGVAKFDFUWUOR-LLVKDONJSA-N 0 0 449.493 -0.697 20 0 IBADRN CCc1nnc([C@H](C)NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[nH]1 ZINC000476556195 1073030252 /nfs/dbraw/zinc/03/02/52/1073030252.db2.gz ZTGVAKFDFUWUOR-NSHDSACASA-N 0 0 449.493 -0.697 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476556781 1073030037 /nfs/dbraw/zinc/03/00/37/1073030037.db2.gz WOXCFFLYUWXRRA-ILXRZTDVSA-N 0 0 433.596 -0.374 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000476556782 1073030119 /nfs/dbraw/zinc/03/01/19/1073030119.db2.gz WOXCFFLYUWXRRA-KFWWJZLASA-N 0 0 433.596 -0.374 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476556783 1073030043 /nfs/dbraw/zinc/03/00/43/1073030043.db2.gz WOXCFFLYUWXRRA-QLFBSQMISA-N 0 0 433.596 -0.374 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000476556784 1073030218 /nfs/dbraw/zinc/03/02/18/1073030218.db2.gz WOXCFFLYUWXRRA-RBSFLKMASA-N 0 0 433.596 -0.374 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN([C@H](C)C(=O)N2CCCC2)CC1)S(C)(=O)=O ZINC000476557825 1073030157 /nfs/dbraw/zinc/03/01/57/1073030157.db2.gz CEHCVQSOEAIPJK-GOSISDBHSA-N 0 0 445.630 -0.209 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN([C@@H](C)C(=O)N2CCCC2)CC1)S(C)(=O)=O ZINC000476557826 1073029980 /nfs/dbraw/zinc/02/99/80/1073029980.db2.gz CEHCVQSOEAIPJK-SFHVURJKSA-N 0 0 445.630 -0.209 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3n[nH]c(=O)cc3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000476559730 1073030074 /nfs/dbraw/zinc/03/00/74/1073030074.db2.gz FOYUAMIWZGGKCU-GOSISDBHSA-N 0 0 433.446 -0.008 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3n[nH]c(=O)cc3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000476559731 1073030110 /nfs/dbraw/zinc/03/01/10/1073030110.db2.gz FOYUAMIWZGGKCU-SFHVURJKSA-N 0 0 433.446 -0.008 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(c3nnc4n3CCCC4)CC2)CC1 ZINC000476562645 1073031187 /nfs/dbraw/zinc/03/11/87/1073031187.db2.gz CVOSOCXCMLCBEN-UHFFFAOYSA-N 0 0 432.572 -0.384 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCN(S(=O)(=O)CCOC(C)C)CC1)c1ccccc1 ZINC000476564378 1073030703 /nfs/dbraw/zinc/03/07/03/1073030703.db2.gz SUNVRAAZECJRGK-IBGZPJMESA-N 0 0 440.566 -0.038 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCN(S(=O)(=O)CCOC(C)C)CC1)c1ccccc1 ZINC000476564379 1073030968 /nfs/dbraw/zinc/03/09/68/1073030968.db2.gz SUNVRAAZECJRGK-LJQANCHMSA-N 0 0 440.566 -0.038 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000476570303 1073032227 /nfs/dbraw/zinc/03/22/27/1073032227.db2.gz UVAWLDRXHBAXBG-DJJJIMSYSA-N 0 0 440.497 -0.578 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000476570304 1073032246 /nfs/dbraw/zinc/03/22/46/1073032246.db2.gz UVAWLDRXHBAXBG-ORAYPTAESA-N 0 0 440.497 -0.578 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)c1 ZINC000476577595 1073032820 /nfs/dbraw/zinc/03/28/20/1073032820.db2.gz JAVKJOGNYJAHAB-GFCCVEGCSA-N 0 0 441.510 -0.067 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)c1 ZINC000476577606 1073032788 /nfs/dbraw/zinc/03/27/88/1073032788.db2.gz JAVKJOGNYJAHAB-LBPRGKRZSA-N 0 0 441.510 -0.067 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1 ZINC000476581101 1073033306 /nfs/dbraw/zinc/03/33/06/1073033306.db2.gz FDJRHUHHBYUKTI-AAEUAGOBSA-N 0 0 427.483 -0.793 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1 ZINC000476581107 1073033279 /nfs/dbraw/zinc/03/32/79/1073033279.db2.gz FDJRHUHHBYUKTI-DGCLKSJQSA-N 0 0 427.483 -0.793 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1 ZINC000476581110 1073033235 /nfs/dbraw/zinc/03/32/35/1073033235.db2.gz FDJRHUHHBYUKTI-WCQYABFASA-N 0 0 427.483 -0.793 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1 ZINC000476581114 1073033188 /nfs/dbraw/zinc/03/31/88/1073033188.db2.gz FDJRHUHHBYUKTI-YPMHNXCESA-N 0 0 427.483 -0.793 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000476581230 1073034086 /nfs/dbraw/zinc/03/40/86/1073034086.db2.gz FNEVURNQKWUXLU-GFCCVEGCSA-N 0 0 441.510 -0.068 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000476581233 1073034018 /nfs/dbraw/zinc/03/40/18/1073034018.db2.gz FNEVURNQKWUXLU-LBPRGKRZSA-N 0 0 441.510 -0.068 20 0 IBADRN COCCC(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC000476582157 1073033691 /nfs/dbraw/zinc/03/36/91/1073033691.db2.gz PMHRQUYNSSJLLY-UHFFFAOYSA-N 0 0 446.551 -0.630 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000476582515 1073033728 /nfs/dbraw/zinc/03/37/28/1073033728.db2.gz QTBSOPZVQQTNJK-UHFFFAOYSA-N 0 0 430.508 -0.262 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000476584504 1073034452 /nfs/dbraw/zinc/03/44/52/1073034452.db2.gz PDNCVUCSDMHDIK-LLVKDONJSA-N 0 0 441.510 -0.020 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000476584506 1073034621 /nfs/dbraw/zinc/03/46/21/1073034621.db2.gz PDNCVUCSDMHDIK-NSHDSACASA-N 0 0 441.510 -0.020 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2)CC1 ZINC000476586033 1073034172 /nfs/dbraw/zinc/03/41/72/1073034172.db2.gz AUTGXSQHOOEBIQ-UHFFFAOYSA-N 0 0 426.495 -0.377 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000476586045 1073034056 /nfs/dbraw/zinc/03/40/56/1073034056.db2.gz AWHBONQKOPQSNP-BRWVUGGUSA-N 0 0 443.566 -0.197 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000476586046 1073034215 /nfs/dbraw/zinc/03/42/15/1073034215.db2.gz AWHBONQKOPQSNP-GVDBMIGSSA-N 0 0 443.566 -0.197 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000476586047 1073034093 /nfs/dbraw/zinc/03/40/93/1073034093.db2.gz AWHBONQKOPQSNP-IXDOHACOSA-N 0 0 443.566 -0.197 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000476586048 1073034077 /nfs/dbraw/zinc/03/40/77/1073034077.db2.gz AWHBONQKOPQSNP-YESZJQIVSA-N 0 0 443.566 -0.197 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)CC1 ZINC000476588017 1073034655 /nfs/dbraw/zinc/03/46/55/1073034655.db2.gz LRZWFSPRYORCGY-UHFFFAOYSA-N 0 0 444.485 -0.238 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)ccc1C(N)=O ZINC000476589204 1073034428 /nfs/dbraw/zinc/03/44/28/1073034428.db2.gz SNCCAXNBVJDCPM-UHFFFAOYSA-N 0 0 440.522 -0.069 20 0 IBADRN COCCC(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000476590197 1073034554 /nfs/dbraw/zinc/03/45/54/1073034554.db2.gz ZAQJMRFWZHKQFM-UHFFFAOYSA-N 0 0 440.522 -0.509 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)ccc1=O ZINC000476590283 1073034462 /nfs/dbraw/zinc/03/44/62/1073034462.db2.gz ZIKCLUKCJNBJQE-UHFFFAOYSA-N 0 0 428.511 -0.294 20 0 IBADRN COCCC(=O)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000476592269 1073034637 /nfs/dbraw/zinc/03/46/37/1073034637.db2.gz CVWUNEXGOJRFBP-UHFFFAOYSA-N 0 0 440.522 -0.027 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000476594006 1073034584 /nfs/dbraw/zinc/03/45/84/1073034584.db2.gz AMSWUPFJCXNBDS-CRAIPNDOSA-N 0 0 427.523 -0.270 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000476594016 1073034567 /nfs/dbraw/zinc/03/45/67/1073034567.db2.gz AMSWUPFJCXNBDS-MAUKXSAKSA-N 0 0 427.523 -0.270 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000476594021 1073035092 /nfs/dbraw/zinc/03/50/92/1073035092.db2.gz AMSWUPFJCXNBDS-QAPCUYQASA-N 0 0 427.523 -0.270 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000476594026 1073035040 /nfs/dbraw/zinc/03/50/40/1073035040.db2.gz AMSWUPFJCXNBDS-YJBOKZPZSA-N 0 0 427.523 -0.270 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000476594199 1073035050 /nfs/dbraw/zinc/03/50/50/1073035050.db2.gz HYEWMUJZGJGBLB-UHFFFAOYSA-N 0 0 440.341 -0.169 20 0 IBADRN CS(=O)(=O)C1(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCC1 ZINC000476594774 1073035099 /nfs/dbraw/zinc/03/50/99/1073035099.db2.gz DDASQEHEFCYUIM-UHFFFAOYSA-N 0 0 430.504 -0.086 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC([C@@]2(C)NC(=O)NC2=O)CC1)S(C)(=O)=O ZINC000476595523 1073034916 /nfs/dbraw/zinc/03/49/16/1073034916.db2.gz KTYQTVKIHHDHNE-GOSISDBHSA-N 0 0 431.559 -0.527 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC([C@]2(C)NC(=O)NC2=O)CC1)S(C)(=O)=O ZINC000476595524 1073034957 /nfs/dbraw/zinc/03/49/57/1073034957.db2.gz KTYQTVKIHHDHNE-SFHVURJKSA-N 0 0 431.559 -0.527 20 0 IBADRN COCCC(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000476598658 1073034994 /nfs/dbraw/zinc/03/49/94/1073034994.db2.gz HIKRVTXXGILNAN-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000476599009 1073034967 /nfs/dbraw/zinc/03/49/67/1073034967.db2.gz HPRDRBQRDYPBDS-AWEZNQCLSA-N 0 0 438.506 -0.606 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000476599013 1073034937 /nfs/dbraw/zinc/03/49/37/1073034937.db2.gz HPRDRBQRDYPBDS-CQSZACIVSA-N 0 0 438.506 -0.606 20 0 IBADRN COCCC(=O)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000476599102 1073035442 /nfs/dbraw/zinc/03/54/42/1073035442.db2.gz HUDIKFSCISZBPE-UHFFFAOYSA-N 0 0 427.469 -0.428 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000476600020 1073035335 /nfs/dbraw/zinc/03/53/35/1073035335.db2.gz ISKZBXYBPJGDHO-INIZCTEOSA-N 0 0 430.461 -0.376 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000476600022 1073035344 /nfs/dbraw/zinc/03/53/44/1073035344.db2.gz ISKZBXYBPJGDHO-MRXNPFEDSA-N 0 0 430.461 -0.376 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000476600253 1073035425 /nfs/dbraw/zinc/03/54/25/1073035425.db2.gz RDDMABXYWBNYJQ-UHFFFAOYSA-N 0 0 430.508 -0.262 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000476600711 1073035391 /nfs/dbraw/zinc/03/53/91/1073035391.db2.gz SVNXPMPFWRGPLJ-UHFFFAOYSA-N 0 0 435.524 -0.200 20 0 IBADRN COCCC(=O)N1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC000476601273 1073331779 /nfs/dbraw/zinc/33/17/79/1073331779.db2.gz KMFFDACLOOFNTH-UHFFFAOYSA-N 0 0 432.481 -0.302 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccc(N2CCCS2(=O)=O)c(F)c1 ZINC000476601479 1073035355 /nfs/dbraw/zinc/03/53/55/1073035355.db2.gz QNCYPLJQAJFODA-UHFFFAOYSA-N 0 0 443.544 -0.276 20 0 IBADRN O=C1NC2(CCCC2)C(=O)N1CCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000476601731 1073035457 /nfs/dbraw/zinc/03/54/57/1073035457.db2.gz RPTNBOAQUDKQSA-UHFFFAOYSA-N 0 0 444.535 -0.251 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476602575 1073035417 /nfs/dbraw/zinc/03/54/17/1073035417.db2.gz MUAUHKCYFRMSMI-CYBMUJFWSA-N 0 0 426.495 -0.840 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476602581 1073035235 /nfs/dbraw/zinc/03/52/35/1073035235.db2.gz MUAUHKCYFRMSMI-ZDUSSCGKSA-N 0 0 426.495 -0.840 20 0 IBADRN COCCC(=O)N1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000476603395 1073035742 /nfs/dbraw/zinc/03/57/42/1073035742.db2.gz MSQYFNXWLRDCQJ-UHFFFAOYSA-N 0 0 440.522 -0.626 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]c2ccccc12)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000476606502 1073035282 /nfs/dbraw/zinc/03/52/82/1073035282.db2.gz UOXRPOXKUJSJMC-INIZCTEOSA-N 0 0 444.535 -0.336 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)ccc1S(=O)(=O)N1CCCC1 ZINC000476606823 1073035266 /nfs/dbraw/zinc/03/52/66/1073035266.db2.gz FZMVSEQNAAZEPA-CYBMUJFWSA-N 0 0 439.494 -0.313 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)ccc1S(=O)(=O)N1CCCC1 ZINC000476606824 1073035368 /nfs/dbraw/zinc/03/53/68/1073035368.db2.gz FZMVSEQNAAZEPA-ZDUSSCGKSA-N 0 0 439.494 -0.313 20 0 IBADRN COCCC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000476606842 1073035400 /nfs/dbraw/zinc/03/54/00/1073035400.db2.gz QQSLNESNTOPMAU-WBVHZDCISA-N 0 0 443.497 -0.343 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000476608822 1073035609 /nfs/dbraw/zinc/03/56/09/1073035609.db2.gz KPBIEADBDWQQNI-UHFFFAOYSA-N 0 0 446.504 -0.379 20 0 IBADRN COCCC(=O)N1CCN(C(=O)CCCC(=O)N2CCN(C(=O)CCOC)CC2)CC1 ZINC000476609614 1073035832 /nfs/dbraw/zinc/03/58/32/1073035832.db2.gz VMIAEAGGKUQEOR-UHFFFAOYSA-N 0 0 440.541 -0.429 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCN3C(=O)NC4(CCCC4)C3=O)cnc21 ZINC000476609931 1073035779 /nfs/dbraw/zinc/03/57/79/1073035779.db2.gz WOZGGBBJNCIGSA-UHFFFAOYSA-N 0 0 436.450 -0.823 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NCCOc1ccc2c(c1)OCO2 ZINC000476611437 1073035711 /nfs/dbraw/zinc/03/57/11/1073035711.db2.gz OCTPUXKUUATOAB-UHFFFAOYSA-N 0 0 446.460 -0.797 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000476613046 1073035764 /nfs/dbraw/zinc/03/57/64/1073035764.db2.gz MZCKWDVIMAIQOK-GFCCVEGCSA-N 0 0 443.457 -0.946 20 0 IBADRN NC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000476613047 1073035807 /nfs/dbraw/zinc/03/58/07/1073035807.db2.gz MZCKWDVIMAIQOK-LBPRGKRZSA-N 0 0 443.457 -0.946 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)c(=O)n(C)c1=O ZINC000476614083 1073036292 /nfs/dbraw/zinc/03/62/92/1073036292.db2.gz URKBYSWEKVMPDQ-UHFFFAOYSA-N 0 0 446.482 -0.759 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(C(=O)CCOC)CC2)c1 ZINC000476615752 1073036284 /nfs/dbraw/zinc/03/62/84/1073036284.db2.gz GGEKQPNMXBUWLK-UHFFFAOYSA-N 0 0 442.538 -0.414 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000476616328 1073036246 /nfs/dbraw/zinc/03/62/46/1073036246.db2.gz ZYKAHTVRZFIPAF-UHFFFAOYSA-N 0 0 446.504 -0.379 20 0 IBADRN COCCC(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000476618644 1073036917 /nfs/dbraw/zinc/03/69/17/1073036917.db2.gz PYCWDGLIFBUEOX-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN COCCC(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC000476618907 1073037053 /nfs/dbraw/zinc/03/70/53/1073037053.db2.gz KBBUIOQNDQPEJY-UHFFFAOYSA-N 0 0 425.507 -0.131 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000476621472 1073037452 /nfs/dbraw/zinc/03/74/52/1073037452.db2.gz BBZXFQBDPBUVCL-INIZCTEOSA-N 0 0 433.552 -0.103 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000476621482 1073037352 /nfs/dbraw/zinc/03/73/52/1073037352.db2.gz BBZXFQBDPBUVCL-MRXNPFEDSA-N 0 0 433.552 -0.103 20 0 IBADRN CCCNC(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000476621865 1073037474 /nfs/dbraw/zinc/03/74/74/1073037474.db2.gz BVNFIHDMANWTML-CYBMUJFWSA-N 0 0 442.494 -0.987 20 0 IBADRN CCCNC(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000476621870 1073037301 /nfs/dbraw/zinc/03/73/01/1073037301.db2.gz BVNFIHDMANWTML-ZDUSSCGKSA-N 0 0 442.494 -0.987 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1 ZINC000476623830 1073037460 /nfs/dbraw/zinc/03/74/60/1073037460.db2.gz HIZMUBMFWPYPMB-UHFFFAOYSA-N 0 0 431.515 -0.633 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000476625404 1073037885 /nfs/dbraw/zinc/03/78/85/1073037885.db2.gz JMRBLWJFAVUDLQ-GOSISDBHSA-N 0 0 442.538 -0.325 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000476625412 1073037680 /nfs/dbraw/zinc/03/76/80/1073037680.db2.gz JMRBLWJFAVUDLQ-SFHVURJKSA-N 0 0 442.538 -0.325 20 0 IBADRN O=c1cc2c(n[nH]1)CCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000476626445 1073037720 /nfs/dbraw/zinc/03/77/20/1073037720.db2.gz GZWPBGCJHKKUSF-UHFFFAOYSA-N 0 0 440.503 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000476628491 1073037919 /nfs/dbraw/zinc/03/79/19/1073037919.db2.gz GWUZGUYXDKIUBM-UHFFFAOYSA-N 0 0 446.473 -0.023 20 0 IBADRN CCC1CCC(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000476630591 1073036566 /nfs/dbraw/zinc/03/65/66/1073036566.db2.gz HBBRQYCHVONBIP-UHFFFAOYSA-N 0 0 443.614 -0.045 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)CC1 ZINC000476631331 1073036510 /nfs/dbraw/zinc/03/65/10/1073036510.db2.gz JGRNWFNGJGIZMG-UHFFFAOYSA-N 0 0 444.485 -0.238 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000476634841 1073039147 /nfs/dbraw/zinc/03/91/47/1073039147.db2.gz DSVNGMBPMCDTLD-AWEZNQCLSA-N 0 0 432.481 -0.710 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000476634847 1073039119 /nfs/dbraw/zinc/03/91/19/1073039119.db2.gz DSVNGMBPMCDTLD-CQSZACIVSA-N 0 0 432.481 -0.710 20 0 IBADRN O=S(=O)(NCc1ccc(N2CCCS2(=O)=O)c(F)c1)N1CCS(=O)(=O)CC1 ZINC000476635166 1073039564 /nfs/dbraw/zinc/03/95/64/1073039564.db2.gz TVEFSOKMVANBSX-UHFFFAOYSA-N 0 0 441.528 -0.570 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1cnn(C)c1C(F)(F)F)S(C)(=O)=O ZINC000476636130 1073039568 /nfs/dbraw/zinc/03/95/68/1073039568.db2.gz RZJPHKGMJVNPRA-UHFFFAOYSA-N 0 0 434.462 -0.249 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c1 ZINC000476638104 1073037838 /nfs/dbraw/zinc/03/78/38/1073037838.db2.gz QZBLTDKTTISVSJ-UHFFFAOYSA-N 0 0 440.522 -0.116 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000476638683 1073037827 /nfs/dbraw/zinc/03/78/27/1073037827.db2.gz PROCRSVSVMCUBF-LLVKDONJSA-N 0 0 427.483 -0.457 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000476638684 1073037791 /nfs/dbraw/zinc/03/77/91/1073037791.db2.gz PROCRSVSVMCUBF-NSHDSACASA-N 0 0 427.483 -0.457 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1F ZINC000476640186 1073038323 /nfs/dbraw/zinc/03/83/23/1073038323.db2.gz RXVBUHDXBSYQJE-CMPLNLGQSA-N 0 0 437.428 -0.285 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1F ZINC000476640187 1073038107 /nfs/dbraw/zinc/03/81/07/1073038107.db2.gz RXVBUHDXBSYQJE-JQWIXIFHSA-N 0 0 437.428 -0.285 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1F ZINC000476640188 1073038172 /nfs/dbraw/zinc/03/81/72/1073038172.db2.gz RXVBUHDXBSYQJE-PWSUYJOCSA-N 0 0 437.428 -0.285 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1F ZINC000476640189 1073038304 /nfs/dbraw/zinc/03/83/04/1073038304.db2.gz RXVBUHDXBSYQJE-ZYHUDNBSSA-N 0 0 437.428 -0.285 20 0 IBADRN COC[C@@H]1CCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000476641864 1073038186 /nfs/dbraw/zinc/03/81/86/1073038186.db2.gz PALQQZPXYUJOCG-KBPBESRZSA-N 0 0 427.479 -0.052 20 0 IBADRN COC[C@@H]1CCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000476641874 1073038240 /nfs/dbraw/zinc/03/82/40/1073038240.db2.gz PALQQZPXYUJOCG-KGLIPLIRSA-N 0 0 427.479 -0.052 20 0 IBADRN COC[C@H]1CCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000476641876 1073038206 /nfs/dbraw/zinc/03/82/06/1073038206.db2.gz PALQQZPXYUJOCG-UONOGXRCSA-N 0 0 427.479 -0.052 20 0 IBADRN COC[C@H]1CCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000476641878 1073038233 /nfs/dbraw/zinc/03/82/33/1073038233.db2.gz PALQQZPXYUJOCG-ZIAGYGMSSA-N 0 0 427.479 -0.052 20 0 IBADRN CCOC(=O)[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)c(F)c1 ZINC000476641901 1073038333 /nfs/dbraw/zinc/03/83/33/1073038333.db2.gz VXCXMZNAJXGJBB-AWEZNQCLSA-N 0 0 429.426 -0.186 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)c(F)c1 ZINC000476641905 1073038252 /nfs/dbraw/zinc/03/82/52/1073038252.db2.gz VXCXMZNAJXGJBB-CQSZACIVSA-N 0 0 429.426 -0.186 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cc1 ZINC000476642214 1073038354 /nfs/dbraw/zinc/03/83/54/1073038354.db2.gz MTPQUHQXJKATGX-UHFFFAOYSA-N 0 0 440.522 -0.116 20 0 IBADRN NC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)C1 ZINC000476643791 1073038216 /nfs/dbraw/zinc/03/82/16/1073038216.db2.gz XMJSLXNHHKSPHA-INIZCTEOSA-N 0 0 442.476 -0.292 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)C1 ZINC000476643792 1073038157 /nfs/dbraw/zinc/03/81/57/1073038157.db2.gz XMJSLXNHHKSPHA-MRXNPFEDSA-N 0 0 442.476 -0.292 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)cc(OC)c1 ZINC000476644042 1073038296 /nfs/dbraw/zinc/03/82/96/1073038296.db2.gz MFXXGAXIMXZKQR-UHFFFAOYSA-N 0 0 430.465 -0.332 20 0 IBADRN COC[C@@H]1CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000476645087 1073038576 /nfs/dbraw/zinc/03/85/76/1073038576.db2.gz WRSIGFQQABTPJI-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COC[C@H]1CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000476645331 1073038803 /nfs/dbraw/zinc/03/88/03/1073038803.db2.gz WRSIGFQQABTPJI-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000476645417 1073038780 /nfs/dbraw/zinc/03/87/80/1073038780.db2.gz XIWUIUNMICJFQZ-CYBMUJFWSA-N 0 0 439.494 -0.776 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000476645421 1073038557 /nfs/dbraw/zinc/03/85/57/1073038557.db2.gz XIWUIUNMICJFQZ-ZDUSSCGKSA-N 0 0 439.494 -0.776 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(O)CCSC2)cc1 ZINC000476645593 1073038568 /nfs/dbraw/zinc/03/85/68/1073038568.db2.gz CHDTWYATFTZKQZ-PXAZEXFGSA-N 0 0 431.536 -0.078 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(O)CCSC2)cc1 ZINC000476645597 1073038730 /nfs/dbraw/zinc/03/87/30/1073038730.db2.gz CHDTWYATFTZKQZ-SJCJKPOMSA-N 0 0 431.536 -0.078 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(O)CCSC2)cc1 ZINC000476645601 1073038769 /nfs/dbraw/zinc/03/87/69/1073038769.db2.gz CHDTWYATFTZKQZ-SJKOYZFVSA-N 0 0 431.536 -0.078 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(O)CCSC2)cc1 ZINC000476645603 1073038788 /nfs/dbraw/zinc/03/87/88/1073038788.db2.gz CHDTWYATFTZKQZ-YVEFUNNKSA-N 0 0 431.536 -0.078 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1=O ZINC000476647200 1073039194 /nfs/dbraw/zinc/03/91/94/1073039194.db2.gz UDPCIGDKDLRBRJ-JLTOFOAXSA-N 0 0 446.508 -0.357 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1=O ZINC000476647201 1073039181 /nfs/dbraw/zinc/03/91/81/1073039181.db2.gz UDPCIGDKDLRBRJ-VBKZILBWSA-N 0 0 446.508 -0.357 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1=O ZINC000476647202 1073039050 /nfs/dbraw/zinc/03/90/50/1073039050.db2.gz UDPCIGDKDLRBRJ-VLIAUNLRSA-N 0 0 446.508 -0.357 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1=O ZINC000476647203 1073039064 /nfs/dbraw/zinc/03/90/64/1073039064.db2.gz UDPCIGDKDLRBRJ-XOBRGWDASA-N 0 0 446.508 -0.357 20 0 IBADRN O=C(NC[C@@]1(O)CCSC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000476647885 1073038586 /nfs/dbraw/zinc/03/85/86/1073038586.db2.gz KSAIFFIYXYEWNL-KRWDZBQOSA-N 0 0 429.520 -0.370 20 0 IBADRN O=C(NC[C@]1(O)CCSC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000476647888 1073038702 /nfs/dbraw/zinc/03/87/02/1073038702.db2.gz KSAIFFIYXYEWNL-QGZVFWFLSA-N 0 0 429.520 -0.370 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1noc(Cc2ccccc2)n1)S(C)(=O)=O ZINC000476648412 1073039071 /nfs/dbraw/zinc/03/90/71/1073039071.db2.gz MSKPQCLPTPNDQD-UHFFFAOYSA-N 0 0 444.535 -0.027 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000476650914 1073039800 /nfs/dbraw/zinc/03/98/00/1073039800.db2.gz CXHBUVRMVSLMFV-AWEZNQCLSA-N 0 0 434.540 -0.378 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000476650915 1073040020 /nfs/dbraw/zinc/04/00/20/1073040020.db2.gz CXHBUVRMVSLMFV-CQSZACIVSA-N 0 0 434.540 -0.378 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000476651106 1073040183 /nfs/dbraw/zinc/04/01/83/1073040183.db2.gz CRLABLZBTWRPIP-HNNXBMFYSA-N 0 0 448.567 -0.336 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000476651110 1073040340 /nfs/dbraw/zinc/04/03/40/1073040340.db2.gz CRLABLZBTWRPIP-OAHLLOKOSA-N 0 0 448.567 -0.336 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@]3(O)CCSC3)cc2)CC1 ZINC000476652360 1073040233 /nfs/dbraw/zinc/04/02/33/1073040233.db2.gz CUQNMLDVKRESKL-GOSISDBHSA-N 0 0 442.563 -0.455 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@]3(O)CCSC3)cc2)CC1 ZINC000476652367 1073040392 /nfs/dbraw/zinc/04/03/92/1073040392.db2.gz CUQNMLDVKRESKL-SFHVURJKSA-N 0 0 442.563 -0.455 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000476655066 1073040719 /nfs/dbraw/zinc/04/07/19/1073040719.db2.gz DROYSGLNAAZXCY-UHFFFAOYSA-N 0 0 445.567 -0.175 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@H](C(=O)OC)C1 ZINC000476661633 1073041258 /nfs/dbraw/zinc/04/12/58/1073041258.db2.gz NPMDFHVDZCVXOV-NQCMUKECSA-N 0 0 439.465 -0.445 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@H](C(=O)OC)C1 ZINC000476661635 1073041224 /nfs/dbraw/zinc/04/12/24/1073041224.db2.gz NPMDFHVDZCVXOV-QHRIQVFBSA-N 0 0 439.465 -0.445 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@@H](C(=O)OC)C1 ZINC000476661636 1073041272 /nfs/dbraw/zinc/04/12/72/1073041272.db2.gz NPMDFHVDZCVXOV-VLXJIEOASA-N 0 0 439.465 -0.445 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@@H](C(=O)OC)C1 ZINC000476661637 1073041244 /nfs/dbraw/zinc/04/12/44/1073041244.db2.gz NPMDFHVDZCVXOV-VPZZIHKRSA-N 0 0 439.465 -0.445 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@]1(O)CCSC1 ZINC000476661691 1073041263 /nfs/dbraw/zinc/04/12/63/1073041263.db2.gz OANJFHBCDQWLAW-GOSISDBHSA-N 0 0 443.547 -0.061 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@]1(O)CCSC1 ZINC000476661692 1073041198 /nfs/dbraw/zinc/04/11/98/1073041198.db2.gz OANJFHBCDQWLAW-SFHVURJKSA-N 0 0 443.547 -0.061 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000476662279 1073041539 /nfs/dbraw/zinc/04/15/39/1073041539.db2.gz FGOZZZJPZFYSPA-UHFFFAOYSA-N 0 0 429.568 -0.171 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000476663083 1073041581 /nfs/dbraw/zinc/04/15/81/1073041581.db2.gz SKYKKJDQHBIBTG-UHFFFAOYSA-N 0 0 440.522 -0.069 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000476663137 1073041763 /nfs/dbraw/zinc/04/17/63/1073041763.db2.gz SQFRNSXKGMLBRE-UHFFFAOYSA-N 0 0 440.522 -0.448 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000476663706 1073041475 /nfs/dbraw/zinc/04/14/75/1073041475.db2.gz OPKIJQJLFHGFPK-UHFFFAOYSA-N 0 0 442.523 -0.869 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)no1 ZINC000476665437 1073039478 /nfs/dbraw/zinc/03/94/78/1073039478.db2.gz OBAFRRJWSNZPNC-KPZWWZAWSA-N 0 0 437.453 -0.269 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)no1 ZINC000476665439 1073039599 /nfs/dbraw/zinc/03/95/99/1073039599.db2.gz OBAFRRJWSNZPNC-KZULUSFZSA-N 0 0 437.453 -0.269 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)no1 ZINC000476665440 1073039406 /nfs/dbraw/zinc/03/94/06/1073039406.db2.gz OBAFRRJWSNZPNC-SGTLLEGYSA-N 0 0 437.453 -0.269 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)no1 ZINC000476665441 1073039489 /nfs/dbraw/zinc/03/94/89/1073039489.db2.gz OBAFRRJWSNZPNC-XIKOKIGWSA-N 0 0 437.453 -0.269 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N(C)C)nc2)CC1 ZINC000476666713 1073043345 /nfs/dbraw/zinc/04/33/45/1073043345.db2.gz UWANYBASYTZUOP-UHFFFAOYSA-N 0 0 427.527 -0.015 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000476667207 1073043505 /nfs/dbraw/zinc/04/35/05/1073043505.db2.gz NRHAVKMXDHMDDL-LLVKDONJSA-N 0 0 426.499 -0.789 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000476667226 1073042130 /nfs/dbraw/zinc/04/21/30/1073042130.db2.gz NRHAVKMXDHMDDL-NSHDSACASA-N 0 0 426.499 -0.789 20 0 IBADRN CC(C)N(C)C(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000476668203 1073042045 /nfs/dbraw/zinc/04/20/45/1073042045.db2.gz KJMUVHLVLVKKBF-AWEZNQCLSA-N 0 0 432.568 -0.104 20 0 IBADRN CC(C)N(C)C(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000476668204 1073042109 /nfs/dbraw/zinc/04/21/09/1073042109.db2.gz KJMUVHLVLVKKBF-CQSZACIVSA-N 0 0 432.568 -0.104 20 0 IBADRN O=C(NC[C@@]1(O)CCSC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000476669238 1073042068 /nfs/dbraw/zinc/04/20/68/1073042068.db2.gz YACQXQJBYGIRSR-JXFKEZNVSA-N 0 0 435.502 -0.376 20 0 IBADRN O=C(NC[C@]1(O)CCSC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000476669241 1073042148 /nfs/dbraw/zinc/04/21/48/1073042148.db2.gz YACQXQJBYGIRSR-OXJNMPFZSA-N 0 0 435.502 -0.376 20 0 IBADRN O=C(NC[C@]1(O)CCSC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000476669244 1073042093 /nfs/dbraw/zinc/04/20/93/1073042093.db2.gz YACQXQJBYGIRSR-OXQOHEQNSA-N 0 0 435.502 -0.376 20 0 IBADRN O=C(NC[C@@]1(O)CCSC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000476669246 1073042170 /nfs/dbraw/zinc/04/21/70/1073042170.db2.gz YACQXQJBYGIRSR-UZLBHIALSA-N 0 0 435.502 -0.376 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2)nn1 ZINC000476670701 1073042179 /nfs/dbraw/zinc/04/21/79/1073042179.db2.gz GSVCAYHEEFZNDV-KPZWWZAWSA-N 0 0 434.453 -0.546 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2)nn1 ZINC000476670705 1073042080 /nfs/dbraw/zinc/04/20/80/1073042080.db2.gz GSVCAYHEEFZNDV-KZULUSFZSA-N 0 0 434.453 -0.546 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2)nn1 ZINC000476670708 1073042139 /nfs/dbraw/zinc/04/21/39/1073042139.db2.gz GSVCAYHEEFZNDV-SGTLLEGYSA-N 0 0 434.453 -0.546 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2)nn1 ZINC000476670711 1073042189 /nfs/dbraw/zinc/04/21/89/1073042189.db2.gz GSVCAYHEEFZNDV-XIKOKIGWSA-N 0 0 434.453 -0.546 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2)nn1 ZINC000476671498 1073042483 /nfs/dbraw/zinc/04/24/83/1073042483.db2.gz HLPWFRAFLNWIAL-BFUOFWGJSA-N 0 0 448.480 -0.156 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2)nn1 ZINC000476671503 1073042643 /nfs/dbraw/zinc/04/26/43/1073042643.db2.gz HLPWFRAFLNWIAL-DJJJIMSYSA-N 0 0 448.480 -0.156 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2)nn1 ZINC000476671505 1073042653 /nfs/dbraw/zinc/04/26/53/1073042653.db2.gz HLPWFRAFLNWIAL-ORAYPTAESA-N 0 0 448.480 -0.156 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2)nn1 ZINC000476671508 1073042635 /nfs/dbraw/zinc/04/26/35/1073042635.db2.gz HLPWFRAFLNWIAL-YJYMSZOUSA-N 0 0 448.480 -0.156 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476673949 1073042534 /nfs/dbraw/zinc/04/25/34/1073042534.db2.gz HRKWINGNHXUVHG-KBPBESRZSA-N 0 0 440.522 -0.452 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476673953 1073042397 /nfs/dbraw/zinc/04/23/97/1073042397.db2.gz HRKWINGNHXUVHG-KGLIPLIRSA-N 0 0 440.522 -0.452 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476673954 1073042592 /nfs/dbraw/zinc/04/25/92/1073042592.db2.gz HRKWINGNHXUVHG-UONOGXRCSA-N 0 0 440.522 -0.452 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476673956 1073042512 /nfs/dbraw/zinc/04/25/12/1073042512.db2.gz HRKWINGNHXUVHG-ZIAGYGMSSA-N 0 0 440.522 -0.452 20 0 IBADRN CC(C)N1CC[C@H](N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1=O ZINC000476675391 1073042868 /nfs/dbraw/zinc/04/28/68/1073042868.db2.gz UUHIAIBXJGMVFF-INIZCTEOSA-N 0 0 430.552 -0.350 20 0 IBADRN CC(C)N1CC[C@@H](N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1=O ZINC000476675392 1073042960 /nfs/dbraw/zinc/04/29/60/1073042960.db2.gz UUHIAIBXJGMVFF-MRXNPFEDSA-N 0 0 430.552 -0.350 20 0 IBADRN CO[C@H](C)c1noc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000476675632 1073042860 /nfs/dbraw/zinc/04/28/60/1073042860.db2.gz VMTBEFIXCLBTMY-GFCCVEGCSA-N 0 0 445.523 -0.069 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000476675640 1073043127 /nfs/dbraw/zinc/04/31/27/1073043127.db2.gz VMTBEFIXCLBTMY-LBPRGKRZSA-N 0 0 445.523 -0.069 20 0 IBADRN O=C(c1cnn(CCO)c1-c1ccccc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000476677782 1073043024 /nfs/dbraw/zinc/04/30/24/1073043024.db2.gz YUZNFZWTPOIFIZ-UHFFFAOYSA-N 0 0 431.478 -0.193 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)nn1 ZINC000476679115 1073042999 /nfs/dbraw/zinc/04/29/99/1073042999.db2.gz XBKZOVKSMYMHGL-IBGZPJMESA-N 0 0 448.480 -0.156 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)nn1 ZINC000476679116 1073043013 /nfs/dbraw/zinc/04/30/13/1073043013.db2.gz XBKZOVKSMYMHGL-LJQANCHMSA-N 0 0 448.480 -0.156 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2c(OC)ccc(S(N)(=O)=O)c2OC)CC1 ZINC000476679780 1073042845 /nfs/dbraw/zinc/04/28/45/1073042845.db2.gz AADKKXZDKLOANH-UHFFFAOYSA-N 0 0 442.538 -0.023 20 0 IBADRN CCNC(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000476681996 1073043602 /nfs/dbraw/zinc/04/36/02/1073043602.db2.gz RRQZJLFMRABXIM-GFCCVEGCSA-N 0 0 438.428 -0.130 20 0 IBADRN CCNC(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000476682001 1073043587 /nfs/dbraw/zinc/04/35/87/1073043587.db2.gz RRQZJLFMRABXIM-LBPRGKRZSA-N 0 0 438.428 -0.130 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000476684005 1073043991 /nfs/dbraw/zinc/04/39/91/1073043991.db2.gz WSIYMCMOVMRNPT-UHFFFAOYSA-N 0 0 443.503 -0.029 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC000476684466 1073044257 /nfs/dbraw/zinc/04/42/57/1073044257.db2.gz IHSVMRQMUBULEM-GFCCVEGCSA-N 0 0 446.551 -0.665 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC000476684469 1073044325 /nfs/dbraw/zinc/04/43/25/1073044325.db2.gz IHSVMRQMUBULEM-LBPRGKRZSA-N 0 0 446.551 -0.665 20 0 IBADRN COc1cc(N2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)ncn1 ZINC000476684606 1073044425 /nfs/dbraw/zinc/04/44/25/1073044425.db2.gz FEROLMSAXOONNC-IBGZPJMESA-N 0 0 432.481 -0.251 20 0 IBADRN COc1cc(N2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)ncn1 ZINC000476684611 1073044351 /nfs/dbraw/zinc/04/43/51/1073044351.db2.gz FEROLMSAXOONNC-LJQANCHMSA-N 0 0 432.481 -0.251 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C2=O)n(C)n1 ZINC000476691709 1073045341 /nfs/dbraw/zinc/04/53/41/1073045341.db2.gz GFHXNHMVXLXGBV-DOMZBBRYSA-N 0 0 448.505 -0.584 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C2=O)n(C)n1 ZINC000476691721 1073045331 /nfs/dbraw/zinc/04/53/31/1073045331.db2.gz GFHXNHMVXLXGBV-IUODEOHRSA-N 0 0 448.505 -0.584 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C2=O)n(C)n1 ZINC000476691725 1073045185 /nfs/dbraw/zinc/04/51/85/1073045185.db2.gz GFHXNHMVXLXGBV-SWLSCSKDSA-N 0 0 448.505 -0.584 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C2=O)n(C)n1 ZINC000476691729 1073045234 /nfs/dbraw/zinc/04/52/34/1073045234.db2.gz GFHXNHMVXLXGBV-WFASDCNBSA-N 0 0 448.505 -0.584 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000476691845 1073045316 /nfs/dbraw/zinc/04/53/16/1073045316.db2.gz PSUMEMMCKCINOX-DOMZBBRYSA-N 0 0 448.505 -0.502 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000476691847 1073045223 /nfs/dbraw/zinc/04/52/23/1073045223.db2.gz PSUMEMMCKCINOX-IUODEOHRSA-N 0 0 448.505 -0.502 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000476691848 1073045372 /nfs/dbraw/zinc/04/53/72/1073045372.db2.gz PSUMEMMCKCINOX-SWLSCSKDSA-N 0 0 448.505 -0.502 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000476691851 1073045325 /nfs/dbraw/zinc/04/53/25/1073045325.db2.gz PSUMEMMCKCINOX-WFASDCNBSA-N 0 0 448.505 -0.502 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476692025 1073044872 /nfs/dbraw/zinc/04/48/72/1073044872.db2.gz FLAOCHWLWSODAT-AWEZNQCLSA-N 0 0 440.522 -0.450 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476692028 1073045213 /nfs/dbraw/zinc/04/52/13/1073045213.db2.gz FLAOCHWLWSODAT-CQSZACIVSA-N 0 0 440.522 -0.450 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1 ZINC000476693173 1073043858 /nfs/dbraw/zinc/04/38/58/1073043858.db2.gz UDFJDUOTCJQSMH-DZGCQCFKSA-N 0 0 434.522 -0.029 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1 ZINC000476693175 1073044039 /nfs/dbraw/zinc/04/40/39/1073044039.db2.gz UDFJDUOTCJQSMH-HIFRSBDPSA-N 0 0 434.522 -0.029 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1 ZINC000476693178 1073043831 /nfs/dbraw/zinc/04/38/31/1073043831.db2.gz UDFJDUOTCJQSMH-UKRRQHHQSA-N 0 0 434.522 -0.029 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1 ZINC000476693182 1073043934 /nfs/dbraw/zinc/04/39/34/1073043934.db2.gz UDFJDUOTCJQSMH-ZFWWWQNUSA-N 0 0 434.522 -0.029 20 0 IBADRN COC(=O)CC1(NC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCOCC1 ZINC000476694962 1073043910 /nfs/dbraw/zinc/04/39/10/1073043910.db2.gz UESDROQPWROIHL-GFCCVEGCSA-N 0 0 427.479 -0.319 20 0 IBADRN COC(=O)CC1(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCOCC1 ZINC000476694963 1073044006 /nfs/dbraw/zinc/04/40/06/1073044006.db2.gz UESDROQPWROIHL-LBPRGKRZSA-N 0 0 427.479 -0.319 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000476695668 1073044031 /nfs/dbraw/zinc/04/40/31/1073044031.db2.gz CBKWJUBSXDMNJV-CORIIIEPSA-N 0 0 446.551 -0.714 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000476695675 1073044013 /nfs/dbraw/zinc/04/40/13/1073044013.db2.gz CBKWJUBSXDMNJV-LNSITVRQSA-N 0 0 446.551 -0.714 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000476695678 1073043969 /nfs/dbraw/zinc/04/39/69/1073043969.db2.gz CBKWJUBSXDMNJV-NJZAAPMLSA-N 0 0 446.551 -0.714 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000476695680 1073044021 /nfs/dbraw/zinc/04/40/21/1073044021.db2.gz CBKWJUBSXDMNJV-WHOFXGATSA-N 0 0 446.551 -0.714 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000476697616 1073043922 /nfs/dbraw/zinc/04/39/22/1073043922.db2.gz DTGZLZCWTXRZKL-HNNXBMFYSA-N 0 0 441.506 -0.055 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000476697617 1073043817 /nfs/dbraw/zinc/04/38/17/1073043817.db2.gz DTGZLZCWTXRZKL-OAHLLOKOSA-N 0 0 441.506 -0.055 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1C ZINC000476697942 1073043846 /nfs/dbraw/zinc/04/38/46/1073043846.db2.gz LYLOXGDNYMYGBA-UHFFFAOYSA-N 0 0 435.462 -0.263 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)CC2 ZINC000476700718 1073045665 /nfs/dbraw/zinc/04/56/65/1073045665.db2.gz RMNNCSKZFHONHX-AAEUAGOBSA-N 0 0 436.494 -0.679 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)CC2 ZINC000476700719 1073045678 /nfs/dbraw/zinc/04/56/78/1073045678.db2.gz RMNNCSKZFHONHX-DGCLKSJQSA-N 0 0 436.494 -0.679 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)CC2 ZINC000476700720 1073045758 /nfs/dbraw/zinc/04/57/58/1073045758.db2.gz RMNNCSKZFHONHX-WCQYABFASA-N 0 0 436.494 -0.679 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)CC2 ZINC000476700721 1073045610 /nfs/dbraw/zinc/04/56/10/1073045610.db2.gz RMNNCSKZFHONHX-YPMHNXCESA-N 0 0 436.494 -0.679 20 0 IBADRN COC[C@@H]1CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000476700981 1073045832 /nfs/dbraw/zinc/04/58/32/1073045832.db2.gz PLOCTVXIOABXLL-AWEZNQCLSA-N 0 0 440.522 -0.041 20 0 IBADRN COC[C@H]1CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000476700982 1073045827 /nfs/dbraw/zinc/04/58/27/1073045827.db2.gz PLOCTVXIOABXLL-CQSZACIVSA-N 0 0 440.522 -0.041 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000476701100 1073045689 /nfs/dbraw/zinc/04/56/89/1073045689.db2.gz JBNQNLHSRNKHQU-GFCCVEGCSA-N 0 0 434.478 -0.939 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000476701101 1073045784 /nfs/dbraw/zinc/04/57/84/1073045784.db2.gz JBNQNLHSRNKHQU-LBPRGKRZSA-N 0 0 434.478 -0.939 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000476701818 1073046173 /nfs/dbraw/zinc/04/61/73/1073046173.db2.gz UNLXQZCYWQXVHJ-AHIWAGSCSA-N 0 0 430.527 -0.421 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000476701823 1073046209 /nfs/dbraw/zinc/04/62/09/1073046209.db2.gz UNLXQZCYWQXVHJ-DCGLDWPTSA-N 0 0 430.527 -0.421 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000476701825 1073045861 /nfs/dbraw/zinc/04/58/61/1073045861.db2.gz UNLXQZCYWQXVHJ-IGCXYCKISA-N 0 0 430.527 -0.421 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000476701828 1073045770 /nfs/dbraw/zinc/04/57/70/1073045770.db2.gz UNLXQZCYWQXVHJ-XNJGSVPQSA-N 0 0 430.527 -0.421 20 0 IBADRN CC[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000476702411 1073046222 /nfs/dbraw/zinc/04/62/22/1073046222.db2.gz MCODTAQYVJSXGD-DOMZBBRYSA-N 0 0 426.495 -0.794 20 0 IBADRN CC[C@@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000476702414 1073046182 /nfs/dbraw/zinc/04/61/82/1073046182.db2.gz MCODTAQYVJSXGD-IUODEOHRSA-N 0 0 426.495 -0.794 20 0 IBADRN CC[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000476702416 1073046200 /nfs/dbraw/zinc/04/62/00/1073046200.db2.gz MCODTAQYVJSXGD-SWLSCSKDSA-N 0 0 426.495 -0.794 20 0 IBADRN CC[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000476702418 1073046251 /nfs/dbraw/zinc/04/62/51/1073046251.db2.gz MCODTAQYVJSXGD-WFASDCNBSA-N 0 0 426.495 -0.794 20 0 IBADRN CC(C)[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000476702670 1073046161 /nfs/dbraw/zinc/04/61/61/1073046161.db2.gz PAHBKIIIBRSLRK-BBRMVZONSA-N 0 0 440.522 -0.548 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000476702671 1073046227 /nfs/dbraw/zinc/04/62/27/1073046227.db2.gz PAHBKIIIBRSLRK-CJNGLKHVSA-N 0 0 440.522 -0.548 20 0 IBADRN CC(C)[C@@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000476702672 1073046256 /nfs/dbraw/zinc/04/62/56/1073046256.db2.gz PAHBKIIIBRSLRK-CZUORRHYSA-N 0 0 440.522 -0.548 20 0 IBADRN CC(C)[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000476702673 1073046234 /nfs/dbraw/zinc/04/62/34/1073046234.db2.gz PAHBKIIIBRSLRK-XJKSGUPXSA-N 0 0 440.522 -0.548 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000476705397 1073361043 /nfs/dbraw/zinc/36/10/43/1073361043.db2.gz VRHNOXRHZXWRNX-JTQLQIEISA-N 0 0 439.523 -0.765 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000476705398 1073358537 /nfs/dbraw/zinc/35/85/37/1073358537.db2.gz VRHNOXRHZXWRNX-SNVBAGLBSA-N 0 0 439.523 -0.765 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)NCC(=O)NC(C)(C)C ZINC000476706552 1073046996 /nfs/dbraw/zinc/04/69/96/1073046996.db2.gz XHMBEACRJZCSBX-UHFFFAOYSA-N 0 0 440.522 -0.101 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000476709397 1073047006 /nfs/dbraw/zinc/04/70/06/1073047006.db2.gz ONXZQABZNUZYGR-UHFFFAOYSA-N 0 0 446.551 -0.042 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C2CC2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000476709951 1073047150 /nfs/dbraw/zinc/04/71/50/1073047150.db2.gz HGWIBFVWYCOHGC-HNNXBMFYSA-N 0 0 443.547 -0.155 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C2CC2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000476709952 1073046939 /nfs/dbraw/zinc/04/69/39/1073046939.db2.gz HGWIBFVWYCOHGC-OAHLLOKOSA-N 0 0 443.547 -0.155 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000476711400 1073046985 /nfs/dbraw/zinc/04/69/85/1073046985.db2.gz XIITXKJYPBDLDK-UHFFFAOYSA-N 0 0 426.495 -0.612 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@H]1C(=O)NCC1CC1 ZINC000476715222 1073047357 /nfs/dbraw/zinc/04/73/57/1073047357.db2.gz KROXKAVHJVLGLQ-ABAIWWIYSA-N 0 0 440.547 -0.120 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@@H]1C(=O)NCC1CC1 ZINC000476715227 1073047417 /nfs/dbraw/zinc/04/74/17/1073047417.db2.gz KROXKAVHJVLGLQ-IAQYHMDHSA-N 0 0 440.547 -0.120 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@H]1C(=O)NCC1CC1 ZINC000476715229 1073047373 /nfs/dbraw/zinc/04/73/73/1073047373.db2.gz KROXKAVHJVLGLQ-NHYWBVRUSA-N 0 0 440.547 -0.120 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@@H]1C(=O)NCC1CC1 ZINC000476715231 1073047460 /nfs/dbraw/zinc/04/74/60/1073047460.db2.gz KROXKAVHJVLGLQ-XHDPSFHLSA-N 0 0 440.547 -0.120 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000476717459 1073047449 /nfs/dbraw/zinc/04/74/49/1073047449.db2.gz AYTYYFREOLCUKG-GFCCVEGCSA-N 0 0 434.540 -0.760 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000476717463 1073047613 /nfs/dbraw/zinc/04/76/13/1073047613.db2.gz AYTYYFREOLCUKG-LBPRGKRZSA-N 0 0 434.540 -0.760 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1Cc2ccccc2C[C@H]1C(N)=O ZINC000476718657 1073048004 /nfs/dbraw/zinc/04/80/04/1073048004.db2.gz PXXZTLJGHPUZBV-PXAZEXFGSA-N 0 0 430.486 -0.109 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1Cc2ccccc2C[C@H]1C(N)=O ZINC000476718658 1073047889 /nfs/dbraw/zinc/04/78/89/1073047889.db2.gz PXXZTLJGHPUZBV-SJCJKPOMSA-N 0 0 430.486 -0.109 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCC(=O)NCc1cccnc1 ZINC000476718692 1073048027 /nfs/dbraw/zinc/04/80/27/1073048027.db2.gz FCNIAWABCCOVLL-CYBMUJFWSA-N 0 0 433.490 -0.330 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCC(=O)NCc1cccnc1 ZINC000476718764 1073047623 /nfs/dbraw/zinc/04/76/23/1073047623.db2.gz FCNIAWABCCOVLL-ZDUSSCGKSA-N 0 0 433.490 -0.330 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CC1 ZINC000476718868 1073047382 /nfs/dbraw/zinc/04/73/82/1073047382.db2.gz FLCJHPNBJZJGQF-NEPJUHHUSA-N 0 0 431.536 -0.124 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N([C@@H](C)CS(C)(=O)=O)C1CC1 ZINC000476718870 1073047960 /nfs/dbraw/zinc/04/79/60/1073047960.db2.gz FLCJHPNBJZJGQF-NWDGAFQWSA-N 0 0 431.536 -0.124 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N([C@@H](C)CS(C)(=O)=O)C1CC1 ZINC000476718872 1073047954 /nfs/dbraw/zinc/04/79/54/1073047954.db2.gz FLCJHPNBJZJGQF-RYUDHWBXSA-N 0 0 431.536 -0.124 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N([C@H](C)CS(C)(=O)=O)C1CC1 ZINC000476718874 1073048014 /nfs/dbraw/zinc/04/80/14/1073048014.db2.gz FLCJHPNBJZJGQF-VXGBXAGGSA-N 0 0 431.536 -0.124 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)c1C ZINC000476718965 1073047650 /nfs/dbraw/zinc/04/76/50/1073047650.db2.gz QIYIIUYMLJYKLA-UHFFFAOYSA-N 0 0 435.462 -0.263 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000476721788 1073048048 /nfs/dbraw/zinc/04/80/48/1073048048.db2.gz CMZLSZTZOLAKDT-GFCCVEGCSA-N 0 0 446.551 -0.712 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000476721791 1073047914 /nfs/dbraw/zinc/04/79/14/1073047914.db2.gz CMZLSZTZOLAKDT-LBPRGKRZSA-N 0 0 446.551 -0.712 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000476722672 1073047900 /nfs/dbraw/zinc/04/79/00/1073047900.db2.gz KHIMKJJFGOQXGB-UHFFFAOYSA-N 0 0 429.520 -0.165 20 0 IBADRN Cc1noc(CN2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)n1 ZINC000476723021 1073047981 /nfs/dbraw/zinc/04/79/81/1073047981.db2.gz IFXDLCUOMVAISQ-GFCCVEGCSA-N 0 0 436.494 -0.512 20 0 IBADRN Cc1noc(CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)n1 ZINC000476723022 1073048008 /nfs/dbraw/zinc/04/80/08/1073048008.db2.gz IFXDLCUOMVAISQ-LBPRGKRZSA-N 0 0 436.494 -0.512 20 0 IBADRN Cc1nsc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)n1 ZINC000476723404 1073047971 /nfs/dbraw/zinc/04/79/71/1073047971.db2.gz WJSPXXCMUUJPBD-LLVKDONJSA-N 0 0 438.535 -0.039 20 0 IBADRN Cc1nsc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)n1 ZINC000476723406 1073048374 /nfs/dbraw/zinc/04/83/74/1073048374.db2.gz WJSPXXCMUUJPBD-NSHDSACASA-N 0 0 438.535 -0.039 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000476723710 1073047940 /nfs/dbraw/zinc/04/79/40/1073047940.db2.gz YZPIMXKQRUEHKI-UHFFFAOYSA-N 0 0 430.461 -0.366 20 0 IBADRN CCN(CCCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)S(C)(=O)=O ZINC000476723856 1073048021 /nfs/dbraw/zinc/04/80/21/1073048021.db2.gz LCEOZVMLKFQIHA-GFCCVEGCSA-N 0 0 434.540 -0.760 20 0 IBADRN CCN(CCCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)S(C)(=O)=O ZINC000476723858 1073047878 /nfs/dbraw/zinc/04/78/78/1073047878.db2.gz LCEOZVMLKFQIHA-LBPRGKRZSA-N 0 0 434.540 -0.760 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000476725558 1073048475 /nfs/dbraw/zinc/04/84/75/1073048475.db2.gz KWQQDHFAIWERMN-GXTWGEPZSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000476725559 1073048417 /nfs/dbraw/zinc/04/84/17/1073048417.db2.gz KWQQDHFAIWERMN-JSGCOSHPSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@H]1CNS(C)(=O)=O ZINC000476725560 1073048411 /nfs/dbraw/zinc/04/84/11/1073048411.db2.gz KWQQDHFAIWERMN-OCCSQVGLSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@@H]1CNS(C)(=O)=O ZINC000476725561 1073048480 /nfs/dbraw/zinc/04/84/80/1073048480.db2.gz KWQQDHFAIWERMN-TZMCWYRMSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000476726023 1073048322 /nfs/dbraw/zinc/04/83/22/1073048322.db2.gz ZOMFNIXYBWCGMN-BXUZGUMPSA-N 0 0 429.520 -0.370 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000476726036 1073048314 /nfs/dbraw/zinc/04/83/14/1073048314.db2.gz ZOMFNIXYBWCGMN-FZMZJTMJSA-N 0 0 429.520 -0.370 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000476726041 1073048400 /nfs/dbraw/zinc/04/84/00/1073048400.db2.gz ZOMFNIXYBWCGMN-RISCZKNCSA-N 0 0 429.520 -0.370 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000476726043 1073048271 /nfs/dbraw/zinc/04/82/71/1073048271.db2.gz ZOMFNIXYBWCGMN-SMDDNHRTSA-N 0 0 429.520 -0.370 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000476726235 1073048488 /nfs/dbraw/zinc/04/84/88/1073048488.db2.gz ACDSECCIPVNIGC-CYBMUJFWSA-N 0 0 439.538 -0.879 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000476726236 1073048385 /nfs/dbraw/zinc/04/83/85/1073048385.db2.gz ACDSECCIPVNIGC-ZDUSSCGKSA-N 0 0 439.538 -0.879 20 0 IBADRN COCCOc1ccccc1CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000476727061 1073048300 /nfs/dbraw/zinc/04/83/00/1073048300.db2.gz GLEQAXUNBTVOGT-UHFFFAOYSA-N 0 0 429.477 -0.230 20 0 IBADRN COCCN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000476730263 1073049288 /nfs/dbraw/zinc/04/92/88/1073049288.db2.gz SKYDIUXGBQXEAX-GXTWGEPZSA-N 0 0 447.535 -0.886 20 0 IBADRN COCCN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000476730267 1073049264 /nfs/dbraw/zinc/04/92/64/1073049264.db2.gz SKYDIUXGBQXEAX-JSGCOSHPSA-N 0 0 447.535 -0.886 20 0 IBADRN COCCN(C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000476730270 1073049145 /nfs/dbraw/zinc/04/91/45/1073049145.db2.gz SKYDIUXGBQXEAX-OCCSQVGLSA-N 0 0 447.535 -0.886 20 0 IBADRN COCCN(C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000476730272 1073049336 /nfs/dbraw/zinc/04/93/36/1073049336.db2.gz SKYDIUXGBQXEAX-TZMCWYRMSA-N 0 0 447.535 -0.886 20 0 IBADRN CN(c1ccc(OCC(=O)NC(C(N)=O)C(N)=O)cc1)S(=O)(=O)c1cccs1 ZINC000476735116 1073048938 /nfs/dbraw/zinc/04/89/38/1073048938.db2.gz GGRVAFROVMTWIS-UHFFFAOYSA-N 0 0 426.476 -0.593 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476735162 1073048876 /nfs/dbraw/zinc/04/88/76/1073048876.db2.gz XWOUVFHBNTZDOG-AWEZNQCLSA-N 0 0 439.538 -0.877 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476735163 1073048775 /nfs/dbraw/zinc/04/87/75/1073048775.db2.gz XWOUVFHBNTZDOG-CQSZACIVSA-N 0 0 439.538 -0.877 20 0 IBADRN COCCOc1ccccc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000476735531 1073048984 /nfs/dbraw/zinc/04/89/84/1073048984.db2.gz VDESOXCFVGHLTB-UHFFFAOYSA-N 0 0 445.563 -0.177 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000476736837 1073048864 /nfs/dbraw/zinc/04/88/64/1073048864.db2.gz NPPGBLYEMSMJDP-UHFFFAOYSA-N 0 0 440.522 -0.269 20 0 IBADRN COCCOc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000476739675 1073048975 /nfs/dbraw/zinc/04/89/75/1073048975.db2.gz OVUXPKGOSHCFIF-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000476741395 1073049225 /nfs/dbraw/zinc/04/92/25/1073049225.db2.gz FAHJNRANHKFZHH-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000476741986 1073049189 /nfs/dbraw/zinc/04/91/89/1073049189.db2.gz SJJFMNXOCOFAMD-GDBMZVCRSA-N 0 0 445.563 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1C ZINC000476741993 1073049296 /nfs/dbraw/zinc/04/92/96/1073049296.db2.gz SJJFMNXOCOFAMD-GOEBONIOSA-N 0 0 445.563 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1C ZINC000476741994 1073049202 /nfs/dbraw/zinc/04/92/02/1073049202.db2.gz SJJFMNXOCOFAMD-HOCLYGCPSA-N 0 0 445.563 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000476741997 1073049215 /nfs/dbraw/zinc/04/92/15/1073049215.db2.gz SJJFMNXOCOFAMD-ZBFHGGJFSA-N 0 0 445.563 -0.139 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000476742253 1073050729 /nfs/dbraw/zinc/05/07/29/1073050729.db2.gz GQALUGGDBHPGRZ-UHFFFAOYSA-N 0 0 444.444 -0.840 20 0 IBADRN COCCOc1ccccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000476744086 1073051055 /nfs/dbraw/zinc/05/10/55/1073051055.db2.gz VVJDRFYBWDFLQN-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000476745528 1073049693 /nfs/dbraw/zinc/04/96/93/1073049693.db2.gz LXCMSRMJUZPELC-ABAIWWIYSA-N 0 0 434.478 -0.893 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000476745536 1073049808 /nfs/dbraw/zinc/04/98/08/1073049808.db2.gz LXCMSRMJUZPELC-IAQYHMDHSA-N 0 0 434.478 -0.893 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000476745538 1073049852 /nfs/dbraw/zinc/04/98/52/1073049852.db2.gz LXCMSRMJUZPELC-NHYWBVRUSA-N 0 0 434.478 -0.893 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000476745540 1073049822 /nfs/dbraw/zinc/04/98/22/1073049822.db2.gz LXCMSRMJUZPELC-XHDPSFHLSA-N 0 0 434.478 -0.893 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000476747020 1073049704 /nfs/dbraw/zinc/04/97/04/1073049704.db2.gz PFNCTKXKDQQOEG-AWEZNQCLSA-N 0 0 445.501 -0.168 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000476747024 1073049836 /nfs/dbraw/zinc/04/98/36/1073049836.db2.gz PFNCTKXKDQQOEG-CQSZACIVSA-N 0 0 445.501 -0.168 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC(C)(C)N1CCS(=O)CC1 ZINC000476747374 1073049596 /nfs/dbraw/zinc/04/95/96/1073049596.db2.gz PMQOZFCDFHETOL-CYBMUJFWSA-N 0 0 444.579 -0.589 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC(C)(C)N1CCS(=O)CC1 ZINC000476747377 1073049677 /nfs/dbraw/zinc/04/96/77/1073049677.db2.gz PMQOZFCDFHETOL-ZDUSSCGKSA-N 0 0 444.579 -0.589 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@H]1C(=O)N1CCCC1 ZINC000476748511 1073049797 /nfs/dbraw/zinc/04/97/97/1073049797.db2.gz SLIRQBQPGZHKLD-DOMZBBRYSA-N 0 0 440.547 -0.024 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC000476748512 1073049632 /nfs/dbraw/zinc/04/96/32/1073049632.db2.gz SLIRQBQPGZHKLD-IUODEOHRSA-N 0 0 440.547 -0.024 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC000476748514 1073050032 /nfs/dbraw/zinc/05/00/32/1073050032.db2.gz SLIRQBQPGZHKLD-SWLSCSKDSA-N 0 0 440.547 -0.024 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@H]1C(=O)N1CCCC1 ZINC000476748516 1073050187 /nfs/dbraw/zinc/05/01/87/1073050187.db2.gz SLIRQBQPGZHKLD-WFASDCNBSA-N 0 0 440.547 -0.024 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCN1C(=O)c2ccccc2C1=O ZINC000476750308 1073050293 /nfs/dbraw/zinc/05/02/93/1073050293.db2.gz UFUXZHFMFXPBIT-GFCCVEGCSA-N 0 0 444.469 -0.135 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCN1C(=O)c2ccccc2C1=O ZINC000476750320 1073050247 /nfs/dbraw/zinc/05/02/47/1073050247.db2.gz UFUXZHFMFXPBIT-LBPRGKRZSA-N 0 0 444.469 -0.135 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476751524 1073050201 /nfs/dbraw/zinc/05/02/01/1073050201.db2.gz YAFOMYVAMTZMRY-GFCCVEGCSA-N 0 0 446.551 -0.617 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000476751526 1073050091 /nfs/dbraw/zinc/05/00/91/1073050091.db2.gz YAFOMYVAMTZMRY-LBPRGKRZSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000476751676 1073050040 /nfs/dbraw/zinc/05/00/40/1073050040.db2.gz YLKXVEGRVJHZEN-CHWSQXEVSA-N 0 0 446.551 -0.760 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000476751677 1073050173 /nfs/dbraw/zinc/05/01/73/1073050173.db2.gz YLKXVEGRVJHZEN-OLZOCXBDSA-N 0 0 446.551 -0.760 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000476751679 1073050259 /nfs/dbraw/zinc/05/02/59/1073050259.db2.gz YLKXVEGRVJHZEN-QWHCGFSZSA-N 0 0 446.551 -0.760 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000476751682 1073050116 /nfs/dbraw/zinc/05/01/16/1073050116.db2.gz YLKXVEGRVJHZEN-STQMWFEESA-N 0 0 446.551 -0.760 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C(=O)C1=O ZINC000476752085 1073050230 /nfs/dbraw/zinc/05/02/30/1073050230.db2.gz CIAGTQGXUAGONZ-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)CC1 ZINC000476759664 1073051717 /nfs/dbraw/zinc/05/17/17/1073051717.db2.gz HRRRMGJENNFSAY-UHFFFAOYSA-N 0 0 446.551 -0.002 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC(=O)N(C)CCc1ccccn1 ZINC000476759799 1073051705 /nfs/dbraw/zinc/05/17/05/1073051705.db2.gz JRWMMCMGNDNLRH-AWEZNQCLSA-N 0 0 447.517 -0.335 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC(=O)N(C)CCc1ccccn1 ZINC000476759817 1073051763 /nfs/dbraw/zinc/05/17/63/1073051763.db2.gz JRWMMCMGNDNLRH-CQSZACIVSA-N 0 0 447.517 -0.335 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@H]1C(=O)N(C)C1CC1 ZINC000476762121 1073051737 /nfs/dbraw/zinc/05/17/37/1073051737.db2.gz MSADRHQVVWTJBV-ABAIWWIYSA-N 0 0 440.547 -0.025 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@@H]1C(=O)N(C)C1CC1 ZINC000476762122 1073051775 /nfs/dbraw/zinc/05/17/75/1073051775.db2.gz MSADRHQVVWTJBV-IAQYHMDHSA-N 0 0 440.547 -0.025 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@H]1C(=O)N(C)C1CC1 ZINC000476762123 1073051789 /nfs/dbraw/zinc/05/17/89/1073051789.db2.gz MSADRHQVVWTJBV-NHYWBVRUSA-N 0 0 440.547 -0.025 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CSC[C@@H]1C(=O)N(C)C1CC1 ZINC000476762124 1073052216 /nfs/dbraw/zinc/05/22/16/1073052216.db2.gz MSADRHQVVWTJBV-XHDPSFHLSA-N 0 0 440.547 -0.025 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N(CCN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000476763398 1073051381 /nfs/dbraw/zinc/05/13/81/1073051381.db2.gz FDFLKDCPZZHJTN-GXTWGEPZSA-N 0 0 436.556 -0.464 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N(CCN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000476763411 1073051225 /nfs/dbraw/zinc/05/12/25/1073051225.db2.gz FDFLKDCPZZHJTN-JSGCOSHPSA-N 0 0 436.556 -0.464 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000476763794 1073051075 /nfs/dbraw/zinc/05/10/75/1073051075.db2.gz NYYZBKKLENDUAU-JTQLQIEISA-N 0 0 426.476 -0.111 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000476763801 1073051354 /nfs/dbraw/zinc/05/13/54/1073051354.db2.gz NYYZBKKLENDUAU-SNVBAGLBSA-N 0 0 426.476 -0.111 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC(C)(C)c1noc(CN(C)C)n1 ZINC000476765200 1073052690 /nfs/dbraw/zinc/05/26/90/1073052690.db2.gz QSFOZZUYIXBQHW-LLVKDONJSA-N 0 0 438.510 -0.052 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC(C)(C)c1noc(CN(C)C)n1 ZINC000476765201 1073052669 /nfs/dbraw/zinc/05/26/69/1073052669.db2.gz QSFOZZUYIXBQHW-NSHDSACASA-N 0 0 438.510 -0.052 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccn(Cc3ccccc3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000476766021 1073052620 /nfs/dbraw/zinc/05/26/20/1073052620.db2.gz RDWVHAUOAGNGOJ-GOSISDBHSA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccn(Cc3ccccc3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000476766027 1073052530 /nfs/dbraw/zinc/05/25/30/1073052530.db2.gz RDWVHAUOAGNGOJ-SFHVURJKSA-N 0 0 445.545 -0.008 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000476767045 1073052578 /nfs/dbraw/zinc/05/25/78/1073052578.db2.gz TZQCEXSYLDTFLU-AWEZNQCLSA-N 0 0 441.481 -0.327 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000476767047 1073052463 /nfs/dbraw/zinc/05/24/63/1073052463.db2.gz TZQCEXSYLDTFLU-CQSZACIVSA-N 0 0 441.481 -0.327 20 0 IBADRN COC[C@@H]1CCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000476767305 1073052698 /nfs/dbraw/zinc/05/26/98/1073052698.db2.gz XCNKUJPNFQABGE-AWEZNQCLSA-N 0 0 427.479 -0.098 20 0 IBADRN COC[C@H]1CCN1C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000476767376 1073052662 /nfs/dbraw/zinc/05/26/62/1073052662.db2.gz XCNKUJPNFQABGE-CQSZACIVSA-N 0 0 427.479 -0.098 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC(=O)NCCc1ccccc1 ZINC000476767562 1073052550 /nfs/dbraw/zinc/05/25/50/1073052550.db2.gz QZGSWOQJVYXSNE-AWEZNQCLSA-N 0 0 432.502 -0.073 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC(=O)NCCc1ccccc1 ZINC000476767581 1073052565 /nfs/dbraw/zinc/05/25/65/1073052565.db2.gz QZGSWOQJVYXSNE-CQSZACIVSA-N 0 0 432.502 -0.073 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NCc1cccc(S(N)(=O)=O)c1 ZINC000476767675 1073052715 /nfs/dbraw/zinc/05/27/15/1073052715.db2.gz QNXKCMWUXLMBLF-UHFFFAOYSA-N 0 0 429.476 -0.071 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(OC(F)F)cn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000476768757 1073052649 /nfs/dbraw/zinc/05/26/49/1073052649.db2.gz XHQWYBMOVJXLQR-GFCCVEGCSA-N 0 0 432.449 -0.256 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(OC(F)F)cn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000476769454 1073053047 /nfs/dbraw/zinc/05/30/47/1073053047.db2.gz XHQWYBMOVJXLQR-LBPRGKRZSA-N 0 0 432.449 -0.256 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000476770025 1073052952 /nfs/dbraw/zinc/05/29/52/1073052952.db2.gz XTGSAYFBNNMMGP-UHFFFAOYSA-N 0 0 438.506 -0.234 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)Cc2ccccc2Cl)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000476770867 1073053060 /nfs/dbraw/zinc/05/30/60/1073053060.db2.gz ZKLIZWXKTCHFRP-DOTOQJQBSA-N 0 0 443.953 -0.309 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)Cc2ccccc2Cl)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000476770868 1073053124 /nfs/dbraw/zinc/05/31/24/1073053124.db2.gz ZKLIZWXKTCHFRP-NVXWUHKLSA-N 0 0 443.953 -0.309 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](O)Cc2ccccc2Cl)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000476770869 1073053025 /nfs/dbraw/zinc/05/30/25/1073053025.db2.gz ZKLIZWXKTCHFRP-RDJZCZTQSA-N 0 0 443.953 -0.309 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](O)Cc2ccccc2Cl)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000476770870 1073052964 /nfs/dbraw/zinc/05/29/64/1073052964.db2.gz ZKLIZWXKTCHFRP-WBVHZDCISA-N 0 0 443.953 -0.309 20 0 IBADRN COCCOc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000476773889 1073053590 /nfs/dbraw/zinc/05/35/90/1073053590.db2.gz NDVLEEDOSHSMRD-UHFFFAOYSA-N 0 0 432.477 -0.723 20 0 IBADRN CCN(C)C(=O)[C@H]1CSCN1C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000476776807 1073053163 /nfs/dbraw/zinc/05/31/63/1073053163.db2.gz YYTFWBFYENZQSR-BXUZGUMPSA-N 0 0 428.536 -0.168 20 0 IBADRN CCN(C)C(=O)[C@@H]1CSCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000476776809 1073053105 /nfs/dbraw/zinc/05/31/05/1073053105.db2.gz YYTFWBFYENZQSR-FZMZJTMJSA-N 0 0 428.536 -0.168 20 0 IBADRN CCN(C)C(=O)[C@@H]1CSCN1C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000476776811 1073053186 /nfs/dbraw/zinc/05/31/86/1073053186.db2.gz YYTFWBFYENZQSR-RISCZKNCSA-N 0 0 428.536 -0.168 20 0 IBADRN CCN(C)C(=O)[C@H]1CSCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000476776813 1073053071 /nfs/dbraw/zinc/05/30/71/1073053071.db2.gz YYTFWBFYENZQSR-SMDDNHRTSA-N 0 0 428.536 -0.168 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000476780852 1073053942 /nfs/dbraw/zinc/05/39/42/1073053942.db2.gz QTCORAOJMYOYGO-BXKDBHETSA-N 0 0 438.428 -0.002 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000476780853 1073053930 /nfs/dbraw/zinc/05/39/30/1073053930.db2.gz QTCORAOJMYOYGO-CABZTGNLSA-N 0 0 438.428 -0.002 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000476780854 1073054105 /nfs/dbraw/zinc/05/41/05/1073054105.db2.gz QTCORAOJMYOYGO-JOYOIKCWSA-N 0 0 438.428 -0.002 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000476780855 1073054145 /nfs/dbraw/zinc/05/41/45/1073054145.db2.gz QTCORAOJMYOYGO-SKDRFNHKSA-N 0 0 438.428 -0.002 20 0 IBADRN COC[C@H]1CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000476782215 1073053911 /nfs/dbraw/zinc/05/39/11/1073053911.db2.gz YHKBVVRFYWDMML-CYBMUJFWSA-N 0 0 427.479 -0.098 20 0 IBADRN COC[C@@H]1CCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000476782216 1073054099 /nfs/dbraw/zinc/05/40/99/1073054099.db2.gz YHKBVVRFYWDMML-ZDUSSCGKSA-N 0 0 427.479 -0.098 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000476789839 1073054120 /nfs/dbraw/zinc/05/41/20/1073054120.db2.gz MOYPVGDHMKIGRN-UHFFFAOYSA-N 0 0 449.489 -0.193 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)o1 ZINC000476793376 1073054444 /nfs/dbraw/zinc/05/44/44/1073054444.db2.gz LVGZBKNRZCYUDN-KRWDZBQOSA-N 0 0 442.428 -0.352 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)o1 ZINC000476793381 1073054561 /nfs/dbraw/zinc/05/45/61/1073054561.db2.gz LVGZBKNRZCYUDN-QGZVFWFLSA-N 0 0 442.428 -0.352 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(S(=O)(=O)NC3CC3)cc1)C2 ZINC000476795263 1073055402 /nfs/dbraw/zinc/05/54/02/1073055402.db2.gz ATCPRHCWVNVUCE-UHFFFAOYSA-N 0 0 448.505 -0.111 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC000476795707 1073055865 /nfs/dbraw/zinc/05/58/65/1073055865.db2.gz VGBSWALRUWVETP-UHFFFAOYSA-N 0 0 445.567 -0.379 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2ccccc2C(F)(F)F)CC1 ZINC000476796598 1073055881 /nfs/dbraw/zinc/05/58/81/1073055881.db2.gz SLSJBRAKAQEQDT-UHFFFAOYSA-N 0 0 439.398 -0.278 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000476797207 1073055776 /nfs/dbraw/zinc/05/57/76/1073055776.db2.gz STEBWOHTSFHBHA-UHFFFAOYSA-N 0 0 437.518 -0.448 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)cc1 ZINC000476798252 1073056256 /nfs/dbraw/zinc/05/62/56/1073056256.db2.gz AWNSBUSMFKUUON-UHFFFAOYSA-N 0 0 446.504 -0.220 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(OC)c(-n3nnnc3C)c1)C2 ZINC000476800182 1073056238 /nfs/dbraw/zinc/05/62/38/1073056238.db2.gz FWPBPDFMTSAFLB-UHFFFAOYSA-N 0 0 441.452 -0.259 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)cc1 ZINC000476800234 1073056694 /nfs/dbraw/zinc/05/66/94/1073056694.db2.gz FZNQCPYMXIKHFL-UHFFFAOYSA-N 0 0 430.465 -0.037 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cccc(N3CCCS3(=O)=O)c1)C2 ZINC000476802051 1073054867 /nfs/dbraw/zinc/05/48/67/1073054867.db2.gz HJBDRKZVISMZOR-UHFFFAOYSA-N 0 0 448.505 -0.012 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)c2ccccc2O1 ZINC000476803413 1073055087 /nfs/dbraw/zinc/05/50/87/1073055087.db2.gz JSDPQGVOLXENIV-PBHICJAKSA-N 0 0 430.461 -0.577 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)c2ccccc2O1 ZINC000476803414 1073054822 /nfs/dbraw/zinc/05/48/22/1073054822.db2.gz JSDPQGVOLXENIV-RHSMWYFYSA-N 0 0 430.461 -0.577 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)c2ccccc2O1 ZINC000476803415 1073054970 /nfs/dbraw/zinc/05/49/70/1073054970.db2.gz JSDPQGVOLXENIV-WMLDXEAASA-N 0 0 430.461 -0.577 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)c2ccccc2O1 ZINC000476803416 1073054890 /nfs/dbraw/zinc/05/48/90/1073054890.db2.gz JSDPQGVOLXENIV-YOEHRIQHSA-N 0 0 430.461 -0.577 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000476803799 1073054941 /nfs/dbraw/zinc/05/49/41/1073054941.db2.gz ZDUZQWDUNWNUCZ-GOSISDBHSA-N 0 0 435.481 -0.417 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000476803800 1073054834 /nfs/dbraw/zinc/05/48/34/1073054834.db2.gz ZDUZQWDUNWNUCZ-SFHVURJKSA-N 0 0 435.481 -0.417 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)cc1 ZINC000476804963 1073055333 /nfs/dbraw/zinc/05/53/33/1073055333.db2.gz NELKQROYHPEOPR-UHFFFAOYSA-N 0 0 432.477 -0.149 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@]1(O)CCSC1 ZINC000476805114 1073055419 /nfs/dbraw/zinc/05/54/19/1073055419.db2.gz ILNMJMLQUARRND-KRWDZBQOSA-N 0 0 430.552 -0.074 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@]1(O)CCSC1 ZINC000476805117 1073055321 /nfs/dbraw/zinc/05/53/21/1073055321.db2.gz ILNMJMLQUARRND-QGZVFWFLSA-N 0 0 430.552 -0.074 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(C3CCOCC3)CC1)CC2 ZINC000476805163 1073055468 /nfs/dbraw/zinc/05/54/68/1073055468.db2.gz IROCURHQASKHNB-UHFFFAOYSA-N 0 0 436.534 -0.193 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(F)c(-n3nnnc3C)c1)C2 ZINC000476806630 1073055440 /nfs/dbraw/zinc/05/54/40/1073055440.db2.gz NFPRZAZQVVOGGH-UHFFFAOYSA-N 0 0 429.416 -0.129 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCc3c(nnn3CCOC)C2)c2ccccc2O1 ZINC000476808098 1073058981 /nfs/dbraw/zinc/05/89/81/1073058981.db2.gz PZSHVABCXQCZGW-KRWDZBQOSA-N 0 0 428.449 -0.651 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCc3c(nnn3CCOC)C2)c2ccccc2O1 ZINC000476808112 1073058973 /nfs/dbraw/zinc/05/89/73/1073058973.db2.gz PZSHVABCXQCZGW-QGZVFWFLSA-N 0 0 428.449 -0.651 20 0 IBADRN O=C(NC[C@@]1(O)CCSC1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000476809352 1073056780 /nfs/dbraw/zinc/05/67/80/1073056780.db2.gz VFGBDZNZLBSDBH-KRWDZBQOSA-N 0 0 447.510 -0.231 20 0 IBADRN O=C(NC[C@]1(O)CCSC1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000476809361 1073056714 /nfs/dbraw/zinc/05/67/14/1073056714.db2.gz VFGBDZNZLBSDBH-QGZVFWFLSA-N 0 0 447.510 -0.231 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)CC1 ZINC000476809956 1073056867 /nfs/dbraw/zinc/05/68/67/1073056867.db2.gz TVDHQCFGZASMGN-AEFFLSMTSA-N 0 0 445.455 -0.481 20 0 IBADRN O=C(NC[C@@]1(O)CCSC1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000476809987 1073056812 /nfs/dbraw/zinc/05/68/12/1073056812.db2.gz XJDAGBPYLVHPGH-FQEVSTJZSA-N 0 0 436.534 -0.329 20 0 IBADRN O=C(NC[C@]1(O)CCSC1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000476809988 1073056801 /nfs/dbraw/zinc/05/68/01/1073056801.db2.gz XJDAGBPYLVHPGH-HXUWFJFHSA-N 0 0 436.534 -0.329 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)CC1 ZINC000476809991 1073056847 /nfs/dbraw/zinc/05/68/47/1073056847.db2.gz TVDHQCFGZASMGN-FUHWJXTLSA-N 0 0 445.455 -0.481 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2ccc(F)cc2)[C@H]2CCCO2)CC1 ZINC000476809992 1073056740 /nfs/dbraw/zinc/05/67/40/1073056740.db2.gz TVDHQCFGZASMGN-SJLPKXTDSA-N 0 0 445.455 -0.481 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)CC1 ZINC000476809993 1073056769 /nfs/dbraw/zinc/05/67/69/1073056769.db2.gz TVDHQCFGZASMGN-WMZOPIPTSA-N 0 0 445.455 -0.481 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)c1 ZINC000476811496 1073057325 /nfs/dbraw/zinc/05/73/25/1073057325.db2.gz XTFUIXPRGSUGCZ-UHFFFAOYSA-N 0 0 430.465 -0.037 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCC1=O ZINC000476813672 1073057212 /nfs/dbraw/zinc/05/72/12/1073057212.db2.gz GHFJXZPSAXJWDU-GXTWGEPZSA-N 0 0 439.490 -0.192 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCC1=O ZINC000476813674 1073057070 /nfs/dbraw/zinc/05/70/70/1073057070.db2.gz GHFJXZPSAXJWDU-JSGCOSHPSA-N 0 0 439.490 -0.192 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCC1=O ZINC000476813675 1073057096 /nfs/dbraw/zinc/05/70/96/1073057096.db2.gz GHFJXZPSAXJWDU-OCCSQVGLSA-N 0 0 439.490 -0.192 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCC1=O ZINC000476813677 1073057352 /nfs/dbraw/zinc/05/73/52/1073057352.db2.gz GHFJXZPSAXJWDU-TZMCWYRMSA-N 0 0 439.490 -0.192 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCNC(=O)[C@@H]3Cc3nc4ccccc4o3)nc2n(C)c1=O ZINC000476814559 1073057186 /nfs/dbraw/zinc/05/71/86/1073057186.db2.gz FCFODQHEQSXKRN-HNNXBMFYSA-N 0 0 448.439 -0.043 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCNC(=O)[C@H]3Cc3nc4ccccc4o3)nc2n(C)c1=O ZINC000476814561 1073057359 /nfs/dbraw/zinc/05/73/59/1073057359.db2.gz FCFODQHEQSXKRN-OAHLLOKOSA-N 0 0 448.439 -0.043 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3ccc(C(N)=O)o3)c2)CC1 ZINC000476815280 1073057729 /nfs/dbraw/zinc/05/77/29/1073057729.db2.gz JXJXSZYPKJMOCT-UHFFFAOYSA-N 0 0 449.489 -0.431 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCc2nc(N(C)C)no2)cc1C ZINC000476819161 1073058601 /nfs/dbraw/zinc/05/86/01/1073058601.db2.gz JYVXCIZWEXXYQG-AWEZNQCLSA-N 0 0 438.510 -0.019 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCc2nc(N(C)C)no2)cc1C ZINC000476819166 1073058205 /nfs/dbraw/zinc/05/82/05/1073058205.db2.gz JYVXCIZWEXXYQG-CQSZACIVSA-N 0 0 438.510 -0.019 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@]3(O)CCSC3)c2)CC1 ZINC000476820347 1073059138 /nfs/dbraw/zinc/05/91/38/1073059138.db2.gz PRTHRQFNEZJYTP-GOSISDBHSA-N 0 0 442.563 -0.455 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@]3(O)CCSC3)c2)CC1 ZINC000476820348 1073059070 /nfs/dbraw/zinc/05/90/70/1073059070.db2.gz PRTHRQFNEZJYTP-SFHVURJKSA-N 0 0 442.563 -0.455 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)ccc1F ZINC000476826508 1073059479 /nfs/dbraw/zinc/05/94/79/1073059479.db2.gz GHTPLKFJPSAOBD-UHFFFAOYSA-N 0 0 440.457 -0.505 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCNC(=O)[C@@H]3Cc3nc4ccccc4o3)cnc2n(C)c1=O ZINC000476826606 1073059462 /nfs/dbraw/zinc/05/94/62/1073059462.db2.gz VOSAEBJHRMPZKH-HNNXBMFYSA-N 0 0 448.439 -0.043 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCNC(=O)[C@H]3Cc3nc4ccccc4o3)cnc2n(C)c1=O ZINC000476826615 1073059576 /nfs/dbraw/zinc/05/95/76/1073059576.db2.gz VOSAEBJHRMPZKH-OAHLLOKOSA-N 0 0 448.439 -0.043 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3ccc(C(N)=O)o3)cc2)CC1 ZINC000476830777 1073060048 /nfs/dbraw/zinc/06/00/48/1073060048.db2.gz OLHFKNFETCQJQV-UHFFFAOYSA-N 0 0 449.489 -0.431 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NCc2nc(N(C)C)no2)[C@H]1C(=O)NCc1nc(N(C)C)no1 ZINC000476831175 1073059529 /nfs/dbraw/zinc/05/95/29/1073059529.db2.gz ZKRNCVKSIVBRIF-GMXABZIVSA-N 0 0 448.484 -0.251 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NCc2nc(N(C)C)no2)[C@H]1C(=O)NCc1nc(N(C)C)no1 ZINC000476831178 1073059642 /nfs/dbraw/zinc/05/96/42/1073059642.db2.gz ZKRNCVKSIVBRIF-HCKVZZMMSA-N 0 0 448.484 -0.251 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NCc2nc(N(C)C)no2)[C@@H]1C(=O)NCc1nc(N(C)C)no1 ZINC000476831181 1073060124 /nfs/dbraw/zinc/06/01/24/1073060124.db2.gz ZKRNCVKSIVBRIF-IFUGULHKSA-N 0 0 448.484 -0.251 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NCc2nc(N(C)C)no2)[C@@H]1C(=O)NCc1nc(N(C)C)no1 ZINC000476831183 1073059991 /nfs/dbraw/zinc/05/99/91/1073059991.db2.gz ZKRNCVKSIVBRIF-IXPVHAAZSA-N 0 0 448.484 -0.251 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc3c(c1)CCN3C(=O)COC)C2 ZINC000476832129 1073060163 /nfs/dbraw/zinc/06/01/63/1073060163.db2.gz LFVKLODNSGKSHB-UHFFFAOYSA-N 0 0 442.476 -0.017 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)ccc1C ZINC000476833194 1073060078 /nfs/dbraw/zinc/06/00/78/1073060078.db2.gz NDJWUTGYKRGPIJ-UHFFFAOYSA-N 0 0 436.494 -0.336 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cccc(CN3CCNC(=O)C3)c1)C2 ZINC000476834277 1073060600 /nfs/dbraw/zinc/06/06/00/1073060600.db2.gz OZHHRCLNKVXWJG-UHFFFAOYSA-N 0 0 441.492 -0.620 20 0 IBADRN O=C(NC[C@@]1(O)CCSC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000476834338 1073060471 /nfs/dbraw/zinc/06/04/71/1073060471.db2.gz WBEANCXNFFQRMZ-KRWDZBQOSA-N 0 0 429.520 -0.370 20 0 IBADRN O=C(NC[C@]1(O)CCSC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000476834340 1073060545 /nfs/dbraw/zinc/06/05/45/1073060545.db2.gz WBEANCXNFFQRMZ-QGZVFWFLSA-N 0 0 429.520 -0.370 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(N3CCCS3(=O)=O)cc1)C2 ZINC000476834935 1073060591 /nfs/dbraw/zinc/06/05/91/1073060591.db2.gz CPKURRLMTMVCIX-UHFFFAOYSA-N 0 0 448.505 -0.012 20 0 IBADRN COCC(=O)N(C)CC(=O)NCc1ccc2c(c1)CN(C(=O)CN(C)C(=O)COC)C2 ZINC000476835124 1073060655 /nfs/dbraw/zinc/06/06/55/1073060655.db2.gz XQIABLXHYHBIOA-UHFFFAOYSA-N 0 0 434.493 -0.645 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)c1 ZINC000476835629 1073060562 /nfs/dbraw/zinc/06/05/62/1073060562.db2.gz RZJNXEHVVVYLTM-UHFFFAOYSA-N 0 0 436.494 -0.336 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(OCC(=O)N(C)C)cc1)C2 ZINC000476836493 1073060940 /nfs/dbraw/zinc/06/09/40/1073060940.db2.gz WITPZYOMKJLGEN-UHFFFAOYSA-N 0 0 430.465 -0.085 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cccc(OCC(=O)N(C)C)c1)C2 ZINC000476837731 1073059022 /nfs/dbraw/zinc/05/90/22/1073059022.db2.gz NPAWMBLXVNCEKO-UHFFFAOYSA-N 0 0 430.465 -0.085 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cccc3c1CCN3S(C)(=O)=O)C2 ZINC000476838653 1073058990 /nfs/dbraw/zinc/05/89/90/1073058990.db2.gz UVFYPVPENBGOQM-UHFFFAOYSA-N 0 0 448.505 -0.230 20 0 IBADRN COC[C@]1(C)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1=O ZINC000476840248 1073059162 /nfs/dbraw/zinc/05/91/62/1073059162.db2.gz BYRAJABTJWXTSQ-KRWDZBQOSA-N 0 0 443.482 -0.919 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1=O ZINC000476840253 1073059497 /nfs/dbraw/zinc/05/94/97/1073059497.db2.gz BYRAJABTJWXTSQ-QGZVFWFLSA-N 0 0 443.482 -0.919 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCc3c(nnn3CCOC)C1)CC2 ZINC000476841005 1073061865 /nfs/dbraw/zinc/06/18/65/1073061865.db2.gz YFFFDURVDBFTEE-UHFFFAOYSA-N 0 0 448.505 -0.693 20 0 IBADRN CCN(CC(N)=O)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000476844125 1073061774 /nfs/dbraw/zinc/06/17/74/1073061774.db2.gz ASQBRYNXOVTNBV-UHFFFAOYSA-N 0 0 440.522 -0.358 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)NCCN1CCN(c2ncccn2)CC1 ZINC000476844641 1073061711 /nfs/dbraw/zinc/06/17/11/1073061711.db2.gz ALGBRIBPPFNBDU-UHFFFAOYSA-N 0 0 430.513 -0.238 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)CSCC(=O)N2CCN(C[C@H](C)O)C[C@H]2C)[C@H](C)C1 ZINC000476845545 1073061784 /nfs/dbraw/zinc/06/17/84/1073061784.db2.gz FUFWMWALPIFUNT-BDXSIMOUSA-N 0 0 430.615 -0.453 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)CSCC(=O)N2CCN(C[C@H](C)O)C[C@H]2C)[C@@H](C)C1 ZINC000476845552 1073061727 /nfs/dbraw/zinc/06/17/27/1073061727.db2.gz FUFWMWALPIFUNT-FZDBZEDMSA-N 0 0 430.615 -0.453 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)CSCC(=O)N2CCN(C[C@H](C)O)C[C@@H]2C)[C@H](C)C1 ZINC000476845554 1073061959 /nfs/dbraw/zinc/06/19/59/1073061959.db2.gz FUFWMWALPIFUNT-MHORFTMASA-N 0 0 430.615 -0.453 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)CSCC(=O)N2CCN(C[C@H](C)O)C[C@@H]2C)[C@@H](C)C1 ZINC000476845556 1073061880 /nfs/dbraw/zinc/06/18/80/1073061880.db2.gz FUFWMWALPIFUNT-XSLAGTTESA-N 0 0 430.615 -0.453 20 0 IBADRN CCO[C@H]1C[C@@](O)(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1(C)C ZINC000476845683 1073061683 /nfs/dbraw/zinc/06/16/83/1073061683.db2.gz IGIFQVZVFLKYOO-KBXCAEBGSA-N 0 0 427.479 -0.076 20 0 IBADRN CCO[C@@H]1C[C@](O)(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1(C)C ZINC000476845684 1073061954 /nfs/dbraw/zinc/06/19/54/1073061954.db2.gz IGIFQVZVFLKYOO-KDOFPFPSSA-N 0 0 427.479 -0.076 20 0 IBADRN CCO[C@H]1C[C@](O)(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1(C)C ZINC000476845685 1073061859 /nfs/dbraw/zinc/06/18/59/1073061859.db2.gz IGIFQVZVFLKYOO-KSSFIOAISA-N 0 0 427.479 -0.076 20 0 IBADRN CCO[C@@H]1C[C@@](O)(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1(C)C ZINC000476845686 1073061701 /nfs/dbraw/zinc/06/17/01/1073061701.db2.gz IGIFQVZVFLKYOO-RDTXWAMCSA-N 0 0 427.479 -0.076 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000476847369 1073061946 /nfs/dbraw/zinc/06/19/46/1073061946.db2.gz RFSJYLSVDDSORD-UHFFFAOYSA-N 0 0 439.494 -0.134 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)c1 ZINC000476849267 1073062597 /nfs/dbraw/zinc/06/25/97/1073062597.db2.gz AISZBWATWWPDQS-UHFFFAOYSA-N 0 0 430.465 -0.176 20 0 IBADRN CC[C@H]1NC(=O)N(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1=O ZINC000476854911 1073062366 /nfs/dbraw/zinc/06/23/66/1073062366.db2.gz PAAOINMYIZKHRZ-CYBMUJFWSA-N 0 0 440.478 -0.015 20 0 IBADRN CC[C@@H]1NC(=O)N(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1=O ZINC000476854914 1073062648 /nfs/dbraw/zinc/06/26/48/1073062648.db2.gz PAAOINMYIZKHRZ-ZDUSSCGKSA-N 0 0 440.478 -0.015 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCN(C[C@H](C)O)C[C@@H]3C)O2)[C@H](C)C1 ZINC000476857387 1073061122 /nfs/dbraw/zinc/06/11/22/1073061122.db2.gz PRKBWULFOMFRJR-APNJTCTJSA-N 0 0 440.585 -0.639 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C[C@H](C)O)C[C@@H]3C)O2)[C@H](C)C1 ZINC000476857393 1073061176 /nfs/dbraw/zinc/06/11/76/1073061176.db2.gz PRKBWULFOMFRJR-DWIKVQACSA-N 0 0 440.585 -0.639 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C[C@H](C)O)C[C@H]3C)O2)[C@H](C)C1 ZINC000476857395 1073061322 /nfs/dbraw/zinc/06/13/22/1073061322.db2.gz PRKBWULFOMFRJR-JWLCQNFESA-N 0 0 440.585 -0.639 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C[C@H](C)O)C[C@@H]3C)O2)[C@@H](C)C1 ZINC000476857397 1073060997 /nfs/dbraw/zinc/06/09/97/1073060997.db2.gz PRKBWULFOMFRJR-RPZLJYRGSA-N 0 0 440.585 -0.639 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)cn1 ZINC000476860216 1073063677 /nfs/dbraw/zinc/06/36/77/1073063677.db2.gz LMJZXVYYNHPZNP-UHFFFAOYSA-N 0 0 433.469 -0.057 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(OC)c(-n3cnnn3)c1)C2 ZINC000476862870 1073063456 /nfs/dbraw/zinc/06/34/56/1073063456.db2.gz PLGTVJAHGIHORP-UHFFFAOYSA-N 0 0 427.425 -0.568 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C)C2 ZINC000476866770 1073062933 /nfs/dbraw/zinc/06/29/33/1073062933.db2.gz XHCWHTUFPDONQC-UHFFFAOYSA-N 0 0 436.494 -0.288 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)cc1 ZINC000476867629 1073062895 /nfs/dbraw/zinc/06/28/95/1073062895.db2.gz YXNFLTQRWAGITE-UHFFFAOYSA-N 0 0 430.465 -0.037 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)C2 ZINC000476868210 1073063074 /nfs/dbraw/zinc/06/30/74/1073063074.db2.gz ZXTWIQDJVUKPOJ-UHFFFAOYSA-N 0 0 436.494 -0.302 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(NC(=O)CN(C)C)cc1)C2 ZINC000476877427 1073063412 /nfs/dbraw/zinc/06/34/12/1073063412.db2.gz XFVZRBDAQRZDCB-UHFFFAOYSA-N 0 0 429.481 -0.052 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C)C2 ZINC000476879208 1073063686 /nfs/dbraw/zinc/06/36/86/1073063686.db2.gz ZRCJJCANKXXILV-UHFFFAOYSA-N 0 0 436.494 -0.302 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000476882595 1073064217 /nfs/dbraw/zinc/06/42/17/1073064217.db2.gz PKQYREOERICBLQ-UHFFFAOYSA-N 0 0 442.494 -0.840 20 0 IBADRN CCN(CC(N)=O)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000476884751 1073064021 /nfs/dbraw/zinc/06/40/21/1073064021.db2.gz RPGMZQXUDUQZIF-UHFFFAOYSA-N 0 0 428.486 -0.227 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000476888085 1073064639 /nfs/dbraw/zinc/06/46/39/1073064639.db2.gz BCMPAOBPSZULBH-HNNXBMFYSA-N 0 0 427.527 -0.256 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000476888091 1073064663 /nfs/dbraw/zinc/06/46/63/1073064663.db2.gz BCMPAOBPSZULBH-OAHLLOKOSA-N 0 0 427.527 -0.256 20 0 IBADRN COC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000476889995 1073064806 /nfs/dbraw/zinc/06/48/06/1073064806.db2.gz FPZHPHNGTREGBP-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN COC(=O)CN1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1 ZINC000476894035 1073064650 /nfs/dbraw/zinc/06/46/50/1073064650.db2.gz OTIZZXMJJIHVDL-UHFFFAOYSA-N 0 0 438.550 -0.381 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(-n3ncn(C)c3=O)cc1)C2 ZINC000476894095 1073064783 /nfs/dbraw/zinc/06/47/83/1073064783.db2.gz FLBAWPBINJVGAU-UHFFFAOYSA-N 0 0 426.437 -0.668 20 0 IBADRN COC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000476895716 1073064724 /nfs/dbraw/zinc/06/47/24/1073064724.db2.gz COUZEPOKAPWBNJ-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CCC[C@@H]2C(=O)N2CCN(CC(=O)OC)CC2)CC1 ZINC000476896494 1073065226 /nfs/dbraw/zinc/06/52/26/1073065226.db2.gz RJNBPKCBTGNSKW-CALCHBBNSA-N 0 0 438.525 -0.963 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CCC[C@H]2C(=O)N2CCN(CC(=O)OC)CC2)CC1 ZINC000476896496 1073065232 /nfs/dbraw/zinc/06/52/32/1073065232.db2.gz RJNBPKCBTGNSKW-IAGOWNOFSA-N 0 0 438.525 -0.963 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@H]2CCC[C@@H]2C(=O)N2CCN(CC(=O)OC)CC2)CC1 ZINC000476896498 1073065147 /nfs/dbraw/zinc/06/51/47/1073065147.db2.gz RJNBPKCBTGNSKW-IRXDYDNUSA-N 0 0 438.525 -0.963 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000476896621 1073064764 /nfs/dbraw/zinc/06/47/64/1073064764.db2.gz FTWXVCKLIINIMZ-AWEZNQCLSA-N 0 0 441.506 -0.168 20 0 IBADRN COC(=O)CN1CCN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CC1 ZINC000476899900 1073066835 /nfs/dbraw/zinc/06/68/35/1073066835.db2.gz SHEDPISJXJZABK-UHFFFAOYSA-N 0 0 438.550 -0.236 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2c(OC)ccc(S(N)(=O)=O)c2OC)CC1 ZINC000476900041 1073066888 /nfs/dbraw/zinc/06/68/88/1073066888.db2.gz FGCDFWBEDDPQCM-UHFFFAOYSA-N 0 0 428.511 -0.365 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)c1C ZINC000476900234 1073066861 /nfs/dbraw/zinc/06/68/61/1073066861.db2.gz ALBGZVBZTMTWJD-UHFFFAOYSA-N 0 0 436.494 -0.336 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000476900457 1073067492 /nfs/dbraw/zinc/06/74/92/1073067492.db2.gz GPGYMJGHVNIFFI-KRWDZBQOSA-N 0 0 429.477 -0.147 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000476900458 1073067510 /nfs/dbraw/zinc/06/75/10/1073067510.db2.gz GPGYMJGHVNIFFI-QGZVFWFLSA-N 0 0 429.477 -0.147 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cc3c(cc1C(=O)OC)OCO3)C2 ZINC000476900733 1073067485 /nfs/dbraw/zinc/06/74/85/1073067485.db2.gz BLOANIVHFWGYPP-UHFFFAOYSA-N 0 0 431.405 -0.037 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(N3CCOCC3)nc1C)C2 ZINC000476901274 1073067226 /nfs/dbraw/zinc/06/72/26/1073067226.db2.gz CWNDPUSMXSGTIQ-UHFFFAOYSA-N 0 0 429.481 -0.012 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)c(C)c1 ZINC000476901828 1073067204 /nfs/dbraw/zinc/06/72/04/1073067204.db2.gz FBAWMXNMNJHUFR-UHFFFAOYSA-N 0 0 430.465 -0.119 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000476901852 1073067450 /nfs/dbraw/zinc/06/74/50/1073067450.db2.gz WUNRBWKTPIVJMT-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCc3c(nnn3CCOC)C2)cc1S(N)(=O)=O ZINC000476902281 1073067459 /nfs/dbraw/zinc/06/74/59/1073067459.db2.gz FSFPKKYHMQGSHR-UHFFFAOYSA-N 0 0 436.494 -0.342 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000476902900 1073067797 /nfs/dbraw/zinc/06/77/97/1073067797.db2.gz MRCOMHCOKKRXFL-HNNXBMFYSA-N 0 0 432.524 -0.681 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000476902901 1073067683 /nfs/dbraw/zinc/06/76/83/1073067683.db2.gz MRCOMHCOKKRXFL-OAHLLOKOSA-N 0 0 432.524 -0.681 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1 ZINC000476902959 1073067920 /nfs/dbraw/zinc/06/79/20/1073067920.db2.gz NXVNCZDCPSLFLN-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@]23CCC(=O)N2c2ccccc2C(=O)N3C2CC2)CC1 ZINC000476903145 1073067744 /nfs/dbraw/zinc/06/77/44/1073067744.db2.gz NESASMURIVGCOP-IBGZPJMESA-N 0 0 433.490 -0.524 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@@]23CCC(=O)N2c2ccccc2C(=O)N3C2CC2)CC1 ZINC000476903146 1073067785 /nfs/dbraw/zinc/06/77/85/1073067785.db2.gz NESASMURIVGCOP-LJQANCHMSA-N 0 0 433.490 -0.524 20 0 IBADRN COC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000476906185 1073065206 /nfs/dbraw/zinc/06/52/06/1073065206.db2.gz YJYSVWIXHQINJJ-UHFFFAOYSA-N 0 0 427.479 -0.557 20 0 IBADRN COC(=O)CN1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000476906195 1073065278 /nfs/dbraw/zinc/06/52/78/1073065278.db2.gz YMUFPORORKTFLF-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000476907922 1073065499 /nfs/dbraw/zinc/06/54/99/1073065499.db2.gz SGEIINWQZPGNLD-UHFFFAOYSA-N 0 0 435.462 -0.065 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cccc3c1CCN3C(=O)COC)C2 ZINC000476909412 1073065935 /nfs/dbraw/zinc/06/59/35/1073065935.db2.gz ZSFVKXFUQWONDA-UHFFFAOYSA-N 0 0 442.476 -0.017 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2cccc(C(=O)N3CCN(CC(=O)OC)CC3)c2)CC1 ZINC000476909717 1073066031 /nfs/dbraw/zinc/06/60/31/1073066031.db2.gz DNLUZKITOIZFON-UHFFFAOYSA-N 0 0 446.504 -0.452 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CCCC1=O ZINC000476913124 1073066062 /nfs/dbraw/zinc/06/60/62/1073066062.db2.gz LWGZDZMYSHZMEK-GXTWGEPZSA-N 0 0 439.490 -0.144 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CCCC1=O ZINC000476913135 1073066043 /nfs/dbraw/zinc/06/60/43/1073066043.db2.gz LWGZDZMYSHZMEK-JSGCOSHPSA-N 0 0 439.490 -0.144 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CCCC1=O ZINC000476913137 1073066046 /nfs/dbraw/zinc/06/60/46/1073066046.db2.gz LWGZDZMYSHZMEK-OCCSQVGLSA-N 0 0 439.490 -0.144 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CCCC1=O ZINC000476913138 1073065940 /nfs/dbraw/zinc/06/59/40/1073065940.db2.gz LWGZDZMYSHZMEK-TZMCWYRMSA-N 0 0 439.490 -0.144 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2ccc(C(=O)N3CCN(CC(=O)OC)CC3)cc2)CC1 ZINC000476913977 1073066295 /nfs/dbraw/zinc/06/62/95/1073066295.db2.gz MZYSRZSZPUOKHP-UHFFFAOYSA-N 0 0 446.504 -0.452 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)C1 ZINC000476916238 1073065139 /nfs/dbraw/zinc/06/51/39/1073065139.db2.gz VZSHLQLZAJHSMP-CYBMUJFWSA-N 0 0 439.490 -0.110 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)C1 ZINC000476916242 1073065543 /nfs/dbraw/zinc/06/55/43/1073065543.db2.gz VZSHLQLZAJHSMP-ZDUSSCGKSA-N 0 0 439.490 -0.110 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(C)C ZINC000476920377 1073068449 /nfs/dbraw/zinc/06/84/49/1073068449.db2.gz DUQKBBOOJYBPJB-CPUCHLNUSA-N 0 0 436.513 -0.266 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(C)C ZINC000476920378 1073068423 /nfs/dbraw/zinc/06/84/23/1073068423.db2.gz DUQKBBOOJYBPJB-VBQJREDUSA-N 0 0 436.513 -0.266 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)C(C)C ZINC000476920379 1073068176 /nfs/dbraw/zinc/06/81/76/1073068176.db2.gz DUQKBBOOJYBPJB-ZQIUZPCESA-N 0 0 436.513 -0.266 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(-c2cccc(OC)c2)nn1C ZINC000476930612 1073067730 /nfs/dbraw/zinc/06/77/30/1073067730.db2.gz HJARPCZDPDUTCT-INIZCTEOSA-N 0 0 442.476 -0.113 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(-c2cccc(OC)c2)nn1C ZINC000476930613 1073067944 /nfs/dbraw/zinc/06/79/44/1073067944.db2.gz HJARPCZDPDUTCT-MRXNPFEDSA-N 0 0 442.476 -0.113 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000476933962 1073068206 /nfs/dbraw/zinc/06/82/06/1073068206.db2.gz VFFIYMNLLNYREB-HNNXBMFYSA-N 0 0 443.551 -0.030 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000476933966 1073068484 /nfs/dbraw/zinc/06/84/84/1073068484.db2.gz VFFIYMNLLNYREB-OAHLLOKOSA-N 0 0 443.551 -0.030 20 0 IBADRN COCCNc1ccc(S(C)(=O)=O)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000476935745 1073070408 /nfs/dbraw/zinc/07/04/08/1073070408.db2.gz DCOLUZSFHFMCFT-UHFFFAOYSA-N 0 0 448.567 -0.336 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)CN2C(=O)NC(=O)C2(C)C)c1 ZINC000476941719 1073069024 /nfs/dbraw/zinc/06/90/24/1073069024.db2.gz CWXADZVWFARIMW-UHFFFAOYSA-N 0 0 427.483 -0.078 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)CN2C(=O)C(=O)N(C)C2=O)c1 ZINC000476944072 1073068952 /nfs/dbraw/zinc/06/89/52/1073068952.db2.gz KLPLDRLWSFROSU-UHFFFAOYSA-N 0 0 427.439 -0.988 20 0 IBADRN COCCNc1ccc(S(C)(=O)=O)cc1NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000476944321 1073068713 /nfs/dbraw/zinc/06/87/13/1073068713.db2.gz QMAQZNDBOIFWPN-UHFFFAOYSA-N 0 0 445.523 -0.201 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)CN2C(=O)NC(C)(C)C2=O)c1 ZINC000476945168 1073068994 /nfs/dbraw/zinc/06/89/94/1073068994.db2.gz SDMUWBKNCUYCQJ-UHFFFAOYSA-N 0 0 427.483 -0.078 20 0 IBADRN COCCNc1ccc(S(C)(=O)=O)cc1NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000476945597 1073069202 /nfs/dbraw/zinc/06/92/02/1073069202.db2.gz CCKZYQNXNLWPPV-UHFFFAOYSA-N 0 0 445.523 -0.201 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)CN2CCS(=O)(=O)CC2)c1 ZINC000476945983 1073069493 /nfs/dbraw/zinc/06/94/93/1073069493.db2.gz DGWJJSZBSPSBKF-UHFFFAOYSA-N 0 0 434.540 -0.678 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)CCCN2C(=O)CNC2=O)c1 ZINC000476946098 1073069385 /nfs/dbraw/zinc/06/93/85/1073069385.db2.gz VOCIOVSLKOFFMC-UHFFFAOYSA-N 0 0 427.483 -0.077 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c(F)c1 ZINC000476946259 1073068837 /nfs/dbraw/zinc/06/88/37/1073068837.db2.gz BUDSVMMGPMJPKF-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c(F)c1 ZINC000476946260 1073068963 /nfs/dbraw/zinc/06/89/63/1073068963.db2.gz BUDSVMMGPMJPKF-NSHDSACASA-N 0 0 429.517 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)C2CCN(S(N)(=O)=O)CC2)c1 ZINC000476947366 1073069286 /nfs/dbraw/zinc/06/92/86/1073069286.db2.gz ZAEFVNPCJLHMKO-UHFFFAOYSA-N 0 0 449.555 -0.493 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)Cn2ccc(=O)n(C)c2=O)c1 ZINC000476948022 1073069984 /nfs/dbraw/zinc/06/99/84/1073069984.db2.gz JIBQKGXIAOQGQE-UHFFFAOYSA-N 0 0 425.467 -0.848 20 0 IBADRN CCN1CCN(CC(=O)Nc2cc(S(C)(=O)=O)ccc2NCCOC)C(=O)C1=O ZINC000476948407 1073070419 /nfs/dbraw/zinc/07/04/19/1073070419.db2.gz UXHWWWFMTSCIBV-UHFFFAOYSA-N 0 0 426.495 -0.222 20 0 IBADRN CCN1CCN(CC(=O)Nc2cc(S(=O)(=O)NC)ccc2NCCOC)C(=O)C1=O ZINC000476949407 1073070647 /nfs/dbraw/zinc/07/06/47/1073070647.db2.gz LWEFVGZVNJJPKZ-UHFFFAOYSA-N 0 0 441.510 -0.718 20 0 IBADRN CC[C@H](CNC(=O)[C@@H](NS(C)(=O)=O)C(C)C)NC(=O)[C@H](NS(C)(=O)=O)C(C)C ZINC000476950427 1073071483 /nfs/dbraw/zinc/07/14/83/1073071483.db2.gz HVSCHZIIKXKAFH-HZSPNIEDSA-N 0 0 442.604 -0.855 20 0 IBADRN CC[C@H](CNC(=O)[C@H](NS(C)(=O)=O)C(C)C)NC(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000476950428 1073071525 /nfs/dbraw/zinc/07/15/25/1073071525.db2.gz HVSCHZIIKXKAFH-MCIONIFRSA-N 0 0 442.604 -0.855 20 0 IBADRN CC[C@H](CNC(=O)[C@H](NS(C)(=O)=O)C(C)C)NC(=O)[C@H](NS(C)(=O)=O)C(C)C ZINC000476950429 1073071466 /nfs/dbraw/zinc/07/14/66/1073071466.db2.gz HVSCHZIIKXKAFH-MGPQQGTHSA-N 0 0 442.604 -0.855 20 0 IBADRN CC[C@H](CNC(=O)[C@@H](NS(C)(=O)=O)C(C)C)NC(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000476950430 1073071478 /nfs/dbraw/zinc/07/14/78/1073071478.db2.gz HVSCHZIIKXKAFH-RDBSUJKOSA-N 0 0 442.604 -0.855 20 0 IBADRN Cn1c2ncn(CC(=O)NCCCN3C(=O)NC4(CCCCC4)C3=O)c2c(=O)n(C)c1=O ZINC000476950435 1073071509 /nfs/dbraw/zinc/07/15/09/1073071509.db2.gz HXPYZLPVVGQBNY-UHFFFAOYSA-N 0 0 445.480 -0.805 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)NCCS(=O)(=O)N1CCSCC1 ZINC000476950564 1073071516 /nfs/dbraw/zinc/07/15/16/1073071516.db2.gz OFRQVTBIZVNOSO-UHFFFAOYSA-N 0 0 433.556 -0.471 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)Cn2cc(C(=O)OC)nn2)c1 ZINC000476954447 1073072065 /nfs/dbraw/zinc/07/20/65/1073072065.db2.gz ZDQRRFFKLOTCEK-UHFFFAOYSA-N 0 0 426.455 -0.330 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000476958023 1073072077 /nfs/dbraw/zinc/07/20/77/1073072077.db2.gz MBZOMVSPBAZFOA-UHFFFAOYSA-N 0 0 441.554 -0.915 20 0 IBADRN COCCOc1cccc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000476958169 1073072184 /nfs/dbraw/zinc/07/21/84/1073072184.db2.gz IEBDHCNQALXFTM-MOPGFXCFSA-N 0 0 426.535 -0.054 20 0 IBADRN COCCOc1cccc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000476958171 1073072135 /nfs/dbraw/zinc/07/21/35/1073072135.db2.gz IEBDHCNQALXFTM-OALUTQOASA-N 0 0 426.535 -0.054 20 0 IBADRN COCCOc1cccc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000476958172 1073072172 /nfs/dbraw/zinc/07/21/72/1073072172.db2.gz IEBDHCNQALXFTM-RBUKOAKNSA-N 0 0 426.535 -0.054 20 0 IBADRN COCCOc1cccc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000476958174 1073072091 /nfs/dbraw/zinc/07/20/91/1073072091.db2.gz IEBDHCNQALXFTM-RTBURBONSA-N 0 0 426.535 -0.054 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(C)C ZINC000476958924 1073072524 /nfs/dbraw/zinc/07/25/24/1073072524.db2.gz QOABOLXUBQOJNL-SFHVURJKSA-N 0 0 447.536 -0.036 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnn(CCO)c1-c1ccccc1)C2 ZINC000476960884 1073073102 /nfs/dbraw/zinc/07/31/02/1073073102.db2.gz PENQJUUKUSSCCS-UHFFFAOYSA-N 0 0 439.476 -0.355 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n(-c2ccc(C)nn2)n1 ZINC000476963748 1073073503 /nfs/dbraw/zinc/07/35/03/1073073503.db2.gz DJTXHKPFYPWLAD-UHFFFAOYSA-N 0 0 440.464 -0.833 20 0 IBADRN Cc1nn(C)c(-n2ccnc2C)c1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000476963953 1073073621 /nfs/dbraw/zinc/07/36/21/1073073621.db2.gz XNZMJBLRIJZYJN-UHFFFAOYSA-N 0 0 427.469 -0.675 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N(C)CC(=O)NC(C)C ZINC000476964086 1073073675 /nfs/dbraw/zinc/07/36/75/1073073675.db2.gz DDYOYBWSOMMYLW-UHFFFAOYSA-N 0 0 440.522 -0.149 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n(-c2ccc(C)nn2)n1 ZINC000476964183 1073073560 /nfs/dbraw/zinc/07/35/60/1073073560.db2.gz FLKZWJJPGIKPJF-UHFFFAOYSA-N 0 0 442.480 -0.587 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)nn1 ZINC000476964820 1073073521 /nfs/dbraw/zinc/07/35/21/1073073521.db2.gz GRXVGLCRGWXGPI-UHFFFAOYSA-N 0 0 436.480 -0.362 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)nn1 ZINC000476966068 1073073536 /nfs/dbraw/zinc/07/35/36/1073073536.db2.gz ITRJYBJWCZJJJP-UHFFFAOYSA-N 0 0 447.463 -0.065 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000476970657 1073074348 /nfs/dbraw/zinc/07/43/48/1073074348.db2.gz HSRGEHKHEHBEHX-UHFFFAOYSA-N 0 0 440.522 -0.099 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)nn1 ZINC000476972935 1073074470 /nfs/dbraw/zinc/07/44/70/1073074470.db2.gz MMCMNMVSXCEZDO-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)nn1 ZINC000476974208 1073074305 /nfs/dbraw/zinc/07/43/05/1073074305.db2.gz YYKCRGRGQLRXDG-UHFFFAOYSA-N 0 0 428.453 -0.895 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)nn1 ZINC000476974652 1073074246 /nfs/dbraw/zinc/07/42/46/1073074246.db2.gz OTJWFJBKBWVFHO-UHFFFAOYSA-N 0 0 440.464 -0.798 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)nn1 ZINC000476976478 1073074432 /nfs/dbraw/zinc/07/44/32/1073074432.db2.gz VFYISHOMJBTSGN-UHFFFAOYSA-N 0 0 425.453 -0.190 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1)N1CCCC1 ZINC000476977774 1073074495 /nfs/dbraw/zinc/07/44/95/1073074495.db2.gz AUSCLJXNIQIFNZ-KRWDZBQOSA-N 0 0 445.586 -0.576 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1)N1CCCC1 ZINC000476977775 1073074225 /nfs/dbraw/zinc/07/42/25/1073074225.db2.gz AUSCLJXNIQIFNZ-QGZVFWFLSA-N 0 0 445.586 -0.576 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000476978345 1073074330 /nfs/dbraw/zinc/07/43/30/1073074330.db2.gz BVLVQLWPMUVERX-INIZCTEOSA-N 0 0 433.575 -0.673 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000476978347 1073074872 /nfs/dbraw/zinc/07/48/72/1073074872.db2.gz BVLVQLWPMUVERX-MRXNPFEDSA-N 0 0 433.575 -0.673 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(C)C ZINC000476980042 1073074639 /nfs/dbraw/zinc/07/46/39/1073074639.db2.gz MKNNXJZRTUGCQE-INIZCTEOSA-N 0 0 440.522 -0.077 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC000476980920 1073074072 /nfs/dbraw/zinc/07/40/72/1073074072.db2.gz BOHPCIOASNDFCH-UHFFFAOYSA-N 0 0 434.424 -0.099 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1F ZINC000476981292 1073073967 /nfs/dbraw/zinc/07/39/67/1073073967.db2.gz HPKDRNIYSCGORM-UHFFFAOYSA-N 0 0 444.485 -0.198 20 0 IBADRN Cn1cc(CN2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)cn1 ZINC000476983456 1073075511 /nfs/dbraw/zinc/07/55/11/1073075511.db2.gz PBENBUYBNFZDGZ-KRWDZBQOSA-N 0 0 428.559 -0.264 20 0 IBADRN Cn1cc(CN2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)cn1 ZINC000476983460 1073075497 /nfs/dbraw/zinc/07/54/97/1073075497.db2.gz PBENBUYBNFZDGZ-QGZVFWFLSA-N 0 0 428.559 -0.264 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000476984064 1073075358 /nfs/dbraw/zinc/07/53/58/1073075358.db2.gz QATULTWOAZDSMM-KRWDZBQOSA-N 0 0 446.570 -0.245 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000476984076 1073075436 /nfs/dbraw/zinc/07/54/36/1073075436.db2.gz QATULTWOAZDSMM-QGZVFWFLSA-N 0 0 446.570 -0.245 20 0 IBADRN CN(C1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1)S(C)(=O)=O ZINC000476984710 1073075481 /nfs/dbraw/zinc/07/54/81/1073075481.db2.gz BDXCAWPOZYDTTE-HNNXBMFYSA-N 0 0 440.588 -0.460 20 0 IBADRN CN(C1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1)S(C)(=O)=O ZINC000476984718 1073075605 /nfs/dbraw/zinc/07/56/05/1073075605.db2.gz BDXCAWPOZYDTTE-OAHLLOKOSA-N 0 0 440.588 -0.460 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000476985173 1073075530 /nfs/dbraw/zinc/07/55/30/1073075530.db2.gz BQTOZLUAVIJCFM-AWEZNQCLSA-N 0 0 426.561 -0.848 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000476985175 1073075570 /nfs/dbraw/zinc/07/55/70/1073075570.db2.gz BQTOZLUAVIJCFM-CQSZACIVSA-N 0 0 426.561 -0.848 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000476986197 1073075544 /nfs/dbraw/zinc/07/55/44/1073075544.db2.gz UONYLWBYZDWENX-DLBZAZTESA-N 0 0 446.570 -0.245 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000476986200 1073075522 /nfs/dbraw/zinc/07/55/22/1073075522.db2.gz UONYLWBYZDWENX-IAGOWNOFSA-N 0 0 446.570 -0.245 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000476986204 1073075553 /nfs/dbraw/zinc/07/55/53/1073075553.db2.gz UONYLWBYZDWENX-IRXDYDNUSA-N 0 0 446.570 -0.245 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000476986207 1073075863 /nfs/dbraw/zinc/07/58/63/1073075863.db2.gz UONYLWBYZDWENX-SJORKVTESA-N 0 0 446.570 -0.245 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000476986308 1073075584 /nfs/dbraw/zinc/07/55/84/1073075584.db2.gz VAMWGFMYFYRDNB-INIZCTEOSA-N 0 0 428.559 -0.264 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000476986312 1073075592 /nfs/dbraw/zinc/07/55/92/1073075592.db2.gz VAMWGFMYFYRDNB-MRXNPFEDSA-N 0 0 428.559 -0.264 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCCN1C(=O)NC3(CCCCC3)C1=O)c(=O)n2C ZINC000476987333 1073075794 /nfs/dbraw/zinc/07/57/94/1073075794.db2.gz HCTTVEZNWQLDIR-UHFFFAOYSA-N 0 0 445.480 -0.805 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)n1 ZINC000476987837 1073074886 /nfs/dbraw/zinc/07/48/86/1073074886.db2.gz XMGBKJRBFBDDIX-HNNXBMFYSA-N 0 0 442.542 -0.195 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)n1 ZINC000476987840 1073074899 /nfs/dbraw/zinc/07/48/99/1073074899.db2.gz XMGBKJRBFBDDIX-OAHLLOKOSA-N 0 0 442.542 -0.195 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)n(-c2ccc(C)nn2)n1 ZINC000476988090 1073074862 /nfs/dbraw/zinc/07/48/62/1073074862.db2.gz UTMXCAPJRNPJBE-UHFFFAOYSA-N 0 0 428.497 -0.114 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)n(-c2ccc(C)nn2)n1 ZINC000476988904 1073074905 /nfs/dbraw/zinc/07/49/05/1073074905.db2.gz WHZYLQCPTOWDEJ-UHFFFAOYSA-N 0 0 426.481 -0.360 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1F ZINC000476989051 1073074817 /nfs/dbraw/zinc/07/48/17/1073074817.db2.gz HWNSOVDDVROTIE-UHFFFAOYSA-N 0 0 436.440 -0.389 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2-c2ccc(C)nn2)CC1 ZINC000476990075 1073074655 /nfs/dbraw/zinc/07/46/55/1073074655.db2.gz KJXDKBJPMVELAP-AWEZNQCLSA-N 0 0 428.497 -0.032 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2-c2ccc(C)nn2)CC1 ZINC000476990076 1073074912 /nfs/dbraw/zinc/07/49/12/1073074912.db2.gz KJXDKBJPMVELAP-CQSZACIVSA-N 0 0 428.497 -0.032 20 0 IBADRN CS(=O)(=O)N1CCC(NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000476990493 1073074712 /nfs/dbraw/zinc/07/47/12/1073074712.db2.gz BBVOPQWCKDPSJA-UHFFFAOYSA-N 0 0 425.554 -0.692 20 0 IBADRN CCCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000476991332 1073074892 /nfs/dbraw/zinc/07/48/92/1073074892.db2.gz NSNLBBXZXFCVIM-UHFFFAOYSA-N 0 0 447.492 -0.271 20 0 IBADRN O=C1[C@@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CCN1C1CCOCC1 ZINC000476991641 1073075222 /nfs/dbraw/zinc/07/52/22/1073075222.db2.gz CVXAKQBWRIEHEY-INIZCTEOSA-N 0 0 431.536 -0.336 20 0 IBADRN O=C1[C@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CCN1C1CCOCC1 ZINC000476991645 1073075218 /nfs/dbraw/zinc/07/52/18/1073075218.db2.gz CVXAKQBWRIEHEY-MRXNPFEDSA-N 0 0 431.536 -0.336 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)nn1 ZINC000476992026 1073075092 /nfs/dbraw/zinc/07/50/92/1073075092.db2.gz PEBZVXIIFYPDJP-UHFFFAOYSA-N 0 0 426.481 -0.324 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000476992243 1073075238 /nfs/dbraw/zinc/07/52/38/1073075238.db2.gz FEYPVCKJHLVVPS-CYBMUJFWSA-N 0 0 425.554 -0.692 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000476992245 1073075201 /nfs/dbraw/zinc/07/52/01/1073075201.db2.gz FEYPVCKJHLVVPS-ZDUSSCGKSA-N 0 0 425.554 -0.692 20 0 IBADRN C[C@@H]1CN(CC(=O)NCC(=O)NC(C)(C)C)CCN1CC(=O)NCC(=O)NC(C)(C)C ZINC000476992602 1073075128 /nfs/dbraw/zinc/07/51/28/1073075128.db2.gz FSYKBFBNTFIESI-OAHLLOKOSA-N 0 0 440.589 -0.946 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000476993606 1073075083 /nfs/dbraw/zinc/07/50/83/1073075083.db2.gz VYDFNUMDCJGZSQ-CABCVRRESA-N 0 0 440.588 -0.412 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000476993621 1073075183 /nfs/dbraw/zinc/07/51/83/1073075183.db2.gz VYDFNUMDCJGZSQ-GJZGRUSLSA-N 0 0 440.588 -0.412 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000476993624 1073075011 /nfs/dbraw/zinc/07/50/11/1073075011.db2.gz VYDFNUMDCJGZSQ-HUUCEWRRSA-N 0 0 440.588 -0.412 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000476993627 1073075230 /nfs/dbraw/zinc/07/52/30/1073075230.db2.gz VYDFNUMDCJGZSQ-LSDHHAIUSA-N 0 0 440.588 -0.412 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)n(-c2ccc(C)nn2)n1 ZINC000476995519 1073075206 /nfs/dbraw/zinc/07/52/06/1073075206.db2.gz XXADWSPEOKFIRF-UHFFFAOYSA-N 0 0 440.508 -0.016 20 0 IBADRN Cc1noc(CN2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)n1 ZINC000476996356 1073075245 /nfs/dbraw/zinc/07/52/45/1073075245.db2.gz BIPQDJYFVKMNAZ-UHFFFAOYSA-N 0 0 429.524 -0.196 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000476996814 1073075021 /nfs/dbraw/zinc/07/50/21/1073075021.db2.gz PUUNEGSSIDFPJX-CYBMUJFWSA-N 0 0 427.548 -0.010 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000476996818 1073075139 /nfs/dbraw/zinc/07/51/39/1073075139.db2.gz PUUNEGSSIDFPJX-ZDUSSCGKSA-N 0 0 427.548 -0.010 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1)N1CCCC1 ZINC000476998462 1073075560 /nfs/dbraw/zinc/07/55/60/1073075560.db2.gz FQXNGRVSCACWSG-UHFFFAOYSA-N 0 0 441.531 -0.528 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1CCCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000476998550 1073075406 /nfs/dbraw/zinc/07/54/06/1073075406.db2.gz GNCUEDJOASDGOW-KRWDZBQOSA-N 0 0 446.595 -0.173 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1CCCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000476998554 1073075447 /nfs/dbraw/zinc/07/54/47/1073075447.db2.gz GNCUEDJOASDGOW-QGZVFWFLSA-N 0 0 446.595 -0.173 20 0 IBADRN CCS(=O)(=O)N(C)CCCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000476999259 1073075600 /nfs/dbraw/zinc/07/56/00/1073075600.db2.gz BWXPRRQSZOJZGZ-UHFFFAOYSA-N 0 0 427.570 -0.444 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000476999584 1073076069 /nfs/dbraw/zinc/07/60/69/1073076069.db2.gz HUBFEBMMHSFUEK-UHFFFAOYSA-N 0 0 443.547 -0.819 20 0 IBADRN O=S(=O)(Cc1ccon1)NCCNS(=O)(=O)c1ccc2c(c1)S(=O)(=O)CC2 ZINC000476999649 1073076154 /nfs/dbraw/zinc/07/61/54/1073076154.db2.gz HXGJTHFASFWHJG-UHFFFAOYSA-N 0 0 435.505 -0.598 20 0 IBADRN O=C(C1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1)N1CCOCC1 ZINC000476999919 1073076495 /nfs/dbraw/zinc/07/64/95/1073076495.db2.gz IPCSGDDQKKUSLK-UHFFFAOYSA-N 0 0 445.563 -0.135 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc2c(c1)S(=O)(=O)CC2 ZINC000477001442 1073076648 /nfs/dbraw/zinc/07/66/48/1073076648.db2.gz JBDORJRGNRUTKI-UHFFFAOYSA-N 0 0 432.520 -0.488 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477001516 1073076775 /nfs/dbraw/zinc/07/67/75/1073076775.db2.gz JNIIBLCBMXTNGY-UHFFFAOYSA-N 0 0 449.551 -0.898 20 0 IBADRN Cn1cc(N2CC[C@H](NS(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2=O)cn1 ZINC000477001683 1073076574 /nfs/dbraw/zinc/07/65/74/1073076574.db2.gz MUBMNDJVFPIAEB-HNNXBMFYSA-N 0 0 427.508 -0.577 20 0 IBADRN Cn1cc(N2CC[C@@H](NS(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2=O)cn1 ZINC000477001690 1073076604 /nfs/dbraw/zinc/07/66/04/1073076604.db2.gz MUBMNDJVFPIAEB-OAHLLOKOSA-N 0 0 427.508 -0.577 20 0 IBADRN O=S(=O)(NCCN1CCN(c2ncccn2)CC1)c1ccc2c(c1)S(=O)(=O)CC2 ZINC000477002083 1073076709 /nfs/dbraw/zinc/07/67/09/1073076709.db2.gz NXVAJZHPKPMVLU-UHFFFAOYSA-N 0 0 437.547 -0.093 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000477002453 1073076507 /nfs/dbraw/zinc/07/65/07/1073076507.db2.gz OMIQALBCMQGQAS-CYBMUJFWSA-N 0 0 425.554 -0.692 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000477002454 1073076621 /nfs/dbraw/zinc/07/66/21/1073076621.db2.gz OMIQALBCMQGQAS-ZDUSSCGKSA-N 0 0 425.554 -0.692 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477002868 1073076756 /nfs/dbraw/zinc/07/67/56/1073076756.db2.gz QVIXUYSOOUALRJ-UHFFFAOYSA-N 0 0 432.568 -0.609 20 0 IBADRN C[C@@H](CN1CCOCC1)N(Cc1ccccc1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000477003594 1073076592 /nfs/dbraw/zinc/07/65/92/1073076592.db2.gz LQMMCINDRDYSEY-INIZCTEOSA-N 0 0 436.534 -0.004 20 0 IBADRN C[C@H](CN1CCOCC1)N(Cc1ccccc1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000477003596 1073076694 /nfs/dbraw/zinc/07/66/94/1073076694.db2.gz LQMMCINDRDYSEY-MRXNPFEDSA-N 0 0 436.534 -0.004 20 0 IBADRN CCNC(=O)[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(C)C ZINC000477004294 1073076555 /nfs/dbraw/zinc/07/65/55/1073076555.db2.gz FKOQNPLWVNIATH-INIZCTEOSA-N 0 0 440.522 -0.077 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NC[C@@H]2CCCCO2)CC1 ZINC000477005486 1073077070 /nfs/dbraw/zinc/07/70/70/1073077070.db2.gz IPPALPAQQGCQOE-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NC[C@H]2CCCCO2)CC1 ZINC000477005487 1073076999 /nfs/dbraw/zinc/07/69/99/1073076999.db2.gz IPPALPAQQGCQOE-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN C[C@@]1(C2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)NC(=O)NC1=O ZINC000477005983 1073076532 /nfs/dbraw/zinc/07/65/32/1073076532.db2.gz YPYKMTAJYLYFCW-KRWDZBQOSA-N 0 0 444.535 -0.395 20 0 IBADRN C[C@]1(C2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)NC(=O)NC1=O ZINC000477005984 1073076479 /nfs/dbraw/zinc/07/64/79/1073076479.db2.gz YPYKMTAJYLYFCW-QGZVFWFLSA-N 0 0 444.535 -0.395 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)NCCS(=O)(=O)NCC1CCC1 ZINC000477005988 1073076981 /nfs/dbraw/zinc/07/69/81/1073076981.db2.gz AJDIXUAOXWWZIE-UHFFFAOYSA-N 0 0 439.581 -0.396 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2=O)cn1 ZINC000477006266 1073076518 /nfs/dbraw/zinc/07/65/18/1073076518.db2.gz RKRLKCYVVARWAA-UHFFFAOYSA-N 0 0 427.508 -0.623 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000477006517 1073075911 /nfs/dbraw/zinc/07/59/11/1073075911.db2.gz CDRFOMHHHJTOPN-UHFFFAOYSA-N 0 0 429.520 -0.626 20 0 IBADRN CN(C1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000477007073 1073075829 /nfs/dbraw/zinc/07/58/29/1073075829.db2.gz UOMQBEXBGZPGJV-UHFFFAOYSA-N 0 0 439.581 -0.350 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CC1 ZINC000477007163 1073075728 /nfs/dbraw/zinc/07/57/28/1073075728.db2.gz XYUSBUMWZOOTAO-UHFFFAOYSA-N 0 0 429.517 -0.147 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000477007268 1073075709 /nfs/dbraw/zinc/07/57/09/1073075709.db2.gz BHVLOAXGPAFICH-CVEARBPZSA-N 0 0 432.543 -0.492 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000477007269 1073075872 /nfs/dbraw/zinc/07/58/72/1073075872.db2.gz BHVLOAXGPAFICH-HOTGVXAUSA-N 0 0 432.543 -0.492 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000477007270 1073075923 /nfs/dbraw/zinc/07/59/23/1073075923.db2.gz BHVLOAXGPAFICH-HZPDHXFCSA-N 0 0 432.543 -0.492 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000477007271 1073075846 /nfs/dbraw/zinc/07/58/46/1073075846.db2.gz BHVLOAXGPAFICH-JKSUJKDBSA-N 0 0 432.543 -0.492 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CCO2)n1 ZINC000477007432 1073077013 /nfs/dbraw/zinc/07/70/13/1073077013.db2.gz BRBJGWNMKTZOKU-INIZCTEOSA-N 0 0 443.551 -0.096 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CCO2)n1 ZINC000477007433 1073075898 /nfs/dbraw/zinc/07/58/98/1073075898.db2.gz BRBJGWNMKTZOKU-MRXNPFEDSA-N 0 0 443.551 -0.096 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000477007469 1073075698 /nfs/dbraw/zinc/07/56/98/1073075698.db2.gz CAXIKHKAIJFRJD-CYBMUJFWSA-N 0 0 425.554 -0.705 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000477007472 1073075818 /nfs/dbraw/zinc/07/58/18/1073075818.db2.gz CAXIKHKAIJFRJD-ZDUSSCGKSA-N 0 0 425.554 -0.705 20 0 IBADRN COc1ccnc(N2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)n1 ZINC000477007505 1073075885 /nfs/dbraw/zinc/07/58/85/1073075885.db2.gz CHKAEPKTRUURMH-UHFFFAOYSA-N 0 0 441.535 -0.085 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1)N1CCCC1 ZINC000477008134 1073075719 /nfs/dbraw/zinc/07/57/19/1073075719.db2.gz GSEQLKUFMAVLGJ-UHFFFAOYSA-N 0 0 427.548 -0.055 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1)N1CCCC1 ZINC000477008581 1073075806 /nfs/dbraw/zinc/07/58/06/1073075806.db2.gz HRKQNTWBGGOXBL-UHFFFAOYSA-N 0 0 444.579 -0.465 20 0 IBADRN CS(=O)(=O)N1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477008881 1073075767 /nfs/dbraw/zinc/07/57/67/1073075767.db2.gz XNKUTCQRWKFKQO-UHFFFAOYSA-N 0 0 425.554 -0.738 20 0 IBADRN NS(=O)(=O)c1ccc(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)s1 ZINC000477009728 1073076166 /nfs/dbraw/zinc/07/61/66/1073076166.db2.gz ZFHVNJLLXRAAMR-UHFFFAOYSA-N 0 0 439.562 -0.207 20 0 IBADRN CC(C)NC(=O)CN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477010359 1073076271 /nfs/dbraw/zinc/07/62/71/1073076271.db2.gz MKWZOTBEMFUYGV-UHFFFAOYSA-N 0 0 432.568 -0.563 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)c(F)c1 ZINC000477010985 1073076336 /nfs/dbraw/zinc/07/63/36/1073076336.db2.gz XDLPFRVTVZSLLY-UHFFFAOYSA-N 0 0 429.517 -0.147 20 0 IBADRN O=C(CCCNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1Cc2ccccc2C1 ZINC000477011776 1073076081 /nfs/dbraw/zinc/07/60/81/1073076081.db2.gz PUWNFYKDDRAJSZ-UHFFFAOYSA-N 0 0 426.481 -0.151 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477011994 1073076288 /nfs/dbraw/zinc/07/62/88/1073076288.db2.gz NUZIOFBIYQOHPH-UHFFFAOYSA-N 0 0 425.554 -0.738 20 0 IBADRN CN(C)C(=O)CN1CCC(NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477012236 1073076225 /nfs/dbraw/zinc/07/62/25/1073076225.db2.gz OMFVSONJBHYIMU-UHFFFAOYSA-N 0 0 432.568 -0.563 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000477012441 1073076254 /nfs/dbraw/zinc/07/62/54/1073076254.db2.gz RRKWMEMTBAGZAD-HNNXBMFYSA-N 0 0 440.588 -0.412 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000477012442 1073076290 /nfs/dbraw/zinc/07/62/90/1073076290.db2.gz RRKWMEMTBAGZAD-OAHLLOKOSA-N 0 0 440.588 -0.412 20 0 IBADRN COc1cc(CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc(OC)c1 ZINC000477012783 1073076142 /nfs/dbraw/zinc/07/61/42/1073076142.db2.gz QSQSPLXBVQLQPC-UHFFFAOYSA-N 0 0 431.493 -0.316 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)CCNS(=O)(=O)c1ccccc1)C(=O)N1CCOCC1 ZINC000477012999 1073076323 /nfs/dbraw/zinc/07/63/23/1073076323.db2.gz QZBHSOMNAVNGOL-INIZCTEOSA-N 0 0 433.552 -0.232 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)CCNS(=O)(=O)c1ccccc1)C(=O)N1CCOCC1 ZINC000477013001 1073076132 /nfs/dbraw/zinc/07/61/32/1073076132.db2.gz QZBHSOMNAVNGOL-MRXNPFEDSA-N 0 0 433.552 -0.232 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)NCCNS(=O)(=O)c1ccccc1 ZINC000477013013 1073076329 /nfs/dbraw/zinc/07/63/29/1073076329.db2.gz RBDCGOWIQTYBEH-UHFFFAOYSA-N 0 0 447.560 -0.137 20 0 IBADRN CCn1cnc2c(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)C2 ZINC000477013519 1073077124 /nfs/dbraw/zinc/07/71/24/1073077124.db2.gz PGLTZIWQXXHYLD-CYBMUJFWSA-N 0 0 445.501 -0.152 20 0 IBADRN CCn1cnc2c(c1=O)CCN(C(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)C2 ZINC000477013520 1073077100 /nfs/dbraw/zinc/07/71/00/1073077100.db2.gz PGLTZIWQXXHYLD-ZDUSSCGKSA-N 0 0 445.501 -0.152 20 0 IBADRN COCCC(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000477013617 1073077157 /nfs/dbraw/zinc/07/71/57/1073077157.db2.gz PXFOWAXFWIRIHF-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1)N1CCOCC1 ZINC000477013833 1073076990 /nfs/dbraw/zinc/07/69/90/1073076990.db2.gz TVEBYRPTZNHWMT-UHFFFAOYSA-N 0 0 443.547 -0.819 20 0 IBADRN CNC(=O)CCOC1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477014164 1073077061 /nfs/dbraw/zinc/07/70/61/1073077061.db2.gz ZFBJXTLUSYQXHK-UHFFFAOYSA-N 0 0 433.552 -0.088 20 0 IBADRN NS(=O)(=O)c1cccc(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)c1 ZINC000477014432 1073076196 /nfs/dbraw/zinc/07/61/96/1073076196.db2.gz WOQRVOIHYTYHJJ-UHFFFAOYSA-N 0 0 433.533 -0.268 20 0 IBADRN COCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477014928 1073077022 /nfs/dbraw/zinc/07/70/22/1073077022.db2.gz ZDZOIZNQCRBDIO-AWEZNQCLSA-N 0 0 440.565 -0.570 20 0 IBADRN COCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477014929 1073077032 /nfs/dbraw/zinc/07/70/32/1073077032.db2.gz ZDZOIZNQCRBDIO-CQSZACIVSA-N 0 0 440.565 -0.570 20 0 IBADRN Cn1ccnc(N2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)c1=O ZINC000477016264 1073077146 /nfs/dbraw/zinc/07/71/46/1073077146.db2.gz CBQXPGBBCGFTMY-UHFFFAOYSA-N 0 0 441.535 -0.789 20 0 IBADRN CCN(CCCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1)S(C)(=O)=O ZINC000477016266 1073077166 /nfs/dbraw/zinc/07/71/66/1073077166.db2.gz CCHGKZBYDZFVNE-UHFFFAOYSA-N 0 0 427.570 -0.444 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000477016448 1073076960 /nfs/dbraw/zinc/07/69/60/1073076960.db2.gz KTOVUBAEXZQORP-UHFFFAOYSA-N 0 0 427.504 -0.872 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477017360 1073076972 /nfs/dbraw/zinc/07/69/72/1073076972.db2.gz CAKUSNZECJVJBW-AWEZNQCLSA-N 0 0 439.581 -0.302 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477017361 1073077134 /nfs/dbraw/zinc/07/71/34/1073077134.db2.gz CAKUSNZECJVJBW-CQSZACIVSA-N 0 0 439.581 -0.302 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1)NC1CC1 ZINC000477018208 1073077112 /nfs/dbraw/zinc/07/71/12/1073077112.db2.gz GURQGXXCMKURIF-INIZCTEOSA-N 0 0 431.559 -0.919 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1)NC1CC1 ZINC000477018213 1073077468 /nfs/dbraw/zinc/07/74/68/1073077468.db2.gz GURQGXXCMKURIF-MRXNPFEDSA-N 0 0 431.559 -0.919 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000477018907 1073077543 /nfs/dbraw/zinc/07/75/43/1073077543.db2.gz IKHNTSZAZVVMFG-CABCVRRESA-N 0 0 440.588 -0.554 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000477018915 1073077506 /nfs/dbraw/zinc/07/75/06/1073077506.db2.gz IKHNTSZAZVVMFG-GJZGRUSLSA-N 0 0 440.588 -0.554 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000477018917 1073077457 /nfs/dbraw/zinc/07/74/57/1073077457.db2.gz IKHNTSZAZVVMFG-HUUCEWRRSA-N 0 0 440.588 -0.554 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000477018918 1073077513 /nfs/dbraw/zinc/07/75/13/1073077513.db2.gz IKHNTSZAZVVMFG-LSDHHAIUSA-N 0 0 440.588 -0.554 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477019627 1073077386 /nfs/dbraw/zinc/07/73/86/1073077386.db2.gz WAEBFHKXTJRXLD-UHFFFAOYSA-N 0 0 440.547 -0.020 20 0 IBADRN CCCNC(=O)CN1CCC(NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477019759 1073077429 /nfs/dbraw/zinc/07/74/29/1073077429.db2.gz NKKSGZAXLJGUQN-UHFFFAOYSA-N 0 0 446.595 -0.125 20 0 IBADRN Cn1ccnc1CN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477019897 1073077350 /nfs/dbraw/zinc/07/73/50/1073077350.db2.gz ITVJDIMHAFMPPI-UHFFFAOYSA-N 0 0 427.552 -0.154 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000477020581 1073077556 /nfs/dbraw/zinc/07/75/56/1073077556.db2.gz MDTOTYNVTQTPBC-INIZCTEOSA-N 0 0 433.575 -0.673 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000477020582 1073077365 /nfs/dbraw/zinc/07/73/65/1073077365.db2.gz MDTOTYNVTQTPBC-MRXNPFEDSA-N 0 0 433.575 -0.673 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477021957 1073077526 /nfs/dbraw/zinc/07/75/26/1073077526.db2.gz CMNHFMUNQSCUMV-HNNXBMFYSA-N 0 0 433.552 -0.136 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477021959 1073077394 /nfs/dbraw/zinc/07/73/94/1073077394.db2.gz CMNHFMUNQSCUMV-OAHLLOKOSA-N 0 0 433.552 -0.136 20 0 IBADRN Cn1ccc(N2CCC[C@H](NS(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2=O)n1 ZINC000477022944 1073077778 /nfs/dbraw/zinc/07/77/78/1073077778.db2.gz FMQQIPQJICSOGR-HNNXBMFYSA-N 0 0 441.535 -0.187 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NS(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2=O)n1 ZINC000477022947 1073077841 /nfs/dbraw/zinc/07/78/41/1073077841.db2.gz FMQQIPQJICSOGR-OAHLLOKOSA-N 0 0 441.535 -0.187 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@H]2CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)N1 ZINC000477023269 1073077447 /nfs/dbraw/zinc/07/74/47/1073077447.db2.gz PXXKYKSPPKKEHN-BLLLJJGKSA-N 0 0 430.508 -0.737 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@H]2CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)N1 ZINC000477023270 1073077499 /nfs/dbraw/zinc/07/74/99/1073077499.db2.gz PXXKYKSPPKKEHN-LRDDRELGSA-N 0 0 430.508 -0.737 20 0 IBADRN O=C1NC(=O)[C@]2(CCC[C@@H]2CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)N1 ZINC000477023271 1073077473 /nfs/dbraw/zinc/07/74/73/1073077473.db2.gz PXXKYKSPPKKEHN-MLGOLLRUSA-N 0 0 430.508 -0.737 20 0 IBADRN O=C1NC(=O)[C@@]2(CCC[C@@H]2CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)N1 ZINC000477023272 1073077418 /nfs/dbraw/zinc/07/74/18/1073077418.db2.gz PXXKYKSPPKKEHN-WBMJQRKESA-N 0 0 430.508 -0.737 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477023552 1073077898 /nfs/dbraw/zinc/07/78/98/1073077898.db2.gz HSNZRJCIPQDJNU-DZGCQCFKSA-N 0 0 439.581 -0.398 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477023553 1073077933 /nfs/dbraw/zinc/07/79/33/1073077933.db2.gz HSNZRJCIPQDJNU-HIFRSBDPSA-N 0 0 439.581 -0.398 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477023554 1073077948 /nfs/dbraw/zinc/07/79/48/1073077948.db2.gz HSNZRJCIPQDJNU-UKRRQHHQSA-N 0 0 439.581 -0.398 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477023555 1073077956 /nfs/dbraw/zinc/07/79/56/1073077956.db2.gz HSNZRJCIPQDJNU-ZFWWWQNUSA-N 0 0 439.581 -0.398 20 0 IBADRN CN(C1CCN(S(C)(=O)=O)CC1)S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477023560 1073077760 /nfs/dbraw/zinc/07/77/60/1073077760.db2.gz HVGBKBSTHJRWCN-UHFFFAOYSA-N 0 0 439.581 -0.350 20 0 IBADRN CCN(CC)S(=O)(=O)CCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000477023643 1073077830 /nfs/dbraw/zinc/07/78/30/1073077830.db2.gz XQDAZNBIMZFCQT-UHFFFAOYSA-N 0 0 427.570 -0.444 20 0 IBADRN Cn1cc(CN2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)cn1 ZINC000477024929 1073077746 /nfs/dbraw/zinc/07/77/46/1073077746.db2.gz SBKMZXFCYUCDFZ-UHFFFAOYSA-N 0 0 427.552 -0.154 20 0 IBADRN CNS(=O)(=O)c1cccc(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)c1 ZINC000477025005 1073077797 /nfs/dbraw/zinc/07/77/97/1073077797.db2.gz ZUZZHAOINJKAGL-UHFFFAOYSA-N 0 0 447.560 -0.007 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000477025260 1073077918 /nfs/dbraw/zinc/07/79/18/1073077918.db2.gz WQPSFNQZQGAHCI-CYBMUJFWSA-N 0 0 426.561 -0.802 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000477025261 1073077965 /nfs/dbraw/zinc/07/79/65/1073077965.db2.gz WQPSFNQZQGAHCI-ZDUSSCGKSA-N 0 0 426.561 -0.802 20 0 IBADRN Cn1ccnc1N1CCC(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000477026405 1073077723 /nfs/dbraw/zinc/07/77/23/1073077723.db2.gz RHJOTFNOKYJYGK-UHFFFAOYSA-N 0 0 446.533 -0.061 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc2c(c1)S(=O)(=O)CC2)N1CCSCC1 ZINC000477027149 1073077087 /nfs/dbraw/zinc/07/70/87/1073077087.db2.gz UDLSWIHRRXFSIJ-UHFFFAOYSA-N 0 0 440.590 -0.327 20 0 IBADRN NC(=O)COc1cccc(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)c1 ZINC000477028481 1073078295 /nfs/dbraw/zinc/07/82/95/1073078295.db2.gz FYAHTSOOVXNKHT-UHFFFAOYSA-N 0 0 427.504 -0.051 20 0 IBADRN COCc1nc2n(n1)C[C@H](NS(=O)(=O)CCNS(=O)(=O)c1ccccc1)CC2 ZINC000477029415 1073078262 /nfs/dbraw/zinc/07/82/62/1073078262.db2.gz JVJVGHXFFXIJPU-CYBMUJFWSA-N 0 0 429.524 -0.363 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NS(=O)(=O)CCNS(=O)(=O)c1ccccc1)CC2 ZINC000477029416 1073078112 /nfs/dbraw/zinc/07/81/12/1073078112.db2.gz JVJVGHXFFXIJPU-ZDUSSCGKSA-N 0 0 429.524 -0.363 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000477029418 1073078198 /nfs/dbraw/zinc/07/81/98/1073078198.db2.gz JWMFEURDMJQHNB-INIZCTEOSA-N 0 0 427.548 -0.057 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000477029419 1073078152 /nfs/dbraw/zinc/07/81/52/1073078152.db2.gz JWMFEURDMJQHNB-MRXNPFEDSA-N 0 0 427.548 -0.057 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000477030104 1073078552 /nfs/dbraw/zinc/07/85/52/1073078552.db2.gz BATBHVZOFDHZPX-UHFFFAOYSA-N 0 0 429.520 -0.625 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477030136 1073078615 /nfs/dbraw/zinc/07/86/15/1073078615.db2.gz LQNOGGKDYBVGIN-KRWDZBQOSA-N 0 0 444.579 -0.467 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477030137 1073078757 /nfs/dbraw/zinc/07/87/57/1073078757.db2.gz LQNOGGKDYBVGIN-QGZVFWFLSA-N 0 0 444.579 -0.467 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000477030225 1073078281 /nfs/dbraw/zinc/07/82/81/1073078281.db2.gz CEFWPVKBHUHLOZ-DLBZAZTESA-N 0 0 447.602 -0.283 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000477030231 1073078234 /nfs/dbraw/zinc/07/82/34/1073078234.db2.gz CEFWPVKBHUHLOZ-IAGOWNOFSA-N 0 0 447.602 -0.283 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000477030233 1073078604 /nfs/dbraw/zinc/07/86/04/1073078604.db2.gz CEFWPVKBHUHLOZ-IRXDYDNUSA-N 0 0 447.602 -0.283 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000477030235 1073078671 /nfs/dbraw/zinc/07/86/71/1073078671.db2.gz CEFWPVKBHUHLOZ-SJORKVTESA-N 0 0 447.602 -0.283 20 0 IBADRN Cc1cc(N2CC[C@H](NS(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2=O)n(C)n1 ZINC000477030837 1073078655 /nfs/dbraw/zinc/07/86/55/1073078655.db2.gz DDOKWNXGFVUEFZ-HNNXBMFYSA-N 0 0 441.535 -0.268 20 0 IBADRN Cc1cc(N2CC[C@@H](NS(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2=O)n(C)n1 ZINC000477030887 1073078736 /nfs/dbraw/zinc/07/87/36/1073078736.db2.gz DDOKWNXGFVUEFZ-OAHLLOKOSA-N 0 0 441.535 -0.268 20 0 IBADRN CCS(=O)(=O)NC1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000477031072 1073078681 /nfs/dbraw/zinc/07/86/81/1073078681.db2.gz DUXIVGKEWWCUIL-UHFFFAOYSA-N 0 0 439.581 -0.302 20 0 IBADRN O=C(NCCCO)C1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000477033978 1073079091 /nfs/dbraw/zinc/07/90/91/1073079091.db2.gz OSJUWMXJCHIPQV-INIZCTEOSA-N 0 0 434.559 -0.605 20 0 IBADRN O=C(NCCCO)C1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000477033979 1073078994 /nfs/dbraw/zinc/07/89/94/1073078994.db2.gz OSJUWMXJCHIPQV-MRXNPFEDSA-N 0 0 434.559 -0.605 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC(O)(C3CC3)C2)c(=O)[nH]c1=O ZINC000477034705 1073079138 /nfs/dbraw/zinc/07/91/38/1073079138.db2.gz ZFXIQKGJTZSKMJ-UHFFFAOYSA-N 0 0 437.497 -0.316 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000477035077 1073079155 /nfs/dbraw/zinc/07/91/55/1073079155.db2.gz OKSJXNUPBIRHCP-AWEZNQCLSA-N 0 0 439.581 -0.444 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000477035079 1073079120 /nfs/dbraw/zinc/07/91/20/1073079120.db2.gz OKSJXNUPBIRHCP-CQSZACIVSA-N 0 0 439.581 -0.444 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000477049064 1073079082 /nfs/dbraw/zinc/07/90/82/1073079082.db2.gz MINLYAPQICYLOO-UHFFFAOYSA-N 0 0 428.471 -0.742 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000477050497 1073079402 /nfs/dbraw/zinc/07/94/02/1073079402.db2.gz WASRAWSCYBEZKF-AWEZNQCLSA-N 0 0 426.561 -0.848 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000477050498 1073079391 /nfs/dbraw/zinc/07/93/91/1073079391.db2.gz WASRAWSCYBEZKF-CQSZACIVSA-N 0 0 426.561 -0.848 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000477050787 1073079446 /nfs/dbraw/zinc/07/94/46/1073079446.db2.gz PUYQCCRRDSRYLC-UHFFFAOYSA-N 0 0 448.567 -0.355 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cn1)NC1CC1 ZINC000477051009 1073079022 /nfs/dbraw/zinc/07/90/22/1073079022.db2.gz XUEILVPEFSYOJG-HNNXBMFYSA-N 0 0 428.515 -0.228 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cn1)NC1CC1 ZINC000477051010 1073079359 /nfs/dbraw/zinc/07/93/59/1073079359.db2.gz XUEILVPEFSYOJG-OAHLLOKOSA-N 0 0 428.515 -0.228 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CC1 ZINC000477052862 1073079487 /nfs/dbraw/zinc/07/94/87/1073079487.db2.gz XNQOLFYGPGVWSB-UHFFFAOYSA-N 0 0 443.526 -0.579 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)CCOC(C)C)CC2)c(=O)[nH]c1=O ZINC000477058169 1073079371 /nfs/dbraw/zinc/07/93/71/1073079371.db2.gz PXLVJAHUVIXNLD-UHFFFAOYSA-N 0 0 431.515 -0.894 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000477058503 1073080474 /nfs/dbraw/zinc/08/04/74/1073080474.db2.gz RDMKRPTZUOITRY-UHFFFAOYSA-N 0 0 440.570 -0.502 20 0 IBADRN Cn1nnnc1CNC(=O)CC(CC(=O)NCc1nnnn1C)c1ccc(Cl)cc1 ZINC000477063308 1073080494 /nfs/dbraw/zinc/08/04/94/1073080494.db2.gz CNNYSGRNKZDQJA-UHFFFAOYSA-N 0 0 432.876 -0.116 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCCO3)CC2)C[C@@H](C)O1 ZINC000477063627 1073079672 /nfs/dbraw/zinc/07/96/72/1073079672.db2.gz IXNGZYYPJBBLQY-BZUAXINKSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCCO3)CC2)C[C@@H](C)O1 ZINC000477063628 1073079795 /nfs/dbraw/zinc/07/97/95/1073079795.db2.gz IXNGZYYPJBBLQY-OAGGEKHMSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCCO3)CC2)C[C@H](C)O1 ZINC000477063629 1073079813 /nfs/dbraw/zinc/07/98/13/1073079813.db2.gz IXNGZYYPJBBLQY-OWCLPIDISA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCCO3)CC2)C[C@H](C)O1 ZINC000477063630 1073079784 /nfs/dbraw/zinc/07/97/84/1073079784.db2.gz IXNGZYYPJBBLQY-XHSDSOJGSA-N 0 0 432.543 -0.830 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)CCOC(C)C)CC2)c(=O)[nH]c1=O ZINC000477065218 1073079629 /nfs/dbraw/zinc/07/96/29/1073079629.db2.gz CPFLYYCQTLTNKP-UHFFFAOYSA-N 0 0 445.542 -0.504 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000477066768 1073079768 /nfs/dbraw/zinc/07/97/68/1073079768.db2.gz NLIJXQKQLKYKSJ-UHFFFAOYSA-N 0 0 448.567 -0.355 20 0 IBADRN Cc1c2cc(C(=O)NCc3nnnn3C)cnc2sc1C(=O)NCc1nnnn1C ZINC000477068299 1073079756 /nfs/dbraw/zinc/07/97/56/1073079756.db2.gz OCNODIUKEBPYMF-UHFFFAOYSA-N 0 0 427.454 -0.488 20 0 IBADRN Cn1nnnc1CNC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC000477069137 1073079861 /nfs/dbraw/zinc/07/98/61/1073079861.db2.gz AVURSQXKIRENAH-UHFFFAOYSA-N 0 0 435.466 -0.391 20 0 IBADRN Cn1nnnc1CNC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000477073632 1073080587 /nfs/dbraw/zinc/08/05/87/1073080587.db2.gz GWVPBBQHGOZCMD-UHFFFAOYSA-N 0 0 431.272 -0.358 20 0 IBADRN Cn1nnnc1CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000477074265 1073080247 /nfs/dbraw/zinc/08/02/47/1073080247.db2.gz RCOHGFIQIJPXTH-UHFFFAOYSA-N 0 0 439.473 -0.721 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCc2nnnn2C)c1OC ZINC000477078847 1073081075 /nfs/dbraw/zinc/08/10/75/1073081075.db2.gz YCNLDMQZJZEGKS-UHFFFAOYSA-N 0 0 426.455 -0.822 20 0 IBADRN Cn1nnnc1CNC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000477085045 1073080440 /nfs/dbraw/zinc/08/04/40/1073080440.db2.gz KEKZMDIYAKSMFI-UHFFFAOYSA-N 0 0 433.450 -0.585 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1CC[C@H](C(N)=O)O1)N(Cc1ccccc1)C(=O)[C@@H]1CC[C@H](C(N)=O)O1 ZINC000477089495 1073080505 /nfs/dbraw/zinc/08/05/05/1073080505.db2.gz NPCJBEODBRYXLO-IASZTOCISA-N 0 0 446.504 -0.414 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1CC[C@H](C(N)=O)O1)N(Cc1ccccc1)C(=O)[C@H]1CC[C@H](C(N)=O)O1 ZINC000477089502 1073080483 /nfs/dbraw/zinc/08/04/83/1073080483.db2.gz NPCJBEODBRYXLO-IREHDKGXSA-N 0 0 446.504 -0.414 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1CC[C@H](C(N)=O)O1)N(Cc1ccccc1)C(=O)[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000477089504 1073080461 /nfs/dbraw/zinc/08/04/61/1073080461.db2.gz NPCJBEODBRYXLO-ITWBUVANSA-N 0 0 446.504 -0.414 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1CC[C@H](C(N)=O)O1)N(Cc1ccccc1)C(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC000477089507 1073080639 /nfs/dbraw/zinc/08/06/39/1073080639.db2.gz NPCJBEODBRYXLO-SRVCANOKSA-N 0 0 446.504 -0.414 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)NCCOCCS(N)(=O)=O)cc2)CC1 ZINC000477105588 1073081088 /nfs/dbraw/zinc/08/10/88/1073081088.db2.gz DPZGNAPVBMXJRV-UHFFFAOYSA-N 0 0 441.554 -0.180 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cc1 ZINC000477107570 1073081381 /nfs/dbraw/zinc/08/13/81/1073081381.db2.gz DILWTXGVLQQCOF-UHFFFAOYSA-N 0 0 438.487 -0.007 20 0 IBADRN CN(C)c1noc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000477111805 1073081365 /nfs/dbraw/zinc/08/13/65/1073081365.db2.gz INQLCVAVDSHDTP-UHFFFAOYSA-N 0 0 431.496 -0.365 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000477114498 1073081317 /nfs/dbraw/zinc/08/13/17/1073081317.db2.gz TYQVFDVNJPAJBZ-CLCXKQKWSA-N 0 0 427.498 -0.526 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000477114505 1073081303 /nfs/dbraw/zinc/08/13/03/1073081303.db2.gz TYQVFDVNJPAJBZ-KHYOSLBOSA-N 0 0 427.498 -0.526 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000477114507 1073081768 /nfs/dbraw/zinc/08/17/68/1073081768.db2.gz TYQVFDVNJPAJBZ-QMTMVMCOSA-N 0 0 427.498 -0.526 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000477114508 1073081636 /nfs/dbraw/zinc/08/16/36/1073081636.db2.gz TYQVFDVNJPAJBZ-SPYBWZPUSA-N 0 0 427.498 -0.526 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000477116428 1073081776 /nfs/dbraw/zinc/08/17/76/1073081776.db2.gz WZJRMOVLONTXDE-IIMJZQEZSA-N 0 0 446.508 -0.046 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000477116433 1073081829 /nfs/dbraw/zinc/08/18/29/1073081829.db2.gz WZJRMOVLONTXDE-PTSWNOGYSA-N 0 0 446.508 -0.046 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000477116954 1073081393 /nfs/dbraw/zinc/08/13/93/1073081393.db2.gz HYESJPDXONNPNG-HNNXBMFYSA-N 0 0 438.616 -0.480 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000477116955 1073081279 /nfs/dbraw/zinc/08/12/79/1073081279.db2.gz HYESJPDXONNPNG-OAHLLOKOSA-N 0 0 438.616 -0.480 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000477118285 1073081293 /nfs/dbraw/zinc/08/12/93/1073081293.db2.gz KEKZXVKBYKTNJF-GOSISDBHSA-N 0 0 438.506 -0.126 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000477118286 1073081435 /nfs/dbraw/zinc/08/14/35/1073081435.db2.gz KEKZXVKBYKTNJF-SFHVURJKSA-N 0 0 438.506 -0.126 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000477119211 1073081401 /nfs/dbraw/zinc/08/14/01/1073081401.db2.gz UAFHVLOBBLDIKZ-UHFFFAOYSA-N 0 0 435.572 -0.638 20 0 IBADRN Cc1cc(CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)ccc1S(N)(=O)=O ZINC000477120722 1073081719 /nfs/dbraw/zinc/08/17/19/1073081719.db2.gz OXBVTPFEZRWFLE-GOSISDBHSA-N 0 0 438.506 -0.126 20 0 IBADRN Cc1cc(CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)ccc1S(N)(=O)=O ZINC000477120723 1073081693 /nfs/dbraw/zinc/08/16/93/1073081693.db2.gz OXBVTPFEZRWFLE-SFHVURJKSA-N 0 0 438.506 -0.126 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)CC1 ZINC000477120748 1073081676 /nfs/dbraw/zinc/08/16/76/1073081676.db2.gz PDYVREPURWPUJA-INIZCTEOSA-N 0 0 437.584 -0.441 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)CC1 ZINC000477120749 1073081747 /nfs/dbraw/zinc/08/17/47/1073081747.db2.gz PDYVREPURWPUJA-MRXNPFEDSA-N 0 0 437.584 -0.441 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000477120866 1073081671 /nfs/dbraw/zinc/08/16/71/1073081671.db2.gz LBJQCHZHCUQJGH-FTLABTOESA-N 0 0 426.470 -0.826 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000477120867 1073081658 /nfs/dbraw/zinc/08/16/58/1073081658.db2.gz LBJQCHZHCUQJGH-OAVHHTNSSA-N 0 0 426.470 -0.826 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000477120868 1073081734 /nfs/dbraw/zinc/08/17/34/1073081734.db2.gz LBJQCHZHCUQJGH-PZROIBLQSA-N 0 0 426.470 -0.826 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000477120869 1073081625 /nfs/dbraw/zinc/08/16/25/1073081625.db2.gz LBJQCHZHCUQJGH-SOZUMNATSA-N 0 0 426.470 -0.826 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)CCC(=O)OCC)[C@H](C)C1 ZINC000477122599 1073081997 /nfs/dbraw/zinc/08/19/97/1073081997.db2.gz ALLGBBVSFCDYLG-CYBMUJFWSA-N 0 0 428.529 -0.442 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)CCCC(=O)OC)[C@H](C)C1 ZINC000477124271 1073082123 /nfs/dbraw/zinc/08/21/23/1073082123.db2.gz CXINOBHOMODZNC-CYBMUJFWSA-N 0 0 428.529 -0.442 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000477126862 1073081802 /nfs/dbraw/zinc/08/18/02/1073081802.db2.gz KCEZHXXTAAUCHY-UHFFFAOYSA-N 0 0 440.522 -0.995 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477132035 1073082503 /nfs/dbraw/zinc/08/25/03/1073082503.db2.gz BKZOJECRQRPVRK-GFCCVEGCSA-N 0 0 427.479 -0.064 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477132036 1073082624 /nfs/dbraw/zinc/08/26/24/1073082624.db2.gz BKZOJECRQRPVRK-LBPRGKRZSA-N 0 0 427.479 -0.064 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000477132796 1073082156 /nfs/dbraw/zinc/08/21/56/1073082156.db2.gz DNMZFMKRBJENOY-KBXCAEBGSA-N 0 0 442.538 -0.372 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000477132797 1073082009 /nfs/dbraw/zinc/08/20/09/1073082009.db2.gz DNMZFMKRBJENOY-KDOFPFPSSA-N 0 0 442.538 -0.372 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000477132798 1073082260 /nfs/dbraw/zinc/08/22/60/1073082260.db2.gz DNMZFMKRBJENOY-KSSFIOAISA-N 0 0 442.538 -0.372 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000477132799 1073081970 /nfs/dbraw/zinc/08/19/70/1073081970.db2.gz DNMZFMKRBJENOY-RDTXWAMCSA-N 0 0 442.538 -0.372 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000477133865 1073082205 /nfs/dbraw/zinc/08/22/05/1073082205.db2.gz GHBQDQKXCZKCEX-UHFFFAOYSA-N 0 0 439.581 -0.533 20 0 IBADRN CNC(=O)[C@H](NC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC)C(C)C ZINC000477138744 1073082147 /nfs/dbraw/zinc/08/21/47/1073082147.db2.gz HFIWSUHACJOZFO-MRXNPFEDSA-N 0 0 440.522 -0.245 20 0 IBADRN CCN(CC)C(=O)CN1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000477139120 1073082298 /nfs/dbraw/zinc/08/22/98/1073082298.db2.gz QUWGFYHJHAWCNP-UHFFFAOYSA-N 0 0 438.616 -0.526 20 0 IBADRN CCOC(=O)CN1CCCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000477140225 1073082358 /nfs/dbraw/zinc/08/23/58/1073082358.db2.gz QOUDXHXNIDMSRW-UHFFFAOYSA-N 0 0 425.573 -0.441 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CSC[C@H]2C(=O)N2CCCC2)CC1 ZINC000477141147 1073082738 /nfs/dbraw/zinc/08/27/38/1073082738.db2.gz TXMHIYBFARLAAU-AWEZNQCLSA-N 0 0 425.598 -0.015 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CSC[C@@H]2C(=O)N2CCCC2)CC1 ZINC000477141149 1073082636 /nfs/dbraw/zinc/08/26/36/1073082636.db2.gz TXMHIYBFARLAAU-CQSZACIVSA-N 0 0 425.598 -0.015 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000477141175 1073082491 /nfs/dbraw/zinc/08/24/91/1073082491.db2.gz UOJGXVPILRWUHU-UHFFFAOYSA-N 0 0 437.565 -0.302 20 0 IBADRN CN(C(=O)[C@@H]1CSCN1S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000477142541 1073082522 /nfs/dbraw/zinc/08/25/22/1073082522.db2.gz WQTWTRYOQRXWLL-AWEZNQCLSA-N 0 0 425.598 -0.017 20 0 IBADRN CN(C(=O)[C@H]1CSCN1S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000477142548 1073082554 /nfs/dbraw/zinc/08/25/54/1073082554.db2.gz WQTWTRYOQRXWLL-CQSZACIVSA-N 0 0 425.598 -0.017 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(C)nn2-c2ccc(C)nn2)C1=O ZINC000477142698 1073082573 /nfs/dbraw/zinc/08/25/73/1073082573.db2.gz NTMGDVGOSANLRU-CYBMUJFWSA-N 0 0 429.437 -0.502 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(C)nn2-c2ccc(C)nn2)C1=O ZINC000477142700 1073082678 /nfs/dbraw/zinc/08/26/78/1073082678.db2.gz NTMGDVGOSANLRU-ZDUSSCGKSA-N 0 0 429.437 -0.502 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(F)c1 ZINC000477148313 1073083245 /nfs/dbraw/zinc/08/32/45/1073083245.db2.gz HETGGDVCHODNRV-UHFFFAOYSA-N 0 0 448.498 -0.691 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)nn1 ZINC000477154399 1073083286 /nfs/dbraw/zinc/08/32/86/1073083286.db2.gz URWCMZNUCWWJKA-AWEZNQCLSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)nn1 ZINC000477154401 1073083209 /nfs/dbraw/zinc/08/32/09/1073083209.db2.gz URWCMZNUCWWJKA-CQSZACIVSA-N 0 0 433.494 -0.064 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)CC2(C)C)cc1[C@]1(C)NC(=O)NC1=O ZINC000477154908 1073082974 /nfs/dbraw/zinc/08/29/74/1073082974.db2.gz UECLQXNEVOSUFL-KRWDZBQOSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)CC2(C)C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000477154909 1073082893 /nfs/dbraw/zinc/08/28/93/1073082893.db2.gz UECLQXNEVOSUFL-QGZVFWFLSA-N 0 0 445.519 -0.053 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cnn(Cc3ccccc3)c2)CC1)N1CCOCC1 ZINC000477159079 1073083719 /nfs/dbraw/zinc/08/37/19/1073083719.db2.gz FSNXIYVRSFVUGD-UHFFFAOYSA-N 0 0 447.517 -0.377 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)n(-c2ccc(C)nn2)n1 ZINC000477160363 1073083269 /nfs/dbraw/zinc/08/32/69/1073083269.db2.gz GGXFXWBHHMTICT-UHFFFAOYSA-N 0 0 444.477 -0.293 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)n(-c2ccc(C)nn2)n1 ZINC000477162528 1073083032 /nfs/dbraw/zinc/08/30/32/1073083032.db2.gz JVZZGOSHWKTEDM-UHFFFAOYSA-N 0 0 428.497 -0.160 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000477162880 1073084535 /nfs/dbraw/zinc/08/45/35/1073084535.db2.gz INZWWNSOBOBNCO-DZGCQCFKSA-N 0 0 441.506 -0.523 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000477162891 1073084523 /nfs/dbraw/zinc/08/45/23/1073084523.db2.gz INZWWNSOBOBNCO-HIFRSBDPSA-N 0 0 441.506 -0.523 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000477162893 1073084297 /nfs/dbraw/zinc/08/42/97/1073084297.db2.gz INZWWNSOBOBNCO-UKRRQHHQSA-N 0 0 441.506 -0.523 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000477162895 1073084407 /nfs/dbraw/zinc/08/44/07/1073084407.db2.gz INZWWNSOBOBNCO-ZFWWWQNUSA-N 0 0 441.506 -0.523 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477164009 1073084689 /nfs/dbraw/zinc/08/46/89/1073084689.db2.gz MNLZPVVZFLYZRW-HNNXBMFYSA-N 0 0 438.506 -0.395 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477164010 1073084885 /nfs/dbraw/zinc/08/48/85/1073084885.db2.gz MNLZPVVZFLYZRW-OAHLLOKOSA-N 0 0 438.506 -0.395 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)nn1 ZINC000477164874 1073084045 /nfs/dbraw/zinc/08/40/45/1073084045.db2.gz OAHVWTWRIISIQA-UHFFFAOYSA-N 0 0 430.450 -0.601 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000477175700 1073084819 /nfs/dbraw/zinc/08/48/19/1073084819.db2.gz KMISCSFEIDJXSP-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H](C(N)=O)c2cccc(Br)c2)c(=O)n(C)c1=O ZINC000477185107 1073085498 /nfs/dbraw/zinc/08/54/98/1073085498.db2.gz FOMSDACZPRDGLX-NSHDSACASA-N 0 0 431.268 -0.649 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)C1 ZINC000477186124 1073085706 /nfs/dbraw/zinc/08/57/06/1073085706.db2.gz KFHOCAGVVKBGFS-AWEZNQCLSA-N 0 0 431.536 -0.051 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)C1 ZINC000477186127 1073085628 /nfs/dbraw/zinc/08/56/28/1073085628.db2.gz KFHOCAGVVKBGFS-CQSZACIVSA-N 0 0 431.536 -0.051 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000477186882 1073085772 /nfs/dbraw/zinc/08/57/72/1073085772.db2.gz AKVQXPJZJJPNIG-HDICACEKSA-N 0 0 446.614 -0.021 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000477186887 1073085644 /nfs/dbraw/zinc/08/56/44/1073085644.db2.gz AKVQXPJZJJPNIG-QZTJIDSGSA-N 0 0 446.614 -0.021 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000477186888 1073085560 /nfs/dbraw/zinc/08/55/60/1073085560.db2.gz AKVQXPJZJJPNIG-ROUUACIJSA-N 0 0 446.614 -0.021 20 0 IBADRN CCN(C(=O)CCSc1nnnn1C)C1CN(C(=O)CCSc2nnnn2C)C1 ZINC000477188230 1073085528 /nfs/dbraw/zinc/08/55/28/1073085528.db2.gz DFHGBVDAMKMSQD-UHFFFAOYSA-N 0 0 440.559 -0.543 20 0 IBADRN COc1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1OC ZINC000477191233 1073084839 /nfs/dbraw/zinc/08/48/39/1073084839.db2.gz RZPVWRDWPKDFLC-AWEZNQCLSA-N 0 0 425.507 -0.235 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000477193750 1073085067 /nfs/dbraw/zinc/08/50/67/1073085067.db2.gz NELAGADPTVULIX-UHFFFAOYSA-N 0 0 433.552 -0.136 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000477194372 1073085273 /nfs/dbraw/zinc/08/52/73/1073085273.db2.gz AWUXCVWJCMJWCD-KRWDZBQOSA-N 0 0 432.587 -0.124 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000477194377 1073085172 /nfs/dbraw/zinc/08/51/72/1073085172.db2.gz AWUXCVWJCMJWCD-QGZVFWFLSA-N 0 0 432.587 -0.124 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000477194490 1073085216 /nfs/dbraw/zinc/08/52/16/1073085216.db2.gz NUNYQDANADXHGI-FQEVSTJZSA-N 0 0 437.541 -0.385 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000477194491 1073085039 /nfs/dbraw/zinc/08/50/39/1073085039.db2.gz NUNYQDANADXHGI-HXUWFJFHSA-N 0 0 437.541 -0.385 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CNS(=O)(=O)CCOC(C)C)CC2)no1 ZINC000477196912 1074352122 /nfs/dbraw/zinc/35/21/22/1074352122.db2.gz HAJBAODDLPCHSD-UHFFFAOYSA-N 0 0 431.515 -0.590 20 0 IBADRN CCN(C(=O)CCC(=O)N1CCOCC1)C1CN(C(=O)CCC(=O)N2CCOCC2)C1 ZINC000477197071 1073085482 /nfs/dbraw/zinc/08/54/82/1073085482.db2.gz ZJQULCKNYHNCDR-UHFFFAOYSA-N 0 0 438.525 -0.676 20 0 IBADRN CCN(C(=O)Cn1c(C)cc(O)cc1=O)C1CN(C(=O)Cn2c(C)cc(O)cc2=O)C1 ZINC000477197664 1073085951 /nfs/dbraw/zinc/08/59/51/1073085951.db2.gz WBEXKSYAZGQJNB-UHFFFAOYSA-N 0 0 430.461 -0.202 20 0 IBADRN CCN(C(=O)[C@H]1CC(=O)N(CCOC)C1)C1CN(C(=O)[C@@H]2CC(=O)N(CCOC)C2)C1 ZINC000477198014 1073085994 /nfs/dbraw/zinc/08/59/94/1073085994.db2.gz JPFNWKBGEFEHJQ-CVEARBPZSA-N 0 0 438.525 -0.965 20 0 IBADRN CCN(C(=O)[C@H]1CC(=O)N(CCOC)C1)C1CN(C(=O)[C@H]2CC(=O)N(CCOC)C2)C1 ZINC000477198015 1073085965 /nfs/dbraw/zinc/08/59/65/1073085965.db2.gz JPFNWKBGEFEHJQ-HOTGVXAUSA-N 0 0 438.525 -0.965 20 0 IBADRN CCN(C(=O)[C@@H]1CC(=O)N(CCOC)C1)C1CN(C(=O)[C@@H]2CC(=O)N(CCOC)C2)C1 ZINC000477198016 1073086097 /nfs/dbraw/zinc/08/60/97/1073086097.db2.gz JPFNWKBGEFEHJQ-HZPDHXFCSA-N 0 0 438.525 -0.965 20 0 IBADRN CCN(C(=O)[C@@H]1CC(=O)N(CCOC)C1)C1CN(C(=O)[C@H]2CC(=O)N(CCOC)C2)C1 ZINC000477198017 1073085980 /nfs/dbraw/zinc/08/59/80/1073085980.db2.gz JPFNWKBGEFEHJQ-JKSUJKDBSA-N 0 0 438.525 -0.965 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000477199070 1073086123 /nfs/dbraw/zinc/08/61/23/1073086123.db2.gz QTVVZRJMCQFMFE-UHFFFAOYSA-N 0 0 432.587 -0.122 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000477199784 1073085874 /nfs/dbraw/zinc/08/58/74/1073085874.db2.gz DQYOOHFJPRKHSK-NRFANRHFSA-N 0 0 449.552 -0.241 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000477199789 1073086019 /nfs/dbraw/zinc/08/60/19/1073086019.db2.gz DQYOOHFJPRKHSK-OAQYLSRUSA-N 0 0 449.552 -0.241 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)C1 ZINC000477202861 1073086306 /nfs/dbraw/zinc/08/63/06/1073086306.db2.gz FXEMXMMZJSBDSF-UHFFFAOYSA-N 0 0 438.506 -0.537 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCc2cccc(NC(=O)c3ccncc3)c2)C1 ZINC000477202945 1073086491 /nfs/dbraw/zinc/08/64/91/1073086491.db2.gz IIFRIGSHHZRUGZ-UHFFFAOYSA-N 0 0 445.501 -0.042 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000477204782 1073086335 /nfs/dbraw/zinc/08/63/35/1073086335.db2.gz QOJUNTDXJOIJTF-IBGZPJMESA-N 0 0 449.508 -0.027 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000477204783 1073086512 /nfs/dbraw/zinc/08/65/12/1073086512.db2.gz QOJUNTDXJOIJTF-LJQANCHMSA-N 0 0 449.508 -0.027 20 0 IBADRN CCN(C(=O)Cn1c(C)cc(C)nc1=O)C1CN(C(=O)Cn2c(C)cc(C)nc2=O)C1 ZINC000477204786 1073086447 /nfs/dbraw/zinc/08/64/47/1073086447.db2.gz QPKVHYZGBXAKGW-UHFFFAOYSA-N 0 0 428.493 -0.207 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cccc(N3CCCNC3=O)c2)CC1)N1CCOCC1 ZINC000477206725 1073086806 /nfs/dbraw/zinc/08/68/06/1073086806.db2.gz LMJAMHBDERYGFH-UHFFFAOYSA-N 0 0 429.477 -0.251 20 0 IBADRN O=C(Cn1cccn1)Nc1cccc(CNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)c1 ZINC000477209152 1073086835 /nfs/dbraw/zinc/08/68/35/1073086835.db2.gz UPOZDRVTFWEICV-GOSISDBHSA-N 0 0 426.477 -0.319 20 0 IBADRN O=C(Cn1cccn1)Nc1cccc(CNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)c1 ZINC000477209162 1073086878 /nfs/dbraw/zinc/08/68/78/1073086878.db2.gz UPOZDRVTFWEICV-SFHVURJKSA-N 0 0 426.477 -0.319 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000477210086 1073086792 /nfs/dbraw/zinc/08/67/92/1073086792.db2.gz VWHMASJHCYYQJQ-GOSISDBHSA-N 0 0 426.539 -0.298 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000477210087 1073086822 /nfs/dbraw/zinc/08/68/22/1073086822.db2.gz VWHMASJHCYYQJQ-SFHVURJKSA-N 0 0 426.539 -0.298 20 0 IBADRN O=C(c1cccc(N2CCCNC2=O)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000477210348 1073086629 /nfs/dbraw/zinc/08/66/29/1073086629.db2.gz PAHVSIAISLLWOR-UHFFFAOYSA-N 0 0 437.522 -0.059 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(Cc2ccc(C(N)=O)cc2)CC1 ZINC000477210918 1073086694 /nfs/dbraw/zinc/08/66/94/1073086694.db2.gz ABXVGBMNZKNAKH-UHFFFAOYSA-N 0 0 426.539 -0.226 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccncc2Br)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000477211233 1073086779 /nfs/dbraw/zinc/08/67/79/1073086779.db2.gz CKXUXBXYQJWLTB-GFCCVEGCSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccncc2Br)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000477211234 1073086849 /nfs/dbraw/zinc/08/68/49/1073086849.db2.gz CKXUXBXYQJWLTB-LBPRGKRZSA-N 0 0 445.339 -0.095 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)c3ccncc3)c2)C1 ZINC000477212219 1073087339 /nfs/dbraw/zinc/08/73/39/1073087339.db2.gz ASOJQWIWKQVAMG-INIZCTEOSA-N 0 0 431.474 -0.161 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)c3ccncc3)c2)C1 ZINC000477212222 1073087285 /nfs/dbraw/zinc/08/72/85/1073087285.db2.gz ASOJQWIWKQVAMG-MRXNPFEDSA-N 0 0 431.474 -0.161 20 0 IBADRN COc1ccc(NC(=O)NCCOCCS(N)(=O)=O)cc1-n1nnnc1C1CC1 ZINC000477214914 1073086434 /nfs/dbraw/zinc/08/64/34/1073086434.db2.gz CLTVAQCSADXOLG-UHFFFAOYSA-N 0 0 425.471 -0.025 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000477217903 1073087255 /nfs/dbraw/zinc/08/72/55/1073087255.db2.gz DWHDBQGYXGBLHN-UHFFFAOYSA-N 0 0 449.551 -0.010 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000477218735 1073087196 /nfs/dbraw/zinc/08/71/96/1073087196.db2.gz FVCOQSPHBLHXKD-UHFFFAOYSA-N 0 0 425.515 -0.644 20 0 IBADRN Cc1ccc(-n2cnnc2)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000477220451 1073087157 /nfs/dbraw/zinc/08/71/57/1073087157.db2.gz IWPWHOKSKVBOQU-UHFFFAOYSA-N 0 0 433.538 -0.174 20 0 IBADRN O=C(NCCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1cccc(F)c1 ZINC000477220602 1073087221 /nfs/dbraw/zinc/08/72/21/1073087221.db2.gz IVVWRTUKIKMJHB-UHFFFAOYSA-N 0 0 449.483 -0.342 20 0 IBADRN CN1CCO[C@H]2CN(C(=O)C(=O)NCc3cccc(NC(=O)Cn4cccn4)c3)C[C@H]21 ZINC000477221071 1073087241 /nfs/dbraw/zinc/08/72/41/1073087241.db2.gz QTJHASQIZLHPDR-MSOLQXFVSA-N 0 0 426.477 -0.321 20 0 IBADRN CN1CCO[C@@H]2CN(C(=O)C(=O)NCc3cccc(NC(=O)Cn4cccn4)c3)C[C@H]21 ZINC000477221074 1073087042 /nfs/dbraw/zinc/08/70/42/1073087042.db2.gz QTJHASQIZLHPDR-QZTJIDSGSA-N 0 0 426.477 -0.321 20 0 IBADRN CN1CCO[C@H]2CN(C(=O)C(=O)NCc3cccc(NC(=O)Cn4cccn4)c3)C[C@@H]21 ZINC000477221076 1073087145 /nfs/dbraw/zinc/08/71/45/1073087145.db2.gz QTJHASQIZLHPDR-ROUUACIJSA-N 0 0 426.477 -0.321 20 0 IBADRN CN1CCO[C@@H]2CN(C(=O)C(=O)NCc3cccc(NC(=O)Cn4cccn4)c3)C[C@@H]21 ZINC000477221078 1073087432 /nfs/dbraw/zinc/08/74/32/1073087432.db2.gz QTJHASQIZLHPDR-ZWKOTPCHSA-N 0 0 426.477 -0.321 20 0 IBADRN CCOc1ccc(C[C@@H](CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C(=O)OC)cc1 ZINC000477221453 1073087011 /nfs/dbraw/zinc/08/70/11/1073087011.db2.gz KCJBGWISCBAVPV-AWEZNQCLSA-N 0 0 439.490 -0.207 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCS(=O)(=O)c2ccccc2C1 ZINC000477222574 1073087604 /nfs/dbraw/zinc/08/76/04/1073087604.db2.gz XXOISZANISFZNX-CABCVRRESA-N 0 0 426.516 -0.162 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCS(=O)(=O)c2ccccc2C1 ZINC000477222575 1073087586 /nfs/dbraw/zinc/08/75/86/1073087586.db2.gz XXOISZANISFZNX-GJZGRUSLSA-N 0 0 426.516 -0.162 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCS(=O)(=O)c2ccccc2C1 ZINC000477222576 1073087633 /nfs/dbraw/zinc/08/76/33/1073087633.db2.gz XXOISZANISFZNX-HUUCEWRRSA-N 0 0 426.516 -0.162 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCS(=O)(=O)c2ccccc2C1 ZINC000477222577 1073087617 /nfs/dbraw/zinc/08/76/17/1073087617.db2.gz XXOISZANISFZNX-LSDHHAIUSA-N 0 0 426.516 -0.162 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCCNC(=O)c2cccc(F)c2)CC1 ZINC000477224416 1073087087 /nfs/dbraw/zinc/08/70/87/1073087087.db2.gz SJHLRDACGLDGGY-UHFFFAOYSA-N 0 0 447.467 -0.052 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000477225052 1073087269 /nfs/dbraw/zinc/08/72/69/1073087269.db2.gz TXQRRAGKBMIQTM-UHFFFAOYSA-N 0 0 440.566 -0.209 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3COCCN3C3CCCC3)CC2)CC1 ZINC000477227584 1073088052 /nfs/dbraw/zinc/08/80/52/1073088052.db2.gz YUTVIHOYBZNNLW-GOSISDBHSA-N 0 0 429.587 -0.734 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3COCCN3C3CCCC3)CC2)CC1 ZINC000477227585 1073087787 /nfs/dbraw/zinc/08/77/87/1073087787.db2.gz YUTVIHOYBZNNLW-SFHVURJKSA-N 0 0 429.587 -0.734 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000477228863 1073088740 /nfs/dbraw/zinc/08/87/40/1073088740.db2.gz CXUWHGVMXYXWAG-UHFFFAOYSA-N 0 0 434.540 -0.741 20 0 IBADRN Cc1cc(N2CCN(C(=O)CNS(=O)(=O)CCOC(C)C)CC2)n2ncnc2n1 ZINC000477231060 1073087490 /nfs/dbraw/zinc/08/74/90/1073087490.db2.gz XQJCUAMWOVCTDD-UHFFFAOYSA-N 0 0 425.515 -0.574 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCC3(S(C)(=O)=O)CC3)CC2)cc1 ZINC000477231316 1073087571 /nfs/dbraw/zinc/08/75/71/1073087571.db2.gz ADJWHWJALIJBSB-UHFFFAOYSA-N 0 0 429.564 -0.005 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)C1 ZINC000477231929 1073087476 /nfs/dbraw/zinc/08/74/76/1073087476.db2.gz HZUSNVANORNFHP-INIZCTEOSA-N 0 0 427.465 -0.332 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)C1 ZINC000477231935 1073087521 /nfs/dbraw/zinc/08/75/21/1073087521.db2.gz HZUSNVANORNFHP-MRXNPFEDSA-N 0 0 427.465 -0.332 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCc1cccc(NC(=O)Cn2cccn2)c1 ZINC000477231943 1073087506 /nfs/dbraw/zinc/08/75/06/1073087506.db2.gz IBVRXWXTWDNVRL-UHFFFAOYSA-N 0 0 429.481 -0.132 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCC2(S(C)(=O)=O)CC2)cc1C ZINC000477232494 1073087447 /nfs/dbraw/zinc/08/74/47/1073087447.db2.gz BIKJMGSPPIUWQW-HNNXBMFYSA-N 0 0 445.563 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCC2(S(C)(=O)=O)CC2)cc1C ZINC000477232495 1073087681 /nfs/dbraw/zinc/08/76/81/1073087681.db2.gz BIKJMGSPPIUWQW-OAHLLOKOSA-N 0 0 445.563 -0.091 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000477233742 1073087969 /nfs/dbraw/zinc/08/79/69/1073087969.db2.gz NQQWOMOQZHTYBI-UHFFFAOYSA-N 0 0 448.567 -0.673 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCC1 ZINC000477236659 1073089224 /nfs/dbraw/zinc/08/92/24/1073089224.db2.gz CHZFKYHLUMHFAC-UHFFFAOYSA-N 0 0 429.520 -0.496 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)C1 ZINC000477238326 1073089934 /nfs/dbraw/zinc/08/99/34/1073089934.db2.gz XMMDXSZIYXWHGU-HNNXBMFYSA-N 0 0 434.478 -0.973 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)C1 ZINC000477238327 1073089692 /nfs/dbraw/zinc/08/96/92/1073089692.db2.gz XMMDXSZIYXWHGU-OAHLLOKOSA-N 0 0 434.478 -0.973 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCC1 ZINC000477240944 1073088552 /nfs/dbraw/zinc/08/85/52/1073088552.db2.gz SPFVFHUASFJZCQ-UHFFFAOYSA-N 0 0 429.520 -0.496 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)CC1 ZINC000477246077 1073089592 /nfs/dbraw/zinc/08/95/92/1073089592.db2.gz ZWXYZQRGPFMKMQ-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC2(S(C)(=O)=O)CCC2)c1 ZINC000477246885 1073090481 /nfs/dbraw/zinc/09/04/81/1073090481.db2.gz DRKJNLMWSDNVJF-UHFFFAOYSA-N 0 0 431.536 -0.250 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(Cc2ccccc2)c1 ZINC000477247665 1073089870 /nfs/dbraw/zinc/08/98/70/1073089870.db2.gz JGXWQBBPCFEENC-HNNXBMFYSA-N 0 0 426.520 -0.145 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(Cc2ccccc2)c1 ZINC000477247668 1073089499 /nfs/dbraw/zinc/08/94/99/1073089499.db2.gz JGXWQBBPCFEENC-OAHLLOKOSA-N 0 0 426.520 -0.145 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC3(S(C)(=O)=O)CC3)c2)CC1 ZINC000477247806 1073090358 /nfs/dbraw/zinc/09/03/58/1073090358.db2.gz IVEKQJIMEHFDEU-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN O=C(CCNS(=O)(=O)c1cnn(Cc2ccccc2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000477250219 1073090375 /nfs/dbraw/zinc/09/03/75/1073090375.db2.gz NTKVUCSYWSCGRY-HNNXBMFYSA-N 0 0 426.520 -0.097 20 0 IBADRN O=C(CCNS(=O)(=O)c1cnn(Cc2ccccc2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000477250224 1073090470 /nfs/dbraw/zinc/09/04/70/1073090470.db2.gz NTKVUCSYWSCGRY-OAHLLOKOSA-N 0 0 426.520 -0.097 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCC1 ZINC000477250678 1073090165 /nfs/dbraw/zinc/09/01/65/1073090165.db2.gz JTHWQPHMNLDHTJ-GFCCVEGCSA-N 0 0 429.520 -0.230 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCC1 ZINC000477250694 1073090211 /nfs/dbraw/zinc/09/02/11/1073090211.db2.gz JTHWQPHMNLDHTJ-LBPRGKRZSA-N 0 0 429.520 -0.230 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCS(=O)(=O)c4ccccc4C3)c2c(=O)n(C)c1=O ZINC000477255376 1073089714 /nfs/dbraw/zinc/08/97/14/1073089714.db2.gz NHKMSYKWZQHPPH-UHFFFAOYSA-N 0 0 431.474 -0.360 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC3(S(C)(=O)=O)CC3)cc2)CC1 ZINC000477256382 1073089917 /nfs/dbraw/zinc/08/99/17/1073089917.db2.gz OOFJIXWXHQHKAF-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCC1 ZINC000477259592 1073091371 /nfs/dbraw/zinc/09/13/71/1073091371.db2.gz OFYHSJNENCCVLR-UHFFFAOYSA-N 0 0 431.536 -0.132 20 0 IBADRN COc1ccc(CC(=O)NCC2(S(C)(=O)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000477260712 1073090275 /nfs/dbraw/zinc/09/02/75/1073090275.db2.gz OTSKZLNRIRQFOA-UHFFFAOYSA-N 0 0 446.547 -0.048 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)CC1 ZINC000477266619 1073090927 /nfs/dbraw/zinc/09/09/27/1073090927.db2.gz RDQLNUXIIDMSAW-UHFFFAOYSA-N 0 0 442.476 -0.545 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)CCO1 ZINC000477268060 1073091895 /nfs/dbraw/zinc/09/18/95/1073091895.db2.gz JYBPLSBNRFLFSK-INIZCTEOSA-N 0 0 428.449 -0.889 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)CCO1 ZINC000477268061 1073092122 /nfs/dbraw/zinc/09/21/22/1073092122.db2.gz JYBPLSBNRFLFSK-MRXNPFEDSA-N 0 0 428.449 -0.889 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)c3ccncc3)c2)CCO1 ZINC000477268364 1073091301 /nfs/dbraw/zinc/09/13/01/1073091301.db2.gz KSNBPNXHAXXBBQ-KRWDZBQOSA-N 0 0 425.445 -0.077 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)c3ccncc3)c2)CCO1 ZINC000477268365 1073091479 /nfs/dbraw/zinc/09/14/79/1073091479.db2.gz KSNBPNXHAXXBBQ-QGZVFWFLSA-N 0 0 425.445 -0.077 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1 ZINC000477279271 1073091661 /nfs/dbraw/zinc/09/16/61/1073091661.db2.gz QGSKBDGCVFQZHY-UHFFFAOYSA-N 0 0 439.581 -0.019 20 0 IBADRN Cn1ncc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1-n1cnnc1 ZINC000477281356 1073092266 /nfs/dbraw/zinc/09/22/66/1073092266.db2.gz OKOPYMWQTQQXMX-UHFFFAOYSA-N 0 0 430.450 -0.626 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000477284175 1073092485 /nfs/dbraw/zinc/09/24/85/1073092485.db2.gz VBMGGAUVOZKVHI-FQEVSTJZSA-N 0 0 445.524 -0.670 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000477284176 1073092423 /nfs/dbraw/zinc/09/24/23/1073092423.db2.gz VBMGGAUVOZKVHI-HXUWFJFHSA-N 0 0 445.524 -0.670 20 0 IBADRN Cn1ncc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1-n1cnnc1 ZINC000477290868 1073092640 /nfs/dbraw/zinc/09/26/40/1073092640.db2.gz KOYBNQROMCXQEF-UHFFFAOYSA-N 0 0 431.478 -0.048 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000477292187 1073092689 /nfs/dbraw/zinc/09/26/89/1073092689.db2.gz BFCAXPQZATXXHZ-FQEVSTJZSA-N 0 0 435.525 -0.631 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000477292188 1073092746 /nfs/dbraw/zinc/09/27/46/1073092746.db2.gz BFCAXPQZATXXHZ-HXUWFJFHSA-N 0 0 435.525 -0.631 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCc1cccc(NC(=O)Cn2cccn2)c1 ZINC000477292329 1073092909 /nfs/dbraw/zinc/09/29/09/1073092909.db2.gz HWQGLLHKTOCIRW-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)CCO1 ZINC000477293713 1073092857 /nfs/dbraw/zinc/09/28/57/1073092857.db2.gz DBHYDSNUVWUORI-GOSISDBHSA-N 0 0 442.476 -0.499 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)CCO1 ZINC000477293714 1073092800 /nfs/dbraw/zinc/09/28/00/1073092800.db2.gz DBHYDSNUVWUORI-SFHVURJKSA-N 0 0 442.476 -0.499 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCc1cccc(NC(=O)Cn2cccn2)c1 ZINC000477295003 1073092730 /nfs/dbraw/zinc/09/27/30/1073092730.db2.gz LEOUVGNDVDLWGH-INIZCTEOSA-N 0 0 428.493 -0.025 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCc1cccc(NC(=O)Cn2cccn2)c1 ZINC000477295004 1073093099 /nfs/dbraw/zinc/09/30/99/1073093099.db2.gz LEOUVGNDVDLWGH-MRXNPFEDSA-N 0 0 428.493 -0.025 20 0 IBADRN CS(=O)(=O)CCCCCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000477296109 1073093207 /nfs/dbraw/zinc/09/32/07/1073093207.db2.gz XSXJQOLINOKJMM-UHFFFAOYSA-N 0 0 431.536 -0.248 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)CC1 ZINC000477296601 1073093142 /nfs/dbraw/zinc/09/31/42/1073093142.db2.gz NHYKQILPARYJIO-UHFFFAOYSA-N 0 0 448.505 -0.758 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC2(S(C)(=O)=O)CCC2)c1 ZINC000477297462 1073093113 /nfs/dbraw/zinc/09/31/13/1073093113.db2.gz BSJZYCPMYQOQQO-UHFFFAOYSA-N 0 0 433.552 -0.038 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000477299127 1073093026 /nfs/dbraw/zinc/09/30/26/1073093026.db2.gz WJOSLYILVGTFJG-GOSISDBHSA-N 0 0 438.506 -0.392 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000477299129 1073093238 /nfs/dbraw/zinc/09/32/38/1073093238.db2.gz WJOSLYILVGTFJG-SFHVURJKSA-N 0 0 438.506 -0.392 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)nn1 ZINC000477302997 1073093128 /nfs/dbraw/zinc/09/31/28/1073093128.db2.gz MUHIHWNSUJHTJQ-UHFFFAOYSA-N 0 0 439.523 -0.596 20 0 IBADRN COCCN(C(=O)CN1CCN(C(C)=O)C[C@@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000477303428 1073093040 /nfs/dbraw/zinc/09/30/40/1073093040.db2.gz PFJZOOCWOLHLSH-AWEZNQCLSA-N 0 0 438.529 -0.281 20 0 IBADRN COCCN(C(=O)CN1CCN(C(C)=O)C[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000477303589 1073093157 /nfs/dbraw/zinc/09/31/57/1073093157.db2.gz PFJZOOCWOLHLSH-CQSZACIVSA-N 0 0 438.529 -0.281 20 0 IBADRN O=C(Nc1cnn(-c2ccc(Cl)cc2)c1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000477304887 1073092278 /nfs/dbraw/zinc/09/22/78/1073092278.db2.gz GUPKHMCRAFPMRV-UHFFFAOYSA-N 0 0 443.855 -0.178 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)[C@H](C)C1 ZINC000477306859 1073092826 /nfs/dbraw/zinc/09/28/26/1073092826.db2.gz WZEVTWJKIZYPKM-CYBMUJFWSA-N 0 0 427.527 -0.323 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)[C@@H](C)C1 ZINC000477306862 1073092703 /nfs/dbraw/zinc/09/27/03/1073092703.db2.gz WZEVTWJKIZYPKM-ZDUSSCGKSA-N 0 0 427.527 -0.323 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)CCO1 ZINC000477308505 1073092840 /nfs/dbraw/zinc/09/28/40/1073092840.db2.gz MOYJOWZLKSCTBN-HNNXBMFYSA-N 0 0 441.506 -0.258 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)CCO1 ZINC000477308506 1073092774 /nfs/dbraw/zinc/09/27/74/1073092774.db2.gz MOYJOWZLKSCTBN-OAHLLOKOSA-N 0 0 441.506 -0.258 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477309599 1073092651 /nfs/dbraw/zinc/09/26/51/1073092651.db2.gz IKJWBPLSAIZVIL-HNNXBMFYSA-N 0 0 438.506 -0.395 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477309600 1073092678 /nfs/dbraw/zinc/09/26/78/1073092678.db2.gz IKJWBPLSAIZVIL-OAHLLOKOSA-N 0 0 438.506 -0.395 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477310377 1073093069 /nfs/dbraw/zinc/09/30/69/1073093069.db2.gz KWVWUBWNOLJEOU-GFCCVEGCSA-N 0 0 427.479 -0.206 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477310378 1073093290 /nfs/dbraw/zinc/09/32/90/1073093290.db2.gz KWVWUBWNOLJEOU-LBPRGKRZSA-N 0 0 427.479 -0.206 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477318189 1073093877 /nfs/dbraw/zinc/09/38/77/1073093877.db2.gz AHPPONDGOJCGDM-UHFFFAOYSA-N 0 0 427.479 -0.062 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)CN3CCS(=O)(=O)CC3)C2=O)c1 ZINC000477322010 1073093957 /nfs/dbraw/zinc/09/39/57/1073093957.db2.gz NHLFXIVFQMJPLE-KRWDZBQOSA-N 0 0 425.507 -0.002 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)CN3CCS(=O)(=O)CC3)C2=O)c1 ZINC000477322014 1073093920 /nfs/dbraw/zinc/09/39/20/1073093920.db2.gz NHLFXIVFQMJPLE-QGZVFWFLSA-N 0 0 425.507 -0.002 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2-c2ccc(C)nn2)CC1 ZINC000477324504 1073093932 /nfs/dbraw/zinc/09/39/32/1073093932.db2.gz RKKCISVUBMYHMA-UHFFFAOYSA-N 0 0 428.497 -0.078 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)nn1 ZINC000477325011 1073094032 /nfs/dbraw/zinc/09/40/32/1073094032.db2.gz UPHAETXFNQNMKK-AWEZNQCLSA-N 0 0 426.481 -0.279 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)nn1 ZINC000477325013 1073094106 /nfs/dbraw/zinc/09/41/06/1073094106.db2.gz UPHAETXFNQNMKK-CQSZACIVSA-N 0 0 426.481 -0.279 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)CCn3cc(S(N)(=O)=O)cn3)C2=O)c1 ZINC000477325630 1073093906 /nfs/dbraw/zinc/09/39/06/1073093906.db2.gz NDDQVYBYIQIARW-INIZCTEOSA-N 0 0 437.478 -0.141 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)nn2-c2ccc(C)nn2)CC1 ZINC000477325657 1073094266 /nfs/dbraw/zinc/09/42/66/1073094266.db2.gz YTWIGYTTYLCBDZ-UHFFFAOYSA-N 0 0 444.496 -0.876 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)CCn3cc(S(N)(=O)=O)cn3)C2=O)c1 ZINC000477325659 1073094626 /nfs/dbraw/zinc/09/46/26/1073094626.db2.gz NDDQVYBYIQIARW-MRXNPFEDSA-N 0 0 437.478 -0.141 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)NCCNc1cnccn1 ZINC000477331813 1073094296 /nfs/dbraw/zinc/09/42/96/1073094296.db2.gz JRQMZAHGDBTLST-UHFFFAOYSA-N 0 0 448.505 -0.113 20 0 IBADRN O=C(Nc1ccc(OCCN2C(=O)c3ccccc3C2=O)cc1)C(=O)NC(CO)CO ZINC000477332460 1073094497 /nfs/dbraw/zinc/09/44/97/1073094497.db2.gz QOXOQHPPVSVZRM-UHFFFAOYSA-N 0 0 427.413 -0.231 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)n(-c2ccc(C)nn2)n1 ZINC000477334439 1073094559 /nfs/dbraw/zinc/09/45/59/1073094559.db2.gz WPKBNZYAVHBOAH-UHFFFAOYSA-N 0 0 437.464 -0.340 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCN(C[C@H](O)CN2CCOCC2)CC1 ZINC000477336366 1073094327 /nfs/dbraw/zinc/09/43/27/1073094327.db2.gz HDIAMAGYYZWPGO-INIZCTEOSA-N 0 0 427.523 -0.543 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCN(C[C@@H](O)CN2CCOCC2)CC1 ZINC000477336367 1073094605 /nfs/dbraw/zinc/09/46/05/1073094605.db2.gz HDIAMAGYYZWPGO-MRXNPFEDSA-N 0 0 427.523 -0.543 20 0 IBADRN C[C@H](COc1cccc(F)c1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000477336417 1073094281 /nfs/dbraw/zinc/09/42/81/1073094281.db2.gz HSRJMTMSYNJTNT-CYBMUJFWSA-N 0 0 448.455 -0.802 20 0 IBADRN C[C@@H](COc1cccc(F)c1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000477336418 1073094463 /nfs/dbraw/zinc/09/44/63/1073094463.db2.gz HSRJMTMSYNJTNT-ZDUSSCGKSA-N 0 0 448.455 -0.802 20 0 IBADRN CCN1CCN(CC(=O)N(C)[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C(=O)C1=O ZINC000477341254 1073094512 /nfs/dbraw/zinc/09/45/12/1073094512.db2.gz KFPJLOPENKTEMR-KRWDZBQOSA-N 0 0 432.477 -0.042 20 0 IBADRN CCN1CCN(CC(=O)N(C)[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C(=O)C1=O ZINC000477341255 1073094480 /nfs/dbraw/zinc/09/44/80/1073094480.db2.gz KFPJLOPENKTEMR-QGZVFWFLSA-N 0 0 432.477 -0.042 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cnn(-c3ccc(Cl)cc3)c2)CC1 ZINC000477342964 1073094883 /nfs/dbraw/zinc/09/48/83/1073094883.db2.gz SBUMLZUAACCPSF-UHFFFAOYSA-N 0 0 440.913 -0.103 20 0 IBADRN CS(=O)(=O)Cc1cccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000477343665 1073094984 /nfs/dbraw/zinc/09/49/84/1073094984.db2.gz YHBLCQHVUUUFTK-UHFFFAOYSA-N 0 0 446.551 -0.042 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000477344269 1073094894 /nfs/dbraw/zinc/09/48/94/1073094894.db2.gz BQAUCCKMGVOIHX-UHFFFAOYSA-N 0 0 427.479 -0.062 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)CNS(=O)(=O)c3cnn(C)c3)C2=O)c1 ZINC000477344345 1073094794 /nfs/dbraw/zinc/09/47/94/1073094794.db2.gz CNAWJFSECFHBSG-INIZCTEOSA-N 0 0 437.478 -0.363 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)CNS(=O)(=O)c3cnn(C)c3)C2=O)c1 ZINC000477344346 1073094779 /nfs/dbraw/zinc/09/47/79/1073094779.db2.gz CNAWJFSECFHBSG-MRXNPFEDSA-N 0 0 437.478 -0.363 20 0 IBADRN C[C@H](COc1cccc(F)c1)NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000477344711 1073094914 /nfs/dbraw/zinc/09/49/14/1073094914.db2.gz AZTREWZMNKXDSP-GFCCVEGCSA-N 0 0 428.442 -0.067 20 0 IBADRN C[C@@H](COc1cccc(F)c1)NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000477344712 1073094960 /nfs/dbraw/zinc/09/49/60/1073094960.db2.gz AZTREWZMNKXDSP-LBPRGKRZSA-N 0 0 428.442 -0.067 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC[S@@](=O)[C@@H](C)C1 ZINC000477345275 1073095326 /nfs/dbraw/zinc/09/53/26/1073095326.db2.gz DLCSVGPDKMGHSQ-CFJPQMKISA-N 0 0 443.547 -0.152 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC[S@@](=O)[C@H](C)C1 ZINC000477345278 1073095130 /nfs/dbraw/zinc/09/51/30/1073095130.db2.gz DLCSVGPDKMGHSQ-DBDZUICISA-N 0 0 443.547 -0.152 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC[S@](=O)[C@@H](C)C1 ZINC000477345281 1073095359 /nfs/dbraw/zinc/09/53/59/1073095359.db2.gz DLCSVGPDKMGHSQ-OIJAAMDRSA-N 0 0 443.547 -0.152 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC[S@](=O)[C@H](C)C1 ZINC000477345284 1073095272 /nfs/dbraw/zinc/09/52/72/1073095272.db2.gz DLCSVGPDKMGHSQ-QYSRSOONSA-N 0 0 443.547 -0.152 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COc2ccccc2S(N)(=O)=O)CC1 ZINC000477349659 1073095174 /nfs/dbraw/zinc/09/51/74/1073095174.db2.gz MHLCDFLULHSSJR-UHFFFAOYSA-N 0 0 431.478 -0.262 20 0 IBADRN CCc1nc(CN2CCN(C(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)no1 ZINC000477350697 1073095286 /nfs/dbraw/zinc/09/52/86/1073095286.db2.gz MVUILVKLGHFTKS-IBGZPJMESA-N 0 0 434.497 -0.108 20 0 IBADRN CCc1nc(CN2CCN(C(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)CC2)no1 ZINC000477350699 1073095300 /nfs/dbraw/zinc/09/53/00/1073095300.db2.gz MVUILVKLGHFTKS-LJQANCHMSA-N 0 0 434.497 -0.108 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000477355594 1073094971 /nfs/dbraw/zinc/09/49/71/1073094971.db2.gz XEYAGZQMEFLVCV-HNNXBMFYSA-N 0 0 429.477 -0.675 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000477355598 1073094912 /nfs/dbraw/zinc/09/49/12/1073094912.db2.gz XEYAGZQMEFLVCV-OAHLLOKOSA-N 0 0 429.477 -0.675 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC000477355618 1073094768 /nfs/dbraw/zinc/09/47/68/1073094768.db2.gz XMMHNCQQSUWYOY-HNNXBMFYSA-N 0 0 440.570 -0.165 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC000477355619 1073094854 /nfs/dbraw/zinc/09/48/54/1073094854.db2.gz XMMHNCQQSUWYOY-OAHLLOKOSA-N 0 0 440.570 -0.165 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C3CCN(S(N)(=O)=O)CC3)C2=O)c1 ZINC000477360482 1073094724 /nfs/dbraw/zinc/09/47/24/1073094724.db2.gz YWRJFHCRVQMDHP-INIZCTEOSA-N 0 0 426.495 -0.159 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C3CCN(S(N)(=O)=O)CC3)C2=O)c1 ZINC000477360495 1073094903 /nfs/dbraw/zinc/09/49/03/1073094903.db2.gz YWRJFHCRVQMDHP-MRXNPFEDSA-N 0 0 426.495 -0.159 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000477363020 1073095641 /nfs/dbraw/zinc/09/56/41/1073095641.db2.gz DYCIXNYPNAMYPB-UHFFFAOYSA-N 0 0 429.477 -0.272 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000477363576 1073095789 /nfs/dbraw/zinc/09/57/89/1073095789.db2.gz SHFGXURXMSZOMS-UHFFFAOYSA-N 0 0 444.579 -0.146 20 0 IBADRN C[C@@H](COc1cccc(F)c1)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000477364768 1073095664 /nfs/dbraw/zinc/09/56/64/1073095664.db2.gz BFXXSVCIDJPACJ-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](COc1cccc(F)c1)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000477364773 1073095678 /nfs/dbraw/zinc/09/56/78/1073095678.db2.gz BFXXSVCIDJPACJ-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCc2ccnn2C)CCN1C(=O)CCc1ccnn1C ZINC000477367018 1073096002 /nfs/dbraw/zinc/09/60/02/1073096002.db2.gz QNWUJTGWNRESLD-GOSISDBHSA-N 0 0 429.525 -0.153 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCc2ccnn2C)CCN1C(=O)CCc1ccnn1C ZINC000477367019 1073096091 /nfs/dbraw/zinc/09/60/91/1073096091.db2.gz QNWUJTGWNRESLD-SFHVURJKSA-N 0 0 429.525 -0.153 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000477367433 1073095946 /nfs/dbraw/zinc/09/59/46/1073095946.db2.gz SAVRIKCIYGCREY-INIZCTEOSA-N 0 0 448.567 -0.903 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000477367434 1073096100 /nfs/dbraw/zinc/09/61/00/1073096100.db2.gz SAVRIKCIYGCREY-MRXNPFEDSA-N 0 0 448.567 -0.903 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000477369032 1073096479 /nfs/dbraw/zinc/09/64/79/1073096479.db2.gz ZRXFDFWRVMXEDZ-UHFFFAOYSA-N 0 0 441.554 -0.713 20 0 IBADRN C[C@@H](COc1cccc(F)c1)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000477369944 1073096542 /nfs/dbraw/zinc/09/65/42/1073096542.db2.gz YKYBBGGNWJATTD-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](COc1cccc(F)c1)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000477369945 1073096388 /nfs/dbraw/zinc/09/63/88/1073096388.db2.gz YKYBBGGNWJATTD-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(C(C)(C)C(N)=O)CC2)CC1 ZINC000477372479 1073096501 /nfs/dbraw/zinc/09/65/01/1073096501.db2.gz LTSRQJINEUQWQR-UHFFFAOYSA-N 0 0 440.570 -0.165 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NCCn1ncnn1 ZINC000477377984 1073095104 /nfs/dbraw/zinc/09/51/04/1073095104.db2.gz TXVWDODHMOKBAJ-GFCCVEGCSA-N 0 0 449.415 -0.579 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NCCn1ncnn1 ZINC000477377985 1073095159 /nfs/dbraw/zinc/09/51/59/1073095159.db2.gz TXVWDODHMOKBAJ-LBPRGKRZSA-N 0 0 449.415 -0.579 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000477378486 1073095389 /nfs/dbraw/zinc/09/53/89/1073095389.db2.gz WOPVITRAIWVRQX-CYBMUJFWSA-N 0 0 437.522 -0.905 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000477378493 1073095347 /nfs/dbraw/zinc/09/53/47/1073095347.db2.gz WOPVITRAIWVRQX-ZDUSSCGKSA-N 0 0 437.522 -0.905 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000477379162 1073095560 /nfs/dbraw/zinc/09/55/60/1073095560.db2.gz KAKGZTLYLMGKIY-AWEZNQCLSA-N 0 0 432.872 -0.014 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000477379163 1073095654 /nfs/dbraw/zinc/09/56/54/1073095654.db2.gz KAKGZTLYLMGKIY-CQSZACIVSA-N 0 0 432.872 -0.014 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000477379474 1073095311 /nfs/dbraw/zinc/09/53/11/1073095311.db2.gz XUXSLSLLLLKLEV-GOSISDBHSA-N 0 0 427.505 -0.130 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000477379476 1073095688 /nfs/dbraw/zinc/09/56/88/1073095688.db2.gz XUXSLSLLLLKLEV-SFHVURJKSA-N 0 0 427.505 -0.130 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000477379958 1073096836 /nfs/dbraw/zinc/09/68/36/1073096836.db2.gz NDELHRIBQBDBOF-KRWDZBQOSA-N 0 0 430.527 -0.562 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000477379969 1073096885 /nfs/dbraw/zinc/09/68/85/1073096885.db2.gz NDELHRIBQBDBOF-QGZVFWFLSA-N 0 0 430.527 -0.562 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCCNC(=O)c2ccc(F)cc2)CC1 ZINC000477380986 1073096789 /nfs/dbraw/zinc/09/67/89/1073096789.db2.gz MZVIUOPCXJUZCD-UHFFFAOYSA-N 0 0 447.467 -0.052 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(C)(C)C(N)=O)CC2)s1 ZINC000477383400 1073096822 /nfs/dbraw/zinc/09/68/22/1073096822.db2.gz QVDVYGVEHRRJQI-UHFFFAOYSA-N 0 0 445.567 -0.929 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000477383944 1073096845 /nfs/dbraw/zinc/09/68/45/1073096845.db2.gz SBUCKKDABARZQS-UHFFFAOYSA-N 0 0 430.552 -0.209 20 0 IBADRN COC(=O)CCNC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000477384186 1073096909 /nfs/dbraw/zinc/09/69/09/1073096909.db2.gz IRJVXQZZVQQKFS-UHFFFAOYSA-N 0 0 427.479 -0.557 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cccc(N4CCOCC4)n3)c2c(=O)n(C)c1=O ZINC000477385496 1073097285 /nfs/dbraw/zinc/09/72/85/1073097285.db2.gz UXNHJSHZYSNYAN-UHFFFAOYSA-N 0 0 427.465 -0.628 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000477387224 1073097394 /nfs/dbraw/zinc/09/73/94/1073097394.db2.gz XWVZFEMLRZHCMI-GOSISDBHSA-N 0 0 442.538 -0.325 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000477387225 1073097085 /nfs/dbraw/zinc/09/70/85/1073097085.db2.gz XWVZFEMLRZHCMI-SFHVURJKSA-N 0 0 442.538 -0.325 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000477391742 1073097425 /nfs/dbraw/zinc/09/74/25/1073097425.db2.gz IYPZLASDAVCORK-UHFFFAOYSA-N 0 0 443.588 -0.770 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1F ZINC000477392585 1073096459 /nfs/dbraw/zinc/09/64/59/1073096459.db2.gz SRRKNLANQMNCCN-UHFFFAOYSA-N 0 0 449.527 -0.229 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000477398003 1073097439 /nfs/dbraw/zinc/09/74/39/1073097439.db2.gz ZGDAGNNYUROXMS-KRWDZBQOSA-N 0 0 442.520 -0.056 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000477398004 1073097268 /nfs/dbraw/zinc/09/72/68/1073097268.db2.gz ZGDAGNNYUROXMS-QGZVFWFLSA-N 0 0 442.520 -0.056 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000477399625 1073097777 /nfs/dbraw/zinc/09/77/77/1073097777.db2.gz CBAKIJMPQYSCLQ-UHFFFAOYSA-N 0 0 435.506 -0.342 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000477403800 1073098087 /nfs/dbraw/zinc/09/80/87/1073098087.db2.gz UQRYQIMOTWYWAW-UHFFFAOYSA-N 0 0 443.551 -0.100 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000477405004 1073098153 /nfs/dbraw/zinc/09/81/53/1073098153.db2.gz WEODETZQKYZCKV-ARFHVFGLSA-N 0 0 442.582 -0.496 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000477405007 1073098237 /nfs/dbraw/zinc/09/82/37/1073098237.db2.gz WEODETZQKYZCKV-BZUAXINKSA-N 0 0 442.582 -0.496 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000477405009 1073098201 /nfs/dbraw/zinc/09/82/01/1073098201.db2.gz WEODETZQKYZCKV-HRCADAONSA-N 0 0 442.582 -0.496 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000477405011 1073098100 /nfs/dbraw/zinc/09/81/00/1073098100.db2.gz WEODETZQKYZCKV-OWCLPIDISA-N 0 0 442.582 -0.496 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)cn1 ZINC000477407444 1073098494 /nfs/dbraw/zinc/09/84/94/1073098494.db2.gz SBXZMSSZIXTMNL-UHFFFAOYSA-N 0 0 441.535 -0.309 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)CC1 ZINC000477411959 1073098546 /nfs/dbraw/zinc/09/85/46/1073098546.db2.gz MLVWWRDIUALOHR-UHFFFAOYSA-N 0 0 431.541 -0.227 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1F ZINC000477414156 1073099007 /nfs/dbraw/zinc/09/90/07/1073099007.db2.gz RWRIMPSJIZGVLP-UHFFFAOYSA-N 0 0 433.484 -0.282 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1F ZINC000477414443 1073098942 /nfs/dbraw/zinc/09/89/42/1073098942.db2.gz OYQXZEHYKMQWHJ-UHFFFAOYSA-N 0 0 449.527 -0.229 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000477415086 1073099089 /nfs/dbraw/zinc/09/90/89/1073099089.db2.gz RLWRCHXPPMKDTM-HWOWSKLDSA-N 0 0 448.568 -0.450 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000477415087 1073099179 /nfs/dbraw/zinc/09/91/79/1073099179.db2.gz RLWRCHXPPMKDTM-PCKAHOCUSA-N 0 0 448.568 -0.450 20 0 IBADRN CS(=O)(=O)Cc1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000477416883 1073099132 /nfs/dbraw/zinc/09/91/32/1073099132.db2.gz KLCDVTYSQAPXQG-UHFFFAOYSA-N 0 0 438.506 -0.234 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000477418401 1073098899 /nfs/dbraw/zinc/09/88/99/1073098899.db2.gz VWTHSBQQNZYKMN-UHFFFAOYSA-N 0 0 435.506 -0.342 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000477419356 1073098962 /nfs/dbraw/zinc/09/89/62/1073098962.db2.gz MAEPYKMXXKHINV-CYBMUJFWSA-N 0 0 425.598 -0.192 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000477419359 1073099106 /nfs/dbraw/zinc/09/91/06/1073099106.db2.gz MAEPYKMXXKHINV-ZDUSSCGKSA-N 0 0 425.598 -0.192 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000477421418 1073098516 /nfs/dbraw/zinc/09/85/16/1073098516.db2.gz ULECYSVVBQPSQU-UHFFFAOYSA-N 0 0 440.566 -0.209 20 0 IBADRN CCN(C)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000477424298 1073098661 /nfs/dbraw/zinc/09/86/61/1073098661.db2.gz KQIAITDAVHDNBY-UHFFFAOYSA-N 0 0 426.495 -0.048 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000477424558 1073098776 /nfs/dbraw/zinc/09/87/76/1073098776.db2.gz BBLZMCZCFOTXRO-UHFFFAOYSA-N 0 0 437.522 -0.096 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000477425940 1073099060 /nfs/dbraw/zinc/09/90/60/1073099060.db2.gz GGJLFHBGCPDDSD-UHFFFAOYSA-N 0 0 426.539 -0.204 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000477425985 1073099076 /nfs/dbraw/zinc/09/90/76/1073099076.db2.gz UHCPEMBAISHVDQ-CABCVRRESA-N 0 0 426.557 -0.475 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000477425986 1073099048 /nfs/dbraw/zinc/09/90/48/1073099048.db2.gz UHCPEMBAISHVDQ-GJZGRUSLSA-N 0 0 426.557 -0.475 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000477425987 1073099148 /nfs/dbraw/zinc/09/91/48/1073099148.db2.gz UHCPEMBAISHVDQ-HUUCEWRRSA-N 0 0 426.557 -0.475 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000477425988 1073098909 /nfs/dbraw/zinc/09/89/09/1073098909.db2.gz UHCPEMBAISHVDQ-LSDHHAIUSA-N 0 0 426.557 -0.475 20 0 IBADRN CCn1cc(CN(CCO)C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000477426521 1073098980 /nfs/dbraw/zinc/09/89/80/1073098980.db2.gz WOTSJNVTTCDKFG-HNNXBMFYSA-N 0 0 435.506 -0.076 20 0 IBADRN CCn1cc(CN(CCO)C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000477426522 1073099120 /nfs/dbraw/zinc/09/91/20/1073099120.db2.gz WOTSJNVTTCDKFG-OAHLLOKOSA-N 0 0 435.506 -0.076 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000477428937 1073098992 /nfs/dbraw/zinc/09/89/92/1073098992.db2.gz ZQPGVHTXTJEOPB-INIZCTEOSA-N 0 0 432.587 -0.078 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000477428939 1073098917 /nfs/dbraw/zinc/09/89/17/1073098917.db2.gz ZQPGVHTXTJEOPB-MRXNPFEDSA-N 0 0 432.587 -0.078 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000477429180 1073098929 /nfs/dbraw/zinc/09/89/29/1073098929.db2.gz QUCKXXIDCYUGMI-UHFFFAOYSA-N 0 0 432.587 -0.076 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000477431191 1073099018 /nfs/dbraw/zinc/09/90/18/1073099018.db2.gz KLEYDMSEDJHDRJ-UHFFFAOYSA-N 0 0 441.554 -0.419 20 0 IBADRN CCn1cc(CN(CCO)C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000477432655 1073099577 /nfs/dbraw/zinc/09/95/77/1073099577.db2.gz YQGLFCMMWCRLIH-UHFFFAOYSA-N 0 0 435.506 -0.342 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000477432711 1073099600 /nfs/dbraw/zinc/09/96/00/1073099600.db2.gz ZNMVLUVAVKWOKR-HRAATJIYSA-N 0 0 449.552 -0.243 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000477432712 1073099501 /nfs/dbraw/zinc/09/95/01/1073099501.db2.gz ZNMVLUVAVKWOKR-IERDGZPVSA-N 0 0 449.552 -0.243 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000477432713 1073099547 /nfs/dbraw/zinc/09/95/47/1073099547.db2.gz ZNMVLUVAVKWOKR-IIBYNOLFSA-N 0 0 449.552 -0.243 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000477432714 1073099435 /nfs/dbraw/zinc/09/94/35/1073099435.db2.gz ZNMVLUVAVKWOKR-KKSFZXQISA-N 0 0 449.552 -0.243 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000477432750 1073099421 /nfs/dbraw/zinc/09/94/21/1073099421.db2.gz ZYZSPRUWFMNHRG-UHFFFAOYSA-N 0 0 429.543 -0.267 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)CC1 ZINC000477435382 1073099514 /nfs/dbraw/zinc/09/95/14/1073099514.db2.gz GYHMNNGBWOTPFB-UHFFFAOYSA-N 0 0 445.568 -0.254 20 0 IBADRN CCN(C)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000477438093 1073099403 /nfs/dbraw/zinc/09/94/03/1073099403.db2.gz WMURVQAWXQTIKM-UHFFFAOYSA-N 0 0 439.494 -0.488 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000477441164 1073099374 /nfs/dbraw/zinc/09/93/74/1073099374.db2.gz VLMPXJWHVKYYNN-GOSISDBHSA-N 0 0 426.495 -0.298 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000477441166 1073099389 /nfs/dbraw/zinc/09/93/89/1073099389.db2.gz VLMPXJWHVKYYNN-SFHVURJKSA-N 0 0 426.495 -0.298 20 0 IBADRN CCn1cc(CN(CCO)C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)cn1 ZINC000477441925 1073099588 /nfs/dbraw/zinc/09/95/88/1073099588.db2.gz YXWZFBZGPSHTPJ-UHFFFAOYSA-N 0 0 443.551 -0.100 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000477442072 1073099348 /nfs/dbraw/zinc/09/93/48/1073099348.db2.gz GUWGSADWINAASU-AWEZNQCLSA-N 0 0 435.506 -0.076 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000477442073 1073099490 /nfs/dbraw/zinc/09/94/90/1073099490.db2.gz GUWGSADWINAASU-CQSZACIVSA-N 0 0 435.506 -0.076 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000477444274 1073099311 /nfs/dbraw/zinc/09/93/11/1073099311.db2.gz MWKWEUOPXJTKOH-UHFFFAOYSA-N 0 0 430.531 -0.036 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(OCC(F)F)ccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000477444741 1073099360 /nfs/dbraw/zinc/09/93/60/1073099360.db2.gz OSFFLYITWJHXMD-CYBMUJFWSA-N 0 0 446.476 -0.213 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(OCC(F)F)ccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000477444743 1073099563 /nfs/dbraw/zinc/09/95/63/1073099563.db2.gz OSFFLYITWJHXMD-ZDUSSCGKSA-N 0 0 446.476 -0.213 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000477448803 1073099452 /nfs/dbraw/zinc/09/94/52/1073099452.db2.gz DVBDYKLKJCVHTA-UHFFFAOYSA-N 0 0 440.522 -0.781 20 0 IBADRN CCOC(=O)c1cnc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1C ZINC000477450328 1073099300 /nfs/dbraw/zinc/09/93/00/1073099300.db2.gz RVKHYTWWQVREBO-UHFFFAOYSA-N 0 0 435.462 -0.030 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)cc1 ZINC000477452596 1073100962 /nfs/dbraw/zinc/10/09/62/1073100962.db2.gz BDSCQTUYJWAVNQ-UHFFFAOYSA-N 0 0 445.476 -0.160 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)CCCS(=O)(=O)N(C)C)s1 ZINC000477453204 1073100946 /nfs/dbraw/zinc/10/09/46/1073100946.db2.gz MTJURGGWZOUJAG-UHFFFAOYSA-N 0 0 433.599 -0.464 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000477454365 1073101030 /nfs/dbraw/zinc/10/10/30/1073101030.db2.gz PVHREWLDDJUCII-UHFFFAOYSA-N 0 0 427.570 -0.314 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000477456663 1073099333 /nfs/dbraw/zinc/09/93/33/1073099333.db2.gz FYNXDLZJTMGUKS-HNNXBMFYSA-N 0 0 430.552 -0.024 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000477456664 1073100056 /nfs/dbraw/zinc/10/00/56/1073100056.db2.gz FYNXDLZJTMGUKS-OAHLLOKOSA-N 0 0 430.552 -0.024 20 0 IBADRN CCN(CCNS(=O)(=O)c1ccnn1COC)S(=O)(=O)c1ccnn1COC ZINC000477457992 1073099978 /nfs/dbraw/zinc/09/99/78/1073099978.db2.gz REKBXPORJKXDOJ-UHFFFAOYSA-N 0 0 436.516 -0.724 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1cccc(C(=O)N2CCOCC2)c1 ZINC000477458044 1073099914 /nfs/dbraw/zinc/09/99/14/1073099914.db2.gz RMECKSPFWWLMAC-UHFFFAOYSA-N 0 0 433.552 -0.140 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnnn1C)c1ccc(CNS(=O)(=O)c2cnnn2C)cc1 ZINC000477460374 1073099990 /nfs/dbraw/zinc/09/99/90/1073099990.db2.gz ZKYPYNSMEMYZGX-LLVKDONJSA-N 0 0 440.511 -0.538 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnnn1C)c1ccc(CNS(=O)(=O)c2cnnn2C)cc1 ZINC000477460375 1073100077 /nfs/dbraw/zinc/10/00/77/1073100077.db2.gz ZKYPYNSMEMYZGX-NSHDSACASA-N 0 0 440.511 -0.538 20 0 IBADRN CCn1cc(CN(CCO)C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000477460377 1073099950 /nfs/dbraw/zinc/09/99/50/1073099950.db2.gz ZNMOABVPYLVJSV-UHFFFAOYSA-N 0 0 435.506 -0.342 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000477462626 1073100515 /nfs/dbraw/zinc/10/05/15/1073100515.db2.gz LMOFTMBNKCTSMV-LLVKDONJSA-N 0 0 434.583 -0.916 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000477462627 1073100427 /nfs/dbraw/zinc/10/04/27/1073100427.db2.gz LMOFTMBNKCTSMV-NSHDSACASA-N 0 0 434.583 -0.916 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC[C@@H](NC(N)=O)C2)o1 ZINC000477462909 1073100511 /nfs/dbraw/zinc/10/05/11/1073100511.db2.gz GROMDNXTMZQBKI-NEPJUHHUSA-N 0 0 428.467 -0.121 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@H](NC(N)=O)C2)o1 ZINC000477462910 1073100399 /nfs/dbraw/zinc/10/03/99/1073100399.db2.gz GROMDNXTMZQBKI-NWDGAFQWSA-N 0 0 428.467 -0.121 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC[C@H](NC(N)=O)C2)o1 ZINC000477463124 1073100412 /nfs/dbraw/zinc/10/04/12/1073100412.db2.gz GROMDNXTMZQBKI-RYUDHWBXSA-N 0 0 428.467 -0.121 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@@H](NC(N)=O)C2)o1 ZINC000477463131 1073100465 /nfs/dbraw/zinc/10/04/65/1073100465.db2.gz GROMDNXTMZQBKI-VXGBXAGGSA-N 0 0 428.467 -0.121 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1 ZINC000477464136 1073100519 /nfs/dbraw/zinc/10/05/19/1073100519.db2.gz CDUFVCSIFSCQOD-AWEZNQCLSA-N 0 0 431.602 -0.610 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1 ZINC000477464138 1073100421 /nfs/dbraw/zinc/10/04/21/1073100421.db2.gz CDUFVCSIFSCQOD-CQSZACIVSA-N 0 0 431.602 -0.610 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NCc1ccc2c(c1)OCO2 ZINC000477464434 1073100495 /nfs/dbraw/zinc/10/04/95/1073100495.db2.gz QBXRYZHTEGEGFY-UHFFFAOYSA-N 0 0 432.433 -0.455 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@@H]1C(=O)OC ZINC000477465792 1073100405 /nfs/dbraw/zinc/10/04/05/1073100405.db2.gz VMYFFYSKSVUGDL-KBPBESRZSA-N 0 0 440.540 -0.586 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@@H]1C(=O)OC ZINC000477465795 1073100476 /nfs/dbraw/zinc/10/04/76/1073100476.db2.gz VMYFFYSKSVUGDL-KGLIPLIRSA-N 0 0 440.540 -0.586 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477465796 1073100534 /nfs/dbraw/zinc/10/05/34/1073100534.db2.gz VMYFFYSKSVUGDL-UONOGXRCSA-N 0 0 440.540 -0.586 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@H]1C(=O)OC ZINC000477465798 1073100453 /nfs/dbraw/zinc/10/04/53/1073100453.db2.gz VMYFFYSKSVUGDL-ZIAGYGMSSA-N 0 0 440.540 -0.586 20 0 IBADRN CCOc1ccnc(N2CCCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC2)n1 ZINC000477466187 1073100537 /nfs/dbraw/zinc/10/05/37/1073100537.db2.gz WRIRKJJXZZNGEC-UHFFFAOYSA-N 0 0 435.572 -0.001 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)c1 ZINC000477466241 1073100523 /nfs/dbraw/zinc/10/05/23/1073100523.db2.gz XBDRFXHRDBHSMP-UHFFFAOYSA-N 0 0 445.476 -0.160 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N(Cc1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC000477466397 1073100483 /nfs/dbraw/zinc/10/04/83/1073100483.db2.gz GPZRXNALOZPIND-INIZCTEOSA-N 0 0 433.552 -0.058 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N(Cc1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC000477466398 1073100541 /nfs/dbraw/zinc/10/05/41/1073100541.db2.gz GPZRXNALOZPIND-MRXNPFEDSA-N 0 0 433.552 -0.058 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000477468671 1073100445 /nfs/dbraw/zinc/10/04/45/1073100445.db2.gz SNNDLCJAYXJXMJ-UHFFFAOYSA-N 0 0 445.610 -0.383 20 0 IBADRN COc1ccc(CCNS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000477468971 1073101075 /nfs/dbraw/zinc/10/10/75/1073101075.db2.gz NSASGZYPKJAEKK-UHFFFAOYSA-N 0 0 443.569 -0.914 20 0 IBADRN CCn1cc(CN(CCO)C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)cn1 ZINC000477468985 1073101090 /nfs/dbraw/zinc/10/10/90/1073101090.db2.gz NUSAIDDOBVYKCA-UHFFFAOYSA-N 0 0 437.522 -0.096 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)c1 ZINC000477469365 1073100023 /nfs/dbraw/zinc/10/00/23/1073100023.db2.gz PAZCRKWQYGIGQL-UHFFFAOYSA-N 0 0 445.476 -0.112 20 0 IBADRN COC(=O)c1ccc(NC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)cc1 ZINC000477472770 1073101131 /nfs/dbraw/zinc/10/11/31/1073101131.db2.gz VNXROLKSAZNUAU-UHFFFAOYSA-N 0 0 432.433 -0.075 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)CCCS(=O)(=O)N(C)C)Oc1ccc(F)cc1 ZINC000477474451 1073101567 /nfs/dbraw/zinc/10/15/67/1073101567.db2.gz JSWHXSRACZEQGQ-AWEZNQCLSA-N 0 0 426.488 -0.053 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)CCCS(=O)(=O)N(C)C)Oc1ccc(F)cc1 ZINC000477474452 1073101628 /nfs/dbraw/zinc/10/16/28/1073101628.db2.gz JSWHXSRACZEQGQ-CQSZACIVSA-N 0 0 426.488 -0.053 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000477474552 1073101585 /nfs/dbraw/zinc/10/15/85/1073101585.db2.gz IJYWTIPZPUMZKC-UHFFFAOYSA-N 0 0 443.569 -0.362 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@@H]1CCCCS1(=O)=O ZINC000477476549 1073101654 /nfs/dbraw/zinc/10/16/54/1073101654.db2.gz FNHHQGUHTJAUDP-INIZCTEOSA-N 0 0 430.504 -0.086 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@H]1CCCCS1(=O)=O ZINC000477476554 1073101514 /nfs/dbraw/zinc/10/15/14/1073101514.db2.gz FNHHQGUHTJAUDP-MRXNPFEDSA-N 0 0 430.504 -0.086 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCN(C)S(=O)(=O)c1cccs1 ZINC000477478413 1073101617 /nfs/dbraw/zinc/10/16/17/1073101617.db2.gz SKRFDORPFJCVCQ-UHFFFAOYSA-N 0 0 433.599 -0.431 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNS(=O)(=O)CCCS(=O)(=O)N(C)C)c(C)s1 ZINC000477478422 1073101580 /nfs/dbraw/zinc/10/15/80/1073101580.db2.gz RNJMFDZNFPDWDQ-UHFFFAOYSA-N 0 0 447.626 -0.156 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000477479090 1073102073 /nfs/dbraw/zinc/10/20/73/1073102073.db2.gz HCRMPXPSTITZNP-JKSUJKDBSA-N 0 0 429.481 -0.582 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1cccc(S(=O)(=O)N(C)C)c1 ZINC000477479344 1073102152 /nfs/dbraw/zinc/10/21/52/1073102152.db2.gz MKHIBKFFCYOXSA-UHFFFAOYSA-N 0 0 427.570 -0.362 20 0 IBADRN CCN(C[C@@H](CC(C)C)NS(=O)(=O)c1cnnn1C)S(=O)(=O)c1cnnn1C ZINC000477479885 1073101661 /nfs/dbraw/zinc/10/16/61/1073101661.db2.gz VRUIMJHTTGGPCY-GFCCVEGCSA-N 0 0 434.548 -0.653 20 0 IBADRN CCN(C[C@H](CC(C)C)NS(=O)(=O)c1cnnn1C)S(=O)(=O)c1cnnn1C ZINC000477479886 1073101636 /nfs/dbraw/zinc/10/16/36/1073101636.db2.gz VRUIMJHTTGGPCY-LBPRGKRZSA-N 0 0 434.548 -0.653 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000477480474 1073102051 /nfs/dbraw/zinc/10/20/51/1073102051.db2.gz XUEGIPMICMPHDB-GASCZTMLSA-N 0 0 445.542 -0.198 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000477480477 1073102188 /nfs/dbraw/zinc/10/21/88/1073102188.db2.gz XUEGIPMICMPHDB-GJZGRUSLSA-N 0 0 445.542 -0.198 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000477480479 1073102210 /nfs/dbraw/zinc/10/22/10/1073102210.db2.gz XUEGIPMICMPHDB-HUUCEWRRSA-N 0 0 445.542 -0.198 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1C ZINC000477483098 1073102046 /nfs/dbraw/zinc/10/20/46/1073102046.db2.gz SSSJESPHYJMESB-UHFFFAOYSA-N 0 0 446.555 -0.159 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1F ZINC000477486422 1073101045 /nfs/dbraw/zinc/10/10/45/1073101045.db2.gz BTZZOOVOLAUMHC-UHFFFAOYSA-N 0 0 432.460 -0.874 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000477487967 1073101109 /nfs/dbraw/zinc/10/11/09/1073101109.db2.gz VZXDOPHYVUPGTI-UHFFFAOYSA-N 0 0 434.541 -0.839 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477489982 1073102749 /nfs/dbraw/zinc/10/27/49/1073102749.db2.gz QKHCMOYDFJCNEJ-HNNXBMFYSA-N 0 0 427.589 -0.245 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000477491881 1073102780 /nfs/dbraw/zinc/10/27/80/1073102780.db2.gz GOBPHTVPEQPXAD-UHFFFAOYSA-N 0 0 432.587 -0.267 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NS(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000477494412 1073102798 /nfs/dbraw/zinc/10/27/98/1073102798.db2.gz WANFMIQTWBIDSA-UHFFFAOYSA-N 0 0 433.618 -0.363 20 0 IBADRN COC(=O)[C@H]1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@H](C(=O)OC)C1 ZINC000477495108 1073102651 /nfs/dbraw/zinc/10/26/51/1073102651.db2.gz QFTMTPMFMOFROS-KBPBESRZSA-N 0 0 440.540 -0.586 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@H](C(=O)OC)C1 ZINC000477495109 1073102803 /nfs/dbraw/zinc/10/28/03/1073102803.db2.gz QFTMTPMFMOFROS-KGLIPLIRSA-N 0 0 440.540 -0.586 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477495110 1073103218 /nfs/dbraw/zinc/10/32/18/1073103218.db2.gz QFTMTPMFMOFROS-UONOGXRCSA-N 0 0 440.540 -0.586 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@@H](C(=O)OC)C1 ZINC000477495111 1073103335 /nfs/dbraw/zinc/10/33/35/1073103335.db2.gz QFTMTPMFMOFROS-ZIAGYGMSSA-N 0 0 440.540 -0.586 20 0 IBADRN COC(=O)CCN1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@@H](C)C1 ZINC000477496154 1073103311 /nfs/dbraw/zinc/10/33/11/1073103311.db2.gz YZDJYFFZOMNMGD-AWEZNQCLSA-N 0 0 425.573 -0.443 20 0 IBADRN COC(=O)CCN1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@H](C)C1 ZINC000477496155 1073103191 /nfs/dbraw/zinc/10/31/91/1073103191.db2.gz YZDJYFFZOMNMGD-CQSZACIVSA-N 0 0 425.573 -0.443 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)CC1 ZINC000477496961 1073103291 /nfs/dbraw/zinc/10/32/91/1073103291.db2.gz ZHVMHUSVOZALET-HNNXBMFYSA-N 0 0 443.613 -0.512 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)CC1 ZINC000477496966 1073103269 /nfs/dbraw/zinc/10/32/69/1073103269.db2.gz ZHVMHUSVOZALET-OAHLLOKOSA-N 0 0 443.613 -0.512 20 0 IBADRN CN1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2cccc(C(F)(F)F)c2)CC1=O ZINC000477497000 1073103279 /nfs/dbraw/zinc/10/32/79/1073103279.db2.gz ZSUHYWCFMXRTAP-GFCCVEGCSA-N 0 0 436.412 -0.124 20 0 IBADRN CN1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2cccc(C(F)(F)F)c2)CC1=O ZINC000477497006 1073103345 /nfs/dbraw/zinc/10/33/45/1073103345.db2.gz ZSUHYWCFMXRTAP-LBPRGKRZSA-N 0 0 436.412 -0.124 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N[C@@H]1CNC(=O)C1 ZINC000477497987 1073103390 /nfs/dbraw/zinc/10/33/90/1073103390.db2.gz GFUZIORBJUATRI-CMPLNLGQSA-N 0 0 436.412 -0.378 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N[C@@H]1CNC(=O)C1 ZINC000477497989 1073103298 /nfs/dbraw/zinc/10/32/98/1073103298.db2.gz GFUZIORBJUATRI-JQWIXIFHSA-N 0 0 436.412 -0.378 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N[C@H]1CNC(=O)C1 ZINC000477497992 1073103226 /nfs/dbraw/zinc/10/32/26/1073103226.db2.gz GFUZIORBJUATRI-PWSUYJOCSA-N 0 0 436.412 -0.378 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N[C@H]1CNC(=O)C1 ZINC000477497994 1073103170 /nfs/dbraw/zinc/10/31/70/1073103170.db2.gz GFUZIORBJUATRI-ZYHUDNBSSA-N 0 0 436.412 -0.378 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)c2ccnn2COC)CC1 ZINC000477498341 1073103382 /nfs/dbraw/zinc/10/33/82/1073103382.db2.gz JBJWTDUDAQGSHA-UHFFFAOYSA-N 0 0 429.524 -0.094 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000477498476 1073103154 /nfs/dbraw/zinc/10/31/54/1073103154.db2.gz JLLLKOZQQVKGHN-UHFFFAOYSA-N 0 0 429.520 -0.126 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)CC1)N1CCOCC1 ZINC000477499101 1073103801 /nfs/dbraw/zinc/10/38/01/1073103801.db2.gz IQWLLEOEBZGDRE-UHFFFAOYSA-N 0 0 436.513 -0.963 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)CCCS(=O)(=O)N(C)C)C1 ZINC000477501313 1073103771 /nfs/dbraw/zinc/10/37/71/1073103771.db2.gz HRMDOLUEHFYNEF-AWEZNQCLSA-N 0 0 441.572 -0.669 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)CCCS(=O)(=O)N(C)C)C1 ZINC000477501314 1073103735 /nfs/dbraw/zinc/10/37/35/1073103735.db2.gz HRMDOLUEHFYNEF-CQSZACIVSA-N 0 0 441.572 -0.669 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCCC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000477501459 1073103791 /nfs/dbraw/zinc/10/37/91/1073103791.db2.gz UAGVOUQBKKSVIH-INIZCTEOSA-N 0 0 437.584 -0.299 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCCC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000477501460 1073103816 /nfs/dbraw/zinc/10/38/16/1073103816.db2.gz UAGVOUQBKKSVIH-MRXNPFEDSA-N 0 0 437.584 -0.299 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000477504278 1073102132 /nfs/dbraw/zinc/10/21/32/1073102132.db2.gz BPEALJXLHQWOLE-AWEZNQCLSA-N 0 0 445.542 -0.361 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000477504279 1073102138 /nfs/dbraw/zinc/10/21/38/1073102138.db2.gz BPEALJXLHQWOLE-CQSZACIVSA-N 0 0 445.542 -0.361 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000477504284 1073102085 /nfs/dbraw/zinc/10/20/85/1073102085.db2.gz BRMACQKWMOFNQQ-UHFFFAOYSA-N 0 0 427.570 -0.693 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NS(=O)(=O)CCCS(=O)(=O)N(C)C)c1 ZINC000477504611 1073102058 /nfs/dbraw/zinc/10/20/58/1073102058.db2.gz NIEACURRRZNVID-GFCCVEGCSA-N 0 0 427.570 -0.143 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NS(=O)(=O)CCCS(=O)(=O)N(C)C)c1 ZINC000477504622 1073102158 /nfs/dbraw/zinc/10/21/58/1073102158.db2.gz NIEACURRRZNVID-LBPRGKRZSA-N 0 0 427.570 -0.143 20 0 IBADRN COCCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477505138 1073102037 /nfs/dbraw/zinc/10/20/37/1073102037.db2.gz OGKVEUPSDGJTIX-HNNXBMFYSA-N 0 0 446.613 -0.487 20 0 IBADRN COCCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477505141 1073102759 /nfs/dbraw/zinc/10/27/59/1073102759.db2.gz OGKVEUPSDGJTIX-OAHLLOKOSA-N 0 0 446.613 -0.487 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000477505465 1073102161 /nfs/dbraw/zinc/10/21/61/1073102161.db2.gz FHNBNRSANPSOFG-UHFFFAOYSA-N 0 0 438.616 -0.336 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000477505667 1073102105 /nfs/dbraw/zinc/10/21/05/1073102105.db2.gz PRNBBMUPDUTPMC-UHFFFAOYSA-N 0 0 445.629 -0.218 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)CCc2ccc3c(c2)CCO3)CC1 ZINC000477506657 1073102656 /nfs/dbraw/zinc/10/26/56/1073102656.db2.gz JTOGGXFZFPWASO-UHFFFAOYSA-N 0 0 435.502 -0.213 20 0 IBADRN COCn1nccc1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000477507754 1073102715 /nfs/dbraw/zinc/10/27/15/1073102715.db2.gz XHSWFYNHXIOPJJ-UHFFFAOYSA-N 0 0 444.539 -0.688 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000477509429 1073104850 /nfs/dbraw/zinc/10/48/50/1073104850.db2.gz SYIKKPZVGMNPPR-UHFFFAOYSA-N 0 0 427.483 -0.914 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)C1 ZINC000477510733 1073104922 /nfs/dbraw/zinc/10/49/22/1073104922.db2.gz FXALUKXWGKXZQX-GFCCVEGCSA-N 0 0 449.489 -0.156 20 0 IBADRN NC(=O)N[C@H]1CCN(C(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)C1 ZINC000477510739 1073104778 /nfs/dbraw/zinc/10/47/78/1073104778.db2.gz FXALUKXWGKXZQX-LBPRGKRZSA-N 0 0 449.489 -0.156 20 0 IBADRN COc1cccc(CC(=O)N2CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC2)c1 ZINC000477512403 1073103699 /nfs/dbraw/zinc/10/36/99/1073103699.db2.gz COPMNJMUGCABDE-UHFFFAOYSA-N 0 0 447.579 -0.007 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000477512954 1073103826 /nfs/dbraw/zinc/10/38/26/1073103826.db2.gz BAEZCRACLUYXJX-UHFFFAOYSA-N 0 0 441.572 -0.669 20 0 IBADRN O=C(NCc1cccc(Cn2cccn2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000477516181 1073103847 /nfs/dbraw/zinc/10/38/47/1073103847.db2.gz YEUWBQORHJWLEV-UHFFFAOYSA-N 0 0 438.488 -0.503 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)CC1 ZINC000477516223 1073103812 /nfs/dbraw/zinc/10/38/12/1073103812.db2.gz YNHTXVYBGQPPKG-UHFFFAOYSA-N 0 0 445.630 -0.303 20 0 IBADRN CNS(=O)(=O)c1ccc(CNS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)s1 ZINC000477516384 1073103729 /nfs/dbraw/zinc/10/37/29/1073103729.db2.gz KXMYXDPVXPOCSF-UHFFFAOYSA-N 0 0 445.610 -0.253 20 0 IBADRN CCCS(=O)(=O)NC1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000477516937 1073103721 /nfs/dbraw/zinc/10/37/21/1073103721.db2.gz OPFKIDLGMYOUCQ-UHFFFAOYSA-N 0 0 445.629 -0.218 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000477520330 1073104452 /nfs/dbraw/zinc/10/44/52/1073104452.db2.gz KKNHITBVNOWFRJ-UHFFFAOYSA-N 0 0 447.988 -0.181 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000477521291 1073104413 /nfs/dbraw/zinc/10/44/13/1073104413.db2.gz DSEYBAHCTJZUGC-UHFFFAOYSA-N 0 0 434.497 -0.673 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCC(=O)N1Cc2ccccc2C1 ZINC000477522129 1073104308 /nfs/dbraw/zinc/10/43/08/1073104308.db2.gz DHNBNNMZEJOBDO-UHFFFAOYSA-N 0 0 430.442 -0.100 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNS(=O)(=O)c3cnnn3C)cc2)CC1 ZINC000477522464 1073104375 /nfs/dbraw/zinc/10/43/75/1073104375.db2.gz IFEQNLBQWJWTEI-UHFFFAOYSA-N 0 0 436.538 -0.121 20 0 IBADRN COCn1nccc1S(=O)(=O)NC[C@@H](NS(=O)(=O)c1ccnn1COC)C1CC1 ZINC000477523073 1073104467 /nfs/dbraw/zinc/10/44/67/1073104467.db2.gz KKLGYILIEUQQEF-CYBMUJFWSA-N 0 0 448.527 -0.677 20 0 IBADRN COCn1nccc1S(=O)(=O)NC[C@H](NS(=O)(=O)c1ccnn1COC)C1CC1 ZINC000477523080 1073104486 /nfs/dbraw/zinc/10/44/86/1073104486.db2.gz KKLGYILIEUQQEF-ZDUSSCGKSA-N 0 0 448.527 -0.677 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000477527154 1073104507 /nfs/dbraw/zinc/10/45/07/1073104507.db2.gz LCAOMHPBGSHTPD-UHFFFAOYSA-N 0 0 446.599 -0.810 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000477528567 1073104914 /nfs/dbraw/zinc/10/49/14/1073104914.db2.gz SKGWWDQFXUHFNE-UHFFFAOYSA-N 0 0 439.581 -0.444 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000477528803 1073104280 /nfs/dbraw/zinc/10/42/80/1073104280.db2.gz UHNWKRZQTSLCPI-UHFFFAOYSA-N 0 0 437.497 -0.048 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCN3C(=O)NC4(CCCC4)C3=O)CC2)nc1 ZINC000477528813 1073104875 /nfs/dbraw/zinc/10/48/75/1073104875.db2.gz RWOGZMLBRGYXGA-UHFFFAOYSA-N 0 0 429.481 -0.123 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000477529134 1073106060 /nfs/dbraw/zinc/10/60/60/1073106060.db2.gz ORDBLDKFCKADOD-UHFFFAOYSA-N 0 0 427.570 -0.362 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2c(C)nn(COC)c2C)c1 ZINC000477533275 1073105610 /nfs/dbraw/zinc/10/56/10/1073105610.db2.gz VQIJFDSZRQSGKB-UHFFFAOYSA-N 0 0 445.523 -0.071 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000477533370 1073105602 /nfs/dbraw/zinc/10/56/02/1073105602.db2.gz GGDGZSNPMULPNF-UHFFFAOYSA-N 0 0 439.581 -0.396 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000477533406 1073105645 /nfs/dbraw/zinc/10/56/45/1073105645.db2.gz GSQYADONKLVRSF-UHFFFAOYSA-N 0 0 436.513 -0.963 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000477533459 1073105593 /nfs/dbraw/zinc/10/55/93/1073105593.db2.gz QSSYHISVKGLRDZ-UHFFFAOYSA-N 0 0 440.464 -0.397 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000477535191 1073105475 /nfs/dbraw/zinc/10/54/75/1073105475.db2.gz KVKWJLOCRBHGOV-GFCCVEGCSA-N 0 0 427.570 -0.143 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000477535192 1073105650 /nfs/dbraw/zinc/10/56/50/1073105650.db2.gz KVKWJLOCRBHGOV-LBPRGKRZSA-N 0 0 427.570 -0.143 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2c(C)nn(COC)c2C)CC1 ZINC000477538950 1073105506 /nfs/dbraw/zinc/10/55/06/1073105506.db2.gz VJVCPJULXHDBBR-INIZCTEOSA-N 0 0 431.559 -0.049 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2c(C)nn(COC)c2C)CC1 ZINC000477538951 1073105655 /nfs/dbraw/zinc/10/56/55/1073105655.db2.gz VJVCPJULXHDBBR-MRXNPFEDSA-N 0 0 431.559 -0.049 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1=O ZINC000477539629 1073105661 /nfs/dbraw/zinc/10/56/61/1073105661.db2.gz HDTJQWAVLWIRFC-CYBMUJFWSA-N 0 0 429.499 -0.196 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N(C)[C@@H]2COC[C@H]2O)c1 ZINC000477545798 1073106010 /nfs/dbraw/zinc/10/60/10/1073106010.db2.gz WXTIWLRKFAXZQA-IAGOWNOFSA-N 0 0 428.511 -0.003 20 0 IBADRN Cn1nncc1S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000477548352 1073107365 /nfs/dbraw/zinc/10/73/65/1073107365.db2.gz WRAMHGHCEYCPIZ-UHFFFAOYSA-N 0 0 443.507 -0.328 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)cn1C ZINC000477550223 1073107341 /nfs/dbraw/zinc/10/73/41/1073107341.db2.gz DBDBHSWFRVIZQZ-UHFFFAOYSA-N 0 0 440.526 -0.232 20 0 IBADRN O=C(NCCCCN1C(=O)NC2(CCCC2)C1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000477553421 1073107487 /nfs/dbraw/zinc/10/74/87/1073107487.db2.gz QKQRJDBYYGMIJV-KRWDZBQOSA-N 0 0 449.552 -0.072 20 0 IBADRN O=C(NCCCCN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000477553422 1073107458 /nfs/dbraw/zinc/10/74/58/1073107458.db2.gz QKQRJDBYYGMIJV-QGZVFWFLSA-N 0 0 449.552 -0.072 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477554843 1073107292 /nfs/dbraw/zinc/10/72/92/1073107292.db2.gz CJLUDMZFMFQXRT-KBPBESRZSA-N 0 0 431.602 -0.705 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477554847 1073107475 /nfs/dbraw/zinc/10/74/75/1073107475.db2.gz CJLUDMZFMFQXRT-KGLIPLIRSA-N 0 0 431.602 -0.705 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477554849 1073107450 /nfs/dbraw/zinc/10/74/50/1073107450.db2.gz CJLUDMZFMFQXRT-UONOGXRCSA-N 0 0 431.602 -0.705 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477554852 1073107414 /nfs/dbraw/zinc/10/74/14/1073107414.db2.gz CJLUDMZFMFQXRT-ZIAGYGMSSA-N 0 0 431.602 -0.705 20 0 IBADRN CC(C)OCCOC[C@@H](O)CN(C)S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477557989 1073106693 /nfs/dbraw/zinc/10/66/93/1073106693.db2.gz UTWJCZMHWWCGNI-INIZCTEOSA-N 0 0 430.589 -0.278 20 0 IBADRN CC(C)OCCOC[C@H](O)CN(C)S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000477557990 1073106742 /nfs/dbraw/zinc/10/67/42/1073106742.db2.gz UTWJCZMHWWCGNI-MRXNPFEDSA-N 0 0 430.589 -0.278 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N(CCO)CCOCC(F)(F)F)CC1 ZINC000477559368 1073106863 /nfs/dbraw/zinc/10/68/63/1073106863.db2.gz HUVABWFZYDRDCB-UHFFFAOYSA-N 0 0 426.479 -0.139 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)CC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000477564652 1073106818 /nfs/dbraw/zinc/10/68/18/1073106818.db2.gz PJLKKXPEPDIURE-HNNXBMFYSA-N 0 0 425.555 -0.441 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)CC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000477564654 1073107498 /nfs/dbraw/zinc/10/74/98/1073107498.db2.gz PJLKKXPEPDIURE-OAHLLOKOSA-N 0 0 425.555 -0.441 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCCCN1C(=O)NC2(CCCC2)C1=O ZINC000477564944 1073106733 /nfs/dbraw/zinc/10/67/33/1073106733.db2.gz PZUVZGSUQFCHTB-CYBMUJFWSA-N 0 0 440.526 -0.152 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCCCN1C(=O)NC2(CCCC2)C1=O ZINC000477564947 1073106671 /nfs/dbraw/zinc/10/66/71/1073106671.db2.gz PZUVZGSUQFCHTB-ZDUSSCGKSA-N 0 0 440.526 -0.152 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CC=CCC3)CC2)C[C@H](C)O1 ZINC000477566129 1073108025 /nfs/dbraw/zinc/10/80/25/1073108025.db2.gz UFBNTHOZPVRCFF-BBWFWOEESA-N 0 0 428.555 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CC=CCC3)CC2)C[C@@H](C)O1 ZINC000477566135 1073108044 /nfs/dbraw/zinc/10/80/44/1073108044.db2.gz UFBNTHOZPVRCFF-BRWVUGGUSA-N 0 0 428.555 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CC=CCC3)CC2)C[C@H](C)O1 ZINC000477566137 1073107962 /nfs/dbraw/zinc/10/79/62/1073107962.db2.gz UFBNTHOZPVRCFF-IXDOHACOSA-N 0 0 428.555 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CC=CCC3)CC2)C[C@@H](C)O1 ZINC000477566140 1073108082 /nfs/dbraw/zinc/10/80/82/1073108082.db2.gz UFBNTHOZPVRCFF-ZACQAIPSSA-N 0 0 428.555 -0.043 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N[C@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000477570429 1073108098 /nfs/dbraw/zinc/10/80/98/1073108098.db2.gz KVJQEQIESBXSDP-HNNXBMFYSA-N 0 0 433.552 -0.133 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N[C@@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000477570459 1073108049 /nfs/dbraw/zinc/10/80/49/1073108049.db2.gz KVJQEQIESBXSDP-OAHLLOKOSA-N 0 0 433.552 -0.133 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)C(=O)N1CCOCC1 ZINC000477573410 1073108085 /nfs/dbraw/zinc/10/80/85/1073108085.db2.gz CFZLXSOPTMJVHG-HNNXBMFYSA-N 0 0 425.573 -0.539 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)C(=O)N1CCOCC1 ZINC000477573415 1073108075 /nfs/dbraw/zinc/10/80/75/1073108075.db2.gz CFZLXSOPTMJVHG-OAHLLOKOSA-N 0 0 425.573 -0.539 20 0 IBADRN Cn1ccc(N2CCC[C@H](NS(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)C2=O)n1 ZINC000477576378 1073107403 /nfs/dbraw/zinc/10/74/03/1073107403.db2.gz NNIXJMQEBMEGMJ-AWEZNQCLSA-N 0 0 433.556 -0.494 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NS(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)C2=O)n1 ZINC000477576389 1073107453 /nfs/dbraw/zinc/10/74/53/1073107453.db2.gz NNIXJMQEBMEGMJ-CQSZACIVSA-N 0 0 433.556 -0.494 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000477576726 1073107469 /nfs/dbraw/zinc/10/74/69/1073107469.db2.gz JDXJANGOURHGFE-AWEZNQCLSA-N 0 0 449.551 -0.488 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000477576727 1073107322 /nfs/dbraw/zinc/10/73/22/1073107322.db2.gz JDXJANGOURHGFE-CQSZACIVSA-N 0 0 449.551 -0.488 20 0 IBADRN COc1ccc(CN2CC[C@H](NS(=O)(=O)CCCS(=O)(=O)N(C)C)C2=O)cc1 ZINC000477579054 1073108034 /nfs/dbraw/zinc/10/80/34/1073108034.db2.gz NGJWEPMTCILHAQ-INIZCTEOSA-N 0 0 433.552 -0.003 20 0 IBADRN COc1ccc(CN2CC[C@@H](NS(=O)(=O)CCCS(=O)(=O)N(C)C)C2=O)cc1 ZINC000477579439 1073107381 /nfs/dbraw/zinc/10/73/81/1073107381.db2.gz NGJWEPMTCILHAQ-MRXNPFEDSA-N 0 0 433.552 -0.003 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c1C ZINC000477583283 1073108621 /nfs/dbraw/zinc/10/86/21/1073108621.db2.gz ZPSDKAXKIOZVPB-UHFFFAOYSA-N 0 0 446.555 -0.031 20 0 IBADRN Cc1cc(N2CC[C@H](NS(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000477584252 1073108655 /nfs/dbraw/zinc/10/86/55/1073108655.db2.gz PDCIJSQEAOPLGK-AWEZNQCLSA-N 0 0 433.556 -0.575 20 0 IBADRN Cc1cc(N2CC[C@@H](NS(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000477584253 1073108682 /nfs/dbraw/zinc/10/86/82/1073108682.db2.gz PDCIJSQEAOPLGK-CQSZACIVSA-N 0 0 433.556 -0.575 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NS(=O)(=O)c3cnnn3C)CC2)cc1OC ZINC000477586037 1073108543 /nfs/dbraw/zinc/10/85/43/1073108543.db2.gz ADHMUMPUYWJJTQ-UHFFFAOYSA-N 0 0 445.523 -0.036 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(CC(=O)N1CCCC1)C[C@@H]1CCCO1 ZINC000477586604 1073108554 /nfs/dbraw/zinc/10/85/54/1073108554.db2.gz IEQOLHSMKWPPTR-HNNXBMFYSA-N 0 0 425.573 -0.299 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(CC(=O)N1CCCC1)C[C@H]1CCCO1 ZINC000477586605 1073108679 /nfs/dbraw/zinc/10/86/79/1073108679.db2.gz IEQOLHSMKWPPTR-OAHLLOKOSA-N 0 0 425.573 -0.299 20 0 IBADRN CCN(C1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1)S(C)(=O)=O ZINC000477588279 1073108531 /nfs/dbraw/zinc/10/85/31/1073108531.db2.gz FTJDQYXGLLRGKG-UHFFFAOYSA-N 0 0 445.629 -0.266 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1cccc(Cn2cccn2)c1 ZINC000477588440 1073108651 /nfs/dbraw/zinc/10/86/51/1073108651.db2.gz HHJCDGSMMRKBIT-UHFFFAOYSA-N 0 0 446.489 -0.229 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000477593144 1073109786 /nfs/dbraw/zinc/10/97/86/1073109786.db2.gz QDWLDOZVAJLUHS-UHFFFAOYSA-N 0 0 445.629 -0.266 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)S(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000477594322 1073109810 /nfs/dbraw/zinc/10/98/10/1073109810.db2.gz VASMJXMZZURZHN-UHFFFAOYSA-N 0 0 441.597 -0.184 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3cccc(Cn4cccn4)c3)CC2)c1=O ZINC000477594652 1073109855 /nfs/dbraw/zinc/10/98/55/1073109855.db2.gz YHSYGISKSHHIQL-UHFFFAOYSA-N 0 0 435.488 -0.010 20 0 IBADRN COCn1nccc1S(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000477598171 1073111482 /nfs/dbraw/zinc/11/14/82/1073111482.db2.gz LRWHIRRBBVSMRH-UHFFFAOYSA-N 0 0 443.551 -0.098 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCC1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000477599210 1073111448 /nfs/dbraw/zinc/11/14/48/1073111448.db2.gz FHVKKLSZDVHIHI-UHFFFAOYSA-N 0 0 440.632 -0.186 20 0 IBADRN COC[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000477600079 1073110438 /nfs/dbraw/zinc/11/04/38/1073110438.db2.gz SDWWCCXLNQSLDI-CYBMUJFWSA-N 0 0 447.535 -0.064 20 0 IBADRN COC[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000477600081 1073110478 /nfs/dbraw/zinc/11/04/78/1073110478.db2.gz SDWWCCXLNQSLDI-ZDUSSCGKSA-N 0 0 447.535 -0.064 20 0 IBADRN CCc1noc(C)c1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000477600264 1073110366 /nfs/dbraw/zinc/11/03/66/1073110366.db2.gz JYBGJIDVWUMOIV-UHFFFAOYSA-N 0 0 449.489 -0.079 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CNC(=O)Cc1ccc(O)cc1 ZINC000477603723 1073110354 /nfs/dbraw/zinc/11/03/54/1073110354.db2.gz DUYTWDOGMUMHFI-UHFFFAOYSA-N 0 0 428.492 -0.384 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)c3cnnn3C)CC2)c(OC)c1OC ZINC000477604279 1073110402 /nfs/dbraw/zinc/11/04/02/1073110402.db2.gz PVTVCSUVFIYCIW-UHFFFAOYSA-N 0 0 425.467 -0.012 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1C ZINC000477605117 1073110425 /nfs/dbraw/zinc/11/04/25/1073110425.db2.gz ROHJLEJNAPUBAP-UHFFFAOYSA-N 0 0 433.512 -0.072 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1csc(S(N)(=O)=O)c1 ZINC000477610014 1073110482 /nfs/dbraw/zinc/11/04/82/1073110482.db2.gz KXHDGCYWXNQCHP-UHFFFAOYSA-N 0 0 426.523 -0.426 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000477616074 1073112594 /nfs/dbraw/zinc/11/25/94/1073112594.db2.gz YGUPIHGGFXBFOV-UHFFFAOYSA-N 0 0 440.463 -0.787 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000477619111 1073112555 /nfs/dbraw/zinc/11/25/55/1073112555.db2.gz XAOWHGMCJBYWSP-BXTJHSDWSA-N 0 0 438.525 -0.008 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000477619114 1073112590 /nfs/dbraw/zinc/11/25/90/1073112590.db2.gz XAOWHGMCJBYWSP-MDOVXXIYSA-N 0 0 438.525 -0.008 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000477619116 1073112641 /nfs/dbraw/zinc/11/26/41/1073112641.db2.gz XAOWHGMCJBYWSP-STXHMFSFSA-N 0 0 438.525 -0.008 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000477619117 1073112503 /nfs/dbraw/zinc/11/25/03/1073112503.db2.gz XAOWHGMCJBYWSP-SXGZJXTBSA-N 0 0 438.525 -0.008 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1ccc(S(N)(=O)=O)s1 ZINC000477620329 1073112657 /nfs/dbraw/zinc/11/26/57/1073112657.db2.gz GEZCGYZTRVQGSC-UHFFFAOYSA-N 0 0 426.523 -0.426 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000477621853 1073112619 /nfs/dbraw/zinc/11/26/19/1073112619.db2.gz NHUBJPLMLUPAMJ-INIZCTEOSA-N 0 0 444.579 -0.320 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000477621854 1073112647 /nfs/dbraw/zinc/11/26/47/1073112647.db2.gz NHUBJPLMLUPAMJ-MRXNPFEDSA-N 0 0 444.579 -0.320 20 0 IBADRN COCCN(C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000477623215 1073111531 /nfs/dbraw/zinc/11/15/31/1073111531.db2.gz VLSKWBDBTQGVHF-CXAGYDPISA-N 0 0 431.511 -0.736 20 0 IBADRN COCCN(C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000477623218 1073111558 /nfs/dbraw/zinc/11/15/58/1073111558.db2.gz VLSKWBDBTQGVHF-DYVFJYSZSA-N 0 0 431.511 -0.736 20 0 IBADRN COCCN(C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000477623220 1073111435 /nfs/dbraw/zinc/11/14/35/1073111435.db2.gz VLSKWBDBTQGVHF-GUYCJALGSA-N 0 0 431.511 -0.736 20 0 IBADRN COCCN(C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000477623222 1073111504 /nfs/dbraw/zinc/11/15/04/1073111504.db2.gz VLSKWBDBTQGVHF-SUMWQHHRSA-N 0 0 431.511 -0.736 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000477624679 1073111524 /nfs/dbraw/zinc/11/15/24/1073111524.db2.gz AYZXGILERNCHLC-CABCVRRESA-N 0 0 437.497 -0.096 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000477624713 1073111550 /nfs/dbraw/zinc/11/15/50/1073111550.db2.gz AYZXGILERNCHLC-GJZGRUSLSA-N 0 0 437.497 -0.096 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000477624716 1073111497 /nfs/dbraw/zinc/11/14/97/1073111497.db2.gz AYZXGILERNCHLC-HUUCEWRRSA-N 0 0 437.497 -0.096 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000477624719 1073111509 /nfs/dbraw/zinc/11/15/09/1073111509.db2.gz AYZXGILERNCHLC-LSDHHAIUSA-N 0 0 437.497 -0.096 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000477625086 1073111494 /nfs/dbraw/zinc/11/14/94/1073111494.db2.gz BSBZFHRKKMRJHJ-FQEVSTJZSA-N 0 0 445.476 -0.313 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000477625092 1073111928 /nfs/dbraw/zinc/11/19/28/1073111928.db2.gz BSBZFHRKKMRJHJ-HXUWFJFHSA-N 0 0 445.476 -0.313 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)C1 ZINC000477626438 1073112030 /nfs/dbraw/zinc/11/20/30/1073112030.db2.gz HAYUWZPVSKUURF-HNNXBMFYSA-N 0 0 438.481 -0.699 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)C1 ZINC000477626439 1073111986 /nfs/dbraw/zinc/11/19/86/1073111986.db2.gz HAYUWZPVSKUURF-OAHLLOKOSA-N 0 0 438.481 -0.699 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN(C)C(=O)[C@@H](C)n1cccn1 ZINC000477627089 1073112023 /nfs/dbraw/zinc/11/20/23/1073112023.db2.gz JQYVHGWPBIGBDV-LLVKDONJSA-N 0 0 430.512 -0.532 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN(C)C(=O)[C@H](C)n1cccn1 ZINC000477627090 1073111941 /nfs/dbraw/zinc/11/19/41/1073111941.db2.gz JQYVHGWPBIGBDV-NSHDSACASA-N 0 0 430.512 -0.532 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1cc(C)ccc1S(N)(=O)=O ZINC000477627467 1073112061 /nfs/dbraw/zinc/11/20/61/1073112061.db2.gz SBFXXBLYSCWZGJ-UHFFFAOYSA-N 0 0 434.521 -0.179 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)N1CC(=O)Nc2ccccc21 ZINC000477628386 1073112080 /nfs/dbraw/zinc/11/20/80/1073112080.db2.gz OKMLVOPDJZGPFG-UHFFFAOYSA-N 0 0 429.433 -0.515 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NC(=O)NCc1ccccc1 ZINC000477628710 1073112069 /nfs/dbraw/zinc/11/20/69/1073112069.db2.gz PTLRFXVVRHHGFB-UHFFFAOYSA-N 0 0 431.449 -0.475 20 0 IBADRN COC(=O)c1sccc1NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000477629150 1073112045 /nfs/dbraw/zinc/11/20/45/1073112045.db2.gz RSHIISRIUDCQCX-UHFFFAOYSA-N 0 0 438.462 -0.014 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)cn1 ZINC000477629884 1073112017 /nfs/dbraw/zinc/11/20/17/1073112017.db2.gz GFLTVIMGHZXKEE-UHFFFAOYSA-N 0 0 437.501 -0.764 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000477630246 1073111996 /nfs/dbraw/zinc/11/19/96/1073111996.db2.gz WRHGWCCAAWHGGM-UHFFFAOYSA-N 0 0 440.550 -0.117 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2cccc(N3C(=O)COCC3=O)c2)cn1C ZINC000477630510 1073112052 /nfs/dbraw/zinc/11/20/52/1073112052.db2.gz CUMRZQOHTMLJIO-UHFFFAOYSA-N 0 0 435.462 -0.075 20 0 IBADRN COc1ccc(C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)cc1-n1cnnn1 ZINC000477631186 1073113622 /nfs/dbraw/zinc/11/36/22/1073113622.db2.gz FRIZUGZUCZHBHA-UHFFFAOYSA-N 0 0 439.479 -0.150 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cccc(N3C(=O)COCC3=O)c2)c(=O)n(C)c1=O ZINC000477631194 1073113742 /nfs/dbraw/zinc/11/37/42/1073113742.db2.gz BIVBJNDXCFHTFD-UHFFFAOYSA-N 0 0 425.401 -0.433 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NC(=O)NCc1cccs1 ZINC000477631739 1073112632 /nfs/dbraw/zinc/11/26/32/1073112632.db2.gz HNVNMCNPKPPQOG-UHFFFAOYSA-N 0 0 437.478 -0.413 20 0 IBADRN COC(=O)c1cccc(NC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)c1 ZINC000477631761 1073111515 /nfs/dbraw/zinc/11/15/15/1073111515.db2.gz HONGLOFJQBFXPH-UHFFFAOYSA-N 0 0 432.433 -0.075 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000477632178 1073113715 /nfs/dbraw/zinc/11/37/15/1073113715.db2.gz JFLZJPOYVMQATE-AAEUAGOBSA-N 0 0 441.428 -0.571 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000477632192 1073113708 /nfs/dbraw/zinc/11/37/08/1073113708.db2.gz JFLZJPOYVMQATE-WCQYABFASA-N 0 0 441.428 -0.571 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc(N4C(=O)COCC4=O)c3)c2c(=O)n(C)c1=O ZINC000477634158 1073113738 /nfs/dbraw/zinc/11/37/38/1073113738.db2.gz HXEZZCCTKFQDJZ-UHFFFAOYSA-N 0 0 440.416 -0.648 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000477635731 1073113718 /nfs/dbraw/zinc/11/37/18/1073113718.db2.gz VPBHUIMHAHOBBU-UHFFFAOYSA-N 0 0 435.529 -0.194 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCN1CC(F)(F)F ZINC000477637733 1073113034 /nfs/dbraw/zinc/11/30/34/1073113034.db2.gz BZUJYZUJASYCPW-JTQLQIEISA-N 0 0 442.398 -0.334 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCN1CC(F)(F)F ZINC000477637749 1073113106 /nfs/dbraw/zinc/11/31/06/1073113106.db2.gz BZUJYZUJASYCPW-SNVBAGLBSA-N 0 0 442.398 -0.334 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000477641972 1073113056 /nfs/dbraw/zinc/11/30/56/1073113056.db2.gz YTMIVIGOOUPZFR-PSASIEDQSA-N 0 0 428.558 -0.484 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000477641973 1073113198 /nfs/dbraw/zinc/11/31/98/1073113198.db2.gz YTMIVIGOOUPZFR-SCZZXKLOSA-N 0 0 428.558 -0.484 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000477641974 1073113047 /nfs/dbraw/zinc/11/30/47/1073113047.db2.gz YTMIVIGOOUPZFR-WCBMZHEXSA-N 0 0 428.558 -0.484 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000477641975 1073113021 /nfs/dbraw/zinc/11/30/21/1073113021.db2.gz YTMIVIGOOUPZFR-WPRPVWTQSA-N 0 0 428.558 -0.484 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000477643026 1073113147 /nfs/dbraw/zinc/11/31/47/1073113147.db2.gz FZFPLZKFBQNANI-UHFFFAOYSA-N 0 0 444.496 -0.889 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(C(C)=O)C[C@@H]2C)c(=O)[nH]c1=O ZINC000477643468 1073113191 /nfs/dbraw/zinc/11/31/91/1073113191.db2.gz ZTPBMYVUVSWFIP-AWEZNQCLSA-N 0 0 438.529 -0.137 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(C(C)=O)C[C@H]2C)c(=O)[nH]c1=O ZINC000477643470 1073113614 /nfs/dbraw/zinc/11/36/14/1073113614.db2.gz ZTPBMYVUVSWFIP-CQSZACIVSA-N 0 0 438.529 -0.137 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(C(=O)NC(C)(C)C)CC3)cnc2n(C)c1=O ZINC000477649798 1073113569 /nfs/dbraw/zinc/11/35/69/1073113569.db2.gz MWIZMKWLOVOGDT-UHFFFAOYSA-N 0 0 445.480 -0.777 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000477651677 1073114091 /nfs/dbraw/zinc/11/40/91/1073114091.db2.gz FCKWUOWMVOGBSC-UHFFFAOYSA-N 0 0 449.512 -0.667 20 0 IBADRN CC(=O)N1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@@H](C)C1 ZINC000477654941 1073114081 /nfs/dbraw/zinc/11/40/81/1073114081.db2.gz XFUCEZVRDGAHDM-INIZCTEOSA-N 0 0 438.550 -0.124 20 0 IBADRN CC(=O)N1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@H](C)C1 ZINC000477654942 1073114165 /nfs/dbraw/zinc/11/41/65/1073114165.db2.gz XFUCEZVRDGAHDM-MRXNPFEDSA-N 0 0 438.550 -0.124 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000477656037 1074352190 /nfs/dbraw/zinc/35/21/90/1074352190.db2.gz XLERPACIDQVLHX-UHFFFAOYSA-N 0 0 449.512 -0.667 20 0 IBADRN Cn1nc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c2ccccc21 ZINC000477656441 1073114722 /nfs/dbraw/zinc/11/47/22/1073114722.db2.gz BITHEORQZNLOCY-UHFFFAOYSA-N 0 0 435.444 -0.184 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1=O)S(N)(=O)=O ZINC000477656866 1073114229 /nfs/dbraw/zinc/11/42/29/1073114229.db2.gz CUGOXUHGTASJRF-GXSJLCMTSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(F)(F)F)C1=O)S(N)(=O)=O ZINC000477656869 1073114638 /nfs/dbraw/zinc/11/46/38/1073114638.db2.gz CUGOXUHGTASJRF-KOLCDFICSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1=O)S(N)(=O)=O ZINC000477656870 1073114730 /nfs/dbraw/zinc/11/47/30/1073114730.db2.gz CUGOXUHGTASJRF-MWLCHTKSSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(F)(F)F)C1=O)S(N)(=O)=O ZINC000477656873 1073114626 /nfs/dbraw/zinc/11/46/26/1073114626.db2.gz CUGOXUHGTASJRF-ONGXEEELSA-N 0 0 436.412 -0.280 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC3(O)CCOCC3)cc2)CC1 ZINC000477658155 1073114711 /nfs/dbraw/zinc/11/47/11/1073114711.db2.gz DHRBJNUVTDHJCO-UHFFFAOYSA-N 0 0 432.521 -0.011 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000477658201 1073114704 /nfs/dbraw/zinc/11/47/04/1073114704.db2.gz AMTVCGXNBRYUIY-HNNXBMFYSA-N 0 0 430.461 -0.304 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000477658202 1073114609 /nfs/dbraw/zinc/11/46/09/1073114609.db2.gz AMTVCGXNBRYUIY-OAHLLOKOSA-N 0 0 430.461 -0.304 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000477658547 1073114682 /nfs/dbraw/zinc/11/46/82/1073114682.db2.gz HGPWUOXHYVVTHD-UHFFFAOYSA-N 0 0 441.492 -0.159 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000477662907 1073114596 /nfs/dbraw/zinc/11/45/96/1073114596.db2.gz LOEJJZMVILDLDV-UHFFFAOYSA-N 0 0 432.481 -0.508 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000477663232 1073114695 /nfs/dbraw/zinc/11/46/95/1073114695.db2.gz OVSNEGJOTKQGLD-UHFFFAOYSA-N 0 0 440.478 -0.651 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000477664645 1073310289 /nfs/dbraw/zinc/31/02/89/1073310289.db2.gz JNLVHXQGFBIVRV-UHFFFAOYSA-N 0 0 435.529 -0.194 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)CC1=O ZINC000477665092 1073113899 /nfs/dbraw/zinc/11/38/99/1073113899.db2.gz PTYOHBUVLPGBGK-UHFFFAOYSA-N 0 0 438.506 -0.441 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000477665132 1073114114 /nfs/dbraw/zinc/11/41/14/1073114114.db2.gz RYDVRJSNTPSMTN-IPMKNSEASA-N 0 0 430.505 -0.236 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000477665136 1073113935 /nfs/dbraw/zinc/11/39/35/1073113935.db2.gz RYDVRJSNTPSMTN-OTWHNJEPSA-N 0 0 430.505 -0.236 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000477667346 1073114174 /nfs/dbraw/zinc/11/41/74/1073114174.db2.gz WCNFRAOYFQYKRR-UHFFFAOYSA-N 0 0 427.465 -0.467 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000477669144 1073114025 /nfs/dbraw/zinc/11/40/25/1073114025.db2.gz YRYKNSNZGCMYTH-UHFFFAOYSA-N 0 0 431.497 -0.391 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccnn3-c3ccc(C)nn3)C2)nn1 ZINC000477670724 1073115234 /nfs/dbraw/zinc/11/52/34/1073115234.db2.gz GCNYCBMPOLELCC-GFCCVEGCSA-N 0 0 425.409 -0.239 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccnn3-c3ccc(C)nn3)C2)nn1 ZINC000477670730 1073115242 /nfs/dbraw/zinc/11/52/42/1073115242.db2.gz GCNYCBMPOLELCC-LBPRGKRZSA-N 0 0 425.409 -0.239 20 0 IBADRN CC(=O)N1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)C1 ZINC000477671545 1073115239 /nfs/dbraw/zinc/11/52/39/1073115239.db2.gz OXEARFLRLPBDKH-INIZCTEOSA-N 0 0 438.550 -0.124 20 0 IBADRN CC(=O)N1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)C1 ZINC000477671548 1073115193 /nfs/dbraw/zinc/11/51/93/1073115193.db2.gz OXEARFLRLPBDKH-MRXNPFEDSA-N 0 0 438.550 -0.124 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC000477680384 1073115659 /nfs/dbraw/zinc/11/56/59/1073115659.db2.gz RLJGRFUNWFXIPW-AWEZNQCLSA-N 0 0 428.540 -0.031 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC000477680386 1073115750 /nfs/dbraw/zinc/11/57/50/1073115750.db2.gz RLJGRFUNWFXIPW-CQSZACIVSA-N 0 0 428.540 -0.031 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CCN1CC(F)(F)F ZINC000477684366 1073354848 /nfs/dbraw/zinc/35/48/48/1073354848.db2.gz YUIKFYWCHWYXKL-CYBMUJFWSA-N 0 0 446.430 -0.225 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CCN1CC(F)(F)F ZINC000477684367 1073312969 /nfs/dbraw/zinc/31/29/69/1073312969.db2.gz YUIKFYWCHWYXKL-ZDUSSCGKSA-N 0 0 446.430 -0.225 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CCN1CC(F)(F)F ZINC000477698242 1073116175 /nfs/dbraw/zinc/11/61/75/1073116175.db2.gz GMRXEYBSOVOPDJ-LLVKDONJSA-N 0 0 429.399 -0.065 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CCN1CC(F)(F)F ZINC000477698244 1073116044 /nfs/dbraw/zinc/11/60/44/1073116044.db2.gz GMRXEYBSOVOPDJ-NSHDSACASA-N 0 0 429.399 -0.065 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000477712481 1073116858 /nfs/dbraw/zinc/11/68/58/1073116858.db2.gz AFKDMLNYFWSQSX-UHFFFAOYSA-N 0 0 441.492 -0.159 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000477716970 1073117477 /nfs/dbraw/zinc/11/74/77/1073117477.db2.gz IQLLSNVPCYLUJD-UHFFFAOYSA-N 0 0 429.481 -0.674 20 0 IBADRN COC[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000477719150 1073117464 /nfs/dbraw/zinc/11/74/64/1073117464.db2.gz PDYGLLVDOLQGGV-OAHLLOKOSA-N 0 0 443.522 -0.719 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000477719623 1073117250 /nfs/dbraw/zinc/11/72/50/1073117250.db2.gz MQVYKCFBJXSIMF-UHFFFAOYSA-N 0 0 439.586 -0.041 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CCN1CC(F)(F)F ZINC000477721644 1073117265 /nfs/dbraw/zinc/11/72/65/1073117265.db2.gz UDHSCBMLSQINFW-CYBMUJFWSA-N 0 0 446.430 -0.225 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CCN1CC(F)(F)F ZINC000477721646 1073117505 /nfs/dbraw/zinc/11/75/05/1073117505.db2.gz UDHSCBMLSQINFW-ZDUSSCGKSA-N 0 0 446.430 -0.225 20 0 IBADRN COC[C@@H](CO)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000477724846 1073116098 /nfs/dbraw/zinc/11/60/98/1073116098.db2.gz ZHVYWYVUUYQWPJ-OCCSQVGLSA-N 0 0 442.943 -0.455 20 0 IBADRN COC[C@@H](CO)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000477724847 1073116018 /nfs/dbraw/zinc/11/60/18/1073116018.db2.gz ZHVYWYVUUYQWPJ-TZMCWYRMSA-N 0 0 442.943 -0.455 20 0 IBADRN COC[C@@H](CO)NC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000477726259 1073116157 /nfs/dbraw/zinc/11/61/57/1073116157.db2.gz SOCQIPLIQGMQSK-CYBMUJFWSA-N 0 0 427.479 -0.107 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(F)(F)F)[C@H](C)C2)cn1 ZINC000477726513 1073116169 /nfs/dbraw/zinc/11/61/69/1073116169.db2.gz XZKWGSWGZHJUTQ-GFCCVEGCSA-N 0 0 434.419 -0.321 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(F)(F)F)[C@@H](C)C2)cn1 ZINC000477726524 1073116143 /nfs/dbraw/zinc/11/61/43/1073116143.db2.gz XZKWGSWGZHJUTQ-LBPRGKRZSA-N 0 0 434.419 -0.321 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000477726840 1073116105 /nfs/dbraw/zinc/11/61/05/1073116105.db2.gz UXUHWPZUBDEDEW-UHFFFAOYSA-N 0 0 441.286 -0.002 20 0 IBADRN Cn1nc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ccccc21 ZINC000477727732 1073116804 /nfs/dbraw/zinc/11/68/04/1073116804.db2.gz UMVDPNDNWKXWLC-UHFFFAOYSA-N 0 0 428.493 -0.172 20 0 IBADRN COC[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000477728891 1073116734 /nfs/dbraw/zinc/11/67/34/1073116734.db2.gz AEOSQIPTIOQCAF-OAHLLOKOSA-N 0 0 443.522 -0.568 20 0 IBADRN COC[C@@H](CO)NC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000477728988 1073116850 /nfs/dbraw/zinc/11/68/50/1073116850.db2.gz BKLXUNNHICXFDW-CQSZACIVSA-N 0 0 440.522 -0.350 20 0 IBADRN COC[C@@H](CO)NC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000477730005 1073116887 /nfs/dbraw/zinc/11/68/87/1073116887.db2.gz ICTMGNZXFFNPDF-GFCCVEGCSA-N 0 0 425.463 -0.302 20 0 IBADRN COC[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000477731818 1073117422 /nfs/dbraw/zinc/11/74/22/1073117422.db2.gz QUEXMDUWWHWNDK-OAHLLOKOSA-N 0 0 431.486 -0.438 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000477733440 1073117456 /nfs/dbraw/zinc/11/74/56/1073117456.db2.gz DOKGCYZFKYOIIX-UHFFFAOYSA-N 0 0 425.515 -0.706 20 0 IBADRN Cn1nc(CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c2ccccc21 ZINC000477748865 1073117496 /nfs/dbraw/zinc/11/74/96/1073117496.db2.gz XEXNLHRIIAAERS-UHFFFAOYSA-N 0 0 449.581 -0.065 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N(CC(=O)N3CCCC3)C[C@H]3CCCO3)nc2c(=O)[nH]c1=O ZINC000477749684 1073117290 /nfs/dbraw/zinc/11/72/90/1073117290.db2.gz RPVLAYRCKDSJLD-CYBMUJFWSA-N 0 0 432.481 -0.075 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N(CC(=O)N3CCCC3)C[C@@H]3CCCO3)nc2c(=O)[nH]c1=O ZINC000477749685 1073117234 /nfs/dbraw/zinc/11/72/34/1073117234.db2.gz RPVLAYRCKDSJLD-ZDUSSCGKSA-N 0 0 432.481 -0.075 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCCCN1CCNC(=O)C1 ZINC000477752851 1073118013 /nfs/dbraw/zinc/11/80/13/1073118013.db2.gz KXCXGVMBJVTCEH-UHFFFAOYSA-N 0 0 438.550 -0.422 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H](C)Cc2ccc(O)cc2)CC1 ZINC000477755443 1073118123 /nfs/dbraw/zinc/11/81/23/1073118123.db2.gz UHHLQCZDLNUPPK-DLBZAZTESA-N 0 0 432.521 -0.169 20 0 IBADRN C[C@H](Cc1ccc(O)cc1)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000477755444 1073118086 /nfs/dbraw/zinc/11/80/86/1073118086.db2.gz UHHLQCZDLNUPPK-IAGOWNOFSA-N 0 0 432.521 -0.169 20 0 IBADRN C[C@@H](Cc1ccc(O)cc1)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000477755445 1073118114 /nfs/dbraw/zinc/11/81/14/1073118114.db2.gz UHHLQCZDLNUPPK-IRXDYDNUSA-N 0 0 432.521 -0.169 20 0 IBADRN C[C@H](Cc1ccc(O)cc1)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000477755446 1073118065 /nfs/dbraw/zinc/11/80/65/1073118065.db2.gz UHHLQCZDLNUPPK-SJORKVTESA-N 0 0 432.521 -0.169 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)NCCCN1CCNC(=O)C1 ZINC000477755837 1073118110 /nfs/dbraw/zinc/11/81/10/1073118110.db2.gz VNXQHQXNXPUSRY-UHFFFAOYSA-N 0 0 444.273 -0.041 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NC(C)(CO)CO)CC2=O)cc1 ZINC000477759068 1073117997 /nfs/dbraw/zinc/11/79/97/1073117997.db2.gz AAXQGHASOYXAJL-AWEZNQCLSA-N 0 0 427.523 -0.071 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC(C)(CO)CO)CC2=O)cc1 ZINC000477759069 1073118105 /nfs/dbraw/zinc/11/81/05/1073118105.db2.gz AAXQGHASOYXAJL-CQSZACIVSA-N 0 0 427.523 -0.071 20 0 IBADRN COC[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000477761343 1073118539 /nfs/dbraw/zinc/11/85/39/1073118539.db2.gz SEJSIODRASWWAG-MRXNPFEDSA-N 0 0 427.523 -0.268 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC(C)(CO)CO)CC2)cc1 ZINC000477763497 1073119153 /nfs/dbraw/zinc/11/91/53/1073119153.db2.gz YOHOFFUVTAXKKM-UHFFFAOYSA-N 0 0 443.522 -0.832 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(CC(=O)N3CCCC3)C[C@@H]3CCCO3)nc2n(C)c1=O ZINC000477765666 1073124398 /nfs/dbraw/zinc/12/43/98/1073124398.db2.gz BTSDETXHDIFIIS-AWEZNQCLSA-N 0 0 429.477 -0.124 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(CC(=O)N3CCCC3)C[C@H]3CCCO3)nc2n(C)c1=O ZINC000477765677 1073124298 /nfs/dbraw/zinc/12/42/98/1073124298.db2.gz BTSDETXHDIFIIS-CQSZACIVSA-N 0 0 429.477 -0.124 20 0 IBADRN Cc1noc(CCCN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000477765914 1073122659 /nfs/dbraw/zinc/12/26/59/1073122659.db2.gz NRCUVQZBUXBVNE-UHFFFAOYSA-N 0 0 429.524 -0.035 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC(C)(CO)CO)CC2)c1 ZINC000477766680 1073135211 /nfs/dbraw/zinc/13/52/11/1073135211.db2.gz UEYBJKHCYLGKLO-UHFFFAOYSA-N 0 0 441.550 -0.224 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCn2cnnc2C1 ZINC000477766973 1073128931 /nfs/dbraw/zinc/12/89/31/1073128931.db2.gz HYPFFHSLQNEJPH-INIZCTEOSA-N 0 0 426.433 -0.727 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCn2cnnc2C1 ZINC000477766979 1073128892 /nfs/dbraw/zinc/12/88/92/1073128892.db2.gz HYPFFHSLQNEJPH-MRXNPFEDSA-N 0 0 426.433 -0.727 20 0 IBADRN CC(CO)(CO)NC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000477768331 1073142407 /nfs/dbraw/zinc/14/24/07/1073142407.db2.gz TUIVKIYGTXGFLR-UHFFFAOYSA-N 0 0 427.479 -0.371 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCN1CCNC(=O)C1 ZINC000477771979 1073146971 /nfs/dbraw/zinc/14/69/71/1073146971.db2.gz QSWQSDPXYZDQEJ-UHFFFAOYSA-N 0 0 440.522 -0.732 20 0 IBADRN CCOC(CNC(=O)[C@@H]1CCCCS1(=O)=O)CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000477773712 1073151389 /nfs/dbraw/zinc/15/13/89/1073151389.db2.gz NKNAMDIPVZNKHE-GJZGRUSLSA-N 0 0 438.568 -0.442 20 0 IBADRN CCOC(CNC(=O)[C@H]1CCCCS1(=O)=O)CNC(=O)[C@H]1CCCCS1(=O)=O ZINC000477773713 1073151432 /nfs/dbraw/zinc/15/14/32/1073151432.db2.gz NKNAMDIPVZNKHE-HUUCEWRRSA-N 0 0 438.568 -0.442 20 0 IBADRN CCO[C@H](CNC(=O)[C@H]1CCCCS1(=O)=O)CNC(=O)[C@@H]1CCCCS1(=O)=O ZINC000477773714 1073151867 /nfs/dbraw/zinc/15/18/67/1073151867.db2.gz NKNAMDIPVZNKHE-QKDCVEJESA-N 0 0 438.568 -0.442 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCn4cnnc4C3)c2)CC1 ZINC000477775833 1073158398 /nfs/dbraw/zinc/15/83/98/1073158398.db2.gz WHOYUEGKJBESCM-UHFFFAOYSA-N 0 0 447.521 -0.415 20 0 IBADRN CC(CO)(CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000477776945 1073158431 /nfs/dbraw/zinc/15/84/31/1073158431.db2.gz XWXCSGWKKQEIBK-UHFFFAOYSA-N 0 0 431.486 -0.702 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NC(C)(CO)CO)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000477779348 1073167007 /nfs/dbraw/zinc/16/70/07/1073167007.db2.gz IPKUJDISXYTACX-UHFFFAOYSA-N 0 0 428.401 -0.097 20 0 IBADRN CC(CO)(CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000477779890 1073166200 /nfs/dbraw/zinc/16/62/00/1073166200.db2.gz RDKZVYXELLQRAM-UHFFFAOYSA-N 0 0 447.941 -0.187 20 0 IBADRN CCNc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CN1CCN(CC)C(=O)C1=O ZINC000477781621 1073172123 /nfs/dbraw/zinc/17/21/23/1073172123.db2.gz FOCOEKXXFMAWRX-UHFFFAOYSA-N 0 0 425.511 -0.002 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC(C)(CO)CO)CC2)cc1 ZINC000477783973 1073171581 /nfs/dbraw/zinc/17/15/81/1073171581.db2.gz NKSFTDWSEPWRJV-UHFFFAOYSA-N 0 0 427.523 -0.532 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCC(=O)NCc1ccccn1 ZINC000477787130 1073173731 /nfs/dbraw/zinc/17/37/31/1073173731.db2.gz IDUVJXKJCGHUHS-CYBMUJFWSA-N 0 0 433.490 -0.330 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCC(=O)NCc1ccccn1 ZINC000477787131 1073173684 /nfs/dbraw/zinc/17/36/84/1073173684.db2.gz IDUVJXKJCGHUHS-ZDUSSCGKSA-N 0 0 433.490 -0.330 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCC(=O)NCc2ccccn2)c1 ZINC000477787890 1073187709 /nfs/dbraw/zinc/18/77/09/1073187709.db2.gz IDCCLHCMXOYMSM-UHFFFAOYSA-N 0 0 447.517 -0.116 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(CC(=O)N3CCCC3)C[C@@H]3CCCO3)cnc2n(C)c1=O ZINC000477789084 1073189719 /nfs/dbraw/zinc/18/97/19/1073189719.db2.gz LAOZVYNEAUOXRY-HNNXBMFYSA-N 0 0 429.477 -0.124 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(CC(=O)N3CCCC3)C[C@H]3CCCO3)cnc2n(C)c1=O ZINC000477789085 1073189666 /nfs/dbraw/zinc/18/96/66/1073189666.db2.gz LAOZVYNEAUOXRY-OAHLLOKOSA-N 0 0 429.477 -0.124 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C[C@H](NC(C)=O)C(N)=O ZINC000477789956 1073192273 /nfs/dbraw/zinc/19/22/73/1073192273.db2.gz INNFOLKQOVEONI-INIZCTEOSA-N 0 0 441.510 -0.542 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)NCC1(O)CCS(=O)(=O)CC1 ZINC000477791297 1073192315 /nfs/dbraw/zinc/19/23/15/1073192315.db2.gz NJIODRFBHKEHRN-UHFFFAOYSA-N 0 0 439.943 -0.143 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000477793435 1073192245 /nfs/dbraw/zinc/19/22/45/1073192245.db2.gz HNAPMAGBDVBHSY-IBGZPJMESA-N 0 0 435.569 -0.772 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000477793438 1073192319 /nfs/dbraw/zinc/19/23/19/1073192319.db2.gz HNAPMAGBDVBHSY-LJQANCHMSA-N 0 0 435.569 -0.772 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CNC(=O)CNC(C)=O ZINC000477795824 1073192263 /nfs/dbraw/zinc/19/22/63/1073192263.db2.gz YTKBDGGLZYGRMP-UHFFFAOYSA-N 0 0 441.510 -0.670 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)c1 ZINC000477797940 1073192325 /nfs/dbraw/zinc/19/23/25/1073192325.db2.gz PBEGGKHQWVOJQS-UHFFFAOYSA-N 0 0 441.554 -0.387 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCn4cnnc4C3)cc2)CC1 ZINC000477798893 1073192283 /nfs/dbraw/zinc/19/22/83/1073192283.db2.gz YFSSEBHEZOEOLI-UHFFFAOYSA-N 0 0 433.494 -0.805 20 0 IBADRN COc1ccc(C(=O)NCCCN2CCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000477798988 1073192298 /nfs/dbraw/zinc/19/22/98/1073192298.db2.gz QTYXFKIOLSGJSQ-UHFFFAOYSA-N 0 0 440.522 -0.732 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(CC(=O)N1CCCC1)C[C@@H]1CCCO1)c(=O)n2C ZINC000477799815 1073192172 /nfs/dbraw/zinc/19/21/72/1073192172.db2.gz SMSKUEFNJDAMNR-AWEZNQCLSA-N 0 0 432.481 -0.936 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(CC(=O)N1CCCC1)C[C@H]1CCCO1)c(=O)n2C ZINC000477799816 1073192224 /nfs/dbraw/zinc/19/22/24/1073192224.db2.gz SMSKUEFNJDAMNR-CQSZACIVSA-N 0 0 432.481 -0.936 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC(CO)C3)CC2)cc1 ZINC000477801637 1073192189 /nfs/dbraw/zinc/19/21/89/1073192189.db2.gz QTFUEMCJAQYZBZ-UHFFFAOYSA-N 0 0 425.507 -0.241 20 0 IBADRN O=C(CCNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)NCc1ccccn1 ZINC000477802535 1073192310 /nfs/dbraw/zinc/19/23/10/1073192310.db2.gz OSFMYFSLYDWIRH-UHFFFAOYSA-N 0 0 427.446 -0.071 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CC(=O)NC1=O ZINC000477807668 1073192762 /nfs/dbraw/zinc/19/27/62/1073192762.db2.gz XBYCTHBCJYHSHR-UHFFFAOYSA-N 0 0 425.467 -0.370 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)c1cn(CC(N)=O)nn1 ZINC000477810019 1073192786 /nfs/dbraw/zinc/19/27/86/1073192786.db2.gz JLRAYXSXYBRTLE-UHFFFAOYSA-N 0 0 437.482 -0.532 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(c3nnc4n3CCCC4)CC1)c(=O)n2C ZINC000477810501 1073192745 /nfs/dbraw/zinc/19/27/45/1073192745.db2.gz NCBDKWAXJFJRQW-UHFFFAOYSA-N 0 0 426.481 -0.232 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(c4nnc5n4CCCC5)CC3)c2c(=O)n(C)c1=O ZINC000477811583 1073192756 /nfs/dbraw/zinc/19/27/56/1073192756.db2.gz CQBRRSPOELBKGB-UHFFFAOYSA-N 0 0 426.481 -0.232 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC[C@H](C)CO)c(=O)n2Cc1ccccc1 ZINC000477815347 1073192831 /nfs/dbraw/zinc/19/28/31/1073192831.db2.gz HLBVZGQLQVTWHL-HNNXBMFYSA-N 0 0 429.477 -0.201 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC[C@@H](C)CO)c(=O)n2Cc1ccccc1 ZINC000477815350 1073192826 /nfs/dbraw/zinc/19/28/26/1073192826.db2.gz HLBVZGQLQVTWHL-OAHLLOKOSA-N 0 0 429.477 -0.201 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCC(=O)NCc3ccccn3)CC2=O)c1 ZINC000477815905 1073192837 /nfs/dbraw/zinc/19/28/37/1073192837.db2.gz OCUANKDQQRIVDB-AWEZNQCLSA-N 0 0 445.501 -0.095 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCC(=O)NCc3ccccn3)CC2=O)c1 ZINC000477815906 1073192728 /nfs/dbraw/zinc/19/27/28/1073192728.db2.gz OCUANKDQQRIVDB-CQSZACIVSA-N 0 0 445.501 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCn2cnnc2C1 ZINC000477818722 1073192807 /nfs/dbraw/zinc/19/28/07/1073192807.db2.gz NOVXBMNPVXFJSL-UHFFFAOYSA-N 0 0 434.478 -0.412 20 0 IBADRN COc1cncc(C(=O)N2CCN(C(=O)c3cncc(OC)n3)[C@H](C(=O)N(C)C)C2)n1 ZINC000477830922 1073193329 /nfs/dbraw/zinc/19/33/29/1073193329.db2.gz ZWTSLBUHTMTXJV-AWEZNQCLSA-N 0 0 429.437 -0.661 20 0 IBADRN COc1cncc(C(=O)N2CCN(C(=O)c3cncc(OC)n3)[C@@H](C(=O)N(C)C)C2)n1 ZINC000477830923 1073193289 /nfs/dbraw/zinc/19/32/89/1073193289.db2.gz ZWTSLBUHTMTXJV-CQSZACIVSA-N 0 0 429.437 -0.661 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000477831372 1073193312 /nfs/dbraw/zinc/19/33/12/1073193312.db2.gz WXQHGSIDKRAIOQ-UHFFFAOYSA-N 0 0 442.314 -0.228 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)nc2n(C)c1=O ZINC000477832628 1073193346 /nfs/dbraw/zinc/19/33/46/1073193346.db2.gz ZFSFNEMEZDKVLP-UHFFFAOYSA-N 0 0 428.493 -0.694 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000477843683 1073192780 /nfs/dbraw/zinc/19/27/80/1073192780.db2.gz DMZSFLFJOOYAEC-AWEZNQCLSA-N 0 0 426.543 -0.853 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000477843694 1073192717 /nfs/dbraw/zinc/19/27/17/1073192717.db2.gz DMZSFLFJOOYAEC-CQSZACIVSA-N 0 0 426.543 -0.853 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cn1C ZINC000477844703 1073192814 /nfs/dbraw/zinc/19/28/14/1073192814.db2.gz FVNLHZXYPBXZQN-UHFFFAOYSA-N 0 0 426.543 -0.933 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCn4cnnc4C3)c2)CC1 ZINC000477845862 1073193687 /nfs/dbraw/zinc/19/36/87/1073193687.db2.gz OSWHFTURWLIYFK-UHFFFAOYSA-N 0 0 447.521 -0.415 20 0 IBADRN Cc1c(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000477849608 1073194295 /nfs/dbraw/zinc/19/42/95/1073194295.db2.gz WZJKSYKESGWFPH-UHFFFAOYSA-N 0 0 442.520 -0.385 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)c(=O)n(C)c1=O ZINC000477850482 1073194203 /nfs/dbraw/zinc/19/42/03/1073194203.db2.gz XOORXRHVOVPZBY-UHFFFAOYSA-N 0 0 430.509 -0.901 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCc3n[nH]c(=O)cc3C1)CC2 ZINC000477850975 1073194144 /nfs/dbraw/zinc/19/41/44/1073194144.db2.gz DTFKFZGLABCIQQ-UHFFFAOYSA-N 0 0 431.474 -0.046 20 0 IBADRN Cc1cc(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000477852525 1073194281 /nfs/dbraw/zinc/19/42/81/1073194281.db2.gz NVKAUEOZNXYBJF-UHFFFAOYSA-N 0 0 442.520 -0.385 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000477857874 1073193781 /nfs/dbraw/zinc/19/37/81/1073193781.db2.gz RPUMDMZRVFAYFH-UHFFFAOYSA-N 0 0 431.449 -0.026 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)C(=O)NCCN3C(=O)NC4(CCCC4)C3=O)cc21 ZINC000477858344 1073193733 /nfs/dbraw/zinc/19/37/33/1073193733.db2.gz KKVYBTSSBZBNGH-UHFFFAOYSA-N 0 0 429.433 -0.039 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c2ccccc2O1 ZINC000477860797 1073193771 /nfs/dbraw/zinc/19/37/71/1073193771.db2.gz VUCFRJVYSQJVEG-HNNXBMFYSA-N 0 0 443.460 -0.493 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c2ccccc2O1 ZINC000477860802 1073193725 /nfs/dbraw/zinc/19/37/25/1073193725.db2.gz VUCFRJVYSQJVEG-OAHLLOKOSA-N 0 0 443.460 -0.493 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000477861019 1073193774 /nfs/dbraw/zinc/19/37/74/1073193774.db2.gz VZVCVYYDIVOUGN-UHFFFAOYSA-N 0 0 430.465 -0.163 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000477862659 1073193719 /nfs/dbraw/zinc/19/37/19/1073193719.db2.gz OFILRLPBWWWPTR-UHFFFAOYSA-N 0 0 431.449 -0.140 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000477863621 1073193703 /nfs/dbraw/zinc/19/37/03/1073193703.db2.gz ZNMORMKTHJZCNT-UHFFFAOYSA-N 0 0 431.449 -0.140 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)nn1 ZINC000477866270 1073193792 /nfs/dbraw/zinc/19/37/92/1073193792.db2.gz RPDGKUZVHOUYGM-UHFFFAOYSA-N 0 0 426.437 -0.110 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000477868116 1073193759 /nfs/dbraw/zinc/19/37/59/1073193759.db2.gz VAVJRUGAGKXWNQ-UHFFFAOYSA-N 0 0 430.465 -0.163 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c1=O ZINC000477868535 1073194714 /nfs/dbraw/zinc/19/47/14/1073194714.db2.gz XHGKZPRVPCYXMV-UHFFFAOYSA-N 0 0 447.448 -0.669 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000477870691 1073194618 /nfs/dbraw/zinc/19/46/18/1073194618.db2.gz ZXEXYOZTFUNZDU-UHFFFAOYSA-N 0 0 434.474 -0.064 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cc1-n1cnnn1 ZINC000477870788 1073194748 /nfs/dbraw/zinc/19/47/48/1073194748.db2.gz ATHBDMWIAXHVEM-UHFFFAOYSA-N 0 0 426.437 -0.110 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCn4cnnc4C3)c2)CC1 ZINC000477871477 1073194577 /nfs/dbraw/zinc/19/45/77/1073194577.db2.gz AMIJEGMOVWDACE-UHFFFAOYSA-N 0 0 433.494 -0.805 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000477872175 1073194730 /nfs/dbraw/zinc/19/47/30/1073194730.db2.gz AVMYGZINXBUURD-FQEVSTJZSA-N 0 0 449.596 -0.240 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000477872177 1073194522 /nfs/dbraw/zinc/19/45/22/1073194522.db2.gz AVMYGZINXBUURD-HXUWFJFHSA-N 0 0 449.596 -0.240 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000477872741 1073194601 /nfs/dbraw/zinc/19/46/01/1073194601.db2.gz BZVTYYSDPBWUTC-DLBZAZTESA-N 0 0 440.566 -0.918 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000477872798 1073194703 /nfs/dbraw/zinc/19/47/03/1073194703.db2.gz BZVTYYSDPBWUTC-IAGOWNOFSA-N 0 0 440.566 -0.918 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000477872800 1073194677 /nfs/dbraw/zinc/19/46/77/1073194677.db2.gz BZVTYYSDPBWUTC-IRXDYDNUSA-N 0 0 440.566 -0.918 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000477872802 1073194663 /nfs/dbraw/zinc/19/46/63/1073194663.db2.gz BZVTYYSDPBWUTC-SJORKVTESA-N 0 0 440.566 -0.918 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)cnc2n(C)c1=O ZINC000477873525 1073195214 /nfs/dbraw/zinc/19/52/14/1073195214.db2.gz FPTFMRJQWPXZHE-UHFFFAOYSA-N 0 0 428.493 -0.694 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000477873708 1073195106 /nfs/dbraw/zinc/19/51/06/1073195106.db2.gz HSUXQCKYEBGLBH-UHFFFAOYSA-N 0 0 437.478 -0.486 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCN3C(=O)NC4(CCCC4)C3=O)cc2n(C)c1=O ZINC000477874513 1073195180 /nfs/dbraw/zinc/19/51/80/1073195180.db2.gz JAWSTISZUAFVMZ-UHFFFAOYSA-N 0 0 428.449 -0.204 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc1 ZINC000477874815 1073195148 /nfs/dbraw/zinc/19/51/48/1073195148.db2.gz IEHXBCBEBQWWIN-UHFFFAOYSA-N 0 0 436.534 -0.380 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC(N3CCCCC3=O)CC2)CC1)N1CCOCC1 ZINC000477877821 1073172729 /nfs/dbraw/zinc/17/27/29/1073172729.db2.gz OJBVCPXKGDVXQF-UHFFFAOYSA-N 0 0 435.569 -0.534 20 0 IBADRN CO[C@H]1C[C@@H](C(N)=O)N(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000477878601 1073195218 /nfs/dbraw/zinc/19/52/18/1073195218.db2.gz MSHXIMALEXYUHT-AAEUAGOBSA-N 0 0 432.524 -0.447 20 0 IBADRN Cc1cccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000477878887 1073195006 /nfs/dbraw/zinc/19/50/06/1073195006.db2.gz NCRUBDLBGFBUBK-UHFFFAOYSA-N 0 0 448.501 -0.121 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cc1S(N)(=O)=O ZINC000477881571 1073194309 /nfs/dbraw/zinc/19/43/09/1073194309.db2.gz SVCLKIIDSUYBOE-UHFFFAOYSA-N 0 0 437.478 -0.438 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000477882987 1073194670 /nfs/dbraw/zinc/19/46/70/1073194670.db2.gz DPHMASHCSUUJMD-UHFFFAOYSA-N 0 0 426.437 -0.110 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000477886925 1073194681 /nfs/dbraw/zinc/19/46/81/1073194681.db2.gz ZRBJRIDSOJNBDT-AWEZNQCLSA-N 0 0 432.568 -0.023 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000477886930 1073194721 /nfs/dbraw/zinc/19/47/21/1073194721.db2.gz ZRBJRIDSOJNBDT-CQSZACIVSA-N 0 0 432.568 -0.023 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCCN3C(=O)NC4(CCCC4)C3=O)cc2C1=O ZINC000477888828 1073194498 /nfs/dbraw/zinc/19/44/98/1073194498.db2.gz MPTZONRWIGDSSU-UHFFFAOYSA-N 0 0 427.417 -0.168 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000477890183 1073194735 /nfs/dbraw/zinc/19/47/35/1073194735.db2.gz LJHVJNCNBLSSSH-UHFFFAOYSA-N 0 0 430.400 -0.279 20 0 IBADRN CCn1cnc2c(c1=O)CCN(S(=O)(=O)CCNS(=O)(=O)c1ccccc1)C2 ZINC000477890588 1073194630 /nfs/dbraw/zinc/19/46/30/1073194630.db2.gz VNRFTWXDKPBMJX-UHFFFAOYSA-N 0 0 426.520 -0.070 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NCCN3C(=O)NC4(CCCC4)C3=O)cc2)c1=O ZINC000477890730 1073194708 /nfs/dbraw/zinc/19/47/08/1073194708.db2.gz MQKAZACYEDIKAK-UHFFFAOYSA-N 0 0 441.448 -0.510 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)n(-c2ncccn2)n1 ZINC000477892370 1073195483 /nfs/dbraw/zinc/19/54/83/1073195483.db2.gz PMHQCUQSYMIXRQ-UHFFFAOYSA-N 0 0 426.437 -0.110 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)[C@@H](C)[C@H](C)C(=O)N(C)CC(=O)Nc2cc(C)on2)no1 ZINC000477893527 1073195417 /nfs/dbraw/zinc/19/54/17/1073195417.db2.gz UXAGCAAXMJUAKG-KBPBESRZSA-N 0 0 448.480 -0.111 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)[C@H](C)[C@H](C)C(=O)N(C)CC(=O)Nc2cc(C)on2)no1 ZINC000477893528 1073195563 /nfs/dbraw/zinc/19/55/63/1073195563.db2.gz UXAGCAAXMJUAKG-OKILXGFUSA-N 0 0 448.480 -0.111 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)[C@H](C)[C@@H](C)C(=O)N(C)CC(=O)Nc2cc(C)on2)no1 ZINC000477893529 1073195525 /nfs/dbraw/zinc/19/55/25/1073195525.db2.gz UXAGCAAXMJUAKG-ZIAGYGMSSA-N 0 0 448.480 -0.111 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cc1-n1cnnn1 ZINC000477894745 1073195430 /nfs/dbraw/zinc/19/54/30/1073195430.db2.gz JVZOAHWYULMCTE-UHFFFAOYSA-N 0 0 442.436 -0.410 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000477897309 1073195401 /nfs/dbraw/zinc/19/54/01/1073195401.db2.gz TVWFEUQNJVKREN-UHFFFAOYSA-N 0 0 441.441 -0.608 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000477897587 1073195552 /nfs/dbraw/zinc/19/55/52/1073195552.db2.gz VTQCDFODYPSCAX-UHFFFAOYSA-N 0 0 431.449 -0.269 20 0 IBADRN COCC1=CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000477898083 1073195543 /nfs/dbraw/zinc/19/55/43/1073195543.db2.gz YNEIELXSPJBHIC-UHFFFAOYSA-N 0 0 436.490 -0.449 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cn1C ZINC000477900359 1073195460 /nfs/dbraw/zinc/19/54/60/1073195460.db2.gz BMRUCASUQWNBRO-UHFFFAOYSA-N 0 0 440.570 -0.543 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@H](N(C)Cc2ncccn2)C1 ZINC000477904702 1073195603 /nfs/dbraw/zinc/19/56/03/1073195603.db2.gz VUAMJEOSXNGSAC-HNNXBMFYSA-N 0 0 426.543 -0.657 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@@H](N(C)Cc2ncccn2)C1 ZINC000477904704 1073195474 /nfs/dbraw/zinc/19/54/74/1073195474.db2.gz VUAMJEOSXNGSAC-OAHLLOKOSA-N 0 0 426.543 -0.657 20 0 IBADRN Cc1cccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000477909451 1073195028 /nfs/dbraw/zinc/19/50/28/1073195028.db2.gz NHTJCAJLWMEVJO-UHFFFAOYSA-N 0 0 437.566 -0.710 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCCN3CCN(C(=O)C4CCC4)CC3)nc2c(=O)[nH]c1=O ZINC000477911105 1073195222 /nfs/dbraw/zinc/19/52/22/1073195222.db2.gz ZGEIALODUKWSIY-UHFFFAOYSA-N 0 0 431.497 -0.645 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000477930001 1073195883 /nfs/dbraw/zinc/19/58/83/1073195883.db2.gz JPZXCBCCJAOEPT-UHFFFAOYSA-N 0 0 439.538 -0.137 20 0 IBADRN COC1(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCC1 ZINC000477932228 1073195999 /nfs/dbraw/zinc/19/59/99/1073195999.db2.gz FNXRTICWDBNRQH-UHFFFAOYSA-N 0 0 438.506 -0.179 20 0 IBADRN COC(=O)C[C@H]1CSCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000477937465 1073196028 /nfs/dbraw/zinc/19/60/28/1073196028.db2.gz MVUJWRJHUNXWKV-AWEZNQCLSA-N 0 0 441.531 -0.072 20 0 IBADRN COC(=O)C[C@@H]1CSCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000477937470 1073196479 /nfs/dbraw/zinc/19/64/79/1073196479.db2.gz MVUJWRJHUNXWKV-CQSZACIVSA-N 0 0 441.531 -0.072 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000477944232 1073197446 /nfs/dbraw/zinc/19/74/46/1073197446.db2.gz GRGTWVQBTAKSCE-UHFFFAOYSA-N 0 0 445.523 -0.108 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000477947044 1073197477 /nfs/dbraw/zinc/19/74/77/1073197477.db2.gz HNWYNURCQYZWOI-UHFFFAOYSA-N 0 0 439.538 -0.135 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000477949663 1073197037 /nfs/dbraw/zinc/19/70/37/1073197037.db2.gz QJLWVGTZCUSFTH-UHFFFAOYSA-N 0 0 437.522 -0.383 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000477953895 1073197519 /nfs/dbraw/zinc/19/75/19/1073197519.db2.gz DGBTVILVNRERBT-UHFFFAOYSA-N 0 0 444.535 -0.257 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000477977857 1073197607 /nfs/dbraw/zinc/19/76/07/1073197607.db2.gz WGDDBQVQNDPQOT-UHFFFAOYSA-N 0 0 436.490 -0.449 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)NCc2nc(C(F)(F)F)n[nH]2)cc1 ZINC000477982858 1073198050 /nfs/dbraw/zinc/19/80/50/1073198050.db2.gz JDFDVPJHEBYIPI-UHFFFAOYSA-N 0 0 442.354 -0.090 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000477986768 1073198097 /nfs/dbraw/zinc/19/80/97/1073198097.db2.gz DNJUXBMDBURMJI-UHFFFAOYSA-N 0 0 434.474 -0.159 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(CCO)CCN3CCOCC3)CC2)cn1C ZINC000477992066 1073174621 /nfs/dbraw/zinc/17/46/21/1073174621.db2.gz QDUVYTVDWLMJSA-UHFFFAOYSA-N 0 0 443.570 -0.718 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000477994637 1073198598 /nfs/dbraw/zinc/19/85/98/1073198598.db2.gz YGUHZSYYHSCOPV-UHFFFAOYSA-N 0 0 444.579 -0.227 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCc1nc(C(F)(F)F)n[nH]1)CC2 ZINC000477997983 1073198588 /nfs/dbraw/zinc/19/85/88/1073198588.db2.gz FFFHAEAIQNFGNK-UHFFFAOYSA-N 0 0 432.384 -0.063 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N(CCO)CCN1CCOCC1 ZINC000478012423 1073175004 /nfs/dbraw/zinc/17/50/04/1073175004.db2.gz DSINTLRTGMSAPJ-UHFFFAOYSA-N 0 0 432.568 -0.481 20 0 IBADRN COCCN(CC(=O)OC)C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000478012497 1073198525 /nfs/dbraw/zinc/19/85/25/1073198525.db2.gz FVGSEAXYPKSBPF-UHFFFAOYSA-N 0 0 430.479 -0.022 20 0 IBADRN COc1ccc(C(=O)N(CCO)CCN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000478013305 1073174913 /nfs/dbraw/zinc/17/49/13/1073174913.db2.gz HNZJTPVSKWVSGJ-UHFFFAOYSA-N 0 0 427.523 -0.097 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000478015531 1073174816 /nfs/dbraw/zinc/17/48/16/1073174816.db2.gz NHWKNKNIXWFTIZ-UHFFFAOYSA-N 0 0 427.523 -0.289 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000478017473 1073198996 /nfs/dbraw/zinc/19/89/96/1073198996.db2.gz RKQFFLZUSOFLCW-UHFFFAOYSA-N 0 0 433.537 -0.537 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000478018030 1073199050 /nfs/dbraw/zinc/19/90/50/1073199050.db2.gz PTNISUKIKFDJQL-UHFFFAOYSA-N 0 0 448.524 -0.236 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)N(CCO)CCN1CCOCC1 ZINC000478018558 1073175847 /nfs/dbraw/zinc/17/58/47/1073175847.db2.gz VWCCHMMNCFYDML-GOSISDBHSA-N 0 0 441.550 -0.089 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)N(CCO)CCN1CCOCC1 ZINC000478018561 1073175738 /nfs/dbraw/zinc/17/57/38/1073175738.db2.gz VWCCHMMNCFYDML-SFHVURJKSA-N 0 0 441.550 -0.089 20 0 IBADRN COC(=O)C[C@H]1CSCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000478018962 1073199029 /nfs/dbraw/zinc/19/90/29/1073199029.db2.gz WBMFQTUVCDFTDZ-AWEZNQCLSA-N 0 0 441.531 -0.072 20 0 IBADRN COC(=O)C[C@@H]1CSCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000478018964 1073198896 /nfs/dbraw/zinc/19/88/96/1073198896.db2.gz WBMFQTUVCDFTDZ-CQSZACIVSA-N 0 0 441.531 -0.072 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)C2=O)c1 ZINC000478020184 1073199005 /nfs/dbraw/zinc/19/90/05/1073199005.db2.gz BHPBUMXXAHOAFC-HNNXBMFYSA-N 0 0 445.476 -0.397 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)C2=O)c1 ZINC000478020185 1073198960 /nfs/dbraw/zinc/19/89/60/1073198960.db2.gz BHPBUMXXAHOAFC-OAHLLOKOSA-N 0 0 445.476 -0.397 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)c3cn(CC(=O)N(C)C)nn3)CC2)c1OC ZINC000478022383 1073198973 /nfs/dbraw/zinc/19/89/73/1073198973.db2.gz PHNHRPNMPOHYSF-UHFFFAOYSA-N 0 0 430.465 -0.018 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCCCS(C)(=O)=O)CC2)CC1 ZINC000478026973 1073199803 /nfs/dbraw/zinc/19/98/03/1073199803.db2.gz LBQJQEUBYLABDB-UHFFFAOYSA-N 0 0 439.604 -0.979 20 0 IBADRN CS(=O)(=O)CCCCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000478029455 1073199939 /nfs/dbraw/zinc/19/99/39/1073199939.db2.gz MJPZCCVDIZSTDS-UHFFFAOYSA-N 0 0 426.561 -0.895 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)c1OC ZINC000478030102 1073199761 /nfs/dbraw/zinc/19/97/61/1073199761.db2.gz UUFUUUODQGNKBA-UHFFFAOYSA-N 0 0 425.507 -0.281 20 0 IBADRN COCCN(C(=O)CCC(=O)N[C@H]1[C@@H]2COC[C@@H]21)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000478035201 1073199490 /nfs/dbraw/zinc/19/94/90/1073199490.db2.gz TZHPOKXIJDQJAM-VIKVFOODSA-N 0 0 437.497 -0.292 20 0 IBADRN O=C(N[C@H]1[C@@H]2COC[C@@H]21)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000478038417 1073199392 /nfs/dbraw/zinc/19/93/92/1073199392.db2.gz CRVSKFNOBYYDTE-DZFIZOCASA-N 0 0 437.518 -0.081 20 0 IBADRN Cn1cc(I)c(=O)n(CC(=O)N2CCN(C(=O)C3CC3)CC2)c1=O ZINC000478040633 1073199821 /nfs/dbraw/zinc/19/98/21/1073199821.db2.gz MBGQTUFIIIJJNM-UHFFFAOYSA-N 0 0 446.245 -0.768 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000478042054 1073199931 /nfs/dbraw/zinc/19/99/31/1073199931.db2.gz QLKXJCISWJMRRT-PFHKOEEOSA-N 0 0 425.463 -0.869 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000478042055 1073199843 /nfs/dbraw/zinc/19/98/43/1073199843.db2.gz QLKXJCISWJMRRT-ZHRONYKTSA-N 0 0 425.463 -0.869 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)C2=O)c1 ZINC000478042133 1073199893 /nfs/dbraw/zinc/19/98/93/1073199893.db2.gz RRNDVFATXVLUGN-FHWLQOOXSA-N 0 0 439.534 -0.104 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)C2=O)c1 ZINC000478042134 1073199919 /nfs/dbraw/zinc/19/99/19/1073199919.db2.gz RRNDVFATXVLUGN-GBESFXJTSA-N 0 0 439.534 -0.104 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)C2=O)c1 ZINC000478042135 1073199975 /nfs/dbraw/zinc/19/99/75/1073199975.db2.gz RRNDVFATXVLUGN-IPMKNSEASA-N 0 0 439.534 -0.104 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)C2=O)c1 ZINC000478042136 1073199951 /nfs/dbraw/zinc/19/99/51/1073199951.db2.gz RRNDVFATXVLUGN-OTWHNJEPSA-N 0 0 439.534 -0.104 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3csc(-c4cnccn4)n3)CC2)CC1 ZINC000478044250 1073200311 /nfs/dbraw/zinc/20/03/11/1073200311.db2.gz YMCKODJDIJSQIU-UHFFFAOYSA-N 0 0 437.551 -0.150 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)c1OC ZINC000478048691 1073200537 /nfs/dbraw/zinc/20/05/37/1073200537.db2.gz KJRZWCKEUMFXTL-UHFFFAOYSA-N 0 0 440.522 -0.096 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H]1[C@@H]3COC[C@@H]31)c(=O)n2Cc1ccccc1 ZINC000478049276 1073200508 /nfs/dbraw/zinc/20/05/08/1073200508.db2.gz WADYORLVZCAQDD-VQFNDLOPSA-N 0 0 439.472 -0.185 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000478060053 1073200242 /nfs/dbraw/zinc/20/02/42/1073200242.db2.gz GTFMJJNUXBRGSE-HNNXBMFYSA-N 0 0 435.462 -0.956 20 0 IBADRN COC(=O)[C@]12CN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)C[C@H]1COCC2 ZINC000478060387 1072810915 /nfs/dbraw/zinc/81/09/15/1072810915.db2.gz QHQZTVZZUWNWOI-KPZWWZAWSA-N 0 0 433.446 -0.251 20 0 IBADRN COC(=O)[C@]12CN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)C[C@H]1COCC2 ZINC000478062920 1072810989 /nfs/dbraw/zinc/81/09/89/1072810989.db2.gz IBILRPJWTLJHTO-JWCWZOIMSA-N 0 0 443.456 -0.045 20 0 IBADRN COC(=O)[C@]12CN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)C[C@H]1COCC2 ZINC000478062921 1072811000 /nfs/dbraw/zinc/81/10/00/1072811000.db2.gz IBILRPJWTLJHTO-UMLSUDCWSA-N 0 0 443.456 -0.045 20 0 IBADRN COC(=O)[C@]12CN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)C[C@H]1COCC2 ZINC000478068689 1072811031 /nfs/dbraw/zinc/81/10/31/1072811031.db2.gz QNWBWYUNRLYTSU-BBATYDOGSA-N 0 0 439.446 -0.720 20 0 IBADRN COC(=O)[C@]12CN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C[C@H]1COCC2 ZINC000478069910 1072811376 /nfs/dbraw/zinc/81/13/76/1072811376.db2.gz XUVFBVYKINHFSS-PTAUBWNISA-N 0 0 439.490 -0.510 20 0 IBADRN COC(=O)[C@]12CN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C[C@H]1COCC2 ZINC000478069911 1072811618 /nfs/dbraw/zinc/81/16/18/1072811618.db2.gz XUVFBVYKINHFSS-SPKLELGKSA-N 0 0 439.490 -0.510 20 0 IBADRN COC(=O)[C@]12CN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C[C@H]1COCC2 ZINC000478074705 1072811437 /nfs/dbraw/zinc/81/14/37/1072811437.db2.gz CKKWEAMGOFIOPN-HRAATJIYSA-N 0 0 431.493 -0.057 20 0 IBADRN COC(=O)[C@]12CN(C(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)C[C@H]1COCC2 ZINC000478081204 1072811606 /nfs/dbraw/zinc/81/16/06/1072811606.db2.gz HNRROIXINHJDLU-VBKZILBWSA-N 0 0 440.464 -0.098 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000478081385 1073200710 /nfs/dbraw/zinc/20/07/10/1073200710.db2.gz IWEPPDMJWIHPNJ-INIZCTEOSA-N 0 0 441.506 -0.742 20 0 IBADRN COC(=O)[C@]12CN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C[C@H]1COCC2 ZINC000478084602 1072811591 /nfs/dbraw/zinc/81/15/91/1072811591.db2.gz NJVMWAFJCFCKHG-UVBSCNOISA-N 0 0 428.511 -0.258 20 0 IBADRN COC(=O)[C@]12CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C[C@H]1COCC2 ZINC000478084610 1072811643 /nfs/dbraw/zinc/81/16/43/1072811643.db2.gz NJVMWAFJCFCKHG-XAYKYTGQSA-N 0 0 428.511 -0.258 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NC[C@H](O)C(=O)OC)CC1 ZINC000478088225 1073201332 /nfs/dbraw/zinc/20/13/32/1073201332.db2.gz TVUZJPXOAAGWOT-AWEZNQCLSA-N 0 0 428.463 -0.476 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000478088934 1073201394 /nfs/dbraw/zinc/20/13/94/1073201394.db2.gz UYGJAEBPXGIIAU-AWEZNQCLSA-N 0 0 430.479 -0.245 20 0 IBADRN COC(=O)[C@]12CN(C(=O)CCC(=O)Nc3ccc4c(n3)n(C)c(=O)n4C)C[C@H]1COCC2 ZINC000478092539 1072811464 /nfs/dbraw/zinc/81/14/64/1072811464.db2.gz XXWKTWWYKMTXAM-YEJXKQKISA-N 0 0 445.476 -0.549 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000478094653 1073201463 /nfs/dbraw/zinc/20/14/63/1073201463.db2.gz GNEARUQCLXVBBT-UHFFFAOYSA-N 0 0 438.444 -0.575 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@H](O)C(=O)OC)CC2)cc1 ZINC000478102275 1073200996 /nfs/dbraw/zinc/20/09/96/1073200996.db2.gz JKGALVMDADSGML-INIZCTEOSA-N 0 0 442.490 -0.086 20 0 IBADRN CCn1c(CCC(=O)NC[C@H](O)C(=O)OC)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000478105126 1073201477 /nfs/dbraw/zinc/20/14/77/1073201477.db2.gz VANFAVFZKBNADW-HNNXBMFYSA-N 0 0 426.495 -0.111 20 0 IBADRN CCc1c(C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000478105318 1072811629 /nfs/dbraw/zinc/81/16/29/1072811629.db2.gz XEKVSQFOWYYIIV-LAJNKCICSA-N 0 0 430.461 -0.154 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@H](O)C(=O)OC)CC2)o1 ZINC000478106175 1073202455 /nfs/dbraw/zinc/20/24/55/1073202455.db2.gz YGCJNQAUDACVBP-LBPRGKRZSA-N 0 0 432.451 -0.493 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCc3nnc4n3CCC4)CC2)C[C@H](C)O1 ZINC000478107151 1073201937 /nfs/dbraw/zinc/20/19/37/1073201937.db2.gz NJCQEFLWEZYPDU-GASCZTMLSA-N 0 0 441.558 -0.552 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCc3nnc4n3CCC4)CC2)C[C@H](C)O1 ZINC000478107160 1073201899 /nfs/dbraw/zinc/20/18/99/1073201899.db2.gz NJCQEFLWEZYPDU-GJZGRUSLSA-N 0 0 441.558 -0.552 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCc3nnc4n3CCC4)CC2)C[C@@H](C)O1 ZINC000478107161 1073201819 /nfs/dbraw/zinc/20/18/19/1073201819.db2.gz NJCQEFLWEZYPDU-HUUCEWRRSA-N 0 0 441.558 -0.552 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NC[C@H](O)C(=O)OC)CC2=O)cc1 ZINC000478108408 1073201919 /nfs/dbraw/zinc/20/19/19/1073201919.db2.gz GEKJUYDUUZAXEF-BBRMVZONSA-N 0 0 441.506 -0.280 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@H](O)C(=O)OC)CC2=O)cc1 ZINC000478108410 1073201944 /nfs/dbraw/zinc/20/19/44/1073201944.db2.gz GEKJUYDUUZAXEF-CJNGLKHVSA-N 0 0 441.506 -0.280 20 0 IBADRN O=C(NCCc1nnc2n1CCC2)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000478109567 1073201777 /nfs/dbraw/zinc/20/17/77/1073201777.db2.gz FZJCOANUTVQQNX-UHFFFAOYSA-N 0 0 448.553 -0.387 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)NCCC(=O)N2CCN(C)CC2)cn1 ZINC000478113141 1073202462 /nfs/dbraw/zinc/20/24/62/1073202462.db2.gz JMSZHAIEWXIBDX-INIZCTEOSA-N 0 0 426.543 -0.452 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)NCCC(=O)N2CCN(C)CC2)cn1 ZINC000478113142 1073202445 /nfs/dbraw/zinc/20/24/45/1073202445.db2.gz JMSZHAIEWXIBDX-MRXNPFEDSA-N 0 0 426.543 -0.452 20 0 IBADRN CC[C@H]1NC(=O)N(c2cccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)c2)C1=O ZINC000478126659 1073202315 /nfs/dbraw/zinc/20/23/15/1073202315.db2.gz VLZQAPKRHXAKOK-CYBMUJFWSA-N 0 0 439.432 -0.093 20 0 IBADRN CC[C@@H]1NC(=O)N(c2cccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)c2)C1=O ZINC000478126660 1073202370 /nfs/dbraw/zinc/20/23/70/1073202370.db2.gz VLZQAPKRHXAKOK-ZDUSSCGKSA-N 0 0 439.432 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000478131974 1073203371 /nfs/dbraw/zinc/20/33/71/1073203371.db2.gz BAYHLWLEJJODHV-CYBMUJFWSA-N 0 0 438.549 -0.519 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000478131977 1073203282 /nfs/dbraw/zinc/20/32/82/1073203282.db2.gz BAYHLWLEJJODHV-ZDUSSCGKSA-N 0 0 438.549 -0.519 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCS(=O)(=O)c2ccccc2C1 ZINC000478132142 1073202909 /nfs/dbraw/zinc/20/29/09/1073202909.db2.gz BMLCQAQSTYDMHR-UHFFFAOYSA-N 0 0 447.517 -0.030 20 0 IBADRN CC[C@H]1NC(=O)N(c2cccc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)c2)C1=O ZINC000478132155 1073202904 /nfs/dbraw/zinc/20/29/04/1073202904.db2.gz BNRLYDMCCKBSQO-CYBMUJFWSA-N 0 0 439.432 -0.093 20 0 IBADRN CC[C@@H]1NC(=O)N(c2cccc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)c2)C1=O ZINC000478132156 1073202732 /nfs/dbraw/zinc/20/27/32/1073202732.db2.gz BNRLYDMCCKBSQO-ZDUSSCGKSA-N 0 0 439.432 -0.093 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000478133709 1073203423 /nfs/dbraw/zinc/20/34/23/1073203423.db2.gz KZQZMDKCIOJWHC-UHFFFAOYSA-N 0 0 447.579 -0.031 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000478134431 1073203377 /nfs/dbraw/zinc/20/33/77/1073203377.db2.gz MKHNWLVCLDAMKB-UHFFFAOYSA-N 0 0 431.536 -0.131 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCS(=O)(=O)c2ccccc2C1 ZINC000478134909 1073334337 /nfs/dbraw/zinc/33/43/37/1073334337.db2.gz IZGDRLXEFPSMFB-UHFFFAOYSA-N 0 0 447.517 -0.030 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000478135334 1073203318 /nfs/dbraw/zinc/20/33/18/1073203318.db2.gz OPLVHAQXWGXPNX-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000478141888 1073202897 /nfs/dbraw/zinc/20/28/97/1073202897.db2.gz IUBFNYIPCIFRRO-INIZCTEOSA-N 0 0 444.554 -0.890 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000478141909 1073202741 /nfs/dbraw/zinc/20/27/41/1073202741.db2.gz IUBFNYIPCIFRRO-MRXNPFEDSA-N 0 0 444.554 -0.890 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000478144832 1073202793 /nfs/dbraw/zinc/20/27/93/1073202793.db2.gz FDDQQJFQWDFJPK-CABCVRRESA-N 0 0 433.465 -0.475 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000478144833 1073202753 /nfs/dbraw/zinc/20/27/53/1073202753.db2.gz FDDQQJFQWDFJPK-GJZGRUSLSA-N 0 0 433.465 -0.475 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000478144834 1073202778 /nfs/dbraw/zinc/20/27/78/1073202778.db2.gz FDDQQJFQWDFJPK-HUUCEWRRSA-N 0 0 433.465 -0.475 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000478144835 1073202811 /nfs/dbraw/zinc/20/28/11/1073202811.db2.gz FDDQQJFQWDFJPK-LSDHHAIUSA-N 0 0 433.465 -0.475 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000478146332 1073202922 /nfs/dbraw/zinc/20/29/22/1073202922.db2.gz JAOKVLRQRMKEGP-UHFFFAOYSA-N 0 0 431.536 -0.180 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(C)(C)O ZINC000478147859 1073202944 /nfs/dbraw/zinc/20/29/44/1073202944.db2.gz JNGJOBFCSHVHJS-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000478148665 1073202822 /nfs/dbraw/zinc/20/28/22/1073202822.db2.gz KQFLOXBIBAIPED-UHFFFAOYSA-N 0 0 431.536 -0.083 20 0 IBADRN COCCNC(=O)CNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000478151215 1073204336 /nfs/dbraw/zinc/20/43/36/1073204336.db2.gz OKPNQVORUBROQK-UHFFFAOYSA-N 0 0 435.524 -0.943 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000478152043 1073204225 /nfs/dbraw/zinc/20/42/25/1073204225.db2.gz RKLSOCMIXCJFDO-AWEZNQCLSA-N 0 0 431.536 -0.098 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000478152049 1073204270 /nfs/dbraw/zinc/20/42/70/1073204270.db2.gz RKLSOCMIXCJFDO-CQSZACIVSA-N 0 0 431.536 -0.098 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCCCCS(C)(=O)=O)CC2 ZINC000478152420 1073204291 /nfs/dbraw/zinc/20/42/91/1073204291.db2.gz OVBUALYQXQUSKO-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000478156129 1073203391 /nfs/dbraw/zinc/20/33/91/1073203391.db2.gz WUCXUENCYQMLDL-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000478163006 1073203347 /nfs/dbraw/zinc/20/33/47/1073203347.db2.gz ARPNEWYQVVNNID-UHFFFAOYSA-N 0 0 431.536 -0.098 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000478163566 1073203354 /nfs/dbraw/zinc/20/33/54/1073203354.db2.gz CNPOCUWNKOQXMS-UHFFFAOYSA-N 0 0 433.552 -0.374 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000478164090 1073203834 /nfs/dbraw/zinc/20/38/34/1073203834.db2.gz PGLGOODBIBLLMY-CABCVRRESA-N 0 0 434.449 -0.591 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000478164091 1073203364 /nfs/dbraw/zinc/20/33/64/1073203364.db2.gz PGLGOODBIBLLMY-GJZGRUSLSA-N 0 0 434.449 -0.591 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000478164092 1073203840 /nfs/dbraw/zinc/20/38/40/1073203840.db2.gz PGLGOODBIBLLMY-HUUCEWRRSA-N 0 0 434.449 -0.591 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000478164093 1073203872 /nfs/dbraw/zinc/20/38/72/1073203872.db2.gz PGLGOODBIBLLMY-LSDHHAIUSA-N 0 0 434.449 -0.591 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000478164244 1073203294 /nfs/dbraw/zinc/20/32/94/1073203294.db2.gz GBBYFFDJFFTXDL-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCS(=O)(=O)c3ccccc3C2)cn1)NC1CC1 ZINC000478167105 1073204363 /nfs/dbraw/zinc/20/43/63/1073204363.db2.gz HFGJSNYMFSZGGL-UHFFFAOYSA-N 0 0 431.474 -0.084 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCS(=O)(=O)c2ccccc2C1 ZINC000478167491 1073203816 /nfs/dbraw/zinc/20/38/16/1073203816.db2.gz XJBHYKFEYVJSBH-UHFFFAOYSA-N 0 0 443.485 -0.228 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000478168158 1073204266 /nfs/dbraw/zinc/20/42/66/1073204266.db2.gz AUZCUCNBDZEGRL-CHWSQXEVSA-N 0 0 431.442 -0.019 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000478168165 1073204186 /nfs/dbraw/zinc/20/41/86/1073204186.db2.gz AUZCUCNBDZEGRL-OLZOCXBDSA-N 0 0 431.442 -0.019 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000478168168 1073204175 /nfs/dbraw/zinc/20/41/75/1073204175.db2.gz AUZCUCNBDZEGRL-QWHCGFSZSA-N 0 0 431.442 -0.019 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000478168170 1073204382 /nfs/dbraw/zinc/20/43/82/1073204382.db2.gz AUZCUCNBDZEGRL-STQMWFEESA-N 0 0 431.442 -0.019 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000478170030 1073204198 /nfs/dbraw/zinc/20/41/98/1073204198.db2.gz RIGSFACLVDWPTN-UHFFFAOYSA-N 0 0 433.509 -0.107 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000478170453 1073204352 /nfs/dbraw/zinc/20/43/52/1073204352.db2.gz GKENSMJVBJFLSH-UHFFFAOYSA-N 0 0 430.458 -0.620 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000478172118 1073205621 /nfs/dbraw/zinc/20/56/21/1073205621.db2.gz NXAWWFGPDZWGAH-UHFFFAOYSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000478173867 1073204675 /nfs/dbraw/zinc/20/46/75/1073204675.db2.gz LCSMCZPUQMZHIH-UHFFFAOYSA-N 0 0 433.552 -0.685 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000478174091 1073204690 /nfs/dbraw/zinc/20/46/90/1073204690.db2.gz BAMDCTUNBBFEGJ-CVEARBPZSA-N 0 0 437.497 -0.277 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000478174093 1073204729 /nfs/dbraw/zinc/20/47/29/1073204729.db2.gz BAMDCTUNBBFEGJ-HOTGVXAUSA-N 0 0 437.497 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCC(C)(C)O ZINC000478175143 1073204835 /nfs/dbraw/zinc/20/48/35/1073204835.db2.gz GZSVCPUUJMUBAQ-UHFFFAOYSA-N 0 0 442.538 -0.153 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(C)(C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000478176094 1073204798 /nfs/dbraw/zinc/20/47/98/1073204798.db2.gz HJMDYJGONSJGHM-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(CC)CC2)c1 ZINC000478176253 1073204781 /nfs/dbraw/zinc/20/47/81/1073204781.db2.gz DVCLLIHLTILORG-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000478180345 1073204790 /nfs/dbraw/zinc/20/47/90/1073204790.db2.gz KKZXVHXWLVETMH-UHFFFAOYSA-N 0 0 446.913 -0.106 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000478181560 1073204748 /nfs/dbraw/zinc/20/47/48/1073204748.db2.gz MLGKPDYSYBKQHH-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN Cc1ccc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1N1C(=O)CNC1=O ZINC000478183213 1073205159 /nfs/dbraw/zinc/20/51/59/1073205159.db2.gz PFGYWJXCLKZQLW-UHFFFAOYSA-N 0 0 439.494 -0.176 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000478184583 1073205275 /nfs/dbraw/zinc/20/52/75/1073205275.db2.gz QAOYUPMQESSFCZ-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000478186051 1073205149 /nfs/dbraw/zinc/20/51/49/1073205149.db2.gz RBLCVSYYGYRWGN-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN Cc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1N1C(=O)CNC1=O ZINC000478187996 1073205207 /nfs/dbraw/zinc/20/52/07/1073205207.db2.gz XDPUHPBASAMRPQ-UHFFFAOYSA-N 0 0 425.405 -0.563 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2)CC1 ZINC000478189703 1073205531 /nfs/dbraw/zinc/20/55/31/1073205531.db2.gz GVIYWYUUKQOISS-MOPGFXCFSA-N 0 0 443.548 -0.227 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2)CC1 ZINC000478189704 1073205546 /nfs/dbraw/zinc/20/55/46/1073205546.db2.gz GVIYWYUUKQOISS-OALUTQOASA-N 0 0 443.548 -0.227 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2)CC1 ZINC000478189705 1073205726 /nfs/dbraw/zinc/20/57/26/1073205726.db2.gz GVIYWYUUKQOISS-RBUKOAKNSA-N 0 0 443.548 -0.227 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2)CC1 ZINC000478189706 1073205613 /nfs/dbraw/zinc/20/56/13/1073205613.db2.gz GVIYWYUUKQOISS-RTBURBONSA-N 0 0 443.548 -0.227 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NCCC(C)(C)O)c1 ZINC000478193828 1073205656 /nfs/dbraw/zinc/20/56/56/1073205656.db2.gz PDIDMGXFUFRESR-UHFFFAOYSA-N 0 0 442.538 -0.011 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000478196857 1073205705 /nfs/dbraw/zinc/20/57/05/1073205705.db2.gz OWKLSGIKTKDDBI-DLBZAZTESA-N 0 0 437.497 -0.277 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000478196859 1073205745 /nfs/dbraw/zinc/20/57/45/1073205745.db2.gz OWKLSGIKTKDDBI-IAGOWNOFSA-N 0 0 437.497 -0.277 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000478196860 1073205580 /nfs/dbraw/zinc/20/55/80/1073205580.db2.gz OWKLSGIKTKDDBI-IRXDYDNUSA-N 0 0 437.497 -0.277 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000478196862 1073205565 /nfs/dbraw/zinc/20/55/65/1073205565.db2.gz OWKLSGIKTKDDBI-SJORKVTESA-N 0 0 437.497 -0.277 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000478199353 1073206203 /nfs/dbraw/zinc/20/62/03/1073206203.db2.gz HWGOICZUZPYTGM-CHWSQXEVSA-N 0 0 447.448 -0.860 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000478199354 1073206167 /nfs/dbraw/zinc/20/61/67/1073206167.db2.gz HWGOICZUZPYTGM-OLZOCXBDSA-N 0 0 447.448 -0.860 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000478199355 1073206318 /nfs/dbraw/zinc/20/63/18/1073206318.db2.gz HWGOICZUZPYTGM-QWHCGFSZSA-N 0 0 447.448 -0.860 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000478199356 1073206326 /nfs/dbraw/zinc/20/63/26/1073206326.db2.gz HWGOICZUZPYTGM-STQMWFEESA-N 0 0 447.448 -0.860 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2ccc(S(=O)(=O)CCO)cc2)c1 ZINC000478202806 1073206187 /nfs/dbraw/zinc/20/61/87/1073206187.db2.gz CTRPUBAPVMBXSS-UHFFFAOYSA-N 0 0 431.492 -0.042 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NCc1ccnc(NC(=O)CCS(=O)(=O)N(C)C)c1 ZINC000478203840 1073206623 /nfs/dbraw/zinc/20/66/23/1073206623.db2.gz FUFASRUOQQJBHS-UHFFFAOYSA-N 0 0 449.555 -0.801 20 0 IBADRN Cc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1N1C(=O)CNC1=O ZINC000478204662 1073206573 /nfs/dbraw/zinc/20/65/73/1073206573.db2.gz GYRVQLJZDQBZRJ-UHFFFAOYSA-N 0 0 425.405 -0.563 20 0 IBADRN Cc1c(C(=O)Nc2ccc(S(=O)(=O)CCO)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000478205704 1073206653 /nfs/dbraw/zinc/20/66/53/1073206653.db2.gz IZEQTUBPKFSPPC-UHFFFAOYSA-N 0 0 432.458 -0.041 20 0 IBADRN Cc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1N1C(=O)CNC1=O ZINC000478212879 1073205553 /nfs/dbraw/zinc/20/55/53/1073205553.db2.gz YNJYNOFWJWWYKF-UHFFFAOYSA-N 0 0 439.432 -0.173 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(S(=O)(=O)CCO)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000478216556 1073207109 /nfs/dbraw/zinc/20/71/09/1073207109.db2.gz QTYMHLWJODOWDQ-UHFFFAOYSA-N 0 0 432.458 -0.041 20 0 IBADRN CN(CCCNC(=O)CN(C)S(=O)(=O)c1ccc2c(c1)OCCO2)S(C)(=O)=O ZINC000478218888 1073207453 /nfs/dbraw/zinc/20/74/53/1073207453.db2.gz DXQSEFHANJIGSR-UHFFFAOYSA-N 0 0 435.524 -0.524 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)CCO)cc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000478220436 1073207469 /nfs/dbraw/zinc/20/74/69/1073207469.db2.gz AIYVUTUFPXNZKV-HNNXBMFYSA-N 0 0 425.507 -0.428 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)CCO)cc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000478220674 1073207642 /nfs/dbraw/zinc/20/76/42/1073207642.db2.gz AIYVUTUFPXNZKV-OAHLLOKOSA-N 0 0 425.507 -0.428 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000478224504 1073207671 /nfs/dbraw/zinc/20/76/71/1073207671.db2.gz UKPWESZLSKHZLJ-UHFFFAOYSA-N 0 0 430.508 -0.829 20 0 IBADRN Cc1c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cccc1N1C(=O)CNC1=O ZINC000478226027 1073206540 /nfs/dbraw/zinc/20/65/40/1073206540.db2.gz KAWNUXKORYTJLB-UHFFFAOYSA-N 0 0 425.405 -0.563 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2ccc(S(=O)(=O)CCO)cc2)cn1C ZINC000478227856 1073207067 /nfs/dbraw/zinc/20/70/67/1073207067.db2.gz LSQXWGNLKBRAQE-UHFFFAOYSA-N 0 0 430.508 -0.198 20 0 IBADRN Cn1c(CCC(=O)N2CC(CC(N)=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000478231941 1073207182 /nfs/dbraw/zinc/20/71/82/1073207182.db2.gz QNUBMDZQFYFDEO-UHFFFAOYSA-N 0 0 449.533 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC(CC(N)=O)C3)CC2)cc1 ZINC000478236023 1073208531 /nfs/dbraw/zinc/20/85/31/1073208531.db2.gz VUIFWGPAFNLOKZ-UHFFFAOYSA-N 0 0 436.534 -0.058 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(S(=O)(=O)CCO)cc3)c2c(=O)n(C)c1=O ZINC000478239468 1073209170 /nfs/dbraw/zinc/20/91/70/1073209170.db2.gz UKGIVNYLGJUVRB-UHFFFAOYSA-N 0 0 435.462 -0.772 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCCNC(=O)c2ccc(F)cc2)c1 ZINC000478243104 1073207903 /nfs/dbraw/zinc/20/79/03/1073207903.db2.gz ZMIMEDGGSXIPSG-UHFFFAOYSA-N 0 0 443.435 -0.084 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCc1ccnc(NC(=O)CN(C)S(=O)(=O)CC)c1 ZINC000478245299 1073208111 /nfs/dbraw/zinc/20/81/11/1073208111.db2.gz AGAJPSCNHBRSBM-UHFFFAOYSA-N 0 0 449.555 -0.801 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)NCc1ccnc(NC(=O)C(C)(C)NS(C)(=O)=O)c1 ZINC000478246889 1073208078 /nfs/dbraw/zinc/20/80/78/1073208078.db2.gz FFHNXFYCPQTPKJ-UHFFFAOYSA-N 0 0 449.555 -0.708 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(S(=O)(=O)CCO)cc1 ZINC000478247787 1073207873 /nfs/dbraw/zinc/20/78/73/1073207873.db2.gz IBQYJFFOXLAZJB-UHFFFAOYSA-N 0 0 435.524 -0.775 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCCNC(=O)c2cccc(F)c2)c1 ZINC000478251083 1073207966 /nfs/dbraw/zinc/20/79/66/1073207966.db2.gz FPDWMBCUBONXHJ-UHFFFAOYSA-N 0 0 443.435 -0.084 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCN2CCOCC2(C)C)c1 ZINC000478254157 1073208602 /nfs/dbraw/zinc/20/86/02/1073208602.db2.gz KRXXRJPULWFLQG-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cc1 ZINC000478258910 1073209873 /nfs/dbraw/zinc/20/98/73/1073209873.db2.gz PIYMPGGKIPPARP-UHFFFAOYSA-N 0 0 425.445 -0.305 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC(CC(N)=O)C2)c1OC ZINC000478266660 1073209086 /nfs/dbraw/zinc/20/90/86/1073209086.db2.gz QHLPJLCEMFZSNM-UHFFFAOYSA-N 0 0 427.479 -0.328 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cc1 ZINC000478267657 1073209504 /nfs/dbraw/zinc/20/95/04/1073209504.db2.gz NKMCDSZVNCAUCN-UHFFFAOYSA-N 0 0 434.478 -0.091 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000478274120 1073209392 /nfs/dbraw/zinc/20/93/92/1073209392.db2.gz AZEPCZWQXXAGCD-UHFFFAOYSA-N 0 0 434.478 -0.952 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000478277045 1073210835 /nfs/dbraw/zinc/21/08/35/1073210835.db2.gz DOGQVOAUKLLHOH-UHFFFAOYSA-N 0 0 433.490 -0.347 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2ccc(F)c(N3C(=O)CNC3=O)c2)c(=O)n(C)c1=O ZINC000478279734 1073210994 /nfs/dbraw/zinc/21/09/94/1073210994.db2.gz LYCQTYQRYCYBKV-UHFFFAOYSA-N 0 0 428.380 -0.127 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000478280081 1073210864 /nfs/dbraw/zinc/21/08/64/1073210864.db2.gz LUWCIJVQSBDCPY-GFCCVEGCSA-N 0 0 429.524 -0.299 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000478280082 1073210874 /nfs/dbraw/zinc/21/08/74/1073210874.db2.gz LUWCIJVQSBDCPY-LBPRGKRZSA-N 0 0 429.524 -0.299 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CNC(=O)c2cccc(Br)c2)CC1 ZINC000478285721 1073209921 /nfs/dbraw/zinc/20/99/21/1073209921.db2.gz CPMJNMPWFDIJCV-UHFFFAOYSA-N 0 0 433.328 -0.388 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000478285862 1073209861 /nfs/dbraw/zinc/20/98/61/1073209861.db2.gz RLFIKWKZVTWUPR-UHFFFAOYSA-N 0 0 429.524 -0.379 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1 ZINC000478287038 1073210006 /nfs/dbraw/zinc/21/00/06/1073210006.db2.gz UHHBWYNXONSLEM-UHFFFAOYSA-N 0 0 448.567 -0.565 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cccc(S(=O)(=O)NC3CCCC3)c2)CC1 ZINC000478287629 1073210018 /nfs/dbraw/zinc/21/00/18/1073210018.db2.gz GIDWKZSBXMZSIS-UHFFFAOYSA-N 0 0 444.579 -0.046 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(F)c(N4C(=O)CNC4=O)c3)c2c(=O)n(C)c1=O ZINC000478289314 1073209966 /nfs/dbraw/zinc/20/99/66/1073209966.db2.gz JGFGPHBCJPIAHW-UHFFFAOYSA-N 0 0 443.395 -0.342 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000478289832 1073210412 /nfs/dbraw/zinc/21/04/12/1073210412.db2.gz KJSMHUXNOAPILN-UHFFFAOYSA-N 0 0 447.583 -0.754 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000478289920 1073210487 /nfs/dbraw/zinc/21/04/87/1073210487.db2.gz KVNDVOUKUIIKNZ-UHFFFAOYSA-N 0 0 432.568 -0.238 20 0 IBADRN COc1cc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1OCC(=O)NC(C)C ZINC000478291899 1073210359 /nfs/dbraw/zinc/21/03/59/1073210359.db2.gz SIKNBUFXBGDELG-UHFFFAOYSA-N 0 0 442.538 -0.355 20 0 IBADRN NC(=O)CC1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000478292008 1073210559 /nfs/dbraw/zinc/21/05/59/1073210559.db2.gz OGKFXIDVNNCFFI-UHFFFAOYSA-N 0 0 440.497 -0.227 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1cccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000478292780 1073210591 /nfs/dbraw/zinc/21/05/91/1073210591.db2.gz QHYJNXMLRUZEKE-UHFFFAOYSA-N 0 0 432.568 -0.238 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000478296387 1073209951 /nfs/dbraw/zinc/20/99/51/1073209951.db2.gz GLFLGAGEVDYVCV-UHFFFAOYSA-N 0 0 431.474 -0.140 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000478296585 1073210379 /nfs/dbraw/zinc/21/03/79/1073210379.db2.gz HJJLBRDJHLLGLX-INIZCTEOSA-N 0 0 444.579 -0.480 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000478296586 1073210353 /nfs/dbraw/zinc/21/03/53/1073210353.db2.gz HJJLBRDJHLLGLX-MRXNPFEDSA-N 0 0 444.579 -0.480 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000478296785 1073211979 /nfs/dbraw/zinc/21/19/79/1073211979.db2.gz IBNCHBFPWYMVLW-UHFFFAOYSA-N 0 0 448.505 -0.562 20 0 IBADRN C[C@@]1(c2ccc(C(=O)NCCS(=O)(=O)N3CCSCC3)cc2)NC(=O)NC1=O ZINC000478296897 1073211971 /nfs/dbraw/zinc/21/19/71/1073211971.db2.gz YZOQSESAGCRMEW-KRWDZBQOSA-N 0 0 426.520 -0.150 20 0 IBADRN C[C@]1(c2ccc(C(=O)NCCS(=O)(=O)N3CCSCC3)cc2)NC(=O)NC1=O ZINC000478296898 1073211818 /nfs/dbraw/zinc/21/18/18/1073211818.db2.gz YZOQSESAGCRMEW-QGZVFWFLSA-N 0 0 426.520 -0.150 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000478298667 1073211965 /nfs/dbraw/zinc/21/19/65/1073211965.db2.gz YDNRBNFNPQGZLY-AUUYWEPGSA-N 0 0 443.570 -0.444 20 0 IBADRN C[C@@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000478298668 1073211943 /nfs/dbraw/zinc/21/19/43/1073211943.db2.gz YDNRBNFNPQGZLY-IFXJQAMLSA-N 0 0 443.570 -0.444 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000478298669 1073211906 /nfs/dbraw/zinc/21/19/06/1073211906.db2.gz YDNRBNFNPQGZLY-KUHUBIRLSA-N 0 0 443.570 -0.444 20 0 IBADRN C[C@@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000478298670 1073212287 /nfs/dbraw/zinc/21/22/87/1073212287.db2.gz YDNRBNFNPQGZLY-LIRRHRJNSA-N 0 0 443.570 -0.444 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)NCCC(=O)N2CCN(CCS(N)(=O)=O)CC2)c(C)c1 ZINC000478299628 1073212347 /nfs/dbraw/zinc/21/23/47/1073212347.db2.gz BJMRJPAQIAWRPB-UHFFFAOYSA-N 0 0 446.595 -0.287 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CNC(=O)c2ccc(Br)s2)CC1 ZINC000478301779 1073212908 /nfs/dbraw/zinc/21/29/08/1073212908.db2.gz HDVAGOKOACVGTO-UHFFFAOYSA-N 0 0 439.357 -0.327 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000478303171 1073212937 /nfs/dbraw/zinc/21/29/37/1073212937.db2.gz HPUIWBMDPSFQFN-UHFFFAOYSA-N 0 0 432.568 -0.237 20 0 IBADRN Cc1cc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc(S(=O)(=O)N(C)C)c1C ZINC000478303894 1073212896 /nfs/dbraw/zinc/21/28/96/1073212896.db2.gz MBHNQXLAGGXIPN-UHFFFAOYSA-N 0 0 432.568 -0.400 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CCNS(=O)(=O)c2ccccc2Cl)CC1 ZINC000478304994 1073213025 /nfs/dbraw/zinc/21/30/25/1073213025.db2.gz GAXHGBZIQDSFKV-UHFFFAOYSA-N 0 0 438.959 -0.559 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(F)c(N2C(=O)CNC2=O)c1 ZINC000478305812 1073213065 /nfs/dbraw/zinc/21/30/65/1073213065.db2.gz QBUCNUMBICICLY-UHFFFAOYSA-N 0 0 443.457 -0.346 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000478306652 1073212743 /nfs/dbraw/zinc/21/27/43/1073212743.db2.gz PSFTZBZJGPBPMT-UHFFFAOYSA-N 0 0 448.567 -0.814 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000478307626 1073213002 /nfs/dbraw/zinc/21/30/02/1073213002.db2.gz QYHNUKVZBNYKRL-UHFFFAOYSA-N 0 0 434.478 -0.952 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)N3CCN(CCS(N)(=O)=O)CC3)c(C)n2n1 ZINC000478308665 1073210952 /nfs/dbraw/zinc/21/09/52/1073210952.db2.gz UKIPZUQXUHPBCS-UHFFFAOYSA-N 0 0 427.556 -0.562 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCc3ccc(S(=O)(=O)CCO)cc3)cnc2n(C)c1=O ZINC000478309358 1073211456 /nfs/dbraw/zinc/21/14/56/1073211456.db2.gz DNXAAPREVWZJCC-UHFFFAOYSA-N 0 0 447.473 -0.280 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000478309430 1073211313 /nfs/dbraw/zinc/21/13/13/1073211313.db2.gz MNNMCMLYMZNMSX-KRWDZBQOSA-N 0 0 438.550 -0.218 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000478309441 1073211350 /nfs/dbraw/zinc/21/13/50/1073211350.db2.gz MNNMCMLYMZNMSX-QGZVFWFLSA-N 0 0 438.550 -0.218 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000478310596 1073211407 /nfs/dbraw/zinc/21/14/07/1073211407.db2.gz NWCMYDNASNQGAI-CABCVRRESA-N 0 0 443.547 -0.363 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000478310597 1073211399 /nfs/dbraw/zinc/21/13/99/1073211399.db2.gz NWCMYDNASNQGAI-GJZGRUSLSA-N 0 0 443.547 -0.363 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000478310598 1073211488 /nfs/dbraw/zinc/21/14/88/1073211488.db2.gz NWCMYDNASNQGAI-HUUCEWRRSA-N 0 0 443.547 -0.363 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000478310599 1073211267 /nfs/dbraw/zinc/21/12/67/1073211267.db2.gz NWCMYDNASNQGAI-LSDHHAIUSA-N 0 0 443.547 -0.363 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)C1=O ZINC000478313170 1073211986 /nfs/dbraw/zinc/21/19/86/1073211986.db2.gz KXVQISCDIKXZKI-HNNXBMFYSA-N 0 0 427.479 -0.584 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)C1=O ZINC000478313172 1073211920 /nfs/dbraw/zinc/21/19/20/1073211920.db2.gz KXVQISCDIKXZKI-OAHLLOKOSA-N 0 0 427.479 -0.584 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CCCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000478313406 1073211959 /nfs/dbraw/zinc/21/19/59/1073211959.db2.gz RLADJNJBPXWHRV-KRWDZBQOSA-N 0 0 444.579 -0.338 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CCCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000478313409 1073211895 /nfs/dbraw/zinc/21/18/95/1073211895.db2.gz RLADJNJBPXWHRV-QGZVFWFLSA-N 0 0 444.579 -0.338 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000478313968 1073211808 /nfs/dbraw/zinc/21/18/08/1073211808.db2.gz OAZIECUIBRSADB-UHFFFAOYSA-N 0 0 433.552 -0.029 20 0 IBADRN Cc1c(C(=O)N2CCN(CCS(N)(=O)=O)CC2)nnn1-c1ccc2c(c1)OCCO2 ZINC000478315648 1073211779 /nfs/dbraw/zinc/21/17/79/1073211779.db2.gz UVSMLQNDXPFGQN-UHFFFAOYSA-N 0 0 436.494 -0.607 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000478316295 1073214835 /nfs/dbraw/zinc/21/48/35/1073214835.db2.gz WVSGEYRTALLLFL-UHFFFAOYSA-N 0 0 431.474 -0.140 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1OCC ZINC000478317636 1073215009 /nfs/dbraw/zinc/21/50/09/1073215009.db2.gz ZRBGEAOFXUDUQO-UHFFFAOYSA-N 0 0 442.538 -0.354 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000478319028 1073215384 /nfs/dbraw/zinc/21/53/84/1073215384.db2.gz XLNOABSRJMSGGH-UHFFFAOYSA-N 0 0 448.501 -0.005 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000478321953 1073213495 /nfs/dbraw/zinc/21/34/95/1073213495.db2.gz AVYWTBPVOFAMME-HNNXBMFYSA-N 0 0 438.506 -0.478 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000478321954 1073213412 /nfs/dbraw/zinc/21/34/12/1073213412.db2.gz AVYWTBPVOFAMME-OAHLLOKOSA-N 0 0 438.506 -0.478 20 0 IBADRN CS(=O)(=O)CCCCCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000478330245 1073213855 /nfs/dbraw/zinc/21/38/55/1073213855.db2.gz NDCYFZMMPFMXLS-UHFFFAOYSA-N 0 0 431.536 -0.248 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(F)c(N3C(=O)CNC3=O)c1)c(=O)n2C ZINC000478336225 1073214349 /nfs/dbraw/zinc/21/43/49/1073214349.db2.gz KADSMOWWEUZIKR-UHFFFAOYSA-N 0 0 429.368 -0.732 20 0 IBADRN Cc1nc(CN(C)[C@H]2CCCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC000478347894 1073216322 /nfs/dbraw/zinc/21/63/22/1073216322.db2.gz URMQDHFIGOSYNA-INIZCTEOSA-N 0 0 428.559 -0.232 20 0 IBADRN Cc1nc(CN(C)[C@@H]2CCCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC000478347895 1073216274 /nfs/dbraw/zinc/21/62/74/1073216274.db2.gz URMQDHFIGOSYNA-MRXNPFEDSA-N 0 0 428.559 -0.232 20 0 IBADRN Cc1nc(CN(C)[C@H]2CCCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)no1 ZINC000478354833 1073216717 /nfs/dbraw/zinc/21/67/17/1073216717.db2.gz NQJLTIHAIRHCFL-AWEZNQCLSA-N 0 0 444.496 -0.362 20 0 IBADRN Cc1nc(CN(C)[C@@H]2CCCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)no1 ZINC000478354846 1073216911 /nfs/dbraw/zinc/21/69/11/1073216911.db2.gz NQJLTIHAIRHCFL-CQSZACIVSA-N 0 0 444.496 -0.362 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000478355852 1073216818 /nfs/dbraw/zinc/21/68/18/1073216818.db2.gz KTKQROIWYQBDQH-HNNXBMFYSA-N 0 0 430.461 -0.670 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000478355853 1073216934 /nfs/dbraw/zinc/21/69/34/1073216934.db2.gz KTKQROIWYQBDQH-OAHLLOKOSA-N 0 0 430.461 -0.670 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(F)c(N4C(=O)CNC4=O)c3)c2c(=O)n(C)c1=O ZINC000478359283 1073216999 /nfs/dbraw/zinc/21/69/99/1073216999.db2.gz FNVLOFTWKHITBG-UHFFFAOYSA-N 0 0 429.368 -0.732 20 0 IBADRN Cc1nc(CN(C)[C@H]2CCCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)no1 ZINC000478360438 1073216976 /nfs/dbraw/zinc/21/69/76/1073216976.db2.gz IJQUAFDIWPFKIU-AWEZNQCLSA-N 0 0 444.496 -0.362 20 0 IBADRN Cc1nc(CN(C)[C@@H]2CCCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)no1 ZINC000478360440 1073217014 /nfs/dbraw/zinc/21/70/14/1073217014.db2.gz IJQUAFDIWPFKIU-CQSZACIVSA-N 0 0 444.496 -0.362 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)n1 ZINC000478363618 1073217381 /nfs/dbraw/zinc/21/73/81/1073217381.db2.gz SZKYWBOEQUZXLI-JTQLQIEISA-N 0 0 425.471 -0.753 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)n1 ZINC000478363619 1073217406 /nfs/dbraw/zinc/21/74/06/1073217406.db2.gz SZKYWBOEQUZXLI-SNVBAGLBSA-N 0 0 425.471 -0.753 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000478365103 1073217392 /nfs/dbraw/zinc/21/73/92/1073217392.db2.gz BYYKNGZTWIUZCQ-UHFFFAOYSA-N 0 0 439.490 -0.758 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000478367923 1073217578 /nfs/dbraw/zinc/21/75/78/1073217578.db2.gz GIPNTECVSIFMDE-INIZCTEOSA-N 0 0 429.539 -0.315 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000478367927 1073217854 /nfs/dbraw/zinc/21/78/54/1073217854.db2.gz GIPNTECVSIFMDE-MRXNPFEDSA-N 0 0 429.539 -0.315 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H](C)CO ZINC000478369231 1073217640 /nfs/dbraw/zinc/21/76/40/1073217640.db2.gz JFMAXZQFSDFBMW-PBHICJAKSA-N 0 0 427.523 -0.041 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H](C)CO ZINC000478369232 1073217847 /nfs/dbraw/zinc/21/78/47/1073217847.db2.gz JFMAXZQFSDFBMW-RHSMWYFYSA-N 0 0 427.523 -0.041 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H](C)CO ZINC000478369233 1073217798 /nfs/dbraw/zinc/21/77/98/1073217798.db2.gz JFMAXZQFSDFBMW-WMLDXEAASA-N 0 0 427.523 -0.041 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H](C)CO ZINC000478369235 1073217733 /nfs/dbraw/zinc/21/77/33/1073217733.db2.gz JFMAXZQFSDFBMW-YOEHRIQHSA-N 0 0 427.523 -0.041 20 0 IBADRN CCn1c(CCC(=O)N(C)CC(N)=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000478370571 1073217867 /nfs/dbraw/zinc/21/78/67/1073217867.db2.gz MUHJEKZUYRZCKP-UHFFFAOYSA-N 0 0 437.522 -0.047 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000478370961 1073217825 /nfs/dbraw/zinc/21/78/25/1073217825.db2.gz ONBVPZIPYFHEIK-MSOLQXFVSA-N 0 0 441.550 -0.091 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000478370963 1073217783 /nfs/dbraw/zinc/21/77/83/1073217783.db2.gz ONBVPZIPYFHEIK-QZTJIDSGSA-N 0 0 441.550 -0.091 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000478370965 1073217592 /nfs/dbraw/zinc/21/75/92/1073217592.db2.gz ONBVPZIPYFHEIK-ROUUACIJSA-N 0 0 441.550 -0.091 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000478370966 1073217769 /nfs/dbraw/zinc/21/77/69/1073217769.db2.gz ONBVPZIPYFHEIK-ZWKOTPCHSA-N 0 0 441.550 -0.091 20 0 IBADRN O=C(CO)N1CCN(C(=O)CNC(=O)c2ccccc2I)CC1 ZINC000478372544 1073217719 /nfs/dbraw/zinc/21/77/19/1073217719.db2.gz SGGZTHKCVSGSLB-UHFFFAOYSA-N 0 0 431.230 -0.316 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000478374172 1073218075 /nfs/dbraw/zinc/21/80/75/1073218075.db2.gz HVTXXDYJZSHTCZ-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nn1-c1nc(C)cc(C)n1 ZINC000478375026 1073218225 /nfs/dbraw/zinc/21/82/25/1073218225.db2.gz VFUFWPGYYJPBLU-UHFFFAOYSA-N 0 0 441.492 -0.269 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000478375410 1073218210 /nfs/dbraw/zinc/21/82/10/1073218210.db2.gz YOOXFAUVJXBRNA-MSOLQXFVSA-N 0 0 441.550 -0.091 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000478375411 1073218234 /nfs/dbraw/zinc/21/82/34/1073218234.db2.gz YOOXFAUVJXBRNA-QZTJIDSGSA-N 0 0 441.550 -0.091 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000478375412 1073218109 /nfs/dbraw/zinc/21/81/09/1073218109.db2.gz YOOXFAUVJXBRNA-ROUUACIJSA-N 0 0 441.550 -0.091 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000478375414 1073218248 /nfs/dbraw/zinc/21/82/48/1073218248.db2.gz YOOXFAUVJXBRNA-ZWKOTPCHSA-N 0 0 441.550 -0.091 20 0 IBADRN Cn1ccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)c1 ZINC000478376707 1073218199 /nfs/dbraw/zinc/21/81/99/1073218199.db2.gz HRLYKWMAEJWODZ-UHFFFAOYSA-N 0 0 441.535 -0.111 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@@H](c2nc(N(C)C)no2)C1 ZINC000478381427 1073219368 /nfs/dbraw/zinc/21/93/68/1073219368.db2.gz VHIVZOITEIMOAC-CYBMUJFWSA-N 0 0 430.531 -0.324 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H](c2nc(N(C)C)no2)C1 ZINC000478381428 1073219236 /nfs/dbraw/zinc/21/92/36/1073219236.db2.gz VHIVZOITEIMOAC-ZDUSSCGKSA-N 0 0 430.531 -0.324 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H](C(N)=O)c3cccc(Br)c3)c2c(=O)n(C)c1=O ZINC000478385565 1073218255 /nfs/dbraw/zinc/21/82/55/1073218255.db2.gz DNKCVNVESCOESP-GFCCVEGCSA-N 0 0 449.265 -0.461 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H](C(N)=O)c3cccc(Br)c3)c2c(=O)n(C)c1=O ZINC000478385566 1073218245 /nfs/dbraw/zinc/21/82/45/1073218245.db2.gz DNKCVNVESCOESP-LBPRGKRZSA-N 0 0 449.265 -0.461 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCOc3ccc(S(C)(=O)=O)cc32)c(=O)n(C)c1=O ZINC000478390603 1073218556 /nfs/dbraw/zinc/21/85/56/1073218556.db2.gz JEEVWYMKMYGOJK-UHFFFAOYSA-N 0 0 432.458 -0.132 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000478396839 1073218989 /nfs/dbraw/zinc/21/89/89/1073218989.db2.gz FUCMPQAQUUNIHY-KRWDZBQOSA-N 0 0 427.523 -0.527 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000478396840 1073218794 /nfs/dbraw/zinc/21/87/94/1073218794.db2.gz FUCMPQAQUUNIHY-QGZVFWFLSA-N 0 0 427.523 -0.527 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)NCC1CC1 ZINC000478398993 1073219400 /nfs/dbraw/zinc/21/94/00/1073219400.db2.gz YCZOVVNAYGOBRF-UHFFFAOYSA-N 0 0 431.493 -0.671 20 0 IBADRN O=C(CO)N1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000478399309 1073219461 /nfs/dbraw/zinc/21/94/61/1073219461.db2.gz LVDDKYZCXBVSBM-UHFFFAOYSA-N 0 0 425.507 -0.697 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N(C)C[C@H](O)CN2CCOCC2)CC1 ZINC000478407652 1073219822 /nfs/dbraw/zinc/21/98/22/1073219822.db2.gz STXBSLZQVSHPFK-KRWDZBQOSA-N 0 0 444.554 -0.156 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N(C)C[C@@H](O)CN2CCOCC2)CC1 ZINC000478407655 1073219775 /nfs/dbraw/zinc/21/97/75/1073219775.db2.gz STXBSLZQVSHPFK-QGZVFWFLSA-N 0 0 444.554 -0.156 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOc3ccc(S(C)(=O)=O)cc31)c(=O)n2C ZINC000478413911 1073220323 /nfs/dbraw/zinc/22/03/23/1073220323.db2.gz GOHSPBFTWSBNPI-UHFFFAOYSA-N 0 0 433.446 -0.737 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCOc4ccc(S(C)(=O)=O)cc43)c2c(=O)n(C)c1=O ZINC000478420836 1073221287 /nfs/dbraw/zinc/22/12/87/1073221287.db2.gz YJXHTPTYTQCQMZ-UHFFFAOYSA-N 0 0 447.473 -0.347 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOc4ccc(S(C)(=O)=O)cc43)c2c(=O)n(C)c1=O ZINC000478420837 1073221264 /nfs/dbraw/zinc/22/12/64/1073221264.db2.gz YKBSRAXUUYOGDZ-UHFFFAOYSA-N 0 0 433.446 -0.737 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)NCC1CC1 ZINC000478422977 1073221223 /nfs/dbraw/zinc/22/12/23/1073221223.db2.gz KBZYLRQWZWWLGP-UHFFFAOYSA-N 0 0 425.511 -0.416 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1OC ZINC000478424045 1073221340 /nfs/dbraw/zinc/22/13/40/1073221340.db2.gz BMIOXWSUFARYPD-HNNXBMFYSA-N 0 0 445.538 -0.476 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1OC ZINC000478424046 1073221745 /nfs/dbraw/zinc/22/17/45/1073221745.db2.gz BMIOXWSUFARYPD-OAHLLOKOSA-N 0 0 445.538 -0.476 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000478425472 1073221781 /nfs/dbraw/zinc/22/17/81/1073221781.db2.gz HTIWVDMBRBJLBN-AWEZNQCLSA-N 0 0 432.568 -0.483 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000478425473 1073221692 /nfs/dbraw/zinc/22/16/92/1073221692.db2.gz HTIWVDMBRBJLBN-CQSZACIVSA-N 0 0 432.568 -0.483 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(C(=O)CO)CC3)c2)C[C@H](C)O1 ZINC000478428725 1073220744 /nfs/dbraw/zinc/22/07/44/1073220744.db2.gz CTEFZRJIYUTAOH-GASCZTMLSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(C(=O)CO)CC3)c2)C[C@H](C)O1 ZINC000478428734 1073220841 /nfs/dbraw/zinc/22/08/41/1073220841.db2.gz CTEFZRJIYUTAOH-GJZGRUSLSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(C(=O)CO)CC3)c2)C[C@@H](C)O1 ZINC000478428737 1073220878 /nfs/dbraw/zinc/22/08/78/1073220878.db2.gz CTEFZRJIYUTAOH-HUUCEWRRSA-N 0 0 425.507 -0.239 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCC(=O)NCC2CC2)cc(S(C)(=O)=O)c1 ZINC000478429361 1073220940 /nfs/dbraw/zinc/22/09/40/1073220940.db2.gz WYKSJLMIRALZQM-UHFFFAOYSA-N 0 0 425.463 -0.152 20 0 IBADRN O=C(CO)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000478429685 1073220850 /nfs/dbraw/zinc/22/08/50/1073220850.db2.gz GYYSOGVFQVQDAK-UHFFFAOYSA-N 0 0 439.412 -0.083 20 0 IBADRN COc1cc(C(=O)NCC(=O)N(C)C[C@H](O)CN2CCOCC2)cc(OC)c1OC ZINC000478429776 1073220811 /nfs/dbraw/zinc/22/08/11/1073220811.db2.gz SFUYKLVHKLIRDH-HNNXBMFYSA-N 0 0 425.482 -0.406 20 0 IBADRN COc1cc(C(=O)NCC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc(OC)c1OC ZINC000478429781 1073220868 /nfs/dbraw/zinc/22/08/68/1073220868.db2.gz SFUYKLVHKLIRDH-OAHLLOKOSA-N 0 0 425.482 -0.406 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H](C(N)=O)c1cccc(Br)c1)c(=O)n2C ZINC000478430195 1073220914 /nfs/dbraw/zinc/22/09/14/1073220914.db2.gz XWGCMCIBNKVDQO-LBPRGKRZSA-N 0 0 449.265 -0.461 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)c1 ZINC000478433107 1073220901 /nfs/dbraw/zinc/22/09/01/1073220901.db2.gz VSZROTYQQGZZDC-IBGZPJMESA-N 0 0 446.504 -0.188 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)c1 ZINC000478433108 1073221336 /nfs/dbraw/zinc/22/13/36/1073221336.db2.gz VSZROTYQQGZZDC-LJQANCHMSA-N 0 0 446.504 -0.188 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000478438736 1073221256 /nfs/dbraw/zinc/22/12/56/1073221256.db2.gz ZJVSFRBTPGNRLV-KRWDZBQOSA-N 0 0 425.526 -0.015 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000478438737 1073221325 /nfs/dbraw/zinc/22/13/25/1073221325.db2.gz ZJVSFRBTPGNRLV-QGZVFWFLSA-N 0 0 425.526 -0.015 20 0 IBADRN O=C(CO)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000478444952 1073223840 /nfs/dbraw/zinc/22/38/40/1073223840.db2.gz ADAZHZRHMCEEQE-UHFFFAOYSA-N 0 0 434.312 -0.219 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)NCC1CC1 ZINC000478448865 1073223680 /nfs/dbraw/zinc/22/36/80/1073223680.db2.gz OPEQISGYKWDNBU-UHFFFAOYSA-N 0 0 442.469 -0.573 20 0 IBADRN Cc1cccc(C)c1OC[C@H](C)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000478449711 1073224323 /nfs/dbraw/zinc/22/43/23/1073224323.db2.gz MTJVNMBGDGRZAQ-INIZCTEOSA-N 0 0 429.481 -0.456 20 0 IBADRN Cc1cccc(C)c1OC[C@@H](C)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000478449712 1073224294 /nfs/dbraw/zinc/22/42/94/1073224294.db2.gz MTJVNMBGDGRZAQ-MRXNPFEDSA-N 0 0 429.481 -0.456 20 0 IBADRN CN(CC(N)=O)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000478449713 1073224287 /nfs/dbraw/zinc/22/42/87/1073224287.db2.gz MUWDYZVIGYMUDM-UHFFFAOYSA-N 0 0 430.914 -0.103 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)NCC1CC1 ZINC000478452976 1073221759 /nfs/dbraw/zinc/22/17/59/1073221759.db2.gz PQTSPCVXRVAEFT-UHFFFAOYSA-N 0 0 438.506 -0.403 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000478453334 1073221786 /nfs/dbraw/zinc/22/17/86/1073221786.db2.gz CGGIMOXQJOTPOS-KRWDZBQOSA-N 0 0 427.523 -0.291 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000478453341 1073221833 /nfs/dbraw/zinc/22/18/33/1073221833.db2.gz CGGIMOXQJOTPOS-QGZVFWFLSA-N 0 0 427.523 -0.291 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000478453711 1073221711 /nfs/dbraw/zinc/22/17/11/1073221711.db2.gz RILZGJNLOJTKBZ-KRWDZBQOSA-N 0 0 428.493 -0.574 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000478453721 1073222412 /nfs/dbraw/zinc/22/24/12/1073222412.db2.gz RILZGJNLOJTKBZ-QGZVFWFLSA-N 0 0 428.493 -0.574 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(Br)cc(S(N)(=O)=O)c2C)CC1 ZINC000478453739 1073222249 /nfs/dbraw/zinc/22/22/49/1073222249.db2.gz RMRZQEPTKFAYFO-UHFFFAOYSA-N 0 0 433.328 -0.091 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1)NCC1CC1 ZINC000478454140 1073222767 /nfs/dbraw/zinc/22/27/67/1073222767.db2.gz QNFCBQHLAFDHSI-UHFFFAOYSA-N 0 0 445.520 -0.059 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000478459726 1073223373 /nfs/dbraw/zinc/22/33/73/1073223373.db2.gz NUNIIBNGEAPNFP-UHFFFAOYSA-N 0 0 435.481 -0.358 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000478459782 1073223248 /nfs/dbraw/zinc/22/32/48/1073223248.db2.gz OAFXTWFUBGAGSN-INIZCTEOSA-N 0 0 428.555 -0.035 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000478459783 1073223400 /nfs/dbraw/zinc/22/34/00/1073223400.db2.gz OAFXTWFUBGAGSN-MRXNPFEDSA-N 0 0 428.555 -0.035 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(C(=O)CO)CC3)cc2)C[C@H](C)O1 ZINC000478461162 1073224574 /nfs/dbraw/zinc/22/45/74/1073224574.db2.gz UACZQKDWFLMIHQ-GASCZTMLSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(C(=O)CO)CC3)cc2)C[C@H](C)O1 ZINC000478461163 1073224619 /nfs/dbraw/zinc/22/46/19/1073224619.db2.gz UACZQKDWFLMIHQ-GJZGRUSLSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(C(=O)CO)CC3)cc2)C[C@@H](C)O1 ZINC000478461533 1073223785 /nfs/dbraw/zinc/22/37/85/1073223785.db2.gz UACZQKDWFLMIHQ-HUUCEWRRSA-N 0 0 425.507 -0.239 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CN3C(=O)N[C@@](C)(C4CC4)C3=O)C2)cc1 ZINC000478462095 1073224597 /nfs/dbraw/zinc/22/45/97/1073224597.db2.gz VOBKBYCNPMPQGB-IBGZPJMESA-N 0 0 436.490 -0.095 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CN3C(=O)N[C@](C)(C4CC4)C3=O)C2)cc1 ZINC000478462096 1073224586 /nfs/dbraw/zinc/22/45/86/1073224586.db2.gz VOBKBYCNPMPQGB-LJQANCHMSA-N 0 0 436.490 -0.095 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000478472379 1073225148 /nfs/dbraw/zinc/22/51/48/1073225148.db2.gz XOGGQMQWGYXZMF-CABCVRRESA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000478472380 1073225055 /nfs/dbraw/zinc/22/50/55/1073225055.db2.gz XOGGQMQWGYXZMF-GJZGRUSLSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000478472381 1073225017 /nfs/dbraw/zinc/22/50/17/1073225017.db2.gz XOGGQMQWGYXZMF-HUUCEWRRSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000478472382 1073225165 /nfs/dbraw/zinc/22/51/65/1073225165.db2.gz XOGGQMQWGYXZMF-LSDHHAIUSA-N 0 0 430.523 -0.160 20 0 IBADRN CC(C)[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000478473841 1073225128 /nfs/dbraw/zinc/22/51/28/1073225128.db2.gz HFVIVWDSMVPCMM-INIZCTEOSA-N 0 0 438.506 -0.371 20 0 IBADRN CC(C)[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000478473846 1073225160 /nfs/dbraw/zinc/22/51/60/1073225160.db2.gz HFVIVWDSMVPCMM-MRXNPFEDSA-N 0 0 438.506 -0.371 20 0 IBADRN C[C@@]1(c2ccc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2)NC(=O)NC1=O ZINC000478476889 1073225064 /nfs/dbraw/zinc/22/50/64/1073225064.db2.gz DACLMICOQDKPQC-NRFANRHFSA-N 0 0 429.477 -0.642 20 0 IBADRN C[C@]1(c2ccc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2)NC(=O)NC1=O ZINC000478476897 1073225134 /nfs/dbraw/zinc/22/51/34/1073225134.db2.gz DACLMICOQDKPQC-OAQYLSRUSA-N 0 0 429.477 -0.642 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000478479859 1073224662 /nfs/dbraw/zinc/22/46/62/1073224662.db2.gz YCYWZYKACPGGEG-UHFFFAOYSA-N 0 0 440.522 -0.950 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)NCC1CC1 ZINC000478480822 1073224528 /nfs/dbraw/zinc/22/45/28/1073224528.db2.gz DORBPMCOTJPXHE-UHFFFAOYSA-N 0 0 426.495 -0.084 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000478480836 1073224601 /nfs/dbraw/zinc/22/46/01/1073224601.db2.gz ODOQZZJAUKJUTP-UHFFFAOYSA-N 0 0 430.483 -0.790 20 0 IBADRN COc1ccc(C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000478484764 1073225972 /nfs/dbraw/zinc/22/59/72/1073225972.db2.gz HHRQNPLWEMUIMU-INIZCTEOSA-N 0 0 427.523 -0.099 20 0 IBADRN COc1ccc(C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000478484766 1073225925 /nfs/dbraw/zinc/22/59/25/1073225925.db2.gz HHRQNPLWEMUIMU-MRXNPFEDSA-N 0 0 427.523 -0.099 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000478489987 1073225345 /nfs/dbraw/zinc/22/53/45/1073225345.db2.gz VZJNLIRYBAAYOF-KRWDZBQOSA-N 0 0 427.523 -0.291 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000478489991 1073225520 /nfs/dbraw/zinc/22/55/20/1073225520.db2.gz VZJNLIRYBAAYOF-QGZVFWFLSA-N 0 0 427.523 -0.291 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC[C@@](O)(C(F)(F)F)C1 ZINC000478499803 1073225540 /nfs/dbraw/zinc/22/55/40/1073225540.db2.gz LFTOJCYEIQXDPG-AWEZNQCLSA-N 0 0 430.449 -0.264 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC[C@](O)(C(F)(F)F)C1 ZINC000478499805 1073225484 /nfs/dbraw/zinc/22/54/84/1073225484.db2.gz LFTOJCYEIQXDPG-CQSZACIVSA-N 0 0 430.449 -0.264 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@H](C)[C@@H](C)C(=O)N2CCO[C@@H](CC(=O)OC)C2)CCO1 ZINC000478500535 1073225419 /nfs/dbraw/zinc/22/54/19/1073225419.db2.gz ZXJLKINZZLFKND-FPCVCCKLSA-N 0 0 428.482 -0.160 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@H](C)[C@H](C)C(=O)N2CCO[C@@H](CC(=O)OC)C2)CCO1 ZINC000478500536 1073225501 /nfs/dbraw/zinc/22/55/01/1073225501.db2.gz ZXJLKINZZLFKND-GEEKYZPCSA-N 0 0 428.482 -0.160 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@H](C)[C@@H](C)C(=O)N2CCO[C@H](CC(=O)OC)C2)CCO1 ZINC000478500537 1073226012 /nfs/dbraw/zinc/22/60/12/1073226012.db2.gz ZXJLKINZZLFKND-KLHDSHLOSA-N 0 0 428.482 -0.160 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@H](C)[C@H](C)C(=O)N2CCO[C@H](CC(=O)OC)C2)CCO1 ZINC000478500538 1073225996 /nfs/dbraw/zinc/22/59/96/1073225996.db2.gz ZXJLKINZZLFKND-QKPAOTATSA-N 0 0 428.482 -0.160 20 0 IBADRN COc1cc(OC)cc(OCCNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000478503854 1073226000 /nfs/dbraw/zinc/22/60/00/1073226000.db2.gz BISVULYMLSSTJF-UHFFFAOYSA-N 0 0 427.435 -0.131 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)C1 ZINC000478505505 1073226057 /nfs/dbraw/zinc/22/60/57/1073226057.db2.gz ICDDGFKDANSRQD-CABCVRRESA-N 0 0 438.510 -0.171 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)C1 ZINC000478505506 1073226041 /nfs/dbraw/zinc/22/60/41/1073226041.db2.gz ICDDGFKDANSRQD-HUUCEWRRSA-N 0 0 438.510 -0.171 20 0 IBADRN COc1ccc(NC(=O)N2CCN(CCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000478507216 1073226470 /nfs/dbraw/zinc/22/64/70/1073226470.db2.gz PWKCDYOCNGUQFH-UHFFFAOYSA-N 0 0 428.511 -0.142 20 0 IBADRN CCOc1cccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000478509647 1073226416 /nfs/dbraw/zinc/22/64/16/1073226416.db2.gz BVNJHJMOBIUCNP-INIZCTEOSA-N 0 0 446.504 -0.208 20 0 IBADRN CCOc1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000478509648 1073226498 /nfs/dbraw/zinc/22/64/98/1073226498.db2.gz BVNJHJMOBIUCNP-MRXNPFEDSA-N 0 0 446.504 -0.208 20 0 IBADRN COc1cc(OC)cc(OCCNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000478509870 1073226494 /nfs/dbraw/zinc/22/64/94/1073226494.db2.gz WIJBYQOAGRMZGV-UHFFFAOYSA-N 0 0 426.451 -0.396 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000478512680 1073226435 /nfs/dbraw/zinc/22/64/35/1073226435.db2.gz OTQPYJNXVBTBJN-INIZCTEOSA-N 0 0 429.539 -0.095 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000478512681 1073226480 /nfs/dbraw/zinc/22/64/80/1073226480.db2.gz OTQPYJNXVBTBJN-MRXNPFEDSA-N 0 0 429.539 -0.095 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(C(=O)CO)CC3)CC2)cc1 ZINC000478516998 1073226489 /nfs/dbraw/zinc/22/64/89/1073226489.db2.gz YOLPASBDRTUYNI-UHFFFAOYSA-N 0 0 437.518 -0.047 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCN(CCO)CC1 ZINC000478517173 1073226342 /nfs/dbraw/zinc/22/63/42/1073226342.db2.gz NGAAYIPKJRSRNE-UHFFFAOYSA-N 0 0 428.511 -0.142 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC3(O)CCOCC3)cc2)CC1 ZINC000478521858 1073226896 /nfs/dbraw/zinc/22/68/96/1073226896.db2.gz JPPFDHIDSWFCCK-UHFFFAOYSA-N 0 0 425.507 -0.189 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCC1(O)CCOCC1 ZINC000478527556 1073226851 /nfs/dbraw/zinc/22/68/51/1073226851.db2.gz HFQBZKLZXPDQPY-UHFFFAOYSA-N 0 0 439.534 -0.043 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC(C)(C)O)c(=O)n2Cc1ccccc1 ZINC000478529615 1073227736 /nfs/dbraw/zinc/22/77/36/1073227736.db2.gz WMYKKGLFXXDJSI-UHFFFAOYSA-N 0 0 429.477 -0.059 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)CO)CC1)S(=O)(=O)c1ccc(Br)cc1 ZINC000478535200 1073228198 /nfs/dbraw/zinc/22/81/98/1073228198.db2.gz LHYSGNQEYZONAR-UHFFFAOYSA-N 0 0 434.312 -0.267 20 0 IBADRN C[C@@](O)(CNC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)CN1CCOCC1 ZINC000478541035 1073227382 /nfs/dbraw/zinc/22/73/82/1073227382.db2.gz JSWSINRBLXRLSV-FXAWDEMLSA-N 0 0 441.550 -0.043 20 0 IBADRN C[C@](O)(CNC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)CN1CCOCC1 ZINC000478541039 1073227138 /nfs/dbraw/zinc/22/71/38/1073227138.db2.gz JSWSINRBLXRLSV-PXNSSMCTSA-N 0 0 441.550 -0.043 20 0 IBADRN C[C@](O)(CNC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)CN1CCOCC1 ZINC000478541041 1073227295 /nfs/dbraw/zinc/22/72/95/1073227295.db2.gz JSWSINRBLXRLSV-XLIONFOSSA-N 0 0 441.550 -0.043 20 0 IBADRN C[C@@](O)(CNC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)CN1CCOCC1 ZINC000478541043 1073227308 /nfs/dbraw/zinc/22/73/08/1073227308.db2.gz JSWSINRBLXRLSV-YLJYHZDGSA-N 0 0 441.550 -0.043 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@](C)(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000478541707 1073228264 /nfs/dbraw/zinc/22/82/64/1073228264.db2.gz WHSNREUNXRMWOP-IBGZPJMESA-N 0 0 425.507 -0.018 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@](C)(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000478541708 1073228206 /nfs/dbraw/zinc/22/82/06/1073228206.db2.gz WHSNREUNXRMWOP-LJQANCHMSA-N 0 0 425.507 -0.018 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC(=O)NCC2CC2)cc1S(=O)(=O)N(C)C ZINC000478542190 1073227377 /nfs/dbraw/zinc/22/73/77/1073227377.db2.gz NJEARGQYBAZUFT-UHFFFAOYSA-N 0 0 426.495 -0.084 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000478545476 1073227226 /nfs/dbraw/zinc/22/72/26/1073227226.db2.gz WMDHFDZZFDKRNS-IBGZPJMESA-N 0 0 427.523 -0.243 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000478545480 1073227329 /nfs/dbraw/zinc/22/73/29/1073227329.db2.gz WMDHFDZZFDKRNS-LJQANCHMSA-N 0 0 427.523 -0.243 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000478550588 1073227647 /nfs/dbraw/zinc/22/76/47/1073227647.db2.gz WGJFXVLMYJHUOR-IBGZPJMESA-N 0 0 429.539 -0.267 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000478550597 1073227701 /nfs/dbraw/zinc/22/77/01/1073227701.db2.gz WGJFXVLMYJHUOR-LJQANCHMSA-N 0 0 429.539 -0.267 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000478551785 1073228997 /nfs/dbraw/zinc/22/89/97/1073228997.db2.gz RNWSURUWNLTRMS-IBGZPJMESA-N 0 0 442.538 -0.535 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000478551787 1073228982 /nfs/dbraw/zinc/22/89/82/1073228982.db2.gz RNWSURUWNLTRMS-LJQANCHMSA-N 0 0 442.538 -0.535 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@](C)(O)CN2CCOCC2)CC1 ZINC000478552236 1073229068 /nfs/dbraw/zinc/22/90/68/1073229068.db2.gz LMENFSYXDPJBSK-IBGZPJMESA-N 0 0 444.554 -0.108 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@@](C)(O)CN2CCOCC2)CC1 ZINC000478552237 1073228879 /nfs/dbraw/zinc/22/88/79/1073228879.db2.gz LMENFSYXDPJBSK-LJQANCHMSA-N 0 0 444.554 -0.108 20 0 IBADRN C[C@@](O)(CNC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)CN1CCOCC1 ZINC000478553902 1073228970 /nfs/dbraw/zinc/22/89/70/1073228970.db2.gz PRPDDPYIBGFMDB-FXAWDEMLSA-N 0 0 441.550 -0.043 20 0 IBADRN C[C@](O)(CNC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)CN1CCOCC1 ZINC000478553904 1073229009 /nfs/dbraw/zinc/22/90/09/1073229009.db2.gz PRPDDPYIBGFMDB-PXNSSMCTSA-N 0 0 441.550 -0.043 20 0 IBADRN C[C@](O)(CNC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)CN1CCOCC1 ZINC000478553905 1073229090 /nfs/dbraw/zinc/22/90/90/1073229090.db2.gz PRPDDPYIBGFMDB-XLIONFOSSA-N 0 0 441.550 -0.043 20 0 IBADRN C[C@@](O)(CNC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)CN1CCOCC1 ZINC000478553906 1073229083 /nfs/dbraw/zinc/22/90/83/1073229083.db2.gz PRPDDPYIBGFMDB-YLJYHZDGSA-N 0 0 441.550 -0.043 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000478555217 1073229048 /nfs/dbraw/zinc/22/90/48/1073229048.db2.gz SUQNGKNXLGDEOM-IBGZPJMESA-N 0 0 427.523 -0.243 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000478555219 1073229439 /nfs/dbraw/zinc/22/94/39/1073229439.db2.gz SUQNGKNXLGDEOM-LJQANCHMSA-N 0 0 427.523 -0.243 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000478555997 1073229506 /nfs/dbraw/zinc/22/95/06/1073229506.db2.gz FNUPATJAMABFNY-IBGZPJMESA-N 0 0 429.539 -0.047 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000478556001 1073229473 /nfs/dbraw/zinc/22/94/73/1073229473.db2.gz FNUPATJAMABFNY-LJQANCHMSA-N 0 0 429.539 -0.047 20 0 IBADRN C[C@](O)(CNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)CN1CCOCC1 ZINC000478558351 1073229447 /nfs/dbraw/zinc/22/94/47/1073229447.db2.gz VUJCQDOBQOPZEO-IBGZPJMESA-N 0 0 427.523 -0.480 20 0 IBADRN C[C@@](O)(CNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)CN1CCOCC1 ZINC000478558352 1073229939 /nfs/dbraw/zinc/22/99/39/1073229939.db2.gz VUJCQDOBQOPZEO-LJQANCHMSA-N 0 0 427.523 -0.480 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cc[nH]c(=O)c1 ZINC000478561293 1073228186 /nfs/dbraw/zinc/22/81/86/1073228186.db2.gz GBMKRHXZYIXKBF-GFCCVEGCSA-N 0 0 447.473 -0.276 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cc[nH]c(=O)c1 ZINC000478561294 1073228269 /nfs/dbraw/zinc/22/82/69/1073228269.db2.gz GBMKRHXZYIXKBF-LBPRGKRZSA-N 0 0 447.473 -0.276 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000478570079 1073228657 /nfs/dbraw/zinc/22/86/57/1073228657.db2.gz BKUWZPJSDWBYQV-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cnc2ccccn2c1=O ZINC000478581312 1073230860 /nfs/dbraw/zinc/23/08/60/1073230860.db2.gz ATBPURKNXYJPFB-UHFFFAOYSA-N 0 0 442.501 -0.029 20 0 IBADRN COc1cc(C(=O)NCCN(CCN2CCOCC2)C(=O)c2cc(OC)n(C)n2)nn1C ZINC000478585174 1073230913 /nfs/dbraw/zinc/23/09/13/1073230913.db2.gz NQWHJWALRZPYAR-UHFFFAOYSA-N 0 0 449.512 -0.625 20 0 IBADRN O=C(Cc1nc2ncccn2n1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000478586209 1073230850 /nfs/dbraw/zinc/23/08/50/1073230850.db2.gz LVOBMGZDYSTVEO-UHFFFAOYSA-N 0 0 430.494 -0.670 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000478588092 1073230502 /nfs/dbraw/zinc/23/05/02/1073230502.db2.gz OOZFERZRJLORRU-UHFFFAOYSA-N 0 0 433.552 -0.157 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCC2CC2)cc1 ZINC000478596381 1073231385 /nfs/dbraw/zinc/23/13/85/1073231385.db2.gz XKRJLKQKHWLJIP-GFCCVEGCSA-N 0 0 426.495 -0.419 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCC2CC2)cc1 ZINC000478596382 1073231294 /nfs/dbraw/zinc/23/12/94/1073231294.db2.gz XKRJLKQKHWLJIP-LBPRGKRZSA-N 0 0 426.495 -0.419 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCC3CC3)cc2)CC1 ZINC000478598452 1073231442 /nfs/dbraw/zinc/23/14/42/1073231442.db2.gz DHIFYWUBGNUKNI-UHFFFAOYSA-N 0 0 437.522 -0.796 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000478599494 1073231351 /nfs/dbraw/zinc/23/13/51/1073231351.db2.gz FYFFHEGQXXTUHV-GOSISDBHSA-N 0 0 430.479 -0.053 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000478599495 1073231773 /nfs/dbraw/zinc/23/17/73/1073231773.db2.gz FYFFHEGQXXTUHV-SFHVURJKSA-N 0 0 430.479 -0.053 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000478604442 1073231916 /nfs/dbraw/zinc/23/19/16/1073231916.db2.gz XSIDVBRVEPJQSI-HACGYAERSA-N 0 0 434.898 -0.106 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000478604443 1073231729 /nfs/dbraw/zinc/23/17/29/1073231729.db2.gz XSIDVBRVEPJQSI-IFIJOSMWSA-N 0 0 434.898 -0.106 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000478604444 1073231830 /nfs/dbraw/zinc/23/18/30/1073231830.db2.gz XSIDVBRVEPJQSI-JDFRZJQESA-N 0 0 434.898 -0.106 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000478604445 1073231875 /nfs/dbraw/zinc/23/18/75/1073231875.db2.gz XSIDVBRVEPJQSI-RVSPLBMKSA-N 0 0 434.898 -0.106 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000478605057 1073231776 /nfs/dbraw/zinc/23/17/76/1073231776.db2.gz YRFRUIJGFAQMAD-ALKREAHSSA-N 0 0 428.507 -0.142 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000478605058 1073231815 /nfs/dbraw/zinc/23/18/15/1073231815.db2.gz YRFRUIJGFAQMAD-QOKNQOGYSA-N 0 0 428.507 -0.142 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000478605059 1073231810 /nfs/dbraw/zinc/23/18/10/1073231810.db2.gz YRFRUIJGFAQMAD-SIXWZSSISA-N 0 0 428.507 -0.142 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000478605060 1073231743 /nfs/dbraw/zinc/23/17/43/1073231743.db2.gz YRFRUIJGFAQMAD-URLQWDBASA-N 0 0 428.507 -0.142 20 0 IBADRN C[C@H]1CN(C(=O)CCC(=O)N2CCOCC2)CCN1C(=O)CCC(=O)N1CCOCC1 ZINC000478608743 1073231942 /nfs/dbraw/zinc/23/19/42/1073231942.db2.gz PRFNFYSXJJOOPN-KRWDZBQOSA-N 0 0 438.525 -0.676 20 0 IBADRN C[C@@H]1CN(C(=O)CCC(=O)N2CCOCC2)CCN1C(=O)CCC(=O)N1CCOCC1 ZINC000478608746 1073232290 /nfs/dbraw/zinc/23/22/90/1073232290.db2.gz PRFNFYSXJJOOPN-QGZVFWFLSA-N 0 0 438.525 -0.676 20 0 IBADRN CCOc1cccc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000478613942 1073232334 /nfs/dbraw/zinc/23/23/34/1073232334.db2.gz FFCNRCSKCVGFDY-AWEZNQCLSA-N 0 0 444.492 -0.249 20 0 IBADRN CCOc1cccc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000478613943 1073232408 /nfs/dbraw/zinc/23/24/08/1073232408.db2.gz FFCNRCSKCVGFDY-CQSZACIVSA-N 0 0 444.492 -0.249 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000478614215 1073232263 /nfs/dbraw/zinc/23/22/63/1073232263.db2.gz FUYQEPCJOREHNL-UHFFFAOYSA-N 0 0 445.505 -0.841 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccc(-n2cncn2)nc1 ZINC000478616315 1073232366 /nfs/dbraw/zinc/23/23/66/1073232366.db2.gz QLGVJFWEIXCIAE-UHFFFAOYSA-N 0 0 442.505 -0.061 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CCOC)C3)[C@@H](C)C2)CC1=O ZINC000478621741 1073232434 /nfs/dbraw/zinc/23/24/34/1073232434.db2.gz KYICGEMEUXSLPH-BBWFWOEESA-N 0 0 438.525 -0.965 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(CCOC)C3)[C@@H](C)C2)CC1=O ZINC000478621748 1073232218 /nfs/dbraw/zinc/23/22/18/1073232218.db2.gz KYICGEMEUXSLPH-GVDBMIGSSA-N 0 0 438.525 -0.965 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(=O)NCC3CC3)c2)CC1 ZINC000478624598 1073232861 /nfs/dbraw/zinc/23/28/61/1073232861.db2.gz HDPRJBKBSACYBO-UHFFFAOYSA-N 0 0 437.522 -0.796 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c(C)c1 ZINC000478628854 1073233332 /nfs/dbraw/zinc/23/33/32/1073233332.db2.gz BPXJJNYCIYOCQD-UHFFFAOYSA-N 0 0 428.511 -0.108 20 0 IBADRN C[C@H]1CN(C(=O)CCSc2nnnn2C)CCN1C(=O)CCSc1nnnn1C ZINC000478637074 1073232346 /nfs/dbraw/zinc/23/23/46/1073232346.db2.gz WQTMFECHDWFKOY-NSHDSACASA-N 0 0 440.559 -0.543 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000478641619 1073234499 /nfs/dbraw/zinc/23/44/99/1073234499.db2.gz SIIIVGBXAJNLHC-UHFFFAOYSA-N 0 0 439.494 -0.106 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000478641769 1073234555 /nfs/dbraw/zinc/23/45/55/1073234555.db2.gz HKXDAZPYICMXNO-UHFFFAOYSA-N 0 0 441.531 -0.081 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCc2ccc(S(N)(=O)=O)s2)cc1 ZINC000478652796 1073233752 /nfs/dbraw/zinc/23/37/52/1073233752.db2.gz WOLXBSBLZAOHRP-UHFFFAOYSA-N 0 0 433.533 -0.062 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c(C)c1 ZINC000478653005 1073233865 /nfs/dbraw/zinc/23/38/65/1073233865.db2.gz RMDLJMCRYOJNQV-UHFFFAOYSA-N 0 0 428.449 -0.104 20 0 IBADRN O=C(CCCNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1CCc2ccccc21 ZINC000478654712 1073233889 /nfs/dbraw/zinc/23/38/89/1073233889.db2.gz AIZYERSKDRYLMJ-UHFFFAOYSA-N 0 0 426.481 -0.104 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC(=O)NCC1CC1 ZINC000478655545 1073234341 /nfs/dbraw/zinc/23/43/41/1073234341.db2.gz BQNDWUMMCUIIDK-UHFFFAOYSA-N 0 0 431.493 -0.336 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)NCC1CC1 ZINC000478658496 1073235266 /nfs/dbraw/zinc/23/52/66/1073235266.db2.gz DTPQYMAEXHILIT-KRWDZBQOSA-N 0 0 430.461 -0.718 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)NCC1CC1 ZINC000478658497 1073235396 /nfs/dbraw/zinc/23/53/96/1073235396.db2.gz DTPQYMAEXHILIT-QGZVFWFLSA-N 0 0 430.461 -0.718 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC(=O)NCC3CC3)cc2)CC1 ZINC000478661995 1073235480 /nfs/dbraw/zinc/23/54/80/1073235480.db2.gz MLPLONBYRLAYNE-UHFFFAOYSA-N 0 0 429.521 -0.026 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)CC1 ZINC000478670689 1073236429 /nfs/dbraw/zinc/23/64/29/1073236429.db2.gz SOMIFAQKUFXJTJ-UHFFFAOYSA-N 0 0 426.543 -0.630 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000478671067 1073236475 /nfs/dbraw/zinc/23/64/75/1073236475.db2.gz CEHBMJNDKKOXGR-UHFFFAOYSA-N 0 0 439.538 -0.711 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000478671249 1073235462 /nfs/dbraw/zinc/23/54/62/1073235462.db2.gz UAOQHXBONSBGDQ-ABHNRTSZSA-N 0 0 428.599 -0.056 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000478671250 1073235284 /nfs/dbraw/zinc/23/52/84/1073235284.db2.gz UAOQHXBONSBGDQ-DAWZGUTISA-N 0 0 428.599 -0.056 20 0 IBADRN Cn1nnnc1SCCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000478679878 1073238040 /nfs/dbraw/zinc/23/80/40/1073238040.db2.gz VRJDQBDMJZZTHT-UHFFFAOYSA-N 0 0 440.555 -0.645 20 0 IBADRN COC(=O)COc1ccc(CN(C)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000478680857 1073237990 /nfs/dbraw/zinc/23/79/90/1073237990.db2.gz VKGOJQLYMRGXRN-UHFFFAOYSA-N 0 0 429.433 -0.356 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3cccnn3)CC2)cc1 ZINC000478682178 1073318718 /nfs/dbraw/zinc/31/87/18/1073318718.db2.gz ORVNZWNHTICRTN-UHFFFAOYSA-N 0 0 426.480 -0.338 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000478685227 1073237895 /nfs/dbraw/zinc/23/78/95/1073237895.db2.gz NGEFOVKUQORTNM-CYBMUJFWSA-N 0 0 431.536 -0.250 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000478685229 1073238539 /nfs/dbraw/zinc/23/85/39/1073238539.db2.gz NGEFOVKUQORTNM-ZDUSSCGKSA-N 0 0 431.536 -0.250 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000478688415 1073236445 /nfs/dbraw/zinc/23/64/45/1073236445.db2.gz NUWIMUXRFJATOO-KRWDZBQOSA-N 0 0 429.521 -0.074 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000478688416 1073236455 /nfs/dbraw/zinc/23/64/55/1073236455.db2.gz NUWIMUXRFJATOO-QGZVFWFLSA-N 0 0 429.521 -0.074 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000478690560 1073237057 /nfs/dbraw/zinc/23/70/57/1073237057.db2.gz UCTCPNSXPZOKJG-HNNXBMFYSA-N 0 0 431.493 -0.120 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000478690561 1073237093 /nfs/dbraw/zinc/23/70/93/1073237093.db2.gz UCTCPNSXPZOKJG-OAHLLOKOSA-N 0 0 431.493 -0.120 20 0 IBADRN COC(=O)COc1ccc(CN(C)C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000478693274 1073238109 /nfs/dbraw/zinc/23/81/09/1073238109.db2.gz FESTXMOSYMEAHH-UHFFFAOYSA-N 0 0 429.433 -0.356 20 0 IBADRN COC(=O)COc1ccc(CN(C)C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000478696476 1073239747 /nfs/dbraw/zinc/23/97/47/1073239747.db2.gz GPDFHNOTVNMZEJ-UHFFFAOYSA-N 0 0 439.446 -0.133 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cn([C@H]2CCOC2)nn1 ZINC000478698042 1073240225 /nfs/dbraw/zinc/24/02/25/1073240225.db2.gz WYRQKBPTPVMUII-HNNXBMFYSA-N 0 0 435.510 -0.484 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cn([C@@H]2CCOC2)nn1 ZINC000478698059 1073239896 /nfs/dbraw/zinc/23/98/96/1073239896.db2.gz WYRQKBPTPVMUII-OAHLLOKOSA-N 0 0 435.510 -0.484 20 0 IBADRN COC(=O)COc1ccc(CN(C)C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000478699908 1073240893 /nfs/dbraw/zinc/24/08/93/1073240893.db2.gz RYDJAGTWBNCPCP-UHFFFAOYSA-N 0 0 438.462 -0.398 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000478702785 1073238593 /nfs/dbraw/zinc/23/85/93/1073238593.db2.gz MOFUZBONDDSEDV-UHFFFAOYSA-N 0 0 434.522 -0.117 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cccc(-n2cnnn2)c1 ZINC000478705037 1073238862 /nfs/dbraw/zinc/23/88/62/1073238862.db2.gz UAWQEHSMSGQOIG-UHFFFAOYSA-N 0 0 442.505 -0.061 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)o1 ZINC000478705584 1073238945 /nfs/dbraw/zinc/23/89/45/1073238945.db2.gz UUGNWWZXXNGQRC-UHFFFAOYSA-N 0 0 443.507 -0.796 20 0 IBADRN O=C(c1cn([C@@H]2CCOC2)nn1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000478708381 1073238845 /nfs/dbraw/zinc/23/88/45/1073238845.db2.gz BKUGLLPNTOEHJC-GFCCVEGCSA-N 0 0 447.477 -0.032 20 0 IBADRN O=C(c1cn([C@H]2CCOC2)nn1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000478708382 1073238828 /nfs/dbraw/zinc/23/88/28/1073238828.db2.gz BKUGLLPNTOEHJC-LBPRGKRZSA-N 0 0 447.477 -0.032 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)C[C@H](C)O1 ZINC000478712561 1073239277 /nfs/dbraw/zinc/23/92/77/1073239277.db2.gz BFXJGDVTGPGRQO-GASCZTMLSA-N 0 0 435.529 -0.068 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)C[C@H](C)O1 ZINC000478712564 1073239385 /nfs/dbraw/zinc/23/93/85/1073239385.db2.gz BFXJGDVTGPGRQO-GJZGRUSLSA-N 0 0 435.529 -0.068 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)C[C@@H](C)O1 ZINC000478712568 1073239257 /nfs/dbraw/zinc/23/92/57/1073239257.db2.gz BFXJGDVTGPGRQO-HUUCEWRRSA-N 0 0 435.529 -0.068 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000478714628 1073239722 /nfs/dbraw/zinc/23/97/22/1073239722.db2.gz CQEDBOFNRIICFX-UHFFFAOYSA-N 0 0 434.478 -0.899 20 0 IBADRN COc1ccc(CC(=O)NCC(=O)NCC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000478715602 1073239839 /nfs/dbraw/zinc/23/98/39/1073239839.db2.gz FBQQQBSNSHBXPF-UHFFFAOYSA-N 0 0 425.507 -0.099 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000478717281 1073241816 /nfs/dbraw/zinc/24/18/16/1073241816.db2.gz SXHGFKREGSFQMY-CRAIPNDOSA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000478717282 1073241955 /nfs/dbraw/zinc/24/19/55/1073241955.db2.gz SXHGFKREGSFQMY-MAUKXSAKSA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000478717283 1073241739 /nfs/dbraw/zinc/24/17/39/1073241739.db2.gz SXHGFKREGSFQMY-QAPCUYQASA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000478717284 1073241983 /nfs/dbraw/zinc/24/19/83/1073241983.db2.gz SXHGFKREGSFQMY-YJBOKZPZSA-N 0 0 444.488 -0.376 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3cccc(OCC(N)=O)c3)CC2)ncn1 ZINC000478720548 1073241915 /nfs/dbraw/zinc/24/19/15/1073241915.db2.gz GBAKGKQRAHJVOL-UHFFFAOYSA-N 0 0 428.449 -0.686 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000478722306 1073241924 /nfs/dbraw/zinc/24/19/24/1073241924.db2.gz MOYYTPQSVRNWFY-UHFFFAOYSA-N 0 0 434.522 -0.084 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)c1 ZINC000478723369 1073241898 /nfs/dbraw/zinc/24/18/98/1073241898.db2.gz ASMHLYGPRKNVHX-UHFFFAOYSA-N 0 0 425.445 -0.454 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C1 ZINC000478725316 1073242403 /nfs/dbraw/zinc/24/24/03/1073242403.db2.gz QAGOMUYUBYXWQI-GDBMZVCRSA-N 0 0 434.493 -0.443 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C1 ZINC000478725317 1073242299 /nfs/dbraw/zinc/24/22/99/1073242299.db2.gz QAGOMUYUBYXWQI-GOEBONIOSA-N 0 0 434.493 -0.443 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C1 ZINC000478725318 1073242348 /nfs/dbraw/zinc/24/23/48/1073242348.db2.gz QAGOMUYUBYXWQI-HOCLYGCPSA-N 0 0 434.493 -0.443 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C1 ZINC000478725319 1073242395 /nfs/dbraw/zinc/24/23/95/1073242395.db2.gz QAGOMUYUBYXWQI-ZBFHGGJFSA-N 0 0 434.493 -0.443 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000478725337 1073242308 /nfs/dbraw/zinc/24/23/08/1073242308.db2.gz QJBSNGZLDRSYEA-UHFFFAOYSA-N 0 0 447.536 -0.430 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)NCc3cccc(OCC(N)=O)c3)CC2)n1 ZINC000478725382 1073242268 /nfs/dbraw/zinc/24/22/68/1073242268.db2.gz FQCAJKHPOGEXED-UHFFFAOYSA-N 0 0 426.477 -0.077 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NCc3cccc(OCC(N)=O)c3)CC2)n1 ZINC000478726002 1073242330 /nfs/dbraw/zinc/24/23/30/1073242330.db2.gz SCFOSINVROIBOO-UHFFFAOYSA-N 0 0 432.506 -0.070 20 0 IBADRN O=C(CS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000478732062 1073242774 /nfs/dbraw/zinc/24/27/74/1073242774.db2.gz HJGISKLAVXDJEU-AWEZNQCLSA-N 0 0 444.531 -0.437 20 0 IBADRN O=C(CS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000478732070 1073242739 /nfs/dbraw/zinc/24/27/39/1073242739.db2.gz HJGISKLAVXDJEU-CQSZACIVSA-N 0 0 444.531 -0.437 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)N1CCN(CC(=O)NCCOC)CC1 ZINC000478740017 1073242868 /nfs/dbraw/zinc/24/28/68/1073242868.db2.gz RDPOEPLMGMAHDJ-UHFFFAOYSA-N 0 0 426.539 -0.153 20 0 IBADRN C[C@@]1(c2ccc(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2)NC(=O)NC1=O ZINC000478742287 1073242805 /nfs/dbraw/zinc/24/28/05/1073242805.db2.gz QWEUJLUVEAWALU-NRFANRHFSA-N 0 0 427.461 -0.352 20 0 IBADRN C[C@]1(c2ccc(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2)NC(=O)NC1=O ZINC000478742288 1073242723 /nfs/dbraw/zinc/24/27/23/1073242723.db2.gz QWEUJLUVEAWALU-OAQYLSRUSA-N 0 0 427.461 -0.352 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000478743155 1073242857 /nfs/dbraw/zinc/24/28/57/1073242857.db2.gz GDFOFRNIHDUUSB-UHFFFAOYSA-N 0 0 440.460 -0.990 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000478743939 1073242705 /nfs/dbraw/zinc/24/27/05/1073242705.db2.gz URHJINKRZOIHBP-GFCCVEGCSA-N 0 0 426.495 -0.131 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000478743940 1073243188 /nfs/dbraw/zinc/24/31/88/1073243188.db2.gz URHJINKRZOIHBP-LBPRGKRZSA-N 0 0 426.495 -0.131 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)c1 ZINC000478745885 1073243192 /nfs/dbraw/zinc/24/31/92/1073243192.db2.gz MIYOPMHMPPVSSF-FQEVSTJZSA-N 0 0 436.534 -0.509 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)c1 ZINC000478745886 1073243118 /nfs/dbraw/zinc/24/31/18/1073243118.db2.gz MIYOPMHMPPVSSF-HXUWFJFHSA-N 0 0 436.534 -0.509 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000478747859 1073243152 /nfs/dbraw/zinc/24/31/52/1073243152.db2.gz HOLZZJBKFJBWKW-KBPBESRZSA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000478747860 1073243227 /nfs/dbraw/zinc/24/32/27/1073243227.db2.gz HOLZZJBKFJBWKW-KGLIPLIRSA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000478747861 1073243097 /nfs/dbraw/zinc/24/30/97/1073243097.db2.gz HOLZZJBKFJBWKW-UONOGXRCSA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000478747864 1073243103 /nfs/dbraw/zinc/24/31/03/1073243103.db2.gz HOLZZJBKFJBWKW-ZIAGYGMSSA-N 0 0 440.522 -0.077 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000478748579 1073243169 /nfs/dbraw/zinc/24/31/69/1073243169.db2.gz SUKWNIYNCCKVHC-UHFFFAOYSA-N 0 0 440.460 -0.990 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C1 ZINC000478748853 1073243196 /nfs/dbraw/zinc/24/31/96/1073243196.db2.gz ILJBWMXGVUYEBQ-HNNXBMFYSA-N 0 0 426.495 -0.904 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C1 ZINC000478748861 1073243219 /nfs/dbraw/zinc/24/32/19/1073243219.db2.gz ILJBWMXGVUYEBQ-OAHLLOKOSA-N 0 0 426.495 -0.904 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000478750078 1073243156 /nfs/dbraw/zinc/24/31/56/1073243156.db2.gz YNDYQJYVGZNROR-INIZCTEOSA-N 0 0 445.520 -0.678 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000478750079 1073243497 /nfs/dbraw/zinc/24/34/97/1073243497.db2.gz YNDYQJYVGZNROR-MRXNPFEDSA-N 0 0 445.520 -0.678 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000478751574 1073243547 /nfs/dbraw/zinc/24/35/47/1073243547.db2.gz VDZXYZSWAPMIRA-UHFFFAOYSA-N 0 0 433.509 -0.821 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)c1 ZINC000478751598 1073243456 /nfs/dbraw/zinc/24/34/56/1073243456.db2.gz VOUNWSXUDPNMCF-GOSISDBHSA-N 0 0 439.472 -0.131 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)c1 ZINC000478751599 1073243560 /nfs/dbraw/zinc/24/35/60/1073243560.db2.gz VOUNWSXUDPNMCF-SFHVURJKSA-N 0 0 439.472 -0.131 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)c1 ZINC000478752236 1073243476 /nfs/dbraw/zinc/24/34/76/1073243476.db2.gz ZIBRDMRMKROTOH-CYBMUJFWSA-N 0 0 448.501 -0.048 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)c1 ZINC000478752272 1073243492 /nfs/dbraw/zinc/24/34/92/1073243492.db2.gz ZIBRDMRMKROTOH-ZDUSSCGKSA-N 0 0 448.501 -0.048 20 0 IBADRN CC(=O)Nc1nnc(S(=O)(=O)CC(=O)NCCCN(C(C)C)S(C)(=O)=O)s1 ZINC000478759230 1073244851 /nfs/dbraw/zinc/24/48/51/1073244851.db2.gz IDYVQLMIQMLSFQ-UHFFFAOYSA-N 0 0 441.557 -0.554 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000478763020 1073244776 /nfs/dbraw/zinc/24/47/76/1073244776.db2.gz BVMVBKXSPCCXCQ-AWEZNQCLSA-N 0 0 438.506 -0.369 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000478763022 1073244709 /nfs/dbraw/zinc/24/47/09/1073244709.db2.gz BVMVBKXSPCCXCQ-CQSZACIVSA-N 0 0 438.506 -0.369 20 0 IBADRN CC(=O)Nc1nnc(S(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000478763227 1073243569 /nfs/dbraw/zinc/24/35/69/1073243569.db2.gz PELBLMOBJXPPHP-UHFFFAOYSA-N 0 0 427.468 -0.892 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)c1 ZINC000478766051 1073243530 /nfs/dbraw/zinc/24/35/30/1073243530.db2.gz BDESUAWKGXORDA-KRWDZBQOSA-N 0 0 425.445 -0.126 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)c1 ZINC000478766053 1073244002 /nfs/dbraw/zinc/24/40/02/1073244002.db2.gz BDESUAWKGXORDA-QGZVFWFLSA-N 0 0 425.445 -0.126 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000478766120 1073243535 /nfs/dbraw/zinc/24/35/35/1073243535.db2.gz UYTQVSACZIZKJK-GHMZBOCLSA-N 0 0 426.451 -0.235 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000478766127 1073243513 /nfs/dbraw/zinc/24/35/13/1073243513.db2.gz UYTQVSACZIZKJK-MNOVXSKESA-N 0 0 426.451 -0.235 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000478766130 1073243468 /nfs/dbraw/zinc/24/34/68/1073243468.db2.gz UYTQVSACZIZKJK-QWRGUYRKSA-N 0 0 426.451 -0.235 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000478766133 1073243444 /nfs/dbraw/zinc/24/34/44/1073243444.db2.gz UYTQVSACZIZKJK-WDEREUQCSA-N 0 0 426.451 -0.235 20 0 IBADRN CC(=O)Nc1nnc(S(=O)(=O)CC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)s1 ZINC000478766224 1073243800 /nfs/dbraw/zinc/24/38/00/1073243800.db2.gz VYQCKFIKKVNCLY-LLVKDONJSA-N 0 0 438.553 -0.058 20 0 IBADRN CC(=O)Nc1nnc(S(=O)(=O)CC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)s1 ZINC000478766225 1073243849 /nfs/dbraw/zinc/24/38/49/1073243849.db2.gz VYQCKFIKKVNCLY-NSHDSACASA-N 0 0 438.553 -0.058 20 0 IBADRN CN(CCCCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000478766906 1073243975 /nfs/dbraw/zinc/24/39/75/1073243975.db2.gz CLOQIEKEDUVVDY-UHFFFAOYSA-N 0 0 428.497 -0.623 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3cccc(OCC(N)=O)c3)C2=O)n1 ZINC000478767710 1073243986 /nfs/dbraw/zinc/24/39/86/1073243986.db2.gz CZTFKYHKRFACLK-HNNXBMFYSA-N 0 0 428.449 -0.788 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3cccc(OCC(N)=O)c3)C2=O)n1 ZINC000478767711 1073243932 /nfs/dbraw/zinc/24/39/32/1073243932.db2.gz CZTFKYHKRFACLK-OAHLLOKOSA-N 0 0 428.449 -0.788 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3cccc(OCC(N)=O)c3)CC2)n1 ZINC000478768653 1073243815 /nfs/dbraw/zinc/24/38/15/1073243815.db2.gz GELLYWLKMPYVMA-UHFFFAOYSA-N 0 0 446.464 -0.959 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000478769940 1073243756 /nfs/dbraw/zinc/24/37/56/1073243756.db2.gz JRJRVZFWSWVVEL-HNNXBMFYSA-N 0 0 434.526 -0.089 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000478769947 1073243955 /nfs/dbraw/zinc/24/39/55/1073243955.db2.gz JRJRVZFWSWVVEL-OAHLLOKOSA-N 0 0 434.526 -0.089 20 0 IBADRN CN(CCCCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000478772263 1073243882 /nfs/dbraw/zinc/24/38/82/1073243882.db2.gz NBTMQIUXOLLSRS-UHFFFAOYSA-N 0 0 429.521 -0.031 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)NCc3cccc(OCC(N)=O)c3)C2)n(C)n1 ZINC000478773269 1073243995 /nfs/dbraw/zinc/24/39/95/1073243995.db2.gz GASYPPYYHGCNQN-INIZCTEOSA-N 0 0 428.493 -0.006 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)NCc3cccc(OCC(N)=O)c3)C2)n(C)n1 ZINC000478773272 1073243734 /nfs/dbraw/zinc/24/37/34/1073243734.db2.gz GASYPPYYHGCNQN-MRXNPFEDSA-N 0 0 428.493 -0.006 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3cccc(OCC(N)=O)c3)CC2)n1 ZINC000478774115 1073244425 /nfs/dbraw/zinc/24/44/25/1073244425.db2.gz IGXJRXHHOMSDAH-UHFFFAOYSA-N 0 0 428.449 -0.686 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)c1 ZINC000478774630 1073244260 /nfs/dbraw/zinc/24/42/60/1073244260.db2.gz ISRFFBKJBBFLJB-CYBMUJFWSA-N 0 0 430.383 -0.164 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)c1 ZINC000478774633 1073244287 /nfs/dbraw/zinc/24/42/87/1073244287.db2.gz ISRFFBKJBBFLJB-ZDUSSCGKSA-N 0 0 430.383 -0.164 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C1=O ZINC000478775025 1073244302 /nfs/dbraw/zinc/24/43/02/1073244302.db2.gz RISMMTPDHCGIRM-INIZCTEOSA-N 0 0 442.476 -0.305 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C1=O ZINC000478775026 1073244273 /nfs/dbraw/zinc/24/42/73/1073244273.db2.gz RISMMTPDHCGIRM-MRXNPFEDSA-N 0 0 442.476 -0.305 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000478775079 1073244324 /nfs/dbraw/zinc/24/43/24/1073244324.db2.gz RYQHCZSHIFWLDA-UHFFFAOYSA-N 0 0 445.520 -0.676 20 0 IBADRN Cn1c2ncn(CCCCCn3cnc4c3c(=O)n(C)c(=O)n4C)c2c(=O)n(C)c1=O ZINC000478775123 1073244408 /nfs/dbraw/zinc/24/44/08/1073244408.db2.gz SEZKIHULPCCQHH-UHFFFAOYSA-N 0 0 428.453 -0.949 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000478775583 1073244418 /nfs/dbraw/zinc/24/44/18/1073244418.db2.gz SZPOIOYVIGRPNK-HNNXBMFYSA-N 0 0 433.509 -0.774 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000478775619 1073244400 /nfs/dbraw/zinc/24/44/00/1073244400.db2.gz SZPOIOYVIGRPNK-OAHLLOKOSA-N 0 0 433.509 -0.774 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1 ZINC000478778376 1073244359 /nfs/dbraw/zinc/24/43/59/1073244359.db2.gz RITBKMWZVCRXSD-HNNXBMFYSA-N 0 0 438.506 -0.807 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1 ZINC000478778377 1073244281 /nfs/dbraw/zinc/24/42/81/1073244281.db2.gz RITBKMWZVCRXSD-OAHLLOKOSA-N 0 0 438.506 -0.807 20 0 IBADRN Cn1ncnc1S(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000478784264 1073245765 /nfs/dbraw/zinc/24/57/65/1073245765.db2.gz ABGNWVWRSYMAEV-UHFFFAOYSA-N 0 0 429.480 -0.752 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)c(=O)n(C)c1=O ZINC000478784539 1073245586 /nfs/dbraw/zinc/24/55/86/1073245586.db2.gz ZNMHUBRWYJZFCX-UHFFFAOYSA-N 0 0 427.483 -0.993 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000478787196 1073245683 /nfs/dbraw/zinc/24/56/83/1073245683.db2.gz FXJLRWCHNVFZIS-UHFFFAOYSA-N 0 0 427.483 -0.021 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000478792850 1073244869 /nfs/dbraw/zinc/24/48/69/1073244869.db2.gz FARQXAQHADMCBJ-KRWDZBQOSA-N 0 0 445.520 -0.328 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000478792852 1073244813 /nfs/dbraw/zinc/24/48/13/1073244813.db2.gz FARQXAQHADMCBJ-QGZVFWFLSA-N 0 0 445.520 -0.328 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NCc1cccc(OCC(N)=O)c1 ZINC000478793967 1073244863 /nfs/dbraw/zinc/24/48/63/1073244863.db2.gz HMHYDPKGACRWJK-HNNXBMFYSA-N 0 0 440.522 -0.513 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NCc1cccc(OCC(N)=O)c1 ZINC000478793969 1073244847 /nfs/dbraw/zinc/24/48/47/1073244847.db2.gz HMHYDPKGACRWJK-OAHLLOKOSA-N 0 0 440.522 -0.513 20 0 IBADRN CC[NH+](CC)CC(=O)N1CCN(C(=O)C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000478793992 1073244643 /nfs/dbraw/zinc/24/46/43/1073244643.db2.gz HQBCAXAAZIASBI-UHFFFAOYSA-N 0 0 433.509 -0.821 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)cc1 ZINC000478797080 1073245182 /nfs/dbraw/zinc/24/51/82/1073245182.db2.gz OMIFRLLNTTYQBD-CYBMUJFWSA-N 0 0 448.501 -0.048 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)cc1 ZINC000478797081 1073245192 /nfs/dbraw/zinc/24/51/92/1073245192.db2.gz OMIFRLLNTTYQBD-ZDUSSCGKSA-N 0 0 448.501 -0.048 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N2CCC(c3ccnn3CCO)CC2)c1 ZINC000478797193 1073244757 /nfs/dbraw/zinc/24/47/57/1073244757.db2.gz DBQLHFJPDYTHFL-UHFFFAOYSA-N 0 0 429.477 -0.238 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCN1CCc2sccc2C1 ZINC000478797904 1073245321 /nfs/dbraw/zinc/24/53/21/1073245321.db2.gz GCEBCQJEAJGXGL-UHFFFAOYSA-N 0 0 441.535 -0.554 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000478800171 1073245236 /nfs/dbraw/zinc/24/52/36/1073245236.db2.gz REANVLPMTZTGRM-UHFFFAOYSA-N 0 0 449.551 -0.176 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC(=O)NCC2CC2)c1OC ZINC000478803348 1073245813 /nfs/dbraw/zinc/24/58/13/1073245813.db2.gz UURNNJHJTCTMOR-UHFFFAOYSA-N 0 0 441.506 -0.019 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCN2CCc3sccc3C2)CC1)N1CCCC1 ZINC000478804636 1073246167 /nfs/dbraw/zinc/24/61/67/1073246167.db2.gz REAHOWRCOMLZBI-UHFFFAOYSA-N 0 0 433.578 -0.011 20 0 IBADRN COc1ccc(C(=O)NCCCc2n[nH]c(=O)[n-]2)cc1S(=O)(=O)N1CCOCC1 ZINC000478816165 1073246869 /nfs/dbraw/zinc/24/68/69/1073246869.db2.gz WEKMNAZUIWZSGB-UHFFFAOYSA-N 0 0 425.467 -0.098 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000478823321 1073248242 /nfs/dbraw/zinc/24/82/42/1073248242.db2.gz AUSJVDOQOFVLBJ-UHFFFAOYSA-N 0 0 434.522 -0.084 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000478824699 1073248168 /nfs/dbraw/zinc/24/81/68/1073248168.db2.gz DBXUJMQSQVBZBI-CYBMUJFWSA-N 0 0 439.538 -0.074 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000478824700 1073248233 /nfs/dbraw/zinc/24/82/33/1073248233.db2.gz DBXUJMQSQVBZBI-ZDUSSCGKSA-N 0 0 439.538 -0.074 20 0 IBADRN CN(CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)c1ncnc2nc[nH]c21 ZINC000478833326 1073248313 /nfs/dbraw/zinc/24/83/13/1073248313.db2.gz ZYNNVMZBAYXAIT-UHFFFAOYSA-N 0 0 430.494 -0.215 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)N1C[C@@H]2CCCN(C(=O)CCN3C(=O)CCC3=O)[C@@H]2C1 ZINC000478837098 1073248706 /nfs/dbraw/zinc/24/87/06/1073248706.db2.gz JRJNZXPQHRNGBX-LSDHHAIUSA-N 0 0 432.477 -0.486 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCN2CCc3sccc3C2)CC1 ZINC000478856055 1073248643 /nfs/dbraw/zinc/24/86/43/1073248643.db2.gz MQMQFDOZGVGVRB-UHFFFAOYSA-N 0 0 449.577 -0.775 20 0 IBADRN Cc1c(C(=O)N2CC[C@H](OCCN3CCOCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000478866367 1073250110 /nfs/dbraw/zinc/25/01/10/1073250110.db2.gz LRJVADCWDUIDKT-HNNXBMFYSA-N 0 0 431.493 -0.496 20 0 IBADRN Cc1c(C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000478866370 1073249989 /nfs/dbraw/zinc/24/99/89/1073249989.db2.gz LRJVADCWDUIDKT-OAHLLOKOSA-N 0 0 431.493 -0.496 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCN2CCc3sccc3C2)CC1)N1CCOCC1 ZINC000478868449 1073250119 /nfs/dbraw/zinc/25/01/19/1073250119.db2.gz OOQIZNMVDXYRBV-UHFFFAOYSA-N 0 0 449.577 -0.775 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000478874268 1073250049 /nfs/dbraw/zinc/25/00/49/1073250049.db2.gz MZJGUQGUWFPNJF-IBGZPJMESA-N 0 0 446.552 -0.145 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000478874270 1073250070 /nfs/dbraw/zinc/25/00/70/1073250070.db2.gz MZJGUQGUWFPNJF-LJQANCHMSA-N 0 0 446.552 -0.145 20 0 IBADRN O=C(NCCN1CCc2sccc2C1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000478875016 1073250594 /nfs/dbraw/zinc/25/05/94/1073250594.db2.gz ZQUWOCDJZNQLLS-UHFFFAOYSA-N 0 0 433.534 -0.828 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[C@H](OCCN3CCOCC3)C2)c1 ZINC000478876522 1073250468 /nfs/dbraw/zinc/25/04/68/1073250468.db2.gz RNHFKONCPKEHOC-HNNXBMFYSA-N 0 0 430.527 -0.497 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)c1 ZINC000478876523 1073250424 /nfs/dbraw/zinc/25/04/24/1073250424.db2.gz RNHFKONCPKEHOC-OAHLLOKOSA-N 0 0 430.527 -0.497 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn([C@H]4CCOC4)nn3)CC2)C[C@@H](C)O1 ZINC000478882949 1073249542 /nfs/dbraw/zinc/24/95/42/1073249542.db2.gz KOLNNOUPTWDBNZ-KFWWJZLASA-N 0 0 428.515 -0.649 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn([C@@H]4CCOC4)nn3)CC2)C[C@H](C)O1 ZINC000478882953 1073249613 /nfs/dbraw/zinc/24/96/13/1073249613.db2.gz KOLNNOUPTWDBNZ-QLFBSQMISA-N 0 0 428.515 -0.649 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn([C@@H]4CCOC4)nn3)CC2)C[C@@H](C)O1 ZINC000478882957 1073250080 /nfs/dbraw/zinc/25/00/80/1073250080.db2.gz KOLNNOUPTWDBNZ-RBSFLKMASA-N 0 0 428.515 -0.649 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn([C@H]4CCOC4)nn3)CC2)C[C@H](C)O1 ZINC000478882960 1073250021 /nfs/dbraw/zinc/25/00/21/1073250021.db2.gz KOLNNOUPTWDBNZ-ZNMIVQPWSA-N 0 0 428.515 -0.649 20 0 IBADRN O=C(NCCN1CCc2sccc2C1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000478890674 1073250618 /nfs/dbraw/zinc/25/06/18/1073250618.db2.gz YJMDBUDZMHJXGG-UHFFFAOYSA-N 0 0 446.620 -0.283 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000478892454 1073251111 /nfs/dbraw/zinc/25/11/11/1073251111.db2.gz FPBPATWNYMUXER-GOSISDBHSA-N 0 0 427.461 -0.006 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000478892455 1073251043 /nfs/dbraw/zinc/25/10/43/1073251043.db2.gz FPBPATWNYMUXER-SFHVURJKSA-N 0 0 427.461 -0.006 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000478893786 1073251104 /nfs/dbraw/zinc/25/11/04/1073251104.db2.gz JDSBOACCWBCFOS-DZGCQCFKSA-N 0 0 438.506 -0.229 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H](C)O1 ZINC000478893797 1073250964 /nfs/dbraw/zinc/25/09/64/1073250964.db2.gz JDSBOACCWBCFOS-HIFRSBDPSA-N 0 0 438.506 -0.229 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H](C)O1 ZINC000478893800 1073251020 /nfs/dbraw/zinc/25/10/20/1073251020.db2.gz JDSBOACCWBCFOS-UKRRQHHQSA-N 0 0 438.506 -0.229 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000478893803 1073251098 /nfs/dbraw/zinc/25/10/98/1073251098.db2.gz JDSBOACCWBCFOS-ZFWWWQNUSA-N 0 0 438.506 -0.229 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3cccc(N4CCOCC4)n3)cnc21 ZINC000478895221 1073250989 /nfs/dbraw/zinc/25/09/89/1073250989.db2.gz VVERBFCAQRNXEO-UHFFFAOYSA-N 0 0 432.462 -0.256 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@@H](C(N)=O)c2cccc(Br)c2)cn1 ZINC000478895342 1073251089 /nfs/dbraw/zinc/25/10/89/1073251089.db2.gz CKSUZHRCJZVCSC-CYBMUJFWSA-N 0 0 430.284 -0.196 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@H](C(N)=O)c2cccc(Br)c2)cn1 ZINC000478895343 1073250973 /nfs/dbraw/zinc/25/09/73/1073250973.db2.gz CKSUZHRCJZVCSC-ZDUSSCGKSA-N 0 0 430.284 -0.196 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCOCC(F)(F)C(F)F)CC2)CC1 ZINC000478900209 1073251048 /nfs/dbraw/zinc/25/10/48/1073251048.db2.gz JMPTXSYOCMBQPW-UHFFFAOYSA-N 0 0 434.456 -0.070 20 0 IBADRN CCc1nn(C)c(CC)c1CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000478900652 1073251059 /nfs/dbraw/zinc/25/10/59/1073251059.db2.gz UQXWVTZGMOUMEF-UHFFFAOYSA-N 0 0 426.587 -0.276 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000478901570 1073251037 /nfs/dbraw/zinc/25/10/37/1073251037.db2.gz NBBSRPSLFFSLBY-AWEZNQCLSA-N 0 0 438.506 -0.369 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000478901571 1073251013 /nfs/dbraw/zinc/25/10/13/1073251013.db2.gz NBBSRPSLFFSLBY-CQSZACIVSA-N 0 0 438.506 -0.369 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCN3CCc4sccc4C3)CC2)c1=O ZINC000478915774 1073251504 /nfs/dbraw/zinc/25/15/04/1073251504.db2.gz YROHSPORFLJBPO-UHFFFAOYSA-N 0 0 430.534 -0.335 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC3(O)CCOCC3)c2)CC1 ZINC000478916414 1073251967 /nfs/dbraw/zinc/25/19/67/1073251967.db2.gz CJKDIKSLAGISJT-UHFFFAOYSA-N 0 0 425.507 -0.189 20 0 IBADRN CCNC(=O)C1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000478919486 1073251992 /nfs/dbraw/zinc/25/19/92/1073251992.db2.gz AWFTYWMWONMBAJ-AWEZNQCLSA-N 0 0 444.535 -0.248 20 0 IBADRN CCNC(=O)C1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000478919487 1073252014 /nfs/dbraw/zinc/25/20/14/1073252014.db2.gz AWFTYWMWONMBAJ-CQSZACIVSA-N 0 0 444.535 -0.248 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](C)C2)cc1 ZINC000478920952 1073251990 /nfs/dbraw/zinc/25/19/90/1073251990.db2.gz FDUCKJZAIJTBCR-AWEZNQCLSA-N 0 0 440.522 -0.076 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](C)C2)cc1 ZINC000478920954 1073251910 /nfs/dbraw/zinc/25/19/10/1073251910.db2.gz FDUCKJZAIJTBCR-CQSZACIVSA-N 0 0 440.522 -0.076 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NCC(C)(C)CN(C)C(=O)CCS(=O)(=O)N(C)C ZINC000478921392 1073252299 /nfs/dbraw/zinc/25/22/99/1073252299.db2.gz FVAPFRUZWNMJPE-UHFFFAOYSA-N 0 0 442.604 -0.850 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC2(O)CCOCC2)c1OC ZINC000478921609 1073251891 /nfs/dbraw/zinc/25/18/91/1073251891.db2.gz VOFLUQQAJLKDEI-UHFFFAOYSA-N 0 0 444.506 -0.004 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)NCCN2CCN(c3ncccn3)CC2)C1=O ZINC000478922247 1073251958 /nfs/dbraw/zinc/25/19/58/1073251958.db2.gz DQYNZICLUXMILO-INIZCTEOSA-N 0 0 427.513 -0.173 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)NCCN2CCN(c3ncccn3)CC2)C1=O ZINC000478922249 1073251941 /nfs/dbraw/zinc/25/19/41/1073251941.db2.gz DQYNZICLUXMILO-MRXNPFEDSA-N 0 0 427.513 -0.173 20 0 IBADRN O=C(CCNC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000478923837 1073253138 /nfs/dbraw/zinc/25/31/38/1073253138.db2.gz JDNCAFPCKYLRNL-CVEARBPZSA-N 0 0 440.497 -0.081 20 0 IBADRN O=C(CCNC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000478923841 1073253109 /nfs/dbraw/zinc/25/31/09/1073253109.db2.gz JDNCAFPCKYLRNL-HOTGVXAUSA-N 0 0 440.497 -0.081 20 0 IBADRN O=C(CCNC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000478923842 1073253229 /nfs/dbraw/zinc/25/32/29/1073253229.db2.gz JDNCAFPCKYLRNL-HZPDHXFCSA-N 0 0 440.497 -0.081 20 0 IBADRN O=C(CCNC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000478923844 1073253216 /nfs/dbraw/zinc/25/32/16/1073253216.db2.gz JDNCAFPCKYLRNL-JKSUJKDBSA-N 0 0 440.497 -0.081 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000478924236 1073252285 /nfs/dbraw/zinc/25/22/85/1073252285.db2.gz JCCCJGCCWYPDOE-UHFFFAOYSA-N 0 0 448.549 -0.136 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccccc1-n1ccnn1 ZINC000478925427 1073253474 /nfs/dbraw/zinc/25/34/74/1073253474.db2.gz MDXJISQLPBWOAF-AWEZNQCLSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccccc1-n1ccnn1 ZINC000478925428 1073253689 /nfs/dbraw/zinc/25/36/89/1073253689.db2.gz MDXJISQLPBWOAF-CQSZACIVSA-N 0 0 436.494 -0.190 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1 ZINC000478927190 1073253549 /nfs/dbraw/zinc/25/35/49/1073253549.db2.gz GCJQGAJTASYBFD-UHFFFAOYSA-N 0 0 446.913 -0.058 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000478929116 1073251485 /nfs/dbraw/zinc/25/14/85/1073251485.db2.gz QLGZCDMZOXQWDG-UHFFFAOYSA-N 0 0 426.433 -0.638 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N2CCCCC2)c1 ZINC000478929752 1073254547 /nfs/dbraw/zinc/25/45/47/1073254547.db2.gz QTTYMSQUVNAXHY-UHFFFAOYSA-N 0 0 440.522 -0.013 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)ccc1Cl ZINC000478930987 1073254462 /nfs/dbraw/zinc/25/44/62/1073254462.db2.gz KSAJUUNADJTNPV-GFCCVEGCSA-N 0 0 446.913 -0.018 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)ccc1Cl ZINC000478930992 1073254489 /nfs/dbraw/zinc/25/44/89/1073254489.db2.gz KSAJUUNADJTNPV-LBPRGKRZSA-N 0 0 446.913 -0.018 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cccnc1-n1cccn1 ZINC000478931045 1073254530 /nfs/dbraw/zinc/25/45/30/1073254530.db2.gz KVAUIIDGDTZISI-AWEZNQCLSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cccnc1-n1cccn1 ZINC000478931047 1073254542 /nfs/dbraw/zinc/25/45/42/1073254542.db2.gz KVAUIIDGDTZISI-CQSZACIVSA-N 0 0 436.494 -0.190 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000478931716 1073254600 /nfs/dbraw/zinc/25/46/00/1073254600.db2.gz UBHPFHFVIGSUBE-AWEZNQCLSA-N 0 0 426.495 -0.363 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000478931720 1073254567 /nfs/dbraw/zinc/25/45/67/1073254567.db2.gz UBHPFHFVIGSUBE-CQSZACIVSA-N 0 0 426.495 -0.363 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000478933327 1073254513 /nfs/dbraw/zinc/25/45/13/1073254513.db2.gz VNWYLAZLXBOTCC-INIZCTEOSA-N 0 0 440.522 -0.021 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000478933328 1073254614 /nfs/dbraw/zinc/25/46/14/1073254614.db2.gz VNWYLAZLXBOTCC-MRXNPFEDSA-N 0 0 440.522 -0.021 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCC(=O)NCCOC)ccc1C ZINC000478933643 1073254505 /nfs/dbraw/zinc/25/45/05/1073254505.db2.gz NCGJGNZKNRGMAW-UHFFFAOYSA-N 0 0 428.511 -0.157 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000478935505 1073254821 /nfs/dbraw/zinc/25/48/21/1073254821.db2.gz YGRPXALMWJLKTO-DOTOQJQBSA-N 0 0 443.570 -0.347 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000478935513 1073254854 /nfs/dbraw/zinc/25/48/54/1073254854.db2.gz YGRPXALMWJLKTO-NVXWUHKLSA-N 0 0 443.570 -0.347 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000478935515 1073254844 /nfs/dbraw/zinc/25/48/44/1073254844.db2.gz YGRPXALMWJLKTO-RDJZCZTQSA-N 0 0 443.570 -0.347 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000478935517 1073255025 /nfs/dbraw/zinc/25/50/25/1073255025.db2.gz YGRPXALMWJLKTO-WBVHZDCISA-N 0 0 443.570 -0.347 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000478936202 1073255051 /nfs/dbraw/zinc/25/50/51/1073255051.db2.gz ZBJJXWSYRZKIJU-UHFFFAOYSA-N 0 0 445.501 -0.095 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000478936359 1073254497 /nfs/dbraw/zinc/25/44/97/1073254497.db2.gz QNPNZYARXFSXOR-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000478937610 1073255008 /nfs/dbraw/zinc/25/50/08/1073255008.db2.gz TXVZJDMLJFCICG-CYBMUJFWSA-N 0 0 447.535 -0.367 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000478937642 1073255045 /nfs/dbraw/zinc/25/50/45/1073255045.db2.gz TXVZJDMLJFCICG-ZDUSSCGKSA-N 0 0 447.535 -0.367 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000478937867 1073254882 /nfs/dbraw/zinc/25/48/82/1073254882.db2.gz TZXZEIAOJHFVBU-AWEZNQCLSA-N 0 0 443.522 -0.086 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000478937870 1073254921 /nfs/dbraw/zinc/25/49/21/1073254921.db2.gz TZXZEIAOJHFVBU-CQSZACIVSA-N 0 0 443.522 -0.086 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)Cc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000478937930 1073254908 /nfs/dbraw/zinc/25/49/08/1073254908.db2.gz SPVXQCMNNWUKML-UHFFFAOYSA-N 0 0 438.488 -0.263 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000478938542 1073254901 /nfs/dbraw/zinc/25/49/01/1073254901.db2.gz VLKZSNMLOYNLCM-AWEZNQCLSA-N 0 0 447.535 -0.367 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000478938545 1073254864 /nfs/dbraw/zinc/25/48/64/1073254864.db2.gz VLKZSNMLOYNLCM-CQSZACIVSA-N 0 0 447.535 -0.367 20 0 IBADRN CCC(CC)[C@@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000478947991 1073253502 /nfs/dbraw/zinc/25/35/02/1073253502.db2.gz FEVSIKCSSNCYMK-INIZCTEOSA-N 0 0 440.522 -0.341 20 0 IBADRN CCC(CC)[C@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000478947994 1073253486 /nfs/dbraw/zinc/25/34/86/1073253486.db2.gz FEVSIKCSSNCYMK-MRXNPFEDSA-N 0 0 440.522 -0.341 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c1 ZINC000478948395 1073254071 /nfs/dbraw/zinc/25/40/71/1073254071.db2.gz GDYVMXAJVXBBBP-JMSVASOKSA-N 0 0 426.495 -0.197 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c1 ZINC000478948396 1073254069 /nfs/dbraw/zinc/25/40/69/1073254069.db2.gz GDYVMXAJVXBBBP-LALPHHSUSA-N 0 0 426.495 -0.197 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c1 ZINC000478948397 1073254135 /nfs/dbraw/zinc/25/41/35/1073254135.db2.gz GDYVMXAJVXBBBP-YWPYICTPSA-N 0 0 426.495 -0.197 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c1 ZINC000478948398 1073254013 /nfs/dbraw/zinc/25/40/13/1073254013.db2.gz GDYVMXAJVXBBBP-ZOWXZIJZSA-N 0 0 426.495 -0.197 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000478950569 1073254041 /nfs/dbraw/zinc/25/40/41/1073254041.db2.gz JMJGESJWTNSBEE-HNNXBMFYSA-N 0 0 442.494 -0.906 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000478950576 1073254129 /nfs/dbraw/zinc/25/41/29/1073254129.db2.gz JMJGESJWTNSBEE-OAHLLOKOSA-N 0 0 442.494 -0.906 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2oc1=O ZINC000478951575 1073254033 /nfs/dbraw/zinc/25/40/33/1073254033.db2.gz KVJMNZLDRXPSMD-CYBMUJFWSA-N 0 0 440.478 -0.325 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2oc1=O ZINC000478951596 1073254122 /nfs/dbraw/zinc/25/41/22/1073254122.db2.gz KVJMNZLDRXPSMD-ZDUSSCGKSA-N 0 0 440.478 -0.325 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)cc1 ZINC000478951896 1073254048 /nfs/dbraw/zinc/25/40/48/1073254048.db2.gz DIXRBJMPTUUDTK-UHFFFAOYSA-N 0 0 440.503 -0.113 20 0 IBADRN CC(=O)N1CCOC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000478953087 1073254475 /nfs/dbraw/zinc/25/44/75/1073254475.db2.gz MGTQQEWXIDZGLT-INIZCTEOSA-N 0 0 439.490 -0.462 20 0 IBADRN CC(=O)N1CCOC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000478953094 1073254608 /nfs/dbraw/zinc/25/46/08/1073254608.db2.gz MGTQQEWXIDZGLT-MRXNPFEDSA-N 0 0 439.490 -0.462 20 0 IBADRN Cc1cc(C(=O)N2CC[C@H](OCCN3CCOCC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000478954008 1073255932 /nfs/dbraw/zinc/25/59/32/1073255932.db2.gz NDCMQKNTCNVGGC-HNNXBMFYSA-N 0 0 431.493 -0.496 20 0 IBADRN Cc1cc(C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000478954009 1073255794 /nfs/dbraw/zinc/25/57/94/1073255794.db2.gz NDCMQKNTCNVGGC-OAHLLOKOSA-N 0 0 431.493 -0.496 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000478955218 1073255834 /nfs/dbraw/zinc/25/58/34/1073255834.db2.gz OICSOLNODGLTSQ-GFCCVEGCSA-N 0 0 431.287 -0.339 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000478955219 1073255947 /nfs/dbraw/zinc/25/59/47/1073255947.db2.gz OICSOLNODGLTSQ-LBPRGKRZSA-N 0 0 431.287 -0.339 20 0 IBADRN CN(CC(C)(C)CNC(=O)C(C)(C)NS(C)(=O)=O)C(=O)C(C)(C)NS(C)(=O)=O ZINC000478957019 1073255922 /nfs/dbraw/zinc/25/59/22/1073255922.db2.gz PNQFYSXLXUZQSA-UHFFFAOYSA-N 0 0 442.604 -0.757 20 0 IBADRN Cc1nc(C)n(-c2ccccc2NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)n1 ZINC000478957371 1073255715 /nfs/dbraw/zinc/25/57/15/1073255715.db2.gz QUFBVLIYCOPJDR-UHFFFAOYSA-N 0 0 435.510 -0.745 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000478960029 1073256279 /nfs/dbraw/zinc/25/62/79/1073256279.db2.gz LVVIZZHAQQIBDU-HNNXBMFYSA-N 0 0 437.482 -0.795 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000478960042 1073256325 /nfs/dbraw/zinc/25/63/25/1073256325.db2.gz LVVIZZHAQQIBDU-OAHLLOKOSA-N 0 0 437.482 -0.795 20 0 IBADRN Cn1nc(C2CCCCC2)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000478961951 1073256258 /nfs/dbraw/zinc/25/62/58/1073256258.db2.gz WNFCKEYWPTTYOI-UHFFFAOYSA-N 0 0 426.543 -0.161 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000478961997 1073256342 /nfs/dbraw/zinc/25/63/42/1073256342.db2.gz RZLWXYAXONFDGE-GXTWGEPZSA-N 0 0 429.520 -0.211 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000478961998 1073256244 /nfs/dbraw/zinc/25/62/44/1073256244.db2.gz RZLWXYAXONFDGE-JSGCOSHPSA-N 0 0 429.520 -0.211 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000478961999 1073256274 /nfs/dbraw/zinc/25/62/74/1073256274.db2.gz RZLWXYAXONFDGE-OCCSQVGLSA-N 0 0 429.520 -0.211 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000478962000 1073256728 /nfs/dbraw/zinc/25/67/28/1073256728.db2.gz RZLWXYAXONFDGE-TZMCWYRMSA-N 0 0 429.520 -0.211 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H](C)CO)cc2)C1 ZINC000478964906 1073256711 /nfs/dbraw/zinc/25/67/11/1073256711.db2.gz PGPKSTXYKQFICO-STQMWFEESA-N 0 0 427.479 -0.304 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)cc1 ZINC000478965772 1073256717 /nfs/dbraw/zinc/25/67/17/1073256717.db2.gz RZZNPQXUFIWSCQ-UHFFFAOYSA-N 0 0 435.462 -0.258 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000478968484 1073255326 /nfs/dbraw/zinc/25/53/26/1073255326.db2.gz UYEHLKDOUCTGNK-GFCCVEGCSA-N 0 0 430.458 -0.532 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000478968485 1073255310 /nfs/dbraw/zinc/25/53/10/1073255310.db2.gz UYEHLKDOUCTGNK-LBPRGKRZSA-N 0 0 430.458 -0.532 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000478970021 1073255413 /nfs/dbraw/zinc/25/54/13/1073255413.db2.gz ZJGJBUUPEYSGCL-CORIIIEPSA-N 0 0 426.495 -0.149 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000478970022 1073255288 /nfs/dbraw/zinc/25/52/88/1073255288.db2.gz ZJGJBUUPEYSGCL-LNSITVRQSA-N 0 0 426.495 -0.149 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000478970023 1073255388 /nfs/dbraw/zinc/25/53/88/1073255388.db2.gz ZJGJBUUPEYSGCL-NJZAAPMLSA-N 0 0 426.495 -0.149 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000478970024 1073255474 /nfs/dbraw/zinc/25/54/74/1073255474.db2.gz ZJGJBUUPEYSGCL-WHOFXGATSA-N 0 0 426.495 -0.149 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000478971297 1073255900 /nfs/dbraw/zinc/25/59/00/1073255900.db2.gz ZUFHUVCKSWLIHO-GOEBONIOSA-N 0 0 430.527 -0.092 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000478971298 1073255734 /nfs/dbraw/zinc/25/57/34/1073255734.db2.gz ZUFHUVCKSWLIHO-HOCLYGCPSA-N 0 0 430.527 -0.092 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000478973974 1073255978 /nfs/dbraw/zinc/25/59/78/1073255978.db2.gz WZTQTHIUNCAZDJ-INIZCTEOSA-N 0 0 446.504 -0.268 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000478973975 1073255884 /nfs/dbraw/zinc/25/58/84/1073255884.db2.gz WZTQTHIUNCAZDJ-MRXNPFEDSA-N 0 0 446.504 -0.268 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000478978474 1073257502 /nfs/dbraw/zinc/25/75/02/1073257502.db2.gz CAKVEROMGOHBIW-AWEZNQCLSA-N 0 0 431.536 -0.204 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000478978482 1073257483 /nfs/dbraw/zinc/25/74/83/1073257483.db2.gz CAKVEROMGOHBIW-CQSZACIVSA-N 0 0 431.536 -0.204 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000478981396 1073257519 /nfs/dbraw/zinc/25/75/19/1073257519.db2.gz CLGSZWGGSKYTHP-PMACEKPBSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000478981398 1073257505 /nfs/dbraw/zinc/25/75/05/1073257505.db2.gz CLGSZWGGSKYTHP-UXHICEINSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000478981400 1073257971 /nfs/dbraw/zinc/25/79/71/1073257971.db2.gz CLGSZWGGSKYTHP-VQTJNVASSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000478981402 1073257983 /nfs/dbraw/zinc/25/79/83/1073257983.db2.gz CLGSZWGGSKYTHP-WOJBJXKFSA-N 0 0 438.569 -0.351 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000478981886 1073257883 /nfs/dbraw/zinc/25/78/83/1073257883.db2.gz JDKBPGKZZZIQCX-CYBMUJFWSA-N 0 0 431.536 -0.284 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000478981897 1073257833 /nfs/dbraw/zinc/25/78/33/1073257833.db2.gz JDKBPGKZZZIQCX-ZDUSSCGKSA-N 0 0 431.536 -0.284 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000478983253 1073257963 /nfs/dbraw/zinc/25/79/63/1073257963.db2.gz FHPGSFBONSCPAT-UHFFFAOYSA-N 0 0 447.560 -0.550 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCC(C)(C)CN(C)C(=O)CN(C)S(=O)(=O)CC ZINC000478986703 1073256671 /nfs/dbraw/zinc/25/66/71/1073256671.db2.gz MWZDYHSFGLLDOE-UHFFFAOYSA-N 0 0 442.604 -0.850 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000478991053 1073256980 /nfs/dbraw/zinc/25/69/80/1073256980.db2.gz ROWCMSJHMKLYFM-INIZCTEOSA-N 0 0 441.506 -0.055 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000478991058 1073257154 /nfs/dbraw/zinc/25/71/54/1073257154.db2.gz ROWCMSJHMKLYFM-MRXNPFEDSA-N 0 0 441.506 -0.055 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1C ZINC000478994453 1073257018 /nfs/dbraw/zinc/25/70/18/1073257018.db2.gz PRDIHYOFWKYYNL-AWEZNQCLSA-N 0 0 440.522 -0.102 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1C ZINC000478994454 1073257160 /nfs/dbraw/zinc/25/71/60/1073257160.db2.gz PRDIHYOFWKYYNL-CQSZACIVSA-N 0 0 440.522 -0.102 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000478994695 1073257087 /nfs/dbraw/zinc/25/70/87/1073257087.db2.gz WAVAYTMWXLYKRL-INIZCTEOSA-N 0 0 440.522 -0.068 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000478994697 1073256998 /nfs/dbraw/zinc/25/69/98/1073256998.db2.gz WAVAYTMWXLYKRL-MRXNPFEDSA-N 0 0 440.522 -0.068 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)CC)c1 ZINC000478996601 1073257456 /nfs/dbraw/zinc/25/74/56/1073257456.db2.gz FJPNQCIBWMPESO-GFCCVEGCSA-N 0 0 434.540 -0.243 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)CC)c1 ZINC000478996602 1073257460 /nfs/dbraw/zinc/25/74/60/1073257460.db2.gz FJPNQCIBWMPESO-LBPRGKRZSA-N 0 0 434.540 -0.243 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000478997011 1073257048 /nfs/dbraw/zinc/25/70/48/1073257048.db2.gz VERVNLLRRLBBJR-GFCCVEGCSA-N 0 0 439.494 -0.240 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000478997013 1073257411 /nfs/dbraw/zinc/25/74/11/1073257411.db2.gz VERVNLLRRLBBJR-LBPRGKRZSA-N 0 0 439.494 -0.240 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000478997978 1073257429 /nfs/dbraw/zinc/25/74/29/1073257429.db2.gz SVEAYOSNDDAPCG-HNNXBMFYSA-N 0 0 440.522 -0.102 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000478997979 1073257424 /nfs/dbraw/zinc/25/74/24/1073257424.db2.gz SVEAYOSNDDAPCG-OAHLLOKOSA-N 0 0 440.522 -0.102 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000478999899 1073257102 /nfs/dbraw/zinc/25/71/02/1073257102.db2.gz YDBVJCKCRIKABY-UHFFFAOYSA-N 0 0 429.520 -0.165 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000479000082 1073257383 /nfs/dbraw/zinc/25/73/83/1073257383.db2.gz WHXYVNXHRRGGDG-INIZCTEOSA-N 0 0 442.538 -0.401 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000479000083 1073257464 /nfs/dbraw/zinc/25/74/64/1073257464.db2.gz WHXYVNXHRRGGDG-MRXNPFEDSA-N 0 0 442.538 -0.401 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000479007434 1073258708 /nfs/dbraw/zinc/25/87/08/1073258708.db2.gz BHSTZJMBJNHOJQ-CYBMUJFWSA-N 0 0 446.551 -0.146 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000479007435 1073258664 /nfs/dbraw/zinc/25/86/64/1073258664.db2.gz BHSTZJMBJNHOJQ-ZDUSSCGKSA-N 0 0 446.551 -0.146 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000479010018 1073258691 /nfs/dbraw/zinc/25/86/91/1073258691.db2.gz DFICLXBJAJWPHZ-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN Cc1nc(C)n(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n1 ZINC000479010949 1073258685 /nfs/dbraw/zinc/25/86/85/1073258685.db2.gz AKOAYBORWDYQST-UHFFFAOYSA-N 0 0 438.452 -0.820 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000479011725 1073258680 /nfs/dbraw/zinc/25/86/80/1073258680.db2.gz AUIRRDVHFJLKON-AWEZNQCLSA-N 0 0 431.536 -0.527 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000479011726 1073258756 /nfs/dbraw/zinc/25/87/56/1073258756.db2.gz AUIRRDVHFJLKON-CQSZACIVSA-N 0 0 431.536 -0.527 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000479013166 1073259201 /nfs/dbraw/zinc/25/92/01/1073259201.db2.gz ROPOXNUOVDGAGY-CYBMUJFWSA-N 0 0 446.551 -0.194 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000479013172 1073259183 /nfs/dbraw/zinc/25/91/83/1073259183.db2.gz ROPOXNUOVDGAGY-ZDUSSCGKSA-N 0 0 446.551 -0.194 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000479013212 1073259197 /nfs/dbraw/zinc/25/91/97/1073259197.db2.gz JQAMSBZATOLDEN-UHFFFAOYSA-N 0 0 436.534 -0.033 20 0 IBADRN C[C@H](c1nnnn1C1CC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000479014898 1073259166 /nfs/dbraw/zinc/25/91/66/1073259166.db2.gz NJACIOBLCWRJKG-LLVKDONJSA-N 0 0 428.496 -0.041 20 0 IBADRN C[C@@H](c1nnnn1C1CC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000479014904 1073259037 /nfs/dbraw/zinc/25/90/37/1073259037.db2.gz NJACIOBLCWRJKG-NSHDSACASA-N 0 0 428.496 -0.041 20 0 IBADRN CCc1c(C(=O)N2CC[C@H](OCCN3CCOCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000479015120 1073259228 /nfs/dbraw/zinc/25/92/28/1073259228.db2.gz KOCMQAFFSOABNI-HNNXBMFYSA-N 0 0 445.520 -0.242 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000479015122 1073259191 /nfs/dbraw/zinc/25/91/91/1073259191.db2.gz NWPRVNXCTCBYEL-LLVKDONJSA-N 0 0 425.467 -0.630 20 0 IBADRN CCc1c(C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000479015123 1073259218 /nfs/dbraw/zinc/25/92/18/1073259218.db2.gz KOCMQAFFSOABNI-OAHLLOKOSA-N 0 0 445.520 -0.242 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000479015135 1073259107 /nfs/dbraw/zinc/25/91/07/1073259107.db2.gz NWPRVNXCTCBYEL-NSHDSACASA-N 0 0 425.467 -0.630 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC(=O)NCCOC)cc1S(=O)(=O)N(C)C ZINC000479015197 1073259117 /nfs/dbraw/zinc/25/91/17/1073259117.db2.gz KVAJZZSNVGCKMZ-UHFFFAOYSA-N 0 0 430.483 -0.847 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000479015518 1073259213 /nfs/dbraw/zinc/25/92/13/1073259213.db2.gz TURUWVVSVIOLSB-AWEZNQCLSA-N 0 0 429.520 -0.450 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000479015523 1073259153 /nfs/dbraw/zinc/25/91/53/1073259153.db2.gz TURUWVVSVIOLSB-CQSZACIVSA-N 0 0 429.520 -0.450 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000479015561 1073259681 /nfs/dbraw/zinc/25/96/81/1073259681.db2.gz OXNKLSHZOSXZKK-UHFFFAOYSA-N 0 0 429.520 -0.209 20 0 IBADRN Cc1cc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc(S(=O)(=O)N(C)C)c1C ZINC000479016090 1073259208 /nfs/dbraw/zinc/25/92/08/1073259208.db2.gz HMSPCVSZBVRHSV-HNNXBMFYSA-N 0 0 445.563 -0.023 20 0 IBADRN Cc1cc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(S(=O)(=O)N(C)C)c1C ZINC000479016091 1073259056 /nfs/dbraw/zinc/25/90/56/1073259056.db2.gz HMSPCVSZBVRHSV-OAHLLOKOSA-N 0 0 445.563 -0.023 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[C@H](OCCN3CCOCC3)C2)cn1C ZINC000479016501 1073259752 /nfs/dbraw/zinc/25/97/52/1073259752.db2.gz FTIPXOVIMUNVCG-INIZCTEOSA-N 0 0 429.543 -0.653 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[C@@H](OCCN3CCOCC3)C2)cn1C ZINC000479016502 1073259636 /nfs/dbraw/zinc/25/96/36/1073259636.db2.gz FTIPXOVIMUNVCG-MRXNPFEDSA-N 0 0 429.543 -0.653 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NCC(=O)NCCOC)c2ccccc2O1 ZINC000479016538 1073259625 /nfs/dbraw/zinc/25/96/25/1073259625.db2.gz FZIDUEMWHZNCRH-KRWDZBQOSA-N 0 0 434.493 -0.036 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NCC(=O)NCCOC)c2ccccc2O1 ZINC000479016540 1073259537 /nfs/dbraw/zinc/25/95/37/1073259537.db2.gz FZIDUEMWHZNCRH-QGZVFWFLSA-N 0 0 434.493 -0.036 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2-n2nc(C)nc2C)CC1 ZINC000479019166 1073259486 /nfs/dbraw/zinc/25/94/86/1073259486.db2.gz WZIDVYVXTGAHRI-UHFFFAOYSA-N 0 0 443.508 -0.271 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000479022311 1073258421 /nfs/dbraw/zinc/25/84/21/1073258421.db2.gz CNVUYFZUSYFOBN-INIZCTEOSA-N 0 0 441.506 -0.055 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000479022312 1073258401 /nfs/dbraw/zinc/25/84/01/1073258401.db2.gz CNVUYFZUSYFOBN-MRXNPFEDSA-N 0 0 441.506 -0.055 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000479025543 1073259550 /nfs/dbraw/zinc/25/95/50/1073259550.db2.gz QZEVWJLUUZUOJT-CYBMUJFWSA-N 0 0 434.518 -0.234 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000479025546 1073259590 /nfs/dbraw/zinc/25/95/90/1073259590.db2.gz QZEVWJLUUZUOJT-ZDUSSCGKSA-N 0 0 434.518 -0.234 20 0 IBADRN Cn1nc(C2CCCCC2)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000479027753 1073259689 /nfs/dbraw/zinc/25/96/89/1073259689.db2.gz VOAAMMPEEMRHQF-UHFFFAOYSA-N 0 0 429.485 -0.236 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1-n1nc(C)nc1C ZINC000479029325 1073259498 /nfs/dbraw/zinc/25/94/98/1073259498.db2.gz XXZWBDGJONSDKM-INIZCTEOSA-N 0 0 427.465 -0.324 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1-n1nc(C)nc1C ZINC000479029326 1073259602 /nfs/dbraw/zinc/25/96/02/1073259602.db2.gz XXZWBDGJONSDKM-MRXNPFEDSA-N 0 0 427.465 -0.324 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000479038094 1073260013 /nfs/dbraw/zinc/26/00/13/1073260013.db2.gz CPOGEOMNWRQZGV-HNNXBMFYSA-N 0 0 445.563 -0.219 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000479038095 1073260195 /nfs/dbraw/zinc/26/01/95/1073260195.db2.gz CPOGEOMNWRQZGV-OAHLLOKOSA-N 0 0 445.563 -0.219 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000479039972 1073260094 /nfs/dbraw/zinc/26/00/94/1073260094.db2.gz GHOSLWYODRMDMU-GXTWGEPZSA-N 0 0 446.551 -0.148 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000479039975 1073260198 /nfs/dbraw/zinc/26/01/98/1073260198.db2.gz GHOSLWYODRMDMU-JSGCOSHPSA-N 0 0 446.551 -0.148 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000479039978 1073260467 /nfs/dbraw/zinc/26/04/67/1073260467.db2.gz GHOSLWYODRMDMU-OCCSQVGLSA-N 0 0 446.551 -0.148 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000479039980 1073260539 /nfs/dbraw/zinc/26/05/39/1073260539.db2.gz GHOSLWYODRMDMU-TZMCWYRMSA-N 0 0 446.551 -0.148 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000479041745 1073260546 /nfs/dbraw/zinc/26/05/46/1073260546.db2.gz QHUURTWLIWAPBQ-HNNXBMFYSA-N 0 0 445.563 -0.266 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000479041754 1073260499 /nfs/dbraw/zinc/26/04/99/1073260499.db2.gz QHUURTWLIWAPBQ-OAHLLOKOSA-N 0 0 445.563 -0.266 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000479042088 1073260494 /nfs/dbraw/zinc/26/04/94/1073260494.db2.gz JNDQZNRLYBVTPX-INIZCTEOSA-N 0 0 430.444 -0.533 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000479042092 1073260479 /nfs/dbraw/zinc/26/04/79/1073260479.db2.gz JNDQZNRLYBVTPX-MRXNPFEDSA-N 0 0 430.444 -0.533 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000479044805 1073259610 /nfs/dbraw/zinc/25/96/10/1073259610.db2.gz DVFVRXTYJRDGOE-UHFFFAOYSA-N 0 0 444.448 -0.951 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000479046968 1073259707 /nfs/dbraw/zinc/25/97/07/1073259707.db2.gz KFHLQUHKDOLNHU-UHFFFAOYSA-N 0 0 436.446 -0.118 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC000479047990 1073260549 /nfs/dbraw/zinc/26/05/49/1073260549.db2.gz NBFSNHVBIKNBIL-AWEZNQCLSA-N 0 0 429.520 -0.450 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000479047992 1073260516 /nfs/dbraw/zinc/26/05/16/1073260516.db2.gz NBFSNHVBIKNBIL-CQSZACIVSA-N 0 0 429.520 -0.450 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000479050521 1073262040 /nfs/dbraw/zinc/26/20/40/1073262040.db2.gz BZNNUFUEIZXORE-NEPJUHHUSA-N 0 0 441.462 -0.189 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000479050528 1073262069 /nfs/dbraw/zinc/26/20/69/1073262069.db2.gz BZNNUFUEIZXORE-NWDGAFQWSA-N 0 0 441.462 -0.189 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000479050530 1073262131 /nfs/dbraw/zinc/26/21/31/1073262131.db2.gz BZNNUFUEIZXORE-RYUDHWBXSA-N 0 0 441.462 -0.189 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000479050531 1073261981 /nfs/dbraw/zinc/26/19/81/1073261981.db2.gz BZNNUFUEIZXORE-VXGBXAGGSA-N 0 0 441.462 -0.189 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C(C)C)ccc1C ZINC000479053649 1073260553 /nfs/dbraw/zinc/26/05/53/1073260553.db2.gz LOGAEQQLMLFHLY-UHFFFAOYSA-N 0 0 428.511 -0.159 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1F ZINC000479059388 1073260461 /nfs/dbraw/zinc/26/04/61/1073260461.db2.gz UKQRFRFACPKTBM-GFCCVEGCSA-N 0 0 430.458 -0.532 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1F ZINC000479059390 1073260505 /nfs/dbraw/zinc/26/05/05/1073260505.db2.gz UKQRFRFACPKTBM-LBPRGKRZSA-N 0 0 430.458 -0.532 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2=O)cc1 ZINC000479066254 1073261143 /nfs/dbraw/zinc/26/11/43/1073261143.db2.gz SVUVQTTWJWOXPH-KRWDZBQOSA-N 0 0 426.481 -0.364 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2=O)cc1 ZINC000479066255 1073261025 /nfs/dbraw/zinc/26/10/25/1073261025.db2.gz SVUVQTTWJWOXPH-QGZVFWFLSA-N 0 0 426.481 -0.364 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)cn1 ZINC000479067259 1073261013 /nfs/dbraw/zinc/26/10/13/1073261013.db2.gz AACCMBQLZXXCLB-UHFFFAOYSA-N 0 0 434.503 -0.244 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000479067889 1073261709 /nfs/dbraw/zinc/26/17/09/1073261709.db2.gz CPFUJVGLXULJQS-QWHCGFSZSA-N 0 0 447.535 -0.828 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000479067893 1073261720 /nfs/dbraw/zinc/26/17/20/1073261720.db2.gz CPFUJVGLXULJQS-STQMWFEESA-N 0 0 447.535 -0.828 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000479067936 1073261713 /nfs/dbraw/zinc/26/17/13/1073261713.db2.gz DFERXULWAFNDQF-UHFFFAOYSA-N 0 0 428.474 -0.069 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2N1S(C)(=O)=O ZINC000479073670 1073262520 /nfs/dbraw/zinc/26/25/20/1073262520.db2.gz ZTVFASNPHHFMGR-DOMZBBRYSA-N 0 0 443.547 -0.180 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2N1S(C)(=O)=O ZINC000479073680 1073262590 /nfs/dbraw/zinc/26/25/90/1073262590.db2.gz ZTVFASNPHHFMGR-IUODEOHRSA-N 0 0 443.547 -0.180 20 0 IBADRN C[C@H]1Cc2cc(C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2N1S(C)(=O)=O ZINC000479073683 1073262528 /nfs/dbraw/zinc/26/25/28/1073262528.db2.gz ZTVFASNPHHFMGR-SWLSCSKDSA-N 0 0 443.547 -0.180 20 0 IBADRN C[C@H]1Cc2cc(C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2N1S(C)(=O)=O ZINC000479073685 1073262426 /nfs/dbraw/zinc/26/24/26/1073262426.db2.gz ZTVFASNPHHFMGR-WFASDCNBSA-N 0 0 443.547 -0.180 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000479076093 1073262973 /nfs/dbraw/zinc/26/29/73/1073262973.db2.gz DVIZCOVYDMGOGK-NWDGAFQWSA-N 0 0 435.499 -0.698 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000479076096 1073262925 /nfs/dbraw/zinc/26/29/25/1073262925.db2.gz DVIZCOVYDMGOGK-RYUDHWBXSA-N 0 0 435.499 -0.698 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1C ZINC000479078343 1073262903 /nfs/dbraw/zinc/26/29/03/1073262903.db2.gz IJLPGORUMSPZMR-UHFFFAOYSA-N 0 0 440.522 -0.013 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000479090413 1073262572 /nfs/dbraw/zinc/26/25/72/1073262572.db2.gz NVYCQSRNCJFJRV-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000479091211 1073262416 /nfs/dbraw/zinc/26/24/16/1073262416.db2.gz RAODSINBLWPZGB-UHFFFAOYSA-N 0 0 444.517 -0.317 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000479091926 1073262549 /nfs/dbraw/zinc/26/25/49/1073262549.db2.gz CRKPWWBGDAEXGN-AWEZNQCLSA-N 0 0 443.547 -0.141 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000479091927 1073262541 /nfs/dbraw/zinc/26/25/41/1073262541.db2.gz CRKPWWBGDAEXGN-CQSZACIVSA-N 0 0 443.547 -0.141 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000479092033 1073262404 /nfs/dbraw/zinc/26/24/04/1073262404.db2.gz CWTSVPFCNPKYRK-INIZCTEOSA-N 0 0 438.550 -0.010 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000479092034 1073262471 /nfs/dbraw/zinc/26/24/71/1073262471.db2.gz CWTSVPFCNPKYRK-MRXNPFEDSA-N 0 0 438.550 -0.010 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)CC1)N1CCCC1 ZINC000479092445 1073262460 /nfs/dbraw/zinc/26/24/60/1073262460.db2.gz VLNSPUSBGNGIEF-UHFFFAOYSA-N 0 0 434.518 -0.279 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000479093697 1073262392 /nfs/dbraw/zinc/26/23/92/1073262392.db2.gz AKKVKKKWSHVBPL-UHFFFAOYSA-N 0 0 430.461 -0.366 20 0 IBADRN Cc1nc(C)n(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n1 ZINC000479097446 1073263713 /nfs/dbraw/zinc/26/37/13/1073263713.db2.gz IPONFWMNCLWNQX-UHFFFAOYSA-N 0 0 439.476 -0.228 20 0 IBADRN CN1C(=O)N(CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C(=O)C12CCCCC2 ZINC000479098079 1073263803 /nfs/dbraw/zinc/26/38/03/1073263803.db2.gz MTJIWOIMZWSGAI-CYBMUJFWSA-N 0 0 428.511 -0.607 20 0 IBADRN CN1C(=O)N(CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C(=O)C12CCCCC2 ZINC000479098081 1073263654 /nfs/dbraw/zinc/26/36/54/1073263654.db2.gz MTJIWOIMZWSGAI-ZDUSSCGKSA-N 0 0 428.511 -0.607 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000479098490 1073263705 /nfs/dbraw/zinc/26/37/05/1073263705.db2.gz AZOPQOCWBTWLHF-INIZCTEOSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000479098491 1073263838 /nfs/dbraw/zinc/26/38/38/1073263838.db2.gz AZOPQOCWBTWLHF-MRXNPFEDSA-N 0 0 436.494 -0.190 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCCc3c2cccc3S(N)(=O)=O)c(=O)[nH]c1=O ZINC000479098842 1073263815 /nfs/dbraw/zinc/26/38/15/1073263815.db2.gz LMYLYVOAINPBQG-UHFFFAOYSA-N 0 0 437.478 -0.540 20 0 IBADRN Cc1nc2n(n1)CCN(CC(=O)NCc1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000479098876 1073263849 /nfs/dbraw/zinc/26/38/49/1073263849.db2.gz LVSSIUKGWNAGNI-UHFFFAOYSA-N 0 0 434.522 -0.261 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000479099748 1073264195 /nfs/dbraw/zinc/26/41/95/1073264195.db2.gz JTTXUFHQEUHUSA-UHFFFAOYSA-N 0 0 436.490 -0.850 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000479100742 1073264207 /nfs/dbraw/zinc/26/42/07/1073264207.db2.gz DVGYANZDVACQCQ-HNNXBMFYSA-N 0 0 426.495 -0.411 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000479100748 1073264144 /nfs/dbraw/zinc/26/41/44/1073264144.db2.gz DVGYANZDVACQCQ-OAHLLOKOSA-N 0 0 426.495 -0.411 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000479100902 1073264197 /nfs/dbraw/zinc/26/41/97/1073264197.db2.gz UZSZQPIEZUZWGN-UHFFFAOYSA-N 0 0 444.444 -0.840 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000479101103 1073264165 /nfs/dbraw/zinc/26/41/65/1073264165.db2.gz PRIDCFGLIAUYFP-UHFFFAOYSA-N 0 0 448.501 -0.752 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cn1 ZINC000479104391 1073264094 /nfs/dbraw/zinc/26/40/94/1073264094.db2.gz KWGLNGRNUXHSCG-CYBMUJFWSA-N 0 0 448.523 -0.972 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cn1 ZINC000479104392 1073264115 /nfs/dbraw/zinc/26/41/15/1073264115.db2.gz KWGLNGRNUXHSCG-ZDUSSCGKSA-N 0 0 448.523 -0.972 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000479107544 1073264554 /nfs/dbraw/zinc/26/45/54/1073264554.db2.gz XROGNTWVKLXSAH-UHFFFAOYSA-N 0 0 433.490 -0.144 20 0 IBADRN O=C(Nc1cc(F)cc(Cl)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000479108731 1073264402 /nfs/dbraw/zinc/26/44/02/1073264402.db2.gz ZPVMBSNWVNQJHQ-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccc4c(c3)S(=O)(=O)CC4)CC2)nc1 ZINC000479109946 1073263296 /nfs/dbraw/zinc/26/32/96/1073263296.db2.gz ZNRSRPFESIFZHD-UHFFFAOYSA-N 0 0 443.485 -0.202 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000479110775 1073263406 /nfs/dbraw/zinc/26/34/06/1073263406.db2.gz YXYDRQXISLXZCC-HNNXBMFYSA-N 0 0 426.495 -0.411 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000479110778 1073263315 /nfs/dbraw/zinc/26/33/15/1073263315.db2.gz YXYDRQXISLXZCC-OAHLLOKOSA-N 0 0 426.495 -0.411 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)ccc1C(N)=O ZINC000479110783 1073263291 /nfs/dbraw/zinc/26/32/91/1073263291.db2.gz ZAENHLHPEWTSKA-AWEZNQCLSA-N 0 0 426.495 -0.363 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)ccc1C(N)=O ZINC000479110785 1073263371 /nfs/dbraw/zinc/26/33/71/1073263371.db2.gz ZAENHLHPEWTSKA-CQSZACIVSA-N 0 0 426.495 -0.363 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000479110912 1073263397 /nfs/dbraw/zinc/26/33/97/1073263397.db2.gz ZOVAKZKOAQRFFE-HNNXBMFYSA-N 0 0 437.482 -0.795 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000479110913 1073263266 /nfs/dbraw/zinc/26/32/66/1073263266.db2.gz ZOVAKZKOAQRFFE-OAHLLOKOSA-N 0 0 437.482 -0.795 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc(-n2cccn2)nc1 ZINC000479112058 1073263676 /nfs/dbraw/zinc/26/36/76/1073263676.db2.gz NWIFPCIRZOKPAX-HNNXBMFYSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc(-n2cccn2)nc1 ZINC000479112059 1073263683 /nfs/dbraw/zinc/26/36/83/1073263683.db2.gz NWIFPCIRZOKPAX-OAHLLOKOSA-N 0 0 436.494 -0.190 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1Cl ZINC000479112296 1073263680 /nfs/dbraw/zinc/26/36/80/1073263680.db2.gz RCVBZHMEAJUTTG-GFCCVEGCSA-N 0 0 446.913 -0.018 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1Cl ZINC000479112297 1073263795 /nfs/dbraw/zinc/26/37/95/1073263795.db2.gz RCVBZHMEAJUTTG-LBPRGKRZSA-N 0 0 446.913 -0.018 20 0 IBADRN NC(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000479112488 1073263386 /nfs/dbraw/zinc/26/33/86/1073263386.db2.gz UILLGCDOOVTRCQ-HNNXBMFYSA-N 0 0 426.495 -0.742 20 0 IBADRN NC(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000479112489 1073263277 /nfs/dbraw/zinc/26/32/77/1073263277.db2.gz UILLGCDOOVTRCQ-OAHLLOKOSA-N 0 0 426.495 -0.742 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000479112541 1073263670 /nfs/dbraw/zinc/26/36/70/1073263670.db2.gz UZHCYGBDJLPECQ-AWEZNQCLSA-N 0 0 447.535 -0.367 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000479112542 1073263826 /nfs/dbraw/zinc/26/38/26/1073263826.db2.gz UZHCYGBDJLPECQ-CQSZACIVSA-N 0 0 447.535 -0.367 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC(N4CCCCC4=O)CC3)cnc2n(C)c1=O ZINC000479115593 1073265208 /nfs/dbraw/zinc/26/52/08/1073265208.db2.gz NRRMYIYZGRPQKN-UHFFFAOYSA-N 0 0 442.476 -0.426 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(N3CCCS3(=O)=O)c1)c(=O)n2C ZINC000479117309 1073265190 /nfs/dbraw/zinc/26/51/90/1073265190.db2.gz FIQRHKXOHVCWPP-UHFFFAOYSA-N 0 0 432.462 -0.388 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000479119176 1073265181 /nfs/dbraw/zinc/26/51/81/1073265181.db2.gz DHPVNWSNQJSVPS-CVEARBPZSA-N 0 0 438.485 -0.286 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000479119179 1073265288 /nfs/dbraw/zinc/26/52/88/1073265288.db2.gz DHPVNWSNQJSVPS-HOTGVXAUSA-N 0 0 438.485 -0.286 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000479119180 1073265297 /nfs/dbraw/zinc/26/52/97/1073265297.db2.gz DHPVNWSNQJSVPS-HZPDHXFCSA-N 0 0 438.485 -0.286 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000479119181 1073265126 /nfs/dbraw/zinc/26/51/26/1073265126.db2.gz DHPVNWSNQJSVPS-JKSUJKDBSA-N 0 0 438.485 -0.286 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000479119838 1073265699 /nfs/dbraw/zinc/26/56/99/1073265699.db2.gz QQIHFEGEAFQPSG-CVEARBPZSA-N 0 0 438.485 -0.286 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000479119839 1073265612 /nfs/dbraw/zinc/26/56/12/1073265612.db2.gz QQIHFEGEAFQPSG-HOTGVXAUSA-N 0 0 438.485 -0.286 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000479119840 1073265658 /nfs/dbraw/zinc/26/56/58/1073265658.db2.gz QQIHFEGEAFQPSG-HZPDHXFCSA-N 0 0 438.485 -0.286 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000479119841 1073265645 /nfs/dbraw/zinc/26/56/45/1073265645.db2.gz QQIHFEGEAFQPSG-JKSUJKDBSA-N 0 0 438.485 -0.286 20 0 IBADRN COCCN(C(=O)CN1CCn2nc(C)nc2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000479119963 1073265596 /nfs/dbraw/zinc/26/55/96/1073265596.db2.gz TYUINGOVBHDAKG-UHFFFAOYSA-N 0 0 434.501 -0.418 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000479123203 1073265627 /nfs/dbraw/zinc/26/56/27/1073265627.db2.gz ZYUPEUIHNFZOKK-AWEZNQCLSA-N 0 0 431.536 -0.204 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000479123204 1073265653 /nfs/dbraw/zinc/26/56/53/1073265653.db2.gz ZYUPEUIHNFZOKK-CQSZACIVSA-N 0 0 431.536 -0.204 20 0 IBADRN CC[C@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000479130562 1073264904 /nfs/dbraw/zinc/26/49/04/1073264904.db2.gz OFVKPOLPXBDKSD-AWEZNQCLSA-N 0 0 442.538 -0.153 20 0 IBADRN CC[C@@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000479130563 1073264923 /nfs/dbraw/zinc/26/49/23/1073264923.db2.gz OFVKPOLPXBDKSD-CQSZACIVSA-N 0 0 442.538 -0.153 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000479133308 1073264797 /nfs/dbraw/zinc/26/47/97/1073264797.db2.gz ROAKLDDAXXLSBL-INIZCTEOSA-N 0 0 440.522 -0.068 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000479133309 1073264708 /nfs/dbraw/zinc/26/47/08/1073264708.db2.gz ROAKLDDAXXLSBL-MRXNPFEDSA-N 0 0 440.522 -0.068 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCCc3c1cccc3S(N)(=O)=O)c(=O)n2C ZINC000479133366 1073264784 /nfs/dbraw/zinc/26/47/84/1073264784.db2.gz WUAJMZNRQKBTAL-UHFFFAOYSA-N 0 0 432.506 -0.076 20 0 IBADRN O=C(NCc1ccc(F)cn1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000479135009 1073265172 /nfs/dbraw/zinc/26/51/72/1073265172.db2.gz OWMSPKIXZCMKJB-UHFFFAOYSA-N 0 0 435.437 -0.404 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1 ZINC000479135270 1073265323 /nfs/dbraw/zinc/26/53/23/1073265323.db2.gz VYEQFGXCRKAICZ-UHFFFAOYSA-N 0 0 426.857 -0.370 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000479136809 1073265316 /nfs/dbraw/zinc/26/53/16/1073265316.db2.gz XRUVPOUEABNUAF-CYBMUJFWSA-N 0 0 440.478 -0.439 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000479136810 1073265200 /nfs/dbraw/zinc/26/52/00/1073265200.db2.gz XRUVPOUEABNUAF-ZDUSSCGKSA-N 0 0 440.478 -0.439 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)NCC2CC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000479145891 1073266395 /nfs/dbraw/zinc/26/63/95/1073266395.db2.gz XDRLTJSAFCQERH-HNNXBMFYSA-N 0 0 443.547 -0.202 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)NCC2CC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000479145892 1073266380 /nfs/dbraw/zinc/26/63/80/1073266380.db2.gz XDRLTJSAFCQERH-OAHLLOKOSA-N 0 0 443.547 -0.202 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC000479146310 1073266399 /nfs/dbraw/zinc/26/63/99/1073266399.db2.gz ZRHMPTCQBDUHCP-HNNXBMFYSA-N 0 0 443.547 -0.106 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000479146315 1073266436 /nfs/dbraw/zinc/26/64/36/1073266436.db2.gz ZRHMPTCQBDUHCP-OAHLLOKOSA-N 0 0 443.547 -0.106 20 0 IBADRN O=C(CCNC(=O)c1sccc1S(=O)(=O)N1CCCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000479147660 1073266423 /nfs/dbraw/zinc/26/64/23/1073266423.db2.gz XJQZLPCPWGUNRU-GFCCVEGCSA-N 0 0 449.576 -0.044 20 0 IBADRN O=C(CCNC(=O)c1sccc1S(=O)(=O)N1CCCC1)N[C@H]1CCS(=O)(=O)C1 ZINC000479147661 1073266360 /nfs/dbraw/zinc/26/63/60/1073266360.db2.gz XJQZLPCPWGUNRU-LBPRGKRZSA-N 0 0 449.576 -0.044 20 0 IBADRN CC[C@H](O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000479149493 1073266373 /nfs/dbraw/zinc/26/63/73/1073266373.db2.gz IUYUYKDWLDKVOH-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000479149494 1073266441 /nfs/dbraw/zinc/26/64/41/1073266441.db2.gz IUYUYKDWLDKVOH-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000479161151 1073266692 /nfs/dbraw/zinc/26/66/92/1073266692.db2.gz QQHQFILUQHUALA-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000479161152 1073266845 /nfs/dbraw/zinc/26/68/45/1073266845.db2.gz QQHQFILUQHUALA-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000479165081 1073266810 /nfs/dbraw/zinc/26/68/10/1073266810.db2.gz UPQHRAZLXRAUBG-HNNXBMFYSA-N 0 0 442.494 -0.906 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000479165082 1073266791 /nfs/dbraw/zinc/26/67/91/1073266791.db2.gz UPQHRAZLXRAUBG-OAHLLOKOSA-N 0 0 442.494 -0.906 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000479165324 1073266797 /nfs/dbraw/zinc/26/67/97/1073266797.db2.gz GWENYZZQKCTXGS-UHFFFAOYSA-N 0 0 446.551 -0.015 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC(C)CC2)cc1 ZINC000479167665 1073266682 /nfs/dbraw/zinc/26/66/82/1073266682.db2.gz YEVSZLMKQOUQFM-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)o1 ZINC000479181794 1073266839 /nfs/dbraw/zinc/26/68/39/1073266839.db2.gz GBXDGLAEVAXCFW-UHFFFAOYSA-N 0 0 429.451 -0.852 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(C(=O)N3CCCC3)c(F)c2)CC1 ZINC000479191517 1073268237 /nfs/dbraw/zinc/26/82/37/1073268237.db2.gz KAWSLSUTVLIRHF-UHFFFAOYSA-N 0 0 448.542 -0.344 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000479192820 1073267280 /nfs/dbraw/zinc/26/72/80/1073267280.db2.gz RDGJLMBXILQBIH-IBGZPJMESA-N 0 0 442.524 -0.530 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000479192823 1073267331 /nfs/dbraw/zinc/26/73/31/1073267331.db2.gz RDGJLMBXILQBIH-LJQANCHMSA-N 0 0 442.524 -0.530 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCCCS(N)(=O)=O)CC2 ZINC000479197670 1073267413 /nfs/dbraw/zinc/26/74/13/1073267413.db2.gz UMVBOWPQPCWECM-UHFFFAOYSA-N 0 0 432.524 -0.941 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCCS(N)(=O)=O)c2ccccc2O1 ZINC000479197671 1073267324 /nfs/dbraw/zinc/26/73/24/1073267324.db2.gz UOCCUVZPWLPTPU-HNNXBMFYSA-N 0 0 426.495 -0.508 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCCCS(N)(=O)=O)c2ccccc2O1 ZINC000479197674 1073267355 /nfs/dbraw/zinc/26/73/55/1073267355.db2.gz UOCCUVZPWLPTPU-OAHLLOKOSA-N 0 0 426.495 -0.508 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NS(=O)(=O)N3CCS(=O)(=O)CC3)C2=O)c1 ZINC000479203353 1073268288 /nfs/dbraw/zinc/26/82/88/1073268288.db2.gz DNGPWDNWCQJDSN-HNNXBMFYSA-N 0 0 433.508 -0.626 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NS(=O)(=O)N3CCS(=O)(=O)CC3)C2=O)c1 ZINC000479203354 1073268251 /nfs/dbraw/zinc/26/82/51/1073268251.db2.gz DNGPWDNWCQJDSN-OAHLLOKOSA-N 0 0 433.508 -0.626 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)C2=O)c1 ZINC000479206319 1073268766 /nfs/dbraw/zinc/26/87/66/1073268766.db2.gz HCEPWZXSUOKBDR-AWEZNQCLSA-N 0 0 438.462 -0.815 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)C2=O)c1 ZINC000479206320 1073268680 /nfs/dbraw/zinc/26/86/80/1073268680.db2.gz HCEPWZXSUOKBDR-CQSZACIVSA-N 0 0 438.462 -0.815 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000479211007 1073269260 /nfs/dbraw/zinc/26/92/60/1073269260.db2.gz DWCYKYLTEBGVJO-GDBMZVCRSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000479211008 1073269317 /nfs/dbraw/zinc/26/93/17/1073269317.db2.gz DWCYKYLTEBGVJO-GOEBONIOSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000479211009 1073269267 /nfs/dbraw/zinc/26/92/67/1073269267.db2.gz DWCYKYLTEBGVJO-HOCLYGCPSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000479211010 1073269248 /nfs/dbraw/zinc/26/92/48/1073269248.db2.gz DWCYKYLTEBGVJO-ZBFHGGJFSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3ccnn3C)C2=O)CC1 ZINC000479214555 1073269657 /nfs/dbraw/zinc/26/96/57/1073269657.db2.gz UVOFUHQFSAVXKU-DLBZAZTESA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3ccnn3C)C2=O)CC1 ZINC000479214556 1073269612 /nfs/dbraw/zinc/26/96/12/1073269612.db2.gz UVOFUHQFSAVXKU-IAGOWNOFSA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3ccnn3C)C2=O)CC1 ZINC000479214557 1073269683 /nfs/dbraw/zinc/26/96/83/1073269683.db2.gz UVOFUHQFSAVXKU-IRXDYDNUSA-N 0 0 447.540 -0.510 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3ccnn3C)C2=O)CC1 ZINC000479214558 1073269703 /nfs/dbraw/zinc/26/97/03/1073269703.db2.gz UVOFUHQFSAVXKU-SJORKVTESA-N 0 0 447.540 -0.510 20 0 IBADRN COCc1nn(CC(=O)N2CCN(S(=O)(=O)c3cccc(C(C)=O)c3)CC2)c(=O)o1 ZINC000479220747 1073270181 /nfs/dbraw/zinc/27/01/81/1073270181.db2.gz JBWMOMOZIBRKOK-UHFFFAOYSA-N 0 0 438.462 -0.282 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)S(=O)(=O)N3CCS(=O)(=O)CC3)C2=O)c1 ZINC000479230367 1073270952 /nfs/dbraw/zinc/27/09/52/1073270952.db2.gz UJNRKDDSROJQLZ-INIZCTEOSA-N 0 0 447.535 -0.284 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)S(=O)(=O)N3CCS(=O)(=O)CC3)C2=O)c1 ZINC000479230368 1073271000 /nfs/dbraw/zinc/27/10/00/1073271000.db2.gz UJNRKDDSROJQLZ-MRXNPFEDSA-N 0 0 447.535 -0.284 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C1CCOCC1 ZINC000479233664 1073271622 /nfs/dbraw/zinc/27/16/22/1073271622.db2.gz GNSZNUMEFOBEEI-CYBMUJFWSA-N 0 0 426.451 -0.472 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C1CCOCC1 ZINC000479233665 1073271531 /nfs/dbraw/zinc/27/15/31/1073271531.db2.gz GNSZNUMEFOBEEI-ZDUSSCGKSA-N 0 0 426.451 -0.472 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCCS(N)(=O)=O)c(C)c1S(=O)(=O)NC1CC1 ZINC000479260977 1073271502 /nfs/dbraw/zinc/27/15/02/1073271502.db2.gz XMTKATIZGUXXAZ-UHFFFAOYSA-N 0 0 446.551 -0.132 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000479266397 1073271618 /nfs/dbraw/zinc/27/16/18/1073271618.db2.gz OBLPSVLPHOILRY-GFCCVEGCSA-N 0 0 442.519 -0.321 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000479266398 1073271551 /nfs/dbraw/zinc/27/15/51/1073271551.db2.gz OBLPSVLPHOILRY-LBPRGKRZSA-N 0 0 442.519 -0.321 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000479271301 1073272058 /nfs/dbraw/zinc/27/20/58/1073272058.db2.gz HUTIIRPXQJPXSG-UHFFFAOYSA-N 0 0 446.551 -0.015 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)COCC(=O)N1CC[C@@H](OC)C[C@H]1C(=O)OC ZINC000479277487 1073271453 /nfs/dbraw/zinc/27/14/53/1073271453.db2.gz LKAAHNNNXREMCJ-FPCVCCKLSA-N 0 0 444.481 -0.639 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)COCC(=O)N1CC[C@H](OC)C[C@H]1C(=O)OC ZINC000479277488 1073271414 /nfs/dbraw/zinc/27/14/14/1073271414.db2.gz LKAAHNNNXREMCJ-FZKCQIBNSA-N 0 0 444.481 -0.639 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)COCC(=O)N1CC[C@@H](OC)C[C@H]1C(=O)OC ZINC000479277489 1073272019 /nfs/dbraw/zinc/27/20/19/1073272019.db2.gz LKAAHNNNXREMCJ-SYMSYNOKSA-N 0 0 444.481 -0.639 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)COCC(=O)N1CC[C@@H](OC)C[C@H]1C(=O)OC ZINC000479277490 1073271982 /nfs/dbraw/zinc/27/19/82/1073271982.db2.gz LKAAHNNNXREMCJ-WCVJEAGWSA-N 0 0 444.481 -0.639 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c1 ZINC000479278180 1073271962 /nfs/dbraw/zinc/27/19/62/1073271962.db2.gz HMWWENWPGIVQQL-GFCCVEGCSA-N 0 0 434.540 -0.243 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c1 ZINC000479278181 1073272016 /nfs/dbraw/zinc/27/20/16/1073272016.db2.gz HMWWENWPGIVQQL-LBPRGKRZSA-N 0 0 434.540 -0.243 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000479286952 1073272008 /nfs/dbraw/zinc/27/20/08/1073272008.db2.gz RWWDXLAAKZHRMD-CYBMUJFWSA-N 0 0 433.490 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000479286953 1073272075 /nfs/dbraw/zinc/27/20/75/1073272075.db2.gz RWWDXLAAKZHRMD-ZDUSSCGKSA-N 0 0 433.490 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000479297224 1073273121 /nfs/dbraw/zinc/27/31/21/1073273121.db2.gz QNMMTFKRRCAZHN-CYBMUJFWSA-N 0 0 433.490 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000479297225 1073273164 /nfs/dbraw/zinc/27/31/64/1073273164.db2.gz QNMMTFKRRCAZHN-ZDUSSCGKSA-N 0 0 433.490 -0.279 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC000479300784 1073271950 /nfs/dbraw/zinc/27/19/50/1073271950.db2.gz DIOMVSDFNYPSOX-UHFFFAOYSA-N 0 0 445.567 -0.004 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CC[C@@H](C(=O)NCC(F)(F)F)C2)CC1 ZINC000479300992 1073272914 /nfs/dbraw/zinc/27/29/14/1073272914.db2.gz ONGOGFHWMWFJBF-GFCCVEGCSA-N 0 0 435.490 -0.012 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CC[C@H](C(=O)NCC(F)(F)F)C2)CC1 ZINC000479300993 1073273066 /nfs/dbraw/zinc/27/30/66/1073273066.db2.gz ONGOGFHWMWFJBF-LBPRGKRZSA-N 0 0 435.490 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000479302040 1073272033 /nfs/dbraw/zinc/27/20/33/1073272033.db2.gz RVZYJBCNEZAKDL-GFCCVEGCSA-N 0 0 443.503 -0.056 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000479302041 1073272099 /nfs/dbraw/zinc/27/20/99/1073272099.db2.gz RVZYJBCNEZAKDL-LBPRGKRZSA-N 0 0 443.503 -0.056 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN(CC(F)(F)F)C3)CC2)CC1 ZINC000479302428 1073271941 /nfs/dbraw/zinc/27/19/41/1073271941.db2.gz KLEUUEQARSVRQZ-HNNXBMFYSA-N 0 0 441.520 -0.103 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN(CC(F)(F)F)C3)CC2)CC1 ZINC000479302429 1073272079 /nfs/dbraw/zinc/27/20/79/1073272079.db2.gz KLEUUEQARSVRQZ-OAHLLOKOSA-N 0 0 441.520 -0.103 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc(OC)c1O ZINC000479302912 1073272042 /nfs/dbraw/zinc/27/20/42/1073272042.db2.gz NJBANSCRRYZSJT-UHFFFAOYSA-N 0 0 428.511 -0.341 20 0 IBADRN C[C@H](Oc1cccc(CO)c1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000479304447 1073271968 /nfs/dbraw/zinc/27/19/68/1073271968.db2.gz RFGBJEWLSYTNIO-INIZCTEOSA-N 0 0 426.539 -0.417 20 0 IBADRN C[C@@H](Oc1cccc(CO)c1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000479304448 1073272086 /nfs/dbraw/zinc/27/20/86/1073272086.db2.gz RFGBJEWLSYTNIO-MRXNPFEDSA-N 0 0 426.539 -0.417 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C[C@@H]2NC(=O)NC2=O)CC1 ZINC000479306163 1073272526 /nfs/dbraw/zinc/27/25/26/1073272526.db2.gz YHNJUBGDCGEEJP-NSHDSACASA-N 0 0 442.450 -0.886 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000479306902 1073272567 /nfs/dbraw/zinc/27/25/67/1073272567.db2.gz CWEFFMRSRQZXLW-GDBMZVCRSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000479306903 1073272583 /nfs/dbraw/zinc/27/25/83/1073272583.db2.gz CWEFFMRSRQZXLW-GOEBONIOSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000479306904 1073272574 /nfs/dbraw/zinc/27/25/74/1073272574.db2.gz CWEFFMRSRQZXLW-HOCLYGCPSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000479306905 1073272472 /nfs/dbraw/zinc/27/24/72/1073272472.db2.gz CWEFFMRSRQZXLW-ZBFHGGJFSA-N 0 0 439.490 -0.400 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)C1=O ZINC000479313131 1073273113 /nfs/dbraw/zinc/27/31/13/1073273113.db2.gz HAQAJBVMRBGPBR-INIZCTEOSA-N 0 0 433.513 -0.222 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)C1=O ZINC000479313135 1073273070 /nfs/dbraw/zinc/27/30/70/1073273070.db2.gz HAQAJBVMRBGPBR-MRXNPFEDSA-N 0 0 433.513 -0.222 20 0 IBADRN COC(=O)C(C)(C)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000479327205 1073274051 /nfs/dbraw/zinc/27/40/51/1073274051.db2.gz VLJYAZNEHUSRKR-UHFFFAOYSA-N 0 0 425.573 -0.443 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000479331863 1073274105 /nfs/dbraw/zinc/27/41/05/1073274105.db2.gz DCMKXJLFYKIXFN-UHFFFAOYSA-N 0 0 436.494 -0.604 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)s1 ZINC000479334914 1073274905 /nfs/dbraw/zinc/27/49/05/1073274905.db2.gz NIZJGYHVHPTCJT-UHFFFAOYSA-N 0 0 441.491 -0.707 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000479346347 1073274464 /nfs/dbraw/zinc/27/44/64/1073274464.db2.gz QEFGREGXDVEHPF-UHFFFAOYSA-N 0 0 446.551 -0.015 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(C)[C@H]3c3ccnn3C)CC2)cn1C ZINC000479360007 1073275801 /nfs/dbraw/zinc/27/58/01/1073275801.db2.gz FHXGLQJGYLMYIB-KBXCAEBGSA-N 0 0 449.537 -0.485 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(C)[C@@H]3c3ccnn3C)CC2)cn1C ZINC000479360366 1073275788 /nfs/dbraw/zinc/27/57/88/1073275788.db2.gz FHXGLQJGYLMYIB-KDOFPFPSSA-N 0 0 449.537 -0.485 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(C)[C@@H]3c3ccnn3C)CC2)cn1C ZINC000479360370 1073275778 /nfs/dbraw/zinc/27/57/78/1073275778.db2.gz FHXGLQJGYLMYIB-KSSFIOAISA-N 0 0 449.537 -0.485 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(C)[C@H]3c3ccnn3C)CC2)cn1C ZINC000479360372 1073275893 /nfs/dbraw/zinc/27/58/93/1073275893.db2.gz FHXGLQJGYLMYIB-RDTXWAMCSA-N 0 0 449.537 -0.485 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)[C@@H]4CC=CC[C@@H]4C3=O)CC2)cn1C ZINC000479361101 1073276182 /nfs/dbraw/zinc/27/61/82/1073276182.db2.gz HJCJTZDMUYOBRO-GASCZTMLSA-N 0 0 435.506 -0.487 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)s1 ZINC000479364069 1073276189 /nfs/dbraw/zinc/27/61/89/1073276189.db2.gz NUCGUAHRQCCQDS-BETUJISGSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)s1 ZINC000479364070 1073276154 /nfs/dbraw/zinc/27/61/54/1073276154.db2.gz NUCGUAHRQCCQDS-CHWSQXEVSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)s1 ZINC000479364071 1073276271 /nfs/dbraw/zinc/27/62/71/1073276271.db2.gz NUCGUAHRQCCQDS-STQMWFEESA-N 0 0 445.567 -0.434 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)c1 ZINC000479366012 1073275404 /nfs/dbraw/zinc/27/54/04/1073275404.db2.gz ZGFKCTPEGMVLMW-UHFFFAOYSA-N 0 0 447.477 -0.111 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3nnn(-c4ccn(C)n4)c3C)CC2)cn1C ZINC000479367948 1073275260 /nfs/dbraw/zinc/27/52/60/1073275260.db2.gz XPRJNOBUKMRXIX-UHFFFAOYSA-N 0 0 433.498 -0.502 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)NC(=O)CNC4=O)CC2)cn1C ZINC000479368500 1073275331 /nfs/dbraw/zinc/27/53/31/1073275331.db2.gz ZTEQQPQVSBRGGX-UHFFFAOYSA-N 0 0 446.489 -0.443 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000479378663 1073275828 /nfs/dbraw/zinc/27/58/28/1073275828.db2.gz OYJCRJWEHILPKK-UHFFFAOYSA-N 0 0 440.503 -0.113 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CC(=O)N(C(C)(C)C)[C@H]1c1cnn(C)c1 ZINC000479383874 1073276216 /nfs/dbraw/zinc/27/62/16/1073276216.db2.gz VWEYWBAEQPHVFQ-MPGHIAIKSA-N 0 0 432.525 -0.039 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CC(=O)N(C(C)(C)C)[C@@H]1c1cnn(C)c1 ZINC000479383884 1073276221 /nfs/dbraw/zinc/27/62/21/1073276221.db2.gz VWEYWBAEQPHVFQ-RLFYNMQTSA-N 0 0 432.525 -0.039 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CC(=O)N(C(C)(C)C)[C@@H]1c1cnn(C)c1 ZINC000479383892 1073276202 /nfs/dbraw/zinc/27/62/02/1073276202.db2.gz VWEYWBAEQPHVFQ-RVKKMQEKSA-N 0 0 432.525 -0.039 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CC(=O)N(C(C)(C)C)[C@H]1c1cnn(C)c1 ZINC000479383901 1073276193 /nfs/dbraw/zinc/27/61/93/1073276193.db2.gz VWEYWBAEQPHVFQ-VKJFTORMSA-N 0 0 432.525 -0.039 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000479387334 1073276624 /nfs/dbraw/zinc/27/66/24/1073276624.db2.gz IIQUSYREWWFFSU-UHFFFAOYSA-N 0 0 425.554 -0.463 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000479390321 1073276676 /nfs/dbraw/zinc/27/66/76/1073276676.db2.gz MGIHAUKCJXDHMB-UHFFFAOYSA-N 0 0 426.524 -0.357 20 0 IBADRN CCc1c(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000479399844 1073276576 /nfs/dbraw/zinc/27/65/76/1073276576.db2.gz WTAPNSJXECZXRH-UHFFFAOYSA-N 0 0 442.476 -0.211 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000479401091 1073276252 /nfs/dbraw/zinc/27/62/52/1073276252.db2.gz DDXQSENTEBNCNW-UHFFFAOYSA-N 0 0 445.461 -0.308 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000479402866 1073276241 /nfs/dbraw/zinc/27/62/41/1073276241.db2.gz UPBNDCJLLHNRMQ-UHFFFAOYSA-N 0 0 439.494 -0.370 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCC(=O)Nc1cccc(Br)c1)c(=O)n2C ZINC000479403363 1073276161 /nfs/dbraw/zinc/27/61/61/1073276161.db2.gz ARFJKYSQXKWXJC-UHFFFAOYSA-N 0 0 449.265 -0.049 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCCNC(=O)[C@@H]2Cc2ccccc2)cn1 ZINC000479403536 1073276157 /nfs/dbraw/zinc/27/61/57/1073276157.db2.gz AYAIWFBJGOSSPF-KRWDZBQOSA-N 0 0 426.477 -0.130 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCCNC(=O)[C@H]2Cc2ccccc2)cn1 ZINC000479403538 1073276232 /nfs/dbraw/zinc/27/62/32/1073276232.db2.gz AYAIWFBJGOSSPF-QGZVFWFLSA-N 0 0 426.477 -0.130 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000479408100 1073277104 /nfs/dbraw/zinc/27/71/04/1073277104.db2.gz GXYVEZFLBFRDOL-UHFFFAOYSA-N 0 0 443.508 -0.114 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)c(=O)n(C)c1=O ZINC000479413437 1073277069 /nfs/dbraw/zinc/27/70/69/1073277069.db2.gz VNEGCZOVCDVGSG-UHFFFAOYSA-N 0 0 428.492 -0.946 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000479419009 1073276691 /nfs/dbraw/zinc/27/66/91/1073276691.db2.gz HJOKUSWGWQIJFA-UHFFFAOYSA-N 0 0 440.478 -0.054 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000479423238 1073276582 /nfs/dbraw/zinc/27/65/82/1073276582.db2.gz MYJCJLYCIICMIL-UHFFFAOYSA-N 0 0 427.483 -0.466 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000479436901 1073277576 /nfs/dbraw/zinc/27/75/76/1073277576.db2.gz KUZSEIWXWQZRLD-INIZCTEOSA-N 0 0 435.525 -0.320 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000479436910 1073277623 /nfs/dbraw/zinc/27/76/23/1073277623.db2.gz KUZSEIWXWQZRLD-MRXNPFEDSA-N 0 0 435.525 -0.320 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@H](C(N)=O)O3)CC2)c1 ZINC000479443536 1073278584 /nfs/dbraw/zinc/27/85/84/1073278584.db2.gz HNBBRWHXFKELNU-NEPJUHHUSA-N 0 0 431.492 -0.600 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@H]3CC[C@@H](C(N)=O)O3)CC2)c1 ZINC000479443537 1073278549 /nfs/dbraw/zinc/27/85/49/1073278549.db2.gz HNBBRWHXFKELNU-NWDGAFQWSA-N 0 0 431.492 -0.600 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@@H](C(N)=O)O3)CC2)c1 ZINC000479443538 1073278571 /nfs/dbraw/zinc/27/85/71/1073278571.db2.gz HNBBRWHXFKELNU-RYUDHWBXSA-N 0 0 431.492 -0.600 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@H]3CC[C@H](C(N)=O)O3)CC2)c1 ZINC000479443539 1073278566 /nfs/dbraw/zinc/27/85/66/1073278566.db2.gz HNBBRWHXFKELNU-VXGBXAGGSA-N 0 0 431.492 -0.600 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCCNC(=O)[C@@H]2Cc2ccccc2)cc1C ZINC000479444704 1073314517 /nfs/dbraw/zinc/31/45/17/1073314517.db2.gz YAUNMCGZYWRIQU-INIZCTEOSA-N 0 0 426.477 -0.164 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCCNC(=O)[C@H]2Cc2ccccc2)cc1C ZINC000479444706 1073314401 /nfs/dbraw/zinc/31/44/01/1073314401.db2.gz YAUNMCGZYWRIQU-MRXNPFEDSA-N 0 0 426.477 -0.164 20 0 IBADRN Cn1c2ncn(CC(=O)NCC(=O)Nc3cccc(Br)c3)c2c(=O)n(C)c1=O ZINC000479444872 1073278907 /nfs/dbraw/zinc/27/89/07/1073278907.db2.gz KKILYVHEYOELOJ-UHFFFAOYSA-N 0 0 449.265 -0.049 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000479453086 1073278464 /nfs/dbraw/zinc/27/84/64/1073278464.db2.gz YOKWDKCUIDMIKY-ZWKOTPCHSA-N 0 0 432.525 -0.489 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000479457433 1073278346 /nfs/dbraw/zinc/27/83/46/1073278346.db2.gz SGHGJHXTXDHBBJ-UHFFFAOYSA-N 0 0 428.243 -0.308 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)c(=O)n(C)c1=O ZINC000479457658 1073278430 /nfs/dbraw/zinc/27/84/30/1073278430.db2.gz OZBKQZGCIBASOZ-GFCCVEGCSA-N 0 0 430.845 -0.040 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)c(=O)n(C)c1=O ZINC000479457659 1073278411 /nfs/dbraw/zinc/27/84/11/1073278411.db2.gz OZBKQZGCIBASOZ-LBPRGKRZSA-N 0 0 430.845 -0.040 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCCNC(=O)[C@@H]2Cc2ccccc2)n1 ZINC000479460223 1074352250 /nfs/dbraw/zinc/35/22/50/1074352250.db2.gz UNMYNRUZQJNDKC-HNNXBMFYSA-N 0 0 427.465 -0.735 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCCNC(=O)[C@H]2Cc2ccccc2)n1 ZINC000479460224 1074352156 /nfs/dbraw/zinc/35/21/56/1074352156.db2.gz UNMYNRUZQJNDKC-OAHLLOKOSA-N 0 0 427.465 -0.735 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCCNC(=O)[C@@H]2Cc2ccccc2)n1 ZINC000479460911 1073302815 /nfs/dbraw/zinc/30/28/15/1073302815.db2.gz VQFBKPFUFXOCDT-INIZCTEOSA-N 0 0 426.477 -0.130 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCCNC(=O)[C@H]2Cc2ccccc2)n1 ZINC000479460912 1073302862 /nfs/dbraw/zinc/30/28/62/1073302862.db2.gz VQFBKPFUFXOCDT-MRXNPFEDSA-N 0 0 426.477 -0.130 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1 ZINC000479461191 1073278926 /nfs/dbraw/zinc/27/89/26/1073278926.db2.gz WLGDQUKIYPCLEG-UHFFFAOYSA-N 0 0 432.477 -0.688 20 0 IBADRN Cc1cc(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000479467964 1073279357 /nfs/dbraw/zinc/27/93/57/1073279357.db2.gz RKPYPPSGIOSXOK-UHFFFAOYSA-N 0 0 428.449 -0.465 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cn1C ZINC000479468637 1073279218 /nfs/dbraw/zinc/27/92/18/1073279218.db2.gz YVNILFRWPJINRU-UHFFFAOYSA-N 0 0 426.499 -0.622 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000479477490 1073279300 /nfs/dbraw/zinc/27/93/00/1073279300.db2.gz KYESTQVAROAOAQ-GFCCVEGCSA-N 0 0 440.526 -0.315 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000479477491 1073279384 /nfs/dbraw/zinc/27/93/84/1073279384.db2.gz KYESTQVAROAOAQ-LBPRGKRZSA-N 0 0 440.526 -0.315 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000479478556 1073279257 /nfs/dbraw/zinc/27/92/57/1073279257.db2.gz NNQUPZOWHHDBDW-NSHDSACASA-N 0 0 427.483 -0.061 20 0 IBADRN Cc1c(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000479479536 1073279243 /nfs/dbraw/zinc/27/92/43/1073279243.db2.gz LIULRNHSGNKEST-UHFFFAOYSA-N 0 0 428.449 -0.465 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)c1 ZINC000479481650 1073279398 /nfs/dbraw/zinc/27/93/98/1073279398.db2.gz WFBRQDVNXPMCEP-HNNXBMFYSA-N 0 0 441.554 -0.292 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)c1 ZINC000479481651 1073279425 /nfs/dbraw/zinc/27/94/25/1073279425.db2.gz WFBRQDVNXPMCEP-OAHLLOKOSA-N 0 0 441.554 -0.292 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000479485565 1073279373 /nfs/dbraw/zinc/27/93/73/1073279373.db2.gz BHWIKGMUJLSHPV-UHFFFAOYSA-N 0 0 434.540 -0.374 20 0 IBADRN COC(=O)CC[C@@H](Cc1ccccc1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000479490841 1073279741 /nfs/dbraw/zinc/27/97/41/1073279741.db2.gz VZGIZBWYXDQQDA-INIZCTEOSA-N 0 0 433.552 -0.038 20 0 IBADRN COC(=O)CC[C@H](Cc1ccccc1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000479490850 1073279866 /nfs/dbraw/zinc/27/98/66/1073279866.db2.gz VZGIZBWYXDQQDA-MRXNPFEDSA-N 0 0 433.552 -0.038 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c(OC)c1 ZINC000479500600 1073280287 /nfs/dbraw/zinc/28/02/87/1073280287.db2.gz PLKNAZLRYRQMTD-UHFFFAOYSA-N 0 0 429.477 -0.195 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2)CC1 ZINC000479501560 1073280645 /nfs/dbraw/zinc/28/06/45/1073280645.db2.gz JTXIIEKBDJGVCG-INIZCTEOSA-N 0 0 426.481 -0.871 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2)CC1 ZINC000479501575 1073280258 /nfs/dbraw/zinc/28/02/58/1073280258.db2.gz JTXIIEKBDJGVCG-MRXNPFEDSA-N 0 0 426.481 -0.871 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2)CC1 ZINC000479502978 1073280659 /nfs/dbraw/zinc/28/06/59/1073280659.db2.gz LILFQQGFSFSTCR-KRWDZBQOSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2)CC1 ZINC000479502981 1073280712 /nfs/dbraw/zinc/28/07/12/1073280712.db2.gz LILFQQGFSFSTCR-QGZVFWFLSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2)CC1)N1CCOCC1 ZINC000479507504 1073281052 /nfs/dbraw/zinc/28/10/52/1073281052.db2.gz SFPQZLKNQHHSQT-IBGZPJMESA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2)CC1)N1CCOCC1 ZINC000479507505 1073281329 /nfs/dbraw/zinc/28/13/29/1073281329.db2.gz SFPQZLKNQHHSQT-LJQANCHMSA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@H]1CCCN(c2ccccc2)C1 ZINC000479511449 1073281255 /nfs/dbraw/zinc/28/12/55/1073281255.db2.gz PCAJXMDGIULSQJ-HNNXBMFYSA-N 0 0 435.506 -0.005 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CCCN(c2ccccc2)C1 ZINC000479511450 1073281283 /nfs/dbraw/zinc/28/12/83/1073281283.db2.gz PCAJXMDGIULSQJ-OAHLLOKOSA-N 0 0 435.506 -0.005 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c(OC)c1 ZINC000479518462 1073281755 /nfs/dbraw/zinc/28/17/55/1073281755.db2.gz QNCAYDPXCWONDE-UHFFFAOYSA-N 0 0 445.563 -0.143 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCc2cccc(S(N)(=O)=O)c2)cc1 ZINC000479520417 1073281786 /nfs/dbraw/zinc/28/17/86/1073281786.db2.gz WTBYRFWBNKXQIS-UHFFFAOYSA-N 0 0 427.504 -0.123 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000479526103 1073281138 /nfs/dbraw/zinc/28/11/38/1073281138.db2.gz JOBFCINEVHHEJD-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(OC)c1 ZINC000479526658 1073281227 /nfs/dbraw/zinc/28/12/27/1073281227.db2.gz JXXFQPUYPLDTLY-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000479532093 1073282119 /nfs/dbraw/zinc/28/21/19/1073282119.db2.gz WPUBRTVRZGVVKW-UHFFFAOYSA-N 0 0 448.501 -0.556 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000479533224 1073281622 /nfs/dbraw/zinc/28/16/22/1073281622.db2.gz ZDOZXCXSAYXNMV-CYBMUJFWSA-N 0 0 429.524 -0.803 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000479533225 1073281729 /nfs/dbraw/zinc/28/17/29/1073281729.db2.gz ZDOZXCXSAYXNMV-ZDUSSCGKSA-N 0 0 429.524 -0.803 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000479538834 1073281651 /nfs/dbraw/zinc/28/16/51/1073281651.db2.gz GBJAHEGRPBVXIF-UHFFFAOYSA-N 0 0 448.549 -0.359 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(C)cc1 ZINC000479538954 1073281632 /nfs/dbraw/zinc/28/16/32/1073281632.db2.gz DFGJMJXKANNKHJ-UHFFFAOYSA-N 0 0 430.508 -0.027 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cc1 ZINC000479541806 1073281597 /nfs/dbraw/zinc/28/15/97/1073281597.db2.gz NGVZUSTZHUMIQB-UHFFFAOYSA-N 0 0 433.490 -0.117 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCC(=O)N3CCCC3)CC2)cc1 ZINC000479560789 1073282613 /nfs/dbraw/zinc/28/26/13/1073282613.db2.gz ZMLMMKKSMWHFFK-UHFFFAOYSA-N 0 0 436.534 -0.066 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H]1c1ccc(F)c(F)c1 ZINC000479580574 1073283892 /nfs/dbraw/zinc/28/38/92/1073283892.db2.gz QYCDUISPXWGWIC-DOMZBBRYSA-N 0 0 428.417 -0.388 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@H]1c1ccc(F)c(F)c1 ZINC000479580582 1073283849 /nfs/dbraw/zinc/28/38/49/1073283849.db2.gz QYCDUISPXWGWIC-IUODEOHRSA-N 0 0 428.417 -0.388 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@H]1c1ccc(F)c(F)c1 ZINC000479580584 1073283826 /nfs/dbraw/zinc/28/38/26/1073283826.db2.gz QYCDUISPXWGWIC-SWLSCSKDSA-N 0 0 428.417 -0.388 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H]1c1ccc(F)c(F)c1 ZINC000479580587 1073284275 /nfs/dbraw/zinc/28/42/75/1073284275.db2.gz QYCDUISPXWGWIC-WFASDCNBSA-N 0 0 428.417 -0.388 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC(C)(C)c1cccs1)C2 ZINC000479585012 1073284311 /nfs/dbraw/zinc/28/43/11/1073284311.db2.gz OXZDIZGUBIGTIT-UHFFFAOYSA-N 0 0 434.522 -0.369 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000479593981 1073285551 /nfs/dbraw/zinc/28/55/51/1073285551.db2.gz NISKQNSADQENOG-UHFFFAOYSA-N 0 0 425.507 -0.285 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2)CC1 ZINC000479595766 1073285918 /nfs/dbraw/zinc/28/59/18/1073285918.db2.gz USAHQBUNCHJAOK-IBGZPJMESA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2)CC1 ZINC000479595768 1073285956 /nfs/dbraw/zinc/28/59/56/1073285956.db2.gz USAHQBUNCHJAOK-LJQANCHMSA-N 0 0 443.548 -0.225 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1cccs1 ZINC000479596965 1073285936 /nfs/dbraw/zinc/28/59/36/1073285936.db2.gz XMPFJAJVWJDZKC-UHFFFAOYSA-N 0 0 436.534 -0.329 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)c2ccccc2O1 ZINC000479597016 1073286072 /nfs/dbraw/zinc/28/60/72/1073286072.db2.gz ZJSAQSQUZVIMPZ-PBHICJAKSA-N 0 0 428.449 -0.526 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)c2ccccc2O1 ZINC000479597020 1073284217 /nfs/dbraw/zinc/28/42/17/1073284217.db2.gz ZJSAQSQUZVIMPZ-RHSMWYFYSA-N 0 0 428.449 -0.526 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)c2ccccc2O1 ZINC000479597021 1073284240 /nfs/dbraw/zinc/28/42/40/1073284240.db2.gz ZJSAQSQUZVIMPZ-WMLDXEAASA-N 0 0 428.449 -0.526 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)c2ccccc2O1 ZINC000479597022 1073284173 /nfs/dbraw/zinc/28/41/73/1073284173.db2.gz ZJSAQSQUZVIMPZ-YOEHRIQHSA-N 0 0 428.449 -0.526 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000479605929 1073285065 /nfs/dbraw/zinc/28/50/65/1073285065.db2.gz QVMDNKWLVYCVHR-UHFFFAOYSA-N 0 0 436.498 -0.975 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)ccc1C ZINC000479608861 1073285136 /nfs/dbraw/zinc/28/51/36/1073285136.db2.gz IXVPQOQRDNIGCR-HNNXBMFYSA-N 0 0 436.494 -0.211 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)ccc1C ZINC000479608863 1073285200 /nfs/dbraw/zinc/28/52/00/1073285200.db2.gz IXVPQOQRDNIGCR-OAHLLOKOSA-N 0 0 436.494 -0.211 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)c1 ZINC000479614604 1073286055 /nfs/dbraw/zinc/28/60/55/1073286055.db2.gz RMDUZICIGFJDHN-AWEZNQCLSA-N 0 0 436.494 -0.211 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)c1 ZINC000479614609 1073285968 /nfs/dbraw/zinc/28/59/68/1073285968.db2.gz RMDUZICIGFJDHN-CQSZACIVSA-N 0 0 436.494 -0.211 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cccc(C(=O)Nc4ccncc4)c3)c2c(=O)n(C)c1=O ZINC000479617123 1073285948 /nfs/dbraw/zinc/28/59/48/1073285948.db2.gz PLRGOXPVTZGGBG-UHFFFAOYSA-N 0 0 447.455 -0.181 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000479618245 1073286429 /nfs/dbraw/zinc/28/64/29/1073286429.db2.gz QWOZKLKFGIKQNK-UHFFFAOYSA-N 0 0 441.554 -0.425 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000479618721 1073286313 /nfs/dbraw/zinc/28/63/13/1073286313.db2.gz WBIPMPOUHXSTFZ-GOSISDBHSA-N 0 0 441.492 -0.496 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000479618723 1073286566 /nfs/dbraw/zinc/28/65/66/1073286566.db2.gz WBIPMPOUHXSTFZ-SFHVURJKSA-N 0 0 441.492 -0.496 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000479629000 1073286067 /nfs/dbraw/zinc/28/60/67/1073286067.db2.gz LQPCPTHAZWXFDT-UHFFFAOYSA-N 0 0 426.437 -0.646 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000479632369 1073286033 /nfs/dbraw/zinc/28/60/33/1073286033.db2.gz BLMSZKHFDCVKNI-UHFFFAOYSA-N 0 0 425.449 -0.041 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC1CCN(c2ccccc2)CC1 ZINC000479635941 1073286775 /nfs/dbraw/zinc/28/67/75/1073286775.db2.gz DBTPMMHNJCCCLC-UHFFFAOYSA-N 0 0 435.506 -0.005 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000479636706 1073286838 /nfs/dbraw/zinc/28/68/38/1073286838.db2.gz JOHNTICUGNYYBF-UHFFFAOYSA-N 0 0 447.535 -0.567 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)nn1-c1nc(C)cc(C)n1 ZINC000479640758 1073286844 /nfs/dbraw/zinc/28/68/44/1073286844.db2.gz QYAAFIRXHLEFHG-UHFFFAOYSA-N 0 0 449.537 -0.078 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](n3cc(CO)nn3)C1)CC2 ZINC000479644612 1073287325 /nfs/dbraw/zinc/28/73/25/1073287325.db2.gz ZBISWYCFWPEXAV-HNNXBMFYSA-N 0 0 448.505 -0.569 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](n3cc(CO)nn3)C1)CC2 ZINC000479644613 1073287280 /nfs/dbraw/zinc/28/72/80/1073287280.db2.gz ZBISWYCFWPEXAV-OAHLLOKOSA-N 0 0 448.505 -0.569 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)ccc1F ZINC000479644661 1073287299 /nfs/dbraw/zinc/28/72/99/1073287299.db2.gz ZKWDLGXZDXFZGG-CYBMUJFWSA-N 0 0 440.457 -0.380 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)ccc1F ZINC000479644671 1073287386 /nfs/dbraw/zinc/28/73/86/1073287386.db2.gz ZKWDLGXZDXFZGG-ZDUSSCGKSA-N 0 0 440.457 -0.380 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000479644984 1073287306 /nfs/dbraw/zinc/28/73/06/1073287306.db2.gz XTYIVQOMZQIKGW-UHFFFAOYSA-N 0 0 440.464 -0.256 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000479647326 1073286419 /nfs/dbraw/zinc/28/64/19/1073286419.db2.gz ZVEQXZIEKHUUEI-CHWSQXEVSA-N 0 0 435.506 -0.057 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000479647327 1073286921 /nfs/dbraw/zinc/28/69/21/1073286921.db2.gz ZVEQXZIEKHUUEI-OLZOCXBDSA-N 0 0 435.506 -0.057 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000479647328 1073286910 /nfs/dbraw/zinc/28/69/10/1073286910.db2.gz ZVEQXZIEKHUUEI-QWHCGFSZSA-N 0 0 435.506 -0.057 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000479647329 1073286954 /nfs/dbraw/zinc/28/69/54/1073286954.db2.gz ZVEQXZIEKHUUEI-STQMWFEESA-N 0 0 435.506 -0.057 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1n[nH]c(Cc2ccccc2)n1)S(C)(=O)=O ZINC000479656181 1073288175 /nfs/dbraw/zinc/28/81/75/1073288175.db2.gz ATKZRDQGPIKVJK-UHFFFAOYSA-N 0 0 429.524 -0.433 20 0 IBADRN CC(C)C[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1cc[nH]n1 ZINC000479657004 1073316840 /nfs/dbraw/zinc/31/68/40/1073316840.db2.gz FOJAVEMMWPYMRC-GFCCVEGCSA-N 0 0 430.469 -0.284 20 0 IBADRN CC(C)C[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1cc[nH]n1 ZINC000479657005 1073317252 /nfs/dbraw/zinc/31/72/52/1073317252.db2.gz FOJAVEMMWPYMRC-LBPRGKRZSA-N 0 0 430.469 -0.284 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@H](C(N)=O)O3)CC2)c1 ZINC000479657586 1073288160 /nfs/dbraw/zinc/28/81/60/1073288160.db2.gz QOSFAIYGAAWOCU-CABCVRRESA-N 0 0 427.479 -0.430 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@@H](C(N)=O)O3)CC2)c1 ZINC000479657587 1073288318 /nfs/dbraw/zinc/28/83/18/1073288318.db2.gz QOSFAIYGAAWOCU-GJZGRUSLSA-N 0 0 427.479 -0.430 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@H]3CC[C@H](C(N)=O)O3)CC2)c1 ZINC000479657588 1073288298 /nfs/dbraw/zinc/28/82/98/1073288298.db2.gz QOSFAIYGAAWOCU-HUUCEWRRSA-N 0 0 427.479 -0.430 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@H]3CC[C@@H](C(N)=O)O3)CC2)c1 ZINC000479657589 1073288221 /nfs/dbraw/zinc/28/82/21/1073288221.db2.gz QOSFAIYGAAWOCU-LSDHHAIUSA-N 0 0 427.479 -0.430 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)NC2CCN(c3ccccc3)CC2)CC1 ZINC000479661031 1073288261 /nfs/dbraw/zinc/28/82/61/1073288261.db2.gz CTGUVCHGVQDBQR-UHFFFAOYSA-N 0 0 427.505 -0.279 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)c1 ZINC000479667118 1073287809 /nfs/dbraw/zinc/28/78/09/1073287809.db2.gz RAOYHGWGOVECGG-HNNXBMFYSA-N 0 0 436.494 -0.177 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)c1 ZINC000479667124 1073287776 /nfs/dbraw/zinc/28/77/76/1073287776.db2.gz RAOYHGWGOVECGG-OAHLLOKOSA-N 0 0 436.494 -0.177 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)Nc1cc[nH]n1 ZINC000479668774 1073317189 /nfs/dbraw/zinc/31/71/89/1073317189.db2.gz WHVURXPJNMYVSQ-IHRRRGAJSA-N 0 0 425.511 -0.085 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)Nc1cc[nH]n1 ZINC000479668775 1073317243 /nfs/dbraw/zinc/31/72/43/1073317243.db2.gz WHVURXPJNMYVSQ-MCIONIFRSA-N 0 0 425.511 -0.085 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)Nc1cc[nH]n1 ZINC000479668776 1073317093 /nfs/dbraw/zinc/31/70/93/1073317093.db2.gz WHVURXPJNMYVSQ-MJBXVCDLSA-N 0 0 425.511 -0.085 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)Nc1cc[nH]n1 ZINC000479668777 1073317144 /nfs/dbraw/zinc/31/71/44/1073317144.db2.gz WHVURXPJNMYVSQ-RDBSUJKOSA-N 0 0 425.511 -0.085 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC2CCN(c3ccccc3)CC2)CC1 ZINC000479685522 1073288607 /nfs/dbraw/zinc/28/86/07/1073288607.db2.gz CYMWDOLBLMLSMC-UHFFFAOYSA-N 0 0 426.481 -0.871 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000479688692 1073288667 /nfs/dbraw/zinc/28/86/67/1073288667.db2.gz PUTXTGAMTAJHPB-CRAIPNDOSA-N 0 0 443.512 -0.534 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000479688706 1073288683 /nfs/dbraw/zinc/28/86/83/1073288683.db2.gz PUTXTGAMTAJHPB-MAUKXSAKSA-N 0 0 443.512 -0.534 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000479688708 1073288737 /nfs/dbraw/zinc/28/87/37/1073288737.db2.gz PUTXTGAMTAJHPB-QAPCUYQASA-N 0 0 443.512 -0.534 20 0 IBADRN Cn1cc([C@H]2[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000479688712 1073289091 /nfs/dbraw/zinc/28/90/91/1073289091.db2.gz PUTXTGAMTAJHPB-YJBOKZPZSA-N 0 0 443.512 -0.534 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000479690646 1073289065 /nfs/dbraw/zinc/28/90/65/1073289065.db2.gz LZNYPOYEVAGLQS-AWEZNQCLSA-N 0 0 436.494 -0.177 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000479690648 1073289105 /nfs/dbraw/zinc/28/91/05/1073289105.db2.gz LZNYPOYEVAGLQS-CQSZACIVSA-N 0 0 436.494 -0.177 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCC(=O)NCC1CC1 ZINC000479692989 1073289050 /nfs/dbraw/zinc/28/90/50/1073289050.db2.gz POSVIKBJFIJEFG-UHFFFAOYSA-N 0 0 436.534 -0.058 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC2CCN(c3ccccc3)CC2)CC1 ZINC000479695220 1073289874 /nfs/dbraw/zinc/28/98/74/1073289874.db2.gz VXFKDNMRPDYQLF-UHFFFAOYSA-N 0 0 443.548 -0.225 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1S(=O)(=O)N(C)C ZINC000479708629 1073289897 /nfs/dbraw/zinc/28/98/97/1073289897.db2.gz CTAJJEBPLDGPMO-UHFFFAOYSA-N 0 0 436.498 -0.392 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)NCCNS(=O)(=O)Cc2ccon2)C1=O ZINC000479708850 1073289889 /nfs/dbraw/zinc/28/98/89/1073289889.db2.gz XEXCMKSAEKFYBP-AWEZNQCLSA-N 0 0 439.498 -0.195 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)NCCNS(=O)(=O)Cc2ccon2)C1=O ZINC000479708865 1073289815 /nfs/dbraw/zinc/28/98/15/1073289815.db2.gz XEXCMKSAEKFYBP-CQSZACIVSA-N 0 0 439.498 -0.195 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@H](n4cc(CO)nn4)C3)cc2)c1=O ZINC000479713335 1073289433 /nfs/dbraw/zinc/28/94/33/1073289433.db2.gz HTQJWMOIKXMJGR-INIZCTEOSA-N 0 0 426.437 -0.543 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@@H](n4cc(CO)nn4)C3)cc2)c1=O ZINC000479713336 1073289501 /nfs/dbraw/zinc/28/95/01/1073289501.db2.gz HTQJWMOIKXMJGR-MRXNPFEDSA-N 0 0 426.437 -0.543 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCC[C@@H]2c2nc(C(=O)NC3CC3)no2)C1=O ZINC000479713534 1073289451 /nfs/dbraw/zinc/28/94/51/1073289451.db2.gz LMFRKDVQJMBEEZ-CHWSQXEVSA-N 0 0 434.453 -0.028 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCC[C@H]2c2nc(C(=O)NC3CC3)no2)C1=O ZINC000479713537 1073289395 /nfs/dbraw/zinc/28/93/95/1073289395.db2.gz LMFRKDVQJMBEEZ-OLZOCXBDSA-N 0 0 434.453 -0.028 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCC[C@@H]2c2nc(C(=O)NC3CC3)no2)C1=O ZINC000479713539 1073289510 /nfs/dbraw/zinc/28/95/10/1073289510.db2.gz LMFRKDVQJMBEEZ-QWHCGFSZSA-N 0 0 434.453 -0.028 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCC[C@H]2c2nc(C(=O)NC3CC3)no2)C1=O ZINC000479713543 1073289417 /nfs/dbraw/zinc/28/94/17/1073289417.db2.gz LMFRKDVQJMBEEZ-STQMWFEESA-N 0 0 434.453 -0.028 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCCN3CCS(=O)(=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000479713609 1073289515 /nfs/dbraw/zinc/28/95/15/1073289515.db2.gz GJOXJNWJFOUGFV-DOTOQJQBSA-N 0 0 425.555 -0.045 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)NCCN3CCS(=O)(=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000479713612 1073289422 /nfs/dbraw/zinc/28/94/22/1073289422.db2.gz GJOXJNWJFOUGFV-NVXWUHKLSA-N 0 0 425.555 -0.045 20 0 IBADRN Cn1cc([C@H]2[C@@H](C(=O)NCCN3CCS(=O)(=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000479713615 1073289403 /nfs/dbraw/zinc/28/94/03/1073289403.db2.gz GJOXJNWJFOUGFV-RDJZCZTQSA-N 0 0 425.555 -0.045 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCN3CCS(=O)(=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000479713618 1073289481 /nfs/dbraw/zinc/28/94/81/1073289481.db2.gz GJOXJNWJFOUGFV-WBVHZDCISA-N 0 0 425.555 -0.045 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(c3ccccc3)CC2)CC1)N1CCOCC1 ZINC000479720080 1073290128 /nfs/dbraw/zinc/29/01/28/1073290128.db2.gz XQPOKUDRORKPRL-UHFFFAOYSA-N 0 0 443.548 -0.225 20 0 IBADRN CCc1nc(C(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000479729637 1073290291 /nfs/dbraw/zinc/29/02/91/1073290291.db2.gz MAFGZXVLEVZLPJ-UHFFFAOYSA-N 0 0 429.480 -0.574 20 0 IBADRN COCc1nc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)no1 ZINC000479731860 1073290259 /nfs/dbraw/zinc/29/02/59/1073290259.db2.gz XHOZCKKDNIVRLT-UHFFFAOYSA-N 0 0 437.434 -0.306 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000479738732 1073290614 /nfs/dbraw/zinc/29/06/14/1073290614.db2.gz CNEHIQFNHMKDTA-UHFFFAOYSA-N 0 0 434.496 -0.970 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2sccc2OC)c(=O)n1CC(=O)NCCOC ZINC000479747497 1073291197 /nfs/dbraw/zinc/29/11/97/1073291197.db2.gz QLCDKNIBAQJAMR-UHFFFAOYSA-N 0 0 426.516 -0.085 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)c1C ZINC000479750044 1073291449 /nfs/dbraw/zinc/29/14/49/1073291449.db2.gz QJVJFKLEBJZBFA-AWEZNQCLSA-N 0 0 436.494 -0.211 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)c1C ZINC000479750046 1073291443 /nfs/dbraw/zinc/29/14/43/1073291443.db2.gz QJVJFKLEBJZBFA-CQSZACIVSA-N 0 0 436.494 -0.211 20 0 IBADRN Cc1c(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(=O)n(-c2ccccc2)n1C ZINC000479782872 1073292855 /nfs/dbraw/zinc/29/28/55/1073292855.db2.gz WJTPOJYQAQTFRS-UHFFFAOYSA-N 0 0 425.453 -0.153 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3nc4cc(Br)cnc4[nH]3)c2c(=O)n(C)c1=O ZINC000479800831 1073292846 /nfs/dbraw/zinc/29/28/46/1073292846.db2.gz BIIJPQAAGYRGOR-UHFFFAOYSA-N 0 0 447.253 -0.216 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(S(=O)(=O)c4cn(C)c(C)n4)CC3)C2=O)cn1 ZINC000479802845 1073293250 /nfs/dbraw/zinc/29/32/50/1073293250.db2.gz ILZSCHLDLLUWCQ-HNNXBMFYSA-N 0 0 448.505 -0.229 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(S(=O)(=O)c4cn(C)c(C)n4)CC3)C2=O)cn1 ZINC000479802848 1073293255 /nfs/dbraw/zinc/29/32/55/1073293255.db2.gz ILZSCHLDLLUWCQ-OAHLLOKOSA-N 0 0 448.505 -0.229 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479805309 1073293732 /nfs/dbraw/zinc/29/37/32/1073293732.db2.gz BVXVRRJHEWJMQJ-FCEWJHQRSA-N 0 0 431.555 -0.753 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479805310 1073293654 /nfs/dbraw/zinc/29/36/54/1073293654.db2.gz BVXVRRJHEWJMQJ-FRQCXROJSA-N 0 0 431.555 -0.753 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479805311 1073293783 /nfs/dbraw/zinc/29/37/83/1073293783.db2.gz BVXVRRJHEWJMQJ-GPMSIDNRSA-N 0 0 431.555 -0.753 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479805313 1073293778 /nfs/dbraw/zinc/29/37/78/1073293778.db2.gz BVXVRRJHEWJMQJ-MDZRGWNJSA-N 0 0 431.555 -0.753 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479805314 1073293713 /nfs/dbraw/zinc/29/37/13/1073293713.db2.gz BXNKUNYKLNDRCW-NRFANRHFSA-N 0 0 449.577 -0.142 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479805315 1073293727 /nfs/dbraw/zinc/29/37/27/1073293727.db2.gz BXNKUNYKLNDRCW-OAQYLSRUSA-N 0 0 449.577 -0.142 20 0 IBADRN C[C@@H]1C[N@@H+](CCCNC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C[C@H](C)O1 ZINC000479805350 1073293667 /nfs/dbraw/zinc/29/36/67/1073293667.db2.gz CCAFXAHTUSHBAI-FUHIMQAGSA-N 0 0 428.599 -0.074 20 0 IBADRN C[C@@H]1C[N@@H+](CCCNC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C[C@H](C)O1 ZINC000479805355 1073293700 /nfs/dbraw/zinc/29/37/00/1073293700.db2.gz CCAFXAHTUSHBAI-QKLQHJQFSA-N 0 0 428.599 -0.074 20 0 IBADRN CCn1cc(N2C(=O)C[C@H](N3CCN(S(=O)(=O)c4cn(C)c(C)n4)CC3)C2=O)cn1 ZINC000479806816 1073293683 /nfs/dbraw/zinc/29/36/83/1073293683.db2.gz QJTFGKBIYCSKAI-HNNXBMFYSA-N 0 0 435.510 -0.417 20 0 IBADRN CCn1cc(N2C(=O)C[C@@H](N3CCN(S(=O)(=O)c4cn(C)c(C)n4)CC3)C2=O)cn1 ZINC000479806818 1073293717 /nfs/dbraw/zinc/29/37/17/1073293717.db2.gz QJTFGKBIYCSKAI-OAHLLOKOSA-N 0 0 435.510 -0.417 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000479808921 1073293772 /nfs/dbraw/zinc/29/37/72/1073293772.db2.gz IVDRABZQPXKUBY-FQEVSTJZSA-N 0 0 436.582 -0.612 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000479808928 1073293693 /nfs/dbraw/zinc/29/36/93/1073293693.db2.gz IVDRABZQPXKUBY-HXUWFJFHSA-N 0 0 436.582 -0.612 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000479809510 1073293748 /nfs/dbraw/zinc/29/37/48/1073293748.db2.gz JGQJTBGLDHQIBC-FQEVSTJZSA-N 0 0 426.583 -0.366 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000479809511 1073293763 /nfs/dbraw/zinc/29/37/63/1073293763.db2.gz JGQJTBGLDHQIBC-HXUWFJFHSA-N 0 0 426.583 -0.366 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000479811535 1073294094 /nfs/dbraw/zinc/29/40/94/1073294094.db2.gz NDCMSUGHYICTGH-FQEVSTJZSA-N 0 0 442.582 -0.594 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000479811536 1073294205 /nfs/dbraw/zinc/29/42/05/1073294205.db2.gz NDCMSUGHYICTGH-HXUWFJFHSA-N 0 0 442.582 -0.594 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000479814769 1073294107 /nfs/dbraw/zinc/29/41/07/1073294107.db2.gz UWUYKQXBUSSWOV-JXFKEZNVSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000479814770 1073294190 /nfs/dbraw/zinc/29/41/90/1073294190.db2.gz UWUYKQXBUSSWOV-OXJNMPFZSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000479814771 1073294060 /nfs/dbraw/zinc/29/40/60/1073294060.db2.gz UWUYKQXBUSSWOV-OXQOHEQNSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000479814772 1073294223 /nfs/dbraw/zinc/29/42/23/1073294223.db2.gz UWUYKQXBUSSWOV-UZLBHIALSA-N 0 0 440.566 -0.840 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000479820888 1073294113 /nfs/dbraw/zinc/29/41/13/1073294113.db2.gz WLFFPCRTAADYNM-UHFFFAOYSA-N 0 0 446.533 -0.088 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)s1 ZINC000479821164 1073294074 /nfs/dbraw/zinc/29/40/74/1073294074.db2.gz GLBSPLADHIKXBQ-UHFFFAOYSA-N 0 0 438.535 -0.069 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000479824213 1073295027 /nfs/dbraw/zinc/29/50/27/1073295027.db2.gz VGGHAFSNIDECTN-KRWDZBQOSA-N 0 0 430.509 -0.245 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000479824214 1073295058 /nfs/dbraw/zinc/29/50/58/1073295058.db2.gz VGGHAFSNIDECTN-QGZVFWFLSA-N 0 0 430.509 -0.245 20 0 IBADRN Cc1nc(NC2CCN(S(C)(=O)=O)CC2)nc(NC2CCN(S(C)(=O)=O)CC2)n1 ZINC000479824235 1073350032 /nfs/dbraw/zinc/35/00/32/1073350032.db2.gz VMVJMRSZHGAORT-UHFFFAOYSA-N 0 0 447.587 -0.148 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000479825455 1073294614 /nfs/dbraw/zinc/29/46/14/1073294614.db2.gz UQCLVJGZORAEIT-UHFFFAOYSA-N 0 0 432.506 -0.131 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000479827439 1073294722 /nfs/dbraw/zinc/29/47/22/1073294722.db2.gz BWQVZLXBMNYXCJ-BLLLJJGKSA-N 0 0 438.472 -0.410 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000479827444 1073294711 /nfs/dbraw/zinc/29/47/11/1073294711.db2.gz BWQVZLXBMNYXCJ-LRDDRELGSA-N 0 0 438.472 -0.410 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000479827446 1073294629 /nfs/dbraw/zinc/29/46/29/1073294629.db2.gz BWQVZLXBMNYXCJ-MLGOLLRUSA-N 0 0 438.472 -0.410 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000479827448 1073294658 /nfs/dbraw/zinc/29/46/58/1073294658.db2.gz BWQVZLXBMNYXCJ-WBMJQRKESA-N 0 0 438.472 -0.410 20 0 IBADRN CNC(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000479831174 1073294592 /nfs/dbraw/zinc/29/45/92/1073294592.db2.gz PJOATZIPQDBCHI-UHFFFAOYSA-N 0 0 426.495 -0.830 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479831594 1073294624 /nfs/dbraw/zinc/29/46/24/1073294624.db2.gz NMHXGOSWAWMACW-NRFANRHFSA-N 0 0 449.577 -0.477 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479831595 1073294608 /nfs/dbraw/zinc/29/46/08/1073294608.db2.gz NMHXGOSWAWMACW-OAQYLSRUSA-N 0 0 449.577 -0.477 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C[C@H](C)O1 ZINC000479834321 1073294714 /nfs/dbraw/zinc/29/47/14/1073294714.db2.gz ABAXIXKUGFJXHF-FUHIMQAGSA-N 0 0 428.599 -0.122 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C[C@H](C)O1 ZINC000479834322 1073294678 /nfs/dbraw/zinc/29/46/78/1073294678.db2.gz ABAXIXKUGFJXHF-QKLQHJQFSA-N 0 0 428.599 -0.122 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc(OCC(F)F)ccn3)CC2)CC1 ZINC000479837926 1073294996 /nfs/dbraw/zinc/29/49/96/1073294996.db2.gz BGNDTQOMAGYLMS-UHFFFAOYSA-N 0 0 433.481 -0.025 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)C[C@H]2CO)cn1 ZINC000479837995 1073294809 /nfs/dbraw/zinc/29/48/09/1073294809.db2.gz MPSFBEMSJGWXSR-ABSDTBQOSA-N 0 0 437.566 -0.722 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)C[C@H]2CO)cn1 ZINC000479837998 1073294920 /nfs/dbraw/zinc/29/49/20/1073294920.db2.gz MPSFBEMSJGWXSR-ZWOKBUDYSA-N 0 0 437.566 -0.722 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CCN1CC(C)(C)O ZINC000479839275 1073295082 /nfs/dbraw/zinc/29/50/82/1073295082.db2.gz PUURGIQLHBCKIP-JXFKEZNVSA-N 0 0 428.599 -0.386 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CCN1CC(C)(C)O ZINC000479839285 1073294944 /nfs/dbraw/zinc/29/49/44/1073294944.db2.gz PUURGIQLHBCKIP-OXJNMPFZSA-N 0 0 428.599 -0.386 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CCN1CC(C)(C)O ZINC000479839287 1073295004 /nfs/dbraw/zinc/29/50/04/1073295004.db2.gz PUURGIQLHBCKIP-OXQOHEQNSA-N 0 0 428.599 -0.386 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CCN1CC(C)(C)O ZINC000479839289 1073294829 /nfs/dbraw/zinc/29/48/29/1073294829.db2.gz PUURGIQLHBCKIP-UZLBHIALSA-N 0 0 428.599 -0.386 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCNC(=O)C3)CC2)cc1 ZINC000479841647 1073296078 /nfs/dbraw/zinc/29/60/78/1073296078.db2.gz QWEKARDGNAEYPL-UHFFFAOYSA-N 0 0 438.506 -0.733 20 0 IBADRN CN1CCN(c2cc(N3CCN(S(=O)(=O)N4CCCCCC4)CC3)ncn2)CC1=O ZINC000479842313 1073296014 /nfs/dbraw/zinc/29/60/14/1073296014.db2.gz SVBWEOYLTVEGCN-UHFFFAOYSA-N 0 0 437.570 -0.002 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000479845384 1073296073 /nfs/dbraw/zinc/29/60/73/1073296073.db2.gz DOPNSBMOVHMTOD-UHFFFAOYSA-N 0 0 426.470 -0.603 20 0 IBADRN COC(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000479845669 1073296041 /nfs/dbraw/zinc/29/60/41/1073296041.db2.gz GAXQDXUWIIKFOL-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)cn1 ZINC000479847183 1073296424 /nfs/dbraw/zinc/29/64/24/1073296424.db2.gz HJZPNZYEEWQAHN-UHFFFAOYSA-N 0 0 445.498 -0.306 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1C(=O)CCCC1=O ZINC000479851017 1073296496 /nfs/dbraw/zinc/29/64/96/1073296496.db2.gz JTTWTQKZIFBTBO-UHFFFAOYSA-N 0 0 425.463 -0.219 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000479855158 1073294931 /nfs/dbraw/zinc/29/49/31/1073294931.db2.gz GLMVRJUEBONZGF-FQEVSTJZSA-N 0 0 434.566 -0.161 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000479855159 1073294906 /nfs/dbraw/zinc/29/49/06/1073294906.db2.gz GLMVRJUEBONZGF-HXUWFJFHSA-N 0 0 434.566 -0.161 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)c(F)c1)c1cn(CCO)c(=O)[nH]c1=O ZINC000479855366 1073295437 /nfs/dbraw/zinc/29/54/37/1073295437.db2.gz YFTHRKIUKMUCTH-UHFFFAOYSA-N 0 0 426.426 -0.450 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)c1cccc(C(=O)NCCN2C(=O)CCCC2=O)n1 ZINC000479856052 1073212954 /nfs/dbraw/zinc/21/29/54/1073212954.db2.gz UNLPESSWFNPBCW-UHFFFAOYSA-N 0 0 443.460 -0.381 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccs2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000479857163 1073295550 /nfs/dbraw/zinc/29/55/50/1073295550.db2.gz OCPIZNWUXWRNFU-INIZCTEOSA-N 0 0 440.591 -0.163 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccs2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000479857164 1073295541 /nfs/dbraw/zinc/29/55/41/1073295541.db2.gz OCPIZNWUXWRNFU-MRXNPFEDSA-N 0 0 440.591 -0.163 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)C[C@H]1COCC2 ZINC000479857540 1072823703 /nfs/dbraw/zinc/82/37/03/1072823703.db2.gz QEBBFTUMZBEIEU-CWFSZBLJSA-N 0 0 441.550 -0.651 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)C[C@H]1COCC2 ZINC000479857541 1072823615 /nfs/dbraw/zinc/82/36/15/1072823615.db2.gz QEBBFTUMZBEIEU-RYGJVYDSSA-N 0 0 441.550 -0.651 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000479857555 1073295527 /nfs/dbraw/zinc/29/55/27/1073295527.db2.gz QJFBLBONCQKBNZ-NRFANRHFSA-N 0 0 447.561 -0.310 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000479857856 1073295661 /nfs/dbraw/zinc/29/56/61/1073295661.db2.gz QJFBLBONCQKBNZ-OAQYLSRUSA-N 0 0 447.561 -0.310 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000479860044 1073295517 /nfs/dbraw/zinc/29/55/17/1073295517.db2.gz FXXPYROHZOSYNY-UHFFFAOYSA-N 0 0 425.504 -0.188 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)[C@@H](C)C1 ZINC000479864809 1073297393 /nfs/dbraw/zinc/29/73/93/1073297393.db2.gz MREKIEMDSGYOGS-JXFKEZNVSA-N 0 0 442.582 -0.594 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)[C@@H](C)C1 ZINC000479864811 1073297459 /nfs/dbraw/zinc/29/74/59/1073297459.db2.gz MREKIEMDSGYOGS-OXJNMPFZSA-N 0 0 442.582 -0.594 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)[C@H](C)C1 ZINC000479864813 1073297332 /nfs/dbraw/zinc/29/73/32/1073297332.db2.gz MREKIEMDSGYOGS-OXQOHEQNSA-N 0 0 442.582 -0.594 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)[C@H](C)C1 ZINC000479864815 1073297502 /nfs/dbraw/zinc/29/75/02/1073297502.db2.gz MREKIEMDSGYOGS-UZLBHIALSA-N 0 0 442.582 -0.594 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)CC1 ZINC000479868494 1073296482 /nfs/dbraw/zinc/29/64/82/1073296482.db2.gz YOILOFXIIHJYPB-UHFFFAOYSA-N 0 0 448.542 -0.441 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479869642 1073296385 /nfs/dbraw/zinc/29/63/85/1073296385.db2.gz YIPPHECMMBUKBU-IBGZPJMESA-N 0 0 425.555 -0.452 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479869646 1073296474 /nfs/dbraw/zinc/29/64/74/1073296474.db2.gz YIPPHECMMBUKBU-LJQANCHMSA-N 0 0 425.555 -0.452 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000479869709 1073296414 /nfs/dbraw/zinc/29/64/14/1073296414.db2.gz ZFEMOGVJJWFSNA-FXAWDEMLSA-N 0 0 426.583 -0.509 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000479869710 1073296462 /nfs/dbraw/zinc/29/64/62/1073296462.db2.gz ZFEMOGVJJWFSNA-PXNSSMCTSA-N 0 0 426.583 -0.509 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000479869711 1073296452 /nfs/dbraw/zinc/29/64/52/1073296452.db2.gz ZFEMOGVJJWFSNA-XLIONFOSSA-N 0 0 426.583 -0.509 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000479869712 1073296529 /nfs/dbraw/zinc/29/65/29/1073296529.db2.gz ZFEMOGVJJWFSNA-YLJYHZDGSA-N 0 0 426.583 -0.509 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)c1cncc(C(=O)NCCN2C(=O)CCCC2=O)c1 ZINC000479872776 1073296743 /nfs/dbraw/zinc/29/67/43/1073296743.db2.gz KJFXHPKLMOTKRC-UHFFFAOYSA-N 0 0 443.460 -0.381 20 0 IBADRN CCNC(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000479874830 1073297521 /nfs/dbraw/zinc/29/75/21/1073297521.db2.gz VAEGLZGAKVNAPF-UHFFFAOYSA-N 0 0 428.486 -0.309 20 0 IBADRN O=C(CNC(=O)c1cccnc1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000479881725 1073297410 /nfs/dbraw/zinc/29/74/10/1073297410.db2.gz GNZZSDSOWUBXGW-UHFFFAOYSA-N 0 0 444.473 -0.074 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)CC2)on1 ZINC000479882863 1073298390 /nfs/dbraw/zinc/29/83/90/1073298390.db2.gz HULGWQNAFHIARD-FQEVSTJZSA-N 0 0 437.566 -0.049 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)CC2)on1 ZINC000479882872 1073298376 /nfs/dbraw/zinc/29/83/76/1073298376.db2.gz HULGWQNAFHIARD-HXUWFJFHSA-N 0 0 437.566 -0.049 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C[C@H]1c1ncc[nH]1 ZINC000479883859 1073298265 /nfs/dbraw/zinc/29/82/65/1073298265.db2.gz JZSQTWCPWCTLAF-JXFKEZNVSA-N 0 0 436.582 -0.061 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C[C@H]1c1ncc[nH]1 ZINC000479883863 1073298249 /nfs/dbraw/zinc/29/82/49/1073298249.db2.gz JZSQTWCPWCTLAF-OXJNMPFZSA-N 0 0 436.582 -0.061 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C[C@@H]1c1ncc[nH]1 ZINC000479883866 1073298395 /nfs/dbraw/zinc/29/83/95/1073298395.db2.gz JZSQTWCPWCTLAF-OXQOHEQNSA-N 0 0 436.582 -0.061 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C[C@@H]1c1ncc[nH]1 ZINC000479883868 1073298325 /nfs/dbraw/zinc/29/83/25/1073298325.db2.gz JZSQTWCPWCTLAF-UZLBHIALSA-N 0 0 436.582 -0.061 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1=O ZINC000479883964 1073298306 /nfs/dbraw/zinc/29/83/06/1073298306.db2.gz KKXVYYCOGGMPAB-IBGZPJMESA-N 0 0 428.555 -0.982 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1=O ZINC000479883968 1073298383 /nfs/dbraw/zinc/29/83/83/1073298383.db2.gz KKXVYYCOGGMPAB-LJQANCHMSA-N 0 0 428.555 -0.982 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479884963 1073298259 /nfs/dbraw/zinc/29/82/59/1073298259.db2.gz LYBUXZYYYFNXHU-KRWDZBQOSA-N 0 0 436.600 -0.902 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479885001 1073298356 /nfs/dbraw/zinc/29/83/56/1073298356.db2.gz LYBUXZYYYFNXHU-QGZVFWFLSA-N 0 0 436.600 -0.902 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479889275 1073298688 /nfs/dbraw/zinc/29/86/88/1073298688.db2.gz RFLDRTBONNIZNH-CRAIPNDOSA-N 0 0 448.611 -0.761 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479889276 1073298833 /nfs/dbraw/zinc/29/88/33/1073298833.db2.gz RFLDRTBONNIZNH-MAUKXSAKSA-N 0 0 448.611 -0.761 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479889277 1073298769 /nfs/dbraw/zinc/29/87/69/1073298769.db2.gz RFLDRTBONNIZNH-QAPCUYQASA-N 0 0 448.611 -0.761 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479889278 1073298765 /nfs/dbraw/zinc/29/87/65/1073298765.db2.gz RFLDRTBONNIZNH-YJBOKZPZSA-N 0 0 448.611 -0.761 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCOCC1 ZINC000479891266 1073299325 /nfs/dbraw/zinc/29/93/25/1073299325.db2.gz VVDAZPKJNYJOLF-BEFAXECRSA-N 0 0 428.555 -0.936 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCOCC1 ZINC000479891267 1073299200 /nfs/dbraw/zinc/29/92/00/1073299200.db2.gz VVDAZPKJNYJOLF-DNVCBOLYSA-N 0 0 428.555 -0.936 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCOCC1 ZINC000479891268 1073299304 /nfs/dbraw/zinc/29/93/04/1073299304.db2.gz VVDAZPKJNYJOLF-HNAYVOBHSA-N 0 0 428.555 -0.936 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCOCC1 ZINC000479891269 1073299334 /nfs/dbraw/zinc/29/93/34/1073299334.db2.gz VVDAZPKJNYJOLF-KXBFYZLASA-N 0 0 428.555 -0.936 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000479891802 1073299352 /nfs/dbraw/zinc/29/93/52/1073299352.db2.gz WNRYVWVNDCPVFF-FQEVSTJZSA-N 0 0 428.599 -0.120 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000479891803 1073299145 /nfs/dbraw/zinc/29/91/45/1073299145.db2.gz WNRYVWVNDCPVFF-HXUWFJFHSA-N 0 0 428.599 -0.120 20 0 IBADRN Cc1nc2cc(F)c(F)cc2n1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000479892750 1073299213 /nfs/dbraw/zinc/29/92/13/1073299213.db2.gz VFLDMIOLEBFJRY-DLBZAZTESA-N 0 0 428.461 -0.075 20 0 IBADRN Cc1nc2cc(F)c(F)cc2n1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000479892751 1073299341 /nfs/dbraw/zinc/29/93/41/1073299341.db2.gz VFLDMIOLEBFJRY-IAGOWNOFSA-N 0 0 428.461 -0.075 20 0 IBADRN Cc1nc2cc(F)c(F)cc2n1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000479892752 1073299226 /nfs/dbraw/zinc/29/92/26/1073299226.db2.gz VFLDMIOLEBFJRY-IRXDYDNUSA-N 0 0 428.461 -0.075 20 0 IBADRN Cc1nc2cc(F)c(F)cc2n1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000479892753 1073299168 /nfs/dbraw/zinc/29/91/68/1073299168.db2.gz VFLDMIOLEBFJRY-SJORKVTESA-N 0 0 428.461 -0.075 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000479893722 1073299648 /nfs/dbraw/zinc/29/96/48/1073299648.db2.gz IXYLAEUUAFSLKU-FQEVSTJZSA-N 0 0 434.566 -0.114 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000479893723 1073299736 /nfs/dbraw/zinc/29/97/36/1073299736.db2.gz IXYLAEUUAFSLKU-HXUWFJFHSA-N 0 0 434.566 -0.114 20 0 IBADRN CCN(C(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1)[C@H](C)CS(=O)(=O)CC ZINC000479894106 1073299267 /nfs/dbraw/zinc/29/92/67/1073299267.db2.gz JNUKUYOIOWVPIT-CRAIPNDOSA-N 0 0 435.612 -0.018 20 0 IBADRN CCN(C(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1)[C@@H](C)CS(=O)(=O)CC ZINC000479894107 1073299688 /nfs/dbraw/zinc/29/96/88/1073299688.db2.gz JNUKUYOIOWVPIT-MAUKXSAKSA-N 0 0 435.612 -0.018 20 0 IBADRN CCN(C(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1)[C@H](C)CS(=O)(=O)CC ZINC000479894108 1073299758 /nfs/dbraw/zinc/29/97/58/1073299758.db2.gz JNUKUYOIOWVPIT-QAPCUYQASA-N 0 0 435.612 -0.018 20 0 IBADRN CCN(C(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1)[C@@H](C)CS(=O)(=O)CC ZINC000479894109 1073299671 /nfs/dbraw/zinc/29/96/71/1073299671.db2.gz JNUKUYOIOWVPIT-YJBOKZPZSA-N 0 0 435.612 -0.018 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000479896545 1073299663 /nfs/dbraw/zinc/29/96/63/1073299663.db2.gz ADSSMUOHNYVMRU-UHFFFAOYSA-N 0 0 433.490 -0.944 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](C)CN3CCOCC3)cc2)CC1 ZINC000479899515 1073297960 /nfs/dbraw/zinc/29/79/60/1073297960.db2.gz WLOZISGJZCOECB-INIZCTEOSA-N 0 0 438.550 -0.010 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](C)CN3CCOCC3)cc2)CC1 ZINC000479899517 1073297941 /nfs/dbraw/zinc/29/79/41/1073297941.db2.gz WLOZISGJZCOECB-MRXNPFEDSA-N 0 0 438.550 -0.010 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H](Cc2cccnc2)NC(=O)c2ccccc2)CC1 ZINC000479901625 1073298366 /nfs/dbraw/zinc/29/83/66/1073298366.db2.gz MXMVUBGFOOABEH-IBGZPJMESA-N 0 0 445.545 -0.145 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H](Cc2cccnc2)NC(=O)c2ccccc2)CC1 ZINC000479901629 1073298334 /nfs/dbraw/zinc/29/83/34/1073298334.db2.gz MXMVUBGFOOABEH-LJQANCHMSA-N 0 0 445.545 -0.145 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000479902349 1073298313 /nfs/dbraw/zinc/29/83/13/1073298313.db2.gz OHKOGFFIVSEUEX-UHFFFAOYSA-N 0 0 442.513 -0.015 20 0 IBADRN COCCOc1cn(-c2ccccc2)nc1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479903291 1073298402 /nfs/dbraw/zinc/29/84/02/1073298402.db2.gz MVPOYZRMFBKSNF-UHFFFAOYSA-N 0 0 437.522 -0.056 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000479903747 1073298273 /nfs/dbraw/zinc/29/82/73/1073298273.db2.gz ONZDDPZZEOFDGC-UHFFFAOYSA-N 0 0 446.595 -0.049 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000479904051 1073298348 /nfs/dbraw/zinc/29/83/48/1073298348.db2.gz PFMZOAUKMIFVMF-UHFFFAOYSA-N 0 0 445.568 -0.082 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479904803 1073300698 /nfs/dbraw/zinc/30/06/98/1073300698.db2.gz WDLYMZBLXNWBNC-UHFFFAOYSA-N 0 0 430.552 -0.419 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000479906856 1073300565 /nfs/dbraw/zinc/30/05/65/1073300565.db2.gz AWJVDOOCYYUGTR-UHFFFAOYSA-N 0 0 432.568 -0.333 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000479906857 1073300706 /nfs/dbraw/zinc/30/07/06/1073300706.db2.gz AXFLGZNYFBJJFA-UHFFFAOYSA-N 0 0 446.552 -0.583 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CCCN(C(=O)COc3ccccc3)C2)CC1 ZINC000479907548 1073300678 /nfs/dbraw/zinc/30/06/78/1073300678.db2.gz CDTHEJIPMCUYFF-KRWDZBQOSA-N 0 0 438.550 -0.263 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CCCN(C(=O)COc3ccccc3)C2)CC1 ZINC000479907550 1073301004 /nfs/dbraw/zinc/30/10/04/1073301004.db2.gz CDTHEJIPMCUYFF-QGZVFWFLSA-N 0 0 438.550 -0.263 20 0 IBADRN Cc1sc2ncn(CCC(=O)N3CCN(CCS(N)(=O)=O)CC3)c(=O)c2c1C ZINC000479908083 1073300691 /nfs/dbraw/zinc/30/06/91/1073300691.db2.gz FGLUUIJOEUTSFP-UHFFFAOYSA-N 0 0 427.552 -0.102 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000479908193 1073300638 /nfs/dbraw/zinc/30/06/38/1073300638.db2.gz FRNOGBXSNUJDRU-UHFFFAOYSA-N 0 0 440.494 -0.934 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000479908223 1073300530 /nfs/dbraw/zinc/30/05/30/1073300530.db2.gz FUSOTZBYTFMSCM-UHFFFAOYSA-N 0 0 444.536 -0.294 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000479908249 1073300613 /nfs/dbraw/zinc/30/06/13/1073300613.db2.gz GDKSNXAATYHHHO-UHFFFAOYSA-N 0 0 432.568 -0.595 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479908287 1073300557 /nfs/dbraw/zinc/30/05/57/1073300557.db2.gz DMOFSXNCXZHDGI-UHFFFAOYSA-N 0 0 429.890 -0.629 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000479909324 1073301104 /nfs/dbraw/zinc/30/11/04/1073301104.db2.gz HDRWEAWYYXIVAY-UHFFFAOYSA-N 0 0 430.552 -0.483 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000479909534 1073300992 /nfs/dbraw/zinc/30/09/92/1073300992.db2.gz JTKYSUIALDBKBB-HNNXBMFYSA-N 0 0 438.506 -0.757 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000479909536 1073301123 /nfs/dbraw/zinc/30/11/23/1073301123.db2.gz JTKYSUIALDBKBB-OAHLLOKOSA-N 0 0 438.506 -0.757 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000479909777 1073301056 /nfs/dbraw/zinc/30/10/56/1073301056.db2.gz HMIXRBXUXXTVJA-UHFFFAOYSA-N 0 0 430.961 -0.888 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000479910294 1073301069 /nfs/dbraw/zinc/30/10/69/1073301069.db2.gz DYDMTZUXYMBIMO-UHFFFAOYSA-N 0 0 438.959 -0.559 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cnn(CC(=O)NC3CCCCC3)c2)CC1 ZINC000479910427 1073301130 /nfs/dbraw/zinc/30/11/30/1073301130.db2.gz IQJJMYZEAGNTMJ-UHFFFAOYSA-N 0 0 426.543 -0.622 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC000479910438 1073301048 /nfs/dbraw/zinc/30/10/48/1073301048.db2.gz LLIOIDGHNCOTJD-UHFFFAOYSA-N 0 0 444.579 -0.093 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000479910507 1073301028 /nfs/dbraw/zinc/30/10/28/1073301028.db2.gz LWGSTARSSXTQOP-UHFFFAOYSA-N 0 0 446.595 -0.089 20 0 IBADRN CSCC[C@H](NC(=O)c1ccccc1)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479911726 1073301513 /nfs/dbraw/zinc/30/15/13/1073301513.db2.gz OHLWDEHMCQKQRC-INIZCTEOSA-N 0 0 428.580 -0.029 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccccc1)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479911732 1073301465 /nfs/dbraw/zinc/30/14/65/1073301465.db2.gz OHLWDEHMCQKQRC-MRXNPFEDSA-N 0 0 428.580 -0.029 20 0 IBADRN C[C@@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479912166 1073301077 /nfs/dbraw/zinc/30/10/77/1073301077.db2.gz IWKCCQHLUFNLKC-FQEVSTJZSA-N 0 0 449.533 -0.582 20 0 IBADRN C[C@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479912181 1073301140 /nfs/dbraw/zinc/30/11/40/1073301140.db2.gz IWKCCQHLUFNLKC-HXUWFJFHSA-N 0 0 449.533 -0.582 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CCc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000479912615 1073301485 /nfs/dbraw/zinc/30/14/85/1073301485.db2.gz MOBSNXRDNOWHGF-UHFFFAOYSA-N 0 0 444.579 -0.507 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000479913632 1073301444 /nfs/dbraw/zinc/30/14/44/1073301444.db2.gz MPSAOHWRPRFFGB-UHFFFAOYSA-N 0 0 445.524 -0.899 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CCS(N)(=O)=O)CC2)C(C)C)cc1 ZINC000479913951 1073301493 /nfs/dbraw/zinc/30/14/93/1073301493.db2.gz PEFQVCCJGZTIOA-KRWDZBQOSA-N 0 0 446.595 -0.269 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479915394 1073301541 /nfs/dbraw/zinc/30/15/41/1073301541.db2.gz FWAWCPKJVUGLGJ-HLIPFELVSA-N 0 0 445.582 -0.817 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479915395 1073301479 /nfs/dbraw/zinc/30/14/79/1073301479.db2.gz FWAWCPKJVUGLGJ-MBOZVWFJSA-N 0 0 445.582 -0.817 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479915396 1073301440 /nfs/dbraw/zinc/30/14/40/1073301440.db2.gz FWAWCPKJVUGLGJ-QKLQHJQFSA-N 0 0 445.582 -0.817 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479915397 1073301424 /nfs/dbraw/zinc/30/14/24/1073301424.db2.gz FWAWCPKJVUGLGJ-SQGPQFPESA-N 0 0 445.582 -0.817 20 0 IBADRN CN(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C(=O)c1ccc(Br)o1 ZINC000479915520 1073301459 /nfs/dbraw/zinc/30/14/59/1073301459.db2.gz QIMABSPHXZJBID-UHFFFAOYSA-N 0 0 437.316 -0.453 20 0 IBADRN CSCC[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479916187 1073301548 /nfs/dbraw/zinc/30/15/48/1073301548.db2.gz RRKWPRVXZUMBLR-KRWDZBQOSA-N 0 0 442.607 -0.100 20 0 IBADRN CSCC[C@@H](NC(=O)Cc1ccccc1)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000479916211 1073301471 /nfs/dbraw/zinc/30/14/71/1073301471.db2.gz RRKWPRVXZUMBLR-QGZVFWFLSA-N 0 0 442.607 -0.100 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@H](CNC(N)=O)C1 ZINC000479916679 1073302023 /nfs/dbraw/zinc/30/20/23/1073302023.db2.gz AHNLWXOZLLBAKG-DLBZAZTESA-N 0 0 431.541 -0.385 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@H](CNC(N)=O)C1 ZINC000479916697 1073301518 /nfs/dbraw/zinc/30/15/18/1073301518.db2.gz AHNLWXOZLLBAKG-IAGOWNOFSA-N 0 0 431.541 -0.385 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@@H](CNC(N)=O)C1 ZINC000479916700 1073301497 /nfs/dbraw/zinc/30/14/97/1073301497.db2.gz AHNLWXOZLLBAKG-IRXDYDNUSA-N 0 0 431.541 -0.385 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@@H](CNC(N)=O)C1 ZINC000479916701 1073301964 /nfs/dbraw/zinc/30/19/64/1073301964.db2.gz AHNLWXOZLLBAKG-SJORKVTESA-N 0 0 431.541 -0.385 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479918472 1073301975 /nfs/dbraw/zinc/30/19/75/1073301975.db2.gz KMKKHTHMVFZZFD-FQEVSTJZSA-N 0 0 428.599 -0.120 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479918474 1073301891 /nfs/dbraw/zinc/30/18/91/1073301891.db2.gz KMKKHTHMVFZZFD-HXUWFJFHSA-N 0 0 428.599 -0.120 20 0 IBADRN CCNC(=O)N1CCN(c2cc(N3CCN(C(=O)NCC)CC3)n3ncnc3n2)CC1 ZINC000479919099 1073213487 /nfs/dbraw/zinc/21/34/87/1073213487.db2.gz YNQJUQCYVFDHJV-UHFFFAOYSA-N 0 0 430.517 -0.173 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000479919135 1073302044 /nfs/dbraw/zinc/30/20/44/1073302044.db2.gz DJOJZIOVJUATRF-INIZCTEOSA-N 0 0 425.507 -0.181 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000479919139 1073302058 /nfs/dbraw/zinc/30/20/58/1073302058.db2.gz DJOJZIOVJUATRF-MRXNPFEDSA-N 0 0 425.507 -0.181 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc12 ZINC000479920368 1073299695 /nfs/dbraw/zinc/29/96/95/1073299695.db2.gz AUFLJTFOURAELG-GFCCVEGCSA-N 0 0 442.567 -0.506 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc12 ZINC000479920389 1073299777 /nfs/dbraw/zinc/29/97/77/1073299777.db2.gz AUFLJTFOURAELG-LBPRGKRZSA-N 0 0 442.567 -0.506 20 0 IBADRN CCOc1cc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1OCC(=O)OC ZINC000479920756 1073299767 /nfs/dbraw/zinc/29/97/67/1073299767.db2.gz BQIHFKBBHRDMRA-UHFFFAOYSA-N 0 0 429.495 -0.317 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O ZINC000479920951 1073299726 /nfs/dbraw/zinc/29/97/26/1073299726.db2.gz HPYCJOMSJFBDRZ-BRWVUGGUSA-N 0 0 439.582 -0.012 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC000479920952 1073299762 /nfs/dbraw/zinc/29/97/62/1073299762.db2.gz HPYCJOMSJFBDRZ-IKGGRYGDSA-N 0 0 439.582 -0.012 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC000479920953 1073299743 /nfs/dbraw/zinc/29/97/43/1073299743.db2.gz HPYCJOMSJFBDRZ-IXDOHACOSA-N 0 0 439.582 -0.012 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC000479920954 1073299772 /nfs/dbraw/zinc/29/97/72/1073299772.db2.gz HPYCJOMSJFBDRZ-ZACQAIPSSA-N 0 0 439.582 -0.012 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000479921566 1073300177 /nfs/dbraw/zinc/30/01/77/1073300177.db2.gz UWSCBCNEMPKLQF-PMACEKPBSA-N 0 0 444.580 -0.111 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1C ZINC000479921645 1073300150 /nfs/dbraw/zinc/30/01/50/1073300150.db2.gz FGUYRTFSMINKRU-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000479921888 1073299709 /nfs/dbraw/zinc/29/97/09/1073299709.db2.gz UWSCBCNEMPKLQF-UXHICEINSA-N 0 0 444.580 -0.111 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000479921889 1073299787 /nfs/dbraw/zinc/29/97/87/1073299787.db2.gz UWSCBCNEMPKLQF-VQTJNVASSA-N 0 0 444.580 -0.111 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000479921890 1073300311 /nfs/dbraw/zinc/30/03/11/1073300311.db2.gz UWSCBCNEMPKLQF-WOJBJXKFSA-N 0 0 444.580 -0.111 20 0 IBADRN COCCc1noc(CN(C)C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)n1 ZINC000479922374 1073300283 /nfs/dbraw/zinc/30/02/83/1073300283.db2.gz KKRZIHPEYYWPEU-INIZCTEOSA-N 0 0 445.524 -0.061 20 0 IBADRN COCCc1noc(CN(C)C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)n1 ZINC000479922375 1073300063 /nfs/dbraw/zinc/30/00/63/1073300063.db2.gz KKRZIHPEYYWPEU-MRXNPFEDSA-N 0 0 445.524 -0.061 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479922604 1073300102 /nfs/dbraw/zinc/30/01/02/1073300102.db2.gz CEYLADAAJCUOGI-CRAIPNDOSA-N 0 0 448.611 -0.902 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479922608 1073300338 /nfs/dbraw/zinc/30/03/38/1073300338.db2.gz CEYLADAAJCUOGI-MAUKXSAKSA-N 0 0 448.611 -0.902 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479922612 1073300347 /nfs/dbraw/zinc/30/03/47/1073300347.db2.gz CEYLADAAJCUOGI-QAPCUYQASA-N 0 0 448.611 -0.902 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479922616 1073300651 /nfs/dbraw/zinc/30/06/51/1073300651.db2.gz CEYLADAAJCUOGI-YJBOKZPZSA-N 0 0 448.611 -0.902 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)CC2)no1 ZINC000479922624 1073300669 /nfs/dbraw/zinc/30/06/69/1073300669.db2.gz CJQUCAUCXVZZKW-FQEVSTJZSA-N 0 0 437.566 -0.049 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)CC2)no1 ZINC000479922627 1073300632 /nfs/dbraw/zinc/30/06/32/1073300632.db2.gz CJQUCAUCXVZZKW-HXUWFJFHSA-N 0 0 437.566 -0.049 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O ZINC000479923263 1073300115 /nfs/dbraw/zinc/30/01/15/1073300115.db2.gz LUOVISZNPJVRKH-CVEARBPZSA-N 0 0 425.555 -0.400 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O ZINC000479923266 1073300163 /nfs/dbraw/zinc/30/01/63/1073300163.db2.gz LUOVISZNPJVRKH-HOTGVXAUSA-N 0 0 425.555 -0.400 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O ZINC000479923269 1073300300 /nfs/dbraw/zinc/30/03/00/1073300300.db2.gz LUOVISZNPJVRKH-HZPDHXFCSA-N 0 0 425.555 -0.400 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000479923271 1073300049 /nfs/dbraw/zinc/30/00/49/1073300049.db2.gz LUOVISZNPJVRKH-JKSUJKDBSA-N 0 0 425.555 -0.400 20 0 IBADRN CCNC(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000479923272 1073300139 /nfs/dbraw/zinc/30/01/39/1073300139.db2.gz KAGRNZVRPGYPBN-UHFFFAOYSA-N 0 0 440.522 -0.439 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000479925098 1073300599 /nfs/dbraw/zinc/30/05/99/1073300599.db2.gz XDLQTKCWGYHBQM-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCCN1CCOC(C)(C)C1 ZINC000479926566 1073301947 /nfs/dbraw/zinc/30/19/47/1073301947.db2.gz PLLAVSSERYKTSB-GOSISDBHSA-N 0 0 432.569 -0.065 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCCN1CCOC(C)(C)C1 ZINC000479926567 1073302016 /nfs/dbraw/zinc/30/20/16/1073302016.db2.gz PLLAVSSERYKTSB-SFHVURJKSA-N 0 0 432.569 -0.065 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000479926676 1073301926 /nfs/dbraw/zinc/30/19/26/1073301926.db2.gz QDEBXOOEGSCYSR-HTAPYJJXSA-N 0 0 428.537 -0.163 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000479926693 1073302032 /nfs/dbraw/zinc/30/20/32/1073302032.db2.gz ZJFRLKGHKGQZJO-FQEVSTJZSA-N 0 0 436.534 -0.112 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000479926694 1073302081 /nfs/dbraw/zinc/30/20/81/1073302081.db2.gz ZJFRLKGHKGQZJO-HXUWFJFHSA-N 0 0 436.534 -0.112 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000479927349 1073302071 /nfs/dbraw/zinc/30/20/71/1073302071.db2.gz QDEBXOOEGSCYSR-JTSKRJEESA-N 0 0 428.537 -0.163 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000479927350 1073302541 /nfs/dbraw/zinc/30/25/41/1073302541.db2.gz QDEBXOOEGSCYSR-VGOFRKELSA-N 0 0 428.537 -0.163 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000479927351 1073302263 /nfs/dbraw/zinc/30/22/63/1073302263.db2.gz QDEBXOOEGSCYSR-VGSWGCGISA-N 0 0 428.537 -0.163 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000479927649 1073301874 /nfs/dbraw/zinc/30/18/74/1073301874.db2.gz KHJZFMAMZNBRCG-FQEVSTJZSA-N 0 0 434.566 -0.242 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000479927655 1073302010 /nfs/dbraw/zinc/30/20/10/1073302010.db2.gz KHJZFMAMZNBRCG-HXUWFJFHSA-N 0 0 434.566 -0.242 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(c2cccnn2)CC1 ZINC000479928759 1073302335 /nfs/dbraw/zinc/30/23/35/1073302335.db2.gz SJQWTZAXSRPASO-GOSISDBHSA-N 0 0 438.536 -0.153 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(c2cccnn2)CC1 ZINC000479928769 1073302308 /nfs/dbraw/zinc/30/23/08/1073302308.db2.gz SJQWTZAXSRPASO-SFHVURJKSA-N 0 0 438.536 -0.153 20 0 IBADRN CCN(C)C(=O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000479929592 1073302525 /nfs/dbraw/zinc/30/25/25/1073302525.db2.gz IAEXYFSTUHFPEO-CYBMUJFWSA-N 0 0 432.524 -0.248 20 0 IBADRN CCN(C)C(=O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000479929593 1073302218 /nfs/dbraw/zinc/30/22/18/1073302218.db2.gz IAEXYFSTUHFPEO-ZDUSSCGKSA-N 0 0 432.524 -0.248 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCc1ccnc(N(C)C)n1 ZINC000479931032 1073302503 /nfs/dbraw/zinc/30/25/03/1073302503.db2.gz WTONZMSICYNAOP-INIZCTEOSA-N 0 0 426.525 -0.119 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCc1ccnc(N(C)C)n1 ZINC000479931036 1073302528 /nfs/dbraw/zinc/30/25/28/1073302528.db2.gz WTONZMSICYNAOP-MRXNPFEDSA-N 0 0 426.525 -0.119 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CCCN(C(=O)c3ccc4n[nH]cc4c3)C2)CC1 ZINC000479931521 1073302290 /nfs/dbraw/zinc/30/22/90/1073302290.db2.gz NZGLLRICMNFDIF-INIZCTEOSA-N 0 0 448.549 -0.152 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CCCN(C(=O)c3ccc4n[nH]cc4c3)C2)CC1 ZINC000479931522 1073302461 /nfs/dbraw/zinc/30/24/61/1073302461.db2.gz NZGLLRICMNFDIF-MRXNPFEDSA-N 0 0 448.549 -0.152 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000479931547 1073302397 /nfs/dbraw/zinc/30/23/97/1073302397.db2.gz XZYMDIIVNQZBSB-KRWDZBQOSA-N 0 0 432.525 -0.975 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000479931548 1073302369 /nfs/dbraw/zinc/30/23/69/1073302369.db2.gz XZYMDIIVNQZBSB-QGZVFWFLSA-N 0 0 432.525 -0.975 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000479932089 1073302518 /nfs/dbraw/zinc/30/25/18/1073302518.db2.gz QPCXUTNEEQYLHM-UHFFFAOYSA-N 0 0 428.453 -0.478 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000479932978 1073302471 /nfs/dbraw/zinc/30/24/71/1073302471.db2.gz QSMXNQDLWOSEHH-UHFFFAOYSA-N 0 0 444.579 -0.480 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Cc2csc(NC(=O)c3ccco3)n2)CC1 ZINC000479933547 1073302843 /nfs/dbraw/zinc/30/28/43/1073302843.db2.gz TZEDFXPDLRGZTN-UHFFFAOYSA-N 0 0 427.508 -0.036 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000479933567 1073302929 /nfs/dbraw/zinc/30/29/29/1073302929.db2.gz UGGGDMMZCGYQDL-UHFFFAOYSA-N 0 0 434.482 -0.411 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000479933597 1073302854 /nfs/dbraw/zinc/30/28/54/1073302854.db2.gz SIWGFSPTSXIVGX-FXAWDEMLSA-N 0 0 426.583 -0.366 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000479933598 1073302948 /nfs/dbraw/zinc/30/29/48/1073302948.db2.gz SIWGFSPTSXIVGX-PXNSSMCTSA-N 0 0 426.583 -0.366 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000479933599 1073302935 /nfs/dbraw/zinc/30/29/35/1073302935.db2.gz SIWGFSPTSXIVGX-XLIONFOSSA-N 0 0 426.583 -0.366 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000479933600 1073302923 /nfs/dbraw/zinc/30/29/23/1073302923.db2.gz SIWGFSPTSXIVGX-YLJYHZDGSA-N 0 0 426.583 -0.366 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000479934584 1073302808 /nfs/dbraw/zinc/30/28/08/1073302808.db2.gz XGNHWGJFKJEERW-HNNXBMFYSA-N 0 0 438.506 -0.547 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000479934585 1073302893 /nfs/dbraw/zinc/30/28/93/1073302893.db2.gz XGNHWGJFKJEERW-OAHLLOKOSA-N 0 0 438.506 -0.547 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cn(-c3ccc(C(F)(F)F)cn3)nn2)CC1 ZINC000479934871 1073302754 /nfs/dbraw/zinc/30/27/54/1073302754.db2.gz YGIKNCDMZQCLPZ-UHFFFAOYSA-N 0 0 433.416 -0.273 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)C2)cn1 ZINC000479935859 1073303360 /nfs/dbraw/zinc/30/33/60/1073303360.db2.gz XIPNAOVISZVCMV-JXFKEZNVSA-N 0 0 436.582 -0.171 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)C2)cn1 ZINC000479935860 1073303177 /nfs/dbraw/zinc/30/31/77/1073303177.db2.gz XIPNAOVISZVCMV-OXJNMPFZSA-N 0 0 436.582 -0.171 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)C2)cn1 ZINC000479935861 1073303189 /nfs/dbraw/zinc/30/31/89/1073303189.db2.gz XIPNAOVISZVCMV-OXQOHEQNSA-N 0 0 436.582 -0.171 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)C2)cn1 ZINC000479935862 1073303388 /nfs/dbraw/zinc/30/33/88/1073303388.db2.gz XIPNAOVISZVCMV-UZLBHIALSA-N 0 0 436.582 -0.171 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000479936288 1073302830 /nfs/dbraw/zinc/30/28/30/1073302830.db2.gz ZTEUORWWVMYTKR-BBRMVZONSA-N 0 0 443.488 -0.555 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000479936289 1073303166 /nfs/dbraw/zinc/30/31/66/1073303166.db2.gz ZTEUORWWVMYTKR-XJKSGUPXSA-N 0 0 443.488 -0.555 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000479937169 1073303269 /nfs/dbraw/zinc/30/32/69/1073303269.db2.gz DUINLTSCIIXWAM-UHFFFAOYSA-N 0 0 444.452 -0.658 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCC(=O)NC1CC1 ZINC000479943541 1073303919 /nfs/dbraw/zinc/30/39/19/1073303919.db2.gz VXAIKWRDOPNQOJ-UHFFFAOYSA-N 0 0 440.497 -0.166 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000479945744 1073304308 /nfs/dbraw/zinc/30/43/08/1073304308.db2.gz BWLZPJVGRDGTGT-AWEZNQCLSA-N 0 0 442.442 -0.101 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000479945745 1073304254 /nfs/dbraw/zinc/30/42/54/1073304254.db2.gz BWLZPJVGRDGTGT-CQSZACIVSA-N 0 0 442.442 -0.101 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCc1ccc(C(N)=O)nc1 ZINC000479946863 1073304300 /nfs/dbraw/zinc/30/43/00/1073304300.db2.gz GEJSCYMXZSAMHL-HNNXBMFYSA-N 0 0 425.493 -0.481 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCc1ccc(C(N)=O)nc1 ZINC000479946876 1073304377 /nfs/dbraw/zinc/30/43/77/1073304377.db2.gz GEJSCYMXZSAMHL-OAHLLOKOSA-N 0 0 425.493 -0.481 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCOCC2(CCOCC2)C1 ZINC000479948286 1073304245 /nfs/dbraw/zinc/30/42/45/1073304245.db2.gz HDLZJZZLLHKFGK-FQEVSTJZSA-N 0 0 427.567 -0.034 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCOCC2(CCOCC2)C1 ZINC000479948293 1073304362 /nfs/dbraw/zinc/30/43/62/1073304362.db2.gz HDLZJZZLLHKFGK-HXUWFJFHSA-N 0 0 427.567 -0.034 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@@H](C)CN1CCOCC1 ZINC000479949771 1073304685 /nfs/dbraw/zinc/30/46/85/1073304685.db2.gz ODFPQTIYPWLZKW-MOPGFXCFSA-N 0 0 432.569 -0.113 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@@H](C)CN1CCOCC1 ZINC000479949772 1073304795 /nfs/dbraw/zinc/30/47/95/1073304795.db2.gz ODFPQTIYPWLZKW-OALUTQOASA-N 0 0 432.569 -0.113 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000479949773 1073304766 /nfs/dbraw/zinc/30/47/66/1073304766.db2.gz ODFPQTIYPWLZKW-RBUKOAKNSA-N 0 0 432.569 -0.113 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(C)[C@H](C)CN1CCOCC1 ZINC000479949774 1073304707 /nfs/dbraw/zinc/30/47/07/1073304707.db2.gz ODFPQTIYPWLZKW-RTBURBONSA-N 0 0 432.569 -0.113 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)cn1 ZINC000479950284 1073304232 /nfs/dbraw/zinc/30/42/32/1073304232.db2.gz QOMHRSRVWRGEMI-KRWDZBQOSA-N 0 0 429.525 -0.132 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)cn1 ZINC000479950285 1073304318 /nfs/dbraw/zinc/30/43/18/1073304318.db2.gz QOMHRSRVWRGEMI-QGZVFWFLSA-N 0 0 429.525 -0.132 20 0 IBADRN O=C(CN=c1cc(NCC(=O)NCC(F)(F)F)n2[nH]cnc2n1)NCC(F)(F)F ZINC000479951044 1073213455 /nfs/dbraw/zinc/21/34/55/1073213455.db2.gz AIJGUDIMDLUNRP-UHFFFAOYSA-N 0 0 428.297 -0.273 20 0 IBADRN CNC(=O)CN(C)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000479951404 1073305124 /nfs/dbraw/zinc/30/51/24/1073305124.db2.gz BQURICMQEALTIX-UHFFFAOYSA-N 0 0 428.486 -0.357 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(CC(C)(C)O)CC1 ZINC000479951721 1073305146 /nfs/dbraw/zinc/30/51/46/1073305146.db2.gz UUDJNVRYRSJAKY-GOSISDBHSA-N 0 0 432.569 -0.377 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(CC(C)(C)O)CC1 ZINC000479951722 1073305263 /nfs/dbraw/zinc/30/52/63/1073305263.db2.gz UUDJNVRYRSJAKY-SFHVURJKSA-N 0 0 432.569 -0.377 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCO[C@H](COCC2CC2)C1 ZINC000479951992 1073304757 /nfs/dbraw/zinc/30/47/57/1073304757.db2.gz RDHVRMXAZRACCK-FXAWDEMLSA-N 0 0 427.567 -0.036 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCO[C@H](COCC2CC2)C1 ZINC000479951993 1073304808 /nfs/dbraw/zinc/30/48/08/1073304808.db2.gz RDHVRMXAZRACCK-PXNSSMCTSA-N 0 0 427.567 -0.036 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCO[C@@H](COCC2CC2)C1 ZINC000479951994 1073304825 /nfs/dbraw/zinc/30/48/25/1073304825.db2.gz RDHVRMXAZRACCK-XLIONFOSSA-N 0 0 427.567 -0.036 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCO[C@@H](COCC2CC2)C1 ZINC000479951995 1073304840 /nfs/dbraw/zinc/30/48/40/1073304840.db2.gz RDHVRMXAZRACCK-YLJYHZDGSA-N 0 0 427.567 -0.036 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC000479952196 1073304723 /nfs/dbraw/zinc/30/47/23/1073304723.db2.gz BIEBPCFTJROSEI-DLBZAZTESA-N 0 0 437.566 -0.256 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC000479952211 1073304673 /nfs/dbraw/zinc/30/46/73/1073304673.db2.gz BIEBPCFTJROSEI-IAGOWNOFSA-N 0 0 437.566 -0.256 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@H](S(C)(=O)=O)C1 ZINC000479952214 1073304812 /nfs/dbraw/zinc/30/48/12/1073304812.db2.gz BIEBPCFTJROSEI-IRXDYDNUSA-N 0 0 437.566 -0.256 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCC[C@H](S(C)(=O)=O)C1 ZINC000479952219 1073304659 /nfs/dbraw/zinc/30/46/59/1073304659.db2.gz BIEBPCFTJROSEI-SJORKVTESA-N 0 0 437.566 -0.256 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000479952746 1073305134 /nfs/dbraw/zinc/30/51/34/1073305134.db2.gz XBJNACLMUVSZRO-KRWDZBQOSA-N 0 0 445.568 -0.884 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000479952747 1073305087 /nfs/dbraw/zinc/30/50/87/1073305087.db2.gz XBJNACLMUVSZRO-QGZVFWFLSA-N 0 0 445.568 -0.884 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1 ZINC000479952759 1073305107 /nfs/dbraw/zinc/30/51/07/1073305107.db2.gz XCLFGYPCHDPGCO-GOSISDBHSA-N 0 0 443.552 -0.054 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000479952760 1073305175 /nfs/dbraw/zinc/30/51/75/1073305175.db2.gz XCLFGYPCHDPGCO-SFHVURJKSA-N 0 0 443.552 -0.054 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479955626 1073305491 /nfs/dbraw/zinc/30/54/91/1073305491.db2.gz ARSKYTNJCRRKLJ-IBGZPJMESA-N 0 0 425.555 -0.281 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479955627 1073305682 /nfs/dbraw/zinc/30/56/82/1073305682.db2.gz ARSKYTNJCRRKLJ-LJQANCHMSA-N 0 0 425.555 -0.281 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(c2cnccn2)CC1 ZINC000479956564 1073303778 /nfs/dbraw/zinc/30/37/78/1073303778.db2.gz HDXZWUDPSKZNGY-GOSISDBHSA-N 0 0 438.536 -0.153 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(c2cnccn2)CC1 ZINC000479956566 1073303823 /nfs/dbraw/zinc/30/38/23/1073303823.db2.gz HDXZWUDPSKZNGY-SFHVURJKSA-N 0 0 438.536 -0.153 20 0 IBADRN CNC(=O)CN(C)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000479956761 1073303902 /nfs/dbraw/zinc/30/39/02/1073303902.db2.gz MODADYDCDFRYPQ-UHFFFAOYSA-N 0 0 440.522 -0.487 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC[C@H](N2CCOCC2)C1 ZINC000479957194 1073303884 /nfs/dbraw/zinc/30/38/84/1073303884.db2.gz HYFHFSNKDICPOB-MOPGFXCFSA-N 0 0 430.553 -0.359 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC[C@H](N2CCOCC2)C1 ZINC000479957195 1073303810 /nfs/dbraw/zinc/30/38/10/1073303810.db2.gz HYFHFSNKDICPOB-OALUTQOASA-N 0 0 430.553 -0.359 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC[C@@H](N2CCOCC2)C1 ZINC000479957196 1073303862 /nfs/dbraw/zinc/30/38/62/1073303862.db2.gz HYFHFSNKDICPOB-RBUKOAKNSA-N 0 0 430.553 -0.359 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC[C@@H](N2CCOCC2)C1 ZINC000479957197 1073303841 /nfs/dbraw/zinc/30/38/41/1073303841.db2.gz HYFHFSNKDICPOB-RTBURBONSA-N 0 0 430.553 -0.359 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479960675 1073304327 /nfs/dbraw/zinc/30/43/27/1073304327.db2.gz GEONQOSIICWJMR-JXFKEZNVSA-N 0 0 428.599 -0.386 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479960678 1073304277 /nfs/dbraw/zinc/30/42/77/1073304277.db2.gz GEONQOSIICWJMR-OXJNMPFZSA-N 0 0 428.599 -0.386 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000479960680 1073304153 /nfs/dbraw/zinc/30/41/53/1073304153.db2.gz GEONQOSIICWJMR-OXQOHEQNSA-N 0 0 428.599 -0.386 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000479960682 1073304350 /nfs/dbraw/zinc/30/43/50/1073304350.db2.gz GEONQOSIICWJMR-UZLBHIALSA-N 0 0 428.599 -0.386 20 0 IBADRN COCCN(C(=O)CN1C(=O)N[C@]2(CC(C)(C)OC2(C)C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000479960866 1073304273 /nfs/dbraw/zinc/30/42/73/1073304273.db2.gz UJXWGOMFLUHRNS-BFUOFWGJSA-N 0 0 445.538 -0.083 20 0 IBADRN COCCN(C(=O)CN1C(=O)N[C@@]2(CC(C)(C)OC2(C)C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000479960871 1073304371 /nfs/dbraw/zinc/30/43/71/1073304371.db2.gz UJXWGOMFLUHRNS-DJJJIMSYSA-N 0 0 445.538 -0.083 20 0 IBADRN COCCN(C(=O)CN1C(=O)N[C@]2(CC(C)(C)OC2(C)C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000479960875 1073304265 /nfs/dbraw/zinc/30/42/65/1073304265.db2.gz UJXWGOMFLUHRNS-ORAYPTAESA-N 0 0 445.538 -0.083 20 0 IBADRN COCCN(C(=O)CN1C(=O)N[C@@]2(CC(C)(C)OC2(C)C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000479960877 1073304364 /nfs/dbraw/zinc/30/43/64/1073304364.db2.gz UJXWGOMFLUHRNS-YJYMSZOUSA-N 0 0 445.538 -0.083 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NC[C@]1(N3CCOCC3)CCSC1)CC2 ZINC000479961853 1073305534 /nfs/dbraw/zinc/30/55/34/1073305534.db2.gz GWSROOJDVAAWFK-AUUYWEPGSA-N 0 0 438.554 -0.820 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NC[C@]1(N3CCOCC3)CCSC1)CC2 ZINC000479961854 1073305548 /nfs/dbraw/zinc/30/55/48/1073305548.db2.gz GWSROOJDVAAWFK-IFXJQAMLSA-N 0 0 438.554 -0.820 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NC[C@@]1(N3CCOCC3)CCSC1)CC2 ZINC000479961855 1073306141 /nfs/dbraw/zinc/30/61/41/1073306141.db2.gz GWSROOJDVAAWFK-KUHUBIRLSA-N 0 0 438.554 -0.820 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NC[C@@]1(N3CCOCC3)CCSC1)CC2 ZINC000479961856 1073306065 /nfs/dbraw/zinc/30/60/65/1073306065.db2.gz GWSROOJDVAAWFK-LIRRHRJNSA-N 0 0 438.554 -0.820 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000479961911 1073306048 /nfs/dbraw/zinc/30/60/48/1073306048.db2.gz HGKXJLKYTXLTNW-IBGZPJMESA-N 0 0 438.529 -0.412 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000479961917 1073305940 /nfs/dbraw/zinc/30/59/40/1073305940.db2.gz HGKXJLKYTXLTNW-LJQANCHMSA-N 0 0 438.529 -0.412 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCC1(N2CCOCC2)CC1 ZINC000479963627 1073306018 /nfs/dbraw/zinc/30/60/18/1073306018.db2.gz MAVFJNXDPAKVTL-GOSISDBHSA-N 0 0 430.553 -0.311 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCC1(N2CCOCC2)CC1 ZINC000479963629 1073306054 /nfs/dbraw/zinc/30/60/54/1073306054.db2.gz MAVFJNXDPAKVTL-SFHVURJKSA-N 0 0 430.553 -0.311 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCCN1CCOCC1(C)C ZINC000479964960 1073305951 /nfs/dbraw/zinc/30/59/51/1073305951.db2.gz NDSRNYXHCSPKCE-GOSISDBHSA-N 0 0 432.569 -0.065 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCCN1CCOCC1(C)C ZINC000479964963 1073306148 /nfs/dbraw/zinc/30/61/48/1073306148.db2.gz NDSRNYXHCSPKCE-SFHVURJKSA-N 0 0 432.569 -0.065 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N[C@H]1CC(=O)N2CCCC[C@H]12 ZINC000479966258 1073306112 /nfs/dbraw/zinc/30/61/12/1073306112.db2.gz NUBHZLRTDOLMDX-FGTMMUONSA-N 0 0 428.537 -0.022 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N[C@@H]1CC(=O)N2CCCC[C@@H]12 ZINC000479966260 1073305971 /nfs/dbraw/zinc/30/59/71/1073305971.db2.gz NUBHZLRTDOLMDX-KURKYZTESA-N 0 0 428.537 -0.022 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N[C@@H]1CC(=O)N2CCCC[C@H]12 ZINC000479966262 1073306128 /nfs/dbraw/zinc/30/61/28/1073306128.db2.gz NUBHZLRTDOLMDX-KZNAEPCWSA-N 0 0 428.537 -0.022 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N[C@H]1CC(=O)N2CCCC[C@@H]12 ZINC000479966264 1073306067 /nfs/dbraw/zinc/30/60/67/1073306067.db2.gz NUBHZLRTDOLMDX-SQNIBIBYSA-N 0 0 428.537 -0.022 20 0 IBADRN O=C(CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1)N1CCCC1 ZINC000479968335 1073306653 /nfs/dbraw/zinc/30/66/53/1073306653.db2.gz RPIMUVZIHGLJKZ-UHFFFAOYSA-N 0 0 443.552 -0.328 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1 ZINC000479968676 1073306671 /nfs/dbraw/zinc/30/66/71/1073306671.db2.gz PREBAHRXCUGNQI-CEXWTWQISA-N 0 0 449.552 -0.355 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000479968679 1073306635 /nfs/dbraw/zinc/30/66/35/1073306635.db2.gz PREBAHRXCUGNQI-GBESFXJTSA-N 0 0 449.552 -0.355 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1 ZINC000479968682 1073306728 /nfs/dbraw/zinc/30/67/28/1073306728.db2.gz PREBAHRXCUGNQI-GUDVDZBRSA-N 0 0 449.552 -0.355 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000479968684 1073306704 /nfs/dbraw/zinc/30/67/04/1073306704.db2.gz PREBAHRXCUGNQI-IPMKNSEASA-N 0 0 449.552 -0.355 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CCO1 ZINC000479968697 1073306693 /nfs/dbraw/zinc/30/66/93/1073306693.db2.gz PSMKREWKSIFVPP-AEFFLSMTSA-N 0 0 432.525 -0.928 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CCO1 ZINC000479968706 1073306713 /nfs/dbraw/zinc/30/67/13/1073306713.db2.gz PSMKREWKSIFVPP-FUHWJXTLSA-N 0 0 432.525 -0.928 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CCO1 ZINC000479968710 1073306521 /nfs/dbraw/zinc/30/65/21/1073306521.db2.gz PSMKREWKSIFVPP-SJLPKXTDSA-N 0 0 432.525 -0.928 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CCO1 ZINC000479968714 1073306572 /nfs/dbraw/zinc/30/65/72/1073306572.db2.gz PSMKREWKSIFVPP-WMZOPIPTSA-N 0 0 432.525 -0.928 20 0 IBADRN O=C(CCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)N1CCN(c2ccccn2)CC1 ZINC000479969210 1073306680 /nfs/dbraw/zinc/30/66/80/1073306680.db2.gz WNAYJAULUSGGLV-GOSISDBHSA-N 0 0 449.577 -0.158 20 0 IBADRN O=C(CCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)N1CCN(c2ccccn2)CC1 ZINC000479969211 1073306539 /nfs/dbraw/zinc/30/65/39/1073306539.db2.gz WNAYJAULUSGGLV-SFHVURJKSA-N 0 0 449.577 -0.158 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000479969967 1073306604 /nfs/dbraw/zinc/30/66/04/1073306604.db2.gz XWMNQYCVOQUJJE-UHFFFAOYSA-N 0 0 431.541 -0.426 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)CC2)n1 ZINC000479971150 1074352171 /nfs/dbraw/zinc/35/21/71/1074352171.db2.gz ODIYABYXPDPMLU-KRWDZBQOSA-N 0 0 441.583 -0.907 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)CC2)n1 ZINC000479971151 1074352116 /nfs/dbraw/zinc/35/21/16/1074352116.db2.gz ODIYABYXPDPMLU-QGZVFWFLSA-N 0 0 441.583 -0.907 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000479971522 1073307135 /nfs/dbraw/zinc/30/71/35/1073307135.db2.gz SVZUVPPTFMHCCG-GOSISDBHSA-N 0 0 438.536 -0.153 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000479971523 1073307175 /nfs/dbraw/zinc/30/71/75/1073307175.db2.gz SVZUVPPTFMHCCG-SFHVURJKSA-N 0 0 438.536 -0.153 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000479971941 1073306616 /nfs/dbraw/zinc/30/66/16/1073306616.db2.gz UTSFCAXTBVUFOT-GOSISDBHSA-N 0 0 440.552 -0.224 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000479971942 1073306558 /nfs/dbraw/zinc/30/65/58/1073306558.db2.gz UTSFCAXTBVUFOT-SFHVURJKSA-N 0 0 440.552 -0.224 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479972002 1073306665 /nfs/dbraw/zinc/30/66/65/1073306665.db2.gz QLMYIDOOLKFQFJ-JXFKEZNVSA-N 0 0 436.582 -0.171 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479972003 1073306998 /nfs/dbraw/zinc/30/69/98/1073306998.db2.gz QLMYIDOOLKFQFJ-OXJNMPFZSA-N 0 0 436.582 -0.171 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479972004 1073306963 /nfs/dbraw/zinc/30/69/63/1073306963.db2.gz QLMYIDOOLKFQFJ-OXQOHEQNSA-N 0 0 436.582 -0.171 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479972005 1073307032 /nfs/dbraw/zinc/30/70/32/1073307032.db2.gz QLMYIDOOLKFQFJ-UZLBHIALSA-N 0 0 436.582 -0.171 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000479972737 1073307160 /nfs/dbraw/zinc/30/71/60/1073307160.db2.gz SXKMDPPDTHZQKR-FQEVSTJZSA-N 0 0 441.598 -0.037 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000479972739 1073307061 /nfs/dbraw/zinc/30/70/61/1073307061.db2.gz SXKMDPPDTHZQKR-HXUWFJFHSA-N 0 0 441.598 -0.037 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000479972830 1073306948 /nfs/dbraw/zinc/30/69/48/1073306948.db2.gz WLCOPLTYLUMXKF-IBGZPJMESA-N 0 0 432.569 -0.111 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000479972831 1073306983 /nfs/dbraw/zinc/30/69/83/1073306983.db2.gz WLCOPLTYLUMXKF-LJQANCHMSA-N 0 0 432.569 -0.111 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000479972892 1073307049 /nfs/dbraw/zinc/30/70/49/1073307049.db2.gz WXXXFMKVBIKZAP-AEFFLSMTSA-N 0 0 443.552 -0.229 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000479972893 1073307097 /nfs/dbraw/zinc/30/70/97/1073307097.db2.gz WXXXFMKVBIKZAP-FUHWJXTLSA-N 0 0 443.552 -0.229 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000479972894 1073307002 /nfs/dbraw/zinc/30/70/02/1073307002.db2.gz WXXXFMKVBIKZAP-SJLPKXTDSA-N 0 0 443.552 -0.229 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000479972895 1073307037 /nfs/dbraw/zinc/30/70/37/1073307037.db2.gz WXXXFMKVBIKZAP-WMZOPIPTSA-N 0 0 443.552 -0.229 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1=O ZINC000479973108 1073306970 /nfs/dbraw/zinc/30/69/70/1073306970.db2.gz XNTAYKNVVNMZFO-GOSISDBHSA-N 0 0 446.552 -0.584 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1=O ZINC000479973109 1073307071 /nfs/dbraw/zinc/30/70/71/1073307071.db2.gz XNTAYKNVVNMZFO-SFHVURJKSA-N 0 0 446.552 -0.584 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCCN([C@H](C)c2ccccc2F)C1=O)S(N)(=O)=O ZINC000479982377 1073308615 /nfs/dbraw/zinc/30/86/15/1073308615.db2.gz RFRQFZDXWARMRD-JMSVASOKSA-N 0 0 428.486 -0.213 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN([C@H](C)c2ccccc2F)C1=O)S(N)(=O)=O ZINC000479982383 1073308598 /nfs/dbraw/zinc/30/85/98/1073308598.db2.gz RFRQFZDXWARMRD-LALPHHSUSA-N 0 0 428.486 -0.213 20 0 IBADRN C[C@H](c1ccccc1F)N1CCC[C@@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C1=O ZINC000479982385 1073308441 /nfs/dbraw/zinc/30/84/41/1073308441.db2.gz RFRQFZDXWARMRD-YWPYICTPSA-N 0 0 428.486 -0.213 20 0 IBADRN C[C@H](c1ccccc1F)N1CCC[C@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C1=O ZINC000479982387 1073308580 /nfs/dbraw/zinc/30/85/80/1073308580.db2.gz RFRQFZDXWARMRD-ZOWXZIJZSA-N 0 0 428.486 -0.213 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1)[C@H](C)CS(C)(=O)=O ZINC000479983989 1073308450 /nfs/dbraw/zinc/30/84/50/1073308450.db2.gz PUEYCYGFIGSJAH-DLBZAZTESA-N 0 0 439.582 -0.010 20 0 IBADRN CCN(C(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1)[C@H](C)CS(C)(=O)=O ZINC000479983992 1073308520 /nfs/dbraw/zinc/30/85/20/1073308520.db2.gz PUEYCYGFIGSJAH-IAGOWNOFSA-N 0 0 439.582 -0.010 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1)[C@@H](C)CS(C)(=O)=O ZINC000479983995 1073308405 /nfs/dbraw/zinc/30/84/05/1073308405.db2.gz PUEYCYGFIGSJAH-IRXDYDNUSA-N 0 0 439.582 -0.010 20 0 IBADRN CCN(C(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1)[C@@H](C)CS(C)(=O)=O ZINC000479983998 1073308575 /nfs/dbraw/zinc/30/85/75/1073308575.db2.gz PUEYCYGFIGSJAH-SJORKVTESA-N 0 0 439.582 -0.010 20 0 IBADRN Cc1noc(C)c1CN1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000479984318 1073308460 /nfs/dbraw/zinc/30/84/60/1073308460.db2.gz SWOQVYHVOQPRAL-UHFFFAOYSA-N 0 0 429.481 -0.227 20 0 IBADRN COCCOC1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000479985642 1073307661 /nfs/dbraw/zinc/30/76/61/1073307661.db2.gz HPTAQYXLRBEVKC-INIZCTEOSA-N 0 0 434.536 -0.358 20 0 IBADRN COCCOC1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000479985645 1073307632 /nfs/dbraw/zinc/30/76/32/1073307632.db2.gz HPTAQYXLRBEVKC-MRXNPFEDSA-N 0 0 434.536 -0.358 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1)C(=O)N1CCOCC1 ZINC000479989809 1073307935 /nfs/dbraw/zinc/30/79/35/1073307935.db2.gz TXHXGAYRZVIODP-MSOLQXFVSA-N 0 0 446.552 -0.538 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1)C(=O)N1CCOCC1 ZINC000479989846 1073308007 /nfs/dbraw/zinc/30/80/07/1073308007.db2.gz TXHXGAYRZVIODP-QZTJIDSGSA-N 0 0 446.552 -0.538 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1)C(=O)N1CCOCC1 ZINC000479989853 1073308057 /nfs/dbraw/zinc/30/80/57/1073308057.db2.gz TXHXGAYRZVIODP-ROUUACIJSA-N 0 0 446.552 -0.538 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1)C(=O)N1CCOCC1 ZINC000479989859 1073308091 /nfs/dbraw/zinc/30/80/91/1073308091.db2.gz TXHXGAYRZVIODP-ZWKOTPCHSA-N 0 0 446.552 -0.538 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000479993268 1073307902 /nfs/dbraw/zinc/30/79/02/1073307902.db2.gz WWEOPCNZPHRSBG-UHFFFAOYSA-N 0 0 436.498 -0.264 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479999934 1073309393 /nfs/dbraw/zinc/30/93/93/1073309393.db2.gz CAIBEKSRRQGLOT-BEFAXECRSA-N 0 0 425.555 -0.627 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479999940 1073309413 /nfs/dbraw/zinc/30/94/13/1073309413.db2.gz CAIBEKSRRQGLOT-DNVCBOLYSA-N 0 0 425.555 -0.627 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000479999943 1073309400 /nfs/dbraw/zinc/30/94/00/1073309400.db2.gz CAIBEKSRRQGLOT-HNAYVOBHSA-N 0 0 425.555 -0.627 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000479999945 1073309458 /nfs/dbraw/zinc/30/94/58/1073309458.db2.gz CAIBEKSRRQGLOT-KXBFYZLASA-N 0 0 425.555 -0.627 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)CC2)cn1 ZINC000480000255 1073309424 /nfs/dbraw/zinc/30/94/24/1073309424.db2.gz CXEXZNBGKWJTHN-FQEVSTJZSA-N 0 0 436.582 -0.612 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)CC2)cn1 ZINC000480000256 1073309358 /nfs/dbraw/zinc/30/93/58/1073309358.db2.gz CXEXZNBGKWJTHN-HXUWFJFHSA-N 0 0 436.582 -0.612 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cccc(Br)c2)c(=O)n(C)c1=O ZINC000480000574 1073309429 /nfs/dbraw/zinc/30/94/29/1073309429.db2.gz UETKDPSLRCLBJR-UHFFFAOYSA-N 0 0 431.268 -0.237 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)CC2)n1 ZINC000480002049 1073309309 /nfs/dbraw/zinc/30/93/09/1073309309.db2.gz NWCKSYMJSPBSSJ-FQEVSTJZSA-N 0 0 434.566 -0.242 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)CC2)n1 ZINC000480002050 1073309465 /nfs/dbraw/zinc/30/94/65/1073309465.db2.gz NWCKSYMJSPBSSJ-HXUWFJFHSA-N 0 0 434.566 -0.242 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000480003758 1073309859 /nfs/dbraw/zinc/30/98/59/1073309859.db2.gz YHWOBEOJSSHKHO-IBGZPJMESA-N 0 0 425.555 -0.069 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000480003759 1073309884 /nfs/dbraw/zinc/30/98/84/1073309884.db2.gz YHWOBEOJSSHKHO-LJQANCHMSA-N 0 0 425.555 -0.069 20 0 IBADRN COc1c(CNC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)c(C)nn1C ZINC000480005332 1073308564 /nfs/dbraw/zinc/30/85/64/1073308564.db2.gz AVGCEFADTQRIET-UHFFFAOYSA-N 0 0 428.493 -0.182 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(c3cncc4nc(C)nn43)CC2)c(C)nn1C ZINC000480006066 1073308472 /nfs/dbraw/zinc/30/84/72/1073308472.db2.gz DVKBOYACRXLAHT-UHFFFAOYSA-N 0 0 427.469 -0.552 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000480007552 1073308971 /nfs/dbraw/zinc/30/89/71/1073308971.db2.gz KBBLBARRRYHRMU-AWEZNQCLSA-N 0 0 426.477 -0.083 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000480007553 1073308964 /nfs/dbraw/zinc/30/89/64/1073308964.db2.gz KBBLBARRRYHRMU-CQSZACIVSA-N 0 0 426.477 -0.083 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)c(C)nn1C ZINC000480007606 1073308993 /nfs/dbraw/zinc/30/89/93/1073308993.db2.gz KRROWHBLVMFUQH-UHFFFAOYSA-N 0 0 434.541 -0.100 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000480015382 1073310349 /nfs/dbraw/zinc/31/03/49/1073310349.db2.gz IBYDJEVIQVWKCZ-AWEZNQCLSA-N 0 0 446.595 -0.263 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000480015403 1073310380 /nfs/dbraw/zinc/31/03/80/1073310380.db2.gz IBYDJEVIQVWKCZ-CQSZACIVSA-N 0 0 446.595 -0.263 20 0 IBADRN CCc1nn(C)c(CC)c1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000480015428 1073310331 /nfs/dbraw/zinc/31/03/31/1073310331.db2.gz MAONIHQVEQBURB-HNNXBMFYSA-N 0 0 439.582 -0.465 20 0 IBADRN CCc1nn(C)c(CC)c1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000480015439 1073310276 /nfs/dbraw/zinc/31/02/76/1073310276.db2.gz MAONIHQVEQBURB-OAHLLOKOSA-N 0 0 439.582 -0.465 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2c(C)nn(C)c2OC)cn1 ZINC000480018937 1073310715 /nfs/dbraw/zinc/31/07/15/1073310715.db2.gz ZZUQUZPRQIGWFA-HNNXBMFYSA-N 0 0 438.510 -0.432 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2c(C)nn(C)c2OC)cn1 ZINC000480018938 1073310649 /nfs/dbraw/zinc/31/06/49/1073310649.db2.gz ZZUQUZPRQIGWFA-OAHLLOKOSA-N 0 0 438.510 -0.432 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(c2cnn(C)c2)CC1 ZINC000480024573 1073311747 /nfs/dbraw/zinc/31/17/47/1073311747.db2.gz KJXUBNVSALGRLT-GOSISDBHSA-N 0 0 440.552 -0.209 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CCN(c2cnn(C)c2)CC1 ZINC000480024574 1073311870 /nfs/dbraw/zinc/31/18/70/1073311870.db2.gz KJXUBNVSALGRLT-SFHVURJKSA-N 0 0 440.552 -0.209 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000480024963 1073311229 /nfs/dbraw/zinc/31/12/29/1073311229.db2.gz LDJBPTYZUQIKDS-FGTMMUONSA-N 0 0 428.537 -0.022 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000480024964 1073311836 /nfs/dbraw/zinc/31/18/36/1073311836.db2.gz LDJBPTYZUQIKDS-KURKYZTESA-N 0 0 428.537 -0.022 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000480024965 1073311889 /nfs/dbraw/zinc/31/18/89/1073311889.db2.gz LDJBPTYZUQIKDS-KZNAEPCWSA-N 0 0 428.537 -0.022 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000480024966 1073311639 /nfs/dbraw/zinc/31/16/39/1073311639.db2.gz LDJBPTYZUQIKDS-SQNIBIBYSA-N 0 0 428.537 -0.022 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000480027298 1073311668 /nfs/dbraw/zinc/31/16/68/1073311668.db2.gz DAQHCCIEPWHFGE-KRWDZBQOSA-N 0 0 442.520 -0.029 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000480027299 1073311885 /nfs/dbraw/zinc/31/18/85/1073311885.db2.gz DAQHCCIEPWHFGE-QGZVFWFLSA-N 0 0 442.520 -0.029 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccnn2C(C)C)c(=O)n1CC(=O)N1CCOCC1 ZINC000480027832 1073312120 /nfs/dbraw/zinc/31/21/20/1073312120.db2.gz CKFFOHDDFCRJIO-UHFFFAOYSA-N 0 0 434.518 -0.282 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(C[C@H](O)COc3ccccc3)CC2)c(C)nn1C ZINC000480028215 1073311900 /nfs/dbraw/zinc/31/19/00/1073311900.db2.gz HWOUXKGYJMDBOT-KRWDZBQOSA-N 0 0 445.520 -0.063 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(C[C@@H](O)COc3ccccc3)CC2)c(C)nn1C ZINC000480028216 1073311728 /nfs/dbraw/zinc/31/17/28/1073311728.db2.gz HWOUXKGYJMDBOT-QGZVFWFLSA-N 0 0 445.520 -0.063 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000480031961 1073312281 /nfs/dbraw/zinc/31/22/81/1073312281.db2.gz UKFWMIIWNGGWPE-UHFFFAOYSA-N 0 0 425.507 -0.285 20 0 IBADRN CCS(=O)(=O)N1CCN(c2nc(C)nc(N3CCN(S(=O)(=O)CC)CC3)n2)CC1 ZINC000480032651 1073312164 /nfs/dbraw/zinc/31/21/64/1073312164.db2.gz ZFZIJPKPLKVNHL-UHFFFAOYSA-N 0 0 447.587 -0.877 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nnn1Cc1ccc(F)cc1F ZINC000480034313 1073311261 /nfs/dbraw/zinc/31/12/61/1073311261.db2.gz CVLZJBUMMOTPOL-UHFFFAOYSA-N 0 0 431.407 -0.116 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(c2cc(N3CCN(C)C(=O)C3)ncn2)CC1 ZINC000480038683 1073312815 /nfs/dbraw/zinc/31/28/15/1073312815.db2.gz BTVNNDMEAOLDSZ-UHFFFAOYSA-N 0 0 445.549 -0.010 20 0 IBADRN Cc1nc(N[C@H]2CCCN(S(C)(=O)=O)C2)nc(N[C@H]2CCCN(S(C)(=O)=O)C2)n1 ZINC000480040092 1073312843 /nfs/dbraw/zinc/31/28/43/1073312843.db2.gz VWDUGJMOUWSVAF-KBPBESRZSA-N 0 0 447.587 -0.148 20 0 IBADRN Cc1nc(N[C@@H]2CCCN(S(C)(=O)=O)C2)nc(N[C@H]2CCCN(S(C)(=O)=O)C2)n1 ZINC000480040094 1073312750 /nfs/dbraw/zinc/31/27/50/1073312750.db2.gz VWDUGJMOUWSVAF-OKILXGFUSA-N 0 0 447.587 -0.148 20 0 IBADRN Cc1nc(N[C@@H]2CCCN(S(C)(=O)=O)C2)nc(N[C@@H]2CCCN(S(C)(=O)=O)C2)n1 ZINC000480040095 1073312790 /nfs/dbraw/zinc/31/27/90/1073312790.db2.gz VWDUGJMOUWSVAF-ZIAGYGMSSA-N 0 0 447.587 -0.148 20 0 IBADRN C[C@]1(C2CCN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)CC2)NC(=O)NC1=O ZINC000480043826 1073313356 /nfs/dbraw/zinc/31/33/56/1073313356.db2.gz LYGDAZQPYVPBGE-JOCHJYFZSA-N 0 0 439.472 -0.105 20 0 IBADRN C[C@@]1(C2CCN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)CC2)NC(=O)NC1=O ZINC000480043828 1073313308 /nfs/dbraw/zinc/31/33/08/1073313308.db2.gz LYGDAZQPYVPBGE-QFIPXVFZSA-N 0 0 439.472 -0.105 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)N3C[C@@H](O)C[C@H]3CO)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000480044502 1073313298 /nfs/dbraw/zinc/31/32/98/1073313298.db2.gz NCPKRYOISPCPLD-RYUDHWBXSA-N 0 0 440.412 -0.003 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)c(C)nn1C ZINC000480047681 1073313258 /nfs/dbraw/zinc/31/32/58/1073313258.db2.gz VYQYVRHZWLTAHC-UHFFFAOYSA-N 0 0 434.541 -0.054 20 0 IBADRN CN1CCN(c2cc(NCCC(=O)N3CCN(c4ncccn4)CC3)ncn2)CC1=O ZINC000480050572 1073313798 /nfs/dbraw/zinc/31/37/98/1073313798.db2.gz WVMJAQMJVIBOHK-UHFFFAOYSA-N 0 0 425.497 -0.304 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)N1C[C@@H](O)C[C@H]1CO ZINC000480054337 1073313820 /nfs/dbraw/zinc/31/38/20/1073313820.db2.gz BZQCLLBVLHRKGW-KBPBESRZSA-N 0 0 439.490 -0.277 20 0 IBADRN COC(=O)C[C@H]1CN(c2cc(N3CCO[C@@H](CC(=O)OC)C3)n3ncnc3n2)CCO1 ZINC000480057417 1073313813 /nfs/dbraw/zinc/31/38/13/1073313813.db2.gz CQIORGZVOXIZBI-KBPBESRZSA-N 0 0 434.453 -0.339 20 0 IBADRN COC(=O)C[C@@H]1CN(c2cc(N3CCO[C@@H](CC(=O)OC)C3)n3ncnc3n2)CCO1 ZINC000480057425 1073313758 /nfs/dbraw/zinc/31/37/58/1073313758.db2.gz CQIORGZVOXIZBI-KGLIPLIRSA-N 0 0 434.453 -0.339 20 0 IBADRN COC(=O)C[C@@H]1CN(c2cc(N3CCO[C@@H](CC(=O)OC)C3)nc3ncnn32)CCO1 ZINC000480057428 1073313683 /nfs/dbraw/zinc/31/36/83/1073313683.db2.gz CQIORGZVOXIZBI-UONOGXRCSA-N 0 0 434.453 -0.339 20 0 IBADRN COC(=O)C[C@@H]1CN(c2cc(N3CCO[C@H](CC(=O)OC)C3)n3ncnc3n2)CCO1 ZINC000480057429 1073313721 /nfs/dbraw/zinc/31/37/21/1073313721.db2.gz CQIORGZVOXIZBI-ZIAGYGMSSA-N 0 0 434.453 -0.339 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@@H](O)C[C@H]1CO ZINC000480057522 1073314191 /nfs/dbraw/zinc/31/41/91/1073314191.db2.gz IONVFHKPCKFGBT-GJZGRUSLSA-N 0 0 426.491 -0.187 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@@H](O)C[C@H]3CO)CC2)o1 ZINC000480060375 1073314276 /nfs/dbraw/zinc/31/42/76/1073314276.db2.gz SFYNJSZEVCLWBX-KBPBESRZSA-N 0 0 430.479 -0.189 20 0 IBADRN Cc1cc(C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000480060715 1073313225 /nfs/dbraw/zinc/31/32/25/1073313225.db2.gz ARWATIVGTIVDRV-FQEVSTJZSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1cc(C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000480060718 1073313343 /nfs/dbraw/zinc/31/33/43/1073313343.db2.gz ARWATIVGTIVDRV-HXUWFJFHSA-N 0 0 428.449 -0.609 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCc3c(C)nn(C)c3OC)C2)nc1 ZINC000480061525 1073313237 /nfs/dbraw/zinc/31/32/37/1073313237.db2.gz BGAYMLUQYCDOBL-UHFFFAOYSA-N 0 0 430.465 -0.070 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)N1C[C@@H](O)C[C@H]1CO)Nc1cccc(Br)c1 ZINC000480063017 1073313792 /nfs/dbraw/zinc/31/37/92/1073313792.db2.gz VWYGPPFYGQMUJI-STQMWFEESA-N 0 0 435.296 -0.244 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3C[C@@H](O)C[C@H]3CO)CC2)cc1 ZINC000480063383 1073313826 /nfs/dbraw/zinc/31/38/26/1073313826.db2.gz SMRQTDLCUGLDQD-IRXDYDNUSA-N 0 0 439.534 -0.438 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@@H](O)C[C@H]1CO ZINC000480065291 1073313854 /nfs/dbraw/zinc/31/38/54/1073313854.db2.gz ZYRDPAGGHIZDCG-HOTGVXAUSA-N 0 0 425.507 -0.746 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c(C)nn1C ZINC000480066044 1073314335 /nfs/dbraw/zinc/31/43/35/1073314335.db2.gz HLXWBIACPNRVON-UHFFFAOYSA-N 0 0 436.494 -0.719 20 0 IBADRN COc1c(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)c(C)nn1C ZINC000480066246 1073314297 /nfs/dbraw/zinc/31/42/97/1073314297.db2.gz ICRZPFLIUHCDBT-UHFFFAOYSA-N 0 0 443.508 -0.397 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000480066963 1073314601 /nfs/dbraw/zinc/31/46/01/1073314601.db2.gz FZFVQJBIAPJCFK-HNNXBMFYSA-N 0 0 438.444 -0.185 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000480069782 1073314620 /nfs/dbraw/zinc/31/46/20/1073314620.db2.gz LZUJADAZOZXYGT-UHFFFAOYSA-N 0 0 440.522 -0.466 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000480071172 1073314627 /nfs/dbraw/zinc/31/46/27/1073314627.db2.gz PTSPFUXTFJKHPY-HNNXBMFYSA-N 0 0 438.444 -0.185 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000480074587 1073314314 /nfs/dbraw/zinc/31/43/14/1073314314.db2.gz ZJEVVHMUCCWZLO-UHFFFAOYSA-N 0 0 439.538 -0.279 20 0 IBADRN O=C(CNS(=O)(=O)N1CCS(=O)(=O)CC1)Nc1cccc(Br)c1 ZINC000480076086 1073314166 /nfs/dbraw/zinc/31/41/66/1073314166.db2.gz WIILIRUWXAODJQ-UHFFFAOYSA-N 0 0 426.314 -0.048 20 0 IBADRN COC[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)C(=O)OC ZINC000480076988 1073314208 /nfs/dbraw/zinc/31/42/08/1073314208.db2.gz YNSIYHWWEVQQJQ-LLVKDONJSA-N 0 0 434.414 -0.007 20 0 IBADRN COC[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)C(=O)OC ZINC000480076989 1073314249 /nfs/dbraw/zinc/31/42/49/1073314249.db2.gz YNSIYHWWEVQQJQ-NSHDSACASA-N 0 0 434.414 -0.007 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N1C[C@@H](O)C[C@H]1CO ZINC000480079114 1073314345 /nfs/dbraw/zinc/31/43/45/1073314345.db2.gz GKZYUDNSELHACO-HOTGVXAUSA-N 0 0 443.497 -0.607 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)c(F)c2)CC1 ZINC000480082960 1073315479 /nfs/dbraw/zinc/31/54/79/1073315479.db2.gz MXRYDRVEOQQJER-UHFFFAOYSA-N 0 0 432.456 -0.143 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)c(F)c2)CC1 ZINC000480086987 1073315138 /nfs/dbraw/zinc/31/51/38/1073315138.db2.gz QLIDUYBBINRUKS-UHFFFAOYSA-N 0 0 427.400 -0.693 20 0 IBADRN COc1cncc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000480087369 1073315242 /nfs/dbraw/zinc/31/52/42/1073315242.db2.gz BDYHQKPYLSCPJX-UHFFFAOYSA-N 0 0 433.446 -0.037 20 0 IBADRN CNC(=O)CN1CCC(Nc2nc(C)nc(NC3CCN(CC(=O)NC)CC3)n2)CC1 ZINC000480090350 1074352262 /nfs/dbraw/zinc/35/22/62/1074352262.db2.gz WGYREDXHNGFDEP-UHFFFAOYSA-N 0 0 433.561 -0.575 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000480099178 1073316021 /nfs/dbraw/zinc/31/60/21/1073316021.db2.gz MGWFRRXSOZRUAI-KRWDZBQOSA-N 0 0 442.520 -0.029 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000480099180 1073316064 /nfs/dbraw/zinc/31/60/64/1073316064.db2.gz MGWFRRXSOZRUAI-QGZVFWFLSA-N 0 0 442.520 -0.029 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)c(C)nn1C ZINC000480099611 1073315973 /nfs/dbraw/zinc/31/59/73/1073315973.db2.gz MZFWOJAYVVILNV-HNNXBMFYSA-N 0 0 434.541 -0.101 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)c(C)nn1C ZINC000480099612 1073316010 /nfs/dbraw/zinc/31/60/10/1073316010.db2.gz MZFWOJAYVVILNV-OAHLLOKOSA-N 0 0 434.541 -0.101 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(C)nn1C ZINC000480099664 1073316042 /nfs/dbraw/zinc/31/60/42/1073316042.db2.gz UCCHHCIFZLGHMQ-AWEZNQCLSA-N 0 0 443.526 -0.994 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(C)nn1C ZINC000480099666 1073316028 /nfs/dbraw/zinc/31/60/28/1073316028.db2.gz UCCHHCIFZLGHMQ-CQSZACIVSA-N 0 0 443.526 -0.994 20 0 IBADRN CN1CCN(c2cc(N3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)ncn2)CC1=O ZINC000480103439 1073316032 /nfs/dbraw/zinc/31/60/32/1073316032.db2.gz CDDOPBGZTCKZCU-UHFFFAOYSA-N 0 0 431.522 -0.271 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)CCC(=O)NCC(N)=O)CC1 ZINC000480103923 1073316003 /nfs/dbraw/zinc/31/60/03/1073316003.db2.gz HCMFPMRAEONDJC-UHFFFAOYSA-N 0 0 446.913 -0.437 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)[C@H](C)[C@H](C)C(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000480106547 1073315999 /nfs/dbraw/zinc/31/59/99/1073315999.db2.gz CVOWUJDKFKFDOX-KARBZBNUSA-N 0 0 428.482 -0.164 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)[C@@H](C)[C@@H](C)C(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000480106548 1073315969 /nfs/dbraw/zinc/31/59/69/1073315969.db2.gz CVOWUJDKFKFDOX-OHQCYIMMSA-N 0 0 428.482 -0.164 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)[C@@H](C)[C@@H](C)C(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000480106549 1073315944 /nfs/dbraw/zinc/31/59/44/1073315944.db2.gz CVOWUJDKFKFDOX-XFHWEBQZSA-N 0 0 428.482 -0.164 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)[C@@H](C)[C@@H](C)C(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000480106550 1073316061 /nfs/dbraw/zinc/31/60/61/1073316061.db2.gz CVOWUJDKFKFDOX-ZMLDCZPUSA-N 0 0 428.482 -0.164 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCc2nc(N)no2)c1OC ZINC000480106967 1073360011 /nfs/dbraw/zinc/36/00/11/1073360011.db2.gz CENFXSXXJQMFQD-UHFFFAOYSA-N 0 0 441.466 -0.338 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000480107139 1073315952 /nfs/dbraw/zinc/31/59/52/1073315952.db2.gz HNTGBVKWOUZRFJ-GOSISDBHSA-N 0 0 445.568 -0.036 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000480107142 1073315966 /nfs/dbraw/zinc/31/59/66/1073315966.db2.gz HNTGBVKWOUZRFJ-SFHVURJKSA-N 0 0 445.568 -0.036 20 0 IBADRN COC(=O)[C@H](C)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000480108897 1073315991 /nfs/dbraw/zinc/31/59/91/1073315991.db2.gz KXCOUIHBCLQNNQ-AWEZNQCLSA-N 0 0 441.506 -0.014 20 0 IBADRN CCOC(=O)C1(O)CCN(c2nc(C)nc(N3CCC(O)(C(=O)OCC)CC3)n2)CC1 ZINC000480117212 1073316337 /nfs/dbraw/zinc/31/63/37/1073316337.db2.gz JENOZQSUHBNZER-UHFFFAOYSA-N 0 0 437.497 -0.031 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000480119122 1073316426 /nfs/dbraw/zinc/31/64/26/1073316426.db2.gz RHKXJKDHELTVRB-INIZCTEOSA-N 0 0 445.524 -0.125 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000480119125 1073316396 /nfs/dbraw/zinc/31/63/96/1073316396.db2.gz RHKXJKDHELTVRB-MRXNPFEDSA-N 0 0 445.524 -0.125 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCC[C@@H]2c2nc(C(=O)NC3CC3)no2)c(C)nn1C ZINC000480119444 1073316444 /nfs/dbraw/zinc/31/64/44/1073316444.db2.gz DVXLWHDNZMZVHZ-CYBMUJFWSA-N 0 0 431.453 -0.008 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCC[C@H]2c2nc(C(=O)NC3CC3)no2)c(C)nn1C ZINC000480119445 1073316393 /nfs/dbraw/zinc/31/63/93/1073316393.db2.gz DVXLWHDNZMZVHZ-ZDUSSCGKSA-N 0 0 431.453 -0.008 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)N2CCN(CC(=O)NCCOC)CC2)C1=O ZINC000480120530 1073316354 /nfs/dbraw/zinc/31/63/54/1073316354.db2.gz PUKGICPBCYVHES-INIZCTEOSA-N 0 0 435.529 -0.512 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)N2CCN(CC(=O)NCCOC)CC2)C1=O ZINC000480120542 1073316457 /nfs/dbraw/zinc/31/64/57/1073316457.db2.gz PUKGICPBCYVHES-MRXNPFEDSA-N 0 0 435.529 -0.512 20 0 IBADRN COC(=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000480120616 1073316801 /nfs/dbraw/zinc/31/68/01/1073316801.db2.gz UUBGAGILWZLKQD-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)c(C)nn1C ZINC000480121337 1073316414 /nfs/dbraw/zinc/31/64/14/1073316414.db2.gz AGJNJNYHIQVKLV-AWEZNQCLSA-N 0 0 444.452 -0.263 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)c(C)nn1C ZINC000480121338 1073316407 /nfs/dbraw/zinc/31/64/07/1073316407.db2.gz AGJNJNYHIQVKLV-CQSZACIVSA-N 0 0 444.452 -0.263 20 0 IBADRN CN1CCN(c2cc(NC3CCN(S(=O)(=O)N4CCOCC4)CC3)ncn2)CC1=O ZINC000480122588 1073316875 /nfs/dbraw/zinc/31/68/75/1073316875.db2.gz UABXHGLEUCWIPE-UHFFFAOYSA-N 0 0 439.542 -0.792 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2c(C)nn(C)c2OC)CC1 ZINC000480125976 1073316856 /nfs/dbraw/zinc/31/68/56/1073316856.db2.gz PKTUDNJRSXRRBX-HNNXBMFYSA-N 0 0 438.529 -0.961 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2c(C)nn(C)c2OC)CC1 ZINC000480125977 1073316847 /nfs/dbraw/zinc/31/68/47/1073316847.db2.gz PKTUDNJRSXRRBX-OAHLLOKOSA-N 0 0 438.529 -0.961 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c(C)nn1C ZINC000480126747 1073317089 /nfs/dbraw/zinc/31/70/89/1073317089.db2.gz NBRGQMRWSYKMJW-UHFFFAOYSA-N 0 0 427.469 -0.621 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480129939 1073317262 /nfs/dbraw/zinc/31/72/62/1073317262.db2.gz FYMJKFFCMCFVGV-HNNXBMFYSA-N 0 0 425.507 -0.004 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CCCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480129940 1073317246 /nfs/dbraw/zinc/31/72/46/1073317246.db2.gz FYMJKFFCMCFVGV-OAHLLOKOSA-N 0 0 425.507 -0.004 20 0 IBADRN CCc1cc(N2CCC(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)ncn1 ZINC000480132784 1073317149 /nfs/dbraw/zinc/31/71/49/1073317149.db2.gz HBRLVASHGRBKQN-UHFFFAOYSA-N 0 0 426.481 -0.429 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(Nc3cc(N4CCN(C)C(=O)C4)ncn3)CC2)cn1 ZINC000480133089 1073317215 /nfs/dbraw/zinc/31/72/15/1073317215.db2.gz HWJDAFWCFTZNEB-UHFFFAOYSA-N 0 0 434.526 -0.246 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNc1cc(N2CCN(C)C(=O)C2)ncn1 ZINC000480134779 1073316719 /nfs/dbraw/zinc/31/67/19/1073316719.db2.gz HHDJUUQBBXGUJE-UHFFFAOYSA-N 0 0 434.522 -0.020 20 0 IBADRN CN1CCN(c2cc(N3CCN(c4cc(N5CCOCC5)ncn4)CC3)ncn2)CC1=O ZINC000480134942 1073316792 /nfs/dbraw/zinc/31/67/92/1073316792.db2.gz UFSMDAGMSXJESS-UHFFFAOYSA-N 0 0 439.524 -0.292 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000480135664 1073316867 /nfs/dbraw/zinc/31/68/67/1073316867.db2.gz VXVAFNIXHHTQHL-HNNXBMFYSA-N 0 0 431.497 -0.469 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000480135665 1073316898 /nfs/dbraw/zinc/31/68/98/1073316898.db2.gz VXVAFNIXHHTQHL-OAHLLOKOSA-N 0 0 431.497 -0.469 20 0 IBADRN CCc1cc(N2CCC(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)ncn1 ZINC000480139057 1073316823 /nfs/dbraw/zinc/31/68/23/1073316823.db2.gz UXOLZXRUPCQLNZ-UHFFFAOYSA-N 0 0 426.481 -0.429 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)C1=O ZINC000480139262 1073316812 /nfs/dbraw/zinc/31/68/12/1073316812.db2.gz VLBCSFUCRQVWRU-HNNXBMFYSA-N 0 0 433.513 -0.223 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)C1=O ZINC000480139269 1073316894 /nfs/dbraw/zinc/31/68/94/1073316894.db2.gz VLBCSFUCRQVWRU-OAHLLOKOSA-N 0 0 433.513 -0.223 20 0 IBADRN CCc1cc(N2CCC(NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)ncn1 ZINC000480140586 1073317053 /nfs/dbraw/zinc/31/70/53/1073317053.db2.gz NWPJMSBSDWKDGH-UHFFFAOYSA-N 0 0 440.508 -0.039 20 0 IBADRN CC(C)n1ncc2c1C[C@@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CC2 ZINC000480140832 1073317178 /nfs/dbraw/zinc/31/71/78/1073317178.db2.gz YENVPISOSVUSPI-HNNXBMFYSA-N 0 0 430.513 -0.007 20 0 IBADRN CC(C)n1ncc2c1C[C@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CC2 ZINC000480141416 1073317940 /nfs/dbraw/zinc/31/79/40/1073317940.db2.gz YENVPISOSVUSPI-OAHLLOKOSA-N 0 0 430.513 -0.007 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1=O ZINC000480141513 1073318140 /nfs/dbraw/zinc/31/81/40/1073318140.db2.gz LZQUVZZWTUVZRC-KRWDZBQOSA-N 0 0 447.540 -0.416 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1=O ZINC000480141523 1073318153 /nfs/dbraw/zinc/31/81/53/1073318153.db2.gz LZQUVZZWTUVZRC-QGZVFWFLSA-N 0 0 447.540 -0.416 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1=O ZINC000480143440 1073317199 /nfs/dbraw/zinc/31/71/99/1073317199.db2.gz ZHJWZFRKUSCKLA-KRWDZBQOSA-N 0 0 447.540 -0.416 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1=O ZINC000480143441 1073317041 /nfs/dbraw/zinc/31/70/41/1073317041.db2.gz ZHJWZFRKUSCKLA-QGZVFWFLSA-N 0 0 447.540 -0.416 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1c1nc(C)nc(N2CCC[C@H]2CS(=O)(=O)NC)n1 ZINC000480144481 1073317207 /nfs/dbraw/zinc/31/72/07/1073317207.db2.gz IKMULXXLENZMPW-KBPBESRZSA-N 0 0 447.587 -0.784 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1nc(C)nc(N2CCC[C@H]2CS(=O)(=O)NC)n1 ZINC000480144484 1073317232 /nfs/dbraw/zinc/31/72/32/1073317232.db2.gz IKMULXXLENZMPW-OKILXGFUSA-N 0 0 447.587 -0.784 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1nc(C)nc(N2CCC[C@@H]2CS(=O)(=O)NC)n1 ZINC000480144487 1073317066 /nfs/dbraw/zinc/31/70/66/1073317066.db2.gz IKMULXXLENZMPW-ZIAGYGMSSA-N 0 0 447.587 -0.784 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(c2cc(N3CCN(C)C(=O)C3)ncn2)CC1 ZINC000480146735 1073317677 /nfs/dbraw/zinc/31/76/77/1073317677.db2.gz WFOJUBLNFPUXPJ-UHFFFAOYSA-N 0 0 426.543 -0.368 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c(C)nn1C ZINC000480151274 1073317605 /nfs/dbraw/zinc/31/76/05/1073317605.db2.gz GNYBZRSZDNXDIX-UHFFFAOYSA-N 0 0 440.482 -0.984 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)c(C)nn1C ZINC000480151372 1073317559 /nfs/dbraw/zinc/31/75/59/1073317559.db2.gz HITAWXJYCODNQJ-GFCCVEGCSA-N 0 0 427.469 -0.472 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)c(C)nn1C ZINC000480151374 1073317593 /nfs/dbraw/zinc/31/75/93/1073317593.db2.gz HITAWXJYCODNQJ-LBPRGKRZSA-N 0 0 427.469 -0.472 20 0 IBADRN NC(=O)CCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000480153814 1073317661 /nfs/dbraw/zinc/31/76/61/1073317661.db2.gz OHDLPXXIDANJHD-UHFFFAOYSA-N 0 0 440.522 -0.461 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)cn1 ZINC000480157871 1073318300 /nfs/dbraw/zinc/31/83/00/1073318300.db2.gz VQVNWQIETYNPBE-UHFFFAOYSA-N 0 0 439.469 -0.413 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000480160639 1073319699 /nfs/dbraw/zinc/31/96/99/1073319699.db2.gz PBXLFLQHZWQNSL-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000480160643 1073319089 /nfs/dbraw/zinc/31/90/89/1073319089.db2.gz PBXLFLQHZWQNSL-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN CCCN1C(=O)CC[C@@H](C(=O)N2CCN(CCS(N)(=O)=O)CC2)[C@@H]1c1cncn1C ZINC000480175281 1073320140 /nfs/dbraw/zinc/32/01/40/1073320140.db2.gz CIQFFGQBLSWSFW-CRAIPNDOSA-N 0 0 440.570 -0.458 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000480181487 1073320494 /nfs/dbraw/zinc/32/04/94/1073320494.db2.gz LHFMAEKLZHFJSW-UHFFFAOYSA-N 0 0 440.526 -0.856 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(CCS(N)(=O)=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000480181776 1073320591 /nfs/dbraw/zinc/32/05/91/1073320591.db2.gz NNZYNCYRORUCIY-DOTOQJQBSA-N 0 0 440.570 -0.459 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)N3CCN(CCS(N)(=O)=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000480181777 1073320637 /nfs/dbraw/zinc/32/06/37/1073320637.db2.gz NNZYNCYRORUCIY-NVXWUHKLSA-N 0 0 440.570 -0.459 20 0 IBADRN Cn1cc([C@H]2[C@@H](C(=O)N3CCN(CCS(N)(=O)=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000480181778 1073320596 /nfs/dbraw/zinc/32/05/96/1073320596.db2.gz NNZYNCYRORUCIY-RDJZCZTQSA-N 0 0 440.570 -0.459 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCN(CCS(N)(=O)=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC000480181779 1073320441 /nfs/dbraw/zinc/32/04/41/1073320441.db2.gz NNZYNCYRORUCIY-WBVHZDCISA-N 0 0 440.570 -0.459 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC2(CS(C)(=O)=O)CC2)c1 ZINC000480184209 1073320546 /nfs/dbraw/zinc/32/05/46/1073320546.db2.gz RSQXHGURWXNHQK-UHFFFAOYSA-N 0 0 433.552 -0.180 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000480193070 1073320573 /nfs/dbraw/zinc/32/05/73/1073320573.db2.gz CWKMWFSYGUSQMB-UHFFFAOYSA-N 0 0 429.520 -0.638 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000480200545 1073320536 /nfs/dbraw/zinc/32/05/36/1073320536.db2.gz URBVVVHWEJMJFX-INIZCTEOSA-N 0 0 438.554 -0.164 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000480200546 1073320394 /nfs/dbraw/zinc/32/03/94/1073320394.db2.gz URBVVVHWEJMJFX-MRXNPFEDSA-N 0 0 438.554 -0.164 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000480202308 1073320926 /nfs/dbraw/zinc/32/09/26/1073320926.db2.gz UVUANJZTAIIMPN-UHFFFAOYSA-N 0 0 429.520 -0.638 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CC[C@](C)(O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000480217711 1073321561 /nfs/dbraw/zinc/32/15/61/1073321561.db2.gz YMJSDMUKAPMHJH-FQEVSTJZSA-N 0 0 439.513 -0.070 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CC[C@@](C)(O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000480217712 1073321491 /nfs/dbraw/zinc/32/14/91/1073321491.db2.gz YMJSDMUKAPMHJH-HXUWFJFHSA-N 0 0 439.513 -0.070 20 0 IBADRN O=C(Cc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1)N1CCOCC1 ZINC000480233807 1073322868 /nfs/dbraw/zinc/32/28/68/1073322868.db2.gz QPKIAIXGPMELTB-UHFFFAOYSA-N 0 0 442.480 -0.549 20 0 IBADRN Cn1nncc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000480252656 1073324461 /nfs/dbraw/zinc/32/44/61/1073324461.db2.gz JOMNAHWKPOAXJF-UHFFFAOYSA-N 0 0 429.480 -0.719 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000480254638 1073323208 /nfs/dbraw/zinc/32/32/08/1073323208.db2.gz PRWXDCDQSLQZGP-UHFFFAOYSA-N 0 0 448.567 -0.030 20 0 IBADRN Cn1nncc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000480254917 1073323067 /nfs/dbraw/zinc/32/30/67/1073323067.db2.gz BVQQJYUOCHMCTE-UHFFFAOYSA-N 0 0 425.414 -0.072 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCN3C(=O)NC4(CCCC4)C3=O)cnc2n(C)c1=O ZINC000480258242 1073323278 /nfs/dbraw/zinc/32/32/78/1073323278.db2.gz RJOXXGQNAHJYHB-UHFFFAOYSA-N 0 0 429.437 -0.382 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)c3cnnn3C)CC2)c1 ZINC000480268209 1073325003 /nfs/dbraw/zinc/32/50/03/1073325003.db2.gz SKXZBGUMVQZORV-UHFFFAOYSA-N 0 0 431.496 -0.473 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000480269430 1073325106 /nfs/dbraw/zinc/32/51/06/1073325106.db2.gz WHINNQZXZVFBHC-UHFFFAOYSA-N 0 0 434.482 -0.411 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1C ZINC000480279159 1073325380 /nfs/dbraw/zinc/32/53/80/1073325380.db2.gz QKBQKZQQONKZSR-UHFFFAOYSA-N 0 0 432.568 -0.266 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1C ZINC000480279354 1073325551 /nfs/dbraw/zinc/32/55/51/1073325551.db2.gz CMCDMUSEBUNFCK-AWEZNQCLSA-N 0 0 444.579 -0.123 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1C ZINC000480279357 1073325347 /nfs/dbraw/zinc/32/53/47/1073325347.db2.gz CMCDMUSEBUNFCK-CQSZACIVSA-N 0 0 444.579 -0.123 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1C ZINC000480279931 1073325421 /nfs/dbraw/zinc/32/54/21/1073325421.db2.gz DLXOGFCZYOEOKL-HNNXBMFYSA-N 0 0 431.536 -0.085 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1C ZINC000480279937 1073325589 /nfs/dbraw/zinc/32/55/89/1073325589.db2.gz DLXOGFCZYOEOKL-OAHLLOKOSA-N 0 0 431.536 -0.085 20 0 IBADRN COCn1nccc1S(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000480280232 1073325510 /nfs/dbraw/zinc/32/55/10/1073325510.db2.gz SQOIRILVSIAYDY-HNNXBMFYSA-N 0 0 436.556 -0.613 20 0 IBADRN COCn1nccc1S(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000480280235 1073325445 /nfs/dbraw/zinc/32/54/45/1073325445.db2.gz SQOIRILVSIAYDY-OAHLLOKOSA-N 0 0 436.556 -0.613 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnnn3C)CC2)cc1 ZINC000480280300 1073325504 /nfs/dbraw/zinc/32/55/04/1073325504.db2.gz FXYDWCLRWNRSGA-UHFFFAOYSA-N 0 0 443.507 -0.313 20 0 IBADRN COCn1nccc1S(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000480280507 1073325394 /nfs/dbraw/zinc/32/53/94/1073325394.db2.gz FMSHOIHKVIEYGJ-UHFFFAOYSA-N 0 0 430.508 -0.014 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000480280514 1073325487 /nfs/dbraw/zinc/32/54/87/1073325487.db2.gz FRTAXHIKMSQNII-AWEZNQCLSA-N 0 0 436.600 -0.728 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000480280515 1073325433 /nfs/dbraw/zinc/32/54/33/1073325433.db2.gz FRTAXHIKMSQNII-CQSZACIVSA-N 0 0 436.600 -0.728 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000480281703 1073325539 /nfs/dbraw/zinc/32/55/39/1073325539.db2.gz XAUFJYDEDAGIJZ-CYBMUJFWSA-N 0 0 440.565 -0.273 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cc1C ZINC000480281737 1073325528 /nfs/dbraw/zinc/32/55/28/1073325528.db2.gz XAUFJYDEDAGIJZ-ZDUSSCGKSA-N 0 0 440.565 -0.273 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnnn3C)CC2)c1 ZINC000480285096 1073325959 /nfs/dbraw/zinc/32/59/59/1073325959.db2.gz AWJFWHWUOLLSCE-UHFFFAOYSA-N 0 0 435.509 -0.642 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000480285722 1073324956 /nfs/dbraw/zinc/32/49/56/1073324956.db2.gz TWSUWLHNYYYNOO-UHFFFAOYSA-N 0 0 428.497 -0.076 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1C ZINC000480286599 1073325084 /nfs/dbraw/zinc/32/50/84/1073325084.db2.gz VJKMKLNUBGHPQP-UHFFFAOYSA-N 0 0 444.579 -0.168 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCN(CC(=O)N3CCCC3)CC2)CC1 ZINC000480287628 1073325022 /nfs/dbraw/zinc/32/50/22/1073325022.db2.gz XMAAWEXZNQTQTQ-UHFFFAOYSA-N 0 0 436.600 -0.772 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480287765 1073325053 /nfs/dbraw/zinc/32/50/53/1073325053.db2.gz COOKQIBHGNIQKE-UHFFFAOYSA-N 0 0 437.507 -0.327 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)cc1C ZINC000480294605 1073325784 /nfs/dbraw/zinc/32/57/84/1073325784.db2.gz SHNRQNDDVHBLDS-UHFFFAOYSA-N 0 0 425.554 -0.441 20 0 IBADRN COCCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000480294886 1073325850 /nfs/dbraw/zinc/32/58/50/1073325850.db2.gz QMGGLAINTNHQIQ-GFCCVEGCSA-N 0 0 426.538 -0.301 20 0 IBADRN COCCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000480294903 1073325799 /nfs/dbraw/zinc/32/57/99/1073325799.db2.gz QMGGLAINTNHQIQ-LBPRGKRZSA-N 0 0 426.538 -0.301 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1C ZINC000480295129 1073325768 /nfs/dbraw/zinc/32/57/68/1073325768.db2.gz VFFIVVHETAJFNV-UHFFFAOYSA-N 0 0 446.551 -0.739 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000480301644 1073325935 /nfs/dbraw/zinc/32/59/35/1073325935.db2.gz PTJCMXRQLOOXTF-UHFFFAOYSA-N 0 0 432.506 -0.131 20 0 IBADRN Cn1nncc1S(=O)(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000480302272 1073325973 /nfs/dbraw/zinc/32/59/73/1073325973.db2.gz ULHWZYITEFPWPE-UHFFFAOYSA-N 0 0 429.509 -0.485 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1C ZINC000480302576 1073325736 /nfs/dbraw/zinc/32/57/36/1073325736.db2.gz WSHUCSBRXPOKER-UHFFFAOYSA-N 0 0 430.552 -0.512 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3ccc(S(C)(=O)=O)nc3)CC2)no1 ZINC000480303628 1073325751 /nfs/dbraw/zinc/32/57/51/1073325751.db2.gz CYKNFOQFEZHXDD-UHFFFAOYSA-N 0 0 443.507 -0.273 20 0 IBADRN Cn1cnnc1CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000480306032 1073325839 /nfs/dbraw/zinc/32/58/39/1073325839.db2.gz QSWFKVNYMBNPAL-UHFFFAOYSA-N 0 0 438.485 -0.116 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccnc3)CC2)C[C@H](C)O1 ZINC000480306068 1073325858 /nfs/dbraw/zinc/32/58/58/1073325858.db2.gz IKGMEIZIQZQQCE-GASCZTMLSA-N 0 0 425.511 -0.690 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccnc3)CC2)C[C@H](C)O1 ZINC000480306069 1073325872 /nfs/dbraw/zinc/32/58/72/1073325872.db2.gz IKGMEIZIQZQQCE-GJZGRUSLSA-N 0 0 425.511 -0.690 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccnc3)CC2)C[C@@H](C)O1 ZINC000480306070 1073325927 /nfs/dbraw/zinc/32/59/27/1073325927.db2.gz IKGMEIZIQZQQCE-HUUCEWRRSA-N 0 0 425.511 -0.690 20 0 IBADRN Cc1cc2c(cc1S(=O)(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)OCO2 ZINC000480309416 1073325816 /nfs/dbraw/zinc/32/58/16/1073325816.db2.gz OWMYTRHQIJVKOF-UHFFFAOYSA-N 0 0 425.463 -0.585 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)N1CCO[C@H](CN(C)c2nccn(C)c2=O)C1 ZINC000480341160 1073326391 /nfs/dbraw/zinc/32/63/91/1073326391.db2.gz YTNLXKLQVRYWNN-KFWWJZLASA-N 0 0 428.511 -0.411 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)N1CCO[C@H](CN(C)c2nccn(C)c2=O)C1 ZINC000480341161 1073326425 /nfs/dbraw/zinc/32/64/25/1073326425.db2.gz YTNLXKLQVRYWNN-RBSFLKMASA-N 0 0 428.511 -0.411 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)N1CCO[C@@H](CN(C)c2nccn(C)c2=O)C1 ZINC000480341162 1073326403 /nfs/dbraw/zinc/32/64/03/1073326403.db2.gz YTNLXKLQVRYWNN-RRFJBIMHSA-N 0 0 428.511 -0.411 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)N1CCO[C@@H](CN(C)c2nccn(C)c2=O)C1 ZINC000480341163 1073326437 /nfs/dbraw/zinc/32/64/37/1073326437.db2.gz YTNLXKLQVRYWNN-ZNMIVQPWSA-N 0 0 428.511 -0.411 20 0 IBADRN Cc1cc(CNS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)ccc1S(N)(=O)=O ZINC000480343579 1073326215 /nfs/dbraw/zinc/32/62/15/1073326215.db2.gz OBWXYZCVYIPLFI-UHFFFAOYSA-N 0 0 439.581 -0.267 20 0 IBADRN COCc1noc(CN2CCN(S(=O)(=O)c3ccc(S(C)(=O)=O)nc3)CC2)n1 ZINC000480356818 1073326844 /nfs/dbraw/zinc/32/68/44/1073326844.db2.gz NAZJJJWNHLMQTK-UHFFFAOYSA-N 0 0 431.496 -0.479 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000480357378 1073326840 /nfs/dbraw/zinc/32/68/40/1073326840.db2.gz OPSHNXIFKWEJOE-UHFFFAOYSA-N 0 0 428.453 -0.478 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1 ZINC000480359635 1073326866 /nfs/dbraw/zinc/32/68/66/1073326866.db2.gz FLGKDBNLQKTPMI-NEPJUHHUSA-N 0 0 435.524 -0.362 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1 ZINC000480359640 1073326822 /nfs/dbraw/zinc/32/68/22/1073326822.db2.gz FLGKDBNLQKTPMI-NWDGAFQWSA-N 0 0 435.524 -0.362 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1 ZINC000480359642 1073326829 /nfs/dbraw/zinc/32/68/29/1073326829.db2.gz FLGKDBNLQKTPMI-RYUDHWBXSA-N 0 0 435.524 -0.362 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1 ZINC000480359644 1073326781 /nfs/dbraw/zinc/32/67/81/1073326781.db2.gz FLGKDBNLQKTPMI-VXGBXAGGSA-N 0 0 435.524 -0.362 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480360424 1073326835 /nfs/dbraw/zinc/32/68/35/1073326835.db2.gz UQZKTSGWXRAKKI-NEPJUHHUSA-N 0 0 427.545 -0.966 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480360425 1073326887 /nfs/dbraw/zinc/32/68/87/1073326887.db2.gz UQZKTSGWXRAKKI-NWDGAFQWSA-N 0 0 427.545 -0.966 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480360427 1073326890 /nfs/dbraw/zinc/32/68/90/1073326890.db2.gz UQZKTSGWXRAKKI-RYUDHWBXSA-N 0 0 427.545 -0.966 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480360429 1073326804 /nfs/dbraw/zinc/32/68/04/1073326804.db2.gz UQZKTSGWXRAKKI-VXGBXAGGSA-N 0 0 427.545 -0.966 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)cc1C ZINC000480361135 1073326815 /nfs/dbraw/zinc/32/68/15/1073326815.db2.gz KVMAQSAPZXXJKN-UHFFFAOYSA-N 0 0 428.558 -0.986 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)c1 ZINC000480364746 1073326871 /nfs/dbraw/zinc/32/68/71/1073326871.db2.gz ZUCFBXCNFXGTIE-CYBMUJFWSA-N 0 0 442.476 -0.154 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)c1 ZINC000480364747 1073326848 /nfs/dbraw/zinc/32/68/48/1073326848.db2.gz ZUCFBXCNFXGTIE-ZDUSSCGKSA-N 0 0 442.476 -0.154 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)c1 ZINC000480364799 1073326793 /nfs/dbraw/zinc/32/67/93/1073326793.db2.gz MRNUKCKSNIIRSR-CYBMUJFWSA-N 0 0 442.476 -0.154 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)c1 ZINC000480364800 1073327179 /nfs/dbraw/zinc/32/71/79/1073327179.db2.gz MRNUKCKSNIIRSR-ZDUSSCGKSA-N 0 0 442.476 -0.154 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)C1 ZINC000480371417 1073327232 /nfs/dbraw/zinc/32/72/32/1073327232.db2.gz LDUSGDCSDDEISJ-UHFFFAOYSA-N 0 0 425.554 -0.537 20 0 IBADRN CN(C1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)CC1)S(C)(=O)=O ZINC000480374915 1073327279 /nfs/dbraw/zinc/32/72/79/1073327279.db2.gz ILRFZAFLJPWCDT-UHFFFAOYSA-N 0 0 431.584 -0.122 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000480379899 1073327113 /nfs/dbraw/zinc/32/71/13/1073327113.db2.gz VUXXYFILKUUCGY-UHFFFAOYSA-N 0 0 428.453 -0.478 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cn1 ZINC000480386599 1073327100 /nfs/dbraw/zinc/32/71/00/1073327100.db2.gz SFWKDQMXRQEOOQ-CJNGLKHVSA-N 0 0 427.508 0.000 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000480391450 1073327208 /nfs/dbraw/zinc/32/72/08/1073327208.db2.gz BAWBIODDANFTKY-UHFFFAOYSA-N 0 0 426.542 -0.502 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000480392070 1073327290 /nfs/dbraw/zinc/32/72/90/1073327290.db2.gz BSUVSNQFOGBHDR-UHFFFAOYSA-N 0 0 446.598 -0.973 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nc(N2CCCCCC2)n1 ZINC000480392432 1073327244 /nfs/dbraw/zinc/32/72/44/1073327244.db2.gz YIEFLSPFOTWNKM-UHFFFAOYSA-N 0 0 433.513 -0.232 20 0 IBADRN COc1nc(N(C)CC(=O)Nc2cc(C)on2)nc(N(C)CC(=O)Nc2cc(C)on2)n1 ZINC000480397230 1073327162 /nfs/dbraw/zinc/32/71/62/1073327162.db2.gz PZMWUZSPDYCDQB-UHFFFAOYSA-N 0 0 445.440 -0.533 20 0 IBADRN Cc1c(C(=O)N[C@H]2CCCc3[nH]c(=O)c(C(N)=O)cc32)cnc2c1c(=O)n(C)c(=O)n2C ZINC000480399481 1073327123 /nfs/dbraw/zinc/32/71/23/1073327123.db2.gz XDLPGZINBDOVOW-AWEZNQCLSA-N 0 0 438.444 -0.052 20 0 IBADRN Cc1c(C(=O)N[C@@H]2CCCc3[nH]c(=O)c(C(N)=O)cc32)cnc2c1c(=O)n(C)c(=O)n2C ZINC000480399482 1073327300 /nfs/dbraw/zinc/32/73/00/1073327300.db2.gz XDLPGZINBDOVOW-CQSZACIVSA-N 0 0 438.444 -0.052 20 0 IBADRN NC(=O)COc1ccccc1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000480400820 1073327805 /nfs/dbraw/zinc/32/78/05/1073327805.db2.gz BDAYAOMMURKJNH-UHFFFAOYSA-N 0 0 436.446 -0.611 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCCn2cc(Br)cn2)CC1 ZINC000480401473 1073327789 /nfs/dbraw/zinc/32/77/89/1073327789.db2.gz LCOBIGGWBQENJR-UHFFFAOYSA-N 0 0 444.377 -0.163 20 0 IBADRN Cc1cc(Cl)ccc1OCCCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000480404615 1073327797 /nfs/dbraw/zinc/32/77/97/1073327797.db2.gz OEWIYPIKAOOUOT-UHFFFAOYSA-N 0 0 449.899 -0.109 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)COc4ccccc43)CC2)o1 ZINC000480405669 1073327737 /nfs/dbraw/zinc/32/77/37/1073327737.db2.gz OUFJLKJFOUXOGD-UHFFFAOYSA-N 0 0 448.457 -0.363 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000480406067 1073327810 /nfs/dbraw/zinc/32/78/10/1073327810.db2.gz BCQDOMUXQCQYQJ-HOCLYGCPSA-N 0 0 445.925 -0.219 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000480406069 1073327728 /nfs/dbraw/zinc/32/77/28/1073327728.db2.gz BCQDOMUXQCQYQJ-ZBFHGGJFSA-N 0 0 445.925 -0.219 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000480406388 1073327707 /nfs/dbraw/zinc/32/77/07/1073327707.db2.gz DGHDPUVNVRENAO-ZBFHGGJFSA-N 0 0 429.470 -0.733 20 0 IBADRN COc1ccc(OCCCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000480407521 1073327777 /nfs/dbraw/zinc/32/77/77/1073327777.db2.gz TXHAXGZFFMQPBK-UHFFFAOYSA-N 0 0 448.520 -0.417 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000480408409 1073327750 /nfs/dbraw/zinc/32/77/50/1073327750.db2.gz BJIBAZDSTBSYGX-BBRMVZONSA-N 0 0 443.547 -0.352 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000480408410 1073327771 /nfs/dbraw/zinc/32/77/71/1073327771.db2.gz BJIBAZDSTBSYGX-CJNGLKHVSA-N 0 0 443.547 -0.352 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000480408411 1073327696 /nfs/dbraw/zinc/32/76/96/1073327696.db2.gz BJIBAZDSTBSYGX-CZUORRHYSA-N 0 0 443.547 -0.352 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000480408412 1073327764 /nfs/dbraw/zinc/32/77/64/1073327764.db2.gz BJIBAZDSTBSYGX-XJKSGUPXSA-N 0 0 443.547 -0.352 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000480410437 1073327649 /nfs/dbraw/zinc/32/76/49/1073327649.db2.gz ALKGOEZDTISIDU-AEFFLSMTSA-N 0 0 439.534 -0.255 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000480410438 1073327814 /nfs/dbraw/zinc/32/78/14/1073327814.db2.gz ALKGOEZDTISIDU-WMZOPIPTSA-N 0 0 439.534 -0.255 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)c2ccnc3c(C(N)=O)cnn32)cc1 ZINC000480410869 1073327672 /nfs/dbraw/zinc/32/76/72/1073327672.db2.gz YQKBXCHIYRKPFM-UHFFFAOYSA-N 0 0 432.462 -0.317 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CCCc3[nH]c(=O)c(C(N)=O)cc32)nc2c1c(=O)n(C)c(=O)n2C ZINC000480414725 1073327689 /nfs/dbraw/zinc/32/76/89/1073327689.db2.gz FSOAFCIHBOBAHU-CYBMUJFWSA-N 0 0 438.444 -0.052 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CCCc3[nH]c(=O)c(C(N)=O)cc32)nc2c1c(=O)n(C)c(=O)n2C ZINC000480414727 1073327660 /nfs/dbraw/zinc/32/76/60/1073327660.db2.gz FSOAFCIHBOBAHU-ZDUSSCGKSA-N 0 0 438.444 -0.052 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3cc(N4CCN(C)C(=O)C4)ncn3)CC2)cn1C ZINC000480414861 1073328566 /nfs/dbraw/zinc/32/85/66/1073328566.db2.gz VOEQZBCZYZNMET-UHFFFAOYSA-N 0 0 434.526 -0.692 20 0 IBADRN CC[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1cccc(S(N)(=O)=O)c1 ZINC000480419154 1073328177 /nfs/dbraw/zinc/32/81/77/1073328177.db2.gz RUBQJOPNOOMTGF-HNNXBMFYSA-N 0 0 448.567 -0.265 20 0 IBADRN CC[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1cccc(S(N)(=O)=O)c1 ZINC000480419170 1073328129 /nfs/dbraw/zinc/32/81/29/1073328129.db2.gz RUBQJOPNOOMTGF-OAHLLOKOSA-N 0 0 448.567 -0.265 20 0 IBADRN COC(=O)CN1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000480419237 1073328221 /nfs/dbraw/zinc/32/82/21/1073328221.db2.gz SCNPCEPZWKONBD-UHFFFAOYSA-N 0 0 431.536 -0.237 20 0 IBADRN COc1cncc(C(=O)NCCN(CCN2CCOCC2)C(=O)c2cncc(OC)n2)n1 ZINC000480420804 1073328263 /nfs/dbraw/zinc/32/82/63/1073328263.db2.gz TWBLEUCUWMZNSZ-UHFFFAOYSA-N 0 0 445.480 -0.512 20 0 IBADRN COC(=O)CN1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000480421710 1073328036 /nfs/dbraw/zinc/32/80/36/1073328036.db2.gz VMKASSMSEQNHBS-UHFFFAOYSA-N 0 0 431.536 -0.371 20 0 IBADRN COCC(=O)N1CCN(c2ncc(Cl)c(N3CCN(C(=O)COC)CC3)n2)CC1 ZINC000480423579 1073328205 /nfs/dbraw/zinc/32/82/05/1073328205.db2.gz GVWGHSUORMDVCA-UHFFFAOYSA-N 0 0 426.905 -0.280 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)CC1 ZINC000480424126 1073328099 /nfs/dbraw/zinc/32/80/99/1073328099.db2.gz BUOSKNKWQVWZQR-HNNXBMFYSA-N 0 0 443.613 -0.512 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)CC1 ZINC000480424127 1073328188 /nfs/dbraw/zinc/32/81/88/1073328188.db2.gz BUOSKNKWQVWZQR-OAHLLOKOSA-N 0 0 443.613 -0.512 20 0 IBADRN COC(=O)CN1CCN(C(=O)CCC(C)(C)C(=O)N2CCN(CC(=O)OC)CC2)CC1 ZINC000480425614 1073328254 /nfs/dbraw/zinc/32/82/54/1073328254.db2.gz GBKHGLJHBRRSAR-UHFFFAOYSA-N 0 0 440.541 -0.573 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000480426929 1073328401 /nfs/dbraw/zinc/32/84/01/1073328401.db2.gz PCOQQGTWTUBJEO-ARFHVFGLSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000480426930 1073328491 /nfs/dbraw/zinc/32/84/91/1073328491.db2.gz PCOQQGTWTUBJEO-BZUAXINKSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000480426931 1073328518 /nfs/dbraw/zinc/32/85/18/1073328518.db2.gz PCOQQGTWTUBJEO-HRCADAONSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000480426932 1073328503 /nfs/dbraw/zinc/32/85/03/1073328503.db2.gz PCOQQGTWTUBJEO-OWCLPIDISA-N 0 0 429.539 -0.587 20 0 IBADRN O=C(NC[C@@H]1CCN(c2ccc(F)cc2)C1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000480429930 1073328883 /nfs/dbraw/zinc/32/88/83/1073328883.db2.gz DCXYVWBAVKFLDJ-HNNXBMFYSA-N 0 0 445.495 -0.282 20 0 IBADRN O=C(NC[C@H]1CCN(c2ccc(F)cc2)C1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000480429935 1073329043 /nfs/dbraw/zinc/32/90/43/1073329043.db2.gz DCXYVWBAVKFLDJ-OAHLLOKOSA-N 0 0 445.495 -0.282 20 0 IBADRN COc1ccc(OCCCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000480431860 1073328970 /nfs/dbraw/zinc/32/89/70/1073328970.db2.gz JKCFMDIZCJWXMB-UHFFFAOYSA-N 0 0 432.477 -0.470 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2CCN(c3ccc(F)cc3)C2)CC1 ZINC000480432268 1073329003 /nfs/dbraw/zinc/32/90/03/1073329003.db2.gz KFRHWSIWABHXFZ-HNNXBMFYSA-N 0 0 444.471 -0.874 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2CCN(c3ccc(F)cc3)C2)CC1 ZINC000480432269 1073328980 /nfs/dbraw/zinc/32/89/80/1073328980.db2.gz KFRHWSIWABHXFZ-OAHLLOKOSA-N 0 0 444.471 -0.874 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C1CCCC1 ZINC000480434319 1073328441 /nfs/dbraw/zinc/32/84/41/1073328441.db2.gz LCKLSQWCPQSDCS-INIZCTEOSA-N 0 0 426.495 -0.094 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C1CCCC1 ZINC000480434321 1073328482 /nfs/dbraw/zinc/32/84/82/1073328482.db2.gz LCKLSQWCPQSDCS-MRXNPFEDSA-N 0 0 426.495 -0.094 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)cn1 ZINC000480436032 1073328371 /nfs/dbraw/zinc/32/83/71/1073328371.db2.gz LZKZGSVICQRXBQ-UHFFFAOYSA-N 0 0 435.553 -0.010 20 0 IBADRN COC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC000480436841 1073328417 /nfs/dbraw/zinc/32/84/17/1073328417.db2.gz KIILUMOCOPPFIO-UHFFFAOYSA-N 0 0 427.527 -0.256 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1 ZINC000480440022 1073329019 /nfs/dbraw/zinc/32/90/19/1073329019.db2.gz DUDFRLODELEVPN-UHFFFAOYSA-N 0 0 425.492 -0.510 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(OC(F)(F)F)c3)C2=O)CCO1 ZINC000480441440 1073216472 /nfs/dbraw/zinc/21/64/72/1073216472.db2.gz BYYKNPXTDMFXFP-CHWSQXEVSA-N 0 0 444.366 -0.481 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(OC(F)(F)F)c3)C2=O)CCO1 ZINC000480441441 1073216575 /nfs/dbraw/zinc/21/65/75/1073216575.db2.gz BYYKNPXTDMFXFP-OLZOCXBDSA-N 0 0 444.366 -0.481 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cccc(OC(F)(F)F)c3)C2=O)CCO1 ZINC000480441442 1073216379 /nfs/dbraw/zinc/21/63/79/1073216379.db2.gz BYYKNPXTDMFXFP-QWHCGFSZSA-N 0 0 444.366 -0.481 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cccc(OC(F)(F)F)c3)C2=O)CCO1 ZINC000480441443 1073216396 /nfs/dbraw/zinc/21/63/96/1073216396.db2.gz BYYKNPXTDMFXFP-STQMWFEESA-N 0 0 444.366 -0.481 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1 ZINC000480444268 1073329582 /nfs/dbraw/zinc/32/95/82/1073329582.db2.gz LFGDIYAIYPEIMB-GDBMZVCRSA-N 0 0 439.600 -0.149 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1 ZINC000480444270 1073329676 /nfs/dbraw/zinc/32/96/76/1073329676.db2.gz LFGDIYAIYPEIMB-GOEBONIOSA-N 0 0 439.600 -0.149 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1 ZINC000480444272 1073329788 /nfs/dbraw/zinc/32/97/88/1073329788.db2.gz LFGDIYAIYPEIMB-HOCLYGCPSA-N 0 0 439.600 -0.149 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1 ZINC000480444274 1073329571 /nfs/dbraw/zinc/32/95/71/1073329571.db2.gz LFGDIYAIYPEIMB-ZBFHGGJFSA-N 0 0 439.600 -0.149 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCN(C(=O)c3cccnc3)CC2)CC1 ZINC000480444479 1073329717 /nfs/dbraw/zinc/32/97/17/1073329717.db2.gz LTCNAHBTOYKOTQ-UHFFFAOYSA-N 0 0 430.552 -0.159 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000480444662 1073329646 /nfs/dbraw/zinc/32/96/46/1073329646.db2.gz MUUJNJNKLGVHBH-KRWDZBQOSA-N 0 0 443.552 -0.283 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000480444663 1073329623 /nfs/dbraw/zinc/32/96/23/1073329623.db2.gz MUUJNJNKLGVHBH-QGZVFWFLSA-N 0 0 443.552 -0.283 20 0 IBADRN C[C@@H]1CN(c2nc3ccccc3nc2SCC(=O)NC(C(N)=O)C(N)=O)C[C@@H](C)O1 ZINC000480445228 1073329665 /nfs/dbraw/zinc/32/96/65/1073329665.db2.gz WMDBREGZUYVLSW-GHMZBOCLSA-N 0 0 432.506 -0.209 20 0 IBADRN C[C@@H]1CN(c2nc3ccccc3nc2SCC(=O)NC(C(N)=O)C(N)=O)C[C@H](C)O1 ZINC000480445233 1073329635 /nfs/dbraw/zinc/32/96/35/1073329635.db2.gz WMDBREGZUYVLSW-PHIMTYICSA-N 0 0 432.506 -0.209 20 0 IBADRN C[C@H]1CN(c2nc3ccccc3nc2SCC(=O)NC(C(N)=O)C(N)=O)C[C@H](C)O1 ZINC000480445235 1073329539 /nfs/dbraw/zinc/32/95/39/1073329539.db2.gz WMDBREGZUYVLSW-QWRGUYRKSA-N 0 0 432.506 -0.209 20 0 IBADRN COCn1nccc1S(=O)(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000480446292 1073329757 /nfs/dbraw/zinc/32/97/57/1073329757.db2.gz PYPRXNVPYBZAGO-UHFFFAOYSA-N 0 0 429.543 -0.274 20 0 IBADRN COC(=O)CN1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000480446976 1073330014 /nfs/dbraw/zinc/33/00/14/1073330014.db2.gz JVWMQMQYSCICNF-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN CCS(=O)(=O)N(C)CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1 ZINC000480447902 1073330055 /nfs/dbraw/zinc/33/00/55/1073330055.db2.gz UJUGIKDVDMYFQZ-UHFFFAOYSA-N 0 0 427.570 -0.147 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NCc2ccccc2)cn1 ZINC000480448611 1073330115 /nfs/dbraw/zinc/33/01/15/1073330115.db2.gz YBMQYMOQWMICRB-UHFFFAOYSA-N 0 0 433.533 -0.117 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000480449280 1073330031 /nfs/dbraw/zinc/33/00/31/1073330031.db2.gz YCKFJHVLKZLRND-UHFFFAOYSA-N 0 0 445.567 -0.696 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000480450690 1073330038 /nfs/dbraw/zinc/33/00/38/1073330038.db2.gz BMXOKWKETBSLNZ-UHFFFAOYSA-N 0 0 426.524 -0.624 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000480451407 1073330423 /nfs/dbraw/zinc/33/04/23/1073330423.db2.gz DVBAVFBCHFQHJL-UHFFFAOYSA-N 0 0 442.445 -0.340 20 0 IBADRN CCCS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480452234 1073329261 /nfs/dbraw/zinc/32/92/61/1073329261.db2.gz HBQHDMFUFSPZGF-UHFFFAOYSA-N 0 0 425.554 -0.032 20 0 IBADRN CCS(=O)(=O)c1ccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)s1 ZINC000480452346 1073329434 /nfs/dbraw/zinc/32/94/34/1073329434.db2.gz ZYOTUDGHZLEQOT-UHFFFAOYSA-N 0 0 431.583 -0.547 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000480452449 1073329417 /nfs/dbraw/zinc/32/94/17/1073329417.db2.gz HJNVABOFSFPRTH-INIZCTEOSA-N 0 0 441.641 -0.215 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000480452451 1073329229 /nfs/dbraw/zinc/32/92/29/1073329229.db2.gz HJNVABOFSFPRTH-MRXNPFEDSA-N 0 0 441.641 -0.215 20 0 IBADRN COc1ccnc(N2CCN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000480452668 1073329306 /nfs/dbraw/zinc/32/93/06/1073329306.db2.gz AFGDDWXHAFSGLK-UHFFFAOYSA-N 0 0 433.556 -0.391 20 0 IBADRN Cc1cc2c(cc1S(=O)(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)OCO2 ZINC000480453729 1073329323 /nfs/dbraw/zinc/32/93/23/1073329323.db2.gz FULDYZAGZGVYSP-UHFFFAOYSA-N 0 0 446.551 -0.478 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCCN2CCN(c3ncccn3)CC2)cn1 ZINC000480454266 1073329603 /nfs/dbraw/zinc/32/96/03/1073329603.db2.gz HHWDPDWLJKQZAY-UHFFFAOYSA-N 0 0 440.551 -0.234 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1C ZINC000480455691 1073329745 /nfs/dbraw/zinc/32/97/45/1073329745.db2.gz VYZHITCVYPYVTO-GFCCVEGCSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1C ZINC000480455692 1073329593 /nfs/dbraw/zinc/32/95/93/1073329593.db2.gz VYZHITCVYPYVTO-LBPRGKRZSA-N 0 0 425.554 -0.395 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCN(C(=O)c3ccccn3)CC2)CC1 ZINC000480456475 1073329552 /nfs/dbraw/zinc/32/95/52/1073329552.db2.gz QSHRHOFFODUBJO-UHFFFAOYSA-N 0 0 430.552 -0.159 20 0 IBADRN CCS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)CC1 ZINC000480457292 1073331384 /nfs/dbraw/zinc/33/13/84/1073331384.db2.gz YYQWIFDKTMAVJU-UHFFFAOYSA-N 0 0 439.581 -0.004 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCC[C@H]2CCOC2)CC1 ZINC000480457457 1073331366 /nfs/dbraw/zinc/33/13/66/1073331366.db2.gz APIUOXVRVDUJTR-INIZCTEOSA-N 0 0 438.550 -0.218 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCC[C@@H]2CCOC2)CC1 ZINC000480457458 1073331325 /nfs/dbraw/zinc/33/13/25/1073331325.db2.gz APIUOXVRVDUJTR-MRXNPFEDSA-N 0 0 438.550 -0.218 20 0 IBADRN CN(CC1(CO)CC1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480457765 1073329703 /nfs/dbraw/zinc/32/97/03/1073329703.db2.gz DRDJDQYNGGXRGR-UHFFFAOYSA-N 0 0 438.550 -0.530 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4cnnn4)cc3)CC2)o1 ZINC000480458253 1073331240 /nfs/dbraw/zinc/33/12/40/1073331240.db2.gz APUXOFFMXSSTKT-UHFFFAOYSA-N 0 0 431.434 -0.499 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000480458418 1073331374 /nfs/dbraw/zinc/33/13/74/1073331374.db2.gz AKIDQKLTGOQURQ-UHFFFAOYSA-N 0 0 428.449 -0.187 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCN(C3CC3)CC2)CC1 ZINC000480459041 1073331689 /nfs/dbraw/zinc/33/16/89/1073331689.db2.gz JVNLXTSTACBJBR-UHFFFAOYSA-N 0 0 449.577 -0.455 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@@H]1CO ZINC000480459239 1073331356 /nfs/dbraw/zinc/33/13/56/1073331356.db2.gz KLQKNUPICCFIGO-DOTOQJQBSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@@H]1CO ZINC000480459241 1073331659 /nfs/dbraw/zinc/33/16/59/1073331659.db2.gz KLQKNUPICCFIGO-NVXWUHKLSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@H]1CO ZINC000480459242 1073331720 /nfs/dbraw/zinc/33/17/20/1073331720.db2.gz KLQKNUPICCFIGO-RDJZCZTQSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@H]1CO ZINC000480459243 1073331710 /nfs/dbraw/zinc/33/17/10/1073331710.db2.gz KLQKNUPICCFIGO-WBVHZDCISA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@@H]1[C@H](C)OCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480460067 1073331753 /nfs/dbraw/zinc/33/17/53/1073331753.db2.gz MPEIZBSUBSCLMG-CVEARBPZSA-N 0 0 438.550 -0.125 20 0 IBADRN C[C@@H]1OCCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@H]1C ZINC000480460068 1073331668 /nfs/dbraw/zinc/33/16/68/1073331668.db2.gz MPEIZBSUBSCLMG-HOTGVXAUSA-N 0 0 438.550 -0.125 20 0 IBADRN C[C@H]1OCCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@@H]1C ZINC000480460069 1073331813 /nfs/dbraw/zinc/33/18/13/1073331813.db2.gz MPEIZBSUBSCLMG-HZPDHXFCSA-N 0 0 438.550 -0.125 20 0 IBADRN C[C@H]1OCCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@H]1C ZINC000480460070 1073331826 /nfs/dbraw/zinc/33/18/26/1073331826.db2.gz MPEIZBSUBSCLMG-JKSUJKDBSA-N 0 0 438.550 -0.125 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cc3ccc(-n4cnnn4)cc3)CC2)o1 ZINC000480460293 1073331731 /nfs/dbraw/zinc/33/17/31/1073331731.db2.gz GIHMVEORXYGOQP-UHFFFAOYSA-N 0 0 445.461 -0.570 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000480462526 1073331797 /nfs/dbraw/zinc/33/17/97/1073331797.db2.gz SOFQIJUTSOKYSA-GOSISDBHSA-N 0 0 429.521 -0.033 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000480462528 1073331647 /nfs/dbraw/zinc/33/16/47/1073331647.db2.gz SOFQIJUTSOKYSA-SFHVURJKSA-N 0 0 429.521 -0.033 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)NC(=O)CO4)CC2)o1 ZINC000480462653 1073331804 /nfs/dbraw/zinc/33/18/04/1073331804.db2.gz LSPBATPYFNCQGZ-UHFFFAOYSA-N 0 0 434.430 -0.144 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1C ZINC000480462756 1073331679 /nfs/dbraw/zinc/33/16/79/1073331679.db2.gz DENTXQSABILEGP-UHFFFAOYSA-N 0 0 444.535 -0.985 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c2c(=O)[nH]n(C)c2n1 ZINC000480462921 1073332143 /nfs/dbraw/zinc/33/21/43/1073332143.db2.gz OFRCPPYLRPMSHT-UHFFFAOYSA-N 0 0 448.461 -0.179 20 0 IBADRN CC(=O)Nc1nc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cs1 ZINC000480462928 1073332283 /nfs/dbraw/zinc/33/22/83/1073332283.db2.gz OGAKTYZOPHBPBX-UHFFFAOYSA-N 0 0 427.464 -0.060 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)cc1C ZINC000480463288 1073332173 /nfs/dbraw/zinc/33/21/73/1073332173.db2.gz FJXBHSVBAPJQDW-UHFFFAOYSA-N 0 0 425.554 -0.395 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cccnc3N3CCOCC3)CC2)o1 ZINC000480464159 1073330513 /nfs/dbraw/zinc/33/05/13/1073330513.db2.gz QKQODNFNXIIPGW-UHFFFAOYSA-N 0 0 449.489 -0.243 20 0 IBADRN CN1CCN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(C)(=O)=O)nc3)CC2)CC1 ZINC000480465448 1073330848 /nfs/dbraw/zinc/33/08/48/1073330848.db2.gz DBMJYNCMBQPJKY-UHFFFAOYSA-N 0 0 430.552 -0.340 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2=O)CC1)NC1CC1 ZINC000480466500 1073330942 /nfs/dbraw/zinc/33/09/42/1073330942.db2.gz YTRZBAFKICHQDU-GOSISDBHSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2=O)CC1)NC1CC1 ZINC000480466510 1073331018 /nfs/dbraw/zinc/33/10/18/1073331018.db2.gz YTRZBAFKICHQDU-SFHVURJKSA-N 0 0 427.505 -0.279 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cc1C ZINC000480467389 1073330859 /nfs/dbraw/zinc/33/08/59/1073330859.db2.gz MJWXFLBHJHSGSK-CYBMUJFWSA-N 0 0 439.581 -0.004 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cc1C ZINC000480467390 1073330963 /nfs/dbraw/zinc/33/09/63/1073330963.db2.gz MJWXFLBHJHSGSK-ZDUSSCGKSA-N 0 0 439.581 -0.004 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000480467539 1073330989 /nfs/dbraw/zinc/33/09/89/1073330989.db2.gz GTVSIBVCYZLSEQ-INIZCTEOSA-N 0 0 436.600 -0.774 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000480467629 1073330926 /nfs/dbraw/zinc/33/09/26/1073330926.db2.gz GTVSIBVCYZLSEQ-MRXNPFEDSA-N 0 0 436.600 -0.774 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000480468879 1073331034 /nfs/dbraw/zinc/33/10/34/1073331034.db2.gz BURACJIJJUANIU-UHFFFAOYSA-N 0 0 446.532 -0.425 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000480469156 1073331228 /nfs/dbraw/zinc/33/12/28/1073331228.db2.gz KHDRBYWZYMYKBB-UHFFFAOYSA-N 0 0 426.437 -0.302 20 0 IBADRN CC(C)(C)N1C[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000480469519 1073331216 /nfs/dbraw/zinc/33/12/16/1073331216.db2.gz WCEYVFONDRWZCP-GFCCVEGCSA-N 0 0 426.495 -0.142 20 0 IBADRN CC(C)(C)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000480469520 1073331426 /nfs/dbraw/zinc/33/14/26/1073331426.db2.gz WCEYVFONDRWZCP-LBPRGKRZSA-N 0 0 426.495 -0.142 20 0 IBADRN CNS(=O)(=O)c1ccc(CNS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)s1 ZINC000480470036 1073332965 /nfs/dbraw/zinc/33/29/65/1073332965.db2.gz QIAAGBWNLQBRAU-UHFFFAOYSA-N 0 0 425.535 -0.067 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)OCC(=O)N4)CC2)o1 ZINC000480470912 1073333035 /nfs/dbraw/zinc/33/30/35/1073333035.db2.gz XPOFZEQOPWQOFR-UHFFFAOYSA-N 0 0 434.430 -0.144 20 0 IBADRN CCN(CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1)S(C)(=O)=O ZINC000480470986 1073332991 /nfs/dbraw/zinc/33/29/91/1073332991.db2.gz OOYUDYUECKIZIX-UHFFFAOYSA-N 0 0 427.570 -0.147 20 0 IBADRN CNS(=O)(=O)c1cccc(CNS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)c1 ZINC000480471536 1073333024 /nfs/dbraw/zinc/33/30/24/1073333024.db2.gz GVPAVIYKBNGMQT-UHFFFAOYSA-N 0 0 439.581 -0.314 20 0 IBADRN COC(=O)[C@H]1CCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000480472559 1073332850 /nfs/dbraw/zinc/33/28/50/1073332850.db2.gz QBKJPWWCRYLZRK-AAEUAGOBSA-N 0 0 449.507 -0.644 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000480472566 1073333096 /nfs/dbraw/zinc/33/30/96/1073333096.db2.gz QBKJPWWCRYLZRK-DGCLKSJQSA-N 0 0 449.507 -0.644 20 0 IBADRN COC(=O)[C@H]1CCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000480472569 1073333064 /nfs/dbraw/zinc/33/30/64/1073333064.db2.gz QBKJPWWCRYLZRK-WCQYABFASA-N 0 0 449.507 -0.644 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000480472573 1073333477 /nfs/dbraw/zinc/33/34/77/1073333477.db2.gz QBKJPWWCRYLZRK-YPMHNXCESA-N 0 0 449.507 -0.644 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000480473485 1073333373 /nfs/dbraw/zinc/33/33/73/1073333373.db2.gz AUGUUUWHUFZTBF-UHFFFAOYSA-N 0 0 429.470 -0.253 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480473516 1073333452 /nfs/dbraw/zinc/33/34/52/1073333452.db2.gz XJROPFGGLDNFGE-AWEZNQCLSA-N 0 0 431.602 -0.609 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480473517 1073333484 /nfs/dbraw/zinc/33/34/84/1073333484.db2.gz XJROPFGGLDNFGE-CQSZACIVSA-N 0 0 431.602 -0.609 20 0 IBADRN CCN(CC(C)(C)O)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480473812 1073333389 /nfs/dbraw/zinc/33/33/89/1073333389.db2.gz AMHJQCJHRDQVNK-UHFFFAOYSA-N 0 0 440.566 -0.142 20 0 IBADRN CN(Cc1cnccn1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480473849 1073333396 /nfs/dbraw/zinc/33/33/96/1073333396.db2.gz APZDLZLNYTTXJF-UHFFFAOYSA-N 0 0 446.533 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1C ZINC000480474032 1073333418 /nfs/dbraw/zinc/33/34/18/1073333418.db2.gz LFXRZOHSNPRPPY-CYBMUJFWSA-N 0 0 439.581 -0.147 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1C ZINC000480474033 1073333499 /nfs/dbraw/zinc/33/34/99/1073333499.db2.gz LFXRZOHSNPRPPY-ZDUSSCGKSA-N 0 0 439.581 -0.147 20 0 IBADRN CN(Cc1nccn1C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480474244 1073333490 /nfs/dbraw/zinc/33/34/90/1073333490.db2.gz AWUOVDWTYYNUQK-UHFFFAOYSA-N 0 0 448.549 -0.369 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCOC(C)(C)C2)CC1 ZINC000480474523 1073333443 /nfs/dbraw/zinc/33/34/43/1073333443.db2.gz BGLPXSMBQLIFIS-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cc1C ZINC000480474599 1073333436 /nfs/dbraw/zinc/33/34/36/1073333436.db2.gz UUAYIRURHGRGBT-INIZCTEOSA-N 0 0 444.579 -0.170 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cc1C ZINC000480474603 1073333379 /nfs/dbraw/zinc/33/33/79/1073333379.db2.gz UUAYIRURHGRGBT-MRXNPFEDSA-N 0 0 444.579 -0.170 20 0 IBADRN CN(Cc1ccon1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480475352 1073333456 /nfs/dbraw/zinc/33/34/56/1073333456.db2.gz CEQZAWXCACWRQE-UHFFFAOYSA-N 0 0 435.506 -0.115 20 0 IBADRN Cc1cc2c(cc1S(=O)(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)OCO2 ZINC000480475501 1073333363 /nfs/dbraw/zinc/33/33/63/1073333363.db2.gz GHQIOGIGTGOWKK-UHFFFAOYSA-N 0 0 433.508 -0.393 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000480476093 1073333969 /nfs/dbraw/zinc/33/39/69/1073333969.db2.gz DAQIXLLXGVSAFY-KRWDZBQOSA-N 0 0 441.488 -0.752 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000480476094 1073333894 /nfs/dbraw/zinc/33/38/94/1073333894.db2.gz DAQIXLLXGVSAFY-QGZVFWFLSA-N 0 0 441.488 -0.752 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCCOC(F)F)CC1 ZINC000480476750 1073333880 /nfs/dbraw/zinc/33/38/80/1073333880.db2.gz DONXHASQVZJDSQ-UHFFFAOYSA-N 0 0 448.492 -0.016 20 0 IBADRN C[C@](O)(CNC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1)CN1CCOCC1 ZINC000480477371 1073333818 /nfs/dbraw/zinc/33/38/18/1073333818.db2.gz QNNYJPHWKXIZKI-KRWDZBQOSA-N 0 0 433.552 -0.418 20 0 IBADRN C[C@@](O)(CNC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1)CN1CCOCC1 ZINC000480477380 1073333763 /nfs/dbraw/zinc/33/37/63/1073333763.db2.gz QNNYJPHWKXIZKI-QGZVFWFLSA-N 0 0 433.552 -0.418 20 0 IBADRN C[C@](O)(CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000480478484 1073334017 /nfs/dbraw/zinc/33/40/17/1073334017.db2.gz NPOYKVVXGDBBDP-IBGZPJMESA-N 0 0 427.523 -0.480 20 0 IBADRN C[C@@](O)(CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000480478485 1073333904 /nfs/dbraw/zinc/33/39/04/1073333904.db2.gz NPOYKVVXGDBBDP-LJQANCHMSA-N 0 0 427.523 -0.480 20 0 IBADRN C[C@@](O)(CNC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)CN1CCOCC1 ZINC000480478606 1073334048 /nfs/dbraw/zinc/33/40/48/1073334048.db2.gz CYIYJXZNVGRSOS-DENIHFKCSA-N 0 0 430.505 -0.339 20 0 IBADRN C[C@](O)(CNC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)CN1CCOCC1 ZINC000480478610 1073333923 /nfs/dbraw/zinc/33/39/23/1073333923.db2.gz CYIYJXZNVGRSOS-KNQAVFIVSA-N 0 0 430.505 -0.339 20 0 IBADRN C[C@@](O)(CNC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)CN1CCOCC1 ZINC000480478612 1073333718 /nfs/dbraw/zinc/33/37/18/1073333718.db2.gz CYIYJXZNVGRSOS-SIKLNZKXSA-N 0 0 430.505 -0.339 20 0 IBADRN C[C@](O)(CNC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)CN1CCOCC1 ZINC000480478613 1073333979 /nfs/dbraw/zinc/33/39/79/1073333979.db2.gz CYIYJXZNVGRSOS-UGKGYDQZSA-N 0 0 430.505 -0.339 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000480479157 1073332119 /nfs/dbraw/zinc/33/21/19/1073332119.db2.gz GONXJRDKSNQIDG-INIZCTEOSA-N 0 0 438.554 -0.458 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000480479158 1073332196 /nfs/dbraw/zinc/33/21/96/1073332196.db2.gz GONXJRDKSNQIDG-MRXNPFEDSA-N 0 0 438.554 -0.458 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NC[C@H]1COCCO1 ZINC000480479430 1073332148 /nfs/dbraw/zinc/33/21/48/1073332148.db2.gz PTWRQAIFTVKHFO-INIZCTEOSA-N 0 0 443.497 -0.030 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NC[C@@H]1COCCO1 ZINC000480479432 1073332261 /nfs/dbraw/zinc/33/22/61/1073332261.db2.gz PTWRQAIFTVKHFO-MRXNPFEDSA-N 0 0 443.497 -0.030 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(S(=O)(=O)c2cnnn2C)CC1 ZINC000480480417 1073332272 /nfs/dbraw/zinc/33/22/72/1073332272.db2.gz WRGOSJTVMRJZNL-UHFFFAOYSA-N 0 0 447.495 -0.493 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1C ZINC000480480529 1073332222 /nfs/dbraw/zinc/33/22/22/1073332222.db2.gz SWMMRZKIHFMZOX-UHFFFAOYSA-N 0 0 439.581 -0.052 20 0 IBADRN CC(C)N(C[C@H](C)O)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480480872 1073332212 /nfs/dbraw/zinc/33/22/12/1073332212.db2.gz JIPWRHIVVMPQSR-INIZCTEOSA-N 0 0 440.566 -0.143 20 0 IBADRN CC(C)N(C[C@@H](C)O)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480480874 1073332136 /nfs/dbraw/zinc/33/21/36/1073332136.db2.gz JIPWRHIVVMPQSR-MRXNPFEDSA-N 0 0 440.566 -0.143 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)CC1 ZINC000480481131 1073332229 /nfs/dbraw/zinc/33/22/29/1073332229.db2.gz MACQVTRAWKEXSO-UHFFFAOYSA-N 0 0 432.568 -0.264 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000480481841 1073332292 /nfs/dbraw/zinc/33/22/92/1073332292.db2.gz JXZZJTNCGYFBCB-IBGZPJMESA-N 0 0 427.523 -0.639 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000480481842 1073332237 /nfs/dbraw/zinc/33/22/37/1073332237.db2.gz JXZZJTNCGYFBCB-LJQANCHMSA-N 0 0 427.523 -0.639 20 0 IBADRN COc1cc(CNS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)ccc1OCC(N)=O ZINC000480481979 1073332550 /nfs/dbraw/zinc/33/25/50/1073332550.db2.gz XIRNNLJLXQEYLL-UHFFFAOYSA-N 0 0 429.476 -0.164 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cn1 ZINC000480482277 1073332492 /nfs/dbraw/zinc/33/24/92/1073332492.db2.gz QCQHMQQQKOALEC-UHFFFAOYSA-N 0 0 430.552 -0.196 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCC[C@](C)(O)C2)CC1 ZINC000480482706 1073332590 /nfs/dbraw/zinc/33/25/90/1073332590.db2.gz LPUHHAFLYCIJRW-FQEVSTJZSA-N 0 0 438.550 -0.388 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCC[C@@](C)(O)C2)CC1 ZINC000480482707 1073332562 /nfs/dbraw/zinc/33/25/62/1073332562.db2.gz LPUHHAFLYCIJRW-HXUWFJFHSA-N 0 0 438.550 -0.388 20 0 IBADRN CN(C[C@@H]1CCCO1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480483035 1073332899 /nfs/dbraw/zinc/33/28/99/1073332899.db2.gz MCSHGDVZISMPPG-KRWDZBQOSA-N 0 0 438.550 -0.124 20 0 IBADRN CN(C[C@H]1CCCO1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480483036 1073332944 /nfs/dbraw/zinc/33/29/44/1073332944.db2.gz MCSHGDVZISMPPG-QGZVFWFLSA-N 0 0 438.550 -0.124 20 0 IBADRN C[C@@H]1COCCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000480483738 1073332507 /nfs/dbraw/zinc/33/25/07/1073332507.db2.gz NJCOXYFMKDROLI-INIZCTEOSA-N 0 0 438.550 -0.266 20 0 IBADRN C[C@H]1COCCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000480483739 1073332500 /nfs/dbraw/zinc/33/25/00/1073332500.db2.gz NJCOXYFMKDROLI-MRXNPFEDSA-N 0 0 438.550 -0.266 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000480484516 1073332907 /nfs/dbraw/zinc/33/29/07/1073332907.db2.gz PWPXUMCGAPHZMJ-UHFFFAOYSA-N 0 0 437.566 -0.597 20 0 IBADRN CN(Cc1cc[nH]n1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480484986 1073335846 /nfs/dbraw/zinc/33/58/46/1073335846.db2.gz RYJLTCXKTXIYKS-UHFFFAOYSA-N 0 0 434.522 -0.379 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@](C)(O)CN2CCOCC2)C1 ZINC000480485340 1073335298 /nfs/dbraw/zinc/33/52/98/1073335298.db2.gz BSDYOQJHBOKMSH-APWZRJJASA-N 0 0 444.554 -0.108 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@](C)(O)CN2CCOCC2)C1 ZINC000480485341 1073335316 /nfs/dbraw/zinc/33/53/16/1073335316.db2.gz BSDYOQJHBOKMSH-LPHOPBHVSA-N 0 0 444.554 -0.108 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@@](C)(O)CN2CCOCC2)C1 ZINC000480485342 1073335063 /nfs/dbraw/zinc/33/50/63/1073335063.db2.gz BSDYOQJHBOKMSH-QFBILLFUSA-N 0 0 444.554 -0.108 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@@](C)(O)CN2CCOCC2)C1 ZINC000480485343 1073335222 /nfs/dbraw/zinc/33/52/22/1073335222.db2.gz BSDYOQJHBOKMSH-VQIMIIECSA-N 0 0 444.554 -0.108 20 0 IBADRN CCCNC(=O)CN1CCC(NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000480485426 1073333122 /nfs/dbraw/zinc/33/31/22/1073333122.db2.gz CKUTWYDWSBHXMY-UHFFFAOYSA-N 0 0 438.616 -0.432 20 0 IBADRN CN(CCCCO)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480485429 1073332953 /nfs/dbraw/zinc/33/29/53/1073332953.db2.gz SZODHOJMFSVOFY-UHFFFAOYSA-N 0 0 426.539 -0.530 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000480485731 1073332866 /nfs/dbraw/zinc/33/28/66/1073332866.db2.gz DYYXZFVLIBBBEF-UHFFFAOYSA-N 0 0 442.520 -0.540 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000480485953 1073335679 /nfs/dbraw/zinc/33/56/79/1073335679.db2.gz AKMWYSCPTJOMGX-KRWDZBQOSA-N 0 0 438.550 -0.124 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000480485955 1073335700 /nfs/dbraw/zinc/33/57/00/1073335700.db2.gz AKMWYSCPTJOMGX-QGZVFWFLSA-N 0 0 438.550 -0.124 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCCn2ccnn2)CC1 ZINC000480486129 1073335725 /nfs/dbraw/zinc/33/57/25/1073335725.db2.gz BADDGLYFZHPVMG-UHFFFAOYSA-N 0 0 449.537 -0.963 20 0 IBADRN COCCCN(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480486246 1073335829 /nfs/dbraw/zinc/33/58/29/1073335829.db2.gz BNMVNDHTHPPXEA-UHFFFAOYSA-N 0 0 426.539 -0.266 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480486373 1073335757 /nfs/dbraw/zinc/33/57/57/1073335757.db2.gz IFCVHSVOXIHLQS-UHFFFAOYSA-N 0 0 425.554 -0.032 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C[C@H](C)O1 ZINC000480486449 1073335837 /nfs/dbraw/zinc/33/58/37/1073335837.db2.gz CAACFSCLDRSGRC-IYBDPMFKSA-N 0 0 438.550 -0.125 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)c1 ZINC000480486616 1073335784 /nfs/dbraw/zinc/33/57/84/1073335784.db2.gz WQPZAIRUJRUYMO-UHFFFAOYSA-N 0 0 433.534 -0.106 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)c3ccc(S(C)(=O)=O)nc3)CC2)n2ncnc2n1 ZINC000480486963 1073336260 /nfs/dbraw/zinc/33/62/60/1073336260.db2.gz LNQCIXMBLWOFPG-UHFFFAOYSA-N 0 0 437.507 -0.258 20 0 IBADRN C[C@H](O)CCN(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480487212 1073336029 /nfs/dbraw/zinc/33/60/29/1073336029.db2.gz FLYCXEYQFRNQFH-HNNXBMFYSA-N 0 0 426.539 -0.532 20 0 IBADRN C[C@@H](O)CCN(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480487213 1073336222 /nfs/dbraw/zinc/33/62/22/1073336222.db2.gz FLYCXEYQFRNQFH-OAHLLOKOSA-N 0 0 426.539 -0.532 20 0 IBADRN C[C@H]1[C@@H](CO)CCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480487864 1073336095 /nfs/dbraw/zinc/33/60/95/1073336095.db2.gz ZUXPIFLFHFPSBU-DOTOQJQBSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@@H]1[C@@H](CO)CCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480487865 1073336049 /nfs/dbraw/zinc/33/60/49/1073336049.db2.gz ZUXPIFLFHFPSBU-NVXWUHKLSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@H]1[C@H](CO)CCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480487866 1073336153 /nfs/dbraw/zinc/33/61/53/1073336153.db2.gz ZUXPIFLFHFPSBU-RDJZCZTQSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@@H]1[C@H](CO)CCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480487867 1073336016 /nfs/dbraw/zinc/33/60/16/1073336016.db2.gz ZUXPIFLFHFPSBU-WBVHZDCISA-N 0 0 438.550 -0.532 20 0 IBADRN Cn1c2ccccc2n(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1=O ZINC000480488168 1073336265 /nfs/dbraw/zinc/33/62/65/1073336265.db2.gz FWVPNMKORXMMKQ-UHFFFAOYSA-N 0 0 447.473 -0.435 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C[C@H]1CO ZINC000480488216 1073336240 /nfs/dbraw/zinc/33/62/40/1073336240.db2.gz HPXOZUOZCXYGDQ-WBVHZDCISA-N 0 0 438.550 -0.674 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N(CCO)C2CCC2)CC1 ZINC000480488280 1073336170 /nfs/dbraw/zinc/33/61/70/1073336170.db2.gz ILKFHBVIGXPQFE-UHFFFAOYSA-N 0 0 438.550 -0.388 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCn2nc3n(c2=O)CCCC3)cc1C ZINC000480488591 1073336252 /nfs/dbraw/zinc/33/62/52/1073336252.db2.gz RQMDLJRHSMUDQO-UHFFFAOYSA-N 0 0 443.551 -0.034 20 0 IBADRN CCCCN(CCO)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480488800 1073336137 /nfs/dbraw/zinc/33/61/37/1073336137.db2.gz JMLOKVOMARCECE-UHFFFAOYSA-N 0 0 440.566 -0.140 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000480488861 1073336007 /nfs/dbraw/zinc/33/60/07/1073336007.db2.gz JYTVGWATVIGKNP-CALCHBBNSA-N 0 0 436.534 -0.371 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@](C)(O)CN2CCOCC2)C1 ZINC000480489231 1073336108 /nfs/dbraw/zinc/33/61/08/1073336108.db2.gz BNBYUIVWPKXIOJ-APWZRJJASA-N 0 0 443.570 -0.373 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@](C)(O)CN2CCOCC2)C1 ZINC000480489236 1073336060 /nfs/dbraw/zinc/33/60/60/1073336060.db2.gz BNBYUIVWPKXIOJ-LPHOPBHVSA-N 0 0 443.570 -0.373 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@@](C)(O)CN2CCOCC2)C1 ZINC000480489239 1073336080 /nfs/dbraw/zinc/33/60/80/1073336080.db2.gz BNBYUIVWPKXIOJ-QFBILLFUSA-N 0 0 443.570 -0.373 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@@](C)(O)CN2CCOCC2)C1 ZINC000480489242 1073336549 /nfs/dbraw/zinc/33/65/49/1073336549.db2.gz BNBYUIVWPKXIOJ-VQIMIIECSA-N 0 0 443.570 -0.373 20 0 IBADRN COCn1nccc1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000480490212 1073334294 /nfs/dbraw/zinc/33/42/94/1073334294.db2.gz ZGOKCDQUJYUXIK-KBPBESRZSA-N 0 0 437.544 -0.853 20 0 IBADRN COCn1nccc1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000480490215 1073334311 /nfs/dbraw/zinc/33/43/11/1073334311.db2.gz ZGOKCDQUJYUXIK-OKILXGFUSA-N 0 0 437.544 -0.853 20 0 IBADRN COCn1nccc1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000480490219 1073334370 /nfs/dbraw/zinc/33/43/70/1073334370.db2.gz ZGOKCDQUJYUXIK-ZIAGYGMSSA-N 0 0 437.544 -0.853 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCNC(=O)Cc3ccccc3)CC2)o1 ZINC000480491706 1073334278 /nfs/dbraw/zinc/33/42/78/1073334278.db2.gz QYTAOZHCDSCYAB-UHFFFAOYSA-N 0 0 448.501 -0.040 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000480491724 1073334329 /nfs/dbraw/zinc/33/43/29/1073334329.db2.gz FUJYRBVUBYHZJI-FQEVSTJZSA-N 0 0 441.550 -0.171 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000480491728 1073334303 /nfs/dbraw/zinc/33/43/03/1073334303.db2.gz FUJYRBVUBYHZJI-HXUWFJFHSA-N 0 0 441.550 -0.171 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCCO1 ZINC000480493199 1073334720 /nfs/dbraw/zinc/33/47/20/1073334720.db2.gz RGFYQMHMOQIDBB-INIZCTEOSA-N 0 0 438.550 -0.124 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCCO1 ZINC000480493202 1073334729 /nfs/dbraw/zinc/33/47/29/1073334729.db2.gz RGFYQMHMOQIDBB-MRXNPFEDSA-N 0 0 438.550 -0.124 20 0 IBADRN CS(=O)(=O)c1ccccc1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000480493395 1073334739 /nfs/dbraw/zinc/33/47/39/1073334739.db2.gz HDNYCPIPFYUUDN-UHFFFAOYSA-N 0 0 441.487 -0.071 20 0 IBADRN C[C@](O)(CNC(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21)CN1CCOCC1 ZINC000480493625 1073334388 /nfs/dbraw/zinc/33/43/88/1073334388.db2.gz PBFFLBKDZRLQQF-FQEVSTJZSA-N 0 0 428.449 -0.099 20 0 IBADRN C[C@@](O)(CNC(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21)CN1CCOCC1 ZINC000480493958 1073334764 /nfs/dbraw/zinc/33/47/64/1073334764.db2.gz PBFFLBKDZRLQQF-HXUWFJFHSA-N 0 0 428.449 -0.099 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NCCCn1nc2n(c1=O)CCCC2 ZINC000480494260 1073334733 /nfs/dbraw/zinc/33/47/33/1073334733.db2.gz QMZPXNXCVCDALM-UHFFFAOYSA-N 0 0 442.524 -0.194 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480494299 1073334634 /nfs/dbraw/zinc/33/46/34/1073334634.db2.gz SRWYNNSZJPOLHH-UHFFFAOYSA-N 0 0 448.549 -0.406 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)NC3CCCCC3)CC2)o1 ZINC000480494444 1073334688 /nfs/dbraw/zinc/33/46/88/1073334688.db2.gz YBDVHCQMEBJGFD-UHFFFAOYSA-N 0 0 441.510 -0.157 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)NCc3ccco3)CC2)o1 ZINC000480494860 1073334657 /nfs/dbraw/zinc/33/46/57/1073334657.db2.gz JRWNNXYVAUGYPV-UHFFFAOYSA-N 0 0 439.450 -0.696 20 0 IBADRN NC(=O)NCc1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1 ZINC000480495337 1073334612 /nfs/dbraw/zinc/33/46/12/1073334612.db2.gz KHADSEQCTGQKTO-UHFFFAOYSA-N 0 0 435.462 -0.307 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000480495427 1073334696 /nfs/dbraw/zinc/33/46/96/1073334696.db2.gz IHTUYWMJFCXAHI-IBGZPJMESA-N 0 0 444.554 -0.922 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000480495446 1073334776 /nfs/dbraw/zinc/33/47/76/1073334776.db2.gz IHTUYWMJFCXAHI-LJQANCHMSA-N 0 0 444.554 -0.922 20 0 IBADRN COC1(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCC1 ZINC000480495760 1073334757 /nfs/dbraw/zinc/33/47/57/1073334757.db2.gz VCRVQYIPYUTOMA-UHFFFAOYSA-N 0 0 438.550 -0.076 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3sccc3-n3cnnn3)CC2)o1 ZINC000480496199 1073335259 /nfs/dbraw/zinc/33/52/59/1073335259.db2.gz LIAZACWLMUECSF-UHFFFAOYSA-N 0 0 437.463 -0.438 20 0 IBADRN COC1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000480496624 1073334677 /nfs/dbraw/zinc/33/46/77/1073334677.db2.gz VSRGXCYLUPVRLY-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN CC[C@H]1COCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480496631 1073335169 /nfs/dbraw/zinc/33/51/69/1073335169.db2.gz VUYOBPCLMJFVKT-KRWDZBQOSA-N 0 0 438.550 -0.124 20 0 IBADRN CC[C@@H]1COCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480496633 1073335197 /nfs/dbraw/zinc/33/51/97/1073335197.db2.gz VUYOBPCLMJFVKT-QGZVFWFLSA-N 0 0 438.550 -0.124 20 0 IBADRN CN(CC(C)(C)O)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480496647 1073334711 /nfs/dbraw/zinc/33/47/11/1073334711.db2.gz VXQARFMFVLIDKT-UHFFFAOYSA-N 0 0 426.539 -0.532 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000480499193 1073337654 /nfs/dbraw/zinc/33/76/54/1073337654.db2.gz NZJZQTFLZYHGSH-IBGZPJMESA-N 0 0 442.538 -0.487 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000480499194 1073337624 /nfs/dbraw/zinc/33/76/24/1073337624.db2.gz NZJZQTFLZYHGSH-LJQANCHMSA-N 0 0 442.538 -0.487 20 0 IBADRN C[C@](O)(CNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)CN1CCOCC1 ZINC000480500266 1073337508 /nfs/dbraw/zinc/33/75/08/1073337508.db2.gz QAUQSQNWGNLWTC-IBGZPJMESA-N 0 0 443.522 -0.674 20 0 IBADRN C[C@@](O)(CNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)CN1CCOCC1 ZINC000480500267 1073337403 /nfs/dbraw/zinc/33/74/03/1073337403.db2.gz QAUQSQNWGNLWTC-LJQANCHMSA-N 0 0 443.522 -0.674 20 0 IBADRN C[C@](O)(CNC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)CN1CCOCC1 ZINC000480503674 1073336697 /nfs/dbraw/zinc/33/66/97/1073336697.db2.gz ZJDFVMQDBBPBEL-FQEVSTJZSA-N 0 0 425.551 -0.270 20 0 IBADRN C[C@@](O)(CNC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)CN1CCOCC1 ZINC000480503676 1073336766 /nfs/dbraw/zinc/33/67/66/1073336766.db2.gz ZJDFVMQDBBPBEL-HXUWFJFHSA-N 0 0 425.551 -0.270 20 0 IBADRN COC[C@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000480507465 1073336978 /nfs/dbraw/zinc/33/69/78/1073336978.db2.gz ADUQISYJISHDOY-INIZCTEOSA-N 0 0 438.550 -0.266 20 0 IBADRN COC[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000480507466 1073337110 /nfs/dbraw/zinc/33/71/10/1073337110.db2.gz ADUQISYJISHDOY-MRXNPFEDSA-N 0 0 438.550 -0.266 20 0 IBADRN Cc1ocnc1CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480508318 1073337024 /nfs/dbraw/zinc/33/70/24/1073337024.db2.gz FJNYNSDQUKCSEY-UHFFFAOYSA-N 0 0 435.506 -0.148 20 0 IBADRN CCOCCN(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480508507 1073337177 /nfs/dbraw/zinc/33/71/77/1073337177.db2.gz FZDHCDUUETUCPG-UHFFFAOYSA-N 0 0 426.539 -0.266 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480508645 1073336924 /nfs/dbraw/zinc/33/69/24/1073336924.db2.gz GFXICPFJRKPTNR-GMYLUUGSSA-N 0 0 444.579 -0.878 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480508646 1073337136 /nfs/dbraw/zinc/33/71/36/1073337136.db2.gz GFXICPFJRKPTNR-HJFSHJIFSA-N 0 0 444.579 -0.878 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480508647 1073337016 /nfs/dbraw/zinc/33/70/16/1073337016.db2.gz GFXICPFJRKPTNR-POXGOYDTSA-N 0 0 444.579 -0.878 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480508648 1073337054 /nfs/dbraw/zinc/33/70/54/1073337054.db2.gz GFXICPFJRKPTNR-SUMNFNSASA-N 0 0 444.579 -0.878 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)C1CC1 ZINC000480508952 1073337166 /nfs/dbraw/zinc/33/71/66/1073337166.db2.gz HUUFTETYSIXUOF-KRWDZBQOSA-N 0 0 438.550 -0.220 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)C1CC1 ZINC000480508953 1073337042 /nfs/dbraw/zinc/33/70/42/1073337042.db2.gz HUUFTETYSIXUOF-QGZVFWFLSA-N 0 0 438.550 -0.220 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000480509482 1073337142 /nfs/dbraw/zinc/33/71/42/1073337142.db2.gz JGNVTPWLWUXBOX-GOSISDBHSA-N 0 0 429.521 -0.079 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000480509483 1073336949 /nfs/dbraw/zinc/33/69/49/1073336949.db2.gz JGNVTPWLWUXBOX-SFHVURJKSA-N 0 0 429.521 -0.079 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N(CCO)CC(F)F)CC1 ZINC000480509749 1073337082 /nfs/dbraw/zinc/33/70/82/1073337082.db2.gz JIXAEDROTZEQCA-UHFFFAOYSA-N 0 0 448.492 -0.675 20 0 IBADRN CN(C[C@@H]1CCOC1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480510341 1073337002 /nfs/dbraw/zinc/33/70/02/1073337002.db2.gz KRLSMFWXNBKRAI-INIZCTEOSA-N 0 0 438.550 -0.266 20 0 IBADRN CN(C[C@H]1CCOC1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480510342 1073337099 /nfs/dbraw/zinc/33/70/99/1073337099.db2.gz KRLSMFWXNBKRAI-MRXNPFEDSA-N 0 0 438.550 -0.266 20 0 IBADRN CCOC(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000480511904 1073337357 /nfs/dbraw/zinc/33/73/57/1073337357.db2.gz FAVSBFVUSYUCRK-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000480512116 1073338463 /nfs/dbraw/zinc/33/84/63/1073338463.db2.gz JKLXHZPNVOLRAE-CYBMUJFWSA-N 0 0 448.519 -0.049 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000480512117 1073338431 /nfs/dbraw/zinc/33/84/31/1073338431.db2.gz JKLXHZPNVOLRAE-ZDUSSCGKSA-N 0 0 448.519 -0.049 20 0 IBADRN CCN(CCCO)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480512417 1073336816 /nfs/dbraw/zinc/33/68/16/1073336816.db2.gz NRIMHDUBEGSJAV-UHFFFAOYSA-N 0 0 426.539 -0.530 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccccc4)C3=O)CC2)c1=O ZINC000480514039 1073338829 /nfs/dbraw/zinc/33/88/29/1073338829.db2.gz PLPVYKQOMHKUMM-KRWDZBQOSA-N 0 0 438.488 -0.259 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccccc4)C3=O)CC2)c1=O ZINC000480514047 1073338741 /nfs/dbraw/zinc/33/87/41/1073338741.db2.gz PLPVYKQOMHKUMM-QGZVFWFLSA-N 0 0 438.488 -0.259 20 0 IBADRN CO[C@@H]1CC[C@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000480514524 1073338392 /nfs/dbraw/zinc/33/83/92/1073338392.db2.gz QBTSDVXJUPTEHH-DLBZAZTESA-N 0 0 438.550 -0.077 20 0 IBADRN CO[C@@H]1CC[C@@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000480514526 1073338363 /nfs/dbraw/zinc/33/83/63/1073338363.db2.gz QBTSDVXJUPTEHH-IAGOWNOFSA-N 0 0 438.550 -0.077 20 0 IBADRN CO[C@H]1CC[C@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000480514528 1073338457 /nfs/dbraw/zinc/33/84/57/1073338457.db2.gz QBTSDVXJUPTEHH-IRXDYDNUSA-N 0 0 438.550 -0.077 20 0 IBADRN CO[C@H]1CC[C@@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000480514530 1073338413 /nfs/dbraw/zinc/33/84/13/1073338413.db2.gz QBTSDVXJUPTEHH-SJORKVTESA-N 0 0 438.550 -0.077 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC000480515842 1073338875 /nfs/dbraw/zinc/33/88/75/1073338875.db2.gz SFZFPZAECLRSNY-CYBMUJFWSA-N 0 0 427.479 -0.006 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC000480515843 1073338890 /nfs/dbraw/zinc/33/88/90/1073338890.db2.gz SFZFPZAECLRSNY-ZDUSSCGKSA-N 0 0 427.479 -0.006 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480516040 1073338754 /nfs/dbraw/zinc/33/87/54/1073338754.db2.gz SKDDJFCUBIKKNK-CVEARBPZSA-N 0 0 438.550 -0.125 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@@H](C)CO1 ZINC000480516042 1073338705 /nfs/dbraw/zinc/33/87/05/1073338705.db2.gz SKDDJFCUBIKKNK-HOTGVXAUSA-N 0 0 438.550 -0.125 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@H](C)CO1 ZINC000480516044 1073338787 /nfs/dbraw/zinc/33/87/87/1073338787.db2.gz SKDDJFCUBIKKNK-HZPDHXFCSA-N 0 0 438.550 -0.125 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[C@@H](C)CO1 ZINC000480516046 1073338715 /nfs/dbraw/zinc/33/87/15/1073338715.db2.gz SKDDJFCUBIKKNK-JKSUJKDBSA-N 0 0 438.550 -0.125 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N[C@H]2CCCOCC2)CC1 ZINC000480516483 1073338721 /nfs/dbraw/zinc/33/87/21/1073338721.db2.gz UECYUQDAWDWCIG-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N[C@@H]2CCCOCC2)CC1 ZINC000480516485 1073338840 /nfs/dbraw/zinc/33/88/40/1073338840.db2.gz UECYUQDAWDWCIG-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCC(C)(C)O)CC1 ZINC000480517114 1073338729 /nfs/dbraw/zinc/33/87/29/1073338729.db2.gz VZTHZURBHDMUHZ-UHFFFAOYSA-N 0 0 426.539 -0.484 20 0 IBADRN CCCN(CCO)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000480517412 1073338862 /nfs/dbraw/zinc/33/88/62/1073338862.db2.gz WSHLXLNIUOIEKO-UHFFFAOYSA-N 0 0 426.539 -0.530 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@H]1CCCN(c2ccccc2)C1=O ZINC000480517633 1073338771 /nfs/dbraw/zinc/33/87/71/1073338771.db2.gz XGIPRUUBKDHUPK-INIZCTEOSA-N 0 0 449.489 -0.478 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CCCN(c2ccccc2)C1=O ZINC000480517634 1073338796 /nfs/dbraw/zinc/33/87/96/1073338796.db2.gz XGIPRUUBKDHUPK-MRXNPFEDSA-N 0 0 449.489 -0.478 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000480517813 1073338853 /nfs/dbraw/zinc/33/88/53/1073338853.db2.gz YNZKITCIVOQQQC-DOTOQJQBSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000480517814 1073338760 /nfs/dbraw/zinc/33/87/60/1073338760.db2.gz YNZKITCIVOQQQC-NVXWUHKLSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000480517815 1073339203 /nfs/dbraw/zinc/33/92/03/1073339203.db2.gz YNZKITCIVOQQQC-RDJZCZTQSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000480517816 1073339213 /nfs/dbraw/zinc/33/92/13/1073339213.db2.gz YNZKITCIVOQQQC-WBVHZDCISA-N 0 0 438.550 -0.077 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)n1 ZINC000480517825 1073339187 /nfs/dbraw/zinc/33/91/87/1073339187.db2.gz YQOUAEPWOBQODP-UHFFFAOYSA-N 0 0 448.549 -0.669 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1 ZINC000480518575 1073339112 /nfs/dbraw/zinc/33/91/12/1073339112.db2.gz BLZNTKUTDZYDER-UHFFFAOYSA-N 0 0 425.492 -0.510 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000480520755 1073339170 /nfs/dbraw/zinc/33/91/70/1073339170.db2.gz FZRXVVQCJXOYCI-UHFFFAOYSA-N 0 0 445.567 -0.696 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480520822 1073339192 /nfs/dbraw/zinc/33/91/92/1073339192.db2.gz GLIGYUCEAHHEJX-UHFFFAOYSA-N 0 0 447.535 -0.093 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1C ZINC000480521314 1073337667 /nfs/dbraw/zinc/33/76/67/1073337667.db2.gz DIXMQWBVLXTHEB-UHFFFAOYSA-N 0 0 439.581 -0.147 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)C1 ZINC000480522970 1073337568 /nfs/dbraw/zinc/33/75/68/1073337568.db2.gz CWAPUMRPUHOZQU-CYBMUJFWSA-N 0 0 447.535 -0.093 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)C1 ZINC000480522972 1073337604 /nfs/dbraw/zinc/33/76/04/1073337604.db2.gz CWAPUMRPUHOZQU-ZDUSSCGKSA-N 0 0 447.535 -0.093 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)CC1 ZINC000480524003 1073337862 /nfs/dbraw/zinc/33/78/62/1073337862.db2.gz FNQLREYQBVGXQA-UHFFFAOYSA-N 0 0 425.554 -0.441 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CSC[C@H]2C(=O)NCC2CC2)CC1 ZINC000480525498 1073337994 /nfs/dbraw/zinc/33/79/94/1073337994.db2.gz LCVNUWJUDGERFC-AWEZNQCLSA-N 0 0 425.598 -0.111 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CSC[C@@H]2C(=O)NCC2CC2)CC1 ZINC000480525499 1073337983 /nfs/dbraw/zinc/33/79/83/1073337983.db2.gz LCVNUWJUDGERFC-CQSZACIVSA-N 0 0 425.598 -0.111 20 0 IBADRN CN1C(=O)c2ccc(C(=O)N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)cc2C1=O ZINC000480529091 1073339514 /nfs/dbraw/zinc/33/95/14/1073339514.db2.gz NHYLTVIITVHTRB-UHFFFAOYSA-N 0 0 446.441 -0.249 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480530089 1073339130 /nfs/dbraw/zinc/33/91/30/1073339130.db2.gz OWJOJYAZZUXAGH-UHFFFAOYSA-N 0 0 432.568 -0.327 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)[C@H](C)[C@H](C)C(=O)N1CCC[C@H](O)[C@H]1C(=O)OC ZINC000480530799 1073339200 /nfs/dbraw/zinc/33/92/00/1073339200.db2.gz TYXFSUOPBBJOQX-AYCBFJCHSA-N 0 0 428.482 -0.692 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)[C@@H](C)[C@@H](C)C(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000480530800 1073339224 /nfs/dbraw/zinc/33/92/24/1073339224.db2.gz TYXFSUOPBBJOQX-GTDBDLECSA-N 0 0 428.482 -0.692 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)[C@@H](C)[C@@H](C)C(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000480530801 1073339139 /nfs/dbraw/zinc/33/91/39/1073339139.db2.gz TYXFSUOPBBJOQX-QTKYJZQCSA-N 0 0 428.482 -0.692 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)[C@H](C)[C@H](C)C(=O)N1CCC[C@@H](O)[C@H]1C(=O)OC ZINC000480530802 1073339208 /nfs/dbraw/zinc/33/92/08/1073339208.db2.gz TYXFSUOPBBJOQX-UKRFAEJPSA-N 0 0 428.482 -0.692 20 0 IBADRN COCn1nccc1S(=O)(=O)NCCN(C1CC1)S(=O)(=O)c1ccnn1COC ZINC000480533044 1073339218 /nfs/dbraw/zinc/33/92/18/1073339218.db2.gz ULOWTMLMQIKFPT-UHFFFAOYSA-N 0 0 448.527 -0.581 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000480534269 1073339226 /nfs/dbraw/zinc/33/92/26/1073339226.db2.gz CTUONCWXHSXRAR-CABCVRRESA-N 0 0 425.511 -0.224 20 0 IBADRN COC(=O)[C@H]1CCC[C@@H]1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000480534270 1073339573 /nfs/dbraw/zinc/33/95/73/1073339573.db2.gz CTUONCWXHSXRAR-GJZGRUSLSA-N 0 0 425.511 -0.224 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000480534271 1073339434 /nfs/dbraw/zinc/33/94/34/1073339434.db2.gz CTUONCWXHSXRAR-HUUCEWRRSA-N 0 0 425.511 -0.224 20 0 IBADRN COC(=O)[C@H]1CCC[C@H]1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000480534272 1073339558 /nfs/dbraw/zinc/33/95/58/1073339558.db2.gz CTUONCWXHSXRAR-LSDHHAIUSA-N 0 0 425.511 -0.224 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480536157 1073339592 /nfs/dbraw/zinc/33/95/92/1073339592.db2.gz LOYICZNKJCFWBO-AWEZNQCLSA-N 0 0 448.567 -0.667 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480536162 1073339481 /nfs/dbraw/zinc/33/94/81/1073339481.db2.gz LOYICZNKJCFWBO-CQSZACIVSA-N 0 0 448.567 -0.667 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2cccnc2=O)CC1 ZINC000480540931 1073339594 /nfs/dbraw/zinc/33/95/94/1073339594.db2.gz OECSDNKPKVBFAR-UHFFFAOYSA-N 0 0 438.462 -0.227 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1=O ZINC000480541606 1073339446 /nfs/dbraw/zinc/33/94/46/1073339446.db2.gz PIPKWVOYLYJMHN-UHFFFAOYSA-N 0 0 438.506 -0.205 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1CCCNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480544183 1073340317 /nfs/dbraw/zinc/34/03/17/1073340317.db2.gz YQBVWZKOBKRUPH-INIZCTEOSA-N 0 0 438.616 -0.480 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1CCCNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480544184 1073340266 /nfs/dbraw/zinc/34/02/66/1073340266.db2.gz YQBVWZKOBKRUPH-MRXNPFEDSA-N 0 0 438.616 -0.480 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000480544853 1073340379 /nfs/dbraw/zinc/34/03/79/1073340379.db2.gz IDPORVYGHFQXIL-KRWDZBQOSA-N 0 0 440.570 -0.759 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000480544854 1073340243 /nfs/dbraw/zinc/34/02/43/1073340243.db2.gz IDPORVYGHFQXIL-QGZVFWFLSA-N 0 0 440.570 -0.759 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000480551152 1073339899 /nfs/dbraw/zinc/33/98/99/1073339899.db2.gz IENYOCZMKBOQHB-UHFFFAOYSA-N 0 0 448.509 -0.168 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)Cc3ccccc3)CC2)o1 ZINC000480551873 1073339855 /nfs/dbraw/zinc/33/98/55/1073339855.db2.gz GWENQAKHWQSQJO-UHFFFAOYSA-N 0 0 434.474 -0.430 20 0 IBADRN C[C@@]12CCC(=O)N1[C@H](C(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)CS2 ZINC000480553522 1073339889 /nfs/dbraw/zinc/33/98/89/1073339889.db2.gz KQPHESDIAPKBSW-APPDUMDISA-N 0 0 442.519 -0.335 20 0 IBADRN C[C@]12CCC(=O)N1[C@@H](C(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)CS2 ZINC000480553530 1073339818 /nfs/dbraw/zinc/33/98/18/1073339818.db2.gz KQPHESDIAPKBSW-DIFFPNOSSA-N 0 0 442.519 -0.335 20 0 IBADRN C[C@]12CCC(=O)N1[C@H](C(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)CS2 ZINC000480553533 1073339833 /nfs/dbraw/zinc/33/98/33/1073339833.db2.gz KQPHESDIAPKBSW-GTNSWQLSSA-N 0 0 442.519 -0.335 20 0 IBADRN C[C@@]12CCC(=O)N1[C@@H](C(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)CS2 ZINC000480553535 1073339920 /nfs/dbraw/zinc/33/99/20/1073339920.db2.gz KQPHESDIAPKBSW-PIGZYNQJSA-N 0 0 442.519 -0.335 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnc4ccccc4c3=O)CC2)o1 ZINC000480557468 1073340471 /nfs/dbraw/zinc/34/04/71/1073340471.db2.gz SEHCZFQGNRTMPQ-UHFFFAOYSA-N 0 0 445.457 -0.379 20 0 IBADRN CCN(C)S(=O)(=O)c1ccccc1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000480557622 1073340453 /nfs/dbraw/zinc/34/04/53/1073340453.db2.gz SUMZLZRSNCKADL-UHFFFAOYSA-N 0 0 442.523 -0.408 20 0 IBADRN C[C@@]1(c2ccc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2)NC(=O)NC1=O ZINC000480558343 1073340366 /nfs/dbraw/zinc/34/03/66/1073340366.db2.gz SJZHMLDJUVUCAB-NRFANRHFSA-N 0 0 429.477 -0.642 20 0 IBADRN C[C@]1(c2ccc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2)NC(=O)NC1=O ZINC000480558353 1073340342 /nfs/dbraw/zinc/34/03/42/1073340342.db2.gz SJZHMLDJUVUCAB-OAQYLSRUSA-N 0 0 429.477 -0.642 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000480562134 1073340707 /nfs/dbraw/zinc/34/07/07/1073340707.db2.gz ZGTKBCXDTTZVFX-UHFFFAOYSA-N 0 0 438.506 -0.203 20 0 IBADRN NC(=O)COc1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1 ZINC000480564915 1073340727 /nfs/dbraw/zinc/34/07/27/1073340727.db2.gz DEFLNXJJTKDRPB-UHFFFAOYSA-N 0 0 436.446 -0.611 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)c2=O ZINC000480564976 1073340863 /nfs/dbraw/zinc/34/08/63/1073340863.db2.gz DSGXBPVUMMXUBK-UHFFFAOYSA-N 0 0 445.457 -0.158 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000480565484 1073340777 /nfs/dbraw/zinc/34/07/77/1073340777.db2.gz GHZUCCWKXCCKKT-UHFFFAOYSA-N 0 0 440.566 -0.079 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000480567597 1073340885 /nfs/dbraw/zinc/34/08/85/1073340885.db2.gz NTIVGUFXHSOQSO-UHFFFAOYSA-N 0 0 445.501 -0.026 20 0 IBADRN COc1ccc(OCCCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000480567598 1073340874 /nfs/dbraw/zinc/34/08/74/1073340874.db2.gz NUDPUGSLBBNBHA-UHFFFAOYSA-N 0 0 448.520 -0.417 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)c(C)nn1C ZINC000480568194 1073340819 /nfs/dbraw/zinc/34/08/19/1073340819.db2.gz QTPPRUVDECDXQY-UHFFFAOYSA-N 0 0 434.541 -0.244 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)c(C)nn1C ZINC000480578924 1073341188 /nfs/dbraw/zinc/34/11/88/1073341188.db2.gz HRQPCUCMENDWCG-UHFFFAOYSA-N 0 0 442.520 -0.186 20 0 IBADRN CCN(CCCNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)S(C)(=O)=O ZINC000480581424 1073341101 /nfs/dbraw/zinc/34/11/01/1073341101.db2.gz BYCPXYOTOPCCMY-UHFFFAOYSA-N 0 0 426.543 -0.307 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000480582121 1073341715 /nfs/dbraw/zinc/34/17/15/1073341715.db2.gz LPPINDDGXQDRQR-UHFFFAOYSA-N 0 0 448.505 -0.820 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c(C)nn1C ZINC000480582346 1074352199 /nfs/dbraw/zinc/35/21/99/1074352199.db2.gz NJZCXFRMYXGLLT-UHFFFAOYSA-N 0 0 433.469 -0.567 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000480583513 1073341776 /nfs/dbraw/zinc/34/17/76/1073341776.db2.gz RIANLBAHIIQDLL-UHFFFAOYSA-N 0 0 437.482 -0.531 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)co1 ZINC000480592569 1073341854 /nfs/dbraw/zinc/34/18/54/1073341854.db2.gz ARNFKYLIHLUHES-UHFFFAOYSA-N 0 0 443.507 -0.796 20 0 IBADRN COCCN1C[C@H](C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CC1=O ZINC000480596676 1073341757 /nfs/dbraw/zinc/34/17/57/1073341757.db2.gz XCLVPKVTSWWGNI-GOSISDBHSA-N 0 0 439.534 -0.040 20 0 IBADRN COCCN1C[C@@H](C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CC1=O ZINC000480596677 1073341701 /nfs/dbraw/zinc/34/17/01/1073341701.db2.gz XCLVPKVTSWWGNI-SFHVURJKSA-N 0 0 439.534 -0.040 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H]2CC[C@H](C(=O)N3CCO[C@@H](C(=O)OC)C3)CC2)CCO1 ZINC000480598882 1073341654 /nfs/dbraw/zinc/34/16/54/1073341654.db2.gz WOZWRKMPXOJFJA-KLHDSHLOSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H]2CC[C@H](C(=O)N3CCO[C@H](C(=O)OC)C3)CC2)CCO1 ZINC000480598883 1073341793 /nfs/dbraw/zinc/34/17/93/1073341793.db2.gz WOZWRKMPXOJFJA-VGWMRTNUSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCO[C@H](C(=O)OC)C3)CC2)CCO1 ZINC000480598884 1073342054 /nfs/dbraw/zinc/34/20/54/1073342054.db2.gz WOZWRKMPXOJFJA-ZNNBLSDJSA-N 0 0 426.466 -0.406 20 0 IBADRN COCn1nccc1S(=O)(=O)N[C@H](C(=O)N(C)Cc1nnc2n1CCC2)C(C)C ZINC000480598982 1073342081 /nfs/dbraw/zinc/34/20/81/1073342081.db2.gz CDXUCKGHPXTGIV-INIZCTEOSA-N 0 0 425.515 -0.014 20 0 IBADRN COCn1nccc1S(=O)(=O)N[C@@H](C(=O)N(C)Cc1nnc2n1CCC2)C(C)C ZINC000480598983 1073342044 /nfs/dbraw/zinc/34/20/44/1073342044.db2.gz CDXUCKGHPXTGIV-MRXNPFEDSA-N 0 0 425.515 -0.014 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1cc(C(N)=O)n(C)c1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000480604383 1073342030 /nfs/dbraw/zinc/34/20/30/1073342030.db2.gz BDUAFIRHXQDYKF-INIZCTEOSA-N 0 0 437.526 -0.377 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1cc(C(N)=O)n(C)c1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000480604384 1073342548 /nfs/dbraw/zinc/34/25/48/1073342548.db2.gz BDUAFIRHXQDYKF-MRXNPFEDSA-N 0 0 437.526 -0.377 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N[C@H](C(=O)N(C)Cc1nnc2n1CCC2)C(C)C ZINC000480606033 1073342753 /nfs/dbraw/zinc/34/27/53/1073342753.db2.gz DFYPSFXYPMDOPL-INIZCTEOSA-N 0 0 430.531 -0.463 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N[C@@H](C(=O)N(C)Cc1nnc2n1CCC2)C(C)C ZINC000480606045 1073342686 /nfs/dbraw/zinc/34/26/86/1073342686.db2.gz DFYPSFXYPMDOPL-MRXNPFEDSA-N 0 0 430.531 -0.463 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1 ZINC000480608006 1073342117 /nfs/dbraw/zinc/34/21/17/1073342117.db2.gz FORPJMBFMMCEPA-UHFFFAOYSA-N 0 0 441.487 -0.071 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)c1cn([C@@H]2CCOC2)nn1 ZINC000480613997 1073342167 /nfs/dbraw/zinc/34/21/67/1073342167.db2.gz QKIHRJBGNDTXQL-CYBMUJFWSA-N 0 0 435.462 -0.186 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)c1cn([C@H]2CCOC2)nn1 ZINC000480613998 1073342191 /nfs/dbraw/zinc/34/21/91/1073342191.db2.gz QKIHRJBGNDTXQL-ZDUSSCGKSA-N 0 0 435.462 -0.186 20 0 IBADRN COc1c(CNC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)c(C)nn1C ZINC000480615514 1073342256 /nfs/dbraw/zinc/34/22/56/1073342256.db2.gz AHBYIWWDOSRUIA-UHFFFAOYSA-N 0 0 429.524 -0.182 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1-n1nnnc1C ZINC000480616642 1073342240 /nfs/dbraw/zinc/34/22/40/1073342240.db2.gz YAOQKLQFIGMIFN-UHFFFAOYSA-N 0 0 427.429 -0.658 20 0 IBADRN COc1cncc(C(=O)NCCC(=O)N2CCN(C(=O)c3cncc(OC)n3)CC2)n1 ZINC000480618882 1073342767 /nfs/dbraw/zinc/34/27/67/1073342767.db2.gz WVBCUHXIGAMZCN-UHFFFAOYSA-N 0 0 429.437 -0.612 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c(C)nn1C ZINC000480620466 1073342677 /nfs/dbraw/zinc/34/26/77/1073342677.db2.gz IGXVEWZJJFNLBE-UHFFFAOYSA-N 0 0 445.542 -0.748 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)CCCN1CCOCC1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000480622542 1073343026 /nfs/dbraw/zinc/34/30/26/1073343026.db2.gz YZGUAYSTEFOYLN-GOSISDBHSA-N 0 0 442.586 -0.151 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)CCCN1CCOCC1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000480622545 1073343168 /nfs/dbraw/zinc/34/31/68/1073343168.db2.gz YZGUAYSTEFOYLN-SFHVURJKSA-N 0 0 442.586 -0.151 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000480624718 1073342980 /nfs/dbraw/zinc/34/29/80/1073342980.db2.gz RJYUGNAFGXRGJI-UHFFFAOYSA-N 0 0 441.487 -0.071 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)[nH]c4ccccc43)CC2)o1 ZINC000480626502 1073343141 /nfs/dbraw/zinc/34/31/41/1073343141.db2.gz WQNZJJPJFVVFLS-UHFFFAOYSA-N 0 0 433.446 -0.033 20 0 IBADRN Cc1ccc2ncc(C(=O)N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)c(=O)n2c1 ZINC000480626889 1073343065 /nfs/dbraw/zinc/34/30/65/1073343065.db2.gz XWOVLIPFQGFYPR-UHFFFAOYSA-N 0 0 445.457 -0.158 20 0 IBADRN NC(=O)COc1cccc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000480627272 1073342785 /nfs/dbraw/zinc/34/27/85/1073342785.db2.gz ZDUFIHQZYBNELR-UHFFFAOYSA-N 0 0 436.446 -0.611 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)n1 ZINC000480628551 1073342778 /nfs/dbraw/zinc/34/27/78/1073342778.db2.gz ZWQUBOFXLYTTRK-NSHDSACASA-N 0 0 429.480 -0.379 20 0 IBADRN CCc1nc(CN2CCN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC000480632712 1073343430 /nfs/dbraw/zinc/34/34/30/1073343430.db2.gz CIRCJIJJQJHYLZ-UHFFFAOYSA-N 0 0 435.572 -0.249 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000480632783 1073343450 /nfs/dbraw/zinc/34/34/50/1073343450.db2.gz CXACSSZYEULUIR-CABCVRRESA-N 0 0 439.556 -0.711 20 0 IBADRN COC(=O)[C@H]1CCC[C@@H]1S(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000480632793 1073343400 /nfs/dbraw/zinc/34/34/00/1073343400.db2.gz CXACSSZYEULUIR-GJZGRUSLSA-N 0 0 439.556 -0.711 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000480632795 1073343411 /nfs/dbraw/zinc/34/34/11/1073343411.db2.gz CXACSSZYEULUIR-HUUCEWRRSA-N 0 0 439.556 -0.711 20 0 IBADRN COC(=O)[C@H]1CCC[C@H]1S(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000480632797 1073343419 /nfs/dbraw/zinc/34/34/19/1073343419.db2.gz CXACSSZYEULUIR-LSDHHAIUSA-N 0 0 439.556 -0.711 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)c2ccnn2COC)c1 ZINC000480634718 1073343550 /nfs/dbraw/zinc/34/35/50/1073343550.db2.gz KABQOLHYYREMFI-LLVKDONJSA-N 0 0 431.496 -0.299 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)c2ccnn2COC)c1 ZINC000480634732 1073343469 /nfs/dbraw/zinc/34/34/69/1073343469.db2.gz KABQOLHYYREMFI-NSHDSACASA-N 0 0 431.496 -0.299 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NCC2CCC2)cc1C ZINC000480635065 1073343460 /nfs/dbraw/zinc/34/34/60/1073343460.db2.gz BDAOBVNOLQTKIS-UHFFFAOYSA-N 0 0 439.581 -0.099 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1C ZINC000480636364 1073343441 /nfs/dbraw/zinc/34/34/41/1073343441.db2.gz OBVFBPVAKYEMSK-GFCCVEGCSA-N 0 0 425.554 -0.408 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1C ZINC000480636365 1073343479 /nfs/dbraw/zinc/34/34/79/1073343479.db2.gz OBVFBPVAKYEMSK-LBPRGKRZSA-N 0 0 425.554 -0.408 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000480638324 1073343801 /nfs/dbraw/zinc/34/38/01/1073343801.db2.gz QFTBQLXGXROZNX-UHFFFAOYSA-N 0 0 448.509 -0.633 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCS(=O)(=O)NCC2CCC2)CC1 ZINC000480641302 1073343147 /nfs/dbraw/zinc/34/31/47/1073343147.db2.gz PECLSEZADITGAD-UHFFFAOYSA-N 0 0 431.602 -0.703 20 0 IBADRN Cn1nncc1S(=O)(=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000480642027 1073343084 /nfs/dbraw/zinc/34/30/84/1073343084.db2.gz ZZXQRJHKNOXRAM-INIZCTEOSA-N 0 0 432.506 -0.115 20 0 IBADRN Cn1nncc1S(=O)(=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000480642028 1073343154 /nfs/dbraw/zinc/34/31/54/1073343154.db2.gz ZZXQRJHKNOXRAM-MRXNPFEDSA-N 0 0 432.506 -0.115 20 0 IBADRN CCN(C1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1)S(C)(=O)=O ZINC000480643311 1073343116 /nfs/dbraw/zinc/34/31/16/1073343116.db2.gz SPLPMUYICQZBTN-UHFFFAOYSA-N 0 0 425.554 -0.080 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cn1 ZINC000480643513 1073343207 /nfs/dbraw/zinc/34/32/07/1073343207.db2.gz HFTCJALQWSDQHW-LLVKDONJSA-N 0 0 430.460 -0.068 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cn1 ZINC000480643516 1073343164 /nfs/dbraw/zinc/34/31/64/1073343164.db2.gz HFTCJALQWSDQHW-NSHDSACASA-N 0 0 430.460 -0.068 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)c(C)nn1C ZINC000480646020 1073343198 /nfs/dbraw/zinc/34/31/98/1073343198.db2.gz JEVSGOJTLZPZMQ-UHFFFAOYSA-N 0 0 447.517 -0.043 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cn1 ZINC000480647577 1073343697 /nfs/dbraw/zinc/34/36/97/1073343697.db2.gz AEDDPPVRKDUFKI-UHFFFAOYSA-N 0 0 430.552 -0.292 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NC[C@@H](O)CN2CCc3ccccc3C2)CC1 ZINC000480650458 1073343875 /nfs/dbraw/zinc/34/38/75/1073343875.db2.gz GNYPTNREBOUNJO-LJQANCHMSA-N 0 0 445.607 -0.003 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCc2ccc(S(=O)(=O)CCO)cc2)c1 ZINC000480650514 1073343861 /nfs/dbraw/zinc/34/38/61/1073343861.db2.gz ZNASNYUMYOQTOI-UHFFFAOYSA-N 0 0 434.517 -0.422 20 0 IBADRN COc1c(CNC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2c(C)nn(C)c2C)c(C)nn1C ZINC000480651009 1073343743 /nfs/dbraw/zinc/34/37/43/1073343743.db2.gz SXTHKPAWZZGLLU-RHSMWYFYSA-N 0 0 431.497 -0.208 20 0 IBADRN CC1(C)COCCN1CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000480651341 1073343643 /nfs/dbraw/zinc/34/36/43/1073343643.db2.gz TZUIZLXVNRMHRH-UHFFFAOYSA-N 0 0 443.526 -0.495 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(CCN2CCOCC2)CC(=O)OC)cc1C ZINC000480652548 1073343683 /nfs/dbraw/zinc/34/36/83/1073343683.db2.gz MRYNLVWMPIWWRY-UHFFFAOYSA-N 0 0 449.551 -0.601 20 0 IBADRN CN(CC1CCC(NS(=O)(=O)c2cnnn2C)CC1)S(=O)(=O)c1cnnn1C ZINC000480653999 1073344150 /nfs/dbraw/zinc/34/41/50/1073344150.db2.gz QTQMTYUUGOFJKP-UHFFFAOYSA-N 0 0 432.532 -0.899 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)c(C)nn1C ZINC000480655386 1073344123 /nfs/dbraw/zinc/34/41/23/1073344123.db2.gz WRHZSSOWRRIWOK-HNNXBMFYSA-N 0 0 434.541 -0.055 20 0 IBADRN COc1c(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)c(C)nn1C ZINC000480655387 1073344157 /nfs/dbraw/zinc/34/41/57/1073344157.db2.gz WRHZSSOWRRIWOK-OAHLLOKOSA-N 0 0 434.541 -0.055 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cn1 ZINC000480657907 1073344155 /nfs/dbraw/zinc/34/41/55/1073344155.db2.gz ILZZWNUEWUSBOF-GFCCVEGCSA-N 0 0 441.553 -0.748 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cn1 ZINC000480657908 1073344145 /nfs/dbraw/zinc/34/41/45/1073344145.db2.gz ILZZWNUEWUSBOF-LBPRGKRZSA-N 0 0 441.553 -0.748 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCN(CC(=O)N(C)C)CC2)cc1C ZINC000480659186 1073344469 /nfs/dbraw/zinc/34/44/69/1073344469.db2.gz CQRCEMINXVOLPC-UHFFFAOYSA-N 0 0 432.568 -0.266 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)[C@H]2CCC[C@@H]2C(=O)OC)c1 ZINC000480659351 1073344152 /nfs/dbraw/zinc/34/41/52/1073344152.db2.gz FMQJNYAFPASQPJ-KBPBESRZSA-N 0 0 433.508 -0.206 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)[C@H]2CCC[C@H]2C(=O)OC)c1 ZINC000480659352 1073344169 /nfs/dbraw/zinc/34/41/69/1073344169.db2.gz FMQJNYAFPASQPJ-KGLIPLIRSA-N 0 0 433.508 -0.206 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)[C@@H]2CCC[C@@H]2C(=O)OC)c1 ZINC000480659353 1073344141 /nfs/dbraw/zinc/34/41/41/1073344141.db2.gz FMQJNYAFPASQPJ-UONOGXRCSA-N 0 0 433.508 -0.206 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)[C@@H]2CCC[C@H]2C(=O)OC)c1 ZINC000480659354 1073344175 /nfs/dbraw/zinc/34/41/75/1073344175.db2.gz FMQJNYAFPASQPJ-ZIAGYGMSSA-N 0 0 433.508 -0.206 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCc2ccc(S(=O)(=O)CCO)cc2)cc1 ZINC000480665719 1073345344 /nfs/dbraw/zinc/34/53/44/1073345344.db2.gz GQRIVMBQKVFTEK-UHFFFAOYSA-N 0 0 448.544 -0.161 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCc2ccc(S(=O)(=O)CCO)cc2)c1 ZINC000480666827 1073344449 /nfs/dbraw/zinc/34/44/49/1073344449.db2.gz QDIBNNGNVJAWOX-UHFFFAOYSA-N 0 0 448.544 -0.161 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480667726 1073344433 /nfs/dbraw/zinc/34/44/33/1073344433.db2.gz HJBGAAFOFWCIBC-UHFFFAOYSA-N 0 0 425.554 -0.080 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1C ZINC000480668043 1073344517 /nfs/dbraw/zinc/34/45/17/1073344517.db2.gz URGDXCMFADJCMN-AWEZNQCLSA-N 0 0 427.508 -0.280 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1C ZINC000480668046 1073344559 /nfs/dbraw/zinc/34/45/59/1073344559.db2.gz URGDXCMFADJCMN-CQSZACIVSA-N 0 0 427.508 -0.280 20 0 IBADRN CN(C)c1nccc(N2CCN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000480672058 1073344577 /nfs/dbraw/zinc/34/45/77/1073344577.db2.gz RJJVMKHZSUDZOG-UHFFFAOYSA-N 0 0 446.599 -0.334 20 0 IBADRN CN(C)c1nccc(N2CCN(S(=O)(=O)c3ccc(S(C)(=O)=O)nc3)CC2)n1 ZINC000480672935 1073344485 /nfs/dbraw/zinc/34/44/85/1073344485.db2.gz UDWGCEKJNRSEKX-UHFFFAOYSA-N 0 0 426.524 -0.148 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC(C)(C)C(N)=O)CC2)cc1 ZINC000480673119 1073344549 /nfs/dbraw/zinc/34/45/49/1073344549.db2.gz IDJXONFSPWHLHV-UHFFFAOYSA-N 0 0 440.522 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1 ZINC000480673153 1073344972 /nfs/dbraw/zinc/34/49/72/1073344972.db2.gz INQACWUPHYKRGP-UHFFFAOYSA-N 0 0 427.570 -0.147 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1C ZINC000480673580 1073344594 /nfs/dbraw/zinc/34/45/94/1073344594.db2.gz IUFQGDZTEKAPJS-UHFFFAOYSA-N 0 0 446.551 -0.738 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C[C@@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000480676962 1073344991 /nfs/dbraw/zinc/34/49/91/1073344991.db2.gz CLFXOKISLHEWCB-MRXNPFEDSA-N 0 0 447.579 -0.297 20 0 IBADRN COCC[C@H](NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000480677458 1073344988 /nfs/dbraw/zinc/34/49/88/1073344988.db2.gz DNEMPYDCQWHEMF-AWEZNQCLSA-N 0 0 435.524 -0.815 20 0 IBADRN COCC[C@@H](NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000480677462 1073345002 /nfs/dbraw/zinc/34/50/02/1073345002.db2.gz DNEMPYDCQWHEMF-CQSZACIVSA-N 0 0 435.524 -0.815 20 0 IBADRN CCOC(=O)[C@H](CO)NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000480677473 1073345001 /nfs/dbraw/zinc/34/50/01/1073345001.db2.gz DTMBQCNISYJRCP-AWEZNQCLSA-N 0 0 436.508 -0.782 20 0 IBADRN CCOC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000480677474 1073344974 /nfs/dbraw/zinc/34/49/74/1073344974.db2.gz DTMBQCNISYJRCP-CQSZACIVSA-N 0 0 436.508 -0.782 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000480679025 1073344995 /nfs/dbraw/zinc/34/49/95/1073344995.db2.gz ULPZJVHBNWFXJT-UHFFFAOYSA-N 0 0 429.567 -0.858 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000480679039 1073345024 /nfs/dbraw/zinc/34/50/24/1073345024.db2.gz URHMUSFNUSMASU-UHFFFAOYSA-N 0 0 431.536 -0.131 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000480679076 1073344968 /nfs/dbraw/zinc/34/49/68/1073344968.db2.gz IMGGACCVIPHKBH-CABCVRRESA-N 0 0 434.540 -0.166 20 0 IBADRN COC(=O)[C@H]1CCC[C@@H]1S(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000480679077 1073344998 /nfs/dbraw/zinc/34/49/98/1073344998.db2.gz IMGGACCVIPHKBH-GJZGRUSLSA-N 0 0 434.540 -0.166 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000480679079 1073344964 /nfs/dbraw/zinc/34/49/64/1073344964.db2.gz IMGGACCVIPHKBH-HUUCEWRRSA-N 0 0 434.540 -0.166 20 0 IBADRN COC(=O)[C@H]1CCC[C@H]1S(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000480679081 1073344978 /nfs/dbraw/zinc/34/49/78/1073344978.db2.gz IMGGACCVIPHKBH-LSDHHAIUSA-N 0 0 434.540 -0.166 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2c(C)nn(C)c2OC)C1 ZINC000480680024 1073345007 /nfs/dbraw/zinc/34/50/07/1073345007.db2.gz VNAYJAAQVDBPOZ-AWEZNQCLSA-N 0 0 445.542 -0.748 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2c(C)nn(C)c2OC)C1 ZINC000480680025 1073345020 /nfs/dbraw/zinc/34/50/20/1073345020.db2.gz VNAYJAAQVDBPOZ-CQSZACIVSA-N 0 0 445.542 -0.748 20 0 IBADRN CC(C)(NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)C(N)=O ZINC000480680172 1073346053 /nfs/dbraw/zinc/34/60/53/1073346053.db2.gz WXZWQPSOCGJANF-UHFFFAOYSA-N 0 0 428.486 -0.181 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)CC1 ZINC000480681322 1073346183 /nfs/dbraw/zinc/34/61/83/1073346183.db2.gz ZDNRFPBXDFJKLQ-UHFFFAOYSA-N 0 0 444.579 -0.091 20 0 IBADRN COC[C@H](NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000480683915 1073346168 /nfs/dbraw/zinc/34/61/68/1073346168.db2.gz SPJLXXKCVKYSCA-AWEZNQCLSA-N 0 0 436.508 -0.518 20 0 IBADRN COC[C@@H](NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000480683916 1073346178 /nfs/dbraw/zinc/34/61/78/1073346178.db2.gz SPJLXXKCVKYSCA-CQSZACIVSA-N 0 0 436.508 -0.518 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCc2ncn(C)n2)cc1S(=O)(=O)N1CCOCC1 ZINC000480685093 1073346463 /nfs/dbraw/zinc/34/64/63/1073346463.db2.gz VQGICRFZXKQXSO-UHFFFAOYSA-N 0 0 429.524 -0.335 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)Cc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000480686758 1073345545 /nfs/dbraw/zinc/34/55/45/1073345545.db2.gz CUOXKEAMZCXULW-UHFFFAOYSA-N 0 0 430.512 -0.640 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480687480 1073345355 /nfs/dbraw/zinc/34/53/55/1073345355.db2.gz HNHRMCTUUFMJGC-CYBMUJFWSA-N 0 0 432.524 -0.553 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480687484 1073345371 /nfs/dbraw/zinc/34/53/71/1073345371.db2.gz HNHRMCTUUFMJGC-ZDUSSCGKSA-N 0 0 432.524 -0.553 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480687550 1073345409 /nfs/dbraw/zinc/34/54/09/1073345409.db2.gz FPSQOISVOIMPIB-HIFRSBDPSA-N 0 0 447.535 -0.721 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480687551 1073345823 /nfs/dbraw/zinc/34/58/23/1073345823.db2.gz FPSQOISVOIMPIB-UKRRQHHQSA-N 0 0 447.535 -0.721 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000480688208 1073345826 /nfs/dbraw/zinc/34/58/26/1073345826.db2.gz JDCNIKDAQUQEMU-UHFFFAOYSA-N 0 0 434.540 -0.435 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CO)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC000480690812 1073345743 /nfs/dbraw/zinc/34/57/43/1073345743.db2.gz ULRLJLFXCKEVGV-INIZCTEOSA-N 0 0 444.535 -0.239 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CO)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC000480690813 1073345725 /nfs/dbraw/zinc/34/57/25/1073345725.db2.gz ULRLJLFXCKEVGV-MRXNPFEDSA-N 0 0 444.535 -0.239 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2CCCCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480691724 1073345836 /nfs/dbraw/zinc/34/58/36/1073345836.db2.gz DAIHGZIQNOXMRX-HNNXBMFYSA-N 0 0 431.536 -0.037 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2CCCCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480691729 1073345880 /nfs/dbraw/zinc/34/58/80/1073345880.db2.gz DAIHGZIQNOXMRX-OAHLLOKOSA-N 0 0 431.536 -0.037 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000480691757 1073345781 /nfs/dbraw/zinc/34/57/81/1073345781.db2.gz GGEOLHPHDKLXKU-DZGCQCFKSA-N 0 0 447.535 -0.673 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000480691762 1073345849 /nfs/dbraw/zinc/34/58/49/1073345849.db2.gz GGEOLHPHDKLXKU-HIFRSBDPSA-N 0 0 447.535 -0.673 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000480691764 1073345811 /nfs/dbraw/zinc/34/58/11/1073345811.db2.gz GGEOLHPHDKLXKU-UKRRQHHQSA-N 0 0 447.535 -0.673 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1S(=O)(=O)N1CCOCC1 ZINC000480691766 1073345716 /nfs/dbraw/zinc/34/57/16/1073345716.db2.gz GGEOLHPHDKLXKU-ZFWWWQNUSA-N 0 0 447.535 -0.673 20 0 IBADRN COCCN(C)CCNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000480694426 1073346877 /nfs/dbraw/zinc/34/68/77/1073346877.db2.gz MWXJNLZJRFBJSU-UHFFFAOYSA-N 0 0 435.568 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CCCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000480695588 1073346801 /nfs/dbraw/zinc/34/68/01/1073346801.db2.gz SEFLSVDDLWVLCZ-UHFFFAOYSA-N 0 0 447.579 -0.295 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CCO)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480695940 1073346824 /nfs/dbraw/zinc/34/68/24/1073346824.db2.gz VCYOCXKEWCXOIN-INIZCTEOSA-N 0 0 447.579 -0.297 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CCO)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480695941 1073346988 /nfs/dbraw/zinc/34/69/88/1073346988.db2.gz VCYOCXKEWCXOIN-MRXNPFEDSA-N 0 0 447.579 -0.297 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCNC(=O)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000480697410 1073625790 /nfs/dbraw/zinc/62/57/90/1073625790.db2.gz KSDWBZODZDSHPE-AWEZNQCLSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000480699450 1073346839 /nfs/dbraw/zinc/34/68/39/1073346839.db2.gz PUEQKXNRPMDJIK-UHFFFAOYSA-N 0 0 447.535 -0.847 20 0 IBADRN CNC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000480702473 1073346650 /nfs/dbraw/zinc/34/66/50/1073346650.db2.gz WNSYLIUNXHPOFU-AWEZNQCLSA-N 0 0 446.551 -0.292 20 0 IBADRN CNC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000480702474 1073346578 /nfs/dbraw/zinc/34/65/78/1073346578.db2.gz WNSYLIUNXHPOFU-CQSZACIVSA-N 0 0 446.551 -0.292 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000480704146 1073346616 /nfs/dbraw/zinc/34/66/16/1073346616.db2.gz CRDDTBAYZUZZIS-HNNXBMFYSA-N 0 0 447.535 -0.849 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000480704148 1073346656 /nfs/dbraw/zinc/34/66/56/1073346656.db2.gz CRDDTBAYZUZZIS-OAHLLOKOSA-N 0 0 447.535 -0.849 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC2(O)CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000480705009 1073346535 /nfs/dbraw/zinc/34/65/35/1073346535.db2.gz FPOUMEGYWBWXSO-UHFFFAOYSA-N 0 0 434.536 -0.164 20 0 IBADRN COc1nc(NCC2(S(C)(=O)=O)CCC2)nc(NCC2(S(C)(=O)=O)CCC2)n1 ZINC000480705640 1073346568 /nfs/dbraw/zinc/34/65/68/1073346568.db2.gz FJSAIHHFNWPNPM-UHFFFAOYSA-N 0 0 433.556 -0.518 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC(n3ccnn3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480705870 1073346413 /nfs/dbraw/zinc/34/64/13/1073346413.db2.gz DVRHGSYZOJVPRA-UHFFFAOYSA-N 0 0 427.508 -0.147 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)O ZINC000480706595 1073346429 /nfs/dbraw/zinc/34/64/29/1073346429.db2.gz HXJXQYQYNZRYKK-DOMZBBRYSA-N 0 0 436.508 -0.783 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)O ZINC000480706597 1073346444 /nfs/dbraw/zinc/34/64/44/1073346444.db2.gz HXJXQYQYNZRYKK-IUODEOHRSA-N 0 0 436.508 -0.783 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)[C@H](C)O ZINC000480706599 1073346593 /nfs/dbraw/zinc/34/65/93/1073346593.db2.gz HXJXQYQYNZRYKK-SWLSCSKDSA-N 0 0 436.508 -0.783 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)[C@H](C)O ZINC000480706601 1073346521 /nfs/dbraw/zinc/34/65/21/1073346521.db2.gz HXJXQYQYNZRYKK-WFASDCNBSA-N 0 0 436.508 -0.783 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(C)(CO)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000480707726 1073346966 /nfs/dbraw/zinc/34/69/66/1073346966.db2.gz JAWQDGMYQNSNIC-UHFFFAOYSA-N 0 0 436.552 -0.373 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480708353 1073347457 /nfs/dbraw/zinc/34/74/57/1073347457.db2.gz IUSWKVSVKMPUBD-CABCVRRESA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](C)O[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480708358 1073347363 /nfs/dbraw/zinc/34/73/63/1073347363.db2.gz IUSWKVSVKMPUBD-GJZGRUSLSA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480708364 1073347298 /nfs/dbraw/zinc/34/72/98/1073347298.db2.gz IUSWKVSVKMPUBD-HUUCEWRRSA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480708368 1073347317 /nfs/dbraw/zinc/34/73/17/1073347317.db2.gz IUSWKVSVKMPUBD-LSDHHAIUSA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C[C@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000480710012 1073347495 /nfs/dbraw/zinc/34/74/95/1073347495.db2.gz CLFXOKISLHEWCB-INIZCTEOSA-N 0 0 447.579 -0.297 20 0 IBADRN C[C@@]1(c2ccc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2)NC(=O)NC1=O ZINC000480710978 1073347398 /nfs/dbraw/zinc/34/73/98/1073347398.db2.gz XITJLOJLANFTTE-NRFANRHFSA-N 0 0 441.444 -0.825 20 0 IBADRN C[C@]1(c2ccc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2)NC(=O)NC1=O ZINC000480710988 1073347479 /nfs/dbraw/zinc/34/74/79/1073347479.db2.gz XITJLOJLANFTTE-OAQYLSRUSA-N 0 0 441.444 -0.825 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3C(=O)N=NC3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480712560 1073347289 /nfs/dbraw/zinc/34/72/89/1073347289.db2.gz JUQUAGCOHFQNJA-UHFFFAOYSA-N 0 0 443.507 -0.482 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](CO)OC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000480715252 1073347812 /nfs/dbraw/zinc/34/78/12/1073347812.db2.gz OLHXHXFBCMTZPV-CABCVRRESA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](CO)OC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000480715254 1073347820 /nfs/dbraw/zinc/34/78/20/1073347820.db2.gz OLHXHXFBCMTZPV-GJZGRUSLSA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](CO)OC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000480715257 1073347773 /nfs/dbraw/zinc/34/77/73/1073347773.db2.gz OLHXHXFBCMTZPV-HUUCEWRRSA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](CO)OC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000480715260 1073347690 /nfs/dbraw/zinc/34/76/90/1073347690.db2.gz OLHXHXFBCMTZPV-LSDHHAIUSA-N 0 0 434.536 -0.214 20 0 IBADRN COC(=O)[C@]1(NS(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000480718229 1073347765 /nfs/dbraw/zinc/34/77/65/1073347765.db2.gz VPUVVINKDLQFBZ-KRWDZBQOSA-N 0 0 448.519 -0.374 20 0 IBADRN COC(=O)[C@@]1(NS(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000480718230 1073347704 /nfs/dbraw/zinc/34/77/04/1073347704.db2.gz VPUVVINKDLQFBZ-QGZVFWFLSA-N 0 0 448.519 -0.374 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCn3ncnc3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480718372 1073347788 /nfs/dbraw/zinc/34/77/88/1073347788.db2.gz OAOXMWXOWUDTBT-UHFFFAOYSA-N 0 0 427.508 -0.188 20 0 IBADRN O=S(=O)(N[C@@H]1CCS(=O)(=O)C1)c1ccc(NS(=O)(=O)N2CCOCC2)cc1 ZINC000480720312 1073347009 /nfs/dbraw/zinc/34/70/09/1073347009.db2.gz ZJRMZQIKZPFFSG-CYBMUJFWSA-N 0 0 439.537 -0.859 20 0 IBADRN O=S(=O)(N[C@H]1CCS(=O)(=O)C1)c1ccc(NS(=O)(=O)N2CCOCC2)cc1 ZINC000480720313 1073346939 /nfs/dbraw/zinc/34/69/39/1073346939.db2.gz ZJRMZQIKZPFFSG-ZDUSSCGKSA-N 0 0 439.537 -0.859 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCSC[C@H]2C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480723185 1073348264 /nfs/dbraw/zinc/34/82/64/1073348264.db2.gz RQGVGSDXQPAIHK-AWEZNQCLSA-N 0 0 449.576 -0.393 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCSC[C@@H]2C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480723186 1073348092 /nfs/dbraw/zinc/34/80/92/1073348092.db2.gz RQGVGSDXQPAIHK-CQSZACIVSA-N 0 0 449.576 -0.393 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3CCOC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480724026 1073347447 /nfs/dbraw/zinc/34/74/47/1073347447.db2.gz UXFVNLKEDHMXMF-INIZCTEOSA-N 0 0 445.563 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3CCOC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480724027 1073347350 /nfs/dbraw/zinc/34/73/50/1073347350.db2.gz UXFVNLKEDHMXMF-MRXNPFEDSA-N 0 0 445.563 -0.279 20 0 IBADRN CC(=O)N1CC[C@H](NS(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000480724472 1073348153 /nfs/dbraw/zinc/34/81/53/1073348153.db2.gz HUBCQRPYFKCKPM-HNNXBMFYSA-N 0 0 431.536 -0.085 20 0 IBADRN CC(=O)N1CC[C@@H](NS(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000480724474 1073348021 /nfs/dbraw/zinc/34/80/21/1073348021.db2.gz HUBCQRPYFKCKPM-OAHLLOKOSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CN3CCN2CC3)cc1S(=O)(=O)N1CCOCC1 ZINC000480729058 1073348235 /nfs/dbraw/zinc/34/82/35/1073348235.db2.gz MQKQEXPLNLNDOC-INIZCTEOSA-N 0 0 444.579 -0.706 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H]2CN3CCN2CC3)cc1S(=O)(=O)N1CCOCC1 ZINC000480729059 1073348142 /nfs/dbraw/zinc/34/81/42/1073348142.db2.gz MQKQEXPLNLNDOC-MRXNPFEDSA-N 0 0 444.579 -0.706 20 0 IBADRN COc1cc(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)ccc1OCC(N)=O ZINC000480736098 1073340300 /nfs/dbraw/zinc/34/03/00/1073340300.db2.gz ULWJQFOHFAFJQD-UHFFFAOYSA-N 0 0 446.464 -0.064 20 0 IBADRN Cc1ccc(S(=O)(=O)NCc2cc(C(N)=O)no2)cc1S(=O)(=O)N1CCOCC1 ZINC000480736176 1073348277 /nfs/dbraw/zinc/34/82/77/1073348277.db2.gz GKDINPAMCBWLED-UHFFFAOYSA-N 0 0 444.491 -0.419 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000480736553 1073347755 /nfs/dbraw/zinc/34/77/55/1073347755.db2.gz BPCPQOODWBNCKG-UHFFFAOYSA-N 0 0 431.536 -0.180 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCCn2ccnn2)cc1S(=O)(=O)N1CCOCC1 ZINC000480736741 1073347711 /nfs/dbraw/zinc/34/77/11/1073347711.db2.gz YXPCJIULCWEGLX-UHFFFAOYSA-N 0 0 429.524 -0.024 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3C(=O)NC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480736970 1073347724 /nfs/dbraw/zinc/34/77/24/1073347724.db2.gz VLWWQOUIUPYUGS-AWEZNQCLSA-N 0 0 444.535 -0.586 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000480736975 1073347804 /nfs/dbraw/zinc/34/78/04/1073347804.db2.gz VLWWQOUIUPYUGS-CQSZACIVSA-N 0 0 444.535 -0.586 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C)C(=O)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000480739188 1073348079 /nfs/dbraw/zinc/34/80/79/1073348079.db2.gz MBEVVOCHAZZBAO-AWEZNQCLSA-N 0 0 431.536 -0.133 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C)C(=O)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000480739193 1073348165 /nfs/dbraw/zinc/34/81/65/1073348165.db2.gz MBEVVOCHAZZBAO-CQSZACIVSA-N 0 0 431.536 -0.133 20 0 IBADRN COC(=O)CC[C@@H](NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1)C(=O)OC ZINC000480740352 1073348223 /nfs/dbraw/zinc/34/82/23/1073348223.db2.gz LFEZWUPWBPTMRN-OAHLLOKOSA-N 0 0 428.463 -0.068 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C)CS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480740367 1073348256 /nfs/dbraw/zinc/34/82/56/1073348256.db2.gz LGYGDQWYCOQKRR-CYBMUJFWSA-N 0 0 440.565 -0.273 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)CS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480740369 1073348247 /nfs/dbraw/zinc/34/82/47/1073348247.db2.gz LGYGDQWYCOQKRR-ZDUSSCGKSA-N 0 0 440.565 -0.273 20 0 IBADRN O=C1CN(CCNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCCCC3)c2)CCN1 ZINC000480743841 1073348509 /nfs/dbraw/zinc/34/85/09/1073348509.db2.gz WJHAHKLEGKQBQS-UHFFFAOYSA-N 0 0 444.579 -0.039 20 0 IBADRN COC(=O)CC[C@@H](NS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1)C(=O)OC ZINC000480745475 1073348674 /nfs/dbraw/zinc/34/86/74/1073348674.db2.gz OKZJBEOIFNEWMO-GFCCVEGCSA-N 0 0 426.444 -0.030 20 0 IBADRN COC(=O)CC[C@@H](NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1)C(=O)OC ZINC000480747622 1073348404 /nfs/dbraw/zinc/34/84/04/1073348404.db2.gz IZEWPNXQVPVXNE-CQSZACIVSA-N 0 0 434.492 -0.100 20 0 IBADRN COC(=O)CC[C@@H](NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)C(=O)OC ZINC000480753284 1073348273 /nfs/dbraw/zinc/34/82/73/1073348273.db2.gz BDGZMJHSHGKNNT-GFCCVEGCSA-N 0 0 434.492 -0.100 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCN2C(=O)NC3(CCCC3)C2=O)n1)N1CCOCC1 ZINC000480753287 1073322801 /nfs/dbraw/zinc/32/28/01/1073322801.db2.gz BDLXZNADTHXDEJ-UHFFFAOYSA-N 0 0 433.469 -0.272 20 0 IBADRN COC(=O)CC[C@@H](NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F)C(=O)OC ZINC000480754003 1073348198 /nfs/dbraw/zinc/34/81/98/1073348198.db2.gz CTPZJOINRSRXRY-LLVKDONJSA-N 0 0 426.444 -0.030 20 0 IBADRN COC(=O)CC[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)OC ZINC000480754705 1073348117 /nfs/dbraw/zinc/34/81/17/1073348117.db2.gz DZXYEWCCOHGDDT-CQSZACIVSA-N 0 0 434.492 -0.100 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)CCO2)n1 ZINC000480757765 1073348991 /nfs/dbraw/zinc/34/89/91/1073348991.db2.gz MFAHBQIIRYHTAG-INIZCTEOSA-N 0 0 435.572 -0.403 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)CCO2)n1 ZINC000480757770 1073348930 /nfs/dbraw/zinc/34/89/30/1073348930.db2.gz MFAHBQIIRYHTAG-MRXNPFEDSA-N 0 0 435.572 -0.403 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)Nc2cccc(NS(N)(=O)=O)c2)CC1 ZINC000480761459 1073348914 /nfs/dbraw/zinc/34/89/14/1073348914.db2.gz SMZCPSZUEDBWEU-UHFFFAOYSA-N 0 0 426.542 -0.285 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000480762019 1073348883 /nfs/dbraw/zinc/34/88/83/1073348883.db2.gz UDDRIXLSAOXYAU-AWEZNQCLSA-N 0 0 425.573 -0.443 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000480762021 1073348966 /nfs/dbraw/zinc/34/89/66/1073348966.db2.gz UDDRIXLSAOXYAU-CQSZACIVSA-N 0 0 425.573 -0.443 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1 ZINC000480763226 1073349025 /nfs/dbraw/zinc/34/90/25/1073349025.db2.gz LORHEJPQAUSQEK-GFCCVEGCSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)NC)c(C)c1 ZINC000480763227 1073349037 /nfs/dbraw/zinc/34/90/37/1073349037.db2.gz LORHEJPQAUSQEK-LBPRGKRZSA-N 0 0 425.554 -0.395 20 0 IBADRN COCn1nccc1S(=O)(=O)NCCCN(C)S(=O)(=O)c1ccnn1COC ZINC000480765357 1073348653 /nfs/dbraw/zinc/34/86/53/1073348653.db2.gz YYUHFSMPCDDTHC-UHFFFAOYSA-N 0 0 436.516 -0.724 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cc1C ZINC000480765493 1073348391 /nfs/dbraw/zinc/34/83/91/1073348391.db2.gz JPXOBDCBFWLGAR-HNNXBMFYSA-N 0 0 431.536 -0.039 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cc1C ZINC000480765494 1073348375 /nfs/dbraw/zinc/34/83/75/1073348375.db2.gz JPXOBDCBFWLGAR-OAHLLOKOSA-N 0 0 431.536 -0.039 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1C ZINC000480767688 1073348595 /nfs/dbraw/zinc/34/85/95/1073348595.db2.gz UEMQYJHMALGXOS-UHFFFAOYSA-N 0 0 427.508 -0.326 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)cc1C ZINC000480768806 1073348444 /nfs/dbraw/zinc/34/84/44/1073348444.db2.gz WNXWJGFBVCTJJA-BDJLRTHQSA-N 0 0 430.508 -0.440 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)cc1C ZINC000480768812 1073348457 /nfs/dbraw/zinc/34/84/57/1073348457.db2.gz WNXWJGFBVCTJJA-BZNIZROVSA-N 0 0 430.508 -0.440 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)cc1C ZINC000480768814 1073348719 /nfs/dbraw/zinc/34/87/19/1073348719.db2.gz WNXWJGFBVCTJJA-MEDUHNTESA-N 0 0 430.508 -0.440 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)cc1C ZINC000480768816 1073348535 /nfs/dbraw/zinc/34/85/35/1073348535.db2.gz WNXWJGFBVCTJJA-ZBEGNZNMSA-N 0 0 430.508 -0.440 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1C ZINC000480768879 1073348430 /nfs/dbraw/zinc/34/84/30/1073348430.db2.gz OISHVORIAWNITJ-KRWDZBQOSA-N 0 0 444.535 -0.098 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1C ZINC000480768880 1073348523 /nfs/dbraw/zinc/34/85/23/1073348523.db2.gz OISHVORIAWNITJ-QGZVFWFLSA-N 0 0 444.535 -0.098 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)C1CCOCC1 ZINC000480770481 1073349002 /nfs/dbraw/zinc/34/90/02/1073349002.db2.gz KXRYFHSSVJPJAM-HNNXBMFYSA-N 0 0 426.557 -0.207 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)C1CCOCC1 ZINC000480770482 1073348952 /nfs/dbraw/zinc/34/89/52/1073348952.db2.gz KXRYFHSSVJPJAM-OAHLLOKOSA-N 0 0 426.557 -0.207 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000480772354 1073349250 /nfs/dbraw/zinc/34/92/50/1073349250.db2.gz QOILAMLFYWXWBL-HNNXBMFYSA-N 0 0 434.515 -0.662 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000480772364 1073349274 /nfs/dbraw/zinc/34/92/74/1073349274.db2.gz QOILAMLFYWXWBL-OAHLLOKOSA-N 0 0 434.515 -0.662 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1C ZINC000480774164 1073349415 /nfs/dbraw/zinc/34/94/15/1073349415.db2.gz UZRHOBPBFCRCIX-UHFFFAOYSA-N 0 0 441.535 -0.492 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H](CO)Cc2ccc(F)c(F)c2)CC1 ZINC000480776158 1073349260 /nfs/dbraw/zinc/34/92/60/1073349260.db2.gz ZOPMNDAUMUNOLR-CYBMUJFWSA-N 0 0 427.495 -0.473 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H](CO)Cc2ccc(F)c(F)c2)CC1 ZINC000480776159 1073349398 /nfs/dbraw/zinc/34/93/98/1073349398.db2.gz ZOPMNDAUMUNOLR-ZDUSSCGKSA-N 0 0 427.495 -0.473 20 0 IBADRN Cc1ccc(CN2CC[C@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000480777580 1073348854 /nfs/dbraw/zinc/34/88/54/1073348854.db2.gz FTAKTUAXARMLLO-INIZCTEOSA-N 0 0 430.552 -0.492 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000480777582 1073348843 /nfs/dbraw/zinc/34/88/43/1073348843.db2.gz FTAKTUAXARMLLO-MRXNPFEDSA-N 0 0 430.552 -0.492 20 0 IBADRN NC(=O)CCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000480779889 1073348939 /nfs/dbraw/zinc/34/89/39/1073348939.db2.gz MMSSBCMMVSDMNI-UHFFFAOYSA-N 0 0 428.486 -0.180 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000480783395 1073348902 /nfs/dbraw/zinc/34/89/02/1073348902.db2.gz ZRXLSCIWFSOGGV-UHFFFAOYSA-N 0 0 426.543 -0.307 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H]1CC(=O)N(C(C)C)C1=O ZINC000480784343 1073349073 /nfs/dbraw/zinc/34/90/73/1073349073.db2.gz CBROYNQMTKHSDQ-HNNXBMFYSA-N 0 0 439.490 -0.018 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CC(=O)N(C(C)C)C1=O ZINC000480784345 1073349065 /nfs/dbraw/zinc/34/90/65/1073349065.db2.gz CBROYNQMTKHSDQ-OAHLLOKOSA-N 0 0 439.490 -0.018 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1C ZINC000480789712 1073349682 /nfs/dbraw/zinc/34/96/82/1073349682.db2.gz HNDLLNRUUCPNHG-UHFFFAOYSA-N 0 0 430.465 -0.891 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1C ZINC000480791898 1073349351 /nfs/dbraw/zinc/34/93/51/1073349351.db2.gz ABWYMBVGLIEMLF-AWEZNQCLSA-N 0 0 439.581 -0.006 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1C ZINC000480791899 1073349283 /nfs/dbraw/zinc/34/92/83/1073349283.db2.gz ABWYMBVGLIEMLF-CQSZACIVSA-N 0 0 439.581 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)CC(N)=O)CC2)cc1 ZINC000480792443 1073349295 /nfs/dbraw/zinc/34/92/95/1073349295.db2.gz BSXULTKWXUWCPU-UHFFFAOYSA-N 0 0 426.495 -0.748 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480793323 1073349265 /nfs/dbraw/zinc/34/92/65/1073349265.db2.gz DQHLFRMFAPTEMG-UHFFFAOYSA-N 0 0 441.553 -0.842 20 0 IBADRN O=C(CN1CN=NC1=O)N(Cc1ccccc1)[C@H]1CCN(C(=O)CN2CN=NC2=O)C1 ZINC000480796543 1073349686 /nfs/dbraw/zinc/34/96/86/1073349686.db2.gz NMKDQMUYUHYPOF-HNNXBMFYSA-N 0 0 426.437 -0.389 20 0 IBADRN O=C(CN1CN=NC1=O)N(Cc1ccccc1)[C@@H]1CCN(C(=O)CN2CN=NC2=O)C1 ZINC000480796545 1073349692 /nfs/dbraw/zinc/34/96/92/1073349692.db2.gz NMKDQMUYUHYPOF-OAHLLOKOSA-N 0 0 426.437 -0.389 20 0 IBADRN CN1CCO[C@@H](C(=O)N2CCCC[C@@H]2Cn2cc(NC(=O)[C@@H]3CN(C)CCO3)cn2)C1 ZINC000480797472 1073349703 /nfs/dbraw/zinc/34/97/03/1073349703.db2.gz PZBMPSCJAONFMW-CEXWTWQISA-N 0 0 434.541 -0.136 20 0 IBADRN CN1CCO[C@H](C(=O)Nc2cnn(C[C@@H]3CCCCN3C(=O)[C@@H]3CN(C)CCO3)c2)C1 ZINC000480797473 1073349690 /nfs/dbraw/zinc/34/96/90/1073349690.db2.gz PZBMPSCJAONFMW-FHWLQOOXSA-N 0 0 434.541 -0.136 20 0 IBADRN CN1CCO[C@@H](C(=O)N2CCCC[C@H]2Cn2cc(NC(=O)[C@@H]3CN(C)CCO3)cn2)C1 ZINC000480797474 1073349687 /nfs/dbraw/zinc/34/96/87/1073349687.db2.gz PZBMPSCJAONFMW-GBESFXJTSA-N 0 0 434.541 -0.136 20 0 IBADRN CN1CCO[C@H](C(=O)Nc2cnn(C[C@H]3CCCCN3C(=O)[C@@H]3CN(C)CCO3)c2)C1 ZINC000480797475 1073349697 /nfs/dbraw/zinc/34/96/97/1073349697.db2.gz PZBMPSCJAONFMW-QYZOEREBSA-N 0 0 434.541 -0.136 20 0 IBADRN COC(=O)[C@H]1CCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000480798035 1073349680 /nfs/dbraw/zinc/34/96/80/1073349680.db2.gz RXOOLDZHGKFHGP-KBPBESRZSA-N 0 0 434.540 -0.294 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000480798036 1073349684 /nfs/dbraw/zinc/34/96/84/1073349684.db2.gz RXOOLDZHGKFHGP-KGLIPLIRSA-N 0 0 434.540 -0.294 20 0 IBADRN COC(=O)[C@H]1CCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000480798037 1073349695 /nfs/dbraw/zinc/34/96/95/1073349695.db2.gz RXOOLDZHGKFHGP-UONOGXRCSA-N 0 0 434.540 -0.294 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000480798038 1073349670 /nfs/dbraw/zinc/34/96/70/1073349670.db2.gz RXOOLDZHGKFHGP-ZIAGYGMSSA-N 0 0 434.540 -0.294 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCc3nc(COC)nn3C2)cc1C ZINC000480799442 1073349701 /nfs/dbraw/zinc/34/97/01/1073349701.db2.gz ASLNNZLSXZICAM-GFCCVEGCSA-N 0 0 429.524 -0.066 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCc3nc(COC)nn3C2)cc1C ZINC000480799443 1073349700 /nfs/dbraw/zinc/34/97/00/1073349700.db2.gz ASLNNZLSXZICAM-LBPRGKRZSA-N 0 0 429.524 -0.066 20 0 IBADRN O=C(N[C@H]1CCCc2c[nH]nc21)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000480801331 1073349966 /nfs/dbraw/zinc/34/99/66/1073349966.db2.gz DQPNKYCALHSIGJ-CABCVRRESA-N 0 0 425.511 -0.444 20 0 IBADRN O=C(N[C@H]1CCCc2c[nH]nc21)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000480801332 1073349893 /nfs/dbraw/zinc/34/98/93/1073349893.db2.gz DQPNKYCALHSIGJ-GJZGRUSLSA-N 0 0 425.511 -0.444 20 0 IBADRN O=C(N[C@@H]1CCCc2c[nH]nc21)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000480801334 1073350098 /nfs/dbraw/zinc/35/00/98/1073350098.db2.gz DQPNKYCALHSIGJ-HUUCEWRRSA-N 0 0 425.511 -0.444 20 0 IBADRN O=C(N[C@@H]1CCCc2c[nH]nc21)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000480801336 1073350026 /nfs/dbraw/zinc/35/00/26/1073350026.db2.gz DQPNKYCALHSIGJ-LSDHHAIUSA-N 0 0 425.511 -0.444 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000480802151 1073350045 /nfs/dbraw/zinc/35/00/45/1073350045.db2.gz QVOQIACIXTYURK-UHFFFAOYSA-N 0 0 439.476 -0.241 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1C ZINC000480802401 1073350068 /nfs/dbraw/zinc/35/00/68/1073350068.db2.gz YLMXZNBRZKMDIQ-UHFFFAOYSA-N 0 0 439.581 -0.052 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480802902 1073350133 /nfs/dbraw/zinc/35/01/33/1073350133.db2.gz SLNUDYSHYWAQBW-AWEZNQCLSA-N 0 0 430.552 -0.198 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480802908 1073349864 /nfs/dbraw/zinc/34/98/64/1073349864.db2.gz SLNUDYSHYWAQBW-CQSZACIVSA-N 0 0 430.552 -0.198 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CCN1c1nccn2cnnc12 ZINC000480803166 1073349990 /nfs/dbraw/zinc/34/99/90/1073349990.db2.gz HRBOAUNSPKLQKS-GFCCVEGCSA-N 0 0 437.507 -0.178 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CCN1c1nccn2cnnc12 ZINC000480803177 1073349834 /nfs/dbraw/zinc/34/98/34/1073349834.db2.gz HRBOAUNSPKLQKS-LBPRGKRZSA-N 0 0 437.507 -0.178 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1C ZINC000480805066 1073350124 /nfs/dbraw/zinc/35/01/24/1073350124.db2.gz PJHGEKSCJMVBQF-GXTWGEPZSA-N 0 0 439.581 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1C ZINC000480805074 1073350014 /nfs/dbraw/zinc/35/00/14/1073350014.db2.gz PJHGEKSCJMVBQF-JSGCOSHPSA-N 0 0 439.581 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1C ZINC000480805077 1073349879 /nfs/dbraw/zinc/34/98/79/1073349879.db2.gz PJHGEKSCJMVBQF-OCCSQVGLSA-N 0 0 439.581 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1C ZINC000480805082 1073350353 /nfs/dbraw/zinc/35/03/53/1073350353.db2.gz PJHGEKSCJMVBQF-TZMCWYRMSA-N 0 0 439.581 -0.101 20 0 IBADRN O=C(Cn1cnnn1)Nc1cc2c(cc1Br)CN(C(=O)Cn1cnnn1)C2 ZINC000480806569 1073350391 /nfs/dbraw/zinc/35/03/91/1073350391.db2.gz QZWMSVWWNFQRQE-UHFFFAOYSA-N 0 0 433.230 -0.397 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000480807133 1073350243 /nfs/dbraw/zinc/35/02/43/1073350243.db2.gz STUNNDVJVPJEHD-INIZCTEOSA-N 0 0 438.554 -0.307 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000480807134 1073350254 /nfs/dbraw/zinc/35/02/54/1073350254.db2.gz STUNNDVJVPJEHD-MRXNPFEDSA-N 0 0 438.554 -0.307 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N[C@H]2CCN(C(=O)c3ccccn3)C2)CC1 ZINC000480808357 1073350294 /nfs/dbraw/zinc/35/02/94/1073350294.db2.gz CJPIQRBFEVVFPK-HNNXBMFYSA-N 0 0 430.552 -0.113 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N[C@@H]2CCN(C(=O)c3ccccn3)C2)CC1 ZINC000480808360 1073350328 /nfs/dbraw/zinc/35/03/28/1073350328.db2.gz CJPIQRBFEVVFPK-OAHLLOKOSA-N 0 0 430.552 -0.113 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCc4c[nH]nc43)CC2)cn1C ZINC000480808824 1073350445 /nfs/dbraw/zinc/35/04/45/1073350445.db2.gz YYKFDFUTDNBUJC-AWEZNQCLSA-N 0 0 435.510 -0.522 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCc4c[nH]nc43)CC2)cn1C ZINC000480808835 1073350417 /nfs/dbraw/zinc/35/04/17/1073350417.db2.gz YYKFDFUTDNBUJC-CQSZACIVSA-N 0 0 435.510 -0.522 20 0 IBADRN Cn1nncc1S(=O)(=O)NC1C(C)(C)C(NS(=O)(=O)c2cnnn2C)C1(C)C ZINC000480810744 1073350435 /nfs/dbraw/zinc/35/04/35/1073350435.db2.gz KPRQVODRSZWSQI-UHFFFAOYSA-N 0 0 432.532 -0.996 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1C ZINC000480810994 1073350280 /nfs/dbraw/zinc/35/02/80/1073350280.db2.gz ZPKRQJNTFCWCDL-UHFFFAOYSA-N 0 0 432.477 -0.851 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nc(N)ns3)CC2)cc1C ZINC000480811858 1073359687 /nfs/dbraw/zinc/35/96/87/1073359687.db2.gz NQDWBNQZCOHIHV-UHFFFAOYSA-N 0 0 432.553 -0.152 20 0 IBADRN O=C(CNC(=O)c1cccnc1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000480812600 1073350671 /nfs/dbraw/zinc/35/06/71/1073350671.db2.gz QAAPWDXIPRMSAX-UHFFFAOYSA-N 0 0 432.506 -0.525 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000480813004 1073350463 /nfs/dbraw/zinc/35/04/63/1073350463.db2.gz RNUXYIWPCXHMCY-UHFFFAOYSA-N 0 0 438.492 -0.125 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1C ZINC000480815359 1073350811 /nfs/dbraw/zinc/35/08/11/1073350811.db2.gz GEGOMGHARGTCPC-UHFFFAOYSA-N 0 0 432.568 -0.312 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCc3c[nH]nc32)CC1 ZINC000480817006 1073350747 /nfs/dbraw/zinc/35/07/47/1073350747.db2.gz NRFMXANXVARBCB-HNNXBMFYSA-N 0 0 427.527 -0.198 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000480817007 1073350725 /nfs/dbraw/zinc/35/07/25/1073350725.db2.gz NRFMXANXVARBCB-OAHLLOKOSA-N 0 0 427.527 -0.198 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000480817486 1073350856 /nfs/dbraw/zinc/35/08/56/1073350856.db2.gz LATZZHWIPXJCOF-UHFFFAOYSA-N 0 0 433.508 -0.435 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000480818015 1073350840 /nfs/dbraw/zinc/35/08/40/1073350840.db2.gz RMBFYWUFQWGWHQ-SECBINFHSA-N 0 0 436.368 -0.479 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000480818016 1073350847 /nfs/dbraw/zinc/35/08/47/1073350847.db2.gz RMBFYWUFQWGWHQ-VIFPVBQESA-N 0 0 436.368 -0.479 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000480818397 1073350639 /nfs/dbraw/zinc/35/06/39/1073350639.db2.gz TYZSSVLWYOZCNF-CYBMUJFWSA-N 0 0 448.423 -0.300 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000480818400 1073350780 /nfs/dbraw/zinc/35/07/80/1073350780.db2.gz TYZSSVLWYOZCNF-ZDUSSCGKSA-N 0 0 448.423 -0.300 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000480818937 1073350652 /nfs/dbraw/zinc/35/06/52/1073350652.db2.gz GYCXJLBIRBQJCA-UHFFFAOYSA-N 0 0 448.509 -0.716 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000480825224 1073351289 /nfs/dbraw/zinc/35/12/89/1073351289.db2.gz BJVDRMRXVIDIBE-SECBINFHSA-N 0 0 430.368 -0.009 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000480825226 1073351322 /nfs/dbraw/zinc/35/13/22/1073351322.db2.gz BJVDRMRXVIDIBE-VIFPVBQESA-N 0 0 430.368 -0.009 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OCC(=O)N(C)C)c1 ZINC000480827366 1073350691 /nfs/dbraw/zinc/35/06/91/1073350691.db2.gz JOMYZZNHDHETOW-UHFFFAOYSA-N 0 0 430.469 -0.175 20 0 IBADRN O=C(CN1CSCC1=O)NCCN(CCC(F)(F)F)C(=O)CN1CSCC1=O ZINC000480827952 1073350701 /nfs/dbraw/zinc/35/07/01/1073350701.db2.gz YPZSMQWCHSKLTI-UHFFFAOYSA-N 0 0 442.485 -0.051 20 0 IBADRN Cc1c(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cccc1C(=O)N1CCOCC1 ZINC000480830437 1073350619 /nfs/dbraw/zinc/35/06/19/1073350619.db2.gz QCDHDARXAVMGPI-UHFFFAOYSA-N 0 0 442.480 -0.170 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCCC(N)=O)CC2)cc1 ZINC000480831318 1073351492 /nfs/dbraw/zinc/35/14/92/1073351492.db2.gz VYCHZVOPDFMIDQ-UHFFFAOYSA-N 0 0 440.522 -0.310 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)CC2)cn1C ZINC000480831931 1073351306 /nfs/dbraw/zinc/35/13/06/1073351306.db2.gz XSFPFNUTFYLRCA-AWEZNQCLSA-N 0 0 425.511 -0.219 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)CC2)cn1C ZINC000480831934 1073351639 /nfs/dbraw/zinc/35/16/39/1073351639.db2.gz XSFPFNUTFYLRCA-CQSZACIVSA-N 0 0 425.511 -0.219 20 0 IBADRN COc1ccc(C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480832453 1073351624 /nfs/dbraw/zinc/35/16/24/1073351624.db2.gz XCDKMJZBGCYDNB-AWEZNQCLSA-N 0 0 439.490 -0.018 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000480832454 1073351805 /nfs/dbraw/zinc/35/18/05/1073351805.db2.gz XCDKMJZBGCYDNB-CQSZACIVSA-N 0 0 439.490 -0.018 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)N3CCO[C@H](C(N)=O)C3)c2)CC1 ZINC000480834866 1073351645 /nfs/dbraw/zinc/35/16/45/1073351645.db2.gz UWGOKZYCFIJISD-INIZCTEOSA-N 0 0 425.511 -0.269 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)N3CCO[C@@H](C(N)=O)C3)c2)CC1 ZINC000480834867 1073351520 /nfs/dbraw/zinc/35/15/20/1073351520.db2.gz UWGOKZYCFIJISD-MRXNPFEDSA-N 0 0 425.511 -0.269 20 0 IBADRN COCCNC(=O)CNC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000480835425 1073351816 /nfs/dbraw/zinc/35/18/16/1073351816.db2.gz ZEBVHPXUPPWLCF-UHFFFAOYSA-N 0 0 427.527 -0.103 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NCCC(=O)NC(N)=O)cc2n(C)c1=O ZINC000480835998 1073351666 /nfs/dbraw/zinc/35/16/66/1073351666.db2.gz FFIJLHKKAYTMQQ-UHFFFAOYSA-N 0 0 434.272 -0.497 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000480839808 1073351161 /nfs/dbraw/zinc/35/11/61/1073351161.db2.gz MHIVOOUIQMVMJM-CYBMUJFWSA-N 0 0 448.423 -0.300 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000480839809 1073351195 /nfs/dbraw/zinc/35/11/95/1073351195.db2.gz MHIVOOUIQMVMJM-ZDUSSCGKSA-N 0 0 448.423 -0.300 20 0 IBADRN O=S(=O)(NC[C@@H]1CCCS1(=O)=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000480840703 1073351299 /nfs/dbraw/zinc/35/12/99/1073351299.db2.gz IIDVZZCNWXSICA-HNNXBMFYSA-N 0 0 438.549 -0.437 20 0 IBADRN O=S(=O)(NC[C@H]1CCCS1(=O)=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000480840704 1073351269 /nfs/dbraw/zinc/35/12/69/1073351269.db2.gz IIDVZZCNWXSICA-OAHLLOKOSA-N 0 0 438.549 -0.437 20 0 IBADRN O=C(CN1CCOCC1)Nc1cnn(C[C@@H]2CCCCN2C(=O)CN2CCOCC2)c1 ZINC000480840863 1073351337 /nfs/dbraw/zinc/35/13/37/1073351337.db2.gz LOMOOTIXOGTMAI-IBGZPJMESA-N 0 0 434.541 -0.133 20 0 IBADRN O=C(CN1CCOCC1)Nc1cnn(C[C@H]2CCCCN2C(=O)CN2CCOCC2)c1 ZINC000480840864 1073351330 /nfs/dbraw/zinc/35/13/30/1073351330.db2.gz LOMOOTIXOGTMAI-LJQANCHMSA-N 0 0 434.541 -0.133 20 0 IBADRN O=C(CN1CN=NC1=O)Nc1cnn(C[C@H]2CCCCN2C(=O)CN2CN=NC2=O)c1 ZINC000480844783 1073351184 /nfs/dbraw/zinc/35/11/84/1073351184.db2.gz RHFIVQRKQZDEKH-CYBMUJFWSA-N 0 0 430.429 -0.803 20 0 IBADRN O=C(CN1CN=NC1=O)Nc1cnn(C[C@@H]2CCCCN2C(=O)CN2CN=NC2=O)c1 ZINC000480844792 1073351280 /nfs/dbraw/zinc/35/12/80/1073351280.db2.gz RHFIVQRKQZDEKH-ZDUSSCGKSA-N 0 0 430.429 -0.803 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H]2CCCS2(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000480847473 1073352112 /nfs/dbraw/zinc/35/21/12/1073352112.db2.gz QBWUDPNVJLLGPA-BDJLRTHQSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H]2CCCS2(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000480847481 1073351998 /nfs/dbraw/zinc/35/19/98/1073351998.db2.gz QBWUDPNVJLLGPA-BZNIZROVSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CCCS2(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000480847482 1073352012 /nfs/dbraw/zinc/35/20/12/1073352012.db2.gz QBWUDPNVJLLGPA-MEDUHNTESA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CCCS2(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000480847483 1073352131 /nfs/dbraw/zinc/35/21/31/1073352131.db2.gz QBWUDPNVJLLGPA-ZBEGNZNMSA-N 0 0 431.492 -0.395 20 0 IBADRN C[C@]1(c2ccc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)cc2)NC(=O)NC1=O ZINC000480849503 1073352061 /nfs/dbraw/zinc/35/20/61/1073352061.db2.gz VIGPUQPXNWGCJO-HRAATJIYSA-N 0 0 429.477 -0.596 20 0 IBADRN C[C@@]1(c2ccc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)cc2)NC(=O)NC1=O ZINC000480849509 1073352180 /nfs/dbraw/zinc/35/21/80/1073352180.db2.gz VIGPUQPXNWGCJO-IERDGZPVSA-N 0 0 429.477 -0.596 20 0 IBADRN C[C@]1(c2ccc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)cc2)NC(=O)NC1=O ZINC000480849513 1073352025 /nfs/dbraw/zinc/35/20/25/1073352025.db2.gz VIGPUQPXNWGCJO-IIBYNOLFSA-N 0 0 429.477 -0.596 20 0 IBADRN C[C@@]1(c2ccc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)cc2)NC(=O)NC1=O ZINC000480849516 1073352138 /nfs/dbraw/zinc/35/21/38/1073352138.db2.gz VIGPUQPXNWGCJO-KKSFZXQISA-N 0 0 429.477 -0.596 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CCOc2cccc(OC)c2)CC1 ZINC000480850672 1073352155 /nfs/dbraw/zinc/35/21/55/1073352155.db2.gz IDAVJRFEKBAFCV-UHFFFAOYSA-N 0 0 435.568 -0.039 20 0 IBADRN COc1cccc(OCCN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c1 ZINC000480852174 1073351987 /nfs/dbraw/zinc/35/19/87/1073351987.db2.gz LVEJYZVTOAVXPU-UHFFFAOYSA-N 0 0 438.506 -0.522 20 0 IBADRN COC(=O)[C@@H](O)C(C)(C)NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000480856701 1073352408 /nfs/dbraw/zinc/35/24/08/1073352408.db2.gz WBCFDTARUIIQCA-PXAZEXFGSA-N 0 0 429.451 -0.659 20 0 IBADRN COC(=O)[C@H](O)C(C)(C)NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000480856702 1073352335 /nfs/dbraw/zinc/35/23/35/1073352335.db2.gz WBCFDTARUIIQCA-SJCJKPOMSA-N 0 0 429.451 -0.659 20 0 IBADRN COC(=O)[C@@H](O)C(C)(C)NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000480856703 1073352682 /nfs/dbraw/zinc/35/26/82/1073352682.db2.gz WBCFDTARUIIQCA-SJKOYZFVSA-N 0 0 429.451 -0.659 20 0 IBADRN COC(=O)[C@H](O)C(C)(C)NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000480856704 1073352489 /nfs/dbraw/zinc/35/24/89/1073352489.db2.gz WBCFDTARUIIQCA-YVEFUNNKSA-N 0 0 429.451 -0.659 20 0 IBADRN COc1cccc(OCCN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000480858020 1073352422 /nfs/dbraw/zinc/35/24/22/1073352422.db2.gz PRUHXJRALSTWSV-UHFFFAOYSA-N 0 0 433.552 -0.333 20 0 IBADRN O=C(N[C@H]1CCCc2c[nH]nc21)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000480859684 1073351702 /nfs/dbraw/zinc/35/17/02/1073351702.db2.gz ZPMDLYDBBDBKJY-INIZCTEOSA-N 0 0 440.508 -0.121 20 0 IBADRN O=C(N[C@@H]1CCCc2c[nH]nc21)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000480859691 1073351592 /nfs/dbraw/zinc/35/15/92/1073351592.db2.gz ZPMDLYDBBDBKJY-MRXNPFEDSA-N 0 0 440.508 -0.121 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)NCCNS(C)(=O)=O)c2)CC1 ZINC000480860248 1073351753 /nfs/dbraw/zinc/35/17/53/1073351753.db2.gz LRSAHVTVXSTORA-UHFFFAOYSA-N 0 0 433.556 -0.317 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC(C)(C)[C@H](O)C(=O)OC)s1 ZINC000480861680 1073353263 /nfs/dbraw/zinc/35/32/63/1073353263.db2.gz AICQZPWBGHOWHV-GFCCVEGCSA-N 0 0 428.554 -0.179 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC(C)(C)[C@@H](O)C(=O)OC)s1 ZINC000480861687 1073353254 /nfs/dbraw/zinc/35/32/54/1073353254.db2.gz AICQZPWBGHOWHV-LBPRGKRZSA-N 0 0 428.554 -0.179 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(S(C)(=O)=O)c4ccccc4C3)c2c(=O)n(C)c1=O ZINC000480861866 1073353218 /nfs/dbraw/zinc/35/32/18/1073353218.db2.gz SKNWIOKGFLWSSP-UHFFFAOYSA-N 0 0 446.489 -0.758 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cc1C ZINC000480864900 1073352461 /nfs/dbraw/zinc/35/24/61/1073352461.db2.gz BIEWKJYCBNDKOH-UHFFFAOYSA-N 0 0 429.480 -0.473 20 0 IBADRN COc1ccc(CCNS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cc1S(N)(=O)=O ZINC000480866506 1073352689 /nfs/dbraw/zinc/35/26/89/1073352689.db2.gz BPPJFECDPXTYMG-UHFFFAOYSA-N 0 0 449.532 -0.338 20 0 IBADRN COC(=O)c1cn(C2CCN(S(=O)(=O)c3ccc(S(C)(=O)=O)nc3)CC2)nn1 ZINC000480867221 1073352498 /nfs/dbraw/zinc/35/24/98/1073352498.db2.gz BCDMQIKOYAWSHW-UHFFFAOYSA-N 0 0 429.480 -0.111 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1C ZINC000480869418 1073352903 /nfs/dbraw/zinc/35/29/03/1073352903.db2.gz XDTMHDQEUHPTNL-GFCCVEGCSA-N 0 0 440.569 -0.903 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1C ZINC000480869420 1073352892 /nfs/dbraw/zinc/35/28/92/1073352892.db2.gz XDTMHDQEUHPTNL-LBPRGKRZSA-N 0 0 440.569 -0.903 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cn1 ZINC000480870202 1073352813 /nfs/dbraw/zinc/35/28/13/1073352813.db2.gz GERKDYUAXJKSKC-JTQLQIEISA-N 0 0 440.546 -0.340 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cn1 ZINC000480870206 1073352959 /nfs/dbraw/zinc/35/29/59/1073352959.db2.gz GERKDYUAXJKSKC-SNVBAGLBSA-N 0 0 440.546 -0.340 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000480871210 1073352951 /nfs/dbraw/zinc/35/29/51/1073352951.db2.gz TTXQXTQQXOESIP-IVZQSRNASA-N 0 0 443.504 -0.254 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000480871216 1073353022 /nfs/dbraw/zinc/35/30/22/1073353022.db2.gz TTXQXTQQXOESIP-NYHFZMIOSA-N 0 0 443.504 -0.254 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000480871218 1073352932 /nfs/dbraw/zinc/35/29/32/1073352932.db2.gz TTXQXTQQXOESIP-OYHNWAKOSA-N 0 0 443.504 -0.254 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000480871219 1073352874 /nfs/dbraw/zinc/35/28/74/1073352874.db2.gz TTXQXTQQXOESIP-QRQCRPRQSA-N 0 0 443.504 -0.254 20 0 IBADRN COc1cc(N2CCN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)CC2)ncn1 ZINC000480872757 1073353186 /nfs/dbraw/zinc/35/31/86/1073353186.db2.gz UULIHHCPQROINJ-UHFFFAOYSA-N 0 0 433.556 -0.391 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccs2)cn1 ZINC000480874356 1073353668 /nfs/dbraw/zinc/35/36/68/1073353668.db2.gz MIPQUZQFBLFCNL-UHFFFAOYSA-N 0 0 425.535 -0.197 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1C ZINC000480875735 1073353734 /nfs/dbraw/zinc/35/37/34/1073353734.db2.gz SBYYPNRFDZIUJE-UHFFFAOYSA-N 0 0 428.540 -0.164 20 0 IBADRN NC(=O)NC(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000480876400 1073353698 /nfs/dbraw/zinc/35/36/98/1073353698.db2.gz JBZUNKZUHXJBRL-UHFFFAOYSA-N 0 0 432.402 -0.610 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCCO)CC2)cc1C ZINC000480876631 1073353821 /nfs/dbraw/zinc/35/38/21/1073353821.db2.gz OYKHPBRYCRVMJJ-UHFFFAOYSA-N 0 0 433.552 -0.198 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)C1=O ZINC000480876642 1073353794 /nfs/dbraw/zinc/35/37/94/1073353794.db2.gz URCCZDDDAWMJMV-CYBMUJFWSA-N 0 0 427.508 -0.312 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)C1=O ZINC000480876645 1073353615 /nfs/dbraw/zinc/35/36/15/1073353615.db2.gz URCCZDDDAWMJMV-ZDUSSCGKSA-N 0 0 427.508 -0.312 20 0 IBADRN C[C@@]1(c2ccc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2)NC(=O)NC1=O ZINC000480878740 1073353753 /nfs/dbraw/zinc/35/37/53/1073353753.db2.gz YBIVUBKZMIOYAS-BEFAXECRSA-N 0 0 438.506 -0.041 20 0 IBADRN C[C@]1(c2ccc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2)NC(=O)NC1=O ZINC000480878742 1073353603 /nfs/dbraw/zinc/35/36/03/1073353603.db2.gz YBIVUBKZMIOYAS-DNVCBOLYSA-N 0 0 438.506 -0.041 20 0 IBADRN C[C@]1(c2ccc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2)NC(=O)NC1=O ZINC000480878744 1073353814 /nfs/dbraw/zinc/35/38/14/1073353814.db2.gz YBIVUBKZMIOYAS-HNAYVOBHSA-N 0 0 438.506 -0.041 20 0 IBADRN C[C@@]1(c2ccc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2)NC(=O)NC1=O ZINC000480878746 1073353809 /nfs/dbraw/zinc/35/38/09/1073353809.db2.gz YBIVUBKZMIOYAS-KXBFYZLASA-N 0 0 438.506 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCc3c[nH]nc32)C1 ZINC000480880099 1073354057 /nfs/dbraw/zinc/35/40/57/1073354057.db2.gz DEHMQNHYPCJHSM-CABCVRRESA-N 0 0 427.527 -0.198 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCc3c[nH]nc32)C1 ZINC000480880102 1073354255 /nfs/dbraw/zinc/35/42/55/1073354255.db2.gz DEHMQNHYPCJHSM-GJZGRUSLSA-N 0 0 427.527 -0.198 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000480880105 1073354180 /nfs/dbraw/zinc/35/41/80/1073354180.db2.gz DEHMQNHYPCJHSM-HUUCEWRRSA-N 0 0 427.527 -0.198 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000480880106 1073354268 /nfs/dbraw/zinc/35/42/68/1073354268.db2.gz DEHMQNHYPCJHSM-LSDHHAIUSA-N 0 0 427.527 -0.198 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480881283 1073354263 /nfs/dbraw/zinc/35/42/63/1073354263.db2.gz XHHLMJNOQVLEIX-AWEZNQCLSA-N 0 0 441.572 -0.719 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)cc1C ZINC000480882060 1073354039 /nfs/dbraw/zinc/35/40/39/1073354039.db2.gz YEHFJGCBAIGEMF-AWEZNQCLSA-N 0 0 449.551 -0.115 20 0 IBADRN CN(CCS(=O)(=O)NC[C@@H]1CCCS1(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000480882583 1073354224 /nfs/dbraw/zinc/35/42/24/1073354224.db2.gz ZLKOSKFVEUNRRX-AWEZNQCLSA-N 0 0 428.529 -0.057 20 0 IBADRN CN(CCS(=O)(=O)NC[C@H]1CCCS1(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000480882586 1073354216 /nfs/dbraw/zinc/35/42/16/1073354216.db2.gz ZLKOSKFVEUNRRX-CQSZACIVSA-N 0 0 428.529 -0.057 20 0 IBADRN CNC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H](C)C[C@H](C)C2)cc1 ZINC000480883823 1073354635 /nfs/dbraw/zinc/35/46/35/1073354635.db2.gz WENREWAYQVCWNJ-DVOMOZLQSA-N 0 0 433.552 -0.262 20 0 IBADRN CNC(=O)[C@H](CO)NS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H](C)C[C@@H](C)C2)cc1 ZINC000480883824 1073354608 /nfs/dbraw/zinc/35/46/08/1073354608.db2.gz WENREWAYQVCWNJ-IOASZLSFSA-N 0 0 433.552 -0.262 20 0 IBADRN CNC(=O)[C@H](CO)NS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)C[C@H](C)C2)cc1 ZINC000480883825 1073354614 /nfs/dbraw/zinc/35/46/14/1073354614.db2.gz WENREWAYQVCWNJ-XEZPLFJOSA-N 0 0 433.552 -0.262 20 0 IBADRN CNC(=O)[C@H](CO)NS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H](C)C[C@H](C)C2)cc1 ZINC000480883826 1073354512 /nfs/dbraw/zinc/35/45/12/1073354512.db2.gz WENREWAYQVCWNJ-ZENOOKHLSA-N 0 0 433.552 -0.262 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(S(=O)(=O)c3ccc(S(C)(=O)=O)nc3)C2)nn1 ZINC000480883917 1073354248 /nfs/dbraw/zinc/35/42/48/1073354248.db2.gz MJIBHFDLEAKEER-LLVKDONJSA-N 0 0 429.480 -0.111 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(S(=O)(=O)c3ccc(S(C)(=O)=O)nc3)C2)nn1 ZINC000480883918 1073354643 /nfs/dbraw/zinc/35/46/43/1073354643.db2.gz MJIBHFDLEAKEER-NSHDSACASA-N 0 0 429.480 -0.111 20 0 IBADRN C[C@@H](OCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1nccn1C ZINC000480885901 1073353373 /nfs/dbraw/zinc/35/33/73/1073353373.db2.gz RQABJDDZYYKAIQ-BZUAXINKSA-N 0 0 429.543 -0.004 20 0 IBADRN C[C@H](OCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1nccn1C ZINC000480885902 1073353336 /nfs/dbraw/zinc/35/33/36/1073353336.db2.gz RQABJDDZYYKAIQ-OAGGEKHMSA-N 0 0 429.543 -0.004 20 0 IBADRN C[C@@H](OCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1nccn1C ZINC000480885903 1073353296 /nfs/dbraw/zinc/35/32/96/1073353296.db2.gz RQABJDDZYYKAIQ-OWCLPIDISA-N 0 0 429.543 -0.004 20 0 IBADRN C[C@H](OCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1nccn1C ZINC000480885904 1073353358 /nfs/dbraw/zinc/35/33/58/1073353358.db2.gz RQABJDDZYYKAIQ-XHSDSOJGSA-N 0 0 429.543 -0.004 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)c3ccc([C@]4(C)NC(=O)NC4=O)cc3)cn2)CC1 ZINC000480887286 1073353159 /nfs/dbraw/zinc/35/31/59/1073353159.db2.gz TXAFKEQAEWFOTM-NRFANRHFSA-N 0 0 439.476 -0.036 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)c3ccc([C@@]4(C)NC(=O)NC4=O)cc3)cn2)CC1 ZINC000480887287 1073353200 /nfs/dbraw/zinc/35/32/00/1073353200.db2.gz TXAFKEQAEWFOTM-OAQYLSRUSA-N 0 0 439.476 -0.036 20 0 IBADRN COc1ccc(F)c(N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC000480888234 1073353272 /nfs/dbraw/zinc/35/32/72/1073353272.db2.gz HDXAPIYIBQDRCQ-UHFFFAOYSA-N 0 0 436.531 -0.222 20 0 IBADRN C[C@@]1(c2ccc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)cc2)NC(=O)NC1=O ZINC000480889636 1073353677 /nfs/dbraw/zinc/35/36/77/1073353677.db2.gz PUURGVMLEOZJRJ-IBGZPJMESA-N 0 0 443.489 -0.011 20 0 IBADRN C[C@]1(c2ccc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)cc2)NC(=O)NC1=O ZINC000480889637 1073353762 /nfs/dbraw/zinc/35/37/62/1073353762.db2.gz PUURGVMLEOZJRJ-LJQANCHMSA-N 0 0 443.489 -0.011 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000480892296 1073353240 /nfs/dbraw/zinc/35/32/40/1073353240.db2.gz YPNAQXSSMSWUTH-UHFFFAOYSA-N 0 0 443.613 -0.466 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cc1C ZINC000480893219 1073354591 /nfs/dbraw/zinc/35/45/91/1073354591.db2.gz CDBNZSISQYNRFK-GFCCVEGCSA-N 0 0 443.507 -0.083 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cc1C ZINC000480893220 1073354628 /nfs/dbraw/zinc/35/46/28/1073354628.db2.gz CDBNZSISQYNRFK-LBPRGKRZSA-N 0 0 443.507 -0.083 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)C2)nn1 ZINC000480896157 1073354962 /nfs/dbraw/zinc/35/49/62/1073354962.db2.gz JGOXMNCRJFWRCP-CYBMUJFWSA-N 0 0 435.528 -0.687 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)C2)nn1 ZINC000480896160 1073354839 /nfs/dbraw/zinc/35/48/39/1073354839.db2.gz JGOXMNCRJFWRCP-ZDUSSCGKSA-N 0 0 435.528 -0.687 20 0 IBADRN CCOC(=O)[C@@H](NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)[C@@H]1CCCOC1 ZINC000480896723 1073355013 /nfs/dbraw/zinc/35/50/13/1073355013.db2.gz JBMXCHWLRPALEE-CABCVRRESA-N 0 0 426.557 -0.064 20 0 IBADRN CCOC(=O)[C@@H](NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)[C@H]1CCCOC1 ZINC000480896736 1073354853 /nfs/dbraw/zinc/35/48/53/1073354853.db2.gz JBMXCHWLRPALEE-GJZGRUSLSA-N 0 0 426.557 -0.064 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)[C@@H]1CCCOC1 ZINC000480896738 1073354971 /nfs/dbraw/zinc/35/49/71/1073354971.db2.gz JBMXCHWLRPALEE-HUUCEWRRSA-N 0 0 426.557 -0.064 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)[C@H]1CCCOC1 ZINC000480896742 1073355027 /nfs/dbraw/zinc/35/50/27/1073355027.db2.gz JBMXCHWLRPALEE-LSDHHAIUSA-N 0 0 426.557 -0.064 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1C ZINC000480897616 1073354953 /nfs/dbraw/zinc/35/49/53/1073354953.db2.gz FHAHIKQWDMYJFW-GFCCVEGCSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)cc1C ZINC000480898082 1073354891 /nfs/dbraw/zinc/35/48/91/1073354891.db2.gz MAPBACSAZOZYNJ-UHFFFAOYSA-N 0 0 430.508 -0.392 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480898799 1073354924 /nfs/dbraw/zinc/35/49/24/1073354924.db2.gz NZIIZMWUHHRVFQ-KBPBESRZSA-N 0 0 431.602 -0.562 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480898805 1073354942 /nfs/dbraw/zinc/35/49/42/1073354942.db2.gz NZIIZMWUHHRVFQ-KGLIPLIRSA-N 0 0 431.602 -0.562 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480898806 1073354874 /nfs/dbraw/zinc/35/48/74/1073354874.db2.gz NZIIZMWUHHRVFQ-UONOGXRCSA-N 0 0 431.602 -0.562 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000480898807 1073354884 /nfs/dbraw/zinc/35/48/84/1073354884.db2.gz NZIIZMWUHHRVFQ-ZIAGYGMSSA-N 0 0 431.602 -0.562 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)C2)nn1 ZINC000480899286 1073355044 /nfs/dbraw/zinc/35/50/44/1073355044.db2.gz OYSWMJMJOUQHMN-AWEZNQCLSA-N 0 0 449.555 -0.297 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(S(=O)(=O)CC3CCN(S(C)(=O)=O)CC3)C2)nn1 ZINC000480899287 1073355051 /nfs/dbraw/zinc/35/50/51/1073355051.db2.gz OYSWMJMJOUQHMN-CQSZACIVSA-N 0 0 449.555 -0.297 20 0 IBADRN COC(=O)[C@H](O)C(C)(C)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000480899301 1073354826 /nfs/dbraw/zinc/35/48/26/1073354826.db2.gz PDXWKYSFMCYXRI-AWEZNQCLSA-N 0 0 436.508 -0.702 20 0 IBADRN COC(=O)[C@@H](O)C(C)(C)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000480899302 1073355002 /nfs/dbraw/zinc/35/50/02/1073355002.db2.gz PDXWKYSFMCYXRI-CQSZACIVSA-N 0 0 436.508 -0.702 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1C ZINC000480900640 1073354466 /nfs/dbraw/zinc/35/44/66/1073354466.db2.gz RWBVJRJDLBHKJJ-LBPRGKRZSA-N 0 0 425.554 -0.442 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)C1 ZINC000480900668 1073354499 /nfs/dbraw/zinc/35/44/99/1073354499.db2.gz RYFWPVDJDKTMDA-CYBMUJFWSA-N 0 0 425.554 -0.395 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)C1 ZINC000480900669 1073354525 /nfs/dbraw/zinc/35/45/25/1073354525.db2.gz RYFWPVDJDKTMDA-ZDUSSCGKSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)cc1C ZINC000480900842 1073354574 /nfs/dbraw/zinc/35/45/74/1073354574.db2.gz BBWCCWXHPPSDMM-UHFFFAOYSA-N 0 0 448.548 -0.445 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1C ZINC000480900902 1073354451 /nfs/dbraw/zinc/35/44/51/1073354451.db2.gz SHDOSSVHFQTYNG-GFCCVEGCSA-N 0 0 425.554 -0.537 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1C ZINC000480900903 1073354621 /nfs/dbraw/zinc/35/46/21/1073354621.db2.gz SHDOSSVHFQTYNG-LBPRGKRZSA-N 0 0 425.554 -0.537 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000480906372 1073355360 /nfs/dbraw/zinc/35/53/60/1073355360.db2.gz YJDPENDGKOBKMP-UHFFFAOYSA-N 0 0 442.480 -0.170 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000480909281 1073355410 /nfs/dbraw/zinc/35/54/10/1073355410.db2.gz BRWIZXGMNYINEY-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000480911336 1073355265 /nfs/dbraw/zinc/35/52/65/1073355265.db2.gz NVAWONIHCNRBSF-UHFFFAOYSA-N 0 0 436.556 -0.558 20 0 IBADRN COCn1nccc1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000480911891 1073355394 /nfs/dbraw/zinc/35/53/94/1073355394.db2.gz UWDWSIHQYPWGOE-UHFFFAOYSA-N 0 0 444.491 -0.390 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cc1C ZINC000480918914 1073355368 /nfs/dbraw/zinc/35/53/68/1073355368.db2.gz DGIIYYIXMAGWGG-CYBMUJFWSA-N 0 0 433.508 -0.655 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cc1C ZINC000480918916 1073355278 /nfs/dbraw/zinc/35/52/78/1073355278.db2.gz DGIIYYIXMAGWGG-ZDUSSCGKSA-N 0 0 433.508 -0.655 20 0 IBADRN CCN(Cc1ccccc1)S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000480923242 1073355287 /nfs/dbraw/zinc/35/52/87/1073355287.db2.gz CWVTUOMCXZIWQG-UHFFFAOYSA-N 0 0 432.568 -0.052 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(O)(CN3CCOCC3)CC2)cc1C ZINC000480924787 1073355764 /nfs/dbraw/zinc/35/57/64/1073355764.db2.gz GKDAEOZKQSGQRP-UHFFFAOYSA-N 0 0 447.579 -0.249 20 0 IBADRN CCOC(=O)[C@@H](NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)C1CCOCC1 ZINC000480927502 1073355795 /nfs/dbraw/zinc/35/57/95/1073355795.db2.gz NEKARHAKGVCEKI-HNNXBMFYSA-N 0 0 426.557 -0.064 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)C1CCOCC1 ZINC000480927503 1073355718 /nfs/dbraw/zinc/35/57/18/1073355718.db2.gz NEKARHAKGVCEKI-OAHLLOKOSA-N 0 0 426.557 -0.064 20 0 IBADRN CCc1cc(N2CCC(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)ncn1 ZINC000480927765 1073355756 /nfs/dbraw/zinc/35/57/56/1073355756.db2.gz NOGLIXSUCUXCKQ-UHFFFAOYSA-N 0 0 435.510 -0.471 20 0 IBADRN O=S(=O)(c1ccc(C(F)(F)F)cn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000480930677 1073222259 /nfs/dbraw/zinc/22/22/59/1073222259.db2.gz RDWPUPJFRKGZIT-UHFFFAOYSA-N 0 0 444.457 -0.016 20 0 IBADRN CCN(Cc1ccccc1)S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000480933987 1073355694 /nfs/dbraw/zinc/35/56/94/1073355694.db2.gz NOQCWHPZRHLXQT-UHFFFAOYSA-N 0 0 445.611 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3CN(CC(F)(F)F)C3)CC2)C[C@H](C)O1 ZINC000480938669 1073356260 /nfs/dbraw/zinc/35/62/60/1073356260.db2.gz IJSVBSGKMMFMNT-BETUJISGSA-N 0 0 428.477 -0.021 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3CN(CC(F)(F)F)C3)CC2)C[C@@H](C)O1 ZINC000480938672 1073356181 /nfs/dbraw/zinc/35/61/81/1073356181.db2.gz IJSVBSGKMMFMNT-CHWSQXEVSA-N 0 0 428.477 -0.021 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C3CN(CC(F)(F)F)C3)CC2)C[C@H](C)O1 ZINC000480938674 1073356129 /nfs/dbraw/zinc/35/61/29/1073356129.db2.gz IJSVBSGKMMFMNT-STQMWFEESA-N 0 0 428.477 -0.021 20 0 IBADRN CCCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000480940346 1073356247 /nfs/dbraw/zinc/35/62/47/1073356247.db2.gz VFNZOWUEFFZGMY-UHFFFAOYSA-N 0 0 440.569 -0.773 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC34CCS(=O)(=O)CC4)CC2)C[C@@H](C)O1 ZINC000480941951 1073356232 /nfs/dbraw/zinc/35/62/32/1073356232.db2.gz MQVZUDZHBPWYBL-BZUAXINKSA-N 0 0 449.595 -0.301 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC34CCS(=O)(=O)CC4)CC2)C[C@@H](C)O1 ZINC000480941952 1073356103 /nfs/dbraw/zinc/35/61/03/1073356103.db2.gz MQVZUDZHBPWYBL-OAGGEKHMSA-N 0 0 449.595 -0.301 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC34CCS(=O)(=O)CC4)CC2)C[C@H](C)O1 ZINC000480941953 1073356235 /nfs/dbraw/zinc/35/62/35/1073356235.db2.gz MQVZUDZHBPWYBL-OWCLPIDISA-N 0 0 449.595 -0.301 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC34CCS(=O)(=O)CC4)CC2)C[C@H](C)O1 ZINC000480941954 1073356149 /nfs/dbraw/zinc/35/61/49/1073356149.db2.gz MQVZUDZHBPWYBL-XHSDSOJGSA-N 0 0 449.595 -0.301 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000480943278 1073355850 /nfs/dbraw/zinc/35/58/50/1073355850.db2.gz XNUZEUZVSYARMX-AWEZNQCLSA-N 0 0 439.538 -0.408 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000480943279 1073355626 /nfs/dbraw/zinc/35/56/26/1073355626.db2.gz XNUZEUZVSYARMX-CQSZACIVSA-N 0 0 439.538 -0.408 20 0 IBADRN COCc1ncc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c(N)n1 ZINC000480943913 1073357809 /nfs/dbraw/zinc/35/78/09/1073357809.db2.gz XEOOQLVINXZVJI-BETUJISGSA-N 0 0 428.515 -0.683 20 0 IBADRN COCc1ncc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c(N)n1 ZINC000480943914 1073357781 /nfs/dbraw/zinc/35/77/81/1073357781.db2.gz XEOOQLVINXZVJI-CHWSQXEVSA-N 0 0 428.515 -0.683 20 0 IBADRN COCc1ncc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c(N)n1 ZINC000480943915 1073357651 /nfs/dbraw/zinc/35/76/51/1073357651.db2.gz XEOOQLVINXZVJI-STQMWFEESA-N 0 0 428.515 -0.683 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnc(C4CCCC4)nc3N)CC2)CC1 ZINC000480944031 1073359119 /nfs/dbraw/zinc/35/91/19/1073359119.db2.gz XUPRMYMQTIICHG-UHFFFAOYSA-N 0 0 437.570 -0.034 20 0 IBADRN CCc1cc(N2CCC(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)ncn1 ZINC000480947296 1073356209 /nfs/dbraw/zinc/35/62/09/1073356209.db2.gz BVTKSPCBQVZYRU-UHFFFAOYSA-N 0 0 440.570 -0.042 20 0 IBADRN CCc1cc(N2CCC(NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)ncn1 ZINC000480951429 1073355659 /nfs/dbraw/zinc/35/56/59/1073355659.db2.gz LRHLEYWXKCLMOD-UHFFFAOYSA-N 0 0 436.494 -0.206 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC000480952947 1073356795 /nfs/dbraw/zinc/35/67/95/1073356795.db2.gz KTCRUJIMJYLRFC-HNNXBMFYSA-N 0 0 428.449 -0.608 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)CC1 ZINC000480952948 1073356773 /nfs/dbraw/zinc/35/67/73/1073356773.db2.gz KTCRUJIMJYLRFC-OAHLLOKOSA-N 0 0 428.449 -0.608 20 0 IBADRN CS(=O)(=O)C1(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000480956646 1073356809 /nfs/dbraw/zinc/35/68/09/1073356809.db2.gz FPCIZAPNGVEGRS-UHFFFAOYSA-N 0 0 438.549 -0.437 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)CC2)n2ncnc2n1 ZINC000480956875 1073356819 /nfs/dbraw/zinc/35/68/19/1073356819.db2.gz IVZXOWIMBVKVQW-JKSUJKDBSA-N 0 0 429.481 -0.512 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(S(C)(=O)=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000480959605 1073356535 /nfs/dbraw/zinc/35/65/35/1073356535.db2.gz VVGCGIOXWUSSJD-HNNXBMFYSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(S(C)(=O)=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000480959606 1073356510 /nfs/dbraw/zinc/35/65/10/1073356510.db2.gz VVGCGIOXWUSSJD-OAHLLOKOSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(S(C)(=O)=O)CCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000480965160 1073356557 /nfs/dbraw/zinc/35/65/57/1073356557.db2.gz YYFQSEZACMRNAO-INIZCTEOSA-N 0 0 445.519 -0.005 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(S(C)(=O)=O)CCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000480965162 1073356482 /nfs/dbraw/zinc/35/64/82/1073356482.db2.gz YYFQSEZACMRNAO-MRXNPFEDSA-N 0 0 445.519 -0.005 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)cc2oc1=O ZINC000480968296 1073356548 /nfs/dbraw/zinc/35/65/48/1073356548.db2.gz DQUIOCQIFAOEMM-UHFFFAOYSA-N 0 0 425.449 -0.202 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1F ZINC000480978204 1073357253 /nfs/dbraw/zinc/35/72/53/1073357253.db2.gz IXXSGUBRBOPGBQ-UHFFFAOYSA-N 0 0 425.446 -0.267 20 0 IBADRN CN(CCS(=O)(=O)NCC1(S(C)(=O)=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000480979659 1073356878 /nfs/dbraw/zinc/35/68/78/1073356878.db2.gz GAEVJGNKMFRCGU-UHFFFAOYSA-N 0 0 428.529 -0.057 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(S(C)(=O)=O)c3ccccc3C1)c(=O)n2C ZINC000480979732 1073356915 /nfs/dbraw/zinc/35/69/15/1073356915.db2.gz MBPARTPGHXJZNC-UHFFFAOYSA-N 0 0 446.489 -0.758 20 0 IBADRN CCCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000480983425 1073356788 /nfs/dbraw/zinc/35/67/88/1073356788.db2.gz RSOZBCAMAFKPAT-UHFFFAOYSA-N 0 0 432.524 -0.090 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000480985396 1073356851 /nfs/dbraw/zinc/35/68/51/1073356851.db2.gz VUUSMBWGXNMTSF-GFCCVEGCSA-N 0 0 429.520 -0.372 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000480985405 1073356942 /nfs/dbraw/zinc/35/69/42/1073356942.db2.gz VUUSMBWGXNMTSF-LBPRGKRZSA-N 0 0 429.520 -0.372 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2oc1=O ZINC000480985657 1073357268 /nfs/dbraw/zinc/35/72/68/1073357268.db2.gz SHLRKBFZLMFEAH-UHFFFAOYSA-N 0 0 431.449 -0.927 20 0 IBADRN CCN(C1CN(S(=O)(=O)c2ccnn2COC)C1)S(=O)(=O)c1ccnn1COC ZINC000480987406 1073357182 /nfs/dbraw/zinc/35/71/82/1073357182.db2.gz LRYDMCYDSTUFSR-UHFFFAOYSA-N 0 0 448.527 -0.629 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)c(=O)n(C)c1=O ZINC000480997183 1073357105 /nfs/dbraw/zinc/35/71/05/1073357105.db2.gz BMYSHHDGLQYSFO-UHFFFAOYSA-N 0 0 445.501 -0.153 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2cc3[nH]c(=O)[nH]c3cc2Br)CC1 ZINC000481004572 1073357511 /nfs/dbraw/zinc/35/75/11/1073357511.db2.gz LYSXBVJYMIOBDO-UHFFFAOYSA-N 0 0 440.301 -0.459 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000481005952 1073357479 /nfs/dbraw/zinc/35/74/79/1073357479.db2.gz CFPHPEIMFMIZGI-UHFFFAOYSA-N 0 0 440.565 -0.271 20 0 IBADRN NC(=O)CN(CC(N)=O)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCCCC2)c1 ZINC000481007537 1073357144 /nfs/dbraw/zinc/35/71/44/1073357144.db2.gz DFNDZKXAAYARMQ-UHFFFAOYSA-N 0 0 432.524 -0.787 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)cc2oc1=O ZINC000481012008 1073357156 /nfs/dbraw/zinc/35/71/56/1073357156.db2.gz ZAALSVWHHLOHBI-UHFFFAOYSA-N 0 0 445.457 -0.002 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3ccc([S@@](C)=O)cc3)CC2)CC1 ZINC000481013762 1073357549 /nfs/dbraw/zinc/35/75/49/1073357549.db2.gz WXDSYLIHLPOEEJ-HHHXNRCGSA-N 0 0 428.580 -0.397 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3ccc([S@](C)=O)cc3)CC2)CC1 ZINC000481013764 1073357609 /nfs/dbraw/zinc/35/76/09/1073357609.db2.gz WXDSYLIHLPOEEJ-MHZLTWQESA-N 0 0 428.580 -0.397 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC000481014132 1073357448 /nfs/dbraw/zinc/35/74/48/1073357448.db2.gz MHNXNEMDQUQJLY-CHWSQXEVSA-N 0 0 431.419 -0.171 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2CCC[C@H](C(F)(F)F)C2)CC1 ZINC000481014133 1073357539 /nfs/dbraw/zinc/35/75/39/1073357539.db2.gz MHNXNEMDQUQJLY-OLZOCXBDSA-N 0 0 431.419 -0.171 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC000481014134 1073357560 /nfs/dbraw/zinc/35/75/60/1073357560.db2.gz MHNXNEMDQUQJLY-QWHCGFSZSA-N 0 0 431.419 -0.171 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2CCC[C@H](C(F)(F)F)C2)CC1 ZINC000481014135 1073357463 /nfs/dbraw/zinc/35/74/63/1073357463.db2.gz MHNXNEMDQUQJLY-STQMWFEESA-N 0 0 431.419 -0.171 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2C(=O)CCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000481015305 1073357579 /nfs/dbraw/zinc/35/75/79/1073357579.db2.gz RBDDPRYDGOZOAQ-UHFFFAOYSA-N 0 0 445.519 -0.557 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481016014 1073357495 /nfs/dbraw/zinc/35/74/95/1073357495.db2.gz JHRHHVXYSWDCIF-UHFFFAOYSA-N 0 0 438.549 -0.565 20 0 IBADRN COC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000481019684 1073357489 /nfs/dbraw/zinc/35/74/89/1073357489.db2.gz GBIZUIQUFNCHKY-HNNXBMFYSA-N 0 0 448.519 -0.422 20 0 IBADRN COC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000481019691 1073357439 /nfs/dbraw/zinc/35/74/39/1073357439.db2.gz GBIZUIQUFNCHKY-OAHLLOKOSA-N 0 0 448.519 -0.422 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000481023547 1073357590 /nfs/dbraw/zinc/35/75/90/1073357590.db2.gz MIFNSMSLFHJZEK-CVEARBPZSA-N 0 0 436.538 -0.404 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)Cn2cncn2)cc1S(=O)(=O)N1CCOCC1 ZINC000481027250 1073357469 /nfs/dbraw/zinc/35/74/69/1073357469.db2.gz QTHHPQHMTCIJKY-AWEZNQCLSA-N 0 0 429.524 -0.026 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C)Cn2cncn2)cc1S(=O)(=O)N1CCOCC1 ZINC000481027251 1073357860 /nfs/dbraw/zinc/35/78/60/1073357860.db2.gz QTHHPQHMTCIJKY-CQSZACIVSA-N 0 0 429.524 -0.026 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CCN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481029088 1073357869 /nfs/dbraw/zinc/35/78/69/1073357869.db2.gz ZENQIFVHIDYDLE-UHFFFAOYSA-N 0 0 447.579 -0.031 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCN(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481034710 1073357971 /nfs/dbraw/zinc/35/79/71/1073357971.db2.gz IGKLTNANCRPVGH-UHFFFAOYSA-N 0 0 446.551 -0.209 20 0 IBADRN CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000481035920 1073357943 /nfs/dbraw/zinc/35/79/43/1073357943.db2.gz MCRNENKODGDJIA-HNNXBMFYSA-N 0 0 431.536 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000481035921 1073357953 /nfs/dbraw/zinc/35/79/53/1073357953.db2.gz MCRNENKODGDJIA-OAHLLOKOSA-N 0 0 431.536 -0.085 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@H](C(N)=O)C3)c2)CC1 ZINC000481045120 1073357882 /nfs/dbraw/zinc/35/78/82/1073357882.db2.gz IUUHBOLSGISKSF-AWEZNQCLSA-N 0 0 437.522 -0.715 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@H](C(N)=O)C3)c2)CC1 ZINC000481045123 1073357751 /nfs/dbraw/zinc/35/77/51/1073357751.db2.gz IUUHBOLSGISKSF-CQSZACIVSA-N 0 0 437.522 -0.715 20 0 IBADRN Cn1cc(Br)cc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1=O ZINC000481045883 1073357920 /nfs/dbraw/zinc/35/79/20/1073357920.db2.gz XKLMNFNROBINJN-UHFFFAOYSA-N 0 0 425.247 -0.489 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCn3cnnc3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000481048143 1073357814 /nfs/dbraw/zinc/35/78/14/1073357814.db2.gz TVNYNPRLHGFSNV-UHFFFAOYSA-N 0 0 427.508 -0.188 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc(C(N)=O)c3)CC2)cn1C ZINC000481051024 1073358184 /nfs/dbraw/zinc/35/81/84/1073358184.db2.gz BNWKUPYEQZUCNI-UHFFFAOYSA-N 0 0 427.508 -0.477 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H](O)[C@@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481052000 1073358325 /nfs/dbraw/zinc/35/83/25/1073358325.db2.gz OKSNKNHVHJCSSU-GDBMZVCRSA-N 0 0 434.536 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H](O)[C@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481052003 1073358220 /nfs/dbraw/zinc/35/82/20/1073358220.db2.gz OKSNKNHVHJCSSU-GOEBONIOSA-N 0 0 434.536 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H](O)[C@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481052007 1073358272 /nfs/dbraw/zinc/35/82/72/1073358272.db2.gz OKSNKNHVHJCSSU-HOCLYGCPSA-N 0 0 434.536 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H](O)[C@@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481052011 1073358317 /nfs/dbraw/zinc/35/83/17/1073358317.db2.gz OKSNKNHVHJCSSU-ZBFHGGJFSA-N 0 0 434.536 -0.308 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000481052862 1073358288 /nfs/dbraw/zinc/35/82/88/1073358288.db2.gz DJWMUIFZNJCXHF-UHFFFAOYSA-N 0 0 429.517 -0.989 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCNC(=O)C2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000481053686 1073358296 /nfs/dbraw/zinc/35/82/96/1073358296.db2.gz FFCVNUBRUWJIPC-UHFFFAOYSA-N 0 0 431.536 -0.085 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000481055289 1073358109 /nfs/dbraw/zinc/35/81/09/1073358109.db2.gz HOIRBKTVWUBMNC-HNNXBMFYSA-N 0 0 448.519 -0.422 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000481055292 1073358119 /nfs/dbraw/zinc/35/81/19/1073358119.db2.gz HOIRBKTVWUBMNC-OAHLLOKOSA-N 0 0 448.519 -0.422 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000481056997 1073358098 /nfs/dbraw/zinc/35/80/98/1073358098.db2.gz JMLADACRRYOHSQ-HIFRSBDPSA-N 0 0 448.519 -0.687 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c[nH]1 ZINC000481057265 1073358200 /nfs/dbraw/zinc/35/82/00/1073358200.db2.gz LICWQXKFPYLYQI-UHFFFAOYSA-N 0 0 431.496 -0.462 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2CCC(=O)N(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000481065822 1073358752 /nfs/dbraw/zinc/35/87/52/1073358752.db2.gz YMJNPHBDYVEQJE-AWEZNQCLSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2CCC(=O)N(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000481065823 1073358619 /nfs/dbraw/zinc/35/86/19/1073358619.db2.gz YMJNPHBDYVEQJE-CQSZACIVSA-N 0 0 431.536 -0.085 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)o1 ZINC000481066501 1073358645 /nfs/dbraw/zinc/35/86/45/1073358645.db2.gz BVFKLENOJZZQGW-UHFFFAOYSA-N 0 0 432.480 -0.197 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CN(C)CCN2C)cc1S(=O)(=O)N1CCOCC1 ZINC000481067118 1073358659 /nfs/dbraw/zinc/35/86/59/1073358659.db2.gz FAHROTLWUSYBOP-INIZCTEOSA-N 0 0 446.595 -0.460 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H]2CN(C)CCN2C)cc1S(=O)(=O)N1CCOCC1 ZINC000481067125 1073358555 /nfs/dbraw/zinc/35/85/55/1073358555.db2.gz FAHROTLWUSYBOP-MRXNPFEDSA-N 0 0 446.595 -0.460 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1C ZINC000481067481 1073358716 /nfs/dbraw/zinc/35/87/16/1073358716.db2.gz FUOXZOQCZSQFQQ-UHFFFAOYSA-N 0 0 445.523 -0.451 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCCNS(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000481068363 1073358576 /nfs/dbraw/zinc/35/85/76/1073358576.db2.gz GCEINNKOSQQZDC-FPCVCCKLSA-N 0 0 442.604 -0.346 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCCNS(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000481068364 1073358736 /nfs/dbraw/zinc/35/87/36/1073358736.db2.gz GCEINNKOSQQZDC-GEEKYZPCSA-N 0 0 442.604 -0.346 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCCNS(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000481068365 1073358628 /nfs/dbraw/zinc/35/86/28/1073358628.db2.gz GCEINNKOSQQZDC-RUPPMWDTSA-N 0 0 442.604 -0.346 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCCNS(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000481068366 1073358744 /nfs/dbraw/zinc/35/87/44/1073358744.db2.gz GCEINNKOSQQZDC-YHUYYLMFSA-N 0 0 442.604 -0.346 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(F)c1 ZINC000481068573 1073358696 /nfs/dbraw/zinc/35/86/96/1073358696.db2.gz IOJJLISOPIXPBF-UHFFFAOYSA-N 0 0 436.531 -0.367 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481070260 1073358602 /nfs/dbraw/zinc/35/86/02/1073358602.db2.gz LFWAWCRSXCHHBB-UHFFFAOYSA-N 0 0 446.551 -0.163 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000481070606 1073358611 /nfs/dbraw/zinc/35/86/11/1073358611.db2.gz LVVHYTBZBAIAPC-AWEZNQCLSA-N 0 0 449.555 -0.693 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000481070607 1073358638 /nfs/dbraw/zinc/35/86/38/1073358638.db2.gz LVVHYTBZBAIAPC-CQSZACIVSA-N 0 0 449.555 -0.693 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCCNS(=O)(=O)N2C[C@H](C)OC[C@H]2C)[C@H](C)CO1 ZINC000481070613 1073358652 /nfs/dbraw/zinc/35/86/52/1073358652.db2.gz LXVGVPTUBUHECU-FPCVCCKLSA-N 0 0 442.604 -0.346 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCCNS(=O)(=O)N2C[C@H](C)OC[C@@H]2C)[C@H](C)CO1 ZINC000481070614 1073358998 /nfs/dbraw/zinc/35/89/98/1073358998.db2.gz LXVGVPTUBUHECU-GEEKYZPCSA-N 0 0 442.604 -0.346 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCCNS(=O)(=O)N2C[C@H](C)OC[C@H]2C)[C@@H](C)CO1 ZINC000481070615 1073359154 /nfs/dbraw/zinc/35/91/54/1073359154.db2.gz LXVGVPTUBUHECU-SYMSYNOKSA-N 0 0 442.604 -0.346 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCCCNS(=O)(=O)N2C[C@H](C)OC[C@@H]2C)[C@@H](C)CO1 ZINC000481070616 1073359159 /nfs/dbraw/zinc/35/91/59/1073359159.db2.gz LXVGVPTUBUHECU-YHUYYLMFSA-N 0 0 442.604 -0.346 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000481072631 1073359077 /nfs/dbraw/zinc/35/90/77/1073359077.db2.gz OMYBYOHNVQQEOO-UHFFFAOYSA-N 0 0 449.536 -0.333 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2CCCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000481072958 1073359105 /nfs/dbraw/zinc/35/91/05/1073359105.db2.gz PJBWDUWYAIIHQU-HNNXBMFYSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2CCCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000481072959 1073359168 /nfs/dbraw/zinc/35/91/68/1073359168.db2.gz PJBWDUWYAIIHQU-OAHLLOKOSA-N 0 0 431.536 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N(C)C(C)C)cc2)CCO1 ZINC000481075308 1073358231 /nfs/dbraw/zinc/35/82/31/1073358231.db2.gz KGLPFFQHXXAKFZ-INIZCTEOSA-N 0 0 440.522 -0.695 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N(C)C(C)C)cc2)CCO1 ZINC000481075318 1073358282 /nfs/dbraw/zinc/35/82/82/1073358282.db2.gz KGLPFFQHXXAKFZ-MRXNPFEDSA-N 0 0 440.522 -0.695 20 0 IBADRN CC(C)NC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000481076674 1073358145 /nfs/dbraw/zinc/35/81/45/1073358145.db2.gz FZBKBZOWEFDDQK-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1 ZINC000481077372 1073358210 /nfs/dbraw/zinc/35/82/10/1073358210.db2.gz VHUNVRUWUQDANA-UHFFFAOYSA-N 0 0 441.535 -0.217 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1 ZINC000481078198 1073358763 /nfs/dbraw/zinc/35/87/63/1073358763.db2.gz UOEZTZWUOVZZQZ-UHFFFAOYSA-N 0 0 442.480 -0.042 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C)c(C(N)=O)c3)CC2)cn1C ZINC000481079019 1073358776 /nfs/dbraw/zinc/35/87/76/1073358776.db2.gz WTNLQPPBSQMBIO-UHFFFAOYSA-N 0 0 441.535 -0.169 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCS(=O)(=O)c3ccc(F)cc3)cnc21 ZINC000481079193 1073358592 /nfs/dbraw/zinc/35/85/92/1073358592.db2.gz VPYOHJSLSOAYMG-UHFFFAOYSA-N 0 0 442.450 -0.075 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1C ZINC000481080862 1073359690 /nfs/dbraw/zinc/35/96/90/1073359690.db2.gz ZHJJMYSDYGHOSP-UHFFFAOYSA-N 0 0 444.539 -0.878 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(c3cccc(Cl)c3)CC2)c(=O)n(C)c1=O ZINC000481082809 1073359046 /nfs/dbraw/zinc/35/90/46/1073359046.db2.gz RZUUBLJKONTZJM-UHFFFAOYSA-N 0 0 441.941 -0.162 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC000481086705 1073359135 /nfs/dbraw/zinc/35/91/35/1073359135.db2.gz STWCQGIXPMGOPI-HNNXBMFYSA-N 0 0 430.465 -0.362 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)CC1 ZINC000481086706 1073359015 /nfs/dbraw/zinc/35/90/15/1073359015.db2.gz STWCQGIXPMGOPI-OAHLLOKOSA-N 0 0 430.465 -0.362 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC000481089924 1073359128 /nfs/dbraw/zinc/35/91/28/1073359128.db2.gz ZWWASVWYVVQBEA-INIZCTEOSA-N 0 0 432.481 -0.651 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)CC1 ZINC000481089927 1073359004 /nfs/dbraw/zinc/35/90/04/1073359004.db2.gz ZWWASVWYVVQBEA-MRXNPFEDSA-N 0 0 432.481 -0.651 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC000481093606 1073359065 /nfs/dbraw/zinc/35/90/65/1073359065.db2.gz ROTPZNKYTUYRMG-HNNXBMFYSA-N 0 0 432.462 -0.068 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC000481093608 1073359478 /nfs/dbraw/zinc/35/94/78/1073359478.db2.gz ROTPZNKYTUYRMG-OAHLLOKOSA-N 0 0 432.462 -0.068 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000481098509 1073359552 /nfs/dbraw/zinc/35/95/52/1073359552.db2.gz AXVXVXCDVUGQBM-UHFFFAOYSA-N 0 0 438.452 -0.358 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2oc1=O ZINC000481099726 1073359944 /nfs/dbraw/zinc/35/99/44/1073359944.db2.gz DBRYOUYULSMLJZ-UHFFFAOYSA-N 0 0 429.433 -0.637 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)CC1)N1CCOCC1 ZINC000481101912 1073359998 /nfs/dbraw/zinc/35/99/98/1073359998.db2.gz HCZJJTXETCTEED-KRWDZBQOSA-N 0 0 444.492 -0.555 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)CC1)N1CCOCC1 ZINC000481101926 1073360055 /nfs/dbraw/zinc/36/00/55/1073360055.db2.gz HCZJJTXETCTEED-QGZVFWFLSA-N 0 0 444.492 -0.555 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1S(=O)(=O)NC1CC1 ZINC000481102256 1073360183 /nfs/dbraw/zinc/36/01/83/1073360183.db2.gz BCTRUDWDKNGWHE-UHFFFAOYSA-N 0 0 448.509 -0.201 20 0 IBADRN COCCOCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000481111832 1073359604 /nfs/dbraw/zinc/35/96/04/1073359604.db2.gz YQMKHWFAIMHBJY-UHFFFAOYSA-N 0 0 442.538 -0.270 20 0 IBADRN COC(=O)COc1ccc(CN(C)CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000481117731 1073359512 /nfs/dbraw/zinc/35/95/12/1073359512.db2.gz KVPOCQMXGQFXAM-AWEZNQCLSA-N 0 0 427.479 -0.317 20 0 IBADRN COC(=O)COc1ccc(CN(C)CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000481117732 1073359589 /nfs/dbraw/zinc/35/95/89/1073359589.db2.gz KVPOCQMXGQFXAM-CQSZACIVSA-N 0 0 427.479 -0.317 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC000481119369 1073360095 /nfs/dbraw/zinc/36/00/95/1073360095.db2.gz UDWRSLNGGPDDTP-AWEZNQCLSA-N 0 0 441.535 -0.063 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC000481119373 1073360142 /nfs/dbraw/zinc/36/01/42/1073360142.db2.gz UDWRSLNGGPDDTP-CQSZACIVSA-N 0 0 441.535 -0.063 20 0 IBADRN COc1ccc(F)c(N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)c1 ZINC000481119811 1073360831 /nfs/dbraw/zinc/36/08/31/1073360831.db2.gz YAOJLUPXYGFRCV-UHFFFAOYSA-N 0 0 430.440 -0.070 20 0 IBADRN COc1ccc(F)c(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)c1 ZINC000481124247 1073360984 /nfs/dbraw/zinc/36/09/84/1073360984.db2.gz LREJIVUIFDEFNT-UHFFFAOYSA-N 0 0 439.469 -0.112 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(C)(C)C(N)=O)c2)CC1 ZINC000481131854 1073360928 /nfs/dbraw/zinc/36/09/28/1073360928.db2.gz UCWCTFSAQBRGEQ-UHFFFAOYSA-N 0 0 439.538 -0.421 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000481133770 1073359967 /nfs/dbraw/zinc/35/99/67/1073359967.db2.gz AHMOSJXHLYQYHD-DLBZAZTESA-N 0 0 432.525 -0.305 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000481133771 1073360035 /nfs/dbraw/zinc/36/00/35/1073360035.db2.gz AHMOSJXHLYQYHD-IAGOWNOFSA-N 0 0 432.525 -0.305 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000481133772 1073359925 /nfs/dbraw/zinc/35/99/25/1073359925.db2.gz AHMOSJXHLYQYHD-IRXDYDNUSA-N 0 0 432.525 -0.305 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000481133773 1073360112 /nfs/dbraw/zinc/36/01/12/1073360112.db2.gz AHMOSJXHLYQYHD-SJORKVTESA-N 0 0 432.525 -0.305 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccncc2I)CC1 ZINC000481134593 1073359986 /nfs/dbraw/zinc/35/99/86/1073359986.db2.gz GYCHGMSEKKMABG-UHFFFAOYSA-N 0 0 442.221 -0.529 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)C1=O ZINC000481135427 1073359958 /nfs/dbraw/zinc/35/99/58/1073359958.db2.gz FJCJMMKPYQCUIX-LLVKDONJSA-N 0 0 428.453 -0.177 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)C1=O ZINC000481135464 1073360152 /nfs/dbraw/zinc/36/01/52/1073360152.db2.gz FJCJMMKPYQCUIX-NSHDSACASA-N 0 0 428.453 -0.177 20 0 IBADRN C[C@]1(C2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)NC(=O)NC1=O ZINC000481139248 1073360613 /nfs/dbraw/zinc/36/06/13/1073360613.db2.gz JDYLJQIJKIIGDD-HRAATJIYSA-N 0 0 435.525 -0.464 20 0 IBADRN C[C@@]1(C2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)NC(=O)NC1=O ZINC000481139250 1073360521 /nfs/dbraw/zinc/36/05/21/1073360521.db2.gz JDYLJQIJKIIGDD-IERDGZPVSA-N 0 0 435.525 -0.464 20 0 IBADRN C[C@]1(C2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)NC(=O)NC1=O ZINC000481139252 1073360641 /nfs/dbraw/zinc/36/06/41/1073360641.db2.gz JDYLJQIJKIIGDD-IIBYNOLFSA-N 0 0 435.525 -0.464 20 0 IBADRN C[C@@]1(C2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)NC(=O)NC1=O ZINC000481139254 1073360461 /nfs/dbraw/zinc/36/04/61/1073360461.db2.gz JDYLJQIJKIIGDD-KKSFZXQISA-N 0 0 435.525 -0.464 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000481141820 1073361337 /nfs/dbraw/zinc/36/13/37/1073361337.db2.gz WGVQUXSATGMMQB-HNNXBMFYSA-N 0 0 426.495 -0.956 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000481141821 1073361324 /nfs/dbraw/zinc/36/13/24/1073361324.db2.gz WGVQUXSATGMMQB-OAHLLOKOSA-N 0 0 426.495 -0.956 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000481141877 1073361361 /nfs/dbraw/zinc/36/13/61/1073361361.db2.gz LQROGEGMAVKCIB-INIZCTEOSA-N 0 0 429.587 -0.557 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000481141878 1073361348 /nfs/dbraw/zinc/36/13/48/1073361348.db2.gz LQROGEGMAVKCIB-MRXNPFEDSA-N 0 0 429.587 -0.557 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000481144076 1073361380 /nfs/dbraw/zinc/36/13/80/1073361380.db2.gz ONFDEEXDHRWLKE-INIZCTEOSA-N 0 0 443.957 -0.074 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000481144099 1073361354 /nfs/dbraw/zinc/36/13/54/1073361354.db2.gz ONFDEEXDHRWLKE-MRXNPFEDSA-N 0 0 443.957 -0.074 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1=O ZINC000481145567 1073361271 /nfs/dbraw/zinc/36/12/71/1073361271.db2.gz PLRCTNLNELHETH-AWEZNQCLSA-N 0 0 427.465 -0.433 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1=O ZINC000481145569 1073361306 /nfs/dbraw/zinc/36/13/06/1073361306.db2.gz PLRCTNLNELHETH-CQSZACIVSA-N 0 0 427.465 -0.433 20 0 IBADRN COc1cc(F)cc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000481149675 1073361717 /nfs/dbraw/zinc/36/17/17/1073361717.db2.gz YNPPJXDLPKKHMK-HNNXBMFYSA-N 0 0 436.484 -0.158 20 0 IBADRN COc1cc(F)cc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000481149676 1073361698 /nfs/dbraw/zinc/36/16/98/1073361698.db2.gz YNPPJXDLPKKHMK-OAHLLOKOSA-N 0 0 436.484 -0.158 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN([C@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC000481150385 1073361726 /nfs/dbraw/zinc/36/17/26/1073361726.db2.gz ZGEJABJCOCEDNP-KRWDZBQOSA-N 0 0 446.957 -0.034 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN([C@@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC000481150390 1073361761 /nfs/dbraw/zinc/36/17/61/1073361761.db2.gz ZGEJABJCOCEDNP-QGZVFWFLSA-N 0 0 446.957 -0.034 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1=O ZINC000481150720 1073360898 /nfs/dbraw/zinc/36/08/98/1073360898.db2.gz AMSRVSHKFKWASU-AWEZNQCLSA-N 0 0 442.542 -0.651 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1=O ZINC000481150721 1073361012 /nfs/dbraw/zinc/36/10/12/1073361012.db2.gz AMSRVSHKFKWASU-CQSZACIVSA-N 0 0 442.542 -0.651 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1=O ZINC000481151643 1073360852 /nfs/dbraw/zinc/36/08/52/1073360852.db2.gz CFDUAZPJVFSJNQ-AWEZNQCLSA-N 0 0 425.449 -0.226 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1=O ZINC000481151645 1073361028 /nfs/dbraw/zinc/36/10/28/1073361028.db2.gz CFDUAZPJVFSJNQ-CQSZACIVSA-N 0 0 425.449 -0.226 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000481153645 1073361261 /nfs/dbraw/zinc/36/12/61/1073361261.db2.gz JOWMHGCRDLOCDT-CYBMUJFWSA-N 0 0 442.480 -0.648 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000481153646 1073361397 /nfs/dbraw/zinc/36/13/97/1073361397.db2.gz JOWMHGCRDLOCDT-ZDUSSCGKSA-N 0 0 442.480 -0.648 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1=O ZINC000481154539 1073361317 /nfs/dbraw/zinc/36/13/17/1073361317.db2.gz MJARSVVWWRESDH-AWEZNQCLSA-N 0 0 437.526 -0.075 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1=O ZINC000481154543 1073361386 /nfs/dbraw/zinc/36/13/86/1073361386.db2.gz MJARSVVWWRESDH-CQSZACIVSA-N 0 0 437.526 -0.075 20 0 IBADRN CNC(=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000481155121 1073361367 /nfs/dbraw/zinc/36/13/67/1073361367.db2.gz KCKMATDVKUQTCN-UHFFFAOYSA-N 0 0 428.486 -0.309 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1=O ZINC000481155858 1073362043 /nfs/dbraw/zinc/36/20/43/1073362043.db2.gz QGPGCGWVKCLXSN-LLVKDONJSA-N 0 0 438.466 -0.815 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1=O ZINC000481155859 1073362028 /nfs/dbraw/zinc/36/20/28/1073362028.db2.gz QGPGCGWVKCLXSN-NSHDSACASA-N 0 0 438.466 -0.815 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000481156090 1073361948 /nfs/dbraw/zinc/36/19/48/1073361948.db2.gz REPHOFWONHPMKN-AWEZNQCLSA-N 0 0 425.449 -0.226 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000481156091 1073362010 /nfs/dbraw/zinc/36/20/10/1073362010.db2.gz REPHOFWONHPMKN-CQSZACIVSA-N 0 0 425.449 -0.226 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000481158355 1073362694 /nfs/dbraw/zinc/36/26/94/1073362694.db2.gz XWQWPJSUDAZAKI-ILXRZTDVSA-N 0 0 437.522 -0.450 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000481158361 1073361961 /nfs/dbraw/zinc/36/19/61/1073361961.db2.gz XWQWPJSUDAZAKI-KFWWJZLASA-N 0 0 437.522 -0.450 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000481158363 1073361955 /nfs/dbraw/zinc/36/19/55/1073361955.db2.gz XWQWPJSUDAZAKI-KKUMJFAQSA-N 0 0 437.522 -0.450 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000481158365 1073361926 /nfs/dbraw/zinc/36/19/26/1073361926.db2.gz XWQWPJSUDAZAKI-ZNMIVQPWSA-N 0 0 437.522 -0.450 20 0 IBADRN CNC(=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000481159751 1073361743 /nfs/dbraw/zinc/36/17/43/1073361743.db2.gz XODYFRUKABFLGA-UHFFFAOYSA-N 0 0 440.522 -0.439 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000481160687 1073361588 /nfs/dbraw/zinc/36/15/88/1073361588.db2.gz FMKNEEQZIZZPIS-HNNXBMFYSA-N 0 0 446.551 -0.779 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000481160688 1073361632 /nfs/dbraw/zinc/36/16/32/1073361632.db2.gz FMKNEEQZIZZPIS-OAHLLOKOSA-N 0 0 446.551 -0.779 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N(C)C(C)C)cc2)C1 ZINC000481161263 1073361675 /nfs/dbraw/zinc/36/16/75/1073361675.db2.gz HXIHFQJXFNHRBC-HNNXBMFYSA-N 0 0 439.538 -0.138 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N(C)C(C)C)cc2)C1 ZINC000481161264 1073361637 /nfs/dbraw/zinc/36/16/37/1073361637.db2.gz HXIHFQJXFNHRBC-OAHLLOKOSA-N 0 0 439.538 -0.138 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc1 ZINC000481161281 1073361602 /nfs/dbraw/zinc/36/16/02/1073361602.db2.gz IEIMPNNQEFXCBH-CABCVRRESA-N 0 0 429.539 -0.078 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc1 ZINC000481161282 1073361708 /nfs/dbraw/zinc/36/17/08/1073361708.db2.gz IEIMPNNQEFXCBH-GJZGRUSLSA-N 0 0 429.539 -0.078 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc1 ZINC000481161283 1073361735 /nfs/dbraw/zinc/36/17/35/1073361735.db2.gz IEIMPNNQEFXCBH-HUUCEWRRSA-N 0 0 429.539 -0.078 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc1 ZINC000481161284 1073361690 /nfs/dbraw/zinc/36/16/90/1073361690.db2.gz IEIMPNNQEFXCBH-LSDHHAIUSA-N 0 0 429.539 -0.078 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1 ZINC000481162514 1073361680 /nfs/dbraw/zinc/36/16/80/1073361680.db2.gz GMEDRAXOHKZZDA-HNNXBMFYSA-N 0 0 435.868 -0.421 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1 ZINC000481162516 1073361655 /nfs/dbraw/zinc/36/16/55/1073361655.db2.gz GMEDRAXOHKZZDA-OAHLLOKOSA-N 0 0 435.868 -0.421 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O ZINC000481163450 1073361754 /nfs/dbraw/zinc/36/17/54/1073361754.db2.gz GUMZHNNDRHPWCK-UHFFFAOYSA-N 0 0 447.473 -0.569 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCC(N)=O)c2)CC1 ZINC000481165961 1073362140 /nfs/dbraw/zinc/36/21/40/1073362140.db2.gz RCPTVXSBSYVFAS-UHFFFAOYSA-N 0 0 425.511 -0.667 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000481166841 1073362126 /nfs/dbraw/zinc/36/21/26/1073362126.db2.gz MUXCEEUNACZCNU-UHFFFAOYSA-N 0 0 431.496 -0.895 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000481170151 1073362198 /nfs/dbraw/zinc/36/21/98/1073362198.db2.gz TUFIVEKSOHHZTF-UHFFFAOYSA-N 0 0 433.446 -0.168 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H]3COCCO3)c2)CC1 ZINC000481172782 1073362633 /nfs/dbraw/zinc/36/26/33/1073362633.db2.gz ZPTCRENAPHEZFK-INIZCTEOSA-N 0 0 440.522 -0.517 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H]3COCCO3)c2)CC1 ZINC000481172785 1073362416 /nfs/dbraw/zinc/36/24/16/1073362416.db2.gz ZPTCRENAPHEZFK-MRXNPFEDSA-N 0 0 440.522 -0.517 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)CSCC(=O)N1CCOCC1 ZINC000481172855 1073362582 /nfs/dbraw/zinc/36/25/82/1073362582.db2.gz YGFKLDCFZFZXPB-UHFFFAOYSA-N 0 0 431.536 -0.237 20 0 IBADRN Cc1cccc(C)c1CCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000481175548 1073362570 /nfs/dbraw/zinc/36/25/70/1073362570.db2.gz YMRYOZCBOXOBGK-UHFFFAOYSA-N 0 0 428.493 -0.549 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ccccc2OCC(=O)N2CCOCC2)cn1 ZINC000481196614 1073362543 /nfs/dbraw/zinc/36/25/43/1073362543.db2.gz ZTWOOXFWWZCNHO-UHFFFAOYSA-N 0 0 437.478 -0.425 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000481205210 1073362454 /nfs/dbraw/zinc/36/24/54/1073362454.db2.gz CCCQYGMXEGCUNW-UHFFFAOYSA-N 0 0 447.473 -0.569 20 0 IBADRN CNC(=O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000481206569 1073362659 /nfs/dbraw/zinc/36/26/59/1073362659.db2.gz ZBFFDPBKRJGDQS-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN COc1cc(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)ccc1OCC(N)=O ZINC000481209574 1073362806 /nfs/dbraw/zinc/36/28/06/1073362806.db2.gz KKACYEZQTMGADP-CQSZACIVSA-N 0 0 443.526 -0.371 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2S(=O)(=O)c2cccc(S(N)(=O)=O)c2)cn1 ZINC000481210490 1073362820 /nfs/dbraw/zinc/36/28/20/1073362820.db2.gz SCICODRVZBHXDZ-HNNXBMFYSA-N 0 0 448.548 -0.289 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2S(=O)(=O)c2cccc(S(N)(=O)=O)c2)cn1 ZINC000481210491 1073363080 /nfs/dbraw/zinc/36/30/80/1073363080.db2.gz SCICODRVZBHXDZ-OAHLLOKOSA-N 0 0 448.548 -0.289 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000481211531 1073363004 /nfs/dbraw/zinc/36/30/04/1073363004.db2.gz HCWDUXNEISJOOC-UHFFFAOYSA-N 0 0 443.511 -0.268 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000481212978 1073362832 /nfs/dbraw/zinc/36/28/32/1073362832.db2.gz JYTYCYBQQUBDRY-UHFFFAOYSA-N 0 0 426.495 -0.222 20 0 IBADRN Cc1cccc(C)c1CCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000481214007 1073362978 /nfs/dbraw/zinc/36/29/78/1073362978.db2.gz SVARVFXFYIOPDY-UHFFFAOYSA-N 0 0 430.505 -0.508 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cn1)N1CCOCC1 ZINC000481218069 1073363067 /nfs/dbraw/zinc/36/30/67/1073363067.db2.gz KTLGLBJWCVRJGC-UHFFFAOYSA-N 0 0 433.469 -0.272 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1 ZINC000481218782 1073362956 /nfs/dbraw/zinc/36/29/56/1073362956.db2.gz MJBZXHGCQFBKGG-CABCVRRESA-N 0 0 434.540 -0.053 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1 ZINC000481218783 1073362860 /nfs/dbraw/zinc/36/28/60/1073362860.db2.gz MJBZXHGCQFBKGG-GJZGRUSLSA-N 0 0 434.540 -0.053 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1 ZINC000481218784 1073363380 /nfs/dbraw/zinc/36/33/80/1073363380.db2.gz MJBZXHGCQFBKGG-HUUCEWRRSA-N 0 0 434.540 -0.053 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1 ZINC000481218785 1073363415 /nfs/dbraw/zinc/36/34/15/1073363415.db2.gz MJBZXHGCQFBKGG-LSDHHAIUSA-N 0 0 434.540 -0.053 20 0 IBADRN COc1cc(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)ccc1OCC(N)=O ZINC000481219609 1073362966 /nfs/dbraw/zinc/36/29/66/1073362966.db2.gz GBDDYVWOQDVXKP-UHFFFAOYSA-N 0 0 446.464 -0.064 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2S(=O)(=O)c2cc(C(=O)NC)n(C)c2)cn1 ZINC000481220571 1073363423 /nfs/dbraw/zinc/36/34/23/1073363423.db2.gz UAPRSFFZPMJHOD-HNNXBMFYSA-N 0 0 429.524 -0.239 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2S(=O)(=O)c2cc(C(=O)NC)n(C)c2)cn1 ZINC000481220572 1073363308 /nfs/dbraw/zinc/36/33/08/1073363308.db2.gz UAPRSFFZPMJHOD-OAHLLOKOSA-N 0 0 429.524 -0.239 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCc2ccc(S(N)(=O)=O)cc2)[C@@H](C)O)cc1 ZINC000481222018 1073363222 /nfs/dbraw/zinc/36/32/22/1073363222.db2.gz DTDQOGVQYOUUKD-CXAGYDPISA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCc2ccc(S(N)(=O)=O)cc2)[C@@H](C)O)cc1 ZINC000481222019 1073363451 /nfs/dbraw/zinc/36/34/51/1073363451.db2.gz DTDQOGVQYOUUKD-DYVFJYSZSA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCc2ccc(S(N)(=O)=O)cc2)[C@H](C)O)cc1 ZINC000481222020 1073363390 /nfs/dbraw/zinc/36/33/90/1073363390.db2.gz DTDQOGVQYOUUKD-GUYCJALGSA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCc2ccc(S(N)(=O)=O)cc2)[C@H](C)O)cc1 ZINC000481222021 1073363320 /nfs/dbraw/zinc/36/33/20/1073363320.db2.gz DTDQOGVQYOUUKD-SUMWQHHRSA-N 0 0 441.531 -0.013 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc21 ZINC000481225114 1073363355 /nfs/dbraw/zinc/36/33/55/1073363355.db2.gz FRPKBFWYYKXDAY-UHFFFAOYSA-N 0 0 425.453 -0.250 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000481227736 1073363266 /nfs/dbraw/zinc/36/32/66/1073363266.db2.gz NOEKOLSDWBQVOR-UHFFFAOYSA-N 0 0 440.461 -0.561 20 0 IBADRN O=C(NCc1ccc(-n2ccnc2)c(F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000481228556 1073363331 /nfs/dbraw/zinc/36/33/31/1073363331.db2.gz QMYYWRVFLGTFKS-UHFFFAOYSA-N 0 0 442.451 -0.423 20 0 IBADRN CCOC(=O)c1ccn(-c2cccc(CNS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)c2)n1 ZINC000481233587 1073363656 /nfs/dbraw/zinc/36/36/56/1073363656.db2.gz XETOTLSKBSCDSR-UHFFFAOYSA-N 0 0 447.473 -0.075 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1N1CCCS1(=O)=O ZINC000481234142 1073363784 /nfs/dbraw/zinc/36/37/84/1073363784.db2.gz LYJKHVZDQDBHSM-UHFFFAOYSA-N 0 0 448.509 -0.102 20 0 IBADRN Cc1c(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cccc1S(=O)(=O)NC1CC1 ZINC000481234621 1073363805 /nfs/dbraw/zinc/36/38/05/1073363805.db2.gz QZJPNDQUKKJRSX-UHFFFAOYSA-N 0 0 448.509 -0.201 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cc2c(cc1Cl)OCCO2)N[C@H]1CCS(=O)(=O)C1 ZINC000481236575 1073363777 /nfs/dbraw/zinc/36/37/77/1073363777.db2.gz YZXOXTWKGVZOCC-JTQLQIEISA-N 0 0 445.881 -0.141 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cc2c(cc1Cl)OCCO2)N[C@@H]1CCS(=O)(=O)C1 ZINC000481236578 1073363743 /nfs/dbraw/zinc/36/37/43/1073363743.db2.gz YZXOXTWKGVZOCC-SNVBAGLBSA-N 0 0 445.881 -0.141 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000481237302 1073363729 /nfs/dbraw/zinc/36/37/29/1073363729.db2.gz VSWVJGPQSWHRFF-AWEZNQCLSA-N 0 0 426.437 -0.745 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000481237307 1073363583 /nfs/dbraw/zinc/36/35/83/1073363583.db2.gz VSWVJGPQSWHRFF-CQSZACIVSA-N 0 0 426.437 -0.745 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000481237737 1073364096 /nfs/dbraw/zinc/36/40/96/1073364096.db2.gz YNZZMPYKGIVJND-DLBZAZTESA-N 0 0 441.506 -0.422 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)Cc1cc(S(N)(=O)=O)cn1C ZINC000481239204 1073363703 /nfs/dbraw/zinc/36/37/03/1073363703.db2.gz OVZFZAFLBQFWRJ-UHFFFAOYSA-N 0 0 430.508 -0.600 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(=O)N(C)C ZINC000481239396 1073363625 /nfs/dbraw/zinc/36/36/25/1073363625.db2.gz VDLHNPWZTZQZJT-ZDUSSCGKSA-N 0 0 426.495 -0.050 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1NS(C)(=O)=O ZINC000481244324 1073363936 /nfs/dbraw/zinc/36/39/36/1073363936.db2.gz ZUFDQNDGUMQGOA-UHFFFAOYSA-N 0 0 438.470 -0.571 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2S(=O)(=O)CCCS(=O)(=O)N(C)C)cn1 ZINC000481245377 1073364118 /nfs/dbraw/zinc/36/41/18/1073364118.db2.gz IKLYNESMSDPCSP-AWEZNQCLSA-N 0 0 442.585 -0.714 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2S(=O)(=O)CCCS(=O)(=O)N(C)C)cn1 ZINC000481245378 1073363972 /nfs/dbraw/zinc/36/39/72/1073363972.db2.gz IKLYNESMSDPCSP-CQSZACIVSA-N 0 0 442.585 -0.714 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cn1)NC1CCCC1 ZINC000481246044 1073364076 /nfs/dbraw/zinc/36/40/76/1073364076.db2.gz KKPRWWKVOBLSKG-UHFFFAOYSA-N 0 0 430.473 -0.695 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(S(=O)(=O)NCC3CC3)cc2)CC1 ZINC000481247144 1073364039 /nfs/dbraw/zinc/36/40/39/1073364039.db2.gz UFZDDGNCEBSHOJ-UHFFFAOYSA-N 0 0 448.509 -0.262 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000481248805 1073364106 /nfs/dbraw/zinc/36/41/06/1073364106.db2.gz ZCTZURZQMFCABC-UHFFFAOYSA-N 0 0 434.482 -0.510 20 0 IBADRN CNC(=O)CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000481249068 1073363982 /nfs/dbraw/zinc/36/39/82/1073363982.db2.gz DRRAMDGNPCLFLJ-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN NC(=O)CNC(=O)c1ccc(NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000481249117 1073363922 /nfs/dbraw/zinc/36/39/22/1073363922.db2.gz GCTUCLLMLVFALU-UHFFFAOYSA-N 0 0 439.476 -0.241 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000481249905 1073364416 /nfs/dbraw/zinc/36/44/16/1073364416.db2.gz BIKPQUZDNOLGOB-GDBMZVCRSA-N 0 0 431.497 -0.336 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000481249909 1073364356 /nfs/dbraw/zinc/36/43/56/1073364356.db2.gz BIKPQUZDNOLGOB-GOEBONIOSA-N 0 0 431.497 -0.336 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000481249912 1073364501 /nfs/dbraw/zinc/36/45/01/1073364501.db2.gz BIKPQUZDNOLGOB-HOCLYGCPSA-N 0 0 431.497 -0.336 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000481249916 1073364287 /nfs/dbraw/zinc/36/42/87/1073364287.db2.gz BIKPQUZDNOLGOB-ZBFHGGJFSA-N 0 0 431.497 -0.336 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000481251127 1073364808 /nfs/dbraw/zinc/36/48/08/1073364808.db2.gz BBXDADJKVXIGOL-UHFFFAOYSA-N 0 0 433.509 -0.330 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000481253843 1073364392 /nfs/dbraw/zinc/36/43/92/1073364392.db2.gz OGKPNCPHUZKZMK-KRWDZBQOSA-N 0 0 438.550 -0.125 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000481253845 1073364377 /nfs/dbraw/zinc/36/43/77/1073364377.db2.gz OGKPNCPHUZKZMK-QGZVFWFLSA-N 0 0 438.550 -0.125 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cn1 ZINC000481255915 1073364462 /nfs/dbraw/zinc/36/44/62/1073364462.db2.gz JCALTVIWKRFTTN-AWEZNQCLSA-N 0 0 448.548 -0.138 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cn1 ZINC000481255922 1073364247 /nfs/dbraw/zinc/36/42/47/1073364247.db2.gz JCALTVIWKRFTTN-CQSZACIVSA-N 0 0 448.548 -0.138 20 0 IBADRN COC(=O)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1C(=O)OC ZINC000481256024 1073364471 /nfs/dbraw/zinc/36/44/71/1073364471.db2.gz VBFFZSSWOOAQLZ-UHFFFAOYSA-N 0 0 431.409 -0.377 20 0 IBADRN COC(=O)[C@]12CN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)C[C@H]1COCC2 ZINC000481257791 1072823678 /nfs/dbraw/zinc/82/36/78/1072823678.db2.gz XANGQPPSXHUJSX-WMLDXEAASA-N 0 0 432.520 -0.194 20 0 IBADRN COC(=O)c1cc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OC)c(OC)c1 ZINC000481257935 1073364267 /nfs/dbraw/zinc/36/42/67/1073364267.db2.gz OVFTWSXURCFKGT-UHFFFAOYSA-N 0 0 433.425 -0.147 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1 ZINC000481258564 1073364258 /nfs/dbraw/zinc/36/42/58/1073364258.db2.gz YSCJFEOOXGOSPG-CYBMUJFWSA-N 0 0 431.496 -0.037 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1 ZINC000481258565 1073364236 /nfs/dbraw/zinc/36/42/36/1073364236.db2.gz YSCJFEOOXGOSPG-ZDUSSCGKSA-N 0 0 431.496 -0.037 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)C(=O)N(C)C ZINC000481259095 1073364821 /nfs/dbraw/zinc/36/48/21/1073364821.db2.gz PBGTYTAZLQWEDG-LBPRGKRZSA-N 0 0 439.494 -0.490 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1 ZINC000481260949 1073364795 /nfs/dbraw/zinc/36/47/95/1073364795.db2.gz RQMOSYJOJJSNOE-GDBMZVCRSA-N 0 0 427.523 -0.060 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1 ZINC000481260954 1073364785 /nfs/dbraw/zinc/36/47/85/1073364785.db2.gz RQMOSYJOJJSNOE-GOEBONIOSA-N 0 0 427.523 -0.060 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)cc1 ZINC000481260956 1073364773 /nfs/dbraw/zinc/36/47/73/1073364773.db2.gz RQMOSYJOJJSNOE-HOCLYGCPSA-N 0 0 427.523 -0.060 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1 ZINC000481260958 1073364704 /nfs/dbraw/zinc/36/47/04/1073364704.db2.gz RQMOSYJOJJSNOE-ZBFHGGJFSA-N 0 0 427.523 -0.060 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)CN(C)S(=O)(=O)c1cccnc1 ZINC000481267027 1073364666 /nfs/dbraw/zinc/36/46/66/1073364666.db2.gz FTOHACMRCGUSJT-UHFFFAOYSA-N 0 0 442.519 -0.113 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)cc1 ZINC000481277946 1073365216 /nfs/dbraw/zinc/36/52/16/1073365216.db2.gz FVAMSZXWJLGBRF-MSOLQXFVSA-N 0 0 448.545 -0.535 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)cc1 ZINC000481277949 1073365185 /nfs/dbraw/zinc/36/51/85/1073365185.db2.gz FVAMSZXWJLGBRF-QZTJIDSGSA-N 0 0 448.545 -0.535 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)cc1 ZINC000481277951 1073365097 /nfs/dbraw/zinc/36/50/97/1073365097.db2.gz FVAMSZXWJLGBRF-ROUUACIJSA-N 0 0 448.545 -0.535 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)cc1 ZINC000481277954 1073365072 /nfs/dbraw/zinc/36/50/72/1073365072.db2.gz FVAMSZXWJLGBRF-ZWKOTPCHSA-N 0 0 448.545 -0.535 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@H]1CCC(=O)NC1 ZINC000481283286 1073365752 /nfs/dbraw/zinc/36/57/52/1073365752.db2.gz RXLDZOXYVOCMHL-HNNXBMFYSA-N 0 0 440.497 -0.166 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@@H]1CCC(=O)NC1 ZINC000481283289 1073365573 /nfs/dbraw/zinc/36/55/73/1073365573.db2.gz RXLDZOXYVOCMHL-OAHLLOKOSA-N 0 0 440.497 -0.166 20 0 IBADRN Cc1noc(CN2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)n1 ZINC000481284104 1073365719 /nfs/dbraw/zinc/36/57/19/1073365719.db2.gz UABQSIASGYCMOQ-UHFFFAOYSA-N 0 0 428.497 -0.059 20 0 IBADRN CN1C[C@@H](NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1=O ZINC000481284886 1073365643 /nfs/dbraw/zinc/36/56/43/1073365643.db2.gz KEHFNRDYVFTPDI-HNNXBMFYSA-N 0 0 440.497 -0.214 20 0 IBADRN CN1C[C@H](NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1=O ZINC000481284891 1073365762 /nfs/dbraw/zinc/36/57/62/1073365762.db2.gz KEHFNRDYVFTPDI-OAHLLOKOSA-N 0 0 440.497 -0.214 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000481285603 1073365585 /nfs/dbraw/zinc/36/55/85/1073365585.db2.gz NJMVEKTWHACVNK-UHFFFAOYSA-N 0 0 449.489 -0.777 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000481285666 1073365745 /nfs/dbraw/zinc/36/57/45/1073365745.db2.gz OBQWHNDEYKFIDC-HZMVEIRTSA-N 0 0 432.539 -0.167 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000481285667 1073365737 /nfs/dbraw/zinc/36/57/37/1073365737.db2.gz OBQWHNDEYKFIDC-NCOADZHNSA-N 0 0 432.539 -0.167 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000481285668 1073365678 /nfs/dbraw/zinc/36/56/78/1073365678.db2.gz OBQWHNDEYKFIDC-VQHPVUNQSA-N 0 0 432.539 -0.167 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000481285669 1073365780 /nfs/dbraw/zinc/36/57/80/1073365780.db2.gz OBQWHNDEYKFIDC-YVSFHVDLSA-N 0 0 432.539 -0.167 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000481286504 1073365562 /nfs/dbraw/zinc/36/55/62/1073365562.db2.gz MPBYGVNORVNNFT-UHFFFAOYSA-N 0 0 448.523 -0.781 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)c1cc(N2CCNC(=O)C2)ccn1 ZINC000481289473 1073364732 /nfs/dbraw/zinc/36/47/32/1073364732.db2.gz UVQMPZIHCVBYME-UHFFFAOYSA-N 0 0 433.490 -0.184 20 0 IBADRN Cn1c2nc(C(=O)N3CCN(Cc4ccc(C(N)=O)cc4)CC3)ccc2c(=O)n(C)c1=O ZINC000481296798 1073366088 /nfs/dbraw/zinc/36/60/88/1073366088.db2.gz RQOUFZBIDONBLZ-UHFFFAOYSA-N 0 0 436.472 -0.311 20 0 IBADRN C[C@H](NC(N)=O)C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000481316046 1073366298 /nfs/dbraw/zinc/36/62/98/1073366298.db2.gz ZNYMSYLOKFYRCS-VIFPVBQESA-N 0 0 445.885 -0.439 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)N(C)[C@H]2CCS(=O)(=O)C2)[C@@H](C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000481316540 1073366706 /nfs/dbraw/zinc/36/67/06/1073366706.db2.gz ALLKKSABYKZYON-HCAJQNDMSA-N 0 0 434.580 -0.060 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)[C@@H](C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000481316541 1073366862 /nfs/dbraw/zinc/36/68/62/1073366862.db2.gz ALLKKSABYKZYON-QCODTGAPSA-N 0 0 434.580 -0.060 20 0 IBADRN C[C@H]1C[C@H](C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)[C@@H](C(=O)N(C)[C@H]2CCS(=O)(=O)C2)C1 ZINC000481316542 1073366730 /nfs/dbraw/zinc/36/67/30/1073366730.db2.gz ALLKKSABYKZYON-QRJUGERDSA-N 0 0 434.580 -0.060 20 0 IBADRN CC1C[C@H](C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)[C@@H](C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000481316543 1073366825 /nfs/dbraw/zinc/36/68/25/1073366825.db2.gz ALLKKSABYKZYON-WCVJEAGWSA-N 0 0 434.580 -0.060 20 0 IBADRN O=C1C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1C1CC1 ZINC000481347554 1073367632 /nfs/dbraw/zinc/36/76/32/1073367632.db2.gz OTQUTLLMBLVARZ-CYBMUJFWSA-N 0 0 429.520 -0.251 20 0 IBADRN O=C1C[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1C1CC1 ZINC000481347562 1073367446 /nfs/dbraw/zinc/36/74/46/1073367446.db2.gz OTQUTLLMBLVARZ-ZDUSSCGKSA-N 0 0 429.520 -0.251 20 0 IBADRN O=C(NCc1cccnc1OCC(F)F)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000481351891 1073367703 /nfs/dbraw/zinc/36/77/03/1073367703.db2.gz SYKTYNSUWPEBCV-UHFFFAOYSA-N 0 0 441.435 -0.062 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(CS(C)(=O)=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000481352356 1073367434 /nfs/dbraw/zinc/36/74/34/1073367434.db2.gz YLACEFGJEIETHH-INIZCTEOSA-N 0 0 445.519 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)NCC2(CS(C)(=O)=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000481352357 1073367646 /nfs/dbraw/zinc/36/76/46/1073367646.db2.gz YLACEFGJEIETHH-MRXNPFEDSA-N 0 0 445.519 -0.147 20 0 IBADRN Cn1c2ncc(C(=O)N3CCN(Cc4ccc(C(N)=O)cc4)CC3)cc2c(=O)n(C)c1=O ZINC000481370341 1073368297 /nfs/dbraw/zinc/36/82/97/1073368297.db2.gz AETYWKZFFPHQCE-UHFFFAOYSA-N 0 0 436.472 -0.311 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC1CCOCC1 ZINC000481372506 1073368221 /nfs/dbraw/zinc/36/82/21/1073368221.db2.gz COFQLWOKQSFSOA-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1N(C)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000481381740 1073368234 /nfs/dbraw/zinc/36/82/34/1073368234.db2.gz ZHNPPCGQOFTBQZ-UHFFFAOYSA-N 0 0 436.490 -0.310 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000481390314 1073368248 /nfs/dbraw/zinc/36/82/48/1073368248.db2.gz JHPDKKOQMUFFEY-UHFFFAOYSA-N 0 0 428.492 -0.212 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000481391714 1073368357 /nfs/dbraw/zinc/36/83/57/1073368357.db2.gz MWWJRPMODDKLCA-UHFFFAOYSA-N 0 0 445.523 -0.808 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000481400100 1073368787 /nfs/dbraw/zinc/36/87/87/1073368787.db2.gz BROMUUSSFCPIHY-GFCCVEGCSA-N 0 0 445.523 -0.728 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000481400104 1073368656 /nfs/dbraw/zinc/36/86/56/1073368656.db2.gz BROMUUSSFCPIHY-LBPRGKRZSA-N 0 0 445.523 -0.728 20 0 IBADRN CC(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000481408049 1073369549 /nfs/dbraw/zinc/36/95/49/1073369549.db2.gz CAVMSBSTQPLTOM-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)CCNS(=O)(=O)c1cccnc1 ZINC000481410020 1073369640 /nfs/dbraw/zinc/36/96/40/1073369640.db2.gz PXCBPCQIMYTUKG-UHFFFAOYSA-N 0 0 442.519 -0.065 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCS(=O)(=O)c1nnc(N3CCCC3)s1)c(=O)n2C ZINC000481417738 1073370143 /nfs/dbraw/zinc/37/01/43/1073370143.db2.gz SDHFXDNWCDDEQW-UHFFFAOYSA-N 0 0 439.523 -0.251 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000481417828 1073369904 /nfs/dbraw/zinc/36/99/04/1073369904.db2.gz SPTSVRHNQALUEG-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN COc1ccc(F)c(N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)c1 ZINC000481418725 1073370171 /nfs/dbraw/zinc/37/01/71/1073370171.db2.gz YWMHZJZVQOATOP-UHFFFAOYSA-N 0 0 430.440 -0.070 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000481419176 1073370093 /nfs/dbraw/zinc/37/00/93/1073370093.db2.gz WCWJYNJLXLLPQZ-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCN(c2ncccn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000481419209 1073370128 /nfs/dbraw/zinc/37/01/28/1073370128.db2.gz AOUNBQUEZBGWMB-INIZCTEOSA-N 0 0 439.538 -0.433 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCN(c2ncccn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000481419213 1073370164 /nfs/dbraw/zinc/37/01/64/1073370164.db2.gz AOUNBQUEZBGWMB-MRXNPFEDSA-N 0 0 439.538 -0.433 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000481429482 1073370435 /nfs/dbraw/zinc/37/04/35/1073370435.db2.gz DKCYXTJDBGNWDP-CVEARBPZSA-N 0 0 433.571 -0.346 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000481429483 1073370533 /nfs/dbraw/zinc/37/05/33/1073370533.db2.gz DKCYXTJDBGNWDP-HOTGVXAUSA-N 0 0 433.571 -0.346 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000481429484 1073370460 /nfs/dbraw/zinc/37/04/60/1073370460.db2.gz DKCYXTJDBGNWDP-HZPDHXFCSA-N 0 0 433.571 -0.346 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000481429485 1073370440 /nfs/dbraw/zinc/37/04/40/1073370440.db2.gz DKCYXTJDBGNWDP-JKSUJKDBSA-N 0 0 433.571 -0.346 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000481433803 1073370497 /nfs/dbraw/zinc/37/04/97/1073370497.db2.gz KEOXTZJAICWPCO-GFCCVEGCSA-N 0 0 440.569 -0.851 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000481433809 1073370446 /nfs/dbraw/zinc/37/04/46/1073370446.db2.gz KEOXTZJAICWPCO-LBPRGKRZSA-N 0 0 440.569 -0.851 20 0 IBADRN COc1ccc([C@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c2nccn2C)cc1 ZINC000481435612 1073370151 /nfs/dbraw/zinc/37/01/51/1073370151.db2.gz AAGVDXYBPVSFME-INIZCTEOSA-N 0 0 443.551 -0.070 20 0 IBADRN COc1ccc([C@@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c2nccn2C)cc1 ZINC000481435613 1073370135 /nfs/dbraw/zinc/37/01/35/1073370135.db2.gz AAGVDXYBPVSFME-MRXNPFEDSA-N 0 0 443.551 -0.070 20 0 IBADRN NC(=O)NC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000481442081 1073371533 /nfs/dbraw/zinc/37/15/33/1073371533.db2.gz WYPQZAJURDDKKC-UHFFFAOYSA-N 0 0 432.524 -0.471 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(NC(=O)c3ccccc3)CC2)CC1 ZINC000481444169 1073371504 /nfs/dbraw/zinc/37/15/04/1073371504.db2.gz LNGVLEMZMVSJOB-UHFFFAOYSA-N 0 0 430.552 -0.297 20 0 IBADRN Cc1ccc([C@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)o1 ZINC000481444437 1073371418 /nfs/dbraw/zinc/37/14/18/1073371418.db2.gz GACHYUSZKNZPLE-HNNXBMFYSA-N 0 0 436.556 -0.627 20 0 IBADRN Cc1ccc([C@@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)o1 ZINC000481444440 1073371486 /nfs/dbraw/zinc/37/14/86/1073371486.db2.gz GACHYUSZKNZPLE-OAHLLOKOSA-N 0 0 436.556 -0.627 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCn3ccnc3)c2)CC1 ZINC000481448681 1073371371 /nfs/dbraw/zinc/37/13/71/1073371371.db2.gz CXMWZCQWIFSTNE-UHFFFAOYSA-N 0 0 434.522 -0.036 20 0 IBADRN Cn1nccc1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000481450110 1073370793 /nfs/dbraw/zinc/37/07/93/1073370793.db2.gz ILXLYRZHFAGWRZ-UHFFFAOYSA-N 0 0 428.496 -0.394 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)nc(C(C)C)n1 ZINC000481451422 1073371396 /nfs/dbraw/zinc/37/13/96/1073371396.db2.gz RXDQAFOIHCQXFB-UHFFFAOYSA-N 0 0 446.599 -0.148 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000481451961 1073372284 /nfs/dbraw/zinc/37/22/84/1073372284.db2.gz KQWYLWXHOFZMAH-UHFFFAOYSA-N 0 0 434.482 -0.629 20 0 IBADRN CC(C)N1C(=O)C[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000481451996 1073372250 /nfs/dbraw/zinc/37/22/50/1073372250.db2.gz KUBJWKWZPATHRB-HNNXBMFYSA-N 0 0 445.519 -0.478 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000481451997 1073372169 /nfs/dbraw/zinc/37/21/69/1073372169.db2.gz KUBJWKWZPATHRB-OAHLLOKOSA-N 0 0 445.519 -0.478 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000481452056 1073372164 /nfs/dbraw/zinc/37/21/64/1073372164.db2.gz SFQNTKIKKYRHDI-KPZWWZAWSA-N 0 0 438.462 -0.436 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000481452057 1073372312 /nfs/dbraw/zinc/37/23/12/1073372312.db2.gz SFQNTKIKKYRHDI-KZULUSFZSA-N 0 0 438.462 -0.436 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000481452058 1073372183 /nfs/dbraw/zinc/37/21/83/1073372183.db2.gz SFQNTKIKKYRHDI-SGTLLEGYSA-N 0 0 438.462 -0.436 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000481452059 1073372189 /nfs/dbraw/zinc/37/21/89/1073372189.db2.gz SFQNTKIKKYRHDI-XIKOKIGWSA-N 0 0 438.462 -0.436 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000481452467 1073372240 /nfs/dbraw/zinc/37/22/40/1073372240.db2.gz KWSIAGKWNVECHO-UHFFFAOYSA-N 0 0 428.453 -0.415 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000481456413 1073372230 /nfs/dbraw/zinc/37/22/30/1073372230.db2.gz UYVDPWNXVJYNPF-KRWDZBQOSA-N 0 0 432.568 -0.701 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000481456414 1073372678 /nfs/dbraw/zinc/37/26/78/1073372678.db2.gz UYVDPWNXVJYNPF-QGZVFWFLSA-N 0 0 432.568 -0.701 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000481456473 1073372716 /nfs/dbraw/zinc/37/27/16/1073372716.db2.gz MYPGPGMMTAGKOT-UHFFFAOYSA-N 0 0 427.479 -0.170 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCC(=O)Nc2ccc(F)c(F)c2F)CC1 ZINC000481457715 1073371884 /nfs/dbraw/zinc/37/18/84/1073371884.db2.gz RAWMYAIEBIAJPY-UHFFFAOYSA-N 0 0 430.430 -0.546 20 0 IBADRN CC(C)(C(N)=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000481460120 1073371843 /nfs/dbraw/zinc/37/18/43/1073371843.db2.gz ADPGZWOQZNWHPC-UHFFFAOYSA-N 0 0 430.552 -0.303 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000481462621 1073371943 /nfs/dbraw/zinc/37/19/43/1073371943.db2.gz CPQUDEOEFLDBOS-UHFFFAOYSA-N 0 0 432.568 -0.570 20 0 IBADRN C[C@H](c1ccc(S(C)(=O)=O)cc1)N(C)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000481463502 1073372949 /nfs/dbraw/zinc/37/29/49/1073372949.db2.gz KDJKWTZGOUAJIL-CYBMUJFWSA-N 0 0 439.581 -0.095 20 0 IBADRN C[C@@H](c1ccc(S(C)(=O)=O)cc1)N(C)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000481463504 1073373116 /nfs/dbraw/zinc/37/31/16/1073373116.db2.gz KDJKWTZGOUAJIL-ZDUSSCGKSA-N 0 0 439.581 -0.095 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cccnc2OCC(F)(F)F)CC1 ZINC000481464183 1073372958 /nfs/dbraw/zinc/37/29/58/1073372958.db2.gz ITAHCOLJCSICDU-UHFFFAOYSA-N 0 0 432.446 -0.066 20 0 IBADRN CCN(CC(=O)Nc1cccc(OC)c1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000481465384 1073373584 /nfs/dbraw/zinc/37/35/84/1073373584.db2.gz PABDJKHJEROIKC-UHFFFAOYSA-N 0 0 434.540 -0.222 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(NC(=O)c3ccc(F)cc3)CC2)CC1 ZINC000481465651 1073373003 /nfs/dbraw/zinc/37/30/03/1073373003.db2.gz LBMHTSZVVVGBGF-UHFFFAOYSA-N 0 0 448.542 -0.158 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000481467321 1073372939 /nfs/dbraw/zinc/37/29/39/1073372939.db2.gz TUDBQBQHTNBVEQ-KRWDZBQOSA-N 0 0 432.568 -0.529 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000481467322 1073373160 /nfs/dbraw/zinc/37/31/60/1073373160.db2.gz TUDBQBQHTNBVEQ-QGZVFWFLSA-N 0 0 432.568 -0.529 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)NC3CCCCC3)CC2)CC1 ZINC000481467805 1073372994 /nfs/dbraw/zinc/37/29/94/1073372994.db2.gz RHBIRFZLQIZXFI-UHFFFAOYSA-N 0 0 437.588 -0.532 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOCC3(C)C)CC2)C[C@H](C)O1 ZINC000481473207 1073372741 /nfs/dbraw/zinc/37/27/41/1073372741.db2.gz OQSKVJWYHVMGKY-CALCHBBNSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOCC3(C)C)CC2)C[C@@H](C)O1 ZINC000481473208 1073372585 /nfs/dbraw/zinc/37/25/85/1073372585.db2.gz OQSKVJWYHVMGKY-IAGOWNOFSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOCC3(C)C)CC2)C[C@H](C)O1 ZINC000481473209 1073372777 /nfs/dbraw/zinc/37/27/77/1073372777.db2.gz OQSKVJWYHVMGKY-IRXDYDNUSA-N 0 0 447.602 -0.222 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@](C)(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000481478075 1073373567 /nfs/dbraw/zinc/37/35/67/1073373567.db2.gz IYXIGMCNNHKXFG-KRWDZBQOSA-N 0 0 431.536 -0.098 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@@](C)(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000481478078 1073373610 /nfs/dbraw/zinc/37/36/10/1073373610.db2.gz IYXIGMCNNHKXFG-QGZVFWFLSA-N 0 0 431.536 -0.098 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000481482397 1073373519 /nfs/dbraw/zinc/37/35/19/1073373519.db2.gz PUEJMTMJNNOFKS-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Nc3cccc(S(N)(=O)=O)c3)CC2)cn1C ZINC000481487202 1073373937 /nfs/dbraw/zinc/37/39/37/1073373937.db2.gz AVGYBPDEVVDUSN-UHFFFAOYSA-N 0 0 442.523 -0.086 20 0 IBADRN CCOC(=O)C1(COC)CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000481492109 1073374090 /nfs/dbraw/zinc/37/40/90/1073374090.db2.gz MVNGFBSHCRRZJL-UHFFFAOYSA-N 0 0 427.545 -0.900 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000481497511 1073375271 /nfs/dbraw/zinc/37/52/71/1073375271.db2.gz RAIJXCYGWANIGH-NEPJUHHUSA-N 0 0 430.449 -0.063 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000481497515 1073375184 /nfs/dbraw/zinc/37/51/84/1073375184.db2.gz RAIJXCYGWANIGH-NWDGAFQWSA-N 0 0 430.449 -0.063 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000481497516 1073375163 /nfs/dbraw/zinc/37/51/63/1073375163.db2.gz RAIJXCYGWANIGH-RYUDHWBXSA-N 0 0 430.449 -0.063 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000481497517 1073375247 /nfs/dbraw/zinc/37/52/47/1073375247.db2.gz RAIJXCYGWANIGH-VXGBXAGGSA-N 0 0 430.449 -0.063 20 0 IBADRN O=C(CCNC(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O)N1CCN(c2ncccn2)CC1 ZINC000481498947 1073375123 /nfs/dbraw/zinc/37/51/23/1073375123.db2.gz SVIBAHPGVUKEAW-CYBMUJFWSA-N 0 0 443.430 -0.022 20 0 IBADRN O=C(CCNC(=O)N[C@H]1CCN(CC(F)(F)F)C1=O)N1CCN(c2ncccn2)CC1 ZINC000481498954 1073375151 /nfs/dbraw/zinc/37/51/51/1073375151.db2.gz SVIBAHPGVUKEAW-ZDUSSCGKSA-N 0 0 443.430 -0.022 20 0 IBADRN CCC(CC)N(CCNS(=O)(=O)N1CCOCC1)S(=O)(=O)N1CCOCC1 ZINC000481500108 1073374264 /nfs/dbraw/zinc/37/42/64/1073374264.db2.gz FKSKXXMNYDSMTA-UHFFFAOYSA-N 0 0 428.577 -0.779 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2C[C@@H](C)C[C@@H]2C(=O)Nc2cnn(CC(=O)NC)c2)cn1 ZINC000481506733 1073374753 /nfs/dbraw/zinc/37/47/53/1073374753.db2.gz JQJOCORWUIMFNJ-DVSIDAKKSA-N 0 0 444.496 -0.189 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@H]2CC(C)C[C@@H]2C(=O)Nc2cnn(CC(=O)NC)c2)cn1 ZINC000481506734 1073374983 /nfs/dbraw/zinc/37/49/83/1073374983.db2.gz JQJOCORWUIMFNJ-HOTGVXAUSA-N 0 0 444.496 -0.189 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2CC(C)C[C@H]2C(=O)Nc2cnn(CC(=O)NC)c2)cn1 ZINC000481506735 1073374841 /nfs/dbraw/zinc/37/48/41/1073374841.db2.gz JQJOCORWUIMFNJ-HZPDHXFCSA-N 0 0 444.496 -0.189 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccc2)CC1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000481512851 1073375744 /nfs/dbraw/zinc/37/57/44/1073375744.db2.gz TUPLQDCMTNDRJS-UHFFFAOYSA-N 0 0 440.508 -0.527 20 0 IBADRN O=C([C@H]1CCCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000481517982 1073375711 /nfs/dbraw/zinc/37/57/11/1073375711.db2.gz MCXLMKGSAVSQRQ-AWEZNQCLSA-N 0 0 428.477 -0.018 20 0 IBADRN O=C([C@@H]1CCCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000481517986 1073375567 /nfs/dbraw/zinc/37/55/67/1073375567.db2.gz MCXLMKGSAVSQRQ-CQSZACIVSA-N 0 0 428.477 -0.018 20 0 IBADRN CN1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1=O ZINC000481520123 1073376293 /nfs/dbraw/zinc/37/62/93/1073376293.db2.gz LQKUJZRLJALCMY-INIZCTEOSA-N 0 0 435.506 -0.182 20 0 IBADRN CN1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1=O ZINC000481520124 1073376075 /nfs/dbraw/zinc/37/60/75/1073376075.db2.gz LQKUJZRLJALCMY-MRXNPFEDSA-N 0 0 435.506 -0.182 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000481520562 1073375696 /nfs/dbraw/zinc/37/56/96/1073375696.db2.gz QXLVDLXVBKAWAY-INIZCTEOSA-N 0 0 430.509 -0.636 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000481520573 1073375981 /nfs/dbraw/zinc/37/59/81/1073375981.db2.gz QXLVDLXVBKAWAY-MRXNPFEDSA-N 0 0 430.509 -0.636 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(=O)NC3CC3)c2)CC1 ZINC000481520589 1073376197 /nfs/dbraw/zinc/37/61/97/1073376197.db2.gz RSJNZVCDPPJMEW-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCC(=O)N1CCN(c2ccccc2)CC1 ZINC000481524587 1073376170 /nfs/dbraw/zinc/37/61/70/1073376170.db2.gz VZMYQYGBWWBJBB-GOSISDBHSA-N 0 0 429.521 -0.031 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCC(=O)N1CCN(c2ccccc2)CC1 ZINC000481524588 1073376159 /nfs/dbraw/zinc/37/61/59/1073376159.db2.gz VZMYQYGBWWBJBB-SFHVURJKSA-N 0 0 429.521 -0.031 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000481535087 1073376616 /nfs/dbraw/zinc/37/66/16/1073376616.db2.gz PKCBGFUUCDAICP-UHFFFAOYSA-N 0 0 438.554 -0.030 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCC2(CS(C)(=O)=O)CC2)CC1 ZINC000481536383 1073376685 /nfs/dbraw/zinc/37/66/85/1073376685.db2.gz JSPVJMUAYYDUKB-UHFFFAOYSA-N 0 0 425.573 -0.107 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCC(=O)N1CCN(S(N)(=O)=O)CC1)CC2 ZINC000481541725 1073376517 /nfs/dbraw/zinc/37/65/17/1073376517.db2.gz UBZRGZQWMSJRCP-UHFFFAOYSA-N 0 0 440.522 -0.284 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000481542306 1073377032 /nfs/dbraw/zinc/37/70/32/1073377032.db2.gz MBUGKEFOTQJROF-UHFFFAOYSA-N 0 0 440.497 -0.213 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000481543388 1073377828 /nfs/dbraw/zinc/37/78/28/1073377828.db2.gz XIMLXYAQDBDPKI-UHFFFAOYSA-N 0 0 430.552 -0.010 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCC1(CS(C)(=O)=O)CC1 ZINC000481543392 1073377782 /nfs/dbraw/zinc/37/77/82/1073377782.db2.gz XJUKQRGVTMKLLX-UHFFFAOYSA-N 0 0 433.552 -0.077 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000481547197 1073378235 /nfs/dbraw/zinc/37/82/35/1073378235.db2.gz SRWOKCIHDUEECD-AWEZNQCLSA-N 0 0 440.497 -0.214 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000481547198 1073378298 /nfs/dbraw/zinc/37/82/98/1073378298.db2.gz SRWOKCIHDUEECD-CQSZACIVSA-N 0 0 440.497 -0.214 20 0 IBADRN CN1CCC[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000481554449 1073377974 /nfs/dbraw/zinc/37/79/74/1073377974.db2.gz YEYDEYIIZICNEL-DZGCQCFKSA-N 0 0 444.535 -0.106 20 0 IBADRN CN1CCC[C@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000481554450 1073377793 /nfs/dbraw/zinc/37/77/93/1073377793.db2.gz YEYDEYIIZICNEL-HIFRSBDPSA-N 0 0 444.535 -0.106 20 0 IBADRN CN1CCC[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000481554451 1073377822 /nfs/dbraw/zinc/37/78/22/1073377822.db2.gz YEYDEYIIZICNEL-UKRRQHHQSA-N 0 0 444.535 -0.106 20 0 IBADRN CN1CCC[C@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000481554452 1073377789 /nfs/dbraw/zinc/37/77/89/1073377789.db2.gz YEYDEYIIZICNEL-ZFWWWQNUSA-N 0 0 444.535 -0.106 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCC(F)F)cc1S(=O)(=O)N1CCOCC1 ZINC000481563770 1073378260 /nfs/dbraw/zinc/37/82/60/1073378260.db2.gz BENZHGJXCDSQNU-UHFFFAOYSA-N 0 0 441.478 -0.324 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCN(Cc3ccc(F)cc3)C1=O)c(=O)n2C ZINC000481564507 1073378366 /nfs/dbraw/zinc/37/83/66/1073378366.db2.gz GCLLVRASLIIPPG-AWEZNQCLSA-N 0 0 428.424 -0.510 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCN(Cc3ccc(F)cc3)C1=O)c(=O)n2C ZINC000481564508 1073378379 /nfs/dbraw/zinc/37/83/79/1073378379.db2.gz GCLLVRASLIIPPG-CQSZACIVSA-N 0 0 428.424 -0.510 20 0 IBADRN Cc1nc(CN(C)[C@@H]2CCCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)no1 ZINC000481567159 1073379570 /nfs/dbraw/zinc/37/95/70/1073379570.db2.gz AZUJZAPOCFKQCU-CYBMUJFWSA-N 0 0 426.499 -0.549 20 0 IBADRN Cc1nc(CN(C)[C@H]2CCCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)no1 ZINC000481567166 1073379509 /nfs/dbraw/zinc/37/95/09/1073379509.db2.gz AZUJZAPOCFKQCU-ZDUSSCGKSA-N 0 0 426.499 -0.549 20 0 IBADRN O=C(CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)NCC1CC1 ZINC000481574939 1073379920 /nfs/dbraw/zinc/37/99/20/1073379920.db2.gz SYCCLAAPOQJUQS-AWEZNQCLSA-N 0 0 444.535 -0.200 20 0 IBADRN O=C(CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)NCC1CC1 ZINC000481574943 1073379901 /nfs/dbraw/zinc/37/99/01/1073379901.db2.gz SYCCLAAPOQJUQS-CQSZACIVSA-N 0 0 444.535 -0.200 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H](CO)[C@@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481580161 1073380349 /nfs/dbraw/zinc/38/03/49/1073380349.db2.gz JGDNDQPAVRMPTI-CVEARBPZSA-N 0 0 448.563 -0.061 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H](CO)[C@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481580162 1073380386 /nfs/dbraw/zinc/38/03/86/1073380386.db2.gz JGDNDQPAVRMPTI-HOTGVXAUSA-N 0 0 448.563 -0.061 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H](CO)[C@@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481580163 1073380378 /nfs/dbraw/zinc/38/03/78/1073380378.db2.gz JGDNDQPAVRMPTI-HZPDHXFCSA-N 0 0 448.563 -0.061 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H](CO)[C@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000481580164 1073380484 /nfs/dbraw/zinc/38/04/84/1073380484.db2.gz JGDNDQPAVRMPTI-JKSUJKDBSA-N 0 0 448.563 -0.061 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CCN(Cc4ccc(F)cc4)C3=O)c2c(=O)n(C)c1=O ZINC000481585024 1073379967 /nfs/dbraw/zinc/37/99/67/1073379967.db2.gz HAXUNWUMFVTHFH-HNNXBMFYSA-N 0 0 442.451 -0.120 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CCN(Cc4ccc(F)cc4)C3=O)c2c(=O)n(C)c1=O ZINC000481585025 1073379979 /nfs/dbraw/zinc/37/99/79/1073379979.db2.gz HAXUNWUMFVTHFH-OAHLLOKOSA-N 0 0 442.451 -0.120 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](CO)[C@@H]2CCOC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000481591138 1073380861 /nfs/dbraw/zinc/38/08/61/1073380861.db2.gz FKJFRAZJAAVRHF-FMTVUPSXSA-N 0 0 427.479 -0.327 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](CO)[C@H]2CCOC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000481591139 1073380722 /nfs/dbraw/zinc/38/07/22/1073380722.db2.gz FKJFRAZJAAVRHF-IUUKEHGRSA-N 0 0 427.479 -0.327 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](CO)[C@@H]2CCOC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000481591140 1073380914 /nfs/dbraw/zinc/38/09/14/1073380914.db2.gz FKJFRAZJAAVRHF-SEDUGSJDSA-N 0 0 427.479 -0.327 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](CO)[C@H]2CCOC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000481591141 1073380791 /nfs/dbraw/zinc/38/07/91/1073380791.db2.gz FKJFRAZJAAVRHF-VNBZBWLYSA-N 0 0 427.479 -0.327 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)CCCN2C(=O)CNC2=O)CC1 ZINC000481595784 1073380700 /nfs/dbraw/zinc/38/07/00/1073380700.db2.gz ILHZIAIOUQNNLI-UHFFFAOYSA-N 0 0 429.543 -0.028 20 0 IBADRN Cn1c2ccc(NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc2oc1=O ZINC000481599046 1073381244 /nfs/dbraw/zinc/38/12/44/1073381244.db2.gz RURFYINEKFJCHG-UHFFFAOYSA-N 0 0 425.467 -0.142 20 0 IBADRN O=S(=O)(NC[C@@H](CO)[C@@H]1CCOC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000481606071 1073381660 /nfs/dbraw/zinc/38/16/60/1073381660.db2.gz QECGPAINZVDKAA-CABCVRRESA-N 0 0 434.536 -0.369 20 0 IBADRN O=S(=O)(NC[C@@H](CO)[C@H]1CCOC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000481606072 1073381624 /nfs/dbraw/zinc/38/16/24/1073381624.db2.gz QECGPAINZVDKAA-GJZGRUSLSA-N 0 0 434.536 -0.369 20 0 IBADRN O=S(=O)(NC[C@H](CO)[C@@H]1CCOC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000481606073 1073381635 /nfs/dbraw/zinc/38/16/35/1073381635.db2.gz QECGPAINZVDKAA-HUUCEWRRSA-N 0 0 434.536 -0.369 20 0 IBADRN O=S(=O)(NC[C@H](CO)[C@H]1CCOC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000481606074 1073381656 /nfs/dbraw/zinc/38/16/56/1073381656.db2.gz QECGPAINZVDKAA-LSDHHAIUSA-N 0 0 434.536 -0.369 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCN(Cc4ccc(F)cc4)C3=O)c2c(=O)n(C)c1=O ZINC000481609473 1073381305 /nfs/dbraw/zinc/38/13/05/1073381305.db2.gz GZAYKAMBDKIQIR-AWEZNQCLSA-N 0 0 428.424 -0.510 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCN(Cc4ccc(F)cc4)C3=O)c2c(=O)n(C)c1=O ZINC000481609474 1073381215 /nfs/dbraw/zinc/38/12/15/1073381215.db2.gz GZAYKAMBDKIQIR-CQSZACIVSA-N 0 0 428.424 -0.510 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)NCC(F)F ZINC000481614552 1073382577 /nfs/dbraw/zinc/38/25/77/1073382577.db2.gz WPAIJMZQCBMPSS-UHFFFAOYSA-N 0 0 427.451 -0.633 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](CO)C2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000481615024 1073381379 /nfs/dbraw/zinc/38/13/79/1073381379.db2.gz XLMFIACFTHOFTN-KBXCAEBGSA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](CO)C2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000481615025 1073381392 /nfs/dbraw/zinc/38/13/92/1073381392.db2.gz XLMFIACFTHOFTN-KDOFPFPSSA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](CO)C2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000481615026 1073381374 /nfs/dbraw/zinc/38/13/74/1073381374.db2.gz XLMFIACFTHOFTN-KSSFIOAISA-N 0 0 427.479 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](CO)C2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000481615027 1073381357 /nfs/dbraw/zinc/38/13/57/1073381357.db2.gz XLMFIACFTHOFTN-RDTXWAMCSA-N 0 0 427.479 -0.184 20 0 IBADRN O=S(=O)(N[C@@H](CO)C1CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000481615432 1073381704 /nfs/dbraw/zinc/38/17/04/1073381704.db2.gz MYKALEPVEZGTIK-KRWDZBQOSA-N 0 0 434.536 -0.227 20 0 IBADRN O=S(=O)(N[C@H](CO)C1CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000481615433 1073381801 /nfs/dbraw/zinc/38/18/01/1073381801.db2.gz MYKALEPVEZGTIK-QGZVFWFLSA-N 0 0 434.536 -0.227 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)c1 ZINC000481620852 1073382268 /nfs/dbraw/zinc/38/22/68/1073382268.db2.gz GJBUCFHLCCESAZ-GOSISDBHSA-N 0 0 438.462 -0.203 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)c1 ZINC000481620855 1073382178 /nfs/dbraw/zinc/38/21/78/1073382178.db2.gz GJBUCFHLCCESAZ-SFHVURJKSA-N 0 0 438.462 -0.203 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1=O ZINC000481631726 1073383104 /nfs/dbraw/zinc/38/31/04/1073383104.db2.gz UMCIJNXAVYLVGB-AWEZNQCLSA-N 0 0 436.494 -0.306 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1=O ZINC000481631729 1073383141 /nfs/dbraw/zinc/38/31/41/1073383141.db2.gz UMCIJNXAVYLVGB-CQSZACIVSA-N 0 0 436.494 -0.306 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCCCN1C(=O)CNC1=O ZINC000481634524 1073383007 /nfs/dbraw/zinc/38/30/07/1073383007.db2.gz QWJBFJANGGAXRQ-UHFFFAOYSA-N 0 0 439.494 -0.007 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000481634924 1073383127 /nfs/dbraw/zinc/38/31/27/1073383127.db2.gz BXSXNEIMKFSBRN-AWEZNQCLSA-N 0 0 440.522 -0.013 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000481634925 1073383015 /nfs/dbraw/zinc/38/30/15/1073383015.db2.gz BXSXNEIMKFSBRN-CQSZACIVSA-N 0 0 440.522 -0.013 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NC[C@H](O)CN1CCOCC1 ZINC000481635169 1073382975 /nfs/dbraw/zinc/38/29/75/1073382975.db2.gz SWQHSIYIDVBBOC-INIZCTEOSA-N 0 0 442.538 -0.255 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NC[C@@H](O)CN1CCOCC1 ZINC000481635170 1073383167 /nfs/dbraw/zinc/38/31/67/1073383167.db2.gz SWQHSIYIDVBBOC-MRXNPFEDSA-N 0 0 442.538 -0.255 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)Cn2cnc3c(cnn3C)c2=O)c1 ZINC000481652492 1073383744 /nfs/dbraw/zinc/38/37/44/1073383744.db2.gz CNSOSFQJVJYAOF-UHFFFAOYSA-N 0 0 434.434 -0.780 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)C2CCN(S(C)(=O)=O)CC2)c1 ZINC000481654410 1073383705 /nfs/dbraw/zinc/38/37/05/1073383705.db2.gz HBUJYPJELLHVRO-UHFFFAOYSA-N 0 0 433.508 -0.252 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000481654783 1073383755 /nfs/dbraw/zinc/38/37/55/1073383755.db2.gz INUPFSYHXBDHLR-GFCCVEGCSA-N 0 0 443.448 -0.946 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000481654784 1073383782 /nfs/dbraw/zinc/38/37/82/1073383782.db2.gz INUPFSYHXBDHLR-LBPRGKRZSA-N 0 0 443.448 -0.946 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCCN1CCNC(=O)C1 ZINC000481659436 1073383804 /nfs/dbraw/zinc/38/38/04/1073383804.db2.gz PRIHQMGGMJPVIU-UHFFFAOYSA-N 0 0 425.511 -0.517 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000481664541 1073384185 /nfs/dbraw/zinc/38/41/85/1073384185.db2.gz BWCKOEYVUASCSK-UHFFFAOYSA-N 0 0 434.540 -0.009 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCC(=O)N1CCOCC1 ZINC000481665174 1073384104 /nfs/dbraw/zinc/38/41/04/1073384104.db2.gz XIRYLHRVXIALSC-UHFFFAOYSA-N 0 0 426.495 -0.090 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N1CCN(C(=O)CO)CC1 ZINC000481673843 1073385199 /nfs/dbraw/zinc/38/51/99/1073385199.db2.gz UVHKNWKDBCHPKZ-UHFFFAOYSA-N 0 0 426.495 -0.402 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)CC1 ZINC000481673924 1073385083 /nfs/dbraw/zinc/38/50/83/1073385083.db2.gz DRRQRIKYGHKELS-UHFFFAOYSA-N 0 0 439.538 -0.175 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCCCNS(C)(=O)=O ZINC000481675670 1073385102 /nfs/dbraw/zinc/38/51/02/1073385102.db2.gz YFOLZHMITMONMA-UHFFFAOYSA-N 0 0 434.540 -0.009 20 0 IBADRN Cc1cccc(N2CCC(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)CC2)n1 ZINC000481677905 1073385693 /nfs/dbraw/zinc/38/56/93/1073385693.db2.gz ZVRGLWCDEVSFHC-UHFFFAOYSA-N 0 0 442.520 -0.576 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3coc(S(N)(=O)=O)c3)CC2)C[C@H](C)O1 ZINC000481681958 1073384803 /nfs/dbraw/zinc/38/48/03/1073384803.db2.gz DMHRROKTOMXGHK-RYUDHWBXSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3coc(S(N)(=O)=O)c3)CC2)C[C@H](C)O1 ZINC000481681964 1073384747 /nfs/dbraw/zinc/38/47/47/1073384747.db2.gz DMHRROKTOMXGHK-TXEJJXNPSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3coc(S(N)(=O)=O)c3)CC2)C[C@@H](C)O1 ZINC000481681966 1073384623 /nfs/dbraw/zinc/38/46/23/1073384623.db2.gz DMHRROKTOMXGHK-VXGBXAGGSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ncccc3O)CC2)C[C@H](C)O1 ZINC000481683456 1073385147 /nfs/dbraw/zinc/38/51/47/1073385147.db2.gz KGXMWONRINLTGU-KBPBESRZSA-N 0 0 441.510 -0.985 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ncccc3O)CC2)C[C@H](C)O1 ZINC000481683457 1073385031 /nfs/dbraw/zinc/38/50/31/1073385031.db2.gz KGXMWONRINLTGU-OKILXGFUSA-N 0 0 441.510 -0.985 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ncccc3O)CC2)C[C@@H](C)O1 ZINC000481683458 1073385228 /nfs/dbraw/zinc/38/52/28/1073385228.db2.gz KGXMWONRINLTGU-ZIAGYGMSSA-N 0 0 441.510 -0.985 20 0 IBADRN Cc1cccc(N2CCC(NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)CC2)n1 ZINC000481685289 1073386414 /nfs/dbraw/zinc/38/64/14/1073386414.db2.gz KBKWQXSRCDRORO-UHFFFAOYSA-N 0 0 428.537 -0.102 20 0 IBADRN Cc1cccc(N2CCC(NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)CC2)n1 ZINC000481686992 1073386663 /nfs/dbraw/zinc/38/66/63/1073386663.db2.gz NGZWDANJGDSDNK-UHFFFAOYSA-N 0 0 439.520 -0.082 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000481695749 1073385654 /nfs/dbraw/zinc/38/56/54/1073385654.db2.gz CEMLULYFTPRJQY-LLVKDONJSA-N 0 0 433.387 -0.848 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000481695754 1073385603 /nfs/dbraw/zinc/38/56/03/1073385603.db2.gz CEMLULYFTPRJQY-NSHDSACASA-N 0 0 433.387 -0.848 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)nc1 ZINC000481699030 1073386434 /nfs/dbraw/zinc/38/64/34/1073386434.db2.gz JBCALYCGCTXNSL-SECBINFHSA-N 0 0 433.434 -0.067 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)nc1 ZINC000481699031 1073386590 /nfs/dbraw/zinc/38/65/90/1073386590.db2.gz JBCALYCGCTXNSL-VIFPVBQESA-N 0 0 433.434 -0.067 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2ccc(S(=O)(=O)NC)cn2)C1)C(N)=O ZINC000481710236 1073387390 /nfs/dbraw/zinc/38/73/90/1073387390.db2.gz FCXKYYZIUSVJBK-UHFFFAOYSA-N 0 0 426.499 -0.386 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)Nc2cccc(S(=O)(=O)NCC(=O)OC)c2)o1 ZINC000481712895 1073387356 /nfs/dbraw/zinc/38/73/56/1073387356.db2.gz MNCQLDXHIMPLFD-UHFFFAOYSA-N 0 0 431.448 -0.109 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)cn2)CCO1 ZINC000481714438 1073387772 /nfs/dbraw/zinc/38/77/72/1073387772.db2.gz UNYWNDDYARMECO-AWEZNQCLSA-N 0 0 441.492 -0.240 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)cn2)CCO1 ZINC000481714439 1073387763 /nfs/dbraw/zinc/38/77/63/1073387763.db2.gz UNYWNDDYARMECO-CQSZACIVSA-N 0 0 441.492 -0.240 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c1 ZINC000481714552 1073387412 /nfs/dbraw/zinc/38/74/12/1073387412.db2.gz SAVSSUMRXCRKKK-UHFFFAOYSA-N 0 0 447.429 -0.262 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000481715910 1073387843 /nfs/dbraw/zinc/38/78/43/1073387843.db2.gz ZJHXMGIMWKUCFA-UHFFFAOYSA-N 0 0 438.462 -0.059 20 0 IBADRN Cc1cccc(N2CCC(NC(=O)C(=O)N3CCO[C@@H](CN(C)CC(N)=O)C3)CC2)n1 ZINC000481718168 1073386862 /nfs/dbraw/zinc/38/68/62/1073386862.db2.gz YWGJOXPHLPUKOG-KRWDZBQOSA-N 0 0 432.525 -0.880 20 0 IBADRN Cc1cccc(N2CCC(NC(=O)C(=O)N3CCO[C@H](CN(C)CC(N)=O)C3)CC2)n1 ZINC000481718169 1073386902 /nfs/dbraw/zinc/38/69/02/1073386902.db2.gz YWGJOXPHLPUKOG-QGZVFWFLSA-N 0 0 432.525 -0.880 20 0 IBADRN COCCN(C(=O)CN1CCS(=O)(=O)c2ccccc2C1)[C@H]1CCS(=O)(=O)C1 ZINC000481728925 1073388710 /nfs/dbraw/zinc/38/87/10/1073388710.db2.gz YZXVDMVRDDRZOY-INIZCTEOSA-N 0 0 430.548 -0.062 20 0 IBADRN COCCN(C(=O)CN1CCS(=O)(=O)c2ccccc2C1)[C@@H]1CCS(=O)(=O)C1 ZINC000481728926 1073388726 /nfs/dbraw/zinc/38/87/26/1073388726.db2.gz YZXVDMVRDDRZOY-MRXNPFEDSA-N 0 0 430.548 -0.062 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000481733479 1073389300 /nfs/dbraw/zinc/38/93/00/1073389300.db2.gz ZQVZWJQUKREGOP-MSOLQXFVSA-N 0 0 440.541 -0.968 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000481733485 1073389264 /nfs/dbraw/zinc/38/92/64/1073389264.db2.gz ZQVZWJQUKREGOP-QZTJIDSGSA-N 0 0 440.541 -0.968 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000481733486 1073389290 /nfs/dbraw/zinc/38/92/90/1073389290.db2.gz ZQVZWJQUKREGOP-ROUUACIJSA-N 0 0 440.541 -0.968 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000481733488 1073389720 /nfs/dbraw/zinc/38/97/20/1073389720.db2.gz ZQVZWJQUKREGOP-ZWKOTPCHSA-N 0 0 440.541 -0.968 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000481735928 1073390081 /nfs/dbraw/zinc/39/00/81/1073390081.db2.gz BCDVXJKQKNQRAM-UHFFFAOYSA-N 0 0 426.543 -0.307 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)cn1 ZINC000481736000 1073390134 /nfs/dbraw/zinc/39/01/34/1073390134.db2.gz CSCBBMFDWHBRSK-UHFFFAOYSA-N 0 0 426.432 -0.321 20 0 IBADRN O=C(CO)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000481737220 1073390199 /nfs/dbraw/zinc/39/01/99/1073390199.db2.gz DKDORNZXWBNDDC-UHFFFAOYSA-N 0 0 425.385 -0.473 20 0 IBADRN CN(CCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)CC(F)(F)F ZINC000481738555 1073390061 /nfs/dbraw/zinc/39/00/61/1073390061.db2.gz GWKNYJTVMRNWNS-UHFFFAOYSA-N 0 0 430.497 -0.700 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@@H](CO)c2cnn(C)c2)c1 ZINC000481740715 1073390679 /nfs/dbraw/zinc/39/06/79/1073390679.db2.gz KUSZWZJHHNSVJY-KRWDZBQOSA-N 0 0 437.522 -0.030 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@H](CO)c2cnn(C)c2)c1 ZINC000481740716 1073390643 /nfs/dbraw/zinc/39/06/43/1073390643.db2.gz KUSZWZJHHNSVJY-QGZVFWFLSA-N 0 0 437.522 -0.030 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)c1 ZINC000481741240 1073390689 /nfs/dbraw/zinc/39/06/89/1073390689.db2.gz LPCKTSZFKUJQSB-UHFFFAOYSA-N 0 0 432.414 -0.080 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000481741328 1073390525 /nfs/dbraw/zinc/39/05/25/1073390525.db2.gz JSXRPODOWVTDAB-IKGGRYGDSA-N 0 0 431.555 -0.640 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000481741332 1073390661 /nfs/dbraw/zinc/39/06/61/1073390661.db2.gz JSXRPODOWVTDAB-IXDOHACOSA-N 0 0 431.555 -0.640 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000481741336 1073390674 /nfs/dbraw/zinc/39/06/74/1073390674.db2.gz JSXRPODOWVTDAB-ULQDDVLXSA-N 0 0 431.555 -0.640 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000481741338 1073390683 /nfs/dbraw/zinc/39/06/83/1073390683.db2.gz JSXRPODOWVTDAB-YESZJQIVSA-N 0 0 431.555 -0.640 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000481745708 1073391792 /nfs/dbraw/zinc/39/17/92/1073391792.db2.gz UMEONGOORYHBHB-HNNXBMFYSA-N 0 0 447.583 -0.392 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000481745712 1073391499 /nfs/dbraw/zinc/39/14/99/1073391499.db2.gz UMEONGOORYHBHB-OAHLLOKOSA-N 0 0 447.583 -0.392 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000481751310 1073392718 /nfs/dbraw/zinc/39/27/18/1073392718.db2.gz AFFFZIQGWNHODT-UHFFFAOYSA-N 0 0 444.536 -0.293 20 0 IBADRN O=C(CN1CCS(=O)(=O)c2ccccc2C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000481753721 1073393603 /nfs/dbraw/zinc/39/36/03/1073393603.db2.gz GQAMMPVZQZGIIS-KRWDZBQOSA-N 0 0 441.575 -0.393 20 0 IBADRN O=C(CN1CCS(=O)(=O)c2ccccc2C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000481753722 1073393683 /nfs/dbraw/zinc/39/36/83/1073393683.db2.gz GQAMMPVZQZGIIS-QGZVFWFLSA-N 0 0 441.575 -0.393 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000481756006 1073394119 /nfs/dbraw/zinc/39/41/19/1073394119.db2.gz LGSIMXSXPAVODG-UHFFFAOYSA-N 0 0 445.524 -0.898 20 0 IBADRN NC(=O)[C@H]1CCN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000481764593 1073394600 /nfs/dbraw/zinc/39/46/00/1073394600.db2.gz YGXUWHDFURTVCB-AWEZNQCLSA-N 0 0 440.497 -0.227 20 0 IBADRN NC(=O)[C@@H]1CCN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000481764594 1073394662 /nfs/dbraw/zinc/39/46/62/1073394662.db2.gz YGXUWHDFURTVCB-CQSZACIVSA-N 0 0 440.497 -0.227 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CC[C@@H](N(C)Cc3cc(=O)[nH]c(N)n3)C2)c(=O)[nH]c1=O ZINC000481764835 1073394440 /nfs/dbraw/zinc/39/44/40/1073394440.db2.gz IBBFCUMFGJFXLY-GFCCVEGCSA-N 0 0 432.485 -0.592 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CC[C@H](N(C)Cc3cc(=O)[nH]c(N)n3)C2)c(=O)[nH]c1=O ZINC000481764836 1073394511 /nfs/dbraw/zinc/39/45/11/1073394511.db2.gz IBBFCUMFGJFXLY-LBPRGKRZSA-N 0 0 432.485 -0.592 20 0 IBADRN Cc1nc(CN2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)no1 ZINC000481766145 1073394582 /nfs/dbraw/zinc/39/45/82/1073394582.db2.gz UWNURHDULVHSQG-UHFFFAOYSA-N 0 0 428.497 -0.059 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCN(C(=O)CO)CC1 ZINC000481766358 1073394612 /nfs/dbraw/zinc/39/46/12/1073394612.db2.gz OWIIVXQUMSKXDX-HNNXBMFYSA-N 0 0 445.925 -0.464 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCN(C(=O)CO)CC1 ZINC000481766359 1073394544 /nfs/dbraw/zinc/39/45/44/1073394544.db2.gz OWIIVXQUMSKXDX-OAHLLOKOSA-N 0 0 445.925 -0.464 20 0 IBADRN O=C(CO)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000481768527 1073394630 /nfs/dbraw/zinc/39/46/30/1073394630.db2.gz WAYMNTPNHXCLFJ-UHFFFAOYSA-N 0 0 426.314 -0.548 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)Cn2nnc3ccccc3c2=O)c1 ZINC000481772056 1073395014 /nfs/dbraw/zinc/39/50/14/1073395014.db2.gz DIJRRICTKDTHIU-UHFFFAOYSA-N 0 0 431.430 -0.119 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)[C@@H]1C[C@H](C)C[C@H]1C(=O)N1CCOC[C@H]1C(=O)OC ZINC000481772305 1073394999 /nfs/dbraw/zinc/39/49/99/1073394999.db2.gz AJFNLZACGRZJAM-DGXTUMSLSA-N 0 0 426.466 -0.551 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)[C@H]1C[C@H](C)C[C@H]1C(=O)N1CCOC[C@H]1C(=O)OC ZINC000481772312 1073394867 /nfs/dbraw/zinc/39/48/67/1073394867.db2.gz AJFNLZACGRZJAM-PWFLSRTFSA-N 0 0 426.466 -0.551 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)[C@@H]1C[C@@H](C)C[C@@H]1C(=O)N1CCOC[C@H]1C(=O)OC ZINC000481772314 1073394896 /nfs/dbraw/zinc/39/48/96/1073394896.db2.gz AJFNLZACGRZJAM-QMHWVQJVSA-N 0 0 426.466 -0.551 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CC(C)C[C@H]1C(=O)N1CCOC[C@H]1C(=O)OC ZINC000481772316 1073394928 /nfs/dbraw/zinc/39/49/28/1073394928.db2.gz AJFNLZACGRZJAM-WCVJEAGWSA-N 0 0 426.466 -0.551 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CN(C)c2ncnc3nc[nH]c32)c1 ZINC000481774092 1073395053 /nfs/dbraw/zinc/39/50/53/1073395053.db2.gz IKWRXKCOBDOCKR-UHFFFAOYSA-N 0 0 433.450 -0.121 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000481780449 1073395317 /nfs/dbraw/zinc/39/53/17/1073395317.db2.gz LNZKUTVVVYZGML-CVEARBPZSA-N 0 0 431.555 -0.640 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000481780451 1073395495 /nfs/dbraw/zinc/39/54/95/1073395495.db2.gz LNZKUTVVVYZGML-HOTGVXAUSA-N 0 0 431.555 -0.640 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000481780455 1073395952 /nfs/dbraw/zinc/39/59/52/1073395952.db2.gz LNZKUTVVVYZGML-HZPDHXFCSA-N 0 0 431.555 -0.640 20 0 IBADRN C[C@H](C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)S(=O)(=O)C1CCOCC1 ZINC000481780458 1073395831 /nfs/dbraw/zinc/39/58/31/1073395831.db2.gz LNZKUTVVVYZGML-JKSUJKDBSA-N 0 0 431.555 -0.640 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000481780546 1073395406 /nfs/dbraw/zinc/39/54/06/1073395406.db2.gz BBPNGQYMYMDBQP-UHFFFAOYSA-N 0 0 426.525 -0.017 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000481790809 1073396182 /nfs/dbraw/zinc/39/61/82/1073396182.db2.gz BUWBRACQMAIJTJ-UHFFFAOYSA-N 0 0 447.536 -0.156 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)N3CCN(C(=O)CO)CC3)CC2)c1 ZINC000481790936 1073395852 /nfs/dbraw/zinc/39/58/52/1073395852.db2.gz DATZXUIFXOFXRQ-UHFFFAOYSA-N 0 0 437.518 -0.047 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)[C@H]2CC(C)C[C@@H]2C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000481791462 1073396332 /nfs/dbraw/zinc/39/63/32/1073396332.db2.gz WBCADTZQEQSHOR-CAOSSQGBSA-N 0 0 448.520 -0.047 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)[C@H]2C[C@H](C)C[C@@H]2C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000481791469 1073396232 /nfs/dbraw/zinc/39/62/32/1073396232.db2.gz WBCADTZQEQSHOR-GVRJEKJASA-N 0 0 448.520 -0.047 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)[C@@H]2C[C@@H](C)C[C@@H]2C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000481791473 1073396410 /nfs/dbraw/zinc/39/64/10/1073396410.db2.gz WBCADTZQEQSHOR-IBEHDNSVSA-N 0 0 448.520 -0.047 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)[C@H]2C[C@H](C)C[C@H]2C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000481791476 1073396263 /nfs/dbraw/zinc/39/62/63/1073396263.db2.gz WBCADTZQEQSHOR-PWFLSRTFSA-N 0 0 448.520 -0.047 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@@H]2C[C@H](C)C[C@H]2C(=O)N[C@@]2(C(=O)OC)CCOC2)CCOC1 ZINC000481793317 1073396248 /nfs/dbraw/zinc/39/62/48/1073396248.db2.gz HMBCNEAOISPDHO-MKDTXXCGSA-N 0 0 426.466 -0.455 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@@H]2CC(C)C[C@H]2C(=O)N[C@@]2(C(=O)OC)CCOC2)CCOC1 ZINC000481793318 1073396314 /nfs/dbraw/zinc/39/63/14/1073396314.db2.gz HMBCNEAOISPDHO-NSMLZSOPSA-N 0 0 426.466 -0.455 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@H]2C[C@H](C)C[C@H]2C(=O)N[C@@]2(C(=O)OC)CCOC2)CCOC1 ZINC000481793319 1073396341 /nfs/dbraw/zinc/39/63/41/1073396341.db2.gz HMBCNEAOISPDHO-VYSLQJKWSA-N 0 0 426.466 -0.455 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@@H]2C[C@@H](C)C[C@@H]2C(=O)N[C@@]2(C(=O)OC)CCOC2)CCOC1 ZINC000481793320 1073396304 /nfs/dbraw/zinc/39/63/04/1073396304.db2.gz HMBCNEAOISPDHO-WXSXFZHNSA-N 0 0 426.466 -0.455 20 0 IBADRN COc1ccc(F)cc1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000481795427 1073396322 /nfs/dbraw/zinc/39/63/22/1073396322.db2.gz NNCDSSDGIFRLQM-INIZCTEOSA-N 0 0 427.498 -0.176 20 0 IBADRN COc1ccc(F)cc1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000481795428 1073396274 /nfs/dbraw/zinc/39/62/74/1073396274.db2.gz NNCDSSDGIFRLQM-MRXNPFEDSA-N 0 0 427.498 -0.176 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cn2)CCO1 ZINC000481797151 1073396293 /nfs/dbraw/zinc/39/62/93/1073396293.db2.gz ABERXAAVTYTSEZ-CYBMUJFWSA-N 0 0 427.465 -0.630 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cn2)CCO1 ZINC000481797154 1073396361 /nfs/dbraw/zinc/39/63/61/1073396361.db2.gz ABERXAAVTYTSEZ-ZDUSSCGKSA-N 0 0 427.465 -0.630 20 0 IBADRN CC1C[C@H](C(=O)NCCN2C(=O)CCCC2=O)[C@@H](C(=O)NCCN2C(=O)CCCC2=O)C1 ZINC000481797724 1073397180 /nfs/dbraw/zinc/39/71/80/1073397180.db2.gz STXAJXKDCXRKQY-HOTGVXAUSA-N 0 0 448.520 -0.041 20 0 IBADRN CC1C[C@@H](C(=O)NCCN2C(=O)CCCC2=O)[C@H](C(=O)NCCN2C(=O)CCCC2=O)C1 ZINC000481797726 1073397128 /nfs/dbraw/zinc/39/71/28/1073397128.db2.gz STXAJXKDCXRKQY-HZPDHXFCSA-N 0 0 448.520 -0.041 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)NCCN2C(=O)CCCC2=O)[C@@H](C(=O)NCCN2C(=O)CCCC2=O)C1 ZINC000481797728 1073397156 /nfs/dbraw/zinc/39/71/56/1073397156.db2.gz STXAJXKDCXRKQY-PHZGNYQRSA-N 0 0 448.520 -0.041 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000481800389 1073397140 /nfs/dbraw/zinc/39/71/40/1073397140.db2.gz ZUEKCVIICVIIPW-UHFFFAOYSA-N 0 0 448.524 -0.761 20 0 IBADRN CCc1cc(N2CCC(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2)ncn1 ZINC000481805498 1073396664 /nfs/dbraw/zinc/39/66/64/1073396664.db2.gz JGFDSUYJGOALMK-UHFFFAOYSA-N 0 0 428.501 -0.452 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCCNS(C)(=O)=O ZINC000481806459 1073396719 /nfs/dbraw/zinc/39/67/19/1073396719.db2.gz NYMRXMUTHHHWFO-LBPRGKRZSA-N 0 0 435.524 -0.430 20 0 IBADRN Cn1cc(CN2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)cn1 ZINC000481809926 1073397937 /nfs/dbraw/zinc/39/79/37/1073397937.db2.gz OSCDGZCMHHNJID-UHFFFAOYSA-N 0 0 426.525 -0.017 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)c1 ZINC000481823128 1073400115 /nfs/dbraw/zinc/40/01/15/1073400115.db2.gz WKGSCGAVJBDEKY-HNNXBMFYSA-N 0 0 444.496 -0.177 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)c1 ZINC000481823129 1073400768 /nfs/dbraw/zinc/40/07/68/1073400768.db2.gz WKGSCGAVJBDEKY-OAHLLOKOSA-N 0 0 444.496 -0.177 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)cn1 ZINC000481827398 1073398394 /nfs/dbraw/zinc/39/83/94/1073398394.db2.gz YWGVYWTYKIUWTJ-UHFFFAOYSA-N 0 0 436.475 -0.017 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCCNS(C)(=O)=O ZINC000481829148 1073398850 /nfs/dbraw/zinc/39/88/50/1073398850.db2.gz JECOVZBCQGUCRX-INIZCTEOSA-N 0 0 449.551 -0.184 20 0 IBADRN O=C(CO)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000481830731 1073401344 /nfs/dbraw/zinc/40/13/44/1073401344.db2.gz QQHAWNVHPDNYGR-UHFFFAOYSA-N 0 0 427.479 -0.821 20 0 IBADRN C[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000481839385 1073400742 /nfs/dbraw/zinc/40/07/42/1073400742.db2.gz JTEXCTLPEISONN-KRWDZBQOSA-N 0 0 445.611 -0.391 20 0 IBADRN C[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000481839386 1073400873 /nfs/dbraw/zinc/40/08/73/1073400873.db2.gz JTEXCTLPEISONN-QGZVFWFLSA-N 0 0 445.611 -0.391 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000481839757 1073400702 /nfs/dbraw/zinc/40/07/02/1073400702.db2.gz DECYKOQEYSFTNJ-UHFFFAOYSA-N 0 0 448.542 -0.158 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000481843452 1073404397 /nfs/dbraw/zinc/40/43/97/1073404397.db2.gz BXBGPDRFZRPDGT-UHFFFAOYSA-N 0 0 439.538 -0.936 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)c3cnn(C)c3)c2)CC1 ZINC000481843845 1073403590 /nfs/dbraw/zinc/40/35/90/1073403590.db2.gz DIWJLUCSXNEJNB-GOSISDBHSA-N 0 0 435.506 -0.264 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCC[C@@H]2c2nc(C(=O)NC3CC3)no2)c(=O)n(C)c1=O ZINC000481843897 1073403575 /nfs/dbraw/zinc/40/35/75/1073403575.db2.gz VGEUSCCDGPVAQC-CYBMUJFWSA-N 0 0 441.448 -0.322 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCC[C@H]2c2nc(C(=O)NC3CC3)no2)c(=O)n(C)c1=O ZINC000481843900 1073403711 /nfs/dbraw/zinc/40/37/11/1073403711.db2.gz VGEUSCCDGPVAQC-ZDUSSCGKSA-N 0 0 441.448 -0.322 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)c3cnn(C)c3)c2)CC1 ZINC000481843986 1073403553 /nfs/dbraw/zinc/40/35/53/1073403553.db2.gz DIWJLUCSXNEJNB-SFHVURJKSA-N 0 0 435.506 -0.264 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000481844563 1073404387 /nfs/dbraw/zinc/40/43/87/1073404387.db2.gz GGUOUHJVOHORCH-UHFFFAOYSA-N 0 0 434.478 -0.321 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccnc(OCC(F)(F)F)c2)CC1 ZINC000481846548 1073404210 /nfs/dbraw/zinc/40/42/10/1073404210.db2.gz NISWDYWRFOKLJK-UHFFFAOYSA-N 0 0 432.446 -0.066 20 0 IBADRN Cc1cc(C)n(-c2ccc(CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)cn2)n1 ZINC000481847525 1073404246 /nfs/dbraw/zinc/40/42/46/1073404246.db2.gz ZNQXBZPQIORNML-UHFFFAOYSA-N 0 0 428.540 -0.204 20 0 IBADRN CCOC(=O)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1ccccc1 ZINC000481848913 1073402760 /nfs/dbraw/zinc/40/27/60/1073402760.db2.gz UQTCREMOONOOKN-INIZCTEOSA-N 0 0 433.552 -0.180 20 0 IBADRN CCOC(=O)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Cc1ccccc1 ZINC000481848924 1073402931 /nfs/dbraw/zinc/40/29/31/1073402931.db2.gz UQTCREMOONOOKN-MRXNPFEDSA-N 0 0 433.552 -0.180 20 0 IBADRN CN(CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)CC(F)(F)F ZINC000481854104 1073405037 /nfs/dbraw/zinc/40/50/37/1073405037.db2.gz YTKCLPGRWZATKF-UHFFFAOYSA-N 0 0 441.432 -0.112 20 0 IBADRN COc1ccccc1N1CC[C@@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000481871001 1073406243 /nfs/dbraw/zinc/40/62/43/1073406243.db2.gz UWQXCYGISNLMED-HNNXBMFYSA-N 0 0 432.568 -0.067 20 0 IBADRN COc1ccccc1N1CC[C@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000481871002 1073406262 /nfs/dbraw/zinc/40/62/62/1073406262.db2.gz UWQXCYGISNLMED-OAHLLOKOSA-N 0 0 432.568 -0.067 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)CO)CC2)cc1OCC ZINC000481876789 1073405576 /nfs/dbraw/zinc/40/55/76/1073405576.db2.gz CPNMWSFLYPNVPE-UHFFFAOYSA-N 0 0 429.495 -0.575 20 0 IBADRN CC1C[C@H](C(=O)NCCCN2C(=O)CCC2=O)[C@@H](C(=O)NCCCN2C(=O)CCC2=O)C1 ZINC000481877322 1073406227 /nfs/dbraw/zinc/40/62/27/1073406227.db2.gz XILPBQYQXKRKJE-HOTGVXAUSA-N 0 0 448.520 -0.041 20 0 IBADRN CC1C[C@@H](C(=O)NCCCN2C(=O)CCC2=O)[C@H](C(=O)NCCCN2C(=O)CCC2=O)C1 ZINC000481877323 1073406289 /nfs/dbraw/zinc/40/62/89/1073406289.db2.gz XILPBQYQXKRKJE-HZPDHXFCSA-N 0 0 448.520 -0.041 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)NCCCN2C(=O)CCC2=O)[C@@H](C(=O)NCCCN2C(=O)CCC2=O)C1 ZINC000481877324 1073406171 /nfs/dbraw/zinc/40/61/71/1073406171.db2.gz XILPBQYQXKRKJE-PHZGNYQRSA-N 0 0 448.520 -0.041 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC(C)(C)CO)c(=O)n2Cc1ccccc1 ZINC000481877431 1073406312 /nfs/dbraw/zinc/40/63/12/1073406312.db2.gz HJSIEZHVGDEYNE-UHFFFAOYSA-N 0 0 429.477 -0.059 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](CO)c3cnn(C)c3)cc2)CC1 ZINC000481878278 1073405487 /nfs/dbraw/zinc/40/54/87/1073405487.db2.gz MONBKGYGQBRVMG-GOSISDBHSA-N 0 0 435.506 -0.264 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](CO)c3cnn(C)c3)cc2)CC1 ZINC000481878279 1073405601 /nfs/dbraw/zinc/40/56/01/1073405601.db2.gz MONBKGYGQBRVMG-SFHVURJKSA-N 0 0 435.506 -0.264 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1CCN(CCO)CC1 ZINC000481879512 1073406296 /nfs/dbraw/zinc/40/62/96/1073406296.db2.gz PIMLDKYYCQECBI-UHFFFAOYSA-N 0 0 438.550 -0.564 20 0 IBADRN COCCCN(C(=O)Cn1cc(Br)c(=O)[nH]c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000481880670 1073406328 /nfs/dbraw/zinc/40/63/28/1073406328.db2.gz KFDTZOFWXGLEOM-JTQLQIEISA-N 0 0 438.300 -0.236 20 0 IBADRN COCCCN(C(=O)Cn1cc(Br)c(=O)[nH]c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000481880671 1073406350 /nfs/dbraw/zinc/40/63/50/1073406350.db2.gz KFDTZOFWXGLEOM-SNVBAGLBSA-N 0 0 438.300 -0.236 20 0 IBADRN CCNC(=O)CN(CC)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000481881268 1073406862 /nfs/dbraw/zinc/40/68/62/1073406862.db2.gz ORUVVLGAUUGFPD-HNNXBMFYSA-N 0 0 433.552 -0.247 20 0 IBADRN CCNC(=O)CN(CC)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000481881269 1073406801 /nfs/dbraw/zinc/40/68/01/1073406801.db2.gz ORUVVLGAUUGFPD-OAHLLOKOSA-N 0 0 433.552 -0.247 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)[C@H]2CC(C)C[C@@H]2C(=O)N=c2ccn(CC(=O)OC)[nH]2)[nH]1 ZINC000481883750 1073406879 /nfs/dbraw/zinc/40/68/79/1073406879.db2.gz RQGGXFNXRDVENG-KBPBESRZSA-N 0 0 446.464 -0.491 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)[C@@H]2CC(C)C[C@H]2C(=O)N=c2ccn(CC(=O)OC)[nH]2)[nH]1 ZINC000481883754 1073406776 /nfs/dbraw/zinc/40/67/76/1073406776.db2.gz RQGGXFNXRDVENG-ZIAGYGMSSA-N 0 0 446.464 -0.491 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)[C@@H]2C[C@@H](C)C[C@@H]2C(=O)N=c2ccn(CC(=O)OC)[nH]2)[nH]1 ZINC000481883756 1073406717 /nfs/dbraw/zinc/40/67/17/1073406717.db2.gz RQGGXFNXRDVENG-ZSOGYDGISA-N 0 0 446.464 -0.491 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@H](C)C[C@H]2C(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000481895102 1073407522 /nfs/dbraw/zinc/40/75/22/1073407522.db2.gz QJMRGBXBHNMRAM-DGXTUMSLSA-N 0 0 426.466 -0.551 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H]2C[C@H](C)C[C@H]2C(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000481895105 1073407600 /nfs/dbraw/zinc/40/76/00/1073407600.db2.gz QJMRGBXBHNMRAM-PWFLSRTFSA-N 0 0 426.466 -0.551 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](C)C[C@@H]2C(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000481895107 1073407601 /nfs/dbraw/zinc/40/76/01/1073407601.db2.gz QJMRGBXBHNMRAM-QMHWVQJVSA-N 0 0 426.466 -0.551 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2CC(C)C[C@H]2C(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000481895109 1073407586 /nfs/dbraw/zinc/40/75/86/1073407586.db2.gz QJMRGBXBHNMRAM-WCVJEAGWSA-N 0 0 426.466 -0.551 20 0 IBADRN O=C(NCc1nc(C(F)(F)F)n[nH]1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000481899378 1073406821 /nfs/dbraw/zinc/40/68/21/1073406821.db2.gz WSSYUUQPORTQNJ-UHFFFAOYSA-N 0 0 432.384 -0.126 20 0 IBADRN COCCCN(C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)[C@@H]1CCS(=O)(=O)C1 ZINC000481899413 1073406858 /nfs/dbraw/zinc/40/68/58/1073406858.db2.gz JCMJWBHZQWNGSW-CYBMUJFWSA-N 0 0 426.495 -0.909 20 0 IBADRN COCCCN(C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)[C@H]1CCS(=O)(=O)C1 ZINC000481899416 1073406836 /nfs/dbraw/zinc/40/68/36/1073406836.db2.gz JCMJWBHZQWNGSW-ZDUSSCGKSA-N 0 0 426.495 -0.909 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCC(N)=O)CC2)cc1 ZINC000481927438 1073407558 /nfs/dbraw/zinc/40/75/58/1073407558.db2.gz QYZQHOZLVJKXHO-UHFFFAOYSA-N 0 0 426.495 -0.700 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H](C)CC(N)=O)CC2)cc1 ZINC000481930050 1073407508 /nfs/dbraw/zinc/40/75/08/1073407508.db2.gz DYGDHXPLGLGNLU-AWEZNQCLSA-N 0 0 440.522 -0.312 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H](C)CC(N)=O)CC2)cc1 ZINC000481930059 1073407593 /nfs/dbraw/zinc/40/75/93/1073407593.db2.gz DYGDHXPLGLGNLU-CQSZACIVSA-N 0 0 440.522 -0.312 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000481931047 1073407569 /nfs/dbraw/zinc/40/75/69/1073407569.db2.gz HYCZUWCAPIXXLX-CYBMUJFWSA-N 0 0 428.486 -0.181 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000481931048 1073407608 /nfs/dbraw/zinc/40/76/08/1073407608.db2.gz HYCZUWCAPIXXLX-ZDUSSCGKSA-N 0 0 428.486 -0.181 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000481933904 1073408264 /nfs/dbraw/zinc/40/82/64/1073408264.db2.gz VYMSTEXLAONBNW-UHFFFAOYSA-N 0 0 440.503 -0.084 20 0 IBADRN CC(=O)N1CC(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000481934742 1073408324 /nfs/dbraw/zinc/40/83/24/1073408324.db2.gz KPLWQIUKMHVVHG-UHFFFAOYSA-N 0 0 440.497 -0.214 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000481943925 1073407595 /nfs/dbraw/zinc/40/75/95/1073407595.db2.gz JFJCUDMPNHYQDS-UHFFFAOYSA-N 0 0 435.510 -0.293 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)cc1 ZINC000481944258 1073407563 /nfs/dbraw/zinc/40/75/63/1073407563.db2.gz RDYHQESGEGYNPS-UHFFFAOYSA-N 0 0 429.477 -0.271 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000481948310 1073408343 /nfs/dbraw/zinc/40/83/43/1073408343.db2.gz VGJKRRNXVQXVCS-UHFFFAOYSA-N 0 0 425.511 -0.218 20 0 IBADRN COCCCN(C(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C)[C@@H]1CCS(=O)(=O)C1 ZINC000481963244 1073408307 /nfs/dbraw/zinc/40/83/07/1073408307.db2.gz ALFAYJGEBIFSAB-CYBMUJFWSA-N 0 0 438.506 -0.394 20 0 IBADRN COCCCN(C(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C)[C@H]1CCS(=O)(=O)C1 ZINC000481963251 1073408294 /nfs/dbraw/zinc/40/82/94/1073408294.db2.gz ALFAYJGEBIFSAB-ZDUSSCGKSA-N 0 0 438.506 -0.394 20 0 IBADRN COCCCN(C(=O)CCNS(=O)(=O)c1cn(C)c(C)n1)[C@H]1CCS(=O)(=O)C1 ZINC000481973281 1073408335 /nfs/dbraw/zinc/40/83/35/1073408335.db2.gz JJQQTFQSXBBJMI-AWEZNQCLSA-N 0 0 436.556 -0.551 20 0 IBADRN COCCCN(C(=O)CCNS(=O)(=O)c1cn(C)c(C)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000481973283 1073408316 /nfs/dbraw/zinc/40/83/16/1073408316.db2.gz JJQQTFQSXBBJMI-CQSZACIVSA-N 0 0 436.556 -0.551 20 0 IBADRN COCCCN(C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000481974165 1073408357 /nfs/dbraw/zinc/40/83/57/1073408357.db2.gz MVUANHBSSCUMPE-DLBZAZTESA-N 0 0 431.555 -0.781 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000481974169 1073408191 /nfs/dbraw/zinc/40/81/91/1073408191.db2.gz MVUANHBSSCUMPE-IAGOWNOFSA-N 0 0 431.555 -0.781 20 0 IBADRN COCCCN(C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000481974170 1073408239 /nfs/dbraw/zinc/40/82/39/1073408239.db2.gz MVUANHBSSCUMPE-IRXDYDNUSA-N 0 0 431.555 -0.781 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000481974172 1073408248 /nfs/dbraw/zinc/40/82/48/1073408248.db2.gz MVUANHBSSCUMPE-SJORKVTESA-N 0 0 431.555 -0.781 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000481990798 1073408133 /nfs/dbraw/zinc/40/81/33/1073408133.db2.gz QMOCGTGKKFPFKB-CRAIPNDOSA-N 0 0 439.534 -0.138 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000481990838 1073408231 /nfs/dbraw/zinc/40/82/31/1073408231.db2.gz QMOCGTGKKFPFKB-MAUKXSAKSA-N 0 0 439.534 -0.138 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000481990840 1073408338 /nfs/dbraw/zinc/40/83/38/1073408338.db2.gz QMOCGTGKKFPFKB-QAPCUYQASA-N 0 0 439.534 -0.138 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000481990842 1073408259 /nfs/dbraw/zinc/40/82/59/1073408259.db2.gz QMOCGTGKKFPFKB-YJBOKZPZSA-N 0 0 439.534 -0.138 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H](C)CS(C)(=O)=O)CC2)cc1 ZINC000482012853 1073408864 /nfs/dbraw/zinc/40/88/64/1073408864.db2.gz NSIAOPSXZGSNQS-HNNXBMFYSA-N 0 0 447.579 -0.107 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@H](C)CS(C)(=O)=O)CC2)cc1 ZINC000482012857 1073408889 /nfs/dbraw/zinc/40/88/89/1073408889.db2.gz NSIAOPSXZGSNQS-OAHLLOKOSA-N 0 0 447.579 -0.107 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@H](C)CS(C)(=O)=O)CC1 ZINC000482013682 1073408938 /nfs/dbraw/zinc/40/89/38/1073408938.db2.gz OOGQYAODUWRPRM-GFCCVEGCSA-N 0 0 436.556 -0.511 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@@H](C)CS(C)(=O)=O)CC1 ZINC000482013683 1073408808 /nfs/dbraw/zinc/40/88/08/1073408808.db2.gz OOGQYAODUWRPRM-LBPRGKRZSA-N 0 0 436.556 -0.511 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@H](C)CS(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000482018696 1073408898 /nfs/dbraw/zinc/40/88/98/1073408898.db2.gz LNLVKOJMWSYRCS-HWPZZCPQSA-N 0 0 433.508 -0.197 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@@H](C)CS(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000482018700 1073408876 /nfs/dbraw/zinc/40/88/76/1073408876.db2.gz LNLVKOJMWSYRCS-MGPLVRAMSA-N 0 0 433.508 -0.197 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@@H](C)CS(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000482018703 1073408883 /nfs/dbraw/zinc/40/88/83/1073408883.db2.gz LNLVKOJMWSYRCS-QFYYESIMSA-N 0 0 433.508 -0.197 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@H](C)CS(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000482018705 1073408850 /nfs/dbraw/zinc/40/88/50/1073408850.db2.gz LNLVKOJMWSYRCS-QLJPJBMISA-N 0 0 433.508 -0.197 20 0 IBADRN COCCCN(C(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1)[C@@H]1CCS(=O)(=O)C1 ZINC000482038430 1073409519 /nfs/dbraw/zinc/40/95/19/1073409519.db2.gz JRDBVPUUGFMAPT-CYBMUJFWSA-N 0 0 438.506 -0.394 20 0 IBADRN COCCCN(C(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1)[C@H]1CCS(=O)(=O)C1 ZINC000482038433 1073409488 /nfs/dbraw/zinc/40/94/88/1073409488.db2.gz JRDBVPUUGFMAPT-ZDUSSCGKSA-N 0 0 438.506 -0.394 20 0 IBADRN Cc1c(Cl)cccc1NC(=O)CNC(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O ZINC000482045180 1073409707 /nfs/dbraw/zinc/40/97/07/1073409707.db2.gz RPQNXBFOUMULGP-CYBMUJFWSA-N 0 0 436.856 -0.270 20 0 IBADRN Cc1c(Cl)cccc1NC(=O)CNC(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O ZINC000482045186 1073409619 /nfs/dbraw/zinc/40/96/19/1073409619.db2.gz RPQNXBFOUMULGP-ZDUSSCGKSA-N 0 0 436.856 -0.270 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)c1 ZINC000482047435 1073408831 /nfs/dbraw/zinc/40/88/31/1073408831.db2.gz LVQHVXDBPLYLOA-AWEZNQCLSA-N 0 0 432.437 -0.881 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)c1 ZINC000482047441 1073409698 /nfs/dbraw/zinc/40/96/98/1073409698.db2.gz LVQHVXDBPLYLOA-CQSZACIVSA-N 0 0 432.437 -0.881 20 0 IBADRN CCN(c1ccc(C(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)cc1)S(C)(=O)=O ZINC000482047550 1073409670 /nfs/dbraw/zinc/40/96/70/1073409670.db2.gz WSTLBWAINNWWJG-AWEZNQCLSA-N 0 0 437.478 -0.318 20 0 IBADRN CCN(c1ccc(C(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)cc1)S(C)(=O)=O ZINC000482047551 1073409567 /nfs/dbraw/zinc/40/95/67/1073409567.db2.gz WSTLBWAINNWWJG-CQSZACIVSA-N 0 0 437.478 -0.318 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccccc3Cn3cccn3)C(=O)[C@@H]2C1 ZINC000482050672 1073409609 /nfs/dbraw/zinc/40/96/09/1073409609.db2.gz UJEKUYBYWKDQQX-INIZCTEOSA-N 0 0 425.449 -0.425 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccccc3Cn3cccn3)C(=O)[C@H]2C1 ZINC000482050678 1073409595 /nfs/dbraw/zinc/40/95/95/1073409595.db2.gz UJEKUYBYWKDQQX-MRXNPFEDSA-N 0 0 425.449 -0.425 20 0 IBADRN NC(=O)c1ccc(OCCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000482056904 1073409546 /nfs/dbraw/zinc/40/95/46/1073409546.db2.gz RYSSJEFZCNINDP-UHFFFAOYSA-N 0 0 426.495 -0.724 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCCc3cnn(-c4ccccc4)c3)C(=O)[C@@H]2C1 ZINC000482058094 1073409675 /nfs/dbraw/zinc/40/96/75/1073409675.db2.gz BWEAPIIVPBZPCQ-INIZCTEOSA-N 0 0 425.449 -0.442 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCCc3cnn(-c4ccccc4)c3)C(=O)[C@H]2C1 ZINC000482058095 1073409472 /nfs/dbraw/zinc/40/94/72/1073409472.db2.gz BWEAPIIVPBZPCQ-MRXNPFEDSA-N 0 0 425.449 -0.442 20 0 IBADRN C[C@@H](c1ccccc1)N1CCN(C(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)CC1 ZINC000482059457 1073409648 /nfs/dbraw/zinc/40/96/48/1073409648.db2.gz FYXQVCJRKAURFB-DOTOQJQBSA-N 0 0 428.493 -0.081 20 0 IBADRN C[C@H](c1ccccc1)N1CCN(C(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)CC1 ZINC000482059458 1073409533 /nfs/dbraw/zinc/40/95/33/1073409533.db2.gz FYXQVCJRKAURFB-NVXWUHKLSA-N 0 0 428.493 -0.081 20 0 IBADRN C[C@@H](c1ccccc1)N1CCN(C(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)CC1 ZINC000482059459 1073409578 /nfs/dbraw/zinc/40/95/78/1073409578.db2.gz FYXQVCJRKAURFB-RDJZCZTQSA-N 0 0 428.493 -0.081 20 0 IBADRN C[C@H](c1ccccc1)N1CCN(C(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)CC1 ZINC000482059460 1073409502 /nfs/dbraw/zinc/40/95/02/1073409502.db2.gz FYXQVCJRKAURFB-WBVHZDCISA-N 0 0 428.493 -0.081 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NC(=O)NC34CC5CC(CC(C5)C3)C4)C(=O)[C@H]2C1 ZINC000482059694 1073409638 /nfs/dbraw/zinc/40/96/38/1073409638.db2.gz GZJPLSXVKXKUNC-NRBFGPAYSA-N 0 0 432.481 -0.192 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NC(=O)NC34CC5CC(CC(C5)C3)C4)C(=O)[C@@H]2C1 ZINC000482059697 1073409560 /nfs/dbraw/zinc/40/95/60/1073409560.db2.gz GZJPLSXVKXKUNC-XEXJHVMVSA-N 0 0 432.481 -0.192 20 0 IBADRN CCS(=O)(=O)N1CCc2cc(C(=O)CN3C(=O)[C@@H]4CN(C(N)=O)CCN4C3=O)ccc21 ZINC000482060946 1073410225 /nfs/dbraw/zinc/41/02/25/1073410225.db2.gz LFAXJECPORZJFT-HNNXBMFYSA-N 0 0 449.489 -0.391 20 0 IBADRN CCS(=O)(=O)N1CCc2cc(C(=O)CN3C(=O)[C@H]4CN(C(N)=O)CCN4C3=O)ccc21 ZINC000482060950 1073410286 /nfs/dbraw/zinc/41/02/86/1073410286.db2.gz LFAXJECPORZJFT-OAHLLOKOSA-N 0 0 449.489 -0.391 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NC(=O)Nc3ccc4c(c3)OCCO4)C(=O)[C@H]2C1 ZINC000482066116 1073410255 /nfs/dbraw/zinc/41/02/55/1073410255.db2.gz MCIYRXNQHGYWRW-LLVKDONJSA-N 0 0 432.393 -0.867 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NC(=O)Nc3ccc4c(c3)OCCO4)C(=O)[C@@H]2C1 ZINC000482066117 1073410237 /nfs/dbraw/zinc/41/02/37/1073410237.db2.gz MCIYRXNQHGYWRW-NSHDSACASA-N 0 0 432.393 -0.867 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)CN3C(=O)[C@@H]4CN(C(N)=O)CCN4C3=O)ccc21 ZINC000482067777 1073410274 /nfs/dbraw/zinc/41/02/74/1073410274.db2.gz ZOKBYRKWBQMUHX-HNNXBMFYSA-N 0 0 449.489 -0.391 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)CN3C(=O)[C@H]4CN(C(N)=O)CCN4C3=O)ccc21 ZINC000482067779 1073410160 /nfs/dbraw/zinc/41/01/60/1073410160.db2.gz ZOKBYRKWBQMUHX-OAHLLOKOSA-N 0 0 449.489 -0.391 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)CN3C(=O)[C@@H]4CN(C(N)=O)CCN4C3=O)CC2)c1 ZINC000482067987 1073410326 /nfs/dbraw/zinc/41/03/26/1073410326.db2.gz KMIMLYBXDCMHCJ-KRWDZBQOSA-N 0 0 428.493 -0.334 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)CN3C(=O)[C@H]4CN(C(N)=O)CCN4C3=O)CC2)c1 ZINC000482067989 1073410369 /nfs/dbraw/zinc/41/03/69/1073410369.db2.gz KMIMLYBXDCMHCJ-QGZVFWFLSA-N 0 0 428.493 -0.334 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)Nc3cc(Cl)ccc3-n3cncn3)C(=O)[C@H]2C1 ZINC000482069777 1073410264 /nfs/dbraw/zinc/41/02/64/1073410264.db2.gz OXNVEXNQYGLSFQ-CYBMUJFWSA-N 0 0 432.828 -0.114 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)Nc3cc(Cl)ccc3-n3cncn3)C(=O)[C@@H]2C1 ZINC000482069810 1073410178 /nfs/dbraw/zinc/41/01/78/1073410178.db2.gz OXNVEXNQYGLSFQ-ZDUSSCGKSA-N 0 0 432.828 -0.114 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000482071002 1073410154 /nfs/dbraw/zinc/41/01/54/1073410154.db2.gz FVZDLUXQACRXEU-HNNXBMFYSA-N 0 0 447.579 -0.311 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)cc(C(=O)OC)c1 ZINC000482071007 1073410143 /nfs/dbraw/zinc/41/01/43/1073410143.db2.gz QOFYRPWPZNMGIY-CYBMUJFWSA-N 0 0 447.404 -0.775 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)cc(C(=O)OC)c1 ZINC000482071018 1073410378 /nfs/dbraw/zinc/41/03/78/1073410378.db2.gz QOFYRPWPZNMGIY-ZDUSSCGKSA-N 0 0 447.404 -0.775 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000482071034 1073410171 /nfs/dbraw/zinc/41/01/71/1073410171.db2.gz FVZDLUXQACRXEU-OAHLLOKOSA-N 0 0 447.579 -0.311 20 0 IBADRN CN(CC(=O)Nc1ccccc1Cl)C(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O ZINC000482072604 1073410310 /nfs/dbraw/zinc/41/03/10/1073410310.db2.gz TYUVATJSHPGOOP-CYBMUJFWSA-N 0 0 436.856 -0.236 20 0 IBADRN CN(CC(=O)Nc1ccccc1Cl)C(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O ZINC000482072607 1073410388 /nfs/dbraw/zinc/41/03/88/1073410388.db2.gz TYUVATJSHPGOOP-ZDUSSCGKSA-N 0 0 436.856 -0.236 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O)c1ccc(F)cc1 ZINC000482073414 1073410351 /nfs/dbraw/zinc/41/03/51/1073410351.db2.gz TWJZXFBPXYGZAO-BXUZGUMPSA-N 0 0 434.428 -0.854 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O)c1ccc(F)cc1 ZINC000482073417 1073410359 /nfs/dbraw/zinc/41/03/59/1073410359.db2.gz TWJZXFBPXYGZAO-FZMZJTMJSA-N 0 0 434.428 -0.854 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O)c1ccc(F)cc1 ZINC000482073420 1073410208 /nfs/dbraw/zinc/41/02/08/1073410208.db2.gz TWJZXFBPXYGZAO-RISCZKNCSA-N 0 0 434.428 -0.854 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O)c1ccc(F)cc1 ZINC000482073423 1073410293 /nfs/dbraw/zinc/41/02/93/1073410293.db2.gz TWJZXFBPXYGZAO-SMDDNHRTSA-N 0 0 434.428 -0.854 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O ZINC000482073563 1073410948 /nfs/dbraw/zinc/41/09/48/1073410948.db2.gz VLZSTLURTGCHOC-CYBMUJFWSA-N 0 0 436.494 -0.760 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O ZINC000482073564 1073410958 /nfs/dbraw/zinc/41/09/58/1073410958.db2.gz VLZSTLURTGCHOC-ZDUSSCGKSA-N 0 0 436.494 -0.760 20 0 IBADRN CCN(C[C@H]1COc2ccccc2O1)C(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O ZINC000482074350 1073411063 /nfs/dbraw/zinc/41/10/63/1073411063.db2.gz KSOOTCAUYPWBKY-KBPBESRZSA-N 0 0 431.449 -0.298 20 0 IBADRN CCN(C[C@@H]1COc2ccccc2O1)C(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O ZINC000482074613 1073410893 /nfs/dbraw/zinc/41/08/93/1073410893.db2.gz KSOOTCAUYPWBKY-KGLIPLIRSA-N 0 0 431.449 -0.298 20 0 IBADRN CCN(C[C@H]1COc2ccccc2O1)C(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O ZINC000482074615 1073410988 /nfs/dbraw/zinc/41/09/88/1073410988.db2.gz KSOOTCAUYPWBKY-UONOGXRCSA-N 0 0 431.449 -0.298 20 0 IBADRN CCN(C[C@@H]1COc2ccccc2O1)C(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O ZINC000482074618 1073410904 /nfs/dbraw/zinc/41/09/04/1073410904.db2.gz KSOOTCAUYPWBKY-ZIAGYGMSSA-N 0 0 431.449 -0.298 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCCc3ccc(OC(F)F)cc3)C(=O)[C@H]2C1 ZINC000482075466 1073410934 /nfs/dbraw/zinc/41/09/34/1073410934.db2.gz MEDVZTOKUZEXQL-CYBMUJFWSA-N 0 0 425.392 -0.026 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCCc3ccc(OC(F)F)cc3)C(=O)[C@@H]2C1 ZINC000482075469 1073410881 /nfs/dbraw/zinc/41/08/81/1073410881.db2.gz MEDVZTOKUZEXQL-ZDUSSCGKSA-N 0 0 425.392 -0.026 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N1CCN(CCn2cncn2)CC1 ZINC000482092462 1073410995 /nfs/dbraw/zinc/41/09/95/1073410995.db2.gz GSBNFDBWKXEARK-UHFFFAOYSA-N 0 0 427.513 -0.659 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)N3CCOCC3)CC2)cn1C ZINC000482094626 1073410912 /nfs/dbraw/zinc/41/09/12/1073410912.db2.gz KKBFEIPYSWXXKD-UHFFFAOYSA-N 0 0 428.559 -0.145 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccn2)CC1)N1CCN(CCn2cncn2)CC1 ZINC000482098248 1073411018 /nfs/dbraw/zinc/41/10/18/1073411018.db2.gz VNUQTVSEYPOJCO-UHFFFAOYSA-N 0 0 426.525 -0.054 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000482105686 1073411078 /nfs/dbraw/zinc/41/10/78/1073411078.db2.gz QWJMAKFLUYJNAH-CYBMUJFWSA-N 0 0 440.565 -0.239 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000482105695 1073411048 /nfs/dbraw/zinc/41/10/48/1073411048.db2.gz QWJMAKFLUYJNAH-ZDUSSCGKSA-N 0 0 440.565 -0.239 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCOc3ccc(C(N)=O)cc3)CC2)CC1 ZINC000482109952 1073411087 /nfs/dbraw/zinc/41/10/87/1073411087.db2.gz VGEKVDNLBMDWQA-UHFFFAOYSA-N 0 0 439.538 -0.809 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)c(=O)n(C)c1=O ZINC000482111737 1073411039 /nfs/dbraw/zinc/41/10/39/1073411039.db2.gz COCAOVZSQKZSNO-UHFFFAOYSA-N 0 0 446.489 -0.143 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(S(=O)(=O)N4CCCC4)ccn3)c2c(=O)n(C)c1=O ZINC000482112043 1073410966 /nfs/dbraw/zinc/41/09/66/1073410966.db2.gz CQNNTHPTAAYRID-UHFFFAOYSA-N 0 0 447.477 -0.748 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)cn1 ZINC000482112617 1073411765 /nfs/dbraw/zinc/41/17/65/1073411765.db2.gz VLVIFNYTWRJRFG-UHFFFAOYSA-N 0 0 428.496 -0.483 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000482113127 1073411082 /nfs/dbraw/zinc/41/10/82/1073411082.db2.gz XLIOPZKYIWBXPJ-UHFFFAOYSA-N 0 0 428.492 -0.910 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cc(S(=O)(=O)N3CCCC3)ccn1)c(=O)n2C ZINC000482113514 1073411069 /nfs/dbraw/zinc/41/10/69/1073411069.db2.gz JMNQIBWDNPMXMP-UHFFFAOYSA-N 0 0 447.477 -0.748 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)cn1C ZINC000482114342 1073411721 /nfs/dbraw/zinc/41/17/21/1073411721.db2.gz LOQQJHWVXVSBJV-UHFFFAOYSA-N 0 0 442.523 -0.175 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CC(=O)N(C)[C@H]1c1ccc(F)c(F)c1)c(=O)n2C ZINC000482127415 1073411565 /nfs/dbraw/zinc/41/15/65/1073411565.db2.gz VEAQPZAIPPYDOV-BBRMVZONSA-N 0 0 446.414 -0.200 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CC(=O)N(C)[C@H]1c1ccc(F)c(F)c1)c(=O)n2C ZINC000482127416 1073411685 /nfs/dbraw/zinc/41/16/85/1073411685.db2.gz VEAQPZAIPPYDOV-CJNGLKHVSA-N 0 0 446.414 -0.200 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CC(=O)N(C)[C@@H]1c1ccc(F)c(F)c1)c(=O)n2C ZINC000482127417 1073411736 /nfs/dbraw/zinc/41/17/36/1073411736.db2.gz VEAQPZAIPPYDOV-CZUORRHYSA-N 0 0 446.414 -0.200 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CC(=O)N(C)[C@@H]1c1ccc(F)c(F)c1)c(=O)n2C ZINC000482127418 1073411641 /nfs/dbraw/zinc/41/16/41/1073411641.db2.gz VEAQPZAIPPYDOV-XJKSGUPXSA-N 0 0 446.414 -0.200 20 0 IBADRN CC(C)[C@H](CNC(=O)CNC(=O)c1cccnc1)NC(=O)CNC(=O)c1cccnc1 ZINC000482139132 1073411820 /nfs/dbraw/zinc/41/18/20/1073411820.db2.gz PPKCBUDQSHMJCE-KRWDZBQOSA-N 0 0 426.477 -0.107 20 0 IBADRN CC(C)[C@@H](CNC(=O)CNC(=O)c1cccnc1)NC(=O)CNC(=O)c1cccnc1 ZINC000482139133 1073411797 /nfs/dbraw/zinc/41/17/97/1073411797.db2.gz PPKCBUDQSHMJCE-QGZVFWFLSA-N 0 0 426.477 -0.107 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000482145212 1073411692 /nfs/dbraw/zinc/41/16/92/1073411692.db2.gz XSSRPJLSFRLZLM-HNNXBMFYSA-N 0 0 447.579 -0.311 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000482145213 1073411644 /nfs/dbraw/zinc/41/16/44/1073411644.db2.gz XSSRPJLSFRLZLM-OAHLLOKOSA-N 0 0 447.579 -0.311 20 0 IBADRN CC(C)(CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)N1CCS(=O)CC1 ZINC000482151785 1073411806 /nfs/dbraw/zinc/41/18/06/1073411806.db2.gz PLICXOPUIPHOHD-UHFFFAOYSA-N 0 0 436.582 -0.136 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NCCS(=O)(=O)NCC1CCC1 ZINC000482155490 1073411742 /nfs/dbraw/zinc/41/17/42/1073411742.db2.gz GNYBATACOQDFSW-UHFFFAOYSA-N 0 0 438.554 -0.259 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCc3nc[nH]n3)CC2)cc1 ZINC000482165825 1073411779 /nfs/dbraw/zinc/41/17/79/1073411779.db2.gz TXHDPOVJRIPOEE-UHFFFAOYSA-N 0 0 436.494 -0.257 20 0 IBADRN CCN(C)C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000482172010 1073412372 /nfs/dbraw/zinc/41/23/72/1073412372.db2.gz UYKBLNDLIMBTEJ-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC[C@H](OC)C2)c(=O)[nH]c1=O ZINC000482174322 1073412433 /nfs/dbraw/zinc/41/24/33/1073412433.db2.gz CGLOJQXVDLXBNI-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)S(=O)(=O)C1CCOCC1 ZINC000482174651 1073412392 /nfs/dbraw/zinc/41/23/92/1073412392.db2.gz IBYQIVRYDKKTKP-KBPBESRZSA-N 0 0 426.557 -0.427 20 0 IBADRN C[C@H](C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)S(=O)(=O)C1CCOCC1 ZINC000482174652 1073412356 /nfs/dbraw/zinc/41/23/56/1073412356.db2.gz IBYQIVRYDKKTKP-KGLIPLIRSA-N 0 0 426.557 -0.427 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)S(=O)(=O)C1CCOCC1 ZINC000482174653 1073412329 /nfs/dbraw/zinc/41/23/29/1073412329.db2.gz IBYQIVRYDKKTKP-UONOGXRCSA-N 0 0 426.557 -0.427 20 0 IBADRN C[C@H](C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)S(=O)(=O)C1CCOCC1 ZINC000482174654 1073412307 /nfs/dbraw/zinc/41/23/07/1073412307.db2.gz IBYQIVRYDKKTKP-ZIAGYGMSSA-N 0 0 426.557 -0.427 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccc2)CC1)NCC(=O)NCCN1CCOCC1 ZINC000482175208 1073412382 /nfs/dbraw/zinc/41/23/82/1073412382.db2.gz JHYBDFVULMMGGQ-UHFFFAOYSA-N 0 0 431.537 -0.320 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000482175222 1073412460 /nfs/dbraw/zinc/41/24/60/1073412460.db2.gz JIFOINRBAQAIFE-GOSISDBHSA-N 0 0 443.552 -0.330 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000482175292 1073412424 /nfs/dbraw/zinc/41/24/24/1073412424.db2.gz JIFOINRBAQAIFE-SFHVURJKSA-N 0 0 443.552 -0.330 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)[C@H](C)S(=O)(=O)C1CCOCC1)C(=O)NCCN1CCOCC1 ZINC000482179711 1073412335 /nfs/dbraw/zinc/41/23/35/1073412335.db2.gz OLGBZAJSNKSWFH-BQFCYCMXSA-N 0 0 447.598 -0.052 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1)C(=O)NCCN1CCOCC1 ZINC000482179715 1073412319 /nfs/dbraw/zinc/41/23/19/1073412319.db2.gz OLGBZAJSNKSWFH-JZXOWHBKSA-N 0 0 447.598 -0.052 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1)C(=O)NCCN1CCOCC1 ZINC000482179719 1073412407 /nfs/dbraw/zinc/41/24/07/1073412407.db2.gz OLGBZAJSNKSWFH-LZLYRXPVSA-N 0 0 447.598 -0.052 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)[C@H](C)S(=O)(=O)C1CCOCC1)C(=O)NCCN1CCOCC1 ZINC000482179722 1073412399 /nfs/dbraw/zinc/41/23/99/1073412399.db2.gz OLGBZAJSNKSWFH-XYJFISCASA-N 0 0 447.598 -0.052 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccn2)CC1)NCC(=O)NCCN1CCOCC1 ZINC000482182063 1073412446 /nfs/dbraw/zinc/41/24/46/1073412446.db2.gz RYCBAGRSLMFNRZ-UHFFFAOYSA-N 0 0 432.525 -0.925 20 0 IBADRN CC(C)(CNC(=O)CNC(=O)c1cccnc1)CNC(=O)CNC(=O)c1cccnc1 ZINC000482182695 1073413035 /nfs/dbraw/zinc/41/30/35/1073413035.db2.gz SZRIGJSTRGNZTM-UHFFFAOYSA-N 0 0 426.477 -0.105 20 0 IBADRN Cc1cccc(C)c1NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000482183069 1073234704 /nfs/dbraw/zinc/23/47/04/1073234704.db2.gz PNYKIVSQWPMWEK-INIZCTEOSA-N 0 0 436.534 -0.311 20 0 IBADRN Cc1cccc(C)c1NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000482183070 1073234716 /nfs/dbraw/zinc/23/47/16/1073234716.db2.gz PNYKIVSQWPMWEK-MRXNPFEDSA-N 0 0 436.534 -0.311 20 0 IBADRN COCc1ncc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c(N)n1 ZINC000482188775 1073357663 /nfs/dbraw/zinc/35/76/63/1073357663.db2.gz SCIGRWTWQROZGA-UHFFFAOYSA-N 0 0 435.510 -0.518 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)[C@@H]1c1ccc(F)c(F)c1 ZINC000482191613 1073413065 /nfs/dbraw/zinc/41/30/65/1073413065.db2.gz HGFGXPMDHHRWKT-BBRMVZONSA-N 0 0 446.414 -0.200 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)[C@@H]1c1ccc(F)c(F)c1 ZINC000482191614 1073413135 /nfs/dbraw/zinc/41/31/35/1073413135.db2.gz HGFGXPMDHHRWKT-CJNGLKHVSA-N 0 0 446.414 -0.200 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)[C@H]1c1ccc(F)c(F)c1 ZINC000482191615 1073413089 /nfs/dbraw/zinc/41/30/89/1073413089.db2.gz HGFGXPMDHHRWKT-CZUORRHYSA-N 0 0 446.414 -0.200 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)[C@H]1c1ccc(F)c(F)c1 ZINC000482191616 1073413160 /nfs/dbraw/zinc/41/31/60/1073413160.db2.gz HGFGXPMDHHRWKT-XJKSGUPXSA-N 0 0 446.414 -0.200 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)[nH]1 ZINC000482200422 1073413204 /nfs/dbraw/zinc/41/32/04/1073413204.db2.gz SNXCRQUXPXCOSZ-UHFFFAOYSA-N 0 0 427.513 -0.324 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000482214129 1073413221 /nfs/dbraw/zinc/41/32/21/1073413221.db2.gz TVVDYQHMNHXCSD-KRWDZBQOSA-N 0 0 429.477 -0.148 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000482214131 1073413258 /nfs/dbraw/zinc/41/32/58/1073413258.db2.gz TVVDYQHMNHXCSD-QGZVFWFLSA-N 0 0 429.477 -0.148 20 0 IBADRN O=C(NCc1nc(C(F)(F)F)n[nH]1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000482216862 1073413626 /nfs/dbraw/zinc/41/36/26/1073413626.db2.gz ZWYWJFJTNPOQNL-UHFFFAOYSA-N 0 0 432.384 -0.126 20 0 IBADRN Cc1ccc(F)c(OCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000482222092 1073413875 /nfs/dbraw/zinc/41/38/75/1073413875.db2.gz FLAOHIQMEWZEEU-HNNXBMFYSA-N 0 0 427.498 -0.040 20 0 IBADRN Cc1ccc(F)c(OCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000482222094 1073413851 /nfs/dbraw/zinc/41/38/51/1073413851.db2.gz FLAOHIQMEWZEEU-OAHLLOKOSA-N 0 0 427.498 -0.040 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000482222464 1073413741 /nfs/dbraw/zinc/41/37/41/1073413741.db2.gz SUZWJCBVENBCCN-UHFFFAOYSA-N 0 0 426.495 -0.419 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000482222895 1073413802 /nfs/dbraw/zinc/41/38/02/1073413802.db2.gz UFEKWMHXMUMNPU-UHFFFAOYSA-N 0 0 441.506 -0.168 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2csc3nccn23)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000482226514 1073413763 /nfs/dbraw/zinc/41/37/63/1073413763.db2.gz OJWNSOKGVOUPLT-CYBMUJFWSA-N 0 0 425.536 -0.614 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2csc3nccn23)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000482226517 1073413909 /nfs/dbraw/zinc/41/39/09/1073413909.db2.gz OJWNSOKGVOUPLT-ZDUSSCGKSA-N 0 0 425.536 -0.614 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000482228920 1073413665 /nfs/dbraw/zinc/41/36/65/1073413665.db2.gz UZJNCRRIWFFHQN-INIZCTEOSA-N 0 0 426.481 -0.439 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000482228922 1073413679 /nfs/dbraw/zinc/41/36/79/1073413679.db2.gz UZJNCRRIWFFHQN-MRXNPFEDSA-N 0 0 426.481 -0.439 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CC(OCC(=O)N(C)C)C2)CC1 ZINC000482228989 1073413788 /nfs/dbraw/zinc/41/37/88/1073413788.db2.gz MFOVVCHKEAGSGH-UHFFFAOYSA-N 0 0 427.527 -0.257 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC(OCC(=O)N(C)C)C2)cc1OC ZINC000482229433 1073413723 /nfs/dbraw/zinc/41/37/23/1073413723.db2.gz NQTGEWYFXGMJOI-UHFFFAOYSA-N 0 0 429.495 -0.312 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000482230975 1073413833 /nfs/dbraw/zinc/41/38/33/1073413833.db2.gz VIIMYYMDWGTRED-UHFFFAOYSA-N 0 0 425.507 -0.055 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000482233618 1073413899 /nfs/dbraw/zinc/41/38/99/1073413899.db2.gz XZXOJGSGFRIZQP-CWRNSKLLSA-N 0 0 429.539 -0.588 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000482233622 1073413866 /nfs/dbraw/zinc/41/38/66/1073413866.db2.gz XZXOJGSGFRIZQP-FVQBIDKESA-N 0 0 429.539 -0.588 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000482233623 1073413822 /nfs/dbraw/zinc/41/38/22/1073413822.db2.gz XZXOJGSGFRIZQP-NUEKZKHPSA-N 0 0 429.539 -0.588 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000482233624 1073413693 /nfs/dbraw/zinc/41/36/93/1073413693.db2.gz XZXOJGSGFRIZQP-VNQPRFMTSA-N 0 0 429.539 -0.588 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC(OCC(=O)N(C)C)C2)c1 ZINC000482233875 1073414396 /nfs/dbraw/zinc/41/43/96/1073414396.db2.gz ZHHRQWOEPWOGMB-UHFFFAOYSA-N 0 0 428.511 -0.806 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000482237427 1073414453 /nfs/dbraw/zinc/41/44/53/1073414453.db2.gz CIBNXPZLPTWIND-UHFFFAOYSA-N 0 0 426.495 -0.900 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cccc(N2CCOCC2)n1 ZINC000482237487 1073414386 /nfs/dbraw/zinc/41/43/86/1073414386.db2.gz DPEKQDCOOFPZKS-UHFFFAOYSA-N 0 0 427.527 -0.632 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC[C@@H](OC)C2)c(=O)[nH]c1=O ZINC000482237963 1073414519 /nfs/dbraw/zinc/41/45/19/1073414519.db2.gz CGLOJQXVDLXBNI-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000482239007 1073414437 /nfs/dbraw/zinc/41/44/37/1073414437.db2.gz DWSXZETXUDPSIP-UHFFFAOYSA-N 0 0 439.534 -0.044 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000482241321 1073414530 /nfs/dbraw/zinc/41/45/30/1073414530.db2.gz IKBHPSRVPBHCAJ-UHFFFAOYSA-N 0 0 431.536 -0.238 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CC(OCC(=O)N(C)C)C3)CC2)c1 ZINC000482241929 1073414409 /nfs/dbraw/zinc/41/44/09/1073414409.db2.gz IYGYCJQOXDBLFC-UHFFFAOYSA-N 0 0 438.550 -0.238 20 0 IBADRN O=C(COCC(=O)NCc1nc(C(F)(F)F)n[nH]1)NCc1nc(C(F)(F)F)n[nH]1 ZINC000482242725 1073414426 /nfs/dbraw/zinc/41/44/26/1073414426.db2.gz UYAWTBTWPLMYOZ-UHFFFAOYSA-N 0 0 430.269 -0.090 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000482245070 1073413686 /nfs/dbraw/zinc/41/36/86/1073413686.db2.gz NZJFGNQZHMJACC-CYBMUJFWSA-N 0 0 437.460 -0.261 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000482245074 1073413650 /nfs/dbraw/zinc/41/36/50/1073413650.db2.gz NZJFGNQZHMJACC-ZDUSSCGKSA-N 0 0 437.460 -0.261 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000482246464 1073414500 /nfs/dbraw/zinc/41/45/00/1073414500.db2.gz RYFWGDMOBPEIPR-ZDUSSCGKSA-N 0 0 441.506 -0.170 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000482247677 1073414406 /nfs/dbraw/zinc/41/44/06/1073414406.db2.gz WWQCEPLINJGRCG-GXTWGEPZSA-N 0 0 447.535 -0.159 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000482247678 1073414357 /nfs/dbraw/zinc/41/43/57/1073414357.db2.gz WWQCEPLINJGRCG-JSGCOSHPSA-N 0 0 447.535 -0.159 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000482247679 1073414465 /nfs/dbraw/zinc/41/44/65/1073414465.db2.gz WWQCEPLINJGRCG-OCCSQVGLSA-N 0 0 447.535 -0.159 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000482247680 1073414491 /nfs/dbraw/zinc/41/44/91/1073414491.db2.gz WWQCEPLINJGRCG-TZMCWYRMSA-N 0 0 447.535 -0.159 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC(OCC(=O)N(C)C)C2)C1 ZINC000482248037 1073414477 /nfs/dbraw/zinc/41/44/77/1073414477.db2.gz NOPPXWWXBMMWPP-AWEZNQCLSA-N 0 0 427.527 -0.257 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC(OCC(=O)N(C)C)C2)C1 ZINC000482248039 1073414475 /nfs/dbraw/zinc/41/44/75/1073414475.db2.gz NOPPXWWXBMMWPP-CQSZACIVSA-N 0 0 427.527 -0.257 20 0 IBADRN COc1ccc(C(=O)N2CC(OCC(=O)N(C)C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000482248580 1073414510 /nfs/dbraw/zinc/41/45/10/1073414510.db2.gz XEAUQGVFGRNIQS-UHFFFAOYSA-N 0 0 441.506 -0.355 20 0 IBADRN Cc1ccc(C(=O)N2CC(OCC(=O)N(C)C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000482251059 1073414375 /nfs/dbraw/zinc/41/43/75/1073414375.db2.gz WOPKUJCUZKBIKH-UHFFFAOYSA-N 0 0 425.507 -0.055 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCS(=O)(=O)c2ccccc2C1 ZINC000482252724 1073414457 /nfs/dbraw/zinc/41/44/57/1073414457.db2.gz MDSIUSJOLRCFDV-UHFFFAOYSA-N 0 0 431.536 -0.363 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000482255250 1073414486 /nfs/dbraw/zinc/41/44/86/1073414486.db2.gz VSHVQTJLSFGFFC-LBPRGKRZSA-N 0 0 426.495 -0.373 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000482256495 1073415334 /nfs/dbraw/zinc/41/53/34/1073415334.db2.gz XYRPJCZKWVFASU-UHFFFAOYSA-N 0 0 441.506 -0.355 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC(OCC(=O)N(C)C)C3)CC2)cc1 ZINC000482261917 1073415281 /nfs/dbraw/zinc/41/52/81/1073415281.db2.gz RLZPFVUEGFUQEZ-UHFFFAOYSA-N 0 0 438.550 -0.383 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)NCCNS(C)(=O)=O)CC2)cc1 ZINC000482277981 1073415187 /nfs/dbraw/zinc/41/51/87/1073415187.db2.gz NVALSERZRDVBJK-UHFFFAOYSA-N 0 0 434.540 -0.350 20 0 IBADRN NC(=O)c1ccc(OCCC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000482280739 1073415359 /nfs/dbraw/zinc/41/53/59/1073415359.db2.gz CEZMYYCWAZLZDB-UHFFFAOYSA-N 0 0 440.522 -0.288 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000482284993 1073415202 /nfs/dbraw/zinc/41/52/02/1073415202.db2.gz MDJSUEFGYCRQSK-UHFFFAOYSA-N 0 0 447.564 -0.027 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1C ZINC000482286468 1073415219 /nfs/dbraw/zinc/41/52/19/1073415219.db2.gz PWRFXZMJHRGGBQ-AWEZNQCLSA-N 0 0 448.571 -0.832 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1C ZINC000482286523 1073415162 /nfs/dbraw/zinc/41/51/62/1073415162.db2.gz PWRFXZMJHRGGBQ-CQSZACIVSA-N 0 0 448.571 -0.832 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000482299481 1073415254 /nfs/dbraw/zinc/41/52/54/1073415254.db2.gz CZBDLIZFYSDRSF-KYOSRNDESA-N 0 0 427.479 -0.369 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000482299482 1073415212 /nfs/dbraw/zinc/41/52/12/1073415212.db2.gz CZBDLIZFYSDRSF-LNSITVRQSA-N 0 0 427.479 -0.369 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000482299483 1073415351 /nfs/dbraw/zinc/41/53/51/1073415351.db2.gz CZBDLIZFYSDRSF-WHOFXGATSA-N 0 0 427.479 -0.369 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000482299484 1073415226 /nfs/dbraw/zinc/41/52/26/1073415226.db2.gz CZBDLIZFYSDRSF-ZLDLUXBVSA-N 0 0 427.479 -0.369 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@@H](C)CC(N)=O)c(=O)n2Cc1ccccc1 ZINC000482300848 1073415306 /nfs/dbraw/zinc/41/53/06/1073415306.db2.gz XSYWQAITWQKGOT-AWEZNQCLSA-N 0 0 442.476 -0.566 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H](C)CC(N)=O)c(=O)n2Cc1ccccc1 ZINC000482300849 1073415293 /nfs/dbraw/zinc/41/52/93/1073415293.db2.gz XSYWQAITWQKGOT-CQSZACIVSA-N 0 0 442.476 -0.566 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC(=O)NCC(F)(F)F)CC2)cn1C ZINC000482302640 1073415266 /nfs/dbraw/zinc/41/52/66/1073415266.db2.gz YNJZELAWJXSPNH-UHFFFAOYSA-N 0 0 426.421 -0.577 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000482303895 1073415196 /nfs/dbraw/zinc/41/51/96/1073415196.db2.gz OHDUXFMNZZNRLF-BJJXKVORSA-N 0 0 439.490 -0.134 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000482303897 1073415132 /nfs/dbraw/zinc/41/51/32/1073415132.db2.gz OHDUXFMNZZNRLF-INWMFGNUSA-N 0 0 439.490 -0.134 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000482303898 1073415302 /nfs/dbraw/zinc/41/53/02/1073415302.db2.gz OHDUXFMNZZNRLF-NOLJZWGESA-N 0 0 439.490 -0.134 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000482303899 1073415260 /nfs/dbraw/zinc/41/52/60/1073415260.db2.gz OHDUXFMNZZNRLF-XPKDYRNWSA-N 0 0 439.490 -0.134 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000482311921 1073416140 /nfs/dbraw/zinc/41/61/40/1073416140.db2.gz TWJLEPSMJHCBNX-AWEZNQCLSA-N 0 0 440.522 -0.462 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000482311929 1073416110 /nfs/dbraw/zinc/41/61/10/1073416110.db2.gz TWJLEPSMJHCBNX-CQSZACIVSA-N 0 0 440.522 -0.462 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000482314836 1073416074 /nfs/dbraw/zinc/41/60/74/1073416074.db2.gz USBDAJIACROHIO-UHFFFAOYSA-N 0 0 434.521 -0.068 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c1 ZINC000482317255 1073416147 /nfs/dbraw/zinc/41/61/47/1073416147.db2.gz IUSHDNQDNLDQJH-UHFFFAOYSA-N 0 0 430.537 -0.096 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@H](CO)Cc2ccc(F)c(F)c2)o1 ZINC000482318921 1073415953 /nfs/dbraw/zinc/41/59/53/1073415953.db2.gz PZJJOWBESFMRER-LLVKDONJSA-N 0 0 431.417 -0.098 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@@H](CO)Cc2ccc(F)c(F)c2)o1 ZINC000482318922 1073415919 /nfs/dbraw/zinc/41/59/19/1073415919.db2.gz PZJJOWBESFMRER-NSHDSACASA-N 0 0 431.417 -0.098 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@H](CO)Cc2ccc(F)c(F)c2)c[nH]1 ZINC000482319920 1073416091 /nfs/dbraw/zinc/41/60/91/1073416091.db2.gz MTKAKLFAZSPOPQ-LLVKDONJSA-N 0 0 430.433 -0.363 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@H](CO)Cc2ccc(F)c(F)c2)c[nH]1 ZINC000482319925 1073416164 /nfs/dbraw/zinc/41/61/64/1073416164.db2.gz MTKAKLFAZSPOPQ-NSHDSACASA-N 0 0 430.433 -0.363 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)CN3CCCS3(=O)=O)CC2)cc1 ZINC000482323217 1073416060 /nfs/dbraw/zinc/41/60/60/1073416060.db2.gz YTHRQNGJBSSMEG-UHFFFAOYSA-N 0 0 431.536 -0.046 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H]1CCCS1(=O)=O ZINC000482323223 1073415231 /nfs/dbraw/zinc/41/52/31/1073415231.db2.gz JNNMRKVIRLXDAK-HNNXBMFYSA-N 0 0 445.567 -0.590 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H]1CCCS1(=O)=O ZINC000482323226 1073415967 /nfs/dbraw/zinc/41/59/67/1073415967.db2.gz JNNMRKVIRLXDAK-OAHLLOKOSA-N 0 0 445.567 -0.590 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)cnc4ccccc43)CC2)cn1C ZINC000482326470 1073416204 /nfs/dbraw/zinc/41/62/04/1073416204.db2.gz FYECKQUUYJAFBU-UHFFFAOYSA-N 0 0 430.490 -0.028 20 0 IBADRN C[C@@H](CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[S@@](C)=O ZINC000482327477 1073416193 /nfs/dbraw/zinc/41/61/93/1073416193.db2.gz PNHQLEJOMCWYNR-KUNJGFBQSA-N 0 0 431.584 -0.010 20 0 IBADRN C[C@@H](CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[S@](C)=O ZINC000482327479 1073416048 /nfs/dbraw/zinc/41/60/48/1073416048.db2.gz PNHQLEJOMCWYNR-QZXCRCNTSA-N 0 0 431.584 -0.010 20 0 IBADRN C[C@H](CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[S@](C)=O ZINC000482327482 1073416013 /nfs/dbraw/zinc/41/60/13/1073416013.db2.gz PNHQLEJOMCWYNR-RXAIFQJESA-N 0 0 431.584 -0.010 20 0 IBADRN C[C@H](CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[S@@](C)=O ZINC000482327485 1073416032 /nfs/dbraw/zinc/41/60/32/1073416032.db2.gz PNHQLEJOMCWYNR-YVORESIASA-N 0 0 431.584 -0.010 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)cc1 ZINC000482339284 1073415978 /nfs/dbraw/zinc/41/59/78/1073415978.db2.gz ZADCIIBGPYHGJE-UHFFFAOYSA-N 0 0 436.490 -0.521 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3ncc(=O)c4ccccc43)CC2)cn1C ZINC000482344393 1073416176 /nfs/dbraw/zinc/41/61/76/1073416176.db2.gz KALGCTYITFJLBZ-UHFFFAOYSA-N 0 0 430.490 -0.028 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)COc4ccccc43)CC2)cn1C ZINC000482347015 1073415900 /nfs/dbraw/zinc/41/59/00/1073415900.db2.gz NYORIUIBZLNDED-UHFFFAOYSA-N 0 0 433.490 -0.013 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3c4ccccc4n(C)c3=O)CC2)cn1C ZINC000482348681 1073415941 /nfs/dbraw/zinc/41/59/41/1073415941.db2.gz QXJFGEMPQJJZMD-UHFFFAOYSA-N 0 0 432.506 -0.085 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)NC(C)(C)C3=O)CC2)cn1C ZINC000482351324 1073416123 /nfs/dbraw/zinc/41/61/23/1073416123.db2.gz OGDFVFFWTNKZMN-UHFFFAOYSA-N 0 0 440.526 -0.328 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)N[C@](C)(C4CC4)C3=O)CC2)cn1C ZINC000482353723 1073416703 /nfs/dbraw/zinc/41/67/03/1073416703.db2.gz CGYWZSNTBGCEAO-GOSISDBHSA-N 0 0 438.510 -0.718 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)N[C@@](C)(C4CC4)C3=O)CC2)cn1C ZINC000482353724 1073416759 /nfs/dbraw/zinc/41/67/59/1073416759.db2.gz CGYWZSNTBGCEAO-SFHVURJKSA-N 0 0 438.510 -0.718 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3cnc4ccccc4c3=O)CC2)cn1C ZINC000482354445 1073416618 /nfs/dbraw/zinc/41/66/18/1073416618.db2.gz UXUIRMABRGOCKK-UHFFFAOYSA-N 0 0 430.490 -0.028 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c(C)o1 ZINC000482355248 1073416768 /nfs/dbraw/zinc/41/67/68/1073416768.db2.gz WGCIVTRTGFEROT-UHFFFAOYSA-N 0 0 445.523 -0.315 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)NCCN3C(=O)CNC3=O)CC2)cc1 ZINC000482355977 1073416585 /nfs/dbraw/zinc/41/65/85/1073416585.db2.gz YUZKLRJJLJAYIA-UHFFFAOYSA-N 0 0 439.494 -0.347 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2=O)n1 ZINC000482380918 1073416823 /nfs/dbraw/zinc/41/68/23/1073416823.db2.gz GBAPRIYGCYTRJC-INIZCTEOSA-N 0 0 440.508 -0.049 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2=O)n1 ZINC000482380920 1073416597 /nfs/dbraw/zinc/41/65/97/1073416597.db2.gz GBAPRIYGCYTRJC-MRXNPFEDSA-N 0 0 440.508 -0.049 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000482382549 1073416846 /nfs/dbraw/zinc/41/68/46/1073416846.db2.gz HTBDZTOYVGXJGL-UHFFFAOYSA-N 0 0 444.496 -0.497 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2=O)n(C)n1 ZINC000482422851 1073417569 /nfs/dbraw/zinc/41/75/69/1073417569.db2.gz MXHRHDZWUHSBTO-INIZCTEOSA-N 0 0 440.508 -0.131 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2=O)n(C)n1 ZINC000482422852 1073417456 /nfs/dbraw/zinc/41/74/56/1073417456.db2.gz MXHRHDZWUHSBTO-MRXNPFEDSA-N 0 0 440.508 -0.131 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCCc1nnc[nH]1 ZINC000482424434 1073417279 /nfs/dbraw/zinc/41/72/79/1073417279.db2.gz QLBZSBGTIVKUEV-UHFFFAOYSA-N 0 0 438.485 -0.084 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCS(=O)(=O)c3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000482429630 1073417433 /nfs/dbraw/zinc/41/74/33/1073417433.db2.gz XNXCEWOPEMQHGE-UHFFFAOYSA-N 0 0 437.453 -0.447 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)NC(C)C)CC2)cn1C ZINC000482460408 1073417261 /nfs/dbraw/zinc/41/72/61/1073417261.db2.gz DFEZDSYYFSVDBR-UHFFFAOYSA-N 0 0 436.560 -0.928 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000482465387 1073417349 /nfs/dbraw/zinc/41/73/49/1073417349.db2.gz BPKOXJWHMBWFQA-UHFFFAOYSA-N 0 0 426.499 -0.541 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCCCN1C(=O)NC3(CCCC3)C1=O)c(=O)n2C ZINC000482466243 1073417556 /nfs/dbraw/zinc/41/75/56/1073417556.db2.gz FFCODXPQEQSPPK-UHFFFAOYSA-N 0 0 445.480 -0.805 20 0 IBADRN Cn1c2ncn(CC(=O)NCCCCN3C(=O)NC4(CCCC4)C3=O)c2c(=O)n(C)c1=O ZINC000482468186 1073417502 /nfs/dbraw/zinc/41/75/02/1073417502.db2.gz KPYBWVDWVASOAH-UHFFFAOYSA-N 0 0 445.480 -0.805 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000482473109 1073417489 /nfs/dbraw/zinc/41/74/89/1073417489.db2.gz HGFYJZHQHQLBMZ-UHFFFAOYSA-N 0 0 429.543 -0.675 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000482477787 1073417372 /nfs/dbraw/zinc/41/73/72/1073417372.db2.gz IRYVBEYAVOANAK-UHFFFAOYSA-N 0 0 428.486 -0.021 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000482480866 1073417536 /nfs/dbraw/zinc/41/75/36/1073417536.db2.gz UIBJMCXRJLQMLC-UHFFFAOYSA-N 0 0 436.560 -0.926 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCCN3C(=O)CCC3=O)CC2)cn1C ZINC000482483953 1073418343 /nfs/dbraw/zinc/41/83/43/1073418343.db2.gz YPPLNZREVXCXSA-UHFFFAOYSA-N 0 0 426.499 -0.716 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)c(=O)n(C)c1=O ZINC000482492545 1073418119 /nfs/dbraw/zinc/41/81/19/1073418119.db2.gz XHSRCOMSCHEQSS-UHFFFAOYSA-N 0 0 444.492 -0.200 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)Nc2cnn(CC(=O)N3CCN(C)CC3)c2)c1 ZINC000482498862 1073418323 /nfs/dbraw/zinc/41/83/23/1073418323.db2.gz MCVMKZWMFRVEHU-UHFFFAOYSA-N 0 0 426.524 -0.121 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000482500567 1073418224 /nfs/dbraw/zinc/41/82/24/1073418224.db2.gz AOGBBGRUMPEHPK-CYBMUJFWSA-N 0 0 430.552 -0.339 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000482500575 1073418338 /nfs/dbraw/zinc/41/83/38/1073418338.db2.gz AOGBBGRUMPEHPK-ZDUSSCGKSA-N 0 0 430.552 -0.339 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000482503651 1073418173 /nfs/dbraw/zinc/41/81/73/1073418173.db2.gz LXOLWIXXKIWOCJ-LLVKDONJSA-N 0 0 425.554 -0.126 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000482503722 1073418270 /nfs/dbraw/zinc/41/82/70/1073418270.db2.gz LXOLWIXXKIWOCJ-NSHDSACASA-N 0 0 425.554 -0.126 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000482504653 1073418349 /nfs/dbraw/zinc/41/83/49/1073418349.db2.gz HCOYIGKIDZBDTL-HNNXBMFYSA-N 0 0 440.584 -0.132 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000482504697 1073418287 /nfs/dbraw/zinc/41/82/87/1073418287.db2.gz HCOYIGKIDZBDTL-OAHLLOKOSA-N 0 0 440.584 -0.132 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CCC(=O)N3CCN(c4ccccn4)CC3)CC2)c1=O ZINC000482507198 1073418275 /nfs/dbraw/zinc/41/82/75/1073418275.db2.gz KCTZZOIYYGLQRJ-UHFFFAOYSA-N 0 0 439.520 -0.047 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)c1 ZINC000482508771 1073418193 /nfs/dbraw/zinc/41/81/93/1073418193.db2.gz PDGCTLZXUMFDGA-UHFFFAOYSA-N 0 0 446.532 -0.067 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)c1 ZINC000482511931 1073418211 /nfs/dbraw/zinc/41/82/11/1073418211.db2.gz PMHKLWKHBBHISW-CYBMUJFWSA-N 0 0 432.568 -0.045 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)c1 ZINC000482511932 1073418974 /nfs/dbraw/zinc/41/89/74/1073418974.db2.gz PMHKLWKHBBHISW-ZDUSSCGKSA-N 0 0 432.568 -0.045 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)c1=O ZINC000482516005 1073418261 /nfs/dbraw/zinc/41/82/61/1073418261.db2.gz XLICWKGDZZQKNS-UHFFFAOYSA-N 0 0 440.508 -0.652 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)no1 ZINC000482519198 1073418913 /nfs/dbraw/zinc/41/89/13/1073418913.db2.gz LYYZQNGBINKPEX-UHFFFAOYSA-N 0 0 435.462 -0.186 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000482520110 1073418806 /nfs/dbraw/zinc/41/88/06/1073418806.db2.gz QGTRGACVTVVKLF-UHFFFAOYSA-N 0 0 438.535 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)c2ccccc2O1 ZINC000482539312 1073418851 /nfs/dbraw/zinc/41/88/51/1073418851.db2.gz WCFJWLLWNKIXQW-GWCFXTLKSA-N 0 0 428.367 -0.194 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)c2ccccc2O1 ZINC000482539318 1073418880 /nfs/dbraw/zinc/41/88/80/1073418880.db2.gz WCFJWLLWNKIXQW-GXFFZTMASA-N 0 0 428.367 -0.194 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)c2ccccc2O1 ZINC000482539323 1073418998 /nfs/dbraw/zinc/41/89/98/1073418998.db2.gz WCFJWLLWNKIXQW-MFKMUULPSA-N 0 0 428.367 -0.194 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)c2ccccc2O1 ZINC000482539328 1073418988 /nfs/dbraw/zinc/41/89/88/1073418988.db2.gz WCFJWLLWNKIXQW-ZWNOBZJWSA-N 0 0 428.367 -0.194 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)cc1 ZINC000482553246 1073418866 /nfs/dbraw/zinc/41/88/66/1073418866.db2.gz MYMQUXRELWAJGT-GFCCVEGCSA-N 0 0 444.366 -0.193 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)cc1 ZINC000482553250 1073418891 /nfs/dbraw/zinc/41/88/91/1073418891.db2.gz MYMQUXRELWAJGT-LBPRGKRZSA-N 0 0 444.366 -0.193 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482562294 1073418834 /nfs/dbraw/zinc/41/88/34/1073418834.db2.gz CYIYXMCJQMTSIN-UHFFFAOYSA-N 0 0 435.528 -0.447 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482566187 1073419639 /nfs/dbraw/zinc/41/96/39/1073419639.db2.gz LRHJYZYZYJMREO-UHFFFAOYSA-N 0 0 433.512 -0.741 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482566247 1073418954 /nfs/dbraw/zinc/41/89/54/1073418954.db2.gz MCTZKAPJOMEQIO-CYBMUJFWSA-N 0 0 447.539 -0.352 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482566251 1073419396 /nfs/dbraw/zinc/41/93/96/1073419396.db2.gz MCTZKAPJOMEQIO-ZDUSSCGKSA-N 0 0 447.539 -0.352 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482568016 1073419567 /nfs/dbraw/zinc/41/95/67/1073419567.db2.gz YVTWTMZDFCSDER-UHFFFAOYSA-N 0 0 449.555 -0.104 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)c[nH]1 ZINC000482568730 1073419439 /nfs/dbraw/zinc/41/94/39/1073419439.db2.gz IWJJOATWDXSQTN-AWEZNQCLSA-N 0 0 437.453 -0.552 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)c[nH]1 ZINC000482568732 1073419455 /nfs/dbraw/zinc/41/94/55/1073419455.db2.gz IWJJOATWDXSQTN-CQSZACIVSA-N 0 0 437.453 -0.552 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482569429 1073419427 /nfs/dbraw/zinc/41/94/27/1073419427.db2.gz UZUCNZKCXCWAPF-UHFFFAOYSA-N 0 0 435.466 -0.556 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)cnc2n(C)c1=O ZINC000482571752 1073419378 /nfs/dbraw/zinc/41/93/78/1073419378.db2.gz CFLNAZBRVYXLNQ-UHFFFAOYSA-N 0 0 444.473 -0.826 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3COCCN3C3CCCC3)CC2)o1 ZINC000482572498 1073419620 /nfs/dbraw/zinc/41/96/20/1073419620.db2.gz FUZFUGJSQVJETP-HNNXBMFYSA-N 0 0 440.522 -0.145 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3COCCN3C3CCCC3)CC2)o1 ZINC000482572500 1073419512 /nfs/dbraw/zinc/41/95/12/1073419512.db2.gz FUZFUGJSQVJETP-OAHLLOKOSA-N 0 0 440.522 -0.145 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC000482575607 1073419388 /nfs/dbraw/zinc/41/93/88/1073419388.db2.gz BIDRFULMNSZUCM-CYBMUJFWSA-N 0 0 428.467 -0.102 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC000482575608 1073419558 /nfs/dbraw/zinc/41/95/58/1073419558.db2.gz BIDRFULMNSZUCM-ZDUSSCGKSA-N 0 0 428.467 -0.102 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3COCCN3CC3CCC3)CC2)o1 ZINC000482576109 1073419602 /nfs/dbraw/zinc/41/96/02/1073419602.db2.gz MFFRKPVGWHMOTM-HNNXBMFYSA-N 0 0 440.522 -0.288 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3COCCN3CC3CCC3)CC2)o1 ZINC000482576117 1073419462 /nfs/dbraw/zinc/41/94/62/1073419462.db2.gz MFFRKPVGWHMOTM-OAHLLOKOSA-N 0 0 440.522 -0.288 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482576594 1073419450 /nfs/dbraw/zinc/41/94/50/1073419450.db2.gz CTPZICNSIPGKQN-CYBMUJFWSA-N 0 0 447.539 -0.352 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482576595 1073419417 /nfs/dbraw/zinc/41/94/17/1073419417.db2.gz CTPZICNSIPGKQN-ZDUSSCGKSA-N 0 0 447.539 -0.352 20 0 IBADRN COC(=O)COCC(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000482577740 1073420426 /nfs/dbraw/zinc/42/04/26/1073420426.db2.gz OXMZVFDCOKXYPI-UHFFFAOYSA-N 0 0 432.451 -0.294 20 0 IBADRN COCC(=O)N1CCC(NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482582920 1073420342 /nfs/dbraw/zinc/42/03/42/1073420342.db2.gz UHEVIGQQYSXKHC-UHFFFAOYSA-N 0 0 441.510 -0.139 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)Nc3ccc(S(=O)(=O)N4CCOCC4)cn3)C2=O)cn1 ZINC000482583102 1073420268 /nfs/dbraw/zinc/42/02/68/1073420268.db2.gz PFXJIBCWGJIZEH-HNNXBMFYSA-N 0 0 449.493 -0.237 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)Nc3ccc(S(=O)(=O)N4CCOCC4)cn3)C2=O)cn1 ZINC000482583182 1073420396 /nfs/dbraw/zinc/42/03/96/1073420396.db2.gz PFXJIBCWGJIZEH-OAHLLOKOSA-N 0 0 449.493 -0.237 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482584387 1073420409 /nfs/dbraw/zinc/42/04/09/1073420409.db2.gz SBOKCKQIQMTREN-GFCCVEGCSA-N 0 0 433.512 -0.742 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482584393 1073420382 /nfs/dbraw/zinc/42/03/82/1073420382.db2.gz SBOKCKQIQMTREN-LBPRGKRZSA-N 0 0 433.512 -0.742 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCN3CCOC(C)(C)C3)CC2)cn1C ZINC000482586562 1073420357 /nfs/dbraw/zinc/42/03/57/1073420357.db2.gz YXZITGGJSHOLCY-UHFFFAOYSA-N 0 0 428.559 -0.145 20 0 IBADRN C[C@@](O)(CNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)CN1CCOCC1 ZINC000482587238 1073420311 /nfs/dbraw/zinc/42/03/11/1073420311.db2.gz WJUZLVPJUVIOPL-GOSISDBHSA-N 0 0 443.526 -0.693 20 0 IBADRN C[C@](O)(CNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)CN1CCOCC1 ZINC000482587251 1073420405 /nfs/dbraw/zinc/42/04/05/1073420405.db2.gz WJUZLVPJUVIOPL-SFHVURJKSA-N 0 0 443.526 -0.693 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)o1 ZINC000482587322 1073420373 /nfs/dbraw/zinc/42/03/73/1073420373.db2.gz ASCRIEMLRDCGMT-CYBMUJFWSA-N 0 0 438.437 -0.287 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)o1 ZINC000482587331 1073420412 /nfs/dbraw/zinc/42/04/12/1073420412.db2.gz ASCRIEMLRDCGMT-ZDUSSCGKSA-N 0 0 438.437 -0.287 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482587586 1073420349 /nfs/dbraw/zinc/42/03/49/1073420349.db2.gz UWAVHQHTMKTECD-UHFFFAOYSA-N 0 0 427.483 -0.577 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482587916 1073420407 /nfs/dbraw/zinc/42/04/07/1073420407.db2.gz CGWQDQSDIQWYTN-UHFFFAOYSA-N 0 0 426.455 -0.834 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482588288 1073420422 /nfs/dbraw/zinc/42/04/22/1073420422.db2.gz WFOSEPDVPPFIEO-UHFFFAOYSA-N 0 0 440.526 -0.484 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482588337 1073420390 /nfs/dbraw/zinc/42/03/90/1073420390.db2.gz DCJUHYZKTRGQDC-UHFFFAOYSA-N 0 0 447.539 -0.398 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482590411 1073420812 /nfs/dbraw/zinc/42/08/12/1073420812.db2.gz WXKPRFVELKCKLC-HNNXBMFYSA-N 0 0 443.526 -0.741 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482590412 1073420943 /nfs/dbraw/zinc/42/09/43/1073420943.db2.gz WXKPRFVELKCKLC-OAHLLOKOSA-N 0 0 443.526 -0.741 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H](C)CN3CCOCC3)CC2)cn1C ZINC000482590994 1073421013 /nfs/dbraw/zinc/42/10/13/1073421013.db2.gz JFTNMKBNGWKARO-HNNXBMFYSA-N 0 0 428.559 -0.287 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H](C)CN3CCOCC3)CC2)cn1C ZINC000482590995 1073421040 /nfs/dbraw/zinc/42/10/40/1073421040.db2.gz JFTNMKBNGWKARO-OAHLLOKOSA-N 0 0 428.559 -0.287 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000482591218 1073420987 /nfs/dbraw/zinc/42/09/87/1073420987.db2.gz NKVHDLZGDDMDNO-INIZCTEOSA-N 0 0 442.513 -0.123 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000482591219 1073420772 /nfs/dbraw/zinc/42/07/72/1073420772.db2.gz NKVHDLZGDDMDNO-MRXNPFEDSA-N 0 0 442.513 -0.123 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482592296 1073420854 /nfs/dbraw/zinc/42/08/54/1073420854.db2.gz NDWDNHXSOQPFOC-UHFFFAOYSA-N 0 0 425.467 -0.237 20 0 IBADRN C[C@@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)C(=O)N1CCOCC1 ZINC000482592339 1073420933 /nfs/dbraw/zinc/42/09/33/1073420933.db2.gz NOBXLPROWPXVHW-CYBMUJFWSA-N 0 0 427.483 -0.529 20 0 IBADRN C[C@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)C(=O)N1CCOCC1 ZINC000482592340 1073421032 /nfs/dbraw/zinc/42/10/32/1073421032.db2.gz NOBXLPROWPXVHW-ZDUSSCGKSA-N 0 0 427.483 -0.529 20 0 IBADRN Cn1cc(N2CCN(C(=O)Nc3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2=O)cn1 ZINC000482594004 1073420793 /nfs/dbraw/zinc/42/07/93/1073420793.db2.gz QRMAVOWOGFJGCJ-UHFFFAOYSA-N 0 0 449.493 -0.283 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N(CCO)CCN1CCOCC1 ZINC000482594571 1073237486 /nfs/dbraw/zinc/23/74/86/1073237486.db2.gz SIXQKPUYCXPPIY-UHFFFAOYSA-N 0 0 443.526 -0.739 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482594866 1073420922 /nfs/dbraw/zinc/42/09/22/1073420922.db2.gz BJYOTRPFTJMJEM-CYBMUJFWSA-N 0 0 427.483 -0.841 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482594867 1073420905 /nfs/dbraw/zinc/42/09/05/1073420905.db2.gz BJYOTRPFTJMJEM-ZDUSSCGKSA-N 0 0 427.483 -0.841 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCN3CCOCC3(C)C)CC2)cn1C ZINC000482595341 1073421606 /nfs/dbraw/zinc/42/16/06/1073421606.db2.gz ZIKZMNFVWMUQBC-UHFFFAOYSA-N 0 0 428.559 -0.145 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482595529 1073421654 /nfs/dbraw/zinc/42/16/54/1073421654.db2.gz DGODSJHAQQNVOI-AWEZNQCLSA-N 0 0 447.539 -0.352 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482595532 1073421560 /nfs/dbraw/zinc/42/15/60/1073421560.db2.gz DGODSJHAQQNVOI-CQSZACIVSA-N 0 0 447.539 -0.352 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)nn1 ZINC000482596140 1073421631 /nfs/dbraw/zinc/42/16/31/1073421631.db2.gz HYWLOYFZTXWMMA-UHFFFAOYSA-N 0 0 439.454 -0.811 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482597366 1073421537 /nfs/dbraw/zinc/42/15/37/1073421537.db2.gz MODHPLABVOZZSH-INIZCTEOSA-N 0 0 442.494 -0.120 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482597367 1073421648 /nfs/dbraw/zinc/42/16/48/1073421648.db2.gz MODHPLABVOZZSH-MRXNPFEDSA-N 0 0 442.494 -0.120 20 0 IBADRN COCCN1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1=O ZINC000482598061 1073421002 /nfs/dbraw/zinc/42/10/02/1073421002.db2.gz NYDRSPVNEMTQIQ-UHFFFAOYSA-N 0 0 427.483 -0.575 20 0 IBADRN NC(=O)C[C@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)C1CCOCC1 ZINC000482598190 1073420994 /nfs/dbraw/zinc/42/09/94/1073420994.db2.gz RCSSOASHBPVOMW-HNNXBMFYSA-N 0 0 441.510 -0.105 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)C1CCOCC1 ZINC000482598191 1073420837 /nfs/dbraw/zinc/42/08/37/1073420837.db2.gz RCSSOASHBPVOMW-OAHLLOKOSA-N 0 0 441.510 -0.105 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482598525 1073420326 /nfs/dbraw/zinc/42/03/26/1073420326.db2.gz PWIPCKCBIHNUJU-CYBMUJFWSA-N 0 0 447.539 -0.352 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482599154 1073421548 /nfs/dbraw/zinc/42/15/48/1073421548.db2.gz SDZVIJOFIGDWOW-CYBMUJFWSA-N 0 0 447.539 -0.495 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482599155 1073421564 /nfs/dbraw/zinc/42/15/64/1073421564.db2.gz SDZVIJOFIGDWOW-ZDUSSCGKSA-N 0 0 447.539 -0.495 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482600603 1073421526 /nfs/dbraw/zinc/42/15/26/1073421526.db2.gz CIKWEVABGSOJPE-CYBMUJFWSA-N 0 0 433.512 -0.613 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000482600604 1073421585 /nfs/dbraw/zinc/42/15/85/1073421585.db2.gz CIKWEVABGSOJPE-ZDUSSCGKSA-N 0 0 433.512 -0.613 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCOC1 ZINC000482602644 1073421513 /nfs/dbraw/zinc/42/15/13/1073421513.db2.gz BIQKFSXQMGLIDO-KRWDZBQOSA-N 0 0 428.467 -0.054 20 0 IBADRN COC(=O)C[C@]1(NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCOC1 ZINC000482602648 1073421522 /nfs/dbraw/zinc/42/15/22/1073421522.db2.gz BIQKFSXQMGLIDO-QGZVFWFLSA-N 0 0 428.467 -0.054 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC3(CS(C)(=O)=O)CC3)CC2)cn1C ZINC000482604978 1073421590 /nfs/dbraw/zinc/42/15/90/1073421590.db2.gz GRDBREPRAFRQPG-UHFFFAOYSA-N 0 0 433.556 -0.431 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482607687 1073421580 /nfs/dbraw/zinc/42/15/80/1073421580.db2.gz IXIHKAKQCDFXNP-UHFFFAOYSA-N 0 0 447.539 -0.352 20 0 IBADRN C[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCN1S(C)(=O)=O ZINC000482609699 1073421569 /nfs/dbraw/zinc/42/15/69/1073421569.db2.gz PJAYNUGRTLQOSC-ZDUSSCGKSA-N 0 0 447.539 -0.400 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482611055 1073422449 /nfs/dbraw/zinc/42/24/49/1073422449.db2.gz OSUQYJMWNILEBS-UHFFFAOYSA-N 0 0 433.512 -0.788 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)O1 ZINC000482611735 1073422440 /nfs/dbraw/zinc/42/24/40/1073422440.db2.gz WHFXYQUDINXUBN-DZGCQCFKSA-N 0 0 441.510 -0.140 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)O1 ZINC000482611737 1073422526 /nfs/dbraw/zinc/42/25/26/1073422526.db2.gz WHFXYQUDINXUBN-HIFRSBDPSA-N 0 0 441.510 -0.140 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)O1 ZINC000482611738 1073422495 /nfs/dbraw/zinc/42/24/95/1073422495.db2.gz WHFXYQUDINXUBN-UKRRQHHQSA-N 0 0 441.510 -0.140 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)O1 ZINC000482611739 1073422500 /nfs/dbraw/zinc/42/25/00/1073422500.db2.gz WHFXYQUDINXUBN-ZFWWWQNUSA-N 0 0 441.510 -0.140 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@@H]2CCCS2(=O)=O)CC1 ZINC000482611945 1073422401 /nfs/dbraw/zinc/42/24/01/1073422401.db2.gz QOXUGVNEIOQZQP-INIZCTEOSA-N 0 0 444.579 -0.001 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@H]2CCCS2(=O)=O)CC1 ZINC000482611951 1073423022 /nfs/dbraw/zinc/42/30/22/1073423022.db2.gz QOXUGVNEIOQZQP-MRXNPFEDSA-N 0 0 444.579 -0.001 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482612347 1073422901 /nfs/dbraw/zinc/42/29/01/1073422901.db2.gz WXYJUXLLWONFMS-GFCCVEGCSA-N 0 0 433.512 -0.613 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482612348 1073422984 /nfs/dbraw/zinc/42/29/84/1073422984.db2.gz WXYJUXLLWONFMS-LBPRGKRZSA-N 0 0 433.512 -0.613 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482614268 1073422415 /nfs/dbraw/zinc/42/24/15/1073422415.db2.gz NDTOGBOQYNTTIT-UHFFFAOYSA-N 0 0 449.555 -0.104 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H](C)[C@H](C)N3CCOCC3)CC2)cn1C ZINC000482614314 1073422517 /nfs/dbraw/zinc/42/25/17/1073422517.db2.gz NQPNFRCVEKOILW-CABCVRRESA-N 0 0 428.559 -0.146 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H](C)[C@H](C)N3CCOCC3)CC2)cn1C ZINC000482614315 1073422458 /nfs/dbraw/zinc/42/24/58/1073422458.db2.gz NQPNFRCVEKOILW-GJZGRUSLSA-N 0 0 428.559 -0.146 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H](C)[C@@H](C)N3CCOCC3)CC2)cn1C ZINC000482614316 1073422509 /nfs/dbraw/zinc/42/25/09/1073422509.db2.gz NQPNFRCVEKOILW-HUUCEWRRSA-N 0 0 428.559 -0.146 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H](C)[C@@H](C)N3CCOCC3)CC2)cn1C ZINC000482614317 1073422484 /nfs/dbraw/zinc/42/24/84/1073422484.db2.gz NQPNFRCVEKOILW-LSDHHAIUSA-N 0 0 428.559 -0.146 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N[C@H]1CCCN(CCO)C1=O ZINC000482614979 1073421642 /nfs/dbraw/zinc/42/16/42/1073421642.db2.gz YLJARZUXCQESNN-AWEZNQCLSA-N 0 0 427.483 -0.793 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N[C@@H]1CCCN(CCO)C1=O ZINC000482614980 1073421497 /nfs/dbraw/zinc/42/14/97/1073421497.db2.gz YLJARZUXCQESNN-CQSZACIVSA-N 0 0 427.483 -0.793 20 0 IBADRN COCC(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482617530 1073422311 /nfs/dbraw/zinc/42/23/11/1073422311.db2.gz WUDMYWNJOYFMTG-UHFFFAOYSA-N 0 0 427.483 -0.575 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)nc1 ZINC000482618654 1073422474 /nfs/dbraw/zinc/42/24/74/1073422474.db2.gz NIILHKUZQQGZMD-UHFFFAOYSA-N 0 0 448.571 -0.404 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482619787 1073422466 /nfs/dbraw/zinc/42/24/66/1073422466.db2.gz XHJBBRLJHYHAAB-UHFFFAOYSA-N 0 0 447.539 -0.398 20 0 IBADRN CCN(CCCNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)S(C)(=O)=O ZINC000482621498 1073422504 /nfs/dbraw/zinc/42/25/04/1073422504.db2.gz HASXVRHWMOEQBJ-UHFFFAOYSA-N 0 0 449.555 -0.104 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482621625 1073422298 /nfs/dbraw/zinc/42/22/98/1073422298.db2.gz YHRSQZIXLWDCQX-UHFFFAOYSA-N 0 0 435.528 -0.448 20 0 IBADRN O=C(CNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)NCC(F)(F)F ZINC000482623770 1073422913 /nfs/dbraw/zinc/42/29/13/1073422913.db2.gz QRFDZQZEMYWERN-UHFFFAOYSA-N 0 0 425.389 -0.098 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000482624387 1073423057 /nfs/dbraw/zinc/42/30/57/1073423057.db2.gz VVQBODUWPLPULY-UHFFFAOYSA-N 0 0 440.526 -0.612 20 0 IBADRN CC[C@H](NC(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)N1CCOCC1 ZINC000482624728 1073422998 /nfs/dbraw/zinc/42/29/98/1073422998.db2.gz WIQLIXGEWYVKKI-HNNXBMFYSA-N 0 0 442.542 -0.618 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)N1CCOCC1 ZINC000482624729 1073423031 /nfs/dbraw/zinc/42/30/31/1073423031.db2.gz WIQLIXGEWYVKKI-OAHLLOKOSA-N 0 0 442.542 -0.618 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCn3cnc4ccccc43)CC2)CC1 ZINC000482632248 1073422931 /nfs/dbraw/zinc/42/29/31/1073422931.db2.gz MLUAWBFQVQAKIT-UHFFFAOYSA-N 0 0 435.554 -0.144 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)nc2n(C)c1=O ZINC000482636958 1073422889 /nfs/dbraw/zinc/42/28/89/1073422889.db2.gz UZEYZCBCNZVAQC-UHFFFAOYSA-N 0 0 444.473 -0.826 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)cn1 ZINC000482647027 1073422940 /nfs/dbraw/zinc/42/29/40/1073422940.db2.gz FDAOOPGFCBVZCH-UHFFFAOYSA-N 0 0 449.518 -0.426 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NCCN2CCOC(C)(C)C2)cc1 ZINC000482647422 1073422992 /nfs/dbraw/zinc/42/29/92/1073422992.db2.gz HONIYRCKOJXTED-AWEZNQCLSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NCCN2CCOC(C)(C)C2)cc1 ZINC000482647426 1073422959 /nfs/dbraw/zinc/42/29/59/1073422959.db2.gz HONIYRCKOJXTED-CQSZACIVSA-N 0 0 426.539 -0.001 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)cn1 ZINC000482648268 1073422919 /nfs/dbraw/zinc/42/29/19/1073422919.db2.gz LEKNUKZXXVFBGP-UHFFFAOYSA-N 0 0 427.433 -0.152 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000482648763 1073422856 /nfs/dbraw/zinc/42/28/56/1073422856.db2.gz OLVRJCFAHXFIBE-OAHLLOKOSA-N 0 0 428.463 -0.068 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)OC ZINC000482649105 1073422952 /nfs/dbraw/zinc/42/29/52/1073422952.db2.gz TYCJFCYNCUIPLJ-BXUZGUMPSA-N 0 0 441.462 -0.702 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)OC ZINC000482649106 1073422868 /nfs/dbraw/zinc/42/28/68/1073422868.db2.gz TYCJFCYNCUIPLJ-SMDDNHRTSA-N 0 0 441.462 -0.702 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)cc1 ZINC000482650930 1073423526 /nfs/dbraw/zinc/42/35/26/1073423526.db2.gz UGWWDFZQGKTAPP-IAOVAPTHSA-N 0 0 443.522 -0.291 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)cc1 ZINC000482650931 1073423512 /nfs/dbraw/zinc/42/35/12/1073423512.db2.gz UGWWDFZQGKTAPP-JQFCIGGWSA-N 0 0 443.522 -0.291 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)cc1 ZINC000482650932 1073423709 /nfs/dbraw/zinc/42/37/09/1073423709.db2.gz UGWWDFZQGKTAPP-RRQGHBQHSA-N 0 0 443.522 -0.291 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)cc1 ZINC000482650934 1073423647 /nfs/dbraw/zinc/42/36/47/1073423647.db2.gz UGWWDFZQGKTAPP-XKQJLSEDSA-N 0 0 443.522 -0.291 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)OC ZINC000482651086 1073423790 /nfs/dbraw/zinc/42/37/90/1073423790.db2.gz LJGLLVRWMHUWLF-CQSZACIVSA-N 0 0 441.462 -0.968 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1 ZINC000482651355 1073423663 /nfs/dbraw/zinc/42/36/63/1073423663.db2.gz WPVAHBOVNVUONT-DOMZBBRYSA-N 0 0 437.522 -0.166 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1 ZINC000482651357 1073423462 /nfs/dbraw/zinc/42/34/62/1073423462.db2.gz WPVAHBOVNVUONT-IUODEOHRSA-N 0 0 437.522 -0.166 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1 ZINC000482651359 1073423818 /nfs/dbraw/zinc/42/38/18/1073423818.db2.gz WPVAHBOVNVUONT-SWLSCSKDSA-N 0 0 437.522 -0.166 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1 ZINC000482651361 1073423833 /nfs/dbraw/zinc/42/38/33/1073423833.db2.gz WPVAHBOVNVUONT-WFASDCNBSA-N 0 0 437.522 -0.166 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000482655204 1073423863 /nfs/dbraw/zinc/42/38/63/1073423863.db2.gz BSYVVOKHLZSCKJ-OAHLLOKOSA-N 0 0 428.463 -0.068 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000482660491 1073422974 /nfs/dbraw/zinc/42/29/74/1073422974.db2.gz GUUCOUFURUZEMW-GFCCVEGCSA-N 0 0 434.478 -0.892 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000482660492 1073422847 /nfs/dbraw/zinc/42/28/47/1073422847.db2.gz GUUCOUFURUZEMW-LBPRGKRZSA-N 0 0 434.478 -0.892 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)OC ZINC000482664337 1073422878 /nfs/dbraw/zinc/42/28/78/1073422878.db2.gz RCERCYORBXWZRO-CQSZACIVSA-N 0 0 441.462 -0.968 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cc1 ZINC000482666887 1073423759 /nfs/dbraw/zinc/42/37/59/1073423759.db2.gz VDNKGFBKMVEOKR-CABCVRRESA-N 0 0 426.539 -0.144 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NC[C@H](C)CN2CCOCC2)cc1 ZINC000482666890 1073423581 /nfs/dbraw/zinc/42/35/81/1073423581.db2.gz VDNKGFBKMVEOKR-GJZGRUSLSA-N 0 0 426.539 -0.144 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cc1 ZINC000482666893 1073423619 /nfs/dbraw/zinc/42/36/19/1073423619.db2.gz VDNKGFBKMVEOKR-HUUCEWRRSA-N 0 0 426.539 -0.144 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NC[C@H](C)CN2CCOCC2)cc1 ZINC000482666895 1073423721 /nfs/dbraw/zinc/42/37/21/1073423721.db2.gz VDNKGFBKMVEOKR-LSDHHAIUSA-N 0 0 426.539 -0.144 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000482667391 1073423844 /nfs/dbraw/zinc/42/38/44/1073423844.db2.gz HIYMXKNFJPTXOR-GFCCVEGCSA-N 0 0 434.492 -0.006 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)OC ZINC000482668965 1073424337 /nfs/dbraw/zinc/42/43/37/1073424337.db2.gz XDIRVZSIMDIAKD-CYBMUJFWSA-N 0 0 448.519 -0.078 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H](CO)[C@@H]3CCOC3)cc2)CC1 ZINC000482672902 1073424176 /nfs/dbraw/zinc/42/41/76/1073424176.db2.gz QOGXGUNXSLTWDS-MSOLQXFVSA-N 0 0 439.534 -0.086 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H](CO)[C@@H]3CCOC3)cc2)CC1 ZINC000482672911 1073424287 /nfs/dbraw/zinc/42/42/87/1073424287.db2.gz QOGXGUNXSLTWDS-QZTJIDSGSA-N 0 0 439.534 -0.086 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H](CO)[C@H]3CCOC3)cc2)CC1 ZINC000482672913 1073424221 /nfs/dbraw/zinc/42/42/21/1073424221.db2.gz QOGXGUNXSLTWDS-ROUUACIJSA-N 0 0 439.534 -0.086 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H](CO)[C@H]3CCOC3)cc2)CC1 ZINC000482672916 1073424526 /nfs/dbraw/zinc/42/45/26/1073424526.db2.gz QOGXGUNXSLTWDS-ZWKOTPCHSA-N 0 0 439.534 -0.086 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000482675909 1073424410 /nfs/dbraw/zinc/42/44/10/1073424410.db2.gz FCCGBQMRTJOCQV-CYBMUJFWSA-N 0 0 446.551 -0.734 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000482675917 1073424267 /nfs/dbraw/zinc/42/42/67/1073424267.db2.gz FCCGBQMRTJOCQV-ZDUSSCGKSA-N 0 0 446.551 -0.734 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)cn1 ZINC000482678095 1073424544 /nfs/dbraw/zinc/42/45/44/1073424544.db2.gz KAHOLEBGMUAUQX-UHFFFAOYSA-N 0 0 434.522 -0.598 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cc1 ZINC000482678918 1073424319 /nfs/dbraw/zinc/42/43/19/1073424319.db2.gz MLBHTWZDHQOAFN-AWEZNQCLSA-N 0 0 434.522 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cc1 ZINC000482678924 1073424240 /nfs/dbraw/zinc/42/42/40/1073424240.db2.gz MLBHTWZDHQOAFN-CQSZACIVSA-N 0 0 434.522 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)cc1 ZINC000482680975 1073423676 /nfs/dbraw/zinc/42/36/76/1073423676.db2.gz RBSRCLJYKVYNSK-CHWSQXEVSA-N 0 0 425.511 -0.321 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)cc1 ZINC000482680976 1073423684 /nfs/dbraw/zinc/42/36/84/1073423684.db2.gz RBSRCLJYKVYNSK-OLZOCXBDSA-N 0 0 425.511 -0.321 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)cc1 ZINC000482680977 1073423484 /nfs/dbraw/zinc/42/34/84/1073423484.db2.gz RBSRCLJYKVYNSK-QWHCGFSZSA-N 0 0 425.511 -0.321 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)cc1 ZINC000482680978 1073423700 /nfs/dbraw/zinc/42/37/00/1073423700.db2.gz RBSRCLJYKVYNSK-STQMWFEESA-N 0 0 425.511 -0.321 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)C(=O)OC ZINC000482681818 1073424465 /nfs/dbraw/zinc/42/44/65/1073424465.db2.gz TUGWLVSFCALHFC-GFCCVEGCSA-N 0 0 433.508 -0.023 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCc4n[nH]cc4C3)CC2)C[C@@H](C)O1 ZINC000482686502 1073424198 /nfs/dbraw/zinc/42/41/98/1073424198.db2.gz AMSOHRCICGXHPO-FMKPAKJESA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCc4n[nH]cc4C3)CC2)C[C@@H](C)O1 ZINC000482686503 1073424395 /nfs/dbraw/zinc/42/43/95/1073424395.db2.gz AMSOHRCICGXHPO-IIAWOOMASA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCc4n[nH]cc4C3)CC2)C[C@H](C)O1 ZINC000482686504 1073424230 /nfs/dbraw/zinc/42/42/30/1073424230.db2.gz AMSOHRCICGXHPO-IJEWVQPXSA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCc4n[nH]cc4C3)CC2)C[C@H](C)O1 ZINC000482686505 1073424256 /nfs/dbraw/zinc/42/42/56/1073424256.db2.gz AMSOHRCICGXHPO-LZWOXQAQSA-N 0 0 426.543 -0.052 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCn1ncnn1 ZINC000482687242 1073424504 /nfs/dbraw/zinc/42/45/04/1073424504.db2.gz JXQVWJGBJBUGMJ-UHFFFAOYSA-N 0 0 425.471 -0.086 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)CC1 ZINC000482690293 1073424425 /nfs/dbraw/zinc/42/44/25/1073424425.db2.gz IARAUQDDORNBJU-UHFFFAOYSA-N 0 0 443.478 -0.031 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)cc1 ZINC000482690401 1073424448 /nfs/dbraw/zinc/42/44/48/1073424448.db2.gz INAWOXPPTOLDDD-UHFFFAOYSA-N 0 0 426.495 -0.320 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cc1 ZINC000482692848 1073424376 /nfs/dbraw/zinc/42/43/76/1073424376.db2.gz OCZIHTXLVFROJX-OWQGQXMQSA-N 0 0 449.533 -0.260 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cc1 ZINC000482692850 1073425246 /nfs/dbraw/zinc/42/52/46/1073425246.db2.gz OCZIHTXLVFROJX-SKDZVZGDSA-N 0 0 449.533 -0.260 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)c1 ZINC000482694123 1073425201 /nfs/dbraw/zinc/42/52/01/1073425201.db2.gz PRTJULDOWXCSIW-UHFFFAOYSA-N 0 0 426.495 -0.320 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000482694217 1073425171 /nfs/dbraw/zinc/42/51/71/1073425171.db2.gz QTOFHWPWPWYYPF-AWEZNQCLSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000482694218 1073425231 /nfs/dbraw/zinc/42/52/31/1073425231.db2.gz QTOFHWPWPWYYPF-CQSZACIVSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000482694877 1073425132 /nfs/dbraw/zinc/42/51/32/1073425132.db2.gz RGYMZPSSJVOJNN-GXTWGEPZSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000482694878 1073425128 /nfs/dbraw/zinc/42/51/28/1073425128.db2.gz RGYMZPSSJVOJNN-JSGCOSHPSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000482694879 1073425239 /nfs/dbraw/zinc/42/52/39/1073425239.db2.gz RGYMZPSSJVOJNN-OCCSQVGLSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000482694880 1073425107 /nfs/dbraw/zinc/42/51/07/1073425107.db2.gz RGYMZPSSJVOJNN-TZMCWYRMSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000482695601 1073425159 /nfs/dbraw/zinc/42/51/59/1073425159.db2.gz SVZYBPQDPSUDRF-BLLLJJGKSA-N 0 0 448.505 -0.573 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000482695602 1073425152 /nfs/dbraw/zinc/42/51/52/1073425152.db2.gz SVZYBPQDPSUDRF-LRDDRELGSA-N 0 0 448.505 -0.573 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000482695603 1073425136 /nfs/dbraw/zinc/42/51/36/1073425136.db2.gz SVZYBPQDPSUDRF-MLGOLLRUSA-N 0 0 448.505 -0.573 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000482695604 1073425116 /nfs/dbraw/zinc/42/51/16/1073425116.db2.gz SVZYBPQDPSUDRF-WBMJQRKESA-N 0 0 448.505 -0.573 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2cnn(C)c2)CC1 ZINC000482696207 1073425216 /nfs/dbraw/zinc/42/52/16/1073425216.db2.gz TYZJGZIGEJAYOB-UHFFFAOYSA-N 0 0 426.543 -0.310 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000482696935 1073425121 /nfs/dbraw/zinc/42/51/21/1073425121.db2.gz VPVJROZJPCBVTJ-AWEZNQCLSA-N 0 0 439.538 -0.948 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000482696938 1073425185 /nfs/dbraw/zinc/42/51/85/1073425185.db2.gz VPVJROZJPCBVTJ-CQSZACIVSA-N 0 0 439.538 -0.948 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000482697423 1073425194 /nfs/dbraw/zinc/42/51/94/1073425194.db2.gz WPZKUCQGXZFUIA-UHFFFAOYSA-N 0 0 444.535 -0.394 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000482697488 1073425144 /nfs/dbraw/zinc/42/51/44/1073425144.db2.gz WZFFVGCGMAQRBC-DOMZBBRYSA-N 0 0 431.536 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000482697493 1073425164 /nfs/dbraw/zinc/42/51/64/1073425164.db2.gz WZFFVGCGMAQRBC-IUODEOHRSA-N 0 0 431.536 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000482697495 1073425254 /nfs/dbraw/zinc/42/52/54/1073425254.db2.gz WZFFVGCGMAQRBC-SWLSCSKDSA-N 0 0 431.536 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000482697497 1073425094 /nfs/dbraw/zinc/42/50/94/1073425094.db2.gz WZFFVGCGMAQRBC-WFASDCNBSA-N 0 0 431.536 -0.193 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)N[C@@H](C)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000482702913 1073425900 /nfs/dbraw/zinc/42/59/00/1073425900.db2.gz DKMMYXFBDQCASP-HNNXBMFYSA-N 0 0 426.539 -0.047 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)N[C@H](C)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000482702916 1073425793 /nfs/dbraw/zinc/42/57/93/1073425793.db2.gz DKMMYXFBDQCASP-OAHLLOKOSA-N 0 0 426.539 -0.047 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000482705026 1073425711 /nfs/dbraw/zinc/42/57/11/1073425711.db2.gz KHWMTTCIUDLZGC-ILXRZTDVSA-N 0 0 426.539 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000482705027 1073425850 /nfs/dbraw/zinc/42/58/50/1073425850.db2.gz KHWMTTCIUDLZGC-KKUMJFAQSA-N 0 0 426.539 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000482705028 1073425813 /nfs/dbraw/zinc/42/58/13/1073425813.db2.gz KHWMTTCIUDLZGC-QLFBSQMISA-N 0 0 426.539 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000482705029 1073425964 /nfs/dbraw/zinc/42/59/64/1073425964.db2.gz KHWMTTCIUDLZGC-SOUVJXGZSA-N 0 0 426.539 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)c1 ZINC000482708066 1073425824 /nfs/dbraw/zinc/42/58/24/1073425824.db2.gz UJMWTPYEMQSOPE-UHFFFAOYSA-N 0 0 432.524 -0.618 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000482709293 1073425883 /nfs/dbraw/zinc/42/58/83/1073425883.db2.gz WXJSGUJUNFBFCN-KRWDZBQOSA-N 0 0 442.524 -0.582 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000482709294 1073425690 /nfs/dbraw/zinc/42/56/90/1073425690.db2.gz WXJSGUJUNFBFCN-QGZVFWFLSA-N 0 0 442.524 -0.582 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)ccc1C ZINC000482710967 1073425976 /nfs/dbraw/zinc/42/59/76/1073425976.db2.gz ZOVXWGMRYJDSCR-UHFFFAOYSA-N 0 0 432.524 -0.618 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H](CO)[C@@H]3CCOC3)c2)CC1 ZINC000482716132 1073425751 /nfs/dbraw/zinc/42/57/51/1073425751.db2.gz UMQGFPXLQJQHTO-MSOLQXFVSA-N 0 0 439.534 -0.086 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H](CO)[C@@H]3CCOC3)c2)CC1 ZINC000482716134 1073425930 /nfs/dbraw/zinc/42/59/30/1073425930.db2.gz UMQGFPXLQJQHTO-QZTJIDSGSA-N 0 0 439.534 -0.086 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H](CO)[C@H]3CCOC3)c2)CC1 ZINC000482716136 1073425943 /nfs/dbraw/zinc/42/59/43/1073425943.db2.gz UMQGFPXLQJQHTO-ROUUACIJSA-N 0 0 439.534 -0.086 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H](CO)[C@H]3CCOC3)c2)CC1 ZINC000482716139 1073425640 /nfs/dbraw/zinc/42/56/40/1073425640.db2.gz UMQGFPXLQJQHTO-ZWKOTPCHSA-N 0 0 439.534 -0.086 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC(S(=O)(=O)NC)CC3)cc21 ZINC000482719520 1073426544 /nfs/dbraw/zinc/42/65/44/1073426544.db2.gz BWGQBJQDSAQRET-UHFFFAOYSA-N 0 0 435.506 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000482720245 1073426537 /nfs/dbraw/zinc/42/65/37/1073426537.db2.gz CRLIVHKQKIMARG-CYBMUJFWSA-N 0 0 439.538 -0.820 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000482720246 1073426720 /nfs/dbraw/zinc/42/67/20/1073426720.db2.gz CRLIVHKQKIMARG-ZDUSSCGKSA-N 0 0 439.538 -0.820 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)CC1 ZINC000482720314 1073426533 /nfs/dbraw/zinc/42/65/33/1073426533.db2.gz DJTOQJUJWJAHHP-UHFFFAOYSA-N 0 0 443.478 -0.031 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)ccc1F ZINC000482720319 1073426604 /nfs/dbraw/zinc/42/66/04/1073426604.db2.gz DKCODSSKWQGWDC-UHFFFAOYSA-N 0 0 436.487 -0.788 20 0 IBADRN COc1ccc(C2(CNC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)CCOCC2)cc1 ZINC000482721462 1073426678 /nfs/dbraw/zinc/42/66/78/1073426678.db2.gz FXHKWEPWLAVXPV-INIZCTEOSA-N 0 0 425.507 -0.251 20 0 IBADRN COc1ccc(C2(CNC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)CCOCC2)cc1 ZINC000482721469 1073426610 /nfs/dbraw/zinc/42/66/10/1073426610.db2.gz FXHKWEPWLAVXPV-MRXNPFEDSA-N 0 0 425.507 -0.251 20 0 IBADRN CN(CC(F)(F)F)C(=O)CS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000482723600 1073426698 /nfs/dbraw/zinc/42/66/98/1073426698.db2.gz YWUYJJMVNQLGEI-UHFFFAOYSA-N 0 0 445.441 -0.103 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000482725413 1073425726 /nfs/dbraw/zinc/42/57/26/1073425726.db2.gz PGPRZARZVQZJLC-UHFFFAOYSA-N 0 0 437.522 -0.903 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](C)c2ccc(S(=O)(=O)NC)cc2)C1 ZINC000482725774 1073426712 /nfs/dbraw/zinc/42/67/12/1073426712.db2.gz QDYZMASXFZINGD-DOMZBBRYSA-N 0 0 446.551 -0.688 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)c2ccc(S(=O)(=O)NC)cc2)C1 ZINC000482725775 1073426595 /nfs/dbraw/zinc/42/65/95/1073426595.db2.gz QDYZMASXFZINGD-IUODEOHRSA-N 0 0 446.551 -0.688 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)c2ccc(S(=O)(=O)NC)cc2)C1 ZINC000482725776 1073426550 /nfs/dbraw/zinc/42/65/50/1073426550.db2.gz QDYZMASXFZINGD-SWLSCSKDSA-N 0 0 446.551 -0.688 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](C)c2ccc(S(=O)(=O)NC)cc2)C1 ZINC000482725777 1073426669 /nfs/dbraw/zinc/42/66/69/1073426669.db2.gz QDYZMASXFZINGD-WFASDCNBSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)CC1 ZINC000482725840 1073426599 /nfs/dbraw/zinc/42/65/99/1073426599.db2.gz QYHIWWXCYNIGSR-UHFFFAOYSA-N 0 0 438.506 -0.299 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NCCN2CCOCC2(C)C)cc1 ZINC000482727798 1073425783 /nfs/dbraw/zinc/42/57/83/1073425783.db2.gz ZGKGVLZMUWCNHS-AWEZNQCLSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NCCN2CCOCC2(C)C)cc1 ZINC000482727799 1073425670 /nfs/dbraw/zinc/42/56/70/1073425670.db2.gz ZGKGVLZMUWCNHS-CQSZACIVSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000482729098 1073425766 /nfs/dbraw/zinc/42/57/66/1073425766.db2.gz AOGTZIYEYUCOKU-AWEZNQCLSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000482729099 1073426649 /nfs/dbraw/zinc/42/66/49/1073426649.db2.gz AOGTZIYEYUCOKU-CQSZACIVSA-N 0 0 432.506 -0.089 20 0 IBADRN COc1ccc(C2(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)CCOCC2)cc1 ZINC000482732894 1073426657 /nfs/dbraw/zinc/42/66/57/1073426657.db2.gz MVMRQSFMACVHGZ-UHFFFAOYSA-N 0 0 439.534 -0.037 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000482733393 1073426687 /nfs/dbraw/zinc/42/66/87/1073426687.db2.gz OKKTTYHAIJUGNG-UHFFFAOYSA-N 0 0 446.551 -0.276 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CC1 ZINC000482734850 1073427630 /nfs/dbraw/zinc/42/76/30/1073427630.db2.gz QXLVDRQBGGNMAV-UHFFFAOYSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1 ZINC000482734852 1073427625 /nfs/dbraw/zinc/42/76/25/1073427625.db2.gz QYQZXYOTTZWPJJ-HNNXBMFYSA-N 0 0 448.549 -0.150 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1 ZINC000482734853 1073427589 /nfs/dbraw/zinc/42/75/89/1073427589.db2.gz QYQZXYOTTZWPJJ-OAHLLOKOSA-N 0 0 448.549 -0.150 20 0 IBADRN CN(CC(F)(F)F)C(=O)COn1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000482745329 1073427517 /nfs/dbraw/zinc/42/75/17/1073427517.db2.gz VHEZHBSWRCRMNK-UHFFFAOYSA-N 0 0 437.400 -0.099 20 0 IBADRN CCOc1ccc(NC(=O)NCCn2ncnn2)cc1S(=O)(=O)N1CCOCC1 ZINC000482749848 1073427602 /nfs/dbraw/zinc/42/76/02/1073427602.db2.gz UUHNYZROMBSTEY-UHFFFAOYSA-N 0 0 425.471 -0.086 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000482751210 1073427400 /nfs/dbraw/zinc/42/74/00/1073427400.db2.gz KAGJKWZYMQDTAH-CYBMUJFWSA-N 0 0 426.495 -0.911 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000482751212 1073427581 /nfs/dbraw/zinc/42/75/81/1073427581.db2.gz KAGJKWZYMQDTAH-ZDUSSCGKSA-N 0 0 426.495 -0.911 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000482752697 1073427643 /nfs/dbraw/zinc/42/76/43/1073427643.db2.gz NLARUPDMOCNCLA-GXTWGEPZSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000482752698 1073427446 /nfs/dbraw/zinc/42/74/46/1073427446.db2.gz NLARUPDMOCNCLA-TZMCWYRMSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)CC1 ZINC000482754147 1073427564 /nfs/dbraw/zinc/42/75/64/1073427564.db2.gz RCGOLJPIICYVDI-UHFFFAOYSA-N 0 0 440.522 -0.059 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CC1 ZINC000482755552 1073427612 /nfs/dbraw/zinc/42/76/12/1073427612.db2.gz ANGUNVZYSWFCEI-PBHICJAKSA-N 0 0 427.527 -0.550 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000482755553 1073427509 /nfs/dbraw/zinc/42/75/09/1073427509.db2.gz ANGUNVZYSWFCEI-RHSMWYFYSA-N 0 0 427.527 -0.550 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000482755554 1073427575 /nfs/dbraw/zinc/42/75/75/1073427575.db2.gz ANGUNVZYSWFCEI-WMLDXEAASA-N 0 0 427.527 -0.550 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CC1 ZINC000482755555 1073427466 /nfs/dbraw/zinc/42/74/66/1073427466.db2.gz ANGUNVZYSWFCEI-YOEHRIQHSA-N 0 0 427.527 -0.550 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3nnc4ccccc4c3=O)CC2)cn1C ZINC000482757046 1073427531 /nfs/dbraw/zinc/42/75/31/1073427531.db2.gz GZOMHZJWNKYLKT-UHFFFAOYSA-N 0 0 431.478 -0.633 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)cn1 ZINC000482758593 1073427636 /nfs/dbraw/zinc/42/76/36/1073427636.db2.gz GKRQQPFJGWSRJT-UHFFFAOYSA-N 0 0 429.499 -0.340 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)cc1 ZINC000482759230 1073427973 /nfs/dbraw/zinc/42/79/73/1073427973.db2.gz IEMCDCBTOGWTFH-UHFFFAOYSA-N 0 0 432.524 -0.073 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)o1 ZINC000482760143 1073428033 /nfs/dbraw/zinc/42/80/33/1073428033.db2.gz OFPYFYZQDBRKHI-UHFFFAOYSA-N 0 0 431.496 -0.624 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCc2n[nH]c(=O)cc2C1 ZINC000482761311 1073428129 /nfs/dbraw/zinc/42/81/29/1073428129.db2.gz PSTDNSKZAFUPDV-UHFFFAOYSA-N 0 0 447.521 -0.203 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000482761670 1073428028 /nfs/dbraw/zinc/42/80/28/1073428028.db2.gz PGZBPOFYCFSJAU-UHFFFAOYSA-N 0 0 448.448 -0.092 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)cc1 ZINC000482761827 1073428122 /nfs/dbraw/zinc/42/81/22/1073428122.db2.gz QANMXMPGXSVTRD-AWEZNQCLSA-N 0 0 440.522 -0.521 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)cc1 ZINC000482761832 1073427964 /nfs/dbraw/zinc/42/79/64/1073427964.db2.gz QANMXMPGXSVTRD-CQSZACIVSA-N 0 0 440.522 -0.521 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3csc(S(N)(=O)=O)c3)CC2)cn1C ZINC000482762360 1073428116 /nfs/dbraw/zinc/42/81/16/1073428116.db2.gz UGUIVXRRJMEENK-UHFFFAOYSA-N 0 0 433.537 -0.416 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)C1=O ZINC000482763647 1073427951 /nfs/dbraw/zinc/42/79/51/1073427951.db2.gz WGBRUBFMETVNGC-UHFFFAOYSA-N 0 0 430.421 -0.164 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000482763909 1073428055 /nfs/dbraw/zinc/42/80/55/1073428055.db2.gz ARHULEMTVPXSKV-UHFFFAOYSA-N 0 0 447.564 -0.155 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)[C@@H]4CCCC[C@@H]4C3=O)CC2)cn1C ZINC000482764345 1073428141 /nfs/dbraw/zinc/42/81/41/1073428141.db2.gz CZRRSEZNZZVLCM-GASCZTMLSA-N 0 0 437.522 -0.263 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)[C@H]4CCCC[C@@H]4C3=O)CC2)cn1C ZINC000482764346 1073427997 /nfs/dbraw/zinc/42/79/97/1073427997.db2.gz CZRRSEZNZZVLCM-GJZGRUSLSA-N 0 0 437.522 -0.263 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)[C@@H]4CCCC[C@H]4C3=O)CC2)cn1C ZINC000482764347 1073428164 /nfs/dbraw/zinc/42/81/64/1073428164.db2.gz CZRRSEZNZZVLCM-HUUCEWRRSA-N 0 0 437.522 -0.263 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000482765009 1073428147 /nfs/dbraw/zinc/42/81/47/1073428147.db2.gz ZWDYYIRAKXHGQU-UHFFFAOYSA-N 0 0 448.448 -0.092 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cc3ccc(-n4cnnn4)cc3)CC2)cn1C ZINC000482765963 1073428168 /nfs/dbraw/zinc/42/81/68/1073428168.db2.gz IIFCSGBYXVIZJQ-UHFFFAOYSA-N 0 0 430.494 -0.220 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1 ZINC000482767439 1073428567 /nfs/dbraw/zinc/42/85/67/1073428567.db2.gz ABYNOCRAMYQEDF-UHFFFAOYSA-N 0 0 441.535 -0.217 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cc(S(N)(=O)=O)c(C)o3)CC2)cn1C ZINC000482770360 1073427982 /nfs/dbraw/zinc/42/79/82/1073427982.db2.gz KTMYKMYWQHFPCK-UHFFFAOYSA-N 0 0 431.496 -0.576 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1=O ZINC000482772708 1073428106 /nfs/dbraw/zinc/42/81/06/1073428106.db2.gz OYFUQGCSHKPCOQ-UHFFFAOYSA-N 0 0 440.526 -0.328 20 0 IBADRN Cc1cc(C[C@H](C)NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[nH]n1 ZINC000482773432 1073428083 /nfs/dbraw/zinc/42/80/83/1073428083.db2.gz DBXNCXJXDCQMBM-HNNXBMFYSA-N 0 0 442.524 -0.259 20 0 IBADRN Cc1cc(C[C@@H](C)NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[nH]n1 ZINC000482773433 1073428157 /nfs/dbraw/zinc/42/81/57/1073428157.db2.gz DBXNCXJXDCQMBM-OAHLLOKOSA-N 0 0 442.524 -0.259 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccnn3)CC2)c1 ZINC000482777417 1073428011 /nfs/dbraw/zinc/42/80/11/1073428011.db2.gz QAYGQZNSCNBRJD-UHFFFAOYSA-N 0 0 449.489 -0.357 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)c4ccccc4C3=O)CC2)cn1C ZINC000482778984 1073428488 /nfs/dbraw/zinc/42/84/88/1073428488.db2.gz FDVCEBPEIHFWTO-UHFFFAOYSA-N 0 0 431.474 -0.142 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1 ZINC000482781459 1073428818 /nfs/dbraw/zinc/42/88/18/1073428818.db2.gz MFCLTKVBUFWSOQ-HNNXBMFYSA-N 0 0 448.549 -0.150 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1 ZINC000482781461 1073428859 /nfs/dbraw/zinc/42/88/59/1073428859.db2.gz MFCLTKVBUFWSOQ-OAHLLOKOSA-N 0 0 448.549 -0.150 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)NC4(CCCC4)C3=O)CC2)cn1C ZINC000482781679 1073428622 /nfs/dbraw/zinc/42/86/22/1073428622.db2.gz URSXGPVTDXNWCY-UHFFFAOYSA-N 0 0 438.510 -0.574 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)cn1 ZINC000482784356 1073428842 /nfs/dbraw/zinc/42/88/42/1073428842.db2.gz AVRCASMRGAQQGW-INIZCTEOSA-N 0 0 428.497 -0.552 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)cn1 ZINC000482784359 1073428789 /nfs/dbraw/zinc/42/87/89/1073428789.db2.gz AVRCASMRGAQQGW-MRXNPFEDSA-N 0 0 428.497 -0.552 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)[nH]c(=O)c4ccccc43)CC2)cn1C ZINC000482784999 1073428466 /nfs/dbraw/zinc/42/84/66/1073428466.db2.gz GOXBAWJEDBRRRZ-UHFFFAOYSA-N 0 0 446.489 -0.323 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)CC1 ZINC000482786195 1073428671 /nfs/dbraw/zinc/42/86/71/1073428671.db2.gz GHXVTJKCXLLETK-UHFFFAOYSA-N 0 0 439.523 -0.137 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(=O)(=O)N(C)C)o3)CC2)cn1C ZINC000482786739 1073428889 /nfs/dbraw/zinc/42/88/89/1073428889.db2.gz KNFHZDUSPVNGBX-UHFFFAOYSA-N 0 0 445.523 -0.281 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000482786790 1073428374 /nfs/dbraw/zinc/42/83/74/1073428374.db2.gz BZOQIFJPYPCHEE-UHFFFAOYSA-N 0 0 447.564 -0.107 20 0 IBADRN O=C(Nc1ccnn1C[C@@H]1CCOC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000482788536 1073428436 /nfs/dbraw/zinc/42/84/36/1073428436.db2.gz FYDMLTSAPSBPOK-HNNXBMFYSA-N 0 0 428.515 -0.354 20 0 IBADRN O=C(Nc1ccnn1C[C@H]1CCOC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000482788537 1073428761 /nfs/dbraw/zinc/42/87/61/1073428761.db2.gz FYDMLTSAPSBPOK-OAHLLOKOSA-N 0 0 428.515 -0.354 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000482788843 1073428647 /nfs/dbraw/zinc/42/86/47/1073428647.db2.gz DLNMZUFLJBNYBK-UHFFFAOYSA-N 0 0 441.535 -0.217 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1 ZINC000482790603 1073428517 /nfs/dbraw/zinc/42/85/17/1073428517.db2.gz PWPIDNACYABTCG-CVEARBPZSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1 ZINC000482790604 1073429370 /nfs/dbraw/zinc/42/93/70/1073429370.db2.gz PWPIDNACYABTCG-HOTGVXAUSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1 ZINC000482790605 1073429211 /nfs/dbraw/zinc/42/92/11/1073429211.db2.gz PWPIDNACYABTCG-HZPDHXFCSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1 ZINC000482790606 1073429307 /nfs/dbraw/zinc/42/93/07/1073429307.db2.gz PWPIDNACYABTCG-JKSUJKDBSA-N 0 0 438.550 -0.047 20 0 IBADRN O=C(NCc1cccnn1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000482792708 1073429361 /nfs/dbraw/zinc/42/93/61/1073429361.db2.gz KTESEKZVLGWONW-UHFFFAOYSA-N 0 0 447.502 -0.369 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000482794681 1073430104 /nfs/dbraw/zinc/43/01/04/1073430104.db2.gz YCNGWQUPHUXJIH-UHFFFAOYSA-N 0 0 437.478 -0.069 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cc3nc4nc(C)cc(C)n4n3)CC2)cn1C ZINC000482795446 1073429945 /nfs/dbraw/zinc/42/99/45/1073429945.db2.gz YLQCSGSCMJMZMP-UHFFFAOYSA-N 0 0 432.510 -0.141 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CNC(=O)COc3ccccc3)CC2)cn1C ZINC000482799782 1073428727 /nfs/dbraw/zinc/42/87/27/1073428727.db2.gz MNLTVYUOGJKOPK-UHFFFAOYSA-N 0 0 435.506 -0.243 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CCn3nnc4ccccc4c3=O)CC2)cn1C ZINC000482801862 1073429960 /nfs/dbraw/zinc/42/99/60/1073429960.db2.gz WAPGEBNAVXDRSE-UHFFFAOYSA-N 0 0 445.505 -0.243 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1 ZINC000482809536 1073430785 /nfs/dbraw/zinc/43/07/85/1073430785.db2.gz BQRYSOCDCOUKHE-AWEZNQCLSA-N 0 0 434.522 -0.161 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1 ZINC000482809537 1073430557 /nfs/dbraw/zinc/43/05/57/1073430557.db2.gz BQRYSOCDCOUKHE-CQSZACIVSA-N 0 0 434.522 -0.161 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)c1C ZINC000482809551 1073430619 /nfs/dbraw/zinc/43/06/19/1073430619.db2.gz BXDBTRNCQXJYPP-UHFFFAOYSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)CC1 ZINC000482809558 1073430810 /nfs/dbraw/zinc/43/08/10/1073430810.db2.gz BZWPIRIOOOZMPV-UHFFFAOYSA-N 0 0 441.462 -0.262 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000482809740 1073430749 /nfs/dbraw/zinc/43/07/49/1073430749.db2.gz NRCFDUFZYUILLC-CVEARBPZSA-N 0 0 438.554 -0.261 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000482809741 1073430778 /nfs/dbraw/zinc/43/07/78/1073430778.db2.gz NRCFDUFZYUILLC-HOTGVXAUSA-N 0 0 438.554 -0.261 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000482809742 1073430713 /nfs/dbraw/zinc/43/07/13/1073430713.db2.gz NRCFDUFZYUILLC-HZPDHXFCSA-N 0 0 438.554 -0.261 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000482809743 1073430765 /nfs/dbraw/zinc/43/07/65/1073430765.db2.gz NRCFDUFZYUILLC-JKSUJKDBSA-N 0 0 438.554 -0.261 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(O)C1 ZINC000482810542 1073430003 /nfs/dbraw/zinc/43/00/03/1073430003.db2.gz QNCCKLISZOXVDV-KRWDZBQOSA-N 0 0 425.507 -0.335 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(O)C1 ZINC000482810543 1073430019 /nfs/dbraw/zinc/43/00/19/1073430019.db2.gz QNCCKLISZOXVDV-QGZVFWFLSA-N 0 0 425.507 -0.335 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)CC1 ZINC000482810950 1073430727 /nfs/dbraw/zinc/43/07/27/1073430727.db2.gz HBCRHJZCTJFRPD-UHFFFAOYSA-N 0 0 427.435 -0.319 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000482812129 1073430132 /nfs/dbraw/zinc/43/01/32/1073430132.db2.gz LMSYAQFIZKNKSR-AWEZNQCLSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000482812130 1073429992 /nfs/dbraw/zinc/42/99/92/1073429992.db2.gz LMSYAQFIZKNKSR-CQSZACIVSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)CC1 ZINC000482814038 1073430047 /nfs/dbraw/zinc/43/00/47/1073430047.db2.gz SAVSOEYRQQYGBU-UHFFFAOYSA-N 0 0 438.506 -0.299 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)N[C@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000482815905 1073430741 /nfs/dbraw/zinc/43/07/41/1073430741.db2.gz GPYNBWHZQCRMTH-CYBMUJFWSA-N 0 0 448.567 -0.440 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)N[C@@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000482815913 1073430774 /nfs/dbraw/zinc/43/07/74/1073430774.db2.gz GPYNBWHZQCRMTH-ZDUSSCGKSA-N 0 0 448.567 -0.440 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(S(=O)(=O)NC)CC2)cc1 ZINC000482816202 1073430074 /nfs/dbraw/zinc/43/00/74/1073430074.db2.gz YPOLVYWMVZWRLP-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CCN(C4CC4)C3=O)CC2)cc1 ZINC000482819676 1073430759 /nfs/dbraw/zinc/43/07/59/1073430759.db2.gz LAAGTGFDNLYETQ-INIZCTEOSA-N 0 0 428.536 -0.596 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CCN(C4CC4)C3=O)CC2)cc1 ZINC000482819677 1073430657 /nfs/dbraw/zinc/43/06/57/1073430657.db2.gz LAAGTGFDNLYETQ-MRXNPFEDSA-N 0 0 428.536 -0.596 20 0 IBADRN O=C(NCc1cccnn1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000482824098 1073430603 /nfs/dbraw/zinc/43/06/03/1073430603.db2.gz LRGVNBZZHVBDEQ-UHFFFAOYSA-N 0 0 429.462 -0.498 20 0 IBADRN O=C(CNC(=O)c1cccnc1)NC[C@@H]1CCCN(C(=O)CNC(=O)c2cccnc2)C1 ZINC000482827667 1073430694 /nfs/dbraw/zinc/43/06/94/1073430694.db2.gz OSSWWPMGQQSGSI-INIZCTEOSA-N 0 0 438.488 -0.009 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCS(N)(=O)=O)c1 ZINC000482832128 1073431329 /nfs/dbraw/zinc/43/13/29/1073431329.db2.gz ZUXJWSWXRYMUKF-UHFFFAOYSA-N 0 0 448.567 -0.428 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)cn1 ZINC000482835222 1073431031 /nfs/dbraw/zinc/43/10/31/1073431031.db2.gz AQUIWWDIVKYKOE-UHFFFAOYSA-N 0 0 431.478 -0.554 20 0 IBADRN O=C(CN1[C@@H]2CC[C@H]1CNC(=O)C2)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000482842489 1073432011 /nfs/dbraw/zinc/43/20/11/1073432011.db2.gz UQGLEVVEOWENLJ-SJORKVTESA-N 0 0 436.534 -0.323 20 0 IBADRN O=C(CN1[C@@H]2CC[C@H]1CNC(=O)C2)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000482842600 1073432080 /nfs/dbraw/zinc/43/20/80/1073432080.db2.gz JMIJZZSWQAHFFE-SJORKVTESA-N 0 0 436.534 -0.323 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCc3cnn(C)c3)CC2)ncc1Br ZINC000482842632 1073431999 /nfs/dbraw/zinc/43/19/99/1073431999.db2.gz QOMVWWVYYCQQNK-UHFFFAOYSA-N 0 0 438.286 -0.054 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000482845114 1073431950 /nfs/dbraw/zinc/43/19/50/1073431950.db2.gz QXBSSHYKVYDWDY-AWEZNQCLSA-N 0 0 427.531 -0.452 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000482845115 1073431995 /nfs/dbraw/zinc/43/19/95/1073431995.db2.gz QXBSSHYKVYDWDY-CQSZACIVSA-N 0 0 427.531 -0.452 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)c1=O ZINC000482845389 1073431059 /nfs/dbraw/zinc/43/10/59/1073431059.db2.gz ZJHJWZXNMMPWEE-UHFFFAOYSA-N 0 0 428.405 -0.934 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cc1 ZINC000482847338 1073431246 /nfs/dbraw/zinc/43/12/46/1073431246.db2.gz LIMOFAOJDGPICT-JTQLQIEISA-N 0 0 436.412 -0.038 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cc1 ZINC000482847339 1073431261 /nfs/dbraw/zinc/43/12/61/1073431261.db2.gz LIMOFAOJDGPICT-SNVBAGLBSA-N 0 0 436.412 -0.038 20 0 IBADRN COc1cc(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1C ZINC000482849396 1073430966 /nfs/dbraw/zinc/43/09/66/1073430966.db2.gz JEVGFDZQSCDZMX-UHFFFAOYSA-N 0 0 444.492 -0.849 20 0 IBADRN COc1cc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1C ZINC000482850723 1073431233 /nfs/dbraw/zinc/43/12/33/1073431233.db2.gz VTDCBNMVOOAFGQ-UHFFFAOYSA-N 0 0 432.521 -0.335 20 0 IBADRN COc1cc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1C ZINC000482850968 1073431083 /nfs/dbraw/zinc/43/10/83/1073431083.db2.gz PMVLELLGARXURL-UHFFFAOYSA-N 0 0 432.521 -0.335 20 0 IBADRN Nc1nsc(N2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)n1 ZINC000482853014 1073363983 /nfs/dbraw/zinc/36/39/83/1073363983.db2.gz FMAUXZMJAHXPSY-UHFFFAOYSA-N 0 0 431.526 -0.312 20 0 IBADRN COc1cc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1C ZINC000482853250 1073431005 /nfs/dbraw/zinc/43/10/05/1073431005.db2.gz VRUPABZJDLKBRN-UHFFFAOYSA-N 0 0 446.504 -0.808 20 0 IBADRN Cn1cc(N2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2=O)cn1 ZINC000482854706 1073432069 /nfs/dbraw/zinc/43/20/69/1073432069.db2.gz FRSGKQAGARYQBM-UHFFFAOYSA-N 0 0 426.481 -0.486 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@@H]1OC ZINC000482855706 1073432822 /nfs/dbraw/zinc/43/28/22/1073432822.db2.gz KXBXGAUQZSSTHZ-KYOSRNDESA-N 0 0 430.483 -0.413 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@@H]1OC ZINC000482855717 1073432770 /nfs/dbraw/zinc/43/27/70/1073432770.db2.gz KXBXGAUQZSSTHZ-LNSITVRQSA-N 0 0 430.483 -0.413 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@H]1OC ZINC000482855722 1073432668 /nfs/dbraw/zinc/43/26/68/1073432668.db2.gz KXBXGAUQZSSTHZ-NJZAAPMLSA-N 0 0 430.483 -0.413 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@H]1OC ZINC000482855725 1073432843 /nfs/dbraw/zinc/43/28/43/1073432843.db2.gz KXBXGAUQZSSTHZ-UXIGCNINSA-N 0 0 430.483 -0.413 20 0 IBADRN CC(C)[C@H](NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCOCC1 ZINC000482856012 1073432695 /nfs/dbraw/zinc/43/26/95/1073432695.db2.gz GKFNDBMTVVVFHD-IBGZPJMESA-N 0 0 432.525 -0.095 20 0 IBADRN CC(C)[C@@H](NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCOCC1 ZINC000482856018 1073432716 /nfs/dbraw/zinc/43/27/16/1073432716.db2.gz GKFNDBMTVVVFHD-LJQANCHMSA-N 0 0 432.525 -0.095 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)cc1 ZINC000482864501 1073431879 /nfs/dbraw/zinc/43/18/79/1073431879.db2.gz BBBILDYGDBDOQP-CABCVRRESA-N 0 0 426.539 -0.049 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)cc1 ZINC000482864502 1073432098 /nfs/dbraw/zinc/43/20/98/1073432098.db2.gz BBBILDYGDBDOQP-GJZGRUSLSA-N 0 0 426.539 -0.049 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)cc1 ZINC000482864503 1073432028 /nfs/dbraw/zinc/43/20/28/1073432028.db2.gz BBBILDYGDBDOQP-HUUCEWRRSA-N 0 0 426.539 -0.049 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)cc1 ZINC000482864504 1073431749 /nfs/dbraw/zinc/43/17/49/1073431749.db2.gz BBBILDYGDBDOQP-LSDHHAIUSA-N 0 0 426.539 -0.049 20 0 IBADRN O=C(CN1[C@@H]2CC[C@H]1CNC(=O)C2)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000482865375 1073432037 /nfs/dbraw/zinc/43/20/37/1073432037.db2.gz JSBPYLCZHRNWNH-GJYPPUQNSA-N 0 0 428.489 -0.150 20 0 IBADRN O=C(CN1[C@@H]2CC[C@H]1CNC(=O)C2)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000482865384 1073431696 /nfs/dbraw/zinc/43/16/96/1073431696.db2.gz JSBPYLCZHRNWNH-JTDSTZFVSA-N 0 0 428.489 -0.150 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000482866307 1073432575 /nfs/dbraw/zinc/43/25/75/1073432575.db2.gz FSFDSCWDWQBEDV-DOMZBBRYSA-N 0 0 426.495 -0.865 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000482866314 1073432706 /nfs/dbraw/zinc/43/27/06/1073432706.db2.gz FSFDSCWDWQBEDV-IUODEOHRSA-N 0 0 426.495 -0.865 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000482866316 1073432787 /nfs/dbraw/zinc/43/27/87/1073432787.db2.gz FSFDSCWDWQBEDV-SWLSCSKDSA-N 0 0 426.495 -0.865 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000482866317 1073432749 /nfs/dbraw/zinc/43/27/49/1073432749.db2.gz FSFDSCWDWQBEDV-WFASDCNBSA-N 0 0 426.495 -0.865 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1N(C)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000482867777 1073432725 /nfs/dbraw/zinc/43/27/25/1073432725.db2.gz PXDLSFHYEOSCNJ-UHFFFAOYSA-N 0 0 429.477 -0.234 20 0 IBADRN Cn1cc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000482867993 1073433563 /nfs/dbraw/zinc/43/35/63/1073433563.db2.gz KNHHUWJIIDBFEK-UHFFFAOYSA-N 0 0 434.522 -0.711 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H](C)c1ccc(S(=O)(=O)NC)cc1)C(=O)N1CCOCC1 ZINC000482868471 1073432813 /nfs/dbraw/zinc/43/28/13/1073432813.db2.gz MJUQBHDUTMYRBI-BBRMVZONSA-N 0 0 440.522 -0.475 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H](C)c1ccc(S(=O)(=O)NC)cc1)C(=O)N1CCOCC1 ZINC000482868472 1073432607 /nfs/dbraw/zinc/43/26/07/1073432607.db2.gz MJUQBHDUTMYRBI-CJNGLKHVSA-N 0 0 440.522 -0.475 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H](C)c1ccc(S(=O)(=O)NC)cc1)C(=O)N1CCOCC1 ZINC000482868473 1073432836 /nfs/dbraw/zinc/43/28/36/1073432836.db2.gz MJUQBHDUTMYRBI-CZUORRHYSA-N 0 0 440.522 -0.475 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H](C)c1ccc(S(=O)(=O)NC)cc1)C(=O)N1CCOCC1 ZINC000482868474 1073432759 /nfs/dbraw/zinc/43/27/59/1073432759.db2.gz MJUQBHDUTMYRBI-XJKSGUPXSA-N 0 0 440.522 -0.475 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000482870670 1073432740 /nfs/dbraw/zinc/43/27/40/1073432740.db2.gz RRKMVCFUNVMKDS-CYBMUJFWSA-N 0 0 448.505 -0.619 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000482870677 1073432647 /nfs/dbraw/zinc/43/26/47/1073432647.db2.gz RRKMVCFUNVMKDS-ZDUSSCGKSA-N 0 0 448.505 -0.619 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000482875185 1073433312 /nfs/dbraw/zinc/43/33/12/1073433312.db2.gz BUPUKMPGZWIZIH-AWEZNQCLSA-N 0 0 426.539 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000482875186 1073433493 /nfs/dbraw/zinc/43/34/93/1073433493.db2.gz BUPUKMPGZWIZIH-CQSZACIVSA-N 0 0 426.539 -0.313 20 0 IBADRN Cc1cc(C[C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)[nH]n1 ZINC000482878683 1073433450 /nfs/dbraw/zinc/43/34/50/1073433450.db2.gz IMQCMRIRTPFXLF-AWEZNQCLSA-N 0 0 429.543 -0.336 20 0 IBADRN Cc1cc(C[C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)[nH]n1 ZINC000482878684 1073433549 /nfs/dbraw/zinc/43/35/49/1073433549.db2.gz IMQCMRIRTPFXLF-CQSZACIVSA-N 0 0 429.543 -0.336 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)Cc2cc(C)n[nH]2)C1 ZINC000482881147 1073433475 /nfs/dbraw/zinc/43/34/75/1073433475.db2.gz MUIGAIPPRCTIFJ-BBRMVZONSA-N 0 0 429.543 -0.336 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](C)Cc2cc(C)n[nH]2)C1 ZINC000482881149 1073433382 /nfs/dbraw/zinc/43/33/82/1073433382.db2.gz MUIGAIPPRCTIFJ-CJNGLKHVSA-N 0 0 429.543 -0.336 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)Cc2cc(C)n[nH]2)C1 ZINC000482881151 1073433511 /nfs/dbraw/zinc/43/35/11/1073433511.db2.gz MUIGAIPPRCTIFJ-CZUORRHYSA-N 0 0 429.543 -0.336 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)Cc2cc(C)n[nH]2)C1 ZINC000482881154 1073433193 /nfs/dbraw/zinc/43/31/93/1073433193.db2.gz MUIGAIPPRCTIFJ-XJKSGUPXSA-N 0 0 429.543 -0.336 20 0 IBADRN CCn1cc(CN(CCO)CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1 ZINC000482888323 1073433426 /nfs/dbraw/zinc/43/34/26/1073433426.db2.gz JPIJXBUIGYWRHV-UHFFFAOYSA-N 0 0 427.513 -0.277 20 0 IBADRN CCn1cc(CN(CCO)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000482892211 1073433174 /nfs/dbraw/zinc/43/31/74/1073433174.db2.gz XXNAMUPJMAJTFK-MSOLQXFVSA-N 0 0 428.555 -0.108 20 0 IBADRN CCn1cc(CN(CCO)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000482892213 1073433398 /nfs/dbraw/zinc/43/33/98/1073433398.db2.gz XXNAMUPJMAJTFK-QZTJIDSGSA-N 0 0 428.555 -0.108 20 0 IBADRN CCn1cc(CN(CCO)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000482892216 1073433223 /nfs/dbraw/zinc/43/32/23/1073433223.db2.gz XXNAMUPJMAJTFK-ROUUACIJSA-N 0 0 428.555 -0.108 20 0 IBADRN CCn1cc(CN(CCO)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000482892218 1073433272 /nfs/dbraw/zinc/43/32/72/1073433272.db2.gz XXNAMUPJMAJTFK-ZWKOTPCHSA-N 0 0 428.555 -0.108 20 0 IBADRN O=C(CN(CCO)CC(F)F)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000482893709 1073434067 /nfs/dbraw/zinc/43/40/67/1073434067.db2.gz BXYDTYHOMNFMAE-UHFFFAOYSA-N 0 0 449.476 -0.150 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCCN(C(=O)Cc3cccc(F)c3)CC2)c(=O)n(C)c1=O ZINC000482898147 1073433965 /nfs/dbraw/zinc/43/39/65/1073433965.db2.gz AGYMCBWWTKKVLA-UHFFFAOYSA-N 0 0 431.468 -0.235 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC(=O)NCC(F)F)c2)CC1 ZINC000482901970 1073434140 /nfs/dbraw/zinc/43/41/40/1073434140.db2.gz WRNSRDJVGQCATC-UHFFFAOYSA-N 0 0 432.449 -0.350 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NC[C@H]2CCCS2(=O)=O)c1 ZINC000482902799 1073434005 /nfs/dbraw/zinc/43/40/05/1073434005.db2.gz HJJJDIJLOAIWJD-BXUZGUMPSA-N 0 0 432.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NC[C@@H]2CCCS2(=O)=O)c1 ZINC000482902802 1073434205 /nfs/dbraw/zinc/43/42/05/1073434205.db2.gz HJJJDIJLOAIWJD-FZMZJTMJSA-N 0 0 432.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NC[C@@H]2CCCS2(=O)=O)c1 ZINC000482902803 1073434226 /nfs/dbraw/zinc/43/42/26/1073434226.db2.gz HJJJDIJLOAIWJD-RISCZKNCSA-N 0 0 432.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NC[C@H]2CCCS2(=O)=O)c1 ZINC000482902804 1073433881 /nfs/dbraw/zinc/43/38/81/1073433881.db2.gz HJJJDIJLOAIWJD-SMDDNHRTSA-N 0 0 432.524 -0.202 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCC(=O)NCC(F)F ZINC000482902971 1073434174 /nfs/dbraw/zinc/43/41/74/1073434174.db2.gz ISKFIEZEEMLDSE-UHFFFAOYSA-N 0 0 446.476 -0.203 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCCS3(=O)=O)CC2)C[C@@H](C)O1 ZINC000482904314 1073434196 /nfs/dbraw/zinc/43/41/96/1073434196.db2.gz LSTNPBGOXVEQOH-KFWWJZLASA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCS3(=O)=O)CC2)C[C@H](C)O1 ZINC000482904320 1073434235 /nfs/dbraw/zinc/43/42/35/1073434235.db2.gz LSTNPBGOXVEQOH-QLFBSQMISA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCS3(=O)=O)CC2)C[C@@H](C)O1 ZINC000482904322 1073434121 /nfs/dbraw/zinc/43/41/21/1073434121.db2.gz LSTNPBGOXVEQOH-RBSFLKMASA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCCS3(=O)=O)CC2)C[C@H](C)O1 ZINC000482904324 1073434185 /nfs/dbraw/zinc/43/41/85/1073434185.db2.gz LSTNPBGOXVEQOH-ZNMIVQPWSA-N 0 0 438.572 -0.755 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC(=O)NCC(F)F)cc2)CC1 ZINC000482906652 1073434634 /nfs/dbraw/zinc/43/46/34/1073434634.db2.gz WCBIHZKHXQEWNA-UHFFFAOYSA-N 0 0 432.449 -0.350 20 0 IBADRN CCn1nccc1CN(CCO)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000482908241 1073434757 /nfs/dbraw/zinc/43/47/57/1073434757.db2.gz YQPLVKPCYIONBU-MSOLQXFVSA-N 0 0 428.555 -0.108 20 0 IBADRN CCn1nccc1CN(CCO)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000482908243 1073434784 /nfs/dbraw/zinc/43/47/84/1073434784.db2.gz YQPLVKPCYIONBU-QZTJIDSGSA-N 0 0 428.555 -0.108 20 0 IBADRN CCn1nccc1CN(CCO)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000482908244 1073434709 /nfs/dbraw/zinc/43/47/09/1073434709.db2.gz YQPLVKPCYIONBU-ROUUACIJSA-N 0 0 428.555 -0.108 20 0 IBADRN CCn1nccc1CN(CCO)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000482908246 1073434646 /nfs/dbraw/zinc/43/46/46/1073434646.db2.gz YQPLVKPCYIONBU-ZWKOTPCHSA-N 0 0 428.555 -0.108 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4cccnn4)CC3)C2=O)n(C)n1 ZINC000482909740 1073434743 /nfs/dbraw/zinc/43/47/43/1073434743.db2.gz HZZOOLJDLVLPSY-KRWDZBQOSA-N 0 0 440.508 -0.525 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4cccnn4)CC3)C2=O)n(C)n1 ZINC000482909749 1073434761 /nfs/dbraw/zinc/43/47/61/1073434761.db2.gz HZZOOLJDLVLPSY-QGZVFWFLSA-N 0 0 440.508 -0.525 20 0 IBADRN O=C(NCc1cccnn1)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000482909969 1073434670 /nfs/dbraw/zinc/43/46/70/1073434670.db2.gz FXSQEYHTDARTPY-UHFFFAOYSA-N 0 0 425.417 -0.096 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c(OC)c1OC ZINC000482910478 1073434621 /nfs/dbraw/zinc/43/46/21/1073434621.db2.gz ZXLYXUHBCVZDSC-UHFFFAOYSA-N 0 0 445.476 -0.020 20 0 IBADRN O=C(NCC[C@H]1COc2ccccc2O1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000482912924 1073434702 /nfs/dbraw/zinc/43/47/02/1073434702.db2.gz JLAARWAGWZAWNB-INIZCTEOSA-N 0 0 432.477 -0.071 20 0 IBADRN O=C(NCC[C@@H]1COc2ccccc2O1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000482912928 1073434675 /nfs/dbraw/zinc/43/46/75/1073434675.db2.gz JLAARWAGWZAWNB-MRXNPFEDSA-N 0 0 432.477 -0.071 20 0 IBADRN Cc1cc(C[C@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[nH]n1 ZINC000482914492 1073434157 /nfs/dbraw/zinc/43/41/57/1073434157.db2.gz XQGJSTXCJFZDIY-BBRMVZONSA-N 0 0 427.527 -0.582 20 0 IBADRN Cc1cc(C[C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[nH]n1 ZINC000482914493 1073434090 /nfs/dbraw/zinc/43/40/90/1073434090.db2.gz XQGJSTXCJFZDIY-CJNGLKHVSA-N 0 0 427.527 -0.582 20 0 IBADRN Cc1cc(C[C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)[nH]n1 ZINC000482914494 1073434212 /nfs/dbraw/zinc/43/42/12/1073434212.db2.gz XQGJSTXCJFZDIY-CZUORRHYSA-N 0 0 427.527 -0.582 20 0 IBADRN Cc1cc(C[C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)[nH]n1 ZINC000482914495 1073434749 /nfs/dbraw/zinc/43/47/49/1073434749.db2.gz XQGJSTXCJFZDIY-XJKSGUPXSA-N 0 0 427.527 -0.582 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCC(=O)NCC(F)F)cc1 ZINC000482915340 1073434765 /nfs/dbraw/zinc/43/47/65/1073434765.db2.gz JDVVMXSPBAZCNA-UHFFFAOYSA-N 0 0 434.465 -0.056 20 0 IBADRN CCn1nccc1CN(CCO)CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000482919245 1073434715 /nfs/dbraw/zinc/43/47/15/1073434715.db2.gz VDNHSWHXSWZHRW-UHFFFAOYSA-N 0 0 427.513 -0.277 20 0 IBADRN O=C(CN1CCN(Cc2cccc(O)c2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000482923228 1073434736 /nfs/dbraw/zinc/43/47/36/1073434736.db2.gz AQDAVOGFSSJQIW-IBGZPJMESA-N 0 0 436.578 -0.159 20 0 IBADRN O=C(CN1CCN(Cc2cccc(O)c2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000482923232 1073434681 /nfs/dbraw/zinc/43/46/81/1073434681.db2.gz AQDAVOGFSSJQIW-LJQANCHMSA-N 0 0 436.578 -0.159 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCC(=O)NCC(F)F)c1 ZINC000482925557 1073435356 /nfs/dbraw/zinc/43/53/56/1073435356.db2.gz WXLMQVYZSXUXOB-UHFFFAOYSA-N 0 0 434.465 -0.115 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCc2ccc(OC)c(OC)c2OC)CC1 ZINC000482930919 1073435507 /nfs/dbraw/zinc/43/55/07/1073435507.db2.gz LKPORYBSZMFIFE-UHFFFAOYSA-N 0 0 436.509 -0.349 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCc1ccc(OC)c(OC)c1OC ZINC000482934816 1073435471 /nfs/dbraw/zinc/43/54/71/1073435471.db2.gz RRBHBDLAEPTJDK-AWEZNQCLSA-N 0 0 443.522 -0.089 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCc1ccc(OC)c(OC)c1OC ZINC000482934817 1073435346 /nfs/dbraw/zinc/43/53/46/1073435346.db2.gz RRBHBDLAEPTJDK-CQSZACIVSA-N 0 0 443.522 -0.089 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCc2ccc(OC)c(OC)c2OC)CC1 ZINC000482935311 1073435328 /nfs/dbraw/zinc/43/53/28/1073435328.db2.gz UHCJZBXVZDPYLJ-UHFFFAOYSA-N 0 0 443.522 -0.135 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c(OC)c1OC ZINC000482936432 1073435475 /nfs/dbraw/zinc/43/54/75/1073435475.db2.gz ZBKCRZRZEYHLKR-UHFFFAOYSA-N 0 0 429.495 -0.525 20 0 IBADRN Cc1cc(C[C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)[nH]n1 ZINC000482936664 1073435266 /nfs/dbraw/zinc/43/52/66/1073435266.db2.gz QVZJKPLOPGDMJN-GFCCVEGCSA-N 0 0 437.526 -0.660 20 0 IBADRN Cc1cc(C[C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)[nH]n1 ZINC000482936665 1073435461 /nfs/dbraw/zinc/43/54/61/1073435461.db2.gz QVZJKPLOPGDMJN-LBPRGKRZSA-N 0 0 437.526 -0.660 20 0 IBADRN COc1ccccc1[C@](N)(C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(F)(F)F ZINC000482944866 1073435501 /nfs/dbraw/zinc/43/55/01/1073435501.db2.gz LGJISWMQXJQSJZ-INIZCTEOSA-N 0 0 427.387 -0.231 20 0 IBADRN COc1ccccc1[C@@](N)(C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(F)(F)F ZINC000482944874 1073435450 /nfs/dbraw/zinc/43/54/50/1073435450.db2.gz LGJISWMQXJQSJZ-MRXNPFEDSA-N 0 0 427.387 -0.231 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC(=O)NCC(F)F)c1 ZINC000482949111 1073435438 /nfs/dbraw/zinc/43/54/38/1073435438.db2.gz QENOATMEGJRWSK-UHFFFAOYSA-N 0 0 434.465 -0.056 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c(OC)c1OC ZINC000482951682 1073435387 /nfs/dbraw/zinc/43/53/87/1073435387.db2.gz BXKIOCSLQDWSBE-UHFFFAOYSA-N 0 0 436.509 -0.221 20 0 IBADRN COc1ccc(CC(=O)NCC(=O)NCC(F)F)cc1S(=O)(=O)N1CCOCC1 ZINC000482953571 1073435980 /nfs/dbraw/zinc/43/59/80/1073435980.db2.gz VKVUGLUPISOGIP-UHFFFAOYSA-N 0 0 435.449 -0.244 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCc2ccc(OC)c(OC)c2OC)C1 ZINC000482958209 1073436130 /nfs/dbraw/zinc/43/61/30/1073436130.db2.gz NDEJIRFGECQZLT-AWEZNQCLSA-N 0 0 443.522 -0.089 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCc2ccc(OC)c(OC)c2OC)C1 ZINC000482958211 1073436003 /nfs/dbraw/zinc/43/60/03/1073436003.db2.gz NDEJIRFGECQZLT-CQSZACIVSA-N 0 0 443.522 -0.089 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(OC)c1OC ZINC000482958226 1073436117 /nfs/dbraw/zinc/43/61/17/1073436117.db2.gz BSBMPVCTXCTRSJ-CQSZACIVSA-N 0 0 443.522 -0.089 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000482972517 1073436137 /nfs/dbraw/zinc/43/61/37/1073436137.db2.gz ZXKNBPNLRZMPRV-DLBZAZTESA-N 0 0 425.507 -0.371 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000482977254 1073435993 /nfs/dbraw/zinc/43/59/93/1073435993.db2.gz JFCLUPAMTOQDPC-UHFFFAOYSA-N 0 0 428.486 -0.022 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)c2ccc(F)cc2)CC1)N1CCOCC1 ZINC000482982632 1073435961 /nfs/dbraw/zinc/43/59/61/1073435961.db2.gz NFUKKSUNGGYTTE-UHFFFAOYSA-N 0 0 442.513 -0.215 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC000482984001 1073436040 /nfs/dbraw/zinc/43/60/40/1073436040.db2.gz APWZVLDCAODGRP-AWEZNQCLSA-N 0 0 435.510 -0.878 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC000482984013 1073436053 /nfs/dbraw/zinc/43/60/53/1073436053.db2.gz APWZVLDCAODGRP-CQSZACIVSA-N 0 0 435.510 -0.878 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000482991008 1073436104 /nfs/dbraw/zinc/43/61/04/1073436104.db2.gz VPLGQPRTYFICBZ-CVEARBPZSA-N 0 0 432.543 -0.043 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000482991022 1073436111 /nfs/dbraw/zinc/43/61/11/1073436111.db2.gz VPLGQPRTYFICBZ-HOTGVXAUSA-N 0 0 432.543 -0.043 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000482991025 1073436123 /nfs/dbraw/zinc/43/61/23/1073436123.db2.gz VPLGQPRTYFICBZ-HZPDHXFCSA-N 0 0 432.543 -0.043 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000482991027 1073436072 /nfs/dbraw/zinc/43/60/72/1073436072.db2.gz VPLGQPRTYFICBZ-JKSUJKDBSA-N 0 0 432.543 -0.043 20 0 IBADRN O=C(CCNC(=O)NCCS(=O)(=O)c1ccc(F)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000482994011 1073435948 /nfs/dbraw/zinc/43/59/48/1073435948.db2.gz ZATFXKDTQHBSPH-CYBMUJFWSA-N 0 0 435.499 -0.408 20 0 IBADRN O=C(CCNC(=O)NCCS(=O)(=O)c1ccc(F)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC000482994016 1073435974 /nfs/dbraw/zinc/43/59/74/1073435974.db2.gz ZATFXKDTQHBSPH-ZDUSSCGKSA-N 0 0 435.499 -0.408 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(OC)c1OC ZINC000482994968 1073436087 /nfs/dbraw/zinc/43/60/87/1073436087.db2.gz OCNQZLBZGSOROR-UHFFFAOYSA-N 0 0 448.520 -0.206 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000482997461 1073436606 /nfs/dbraw/zinc/43/66/06/1073436606.db2.gz HAUFWEKMCPOBBD-UHFFFAOYSA-N 0 0 426.470 -0.268 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC(=O)N(CC)C2)c(=O)[nH]c1=O ZINC000482999360 1073436703 /nfs/dbraw/zinc/43/67/03/1073436703.db2.gz LKQWUVHAAVMPIE-UHFFFAOYSA-N 0 0 438.485 -0.651 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000483002375 1073436671 /nfs/dbraw/zinc/43/66/71/1073436671.db2.gz VKSPSJCLVRCHAL-UHFFFAOYSA-N 0 0 442.513 -0.215 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2CC(=O)Nc2cccc(S(N)(=O)=O)c2)cn1 ZINC000483002549 1073436695 /nfs/dbraw/zinc/43/66/95/1073436695.db2.gz XIDGMQVVMCUMDS-INIZCTEOSA-N 0 0 441.535 -0.039 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2CC(=O)Nc2cccc(S(N)(=O)=O)c2)cn1 ZINC000483002550 1073436770 /nfs/dbraw/zinc/43/67/70/1073436770.db2.gz XIDGMQVVMCUMDS-MRXNPFEDSA-N 0 0 441.535 -0.039 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2CC(=O)NCC(C)(C)N2CCOCC2)cn1 ZINC000483003806 1073436568 /nfs/dbraw/zinc/43/65/68/1073436568.db2.gz JSCHAJMXMZDJSR-KRWDZBQOSA-N 0 0 427.571 -0.099 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2CC(=O)NCC(C)(C)N2CCOCC2)cn1 ZINC000483003807 1073436759 /nfs/dbraw/zinc/43/67/59/1073436759.db2.gz JSCHAJMXMZDJSR-QGZVFWFLSA-N 0 0 427.571 -0.099 20 0 IBADRN COCC(=O)NC1CN(C(=O)NC2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000483012852 1073436789 /nfs/dbraw/zinc/43/67/89/1073436789.db2.gz CXKPJEZLRHIMIL-GOSISDBHSA-N 0 0 432.477 -0.026 20 0 IBADRN COCC(=O)NC1CN(C(=O)NC2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000483012862 1073436591 /nfs/dbraw/zinc/43/65/91/1073436591.db2.gz CXKPJEZLRHIMIL-SFHVURJKSA-N 0 0 432.477 -0.026 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2CC(=O)NCCCN(C)S(C)(=O)=O)cn1 ZINC000483013139 1073436793 /nfs/dbraw/zinc/43/67/93/1073436793.db2.gz KKISQSAHNAGYEA-HNNXBMFYSA-N 0 0 435.572 -0.928 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2CC(=O)NCCCN(C)S(C)(=O)=O)cn1 ZINC000483013142 1073436777 /nfs/dbraw/zinc/43/67/77/1073436777.db2.gz KKISQSAHNAGYEA-OAHLLOKOSA-N 0 0 435.572 -0.928 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2CC(=O)N2CCN(c3cnccn3)CC2)cn1 ZINC000483013805 1073436717 /nfs/dbraw/zinc/43/67/17/1073436717.db2.gz GXZPPOMUJLPPBD-KRWDZBQOSA-N 0 0 433.538 -0.187 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2CC(=O)N2CCN(c3cnccn3)CC2)cn1 ZINC000483014325 1073436626 /nfs/dbraw/zinc/43/66/26/1073436626.db2.gz GXZPPOMUJLPPBD-QGZVFWFLSA-N 0 0 433.538 -0.187 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCS(=O)(=O)C[C@@H]2c2cnn(CC)c2)c(=O)[nH]c1=O ZINC000483020511 1073436786 /nfs/dbraw/zinc/43/67/86/1073436786.db2.gz GKOWOGBPOBJWNC-CYBMUJFWSA-N 0 0 438.510 -0.188 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCS(=O)(=O)C[C@H]2c2cnn(CC)c2)c(=O)[nH]c1=O ZINC000483020514 1073436750 /nfs/dbraw/zinc/43/67/50/1073436750.db2.gz GKOWOGBPOBJWNC-ZDUSSCGKSA-N 0 0 438.510 -0.188 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2CC(=O)Nc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000483020721 1073436745 /nfs/dbraw/zinc/43/67/45/1073436745.db2.gz PRLKVUYKLCEMDH-INIZCTEOSA-N 0 0 441.535 -0.039 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2CC(=O)Nc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000483020723 1073436731 /nfs/dbraw/zinc/43/67/31/1073436731.db2.gz PRLKVUYKLCEMDH-MRXNPFEDSA-N 0 0 441.535 -0.039 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1cccnc1 ZINC000483024855 1073437300 /nfs/dbraw/zinc/43/73/00/1073437300.db2.gz YOIIOGABQVKIPN-LBPRGKRZSA-N 0 0 435.462 -0.516 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000483025176 1073437165 /nfs/dbraw/zinc/43/71/65/1073437165.db2.gz MWPQRKGOMJZKEB-INIZCTEOSA-N 0 0 432.543 -0.043 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000483025177 1073437258 /nfs/dbraw/zinc/43/72/58/1073437258.db2.gz MWPQRKGOMJZKEB-MRXNPFEDSA-N 0 0 432.543 -0.043 20 0 IBADRN CCN1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cc(OC)c2OC)CC1=O ZINC000483030628 1073437389 /nfs/dbraw/zinc/43/73/89/1073437389.db2.gz QUHGFCVJSCNFKV-UHFFFAOYSA-N 0 0 427.479 -0.014 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2CC(=O)NCc2ccc(OCC(N)=O)cc2)cn1 ZINC000483030897 1073437268 /nfs/dbraw/zinc/43/72/68/1073437268.db2.gz XNPRGXJDFCYOHD-GOSISDBHSA-N 0 0 449.533 -0.145 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2CC(=O)NCc2ccc(OCC(N)=O)cc2)cn1 ZINC000483030901 1073437149 /nfs/dbraw/zinc/43/71/49/1073437149.db2.gz XNPRGXJDFCYOHD-SFHVURJKSA-N 0 0 449.533 -0.145 20 0 IBADRN CCN1CN(C(=O)CC[C@H](NC(=O)c2ccccc2)C(=O)N2CC(=O)N(CC)C2)CC1=O ZINC000483034357 1073436767 /nfs/dbraw/zinc/43/67/67/1073436767.db2.gz BKCOWBUIKKNAGG-KRWDZBQOSA-N 0 0 443.504 -0.138 20 0 IBADRN CCN1CN(C(=O)CC[C@@H](NC(=O)c2ccccc2)C(=O)N2CC(=O)N(CC)C2)CC1=O ZINC000483034358 1073436655 /nfs/dbraw/zinc/43/66/55/1073436655.db2.gz BKCOWBUIKKNAGG-QGZVFWFLSA-N 0 0 443.504 -0.138 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000483034538 1073437105 /nfs/dbraw/zinc/43/71/05/1073437105.db2.gz DKSRJHOMJNYEMR-INMHGKMJSA-N 0 0 434.486 -0.520 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000483034539 1073437251 /nfs/dbraw/zinc/43/72/51/1073437251.db2.gz DKSRJHOMJNYEMR-VYDXJSESSA-N 0 0 434.486 -0.520 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)c1cccnc1 ZINC000483046002 1073437313 /nfs/dbraw/zinc/43/73/13/1073437313.db2.gz VUZRJAGINFHXHK-JYJNAYRXSA-N 0 0 439.538 -0.243 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1cccnc1 ZINC000483046011 1073437189 /nfs/dbraw/zinc/43/71/89/1073437189.db2.gz VUZRJAGINFHXHK-OAGGEKHMSA-N 0 0 439.538 -0.243 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1cccnc1 ZINC000483046014 1073437213 /nfs/dbraw/zinc/43/72/13/1073437213.db2.gz VUZRJAGINFHXHK-XHSDSOJGSA-N 0 0 439.538 -0.243 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000483048383 1073438065 /nfs/dbraw/zinc/43/80/65/1073438065.db2.gz SZJBOXNFWSZYHM-AOIWGVFYSA-N 0 0 444.550 -0.042 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000483048384 1073437936 /nfs/dbraw/zinc/43/79/36/1073437936.db2.gz SZJBOXNFWSZYHM-LMMKCTJWSA-N 0 0 444.550 -0.042 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000483048385 1073438056 /nfs/dbraw/zinc/43/80/56/1073438056.db2.gz SZJBOXNFWSZYHM-LNLFQRSKSA-N 0 0 444.550 -0.042 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000483048386 1073437872 /nfs/dbraw/zinc/43/78/72/1073437872.db2.gz SZJBOXNFWSZYHM-SCTDSRPQSA-N 0 0 444.550 -0.042 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCc3ccc(S(=O)(=O)CCO)cc3)nc2n(C)c1=O ZINC000483056127 1073438059 /nfs/dbraw/zinc/43/80/59/1073438059.db2.gz JMNUAGIKSNUEHN-UHFFFAOYSA-N 0 0 432.458 -0.672 20 0 IBADRN Cc1nsc(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000483056319 1073438016 /nfs/dbraw/zinc/43/80/16/1073438016.db2.gz KKYRCXHBBHATLX-HNNXBMFYSA-N 0 0 428.584 -0.700 20 0 IBADRN Cc1nsc(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000483056320 1073438052 /nfs/dbraw/zinc/43/80/52/1073438052.db2.gz KKYRCXHBBHATLX-OAHLLOKOSA-N 0 0 428.584 -0.700 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCc3ccc(S(=O)(=O)CCO)cc3)cnc2n(C)c1=O ZINC000483056895 1073437283 /nfs/dbraw/zinc/43/72/83/1073437283.db2.gz LVUUHJHMDVVLTH-UHFFFAOYSA-N 0 0 432.458 -0.672 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N[C@H]2CCCN(Cc3ccc(F)cc3F)C2=O)on1 ZINC000483058710 1073437320 /nfs/dbraw/zinc/43/73/20/1073437320.db2.gz JEMCHKLEWVNCQR-AWEZNQCLSA-N 0 0 435.387 -0.025 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N[C@@H]2CCCN(Cc3ccc(F)cc3F)C2=O)on1 ZINC000483058711 1073438068 /nfs/dbraw/zinc/43/80/68/1073438068.db2.gz JEMCHKLEWVNCQR-CQSZACIVSA-N 0 0 435.387 -0.025 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)on1 ZINC000483059476 1073437338 /nfs/dbraw/zinc/43/73/38/1073437338.db2.gz MKHGSTGMSXTHAB-UHFFFAOYSA-N 0 0 433.396 -0.721 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCc2ccc(S(=O)(=O)CCO)cc2)c1 ZINC000483064425 1073437890 /nfs/dbraw/zinc/43/78/90/1073437890.db2.gz VOHCLAKHQJAHPM-UHFFFAOYSA-N 0 0 445.519 -0.365 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)on1 ZINC000483071308 1073437846 /nfs/dbraw/zinc/43/78/46/1073437846.db2.gz ZUPNVDGISZONGB-UHFFFAOYSA-N 0 0 439.425 -0.938 20 0 IBADRN O=C(CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NCC(F)F ZINC000483073206 1073437969 /nfs/dbraw/zinc/43/79/69/1073437969.db2.gz UBBATBHHCQPVBD-UHFFFAOYSA-N 0 0 434.469 -0.786 20 0 IBADRN COC(=O)[C@]12CN(CC(=O)Nc3cc(S(N)(=O)=O)ccc3OC)C[C@H]1COCC2 ZINC000483074679 1072823363 /nfs/dbraw/zinc/82/33/63/1072823363.db2.gz NGCNODMHGAQBJD-KPZWWZAWSA-N 0 0 427.479 -0.207 20 0 IBADRN O=C(CNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1)NCC(F)F ZINC000483078598 1073438547 /nfs/dbraw/zinc/43/85/47/1073438547.db2.gz YEIQZKGHLAIGRA-UHFFFAOYSA-N 0 0 426.467 -0.051 20 0 IBADRN COC(=O)[C@]12CN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)C[C@H]1COCC2 ZINC000483082918 1072823544 /nfs/dbraw/zinc/82/35/44/1072823544.db2.gz AQZKWTUSJMHZMT-MIALQEHNSA-N 0 0 444.550 -0.307 20 0 IBADRN COC(=O)[C@]12CN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)C[C@H]1COCC2 ZINC000483082926 1072823744 /nfs/dbraw/zinc/82/37/44/1072823744.db2.gz AQZKWTUSJMHZMT-OGNFBWPZSA-N 0 0 444.550 -0.307 20 0 IBADRN COC(=O)[C@]12CN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)C[C@H]1COCC2 ZINC000483082930 1072823471 /nfs/dbraw/zinc/82/34/71/1072823471.db2.gz AQZKWTUSJMHZMT-PFRQMTDMSA-N 0 0 444.550 -0.307 20 0 IBADRN COC(=O)[C@]12CN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)C[C@H]1COCC2 ZINC000483082934 1072823582 /nfs/dbraw/zinc/82/35/82/1072823582.db2.gz AQZKWTUSJMHZMT-TVKQPGBESA-N 0 0 444.550 -0.307 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2=O)cc1 ZINC000483095021 1073438657 /nfs/dbraw/zinc/43/86/57/1073438657.db2.gz YTIGAYNWOWCDRL-HNNXBMFYSA-N 0 0 433.490 -0.382 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2=O)cc1 ZINC000483095075 1073438522 /nfs/dbraw/zinc/43/85/22/1073438522.db2.gz YTIGAYNWOWCDRL-OAHLLOKOSA-N 0 0 433.490 -0.382 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)on1 ZINC000483095522 1073438483 /nfs/dbraw/zinc/43/84/83/1073438483.db2.gz VAQPJXCBXCYFAM-UHFFFAOYSA-N 0 0 440.460 -0.877 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000483103147 1073438704 /nfs/dbraw/zinc/43/87/04/1073438704.db2.gz WDVDTIGFTTYVQK-UHFFFAOYSA-N 0 0 437.474 -0.468 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3Cl)CC2)on1 ZINC000483107854 1073438694 /nfs/dbraw/zinc/43/86/94/1073438694.db2.gz JZFGSUMSSIBHBY-UHFFFAOYSA-N 0 0 448.867 -0.174 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)cc1 ZINC000483108285 1073438412 /nfs/dbraw/zinc/43/84/12/1073438412.db2.gz MEAROZDIOARJOP-UHFFFAOYSA-N 0 0 443.460 -0.298 20 0 IBADRN COC(=O)[C@@H](C)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000483109834 1073438501 /nfs/dbraw/zinc/43/85/01/1073438501.db2.gz KXCOUIHBCLQNNQ-CQSZACIVSA-N 0 0 441.506 -0.014 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CC1 ZINC000483111818 1073438738 /nfs/dbraw/zinc/43/87/38/1073438738.db2.gz ZAQPPJWEWYJPQY-UHFFFAOYSA-N 0 0 442.476 -0.265 20 0 IBADRN Cc1cccc2c(O)c(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cnc12 ZINC000483128218 1073438644 /nfs/dbraw/zinc/43/86/44/1073438644.db2.gz YRJJMYXNUVAHPU-HNNXBMFYSA-N 0 0 446.529 -0.102 20 0 IBADRN Cc1cccc2c(O)c(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cnc12 ZINC000483128222 1073438377 /nfs/dbraw/zinc/43/83/77/1073438377.db2.gz YRJJMYXNUVAHPU-OAHLLOKOSA-N 0 0 446.529 -0.102 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000483128492 1073438600 /nfs/dbraw/zinc/43/86/00/1073438600.db2.gz GDYVPXMQEMPFSX-UHFFFAOYSA-N 0 0 442.432 -0.661 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000483130741 1073439334 /nfs/dbraw/zinc/43/93/34/1073439334.db2.gz XQVCBPGYELDQTK-UHFFFAOYSA-N 0 0 427.479 -0.558 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N[C@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000483133481 1073439461 /nfs/dbraw/zinc/43/94/61/1073439461.db2.gz ZHVOSYHBCRCHKJ-AAEUAGOBSA-N 0 0 449.327 -0.252 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000483133482 1073439348 /nfs/dbraw/zinc/43/93/48/1073439348.db2.gz ZHVOSYHBCRCHKJ-DGCLKSJQSA-N 0 0 449.327 -0.252 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N[C@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000483133483 1073439384 /nfs/dbraw/zinc/43/93/84/1073439384.db2.gz ZHVOSYHBCRCHKJ-WCQYABFASA-N 0 0 449.327 -0.252 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000483133484 1073439317 /nfs/dbraw/zinc/43/93/17/1073439317.db2.gz ZHVOSYHBCRCHKJ-YPMHNXCESA-N 0 0 449.327 -0.252 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000483134288 1073439395 /nfs/dbraw/zinc/43/93/95/1073439395.db2.gz LFTWPKURSRQDLS-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000483144645 1072824460 /nfs/dbraw/zinc/82/44/60/1072824460.db2.gz CIFFETPPSJWSGT-HNNXBMFYSA-N 0 0 426.481 -0.262 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000483144646 1072824352 /nfs/dbraw/zinc/82/43/52/1072824352.db2.gz CIFFETPPSJWSGT-OAHLLOKOSA-N 0 0 426.481 -0.262 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)c2ccc(C(=O)N3CC(OCC(=O)N(C)C)C3)cc2)C1 ZINC000483145594 1073439475 /nfs/dbraw/zinc/43/94/75/1073439475.db2.gz QCESTKYNKWHDSF-UHFFFAOYSA-N 0 0 446.504 -0.455 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCOCC(N)=O)c1 ZINC000483157981 1073439410 /nfs/dbraw/zinc/43/94/10/1073439410.db2.gz TUNZVBJKJRAKFU-UHFFFAOYSA-N 0 0 442.538 -0.215 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCn2ncnn2)c1 ZINC000483159108 1073439491 /nfs/dbraw/zinc/43/94/91/1073439491.db2.gz VYAUVHYNTZJSKW-UHFFFAOYSA-N 0 0 437.526 -0.030 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCC(=O)NCC(F)F)CC1 ZINC000483159609 1073439296 /nfs/dbraw/zinc/43/92/96/1073439296.db2.gz XAMOUTHOBWLCDU-UHFFFAOYSA-N 0 0 433.481 -0.197 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000483160023 1073325941 /nfs/dbraw/zinc/32/59/41/1073325941.db2.gz POEPDOQEFIKLFL-UHFFFAOYSA-N 0 0 425.471 -0.364 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CS(C)(=O)=O)CC1 ZINC000483161896 1073439312 /nfs/dbraw/zinc/43/93/12/1073439312.db2.gz DPOCTCOGCCYGNB-UHFFFAOYSA-N 0 0 438.506 -0.635 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CC1 ZINC000483162363 1073439434 /nfs/dbraw/zinc/43/94/34/1073439434.db2.gz GIRHCEPBGTUUCB-GFCCVEGCSA-N 0 0 446.439 -0.300 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CC1 ZINC000483162364 1073439467 /nfs/dbraw/zinc/43/94/67/1073439467.db2.gz GIRHCEPBGTUUCB-LBPRGKRZSA-N 0 0 446.439 -0.300 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)c1C ZINC000483162366 1073439455 /nfs/dbraw/zinc/43/94/55/1073439455.db2.gz GJVIDYRJVGVKEH-UHFFFAOYSA-N 0 0 442.476 -0.211 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CC1 ZINC000483163492 1073439249 /nfs/dbraw/zinc/43/92/49/1073439249.db2.gz ATONQUOHSUXVHK-UHFFFAOYSA-N 0 0 442.476 -0.211 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000483164040 1073440323 /nfs/dbraw/zinc/44/03/23/1073440323.db2.gz LOBCETFAZCRFIC-UHFFFAOYSA-N 0 0 438.506 -0.635 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(C(=O)Cc3ccc(Cl)cc3)CC2)on1 ZINC000483164197 1073440215 /nfs/dbraw/zinc/44/02/15/1073440215.db2.gz DMGSPJMWMNVMTL-UHFFFAOYSA-N 0 0 433.852 -0.043 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000483164266 1073440086 /nfs/dbraw/zinc/44/00/86/1073440086.db2.gz MTCWMESSIXESEM-UHFFFAOYSA-N 0 0 438.506 -0.635 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000483164473 1073440281 /nfs/dbraw/zinc/44/02/81/1073440281.db2.gz NLGLTNMITWKSJV-UHFFFAOYSA-N 0 0 448.461 -0.214 20 0 IBADRN C[C@H]1CN(CCOC2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C[C@H](C)O1 ZINC000483164914 1073440220 /nfs/dbraw/zinc/44/02/20/1073440220.db2.gz QKKXNEFDODDFKF-KBPBESRZSA-N 0 0 437.497 -0.494 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C[C@H](C)O1 ZINC000483164921 1073440145 /nfs/dbraw/zinc/44/01/45/1073440145.db2.gz QKKXNEFDODDFKF-OKILXGFUSA-N 0 0 437.497 -0.494 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C[C@@H](C)O1 ZINC000483164923 1073440188 /nfs/dbraw/zinc/44/01/88/1073440188.db2.gz QKKXNEFDODDFKF-ZIAGYGMSSA-N 0 0 437.497 -0.494 20 0 IBADRN Cn1cc(-c2ncc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)s2)cn1 ZINC000483165648 1073440248 /nfs/dbraw/zinc/44/02/48/1073440248.db2.gz SWYFQSPOWVNIQM-UHFFFAOYSA-N 0 0 426.524 -0.122 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)on1 ZINC000483165754 1073440113 /nfs/dbraw/zinc/44/01/13/1073440113.db2.gz IPYJUKGRRNPXQM-UHFFFAOYSA-N 0 0 435.462 -0.936 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)nc1 ZINC000483166454 1073440132 /nfs/dbraw/zinc/44/01/32/1073440132.db2.gz WLJOUXCYVUKKSQ-UHFFFAOYSA-N 0 0 430.421 -0.690 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCCN(c3nnc(C(F)(F)F)s3)CC2)on1 ZINC000483169924 1073440309 /nfs/dbraw/zinc/44/03/09/1073440309.db2.gz YHCIFBIVYJSIQN-UHFFFAOYSA-N 0 0 447.399 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)c1 ZINC000483179123 1072824158 /nfs/dbraw/zinc/82/41/58/1072824158.db2.gz BIBLGFDYKRDNAW-NEPJUHHUSA-N 0 0 434.478 -0.186 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)c1 ZINC000483179144 1072824151 /nfs/dbraw/zinc/82/41/51/1072824151.db2.gz BIBLGFDYKRDNAW-NWDGAFQWSA-N 0 0 434.478 -0.186 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)c1 ZINC000483179146 1072824134 /nfs/dbraw/zinc/82/41/34/1072824134.db2.gz BIBLGFDYKRDNAW-RYUDHWBXSA-N 0 0 434.478 -0.186 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)c1 ZINC000483179148 1072824494 /nfs/dbraw/zinc/82/44/94/1072824494.db2.gz BIBLGFDYKRDNAW-VXGBXAGGSA-N 0 0 434.478 -0.186 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCCN2CCN(c3cccc(Cl)c3)CC2)on1 ZINC000483187236 1073440776 /nfs/dbraw/zinc/44/07/76/1073440776.db2.gz BPDDAAFIGHGCKE-UHFFFAOYSA-N 0 0 434.884 -0.019 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(C(=O)Cc3cccc(Cl)c3)CC2)on1 ZINC000483187273 1073441117 /nfs/dbraw/zinc/44/11/17/1073441117.db2.gz CCDJBYQVLKLVAV-UHFFFAOYSA-N 0 0 433.852 -0.043 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)NCc3cc(C(N)=O)no3)C2)cc(OC)c1 ZINC000483189414 1073440984 /nfs/dbraw/zinc/44/09/84/1073440984.db2.gz IXZUBVARVTUKNO-CYBMUJFWSA-N 0 0 431.449 -0.202 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)NCc3cc(C(N)=O)no3)C2)cc(OC)c1 ZINC000483189415 1073441077 /nfs/dbraw/zinc/44/10/77/1073441077.db2.gz IXZUBVARVTUKNO-ZDUSSCGKSA-N 0 0 431.449 -0.202 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)cc1 ZINC000483190037 1073441042 /nfs/dbraw/zinc/44/10/42/1073441042.db2.gz JNGLZJLAGPTCCY-UHFFFAOYSA-N 0 0 435.462 -0.769 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CC1)C1CCCCC1 ZINC000483190749 1073440961 /nfs/dbraw/zinc/44/09/61/1073440961.db2.gz LGNMFNZJFFHXEX-UHFFFAOYSA-N 0 0 434.497 -0.675 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)on1 ZINC000483191044 1073441052 /nfs/dbraw/zinc/44/10/52/1073441052.db2.gz LTGWYJQLLMYAPH-KRWDZBQOSA-N 0 0 440.460 -0.661 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)on1 ZINC000483191046 1073440834 /nfs/dbraw/zinc/44/08/34/1073440834.db2.gz LTGWYJQLLMYAPH-QGZVFWFLSA-N 0 0 440.460 -0.661 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CC1 ZINC000483193504 1073441100 /nfs/dbraw/zinc/44/11/00/1073441100.db2.gz PLUZOJBCCOYSNC-UHFFFAOYSA-N 0 0 429.433 -0.227 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C1 ZINC000483197671 1073440174 /nfs/dbraw/zinc/44/01/74/1073440174.db2.gz XQZHBRDEINRTLD-KBPBESRZSA-N 0 0 434.497 -0.771 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C1 ZINC000483197678 1073440301 /nfs/dbraw/zinc/44/03/01/1073440301.db2.gz XQZHBRDEINRTLD-KGLIPLIRSA-N 0 0 434.497 -0.771 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C1 ZINC000483197681 1073440292 /nfs/dbraw/zinc/44/02/92/1073440292.db2.gz XQZHBRDEINRTLD-UONOGXRCSA-N 0 0 434.497 -0.771 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C1 ZINC000483197683 1073440334 /nfs/dbraw/zinc/44/03/34/1073440334.db2.gz XQZHBRDEINRTLD-ZIAGYGMSSA-N 0 0 434.497 -0.771 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)on1 ZINC000483198317 1073440067 /nfs/dbraw/zinc/44/00/67/1073440067.db2.gz PGIWWMIJECKZPP-UHFFFAOYSA-N 0 0 446.439 -0.897 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)[C@H](C)C2)cc1 ZINC000483198399 1073440233 /nfs/dbraw/zinc/44/02/33/1073440233.db2.gz PXZIWPRDNTWZEU-GFCCVEGCSA-N 0 0 429.433 -0.086 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)[C@@H](C)C2)cc1 ZINC000483198400 1073440205 /nfs/dbraw/zinc/44/02/05/1073440205.db2.gz PXZIWPRDNTWZEU-LBPRGKRZSA-N 0 0 429.433 -0.086 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCN(CC(=O)NCCOC)CC3)cnc21 ZINC000483200032 1073440849 /nfs/dbraw/zinc/44/08/49/1073440849.db2.gz RFNFGBCJVFGWJA-UHFFFAOYSA-N 0 0 432.481 -0.573 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)c1 ZINC000483200154 1073440266 /nfs/dbraw/zinc/44/02/66/1073440266.db2.gz SAKARHYDUIDKQS-UHFFFAOYSA-N 0 0 431.449 -0.379 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)CC1 ZINC000483203960 1073441146 /nfs/dbraw/zinc/44/11/46/1073441146.db2.gz XMNUOYBSTFVXOO-UHFFFAOYSA-N 0 0 434.497 -0.771 20 0 IBADRN CCOC(=O)C1(COC)CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000483207420 1073441731 /nfs/dbraw/zinc/44/17/31/1073441731.db2.gz ZRYVMHNPDJFMQK-KRWDZBQOSA-N 0 0 445.582 -0.391 20 0 IBADRN CCOC(=O)C1(COC)CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000483207421 1073441633 /nfs/dbraw/zinc/44/16/33/1073441633.db2.gz ZRYVMHNPDJFMQK-QGZVFWFLSA-N 0 0 445.582 -0.391 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)on1 ZINC000483218802 1073441436 /nfs/dbraw/zinc/44/14/36/1073441436.db2.gz FOOGAKXLQZMUTJ-UHFFFAOYSA-N 0 0 435.462 -0.687 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)s1 ZINC000483218843 1073441393 /nfs/dbraw/zinc/44/13/93/1073441393.db2.gz GEYMXRHSLFUOIL-UHFFFAOYSA-N 0 0 436.450 -0.628 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)on1 ZINC000483219092 1073441423 /nfs/dbraw/zinc/44/14/23/1073441423.db2.gz GWTGTVBCHRUQOX-CYBMUJFWSA-N 0 0 427.483 -0.757 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)on1 ZINC000483219093 1073441700 /nfs/dbraw/zinc/44/17/00/1073441700.db2.gz GWTGTVBCHRUQOX-ZDUSSCGKSA-N 0 0 427.483 -0.757 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2=O)cc1 ZINC000483220258 1073441592 /nfs/dbraw/zinc/44/15/92/1073441592.db2.gz ARHQQSJQORLDPS-UHFFFAOYSA-N 0 0 429.433 -0.341 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)C(=O)NCc3cc(C(N)=O)no3)C2=O)c1 ZINC000483221930 1073441130 /nfs/dbraw/zinc/44/11/30/1073441130.db2.gz HPQVGYQUJRDIRJ-INIZCTEOSA-N 0 0 445.432 -0.329 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)C(=O)NCc3cc(C(N)=O)no3)C2=O)c1 ZINC000483221932 1073441182 /nfs/dbraw/zinc/44/11/82/1073441182.db2.gz HPQVGYQUJRDIRJ-MRXNPFEDSA-N 0 0 445.432 -0.329 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)c1 ZINC000483222386 1073441673 /nfs/dbraw/zinc/44/16/73/1073441673.db2.gz IRGIDSQAIHYJAD-UHFFFAOYSA-N 0 0 449.489 -0.460 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)on1 ZINC000483223511 1073441167 /nfs/dbraw/zinc/44/11/67/1073441167.db2.gz LREQVPQBVPEWNS-UHFFFAOYSA-N 0 0 432.412 -0.688 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)cc(OC)c1 ZINC000483224246 1073441020 /nfs/dbraw/zinc/44/10/20/1073441020.db2.gz NWWAFCQOVDHYCU-UHFFFAOYSA-N 0 0 431.449 -0.249 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000483225963 1073440901 /nfs/dbraw/zinc/44/09/01/1073440901.db2.gz XHHGHMIOFIXORJ-GFCCVEGCSA-N 0 0 448.567 -0.167 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000483225967 1073441584 /nfs/dbraw/zinc/44/15/84/1073441584.db2.gz XHHGHMIOFIXORJ-LBPRGKRZSA-N 0 0 448.567 -0.167 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(c4cn[nH]c4)C3)CC2)C[C@@H](C)O1 ZINC000483226582 1072824504 /nfs/dbraw/zinc/82/45/04/1072824504.db2.gz JZXYJXKNDJRMCK-KFWWJZLASA-N 0 0 440.526 -0.739 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(c4cn[nH]c4)C3)CC2)C[C@H](C)O1 ZINC000483226587 1072824415 /nfs/dbraw/zinc/82/44/15/1072824415.db2.gz JZXYJXKNDJRMCK-QLFBSQMISA-N 0 0 440.526 -0.739 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(c4cn[nH]c4)C3)CC2)C[C@@H](C)O1 ZINC000483226590 1072824388 /nfs/dbraw/zinc/82/43/88/1072824388.db2.gz JZXYJXKNDJRMCK-RBSFLKMASA-N 0 0 440.526 -0.739 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(c4cn[nH]c4)C3)CC2)C[C@H](C)O1 ZINC000483226592 1072824429 /nfs/dbraw/zinc/82/44/29/1072824429.db2.gz JZXYJXKNDJRMCK-ZNMIVQPWSA-N 0 0 440.526 -0.739 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCCN(C(=O)Cc3cccc(F)c3)CC2)on1 ZINC000483227555 1073441357 /nfs/dbraw/zinc/44/13/57/1073441357.db2.gz UYVIOEDKGUQYFW-UHFFFAOYSA-N 0 0 431.424 -0.168 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000483236287 1072824398 /nfs/dbraw/zinc/82/43/98/1072824398.db2.gz YITKZUKOQBYUAU-HNNXBMFYSA-N 0 0 447.521 -0.574 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000483236289 1072824163 /nfs/dbraw/zinc/82/41/63/1072824163.db2.gz YITKZUKOQBYUAU-OAHLLOKOSA-N 0 0 447.521 -0.574 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCC2(c3ccc4c(c3)OCO4)CCOCC2)CC1 ZINC000483237688 1073441619 /nfs/dbraw/zinc/44/16/19/1073441619.db2.gz JIEGIEHAVPGHCW-UHFFFAOYSA-N 0 0 447.488 -0.103 20 0 IBADRN O=C(NCCN1CCC1)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000483246062 1073441648 /nfs/dbraw/zinc/44/16/48/1073441648.db2.gz RWKHRGFNAJFFTB-UHFFFAOYSA-N 0 0 438.550 -0.020 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)c1 ZINC000483251515 1073442300 /nfs/dbraw/zinc/44/23/00/1073442300.db2.gz IFPXSBHYLORVDW-UHFFFAOYSA-N 0 0 429.433 -0.688 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C1 ZINC000483252235 1073442312 /nfs/dbraw/zinc/44/23/12/1073442312.db2.gz KWIILOSODVWOQH-KBPBESRZSA-N 0 0 434.497 -0.962 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C1 ZINC000483252236 1073442276 /nfs/dbraw/zinc/44/22/76/1073442276.db2.gz KWIILOSODVWOQH-OKILXGFUSA-N 0 0 434.497 -0.962 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3cc(C(N)=O)no3)CC2)C1 ZINC000483252237 1073442324 /nfs/dbraw/zinc/44/23/24/1073442324.db2.gz KWIILOSODVWOQH-ZIAGYGMSSA-N 0 0 434.497 -0.962 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000483253738 1073442191 /nfs/dbraw/zinc/44/21/91/1073442191.db2.gz PJWIOUZUIMSGPA-CYBMUJFWSA-N 0 0 447.535 -0.463 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000483253739 1073442138 /nfs/dbraw/zinc/44/21/38/1073442138.db2.gz PJWIOUZUIMSGPA-ZDUSSCGKSA-N 0 0 447.535 -0.463 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000483254872 1073442254 /nfs/dbraw/zinc/44/22/54/1073442254.db2.gz USESLXJSWPRPBJ-HNNXBMFYSA-N 0 0 438.550 -0.167 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000483254873 1073442405 /nfs/dbraw/zinc/44/24/05/1073442405.db2.gz USESLXJSWPRPBJ-OAHLLOKOSA-N 0 0 438.550 -0.167 20 0 IBADRN COCCN(C)c1ccc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000483259461 1073442418 /nfs/dbraw/zinc/44/24/18/1073442418.db2.gz FBXHCOOOQQQHHF-GOSISDBHSA-N 0 0 439.582 -0.415 20 0 IBADRN COCCN(C)c1ccc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000483259462 1073442159 /nfs/dbraw/zinc/44/21/59/1073442159.db2.gz FBXHCOOOQQQHHF-SFHVURJKSA-N 0 0 439.582 -0.415 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000483263154 1073442101 /nfs/dbraw/zinc/44/21/01/1073442101.db2.gz YJPQTHPGJZUUGX-AWEZNQCLSA-N 0 0 440.522 -0.213 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000483263159 1073442243 /nfs/dbraw/zinc/44/22/43/1073442243.db2.gz YJPQTHPGJZUUGX-CQSZACIVSA-N 0 0 440.522 -0.213 20 0 IBADRN C[C@H](CO)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000483263489 1073442290 /nfs/dbraw/zinc/44/22/90/1073442290.db2.gz UGPULVWTOCXZHU-HNNXBMFYSA-N 0 0 427.523 -0.098 20 0 IBADRN C[C@@H](CO)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000483263490 1073442331 /nfs/dbraw/zinc/44/23/31/1073442331.db2.gz UGPULVWTOCXZHU-OAHLLOKOSA-N 0 0 427.523 -0.098 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)N1CC[C@H](O)C1 ZINC000483269570 1073442173 /nfs/dbraw/zinc/44/21/73/1073442173.db2.gz MEECRWUACXTBSC-HNNXBMFYSA-N 0 0 425.507 -0.249 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000483270634 1073442390 /nfs/dbraw/zinc/44/23/90/1073442390.db2.gz LKNLUNKLQZAHCD-AEFFLSMTSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000483270641 1073442425 /nfs/dbraw/zinc/44/24/25/1073442425.db2.gz LKNLUNKLQZAHCD-FUHWJXTLSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000483270642 1073442361 /nfs/dbraw/zinc/44/23/61/1073442361.db2.gz LKNLUNKLQZAHCD-SJLPKXTDSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000483270643 1073443283 /nfs/dbraw/zinc/44/32/83/1073443283.db2.gz LKNLUNKLQZAHCD-WMZOPIPTSA-N 0 0 441.550 -0.205 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCC2(c3ccc4c(c3)OCO4)CCOCC2)CCO1 ZINC000483271324 1073443251 /nfs/dbraw/zinc/44/32/51/1073443251.db2.gz PZJJJRMWPCBCPV-KRWDZBQOSA-N 0 0 447.488 -0.057 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCC2(c3ccc4c(c3)OCO4)CCOCC2)CCO1 ZINC000483271355 1073443171 /nfs/dbraw/zinc/44/31/71/1073443171.db2.gz PZJJJRMWPCBCPV-QGZVFWFLSA-N 0 0 447.488 -0.057 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000483271897 1073443123 /nfs/dbraw/zinc/44/31/23/1073443123.db2.gz ZJIDSZQTKIGPED-ABSDTBQOSA-N 0 0 433.505 -0.031 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000483271898 1073443210 /nfs/dbraw/zinc/44/32/10/1073443210.db2.gz ZJIDSZQTKIGPED-QKLQHJQFSA-N 0 0 433.505 -0.031 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000483271899 1073443267 /nfs/dbraw/zinc/44/32/67/1073443267.db2.gz ZJIDSZQTKIGPED-SQGPQFPESA-N 0 0 433.505 -0.031 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000483271900 1073443277 /nfs/dbraw/zinc/44/32/77/1073443277.db2.gz ZJIDSZQTKIGPED-ZWOKBUDYSA-N 0 0 433.505 -0.031 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)cc1 ZINC000483276405 1073443256 /nfs/dbraw/zinc/44/32/56/1073443256.db2.gz NCIYJCHYTADBBV-CHWSQXEVSA-N 0 0 449.551 -0.170 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)cc1 ZINC000483276406 1073443036 /nfs/dbraw/zinc/44/30/36/1073443036.db2.gz NCIYJCHYTADBBV-OLZOCXBDSA-N 0 0 449.551 -0.170 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)cc1 ZINC000483276407 1073443094 /nfs/dbraw/zinc/44/30/94/1073443094.db2.gz NCIYJCHYTADBBV-QWHCGFSZSA-N 0 0 449.551 -0.170 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)cc1 ZINC000483276408 1073443160 /nfs/dbraw/zinc/44/31/60/1073443160.db2.gz NCIYJCHYTADBBV-STQMWFEESA-N 0 0 449.551 -0.170 20 0 IBADRN COC[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000483277265 1073443259 /nfs/dbraw/zinc/44/32/59/1073443259.db2.gz CULISVSMRCURFH-HNNXBMFYSA-N 0 0 443.522 -0.719 20 0 IBADRN COC[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000483277266 1073443290 /nfs/dbraw/zinc/44/32/90/1073443290.db2.gz CULISVSMRCURFH-OAHLLOKOSA-N 0 0 443.522 -0.719 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)NC1(c2ccc3c(c2)OCCO3)CCCC1 ZINC000483285087 1073443769 /nfs/dbraw/zinc/44/37/69/1073443769.db2.gz JPZDHAMUPBFBML-INIZCTEOSA-N 0 0 428.489 -0.014 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)NC1(c2ccc3c(c2)OCCO3)CCCC1 ZINC000483285088 1073443561 /nfs/dbraw/zinc/44/35/61/1073443561.db2.gz JPZDHAMUPBFBML-MRXNPFEDSA-N 0 0 428.489 -0.014 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)N1CCN(Cc2c(F)cccc2Cl)CC1 ZINC000483285504 1073443939 /nfs/dbraw/zinc/44/39/39/1073443939.db2.gz KUBYLMHPAXKMGM-AWEZNQCLSA-N 0 0 437.903 -0.234 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)N1CCN(Cc2c(F)cccc2Cl)CC1 ZINC000483285505 1073443877 /nfs/dbraw/zinc/44/38/77/1073443877.db2.gz KUBYLMHPAXKMGM-CQSZACIVSA-N 0 0 437.903 -0.234 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O ZINC000483285711 1073443893 /nfs/dbraw/zinc/44/38/93/1073443893.db2.gz UPTXYNAMSABWGC-LLVKDONJSA-N 0 0 447.535 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O ZINC000483285727 1073443983 /nfs/dbraw/zinc/44/39/83/1073443983.db2.gz UPTXYNAMSABWGC-NSHDSACASA-N 0 0 447.535 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O ZINC000483286415 1073443811 /nfs/dbraw/zinc/44/38/11/1073443811.db2.gz WSCKDRWSHWGPJG-LLVKDONJSA-N 0 0 435.524 -0.225 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O ZINC000483286416 1073443868 /nfs/dbraw/zinc/44/38/68/1073443868.db2.gz WSCKDRWSHWGPJG-NSHDSACASA-N 0 0 435.524 -0.225 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)N1c2ccccc2NC(=O)C[C@@H]1C(F)(F)F ZINC000483287427 1073443650 /nfs/dbraw/zinc/44/36/50/1073443650.db2.gz CKECUMCYIQLCAC-BXUZGUMPSA-N 0 0 439.394 -0.065 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)N1c2ccccc2NC(=O)C[C@H]1C(F)(F)F ZINC000483287428 1073443610 /nfs/dbraw/zinc/44/36/10/1073443610.db2.gz CKECUMCYIQLCAC-FZMZJTMJSA-N 0 0 439.394 -0.065 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)N1c2ccccc2NC(=O)C[C@H]1C(F)(F)F ZINC000483287429 1073443837 /nfs/dbraw/zinc/44/38/37/1073443837.db2.gz CKECUMCYIQLCAC-RISCZKNCSA-N 0 0 439.394 -0.065 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)N1c2ccccc2NC(=O)C[C@@H]1C(F)(F)F ZINC000483287430 1073444640 /nfs/dbraw/zinc/44/46/40/1073444640.db2.gz CKECUMCYIQLCAC-SMDDNHRTSA-N 0 0 439.394 -0.065 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)N1CCN(c2cccc(C(F)(F)F)c2)CC1 ZINC000483287554 1073443719 /nfs/dbraw/zinc/44/37/19/1073443719.db2.gz SWEOMMDHNLWRGY-INIZCTEOSA-N 0 0 439.438 -0.003 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)N1CCN(c2cccc(C(F)(F)F)c2)CC1 ZINC000483287555 1073443823 /nfs/dbraw/zinc/44/38/23/1073443823.db2.gz SWEOMMDHNLWRGY-MRXNPFEDSA-N 0 0 439.438 -0.003 20 0 IBADRN COCCN(C)c1ccc(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000483288247 1073444683 /nfs/dbraw/zinc/44/46/83/1073444683.db2.gz XDTNXTZIMBVHTQ-UHFFFAOYSA-N 0 0 426.587 -0.226 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000483288397 1073444734 /nfs/dbraw/zinc/44/47/34/1073444734.db2.gz GNQHTFNVBBRKLW-PBHICJAKSA-N 0 0 427.461 -0.989 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]c2ccccc12)NC(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000483288398 1073444664 /nfs/dbraw/zinc/44/46/64/1073444664.db2.gz GNQHTFNVBBRKLW-RHSMWYFYSA-N 0 0 427.461 -0.989 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]c2ccccc12)NC(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000483288399 1073444644 /nfs/dbraw/zinc/44/46/44/1073444644.db2.gz GNQHTFNVBBRKLW-WMLDXEAASA-N 0 0 427.461 -0.989 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000483288400 1073444760 /nfs/dbraw/zinc/44/47/60/1073444760.db2.gz GNQHTFNVBBRKLW-YOEHRIQHSA-N 0 0 427.461 -0.989 20 0 IBADRN C[C@H](C(=O)NCC(=O)Nc1ccc(F)c(F)c1F)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000483288719 1073444648 /nfs/dbraw/zinc/44/46/48/1073444648.db2.gz IPLNMKZPBLYHFG-NXEZZACHSA-N 0 0 427.383 -0.810 20 0 IBADRN C[C@@H](C(=O)NCC(=O)Nc1ccc(F)c(F)c1F)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000483288720 1073444750 /nfs/dbraw/zinc/44/47/50/1073444750.db2.gz IPLNMKZPBLYHFG-UWVGGRQHSA-N 0 0 427.383 -0.810 20 0 IBADRN C[C@@H](C(=O)NCC(=O)Nc1ccc(F)c(F)c1F)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000483288721 1073444612 /nfs/dbraw/zinc/44/46/12/1073444612.db2.gz IPLNMKZPBLYHFG-VHSXEESVSA-N 0 0 427.383 -0.810 20 0 IBADRN C[C@H](C(=O)NCC(=O)Nc1ccc(F)c(F)c1F)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000483288722 1073444699 /nfs/dbraw/zinc/44/46/99/1073444699.db2.gz IPLNMKZPBLYHFG-ZJUUUORDSA-N 0 0 427.383 -0.810 20 0 IBADRN CCCN(CC(=O)Nc1ccccc1OC)C(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000483289099 1073444625 /nfs/dbraw/zinc/44/46/25/1073444625.db2.gz BVVLXKMDCRUCLG-HNNXBMFYSA-N 0 0 431.493 -0.485 20 0 IBADRN CCCN(CC(=O)Nc1ccccc1OC)C(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000483289100 1073444727 /nfs/dbraw/zinc/44/47/27/1073444727.db2.gz BVVLXKMDCRUCLG-OAHLLOKOSA-N 0 0 431.493 -0.485 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000483290026 1073444671 /nfs/dbraw/zinc/44/46/71/1073444671.db2.gz FXZQKWOKRNRCSI-APWZRJJASA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000483290028 1073444706 /nfs/dbraw/zinc/44/47/06/1073444706.db2.gz FXZQKWOKRNRCSI-LPHOPBHVSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000483290030 1073444631 /nfs/dbraw/zinc/44/46/31/1073444631.db2.gz FXZQKWOKRNRCSI-QFBILLFUSA-N 0 0 441.550 -0.205 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000483290032 1073444616 /nfs/dbraw/zinc/44/46/16/1073444616.db2.gz FXZQKWOKRNRCSI-VQIMIIECSA-N 0 0 441.550 -0.205 20 0 IBADRN Cn1cc(-c2ncc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)s2)cn1 ZINC000483295361 1073444627 /nfs/dbraw/zinc/44/46/27/1073444627.db2.gz DZHSQKANXHLOAY-UHFFFAOYSA-N 0 0 439.567 -0.206 20 0 IBADRN COCCN(CC(=O)Nc1c(C)cccc1C)C(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000483295854 1073445626 /nfs/dbraw/zinc/44/56/26/1073445626.db2.gz PYWJLIGPDLFROT-KRWDZBQOSA-N 0 0 445.520 -0.640 20 0 IBADRN COCCN(CC(=O)Nc1c(C)cccc1C)C(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000483295855 1073445638 /nfs/dbraw/zinc/44/56/38/1073445638.db2.gz PYWJLIGPDLFROT-QGZVFWFLSA-N 0 0 445.520 -0.640 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCC2(c3ccc(F)cc3)CCOCC2)C1 ZINC000483296431 1073444605 /nfs/dbraw/zinc/44/46/05/1073444605.db2.gz LPDXJLGZNGEDCE-UHFFFAOYSA-N 0 0 427.498 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)[C@H]3CCO[C@@H]3C)CC2)cc1 ZINC000483298807 1073445526 /nfs/dbraw/zinc/44/55/26/1073445526.db2.gz SSGDXPMYLKPMJJ-APWZRJJASA-N 0 0 441.550 0.000 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)[C@H]3CCO[C@H]3C)CC2)cc1 ZINC000483298808 1073445431 /nfs/dbraw/zinc/44/54/31/1073445431.db2.gz SSGDXPMYLKPMJJ-LPHOPBHVSA-N 0 0 441.550 0.000 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)[C@@H]3CCO[C@H]3C)CC2)cc1 ZINC000483298809 1073445401 /nfs/dbraw/zinc/44/54/01/1073445401.db2.gz SSGDXPMYLKPMJJ-QFBILLFUSA-N 0 0 441.550 0.000 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)[C@@H]3CCO[C@@H]3C)CC2)cc1 ZINC000483298810 1073445446 /nfs/dbraw/zinc/44/54/46/1073445446.db2.gz SSGDXPMYLKPMJJ-VQIMIIECSA-N 0 0 441.550 0.000 20 0 IBADRN CN(CC(=O)Nc1ccccc1C(F)(F)F)C(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000483299075 1073445613 /nfs/dbraw/zinc/44/56/13/1073445613.db2.gz WZATVMQLNJLUBR-GFCCVEGCSA-N 0 0 441.410 -0.255 20 0 IBADRN CN(CC(=O)Nc1ccccc1C(F)(F)F)C(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000483299092 1073445379 /nfs/dbraw/zinc/44/53/79/1073445379.db2.gz WZATVMQLNJLUBR-LBPRGKRZSA-N 0 0 441.410 -0.255 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000483301278 1073445334 /nfs/dbraw/zinc/44/53/34/1073445334.db2.gz TWIQJZXVSGAWNF-CYBMUJFWSA-N 0 0 447.535 -0.463 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000483301279 1073445424 /nfs/dbraw/zinc/44/54/24/1073445424.db2.gz TWIQJZXVSGAWNF-ZDUSSCGKSA-N 0 0 447.535 -0.463 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(CCO)[C@@H]2CCO[C@@H]2C)CC1 ZINC000483302132 1073445346 /nfs/dbraw/zinc/44/53/46/1073445346.db2.gz IGPMKHJZQHDMSD-GDBMZVCRSA-N 0 0 430.527 -0.404 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(CCO)[C@@H]2CCO[C@H]2C)CC1 ZINC000483302145 1073445389 /nfs/dbraw/zinc/44/53/89/1073445389.db2.gz IGPMKHJZQHDMSD-GOEBONIOSA-N 0 0 430.527 -0.404 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(CCO)[C@H]2CCO[C@H]2C)CC1 ZINC000483302147 1073445644 /nfs/dbraw/zinc/44/56/44/1073445644.db2.gz IGPMKHJZQHDMSD-HOCLYGCPSA-N 0 0 430.527 -0.404 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(CCO)[C@H]2CCO[C@@H]2C)CC1 ZINC000483302149 1073445551 /nfs/dbraw/zinc/44/55/51/1073445551.db2.gz IGPMKHJZQHDMSD-ZBFHGGJFSA-N 0 0 430.527 -0.404 20 0 IBADRN COc1cc(CCNC(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1OC(F)F ZINC000483310591 1073446337 /nfs/dbraw/zinc/44/63/37/1073446337.db2.gz QRUPHWJHTMQHLG-CYBMUJFWSA-N 0 0 426.420 -0.402 20 0 IBADRN COc1cc(CCNC(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1OC(F)F ZINC000483310592 1073446363 /nfs/dbraw/zinc/44/63/63/1073446363.db2.gz QRUPHWJHTMQHLG-ZDUSSCGKSA-N 0 0 426.420 -0.402 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@@H](C)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc(C(=O)OC)c1 ZINC000483313458 1073446181 /nfs/dbraw/zinc/44/61/81/1073446181.db2.gz WWNRXEFCOLIONG-ABAIWWIYSA-N 0 0 432.433 -0.771 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@@H](C)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc(C(=O)OC)c1 ZINC000483313465 1073446148 /nfs/dbraw/zinc/44/61/48/1073446148.db2.gz WWNRXEFCOLIONG-IAQYHMDHSA-N 0 0 432.433 -0.771 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@H](C)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc(C(=O)OC)c1 ZINC000483313471 1073446152 /nfs/dbraw/zinc/44/61/52/1073446152.db2.gz WWNRXEFCOLIONG-NHYWBVRUSA-N 0 0 432.433 -0.771 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@H](C)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc(C(=O)OC)c1 ZINC000483313478 1073446264 /nfs/dbraw/zinc/44/62/64/1073446264.db2.gz WWNRXEFCOLIONG-XHDPSFHLSA-N 0 0 432.433 -0.771 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)N1CCN(c2ncc(Cl)cc2Cl)CC1 ZINC000483316131 1073444654 /nfs/dbraw/zinc/44/46/54/1073444654.db2.gz NKNPIJNTKWRYAY-CYBMUJFWSA-N 0 0 441.319 -0.320 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)N1CCN(c2ncc(Cl)cc2Cl)CC1 ZINC000483316133 1073444742 /nfs/dbraw/zinc/44/47/42/1073444742.db2.gz NKNPIJNTKWRYAY-ZDUSSCGKSA-N 0 0 441.319 -0.320 20 0 IBADRN Cc1cc(N2CCN(C(=O)CN3CCN4C(=O)C(=O)NC[C@H]4C3)CC2)nc(C(C)C)n1 ZINC000483318305 1073446246 /nfs/dbraw/zinc/44/62/46/1073446246.db2.gz YDYORTWNDOBWPR-INIZCTEOSA-N 0 0 429.525 -0.800 20 0 IBADRN Cc1cc(N2CCN(C(=O)CN3CCN4C(=O)C(=O)NC[C@@H]4C3)CC2)nc(C(C)C)n1 ZINC000483318306 1073446228 /nfs/dbraw/zinc/44/62/28/1073446228.db2.gz YDYORTWNDOBWPR-MRXNPFEDSA-N 0 0 429.525 -0.800 20 0 IBADRN CN(CCOc1ccc(Br)cc1)C(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000483319104 1073446390 /nfs/dbraw/zinc/44/63/90/1073446390.db2.gz JRFRDQZGZBWWKA-AWEZNQCLSA-N 0 0 439.310 -0.071 20 0 IBADRN CN(CCOc1ccc(Br)cc1)C(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000483319105 1073446201 /nfs/dbraw/zinc/44/62/01/1073446201.db2.gz JRFRDQZGZBWWKA-CQSZACIVSA-N 0 0 439.310 -0.071 20 0 IBADRN Cc1c(NC(=O)[C@@H](C)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(=O)n(-c2ccccc2)n1C ZINC000483320702 1073446674 /nfs/dbraw/zinc/44/66/74/1073446674.db2.gz NAHCRKXTCMXYHI-GDBMZVCRSA-N 0 0 426.477 -0.546 20 0 IBADRN Cc1c(NC(=O)[C@H](C)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(=O)n(-c2ccccc2)n1C ZINC000483320712 1073446815 /nfs/dbraw/zinc/44/68/15/1073446815.db2.gz NAHCRKXTCMXYHI-GOEBONIOSA-N 0 0 426.477 -0.546 20 0 IBADRN Cc1c(NC(=O)[C@H](C)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(=O)n(-c2ccccc2)n1C ZINC000483320715 1073446930 /nfs/dbraw/zinc/44/69/30/1073446930.db2.gz NAHCRKXTCMXYHI-HOCLYGCPSA-N 0 0 426.477 -0.546 20 0 IBADRN Cc1c(NC(=O)[C@@H](C)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(=O)n(-c2ccccc2)n1C ZINC000483320719 1073446793 /nfs/dbraw/zinc/44/67/93/1073446793.db2.gz NAHCRKXTCMXYHI-ZBFHGGJFSA-N 0 0 426.477 -0.546 20 0 IBADRN Cc1nn(-c2ccccc2)cc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000483329971 1073446828 /nfs/dbraw/zinc/44/68/28/1073446828.db2.gz ZHUYKZQUMAKVHQ-UHFFFAOYSA-N 0 0 437.464 -0.846 20 0 IBADRN Cc1nn(-c2ccccc2)cc1CNC(=O)C(=O)N1CCO[C@H](CN(C)CC(N)=O)C1 ZINC000483330521 1073446157 /nfs/dbraw/zinc/44/61/57/1073446157.db2.gz ARIYZSUDJDFRLV-GOSISDBHSA-N 0 0 428.493 -0.559 20 0 IBADRN Cc1nn(-c2ccccc2)cc1CNC(=O)C(=O)N1CCO[C@@H](CN(C)CC(N)=O)C1 ZINC000483330522 1073446273 /nfs/dbraw/zinc/44/62/73/1073446273.db2.gz ARIYZSUDJDFRLV-SFHVURJKSA-N 0 0 428.493 -0.559 20 0 IBADRN CS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000483353419 1073446881 /nfs/dbraw/zinc/44/68/81/1073446881.db2.gz LUXCFDGZDCZTDA-UHFFFAOYSA-N 0 0 436.490 -0.881 20 0 IBADRN CS(=O)(=O)Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000483354502 1073446902 /nfs/dbraw/zinc/44/69/02/1073446902.db2.gz NEIBZIOQHKNRPG-UHFFFAOYSA-N 0 0 436.490 -0.881 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000483354745 1073446942 /nfs/dbraw/zinc/44/69/42/1073446942.db2.gz NQXFOQXGUPTVRT-UHFFFAOYSA-N 0 0 436.490 -0.881 20 0 IBADRN CC1(C)CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C[C@@]2(CCCOC2)O1 ZINC000483359564 1073446719 /nfs/dbraw/zinc/44/67/19/1073446719.db2.gz SZQQVCMYHLLHHV-FXAWDEMLSA-N 0 0 429.583 -0.022 20 0 IBADRN CC1(C)CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C[C@]2(CCCOC2)O1 ZINC000483359565 1073446777 /nfs/dbraw/zinc/44/67/77/1073446777.db2.gz SZQQVCMYHLLHHV-PXNSSMCTSA-N 0 0 429.583 -0.022 20 0 IBADRN CC1(C)CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C[C@]2(CCCOC2)O1 ZINC000483359566 1073446851 /nfs/dbraw/zinc/44/68/51/1073446851.db2.gz SZQQVCMYHLLHHV-XLIONFOSSA-N 0 0 429.583 -0.022 20 0 IBADRN CC1(C)CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C[C@@]2(CCCOC2)O1 ZINC000483359567 1073446699 /nfs/dbraw/zinc/44/66/99/1073446699.db2.gz SZQQVCMYHLLHHV-YLJYHZDGSA-N 0 0 429.583 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000483370464 1073447189 /nfs/dbraw/zinc/44/71/89/1073447189.db2.gz FQKDZZXHJMBCOG-HNNXBMFYSA-N 0 0 435.510 -0.302 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000483370466 1073447645 /nfs/dbraw/zinc/44/76/45/1073447645.db2.gz FQKDZZXHJMBCOG-OAHLLOKOSA-N 0 0 435.510 -0.302 20 0 IBADRN Cc1nn(-c2ccccc2)cc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000483380166 1073447497 /nfs/dbraw/zinc/44/74/97/1073447497.db2.gz OEYODCIOSUQRND-UHFFFAOYSA-N 0 0 438.488 -0.254 20 0 IBADRN COC(=O)[C@@H](C)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000483398599 1073447212 /nfs/dbraw/zinc/44/72/12/1073447212.db2.gz AOZQWVJOJQLEHA-CQSZACIVSA-N 0 0 441.506 -0.216 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCC2(c3ccc4c(c3)OCO4)CCOCC2)CCO1 ZINC000483401866 1073447437 /nfs/dbraw/zinc/44/74/37/1073447437.db2.gz FCVOBQASJKPQPX-KRWDZBQOSA-N 0 0 433.461 -0.447 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCC2(c3ccc4c(c3)OCO4)CCOCC2)CCO1 ZINC000483401870 1073447570 /nfs/dbraw/zinc/44/75/70/1073447570.db2.gz FCVOBQASJKPQPX-QGZVFWFLSA-N 0 0 433.461 -0.447 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCC2(c3ccc4c(c3)OCO4)CCOCC2)C1 ZINC000483404360 1073447536 /nfs/dbraw/zinc/44/75/36/1073447536.db2.gz CKJAMGJRPZSIAC-AWEZNQCLSA-N 0 0 439.490 -0.531 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC2(c3ccc4c(c3)OCO4)CCOCC2)C1 ZINC000483404366 1073447257 /nfs/dbraw/zinc/44/72/57/1073447257.db2.gz CKJAMGJRPZSIAC-CQSZACIVSA-N 0 0 439.490 -0.531 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000483416429 1073447631 /nfs/dbraw/zinc/44/76/31/1073447631.db2.gz KYVSDYOKLREDIP-CQSZACIVSA-N 0 0 439.494 -0.031 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(c3ncnc4sccc43)CC1)c(=O)n2C ZINC000483423229 1073447237 /nfs/dbraw/zinc/44/72/37/1073447237.db2.gz YATCUGNEHVXABV-UHFFFAOYSA-N 0 0 440.489 -0.213 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCc2nnc3n2CCCC3)c1 ZINC000483429239 1073447344 /nfs/dbraw/zinc/44/73/44/1073447344.db2.gz QUEXCXCPFGIGDQ-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN CC[C@H](C)n1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000483450437 1073447527 /nfs/dbraw/zinc/44/75/27/1073447527.db2.gz AFINCIUSPLBNKN-AWEZNQCLSA-N 0 0 442.542 -0.178 20 0 IBADRN CC[C@@H](C)n1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000483450438 1073447318 /nfs/dbraw/zinc/44/73/18/1073447318.db2.gz AFINCIUSPLBNKN-CQSZACIVSA-N 0 0 442.542 -0.178 20 0 IBADRN Cc1cc2n[nH]cc2cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000483453102 1073448517 /nfs/dbraw/zinc/44/85/17/1073448517.db2.gz GYJKSRPKJMFXGO-UHFFFAOYSA-N 0 0 449.537 -0.554 20 0 IBADRN Cc1cc2n[nH]cc2cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000483454312 1073448288 /nfs/dbraw/zinc/44/82/88/1073448288.db2.gz LAMMSRUHXNWOPU-UHFFFAOYSA-N 0 0 436.494 -0.469 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(Cc3nnc4n3CCC4)cc2)CC1 ZINC000483468006 1073447282 /nfs/dbraw/zinc/44/72/82/1073447282.db2.gz CXNJLULRWFLCJE-UHFFFAOYSA-N 0 0 432.506 -0.143 20 0 IBADRN Cc1cc2n[nH]cc2cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000483470006 1073448161 /nfs/dbraw/zinc/44/81/61/1073448161.db2.gz FDRXQTJAWZLEFN-UHFFFAOYSA-N 0 0 428.449 -0.661 20 0 IBADRN CC[C@H](C)n1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000483470055 1073448495 /nfs/dbraw/zinc/44/84/95/1073448495.db2.gz FQHKVJNSKIPRBL-AWEZNQCLSA-N 0 0 434.497 -0.369 20 0 IBADRN CC[C@@H](C)n1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000483470056 1073448487 /nfs/dbraw/zinc/44/84/87/1073448487.db2.gz FQHKVJNSKIPRBL-CQSZACIVSA-N 0 0 434.497 -0.369 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](CO)OC[C@@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000483485648 1073448557 /nfs/dbraw/zinc/44/85/57/1073448557.db2.gz MJNWIMIWTWYBDX-KBPBESRZSA-N 0 0 427.502 -0.752 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](CO)OC[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000483485649 1073448190 /nfs/dbraw/zinc/44/81/90/1073448190.db2.gz MJNWIMIWTWYBDX-KGLIPLIRSA-N 0 0 427.502 -0.752 20 0 IBADRN COCCN(C(=O)CN1C[C@H](CO)OC[C@@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000483485650 1073448504 /nfs/dbraw/zinc/44/85/04/1073448504.db2.gz MJNWIMIWTWYBDX-UONOGXRCSA-N 0 0 427.502 -0.752 20 0 IBADRN COCCN(C(=O)CN1C[C@H](CO)OC[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000483485651 1073448269 /nfs/dbraw/zinc/44/82/69/1073448269.db2.gz MJNWIMIWTWYBDX-ZIAGYGMSSA-N 0 0 427.502 -0.752 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)OC[C@@H]3C)CC2)cc1 ZINC000483489379 1073448277 /nfs/dbraw/zinc/44/82/77/1073448277.db2.gz WMQQQELLGQBHFM-DOTOQJQBSA-N 0 0 427.523 -0.390 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)OC[C@H]3C)CC2)cc1 ZINC000483489380 1073448231 /nfs/dbraw/zinc/44/82/31/1073448231.db2.gz WMQQQELLGQBHFM-NVXWUHKLSA-N 0 0 427.523 -0.390 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](CO)OC[C@@H]3C)CC2)cc1 ZINC000483489381 1073448135 /nfs/dbraw/zinc/44/81/35/1073448135.db2.gz WMQQQELLGQBHFM-RDJZCZTQSA-N 0 0 427.523 -0.390 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](CO)OC[C@H]3C)CC2)cc1 ZINC000483489382 1073448547 /nfs/dbraw/zinc/44/85/47/1073448547.db2.gz WMQQQELLGQBHFM-WBVHZDCISA-N 0 0 427.523 -0.390 20 0 IBADRN CCN1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000483499299 1073449044 /nfs/dbraw/zinc/44/90/44/1073449044.db2.gz AIZTWJYZBHREJZ-CYBMUJFWSA-N 0 0 430.508 -0.195 20 0 IBADRN CCN1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000483499306 1073449326 /nfs/dbraw/zinc/44/93/26/1073449326.db2.gz AIZTWJYZBHREJZ-ZDUSSCGKSA-N 0 0 430.508 -0.195 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](CO)OC[C@H]3C)CC2)cc1 ZINC000483500622 1073449438 /nfs/dbraw/zinc/44/94/38/1073449438.db2.gz PGQUOXQHFGJHEJ-AEFFLSMTSA-N 0 0 441.550 0.000 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)OC[C@@H]3C)CC2)cc1 ZINC000483500623 1073449193 /nfs/dbraw/zinc/44/91/93/1073449193.db2.gz PGQUOXQHFGJHEJ-FUHWJXTLSA-N 0 0 441.550 0.000 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)OC[C@H]3C)CC2)cc1 ZINC000483500624 1073449205 /nfs/dbraw/zinc/44/92/05/1073449205.db2.gz PGQUOXQHFGJHEJ-SJLPKXTDSA-N 0 0 441.550 0.000 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](CO)OC[C@@H]3C)CC2)cc1 ZINC000483500625 1073449104 /nfs/dbraw/zinc/44/91/04/1073449104.db2.gz PGQUOXQHFGJHEJ-WMZOPIPTSA-N 0 0 441.550 0.000 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483507679 1073449389 /nfs/dbraw/zinc/44/93/89/1073449389.db2.gz ZGZWHLPDCIUPRS-UHFFFAOYSA-N 0 0 425.511 -0.357 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)c1C ZINC000483508400 1073449245 /nfs/dbraw/zinc/44/92/45/1073449245.db2.gz UEARTBIKRSENRL-UHFFFAOYSA-N 0 0 434.453 -0.326 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000483508752 1073449280 /nfs/dbraw/zinc/44/92/80/1073449280.db2.gz AKHDDPWTVIKGTN-UHFFFAOYSA-N 0 0 428.559 -0.592 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000483509300 1073449083 /nfs/dbraw/zinc/44/90/83/1073449083.db2.gz BSCGAXXMSBCPDS-AWEZNQCLSA-N 0 0 426.543 -0.886 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000483509307 1073449265 /nfs/dbraw/zinc/44/92/65/1073449265.db2.gz BSCGAXXMSBCPDS-CQSZACIVSA-N 0 0 426.543 -0.886 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000483512239 1073450028 /nfs/dbraw/zinc/45/00/28/1073450028.db2.gz IHAPKRDAKNNEEH-HNNXBMFYSA-N 0 0 429.543 -0.261 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000483512249 1073450056 /nfs/dbraw/zinc/45/00/56/1073450056.db2.gz IHAPKRDAKNNEEH-OAHLLOKOSA-N 0 0 429.543 -0.261 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N(C)CC(=O)NC3CC3)CC2)cn1C ZINC000483513248 1073450063 /nfs/dbraw/zinc/45/00/63/1073450063.db2.gz MQEINRVYHNJUGA-CYBMUJFWSA-N 0 0 426.543 -0.840 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N(C)CC(=O)NC3CC3)CC2)cn1C ZINC000483513249 1073450005 /nfs/dbraw/zinc/45/00/05/1073450005.db2.gz MQEINRVYHNJUGA-ZDUSSCGKSA-N 0 0 426.543 -0.840 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC000483514452 1073450069 /nfs/dbraw/zinc/45/00/69/1073450069.db2.gz AXYIIRNFVOLBFH-DZGCQCFKSA-N 0 0 447.583 -0.931 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N(C)[C@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC000483514454 1073449926 /nfs/dbraw/zinc/44/99/26/1073449926.db2.gz AXYIIRNFVOLBFH-HIFRSBDPSA-N 0 0 447.583 -0.931 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC000483514456 1073450014 /nfs/dbraw/zinc/45/00/14/1073450014.db2.gz AXYIIRNFVOLBFH-UKRRQHHQSA-N 0 0 447.583 -0.931 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N(C)[C@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC000483514458 1073450022 /nfs/dbraw/zinc/45/00/22/1073450022.db2.gz AXYIIRNFVOLBFH-ZFWWWQNUSA-N 0 0 447.583 -0.931 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCC(F)(F)F)CC2)cn1C ZINC000483515028 1073449887 /nfs/dbraw/zinc/44/98/87/1073449887.db2.gz TYIWSCBVCFRLHD-UHFFFAOYSA-N 0 0 426.421 -0.577 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000483515098 1073450038 /nfs/dbraw/zinc/45/00/38/1073450038.db2.gz USXNSQNPCJTBMM-HNNXBMFYSA-N 0 0 447.583 -0.930 20 0 IBADRN CCN(C(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000483515099 1073450078 /nfs/dbraw/zinc/45/00/78/1073450078.db2.gz USXNSQNPCJTBMM-OAHLLOKOSA-N 0 0 447.583 -0.930 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](C)C(=O)N3CCCCC3)CC2)cn1C ZINC000483516068 1073450073 /nfs/dbraw/zinc/45/00/73/1073450073.db2.gz OVJSSBRGPVICSM-HNNXBMFYSA-N 0 0 440.570 -0.448 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)N[C@H](C)C(=O)N3CCCCC3)CC2)cn1C ZINC000483516071 1073449854 /nfs/dbraw/zinc/44/98/54/1073449854.db2.gz OVJSSBRGPVICSM-OAHLLOKOSA-N 0 0 440.570 -0.448 20 0 IBADRN CS(=O)(=O)N(CCN1CCN(S(=O)(=O)N2CCOCC2)CC1)Cc1ccccc1 ZINC000483518012 1073450046 /nfs/dbraw/zinc/45/00/46/1073450046.db2.gz HFEXKWFJCLNMMG-UHFFFAOYSA-N 0 0 446.595 -0.357 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CCOc3ccc(S(N)(=O)=O)cc3)CC2)cn1C ZINC000483518089 1073449996 /nfs/dbraw/zinc/44/99/96/1073449996.db2.gz HSGGSYHMVWVONV-UHFFFAOYSA-N 0 0 443.551 -0.239 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000483518351 1073449943 /nfs/dbraw/zinc/44/99/43/1073449943.db2.gz HUAOPQJEFRJFGL-UHFFFAOYSA-N 0 0 441.554 -0.163 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)NCC(C)(C)N3CCOCC3)CC2)cn1C ZINC000483518357 1073449980 /nfs/dbraw/zinc/44/99/80/1073449980.db2.gz HXVBWSJQOOLPDD-UHFFFAOYSA-N 0 0 442.586 -0.738 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000483519541 1073449910 /nfs/dbraw/zinc/44/99/10/1073449910.db2.gz QCQXTHMZXVMKKZ-INIZCTEOSA-N 0 0 441.554 -0.163 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000483519542 1073449957 /nfs/dbraw/zinc/44/99/57/1073449957.db2.gz QCQXTHMZXVMKKZ-MRXNPFEDSA-N 0 0 441.554 -0.163 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)c1ccccc1 ZINC000483519947 1073450827 /nfs/dbraw/zinc/45/08/27/1073450827.db2.gz PWSIDIWKTJXTOJ-IBGZPJMESA-N 0 0 448.549 -0.362 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)c1ccccc1 ZINC000483519959 1073450851 /nfs/dbraw/zinc/45/08/51/1073450851.db2.gz PWSIDIWKTJXTOJ-LJQANCHMSA-N 0 0 448.549 -0.362 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)OC ZINC000483520087 1073450678 /nfs/dbraw/zinc/45/06/78/1073450678.db2.gz SMKPVDJSNPYILK-CXAGYDPISA-N 0 0 429.543 -0.261 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)OC ZINC000483520089 1073450857 /nfs/dbraw/zinc/45/08/57/1073450857.db2.gz SMKPVDJSNPYILK-DYVFJYSZSA-N 0 0 429.543 -0.261 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)OC ZINC000483520091 1073450738 /nfs/dbraw/zinc/45/07/38/1073450738.db2.gz SMKPVDJSNPYILK-GUYCJALGSA-N 0 0 429.543 -0.261 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)OC ZINC000483520093 1073450846 /nfs/dbraw/zinc/45/08/46/1073450846.db2.gz SMKPVDJSNPYILK-SUMWQHHRSA-N 0 0 429.543 -0.261 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000483520499 1073449965 /nfs/dbraw/zinc/44/99/65/1073449965.db2.gz SXCTYISDFPSQDH-INIZCTEOSA-N 0 0 441.554 -0.021 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000483520500 1073450758 /nfs/dbraw/zinc/45/07/58/1073450758.db2.gz SXCTYISDFPSQDH-MRXNPFEDSA-N 0 0 441.554 -0.021 20 0 IBADRN CS(=O)(=O)N(CCN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Cc1ccccc1 ZINC000483520576 1073450746 /nfs/dbraw/zinc/45/07/46/1073450746.db2.gz VFENNVYZFNZOMJ-UHFFFAOYSA-N 0 0 438.550 -0.549 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000483520944 1073450767 /nfs/dbraw/zinc/45/07/67/1073450767.db2.gz WIGSHPQDQWPJKK-UHFFFAOYSA-N 0 0 427.527 -0.554 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000483522551 1073450869 /nfs/dbraw/zinc/45/08/69/1073450869.db2.gz ZODIRVIYCRUHAY-INIZCTEOSA-N 0 0 426.543 -0.838 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000483522552 1073450837 /nfs/dbraw/zinc/45/08/37/1073450837.db2.gz ZODIRVIYCRUHAY-MRXNPFEDSA-N 0 0 426.543 -0.838 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)NC[C@H]3COc4ccccc4O3)CC2)cn1C ZINC000483523052 1073450812 /nfs/dbraw/zinc/45/08/12/1073450812.db2.gz IYSXJYNCTGUDBK-INIZCTEOSA-N 0 0 449.533 -0.009 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)NC[C@@H]3COc4ccccc4O3)CC2)cn1C ZINC000483523053 1073450862 /nfs/dbraw/zinc/45/08/62/1073450862.db2.gz IYSXJYNCTGUDBK-MRXNPFEDSA-N 0 0 449.533 -0.009 20 0 IBADRN COc1cccc(OCCN2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)c1 ZINC000483523462 1073450693 /nfs/dbraw/zinc/45/06/93/1073450693.db2.gz KGOBXNBRLQTZPI-UHFFFAOYSA-N 0 0 447.580 -0.076 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)NCCCN3CCCC3=O)CC2)cn1C ZINC000483524877 1073450600 /nfs/dbraw/zinc/45/06/00/1073450600.db2.gz OHQIMSPQYLVKET-UHFFFAOYSA-N 0 0 426.543 -0.836 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)N3CCN(C(=O)C4CC4)CC3)CC2)cn1C ZINC000483533080 1073451268 /nfs/dbraw/zinc/45/12/68/1073451268.db2.gz YKYXSKHMFLXHSE-UHFFFAOYSA-N 0 0 438.554 -0.884 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC2)cc1 ZINC000483534675 1073451405 /nfs/dbraw/zinc/45/14/05/1073451405.db2.gz CWMDNYQEIVVTMN-HNNXBMFYSA-N 0 0 426.539 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(CC(=O)N[C@H](C)C(=O)N(C)C)CC2)cc1 ZINC000483534678 1073451434 /nfs/dbraw/zinc/45/14/34/1073451434.db2.gz CWMDNYQEIVVTMN-OAHLLOKOSA-N 0 0 426.539 -0.016 20 0 IBADRN Cc1ccnc(N2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)c1 ZINC000483535239 1073244352 /nfs/dbraw/zinc/24/43/52/1073244352.db2.gz UWMYPXQHCXIJDZ-UHFFFAOYSA-N 0 0 430.553 -0.485 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(CC(=O)N2CCNC(=O)C2)CC1 ZINC000483535982 1073451367 /nfs/dbraw/zinc/45/13/67/1073451367.db2.gz ASKHHNFTESNAQG-UHFFFAOYSA-N 0 0 430.914 -0.387 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)cc2)c1C ZINC000483537827 1073451877 /nfs/dbraw/zinc/45/18/77/1073451877.db2.gz QOONBIQUINVWLI-UHFFFAOYSA-N 0 0 437.478 -0.294 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCNC(=O)C2)CC1)Nc1ccc(Br)cc1 ZINC000483538564 1073452096 /nfs/dbraw/zinc/45/20/96/1073452096.db2.gz BDEYKFPXHWEIGZ-UHFFFAOYSA-N 0 0 438.326 -0.036 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000483541046 1073450717 /nfs/dbraw/zinc/45/07/17/1073450717.db2.gz HBIJPKQBAZJXEQ-GOSISDBHSA-N 0 0 446.552 -0.007 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000483541047 1073450803 /nfs/dbraw/zinc/45/08/03/1073450803.db2.gz HBIJPKQBAZJXEQ-SFHVURJKSA-N 0 0 446.552 -0.007 20 0 IBADRN O=C(NCc1nc(C(F)(F)F)n[nH]1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000483541686 1073450783 /nfs/dbraw/zinc/45/07/83/1073450783.db2.gz INQAFILTPHHWMP-UHFFFAOYSA-N 0 0 427.409 -0.772 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000483547108 1073451484 /nfs/dbraw/zinc/45/14/84/1073451484.db2.gz UHKKMUHMPNLMSV-UHFFFAOYSA-N 0 0 445.505 -0.057 20 0 IBADRN O=C(CN1CCN(C(=O)NCc2cccc(N3CCOCC3)n2)CC1)N1CCOCC1 ZINC000483547223 1073451300 /nfs/dbraw/zinc/45/13/00/1073451300.db2.gz UMLFEBVASKMTJP-UHFFFAOYSA-N 0 0 432.525 -0.396 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCC[C@@H](C(N)=O)C3)CC2)cn1C ZINC000483547500 1073451138 /nfs/dbraw/zinc/45/11/38/1073451138.db2.gz YDDAXPOJHAWFSU-DZGCQCFKSA-N 0 0 426.543 -0.853 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCC[C@H](C(N)=O)C3)CC2)cn1C ZINC000483547511 1073451394 /nfs/dbraw/zinc/45/13/94/1073451394.db2.gz YDDAXPOJHAWFSU-HIFRSBDPSA-N 0 0 426.543 -0.853 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCC[C@@H](C(N)=O)C3)CC2)cn1C ZINC000483547512 1073451495 /nfs/dbraw/zinc/45/14/95/1073451495.db2.gz YDDAXPOJHAWFSU-UKRRQHHQSA-N 0 0 426.543 -0.853 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCC[C@H](C(N)=O)C3)CC2)cn1C ZINC000483547514 1073451424 /nfs/dbraw/zinc/45/14/24/1073451424.db2.gz YDDAXPOJHAWFSU-ZFWWWQNUSA-N 0 0 426.543 -0.853 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1)N1CCOCC1 ZINC000483547550 1073451468 /nfs/dbraw/zinc/45/14/68/1073451468.db2.gz VOSZQHOROXOKEI-KRWDZBQOSA-N 0 0 432.477 -0.012 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1)N1CCOCC1 ZINC000483547551 1073451170 /nfs/dbraw/zinc/45/11/70/1073451170.db2.gz VOSZQHOROXOKEI-QGZVFWFLSA-N 0 0 432.477 -0.012 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1OC ZINC000483547845 1073451200 /nfs/dbraw/zinc/45/12/00/1073451200.db2.gz YMPGXGPHJGQQPP-UHFFFAOYSA-N 0 0 446.460 -0.287 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(-n4ccc(C(N)=O)n4)cc3)c2c(=O)n(C)c1=O ZINC000483548235 1073451246 /nfs/dbraw/zinc/45/12/46/1073451246.db2.gz AXDHTBZGZBTVTN-UHFFFAOYSA-N 0 0 436.432 -0.253 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(OCC(=O)NC)cc1C ZINC000483552052 1073451862 /nfs/dbraw/zinc/45/18/62/1073451862.db2.gz MSWSKLPCZOZMAC-UHFFFAOYSA-N 0 0 428.511 -0.154 20 0 IBADRN Cc1cc2n[nH]cc2cc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000483552187 1073452032 /nfs/dbraw/zinc/45/20/32/1073452032.db2.gz NWGGOMPBBCWBEG-UHFFFAOYSA-N 0 0 426.433 -0.370 20 0 IBADRN Cc1cn(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c2)c(=O)[nH]1 ZINC000483553207 1073452653 /nfs/dbraw/zinc/45/26/53/1073452653.db2.gz BXLQFLQGFWAAPO-UHFFFAOYSA-N 0 0 440.460 -0.226 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(C)c1 ZINC000483553304 1073452527 /nfs/dbraw/zinc/45/25/27/1073452527.db2.gz CITWGXLVNVDMOR-UHFFFAOYSA-N 0 0 445.476 -0.992 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1F ZINC000483553439 1073452666 /nfs/dbraw/zinc/45/26/66/1073452666.db2.gz CYGOFBMKFIMPKK-UHFFFAOYSA-N 0 0 438.387 -0.361 20 0 IBADRN CC[C@@H](C)n1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000483554971 1073452575 /nfs/dbraw/zinc/45/25/75/1073452575.db2.gz RVWDFYWGFMMSPJ-CYBMUJFWSA-N 0 0 432.481 -0.079 20 0 IBADRN CC[C@H](C)n1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000483554975 1073452387 /nfs/dbraw/zinc/45/23/87/1073452387.db2.gz RVWDFYWGFMMSPJ-ZDUSSCGKSA-N 0 0 432.481 -0.079 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)c1C ZINC000483556471 1073452439 /nfs/dbraw/zinc/45/24/39/1073452439.db2.gz GQTDBRAGDSSSCF-UHFFFAOYSA-N 0 0 449.489 -0.164 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000483558270 1073452074 /nfs/dbraw/zinc/45/20/74/1073452074.db2.gz XXFUPPFPYKFWPE-UHFFFAOYSA-N 0 0 440.478 -0.697 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3nc(C(F)(F)F)n[nH]3)CC2)CC1 ZINC000483558363 1073451794 /nfs/dbraw/zinc/45/17/94/1073451794.db2.gz KWQXPTMTQJLVAH-UHFFFAOYSA-N 0 0 440.452 -0.857 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c(C)c1OC ZINC000483559595 1073452013 /nfs/dbraw/zinc/45/20/13/1073452013.db2.gz NEKPKDRKYLNHBP-UHFFFAOYSA-N 0 0 448.476 -0.091 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000483562059 1073451959 /nfs/dbraw/zinc/45/19/59/1073451959.db2.gz NSPNWZOOOVEYMH-UHFFFAOYSA-N 0 0 444.492 -0.260 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000483563879 1073452082 /nfs/dbraw/zinc/45/20/82/1073452082.db2.gz VBRQPKNSHLFNJW-UHFFFAOYSA-N 0 0 444.492 -0.579 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)c1C ZINC000483564489 1073451938 /nfs/dbraw/zinc/45/19/38/1073451938.db2.gz WFDNTIKCUVXFFN-UHFFFAOYSA-N 0 0 437.478 -0.461 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000483565590 1073451977 /nfs/dbraw/zinc/45/19/77/1073451977.db2.gz UZUXXNZKGFEZAT-UHFFFAOYSA-N 0 0 446.508 -0.869 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000483566287 1073452124 /nfs/dbraw/zinc/45/21/24/1073452124.db2.gz WEGPIXLORCKUTA-UHFFFAOYSA-N 0 0 432.525 -0.396 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000483566801 1073452064 /nfs/dbraw/zinc/45/20/64/1073452064.db2.gz XCJZJDPGYVOILX-UHFFFAOYSA-N 0 0 430.509 -0.106 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1C(N)=O ZINC000483567148 1073452116 /nfs/dbraw/zinc/45/21/16/1073452116.db2.gz XRNOPRFANHOTDG-UHFFFAOYSA-N 0 0 445.476 -0.539 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1OC ZINC000483567153 1073452050 /nfs/dbraw/zinc/45/20/50/1073452050.db2.gz XTXXFLIIKDVOSL-UHFFFAOYSA-N 0 0 432.433 -0.630 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c(C)c1 ZINC000483567712 1073451821 /nfs/dbraw/zinc/45/18/21/1073451821.db2.gz KHYSCDCLXWZIFN-KRWDZBQOSA-N 0 0 432.477 -0.092 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c(C)c1 ZINC000483567753 1073451847 /nfs/dbraw/zinc/45/18/47/1073451847.db2.gz KHYSCDCLXWZIFN-QGZVFWFLSA-N 0 0 432.477 -0.092 20 0 IBADRN O=C(Nc1ccc(N2CCCC2=O)c(F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000483567981 1073452021 /nfs/dbraw/zinc/45/20/21/1073452021.db2.gz ZVPGIGBIRFZIIR-UHFFFAOYSA-N 0 0 445.451 -0.160 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)c1C ZINC000483568263 1073452507 /nfs/dbraw/zinc/45/25/07/1073452507.db2.gz LMNFUYOOPMZCKR-UHFFFAOYSA-N 0 0 437.478 -0.130 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000483572850 1073452693 /nfs/dbraw/zinc/45/26/93/1073452693.db2.gz YXGLWAKNHVPILH-INIZCTEOSA-N 0 0 444.444 -0.635 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000483572851 1073452563 /nfs/dbraw/zinc/45/25/63/1073452563.db2.gz YXGLWAKNHVPILH-MRXNPFEDSA-N 0 0 444.444 -0.635 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1C ZINC000483575272 1073452612 /nfs/dbraw/zinc/45/26/12/1073452612.db2.gz DHSSJVUPAYKSFZ-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)c1C ZINC000483575924 1073452627 /nfs/dbraw/zinc/45/26/27/1073452627.db2.gz QSGFAOFYYJQGKF-UHFFFAOYSA-N 0 0 437.478 -0.130 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)c1C ZINC000483585486 1073453275 /nfs/dbraw/zinc/45/32/75/1073453275.db2.gz TYJUWDZNBSSILP-UHFFFAOYSA-N 0 0 444.496 -0.578 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483585723 1073453440 /nfs/dbraw/zinc/45/34/40/1073453440.db2.gz PSVMWJBDHKPRIJ-AWEZNQCLSA-N 0 0 425.511 -0.359 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483585724 1073453260 /nfs/dbraw/zinc/45/32/60/1073453260.db2.gz PSVMWJBDHKPRIJ-CQSZACIVSA-N 0 0 425.511 -0.359 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC000483589615 1073452675 /nfs/dbraw/zinc/45/26/75/1073452675.db2.gz WASXCTNKQFBLDX-UHFFFAOYSA-N 0 0 431.453 -0.043 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(Cc2nnc3n2CCC3)cc1 ZINC000483599880 1073453333 /nfs/dbraw/zinc/45/33/33/1073453333.db2.gz JXNDLORQLVFXDL-UHFFFAOYSA-N 0 0 432.506 -0.095 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)c(F)c1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000483614417 1073453448 /nfs/dbraw/zinc/45/34/48/1073453448.db2.gz OEOGDINXTWFESO-AWEZNQCLSA-N 0 0 425.442 -0.189 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)c(F)c1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000483614418 1073453191 /nfs/dbraw/zinc/45/31/91/1073453191.db2.gz OEOGDINXTWFESO-CQSZACIVSA-N 0 0 425.442 -0.189 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N(C)CC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000483617270 1073453244 /nfs/dbraw/zinc/45/32/44/1073453244.db2.gz PYWQFNOPWLYTIT-UHFFFAOYSA-N 0 0 429.543 -0.029 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCO[C@H](c3cccc(O)c3)C2)CC1)N1CCOCC1 ZINC000483630566 1073245279 /nfs/dbraw/zinc/24/52/79/1073245279.db2.gz YWMZGEFNHQUASU-FQEVSTJZSA-N 0 0 432.521 -0.232 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCO[C@@H](c3cccc(O)c3)C2)CC1)N1CCOCC1 ZINC000483630568 1073245298 /nfs/dbraw/zinc/24/52/98/1073245298.db2.gz YWMZGEFNHQUASU-HXUWFJFHSA-N 0 0 432.521 -0.232 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)n1 ZINC000483633911 1073303358 /nfs/dbraw/zinc/30/33/58/1073303358.db2.gz KJVUIVTVMCKRBV-UHFFFAOYSA-N 0 0 448.505 -0.282 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)cn1 ZINC000483638774 1073453226 /nfs/dbraw/zinc/45/32/26/1073453226.db2.gz ACOMLVUPVHTDOF-UHFFFAOYSA-N 0 0 434.478 -0.624 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000483643569 1073453164 /nfs/dbraw/zinc/45/31/64/1073453164.db2.gz CLHBMYJURKOZDC-UHFFFAOYSA-N 0 0 429.481 -0.123 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c(C)c1 ZINC000483647430 1073453420 /nfs/dbraw/zinc/45/34/20/1073453420.db2.gz CWCWZMOSOSENAK-AWEZNQCLSA-N 0 0 440.522 -0.154 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c(C)c1 ZINC000483647432 1073453363 /nfs/dbraw/zinc/45/33/63/1073453363.db2.gz CWCWZMOSOSENAK-CQSZACIVSA-N 0 0 440.522 -0.154 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)OC)c2C)CC1 ZINC000483649866 1073453347 /nfs/dbraw/zinc/45/33/47/1073453347.db2.gz GTAAHXKUZCHBLQ-UHFFFAOYSA-N 0 0 429.499 -0.377 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)N1CCN(c2ccc3nncn3n2)CC1 ZINC000483651959 1073453391 /nfs/dbraw/zinc/45/33/91/1073453391.db2.gz JAWAJYAHHZTLOQ-UHFFFAOYSA-N 0 0 427.469 -0.180 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(C)c1 ZINC000483654350 1073454112 /nfs/dbraw/zinc/45/41/12/1073454112.db2.gz PDXLLPJPAYAOCX-UHFFFAOYSA-N 0 0 431.493 -0.519 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c(C)c1 ZINC000483657657 1073453296 /nfs/dbraw/zinc/45/32/96/1073453296.db2.gz IHUMFHBIJAMRFL-UHFFFAOYSA-N 0 0 444.492 -0.041 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000483661454 1073454067 /nfs/dbraw/zinc/45/40/67/1073454067.db2.gz ZEUHLAFUXKVHGQ-UHFFFAOYSA-N 0 0 445.542 -0.456 20 0 IBADRN CC(C)CCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000483662290 1073454152 /nfs/dbraw/zinc/45/41/52/1073454152.db2.gz PLDQSIAGBILYPZ-UHFFFAOYSA-N 0 0 442.542 -0.411 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)CC1 ZINC000483665408 1073454028 /nfs/dbraw/zinc/45/40/28/1073454028.db2.gz WHHSJVFCWWCSDB-UHFFFAOYSA-N 0 0 446.551 -0.228 20 0 IBADRN CC(C)c1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000483666041 1073454050 /nfs/dbraw/zinc/45/40/50/1073454050.db2.gz BWGOJMKJDFFMKV-UHFFFAOYSA-N 0 0 426.499 -0.740 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C)cn(C)c2=O)CC1 ZINC000483666062 1073454168 /nfs/dbraw/zinc/45/41/68/1073454168.db2.gz CAKFJAGOZGAMEE-UHFFFAOYSA-N 0 0 437.478 -0.230 20 0 IBADRN CC(C)c1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000483666400 1073454261 /nfs/dbraw/zinc/45/42/61/1073454261.db2.gz CISWNUDBNHHDFI-UHFFFAOYSA-N 0 0 428.515 -0.797 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)cc1C(N)=O ZINC000483670165 1073455305 /nfs/dbraw/zinc/45/53/05/1073455305.db2.gz QQTRVZBDMAXXDL-UHFFFAOYSA-N 0 0 447.473 -0.064 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C)cc1C ZINC000483670552 1073455433 /nfs/dbraw/zinc/45/54/33/1073455433.db2.gz MXWBQZANSFFZLI-UHFFFAOYSA-N 0 0 440.522 -0.028 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1C ZINC000483672035 1073455260 /nfs/dbraw/zinc/45/52/60/1073455260.db2.gz PFILBTQQOOMGAF-UHFFFAOYSA-N 0 0 429.437 -0.854 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)c1C ZINC000483673137 1073455424 /nfs/dbraw/zinc/45/54/24/1073455424.db2.gz VHVKWLJOLGDSRO-GOSISDBHSA-N 0 0 442.476 -0.326 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)c1C ZINC000483673138 1073455278 /nfs/dbraw/zinc/45/52/78/1073455278.db2.gz VHVKWLJOLGDSRO-SFHVURJKSA-N 0 0 442.476 -0.326 20 0 IBADRN CC[C@H](C)c1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000483674437 1073455270 /nfs/dbraw/zinc/45/52/70/1073455270.db2.gz WCHTXPFANDJPSO-AWEZNQCLSA-N 0 0 440.526 -0.350 20 0 IBADRN CC[C@@H](C)c1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000483674438 1073455243 /nfs/dbraw/zinc/45/52/43/1073455243.db2.gz WCHTXPFANDJPSO-CQSZACIVSA-N 0 0 440.526 -0.350 20 0 IBADRN CN(C)c1nc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc2o1 ZINC000483674902 1073455361 /nfs/dbraw/zinc/45/53/61/1073455361.db2.gz XURDFEFDXLKFMR-UHFFFAOYSA-N 0 0 444.492 -0.165 20 0 IBADRN CC(C)c1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000483675523 1073454230 /nfs/dbraw/zinc/45/42/30/1073454230.db2.gz AEPUAWWVYCNSFK-UHFFFAOYSA-N 0 0 441.558 -0.882 20 0 IBADRN CC(C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000483675577 1074352599 /nfs/dbraw/zinc/35/25/99/1074352599.db2.gz AWNOOMYANLWYST-UHFFFAOYSA-N 0 0 427.531 -0.961 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c(C)c1 ZINC000483675818 1073454250 /nfs/dbraw/zinc/45/42/50/1073454250.db2.gz COXRAXTZNWQGMV-UHFFFAOYSA-N 0 0 426.495 -0.448 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1C ZINC000483675953 1073454192 /nfs/dbraw/zinc/45/41/92/1073454192.db2.gz BBQWSGFYWBFMCX-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000483676589 1073454129 /nfs/dbraw/zinc/45/41/29/1073454129.db2.gz DFUNSZHNXMXOLE-UHFFFAOYSA-N 0 0 439.494 -0.878 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1)S(C)(=O)=O ZINC000483676779 1073454207 /nfs/dbraw/zinc/45/42/07/1073454207.db2.gz CRGUNKPZRBTZBN-UHFFFAOYSA-N 0 0 440.478 -0.697 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C)S(C)(=O)=O ZINC000483676982 1073454094 /nfs/dbraw/zinc/45/40/94/1073454094.db2.gz DTTLFKCWBIKJRT-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c(C)c1 ZINC000483677032 1073453897 /nfs/dbraw/zinc/45/38/97/1073453897.db2.gz AWIVKJRLTJUAGW-UHFFFAOYSA-N 0 0 445.520 -0.175 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4c3CCO4)CC2)o1 ZINC000483677285 1073454082 /nfs/dbraw/zinc/45/40/82/1073454082.db2.gz HXGBYEBGTHVGDU-UHFFFAOYSA-N 0 0 448.457 -0.215 20 0 IBADRN CC(C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC000483677309 1073454041 /nfs/dbraw/zinc/45/40/41/1073454041.db2.gz HZOHJCOAQDDHGQ-GASCZTMLSA-N 0 0 442.542 -0.099 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1C ZINC000483677312 1073454649 /nfs/dbraw/zinc/45/46/49/1073454649.db2.gz ACQSEVBOVYXNCT-HNNXBMFYSA-N 0 0 438.506 -0.309 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1C ZINC000483677313 1073454538 /nfs/dbraw/zinc/45/45/38/1073454538.db2.gz ACQSEVBOVYXNCT-OAHLLOKOSA-N 0 0 438.506 -0.309 20 0 IBADRN CC(C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC000483677496 1073454008 /nfs/dbraw/zinc/45/40/08/1073454008.db2.gz HZOHJCOAQDDHGQ-GJZGRUSLSA-N 0 0 442.542 -0.099 20 0 IBADRN CC(C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC000483677498 1073454224 /nfs/dbraw/zinc/45/42/24/1073454224.db2.gz HZOHJCOAQDDHGQ-HUUCEWRRSA-N 0 0 442.542 -0.099 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cn1 ZINC000483678543 1073454573 /nfs/dbraw/zinc/45/45/73/1073454573.db2.gz CNDZVVXCGCXAST-HNNXBMFYSA-N 0 0 435.481 -0.030 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cn1 ZINC000483678555 1073454680 /nfs/dbraw/zinc/45/46/80/1073454680.db2.gz CNDZVVXCGCXAST-OAHLLOKOSA-N 0 0 435.481 -0.030 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(OCC(=O)NC)cc1C)S(C)(=O)=O ZINC000483679072 1073454551 /nfs/dbraw/zinc/45/45/51/1073454551.db2.gz HGHPMTJMJTXGBG-UHFFFAOYSA-N 0 0 428.511 -0.154 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1C ZINC000483679566 1073454639 /nfs/dbraw/zinc/45/46/39/1073454639.db2.gz FTFWDZGZDXWTRV-CYBMUJFWSA-N 0 0 446.551 -0.371 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1C ZINC000483679568 1073454629 /nfs/dbraw/zinc/45/46/29/1073454629.db2.gz FTFWDZGZDXWTRV-ZDUSSCGKSA-N 0 0 446.551 -0.371 20 0 IBADRN CC(C)COC[C@@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483679589 1073454593 /nfs/dbraw/zinc/45/45/93/1073454593.db2.gz FWAHUYAZJRUBAY-AWEZNQCLSA-N 0 0 428.511 -0.046 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483679592 1073454614 /nfs/dbraw/zinc/45/46/14/1073454614.db2.gz FWAHUYAZJRUBAY-CQSZACIVSA-N 0 0 428.511 -0.046 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1F ZINC000483680123 1073454411 /nfs/dbraw/zinc/45/44/11/1073454411.db2.gz FNUCDFUYWWAACZ-UHFFFAOYSA-N 0 0 440.403 -0.115 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1C ZINC000483680163 1073454507 /nfs/dbraw/zinc/45/45/07/1073454507.db2.gz FYKLSNCRDUJEQM-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N=c3ccn(CCC(C)C)[nH]3)CC2)no1 ZINC000483680221 1073454673 /nfs/dbraw/zinc/45/46/73/1073454673.db2.gz GKNPJMNKGACWOC-UHFFFAOYSA-N 0 0 431.497 -0.209 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000483680864 1073454656 /nfs/dbraw/zinc/45/46/56/1073454656.db2.gz HARICSLXUHWZOW-UHFFFAOYSA-N 0 0 446.508 -0.014 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC(C)C)c(C(N)=O)c2)CC1 ZINC000483681803 1073454446 /nfs/dbraw/zinc/45/44/46/1073454446.db2.gz JCZIEVSLZWJTMF-UHFFFAOYSA-N 0 0 447.492 -0.293 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c(C)c1 ZINC000483682399 1073454692 /nfs/dbraw/zinc/45/46/92/1073454692.db2.gz JJAONGJXRZKIEM-UHFFFAOYSA-N 0 0 447.492 -0.746 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cn1 ZINC000483682570 1073454543 /nfs/dbraw/zinc/45/45/43/1073454543.db2.gz JUOMQIDVDROKOS-GFCCVEGCSA-N 0 0 429.499 -0.340 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cn1 ZINC000483682572 1073454460 /nfs/dbraw/zinc/45/44/60/1073454460.db2.gz JUOMQIDVDROKOS-LBPRGKRZSA-N 0 0 429.499 -0.340 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)c1C ZINC000483682766 1073454428 /nfs/dbraw/zinc/45/44/28/1073454428.db2.gz NRZIDKOZAVRWAN-UHFFFAOYSA-N 0 0 448.524 -0.150 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1C ZINC000483683218 1073454471 /nfs/dbraw/zinc/45/44/71/1073454471.db2.gz KWNVJJFEVXGKAX-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1C ZINC000483683220 1073455267 /nfs/dbraw/zinc/45/52/67/1073455267.db2.gz KWNVJJFEVXGKAX-LBPRGKRZSA-N 0 0 432.524 -0.618 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1C ZINC000483683893 1073454491 /nfs/dbraw/zinc/45/44/91/1073454491.db2.gz LWEIECDFFFHVCE-UHFFFAOYSA-N 0 0 434.497 -0.540 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1OC ZINC000483684549 1073455288 /nfs/dbraw/zinc/45/52/88/1073455288.db2.gz MRLILTTUZUGLRW-UHFFFAOYSA-N 0 0 434.449 -0.384 20 0 IBADRN CC(C)c1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000483685866 1073455389 /nfs/dbraw/zinc/45/53/89/1073455389.db2.gz UYMQPZGHDRBSBI-UHFFFAOYSA-N 0 0 439.542 -0.825 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cc1OC ZINC000483686873 1073455470 /nfs/dbraw/zinc/45/54/70/1073455470.db2.gz WBOIHQVFYVCSIE-UHFFFAOYSA-N 0 0 442.428 -0.048 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cn1 ZINC000483687452 1073456137 /nfs/dbraw/zinc/45/61/37/1073456137.db2.gz VVIAPJURMQFLKD-UHFFFAOYSA-N 0 0 429.499 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4c3CCO4)CC2)CC1 ZINC000483687613 1073456064 /nfs/dbraw/zinc/45/60/64/1073456064.db2.gz XDNJVAWSHMOFJR-UHFFFAOYSA-N 0 0 437.522 -0.804 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000483687944 1073455991 /nfs/dbraw/zinc/45/59/91/1073455991.db2.gz UMJDWJDMMHREEW-UHFFFAOYSA-N 0 0 445.476 -0.816 20 0 IBADRN CC(C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000483689119 1073455897 /nfs/dbraw/zinc/45/58/97/1073455897.db2.gz ZILDZYROZYBHLZ-UHFFFAOYSA-N 0 0 438.466 -0.373 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cn1 ZINC000483689624 1073455878 /nfs/dbraw/zinc/45/58/78/1073455878.db2.gz VFXFMSQMXIMFKS-CYBMUJFWSA-N 0 0 443.526 -0.092 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cn1 ZINC000483689625 1073456035 /nfs/dbraw/zinc/45/60/35/1073456035.db2.gz VFXFMSQMXIMFKS-ZDUSSCGKSA-N 0 0 443.526 -0.092 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c(C)c1 ZINC000483689862 1073455787 /nfs/dbraw/zinc/45/57/87/1073455787.db2.gz WWDIRNQJRRUXEN-UHFFFAOYSA-N 0 0 433.509 -0.273 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)c1C ZINC000483691264 1073456122 /nfs/dbraw/zinc/45/61/22/1073456122.db2.gz ZEBFJFFWZAVFQY-HNNXBMFYSA-N 0 0 448.524 -0.152 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)c1C ZINC000483691266 1073456148 /nfs/dbraw/zinc/45/61/48/1073456148.db2.gz ZEBFJFFWZAVFQY-OAHLLOKOSA-N 0 0 448.524 -0.152 20 0 IBADRN CN(C)c1nc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc2o1 ZINC000483691941 1073456019 /nfs/dbraw/zinc/45/60/19/1073456019.db2.gz KSYQJAMGQGJOQE-UHFFFAOYSA-N 0 0 428.449 -0.218 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000483692632 1073456008 /nfs/dbraw/zinc/45/60/08/1073456008.db2.gz MVIASLJLWGYXHJ-UHFFFAOYSA-N 0 0 448.480 -0.931 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1C ZINC000483692885 1073456105 /nfs/dbraw/zinc/45/61/05/1073456105.db2.gz NHTXOBWFDKEBKW-UHFFFAOYSA-N 0 0 430.469 -0.969 20 0 IBADRN CC(C)CCn1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)n1 ZINC000483693031 1073456048 /nfs/dbraw/zinc/45/60/48/1073456048.db2.gz ZKYMNCYYCRLYMB-UHFFFAOYSA-N 0 0 432.481 -0.313 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1C ZINC000483693939 1073455953 /nfs/dbraw/zinc/45/59/53/1073455953.db2.gz BYYMWGWYXWJXEM-UHFFFAOYSA-N 0 0 437.522 -0.736 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)c1C ZINC000483694898 1073455914 /nfs/dbraw/zinc/45/59/14/1073455914.db2.gz AACBXDIBRZCUKW-UHFFFAOYSA-N 0 0 434.497 -0.540 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)OC)c2C)CC1 ZINC000483695649 1073455754 /nfs/dbraw/zinc/45/57/54/1073455754.db2.gz FZNLBBOPHIREGM-UHFFFAOYSA-N 0 0 436.513 -0.294 20 0 IBADRN COCC(=O)NC1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000483697052 1073455827 /nfs/dbraw/zinc/45/58/27/1073455827.db2.gz ILRAOKVVVLHKHV-LBPRGKRZSA-N 0 0 427.479 -0.512 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)c(=O)n(C)c1 ZINC000483697307 1073456691 /nfs/dbraw/zinc/45/66/91/1073456691.db2.gz FGIGWYIVEXJFOB-UHFFFAOYSA-N 0 0 439.538 -0.103 20 0 IBADRN CC[C@H](C)c1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000483697762 1073456630 /nfs/dbraw/zinc/45/66/30/1073456630.db2.gz JSAJRYMORQCRDA-AWEZNQCLSA-N 0 0 432.481 -0.542 20 0 IBADRN CC[C@@H](C)c1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000483697763 1073456739 /nfs/dbraw/zinc/45/67/39/1073456739.db2.gz JSAJRYMORQCRDA-CQSZACIVSA-N 0 0 432.481 -0.542 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c(=O)n(C)c1 ZINC000483700005 1073456854 /nfs/dbraw/zinc/45/68/54/1073456854.db2.gz JRAFJXVOBCXRLK-UHFFFAOYSA-N 0 0 433.490 -0.372 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)cc1C ZINC000483702097 1073456671 /nfs/dbraw/zinc/45/66/71/1073456671.db2.gz TZLWNQITTMQRFU-UHFFFAOYSA-N 0 0 432.477 -0.220 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cn1 ZINC000483702142 1073456825 /nfs/dbraw/zinc/45/68/25/1073456825.db2.gz ULUMXEKPESKVGS-UHFFFAOYSA-N 0 0 434.497 -0.457 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1C ZINC000483702660 1074352636 /nfs/dbraw/zinc/35/26/36/1074352636.db2.gz VWHADVSAZFPEAE-UHFFFAOYSA-N 0 0 447.452 -0.618 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c1C ZINC000483703168 1073456836 /nfs/dbraw/zinc/45/68/36/1073456836.db2.gz SAAFUQHZDZETOK-UHFFFAOYSA-N 0 0 441.452 -0.671 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCNC(=O)C3CCC3)CC2)o1 ZINC000483703574 1073456549 /nfs/dbraw/zinc/45/65/49/1073456549.db2.gz ULPWTYQIDRQMNZ-UHFFFAOYSA-N 0 0 441.510 -0.299 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000483704230 1073455805 /nfs/dbraw/zinc/45/58/05/1073455805.db2.gz ZFQRVBAHYCMYNL-UHFFFAOYSA-N 0 0 434.449 -0.400 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000483704977 1073456752 /nfs/dbraw/zinc/45/67/52/1073456752.db2.gz YQTPSGVOCGTORL-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000483705105 1073456881 /nfs/dbraw/zinc/45/68/81/1073456881.db2.gz ITHOEMMDSOYWOP-UHFFFAOYSA-N 0 0 437.456 -0.079 20 0 IBADRN COCC(=O)NC1CN(C(=O)[C@@H](NS(=O)(=O)c2ccc3c(c2)OCCO3)C(C)C)C1 ZINC000483705980 1073456082 /nfs/dbraw/zinc/45/60/82/1073456082.db2.gz DMECXPDEFUJXHY-SFHVURJKSA-N 0 0 441.506 -0.266 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1C(N)=O ZINC000483709103 1073457542 /nfs/dbraw/zinc/45/75/42/1073457542.db2.gz KEKMPBDATAUNAE-UHFFFAOYSA-N 0 0 431.493 -0.066 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cn1 ZINC000483709281 1073457410 /nfs/dbraw/zinc/45/74/10/1073457410.db2.gz KTTWMBXMINXDMC-UHFFFAOYSA-N 0 0 436.513 -0.211 20 0 IBADRN CC(C)CCn1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000483709488 1074352675 /nfs/dbraw/zinc/35/26/75/1074352675.db2.gz KDKGVUWZPWCPQD-UHFFFAOYSA-N 0 0 434.497 -0.603 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2C)CC1 ZINC000483709805 1073457433 /nfs/dbraw/zinc/45/74/33/1073457433.db2.gz LWTOUZOYAXKFCZ-UHFFFAOYSA-N 0 0 440.522 -0.011 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)CC1 ZINC000483710228 1073457595 /nfs/dbraw/zinc/45/75/95/1073457595.db2.gz MHYMYOMSXWKJAM-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1C ZINC000483713412 1073457449 /nfs/dbraw/zinc/45/74/49/1073457449.db2.gz SZGYKDLIIKOSTG-UHFFFAOYSA-N 0 0 439.538 -0.490 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000483713870 1073457365 /nfs/dbraw/zinc/45/73/65/1073457365.db2.gz VCYNPEINMHZWQJ-UHFFFAOYSA-N 0 0 436.465 -0.154 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCN(c2cnccn2)CC1 ZINC000483714808 1073456716 /nfs/dbraw/zinc/45/67/16/1073456716.db2.gz XBFFWEKPVVHHIE-UHFFFAOYSA-N 0 0 445.505 -0.057 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000483715387 1073456599 /nfs/dbraw/zinc/45/65/99/1073456599.db2.gz YISNCZBBRXBWJV-UHFFFAOYSA-N 0 0 448.476 -0.056 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCc2cccc(S(N)(=O)=O)c2)c1C ZINC000483715529 1073456815 /nfs/dbraw/zinc/45/68/15/1073456815.db2.gz ZETBJTBDLWGLKD-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cn1 ZINC000483716759 1073456582 /nfs/dbraw/zinc/45/65/82/1073456582.db2.gz ZTEHRTPKRDVLPS-UHFFFAOYSA-N 0 0 448.524 -0.114 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc1 ZINC000483718969 1073456645 /nfs/dbraw/zinc/45/66/45/1073456645.db2.gz FWJOVDQYOJBGJV-UHFFFAOYSA-N 0 0 437.522 -0.667 20 0 IBADRN Cc1ccnc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000483722217 1073456562 /nfs/dbraw/zinc/45/65/62/1073456562.db2.gz JNJBMTDYYUBZOR-UHFFFAOYSA-N 0 0 431.474 -0.235 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3cccnc3OCC(F)F)cnc2n(C)c1=O ZINC000483725263 1073457272 /nfs/dbraw/zinc/45/72/72/1073457272.db2.gz NZZUPYHACXZLJO-UHFFFAOYSA-N 0 0 448.386 -0.074 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)cn1 ZINC000483731897 1073457583 /nfs/dbraw/zinc/45/75/83/1073457583.db2.gz RTDYFJZXKSXJET-UHFFFAOYSA-N 0 0 448.505 -0.282 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(Cc2nnc3n2CCC3)cc1 ZINC000483732723 1073457569 /nfs/dbraw/zinc/45/75/69/1073457569.db2.gz MQWAHSGHBJFPEZ-GOSISDBHSA-N 0 0 442.520 -0.095 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(Cc2nnc3n2CCC3)cc1 ZINC000483732731 1073457461 /nfs/dbraw/zinc/45/74/61/1073457461.db2.gz MQWAHSGHBJFPEZ-SFHVURJKSA-N 0 0 442.520 -0.095 20 0 IBADRN CC[C@@H](C)c1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000483739591 1073457295 /nfs/dbraw/zinc/45/72/95/1073457295.db2.gz FDKMOQBIPWPDOF-CYBMUJFWSA-N 0 0 430.465 -0.252 20 0 IBADRN CC[C@H](C)c1ncc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000483739593 1073457577 /nfs/dbraw/zinc/45/75/77/1073457577.db2.gz FDKMOQBIPWPDOF-ZDUSSCGKSA-N 0 0 430.465 -0.252 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)C1)C(N)=O ZINC000483741839 1073457504 /nfs/dbraw/zinc/45/75/04/1073457504.db2.gz ACVPRLUZYWDOQW-UHFFFAOYSA-N 0 0 440.526 -0.044 20 0 IBADRN O=C(Nc1ccc(Cc2nnc3n2CCC3)cc1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000483742088 1073457340 /nfs/dbraw/zinc/45/73/40/1073457340.db2.gz KJIDONPWAIJPNU-INIZCTEOSA-N 0 0 426.477 -0.147 20 0 IBADRN O=C(Nc1ccc(Cc2nnc3n2CCC3)cc1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000483742089 1073457313 /nfs/dbraw/zinc/45/73/13/1073457313.db2.gz KJIDONPWAIJPNU-MRXNPFEDSA-N 0 0 426.477 -0.147 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c(C)c1 ZINC000483743756 1073457347 /nfs/dbraw/zinc/45/73/47/1073457347.db2.gz MSAQNDOTBXVNAU-CYBMUJFWSA-N 0 0 426.495 -0.401 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c(C)c1 ZINC000483743757 1073457394 /nfs/dbraw/zinc/45/73/94/1073457394.db2.gz MSAQNDOTBXVNAU-ZDUSSCGKSA-N 0 0 426.495 -0.401 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)c1C ZINC000483744540 1073457280 /nfs/dbraw/zinc/45/72/80/1073457280.db2.gz PJKLBSRSGVNCAQ-GFCCVEGCSA-N 0 0 434.405 -0.412 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)c1C ZINC000483744541 1073457384 /nfs/dbraw/zinc/45/73/84/1073457384.db2.gz PJKLBSRSGVNCAQ-LBPRGKRZSA-N 0 0 434.405 -0.412 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1)N1CCc2cc(Br)cc(F)c21 ZINC000483752736 1073458373 /nfs/dbraw/zinc/45/83/73/1073458373.db2.gz FSPDUNFGKCMKKI-LLVKDONJSA-N 0 0 439.241 -0.354 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1)N1CCc2cc(Br)cc(F)c21 ZINC000483752737 1073458385 /nfs/dbraw/zinc/45/83/85/1073458385.db2.gz FSPDUNFGKCMKKI-NSHDSACASA-N 0 0 439.241 -0.354 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCCCCN1C(=O)NC2(CCCC2)C1=O ZINC000483757694 1073458065 /nfs/dbraw/zinc/45/80/65/1073458065.db2.gz IEQHGZKGMDIVER-INIZCTEOSA-N 0 0 425.530 -0.034 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCCCCN1C(=O)NC2(CCCC2)C1=O ZINC000483757700 1073458411 /nfs/dbraw/zinc/45/84/11/1073458411.db2.gz IEQHGZKGMDIVER-MRXNPFEDSA-N 0 0 425.530 -0.034 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCS(=O)(=O)c1ccccc1 ZINC000483763440 1073457552 /nfs/dbraw/zinc/45/75/52/1073457552.db2.gz VLKRMSXKBSAKIG-UHFFFAOYSA-N 0 0 439.471 -0.168 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc(Cl)c1F ZINC000483765440 1073458304 /nfs/dbraw/zinc/45/83/04/1073458304.db2.gz YHZIWWHHIWIODF-SECBINFHSA-N 0 0 426.788 -0.627 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc(Cl)c1F ZINC000483765441 1073458390 /nfs/dbraw/zinc/45/83/90/1073458390.db2.gz YHZIWWHHIWIODF-VIFPVBQESA-N 0 0 426.788 -0.627 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000483766765 1073458180 /nfs/dbraw/zinc/45/81/80/1073458180.db2.gz DTSRTZDQYCSDCH-UHFFFAOYSA-N 0 0 447.500 -0.828 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)c1C ZINC000483768754 1073458283 /nfs/dbraw/zinc/45/82/83/1073458283.db2.gz HCXRFYLMAPSGCN-GOSISDBHSA-N 0 0 425.511 -0.373 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)c1C ZINC000483768755 1073458157 /nfs/dbraw/zinc/45/81/57/1073458157.db2.gz HCXRFYLMAPSGCN-SFHVURJKSA-N 0 0 425.511 -0.373 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)nc1 ZINC000483770851 1073458250 /nfs/dbraw/zinc/45/82/50/1073458250.db2.gz FGAWMGQYQDEWGX-GFCCVEGCSA-N 0 0 434.544 -0.276 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)Cn3ncn(C)c3=O)CC2)c1 ZINC000483780687 1073458034 /nfs/dbraw/zinc/45/80/34/1073458034.db2.gz CWQSVAFDODAUNY-UHFFFAOYSA-N 0 0 430.490 -0.028 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n(C)c1 ZINC000483783277 1073458426 /nfs/dbraw/zinc/45/84/26/1073458426.db2.gz JRJNMCFLOWVWDE-UHFFFAOYSA-N 0 0 441.535 -0.183 20 0 IBADRN CC(C)(O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000483789939 1073458357 /nfs/dbraw/zinc/45/83/57/1073458357.db2.gz ZEMKSOWYZBTZOV-UHFFFAOYSA-N 0 0 434.540 -0.489 20 0 IBADRN COc1ncc(NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1C(N)=O ZINC000483792468 1073458266 /nfs/dbraw/zinc/45/82/66/1073458266.db2.gz VHBXJSGZGQPPCT-UHFFFAOYSA-N 0 0 437.482 -0.231 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)c1C ZINC000483802463 1073459079 /nfs/dbraw/zinc/45/90/79/1073459079.db2.gz CXNXZMNMDMOPPZ-UHFFFAOYSA-N 0 0 448.524 -0.104 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)n(C)c1 ZINC000483807789 1073458397 /nfs/dbraw/zinc/45/83/97/1073458397.db2.gz MYQLTWCJNQIRLL-UHFFFAOYSA-N 0 0 448.501 -0.030 20 0 IBADRN O=C(NCCCNC(=O)C1CCC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000483808414 1073459096 /nfs/dbraw/zinc/45/90/96/1073459096.db2.gz NTVXHZMKLNYZEC-UHFFFAOYSA-N 0 0 431.559 -0.367 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000483811826 1073458964 /nfs/dbraw/zinc/45/89/64/1073458964.db2.gz AFFHADVXRULBCF-UHFFFAOYSA-N 0 0 438.462 -0.991 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2)c1C ZINC000483812454 1073458900 /nfs/dbraw/zinc/45/89/00/1073458900.db2.gz BGWMLVHMUVYSHE-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCN(c2cccnn2)CC1 ZINC000483812660 1073459150 /nfs/dbraw/zinc/45/91/50/1073459150.db2.gz BJDODDZFHWIEST-UHFFFAOYSA-N 0 0 445.505 -0.057 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2C)CC1 ZINC000483813171 1073458837 /nfs/dbraw/zinc/45/88/37/1073458837.db2.gz BTDHLMXTVLTJBS-UHFFFAOYSA-N 0 0 426.495 -0.448 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1)NCCc1ccccc1 ZINC000483817177 1073459069 /nfs/dbraw/zinc/45/90/69/1073459069.db2.gz JZJXCMYRIJNJCK-UHFFFAOYSA-N 0 0 438.440 -0.010 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2C[C@@H](OC)C[C@H]2C(N)=O)ccc1OC ZINC000483818418 1073458976 /nfs/dbraw/zinc/45/89/76/1073458976.db2.gz PHSKHBZLNPXFKQ-STQMWFEESA-N 0 0 430.483 -0.274 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCO1 ZINC000483819466 1073458944 /nfs/dbraw/zinc/45/89/44/1073458944.db2.gz JRWKQMOIXZONNC-CYBMUJFWSA-N 0 0 426.451 -0.795 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCO1 ZINC000483819473 1073459162 /nfs/dbraw/zinc/45/91/62/1073459162.db2.gz JRWKQMOIXZONNC-ZDUSSCGKSA-N 0 0 426.451 -0.795 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC(=O)N(Cc4ccccc4)C3)cnc2n(C)c1=O ZINC000483821856 1073459012 /nfs/dbraw/zinc/45/90/12/1073459012.db2.gz PGDXKCVKHGEOBM-UHFFFAOYSA-N 0 0 436.428 -0.601 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)c1C ZINC000483834287 1073459914 /nfs/dbraw/zinc/45/99/14/1073459914.db2.gz JYKFIRYCRXAQRX-UHFFFAOYSA-N 0 0 429.433 -0.007 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C ZINC000483836183 1073459888 /nfs/dbraw/zinc/45/98/88/1073459888.db2.gz GSXPVZKCEZLZPI-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)c1C ZINC000483839209 1073459821 /nfs/dbraw/zinc/45/98/21/1073459821.db2.gz ZMGTYBDTQUOTDU-HNNXBMFYSA-N 0 0 448.524 -0.105 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)c1C ZINC000483839210 1073459748 /nfs/dbraw/zinc/45/97/48/1073459748.db2.gz ZMGTYBDTQUOTDU-OAHLLOKOSA-N 0 0 448.524 -0.105 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)c1C ZINC000483842288 1073459779 /nfs/dbraw/zinc/45/97/79/1073459779.db2.gz RXTRBMOBKBITNK-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(F)ccc2OCC2CC2)CC1 ZINC000483847258 1073458918 /nfs/dbraw/zinc/45/89/18/1073458918.db2.gz HHQYJYBAGROWAF-UHFFFAOYSA-N 0 0 431.428 -0.090 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N[C@H]2COC[C@H]2n2ccnn2)ccc1OC ZINC000483847833 1073459054 /nfs/dbraw/zinc/45/90/54/1073459054.db2.gz PHOCBSZZNGPJCC-UONOGXRCSA-N 0 0 440.482 -0.027 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)nc1 ZINC000483862317 1073459901 /nfs/dbraw/zinc/45/99/01/1073459901.db2.gz LIUKAUBKKVPANF-UHFFFAOYSA-N 0 0 440.507 -0.077 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(F)cc(C(=O)OC)c1F ZINC000483877245 1073460588 /nfs/dbraw/zinc/46/05/88/1073460588.db2.gz DKZLLKBSXUCLTB-GFCCVEGCSA-N 0 0 426.376 -0.457 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(F)cc(C(=O)OC)c1F ZINC000483877246 1073460598 /nfs/dbraw/zinc/46/05/98/1073460598.db2.gz DKZLLKBSXUCLTB-LBPRGKRZSA-N 0 0 426.376 -0.457 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OC(C)C)c(C(N)=O)c1 ZINC000483879003 1073459895 /nfs/dbraw/zinc/45/98/95/1073459895.db2.gz JGQLMHCIMIZLRE-AWEZNQCLSA-N 0 0 433.465 -0.636 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OC(C)C)c(C(N)=O)c1 ZINC000483879019 1073459761 /nfs/dbraw/zinc/45/97/61/1073459761.db2.gz JGQLMHCIMIZLRE-CQSZACIVSA-N 0 0 433.465 -0.636 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483879050 1073459702 /nfs/dbraw/zinc/45/97/02/1073459702.db2.gz CMQRFTVKJDSRFO-UHFFFAOYSA-N 0 0 427.552 -0.030 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)c1C ZINC000483880102 1073459831 /nfs/dbraw/zinc/45/98/31/1073459831.db2.gz GUXRPRWYHNKCPH-UHFFFAOYSA-N 0 0 438.462 -0.098 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(OCC(=O)NC)cc1C ZINC000483881292 1073459794 /nfs/dbraw/zinc/45/97/94/1073459794.db2.gz QWQBCYCOKDZUBK-UHFFFAOYSA-N 0 0 428.511 -0.154 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(OC)c(OC)c(C)c1OC ZINC000483882247 1073459825 /nfs/dbraw/zinc/45/98/25/1073459825.db2.gz RIKUFWXZBLQZRG-CYBMUJFWSA-N 0 0 436.465 -0.187 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(OC)c(OC)c(C)c1OC ZINC000483882248 1073459735 /nfs/dbraw/zinc/45/97/35/1073459735.db2.gz RIKUFWXZBLQZRG-ZDUSSCGKSA-N 0 0 436.465 -0.187 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(Cc3nnc4n3CCC4)cc2)C1 ZINC000483882305 1073459680 /nfs/dbraw/zinc/45/96/80/1073459680.db2.gz LHICSSHEZDRRQC-HNNXBMFYSA-N 0 0 432.506 -0.096 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(Cc3nnc4n3CCC4)cc2)C1 ZINC000483882306 1073459720 /nfs/dbraw/zinc/45/97/20/1073459720.db2.gz LHICSSHEZDRRQC-OAHLLOKOSA-N 0 0 432.506 -0.096 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(-n2cc(C)[nH]c2=O)c1 ZINC000483883843 1073460480 /nfs/dbraw/zinc/46/04/80/1073460480.db2.gz ULZOIDIKFATURU-HNNXBMFYSA-N 0 0 428.449 -0.322 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(-n2cc(C)[nH]c2=O)c1 ZINC000483883845 1073460523 /nfs/dbraw/zinc/46/05/23/1073460523.db2.gz ULZOIDIKFATURU-OAHLLOKOSA-N 0 0 428.449 -0.322 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(S(C)(=O)=O)cc(C)c1C ZINC000483884273 1073459882 /nfs/dbraw/zinc/45/98/82/1073459882.db2.gz VCXDAAQXDBQKSA-HNNXBMFYSA-N 0 0 438.506 -0.501 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(S(C)(=O)=O)cc(C)c1C ZINC000483884274 1073460571 /nfs/dbraw/zinc/46/05/71/1073460571.db2.gz VCXDAAQXDBQKSA-OAHLLOKOSA-N 0 0 438.506 -0.501 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1 ZINC000483884322 1073460535 /nfs/dbraw/zinc/46/05/35/1073460535.db2.gz VFLVNMQDCSNXCD-HNNXBMFYSA-N 0 0 432.481 -0.356 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1 ZINC000483884327 1073460557 /nfs/dbraw/zinc/46/05/57/1073460557.db2.gz VFLVNMQDCSNXCD-OAHLLOKOSA-N 0 0 432.481 -0.356 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(Cc2nnc3n2CCC3)cc1)CN1CCOCC1 ZINC000483885470 1073460580 /nfs/dbraw/zinc/46/05/80/1073460580.db2.gz XBLIKELWIDBSEG-JOCHJYFZSA-N 0 0 442.520 -0.047 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(Cc2nnc3n2CCC3)cc1)CN1CCOCC1 ZINC000483885471 1073460460 /nfs/dbraw/zinc/46/04/60/1073460460.db2.gz XBLIKELWIDBSEG-QFIPXVFZSA-N 0 0 442.520 -0.047 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)ccc2OCC2CC2)CC1 ZINC000483886931 1073460525 /nfs/dbraw/zinc/46/05/25/1073460525.db2.gz NJXBZFCXDPQIQX-UHFFFAOYSA-N 0 0 428.486 -0.014 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483890674 1073460564 /nfs/dbraw/zinc/46/05/64/1073460564.db2.gz UCCXZMWZOJLEBD-UHFFFAOYSA-N 0 0 430.508 -0.173 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)c1C ZINC000483894766 1073461177 /nfs/dbraw/zinc/46/11/77/1073461177.db2.gz HUDABJIUUMNKCN-UHFFFAOYSA-N 0 0 428.449 -0.249 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1OC ZINC000483897835 1073461298 /nfs/dbraw/zinc/46/12/98/1073461298.db2.gz QSEMJFBDJJUZCY-UHFFFAOYSA-N 0 0 445.519 -0.085 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000483898047 1073461391 /nfs/dbraw/zinc/46/13/91/1073461391.db2.gz MVTYALFJTYRQCH-UHFFFAOYSA-N 0 0 426.433 -0.418 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000483898959 1073461271 /nfs/dbraw/zinc/46/12/71/1073461271.db2.gz OKDRWBVWWGCCRQ-UHFFFAOYSA-N 0 0 447.521 -0.128 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1)CN1CCOCC1 ZINC000483907740 1073460550 /nfs/dbraw/zinc/46/05/50/1073460550.db2.gz DXPUKINQFQMUSQ-HNNXBMFYSA-N 0 0 439.538 -0.111 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1)CN1CCOCC1 ZINC000483907741 1073460515 /nfs/dbraw/zinc/46/05/15/1073460515.db2.gz DXPUKINQFQMUSQ-OAHLLOKOSA-N 0 0 439.538 -0.111 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CC2)n1 ZINC000483909995 1073460443 /nfs/dbraw/zinc/46/04/43/1073460443.db2.gz JISPGAMIXFSJPT-UHFFFAOYSA-N 0 0 442.432 -0.449 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)c1C ZINC000483910386 1073460426 /nfs/dbraw/zinc/46/04/26/1073460426.db2.gz VZLSPRCGKWUUCN-UHFFFAOYSA-N 0 0 442.476 -0.232 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC(=O)N(Cc3ccccc3)C2)cn1 ZINC000483911541 1073460529 /nfs/dbraw/zinc/46/05/29/1073460529.db2.gz FLFDMUIZCVBVLX-UHFFFAOYSA-N 0 0 428.449 -0.587 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCNc1cnccn1)N1CCOCC1 ZINC000483912459 1073320783 /nfs/dbraw/zinc/32/07/83/1073320783.db2.gz JOWJFUAURJQFOR-UHFFFAOYSA-N 0 0 428.449 -0.119 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC(=O)N(Cc3ccccc3)C2)cn1)N1CCOCC1 ZINC000483912808 1073461202 /nfs/dbraw/zinc/46/12/02/1073461202.db2.gz HXYLOLGIQGGHOL-UHFFFAOYSA-N 0 0 440.460 -0.491 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCC[C@H](OCCO)C1)N1CCOCC1 ZINC000483916390 1073461329 /nfs/dbraw/zinc/46/13/29/1073461329.db2.gz PKYUVHJLOVONNF-INIZCTEOSA-N 0 0 435.477 -0.137 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCC[C@@H](OCCO)C1)N1CCOCC1 ZINC000483916397 1073461309 /nfs/dbraw/zinc/46/13/09/1073461309.db2.gz PKYUVHJLOVONNF-MRXNPFEDSA-N 0 0 435.477 -0.137 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)c1C ZINC000483917251 1073461278 /nfs/dbraw/zinc/46/12/78/1073461278.db2.gz COGHVSMECUVFLW-UHFFFAOYSA-N 0 0 448.524 -0.294 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1F ZINC000483922279 1073461407 /nfs/dbraw/zinc/46/14/07/1073461407.db2.gz KJKLSXKWLIJVBW-UHFFFAOYSA-N 0 0 440.403 -0.113 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC(=O)N(Cc3ccccc3)C2)n1)N1CCOCC1 ZINC000483922444 1074352510 /nfs/dbraw/zinc/35/25/10/1074352510.db2.gz GOLIOVWAZAEEBG-UHFFFAOYSA-N 0 0 440.460 -0.491 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCC[C@H](OCCO)C1 ZINC000483923632 1073461219 /nfs/dbraw/zinc/46/12/19/1073461219.db2.gz LIIPRGADMIZKIX-AWEZNQCLSA-N 0 0 426.495 -0.196 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCC[C@@H](OCCO)C1 ZINC000483923633 1073461293 /nfs/dbraw/zinc/46/12/93/1073461293.db2.gz LIIPRGADMIZKIX-CQSZACIVSA-N 0 0 426.495 -0.196 20 0 IBADRN Cc1cnn(C[C@@H]2CN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CCO2)c1 ZINC000483925888 1073462076 /nfs/dbraw/zinc/46/20/76/1073462076.db2.gz AJPAHXMANNTNMH-KRWDZBQOSA-N 0 0 441.444 -0.053 20 0 IBADRN Cc1cnn(C[C@H]2CN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CCO2)c1 ZINC000483925892 1073462023 /nfs/dbraw/zinc/46/20/23/1073462023.db2.gz AJPAHXMANNTNMH-QGZVFWFLSA-N 0 0 441.444 -0.053 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c(C)c1 ZINC000483926692 1073461901 /nfs/dbraw/zinc/46/19/01/1073461901.db2.gz JSULBGZXKPOTMI-UHFFFAOYSA-N 0 0 440.522 -0.106 20 0 IBADRN O=C(Nc1cccc2c1CCO2)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000483927950 1073461896 /nfs/dbraw/zinc/46/18/96/1073461896.db2.gz DIPWHGNHRHDADZ-UHFFFAOYSA-N 0 0 438.506 -0.282 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCCN1C(=O)CCC1=O)N1CCOCC1 ZINC000483928178 1073462071 /nfs/dbraw/zinc/46/20/71/1073462071.db2.gz RZYWPQBGCYPLEX-UHFFFAOYSA-N 0 0 446.460 -0.482 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c(C)c1 ZINC000483928929 1073461883 /nfs/dbraw/zinc/46/18/83/1073461883.db2.gz FTBMCBPGDUYKBK-INIZCTEOSA-N 0 0 428.449 -0.286 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c(C)c1 ZINC000483928934 1073462738 /nfs/dbraw/zinc/46/27/38/1073462738.db2.gz FTBMCBPGDUYKBK-MRXNPFEDSA-N 0 0 428.449 -0.286 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1OC ZINC000483929296 1073462860 /nfs/dbraw/zinc/46/28/60/1073462860.db2.gz UJQZGAHXFDFJNI-UHFFFAOYSA-N 0 0 434.449 -0.383 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CC(=O)N(Cc2ccccc2)C1 ZINC000483929838 1073462878 /nfs/dbraw/zinc/46/28/78/1073462878.db2.gz RXMRHBWLKWGKGX-UHFFFAOYSA-N 0 0 426.477 -0.018 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(OC(C)C)c(C(N)=O)c2)CC1 ZINC000483930051 1073462901 /nfs/dbraw/zinc/46/29/01/1073462901.db2.gz WJVSCCCLCKVLQR-UHFFFAOYSA-N 0 0 447.492 -0.292 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CC(=O)N(Cc2ccccc2)C1 ZINC000483930539 1073462714 /nfs/dbraw/zinc/46/27/14/1073462714.db2.gz TZLQSHSIYMYOMI-UHFFFAOYSA-N 0 0 426.477 -0.018 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(Cc2ccon2)CC1 ZINC000483932467 1073462892 /nfs/dbraw/zinc/46/28/92/1073462892.db2.gz KZTMMOSKXCPDJD-UHFFFAOYSA-N 0 0 427.417 -0.153 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483933163 1073462895 /nfs/dbraw/zinc/46/28/95/1073462895.db2.gz NAECSHSNQHQMRC-AWEZNQCLSA-N 0 0 425.511 -0.359 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000483933165 1073462874 /nfs/dbraw/zinc/46/28/74/1073462874.db2.gz NAECSHSNQHQMRC-CQSZACIVSA-N 0 0 425.511 -0.359 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000483933376 1073462905 /nfs/dbraw/zinc/46/29/05/1073462905.db2.gz XCYWTWNVJPHZSS-UHFFFAOYSA-N 0 0 440.460 -0.407 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CC2)cn1 ZINC000483935844 1073463543 /nfs/dbraw/zinc/46/35/43/1073463543.db2.gz XDDPUIQZAINJGK-UHFFFAOYSA-N 0 0 440.460 -0.407 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)c1C ZINC000483935949 1073463578 /nfs/dbraw/zinc/46/35/78/1073463578.db2.gz WZMYWWTYBRZTHD-UHFFFAOYSA-N 0 0 434.497 -0.636 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c1C ZINC000483938131 1073463568 /nfs/dbraw/zinc/46/35/68/1073463568.db2.gz HRCVFRUTSIUGBI-IBGZPJMESA-N 0 0 427.523 -0.155 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c1C ZINC000483938133 1073463384 /nfs/dbraw/zinc/46/33/84/1073463384.db2.gz HRCVFRUTSIUGBI-LJQANCHMSA-N 0 0 427.523 -0.155 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000483938248 1073463401 /nfs/dbraw/zinc/46/34/01/1073463401.db2.gz ASGZYRVTYYQUOY-UHFFFAOYSA-N 0 0 440.478 -0.697 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000483939535 1073464253 /nfs/dbraw/zinc/46/42/53/1073464253.db2.gz KFDMUXMOOZKLJF-MRUHUIDDSA-N 0 0 435.525 -0.167 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000483939538 1073464355 /nfs/dbraw/zinc/46/43/55/1073464355.db2.gz KFDMUXMOOZKLJF-VWKPWSFCSA-N 0 0 435.525 -0.167 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000483939539 1073464330 /nfs/dbraw/zinc/46/43/30/1073464330.db2.gz KFDMUXMOOZKLJF-WHSLLNHNSA-N 0 0 435.525 -0.167 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000483939541 1073463604 /nfs/dbraw/zinc/46/36/04/1073463604.db2.gz KFDMUXMOOZKLJF-ZOCZFRKYSA-N 0 0 435.525 -0.167 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2ccc(F)c(F)c2)cn1 ZINC000483940360 1073464213 /nfs/dbraw/zinc/46/42/13/1073464213.db2.gz JVZBRNQUENNORE-PBHICJAKSA-N 0 0 434.403 -0.066 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@@H]2c2ccc(F)c(F)c2)cn1 ZINC000483940363 1073464310 /nfs/dbraw/zinc/46/43/10/1073464310.db2.gz JVZBRNQUENNORE-RHSMWYFYSA-N 0 0 434.403 -0.066 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)[C@@H]2c2ccc(F)c(F)c2)cn1 ZINC000483940366 1073464228 /nfs/dbraw/zinc/46/42/28/1073464228.db2.gz JVZBRNQUENNORE-WMLDXEAASA-N 0 0 434.403 -0.066 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)[C@H]2c2ccc(F)c(F)c2)cn1 ZINC000483940371 1073464247 /nfs/dbraw/zinc/46/42/47/1073464247.db2.gz JVZBRNQUENNORE-YOEHRIQHSA-N 0 0 434.403 -0.066 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000483949593 1073464367 /nfs/dbraw/zinc/46/43/67/1073464367.db2.gz CJLLXMOWZNGGQJ-AWEZNQCLSA-N 0 0 441.506 -0.198 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000483949596 1073464242 /nfs/dbraw/zinc/46/42/42/1073464242.db2.gz CJLLXMOWZNGGQJ-CQSZACIVSA-N 0 0 441.506 -0.198 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000483950436 1073464289 /nfs/dbraw/zinc/46/42/89/1073464289.db2.gz SUAYDCHLGPDVEI-HNNXBMFYSA-N 0 0 432.477 -0.023 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000483950437 1073464324 /nfs/dbraw/zinc/46/43/24/1073464324.db2.gz SUAYDCHLGPDVEI-OAHLLOKOSA-N 0 0 432.477 -0.023 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1=O ZINC000483950794 1073464221 /nfs/dbraw/zinc/46/42/21/1073464221.db2.gz DPZNFRFTUKLALG-INIZCTEOSA-N 0 0 432.477 -0.012 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1=O ZINC000483950797 1073464351 /nfs/dbraw/zinc/46/43/51/1073464351.db2.gz DPZNFRFTUKLALG-MRXNPFEDSA-N 0 0 432.477 -0.012 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)c1C ZINC000483951461 1073464235 /nfs/dbraw/zinc/46/42/35/1073464235.db2.gz GJOGJZCQFQEPQW-UHFFFAOYSA-N 0 0 437.478 -0.130 20 0 IBADRN CCOC[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000483955396 1073463523 /nfs/dbraw/zinc/46/35/23/1073463523.db2.gz KVDZORYVOUWMGX-AWEZNQCLSA-N 0 0 438.506 -0.369 20 0 IBADRN CCOC[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000483955397 1073463433 /nfs/dbraw/zinc/46/34/33/1073463433.db2.gz KVDZORYVOUWMGX-CQSZACIVSA-N 0 0 438.506 -0.369 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCS(=O)(=O)CC1)N1CCOCC1 ZINC000483955514 1073463447 /nfs/dbraw/zinc/46/34/47/1073463447.db2.gz YWALPPNJMSYEBM-UHFFFAOYSA-N 0 0 425.463 -0.880 20 0 IBADRN CS(=O)(=O)N(CCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Cc1ccccc1 ZINC000483962956 1073463348 /nfs/dbraw/zinc/46/33/48/1073463348.db2.gz MOSTZHUQGSTZIB-UHFFFAOYSA-N 0 0 436.534 -0.259 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCO1 ZINC000483964270 1073464951 /nfs/dbraw/zinc/46/49/51/1073464951.db2.gz SSYBMBGILRVTHK-INIZCTEOSA-N 0 0 448.476 -0.774 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCO1 ZINC000483964271 1073464990 /nfs/dbraw/zinc/46/49/90/1073464990.db2.gz SSYBMBGILRVTHK-MRXNPFEDSA-N 0 0 448.476 -0.774 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3cnn(CC(=O)OC)c3C)C2)nc1 ZINC000483964846 1073464910 /nfs/dbraw/zinc/46/49/10/1073464910.db2.gz XMTLFPNTGWPGJP-UHFFFAOYSA-N 0 0 444.448 -0.120 20 0 IBADRN C[C@H](c1nnnn1C1CC1)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000483965042 1073464897 /nfs/dbraw/zinc/46/48/97/1073464897.db2.gz WXANUERUHWRINZ-GFCCVEGCSA-N 0 0 441.539 -0.277 20 0 IBADRN C[C@@H](c1nnnn1C1CC1)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000483965044 1073464946 /nfs/dbraw/zinc/46/49/46/1073464946.db2.gz WXANUERUHWRINZ-LBPRGKRZSA-N 0 0 441.539 -0.277 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1 ZINC000483970242 1073316862 /nfs/dbraw/zinc/31/68/62/1073316862.db2.gz BKEJJCQYIUCRKH-AWEZNQCLSA-N 0 0 447.883 -0.229 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1 ZINC000483970243 1073317083 /nfs/dbraw/zinc/31/70/83/1073317083.db2.gz BKEJJCQYIUCRKH-CQSZACIVSA-N 0 0 447.883 -0.229 20 0 IBADRN Cc1cncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1 ZINC000483971229 1073464316 /nfs/dbraw/zinc/46/43/16/1073464316.db2.gz JQKRUSYVGTXCMD-HOTGVXAUSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1 ZINC000483971230 1073464203 /nfs/dbraw/zinc/46/42/03/1073464203.db2.gz JQKRUSYVGTXCMD-HZPDHXFCSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1 ZINC000483971231 1073464363 /nfs/dbraw/zinc/46/43/63/1073464363.db2.gz JQKRUSYVGTXCMD-IYBDPMFKSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000483973575 1073464301 /nfs/dbraw/zinc/46/43/01/1073464301.db2.gz LMAHNHJOHXUQJX-UHFFFAOYSA-N 0 0 435.462 -0.769 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)CN1CCOCC1 ZINC000483976802 1073464799 /nfs/dbraw/zinc/46/47/99/1073464799.db2.gz NKBOWXOLBVTETI-KRWDZBQOSA-N 0 0 448.520 -0.053 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)CN1CCOCC1 ZINC000483976809 1073464976 /nfs/dbraw/zinc/46/49/76/1073464976.db2.gz NKBOWXOLBVTETI-QGZVFWFLSA-N 0 0 448.520 -0.053 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CCN(Cc1ccccc1)S(C)(=O)=O)C2 ZINC000483980728 1073464843 /nfs/dbraw/zinc/46/48/43/1073464843.db2.gz DSKLIURLQVWIIC-UHFFFAOYSA-N 0 0 436.538 -0.590 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1OC ZINC000483988777 1073465559 /nfs/dbraw/zinc/46/55/59/1073465559.db2.gz AXUCAIWTCRZVKZ-UHFFFAOYSA-N 0 0 429.433 -0.137 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000483993595 1073465742 /nfs/dbraw/zinc/46/57/42/1073465742.db2.gz NXDKGGCSUKTGKM-UHFFFAOYSA-N 0 0 439.538 -0.015 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1C(N)=O ZINC000483996223 1073465727 /nfs/dbraw/zinc/46/57/27/1073465727.db2.gz WMJFCPVXHIILCC-UHFFFAOYSA-N 0 0 442.476 -0.046 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c(C)c1 ZINC000483997371 1073465666 /nfs/dbraw/zinc/46/56/66/1073465666.db2.gz ZQCSOMTUWGEAFR-UHFFFAOYSA-N 0 0 442.476 -0.499 20 0 IBADRN Cc1ccc2[nH]c(CNC(=O)C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)nc2c1 ZINC000483998568 1073465733 /nfs/dbraw/zinc/46/57/33/1073465733.db2.gz CRXQIXKMZGNXCK-INIZCTEOSA-N 0 0 442.520 -0.121 20 0 IBADRN Cc1ccc2[nH]c(CNC(=O)C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)nc2c1 ZINC000483998571 1073465644 /nfs/dbraw/zinc/46/56/44/1073465644.db2.gz CRXQIXKMZGNXCK-MRXNPFEDSA-N 0 0 442.520 -0.121 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CC2=O)cn1 ZINC000483999301 1073464875 /nfs/dbraw/zinc/46/48/75/1073464875.db2.gz BZSCNOQDZXDWTK-UHFFFAOYSA-N 0 0 440.416 -0.876 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1OC ZINC000483999306 1073464926 /nfs/dbraw/zinc/46/49/26/1073464926.db2.gz BUPMOVFOUVHUNN-UHFFFAOYSA-N 0 0 440.434 -0.356 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1F ZINC000483999996 1073464774 /nfs/dbraw/zinc/46/47/74/1073464774.db2.gz CWXGCAVWSMXONJ-UHFFFAOYSA-N 0 0 446.388 -0.086 20 0 IBADRN CCN1CCN(CC(=O)NC[C@@H](O)COc2ccccc2Br)C(=O)C1=O ZINC000484000730 1073464922 /nfs/dbraw/zinc/46/49/22/1073464922.db2.gz DIEKFMNNWJIMPC-GFCCVEGCSA-N 0 0 428.283 -0.004 20 0 IBADRN CCN1CCN(CC(=O)NC[C@H](O)COc2ccccc2Br)C(=O)C1=O ZINC000484000731 1073464835 /nfs/dbraw/zinc/46/48/35/1073464835.db2.gz DIEKFMNNWJIMPC-LBPRGKRZSA-N 0 0 428.283 -0.004 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c(C)c1 ZINC000484001465 1073464851 /nfs/dbraw/zinc/46/48/51/1073464851.db2.gz FUTXIXGIYFKEIL-UHFFFAOYSA-N 0 0 428.449 -0.333 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC(=O)Nc3ccc(Br)cc32)c(=O)n(C)c1=O ZINC000484001734 1073464887 /nfs/dbraw/zinc/46/48/87/1073464887.db2.gz DZVSCVHSIUBFJF-UHFFFAOYSA-N 0 0 429.252 -0.006 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000484001856 1073464863 /nfs/dbraw/zinc/46/48/63/1073464863.db2.gz FTBPKVGKQGVSIL-UHFFFAOYSA-N 0 0 448.524 -0.302 20 0 IBADRN Cc1ccc2[nH]c(CNC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)nc2c1 ZINC000484003225 1073464963 /nfs/dbraw/zinc/46/49/63/1073464963.db2.gz LQTWSXPYSMCXJG-UHFFFAOYSA-N 0 0 428.493 -0.509 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)cc(C(=O)OC)c2F)CC1 ZINC000484003413 1073464785 /nfs/dbraw/zinc/46/47/85/1073464785.db2.gz HQPICHXNICZFIG-UHFFFAOYSA-N 0 0 442.419 -0.403 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000484004124 1073464817 /nfs/dbraw/zinc/46/48/17/1073464817.db2.gz HVRIGMWNVHYSBO-HNNXBMFYSA-N 0 0 439.538 -0.280 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000484004125 1073464933 /nfs/dbraw/zinc/46/49/33/1073464933.db2.gz HVRIGMWNVHYSBO-OAHLLOKOSA-N 0 0 439.538 -0.280 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(OC)c2)CC1 ZINC000484004139 1073465641 /nfs/dbraw/zinc/46/56/41/1073465641.db2.gz IAIUDHOCABEBAW-UHFFFAOYSA-N 0 0 436.465 -0.673 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCCN1CCOCC1)N1CCOCC1 ZINC000484008131 1073465678 /nfs/dbraw/zinc/46/56/78/1073465678.db2.gz NRMDUESAIRSTPM-UHFFFAOYSA-N 0 0 434.493 -0.299 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC(C)C)c(C(N)=O)c2)CC1 ZINC000484008210 1073465615 /nfs/dbraw/zinc/46/56/15/1073465615.db2.gz OBBPREHNGHMFKV-UHFFFAOYSA-N 0 0 449.508 -0.582 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000484009078 1073465627 /nfs/dbraw/zinc/46/56/27/1073465627.db2.gz GCWDMONZWQCWRN-UHFFFAOYSA-N 0 0 430.461 -0.162 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCO1 ZINC000484009212 1073465656 /nfs/dbraw/zinc/46/56/56/1073465656.db2.gz OXHQXMPXSJBCAR-CYBMUJFWSA-N 0 0 440.478 -0.405 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCO1 ZINC000484009223 1073465719 /nfs/dbraw/zinc/46/57/19/1073465719.db2.gz OXHQXMPXSJBCAR-ZDUSSCGKSA-N 0 0 440.478 -0.405 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)c1C ZINC000484009255 1073465712 /nfs/dbraw/zinc/46/57/12/1073465712.db2.gz APHRXMUCPFBLLC-UHFFFAOYSA-N 0 0 445.480 -0.295 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000484011659 1073466445 /nfs/dbraw/zinc/46/64/45/1073466445.db2.gz COMUSQCKIULBMI-IBGZPJMESA-N 0 0 435.506 -0.067 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000484011660 1073466428 /nfs/dbraw/zinc/46/64/28/1073466428.db2.gz COMUSQCKIULBMI-LJQANCHMSA-N 0 0 435.506 -0.067 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3cc(C)[nH]c3=O)c2)CC1 ZINC000484011779 1073466449 /nfs/dbraw/zinc/46/64/49/1073466449.db2.gz PHBZFJLVRIYOFM-UHFFFAOYSA-N 0 0 444.492 -0.268 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cc(S(=O)(=O)N4CCCC4)ccn3)CC2)cn1 ZINC000484012609 1073466416 /nfs/dbraw/zinc/46/64/16/1073466416.db2.gz VJUSJEXGCATLGT-UHFFFAOYSA-N 0 0 447.521 -0.113 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc(C(=O)NCCC(N)=O)c3)c2c(=O)n(C)c1=O ZINC000484014188 1073466300 /nfs/dbraw/zinc/46/63/00/1073466300.db2.gz SOKIZQOEGDSTNI-UHFFFAOYSA-N 0 0 441.448 -0.932 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)C(=O)C1=O ZINC000484014967 1073466177 /nfs/dbraw/zinc/46/61/77/1073466177.db2.gz SCROLBKPYVYDEX-KRWDZBQOSA-N 0 0 446.504 -0.333 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)C(=O)C1=O ZINC000484014972 1073466357 /nfs/dbraw/zinc/46/63/57/1073466357.db2.gz SCROLBKPYVYDEX-QGZVFWFLSA-N 0 0 446.504 -0.333 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C(=O)C1=O ZINC000484015332 1073466261 /nfs/dbraw/zinc/46/62/61/1073466261.db2.gz VVHHRNGEFNXVIH-UHFFFAOYSA-N 0 0 427.505 -0.589 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCCN1CCCC1=O)N1CCOCC1 ZINC000484015670 1073466403 /nfs/dbraw/zinc/46/64/03/1073466403.db2.gz VBPSIRYWUFBQNK-UHFFFAOYSA-N 0 0 432.477 -0.009 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(OC)c(C)c1OC ZINC000484016977 1073466999 /nfs/dbraw/zinc/46/69/99/1073466999.db2.gz IBPXWCXLTJNCQQ-UHFFFAOYSA-N 0 0 444.510 -0.608 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CC2)cn1 ZINC000484017297 1073467046 /nfs/dbraw/zinc/46/70/46/1073467046.db2.gz ZFPBXLXVJQYJDI-UHFFFAOYSA-N 0 0 426.433 -0.403 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1C ZINC000484017971 1073466994 /nfs/dbraw/zinc/46/69/94/1073466994.db2.gz JEQZSEUEHUFPBL-UHFFFAOYSA-N 0 0 446.551 -0.922 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000484020729 1073466916 /nfs/dbraw/zinc/46/69/16/1073466916.db2.gz MINDLGSVXQTXAH-UHFFFAOYSA-N 0 0 440.526 -0.777 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000484021512 1073467016 /nfs/dbraw/zinc/46/70/16/1073467016.db2.gz WFWPYYBKUGGSQZ-HNNXBMFYSA-N 0 0 430.461 -0.304 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000484021513 1073466974 /nfs/dbraw/zinc/46/69/74/1073466974.db2.gz WFWPYYBKUGGSQZ-OAHLLOKOSA-N 0 0 430.461 -0.304 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C ZINC000484022080 1073466958 /nfs/dbraw/zinc/46/69/58/1073466958.db2.gz CWQILNQGLSCXHQ-UHFFFAOYSA-N 0 0 449.493 -0.997 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000484022252 1073467008 /nfs/dbraw/zinc/46/70/08/1073467008.db2.gz WLILNSPYAKZSOZ-UHFFFAOYSA-N 0 0 425.511 -0.405 20 0 IBADRN Cn1cc(-c2cc(F)ccc2NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cn1 ZINC000484023220 1073467053 /nfs/dbraw/zinc/46/70/53/1073467053.db2.gz QZOGDHRPKPRWQQ-UHFFFAOYSA-N 0 0 438.485 -0.403 20 0 IBADRN Cc1cn(-c2cccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)c(=O)[nH]1 ZINC000484023805 1073466928 /nfs/dbraw/zinc/46/69/28/1073466928.db2.gz SDMOJLARGRZGBD-UHFFFAOYSA-N 0 0 436.494 -0.742 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1F ZINC000484024557 1073466907 /nfs/dbraw/zinc/46/69/07/1073466907.db2.gz ISJAODSTHGJCGE-UHFFFAOYSA-N 0 0 437.363 -0.953 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCO[C@H](Cn2cccn2)C1 ZINC000484024608 1073466950 /nfs/dbraw/zinc/46/69/50/1073466950.db2.gz BQVNQPNUFBSGCE-INIZCTEOSA-N 0 0 427.417 -0.361 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCO[C@@H](Cn2cccn2)C1 ZINC000484024622 1073466992 /nfs/dbraw/zinc/46/69/92/1073466992.db2.gz BQVNQPNUFBSGCE-MRXNPFEDSA-N 0 0 427.417 -0.361 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000484026264 1073465756 /nfs/dbraw/zinc/46/57/56/1073465756.db2.gz NNHFUQHGDUYKOY-UHFFFAOYSA-N 0 0 443.468 -0.852 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1F ZINC000484026585 1073465751 /nfs/dbraw/zinc/46/57/51/1073465751.db2.gz ZWOYQBJEGQQRMH-UHFFFAOYSA-N 0 0 434.421 -0.877 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1=O ZINC000484028987 1073465706 /nfs/dbraw/zinc/46/57/06/1073465706.db2.gz YGHGRERHWYNKAV-IPYPFGDCSA-N 0 0 435.506 -0.070 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1=O ZINC000484028988 1073466437 /nfs/dbraw/zinc/46/64/37/1073466437.db2.gz YGHGRERHWYNKAV-NFAWXSAZSA-N 0 0 435.506 -0.070 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1=O ZINC000484028989 1073466348 /nfs/dbraw/zinc/46/63/48/1073466348.db2.gz YGHGRERHWYNKAV-UMVBOHGHSA-N 0 0 435.506 -0.070 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1=O ZINC000484028990 1073466225 /nfs/dbraw/zinc/46/62/25/1073466225.db2.gz YGHGRERHWYNKAV-VNHYZAJKSA-N 0 0 435.506 -0.070 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c(C)c1 ZINC000484029219 1073465573 /nfs/dbraw/zinc/46/55/73/1073465573.db2.gz GCKKVUIRNWJWTR-UHFFFAOYSA-N 0 0 440.522 -0.059 20 0 IBADRN Cc1cncc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c1 ZINC000484031399 1073466371 /nfs/dbraw/zinc/46/63/71/1073466371.db2.gz IZHDOXRGRGTPHW-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN Cn1cc(-c2cc(F)ccc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cn1 ZINC000484031634 1073466239 /nfs/dbraw/zinc/46/62/39/1073466239.db2.gz BMXMKIHQYPBIGG-UHFFFAOYSA-N 0 0 441.427 -0.478 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484033327 1073466317 /nfs/dbraw/zinc/46/63/17/1073466317.db2.gz FEABZWKGKQJDDM-AWEZNQCLSA-N 0 0 438.462 -0.945 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484033328 1073466454 /nfs/dbraw/zinc/46/64/54/1073466454.db2.gz FEABZWKGKQJDDM-CQSZACIVSA-N 0 0 438.462 -0.945 20 0 IBADRN Cc1ccc2[nH]c(CNC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)nc2c1 ZINC000484034158 1073466199 /nfs/dbraw/zinc/46/61/99/1073466199.db2.gz KQWWEUINXKVWJE-UHFFFAOYSA-N 0 0 428.493 -0.509 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000484034814 1073466273 /nfs/dbraw/zinc/46/62/73/1073466273.db2.gz GEJPDRDPAJSGFL-UHFFFAOYSA-N 0 0 439.538 -0.280 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c(C)c1 ZINC000484037482 1073466362 /nfs/dbraw/zinc/46/63/62/1073466362.db2.gz VLODLQVWMIYBFT-HNNXBMFYSA-N 0 0 445.520 -0.130 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c(C)c1 ZINC000484037484 1073466334 /nfs/dbraw/zinc/46/63/34/1073466334.db2.gz VLODLQVWMIYBFT-OAHLLOKOSA-N 0 0 445.520 -0.130 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000484037923 1073467649 /nfs/dbraw/zinc/46/76/49/1073467649.db2.gz FXYPRIBGHXXTTP-AWEZNQCLSA-N 0 0 446.460 -0.389 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000484037925 1073467667 /nfs/dbraw/zinc/46/76/67/1073467667.db2.gz FXYPRIBGHXXTTP-CQSZACIVSA-N 0 0 446.460 -0.389 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OC)c(C)c1OC ZINC000484041885 1073467779 /nfs/dbraw/zinc/46/77/79/1073467779.db2.gz NDYGBILERAOTES-UHFFFAOYSA-N 0 0 447.452 -0.683 20 0 IBADRN Cc1cn(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)c(=O)[nH]1 ZINC000484043691 1073467716 /nfs/dbraw/zinc/46/77/16/1073467716.db2.gz OYXIGWAZGHLUER-UHFFFAOYSA-N 0 0 439.436 -0.818 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)c(C)c1 ZINC000484043717 1073467774 /nfs/dbraw/zinc/46/77/74/1073467774.db2.gz PELUPMIBULEOKZ-CYBMUJFWSA-N 0 0 426.495 -0.401 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)c(C)c1 ZINC000484043718 1073467788 /nfs/dbraw/zinc/46/77/88/1073467788.db2.gz PELUPMIBULEOKZ-ZDUSSCGKSA-N 0 0 426.495 -0.401 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CC1 ZINC000484045057 1073467624 /nfs/dbraw/zinc/46/76/24/1073467624.db2.gz OJUCEIHDEWGLRK-UHFFFAOYSA-N 0 0 435.550 -0.365 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1C[C@@H](C)O ZINC000484050992 1073467762 /nfs/dbraw/zinc/46/77/62/1073467762.db2.gz UZVFJVJWQZFRSI-GDBMZVCRSA-N 0 0 432.477 -0.182 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1C[C@H](C)O ZINC000484050993 1073467590 /nfs/dbraw/zinc/46/75/90/1073467590.db2.gz UZVFJVJWQZFRSI-GOEBONIOSA-N 0 0 432.477 -0.182 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1C[C@H](C)O ZINC000484050994 1073467611 /nfs/dbraw/zinc/46/76/11/1073467611.db2.gz UZVFJVJWQZFRSI-HOCLYGCPSA-N 0 0 432.477 -0.182 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1C[C@@H](C)O ZINC000484050995 1073467741 /nfs/dbraw/zinc/46/77/41/1073467741.db2.gz UZVFJVJWQZFRSI-ZBFHGGJFSA-N 0 0 432.477 -0.182 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1=O ZINC000484056333 1073467038 /nfs/dbraw/zinc/46/70/38/1073467038.db2.gz WGDWYJMSWWOOGY-INIZCTEOSA-N 0 0 428.493 -0.184 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1=O ZINC000484056334 1073466939 /nfs/dbraw/zinc/46/69/39/1073466939.db2.gz WGDWYJMSWWOOGY-MRXNPFEDSA-N 0 0 428.493 -0.184 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(CS(C)(=O)=O)CC1)CC2 ZINC000484057656 1073467029 /nfs/dbraw/zinc/46/70/29/1073467029.db2.gz IWPJYRLRLZOMJX-UHFFFAOYSA-N 0 0 429.520 -0.575 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000484058959 1073466881 /nfs/dbraw/zinc/46/68/81/1073466881.db2.gz OVANNQBORMCYGZ-AWEZNQCLSA-N 0 0 442.469 -0.478 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000484058962 1073467792 /nfs/dbraw/zinc/46/77/92/1073467792.db2.gz OVANNQBORMCYGZ-CQSZACIVSA-N 0 0 442.469 -0.478 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1CCCN(C)C1=O ZINC000484062377 1073469147 /nfs/dbraw/zinc/46/91/47/1073469147.db2.gz CIDFUZYILAYWRT-HNNXBMFYSA-N 0 0 431.493 -0.242 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H]1CCCN(C)C1=O ZINC000484062379 1073469226 /nfs/dbraw/zinc/46/92/26/1073469226.db2.gz CIDFUZYILAYWRT-OAHLLOKOSA-N 0 0 431.493 -0.242 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCCN(C)C3=O)c2)CC1 ZINC000484064977 1073469045 /nfs/dbraw/zinc/46/90/45/1073469045.db2.gz HIQBUSCHFFOUES-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCCN(C)C3=O)c2)CC1 ZINC000484064993 1073469186 /nfs/dbraw/zinc/46/91/86/1073469186.db2.gz HIQBUSCHFFOUES-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c(C)c1 ZINC000484065144 1073469202 /nfs/dbraw/zinc/46/92/02/1073469202.db2.gz DLGSAHLZMRLVAB-CYBMUJFWSA-N 0 0 444.448 -0.090 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c(C)c1 ZINC000484065145 1073469091 /nfs/dbraw/zinc/46/90/91/1073469091.db2.gz DLGSAHLZMRLVAB-ZDUSSCGKSA-N 0 0 444.448 -0.090 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CC2)n1 ZINC000484066304 1073469208 /nfs/dbraw/zinc/46/92/08/1073469208.db2.gz GHMNAEHVLPVWPN-UHFFFAOYSA-N 0 0 438.444 -0.038 20 0 IBADRN CS(=O)(=O)N(CCN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Cc1ccccc1 ZINC000484067911 1073467733 /nfs/dbraw/zinc/46/77/33/1073467733.db2.gz IHXRELHWDUKWNS-MSOLQXFVSA-N 0 0 431.580 -0.464 20 0 IBADRN CS(=O)(=O)N(CCN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Cc1ccccc1 ZINC000484067916 1073467631 /nfs/dbraw/zinc/46/76/31/1073467631.db2.gz IHXRELHWDUKWNS-QZTJIDSGSA-N 0 0 431.580 -0.464 20 0 IBADRN CS(=O)(=O)N(CCN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Cc1ccccc1 ZINC000484067918 1073467724 /nfs/dbraw/zinc/46/77/24/1073467724.db2.gz IHXRELHWDUKWNS-ROUUACIJSA-N 0 0 431.580 -0.464 20 0 IBADRN CS(=O)(=O)N(CCN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Cc1ccccc1 ZINC000484067920 1073467677 /nfs/dbraw/zinc/46/76/77/1073467677.db2.gz IHXRELHWDUKWNS-ZWKOTPCHSA-N 0 0 431.580 -0.464 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000484068524 1073467755 /nfs/dbraw/zinc/46/77/55/1073467755.db2.gz BVRGYWYPZLXFRT-UHFFFAOYSA-N 0 0 442.407 -0.207 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1=O ZINC000484068679 1073467707 /nfs/dbraw/zinc/46/77/07/1073467707.db2.gz JKVIWZINJCEZRR-KRWDZBQOSA-N 0 0 431.493 -0.576 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1=O ZINC000484068680 1073468477 /nfs/dbraw/zinc/46/84/77/1073468477.db2.gz JKVIWZINJCEZRR-QGZVFWFLSA-N 0 0 431.493 -0.576 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000484071426 1073468407 /nfs/dbraw/zinc/46/84/07/1073468407.db2.gz NHHRAXXNTYGDCJ-PBHICJAKSA-N 0 0 430.461 -0.624 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000484071428 1073468385 /nfs/dbraw/zinc/46/83/85/1073468385.db2.gz NHHRAXXNTYGDCJ-RHSMWYFYSA-N 0 0 430.461 -0.624 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000484071430 1073468401 /nfs/dbraw/zinc/46/84/01/1073468401.db2.gz NHHRAXXNTYGDCJ-WMLDXEAASA-N 0 0 430.461 -0.624 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000484071432 1073468412 /nfs/dbraw/zinc/46/84/12/1073468412.db2.gz NHHRAXXNTYGDCJ-YOEHRIQHSA-N 0 0 430.461 -0.624 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2[nH]cnc2C(=O)c2ccccc2)CC1)N1CCOCC1 ZINC000484072243 1073468420 /nfs/dbraw/zinc/46/84/20/1073468420.db2.gz OEOSUPIJBVRCIB-UHFFFAOYSA-N 0 0 425.445 -0.216 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CCCN(C)C1=O ZINC000484074220 1073468374 /nfs/dbraw/zinc/46/83/74/1073468374.db2.gz JLBZOYRKMVZERC-HNNXBMFYSA-N 0 0 438.506 -0.309 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CCCN(C)C1=O ZINC000484074221 1073468478 /nfs/dbraw/zinc/46/84/78/1073468478.db2.gz JLBZOYRKMVZERC-OAHLLOKOSA-N 0 0 438.506 -0.309 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(CS(C)(=O)=O)CC1)CC2 ZINC000484074419 1073468455 /nfs/dbraw/zinc/46/84/55/1073468455.db2.gz RLRBPCPFQLXHJF-UHFFFAOYSA-N 0 0 443.547 -0.185 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(C)C2=O)cc1 ZINC000484075124 1073468442 /nfs/dbraw/zinc/46/84/42/1073468442.db2.gz XJPDXLMQXAIMJQ-DOMZBBRYSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(C)C2=O)cc1 ZINC000484075137 1073468341 /nfs/dbraw/zinc/46/83/41/1073468341.db2.gz XJPDXLMQXAIMJQ-IUODEOHRSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(C)C2=O)cc1 ZINC000484075142 1073468474 /nfs/dbraw/zinc/46/84/74/1073468474.db2.gz XJPDXLMQXAIMJQ-SWLSCSKDSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(C)C2=O)cc1 ZINC000484075147 1073468393 /nfs/dbraw/zinc/46/83/93/1073468393.db2.gz XJPDXLMQXAIMJQ-WFASDCNBSA-N 0 0 426.495 -0.325 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)ccn1 ZINC000484078868 1073468430 /nfs/dbraw/zinc/46/84/30/1073468430.db2.gz YHKZWIBAQFJHAS-UHFFFAOYSA-N 0 0 440.503 -0.320 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@@H]3CCCS3(=O)=O)CC2)cc1 ZINC000484079908 1073468482 /nfs/dbraw/zinc/46/84/82/1073468482.db2.gz GODJIMNPNPKUSG-KRWDZBQOSA-N 0 0 429.564 -0.005 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@H]3CCCS3(=O)=O)CC2)cc1 ZINC000484079910 1073468438 /nfs/dbraw/zinc/46/84/38/1073468438.db2.gz GODJIMNPNPKUSG-QGZVFWFLSA-N 0 0 429.564 -0.005 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC[C@@H](n3cc(C(=O)OC)nn3)C2)c1C ZINC000484086647 1073469099 /nfs/dbraw/zinc/46/90/99/1073469099.db2.gz VFOAVCBLYXBRCM-GFCCVEGCSA-N 0 0 433.425 -0.455 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC[C@H](n3cc(C(=O)OC)nn3)C2)c1C ZINC000484086659 1073469081 /nfs/dbraw/zinc/46/90/81/1073469081.db2.gz VFOAVCBLYXBRCM-LBPRGKRZSA-N 0 0 433.425 -0.455 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCCN(C)C1=O ZINC000484087007 1073469055 /nfs/dbraw/zinc/46/90/55/1073469055.db2.gz XYAUKGSTZLQXHN-CYBMUJFWSA-N 0 0 425.511 -0.322 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCCN(C)C1=O ZINC000484087008 1073469074 /nfs/dbraw/zinc/46/90/74/1073469074.db2.gz XYAUKGSTZLQXHN-ZDUSSCGKSA-N 0 0 425.511 -0.322 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000484087662 1073469165 /nfs/dbraw/zinc/46/91/65/1073469165.db2.gz RKZPWRZSLYFUBF-AWEZNQCLSA-N 0 0 429.433 -0.423 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000484087666 1073469236 /nfs/dbraw/zinc/46/92/36/1073469236.db2.gz RKZPWRZSLYFUBF-CQSZACIVSA-N 0 0 429.433 -0.423 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCCN(C)C3=O)cc2)CC1 ZINC000484087932 1073469121 /nfs/dbraw/zinc/46/91/21/1073469121.db2.gz YJJKSZKPNJNPJZ-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(C)C3=O)cc2)CC1 ZINC000484087933 1073469181 /nfs/dbraw/zinc/46/91/81/1073469181.db2.gz YJJKSZKPNJNPJZ-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)c3ccnc(S(N)(=O)=O)c3)CC2)cc1 ZINC000484089115 1073469065 /nfs/dbraw/zinc/46/90/65/1073469065.db2.gz XLQYWKRGOZTGNP-UHFFFAOYSA-N 0 0 440.503 -0.116 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2cccs2)c1C ZINC000484091051 1073469934 /nfs/dbraw/zinc/46/99/34/1073469934.db2.gz HALUBAWXIMNUMN-UHFFFAOYSA-N 0 0 443.507 -0.199 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@@H](OC)C1 ZINC000484091448 1073469872 /nfs/dbraw/zinc/46/98/72/1073469872.db2.gz IHGVWMMOBCNWGY-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCC3(CS(C)(=O)=O)CC3)cc2)CC1 ZINC000484093943 1073469904 /nfs/dbraw/zinc/46/99/04/1073469904.db2.gz LFVPRCQQOHJIAT-UHFFFAOYSA-N 0 0 436.534 -0.046 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1(CS(C)(=O)=O)CC1 ZINC000484094055 1073469847 /nfs/dbraw/zinc/46/98/47/1073469847.db2.gz ONMAKMJVRMTJOP-UHFFFAOYSA-N 0 0 447.535 -0.175 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c(C)c1 ZINC000484094603 1073469966 /nfs/dbraw/zinc/46/99/66/1073469966.db2.gz PFTYXAGHGDTEFA-BBRMVZONSA-N 0 0 440.522 -0.108 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c(C)c1 ZINC000484094604 1073469973 /nfs/dbraw/zinc/46/99/73/1073469973.db2.gz PFTYXAGHGDTEFA-CJNGLKHVSA-N 0 0 440.522 -0.108 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c(C)c1 ZINC000484094605 1073469901 /nfs/dbraw/zinc/46/99/01/1073469901.db2.gz PFTYXAGHGDTEFA-CZUORRHYSA-N 0 0 440.522 -0.108 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c(C)c1 ZINC000484094606 1073469985 /nfs/dbraw/zinc/46/99/85/1073469985.db2.gz PFTYXAGHGDTEFA-XJKSGUPXSA-N 0 0 440.522 -0.108 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cnc3c(F)cc(F)cc3c2O)CC1)N1CCOCC1 ZINC000484094640 1073469923 /nfs/dbraw/zinc/46/99/23/1073469923.db2.gz PRYOMDBVVSFRCT-UHFFFAOYSA-N 0 0 434.399 -0.051 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)c1C ZINC000484096591 1073469978 /nfs/dbraw/zinc/46/99/78/1073469978.db2.gz PTABNBJIKJMCNK-GFCCVEGCSA-N 0 0 441.452 -0.522 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)c1C ZINC000484096596 1073469864 /nfs/dbraw/zinc/46/98/64/1073469864.db2.gz PTABNBJIKJMCNK-LBPRGKRZSA-N 0 0 441.452 -0.522 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CCN(Cc1ccccc1)S(C)(=O)=O ZINC000484098305 1073469855 /nfs/dbraw/zinc/46/98/55/1073469855.db2.gz NJJJCYOOVAEVBB-KRWDZBQOSA-N 0 0 427.523 -0.172 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CCN(Cc1ccccc1)S(C)(=O)=O ZINC000484098307 1073469958 /nfs/dbraw/zinc/46/99/58/1073469958.db2.gz NJJJCYOOVAEVBB-QGZVFWFLSA-N 0 0 427.523 -0.172 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000484099817 1073470612 /nfs/dbraw/zinc/47/06/12/1073470612.db2.gz OJPFEKSVESOASI-UHFFFAOYSA-N 0 0 445.476 -0.863 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCCN(C(=O)Cc3cccc(F)c3)CC2)cn1 ZINC000484101005 1073470533 /nfs/dbraw/zinc/47/05/33/1073470533.db2.gz QXGIDLGULQSLNF-UHFFFAOYSA-N 0 0 430.440 -0.250 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCS1(=O)=O ZINC000484104474 1073470737 /nfs/dbraw/zinc/47/07/37/1073470737.db2.gz FPAMXXIJHCOQHB-UHFFFAOYSA-N 0 0 444.535 -0.160 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)[C@@H]3CCC[N@@H+]3C)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000484104950 1073470598 /nfs/dbraw/zinc/47/05/98/1073470598.db2.gz CVRIMHYLEOWIDU-HNNXBMFYSA-N 0 0 428.493 -0.681 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)[C@H]3CCC[N@@H+]3C)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000484104967 1073470510 /nfs/dbraw/zinc/47/05/10/1073470510.db2.gz CVRIMHYLEOWIDU-OAHLLOKOSA-N 0 0 428.493 -0.681 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c(C)c1 ZINC000484104968 1073470708 /nfs/dbraw/zinc/47/07/08/1073470708.db2.gz UFGPEMLWOXRLQF-UHFFFAOYSA-N 0 0 433.509 -0.319 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H]3CCCS3(=O)=O)cc2)CC1 ZINC000484106220 1073470498 /nfs/dbraw/zinc/47/04/98/1073470498.db2.gz VLJQZUXZZGVLBF-KRWDZBQOSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H]3CCCS3(=O)=O)cc2)CC1 ZINC000484106233 1073470652 /nfs/dbraw/zinc/47/06/52/1073470652.db2.gz VLJQZUXZZGVLBF-QGZVFWFLSA-N 0 0 443.547 -0.154 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cnn(CC(=O)OC)c2C)cc1 ZINC000484108356 1073471519 /nfs/dbraw/zinc/47/15/19/1073471519.db2.gz XNSXDZMYMXQKGT-UHFFFAOYSA-N 0 0 437.478 -0.082 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H]2CCCS2(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000484112510 1073471472 /nfs/dbraw/zinc/47/14/72/1073471472.db2.gz LHZWOXHHVLBYIU-HNNXBMFYSA-N 0 0 446.547 -0.048 20 0 IBADRN COc1ccc(CC(=O)NC[C@H]2CCCS2(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000484112514 1073471492 /nfs/dbraw/zinc/47/14/92/1073471492.db2.gz LHZWOXHHVLBYIU-OAHLLOKOSA-N 0 0 446.547 -0.048 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c1C ZINC000484113524 1073471481 /nfs/dbraw/zinc/47/14/81/1073471481.db2.gz MTHGQPNHQAQWAZ-CYBMUJFWSA-N 0 0 427.483 -0.670 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)c1C ZINC000484113529 1073471465 /nfs/dbraw/zinc/47/14/65/1073471465.db2.gz MTHGQPNHQAQWAZ-ZDUSSCGKSA-N 0 0 427.483 -0.670 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C1=O ZINC000484116222 1073471527 /nfs/dbraw/zinc/47/15/27/1073471527.db2.gz QAZCGICZHVOZPD-CYBMUJFWSA-N 0 0 437.478 -0.543 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C1=O ZINC000484116225 1073471488 /nfs/dbraw/zinc/47/14/88/1073471488.db2.gz QAZCGICZHVOZPD-ZDUSSCGKSA-N 0 0 437.478 -0.543 20 0 IBADRN Cc1cc2n[nH]cc2cc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000484117326 1073471422 /nfs/dbraw/zinc/47/14/22/1073471422.db2.gz RKEKIISKCXYCPP-UHFFFAOYSA-N 0 0 426.437 -0.701 20 0 IBADRN CC[C@@H](C)n1ncc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000484118100 1073470528 /nfs/dbraw/zinc/47/05/28/1073470528.db2.gz SLCUENNMPPGSNC-GFCCVEGCSA-N 0 0 432.485 -0.410 20 0 IBADRN CC[C@H](C)n1ncc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000484118109 1073470482 /nfs/dbraw/zinc/47/04/82/1073470482.db2.gz SLCUENNMPPGSNC-LBPRGKRZSA-N 0 0 432.485 -0.410 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)c1C ZINC000484120103 1073470662 /nfs/dbraw/zinc/47/06/62/1073470662.db2.gz WXGDYLSGLFXIBK-HNNXBMFYSA-N 0 0 434.497 -0.542 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)c1C ZINC000484120104 1073470720 /nfs/dbraw/zinc/47/07/20/1073470720.db2.gz WXGDYLSGLFXIBK-OAHLLOKOSA-N 0 0 434.497 -0.542 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](OC)C1 ZINC000484121248 1073470727 /nfs/dbraw/zinc/47/07/27/1073470727.db2.gz YGBVWZMLBKIEKP-ZDUSSCGKSA-N 0 0 427.479 -0.098 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c(C)c1 ZINC000484121998 1073471398 /nfs/dbraw/zinc/47/13/98/1073471398.db2.gz ZUIOWSROMOHFMJ-HNNXBMFYSA-N 0 0 440.522 -0.013 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c(C)c1 ZINC000484121999 1073471416 /nfs/dbraw/zinc/47/14/16/1073471416.db2.gz ZUIOWSROMOHFMJ-OAHLLOKOSA-N 0 0 440.522 -0.013 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](OC)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000484122343 1073470543 /nfs/dbraw/zinc/47/05/43/1073470543.db2.gz DWJZRBNQMCHNIV-AWEZNQCLSA-N 0 0 427.479 -0.098 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H]3CCCS3(=O)=O)c2)CC1 ZINC000484122592 1073471438 /nfs/dbraw/zinc/47/14/38/1073471438.db2.gz HMANAXOZEVKWOZ-KRWDZBQOSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H]3CCCS3(=O)=O)c2)CC1 ZINC000484122593 1073471390 /nfs/dbraw/zinc/47/13/90/1073471390.db2.gz HMANAXOZEVKWOZ-QGZVFWFLSA-N 0 0 443.547 -0.154 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](OC)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000484126869 1073471406 /nfs/dbraw/zinc/47/14/06/1073471406.db2.gz DWJZRBNQMCHNIV-CQSZACIVSA-N 0 0 427.479 -0.098 20 0 IBADRN CN(CC(N)=O)C[C@H]1CN(C(=O)C(=O)NCC2CCN(c3ccccc3)CC2)CCO1 ZINC000484137355 1073471498 /nfs/dbraw/zinc/47/14/98/1073471498.db2.gz RNBAWANHEDPLLI-IBGZPJMESA-N 0 0 431.537 -0.336 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)NCC2CCN(c3ccccc3)CC2)CCO1 ZINC000484137361 1073471444 /nfs/dbraw/zinc/47/14/44/1073471444.db2.gz RNBAWANHEDPLLI-LJQANCHMSA-N 0 0 431.537 -0.336 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](OC)C1 ZINC000484139286 1073471504 /nfs/dbraw/zinc/47/15/04/1073471504.db2.gz YGBVWZMLBKIEKP-CYBMUJFWSA-N 0 0 427.479 -0.098 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@H](OC)C1 ZINC000484140859 1073471513 /nfs/dbraw/zinc/47/15/13/1073471513.db2.gz IHGVWMMOBCNWGY-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1OC ZINC000484154634 1073472169 /nfs/dbraw/zinc/47/21/69/1073472169.db2.gz CQNHILQALLHSST-UHFFFAOYSA-N 0 0 436.446 -0.090 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)N1CCCCC1 ZINC000484157431 1073472117 /nfs/dbraw/zinc/47/21/17/1073472117.db2.gz GVBQZYQGLRVLCG-UHFFFAOYSA-N 0 0 432.477 -0.009 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)c1C ZINC000484159058 1073472045 /nfs/dbraw/zinc/47/20/45/1073472045.db2.gz JSSJHJREMHSRTK-UHFFFAOYSA-N 0 0 443.507 -0.232 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)c1C ZINC000484159143 1073472185 /nfs/dbraw/zinc/47/21/85/1073472185.db2.gz JVZKRTTZRYRRCZ-UHFFFAOYSA-N 0 0 429.480 -0.541 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c(C)c1 ZINC000484162394 1073472076 /nfs/dbraw/zinc/47/20/76/1073472076.db2.gz OCJIJSXPSYODDJ-UHFFFAOYSA-N 0 0 449.489 -0.452 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)c(C)c1 ZINC000484163133 1073472139 /nfs/dbraw/zinc/47/21/39/1073472139.db2.gz AOUYXEXFZHGBGE-HNNXBMFYSA-N 0 0 434.449 -0.661 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)c(C)c1 ZINC000484163134 1073472003 /nfs/dbraw/zinc/47/20/03/1073472003.db2.gz AOUYXEXFZHGBGE-OAHLLOKOSA-N 0 0 434.449 -0.661 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(F)cc(C(=O)OC)c2F)C1=O ZINC000484165138 1073472017 /nfs/dbraw/zinc/47/20/17/1073472017.db2.gz FGGYZNZPBHDTNM-LLVKDONJSA-N 0 0 427.360 -0.030 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(F)cc(C(=O)OC)c2F)C1=O ZINC000484165139 1073471969 /nfs/dbraw/zinc/47/19/69/1073471969.db2.gz FGGYZNZPBHDTNM-NSHDSACASA-N 0 0 427.360 -0.030 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)cc(C)c2C)C1=O ZINC000484165753 1073472127 /nfs/dbraw/zinc/47/21/27/1073472127.db2.gz GHMAOMPSJTVTCI-AWEZNQCLSA-N 0 0 439.490 -0.074 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)cc(C)c2C)C1=O ZINC000484165754 1073472162 /nfs/dbraw/zinc/47/21/62/1073472162.db2.gz GHMAOMPSJTVTCI-CQSZACIVSA-N 0 0 439.490 -0.074 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(OC(C)C)c(C(N)=O)c2)C1=O ZINC000484167534 1073472240 /nfs/dbraw/zinc/47/22/40/1073472240.db2.gz IWLPQTXIOSSDQM-AWEZNQCLSA-N 0 0 434.449 -0.209 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(OC(C)C)c(C(N)=O)c2)C1=O ZINC000484167536 1073471984 /nfs/dbraw/zinc/47/19/84/1073471984.db2.gz IWLPQTXIOSSDQM-CQSZACIVSA-N 0 0 434.449 -0.209 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)NCc1ccco1 ZINC000484168924 1073472026 /nfs/dbraw/zinc/47/20/26/1073472026.db2.gz QAMPLIAUPFOYEX-UHFFFAOYSA-N 0 0 444.444 -0.112 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000484172663 1073471494 /nfs/dbraw/zinc/47/14/94/1073471494.db2.gz FUDKLRVLUSZMMT-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(C)c1 ZINC000484172698 1073472090 /nfs/dbraw/zinc/47/20/90/1073472090.db2.gz GIJGONBEBWKSEL-CYBMUJFWSA-N 0 0 426.495 -0.401 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCO1 ZINC000484176747 1073472946 /nfs/dbraw/zinc/47/29/46/1073472946.db2.gz TUSHMBBOTVVEPA-INIZCTEOSA-N 0 0 435.433 -0.737 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCO1 ZINC000484176751 1073472885 /nfs/dbraw/zinc/47/28/85/1073472885.db2.gz TUSHMBBOTVVEPA-MRXNPFEDSA-N 0 0 435.433 -0.737 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H]3C(=O)N(C)C)cn2)C[C@@H](C)O1 ZINC000484178646 1073472927 /nfs/dbraw/zinc/47/29/27/1073472927.db2.gz KDQLTJUJZIVANA-FMKPAKJESA-N 0 0 434.497 -0.463 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)N(C)C)cn2)C[C@@H](C)O1 ZINC000484178650 1073472955 /nfs/dbraw/zinc/47/29/55/1073472955.db2.gz KDQLTJUJZIVANA-IIAWOOMASA-N 0 0 434.497 -0.463 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)N(C)C)cn2)C[C@H](C)O1 ZINC000484178652 1073472867 /nfs/dbraw/zinc/47/28/67/1073472867.db2.gz KDQLTJUJZIVANA-IJEWVQPXSA-N 0 0 434.497 -0.463 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H]3C(=O)N(C)C)cn2)C[C@H](C)O1 ZINC000484178655 1073472920 /nfs/dbraw/zinc/47/29/20/1073472920.db2.gz KDQLTJUJZIVANA-LZWOXQAQSA-N 0 0 434.497 -0.463 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000484180374 1074352698 /nfs/dbraw/zinc/35/26/98/1074352698.db2.gz MQUCWVHUMSIYDI-HNNXBMFYSA-N 0 0 434.497 -0.460 20 0 IBADRN CCN(CC)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000484180382 1074352570 /nfs/dbraw/zinc/35/25/70/1074352570.db2.gz MQUCWVHUMSIYDI-OAHLLOKOSA-N 0 0 434.497 -0.460 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000484182030 1073473340 /nfs/dbraw/zinc/47/33/40/1073473340.db2.gz WOIYWHRRRHGYRW-INIZCTEOSA-N 0 0 434.493 -0.300 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000484182034 1073473433 /nfs/dbraw/zinc/47/34/33/1073473433.db2.gz WOIYWHRRRHGYRW-MRXNPFEDSA-N 0 0 434.493 -0.300 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000484184418 1073473488 /nfs/dbraw/zinc/47/34/88/1073473488.db2.gz APGFOBIYXJIZAV-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2C(=O)NC2CC2)cc1 ZINC000484185819 1073473320 /nfs/dbraw/zinc/47/33/20/1073473320.db2.gz HAKAPIJGFFOMLQ-INIZCTEOSA-N 0 0 438.506 -0.181 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)NC2CC2)cc1 ZINC000484185909 1073473568 /nfs/dbraw/zinc/47/35/68/1073473568.db2.gz HAKAPIJGFFOMLQ-MRXNPFEDSA-N 0 0 438.506 -0.181 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3oc(N(C)C)nc3c2)CC1 ZINC000484186401 1073473503 /nfs/dbraw/zinc/47/35/03/1073473503.db2.gz BHNDTRUVVFZOCH-UHFFFAOYSA-N 0 0 432.481 -0.261 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2CCN(c3ccccc3)CC2)CC1 ZINC000484186475 1073473576 /nfs/dbraw/zinc/47/35/76/1073473576.db2.gz FFNQGKIXNPSFLM-UHFFFAOYSA-N 0 0 440.508 -0.623 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)c1C ZINC000484190230 1073473534 /nfs/dbraw/zinc/47/35/34/1073473534.db2.gz JCVWRNVTUJZGKU-UHFFFAOYSA-N 0 0 448.505 -0.011 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)CC2 ZINC000484191114 1073473367 /nfs/dbraw/zinc/47/33/67/1073473367.db2.gz PTFIJAHUNCORJY-HNNXBMFYSA-N 0 0 442.476 -0.106 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)CC2 ZINC000484191116 1073473564 /nfs/dbraw/zinc/47/35/64/1073473564.db2.gz PTFIJAHUNCORJY-OAHLLOKOSA-N 0 0 442.476 -0.106 20 0 IBADRN CCCCCn1nc(C)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000484192225 1073473464 /nfs/dbraw/zinc/47/34/64/1073473464.db2.gz QTHPVRUGIWHZSO-UHFFFAOYSA-N 0 0 448.524 -0.150 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000484194032 1073473447 /nfs/dbraw/zinc/47/34/47/1073473447.db2.gz UJBWIPGYEDEBFT-AWEZNQCLSA-N 0 0 438.462 -0.945 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000484194034 1073473515 /nfs/dbraw/zinc/47/35/15/1073473515.db2.gz UJBWIPGYEDEBFT-CQSZACIVSA-N 0 0 438.462 -0.945 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2C)C1 ZINC000484194054 1073473406 /nfs/dbraw/zinc/47/34/06/1073473406.db2.gz UJQNDNBWXHDWEG-AWEZNQCLSA-N 0 0 426.495 -0.401 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2C)C1 ZINC000484194058 1073473588 /nfs/dbraw/zinc/47/35/88/1073473588.db2.gz UJQNDNBWXHDWEG-CQSZACIVSA-N 0 0 426.495 -0.401 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)NCC(F)(F)F ZINC000484194579 1073473523 /nfs/dbraw/zinc/47/35/23/1073473523.db2.gz XAJMNZGKYFIPQV-UHFFFAOYSA-N 0 0 446.382 -0.343 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2OC)CC1 ZINC000484195641 1073472934 /nfs/dbraw/zinc/47/29/34/1073472934.db2.gz BXUCWQCBXHRSTM-UHFFFAOYSA-N 0 0 438.481 -0.442 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1=O ZINC000484195674 1073472942 /nfs/dbraw/zinc/47/29/42/1073472942.db2.gz XADYAIKPEYPJJO-UHFFFAOYSA-N 0 0 439.494 -0.878 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000484195944 1073472811 /nfs/dbraw/zinc/47/28/11/1073472811.db2.gz YSUFKMGPJASKJZ-INIZCTEOSA-N 0 0 434.493 -0.300 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000484195945 1073472860 /nfs/dbraw/zinc/47/28/60/1073472860.db2.gz YSUFKMGPJASKJZ-MRXNPFEDSA-N 0 0 434.493 -0.300 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000484197060 1073475625 /nfs/dbraw/zinc/47/56/25/1073475625.db2.gz DORYQWCANBPWAT-AWEZNQCLSA-N 0 0 441.506 -0.246 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000484197063 1073475511 /nfs/dbraw/zinc/47/55/11/1073475511.db2.gz DORYQWCANBPWAT-CQSZACIVSA-N 0 0 441.506 -0.246 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)CC1 ZINC000484197901 1073475483 /nfs/dbraw/zinc/47/54/83/1073475483.db2.gz SUFREPUZJAMFNF-UHFFFAOYSA-N 0 0 433.513 -0.854 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000484197961 1073475500 /nfs/dbraw/zinc/47/55/00/1073475500.db2.gz FYMLFMKWXZQYDH-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c1C ZINC000484198369 1073475556 /nfs/dbraw/zinc/47/55/56/1073475556.db2.gz GLRSMCDNTMYAHO-UHFFFAOYSA-N 0 0 446.551 -0.276 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)N1CCOCC1 ZINC000484200093 1073475470 /nfs/dbraw/zinc/47/54/70/1073475470.db2.gz JGXKKYOREKWNCB-UHFFFAOYSA-N 0 0 448.476 -0.772 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)NC3CC3)cn2)C[C@@H](C)O1 ZINC000484201533 1073475458 /nfs/dbraw/zinc/47/54/58/1073475458.db2.gz NNBYXJYVDUVHFI-CKEIUWERSA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H]3C(=O)NC3CC3)cn2)C[C@@H](C)O1 ZINC000484201535 1073475617 /nfs/dbraw/zinc/47/56/17/1073475617.db2.gz NNBYXJYVDUVHFI-CPUCHLNUSA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)NC3CC3)cn2)C[C@H](C)O1 ZINC000484201536 1073475581 /nfs/dbraw/zinc/47/55/81/1073475581.db2.gz NNBYXJYVDUVHFI-JKIFEVAISA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@H]3C(=O)NC3CC3)cn2)C[C@H](C)O1 ZINC000484201537 1073475464 /nfs/dbraw/zinc/47/54/64/1073475464.db2.gz NNBYXJYVDUVHFI-VBQJREDUSA-N 0 0 446.508 -0.273 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000484202205 1073475428 /nfs/dbraw/zinc/47/54/28/1073475428.db2.gz NWDPPRAAZBHAJN-AWEZNQCLSA-N 0 0 430.465 -0.570 20 0 IBADRN CCN(CC)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000484202206 1073476095 /nfs/dbraw/zinc/47/60/95/1073476095.db2.gz NWDPPRAAZBHAJN-CQSZACIVSA-N 0 0 430.465 -0.570 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@H]1C(=O)NCc1ccco1 ZINC000484203809 1073473385 /nfs/dbraw/zinc/47/33/85/1073473385.db2.gz BQGKRQLNSIGIAT-INIZCTEOSA-N 0 0 440.460 -0.143 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@@H]1C(=O)NCc1ccco1 ZINC000484203820 1073473421 /nfs/dbraw/zinc/47/34/21/1073473421.db2.gz BQGKRQLNSIGIAT-MRXNPFEDSA-N 0 0 440.460 -0.143 20 0 IBADRN NC(=O)CC1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000484204842 1073474165 /nfs/dbraw/zinc/47/41/65/1073474165.db2.gz VDTIIQLJCWLSAM-UHFFFAOYSA-N 0 0 432.477 -0.023 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cn1 ZINC000484205000 1073474293 /nfs/dbraw/zinc/47/42/93/1073474293.db2.gz IJLLMSHYDVZXSM-AWEZNQCLSA-N 0 0 448.524 -0.069 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cn1 ZINC000484205002 1073474255 /nfs/dbraw/zinc/47/42/55/1073474255.db2.gz IJLLMSHYDVZXSM-CQSZACIVSA-N 0 0 448.524 -0.069 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1S(C)(=O)=O ZINC000484207277 1073474286 /nfs/dbraw/zinc/47/42/86/1073474286.db2.gz DVWHEDHSJDPIHP-LBPRGKRZSA-N 0 0 438.462 -0.993 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cn1 ZINC000484207291 1073474231 /nfs/dbraw/zinc/47/42/31/1073474231.db2.gz DWJPNDUXZFEFBA-UHFFFAOYSA-N 0 0 436.513 -0.258 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484207432 1073474204 /nfs/dbraw/zinc/47/42/04/1073474204.db2.gz GYOCHAVNCUEGOP-INIZCTEOSA-N 0 0 438.506 -0.275 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484207434 1073474242 /nfs/dbraw/zinc/47/42/42/1073474242.db2.gz GYOCHAVNCUEGOP-MRXNPFEDSA-N 0 0 438.506 -0.275 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2C(=O)NCc2ccco2)c1 ZINC000484207886 1073474226 /nfs/dbraw/zinc/47/42/26/1073474226.db2.gz MZIQPEZJJAHGCY-INIZCTEOSA-N 0 0 441.444 -0.259 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)NCc2ccco2)c1 ZINC000484207890 1073474177 /nfs/dbraw/zinc/47/41/77/1073474177.db2.gz MZIQPEZJJAHGCY-MRXNPFEDSA-N 0 0 441.444 -0.259 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cn1 ZINC000484208989 1073474217 /nfs/dbraw/zinc/47/42/17/1073474217.db2.gz HYDDRKJMLPAYBY-UHFFFAOYSA-N 0 0 431.453 -0.271 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1C ZINC000484210416 1073474131 /nfs/dbraw/zinc/47/41/31/1073474131.db2.gz MJKUNLXOOZKSLL-GXTWGEPZSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1C ZINC000484210418 1073474278 /nfs/dbraw/zinc/47/42/78/1073474278.db2.gz MJKUNLXOOZKSLL-JSGCOSHPSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1C ZINC000484210420 1073474191 /nfs/dbraw/zinc/47/41/91/1073474191.db2.gz MJKUNLXOOZKSLL-OCCSQVGLSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1C ZINC000484210422 1073474261 /nfs/dbraw/zinc/47/42/61/1073474261.db2.gz MJKUNLXOOZKSLL-TZMCWYRMSA-N 0 0 446.551 -0.324 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000484210580 1073474199 /nfs/dbraw/zinc/47/41/99/1073474199.db2.gz PCMDGCINRMZVRW-INIZCTEOSA-N 0 0 434.497 -0.460 20 0 IBADRN CCN(CC)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000484210581 1073474213 /nfs/dbraw/zinc/47/42/13/1073474213.db2.gz PCMDGCINRMZVRW-MRXNPFEDSA-N 0 0 434.497 -0.460 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C ZINC000484211102 1073474246 /nfs/dbraw/zinc/47/42/46/1073474246.db2.gz RCLREUFAAYVOIF-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C ZINC000484211103 1073474282 /nfs/dbraw/zinc/47/42/82/1073474282.db2.gz RCLREUFAAYVOIF-LBPRGKRZSA-N 0 0 432.524 -0.618 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000484211860 1073474827 /nfs/dbraw/zinc/47/48/27/1073474827.db2.gz VRYBIKKFUWKGAF-GOSISDBHSA-N 0 0 445.520 -0.234 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000484211861 1073474864 /nfs/dbraw/zinc/47/48/64/1073474864.db2.gz VRYBIKKFUWKGAF-SFHVURJKSA-N 0 0 445.520 -0.234 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2ccnc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)c2)C1=O ZINC000484211890 1073474268 /nfs/dbraw/zinc/47/42/68/1073474268.db2.gz PQFHFEQPOWSDJB-CABCVRRESA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)c2ccnc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)c2)C1=O ZINC000484211892 1073474904 /nfs/dbraw/zinc/47/49/04/1073474904.db2.gz PQFHFEQPOWSDJB-GJZGRUSLSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2ccnc(C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)c2)C1=O ZINC000484211894 1073474650 /nfs/dbraw/zinc/47/46/50/1073474650.db2.gz PQFHFEQPOWSDJB-HUUCEWRRSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2cc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)ccn2)C1=O ZINC000484211895 1073474732 /nfs/dbraw/zinc/47/47/32/1073474732.db2.gz PQFHFEQPOWSDJB-LSDHHAIUSA-N 0 0 443.460 -0.387 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c(C)c1 ZINC000484212947 1073474851 /nfs/dbraw/zinc/47/48/51/1073474851.db2.gz RQSDIKQPIXLWIY-ZDUSSCGKSA-N 0 0 426.495 -0.449 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1C ZINC000484213597 1073474761 /nfs/dbraw/zinc/47/47/61/1073474761.db2.gz SVSOGXYTXOQFIF-UHFFFAOYSA-N 0 0 434.478 -0.549 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)cn1 ZINC000484214011 1073474745 /nfs/dbraw/zinc/47/47/45/1073474745.db2.gz ZOBHGAYWQUYERR-CYBMUJFWSA-N 0 0 429.499 -0.340 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)cn1 ZINC000484214013 1073474809 /nfs/dbraw/zinc/47/48/09/1073474809.db2.gz ZOBHGAYWQUYERR-ZDUSSCGKSA-N 0 0 429.499 -0.340 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)c1C ZINC000484214347 1073474787 /nfs/dbraw/zinc/47/47/87/1073474787.db2.gz ARPAFNAGYDIJNJ-UHFFFAOYSA-N 0 0 427.483 -0.624 20 0 IBADRN CN(C)c1nc2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc2o1 ZINC000484214475 1073474695 /nfs/dbraw/zinc/47/46/95/1073474695.db2.gz WDARDCGERPLHIV-UHFFFAOYSA-N 0 0 427.425 -0.810 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1C ZINC000484214505 1073474715 /nfs/dbraw/zinc/47/47/15/1073474715.db2.gz WIFIRHFGXDDHGT-UHFFFAOYSA-N 0 0 439.538 -0.536 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484214521 1073474839 /nfs/dbraw/zinc/47/48/39/1073474839.db2.gz BAZKAFDGIYLKAQ-UHFFFAOYSA-N 0 0 435.466 -0.926 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000484215860 1073474885 /nfs/dbraw/zinc/47/48/85/1073474885.db2.gz BKMVPBQZGVRMAV-INIZCTEOSA-N 0 0 432.477 -0.058 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000484215862 1073474926 /nfs/dbraw/zinc/47/49/26/1073474926.db2.gz BKMVPBQZGVRMAV-MRXNPFEDSA-N 0 0 432.477 -0.058 20 0 IBADRN O=C(NCC1CCN(c2ccccc2)CC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000484216095 1073474914 /nfs/dbraw/zinc/47/49/14/1073474914.db2.gz CJGNRCMGOOWZFJ-UHFFFAOYSA-N 0 0 441.532 -0.031 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cn1 ZINC000484216448 1073474674 /nfs/dbraw/zinc/47/46/74/1073474674.db2.gz ZIEFXPGYBAVTLI-GXTWGEPZSA-N 0 0 443.526 -0.046 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cn1 ZINC000484216456 1073474802 /nfs/dbraw/zinc/47/48/02/1073474802.db2.gz ZIEFXPGYBAVTLI-JSGCOSHPSA-N 0 0 443.526 -0.046 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cn1 ZINC000484216458 1073474776 /nfs/dbraw/zinc/47/47/76/1073474776.db2.gz ZIEFXPGYBAVTLI-OCCSQVGLSA-N 0 0 443.526 -0.046 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cn1 ZINC000484216461 1073474874 /nfs/dbraw/zinc/47/48/74/1073474874.db2.gz ZIEFXPGYBAVTLI-TZMCWYRMSA-N 0 0 443.526 -0.046 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C[C@@H]1N1CCOCC1 ZINC000484216660 1073475567 /nfs/dbraw/zinc/47/55/67/1073475567.db2.gz DCWNQGNYZCUWMO-PBHICJAKSA-N 0 0 430.461 -0.306 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C[C@H]1N1CCOCC1 ZINC000484216661 1073475587 /nfs/dbraw/zinc/47/55/87/1073475587.db2.gz DCWNQGNYZCUWMO-RHSMWYFYSA-N 0 0 430.461 -0.306 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C[C@H]1N1CCOCC1 ZINC000484216662 1073475546 /nfs/dbraw/zinc/47/55/46/1073475546.db2.gz DCWNQGNYZCUWMO-WMLDXEAASA-N 0 0 430.461 -0.306 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C[C@@H]1N1CCOCC1 ZINC000484216663 1073475445 /nfs/dbraw/zinc/47/54/45/1073475445.db2.gz DCWNQGNYZCUWMO-YOEHRIQHSA-N 0 0 430.461 -0.306 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)c1C ZINC000484217889 1073475609 /nfs/dbraw/zinc/47/56/09/1073475609.db2.gz GIDLAXXOECJRGY-CYBMUJFWSA-N 0 0 440.522 -0.063 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)c1C ZINC000484217890 1073475597 /nfs/dbraw/zinc/47/55/97/1073475597.db2.gz GIDLAXXOECJRGY-ZDUSSCGKSA-N 0 0 440.522 -0.063 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N(C)C)cc1 ZINC000484218245 1073475531 /nfs/dbraw/zinc/47/55/31/1073475531.db2.gz FNHXPGUEYWEUDA-HNNXBMFYSA-N 0 0 426.495 -0.371 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N(C)C)cc1 ZINC000484218246 1073475542 /nfs/dbraw/zinc/47/55/42/1073475542.db2.gz FNHXPGUEYWEUDA-OAHLLOKOSA-N 0 0 426.495 -0.371 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C1 ZINC000484219809 1073476282 /nfs/dbraw/zinc/47/62/82/1073476282.db2.gz JTQZIZLHUJGQAQ-UHFFFAOYSA-N 0 0 439.494 -0.880 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000484220348 1073476212 /nfs/dbraw/zinc/47/62/12/1073476212.db2.gz KVINMGJTDXTVCY-HNNXBMFYSA-N 0 0 432.477 -0.153 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000484220349 1073476334 /nfs/dbraw/zinc/47/63/34/1073476334.db2.gz KVINMGJTDXTVCY-OAHLLOKOSA-N 0 0 432.477 -0.153 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)NCCN2CCOCC2(C)C)cc1 ZINC000484222481 1073476160 /nfs/dbraw/zinc/47/61/60/1073476160.db2.gz RADANACJAASUBN-UHFFFAOYSA-N 0 0 434.493 -0.316 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c(=O)n(C)c1 ZINC000484222838 1073476237 /nfs/dbraw/zinc/47/62/37/1073476237.db2.gz NTRWLLYIUKYMQL-UHFFFAOYSA-N 0 0 428.511 -0.469 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000484224027 1073476347 /nfs/dbraw/zinc/47/63/47/1073476347.db2.gz FPWFENINSSEYEB-UHFFFAOYSA-N 0 0 430.483 -0.916 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CC2)n1 ZINC000484224128 1073364017 /nfs/dbraw/zinc/36/40/17/1073364017.db2.gz OOVQXIVHMJXMHO-UHFFFAOYSA-N 0 0 445.461 -0.703 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c(C)c1 ZINC000484224726 1073359059 /nfs/dbraw/zinc/35/90/59/1073359059.db2.gz PHGRWJDDFKIFMY-UHFFFAOYSA-N 0 0 433.494 -0.159 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484225141 1073476340 /nfs/dbraw/zinc/47/63/40/1073476340.db2.gz QWKVLHMZDMKZDN-INIZCTEOSA-N 0 0 438.506 -0.275 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484225142 1073476073 /nfs/dbraw/zinc/47/60/73/1073476073.db2.gz QWKVLHMZDMKZDN-MRXNPFEDSA-N 0 0 438.506 -0.275 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cn1 ZINC000484226599 1073476254 /nfs/dbraw/zinc/47/62/54/1073476254.db2.gz JDEJGZWSEZGAJA-UHFFFAOYSA-N 0 0 445.480 -0.438 20 0 IBADRN CCc1nnc([C@@H]2CN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CCO2)[nH]1 ZINC000484229042 1073477103 /nfs/dbraw/zinc/47/71/03/1073477103.db2.gz WOEYKDWJFUGTAK-AWEZNQCLSA-N 0 0 442.432 -0.205 20 0 IBADRN CCc1nnc([C@H]2CN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CCO2)[nH]1 ZINC000484229043 1073477047 /nfs/dbraw/zinc/47/70/47/1073477047.db2.gz WOEYKDWJFUGTAK-CQSZACIVSA-N 0 0 442.432 -0.205 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1C ZINC000484229558 1073476357 /nfs/dbraw/zinc/47/63/57/1073476357.db2.gz DVEQZKCBCUUIAR-AWEZNQCLSA-N 0 0 448.505 -0.195 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1C ZINC000484229559 1073476271 /nfs/dbraw/zinc/47/62/71/1073476271.db2.gz DVEQZKCBCUUIAR-CQSZACIVSA-N 0 0 448.505 -0.195 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000484230424 1073476121 /nfs/dbraw/zinc/47/61/21/1073476121.db2.gz XLFPYZDPFRHQCU-UHFFFAOYSA-N 0 0 433.425 -0.992 20 0 IBADRN O=C(Nc1ccc(Cc2nnc3n2CCC3)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000484233125 1073250721 /nfs/dbraw/zinc/25/07/21/1073250721.db2.gz PWSGSXYKSDGFJS-UHFFFAOYSA-N 0 0 442.520 -0.093 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N[C@H](CO)Cc1ccc(O)cc1 ZINC000484235087 1073476103 /nfs/dbraw/zinc/47/61/03/1073476103.db2.gz SXKSBYQABRWRGK-HNNXBMFYSA-N 0 0 427.413 -0.060 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)CC1 ZINC000484235230 1073476320 /nfs/dbraw/zinc/47/63/20/1073476320.db2.gz MCMLMTCBZIXWPQ-UHFFFAOYSA-N 0 0 440.522 -0.015 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1C ZINC000484237020 1073476296 /nfs/dbraw/zinc/47/62/96/1073476296.db2.gz XJNJXTICOBTTDY-UHFFFAOYSA-N 0 0 448.505 -0.716 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2C(=O)NC2CC2)cc1 ZINC000484240404 1073476857 /nfs/dbraw/zinc/47/68/57/1073476857.db2.gz WOPVWNSUZUANAV-INIZCTEOSA-N 0 0 430.461 -0.274 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)NC2CC2)cc1 ZINC000484240406 1073476875 /nfs/dbraw/zinc/47/68/75/1073476875.db2.gz WOPVWNSUZUANAV-MRXNPFEDSA-N 0 0 430.461 -0.274 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3[nH]cnc3C(=O)c3ccccc3)CC2)CC1 ZINC000484241037 1073476957 /nfs/dbraw/zinc/47/69/57/1073476957.db2.gz YAADRIXUXQRJTB-UHFFFAOYSA-N 0 0 446.533 -0.109 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484242043 1073477021 /nfs/dbraw/zinc/47/70/21/1073477021.db2.gz XXUUWPAPRWDCOK-AEFFLSMTSA-N 0 0 444.488 -0.281 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484242047 1073477010 /nfs/dbraw/zinc/47/70/10/1073477010.db2.gz XXUUWPAPRWDCOK-FUHWJXTLSA-N 0 0 444.488 -0.281 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484242049 1073476918 /nfs/dbraw/zinc/47/69/18/1073476918.db2.gz XXUUWPAPRWDCOK-SJLPKXTDSA-N 0 0 444.488 -0.281 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484242052 1073477588 /nfs/dbraw/zinc/47/75/88/1073477588.db2.gz XXUUWPAPRWDCOK-WMZOPIPTSA-N 0 0 444.488 -0.281 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)CC1 ZINC000484245189 1073477726 /nfs/dbraw/zinc/47/77/26/1073477726.db2.gz OPUAFIDPPVHYIE-UHFFFAOYSA-N 0 0 427.479 -0.242 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C)C(=O)N1CCOCC1 ZINC000484246535 1073477127 /nfs/dbraw/zinc/47/71/27/1073477127.db2.gz QEAVRAOFRZFGAO-CYBMUJFWSA-N 0 0 426.495 -0.405 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C)C(=O)N1CCOCC1 ZINC000484246536 1073477158 /nfs/dbraw/zinc/47/71/58/1073477158.db2.gz QEAVRAOFRZFGAO-ZDUSSCGKSA-N 0 0 426.495 -0.405 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)c2ccnc(S(N)(=O)=O)c2)CC1 ZINC000484247310 1073477033 /nfs/dbraw/zinc/47/70/33/1073477033.db2.gz RRRKAOBZSLIWDX-UHFFFAOYSA-N 0 0 445.567 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H]1C(=O)N(C)C ZINC000484248158 1073477115 /nfs/dbraw/zinc/47/71/15/1073477115.db2.gz SXNZYTWPQZOAMI-AWEZNQCLSA-N 0 0 426.495 -0.037 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@@H]1C(=O)N(C)C ZINC000484248159 1073477138 /nfs/dbraw/zinc/47/71/38/1073477138.db2.gz SXNZYTWPQZOAMI-CQSZACIVSA-N 0 0 426.495 -0.037 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000484249606 1073476906 /nfs/dbraw/zinc/47/69/06/1073476906.db2.gz WQGSTFDVGJCBQN-KRWDZBQOSA-N 0 0 431.493 -0.025 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000484249608 1073476886 /nfs/dbraw/zinc/47/68/86/1073476886.db2.gz WQGSTFDVGJCBQN-QGZVFWFLSA-N 0 0 431.493 -0.025 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCN1CCO ZINC000484251377 1073476974 /nfs/dbraw/zinc/47/69/74/1073476974.db2.gz CQBGSTIDSZCSMY-AWEZNQCLSA-N 0 0 425.511 -0.670 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCN1CCO ZINC000484251380 1073477142 /nfs/dbraw/zinc/47/71/42/1073477142.db2.gz CQBGSTIDSZCSMY-CQSZACIVSA-N 0 0 425.511 -0.670 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000484255103 1073477075 /nfs/dbraw/zinc/47/70/75/1073477075.db2.gz BJKJXHBPLJCRNM-AWEZNQCLSA-N 0 0 425.511 -0.670 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2ccnc(S(N)(=O)=O)c2)CC1 ZINC000484266593 1073477574 /nfs/dbraw/zinc/47/75/74/1073477574.db2.gz YZGAUKQLUIJUCC-UHFFFAOYSA-N 0 0 429.480 -0.519 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000484271435 1073477494 /nfs/dbraw/zinc/47/74/94/1073477494.db2.gz BJKJXHBPLJCRNM-CQSZACIVSA-N 0 0 425.511 -0.670 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1CC(C)(C)O ZINC000484276264 1073477638 /nfs/dbraw/zinc/47/76/38/1073477638.db2.gz OMMXNBWFTSORIA-AWEZNQCLSA-N 0 0 432.477 -0.182 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1CC(C)(C)O ZINC000484276265 1073477781 /nfs/dbraw/zinc/47/77/81/1073477781.db2.gz OMMXNBWFTSORIA-CQSZACIVSA-N 0 0 432.477 -0.182 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)c(OC)c(C)c1OC ZINC000484277859 1073477796 /nfs/dbraw/zinc/47/77/96/1073477796.db2.gz NQRFDPGWSGNMFD-GFCCVEGCSA-N 0 0 430.479 -0.033 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)c(OC)c(C)c1OC ZINC000484277864 1073477610 /nfs/dbraw/zinc/47/76/10/1073477610.db2.gz NQRFDPGWSGNMFD-LBPRGKRZSA-N 0 0 430.479 -0.033 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2ccccc2Br)cn1 ZINC000484279932 1073477751 /nfs/dbraw/zinc/47/77/51/1073477751.db2.gz SGDLHCVELBXHHP-LLVKDONJSA-N 0 0 440.254 -0.375 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2ccccc2Br)cn1 ZINC000484279934 1073477527 /nfs/dbraw/zinc/47/75/27/1073477527.db2.gz SGDLHCVELBXHHP-NSHDSACASA-N 0 0 440.254 -0.375 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484285110 1073477757 /nfs/dbraw/zinc/47/77/57/1073477757.db2.gz HCXAIGOLGYVTMK-DZGCQCFKSA-N 0 0 440.478 -0.671 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484285111 1073477745 /nfs/dbraw/zinc/47/77/45/1073477745.db2.gz HCXAIGOLGYVTMK-HIFRSBDPSA-N 0 0 440.478 -0.671 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484285112 1073477774 /nfs/dbraw/zinc/47/77/74/1073477774.db2.gz HCXAIGOLGYVTMK-UKRRQHHQSA-N 0 0 440.478 -0.671 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484285113 1073477675 /nfs/dbraw/zinc/47/76/75/1073477675.db2.gz HCXAIGOLGYVTMK-ZFWWWQNUSA-N 0 0 440.478 -0.671 20 0 IBADRN Cc1ccc2[nH]c(CNC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)nc2c1 ZINC000484285373 1073477706 /nfs/dbraw/zinc/47/77/06/1073477706.db2.gz QWBGRHJWNHBARM-UHFFFAOYSA-N 0 0 442.476 -0.983 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000484293134 1073477740 /nfs/dbraw/zinc/47/77/40/1073477740.db2.gz XZZZXGYFHAFBOD-KRWDZBQOSA-N 0 0 430.461 -0.162 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000484293135 1073477554 /nfs/dbraw/zinc/47/75/54/1073477554.db2.gz XZZZXGYFHAFBOD-QGZVFWFLSA-N 0 0 430.461 -0.162 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)cn2)C[C@H](C)O1 ZINC000484301744 1073250745 /nfs/dbraw/zinc/25/07/45/1073250745.db2.gz IXNWDGOJVHCCEY-CALCHBBNSA-N 0 0 435.525 -0.213 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000484301753 1073250732 /nfs/dbraw/zinc/25/07/32/1073250732.db2.gz IXNWDGOJVHCCEY-IAGOWNOFSA-N 0 0 435.525 -0.213 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)cn2)C[C@H](C)O1 ZINC000484301755 1073250740 /nfs/dbraw/zinc/25/07/40/1073250740.db2.gz IXNWDGOJVHCCEY-IRXDYDNUSA-N 0 0 435.525 -0.213 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)cc1 ZINC000484302667 1073478431 /nfs/dbraw/zinc/47/84/31/1073478431.db2.gz KYCHCKAQFSKWFR-UHFFFAOYSA-N 0 0 431.449 -0.411 20 0 IBADRN COc1ccc(F)c(N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000484304102 1073477596 /nfs/dbraw/zinc/47/75/96/1073477596.db2.gz CZHIXSYJKNYVDN-CYBMUJFWSA-N 0 0 428.486 -0.031 20 0 IBADRN COc1ccc(F)c(N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000484304105 1073477628 /nfs/dbraw/zinc/47/76/28/1073477628.db2.gz CZHIXSYJKNYVDN-ZDUSSCGKSA-N 0 0 428.486 -0.031 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000484308662 1073478359 /nfs/dbraw/zinc/47/83/59/1073478359.db2.gz NKIZCXYBWCAUPH-AWEZNQCLSA-N 0 0 441.506 -0.280 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000484308668 1073478419 /nfs/dbraw/zinc/47/84/19/1073478419.db2.gz NKIZCXYBWCAUPH-CQSZACIVSA-N 0 0 441.506 -0.280 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1C ZINC000484311831 1073478400 /nfs/dbraw/zinc/47/84/00/1073478400.db2.gz LAEOUGAVRLJVOB-UHFFFAOYSA-N 0 0 429.437 -0.854 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)CN3CCN(CC)C(=O)C3=O)CC2)cc1 ZINC000484312074 1073478409 /nfs/dbraw/zinc/47/84/09/1073478409.db2.gz GOHJSPFLVIBYRL-UHFFFAOYSA-N 0 0 445.520 -0.141 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CC(=O)NC(=O)C12CCCCC2 ZINC000484312616 1073478423 /nfs/dbraw/zinc/47/84/23/1073478423.db2.gz LYVFJINODSDBGI-UHFFFAOYSA-N 0 0 442.428 -0.297 20 0 IBADRN CCNC(=O)NCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000484313330 1073478365 /nfs/dbraw/zinc/47/83/65/1073478365.db2.gz NDEAFGLMQMPYEV-UHFFFAOYSA-N 0 0 444.510 -0.226 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCC[C@H](S(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000484315373 1073478429 /nfs/dbraw/zinc/47/84/29/1073478429.db2.gz VTDCDTYICOGQMN-GUTXKFCHSA-N 0 0 425.511 -0.087 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCC[C@H](S(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000484315374 1073478330 /nfs/dbraw/zinc/47/83/30/1073478330.db2.gz VTDCDTYICOGQMN-NFAWXSAZSA-N 0 0 425.511 -0.087 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000484315375 1073478375 /nfs/dbraw/zinc/47/83/75/1073478375.db2.gz VTDCDTYICOGQMN-UMVBOHGHSA-N 0 0 425.511 -0.087 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000484315376 1073478291 /nfs/dbraw/zinc/47/82/91/1073478291.db2.gz VTDCDTYICOGQMN-VNHYZAJKSA-N 0 0 425.511 -0.087 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000484318683 1073478249 /nfs/dbraw/zinc/47/82/49/1073478249.db2.gz VNMBXUUVHLFTMO-UHFFFAOYSA-N 0 0 437.522 -0.070 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CSC[C@@H]3C(=O)N3CCSCC3)nc2n(C)c1=O ZINC000484320425 1073478307 /nfs/dbraw/zinc/47/83/07/1073478307.db2.gz XACXJDQPHDTFNC-CYBMUJFWSA-N 0 0 435.531 -0.277 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC000484321136 1073478338 /nfs/dbraw/zinc/47/83/38/1073478338.db2.gz KVHUNFHIJXMDBG-UHFFFAOYSA-N 0 0 438.550 -0.102 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCC[C@H]3CS(N)(=O)=O)cn2)C[C@H](C)O1 ZINC000484323012 1073478381 /nfs/dbraw/zinc/47/83/81/1073478381.db2.gz FNPZCAAFZOAAFH-GUTXKFCHSA-N 0 0 425.511 -0.087 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCC[C@H]3CS(N)(=O)=O)cn2)C[C@@H](C)O1 ZINC000484323013 1073478274 /nfs/dbraw/zinc/47/82/74/1073478274.db2.gz FNPZCAAFZOAAFH-NFAWXSAZSA-N 0 0 425.511 -0.087 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCC[C@@H]3CS(N)(=O)=O)cn2)C[C@@H](C)O1 ZINC000484323014 1073479177 /nfs/dbraw/zinc/47/91/77/1073479177.db2.gz FNPZCAAFZOAAFH-UMVBOHGHSA-N 0 0 425.511 -0.087 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCC[C@@H]3CS(N)(=O)=O)cn2)C[C@H](C)O1 ZINC000484323015 1073479222 /nfs/dbraw/zinc/47/92/22/1073479222.db2.gz FNPZCAAFZOAAFH-VNHYZAJKSA-N 0 0 425.511 -0.087 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)[C@@H](CCC(N)=O)NC(C)=O)CC2)cc1 ZINC000484323686 1073478347 /nfs/dbraw/zinc/47/83/47/1073478347.db2.gz RXGNMWOKVGRRMD-GOSISDBHSA-N 0 0 433.509 -0.062 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)[C@H](CCC(N)=O)NC(C)=O)CC2)cc1 ZINC000484323687 1073478416 /nfs/dbraw/zinc/47/84/16/1073478416.db2.gz RXGNMWOKVGRRMD-SFHVURJKSA-N 0 0 433.509 -0.062 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484325130 1073479350 /nfs/dbraw/zinc/47/93/50/1073479350.db2.gz XAKLXTINHYBAKY-UHFFFAOYSA-N 0 0 425.511 -0.405 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC000484327088 1073479125 /nfs/dbraw/zinc/47/91/25/1073479125.db2.gz UPWIJTQMFBGFAX-UHFFFAOYSA-N 0 0 440.566 -0.205 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N(CCO)CCOCC(F)(F)F ZINC000484333877 1073479240 /nfs/dbraw/zinc/47/92/40/1073479240.db2.gz BQQKIWBDCOYGRW-UHFFFAOYSA-N 0 0 447.366 -0.085 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CC2)[nH]1 ZINC000484335162 1073479301 /nfs/dbraw/zinc/47/93/01/1073479301.db2.gz FHLCFOSDVGLRPA-UHFFFAOYSA-N 0 0 441.448 -0.714 20 0 IBADRN COC(=O)CN1CCN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CC1 ZINC000484338110 1073479045 /nfs/dbraw/zinc/47/90/45/1073479045.db2.gz CSYLRABNEMNAQF-UHFFFAOYSA-N 0 0 446.464 -0.111 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000484339523 1073479325 /nfs/dbraw/zinc/47/93/25/1073479325.db2.gz KENGVCSTCGLLTA-JFIYKMOQSA-N 0 0 435.525 -0.215 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000484339525 1073479205 /nfs/dbraw/zinc/47/92/05/1073479205.db2.gz KENGVCSTCGLLTA-JZXOWHBKSA-N 0 0 435.525 -0.215 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000484339527 1073479066 /nfs/dbraw/zinc/47/90/66/1073479066.db2.gz KENGVCSTCGLLTA-NUJGCVRESA-N 0 0 435.525 -0.215 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000484339528 1073479339 /nfs/dbraw/zinc/47/93/39/1073479339.db2.gz KENGVCSTCGLLTA-SOLBZPMBSA-N 0 0 435.525 -0.215 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1C ZINC000484339544 1073479101 /nfs/dbraw/zinc/47/91/01/1073479101.db2.gz KLCGORLLOBVUKK-HNNXBMFYSA-N 0 0 427.523 -0.203 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1C ZINC000484339545 1073479257 /nfs/dbraw/zinc/47/92/57/1073479257.db2.gz KLCGORLLOBVUKK-OAHLLOKOSA-N 0 0 427.523 -0.203 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c(C)c1 ZINC000484344132 1073479810 /nfs/dbraw/zinc/47/98/10/1073479810.db2.gz TZYPKHDUCFNCGF-UHFFFAOYSA-N 0 0 429.481 -0.171 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCOCC1 ZINC000484359410 1073479231 /nfs/dbraw/zinc/47/92/31/1073479231.db2.gz JQCPZXZINSCPLD-GOSISDBHSA-N 0 0 446.460 -0.486 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCOCC1 ZINC000484359413 1073479183 /nfs/dbraw/zinc/47/91/83/1073479183.db2.gz JQCPZXZINSCPLD-SFHVURJKSA-N 0 0 446.460 -0.486 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c(C)c1 ZINC000484363467 1073479762 /nfs/dbraw/zinc/47/97/62/1073479762.db2.gz AWPWBBQUBCDTLQ-NRFANRHFSA-N 0 0 445.476 -0.105 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c(C)c1 ZINC000484363468 1073479856 /nfs/dbraw/zinc/47/98/56/1073479856.db2.gz AWPWBBQUBCDTLQ-OAQYLSRUSA-N 0 0 445.476 -0.105 20 0 IBADRN COC[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(=O)OC ZINC000484367459 1073480812 /nfs/dbraw/zinc/48/08/12/1073480812.db2.gz CEWIDXLMZOBDAE-HNNXBMFYSA-N 0 0 429.495 -0.309 20 0 IBADRN COC[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(=O)OC ZINC000484367461 1073480776 /nfs/dbraw/zinc/48/07/76/1073480776.db2.gz CEWIDXLMZOBDAE-OAHLLOKOSA-N 0 0 429.495 -0.309 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](N3CCCC3=O)C2)cc1 ZINC000484368096 1073480706 /nfs/dbraw/zinc/48/07/06/1073480706.db2.gz DCKYNPMMQAFMNB-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](N3CCCC3=O)C2)cc1 ZINC000484368097 1073480865 /nfs/dbraw/zinc/48/08/65/1073480865.db2.gz DCKYNPMMQAFMNB-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1=O ZINC000484373789 1073481254 /nfs/dbraw/zinc/48/12/54/1073481254.db2.gz UVADHMOEZXLIRS-HNNXBMFYSA-N 0 0 427.465 -0.098 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1=O ZINC000484373791 1073481526 /nfs/dbraw/zinc/48/15/26/1073481526.db2.gz UVADHMOEZXLIRS-OAHLLOKOSA-N 0 0 427.465 -0.098 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000484373905 1073481518 /nfs/dbraw/zinc/48/15/18/1073481518.db2.gz FAGUFHFPTGBNRY-UHFFFAOYSA-N 0 0 446.460 -0.389 20 0 IBADRN Cc1ccc(NC(=O)CN(CCO)CCN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000484375626 1073251071 /nfs/dbraw/zinc/25/10/71/1073251071.db2.gz IGBBUUOUZJTFBL-UHFFFAOYSA-N 0 0 428.555 -0.190 20 0 IBADRN CCNC(=O)NCCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000484376629 1073481385 /nfs/dbraw/zinc/48/13/85/1073481385.db2.gz ZRRAFVCKYIYUOT-UHFFFAOYSA-N 0 0 427.527 -0.178 20 0 IBADRN CC[C@@H](C)c1ncc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000484379325 1073482288 /nfs/dbraw/zinc/48/22/88/1073482288.db2.gz BPZWBWFHBWYQLS-GFCCVEGCSA-N 0 0 430.469 -0.583 20 0 IBADRN CC[C@H](C)c1ncc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000484379330 1073482177 /nfs/dbraw/zinc/48/21/77/1073482177.db2.gz BPZWBWFHBWYQLS-LBPRGKRZSA-N 0 0 430.469 -0.583 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H](C(N)=O)C2)cc1 ZINC000484379465 1073481643 /nfs/dbraw/zinc/48/16/43/1073481643.db2.gz OSQZXJVNAONQFX-GXTWGEPZSA-N 0 0 426.495 -0.290 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H](C(N)=O)C2)cc1 ZINC000484379466 1073481319 /nfs/dbraw/zinc/48/13/19/1073481319.db2.gz OSQZXJVNAONQFX-JSGCOSHPSA-N 0 0 426.495 -0.290 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H](C(N)=O)C2)cc1 ZINC000484379467 1073482235 /nfs/dbraw/zinc/48/22/35/1073482235.db2.gz OSQZXJVNAONQFX-OCCSQVGLSA-N 0 0 426.495 -0.290 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H](C(N)=O)C2)cc1 ZINC000484379468 1073482070 /nfs/dbraw/zinc/48/20/70/1073482070.db2.gz OSQZXJVNAONQFX-TZMCWYRMSA-N 0 0 426.495 -0.290 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484379640 1073481532 /nfs/dbraw/zinc/48/15/32/1073481532.db2.gz CHTPZGVLHIGBDG-INIZCTEOSA-N 0 0 448.476 -0.192 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000484381108 1073482303 /nfs/dbraw/zinc/48/23/03/1073482303.db2.gz YDIXJIJUFFSCMP-ZDUSSCGKSA-N 0 0 447.366 -0.351 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)[C@@H](C)C1 ZINC000484383191 1073482240 /nfs/dbraw/zinc/48/22/40/1073482240.db2.gz BSOCZYZANCTQNN-AWEZNQCLSA-N 0 0 446.460 -0.389 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)[C@H](C)C1 ZINC000484383192 1073482080 /nfs/dbraw/zinc/48/20/80/1073482080.db2.gz BSOCZYZANCTQNN-CQSZACIVSA-N 0 0 446.460 -0.389 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@H]1CCCN(c2ccnn2C)C1=O ZINC000484383920 1073484444 /nfs/dbraw/zinc/48/44/44/1073484444.db2.gz DJMXBSNAEWAJFN-AWEZNQCLSA-N 0 0 448.505 -0.079 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2C)C1=O ZINC000484383921 1073484435 /nfs/dbraw/zinc/48/44/35/1073484435.db2.gz DJMXBSNAEWAJFN-CQSZACIVSA-N 0 0 448.505 -0.079 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2=O)c1 ZINC000484384034 1073479948 /nfs/dbraw/zinc/47/99/48/1073479948.db2.gz WFDOUGCETJZLAJ-AWEZNQCLSA-N 0 0 434.478 -0.422 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2=O)c1 ZINC000484384037 1073479959 /nfs/dbraw/zinc/47/99/59/1073479959.db2.gz WFDOUGCETJZLAJ-CQSZACIVSA-N 0 0 434.478 -0.422 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000484385404 1073484285 /nfs/dbraw/zinc/48/42/85/1073484285.db2.gz GPJAFHCYGHSFMK-GFCCVEGCSA-N 0 0 433.483 -0.102 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000484385408 1073484448 /nfs/dbraw/zinc/48/44/48/1073484448.db2.gz GPJAFHCYGHSFMK-LBPRGKRZSA-N 0 0 433.483 -0.102 20 0 IBADRN COc1ccc(F)c(N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)c1 ZINC000484385480 1073484330 /nfs/dbraw/zinc/48/43/30/1073484330.db2.gz HYKLJUWTXBPGIP-UHFFFAOYSA-N 0 0 433.444 -0.199 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)cc1C ZINC000484385839 1073484398 /nfs/dbraw/zinc/48/43/98/1073484398.db2.gz LHIZVAAUFFBHET-UHFFFAOYSA-N 0 0 430.465 -0.261 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCS2(=O)=O)cc1 ZINC000484386060 1073482169 /nfs/dbraw/zinc/48/21/69/1073482169.db2.gz INMGUWSIYJDOQR-DOMZBBRYSA-N 0 0 439.490 0.000 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCS2(=O)=O)cc1 ZINC000484386062 1073484891 /nfs/dbraw/zinc/48/48/91/1073484891.db2.gz INMGUWSIYJDOQR-IUODEOHRSA-N 0 0 439.490 0.000 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCS2(=O)=O)cc1 ZINC000484386064 1073484883 /nfs/dbraw/zinc/48/48/83/1073484883.db2.gz INMGUWSIYJDOQR-SWLSCSKDSA-N 0 0 439.490 0.000 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCS2(=O)=O)cc1 ZINC000484386066 1073485127 /nfs/dbraw/zinc/48/51/27/1073485127.db2.gz INMGUWSIYJDOQR-WFASDCNBSA-N 0 0 439.490 0.000 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)C1=O ZINC000484386603 1073484344 /nfs/dbraw/zinc/48/43/44/1073484344.db2.gz INROYAANLMJMLX-CYBMUJFWSA-N 0 0 434.478 -0.048 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)C1=O ZINC000484386604 1073484300 /nfs/dbraw/zinc/48/43/00/1073484300.db2.gz INROYAANLMJMLX-ZDUSSCGKSA-N 0 0 434.478 -0.048 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c(C)c1 ZINC000484386821 1073482309 /nfs/dbraw/zinc/48/23/09/1073482309.db2.gz JOZQKWOTGDOTTN-UHFFFAOYSA-N 0 0 430.421 -0.480 20 0 IBADRN C[C@](CO)(CNC(=O)C(=O)N=c1ccn(CCN2CCOCC2)[nH]1)Cc1ccccc1 ZINC000484389183 1073251174 /nfs/dbraw/zinc/25/11/74/1073251174.db2.gz ACVAZTCQEBKZAA-JOCHJYFZSA-N 0 0 429.521 -0.067 20 0 IBADRN C[C@@](CO)(CNC(=O)C(=O)N=c1ccn(CCN2CCOCC2)[nH]1)Cc1ccccc1 ZINC000484389185 1073251186 /nfs/dbraw/zinc/25/11/86/1073251186.db2.gz ACVAZTCQEBKZAA-QFIPXVFZSA-N 0 0 429.521 -0.067 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CCCS1(=O)=O ZINC000484390058 1073482941 /nfs/dbraw/zinc/48/29/41/1073482941.db2.gz NOAKVEYNEKUYBG-CYBMUJFWSA-N 0 0 447.535 -0.033 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H]1CCCS1(=O)=O ZINC000484390059 1073483070 /nfs/dbraw/zinc/48/30/70/1073483070.db2.gz NOAKVEYNEKUYBG-ZDUSSCGKSA-N 0 0 447.535 -0.033 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484390692 1073482979 /nfs/dbraw/zinc/48/29/79/1073482979.db2.gz CQJKHWOEVLGBFS-AWEZNQCLSA-N 0 0 432.477 -0.182 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484390694 1073482898 /nfs/dbraw/zinc/48/28/98/1073482898.db2.gz CQJKHWOEVLGBFS-CQSZACIVSA-N 0 0 432.477 -0.182 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(OC(C)C)c(C(N)=O)c3)C2)nn1 ZINC000484391094 1073482951 /nfs/dbraw/zinc/48/29/51/1073482951.db2.gz SALDBORHHDACKV-UHFFFAOYSA-N 0 0 430.421 -0.027 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H]1CCCS1(=O)=O)CC2 ZINC000484392034 1073482872 /nfs/dbraw/zinc/48/28/72/1073482872.db2.gz QUBVAWBODWPOIA-AWEZNQCLSA-N 0 0 429.520 -0.433 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H]1CCCS1(=O)=O)CC2 ZINC000484392035 1073483635 /nfs/dbraw/zinc/48/36/35/1073483635.db2.gz QUBVAWBODWPOIA-CQSZACIVSA-N 0 0 429.520 -0.433 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484392328 1073483046 /nfs/dbraw/zinc/48/30/46/1073483046.db2.gz XAUVRUDXANIHAG-KBPBESRZSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484392356 1073483090 /nfs/dbraw/zinc/48/30/90/1073483090.db2.gz XAUVRUDXANIHAG-KGLIPLIRSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484392358 1073482884 /nfs/dbraw/zinc/48/28/84/1073482884.db2.gz XAUVRUDXANIHAG-UONOGXRCSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484392361 1073483040 /nfs/dbraw/zinc/48/30/40/1073483040.db2.gz XAUVRUDXANIHAG-ZIAGYGMSSA-N 0 0 426.495 -0.197 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484395411 1073483718 /nfs/dbraw/zinc/48/37/18/1073483718.db2.gz JWKAXIGSQCHTSL-FQEVSTJZSA-N 0 0 435.433 -0.276 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484395423 1073483657 /nfs/dbraw/zinc/48/36/57/1073483657.db2.gz JWKAXIGSQCHTSL-HXUWFJFHSA-N 0 0 435.433 -0.276 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1=O ZINC000484396234 1073483605 /nfs/dbraw/zinc/48/36/05/1073483605.db2.gz KXFHBDNLXPKOBH-UHFFFAOYSA-N 0 0 432.433 -0.778 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@H]1CCCS1(=O)=O ZINC000484396968 1073483710 /nfs/dbraw/zinc/48/37/10/1073483710.db2.gz WVHWEKSQBITPIP-CYBMUJFWSA-N 0 0 445.519 -0.232 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@H]1CCCS1(=O)=O ZINC000484396969 1073483518 /nfs/dbraw/zinc/48/35/18/1073483518.db2.gz WVHWEKSQBITPIP-ZDUSSCGKSA-N 0 0 445.519 -0.232 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CSC[C@@H]3C(=O)N3CCSCC3)cnc2n(C)c1=O ZINC000484397287 1073483499 /nfs/dbraw/zinc/48/34/99/1073483499.db2.gz NKAFGISGTVQIDH-CYBMUJFWSA-N 0 0 435.531 -0.277 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CSC[C@H]3C(=O)N3CCSCC3)cnc2n(C)c1=O ZINC000484397290 1073483597 /nfs/dbraw/zinc/48/35/97/1073483597.db2.gz NKAFGISGTVQIDH-ZDUSSCGKSA-N 0 0 435.531 -0.277 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)(C)O ZINC000484398336 1073483678 /nfs/dbraw/zinc/48/36/78/1073483678.db2.gz MRIFAWGSMQGORG-INIZCTEOSA-N 0 0 440.522 -0.343 20 0 IBADRN COC[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(=O)OC ZINC000484398633 1073483701 /nfs/dbraw/zinc/48/37/01/1073483701.db2.gz CFZKOERWOOUHBQ-INIZCTEOSA-N 0 0 427.479 -0.543 20 0 IBADRN COC[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(=O)OC ZINC000484398634 1073483644 /nfs/dbraw/zinc/48/36/44/1073483644.db2.gz CFZKOERWOOUHBQ-MRXNPFEDSA-N 0 0 427.479 -0.543 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000484399911 1073483686 /nfs/dbraw/zinc/48/36/86/1073483686.db2.gz CUVQEZWADQTTPG-UHFFFAOYSA-N 0 0 432.433 -0.778 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2C)C1 ZINC000484400770 1073483531 /nfs/dbraw/zinc/48/35/31/1073483531.db2.gz ARZRPNFXXNDEHG-UHFFFAOYSA-N 0 0 426.495 -0.544 20 0 IBADRN COC[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)C(=O)OC ZINC000484402311 1073484453 /nfs/dbraw/zinc/48/44/53/1073484453.db2.gz JVDOMPRCUBXEQS-AWEZNQCLSA-N 0 0 446.478 -0.358 20 0 IBADRN COC[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)C(=O)OC ZINC000484402316 1073484386 /nfs/dbraw/zinc/48/43/86/1073484386.db2.gz JVDOMPRCUBXEQS-CQSZACIVSA-N 0 0 446.478 -0.358 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@](C)(CO)Cc3ccccc3)cnc2n(C)c1=O ZINC000484403569 1073251655 /nfs/dbraw/zinc/25/16/55/1073251655.db2.gz BYNNEZMXNSNMBV-JOCHJYFZSA-N 0 0 439.472 -0.072 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@](C)(CO)Cc3ccccc3)cnc2n(C)c1=O ZINC000484403572 1073252096 /nfs/dbraw/zinc/25/20/96/1073252096.db2.gz BYNNEZMXNSNMBV-QFIPXVFZSA-N 0 0 439.472 -0.072 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000484405564 1073484405 /nfs/dbraw/zinc/48/44/05/1073484405.db2.gz FMWFXDSFLMRKAS-CABCVRRESA-N 0 0 441.506 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000484405573 1073484393 /nfs/dbraw/zinc/48/43/93/1073484393.db2.gz FMWFXDSFLMRKAS-GJZGRUSLSA-N 0 0 441.506 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000484405576 1073484429 /nfs/dbraw/zinc/48/44/29/1073484429.db2.gz FMWFXDSFLMRKAS-HUUCEWRRSA-N 0 0 441.506 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000484405579 1073484204 /nfs/dbraw/zinc/48/42/04/1073484204.db2.gz FMWFXDSFLMRKAS-LSDHHAIUSA-N 0 0 441.506 -0.437 20 0 IBADRN C[C@](CO)(CNC(=O)C(=O)N=c1ccn(CC(=O)N2CCOCC2)[nH]1)Cc1ccccc1 ZINC000484406058 1073252656 /nfs/dbraw/zinc/25/26/56/1073252656.db2.gz YABYOUDNBHHBCA-JOCHJYFZSA-N 0 0 443.504 -0.540 20 0 IBADRN C[C@@](CO)(CNC(=O)C(=O)N=c1ccn(CC(=O)N2CCOCC2)[nH]1)Cc1ccccc1 ZINC000484406059 1073252605 /nfs/dbraw/zinc/25/26/05/1073252605.db2.gz YABYOUDNBHHBCA-QFIPXVFZSA-N 0 0 443.504 -0.540 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H]3CCCS3(=O)=O)cc2)CC1 ZINC000484407415 1073485016 /nfs/dbraw/zinc/48/50/16/1073485016.db2.gz ZSAMLMIXWZEKLJ-KRWDZBQOSA-N 0 0 436.534 -0.365 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H]3CCCS3(=O)=O)cc2)CC1 ZINC000484407421 1073485047 /nfs/dbraw/zinc/48/50/47/1073485047.db2.gz ZSAMLMIXWZEKLJ-QGZVFWFLSA-N 0 0 436.534 -0.365 20 0 IBADRN COc1ccc(NC(=O)CN(CCO)CCN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000484407629 1073252100 /nfs/dbraw/zinc/25/21/00/1073252100.db2.gz OVQRHEIENWFSAQ-UHFFFAOYSA-N 0 0 444.554 -0.490 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@H](N3CCCC3=O)C2)cc1 ZINC000484409863 1073485838 /nfs/dbraw/zinc/48/58/38/1073485838.db2.gz CHQWEOIHJBXIBP-INIZCTEOSA-N 0 0 430.461 -0.320 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@@H](N3CCCC3=O)C2)cc1 ZINC000484409872 1073485879 /nfs/dbraw/zinc/48/58/79/1073485879.db2.gz CHQWEOIHJBXIBP-MRXNPFEDSA-N 0 0 430.461 -0.320 20 0 IBADRN CCNC(=O)NCCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(CC)CC)c1 ZINC000484410332 1073485163 /nfs/dbraw/zinc/48/51/63/1073485163.db2.gz SPUSLPMVNNORMP-UHFFFAOYSA-N 0 0 427.527 -0.118 20 0 IBADRN Cn1ccnc1C[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000484410546 1073485132 /nfs/dbraw/zinc/48/51/32/1073485132.db2.gz DNLBAYNDQVMTIK-INIZCTEOSA-N 0 0 443.508 -0.105 20 0 IBADRN Cn1ccnc1C[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000484410554 1073485066 /nfs/dbraw/zinc/48/50/66/1073485066.db2.gz DNLBAYNDQVMTIK-MRXNPFEDSA-N 0 0 443.508 -0.105 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1=O ZINC000484411416 1073485871 /nfs/dbraw/zinc/48/58/71/1073485871.db2.gz OABCYSBJMYYLHR-AWEZNQCLSA-N 0 0 434.478 -0.199 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1=O ZINC000484411423 1073485664 /nfs/dbraw/zinc/48/56/64/1073485664.db2.gz OABCYSBJMYYLHR-CQSZACIVSA-N 0 0 434.478 -0.199 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CCCS1(=O)=O ZINC000484411710 1073485875 /nfs/dbraw/zinc/48/58/75/1073485875.db2.gz VYUGHJILJIWXAH-CYBMUJFWSA-N 0 0 446.551 -0.365 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H]1CCCS1(=O)=O ZINC000484411713 1073485652 /nfs/dbraw/zinc/48/56/52/1073485652.db2.gz VYUGHJILJIWXAH-ZDUSSCGKSA-N 0 0 446.551 -0.365 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(OC(C)C)c(C(N)=O)c2)C1 ZINC000484412195 1073485764 /nfs/dbraw/zinc/48/57/64/1073485764.db2.gz WTKICZQMHQGZAH-UHFFFAOYSA-N 0 0 426.495 -0.091 20 0 IBADRN COCC(=O)N1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000484414722 1073485713 /nfs/dbraw/zinc/48/57/13/1073485713.db2.gz ITAAYXRSUMYAQB-UHFFFAOYSA-N 0 0 431.536 -0.237 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)OC[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000484415580 1073485806 /nfs/dbraw/zinc/48/58/06/1073485806.db2.gz NMWHDPMARRQYIO-BXUZGUMPSA-N 0 0 428.463 -0.187 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000484415597 1073485747 /nfs/dbraw/zinc/48/57/47/1073485747.db2.gz NMWHDPMARRQYIO-FZMZJTMJSA-N 0 0 428.463 -0.187 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000484415599 1073485754 /nfs/dbraw/zinc/48/57/54/1073485754.db2.gz NMWHDPMARRQYIO-RISCZKNCSA-N 0 0 428.463 -0.187 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)OC[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000484415601 1073485733 /nfs/dbraw/zinc/48/57/33/1073485733.db2.gz NMWHDPMARRQYIO-SMDDNHRTSA-N 0 0 428.463 -0.187 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCc4n[nH]cc4C3)c2)CC1 ZINC000484417554 1073485776 /nfs/dbraw/zinc/48/57/76/1073485776.db2.gz SBNXXYYWGWSIHC-INIZCTEOSA-N 0 0 446.533 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCc4n[nH]cc4C3)c2)CC1 ZINC000484417555 1073485831 /nfs/dbraw/zinc/48/58/31/1073485831.db2.gz SBNXXYYWGWSIHC-MRXNPFEDSA-N 0 0 446.533 -0.042 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@@H](N4CCCC4=O)C3)cn2)C[C@@H](C)O1 ZINC000484418048 1073485796 /nfs/dbraw/zinc/48/57/96/1073485796.db2.gz UBHBYJAYKGMCHX-BFYDXBDKSA-N 0 0 446.508 -0.319 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@@H](N4CCCC4=O)C3)cn2)C[C@H](C)O1 ZINC000484418061 1073485682 /nfs/dbraw/zinc/48/56/82/1073485682.db2.gz UBHBYJAYKGMCHX-HLLBOEOZSA-N 0 0 446.508 -0.319 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@H](N4CCCC4=O)C3)cn2)C[C@@H](C)O1 ZINC000484418062 1073485891 /nfs/dbraw/zinc/48/58/91/1073485891.db2.gz UBHBYJAYKGMCHX-INMHGKMJSA-N 0 0 446.508 -0.319 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@H](N4CCCC4=O)C3)cn2)C[C@H](C)O1 ZINC000484418063 1073485702 /nfs/dbraw/zinc/48/57/02/1073485702.db2.gz UBHBYJAYKGMCHX-UXLLHSPISA-N 0 0 446.508 -0.319 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N2CCSCC2)c1 ZINC000484420250 1073485888 /nfs/dbraw/zinc/48/58/88/1073485888.db2.gz IKOJHVWNFKWOJV-AWEZNQCLSA-N 0 0 426.520 -0.161 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)c1 ZINC000484420276 1073486444 /nfs/dbraw/zinc/48/64/44/1073486444.db2.gz IKOJHVWNFKWOJV-CQSZACIVSA-N 0 0 426.520 -0.161 20 0 IBADRN Cn1ccnc1C[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000484421260 1073486277 /nfs/dbraw/zinc/48/62/77/1073486277.db2.gz AAYCUOQJZHIGRN-INIZCTEOSA-N 0 0 443.508 -0.105 20 0 IBADRN Cn1ccnc1C[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000484421261 1073486176 /nfs/dbraw/zinc/48/61/76/1073486176.db2.gz AAYCUOQJZHIGRN-MRXNPFEDSA-N 0 0 443.508 -0.105 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000484422232 1073486379 /nfs/dbraw/zinc/48/63/79/1073486379.db2.gz CSOURUVBFDBZNQ-AEFFLSMTSA-N 0 0 448.520 -0.094 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000484422233 1073486301 /nfs/dbraw/zinc/48/63/01/1073486301.db2.gz CSOURUVBFDBZNQ-FUHWJXTLSA-N 0 0 448.520 -0.094 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000484422234 1073486233 /nfs/dbraw/zinc/48/62/33/1073486233.db2.gz CSOURUVBFDBZNQ-SJLPKXTDSA-N 0 0 448.520 -0.094 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000484422235 1073486246 /nfs/dbraw/zinc/48/62/46/1073486246.db2.gz CSOURUVBFDBZNQ-WMZOPIPTSA-N 0 0 448.520 -0.094 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000484423221 1073485002 /nfs/dbraw/zinc/48/50/02/1073485002.db2.gz MWGRPUHCVZVHKL-GDBMZVCRSA-N 0 0 434.493 -0.371 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000484423222 1073485093 /nfs/dbraw/zinc/48/50/93/1073485093.db2.gz MWGRPUHCVZVHKL-GOEBONIOSA-N 0 0 434.493 -0.371 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000484423223 1073485109 /nfs/dbraw/zinc/48/51/09/1073485109.db2.gz MWGRPUHCVZVHKL-HOCLYGCPSA-N 0 0 434.493 -0.371 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000484423224 1073484917 /nfs/dbraw/zinc/48/49/17/1073484917.db2.gz MWGRPUHCVZVHKL-ZBFHGGJFSA-N 0 0 434.493 -0.371 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCc4n[nH]cc4C3)cc2)CC1 ZINC000484423961 1073484969 /nfs/dbraw/zinc/48/49/69/1073484969.db2.gz PZAFKIIBOBVDGU-INIZCTEOSA-N 0 0 446.533 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCc4n[nH]cc4C3)cc2)CC1 ZINC000484423962 1073485145 /nfs/dbraw/zinc/48/51/45/1073485145.db2.gz PZAFKIIBOBVDGU-MRXNPFEDSA-N 0 0 446.533 -0.042 20 0 IBADRN O=C(C1CCCCC1)N1CCN(CCN2C(=O)[C@@H]3CS(=O)(=O)CCN3C2=O)CC1 ZINC000484426646 1073485036 /nfs/dbraw/zinc/48/50/36/1073485036.db2.gz RLFRBKYPKJCELG-INIZCTEOSA-N 0 0 426.539 -0.228 20 0 IBADRN O=C(C1CCCCC1)N1CCN(CCN2C(=O)[C@H]3CS(=O)(=O)CCN3C2=O)CC1 ZINC000484426651 1073484900 /nfs/dbraw/zinc/48/49/00/1073484900.db2.gz RLFRBKYPKJCELG-MRXNPFEDSA-N 0 0 426.539 -0.228 20 0 IBADRN Cn1ccnc1C[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000484428807 1073485150 /nfs/dbraw/zinc/48/51/50/1073485150.db2.gz YBQPAIKEZKSSTF-CYBMUJFWSA-N 0 0 439.476 -0.215 20 0 IBADRN Cn1ccnc1C[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000484428808 1073484862 /nfs/dbraw/zinc/48/48/62/1073484862.db2.gz YBQPAIKEZKSSTF-ZDUSSCGKSA-N 0 0 439.476 -0.215 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)C1=O ZINC000484430133 1073487659 /nfs/dbraw/zinc/48/76/59/1073487659.db2.gz WPZZDLYXXNTAQU-CYBMUJFWSA-N 0 0 429.437 -0.344 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)C1=O ZINC000484430135 1073487688 /nfs/dbraw/zinc/48/76/88/1073487688.db2.gz WPZZDLYXXNTAQU-ZDUSSCGKSA-N 0 0 429.437 -0.344 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](CO)OC[C@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000484430649 1073487621 /nfs/dbraw/zinc/48/76/21/1073487621.db2.gz JGBNBLZFCMETCK-BXUZGUMPSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000484430651 1073487677 /nfs/dbraw/zinc/48/76/77/1073487677.db2.gz JGBNBLZFCMETCK-FZMZJTMJSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000484430652 1073487759 /nfs/dbraw/zinc/48/77/59/1073487759.db2.gz JGBNBLZFCMETCK-RISCZKNCSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](CO)OC[C@@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000484430653 1073487789 /nfs/dbraw/zinc/48/77/89/1073487789.db2.gz JGBNBLZFCMETCK-SMDDNHRTSA-N 0 0 427.479 -0.317 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000484431025 1073487769 /nfs/dbraw/zinc/48/77/69/1073487769.db2.gz ZOPCMYUCBOMWMB-CRAIPNDOSA-N 0 0 434.493 -0.705 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000484431030 1073487746 /nfs/dbraw/zinc/48/77/46/1073487746.db2.gz ZOPCMYUCBOMWMB-MAUKXSAKSA-N 0 0 434.493 -0.705 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000484431032 1073487821 /nfs/dbraw/zinc/48/78/21/1073487821.db2.gz ZOPCMYUCBOMWMB-QAPCUYQASA-N 0 0 434.493 -0.705 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000484431034 1073487729 /nfs/dbraw/zinc/48/77/29/1073487729.db2.gz ZOPCMYUCBOMWMB-YJBOKZPZSA-N 0 0 434.493 -0.705 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](Cc3nccn3C)C2)cn1 ZINC000484432563 1073487583 /nfs/dbraw/zinc/48/75/83/1073487583.db2.gz VXPWEZKUWJNIPO-HNNXBMFYSA-N 0 0 431.497 -0.201 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](Cc3nccn3C)C2)cn1 ZINC000484432564 1073487796 /nfs/dbraw/zinc/48/77/96/1073487796.db2.gz VXPWEZKUWJNIPO-OAHLLOKOSA-N 0 0 431.497 -0.201 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484433455 1073488288 /nfs/dbraw/zinc/48/82/88/1073488288.db2.gz BQECGQADJLKLSA-DZGCQCFKSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484433458 1073488445 /nfs/dbraw/zinc/48/84/45/1073488445.db2.gz BQECGQADJLKLSA-HIFRSBDPSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484433462 1073488431 /nfs/dbraw/zinc/48/84/31/1073488431.db2.gz BQECGQADJLKLSA-UKRRQHHQSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484433465 1073488358 /nfs/dbraw/zinc/48/83/58/1073488358.db2.gz BQECGQADJLKLSA-ZFWWWQNUSA-N 0 0 427.479 -0.746 20 0 IBADRN COC[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(=O)OC ZINC000484433726 1073488421 /nfs/dbraw/zinc/48/84/21/1073488421.db2.gz BCODNDCUPVPOTD-INIZCTEOSA-N 0 0 441.506 -0.396 20 0 IBADRN COC[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(=O)OC ZINC000484433727 1073488410 /nfs/dbraw/zinc/48/84/10/1073488410.db2.gz BCODNDCUPVPOTD-MRXNPFEDSA-N 0 0 441.506 -0.396 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484434098 1073486342 /nfs/dbraw/zinc/48/63/42/1073486342.db2.gz CSGYWBGQIZRGMW-DZGCQCFKSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484434099 1073486391 /nfs/dbraw/zinc/48/63/91/1073486391.db2.gz CSGYWBGQIZRGMW-HIFRSBDPSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484434100 1073486362 /nfs/dbraw/zinc/48/63/62/1073486362.db2.gz CSGYWBGQIZRGMW-UKRRQHHQSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484434102 1073486439 /nfs/dbraw/zinc/48/64/39/1073486439.db2.gz CSGYWBGQIZRGMW-ZFWWWQNUSA-N 0 0 427.479 -0.746 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000484434280 1073486428 /nfs/dbraw/zinc/48/64/28/1073486428.db2.gz ZCCRWOHXNBAPHN-GDBMZVCRSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000484434283 1073486414 /nfs/dbraw/zinc/48/64/14/1073486414.db2.gz ZCCRWOHXNBAPHN-GOEBONIOSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000484434284 1073486402 /nfs/dbraw/zinc/48/64/02/1073486402.db2.gz ZCCRWOHXNBAPHN-HOCLYGCPSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000484434285 1073486259 /nfs/dbraw/zinc/48/62/59/1073486259.db2.gz ZCCRWOHXNBAPHN-ZBFHGGJFSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484435026 1073486319 /nfs/dbraw/zinc/48/63/19/1073486319.db2.gz QOFUGDQLDDMGAR-PBHICJAKSA-N 0 0 440.460 -0.166 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484435027 1073487049 /nfs/dbraw/zinc/48/70/49/1073487049.db2.gz QOFUGDQLDDMGAR-RHSMWYFYSA-N 0 0 440.460 -0.166 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484435028 1073487113 /nfs/dbraw/zinc/48/71/13/1073487113.db2.gz QOFUGDQLDDMGAR-WMLDXEAASA-N 0 0 440.460 -0.166 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484435029 1073487074 /nfs/dbraw/zinc/48/70/74/1073487074.db2.gz QOFUGDQLDDMGAR-YOEHRIQHSA-N 0 0 440.460 -0.166 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@H](COC)C(=O)OC)o1 ZINC000484435313 1073486224 /nfs/dbraw/zinc/48/62/24/1073486224.db2.gz IHCVQROBVQRDCP-NEPJUHHUSA-N 0 0 432.451 -0.086 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H](COC)C(=O)OC)o1 ZINC000484435314 1073486462 /nfs/dbraw/zinc/48/64/62/1073486462.db2.gz IHCVQROBVQRDCP-NWDGAFQWSA-N 0 0 432.451 -0.086 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H](COC)C(=O)OC)o1 ZINC000484435315 1073486423 /nfs/dbraw/zinc/48/64/23/1073486423.db2.gz IHCVQROBVQRDCP-RYUDHWBXSA-N 0 0 432.451 -0.086 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H](COC)C(=O)OC)o1 ZINC000484435316 1073486207 /nfs/dbraw/zinc/48/62/07/1073486207.db2.gz IHCVQROBVQRDCP-VXGBXAGGSA-N 0 0 432.451 -0.086 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@H]2C)cc1 ZINC000484438111 1073487081 /nfs/dbraw/zinc/48/70/81/1073487081.db2.gz YXPGGXVQUNGFAC-GUTXKFCHSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](CO)OC[C@H]2C)cc1 ZINC000484438112 1073487166 /nfs/dbraw/zinc/48/71/66/1073487166.db2.gz YXPGGXVQUNGFAC-GZBFAFLISA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](CO)OC[C@@H]2C)cc1 ZINC000484438113 1073487160 /nfs/dbraw/zinc/48/71/60/1073487160.db2.gz YXPGGXVQUNGFAC-KCQAQPDRSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@@H]2C)cc1 ZINC000484438114 1073487093 /nfs/dbraw/zinc/48/70/93/1073487093.db2.gz YXPGGXVQUNGFAC-YDHLFZDLSA-N 0 0 429.495 -0.454 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000484438384 1073487135 /nfs/dbraw/zinc/48/71/35/1073487135.db2.gz HZPKJGPMKHXPGR-CHWSQXEVSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000484438386 1073487162 /nfs/dbraw/zinc/48/71/62/1073487162.db2.gz HZPKJGPMKHXPGR-OLZOCXBDSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000484438388 1073487170 /nfs/dbraw/zinc/48/71/70/1073487170.db2.gz HZPKJGPMKHXPGR-QWHCGFSZSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000484438389 1073487000 /nfs/dbraw/zinc/48/70/00/1073487000.db2.gz HZPKJGPMKHXPGR-STQMWFEESA-N 0 0 429.495 -0.118 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@H]1C(=O)N1CCSCC1 ZINC000484441638 1073487174 /nfs/dbraw/zinc/48/71/74/1073487174.db2.gz DGFHZIBBBOIFFP-HNNXBMFYSA-N 0 0 446.533 -0.477 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@@H]1C(=O)N1CCSCC1 ZINC000484442126 1073487143 /nfs/dbraw/zinc/48/71/43/1073487143.db2.gz DGFHZIBBBOIFFP-OAHLLOKOSA-N 0 0 446.533 -0.477 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484443446 1073487012 /nfs/dbraw/zinc/48/70/12/1073487012.db2.gz GSIZGGGWIBYEAC-CYBMUJFWSA-N 0 0 434.478 -0.159 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484443448 1073487042 /nfs/dbraw/zinc/48/70/42/1073487042.db2.gz GSIZGGGWIBYEAC-ZDUSSCGKSA-N 0 0 434.478 -0.159 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N2CCSCC2)c1 ZINC000484444108 1073487099 /nfs/dbraw/zinc/48/70/99/1073487099.db2.gz SUONLKXDJYPCDE-HNNXBMFYSA-N 0 0 447.517 -0.593 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)c1 ZINC000484444115 1073487813 /nfs/dbraw/zinc/48/78/13/1073487813.db2.gz SUONLKXDJYPCDE-OAHLLOKOSA-N 0 0 447.517 -0.593 20 0 IBADRN CNC(=O)C1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000484445754 1073487494 /nfs/dbraw/zinc/48/74/94/1073487494.db2.gz MVTNEKKJMGAZTR-GOSISDBHSA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)C1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000484445755 1073487535 /nfs/dbraw/zinc/48/75/35/1073487535.db2.gz MVTNEKKJMGAZTR-SFHVURJKSA-N 0 0 444.488 -0.376 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000484446517 1073487643 /nfs/dbraw/zinc/48/76/43/1073487643.db2.gz WDRKTHNAZMLHNF-HNNXBMFYSA-N 0 0 441.492 -0.118 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000484446521 1073487780 /nfs/dbraw/zinc/48/77/80/1073487780.db2.gz WDRKTHNAZMLHNF-OAHLLOKOSA-N 0 0 441.492 -0.118 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000484449065 1073488334 /nfs/dbraw/zinc/48/83/34/1073488334.db2.gz UXTPJTYHEUCPDX-AWEZNQCLSA-N 0 0 447.521 -0.244 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000484449066 1073488381 /nfs/dbraw/zinc/48/83/81/1073488381.db2.gz UXTPJTYHEUCPDX-CQSZACIVSA-N 0 0 447.521 -0.244 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000484449973 1073488325 /nfs/dbraw/zinc/48/83/25/1073488325.db2.gz UOJWTABFECHYPR-PBHICJAKSA-N 0 0 431.493 -0.313 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000484449974 1073488301 /nfs/dbraw/zinc/48/83/01/1073488301.db2.gz UOJWTABFECHYPR-RHSMWYFYSA-N 0 0 431.493 -0.313 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000484449975 1073488404 /nfs/dbraw/zinc/48/84/04/1073488404.db2.gz UOJWTABFECHYPR-WMLDXEAASA-N 0 0 431.493 -0.313 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000484449976 1073488424 /nfs/dbraw/zinc/48/84/24/1073488424.db2.gz UOJWTABFECHYPR-YOEHRIQHSA-N 0 0 431.493 -0.313 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N2CCSCC2)c1 ZINC000484450718 1073488372 /nfs/dbraw/zinc/48/83/72/1073488372.db2.gz XOBHPUZDPXIYOX-AWEZNQCLSA-N 0 0 444.510 -0.022 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)c1 ZINC000484450719 1073488349 /nfs/dbraw/zinc/48/83/49/1073488349.db2.gz XOBHPUZDPXIYOX-CQSZACIVSA-N 0 0 444.510 -0.022 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000484450793 1073488362 /nfs/dbraw/zinc/48/83/62/1073488362.db2.gz YEIJMGYINYYKOD-AWEZNQCLSA-N 0 0 447.521 -0.244 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000484450794 1073488315 /nfs/dbraw/zinc/48/83/15/1073488315.db2.gz YEIJMGYINYYKOD-CQSZACIVSA-N 0 0 447.521 -0.244 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)OC)c2C)C1=O ZINC000484451353 1073488464 /nfs/dbraw/zinc/48/84/64/1073488464.db2.gz AMKKDHBAMCDWOX-CYBMUJFWSA-N 0 0 431.453 -0.169 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)OC)c2C)C1=O ZINC000484451356 1073489060 /nfs/dbraw/zinc/48/90/60/1073489060.db2.gz AMKKDHBAMCDWOX-ZDUSSCGKSA-N 0 0 431.453 -0.169 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484453649 1073489135 /nfs/dbraw/zinc/48/91/35/1073489135.db2.gz FIJZTOYONOYXQZ-CYBMUJFWSA-N 0 0 434.478 -0.159 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484453650 1073488981 /nfs/dbraw/zinc/48/89/81/1073488981.db2.gz FIJZTOYONOYXQZ-ZDUSSCGKSA-N 0 0 434.478 -0.159 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)cn1 ZINC000484458589 1073489090 /nfs/dbraw/zinc/48/90/90/1073489090.db2.gz RVXPJHHORJCLBN-CYBMUJFWSA-N 0 0 426.520 -0.010 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N2CCSCC2)cn1 ZINC000484458590 1073489075 /nfs/dbraw/zinc/48/90/75/1073489075.db2.gz RVXPJHHORJCLBN-ZDUSSCGKSA-N 0 0 426.520 -0.010 20 0 IBADRN COCC(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC000484458903 1073489067 /nfs/dbraw/zinc/48/90/67/1073489067.db2.gz OSRCGQPVCWZKIF-UHFFFAOYSA-N 0 0 427.527 -0.256 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000484459344 1073489094 /nfs/dbraw/zinc/48/90/94/1073489094.db2.gz PKHABZQLQKGNLE-UHFFFAOYSA-N 0 0 426.495 -0.899 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000484459361 1073489010 /nfs/dbraw/zinc/48/90/10/1073489010.db2.gz PDOMIOFAFWMBNV-CHWSQXEVSA-N 0 0 428.511 -0.450 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000484459366 1073489107 /nfs/dbraw/zinc/48/91/07/1073489107.db2.gz PDOMIOFAFWMBNV-OLZOCXBDSA-N 0 0 428.511 -0.450 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000484459368 1073489152 /nfs/dbraw/zinc/48/91/52/1073489152.db2.gz PDOMIOFAFWMBNV-QWHCGFSZSA-N 0 0 428.511 -0.450 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000484459369 1073488996 /nfs/dbraw/zinc/48/89/96/1073488996.db2.gz PDOMIOFAFWMBNV-STQMWFEESA-N 0 0 428.511 -0.450 20 0 IBADRN Cc1c(C(=O)N2CCN(CCS(N)(=O)=O)CC2)nnn1Cc1ccc(F)cc1F ZINC000484460528 1073489157 /nfs/dbraw/zinc/48/91/57/1073489157.db2.gz VXXQEUCWVXTUQJ-UHFFFAOYSA-N 0 0 428.465 -0.041 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484461730 1073489128 /nfs/dbraw/zinc/48/91/28/1073489128.db2.gz VWTVHKZKVZXBHG-GXTWGEPZSA-N 0 0 445.469 -0.607 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484461731 1073489100 /nfs/dbraw/zinc/48/91/00/1073489100.db2.gz VWTVHKZKVZXBHG-JSGCOSHPSA-N 0 0 445.469 -0.607 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484461732 1073489054 /nfs/dbraw/zinc/48/90/54/1073489054.db2.gz VWTVHKZKVZXBHG-OCCSQVGLSA-N 0 0 445.469 -0.607 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484461733 1073489019 /nfs/dbraw/zinc/48/90/19/1073489019.db2.gz VWTVHKZKVZXBHG-TZMCWYRMSA-N 0 0 445.469 -0.607 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000484462495 1073489080 /nfs/dbraw/zinc/48/90/80/1073489080.db2.gz RQNVUYDJNBNLPP-AAEUAGOBSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000484462496 1073489738 /nfs/dbraw/zinc/48/97/38/1073489738.db2.gz RQNVUYDJNBNLPP-DGCLKSJQSA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000484462497 1073489712 /nfs/dbraw/zinc/48/97/12/1073489712.db2.gz RQNVUYDJNBNLPP-WCQYABFASA-N 0 0 427.479 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000484462498 1073489723 /nfs/dbraw/zinc/48/97/23/1073489723.db2.gz RQNVUYDJNBNLPP-YPMHNXCESA-N 0 0 427.479 -0.317 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000484463409 1073489785 /nfs/dbraw/zinc/48/97/85/1073489785.db2.gz UOMCPTCTLSKPGJ-GDBMZVCRSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000484463413 1073489771 /nfs/dbraw/zinc/48/97/71/1073489771.db2.gz UOMCPTCTLSKPGJ-GOEBONIOSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000484463414 1073489687 /nfs/dbraw/zinc/48/96/87/1073489687.db2.gz UOMCPTCTLSKPGJ-HOCLYGCPSA-N 0 0 440.522 -0.831 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000484463415 1073489697 /nfs/dbraw/zinc/48/96/97/1073489697.db2.gz UOMCPTCTLSKPGJ-ZBFHGGJFSA-N 0 0 440.522 -0.831 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](CO)OC[C@@H]2C)cc1S(=O)(=O)N(C)C ZINC000484463418 1073489695 /nfs/dbraw/zinc/48/96/95/1073489695.db2.gz UPHRXLHRDVJQSA-GXTWGEPZSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@@H]2C)cc1S(=O)(=O)N(C)C ZINC000484463420 1073489761 /nfs/dbraw/zinc/48/97/61/1073489761.db2.gz UPHRXLHRDVJQSA-JSGCOSHPSA-N 0 0 429.495 -0.118 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](CO)OC[C@H]2C)cc1S(=O)(=O)N(C)C ZINC000484463423 1073489776 /nfs/dbraw/zinc/48/97/76/1073489776.db2.gz UPHRXLHRDVJQSA-OCCSQVGLSA-N 0 0 429.495 -0.118 20 0 IBADRN COC[C@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000484463425 1073489709 /nfs/dbraw/zinc/48/97/09/1073489709.db2.gz HLCHMUPKHFQQOG-AWEZNQCLSA-N 0 0 430.479 -0.437 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](CO)OC[C@H]2C)cc1S(=O)(=O)N(C)C ZINC000484463426 1073489691 /nfs/dbraw/zinc/48/96/91/1073489691.db2.gz UPHRXLHRDVJQSA-TZMCWYRMSA-N 0 0 429.495 -0.118 20 0 IBADRN COC[C@@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000484463427 1073489673 /nfs/dbraw/zinc/48/96/73/1073489673.db2.gz HLCHMUPKHFQQOG-CQSZACIVSA-N 0 0 430.479 -0.437 20 0 IBADRN COC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(=O)OC ZINC000484465410 1073488389 /nfs/dbraw/zinc/48/83/89/1073488389.db2.gz HOVXQFMWCCVPJN-INIZCTEOSA-N 0 0 427.479 -0.543 20 0 IBADRN COC[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(=O)OC ZINC000484465411 1073488436 /nfs/dbraw/zinc/48/84/36/1073488436.db2.gz HOVXQFMWCCVPJN-MRXNPFEDSA-N 0 0 427.479 -0.543 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](N2C(=O)[C@H]3CS(=O)(=O)CCN3C2=O)C1=O ZINC000484475931 1073489780 /nfs/dbraw/zinc/48/97/80/1073489780.db2.gz UGCRDXVQVUDBTI-GHMZBOCLSA-N 0 0 427.460 -0.299 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](N2C(=O)[C@@H]3CS(=O)(=O)CCN3C2=O)C1=O ZINC000484475932 1073489732 /nfs/dbraw/zinc/48/97/32/1073489732.db2.gz UGCRDXVQVUDBTI-MNOVXSKESA-N 0 0 427.460 -0.299 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N2C(=O)[C@@H]3CS(=O)(=O)CCN3C2=O)C1=O ZINC000484475933 1073489700 /nfs/dbraw/zinc/48/97/00/1073489700.db2.gz UGCRDXVQVUDBTI-QWRGUYRKSA-N 0 0 427.460 -0.299 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N2C(=O)[C@H]3CS(=O)(=O)CCN3C2=O)C1=O ZINC000484475934 1073489730 /nfs/dbraw/zinc/48/97/30/1073489730.db2.gz UGCRDXVQVUDBTI-WDEREUQCSA-N 0 0 427.460 -0.299 20 0 IBADRN O=C1[C@H]2CS(=O)(=O)CCN2C(=O)N1CCNS(=O)(=O)c1ccc(Cl)s1 ZINC000484477568 1073489745 /nfs/dbraw/zinc/48/97/45/1073489745.db2.gz QCINCYDODQASLW-MRVPVSSYSA-N 0 0 427.913 -0.259 20 0 IBADRN O=C1[C@@H]2CS(=O)(=O)CCN2C(=O)N1CCNS(=O)(=O)c1ccc(Cl)s1 ZINC000484477570 1073490303 /nfs/dbraw/zinc/49/03/03/1073490303.db2.gz QCINCYDODQASLW-QMMMGPOBSA-N 0 0 427.913 -0.259 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@@H](COc3ccccc3)C2)cn1 ZINC000484484414 1073489715 /nfs/dbraw/zinc/48/97/15/1073489715.db2.gz IAMLMLOTXLSMHP-GOSISDBHSA-N 0 0 445.476 -0.109 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@H](COc3ccccc3)C2)cn1 ZINC000484484415 1073489764 /nfs/dbraw/zinc/48/97/64/1073489764.db2.gz IAMLMLOTXLSMHP-SFHVURJKSA-N 0 0 445.476 -0.109 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000484508320 1073490184 /nfs/dbraw/zinc/49/01/84/1073490184.db2.gz VZLICUXLMNXXIA-UHFFFAOYSA-N 0 0 428.323 -0.295 20 0 IBADRN CCNC(=O)NCCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000484517498 1073490288 /nfs/dbraw/zinc/49/02/88/1073490288.db2.gz SQRGNZCFONDZKZ-UHFFFAOYSA-N 0 0 425.511 -0.412 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCO[C@H](c4cccc(O)c4)C3)cnc2n(C)c1=O ZINC000484522259 1073490358 /nfs/dbraw/zinc/49/03/58/1073490358.db2.gz FGIDACLWJJAOSK-INIZCTEOSA-N 0 0 439.428 -0.124 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCO[C@@H](c4cccc(O)c4)C3)cnc2n(C)c1=O ZINC000484522265 1073490166 /nfs/dbraw/zinc/49/01/66/1073490166.db2.gz FGIDACLWJJAOSK-MRXNPFEDSA-N 0 0 439.428 -0.124 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@H](c3cccc(O)c3)C2)n1)N1CCOCC1 ZINC000484523295 1073321925 /nfs/dbraw/zinc/32/19/25/1073321925.db2.gz UPZMZAXQYGUECM-KRWDZBQOSA-N 0 0 443.460 -0.014 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@@H](c3cccc(O)c3)C2)n1)N1CCOCC1 ZINC000484523296 1073321964 /nfs/dbraw/zinc/32/19/64/1073321964.db2.gz UPZMZAXQYGUECM-QGZVFWFLSA-N 0 0 443.460 -0.014 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@H](c3cccc(O)c3)C2)cn1 ZINC000484526533 1073490238 /nfs/dbraw/zinc/49/02/38/1073490238.db2.gz NOLKZXHOXCOVKM-KRWDZBQOSA-N 0 0 431.449 -0.110 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@@H](c3cccc(O)c3)C2)cn1 ZINC000484526534 1073490324 /nfs/dbraw/zinc/49/03/24/1073490324.db2.gz NOLKZXHOXCOVKM-QGZVFWFLSA-N 0 0 431.449 -0.110 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(C)cc(S(C)(=O)=O)c1C ZINC000484526975 1073490216 /nfs/dbraw/zinc/49/02/16/1073490216.db2.gz ASIXCODEXKKZLN-GFCCVEGCSA-N 0 0 439.533 -0.332 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCS(=O)(=O)C[C@@H]3C(=O)OC)ccc2O1 ZINC000484527258 1073490298 /nfs/dbraw/zinc/49/02/98/1073490298.db2.gz AYSKJVYBYYEDAI-HIFRSBDPSA-N 0 0 447.487 -0.486 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCS(=O)(=O)C[C@@H]3C(=O)OC)ccc2O1 ZINC000484527263 1073490332 /nfs/dbraw/zinc/49/03/32/1073490332.db2.gz AYSKJVYBYYEDAI-UKRRQHHQSA-N 0 0 447.487 -0.486 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000484527879 1073490275 /nfs/dbraw/zinc/49/02/75/1073490275.db2.gz BKIQPIUFQLOUNG-UHFFFAOYSA-N 0 0 448.505 -0.440 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(OC)c(C(=O)N(C)C)c1 ZINC000484528563 1073490256 /nfs/dbraw/zinc/49/02/56/1073490256.db2.gz CARDRYRGPDAGRM-CYBMUJFWSA-N 0 0 434.492 -0.642 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000484529379 1073490222 /nfs/dbraw/zinc/49/02/22/1073490222.db2.gz DFWUKSJTEUSMFN-HZSPNIEDSA-N 0 0 427.527 -0.312 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000484529385 1073490337 /nfs/dbraw/zinc/49/03/37/1073490337.db2.gz DFWUKSJTEUSMFN-MELADBBJSA-N 0 0 427.527 -0.312 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000484529388 1073490266 /nfs/dbraw/zinc/49/02/66/1073490266.db2.gz DFWUKSJTEUSMFN-MGPQQGTHSA-N 0 0 427.527 -0.312 20 0 IBADRN CSCC[C@@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000484529390 1073490232 /nfs/dbraw/zinc/49/02/32/1073490232.db2.gz DFWUKSJTEUSMFN-MJBXVCDLSA-N 0 0 427.527 -0.312 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCO[C@@H](c3cccc(O)c3)C2)cn1)N1CCOCC1 ZINC000484529789 1073490311 /nfs/dbraw/zinc/49/03/11/1073490311.db2.gz SFZKENLPDDZIJL-GOSISDBHSA-N 0 0 443.460 -0.014 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCO[C@H](c3cccc(O)c3)C2)cn1)N1CCOCC1 ZINC000484529791 1073490348 /nfs/dbraw/zinc/49/03/48/1073490348.db2.gz SFZKENLPDDZIJL-SFHVURJKSA-N 0 0 443.460 -0.014 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(F)F)c3)CC2)cn1C ZINC000484530091 1073490343 /nfs/dbraw/zinc/49/03/43/1073490343.db2.gz FESJNCWNUMCHPN-UHFFFAOYSA-N 0 0 445.452 -0.338 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccn(CC(F)F)n3)CC2)cn1C ZINC000484530805 1073490201 /nfs/dbraw/zinc/49/02/01/1073490201.db2.gz FRDRBBAWQSDOED-UHFFFAOYSA-N 0 0 445.452 -0.338 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000484531593 1073491033 /nfs/dbraw/zinc/49/10/33/1073491033.db2.gz HIEJGJAVQZXKFY-UHFFFAOYSA-N 0 0 449.489 -0.013 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1 ZINC000484532145 1073491082 /nfs/dbraw/zinc/49/10/82/1073491082.db2.gz HOTXSYNCDVGMPY-UHFFFAOYSA-N 0 0 439.498 -0.957 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(N(C)C)nc3)CC2)cn1C ZINC000484532515 1073491067 /nfs/dbraw/zinc/49/10/67/1073491067.db2.gz IKCBZFAOYLEULP-UHFFFAOYSA-N 0 0 435.510 -0.339 20 0 IBADRN CSCC[C@@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000484533263 1073491076 /nfs/dbraw/zinc/49/10/76/1073491076.db2.gz QZXBQKVKKVCYRM-HNNXBMFYSA-N 0 0 444.579 -0.209 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000484533267 1073491115 /nfs/dbraw/zinc/49/11/15/1073491115.db2.gz QZXBQKVKKVCYRM-OAHLLOKOSA-N 0 0 444.579 -0.209 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(CC(N)=O)cc3)CC2)cn1C ZINC000484533723 1073491116 /nfs/dbraw/zinc/49/11/16/1073491116.db2.gz JQAOZHXLIQNLPO-UHFFFAOYSA-N 0 0 448.505 -0.772 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000484533848 1073491063 /nfs/dbraw/zinc/49/10/63/1073491063.db2.gz YHBGWPODRSQJQW-UHFFFAOYSA-N 0 0 449.411 -0.005 20 0 IBADRN COc1ncc(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000484534499 1073491009 /nfs/dbraw/zinc/49/10/09/1073491009.db2.gz LDBFELBZEOWUDY-UHFFFAOYSA-N 0 0 436.494 -0.088 20 0 IBADRN C[C@H](CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[S@@](C)=O ZINC000484534707 1073491112 /nfs/dbraw/zinc/49/11/12/1073491112.db2.gz LHBBWVCZOFIIKE-GMYLUUGSSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[S@@](C)=O ZINC000484534708 1073491120 /nfs/dbraw/zinc/49/11/20/1073491120.db2.gz LHBBWVCZOFIIKE-HJFSHJIFSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[S@](C)=O ZINC000484534709 1073491098 /nfs/dbraw/zinc/49/10/98/1073491098.db2.gz LHBBWVCZOFIIKE-POXGOYDTSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@H](CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[S@](C)=O ZINC000484534710 1073491110 /nfs/dbraw/zinc/49/11/10/1073491110.db2.gz LHBBWVCZOFIIKE-SUMNFNSASA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@H](CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[S@](C)=O ZINC000484535974 1073491094 /nfs/dbraw/zinc/49/10/94/1073491094.db2.gz NJRDGTPCAHXZOV-HCELYDKLSA-N 0 0 437.518 -0.087 20 0 IBADRN C[C@H](CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[S@@](C)=O ZINC000484535975 1073491061 /nfs/dbraw/zinc/49/10/61/1073491061.db2.gz NJRDGTPCAHXZOV-OXZBVXRUSA-N 0 0 437.518 -0.087 20 0 IBADRN C[C@H](CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[S@@](C)=O ZINC000484535976 1073491044 /nfs/dbraw/zinc/49/10/44/1073491044.db2.gz NJRDGTPCAHXZOV-UFZITNIESA-N 0 0 437.518 -0.087 20 0 IBADRN C[C@H](CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[S@](C)=O ZINC000484535977 1073491103 /nfs/dbraw/zinc/49/11/03/1073491103.db2.gz NJRDGTPCAHXZOV-UKEXBGPQSA-N 0 0 437.518 -0.087 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1 ZINC000484536061 1073491080 /nfs/dbraw/zinc/49/10/80/1073491080.db2.gz NWFFZYVSGHHRJB-UHFFFAOYSA-N 0 0 449.489 -0.013 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(S(C)(=O)=O)c(F)c1 ZINC000484536091 1073491053 /nfs/dbraw/zinc/49/10/53/1073491053.db2.gz FWAJJMOADFQOAA-LLVKDONJSA-N 0 0 429.469 -0.810 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)ccc1=O ZINC000484536457 1073491105 /nfs/dbraw/zinc/49/11/05/1073491105.db2.gz OBCLQGZABYIXDA-UHFFFAOYSA-N 0 0 436.494 -0.618 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(C(N)=O)c(C)c3)CC2)cn1C ZINC000484537440 1073491656 /nfs/dbraw/zinc/49/16/56/1073491656.db2.gz PZLUSARATCFUOK-UHFFFAOYSA-N 0 0 448.505 -0.393 20 0 IBADRN CCOc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000484537480 1073491089 /nfs/dbraw/zinc/49/10/89/1073491089.db2.gz QKILMTVHZYYMSY-UHFFFAOYSA-N 0 0 436.494 -0.006 20 0 IBADRN CSCC[C@@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000484537923 1073492277 /nfs/dbraw/zinc/49/22/77/1073492277.db2.gz IUCJTTARUVTYON-HNNXBMFYSA-N 0 0 444.579 -0.209 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000484537924 1073492326 /nfs/dbraw/zinc/49/23/26/1073492326.db2.gz IUCJTTARUVTYON-OAHLLOKOSA-N 0 0 444.579 -0.209 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)CC(=O)N4)CC2)cn1C ZINC000484539452 1073491708 /nfs/dbraw/zinc/49/17/08/1073491708.db2.gz VGXSOJQPTFGVGE-UHFFFAOYSA-N 0 0 446.489 -0.305 20 0 IBADRN C[C@H]1CCC[C@@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000484539874 1073491542 /nfs/dbraw/zinc/49/15/42/1073491542.db2.gz ZUAAFSLTDXJJKL-DLBZAZTESA-N 0 0 429.587 -0.435 20 0 IBADRN C[C@@H]1CCC[C@@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000484539885 1073491472 /nfs/dbraw/zinc/49/14/72/1073491472.db2.gz ZUAAFSLTDXJJKL-IAGOWNOFSA-N 0 0 429.587 -0.435 20 0 IBADRN C[C@H]1CCC[C@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000484539887 1073491510 /nfs/dbraw/zinc/49/15/10/1073491510.db2.gz ZUAAFSLTDXJJKL-IRXDYDNUSA-N 0 0 429.587 -0.435 20 0 IBADRN C[C@@H]1CCC[C@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000484539889 1073491584 /nfs/dbraw/zinc/49/15/84/1073491584.db2.gz ZUAAFSLTDXJJKL-SJORKVTESA-N 0 0 429.587 -0.435 20 0 IBADRN O=C(COc1ccc(Br)cc1)N1CCN(CC(=O)N2CCNC(=O)C2)CC1 ZINC000484541287 1073491679 /nfs/dbraw/zinc/49/16/79/1073491679.db2.gz JMDNKEPEMYSHOU-UHFFFAOYSA-N 0 0 439.310 -0.070 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000484541668 1073491498 /nfs/dbraw/zinc/49/14/98/1073491498.db2.gz YMEAJEJHMFJGBY-SNVBAGLBSA-N 0 0 440.883 -0.835 20 0 IBADRN COC(=O)c1nccc2c1cccc2S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC ZINC000484545100 1073491703 /nfs/dbraw/zinc/49/17/03/1073491703.db2.gz NXKCLICPJGCZFZ-CYBMUJFWSA-N 0 0 442.471 -0.018 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1 ZINC000484545723 1073491526 /nfs/dbraw/zinc/49/15/26/1073491526.db2.gz WQQWTWKLBAMZMX-CYBMUJFWSA-N 0 0 425.506 -0.559 20 0 IBADRN CSCC[C@@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484545830 1073491666 /nfs/dbraw/zinc/49/16/66/1073491666.db2.gz NMLCXJUFAFNPOK-AWEZNQCLSA-N 0 0 431.536 -0.124 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000484545831 1073491561 /nfs/dbraw/zinc/49/15/61/1073491561.db2.gz NMLCXJUFAFNPOK-CQSZACIVSA-N 0 0 431.536 -0.124 20 0 IBADRN C[C@H](CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[S@@](C)=O ZINC000484546206 1073491627 /nfs/dbraw/zinc/49/16/27/1073491627.db2.gz XKGANUSGCNBSHH-GMYLUUGSSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[S@@](C)=O ZINC000484546207 1073491598 /nfs/dbraw/zinc/49/15/98/1073491598.db2.gz XKGANUSGCNBSHH-HJFSHJIFSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[S@](C)=O ZINC000484546208 1073491571 /nfs/dbraw/zinc/49/15/71/1073491571.db2.gz XKGANUSGCNBSHH-POXGOYDTSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@H](CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[S@](C)=O ZINC000484546209 1073491635 /nfs/dbraw/zinc/49/16/35/1073491635.db2.gz XKGANUSGCNBSHH-SUMNFNSASA-N 0 0 444.579 -0.166 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000484549192 1073492214 /nfs/dbraw/zinc/49/22/14/1073492214.db2.gz CTNYBWSRZMNROR-LLVKDONJSA-N 0 0 444.484 -0.842 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCCO4)CC2)cn1C ZINC000484549280 1073492267 /nfs/dbraw/zinc/49/22/67/1073492267.db2.gz WXOPFHDGONKWKN-UHFFFAOYSA-N 0 0 449.489 -0.029 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cnn(-c2ccccc2OC)c1 ZINC000484549599 1073492391 /nfs/dbraw/zinc/49/23/91/1073492391.db2.gz XSAJAWHVMFCRET-CQSZACIVSA-N 0 0 429.476 -0.158 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H]1CCCc2c[nH]nc21 ZINC000484549821 1073491606 /nfs/dbraw/zinc/49/16/06/1073491606.db2.gz YNEXNGQFKKLNTE-HNNXBMFYSA-N 0 0 446.489 -0.338 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1CCCc2c[nH]nc21 ZINC000484549823 1073491692 /nfs/dbraw/zinc/49/16/92/1073491692.db2.gz YNEXNGQFKKLNTE-OAHLLOKOSA-N 0 0 446.489 -0.338 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2 ZINC000484550469 1073492409 /nfs/dbraw/zinc/49/24/09/1073492409.db2.gz XMOJEWQDCHZOQJ-CQSZACIVSA-N 0 0 428.488 -0.517 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCO[C@H](COc3ccccc3)C2)CC1)N1CCOCC1 ZINC000484550713 1073255707 /nfs/dbraw/zinc/25/57/07/1073255707.db2.gz GRGJFCBIRHUYQN-NRFANRHFSA-N 0 0 446.548 -0.231 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCO[C@@H](COc3ccccc3)C2)CC1)N1CCOCC1 ZINC000484550714 1073255674 /nfs/dbraw/zinc/25/56/74/1073255674.db2.gz GRGJFCBIRHUYQN-OAQYLSRUSA-N 0 0 446.548 -0.231 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000484551633 1073492344 /nfs/dbraw/zinc/49/23/44/1073492344.db2.gz JULUUTNROLLXLZ-OAHLLOKOSA-N 0 0 446.503 -0.880 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000484552108 1073492385 /nfs/dbraw/zinc/49/23/85/1073492385.db2.gz LLSMQZFRSUKUQB-CQSZACIVSA-N 0 0 444.487 -0.726 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c1C ZINC000484553176 1073492231 /nfs/dbraw/zinc/49/22/31/1073492231.db2.gz BODQHQATBFSYCL-UHFFFAOYSA-N 0 0 434.540 -0.406 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(C(=O)OC)CC2)cc1 ZINC000484553341 1073492395 /nfs/dbraw/zinc/49/23/95/1073492395.db2.gz NYHGTXYBLYFOLE-UHFFFAOYSA-N 0 0 427.479 -0.039 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c(C)n1 ZINC000484555756 1073492335 /nfs/dbraw/zinc/49/23/35/1073492335.db2.gz RKLNRPVQVCVBDH-UHFFFAOYSA-N 0 0 436.494 -0.088 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)N(C(C)=O)CCC2 ZINC000484555840 1073492256 /nfs/dbraw/zinc/49/22/56/1073492256.db2.gz RQAGTTPDYAETLS-MRXNPFEDSA-N 0 0 430.504 -0.054 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c1 ZINC000484556900 1073492360 /nfs/dbraw/zinc/49/23/60/1073492360.db2.gz MJRQHSAANNDTKN-UHFFFAOYSA-N 0 0 428.515 -0.261 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(OCC(F)(F)F)nc1 ZINC000484557369 1073492244 /nfs/dbraw/zinc/49/22/44/1073492244.db2.gz UMXMRFOAFQBBKR-SNVBAGLBSA-N 0 0 432.398 -0.017 20 0 IBADRN CSCC[C@@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484557401 1073492321 /nfs/dbraw/zinc/49/23/21/1073492321.db2.gz URDJKQCQKOMECG-AWEZNQCLSA-N 0 0 431.536 -0.124 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484557403 1073492307 /nfs/dbraw/zinc/49/23/07/1073492307.db2.gz URDJKQCQKOMECG-CQSZACIVSA-N 0 0 431.536 -0.124 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c(C)c1 ZINC000484560239 1073493616 /nfs/dbraw/zinc/49/36/16/1073493616.db2.gz RSUUQINXLGYIPS-UHFFFAOYSA-N 0 0 429.499 -0.993 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CCC2 ZINC000484561207 1073493675 /nfs/dbraw/zinc/49/36/75/1073493675.db2.gz AXAYPSMIFGHFBL-OAHLLOKOSA-N 0 0 442.515 -0.127 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(C(N)=O)ccc3C)CC2)cn1C ZINC000484562061 1073493594 /nfs/dbraw/zinc/49/35/94/1073493594.db2.gz BXUQQQQXRIQVSV-UHFFFAOYSA-N 0 0 448.505 -0.393 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(C2(C(=O)OC)CC2)cc1 ZINC000484563305 1073493634 /nfs/dbraw/zinc/49/36/34/1073493634.db2.gz DIXPXQLVMQARJR-CQSZACIVSA-N 0 0 431.488 -0.148 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000484565039 1073493623 /nfs/dbraw/zinc/49/36/23/1073493623.db2.gz FWRVNFBXYULEQC-GFCCVEGCSA-N 0 0 444.484 -0.842 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCO4)CC2)cn1C ZINC000484566251 1073493670 /nfs/dbraw/zinc/49/36/70/1073493670.db2.gz MBCFMXMMSFPVLT-UHFFFAOYSA-N 0 0 435.462 -0.071 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1 ZINC000484567487 1073492196 /nfs/dbraw/zinc/49/21/96/1073492196.db2.gz IIMFSIHDDJMNOH-UHFFFAOYSA-N 0 0 448.505 -0.440 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)cc1 ZINC000484567642 1073492398 /nfs/dbraw/zinc/49/23/98/1073492398.db2.gz NXWMULHTLNMJJT-CQSZACIVSA-N 0 0 435.476 -0.411 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(C)cn(C)c3=O)CC2)cn1C ZINC000484567791 1073492402 /nfs/dbraw/zinc/49/24/02/1073492402.db2.gz ORUHTSGYTLUSOI-UHFFFAOYSA-N 0 0 436.494 -0.793 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)c(OC)c(C)c1OC ZINC000484569587 1073493034 /nfs/dbraw/zinc/49/30/34/1073493034.db2.gz ZKSZWTDMOMPXHO-UHFFFAOYSA-N 0 0 432.499 -0.092 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000484570121 1073492971 /nfs/dbraw/zinc/49/29/71/1073492971.db2.gz KXYIUUWBXRQCMA-HUUCEWRRSA-N 0 0 436.552 -0.231 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000484570122 1073492803 /nfs/dbraw/zinc/49/28/03/1073492803.db2.gz KXYIUUWBXRQCMA-LSDHHAIUSA-N 0 0 436.552 -0.231 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(OC(C)C)c(C(N)=O)c1 ZINC000484572257 1073493044 /nfs/dbraw/zinc/49/30/44/1073493044.db2.gz GDLFINYPUJYCMM-UHFFFAOYSA-N 0 0 429.499 -0.540 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1C ZINC000484572826 1073492840 /nfs/dbraw/zinc/49/28/40/1073492840.db2.gz IUPPFWNLGJWZAK-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484573635 1073492988 /nfs/dbraw/zinc/49/29/88/1073492988.db2.gz VVYFJIRJDMURRH-PBHICJAKSA-N 0 0 437.518 -0.130 20 0 IBADRN CSCC[C@H](CO)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484573644 1073492979 /nfs/dbraw/zinc/49/29/79/1073492979.db2.gz VVYFJIRJDMURRH-RHSMWYFYSA-N 0 0 437.518 -0.130 20 0 IBADRN CSCC[C@@H](CO)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484573645 1073492823 /nfs/dbraw/zinc/49/28/23/1073492823.db2.gz VVYFJIRJDMURRH-WMLDXEAASA-N 0 0 437.518 -0.130 20 0 IBADRN CSCC[C@@H](CO)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484573646 1073492861 /nfs/dbraw/zinc/49/28/61/1073492861.db2.gz VVYFJIRJDMURRH-YOEHRIQHSA-N 0 0 437.518 -0.130 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000484573647 1074352559 /nfs/dbraw/zinc/35/25/59/1074352559.db2.gz VVZZBVKKDMDVNS-UHFFFAOYSA-N 0 0 439.498 -0.957 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1C ZINC000484574749 1073493068 /nfs/dbraw/zinc/49/30/68/1073493068.db2.gz MFVJTLNWEGWDSU-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)ccc1OC ZINC000484574997 1073492781 /nfs/dbraw/zinc/49/27/81/1073492781.db2.gz YCVJLRZHYDZEKK-CQSZACIVSA-N 0 0 448.519 -0.318 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)s1 ZINC000484576781 1073493013 /nfs/dbraw/zinc/49/30/13/1073493013.db2.gz STXJAMROVHRLBH-MRVPVSSYSA-N 0 0 427.478 -0.496 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)c3)CC2)cn1C ZINC000484577227 1073493589 /nfs/dbraw/zinc/49/35/89/1073493589.db2.gz TUQJDIDPGKLVFC-UHFFFAOYSA-N 0 0 434.478 -0.701 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000484577382 1073493699 /nfs/dbraw/zinc/49/36/99/1073493699.db2.gz SRUVQPPUBJXPGM-UHFFFAOYSA-N 0 0 436.465 -0.152 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2C[C@H](C)O[C@@H](C)C2)nc1 ZINC000484577416 1073493576 /nfs/dbraw/zinc/49/35/76/1073493576.db2.gz XQWDYKOYGMWONB-KBPBESRZSA-N 0 0 442.542 -0.418 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000484577417 1073493689 /nfs/dbraw/zinc/49/36/89/1073493689.db2.gz XQWDYKOYGMWONB-OKILXGFUSA-N 0 0 442.542 -0.418 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)nc1 ZINC000484577418 1073493583 /nfs/dbraw/zinc/49/35/83/1073493583.db2.gz XQWDYKOYGMWONB-ZIAGYGMSSA-N 0 0 442.542 -0.418 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NCCCOc2ccc(C(F)(F)F)cn2)n1 ZINC000484580733 1073314005 /nfs/dbraw/zinc/31/40/05/1073314005.db2.gz DUBZDKFFULEARP-UHFFFAOYSA-N 0 0 429.359 -0.038 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cc(C)cn(C)c2=O)CC1 ZINC000484581182 1073495048 /nfs/dbraw/zinc/49/50/48/1073495048.db2.gz DBEIYJAQPQHVAH-UHFFFAOYSA-N 0 0 447.517 -0.111 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC)c1C ZINC000484582384 1073494994 /nfs/dbraw/zinc/49/49/94/1073494994.db2.gz IAABPTZRFGFONQ-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)CC1 ZINC000484584531 1073493650 /nfs/dbraw/zinc/49/36/50/1073493650.db2.gz CZUPUNMWBHORBU-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)cn1 ZINC000484584616 1073493612 /nfs/dbraw/zinc/49/36/12/1073493612.db2.gz FKBNJRWFDMAWAG-UHFFFAOYSA-N 0 0 429.499 -0.386 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)N1CCN(c2ccccn2)CC1 ZINC000484587579 1073493637 /nfs/dbraw/zinc/49/36/37/1073493637.db2.gz XHDWEKSPJWNFTN-DLBZAZTESA-N 0 0 437.566 -0.256 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)N1CCN(c2ccccn2)CC1 ZINC000484587580 1073493602 /nfs/dbraw/zinc/49/36/02/1073493602.db2.gz XHDWEKSPJWNFTN-IAGOWNOFSA-N 0 0 437.566 -0.256 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)N1CCN(c2ccccn2)CC1 ZINC000484587581 1073493683 /nfs/dbraw/zinc/49/36/83/1073493683.db2.gz XHDWEKSPJWNFTN-IRXDYDNUSA-N 0 0 437.566 -0.256 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)N1CCN(c2ccccn2)CC1 ZINC000484587582 1073493646 /nfs/dbraw/zinc/49/36/46/1073493646.db2.gz XHDWEKSPJWNFTN-SJORKVTESA-N 0 0 437.566 -0.256 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000484588981 1073493692 /nfs/dbraw/zinc/49/36/92/1073493692.db2.gz ZRYFSTIQWLBNTE-ARFHVFGLSA-N 0 0 439.534 -0.044 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000484588988 1073494369 /nfs/dbraw/zinc/49/43/69/1073494369.db2.gz ZRYFSTIQWLBNTE-BZUAXINKSA-N 0 0 439.534 -0.044 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000484588990 1073494260 /nfs/dbraw/zinc/49/42/60/1073494260.db2.gz ZRYFSTIQWLBNTE-OAGGEKHMSA-N 0 0 439.534 -0.044 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000484588992 1073494236 /nfs/dbraw/zinc/49/42/36/1073494236.db2.gz ZRYFSTIQWLBNTE-XHSDSOJGSA-N 0 0 439.534 -0.044 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cn1 ZINC000484592882 1073494339 /nfs/dbraw/zinc/49/43/39/1073494339.db2.gz IOYFGSAVFBARFL-AWEZNQCLSA-N 0 0 431.453 -0.225 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cn1 ZINC000484592883 1073494331 /nfs/dbraw/zinc/49/43/31/1073494331.db2.gz IOYFGSAVFBARFL-CQSZACIVSA-N 0 0 431.453 -0.225 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1C ZINC000484593024 1073494279 /nfs/dbraw/zinc/49/42/79/1073494279.db2.gz WLDMVOXRCYARAL-UHFFFAOYSA-N 0 0 446.551 -0.323 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cn1 ZINC000484594148 1073494291 /nfs/dbraw/zinc/49/42/91/1073494291.db2.gz MDWAKUCDTXOKQT-IBGZPJMESA-N 0 0 425.486 -0.681 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cn1 ZINC000484594382 1073494376 /nfs/dbraw/zinc/49/43/76/1073494376.db2.gz MDWAKUCDTXOKQT-LJQANCHMSA-N 0 0 425.486 -0.681 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCSC[C@H]2c2cnn(C)c2)cn1 ZINC000484595654 1073494273 /nfs/dbraw/zinc/49/42/73/1073494273.db2.gz DQHUSIQZRQLLKD-HNNXBMFYSA-N 0 0 435.510 -0.366 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCSC[C@@H]2c2cnn(C)c2)cn1 ZINC000484595655 1073494203 /nfs/dbraw/zinc/49/42/03/1073494203.db2.gz DQHUSIQZRQLLKD-OAHLLOKOSA-N 0 0 435.510 -0.366 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1C ZINC000484595956 1073494267 /nfs/dbraw/zinc/49/42/67/1073494267.db2.gz PKINWWGBDUVHQK-AWEZNQCLSA-N 0 0 434.478 -0.503 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1C ZINC000484595957 1073494179 /nfs/dbraw/zinc/49/41/79/1073494179.db2.gz PKINWWGBDUVHQK-CQSZACIVSA-N 0 0 434.478 -0.503 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000484596361 1073494345 /nfs/dbraw/zinc/49/43/45/1073494345.db2.gz BFEYJEHUBDLVBX-KGLIPLIRSA-N 0 0 441.506 -0.259 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1C ZINC000484597370 1073494361 /nfs/dbraw/zinc/49/43/61/1073494361.db2.gz ITDVQBKPPBWVAJ-AWEZNQCLSA-N 0 0 448.505 -0.113 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1C ZINC000484597371 1073494373 /nfs/dbraw/zinc/49/43/73/1073494373.db2.gz ITDVQBKPPBWVAJ-CQSZACIVSA-N 0 0 448.505 -0.113 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c(=O)n(C)c1 ZINC000484597491 1073494311 /nfs/dbraw/zinc/49/43/11/1073494311.db2.gz FEMPUBCVQJROHQ-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@H]1CO ZINC000484597555 1073494245 /nfs/dbraw/zinc/49/42/45/1073494245.db2.gz FVJOIJKZUKATHW-OLZOCXBDSA-N 0 0 429.470 -0.128 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c1C ZINC000484600015 1073494097 /nfs/dbraw/zinc/49/40/97/1073494097.db2.gz ZKEUPVQREZUBLQ-GOSISDBHSA-N 0 0 428.511 -0.959 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c1C ZINC000484600016 1073494982 /nfs/dbraw/zinc/49/49/82/1073494982.db2.gz ZKEUPVQREZUBLQ-SFHVURJKSA-N 0 0 428.511 -0.959 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)c1C ZINC000484601476 1073494872 /nfs/dbraw/zinc/49/48/72/1073494872.db2.gz FRMJQAVLSDNKCY-UHFFFAOYSA-N 0 0 436.494 -0.123 20 0 IBADRN CCc1nnc(C2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)[nH]1 ZINC000484602232 1073495002 /nfs/dbraw/zinc/49/50/02/1073495002.db2.gz GRHPXXRNDWCWNF-UHFFFAOYSA-N 0 0 444.496 -0.233 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)c(=O)n(C)c1 ZINC000484603880 1073494910 /nfs/dbraw/zinc/49/49/10/1073494910.db2.gz PUCRXOCCLUXZRZ-UHFFFAOYSA-N 0 0 426.477 -0.118 20 0 IBADRN CCc1nnc(C2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)[nH]1 ZINC000484605133 1073495013 /nfs/dbraw/zinc/49/50/13/1073495013.db2.gz MLSDZRZSNQVRPH-UHFFFAOYSA-N 0 0 427.465 -0.073 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)N3CCCCCC3)CC2)CC1 ZINC000484617044 1073495023 /nfs/dbraw/zinc/49/50/23/1073495023.db2.gz JRYAVXVWQOKEEQ-UHFFFAOYSA-N 0 0 429.587 -0.148 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cn1 ZINC000484617208 1073494944 /nfs/dbraw/zinc/49/49/44/1073494944.db2.gz JYKKOGIFRVZPHC-HNNXBMFYSA-N 0 0 430.490 -0.110 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cn1 ZINC000484617209 1073494897 /nfs/dbraw/zinc/49/48/97/1073494897.db2.gz JYKKOGIFRVZPHC-OAHLLOKOSA-N 0 0 430.490 -0.110 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)[C@H](CO)C1 ZINC000484618199 1073494975 /nfs/dbraw/zinc/49/49/75/1073494975.db2.gz JHQOXZMUYHOJKD-KGLIPLIRSA-N 0 0 441.506 -0.259 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000484621835 1073495815 /nfs/dbraw/zinc/49/58/15/1073495815.db2.gz JJWDWIAVVXCGMW-CVEARBPZSA-N 0 0 438.554 -0.861 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000484621839 1073495718 /nfs/dbraw/zinc/49/57/18/1073495718.db2.gz JJWDWIAVVXCGMW-HOTGVXAUSA-N 0 0 438.554 -0.861 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000484621841 1073495707 /nfs/dbraw/zinc/49/57/07/1073495707.db2.gz JJWDWIAVVXCGMW-HZPDHXFCSA-N 0 0 438.554 -0.861 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000484621842 1073495808 /nfs/dbraw/zinc/49/58/08/1073495808.db2.gz JJWDWIAVVXCGMW-JKSUJKDBSA-N 0 0 438.554 -0.861 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484626728 1073496307 /nfs/dbraw/zinc/49/63/07/1073496307.db2.gz YIKNHCHYFOAUJN-UHFFFAOYSA-N 0 0 437.522 -0.214 20 0 IBADRN CCc1nnc(C2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)[nH]1 ZINC000484631592 1073496412 /nfs/dbraw/zinc/49/64/12/1073496412.db2.gz ZPMDLFZIGSDZBE-UHFFFAOYSA-N 0 0 444.496 -0.233 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cn1 ZINC000484634373 1073495008 /nfs/dbraw/zinc/49/50/08/1073495008.db2.gz BTYXGOFFGAXINQ-INIZCTEOSA-N 0 0 447.521 -0.269 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cn1 ZINC000484634380 1073494951 /nfs/dbraw/zinc/49/49/51/1073494951.db2.gz BTYXGOFFGAXINQ-MRXNPFEDSA-N 0 0 447.521 -0.269 20 0 IBADRN CCn1cc([C@@H]2CN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CCO2)cn1 ZINC000484634807 1073495690 /nfs/dbraw/zinc/49/56/90/1073495690.db2.gz HERIOOUNMSLRKV-KRWDZBQOSA-N 0 0 431.497 -0.030 20 0 IBADRN CCn1cc([C@H]2CN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CCO2)cn1 ZINC000484634813 1073495742 /nfs/dbraw/zinc/49/57/42/1073495742.db2.gz HERIOOUNMSLRKV-QGZVFWFLSA-N 0 0 431.497 -0.030 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1 ZINC000484634887 1073495041 /nfs/dbraw/zinc/49/50/41/1073495041.db2.gz HPAUGZDWUTZHOS-HNNXBMFYSA-N 0 0 447.521 -0.269 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1 ZINC000484634891 1073495801 /nfs/dbraw/zinc/49/58/01/1073495801.db2.gz HPAUGZDWUTZHOS-OAHLLOKOSA-N 0 0 447.521 -0.269 20 0 IBADRN CCc1nnc(C2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)[nH]1 ZINC000484640668 1073495653 /nfs/dbraw/zinc/49/56/53/1073495653.db2.gz OGJTUZLQWADGTK-UHFFFAOYSA-N 0 0 440.464 -0.343 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000484641116 1073495729 /nfs/dbraw/zinc/49/57/29/1073495729.db2.gz OLEXURSUGUDMFB-AWEZNQCLSA-N 0 0 443.489 -0.379 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000484641119 1073495837 /nfs/dbraw/zinc/49/58/37/1073495837.db2.gz OLEXURSUGUDMFB-CQSZACIVSA-N 0 0 443.489 -0.379 20 0 IBADRN Cn1cc([C@H]2CSCCN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000484646803 1073256260 /nfs/dbraw/zinc/25/62/60/1073256260.db2.gz PMSPDLZKDKIOKG-GOSISDBHSA-N 0 0 436.582 -0.487 20 0 IBADRN Cn1cc([C@@H]2CSCCN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000484646812 1073256324 /nfs/dbraw/zinc/25/63/24/1073256324.db2.gz PMSPDLZKDKIOKG-SFHVURJKSA-N 0 0 436.582 -0.487 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)c(C)c1 ZINC000484647922 1073496465 /nfs/dbraw/zinc/49/64/65/1073496465.db2.gz AYUBZPGVADJVAT-DOTOQJQBSA-N 0 0 437.493 -0.069 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)c(C)c1 ZINC000484647928 1073496426 /nfs/dbraw/zinc/49/64/26/1073496426.db2.gz AYUBZPGVADJVAT-NVXWUHKLSA-N 0 0 437.493 -0.069 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)c(C)c1 ZINC000484647929 1073496454 /nfs/dbraw/zinc/49/64/54/1073496454.db2.gz AYUBZPGVADJVAT-RDJZCZTQSA-N 0 0 437.493 -0.069 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)c(C)c1 ZINC000484647931 1073496443 /nfs/dbraw/zinc/49/64/43/1073496443.db2.gz AYUBZPGVADJVAT-WBVHZDCISA-N 0 0 437.493 -0.069 20 0 IBADRN Cc1nnccc1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000484649676 1073496421 /nfs/dbraw/zinc/49/64/21/1073496421.db2.gz DSGISBKYIRAZRI-UHFFFAOYSA-N 0 0 440.507 -0.029 20 0 IBADRN Cn1cc([C@H]2CSCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000484653673 1073496343 /nfs/dbraw/zinc/49/63/43/1073496343.db2.gz NLEJNTIUQUIKHT-DLBZAZTESA-N 0 0 427.596 -0.159 20 0 IBADRN Cn1cc([C@H]2CSCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000484653675 1073496457 /nfs/dbraw/zinc/49/64/57/1073496457.db2.gz NLEJNTIUQUIKHT-IAGOWNOFSA-N 0 0 427.596 -0.159 20 0 IBADRN Cn1cc([C@@H]2CSCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000484653677 1073496468 /nfs/dbraw/zinc/49/64/68/1073496468.db2.gz NLEJNTIUQUIKHT-IRXDYDNUSA-N 0 0 427.596 -0.159 20 0 IBADRN Cn1cc([C@@H]2CSCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000484653679 1073496479 /nfs/dbraw/zinc/49/64/79/1073496479.db2.gz NLEJNTIUQUIKHT-SJORKVTESA-N 0 0 427.596 -0.159 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C1 ZINC000484655287 1073496460 /nfs/dbraw/zinc/49/64/60/1073496460.db2.gz OXYFKZFQLZUCII-UHFFFAOYSA-N 0 0 426.495 -0.149 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1 ZINC000484662847 1073496436 /nfs/dbraw/zinc/49/64/36/1073496436.db2.gz GVKJHXDPVWUWTK-UHFFFAOYSA-N 0 0 430.465 -0.461 20 0 IBADRN Cc1nccc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000484663988 1073496490 /nfs/dbraw/zinc/49/64/90/1073496490.db2.gz NXGMDWAVHURHTB-UHFFFAOYSA-N 0 0 440.507 -0.029 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000484665934 1073497352 /nfs/dbraw/zinc/49/73/52/1073497352.db2.gz UFKYSIOFGZZKBD-HNNXBMFYSA-N 0 0 437.474 -0.059 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000484665935 1073497022 /nfs/dbraw/zinc/49/70/22/1073497022.db2.gz UFKYSIOFGZZKBD-OAHLLOKOSA-N 0 0 437.474 -0.059 20 0 IBADRN CNC(=O)c1ccc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000484669769 1073497240 /nfs/dbraw/zinc/49/72/40/1073497240.db2.gz OUVODNAYIHAABB-UHFFFAOYSA-N 0 0 432.506 -0.282 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccc(F)cc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000484673850 1073497157 /nfs/dbraw/zinc/49/71/57/1073497157.db2.gz AWVAMCHBFKVCJM-UHFFFAOYSA-N 0 0 449.464 -0.315 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3cc(C)ccn3)CC2)cn1 ZINC000484674599 1073497123 /nfs/dbraw/zinc/49/71/23/1073497123.db2.gz DBSYFZUNQGTKDW-UHFFFAOYSA-N 0 0 429.481 -0.364 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)c1 ZINC000484675478 1073497295 /nfs/dbraw/zinc/49/72/95/1073497295.db2.gz WESYJGRGGRXTOW-UHFFFAOYSA-N 0 0 441.492 -0.267 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)c1 ZINC000484676112 1073497277 /nfs/dbraw/zinc/49/72/77/1073497277.db2.gz GANKTOPLDKIEGV-UHFFFAOYSA-N 0 0 441.492 -0.267 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC[C@H]2COc3ccccc3O2)cn1 ZINC000484676404 1073497232 /nfs/dbraw/zinc/49/72/32/1073497232.db2.gz GPPCWDHAEHCDIO-HNNXBMFYSA-N 0 0 431.449 -0.070 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC[C@@H]2COc3ccccc3O2)cn1 ZINC000484676405 1073497144 /nfs/dbraw/zinc/49/71/44/1073497144.db2.gz GPPCWDHAEHCDIO-OAHLLOKOSA-N 0 0 431.449 -0.070 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC[C@@H]3COc4ccccc4O3)cnc2n(C)c1=O ZINC000484678439 1073497320 /nfs/dbraw/zinc/49/73/20/1073497320.db2.gz KTCLANQLKRCERU-CYBMUJFWSA-N 0 0 439.428 -0.083 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC[C@H]3COc4ccccc4O3)cnc2n(C)c1=O ZINC000484678441 1073497171 /nfs/dbraw/zinc/49/71/71/1073497171.db2.gz KTCLANQLKRCERU-ZDUSSCGKSA-N 0 0 439.428 -0.083 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000484685093 1073497359 /nfs/dbraw/zinc/49/73/59/1073497359.db2.gz WKFDUWQEHCKSHT-ARFHVFGLSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000484685101 1073497093 /nfs/dbraw/zinc/49/70/93/1073497093.db2.gz WKFDUWQEHCKSHT-BZUAXINKSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000484685104 1073497826 /nfs/dbraw/zinc/49/78/26/1073497826.db2.gz WKFDUWQEHCKSHT-OAGGEKHMSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000484685106 1073497745 /nfs/dbraw/zinc/49/77/45/1073497745.db2.gz WKFDUWQEHCKSHT-XHSDSOJGSA-N 0 0 431.555 -0.198 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)c1 ZINC000484690449 1073497763 /nfs/dbraw/zinc/49/77/63/1073497763.db2.gz WQYIDZZWGOQEIY-UHFFFAOYSA-N 0 0 437.460 -0.377 20 0 IBADRN CCNC(=O)NCCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000484696546 1073497259 /nfs/dbraw/zinc/49/72/59/1073497259.db2.gz ZWCHFCGDGGSHNR-UHFFFAOYSA-N 0 0 425.511 -0.412 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCN2CCN(c3cccc(Cl)c3)CC2)cn1 ZINC000484698535 1073497894 /nfs/dbraw/zinc/49/78/94/1073497894.db2.gz HCINDOOQDGQGLQ-UHFFFAOYSA-N 0 0 433.900 -0.101 20 0 IBADRN CCn1cc([C@@H]2CN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCO2)cn1 ZINC000484701312 1073256672 /nfs/dbraw/zinc/25/66/72/1073256672.db2.gz TXYYFDRIONFFEA-IBGZPJMESA-N 0 0 434.541 -0.721 20 0 IBADRN CCn1cc([C@H]2CN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCO2)cn1 ZINC000484701314 1073256722 /nfs/dbraw/zinc/25/67/22/1073256722.db2.gz TXYYFDRIONFFEA-LJQANCHMSA-N 0 0 434.541 -0.721 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1nc(N)nc(Nc2ccccc2OC)n1 ZINC000484701742 1073355294 /nfs/dbraw/zinc/35/52/94/1073355294.db2.gz AWVHRAKQJLARSR-CYBMUJFWSA-N 0 0 449.537 -0.247 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1nc(N)nc(Nc2ccccc2OC)n1 ZINC000484701743 1073355247 /nfs/dbraw/zinc/35/52/47/1073355247.db2.gz AWVHRAKQJLARSR-ZDUSSCGKSA-N 0 0 449.537 -0.247 20 0 IBADRN CCOC(=O)CN(CCOC)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000484703067 1073497791 /nfs/dbraw/zinc/49/77/91/1073497791.db2.gz FJHLUAKWBCBMDH-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(CCO)CC(F)F)c2)CC1 ZINC000484708245 1073497779 /nfs/dbraw/zinc/49/77/79/1073497779.db2.gz XKKFOMVXLNOYGD-UHFFFAOYSA-N 0 0 434.465 -0.353 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000484708649 1073497912 /nfs/dbraw/zinc/49/79/12/1073497912.db2.gz YTVPYSFKQOBZRP-UHFFFAOYSA-N 0 0 435.506 -0.279 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N(CCO)CC(F)F ZINC000484713277 1073497681 /nfs/dbraw/zinc/49/76/81/1073497681.db2.gz DDBJMDJXKAHODL-UHFFFAOYSA-N 0 0 428.436 -0.227 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)cc1 ZINC000484716506 1073497877 /nfs/dbraw/zinc/49/78/77/1073497877.db2.gz PPIVHYYLLKSGGB-UHFFFAOYSA-N 0 0 438.462 -0.661 20 0 IBADRN CC1CCC(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000484719172 1073497963 /nfs/dbraw/zinc/49/79/63/1073497963.db2.gz CVNMPIZDBBKWLQ-UHFFFAOYSA-N 0 0 429.587 -0.435 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CC=C(CCN2C(=O)c3ccccc3C2=O)CC1)S(N)(=O)=O ZINC000484725813 1073498661 /nfs/dbraw/zinc/49/86/61/1073498661.db2.gz JHNFRANEMMFTQP-CYBMUJFWSA-N 0 0 448.501 -0.375 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CC=C(CCN2C(=O)c3ccccc3C2=O)CC1)S(N)(=O)=O ZINC000484725825 1073498566 /nfs/dbraw/zinc/49/85/66/1073498566.db2.gz JHNFRANEMMFTQP-ZDUSSCGKSA-N 0 0 448.501 -0.375 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2)C[C@H](C)O1 ZINC000484734735 1073498667 /nfs/dbraw/zinc/49/86/67/1073498667.db2.gz IBBJNETYXSBGKE-DVOMOZLQSA-N 0 0 431.536 -0.013 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2)C[C@@H](C)O1 ZINC000484734736 1073499281 /nfs/dbraw/zinc/49/92/81/1073499281.db2.gz IBBJNETYXSBGKE-IOASZLSFSA-N 0 0 431.536 -0.013 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2)C[C@@H](C)O1 ZINC000484734737 1073499275 /nfs/dbraw/zinc/49/92/75/1073499275.db2.gz IBBJNETYXSBGKE-XJKCOSOUSA-N 0 0 431.536 -0.013 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2)C[C@H](C)O1 ZINC000484734738 1073499203 /nfs/dbraw/zinc/49/92/03/1073499203.db2.gz IBBJNETYXSBGKE-ZENOOKHLSA-N 0 0 431.536 -0.013 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N(CCO)CC(F)F ZINC000484735548 1073499224 /nfs/dbraw/zinc/49/92/24/1073499224.db2.gz LMUJRIXYLDUGPN-UHFFFAOYSA-N 0 0 435.449 -0.046 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(CCO)CC(F)F ZINC000484739574 1073497987 /nfs/dbraw/zinc/49/79/87/1073497987.db2.gz URHIYYWLYQWYGJ-UHFFFAOYSA-N 0 0 439.412 -0.129 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H](C)[S@](=O)[C@@H](C)C2)CC1 ZINC000484739794 1073497753 /nfs/dbraw/zinc/49/77/53/1073497753.db2.gz HHPIHSKUNJNGTC-CAYORJDHSA-N 0 0 432.568 -0.034 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H](C)S(=O)[C@H](C)C2)CC1 ZINC000484739799 1073497729 /nfs/dbraw/zinc/49/77/29/1073497729.db2.gz HHPIHSKUNJNGTC-CHWSQXEVSA-N 0 0 432.568 -0.034 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@H](C)S(=O)[C@@H](C)C2)CC1 ZINC000484739801 1073498519 /nfs/dbraw/zinc/49/85/19/1073498519.db2.gz HHPIHSKUNJNGTC-STQMWFEESA-N 0 0 432.568 -0.034 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000484740691 1073498594 /nfs/dbraw/zinc/49/85/94/1073498594.db2.gz UUIYCIGWJNOSJI-INIZCTEOSA-N 0 0 431.536 -0.471 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000484740692 1073498547 /nfs/dbraw/zinc/49/85/47/1073498547.db2.gz UUIYCIGWJNOSJI-MRXNPFEDSA-N 0 0 431.536 -0.471 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(CCO)CC(F)F)cc2)CC1 ZINC000484741279 1073498629 /nfs/dbraw/zinc/49/86/29/1073498629.db2.gz ODBNIXWUHZZDOW-UHFFFAOYSA-N 0 0 434.465 -0.353 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@H](S(N)(=O)=O)C3)c2)C[C@H](C)O1 ZINC000484741865 1073498512 /nfs/dbraw/zinc/49/85/12/1073498512.db2.gz XQEFIPPDPQEHCX-DVOMOZLQSA-N 0 0 431.536 -0.013 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC[C@H](S(N)(=O)=O)C3)c2)C[C@@H](C)O1 ZINC000484741866 1073498625 /nfs/dbraw/zinc/49/86/25/1073498625.db2.gz XQEFIPPDPQEHCX-IOASZLSFSA-N 0 0 431.536 -0.013 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@H](S(N)(=O)=O)C3)c2)C[C@@H](C)O1 ZINC000484741867 1073498521 /nfs/dbraw/zinc/49/85/21/1073498521.db2.gz XQEFIPPDPQEHCX-XJKCOSOUSA-N 0 0 431.536 -0.013 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC[C@H](S(N)(=O)=O)C3)c2)C[C@H](C)O1 ZINC000484741868 1073498645 /nfs/dbraw/zinc/49/86/45/1073498645.db2.gz XQEFIPPDPQEHCX-ZENOOKHLSA-N 0 0 431.536 -0.013 20 0 IBADRN C[C@H]1c2nncn2CCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000484742456 1073498676 /nfs/dbraw/zinc/49/86/76/1073498676.db2.gz LUSFJLWEYRYFAD-HNNXBMFYSA-N 0 0 434.522 -0.008 20 0 IBADRN C[C@@H]1c2nncn2CCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000484742475 1073498580 /nfs/dbraw/zinc/49/85/80/1073498580.db2.gz LUSFJLWEYRYFAD-OAHLLOKOSA-N 0 0 434.522 -0.008 20 0 IBADRN C[C@H]1c2nncn2CCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484742979 1073498639 /nfs/dbraw/zinc/49/86/39/1073498639.db2.gz NKRLMNFLIXFCNC-HNNXBMFYSA-N 0 0 434.522 -0.008 20 0 IBADRN C[C@@H]1c2nncn2CCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000484742980 1073498538 /nfs/dbraw/zinc/49/85/38/1073498538.db2.gz NKRLMNFLIXFCNC-OAHLLOKOSA-N 0 0 434.522 -0.008 20 0 IBADRN Cc1cc(N2CCN(C(=O)c3cc(S(=O)(=O)N(C)C)cn3C)CC2)n2ncnc2n1 ZINC000484744513 1073499290 /nfs/dbraw/zinc/49/92/90/1073499290.db2.gz ISVZMQASTUHIPH-UHFFFAOYSA-N 0 0 432.510 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000484745604 1073499257 /nfs/dbraw/zinc/49/92/57/1073499257.db2.gz OKBIKVSORPIRGK-CYBMUJFWSA-N 0 0 433.508 -0.781 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000484745605 1073499267 /nfs/dbraw/zinc/49/92/67/1073499267.db2.gz OKBIKVSORPIRGK-ZDUSSCGKSA-N 0 0 433.508 -0.781 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)s1 ZINC000484748452 1073499211 /nfs/dbraw/zinc/49/92/11/1073499211.db2.gz CVZBBBUNIPUAOT-UHFFFAOYSA-N 0 0 445.519 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)nc1 ZINC000484750758 1073499160 /nfs/dbraw/zinc/49/91/60/1073499160.db2.gz JHHUHVOFQJSTPK-AWEZNQCLSA-N 0 0 442.542 -0.320 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)nc1 ZINC000484750759 1073499151 /nfs/dbraw/zinc/49/91/51/1073499151.db2.gz JHHUHVOFQJSTPK-CQSZACIVSA-N 0 0 442.542 -0.320 20 0 IBADRN CCn1cc(CN(CCO)C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)cn1 ZINC000484750882 1073499133 /nfs/dbraw/zinc/49/91/33/1073499133.db2.gz KGDZTXLBYPRXBJ-UHFFFAOYSA-N 0 0 435.506 -0.279 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)s1 ZINC000484753364 1073499239 /nfs/dbraw/zinc/49/92/39/1073499239.db2.gz NXAAACZDGSOLDT-UHFFFAOYSA-N 0 0 431.492 -0.345 20 0 IBADRN CC[C@H](CNC(=O)[C@@H]1COCCN1CC(F)F)NC(=O)[C@H]1COCCN1CC(F)F ZINC000484755483 1073499182 /nfs/dbraw/zinc/49/91/82/1073499182.db2.gz UZNQOKRYXYNJAF-HZSPNIEDSA-N 0 0 442.454 -0.071 20 0 IBADRN CC[C@H](CNC(=O)[C@H]1COCCN1CC(F)F)NC(=O)[C@@H]1COCCN1CC(F)F ZINC000484755555 1073499105 /nfs/dbraw/zinc/49/91/05/1073499105.db2.gz UZNQOKRYXYNJAF-MCIONIFRSA-N 0 0 442.454 -0.071 20 0 IBADRN CC[C@H](CNC(=O)[C@H]1COCCN1CC(F)F)NC(=O)[C@H]1COCCN1CC(F)F ZINC000484755557 1073499127 /nfs/dbraw/zinc/49/91/27/1073499127.db2.gz UZNQOKRYXYNJAF-MGPQQGTHSA-N 0 0 442.454 -0.071 20 0 IBADRN CC[C@H](CNC(=O)[C@@H]1COCCN1CC(F)F)NC(=O)[C@@H]1COCCN1CC(F)F ZINC000484755559 1073499300 /nfs/dbraw/zinc/49/93/00/1073499300.db2.gz UZNQOKRYXYNJAF-RDBSUJKOSA-N 0 0 442.454 -0.071 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)nc1 ZINC000484756542 1073499193 /nfs/dbraw/zinc/49/91/93/1073499193.db2.gz QNBKHADFDGUYQX-AWEZNQCLSA-N 0 0 440.526 -0.614 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)nc1 ZINC000484756551 1073499119 /nfs/dbraw/zinc/49/91/19/1073499119.db2.gz QNBKHADFDGUYQX-CQSZACIVSA-N 0 0 440.526 -0.614 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)s1 ZINC000484757369 1073499768 /nfs/dbraw/zinc/49/97/68/1073499768.db2.gz RUYMCWWWCAYWIQ-UHFFFAOYSA-N 0 0 433.508 -0.099 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000484759150 1073499819 /nfs/dbraw/zinc/49/98/19/1073499819.db2.gz VENJEHCIAIOUOC-UHFFFAOYSA-N 0 0 447.535 -0.292 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)nc1 ZINC000484760014 1073499852 /nfs/dbraw/zinc/49/98/52/1073499852.db2.gz HJSLWOGXWQIYAI-UHFFFAOYSA-N 0 0 434.496 -0.378 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)[C@H]1OC ZINC000484763111 1073499879 /nfs/dbraw/zinc/49/98/79/1073499879.db2.gz FFFRQFOJTNKUPL-DMRKSPOLSA-N 0 0 434.559 -0.140 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)[C@@H]1OC ZINC000484763112 1073499881 /nfs/dbraw/zinc/49/98/81/1073499881.db2.gz FFFRQFOJTNKUPL-NNXHMXCWSA-N 0 0 434.559 -0.140 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)[C@H]1OC ZINC000484763113 1073499841 /nfs/dbraw/zinc/49/98/41/1073499841.db2.gz FFFRQFOJTNKUPL-SMLCLMEVSA-N 0 0 434.559 -0.140 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)[C@@H]1OC ZINC000484763114 1073499801 /nfs/dbraw/zinc/49/98/01/1073499801.db2.gz FFFRQFOJTNKUPL-VIQHNZTISA-N 0 0 434.559 -0.140 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)CC(=O)N2C)cc1 ZINC000484763826 1073499774 /nfs/dbraw/zinc/49/97/74/1073499774.db2.gz ZHBOTGKQXMAIIU-FDQGKXFDSA-N 0 0 437.518 -0.024 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)CC(=O)N2C)cc1 ZINC000484763827 1073499734 /nfs/dbraw/zinc/49/97/34/1073499734.db2.gz ZHBOTGKQXMAIIU-MZMPZRCHSA-N 0 0 437.518 -0.024 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)CC(=O)N2C)cc1 ZINC000484763828 1073499845 /nfs/dbraw/zinc/49/98/45/1073499845.db2.gz ZHBOTGKQXMAIIU-QANKJYHBSA-N 0 0 437.518 -0.024 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)CC(=O)N2C)cc1 ZINC000484763829 1073499797 /nfs/dbraw/zinc/49/97/97/1073499797.db2.gz ZHBOTGKQXMAIIU-RPVQJOFSSA-N 0 0 437.518 -0.024 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000484764382 1073499831 /nfs/dbraw/zinc/49/98/31/1073499831.db2.gz QIBMEVRAEUDWDF-CVEARBPZSA-N 0 0 425.559 -0.242 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000484764383 1073499872 /nfs/dbraw/zinc/49/98/72/1073499872.db2.gz QIBMEVRAEUDWDF-HOTGVXAUSA-N 0 0 425.559 -0.242 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000484764384 1073499750 /nfs/dbraw/zinc/49/97/50/1073499750.db2.gz QIBMEVRAEUDWDF-HZPDHXFCSA-N 0 0 425.559 -0.242 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000484764385 1073499863 /nfs/dbraw/zinc/49/98/63/1073499863.db2.gz QIBMEVRAEUDWDF-JKSUJKDBSA-N 0 0 425.559 -0.242 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000484766022 1073499780 /nfs/dbraw/zinc/49/97/80/1073499780.db2.gz TUVMHPLXAREKOW-UHFFFAOYSA-N 0 0 425.559 -0.431 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N(CCO)CC(F)F ZINC000484766976 1073499887 /nfs/dbraw/zinc/49/98/87/1073499887.db2.gz VJDGUTYTANTCOH-HNNXBMFYSA-N 0 0 427.404 -0.275 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N(CCO)CC(F)F ZINC000484766977 1073499812 /nfs/dbraw/zinc/49/98/12/1073499812.db2.gz VJDGUTYTANTCOH-OAHLLOKOSA-N 0 0 427.404 -0.275 20 0 IBADRN O=C(Cc1cccc(F)c1)N1CCCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000484768123 1073499743 /nfs/dbraw/zinc/49/97/43/1073499743.db2.gz LAXUDIDLGRTQSH-UHFFFAOYSA-N 0 0 433.527 -0.122 20 0 IBADRN CCNC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000484769255 1073500305 /nfs/dbraw/zinc/50/03/05/1073500305.db2.gz DTFXOVRDYJRMAO-UHFFFAOYSA-N 0 0 439.538 -0.265 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCS(=O)(=O)CC2)cc1C ZINC000484769955 1073500487 /nfs/dbraw/zinc/50/04/87/1073500487.db2.gz LDECDOBDCLECTJ-HNNXBMFYSA-N 0 0 431.536 -0.527 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCS(=O)(=O)CC2)cc1C ZINC000484769956 1073500416 /nfs/dbraw/zinc/50/04/16/1073500416.db2.gz LDECDOBDCLECTJ-OAHLLOKOSA-N 0 0 431.536 -0.527 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000484770031 1073500358 /nfs/dbraw/zinc/50/03/58/1073500358.db2.gz MDFCALXGBDEKPG-AWEZNQCLSA-N 0 0 432.499 -0.362 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000484770032 1073500218 /nfs/dbraw/zinc/50/02/18/1073500218.db2.gz MDFCALXGBDEKPG-CQSZACIVSA-N 0 0 432.499 -0.362 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCN(C(=O)Cc3cccc(F)c3)CC2)c(=O)n(C)c1=O ZINC000484774698 1073500233 /nfs/dbraw/zinc/50/02/33/1073500233.db2.gz FOFXWWHTCLZSCR-UHFFFAOYSA-N 0 0 438.481 -0.311 20 0 IBADRN C[C@@H](NC(=O)[C@H](c1ccccc1F)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484775419 1073500259 /nfs/dbraw/zinc/50/02/59/1073500259.db2.gz KVYROAFPFIGMSB-PBHICJAKSA-N 0 0 427.498 -0.039 20 0 IBADRN C[C@@H](NC(=O)[C@@H](c1ccccc1F)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484775420 1073500171 /nfs/dbraw/zinc/50/01/71/1073500171.db2.gz KVYROAFPFIGMSB-RHSMWYFYSA-N 0 0 427.498 -0.039 20 0 IBADRN C[C@H](NC(=O)[C@@H](c1ccccc1F)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484775421 1073500432 /nfs/dbraw/zinc/50/04/32/1073500432.db2.gz KVYROAFPFIGMSB-WMLDXEAASA-N 0 0 427.498 -0.039 20 0 IBADRN C[C@H](NC(=O)[C@H](c1ccccc1F)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484775422 1073500448 /nfs/dbraw/zinc/50/04/48/1073500448.db2.gz KVYROAFPFIGMSB-YOEHRIQHSA-N 0 0 427.498 -0.039 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)s1 ZINC000484776207 1073500367 /nfs/dbraw/zinc/50/03/67/1073500367.db2.gz MBPHVVSIWLMQBO-UHFFFAOYSA-N 0 0 433.508 -0.098 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)nc1 ZINC000484776679 1073500322 /nfs/dbraw/zinc/50/03/22/1073500322.db2.gz AHDRQOQDYUPSNX-GFCCVEGCSA-N 0 0 435.528 -0.400 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)nc1 ZINC000484776680 1073500461 /nfs/dbraw/zinc/50/04/61/1073500461.db2.gz AHDRQOQDYUPSNX-LBPRGKRZSA-N 0 0 435.528 -0.400 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)c1=O ZINC000484778895 1073500275 /nfs/dbraw/zinc/50/02/75/1073500275.db2.gz UHZPATNRUOORAH-UHFFFAOYSA-N 0 0 433.440 -0.014 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1NCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000484780861 1073500931 /nfs/dbraw/zinc/50/09/31/1073500931.db2.gz XWFGDTSZTAZVPD-GFCCVEGCSA-N 0 0 432.524 -0.330 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1NCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000484780862 1073501024 /nfs/dbraw/zinc/50/10/24/1073501024.db2.gz XWFGDTSZTAZVPD-LBPRGKRZSA-N 0 0 432.524 -0.330 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)nc1 ZINC000484781058 1073500498 /nfs/dbraw/zinc/50/04/98/1073500498.db2.gz DVIZTGKZVCBGJY-UHFFFAOYSA-N 0 0 448.509 -0.360 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000484782870 1073501054 /nfs/dbraw/zinc/50/10/54/1073501054.db2.gz KQTMAXPQAZDWBC-UHFFFAOYSA-N 0 0 447.535 -0.292 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)Nc2ccc(S(=O)(=O)NC)cn2)CC1 ZINC000484783718 1073501030 /nfs/dbraw/zinc/50/10/30/1073501030.db2.gz CADGOGSAQIPUNF-UHFFFAOYSA-N 0 0 427.483 -0.087 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC000484784868 1073500953 /nfs/dbraw/zinc/50/09/53/1073500953.db2.gz NAIHKUCZGHYWKQ-SECBINFHSA-N 0 0 440.521 -0.485 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC000484784869 1073500999 /nfs/dbraw/zinc/50/09/99/1073500999.db2.gz NAIHKUCZGHYWKQ-VIFPVBQESA-N 0 0 440.521 -0.485 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC000484784999 1073500978 /nfs/dbraw/zinc/50/09/78/1073500978.db2.gz NMHDIWMGABYLQU-SECBINFHSA-N 0 0 440.521 -0.533 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)nc1 ZINC000484785539 1073499759 /nfs/dbraw/zinc/49/97/59/1073499759.db2.gz SHXRHSCCDNVQFR-UHFFFAOYSA-N 0 0 449.555 -0.106 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC000484785715 1073501081 /nfs/dbraw/zinc/50/10/81/1073501081.db2.gz NMHDIWMGABYLQU-VIFPVBQESA-N 0 0 440.521 -0.533 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484788829 1073501093 /nfs/dbraw/zinc/50/10/93/1073501093.db2.gz JXYRXCATZKVPKM-NEPJUHHUSA-N 0 0 448.432 -0.913 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484788830 1073500948 /nfs/dbraw/zinc/50/09/48/1073500948.db2.gz JXYRXCATZKVPKM-NWDGAFQWSA-N 0 0 448.432 -0.913 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484788831 1073500912 /nfs/dbraw/zinc/50/09/12/1073500912.db2.gz JXYRXCATZKVPKM-RYUDHWBXSA-N 0 0 448.432 -0.913 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000484788832 1073500971 /nfs/dbraw/zinc/50/09/71/1073500971.db2.gz JXYRXCATZKVPKM-VXGBXAGGSA-N 0 0 448.432 -0.913 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)nc1 ZINC000484789261 1073500922 /nfs/dbraw/zinc/50/09/22/1073500922.db2.gz SIJHAMLAHCHUBO-UHFFFAOYSA-N 0 0 446.489 -0.117 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)COCc1ccccc1 ZINC000484790300 1073501099 /nfs/dbraw/zinc/50/10/99/1073501099.db2.gz LUKHYLHWMXLINQ-IBGZPJMESA-N 0 0 446.548 -0.042 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)COCc1ccccc1 ZINC000484790301 1073500990 /nfs/dbraw/zinc/50/09/90/1073500990.db2.gz LUKHYLHWMXLINQ-LJQANCHMSA-N 0 0 446.548 -0.042 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)nc1 ZINC000484790661 1073500895 /nfs/dbraw/zinc/50/08/95/1073500895.db2.gz OEUBRUJCMSWGIZ-UHFFFAOYSA-N 0 0 444.495 -0.343 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N3CC[C@H](S(N)(=O)=O)C3)CC2=O)cc(OC)c1OC ZINC000484792840 1073501014 /nfs/dbraw/zinc/50/10/14/1073501014.db2.gz ARFITZLSPQLAQN-AAEUAGOBSA-N 0 0 427.479 -0.045 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N3CC[C@@H](S(N)(=O)=O)C3)CC2=O)cc(OC)c1OC ZINC000484792841 1073501541 /nfs/dbraw/zinc/50/15/41/1073501541.db2.gz ARFITZLSPQLAQN-DGCLKSJQSA-N 0 0 427.479 -0.045 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N3CC[C@@H](S(N)(=O)=O)C3)CC2=O)cc(OC)c1OC ZINC000484792842 1073501752 /nfs/dbraw/zinc/50/17/52/1073501752.db2.gz ARFITZLSPQLAQN-WCQYABFASA-N 0 0 427.479 -0.045 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N3CC[C@H](S(N)(=O)=O)C3)CC2=O)cc(OC)c1OC ZINC000484792843 1073501592 /nfs/dbraw/zinc/50/15/92/1073501592.db2.gz ARFITZLSPQLAQN-YPMHNXCESA-N 0 0 427.479 -0.045 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484793064 1073500937 /nfs/dbraw/zinc/50/09/37/1073500937.db2.gz RWIDGNYLKSYYJU-HNNXBMFYSA-N 0 0 439.538 -0.016 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000484793066 1073501043 /nfs/dbraw/zinc/50/10/43/1073501043.db2.gz RWIDGNYLKSYYJU-OAHLLOKOSA-N 0 0 439.538 -0.016 20 0 IBADRN CN(CC(=O)N1CC[C@@H](S(N)(=O)=O)C1)S(=O)(=O)c1ccc(Br)cc1 ZINC000484793986 1073501553 /nfs/dbraw/zinc/50/15/53/1073501553.db2.gz CFKGOBMJGFRZRU-GFCCVEGCSA-N 0 0 440.341 -0.041 20 0 IBADRN CN(CC(=O)N1CC[C@H](S(N)(=O)=O)C1)S(=O)(=O)c1ccc(Br)cc1 ZINC000484793987 1073501622 /nfs/dbraw/zinc/50/16/22/1073501622.db2.gz CFKGOBMJGFRZRU-LBPRGKRZSA-N 0 0 440.341 -0.041 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)nc1 ZINC000484797565 1073501662 /nfs/dbraw/zinc/50/16/62/1073501662.db2.gz UIDYMJNBAHPRED-AWEZNQCLSA-N 0 0 447.539 -0.352 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)nc1 ZINC000484797567 1073501511 /nfs/dbraw/zinc/50/15/11/1073501511.db2.gz UIDYMJNBAHPRED-CQSZACIVSA-N 0 0 447.539 -0.352 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)CCCOC)CC2)nc1 ZINC000484798395 1073501646 /nfs/dbraw/zinc/50/16/46/1073501646.db2.gz VKYRVAYNXBCLIW-UHFFFAOYSA-N 0 0 435.528 -0.495 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000484799350 1073501632 /nfs/dbraw/zinc/50/16/32/1073501632.db2.gz QGYBICKZBWITRU-SSDOTTSWSA-N 0 0 432.343 -0.322 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000484799356 1073501578 /nfs/dbraw/zinc/50/15/78/1073501578.db2.gz QGYBICKZBWITRU-ZETCQYMHSA-N 0 0 432.343 -0.322 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(Cc3nc(COC)no3)CC2)nc1 ZINC000484800601 1073501564 /nfs/dbraw/zinc/50/15/64/1073501564.db2.gz YSOBXAZNJQKMKG-UHFFFAOYSA-N 0 0 425.471 -0.131 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n(C)c1 ZINC000484807094 1073501686 /nfs/dbraw/zinc/50/16/86/1073501686.db2.gz FFNKFHBNRJLLLV-UHFFFAOYSA-N 0 0 432.510 -0.086 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CNC(=O)c2ncccc2O)CC1 ZINC000484808434 1073501706 /nfs/dbraw/zinc/50/17/06/1073501706.db2.gz QARCTLLBVUWDST-UHFFFAOYSA-N 0 0 433.490 -0.226 20 0 IBADRN C[C@@H](NC(=O)c1sccc1S(=O)(=O)N1CCCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484810132 1073501498 /nfs/dbraw/zinc/50/14/98/1073501498.db2.gz SESORMBXTHNMOZ-GFCCVEGCSA-N 0 0 449.576 -0.092 20 0 IBADRN C[C@H](NC(=O)c1sccc1S(=O)(=O)N1CCCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484810133 1073501729 /nfs/dbraw/zinc/50/17/29/1073501729.db2.gz SESORMBXTHNMOZ-LBPRGKRZSA-N 0 0 449.576 -0.092 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3ncn(C)c3=O)CC2)cc1 ZINC000484810705 1073501613 /nfs/dbraw/zinc/50/16/13/1073501613.db2.gz MPANNGWABIYWPJ-UHFFFAOYSA-N 0 0 437.478 -0.708 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1C ZINC000484813950 1073502271 /nfs/dbraw/zinc/50/22/71/1073502271.db2.gz PYQZNCOOFHEYSA-CYBMUJFWSA-N 0 0 427.483 -0.670 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1C ZINC000484813952 1073502293 /nfs/dbraw/zinc/50/22/93/1073502293.db2.gz PYQZNCOOFHEYSA-ZDUSSCGKSA-N 0 0 427.483 -0.670 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCO[C@H](C)C1 ZINC000484816627 1073501671 /nfs/dbraw/zinc/50/16/71/1073501671.db2.gz CVZAACKDGWWRAK-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCCN2C(=O)CCC2=O)cc1C ZINC000484817020 1073502136 /nfs/dbraw/zinc/50/21/36/1073502136.db2.gz BQOHZHVWIYRYHM-HNNXBMFYSA-N 0 0 438.506 -0.519 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCCN2C(=O)CCC2=O)cc1C ZINC000484817026 1073502189 /nfs/dbraw/zinc/50/21/89/1073502189.db2.gz BQOHZHVWIYRYHM-OAHLLOKOSA-N 0 0 438.506 -0.519 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000484819335 1073501601 /nfs/dbraw/zinc/50/16/01/1073501601.db2.gz JLHGVICJELGXIH-OCCSQVGLSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000484819336 1073501527 /nfs/dbraw/zinc/50/15/27/1073501527.db2.gz JLHGVICJELGXIH-TZMCWYRMSA-N 0 0 427.479 -0.052 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)C(=O)C1=O ZINC000484823244 1073502254 /nfs/dbraw/zinc/50/22/54/1073502254.db2.gz SOCZTKZIECCJQY-UHFFFAOYSA-N 0 0 444.448 -0.804 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)COCc1ccccc1 ZINC000484824613 1073502330 /nfs/dbraw/zinc/50/23/30/1073502330.db2.gz WZTMDQBTVAZYPE-IBGZPJMESA-N 0 0 446.548 -0.042 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)COCc1ccccc1 ZINC000484824616 1073502162 /nfs/dbraw/zinc/50/21/62/1073502162.db2.gz WZTMDQBTVAZYPE-LJQANCHMSA-N 0 0 446.548 -0.042 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1OCC ZINC000484825145 1073502320 /nfs/dbraw/zinc/50/23/20/1073502320.db2.gz NOGBPHSNMZDURM-CYBMUJFWSA-N 0 0 435.524 -0.348 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1OCC ZINC000484825146 1073502202 /nfs/dbraw/zinc/50/22/02/1073502202.db2.gz NOGBPHSNMZDURM-ZDUSSCGKSA-N 0 0 435.524 -0.348 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484825372 1073502707 /nfs/dbraw/zinc/50/27/07/1073502707.db2.gz YUHKVRHWFMAORK-ACJLOTCBSA-N 0 0 434.518 -0.023 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484825373 1073502730 /nfs/dbraw/zinc/50/27/30/1073502730.db2.gz YUHKVRHWFMAORK-FZKQIMNGSA-N 0 0 434.518 -0.023 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484825375 1073502932 /nfs/dbraw/zinc/50/29/32/1073502932.db2.gz YUHKVRHWFMAORK-SCLBCKFNSA-N 0 0 434.518 -0.023 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484825377 1073502958 /nfs/dbraw/zinc/50/29/58/1073502958.db2.gz YUHKVRHWFMAORK-UGSOOPFHSA-N 0 0 434.518 -0.023 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)NCCN2CCN(c3ncccn3)CC2)NC(=O)NC1=O ZINC000484827964 1073502807 /nfs/dbraw/zinc/50/28/07/1073502807.db2.gz XJJZUFYOTDUHNY-FOIQADDNSA-N 0 0 430.513 -0.239 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)NCCN2CCN(c3ncccn3)CC2)NC(=O)NC1=O ZINC000484827969 1073502838 /nfs/dbraw/zinc/50/28/38/1073502838.db2.gz XJJZUFYOTDUHNY-MGPUTAFESA-N 0 0 430.513 -0.239 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)NCCN2CCN(c3ncccn3)CC2)NC(=O)NC1=O ZINC000484827970 1073502738 /nfs/dbraw/zinc/50/27/38/1073502738.db2.gz XJJZUFYOTDUHNY-QRWLVFNGSA-N 0 0 430.513 -0.239 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)NCCN2CCN(c3ncccn3)CC2)NC(=O)NC1=O ZINC000484827971 1073502869 /nfs/dbraw/zinc/50/28/69/1073502869.db2.gz XJJZUFYOTDUHNY-YWZLYKJASA-N 0 0 430.513 -0.239 20 0 IBADRN CCc1ccc(C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000484828168 1073502945 /nfs/dbraw/zinc/50/29/45/1073502945.db2.gz XUWLFRMKJCLEGK-HNNXBMFYSA-N 0 0 431.536 -0.227 20 0 IBADRN CCc1ccc(C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000484828169 1073502903 /nfs/dbraw/zinc/50/29/03/1073502903.db2.gz XUWLFRMKJCLEGK-OAHLLOKOSA-N 0 0 431.536 -0.227 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CSc3nnnn3C3CC3)CC2)cn1C ZINC000484828507 1073502717 /nfs/dbraw/zinc/50/27/17/1073502717.db2.gz FAXXGCDDYBDDSI-UHFFFAOYSA-N 0 0 426.528 -0.325 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3CCN(C(=O)N(C)C)CC3)CC2)cn1C ZINC000484829051 1073502968 /nfs/dbraw/zinc/50/29/68/1073502968.db2.gz HCAZWKZTONKJAT-UHFFFAOYSA-N 0 0 426.543 -0.045 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)s3)CC2)cn1C ZINC000484829072 1073502920 /nfs/dbraw/zinc/50/29/20/1073502920.db2.gz HJSSWYVDHAXCJL-UHFFFAOYSA-N 0 0 433.537 -0.416 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CSCC(=O)N3CCOCC3)CC2)cn1C ZINC000484829445 1073502826 /nfs/dbraw/zinc/50/28/26/1073502826.db2.gz IYALQLYXFKISCM-UHFFFAOYSA-N 0 0 445.567 -0.847 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000484831625 1073503326 /nfs/dbraw/zinc/50/33/26/1073503326.db2.gz AVXWWHXQVNNNSE-HNNXBMFYSA-N 0 0 447.583 -0.377 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000484831630 1073503414 /nfs/dbraw/zinc/50/34/14/1073503414.db2.gz AVXWWHXQVNNNSE-OAHLLOKOSA-N 0 0 447.583 -0.377 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)CN(C)C3=O)CC2)cn1C ZINC000484831769 1073503262 /nfs/dbraw/zinc/50/32/62/1073503262.db2.gz GPTSWUFIKOWRIU-UHFFFAOYSA-N 0 0 426.499 -0.764 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN(C)c3ncnc4nc[nH]c43)CC2)cn1C ZINC000484833800 1073503499 /nfs/dbraw/zinc/50/34/99/1073503499.db2.gz WFDNNWBGXIWIMW-UHFFFAOYSA-N 0 0 433.498 -0.636 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(CC(F)(F)F)C3)CC2)cn1C ZINC000484834675 1073503349 /nfs/dbraw/zinc/50/33/49/1073503349.db2.gz MXUNVUBDCLNFOM-GFCCVEGCSA-N 0 0 437.444 -0.028 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CC(F)(F)F)C3)CC2)cn1C ZINC000484835559 1073503422 /nfs/dbraw/zinc/50/34/22/1073503422.db2.gz MXUNVUBDCLNFOM-LBPRGKRZSA-N 0 0 437.444 -0.028 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN3CCN(c4ccccn4)CC3)CC2)cn1C ZINC000484835651 1073503397 /nfs/dbraw/zinc/50/33/97/1073503397.db2.gz OHSAYEQZOBPBPG-UHFFFAOYSA-N 0 0 447.565 -0.221 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3nnc(-c4ccccc4)n3)CC2)cn1C ZINC000484835725 1073503468 /nfs/dbraw/zinc/50/34/68/1073503468.db2.gz JTWCJXQCBXVOMD-UHFFFAOYSA-N 0 0 430.494 -0.085 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)[nH]c4ccccc4c3=O)CC2)cn1C ZINC000484836791 1073503358 /nfs/dbraw/zinc/50/33/58/1073503358.db2.gz RUGYLFJIKSZMMF-UHFFFAOYSA-N 0 0 446.489 -0.323 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484838564 1073502226 /nfs/dbraw/zinc/50/22/26/1073502226.db2.gz VXDDBKFLZXLMAI-CHWSQXEVSA-N 0 0 449.576 -0.337 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484838576 1073502128 /nfs/dbraw/zinc/50/21/28/1073502128.db2.gz VXDDBKFLZXLMAI-OLZOCXBDSA-N 0 0 449.576 -0.337 20 0 IBADRN C[C@H](NC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484838579 1073502212 /nfs/dbraw/zinc/50/22/12/1073502212.db2.gz VXDDBKFLZXLMAI-QWHCGFSZSA-N 0 0 449.576 -0.337 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484838580 1073502286 /nfs/dbraw/zinc/50/22/86/1073502286.db2.gz VXDDBKFLZXLMAI-STQMWFEESA-N 0 0 449.576 -0.337 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484839293 1073502246 /nfs/dbraw/zinc/50/22/46/1073502246.db2.gz XMRUVMUVSOMPMV-DZGCQCFKSA-N 0 0 435.510 -0.964 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484839296 1073502145 /nfs/dbraw/zinc/50/21/45/1073502145.db2.gz XMRUVMUVSOMPMV-HIFRSBDPSA-N 0 0 435.510 -0.964 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484839297 1073502235 /nfs/dbraw/zinc/50/22/35/1073502235.db2.gz XMRUVMUVSOMPMV-UKRRQHHQSA-N 0 0 435.510 -0.964 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484839299 1073502305 /nfs/dbraw/zinc/50/23/05/1073502305.db2.gz XMRUVMUVSOMPMV-ZFWWWQNUSA-N 0 0 435.510 -0.964 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN(C)C(=O)[C@H](C)n3cccn3)CC2)cn1C ZINC000484840180 1073502177 /nfs/dbraw/zinc/50/21/77/1073502177.db2.gz WCJGZDGVHFPQKG-AWEZNQCLSA-N 0 0 437.526 -0.522 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN(C)C(=O)[C@@H](C)n3cccn3)CC2)cn1C ZINC000484840181 1073502353 /nfs/dbraw/zinc/50/23/53/1073502353.db2.gz WCJGZDGVHFPQKG-CQSZACIVSA-N 0 0 437.526 -0.522 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000484840603 1073502787 /nfs/dbraw/zinc/50/27/87/1073502787.db2.gz XHDHNHHKNRMWIS-DOMZBBRYSA-N 0 0 435.572 -0.475 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000484840604 1073502756 /nfs/dbraw/zinc/50/27/56/1073502756.db2.gz XHDHNHHKNRMWIS-IUODEOHRSA-N 0 0 435.572 -0.475 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000484840605 1073502892 /nfs/dbraw/zinc/50/28/92/1073502892.db2.gz XHDHNHHKNRMWIS-SWLSCSKDSA-N 0 0 435.572 -0.475 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000484840606 1073502858 /nfs/dbraw/zinc/50/28/58/1073502858.db2.gz XHDHNHHKNRMWIS-WFASDCNBSA-N 0 0 435.572 -0.475 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CCCS(=O)(=O)N3CCCC3)CC2)cn1C ZINC000484840775 1073502797 /nfs/dbraw/zinc/50/27/97/1073502797.db2.gz HQPDDKQNXLEZBR-UHFFFAOYSA-N 0 0 447.583 -0.233 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)cn1C ZINC000484841158 1073502338 /nfs/dbraw/zinc/50/23/38/1073502338.db2.gz ZRFCLKPRCFEKBV-UHFFFAOYSA-N 0 0 447.477 -0.777 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H](C)C(=O)N2CCCCC2)cc1 ZINC000484845695 1073503484 /nfs/dbraw/zinc/50/34/84/1073503484.db2.gz SOEISPRDDSKBGY-AWEZNQCLSA-N 0 0 432.477 -0.026 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H](C)C(=O)N2CCCCC2)cc1 ZINC000484845700 1073503433 /nfs/dbraw/zinc/50/34/33/1073503433.db2.gz SOEISPRDDSKBGY-CQSZACIVSA-N 0 0 432.477 -0.026 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CCn3nc4ccccn4c3=O)CC2)cn1C ZINC000484848500 1073503289 /nfs/dbraw/zinc/50/32/89/1073503289.db2.gz XOAZXFKKUBQPFJ-UHFFFAOYSA-N 0 0 433.494 -0.539 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)CNC(=O)CNC(C)=O)CC2)cc1 ZINC000484849541 1073503384 /nfs/dbraw/zinc/50/33/84/1073503384.db2.gz PNLRQVNMSGUPPU-UHFFFAOYSA-N 0 0 426.495 -0.830 20 0 IBADRN Cn1ccnc1[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1C ZINC000484849761 1073503306 /nfs/dbraw/zinc/50/33/06/1073503306.db2.gz KTQKAQSGCMQJAK-INIZCTEOSA-N 0 0 440.460 -0.236 20 0 IBADRN Cn1ccnc1[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CCN1C ZINC000484849766 1073503376 /nfs/dbraw/zinc/50/33/76/1073503376.db2.gz KTQKAQSGCMQJAK-MRXNPFEDSA-N 0 0 440.460 -0.236 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484851841 1073503457 /nfs/dbraw/zinc/50/34/57/1073503457.db2.gz VTJUHWLBNQGNJZ-CYBMUJFWSA-N 0 0 443.547 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484851854 1073504037 /nfs/dbraw/zinc/50/40/37/1073504037.db2.gz VTJUHWLBNQGNJZ-ZDUSSCGKSA-N 0 0 443.547 -0.189 20 0 IBADRN C[C@H](NC(=O)[C@H]1CSCN1C(=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484852562 1073504081 /nfs/dbraw/zinc/50/40/81/1073504081.db2.gz WTZKQAHPIAQNAK-DZGCQCFKSA-N 0 0 425.532 -0.037 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CSCN1C(=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484852570 1073504020 /nfs/dbraw/zinc/50/40/20/1073504020.db2.gz WTZKQAHPIAQNAK-HIFRSBDPSA-N 0 0 425.532 -0.037 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CSCN1C(=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484852572 1073504111 /nfs/dbraw/zinc/50/41/11/1073504111.db2.gz WTZKQAHPIAQNAK-UKRRQHHQSA-N 0 0 425.532 -0.037 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CSCN1C(=O)c1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484852573 1073504069 /nfs/dbraw/zinc/50/40/69/1073504069.db2.gz WTZKQAHPIAQNAK-ZFWWWQNUSA-N 0 0 425.532 -0.037 20 0 IBADRN CCNC(=O)NCCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000484863670 1073504030 /nfs/dbraw/zinc/50/40/30/1073504030.db2.gz NNFUPIVLBVDRPC-HNNXBMFYSA-N 0 0 427.527 -0.349 20 0 IBADRN CCNC(=O)NCCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000484863676 1073503992 /nfs/dbraw/zinc/50/39/92/1073503992.db2.gz NNFUPIVLBVDRPC-OAHLLOKOSA-N 0 0 427.527 -0.349 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000484866255 1073504115 /nfs/dbraw/zinc/50/41/15/1073504115.db2.gz RIAKVGPSDWKNAU-UHFFFAOYSA-N 0 0 432.448 -0.238 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CCO1 ZINC000484867543 1073504643 /nfs/dbraw/zinc/50/46/43/1073504643.db2.gz WHAGHZYGPLJRJD-CQSZACIVSA-N 0 0 440.522 -0.041 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC000484868087 1073504667 /nfs/dbraw/zinc/50/46/67/1073504667.db2.gz WPNLOVARFDZPCI-OCCSQVGLSA-N 0 0 445.519 -0.662 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC000484868088 1073504688 /nfs/dbraw/zinc/50/46/88/1073504688.db2.gz WPNLOVARFDZPCI-TZMCWYRMSA-N 0 0 445.519 -0.662 20 0 IBADRN CNC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000484868862 1073504523 /nfs/dbraw/zinc/50/45/23/1073504523.db2.gz ZHTXHFSHGRPDOL-KBPBESRZSA-N 0 0 425.511 -0.447 20 0 IBADRN CNC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000484868864 1073504563 /nfs/dbraw/zinc/50/45/63/1073504563.db2.gz ZHTXHFSHGRPDOL-OKILXGFUSA-N 0 0 425.511 -0.447 20 0 IBADRN CNC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000484868866 1073504628 /nfs/dbraw/zinc/50/46/28/1073504628.db2.gz ZHTXHFSHGRPDOL-ZIAGYGMSSA-N 0 0 425.511 -0.447 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)C[C@H](NC(C)=O)C(N)=O)CC2)cc1 ZINC000484871727 1073504701 /nfs/dbraw/zinc/50/47/01/1073504701.db2.gz FEGSSBPGAFWMKA-INIZCTEOSA-N 0 0 426.495 -0.702 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484874567 1073504006 /nfs/dbraw/zinc/50/40/06/1073504006.db2.gz FCOJVPRQJFWBFD-JTQLQIEISA-N 0 0 442.885 -0.300 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000484874568 1073504062 /nfs/dbraw/zinc/50/40/62/1073504062.db2.gz FCOJVPRQJFWBFD-SNVBAGLBSA-N 0 0 442.885 -0.300 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCNC(=O)C3CCC3)CC2)C[C@H](C)O1 ZINC000484875189 1073503983 /nfs/dbraw/zinc/50/39/83/1073503983.db2.gz BEOCVCQDNHANHH-HOTGVXAUSA-N 0 0 445.586 -0.026 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCNC(=O)C3CCC3)CC2)C[C@@H](C)O1 ZINC000484875190 1073504045 /nfs/dbraw/zinc/50/40/45/1073504045.db2.gz BEOCVCQDNHANHH-HZPDHXFCSA-N 0 0 445.586 -0.026 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCNC(=O)C3CCC3)CC2)C[C@H](C)O1 ZINC000484875191 1073504054 /nfs/dbraw/zinc/50/40/54/1073504054.db2.gz BEOCVCQDNHANHH-IYBDPMFKSA-N 0 0 445.586 -0.026 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC000484876414 1073504093 /nfs/dbraw/zinc/50/40/93/1073504093.db2.gz QQNKCZFOMUQBEN-UHFFFAOYSA-N 0 0 445.563 -0.351 20 0 IBADRN C[C@@H](NC(=O)[C@H](c1cccc(F)c1)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484877403 1073504075 /nfs/dbraw/zinc/50/40/75/1073504075.db2.gz KKVSEVNLDHOVMG-PBHICJAKSA-N 0 0 427.498 -0.039 20 0 IBADRN C[C@@H](NC(=O)[C@@H](c1cccc(F)c1)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484877404 1073504013 /nfs/dbraw/zinc/50/40/13/1073504013.db2.gz KKVSEVNLDHOVMG-RHSMWYFYSA-N 0 0 427.498 -0.039 20 0 IBADRN C[C@H](NC(=O)[C@@H](c1cccc(F)c1)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484877405 1073504086 /nfs/dbraw/zinc/50/40/86/1073504086.db2.gz KKVSEVNLDHOVMG-WMLDXEAASA-N 0 0 427.498 -0.039 20 0 IBADRN C[C@H](NC(=O)[C@H](c1cccc(F)c1)N1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000484877407 1073504598 /nfs/dbraw/zinc/50/45/98/1073504598.db2.gz KKVSEVNLDHOVMG-YOEHRIQHSA-N 0 0 427.498 -0.039 20 0 IBADRN CCNC(=O)NCCNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484882156 1073504714 /nfs/dbraw/zinc/50/47/14/1073504714.db2.gz PMGJRBYRUJWUDX-UHFFFAOYSA-N 0 0 428.511 -0.306 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CNc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000484882329 1073504611 /nfs/dbraw/zinc/50/46/11/1073504611.db2.gz RYOWRWNLYSACPN-CYBMUJFWSA-N 0 0 444.510 -0.011 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CNc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000484882343 1073504729 /nfs/dbraw/zinc/50/47/29/1073504729.db2.gz RYOWRWNLYSACPN-ZDUSSCGKSA-N 0 0 444.510 -0.011 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)COCc1ccccc1 ZINC000484883999 1073504580 /nfs/dbraw/zinc/50/45/80/1073504580.db2.gz VAAVHBAFCODDFO-INIZCTEOSA-N 0 0 430.505 -0.095 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCCN(S(=O)(=O)c3ccc(OC)cc3)CC2)nn1 ZINC000484884005 1073504656 /nfs/dbraw/zinc/50/46/56/1073504656.db2.gz MDXHRGMWFUXZPC-UHFFFAOYSA-N 0 0 437.478 -0.004 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)COCc1ccccc1 ZINC000484884006 1073504468 /nfs/dbraw/zinc/50/44/68/1073504468.db2.gz VAAVHBAFCODDFO-MRXNPFEDSA-N 0 0 430.505 -0.095 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3cc(S(=O)(=O)N(C)C)cn3C)CC2)no1 ZINC000484885945 1073331038 /nfs/dbraw/zinc/33/10/38/1073331038.db2.gz WPHDEFBSSJNLFT-UHFFFAOYSA-N 0 0 438.510 -0.032 20 0 IBADRN C[C@H](NC(=O)[C@@H](Cc1c[nH]c2ccccc12)NC(N)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000484889410 1073504678 /nfs/dbraw/zinc/50/46/78/1073504678.db2.gz BOWLYJGJFMTNML-BLLLJJGKSA-N 0 0 435.506 -0.491 20 0 IBADRN C[C@H](NC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(N)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000484889417 1073505100 /nfs/dbraw/zinc/50/51/00/1073505100.db2.gz BOWLYJGJFMTNML-LRDDRELGSA-N 0 0 435.506 -0.491 20 0 IBADRN C[C@@H](NC(=O)[C@@H](Cc1c[nH]c2ccccc12)NC(N)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000484889419 1073505261 /nfs/dbraw/zinc/50/52/61/1073505261.db2.gz BOWLYJGJFMTNML-MLGOLLRUSA-N 0 0 435.506 -0.491 20 0 IBADRN C[C@@H](NC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(N)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000484889422 1073505175 /nfs/dbraw/zinc/50/51/75/1073505175.db2.gz BOWLYJGJFMTNML-WBMJQRKESA-N 0 0 435.506 -0.491 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCS(=O)(=O)c1ccc(F)cc1 ZINC000484890592 1073505158 /nfs/dbraw/zinc/50/51/58/1073505158.db2.gz GBSPSNVIJTVQHE-UHFFFAOYSA-N 0 0 437.515 -0.450 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccc(F)cc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000484893299 1073505187 /nfs/dbraw/zinc/50/51/87/1073505187.db2.gz LRVBNGJGNLQKAV-HNNXBMFYSA-N 0 0 427.498 -0.104 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccc(F)cc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000484893301 1073505241 /nfs/dbraw/zinc/50/52/41/1073505241.db2.gz LRVBNGJGNLQKAV-OAHLLOKOSA-N 0 0 427.498 -0.104 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccc(F)cc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000484893444 1073505070 /nfs/dbraw/zinc/50/50/70/1073505070.db2.gz VJVDAVXORGRSND-GXTWGEPZSA-N 0 0 432.495 -0.249 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccc(F)cc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000484893445 1073505113 /nfs/dbraw/zinc/50/51/13/1073505113.db2.gz VJVDAVXORGRSND-JSGCOSHPSA-N 0 0 432.495 -0.249 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccc(F)cc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000484893446 1073505312 /nfs/dbraw/zinc/50/53/12/1073505312.db2.gz VJVDAVXORGRSND-OCCSQVGLSA-N 0 0 432.495 -0.249 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccc(F)cc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000484893447 1073505300 /nfs/dbraw/zinc/50/53/00/1073505300.db2.gz VJVDAVXORGRSND-TZMCWYRMSA-N 0 0 432.495 -0.249 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)cn1 ZINC000484895729 1073505127 /nfs/dbraw/zinc/50/51/27/1073505127.db2.gz UYYDFDHOACAHGC-UHFFFAOYSA-N 0 0 428.478 -0.337 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)c2ccc(F)cc2)o1 ZINC000484895836 1073504737 /nfs/dbraw/zinc/50/47/37/1073504737.db2.gz URINNOJWJOWRHV-UHFFFAOYSA-N 0 0 433.439 -0.614 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)c2ccc(F)cc2)c[nH]1 ZINC000484898043 1073505224 /nfs/dbraw/zinc/50/52/24/1073505224.db2.gz JKLJEXKSKJROFH-UHFFFAOYSA-N 0 0 432.455 -0.879 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCNC(=O)C3CCC3)CC2)CC1 ZINC000484900413 1073505141 /nfs/dbraw/zinc/50/51/41/1073505141.db2.gz VKYUDVJMJWJPOM-UHFFFAOYSA-N 0 0 430.575 -0.888 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC(C)(C)C(N)=O)c1OC ZINC000484903015 1073505212 /nfs/dbraw/zinc/50/52/12/1073505212.db2.gz GHGPAUOCQHJBPF-UHFFFAOYSA-N 0 0 429.495 -0.034 20 0 IBADRN COCCC1(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCC1 ZINC000484904689 1073505736 /nfs/dbraw/zinc/50/57/36/1073505736.db2.gz CYFJMURXCGOMOZ-UHFFFAOYSA-N 0 0 438.506 -0.179 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)COCc1ccccc1 ZINC000484905984 1073505271 /nfs/dbraw/zinc/50/52/71/1073505271.db2.gz OXIYTMIHOTVACO-INIZCTEOSA-N 0 0 429.481 -0.687 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)COCc1ccccc1 ZINC000484905990 1073505305 /nfs/dbraw/zinc/50/53/05/1073505305.db2.gz OXIYTMIHOTVACO-MRXNPFEDSA-N 0 0 429.481 -0.687 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCc2ccc(CS(=O)(=O)NC(C)C)cc2)CC1 ZINC000484917976 1073505870 /nfs/dbraw/zinc/50/58/70/1073505870.db2.gz PVUGIJQVOIJLOT-UHFFFAOYSA-N 0 0 440.566 -0.079 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCc2ccc(C(N)=O)nc2)cc1 ZINC000484918476 1073505887 /nfs/dbraw/zinc/50/58/87/1073505887.db2.gz RGKCPCNFCJPGHY-UHFFFAOYSA-N 0 0 447.517 -0.059 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)CCNC(=O)N(C)C)cc1 ZINC000484918961 1073505822 /nfs/dbraw/zinc/50/58/22/1073505822.db2.gz SCLBSRMHTMZXSY-UHFFFAOYSA-N 0 0 441.554 -0.140 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1(S(C)(=O)=O)CC1 ZINC000484918962 1073505792 /nfs/dbraw/zinc/50/57/92/1073505792.db2.gz MLJLJMDGRGBTFC-UHFFFAOYSA-N 0 0 447.535 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1 ZINC000484919037 1073505719 /nfs/dbraw/zinc/50/57/19/1073505719.db2.gz SMJRUZQXSGUMAG-IAGOWNOFSA-N 0 0 436.534 -0.132 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(S(C)(=O)=O)CCC1)CC2 ZINC000484920751 1073505773 /nfs/dbraw/zinc/50/57/73/1073505773.db2.gz GKXDIUJBLHGFBB-UHFFFAOYSA-N 0 0 443.547 -0.043 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2ccc(CS(=O)(=O)NC(C)C)cc2)C1 ZINC000484920875 1073505645 /nfs/dbraw/zinc/50/56/45/1073505645.db2.gz ZQDQIHPAUBYAEU-INIZCTEOSA-N 0 0 439.538 -0.340 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2ccc(CS(=O)(=O)NC(C)C)cc2)C1 ZINC000484920878 1073505781 /nfs/dbraw/zinc/50/57/81/1073505781.db2.gz ZQDQIHPAUBYAEU-MRXNPFEDSA-N 0 0 439.538 -0.340 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1(S(C)(=O)=O)CC1 ZINC000484921634 1073505899 /nfs/dbraw/zinc/50/58/99/1073505899.db2.gz QBMXWOKTVPHHOL-UHFFFAOYSA-N 0 0 446.551 -0.365 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000484922721 1073506298 /nfs/dbraw/zinc/50/62/98/1073506298.db2.gz CCBWQNMEQYLYIV-UHFFFAOYSA-N 0 0 433.483 -0.102 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC3(S(C)(=O)=O)CC3)cc2)CC1 ZINC000484923581 1073506418 /nfs/dbraw/zinc/50/64/18/1073506418.db2.gz GDIJBCUDTYYYQL-UHFFFAOYSA-N 0 0 436.534 -0.365 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@](=O)[C@H](C)[C@H]2C)cc1 ZINC000484923843 1073506350 /nfs/dbraw/zinc/50/63/50/1073506350.db2.gz DVMXDOWRAJFAHW-BOABCZMQSA-N 0 0 431.536 -0.082 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@](=O)[C@H](C)[C@@H]2C)cc1 ZINC000484923848 1073506316 /nfs/dbraw/zinc/50/63/16/1073506316.db2.gz DVMXDOWRAJFAHW-MYXHWSLCSA-N 0 0 431.536 -0.082 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@](=O)[C@@H](C)[C@H]2C)cc1 ZINC000484923850 1073506454 /nfs/dbraw/zinc/50/64/54/1073506454.db2.gz DVMXDOWRAJFAHW-UIAYHMMPSA-N 0 0 431.536 -0.082 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@](=O)[C@@H](C)[C@@H]2C)cc1 ZINC000484923851 1073506447 /nfs/dbraw/zinc/50/64/47/1073506447.db2.gz DVMXDOWRAJFAHW-UYZZBQRDSA-N 0 0 431.536 -0.082 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1(S(C)(=O)=O)CCC1 ZINC000484927483 1073506399 /nfs/dbraw/zinc/50/63/99/1073506399.db2.gz RFSRZKLYQFDBJV-UHFFFAOYSA-N 0 0 447.535 -0.033 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)Nc1cnn(CC(=O)OC)c1C)CC2 ZINC000484929214 1073506437 /nfs/dbraw/zinc/50/64/37/1073506437.db2.gz XIQPBPAAJMIKFF-UHFFFAOYSA-N 0 0 435.462 -0.200 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(S(C)(=O)=O)CC1)CC2 ZINC000484932706 1073506334 /nfs/dbraw/zinc/50/63/34/1073506334.db2.gz FMJYCQLANXMYCT-UHFFFAOYSA-N 0 0 429.520 -0.433 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000484935865 1073506235 /nfs/dbraw/zinc/50/62/35/1073506235.db2.gz PKMBFWONKMNDCX-HNNXBMFYSA-N 0 0 446.551 -0.980 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000484935868 1073506462 /nfs/dbraw/zinc/50/64/62/1073506462.db2.gz PKMBFWONKMNDCX-OAHLLOKOSA-N 0 0 446.551 -0.980 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(S(C)(=O)=O)CCC1)CC2 ZINC000484936193 1073506191 /nfs/dbraw/zinc/50/61/91/1073506191.db2.gz MTNYEWGDHPSMPJ-UHFFFAOYSA-N 0 0 429.520 -0.433 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc1 ZINC000484937680 1073506222 /nfs/dbraw/zinc/50/62/22/1073506222.db2.gz VPIJNLQMIKBKAH-CABCVRRESA-N 0 0 429.539 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc1 ZINC000484937683 1073506373 /nfs/dbraw/zinc/50/63/73/1073506373.db2.gz VPIJNLQMIKBKAH-GJZGRUSLSA-N 0 0 429.539 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc1 ZINC000484937684 1073506873 /nfs/dbraw/zinc/50/68/73/1073506873.db2.gz VPIJNLQMIKBKAH-HUUCEWRRSA-N 0 0 429.539 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc1 ZINC000484937685 1073507036 /nfs/dbraw/zinc/50/70/36/1073507036.db2.gz VPIJNLQMIKBKAH-LSDHHAIUSA-N 0 0 429.539 -0.279 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCC2(S(C)(=O)=O)CC2)cc1 ZINC000484940199 1073506472 /nfs/dbraw/zinc/50/64/72/1073506472.db2.gz RIICPAKLPRJGKO-GFCCVEGCSA-N 0 0 439.490 0.000 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCC2(S(C)(=O)=O)CC2)cc1 ZINC000484940201 1073506384 /nfs/dbraw/zinc/50/63/84/1073506384.db2.gz RIICPAKLPRJGKO-LBPRGKRZSA-N 0 0 439.490 0.000 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCC1(S(C)(=O)=O)CC1 ZINC000484940339 1073506276 /nfs/dbraw/zinc/50/62/76/1073506276.db2.gz SGMCBPROSHMOBP-UHFFFAOYSA-N 0 0 445.519 -0.232 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC2(S(C)(=O)=O)CCC2)cc1 ZINC000484940765 1073506358 /nfs/dbraw/zinc/50/63/58/1073506358.db2.gz XWDWAPVOYBSAPC-UHFFFAOYSA-N 0 0 447.535 -0.367 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCC1(S(C)(=O)=O)CC1 ZINC000484940856 1073506256 /nfs/dbraw/zinc/50/62/56/1073506256.db2.gz SMATYOQVNZUFDP-UHFFFAOYSA-N 0 0 439.490 -0.058 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@](C)(O)C1 ZINC000484943650 1073507119 /nfs/dbraw/zinc/50/71/19/1073507119.db2.gz GXWYLIVLAQXVOU-GOSISDBHSA-N 0 0 427.479 -0.362 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@](C)(O)C1 ZINC000484943651 1073506935 /nfs/dbraw/zinc/50/69/35/1073506935.db2.gz GXWYLIVLAQXVOU-SFHVURJKSA-N 0 0 427.479 -0.362 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC000484944452 1073506913 /nfs/dbraw/zinc/50/69/13/1073506913.db2.gz KCOOMQQVKQBVLJ-SFHVURJKSA-N 0 0 431.493 -0.514 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCN2CCOC[C@@H]2C)cc1 ZINC000484947765 1073507024 /nfs/dbraw/zinc/50/70/24/1073507024.db2.gz VSBZALZCHYRHCL-INIZCTEOSA-N 0 0 440.566 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCN2CCOC[C@H]2C)cc1 ZINC000484947766 1073506858 /nfs/dbraw/zinc/50/68/58/1073506858.db2.gz VSBZALZCHYRHCL-MRXNPFEDSA-N 0 0 440.566 -0.033 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@](C)(O)C1 ZINC000484958787 1073507112 /nfs/dbraw/zinc/50/71/12/1073507112.db2.gz NOUKDTVEUJJFDL-IBGZPJMESA-N 0 0 440.522 -0.447 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@@](C)(O)C1 ZINC000484958788 1073506964 /nfs/dbraw/zinc/50/69/64/1073506964.db2.gz NOUKDTVEUJJFDL-LJQANCHMSA-N 0 0 440.522 -0.447 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1 ZINC000484959149 1073506900 /nfs/dbraw/zinc/50/69/00/1073506900.db2.gz MBNFDKJGNBHCCN-PBHICJAKSA-N 0 0 427.523 -0.261 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1 ZINC000484959150 1073507130 /nfs/dbraw/zinc/50/71/30/1073507130.db2.gz MBNFDKJGNBHCCN-RHSMWYFYSA-N 0 0 427.523 -0.261 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1 ZINC000484959151 1073507077 /nfs/dbraw/zinc/50/70/77/1073507077.db2.gz MBNFDKJGNBHCCN-WMLDXEAASA-N 0 0 427.523 -0.261 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)cc1 ZINC000484959152 1073506886 /nfs/dbraw/zinc/50/68/86/1073506886.db2.gz MBNFDKJGNBHCCN-YOEHRIQHSA-N 0 0 427.523 -0.261 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@](C)(O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000484959981 1073506830 /nfs/dbraw/zinc/50/68/30/1073506830.db2.gz OZTYEPVSZWOTRB-GOSISDBHSA-N 0 0 427.479 -0.362 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@](C)(O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000484959982 1073507444 /nfs/dbraw/zinc/50/74/44/1073507444.db2.gz OZTYEPVSZWOTRB-SFHVURJKSA-N 0 0 427.479 -0.362 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000484960207 1073507015 /nfs/dbraw/zinc/50/70/15/1073507015.db2.gz OZBUWBNMPFPARZ-GOSISDBHSA-N 0 0 438.550 -0.326 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000484960208 1073506949 /nfs/dbraw/zinc/50/69/49/1073506949.db2.gz OZBUWBNMPFPARZ-SFHVURJKSA-N 0 0 438.550 -0.326 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)CCN2CCOCC2)cc1 ZINC000484960875 1073507430 /nfs/dbraw/zinc/50/74/30/1073507430.db2.gz QYTHVUCRSIKCAP-UHFFFAOYSA-N 0 0 440.566 -0.079 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H](CO)COC)cc1S(=O)(=O)N1CCCC1 ZINC000484961202 1073507507 /nfs/dbraw/zinc/50/75/07/1073507507.db2.gz JWCFWHPBHZYWEQ-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H](CO)COC)cc1S(=O)(=O)N1CCCC1 ZINC000484961203 1073507591 /nfs/dbraw/zinc/50/75/91/1073507591.db2.gz JWCFWHPBHZYWEQ-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](CO)COC)c2)CC1 ZINC000484963085 1073507492 /nfs/dbraw/zinc/50/74/92/1073507492.db2.gz JBGYRKVZMDFFDS-HNNXBMFYSA-N 0 0 428.511 -0.925 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](CO)COC)c2)CC1 ZINC000484963086 1073507618 /nfs/dbraw/zinc/50/76/18/1073507618.db2.gz JBGYRKVZMDFFDS-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cc(C(N)=O)ccc1OCC(F)F)c(=O)n2C ZINC000484963527 1073507453 /nfs/dbraw/zinc/50/74/53/1073507453.db2.gz KEYQUWJSHNRSEL-UHFFFAOYSA-N 0 0 436.375 -0.185 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N[C@H](CO)COC)c1 ZINC000484963704 1073507674 /nfs/dbraw/zinc/50/76/74/1073507674.db2.gz LEQHDQLTIQBMGW-CYBMUJFWSA-N 0 0 430.527 -0.155 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N[C@@H](CO)COC)c1 ZINC000484963705 1073507566 /nfs/dbraw/zinc/50/75/66/1073507566.db2.gz LEQHDQLTIQBMGW-ZDUSSCGKSA-N 0 0 430.527 -0.155 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCCC2)c1 ZINC000484963804 1073507580 /nfs/dbraw/zinc/50/75/80/1073507580.db2.gz LVOKVHMUOCGGME-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCCC2)c1 ZINC000484963806 1073507467 /nfs/dbraw/zinc/50/74/67/1073507467.db2.gz LVOKVHMUOCGGME-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000484964811 1073507631 /nfs/dbraw/zinc/50/76/31/1073507631.db2.gz PWUVECXFMAYMAG-GUTXKFCHSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000484964812 1073507551 /nfs/dbraw/zinc/50/75/51/1073507551.db2.gz PWUVECXFMAYMAG-NFAWXSAZSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000484964813 1073507479 /nfs/dbraw/zinc/50/74/79/1073507479.db2.gz PWUVECXFMAYMAG-VNHYZAJKSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000484964814 1073507604 /nfs/dbraw/zinc/50/76/04/1073507604.db2.gz PWUVECXFMAYMAG-YDHLFZDLSA-N 0 0 429.495 -0.454 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCN3CC=CCC3)cc2)CC1 ZINC000484968323 1073507393 /nfs/dbraw/zinc/50/73/93/1073507393.db2.gz GZQUFFIDQSKRKH-UHFFFAOYSA-N 0 0 435.550 -0.061 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1OC ZINC000484970793 1073507660 /nfs/dbraw/zinc/50/76/60/1073507660.db2.gz NALUDNSIJZQXCC-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1OC ZINC000484970794 1073507697 /nfs/dbraw/zinc/50/76/97/1073507697.db2.gz NALUDNSIJZQXCC-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C(NCCN1CC=CCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000484971834 1073508081 /nfs/dbraw/zinc/50/80/81/1073508081.db2.gz PLDDYOMYMXUXFM-UHFFFAOYSA-N 0 0 435.506 -0.876 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@@H]2C[C@H](F)CN2Cc2cc(C)no2)CC1 ZINC000484972080 1073508065 /nfs/dbraw/zinc/50/80/65/1073508065.db2.gz NOLXPQZKSMQNQE-IRXDYDNUSA-N 0 0 440.520 -0.015 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000484972308 1073508327 /nfs/dbraw/zinc/50/83/27/1073508327.db2.gz VXZWJCVLKAGAHM-CYBMUJFWSA-N 0 0 427.479 -0.098 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000484972539 1073508155 /nfs/dbraw/zinc/50/81/55/1073508155.db2.gz CXSKJZNIVAZNRJ-UHFFFAOYSA-N 0 0 425.554 -0.681 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC(=O)N3Cc4ccccc4C3)cnc2n(C)c1=O ZINC000484976205 1073507644 /nfs/dbraw/zinc/50/76/44/1073507644.db2.gz PDMGRSIFZGSETE-UHFFFAOYSA-N 0 0 436.428 -0.771 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1N(C)C ZINC000484981105 1073508121 /nfs/dbraw/zinc/50/81/21/1073508121.db2.gz QIUIYBYBZWVKMI-AWEZNQCLSA-N 0 0 442.538 -0.011 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1N(C)C ZINC000484981108 1073507997 /nfs/dbraw/zinc/50/79/97/1073507997.db2.gz QIUIYBYBZWVKMI-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN CC(C)Cc1nnc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000484981174 1073508049 /nfs/dbraw/zinc/50/80/49/1073508049.db2.gz QPSRSKMFYSOHKG-UHFFFAOYSA-N 0 0 432.572 -0.130 20 0 IBADRN CC(C)(C)c1nnc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000484981636 1073508234 /nfs/dbraw/zinc/50/82/34/1073508234.db2.gz UYGQYFLLSZNLEF-UHFFFAOYSA-N 0 0 432.572 -0.031 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CO)COC ZINC000484982127 1073508350 /nfs/dbraw/zinc/50/83/50/1073508350.db2.gz XWAVMFFHIRFMOU-CYBMUJFWSA-N 0 0 445.494 -0.832 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](CO)COC ZINC000484982128 1073508300 /nfs/dbraw/zinc/50/83/00/1073508300.db2.gz XWAVMFFHIRFMOU-ZDUSSCGKSA-N 0 0 445.494 -0.832 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2ccnc3c(C(N)=O)cnn32)CC1 ZINC000484982587 1073508338 /nfs/dbraw/zinc/50/83/38/1073508338.db2.gz COBQRJLJPNVBKC-UHFFFAOYSA-N 0 0 443.489 -0.301 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCN3CC=CCC3)c2)CC1 ZINC000484983950 1073508263 /nfs/dbraw/zinc/50/82/63/1073508263.db2.gz DIQASTFSKGKYDQ-UHFFFAOYSA-N 0 0 435.550 -0.061 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484984950 1073508250 /nfs/dbraw/zinc/50/82/50/1073508250.db2.gz GUISVYNVDPJNFF-INIZCTEOSA-N 0 0 428.449 -0.433 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000484984951 1073508672 /nfs/dbraw/zinc/50/86/72/1073508672.db2.gz GUISVYNVDPJNFF-MRXNPFEDSA-N 0 0 428.449 -0.433 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(C(N)=O)ccc3OCC(F)F)c2c(=O)n(C)c1=O ZINC000484986316 1073508796 /nfs/dbraw/zinc/50/87/96/1073508796.db2.gz KBLZMNQEKPKTIS-UHFFFAOYSA-N 0 0 436.375 -0.185 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H](CO)COC)cc1S(=O)(=O)N1CCOCC1 ZINC000484987120 1073508169 /nfs/dbraw/zinc/50/81/69/1073508169.db2.gz MGSUDQBCTNATRP-AWEZNQCLSA-N 0 0 445.494 -0.832 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H](CO)COC)cc1S(=O)(=O)N1CCOCC1 ZINC000484987122 1073508313 /nfs/dbraw/zinc/50/83/13/1073508313.db2.gz MGSUDQBCTNATRP-CQSZACIVSA-N 0 0 445.494 -0.832 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCCN2CC=CCC2)ccc1OC ZINC000484987398 1073508185 /nfs/dbraw/zinc/50/81/85/1073508185.db2.gz NUTKTRWWEIUIBO-UHFFFAOYSA-N 0 0 440.522 -0.064 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000484987579 1073508361 /nfs/dbraw/zinc/50/83/61/1073508361.db2.gz NIXVXZBMFHQLHJ-HNNXBMFYSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000484987580 1073508004 /nfs/dbraw/zinc/50/80/04/1073508004.db2.gz NIXVXZBMFHQLHJ-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000484987937 1073508135 /nfs/dbraw/zinc/50/81/35/1073508135.db2.gz OGNLNHCBYXJUPN-HNNXBMFYSA-N 0 0 425.507 -0.126 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000484987941 1073508387 /nfs/dbraw/zinc/50/83/87/1073508387.db2.gz OGNLNHCBYXJUPN-OAHLLOKOSA-N 0 0 425.507 -0.126 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCO[C@H](C)C1 ZINC000484989682 1073508767 /nfs/dbraw/zinc/50/87/67/1073508767.db2.gz DFCDORRJXHHUGS-CYBMUJFWSA-N 0 0 427.479 -0.098 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484990545 1073508887 /nfs/dbraw/zinc/50/88/87/1073508887.db2.gz ZDJVVNNSWAXZGX-GFCCVEGCSA-N 0 0 435.886 -0.577 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484990553 1073508607 /nfs/dbraw/zinc/50/86/07/1073508607.db2.gz ZDJVVNNSWAXZGX-LBPRGKRZSA-N 0 0 435.886 -0.577 20 0 IBADRN COC(=O)[C@@H](c1cc(OC)cc(OC)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000484991828 1073508657 /nfs/dbraw/zinc/50/86/57/1073508657.db2.gz CSIXQRFMUBPEQO-GOSISDBHSA-N 0 0 435.477 -0.079 20 0 IBADRN COC(=O)[C@H](c1cc(OC)cc(OC)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000484991829 1073508554 /nfs/dbraw/zinc/50/85/54/1073508554.db2.gz CSIXQRFMUBPEQO-SFHVURJKSA-N 0 0 435.477 -0.079 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(CC)CC2)c1 ZINC000484992357 1073508567 /nfs/dbraw/zinc/50/85/67/1073508567.db2.gz CVRZIKYPOLOMSK-UHFFFAOYSA-N 0 0 449.537 -0.121 20 0 IBADRN Cc1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)N2CCO[C@H](CN(C)CC(N)=O)C2)on1 ZINC000484992785 1073508841 /nfs/dbraw/zinc/50/88/41/1073508841.db2.gz YZIXICYANPUUCH-YQQAZPJKSA-N 0 0 426.493 -0.277 20 0 IBADRN Cc1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)N2CCO[C@@H](CN(C)CC(N)=O)C2)on1 ZINC000484992786 1073508703 /nfs/dbraw/zinc/50/87/03/1073508703.db2.gz YZIXICYANPUUCH-ZOBUZTSGSA-N 0 0 426.493 -0.277 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(CS(=O)(=O)NC(C)C)cc2)CCO1 ZINC000484992855 1073508824 /nfs/dbraw/zinc/50/88/24/1073508824.db2.gz LTSSPSDSKHUMGV-INIZCTEOSA-N 0 0 440.522 -0.896 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(CS(=O)(=O)NC(C)C)cc2)CCO1 ZINC000484992856 1073508920 /nfs/dbraw/zinc/50/89/20/1073508920.db2.gz LTSSPSDSKHUMGV-MRXNPFEDSA-N 0 0 440.522 -0.896 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C[C@H]1COCC2 ZINC000484994423 1072824312 /nfs/dbraw/zinc/82/43/12/1072824312.db2.gz JZKHZVKEDNMQLG-RNODOKPDSA-N 0 0 429.433 -0.452 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)C[C@H]1COCC2 ZINC000484996172 1072824192 /nfs/dbraw/zinc/82/41/92/1072824192.db2.gz PSYHSSRHWNLTOY-DYZYQPBXSA-N 0 0 429.426 -0.550 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000484996679 1073508640 /nfs/dbraw/zinc/50/86/40/1073508640.db2.gz PCPMSZLULBSRRG-HALDLXJZSA-N 0 0 438.506 -0.669 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@@](C)(O)CO ZINC000484997152 1073508686 /nfs/dbraw/zinc/50/86/86/1073508686.db2.gz QESOVZWVNYNBFG-GOSISDBHSA-N 0 0 429.495 -0.332 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@](C)(O)CO ZINC000484997153 1073508809 /nfs/dbraw/zinc/50/88/09/1073508809.db2.gz QESOVZWVNYNBFG-SFHVURJKSA-N 0 0 429.495 -0.332 20 0 IBADRN C[C@@](O)(CO)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484997226 1073508629 /nfs/dbraw/zinc/50/86/29/1073508629.db2.gz QZWMEQYBEKLIKC-INIZCTEOSA-N 0 0 435.886 -0.841 20 0 IBADRN C[C@](O)(CO)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000484997227 1073508908 /nfs/dbraw/zinc/50/89/08/1073508908.db2.gz QZWMEQYBEKLIKC-MRXNPFEDSA-N 0 0 435.886 -0.841 20 0 IBADRN C[C@@](O)(CO)CNC(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC000484998229 1073508930 /nfs/dbraw/zinc/50/89/30/1073508930.db2.gz XDFKNIINQLFLFZ-IBGZPJMESA-N 0 0 426.445 -0.716 20 0 IBADRN C[C@](O)(CO)CNC(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC000484998230 1073508581 /nfs/dbraw/zinc/50/85/81/1073508581.db2.gz XDFKNIINQLFLFZ-LJQANCHMSA-N 0 0 426.445 -0.716 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)cc1S(N)(=O)=O ZINC000485002165 1072824444 /nfs/dbraw/zinc/82/44/44/1072824444.db2.gz NHLSYNLXVOVTHH-ORAYPTAESA-N 0 0 439.490 -0.127 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)cc1 ZINC000485003136 1073509266 /nfs/dbraw/zinc/50/92/66/1073509266.db2.gz BYPYJPZICRZNCH-UHFFFAOYSA-N 0 0 436.534 -0.036 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(OC)c(-n4cnnn4)c3)C[C@H]1COCC2 ZINC000485003943 1072295718 /nfs/dbraw/zinc/29/57/18/1072295718.db2.gz TUGKFQUEQNAXHA-HXPMCKFVSA-N 0 0 430.421 -0.353 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)C[C@H]1COCC2 ZINC000485006938 1072825075 /nfs/dbraw/zinc/82/50/75/1072825075.db2.gz FTAMKUZCVWAEON-AYSMAOOMSA-N 0 0 443.456 -0.132 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)C[C@H]1COCC2 ZINC000485006939 1072824764 /nfs/dbraw/zinc/82/47/64/1072824764.db2.gz FTAMKUZCVWAEON-ZCCHDVMBSA-N 0 0 443.456 -0.132 20 0 IBADRN Cc1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)on1 ZINC000485009135 1073508751 /nfs/dbraw/zinc/50/87/51/1073508751.db2.gz ODJDTIZXHZVQCH-GJZGRUSLSA-N 0 0 432.522 -0.489 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)NC[C@](C)(O)CO)c1 ZINC000485012180 1073509225 /nfs/dbraw/zinc/50/92/25/1073509225.db2.gz CVWQAHUWCOZCLJ-AWEZNQCLSA-N 0 0 436.202 -0.124 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)NC[C@@](C)(O)CO)c1 ZINC000485012181 1073509291 /nfs/dbraw/zinc/50/92/91/1073509291.db2.gz CVWQAHUWCOZCLJ-CQSZACIVSA-N 0 0 436.202 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N[C@H]2[C@@H]3COC[C@@H]32)c1 ZINC000485013280 1073509201 /nfs/dbraw/zinc/50/92/01/1073509201.db2.gz HGPRCGQMVIQDAT-HALDLXJZSA-N 0 0 438.506 -0.527 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)C[C@H]1COCC2 ZINC000485014497 1072824955 /nfs/dbraw/zinc/82/49/55/1072824955.db2.gz KJWRRTUYIJAGPE-MGPUTAFESA-N 0 0 435.481 -0.808 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CO)cc1S(=O)(=O)N(CC)CC ZINC000485015015 1073509213 /nfs/dbraw/zinc/50/92/13/1073509213.db2.gz GRYZKKNCEIITCN-GOSISDBHSA-N 0 0 431.511 -0.086 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@](C)(O)CO)cc1S(=O)(=O)N(CC)CC ZINC000485015016 1073509393 /nfs/dbraw/zinc/50/93/93/1073509393.db2.gz GRYZKKNCEIITCN-SFHVURJKSA-N 0 0 431.511 -0.086 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CO)cc1S(=O)(=O)N1CCCC1 ZINC000485015508 1073509504 /nfs/dbraw/zinc/50/95/04/1073509504.db2.gz HVUZPDLRMJJCQN-GOSISDBHSA-N 0 0 429.495 -0.332 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@](C)(O)CO)cc1S(=O)(=O)N1CCCC1 ZINC000485015509 1073509300 /nfs/dbraw/zinc/50/93/00/1073509300.db2.gz HVUZPDLRMJJCQN-SFHVURJKSA-N 0 0 429.495 -0.332 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3cc(S(N)(=O)=O)cc(C)c3C)C[C@H]1COCC2 ZINC000485015864 1072824839 /nfs/dbraw/zinc/82/48/39/1072824839.db2.gz OMPWMHMMKWFYQA-ORAYPTAESA-N 0 0 439.490 -0.073 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)NC[C@@](C)(O)CO ZINC000485017218 1073509321 /nfs/dbraw/zinc/50/93/21/1073509321.db2.gz BGNOBVXETXUKTJ-GOSISDBHSA-N 0 0 431.511 -0.086 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)NC[C@](C)(O)CO ZINC000485017219 1073509351 /nfs/dbraw/zinc/50/93/51/1073509351.db2.gz BGNOBVXETXUKTJ-SFHVURJKSA-N 0 0 431.511 -0.086 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000485017417 1073509911 /nfs/dbraw/zinc/50/99/11/1073509911.db2.gz UBAFYPHOZBBCKB-HALDLXJZSA-N 0 0 439.490 -0.194 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@](C)(O)CO)cc2)CC1 ZINC000485017997 1073509815 /nfs/dbraw/zinc/50/98/15/1073509815.db2.gz PHMSCHYUVHZYMK-NRFANRHFSA-N 0 0 435.477 -0.100 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CO)cc2)CC1 ZINC000485017999 1073510050 /nfs/dbraw/zinc/51/00/50/1073510050.db2.gz PHMSCHYUVHZYMK-OAQYLSRUSA-N 0 0 435.477 -0.100 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@](C)(O)CO ZINC000485019875 1073509540 /nfs/dbraw/zinc/50/95/40/1073509540.db2.gz UUFPCRSHRAEPSK-IBGZPJMESA-N 0 0 442.538 -0.275 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@@](C)(O)CO ZINC000485019876 1073509239 /nfs/dbraw/zinc/50/92/39/1073509239.db2.gz UUFPCRSHRAEPSK-LJQANCHMSA-N 0 0 442.538 -0.275 20 0 IBADRN C[C@@](O)(CO)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000485022042 1073509253 /nfs/dbraw/zinc/50/92/53/1073509253.db2.gz CVRKOQCJABFNHK-IBGZPJMESA-N 0 0 425.507 -0.390 20 0 IBADRN C[C@](O)(CO)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000485022045 1073509553 /nfs/dbraw/zinc/50/95/53/1073509553.db2.gz CVRKOQCJABFNHK-LJQANCHMSA-N 0 0 425.507 -0.390 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2[C@@H]3COC[C@@H]32)cc1S(=O)(=O)N1CCOCC1 ZINC000485022516 1073509337 /nfs/dbraw/zinc/50/93/37/1073509337.db2.gz HSBFDFKOJCLJEF-VIKVFOODSA-N 0 0 425.463 -0.584 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c1=O ZINC000485023146 1072824894 /nfs/dbraw/zinc/82/48/94/1072824894.db2.gz FEQYSSXORBCFBD-RNODOKPDSA-N 0 0 435.433 -0.612 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000485026142 1073509516 /nfs/dbraw/zinc/50/95/16/1073509516.db2.gz YIUHGMZFDDZOEM-VIKVFOODSA-N 0 0 425.463 -0.584 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(OC)c(-n4nnnc4C)c3)C[C@H]1COCC2 ZINC000485027187 1072825021 /nfs/dbraw/zinc/82/50/21/1072825021.db2.gz DNLULXJVEGNNFM-RNODOKPDSA-N 0 0 444.448 -0.044 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CO)cc1S(=O)(=O)N1CCCCC1 ZINC000485028030 1073509928 /nfs/dbraw/zinc/50/99/28/1073509928.db2.gz OKNUOUCOFWKESO-GOSISDBHSA-N 0 0 429.495 -0.332 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@](C)(O)CO)cc1S(=O)(=O)N1CCCCC1 ZINC000485028032 1073510002 /nfs/dbraw/zinc/51/00/02/1073510002.db2.gz OKNUOUCOFWKESO-SFHVURJKSA-N 0 0 429.495 -0.332 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NC[C@@](C)(O)CO)c1 ZINC000485028084 1073509968 /nfs/dbraw/zinc/50/99/68/1073509968.db2.gz OPHOCUNCYIOVOB-GOSISDBHSA-N 0 0 430.527 -0.419 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NC[C@](C)(O)CO)c1 ZINC000485028087 1073509954 /nfs/dbraw/zinc/50/99/54/1073509954.db2.gz OPHOCUNCYIOVOB-SFHVURJKSA-N 0 0 430.527 -0.419 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)n1 ZINC000485028250 1073303881 /nfs/dbraw/zinc/30/38/81/1073303881.db2.gz CLYONJWLUFEPPC-HNNXBMFYSA-N 0 0 430.440 -0.034 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)n1 ZINC000485028251 1073303893 /nfs/dbraw/zinc/30/38/93/1073303893.db2.gz CLYONJWLUFEPPC-OAHLLOKOSA-N 0 0 430.440 -0.034 20 0 IBADRN Cc1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)on1 ZINC000485029565 1073510018 /nfs/dbraw/zinc/51/00/18/1073510018.db2.gz BYRUVSZVPBSYAV-GJZGRUSLSA-N 0 0 435.464 -0.564 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)C[C@H]1COCC2 ZINC000485029881 1072824976 /nfs/dbraw/zinc/82/49/76/1072824976.db2.gz FRMRWIDXHSZFMU-LHSJRXKWSA-N 0 0 444.444 -0.285 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)C[C@H]1COCC2 ZINC000485029893 1072824943 /nfs/dbraw/zinc/82/49/43/1072824943.db2.gz FUZLUBZARIFEHF-IFXJQAMLSA-N 0 0 439.490 -0.288 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)ccc1C ZINC000485030585 1072824822 /nfs/dbraw/zinc/82/48/22/1072824822.db2.gz GOPBEHHFCNPTRY-ORAYPTAESA-N 0 0 439.490 -0.120 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c1C ZINC000485030694 1072824805 /nfs/dbraw/zinc/82/48/05/1072824805.db2.gz YTMQEEWOJRHUDE-ORAYPTAESA-N 0 0 439.490 -0.120 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CO)cc2)C[C@H](C)O1 ZINC000485031065 1073509857 /nfs/dbraw/zinc/50/98/57/1073509857.db2.gz UGSGNUJGCGUJNA-FHSNZYRGSA-N 0 0 429.495 -0.718 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@](C)(O)CO)cc2)C[C@H](C)O1 ZINC000485031066 1073509801 /nfs/dbraw/zinc/50/98/01/1073509801.db2.gz UGSGNUJGCGUJNA-JCGVRSQUSA-N 0 0 429.495 -0.718 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CO)cc2)C[C@@H](C)O1 ZINC000485031069 1073510441 /nfs/dbraw/zinc/51/04/41/1073510441.db2.gz UGSGNUJGCGUJNA-SNUQEOBHSA-N 0 0 429.495 -0.718 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@](C)(O)CO)cc2)C[C@@H](C)O1 ZINC000485031070 1073510479 /nfs/dbraw/zinc/51/04/79/1073510479.db2.gz UGSGNUJGCGUJNA-VFVRVIDISA-N 0 0 429.495 -0.718 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N=c3ccn(CCc4ccncc4)[nH]3)C[C@H]1COCC2 ZINC000485031365 1072824779 /nfs/dbraw/zinc/82/47/79/1072824779.db2.gz JYWLABOKKHKFOT-HRAATJIYSA-N 0 0 427.461 -0.081 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H]2[C@@H]3COC[C@@H]32)cc1S(=O)(=O)N1CCOCC1 ZINC000485031757 1073510486 /nfs/dbraw/zinc/51/04/86/1073510486.db2.gz UZFKGLMSRSERGK-HALDLXJZSA-N 0 0 439.490 -0.194 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)C[C@H]1COCC2 ZINC000485032665 1072824909 /nfs/dbraw/zinc/82/49/09/1072824909.db2.gz MPHDTAARDQNFSN-LHSJRXKWSA-N 0 0 447.492 -0.082 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)C[C@H]1COCC2 ZINC000485033521 1072824926 /nfs/dbraw/zinc/82/49/26/1072824926.db2.gz LHZPTCUUADESON-ORAYPTAESA-N 0 0 439.490 -0.087 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)C[C@H]1COCC2 ZINC000485034357 1072824881 /nfs/dbraw/zinc/82/48/81/1072824881.db2.gz PVHLDMCGYPXBQO-KPZWWZAWSA-N 0 0 425.463 -0.381 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(OC)c(OCC(N)=O)c3)C[C@H]1COCC2 ZINC000485035123 1072825009 /nfs/dbraw/zinc/82/50/09/1072825009.db2.gz RKOKKMLWWYFDRT-FKIZINRSSA-N 0 0 435.433 -0.464 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)C(=O)N(C)C ZINC000485035980 1073510435 /nfs/dbraw/zinc/51/04/35/1073510435.db2.gz RFRFPCNBYRSUQK-ZDUSSCGKSA-N 0 0 425.511 -0.846 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCC2(CS(C)(=O)=O)CC2)c1 ZINC000485036171 1073510322 /nfs/dbraw/zinc/51/03/22/1073510322.db2.gz UUJFCTFFUHGSBW-UHFFFAOYSA-N 0 0 432.524 -0.343 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c2ccccc2O1 ZINC000485036563 1072824794 /nfs/dbraw/zinc/82/47/94/1072824794.db2.gz VMCCTTBLJOUGGF-CQURBHOASA-N 0 0 431.445 -0.435 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c2ccccc2O1 ZINC000485036568 1072825004 /nfs/dbraw/zinc/82/50/04/1072825004.db2.gz VMCCTTBLJOUGGF-UYTHQXMGSA-N 0 0 431.445 -0.435 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)cc1 ZINC000485036569 1072307879 /nfs/dbraw/zinc/30/78/79/1072307879.db2.gz VMHBZSUWXPNTCP-LHSJRXKWSA-N 0 0 447.444 -0.434 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c1 ZINC000485037468 1072824866 /nfs/dbraw/zinc/82/48/66/1072824866.db2.gz WGOHWQLTUUVXJZ-ORAYPTAESA-N 0 0 439.490 -0.120 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](C)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000485041871 1073509770 /nfs/dbraw/zinc/50/97/70/1073509770.db2.gz JLHGVICJELGXIH-GXTWGEPZSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](C)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000485041872 1073509884 /nfs/dbraw/zinc/50/98/84/1073509884.db2.gz JLHGVICJELGXIH-JSGCOSHPSA-N 0 0 427.479 -0.052 20 0 IBADRN CN(c1ccc(S(N)(=O)=O)cc1)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000485042338 1073510037 /nfs/dbraw/zinc/51/00/37/1073510037.db2.gz LNDIPCDQLJCKQJ-UHFFFAOYSA-N 0 0 425.448 -0.493 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@]3(CCOC3)C2)cc1 ZINC000485044790 1073511041 /nfs/dbraw/zinc/51/10/41/1073511041.db2.gz AIEWMEYEWLCEAE-AUUYWEPGSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C)O[C@]3(CCOC3)C2)cc1 ZINC000485044791 1073510894 /nfs/dbraw/zinc/51/08/94/1073510894.db2.gz AIEWMEYEWLCEAE-IFXJQAMLSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@]3(CCOC3)C2)cc1 ZINC000485044792 1073510920 /nfs/dbraw/zinc/51/09/20/1073510920.db2.gz AIEWMEYEWLCEAE-KUHUBIRLSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCOC3)C2)cc1 ZINC000485044793 1073510953 /nfs/dbraw/zinc/51/09/53/1073510953.db2.gz AIEWMEYEWLCEAE-LIRRHRJNSA-N 0 0 441.506 -0.044 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCO[C@@H](C)C1 ZINC000485046770 1073511428 /nfs/dbraw/zinc/51/14/28/1073511428.db2.gz CVZAACKDGWWRAK-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(CC(N)=O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000485047480 1073511536 /nfs/dbraw/zinc/51/15/36/1073511536.db2.gz CXCJQEWFTOFHSP-CYBMUJFWSA-N 0 0 440.478 -0.965 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(CC(N)=O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000485047496 1073511582 /nfs/dbraw/zinc/51/15/82/1073511582.db2.gz CXCJQEWFTOFHSP-ZDUSSCGKSA-N 0 0 440.478 -0.965 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CCCN2C(=O)CN(C)C2=O)cc1 ZINC000485048802 1073511394 /nfs/dbraw/zinc/51/13/94/1073511394.db2.gz JYDBLNHKWREZHS-UHFFFAOYSA-N 0 0 426.495 -0.098 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000485048897 1073511505 /nfs/dbraw/zinc/51/15/05/1073511505.db2.gz KYEYZTIWCVSABB-UHFFFAOYSA-N 0 0 434.540 -0.857 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC(CC(N)=O)C3)cc2)C[C@H](C)O1 ZINC000485049677 1073511589 /nfs/dbraw/zinc/51/15/89/1073511589.db2.gz FVLROYYIPDTEDI-BETUJISGSA-N 0 0 438.506 -0.243 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC(CC(N)=O)C3)cc2)C[C@@H](C)O1 ZINC000485049686 1073511565 /nfs/dbraw/zinc/51/15/65/1073511565.db2.gz FVLROYYIPDTEDI-CHWSQXEVSA-N 0 0 438.506 -0.243 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC(CC(N)=O)C3)cc2)C[C@H](C)O1 ZINC000485049697 1073511458 /nfs/dbraw/zinc/51/14/58/1073511458.db2.gz FVLROYYIPDTEDI-STQMWFEESA-N 0 0 438.506 -0.243 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@]2(CCOC2)O1 ZINC000485050864 1073511482 /nfs/dbraw/zinc/51/14/82/1073511482.db2.gz ILKUERKBPKNWJJ-JXFKEZNVSA-N 0 0 435.481 -0.523 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@]2(CCOC2)O1 ZINC000485050868 1073511546 /nfs/dbraw/zinc/51/15/46/1073511546.db2.gz ILKUERKBPKNWJJ-OXJNMPFZSA-N 0 0 435.481 -0.523 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@]2(CCOC2)O1 ZINC000485050870 1073511574 /nfs/dbraw/zinc/51/15/74/1073511574.db2.gz ILKUERKBPKNWJJ-OXQOHEQNSA-N 0 0 435.481 -0.523 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@]2(CCOC2)O1 ZINC000485050872 1073511516 /nfs/dbraw/zinc/51/15/16/1073511516.db2.gz ILKUERKBPKNWJJ-UZLBHIALSA-N 0 0 435.481 -0.523 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)cn1 ZINC000485051139 1073511414 /nfs/dbraw/zinc/51/14/14/1073511414.db2.gz FDGLWLIELSJOBP-INIZCTEOSA-N 0 0 430.440 -0.034 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)cn1 ZINC000485051145 1073511557 /nfs/dbraw/zinc/51/15/57/1073511557.db2.gz FDGLWLIELSJOBP-MRXNPFEDSA-N 0 0 430.440 -0.034 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)C(=O)N(C)C ZINC000485054651 1073510345 /nfs/dbraw/zinc/51/03/45/1073510345.db2.gz MUVZVJNZEQIZKL-AWEZNQCLSA-N 0 0 439.538 -0.456 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000485055527 1073510374 /nfs/dbraw/zinc/51/03/74/1073510374.db2.gz ATQKJXJKJYBFNR-UHFFFAOYSA-N 0 0 448.476 -0.342 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C(=O)N(C)C ZINC000485056716 1073510970 /nfs/dbraw/zinc/51/09/70/1073510970.db2.gz PMDLSUKCGUDGBO-LBPRGKRZSA-N 0 0 442.494 -0.753 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000485060098 1073510413 /nfs/dbraw/zinc/51/04/13/1073510413.db2.gz IFZURFNMFXTGKU-UHFFFAOYSA-N 0 0 447.492 -0.225 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)C ZINC000485060130 1073510988 /nfs/dbraw/zinc/51/09/88/1073510988.db2.gz IGWDFHWZYDQMDU-NSHDSACASA-N 0 0 430.458 -0.622 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](C)O[C@]4(CCOC4)C3)cn2)C[C@H](C)O1 ZINC000485060849 1073512030 /nfs/dbraw/zinc/51/20/30/1073512030.db2.gz RJFBCOOYYJZZAR-KGWSRGFLSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](C)O[C@@]4(CCOC4)C3)cn2)C[C@@H](C)O1 ZINC000485060853 1073512154 /nfs/dbraw/zinc/51/21/54/1073512154.db2.gz RJFBCOOYYJZZAR-PTDBIEIZSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](C)O[C@@]4(CCOC4)C3)cn2)C[C@H](C)O1 ZINC000485060861 1073511915 /nfs/dbraw/zinc/51/19/15/1073511915.db2.gz RJFBCOOYYJZZAR-YJXLLHPSSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](C)O[C@]4(CCOC4)C3)cn2)C[C@@H](C)O1 ZINC000485060870 1073511900 /nfs/dbraw/zinc/51/19/00/1073511900.db2.gz RJFBCOOYYJZZAR-YUWJWYLASA-N 0 0 449.508 -0.136 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C(=O)N(C)C ZINC000485061815 1073512055 /nfs/dbraw/zinc/51/20/55/1073512055.db2.gz SGXDZRHDEISODP-ZDUSSCGKSA-N 0 0 426.495 -0.453 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@]2(CCOC2)O1 ZINC000485063420 1074352655 /nfs/dbraw/zinc/35/26/55/1074352655.db2.gz VZMXKNJDWZFMEO-FQEVSTJZSA-N 0 0 435.481 -0.523 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@]2(CCOC2)O1 ZINC000485063424 1074352585 /nfs/dbraw/zinc/35/25/85/1074352585.db2.gz VZMXKNJDWZFMEO-HXUWFJFHSA-N 0 0 435.481 -0.523 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@H](C)O[C@]3(CCOC3)C2)cc1 ZINC000485065049 1073511885 /nfs/dbraw/zinc/51/18/85/1073511885.db2.gz XPIOSVONOABTBI-LHSJRXKWSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCOC3)C2)cc1 ZINC000485065050 1073512001 /nfs/dbraw/zinc/51/20/01/1073512001.db2.gz XPIOSVONOABTBI-QKKBWIMNSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@]3(CCOC3)C2)cc1 ZINC000485065051 1073511942 /nfs/dbraw/zinc/51/19/42/1073511942.db2.gz XPIOSVONOABTBI-SPLOXXLWSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@]3(CCOC3)C2)cc1 ZINC000485065052 1073512662 /nfs/dbraw/zinc/51/26/62/1073512662.db2.gz XPIOSVONOABTBI-SZNDQCEHSA-N 0 0 433.461 -0.137 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)cc1C ZINC000485067514 1073512044 /nfs/dbraw/zinc/51/20/44/1073512044.db2.gz HTLOHCHQZBBPBD-HNNXBMFYSA-N 0 0 430.440 -0.068 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)cc1C ZINC000485067515 1073511931 /nfs/dbraw/zinc/51/19/31/1073511931.db2.gz HTLOHCHQZBBPBD-OAHLLOKOSA-N 0 0 430.440 -0.068 20 0 IBADRN COC1CCC(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000485073124 1073512071 /nfs/dbraw/zinc/51/20/71/1073512071.db2.gz ZDMKGKGZTIQJEH-UHFFFAOYSA-N 0 0 438.525 -0.773 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(=O)N(C)C ZINC000485074386 1073511868 /nfs/dbraw/zinc/51/18/68/1073511868.db2.gz RQJHINCQIHPJJC-ZDUSSCGKSA-N 0 0 425.511 -0.846 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000485077838 1073512550 /nfs/dbraw/zinc/51/25/50/1073512550.db2.gz MOWPHBOXPYQXSV-UHFFFAOYSA-N 0 0 435.481 -0.082 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC(CC(N)=O)C1 ZINC000485077891 1073512755 /nfs/dbraw/zinc/51/27/55/1073512755.db2.gz VIGDBRFQRINKOG-UHFFFAOYSA-N 0 0 426.495 -0.004 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)C(=O)N(C)C)c2)CC1 ZINC000485090441 1073512630 /nfs/dbraw/zinc/51/26/30/1073512630.db2.gz VNGXVGBPLRWLIX-AWEZNQCLSA-N 0 0 439.538 -0.456 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@]2(CCOC2)O1 ZINC000485095631 1073513095 /nfs/dbraw/zinc/51/30/95/1073513095.db2.gz NMRDGSABGDYXSC-BTYIYWSLSA-N 0 0 431.493 -0.186 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@@]2(CCOC2)O1 ZINC000485095633 1073513385 /nfs/dbraw/zinc/51/33/85/1073513385.db2.gz NMRDGSABGDYXSC-QVKFZJNVSA-N 0 0 431.493 -0.186 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@]2(CCOC2)O1 ZINC000485095634 1073513122 /nfs/dbraw/zinc/51/31/22/1073513122.db2.gz NMRDGSABGDYXSC-VFNWGFHPSA-N 0 0 431.493 -0.186 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@@]2(CCOC2)O1 ZINC000485095635 1073513204 /nfs/dbraw/zinc/51/32/04/1073513204.db2.gz NMRDGSABGDYXSC-YCRPNKLZSA-N 0 0 431.493 -0.186 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)cc1 ZINC000485096202 1073513371 /nfs/dbraw/zinc/51/33/71/1073513371.db2.gz AIMOGJFJNVXFJK-UHFFFAOYSA-N 0 0 447.473 -0.111 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)C ZINC000485096526 1073513140 /nfs/dbraw/zinc/51/31/40/1073513140.db2.gz WJZHHMFECJKLLB-NSHDSACASA-N 0 0 446.913 -0.108 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NC(=O)NCc1ccco1 ZINC000485099995 1073512381 /nfs/dbraw/zinc/51/23/81/1073512381.db2.gz ANYIXTOEFIJXTO-UHFFFAOYSA-N 0 0 435.462 -0.162 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@]2(CCOC2)O1 ZINC000485100508 1073512442 /nfs/dbraw/zinc/51/24/42/1073512442.db2.gz BIGNPCGMICNDIT-RBZFPXEDSA-N 0 0 431.449 -0.633 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@]2(CCOC2)O1 ZINC000485100549 1073512645 /nfs/dbraw/zinc/51/26/45/1073512645.db2.gz BIGNPCGMICNDIT-RNODOKPDSA-N 0 0 431.449 -0.633 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@]2(CCOC2)O1 ZINC000485100551 1073512429 /nfs/dbraw/zinc/51/24/29/1073512429.db2.gz BIGNPCGMICNDIT-XCLFUZPHSA-N 0 0 431.449 -0.633 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@]2(CCOC2)O1 ZINC000485100555 1073512473 /nfs/dbraw/zinc/51/24/73/1073512473.db2.gz BIGNPCGMICNDIT-ZUOKHONESA-N 0 0 431.449 -0.633 20 0 IBADRN CCN1CN(CC(=O)N(CCOC)c2c(N)n(Cc3ccccc3)c(=O)[nH]c2=O)CC1=O ZINC000485102420 1073512769 /nfs/dbraw/zinc/51/27/69/1073512769.db2.gz FVLUDVGNMKLNLY-UHFFFAOYSA-N 0 0 444.492 -0.320 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3C[C@]4(CCOC4)OC(C)(C)C3)cnc2n(C)c1=O ZINC000485103756 1073513229 /nfs/dbraw/zinc/51/32/29/1073513229.db2.gz GWKAMHHTAMDQJI-FQEVSTJZSA-N 0 0 431.449 -0.633 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3C[C@@]4(CCOC4)OC(C)(C)C3)cnc2n(C)c1=O ZINC000485103758 1073513282 /nfs/dbraw/zinc/51/32/82/1073513282.db2.gz GWKAMHHTAMDQJI-HXUWFJFHSA-N 0 0 431.449 -0.633 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CCO1 ZINC000485104036 1073512713 /nfs/dbraw/zinc/51/27/13/1073512713.db2.gz WHAGHZYGPLJRJD-AWEZNQCLSA-N 0 0 440.522 -0.041 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC000485104144 1073512501 /nfs/dbraw/zinc/51/25/01/1073512501.db2.gz WPNLOVARFDZPCI-GXTWGEPZSA-N 0 0 445.519 -0.662 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC000485104610 1073513331 /nfs/dbraw/zinc/51/33/31/1073513331.db2.gz WPNLOVARFDZPCI-JSGCOSHPSA-N 0 0 445.519 -0.662 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@]2(CCOC2)O1 ZINC000485108212 1074352528 /nfs/dbraw/zinc/35/25/28/1074352528.db2.gz NHVBDRRJIGTUAX-FOIQADDNSA-N 0 0 435.481 -0.523 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@]2(CCOC2)O1 ZINC000485108215 1074352615 /nfs/dbraw/zinc/35/26/15/1074352615.db2.gz NHVBDRRJIGTUAX-MGPUTAFESA-N 0 0 435.481 -0.523 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@]2(CCOC2)O1 ZINC000485108218 1074352483 /nfs/dbraw/zinc/35/24/83/1074352483.db2.gz NHVBDRRJIGTUAX-QRWLVFNGSA-N 0 0 435.481 -0.523 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@]2(CCOC2)O1 ZINC000485108220 1074352645 /nfs/dbraw/zinc/35/26/45/1074352645.db2.gz NHVBDRRJIGTUAX-YWZLYKJASA-N 0 0 435.481 -0.523 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC000485109394 1073513714 /nfs/dbraw/zinc/51/37/14/1073513714.db2.gz UVEACMUMIHUXQP-GOSISDBHSA-N 0 0 425.511 -0.335 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC000485109395 1073513794 /nfs/dbraw/zinc/51/37/94/1073513794.db2.gz UVEACMUMIHUXQP-SFHVURJKSA-N 0 0 425.511 -0.335 20 0 IBADRN CCN1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1=O ZINC000485109401 1073513846 /nfs/dbraw/zinc/51/38/46/1073513846.db2.gz UYCXBEAXOPQPOP-UHFFFAOYSA-N 0 0 438.506 -0.588 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)cc1 ZINC000485109605 1073513899 /nfs/dbraw/zinc/51/38/99/1073513899.db2.gz YHBYQXGUMBUSII-KPZWWZAWSA-N 0 0 426.495 -0.338 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)cc1 ZINC000485109606 1073513777 /nfs/dbraw/zinc/51/37/77/1073513777.db2.gz YHBYQXGUMBUSII-KZULUSFZSA-N 0 0 426.495 -0.338 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)cc1 ZINC000485109607 1073513891 /nfs/dbraw/zinc/51/38/91/1073513891.db2.gz YHBYQXGUMBUSII-SGTLLEGYSA-N 0 0 426.495 -0.338 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)cc1 ZINC000485109608 1073513817 /nfs/dbraw/zinc/51/38/17/1073513817.db2.gz YHBYQXGUMBUSII-XIKOKIGWSA-N 0 0 426.495 -0.338 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)cc(S(C)(=O)=O)c1 ZINC000485109667 1073513757 /nfs/dbraw/zinc/51/37/57/1073513757.db2.gz ZCWFZCKANGCGTM-GOSISDBHSA-N 0 0 425.463 -0.071 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)cc(S(C)(=O)=O)c1 ZINC000485109668 1073513804 /nfs/dbraw/zinc/51/38/04/1073513804.db2.gz ZCWFZCKANGCGTM-SFHVURJKSA-N 0 0 425.463 -0.071 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@]2(CCOC2)O1 ZINC000485110006 1073513861 /nfs/dbraw/zinc/51/38/61/1073513861.db2.gz RGFJDAVHKDQQNB-FQEVSTJZSA-N 0 0 435.481 -0.523 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@]2(CCOC2)O1 ZINC000485110007 1073513918 /nfs/dbraw/zinc/51/39/18/1073513918.db2.gz RGFJDAVHKDQQNB-HXUWFJFHSA-N 0 0 435.481 -0.523 20 0 IBADRN CCN1CN(CC(=O)N2CCN(S(=O)(=O)c3cc(OC)ccc3OC)CC2)CC1=O ZINC000485112544 1073513189 /nfs/dbraw/zinc/51/31/89/1073513189.db2.gz GJJDVOFVYMQDAL-UHFFFAOYSA-N 0 0 440.522 -0.342 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@](C)(C(N)=O)C3)cc2)CC1 ZINC000485115049 1073513318 /nfs/dbraw/zinc/51/33/18/1073513318.db2.gz VXGWIQHYTMETOA-IBGZPJMESA-N 0 0 437.522 -0.715 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@](C)(C(N)=O)C3)cc2)CC1 ZINC000485115050 1073513086 /nfs/dbraw/zinc/51/30/86/1073513086.db2.gz VXGWIQHYTMETOA-LJQANCHMSA-N 0 0 437.522 -0.715 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccc(Br)cc2)cn1 ZINC000485116389 1073513359 /nfs/dbraw/zinc/51/33/59/1073513359.db2.gz COOKLERRIRWGBF-AWEZNQCLSA-N 0 0 449.265 -0.231 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccc(Br)cc2)cn1 ZINC000485116390 1073513301 /nfs/dbraw/zinc/51/33/01/1073513301.db2.gz COOKLERRIRWGBF-CQSZACIVSA-N 0 0 449.265 -0.231 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000485117169 1073513407 /nfs/dbraw/zinc/51/34/07/1073513407.db2.gz ATZOAYRPQBEZDB-GOSISDBHSA-N 0 0 442.469 -0.491 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000485117170 1073513169 /nfs/dbraw/zinc/51/31/69/1073513169.db2.gz ATZOAYRPQBEZDB-SFHVURJKSA-N 0 0 442.469 -0.491 20 0 IBADRN CCN1CN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000485120044 1073513909 /nfs/dbraw/zinc/51/39/09/1073513909.db2.gz HSXSTYUICGLHET-UHFFFAOYSA-N 0 0 426.495 -0.224 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccnc4c(C(N)=O)cnn43)CC2)cs1 ZINC000485120492 1073513074 /nfs/dbraw/zinc/51/30/74/1073513074.db2.gz BGTJYGPUHOSJHW-UHFFFAOYSA-N 0 0 435.491 -0.260 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CSCC(=O)N2CCOCC2)cc1 ZINC000485122849 1073514325 /nfs/dbraw/zinc/51/43/25/1073514325.db2.gz QCFISNUCNULZLX-UHFFFAOYSA-N 0 0 445.563 -0.181 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ncccc3O)CC2)cs1 ZINC000485123971 1073514364 /nfs/dbraw/zinc/51/43/64/1073514364.db2.gz LCPLALACBKWOOB-UHFFFAOYSA-N 0 0 425.492 -0.185 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)cc1S(=O)(=O)N(C)C ZINC000485127210 1073513727 /nfs/dbraw/zinc/51/37/27/1073513727.db2.gz ZVIONAPGRBRGLX-GOSISDBHSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)cc1S(=O)(=O)N(C)C ZINC000485127213 1073513853 /nfs/dbraw/zinc/51/38/53/1073513853.db2.gz ZVIONAPGRBRGLX-SFHVURJKSA-N 0 0 426.495 -0.002 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000485127755 1073514305 /nfs/dbraw/zinc/51/43/05/1073514305.db2.gz MNTAFNPNWIPZDP-HRAATJIYSA-N 0 0 430.461 -0.637 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000485127763 1073514314 /nfs/dbraw/zinc/51/43/14/1073514314.db2.gz MNTAFNPNWIPZDP-IERDGZPVSA-N 0 0 430.461 -0.637 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000485127766 1073514419 /nfs/dbraw/zinc/51/44/19/1073514419.db2.gz MNTAFNPNWIPZDP-IIBYNOLFSA-N 0 0 430.461 -0.637 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000485127769 1073514242 /nfs/dbraw/zinc/51/42/42/1073514242.db2.gz MNTAFNPNWIPZDP-KKSFZXQISA-N 0 0 430.461 -0.637 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@](C)(C(N)=O)C3)c2)CC1 ZINC000485128193 1073513783 /nfs/dbraw/zinc/51/37/83/1073513783.db2.gz DBDBJGBHXIUNHK-IBGZPJMESA-N 0 0 437.522 -0.715 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@](C)(C(N)=O)C3)c2)CC1 ZINC000485128195 1073514174 /nfs/dbraw/zinc/51/41/74/1073514174.db2.gz DBDBJGBHXIUNHK-LJQANCHMSA-N 0 0 437.522 -0.715 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC000485130244 1073514187 /nfs/dbraw/zinc/51/41/87/1073514187.db2.gz CGUMONYDFHDWML-IBGZPJMESA-N 0 0 438.506 -0.322 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC000485130255 1073514158 /nfs/dbraw/zinc/51/41/58/1073514158.db2.gz CGUMONYDFHDWML-LJQANCHMSA-N 0 0 438.506 -0.322 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC000485131392 1073514227 /nfs/dbraw/zinc/51/42/27/1073514227.db2.gz JIZRZFIQXVMAHD-IBGZPJMESA-N 0 0 438.506 -0.408 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC000485131394 1073514390 /nfs/dbraw/zinc/51/43/90/1073514390.db2.gz JIZRZFIQXVMAHD-LJQANCHMSA-N 0 0 438.506 -0.408 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)ccc1OC ZINC000485131582 1073514276 /nfs/dbraw/zinc/51/42/76/1073514276.db2.gz XHXXFCMANUMRPA-GOSISDBHSA-N 0 0 442.494 -0.718 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)ccc1OC ZINC000485131583 1073514139 /nfs/dbraw/zinc/51/41/39/1073514139.db2.gz XHXXFCMANUMRPA-SFHVURJKSA-N 0 0 442.494 -0.718 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1ccc(Br)cc1)S(N)(=O)=O ZINC000485131930 1073514288 /nfs/dbraw/zinc/51/42/88/1073514288.db2.gz OFGXKCWMNNHVDM-BXKDBHETSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1ccc(Br)cc1)S(N)(=O)=O ZINC000485131931 1073514341 /nfs/dbraw/zinc/51/43/41/1073514341.db2.gz OFGXKCWMNNHVDM-CABZTGNLSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCNC(=O)[C@H]1c1ccc(Br)cc1)S(N)(=O)=O ZINC000485131932 1073514256 /nfs/dbraw/zinc/51/42/56/1073514256.db2.gz OFGXKCWMNNHVDM-JOYOIKCWSA-N 0 0 447.311 -0.758 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCNC(=O)[C@@H]1c1ccc(Br)cc1)S(N)(=O)=O ZINC000485131933 1073514298 /nfs/dbraw/zinc/51/42/98/1073514298.db2.gz OFGXKCWMNNHVDM-SKDRFNHKSA-N 0 0 447.311 -0.758 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC000485134569 1073514197 /nfs/dbraw/zinc/51/41/97/1073514197.db2.gz VYLMQBMKYMFKTD-NRFANRHFSA-N 0 0 431.493 -0.255 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC000485134572 1073514399 /nfs/dbraw/zinc/51/43/99/1073514399.db2.gz VYLMQBMKYMFKTD-OAQYLSRUSA-N 0 0 431.493 -0.255 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC000485135278 1073514349 /nfs/dbraw/zinc/51/43/49/1073514349.db2.gz YHWAGDSSFJAFFH-GOSISDBHSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC000485135656 1073514762 /nfs/dbraw/zinc/51/47/62/1073514762.db2.gz YHWAGDSSFJAFFH-SFHVURJKSA-N 0 0 426.495 -0.002 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000485137012 1073514702 /nfs/dbraw/zinc/51/47/02/1073514702.db2.gz MWNIBGUFVCPWOK-DOTOQJQBSA-N 0 0 435.477 -0.139 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000485137021 1073514720 /nfs/dbraw/zinc/51/47/20/1073514720.db2.gz MWNIBGUFVCPWOK-NVXWUHKLSA-N 0 0 435.477 -0.139 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000485137023 1073514733 /nfs/dbraw/zinc/51/47/33/1073514733.db2.gz MWNIBGUFVCPWOK-RDJZCZTQSA-N 0 0 435.477 -0.139 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000485137025 1073514959 /nfs/dbraw/zinc/51/49/59/1073514959.db2.gz MWNIBGUFVCPWOK-WBVHZDCISA-N 0 0 435.477 -0.139 20 0 IBADRN CCN1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)c(OC)c3)CC2)CC1=O ZINC000485137231 1073514971 /nfs/dbraw/zinc/51/49/71/1073514971.db2.gz XAEWPFRRBYCMAT-UHFFFAOYSA-N 0 0 440.522 -0.342 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1 ZINC000485139349 1073514795 /nfs/dbraw/zinc/51/47/95/1073514795.db2.gz DUJHOKYXQCACSX-NRFANRHFSA-N 0 0 428.493 -0.197 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1 ZINC000485139352 1073514884 /nfs/dbraw/zinc/51/48/84/1073514884.db2.gz DUJHOKYXQCACSX-OAQYLSRUSA-N 0 0 428.493 -0.197 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCO)[C@@H]2CCO[C@H]2C)ccc1S(=O)(=O)N(C)C ZINC000485139573 1073514847 /nfs/dbraw/zinc/51/48/47/1073514847.db2.gz UNUGCKZLJFFBDP-GXTWGEPZSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCO)[C@H]2CCO[C@H]2C)ccc1S(=O)(=O)N(C)C ZINC000485139574 1073514750 /nfs/dbraw/zinc/51/47/50/1073514750.db2.gz UNUGCKZLJFFBDP-JSGCOSHPSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCO)[C@H]2CCO[C@@H]2C)ccc1S(=O)(=O)N(C)C ZINC000485139575 1073514674 /nfs/dbraw/zinc/51/46/74/1073514674.db2.gz UNUGCKZLJFFBDP-OCCSQVGLSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCO)[C@@H]2CCO[C@@H]2C)ccc1S(=O)(=O)N(C)C ZINC000485139576 1073514988 /nfs/dbraw/zinc/51/49/88/1073514988.db2.gz UNUGCKZLJFFBDP-TZMCWYRMSA-N 0 0 429.495 -0.118 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000485140157 1073514859 /nfs/dbraw/zinc/51/48/59/1073514859.db2.gz HGRROLJYAQPXKA-NRFANRHFSA-N 0 0 431.493 -0.589 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000485140158 1073514872 /nfs/dbraw/zinc/51/48/72/1073514872.db2.gz HGRROLJYAQPXKA-OAQYLSRUSA-N 0 0 431.493 -0.589 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](CO)[C@@H]3CCOC3)cc2)CC1 ZINC000485157963 1073514934 /nfs/dbraw/zinc/51/49/34/1073514934.db2.gz CMPXKUJGUUGBLS-MSOLQXFVSA-N 0 0 432.521 -0.297 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](CO)[C@@H]3CCOC3)cc2)CC1 ZINC000485157964 1073514999 /nfs/dbraw/zinc/51/49/99/1073514999.db2.gz CMPXKUJGUUGBLS-QZTJIDSGSA-N 0 0 432.521 -0.297 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](CO)[C@H]3CCOC3)cc2)CC1 ZINC000485157965 1073514820 /nfs/dbraw/zinc/51/48/20/1073514820.db2.gz CMPXKUJGUUGBLS-ROUUACIJSA-N 0 0 432.521 -0.297 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](CO)[C@H]3CCOC3)cc2)CC1 ZINC000485157966 1073514896 /nfs/dbraw/zinc/51/48/96/1073514896.db2.gz CMPXKUJGUUGBLS-ZWKOTPCHSA-N 0 0 432.521 -0.297 20 0 IBADRN O=C(NC[C@H](CO)[C@H]1CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000485160373 1073514919 /nfs/dbraw/zinc/51/49/19/1073514919.db2.gz HEPJXRPUDJGMBP-FCEWJHQRSA-N 0 0 447.488 -0.599 20 0 IBADRN O=C(NC[C@H](CO)[C@H]1CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000485160375 1073514776 /nfs/dbraw/zinc/51/47/76/1073514776.db2.gz HEPJXRPUDJGMBP-FRQCXROJSA-N 0 0 447.488 -0.599 20 0 IBADRN O=C(NC[C@H](CO)[C@@H]1CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000485160377 1073515548 /nfs/dbraw/zinc/51/55/48/1073515548.db2.gz HEPJXRPUDJGMBP-GPMSIDNRSA-N 0 0 447.488 -0.599 20 0 IBADRN O=C(NC[C@H](CO)[C@@H]1CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000485160379 1073515497 /nfs/dbraw/zinc/51/54/97/1073515497.db2.gz HEPJXRPUDJGMBP-MDZRGWNJSA-N 0 0 447.488 -0.599 20 0 IBADRN Cc1cc(C(=O)NCCS(=O)(=O)N(C)C)cc(C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000485160921 1073515328 /nfs/dbraw/zinc/51/53/28/1073515328.db2.gz QBLGANVLVBOCTB-UHFFFAOYSA-N 0 0 448.567 -0.763 20 0 IBADRN COC1CCC(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000485162107 1073515392 /nfs/dbraw/zinc/51/53/92/1073515392.db2.gz PBLJSWHZBGJORK-UHFFFAOYSA-N 0 0 436.513 -0.813 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000485170621 1073515341 /nfs/dbraw/zinc/51/53/41/1073515341.db2.gz BCUHFORTJADECX-APWZRJJASA-N 0 0 448.520 -0.315 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000485170626 1073515300 /nfs/dbraw/zinc/51/53/00/1073515300.db2.gz BCUHFORTJADECX-LPHOPBHVSA-N 0 0 448.520 -0.315 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000485170631 1073516119 /nfs/dbraw/zinc/51/61/19/1073516119.db2.gz BCUHFORTJADECX-QFBILLFUSA-N 0 0 448.520 -0.315 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000485170638 1073515876 /nfs/dbraw/zinc/51/58/76/1073515876.db2.gz BCUHFORTJADECX-VQIMIIECSA-N 0 0 448.520 -0.315 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](CO)[C@H]1CCOC1 ZINC000485170682 1073515965 /nfs/dbraw/zinc/51/59/65/1073515965.db2.gz AGFWRCUXSJFYAV-KBPBESRZSA-N 0 0 442.538 -0.297 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](CO)[C@@H]1CCOC1 ZINC000485170686 1073516075 /nfs/dbraw/zinc/51/60/75/1073516075.db2.gz AGFWRCUXSJFYAV-KGLIPLIRSA-N 0 0 442.538 -0.297 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](CO)[C@H]1CCOC1 ZINC000485170704 1073516105 /nfs/dbraw/zinc/51/61/05/1073516105.db2.gz AGFWRCUXSJFYAV-UONOGXRCSA-N 0 0 442.538 -0.297 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](CO)[C@@H]1CCOC1 ZINC000485170709 1073516001 /nfs/dbraw/zinc/51/60/01/1073516001.db2.gz AGFWRCUXSJFYAV-ZIAGYGMSSA-N 0 0 442.538 -0.297 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000485171353 1073515522 /nfs/dbraw/zinc/51/55/22/1073515522.db2.gz CABQEAXAECICOH-CXAGYDPISA-N 0 0 425.507 -0.059 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000485171354 1073515350 /nfs/dbraw/zinc/51/53/50/1073515350.db2.gz CABQEAXAECICOH-DYVFJYSZSA-N 0 0 425.507 -0.059 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000485171355 1073515444 /nfs/dbraw/zinc/51/54/44/1073515444.db2.gz CABQEAXAECICOH-GUYCJALGSA-N 0 0 425.507 -0.059 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000485171356 1073515485 /nfs/dbraw/zinc/51/54/85/1073515485.db2.gz CABQEAXAECICOH-SUMWQHHRSA-N 0 0 425.507 -0.059 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)Nc2cc(C(=O)NCCC(N)=O)ccc2F)cn1C ZINC000485177220 1073515414 /nfs/dbraw/zinc/51/54/14/1073515414.db2.gz YHGMMNATHXZRDF-UHFFFAOYSA-N 0 0 425.442 -0.070 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000485177913 1073516407 /nfs/dbraw/zinc/51/64/07/1073516407.db2.gz OSGMOOQBTOWRTD-JYYAWHABSA-N 0 0 437.497 -0.544 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000485177914 1073516456 /nfs/dbraw/zinc/51/64/56/1073516456.db2.gz OSGMOOQBTOWRTD-KCYZZUKISA-N 0 0 437.497 -0.544 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000485177915 1073516499 /nfs/dbraw/zinc/51/64/99/1073516499.db2.gz OSGMOOQBTOWRTD-PNBKFKSVSA-N 0 0 437.497 -0.544 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000485177916 1073516398 /nfs/dbraw/zinc/51/63/98/1073516398.db2.gz OSGMOOQBTOWRTD-QSJFSLAZSA-N 0 0 437.497 -0.544 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000485179241 1073516473 /nfs/dbraw/zinc/51/64/73/1073516473.db2.gz QUSRZUZGKIBRLL-PBHICJAKSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000485179242 1073516562 /nfs/dbraw/zinc/51/65/62/1073516562.db2.gz QUSRZUZGKIBRLL-RHSMWYFYSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000485179243 1073516414 /nfs/dbraw/zinc/51/64/14/1073516414.db2.gz QUSRZUZGKIBRLL-WMLDXEAASA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000485179244 1073516462 /nfs/dbraw/zinc/51/64/62/1073516462.db2.gz QUSRZUZGKIBRLL-YOEHRIQHSA-N 0 0 441.506 -0.356 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCO)[C@H]1CCO[C@H]1C)CC2 ZINC000485179567 1073516482 /nfs/dbraw/zinc/51/64/82/1073516482.db2.gz YYROSRJUOACFMS-BBRMVZONSA-N 0 0 425.507 -0.128 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCO)[C@H]1CCO[C@@H]1C)CC2 ZINC000485179568 1073516509 /nfs/dbraw/zinc/51/65/09/1073516509.db2.gz YYROSRJUOACFMS-CJNGLKHVSA-N 0 0 425.507 -0.128 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCO)[C@@H]1CCO[C@@H]1C)CC2 ZINC000485179569 1073516447 /nfs/dbraw/zinc/51/64/47/1073516447.db2.gz YYROSRJUOACFMS-CZUORRHYSA-N 0 0 425.507 -0.128 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCO)[C@@H]1CCO[C@H]1C)CC2 ZINC000485179570 1073516490 /nfs/dbraw/zinc/51/64/90/1073516490.db2.gz YYROSRJUOACFMS-XJKSGUPXSA-N 0 0 425.507 -0.128 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000485180689 1073516903 /nfs/dbraw/zinc/51/69/03/1073516903.db2.gz DUYRIAMAUHCWFB-CRAIPNDOSA-N 0 0 434.493 -0.106 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000485180690 1073516939 /nfs/dbraw/zinc/51/69/39/1073516939.db2.gz DUYRIAMAUHCWFB-MAUKXSAKSA-N 0 0 434.493 -0.106 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000485180691 1073517143 /nfs/dbraw/zinc/51/71/43/1073517143.db2.gz DUYRIAMAUHCWFB-QAPCUYQASA-N 0 0 434.493 -0.106 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000485180692 1073516950 /nfs/dbraw/zinc/51/69/50/1073516950.db2.gz DUYRIAMAUHCWFB-YJBOKZPZSA-N 0 0 434.493 -0.106 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000485184108 1073515890 /nfs/dbraw/zinc/51/58/90/1073515890.db2.gz NWHHAGHXJFHKBM-DZGCQCFKSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000485184109 1073515950 /nfs/dbraw/zinc/51/59/50/1073515950.db2.gz NWHHAGHXJFHKBM-HIFRSBDPSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000485184110 1073515934 /nfs/dbraw/zinc/51/59/34/1073515934.db2.gz NWHHAGHXJFHKBM-UKRRQHHQSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000485184111 1073516090 /nfs/dbraw/zinc/51/60/90/1073516090.db2.gz NWHHAGHXJFHKBM-ZFWWWQNUSA-N 0 0 426.495 -0.197 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCO[C@H]2C)cc1 ZINC000485185015 1073516061 /nfs/dbraw/zinc/51/60/61/1073516061.db2.gz CMXLUUAGVTUIKN-BBRMVZONSA-N 0 0 429.495 -0.452 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCO[C@@H]2C)cc1 ZINC000485185016 1073515903 /nfs/dbraw/zinc/51/59/03/1073515903.db2.gz CMXLUUAGVTUIKN-CJNGLKHVSA-N 0 0 429.495 -0.452 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCO[C@@H]2C)cc1 ZINC000485185017 1073515918 /nfs/dbraw/zinc/51/59/18/1073515918.db2.gz CMXLUUAGVTUIKN-CZUORRHYSA-N 0 0 429.495 -0.452 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCO[C@H]2C)cc1 ZINC000485185018 1073515862 /nfs/dbraw/zinc/51/58/62/1073515862.db2.gz CMXLUUAGVTUIKN-XJKSGUPXSA-N 0 0 429.495 -0.452 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000485187346 1073515985 /nfs/dbraw/zinc/51/59/85/1073515985.db2.gz HLAHPUIDCOXAPX-BXWFABGCSA-N 0 0 447.488 -0.362 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000485187347 1073516043 /nfs/dbraw/zinc/51/60/43/1073516043.db2.gz HLAHPUIDCOXAPX-FCEWJHQRSA-N 0 0 447.488 -0.362 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000485187348 1073516388 /nfs/dbraw/zinc/51/63/88/1073516388.db2.gz HLAHPUIDCOXAPX-FRQCXROJSA-N 0 0 447.488 -0.362 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000485187349 1073516539 /nfs/dbraw/zinc/51/65/39/1073516539.db2.gz HLAHPUIDCOXAPX-TXPKVOOTSA-N 0 0 447.488 -0.362 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H](CO)[C@@H]1CCOC1)CC2 ZINC000485189372 1073516430 /nfs/dbraw/zinc/51/64/30/1073516430.db2.gz LHEJMYCEVILLDX-CABCVRRESA-N 0 0 425.507 -0.365 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H](CO)[C@H]1CCOC1)CC2 ZINC000485189374 1073516534 /nfs/dbraw/zinc/51/65/34/1073516534.db2.gz LHEJMYCEVILLDX-GJZGRUSLSA-N 0 0 425.507 -0.365 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H](CO)[C@@H]1CCOC1)CC2 ZINC000485189375 1073516517 /nfs/dbraw/zinc/51/65/17/1073516517.db2.gz LHEJMYCEVILLDX-HUUCEWRRSA-N 0 0 425.507 -0.365 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H](CO)[C@H]1CCOC1)CC2 ZINC000485189377 1073516526 /nfs/dbraw/zinc/51/65/26/1073516526.db2.gz LHEJMYCEVILLDX-LSDHHAIUSA-N 0 0 425.507 -0.365 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000485190059 1073516372 /nfs/dbraw/zinc/51/63/72/1073516372.db2.gz CRHOIOKNLKSPAU-INIZCTEOSA-N 0 0 431.493 -0.046 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000485190063 1073516552 /nfs/dbraw/zinc/51/65/52/1073516552.db2.gz CRHOIOKNLKSPAU-MRXNPFEDSA-N 0 0 431.493 -0.046 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(CCO)[C@H]1CCO[C@@H]1C ZINC000485192673 1073517652 /nfs/dbraw/zinc/51/76/52/1073517652.db2.gz DUIWAMVEIOMTTF-DOMZBBRYSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(CCO)[C@@H]1CCO[C@@H]1C ZINC000485192675 1073517556 /nfs/dbraw/zinc/51/75/56/1073517556.db2.gz DUIWAMVEIOMTTF-IUODEOHRSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(CCO)[C@@H]1CCO[C@H]1C ZINC000485192676 1073517570 /nfs/dbraw/zinc/51/75/70/1073517570.db2.gz DUIWAMVEIOMTTF-SWLSCSKDSA-N 0 0 429.495 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(CCO)[C@H]1CCO[C@H]1C ZINC000485192677 1073517664 /nfs/dbraw/zinc/51/76/64/1073517664.db2.gz DUIWAMVEIOMTTF-WFASDCNBSA-N 0 0 429.495 -0.118 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000485193479 1073517627 /nfs/dbraw/zinc/51/76/27/1073517627.db2.gz GJKJEAMFGWDQOQ-BBRMVZONSA-N 0 0 442.538 -0.060 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000485193480 1073517483 /nfs/dbraw/zinc/51/74/83/1073517483.db2.gz GJKJEAMFGWDQOQ-CJNGLKHVSA-N 0 0 442.538 -0.060 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000485193481 1073517522 /nfs/dbraw/zinc/51/75/22/1073517522.db2.gz GJKJEAMFGWDQOQ-CZUORRHYSA-N 0 0 442.538 -0.060 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000485193482 1073517601 /nfs/dbraw/zinc/51/76/01/1073517601.db2.gz GJKJEAMFGWDQOQ-XJKSGUPXSA-N 0 0 442.538 -0.060 20 0 IBADRN O=C(NC[C@@H](CO)[C@@H]1CCOC1)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000485196006 1073517451 /nfs/dbraw/zinc/51/74/51/1073517451.db2.gz LOWWTAULHMDUEL-NEPJUHHUSA-N 0 0 429.470 -0.034 20 0 IBADRN O=C(NC[C@H](CO)[C@H]1CCOC1)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000485196014 1073517491 /nfs/dbraw/zinc/51/74/91/1073517491.db2.gz LOWWTAULHMDUEL-NWDGAFQWSA-N 0 0 429.470 -0.034 20 0 IBADRN O=C(NC[C@@H](CO)[C@H]1CCOC1)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000485196015 1073517499 /nfs/dbraw/zinc/51/74/99/1073517499.db2.gz LOWWTAULHMDUEL-RYUDHWBXSA-N 0 0 429.470 -0.034 20 0 IBADRN O=C(NC[C@H](CO)[C@@H]1CCOC1)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000485196016 1073517674 /nfs/dbraw/zinc/51/76/74/1073517674.db2.gz LOWWTAULHMDUEL-VXGBXAGGSA-N 0 0 429.470 -0.034 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc(N4CCOCC4)nc3)C2=O)cn1 ZINC000485199190 1073517547 /nfs/dbraw/zinc/51/75/47/1073517547.db2.gz RCRSUQOUNQVSOR-INIZCTEOSA-N 0 0 427.465 -0.098 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc(N4CCOCC4)nc3)C2=O)cn1 ZINC000485199192 1073517618 /nfs/dbraw/zinc/51/76/18/1073517618.db2.gz RCRSUQOUNQVSOR-MRXNPFEDSA-N 0 0 427.465 -0.098 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000485201251 1073517060 /nfs/dbraw/zinc/51/70/60/1073517060.db2.gz COUDSNBUDIHCGV-APWZRJJASA-N 0 0 432.521 -0.060 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000485201252 1073517099 /nfs/dbraw/zinc/51/70/99/1073517099.db2.gz COUDSNBUDIHCGV-LPHOPBHVSA-N 0 0 432.521 -0.060 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000485201253 1073516917 /nfs/dbraw/zinc/51/69/17/1073516917.db2.gz COUDSNBUDIHCGV-QFBILLFUSA-N 0 0 432.521 -0.060 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000485201254 1073516985 /nfs/dbraw/zinc/51/69/85/1073516985.db2.gz COUDSNBUDIHCGV-VQIMIIECSA-N 0 0 432.521 -0.060 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc(N4CCOCC4)nc3)C2=O)n1 ZINC000485201271 1073517017 /nfs/dbraw/zinc/51/70/17/1073517017.db2.gz CTWSKPXLVSXAKW-HNNXBMFYSA-N 0 0 427.465 -0.098 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc(N4CCOCC4)nc3)C2=O)n1 ZINC000485201272 1073517004 /nfs/dbraw/zinc/51/70/04/1073517004.db2.gz CTWSKPXLVSXAKW-OAHLLOKOSA-N 0 0 427.465 -0.098 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)no1 ZINC000485201992 1073516974 /nfs/dbraw/zinc/51/69/74/1073516974.db2.gz ZGZASIBAFKOQIB-UHFFFAOYSA-N 0 0 427.421 -0.273 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2nc3cc(Cl)ccc3s2)CC1 ZINC000485202059 1073517037 /nfs/dbraw/zinc/51/70/37/1073517037.db2.gz IQFGGXFPTDTBKQ-UHFFFAOYSA-N 0 0 448.896 -0.077 20 0 IBADRN O=C(CN1CCCS1(=O)=O)Nc1cc(F)cc(NC(=O)CN2CCCS2(=O)=O)c1 ZINC000485202152 1073516888 /nfs/dbraw/zinc/51/68/88/1073516888.db2.gz GWDLPNTVPGXAFZ-UHFFFAOYSA-N 0 0 448.498 -0.226 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000485203369 1073517079 /nfs/dbraw/zinc/51/70/79/1073517079.db2.gz YTYXEXJYJWRBSX-PBHICJAKSA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000485203370 1073517091 /nfs/dbraw/zinc/51/70/91/1073517091.db2.gz YTYXEXJYJWRBSX-RHSMWYFYSA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000485203371 1073517119 /nfs/dbraw/zinc/51/71/19/1073517119.db2.gz YTYXEXJYJWRBSX-WMLDXEAASA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000485203372 1073517049 /nfs/dbraw/zinc/51/70/49/1073517049.db2.gz YTYXEXJYJWRBSX-YOEHRIQHSA-N 0 0 425.507 -0.142 20 0 IBADRN Cn1cc(C(=O)Nc2cc(F)cc(NC(=O)c3cn(C)c(=O)[nH]c3=O)c2)c(=O)[nH]c1=O ZINC000485203423 1073517130 /nfs/dbraw/zinc/51/71/30/1073517130.db2.gz ZEKUSZKQONOIDS-UHFFFAOYSA-N 0 0 430.352 -0.071 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC1=O ZINC000485205961 1073518244 /nfs/dbraw/zinc/51/82/44/1073518244.db2.gz DSSGVRGBKQOUQS-UHFFFAOYSA-N 0 0 431.493 -0.054 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCO[C@H]2C)cc1 ZINC000485205981 1073518076 /nfs/dbraw/zinc/51/80/76/1073518076.db2.gz LLFPNOSRDUDUMY-GRDNDAEWSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCO[C@@H]2C)cc1 ZINC000485205992 1073518145 /nfs/dbraw/zinc/51/81/45/1073518145.db2.gz LLFPNOSRDUDUMY-JJRVBVJISA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCO[C@@H]2C)cc1 ZINC000485205994 1073518220 /nfs/dbraw/zinc/51/82/20/1073518220.db2.gz LLFPNOSRDUDUMY-VBQJREDUSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCO[C@H]2C)cc1 ZINC000485205997 1073518650 /nfs/dbraw/zinc/51/86/50/1073518650.db2.gz LLFPNOSRDUDUMY-ZQIUZPCESA-N 0 0 443.522 -0.063 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)CC1 ZINC000485207319 1073518624 /nfs/dbraw/zinc/51/86/24/1073518624.db2.gz NPZNUJNUCKBIQE-UHFFFAOYSA-N 0 0 432.525 -0.131 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000485207627 1073518767 /nfs/dbraw/zinc/51/87/67/1073518767.db2.gz VXZWJCVLKAGAHM-ZDUSSCGKSA-N 0 0 427.479 -0.098 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000485208215 1073518547 /nfs/dbraw/zinc/51/85/47/1073518547.db2.gz ILSWCRLWGNTPRP-UHFFFAOYSA-N 0 0 444.897 -0.052 20 0 IBADRN C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485209593 1073517512 /nfs/dbraw/zinc/51/75/12/1073517512.db2.gz ROOJXYJTXDYMRA-PBHICJAKSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485209594 1073517608 /nfs/dbraw/zinc/51/76/08/1073517608.db2.gz ROOJXYJTXDYMRA-RHSMWYFYSA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485209595 1073518182 /nfs/dbraw/zinc/51/81/82/1073518182.db2.gz ROOJXYJTXDYMRA-WMLDXEAASA-N 0 0 441.506 -0.356 20 0 IBADRN C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485209596 1073518206 /nfs/dbraw/zinc/51/82/06/1073518206.db2.gz ROOJXYJTXDYMRA-YOEHRIQHSA-N 0 0 441.506 -0.356 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CCN(c2ccc3nncn3n2)CC1 ZINC000485212469 1073518060 /nfs/dbraw/zinc/51/80/60/1073518060.db2.gz VXPUNRCAQTWTCV-UHFFFAOYSA-N 0 0 437.464 -0.357 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(CC)CC3)c2)CC1=O ZINC000485212913 1073517962 /nfs/dbraw/zinc/51/79/62/1073517962.db2.gz VUIGXJPRYAUMTD-UHFFFAOYSA-N 0 0 437.522 -0.401 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3ccc(N4CCOCC4)nc3)CCO2)n1 ZINC000485215712 1073517936 /nfs/dbraw/zinc/51/79/36/1073517936.db2.gz WVTQFHYBQDJESU-KRWDZBQOSA-N 0 0 429.481 -0.007 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3ccc(N4CCOCC4)nc3)CCO2)n1 ZINC000485215788 1073518008 /nfs/dbraw/zinc/51/80/08/1073518008.db2.gz WVTQFHYBQDJESU-QGZVFWFLSA-N 0 0 429.481 -0.007 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)CC1 ZINC000485216180 1073518107 /nfs/dbraw/zinc/51/81/07/1073518107.db2.gz VUCYKVJBRNBUMK-HNNXBMFYSA-N 0 0 430.509 -0.332 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)CC1 ZINC000485216181 1073518193 /nfs/dbraw/zinc/51/81/93/1073518193.db2.gz VUCYKVJBRNBUMK-OAHLLOKOSA-N 0 0 430.509 -0.332 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(CCO)[C@H]2CCO[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000485218290 1073517986 /nfs/dbraw/zinc/51/79/86/1073517986.db2.gz YKYVNRFPSFVEAR-ABAIWWIYSA-N 0 0 428.463 -0.187 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(CCO)[C@@H]2CCO[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000485218291 1073518094 /nfs/dbraw/zinc/51/80/94/1073518094.db2.gz YKYVNRFPSFVEAR-IAQYHMDHSA-N 0 0 428.463 -0.187 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(CCO)[C@H]2CCO[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000485218292 1073518047 /nfs/dbraw/zinc/51/80/47/1073518047.db2.gz YKYVNRFPSFVEAR-NHYWBVRUSA-N 0 0 428.463 -0.187 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(CCO)[C@@H]2CCO[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000485218293 1073518028 /nfs/dbraw/zinc/51/80/28/1073518028.db2.gz YKYVNRFPSFVEAR-XHDPSFHLSA-N 0 0 428.463 -0.187 20 0 IBADRN CCOC(=O)c1ccn(-c2cccc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c2)n1 ZINC000485220018 1073518588 /nfs/dbraw/zinc/51/85/88/1073518588.db2.gz WADAIXNDKYLXIO-GFCCVEGCSA-N 0 0 437.478 -0.542 20 0 IBADRN CCOC(=O)c1ccn(-c2cccc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c2)n1 ZINC000485220022 1073518730 /nfs/dbraw/zinc/51/87/30/1073518730.db2.gz WADAIXNDKYLXIO-LBPRGKRZSA-N 0 0 437.478 -0.542 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000485220048 1073518609 /nfs/dbraw/zinc/51/86/09/1073518609.db2.gz WEDQBTYCIVCSQN-AWEZNQCLSA-N 0 0 429.495 -0.986 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000485220050 1073518719 /nfs/dbraw/zinc/51/87/19/1073518719.db2.gz WEDQBTYCIVCSQN-CQSZACIVSA-N 0 0 429.495 -0.986 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCO[C@@H](C)C1 ZINC000485220566 1073518170 /nfs/dbraw/zinc/51/81/70/1073518170.db2.gz DFCDORRJXHHUGS-ZDUSSCGKSA-N 0 0 427.479 -0.098 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)N(CCO)CCN1CCOCC1 ZINC000485221158 1073269037 /nfs/dbraw/zinc/26/90/37/1073269037.db2.gz FDDNAQDJTZIOSJ-UHFFFAOYSA-N 0 0 434.946 -0.046 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(CCO)Cc1ccc(Br)s1)S(N)(=O)=O ZINC000485222475 1073518673 /nfs/dbraw/zinc/51/86/73/1073518673.db2.gz SWOPFHGCOPZANW-MRVPVSSYSA-N 0 0 428.330 -0.375 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(CCO)Cc1ccc(Br)s1)S(N)(=O)=O ZINC000485222476 1073518566 /nfs/dbraw/zinc/51/85/66/1073518566.db2.gz SWOPFHGCOPZANW-QMMMGPOBSA-N 0 0 428.330 -0.375 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000485226063 1073518520 /nfs/dbraw/zinc/51/85/20/1073518520.db2.gz KCXBLZIHKUGYFC-AWEZNQCLSA-N 0 0 434.478 -0.199 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1=O ZINC000485226064 1073518695 /nfs/dbraw/zinc/51/86/95/1073518695.db2.gz KCXBLZIHKUGYFC-CQSZACIVSA-N 0 0 434.478 -0.199 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1=O ZINC000485227479 1073518779 /nfs/dbraw/zinc/51/87/79/1073518779.db2.gz FLEXVUZYNBUCHF-UHFFFAOYSA-N 0 0 440.478 -0.697 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)CC1=O ZINC000485227671 1073518737 /nfs/dbraw/zinc/51/87/37/1073518737.db2.gz NRKBQVYIPRZWGQ-UHFFFAOYSA-N 0 0 437.522 -0.401 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000485234742 1073519107 /nfs/dbraw/zinc/51/91/07/1073519107.db2.gz AISSOGXZWLBGTN-UHFFFAOYSA-N 0 0 440.478 -0.697 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485234811 1073519283 /nfs/dbraw/zinc/51/92/83/1073519283.db2.gz AMIAICUTRAWZPL-DOTOQJQBSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485234816 1073519266 /nfs/dbraw/zinc/51/92/66/1073519266.db2.gz AMIAICUTRAWZPL-NVXWUHKLSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485234819 1073519139 /nfs/dbraw/zinc/51/91/39/1073519139.db2.gz AMIAICUTRAWZPL-RDJZCZTQSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485234824 1073519194 /nfs/dbraw/zinc/51/91/94/1073519194.db2.gz AMIAICUTRAWZPL-WBVHZDCISA-N 0 0 427.523 -0.595 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@H](CO)OC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000485234910 1073519294 /nfs/dbraw/zinc/51/92/94/1073519294.db2.gz AUJIEGYFCOEGGD-GDBMZVCRSA-N 0 0 443.522 -0.264 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@H](CO)OC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000485234913 1073519824 /nfs/dbraw/zinc/51/98/24/1073519824.db2.gz AUJIEGYFCOEGGD-GOEBONIOSA-N 0 0 443.522 -0.264 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H](CO)OC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000485234916 1073519875 /nfs/dbraw/zinc/51/98/75/1073519875.db2.gz AUJIEGYFCOEGGD-HOCLYGCPSA-N 0 0 443.522 -0.264 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H](CO)OC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000485234918 1073519806 /nfs/dbraw/zinc/51/98/06/1073519806.db2.gz AUJIEGYFCOEGGD-ZBFHGGJFSA-N 0 0 443.522 -0.264 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000485238448 1073519716 /nfs/dbraw/zinc/51/97/16/1073519716.db2.gz ZISRFSFQSOGXCU-KBPBESRZSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000485238450 1073519723 /nfs/dbraw/zinc/51/97/23/1073519723.db2.gz ZISRFSFQSOGXCU-KGLIPLIRSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000485238453 1073519662 /nfs/dbraw/zinc/51/96/62/1073519662.db2.gz ZISRFSFQSOGXCU-UONOGXRCSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000485238456 1073519702 /nfs/dbraw/zinc/51/97/02/1073519702.db2.gz ZISRFSFQSOGXCU-ZIAGYGMSSA-N 0 0 433.477 -0.121 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(CC(N)=O)C3)c2)CC1 ZINC000485242931 1073518706 /nfs/dbraw/zinc/51/87/06/1073518706.db2.gz ITXQFQKUHBANHF-UHFFFAOYSA-N 0 0 437.522 -0.715 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)OC[C@H]3C)CC2)c1 ZINC000485244193 1073518658 /nfs/dbraw/zinc/51/86/58/1073518658.db2.gz MDUAZXXYUJXMFB-CRAIPNDOSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)OC[C@@H]3C)CC2)c1 ZINC000485244202 1073519221 /nfs/dbraw/zinc/51/92/21/1073519221.db2.gz MDUAZXXYUJXMFB-MAUKXSAKSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](CO)OC[C@H]3C)CC2)c1 ZINC000485244204 1073519179 /nfs/dbraw/zinc/51/91/79/1073519179.db2.gz MDUAZXXYUJXMFB-QAPCUYQASA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](CO)OC[C@@H]3C)CC2)c1 ZINC000485244206 1073519272 /nfs/dbraw/zinc/51/92/72/1073519272.db2.gz MDUAZXXYUJXMFB-YJBOKZPZSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)OC[C@H]3C)CC2)cc1 ZINC000485245456 1073519062 /nfs/dbraw/zinc/51/90/62/1073519062.db2.gz AQJZIBQRBUKGMG-CRAIPNDOSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](CO)OC[C@@H]3C)CC2)cc1 ZINC000485245458 1073519031 /nfs/dbraw/zinc/51/90/31/1073519031.db2.gz AQJZIBQRBUKGMG-MAUKXSAKSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](CO)OC[C@H]3C)CC2)cc1 ZINC000485245461 1073519122 /nfs/dbraw/zinc/51/91/22/1073519122.db2.gz AQJZIBQRBUKGMG-QAPCUYQASA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](CO)OC[C@@H]3C)CC2)cc1 ZINC000485245464 1073519207 /nfs/dbraw/zinc/51/92/07/1073519207.db2.gz AQJZIBQRBUKGMG-YJBOKZPZSA-N 0 0 439.534 -0.196 20 0 IBADRN CNC(=O)Cc1nc(CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cs1 ZINC000485246912 1073519159 /nfs/dbraw/zinc/51/91/59/1073519159.db2.gz XYXOGOLIOVMDLS-KBPBESRZSA-N 0 0 431.584 -0.097 20 0 IBADRN CNC(=O)Cc1nc(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cs1 ZINC000485246913 1073519231 /nfs/dbraw/zinc/51/92/31/1073519231.db2.gz XYXOGOLIOVMDLS-OKILXGFUSA-N 0 0 431.584 -0.097 20 0 IBADRN CNC(=O)Cc1nc(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cs1 ZINC000485246914 1073519254 /nfs/dbraw/zinc/51/92/54/1073519254.db2.gz XYXOGOLIOVMDLS-ZIAGYGMSSA-N 0 0 431.584 -0.097 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000485249296 1073519745 /nfs/dbraw/zinc/51/97/45/1073519745.db2.gz IEVSYLIZYFPVQT-UHFFFAOYSA-N 0 0 428.442 -0.567 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC(CC(N)=O)C2)c1 ZINC000485251678 1073519854 /nfs/dbraw/zinc/51/98/54/1073519854.db2.gz HVKSXYOEHAFERR-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOC(=O)c1ccn(-c2cccc(CNC(=O)C(=O)Nc3cnn(CC(N)=O)c3)c2)n1 ZINC000485251750 1073519680 /nfs/dbraw/zinc/51/96/80/1073519680.db2.gz LXYLMIVZCVSJPI-UHFFFAOYSA-N 0 0 439.432 -0.014 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000485257870 1073519641 /nfs/dbraw/zinc/51/96/41/1073519641.db2.gz YNNAJXZEPYKWCB-DOTOQJQBSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000485257871 1073519695 /nfs/dbraw/zinc/51/96/95/1073519695.db2.gz YNNAJXZEPYKWCB-NVXWUHKLSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000485257872 1073519846 /nfs/dbraw/zinc/51/98/46/1073519846.db2.gz YNNAJXZEPYKWCB-RDJZCZTQSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000485257873 1073519814 /nfs/dbraw/zinc/51/98/14/1073519814.db2.gz YNNAJXZEPYKWCB-WBVHZDCISA-N 0 0 427.523 -0.595 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(CC(N)=O)C3)c2)CC1 ZINC000485262881 1073519758 /nfs/dbraw/zinc/51/97/58/1073519758.db2.gz RONYLIMDRFOBNM-UHFFFAOYSA-N 0 0 437.522 -0.715 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccccc1 ZINC000485263952 1073520232 /nfs/dbraw/zinc/52/02/32/1073520232.db2.gz GJIFNLCNKJZKDF-HNNXBMFYSA-N 0 0 438.444 -0.436 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccccc1 ZINC000485263954 1073520487 /nfs/dbraw/zinc/52/04/87/1073520487.db2.gz GJIFNLCNKJZKDF-OAHLLOKOSA-N 0 0 438.444 -0.436 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@@H](CO)OC[C@@H]2C)c(=O)[nH]c1=O ZINC000485264268 1073520330 /nfs/dbraw/zinc/52/03/30/1073520330.db2.gz QAEVNNHOXZUHLH-KBPBESRZSA-N 0 0 427.502 -0.608 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@@H](CO)OC[C@H]2C)c(=O)[nH]c1=O ZINC000485264560 1073520268 /nfs/dbraw/zinc/52/02/68/1073520268.db2.gz QAEVNNHOXZUHLH-KGLIPLIRSA-N 0 0 427.502 -0.608 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@H](CO)OC[C@@H]2C)c(=O)[nH]c1=O ZINC000485264561 1073520468 /nfs/dbraw/zinc/52/04/68/1073520468.db2.gz QAEVNNHOXZUHLH-UONOGXRCSA-N 0 0 427.502 -0.608 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@H](CO)OC[C@H]2C)c(=O)[nH]c1=O ZINC000485264562 1073520476 /nfs/dbraw/zinc/52/04/76/1073520476.db2.gz QAEVNNHOXZUHLH-ZIAGYGMSSA-N 0 0 427.502 -0.608 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)NCC(F)F ZINC000485271883 1073520838 /nfs/dbraw/zinc/52/08/38/1073520838.db2.gz HNPUJADFRAGEFB-UHFFFAOYSA-N 0 0 448.448 -0.548 20 0 IBADRN COC(=O)C[C@@H](NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)OC ZINC000485272812 1073520350 /nfs/dbraw/zinc/52/03/50/1073520350.db2.gz MZOWPVFTXXZIMQ-GFCCVEGCSA-N 0 0 434.492 -0.468 20 0 IBADRN COC(=O)C[C@H](NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)OC ZINC000485272813 1073520196 /nfs/dbraw/zinc/52/01/96/1073520196.db2.gz MZOWPVFTXXZIMQ-LBPRGKRZSA-N 0 0 434.492 -0.468 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@]2(CCCOC2)O1 ZINC000485273547 1074352540 /nfs/dbraw/zinc/35/25/40/1074352540.db2.gz PDLPMWBZAIXVGL-FOIQADDNSA-N 0 0 435.481 -0.523 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@]2(CCCOC2)O1 ZINC000485273548 1074352624 /nfs/dbraw/zinc/35/26/24/1074352624.db2.gz PDLPMWBZAIXVGL-MGPUTAFESA-N 0 0 435.481 -0.523 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@]2(CCCOC2)O1 ZINC000485273549 1074352664 /nfs/dbraw/zinc/35/26/64/1074352664.db2.gz PDLPMWBZAIXVGL-QRWLVFNGSA-N 0 0 435.481 -0.523 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@]2(CCCOC2)O1 ZINC000485273550 1074352551 /nfs/dbraw/zinc/35/25/51/1074352551.db2.gz PDLPMWBZAIXVGL-YWZLYKJASA-N 0 0 435.481 -0.523 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@]2(CCCOC2)O1 ZINC000485274416 1073520404 /nfs/dbraw/zinc/52/04/04/1073520404.db2.gz LNTJLAMVTGHBLP-FKIZINRSSA-N 0 0 431.449 -0.633 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@]2(CCCOC2)O1 ZINC000485274417 1073520250 /nfs/dbraw/zinc/52/02/50/1073520250.db2.gz LNTJLAMVTGHBLP-MPBGBICISA-N 0 0 431.449 -0.633 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@]2(CCCOC2)O1 ZINC000485274418 1073520377 /nfs/dbraw/zinc/52/03/77/1073520377.db2.gz LNTJLAMVTGHBLP-ODXCJYRJSA-N 0 0 431.449 -0.633 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@]2(CCCOC2)O1 ZINC000485274419 1073520420 /nfs/dbraw/zinc/52/04/20/1073520420.db2.gz LNTJLAMVTGHBLP-YUNKPMOVSA-N 0 0 431.449 -0.633 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@]2(CCCOC2)O1 ZINC000485276568 1073520216 /nfs/dbraw/zinc/52/02/16/1073520216.db2.gz VJVVZEINZUPQSO-FOIQADDNSA-N 0 0 435.481 -0.523 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@]2(CCCOC2)O1 ZINC000485276570 1073520411 /nfs/dbraw/zinc/52/04/11/1073520411.db2.gz VJVVZEINZUPQSO-MGPUTAFESA-N 0 0 435.481 -0.523 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@]2(CCCOC2)O1 ZINC000485276572 1073520282 /nfs/dbraw/zinc/52/02/82/1073520282.db2.gz VJVVZEINZUPQSO-QRWLVFNGSA-N 0 0 435.481 -0.523 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@]2(CCCOC2)O1 ZINC000485276574 1073520440 /nfs/dbraw/zinc/52/04/40/1073520440.db2.gz VJVVZEINZUPQSO-YWZLYKJASA-N 0 0 435.481 -0.523 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCC(F)F ZINC000485285034 1073520977 /nfs/dbraw/zinc/52/09/77/1073520977.db2.gz IUWVASYAANRAIY-UHFFFAOYSA-N 0 0 434.421 -0.857 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3CCN(S(C)(=O)=O)CC3)CC2)cs1 ZINC000485285281 1073521083 /nfs/dbraw/zinc/52/10/83/1073521083.db2.gz LCJQUVTXXKDCQT-UHFFFAOYSA-N 0 0 436.581 -0.044 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)NCCNS(C)(=O)=O)CC2)cc1 ZINC000485289023 1073520990 /nfs/dbraw/zinc/52/09/90/1073520990.db2.gz HJZMYCFQFVODKM-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN COc1cc(NC(=O)C(=O)NCC(=O)NCC(F)F)ccc1S(=O)(=O)N1CCCC1 ZINC000485299326 1073521589 /nfs/dbraw/zinc/52/15/89/1073521589.db2.gz BIPXYFQCDYKFBG-UHFFFAOYSA-N 0 0 448.448 -0.084 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)NCC(F)F ZINC000485299750 1073521644 /nfs/dbraw/zinc/52/16/44/1073521644.db2.gz CNJDJJZODSAAFG-UHFFFAOYSA-N 0 0 435.453 -0.561 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3cccnc3)CC2)cn1C ZINC000485300751 1073521599 /nfs/dbraw/zinc/52/15/99/1073521599.db2.gz IKKXNQIRPYNIPL-UHFFFAOYSA-N 0 0 435.510 -0.431 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1)NCC(F)F ZINC000485304373 1073521551 /nfs/dbraw/zinc/52/15/51/1073521551.db2.gz MFGDWZZDFPPMQE-UHFFFAOYSA-N 0 0 432.387 -0.020 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@]2(CCCOC2)O1 ZINC000485304659 1073521843 /nfs/dbraw/zinc/52/18/43/1073521843.db2.gz HWONOZLUNXKCCU-NRFANRHFSA-N 0 0 449.508 -0.133 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@]2(CCCOC2)O1 ZINC000485304660 1073521621 /nfs/dbraw/zinc/52/16/21/1073521621.db2.gz HWONOZLUNXKCCU-OAQYLSRUSA-N 0 0 449.508 -0.133 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC(C)(C)O[C@@]4(CCCOC4)C3)cnc2n(C)c1=O ZINC000485305836 1073521200 /nfs/dbraw/zinc/52/12/00/1073521200.db2.gz SONHSRKDNOXMNM-NRFANRHFSA-N 0 0 445.476 -0.243 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC(C)(C)O[C@]4(CCCOC4)C3)cnc2n(C)c1=O ZINC000485305841 1073521214 /nfs/dbraw/zinc/52/12/14/1073521214.db2.gz SONHSRKDNOXMNM-OAQYLSRUSA-N 0 0 445.476 -0.243 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@]2(CCCOC2)O1 ZINC000485307382 1073520930 /nfs/dbraw/zinc/52/09/30/1073520930.db2.gz TYGRUHLEFUWCKB-NRFANRHFSA-N 0 0 449.508 -0.133 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@]2(CCCOC2)O1 ZINC000485307383 1073521005 /nfs/dbraw/zinc/52/10/05/1073521005.db2.gz TYGRUHLEFUWCKB-OAQYLSRUSA-N 0 0 449.508 -0.133 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc1S(=O)(=O)N(C)C ZINC000485312291 1073522234 /nfs/dbraw/zinc/52/22/34/1073522234.db2.gz CDMLRXSUGWRXAN-UHFFFAOYSA-N 0 0 436.437 -0.228 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@H](CO)[C@@H]1CCOC1 ZINC000485314746 1073522195 /nfs/dbraw/zinc/52/21/95/1073522195.db2.gz DMNQTCJSENZZDX-CHWSQXEVSA-N 0 0 441.506 -0.164 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@H](CO)[C@@H]1CCOC1 ZINC000485314747 1073522306 /nfs/dbraw/zinc/52/23/06/1073522306.db2.gz DMNQTCJSENZZDX-OLZOCXBDSA-N 0 0 441.506 -0.164 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@H](CO)[C@H]1CCOC1 ZINC000485314748 1073522316 /nfs/dbraw/zinc/52/23/16/1073522316.db2.gz DMNQTCJSENZZDX-QWHCGFSZSA-N 0 0 441.506 -0.164 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@H](CO)[C@H]1CCOC1 ZINC000485314749 1073522263 /nfs/dbraw/zinc/52/22/63/1073522263.db2.gz DMNQTCJSENZZDX-STQMWFEESA-N 0 0 441.506 -0.164 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC(C)(C)O[C@@]3(CCCOC3)C2)cn1 ZINC000485320032 1073521494 /nfs/dbraw/zinc/52/14/94/1073521494.db2.gz JBQZEBLWWCFZKL-FQEVSTJZSA-N 0 0 437.497 -0.229 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC(C)(C)O[C@]3(CCCOC3)C2)cn1 ZINC000485320036 1073521747 /nfs/dbraw/zinc/52/17/47/1073521747.db2.gz JBQZEBLWWCFZKL-HXUWFJFHSA-N 0 0 437.497 -0.229 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC(=O)NCC(F)F ZINC000485328470 1073522632 /nfs/dbraw/zinc/52/26/32/1073522632.db2.gz GORNUIAWYBPVAR-UHFFFAOYSA-N 0 0 441.435 -0.481 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)NCC(F)F ZINC000485328626 1073522786 /nfs/dbraw/zinc/52/27/86/1073522786.db2.gz BJXXZMBHKDIOBK-UHFFFAOYSA-N 0 0 434.421 -0.857 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc1S(=O)(=O)N1CCCC1 ZINC000485328681 1073522717 /nfs/dbraw/zinc/52/27/17/1073522717.db2.gz BTQICVCNNZWTEH-UHFFFAOYSA-N 0 0 448.448 -0.084 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc2)CC1 ZINC000485330407 1073523002 /nfs/dbraw/zinc/52/30/02/1073523002.db2.gz AUBAFNPYCBYTOG-UHFFFAOYSA-N 0 0 425.436 -0.213 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)NCC(F)F ZINC000485330610 1073522674 /nfs/dbraw/zinc/52/26/74/1073522674.db2.gz BDGNIKKGDOFOLL-UHFFFAOYSA-N 0 0 427.408 -0.607 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)NCC(F)F ZINC000485331032 1073522111 /nfs/dbraw/zinc/52/21/11/1073522111.db2.gz OHJIAVAEPAHRHP-UHFFFAOYSA-N 0 0 436.437 -0.228 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc2)CC1 ZINC000485331497 1073522163 /nfs/dbraw/zinc/52/21/63/1073522163.db2.gz DMKAGSMJCXQCFL-UHFFFAOYSA-N 0 0 425.436 -0.561 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc1S(=O)(=O)NC1CC1 ZINC000485331522 1073522240 /nfs/dbraw/zinc/52/22/40/1073522240.db2.gz PQOWLEJYFMEQRE-UHFFFAOYSA-N 0 0 434.421 -0.428 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc1 ZINC000485332340 1073522800 /nfs/dbraw/zinc/52/28/00/1073522800.db2.gz SJNOCTWSYLYAJL-JTQLQIEISA-N 0 0 436.437 -0.564 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc1 ZINC000485332344 1073522282 /nfs/dbraw/zinc/52/22/82/1073522282.db2.gz SJNOCTWSYLYAJL-SNVBAGLBSA-N 0 0 436.437 -0.564 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)NCC(F)F ZINC000485335483 1073522856 /nfs/dbraw/zinc/52/28/56/1073522856.db2.gz ZAUVPMHRSYGCTD-UHFFFAOYSA-N 0 0 428.392 -0.640 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc2)CC1 ZINC000485337204 1073522910 /nfs/dbraw/zinc/52/29/10/1073522910.db2.gz KHOSIILOMVNIDI-UHFFFAOYSA-N 0 0 447.464 -0.941 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)NCC(F)F ZINC000485337519 1073523093 /nfs/dbraw/zinc/52/30/93/1073523093.db2.gz LPXJMOQGGTTZKI-AWEZNQCLSA-N 0 0 440.403 -0.863 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)NCC(F)F ZINC000485337588 1073523083 /nfs/dbraw/zinc/52/30/83/1073523083.db2.gz LPXJMOQGGTTZKI-CQSZACIVSA-N 0 0 440.403 -0.863 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc2)CC1 ZINC000485337901 1073522693 /nfs/dbraw/zinc/52/26/93/1073522693.db2.gz MKBYKPCJVACELO-UHFFFAOYSA-N 0 0 439.463 -0.171 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(=O)NCC(F)F)c2)CC1 ZINC000485337903 1073523497 /nfs/dbraw/zinc/52/34/97/1073523497.db2.gz MKJJHMWGZBMZQJ-UHFFFAOYSA-N 0 0 447.464 -0.941 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCC(=O)NCC(F)F)c2)CC1 ZINC000485338009 1073523510 /nfs/dbraw/zinc/52/35/10/1073523510.db2.gz MYIHIMUGVBHIBB-UHFFFAOYSA-N 0 0 425.436 -0.213 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCC(=O)NCC(F)F ZINC000485338680 1073522773 /nfs/dbraw/zinc/52/27/73/1073522773.db2.gz OXKRKYXJSZNIQG-UHFFFAOYSA-N 0 0 428.392 -0.254 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)NCC(F)F ZINC000485340173 1073523403 /nfs/dbraw/zinc/52/34/03/1073523403.db2.gz UKSFBOQLZUFKGH-UHFFFAOYSA-N 0 0 441.435 -0.815 20 0 IBADRN CCS(=O)(=O)NCC(=O)NCc1ccc2c(c1)CN(C(=O)CNS(=O)(=O)CC)C2 ZINC000485340355 1073523471 /nfs/dbraw/zinc/52/34/71/1073523471.db2.gz JDOUJOHZCIFGIE-UHFFFAOYSA-N 0 0 446.551 -0.976 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCC(=O)NCC(F)F)CC1 ZINC000485340618 1073523379 /nfs/dbraw/zinc/52/33/79/1073523379.db2.gz WZTWNOXEQYGONB-UHFFFAOYSA-N 0 0 425.436 -0.213 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCC(=O)NCC(F)F ZINC000485340621 1073523525 /nfs/dbraw/zinc/52/35/25/1073523525.db2.gz XBIQKQANRNUGFZ-UHFFFAOYSA-N 0 0 434.421 -0.428 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)cs1 ZINC000485354683 1073523436 /nfs/dbraw/zinc/52/34/36/1073523436.db2.gz RDUMXFNOEIVXOC-UHFFFAOYSA-N 0 0 437.507 -0.572 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1COCCN1CC(F)F ZINC000485365469 1073523482 /nfs/dbraw/zinc/52/34/82/1073523482.db2.gz CTDDFYRARJPRGA-AWEZNQCLSA-N 0 0 432.449 -0.289 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1COCCN1CC(F)F ZINC000485365470 1073523531 /nfs/dbraw/zinc/52/35/31/1073523531.db2.gz CTDDFYRARJPRGA-CQSZACIVSA-N 0 0 432.449 -0.289 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)c3ccnc(S(N)(=O)=O)c3)c2)CC1 ZINC000485366205 1073523451 /nfs/dbraw/zinc/52/34/51/1073523451.db2.gz YUXVZOBITJJHPR-UHFFFAOYSA-N 0 0 439.519 -0.083 20 0 IBADRN C[C@H](CNC(=O)CC1(C(=O)NC[C@H](C)N2CCOCC2)CCOCC1)N1CCOCC1 ZINC000485368503 1073523356 /nfs/dbraw/zinc/52/33/56/1073523356.db2.gz QNAXRJGGRBWJNA-MOPGFXCFSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@@H](CNC(=O)CC1(C(=O)NC[C@H](C)N2CCOCC2)CCOCC1)N1CCOCC1 ZINC000485368504 1073524164 /nfs/dbraw/zinc/52/41/64/1073524164.db2.gz QNAXRJGGRBWJNA-OALUTQOASA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@H](CNC(=O)C1(CC(=O)NC[C@H](C)N2CCOCC2)CCOCC1)N1CCOCC1 ZINC000485368505 1073524091 /nfs/dbraw/zinc/52/40/91/1073524091.db2.gz QNAXRJGGRBWJNA-RBUKOAKNSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@H](CNC(=O)CC1(C(=O)NC[C@@H](C)N2CCOCC2)CCOCC1)N1CCOCC1 ZINC000485368506 1073523886 /nfs/dbraw/zinc/52/38/86/1073523886.db2.gz QNAXRJGGRBWJNA-RTBURBONSA-N 0 0 440.585 -0.153 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)ccn1 ZINC000485371178 1073524077 /nfs/dbraw/zinc/52/40/77/1073524077.db2.gz PLDZIWDPKWOTQN-UHFFFAOYSA-N 0 0 439.475 -0.898 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)CC1(C(=O)N[C@@H](C)CN2CCOCC2)CCOCC1 ZINC000485371533 1073523910 /nfs/dbraw/zinc/52/39/10/1073523910.db2.gz QIIIXLPQVDAAPI-MOPGFXCFSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)CC1(C(=O)N[C@@H](C)CN2CCOCC2)CCOCC1 ZINC000485371534 1073524232 /nfs/dbraw/zinc/52/42/32/1073524232.db2.gz QIIIXLPQVDAAPI-OALUTQOASA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C1(CC(=O)N[C@@H](C)CN2CCOCC2)CCOCC1 ZINC000485371535 1073523941 /nfs/dbraw/zinc/52/39/41/1073523941.db2.gz QIIIXLPQVDAAPI-RBUKOAKNSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)CC1(C(=O)N[C@H](C)CN2CCOCC2)CCOCC1 ZINC000485371536 1073524015 /nfs/dbraw/zinc/52/40/15/1073524015.db2.gz QIIIXLPQVDAAPI-RTBURBONSA-N 0 0 440.585 -0.153 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2OC)CC1 ZINC000485382782 1073524000 /nfs/dbraw/zinc/52/40/00/1073524000.db2.gz CVCGACOCNHARMU-UHFFFAOYSA-N 0 0 425.916 -0.269 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)C[C@H](NC(C)=O)C(N)=O)CC1 ZINC000485385310 1073524251 /nfs/dbraw/zinc/52/42/51/1073524251.db2.gz LDEYQOVOQXHKFM-ZDUSSCGKSA-N 0 0 446.913 -0.438 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)CNC(=O)CNC(C)=O)CC1 ZINC000485391625 1073523962 /nfs/dbraw/zinc/52/39/62/1073523962.db2.gz RJWOVFJDYIYYSZ-UHFFFAOYSA-N 0 0 446.913 -0.566 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)CN2CC(=O)NC2=O)CC1 ZINC000485394326 1073523981 /nfs/dbraw/zinc/52/39/81/1073523981.db2.gz VQIXESUSKJWQOV-UHFFFAOYSA-N 0 0 430.870 -0.267 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)c2cn(CC(N)=O)nn2)CC1 ZINC000485397831 1073524147 /nfs/dbraw/zinc/52/41/47/1073524147.db2.gz YTQXDGPLYSJUEW-UHFFFAOYSA-N 0 0 442.885 -0.428 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000485402421 1073524218 /nfs/dbraw/zinc/52/42/18/1073524218.db2.gz IGJUMCVYDHEOMK-UHFFFAOYSA-N 0 0 442.519 -0.125 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nc(N3CC[C@@](C)(C(=O)NC)C3)nc(N3CCOCC3)n2)C1 ZINC000485402739 1073524113 /nfs/dbraw/zinc/52/41/13/1073524113.db2.gz BUZHAZZCMZHWLY-NHCUHLMSSA-N 0 0 446.556 -0.367 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nc(N3CC[C@](C)(C(=O)NC)C3)nc(N3CCOCC3)n2)C1 ZINC000485402742 1073524194 /nfs/dbraw/zinc/52/41/94/1073524194.db2.gz BUZHAZZCMZHWLY-OYRHEFFESA-N 0 0 446.556 -0.367 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nc(N3CC[C@](C)(C(=O)NC)C3)nc(N3CCOCC3)n2)C1 ZINC000485402745 1073524034 /nfs/dbraw/zinc/52/40/34/1073524034.db2.gz BUZHAZZCMZHWLY-SFTDATJTSA-N 0 0 446.556 -0.367 20 0 IBADRN CCOc1cc(CN2CCN(CCS(N)(=O)=O)CC2)nc2nc(C(F)(F)F)nn21 ZINC000485404196 1073524206 /nfs/dbraw/zinc/52/42/06/1073524206.db2.gz FDNDAWMMMZWNRE-UHFFFAOYSA-N 0 0 437.448 -0.052 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCC(=O)N2CCOCC2)cc1C ZINC000485407800 1073524722 /nfs/dbraw/zinc/52/47/22/1073524722.db2.gz LAYHIMUIVQIFCE-INIZCTEOSA-N 0 0 440.522 -0.809 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCC(=O)N2CCOCC2)cc1C ZINC000485407824 1073524843 /nfs/dbraw/zinc/52/48/43/1073524843.db2.gz LAYHIMUIVQIFCE-MRXNPFEDSA-N 0 0 440.522 -0.809 20 0 IBADRN O=C(CCN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000485408943 1073524770 /nfs/dbraw/zinc/52/47/70/1073524770.db2.gz OXZOFTFRGUDVEY-INIZCTEOSA-N 0 0 449.533 -0.558 20 0 IBADRN O=C(CCN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000485408945 1073524852 /nfs/dbraw/zinc/52/48/52/1073524852.db2.gz OXZOFTFRGUDVEY-MRXNPFEDSA-N 0 0 449.533 -0.558 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@H](CO)OC[C@H]2C)cc1C ZINC000485409326 1073524740 /nfs/dbraw/zinc/52/47/40/1073524740.db2.gz FHRRTERIMWSYPY-BFYDXBDKSA-N 0 0 427.523 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@@H](CO)OC[C@H]2C)cc1C ZINC000485409331 1073524544 /nfs/dbraw/zinc/52/45/44/1073524544.db2.gz FHRRTERIMWSYPY-HLLBOEOZSA-N 0 0 427.523 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@@H](CO)OC[C@@H]2C)cc1C ZINC000485409332 1073524751 /nfs/dbraw/zinc/52/47/51/1073524751.db2.gz FHRRTERIMWSYPY-YQQAZPJKSA-N 0 0 427.523 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@H](CO)OC[C@@H]2C)cc1C ZINC000485409333 1073524830 /nfs/dbraw/zinc/52/48/30/1073524830.db2.gz FHRRTERIMWSYPY-ZMSDIMECSA-N 0 0 427.523 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1C ZINC000485410439 1073524820 /nfs/dbraw/zinc/52/48/20/1073524820.db2.gz GXEXWARBVGQVJR-GDBMZVCRSA-N 0 0 437.522 -0.548 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1C ZINC000485410442 1073524690 /nfs/dbraw/zinc/52/46/90/1073524690.db2.gz GXEXWARBVGQVJR-GOEBONIOSA-N 0 0 437.522 -0.548 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cc1C ZINC000485410443 1073524598 /nfs/dbraw/zinc/52/45/98/1073524598.db2.gz GXEXWARBVGQVJR-HOCLYGCPSA-N 0 0 437.522 -0.548 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1C ZINC000485410444 1073524666 /nfs/dbraw/zinc/52/46/66/1073524666.db2.gz GXEXWARBVGQVJR-ZBFHGGJFSA-N 0 0 437.522 -0.548 20 0 IBADRN CCn1c(CN2CCN3C(=O)C(=O)NC[C@@H]3C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000485411269 1073524810 /nfs/dbraw/zinc/52/48/10/1073524810.db2.gz QHOOAIFTLACABC-CYBMUJFWSA-N 0 0 434.522 -0.551 20 0 IBADRN CCn1c(CN2CCN3C(=O)C(=O)NC[C@H]3C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000485411272 1073524630 /nfs/dbraw/zinc/52/46/30/1073524630.db2.gz QHOOAIFTLACABC-ZDUSSCGKSA-N 0 0 434.522 -0.551 20 0 IBADRN C[C@H](C(=O)NCc1ccc(CN2CCOCC2)cc1)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000485413655 1073524892 /nfs/dbraw/zinc/52/48/92/1073524892.db2.gz AMIYRJZHVBTIEO-APWZRJJASA-N 0 0 429.521 -0.834 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(CN2CCOCC2)cc1)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000485413659 1073524565 /nfs/dbraw/zinc/52/45/65/1073524565.db2.gz AMIYRJZHVBTIEO-LPHOPBHVSA-N 0 0 429.521 -0.834 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(CN2CCOCC2)cc1)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000485413660 1073524671 /nfs/dbraw/zinc/52/46/71/1073524671.db2.gz AMIYRJZHVBTIEO-QFBILLFUSA-N 0 0 429.521 -0.834 20 0 IBADRN C[C@H](C(=O)NCc1ccc(CN2CCOCC2)cc1)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000485413662 1073524643 /nfs/dbraw/zinc/52/46/43/1073524643.db2.gz AMIYRJZHVBTIEO-VQIMIIECSA-N 0 0 429.521 -0.834 20 0 IBADRN O=C(CCc1ccccc1)NC1CCN(C(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)CC1 ZINC000485414247 1073524882 /nfs/dbraw/zinc/52/48/82/1073524882.db2.gz WEPZPNJWZSPUTH-IBGZPJMESA-N 0 0 441.532 -0.631 20 0 IBADRN O=C(CCc1ccccc1)NC1CCN(C(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)CC1 ZINC000485414257 1073524704 /nfs/dbraw/zinc/52/47/04/1073524704.db2.gz WEPZPNJWZSPUTH-LJQANCHMSA-N 0 0 441.532 -0.631 20 0 IBADRN Cn1ncc(Cl)c1S(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000485417381 1073525768 /nfs/dbraw/zinc/52/57/68/1073525768.db2.gz DLESZRCWVICNNO-CYBMUJFWSA-N 0 0 440.975 -0.026 20 0 IBADRN Cn1ncc(Cl)c1S(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000485417382 1073525733 /nfs/dbraw/zinc/52/57/33/1073525733.db2.gz DLESZRCWVICNNO-ZDUSSCGKSA-N 0 0 440.975 -0.026 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1Cl ZINC000485417703 1073525877 /nfs/dbraw/zinc/52/58/77/1073525877.db2.gz DSVVAAVPMCCJCO-GFCCVEGCSA-N 0 0 443.913 -0.829 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1Cl ZINC000485417704 1073525792 /nfs/dbraw/zinc/52/57/92/1073525792.db2.gz DSVVAAVPMCCJCO-LBPRGKRZSA-N 0 0 443.913 -0.829 20 0 IBADRN O=C(CCN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000485420233 1073525385 /nfs/dbraw/zinc/52/53/85/1073525385.db2.gz BIAUVTNYYDASHW-INIZCTEOSA-N 0 0 449.533 -0.558 20 0 IBADRN O=C(CCN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000485420236 1073525225 /nfs/dbraw/zinc/52/52/25/1073525225.db2.gz BIAUVTNYYDASHW-MRXNPFEDSA-N 0 0 449.533 -0.558 20 0 IBADRN COCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000485420543 1073525812 /nfs/dbraw/zinc/52/58/12/1073525812.db2.gz MTTQJGGKLVLSPH-LLVKDONJSA-N 0 0 434.492 -0.150 20 0 IBADRN COCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000485420546 1073525827 /nfs/dbraw/zinc/52/58/27/1073525827.db2.gz MTTQJGGKLVLSPH-NSHDSACASA-N 0 0 434.492 -0.150 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000485420895 1073525347 /nfs/dbraw/zinc/52/53/47/1073525347.db2.gz DVWCPZHGIMFGJT-HNNXBMFYSA-N 0 0 441.506 -0.576 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(CC(=O)N3CCCC3)CC1)OCC(=O)N2 ZINC000485421431 1073525114 /nfs/dbraw/zinc/52/51/14/1073525114.db2.gz ORXYZQWKCKDCTG-UHFFFAOYSA-N 0 0 438.506 -0.045 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2[nH]c(CN3CCN4C(=O)C(=O)NC[C@@H]4C3)nc2c1 ZINC000485422792 1073525288 /nfs/dbraw/zinc/52/52/88/1073525288.db2.gz URVDPLWBTUCLOT-CYBMUJFWSA-N 0 0 434.522 -0.264 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2[nH]c(CN3CCN4C(=O)C(=O)NC[C@H]4C3)nc2c1 ZINC000485422793 1073525302 /nfs/dbraw/zinc/52/53/02/1073525302.db2.gz URVDPLWBTUCLOT-ZDUSSCGKSA-N 0 0 434.522 -0.264 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1cc(-n2nnnc2C2CC2)ccc1F ZINC000485422841 1073525322 /nfs/dbraw/zinc/52/53/22/1073525322.db2.gz VGZLETNUIHMJES-CYBMUJFWSA-N 0 0 428.428 -0.740 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1cc(-n2nnnc2C2CC2)ccc1F ZINC000485422842 1073525274 /nfs/dbraw/zinc/52/52/74/1073525274.db2.gz VGZLETNUIHMJES-ZDUSSCGKSA-N 0 0 428.428 -0.740 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCCNC(=O)N(C)C)cc1C ZINC000485422886 1073525335 /nfs/dbraw/zinc/52/53/35/1073525335.db2.gz LNINACLBJUIHFA-HNNXBMFYSA-N 0 0 427.527 -0.397 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCCNC(=O)N(C)C)cc1C ZINC000485422887 1073525134 /nfs/dbraw/zinc/52/51/34/1073525134.db2.gz LNINACLBJUIHFA-OAHLLOKOSA-N 0 0 427.527 -0.397 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(CC(=O)NC(C)C)CC1)OCC(=O)N2 ZINC000485423416 1073525208 /nfs/dbraw/zinc/52/52/08/1073525208.db2.gz XDIWMSMISGUCBX-UHFFFAOYSA-N 0 0 426.495 -0.143 20 0 IBADRN CCOC(=O)c1cnn(-c2ccccc2)c1NC(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000485425754 1073525373 /nfs/dbraw/zinc/52/53/73/1073525373.db2.gz IXQGGNNWTJFNIR-HNNXBMFYSA-N 0 0 440.460 -0.370 20 0 IBADRN CCOC(=O)c1cnn(-c2ccccc2)c1NC(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000485425755 1073525188 /nfs/dbraw/zinc/52/51/88/1073525188.db2.gz IXQGGNNWTJFNIR-OAHLLOKOSA-N 0 0 440.460 -0.370 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1)OCC(=O)N2 ZINC000485425916 1073525418 /nfs/dbraw/zinc/52/54/18/1073525418.db2.gz LDPUOJJJHNLRSR-UHFFFAOYSA-N 0 0 440.478 -0.616 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC([C@]4(C)NC(=O)NC4=O)CC3)cnc2n(C)c1=O ZINC000485426180 1073525312 /nfs/dbraw/zinc/52/53/12/1073525312.db2.gz NCGVJTWEHUZZSR-IBGZPJMESA-N 0 0 429.437 -0.526 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)cnc2n(C)c1=O ZINC000485426181 1073525147 /nfs/dbraw/zinc/52/51/47/1073525147.db2.gz NCGVJTWEHUZZSR-LJQANCHMSA-N 0 0 429.437 -0.526 20 0 IBADRN Cc1ccccc1C(=O)NC1CCN(C(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)CC1 ZINC000485426753 1073525159 /nfs/dbraw/zinc/52/51/59/1073525159.db2.gz RAAUZDGSWYXYBD-KRWDZBQOSA-N 0 0 427.505 -0.642 20 0 IBADRN Cc1ccccc1C(=O)NC1CCN(C(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)CC1 ZINC000485426754 1073525261 /nfs/dbraw/zinc/52/52/61/1073525261.db2.gz RAAUZDGSWYXYBD-QGZVFWFLSA-N 0 0 427.505 -0.642 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@H](C)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000485427096 1073525177 /nfs/dbraw/zinc/52/51/77/1073525177.db2.gz SXTXLJVYFWPBJD-AAEUAGOBSA-N 0 0 432.433 -0.771 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@@H](C)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000485427100 1073525362 /nfs/dbraw/zinc/52/53/62/1073525362.db2.gz SXTXLJVYFWPBJD-DGCLKSJQSA-N 0 0 432.433 -0.771 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@H](C)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000485427101 1073525779 /nfs/dbraw/zinc/52/57/79/1073525779.db2.gz SXTXLJVYFWPBJD-WCQYABFASA-N 0 0 432.433 -0.771 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@@H](C)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000485427102 1073526004 /nfs/dbraw/zinc/52/60/04/1073526004.db2.gz SXTXLJVYFWPBJD-YPMHNXCESA-N 0 0 432.433 -0.771 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000485428936 1073525698 /nfs/dbraw/zinc/52/56/98/1073525698.db2.gz DMJQOWHQOVQZHT-INIZCTEOSA-N 0 0 449.533 -0.558 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000485428939 1073525946 /nfs/dbraw/zinc/52/59/46/1073525946.db2.gz DMJQOWHQOVQZHT-MRXNPFEDSA-N 0 0 449.533 -0.558 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c2ccccc2O1 ZINC000485431049 1073525861 /nfs/dbraw/zinc/52/58/61/1073525861.db2.gz XOESXBYESZEFDI-AWEZNQCLSA-N 0 0 431.430 -0.264 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c2ccccc2O1 ZINC000485431057 1073525991 /nfs/dbraw/zinc/52/59/91/1073525991.db2.gz XOESXBYESZEFDI-CQSZACIVSA-N 0 0 431.430 -0.264 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN([C@H](C)C(=O)NC3CC3)CC1)OCC(=O)N2 ZINC000485431770 1073525978 /nfs/dbraw/zinc/52/59/78/1073525978.db2.gz BYIMCDOBZZSMCQ-GFCCVEGCSA-N 0 0 438.506 -0.001 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN([C@@H](C)C(=O)NC3CC3)CC1)OCC(=O)N2 ZINC000485431773 1073525959 /nfs/dbraw/zinc/52/59/59/1073525959.db2.gz BYIMCDOBZZSMCQ-LBPRGKRZSA-N 0 0 438.506 -0.001 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c(Cl)cnn3C)CC2)C[C@H](C)O1 ZINC000485432807 1073525841 /nfs/dbraw/zinc/52/58/41/1073525841.db2.gz FZZGURHZLSKBFI-RYUDHWBXSA-N 0 0 441.963 -0.266 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c(Cl)cnn3C)CC2)C[C@H](C)O1 ZINC000485432811 1073526371 /nfs/dbraw/zinc/52/63/71/1073526371.db2.gz FZZGURHZLSKBFI-TXEJJXNPSA-N 0 0 441.963 -0.266 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c(Cl)cnn3C)CC2)C[C@@H](C)O1 ZINC000485432812 1073526442 /nfs/dbraw/zinc/52/64/42/1073526442.db2.gz FZZGURHZLSKBFI-VXGBXAGGSA-N 0 0 441.963 -0.266 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000485433017 1073526430 /nfs/dbraw/zinc/52/64/30/1073526430.db2.gz CMMCWYCVQDRRJY-UHFFFAOYSA-N 0 0 426.520 -0.252 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000485435035 1073526398 /nfs/dbraw/zinc/52/63/98/1073526398.db2.gz HUVUGQWLUWNMMH-UHFFFAOYSA-N 0 0 444.510 -0.113 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nc(NCCOC)nc(N3CC[C@](C)(C(=O)NC)C3)n2)C1 ZINC000485436499 1073526485 /nfs/dbraw/zinc/52/64/85/1073526485.db2.gz XFZRQGWJOXAVRB-BGYRXZFFSA-N 0 0 434.545 -0.723 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nc(NCCOC)nc(N3CC[C@](C)(C(=O)NC)C3)n2)C1 ZINC000485436500 1073526295 /nfs/dbraw/zinc/52/62/95/1073526295.db2.gz XFZRQGWJOXAVRB-PMACEKPBSA-N 0 0 434.545 -0.723 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nc(NCCOC)nc(N3CC[C@@](C)(C(=O)NC)C3)n2)C1 ZINC000485436501 1073526564 /nfs/dbraw/zinc/52/65/64/1073526564.db2.gz XFZRQGWJOXAVRB-WOJBJXKFSA-N 0 0 434.545 -0.723 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H](C)C(=O)N(C)C)c1OC ZINC000485441510 1073525718 /nfs/dbraw/zinc/52/57/18/1073525718.db2.gz ZBGOTOKRXAEFSU-LBPRGKRZSA-N 0 0 429.495 -0.069 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)CC1 ZINC000485454189 1073526384 /nfs/dbraw/zinc/52/63/84/1073526384.db2.gz IGZBNOKHZUUPJI-UHFFFAOYSA-N 0 0 439.490 -0.062 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1 ZINC000485454702 1073526498 /nfs/dbraw/zinc/52/64/98/1073526498.db2.gz NGAWECPZBBAGGW-UHFFFAOYSA-N 0 0 438.506 -0.369 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000485455292 1073526456 /nfs/dbraw/zinc/52/64/56/1073526456.db2.gz ONKZBBNLFUVSEV-UHFFFAOYSA-N 0 0 425.463 -0.285 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(C)c(C)c(S(N)(=O)=O)c1 ZINC000485457014 1073526632 /nfs/dbraw/zinc/52/66/32/1073526632.db2.gz XSEHNDREJUAELI-AWEZNQCLSA-N 0 0 431.536 -0.284 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(C)c(C)c(S(N)(=O)=O)c1 ZINC000485457020 1073527161 /nfs/dbraw/zinc/52/71/61/1073527161.db2.gz XSEHNDREJUAELI-CQSZACIVSA-N 0 0 431.536 -0.284 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000485457303 1073527237 /nfs/dbraw/zinc/52/72/37/1073527237.db2.gz JVQWMXKLZCGLOW-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)OCC(=O)N2C)cn1 ZINC000485458313 1073527002 /nfs/dbraw/zinc/52/70/02/1073527002.db2.gz VNFLZBYUUDIIFX-VQTJNVASSA-N 0 0 426.477 -0.111 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000485461255 1073526992 /nfs/dbraw/zinc/52/69/92/1073526992.db2.gz CAYKDOONEDODRJ-INIZCTEOSA-N 0 0 431.445 -0.291 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000485461256 1073527088 /nfs/dbraw/zinc/52/70/88/1073527088.db2.gz CAYKDOONEDODRJ-MRXNPFEDSA-N 0 0 431.445 -0.291 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC1 ZINC000485465883 1073526969 /nfs/dbraw/zinc/52/69/69/1073526969.db2.gz NXUCGNOTMCQOIE-UHFFFAOYSA-N 0 0 445.523 -0.647 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000485466333 1073527132 /nfs/dbraw/zinc/52/71/32/1073527132.db2.gz OKUHQXMHZIALHH-UHFFFAOYSA-N 0 0 432.477 -0.244 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC1 ZINC000485467151 1073527026 /nfs/dbraw/zinc/52/70/26/1073527026.db2.gz PXMGBYUBXOXPAA-UHFFFAOYSA-N 0 0 438.506 -0.369 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000485473142 1073527099 /nfs/dbraw/zinc/52/70/99/1073527099.db2.gz YEGGYSNCKIUIHE-UHFFFAOYSA-N 0 0 443.453 -0.146 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3nnc(C(F)(F)F)s3)CC2)cn1 ZINC000485481375 1073527773 /nfs/dbraw/zinc/52/77/73/1073527773.db2.gz PEURRFDBUGGSHA-UHFFFAOYSA-N 0 0 446.415 -0.213 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@@](C)(O)C(=O)OC)o1 ZINC000485485649 1073527469 /nfs/dbraw/zinc/52/74/69/1073527469.db2.gz CQWPIONHVXNHMR-APPDUMDISA-N 0 0 432.451 -0.350 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@](C)(O)C(=O)OC)o1 ZINC000485485650 1073527615 /nfs/dbraw/zinc/52/76/15/1073527615.db2.gz CQWPIONHVXNHMR-DIFFPNOSSA-N 0 0 432.451 -0.350 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@](C)(O)C(=O)OC)o1 ZINC000485485651 1073527676 /nfs/dbraw/zinc/52/76/76/1073527676.db2.gz CQWPIONHVXNHMR-GTNSWQLSSA-N 0 0 432.451 -0.350 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@@](C)(O)C(=O)OC)o1 ZINC000485485652 1073527512 /nfs/dbraw/zinc/52/75/12/1073527512.db2.gz CQWPIONHVXNHMR-PIGZYNQJSA-N 0 0 432.451 -0.350 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)Nc3n[nH]nc3C(N)=O)CC2)cc1OC ZINC000485487810 1073527221 /nfs/dbraw/zinc/52/72/21/1073527221.db2.gz HFARKGSMDQHPJE-UHFFFAOYSA-N 0 0 438.466 -0.040 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000485487852 1073527203 /nfs/dbraw/zinc/52/72/03/1073527203.db2.gz IZSNKGRPIDXZSQ-GOSISDBHSA-N 0 0 427.479 -0.807 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000485487866 1073527147 /nfs/dbraw/zinc/52/71/47/1073527147.db2.gz IZSNKGRPIDXZSQ-SFHVURJKSA-N 0 0 427.479 -0.807 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@](C)(O)C(=O)OC)c1 ZINC000485492284 1073527116 /nfs/dbraw/zinc/52/71/16/1073527116.db2.gz OMAMCNKNAHLUFJ-GOSISDBHSA-N 0 0 429.495 -0.513 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@](C)(O)C(=O)OC)c1 ZINC000485492285 1073527213 /nfs/dbraw/zinc/52/72/13/1073527213.db2.gz OMAMCNKNAHLUFJ-SFHVURJKSA-N 0 0 429.495 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)CC2)cc1 ZINC000485494828 1073527628 /nfs/dbraw/zinc/52/76/28/1073527628.db2.gz VEPAYNYMJOLJKR-KRWDZBQOSA-N 0 0 436.534 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)CC2)cc1 ZINC000485494841 1073527644 /nfs/dbraw/zinc/52/76/44/1073527644.db2.gz VEPAYNYMJOLJKR-QGZVFWFLSA-N 0 0 436.534 -0.047 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000485495214 1073527741 /nfs/dbraw/zinc/52/77/41/1073527741.db2.gz RSEUVSFFQXYFIX-UHFFFAOYSA-N 0 0 425.463 -0.285 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000485497588 1073527490 /nfs/dbraw/zinc/52/74/90/1073527490.db2.gz MSKTWMBRMPUFLJ-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000485502140 1073527839 /nfs/dbraw/zinc/52/78/39/1073527839.db2.gz NAHHKCWNYXCHTE-GOSISDBHSA-N 0 0 427.479 -0.807 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000485502141 1073527791 /nfs/dbraw/zinc/52/77/91/1073527791.db2.gz NAHHKCWNYXCHTE-SFHVURJKSA-N 0 0 427.479 -0.807 20 0 IBADRN NC(=O)c1n[nH]nc1NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000485508864 1073527814 /nfs/dbraw/zinc/52/78/14/1073527814.db2.gz XXFBFDDDQPWASD-UHFFFAOYSA-N 0 0 436.450 -0.286 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000485511624 1073527759 /nfs/dbraw/zinc/52/77/59/1073527759.db2.gz CMBJDBPZXOWCAB-GOSISDBHSA-N 0 0 446.478 -0.622 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000485511625 1073527725 /nfs/dbraw/zinc/52/77/25/1073527725.db2.gz CMBJDBPZXOWCAB-SFHVURJKSA-N 0 0 446.478 -0.622 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000485513349 1073527689 /nfs/dbraw/zinc/52/76/89/1073527689.db2.gz DBVLCZVKWCEVLQ-GOSISDBHSA-N 0 0 430.479 -0.053 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000485513350 1073527707 /nfs/dbraw/zinc/52/77/07/1073527707.db2.gz DBVLCZVKWCEVLQ-SFHVURJKSA-N 0 0 430.479 -0.053 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000485515197 1073527593 /nfs/dbraw/zinc/52/75/93/1073527593.db2.gz IPIYVIJJYGQURA-IBGZPJMESA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000485515199 1073528242 /nfs/dbraw/zinc/52/82/42/1073528242.db2.gz IPIYVIJJYGQURA-LJQANCHMSA-N 0 0 442.490 -0.101 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@@](C)(O)C(=O)OC)cc1 ZINC000485518339 1073528227 /nfs/dbraw/zinc/52/82/27/1073528227.db2.gz QWXNUKVQLHLQDD-GOSISDBHSA-N 0 0 429.495 -0.513 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@](C)(O)C(=O)OC)cc1 ZINC000485518341 1073528259 /nfs/dbraw/zinc/52/82/59/1073528259.db2.gz QWXNUKVQLHLQDD-SFHVURJKSA-N 0 0 429.495 -0.513 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000485522302 1073528486 /nfs/dbraw/zinc/52/84/86/1073528486.db2.gz TYXXLFUQPQXUAR-MAUKXSAKSA-N 0 0 430.479 -0.199 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000485522316 1073528510 /nfs/dbraw/zinc/52/85/10/1073528510.db2.gz TYXXLFUQPQXUAR-YJBOKZPZSA-N 0 0 430.479 -0.199 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)[C@@H]1CCC[C@@H]1C(=O)NCCN1C(=O)CCCC1=O ZINC000485534781 1073528272 /nfs/dbraw/zinc/52/82/72/1073528272.db2.gz VQYLRDDYGNIAIP-GASCZTMLSA-N 0 0 434.493 -0.287 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)[C@H]1CCC[C@@H]1C(=O)NCCN1C(=O)CCCC1=O ZINC000485534783 1073528152 /nfs/dbraw/zinc/52/81/52/1073528152.db2.gz VQYLRDDYGNIAIP-GJZGRUSLSA-N 0 0 434.493 -0.287 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)[C@@H]1CCC[C@H]1C(=O)NCCN1C(=O)CCCC1=O ZINC000485534785 1073528207 /nfs/dbraw/zinc/52/82/07/1073528207.db2.gz VQYLRDDYGNIAIP-HUUCEWRRSA-N 0 0 434.493 -0.287 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C2CCN(S(N)(=O)=O)CC2)CC1)C1CCCCC1 ZINC000485535186 1073528521 /nfs/dbraw/zinc/52/85/21/1073528521.db2.gz WZXKOSXWPPLFDY-UHFFFAOYSA-N 0 0 429.587 -0.163 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(F)cc1 ZINC000485536085 1073528195 /nfs/dbraw/zinc/52/81/95/1073528195.db2.gz GDKDGXOYUHIESW-CYBMUJFWSA-N 0 0 432.456 -0.466 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(F)cc1 ZINC000485536086 1073528112 /nfs/dbraw/zinc/52/81/12/1073528112.db2.gz GDKDGXOYUHIESW-ZDUSSCGKSA-N 0 0 432.456 -0.466 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N(CCO)CCN1CCOCC1 ZINC000485536128 1073275450 /nfs/dbraw/zinc/27/54/50/1073275450.db2.gz GMZVXPKWUWWEPM-UHFFFAOYSA-N 0 0 444.554 -0.865 20 0 IBADRN C[C@H]1CCCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000485537183 1073528466 /nfs/dbraw/zinc/52/84/66/1073528466.db2.gz OSHRPYAFITWHLZ-DLBZAZTESA-N 0 0 429.587 -0.435 20 0 IBADRN C[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000485537184 1073528534 /nfs/dbraw/zinc/52/85/34/1073528534.db2.gz OSHRPYAFITWHLZ-IAGOWNOFSA-N 0 0 429.587 -0.435 20 0 IBADRN C[C@H]1CCCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000485537185 1073528337 /nfs/dbraw/zinc/52/83/37/1073528337.db2.gz OSHRPYAFITWHLZ-IRXDYDNUSA-N 0 0 429.587 -0.435 20 0 IBADRN C[C@@H]1CCCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000485537186 1073528170 /nfs/dbraw/zinc/52/81/70/1073528170.db2.gz OSHRPYAFITWHLZ-SJORKVTESA-N 0 0 429.587 -0.435 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000485550701 1073528771 /nfs/dbraw/zinc/52/87/71/1073528771.db2.gz WWPQMWGQCZUXKG-DLBZAZTESA-N 0 0 441.506 -0.643 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000485550711 1073528789 /nfs/dbraw/zinc/52/87/89/1073528789.db2.gz WWPQMWGQCZUXKG-IAGOWNOFSA-N 0 0 441.506 -0.643 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000485550713 1073528892 /nfs/dbraw/zinc/52/88/92/1073528892.db2.gz WWPQMWGQCZUXKG-IRXDYDNUSA-N 0 0 441.506 -0.643 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000485550716 1073528906 /nfs/dbraw/zinc/52/89/06/1073528906.db2.gz WWPQMWGQCZUXKG-SJORKVTESA-N 0 0 441.506 -0.643 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H]2CCOC[C@H]2OCCOC)c1 ZINC000485556848 1073528979 /nfs/dbraw/zinc/52/89/79/1073528979.db2.gz HGSYGKRJKUYHCO-DLBZAZTESA-N 0 0 445.538 -0.185 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H]2CCOC[C@H]2OCCOC)c1 ZINC000485556850 1073528917 /nfs/dbraw/zinc/52/89/17/1073528917.db2.gz HGSYGKRJKUYHCO-IAGOWNOFSA-N 0 0 445.538 -0.185 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H]2CCOC[C@@H]2OCCOC)c1 ZINC000485556854 1073528930 /nfs/dbraw/zinc/52/89/30/1073528930.db2.gz HGSYGKRJKUYHCO-IRXDYDNUSA-N 0 0 445.538 -0.185 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H]2CCOC[C@@H]2OCCOC)c1 ZINC000485556861 1073528869 /nfs/dbraw/zinc/52/88/69/1073528869.db2.gz HGSYGKRJKUYHCO-SJORKVTESA-N 0 0 445.538 -0.185 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000485561109 1073528840 /nfs/dbraw/zinc/52/88/40/1073528840.db2.gz NDQOFJCVTDAXNH-UHFFFAOYSA-N 0 0 425.555 -0.238 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@H](CO)NC(=O)C(C)C)CC2)c1 ZINC000485570338 1073528798 /nfs/dbraw/zinc/52/87/98/1073528798.db2.gz PHMRKTLUKOUJLW-ZDUSSCGKSA-N 0 0 447.535 -0.499 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1 ZINC000485574771 1073528940 /nfs/dbraw/zinc/52/89/40/1073528940.db2.gz GVZNOEWJWWKVSY-OAHLLOKOSA-N 0 0 440.526 -0.364 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000485575150 1073529019 /nfs/dbraw/zinc/52/90/19/1073529019.db2.gz GCWXLVBFJYUMKX-UHFFFAOYSA-N 0 0 440.547 -0.111 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1)C(N)=O ZINC000485575413 1073528818 /nfs/dbraw/zinc/52/88/18/1073528818.db2.gz YYJGUZGMWHTVTG-UHFFFAOYSA-N 0 0 446.508 -0.132 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)Cc3cc(S(N)(=O)=O)cn3C)CC2)C1 ZINC000485576165 1073528743 /nfs/dbraw/zinc/52/87/43/1073528743.db2.gz QTYMDHXYHZMKQC-HOTGVXAUSA-N 0 0 439.582 -0.136 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)Cc3cc(S(N)(=O)=O)cn3C)CC2)C1 ZINC000485576168 1073529006 /nfs/dbraw/zinc/52/90/06/1073529006.db2.gz QTYMDHXYHZMKQC-HZPDHXFCSA-N 0 0 439.582 -0.136 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)Cc3cc(S(N)(=O)=O)cn3C)CC2)C1 ZINC000485576173 1073528994 /nfs/dbraw/zinc/52/89/94/1073528994.db2.gz QTYMDHXYHZMKQC-IYBDPMFKSA-N 0 0 439.582 -0.136 20 0 IBADRN NC(=O)[C@@H]1CCCN(C(=O)CN(CC(=O)N2CCC[C@H](C(N)=O)C2)c2ccccc2)C1 ZINC000485578698 1073528880 /nfs/dbraw/zinc/52/88/80/1073528880.db2.gz LCQWBKODTTTZLE-CALCHBBNSA-N 0 0 429.521 -0.059 20 0 IBADRN NC(=O)[C@@H]1CCCN(C(=O)CN(CC(=O)N2CCC[C@@H](C(N)=O)C2)c2ccccc2)C1 ZINC000485578701 1073528754 /nfs/dbraw/zinc/52/87/54/1073528754.db2.gz LCQWBKODTTTZLE-IAGOWNOFSA-N 0 0 429.521 -0.059 20 0 IBADRN NC(=O)[C@H]1CCCN(C(=O)CN(CC(=O)N2CCC[C@H](C(N)=O)C2)c2ccccc2)C1 ZINC000485578703 1073529423 /nfs/dbraw/zinc/52/94/23/1073529423.db2.gz LCQWBKODTTTZLE-IRXDYDNUSA-N 0 0 429.521 -0.059 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](CO)NC(=O)C(C)C)CC2)cc1 ZINC000485583336 1073529734 /nfs/dbraw/zinc/52/97/34/1073529734.db2.gz MJPDINIHZQVPHH-KRWDZBQOSA-N 0 0 425.507 -0.145 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000485586454 1073529669 /nfs/dbraw/zinc/52/96/69/1073529669.db2.gz SPARMAFFYGUUEU-DLBZAZTESA-N 0 0 441.506 -0.643 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000485586455 1073529503 /nfs/dbraw/zinc/52/95/03/1073529503.db2.gz SPARMAFFYGUUEU-IAGOWNOFSA-N 0 0 441.506 -0.643 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000485586456 1073529545 /nfs/dbraw/zinc/52/95/45/1073529545.db2.gz SPARMAFFYGUUEU-IRXDYDNUSA-N 0 0 441.506 -0.643 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000485586457 1073529436 /nfs/dbraw/zinc/52/94/36/1073529436.db2.gz SPARMAFFYGUUEU-SJORKVTESA-N 0 0 441.506 -0.643 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000485592186 1073530331 /nfs/dbraw/zinc/53/03/31/1073530331.db2.gz OAFPLFFZJXEEKC-UHFFFAOYSA-N 0 0 438.488 -0.024 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000485593808 1073530463 /nfs/dbraw/zinc/53/04/63/1073530463.db2.gz SHTBUARKDFQSRD-HNNXBMFYSA-N 0 0 434.468 -0.425 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000485593809 1073530084 /nfs/dbraw/zinc/53/00/84/1073530084.db2.gz SHTBUARKDFQSRD-OAHLLOKOSA-N 0 0 434.468 -0.425 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c2C)nn1 ZINC000485594284 1073530164 /nfs/dbraw/zinc/53/01/64/1073530164.db2.gz UHIWQOPHPDOGNG-UHFFFAOYSA-N 0 0 427.465 -0.068 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)cn1C ZINC000485595892 1073530394 /nfs/dbraw/zinc/53/03/94/1073530394.db2.gz BMEVLBBLRYHSLX-UHFFFAOYSA-N 0 0 436.494 -0.359 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000485598197 1073529401 /nfs/dbraw/zinc/52/94/01/1073529401.db2.gz JJYFSNZPJHCZIG-DZGCQCFKSA-N 0 0 435.481 -0.073 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000485598198 1073529532 /nfs/dbraw/zinc/52/95/32/1073529532.db2.gz JJYFSNZPJHCZIG-HIFRSBDPSA-N 0 0 435.481 -0.073 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000485598199 1073529722 /nfs/dbraw/zinc/52/97/22/1073529722.db2.gz JJYFSNZPJHCZIG-UKRRQHHQSA-N 0 0 435.481 -0.073 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000485598200 1073529661 /nfs/dbraw/zinc/52/96/61/1073529661.db2.gz JJYFSNZPJHCZIG-ZFWWWQNUSA-N 0 0 435.481 -0.073 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(c3nnc(C(F)(F)F)s3)CC2)cn1 ZINC000485601750 1073529518 /nfs/dbraw/zinc/52/95/18/1073529518.db2.gz SLLQJGDRXMNWRI-UHFFFAOYSA-N 0 0 439.445 -0.083 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000485604230 1073529386 /nfs/dbraw/zinc/52/93/86/1073529386.db2.gz BFXQTMFAUNEQJK-UHFFFAOYSA-N 0 0 433.534 -0.324 20 0 IBADRN Nc1nc(CN2CCN(C(=O)NCCCN3C(=O)CNC3=O)CC2)nc2ccccc12 ZINC000485605097 1073530357 /nfs/dbraw/zinc/53/03/57/1073530357.db2.gz CDOGCJNXARULDK-UHFFFAOYSA-N 0 0 426.481 -0.019 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000485607846 1073530053 /nfs/dbraw/zinc/53/00/53/1073530053.db2.gz GHAQDVWCZQVQRT-UHFFFAOYSA-N 0 0 445.545 -0.052 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1)C(N)=O ZINC000485608934 1073530315 /nfs/dbraw/zinc/53/03/15/1073530315.db2.gz VUJJDXUXULQNGL-UHFFFAOYSA-N 0 0 446.508 -0.214 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000485619464 1073530450 /nfs/dbraw/zinc/53/04/50/1073530450.db2.gz IUHBNTNZLUWHPU-DLBZAZTESA-N 0 0 443.522 -0.397 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000485619465 1073530481 /nfs/dbraw/zinc/53/04/81/1073530481.db2.gz IUHBNTNZLUWHPU-IAGOWNOFSA-N 0 0 443.522 -0.397 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000485619466 1073530182 /nfs/dbraw/zinc/53/01/82/1073530182.db2.gz IUHBNTNZLUWHPU-IRXDYDNUSA-N 0 0 443.522 -0.397 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000485619467 1073530487 /nfs/dbraw/zinc/53/04/87/1073530487.db2.gz IUHBNTNZLUWHPU-SJORKVTESA-N 0 0 443.522 -0.397 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000485622887 1073530382 /nfs/dbraw/zinc/53/03/82/1073530382.db2.gz RMYAKSPKBHMKBP-APHBMKBZSA-N 0 0 429.495 -0.611 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000485622888 1073530372 /nfs/dbraw/zinc/53/03/72/1073530372.db2.gz RMYAKSPKBHMKBP-DAXOMENPSA-N 0 0 429.495 -0.611 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000485622889 1073530472 /nfs/dbraw/zinc/53/04/72/1073530472.db2.gz RMYAKSPKBHMKBP-UHOFOFEASA-N 0 0 429.495 -0.611 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000485622890 1073530302 /nfs/dbraw/zinc/53/03/02/1073530302.db2.gz RMYAKSPKBHMKBP-VBNZEHGJSA-N 0 0 429.495 -0.611 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000485628956 1072825372 /nfs/dbraw/zinc/82/53/72/1072825372.db2.gz SACPHAHBBLVFJK-GFCCVEGCSA-N 0 0 432.462 -0.478 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000485628957 1072825392 /nfs/dbraw/zinc/82/53/92/1072825392.db2.gz SACPHAHBBLVFJK-LBPRGKRZSA-N 0 0 432.462 -0.478 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000485630088 1073531012 /nfs/dbraw/zinc/53/10/12/1073531012.db2.gz URMZNBCJZMEACI-CYBMUJFWSA-N 0 0 428.536 -0.292 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000485630095 1073530963 /nfs/dbraw/zinc/53/09/63/1073530963.db2.gz URMZNBCJZMEACI-ZDUSSCGKSA-N 0 0 428.536 -0.292 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC1 ZINC000485634955 1073530926 /nfs/dbraw/zinc/53/09/26/1073530926.db2.gz DXIMFDKUSMEEOE-HNNXBMFYSA-N 0 0 425.555 -0.240 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC1 ZINC000485634956 1073530811 /nfs/dbraw/zinc/53/08/11/1073530811.db2.gz DXIMFDKUSMEEOE-OAHLLOKOSA-N 0 0 425.555 -0.240 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000485636695 1073530886 /nfs/dbraw/zinc/53/08/86/1073530886.db2.gz WPBMGCGSAPBOBI-OAHLLOKOSA-N 0 0 440.526 -0.445 20 0 IBADRN Cc1cc(C(=O)NCCCNS(C)(=O)=O)cc(C(=O)NCCCNS(C)(=O)=O)c1 ZINC000485643947 1073531022 /nfs/dbraw/zinc/53/10/22/1073531022.db2.gz PMGBSQJNXYCSNW-UHFFFAOYSA-N 0 0 448.567 -0.667 20 0 IBADRN O=C(CCNC(=O)c1ccc(C(=O)NCCC(=O)N2CCOCC2)nc1)N1CCOCC1 ZINC000485645655 1073531313 /nfs/dbraw/zinc/53/13/13/1073531313.db2.gz VVTWBUUCYBBWRA-UHFFFAOYSA-N 0 0 447.492 -0.961 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1)C1CCCCC1 ZINC000485647360 1073531486 /nfs/dbraw/zinc/53/14/86/1073531486.db2.gz INYHAWWLVJWZCN-UHFFFAOYSA-N 0 0 440.570 -0.144 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CC1)C1CCCCC1 ZINC000485648245 1073531565 /nfs/dbraw/zinc/53/15/65/1073531565.db2.gz LFSWKNZVWWLTKN-UHFFFAOYSA-N 0 0 440.570 -0.366 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21 ZINC000485651433 1073531537 /nfs/dbraw/zinc/53/15/37/1073531537.db2.gz BSDBCWOSZCYTNX-LLVKDONJSA-N 0 0 426.389 -0.408 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21 ZINC000485651442 1073531380 /nfs/dbraw/zinc/53/13/80/1073531380.db2.gz BSDBCWOSZCYTNX-NSHDSACASA-N 0 0 426.389 -0.408 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000485653141 1073531547 /nfs/dbraw/zinc/53/15/47/1073531547.db2.gz FXBVHPYMTFCQLR-UHFFFAOYSA-N 0 0 427.508 -0.857 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC000485656062 1073531525 /nfs/dbraw/zinc/53/15/25/1073531525.db2.gz NEMHGTDMYJZGHI-HNNXBMFYSA-N 0 0 443.570 -0.426 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC000485656110 1073531368 /nfs/dbraw/zinc/53/13/68/1073531368.db2.gz NEMHGTDMYJZGHI-OAHLLOKOSA-N 0 0 443.570 -0.426 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000485667954 1073531390 /nfs/dbraw/zinc/53/13/90/1073531390.db2.gz BVFGCKJWRFXBCA-HNNXBMFYSA-N 0 0 430.504 -0.117 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000485667955 1073531582 /nfs/dbraw/zinc/53/15/82/1073531582.db2.gz BVFGCKJWRFXBCA-OAHLLOKOSA-N 0 0 430.504 -0.117 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(C)c(C)c(S(=O)(=O)N(C)C)c1 ZINC000485672527 1073532016 /nfs/dbraw/zinc/53/20/16/1073532016.db2.gz LHNTZGQIQCWNFY-AWEZNQCLSA-N 0 0 432.520 -0.034 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(C)c(C)c(S(=O)(=O)N(C)C)c1 ZINC000485672528 1073531851 /nfs/dbraw/zinc/53/18/51/1073531851.db2.gz LHNTZGQIQCWNFY-CQSZACIVSA-N 0 0 432.520 -0.034 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000485673753 1073531885 /nfs/dbraw/zinc/53/18/85/1073531885.db2.gz BWRBSGYLZCCKKD-INIZCTEOSA-N 0 0 436.534 -0.045 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000485676647 1073532097 /nfs/dbraw/zinc/53/20/97/1073532097.db2.gz ASMQTBJTFMQTGF-CYBMUJFWSA-N 0 0 449.503 -0.334 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000485676648 1073531873 /nfs/dbraw/zinc/53/18/73/1073531873.db2.gz ASMQTBJTFMQTGF-ZDUSSCGKSA-N 0 0 449.503 -0.334 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000485677750 1073531901 /nfs/dbraw/zinc/53/19/01/1073531901.db2.gz YNHYQOJBLMLGME-DOMZBBRYSA-N 0 0 432.520 -0.161 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000485677752 1073531942 /nfs/dbraw/zinc/53/19/42/1073531942.db2.gz YNHYQOJBLMLGME-IUODEOHRSA-N 0 0 432.520 -0.161 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000485677754 1073531928 /nfs/dbraw/zinc/53/19/28/1073531928.db2.gz YNHYQOJBLMLGME-SWLSCSKDSA-N 0 0 432.520 -0.161 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000485677756 1073532057 /nfs/dbraw/zinc/53/20/57/1073532057.db2.gz YNHYQOJBLMLGME-WFASDCNBSA-N 0 0 432.520 -0.161 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485678023 1073531913 /nfs/dbraw/zinc/53/19/13/1073531913.db2.gz ZJTBWBGONACKJN-HNNXBMFYSA-N 0 0 446.503 -0.880 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000485678024 1073531964 /nfs/dbraw/zinc/53/19/64/1073531964.db2.gz ZJTBWBGONACKJN-OAHLLOKOSA-N 0 0 446.503 -0.880 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cnc21 ZINC000485678637 1073532740 /nfs/dbraw/zinc/53/27/40/1073532740.db2.gz QAPQDLBPSIQYTL-UHFFFAOYSA-N 0 0 442.476 -0.186 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000485678938 1073532765 /nfs/dbraw/zinc/53/27/65/1073532765.db2.gz MZLXNLWOKVORRZ-INIZCTEOSA-N 0 0 444.531 -0.114 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000485678943 1073532622 /nfs/dbraw/zinc/53/26/22/1073532622.db2.gz MZLXNLWOKVORRZ-MRXNPFEDSA-N 0 0 444.531 -0.114 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(CCCC(C)(C)C2)C1=O ZINC000485679630 1073532520 /nfs/dbraw/zinc/53/25/20/1073532520.db2.gz CYNRXYAMSUDZEY-KPZWWZAWSA-N 0 0 429.495 -0.324 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(CCCC(C)(C)C2)C1=O ZINC000485679631 1073532574 /nfs/dbraw/zinc/53/25/74/1073532574.db2.gz CYNRXYAMSUDZEY-KZULUSFZSA-N 0 0 429.495 -0.324 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(CCCC(C)(C)C2)C1=O ZINC000485679632 1073532561 /nfs/dbraw/zinc/53/25/61/1073532561.db2.gz CYNRXYAMSUDZEY-SGTLLEGYSA-N 0 0 429.495 -0.324 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(CCCC(C)(C)C2)C1=O ZINC000485679633 1073532731 /nfs/dbraw/zinc/53/27/31/1073532731.db2.gz CYNRXYAMSUDZEY-XIKOKIGWSA-N 0 0 429.495 -0.324 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H]3CCS(=O)(=O)[C@H]3C)c2)CC1 ZINC000485680126 1073533294 /nfs/dbraw/zinc/53/32/94/1073533294.db2.gz DTZBCTDFYOVZGD-GUYCJALGSA-N 0 0 443.547 -0.155 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN(C)C(=O)c1ccc(Br)o1 ZINC000485680976 1073532643 /nfs/dbraw/zinc/53/26/43/1073532643.db2.gz AHPZDHKIPIURTN-SECBINFHSA-N 0 0 437.268 -0.087 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN(C)C(=O)c1ccc(Br)o1 ZINC000485680979 1073533281 /nfs/dbraw/zinc/53/32/81/1073533281.db2.gz AHPZDHKIPIURTN-VIFPVBQESA-N 0 0 437.268 -0.087 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(-n2cc(Br)cn2)nn1 ZINC000485682407 1073533199 /nfs/dbraw/zinc/53/31/99/1073533199.db2.gz KPEFEVQLHOPFSO-LLVKDONJSA-N 0 0 444.267 -0.163 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(-n2cc(Br)cn2)nn1 ZINC000485682408 1073533318 /nfs/dbraw/zinc/53/33/18/1073533318.db2.gz KPEFEVQLHOPFSO-NSHDSACASA-N 0 0 444.267 -0.163 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000485682670 1073533271 /nfs/dbraw/zinc/53/32/71/1073533271.db2.gz LDXUUGUYHSJVAV-BLLLJJGKSA-N 0 0 440.474 -0.145 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000485682671 1073533177 /nfs/dbraw/zinc/53/31/77/1073533177.db2.gz LDXUUGUYHSJVAV-LRDDRELGSA-N 0 0 440.474 -0.145 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000485682672 1073533132 /nfs/dbraw/zinc/53/31/32/1073533132.db2.gz LDXUUGUYHSJVAV-MLGOLLRUSA-N 0 0 440.474 -0.145 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000485682673 1073533187 /nfs/dbraw/zinc/53/31/87/1073533187.db2.gz LDXUUGUYHSJVAV-WBMJQRKESA-N 0 0 440.474 -0.145 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000485684947 1073533152 /nfs/dbraw/zinc/53/31/52/1073533152.db2.gz RNIASROOMHCRLZ-GDBMZVCRSA-N 0 0 444.531 -0.114 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000485684948 1073533104 /nfs/dbraw/zinc/53/31/04/1073533104.db2.gz RNIASROOMHCRLZ-GOEBONIOSA-N 0 0 444.531 -0.114 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000485684950 1073533094 /nfs/dbraw/zinc/53/30/94/1073533094.db2.gz RNIASROOMHCRLZ-HOCLYGCPSA-N 0 0 444.531 -0.114 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000485684952 1073533144 /nfs/dbraw/zinc/53/31/44/1073533144.db2.gz RNIASROOMHCRLZ-ZBFHGGJFSA-N 0 0 444.531 -0.114 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)CS(=O)(=O)c1ccc(OC)cc1 ZINC000485685061 1073533248 /nfs/dbraw/zinc/53/32/48/1073533248.db2.gz SFWKBWZLYADECN-DOMZBBRYSA-N 0 0 433.504 -0.096 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)CS(=O)(=O)c1ccc(OC)cc1 ZINC000485685062 1073533236 /nfs/dbraw/zinc/53/32/36/1073533236.db2.gz SFWKBWZLYADECN-IUODEOHRSA-N 0 0 433.504 -0.096 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H](C)CS(=O)(=O)c1ccc(OC)cc1 ZINC000485685063 1073533115 /nfs/dbraw/zinc/53/31/15/1073533115.db2.gz SFWKBWZLYADECN-SWLSCSKDSA-N 0 0 433.504 -0.096 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H](C)CS(=O)(=O)c1ccc(OC)cc1 ZINC000485685064 1073533308 /nfs/dbraw/zinc/53/33/08/1073533308.db2.gz SFWKBWZLYADECN-WFASDCNBSA-N 0 0 433.504 -0.096 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(N2CCCS2(=O)=O)cc1C ZINC000485685638 1073533258 /nfs/dbraw/zinc/53/32/58/1073533258.db2.gz UKBWXJYYMBSLTE-HNNXBMFYSA-N 0 0 430.504 -0.053 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(N2CCCS2(=O)=O)cc1C ZINC000485685639 1073533165 /nfs/dbraw/zinc/53/31/65/1073533165.db2.gz UKBWXJYYMBSLTE-OAHLLOKOSA-N 0 0 430.504 -0.053 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000485686998 1073533655 /nfs/dbraw/zinc/53/36/55/1073533655.db2.gz UYUDLWQZRYUVEB-UHFFFAOYSA-N 0 0 434.474 -0.072 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cnc21 ZINC000485689589 1073532697 /nfs/dbraw/zinc/53/26/97/1073532697.db2.gz JAEODIVCISGGDU-UHFFFAOYSA-N 0 0 428.449 -0.530 20 0 IBADRN CC(C)Cc1nnc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000485690212 1073532584 /nfs/dbraw/zinc/53/25/84/1073532584.db2.gz AYPKNZNLDATYRD-UHFFFAOYSA-N 0 0 445.615 -0.215 20 0 IBADRN CCCCc1nnc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000485693295 1073532536 /nfs/dbraw/zinc/53/25/36/1073532536.db2.gz HOWAFXWXHSVWNU-UHFFFAOYSA-N 0 0 445.615 -0.071 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)C[C@@H](C)N2S(C)(=O)=O ZINC000485694019 1073532610 /nfs/dbraw/zinc/53/26/10/1073532610.db2.gz PBNCODSMPQPESA-ABAIWWIYSA-N 0 0 430.504 -0.191 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)C[C@@H](C)N2S(C)(=O)=O ZINC000485694077 1073532664 /nfs/dbraw/zinc/53/26/64/1073532664.db2.gz PBNCODSMPQPESA-IAQYHMDHSA-N 0 0 430.504 -0.191 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)C[C@H](C)N2S(C)(=O)=O ZINC000485694078 1073532634 /nfs/dbraw/zinc/53/26/34/1073532634.db2.gz PBNCODSMPQPESA-NHYWBVRUSA-N 0 0 430.504 -0.191 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)C[C@H](C)N2S(C)(=O)=O ZINC000485694079 1073532496 /nfs/dbraw/zinc/53/24/96/1073532496.db2.gz PBNCODSMPQPESA-XHDPSFHLSA-N 0 0 430.504 -0.191 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000485694140 1073532674 /nfs/dbraw/zinc/53/26/74/1073532674.db2.gz PIGCFPDJKABBOL-KRWDZBQOSA-N 0 0 427.523 -0.402 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)NC2(C[C@@H](C)C[C@H](C)C2)C1=O ZINC000485694201 1073533932 /nfs/dbraw/zinc/53/39/32/1073533932.db2.gz IKPXAAHXYIXWOR-AVGNSLFASA-N 0 0 429.495 -0.468 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O ZINC000485694210 1073533804 /nfs/dbraw/zinc/53/38/04/1073533804.db2.gz IKPXAAHXYIXWOR-SJBDTSRBSA-N 0 0 429.495 -0.468 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)NC2(C[C@H](C)C[C@@H](C)C2)C1=O ZINC000485694219 1073533641 /nfs/dbraw/zinc/53/36/41/1073533641.db2.gz IKPXAAHXYIXWOR-UPJWGTAASA-N 0 0 429.495 -0.468 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O ZINC000485694228 1073533901 /nfs/dbraw/zinc/53/39/01/1073533901.db2.gz IKPXAAHXYIXWOR-YFQWEKLQSA-N 0 0 429.495 -0.468 20 0 IBADRN CC(C)c1nnc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000485694567 1073533674 /nfs/dbraw/zinc/53/36/74/1073533674.db2.gz KNGAVUQMQBTIAE-UHFFFAOYSA-N 0 0 431.588 -0.290 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3nnc(C(C)(C)C)s3)CC2)CC1 ZINC000485696201 1073533695 /nfs/dbraw/zinc/53/36/95/1073533695.db2.gz NXJDVUSOQNGDHX-UHFFFAOYSA-N 0 0 445.615 -0.116 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C ZINC000485697070 1073533726 /nfs/dbraw/zinc/53/37/26/1073533726.db2.gz ONMKCVNXGBYDLE-FOIQADDNSA-N 0 0 449.485 -0.216 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C ZINC000485697071 1073533774 /nfs/dbraw/zinc/53/37/74/1073533774.db2.gz ONMKCVNXGBYDLE-MGPUTAFESA-N 0 0 449.485 -0.216 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@]21C ZINC000485697072 1073533663 /nfs/dbraw/zinc/53/36/63/1073533663.db2.gz ONMKCVNXGBYDLE-QRWLVFNGSA-N 0 0 449.485 -0.216 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@]21C ZINC000485697073 1073533781 /nfs/dbraw/zinc/53/37/81/1073533781.db2.gz ONMKCVNXGBYDLE-YWZLYKJASA-N 0 0 449.485 -0.216 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1nnn(-c2ccc3c(c2)OCCO3)c1C ZINC000485698951 1073533792 /nfs/dbraw/zinc/53/37/92/1073533792.db2.gz ZHXAYJVBOCQVLH-CYBMUJFWSA-N 0 0 436.446 -0.241 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1nnn(-c2ccc3c(c2)OCCO3)c1C ZINC000485698952 1073534454 /nfs/dbraw/zinc/53/44/54/1073534454.db2.gz ZHXAYJVBOCQVLH-ZDUSSCGKSA-N 0 0 436.446 -0.241 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN(C)S(=O)(=O)c1ccc(C)c(C)c1 ZINC000485699139 1073534461 /nfs/dbraw/zinc/53/44/61/1073534461.db2.gz VVZLLVXYVPEDFR-HNNXBMFYSA-N 0 0 432.520 -0.277 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN(C)S(=O)(=O)c1ccc(C)c(C)c1 ZINC000485699140 1073534329 /nfs/dbraw/zinc/53/43/29/1073534329.db2.gz VVZLLVXYVPEDFR-OAHLLOKOSA-N 0 0 432.520 -0.277 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CC[C@H]1NC(=O)N(c2cccc(C)c2)C1=O ZINC000485700604 1073534306 /nfs/dbraw/zinc/53/43/06/1073534306.db2.gz YTLVYADPFGIXQD-CABCVRRESA-N 0 0 437.474 -0.001 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CC[C@@H]1NC(=O)N(c2cccc(C)c2)C1=O ZINC000485700610 1073534245 /nfs/dbraw/zinc/53/42/45/1073534245.db2.gz YTLVYADPFGIXQD-GJZGRUSLSA-N 0 0 437.474 -0.001 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CC[C@H]1NC(=O)N(c2cccc(C)c2)C1=O ZINC000485700613 1073534281 /nfs/dbraw/zinc/53/42/81/1073534281.db2.gz YTLVYADPFGIXQD-HUUCEWRRSA-N 0 0 437.474 -0.001 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CC[C@@H]1NC(=O)N(c2cccc(C)c2)C1=O ZINC000485700615 1073534256 /nfs/dbraw/zinc/53/42/56/1073534256.db2.gz YTLVYADPFGIXQD-LSDHHAIUSA-N 0 0 437.474 -0.001 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000485704511 1073533743 /nfs/dbraw/zinc/53/37/43/1073533743.db2.gz FEDOTCFDACVMTQ-DLBZAZTESA-N 0 0 448.520 -0.082 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000485704512 1073533734 /nfs/dbraw/zinc/53/37/34/1073533734.db2.gz FEDOTCFDACVMTQ-IAGOWNOFSA-N 0 0 448.520 -0.082 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000485704513 1073533713 /nfs/dbraw/zinc/53/37/13/1073533713.db2.gz FEDOTCFDACVMTQ-SJORKVTESA-N 0 0 448.520 -0.082 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000485709271 1073533753 /nfs/dbraw/zinc/53/37/53/1073533753.db2.gz KCHXMHANAPLKTD-UHFFFAOYSA-N 0 0 433.534 -0.081 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)Cc2cc(S(N)(=O)=O)cn2C)c1 ZINC000485715655 1073534421 /nfs/dbraw/zinc/53/44/21/1073534421.db2.gz ZWBOPACLECDGHP-UHFFFAOYSA-N 0 0 444.491 -0.695 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n(C)c1 ZINC000485716701 1073534379 /nfs/dbraw/zinc/53/43/79/1073534379.db2.gz MQPMEMPCWYTHKA-UHFFFAOYSA-N 0 0 445.523 -0.449 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccccc2)C1 ZINC000485723793 1073534354 /nfs/dbraw/zinc/53/43/54/1073534354.db2.gz XFUUBOOBWJRAHV-HOCLYGCPSA-N 0 0 430.552 -0.129 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccccc2)C1 ZINC000485723795 1073534370 /nfs/dbraw/zinc/53/43/70/1073534370.db2.gz XFUUBOOBWJRAHV-ZBFHGGJFSA-N 0 0 430.552 -0.129 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)CNS(=O)(=O)c1ccc3c(c1)OCCCO3)CC2 ZINC000485734744 1073534846 /nfs/dbraw/zinc/53/48/46/1073534846.db2.gz DYPMCMXXXHFVHK-UHFFFAOYSA-N 0 0 434.474 -0.195 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000485738617 1073534878 /nfs/dbraw/zinc/53/48/78/1073534878.db2.gz OLVDLTATOLWGNA-UHFFFAOYSA-N 0 0 442.501 -0.087 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)Cn3ncn4nccc4c3=O)c2)CC1 ZINC000485739568 1073534744 /nfs/dbraw/zinc/53/47/44/1073534744.db2.gz RYVPCONCZARDMA-UHFFFAOYSA-N 0 0 445.505 -0.144 20 0 IBADRN CCn1cc(N2C(=O)C[C@H](N3CCC[C@@H](S(=O)(=O)N(C)CCOC)C3)C2=O)cn1 ZINC000485755886 1073534921 /nfs/dbraw/zinc/53/49/21/1073534921.db2.gz RBLYQJOPFYKAFT-CVEARBPZSA-N 0 0 427.527 -0.093 20 0 IBADRN CCn1cc(N2C(=O)C[C@H](N3CCC[C@H](S(=O)(=O)N(C)CCOC)C3)C2=O)cn1 ZINC000485755890 1073534936 /nfs/dbraw/zinc/53/49/36/1073534936.db2.gz RBLYQJOPFYKAFT-HOTGVXAUSA-N 0 0 427.527 -0.093 20 0 IBADRN CCn1cc(N2C(=O)C[C@@H](N3CCC[C@@H](S(=O)(=O)N(C)CCOC)C3)C2=O)cn1 ZINC000485755891 1073535297 /nfs/dbraw/zinc/53/52/97/1073535297.db2.gz RBLYQJOPFYKAFT-HZPDHXFCSA-N 0 0 427.527 -0.093 20 0 IBADRN CCn1cc(N2C(=O)C[C@@H](N3CCC[C@H](S(=O)(=O)N(C)CCOC)C3)C2=O)cn1 ZINC000485755892 1073535287 /nfs/dbraw/zinc/53/52/87/1073535287.db2.gz RBLYQJOPFYKAFT-JKSUJKDBSA-N 0 0 427.527 -0.093 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000485765924 1073535326 /nfs/dbraw/zinc/53/53/26/1073535326.db2.gz HEIPFBBVPVLGQE-UHFFFAOYSA-N 0 0 434.927 -0.037 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000485775247 1073535310 /nfs/dbraw/zinc/53/53/10/1073535310.db2.gz KXCNOULCKPSVKJ-UHFFFAOYSA-N 0 0 447.583 -0.520 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)c2cn(Cc3noc(C)n3)nn2)cc1 ZINC000485776380 1073535482 /nfs/dbraw/zinc/53/54/82/1073535482.db2.gz WIPZEQWGXXTROB-UHFFFAOYSA-N 0 0 435.466 -0.127 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)NCCN3C(=O)CNC3=O)CC2)cc1 ZINC000485777391 1073535439 /nfs/dbraw/zinc/53/54/39/1073535439.db2.gz RJONOAZKANTYOT-UHFFFAOYSA-N 0 0 432.481 -0.097 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000485779184 1073535365 /nfs/dbraw/zinc/53/53/65/1073535365.db2.gz VEMSJNIIDLMRIU-UHFFFAOYSA-N 0 0 425.511 -0.024 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000485779232 1073535452 /nfs/dbraw/zinc/53/54/52/1073535452.db2.gz CXLXCUVWWOUEKD-RDJZCZTQSA-N 0 0 427.523 -0.107 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000485779233 1073535340 /nfs/dbraw/zinc/53/53/40/1073535340.db2.gz CXLXCUVWWOUEKD-WBVHZDCISA-N 0 0 427.523 -0.107 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)Cc2cc(S(N)(=O)=O)cn2C)cc1 ZINC000485785359 1073535377 /nfs/dbraw/zinc/53/53/77/1073535377.db2.gz QAXBMHLBQARNHN-UHFFFAOYSA-N 0 0 444.535 -0.544 20 0 IBADRN CC(C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000485792491 1073535258 /nfs/dbraw/zinc/53/52/58/1073535258.db2.gz WOGDGHDJNYXLKL-UHFFFAOYSA-N 0 0 432.568 -0.232 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2)C[C@H](C)O1 ZINC000485810138 1073535426 /nfs/dbraw/zinc/53/54/26/1073535426.db2.gz FQPHVORZSDUUGT-DVOMOZLQSA-N 0 0 436.490 -0.139 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2)C[C@@H](C)O1 ZINC000485810139 1073535492 /nfs/dbraw/zinc/53/54/92/1073535492.db2.gz FQPHVORZSDUUGT-IOASZLSFSA-N 0 0 436.490 -0.139 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2)C[C@@H](C)O1 ZINC000485810140 1073535475 /nfs/dbraw/zinc/53/54/75/1073535475.db2.gz FQPHVORZSDUUGT-XJKCOSOUSA-N 0 0 436.490 -0.139 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2)C[C@H](C)O1 ZINC000485810141 1073535467 /nfs/dbraw/zinc/53/54/67/1073535467.db2.gz FQPHVORZSDUUGT-ZENOOKHLSA-N 0 0 436.490 -0.139 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000485811878 1073535458 /nfs/dbraw/zinc/53/54/58/1073535458.db2.gz IRHQCGKYQHBGOI-UHFFFAOYSA-N 0 0 441.535 -0.789 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000485821726 1073536481 /nfs/dbraw/zinc/53/64/81/1073536481.db2.gz RXCCHOFBWRGGNG-GFCCVEGCSA-N 0 0 432.462 -0.183 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000485821737 1073536573 /nfs/dbraw/zinc/53/65/73/1073536573.db2.gz RXCCHOFBWRGGNG-LBPRGKRZSA-N 0 0 432.462 -0.183 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2ccncc2C)C1 ZINC000485827454 1073535908 /nfs/dbraw/zinc/53/59/08/1073535908.db2.gz VYECVAKJWUFYRH-KRWDZBQOSA-N 0 0 426.539 -0.052 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2ccncc2C)C1 ZINC000485827458 1073535970 /nfs/dbraw/zinc/53/59/70/1073535970.db2.gz VYECVAKJWUFYRH-QGZVFWFLSA-N 0 0 426.539 -0.052 20 0 IBADRN CCc1ccc(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000485828260 1073536015 /nfs/dbraw/zinc/53/60/15/1073536015.db2.gz IEMAAFJYZNKIBV-HNNXBMFYSA-N 0 0 436.490 -0.354 20 0 IBADRN CCc1ccc(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000485828261 1073535926 /nfs/dbraw/zinc/53/59/26/1073535926.db2.gz IEMAAFJYZNKIBV-OAHLLOKOSA-N 0 0 436.490 -0.354 20 0 IBADRN CCNC(=O)C1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000485831625 1073536005 /nfs/dbraw/zinc/53/60/05/1073536005.db2.gz PGGNJYGCURPTPV-UHFFFAOYSA-N 0 0 436.534 -0.106 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2=O)cc1OC ZINC000485837123 1073536528 /nfs/dbraw/zinc/53/65/28/1073536528.db2.gz ZVOGFBOCIUNKEB-CABCVRRESA-N 0 0 430.461 -0.143 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2=O)cc1OC ZINC000485837124 1073536582 /nfs/dbraw/zinc/53/65/82/1073536582.db2.gz ZVOGFBOCIUNKEB-GJZGRUSLSA-N 0 0 430.461 -0.143 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2=O)cc1OC ZINC000485837125 1073536514 /nfs/dbraw/zinc/53/65/14/1073536514.db2.gz ZVOGFBOCIUNKEB-HUUCEWRRSA-N 0 0 430.461 -0.143 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2=O)cc1OC ZINC000485837126 1073536371 /nfs/dbraw/zinc/53/63/71/1073536371.db2.gz ZVOGFBOCIUNKEB-LSDHHAIUSA-N 0 0 430.461 -0.143 20 0 IBADRN CCNC(=O)C1CN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000485837812 1073536333 /nfs/dbraw/zinc/53/63/33/1073536333.db2.gz CGCGDAVPACTBHW-UHFFFAOYSA-N 0 0 425.507 -0.147 20 0 IBADRN Cc1cnccc1CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000485839721 1073536394 /nfs/dbraw/zinc/53/63/94/1073536394.db2.gz MKJOVNYPWXVTIM-UHFFFAOYSA-N 0 0 449.489 -0.726 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000485841122 1073536559 /nfs/dbraw/zinc/53/65/59/1073536559.db2.gz AOZKHMGUJRTHPP-UHFFFAOYSA-N 0 0 444.521 -0.465 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000485844613 1073536549 /nfs/dbraw/zinc/53/65/49/1073536549.db2.gz GHYGRFAYZCCLGI-UHFFFAOYSA-N 0 0 449.533 -0.084 20 0 IBADRN Cc1nc(CN2CCN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CC2)no1 ZINC000485847383 1073536588 /nfs/dbraw/zinc/53/65/88/1073536588.db2.gz JBCAVLAEJDTVJS-UHFFFAOYSA-N 0 0 430.450 -0.253 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1OC ZINC000485854433 1073536410 /nfs/dbraw/zinc/53/64/10/1073536410.db2.gz MYLUZDKJEIPVAU-GFCCVEGCSA-N 0 0 426.451 -0.865 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1OC ZINC000485854434 1073536302 /nfs/dbraw/zinc/53/63/02/1073536302.db2.gz MYLUZDKJEIPVAU-LBPRGKRZSA-N 0 0 426.451 -0.865 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000485854501 1073536428 /nfs/dbraw/zinc/53/64/28/1073536428.db2.gz ZPKXXFAYPRVPOX-UHFFFAOYSA-N 0 0 438.487 -0.293 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC2)c(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000485858330 1073536955 /nfs/dbraw/zinc/53/69/55/1073536955.db2.gz XCULVTRDIMPUSI-INIZCTEOSA-N 0 0 435.506 -0.087 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC2)c(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000485858337 1073537105 /nfs/dbraw/zinc/53/71/05/1073537105.db2.gz XCULVTRDIMPUSI-MRXNPFEDSA-N 0 0 435.506 -0.087 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N[C@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000485862242 1073536938 /nfs/dbraw/zinc/53/69/38/1073536938.db2.gz DQVSHJHKNRCVGC-GHMZBOCLSA-N 0 0 436.508 -0.154 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000485862257 1073537158 /nfs/dbraw/zinc/53/71/58/1073537158.db2.gz DQVSHJHKNRCVGC-MNOVXSKESA-N 0 0 436.508 -0.154 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000485862262 1073536787 /nfs/dbraw/zinc/53/67/87/1073536787.db2.gz DQVSHJHKNRCVGC-QWRGUYRKSA-N 0 0 436.508 -0.154 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000485862264 1073536903 /nfs/dbraw/zinc/53/69/03/1073536903.db2.gz DQVSHJHKNRCVGC-WDEREUQCSA-N 0 0 436.508 -0.154 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000485864744 1073537132 /nfs/dbraw/zinc/53/71/32/1073537132.db2.gz NOHVSCZQUXPBHT-UHFFFAOYSA-N 0 0 438.487 -0.293 20 0 IBADRN Cc1cc(CS(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)on1 ZINC000485865054 1073537068 /nfs/dbraw/zinc/53/70/68/1073537068.db2.gz TWQVFEMRYXLORX-UHFFFAOYSA-N 0 0 429.524 -0.051 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000485868056 1073536461 /nfs/dbraw/zinc/53/64/61/1073536461.db2.gz BOANFVVUSXYUMR-MRVPVSSYSA-N 0 0 447.443 -0.070 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000485868073 1073536352 /nfs/dbraw/zinc/53/63/52/1073536352.db2.gz BOANFVVUSXYUMR-QMMMGPOBSA-N 0 0 447.443 -0.070 20 0 IBADRN Cc1cnccc1CCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000485871552 1073537144 /nfs/dbraw/zinc/53/71/44/1073537144.db2.gz HBBVTMKTLNOXLU-UHFFFAOYSA-N 0 0 426.539 -0.052 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(c3nnc(C(F)(F)F)s3)CC2)CC1 ZINC000485876401 1073536878 /nfs/dbraw/zinc/53/68/78/1073536878.db2.gz OMMJVPVWFDMBOJ-UHFFFAOYSA-N 0 0 449.503 -0.089 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(c3nnc(C(F)(F)F)s3)CC2)c(=O)n(C)c1=O ZINC000485880396 1073536806 /nfs/dbraw/zinc/53/68/06/1073536806.db2.gz AHMQYZAKZYIXCT-UHFFFAOYSA-N 0 0 433.416 -0.459 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccncc3C)CC2)cn1C ZINC000485886852 1073537648 /nfs/dbraw/zinc/53/76/48/1073537648.db2.gz BMYZRNGXWQPIRZ-UHFFFAOYSA-N 0 0 434.522 -0.376 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)C1=O ZINC000485888466 1073537519 /nfs/dbraw/zinc/53/75/19/1073537519.db2.gz KWPBRBPROJEIKW-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)C1=O ZINC000485888467 1073537589 /nfs/dbraw/zinc/53/75/89/1073537589.db2.gz KWPBRBPROJEIKW-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CSCC[C@H](NC(N)=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000485889564 1073537568 /nfs/dbraw/zinc/53/75/68/1073537568.db2.gz CXNCQGZENCSHGK-AWEZNQCLSA-N 0 0 444.583 -0.560 20 0 IBADRN CSCC[C@@H](NC(N)=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000485889565 1073537470 /nfs/dbraw/zinc/53/74/70/1073537470.db2.gz CXNCQGZENCSHGK-CQSZACIVSA-N 0 0 444.583 -0.560 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)NC[C@@H](O)COc1ccc(I)cc1 ZINC000485891446 1073537435 /nfs/dbraw/zinc/53/74/35/1073537435.db2.gz KTIDYZJIUFBOBE-KOLCDFICSA-N 0 0 433.202 -0.255 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)NC[C@H](O)COc1ccc(I)cc1 ZINC000485891453 1073537625 /nfs/dbraw/zinc/53/76/25/1073537625.db2.gz KTIDYZJIUFBOBE-ONGXEEELSA-N 0 0 433.202 -0.255 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000485893471 1073536921 /nfs/dbraw/zinc/53/69/21/1073536921.db2.gz ZLEAXLDFPVWBKG-AWEZNQCLSA-N 0 0 430.527 -0.250 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000485893473 1073537120 /nfs/dbraw/zinc/53/71/20/1073537120.db2.gz ZLEAXLDFPVWBKG-CQSZACIVSA-N 0 0 430.527 -0.250 20 0 IBADRN NC(=O)[C@H](c1cccc(Br)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000485893772 1073537014 /nfs/dbraw/zinc/53/70/14/1073537014.db2.gz GZJKFZGVPBJVTB-HNNXBMFYSA-N 0 0 439.310 -0.022 20 0 IBADRN NC(=O)[C@@H](c1cccc(Br)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000485893777 1073537055 /nfs/dbraw/zinc/53/70/55/1073537055.db2.gz GZJKFZGVPBJVTB-OAHLLOKOSA-N 0 0 439.310 -0.022 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000485894688 1073537038 /nfs/dbraw/zinc/53/70/38/1073537038.db2.gz KABXJZWXTNLGEL-UHFFFAOYSA-N 0 0 444.579 -0.134 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cncc(NS(C)(=O)=O)c2)C1 ZINC000485895962 1073536849 /nfs/dbraw/zinc/53/68/49/1073536849.db2.gz OEIYERUJRHWCOD-HNNXBMFYSA-N 0 0 434.540 -0.034 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cncc(NS(C)(=O)=O)c2)C1 ZINC000485895963 1073537450 /nfs/dbraw/zinc/53/74/50/1073537450.db2.gz OEIYERUJRHWCOD-OAHLLOKOSA-N 0 0 434.540 -0.034 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2OC)C1 ZINC000485896961 1073537502 /nfs/dbraw/zinc/53/75/02/1073537502.db2.gz IBAIIJUAVMAIKO-AWEZNQCLSA-N 0 0 449.551 -0.145 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2OC)C1 ZINC000485896962 1073537554 /nfs/dbraw/zinc/53/75/54/1073537554.db2.gz IBAIIJUAVMAIKO-CQSZACIVSA-N 0 0 449.551 -0.145 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CCCN2C(=O)NC(C)(C)C2=O)C1 ZINC000485900925 1073537534 /nfs/dbraw/zinc/53/75/34/1073537534.db2.gz ZKRZFKVHARYFRX-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CCCN2C(=O)NC(C)(C)C2=O)C1 ZINC000485900926 1073537613 /nfs/dbraw/zinc/53/76/13/1073537613.db2.gz ZKRZFKVHARYFRX-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2csc(S(N)(=O)=O)c2)C1 ZINC000485901486 1073538067 /nfs/dbraw/zinc/53/80/67/1073538067.db2.gz SEABTIZMOFTVAX-GFCCVEGCSA-N 0 0 425.554 -0.092 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2csc(S(N)(=O)=O)c2)C1 ZINC000485901487 1073538137 /nfs/dbraw/zinc/53/81/37/1073538137.db2.gz SEABTIZMOFTVAX-LBPRGKRZSA-N 0 0 425.554 -0.092 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC000485901850 1073537961 /nfs/dbraw/zinc/53/79/61/1073537961.db2.gz VLLXMTHAAFDDKC-INIZCTEOSA-N 0 0 439.600 -0.053 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC000485901853 1073537997 /nfs/dbraw/zinc/53/79/97/1073537997.db2.gz VLLXMTHAAFDDKC-MRXNPFEDSA-N 0 0 439.600 -0.053 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cc1 ZINC000485902877 1073538085 /nfs/dbraw/zinc/53/80/85/1073538085.db2.gz YDFNCGFYXFTJEP-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cccc3[nH]ccc32)CC1 ZINC000485903374 1073538099 /nfs/dbraw/zinc/53/80/99/1073538099.db2.gz ZNZOODPUTIINJV-UHFFFAOYSA-N 0 0 427.505 -0.170 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(CNS(C)(=O)=O)o2)C1 ZINC000485906652 1073537418 /nfs/dbraw/zinc/53/74/18/1073537418.db2.gz MHRBQIQUINQMRF-AWEZNQCLSA-N 0 0 437.540 -0.159 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(CNS(C)(=O)=O)o2)C1 ZINC000485906654 1073537639 /nfs/dbraw/zinc/53/76/39/1073537639.db2.gz MHRBQIQUINQMRF-CQSZACIVSA-N 0 0 437.540 -0.159 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cccc(CS(N)(=O)=O)c2)C1 ZINC000485910092 1073537974 /nfs/dbraw/zinc/53/79/74/1073537974.db2.gz ZWLXPYLTZAKVBT-INIZCTEOSA-N 0 0 433.552 -0.012 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cccc(CS(N)(=O)=O)c2)C1 ZINC000485910094 1073538113 /nfs/dbraw/zinc/53/81/13/1073538113.db2.gz ZWLXPYLTZAKVBT-MRXNPFEDSA-N 0 0 433.552 -0.012 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)C1 ZINC000485911323 1073538031 /nfs/dbraw/zinc/53/80/31/1073538031.db2.gz AMJRBOXJTVYZDD-HNNXBMFYSA-N 0 0 448.567 -0.156 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)C1 ZINC000485911324 1073538019 /nfs/dbraw/zinc/53/80/19/1073538019.db2.gz AMJRBOXJTVYZDD-OAHLLOKOSA-N 0 0 448.567 -0.156 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C2CCN(S(C)(=O)=O)CC2)C1 ZINC000485913217 1073537987 /nfs/dbraw/zinc/53/79/87/1073537987.db2.gz IPUYRWSEHNEAHD-HNNXBMFYSA-N 0 0 425.573 -0.443 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C2CCN(S(C)(=O)=O)CC2)C1 ZINC000485913218 1073537897 /nfs/dbraw/zinc/53/78/97/1073537897.db2.gz IPUYRWSEHNEAHD-OAHLLOKOSA-N 0 0 425.573 -0.443 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1cccc3[nH]ccc31)C2 ZINC000485914592 1073538045 /nfs/dbraw/zinc/53/80/45/1073538045.db2.gz SSVVUGKKFXNELF-UHFFFAOYSA-N 0 0 439.476 -0.685 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000485915286 1073537931 /nfs/dbraw/zinc/53/79/31/1073537931.db2.gz WOZPFTWTTGAPJB-UHFFFAOYSA-N 0 0 429.495 -0.206 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(c3nnc(C(F)(F)F)s3)CC2)c(=O)n(C)c1=O ZINC000485917886 1073538007 /nfs/dbraw/zinc/53/80/07/1073538007.db2.gz DYCFKRQNJXTZJR-UHFFFAOYSA-N 0 0 440.429 -0.535 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000485921258 1073538056 /nfs/dbraw/zinc/53/80/56/1073538056.db2.gz GNAVPPCERXTGNO-UHFFFAOYSA-N 0 0 433.533 -0.237 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cn2cnc3c(cnn3C)c2=O)C1 ZINC000485922973 1073538358 /nfs/dbraw/zinc/53/83/58/1073538358.db2.gz HIGIFGQLOGQZNI-CYBMUJFWSA-N 0 0 426.499 -0.971 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cn2cnc3c(cnn3C)c2=O)C1 ZINC000485922975 1073538450 /nfs/dbraw/zinc/53/84/50/1073538450.db2.gz HIGIFGQLOGQZNI-ZDUSSCGKSA-N 0 0 426.499 -0.971 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)CCOC(C)C)C1 ZINC000485925271 1073538648 /nfs/dbraw/zinc/53/86/48/1073538648.db2.gz LOTMLCJAYGIULL-HNNXBMFYSA-N 0 0 443.588 -0.770 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)CCOC(C)C)C1 ZINC000485925413 1073538396 /nfs/dbraw/zinc/53/83/96/1073538396.db2.gz LOTMLCJAYGIULL-OAHLLOKOSA-N 0 0 443.588 -0.770 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)C1 ZINC000485925690 1073538557 /nfs/dbraw/zinc/53/85/57/1073538557.db2.gz MLXGFDOZRYILTA-KBXCAEBGSA-N 0 0 430.527 -0.394 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)C1 ZINC000485925701 1073538622 /nfs/dbraw/zinc/53/86/22/1073538622.db2.gz MLXGFDOZRYILTA-KDOFPFPSSA-N 0 0 430.527 -0.394 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)C1 ZINC000485925705 1073538438 /nfs/dbraw/zinc/53/84/38/1073538438.db2.gz MLXGFDOZRYILTA-KSSFIOAISA-N 0 0 430.527 -0.394 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)C1 ZINC000485925709 1073538589 /nfs/dbraw/zinc/53/85/89/1073538589.db2.gz MLXGFDOZRYILTA-RDTXWAMCSA-N 0 0 430.527 -0.394 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)cn1 ZINC000485930523 1073538691 /nfs/dbraw/zinc/53/86/91/1073538691.db2.gz GTFFMTODNWMYHB-AWEZNQCLSA-N 0 0 434.540 -0.498 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)cn1 ZINC000485930524 1073538468 /nfs/dbraw/zinc/53/84/68/1073538468.db2.gz GTFFMTODNWMYHB-CQSZACIVSA-N 0 0 434.540 -0.498 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N[C@H]2CCS(=O)(=O)[C@H]2C)cc1C ZINC000485936382 1073538988 /nfs/dbraw/zinc/53/89/88/1073538988.db2.gz FCUBWMBDKNBPJW-BPUTZDHNSA-N 0 0 445.563 -0.092 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@H]2CCS(=O)(=O)[C@H]2C)cc1C ZINC000485936395 1073538957 /nfs/dbraw/zinc/53/89/57/1073538957.db2.gz FCUBWMBDKNBPJW-CWRNSKLLSA-N 0 0 445.563 -0.092 20 0 IBADRN CCOC(=O)c1cc(F)cc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000485940159 1073539109 /nfs/dbraw/zinc/53/91/09/1073539109.db2.gz NMORANPQKGVTFE-CYBMUJFWSA-N 0 0 436.483 -0.074 20 0 IBADRN CCOC(=O)c1cc(F)cc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000485940160 1073539065 /nfs/dbraw/zinc/53/90/65/1073539065.db2.gz NMORANPQKGVTFE-ZDUSSCGKSA-N 0 0 436.483 -0.074 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)NC(C)C)CC1 ZINC000485940445 1073538703 /nfs/dbraw/zinc/53/87/03/1073538703.db2.gz OSTLJFRLRQVAQY-UHFFFAOYSA-N 0 0 439.581 -0.052 20 0 IBADRN O=C(NCCc1cccc2[nH]ccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000485941843 1073538375 /nfs/dbraw/zinc/53/83/75/1073538375.db2.gz UWNVNAMUVUDLLL-UHFFFAOYSA-N 0 0 441.488 -0.644 20 0 IBADRN COCCN1C[C@@H](C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000485942223 1073538665 /nfs/dbraw/zinc/53/86/65/1073538665.db2.gz CSHAEBHCFSZTTA-INIZCTEOSA-N 0 0 439.538 -0.856 20 0 IBADRN COCCN1C[C@H](C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000485942228 1073538490 /nfs/dbraw/zinc/53/84/90/1073538490.db2.gz CSHAEBHCFSZTTA-MRXNPFEDSA-N 0 0 439.538 -0.856 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2cccc3[nH]ccc32)CC1)N1CCOCC1 ZINC000485942894 1073538412 /nfs/dbraw/zinc/53/84/12/1073538412.db2.gz YAMGSOMMQMLNMX-UHFFFAOYSA-N 0 0 427.505 -0.170 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)c2cn(Cc3noc(C)n3)nn2)c1 ZINC000485943865 1073538572 /nfs/dbraw/zinc/53/85/72/1073538572.db2.gz VPEYXCJUNGSIQE-UHFFFAOYSA-N 0 0 435.466 -0.127 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCS(=O)(=O)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000485948861 1073539050 /nfs/dbraw/zinc/53/90/50/1073539050.db2.gz CZSTYYFFANQLLT-ZFWWWQNUSA-N 0 0 446.547 -0.050 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000485956622 1073539006 /nfs/dbraw/zinc/53/90/06/1073539006.db2.gz NPUJPSGJDIYLTH-UHFFFAOYSA-N 0 0 437.491 -0.052 20 0 IBADRN O=S(=O)(N1CCN(c2nnc(C(F)(F)F)s2)CC1)N1CCS(=O)(=O)CC1 ZINC000485961309 1073539077 /nfs/dbraw/zinc/53/90/77/1073539077.db2.gz ARCMCMGCLGNDSE-UHFFFAOYSA-N 0 0 435.475 -0.346 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000485995465 1073539512 /nfs/dbraw/zinc/53/95/12/1073539512.db2.gz DVDHWTSKCQWOFK-UHFFFAOYSA-N 0 0 431.536 -0.180 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000485997422 1073539090 /nfs/dbraw/zinc/53/90/90/1073539090.db2.gz HQADBBXGDCVRHM-LLVKDONJSA-N 0 0 436.508 -0.058 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000485997429 1073539800 /nfs/dbraw/zinc/53/98/00/1073539800.db2.gz HQADBBXGDCVRHM-NSHDSACASA-N 0 0 436.508 -0.058 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)Cc1ccccc1S(N)(=O)=O ZINC000486002384 1073539503 /nfs/dbraw/zinc/53/95/03/1073539503.db2.gz IVRZTOWUWKQHQZ-UHFFFAOYSA-N 0 0 434.540 -0.874 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000486003965 1073539743 /nfs/dbraw/zinc/53/97/43/1073539743.db2.gz KYZVGEBBEMUALP-UHFFFAOYSA-N 0 0 441.462 -0.390 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(N(C)S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000486005052 1073539650 /nfs/dbraw/zinc/53/96/50/1073539650.db2.gz OHYGLGSOIHVKMV-UHFFFAOYSA-N 0 0 444.535 -0.062 20 0 IBADRN COCc1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000486023705 1073539758 /nfs/dbraw/zinc/53/97/58/1073539758.db2.gz CZBDGSNYOUILOA-UHFFFAOYSA-N 0 0 432.568 -0.369 20 0 IBADRN CCOC(=O)c1cc(F)cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000486024627 1073540094 /nfs/dbraw/zinc/54/00/94/1073540094.db2.gz HJDAFDZWUCYUTP-CYBMUJFWSA-N 0 0 436.483 -0.026 20 0 IBADRN CCOC(=O)c1cc(F)cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000486024628 1073540204 /nfs/dbraw/zinc/54/02/04/1073540204.db2.gz HJDAFDZWUCYUTP-ZDUSSCGKSA-N 0 0 436.483 -0.026 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)Nc1ncn(CC(=O)NCc2ccccc2)n1 ZINC000486041756 1073540046 /nfs/dbraw/zinc/54/00/46/1073540046.db2.gz SKVCTHQXRAKHNT-UHFFFAOYSA-N 0 0 431.478 -0.238 20 0 IBADRN CN(Cc1ccco1)C(=O)[C@H]1CSCN1C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000486048685 1073540190 /nfs/dbraw/zinc/54/01/90/1073540190.db2.gz ZNGCTMCQCIHRFB-CYBMUJFWSA-N 0 0 446.489 -0.413 20 0 IBADRN CN(Cc1ccco1)C(=O)[C@@H]1CSCN1C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000486048686 1073540070 /nfs/dbraw/zinc/54/00/70/1073540070.db2.gz ZNGCTMCQCIHRFB-ZDUSSCGKSA-N 0 0 446.489 -0.413 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000486049214 1073540159 /nfs/dbraw/zinc/54/01/59/1073540159.db2.gz BJBPQHQVSORMPF-GOSISDBHSA-N 0 0 438.506 -0.092 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000486049215 1073540178 /nfs/dbraw/zinc/54/01/78/1073540178.db2.gz BJBPQHQVSORMPF-SFHVURJKSA-N 0 0 438.506 -0.092 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1 ZINC000486050680 1073540273 /nfs/dbraw/zinc/54/02/73/1073540273.db2.gz HSZRMODSWJGGGX-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000486059643 1073540658 /nfs/dbraw/zinc/54/06/58/1073540658.db2.gz OHWQMIROBDOJBN-UHFFFAOYSA-N 0 0 434.522 -0.535 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)Cc2cc(S(N)(=O)=O)cn2C)C1 ZINC000486067501 1073540255 /nfs/dbraw/zinc/54/02/55/1073540255.db2.gz JKJOCKZBUAROBV-CYBMUJFWSA-N 0 0 428.511 -0.525 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)Cc2cc(S(N)(=O)=O)cn2C)C1 ZINC000486067509 1073540123 /nfs/dbraw/zinc/54/01/23/1073540123.db2.gz JKJOCKZBUAROBV-ZDUSSCGKSA-N 0 0 428.511 -0.525 20 0 IBADRN CN(Cc1ccco1)C(=O)[C@@H]1CSCN1C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000486071411 1073540107 /nfs/dbraw/zinc/54/01/07/1073540107.db2.gz HFLRWHFZMHWWBI-AWEZNQCLSA-N 0 0 427.508 -0.149 20 0 IBADRN CN(Cc1ccco1)C(=O)[C@H]1CSCN1C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000486071412 1073540774 /nfs/dbraw/zinc/54/07/74/1073540774.db2.gz HFLRWHFZMHWWBI-CQSZACIVSA-N 0 0 427.508 -0.149 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000486071850 1073540562 /nfs/dbraw/zinc/54/05/62/1073540562.db2.gz ALCLMELETBCEJG-UHFFFAOYSA-N 0 0 431.536 -0.745 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCOCC3)CC2)cc1 ZINC000486071897 1073540506 /nfs/dbraw/zinc/54/05/06/1073540506.db2.gz BALDEJTUSQHQBC-UHFFFAOYSA-N 0 0 431.536 -0.146 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000486072271 1073540852 /nfs/dbraw/zinc/54/08/52/1073540852.db2.gz CORFWLPALJROGR-UHFFFAOYSA-N 0 0 431.536 -0.745 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000486072285 1073540590 /nfs/dbraw/zinc/54/05/90/1073540590.db2.gz CUOXZSVCHHQKIS-UHFFFAOYSA-N 0 0 431.536 -0.745 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000486072804 1073540610 /nfs/dbraw/zinc/54/06/10/1073540610.db2.gz FUSOLZMYGQQWCI-UHFFFAOYSA-N 0 0 431.536 -0.745 20 0 IBADRN Cc1csc(=O)n1CCC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000486073000 1073540699 /nfs/dbraw/zinc/54/06/99/1073540699.db2.gz YUYGNFITKFUJES-UHFFFAOYSA-N 0 0 428.492 -0.167 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000486073208 1073540631 /nfs/dbraw/zinc/54/06/31/1073540631.db2.gz CROAEWRZHPHFSR-CYBMUJFWSA-N 0 0 430.552 -0.432 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000486073209 1073540551 /nfs/dbraw/zinc/54/05/51/1073540551.db2.gz CROAEWRZHPHFSR-ZDUSSCGKSA-N 0 0 430.552 -0.432 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000486075008 1073540790 /nfs/dbraw/zinc/54/07/90/1073540790.db2.gz HVIXLADVZXREHP-UHFFFAOYSA-N 0 0 442.519 -0.045 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000486075810 1073540837 /nfs/dbraw/zinc/54/08/37/1073540837.db2.gz NEIDMJXZSHIGBX-UHFFFAOYSA-N 0 0 431.536 -0.745 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1)N1CCOCC1 ZINC000486077216 1073540823 /nfs/dbraw/zinc/54/08/23/1073540823.db2.gz QRHLDGNRSQIZKZ-UHFFFAOYSA-N 0 0 437.478 -0.794 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000486078220 1073540717 /nfs/dbraw/zinc/54/07/17/1073540717.db2.gz UASJKJPPIUJUAG-UHFFFAOYSA-N 0 0 431.536 -0.745 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000486079028 1073540755 /nfs/dbraw/zinc/54/07/55/1073540755.db2.gz WMOOWKHFMNBLEB-UHFFFAOYSA-N 0 0 440.566 -0.135 20 0 IBADRN Cc1cc(C(=O)N(C)Cc2ccccc2S(N)(=O)=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000486102494 1073541252 /nfs/dbraw/zinc/54/12/52/1073541252.db2.gz NITHDLYQCYKYBB-UHFFFAOYSA-N 0 0 431.474 -0.140 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cccc(-n4cnnn4)c3)CC2)o1 ZINC000486108333 1073541187 /nfs/dbraw/zinc/54/11/87/1073541187.db2.gz UGRBQRNTDKEAGM-UHFFFAOYSA-N 0 0 431.434 -0.499 20 0 IBADRN C[C@@H]1Cc2c(cccc2NC(=O)Cn2ncn(C)c2=O)CN1C(=O)Cn1ncn(C)c1=O ZINC000486110854 1073541173 /nfs/dbraw/zinc/54/11/73/1073541173.db2.gz SLQBWSVCTSEFQG-CYBMUJFWSA-N 0 0 440.464 -0.911 20 0 IBADRN C[C@H]1Cc2c(cccc2NC(=O)Cn2ncn(C)c2=O)CN1C(=O)Cn1ncn(C)c1=O ZINC000486110855 1073541091 /nfs/dbraw/zinc/54/10/91/1073541091.db2.gz SLQBWSVCTSEFQG-ZDUSSCGKSA-N 0 0 440.464 -0.911 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000486114882 1073541414 /nfs/dbraw/zinc/54/14/14/1073541414.db2.gz JXFBFDARMYHIHU-AWEZNQCLSA-N 0 0 441.554 -0.165 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000486114883 1073541198 /nfs/dbraw/zinc/54/11/98/1073541198.db2.gz JXFBFDARMYHIHU-CQSZACIVSA-N 0 0 441.554 -0.165 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000486119518 1073541889 /nfs/dbraw/zinc/54/18/89/1073541889.db2.gz KRHIFTKEIRJRSJ-UHFFFAOYSA-N 0 0 433.534 -0.324 20 0 IBADRN NC(=O)CN(Cc1ccccc1)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000486121057 1073542000 /nfs/dbraw/zinc/54/20/00/1073542000.db2.gz AMNZUKKIBGAVRI-UHFFFAOYSA-N 0 0 430.486 -0.065 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)N3CCN(c4cnccn4)CC3)CC2)cn1C ZINC000486123619 1073541854 /nfs/dbraw/zinc/54/18/54/1073541854.db2.gz GIFLZGBCCAEMIG-UHFFFAOYSA-N 0 0 448.553 -0.826 20 0 IBADRN NC(=O)CN(Cc1ccccc1)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000486124970 1073541947 /nfs/dbraw/zinc/54/19/47/1073541947.db2.gz KRCSXLMHLGWMSA-UHFFFAOYSA-N 0 0 430.486 -0.065 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NC(=O)NCC(F)(F)F)CC2)cn1C ZINC000486125422 1073541973 /nfs/dbraw/zinc/54/19/73/1073541973.db2.gz IGOPCNSMWCILTL-JTQLQIEISA-N 0 0 440.448 -0.189 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)NC(=O)NCC(F)(F)F)CC2)cn1C ZINC000486125877 1073541989 /nfs/dbraw/zinc/54/19/89/1073541989.db2.gz IGOPCNSMWCILTL-SNVBAGLBSA-N 0 0 440.448 -0.189 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)c2C1 ZINC000486126027 1073541961 /nfs/dbraw/zinc/54/19/61/1073541961.db2.gz KKNUKNSJJXRNJV-GFCCVEGCSA-N 0 0 425.449 -0.221 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)c2C1 ZINC000486126031 1073541760 /nfs/dbraw/zinc/54/17/60/1073541760.db2.gz KKNUKNSJJXRNJV-LBPRGKRZSA-N 0 0 425.449 -0.221 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000486126292 1073541747 /nfs/dbraw/zinc/54/17/47/1073541747.db2.gz TVBPEGXNDWNYFW-UHFFFAOYSA-N 0 0 430.552 -0.477 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000486132818 1073541154 /nfs/dbraw/zinc/54/11/54/1073541154.db2.gz AFKLMGXJQIMFPU-MSOLQXFVSA-N 0 0 434.493 -0.122 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000486132822 1073541786 /nfs/dbraw/zinc/54/17/86/1073541786.db2.gz AFKLMGXJQIMFPU-QZTJIDSGSA-N 0 0 434.493 -0.122 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000486132824 1073541720 /nfs/dbraw/zinc/54/17/20/1073541720.db2.gz AFKLMGXJQIMFPU-ROUUACIJSA-N 0 0 434.493 -0.122 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000486132826 1073541775 /nfs/dbraw/zinc/54/17/75/1073541775.db2.gz AFKLMGXJQIMFPU-ZWKOTPCHSA-N 0 0 434.493 -0.122 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CCC(=O)NC(=O)NCC(F)(F)F)CC2)cn1C ZINC000486138790 1073541731 /nfs/dbraw/zinc/54/17/31/1073541731.db2.gz QIEAJHFSWCVIGO-UHFFFAOYSA-N 0 0 440.448 -0.187 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N(C)Cc2ccccc2S(N)(=O)=O)cn1C ZINC000486143593 1073541921 /nfs/dbraw/zinc/54/19/21/1073541921.db2.gz HFKKNUINASNLDN-UHFFFAOYSA-N 0 0 429.524 -0.297 20 0 IBADRN COC(=O)C1(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000486154802 1073541797 /nfs/dbraw/zinc/54/17/97/1073541797.db2.gz HSMWPROFUJISSK-UHFFFAOYSA-N 0 0 425.511 -0.214 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCC[C@H]2C(N)=O)N1Cc1ccccc1 ZINC000486167445 1073541839 /nfs/dbraw/zinc/54/18/39/1073541839.db2.gz PAAHOHQTFVXPPG-CADBVGFASA-N 0 0 441.532 -0.028 20 0 IBADRN NC(=O)[C@H]1CCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCC[C@@H]2C(N)=O)N1Cc1ccccc1 ZINC000486167447 1073541875 /nfs/dbraw/zinc/54/18/75/1073541875.db2.gz PAAHOHQTFVXPPG-MKXGPGLRSA-N 0 0 441.532 -0.028 20 0 IBADRN NC(=O)[C@H]1CCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCC[C@H]2C(N)=O)N1Cc1ccccc1 ZINC000486167449 1073542014 /nfs/dbraw/zinc/54/20/14/1073542014.db2.gz PAAHOHQTFVXPPG-QGFMHUBQSA-N 0 0 441.532 -0.028 20 0 IBADRN NC(=O)[C@H]1CCCN1C(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@H]2C(N)=O)N1Cc1ccccc1 ZINC000486167451 1073541937 /nfs/dbraw/zinc/54/19/37/1073541937.db2.gz PAAHOHQTFVXPPG-SEXKYXSUSA-N 0 0 441.532 -0.028 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000486168177 1073542437 /nfs/dbraw/zinc/54/24/37/1073542437.db2.gz DLHKLLCSCXQJMZ-UHFFFAOYSA-N 0 0 434.478 -0.870 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N(C)Cc2ccccc2S(N)(=O)=O)c1 ZINC000486170477 1073542535 /nfs/dbraw/zinc/54/25/35/1073542535.db2.gz CNFMMPGDJXYVHU-UHFFFAOYSA-N 0 0 430.508 -0.141 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C1(S(=O)(=O)c2ccccc2)CCOCC1 ZINC000486170609 1073542494 /nfs/dbraw/zinc/54/24/94/1073542494.db2.gz CLRCXXIRKYZIPZ-INIZCTEOSA-N 0 0 437.518 -0.137 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C1(S(=O)(=O)c2ccccc2)CCOCC1 ZINC000486170613 1073542372 /nfs/dbraw/zinc/54/23/72/1073542372.db2.gz CLRCXXIRKYZIPZ-MRXNPFEDSA-N 0 0 437.518 -0.137 20 0 IBADRN CC1(C)C(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)C1(C)C)c1ccccc1 ZINC000486185600 1073542408 /nfs/dbraw/zinc/54/24/08/1073542408.db2.gz HJTFYLXGNUKKRZ-UHFFFAOYSA-N 0 0 429.521 -0.033 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cc3cc(S(N)(=O)=O)cn3C)CC2)cs1 ZINC000486188225 1073542516 /nfs/dbraw/zinc/54/25/16/1073542516.db2.gz DZLQIUJEHFGGLN-UHFFFAOYSA-N 0 0 447.564 -0.487 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000486190775 1073542526 /nfs/dbraw/zinc/54/25/26/1073542526.db2.gz RAFVNQCLFQGAFR-UHFFFAOYSA-N 0 0 425.555 -0.192 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C2(S(=O)(=O)c3ccccc3)CCOCC2)CC1 ZINC000486192548 1073542358 /nfs/dbraw/zinc/54/23/58/1073542358.db2.gz OYXGXLDHHAHYKM-UHFFFAOYSA-N 0 0 448.505 -0.633 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCNC(=O)[C@@H]2C)N1Cc1ccccc1 ZINC000486195759 1073542384 /nfs/dbraw/zinc/54/23/84/1073542384.db2.gz UOZBMEKSCXCDQL-AMBYYYRHSA-N 0 0 441.532 -0.287 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCNC(=O)[C@@H]2C)N1Cc1ccccc1 ZINC000486195761 1073542433 /nfs/dbraw/zinc/54/24/33/1073542433.db2.gz UOZBMEKSCXCDQL-PBWTXFEYSA-N 0 0 441.532 -0.287 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCNC(=O)[C@H]2C)N1Cc1ccccc1 ZINC000486195763 1073542485 /nfs/dbraw/zinc/54/24/85/1073542485.db2.gz UOZBMEKSCXCDQL-RWQQGDIJSA-N 0 0 441.532 -0.287 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)[C@@H]1CC[C@H](C(=O)N2CCNC(=O)[C@@H]2C)N1Cc1ccccc1 ZINC000486195765 1073542832 /nfs/dbraw/zinc/54/28/32/1073542832.db2.gz UOZBMEKSCXCDQL-XHVUQVIVSA-N 0 0 441.532 -0.287 20 0 IBADRN CC(=O)c1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C)nc1C ZINC000486203225 1073542424 /nfs/dbraw/zinc/54/24/24/1073542424.db2.gz LBMJSWUAJOSOGM-INIZCTEOSA-N 0 0 436.534 -0.038 20 0 IBADRN CC(=O)c1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C)nc1C ZINC000486203226 1073542450 /nfs/dbraw/zinc/54/24/50/1073542450.db2.gz LBMJSWUAJOSOGM-MRXNPFEDSA-N 0 0 436.534 -0.038 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N(C)C3)CC2)c1 ZINC000486217070 1073543036 /nfs/dbraw/zinc/54/30/36/1073543036.db2.gz PZBSPGODVCFJBI-CYBMUJFWSA-N 0 0 426.495 -0.050 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N(C)C3)CC2)c1 ZINC000486217073 1073543049 /nfs/dbraw/zinc/54/30/49/1073543049.db2.gz PZBSPGODVCFJBI-ZDUSSCGKSA-N 0 0 426.495 -0.050 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC1 ZINC000486218051 1073543105 /nfs/dbraw/zinc/54/31/05/1073543105.db2.gz DSKMUFHJWIJKCY-AWEZNQCLSA-N 0 0 425.555 -0.194 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC1 ZINC000486218070 1073542883 /nfs/dbraw/zinc/54/28/83/1073542883.db2.gz DSKMUFHJWIJKCY-CQSZACIVSA-N 0 0 425.555 -0.194 20 0 IBADRN Cc1c(C(=O)N(C)Cc2ccccc2S(N)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000486219210 1073543053 /nfs/dbraw/zinc/54/30/53/1073543053.db2.gz ILQSDOVSPTZFNE-UHFFFAOYSA-N 0 0 431.474 -0.140 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N(C)C3)CC2)c1 ZINC000486220173 1073542948 /nfs/dbraw/zinc/54/29/48/1073542948.db2.gz FQHATBASCAEQDT-GFCCVEGCSA-N 0 0 430.508 -0.219 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N(C)C3)CC2)c1 ZINC000486220177 1073543095 /nfs/dbraw/zinc/54/30/95/1073543095.db2.gz FQHATBASCAEQDT-LBPRGKRZSA-N 0 0 430.508 -0.219 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@@H]2CC(=O)N(C)C2)CC1 ZINC000486224310 1073543084 /nfs/dbraw/zinc/54/30/84/1073543084.db2.gz KGPJTOCPENHHJG-CYBMUJFWSA-N 0 0 442.494 -0.070 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@H]2CC(=O)N(C)C2)CC1 ZINC000486224329 1073542908 /nfs/dbraw/zinc/54/29/08/1073542908.db2.gz KGPJTOCPENHHJG-ZDUSSCGKSA-N 0 0 442.494 -0.070 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCO[C@H](C2CC2)C1 ZINC000486226922 1073542895 /nfs/dbraw/zinc/54/28/95/1073542895.db2.gz DMWSBRIHCVFWCV-INIZCTEOSA-N 0 0 436.490 -0.617 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCO[C@@H](C2CC2)C1 ZINC000486226923 1073543017 /nfs/dbraw/zinc/54/30/17/1073543017.db2.gz DMWSBRIHCVFWCV-MRXNPFEDSA-N 0 0 436.490 -0.617 20 0 IBADRN COc1cccc(OCCN2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000486231685 1073543799 /nfs/dbraw/zinc/54/37/99/1073543799.db2.gz LAHKNNHEFXDMRS-HNNXBMFYSA-N 0 0 445.520 -0.057 20 0 IBADRN COc1cccc(OCCN2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000486231686 1073543445 /nfs/dbraw/zinc/54/34/45/1073543445.db2.gz LAHKNNHEFXDMRS-OAHLLOKOSA-N 0 0 445.520 -0.057 20 0 IBADRN CN1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1=O ZINC000486233402 1073543539 /nfs/dbraw/zinc/54/35/39/1073543539.db2.gz XXCLFPIIPILXPM-GFCCVEGCSA-N 0 0 437.478 -0.736 20 0 IBADRN CN1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1=O ZINC000486233407 1073543468 /nfs/dbraw/zinc/54/34/68/1073543468.db2.gz XXCLFPIIPILXPM-LBPRGKRZSA-N 0 0 437.478 -0.736 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC2CCN(C(=O)COC)CC2)C1 ZINC000486241295 1073543116 /nfs/dbraw/zinc/54/31/16/1073543116.db2.gz FANCGKDSNJWLIW-INIZCTEOSA-N 0 0 434.559 -0.294 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC2CCN(C(=O)COC)CC2)C1 ZINC000486241296 1073543068 /nfs/dbraw/zinc/54/30/68/1073543068.db2.gz FANCGKDSNJWLIW-MRXNPFEDSA-N 0 0 434.559 -0.294 20 0 IBADRN CSCC[C@@H](CO)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000486246260 1073544199 /nfs/dbraw/zinc/54/41/99/1073544199.db2.gz UNNBSHPJTLGEQY-HNNXBMFYSA-N 0 0 431.584 -0.053 20 0 IBADRN CSCC[C@H](CO)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000486246261 1073544136 /nfs/dbraw/zinc/54/41/36/1073544136.db2.gz UNNBSHPJTLGEQY-OAHLLOKOSA-N 0 0 431.584 -0.053 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(C(=O)[C@@H]3CCCN3C)CC2)c(=O)n(C)c1=O ZINC000486247719 1073544390 /nfs/dbraw/zinc/54/43/90/1073544390.db2.gz ZRYZOPICMYFFIO-HNNXBMFYSA-N 0 0 434.541 -0.794 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(C(=O)[C@H]3CCCN3C)CC2)c(=O)n(C)c1=O ZINC000486247725 1073544223 /nfs/dbraw/zinc/54/42/23/1073544223.db2.gz ZRYZOPICMYFFIO-OAHLLOKOSA-N 0 0 434.541 -0.794 20 0 IBADRN COc1cccc(OCCN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000486248071 1073544364 /nfs/dbraw/zinc/54/43/64/1073544364.db2.gz BZYQPMQMUGJYSD-UHFFFAOYSA-N 0 0 431.493 -0.446 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NCC2(NC(=O)[C@@H]3CC[C@H](C(=O)OC)O3)CCOCC2)O1 ZINC000486249288 1073544418 /nfs/dbraw/zinc/54/44/18/1073544418.db2.gz IJSYLXDPXPGVFC-BYNSBNAKSA-N 0 0 442.465 -0.791 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H]1CCN(C(N)=O)C1 ZINC000486251961 1073543585 /nfs/dbraw/zinc/54/35/85/1073543585.db2.gz NKXXXIPVWDVAMV-AWEZNQCLSA-N 0 0 439.538 -0.096 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H]1CCN(C(N)=O)C1 ZINC000486251962 1073543696 /nfs/dbraw/zinc/54/36/96/1073543696.db2.gz NKXXXIPVWDVAMV-CQSZACIVSA-N 0 0 439.538 -0.096 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000486252017 1073543553 /nfs/dbraw/zinc/54/35/53/1073543553.db2.gz OIQSLYONCTVULC-ZBFHGGJFSA-N 0 0 440.464 -0.010 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000486268140 1073544193 /nfs/dbraw/zinc/54/41/93/1073544193.db2.gz DTHAGBHYEQDOEI-DZGCQCFKSA-N 0 0 437.478 -0.866 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000486268145 1073544308 /nfs/dbraw/zinc/54/43/08/1073544308.db2.gz DTHAGBHYEQDOEI-HIFRSBDPSA-N 0 0 437.478 -0.866 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000486268150 1073544245 /nfs/dbraw/zinc/54/42/45/1073544245.db2.gz DTHAGBHYEQDOEI-UKRRQHHQSA-N 0 0 437.478 -0.866 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000486268155 1073544339 /nfs/dbraw/zinc/54/43/39/1073544339.db2.gz DTHAGBHYEQDOEI-ZFWWWQNUSA-N 0 0 437.478 -0.866 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)nn1-c1nc(C)cc(C)n1 ZINC000486271952 1073544215 /nfs/dbraw/zinc/54/42/15/1073544215.db2.gz RISTZUIQUVTICQ-DLBZAZTESA-N 0 0 434.522 -0.185 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)nn1-c1nc(C)cc(C)n1 ZINC000486271953 1073544210 /nfs/dbraw/zinc/54/42/10/1073544210.db2.gz RISTZUIQUVTICQ-IAGOWNOFSA-N 0 0 434.522 -0.185 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)nn1-c1nc(C)cc(C)n1 ZINC000486271954 1073545037 /nfs/dbraw/zinc/54/50/37/1073545037.db2.gz RISTZUIQUVTICQ-IRXDYDNUSA-N 0 0 434.522 -0.185 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)nn1-c1nc(C)cc(C)n1 ZINC000486271955 1073545016 /nfs/dbraw/zinc/54/50/16/1073545016.db2.gz RISTZUIQUVTICQ-SJORKVTESA-N 0 0 434.522 -0.185 20 0 IBADRN NC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000486274594 1073544993 /nfs/dbraw/zinc/54/49/93/1073544993.db2.gz HTRRDGUAVOFJJC-SFHVURJKSA-N 0 0 442.497 -0.141 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cc1cc(S(N)(=O)=O)cn1C)C(=O)NCCN1CCOCC1 ZINC000486284614 1073545006 /nfs/dbraw/zinc/54/50/06/1073545006.db2.gz ONZDXSLCMSFKPX-KBXCAEBGSA-N 0 0 443.570 -0.806 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cc1cc(S(N)(=O)=O)cn1C)C(=O)NCCN1CCOCC1 ZINC000486284615 1073544856 /nfs/dbraw/zinc/54/48/56/1073544856.db2.gz ONZDXSLCMSFKPX-KDOFPFPSSA-N 0 0 443.570 -0.806 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cc1cc(S(N)(=O)=O)cn1C)C(=O)NCCN1CCOCC1 ZINC000486284616 1073545111 /nfs/dbraw/zinc/54/51/11/1073545111.db2.gz ONZDXSLCMSFKPX-KSSFIOAISA-N 0 0 443.570 -0.806 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cc1cc(S(N)(=O)=O)cn1C)C(=O)NCCN1CCOCC1 ZINC000486284617 1073544842 /nfs/dbraw/zinc/54/48/42/1073544842.db2.gz ONZDXSLCMSFKPX-RDTXWAMCSA-N 0 0 443.570 -0.806 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CC(=O)N(CC(F)(F)F)C1 ZINC000486285571 1073544881 /nfs/dbraw/zinc/54/48/81/1073544881.db2.gz RYCIIGVQGYEWKS-UHFFFAOYSA-N 0 0 434.396 -0.389 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CC(=O)N(CC(F)(F)F)C1 ZINC000486286306 1073545065 /nfs/dbraw/zinc/54/50/65/1073545065.db2.gz UHQPTDSYILRLPN-UHFFFAOYSA-N 0 0 434.396 -0.389 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)c1cncc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)c1 ZINC000486286777 1073544982 /nfs/dbraw/zinc/54/49/82/1073544982.db2.gz WTNCDAAQIVPVNC-LUKYLMHMSA-N 0 0 449.460 -0.113 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)c1cncc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)c1 ZINC000486286778 1073545027 /nfs/dbraw/zinc/54/50/27/1073545027.db2.gz WTNCDAAQIVPVNC-QAETUUGQSA-N 0 0 449.460 -0.113 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)c1cncc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)c1 ZINC000486286779 1073544955 /nfs/dbraw/zinc/54/49/55/1073544955.db2.gz WTNCDAAQIVPVNC-WNKDZCFJSA-N 0 0 449.460 -0.113 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)c1cncc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)c1 ZINC000486286780 1073544827 /nfs/dbraw/zinc/54/48/27/1073544827.db2.gz WTNCDAAQIVPVNC-YVSFHVDLSA-N 0 0 449.460 -0.113 20 0 IBADRN CCc1nocc1CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000486291890 1073544969 /nfs/dbraw/zinc/54/49/69/1073544969.db2.gz MXAUMIQPZJERKI-UHFFFAOYSA-N 0 0 449.489 -0.045 20 0 IBADRN O=C(CNC(=O)C1(S(=O)(=O)c2ccccc2)CCOCC1)NCCN1CCOCC1 ZINC000486294649 1073544867 /nfs/dbraw/zinc/54/48/67/1073544867.db2.gz OSTJMNWFQOPLMR-UHFFFAOYSA-N 0 0 439.534 -0.426 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)c1ccc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)cn1 ZINC000486301208 1073545726 /nfs/dbraw/zinc/54/57/26/1073545726.db2.gz SWZUNZWSVCVXPU-FSDCSDTHSA-N 0 0 449.460 -0.113 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)c1ccc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)cn1 ZINC000486301209 1073545712 /nfs/dbraw/zinc/54/57/12/1073545712.db2.gz SWZUNZWSVCVXPU-HDEZJCGLSA-N 0 0 449.460 -0.113 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)c1ccc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)cn1 ZINC000486301210 1073545461 /nfs/dbraw/zinc/54/54/61/1073545461.db2.gz SWZUNZWSVCVXPU-NXNVCVFFSA-N 0 0 449.460 -0.113 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)c1ccc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)nc1 ZINC000486301211 1073545428 /nfs/dbraw/zinc/54/54/28/1073545428.db2.gz SWZUNZWSVCVXPU-OTRWWLKZSA-N 0 0 449.460 -0.113 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@H](CO)NC(=O)C(C)C)CC2)cc1 ZINC000486303740 1073545657 /nfs/dbraw/zinc/54/56/57/1073545657.db2.gz HBRRDIZDTGAIRM-SFHVURJKSA-N 0 0 440.566 -0.449 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)c2cn(C)nn2)C(=O)c2cn(C)nn2)cc1 ZINC000486303807 1073545491 /nfs/dbraw/zinc/54/54/91/1073545491.db2.gz LDGSIZHXXSTRPP-UHFFFAOYSA-N 0 0 426.437 -0.197 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)c2ncn(C)n2)C(=O)c2ncn(C)n2)cc1 ZINC000486304252 1073545647 /nfs/dbraw/zinc/54/56/47/1073545647.db2.gz NQCHBIZHSUVAND-UHFFFAOYSA-N 0 0 426.437 -0.197 20 0 IBADRN Cn1c(CCC(=O)N2CCNC(=O)CC2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000486307203 1073545094 /nfs/dbraw/zinc/54/50/94/1073545094.db2.gz BHWZWBRNFOMXSE-UHFFFAOYSA-N 0 0 449.533 -0.125 20 0 IBADRN COCCn1cnc2c1c(=O)n(C[C@H](O)CN1CCOCC1)c(=O)n2Cc1ccccc1 ZINC000486313704 1073545509 /nfs/dbraw/zinc/54/55/09/1073545509.db2.gz ZPNXPPMTHQHIEJ-GOSISDBHSA-N 0 0 443.504 -0.253 20 0 IBADRN COCCn1cnc2c1c(=O)n(C[C@@H](O)CN1CCOCC1)c(=O)n2Cc1ccccc1 ZINC000486313706 1073545472 /nfs/dbraw/zinc/54/54/72/1073545472.db2.gz ZPNXPPMTHQHIEJ-SFHVURJKSA-N 0 0 443.504 -0.253 20 0 IBADRN O=C(Cc1cccc(N2CCCC2=O)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000486320771 1073545600 /nfs/dbraw/zinc/54/56/00/1073545600.db2.gz MVDHOVSHSOHVJL-UHFFFAOYSA-N 0 0 428.489 -0.115 20 0 IBADRN Cc1nc(Cn2cc(C(=O)NCc3ccc(S(=O)(=O)N4CCOCC4)cc3)nn2)no1 ZINC000486324376 1073545555 /nfs/dbraw/zinc/54/55/55/1073545555.db2.gz XQPIUKRUAVIPOJ-UHFFFAOYSA-N 0 0 447.477 -0.031 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC000486329057 1073545443 /nfs/dbraw/zinc/54/54/43/1073545443.db2.gz OYTYLRGOBOQVNX-UHFFFAOYSA-N 0 0 436.412 -0.143 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC(=O)N1C ZINC000486329184 1073545409 /nfs/dbraw/zinc/54/54/09/1073545409.db2.gz PEWYXYMHAURPOS-RDTXWAMCSA-N 0 0 441.492 -0.523 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@@H]2CCOC[C@@H]2OCCOC)c1 ZINC000486333538 1073546255 /nfs/dbraw/zinc/54/62/55/1073546255.db2.gz IXOUHAOIKMPEHT-CVEARBPZSA-N 0 0 444.510 -0.347 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@H]2CCOC[C@@H]2OCCOC)c1 ZINC000486333539 1073546195 /nfs/dbraw/zinc/54/61/95/1073546195.db2.gz IXOUHAOIKMPEHT-HOTGVXAUSA-N 0 0 444.510 -0.347 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@@H]2CCOC[C@H]2OCCOC)c1 ZINC000486333540 1073546304 /nfs/dbraw/zinc/54/63/04/1073546304.db2.gz IXOUHAOIKMPEHT-HZPDHXFCSA-N 0 0 444.510 -0.347 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@H]2CCOC[C@H]2OCCOC)c1 ZINC000486333541 1073546270 /nfs/dbraw/zinc/54/62/70/1073546270.db2.gz IXOUHAOIKMPEHT-JKSUJKDBSA-N 0 0 444.510 -0.347 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000486339558 1073545588 /nfs/dbraw/zinc/54/55/88/1073545588.db2.gz SVSOBDKLMAPAIN-KRWDZBQOSA-N 0 0 436.534 -0.064 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000486339560 1073545681 /nfs/dbraw/zinc/54/56/81/1073545681.db2.gz SVSOBDKLMAPAIN-QGZVFWFLSA-N 0 0 436.534 -0.064 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000486341320 1073546184 /nfs/dbraw/zinc/54/61/84/1073546184.db2.gz AKBCSTXQKASZNO-CVEARBPZSA-N 0 0 445.542 -0.435 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000486341329 1073546074 /nfs/dbraw/zinc/54/60/74/1073546074.db2.gz AKBCSTXQKASZNO-HOTGVXAUSA-N 0 0 445.542 -0.435 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000486341338 1073546321 /nfs/dbraw/zinc/54/63/21/1073546321.db2.gz AKBCSTXQKASZNO-HZPDHXFCSA-N 0 0 445.542 -0.435 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000486341345 1073546310 /nfs/dbraw/zinc/54/63/10/1073546310.db2.gz AKBCSTXQKASZNO-JKSUJKDBSA-N 0 0 445.542 -0.435 20 0 IBADRN Cc1nc(Cn2cc(C(=O)Nc3cc(S(=O)(=O)N4CCOCC4)ccc3O)nn2)no1 ZINC000486343744 1073546231 /nfs/dbraw/zinc/54/62/31/1073546231.db2.gz TXRWHWDZKJKTEE-UHFFFAOYSA-N 0 0 449.449 -0.003 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1F ZINC000486350744 1073546949 /nfs/dbraw/zinc/54/69/49/1073546949.db2.gz VOTZFSFZRFEJDI-UHFFFAOYSA-N 0 0 427.458 -0.312 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000486352044 1073546911 /nfs/dbraw/zinc/54/69/11/1073546911.db2.gz ISQQZWXPJNMLRA-UHFFFAOYSA-N 0 0 430.502 -0.311 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000486356207 1073546293 /nfs/dbraw/zinc/54/62/93/1073546293.db2.gz JJXDSCGBZRDMEE-CVEARBPZSA-N 0 0 432.499 -0.348 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000486356208 1073546212 /nfs/dbraw/zinc/54/62/12/1073546212.db2.gz JJXDSCGBZRDMEE-HOTGVXAUSA-N 0 0 432.499 -0.348 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000486356614 1073546164 /nfs/dbraw/zinc/54/61/64/1073546164.db2.gz JJXDSCGBZRDMEE-HZPDHXFCSA-N 0 0 432.499 -0.348 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000486356615 1073546134 /nfs/dbraw/zinc/54/61/34/1073546134.db2.gz JJXDSCGBZRDMEE-JKSUJKDBSA-N 0 0 432.499 -0.348 20 0 IBADRN O=C1CN(CCCNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CCN1 ZINC000486358354 1073546105 /nfs/dbraw/zinc/54/61/05/1073546105.db2.gz HWSQOEGNXXVKLN-UHFFFAOYSA-N 0 0 430.552 -0.429 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000486364035 1073546772 /nfs/dbraw/zinc/54/67/72/1073546772.db2.gz DDTLZAUBMPFGJA-UHFFFAOYSA-N 0 0 436.534 -0.064 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1F ZINC000486367317 1073546637 /nfs/dbraw/zinc/54/66/37/1073546637.db2.gz CILJBYIFTCTHDK-UHFFFAOYSA-N 0 0 429.474 -0.066 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000486368046 1073546753 /nfs/dbraw/zinc/54/67/53/1073546753.db2.gz BCLHHENTOQQSOZ-UHFFFAOYSA-N 0 0 427.461 -0.261 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCN2CCNC(=O)C2)c(OC)c1 ZINC000486370681 1073546716 /nfs/dbraw/zinc/54/67/16/1073546716.db2.gz HUJNLXBKDVYPCG-UHFFFAOYSA-N 0 0 448.567 -0.053 20 0 IBADRN O=C1CN(CCCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CCN1 ZINC000486372388 1073546845 /nfs/dbraw/zinc/54/68/45/1073546845.db2.gz KBDTZHWNLTYTBI-UHFFFAOYSA-N 0 0 430.552 -0.429 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000486376221 1073546964 /nfs/dbraw/zinc/54/69/64/1073546964.db2.gz HQNJSYUKCPKUSE-UHFFFAOYSA-N 0 0 425.446 -0.860 20 0 IBADRN Cc1nc(Cn2cc(C(=O)N3CCN(S(=O)(=O)N4CCCCCC4)CC3)nn2)no1 ZINC000486376733 1073546928 /nfs/dbraw/zinc/54/69/28/1073546928.db2.gz NRTNEEBFZOATSV-UHFFFAOYSA-N 0 0 438.514 -0.104 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCNC(=O)CC2)c1OC ZINC000486377404 1073546978 /nfs/dbraw/zinc/54/69/78/1073546978.db2.gz YMXSHUSWNUKPGL-UHFFFAOYSA-N 0 0 427.479 -0.313 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCN2CCNC(=O)C2)c(OC)c1 ZINC000486378060 1073546861 /nfs/dbraw/zinc/54/68/61/1073546861.db2.gz RROLSFSTUHYEMH-UHFFFAOYSA-N 0 0 434.540 -0.443 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)c1ccc(C(=O)NCCCN2C(=O)CCC2=O)nc1 ZINC000486382595 1073546742 /nfs/dbraw/zinc/54/67/42/1073546742.db2.gz SJFHZDACFCWIKR-UHFFFAOYSA-N 0 0 443.460 -0.381 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)ccc1F ZINC000486384747 1073546891 /nfs/dbraw/zinc/54/68/91/1073546891.db2.gz AECQRIUKPVYSNK-UHFFFAOYSA-N 0 0 429.474 -0.064 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCCN2CCNC(=O)C2)s1 ZINC000486388469 1073546659 /nfs/dbraw/zinc/54/66/59/1073546659.db2.gz OPGRXYHTCDTHFA-UHFFFAOYSA-N 0 0 438.597 -0.670 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000486391830 1073547686 /nfs/dbraw/zinc/54/76/86/1073547686.db2.gz ZNLSBTUQIGACBY-UHFFFAOYSA-N 0 0 443.501 -0.258 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000486392466 1073547698 /nfs/dbraw/zinc/54/76/98/1073547698.db2.gz FCJATJNIPWSPCB-UHFFFAOYSA-N 0 0 438.488 -0.041 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)cnc21 ZINC000486393592 1073547522 /nfs/dbraw/zinc/54/75/22/1073547522.db2.gz LXSVGLKHAIHXOY-UHFFFAOYSA-N 0 0 436.428 -0.464 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(C[C@H](O)COc2ccccc2)CC1 ZINC000486394244 1073547582 /nfs/dbraw/zinc/54/75/82/1073547582.db2.gz BBZYMPSJNZIPNW-KRWDZBQOSA-N 0 0 436.534 -0.201 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(C[C@@H](O)COc2ccccc2)CC1 ZINC000486394245 1073547408 /nfs/dbraw/zinc/54/74/08/1073547408.db2.gz BBZYMPSJNZIPNW-QGZVFWFLSA-N 0 0 436.534 -0.201 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000486394984 1073547395 /nfs/dbraw/zinc/54/73/95/1073547395.db2.gz RMHVWIMBTXIXTO-UHFFFAOYSA-N 0 0 436.515 -0.085 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000486400101 1073547651 /nfs/dbraw/zinc/54/76/51/1073547651.db2.gz UXLKHVZHRZZIOE-INIZCTEOSA-N 0 0 426.539 -0.628 20 0 IBADRN CCCc1nc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000486405298 1073547608 /nfs/dbraw/zinc/54/76/08/1073547608.db2.gz NUXDCWRCWZQMST-UHFFFAOYSA-N 0 0 437.522 -0.128 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)Cc2cc(S(N)(=O)=O)cn2C)c1 ZINC000486412945 1073547635 /nfs/dbraw/zinc/54/76/35/1073547635.db2.gz YADVSDIXGWBNSO-UHFFFAOYSA-N 0 0 444.535 -0.544 20 0 IBADRN O=C1CN(CCCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CCN1 ZINC000486417163 1073547721 /nfs/dbraw/zinc/54/77/21/1073547721.db2.gz FXYVEBWBTADPDN-UHFFFAOYSA-N 0 0 444.579 -0.039 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCCCN2CCNC(=O)C2)cc1 ZINC000486420989 1073547709 /nfs/dbraw/zinc/54/77/09/1073547709.db2.gz SSFIZDSLRGJZMF-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1F ZINC000486421329 1073547453 /nfs/dbraw/zinc/54/74/53/1073547453.db2.gz UODVQRDSBAKFLC-UHFFFAOYSA-N 0 0 437.519 -0.486 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NCCCN1CCCC1=O ZINC000486425210 1073547621 /nfs/dbraw/zinc/54/76/21/1073547621.db2.gz MESVFFSITSCCIV-UHFFFAOYSA-N 0 0 437.522 -0.652 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000486425613 1073547537 /nfs/dbraw/zinc/54/75/37/1073547537.db2.gz BRUWIUVYFWSIMP-UHFFFAOYSA-N 0 0 449.537 -0.810 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1F ZINC000486428004 1073547500 /nfs/dbraw/zinc/54/75/00/1073547500.db2.gz DAFDBASTGPQTPC-UHFFFAOYSA-N 0 0 426.434 -0.903 20 0 IBADRN CN(CCS(=O)(=O)NCCCN1CCNC(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000486429265 1073547433 /nfs/dbraw/zinc/54/74/33/1073547433.db2.gz GEVBUINUFRAFCM-UHFFFAOYSA-N 0 0 436.531 -0.813 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000486440078 1073548240 /nfs/dbraw/zinc/54/82/40/1073548240.db2.gz WPRVEJGGHSYCFY-MSOLQXFVSA-N 0 0 442.538 -0.014 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000486440079 1073548033 /nfs/dbraw/zinc/54/80/33/1073548033.db2.gz WPRVEJGGHSYCFY-QZTJIDSGSA-N 0 0 442.538 -0.014 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000486440080 1073548190 /nfs/dbraw/zinc/54/81/90/1073548190.db2.gz WPRVEJGGHSYCFY-ROUUACIJSA-N 0 0 442.538 -0.014 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000486440081 1073548155 /nfs/dbraw/zinc/54/81/55/1073548155.db2.gz WPRVEJGGHSYCFY-ZWKOTPCHSA-N 0 0 442.538 -0.014 20 0 IBADRN O=C(c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21)N1CCNC(=O)CC1 ZINC000486444030 1073547954 /nfs/dbraw/zinc/54/79/54/1073547954.db2.gz DJOVDOSZEVIOJD-UHFFFAOYSA-N 0 0 434.474 -0.077 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCNC(=O)CC3)CC2)cc1 ZINC000486449273 1073548177 /nfs/dbraw/zinc/54/81/77/1073548177.db2.gz RRIKDXPVLHZNEA-UHFFFAOYSA-N 0 0 436.534 -0.043 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000486456677 1073548837 /nfs/dbraw/zinc/54/88/37/1073548837.db2.gz FFSGUEQBKKAZKN-INIZCTEOSA-N 0 0 425.507 -0.492 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000486457367 1073548049 /nfs/dbraw/zinc/54/80/49/1073548049.db2.gz HYYJTIPPNCPJEE-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Cc3cc(S(N)(=O)=O)cn3C)cn2)C[C@H](C)O1 ZINC000486464198 1073548683 /nfs/dbraw/zinc/54/86/83/1073548683.db2.gz FWHNSAOUUVJWDA-BETUJISGSA-N 0 0 438.510 -0.314 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Cc3cc(S(N)(=O)=O)cn3C)cn2)C[C@@H](C)O1 ZINC000486464201 1073548781 /nfs/dbraw/zinc/54/87/81/1073548781.db2.gz FWHNSAOUUVJWDA-CHWSQXEVSA-N 0 0 438.510 -0.314 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)Cc3cc(S(N)(=O)=O)cn3C)cn2)C[C@H](C)O1 ZINC000486464204 1073548747 /nfs/dbraw/zinc/54/87/47/1073548747.db2.gz FWHNSAOUUVJWDA-STQMWFEESA-N 0 0 438.510 -0.314 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000486464902 1073548711 /nfs/dbraw/zinc/54/87/11/1073548711.db2.gz HGCLVKWPLXNJST-UHFFFAOYSA-N 0 0 441.558 -0.100 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000486469205 1073548637 /nfs/dbraw/zinc/54/86/37/1073548637.db2.gz TUGAJXPNJOCDFH-UHFFFAOYSA-N 0 0 439.604 -0.428 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)NCCNS(=O)(=O)Cc2ccon2)ccc1F ZINC000486472813 1073548621 /nfs/dbraw/zinc/54/86/21/1073548621.db2.gz DFSHBIAOQQYVFG-UHFFFAOYSA-N 0 0 435.459 -0.037 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000486475869 1073548664 /nfs/dbraw/zinc/54/86/64/1073548664.db2.gz ZUZOJZKWKVQMSM-DLBZAZTESA-N 0 0 428.511 -0.082 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000486475881 1073548610 /nfs/dbraw/zinc/54/86/10/1073548610.db2.gz ZUZOJZKWKVQMSM-IAGOWNOFSA-N 0 0 428.511 -0.082 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000486475884 1073548796 /nfs/dbraw/zinc/54/87/96/1073548796.db2.gz ZUZOJZKWKVQMSM-IRXDYDNUSA-N 0 0 428.511 -0.082 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000486475886 1073548566 /nfs/dbraw/zinc/54/85/66/1073548566.db2.gz ZUZOJZKWKVQMSM-SJORKVTESA-N 0 0 428.511 -0.082 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2c(C)nn(C)c2C)C1=O ZINC000486477825 1073548598 /nfs/dbraw/zinc/54/85/98/1073548598.db2.gz ISUJSYHYSMGGON-HBUWYVDXSA-N 0 0 434.497 -0.228 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2c(C)nn(C)c2C)C1=O ZINC000486477826 1073548653 /nfs/dbraw/zinc/54/86/53/1073548653.db2.gz ISUJSYHYSMGGON-PMUMKWKESA-N 0 0 434.497 -0.228 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cn1 ZINC000486478114 1073548586 /nfs/dbraw/zinc/54/85/86/1073548586.db2.gz JZNMBGYFUMRFQY-LBHNULEISA-N 0 0 425.511 -0.118 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cn1 ZINC000486478121 1073548811 /nfs/dbraw/zinc/54/88/11/1073548811.db2.gz JZNMBGYFUMRFQY-NXRFCRFCSA-N 0 0 425.511 -0.118 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cn1 ZINC000486478122 1073548825 /nfs/dbraw/zinc/54/88/25/1073548825.db2.gz JZNMBGYFUMRFQY-VYMQAWTISA-N 0 0 425.511 -0.118 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cn1 ZINC000486478123 1073548698 /nfs/dbraw/zinc/54/86/98/1073548698.db2.gz JZNMBGYFUMRFQY-WBHWENKQSA-N 0 0 425.511 -0.118 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2ccn[nH]2)CC1 ZINC000486485505 1073548548 /nfs/dbraw/zinc/54/85/48/1073548548.db2.gz HFRDJXXWVBWNEL-UHFFFAOYSA-N 0 0 429.547 -0.167 20 0 IBADRN Cc1cc(C(=O)NCCN2C(=O)CCC2=O)cc(C(=O)NCCN2C(=O)CCC2=O)c1 ZINC000486486483 1073548730 /nfs/dbraw/zinc/54/87/30/1073548730.db2.gz MDDWSCXLBABOGO-UHFFFAOYSA-N 0 0 428.445 -0.247 20 0 IBADRN Cc1nc2c(cnn2C)c(N2CCN(C(=O)Cc3cc(S(N)(=O)=O)cn3C)CC2)n1 ZINC000486490384 1073548760 /nfs/dbraw/zinc/54/87/60/1073548760.db2.gz SRAJXSAXJVVPFE-UHFFFAOYSA-N 0 0 432.510 -0.451 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000486492905 1073549171 /nfs/dbraw/zinc/54/91/71/1073549171.db2.gz XJXFBHXOIOWVEY-UHFFFAOYSA-N 0 0 439.604 -0.284 20 0 IBADRN O=C1CN(CCCNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CCN1 ZINC000486493689 1073549240 /nfs/dbraw/zinc/54/92/40/1073549240.db2.gz WRPXDBSRDLZJKE-UHFFFAOYSA-N 0 0 444.579 -0.039 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000486494590 1073549200 /nfs/dbraw/zinc/54/92/00/1073549200.db2.gz XUDAIEJLXXLNOI-UHFFFAOYSA-N 0 0 445.517 -0.012 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)CC)CC1 ZINC000486495820 1073549124 /nfs/dbraw/zinc/54/91/24/1073549124.db2.gz KKHLJFXTXDQJMZ-UHFFFAOYSA-N 0 0 427.593 -0.380 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCc2cn(CC(=O)OC)nn2)CC1 ZINC000486496312 1073549163 /nfs/dbraw/zinc/54/91/63/1073549163.db2.gz GRIUQCFLXCFYDS-UHFFFAOYSA-N 0 0 445.546 -0.355 20 0 IBADRN Cc1cc(C)n(CC(=O)N2CCN(C(=O)Cn3c(C)cc(C)nc3=O)[C@@H](C)C2)c(=O)n1 ZINC000486498881 1073549235 /nfs/dbraw/zinc/54/92/35/1073549235.db2.gz RAEDBAHQZRYENA-KRWDZBQOSA-N 0 0 428.493 -0.207 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)CNC2=O)CC1 ZINC000486504988 1073549219 /nfs/dbraw/zinc/54/92/19/1073549219.db2.gz DCBBPQCZPONZHI-UHFFFAOYSA-N 0 0 432.547 -0.378 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000486505267 1073549115 /nfs/dbraw/zinc/54/91/15/1073549115.db2.gz LILOOXPAJIKCBU-INIZCTEOSA-N 0 0 436.600 -0.630 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000486505280 1073549189 /nfs/dbraw/zinc/54/91/89/1073549189.db2.gz LILOOXPAJIKCBU-MRXNPFEDSA-N 0 0 436.600 -0.630 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCN2CCNC(=O)C2)cc1C(=O)NCC(F)(F)F ZINC000486505689 1073549286 /nfs/dbraw/zinc/54/92/86/1073549286.db2.gz MGPXZYJLBGURTM-UHFFFAOYSA-N 0 0 425.433 -0.583 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)Cc2cc(S(N)(=O)=O)cn2C)C1=O ZINC000486509058 1073549227 /nfs/dbraw/zinc/54/92/27/1073549227.db2.gz OJPIDAMKVWCNDO-CYBMUJFWSA-N 0 0 440.503 -0.015 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)Cc2cc(S(N)(=O)=O)cn2C)C1=O ZINC000486509059 1073549275 /nfs/dbraw/zinc/54/92/75/1073549275.db2.gz OJPIDAMKVWCNDO-ZDUSSCGKSA-N 0 0 440.503 -0.015 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1F ZINC000486509916 1073549212 /nfs/dbraw/zinc/54/92/12/1073549212.db2.gz VKGCOWVMNKDZCD-UHFFFAOYSA-N 0 0 440.461 -0.561 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC(=O)N(CC(F)(F)F)C3)CC2)cn1 ZINC000486514830 1073549135 /nfs/dbraw/zinc/54/91/35/1073549135.db2.gz FRCJTVJXHDZUTB-UHFFFAOYSA-N 0 0 438.432 -0.053 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1 ZINC000486518127 1073549155 /nfs/dbraw/zinc/54/91/55/1073549155.db2.gz LLZAGLSXWNGHIG-HNNXBMFYSA-N 0 0 440.588 -0.506 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1 ZINC000486518128 1073549143 /nfs/dbraw/zinc/54/91/43/1073549143.db2.gz LLZAGLSXWNGHIG-OAHLLOKOSA-N 0 0 440.588 -0.506 20 0 IBADRN CCOc1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1OCC(=O)OC ZINC000486523939 1073549301 /nfs/dbraw/zinc/54/93/01/1073549301.db2.gz DKUUZIFJPCEMJM-UHFFFAOYSA-N 0 0 432.437 -0.392 20 0 IBADRN O=C(NCCN1C(=O)CCCC1=O)c1ccc(C(=O)NCCN2C(=O)CCCC2=O)nc1 ZINC000486526566 1073549180 /nfs/dbraw/zinc/54/91/80/1073549180.db2.gz GVANDXFZFOMARJ-UHFFFAOYSA-N 0 0 443.460 -0.381 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)c2C1 ZINC000486528426 1073549858 /nfs/dbraw/zinc/54/98/58/1073549858.db2.gz OIQLCXKXOZLNDW-CVEARBPZSA-N 0 0 441.554 -0.200 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)c2C1 ZINC000486528430 1073549836 /nfs/dbraw/zinc/54/98/36/1073549836.db2.gz OIQLCXKXOZLNDW-HOTGVXAUSA-N 0 0 441.554 -0.200 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)c2C1 ZINC000486528432 1073549606 /nfs/dbraw/zinc/54/96/06/1073549606.db2.gz OIQLCXKXOZLNDW-HZPDHXFCSA-N 0 0 441.554 -0.200 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)c2C1 ZINC000486528434 1073549782 /nfs/dbraw/zinc/54/97/82/1073549782.db2.gz OIQLCXKXOZLNDW-JKSUJKDBSA-N 0 0 441.554 -0.200 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(CC(=O)NCCOC)CC2)ccc1F ZINC000486553841 1073549740 /nfs/dbraw/zinc/54/97/40/1073549740.db2.gz ZCELJFWHZJBDBN-UHFFFAOYSA-N 0 0 431.490 -0.354 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NCCN1CCN(c2ncccn2)CC1 ZINC000486558439 1073549667 /nfs/dbraw/zinc/54/96/67/1073549667.db2.gz UVRKNBGNTRRYGB-UHFFFAOYSA-N 0 0 449.515 -0.213 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)ccc1F ZINC000486561905 1073549715 /nfs/dbraw/zinc/54/97/15/1073549715.db2.gz HHHLCAMYHBDRTF-UHFFFAOYSA-N 0 0 447.474 -0.610 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)nc2)CCO1 ZINC000486563110 1073549771 /nfs/dbraw/zinc/54/97/71/1073549771.db2.gz GGSCPRGPWRNMNH-CVEARBPZSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)nc2)CCO1 ZINC000486563111 1073549801 /nfs/dbraw/zinc/54/98/01/1073549801.db2.gz GGSCPRGPWRNMNH-HOTGVXAUSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@H](CC(=O)OC)C3)nc2)CCO1 ZINC000486563112 1073549729 /nfs/dbraw/zinc/54/97/29/1073549729.db2.gz GGSCPRGPWRNMNH-HZPDHXFCSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)cn2)CCO1 ZINC000486563113 1073549633 /nfs/dbraw/zinc/54/96/33/1073549633.db2.gz GGSCPRGPWRNMNH-JKSUJKDBSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2cncc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)c2)CCO1 ZINC000486563866 1073549848 /nfs/dbraw/zinc/54/98/48/1073549848.db2.gz IKYFPCVUBCUFJG-CALCHBBNSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2cncc(C(=O)N3CCO[C@H](CC(=O)OC)C3)c2)CCO1 ZINC000486563867 1073549618 /nfs/dbraw/zinc/54/96/18/1073549618.db2.gz IKYFPCVUBCUFJG-IAGOWNOFSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)c2cncc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)c2)CCO1 ZINC000486563868 1073549705 /nfs/dbraw/zinc/54/97/05/1073549705.db2.gz IKYFPCVUBCUFJG-IRXDYDNUSA-N 0 0 449.460 -0.110 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2ccc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)nc2)C1=O ZINC000486575098 1073549757 /nfs/dbraw/zinc/54/97/57/1073549757.db2.gz NGOWLTLCJGUTSW-CABCVRRESA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)c2ccc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)nc2)C1=O ZINC000486575107 1073549789 /nfs/dbraw/zinc/54/97/89/1073549789.db2.gz NGOWLTLCJGUTSW-GJZGRUSLSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2ccc(C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)nc2)C1=O ZINC000486575109 1073549690 /nfs/dbraw/zinc/54/96/90/1073549690.db2.gz NGOWLTLCJGUTSW-HUUCEWRRSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2ccc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)cn2)C1=O ZINC000486575111 1073549811 /nfs/dbraw/zinc/54/98/11/1073549811.db2.gz NGOWLTLCJGUTSW-LSDHHAIUSA-N 0 0 443.460 -0.387 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)c3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000486576314 1073550379 /nfs/dbraw/zinc/55/03/79/1073550379.db2.gz MWRSQKYBGQYUOB-UHFFFAOYSA-N 0 0 426.882 -0.116 20 0 IBADRN COC(=O)C[C@](C)(NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccncc1 ZINC000486583297 1073550293 /nfs/dbraw/zinc/55/02/93/1073550293.db2.gz CNGSSEHAAKJPRB-FQEVSTJZSA-N 0 0 428.449 -0.187 20 0 IBADRN COC(=O)C[C@@](C)(NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccncc1 ZINC000486583308 1073550337 /nfs/dbraw/zinc/55/03/37/1073550337.db2.gz CNGSSEHAAKJPRB-HXUWFJFHSA-N 0 0 428.449 -0.187 20 0 IBADRN COC(=O)C[C@](C)(NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccccn1 ZINC000486592853 1073550322 /nfs/dbraw/zinc/55/03/22/1073550322.db2.gz XMDRKVKSXJGKBR-FQEVSTJZSA-N 0 0 428.449 -0.187 20 0 IBADRN COC(=O)C[C@@](C)(NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccccn1 ZINC000486592854 1073550357 /nfs/dbraw/zinc/55/03/57/1073550357.db2.gz XMDRKVKSXJGKBR-HXUWFJFHSA-N 0 0 428.449 -0.187 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)Cc2cc(S(N)(=O)=O)cn2C)cc1 ZINC000486595393 1073550201 /nfs/dbraw/zinc/55/02/01/1073550201.db2.gz ITUYCEJFDBHZND-UHFFFAOYSA-N 0 0 428.536 -0.040 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000486596326 1073550222 /nfs/dbraw/zinc/55/02/22/1073550222.db2.gz PZJDEIRTRLIFMF-UHFFFAOYSA-N 0 0 443.501 -0.258 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000486596420 1073550257 /nfs/dbraw/zinc/55/02/57/1073550257.db2.gz BDPXOSDSYLRXNO-UHFFFAOYSA-N 0 0 425.554 -0.333 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2cncc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)c2)C1=O ZINC000486598995 1073550284 /nfs/dbraw/zinc/55/02/84/1073550284.db2.gz ZMGZFBYCXFOFLG-GASCZTMLSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)c2cncc(C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)c2)C1=O ZINC000486598998 1073550348 /nfs/dbraw/zinc/55/03/48/1073550348.db2.gz ZMGZFBYCXFOFLG-GJZGRUSLSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2cncc(C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)c2)C1=O ZINC000486599003 1073550266 /nfs/dbraw/zinc/55/02/66/1073550266.db2.gz ZMGZFBYCXFOFLG-HUUCEWRRSA-N 0 0 443.460 -0.387 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC1 ZINC000486599108 1073550172 /nfs/dbraw/zinc/55/01/72/1073550172.db2.gz ZPLYJDSNMKHOIO-UHFFFAOYSA-N 0 0 436.556 -0.886 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)N2CCC(C(=O)OC)CC2)C1 ZINC000486606045 1073550370 /nfs/dbraw/zinc/55/03/70/1073550370.db2.gz QIMMHYJOXPNODB-HNNXBMFYSA-N 0 0 441.572 -0.511 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)N2CCC(C(=O)OC)CC2)C1 ZINC000486606046 1073550799 /nfs/dbraw/zinc/55/07/99/1073550799.db2.gz QIMMHYJOXPNODB-OAHLLOKOSA-N 0 0 441.572 -0.511 20 0 IBADRN CN(CCS(=O)(=O)N1CCC[C@@H]1CS(N)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000486608185 1073550312 /nfs/dbraw/zinc/55/03/12/1073550312.db2.gz SHLXPNDGZVLPHB-CYBMUJFWSA-N 0 0 443.544 -0.471 20 0 IBADRN CN(CCS(=O)(=O)N1CCC[C@H]1CS(N)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000486608186 1073550184 /nfs/dbraw/zinc/55/01/84/1073550184.db2.gz SHLXPNDGZVLPHB-ZDUSSCGKSA-N 0 0 443.544 -0.471 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000486610793 1073550234 /nfs/dbraw/zinc/55/02/34/1073550234.db2.gz NWHRSZYYUOWWSO-UHFFFAOYSA-N 0 0 449.551 -0.182 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000486613037 1073550159 /nfs/dbraw/zinc/55/01/59/1073550159.db2.gz ZOKOJEWDDHCIDQ-GFCCVEGCSA-N 0 0 438.528 -0.353 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000486613038 1073550212 /nfs/dbraw/zinc/55/02/12/1073550212.db2.gz ZOKOJEWDDHCIDQ-LBPRGKRZSA-N 0 0 438.528 -0.353 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cnn([C@@H]3CCOC3)c2)C1 ZINC000486614704 1073551386 /nfs/dbraw/zinc/55/13/86/1073551386.db2.gz IEGCHHIWDNYXDG-CABCVRRESA-N 0 0 436.556 -0.094 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cnn([C@H]3CCOC3)c2)C1 ZINC000486614705 1073551244 /nfs/dbraw/zinc/55/12/44/1073551244.db2.gz IEGCHHIWDNYXDG-GJZGRUSLSA-N 0 0 436.556 -0.094 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cnn([C@@H]3CCOC3)c2)C1 ZINC000486614706 1073551316 /nfs/dbraw/zinc/55/13/16/1073551316.db2.gz IEGCHHIWDNYXDG-HUUCEWRRSA-N 0 0 436.556 -0.094 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cnn([C@H]3CCOC3)c2)C1 ZINC000486614707 1073551359 /nfs/dbraw/zinc/55/13/59/1073551359.db2.gz IEGCHHIWDNYXDG-LSDHHAIUSA-N 0 0 436.556 -0.094 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2csnc2C(=O)OC)C1 ZINC000486617643 1073551220 /nfs/dbraw/zinc/55/12/20/1073551220.db2.gz SPFFPGIIAXIIBH-LLVKDONJSA-N 0 0 441.553 -0.009 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2csnc2C(=O)OC)C1 ZINC000486617651 1073551255 /nfs/dbraw/zinc/55/12/55/1073551255.db2.gz SPFFPGIIAXIIBH-NSHDSACASA-N 0 0 441.553 -0.009 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)CCCS(C)(=O)=O)CC1 ZINC000486622642 1073551229 /nfs/dbraw/zinc/55/12/29/1073551229.db2.gz BBSHXCVDJHZRCQ-UHFFFAOYSA-N 0 0 433.618 -0.265 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(OC)c(C(N)=O)c2)C1 ZINC000486624042 1073550715 /nfs/dbraw/zinc/55/07/15/1073550715.db2.gz FNBCLMFRXZVEKI-AWEZNQCLSA-N 0 0 449.551 -0.145 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(OC)c(C(N)=O)c2)C1 ZINC000486624044 1073550814 /nfs/dbraw/zinc/55/08/14/1073550814.db2.gz FNBCLMFRXZVEKI-CQSZACIVSA-N 0 0 449.551 -0.145 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)N(C)CCC(=O)OC)CC1 ZINC000486624060 1073550727 /nfs/dbraw/zinc/55/07/27/1073550727.db2.gz FPQQRNDMNOVGAZ-UHFFFAOYSA-N 0 0 428.577 -0.290 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000486624076 1073550828 /nfs/dbraw/zinc/55/08/28/1073550828.db2.gz FSTPUEMODHHREA-CABCVRRESA-N 0 0 441.572 -0.369 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000486624082 1073550807 /nfs/dbraw/zinc/55/08/07/1073550807.db2.gz FSTPUEMODHHREA-GJZGRUSLSA-N 0 0 441.572 -0.369 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000486624083 1073550861 /nfs/dbraw/zinc/55/08/61/1073550861.db2.gz FSTPUEMODHHREA-HUUCEWRRSA-N 0 0 441.572 -0.369 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000486624084 1073550789 /nfs/dbraw/zinc/55/07/89/1073550789.db2.gz FSTPUEMODHHREA-LSDHHAIUSA-N 0 0 441.572 -0.369 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000486625261 1073550852 /nfs/dbraw/zinc/55/08/52/1073550852.db2.gz JDJBGHGMXIKTPF-UHFFFAOYSA-N 0 0 433.552 -0.254 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)CCCN2CCOCC2)C1 ZINC000486625262 1073550768 /nfs/dbraw/zinc/55/07/68/1073550768.db2.gz JEGRNPQYQCLCLD-INIZCTEOSA-N 0 0 427.589 -0.589 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)CCCN2CCOCC2)C1 ZINC000486625267 1073550692 /nfs/dbraw/zinc/55/06/92/1073550692.db2.gz JEGRNPQYQCLCLD-MRXNPFEDSA-N 0 0 427.589 -0.589 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)N(CCOC)CCOC)C1 ZINC000486627166 1073550704 /nfs/dbraw/zinc/55/07/04/1073550704.db2.gz JVSHZPGIMIOLLA-HNNXBMFYSA-N 0 0 431.577 -0.802 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)N(CCOC)CCOC)C1 ZINC000486627168 1073550845 /nfs/dbraw/zinc/55/08/45/1073550845.db2.gz JVSHZPGIMIOLLA-OAHLLOKOSA-N 0 0 431.577 -0.802 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)c(C(=O)OC)s1 ZINC000486627529 1073550743 /nfs/dbraw/zinc/55/07/43/1073550743.db2.gz JTFBEQFMVBMXOW-MRVPVSSYSA-N 0 0 426.494 -0.237 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)c(C(=O)OC)s1 ZINC000486627537 1073550820 /nfs/dbraw/zinc/55/08/20/1073550820.db2.gz JTFBEQFMVBMXOW-QMMMGPOBSA-N 0 0 426.494 -0.237 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC000486627564 1073550891 /nfs/dbraw/zinc/55/08/91/1073550891.db2.gz KLLCAXZAGBOWAH-UHFFFAOYSA-N 0 0 445.629 -0.122 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000486628442 1073551209 /nfs/dbraw/zinc/55/12/09/1073551209.db2.gz PRDDCGIFFUTMHP-UHFFFAOYSA-N 0 0 447.535 -0.428 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)C1 ZINC000486628783 1073550839 /nfs/dbraw/zinc/55/08/39/1073550839.db2.gz WJCYCSYZTBITMJ-INIZCTEOSA-N 0 0 444.539 -0.277 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)C1 ZINC000486628792 1073550778 /nfs/dbraw/zinc/55/07/78/1073550778.db2.gz WJCYCSYZTBITMJ-MRXNPFEDSA-N 0 0 444.539 -0.277 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)cn1C ZINC000486629065 1073551299 /nfs/dbraw/zinc/55/12/99/1073551299.db2.gz LSIOXBLCXWTSKC-CYBMUJFWSA-N 0 0 436.556 -0.554 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)cn1C ZINC000486629071 1073551270 /nfs/dbraw/zinc/55/12/70/1073551270.db2.gz LSIOXBLCXWTSKC-ZDUSSCGKSA-N 0 0 436.556 -0.554 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000486631131 1073551370 /nfs/dbraw/zinc/55/13/70/1073551370.db2.gz ALRHBVXKQCRUSK-UHFFFAOYSA-N 0 0 445.523 -0.617 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)C(=O)c2cccn2C)CCN1C(=O)C(=O)c1cccn1C ZINC000486637074 1073551326 /nfs/dbraw/zinc/55/13/26/1073551326.db2.gz OKAUGXPRTGFESM-INIZCTEOSA-N 0 0 427.461 -0.443 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)C(=O)c2cccn2C)CCN1C(=O)C(=O)c1cccn1C ZINC000486637096 1073551287 /nfs/dbraw/zinc/55/12/87/1073551287.db2.gz OKAUGXPRTGFESM-MRXNPFEDSA-N 0 0 427.461 -0.443 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(C(=O)OC)nc2)C1 ZINC000486638138 1073551193 /nfs/dbraw/zinc/55/11/93/1073551193.db2.gz FMEFATLAHBOFRN-AWEZNQCLSA-N 0 0 435.524 -0.071 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(C(=O)OC)nc2)C1 ZINC000486638140 1073551307 /nfs/dbraw/zinc/55/13/07/1073551307.db2.gz FMEFATLAHBOFRN-CQSZACIVSA-N 0 0 435.524 -0.071 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)C[C@@H]2CCCC(=O)N2)CCN1C(=O)C[C@@H]1CCCC(=O)N1 ZINC000486638700 1073551168 /nfs/dbraw/zinc/55/11/68/1073551168.db2.gz SRYZAJHKHWUXJY-JYJNAYRXSA-N 0 0 435.525 -0.768 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)C[C@H]2CCCC(=O)N2)CCN1C(=O)C[C@H]1CCCC(=O)N1 ZINC000486638702 1073551941 /nfs/dbraw/zinc/55/19/41/1073551941.db2.gz SRYZAJHKHWUXJY-OAGGEKHMSA-N 0 0 435.525 -0.768 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)C[C@H]2CCCC(=O)N2)CCN1C(=O)C[C@@H]1CCCC(=O)N1 ZINC000486638703 1073551202 /nfs/dbraw/zinc/55/12/02/1073551202.db2.gz SRYZAJHKHWUXJY-PMPSAXMXSA-N 0 0 435.525 -0.768 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)C[C@@H]2CCCC(=O)N2)CCN1C(=O)C[C@H]1CCCC(=O)N1 ZINC000486638704 1073551183 /nfs/dbraw/zinc/55/11/83/1073551183.db2.gz SRYZAJHKHWUXJY-XHSDSOJGSA-N 0 0 435.525 -0.768 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cnn(CC(=O)OC)c2)C1 ZINC000486641100 1073551917 /nfs/dbraw/zinc/55/19/17/1073551917.db2.gz YWWPSQQAVFVBDB-CYBMUJFWSA-N 0 0 438.528 -0.883 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cnn(CC(=O)OC)c2)C1 ZINC000486641102 1073551815 /nfs/dbraw/zinc/55/18/15/1073551815.db2.gz YWWPSQQAVFVBDB-ZDUSSCGKSA-N 0 0 438.528 -0.883 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000486642468 1073551881 /nfs/dbraw/zinc/55/18/81/1073551881.db2.gz YIUGYBAJHDZKQI-ZDUSSCGKSA-N 0 0 438.462 -0.721 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)n(C)c2)C1 ZINC000486648429 1073551961 /nfs/dbraw/zinc/55/19/61/1073551961.db2.gz CXFDKTWNXKKMSY-CYBMUJFWSA-N 0 0 437.540 -0.127 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)n(C)c2)C1 ZINC000486648443 1073551781 /nfs/dbraw/zinc/55/17/81/1073551781.db2.gz CXFDKTWNXKKMSY-ZDUSSCGKSA-N 0 0 437.540 -0.127 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)C1 ZINC000486650302 1073551970 /nfs/dbraw/zinc/55/19/70/1073551970.db2.gz KKXCHHVFAYTQAI-INIZCTEOSA-N 0 0 444.539 -0.277 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)C1 ZINC000486650305 1073551736 /nfs/dbraw/zinc/55/17/36/1073551736.db2.gz KKXCHHVFAYTQAI-MRXNPFEDSA-N 0 0 444.539 -0.277 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)CCNS(=O)(=O)CC)CC1 ZINC000486655763 1073552272 /nfs/dbraw/zinc/55/22/72/1073552272.db2.gz XSPIJLYMFCBOSO-UHFFFAOYSA-N 0 0 448.633 -0.760 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000486656116 1073551835 /nfs/dbraw/zinc/55/18/35/1073551835.db2.gz KXZJLARVSPHPAZ-AWEZNQCLSA-N 0 0 446.485 -0.261 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000486656118 1073551752 /nfs/dbraw/zinc/55/17/52/1073551752.db2.gz KXZJLARVSPHPAZ-CQSZACIVSA-N 0 0 446.485 -0.261 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000486657085 1073551948 /nfs/dbraw/zinc/55/19/48/1073551948.db2.gz LYOWJUUFHPJUHU-UHFFFAOYSA-N 0 0 429.524 -0.323 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)N(CCOC)CCOC)CC1 ZINC000486657138 1073551899 /nfs/dbraw/zinc/55/18/99/1073551899.db2.gz XYQMVELQQQAYOG-UHFFFAOYSA-N 0 0 444.620 -0.190 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2scnc2C(=O)OC)C1 ZINC000486657882 1073551846 /nfs/dbraw/zinc/55/18/46/1073551846.db2.gz ZWZQHAOLIXPBEF-LLVKDONJSA-N 0 0 441.553 -0.009 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2scnc2C(=O)OC)C1 ZINC000486657883 1073551890 /nfs/dbraw/zinc/55/18/90/1073551890.db2.gz ZWZQHAOLIXPBEF-NSHDSACASA-N 0 0 441.553 -0.009 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1C(=O)NCC(F)(F)F ZINC000486658444 1073551803 /nfs/dbraw/zinc/55/18/03/1073551803.db2.gz ONJSMWOIZRNVDU-SECBINFHSA-N 0 0 432.446 -0.241 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1C(=O)NCC(F)(F)F ZINC000486658445 1073551830 /nfs/dbraw/zinc/55/18/30/1073551830.db2.gz ONJSMWOIZRNVDU-VIFPVBQESA-N 0 0 432.446 -0.241 20 0 IBADRN COCCC(=O)N1CCC(NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000486659972 1073551866 /nfs/dbraw/zinc/55/18/66/1073551866.db2.gz CJVFKAFKYUYQOK-UHFFFAOYSA-N 0 0 433.552 -0.088 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cnn3c2C[C@@H](CNC(C)=O)CC3)CC1 ZINC000486661761 1073552394 /nfs/dbraw/zinc/55/23/94/1073552394.db2.gz WWQUZCGUXCMFDH-AEFFLSMTSA-N 0 0 448.568 -0.119 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cnn3c2C[C@H](CNC(C)=O)CC3)CC1 ZINC000486661762 1073552346 /nfs/dbraw/zinc/55/23/46/1073552346.db2.gz WWQUZCGUXCMFDH-FUHWJXTLSA-N 0 0 448.568 -0.119 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cnn3c2C[C@H](CNC(C)=O)CC3)CC1 ZINC000486661763 1073552305 /nfs/dbraw/zinc/55/23/05/1073552305.db2.gz WWQUZCGUXCMFDH-SJLPKXTDSA-N 0 0 448.568 -0.119 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cnn3c2C[C@@H](CNC(C)=O)CC3)CC1 ZINC000486661764 1073552296 /nfs/dbraw/zinc/55/22/96/1073552296.db2.gz WWQUZCGUXCMFDH-WMZOPIPTSA-N 0 0 448.568 -0.119 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)Cc2cc(S(N)(=O)=O)cn2C)c(C)s1 ZINC000486662642 1073552333 /nfs/dbraw/zinc/55/23/33/1073552333.db2.gz HEYQYRFCJYVYDO-UHFFFAOYSA-N 0 0 434.565 -0.012 20 0 IBADRN COc1cc(OC)cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000486663230 1073552400 /nfs/dbraw/zinc/55/24/00/1073552400.db2.gz BPHSSFRUFKIZEN-UHFFFAOYSA-N 0 0 427.479 -0.605 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)NCCS(=O)(=O)N3CCSCC3)c2C1 ZINC000486666396 1073552385 /nfs/dbraw/zinc/55/23/85/1073552385.db2.gz UJVQDPNKSWOWHJ-AWEZNQCLSA-N 0 0 429.568 -0.310 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)NCCS(=O)(=O)N3CCSCC3)c2C1 ZINC000486666397 1073552442 /nfs/dbraw/zinc/55/24/42/1073552442.db2.gz UJVQDPNKSWOWHJ-CQSZACIVSA-N 0 0 429.568 -0.310 20 0 IBADRN COCCC(=O)N1CCC(NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000486667643 1073552320 /nfs/dbraw/zinc/55/23/20/1073552320.db2.gz YMISIDYWVIPCFR-UHFFFAOYSA-N 0 0 425.467 -0.660 20 0 IBADRN COc1cc(OC)cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000486669494 1073552285 /nfs/dbraw/zinc/55/22/85/1073552285.db2.gz FFYKNVFZZGXXPX-UHFFFAOYSA-N 0 0 448.567 -0.498 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)NC1(C(=O)NCCN2CCS(=O)(=O)CC2)CCCC1 ZINC000486674031 1073552327 /nfs/dbraw/zinc/55/23/27/1073552327.db2.gz HLLLLXSPPWUOQH-UHFFFAOYSA-N 0 0 425.555 -0.074 20 0 IBADRN CCOc1ccc(NC(=O)NCc2nnn(C)n2)cc1S(=O)(=O)N1CCOCC1 ZINC000486675510 1073552357 /nfs/dbraw/zinc/55/23/57/1073552357.db2.gz YHZJLGKEKIJLPW-UHFFFAOYSA-N 0 0 425.471 -0.049 20 0 IBADRN CN(CCS(=O)(=O)N1CCC[C@H](S(N)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000486676000 1073552411 /nfs/dbraw/zinc/55/24/11/1073552411.db2.gz YLJQJRPIYILHQT-AWEZNQCLSA-N 0 0 443.544 -0.471 20 0 IBADRN CN(CCS(=O)(=O)N1CCC[C@@H](S(N)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000486676001 1073552310 /nfs/dbraw/zinc/55/23/10/1073552310.db2.gz YLJQJRPIYILHQT-CQSZACIVSA-N 0 0 443.544 -0.471 20 0 IBADRN COc1cc(OC)cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000486678808 1073552373 /nfs/dbraw/zinc/55/23/73/1073552373.db2.gz HNJDGSMJYFQGRF-UHFFFAOYSA-N 0 0 435.524 -0.413 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000486684328 1073552979 /nfs/dbraw/zinc/55/29/79/1073552979.db2.gz NWGXYPVACYCVRV-UHFFFAOYSA-N 0 0 443.478 -0.680 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c(C(=O)OC)s1 ZINC000486684877 1073552851 /nfs/dbraw/zinc/55/28/51/1073552851.db2.gz OINOMNFHOYHKQJ-MRVPVSSYSA-N 0 0 426.494 -0.237 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)c(C(=O)OC)s1 ZINC000486684879 1073552728 /nfs/dbraw/zinc/55/27/28/1073552728.db2.gz OINOMNFHOYHKQJ-QMMMGPOBSA-N 0 0 426.494 -0.237 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000486687125 1073552962 /nfs/dbraw/zinc/55/29/62/1073552962.db2.gz CJDSNDOXCCYAOY-MOPGFXCFSA-N 0 0 449.504 -0.037 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000486687127 1073552870 /nfs/dbraw/zinc/55/28/70/1073552870.db2.gz CJDSNDOXCCYAOY-OALUTQOASA-N 0 0 449.504 -0.037 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000486687128 1073552881 /nfs/dbraw/zinc/55/28/81/1073552881.db2.gz CJDSNDOXCCYAOY-RBUKOAKNSA-N 0 0 449.504 -0.037 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000486687130 1073552800 /nfs/dbraw/zinc/55/28/00/1073552800.db2.gz CJDSNDOXCCYAOY-RTBURBONSA-N 0 0 449.504 -0.037 20 0 IBADRN COc1cc(OC)cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000486692529 1073552828 /nfs/dbraw/zinc/55/28/28/1073552828.db2.gz FWVOGRKHPFQAHM-UHFFFAOYSA-N 0 0 425.463 -0.314 20 0 IBADRN CC(C)N(C)c1cnn([C@H]2CCCN(S(=O)(=O)N3CCS(=O)(=O)CC3)C2)c(=O)c1 ZINC000486696806 1073553025 /nfs/dbraw/zinc/55/30/25/1073553025.db2.gz SQBDSVWIRDZWNB-HNNXBMFYSA-N 0 0 447.583 -0.300 20 0 IBADRN CC(C)N(C)c1cnn([C@@H]2CCCN(S(=O)(=O)N3CCS(=O)(=O)CC3)C2)c(=O)c1 ZINC000486696810 1073552839 /nfs/dbraw/zinc/55/28/39/1073552839.db2.gz SQBDSVWIRDZWNB-OAHLLOKOSA-N 0 0 447.583 -0.300 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000486700146 1073552862 /nfs/dbraw/zinc/55/28/62/1073552862.db2.gz ZBJTUAJXMXVSLY-DOTOQJQBSA-N 0 0 441.506 -0.116 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000486700154 1073552744 /nfs/dbraw/zinc/55/27/44/1073552744.db2.gz ZBJTUAJXMXVSLY-NVXWUHKLSA-N 0 0 441.506 -0.116 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000486700162 1073552946 /nfs/dbraw/zinc/55/29/46/1073552946.db2.gz ZBJTUAJXMXVSLY-RDJZCZTQSA-N 0 0 441.506 -0.116 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000486700172 1073552764 /nfs/dbraw/zinc/55/27/64/1073552764.db2.gz ZBJTUAJXMXVSLY-WBVHZDCISA-N 0 0 441.506 -0.116 20 0 IBADRN CCc1oc(C(=O)OC)cc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000486701449 1073552777 /nfs/dbraw/zinc/55/27/77/1073552777.db2.gz VTBHDQCWBBTNGC-UHFFFAOYSA-N 0 0 429.495 -0.206 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCO[C@H](COCC4CC4)C3)cnc2n(C)c1=O ZINC000486702320 1073553464 /nfs/dbraw/zinc/55/34/64/1073553464.db2.gz NHLGMORUMNZLHS-AWEZNQCLSA-N 0 0 431.449 -0.775 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCO[C@@H](COCC4CC4)C3)cnc2n(C)c1=O ZINC000486702325 1073553317 /nfs/dbraw/zinc/55/33/17/1073553317.db2.gz NHLGMORUMNZLHS-CQSZACIVSA-N 0 0 431.449 -0.775 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)ccc1C ZINC000486702799 1073552813 /nfs/dbraw/zinc/55/28/13/1073552813.db2.gz QXRJADMBZBXPMR-CABCVRRESA-N 0 0 429.495 -0.222 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)ccc1C ZINC000486702803 1073552916 /nfs/dbraw/zinc/55/29/16/1073552916.db2.gz QXRJADMBZBXPMR-GJZGRUSLSA-N 0 0 429.495 -0.222 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)ccc1C ZINC000486702806 1073552935 /nfs/dbraw/zinc/55/29/35/1073552935.db2.gz QXRJADMBZBXPMR-HUUCEWRRSA-N 0 0 429.495 -0.222 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)ccc1C ZINC000486702810 1073552897 /nfs/dbraw/zinc/55/28/97/1073552897.db2.gz QXRJADMBZBXPMR-LSDHHAIUSA-N 0 0 429.495 -0.222 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)cn1 ZINC000486706288 1073553392 /nfs/dbraw/zinc/55/33/92/1073553392.db2.gz KPJNIQGKFORDOB-HIFRSBDPSA-N 0 0 447.477 -0.451 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000486713481 1073553617 /nfs/dbraw/zinc/55/36/17/1073553617.db2.gz ZORNJHVWKGMKBU-CVEARBPZSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000486713484 1073553603 /nfs/dbraw/zinc/55/36/03/1073553603.db2.gz ZORNJHVWKGMKBU-HOTGVXAUSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000486713488 1073553436 /nfs/dbraw/zinc/55/34/36/1073553436.db2.gz ZORNJHVWKGMKBU-HZPDHXFCSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000486713490 1073553487 /nfs/dbraw/zinc/55/34/87/1073553487.db2.gz ZORNJHVWKGMKBU-JKSUJKDBSA-N 0 0 429.495 -0.188 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC000486713758 1073553578 /nfs/dbraw/zinc/55/35/78/1073553578.db2.gz XQOXYXQYLPCJDY-SECBINFHSA-N 0 0 432.446 -0.241 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC000486713761 1073553492 /nfs/dbraw/zinc/55/34/92/1073553492.db2.gz XQOXYXQYLPCJDY-VIFPVBQESA-N 0 0 432.446 -0.241 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000486715715 1073553523 /nfs/dbraw/zinc/55/35/23/1073553523.db2.gz WBTXKZGUEUVJEL-UHFFFAOYSA-N 0 0 446.551 -0.207 20 0 IBADRN CC(C)(CCC(=O)NCCC(=O)N1CCOCC1)C(=O)NCCC(=O)N1CCOCC1 ZINC000486716119 1073553346 /nfs/dbraw/zinc/55/33/46/1073553346.db2.gz XKGMXVXOXSFVCP-UHFFFAOYSA-N 0 0 440.541 -0.477 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)cn1 ZINC000486720566 1073553509 /nfs/dbraw/zinc/55/35/09/1073553509.db2.gz JXUYGWCZAMPEES-ZBFHGGJFSA-N 0 0 427.508 -0.151 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000486725819 1073553405 /nfs/dbraw/zinc/55/34/05/1073553405.db2.gz BPMHHIZOHKXQTB-GDBMZVCRSA-N 0 0 447.485 -0.049 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000486725820 1073553475 /nfs/dbraw/zinc/55/34/75/1073553475.db2.gz BPMHHIZOHKXQTB-GOEBONIOSA-N 0 0 447.485 -0.049 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000486725821 1073553449 /nfs/dbraw/zinc/55/34/49/1073553449.db2.gz BPMHHIZOHKXQTB-HOCLYGCPSA-N 0 0 447.485 -0.049 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000486725822 1073553365 /nfs/dbraw/zinc/55/33/65/1073553365.db2.gz BPMHHIZOHKXQTB-ZBFHGGJFSA-N 0 0 447.485 -0.049 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2ccc(C(=O)N[C@@]3(C(=O)OC)CCOC3)s2)CCOC1 ZINC000486727440 1073554045 /nfs/dbraw/zinc/55/40/45/1073554045.db2.gz FLNYBWOEHCYACU-HDICACEKSA-N 0 0 426.447 -0.128 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2ccc(C(=O)N[C@]3(C(=O)OC)CCOC3)s2)CCOC1 ZINC000486727441 1073554054 /nfs/dbraw/zinc/55/40/54/1073554054.db2.gz FLNYBWOEHCYACU-QZTJIDSGSA-N 0 0 426.447 -0.128 20 0 IBADRN COC(=O)[C@]1(NC(=O)c2ccc(C(=O)N[C@@]3(C(=O)OC)CCOC3)s2)CCOC1 ZINC000486727442 1073554068 /nfs/dbraw/zinc/55/40/68/1073554068.db2.gz FLNYBWOEHCYACU-ROUUACIJSA-N 0 0 426.447 -0.128 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)cn1)N1CCOCC1 ZINC000486727509 1073554103 /nfs/dbraw/zinc/55/41/03/1073554103.db2.gz MLHBAGLNCHUKMI-KRWDZBQOSA-N 0 0 435.481 -0.666 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)cn1)N1CCOCC1 ZINC000486727517 1073553934 /nfs/dbraw/zinc/55/39/34/1073553934.db2.gz MLHBAGLNCHUKMI-QGZVFWFLSA-N 0 0 435.481 -0.666 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)n1)N1CCOCC1 ZINC000486728301 1074352709 /nfs/dbraw/zinc/35/27/09/1074352709.db2.gz HZXJJVJTIBZNFI-INIZCTEOSA-N 0 0 435.481 -0.666 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)n1)N1CCOCC1 ZINC000486728302 1074352497 /nfs/dbraw/zinc/35/24/97/1074352497.db2.gz HZXJJVJTIBZNFI-MRXNPFEDSA-N 0 0 435.481 -0.666 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)cc1S(N)(=O)=O ZINC000486731324 1073554060 /nfs/dbraw/zinc/55/40/60/1073554060.db2.gz PITXPGNQCGZSIS-CABCVRRESA-N 0 0 429.495 -0.228 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)cc1S(N)(=O)=O ZINC000486731325 1073554001 /nfs/dbraw/zinc/55/40/01/1073554001.db2.gz PITXPGNQCGZSIS-GJZGRUSLSA-N 0 0 429.495 -0.228 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)cc1S(N)(=O)=O ZINC000486731326 1073554012 /nfs/dbraw/zinc/55/40/12/1073554012.db2.gz PITXPGNQCGZSIS-HUUCEWRRSA-N 0 0 429.495 -0.228 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)cc1S(N)(=O)=O ZINC000486731327 1073554085 /nfs/dbraw/zinc/55/40/85/1073554085.db2.gz PITXPGNQCGZSIS-LSDHHAIUSA-N 0 0 429.495 -0.228 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n(C)c1 ZINC000486733389 1073554033 /nfs/dbraw/zinc/55/40/33/1073554033.db2.gz KHOBDAGIAXAYLK-UHFFFAOYSA-N 0 0 447.517 -0.223 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000486734997 1073554547 /nfs/dbraw/zinc/55/45/47/1073554547.db2.gz WOFVGQBTSBBBTN-GDBMZVCRSA-N 0 0 429.495 -0.174 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000486735002 1073554538 /nfs/dbraw/zinc/55/45/38/1073554538.db2.gz WOFVGQBTSBBBTN-GOEBONIOSA-N 0 0 429.495 -0.174 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000486735004 1073554586 /nfs/dbraw/zinc/55/45/86/1073554586.db2.gz WOFVGQBTSBBBTN-HOCLYGCPSA-N 0 0 429.495 -0.174 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000486735006 1073554596 /nfs/dbraw/zinc/55/45/96/1073554596.db2.gz WOFVGQBTSBBBTN-ZBFHGGJFSA-N 0 0 429.495 -0.174 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000486735885 1073553374 /nfs/dbraw/zinc/55/33/74/1073553374.db2.gz MCVDICTWZDDWSZ-MOPGFXCFSA-N 0 0 448.520 -0.051 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000486735886 1073553539 /nfs/dbraw/zinc/55/35/39/1073553539.db2.gz MCVDICTWZDDWSZ-OALUTQOASA-N 0 0 448.520 -0.051 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000486735887 1073553329 /nfs/dbraw/zinc/55/33/29/1073553329.db2.gz MCVDICTWZDDWSZ-RBUKOAKNSA-N 0 0 448.520 -0.051 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000486735888 1073553990 /nfs/dbraw/zinc/55/39/90/1073553990.db2.gz MCVDICTWZDDWSZ-RTBURBONSA-N 0 0 448.520 -0.051 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000486736181 1073553969 /nfs/dbraw/zinc/55/39/69/1073553969.db2.gz XCBOUBSCZSKFKM-DZGCQCFKSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000486736183 1073553944 /nfs/dbraw/zinc/55/39/44/1073553944.db2.gz XCBOUBSCZSKFKM-HIFRSBDPSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000486736185 1073554123 /nfs/dbraw/zinc/55/41/23/1073554123.db2.gz XCBOUBSCZSKFKM-UKRRQHHQSA-N 0 0 429.495 -0.188 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000486736187 1073553978 /nfs/dbraw/zinc/55/39/78/1073553978.db2.gz XCBOUBSCZSKFKM-ZFWWWQNUSA-N 0 0 429.495 -0.188 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)c1C ZINC000486737110 1073554079 /nfs/dbraw/zinc/55/40/79/1073554079.db2.gz OLBGQBJOHZRYIM-CABCVRRESA-N 0 0 429.495 -0.222 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)c1C ZINC000486737111 1073554112 /nfs/dbraw/zinc/55/41/12/1073554112.db2.gz OLBGQBJOHZRYIM-GJZGRUSLSA-N 0 0 429.495 -0.222 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)c1C ZINC000486737112 1073554094 /nfs/dbraw/zinc/55/40/94/1073554094.db2.gz OLBGQBJOHZRYIM-HUUCEWRRSA-N 0 0 429.495 -0.222 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)c1C ZINC000486737113 1073553955 /nfs/dbraw/zinc/55/39/55/1073553955.db2.gz OLBGQBJOHZRYIM-LSDHHAIUSA-N 0 0 429.495 -0.222 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCc2nnn(C)n2)c1OC ZINC000486749974 1073554408 /nfs/dbraw/zinc/55/44/08/1073554408.db2.gz DUVLLBSGMSSIGW-UHFFFAOYSA-N 0 0 426.455 -0.822 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCc3nnn(C)n3)CC2)o1 ZINC000486755079 1073554558 /nfs/dbraw/zinc/55/45/58/1073554558.db2.gz SMLOUUDVIOHWEN-UHFFFAOYSA-N 0 0 426.455 -0.303 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCO[C@@H](COCC2CC2)C1 ZINC000486757869 1073554573 /nfs/dbraw/zinc/55/45/73/1073554573.db2.gz CELREQPAQVCNLJ-GOSISDBHSA-N 0 0 446.570 -0.135 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCO[C@H](COCC2CC2)C1 ZINC000486758171 1073554473 /nfs/dbraw/zinc/55/44/73/1073554473.db2.gz CELREQPAQVCNLJ-SFHVURJKSA-N 0 0 446.570 -0.135 20 0 IBADRN C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000486760565 1073554456 /nfs/dbraw/zinc/55/44/56/1073554456.db2.gz JDYDPBLSNIYDOP-AWEZNQCLSA-N 0 0 433.575 -0.028 20 0 IBADRN C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000486760566 1073554392 /nfs/dbraw/zinc/55/43/92/1073554392.db2.gz JDYDPBLSNIYDOP-CQSZACIVSA-N 0 0 433.575 -0.028 20 0 IBADRN O=C(NC1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1)C1CCC1 ZINC000486762106 1073554442 /nfs/dbraw/zinc/55/44/42/1073554442.db2.gz MOGBGIGCYTZGQO-UHFFFAOYSA-N 0 0 429.543 -0.662 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)c2ccc(-n3cc(Br)cn3)nn2)CC1 ZINC000486764638 1073554507 /nfs/dbraw/zinc/55/45/07/1073554507.db2.gz UIQDGOLXPVMPOP-UHFFFAOYSA-N 0 0 448.281 -0.012 20 0 IBADRN CN(Cc1ncnn1CC(F)F)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000486768230 1073554494 /nfs/dbraw/zinc/55/44/94/1073554494.db2.gz BFXUXHJIEKFDMW-UHFFFAOYSA-N 0 0 442.448 -0.064 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000486769422 1073554636 /nfs/dbraw/zinc/55/46/36/1073554636.db2.gz JSXYCQVCHIXGAA-UHFFFAOYSA-N 0 0 429.543 -0.132 20 0 IBADRN Cn1nnc(CNC(=O)CCn2c3ccc(S(=O)(=O)N4CCCC4)cc3oc2=O)n1 ZINC000486769718 1073554375 /nfs/dbraw/zinc/55/43/75/1073554375.db2.gz GALDZCXXIKNKLM-UHFFFAOYSA-N 0 0 435.466 -0.391 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1C[C@@H](c2cnn(C)c2)[C@H](CO)C1)C(F)(F)F ZINC000486771022 1073554652 /nfs/dbraw/zinc/55/46/52/1073554652.db2.gz KAQXKTMNKSAUPR-AVGNSLFASA-N 0 0 427.449 -0.040 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1C[C@@H](c2cnn(C)c2)[C@H](CO)C1)C(F)(F)F ZINC000486771023 1073554355 /nfs/dbraw/zinc/55/43/55/1073554355.db2.gz KAQXKTMNKSAUPR-RWMBFGLXSA-N 0 0 427.449 -0.040 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCc1nnn(C)n1 ZINC000486776005 1073554527 /nfs/dbraw/zinc/55/45/27/1073554527.db2.gz JDLAVEPYPIZWRJ-UHFFFAOYSA-N 0 0 425.471 -0.049 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCc3nnn(C)n3)CC2=O)cc1 ZINC000486779355 1073555029 /nfs/dbraw/zinc/55/50/29/1073555029.db2.gz ZKDUMRDHCCHHSG-CYBMUJFWSA-N 0 0 435.510 -0.090 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCc3nnn(C)n3)CC2=O)cc1 ZINC000486779365 1073555062 /nfs/dbraw/zinc/55/50/62/1073555062.db2.gz ZKDUMRDHCCHHSG-ZDUSSCGKSA-N 0 0 435.510 -0.090 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000486780326 1073555146 /nfs/dbraw/zinc/55/51/46/1073555146.db2.gz USMHKBQPPCBXIQ-DLBZAZTESA-N 0 0 445.538 -0.081 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000486780330 1073554922 /nfs/dbraw/zinc/55/49/22/1073554922.db2.gz USMHKBQPPCBXIQ-IAGOWNOFSA-N 0 0 445.538 -0.081 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000486780332 1073554902 /nfs/dbraw/zinc/55/49/02/1073554902.db2.gz USMHKBQPPCBXIQ-IRXDYDNUSA-N 0 0 445.538 -0.081 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000486780334 1073555076 /nfs/dbraw/zinc/55/50/76/1073555076.db2.gz USMHKBQPPCBXIQ-SJORKVTESA-N 0 0 445.538 -0.081 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CNC(=O)c2ncccc2O)CC1 ZINC000486781850 1073555089 /nfs/dbraw/zinc/55/50/89/1073555089.db2.gz VGUSBJCYNPTNGZ-UHFFFAOYSA-N 0 0 447.517 -0.231 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCc3nnn(C)n3)CC2)cc1 ZINC000486783200 1073554969 /nfs/dbraw/zinc/55/49/69/1073554969.db2.gz XSCQJTCYHLXVGV-UHFFFAOYSA-N 0 0 435.510 -0.552 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000486783317 1073555124 /nfs/dbraw/zinc/55/51/24/1073555124.db2.gz XZVZFFGQDYLQDD-DLBZAZTESA-N 0 0 436.513 -0.365 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000486783318 1073554989 /nfs/dbraw/zinc/55/49/89/1073554989.db2.gz XZVZFFGQDYLQDD-IAGOWNOFSA-N 0 0 436.513 -0.365 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000486783319 1073555155 /nfs/dbraw/zinc/55/51/55/1073555155.db2.gz XZVZFFGQDYLQDD-IRXDYDNUSA-N 0 0 436.513 -0.365 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000486783320 1073554940 /nfs/dbraw/zinc/55/49/40/1073554940.db2.gz XZVZFFGQDYLQDD-SJORKVTESA-N 0 0 436.513 -0.365 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCc3nnn(C)n3)CC2)c1 ZINC000486785067 1073554955 /nfs/dbraw/zinc/55/49/55/1073554955.db2.gz AYXFEDYVZHUSHY-UHFFFAOYSA-N 0 0 449.537 -0.244 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC2(CC1)NC(=O)N(C)C2=O)C(F)(F)F ZINC000486785563 1073555137 /nfs/dbraw/zinc/55/51/37/1073555137.db2.gz JRSNPWGMYJQRPD-SECBINFHSA-N 0 0 429.421 -0.466 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC2(CC1)NC(=O)N(C)C2=O)C(F)(F)F ZINC000486785564 1073555011 /nfs/dbraw/zinc/55/50/11/1073555011.db2.gz JRSNPWGMYJQRPD-VIFPVBQESA-N 0 0 429.421 -0.466 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)C(F)(F)F ZINC000486787923 1073555046 /nfs/dbraw/zinc/55/50/46/1073555046.db2.gz QFDYWHGYIRADGQ-NEPJUHHUSA-N 0 0 430.449 -0.159 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)C(F)(F)F ZINC000486787924 1073554885 /nfs/dbraw/zinc/55/48/85/1073554885.db2.gz QFDYWHGYIRADGQ-NWDGAFQWSA-N 0 0 430.449 -0.159 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)C(F)(F)F ZINC000486787925 1073554865 /nfs/dbraw/zinc/55/48/65/1073554865.db2.gz QFDYWHGYIRADGQ-RYUDHWBXSA-N 0 0 430.449 -0.159 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)C(F)(F)F ZINC000486787926 1073555115 /nfs/dbraw/zinc/55/51/15/1073555115.db2.gz QFDYWHGYIRADGQ-VXGBXAGGSA-N 0 0 430.449 -0.159 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)Cc2cc(S(N)(=O)=O)cn2C)c1 ZINC000486790404 1073555613 /nfs/dbraw/zinc/55/56/13/1073555613.db2.gz XDPFFYWMRUDWPO-UHFFFAOYSA-N 0 0 449.493 -0.134 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)Cc3cc(S(N)(=O)=O)cn3C)C2)cc1 ZINC000486790993 1073555672 /nfs/dbraw/zinc/55/56/72/1073555672.db2.gz JHSMYQPJNGWFTC-UHFFFAOYSA-N 0 0 442.519 -0.587 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC[C@@H]1C[C@]1(C)NC(=O)NC1=O)C(F)(F)F ZINC000486793974 1073555685 /nfs/dbraw/zinc/55/56/85/1073555685.db2.gz ICGGHXKKHWUSGE-BFVZDQMLSA-N 0 0 443.448 -0.029 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC[C@H]1C[C@]1(C)NC(=O)NC1=O)C(F)(F)F ZINC000486793975 1073555640 /nfs/dbraw/zinc/55/56/40/1073555640.db2.gz ICGGHXKKHWUSGE-BHDSKKPTSA-N 0 0 443.448 -0.029 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC[C@@H]1C[C@@]1(C)NC(=O)NC1=O)C(F)(F)F ZINC000486793977 1073555580 /nfs/dbraw/zinc/55/55/80/1073555580.db2.gz ICGGHXKKHWUSGE-ISTVAULSSA-N 0 0 443.448 -0.029 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC[C@H]1C[C@@]1(C)NC(=O)NC1=O)C(F)(F)F ZINC000486793978 1073555430 /nfs/dbraw/zinc/55/54/30/1073555430.db2.gz ICGGHXKKHWUSGE-PKFCDNJMSA-N 0 0 443.448 -0.029 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000486794003 1073555486 /nfs/dbraw/zinc/55/54/86/1073555486.db2.gz YRLRQTRUKHULNP-UHFFFAOYSA-N 0 0 425.467 -0.213 20 0 IBADRN COCC(=O)N1CCN(C(=O)CCC(C)(C)C(=O)N2CCN(C(=O)COC)CC2)CC1 ZINC000486794007 1073555462 /nfs/dbraw/zinc/55/54/62/1073555462.db2.gz YSKJPOPWYXWJBV-UHFFFAOYSA-N 0 0 440.541 -0.573 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC2 ZINC000486794488 1073555420 /nfs/dbraw/zinc/55/54/20/1073555420.db2.gz JHGOVKUGZMDQGT-NXEZZACHSA-N 0 0 428.437 -0.139 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000486794709 1073555451 /nfs/dbraw/zinc/55/54/51/1073555451.db2.gz HEHWVOCXKNGCJJ-UHFFFAOYSA-N 0 0 448.524 -0.396 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC2 ZINC000486794789 1073555441 /nfs/dbraw/zinc/55/54/41/1073555441.db2.gz JHGOVKUGZMDQGT-UWVGGRQHSA-N 0 0 428.437 -0.139 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC2 ZINC000486794790 1073555556 /nfs/dbraw/zinc/55/55/56/1073555556.db2.gz JHGOVKUGZMDQGT-VHSXEESVSA-N 0 0 428.437 -0.139 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC2 ZINC000486794791 1073555629 /nfs/dbraw/zinc/55/56/29/1073555629.db2.gz JHGOVKUGZMDQGT-ZJUUUORDSA-N 0 0 428.437 -0.139 20 0 IBADRN CC(C)[C@H](NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C(=O)N1CCOCC1 ZINC000486794814 1073555574 /nfs/dbraw/zinc/55/55/74/1073555574.db2.gz JMTPWJJJMOVNBY-NEPJUHHUSA-N 0 0 432.465 -0.009 20 0 IBADRN CC(C)[C@@H](NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C(=O)N1CCOCC1 ZINC000486794815 1073555561 /nfs/dbraw/zinc/55/55/61/1073555561.db2.gz JMTPWJJJMOVNBY-NWDGAFQWSA-N 0 0 432.465 -0.009 20 0 IBADRN CC(C)[C@H](NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C(=O)N1CCOCC1 ZINC000486794816 1073555697 /nfs/dbraw/zinc/55/56/97/1073555697.db2.gz JMTPWJJJMOVNBY-RYUDHWBXSA-N 0 0 432.465 -0.009 20 0 IBADRN CC(C)[C@@H](NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C(=O)N1CCOCC1 ZINC000486794817 1073555475 /nfs/dbraw/zinc/55/54/75/1073555475.db2.gz JMTPWJJJMOVNBY-VXGBXAGGSA-N 0 0 432.465 -0.009 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CNC(=O)c3ncccc3O)CC2)c1 ZINC000486797102 1073555496 /nfs/dbraw/zinc/55/54/96/1073555496.db2.gz PIFFJTDFLMNDET-UHFFFAOYSA-N 0 0 446.485 -0.095 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCc1ccccc1S(N)(=O)=O)C(F)(F)F ZINC000486799069 1073556151 /nfs/dbraw/zinc/55/61/51/1073556151.db2.gz QTZHBOHQTUNBBU-LLVKDONJSA-N 0 0 432.446 -0.045 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCc1ccccc1S(N)(=O)=O)C(F)(F)F ZINC000486799078 1073556035 /nfs/dbraw/zinc/55/60/35/1073556035.db2.gz QTZHBOHQTUNBBU-NSHDSACASA-N 0 0 432.446 -0.045 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000486799977 1073556161 /nfs/dbraw/zinc/55/61/61/1073556161.db2.gz SLRDAQAUKAWOGH-GFCCVEGCSA-N 0 0 431.481 -0.340 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000486799978 1073556212 /nfs/dbraw/zinc/55/62/12/1073556212.db2.gz SLRDAQAUKAWOGH-LBPRGKRZSA-N 0 0 431.481 -0.340 20 0 IBADRN CO[C@@H](C)c1noc(CCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000486802921 1073556120 /nfs/dbraw/zinc/55/61/20/1073556120.db2.gz XUXGRSPIEHWPLB-AWEZNQCLSA-N 0 0 430.531 -0.654 20 0 IBADRN CO[C@H](C)c1noc(CCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000486802927 1073556072 /nfs/dbraw/zinc/55/60/72/1073556072.db2.gz XUXGRSPIEHWPLB-CQSZACIVSA-N 0 0 430.531 -0.654 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2ccc(S(N)(=O)=O)cc2C(=O)OC)C1 ZINC000486803135 1073555940 /nfs/dbraw/zinc/55/59/40/1073555940.db2.gz VNIRQOOSUJTYBF-AWEZNQCLSA-N 0 0 449.551 -0.003 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2ccc(S(N)(=O)=O)cc2C(=O)OC)C1 ZINC000486803151 1073556139 /nfs/dbraw/zinc/55/61/39/1073556139.db2.gz VNIRQOOSUJTYBF-CQSZACIVSA-N 0 0 449.551 -0.003 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC(CNS(C)(=O)=O)CC1)C(F)(F)F ZINC000486818253 1073555953 /nfs/dbraw/zinc/55/59/53/1073555953.db2.gz FOCZFCHBRLOSRA-LLVKDONJSA-N 0 0 438.494 -0.221 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC(CNS(C)(=O)=O)CC1)C(F)(F)F ZINC000486818254 1073555966 /nfs/dbraw/zinc/55/59/66/1073555966.db2.gz FOCZFCHBRLOSRA-NSHDSACASA-N 0 0 438.494 -0.221 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc4c(cc3F)NC(=O)CC4)CC2)CC1 ZINC000486819776 1073556245 /nfs/dbraw/zinc/55/62/45/1073556245.db2.gz FGHPYXMIRMVVLA-UHFFFAOYSA-N 0 0 439.513 -0.040 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCN(CC(=O)NC2CC2)CC1)C(F)(F)F ZINC000486825215 1073556002 /nfs/dbraw/zinc/55/60/02/1073556002.db2.gz OXAIEBWEZVWMOM-GFCCVEGCSA-N 0 0 429.465 -0.586 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCN(CC(=O)NC2CC2)CC1)C(F)(F)F ZINC000486825224 1073556176 /nfs/dbraw/zinc/55/61/76/1073556176.db2.gz OXAIEBWEZVWMOM-LBPRGKRZSA-N 0 0 429.465 -0.586 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000486828305 1073556048 /nfs/dbraw/zinc/55/60/48/1073556048.db2.gz VPJPNRNIAIFQDX-JTQLQIEISA-N 0 0 439.482 -0.964 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000486828314 1073556796 /nfs/dbraw/zinc/55/67/96/1073556796.db2.gz VPJPNRNIAIFQDX-SNVBAGLBSA-N 0 0 439.482 -0.964 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCC(C)(C)N1CCS(=O)CC1)C(F)(F)F ZINC000486834386 1073556058 /nfs/dbraw/zinc/55/60/58/1073556058.db2.gz FAYLPEYEQHGSIP-LLVKDONJSA-N 0 0 436.522 -0.049 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCC(C)(C)N1CCS(=O)CC1)C(F)(F)F ZINC000486834391 1073556112 /nfs/dbraw/zinc/55/61/12/1073556112.db2.gz FAYLPEYEQHGSIP-NSHDSACASA-N 0 0 436.522 -0.049 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ncccc3S(C)(=O)=O)cnc21 ZINC000486836433 1073556784 /nfs/dbraw/zinc/55/67/84/1073556784.db2.gz VKTDEUOZKLQWDW-UHFFFAOYSA-N 0 0 425.448 -0.689 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H]1CN(C)NC1=O ZINC000486840111 1073556615 /nfs/dbraw/zinc/55/66/15/1073556615.db2.gz AQEFKPFBYILACS-HNNXBMFYSA-N 0 0 425.511 -0.904 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H]1CN(C)NC1=O ZINC000486840118 1073556631 /nfs/dbraw/zinc/55/66/31/1073556631.db2.gz AQEFKPFBYILACS-OAHLLOKOSA-N 0 0 425.511 -0.904 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H](CO)C(=O)OC ZINC000486847148 1073556685 /nfs/dbraw/zinc/55/66/85/1073556685.db2.gz RAQGBFMPXNQCEQ-HNNXBMFYSA-N 0 0 429.495 -0.715 20 0 IBADRN CS(=O)(=O)c1cccnc1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000486848763 1073556602 /nfs/dbraw/zinc/55/66/02/1073556602.db2.gz YRPXCLRKCMTSAP-UHFFFAOYSA-N 0 0 433.533 -0.117 20 0 IBADRN Cc1ccnc(-c2n[nH]c([C@@H](C)NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)n2)c1 ZINC000486851050 1073556556 /nfs/dbraw/zinc/55/65/56/1073556556.db2.gz DMLLKLUQUGSFNH-GFCCVEGCSA-N 0 0 429.528 -0.352 20 0 IBADRN Cc1ccnc(-c2n[nH]c([C@H](C)NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)n2)c1 ZINC000486851051 1073556662 /nfs/dbraw/zinc/55/66/62/1073556662.db2.gz DMLLKLUQUGSFNH-LBPRGKRZSA-N 0 0 429.528 -0.352 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000486852641 1073556676 /nfs/dbraw/zinc/55/66/76/1073556676.db2.gz YXZNCEDHNAJGPE-UHFFFAOYSA-N 0 0 430.508 -0.218 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C2(NC(=O)c3c(C)nn(C)c3C)CCCC2)CC1 ZINC000486855102 1073556807 /nfs/dbraw/zinc/55/68/07/1073556807.db2.gz FWRJXZMFLNYSCY-UHFFFAOYSA-N 0 0 448.568 -0.014 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000486855634 1073556701 /nfs/dbraw/zinc/55/67/01/1073556701.db2.gz FVQCOPYBCYITCG-UHFFFAOYSA-N 0 0 447.564 -0.194 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000486856730 1073556715 /nfs/dbraw/zinc/55/67/15/1073556715.db2.gz IDQRZHKCPFFYIQ-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CCC(C)(C)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000486858210 1073556755 /nfs/dbraw/zinc/55/67/55/1073556755.db2.gz TZFTZYNYEFUQRT-UHFFFAOYSA-N 0 0 440.541 -0.573 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC2)[nH]1 ZINC000486858600 1073556641 /nfs/dbraw/zinc/55/66/41/1073556641.db2.gz BICWFUFKSBSHLF-LLVKDONJSA-N 0 0 427.453 -0.237 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC2)[nH]1 ZINC000486858604 1073556571 /nfs/dbraw/zinc/55/65/71/1073556571.db2.gz BICWFUFKSBSHLF-NSHDSACASA-N 0 0 427.453 -0.237 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)Nc3cnn(CC(=O)NCCO)c3)cc(C3CC3)nc21 ZINC000486858680 1073556774 /nfs/dbraw/zinc/55/67/74/1073556774.db2.gz VENNEGJXMQHQSL-UHFFFAOYSA-N 0 0 441.448 -0.048 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O)C(F)(F)F ZINC000486860925 1073557230 /nfs/dbraw/zinc/55/72/30/1073557230.db2.gz IQYNYWQNSZCOHK-MWODSPESSA-N 0 0 429.421 -0.514 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@@H]1CCC[C@]12NC(=O)NC2=O)C(F)(F)F ZINC000486860926 1073557246 /nfs/dbraw/zinc/55/72/46/1073557246.db2.gz IQYNYWQNSZCOHK-RVBZMBCESA-N 0 0 429.421 -0.514 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@H]1CCC[C@@]12NC(=O)NC2=O)C(F)(F)F ZINC000486860927 1073557271 /nfs/dbraw/zinc/55/72/71/1073557271.db2.gz IQYNYWQNSZCOHK-VYUIOLGVSA-N 0 0 429.421 -0.514 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@H]1CCC[C@]12NC(=O)NC2=O)C(F)(F)F ZINC000486860928 1073557069 /nfs/dbraw/zinc/55/70/69/1073557069.db2.gz IQYNYWQNSZCOHK-ZDMBXUJBSA-N 0 0 429.421 -0.514 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@H]1CCCC[C@H]1NS(C)(=O)=O)C(F)(F)F ZINC000486870155 1073557102 /nfs/dbraw/zinc/55/71/02/1073557102.db2.gz SOEVPAVXCXTSRZ-AXFHLTTASA-N 0 0 438.494 -0.032 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@H]1CCCC[C@@H]1NS(C)(=O)=O)C(F)(F)F ZINC000486870157 1073557190 /nfs/dbraw/zinc/55/71/90/1073557190.db2.gz SOEVPAVXCXTSRZ-DCAQKATOSA-N 0 0 438.494 -0.032 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@@H]1CCCC[C@H]1NS(C)(=O)=O)C(F)(F)F ZINC000486870160 1073557164 /nfs/dbraw/zinc/55/71/64/1073557164.db2.gz SOEVPAVXCXTSRZ-MXWKQRLJSA-N 0 0 438.494 -0.032 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@@H]1CCCC[C@@H]1NS(C)(=O)=O)C(F)(F)F ZINC000486870164 1073557199 /nfs/dbraw/zinc/55/71/99/1073557199.db2.gz SOEVPAVXCXTSRZ-VWYCJHECSA-N 0 0 438.494 -0.032 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)(C)O ZINC000486873583 1073557210 /nfs/dbraw/zinc/55/72/10/1073557210.db2.gz ZHVOIJXTXIDTTF-AWEZNQCLSA-N 0 0 426.495 -0.589 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)(C)O ZINC000486873584 1073557172 /nfs/dbraw/zinc/55/71/72/1073557172.db2.gz ZHVOIJXTXIDTTF-CQSZACIVSA-N 0 0 426.495 -0.589 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000486890620 1073557087 /nfs/dbraw/zinc/55/70/87/1073557087.db2.gz KVUQCWIDBNQKKA-UHFFFAOYSA-N 0 0 448.524 -0.396 20 0 IBADRN C[C@@H](NC(=O)CCC(C)(C)C(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000486896766 1073557148 /nfs/dbraw/zinc/55/71/48/1073557148.db2.gz LSSRUVPWFXZBHH-CVEARBPZSA-N 0 0 440.541 -0.480 20 0 IBADRN C[C@H](NC(=O)CCC(C)(C)C(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000486896767 1073557184 /nfs/dbraw/zinc/55/71/84/1073557184.db2.gz LSSRUVPWFXZBHH-HOTGVXAUSA-N 0 0 440.541 -0.480 20 0 IBADRN C[C@@H](NC(=O)CCC(C)(C)C(=O)N[C@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000486896768 1073557156 /nfs/dbraw/zinc/55/71/56/1073557156.db2.gz LSSRUVPWFXZBHH-HZPDHXFCSA-N 0 0 440.541 -0.480 20 0 IBADRN C[C@@H](NC(=O)C(C)(C)CCC(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000486896769 1073557138 /nfs/dbraw/zinc/55/71/38/1073557138.db2.gz LSSRUVPWFXZBHH-JKSUJKDBSA-N 0 0 440.541 -0.480 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)c(OCC(=O)N(C)C)c1 ZINC000486904254 1073558341 /nfs/dbraw/zinc/55/83/41/1073558341.db2.gz BHUTWCMSOUVFRW-UHFFFAOYSA-N 0 0 428.511 -0.155 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000486905334 1073558095 /nfs/dbraw/zinc/55/80/95/1073558095.db2.gz FGAGTSCABBIXSK-UHFFFAOYSA-N 0 0 426.539 -0.056 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCCOCC1 ZINC000486906021 1073558165 /nfs/dbraw/zinc/55/81/65/1073558165.db2.gz GBTCEAKSRYIANB-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NC(C)C)c2ccccc2O1 ZINC000486911251 1073557648 /nfs/dbraw/zinc/55/76/48/1073557648.db2.gz PYACDPSJWTVEDN-INIZCTEOSA-N 0 0 440.522 -0.249 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NC(C)C)c2ccccc2O1 ZINC000486911256 1073557749 /nfs/dbraw/zinc/55/77/49/1073557749.db2.gz PYACDPSJWTVEDN-MRXNPFEDSA-N 0 0 440.522 -0.249 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)n(C)c1 ZINC000486911311 1073557635 /nfs/dbraw/zinc/55/76/35/1073557635.db2.gz QDVLRIKWSJUMDQ-UHFFFAOYSA-N 0 0 425.511 -0.818 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O)C(F)(F)F ZINC000486911829 1073557735 /nfs/dbraw/zinc/55/77/35/1073557735.db2.gz RRDXWUNOUGJTGZ-AXFHLTTASA-N 0 0 438.494 -0.174 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O)C(F)(F)F ZINC000486911831 1073557657 /nfs/dbraw/zinc/55/76/57/1073557657.db2.gz RRDXWUNOUGJTGZ-DCAQKATOSA-N 0 0 438.494 -0.174 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O)C(F)(F)F ZINC000486911833 1073557757 /nfs/dbraw/zinc/55/77/57/1073557757.db2.gz RRDXWUNOUGJTGZ-MXWKQRLJSA-N 0 0 438.494 -0.174 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O)C(F)(F)F ZINC000486911834 1073557740 /nfs/dbraw/zinc/55/77/40/1073557740.db2.gz RRDXWUNOUGJTGZ-VWYCJHECSA-N 0 0 438.494 -0.174 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC000486913321 1073557708 /nfs/dbraw/zinc/55/77/08/1073557708.db2.gz VVOYEUXASIVYQW-JHJVBQTASA-N 0 0 435.465 -0.136 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC000486913324 1073557789 /nfs/dbraw/zinc/55/77/89/1073557789.db2.gz VVOYEUXASIVYQW-UPJWGTAASA-N 0 0 435.465 -0.136 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC000486913326 1073557768 /nfs/dbraw/zinc/55/77/68/1073557768.db2.gz VVOYEUXASIVYQW-XQQFMLRXSA-N 0 0 435.465 -0.136 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC000486913327 1073557717 /nfs/dbraw/zinc/55/77/17/1073557717.db2.gz VVOYEUXASIVYQW-YNEHKIRRSA-N 0 0 435.465 -0.136 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000486913393 1073558432 /nfs/dbraw/zinc/55/84/32/1073558432.db2.gz WLDNRFSZNJXUKK-UHFFFAOYSA-N 0 0 446.551 -0.696 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000486914015 1073557686 /nfs/dbraw/zinc/55/76/86/1073557686.db2.gz NYJICAFKILNTFS-UHFFFAOYSA-N 0 0 444.562 -0.117 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Nc2ncn(CC(=O)N(C)C)n2)C1 ZINC000486919762 1074352686 /nfs/dbraw/zinc/35/26/86/1074352686.db2.gz JIXIXZOVZHPWBF-CYBMUJFWSA-N 0 0 431.519 -0.729 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Nc2ncn(CC(=O)N(C)C)n2)C1 ZINC000486919771 1074353065 /nfs/dbraw/zinc/35/30/65/1074353065.db2.gz JIXIXZOVZHPWBF-ZDUSSCGKSA-N 0 0 431.519 -0.729 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000486919943 1073558382 /nfs/dbraw/zinc/55/83/82/1073558382.db2.gz NJXDKXXRJKFUMP-UHFFFAOYSA-N 0 0 426.495 -0.459 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000486920209 1073558299 /nfs/dbraw/zinc/55/82/99/1073558299.db2.gz PSNUXZAQUNRVRB-UHFFFAOYSA-N 0 0 432.524 -0.391 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)c1 ZINC000486920379 1073558445 /nfs/dbraw/zinc/55/84/45/1073558445.db2.gz QVERCBRQLCZEHI-UHFFFAOYSA-N 0 0 427.512 -0.233 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000486920562 1073558237 /nfs/dbraw/zinc/55/82/37/1073558237.db2.gz SKJSIYLBXIBJJO-UHFFFAOYSA-N 0 0 426.495 -0.459 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cccc1C(=O)N1CCOCC1 ZINC000486921439 1073558223 /nfs/dbraw/zinc/55/82/23/1073558223.db2.gz WYKPJHKZGGWPFK-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000486921864 1073558147 /nfs/dbraw/zinc/55/81/47/1073558147.db2.gz YIIOIEUZZUCJOG-UHFFFAOYSA-N 0 0 426.495 -0.459 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCN(c4ncccc4C(N)=O)CC3)nc2)CC1 ZINC000486923464 1073558357 /nfs/dbraw/zinc/55/83/57/1073558357.db2.gz AUHMSJFDJNLZSB-UHFFFAOYSA-N 0 0 445.549 -0.162 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000486930860 1073558286 /nfs/dbraw/zinc/55/82/86/1073558286.db2.gz DBYYBSDRJKHQCQ-HNNXBMFYSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000486930861 1073558325 /nfs/dbraw/zinc/55/83/25/1073558325.db2.gz DBYYBSDRJKHQCQ-OAHLLOKOSA-N 0 0 437.565 -0.217 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Nc2cnn(CC(=O)N(C)C)c2)C1 ZINC000486931748 1073558263 /nfs/dbraw/zinc/55/82/63/1073558263.db2.gz BPEPMWQRWBWXKV-HNNXBMFYSA-N 0 0 430.531 -0.124 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Nc2cnn(CC(=O)N(C)C)c2)C1 ZINC000486931749 1073558822 /nfs/dbraw/zinc/55/88/22/1073558822.db2.gz BPEPMWQRWBWXKV-OAHLLOKOSA-N 0 0 430.531 -0.124 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC[C@@H](CNS(C)(=O)=O)C3)nc2)CC1 ZINC000486933665 1073558898 /nfs/dbraw/zinc/55/88/98/1073558898.db2.gz AAGQZWAXXYNGSM-HNNXBMFYSA-N 0 0 431.584 -0.217 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC[C@H](CNS(C)(=O)=O)C3)nc2)CC1 ZINC000486933668 1073558874 /nfs/dbraw/zinc/55/88/74/1073558874.db2.gz AAGQZWAXXYNGSM-OAHLLOKOSA-N 0 0 431.584 -0.217 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCN(c2nc(N)ns2)CC1)C(F)(F)F ZINC000486940162 1073558951 /nfs/dbraw/zinc/55/89/51/1073558951.db2.gz VKVWUIMWTJJIGG-MRVPVSSYSA-N 0 0 431.466 -0.226 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCN(c2nc(N)ns2)CC1)C(F)(F)F ZINC000486940192 1073558995 /nfs/dbraw/zinc/55/89/95/1073558995.db2.gz VKVWUIMWTJJIGG-QMMMGPOBSA-N 0 0 431.466 -0.226 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC(C(=O)NCCCO)CC1)C(F)(F)F ZINC000486940655 1073558837 /nfs/dbraw/zinc/55/88/37/1073558837.db2.gz WKDFNSVWWRMHBL-GFCCVEGCSA-N 0 0 432.465 -0.271 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC(C(=O)NCCCO)CC1)C(F)(F)F ZINC000486940662 1073558797 /nfs/dbraw/zinc/55/87/97/1073558797.db2.gz WKDFNSVWWRMHBL-LBPRGKRZSA-N 0 0 432.465 -0.271 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCCC[C@@H]1CNS(C)(=O)=O)C(F)(F)F ZINC000486940735 1073558966 /nfs/dbraw/zinc/55/89/66/1073558966.db2.gz WRTOHPAYDJWXPE-GHMZBOCLSA-N 0 0 438.494 -0.078 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCCC[C@@H]1CNS(C)(=O)=O)C(F)(F)F ZINC000486940741 1073558981 /nfs/dbraw/zinc/55/89/81/1073558981.db2.gz WRTOHPAYDJWXPE-MNOVXSKESA-N 0 0 438.494 -0.078 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCCC[C@H]1CNS(C)(=O)=O)C(F)(F)F ZINC000486940743 1073559011 /nfs/dbraw/zinc/55/90/11/1073559011.db2.gz WRTOHPAYDJWXPE-QWRGUYRKSA-N 0 0 438.494 -0.078 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCCC[C@H]1CNS(C)(=O)=O)C(F)(F)F ZINC000486940745 1073558849 /nfs/dbraw/zinc/55/88/49/1073558849.db2.gz WRTOHPAYDJWXPE-WDEREUQCSA-N 0 0 438.494 -0.078 20 0 IBADRN COCCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000486944003 1073558693 /nfs/dbraw/zinc/55/86/93/1073558693.db2.gz FAYDSIODNJXKET-GHMZBOCLSA-N 0 0 439.478 -0.346 20 0 IBADRN COCCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000486944004 1073558782 /nfs/dbraw/zinc/55/87/82/1073558782.db2.gz FAYDSIODNJXKET-MNOVXSKESA-N 0 0 439.478 -0.346 20 0 IBADRN COCCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000486944005 1073559064 /nfs/dbraw/zinc/55/90/64/1073559064.db2.gz FAYDSIODNJXKET-QWRGUYRKSA-N 0 0 439.478 -0.346 20 0 IBADRN COCCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000486944006 1073558818 /nfs/dbraw/zinc/55/88/18/1073558818.db2.gz FAYDSIODNJXKET-WDEREUQCSA-N 0 0 439.478 -0.346 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000486948981 1073559525 /nfs/dbraw/zinc/55/95/25/1073559525.db2.gz NZASZMUNYJRKIM-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)C(F)(F)F ZINC000486949351 1073559541 /nfs/dbraw/zinc/55/95/41/1073559541.db2.gz OVHAHZOGQCUAHY-HZMBPMFUSA-N 0 0 443.448 -0.172 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)C(F)(F)F ZINC000486949352 1073559386 /nfs/dbraw/zinc/55/93/86/1073559386.db2.gz OVHAHZOGQCUAHY-IINYFYTJSA-N 0 0 443.448 -0.172 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)C(F)(F)F ZINC000486949353 1073559363 /nfs/dbraw/zinc/55/93/63/1073559363.db2.gz OVHAHZOGQCUAHY-QMTHXVAHSA-N 0 0 443.448 -0.172 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)C(F)(F)F ZINC000486949354 1073559453 /nfs/dbraw/zinc/55/94/53/1073559453.db2.gz OVHAHZOGQCUAHY-YGRLFVJLSA-N 0 0 443.448 -0.172 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CC[C@@H](S(=O)(=O)N4CCCC4)C3)cnc21 ZINC000486950826 1073559639 /nfs/dbraw/zinc/55/96/39/1073559639.db2.gz NQKKDYCSRIQLNS-LLVKDONJSA-N 0 0 443.507 -0.777 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CC[C@H](S(=O)(=O)N4CCCC4)C3)cnc21 ZINC000486950827 1073559570 /nfs/dbraw/zinc/55/95/70/1073559570.db2.gz NQKKDYCSRIQLNS-NSHDSACASA-N 0 0 443.507 -0.777 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N(C)C1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC000486950863 1073559420 /nfs/dbraw/zinc/55/94/20/1073559420.db2.gz WILGDIQORSANQG-LLVKDONJSA-N 0 0 438.494 -0.126 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N(C)C1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC000486950864 1073559439 /nfs/dbraw/zinc/55/94/39/1073559439.db2.gz WILGDIQORSANQG-NSHDSACASA-N 0 0 438.494 -0.126 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCCOCC1 ZINC000486951061 1073559582 /nfs/dbraw/zinc/55/95/82/1073559582.db2.gz XLEPQRLECLPALW-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)nn1 ZINC000486951272 1073559512 /nfs/dbraw/zinc/55/95/12/1073559512.db2.gz ZBLLOMWOHGVMJI-JTQLQIEISA-N 0 0 428.393 -0.547 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)nn1 ZINC000486951273 1073559480 /nfs/dbraw/zinc/55/94/80/1073559480.db2.gz ZBLLOMWOHGVMJI-SNVBAGLBSA-N 0 0 428.393 -0.547 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000486951294 1073559598 /nfs/dbraw/zinc/55/95/98/1073559598.db2.gz ZFMSMRBAEVHBFU-GFCCVEGCSA-N 0 0 431.481 -0.386 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000486951295 1073559619 /nfs/dbraw/zinc/55/96/19/1073559619.db2.gz ZFMSMRBAEVHBFU-LBPRGKRZSA-N 0 0 431.481 -0.386 20 0 IBADRN CCOc1ccnc(N2CCCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000486953416 1073559402 /nfs/dbraw/zinc/55/94/02/1073559402.db2.gz FPIOTFBAJBVAMA-UHFFFAOYSA-N 0 0 448.571 -0.791 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CC[C@@H](S(=O)(=O)N4CCCC4)C3)cc2[nH]c1=O ZINC000486954865 1073559497 /nfs/dbraw/zinc/55/94/97/1073559497.db2.gz JRNRFJWECREZBL-GFCCVEGCSA-N 0 0 428.492 -0.595 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CC[C@H](S(=O)(=O)N4CCCC4)C3)cc2[nH]c1=O ZINC000486954866 1073559552 /nfs/dbraw/zinc/55/95/52/1073559552.db2.gz JRNRFJWECREZBL-LBPRGKRZSA-N 0 0 428.492 -0.595 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000486961934 1073559649 /nfs/dbraw/zinc/55/96/49/1073559649.db2.gz BUMFNQOQFFGADV-HNNXBMFYSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000486961939 1073559465 /nfs/dbraw/zinc/55/94/65/1073559465.db2.gz BUMFNQOQFFGADV-OAHLLOKOSA-N 0 0 437.565 -0.217 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1 ZINC000486976380 1073560239 /nfs/dbraw/zinc/56/02/39/1073560239.db2.gz ZOOHFJHAOCSCIQ-AWEZNQCLSA-N 0 0 430.531 -0.124 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1 ZINC000486976381 1073560065 /nfs/dbraw/zinc/56/00/65/1073560065.db2.gz ZOOHFJHAOCSCIQ-CQSZACIVSA-N 0 0 430.531 -0.124 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000486981285 1073559965 /nfs/dbraw/zinc/55/99/65/1073559965.db2.gz NLMXDWNBVPLLNP-HNNXBMFYSA-N 0 0 449.551 -0.027 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000486981287 1073559931 /nfs/dbraw/zinc/55/99/31/1073559931.db2.gz NLMXDWNBVPLLNP-OAHLLOKOSA-N 0 0 449.551 -0.027 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000486984396 1073560043 /nfs/dbraw/zinc/56/00/43/1073560043.db2.gz DSRJCVHZLPKRSE-UHFFFAOYSA-N 0 0 448.567 -0.548 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000486989024 1073560201 /nfs/dbraw/zinc/56/02/01/1073560201.db2.gz SNZTUVIMLCXLCB-UHFFFAOYSA-N 0 0 435.524 -0.463 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)CC1 ZINC000486990063 1073559977 /nfs/dbraw/zinc/55/99/77/1073559977.db2.gz XABKWGMHAFROLK-UHFFFAOYSA-N 0 0 447.311 -0.168 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000486990092 1073560222 /nfs/dbraw/zinc/56/02/22/1073560222.db2.gz OKWLEICVJPXCSY-UHFFFAOYSA-N 0 0 447.535 -0.065 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC000486990600 1073560028 /nfs/dbraw/zinc/56/00/28/1073560028.db2.gz YQGFGQNICYUMHM-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)N2C[C@H](C)N(C(=O)[C@@H]3CC[C@H](C(=O)OC)O3)C[C@H]2C)O1 ZINC000486991465 1073560213 /nfs/dbraw/zinc/56/02/13/1073560213.db2.gz WIGWHRBIMKHTAQ-VNAATALASA-N 0 0 426.466 -0.125 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000486992039 1073560010 /nfs/dbraw/zinc/56/00/10/1073560010.db2.gz BAPNBLRZWNACFQ-UHFFFAOYSA-N 0 0 440.522 -0.530 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000486992659 1073560135 /nfs/dbraw/zinc/56/01/35/1073560135.db2.gz BBOSRTJLZVPXLQ-HNNXBMFYSA-N 0 0 442.542 -0.389 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000486992663 1073560681 /nfs/dbraw/zinc/56/06/81/1073560681.db2.gz BBOSRTJLZVPXLQ-OAHLLOKOSA-N 0 0 442.542 -0.389 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000486994621 1073560732 /nfs/dbraw/zinc/56/07/32/1073560732.db2.gz HJPWLZDLCXXDRG-UHFFFAOYSA-N 0 0 432.524 -0.391 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000486995446 1073560666 /nfs/dbraw/zinc/56/06/66/1073560666.db2.gz IHMOQOMAECCILN-UHFFFAOYSA-N 0 0 426.561 -0.536 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000486995580 1073560575 /nfs/dbraw/zinc/56/05/75/1073560575.db2.gz JBSZBQQJFOVPBN-UHFFFAOYSA-N 0 0 439.538 -0.543 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000486995638 1073560559 /nfs/dbraw/zinc/56/05/59/1073560559.db2.gz JVQKOWOSTHBUFI-UHFFFAOYSA-N 0 0 434.540 -0.244 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000486998867 1073560775 /nfs/dbraw/zinc/56/07/75/1073560775.db2.gz OHPQCPLZDHRSDJ-UHFFFAOYSA-N 0 0 441.553 -0.402 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)N(C)CCC(=O)OC)c1 ZINC000486999354 1073560603 /nfs/dbraw/zinc/56/06/03/1073560603.db2.gz MHZLUSTYOONJQK-LLVKDONJSA-N 0 0 436.512 -0.749 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N(C)CCC(=O)OC)c1 ZINC000486999359 1073560832 /nfs/dbraw/zinc/56/08/32/1073560832.db2.gz MHZLUSTYOONJQK-NSHDSACASA-N 0 0 436.512 -0.749 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000486999534 1073560839 /nfs/dbraw/zinc/56/08/39/1073560839.db2.gz QGDDDLAFELQCGW-UHFFFAOYSA-N 0 0 429.524 -0.387 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000486999994 1073560636 /nfs/dbraw/zinc/56/06/36/1073560636.db2.gz QTDGTZKGAVFUFQ-UHFFFAOYSA-N 0 0 430.552 -0.477 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000487001049 1073560793 /nfs/dbraw/zinc/56/07/93/1073560793.db2.gz YHKQMTATJSQEMT-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000487001192 1073560745 /nfs/dbraw/zinc/56/07/45/1073560745.db2.gz SESGOTXLICEIFM-UHFFFAOYSA-N 0 0 438.528 -0.272 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)N(C)CCC(=O)OC)CC1 ZINC000487002010 1073560759 /nfs/dbraw/zinc/56/07/59/1073560759.db2.gz RLMBSCGZAKPOIY-UHFFFAOYSA-N 0 0 434.540 -0.544 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000487003183 1073560717 /nfs/dbraw/zinc/56/07/17/1073560717.db2.gz WWCBKKZIPAIJMP-UHFFFAOYSA-N 0 0 449.551 -0.322 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1S(=O)(=O)N(C)C ZINC000487005563 1073560082 /nfs/dbraw/zinc/56/00/82/1073560082.db2.gz LHWNXLYRMALVHR-UHFFFAOYSA-N 0 0 434.540 -0.372 20 0 IBADRN CC(C)Cn1cnc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000487007626 1073560177 /nfs/dbraw/zinc/56/01/77/1073560177.db2.gz HQKNIOYUCCWXCZ-UHFFFAOYSA-N 0 0 435.572 -0.141 20 0 IBADRN CC(C)Cn1cnc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000487007704 1073559997 /nfs/dbraw/zinc/55/99/97/1073559997.db2.gz HWJCVGYRRVCBCB-UHFFFAOYSA-N 0 0 445.523 -0.074 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCC[C@@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000487008730 1073561278 /nfs/dbraw/zinc/56/12/78/1073561278.db2.gz GNLYGAADLOBSQE-CQSZACIVSA-N 0 0 439.513 -0.070 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)OCO2 ZINC000487008969 1073560057 /nfs/dbraw/zinc/56/00/57/1073560057.db2.gz JZEZEAQTTZVYSL-UHFFFAOYSA-N 0 0 448.432 -0.263 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000487011359 1073560820 /nfs/dbraw/zinc/56/08/20/1073560820.db2.gz ZQAFSLCAWXYYED-UHFFFAOYSA-N 0 0 430.502 -0.087 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000487011999 1073561428 /nfs/dbraw/zinc/56/14/28/1073561428.db2.gz NYNVJZIYYVXQBL-AWEZNQCLSA-N 0 0 434.497 -0.833 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000487012000 1073561341 /nfs/dbraw/zinc/56/13/41/1073561341.db2.gz NYNVJZIYYVXQBL-CQSZACIVSA-N 0 0 434.497 -0.833 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000487012841 1073561324 /nfs/dbraw/zinc/56/13/24/1073561324.db2.gz QMERPECPNCCLJA-UHFFFAOYSA-N 0 0 447.535 -0.412 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000487013733 1073561288 /nfs/dbraw/zinc/56/12/88/1073561288.db2.gz SCVUZVUWNFEPDX-UHFFFAOYSA-N 0 0 445.523 -0.391 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000487014143 1073561403 /nfs/dbraw/zinc/56/14/03/1073561403.db2.gz SYBCVBGWAKEBKR-UHFFFAOYSA-N 0 0 440.588 -0.147 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CCN2C1=O ZINC000487016686 1073561419 /nfs/dbraw/zinc/56/14/19/1073561419.db2.gz ZDOFMVKYNMHQCD-AWEZNQCLSA-N 0 0 431.497 -0.572 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CCN2C1=O ZINC000487016688 1073561314 /nfs/dbraw/zinc/56/13/14/1073561314.db2.gz ZDOFMVKYNMHQCD-CQSZACIVSA-N 0 0 431.497 -0.572 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)OCO2 ZINC000487020567 1073561190 /nfs/dbraw/zinc/56/11/90/1073561190.db2.gz JQGUAFDOJXTXNH-UHFFFAOYSA-N 0 0 446.420 -0.304 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000487021016 1073561179 /nfs/dbraw/zinc/56/11/79/1073561179.db2.gz LRMKXIIICCDEPG-BRWVUGGUSA-N 0 0 435.543 -0.357 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000487021017 1073561141 /nfs/dbraw/zinc/56/11/41/1073561141.db2.gz LRMKXIIICCDEPG-GVDBMIGSSA-N 0 0 435.543 -0.357 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000487021018 1073561231 /nfs/dbraw/zinc/56/12/31/1073561231.db2.gz LRMKXIIICCDEPG-IXDOHACOSA-N 0 0 435.543 -0.357 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000487021019 1073561218 /nfs/dbraw/zinc/56/12/18/1073561218.db2.gz LRMKXIIICCDEPG-YESZJQIVSA-N 0 0 435.543 -0.357 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000487022450 1073561466 /nfs/dbraw/zinc/56/14/66/1073561466.db2.gz BENCGPBZOOMRDG-ARFHVFGLSA-N 0 0 431.555 -0.246 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000487022451 1073561460 /nfs/dbraw/zinc/56/14/60/1073561460.db2.gz BENCGPBZOOMRDG-BZUAXINKSA-N 0 0 431.555 -0.246 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)C1 ZINC000487022452 1073561476 /nfs/dbraw/zinc/56/14/76/1073561476.db2.gz BENCGPBZOOMRDG-OAGGEKHMSA-N 0 0 431.555 -0.246 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)C1 ZINC000487022453 1073561247 /nfs/dbraw/zinc/56/12/47/1073561247.db2.gz BENCGPBZOOMRDG-XHSDSOJGSA-N 0 0 431.555 -0.246 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2ccc3[nH]ccc3c2)CC1 ZINC000487025511 1073561261 /nfs/dbraw/zinc/56/12/61/1073561261.db2.gz ICKNKSIESCUKSF-UHFFFAOYSA-N 0 0 427.505 -0.170 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000487027155 1073561865 /nfs/dbraw/zinc/56/18/65/1073561865.db2.gz LRJSQCNSOFXJNN-UHFFFAOYSA-N 0 0 434.497 -0.833 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000487028219 1073561820 /nfs/dbraw/zinc/56/18/20/1073561820.db2.gz CKHMXFKRCFZTCO-UHFFFAOYSA-N 0 0 431.536 -0.344 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000487028290 1073561837 /nfs/dbraw/zinc/56/18/37/1073561837.db2.gz CRHFQAMFOWSGNH-DLBZAZTESA-N 0 0 437.559 -0.111 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000487028293 1073562012 /nfs/dbraw/zinc/56/20/12/1073562012.db2.gz CRHFQAMFOWSGNH-IAGOWNOFSA-N 0 0 437.559 -0.111 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000487028295 1073561977 /nfs/dbraw/zinc/56/19/77/1073561977.db2.gz CRHFQAMFOWSGNH-IRXDYDNUSA-N 0 0 437.559 -0.111 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000487028297 1073561921 /nfs/dbraw/zinc/56/19/21/1073561921.db2.gz CRHFQAMFOWSGNH-SJORKVTESA-N 0 0 437.559 -0.111 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000487031286 1073562004 /nfs/dbraw/zinc/56/20/04/1073562004.db2.gz STPZOKQVZKSTHM-CABCVRRESA-N 0 0 432.520 -0.674 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000487031287 1073561939 /nfs/dbraw/zinc/56/19/39/1073561939.db2.gz STPZOKQVZKSTHM-GJZGRUSLSA-N 0 0 432.520 -0.674 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000487031288 1073561996 /nfs/dbraw/zinc/56/19/96/1073561996.db2.gz STPZOKQVZKSTHM-HUUCEWRRSA-N 0 0 432.520 -0.674 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000487031289 1073561905 /nfs/dbraw/zinc/56/19/05/1073561905.db2.gz STPZOKQVZKSTHM-LSDHHAIUSA-N 0 0 432.520 -0.674 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2ccc3[nH]ccc3c2)CC1)N1CCOCC1 ZINC000487032271 1073561949 /nfs/dbraw/zinc/56/19/49/1073561949.db2.gz LHDOHUAGFWFWAM-UHFFFAOYSA-N 0 0 427.505 -0.170 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000487032888 1073561929 /nfs/dbraw/zinc/56/19/29/1073561929.db2.gz WKNZIJMKNMAGKJ-GDBMZVCRSA-N 0 0 431.555 -0.246 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000487032890 1073561849 /nfs/dbraw/zinc/56/18/49/1073561849.db2.gz WKNZIJMKNMAGKJ-GOEBONIOSA-N 0 0 431.555 -0.246 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000487032892 1073561983 /nfs/dbraw/zinc/56/19/83/1073561983.db2.gz WKNZIJMKNMAGKJ-HOCLYGCPSA-N 0 0 431.555 -0.246 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000487032894 1073561990 /nfs/dbraw/zinc/56/19/90/1073561990.db2.gz WKNZIJMKNMAGKJ-ZBFHGGJFSA-N 0 0 431.555 -0.246 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000487034036 1073562019 /nfs/dbraw/zinc/56/20/19/1073562019.db2.gz IKRNIKKZFKPYHU-FGTMMUONSA-N 0 0 428.530 -0.619 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000487034040 1073561961 /nfs/dbraw/zinc/56/19/61/1073561961.db2.gz IKRNIKKZFKPYHU-KZNAEPCWSA-N 0 0 428.530 -0.619 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000487034042 1073562428 /nfs/dbraw/zinc/56/24/28/1073562428.db2.gz IKRNIKKZFKPYHU-OKZBNKHCSA-N 0 0 428.530 -0.619 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000487034045 1073562610 /nfs/dbraw/zinc/56/26/10/1073562610.db2.gz IKRNIKKZFKPYHU-RCCFBDPRSA-N 0 0 428.530 -0.619 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1ccc3[nH]ccc3c1)C2 ZINC000487040459 1073562593 /nfs/dbraw/zinc/56/25/93/1073562593.db2.gz VXYXDVQGLOVAJF-UHFFFAOYSA-N 0 0 439.476 -0.685 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000487049165 1073562539 /nfs/dbraw/zinc/56/25/39/1073562539.db2.gz VCUFBKWGTOCBDE-UHFFFAOYSA-N 0 0 432.568 -0.480 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000487058396 1073562691 /nfs/dbraw/zinc/56/26/91/1073562691.db2.gz LXIHRVXOFPSSQP-HNNXBMFYSA-N 0 0 431.474 -0.074 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000487058397 1073562463 /nfs/dbraw/zinc/56/24/63/1073562463.db2.gz LXIHRVXOFPSSQP-OAHLLOKOSA-N 0 0 431.474 -0.074 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)cs1 ZINC000487064083 1073562454 /nfs/dbraw/zinc/56/24/54/1073562454.db2.gz UWIWILWBONNWBK-UHFFFAOYSA-N 0 0 437.569 -0.800 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CSCC(=O)N3CCOCC3)CC2)cs1 ZINC000487064625 1073562412 /nfs/dbraw/zinc/56/24/12/1073562412.db2.gz WDTYRBLFLOBHBN-UHFFFAOYSA-N 0 0 448.592 -0.124 20 0 IBADRN O=C(NCCc1ccc2[nH]ccc2c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000487068388 1073562474 /nfs/dbraw/zinc/56/24/74/1073562474.db2.gz RPDHQZDTVQBMIS-UHFFFAOYSA-N 0 0 441.488 -0.644 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CC[S@](=O)c1ccc2c(c1)OCCO2 ZINC000487069868 1073562550 /nfs/dbraw/zinc/56/25/50/1073562550.db2.gz SXMOABLOCVCIEB-CEXHIMGSSA-N 0 0 431.488 -0.246 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CC[S@@](=O)c1ccc2c(c1)OCCO2 ZINC000487069870 1073562578 /nfs/dbraw/zinc/56/25/78/1073562578.db2.gz SXMOABLOCVCIEB-JGTBNHKDSA-N 0 0 431.488 -0.246 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CC[S@@](=O)c1ccc2c(c1)OCCO2 ZINC000487069873 1073562674 /nfs/dbraw/zinc/56/26/74/1073562674.db2.gz SXMOABLOCVCIEB-PFUSGODGSA-N 0 0 431.488 -0.246 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CC[S@](=O)c1ccc2c(c1)OCCO2 ZINC000487069876 1073562662 /nfs/dbraw/zinc/56/26/62/1073562662.db2.gz SXMOABLOCVCIEB-QWVSSGJLSA-N 0 0 431.488 -0.246 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)CN(C)C3=O)CC2)cs1 ZINC000487071564 1073563123 /nfs/dbraw/zinc/56/31/23/1073563123.db2.gz FZZNIXJKKGXNCW-UHFFFAOYSA-N 0 0 429.524 -0.041 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCC(=O)NCC(=O)c1ccc(OC)cc1 ZINC000487071819 1073563146 /nfs/dbraw/zinc/56/31/46/1073563146.db2.gz BUMKXDBXVVIFOU-HNNXBMFYSA-N 0 0 440.474 -0.427 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCC(=O)NCC(=O)c1ccc(OC)cc1 ZINC000487071820 1073563039 /nfs/dbraw/zinc/56/30/39/1073563039.db2.gz BUMKXDBXVVIFOU-OAHLLOKOSA-N 0 0 440.474 -0.427 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCC(=O)N1CCN(c2ccccc2)CC1 ZINC000487073022 1073563190 /nfs/dbraw/zinc/56/31/90/1073563190.db2.gz CWMBFXGMHXINCW-KRWDZBQOSA-N 0 0 437.518 -0.086 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCC(=O)N1CCN(c2ccccc2)CC1 ZINC000487073024 1073563134 /nfs/dbraw/zinc/56/31/34/1073563134.db2.gz CWMBFXGMHXINCW-QGZVFWFLSA-N 0 0 437.518 -0.086 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O ZINC000487073126 1073563155 /nfs/dbraw/zinc/56/31/55/1073563155.db2.gz DOEWSNPYCNSTFO-HNNXBMFYSA-N 0 0 433.442 -0.578 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O ZINC000487073129 1073563053 /nfs/dbraw/zinc/56/30/53/1073563053.db2.gz DOEWSNPYCNSTFO-OAHLLOKOSA-N 0 0 433.442 -0.578 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)c1ccc(Br)cc1 ZINC000487074026 1073563553 /nfs/dbraw/zinc/56/35/53/1073563553.db2.gz AMSGLZWUVDULFO-GFCCVEGCSA-N 0 0 433.280 -0.023 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)c1ccc(Br)cc1 ZINC000487074028 1073563582 /nfs/dbraw/zinc/56/35/82/1073563582.db2.gz AMSGLZWUVDULFO-LBPRGKRZSA-N 0 0 433.280 -0.023 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)c1cc(OC)c(OC)c(OC)c1 ZINC000487074185 1073563180 /nfs/dbraw/zinc/56/31/80/1073563180.db2.gz ZEGSGSCMZIIIFZ-GFCCVEGCSA-N 0 0 444.462 -0.759 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)c1cc(OC)c(OC)c(OC)c1 ZINC000487074186 1073563573 /nfs/dbraw/zinc/56/35/73/1073563573.db2.gz ZEGSGSCMZIIIFZ-LBPRGKRZSA-N 0 0 444.462 -0.759 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000487074865 1073563633 /nfs/dbraw/zinc/56/36/33/1073563633.db2.gz GSNZQUOLBWTEKI-INIZCTEOSA-N 0 0 444.531 -0.142 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000487074866 1073563684 /nfs/dbraw/zinc/56/36/84/1073563684.db2.gz GSNZQUOLBWTEKI-MRXNPFEDSA-N 0 0 444.531 -0.142 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(c2nc3c(c(=O)[nH]c(=O)n3C)n2C)CC1 ZINC000487075001 1073563664 /nfs/dbraw/zinc/56/36/64/1073563664.db2.gz RURCSCWAQZQSCX-UHFFFAOYSA-N 0 0 427.531 -0.139 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)N2C[C@@H](C)N(C(=O)[C@@H]3CC[C@H](C(=O)OC)O3)[C@@H](C)C2)O1 ZINC000487079095 1073563692 /nfs/dbraw/zinc/56/36/92/1073563692.db2.gz OLEOUUDSHWCICC-VNAATALASA-N 0 0 426.466 -0.125 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CS(=O)(=O)c1ccc(C(C)=O)c(F)c1 ZINC000487080544 1073563644 /nfs/dbraw/zinc/56/36/44/1073563644.db2.gz SVXHWGZAIUJHOD-AWEZNQCLSA-N 0 0 435.451 -0.399 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CS(=O)(=O)c1ccc(C(C)=O)c(F)c1 ZINC000487080545 1073563710 /nfs/dbraw/zinc/56/37/10/1073563710.db2.gz SVXHWGZAIUJHOD-CQSZACIVSA-N 0 0 435.451 -0.399 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1CCc2cc(OC)c(OC)cc2C1 ZINC000487082841 1073563627 /nfs/dbraw/zinc/56/36/27/1073563627.db2.gz FLWQOBUURKFNLZ-HNNXBMFYSA-N 0 0 426.491 -0.140 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1CCc2cc(OC)c(OC)cc2C1 ZINC000487082842 1073563608 /nfs/dbraw/zinc/56/36/08/1073563608.db2.gz FLWQOBUURKFNLZ-OAHLLOKOSA-N 0 0 426.491 -0.140 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000487084297 1073563679 /nfs/dbraw/zinc/56/36/79/1073563679.db2.gz MXXXFNGHKICQFO-DOTOQJQBSA-N 0 0 449.485 -0.739 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000487084300 1073563657 /nfs/dbraw/zinc/56/36/57/1073563657.db2.gz MXXXFNGHKICQFO-NVXWUHKLSA-N 0 0 449.485 -0.739 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000487084303 1073563600 /nfs/dbraw/zinc/56/36/00/1073563600.db2.gz MXXXFNGHKICQFO-RDJZCZTQSA-N 0 0 449.485 -0.739 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000487084306 1073564304 /nfs/dbraw/zinc/56/43/04/1073564304.db2.gz MXXXFNGHKICQFO-WBVHZDCISA-N 0 0 449.485 -0.739 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1cccc(Cl)c1 ZINC000487085070 1073564010 /nfs/dbraw/zinc/56/40/10/1073564010.db2.gz KYDZZZPJKPALPH-CYBMUJFWSA-N 0 0 438.911 -0.193 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1cccc(Cl)c1 ZINC000487085071 1073564187 /nfs/dbraw/zinc/56/41/87/1073564187.db2.gz KYDZZZPJKPALPH-ZDUSSCGKSA-N 0 0 438.911 -0.193 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1ccc(Cl)s1 ZINC000487087138 1073563087 /nfs/dbraw/zinc/56/30/87/1073563087.db2.gz RMDIWXHTMWFJTO-MRVPVSSYSA-N 0 0 430.913 -0.522 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1ccc(Cl)s1 ZINC000487087139 1073563204 /nfs/dbraw/zinc/56/32/04/1073563204.db2.gz RMDIWXHTMWFJTO-QMMMGPOBSA-N 0 0 430.913 -0.522 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cnn(CC(=O)NC2CCCCC2)c1 ZINC000487087355 1073562485 /nfs/dbraw/zinc/56/24/85/1073562485.db2.gz PFEPLZBJOHHMFC-HNNXBMFYSA-N 0 0 426.495 -0.256 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cnn(CC(=O)NC2CCCCC2)c1 ZINC000487087356 1073563234 /nfs/dbraw/zinc/56/32/34/1073563234.db2.gz PFEPLZBJOHHMFC-OAHLLOKOSA-N 0 0 426.495 -0.256 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000487088027 1073563164 /nfs/dbraw/zinc/56/31/64/1073563164.db2.gz SSGGZWVTCZBGQX-CMPLNLGQSA-N 0 0 442.519 -0.140 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000487088030 1073563064 /nfs/dbraw/zinc/56/30/64/1073563064.db2.gz SSGGZWVTCZBGQX-JQWIXIFHSA-N 0 0 442.519 -0.140 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H](C)NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000487088032 1073563171 /nfs/dbraw/zinc/56/31/71/1073563171.db2.gz SSGGZWVTCZBGQX-PWSUYJOCSA-N 0 0 442.519 -0.140 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H](C)NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000487088034 1073563106 /nfs/dbraw/zinc/56/31/06/1073563106.db2.gz SSGGZWVTCZBGQX-ZYHUDNBSSA-N 0 0 442.519 -0.140 20 0 IBADRN Cc1cc(NC(=O)CN(C)S(C)(=O)=O)cc(C)c1NC(=O)CN(C)S(C)(=O)=O ZINC000487088402 1073563217 /nfs/dbraw/zinc/56/32/17/1073563217.db2.gz QYCLPYXUMKQDJA-UHFFFAOYSA-N 0 0 434.540 -0.037 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000487090182 1073563078 /nfs/dbraw/zinc/56/30/78/1073563078.db2.gz CXEYPRHFLHZLDF-KBPBESRZSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000487090183 1073563022 /nfs/dbraw/zinc/56/30/22/1073563022.db2.gz CXEYPRHFLHZLDF-KGLIPLIRSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000487090184 1073563114 /nfs/dbraw/zinc/56/31/14/1073563114.db2.gz CXEYPRHFLHZLDF-UONOGXRCSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000487090185 1073563226 /nfs/dbraw/zinc/56/32/26/1073563226.db2.gz CXEYPRHFLHZLDF-ZIAGYGMSSA-N 0 0 441.506 -0.106 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000487091355 1074353133 /nfs/dbraw/zinc/35/31/33/1074353133.db2.gz YHFFIHSYUZNCTB-JTQLQIEISA-N 0 0 425.423 -0.819 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000487091360 1074353071 /nfs/dbraw/zinc/35/30/71/1074353071.db2.gz YHFFIHSYUZNCTB-SNVBAGLBSA-N 0 0 425.423 -0.819 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(C[C@@H](C)CC(C)(C)C2)C1=O ZINC000487094326 1073564213 /nfs/dbraw/zinc/56/42/13/1073564213.db2.gz BFFYINAPJHGPPV-JMERFSKESA-N 0 0 443.522 -0.078 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(C[C@H](C)CC(C)(C)C2)C1=O ZINC000487094328 1073564034 /nfs/dbraw/zinc/56/40/34/1073564034.db2.gz BFFYINAPJHGPPV-NQCMUKECSA-N 0 0 443.522 -0.078 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(C[C@H](C)CC(C)(C)C2)C1=O ZINC000487094329 1073564252 /nfs/dbraw/zinc/56/42/52/1073564252.db2.gz BFFYINAPJHGPPV-QHRIQVFBSA-N 0 0 443.522 -0.078 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(C[C@@H](C)CC(C)(C)C2)C1=O ZINC000487094331 1073564074 /nfs/dbraw/zinc/56/40/74/1073564074.db2.gz BFFYINAPJHGPPV-WTOJCKNJSA-N 0 0 443.522 -0.078 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)cc1 ZINC000487095289 1073564173 /nfs/dbraw/zinc/56/41/73/1073564173.db2.gz COFOMRKPQHVZKC-HNNXBMFYSA-N 0 0 448.519 -0.448 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)cc1 ZINC000487095292 1073564236 /nfs/dbraw/zinc/56/42/36/1073564236.db2.gz COFOMRKPQHVZKC-OAHLLOKOSA-N 0 0 448.519 -0.448 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC000487095346 1073564052 /nfs/dbraw/zinc/56/40/52/1073564052.db2.gz CQZIGXGWXSYOLI-CYBMUJFWSA-N 0 0 440.446 -0.568 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC000487095351 1073564225 /nfs/dbraw/zinc/56/42/25/1073564225.db2.gz CQZIGXGWXSYOLI-ZDUSSCGKSA-N 0 0 440.446 -0.568 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000487099428 1073564201 /nfs/dbraw/zinc/56/42/01/1073564201.db2.gz LTILQTBZVJQOIM-FZMZJTMJSA-N 0 0 434.492 -0.839 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000487099432 1073564654 /nfs/dbraw/zinc/56/46/54/1073564654.db2.gz LTILQTBZVJQOIM-SMDDNHRTSA-N 0 0 434.492 -0.839 20 0 IBADRN COC(=O)C[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000487102717 1073564706 /nfs/dbraw/zinc/56/47/06/1073564706.db2.gz TVLJCFPNDJOPNZ-AWEZNQCLSA-N 0 0 448.519 -0.340 20 0 IBADRN COC(=O)C[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000487102718 1073564799 /nfs/dbraw/zinc/56/47/99/1073564799.db2.gz TVLJCFPNDJOPNZ-CQSZACIVSA-N 0 0 448.519 -0.340 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000487103029 1073564879 /nfs/dbraw/zinc/56/48/79/1073564879.db2.gz VDUHQNJHPRGMHF-DOMZBBRYSA-N 0 0 440.474 -0.145 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000487103030 1073564665 /nfs/dbraw/zinc/56/46/65/1073564665.db2.gz VDUHQNJHPRGMHF-IUODEOHRSA-N 0 0 440.474 -0.145 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000487103031 1073564790 /nfs/dbraw/zinc/56/47/90/1073564790.db2.gz VDUHQNJHPRGMHF-SWLSCSKDSA-N 0 0 440.474 -0.145 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000487103032 1073564892 /nfs/dbraw/zinc/56/48/92/1073564892.db2.gz VDUHQNJHPRGMHF-WFASDCNBSA-N 0 0 440.474 -0.145 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000487103128 1073564838 /nfs/dbraw/zinc/56/48/38/1073564838.db2.gz VRSZAIBYJXJZOF-HNNXBMFYSA-N 0 0 447.535 -0.388 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC000487103129 1073564642 /nfs/dbraw/zinc/56/46/42/1073564642.db2.gz VRSZAIBYJXJZOF-OAHLLOKOSA-N 0 0 447.535 -0.388 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1ccc(C)c(C)c1 ZINC000487103137 1073564759 /nfs/dbraw/zinc/56/47/59/1073564759.db2.gz VTWWXSBYGHXPIE-HNNXBMFYSA-N 0 0 432.520 -0.230 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1ccc(C)c(C)c1 ZINC000487103138 1073564847 /nfs/dbraw/zinc/56/48/47/1073564847.db2.gz VTWWXSBYGHXPIE-OAHLLOKOSA-N 0 0 432.520 -0.230 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000487103199 1073564827 /nfs/dbraw/zinc/56/48/27/1073564827.db2.gz MJHBOMQCZYWOTB-NXEZZACHSA-N 0 0 433.458 -0.403 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000487103200 1073564682 /nfs/dbraw/zinc/56/46/82/1073564682.db2.gz MJHBOMQCZYWOTB-UWVGGRQHSA-N 0 0 433.458 -0.403 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000487103201 1073564817 /nfs/dbraw/zinc/56/48/17/1073564817.db2.gz MJHBOMQCZYWOTB-VHSXEESVSA-N 0 0 433.458 -0.403 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000487103202 1073564867 /nfs/dbraw/zinc/56/48/67/1073564867.db2.gz MJHBOMQCZYWOTB-ZJUUUORDSA-N 0 0 433.458 -0.403 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC000487103615 1073565362 /nfs/dbraw/zinc/56/53/62/1073565362.db2.gz XKKPOYSDWARCQL-AWEZNQCLSA-N 0 0 436.483 -0.317 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC000487103620 1073565293 /nfs/dbraw/zinc/56/52/93/1073565293.db2.gz XKKPOYSDWARCQL-CQSZACIVSA-N 0 0 436.483 -0.317 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000487103911 1073564733 /nfs/dbraw/zinc/56/47/33/1073564733.db2.gz XVIWFMOMEWKJFM-HNNXBMFYSA-N 0 0 446.503 -0.644 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000487103912 1073565284 /nfs/dbraw/zinc/56/52/84/1073565284.db2.gz XVIWFMOMEWKJFM-OAHLLOKOSA-N 0 0 446.503 -0.644 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O ZINC000487107082 1073564262 /nfs/dbraw/zinc/56/42/62/1073564262.db2.gz IWONIGYOFTYLDT-KBPBESRZSA-N 0 0 437.497 -0.168 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O ZINC000487107083 1073564328 /nfs/dbraw/zinc/56/43/28/1073564328.db2.gz IWONIGYOFTYLDT-KGLIPLIRSA-N 0 0 437.497 -0.168 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O ZINC000487107084 1073564148 /nfs/dbraw/zinc/56/41/48/1073564148.db2.gz IWONIGYOFTYLDT-UONOGXRCSA-N 0 0 437.497 -0.168 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O ZINC000487107085 1073564087 /nfs/dbraw/zinc/56/40/87/1073564087.db2.gz IWONIGYOFTYLDT-ZIAGYGMSSA-N 0 0 437.497 -0.168 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)NCC2CC2)c1 ZINC000487107643 1073565791 /nfs/dbraw/zinc/56/57/91/1073565791.db2.gz DLWGYWYUAXOBFM-HNNXBMFYSA-N 0 0 430.504 -0.213 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)NCC2CC2)c1 ZINC000487107644 1073565746 /nfs/dbraw/zinc/56/57/46/1073565746.db2.gz DLWGYWYUAXOBFM-OAHLLOKOSA-N 0 0 430.504 -0.213 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000487114527 1073565830 /nfs/dbraw/zinc/56/58/30/1073565830.db2.gz PUEXIFNAWQKPQQ-HNNXBMFYSA-N 0 0 438.506 -0.691 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000487114530 1073565811 /nfs/dbraw/zinc/56/58/11/1073565811.db2.gz PUEXIFNAWQKPQQ-OAHLLOKOSA-N 0 0 438.506 -0.691 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000487118569 1073565780 /nfs/dbraw/zinc/56/57/80/1073565780.db2.gz BFCVRTMDPXELCO-GOSISDBHSA-N 0 0 434.518 -0.199 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000487118571 1073565869 /nfs/dbraw/zinc/56/58/69/1073565869.db2.gz BFCVRTMDPXELCO-SFHVURJKSA-N 0 0 434.518 -0.199 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCC(=O)N2CCOCC2)CC1 ZINC000487118595 1073565881 /nfs/dbraw/zinc/56/58/81/1073565881.db2.gz UFEGAEIVQVAXLV-UHFFFAOYSA-N 0 0 433.575 -0.071 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)cs1 ZINC000487123169 1073565477 /nfs/dbraw/zinc/56/54/77/1073565477.db2.gz UMFNBTXYIKCULV-UHFFFAOYSA-N 0 0 448.552 -0.781 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1cnc2c(c1CC)c(=O)n(C)c(=O)n2C ZINC000487123597 1073565242 /nfs/dbraw/zinc/56/52/42/1073565242.db2.gz HZDOOIXKDFXKGT-GHMZBOCLSA-N 0 0 433.465 -0.619 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1cnc2c(c1CC)c(=O)n(C)c(=O)n2C ZINC000487123603 1073565322 /nfs/dbraw/zinc/56/53/22/1073565322.db2.gz HZDOOIXKDFXKGT-MNOVXSKESA-N 0 0 433.465 -0.619 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cnc2c(c1CC)c(=O)n(C)c(=O)n2C ZINC000487123604 1073565269 /nfs/dbraw/zinc/56/52/69/1073565269.db2.gz HZDOOIXKDFXKGT-QWRGUYRKSA-N 0 0 433.465 -0.619 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1cnc2c(c1CC)c(=O)n(C)c(=O)n2C ZINC000487123606 1073565457 /nfs/dbraw/zinc/56/54/57/1073565457.db2.gz HZDOOIXKDFXKGT-WDEREUQCSA-N 0 0 433.465 -0.619 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000487124420 1073565468 /nfs/dbraw/zinc/56/54/68/1073565468.db2.gz XKQPUABOWVTMAI-KBPBESRZSA-N 0 0 438.458 -0.391 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000487124428 1073565350 /nfs/dbraw/zinc/56/53/50/1073565350.db2.gz XKQPUABOWVTMAI-KGLIPLIRSA-N 0 0 438.458 -0.391 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000487124430 1073565390 /nfs/dbraw/zinc/56/53/90/1073565390.db2.gz XKQPUABOWVTMAI-UONOGXRCSA-N 0 0 438.458 -0.391 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000487124431 1073565419 /nfs/dbraw/zinc/56/54/19/1073565419.db2.gz XKQPUABOWVTMAI-ZIAGYGMSSA-N 0 0 438.458 -0.391 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1cc(C)ccc1C ZINC000487125116 1073565401 /nfs/dbraw/zinc/56/54/01/1073565401.db2.gz XNEUTOWSMYTLNQ-AWEZNQCLSA-N 0 0 432.520 -0.230 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1cc(C)ccc1C ZINC000487125117 1073565436 /nfs/dbraw/zinc/56/54/36/1073565436.db2.gz XNEUTOWSMYTLNQ-CQSZACIVSA-N 0 0 432.520 -0.230 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000487125659 1073565371 /nfs/dbraw/zinc/56/53/71/1073565371.db2.gz UKEIRWDARNYXMD-NEPJUHHUSA-N 0 0 446.551 -0.062 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000487125663 1073565774 /nfs/dbraw/zinc/56/57/74/1073565774.db2.gz UKEIRWDARNYXMD-NWDGAFQWSA-N 0 0 446.551 -0.062 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000487125665 1073565848 /nfs/dbraw/zinc/56/58/48/1073565848.db2.gz UKEIRWDARNYXMD-RYUDHWBXSA-N 0 0 446.551 -0.062 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000487125666 1073565861 /nfs/dbraw/zinc/56/58/61/1073565861.db2.gz UKEIRWDARNYXMD-VXGBXAGGSA-N 0 0 446.551 -0.062 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC000487126131 1073565430 /nfs/dbraw/zinc/56/54/30/1073565430.db2.gz AUYWYIXBXPPURE-CHWSQXEVSA-N 0 0 432.477 -0.102 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC000487126132 1073565447 /nfs/dbraw/zinc/56/54/47/1073565447.db2.gz AUYWYIXBXPPURE-OLZOCXBDSA-N 0 0 432.477 -0.102 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC000487126133 1073565308 /nfs/dbraw/zinc/56/53/08/1073565308.db2.gz AUYWYIXBXPPURE-QWHCGFSZSA-N 0 0 432.477 -0.102 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC000487126134 1073565383 /nfs/dbraw/zinc/56/53/83/1073565383.db2.gz AUYWYIXBXPPURE-STQMWFEESA-N 0 0 432.477 -0.102 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NC2CCN(CC(=O)NC)CC2)CC1 ZINC000487127443 1073565330 /nfs/dbraw/zinc/56/53/30/1073565330.db2.gz AELBZPPYOZWENJ-UHFFFAOYSA-N 0 0 446.618 -0.109 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000487127545 1073566893 /nfs/dbraw/zinc/56/68/93/1073566893.db2.gz CLDPGWJNJLZNCM-KBPBESRZSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000487127547 1073566754 /nfs/dbraw/zinc/56/67/54/1073566754.db2.gz CLDPGWJNJLZNCM-KGLIPLIRSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000487127551 1073566739 /nfs/dbraw/zinc/56/67/39/1073566739.db2.gz CLDPGWJNJLZNCM-UONOGXRCSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000487127555 1073566958 /nfs/dbraw/zinc/56/69/58/1073566958.db2.gz CLDPGWJNJLZNCM-ZIAGYGMSSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000487128466 1073566295 /nfs/dbraw/zinc/56/62/95/1073566295.db2.gz NCCJMOAMMHMMKZ-NEPJUHHUSA-N 0 0 430.483 -0.778 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000487128471 1073566229 /nfs/dbraw/zinc/56/62/29/1073566229.db2.gz NCCJMOAMMHMMKZ-NWDGAFQWSA-N 0 0 430.483 -0.778 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000487128473 1073566853 /nfs/dbraw/zinc/56/68/53/1073566853.db2.gz NCCJMOAMMHMMKZ-RYUDHWBXSA-N 0 0 430.483 -0.778 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000487128476 1073566901 /nfs/dbraw/zinc/56/69/01/1073566901.db2.gz NCCJMOAMMHMMKZ-VXGBXAGGSA-N 0 0 430.483 -0.778 20 0 IBADRN Cc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1C(=O)N1CCOCC1 ZINC000487129608 1073566859 /nfs/dbraw/zinc/56/68/59/1073566859.db2.gz GALMBEARQIEROQ-UHFFFAOYSA-N 0 0 440.460 -0.147 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000487130828 1073566809 /nfs/dbraw/zinc/56/68/09/1073566809.db2.gz WDJAJUQCBXCHNF-CHWSQXEVSA-N 0 0 441.506 -0.265 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000487130836 1073566912 /nfs/dbraw/zinc/56/69/12/1073566912.db2.gz WDJAJUQCBXCHNF-OLZOCXBDSA-N 0 0 441.506 -0.265 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000487130838 1073566874 /nfs/dbraw/zinc/56/68/74/1073566874.db2.gz WDJAJUQCBXCHNF-QWHCGFSZSA-N 0 0 441.506 -0.265 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000487130840 1073566922 /nfs/dbraw/zinc/56/69/22/1073566922.db2.gz WDJAJUQCBXCHNF-STQMWFEESA-N 0 0 441.506 -0.265 20 0 IBADRN COC(=O)c1ncn(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)n1 ZINC000487132804 1073565891 /nfs/dbraw/zinc/56/58/91/1073565891.db2.gz WOSGIFLGYVDXCF-UHFFFAOYSA-N 0 0 435.462 -0.200 20 0 IBADRN COC(=O)c1ncn(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)n1 ZINC000487133507 1073565897 /nfs/dbraw/zinc/56/58/97/1073565897.db2.gz XSQQXPUPNQELAD-UHFFFAOYSA-N 0 0 429.405 -0.124 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000487133817 1073565725 /nfs/dbraw/zinc/56/57/25/1073565725.db2.gz HCBBWXHJWAGJGO-CABCVRRESA-N 0 0 430.461 -0.369 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000487133819 1073565875 /nfs/dbraw/zinc/56/58/75/1073565875.db2.gz HCBBWXHJWAGJGO-GJZGRUSLSA-N 0 0 430.461 -0.369 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000487133822 1073565736 /nfs/dbraw/zinc/56/57/36/1073565736.db2.gz HCBBWXHJWAGJGO-HUUCEWRRSA-N 0 0 430.461 -0.369 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000487134257 1073565909 /nfs/dbraw/zinc/56/59/09/1073565909.db2.gz HCBBWXHJWAGJGO-LSDHHAIUSA-N 0 0 430.461 -0.369 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c(=O)[nH]c1=O ZINC000487134907 1073566366 /nfs/dbraw/zinc/56/63/66/1073566366.db2.gz HOMCMAQEEYXUQM-CYBMUJFWSA-N 0 0 427.527 -0.130 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CC[C@H](S(=O)(=O)N3CCCC3)C2)c(=O)[nH]c1=O ZINC000487134908 1073566394 /nfs/dbraw/zinc/56/63/94/1073566394.db2.gz HOMCMAQEEYXUQM-ZDUSSCGKSA-N 0 0 427.527 -0.130 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000487135515 1073565855 /nfs/dbraw/zinc/56/58/55/1073565855.db2.gz JAEREUSMMQYAPE-GFCCVEGCSA-N 0 0 434.492 -0.642 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000487135516 1073565800 /nfs/dbraw/zinc/56/58/00/1073565800.db2.gz JAEREUSMMQYAPE-LBPRGKRZSA-N 0 0 434.492 -0.642 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@](C)(C(N)=O)c2cccc(C(F)(F)F)c2)c(=O)n(C)c1=O ZINC000487137075 1073566176 /nfs/dbraw/zinc/56/61/76/1073566176.db2.gz YUXRIDBADAFYBY-HNNXBMFYSA-N 0 0 434.396 -0.218 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@](C)(C(N)=O)c2cccc(C(F)(F)F)c2)c(=O)n(C)c1=O ZINC000487137076 1073566266 /nfs/dbraw/zinc/56/62/66/1073566266.db2.gz YUXRIDBADAFYBY-OAHLLOKOSA-N 0 0 434.396 -0.218 20 0 IBADRN Cc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1C(=O)N1CCOCC1 ZINC000487137927 1073566215 /nfs/dbraw/zinc/56/62/15/1073566215.db2.gz RHIRJMBNPHHXKP-UHFFFAOYSA-N 0 0 440.460 -0.147 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000487140394 1073566330 /nfs/dbraw/zinc/56/63/30/1073566330.db2.gz RAKIGNAHPRETSX-HNNXBMFYSA-N 0 0 446.503 -0.880 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000487140395 1073566414 /nfs/dbraw/zinc/56/64/14/1073566414.db2.gz RAKIGNAHPRETSX-OAHLLOKOSA-N 0 0 446.503 -0.880 20 0 IBADRN COC[C@@](C)(CC(=O)OC)NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000487141849 1073566358 /nfs/dbraw/zinc/56/63/58/1073566358.db2.gz ZXRQQIKTNILMKH-MSOLQXFVSA-N 0 0 443.478 -0.004 20 0 IBADRN COC[C@@](C)(CC(=O)OC)NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000487141850 1073566350 /nfs/dbraw/zinc/56/63/50/1073566350.db2.gz ZXRQQIKTNILMKH-QZTJIDSGSA-N 0 0 443.478 -0.004 20 0 IBADRN COC[C@](C)(CC(=O)OC)NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000487141851 1073566378 /nfs/dbraw/zinc/56/63/78/1073566378.db2.gz ZXRQQIKTNILMKH-ROUUACIJSA-N 0 0 443.478 -0.004 20 0 IBADRN COC[C@](C)(CC(=O)OC)NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000487141852 1073566305 /nfs/dbraw/zinc/56/63/05/1073566305.db2.gz ZXRQQIKTNILMKH-ZWKOTPCHSA-N 0 0 443.478 -0.004 20 0 IBADRN O=C(N[C@@]1(CO)CCOC1)[C@H]1CC[C@@H](C(=O)N[C@@]2(CO)CCOC2)N1Cc1ccccc1 ZINC000487145749 1073567614 /nfs/dbraw/zinc/56/76/14/1073567614.db2.gz HAARZRTXOGGPQA-IYRWYFENSA-N 0 0 447.532 -0.445 20 0 IBADRN O=C(N[C@@]1(CO)CCOC1)[C@H]1CC[C@@H](C(=O)N[C@]2(CO)CCOC2)N1Cc1ccccc1 ZINC000487145750 1073567453 /nfs/dbraw/zinc/56/74/53/1073567453.db2.gz HAARZRTXOGGPQA-PVTAMDAMSA-N 0 0 447.532 -0.445 20 0 IBADRN O=C(N[C@]1(CO)CCOC1)[C@H]1CC[C@@H](C(=O)N[C@]2(CO)CCOC2)N1Cc1ccccc1 ZINC000487145751 1073567553 /nfs/dbraw/zinc/56/75/53/1073567553.db2.gz HAARZRTXOGGPQA-YDLSIGKMSA-N 0 0 447.532 -0.445 20 0 IBADRN O=C(N[C@]1(CO)CCOC1)[C@H]1CC[C@@H](C(=O)N[C@@]2(CO)CCOC2)N1Cc1ccccc1 ZINC000487145752 1073567653 /nfs/dbraw/zinc/56/76/53/1073567653.db2.gz HAARZRTXOGGPQA-ZIIYYELXSA-N 0 0 447.532 -0.445 20 0 IBADRN COC(=O)C[C@@H]1CN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000487147467 1073567496 /nfs/dbraw/zinc/56/74/96/1073567496.db2.gz JNAPSSXYWFHPAP-ADLMAVQZSA-N 0 0 441.462 -0.298 20 0 IBADRN COC(=O)C[C@H]1CN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000487147480 1073567358 /nfs/dbraw/zinc/56/73/58/1073567358.db2.gz JNAPSSXYWFHPAP-BBATYDOGSA-N 0 0 441.462 -0.298 20 0 IBADRN COC(=O)C[C@H]1CN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000487147481 1073567623 /nfs/dbraw/zinc/56/76/23/1073567623.db2.gz JNAPSSXYWFHPAP-VOJFVSQTSA-N 0 0 441.462 -0.298 20 0 IBADRN COC(=O)C[C@@H]1CN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000487147487 1073567632 /nfs/dbraw/zinc/56/76/32/1073567632.db2.gz JNAPSSXYWFHPAP-ZMZPIMSZSA-N 0 0 441.462 -0.298 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000487152236 1073566965 /nfs/dbraw/zinc/56/69/65/1073566965.db2.gz KKFGVZUCLVHGEQ-AWEZNQCLSA-N 0 0 446.551 -0.219 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000487152247 1073566718 /nfs/dbraw/zinc/56/67/18/1073566718.db2.gz KKFGVZUCLVHGEQ-CQSZACIVSA-N 0 0 446.551 -0.219 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21 ZINC000487154929 1073567308 /nfs/dbraw/zinc/56/73/08/1073567308.db2.gz QECOROPEBYRBPD-GFCCVEGCSA-N 0 0 447.429 -0.500 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21 ZINC000487154930 1073567350 /nfs/dbraw/zinc/56/73/50/1073567350.db2.gz QECOROPEBYRBPD-LBPRGKRZSA-N 0 0 447.429 -0.500 20 0 IBADRN O=C(NCCN(CCN1CCOCC1)C(=O)c1cc2n(n1)CCO2)c1cc2n(n1)CCO2 ZINC000487155774 1073567572 /nfs/dbraw/zinc/56/75/72/1073567572.db2.gz MHOZLCIXPBYRET-UHFFFAOYSA-N 0 0 445.480 -0.931 20 0 IBADRN CCOc1ccnc(N2CCCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)n1 ZINC000487157316 1073567383 /nfs/dbraw/zinc/56/73/83/1073567383.db2.gz KYMLJCYZIAUKSS-UHFFFAOYSA-N 0 0 428.559 -0.215 20 0 IBADRN CCOc1ccnc(N2CCCN(CC(=O)c3c(N)n(CCOC)c(=O)[nH]c3=O)CC2)n1 ZINC000487160886 1073567421 /nfs/dbraw/zinc/56/74/21/1073567421.db2.gz PIJRJVVTNNHRHO-UHFFFAOYSA-N 0 0 447.496 -0.239 20 0 IBADRN Cn1cccc1C(=O)C(=O)NCCN(CCN1CCOCC1)C(=O)C(=O)c1cccn1C ZINC000487161678 1073567559 /nfs/dbraw/zinc/56/75/59/1073567559.db2.gz UHYWGNSIKNVKOQ-UHFFFAOYSA-N 0 0 443.504 -0.294 20 0 IBADRN O=S(=O)([C@@H]1CCN(CCN2CC[C@H](S(=O)(=O)N3CCCC3)C2)C1)N1CCCC1 ZINC000487162607 1073567508 /nfs/dbraw/zinc/56/75/08/1073567508.db2.gz RDZXBDILLWGDBP-HDICACEKSA-N 0 0 434.628 -0.014 20 0 IBADRN O=S(=O)([C@@H]1CCN(CCN2CC[C@@H](S(=O)(=O)N3CCCC3)C2)C1)N1CCCC1 ZINC000487162612 1073568082 /nfs/dbraw/zinc/56/80/82/1073568082.db2.gz RDZXBDILLWGDBP-QZTJIDSGSA-N 0 0 434.628 -0.014 20 0 IBADRN O=S(=O)([C@H]1CCN(CCN2CC[C@H](S(=O)(=O)N3CCCC3)C2)C1)N1CCCC1 ZINC000487162616 1073568119 /nfs/dbraw/zinc/56/81/19/1073568119.db2.gz RDZXBDILLWGDBP-ROUUACIJSA-N 0 0 434.628 -0.014 20 0 IBADRN Cc1c([C@H]2[C@H](CNC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC(=O)N2C)cnn1C ZINC000487163898 1073568163 /nfs/dbraw/zinc/56/81/63/1073568163.db2.gz OQCXJVLYWQUUJI-SCLBCKFNSA-N 0 0 441.492 -0.584 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000487163919 1073567995 /nfs/dbraw/zinc/56/79/95/1073567995.db2.gz LEELAHYPZSQPOJ-INIZCTEOSA-N 0 0 442.563 -0.277 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000487163936 1073568067 /nfs/dbraw/zinc/56/80/67/1073568067.db2.gz LEELAHYPZSQPOJ-MRXNPFEDSA-N 0 0 442.563 -0.277 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CC[C@H](S(=O)(=O)N3CCCC3)C2)CC1 ZINC000487165656 1073568019 /nfs/dbraw/zinc/56/80/19/1073568019.db2.gz XAYBXVWACAPFEL-KRWDZBQOSA-N 0 0 443.614 -0.455 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CC[C@@H](S(=O)(=O)N3CCCC3)C2)CC1 ZINC000487165674 1073568181 /nfs/dbraw/zinc/56/81/81/1073568181.db2.gz XAYBXVWACAPFEL-QGZVFWFLSA-N 0 0 443.614 -0.455 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CC[C@H](S(=O)(=O)N3CCCC3)C2)c(=O)n(C)c1=O ZINC000487165935 1073567920 /nfs/dbraw/zinc/56/79/20/1073567920.db2.gz RHAAYVFKMQJBPY-AWEZNQCLSA-N 0 0 441.554 -0.532 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c(=O)n(C)c1=O ZINC000487165936 1073567950 /nfs/dbraw/zinc/56/79/50/1073567950.db2.gz RHAAYVFKMQJBPY-CQSZACIVSA-N 0 0 441.554 -0.532 20 0 IBADRN CCOc1ccnc(N2CCCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)n1 ZINC000487166160 1073567961 /nfs/dbraw/zinc/56/79/61/1073567961.db2.gz XPKSFXDUPGECLT-UHFFFAOYSA-N 0 0 433.557 -0.380 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CC[C@H](S(=O)(=O)N2CCCC2)C1)S(C)(=O)=O ZINC000487166173 1073568053 /nfs/dbraw/zinc/56/80/53/1073568053.db2.gz OOSQHFUHXQBWFQ-INIZCTEOSA-N 0 0 438.616 -0.337 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CC[C@@H](S(=O)(=O)N2CCCC2)C1)S(C)(=O)=O ZINC000487166182 1073568211 /nfs/dbraw/zinc/56/82/11/1073568211.db2.gz OOSQHFUHXQBWFQ-MRXNPFEDSA-N 0 0 438.616 -0.337 20 0 IBADRN CCOc1ccnc(N2CCCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000487168351 1073567936 /nfs/dbraw/zinc/56/79/36/1073567936.db2.gz RYQDGTABXJYDOO-AWEZNQCLSA-N 0 0 440.526 -0.600 20 0 IBADRN CCOc1ccnc(N2CCCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000487168352 1073568201 /nfs/dbraw/zinc/56/82/01/1073568201.db2.gz RYQDGTABXJYDOO-CQSZACIVSA-N 0 0 440.526 -0.600 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C ZINC000487169937 1073568228 /nfs/dbraw/zinc/56/82/28/1073568228.db2.gz YUVOWOZZKXQPJK-NEPJUHHUSA-N 0 0 443.468 -0.563 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C ZINC000487169941 1073568149 /nfs/dbraw/zinc/56/81/49/1073568149.db2.gz YUVOWOZZKXQPJK-NWDGAFQWSA-N 0 0 443.468 -0.563 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C ZINC000487169943 1073568173 /nfs/dbraw/zinc/56/81/73/1073568173.db2.gz YUVOWOZZKXQPJK-RYUDHWBXSA-N 0 0 443.468 -0.563 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C ZINC000487169945 1073568238 /nfs/dbraw/zinc/56/82/38/1073568238.db2.gz YUVOWOZZKXQPJK-VXGBXAGGSA-N 0 0 443.468 -0.563 20 0 IBADRN CC(=O)NCCN(C(=O)Cc1cc(S(N)(=O)=O)cn1C)[C@H](C)C(=O)OC(C)(C)C ZINC000487171309 1073567903 /nfs/dbraw/zinc/56/79/03/1073567903.db2.gz KJODNIQHQXJHNE-GFCCVEGCSA-N 0 0 430.527 -0.090 20 0 IBADRN CC(=O)NCCN(C(=O)Cc1cc(S(N)(=O)=O)cn1C)[C@@H](C)C(=O)OC(C)(C)C ZINC000487171310 1073568218 /nfs/dbraw/zinc/56/82/18/1073568218.db2.gz KJODNIQHQXJHNE-LBPRGKRZSA-N 0 0 430.527 -0.090 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000487173389 1073567642 /nfs/dbraw/zinc/56/76/42/1073567642.db2.gz UYJOOTAEGZUTJU-UHFFFAOYSA-N 0 0 438.531 -0.182 20 0 IBADRN CCOc1ccnc(N2CCCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000487174638 1073567660 /nfs/dbraw/zinc/56/76/60/1073567660.db2.gz STLNPFOJVIBKRS-CYBMUJFWSA-N 0 0 431.497 -0.362 20 0 IBADRN CCOc1ccnc(N2CCCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)n1 ZINC000487174639 1073567462 /nfs/dbraw/zinc/56/74/62/1073567462.db2.gz STLNPFOJVIBKRS-ZDUSSCGKSA-N 0 0 431.497 -0.362 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000487178997 1073568807 /nfs/dbraw/zinc/56/88/07/1073568807.db2.gz HRZFWPUFKLEFLA-KRWDZBQOSA-N 0 0 444.579 -0.507 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000487179000 1073568779 /nfs/dbraw/zinc/56/87/79/1073568779.db2.gz HRZFWPUFKLEFLA-QGZVFWFLSA-N 0 0 444.579 -0.507 20 0 IBADRN COC(=O)c1ncn(CC(=O)N2CCN(S(=O)(=O)c3cccc(C(C)=O)c3)CC2)n1 ZINC000487179467 1073568526 /nfs/dbraw/zinc/56/85/26/1073568526.db2.gz YROYOXDCCNWNEU-UHFFFAOYSA-N 0 0 435.462 -0.200 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnc(C(=O)OC)n3)CC2)cc1 ZINC000487179539 1073568793 /nfs/dbraw/zinc/56/87/93/1073568793.db2.gz ZCJWKYFPWJBHPH-UHFFFAOYSA-N 0 0 437.478 -0.004 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)[C@H](CO)NC(=O)C(C)C)CC3)C2=O)n(C)n1 ZINC000487181372 1073568687 /nfs/dbraw/zinc/56/86/87/1073568687.db2.gz PGNHWVPJJHLPLZ-DLBZAZTESA-N 0 0 434.541 -0.499 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@H](CO)NC(=O)C(C)C)CC3)C2=O)n(C)n1 ZINC000487181373 1073568672 /nfs/dbraw/zinc/56/86/72/1073568672.db2.gz PGNHWVPJJHLPLZ-IRXDYDNUSA-N 0 0 434.541 -0.499 20 0 IBADRN COC(=O)c1ncn(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000487187938 1073568541 /nfs/dbraw/zinc/56/85/41/1073568541.db2.gz SEXBVQNEURVNST-UHFFFAOYSA-N 0 0 439.450 -0.267 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000487190445 1073568577 /nfs/dbraw/zinc/56/85/77/1073568577.db2.gz XRDXDSAMEVKLLV-AYOQOUSVSA-N 0 0 447.488 -0.166 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000487190491 1073568567 /nfs/dbraw/zinc/56/85/67/1073568567.db2.gz XRDXDSAMEVKLLV-ZYSHUDEJSA-N 0 0 447.488 -0.166 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCN(CC(=O)NC4CCCCC4)CC3)nc2n(C)c1=O ZINC000487193239 1073568699 /nfs/dbraw/zinc/56/86/99/1073568699.db2.gz CUXXPFWBNVOLNZ-UHFFFAOYSA-N 0 0 442.520 -0.161 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)nc1 ZINC000487193858 1073568727 /nfs/dbraw/zinc/56/87/27/1073568727.db2.gz BWCHUCNNJXBNOW-AWEZNQCLSA-N 0 0 449.555 -0.106 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)nc1 ZINC000487193865 1073568635 /nfs/dbraw/zinc/56/86/35/1073568635.db2.gz BWCHUCNNJXBNOW-CQSZACIVSA-N 0 0 449.555 -0.106 20 0 IBADRN COC(=O)C1(CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000487200954 1073568621 /nfs/dbraw/zinc/56/86/21/1073568621.db2.gz QXUCKAMBOCMWKG-AWEZNQCLSA-N 0 0 432.520 -0.162 20 0 IBADRN COC(=O)C1(CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000487200957 1073568610 /nfs/dbraw/zinc/56/86/10/1073568610.db2.gz QXUCKAMBOCMWKG-CQSZACIVSA-N 0 0 432.520 -0.162 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H](COC)C(=O)OC ZINC000487202399 1073568716 /nfs/dbraw/zinc/56/87/16/1073568716.db2.gz QZKFBYXLVDUOQF-INIZCTEOSA-N 0 0 443.522 -0.061 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H](COC)C(=O)OC ZINC000487202400 1073568592 /nfs/dbraw/zinc/56/85/92/1073568592.db2.gz QZKFBYXLVDUOQF-MRXNPFEDSA-N 0 0 443.522 -0.061 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)N1C ZINC000487205584 1073568737 /nfs/dbraw/zinc/56/87/37/1073568737.db2.gz HHCXORAPYLHCQZ-OKILXGFUSA-N 0 0 437.522 -0.703 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2cnn(CCN3CCOCC3)c2)cn1C ZINC000487207088 1073569228 /nfs/dbraw/zinc/56/92/28/1073569228.db2.gz KARJILLGNMDYIA-UHFFFAOYSA-N 0 0 425.515 -0.436 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](S(=O)(=O)N2CCCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000487208897 1073569072 /nfs/dbraw/zinc/56/90/72/1073569072.db2.gz VPBUQNYBFBXVIT-CVEARBPZSA-N 0 0 437.584 -0.852 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](S(=O)(=O)N2CCCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000487208900 1073569284 /nfs/dbraw/zinc/56/92/84/1073569284.db2.gz VPBUQNYBFBXVIT-HOTGVXAUSA-N 0 0 437.584 -0.852 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](S(=O)(=O)N2CCCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000487208901 1073569147 /nfs/dbraw/zinc/56/91/47/1073569147.db2.gz VPBUQNYBFBXVIT-HZPDHXFCSA-N 0 0 437.584 -0.852 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](S(=O)(=O)N2CCCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000487208902 1073569247 /nfs/dbraw/zinc/56/92/47/1073569247.db2.gz VPBUQNYBFBXVIT-JKSUJKDBSA-N 0 0 437.584 -0.852 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnnc3[S@@](C)=O)CC2)cc1 ZINC000487210465 1073569276 /nfs/dbraw/zinc/56/92/76/1073569276.db2.gz MYKJIALAJUFBCV-MUUNZHRXSA-N 0 0 441.535 -0.053 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnnc3[S@](C)=O)CC2)cc1 ZINC000487210471 1073569136 /nfs/dbraw/zinc/56/91/36/1073569136.db2.gz MYKJIALAJUFBCV-NDEPHWFRSA-N 0 0 441.535 -0.053 20 0 IBADRN Cc1cc(C(=O)NCC(=O)N2CCOCC2)cc(C(=O)NCC(=O)N2CCOCC2)c1 ZINC000487217396 1073569174 /nfs/dbraw/zinc/56/91/74/1073569174.db2.gz HJYLEDXTFOLCNB-UHFFFAOYSA-N 0 0 432.477 -0.828 20 0 IBADRN NC(=O)Cn1cc(C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000487217918 1073569186 /nfs/dbraw/zinc/56/91/86/1073569186.db2.gz UDPTZGNPERLXPF-UHFFFAOYSA-N 0 0 428.858 -0.310 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnnc3[S@@](C)=O)CC2)cc1 ZINC000487223143 1073569799 /nfs/dbraw/zinc/56/97/99/1073569799.db2.gz XCYXVZHDWCWRHR-HHHXNRCGSA-N 0 0 427.508 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnnc3[S@](C)=O)CC2)cc1 ZINC000487223144 1073569737 /nfs/dbraw/zinc/56/97/37/1073569737.db2.gz XCYXVZHDWCWRHR-MHZLTWQESA-N 0 0 427.508 -0.443 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000487226088 1073569160 /nfs/dbraw/zinc/56/91/60/1073569160.db2.gz WZAMUBQVVKTBRJ-AWEZNQCLSA-N 0 0 427.479 -0.920 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)C[C@@H](CC(C)(C)C)C(=O)N1C[C@H](O)C[C@H]1C(=O)OC ZINC000487226815 1073569094 /nfs/dbraw/zinc/56/90/94/1073569094.db2.gz GURXHBRADQYHAZ-OTJKEOIZSA-N 0 0 442.509 -0.302 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)C[C@H](CC(C)(C)C)C(=O)N1C[C@H](O)C[C@H]1C(=O)OC ZINC000487226819 1073569111 /nfs/dbraw/zinc/56/91/11/1073569111.db2.gz GURXHBRADQYHAZ-SUJAAXHWSA-N 0 0 442.509 -0.302 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1cc(C)cc(C(=O)N2C[C@H](O)C[C@H]2C(=O)OC)c1 ZINC000487230614 1073569198 /nfs/dbraw/zinc/56/91/98/1073569198.db2.gz LPJUWTRYMHKOER-NCOADZHNSA-N 0 0 434.445 -0.508 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(CC(=O)NC4CCCCC4)CC3)nc2c(=O)[nH]c1=O ZINC000487230711 1073569123 /nfs/dbraw/zinc/56/91/23/1073569123.db2.gz FLWJGUILAJQILX-UHFFFAOYSA-N 0 0 445.524 -0.112 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)c1 ZINC000487236522 1073569693 /nfs/dbraw/zinc/56/96/93/1073569693.db2.gz YUGKHOHIVQWPQG-UHFFFAOYSA-N 0 0 441.554 -0.843 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000487237006 1073569591 /nfs/dbraw/zinc/56/95/91/1073569591.db2.gz RXHISDYCNZDYAJ-UHFFFAOYSA-N 0 0 438.510 -0.183 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)c1 ZINC000487238690 1073569821 /nfs/dbraw/zinc/56/98/21/1073569821.db2.gz CCFLNMXQRRRVEG-UHFFFAOYSA-N 0 0 433.446 -0.409 20 0 IBADRN CC(=O)N1CC[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1 ZINC000487240011 1073569774 /nfs/dbraw/zinc/56/97/74/1073569774.db2.gz GFIDRMJOKXZNIU-AWEZNQCLSA-N 0 0 436.490 -0.281 20 0 IBADRN CC(=O)N1CC[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1 ZINC000487240012 1073569712 /nfs/dbraw/zinc/56/97/12/1073569712.db2.gz GFIDRMJOKXZNIU-CQSZACIVSA-N 0 0 436.490 -0.281 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2cccc3cnccc32)CC1 ZINC000487240123 1073569786 /nfs/dbraw/zinc/56/97/86/1073569786.db2.gz ZXHYLMLDRCVHJD-UHFFFAOYSA-N 0 0 447.517 -0.290 20 0 IBADRN C[S@@](=O)c1nncn1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000487240841 1073569706 /nfs/dbraw/zinc/56/97/06/1073569706.db2.gz LRKWFDLISWUJKT-HHHXNRCGSA-N 0 0 433.462 -0.173 20 0 IBADRN C[S@](=O)c1nncn1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000487240847 1073569652 /nfs/dbraw/zinc/56/96/52/1073569652.db2.gz LRKWFDLISWUJKT-MHZLTWQESA-N 0 0 433.462 -0.173 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)cc1C ZINC000487242626 1073316404 /nfs/dbraw/zinc/31/64/04/1073316404.db2.gz UGJUGVAJDYCUPJ-AWEZNQCLSA-N 0 0 430.531 -0.158 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)cc1C ZINC000487242627 1073316391 /nfs/dbraw/zinc/31/63/91/1073316391.db2.gz UGJUGVAJDYCUPJ-CQSZACIVSA-N 0 0 430.531 -0.158 20 0 IBADRN COc1ccc(CCNC(=O)c2cc(S(=O)(=O)N(C)C)cn2C)cc1S(N)(=O)=O ZINC000487250521 1073569611 /nfs/dbraw/zinc/56/96/11/1073569611.db2.gz KTVZTFLNKUXFFE-UHFFFAOYSA-N 0 0 444.535 -0.096 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(CC(=O)NC3CCCCC3)CC1)c(=O)n2C ZINC000487254904 1073570108 /nfs/dbraw/zinc/57/01/08/1073570108.db2.gz BSXMLSHTUXAFDM-UHFFFAOYSA-N 0 0 445.524 -0.973 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(c3nnc(C(F)(F)F)s3)CC2)CC1 ZINC000487257431 1073570286 /nfs/dbraw/zinc/57/02/86/1073570286.db2.gz QRUGYRWCKMVOPM-UHFFFAOYSA-N 0 0 442.489 -0.217 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCCN([C@H](C)c2ccc(F)cc2)C1=O)S(N)(=O)=O ZINC000487258943 1073569623 /nfs/dbraw/zinc/56/96/23/1073569623.db2.gz LWEJZDLVOHGKMK-JMSVASOKSA-N 0 0 428.486 -0.213 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN([C@H](C)c2ccc(F)cc2)C1=O)S(N)(=O)=O ZINC000487258946 1073569645 /nfs/dbraw/zinc/56/96/45/1073569645.db2.gz LWEJZDLVOHGKMK-LALPHHSUSA-N 0 0 428.486 -0.213 20 0 IBADRN C[C@H](c1ccc(F)cc1)N1CCC[C@@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C1=O ZINC000487258948 1073569754 /nfs/dbraw/zinc/56/97/54/1073569754.db2.gz LWEJZDLVOHGKMK-YWPYICTPSA-N 0 0 428.486 -0.213 20 0 IBADRN C[C@H](c1ccc(F)cc1)N1CCC[C@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C1=O ZINC000487258949 1073569666 /nfs/dbraw/zinc/56/96/66/1073569666.db2.gz LWEJZDLVOHGKMK-ZOWXZIJZSA-N 0 0 428.486 -0.213 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000487260515 1072827073 /nfs/dbraw/zinc/82/70/73/1072827073.db2.gz VWEZWOBBOKPXQX-CVEARBPZSA-N 0 0 439.538 -0.499 20 0 IBADRN O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000487260530 1072827115 /nfs/dbraw/zinc/82/71/15/1072827115.db2.gz VWEZWOBBOKPXQX-HOTGVXAUSA-N 0 0 439.538 -0.499 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000487260533 1072827060 /nfs/dbraw/zinc/82/70/60/1072827060.db2.gz VWEZWOBBOKPXQX-HZPDHXFCSA-N 0 0 439.538 -0.499 20 0 IBADRN O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000487260536 1072827307 /nfs/dbraw/zinc/82/73/07/1072827307.db2.gz VWEZWOBBOKPXQX-JKSUJKDBSA-N 0 0 439.538 -0.499 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000487260934 1073570223 /nfs/dbraw/zinc/57/02/23/1073570223.db2.gz JFBTWOSVNHNYAH-UHFFFAOYSA-N 0 0 446.595 -0.301 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)c1 ZINC000487261318 1073570304 /nfs/dbraw/zinc/57/03/04/1073570304.db2.gz LLSCBECBKBBJCT-UHFFFAOYSA-N 0 0 426.543 -0.486 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000487261355 1073570253 /nfs/dbraw/zinc/57/02/53/1073570253.db2.gz RQGHSQUAPPQVQW-UHFFFAOYSA-N 0 0 427.259 -0.121 20 0 IBADRN CN(C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000487262330 1072827237 /nfs/dbraw/zinc/82/72/37/1072827237.db2.gz CHPIPNJORBZING-INIZCTEOSA-N 0 0 431.541 -0.541 20 0 IBADRN CN(C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000487262335 1072827099 /nfs/dbraw/zinc/82/70/99/1072827099.db2.gz CHPIPNJORBZING-MRXNPFEDSA-N 0 0 431.541 -0.541 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cn1C ZINC000487263539 1073570061 /nfs/dbraw/zinc/57/00/61/1073570061.db2.gz VNRIWMDRVRMFPK-UHFFFAOYSA-N 0 0 440.570 -0.400 20 0 IBADRN COCCN(C)S(=O)(=O)c1ccc(N(C)C(=O)c2cn(CC(=O)N(C)C)nn2)cc1 ZINC000487264537 1073570156 /nfs/dbraw/zinc/57/01/56/1073570156.db2.gz GBZXCPVDEKENJB-UHFFFAOYSA-N 0 0 438.510 -0.090 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000487267450 1073570232 /nfs/dbraw/zinc/57/02/32/1073570232.db2.gz QGHOTFWTAAOHME-HNNXBMFYSA-N 0 0 425.573 -0.994 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000487267456 1073570241 /nfs/dbraw/zinc/57/02/41/1073570241.db2.gz QGHOTFWTAAOHME-OAHLLOKOSA-N 0 0 425.573 -0.994 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN(CCNC(=O)c2ccc(OC)cc2)CC1 ZINC000487267673 1073570193 /nfs/dbraw/zinc/57/01/93/1073570193.db2.gz QJSUJKVMMPBAID-UHFFFAOYSA-N 0 0 426.539 -0.149 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2cc(F)ccc12)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000487271093 1073570094 /nfs/dbraw/zinc/57/00/94/1073570094.db2.gz BEWNQNGUOYOICA-GOSISDBHSA-N 0 0 442.455 -0.288 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2cc(F)ccc12)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000487271094 1073570145 /nfs/dbraw/zinc/57/01/45/1073570145.db2.gz BEWNQNGUOYOICA-SFHVURJKSA-N 0 0 442.455 -0.288 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2c(C)nn(C)c2C)C1 ZINC000487273272 1073570169 /nfs/dbraw/zinc/57/01/69/1073570169.db2.gz DLMALNWKVHPJPH-RHSMWYFYSA-N 0 0 426.543 -0.111 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C1(NC(=O)c2c(C)nn(C)c2C)CCCC1 ZINC000487274514 1073570131 /nfs/dbraw/zinc/57/01/31/1073570131.db2.gz JZDOCJZRRZXMFN-HNNXBMFYSA-N 0 0 432.525 -0.067 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C1(NC(=O)c2c(C)nn(C)c2C)CCCC1 ZINC000487274562 1073570119 /nfs/dbraw/zinc/57/01/19/1073570119.db2.gz JZDOCJZRRZXMFN-OAHLLOKOSA-N 0 0 432.525 -0.067 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC2CCN(C(=O)CCOC)CC2)CC1 ZINC000487276877 1073570078 /nfs/dbraw/zinc/57/00/78/1073570078.db2.gz FCLCLWQIGUQYNM-KRWDZBQOSA-N 0 0 441.573 -0.118 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC2CCN(C(=O)CCOC)CC2)CC1 ZINC000487276879 1073570893 /nfs/dbraw/zinc/57/08/93/1073570893.db2.gz FCLCLWQIGUQYNM-QGZVFWFLSA-N 0 0 441.573 -0.118 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)NC1(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CCCC1 ZINC000487278228 1073570515 /nfs/dbraw/zinc/57/05/15/1073570515.db2.gz MLYZNXVVWGYTMZ-UHFFFAOYSA-N 0 0 443.512 -0.563 20 0 IBADRN COCCN(C)S(=O)(=O)c1ccc(N(C)C(=O)CN2C(=O)C(=O)N(C)C2=O)cc1 ZINC000487278678 1073570751 /nfs/dbraw/zinc/57/07/51/1073570751.db2.gz IUFXSRTYLSLINL-UHFFFAOYSA-N 0 0 426.451 -0.663 20 0 IBADRN CCn1nccc1[C@@H]1OCC[C@H]1CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000487282348 1073570825 /nfs/dbraw/zinc/57/08/25/1073570825.db2.gz UXKNSJSTPVYUHF-KBXCAEBGSA-N 0 0 429.543 -0.069 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CNC(=O)Cc2cc(S(N)(=O)=O)cn2C)n1 ZINC000487284569 1073570639 /nfs/dbraw/zinc/57/06/39/1073570639.db2.gz RRBKJDWYYUZXAE-JSGCOSHPSA-N 0 0 439.517 -0.196 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cn1 ZINC000487291862 1073570546 /nfs/dbraw/zinc/57/05/46/1073570546.db2.gz NFQUPGQNDTUZEI-UHFFFAOYSA-N 0 0 426.543 -0.709 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCN(CC(=O)NC4CCCCC4)CC3)cnc2n(C)c1=O ZINC000487293255 1073570904 /nfs/dbraw/zinc/57/09/04/1073570904.db2.gz WNOQPEDDINMPHA-UHFFFAOYSA-N 0 0 442.520 -0.161 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(CC(=O)NC4CCCCC4)CC3)c2c(=O)n(C)c1=O ZINC000487293939 1073570849 /nfs/dbraw/zinc/57/08/49/1073570849.db2.gz RVSVXOWEFCTJEQ-UHFFFAOYSA-N 0 0 445.524 -0.973 20 0 IBADRN CCn1c(CN2C[C@@H](O)[C@@H]([N@H+](C)CC(=O)[O-])C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000487294133 1073570564 /nfs/dbraw/zinc/57/05/64/1073570564.db2.gz CILRNTCBJQJPGV-DLBZAZTESA-N 0 0 439.538 -0.132 20 0 IBADRN CCn1c(CN2C[C@@H](O)[C@H]([N@H+](C)CC(=O)[O-])C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000487294164 1073570770 /nfs/dbraw/zinc/57/07/70/1073570770.db2.gz CILRNTCBJQJPGV-IAGOWNOFSA-N 0 0 439.538 -0.132 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000487306596 1073570677 /nfs/dbraw/zinc/57/06/77/1073570677.db2.gz ZWLYCYDRXDUHFM-UHFFFAOYSA-N 0 0 425.530 -0.800 20 0 IBADRN CC[C@H](CNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)NC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000487311809 1072827355 /nfs/dbraw/zinc/82/73/55/1072827355.db2.gz QBRFSNPJOIYJDU-BFHYXJOUSA-N 0 0 442.480 -0.450 20 0 IBADRN CC[C@H](CNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)NC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000487311810 1072827331 /nfs/dbraw/zinc/82/73/31/1072827331.db2.gz QBRFSNPJOIYJDU-HZSPNIEDSA-N 0 0 442.480 -0.450 20 0 IBADRN CC[C@H](CNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)NC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000487311811 1072827069 /nfs/dbraw/zinc/82/70/69/1072827069.db2.gz QBRFSNPJOIYJDU-MELADBBJSA-N 0 0 442.480 -0.450 20 0 IBADRN CC[C@H](CNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)NC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000487311812 1072827226 /nfs/dbraw/zinc/82/72/26/1072827226.db2.gz QBRFSNPJOIYJDU-MGPQQGTHSA-N 0 0 442.480 -0.450 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCO[C@@H](COCC2CC2)C1 ZINC000487314600 1073570815 /nfs/dbraw/zinc/57/08/15/1073570815.db2.gz DDEXPXSZHAIYTB-CYBMUJFWSA-N 0 0 425.463 -0.104 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCO[C@H](COCC2CC2)C1 ZINC000487314604 1073570617 /nfs/dbraw/zinc/57/06/17/1073570617.db2.gz DDEXPXSZHAIYTB-ZDUSSCGKSA-N 0 0 425.463 -0.104 20 0 IBADRN C[N@H+](CC(=O)[O-])[C@H]1CN(CC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)C[C@H]1O ZINC000487317374 1073570695 /nfs/dbraw/zinc/57/06/95/1073570695.db2.gz WMJBVWFMZFNWOB-RBUKOAKNSA-N 0 0 432.521 -0.673 20 0 IBADRN C[N@H+](CC(=O)[O-])[C@@H]1CN(CC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)C[C@H]1O ZINC000487317375 1073571298 /nfs/dbraw/zinc/57/12/98/1073571298.db2.gz WMJBVWFMZFNWOB-RTBURBONSA-N 0 0 432.521 -0.673 20 0 IBADRN CCc1c(C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000487317928 1073571202 /nfs/dbraw/zinc/57/12/02/1073571202.db2.gz CQIROARUHAGMGQ-UHFFFAOYSA-N 0 0 428.449 -0.649 20 0 IBADRN COCC(=O)NC1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000487319910 1073570874 /nfs/dbraw/zinc/57/08/74/1073570874.db2.gz ONKVPKQGTMHZFA-RDJZCZTQSA-N 0 0 425.507 -0.599 20 0 IBADRN COCC(=O)NC1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000487319911 1073570860 /nfs/dbraw/zinc/57/08/60/1073570860.db2.gz ONKVPKQGTMHZFA-WBVHZDCISA-N 0 0 425.507 -0.599 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)CC2)C1=O ZINC000487326451 1073571264 /nfs/dbraw/zinc/57/12/64/1073571264.db2.gz YGIJRJDVNHUYTN-UHFFFAOYSA-N 0 0 425.445 -0.399 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000487328869 1073571115 /nfs/dbraw/zinc/57/11/15/1073571115.db2.gz AHGKBYFKDQBPJD-BZZMCLGOSA-N 0 0 430.523 -0.041 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000487328870 1073571190 /nfs/dbraw/zinc/57/11/90/1073571190.db2.gz AHGKBYFKDQBPJD-DZJNRPSUSA-N 0 0 430.523 -0.041 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000487328871 1073571214 /nfs/dbraw/zinc/57/12/14/1073571214.db2.gz AHGKBYFKDQBPJD-OWLYRPNTSA-N 0 0 430.523 -0.041 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000487328872 1073571148 /nfs/dbraw/zinc/57/11/48/1073571148.db2.gz AHGKBYFKDQBPJD-SPUZQDLCSA-N 0 0 430.523 -0.041 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000487329793 1073571419 /nfs/dbraw/zinc/57/14/19/1073571419.db2.gz BFAVTXMGVOGTCD-UHFFFAOYSA-N 0 0 429.886 -0.001 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)NCCN3CCN(c4ncccn4)CC3)c2C1 ZINC000487330068 1073571431 /nfs/dbraw/zinc/57/14/31/1073571431.db2.gz BRSZGOSHOCIADL-KRWDZBQOSA-N 0 0 426.525 -0.076 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)NCCN3CCN(c4ncccn4)CC3)c2C1 ZINC000487330085 1073571248 /nfs/dbraw/zinc/57/12/48/1073571248.db2.gz BRSZGOSHOCIADL-QGZVFWFLSA-N 0 0 426.525 -0.076 20 0 IBADRN COCC(=O)NC1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2c(C)cc(C)cc2C)C1 ZINC000487330847 1073571373 /nfs/dbraw/zinc/57/13/73/1073571373.db2.gz WNHQZSALBQCEDX-IRXDYDNUSA-N 0 0 439.534 -0.291 20 0 IBADRN COCC(=O)NC1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2c(C)cc(C)cc2C)C1 ZINC000487330848 1073571335 /nfs/dbraw/zinc/57/13/35/1073571335.db2.gz WNHQZSALBQCEDX-SJORKVTESA-N 0 0 439.534 -0.291 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000487330913 1073571224 /nfs/dbraw/zinc/57/12/24/1073571224.db2.gz APJHJTQITKUYOF-NXEZZACHSA-N 0 0 439.487 -0.068 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000487330914 1073571128 /nfs/dbraw/zinc/57/11/28/1073571128.db2.gz APJHJTQITKUYOF-UWVGGRQHSA-N 0 0 439.487 -0.068 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000487330915 1073571279 /nfs/dbraw/zinc/57/12/79/1073571279.db2.gz APJHJTQITKUYOF-VHSXEESVSA-N 0 0 439.487 -0.068 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000487330916 1073571442 /nfs/dbraw/zinc/57/14/42/1073571442.db2.gz APJHJTQITKUYOF-ZJUUUORDSA-N 0 0 439.487 -0.068 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000487331263 1073571387 /nfs/dbraw/zinc/57/13/87/1073571387.db2.gz XPSMGLSRRZEYDY-UHFFFAOYSA-N 0 0 425.530 -0.800 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000487338171 1071394686 /nfs/dbraw/zinc/39/46/86/1071394686.db2.gz OUWDKNXHZFMXMP-KBPBESRZSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000487338172 1071394807 /nfs/dbraw/zinc/39/48/07/1071394807.db2.gz OUWDKNXHZFMXMP-KGLIPLIRSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000487338173 1071394589 /nfs/dbraw/zinc/39/45/89/1071394589.db2.gz OUWDKNXHZFMXMP-UONOGXRCSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000487338174 1071394700 /nfs/dbraw/zinc/39/47/00/1071394700.db2.gz OUWDKNXHZFMXMP-ZIAGYGMSSA-N 0 0 441.506 -0.106 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000487339350 1071395294 /nfs/dbraw/zinc/39/52/94/1071395294.db2.gz OMKGSHZQRPYRHK-IAOVAPTHSA-N 0 0 441.506 -0.376 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000487339351 1071395360 /nfs/dbraw/zinc/39/53/60/1071395360.db2.gz OMKGSHZQRPYRHK-KBRIMQKVSA-N 0 0 441.506 -0.376 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000487339353 1071395427 /nfs/dbraw/zinc/39/54/27/1071395427.db2.gz OMKGSHZQRPYRHK-RRQGHBQHSA-N 0 0 441.506 -0.376 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000487339355 1071395438 /nfs/dbraw/zinc/39/54/38/1071395438.db2.gz OMKGSHZQRPYRHK-XOKHGSTOSA-N 0 0 441.506 -0.376 20 0 IBADRN Cc1nc(CN2C[C@@H](F)C[C@H]2CN(C)C(=O)Cc2cc(S(N)(=O)=O)cn2C)no1 ZINC000487342278 1071401247 /nfs/dbraw/zinc/40/12/47/1071401247.db2.gz XVIBVLWFPLTBPX-JSGCOSHPSA-N 0 0 428.490 -0.023 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000487344001 1071407061 /nfs/dbraw/zinc/40/70/61/1071407061.db2.gz VGZJXYUFMAQHMX-NEPJUHHUSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000487344002 1071407108 /nfs/dbraw/zinc/40/71/08/1071407108.db2.gz VGZJXYUFMAQHMX-NWDGAFQWSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000487344003 1071406942 /nfs/dbraw/zinc/40/69/42/1071406942.db2.gz VGZJXYUFMAQHMX-RYUDHWBXSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000487344004 1071406984 /nfs/dbraw/zinc/40/69/84/1071406984.db2.gz VGZJXYUFMAQHMX-VXGBXAGGSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000487345086 1071410315 /nfs/dbraw/zinc/41/03/15/1071410315.db2.gz YBHXRQIDIYJSEY-NXEZZACHSA-N 0 0 439.487 -0.068 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000487345088 1071410123 /nfs/dbraw/zinc/41/01/23/1071410123.db2.gz YBHXRQIDIYJSEY-UWVGGRQHSA-N 0 0 439.487 -0.068 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000487345090 1071410178 /nfs/dbraw/zinc/41/01/78/1071410178.db2.gz YBHXRQIDIYJSEY-VHSXEESVSA-N 0 0 439.487 -0.068 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000487345092 1071410103 /nfs/dbraw/zinc/41/01/03/1071410103.db2.gz YBHXRQIDIYJSEY-ZJUUUORDSA-N 0 0 439.487 -0.068 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000487347206 1071415750 /nfs/dbraw/zinc/41/57/50/1071415750.db2.gz SJZSEMLGXRXBFD-CHWSQXEVSA-N 0 0 435.524 -0.659 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000487347207 1071415744 /nfs/dbraw/zinc/41/57/44/1071415744.db2.gz SJZSEMLGXRXBFD-OLZOCXBDSA-N 0 0 435.524 -0.659 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000487347208 1071415740 /nfs/dbraw/zinc/41/57/40/1071415740.db2.gz SJZSEMLGXRXBFD-QWHCGFSZSA-N 0 0 435.524 -0.659 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000487347209 1071415619 /nfs/dbraw/zinc/41/56/19/1071415619.db2.gz SJZSEMLGXRXBFD-STQMWFEESA-N 0 0 435.524 -0.659 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000487348746 1071416545 /nfs/dbraw/zinc/41/65/45/1071416545.db2.gz CYNUTDNDZWQDOF-NEPJUHHUSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000487348747 1071416315 /nfs/dbraw/zinc/41/63/15/1071416315.db2.gz CYNUTDNDZWQDOF-NWDGAFQWSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000487348748 1071416379 /nfs/dbraw/zinc/41/63/79/1071416379.db2.gz CYNUTDNDZWQDOF-RYUDHWBXSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000487348749 1071416484 /nfs/dbraw/zinc/41/64/84/1071416484.db2.gz CYNUTDNDZWQDOF-VXGBXAGGSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000487353391 1071421775 /nfs/dbraw/zinc/42/17/75/1071421775.db2.gz DOPYQQLDLOCEEB-NEPJUHHUSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000487353394 1071421537 /nfs/dbraw/zinc/42/15/37/1071421537.db2.gz DOPYQQLDLOCEEB-NWDGAFQWSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000487353396 1071421520 /nfs/dbraw/zinc/42/15/20/1071421520.db2.gz DOPYQQLDLOCEEB-RYUDHWBXSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000487353399 1071421670 /nfs/dbraw/zinc/42/16/70/1071421670.db2.gz DOPYQQLDLOCEEB-VXGBXAGGSA-N 0 0 447.535 -0.138 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000487353978 1071422526 /nfs/dbraw/zinc/42/25/26/1071422526.db2.gz FLODZHKDBFWICV-INIZCTEOSA-N 0 0 433.575 -0.768 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000487353987 1071421785 /nfs/dbraw/zinc/42/17/85/1071421785.db2.gz FLODZHKDBFWICV-MRXNPFEDSA-N 0 0 433.575 -0.768 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000487354499 1071421658 /nfs/dbraw/zinc/42/16/58/1071421658.db2.gz NYXMNCAOKKKQBE-NEPJUHHUSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000487354513 1071421647 /nfs/dbraw/zinc/42/16/47/1071421647.db2.gz NYXMNCAOKKKQBE-NWDGAFQWSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000487354514 1071422437 /nfs/dbraw/zinc/42/24/37/1071422437.db2.gz NYXMNCAOKKKQBE-RYUDHWBXSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000487354515 1071422520 /nfs/dbraw/zinc/42/25/20/1071422520.db2.gz NYXMNCAOKKKQBE-VXGBXAGGSA-N 0 0 435.524 -0.329 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC(=O)N1C ZINC000487354669 1071421679 /nfs/dbraw/zinc/42/16/79/1071421679.db2.gz NXOWYVLMMJLEBJ-BFQNTYOBSA-N 0 0 426.520 -0.048 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC(=O)N1C ZINC000487354681 1071421764 /nfs/dbraw/zinc/42/17/64/1071421764.db2.gz NXOWYVLMMJLEBJ-MKBNYLNASA-N 0 0 426.520 -0.048 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC(=O)N1C ZINC000487354685 1071421572 /nfs/dbraw/zinc/42/15/72/1071421572.db2.gz NXOWYVLMMJLEBJ-MQIPJXDCSA-N 0 0 426.520 -0.048 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC(=O)N1C ZINC000487354688 1071421757 /nfs/dbraw/zinc/42/17/57/1071421757.db2.gz NXOWYVLMMJLEBJ-WQGACYEGSA-N 0 0 426.520 -0.048 20 0 IBADRN COC(=O)CC1(NC(=O)C(=O)N2CCN(c3ccccc3)CC2)CCS(=O)(=O)CC1 ZINC000487358203 1071424769 /nfs/dbraw/zinc/42/47/69/1071424769.db2.gz CBOFRSSZSXITLC-UHFFFAOYSA-N 0 0 437.518 -0.038 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000487358881 1071426365 /nfs/dbraw/zinc/42/63/65/1071426365.db2.gz KZBVUGVVAYEDAU-UHFFFAOYSA-N 0 0 446.618 -0.156 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CNc2ccccc2C(=O)NCCC(N)=O)CC1 ZINC000487358989 1071425513 /nfs/dbraw/zinc/42/55/13/1071425513.db2.gz AQXNLZDALOFAFI-UHFFFAOYSA-N 0 0 446.552 -0.237 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H](C)C(=O)N[C@@H](C)C(=O)OCC ZINC000487361772 1071426346 /nfs/dbraw/zinc/42/63/46/1071426346.db2.gz DJPYIIBUXOMMKW-NEPJUHHUSA-N 0 0 435.524 -0.281 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H](C)C(=O)N[C@H](C)C(=O)OCC ZINC000487361797 1071427922 /nfs/dbraw/zinc/42/79/22/1071427922.db2.gz DJPYIIBUXOMMKW-NWDGAFQWSA-N 0 0 435.524 -0.281 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OCC ZINC000487361801 1071427880 /nfs/dbraw/zinc/42/78/80/1071427880.db2.gz DJPYIIBUXOMMKW-RYUDHWBXSA-N 0 0 435.524 -0.281 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H](C)C(=O)N[C@H](C)C(=O)OCC ZINC000487361810 1071427859 /nfs/dbraw/zinc/42/78/59/1071427859.db2.gz DJPYIIBUXOMMKW-VXGBXAGGSA-N 0 0 435.524 -0.281 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000487361826 1071427931 /nfs/dbraw/zinc/42/79/31/1071427931.db2.gz DMEQVFSFNPJBAJ-NEPJUHHUSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000487361831 1071427885 /nfs/dbraw/zinc/42/78/85/1071427885.db2.gz DMEQVFSFNPJBAJ-NWDGAFQWSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000487361836 1071427941 /nfs/dbraw/zinc/42/79/41/1071427941.db2.gz DMEQVFSFNPJBAJ-RYUDHWBXSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000487361843 1071427916 /nfs/dbraw/zinc/42/79/16/1071427916.db2.gz DMEQVFSFNPJBAJ-VXGBXAGGSA-N 0 0 435.524 -0.329 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000487362409 1071428792 /nfs/dbraw/zinc/42/87/92/1071428792.db2.gz JBOITTIZRRCFJU-CABCVRRESA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000487362413 1071428607 /nfs/dbraw/zinc/42/86/07/1071428607.db2.gz JBOITTIZRRCFJU-GJZGRUSLSA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000487362414 1071428484 /nfs/dbraw/zinc/42/84/84/1071428484.db2.gz JBOITTIZRRCFJU-HUUCEWRRSA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000487362416 1071428524 /nfs/dbraw/zinc/42/85/24/1071428524.db2.gz JBOITTIZRRCFJU-LSDHHAIUSA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)C1 ZINC000487363925 1071429477 /nfs/dbraw/zinc/42/94/77/1071429477.db2.gz USIFLJIZKGXSGJ-INIZCTEOSA-N 0 0 448.567 -0.084 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)C1 ZINC000487363928 1071429435 /nfs/dbraw/zinc/42/94/35/1071429435.db2.gz USIFLJIZKGXSGJ-MRXNPFEDSA-N 0 0 448.567 -0.084 20 0 IBADRN CO[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@@H]1OC ZINC000487366223 1071431021 /nfs/dbraw/zinc/43/10/21/1071431021.db2.gz WSEGTNVBOBKGNR-HOTGVXAUSA-N 0 0 427.527 -0.411 20 0 IBADRN CO[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H]1OC ZINC000487366227 1071430914 /nfs/dbraw/zinc/43/09/14/1071430914.db2.gz WSEGTNVBOBKGNR-HZPDHXFCSA-N 0 0 427.527 -0.411 20 0 IBADRN CO[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@@H]1OC ZINC000487366228 1071431088 /nfs/dbraw/zinc/43/10/88/1071431088.db2.gz WSEGTNVBOBKGNR-IYBDPMFKSA-N 0 0 427.527 -0.411 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000487368418 1071432469 /nfs/dbraw/zinc/43/24/69/1071432469.db2.gz UBDKSMKIMCVCFI-NEPJUHHUSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000487368419 1071432445 /nfs/dbraw/zinc/43/24/45/1071432445.db2.gz UBDKSMKIMCVCFI-NWDGAFQWSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000487368420 1071432418 /nfs/dbraw/zinc/43/24/18/1071432418.db2.gz UBDKSMKIMCVCFI-RYUDHWBXSA-N 0 0 435.524 -0.329 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000487368421 1071432557 /nfs/dbraw/zinc/43/25/57/1071432557.db2.gz UBDKSMKIMCVCFI-VXGBXAGGSA-N 0 0 435.524 -0.329 20 0 IBADRN CCNC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCC1 ZINC000487370200 1071434854 /nfs/dbraw/zinc/43/48/54/1071434854.db2.gz SYLSHBLAGSAYEM-UHFFFAOYSA-N 0 0 441.510 -0.299 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC000487373101 1071436267 /nfs/dbraw/zinc/43/62/67/1071436267.db2.gz YEZKAFWBVMXYTR-INIZCTEOSA-N 0 0 433.575 -0.721 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC000487373105 1071436312 /nfs/dbraw/zinc/43/63/12/1071436312.db2.gz YEZKAFWBVMXYTR-MRXNPFEDSA-N 0 0 433.575 -0.721 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487379107 1071697588 /nfs/dbraw/zinc/69/75/88/1071697588.db2.gz RSWYQURAOGLWEG-HNNXBMFYSA-N 0 0 442.604 -0.260 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487379113 1071697011 /nfs/dbraw/zinc/69/70/11/1071697011.db2.gz RSWYQURAOGLWEG-OAHLLOKOSA-N 0 0 442.604 -0.260 20 0 IBADRN O=C([C@@H]1CCCC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCCC1 ZINC000487387202 1072286817 /nfs/dbraw/zinc/28/68/17/1072286817.db2.gz IPYODSWRNVLYMH-MSOLQXFVSA-N 0 0 434.537 -0.055 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000487387203 1072286870 /nfs/dbraw/zinc/28/68/70/1072286870.db2.gz IPYODSWRNVLYMH-QZTJIDSGSA-N 0 0 434.537 -0.055 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCCC[C@@H]2C(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000487387204 1072286943 /nfs/dbraw/zinc/28/69/43/1072286943.db2.gz IPYODSWRNVLYMH-ROUUACIJSA-N 0 0 434.537 -0.055 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000487387205 1072286956 /nfs/dbraw/zinc/28/69/56/1072286956.db2.gz IPYODSWRNVLYMH-ZWKOTPCHSA-N 0 0 434.537 -0.055 20 0 IBADRN CCN(CCCNC(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1)S(C)(=O)=O ZINC000487391925 1072294027 /nfs/dbraw/zinc/29/40/27/1072294027.db2.gz ALKODABZTNBTBJ-HNNXBMFYSA-N 0 0 442.604 -0.260 20 0 IBADRN CCN(CCCNC(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1)S(C)(=O)=O ZINC000487391926 1072294084 /nfs/dbraw/zinc/29/40/84/1072294084.db2.gz ALKODABZTNBTBJ-OAHLLOKOSA-N 0 0 442.604 -0.260 20 0 IBADRN CC(C)CN1C[C@@H]2CN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CCN2C1=O ZINC000487393366 1072294593 /nfs/dbraw/zinc/29/45/93/1072294593.db2.gz IEBDKVMJPXJAMC-GFCCVEGCSA-N 0 0 436.494 -0.199 20 0 IBADRN CC(C)CN1C[C@H]2CN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CCN2C1=O ZINC000487393370 1072294722 /nfs/dbraw/zinc/29/47/22/1072294722.db2.gz IEBDKVMJPXJAMC-LBPRGKRZSA-N 0 0 436.494 -0.199 20 0 IBADRN COC(=O)c1cc(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1C ZINC000487394432 1072295876 /nfs/dbraw/zinc/29/58/76/1072295876.db2.gz ZLQYRELMACDTEL-UHFFFAOYSA-N 0 0 429.499 -0.025 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)C1 ZINC000487396904 1072317431 /nfs/dbraw/zinc/31/74/31/1072317431.db2.gz NNMIISBVPLJUJP-HNNXBMFYSA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)C1 ZINC000487396905 1072317386 /nfs/dbraw/zinc/31/73/86/1072317386.db2.gz NNMIISBVPLJUJP-OAHLLOKOSA-N 0 0 440.588 -0.508 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000487399955 1072323738 /nfs/dbraw/zinc/32/37/38/1072323738.db2.gz MWLCXZLVBAEBIK-CHWSQXEVSA-N 0 0 447.535 -0.039 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000487399956 1072323665 /nfs/dbraw/zinc/32/36/65/1072323665.db2.gz MWLCXZLVBAEBIK-OLZOCXBDSA-N 0 0 447.535 -0.039 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000487399957 1072323614 /nfs/dbraw/zinc/32/36/14/1072323614.db2.gz MWLCXZLVBAEBIK-QWHCGFSZSA-N 0 0 447.535 -0.039 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000487399958 1072323594 /nfs/dbraw/zinc/32/35/94/1072323594.db2.gz MWLCXZLVBAEBIK-STQMWFEESA-N 0 0 447.535 -0.039 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCNC(=O)c2cccnc2)C1 ZINC000487400078 1072323553 /nfs/dbraw/zinc/32/35/53/1072323553.db2.gz ZDEURWVJURQMFC-INIZCTEOSA-N 0 0 427.527 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCNC(=O)c2cccnc2)C1 ZINC000487400079 1072323720 /nfs/dbraw/zinc/32/37/20/1072323720.db2.gz ZDEURWVJURQMFC-MRXNPFEDSA-N 0 0 427.527 -0.107 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000487407811 1072346759 /nfs/dbraw/zinc/34/67/59/1072346759.db2.gz LNOIOLFDRHENOW-NXEZZACHSA-N 0 0 435.886 -0.061 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000487407812 1072346744 /nfs/dbraw/zinc/34/67/44/1072346744.db2.gz LNOIOLFDRHENOW-UWVGGRQHSA-N 0 0 435.886 -0.061 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000487407813 1072346736 /nfs/dbraw/zinc/34/67/36/1072346736.db2.gz LNOIOLFDRHENOW-VHSXEESVSA-N 0 0 435.886 -0.061 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000487407814 1072346672 /nfs/dbraw/zinc/34/66/72/1072346672.db2.gz LNOIOLFDRHENOW-ZJUUUORDSA-N 0 0 435.886 -0.061 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@@H]2CCc3nc(COC)nn3C2)C1 ZINC000487408142 1072352070 /nfs/dbraw/zinc/35/20/70/1072352070.db2.gz BSIVXPZJXNJOBO-CABCVRRESA-N 0 0 444.558 -0.179 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@H]2CCc3nc(COC)nn3C2)C1 ZINC000487408143 1072352044 /nfs/dbraw/zinc/35/20/44/1072352044.db2.gz BSIVXPZJXNJOBO-GJZGRUSLSA-N 0 0 444.558 -0.179 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@@H]2CCc3nc(COC)nn3C2)C1 ZINC000487408144 1072352017 /nfs/dbraw/zinc/35/20/17/1072352017.db2.gz BSIVXPZJXNJOBO-HUUCEWRRSA-N 0 0 444.558 -0.179 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@H]2CCc3nc(COC)nn3C2)C1 ZINC000487408145 1072352199 /nfs/dbraw/zinc/35/21/99/1072352199.db2.gz BSIVXPZJXNJOBO-LSDHHAIUSA-N 0 0 444.558 -0.179 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000487410059 1072361843 /nfs/dbraw/zinc/36/18/43/1072361843.db2.gz NUGIJKCJSNFIMC-CABCVRRESA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000487410062 1072361899 /nfs/dbraw/zinc/36/18/99/1072361899.db2.gz NUGIJKCJSNFIMC-HUUCEWRRSA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCc2cn(CC(=O)OC)nn2)C1 ZINC000487420319 1072450670 /nfs/dbraw/zinc/45/06/70/1072450670.db2.gz YOFOGWHMFAWUBG-AWEZNQCLSA-N 0 0 432.503 -0.967 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCc2cn(CC(=O)OC)nn2)C1 ZINC000487420320 1072450717 /nfs/dbraw/zinc/45/07/17/1072450717.db2.gz YOFOGWHMFAWUBG-CQSZACIVSA-N 0 0 432.503 -0.967 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)NCCNS(=O)(=O)c2cccnc2)cn1 ZINC000487430173 1072502776 /nfs/dbraw/zinc/50/27/76/1072502776.db2.gz OBRDNCREIJZSJJ-WBVHZDCISA-N 0 0 435.510 -0.105 20 0 IBADRN CC[C@H](NC(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1)C(=O)N1CCOCC1 ZINC000487432714 1072514335 /nfs/dbraw/zinc/51/43/35/1072514335.db2.gz RQDDMBSOMVMTJC-CVEARBPZSA-N 0 0 434.559 -0.294 20 0 IBADRN CC[C@H](NC(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1)C(=O)N1CCOCC1 ZINC000487432716 1072514412 /nfs/dbraw/zinc/51/44/12/1072514412.db2.gz RQDDMBSOMVMTJC-HOTGVXAUSA-N 0 0 434.559 -0.294 20 0 IBADRN CC[C@@H](NC(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1)C(=O)N1CCOCC1 ZINC000487432718 1072514646 /nfs/dbraw/zinc/51/46/46/1072514646.db2.gz RQDDMBSOMVMTJC-HZPDHXFCSA-N 0 0 434.559 -0.294 20 0 IBADRN CC[C@@H](NC(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1)C(=O)N1CCOCC1 ZINC000487432719 1072514381 /nfs/dbraw/zinc/51/43/81/1072514381.db2.gz RQDDMBSOMVMTJC-JKSUJKDBSA-N 0 0 434.559 -0.294 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000487434039 1072521676 /nfs/dbraw/zinc/52/16/76/1072521676.db2.gz SGNGSPYQACEQAT-INIZCTEOSA-N 0 0 446.533 -0.061 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000487434040 1072521804 /nfs/dbraw/zinc/52/18/04/1072521804.db2.gz SGNGSPYQACEQAT-MRXNPFEDSA-N 0 0 446.533 -0.061 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCC2(CS(C)(=O)=O)CC2)C1 ZINC000487434673 1072521134 /nfs/dbraw/zinc/52/11/34/1072521134.db2.gz COIZCSJCVOTJPX-AWEZNQCLSA-N 0 0 425.573 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCC2(CS(C)(=O)=O)CC2)C1 ZINC000487434680 1072521340 /nfs/dbraw/zinc/52/13/40/1072521340.db2.gz COIZCSJCVOTJPX-CQSZACIVSA-N 0 0 425.573 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000487437551 1072524075 /nfs/dbraw/zinc/52/40/75/1072524075.db2.gz XVURYOZSWAARSP-CABCVRRESA-N 0 0 440.588 -0.650 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000487437552 1072524001 /nfs/dbraw/zinc/52/40/01/1072524001.db2.gz XVURYOZSWAARSP-GJZGRUSLSA-N 0 0 440.588 -0.650 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000487437553 1072523988 /nfs/dbraw/zinc/52/39/88/1072523988.db2.gz XVURYOZSWAARSP-HUUCEWRRSA-N 0 0 440.588 -0.650 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000487437554 1072524123 /nfs/dbraw/zinc/52/41/23/1072524123.db2.gz XVURYOZSWAARSP-LSDHHAIUSA-N 0 0 440.588 -0.650 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000487442945 1072535392 /nfs/dbraw/zinc/53/53/92/1072535392.db2.gz VYPKZYRLJYKDAL-DLBZAZTESA-N 0 0 446.570 -0.152 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000487442946 1072535825 /nfs/dbraw/zinc/53/58/25/1072535825.db2.gz VYPKZYRLJYKDAL-IAGOWNOFSA-N 0 0 446.570 -0.152 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000487442947 1072536531 /nfs/dbraw/zinc/53/65/31/1072536531.db2.gz VYPKZYRLJYKDAL-IRXDYDNUSA-N 0 0 446.570 -0.152 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)C1 ZINC000487442948 1072536366 /nfs/dbraw/zinc/53/63/66/1072536366.db2.gz VYPKZYRLJYKDAL-SJORKVTESA-N 0 0 446.570 -0.152 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCCN(S(=O)(=O)C[C@@H](C)C(=O)OC)CC1 ZINC000487450598 1072561968 /nfs/dbraw/zinc/56/19/68/1072561968.db2.gz WHGZBQPGSUFCQB-BETUJISGSA-N 0 0 428.529 -0.728 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCCN(S(=O)(=O)C[C@@H](C)C(=O)OC)CC1 ZINC000487450599 1072561688 /nfs/dbraw/zinc/56/16/88/1072561688.db2.gz WHGZBQPGSUFCQB-CHWSQXEVSA-N 0 0 428.529 -0.728 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCCN(S(=O)(=O)C[C@H](C)C(=O)OC)CC1 ZINC000487450600 1072561813 /nfs/dbraw/zinc/56/18/13/1072561813.db2.gz WHGZBQPGSUFCQB-STQMWFEESA-N 0 0 428.529 -0.728 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)NC1CCC(NS(=O)(=O)C[C@H](C)C(=O)OC)CC1 ZINC000487459197 1072576395 /nfs/dbraw/zinc/57/63/95/1072576395.db2.gz DFCJHDPBLHCKPY-FEPKRQSRSA-N 0 0 442.556 -0.245 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)NC1CCC(NS(=O)(=O)C[C@@H](C)C(=O)OC)CC1 ZINC000487459198 1072576410 /nfs/dbraw/zinc/57/64/10/1072576410.db2.gz DFCJHDPBLHCKPY-IWMBGFJWSA-N 0 0 442.556 -0.245 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)NC1CCC(NS(=O)(=O)C[C@@H](C)C(=O)OC)CC1 ZINC000487459199 1072576335 /nfs/dbraw/zinc/57/63/35/1072576335.db2.gz DFCJHDPBLHCKPY-VTXSZYRJSA-N 0 0 442.556 -0.245 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000487460613 1072577414 /nfs/dbraw/zinc/57/74/14/1072577414.db2.gz IGFAYLJLXNPYPF-KRWDZBQOSA-N 0 0 447.602 -0.379 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000487460614 1072577364 /nfs/dbraw/zinc/57/73/64/1072577364.db2.gz IGFAYLJLXNPYPF-QGZVFWFLSA-N 0 0 447.602 -0.379 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487461696 1072579120 /nfs/dbraw/zinc/57/91/20/1072579120.db2.gz LALWISZSHLXLEN-HNNXBMFYSA-N 0 0 442.604 -0.260 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487461697 1072579054 /nfs/dbraw/zinc/57/90/54/1072579054.db2.gz LALWISZSHLXLEN-OAHLLOKOSA-N 0 0 442.604 -0.260 20 0 IBADRN O=C(CCNC(=O)N1CC(=O)N(CC(F)(F)F)C1)N1CCN(c2ncccn2)CC1 ZINC000487462751 1072583023 /nfs/dbraw/zinc/58/30/23/1072583023.db2.gz POUVQCFBAJSWAR-UHFFFAOYSA-N 0 0 429.403 -0.111 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)c1 ZINC000487473277 1072613657 /nfs/dbraw/zinc/61/36/57/1072613657.db2.gz DUBLENFHRGDBKN-UHFFFAOYSA-N 0 0 449.489 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC(C)C)C1 ZINC000487484374 1072617428 /nfs/dbraw/zinc/61/74/28/1072617428.db2.gz DKZKJKPWECCVFD-AWEZNQCLSA-N 0 0 428.577 -0.604 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC(C)C)C1 ZINC000487484437 1072617455 /nfs/dbraw/zinc/61/74/55/1072617455.db2.gz DKZKJKPWECCVFD-CQSZACIVSA-N 0 0 428.577 -0.604 20 0 IBADRN CCNC(=O)C1(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCC1 ZINC000487491965 1072627016 /nfs/dbraw/zinc/62/70/16/1072627016.db2.gz NPIGJJOLODGXCN-UHFFFAOYSA-N 0 0 431.559 -0.367 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC(=O)N(CC(F)(F)F)C1 ZINC000487491982 1072627004 /nfs/dbraw/zinc/62/70/04/1072627004.db2.gz NTPIOJLQDRURRE-UHFFFAOYSA-N 0 0 443.448 -0.599 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@H]1CN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CCO1 ZINC000487494592 1072626986 /nfs/dbraw/zinc/62/69/86/1072626986.db2.gz YKYVUOIUXMSJSH-HNNXBMFYSA-N 0 0 444.554 -0.284 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@@H]1CN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CCO1 ZINC000487494593 1072627034 /nfs/dbraw/zinc/62/70/34/1072627034.db2.gz YKYVUOIUXMSJSH-OAHLLOKOSA-N 0 0 444.554 -0.284 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N(C)C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000487495077 1072627401 /nfs/dbraw/zinc/62/74/01/1072627401.db2.gz FTDVKUXOJSTSSG-UHFFFAOYSA-N 0 0 448.505 -0.263 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000487496519 1072627479 /nfs/dbraw/zinc/62/74/79/1072627479.db2.gz DHKJIKAKJIHWEH-XLIONFOSSA-N 0 0 447.540 -0.591 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000487496976 1072628205 /nfs/dbraw/zinc/62/82/05/1072628205.db2.gz BIHXZYWQYRANSC-UHFFFAOYSA-N 0 0 438.238 -0.045 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000487499165 1072628194 /nfs/dbraw/zinc/62/81/94/1072628194.db2.gz LOPZRSITMSEGRJ-ZBFHGGJFSA-N 0 0 444.583 -0.100 20 0 IBADRN COc1ccc(NC(=O)[C@H](CO)NC(=O)C(C)C)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000487500546 1072628130 /nfs/dbraw/zinc/62/81/30/1072628130.db2.gz NIPKJHJCJPBZIS-KBPBESRZSA-N 0 0 429.495 -0.166 20 0 IBADRN COc1ccc(NC(=O)[C@H](CO)NC(=O)C(C)C)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000487500548 1072628187 /nfs/dbraw/zinc/62/81/87/1072628187.db2.gz NIPKJHJCJPBZIS-KGLIPLIRSA-N 0 0 429.495 -0.166 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000487501060 1072628609 /nfs/dbraw/zinc/62/86/09/1072628609.db2.gz OAAFXWTTXAWTND-AWEZNQCLSA-N 0 0 428.515 -0.712 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000487501062 1072628578 /nfs/dbraw/zinc/62/85/78/1072628578.db2.gz OAAFXWTTXAWTND-CQSZACIVSA-N 0 0 428.515 -0.712 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCN2CCCS2(=O)=O)C1 ZINC000487502170 1072631884 /nfs/dbraw/zinc/63/18/84/1072631884.db2.gz PJPFADVWRJWCAA-AWEZNQCLSA-N 0 0 426.561 -0.896 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCN2CCCS2(=O)=O)C1 ZINC000487502172 1072631896 /nfs/dbraw/zinc/63/18/96/1072631896.db2.gz PJPFADVWRJWCAA-CQSZACIVSA-N 0 0 426.561 -0.896 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000487502422 1072631292 /nfs/dbraw/zinc/63/12/92/1072631292.db2.gz PPQSOGXVAVGEHI-CVEARBPZSA-N 0 0 442.542 -0.393 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000487502423 1072631205 /nfs/dbraw/zinc/63/12/05/1072631205.db2.gz PPQSOGXVAVGEHI-HOTGVXAUSA-N 0 0 442.542 -0.393 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000487502724 1072629106 /nfs/dbraw/zinc/62/91/06/1072629106.db2.gz PPQSOGXVAVGEHI-HZPDHXFCSA-N 0 0 442.542 -0.393 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000487502725 1072629199 /nfs/dbraw/zinc/62/91/99/1072629199.db2.gz PPQSOGXVAVGEHI-JKSUJKDBSA-N 0 0 442.542 -0.393 20 0 IBADRN CCNC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCC1 ZINC000487503900 1072634678 /nfs/dbraw/zinc/63/46/78/1072634678.db2.gz OFEBKSLBTPAJER-UHFFFAOYSA-N 0 0 430.575 -0.888 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cn1 ZINC000487506352 1072660316 /nfs/dbraw/zinc/66/03/16/1072660316.db2.gz RPFRWHIHNIPCDI-WBVHZDCISA-N 0 0 433.513 -0.399 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1 ZINC000487511261 1072687529 /nfs/dbraw/zinc/68/75/29/1072687529.db2.gz WXNDOGJFIYQYAK-AEFFLSMTSA-N 0 0 445.524 -0.301 20 0 IBADRN CCNC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC000487522819 1072732044 /nfs/dbraw/zinc/73/20/44/1072732044.db2.gz YQHWOSOGJGTQRV-HOTGVXAUSA-N 0 0 445.586 -0.026 20 0 IBADRN CCNC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCC1 ZINC000487522820 1072732169 /nfs/dbraw/zinc/73/21/69/1072732169.db2.gz YQHWOSOGJGTQRV-HZPDHXFCSA-N 0 0 445.586 -0.026 20 0 IBADRN CCNC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC000487522821 1072732078 /nfs/dbraw/zinc/73/20/78/1072732078.db2.gz YQHWOSOGJGTQRV-IYBDPMFKSA-N 0 0 445.586 -0.026 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487563161 1073571840 /nfs/dbraw/zinc/57/18/40/1073571840.db2.gz RQLBPGYPQHZCHD-BRWVUGGUSA-N 0 0 437.559 -0.111 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487563165 1073571776 /nfs/dbraw/zinc/57/17/76/1073571776.db2.gz RQLBPGYPQHZCHD-GVDBMIGSSA-N 0 0 437.559 -0.111 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487563167 1073571856 /nfs/dbraw/zinc/57/18/56/1073571856.db2.gz RQLBPGYPQHZCHD-IXDOHACOSA-N 0 0 437.559 -0.111 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487563169 1073571865 /nfs/dbraw/zinc/57/18/65/1073571865.db2.gz RQLBPGYPQHZCHD-YESZJQIVSA-N 0 0 437.559 -0.111 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000487567256 1073571764 /nfs/dbraw/zinc/57/17/64/1073571764.db2.gz FLPTVAIJXCKNFR-HNNXBMFYSA-N 0 0 440.588 -0.650 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000487567257 1073571911 /nfs/dbraw/zinc/57/19/11/1073571911.db2.gz FLPTVAIJXCKNFR-OAHLLOKOSA-N 0 0 440.588 -0.650 20 0 IBADRN COCC(=O)N[C@H](C(=O)N1CCCN(C(=O)[C@@H](NC(=O)COC)C(C)C)CC1)C(C)C ZINC000487570261 1073571965 /nfs/dbraw/zinc/57/19/65/1073571965.db2.gz GNXBOPHPAAWKBM-OALUTQOASA-N 0 0 442.557 -0.378 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCCN(C(=O)[C@H]3CC(=O)N(CCOC)C3)CC2)CC1=O ZINC000487573840 1073571754 /nfs/dbraw/zinc/57/17/54/1073571754.db2.gz RHMRSJDFRZUVQP-CALCHBBNSA-N 0 0 438.525 -0.963 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCCN(C(=O)[C@@H]3CC(=O)N(CCOC)C3)CC2)CC1=O ZINC000487573841 1073571734 /nfs/dbraw/zinc/57/17/34/1073571734.db2.gz RHMRSJDFRZUVQP-IAGOWNOFSA-N 0 0 438.525 -0.963 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCCN(C(=O)[C@H]3CC(=O)N(CCOC)C3)CC2)CC1=O ZINC000487573842 1073571848 /nfs/dbraw/zinc/57/18/48/1073571848.db2.gz RHMRSJDFRZUVQP-IRXDYDNUSA-N 0 0 438.525 -0.963 20 0 IBADRN Cn1nnnc1SCCC(=O)N1CCCN(C(=O)CCSc2nnnn2C)CC1 ZINC000487577082 1073571929 /nfs/dbraw/zinc/57/19/29/1073571929.db2.gz UIQCGWKOTIYZIY-UHFFFAOYSA-N 0 0 440.559 -0.541 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC000487581219 1073571696 /nfs/dbraw/zinc/57/16/96/1073571696.db2.gz DVVBKJYCQSYGBX-CABCVRRESA-N 0 0 448.542 -0.768 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC000487581221 1073571785 /nfs/dbraw/zinc/57/17/85/1073571785.db2.gz DVVBKJYCQSYGBX-GJZGRUSLSA-N 0 0 448.542 -0.768 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC000487581222 1073572469 /nfs/dbraw/zinc/57/24/69/1073572469.db2.gz DVVBKJYCQSYGBX-HUUCEWRRSA-N 0 0 448.542 -0.768 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC000487581223 1073572375 /nfs/dbraw/zinc/57/23/75/1073572375.db2.gz DVVBKJYCQSYGBX-LSDHHAIUSA-N 0 0 448.542 -0.768 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCCC(=O)N2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000487584708 1073572303 /nfs/dbraw/zinc/57/23/03/1073572303.db2.gz ALHRGZIVJCVGMG-UHFFFAOYSA-N 0 0 441.529 -0.104 20 0 IBADRN O=C(c1csc(S(=O)(=O)N2CCOCC2)c1)N1CCN(CCn2cncn2)CC1 ZINC000487585128 1073572418 /nfs/dbraw/zinc/57/24/18/1073572418.db2.gz DPAJDWJPFVMROJ-UHFFFAOYSA-N 0 0 440.551 -0.182 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)C1 ZINC000487585331 1073572383 /nfs/dbraw/zinc/57/23/83/1073572383.db2.gz CHHKBKTZIXXDNQ-ARFHVFGLSA-N 0 0 434.559 -0.296 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)C1 ZINC000487585332 1073572270 /nfs/dbraw/zinc/57/22/70/1073572270.db2.gz CHHKBKTZIXXDNQ-BZUAXINKSA-N 0 0 434.559 -0.296 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)C1 ZINC000487585333 1073572436 /nfs/dbraw/zinc/57/24/36/1073572436.db2.gz CHHKBKTZIXXDNQ-OAGGEKHMSA-N 0 0 434.559 -0.296 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)C1 ZINC000487585334 1073572517 /nfs/dbraw/zinc/57/25/17/1073572517.db2.gz CHHKBKTZIXXDNQ-XHSDSOJGSA-N 0 0 434.559 -0.296 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCN(CCn2cncn2)CC1)N1C(=O)c2ccccc2C1=O ZINC000487589080 1073571942 /nfs/dbraw/zinc/57/19/42/1073571942.db2.gz IWNWMKNMDYDNEW-KRWDZBQOSA-N 0 0 439.476 -0.647 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCN(CCn2cncn2)CC1)N1C(=O)c2ccccc2C1=O ZINC000487589085 1073571885 /nfs/dbraw/zinc/57/18/85/1073571885.db2.gz IWNWMKNMDYDNEW-QGZVFWFLSA-N 0 0 439.476 -0.647 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)c3ccc4[nH]cnc4c3)CC2)c(=O)n(C)c1=O ZINC000487589995 1073571919 /nfs/dbraw/zinc/57/19/19/1073571919.db2.gz MALCROQVEKVPAV-UHFFFAOYSA-N 0 0 432.462 -0.893 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CCN(c4ccccc4F)C3=O)c2c(=O)n(C)c1=O ZINC000487590819 1073572456 /nfs/dbraw/zinc/57/24/56/1073572456.db2.gz GHGBNSVZHXFPOM-CYBMUJFWSA-N 0 0 428.424 -0.115 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CCN(c4ccccc4F)C3=O)c2c(=O)n(C)c1=O ZINC000487591220 1073572283 /nfs/dbraw/zinc/57/22/83/1073572283.db2.gz GHGBNSVZHXFPOM-ZDUSSCGKSA-N 0 0 428.424 -0.115 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N(C)C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000487599552 1073572546 /nfs/dbraw/zinc/57/25/46/1073572546.db2.gz PIVXJHOXDSBXPM-UHFFFAOYSA-N 0 0 434.478 -0.653 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000487601680 1073572363 /nfs/dbraw/zinc/57/23/63/1073572363.db2.gz DXVBESRZGCOXMB-AWEZNQCLSA-N 0 0 435.528 -0.697 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000487601684 1073572296 /nfs/dbraw/zinc/57/22/96/1073572296.db2.gz DXVBESRZGCOXMB-CQSZACIVSA-N 0 0 435.528 -0.697 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C1(S(=O)(=O)c2ccccc2)CCOCC1 ZINC000487601907 1073572443 /nfs/dbraw/zinc/57/24/43/1073572443.db2.gz CLDJUDVXLZTJLT-UHFFFAOYSA-N 0 0 433.552 -0.042 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N(C)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000487603851 1073572530 /nfs/dbraw/zinc/57/25/30/1073572530.db2.gz FPKAXSSEPNYSFN-UHFFFAOYSA-N 0 0 434.478 -0.653 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(CCn4cncn4)CC3)CC2=O)c1 ZINC000487605553 1073572250 /nfs/dbraw/zinc/57/22/50/1073572250.db2.gz IHMFFKMXLHDDCX-HNNXBMFYSA-N 0 0 447.521 -0.877 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(CCn4cncn4)CC3)CC2=O)c1 ZINC000487605555 1073572313 /nfs/dbraw/zinc/57/23/13/1073572313.db2.gz IHMFFKMXLHDDCX-OAHLLOKOSA-N 0 0 447.521 -0.877 20 0 IBADRN CSCC[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000487618315 1073572955 /nfs/dbraw/zinc/57/29/55/1073572955.db2.gz PMMRGOCXOBTJBH-KRWDZBQOSA-N 0 0 445.549 -0.176 20 0 IBADRN CSCC[C@@H](NC(=O)Cc1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000487618855 1073572863 /nfs/dbraw/zinc/57/28/63/1073572863.db2.gz PMMRGOCXOBTJBH-QGZVFWFLSA-N 0 0 445.549 -0.176 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000487627393 1073573127 /nfs/dbraw/zinc/57/31/27/1073573127.db2.gz WFOLSPZMRXHYOY-HNNXBMFYSA-N 0 0 441.492 -0.071 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000487627397 1073573213 /nfs/dbraw/zinc/57/32/13/1073573213.db2.gz WFOLSPZMRXHYOY-OAHLLOKOSA-N 0 0 441.492 -0.071 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000487630324 1073572903 /nfs/dbraw/zinc/57/29/03/1073572903.db2.gz VAASUTYOWONRFG-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000487630325 1073572946 /nfs/dbraw/zinc/57/29/46/1073572946.db2.gz VAASUTYOWONRFG-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN COC(=O)[C@@](C)(CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(F)cc1 ZINC000487630460 1073573072 /nfs/dbraw/zinc/57/30/72/1073573072.db2.gz OKOADHSRYPFIGA-FQEVSTJZSA-N 0 0 431.424 -0.180 20 0 IBADRN COC(=O)[C@](C)(CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(F)cc1 ZINC000487630464 1073572928 /nfs/dbraw/zinc/57/29/28/1073572928.db2.gz OKOADHSRYPFIGA-HXUWFJFHSA-N 0 0 431.424 -0.180 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000487632939 1073573097 /nfs/dbraw/zinc/57/30/97/1073573097.db2.gz MCYMAENLJGHANX-XLIONFOSSA-N 0 0 447.540 -0.591 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000487633181 1073573052 /nfs/dbraw/zinc/57/30/52/1073573052.db2.gz KSRVZNNAXZAHIF-INIZCTEOSA-N 0 0 448.567 -0.084 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000487633182 1073573198 /nfs/dbraw/zinc/57/31/98/1073573198.db2.gz KSRVZNNAXZAHIF-MRXNPFEDSA-N 0 0 448.567 -0.084 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000487638895 1073573155 /nfs/dbraw/zinc/57/31/55/1073573155.db2.gz TUWIBANEABYTNB-WBVHZDCISA-N 0 0 431.497 -0.645 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N(C)C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1 ZINC000487640763 1073573025 /nfs/dbraw/zinc/57/30/25/1073573025.db2.gz OLDMEIDXKVHNHS-UHFFFAOYSA-N 0 0 433.490 -0.048 20 0 IBADRN COC(=O)[C@@](C)(CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(F)cc1 ZINC000487641349 1073573039 /nfs/dbraw/zinc/57/30/39/1073573039.db2.gz ZFRCMMRSOKUTLT-FQEVSTJZSA-N 0 0 431.424 -0.180 20 0 IBADRN COC(=O)[C@](C)(CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(F)cc1 ZINC000487641350 1073572915 /nfs/dbraw/zinc/57/29/15/1073572915.db2.gz ZFRCMMRSOKUTLT-HXUWFJFHSA-N 0 0 431.424 -0.180 20 0 IBADRN NC(=O)[C@@H]1Cc2ccccc2N(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000487648473 1073573520 /nfs/dbraw/zinc/57/35/20/1073573520.db2.gz XWOPNYMTQAGNHG-GFCCVEGCSA-N 0 0 430.442 -0.067 20 0 IBADRN NC(=O)[C@H]1Cc2ccccc2N(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000487648474 1073573540 /nfs/dbraw/zinc/57/35/40/1073573540.db2.gz XWOPNYMTQAGNHG-LBPRGKRZSA-N 0 0 430.442 -0.067 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000487652531 1073573657 /nfs/dbraw/zinc/57/36/57/1073573657.db2.gz CZEYGKKRZZTEQT-HNNXBMFYSA-N 0 0 428.463 -0.270 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000487652535 1073573550 /nfs/dbraw/zinc/57/35/50/1073573550.db2.gz CZEYGKKRZZTEQT-OAHLLOKOSA-N 0 0 428.463 -0.270 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000487654721 1073573680 /nfs/dbraw/zinc/57/36/80/1073573680.db2.gz ROXHFVCKAYXGST-HNNXBMFYSA-N 0 0 426.543 -0.320 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000487654726 1073573757 /nfs/dbraw/zinc/57/37/57/1073573757.db2.gz ROXHFVCKAYXGST-OAHLLOKOSA-N 0 0 426.543 -0.320 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)CC1 ZINC000487659238 1073573731 /nfs/dbraw/zinc/57/37/31/1073573731.db2.gz BGNFCXJJSRMYQQ-HUUCEWRRSA-N 0 0 434.559 -0.992 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)CC1 ZINC000487659239 1073573789 /nfs/dbraw/zinc/57/37/89/1073573789.db2.gz BGNFCXJJSRMYQQ-LSDHHAIUSA-N 0 0 434.559 -0.992 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)c2ccc(CS(N)(=O)=O)cc2)C[C@H]1O ZINC000487660643 1073573531 /nfs/dbraw/zinc/57/35/31/1073573531.db2.gz DDYOHGCTEUMZHB-HZPDHXFCSA-N 0 0 427.523 -0.066 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)c2ccc(CS(N)(=O)=O)cc2)C[C@H]1O ZINC000487660644 1073573803 /nfs/dbraw/zinc/57/38/03/1073573803.db2.gz DDYOHGCTEUMZHB-JKSUJKDBSA-N 0 0 427.523 -0.066 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2)C[C@H]1O ZINC000487663812 1073574250 /nfs/dbraw/zinc/57/42/50/1073574250.db2.gz HJZBPVPZGZMNHZ-MKSNKDDYSA-N 0 0 435.525 -0.215 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2)C[C@H]1O ZINC000487663816 1073574190 /nfs/dbraw/zinc/57/41/90/1073574190.db2.gz HJZBPVPZGZMNHZ-NNFUDEMPSA-N 0 0 435.525 -0.215 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2)C[C@H]1O ZINC000487663819 1073574068 /nfs/dbraw/zinc/57/40/68/1073574068.db2.gz HJZBPVPZGZMNHZ-WFXMFSGNSA-N 0 0 435.525 -0.215 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2)C[C@H]1O ZINC000487663821 1073574202 /nfs/dbraw/zinc/57/42/02/1073574202.db2.gz HJZBPVPZGZMNHZ-YKTARERQSA-N 0 0 435.525 -0.215 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)o1 ZINC000487664151 1073574124 /nfs/dbraw/zinc/57/41/24/1073574124.db2.gz HRXXKQFGIORNEF-CHWSQXEVSA-N 0 0 431.511 -0.011 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)o1 ZINC000487664177 1073574269 /nfs/dbraw/zinc/57/42/69/1073574269.db2.gz HRXXKQFGIORNEF-QWHCGFSZSA-N 0 0 431.511 -0.011 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)C[C@H]1O ZINC000487665421 1073574318 /nfs/dbraw/zinc/57/43/18/1073574318.db2.gz JEOISKHBPTZMNL-HUUCEWRRSA-N 0 0 435.477 -0.010 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)C[C@H]1O ZINC000487665423 1073574173 /nfs/dbraw/zinc/57/41/73/1073574173.db2.gz JEOISKHBPTZMNL-LSDHHAIUSA-N 0 0 435.477 -0.010 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)cn1 ZINC000487666800 1073574138 /nfs/dbraw/zinc/57/41/38/1073574138.db2.gz FAKJHMGXQICHKN-UONOGXRCSA-N 0 0 428.511 -0.552 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)cn1 ZINC000487666807 1073574093 /nfs/dbraw/zinc/57/40/93/1073574093.db2.gz FAKJHMGXQICHKN-ZIAGYGMSSA-N 0 0 428.511 -0.552 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)nn1 ZINC000487667253 1073574158 /nfs/dbraw/zinc/57/41/58/1073574158.db2.gz GDMGQQCTADORMA-KLHDSHLOSA-N 0 0 425.486 -0.677 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)nn1 ZINC000487667254 1073574286 /nfs/dbraw/zinc/57/42/86/1073574286.db2.gz GDMGQQCTADORMA-ZJIFWQFVSA-N 0 0 425.486 -0.677 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)CC1 ZINC000487668974 1073574331 /nfs/dbraw/zinc/57/43/31/1073574331.db2.gz VUAITQGXNYCZKP-INIZCTEOSA-N 0 0 429.543 -0.723 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)CC1 ZINC000487668975 1073574220 /nfs/dbraw/zinc/57/42/20/1073574220.db2.gz VUAITQGXNYCZKP-MRXNPFEDSA-N 0 0 429.543 -0.723 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2cc(F)ccc12)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000487669131 1073574107 /nfs/dbraw/zinc/57/41/07/1073574107.db2.gz WIHFNXQXVSYVIP-IBGZPJMESA-N 0 0 433.484 -0.081 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2cc(F)ccc12)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000487669132 1073574347 /nfs/dbraw/zinc/57/43/47/1073574347.db2.gz WIHFNXQXVSYVIP-LJQANCHMSA-N 0 0 433.484 -0.081 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCO1 ZINC000487669807 1073574302 /nfs/dbraw/zinc/57/43/02/1073574302.db2.gz ADJUXWNRSLQMMV-KRWDZBQOSA-N 0 0 425.507 -0.298 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCO1 ZINC000487669809 1073574233 /nfs/dbraw/zinc/57/42/33/1073574233.db2.gz ADJUXWNRSLQMMV-QGZVFWFLSA-N 0 0 425.507 -0.298 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)C[C@H]1O ZINC000487670115 1073574695 /nfs/dbraw/zinc/57/46/95/1073574695.db2.gz BTSWYCZPLBOMPM-HUUCEWRRSA-N 0 0 430.527 -0.940 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)C[C@H]1O ZINC000487670119 1073574624 /nfs/dbraw/zinc/57/46/24/1073574624.db2.gz BTSWYCZPLBOMPM-LSDHHAIUSA-N 0 0 430.527 -0.940 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)cn1 ZINC000487670195 1073574862 /nfs/dbraw/zinc/57/48/62/1073574862.db2.gz CCYPRVBCSQAQDG-HUUCEWRRSA-N 0 0 442.538 -0.209 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)cn1 ZINC000487670196 1073574712 /nfs/dbraw/zinc/57/47/12/1073574712.db2.gz CCYPRVBCSQAQDG-LSDHHAIUSA-N 0 0 442.538 -0.209 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)n(C)c1 ZINC000487671102 1073574876 /nfs/dbraw/zinc/57/48/76/1073574876.db2.gz YSUNLWYRWJAUFU-HZPDHXFCSA-N 0 0 444.554 -0.266 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)n(C)c1 ZINC000487671103 1073574806 /nfs/dbraw/zinc/57/48/06/1073574806.db2.gz YSUNLWYRWJAUFU-JKSUJKDBSA-N 0 0 444.554 -0.266 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)C1 ZINC000487671926 1073574792 /nfs/dbraw/zinc/57/47/92/1073574792.db2.gz ZLTGSWRVAYZHFK-ARFHVFGLSA-N 0 0 433.571 -0.107 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)C1 ZINC000487671927 1073574677 /nfs/dbraw/zinc/57/46/77/1073574677.db2.gz ZLTGSWRVAYZHFK-BZUAXINKSA-N 0 0 433.571 -0.107 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)C1 ZINC000487671928 1073574665 /nfs/dbraw/zinc/57/46/65/1073574665.db2.gz ZLTGSWRVAYZHFK-HRCADAONSA-N 0 0 433.571 -0.107 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)C1 ZINC000487671929 1073574688 /nfs/dbraw/zinc/57/46/88/1073574688.db2.gz ZLTGSWRVAYZHFK-OWCLPIDISA-N 0 0 433.571 -0.107 20 0 IBADRN CCc1c(C(=O)NCc2ncccc2S(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000487671984 1073574764 /nfs/dbraw/zinc/57/47/64/1073574764.db2.gz KMEZDOLPZZAYPH-UHFFFAOYSA-N 0 0 431.474 -0.077 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)c2cncc(NS(C)(=O)=O)c2)C[C@H]1O ZINC000487672436 1073573562 /nfs/dbraw/zinc/57/35/62/1073573562.db2.gz HGGWFUDQOWXMJT-HUUCEWRRSA-N 0 0 428.511 -0.088 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)c2cncc(NS(C)(=O)=O)c2)C[C@H]1O ZINC000487672440 1073573580 /nfs/dbraw/zinc/57/35/80/1073573580.db2.gz HGGWFUDQOWXMJT-LSDHHAIUSA-N 0 0 428.511 -0.088 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000487674780 1073573667 /nfs/dbraw/zinc/57/36/67/1073573667.db2.gz PDGVUWREACNOJU-INIZCTEOSA-N 0 0 428.463 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000487674781 1073573631 /nfs/dbraw/zinc/57/36/31/1073573631.db2.gz PDGVUWREACNOJU-MRXNPFEDSA-N 0 0 428.463 -0.083 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)CC1 ZINC000487677621 1073574147 /nfs/dbraw/zinc/57/41/47/1073574147.db2.gz OAGBWYIWGIIGFD-HZPDHXFCSA-N 0 0 433.571 -0.107 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)CC1 ZINC000487677623 1073574082 /nfs/dbraw/zinc/57/40/82/1073574082.db2.gz OAGBWYIWGIIGFD-JKSUJKDBSA-N 0 0 433.571 -0.107 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)NC1(C(=O)NCC(=O)NCCN2CCOCC2)CCCC1 ZINC000487678179 1073575345 /nfs/dbraw/zinc/57/53/45/1073575345.db2.gz PDTCHCQBEVTTSD-UHFFFAOYSA-N 0 0 434.541 -0.356 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CN(C)S(=O)(=O)c2cccnc2)C[C@H]1O ZINC000487678361 1073575411 /nfs/dbraw/zinc/57/54/11/1073575411.db2.gz QCMLHOXNGBVVKQ-HZPDHXFCSA-N 0 0 442.538 -0.453 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CN(C)S(=O)(=O)c2cccnc2)C[C@H]1O ZINC000487678362 1073575389 /nfs/dbraw/zinc/57/53/89/1073575389.db2.gz QCMLHOXNGBVVKQ-JKSUJKDBSA-N 0 0 442.538 -0.453 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)C[C@H]1O ZINC000487678541 1073575146 /nfs/dbraw/zinc/57/51/46/1073575146.db2.gz WYJJABOECUKMTD-HZMVEIRTSA-N 0 0 441.525 -0.762 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)C[C@H]1O ZINC000487678542 1073575360 /nfs/dbraw/zinc/57/53/60/1073575360.db2.gz WYJJABOECUKMTD-VQHPVUNQSA-N 0 0 441.525 -0.762 20 0 IBADRN CN(CCNC(=O)Cc1cc(S(N)(=O)=O)cn1C)S(=O)(=O)c1ccc(F)cc1 ZINC000487680062 1073575236 /nfs/dbraw/zinc/57/52/36/1073575236.db2.gz UWLHPFWYOVYETC-UHFFFAOYSA-N 0 0 432.499 -0.209 20 0 IBADRN C[C@@H](C(=O)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)S(=O)(=O)C1CCOCC1 ZINC000487680874 1073575197 /nfs/dbraw/zinc/57/51/97/1073575197.db2.gz VUDJLQNQLIEFBH-CWRNSKLLSA-N 0 0 434.555 -0.186 20 0 IBADRN C[C@H](C(=O)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)S(=O)(=O)C1CCOCC1 ZINC000487680875 1073575801 /nfs/dbraw/zinc/57/58/01/1073575801.db2.gz VUDJLQNQLIEFBH-FVQBIDKESA-N 0 0 434.555 -0.186 20 0 IBADRN C[C@@H](C(=O)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)S(=O)(=O)C1CCOCC1 ZINC000487680876 1073575667 /nfs/dbraw/zinc/57/56/67/1073575667.db2.gz VUDJLQNQLIEFBH-NUEKZKHPSA-N 0 0 434.555 -0.186 20 0 IBADRN C[C@H](C(=O)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)S(=O)(=O)C1CCOCC1 ZINC000487680877 1073575722 /nfs/dbraw/zinc/57/57/22/1073575722.db2.gz VUDJLQNQLIEFBH-VNQPRFMTSA-N 0 0 434.555 -0.186 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)C1=O ZINC000487681304 1073574637 /nfs/dbraw/zinc/57/46/37/1073574637.db2.gz YNAMAOJGFUTRNL-UONOGXRCSA-N 0 0 426.514 -0.058 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)C1=O ZINC000487681305 1073574609 /nfs/dbraw/zinc/57/46/09/1073574609.db2.gz YNAMAOJGFUTRNL-ZIAGYGMSSA-N 0 0 426.514 -0.058 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CCNS(=O)(=O)c2cccnc2)C[C@H]1O ZINC000487682316 1073574594 /nfs/dbraw/zinc/57/45/94/1073574594.db2.gz ZETCLFOKQKESOR-HZPDHXFCSA-N 0 0 442.538 -0.405 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CCNS(=O)(=O)c2cccnc2)C[C@H]1O ZINC000487682322 1073574705 /nfs/dbraw/zinc/57/47/05/1073574705.db2.gz ZETCLFOKQKESOR-JKSUJKDBSA-N 0 0 442.538 -0.405 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CCO1 ZINC000487683898 1073574750 /nfs/dbraw/zinc/57/47/50/1073574750.db2.gz KDTNQHRHSKCSFS-KRWDZBQOSA-N 0 0 439.490 -0.447 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CCO1 ZINC000487683899 1073574778 /nfs/dbraw/zinc/57/47/78/1073574778.db2.gz KDTNQHRHSKCSFS-QGZVFWFLSA-N 0 0 439.490 -0.447 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2c[nH]c3nccc(Cl)c23)CC1)N1CCOCC1 ZINC000487683914 1073574649 /nfs/dbraw/zinc/57/46/49/1073574649.db2.gz KJFSQHZIQCPCDT-UHFFFAOYSA-N 0 0 441.897 -0.092 20 0 IBADRN CCN1CCN(CC(=O)NCCC(=O)N2CCN(c3ccc(F)cc3)CC2)C(=O)C1=O ZINC000487683937 1073575297 /nfs/dbraw/zinc/57/52/97/1073575297.db2.gz KRGLDKUSKVDPGO-UHFFFAOYSA-N 0 0 433.484 -0.329 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000487684635 1073575264 /nfs/dbraw/zinc/57/52/64/1073575264.db2.gz CRAFTAJFFNLQOU-KRWDZBQOSA-N 0 0 437.570 -0.192 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000487684640 1073575176 /nfs/dbraw/zinc/57/51/76/1073575176.db2.gz CRAFTAJFFNLQOU-QGZVFWFLSA-N 0 0 437.570 -0.192 20 0 IBADRN COc1cc(C(=O)N2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)sc1S(N)(=O)=O ZINC000487685799 1073575251 /nfs/dbraw/zinc/57/52/51/1073575251.db2.gz IJYKAJFWYGGWPZ-GHMZBOCLSA-N 0 0 449.551 -0.137 20 0 IBADRN COc1cc(C(=O)N2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)sc1S(N)(=O)=O ZINC000487685800 1073575127 /nfs/dbraw/zinc/57/51/27/1073575127.db2.gz IJYKAJFWYGGWPZ-WDEREUQCSA-N 0 0 449.551 -0.137 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000487692806 1073575374 /nfs/dbraw/zinc/57/53/74/1073575374.db2.gz SEXWTCKZMVULQP-AWEZNQCLSA-N 0 0 440.613 -0.426 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000487692810 1073575115 /nfs/dbraw/zinc/57/51/15/1073575115.db2.gz SEXWTCKZMVULQP-CQSZACIVSA-N 0 0 440.613 -0.426 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC1 ZINC000487693370 1073575332 /nfs/dbraw/zinc/57/53/32/1073575332.db2.gz CPBHRYWMYUKUSJ-UHFFFAOYSA-N 0 0 449.599 -0.274 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)C1 ZINC000487695917 1073575221 /nfs/dbraw/zinc/57/52/21/1073575221.db2.gz GWXQPAOECMECEU-AWEZNQCLSA-N 0 0 436.556 -0.886 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)C1 ZINC000487695920 1073575162 /nfs/dbraw/zinc/57/51/62/1073575162.db2.gz GWXQPAOECMECEU-CQSZACIVSA-N 0 0 436.556 -0.886 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)N3C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C3)c2C)no1 ZINC000487697035 1073575091 /nfs/dbraw/zinc/57/50/91/1073575091.db2.gz ZMXNSBQMZNIYNM-UONOGXRCSA-N 0 0 435.485 -0.215 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)N3C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C3)c2C)no1 ZINC000487697036 1073575317 /nfs/dbraw/zinc/57/53/17/1073575317.db2.gz ZMXNSBQMZNIYNM-ZIAGYGMSSA-N 0 0 435.485 -0.215 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000487697611 1073575686 /nfs/dbraw/zinc/57/56/86/1073575686.db2.gz RLOZDULEEYHDRM-HNNXBMFYSA-N 0 0 426.543 -0.019 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000487697612 1073575857 /nfs/dbraw/zinc/57/58/57/1073575857.db2.gz RLOZDULEEYHDRM-OAHLLOKOSA-N 0 0 426.543 -0.019 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)C[C@H]1O ZINC000487697942 1073575933 /nfs/dbraw/zinc/57/59/33/1073575933.db2.gz SKIUWPMWILSJFY-HZPDHXFCSA-N 0 0 432.477 -0.053 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)C[C@H]1O ZINC000487697943 1073575834 /nfs/dbraw/zinc/57/58/34/1073575834.db2.gz SKIUWPMWILSJFY-JKSUJKDBSA-N 0 0 432.477 -0.053 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2CCC[C@@H](C(=O)N3CCO[C@H](C(=O)OC)C3)C2)CCO1 ZINC000487703316 1073575824 /nfs/dbraw/zinc/57/58/24/1073575824.db2.gz WDCMWQWWYUEXEQ-FPCVCCKLSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2CCC[C@H](C(=O)N3CCO[C@H](C(=O)OC)C3)C2)CCO1 ZINC000487703317 1073575779 /nfs/dbraw/zinc/57/57/79/1073575779.db2.gz WDCMWQWWYUEXEQ-FZKCQIBNSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H]2CCC[C@@H](C(=O)N3CCO[C@H](C(=O)OC)C3)C2)CCO1 ZINC000487703319 1073575657 /nfs/dbraw/zinc/57/56/57/1073575657.db2.gz WDCMWQWWYUEXEQ-SYMSYNOKSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2CCC[C@@H](C(=O)N3CCO[C@H](C(=O)OC)C3)C2)CCO1 ZINC000487703320 1073575869 /nfs/dbraw/zinc/57/58/69/1073575869.db2.gz WDCMWQWWYUEXEQ-WCVJEAGWSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000487708652 1073575921 /nfs/dbraw/zinc/57/59/21/1073575921.db2.gz ADPWKFNAEAXHHK-INIZCTEOSA-N 0 0 428.463 -0.270 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000487708653 1073575696 /nfs/dbraw/zinc/57/56/96/1073575696.db2.gz ADPWKFNAEAXHHK-MRXNPFEDSA-N 0 0 428.463 -0.270 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CCO1 ZINC000487709276 1073575814 /nfs/dbraw/zinc/57/58/14/1073575814.db2.gz CQMUDPRZFVHAIQ-KRWDZBQOSA-N 0 0 439.490 -0.447 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CCO1 ZINC000487709277 1073576442 /nfs/dbraw/zinc/57/64/42/1073576442.db2.gz CQMUDPRZFVHAIQ-QGZVFWFLSA-N 0 0 439.490 -0.447 20 0 IBADRN COc1ccc(OCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC000487710508 1073575734 /nfs/dbraw/zinc/57/57/34/1073575734.db2.gz RXBQDGSXUKBBQK-INIZCTEOSA-N 0 0 439.534 -0.170 20 0 IBADRN COc1ccc(OCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC000487710509 1073575789 /nfs/dbraw/zinc/57/57/89/1073575789.db2.gz RXBQDGSXUKBBQK-MRXNPFEDSA-N 0 0 439.534 -0.170 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CCO1 ZINC000487711700 1073575844 /nfs/dbraw/zinc/57/58/44/1073575844.db2.gz OMRZXWDZIJBTQH-KRWDZBQOSA-N 0 0 425.507 -0.153 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CCO1 ZINC000487711712 1073575712 /nfs/dbraw/zinc/57/57/12/1073575712.db2.gz OMRZXWDZIJBTQH-QGZVFWFLSA-N 0 0 425.507 -0.153 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000487723355 1073576374 /nfs/dbraw/zinc/57/63/74/1073576374.db2.gz BYJOOTNLLFCRED-AWEZNQCLSA-N 0 0 439.490 -0.024 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000487723361 1073576335 /nfs/dbraw/zinc/57/63/35/1073576335.db2.gz BYJOOTNLLFCRED-CQSZACIVSA-N 0 0 439.490 -0.024 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000487728567 1073576363 /nfs/dbraw/zinc/57/63/63/1073576363.db2.gz UZFAMTFUHKLACK-INIZCTEOSA-N 0 0 442.490 -0.341 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000487728568 1073576457 /nfs/dbraw/zinc/57/64/57/1073576457.db2.gz UZFAMTFUHKLACK-MRXNPFEDSA-N 0 0 442.490 -0.341 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCc1cccc(NC(=O)CN(C)S(=O)(=O)CC)c1 ZINC000487733144 1073576349 /nfs/dbraw/zinc/57/63/49/1073576349.db2.gz QVTOBMLFAWYPHL-UHFFFAOYSA-N 0 0 448.567 -0.196 20 0 IBADRN CCN(CC)C(=O)C1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000487744882 1073576429 /nfs/dbraw/zinc/57/64/29/1073576429.db2.gz UJFHSPSMDAZOOF-UHFFFAOYSA-N 0 0 444.492 -0.322 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CCO1 ZINC000487752195 1073576186 /nfs/dbraw/zinc/57/61/86/1073576186.db2.gz BUQQYPMHAUVRNW-KRWDZBQOSA-N 0 0 441.506 -0.213 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CCO1 ZINC000487752197 1073576306 /nfs/dbraw/zinc/57/63/06/1073576306.db2.gz BUQQYPMHAUVRNW-QGZVFWFLSA-N 0 0 441.506 -0.213 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)NCCc2ccccc2)c1 ZINC000487752589 1073576288 /nfs/dbraw/zinc/57/62/88/1073576288.db2.gz KXYLVAIQMOKEOG-UHFFFAOYSA-N 0 0 425.445 -0.685 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000487753871 1073576450 /nfs/dbraw/zinc/57/64/50/1073576450.db2.gz FYYAPMQDBFUTSQ-BLLLJJGKSA-N 0 0 428.463 -0.085 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000487753886 1073576200 /nfs/dbraw/zinc/57/62/00/1073576200.db2.gz FYYAPMQDBFUTSQ-LRDDRELGSA-N 0 0 428.463 -0.085 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@H]1CCC[C@@]13NC(=O)NC3=O)OCO2 ZINC000487756898 1073576903 /nfs/dbraw/zinc/57/69/03/1073576903.db2.gz GEMLMSMSKVOENW-AYLIAGHASA-N 0 0 432.389 -0.365 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@H]1CCC[C@]13NC(=O)NC3=O)OCO2 ZINC000487756900 1073576915 /nfs/dbraw/zinc/57/69/15/1073576915.db2.gz GEMLMSMSKVOENW-HOGDKLEQSA-N 0 0 432.389 -0.365 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@@H]1CCC[C@]13NC(=O)NC3=O)OCO2 ZINC000487756901 1073576967 /nfs/dbraw/zinc/57/69/67/1073576967.db2.gz GEMLMSMSKVOENW-UGZDLDLSSA-N 0 0 432.389 -0.365 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@@H]1CCC[C@@]13NC(=O)NC3=O)OCO2 ZINC000487756902 1073576891 /nfs/dbraw/zinc/57/68/91/1073576891.db2.gz GEMLMSMSKVOENW-ZRNGKTOUSA-N 0 0 432.389 -0.365 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)cn1 ZINC000487757099 1073576808 /nfs/dbraw/zinc/57/68/08/1073576808.db2.gz DOYPJMVCDSMUEJ-UHFFFAOYSA-N 0 0 447.404 -0.262 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)OCO2 ZINC000487764953 1073576664 /nfs/dbraw/zinc/57/66/64/1073576664.db2.gz XSLOLEMZASNTGY-UHFFFAOYSA-N 0 0 443.416 -0.417 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)CCC(C)(C)C(=O)N2CC(OCC(=O)N(C)C)C2)C1 ZINC000487766136 1073576826 /nfs/dbraw/zinc/57/68/26/1073576826.db2.gz COAKNPMNNHSZNM-UHFFFAOYSA-N 0 0 440.541 -0.576 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(c3cnn(C)c3)C(=O)C1)OCO2 ZINC000487776588 1073576720 /nfs/dbraw/zinc/57/67/20/1073576720.db2.gz MHTRPLOYLGSFRW-UHFFFAOYSA-N 0 0 429.389 -0.251 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2 ZINC000487776612 1073576783 /nfs/dbraw/zinc/57/67/83/1073576783.db2.gz MLXLIIADEURIKP-JTQLQIEISA-N 0 0 427.435 -0.319 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2 ZINC000487776613 1073576878 /nfs/dbraw/zinc/57/68/78/1073576878.db2.gz MLXLIIADEURIKP-SNVBAGLBSA-N 0 0 427.435 -0.319 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O)OCO2 ZINC000487780183 1073576795 /nfs/dbraw/zinc/57/67/95/1073576795.db2.gz GEXYBWDXZWOUCT-CMPLNLGQSA-N 0 0 441.462 -0.026 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O)OCO2 ZINC000487780184 1073576926 /nfs/dbraw/zinc/57/69/26/1073576926.db2.gz GEXYBWDXZWOUCT-JQWIXIFHSA-N 0 0 441.462 -0.026 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O)OCO2 ZINC000487780185 1073577463 /nfs/dbraw/zinc/57/74/63/1073577463.db2.gz GEXYBWDXZWOUCT-PWSUYJOCSA-N 0 0 441.462 -0.026 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O)OCO2 ZINC000487780187 1073577200 /nfs/dbraw/zinc/57/72/00/1073577200.db2.gz GEXYBWDXZWOUCT-ZYHUDNBSSA-N 0 0 441.462 -0.026 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N[C@@H]1CCN(c3cnn(C)c3)C1=O)OCO2 ZINC000487784551 1073576259 /nfs/dbraw/zinc/57/62/59/1073576259.db2.gz MUACBPGPZMEANR-GFCCVEGCSA-N 0 0 429.389 -0.204 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N[C@H]1CCN(c3cnn(C)c3)C1=O)OCO2 ZINC000487784552 1073576275 /nfs/dbraw/zinc/57/62/75/1073576275.db2.gz MUACBPGPZMEANR-LBPRGKRZSA-N 0 0 429.389 -0.204 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CC3)CC1)OCO2 ZINC000487785886 1073576327 /nfs/dbraw/zinc/57/63/27/1073576327.db2.gz OTPHQDSTHVBLSU-GFCCVEGCSA-N 0 0 446.460 -0.048 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CC3)CC1)OCO2 ZINC000487785887 1073576311 /nfs/dbraw/zinc/57/63/11/1073576311.db2.gz OTPHQDSTHVBLSU-LBPRGKRZSA-N 0 0 446.460 -0.048 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC3(CC1)NC(=O)N(C)C3=O)OCO2 ZINC000487787457 1073576763 /nfs/dbraw/zinc/57/67/63/1073576763.db2.gz TWXNQVJPYOVTGK-UHFFFAOYSA-N 0 0 432.389 -0.317 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC(CS(N)(=O)=O)CC1)OCO2 ZINC000487787649 1073576692 /nfs/dbraw/zinc/57/66/92/1073576692.db2.gz UOLRNSGGYHHSDO-UHFFFAOYSA-N 0 0 427.435 -0.333 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)C1=O ZINC000487791078 1073576756 /nfs/dbraw/zinc/57/67/56/1073576756.db2.gz YBQNEFMTTDAVHI-LLVKDONJSA-N 0 0 435.389 -0.579 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)C1=O ZINC000487791079 1073576705 /nfs/dbraw/zinc/57/67/05/1073576705.db2.gz YBQNEFMTTDAVHI-NSHDSACASA-N 0 0 435.389 -0.579 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCO[C@H](C(=O)OC)C2)cc1 ZINC000487792640 1073577958 /nfs/dbraw/zinc/57/79/58/1073577958.db2.gz OCDJKOQCRKBZEI-KRWDZBQOSA-N 0 0 444.506 -0.016 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](C(=O)OC)C2)cc1 ZINC000487792641 1073577684 /nfs/dbraw/zinc/57/76/84/1073577684.db2.gz OCDJKOQCRKBZEI-QGZVFWFLSA-N 0 0 444.506 -0.016 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000487799977 1073577904 /nfs/dbraw/zinc/57/79/04/1073577904.db2.gz LFTSQCSLKBAXCV-LLVKDONJSA-N 0 0 442.446 -0.198 20 0 IBADRN COCCN(C(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2)[C@H]1CCS(=O)(=O)C1 ZINC000487799978 1073577875 /nfs/dbraw/zinc/57/78/75/1073577875.db2.gz LFTSQCSLKBAXCV-NSHDSACASA-N 0 0 442.446 -0.198 20 0 IBADRN CN(C)C(=O)COC[C@@H]1CN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC(C)(C)O1 ZINC000487802852 1073577708 /nfs/dbraw/zinc/57/77/08/1073577708.db2.gz UOQDNEZRUASWPX-AWEZNQCLSA-N 0 0 430.527 -0.674 20 0 IBADRN CN(C)C(=O)COC[C@H]1CN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)CC(C)(C)O1 ZINC000487802856 1073577889 /nfs/dbraw/zinc/57/78/89/1073577889.db2.gz UOQDNEZRUASWPX-CQSZACIVSA-N 0 0 430.527 -0.674 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1)OCO2 ZINC000487805652 1073577298 /nfs/dbraw/zinc/57/72/98/1073577298.db2.gz RAVNYAWGGAEPCT-JTQLQIEISA-N 0 0 428.419 -0.852 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1)OCO2 ZINC000487805658 1073577332 /nfs/dbraw/zinc/57/73/32/1073577332.db2.gz RAVNYAWGGAEPCT-SNVBAGLBSA-N 0 0 428.419 -0.852 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)OCO2 ZINC000487806569 1073577425 /nfs/dbraw/zinc/57/74/25/1073577425.db2.gz TYAGYTUODDJDCB-JTQLQIEISA-N 0 0 427.435 -0.462 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)OCO2 ZINC000487806576 1073577382 /nfs/dbraw/zinc/57/73/82/1073577382.db2.gz TYAGYTUODDJDCB-SNVBAGLBSA-N 0 0 427.435 -0.462 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)C1 ZINC000487806788 1073577231 /nfs/dbraw/zinc/57/72/31/1073577231.db2.gz YZOJJTLKGVYVDT-JTQLQIEISA-N 0 0 427.435 -0.319 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)C1 ZINC000487806789 1073577317 /nfs/dbraw/zinc/57/73/17/1073577317.db2.gz YZOJJTLKGVYVDT-SNVBAGLBSA-N 0 0 427.435 -0.319 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)C3CCC3)cc2)CC1 ZINC000487807265 1073577246 /nfs/dbraw/zinc/57/72/46/1073577246.db2.gz UMMJBAGJIYHWQF-UHFFFAOYSA-N 0 0 425.449 -0.035 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)OCO2 ZINC000487808557 1073577472 /nfs/dbraw/zinc/57/74/72/1073577472.db2.gz VFLMJRTVPGGQNJ-UHFFFAOYSA-N 0 0 434.449 -0.237 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC(C(=O)NCCCO)CC1)OCO2 ZINC000487808775 1073577406 /nfs/dbraw/zinc/57/74/06/1073577406.db2.gz VLXLRRJQTVQHKF-UHFFFAOYSA-N 0 0 435.433 -0.122 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1)OCO2 ZINC000487809907 1073577271 /nfs/dbraw/zinc/57/72/71/1073577271.db2.gz XSDOFLAPRDVDKZ-UHFFFAOYSA-N 0 0 434.449 -0.191 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000487815395 1073577346 /nfs/dbraw/zinc/57/73/46/1073577346.db2.gz CJRUNPRZMGCROC-AWEZNQCLSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000487815396 1073577215 /nfs/dbraw/zinc/57/72/15/1073577215.db2.gz CJRUNPRZMGCROC-CQSZACIVSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3cc4c(cc3C(=O)OC)OCO4)C2)nn1 ZINC000487815648 1073577441 /nfs/dbraw/zinc/57/74/41/1073577441.db2.gz DYHHSUSILKIOFH-JTQLQIEISA-N 0 0 445.388 -0.008 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3cc4c(cc3C(=O)OC)OCO4)C2)nn1 ZINC000487815650 1073577492 /nfs/dbraw/zinc/57/74/92/1073577492.db2.gz DYHHSUSILKIOFH-SNVBAGLBSA-N 0 0 445.388 -0.008 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(Cc3nnc(C)[nH]3)CC1)OCO2 ZINC000487816271 1073577732 /nfs/dbraw/zinc/57/77/32/1073577732.db2.gz GBEGDTDKSQMXKC-UHFFFAOYSA-N 0 0 430.421 -0.089 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)OCO2 ZINC000487816978 1073577516 /nfs/dbraw/zinc/57/75/16/1073577516.db2.gz GCRDFLGMSWPDNG-SNVBAGLBSA-N 0 0 427.435 -0.319 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NCC1CCN(S(C)(=O)=O)CC1)OCO2 ZINC000487818951 1073577786 /nfs/dbraw/zinc/57/77/86/1073577786.db2.gz IGIYLGRRIYSMEL-UHFFFAOYSA-N 0 0 441.462 -0.072 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)C1 ZINC000487819513 1073577970 /nfs/dbraw/zinc/57/79/70/1073577970.db2.gz JBOVVTOTSWJNJV-UHFFFAOYSA-N 0 0 427.435 -0.462 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000487821209 1073577840 /nfs/dbraw/zinc/57/78/40/1073577840.db2.gz MAQSEIOCMUHXFY-AWEZNQCLSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000487821212 1073577801 /nfs/dbraw/zinc/57/78/01/1073577801.db2.gz MAQSEIOCMUHXFY-CQSZACIVSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC([C@]3(C)NC(=O)NC3=O)CC1)OCO2 ZINC000487823598 1073577947 /nfs/dbraw/zinc/57/79/47/1073577947.db2.gz OZXKPNGZUXDVKJ-FQEVSTJZSA-N 0 0 446.416 -0.023 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC([C@@]3(C)NC(=O)NC3=O)CC1)OCO2 ZINC000487823600 1073577932 /nfs/dbraw/zinc/57/79/32/1073577932.db2.gz OZXKPNGZUXDVKJ-HXUWFJFHSA-N 0 0 446.416 -0.023 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(c3nc(N)ns3)CC1)OCO2 ZINC000487825560 1073577919 /nfs/dbraw/zinc/57/79/19/1073577919.db2.gz UCESFWVAFHVRBQ-UHFFFAOYSA-N 0 0 434.434 -0.077 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(CC(=O)N3CCCC3)CC1)OCO2 ZINC000487832043 1073577720 /nfs/dbraw/zinc/57/77/20/1073577720.db2.gz GBVIYFLPVSZSOR-UHFFFAOYSA-N 0 0 446.460 -0.093 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1)OCO2 ZINC000487832945 1073577505 /nfs/dbraw/zinc/57/75/05/1073577505.db2.gz IFQUXTWWJJORLR-JTQLQIEISA-N 0 0 427.435 -0.367 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC000487834898 1073578416 /nfs/dbraw/zinc/57/84/16/1073578416.db2.gz KQAGZAOXAKYPMJ-CYBMUJFWSA-N 0 0 427.479 -0.425 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC000487834899 1073578499 /nfs/dbraw/zinc/57/84/99/1073578499.db2.gz KQAGZAOXAKYPMJ-ZDUSSCGKSA-N 0 0 427.479 -0.425 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)OCO2 ZINC000487835831 1073578298 /nfs/dbraw/zinc/57/82/98/1073578298.db2.gz KECQXUGYLBQMTB-JTQLQIEISA-N 0 0 427.435 -0.319 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)OCO2 ZINC000487835834 1073578199 /nfs/dbraw/zinc/57/81/99/1073578199.db2.gz KECQXUGYLBQMTB-SNVBAGLBSA-N 0 0 427.435 -0.319 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1)OCO2 ZINC000487837203 1073578341 /nfs/dbraw/zinc/57/83/41/1073578341.db2.gz ABLOQTCIEFIOQB-UHFFFAOYSA-N 0 0 427.435 -0.366 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc4c(cc3C(=O)OC)OCO4)C2)nn1 ZINC000487840727 1073578285 /nfs/dbraw/zinc/57/82/85/1073578285.db2.gz OPVUWDLNHKFXSZ-UHFFFAOYSA-N 0 0 431.361 -0.398 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2c(C)nn(C)c2C)CC1 ZINC000487843354 1073577766 /nfs/dbraw/zinc/57/77/66/1073577766.db2.gz HYVYKKMRHLHWLD-RHSMWYFYSA-N 0 0 426.543 -0.014 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000487846323 1073578358 /nfs/dbraw/zinc/57/83/58/1073578358.db2.gz YEXOHQHDPGVLNY-KLHDSHLOSA-N 0 0 430.523 -0.113 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000487846328 1073577982 /nfs/dbraw/zinc/57/79/82/1073577982.db2.gz YEXOHQHDPGVLNY-LVQVYYBASA-N 0 0 430.523 -0.113 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000487846330 1073577827 /nfs/dbraw/zinc/57/78/27/1073577827.db2.gz YEXOHQHDPGVLNY-XUWVNRHRSA-N 0 0 430.523 -0.113 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000487846331 1073577695 /nfs/dbraw/zinc/57/76/95/1073577695.db2.gz YEXOHQHDPGVLNY-ZJIFWQFVSA-N 0 0 430.523 -0.113 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)OCO2 ZINC000487846397 1073578273 /nfs/dbraw/zinc/57/82/73/1073578273.db2.gz KQDHMQLTJBHPPO-UHFFFAOYSA-N 0 0 446.416 -0.910 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)CC1 ZINC000487849539 1073578324 /nfs/dbraw/zinc/57/83/24/1073578324.db2.gz ZHRZFFKWFVZFNZ-UHFFFAOYSA-N 0 0 427.435 -0.366 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1)OCO2 ZINC000487849719 1073578226 /nfs/dbraw/zinc/57/82/26/1073578226.db2.gz ZOOSXALLLXSZMK-UHFFFAOYSA-N 0 0 434.449 -0.191 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1)OCO2 ZINC000487858075 1073578456 /nfs/dbraw/zinc/57/84/56/1073578456.db2.gz JERZDULDTJZEDC-UHFFFAOYSA-N 0 0 448.432 -0.664 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC1CCN(S(C)(=O)=O)CC1)OCO2 ZINC000487863769 1073578474 /nfs/dbraw/zinc/57/84/74/1073578474.db2.gz XFAQZIVWBPTTDF-UHFFFAOYSA-N 0 0 427.435 -0.319 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCO1 ZINC000487870076 1073578372 /nfs/dbraw/zinc/57/83/72/1073578372.db2.gz FSAKQDFISCCSOM-GFCCVEGCSA-N 0 0 433.508 -0.547 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCO1 ZINC000487870095 1073578391 /nfs/dbraw/zinc/57/83/91/1073578391.db2.gz FSAKQDFISCCSOM-LBPRGKRZSA-N 0 0 433.508 -0.547 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2 ZINC000487872354 1073578794 /nfs/dbraw/zinc/57/87/94/1073578794.db2.gz NADKFUHMNYCJSV-UHFFFAOYSA-N 0 0 429.451 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCO[C@@H](CC(=O)OC)C2)c1 ZINC000487872846 1073578900 /nfs/dbraw/zinc/57/89/00/1073578900.db2.gz KRLSGUKCFUOFGU-AWEZNQCLSA-N 0 0 429.495 -0.331 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCO[C@H](CC(=O)OC)C2)c1 ZINC000487872847 1073578868 /nfs/dbraw/zinc/57/88/68/1073578868.db2.gz KRLSGUKCFUOFGU-CQSZACIVSA-N 0 0 429.495 -0.331 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000487876982 1073578310 /nfs/dbraw/zinc/57/83/10/1073578310.db2.gz NYEGQIWQVDITTE-GXTWGEPZSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000487877009 1073578935 /nfs/dbraw/zinc/57/89/35/1073578935.db2.gz NYEGQIWQVDITTE-JSGCOSHPSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000487877014 1073578910 /nfs/dbraw/zinc/57/89/10/1073578910.db2.gz NYEGQIWQVDITTE-OCCSQVGLSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000487877019 1073578925 /nfs/dbraw/zinc/57/89/25/1073578925.db2.gz NYEGQIWQVDITTE-TZMCWYRMSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(CC(=O)NC3CC3)CC1)OCO2 ZINC000487881194 1073578405 /nfs/dbraw/zinc/57/84/05/1073578405.db2.gz ZLSRFMKEMNJGEQ-UHFFFAOYSA-N 0 0 432.433 -0.437 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)OCO2 ZINC000487887352 1073578821 /nfs/dbraw/zinc/57/88/21/1073578821.db2.gz ODPJCHJQOBVXEL-LLVKDONJSA-N 0 0 441.462 -0.072 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)OCO2 ZINC000487887353 1073578753 /nfs/dbraw/zinc/57/87/53/1073578753.db2.gz ODPJCHJQOBVXEL-NSHDSACASA-N 0 0 441.462 -0.072 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)[C@@H]3CCCO3)CC1)OCO2 ZINC000487890885 1073578766 /nfs/dbraw/zinc/57/87/66/1073578766.db2.gz VQQLAPNMTFCOAB-AWEZNQCLSA-N 0 0 433.417 -0.010 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)[C@H]3CCCO3)CC1)OCO2 ZINC000487890889 1073578702 /nfs/dbraw/zinc/57/87/02/1073578702.db2.gz VQQLAPNMTFCOAB-CQSZACIVSA-N 0 0 433.417 -0.010 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2 ZINC000487892851 1073578947 /nfs/dbraw/zinc/57/89/47/1073578947.db2.gz HBXXOJHMLDTGAF-UHFFFAOYSA-N 0 0 429.451 -0.072 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NCCS(=O)(=O)NCC1CCC1)OCO2 ZINC000487893533 1073578779 /nfs/dbraw/zinc/57/87/79/1073578779.db2.gz VTOSCJIZKAZOIA-UHFFFAOYSA-N 0 0 441.462 -0.024 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cc2c(cc1C(=O)OC)OCO2)S(C)(=O)=O ZINC000487896377 1073579296 /nfs/dbraw/zinc/57/92/96/1073579296.db2.gz KGFURVRVNSJUOL-UHFFFAOYSA-N 0 0 429.451 -0.072 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)N2CCN(CC(=O)NCCOC)CC2)cn1 ZINC000487898364 1073578835 /nfs/dbraw/zinc/57/88/35/1073578835.db2.gz OSOGVCLQGOJECJ-APWZRJJASA-N 0 0 435.529 -0.688 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1)OCO2 ZINC000487902479 1073578851 /nfs/dbraw/zinc/57/88/51/1073578851.db2.gz YOFOAPNDWAFMIF-UHFFFAOYSA-N 0 0 448.432 -0.663 20 0 IBADRN COC[C@@](C)(CC(=O)OC)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000487907860 1073579309 /nfs/dbraw/zinc/57/93/09/1073579309.db2.gz IUCYXEVQVVYLDX-KPZWWZAWSA-N 0 0 427.479 -0.229 20 0 IBADRN COC[C@@](C)(CC(=O)OC)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000487907861 1073579390 /nfs/dbraw/zinc/57/93/90/1073579390.db2.gz IUCYXEVQVVYLDX-KZULUSFZSA-N 0 0 427.479 -0.229 20 0 IBADRN COC[C@](C)(CC(=O)OC)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000487907863 1073579353 /nfs/dbraw/zinc/57/93/53/1073579353.db2.gz IUCYXEVQVVYLDX-SGTLLEGYSA-N 0 0 427.479 -0.229 20 0 IBADRN COC[C@](C)(CC(=O)OC)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000487907864 1073579372 /nfs/dbraw/zinc/57/93/72/1073579372.db2.gz IUCYXEVQVVYLDX-XIKOKIGWSA-N 0 0 427.479 -0.229 20 0 IBADRN CCC1(CC)C(=O)N(C)C(=O)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000487907875 1073579382 /nfs/dbraw/zinc/57/93/82/1073579382.db2.gz IVVZAJOJJYPNPS-AWEZNQCLSA-N 0 0 442.538 -0.455 20 0 IBADRN CCC1(CC)C(=O)N(C)C(=O)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000487907877 1073579257 /nfs/dbraw/zinc/57/92/57/1073579257.db2.gz IVVZAJOJJYPNPS-CQSZACIVSA-N 0 0 442.538 -0.455 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC4(CCOCC4)[C@@H]3C3CC3)cnc2n(C)c1=O ZINC000487914047 1073579208 /nfs/dbraw/zinc/57/92/08/1073579208.db2.gz LOFXJDLFYHNPSD-HNNXBMFYSA-N 0 0 427.461 -0.012 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC4(CCOCC4)[C@H]3C3CC3)cnc2n(C)c1=O ZINC000487914748 1073579322 /nfs/dbraw/zinc/57/93/22/1073579322.db2.gz LOFXJDLFYHNPSD-OAHLLOKOSA-N 0 0 427.461 -0.012 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)c3ccnc4c(C(N)=O)cnn43)cn2)C[C@H](C)O1 ZINC000487921054 1073579271 /nfs/dbraw/zinc/57/92/71/1073579271.db2.gz UQGGVXXXOPWXQU-RYUDHWBXSA-N 0 0 426.437 -0.087 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)c3ccnc4c(C(N)=O)cnn43)cn2)C[C@H](C)O1 ZINC000487921055 1073579365 /nfs/dbraw/zinc/57/93/65/1073579365.db2.gz UQGGVXXXOPWXQU-TXEJJXNPSA-N 0 0 426.437 -0.087 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)c3ccnc4c(C(N)=O)cnn43)cn2)C[C@@H](C)O1 ZINC000487921056 1073579286 /nfs/dbraw/zinc/57/92/86/1073579286.db2.gz UQGGVXXXOPWXQU-VXGBXAGGSA-N 0 0 426.437 -0.087 20 0 IBADRN CCN1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@@H](C)C1=O ZINC000487922278 1073579232 /nfs/dbraw/zinc/57/92/32/1073579232.db2.gz TWYOFJUYWUGCTQ-INIZCTEOSA-N 0 0 438.554 -0.204 20 0 IBADRN CCN1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@H](C)C1=O ZINC000487922279 1073579401 /nfs/dbraw/zinc/57/94/01/1073579401.db2.gz TWYOFJUYWUGCTQ-MRXNPFEDSA-N 0 0 438.554 -0.204 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000487927647 1073579165 /nfs/dbraw/zinc/57/91/65/1073579165.db2.gz GFSQWWXXTVJZDX-UHFFFAOYSA-N 0 0 446.551 -0.602 20 0 IBADRN O=C(CCNC(=O)N(CCO)CCN1CCOCC1)N1CCN(c2ccccn2)CC1 ZINC000487942615 1073364966 /nfs/dbraw/zinc/36/49/66/1073364966.db2.gz GWOXUXJCPSUYMG-UHFFFAOYSA-N 0 0 434.541 -0.544 20 0 IBADRN COC[C@@](C)(CC(=O)OC)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000487943059 1073579918 /nfs/dbraw/zinc/57/99/18/1073579918.db2.gz IDNAIEFTAGORDL-GOSISDBHSA-N 0 0 427.479 -0.495 20 0 IBADRN COC[C@](C)(CC(=O)OC)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000487943060 1073579849 /nfs/dbraw/zinc/57/98/49/1073579849.db2.gz IDNAIEFTAGORDL-SFHVURJKSA-N 0 0 427.479 -0.495 20 0 IBADRN O=C(CN1CC[C@@H]2[C@H](CCC(=O)N2C2CC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000487945558 1073579654 /nfs/dbraw/zinc/57/96/54/1073579654.db2.gz LGVCRXINWSLVTR-BHIYHBOVSA-N 0 0 438.594 -0.207 20 0 IBADRN O=C(CN1CC[C@@H]2[C@H](CCC(=O)N2C2CC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000487945569 1073579794 /nfs/dbraw/zinc/57/97/94/1073579794.db2.gz LGVCRXINWSLVTR-NZSAHSFTSA-N 0 0 438.594 -0.207 20 0 IBADRN O=C(CN1CC[C@@H]2[C@@H](CCC(=O)N2C2CC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000487945580 1073579987 /nfs/dbraw/zinc/57/99/87/1073579987.db2.gz LGVCRXINWSLVTR-QXAKKESOSA-N 0 0 438.594 -0.207 20 0 IBADRN O=C(CN1CC[C@@H]2[C@@H](CCC(=O)N2C2CC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000487945588 1073579621 /nfs/dbraw/zinc/57/96/21/1073579621.db2.gz LGVCRXINWSLVTR-YTQUADARSA-N 0 0 438.594 -0.207 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000487947233 1073579863 /nfs/dbraw/zinc/57/98/63/1073579863.db2.gz LANXVRQBMWKBGZ-UHFFFAOYSA-N 0 0 437.566 -0.452 20 0 IBADRN COC[C@@](C)(CC(=O)OC)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000487960134 1073579903 /nfs/dbraw/zinc/57/99/03/1073579903.db2.gz LLQVIRWHQDHWIZ-GOSISDBHSA-N 0 0 427.479 -0.495 20 0 IBADRN COC[C@](C)(CC(=O)OC)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000487960136 1073579732 /nfs/dbraw/zinc/57/97/32/1073579732.db2.gz LLQVIRWHQDHWIZ-SFHVURJKSA-N 0 0 427.479 -0.495 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487960138 1073579835 /nfs/dbraw/zinc/57/98/35/1073579835.db2.gz LLRQZMAIEIPGPJ-AWEZNQCLSA-N 0 0 445.542 -0.092 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000487960145 1073579881 /nfs/dbraw/zinc/57/98/81/1073579881.db2.gz LLRQZMAIEIPGPJ-CQSZACIVSA-N 0 0 445.542 -0.092 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)N[C@@H](C)C(=O)N2CCCCC2)C1 ZINC000487962807 1073579766 /nfs/dbraw/zinc/57/97/66/1073579766.db2.gz PLLCZHZSGWEAPP-DLBZAZTESA-N 0 0 432.587 -0.124 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)N[C@H](C)C(=O)N2CCCCC2)C1 ZINC000487962810 1073579890 /nfs/dbraw/zinc/57/98/90/1073579890.db2.gz PLLCZHZSGWEAPP-IAGOWNOFSA-N 0 0 432.587 -0.124 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)N[C@@H](C)C(=O)N2CCCCC2)C1 ZINC000487962811 1073579975 /nfs/dbraw/zinc/57/99/75/1073579975.db2.gz PLLCZHZSGWEAPP-IRXDYDNUSA-N 0 0 432.587 -0.124 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)N[C@H](C)C(=O)N2CCCCC2)C1 ZINC000487962812 1073579749 /nfs/dbraw/zinc/57/97/49/1073579749.db2.gz PLLCZHZSGWEAPP-SJORKVTESA-N 0 0 432.587 -0.124 20 0 IBADRN CO[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H]1OC ZINC000487965432 1073579931 /nfs/dbraw/zinc/57/99/31/1073579931.db2.gz SSGRGUWIFASAPN-HDICACEKSA-N 0 0 427.523 -0.331 20 0 IBADRN CN(C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@H]1CCN(c2ccccc2F)C1=O ZINC000487970174 1073579963 /nfs/dbraw/zinc/57/99/63/1073579963.db2.gz RFFQLFHOCBNUTJ-AWEZNQCLSA-N 0 0 428.424 -0.163 20 0 IBADRN CN(C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000487970175 1073579780 /nfs/dbraw/zinc/57/97/80/1073579780.db2.gz RFFQLFHOCBNUTJ-CQSZACIVSA-N 0 0 428.424 -0.163 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3nc4c(c(=O)[nH]c(=O)n4C)n3C)CC2)cs1 ZINC000487974226 1073579703 /nfs/dbraw/zinc/57/97/03/1073579703.db2.gz AHNAOCQAUYDIKG-UHFFFAOYSA-N 0 0 425.496 -0.352 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C1 ZINC000487976066 1073580434 /nfs/dbraw/zinc/58/04/34/1073580434.db2.gz TWVFEHXZKCTEIM-INIZCTEOSA-N 0 0 448.567 -0.355 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C1 ZINC000487976067 1073580372 /nfs/dbraw/zinc/58/03/72/1073580372.db2.gz TWVFEHXZKCTEIM-MRXNPFEDSA-N 0 0 448.567 -0.355 20 0 IBADRN COCCN(C(=O)CN1C[C@H](OC)[C@@H](OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000487991305 1073580294 /nfs/dbraw/zinc/58/02/94/1073580294.db2.gz SQVZDJODLWCPAI-KBPBESRZSA-N 0 0 427.502 -0.488 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](OC)[C@@H](OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000487991313 1073580514 /nfs/dbraw/zinc/58/05/14/1073580514.db2.gz SQVZDJODLWCPAI-OKILXGFUSA-N 0 0 427.502 -0.488 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](OC)[C@H](OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000487991318 1073580338 /nfs/dbraw/zinc/58/03/38/1073580338.db2.gz SQVZDJODLWCPAI-ZIAGYGMSSA-N 0 0 427.502 -0.488 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)C1 ZINC000487991811 1073580218 /nfs/dbraw/zinc/58/02/18/1073580218.db2.gz TYBUWHCFECILTC-KRWDZBQOSA-N 0 0 430.571 -0.560 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)C1 ZINC000487991812 1073580547 /nfs/dbraw/zinc/58/05/47/1073580547.db2.gz TYBUWHCFECILTC-QGZVFWFLSA-N 0 0 430.571 -0.560 20 0 IBADRN COC(=O)CC1(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCOCC1 ZINC000487994553 1073580997 /nfs/dbraw/zinc/58/09/97/1073580997.db2.gz YTRFKDPPSRBOKQ-CYBMUJFWSA-N 0 0 439.490 -0.085 20 0 IBADRN COC(=O)CC1(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCOCC1 ZINC000487994554 1073580871 /nfs/dbraw/zinc/58/08/71/1073580871.db2.gz YTRFKDPPSRBOKQ-ZDUSSCGKSA-N 0 0 439.490 -0.085 20 0 IBADRN CCN(C(=O)CN1CCC[C@H](S(=O)(=O)N(C)CCOC)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000487995892 1073580842 /nfs/dbraw/zinc/58/08/42/1073580842.db2.gz BFFZDVKCLBCJRD-CVEARBPZSA-N 0 0 439.600 -0.606 20 0 IBADRN CCN(C(=O)CN1CCC[C@H](S(=O)(=O)N(C)CCOC)C1)[C@H]1CCS(=O)(=O)C1 ZINC000487995893 1073581018 /nfs/dbraw/zinc/58/10/18/1073581018.db2.gz BFFZDVKCLBCJRD-HOTGVXAUSA-N 0 0 439.600 -0.606 20 0 IBADRN CCN(C(=O)CN1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000487995894 1073580899 /nfs/dbraw/zinc/58/08/99/1073580899.db2.gz BFFZDVKCLBCJRD-HZPDHXFCSA-N 0 0 439.600 -0.606 20 0 IBADRN CCN(C(=O)CN1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1)[C@H]1CCS(=O)(=O)C1 ZINC000487995895 1073581008 /nfs/dbraw/zinc/58/10/08/1073581008.db2.gz BFFZDVKCLBCJRD-JKSUJKDBSA-N 0 0 439.600 -0.606 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)c(=O)[nH]c1=O ZINC000487999318 1073581050 /nfs/dbraw/zinc/58/10/50/1073581050.db2.gz MBKXTJFVKYOZKM-GFCCVEGCSA-N 0 0 431.515 -0.894 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)c(=O)[nH]c1=O ZINC000487999319 1073580913 /nfs/dbraw/zinc/58/09/13/1073580913.db2.gz MBKXTJFVKYOZKM-LBPRGKRZSA-N 0 0 431.515 -0.894 20 0 IBADRN COC(=O)CC1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOCC1 ZINC000487999468 1073580450 /nfs/dbraw/zinc/58/04/50/1073580450.db2.gz AQLRIDLHJCGRLS-UHFFFAOYSA-N 0 0 439.490 -0.351 20 0 IBADRN CO[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C[C@@H]1OC ZINC000488000272 1073580385 /nfs/dbraw/zinc/58/03/85/1073580385.db2.gz PCFKIWVGLQCDOK-CALCHBBNSA-N 0 0 427.523 -0.331 20 0 IBADRN COc1cc(CN2CCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)cc(OC)c1OC ZINC000488001839 1073580586 /nfs/dbraw/zinc/58/05/86/1073580586.db2.gz LQYPZJVTHFQNDL-UHFFFAOYSA-N 0 0 434.449 -0.223 20 0 IBADRN CO[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H]1OC ZINC000488003576 1073580604 /nfs/dbraw/zinc/58/06/04/1073580604.db2.gz SSGRGUWIFASAPN-QZTJIDSGSA-N 0 0 427.523 -0.331 20 0 IBADRN CO[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H]1OC ZINC000488003577 1073580266 /nfs/dbraw/zinc/58/02/66/1073580266.db2.gz SSGRGUWIFASAPN-ROUUACIJSA-N 0 0 427.523 -0.331 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN([C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000488005803 1073580253 /nfs/dbraw/zinc/58/02/53/1073580253.db2.gz DGBIKKICXAQZEL-ARFHVFGLSA-N 0 0 439.600 -0.607 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN([C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000488005808 1073580365 /nfs/dbraw/zinc/58/03/65/1073580365.db2.gz DGBIKKICXAQZEL-BZUAXINKSA-N 0 0 439.600 -0.607 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN([C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)C1 ZINC000488005811 1073580493 /nfs/dbraw/zinc/58/04/93/1073580493.db2.gz DGBIKKICXAQZEL-HRCADAONSA-N 0 0 439.600 -0.607 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN([C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)C1 ZINC000488005813 1073580503 /nfs/dbraw/zinc/58/05/03/1073580503.db2.gz DGBIKKICXAQZEL-OWCLPIDISA-N 0 0 439.600 -0.607 20 0 IBADRN CN(C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H]1CCN(c2ccccc2F)C1=O ZINC000488011480 1073580855 /nfs/dbraw/zinc/58/08/55/1073580855.db2.gz YFBXITPBQXSVLU-AWEZNQCLSA-N 0 0 428.424 -0.163 20 0 IBADRN CN(C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000488011481 1073580960 /nfs/dbraw/zinc/58/09/60/1073580960.db2.gz YFBXITPBQXSVLU-CQSZACIVSA-N 0 0 428.424 -0.163 20 0 IBADRN CO[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C[C@H]1OC ZINC000488017929 1073581108 /nfs/dbraw/zinc/58/11/08/1073581108.db2.gz PCFKIWVGLQCDOK-IAGOWNOFSA-N 0 0 427.523 -0.331 20 0 IBADRN CO[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C[C@@H]1OC ZINC000488017930 1073581075 /nfs/dbraw/zinc/58/10/75/1073581075.db2.gz PCFKIWVGLQCDOK-IRXDYDNUSA-N 0 0 427.523 -0.331 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](OC)[C@@H](OC)C3)CC2)cc1 ZINC000488021722 1073580967 /nfs/dbraw/zinc/58/09/67/1073580967.db2.gz FHBJYXKFZGRRFA-HDICACEKSA-N 0 0 427.523 -0.126 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H](OC)[C@H](OC)C3)CC2)cc1 ZINC000488021723 1073580882 /nfs/dbraw/zinc/58/08/82/1073580882.db2.gz FHBJYXKFZGRRFA-QZTJIDSGSA-N 0 0 427.523 -0.126 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](OC)[C@@H](OC)C3)CC2)cc1 ZINC000488021724 1073581029 /nfs/dbraw/zinc/58/10/29/1073581029.db2.gz FHBJYXKFZGRRFA-ROUUACIJSA-N 0 0 427.523 -0.126 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)NCC(C)(C)N2CCOCC2)C1 ZINC000488024923 1073581164 /nfs/dbraw/zinc/58/11/64/1073581164.db2.gz LBGKGINNEOXXHP-KRWDZBQOSA-N 0 0 434.603 -0.414 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)NCC(C)(C)N2CCOCC2)C1 ZINC000488024928 1073581546 /nfs/dbraw/zinc/58/15/46/1073581546.db2.gz LBGKGINNEOXXHP-QGZVFWFLSA-N 0 0 434.603 -0.414 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC000488034223 1073581521 /nfs/dbraw/zinc/58/15/21/1073581521.db2.gz LEDQVGJGPSESFO-INIZCTEOSA-N 0 0 448.567 -0.355 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC000488034228 1073581668 /nfs/dbraw/zinc/58/16/68/1073581668.db2.gz LEDQVGJGPSESFO-MRXNPFEDSA-N 0 0 448.567 -0.355 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000488042383 1073581750 /nfs/dbraw/zinc/58/17/50/1073581750.db2.gz AYKZJKVVBMMEEW-UHFFFAOYSA-N 0 0 445.476 -0.112 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000488051386 1073581722 /nfs/dbraw/zinc/58/17/22/1073581722.db2.gz UTUAEJMVYBZLHH-UONOGXRCSA-N 0 0 445.432 -0.498 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000488059369 1073581654 /nfs/dbraw/zinc/58/16/54/1073581654.db2.gz UZAPCRDZLWSLAK-UHFFFAOYSA-N 0 0 445.480 -0.443 20 0 IBADRN COc1cc(CN2CCN(C(=O)Cn3ccc(=O)n(C)c3=O)CC2)cc(OC)c1OC ZINC000488069005 1073581637 /nfs/dbraw/zinc/58/16/37/1073581637.db2.gz TUDZCLHXFYSPDO-UHFFFAOYSA-N 0 0 432.477 -0.083 20 0 IBADRN COC(=O)CC1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCOCC1 ZINC000488087424 1073581998 /nfs/dbraw/zinc/58/19/98/1073581998.db2.gz GPZQMYRMWUVYBN-UHFFFAOYSA-N 0 0 439.490 -0.351 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCC[C@H](S(=O)(=O)N(C)CCOC)C1)c1ccccc1 ZINC000488094373 1073582214 /nfs/dbraw/zinc/58/22/14/1073582214.db2.gz QBFSVZDFELIIMY-HKUYNNGSSA-N 0 0 440.566 -0.038 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1)c1ccccc1 ZINC000488094374 1073582038 /nfs/dbraw/zinc/58/20/38/1073582038.db2.gz QBFSVZDFELIIMY-IEBWSBKVSA-N 0 0 440.566 -0.038 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1)c1ccccc1 ZINC000488094375 1073582062 /nfs/dbraw/zinc/58/20/62/1073582062.db2.gz QBFSVZDFELIIMY-MJGOQNOKSA-N 0 0 440.566 -0.038 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCC[C@H](S(=O)(=O)N(C)CCOC)C1)c1ccccc1 ZINC000488094376 1073582092 /nfs/dbraw/zinc/58/20/92/1073582092.db2.gz QBFSVZDFELIIMY-PKOBYXMFSA-N 0 0 440.566 -0.038 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)c(=O)[nH]c1=O ZINC000488101239 1073582224 /nfs/dbraw/zinc/58/22/24/1073582224.db2.gz FTJUWOQYHGNRJS-CYBMUJFWSA-N 0 0 445.542 -0.504 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)c(=O)[nH]c1=O ZINC000488101240 1073582124 /nfs/dbraw/zinc/58/21/24/1073582124.db2.gz FTJUWOQYHGNRJS-ZDUSSCGKSA-N 0 0 445.542 -0.504 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN([C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000488112101 1073582170 /nfs/dbraw/zinc/58/21/70/1073582170.db2.gz SGHAEZAIXRSCMS-QLFBSQMISA-N 0 0 425.573 -0.949 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN([C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000488112106 1073582111 /nfs/dbraw/zinc/58/21/11/1073582111.db2.gz SGHAEZAIXRSCMS-RBSFLKMASA-N 0 0 425.573 -0.949 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN([C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000488112108 1073582104 /nfs/dbraw/zinc/58/21/04/1073582104.db2.gz SGHAEZAIXRSCMS-RRFJBIMHSA-N 0 0 425.573 -0.949 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN([C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000488112114 1073582016 /nfs/dbraw/zinc/58/20/16/1073582016.db2.gz SGHAEZAIXRSCMS-SOUVJXGZSA-N 0 0 425.573 -0.949 20 0 IBADRN Cc1nc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)c(=O)[nH]c1C ZINC000488114221 1073582190 /nfs/dbraw/zinc/58/21/90/1073582190.db2.gz CDWXVFFTJGGNKP-UHFFFAOYSA-N 0 0 447.473 -0.136 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000488114346 1073582203 /nfs/dbraw/zinc/58/22/03/1073582203.db2.gz SFWPDBAVMWWAIC-UHFFFAOYSA-N 0 0 447.492 -0.402 20 0 IBADRN CC[C@@H](C)[C@@H]1CN(C(=O)CCn2ncn(C)c2=O)CCN1C(=O)CCn1ncn(C)c1=O ZINC000488148905 1073582605 /nfs/dbraw/zinc/58/26/05/1073582605.db2.gz UBZQICBNBWUNAF-CVEARBPZSA-N 0 0 448.528 -0.957 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)CCn2ncn(C)c2=O)CCN1C(=O)CCn1ncn(C)c1=O ZINC000488148906 1073582535 /nfs/dbraw/zinc/58/25/35/1073582535.db2.gz UBZQICBNBWUNAF-HOTGVXAUSA-N 0 0 448.528 -0.957 20 0 IBADRN CC[C@@H](C)[C@H]1CN(C(=O)CCn2ncn(C)c2=O)CCN1C(=O)CCn1ncn(C)c1=O ZINC000488148907 1073582595 /nfs/dbraw/zinc/58/25/95/1073582595.db2.gz UBZQICBNBWUNAF-HZPDHXFCSA-N 0 0 448.528 -0.957 20 0 IBADRN CC[C@H](C)[C@H]1CN(C(=O)CCn2ncn(C)c2=O)CCN1C(=O)CCn1ncn(C)c1=O ZINC000488148908 1073582528 /nfs/dbraw/zinc/58/25/28/1073582528.db2.gz UBZQICBNBWUNAF-JKSUJKDBSA-N 0 0 448.528 -0.957 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)CCNS(=O)(=O)c3cccnc3)cc21 ZINC000488150969 1073582653 /nfs/dbraw/zinc/58/26/53/1073582653.db2.gz VZNFPFSELVDYQI-UHFFFAOYSA-N 0 0 425.492 -0.045 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000488157443 1073582543 /nfs/dbraw/zinc/58/25/43/1073582543.db2.gz KDOBLJAWUXSARZ-HNNXBMFYSA-N 0 0 444.492 -0.089 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000488157444 1073582622 /nfs/dbraw/zinc/58/26/22/1073582622.db2.gz KDOBLJAWUXSARZ-OAHLLOKOSA-N 0 0 444.492 -0.089 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000488165300 1073583067 /nfs/dbraw/zinc/58/30/67/1073583067.db2.gz SDDUSMXBHIVBIM-NEPJUHHUSA-N 0 0 447.535 -0.045 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000488165301 1073582947 /nfs/dbraw/zinc/58/29/47/1073582947.db2.gz SDDUSMXBHIVBIM-NWDGAFQWSA-N 0 0 447.535 -0.045 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000488165302 1073582986 /nfs/dbraw/zinc/58/29/86/1073582986.db2.gz SDDUSMXBHIVBIM-RYUDHWBXSA-N 0 0 447.535 -0.045 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000488165303 1073583178 /nfs/dbraw/zinc/58/31/78/1073583178.db2.gz SDDUSMXBHIVBIM-VXGBXAGGSA-N 0 0 447.535 -0.045 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)cn1 ZINC000488165360 1073582677 /nfs/dbraw/zinc/58/26/77/1073582677.db2.gz LOQMTNXCMCGJKE-BRRNQIAESA-N 0 0 438.510 -0.618 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)cn1 ZINC000488165361 1073582504 /nfs/dbraw/zinc/58/25/04/1073582504.db2.gz LOQMTNXCMCGJKE-FZPQWHJUSA-N 0 0 438.510 -0.618 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)cn1 ZINC000488165362 1073582590 /nfs/dbraw/zinc/58/25/90/1073582590.db2.gz LOQMTNXCMCGJKE-GNDSEDMPSA-N 0 0 438.510 -0.618 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)cn1 ZINC000488165363 1073583090 /nfs/dbraw/zinc/58/30/90/1073583090.db2.gz LOQMTNXCMCGJKE-OSXTUODASA-N 0 0 438.510 -0.618 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN([C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000488167101 1073583077 /nfs/dbraw/zinc/58/30/77/1073583077.db2.gz JRNXYNHEQLFOGH-CVEARBPZSA-N 0 0 438.616 -0.337 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN([C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000488167102 1073583057 /nfs/dbraw/zinc/58/30/57/1073583057.db2.gz JRNXYNHEQLFOGH-HOTGVXAUSA-N 0 0 438.616 -0.337 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN([C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000488167103 1073582918 /nfs/dbraw/zinc/58/29/18/1073582918.db2.gz JRNXYNHEQLFOGH-HZPDHXFCSA-N 0 0 438.616 -0.337 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN([C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000488167104 1073583047 /nfs/dbraw/zinc/58/30/47/1073583047.db2.gz JRNXYNHEQLFOGH-JKSUJKDBSA-N 0 0 438.616 -0.337 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CC(=O)N(CC(F)(F)F)C2)CC1 ZINC000488167541 1073582998 /nfs/dbraw/zinc/58/29/98/1073582998.db2.gz VXXDASVAMBQGOV-UHFFFAOYSA-N 0 0 426.403 -0.324 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(CCNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000488170741 1073583103 /nfs/dbraw/zinc/58/31/03/1073583103.db2.gz WQIXUXOMWCZXFW-UHFFFAOYSA-N 0 0 436.604 -0.317 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000488188463 1073582960 /nfs/dbraw/zinc/58/29/60/1073582960.db2.gz WMKPUQSDAAGWGP-UHFFFAOYSA-N 0 0 426.543 -0.891 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)Cn3cc(Br)c(=O)[nH]c3=O)cc21 ZINC000488188765 1073583128 /nfs/dbraw/zinc/58/31/28/1073583128.db2.gz WZXYBOSLBISYQD-UHFFFAOYSA-N 0 0 444.267 -0.084 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3nnc4n3CCCC4)CC2)cc1 ZINC000488189049 1073583020 /nfs/dbraw/zinc/58/30/20/1073583020.db2.gz AGUCRKYVDWRYNF-UHFFFAOYSA-N 0 0 440.551 -0.232 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)c3ccc(S(N)(=O)=O)nc3)CC2)cc1 ZINC000488189355 1073583152 /nfs/dbraw/zinc/58/31/52/1073583152.db2.gz XUDUYTGTASJKKV-UHFFFAOYSA-N 0 0 447.517 -0.075 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1 ZINC000488191745 1073583033 /nfs/dbraw/zinc/58/30/33/1073583033.db2.gz WIFOSUABYYNXPH-UHFFFAOYSA-N 0 0 441.558 -0.555 20 0 IBADRN O=C(CN1CC(=O)N(CC(F)(F)F)C1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000488191910 1073582972 /nfs/dbraw/zinc/58/29/72/1073582972.db2.gz WNTDYSVTYCRSBD-CHWSQXEVSA-N 0 0 427.445 -0.155 20 0 IBADRN O=C(CN1CC(=O)N(CC(F)(F)F)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000488191911 1073583168 /nfs/dbraw/zinc/58/31/68/1073583168.db2.gz WNTDYSVTYCRSBD-OLZOCXBDSA-N 0 0 427.445 -0.155 20 0 IBADRN O=C(CN1CC(=O)N(CC(F)(F)F)C1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000488191912 1073583116 /nfs/dbraw/zinc/58/31/16/1073583116.db2.gz WNTDYSVTYCRSBD-QWHCGFSZSA-N 0 0 427.445 -0.155 20 0 IBADRN O=C(CN1CC(=O)N(CC(F)(F)F)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000488191913 1073582933 /nfs/dbraw/zinc/58/29/33/1073582933.db2.gz WNTDYSVTYCRSBD-STQMWFEESA-N 0 0 427.445 -0.155 20 0 IBADRN O=C(CNc1ccc(C(=O)N2CCOCC2)c(F)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000488196200 1073583534 /nfs/dbraw/zinc/58/35/34/1073583534.db2.gz IKVAEKGGPDLQQE-CYBMUJFWSA-N 0 0 442.469 -0.277 20 0 IBADRN O=C(CNc1ccc(C(=O)N2CCOCC2)c(F)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000488196201 1073583547 /nfs/dbraw/zinc/58/35/47/1073583547.db2.gz IKVAEKGGPDLQQE-ZDUSSCGKSA-N 0 0 442.469 -0.277 20 0 IBADRN CN(C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000488196685 1073583411 /nfs/dbraw/zinc/58/34/11/1073583411.db2.gz JINHTSQRRIYCHD-INIZCTEOSA-N 0 0 437.522 -0.566 20 0 IBADRN CN(C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000488196687 1073583645 /nfs/dbraw/zinc/58/36/45/1073583645.db2.gz JINHTSQRRIYCHD-MRXNPFEDSA-N 0 0 437.522 -0.566 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)C3CCN(c4ccc5nnnn5n4)CC3)cc21 ZINC000488196775 1073583487 /nfs/dbraw/zinc/58/34/87/1073583487.db2.gz HCBSJWKREIVKJN-UHFFFAOYSA-N 0 0 443.493 -0.060 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(CC(=O)c2c(N)n(CC)c(=O)[nH]c2=O)CC1 ZINC000488203515 1073583610 /nfs/dbraw/zinc/58/36/10/1073583610.db2.gz QBBSZXLPJBUSRC-UHFFFAOYSA-N 0 0 444.558 -0.282 20 0 IBADRN CN(C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000488205110 1073583677 /nfs/dbraw/zinc/58/36/77/1073583677.db2.gz TZMXOKDEFOKAHF-INIZCTEOSA-N 0 0 425.559 -0.336 20 0 IBADRN CN(C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000488205112 1073583576 /nfs/dbraw/zinc/58/35/76/1073583576.db2.gz TZMXOKDEFOKAHF-MRXNPFEDSA-N 0 0 425.559 -0.336 20 0 IBADRN CN(CC(=O)Nc1ccc2c(c1)N(S(N)(=O)=O)CC2)S(=O)(=O)c1cccnc1 ZINC000488207597 1073583388 /nfs/dbraw/zinc/58/33/88/1073583388.db2.gz XAULTFMZAINDMM-UHFFFAOYSA-N 0 0 425.492 -0.093 20 0 IBADRN Cn1cnn(CC(=O)NC[C@@H](O)COc2ccc(I)cc2)c1=O ZINC000488209878 1073583598 /nfs/dbraw/zinc/58/35/98/1073583598.db2.gz OHTVGQBJIFSSTR-LLVKDONJSA-N 0 0 432.218 -0.258 20 0 IBADRN Cn1cnn(CC(=O)NC[C@H](O)COc2ccc(I)cc2)c1=O ZINC000488209879 1073583655 /nfs/dbraw/zinc/58/36/55/1073583655.db2.gz OHTVGQBJIFSSTR-NSHDSACASA-N 0 0 432.218 -0.258 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)c2nnc(NC[C@H]3CCCO3)s2)CC1 ZINC000488219252 1073583425 /nfs/dbraw/zinc/58/34/25/1073583425.db2.gz NLFWETSTTGJNMF-DGCLKSJQSA-N 0 0 431.540 -0.018 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)c2nnc(NC[C@H]3CCCO3)s2)CC1 ZINC000488219253 1073583455 /nfs/dbraw/zinc/58/34/55/1073583455.db2.gz NLFWETSTTGJNMF-WCQYABFASA-N 0 0 431.540 -0.018 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)c2nnc(NC[C@@H]3CCCO3)s2)CC1 ZINC000488219254 1073583561 /nfs/dbraw/zinc/58/35/61/1073583561.db2.gz NLFWETSTTGJNMF-YPMHNXCESA-N 0 0 431.540 -0.018 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2CCCn2c(=O)c3c(ncn3C)n(C)c2=O)cn1 ZINC000488238101 1073583667 /nfs/dbraw/zinc/58/36/67/1073583667.db2.gz WDAXUDPNSVAMLA-DHMKHTPVSA-N 0 0 433.538 -0.154 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2CCCn2c(=O)c3c(ncn3C)n(C)c2=O)cn1 ZINC000488238103 1073583621 /nfs/dbraw/zinc/58/36/21/1073583621.db2.gz WDAXUDPNSVAMLA-FOGNVHKLSA-N 0 0 433.538 -0.154 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2CCCn2c(=O)c3c(ncn3C)n(C)c2=O)cn1 ZINC000488238105 1073583501 /nfs/dbraw/zinc/58/35/01/1073583501.db2.gz WDAXUDPNSVAMLA-INCQDFKNSA-N 0 0 433.538 -0.154 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2CCCn2c(=O)c3c(ncn3C)n(C)c2=O)cn1 ZINC000488238107 1073584154 /nfs/dbraw/zinc/58/41/54/1073584154.db2.gz WDAXUDPNSVAMLA-JGVYIQDASA-N 0 0 433.538 -0.154 20 0 IBADRN O=C(CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)N1CCN(c2cnccn2)CC1 ZINC000488243786 1073584106 /nfs/dbraw/zinc/58/41/06/1073584106.db2.gz AVTQWWJKJARLRZ-KRWDZBQOSA-N 0 0 438.554 -0.748 20 0 IBADRN O=C(CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)N1CCN(c2cnccn2)CC1 ZINC000488243787 1073583936 /nfs/dbraw/zinc/58/39/36/1073583936.db2.gz AVTQWWJKJARLRZ-QGZVFWFLSA-N 0 0 438.554 -0.748 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)cc21 ZINC000488246044 1073584049 /nfs/dbraw/zinc/58/40/49/1073584049.db2.gz DJYDBFMIORAYCV-GXTWGEPZSA-N 0 0 442.519 -0.773 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)cc21 ZINC000488246045 1073584141 /nfs/dbraw/zinc/58/41/41/1073584141.db2.gz DJYDBFMIORAYCV-JSGCOSHPSA-N 0 0 442.519 -0.773 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)cc21 ZINC000488246046 1073584035 /nfs/dbraw/zinc/58/40/35/1073584035.db2.gz DJYDBFMIORAYCV-OCCSQVGLSA-N 0 0 442.519 -0.773 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)cc21 ZINC000488246047 1073583992 /nfs/dbraw/zinc/58/39/92/1073583992.db2.gz DJYDBFMIORAYCV-TZMCWYRMSA-N 0 0 442.519 -0.773 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc4c(c3)N(S(N)(=O)=O)CC4)c2c(=O)n(C)c1=O ZINC000488248438 1073583890 /nfs/dbraw/zinc/58/38/90/1073583890.db2.gz IRYKYVSQBWYWRV-UHFFFAOYSA-N 0 0 447.477 -0.972 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000488254068 1073583905 /nfs/dbraw/zinc/58/39/05/1073583905.db2.gz UOXRKZHWQYXZMA-KBPBESRZSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000488254072 1073584008 /nfs/dbraw/zinc/58/40/08/1073584008.db2.gz UOXRKZHWQYXZMA-KGLIPLIRSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000488254075 1073583977 /nfs/dbraw/zinc/58/39/77/1073583977.db2.gz UOXRKZHWQYXZMA-UONOGXRCSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000488254078 1073584197 /nfs/dbraw/zinc/58/41/97/1073584197.db2.gz UOXRKZHWQYXZMA-ZIAGYGMSSA-N 0 0 441.506 -0.106 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3CC(=O)N3CCN(c4cnccn4)CC3)no2)cn1 ZINC000488258048 1073584170 /nfs/dbraw/zinc/58/41/70/1073584170.db2.gz CLOALDXTWDOSCK-INIZCTEOSA-N 0 0 439.480 -0.018 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3CC(=O)N3CCN(c4cnccn4)CC3)no2)cn1 ZINC000488258059 1073584082 /nfs/dbraw/zinc/58/40/82/1073584082.db2.gz CLOALDXTWDOSCK-MRXNPFEDSA-N 0 0 439.480 -0.018 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CCc1c(C)nc2c(C(N)=O)cnn2c1C ZINC000488265831 1073584442 /nfs/dbraw/zinc/58/44/42/1073584442.db2.gz RKKIPCVUWKDLIM-NEPJUHHUSA-N 0 0 432.481 -0.050 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CCc1c(C)nc2c(C(N)=O)cnn2c1C ZINC000488265838 1073584454 /nfs/dbraw/zinc/58/44/54/1073584454.db2.gz RKKIPCVUWKDLIM-NWDGAFQWSA-N 0 0 432.481 -0.050 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CCc1c(C)nc2c(C(N)=O)cnn2c1C ZINC000488265840 1073584415 /nfs/dbraw/zinc/58/44/15/1073584415.db2.gz RKKIPCVUWKDLIM-RYUDHWBXSA-N 0 0 432.481 -0.050 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CCc1c(C)nc2c(C(N)=O)cnn2c1C ZINC000488265842 1073584625 /nfs/dbraw/zinc/58/46/25/1073584625.db2.gz RKKIPCVUWKDLIM-VXGBXAGGSA-N 0 0 432.481 -0.050 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000488267940 1073584597 /nfs/dbraw/zinc/58/45/97/1073584597.db2.gz SOBGEQJEDQYWCL-NEPJUHHUSA-N 0 0 447.535 -0.045 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000488267941 1073584611 /nfs/dbraw/zinc/58/46/11/1073584611.db2.gz SOBGEQJEDQYWCL-NWDGAFQWSA-N 0 0 447.535 -0.045 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000488267942 1073584586 /nfs/dbraw/zinc/58/45/86/1073584586.db2.gz SOBGEQJEDQYWCL-RYUDHWBXSA-N 0 0 447.535 -0.045 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000488267943 1073584573 /nfs/dbraw/zinc/58/45/73/1073584573.db2.gz SOBGEQJEDQYWCL-VXGBXAGGSA-N 0 0 447.535 -0.045 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)CC3)CC2)c1 ZINC000488269334 1073584476 /nfs/dbraw/zinc/58/44/76/1073584476.db2.gz QHGXYXCCBCOIGH-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccnc4ccnn43)CC2)C[C@H](C)O1 ZINC000488273896 1073584389 /nfs/dbraw/zinc/58/43/89/1073584389.db2.gz RIUULUHZXQEXSR-GASCZTMLSA-N 0 0 437.526 -0.090 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccnc4ccnn43)CC2)C[C@H](C)O1 ZINC000488273897 1073584465 /nfs/dbraw/zinc/58/44/65/1073584465.db2.gz RIUULUHZXQEXSR-GJZGRUSLSA-N 0 0 437.526 -0.090 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccnc4ccnn43)CC2)C[C@@H](C)O1 ZINC000488273898 1073584376 /nfs/dbraw/zinc/58/43/76/1073584376.db2.gz RIUULUHZXQEXSR-HUUCEWRRSA-N 0 0 437.526 -0.090 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(NC[C@H]2CCCO2)s1)N1CCN(C(=O)C2CC2)CC1 ZINC000488275043 1073584429 /nfs/dbraw/zinc/58/44/29/1073584429.db2.gz WSAUSIKCAOSQRG-CYBMUJFWSA-N 0 0 443.551 -0.017 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)CC3)CC2)cc1OC ZINC000488279288 1073584943 /nfs/dbraw/zinc/58/49/43/1073584943.db2.gz JJVPHUBYMIKMKW-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN O=C(CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)NCC1CC1 ZINC000488287843 1073585041 /nfs/dbraw/zinc/58/50/41/1073585041.db2.gz JTBKLFUAOIJPFK-UHFFFAOYSA-N 0 0 438.506 0.000 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3ccnc4ccnn43)CC2)o1 ZINC000488290165 1073585056 /nfs/dbraw/zinc/58/50/56/1073585056.db2.gz MTRHWSPKZYMDJL-UHFFFAOYSA-N 0 0 433.450 -0.363 20 0 IBADRN C[C@H]1COC(C)(C)CN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000488302014 1073584994 /nfs/dbraw/zinc/58/49/94/1073584994.db2.gz RQCPOIFIRQGSLI-ZDUSSCGKSA-N 0 0 438.506 -0.229 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000488303709 1073585136 /nfs/dbraw/zinc/58/51/36/1073585136.db2.gz BOUIWPIVHCDXGY-HRCADAONSA-N 0 0 426.514 -0.728 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000488303715 1073584808 /nfs/dbraw/zinc/58/48/08/1073584808.db2.gz BOUIWPIVHCDXGY-JYJNAYRXSA-N 0 0 426.514 -0.728 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000488303717 1073584909 /nfs/dbraw/zinc/58/49/09/1073584909.db2.gz BOUIWPIVHCDXGY-OWCLPIDISA-N 0 0 426.514 -0.728 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000488303720 1073585216 /nfs/dbraw/zinc/58/52/16/1073585216.db2.gz BOUIWPIVHCDXGY-PMPSAXMXSA-N 0 0 426.514 -0.728 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000488309164 1073585072 /nfs/dbraw/zinc/58/50/72/1073585072.db2.gz OELDJZRAFHZFQN-NXEZZACHSA-N 0 0 436.450 -0.716 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000488309165 1073585714 /nfs/dbraw/zinc/58/57/14/1073585714.db2.gz OELDJZRAFHZFQN-UWVGGRQHSA-N 0 0 436.450 -0.716 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000488309166 1073585724 /nfs/dbraw/zinc/58/57/24/1073585724.db2.gz OELDJZRAFHZFQN-VHSXEESVSA-N 0 0 436.450 -0.716 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000488309167 1073585642 /nfs/dbraw/zinc/58/56/42/1073585642.db2.gz OELDJZRAFHZFQN-ZJUUUORDSA-N 0 0 436.450 -0.716 20 0 IBADRN COCCN(C(=O)CN1CCNC(=O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000488310011 1073585703 /nfs/dbraw/zinc/58/57/03/1073585703.db2.gz HDCSINCNSNLFLN-UHFFFAOYSA-N 0 0 444.492 -0.619 20 0 IBADRN COCCN1CN(C(=O)c2cc(C)cc(C(=O)N3CC(=O)N(CCOC)C3)c2)CC1=O ZINC000488329049 1073585887 /nfs/dbraw/zinc/58/58/87/1073585887.db2.gz GCWIDIPMRLUBOY-UHFFFAOYSA-N 0 0 432.477 -0.228 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc(C(=O)N3C[C@@H](C)O[C@@H](C(=O)OC)C3)nc2)C[C@@H](C)O1 ZINC000488332982 1073585773 /nfs/dbraw/zinc/58/57/73/1073585773.db2.gz CDKBLUQTLGTQDM-BQGCOEIASA-N 0 0 449.460 -0.113 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)Cc1cc(S(N)(=O)=O)cn1C)C2 ZINC000488340209 1073585656 /nfs/dbraw/zinc/58/56/56/1073585656.db2.gz PHULVRCHJFEAFB-UHFFFAOYSA-N 0 0 426.520 -0.292 20 0 IBADRN COc1ccc(NC(=O)CN2CCNC(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000488344265 1073585878 /nfs/dbraw/zinc/58/58/78/1073585878.db2.gz LURHYUKYJHJIQZ-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000488348497 1073585750 /nfs/dbraw/zinc/58/57/50/1073585750.db2.gz WHBTYJRBFXWAOI-ILXRZTDVSA-N 0 0 435.568 -0.658 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000488348498 1073585686 /nfs/dbraw/zinc/58/56/86/1073585686.db2.gz WHBTYJRBFXWAOI-KKUMJFAQSA-N 0 0 435.568 -0.658 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000488348500 1073585626 /nfs/dbraw/zinc/58/56/26/1073585626.db2.gz WHBTYJRBFXWAOI-QLFBSQMISA-N 0 0 435.568 -0.658 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000488348501 1073585859 /nfs/dbraw/zinc/58/58/59/1073585859.db2.gz WHBTYJRBFXWAOI-SOUVJXGZSA-N 0 0 435.568 -0.658 20 0 IBADRN O=C(CN1CCNC(=O)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000488349408 1073585807 /nfs/dbraw/zinc/58/58/07/1073585807.db2.gz XTNFURWWSPZJCE-UHFFFAOYSA-N 0 0 438.506 -0.887 20 0 IBADRN O=C(NCCNc1ncc(Cl)c(NCCNC(=O)c2cnccn2)n1)c1cnccn1 ZINC000488359558 1073586291 /nfs/dbraw/zinc/58/62/91/1073586291.db2.gz AQKFKVWKZLWPRC-UHFFFAOYSA-N 0 0 442.871 -0.762 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCC(C(=O)Nc4nncs4)CC3)nc2n(C)c1=O ZINC000488361660 1073586276 /nfs/dbraw/zinc/58/62/76/1073586276.db2.gz QGCPNXZFXGYUAV-UHFFFAOYSA-N 0 0 429.462 -0.025 20 0 IBADRN O=C(NCCO)c1ccc(N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)nn1 ZINC000488374448 1073586426 /nfs/dbraw/zinc/58/64/26/1073586426.db2.gz YNFSTNYYOIMRBW-UHFFFAOYSA-N 0 0 427.433 -0.012 20 0 IBADRN NC(=O)CCn1c(-c2cccs2)nnc1S(=O)(=O)CC(=O)NC[C@H]1CCCO1 ZINC000488374972 1073586491 /nfs/dbraw/zinc/58/64/91/1073586491.db2.gz SGYKYDAGLUDRGL-LLVKDONJSA-N 0 0 427.508 -0.049 20 0 IBADRN NC(=O)CCn1c(-c2cccs2)nnc1S(=O)(=O)CC(=O)NC[C@@H]1CCCO1 ZINC000488374973 1073586173 /nfs/dbraw/zinc/58/61/73/1073586173.db2.gz SGYKYDAGLUDRGL-NSHDSACASA-N 0 0 427.508 -0.049 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2CCNC(=O)CC2)c1C(=O)OC ZINC000488377903 1073586317 /nfs/dbraw/zinc/58/63/17/1073586317.db2.gz SMNGERYMMURGKC-UHFFFAOYSA-N 0 0 441.462 -0.203 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(c2ccc(C(=O)NCCO)nn2)CC1 ZINC000488380231 1073586243 /nfs/dbraw/zinc/58/62/43/1073586243.db2.gz OXGIQVJRVBSZBP-UHFFFAOYSA-N 0 0 426.543 -0.170 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000488382145 1073586482 /nfs/dbraw/zinc/58/64/82/1073586482.db2.gz CBELVEBDISNWLV-HNNXBMFYSA-N 0 0 448.567 -0.213 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000488382431 1073586190 /nfs/dbraw/zinc/58/61/90/1073586190.db2.gz CBELVEBDISNWLV-OAHLLOKOSA-N 0 0 448.567 -0.213 20 0 IBADRN COC(=O)CN1CCN(c2ncc(Cl)c(N3CCN(CC(=O)OC)CC3)n2)CC1 ZINC000488385201 1073586229 /nfs/dbraw/zinc/58/62/29/1073586229.db2.gz KWESUXKAGCXZSU-UHFFFAOYSA-N 0 0 426.905 -0.280 20 0 IBADRN O=C(CCNc1ncc(Cl)c(NCCC(=O)N2CCOCC2)n1)N1CCOCC1 ZINC000488386250 1073586411 /nfs/dbraw/zinc/58/64/11/1073586411.db2.gz LPBXYIPBMSNMPV-UHFFFAOYSA-N 0 0 426.905 -0.705 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)cn1 ZINC000488386691 1073586467 /nfs/dbraw/zinc/58/64/67/1073586467.db2.gz AUFJPYIWFIQXRU-UHFFFAOYSA-N 0 0 427.508 -0.107 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CN(C4CC4)CCO3)CC2)o1 ZINC000488387735 1073586373 /nfs/dbraw/zinc/58/63/73/1073586373.db2.gz OIWFOGSRNPRHSS-AWEZNQCLSA-N 0 0 441.510 -0.742 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CN(C4CC4)CCO3)CC2)o1 ZINC000488387736 1073586338 /nfs/dbraw/zinc/58/63/38/1073586338.db2.gz OIWFOGSRNPRHSS-CQSZACIVSA-N 0 0 441.510 -0.742 20 0 IBADRN O=C(NCCO)c1ccc(N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)nn1 ZINC000488388879 1073586451 /nfs/dbraw/zinc/58/64/51/1073586451.db2.gz UIGJJYPIZOJWLE-UHFFFAOYSA-N 0 0 431.478 -0.414 20 0 IBADRN CS(=O)(=O)N1CCN(c2ncc(Cl)c(N3CCN(S(C)(=O)=O)CC3)n2)CC1 ZINC000488390780 1073586210 /nfs/dbraw/zinc/58/62/10/1073586210.db2.gz WRUNYKVLIRMNTP-UHFFFAOYSA-N 0 0 438.963 -0.707 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(c3ccc(C(=O)NCCO)nn3)CC2)cc1 ZINC000488392057 1073586441 /nfs/dbraw/zinc/58/64/41/1073586441.db2.gz DADLRDIARAKERP-UHFFFAOYSA-N 0 0 433.490 -0.088 20 0 IBADRN O=C(NCCO)c1ccc(NCc2ccccc2CS(=O)(=O)N2CCOCC2)nn1 ZINC000488392795 1073326343 /nfs/dbraw/zinc/32/63/43/1073326343.db2.gz RIENBSLSCRXBCA-UHFFFAOYSA-N 0 0 435.506 -0.027 20 0 IBADRN O=C(NCCO)c1ccc(N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)nn1 ZINC000488397436 1073586871 /nfs/dbraw/zinc/58/68/71/1073586871.db2.gz NMDUJIIGIFAGHH-UHFFFAOYSA-N 0 0 427.433 -0.012 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nc(N3CCO[C@@H](CNC(C)=O)C3)ncc2Cl)CCO1 ZINC000488397562 1073586268 /nfs/dbraw/zinc/58/62/68/1073586268.db2.gz LNIBBMLXWPWWGM-CABCVRRESA-N 0 0 426.905 -0.187 20 0 IBADRN CC(=O)NC[C@H]1CN(c2ncc(Cl)c(N3CCO[C@@H](CNC(C)=O)C3)n2)CCO1 ZINC000488397563 1073586256 /nfs/dbraw/zinc/58/62/56/1073586256.db2.gz LNIBBMLXWPWWGM-GJZGRUSLSA-N 0 0 426.905 -0.187 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2ncc(Cl)c(N3CCO[C@H](CNC(C)=O)C3)n2)CCO1 ZINC000488397564 1073586304 /nfs/dbraw/zinc/58/63/04/1073586304.db2.gz LNIBBMLXWPWWGM-HUUCEWRRSA-N 0 0 426.905 -0.187 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2ncc(Cl)c(N3CCO[C@@H](CNC(C)=O)C3)n2)CCO1 ZINC000488397565 1073587156 /nfs/dbraw/zinc/58/71/56/1073587156.db2.gz LNIBBMLXWPWWGM-LSDHHAIUSA-N 0 0 426.905 -0.187 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNc2ccc(C(=O)NCCO)nn2)CC1 ZINC000488398176 1073312129 /nfs/dbraw/zinc/31/21/29/1073312129.db2.gz OPAKVEJXHVEBNY-UHFFFAOYSA-N 0 0 434.522 -0.253 20 0 IBADRN Cc1nc(N(C)C)nc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000488399635 1073587206 /nfs/dbraw/zinc/58/72/06/1073587206.db2.gz QNBLJZNUCNNVGA-UHFFFAOYSA-N 0 0 441.539 -0.596 20 0 IBADRN O=C(NCCO)c1ccc(NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)nn1 ZINC000488402452 1074353162 /nfs/dbraw/zinc/35/31/62/1074353162.db2.gz YZWCBOLYIFOTHT-UHFFFAOYSA-N 0 0 435.510 -0.842 20 0 IBADRN COCC1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCOCC1 ZINC000488402901 1073587186 /nfs/dbraw/zinc/58/71/86/1073587186.db2.gz ZRYBQOAICKJAKA-UHFFFAOYSA-N 0 0 444.510 -0.162 20 0 IBADRN O=C(NCCO)c1ccc(N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1 ZINC000488424451 1073586967 /nfs/dbraw/zinc/58/69/67/1073586967.db2.gz OKVZESLZNIBRNY-HNNXBMFYSA-N 0 0 428.497 -0.094 20 0 IBADRN O=C(NCCO)c1ccc(N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1 ZINC000488424453 1073586830 /nfs/dbraw/zinc/58/68/30/1073586830.db2.gz OKVZESLZNIBRNY-OAHLLOKOSA-N 0 0 428.497 -0.094 20 0 IBADRN O=C(NCCO)c1ccc(N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)nn1 ZINC000488425766 1073587197 /nfs/dbraw/zinc/58/71/97/1073587197.db2.gz RFFPQICYSXMBEW-UHFFFAOYSA-N 0 0 449.489 -0.519 20 0 IBADRN CN(Cc1nc2ccccc2n1C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000488429919 1073586811 /nfs/dbraw/zinc/58/68/11/1073586811.db2.gz FSDKSNPYGJPDID-AEVYOOLXSA-N 0 0 425.449 -0.039 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)cc1 ZINC000488437292 1073587062 /nfs/dbraw/zinc/58/70/62/1073587062.db2.gz WWINUAJEPBJQTI-UHFFFAOYSA-N 0 0 448.457 -0.203 20 0 IBADRN Cn1cnn(CC(=O)N2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)c1=O ZINC000488438364 1073586794 /nfs/dbraw/zinc/58/67/94/1073586794.db2.gz GVNFZGPDKGFHAW-UHFFFAOYSA-N 0 0 437.478 -0.724 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CN(C(=O)Cc2cc(S(N)(=O)=O)cn2C)C[C@H]1C(F)(F)F ZINC000488451777 1073587103 /nfs/dbraw/zinc/58/71/03/1073587103.db2.gz WGSZVUWQLXHRRU-VXGBXAGGSA-N 0 0 446.473 -0.504 20 0 IBADRN CCCNc1nnc(S(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000488464770 1073331747 /nfs/dbraw/zinc/33/17/47/1073331747.db2.gz AOPMRYZZQOQYBA-UHFFFAOYSA-N 0 0 427.512 -0.029 20 0 IBADRN NC(=O)c1ccc(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cc1 ZINC000488477958 1073586885 /nfs/dbraw/zinc/58/68/85/1073586885.db2.gz MENSLXOQSPNKBC-UHFFFAOYSA-N 0 0 431.518 -0.009 20 0 IBADRN COCCNc1nnc(S(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000488491050 1074353215 /nfs/dbraw/zinc/35/32/15/1074353215.db2.gz OYJUWKCVUXTBQD-UHFFFAOYSA-N 0 0 443.511 -0.793 20 0 IBADRN NC(=O)c1ccccc1CC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000488495285 1073587132 /nfs/dbraw/zinc/58/71/32/1073587132.db2.gz ZVQXLBJKALVJEK-UHFFFAOYSA-N 0 0 431.518 -0.009 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000488495436 1073586915 /nfs/dbraw/zinc/58/69/15/1073586915.db2.gz PVINUICGZUBUGF-INIZCTEOSA-N 0 0 428.555 -0.126 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000488495443 1073587049 /nfs/dbraw/zinc/58/70/49/1073587049.db2.gz PVINUICGZUBUGF-MRXNPFEDSA-N 0 0 428.555 -0.126 20 0 IBADRN O=C([C@H]1CCCO1)N1CCN(CCOCCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000488510507 1073587004 /nfs/dbraw/zinc/58/70/04/1073587004.db2.gz CLHZYPCWBOOIRN-BGYRXZFFSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C([C@@H]1CCCO1)N1CCN(CCOCCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000488510508 1073587168 /nfs/dbraw/zinc/58/71/68/1073587168.db2.gz CLHZYPCWBOOIRN-PMACEKPBSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C([C@H]1CCCO1)N1CCN(CCOCCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000488510509 1073587552 /nfs/dbraw/zinc/58/75/52/1073587552.db2.gz CLHZYPCWBOOIRN-WOJBJXKFSA-N 0 0 438.569 -0.351 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCc3ccccc3N2C(=O)Cn2ccc(=O)n(C)c2=O)CC1 ZINC000488518573 1073587644 /nfs/dbraw/zinc/58/76/44/1073587644.db2.gz XJVODJIJYJFAEL-GOSISDBHSA-N 0 0 425.489 -0.331 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCc3ccccc3N2C(=O)Cn2ccc(=O)n(C)c2=O)CC1 ZINC000488518574 1073587772 /nfs/dbraw/zinc/58/77/72/1073587772.db2.gz XJVODJIJYJFAEL-SFHVURJKSA-N 0 0 425.489 -0.331 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(-n2cccn2)c1 ZINC000488521841 1073587609 /nfs/dbraw/zinc/58/76/09/1073587609.db2.gz NITKENKVVFOFBQ-HNNXBMFYSA-N 0 0 437.464 -0.593 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(-n2cccn2)c1 ZINC000488521850 1073587453 /nfs/dbraw/zinc/58/74/53/1073587453.db2.gz NITKENKVVFOFBQ-OAHLLOKOSA-N 0 0 437.464 -0.593 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N=c3nc[nH]n3C)CC2)cc1 ZINC000488536793 1073587820 /nfs/dbraw/zinc/58/78/20/1073587820.db2.gz XNAFSAXPKGGJJC-UHFFFAOYSA-N 0 0 436.494 -0.503 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CCC(C)(C)CC3)CC2)CC1 ZINC000488543655 1073587465 /nfs/dbraw/zinc/58/74/65/1073587465.db2.gz CZXIEXBEXASKBC-UHFFFAOYSA-N 0 0 429.587 -0.292 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCn4ccnc4C3)CC2)C[C@@H](C)O1 ZINC000488559143 1073587575 /nfs/dbraw/zinc/58/75/75/1073587575.db2.gz ATWDOHZGNFHFIF-BZUAXINKSA-N 0 0 426.543 -0.121 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCn4ccnc4C3)CC2)C[C@@H](C)O1 ZINC000488559145 1073587848 /nfs/dbraw/zinc/58/78/48/1073587848.db2.gz ATWDOHZGNFHFIF-OAGGEKHMSA-N 0 0 426.543 -0.121 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCn4ccnc4C3)CC2)C[C@H](C)O1 ZINC000488559147 1073587497 /nfs/dbraw/zinc/58/74/97/1073587497.db2.gz ATWDOHZGNFHFIF-OWCLPIDISA-N 0 0 426.543 -0.121 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCn4ccnc4C3)CC2)C[C@H](C)O1 ZINC000488559149 1073587676 /nfs/dbraw/zinc/58/76/76/1073587676.db2.gz ATWDOHZGNFHFIF-XHSDSOJGSA-N 0 0 426.543 -0.121 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000488568094 1073587724 /nfs/dbraw/zinc/58/77/24/1073587724.db2.gz QSLWSASZWNTKGK-UHFFFAOYSA-N 0 0 436.462 -0.412 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1cccc(-n2cccn2)c1 ZINC000488582712 1073587704 /nfs/dbraw/zinc/58/77/04/1073587704.db2.gz OKIHXDVMMRLJFX-HNNXBMFYSA-N 0 0 438.488 -0.001 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1cccc(-n2cccn2)c1 ZINC000488582713 1073587806 /nfs/dbraw/zinc/58/78/06/1073587806.db2.gz OKIHXDVMMRLJFX-OAHLLOKOSA-N 0 0 438.488 -0.001 20 0 IBADRN CN(C(=O)CCn1ncn(C)c1=O)[C@H]1CCCC[C@@H]1N(C)C(=O)CCn1ncn(C)c1=O ZINC000488587049 1073587621 /nfs/dbraw/zinc/58/76/21/1073587621.db2.gz NEKLCZHBLSBMTG-HOTGVXAUSA-N 0 0 448.528 -0.815 20 0 IBADRN CN(C(=O)CCn1ncn(C)c1=O)[C@@H]1CCCC[C@H]1N(C)C(=O)CCn1ncn(C)c1=O ZINC000488587050 1073588282 /nfs/dbraw/zinc/58/82/82/1073588282.db2.gz NEKLCZHBLSBMTG-HZPDHXFCSA-N 0 0 448.528 -0.815 20 0 IBADRN CN(C(=O)CCn1ncn(C)c1=O)[C@@H]1CCCC[C@@H]1N(C)C(=O)CCn1ncn(C)c1=O ZINC000488587051 1073588318 /nfs/dbraw/zinc/58/83/18/1073588318.db2.gz NEKLCZHBLSBMTG-IYBDPMFKSA-N 0 0 448.528 -0.815 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C)cn(C)c2=O)C1 ZINC000488588996 1073588117 /nfs/dbraw/zinc/58/81/17/1073588117.db2.gz BQKHDZZOHGAFNQ-AWEZNQCLSA-N 0 0 428.511 -0.469 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C)cn(C)c2=O)C1 ZINC000488589045 1073588225 /nfs/dbraw/zinc/58/82/25/1073588225.db2.gz BQKHDZZOHGAFNQ-CQSZACIVSA-N 0 0 428.511 -0.469 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@@H]1OC ZINC000488592941 1073588388 /nfs/dbraw/zinc/58/83/88/1073588388.db2.gz DSUIGRZZMWNEFW-FGTMMUONSA-N 0 0 433.461 -0.488 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@@H]1OC ZINC000488592942 1073588256 /nfs/dbraw/zinc/58/82/56/1073588256.db2.gz DSUIGRZZMWNEFW-KSZLIROESA-N 0 0 433.461 -0.488 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C[C@@H]1OC ZINC000488594329 1073588308 /nfs/dbraw/zinc/58/83/08/1073588308.db2.gz GZUSCYAWHDNSLX-GASCZTMLSA-N 0 0 440.884 -0.031 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C[C@@H]1OC ZINC000488594346 1073588241 /nfs/dbraw/zinc/58/82/41/1073588241.db2.gz GZUSCYAWHDNSLX-GJZGRUSLSA-N 0 0 440.884 -0.031 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C[C@H]1OC ZINC000488594347 1073588273 /nfs/dbraw/zinc/58/82/73/1073588273.db2.gz GZUSCYAWHDNSLX-HUUCEWRRSA-N 0 0 440.884 -0.031 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cc1 ZINC000488595309 1073588743 /nfs/dbraw/zinc/58/87/43/1073588743.db2.gz AQZNEYRTBUPVDV-UHFFFAOYSA-N 0 0 430.339 -0.282 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@@H]1OC ZINC000488596015 1073588723 /nfs/dbraw/zinc/58/87/23/1073588723.db2.gz IZTJJSRJWSYAHB-IYBDPMFKSA-N 0 0 428.511 -0.186 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@H](OC)[C@@H](OC)C1 ZINC000488596857 1073588915 /nfs/dbraw/zinc/58/89/15/1073588915.db2.gz DPIFHCRHKSTGAO-HOTGVXAUSA-N 0 0 427.479 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H](OC)[C@H](OC)C1 ZINC000488596864 1073588926 /nfs/dbraw/zinc/58/89/26/1073588926.db2.gz DPIFHCRHKSTGAO-HZPDHXFCSA-N 0 0 427.479 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H](OC)[C@@H](OC)C1 ZINC000488596866 1073588853 /nfs/dbraw/zinc/58/88/53/1073588853.db2.gz DPIFHCRHKSTGAO-IYBDPMFKSA-N 0 0 427.479 -0.053 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@@H]1OC ZINC000488597401 1073588960 /nfs/dbraw/zinc/58/89/60/1073588960.db2.gz FEIVTMWCBAJJRK-HOTGVXAUSA-N 0 0 427.479 -0.482 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@H]1OC ZINC000488597402 1073588691 /nfs/dbraw/zinc/58/86/91/1073588691.db2.gz FEIVTMWCBAJJRK-HZPDHXFCSA-N 0 0 427.479 -0.482 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C[C@@H]1OC ZINC000488597403 1073588713 /nfs/dbraw/zinc/58/87/13/1073588713.db2.gz FEIVTMWCBAJJRK-IYBDPMFKSA-N 0 0 427.479 -0.482 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](OC)[C@@H](OC)C2)cc1S(=O)(=O)NC1CC1 ZINC000488600728 1073588885 /nfs/dbraw/zinc/58/88/85/1073588885.db2.gz RKQDNHJITYSACZ-GASCZTMLSA-N 0 0 427.479 -0.053 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N(C)C)nc2)C1 ZINC000488601491 1073588810 /nfs/dbraw/zinc/58/88/10/1073588810.db2.gz OWKWNHPEUPMQDY-HNNXBMFYSA-N 0 0 427.527 -0.015 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N(C)C)nc2)C1 ZINC000488601493 1073588937 /nfs/dbraw/zinc/58/89/37/1073588937.db2.gz OWKWNHPEUPMQDY-OAHLLOKOSA-N 0 0 427.527 -0.015 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C[C@@H]1OC ZINC000488602555 1073588331 /nfs/dbraw/zinc/58/83/31/1073588331.db2.gz VTTKOVNFWOMSQO-CALCHBBNSA-N 0 0 440.522 -0.567 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnc(N(C)C)nc2)C1 ZINC000488605499 1073588367 /nfs/dbraw/zinc/58/83/67/1073588367.db2.gz HYKSTNJLYXSHBN-AWEZNQCLSA-N 0 0 428.515 -0.620 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnc(N(C)C)nc2)C1 ZINC000488605504 1073588198 /nfs/dbraw/zinc/58/81/98/1073588198.db2.gz HYKSTNJLYXSHBN-CQSZACIVSA-N 0 0 428.515 -0.620 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](OC)[C@@H](OC)C2)cc1 ZINC000488605559 1073588214 /nfs/dbraw/zinc/58/82/14/1073588214.db2.gz IDBAOZZCAKTYNV-VBNZEHGJSA-N 0 0 429.495 -0.190 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](OC)[C@@H](OC)C2)cc1 ZINC000488605571 1073588293 /nfs/dbraw/zinc/58/82/93/1073588293.db2.gz IDBAOZZCAKTYNV-WQVCFCJDSA-N 0 0 429.495 -0.190 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)n1)N1CCCCCC1 ZINC000488606098 1073322843 /nfs/dbraw/zinc/32/28/43/1073322843.db2.gz IZKKYZQKMXZCGG-UHFFFAOYSA-N 0 0 445.402 -0.190 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)CCC1 ZINC000488609457 1073588175 /nfs/dbraw/zinc/58/81/75/1073588175.db2.gz ICMYCEQVJCMSEK-UHFFFAOYSA-N 0 0 440.522 -0.028 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CCOC)c2)C1 ZINC000488610230 1073588899 /nfs/dbraw/zinc/58/88/99/1073588899.db2.gz JAMGJOQRXQAPPU-HNNXBMFYSA-N 0 0 431.515 -0.633 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CCOC)c2)C1 ZINC000488610231 1073588973 /nfs/dbraw/zinc/58/89/73/1073588973.db2.gz JAMGJOQRXQAPPU-OAHLLOKOSA-N 0 0 431.515 -0.633 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(COC)ncn2)C1 ZINC000488610390 1073588829 /nfs/dbraw/zinc/58/88/29/1073588829.db2.gz RASTVMQFFVFGGR-AWEZNQCLSA-N 0 0 429.499 -0.540 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(COC)ncn2)C1 ZINC000488610391 1073588950 /nfs/dbraw/zinc/58/89/50/1073588950.db2.gz RASTVMQFFVFGGR-CQSZACIVSA-N 0 0 429.499 -0.540 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](OC)[C@@H](OC)C1 ZINC000488610575 1073588102 /nfs/dbraw/zinc/58/81/02/1073588102.db2.gz PBJAOZVCGFZEAX-CALCHBBNSA-N 0 0 441.506 -0.259 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cn(C)c(=O)cc2C)C1 ZINC000488610822 1073588378 /nfs/dbraw/zinc/58/83/78/1073588378.db2.gz RREHZWSLTOBVSG-AWEZNQCLSA-N 0 0 428.511 -0.469 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cn(C)c(=O)cc2C)C1 ZINC000488610826 1073588354 /nfs/dbraw/zinc/58/83/54/1073588354.db2.gz RREHZWSLTOBVSG-CQSZACIVSA-N 0 0 428.511 -0.469 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(=O)OC)n(C)c2)C1 ZINC000488612668 1073588869 /nfs/dbraw/zinc/58/88/69/1073588869.db2.gz VYEUKOQXPRUTAZ-AWEZNQCLSA-N 0 0 444.510 -0.351 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(=O)OC)n(C)c2)C1 ZINC000488612896 1073588755 /nfs/dbraw/zinc/58/87/55/1073588755.db2.gz VYEUKOQXPRUTAZ-CQSZACIVSA-N 0 0 444.510 -0.351 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000488615293 1073589382 /nfs/dbraw/zinc/58/93/82/1073589382.db2.gz CRKFWNNKKQWAOV-GDBMZVCRSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000488615297 1073589960 /nfs/dbraw/zinc/58/99/60/1073589960.db2.gz CRKFWNNKKQWAOV-GOEBONIOSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000488615298 1073590000 /nfs/dbraw/zinc/59/00/00/1073590000.db2.gz CRKFWNNKKQWAOV-HOCLYGCPSA-N 0 0 431.555 -0.198 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000488615300 1073589980 /nfs/dbraw/zinc/58/99/80/1073589980.db2.gz CRKFWNNKKQWAOV-ZBFHGGJFSA-N 0 0 431.555 -0.198 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(F)F)c2)C1 ZINC000488615335 1073589993 /nfs/dbraw/zinc/58/99/93/1073589993.db2.gz ADDIUEDOTREIJF-CYBMUJFWSA-N 0 0 437.469 -0.014 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(F)F)c2)C1 ZINC000488615339 1073590013 /nfs/dbraw/zinc/59/00/13/1073590013.db2.gz ADDIUEDOTREIJF-ZDUSSCGKSA-N 0 0 437.469 -0.014 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@@H]1OC ZINC000488615797 1073589588 /nfs/dbraw/zinc/58/95/88/1073589588.db2.gz BQKIHOPVJWYCOG-HDICACEKSA-N 0 0 434.493 -0.441 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C[C@H]1OC ZINC000488619074 1073590018 /nfs/dbraw/zinc/59/00/18/1073590018.db2.gz VTTKOVNFWOMSQO-IAGOWNOFSA-N 0 0 440.522 -0.567 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C[C@@H]1OC ZINC000488619075 1073589985 /nfs/dbraw/zinc/58/99/85/1073589985.db2.gz VTTKOVNFWOMSQO-IRXDYDNUSA-N 0 0 440.522 -0.567 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(F)F)n2)C1 ZINC000488619450 1073589956 /nfs/dbraw/zinc/58/99/56/1073589956.db2.gz IHDDYYWMKKUTHY-GFCCVEGCSA-N 0 0 437.469 -0.014 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(F)F)n2)C1 ZINC000488619453 1073590005 /nfs/dbraw/zinc/59/00/05/1073590005.db2.gz IHDDYYWMKKUTHY-LBPRGKRZSA-N 0 0 437.469 -0.014 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C[C@@H]1OC ZINC000488620600 1073590021 /nfs/dbraw/zinc/59/00/21/1073590021.db2.gz XVKFIPDOIQEBRD-CALCHBBNSA-N 0 0 441.506 -0.045 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C[C@@H]1OC ZINC000488621700 1073590011 /nfs/dbraw/zinc/59/00/11/1073590011.db2.gz YSSJGRVTERDOLF-CALCHBBNSA-N 0 0 440.522 -0.567 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C[C@H]1OC ZINC000488621701 1073590034 /nfs/dbraw/zinc/59/00/34/1073590034.db2.gz YSSJGRVTERDOLF-IAGOWNOFSA-N 0 0 440.522 -0.567 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C[C@@H]1OC ZINC000488621703 1073589948 /nfs/dbraw/zinc/58/99/48/1073589948.db2.gz YSSJGRVTERDOLF-IRXDYDNUSA-N 0 0 440.522 -0.567 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1)CC2 ZINC000488622008 1073589996 /nfs/dbraw/zinc/58/99/96/1073589996.db2.gz NZWVOLSDQRRMTL-UHFFFAOYSA-N 0 0 434.396 -0.326 20 0 IBADRN CN(C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000488625155 1073589564 /nfs/dbraw/zinc/58/95/64/1073589564.db2.gz OLOGQFJOTLYKAV-HNNXBMFYSA-N 0 0 439.542 -0.809 20 0 IBADRN CN(C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000488625156 1073589343 /nfs/dbraw/zinc/58/93/43/1073589343.db2.gz OLOGQFJOTLYKAV-OAHLLOKOSA-N 0 0 439.542 -0.809 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)C1 ZINC000488625346 1073588773 /nfs/dbraw/zinc/58/87/73/1073588773.db2.gz IOKHDRFHTUKBBW-INIZCTEOSA-N 0 0 440.522 -0.448 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)C1 ZINC000488625353 1073589614 /nfs/dbraw/zinc/58/96/14/1073589614.db2.gz IOKHDRFHTUKBBW-MRXNPFEDSA-N 0 0 440.522 -0.448 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C)c(=O)n(C)c2)C1 ZINC000488625879 1073588843 /nfs/dbraw/zinc/58/88/43/1073588843.db2.gz PHPBJJVMVOXBID-HNNXBMFYSA-N 0 0 428.511 -0.469 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C)c(=O)n(C)c2)C1 ZINC000488625881 1073588786 /nfs/dbraw/zinc/58/87/86/1073588786.db2.gz PHPBJJVMVOXBID-OAHLLOKOSA-N 0 0 428.511 -0.469 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](OC)[C@H](OC)C2)cc1 ZINC000488626334 1073589441 /nfs/dbraw/zinc/58/94/41/1073589441.db2.gz IDBAOZZCAKTYNV-APHBMKBZSA-N 0 0 429.495 -0.190 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](OC)[C@@H](OC)C2)cc1 ZINC000488626335 1073589471 /nfs/dbraw/zinc/58/94/71/1073589471.db2.gz IDBAOZZCAKTYNV-RCBQFDQVSA-N 0 0 429.495 -0.190 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2c(C)ccn(C)c2=O)C1 ZINC000488628725 1073589483 /nfs/dbraw/zinc/58/94/83/1073589483.db2.gz NJDQMDYGGHPUSP-AWEZNQCLSA-N 0 0 428.511 -0.469 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2c(C)ccn(C)c2=O)C1 ZINC000488628727 1073589627 /nfs/dbraw/zinc/58/96/27/1073589627.db2.gz NJDQMDYGGHPUSP-CQSZACIVSA-N 0 0 428.511 -0.469 20 0 IBADRN C[C@H]1CSCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000488628773 1073589505 /nfs/dbraw/zinc/58/95/05/1073589505.db2.gz KXTOEHBAXOQJKC-CYBMUJFWSA-N 0 0 440.547 -0.043 20 0 IBADRN C[C@@H]1CSCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000488628780 1073589456 /nfs/dbraw/zinc/58/94/56/1073589456.db2.gz KXTOEHBAXOQJKC-ZDUSSCGKSA-N 0 0 440.547 -0.043 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CCOC)n2)C1 ZINC000488629608 1074353182 /nfs/dbraw/zinc/35/31/82/1074353182.db2.gz UHMUEYQRFSKFKE-AWEZNQCLSA-N 0 0 431.515 -0.633 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CCOC)n2)C1 ZINC000488629609 1074353021 /nfs/dbraw/zinc/35/30/21/1074353021.db2.gz UHMUEYQRFSKFKE-CQSZACIVSA-N 0 0 431.515 -0.633 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CCC1 ZINC000488631018 1073589404 /nfs/dbraw/zinc/58/94/04/1073589404.db2.gz OSJTUZLWNOMLLW-GASCZTMLSA-N 0 0 448.524 -0.120 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CCC1 ZINC000488631019 1073589370 /nfs/dbraw/zinc/58/93/70/1073589370.db2.gz OSJTUZLWNOMLLW-GJZGRUSLSA-N 0 0 448.524 -0.120 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CCC1 ZINC000488631020 1073589531 /nfs/dbraw/zinc/58/95/31/1073589531.db2.gz OSJTUZLWNOMLLW-HUUCEWRRSA-N 0 0 448.524 -0.120 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccn([C@H](C)COC)n2)C1 ZINC000488631481 1073589357 /nfs/dbraw/zinc/58/93/57/1073589357.db2.gz SOIWGDQTJZTIHH-CABCVRRESA-N 0 0 445.542 -0.072 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccn([C@@H](C)COC)n2)C1 ZINC000488631482 1073589415 /nfs/dbraw/zinc/58/94/15/1073589415.db2.gz SOIWGDQTJZTIHH-GJZGRUSLSA-N 0 0 445.542 -0.072 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccn([C@H](C)COC)n2)C1 ZINC000488631483 1073589547 /nfs/dbraw/zinc/58/95/47/1073589547.db2.gz SOIWGDQTJZTIHH-HUUCEWRRSA-N 0 0 445.542 -0.072 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccn([C@@H](C)COC)n2)C1 ZINC000488631484 1073589517 /nfs/dbraw/zinc/58/95/17/1073589517.db2.gz SOIWGDQTJZTIHH-LSDHHAIUSA-N 0 0 445.542 -0.072 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(C)c2)C1 ZINC000488631693 1073589602 /nfs/dbraw/zinc/58/96/02/1073589602.db2.gz PPYZRNDNSHFKHP-HNNXBMFYSA-N 0 0 440.522 -0.069 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(C)c2)C1 ZINC000488631694 1073589429 /nfs/dbraw/zinc/58/94/29/1073589429.db2.gz PPYZRNDNSHFKHP-OAHLLOKOSA-N 0 0 440.522 -0.069 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@@H]1OC ZINC000488635956 1073590827 /nfs/dbraw/zinc/59/08/27/1073590827.db2.gz XRVPSOGPRRIXDF-GASCZTMLSA-N 0 0 445.469 -0.343 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@@H]1OC ZINC000488637638 1073591040 /nfs/dbraw/zinc/59/10/40/1073591040.db2.gz CNWLADNPOWVYGO-CALCHBBNSA-N 0 0 431.493 -0.049 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(N)=O)n2)C1 ZINC000488638368 1073590873 /nfs/dbraw/zinc/59/08/73/1073590873.db2.gz DQYWZCQXVXISRX-GFCCVEGCSA-N 0 0 427.483 -0.982 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(N)=O)n2)C1 ZINC000488638371 1073590899 /nfs/dbraw/zinc/59/08/99/1073590899.db2.gz DQYWZCQXVXISRX-LBPRGKRZSA-N 0 0 427.483 -0.982 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2c3c(nn2C)CCC3)C1 ZINC000488639894 1073589967 /nfs/dbraw/zinc/58/99/67/1073589967.db2.gz HNWGQHNBBSGVOT-CYBMUJFWSA-N 0 0 427.527 -0.254 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2c3c(nn2C)CCC3)C1 ZINC000488639895 1073589977 /nfs/dbraw/zinc/58/99/77/1073589977.db2.gz HNWGQHNBBSGVOT-ZDUSSCGKSA-N 0 0 427.527 -0.254 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cncnc2N(C)C)C1 ZINC000488641282 1073589931 /nfs/dbraw/zinc/58/99/31/1073589931.db2.gz UUMQPUKJUJVEMZ-CYBMUJFWSA-N 0 0 428.515 -0.620 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cncnc2N(C)C)C1 ZINC000488641283 1073590027 /nfs/dbraw/zinc/59/00/27/1073590027.db2.gz UUMQPUKJUJVEMZ-ZDUSSCGKSA-N 0 0 428.515 -0.620 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](OC)[C@@H](OC)C1 ZINC000488641855 1073589937 /nfs/dbraw/zinc/58/99/37/1073589937.db2.gz AUZYMKBFANLVEK-HDICACEKSA-N 0 0 434.493 -0.107 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](OC)[C@H](OC)C1 ZINC000488641858 1073589988 /nfs/dbraw/zinc/58/99/88/1073589988.db2.gz AUZYMKBFANLVEK-QZTJIDSGSA-N 0 0 434.493 -0.107 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H]1OC ZINC000488641903 1073590489 /nfs/dbraw/zinc/59/04/89/1073590489.db2.gz JMPDJKZXNJQRNV-IYBDPMFKSA-N 0 0 427.479 -0.482 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](OC)[C@@H](OC)C1 ZINC000488642092 1073590393 /nfs/dbraw/zinc/59/03/93/1073590393.db2.gz AUZYMKBFANLVEK-ROUUACIJSA-N 0 0 434.493 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)C1 ZINC000488643002 1073590464 /nfs/dbraw/zinc/59/04/64/1073590464.db2.gz LVLLOUONKAXZND-CYBMUJFWSA-N 0 0 444.485 -0.238 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)C1 ZINC000488643005 1073590412 /nfs/dbraw/zinc/59/04/12/1073590412.db2.gz LVLLOUONKAXZND-ZDUSSCGKSA-N 0 0 444.485 -0.238 20 0 IBADRN CN(C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000488643031 1073590432 /nfs/dbraw/zinc/59/04/32/1073590432.db2.gz LXXBVCYLDCDTRS-INIZCTEOSA-N 0 0 438.554 -0.204 20 0 IBADRN CN(C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000488643034 1073590440 /nfs/dbraw/zinc/59/04/40/1073590440.db2.gz LXXBVCYLDCDTRS-MRXNPFEDSA-N 0 0 438.554 -0.204 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)C1 ZINC000488643733 1073590426 /nfs/dbraw/zinc/59/04/26/1073590426.db2.gz MKZCQKKFDPZCOU-CYBMUJFWSA-N 0 0 444.485 -0.238 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)C1 ZINC000488643748 1073590493 /nfs/dbraw/zinc/59/04/93/1073590493.db2.gz MKZCQKKFDPZCOU-ZDUSSCGKSA-N 0 0 444.485 -0.238 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)cc1 ZINC000488644555 1073590421 /nfs/dbraw/zinc/59/04/21/1073590421.db2.gz YHVUWKZJYXRKQA-INIZCTEOSA-N 0 0 440.522 -0.116 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)cc1 ZINC000488644558 1073590352 /nfs/dbraw/zinc/59/03/52/1073590352.db2.gz YHVUWKZJYXRKQA-MRXNPFEDSA-N 0 0 440.522 -0.116 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccn(C)c2=O)CC1 ZINC000488646320 1073590361 /nfs/dbraw/zinc/59/03/61/1073590361.db2.gz OFHBXXKERCMHIC-UHFFFAOYSA-N 0 0 427.527 -0.165 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)n(C)n1 ZINC000488647604 1073590476 /nfs/dbraw/zinc/59/04/76/1073590476.db2.gz KGSPDMVQZSICJJ-HNNXBMFYSA-N 0 0 445.542 -0.554 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)n(C)n1 ZINC000488647605 1073590469 /nfs/dbraw/zinc/59/04/69/1073590469.db2.gz KGSPDMVQZSICJJ-OAHLLOKOSA-N 0 0 445.542 -0.554 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ncn(C(C)(C)C)n2)C1 ZINC000488647759 1073333239 /nfs/dbraw/zinc/33/32/39/1073333239.db2.gz SQWRUXIKDDAOHK-CYBMUJFWSA-N 0 0 430.531 -0.129 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ncn(C(C)(C)C)n2)C1 ZINC000488647814 1073590455 /nfs/dbraw/zinc/59/04/55/1073590455.db2.gz SQWRUXIKDDAOHK-ZDUSSCGKSA-N 0 0 430.531 -0.129 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccn(C)c3)CC2)C[C@H](C)O1 ZINC000488648649 1073590451 /nfs/dbraw/zinc/59/04/51/1073590451.db2.gz YACXJISSEPPGQC-GASCZTMLSA-N 0 0 427.527 -0.861 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccn(C)c3)CC2)C[C@H](C)O1 ZINC000488648650 1073590372 /nfs/dbraw/zinc/59/03/72/1073590372.db2.gz YACXJISSEPPGQC-GJZGRUSLSA-N 0 0 427.527 -0.861 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccn(C)c3)CC2)C[C@@H](C)O1 ZINC000488648651 1073590483 /nfs/dbraw/zinc/59/04/83/1073590483.db2.gz YACXJISSEPPGQC-HUUCEWRRSA-N 0 0 427.527 -0.861 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2C)C1 ZINC000488651492 1073591002 /nfs/dbraw/zinc/59/10/02/1073591002.db2.gz XPYHEYZGOWRMHI-HNNXBMFYSA-N 0 0 440.522 -0.069 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2C)C1 ZINC000488651494 1073590858 /nfs/dbraw/zinc/59/08/58/1073590858.db2.gz XPYHEYZGOWRMHI-OAHLLOKOSA-N 0 0 440.522 -0.069 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C[C@H]1OC ZINC000488652019 1073591016 /nfs/dbraw/zinc/59/10/16/1073591016.db2.gz XVKFIPDOIQEBRD-IAGOWNOFSA-N 0 0 441.506 -0.045 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C[C@@H]1OC ZINC000488652025 1073591010 /nfs/dbraw/zinc/59/10/10/1073591010.db2.gz XVKFIPDOIQEBRD-IRXDYDNUSA-N 0 0 441.506 -0.045 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)C1 ZINC000488652198 1073590922 /nfs/dbraw/zinc/59/09/22/1073590922.db2.gz GINMBVGBUQJOJR-CYBMUJFWSA-N 0 0 444.485 -0.238 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)C1 ZINC000488652199 1073590936 /nfs/dbraw/zinc/59/09/36/1073590936.db2.gz GINMBVGBUQJOJR-ZDUSSCGKSA-N 0 0 444.485 -0.238 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C[C@@H]1OC ZINC000488652630 1073590885 /nfs/dbraw/zinc/59/08/85/1073590885.db2.gz YNKXZAMZZJQWRI-CALCHBBNSA-N 0 0 441.506 -0.173 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@H]1OC ZINC000488653813 1073590506 /nfs/dbraw/zinc/59/05/06/1073590506.db2.gz BQKIHOPVJWYCOG-QZTJIDSGSA-N 0 0 434.493 -0.441 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C[C@@H]1OC ZINC000488653819 1073590988 /nfs/dbraw/zinc/59/09/88/1073590988.db2.gz BQKIHOPVJWYCOG-ROUUACIJSA-N 0 0 434.493 -0.441 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@@H]1OC ZINC000488654269 1073591296 /nfs/dbraw/zinc/59/12/96/1073591296.db2.gz XRVPSOGPRRIXDF-GJZGRUSLSA-N 0 0 445.469 -0.343 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@H]1OC ZINC000488654270 1073591506 /nfs/dbraw/zinc/59/15/06/1073591506.db2.gz XRVPSOGPRRIXDF-HUUCEWRRSA-N 0 0 445.469 -0.343 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)n1 ZINC000488655695 1073332390 /nfs/dbraw/zinc/33/23/90/1073332390.db2.gz LJGDUNRLKBJMAA-HNNXBMFYSA-N 0 0 445.542 -0.243 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)n1 ZINC000488655700 1073332356 /nfs/dbraw/zinc/33/23/56/1073332356.db2.gz LJGDUNRLKBJMAA-OAHLLOKOSA-N 0 0 445.542 -0.243 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC(=O)N(CC(F)(F)F)C3)ccn2)CC1 ZINC000488656395 1073591324 /nfs/dbraw/zinc/59/13/24/1073591324.db2.gz FCMGETPHPJDPIJ-UHFFFAOYSA-N 0 0 442.398 -0.402 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cn(C)nc2OC)CC1 ZINC000488656405 1073591456 /nfs/dbraw/zinc/59/14/56/1073591456.db2.gz KNUMAZGVTJZYPZ-UHFFFAOYSA-N 0 0 430.531 -0.122 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@H]1OC ZINC000488662818 1073591048 /nfs/dbraw/zinc/59/10/48/1073591048.db2.gz CNWLADNPOWVYGO-IAGOWNOFSA-N 0 0 431.493 -0.049 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C[C@@H]1OC ZINC000488662820 1073590944 /nfs/dbraw/zinc/59/09/44/1073590944.db2.gz CNWLADNPOWVYGO-IRXDYDNUSA-N 0 0 431.493 -0.049 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc(N(C)C)nc2)CC1 ZINC000488663565 1073590963 /nfs/dbraw/zinc/59/09/63/1073590963.db2.gz FVYJPJYEZJPETQ-UHFFFAOYSA-N 0 0 441.558 -0.008 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H]1OC ZINC000488664558 1073590954 /nfs/dbraw/zinc/59/09/54/1073590954.db2.gz JMPDJKZXNJQRNV-HOTGVXAUSA-N 0 0 427.479 -0.482 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H]1OC ZINC000488664559 1073590996 /nfs/dbraw/zinc/59/09/96/1073590996.db2.gz JMPDJKZXNJQRNV-HZPDHXFCSA-N 0 0 427.479 -0.482 20 0 IBADRN COc1cccc(C(=O)NCCNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c1 ZINC000488664670 1073591494 /nfs/dbraw/zinc/59/14/94/1073591494.db2.gz BCMNBVQAWDFFGH-UHFFFAOYSA-N 0 0 433.446 -0.249 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000488664893 1073590909 /nfs/dbraw/zinc/59/09/09/1073590909.db2.gz UXWHQQHXPPOVTD-UHFFFAOYSA-N 0 0 427.339 -0.133 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cncnc2OC)CC1 ZINC000488665223 1073591445 /nfs/dbraw/zinc/59/14/45/1073591445.db2.gz MBDYDIWOAJPLAP-UHFFFAOYSA-N 0 0 428.515 -0.065 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(CCOC)c2)CC1 ZINC000488666090 1073591279 /nfs/dbraw/zinc/59/12/79/1073591279.db2.gz NWAIMJUTRVWKHV-UHFFFAOYSA-N 0 0 444.558 -0.021 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](OC)[C@H](OC)C1 ZINC000488666543 1073591355 /nfs/dbraw/zinc/59/13/55/1073591355.db2.gz PBJAOZVCGFZEAX-IAGOWNOFSA-N 0 0 441.506 -0.259 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@H](OC)[C@@H](OC)C1 ZINC000488666545 1073591543 /nfs/dbraw/zinc/59/15/43/1073591543.db2.gz PBJAOZVCGFZEAX-IRXDYDNUSA-N 0 0 441.506 -0.259 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(=O)n(C)c2)CC1 ZINC000488667326 1073591584 /nfs/dbraw/zinc/59/15/84/1073591584.db2.gz AWCVEJRMWWPECH-UHFFFAOYSA-N 0 0 427.527 -0.165 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2)C1 ZINC000488670255 1073591514 /nfs/dbraw/zinc/59/15/14/1073591514.db2.gz AQLWWAKBTHHDMM-HNNXBMFYSA-N 0 0 426.495 -0.377 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2)C1 ZINC000488670256 1073591532 /nfs/dbraw/zinc/59/15/32/1073591532.db2.gz AQLWWAKBTHHDMM-OAHLLOKOSA-N 0 0 426.495 -0.377 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)n2)CC1 ZINC000488671990 1073591552 /nfs/dbraw/zinc/59/15/52/1073591552.db2.gz HCDBBROCIJHJSP-UHFFFAOYSA-N 0 0 440.526 -0.370 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cc1 ZINC000488672565 1073591527 /nfs/dbraw/zinc/59/15/27/1073591527.db2.gz FFEFFLQDQZQNIJ-UHFFFAOYSA-N 0 0 444.366 -0.353 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(OC)ncn2)CC1 ZINC000488672845 1073591310 /nfs/dbraw/zinc/59/13/10/1073591310.db2.gz IITFHLSWRFRMJM-UHFFFAOYSA-N 0 0 428.515 -0.065 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n(C)c1 ZINC000488674334 1073592044 /nfs/dbraw/zinc/59/20/44/1073592044.db2.gz TYEHIUQMHUZLFU-UHFFFAOYSA-N 0 0 449.537 -0.466 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@@H]1OC ZINC000488675505 1073591976 /nfs/dbraw/zinc/59/19/76/1073591976.db2.gz IZTJJSRJWSYAHB-HOTGVXAUSA-N 0 0 428.511 -0.186 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C[C@H]1OC ZINC000488675506 1073591951 /nfs/dbraw/zinc/59/19/51/1073591951.db2.gz IZTJJSRJWSYAHB-HZPDHXFCSA-N 0 0 428.511 -0.186 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCOC)n2)CC1 ZINC000488676698 1073592080 /nfs/dbraw/zinc/59/20/80/1073592080.db2.gz QHSIPDJOVAIDHN-UHFFFAOYSA-N 0 0 444.558 -0.021 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)ccc1=O ZINC000488677893 1073591935 /nfs/dbraw/zinc/59/19/35/1073591935.db2.gz SLTIHEWMYVLODO-HNNXBMFYSA-N 0 0 428.511 -0.294 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)ccc1=O ZINC000488677894 1073592076 /nfs/dbraw/zinc/59/20/76/1073592076.db2.gz SLTIHEWMYVLODO-OAHLLOKOSA-N 0 0 428.511 -0.294 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cncnc2N(C)C)CC1 ZINC000488678340 1073592055 /nfs/dbraw/zinc/59/20/55/1073592055.db2.gz UPCPPYLURYPKAX-UHFFFAOYSA-N 0 0 441.558 -0.008 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)c1 ZINC000488678912 1073592086 /nfs/dbraw/zinc/59/20/86/1073592086.db2.gz SUJCKHHCHHMLJC-INIZCTEOSA-N 0 0 440.522 -0.116 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)c1 ZINC000488678913 1073592070 /nfs/dbraw/zinc/59/20/70/1073592070.db2.gz SUJCKHHCHHMLJC-MRXNPFEDSA-N 0 0 440.522 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](OC)[C@@H](OC)C2)cc1S(=O)(=O)NC1CC1 ZINC000488680135 1073591428 /nfs/dbraw/zinc/59/14/28/1073591428.db2.gz RKQDNHJITYSACZ-GJZGRUSLSA-N 0 0 427.479 -0.053 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](OC)[C@H](OC)C2)cc1S(=O)(=O)NC1CC1 ZINC000488680136 1073591903 /nfs/dbraw/zinc/59/19/03/1073591903.db2.gz RKQDNHJITYSACZ-HUUCEWRRSA-N 0 0 427.479 -0.053 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@H]1OC ZINC000488682012 1073591919 /nfs/dbraw/zinc/59/19/19/1073591919.db2.gz DSUIGRZZMWNEFW-KURKYZTESA-N 0 0 433.461 -0.488 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C[C@H]1OC ZINC000488682014 1073592062 /nfs/dbraw/zinc/59/20/62/1073592062.db2.gz DSUIGRZZMWNEFW-KZNAEPCWSA-N 0 0 433.461 -0.488 20 0 IBADRN Cc1nn(C)c(-n2cccn2)c1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000488688834 1073591991 /nfs/dbraw/zinc/59/19/91/1073591991.db2.gz ULUYWWYNOWFWRF-UHFFFAOYSA-N 0 0 447.477 -0.247 20 0 IBADRN CC1(C)CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C[C@H](C(F)(F)F)O1 ZINC000488690051 1073592001 /nfs/dbraw/zinc/59/20/01/1073592001.db2.gz RXNAZUSSYIYQON-NENBDWHOSA-N 0 0 433.387 -0.016 20 0 IBADRN CC1(C)CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C[C@@H](C(F)(F)F)O1 ZINC000488690053 1073592012 /nfs/dbraw/zinc/59/20/12/1073592012.db2.gz RXNAZUSSYIYQON-YCXYSXLESA-N 0 0 433.387 -0.016 20 0 IBADRN Cn1c(=O)c(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc2ccccc21 ZINC000488690730 1073591980 /nfs/dbraw/zinc/59/19/80/1073591980.db2.gz UZEJKDSOQBQAQV-VGKBRBPRSA-N 0 0 438.444 -0.098 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C[C@H]1OC ZINC000488691268 1073591962 /nfs/dbraw/zinc/59/19/62/1073591962.db2.gz YNKXZAMZZJQWRI-IAGOWNOFSA-N 0 0 441.506 -0.173 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C[C@@H]1OC ZINC000488691269 1073592037 /nfs/dbraw/zinc/59/20/37/1073592037.db2.gz YNKXZAMZZJQWRI-IRXDYDNUSA-N 0 0 441.506 -0.173 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCNS(=O)(=O)c3ccccc3)cnc21 ZINC000488697393 1073592640 /nfs/dbraw/zinc/59/26/40/1073592640.db2.gz PPVSXDUWVNMZFL-UHFFFAOYSA-N 0 0 439.475 -0.709 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000488702380 1073592491 /nfs/dbraw/zinc/59/24/91/1073592491.db2.gz RHTCVJKCQRVMAN-CXAGYDPISA-N 0 0 427.435 -0.688 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000488702384 1073592414 /nfs/dbraw/zinc/59/24/14/1073592414.db2.gz RHTCVJKCQRVMAN-DYVFJYSZSA-N 0 0 427.435 -0.688 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000488702385 1073592369 /nfs/dbraw/zinc/59/23/69/1073592369.db2.gz RHTCVJKCQRVMAN-GUYCJALGSA-N 0 0 427.435 -0.688 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000488702387 1073592387 /nfs/dbraw/zinc/59/23/87/1073592387.db2.gz RHTCVJKCQRVMAN-SUMWQHHRSA-N 0 0 427.435 -0.688 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000488704484 1073365245 /nfs/dbraw/zinc/36/52/45/1073365245.db2.gz QYPGVZRCELWVMR-UHFFFAOYSA-N 0 0 436.450 -0.548 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000488709101 1073365040 /nfs/dbraw/zinc/36/50/40/1073365040.db2.gz JVFWIIUCPPMDEF-UHFFFAOYSA-N 0 0 436.450 -0.548 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000488712516 1073592655 /nfs/dbraw/zinc/59/26/55/1073592655.db2.gz ZLNGPZLYBYGPOM-MRXNPFEDSA-N 0 0 446.507 -0.936 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC(Oc3cnccn3)CC2)CC1)N1CCOCC1 ZINC000488718686 1073365286 /nfs/dbraw/zinc/36/52/86/1073365286.db2.gz MMOYCISLYLIGCP-UHFFFAOYSA-N 0 0 432.525 -0.677 20 0 IBADRN CNS(=O)(=O)c1ccc(CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)s1 ZINC000488720991 1073592619 /nfs/dbraw/zinc/59/26/19/1073592619.db2.gz BKRCETVSWLRIJS-UHFFFAOYSA-N 0 0 445.504 -0.518 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCN3C(=O)c4ccccc4C3=O)cnc21 ZINC000488723927 1073592558 /nfs/dbraw/zinc/59/25/58/1073592558.db2.gz KGBSZDVNPRNGIO-UHFFFAOYSA-N 0 0 429.414 -0.391 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ccc(NS(C)(=O)=O)cc3)cnc21 ZINC000488727629 1073592695 /nfs/dbraw/zinc/59/26/95/1073592695.db2.gz QNKVMSLMDBJKGQ-UHFFFAOYSA-N 0 0 439.475 -0.116 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ccc(S(N)(=O)=O)s3)cnc21 ZINC000488730377 1073592431 /nfs/dbraw/zinc/59/24/31/1073592431.db2.gz VHGLOCSILBYCHO-UHFFFAOYSA-N 0 0 431.477 -0.779 20 0 IBADRN CC(C)CN1C[C@@H]2CN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CCN2C1=O ZINC000488735637 1073592605 /nfs/dbraw/zinc/59/26/05/1073592605.db2.gz OJPRWQOVFQAWEE-MSOLQXFVSA-N 0 0 441.598 -0.605 20 0 IBADRN CC(C)CN1C[C@H]2CN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CCN2C1=O ZINC000488735641 1073592632 /nfs/dbraw/zinc/59/26/32/1073592632.db2.gz OJPRWQOVFQAWEE-QZTJIDSGSA-N 0 0 441.598 -0.605 20 0 IBADRN CC(C)CN1C[C@@H]2CN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CCN2C1=O ZINC000488735644 1073592327 /nfs/dbraw/zinc/59/23/27/1073592327.db2.gz OJPRWQOVFQAWEE-ROUUACIJSA-N 0 0 441.598 -0.605 20 0 IBADRN CC(C)CN1C[C@H]2CN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CCN2C1=O ZINC000488735647 1073592547 /nfs/dbraw/zinc/59/25/47/1073592547.db2.gz OJPRWQOVFQAWEE-ZWKOTPCHSA-N 0 0 441.598 -0.605 20 0 IBADRN O=C(NCC(F)(F)F)[C@H]1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000488737546 1073592351 /nfs/dbraw/zinc/59/23/51/1073592351.db2.gz SOCUUEMDYRGMFP-JTQLQIEISA-N 0 0 427.405 -0.196 20 0 IBADRN O=C(NCC(F)(F)F)[C@@H]1CCN(C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000488737553 1073592400 /nfs/dbraw/zinc/59/24/00/1073592400.db2.gz SOCUUEMDYRGMFP-SNVBAGLBSA-N 0 0 427.405 -0.196 20 0 IBADRN COCCN(C(=O)CN1CCN2C(=O)N(CC(C)C)C[C@H]2C1)[C@H]1CCS(=O)(=O)C1 ZINC000488738527 1073593041 /nfs/dbraw/zinc/59/30/41/1073593041.db2.gz UDOCINNYELEYMC-DLBZAZTESA-N 0 0 430.571 -0.274 20 0 IBADRN COCCN(C(=O)CN1CCN2C(=O)N(CC(C)C)C[C@H]2C1)[C@@H]1CCS(=O)(=O)C1 ZINC000488738528 1073593058 /nfs/dbraw/zinc/59/30/58/1073593058.db2.gz UDOCINNYELEYMC-IAGOWNOFSA-N 0 0 430.571 -0.274 20 0 IBADRN COCCN(C(=O)CN1CCN2C(=O)N(CC(C)C)C[C@@H]2C1)[C@H]1CCS(=O)(=O)C1 ZINC000488738529 1073593089 /nfs/dbraw/zinc/59/30/89/1073593089.db2.gz UDOCINNYELEYMC-IRXDYDNUSA-N 0 0 430.571 -0.274 20 0 IBADRN COCCN(C(=O)CN1CCN2C(=O)N(CC(C)C)C[C@@H]2C1)[C@@H]1CCS(=O)(=O)C1 ZINC000488738530 1073593048 /nfs/dbraw/zinc/59/30/48/1073593048.db2.gz UDOCINNYELEYMC-SJORKVTESA-N 0 0 430.571 -0.274 20 0 IBADRN CCOC(=O)CN1CCCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000488757053 1073593113 /nfs/dbraw/zinc/59/31/13/1073593113.db2.gz GEYLLGLTYHHXPE-UHFFFAOYSA-N 0 0 425.467 -0.706 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000488757187 1073593102 /nfs/dbraw/zinc/59/31/02/1073593102.db2.gz DLUULBPZBPTRMS-HNNXBMFYSA-N 0 0 434.492 -0.730 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000488757188 1073593068 /nfs/dbraw/zinc/59/30/68/1073593068.db2.gz DLUULBPZBPTRMS-OAHLLOKOSA-N 0 0 434.492 -0.730 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(CC(=O)N3CCN(c4cnccn4)CC3)CC2)cc1 ZINC000488773021 1073592999 /nfs/dbraw/zinc/59/29/99/1073592999.db2.gz PFFBPFHLPWMDPR-UHFFFAOYSA-N 0 0 445.549 -0.405 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000488777966 1073593016 /nfs/dbraw/zinc/59/30/16/1073593016.db2.gz CSZXCQAFACATTL-HNNXBMFYSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000488777967 1073593106 /nfs/dbraw/zinc/59/31/06/1073593106.db2.gz CSZXCQAFACATTL-OAHLLOKOSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000488782610 1073593607 /nfs/dbraw/zinc/59/36/07/1073593607.db2.gz IAEJLCHARBRPKC-CABCVRRESA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000488782612 1073593747 /nfs/dbraw/zinc/59/37/47/1073593747.db2.gz IAEJLCHARBRPKC-GJZGRUSLSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000488782616 1073593724 /nfs/dbraw/zinc/59/37/24/1073593724.db2.gz IAEJLCHARBRPKC-HUUCEWRRSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000488782619 1073593678 /nfs/dbraw/zinc/59/36/78/1073593678.db2.gz IAEJLCHARBRPKC-LSDHHAIUSA-N 0 0 447.583 -0.033 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@](C)(C(N)=O)c3cccc(Cl)c3)c2c(=O)n(C)c1=O ZINC000488785410 1073593669 /nfs/dbraw/zinc/59/36/69/1073593669.db2.gz NBBFBDQNRXZJND-IBGZPJMESA-N 0 0 432.868 -0.006 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@](C)(C(N)=O)c3cccc(Cl)c3)c2c(=O)n(C)c1=O ZINC000488785411 1073593733 /nfs/dbraw/zinc/59/37/33/1073593733.db2.gz NBBFBDQNRXZJND-LJQANCHMSA-N 0 0 432.868 -0.006 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000488786251 1073593759 /nfs/dbraw/zinc/59/37/59/1073593759.db2.gz UFRCUCBXRPABQO-GXTWGEPZSA-N 0 0 444.535 -0.773 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000488786252 1073593729 /nfs/dbraw/zinc/59/37/29/1073593729.db2.gz UFRCUCBXRPABQO-JSGCOSHPSA-N 0 0 444.535 -0.773 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000488786253 1073593643 /nfs/dbraw/zinc/59/36/43/1073593643.db2.gz UFRCUCBXRPABQO-OCCSQVGLSA-N 0 0 444.535 -0.773 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000488786254 1073593653 /nfs/dbraw/zinc/59/36/53/1073593653.db2.gz UFRCUCBXRPABQO-TZMCWYRMSA-N 0 0 444.535 -0.773 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000488789530 1073594184 /nfs/dbraw/zinc/59/41/84/1073594184.db2.gz UYXIBFPFIUXHNU-CYBMUJFWSA-N 0 0 446.551 -0.676 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000488789531 1073594115 /nfs/dbraw/zinc/59/41/15/1073594115.db2.gz UYXIBFPFIUXHNU-ZDUSSCGKSA-N 0 0 446.551 -0.676 20 0 IBADRN CC(C)N(C)C(=O)[C@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488789834 1073593702 /nfs/dbraw/zinc/59/37/02/1073593702.db2.gz GJFFMJFULRHTQB-GFCCVEGCSA-N 0 0 427.508 -0.036 20 0 IBADRN CC(C)N(C)C(=O)[C@@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488789835 1073593687 /nfs/dbraw/zinc/59/36/87/1073593687.db2.gz GJFFMJFULRHTQB-LBPRGKRZSA-N 0 0 427.508 -0.036 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CSC[C@@H]3C(=O)N3CCCC3)cnc21 ZINC000488790067 1073593660 /nfs/dbraw/zinc/59/36/60/1073593660.db2.gz GSTFNRFLNBZFGK-GFCCVEGCSA-N 0 0 425.492 -0.280 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CSC[C@H]3C(=O)N3CCCC3)cnc21 ZINC000488790068 1073593698 /nfs/dbraw/zinc/59/36/98/1073593698.db2.gz GSTFNRFLNBZFGK-LBPRGKRZSA-N 0 0 425.492 -0.280 20 0 IBADRN COc1ccnc(N2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)n1 ZINC000488790207 1073594242 /nfs/dbraw/zinc/59/42/42/1073594242.db2.gz HXKYWGJBXCDPGB-UHFFFAOYSA-N 0 0 433.450 -0.656 20 0 IBADRN CCCN(C)C(=O)[C@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488792852 1073593079 /nfs/dbraw/zinc/59/30/79/1073593079.db2.gz HFWJJSNNKJIDGC-GFCCVEGCSA-N 0 0 427.508 -0.034 20 0 IBADRN CCCN(C)C(=O)[C@@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488792861 1073593131 /nfs/dbraw/zinc/59/31/31/1073593131.db2.gz HFWJJSNNKJIDGC-LBPRGKRZSA-N 0 0 427.508 -0.034 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CSC[C@@H]3C(=O)NCC3CC3)cnc21 ZINC000488793413 1073593707 /nfs/dbraw/zinc/59/37/07/1073593707.db2.gz IUHKJVBBFCWMMA-GFCCVEGCSA-N 0 0 425.492 -0.376 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CSC[C@H]3C(=O)NCC3CC3)cnc21 ZINC000488793711 1073593093 /nfs/dbraw/zinc/59/30/93/1073593093.db2.gz IUHKJVBBFCWMMA-LBPRGKRZSA-N 0 0 425.492 -0.376 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCCC[C@H]3C(=O)N3CCOCC3)cnc21 ZINC000488793878 1073593629 /nfs/dbraw/zinc/59/36/29/1073593629.db2.gz QLWOIGYWLLCBPY-AWEZNQCLSA-N 0 0 437.478 -0.564 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCCC[C@@H]3C(=O)N3CCOCC3)cnc21 ZINC000488793890 1073593764 /nfs/dbraw/zinc/59/37/64/1073593764.db2.gz QLWOIGYWLLCBPY-CQSZACIVSA-N 0 0 437.478 -0.564 20 0 IBADRN CC(C)CNC(=O)[C@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488794586 1073593615 /nfs/dbraw/zinc/59/36/15/1073593615.db2.gz KTKZIQZQZMKEEN-GFCCVEGCSA-N 0 0 427.508 -0.130 20 0 IBADRN CC(C)CNC(=O)[C@@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488794589 1073593716 /nfs/dbraw/zinc/59/37/16/1073593716.db2.gz KTKZIQZQZMKEEN-LBPRGKRZSA-N 0 0 427.508 -0.130 20 0 IBADRN Cn1c2ncc(S(=O)(=O)N3CCN(c4ncccc4C(N)=O)CC3)cc2c(=O)[nH]c1=O ZINC000488794644 1073593742 /nfs/dbraw/zinc/59/37/42/1073593742.db2.gz LIZPYCAJPNJCSX-UHFFFAOYSA-N 0 0 445.461 -0.961 20 0 IBADRN CN(C(=O)[C@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C1CC1 ZINC000488797084 1073594089 /nfs/dbraw/zinc/59/40/89/1073594089.db2.gz QKONTJVVTMKSEF-GFCCVEGCSA-N 0 0 425.492 -0.282 20 0 IBADRN CN(C(=O)[C@@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C1CC1 ZINC000488797086 1073594260 /nfs/dbraw/zinc/59/42/60/1073594260.db2.gz QKONTJVVTMKSEF-LBPRGKRZSA-N 0 0 425.492 -0.282 20 0 IBADRN CCN(CC)C(=O)[C@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488797515 1073594660 /nfs/dbraw/zinc/59/46/60/1073594660.db2.gz ZOECXUYCHWLSOL-GFCCVEGCSA-N 0 0 427.508 -0.034 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CSCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488797516 1073594736 /nfs/dbraw/zinc/59/47/36/1073594736.db2.gz ZOECXUYCHWLSOL-LBPRGKRZSA-N 0 0 427.508 -0.034 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCC(=O)N3CCc4ccccc4C3)cnc21 ZINC000488797809 1073594210 /nfs/dbraw/zinc/59/42/10/1073594210.db2.gz RTHLEYVCBRYZII-UHFFFAOYSA-N 0 0 429.458 -0.103 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000488800423 1073594222 /nfs/dbraw/zinc/59/42/22/1073594222.db2.gz LJCQCMGZPCOHDE-LLVKDONJSA-N 0 0 426.480 -0.748 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000488800426 1073594255 /nfs/dbraw/zinc/59/42/55/1073594255.db2.gz LJCQCMGZPCOHDE-NSHDSACASA-N 0 0 426.480 -0.748 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000488808835 1073594273 /nfs/dbraw/zinc/59/42/73/1073594273.db2.gz SFYTZXKCMVSDFE-GFCCVEGCSA-N 0 0 437.565 -0.077 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000488808836 1073594264 /nfs/dbraw/zinc/59/42/64/1073594264.db2.gz SFYTZXKCMVSDFE-LBPRGKRZSA-N 0 0 437.565 -0.077 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000488811841 1073594190 /nfs/dbraw/zinc/59/41/90/1073594190.db2.gz DMEQTPJSRUTMJF-CYBMUJFWSA-N 0 0 437.565 -0.077 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000488811842 1073594164 /nfs/dbraw/zinc/59/41/64/1073594164.db2.gz DMEQTPJSRUTMJF-ZDUSSCGKSA-N 0 0 437.565 -0.077 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000488811912 1073594197 /nfs/dbraw/zinc/59/41/97/1073594197.db2.gz DWPOPOHCZWJLRK-DOMZBBRYSA-N 0 0 436.490 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000488811919 1073594177 /nfs/dbraw/zinc/59/41/77/1073594177.db2.gz DWPOPOHCZWJLRK-IUODEOHRSA-N 0 0 436.490 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000488811921 1073594203 /nfs/dbraw/zinc/59/42/03/1073594203.db2.gz DWPOPOHCZWJLRK-SWLSCSKDSA-N 0 0 436.490 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000488811923 1073594249 /nfs/dbraw/zinc/59/42/49/1073594249.db2.gz DWPOPOHCZWJLRK-WFASDCNBSA-N 0 0 436.490 -0.543 20 0 IBADRN Cc1cc(N2CCC(NC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)nc(C)n1 ZINC000488812007 1073594143 /nfs/dbraw/zinc/59/41/43/1073594143.db2.gz CTTPUHSQJHVNIK-UHFFFAOYSA-N 0 0 436.494 -0.151 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@](C)(C(N)=O)c1cccc(Cl)c1 ZINC000488813795 1073594683 /nfs/dbraw/zinc/59/46/83/1073594683.db2.gz HYZLRXLDYPTURM-KRWDZBQOSA-N 0 0 432.930 -0.009 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@](C)(C(N)=O)c1cccc(Cl)c1 ZINC000488813796 1073594808 /nfs/dbraw/zinc/59/48/08/1073594808.db2.gz HYZLRXLDYPTURM-QGZVFWFLSA-N 0 0 432.930 -0.009 20 0 IBADRN Cc1cc(N2CCC(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)nc(C)n1 ZINC000488816626 1073594784 /nfs/dbraw/zinc/59/47/84/1073594784.db2.gz DMIUIDQMABKVNE-UHFFFAOYSA-N 0 0 435.510 -0.416 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000488820022 1073594726 /nfs/dbraw/zinc/59/47/26/1073594726.db2.gz CFNLGMSODKRAON-UHFFFAOYSA-N 0 0 442.585 -0.403 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc(C(N)=O)n(C)c3)CC2)cs1 ZINC000488820048 1073594610 /nfs/dbraw/zinc/59/46/10/1073594610.db2.gz CPOIQNGBVJRTBY-UHFFFAOYSA-N 0 0 433.537 -0.416 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)cn1C ZINC000488822736 1073594756 /nfs/dbraw/zinc/59/47/56/1073594756.db2.gz IILSXBMJBAXFQE-UHFFFAOYSA-N 0 0 447.564 -0.155 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCNC(=O)Cc3cccc(F)c3)cnc21 ZINC000488823435 1073594673 /nfs/dbraw/zinc/59/46/73/1073594673.db2.gz MMHWSTKEQKZNOL-UHFFFAOYSA-N 0 0 435.437 -0.190 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3cccc(NS(C)(=O)=O)c3)cnc21 ZINC000488826032 1073594745 /nfs/dbraw/zinc/59/47/45/1073594745.db2.gz RVNWRNZIWNZDJR-UHFFFAOYSA-N 0 0 439.475 -0.116 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000488827323 1073594650 /nfs/dbraw/zinc/59/46/50/1073594650.db2.gz CJJHZRZUPRXABW-AWEZNQCLSA-N 0 0 431.536 -0.298 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000488827330 1073594696 /nfs/dbraw/zinc/59/46/96/1073594696.db2.gz OGOPXAJYHCAOLM-UHFFFAOYSA-N 0 0 432.462 -0.592 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000488827332 1073594816 /nfs/dbraw/zinc/59/48/16/1073594816.db2.gz CJJHZRZUPRXABW-CQSZACIVSA-N 0 0 431.536 -0.298 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC[C@@H]3C(=O)N3CCSCC3)cnc21 ZINC000488827502 1073594772 /nfs/dbraw/zinc/59/47/72/1073594772.db2.gz PBNUTYJUMTUSHR-CYBMUJFWSA-N 0 0 439.519 -0.237 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC[C@H]3C(=O)N3CCSCC3)cnc21 ZINC000488827504 1073594825 /nfs/dbraw/zinc/59/48/25/1073594825.db2.gz PBNUTYJUMTUSHR-ZDUSSCGKSA-N 0 0 439.519 -0.237 20 0 IBADRN CN(C(=O)CN1CCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1)C1CCCCC1 ZINC000488828388 1073595330 /nfs/dbraw/zinc/59/53/30/1073595330.db2.gz WNEBCWLSYRLCFT-UHFFFAOYSA-N 0 0 441.554 -0.819 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000488830835 1073594707 /nfs/dbraw/zinc/59/47/07/1073594707.db2.gz HICXIRTVSPJMBL-GFCCVEGCSA-N 0 0 436.581 -0.094 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000488830836 1073594717 /nfs/dbraw/zinc/59/47/17/1073594717.db2.gz HICXIRTVSPJMBL-LBPRGKRZSA-N 0 0 436.581 -0.094 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000488831546 1073595362 /nfs/dbraw/zinc/59/53/62/1073595362.db2.gz BAVFHYHEXNFBBJ-SWLSCSKDSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000488831556 1073595350 /nfs/dbraw/zinc/59/53/50/1073595350.db2.gz BAVFHYHEXNFBBJ-WFASDCNBSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000488846212 1073595305 /nfs/dbraw/zinc/59/53/05/1073595305.db2.gz XQRMRRBOFPHCRO-HNNXBMFYSA-N 0 0 446.551 -0.194 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000488846213 1073595460 /nfs/dbraw/zinc/59/54/60/1073595460.db2.gz XQRMRRBOFPHCRO-OAHLLOKOSA-N 0 0 446.551 -0.194 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000488849552 1073595322 /nfs/dbraw/zinc/59/53/22/1073595322.db2.gz DREDXJOTBBIJQN-UHFFFAOYSA-N 0 0 445.523 -0.483 20 0 IBADRN Cc1cc(N2CCC(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)nc(C)n1 ZINC000488850092 1073595438 /nfs/dbraw/zinc/59/54/38/1073595438.db2.gz GSAZZNMSMKFWNQ-UHFFFAOYSA-N 0 0 426.481 -0.374 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)NCCCN3CCCC3=O)CC2)cs1 ZINC000488854855 1073595144 /nfs/dbraw/zinc/59/51/44/1073595144.db2.gz PAEGLMLFDJYGFK-UHFFFAOYSA-N 0 0 429.568 -0.113 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000488857368 1073595394 /nfs/dbraw/zinc/59/53/94/1073595394.db2.gz QCHLQVMPZLSDAC-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000488857375 1073595213 /nfs/dbraw/zinc/59/52/13/1073595213.db2.gz QCHLQVMPZLSDAC-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3csc(C)n3)CC2)C1 ZINC000488858698 1073595258 /nfs/dbraw/zinc/59/52/58/1073595258.db2.gz VLTKYBKTLXZJDQ-HNNXBMFYSA-N 0 0 429.568 -0.115 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3csc(C)n3)CC2)C1 ZINC000488858699 1073595227 /nfs/dbraw/zinc/59/52/27/1073595227.db2.gz VLTKYBKTLXZJDQ-OAHLLOKOSA-N 0 0 429.568 -0.115 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000488864835 1073595246 /nfs/dbraw/zinc/59/52/46/1073595246.db2.gz ZJKPXJCMKVLDSK-AWEZNQCLSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000488864836 1073595298 /nfs/dbraw/zinc/59/52/98/1073595298.db2.gz ZJKPXJCMKVLDSK-CQSZACIVSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000488867483 1073595829 /nfs/dbraw/zinc/59/58/29/1073595829.db2.gz XMXLYMGFLJRUOB-CAOSSQGBSA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000488867484 1073595770 /nfs/dbraw/zinc/59/57/70/1073595770.db2.gz XMXLYMGFLJRUOB-FXUDXRNXSA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000488867485 1073595977 /nfs/dbraw/zinc/59/59/77/1073595977.db2.gz XMXLYMGFLJRUOB-QKPAOTATSA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000488867486 1073595906 /nfs/dbraw/zinc/59/59/06/1073595906.db2.gz XMXLYMGFLJRUOB-YHUYYLMFSA-N 0 0 449.595 -0.364 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H]2CS(=O)(=O)NC)c1 ZINC000488872821 1073595945 /nfs/dbraw/zinc/59/59/45/1073595945.db2.gz YFGYJNFGWWXCST-AWEZNQCLSA-N 0 0 448.567 -0.581 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H]2CS(=O)(=O)NC)c1 ZINC000488872825 1073595808 /nfs/dbraw/zinc/59/58/08/1073595808.db2.gz YFGYJNFGWWXCST-CQSZACIVSA-N 0 0 448.567 -0.581 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000488874202 1073595921 /nfs/dbraw/zinc/59/59/21/1073595921.db2.gz CZVJFQRSWHBNJR-CYBMUJFWSA-N 0 0 449.551 -0.088 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000488874203 1073595956 /nfs/dbraw/zinc/59/59/56/1073595956.db2.gz CZVJFQRSWHBNJR-ZDUSSCGKSA-N 0 0 449.551 -0.088 20 0 IBADRN Cc1cc(N2CCC(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)nc(C)n1 ZINC000488878990 1073595719 /nfs/dbraw/zinc/59/57/19/1073595719.db2.gz KUPIMOKPUAPNKQ-UHFFFAOYSA-N 0 0 426.481 -0.374 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000488879169 1073595743 /nfs/dbraw/zinc/59/57/43/1073595743.db2.gz JVOLABIQFUUCLP-CVEARBPZSA-N 0 0 436.534 -0.401 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000488879170 1073595797 /nfs/dbraw/zinc/59/57/97/1073595797.db2.gz JVOLABIQFUUCLP-HOTGVXAUSA-N 0 0 436.534 -0.401 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000488879171 1073595758 /nfs/dbraw/zinc/59/57/58/1073595758.db2.gz JVOLABIQFUUCLP-HZPDHXFCSA-N 0 0 436.534 -0.401 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000488879172 1073595862 /nfs/dbraw/zinc/59/58/62/1073595862.db2.gz JVOLABIQFUUCLP-JKSUJKDBSA-N 0 0 436.534 -0.401 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCN(C(=O)c4ccccn4)CC3)cnc21 ZINC000488883341 1073595783 /nfs/dbraw/zinc/59/57/83/1073595783.db2.gz GLNWDKGFDHVOCC-UHFFFAOYSA-N 0 0 430.446 -0.424 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CNC(=O)c2ncccc2O)c1 ZINC000488886415 1073596356 /nfs/dbraw/zinc/59/63/56/1073596356.db2.gz PVRFSZQEMWBNES-LLVKDONJSA-N 0 0 435.462 -0.432 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CNC(=O)c2ncccc2O)c1 ZINC000488886419 1073596401 /nfs/dbraw/zinc/59/64/01/1073596401.db2.gz PVRFSZQEMWBNES-NSHDSACASA-N 0 0 435.462 -0.432 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000488887079 1073596512 /nfs/dbraw/zinc/59/65/12/1073596512.db2.gz YNYMNBUVXIIIGM-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000488887080 1073596479 /nfs/dbraw/zinc/59/64/79/1073596479.db2.gz YNYMNBUVXIIIGM-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN Cn1c2ncc(S(=O)(=O)NCc3cccc(S(N)(=O)=O)c3)cc2c(=O)[nH]c1=O ZINC000488887103 1073596452 /nfs/dbraw/zinc/59/64/52/1073596452.db2.gz BYBTZQPOEQNPFO-UHFFFAOYSA-N 0 0 425.448 -0.840 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCCC[C@H]3CNS(C)(=O)=O)cnc21 ZINC000488887955 1073596467 /nfs/dbraw/zinc/59/64/67/1073596467.db2.gz UECWFJGJUVCXGB-JTQLQIEISA-N 0 0 431.496 -0.874 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCCC[C@@H]3CNS(C)(=O)=O)cnc21 ZINC000488887964 1073596536 /nfs/dbraw/zinc/59/65/36/1073596536.db2.gz UECWFJGJUVCXGB-SNVBAGLBSA-N 0 0 431.496 -0.874 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCN(C(=O)c4cccnc4)CC3)cnc21 ZINC000488889133 1073596489 /nfs/dbraw/zinc/59/64/89/1073596489.db2.gz XGGLQVAEKNTORY-UHFFFAOYSA-N 0 0 430.446 -0.424 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H](NC(=O)COC)C(C)C)c1 ZINC000488891473 1073596503 /nfs/dbraw/zinc/59/65/03/1073596503.db2.gz PCBZYTPSMCAHBC-LRDDRELGSA-N 0 0 428.511 -0.175 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@@H](NC(=O)COC)C(C)C)c1 ZINC000488891474 1073596326 /nfs/dbraw/zinc/59/63/26/1073596326.db2.gz PCBZYTPSMCAHBC-WBMJQRKESA-N 0 0 428.511 -0.175 20 0 IBADRN COc1cc(CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)ccc1OCCO ZINC000488893412 1073596315 /nfs/dbraw/zinc/59/63/15/1073596315.db2.gz UNHCQWCDOFIHGB-UHFFFAOYSA-N 0 0 436.446 -0.108 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)NCC(C)(C)N3CCOCC3)CC2)cs1 ZINC000488895667 1073596292 /nfs/dbraw/zinc/59/62/92/1073596292.db2.gz ZJOVCSOYYBKKQC-UHFFFAOYSA-N 0 0 445.611 -0.015 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCSCC1 ZINC000488897783 1073596524 /nfs/dbraw/zinc/59/65/24/1073596524.db2.gz QRQVXHANSQYKCE-INIZCTEOSA-N 0 0 449.620 -0.067 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCSCC1 ZINC000488897785 1073596275 /nfs/dbraw/zinc/59/62/75/1073596275.db2.gz QRQVXHANSQYKCE-MRXNPFEDSA-N 0 0 449.620 -0.067 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000488908334 1073596996 /nfs/dbraw/zinc/59/69/96/1073596996.db2.gz KJNUQCGXMSPWDW-HNNXBMFYSA-N 0 0 448.567 -0.525 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000488908335 1073597172 /nfs/dbraw/zinc/59/71/72/1073597172.db2.gz KJNUQCGXMSPWDW-OAHLLOKOSA-N 0 0 448.567 -0.525 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)c3csc(C)n3)CC2)c(=O)[nH]c1=O ZINC000488913721 1073597076 /nfs/dbraw/zinc/59/70/76/1073597076.db2.gz NDRIYCKNBKKEHH-UHFFFAOYSA-N 0 0 442.523 -0.495 20 0 IBADRN CCCS(=O)(=O)NC1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000488915654 1073597038 /nfs/dbraw/zinc/59/70/38/1073597038.db2.gz IBZNDQWCENJGCA-UHFFFAOYSA-N 0 0 445.523 -0.483 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)N3CCN(C(=O)C4CC4)CC3)CC2)cs1 ZINC000488919008 1073597116 /nfs/dbraw/zinc/59/71/16/1073597116.db2.gz VHINJEKLUGGZAS-UHFFFAOYSA-N 0 0 441.579 -0.161 20 0 IBADRN Cn1c2ncc(S(=O)(=O)NCc3ccc(S(N)(=O)=O)cc3)cc2c(=O)[nH]c1=O ZINC000488919106 1073597144 /nfs/dbraw/zinc/59/71/44/1073597144.db2.gz WKNLWKOIQJRXNR-UHFFFAOYSA-N 0 0 425.448 -0.840 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000488920445 1073597007 /nfs/dbraw/zinc/59/70/07/1073597007.db2.gz QPEVVPWYEYLHDO-KBPBESRZSA-N 0 0 426.561 -0.850 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000488920446 1073597137 /nfs/dbraw/zinc/59/71/37/1073597137.db2.gz QPEVVPWYEYLHDO-KGLIPLIRSA-N 0 0 426.561 -0.850 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000488920447 1073597064 /nfs/dbraw/zinc/59/70/64/1073597064.db2.gz QPEVVPWYEYLHDO-UONOGXRCSA-N 0 0 426.561 -0.850 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000488920448 1073597128 /nfs/dbraw/zinc/59/71/28/1073597128.db2.gz QPEVVPWYEYLHDO-ZIAGYGMSSA-N 0 0 426.561 -0.850 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000488928039 1073597163 /nfs/dbraw/zinc/59/71/63/1073597163.db2.gz ZQOUUNVOFIBZMD-UHFFFAOYSA-N 0 0 429.587 -0.099 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)Cn3ncn(C)c3=O)cc2)CC1 ZINC000488940578 1073596897 /nfs/dbraw/zinc/59/68/97/1073596897.db2.gz WXNDPTNAYZGMOL-UHFFFAOYSA-N 0 0 430.509 -0.516 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@]3(N4CCOCC4)CCSC3)cnc21 ZINC000488948713 1073597050 /nfs/dbraw/zinc/59/70/50/1073597050.db2.gz UOGNWRZGFYRMHF-KRWDZBQOSA-N 0 0 441.535 -0.480 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@]3(N4CCOCC4)CCSC3)cnc21 ZINC000488948716 1073597109 /nfs/dbraw/zinc/59/71/09/1073597109.db2.gz UOGNWRZGFYRMHF-QGZVFWFLSA-N 0 0 441.535 -0.480 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000488949584 1073596984 /nfs/dbraw/zinc/59/69/84/1073596984.db2.gz WADHPKFKKMBWEP-NEPJUHHUSA-N 0 0 439.494 -0.414 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000488949587 1073597504 /nfs/dbraw/zinc/59/75/04/1073597504.db2.gz WADHPKFKKMBWEP-NWDGAFQWSA-N 0 0 439.494 -0.414 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000488949592 1073597419 /nfs/dbraw/zinc/59/74/19/1073597419.db2.gz WADHPKFKKMBWEP-RYUDHWBXSA-N 0 0 439.494 -0.414 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000488949595 1073597609 /nfs/dbraw/zinc/59/76/09/1073597609.db2.gz WADHPKFKKMBWEP-VXGBXAGGSA-N 0 0 439.494 -0.414 20 0 IBADRN CCCNC(=O)CN1CCC(NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000488950392 1073597515 /nfs/dbraw/zinc/59/75/15/1073597515.db2.gz WLFYPKRSTWNBDN-UHFFFAOYSA-N 0 0 438.510 -0.697 20 0 IBADRN COCCn1c(=O)[nH]c(=O)c(NCc2ccccc2)c1NC(=O)Cn1ncn(C)c1=O ZINC000488962047 1073597404 /nfs/dbraw/zinc/59/74/04/1073597404.db2.gz MBABUVLILLHCCE-UHFFFAOYSA-N 0 0 429.437 -0.259 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)CC1 ZINC000488965701 1073597579 /nfs/dbraw/zinc/59/75/79/1073597579.db2.gz ACQGVYVQAYPMJE-CYBMUJFWSA-N 0 0 429.568 -0.163 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)CC1 ZINC000488965703 1073597445 /nfs/dbraw/zinc/59/74/45/1073597445.db2.gz ACQGVYVQAYPMJE-ZDUSSCGKSA-N 0 0 429.568 -0.163 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCC(C(N)=O)CC3)CC2)cs1 ZINC000488965759 1073597554 /nfs/dbraw/zinc/59/75/54/1073597554.db2.gz AOHWHAAKIUOYFH-GFCCVEGCSA-N 0 0 429.568 -0.130 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCC(C(N)=O)CC3)CC2)cs1 ZINC000488965760 1073597388 /nfs/dbraw/zinc/59/73/88/1073597388.db2.gz AOHWHAAKIUOYFH-LBPRGKRZSA-N 0 0 429.568 -0.130 20 0 IBADRN CNS(=O)(=O)c1cccc(CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c1 ZINC000488966176 1073597591 /nfs/dbraw/zinc/59/75/91/1073597591.db2.gz FRANWXTYRUDRTL-UHFFFAOYSA-N 0 0 439.475 -0.579 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000488966984 1073597330 /nfs/dbraw/zinc/59/73/30/1073597330.db2.gz DHFICAJQMHNODY-LLVKDONJSA-N 0 0 436.494 -0.993 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000488966986 1073597618 /nfs/dbraw/zinc/59/76/18/1073597618.db2.gz DHFICAJQMHNODY-NSHDSACASA-N 0 0 436.494 -0.993 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000488967837 1073597542 /nfs/dbraw/zinc/59/75/42/1073597542.db2.gz FRQIQBRYWYLLBK-GFCCVEGCSA-N 0 0 438.510 -0.745 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000488967841 1073597457 /nfs/dbraw/zinc/59/74/57/1073597457.db2.gz FRQIQBRYWYLLBK-LBPRGKRZSA-N 0 0 438.510 -0.745 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CC[C@H](CS(C)(=O)=O)C1 ZINC000488968454 1073597431 /nfs/dbraw/zinc/59/74/31/1073597431.db2.gz ALISSTDQXUBLTN-AWEZNQCLSA-N 0 0 433.552 -0.125 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CC[C@@H](CS(C)(=O)=O)C1 ZINC000488968455 1073597571 /nfs/dbraw/zinc/59/75/71/1073597571.db2.gz ALISSTDQXUBLTN-CQSZACIVSA-N 0 0 433.552 -0.125 20 0 IBADRN Cn1c2ncc(S(=O)(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)cc2c(=O)[nH]c1=O ZINC000488968981 1073597486 /nfs/dbraw/zinc/59/74/86/1073597486.db2.gz JVXPVPGGJYZUBA-UHFFFAOYSA-N 0 0 445.461 -0.961 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)Nc2cnn(CC(=O)N3CCN(C)CC3)c2)n(C)c1 ZINC000488972727 1073598085 /nfs/dbraw/zinc/59/80/85/1073598085.db2.gz KJUGBUBLKBGSHF-UHFFFAOYSA-N 0 0 437.526 -0.502 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N(C)CC(=O)NC3CC3)CC2)cs1 ZINC000488977610 1073597348 /nfs/dbraw/zinc/59/73/48/1073597348.db2.gz RSGIFVUXVZHUQZ-GFCCVEGCSA-N 0 0 429.568 -0.117 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N(C)CC(=O)NC3CC3)CC2)cs1 ZINC000488977620 1073597470 /nfs/dbraw/zinc/59/74/70/1073597470.db2.gz RSGIFVUXVZHUQZ-LBPRGKRZSA-N 0 0 429.568 -0.117 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@H]1CN(C2CC2)CCO1 ZINC000488980247 1073598156 /nfs/dbraw/zinc/59/81/56/1073598156.db2.gz NNDCAEZCUADRJY-KRWDZBQOSA-N 0 0 426.539 -0.038 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@@H]1CN(C2CC2)CCO1 ZINC000488980250 1073598049 /nfs/dbraw/zinc/59/80/49/1073598049.db2.gz NNDCAEZCUADRJY-QGZVFWFLSA-N 0 0 426.539 -0.038 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCC[C@@H](C(N)=O)C3)CC2)cs1 ZINC000488981036 1073598109 /nfs/dbraw/zinc/59/81/09/1073598109.db2.gz VRVMSVJBLKKRPY-GXTWGEPZSA-N 0 0 429.568 -0.130 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCC[C@H](C(N)=O)C3)CC2)cs1 ZINC000488981042 1073598151 /nfs/dbraw/zinc/59/81/51/1073598151.db2.gz VRVMSVJBLKKRPY-JSGCOSHPSA-N 0 0 429.568 -0.130 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCC[C@H](C(N)=O)C3)CC2)cs1 ZINC000488981045 1073597976 /nfs/dbraw/zinc/59/79/76/1073597976.db2.gz VRVMSVJBLKKRPY-OCCSQVGLSA-N 0 0 429.568 -0.130 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCC[C@@H](C(N)=O)C3)CC2)cs1 ZINC000488981051 1073598213 /nfs/dbraw/zinc/59/82/13/1073598213.db2.gz VRVMSVJBLKKRPY-TZMCWYRMSA-N 0 0 429.568 -0.130 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(c3cnccn3)CC2)C1 ZINC000488986218 1073598121 /nfs/dbraw/zinc/59/81/21/1073598121.db2.gz RTMCCWZLPARVBZ-KRWDZBQOSA-N 0 0 440.570 -0.502 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(c3cnccn3)CC2)C1 ZINC000488986227 1073598246 /nfs/dbraw/zinc/59/82/46/1073598246.db2.gz RTMCCWZLPARVBZ-QGZVFWFLSA-N 0 0 440.570 -0.502 20 0 IBADRN CS(=O)(=O)CC1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000488988387 1073597998 /nfs/dbraw/zinc/59/79/98/1073597998.db2.gz WISHYYJXDBCQNW-HNNXBMFYSA-N 0 0 425.573 -0.059 20 0 IBADRN CS(=O)(=O)CC1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000488988390 1073598293 /nfs/dbraw/zinc/59/82/93/1073598293.db2.gz WISHYYJXDBCQNW-OAHLLOKOSA-N 0 0 425.573 -0.059 20 0 IBADRN Cn1cnn(CC(=O)NC2CCN([C@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)c1=O ZINC000488992599 1073598280 /nfs/dbraw/zinc/59/82/80/1073598280.db2.gz HWUCSBCUIKGMER-KRWDZBQOSA-N 0 0 426.477 -0.510 20 0 IBADRN Cn1cnn(CC(=O)NC2CCN([C@@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)c1=O ZINC000488992600 1073598024 /nfs/dbraw/zinc/59/80/24/1073598024.db2.gz HWUCSBCUIKGMER-QGZVFWFLSA-N 0 0 426.477 -0.510 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)cs1 ZINC000488998248 1073598196 /nfs/dbraw/zinc/59/81/96/1073598196.db2.gz BPKRKDFFIPEORY-UHFFFAOYSA-N 0 0 439.523 -0.768 20 0 IBADRN CN(C)C(=O)COc1cccc(CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c1 ZINC000488998330 1073598010 /nfs/dbraw/zinc/59/80/10/1073598010.db2.gz CJPCXSDNYGCUQH-UHFFFAOYSA-N 0 0 447.473 -0.020 20 0 IBADRN COc1ccc(C(=O)NCCNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)cc1 ZINC000488999814 1073598222 /nfs/dbraw/zinc/59/82/22/1073598222.db2.gz HCLALFUCWMRAGA-UHFFFAOYSA-N 0 0 433.446 -0.249 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCO1 ZINC000489000527 1073598183 /nfs/dbraw/zinc/59/81/83/1073598183.db2.gz FYSVGSPDJADLIT-KRWDZBQOSA-N 0 0 425.507 -0.466 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCO1 ZINC000489000538 1073598205 /nfs/dbraw/zinc/59/82/05/1073598205.db2.gz FYSVGSPDJADLIT-QGZVFWFLSA-N 0 0 425.507 -0.466 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000489001090 1073598722 /nfs/dbraw/zinc/59/87/22/1073598722.db2.gz HNQJFVOZSYILFN-HNNXBMFYSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000489001091 1073598594 /nfs/dbraw/zinc/59/85/94/1073598594.db2.gz HNQJFVOZSYILFN-OAHLLOKOSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000489001736 1073598638 /nfs/dbraw/zinc/59/86/38/1073598638.db2.gz JBDCHIVRXFSPIM-INIZCTEOSA-N 0 0 427.479 -0.480 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000489001737 1073598733 /nfs/dbraw/zinc/59/87/33/1073598733.db2.gz JBDCHIVRXFSPIM-MRXNPFEDSA-N 0 0 427.479 -0.480 20 0 IBADRN CNC(=O)COc1cccc(CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c1 ZINC000489003142 1073598671 /nfs/dbraw/zinc/59/86/71/1073598671.db2.gz TZFGEYXNGXHBMM-UHFFFAOYSA-N 0 0 433.446 -0.363 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCO1 ZINC000489006052 1073598779 /nfs/dbraw/zinc/59/87/79/1073598779.db2.gz SLWRDTAOQBEHSW-MSOLQXFVSA-N 0 0 433.461 -0.629 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCO1 ZINC000489006053 1073598620 /nfs/dbraw/zinc/59/86/20/1073598620.db2.gz SLWRDTAOQBEHSW-QZTJIDSGSA-N 0 0 433.461 -0.629 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCO1 ZINC000489006054 1073598829 /nfs/dbraw/zinc/59/88/29/1073598829.db2.gz SLWRDTAOQBEHSW-ROUUACIJSA-N 0 0 433.461 -0.629 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCO1 ZINC000489006055 1073598744 /nfs/dbraw/zinc/59/87/44/1073598744.db2.gz SLWRDTAOQBEHSW-ZWKOTPCHSA-N 0 0 433.461 -0.629 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000489006356 1073598684 /nfs/dbraw/zinc/59/86/84/1073598684.db2.gz ZTTNDJSVHHXIDT-HOTGVXAUSA-N 0 0 427.461 -0.507 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000489006372 1073598858 /nfs/dbraw/zinc/59/88/58/1073598858.db2.gz ZTTNDJSVHHXIDT-JKSUJKDBSA-N 0 0 427.461 -0.507 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000489007672 1073598844 /nfs/dbraw/zinc/59/88/44/1073598844.db2.gz VFYUSEYOOPWXAY-INIZCTEOSA-N 0 0 427.479 -0.480 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000489007673 1073598603 /nfs/dbraw/zinc/59/86/03/1073598603.db2.gz VFYUSEYOOPWXAY-MRXNPFEDSA-N 0 0 427.479 -0.480 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CCn3cncn3)CC2)cc1 ZINC000489008071 1073598650 /nfs/dbraw/zinc/59/86/50/1073598650.db2.gz GFCJHDYVCOCQDE-UHFFFAOYSA-N 0 0 449.537 -0.251 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000489008504 1073598884 /nfs/dbraw/zinc/59/88/84/1073598884.db2.gz WEIRHSOYLVJFCT-INIZCTEOSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000489008505 1073598714 /nfs/dbraw/zinc/59/87/14/1073598714.db2.gz WEIRHSOYLVJFCT-MRXNPFEDSA-N 0 0 429.470 -0.468 20 0 IBADRN O=C(CCCCN1C(=O)c2ccccc2C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000489020013 1073599356 /nfs/dbraw/zinc/59/93/56/1073599356.db2.gz UAGDOFFRNXJGPQ-MSOLQXFVSA-N 0 0 449.529 -0.245 20 0 IBADRN O=C(CCCCN1C(=O)c2ccccc2C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000489020014 1073599285 /nfs/dbraw/zinc/59/92/85/1073599285.db2.gz UAGDOFFRNXJGPQ-QZTJIDSGSA-N 0 0 449.529 -0.245 20 0 IBADRN O=C(CCCCN1C(=O)c2ccccc2C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000489020015 1073599298 /nfs/dbraw/zinc/59/92/98/1073599298.db2.gz UAGDOFFRNXJGPQ-ROUUACIJSA-N 0 0 449.529 -0.245 20 0 IBADRN O=C(CCCCN1C(=O)c2ccccc2C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000489020016 1073599347 /nfs/dbraw/zinc/59/93/47/1073599347.db2.gz UAGDOFFRNXJGPQ-ZWKOTPCHSA-N 0 0 449.529 -0.245 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000489029206 1073599328 /nfs/dbraw/zinc/59/93/28/1073599328.db2.gz ACYYIVCHOSQPHC-KRWDZBQOSA-N 0 0 441.506 -0.803 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000489029208 1073599313 /nfs/dbraw/zinc/59/93/13/1073599313.db2.gz ACYYIVCHOSQPHC-QGZVFWFLSA-N 0 0 441.506 -0.803 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCO[C@H](C(=O)OC)C3)nc(=O)c12 ZINC000489029922 1073599242 /nfs/dbraw/zinc/59/92/42/1073599242.db2.gz CVVNHNDGEDBVOS-JTQLQIEISA-N 0 0 439.446 -0.140 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCO[C@@H](C(=O)OC)C3)nc(=O)c12 ZINC000489029931 1073599382 /nfs/dbraw/zinc/59/93/82/1073599382.db2.gz CVVNHNDGEDBVOS-SNVBAGLBSA-N 0 0 439.446 -0.140 20 0 IBADRN COC(=O)[C@@H]1CN([C@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000489030576 1073599370 /nfs/dbraw/zinc/59/93/70/1073599370.db2.gz IHHXJOPARDIKSR-PBHICJAKSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@H]1CN([C@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000489030577 1073599220 /nfs/dbraw/zinc/59/92/20/1073599220.db2.gz IHHXJOPARDIKSR-RHSMWYFYSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@H]1CN([C@@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000489030578 1073599324 /nfs/dbraw/zinc/59/93/24/1073599324.db2.gz IHHXJOPARDIKSR-WMLDXEAASA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@@H]1CN([C@@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000489030579 1073599337 /nfs/dbraw/zinc/59/93/37/1073599337.db2.gz IHHXJOPARDIKSR-YOEHRIQHSA-N 0 0 441.506 -0.092 20 0 IBADRN CN(CCCNC(=O)CN1CCN(CC(=O)NC2CCCCC2)CC1)S(C)(=O)=O ZINC000489031074 1073599414 /nfs/dbraw/zinc/59/94/14/1073599414.db2.gz NCIWSKKIWAHENJ-UHFFFAOYSA-N 0 0 431.603 -0.549 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CCO1 ZINC000489031701 1073599876 /nfs/dbraw/zinc/59/98/76/1073599876.db2.gz CFOIRTBEPUATFA-KRWDZBQOSA-N 0 0 441.506 -0.598 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CCO1 ZINC000489031705 1073599770 /nfs/dbraw/zinc/59/97/70/1073599770.db2.gz CFOIRTBEPUATFA-QGZVFWFLSA-N 0 0 441.506 -0.598 20 0 IBADRN COC(=O)[C@@H]1CN([C@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000489031944 1073599402 /nfs/dbraw/zinc/59/94/02/1073599402.db2.gz WXMOTELNHCERAQ-PBHICJAKSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@H]1CN([C@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000489031945 1073599272 /nfs/dbraw/zinc/59/92/72/1073599272.db2.gz WXMOTELNHCERAQ-RHSMWYFYSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@H]1CN([C@@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000489031946 1073599926 /nfs/dbraw/zinc/59/99/26/1073599926.db2.gz WXMOTELNHCERAQ-WMLDXEAASA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@@H]1CN([C@@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000489031947 1073599903 /nfs/dbraw/zinc/59/99/03/1073599903.db2.gz WXMOTELNHCERAQ-YOEHRIQHSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000489032307 1073599232 /nfs/dbraw/zinc/59/92/32/1073599232.db2.gz FVTLJMAEIIOPNY-INIZCTEOSA-N 0 0 429.470 -0.468 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000489032308 1073599442 /nfs/dbraw/zinc/59/94/42/1073599442.db2.gz FVTLJMAEIIOPNY-MRXNPFEDSA-N 0 0 429.470 -0.468 20 0 IBADRN CN(C(=O)CN1CCN(Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1)C1CCCCC1 ZINC000489035989 1073598803 /nfs/dbraw/zinc/59/88/03/1073598803.db2.gz LXLZSQRDQXPMJL-UHFFFAOYSA-N 0 0 431.541 -0.131 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000489036749 1073598759 /nfs/dbraw/zinc/59/87/59/1073598759.db2.gz NOUIUDOLIOKFLM-HNNXBMFYSA-N 0 0 448.568 -0.354 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000489036751 1073599264 /nfs/dbraw/zinc/59/92/64/1073599264.db2.gz NOUIUDOLIOKFLM-OAHLLOKOSA-N 0 0 448.568 -0.354 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCO1 ZINC000489040409 1073599840 /nfs/dbraw/zinc/59/98/40/1073599840.db2.gz UEORFNXDOYHSSB-HNNXBMFYSA-N 0 0 447.460 -0.329 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCO1 ZINC000489040415 1073599952 /nfs/dbraw/zinc/59/99/52/1073599952.db2.gz UEORFNXDOYHSSB-OAHLLOKOSA-N 0 0 447.460 -0.329 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CC[C@@H]1CCCCN1C(C)=O ZINC000489044014 1073599809 /nfs/dbraw/zinc/59/98/09/1073599809.db2.gz CCFGUDDFEGSCCC-CVEARBPZSA-N 0 0 437.584 -0.268 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)CC[C@@H]1CCCCN1C(C)=O ZINC000489044022 1073599830 /nfs/dbraw/zinc/59/98/30/1073599830.db2.gz CCFGUDDFEGSCCC-HOTGVXAUSA-N 0 0 437.584 -0.268 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CC[C@H]1CCCCN1C(C)=O ZINC000489044028 1073599915 /nfs/dbraw/zinc/59/99/15/1073599915.db2.gz CCFGUDDFEGSCCC-HZPDHXFCSA-N 0 0 437.584 -0.268 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)CC[C@H]1CCCCN1C(C)=O ZINC000489044032 1073599783 /nfs/dbraw/zinc/59/97/83/1073599783.db2.gz CCFGUDDFEGSCCC-JKSUJKDBSA-N 0 0 437.584 -0.268 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cnc1C ZINC000489051890 1073599818 /nfs/dbraw/zinc/59/98/18/1073599818.db2.gz KSZKBRHZJGSCFZ-UHFFFAOYSA-N 0 0 426.495 -0.658 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnc(C)c(C(=O)OC)c1 ZINC000489056505 1073599975 /nfs/dbraw/zinc/59/99/75/1073599975.db2.gz YLCGXAFATVDEON-GFCCVEGCSA-N 0 0 433.508 -0.509 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnc(C)c(C(=O)OC)c1 ZINC000489056508 1073599988 /nfs/dbraw/zinc/59/99/88/1073599988.db2.gz YLCGXAFATVDEON-LBPRGKRZSA-N 0 0 433.508 -0.509 20 0 IBADRN CCN(C(=O)CN1CCO[C@H](C(=O)OC)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000489060935 1073600404 /nfs/dbraw/zinc/60/04/04/1073600404.db2.gz FWHXIMIYZBIICV-HNNXBMFYSA-N 0 0 445.476 -0.194 20 0 IBADRN CCN(C(=O)CN1CCO[C@@H](C(=O)OC)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000489060936 1073600673 /nfs/dbraw/zinc/60/06/73/1073600673.db2.gz FWHXIMIYZBIICV-OAHLLOKOSA-N 0 0 445.476 -0.194 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCO[C@H](C(=O)OC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000489061425 1073600584 /nfs/dbraw/zinc/60/05/84/1073600584.db2.gz IHZSTSWKUBLRFH-INIZCTEOSA-N 0 0 430.461 -0.283 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCO[C@@H](C(=O)OC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000489061426 1073600643 /nfs/dbraw/zinc/60/06/43/1073600643.db2.gz IHZSTSWKUBLRFH-MRXNPFEDSA-N 0 0 430.461 -0.283 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N(C)CC(=O)N(C)C)c1OC ZINC000489062666 1073600635 /nfs/dbraw/zinc/60/06/35/1073600635.db2.gz OCRRDLHIWXQZTO-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000489067532 1073600608 /nfs/dbraw/zinc/60/06/08/1073600608.db2.gz WDVUAXLMPAJTIG-INIZCTEOSA-N 0 0 441.506 -0.172 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000489067539 1073600511 /nfs/dbraw/zinc/60/05/11/1073600511.db2.gz WDVUAXLMPAJTIG-MRXNPFEDSA-N 0 0 441.506 -0.172 20 0 IBADRN CC(=O)N1CCCC[C@@H]1CCS(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000489073294 1073599793 /nfs/dbraw/zinc/59/97/93/1073599793.db2.gz LJFCYZBQWOPXPP-GOSISDBHSA-N 0 0 430.571 -0.416 20 0 IBADRN CC(=O)N1CCCC[C@H]1CCS(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000489073295 1073599890 /nfs/dbraw/zinc/59/98/90/1073599890.db2.gz LJFCYZBQWOPXPP-SFHVURJKSA-N 0 0 430.571 -0.416 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCCCC1 ZINC000489076597 1073600531 /nfs/dbraw/zinc/60/05/31/1073600531.db2.gz PDBIETPOVLNWIX-UHFFFAOYSA-N 0 0 445.538 -0.557 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(CC(=O)NC3CCCCC3)CC2)CC1 ZINC000489076763 1073600566 /nfs/dbraw/zinc/60/05/66/1073600566.db2.gz UMSBJMIIJWWJMO-UHFFFAOYSA-N 0 0 436.601 -0.715 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cnc1C ZINC000489077543 1073600683 /nfs/dbraw/zinc/60/06/83/1073600683.db2.gz QBCMJDLBBFLBFF-UHFFFAOYSA-N 0 0 426.495 -0.658 20 0 IBADRN CC(=O)N1CCCC[C@H]1CCS(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000489080322 1073600477 /nfs/dbraw/zinc/60/04/77/1073600477.db2.gz UNHMYLYVGWPKSU-KRWDZBQOSA-N 0 0 444.554 -0.890 20 0 IBADRN CC(=O)N1CCCC[C@@H]1CCS(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000489080332 1073600651 /nfs/dbraw/zinc/60/06/51/1073600651.db2.gz UNHMYLYVGWPKSU-QGZVFWFLSA-N 0 0 444.554 -0.890 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CCCCC1 ZINC000489081211 1073600448 /nfs/dbraw/zinc/60/04/48/1073600448.db2.gz UZTXWMWZTDWGNO-UHFFFAOYSA-N 0 0 431.555 -0.084 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000489088082 1073600549 /nfs/dbraw/zinc/60/05/49/1073600549.db2.gz LUKHSHUCTGWMAD-UHFFFAOYSA-N 0 0 441.506 -0.023 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](C(=O)OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000489092971 1073600597 /nfs/dbraw/zinc/60/05/97/1073600597.db2.gz HNKSTTPXSBUNCG-CYBMUJFWSA-N 0 0 441.485 -0.960 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](C(=O)OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000489092972 1073601054 /nfs/dbraw/zinc/60/10/54/1073601054.db2.gz HNKSTTPXSBUNCG-ZDUSSCGKSA-N 0 0 441.485 -0.960 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC000489095440 1073601125 /nfs/dbraw/zinc/60/11/25/1073601125.db2.gz NUTVRUQDUJCJRM-INIZCTEOSA-N 0 0 441.506 -0.803 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC000489095441 1073601062 /nfs/dbraw/zinc/60/10/62/1073601062.db2.gz NUTVRUQDUJCJRM-MRXNPFEDSA-N 0 0 441.506 -0.803 20 0 IBADRN CN(C(=O)CN1CCN(CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1)C1CCCCC1 ZINC000489098245 1073601153 /nfs/dbraw/zinc/60/11/53/1073601153.db2.gz RSAZELSADBQDOU-UHFFFAOYSA-N 0 0 445.568 -0.158 20 0 IBADRN CC(=O)N1CCCC[C@H]1CCS(=O)(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000489098367 1073601133 /nfs/dbraw/zinc/60/11/33/1073601133.db2.gz HFEOTFLOIJVURI-KRWDZBQOSA-N 0 0 428.555 -0.126 20 0 IBADRN CC(=O)N1CCCC[C@@H]1CCS(=O)(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000489098369 1073601144 /nfs/dbraw/zinc/60/11/44/1073601144.db2.gz HFEOTFLOIJVURI-QGZVFWFLSA-N 0 0 428.555 -0.126 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)nn1 ZINC000489098935 1073601279 /nfs/dbraw/zinc/60/12/79/1073601279.db2.gz BNOBJQXHIJQBAO-UHFFFAOYSA-N 0 0 430.469 -0.502 20 0 IBADRN O=C(NCCC(=O)N1CCN(c2ccccn2)CC1)NC1CCC2(CC1)NC(=O)NC2=O ZINC000489100502 1073601339 /nfs/dbraw/zinc/60/13/39/1073601339.db2.gz FCXJOLIWPMJUNC-UHFFFAOYSA-N 0 0 443.508 -0.060 20 0 IBADRN CN(CCCNC(=O)CN1CCN(CC(=O)N(C)C2CCCCC2)CC1)S(C)(=O)=O ZINC000489104838 1073601300 /nfs/dbraw/zinc/60/13/00/1073601300.db2.gz RPKPQJBIPCGBIG-UHFFFAOYSA-N 0 0 445.630 -0.207 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000489108963 1073601320 /nfs/dbraw/zinc/60/13/20/1073601320.db2.gz HHWCSVZGVRNNLY-UHFFFAOYSA-N 0 0 439.490 -0.269 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cc3c(C)nc4nc(N)nn4c3C)CC2)cn1C ZINC000489112373 1073362490 /nfs/dbraw/zinc/36/24/90/1073362490.db2.gz CNTPWMOIYXSJNY-UHFFFAOYSA-N 0 0 447.525 -0.559 20 0 IBADRN O=C(COCC(=O)N1CC(=O)N(CC(F)(F)F)C1)N1CC(=O)N(CC(F)(F)F)C1 ZINC000489113499 1073601112 /nfs/dbraw/zinc/60/11/12/1073601112.db2.gz UEESOUYKGYUSMK-UHFFFAOYSA-N 0 0 434.293 -0.616 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1)c1cn(CCO)c(=O)[nH]c1=O ZINC000489116421 1073601893 /nfs/dbraw/zinc/60/18/93/1073601893.db2.gz LTIVTXCBEURANZ-LLVKDONJSA-N 0 0 428.492 -0.414 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cnc1C ZINC000489118784 1073601990 /nfs/dbraw/zinc/60/19/90/1073601990.db2.gz UYXOVAXMSQYTTR-UHFFFAOYSA-N 0 0 438.462 -0.841 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cnc1C ZINC000489118991 1073601901 /nfs/dbraw/zinc/60/19/01/1073601901.db2.gz QBJQKEYYCGVQKE-UHFFFAOYSA-N 0 0 448.523 -0.940 20 0 IBADRN COCC(=O)N1CCC(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000489120962 1073601926 /nfs/dbraw/zinc/60/19/26/1073601926.db2.gz FAEINRKYAMGQAN-UHFFFAOYSA-N 0 0 438.506 -0.826 20 0 IBADRN CN(C(=O)CN1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1)C1CCCCC1 ZINC000489121439 1073601934 /nfs/dbraw/zinc/60/19/34/1073601934.db2.gz HEAIQRLKEWAZJH-UHFFFAOYSA-N 0 0 434.541 -0.742 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000489122124 1073601263 /nfs/dbraw/zinc/60/12/63/1073601263.db2.gz KXKWZVBGENMQBS-AWEZNQCLSA-N 0 0 434.541 -0.696 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000489122125 1073601220 /nfs/dbraw/zinc/60/12/20/1073601220.db2.gz KXKWZVBGENMQBS-CQSZACIVSA-N 0 0 434.541 -0.696 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N[C@@H]2CCCN(S(=O)(=O)c3cccs3)C2)CC1 ZINC000489125393 1073601189 /nfs/dbraw/zinc/60/11/89/1073601189.db2.gz BPXCOAOMZMQDCS-CYBMUJFWSA-N 0 0 436.581 -0.067 20 0 IBADRN Cc1c(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cnn1C ZINC000489125590 1073602001 /nfs/dbraw/zinc/60/20/01/1073602001.db2.gz FZLZMOAAGDVLND-UHFFFAOYSA-N 0 0 428.540 -0.446 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(CC(=O)NC3CCCCC3)CC1)c(=O)n2C ZINC000489125754 1073601878 /nfs/dbraw/zinc/60/18/78/1073601878.db2.gz GXLLJTNNZHEQHK-UHFFFAOYSA-N 0 0 445.568 -0.110 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(Cl)c1 ZINC000489126814 1073601985 /nfs/dbraw/zinc/60/19/85/1073601985.db2.gz LSFTWWPHMVOYPT-UHFFFAOYSA-N 0 0 435.872 -0.635 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000489126849 1073601997 /nfs/dbraw/zinc/60/19/97/1073601997.db2.gz VHJMJNWUZGAWJG-AWEZNQCLSA-N 0 0 448.519 -0.409 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000489126850 1073601852 /nfs/dbraw/zinc/60/18/52/1073601852.db2.gz VHJMJNWUZGAWJG-CQSZACIVSA-N 0 0 448.519 -0.409 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000489135907 1073602757 /nfs/dbraw/zinc/60/27/57/1073602757.db2.gz WRAHIBQGWKAPFL-AWEZNQCLSA-N 0 0 448.519 -0.457 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000489135917 1073602743 /nfs/dbraw/zinc/60/27/43/1073602743.db2.gz WRAHIBQGWKAPFL-CQSZACIVSA-N 0 0 448.519 -0.457 20 0 IBADRN O=C(Cn1cc(S(=O)(=O)N2CCCC2)ccc1=O)N1CCN(c2cnccn2)CC1 ZINC000489142840 1073601977 /nfs/dbraw/zinc/60/19/77/1073601977.db2.gz WSLWDNCZQXULHK-UHFFFAOYSA-N 0 0 432.506 -0.228 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(C(=O)CN3CCCC3)CC2)c(=O)n(C)c1=O ZINC000489146600 1073602678 /nfs/dbraw/zinc/60/26/78/1073602678.db2.gz HNFYIVUGBCQRNH-UHFFFAOYSA-N 0 0 434.541 -0.792 20 0 IBADRN O=C(NC[C@H]1CN(C2CC2)CCO1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000489152329 1073602721 /nfs/dbraw/zinc/60/27/21/1073602721.db2.gz XUQVZWKEGXXJKY-KRWDZBQOSA-N 0 0 431.559 -0.810 20 0 IBADRN O=C(NC[C@@H]1CN(C2CC2)CCO1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000489152330 1073603150 /nfs/dbraw/zinc/60/31/50/1073603150.db2.gz XUQVZWKEGXXJKY-QGZVFWFLSA-N 0 0 431.559 -0.810 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(Cl)c1 ZINC000489155094 1073603283 /nfs/dbraw/zinc/60/32/83/1073603283.db2.gz QLHBAJBHIVBZIG-UHFFFAOYSA-N 0 0 436.896 -0.043 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489155517 1073603060 /nfs/dbraw/zinc/60/30/60/1073603060.db2.gz BKYMMQZIKAAKCL-JTQLQIEISA-N 0 0 435.300 -0.262 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489155522 1073603225 /nfs/dbraw/zinc/60/32/25/1073603225.db2.gz BKYMMQZIKAAKCL-SNVBAGLBSA-N 0 0 435.300 -0.262 20 0 IBADRN COCC1(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCOCC1 ZINC000489158730 1073602666 /nfs/dbraw/zinc/60/26/66/1073602666.db2.gz OIYXTKAJIISFHE-UHFFFAOYSA-N 0 0 434.559 -0.230 20 0 IBADRN O=C(CCNC(=O)NCC1(O)CCS(=O)(=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000489159943 1073602693 /nfs/dbraw/zinc/60/26/93/1073602693.db2.gz DRKNQPMUEFWDAK-UHFFFAOYSA-N 0 0 438.550 -0.036 20 0 IBADRN Cc1cc(C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000489163693 1073602782 /nfs/dbraw/zinc/60/27/82/1073602782.db2.gz DXBVIFASEOTJMN-CYBMUJFWSA-N 0 0 435.506 -0.419 20 0 IBADRN Cc1cc(C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000489163695 1073602735 /nfs/dbraw/zinc/60/27/35/1073602735.db2.gz DXBVIFASEOTJMN-ZDUSSCGKSA-N 0 0 435.506 -0.419 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000489164120 1073602764 /nfs/dbraw/zinc/60/27/64/1073602764.db2.gz DOUHZOIUTZAHLY-HNNXBMFYSA-N 0 0 448.567 -0.213 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000489164121 1073602798 /nfs/dbraw/zinc/60/27/98/1073602798.db2.gz DOUHZOIUTZAHLY-OAHLLOKOSA-N 0 0 448.567 -0.213 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000489178387 1073603210 /nfs/dbraw/zinc/60/32/10/1073603210.db2.gz NFRHISDSEFSISR-HNNXBMFYSA-N 0 0 439.542 -0.762 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000489178388 1073603083 /nfs/dbraw/zinc/60/30/83/1073603083.db2.gz NFRHISDSEFSISR-OAHLLOKOSA-N 0 0 439.542 -0.762 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C2CCC3(CC2)NC(=O)NC3=O)C1 ZINC000489178780 1073603119 /nfs/dbraw/zinc/60/31/19/1073603119.db2.gz OBVRBNYNECDCAN-FVRSWCFKSA-N 0 0 430.527 -0.346 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C2CCC3(CC2)NC(=O)NC3=O)C1 ZINC000489178782 1073603878 /nfs/dbraw/zinc/60/38/78/1073603878.db2.gz OBVRBNYNECDCAN-TXKKKEFDSA-N 0 0 430.527 -0.346 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489179436 1073603193 /nfs/dbraw/zinc/60/31/93/1073603193.db2.gz CIPHCARQDMQQNN-ILXRZTDVSA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489179437 1073603275 /nfs/dbraw/zinc/60/32/75/1073603275.db2.gz CIPHCARQDMQQNN-KFWWJZLASA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489179438 1073603215 /nfs/dbraw/zinc/60/32/15/1073603215.db2.gz CIPHCARQDMQQNN-QLFBSQMISA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489179439 1073603307 /nfs/dbraw/zinc/60/33/07/1073603307.db2.gz CIPHCARQDMQQNN-RBSFLKMASA-N 0 0 433.552 -0.952 20 0 IBADRN CCCCN(C(=O)CN1CCO[C@@H](C(=O)OC)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000489180940 1073603924 /nfs/dbraw/zinc/60/39/24/1073603924.db2.gz TVSRSHZXTCFUFS-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCCCN(C(=O)CN1CCO[C@H](C(=O)OC)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000489180941 1073603814 /nfs/dbraw/zinc/60/38/14/1073603814.db2.gz TVSRSHZXTCFUFS-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN(C)c2ncnc3nc[nH]c32)C1 ZINC000489180977 1073603937 /nfs/dbraw/zinc/60/39/37/1073603937.db2.gz HCSNQEMVZPUKAI-CYBMUJFWSA-N 0 0 425.515 -0.312 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN(C)c2ncnc3nc[nH]c32)C1 ZINC000489180978 1073603864 /nfs/dbraw/zinc/60/38/64/1073603864.db2.gz HCSNQEMVZPUKAI-ZDUSSCGKSA-N 0 0 425.515 -0.312 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489181005 1073603893 /nfs/dbraw/zinc/60/38/93/1073603893.db2.gz HIFZJAKIZWIQTG-AWEZNQCLSA-N 0 0 446.551 -0.324 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489181006 1073603845 /nfs/dbraw/zinc/60/38/45/1073603845.db2.gz HIFZJAKIZWIQTG-CQSZACIVSA-N 0 0 446.551 -0.324 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cc2c(C)[nH]c3ccnn3c2=O)C1 ZINC000489181881 1073603856 /nfs/dbraw/zinc/60/38/56/1073603856.db2.gz VFKCEMFSTMODJH-AWEZNQCLSA-N 0 0 425.511 -0.227 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cc2c(C)[nH]c3ccnn3c2=O)C1 ZINC000489181882 1073603916 /nfs/dbraw/zinc/60/39/16/1073603916.db2.gz VFKCEMFSTMODJH-CQSZACIVSA-N 0 0 425.511 -0.227 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000489182992 1073603996 /nfs/dbraw/zinc/60/39/96/1073603996.db2.gz LSUKXQBUKUFOMB-CABCVRRESA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)C1 ZINC000489182996 1073603839 /nfs/dbraw/zinc/60/38/39/1073603839.db2.gz LSUKXQBUKUFOMB-GJZGRUSLSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000489182998 1073603824 /nfs/dbraw/zinc/60/38/24/1073603824.db2.gz LSUKXQBUKUFOMB-HUUCEWRRSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)C1 ZINC000489183000 1073603930 /nfs/dbraw/zinc/60/39/30/1073603930.db2.gz LSUKXQBUKUFOMB-LSDHHAIUSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)C1 ZINC000489183497 1073603802 /nfs/dbraw/zinc/60/38/02/1073603802.db2.gz YMRRUKZSIHQBBZ-INIZCTEOSA-N 0 0 439.538 -0.470 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)C1 ZINC000489183499 1073604003 /nfs/dbraw/zinc/60/40/03/1073604003.db2.gz YMRRUKZSIHQBBZ-MRXNPFEDSA-N 0 0 439.538 -0.470 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CSCC(=O)N2CCOCC2)C1 ZINC000489187851 1073604815 /nfs/dbraw/zinc/60/48/15/1073604815.db2.gz WHAVPEQHJNUFHW-HNNXBMFYSA-N 0 0 437.584 -0.523 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CSCC(=O)N2CCOCC2)C1 ZINC000489187852 1073604761 /nfs/dbraw/zinc/60/47/61/1073604761.db2.gz WHAVPEQHJNUFHW-OAHLLOKOSA-N 0 0 437.584 -0.523 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000489192481 1073604795 /nfs/dbraw/zinc/60/47/95/1073604795.db2.gz AADFIGZQRDJXRS-AWEZNQCLSA-N 0 0 430.465 -0.431 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000489192483 1073604481 /nfs/dbraw/zinc/60/44/81/1073604481.db2.gz AADFIGZQRDJXRS-CQSZACIVSA-N 0 0 430.465 -0.431 20 0 IBADRN COc1ccccc1CN(CCO)CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000489196094 1073603949 /nfs/dbraw/zinc/60/39/49/1073603949.db2.gz MOPBXCJZRZVOIQ-GOSISDBHSA-N 0 0 425.551 -0.179 20 0 IBADRN COc1ccccc1CN(CCO)CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000489196095 1073604008 /nfs/dbraw/zinc/60/40/08/1073604008.db2.gz MOPBXCJZRZVOIQ-SFHVURJKSA-N 0 0 425.551 -0.179 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000489197503 1073604691 /nfs/dbraw/zinc/60/46/91/1073604691.db2.gz RWAHMWSTQHZVGH-AWEZNQCLSA-N 0 0 425.507 -0.238 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000489197505 1073604714 /nfs/dbraw/zinc/60/47/14/1073604714.db2.gz RWAHMWSTQHZVGH-CQSZACIVSA-N 0 0 425.507 -0.238 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CCNS(=O)(=O)c2cccnc2)C1 ZINC000489200639 1073604450 /nfs/dbraw/zinc/60/44/50/1073604450.db2.gz GMCJNNQTRVNDKQ-INIZCTEOSA-N 0 0 448.567 -0.351 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CCNS(=O)(=O)c2cccnc2)C1 ZINC000489200640 1073604655 /nfs/dbraw/zinc/60/46/55/1073604655.db2.gz GMCJNNQTRVNDKQ-MRXNPFEDSA-N 0 0 448.567 -0.351 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cc2c(C)nc3nc(N)nn3c2C)C1 ZINC000489202333 1073356163 /nfs/dbraw/zinc/35/61/63/1073356163.db2.gz ILPXKVUPHWYTSZ-AWEZNQCLSA-N 0 0 439.542 -0.235 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cc2c(C)nc3nc(N)nn3c2C)C1 ZINC000489202338 1073604835 /nfs/dbraw/zinc/60/48/35/1073604835.db2.gz ILPXKVUPHWYTSZ-CQSZACIVSA-N 0 0 439.542 -0.235 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)C1 ZINC000489204598 1073604575 /nfs/dbraw/zinc/60/45/75/1073604575.db2.gz KUYGCVWCGXJJTO-INIZCTEOSA-N 0 0 448.567 -0.399 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)C1 ZINC000489204603 1073604827 /nfs/dbraw/zinc/60/48/27/1073604827.db2.gz KUYGCVWCGXJJTO-MRXNPFEDSA-N 0 0 448.567 -0.399 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(CS(N)(=O)=O)cc2)C1 ZINC000489205670 1073604778 /nfs/dbraw/zinc/60/47/78/1073604778.db2.gz TZPPOAUMZQTYIZ-INIZCTEOSA-N 0 0 433.552 -0.012 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(CS(N)(=O)=O)cc2)C1 ZINC000489205671 1073604808 /nfs/dbraw/zinc/60/48/08/1073604808.db2.gz TZPPOAUMZQTYIZ-MRXNPFEDSA-N 0 0 433.552 -0.012 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000489211303 1073604731 /nfs/dbraw/zinc/60/47/31/1073604731.db2.gz DADVXUBHHKZDRI-UHFFFAOYSA-N 0 0 442.480 -0.639 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@H]1OC ZINC000489211981 1073605247 /nfs/dbraw/zinc/60/52/47/1073605247.db2.gz XHGXKKDZVSHPNS-ARFHVFGLSA-N 0 0 434.536 -0.360 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@H]1OC ZINC000489211982 1073605227 /nfs/dbraw/zinc/60/52/27/1073605227.db2.gz XHGXKKDZVSHPNS-BZUAXINKSA-N 0 0 434.536 -0.360 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@@H]1OC ZINC000489211983 1073605156 /nfs/dbraw/zinc/60/51/56/1073605156.db2.gz XHGXKKDZVSHPNS-HRCADAONSA-N 0 0 434.536 -0.360 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@@H]1OC ZINC000489211984 1073605237 /nfs/dbraw/zinc/60/52/37/1073605237.db2.gz XHGXKKDZVSHPNS-OAGGEKHMSA-N 0 0 434.536 -0.360 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)CCCCC1 ZINC000489216894 1073605329 /nfs/dbraw/zinc/60/53/29/1073605329.db2.gz HSRNAVVHGDIKEE-KBPBESRZSA-N 0 0 438.568 -0.031 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)CCCCC1 ZINC000489216895 1073605219 /nfs/dbraw/zinc/60/52/19/1073605219.db2.gz HSRNAVVHGDIKEE-KGLIPLIRSA-N 0 0 438.568 -0.031 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)CCCCC1 ZINC000489216896 1073605386 /nfs/dbraw/zinc/60/53/86/1073605386.db2.gz HSRNAVVHGDIKEE-UONOGXRCSA-N 0 0 438.568 -0.031 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)CCCCC1 ZINC000489216897 1073605174 /nfs/dbraw/zinc/60/51/74/1073605174.db2.gz HSRNAVVHGDIKEE-ZIAGYGMSSA-N 0 0 438.568 -0.031 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1C(=O)N1CCOCC1 ZINC000489217685 1073605296 /nfs/dbraw/zinc/60/52/96/1073605296.db2.gz JAGOTDAJZUAKAF-UHFFFAOYSA-N 0 0 439.538 -0.095 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)sc2nccn12 ZINC000489219948 1073605376 /nfs/dbraw/zinc/60/53/76/1073605376.db2.gz GQPKUKSYXLFBPB-CYBMUJFWSA-N 0 0 425.536 -0.235 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)sc2nccn12 ZINC000489219949 1073605189 /nfs/dbraw/zinc/60/51/89/1073605189.db2.gz GQPKUKSYXLFBPB-ZDUSSCGKSA-N 0 0 425.536 -0.235 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)C1 ZINC000489227366 1073605359 /nfs/dbraw/zinc/60/53/59/1073605359.db2.gz XTAFIMUUUFPAFN-GDBMZVCRSA-N 0 0 440.584 -0.132 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)C1 ZINC000489227378 1073605200 /nfs/dbraw/zinc/60/52/00/1073605200.db2.gz XTAFIMUUUFPAFN-GOEBONIOSA-N 0 0 440.584 -0.132 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)C1 ZINC000489227391 1073605319 /nfs/dbraw/zinc/60/53/19/1073605319.db2.gz XTAFIMUUUFPAFN-HOCLYGCPSA-N 0 0 440.584 -0.132 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)C1 ZINC000489227403 1073605255 /nfs/dbraw/zinc/60/52/55/1073605255.db2.gz XTAFIMUUUFPAFN-ZBFHGGJFSA-N 0 0 440.584 -0.132 20 0 IBADRN C[C@H](Oc1cccc(CO)c1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000489231528 1073605936 /nfs/dbraw/zinc/60/59/36/1073605936.db2.gz XNTZWEQDORPWBM-DOTOQJQBSA-N 0 0 439.534 -0.606 20 0 IBADRN C[C@@H](Oc1cccc(CO)c1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000489231534 1073605981 /nfs/dbraw/zinc/60/59/81/1073605981.db2.gz XNTZWEQDORPWBM-NVXWUHKLSA-N 0 0 439.534 -0.606 20 0 IBADRN C[C@H](Oc1cccc(CO)c1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000489231536 1073606128 /nfs/dbraw/zinc/60/61/28/1073606128.db2.gz XNTZWEQDORPWBM-RDJZCZTQSA-N 0 0 439.534 -0.606 20 0 IBADRN C[C@@H](Oc1cccc(CO)c1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000489231539 1073605951 /nfs/dbraw/zinc/60/59/51/1073605951.db2.gz XNTZWEQDORPWBM-WBVHZDCISA-N 0 0 439.534 -0.606 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000489231806 1073606062 /nfs/dbraw/zinc/60/60/62/1073606062.db2.gz LXADKZYXDLDWRB-UHFFFAOYSA-N 0 0 441.492 -0.034 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CCn2nc3ccccn3c2=O)C1 ZINC000489235171 1073606760 /nfs/dbraw/zinc/60/67/60/1073606760.db2.gz BEYJMPKGRRKMJX-HNNXBMFYSA-N 0 0 425.511 -0.215 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CCn2nc3ccccn3c2=O)C1 ZINC000489235173 1073606649 /nfs/dbraw/zinc/60/66/49/1073606649.db2.gz BEYJMPKGRRKMJX-OAHLLOKOSA-N 0 0 425.511 -0.215 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cnc1C ZINC000489242865 1073605352 /nfs/dbraw/zinc/60/53/52/1073605352.db2.gz UEJHCTIUBWTZOJ-UHFFFAOYSA-N 0 0 448.505 -0.016 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)C1 ZINC000489243845 1073606103 /nfs/dbraw/zinc/60/61/03/1073606103.db2.gz DSRSRELOVKCPJU-KBPBESRZSA-N 0 0 427.589 -0.151 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)C1 ZINC000489243847 1073606091 /nfs/dbraw/zinc/60/60/91/1073606091.db2.gz DSRSRELOVKCPJU-KGLIPLIRSA-N 0 0 427.589 -0.151 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)C1 ZINC000489243849 1073605969 /nfs/dbraw/zinc/60/59/69/1073605969.db2.gz DSRSRELOVKCPJU-UONOGXRCSA-N 0 0 427.589 -0.151 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)C1 ZINC000489243850 1073606116 /nfs/dbraw/zinc/60/61/16/1073606116.db2.gz DSRSRELOVKCPJU-ZIAGYGMSSA-N 0 0 427.589 -0.151 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000489244326 1073605310 /nfs/dbraw/zinc/60/53/10/1073605310.db2.gz ZVJLQKWOTAGSOA-CVEARBPZSA-N 0 0 439.600 -0.053 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000489244327 1073605338 /nfs/dbraw/zinc/60/53/38/1073605338.db2.gz ZVJLQKWOTAGSOA-HOTGVXAUSA-N 0 0 439.600 -0.053 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000489244328 1073605367 /nfs/dbraw/zinc/60/53/67/1073605367.db2.gz ZVJLQKWOTAGSOA-HZPDHXFCSA-N 0 0 439.600 -0.053 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000489244329 1073605268 /nfs/dbraw/zinc/60/52/68/1073605268.db2.gz ZVJLQKWOTAGSOA-JKSUJKDBSA-N 0 0 439.600 -0.053 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cn1C ZINC000489245269 1073606080 /nfs/dbraw/zinc/60/60/80/1073606080.db2.gz AWEPINATHKFPPX-AWEZNQCLSA-N 0 0 433.556 -0.577 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cn1C ZINC000489245272 1073606029 /nfs/dbraw/zinc/60/60/29/1073606029.db2.gz AWEPINATHKFPPX-CQSZACIVSA-N 0 0 433.556 -0.577 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489251422 1073606138 /nfs/dbraw/zinc/60/61/38/1073606138.db2.gz JOPGSWMIDQUORI-DZGCQCFKSA-N 0 0 447.583 -0.270 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489251425 1073606046 /nfs/dbraw/zinc/60/60/46/1073606046.db2.gz JOPGSWMIDQUORI-HIFRSBDPSA-N 0 0 447.583 -0.270 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489251426 1073605911 /nfs/dbraw/zinc/60/59/11/1073605911.db2.gz JOPGSWMIDQUORI-UKRRQHHQSA-N 0 0 447.583 -0.270 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489251429 1073605960 /nfs/dbraw/zinc/60/59/60/1073605960.db2.gz JOPGSWMIDQUORI-ZFWWWQNUSA-N 0 0 447.583 -0.270 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cnc1C ZINC000489251445 1073606013 /nfs/dbraw/zinc/60/60/13/1073606013.db2.gz JPOTZXIXZAYAHM-CYBMUJFWSA-N 0 0 435.524 -0.057 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cnc1C ZINC000489251453 1073606131 /nfs/dbraw/zinc/60/61/31/1073606131.db2.gz JPOTZXIXZAYAHM-ZDUSSCGKSA-N 0 0 435.524 -0.057 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)c2ccnc3c(C(N)=O)cnn32)c1 ZINC000489257187 1073607322 /nfs/dbraw/zinc/60/73/22/1073607322.db2.gz UARKSVYMJYJMQV-UHFFFAOYSA-N 0 0 432.462 -0.317 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000489260344 1073606602 /nfs/dbraw/zinc/60/66/02/1073606602.db2.gz XUFGUVDFGWXDDR-HNNXBMFYSA-N 0 0 429.520 -0.414 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000489260349 1073606785 /nfs/dbraw/zinc/60/67/85/1073606785.db2.gz XUFGUVDFGWXDDR-OAHLLOKOSA-N 0 0 429.520 -0.414 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489261969 1073606630 /nfs/dbraw/zinc/60/66/30/1073606630.db2.gz WPRHNHYUNUFRKZ-DLBZAZTESA-N 0 0 428.555 -0.806 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489261970 1073606769 /nfs/dbraw/zinc/60/67/69/1073606769.db2.gz WPRHNHYUNUFRKZ-IAGOWNOFSA-N 0 0 428.555 -0.806 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489261971 1073606584 /nfs/dbraw/zinc/60/65/84/1073606584.db2.gz WPRHNHYUNUFRKZ-IRXDYDNUSA-N 0 0 428.555 -0.806 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489261972 1073606562 /nfs/dbraw/zinc/60/65/62/1073606562.db2.gz WPRHNHYUNUFRKZ-SJORKVTESA-N 0 0 428.555 -0.806 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000489263175 1073606618 /nfs/dbraw/zinc/60/66/18/1073606618.db2.gz JQEOELGDWBIEMF-CYBMUJFWSA-N 0 0 434.540 -0.421 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000489263176 1073606662 /nfs/dbraw/zinc/60/66/62/1073606662.db2.gz JQEOELGDWBIEMF-ZDUSSCGKSA-N 0 0 434.540 -0.421 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000489264161 1073606674 /nfs/dbraw/zinc/60/66/74/1073606674.db2.gz XKTUFDVVNHRKLW-GXTWGEPZSA-N 0 0 434.540 -0.015 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000489264162 1073606696 /nfs/dbraw/zinc/60/66/96/1073606696.db2.gz XKTUFDVVNHRKLW-JSGCOSHPSA-N 0 0 434.540 -0.015 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)n1 ZINC000489268310 1073607186 /nfs/dbraw/zinc/60/71/86/1073607186.db2.gz RQKIOWPKHGECDU-UHFFFAOYSA-N 0 0 442.480 -0.639 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000489278129 1073607289 /nfs/dbraw/zinc/60/72/89/1073607289.db2.gz KIDYDKWBTUZVJP-AWEZNQCLSA-N 0 0 430.508 -0.701 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000489278130 1073607306 /nfs/dbraw/zinc/60/73/06/1073607306.db2.gz KIDYDKWBTUZVJP-CQSZACIVSA-N 0 0 430.508 -0.701 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1C(=O)N1CCOCC1 ZINC000489279886 1073607229 /nfs/dbraw/zinc/60/72/29/1073607229.db2.gz SCNMBGJIHFNHND-UHFFFAOYSA-N 0 0 442.480 -0.170 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000489282220 1073607203 /nfs/dbraw/zinc/60/72/03/1073607203.db2.gz XUJCWWRZVUWAJF-UHFFFAOYSA-N 0 0 437.526 -0.066 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC000489286606 1073607398 /nfs/dbraw/zinc/60/73/98/1073607398.db2.gz DFYVZTFMDOFUEU-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC000489287301 1073607374 /nfs/dbraw/zinc/60/73/74/1073607374.db2.gz GTQMIOMZGFYURJ-AAEUAGOBSA-N 0 0 429.520 -0.125 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000489287314 1073607343 /nfs/dbraw/zinc/60/73/43/1073607343.db2.gz GTQMIOMZGFYURJ-DGCLKSJQSA-N 0 0 429.520 -0.125 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC000489287318 1073607265 /nfs/dbraw/zinc/60/72/65/1073607265.db2.gz GTQMIOMZGFYURJ-WCQYABFASA-N 0 0 429.520 -0.125 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000489287321 1073607354 /nfs/dbraw/zinc/60/73/54/1073607354.db2.gz GTQMIOMZGFYURJ-YPMHNXCESA-N 0 0 429.520 -0.125 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](C(C)C)N2CCCS2(=O)=O)C1 ZINC000489288271 1073608257 /nfs/dbraw/zinc/60/82/57/1073608257.db2.gz VQPHAECTBIRYRV-CVEARBPZSA-N 0 0 439.600 -0.055 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@H](C(C)C)N2CCCS2(=O)=O)C1 ZINC000489288274 1073608191 /nfs/dbraw/zinc/60/81/91/1073608191.db2.gz VQPHAECTBIRYRV-HOTGVXAUSA-N 0 0 439.600 -0.055 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](C(C)C)N2CCCS2(=O)=O)C1 ZINC000489288276 1073608209 /nfs/dbraw/zinc/60/82/09/1073608209.db2.gz VQPHAECTBIRYRV-HZPDHXFCSA-N 0 0 439.600 -0.055 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@H](C(C)C)N2CCCS2(=O)=O)C1 ZINC000489288278 1073608305 /nfs/dbraw/zinc/60/83/05/1073608305.db2.gz VQPHAECTBIRYRV-JKSUJKDBSA-N 0 0 439.600 -0.055 20 0 IBADRN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000489291726 1073608104 /nfs/dbraw/zinc/60/81/04/1073608104.db2.gz NPPPNTPVMWWZCS-ILXRZTDVSA-N 0 0 425.507 -0.434 20 0 IBADRN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000489291727 1073608293 /nfs/dbraw/zinc/60/82/93/1073608293.db2.gz NPPPNTPVMWWZCS-KFWWJZLASA-N 0 0 425.507 -0.434 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000489291728 1073608249 /nfs/dbraw/zinc/60/82/49/1073608249.db2.gz NPPPNTPVMWWZCS-QLFBSQMISA-N 0 0 425.507 -0.434 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000489291729 1073608309 /nfs/dbraw/zinc/60/83/09/1073608309.db2.gz NPPPNTPVMWWZCS-RBSFLKMASA-N 0 0 425.507 -0.434 20 0 IBADRN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000489295318 1073608067 /nfs/dbraw/zinc/60/80/67/1073608067.db2.gz SOMHFKQBCMSYBD-GDBMZVCRSA-N 0 0 439.534 -0.260 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000489295323 1073608007 /nfs/dbraw/zinc/60/80/07/1073608007.db2.gz SOMHFKQBCMSYBD-GOEBONIOSA-N 0 0 439.534 -0.260 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000489295329 1073608121 /nfs/dbraw/zinc/60/81/21/1073608121.db2.gz SOMHFKQBCMSYBD-HOCLYGCPSA-N 0 0 439.534 -0.260 20 0 IBADRN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000489295333 1073608078 /nfs/dbraw/zinc/60/80/78/1073608078.db2.gz SOMHFKQBCMSYBD-ZBFHGGJFSA-N 0 0 439.534 -0.260 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000489295460 1073608092 /nfs/dbraw/zinc/60/80/92/1073608092.db2.gz QPYSHOBBTKANNT-UHFFFAOYSA-N 0 0 441.506 -0.021 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(COC)cc(C(=O)OC)c1 ZINC000489300012 1073608959 /nfs/dbraw/zinc/60/89/59/1073608959.db2.gz YICBSAGJUFDZRF-HNNXBMFYSA-N 0 0 427.479 -0.365 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(COC)cc(C(=O)OC)c1 ZINC000489300013 1073609037 /nfs/dbraw/zinc/60/90/37/1073609037.db2.gz YICBSAGJUFDZRF-OAHLLOKOSA-N 0 0 427.479 -0.365 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000489306614 1073609700 /nfs/dbraw/zinc/60/97/00/1073609700.db2.gz OPBLLCLEOXOMMV-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000489306615 1073609516 /nfs/dbraw/zinc/60/95/16/1073609516.db2.gz OPBLLCLEOXOMMV-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000489313147 1073608750 /nfs/dbraw/zinc/60/87/50/1073608750.db2.gz KZDFFLVNZVINKB-GFCCVEGCSA-N 0 0 433.508 -0.547 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000489313150 1073608848 /nfs/dbraw/zinc/60/88/48/1073608848.db2.gz KZDFFLVNZVINKB-LBPRGKRZSA-N 0 0 433.508 -0.547 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)n(C)c1 ZINC000489313640 1073608777 /nfs/dbraw/zinc/60/87/77/1073608777.db2.gz NNMGDNNJYMUMAK-UHFFFAOYSA-N 0 0 425.555 -0.051 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000489315104 1073608829 /nfs/dbraw/zinc/60/88/29/1073608829.db2.gz QHEJVTCUJLPSKU-AWEZNQCLSA-N 0 0 427.479 -0.543 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000489315105 1073609076 /nfs/dbraw/zinc/60/90/76/1073609076.db2.gz QHEJVTCUJLPSKU-CQSZACIVSA-N 0 0 427.479 -0.543 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000489317247 1073609011 /nfs/dbraw/zinc/60/90/11/1073609011.db2.gz DEWKGCORXLJUQY-PAGHIRBPSA-N 0 0 437.566 -0.284 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000489317248 1073608807 /nfs/dbraw/zinc/60/88/07/1073608807.db2.gz DEWKGCORXLJUQY-WWYQSKBDSA-N 0 0 437.566 -0.284 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000489317249 1073608714 /nfs/dbraw/zinc/60/87/14/1073608714.db2.gz DEWKGCORXLJUQY-YIKLWRCUSA-N 0 0 437.566 -0.284 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000489317250 1073609028 /nfs/dbraw/zinc/60/90/28/1073609028.db2.gz DEWKGCORXLJUQY-ZVTLBMPISA-N 0 0 437.566 -0.284 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@H]1CC[C@@H](C(=O)N2CCOC[C@H]2CC(=O)OC)O1 ZINC000489320647 1073609055 /nfs/dbraw/zinc/60/90/55/1073609055.db2.gz YRMJMTNQVCAHDF-FPCVCCKLSA-N 0 0 442.465 -0.885 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@@H]1CC[C@@H](C(=O)N2CCOC[C@@H]2CC(=O)OC)O1 ZINC000489320660 1073609558 /nfs/dbraw/zinc/60/95/58/1073609558.db2.gz YRMJMTNQVCAHDF-FZKCQIBNSA-N 0 0 442.465 -0.885 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@@H]1CC[C@H](C(=O)N2CCOC[C@@H]2CC(=O)OC)O1 ZINC000489320663 1073609591 /nfs/dbraw/zinc/60/95/91/1073609591.db2.gz YRMJMTNQVCAHDF-SYMSYNOKSA-N 0 0 442.465 -0.885 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@@H]1CC[C@@H](C(=O)N2CCOC[C@H]2CC(=O)OC)O1 ZINC000489320666 1073609575 /nfs/dbraw/zinc/60/95/75/1073609575.db2.gz YRMJMTNQVCAHDF-WCVJEAGWSA-N 0 0 442.465 -0.885 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000489322359 1073609581 /nfs/dbraw/zinc/60/95/81/1073609581.db2.gz BZVZBPXDXHMGKL-CVEARBPZSA-N 0 0 438.550 -0.336 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000489322362 1073609566 /nfs/dbraw/zinc/60/95/66/1073609566.db2.gz BZVZBPXDXHMGKL-HOTGVXAUSA-N 0 0 438.550 -0.336 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000489322365 1073609544 /nfs/dbraw/zinc/60/95/44/1073609544.db2.gz BZVZBPXDXHMGKL-HZPDHXFCSA-N 0 0 438.550 -0.336 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000489322367 1073609502 /nfs/dbraw/zinc/60/95/02/1073609502.db2.gz BZVZBPXDXHMGKL-JKSUJKDBSA-N 0 0 438.550 -0.336 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cn1 ZINC000489323034 1073609707 /nfs/dbraw/zinc/60/97/07/1073609707.db2.gz SWJZZXZKBSZJLN-DHMKHTPVSA-N 0 0 432.506 -0.413 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cn1 ZINC000489323043 1073609483 /nfs/dbraw/zinc/60/94/83/1073609483.db2.gz SWJZZXZKBSZJLN-FOGNVHKLSA-N 0 0 432.506 -0.413 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cn1 ZINC000489323047 1073609653 /nfs/dbraw/zinc/60/96/53/1073609653.db2.gz SWJZZXZKBSZJLN-INCQDFKNSA-N 0 0 432.506 -0.413 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cn1 ZINC000489323052 1073609533 /nfs/dbraw/zinc/60/95/33/1073609533.db2.gz SWJZZXZKBSZJLN-JGVYIQDASA-N 0 0 432.506 -0.413 20 0 IBADRN Cc1nc(N2CCN(S(C)(=O)=O)CC2)c(N2CCN(S(C)(=O)=O)CC2)nc1C ZINC000489323781 1073609613 /nfs/dbraw/zinc/60/96/13/1073609613.db2.gz HHUJRSIXKLGURJ-UHFFFAOYSA-N 0 0 432.572 -0.743 20 0 IBADRN CCNC(=O)CN1CCN(c2nc(C)c(C)nc2N2CCN(CC(=O)NCC)CC2)CC1 ZINC000489324319 1073609688 /nfs/dbraw/zinc/60/96/88/1073609688.db2.gz HYGRBKHRUJOKDI-UHFFFAOYSA-N 0 0 446.600 -0.390 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cn1 ZINC000489325270 1073609722 /nfs/dbraw/zinc/60/97/22/1073609722.db2.gz WNDXPDCTSMGRRK-DHMKHTPVSA-N 0 0 430.490 -0.206 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cn1 ZINC000489325279 1073609640 /nfs/dbraw/zinc/60/96/40/1073609640.db2.gz WNDXPDCTSMGRRK-FOGNVHKLSA-N 0 0 430.490 -0.206 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cn1 ZINC000489325284 1073609713 /nfs/dbraw/zinc/60/97/13/1073609713.db2.gz WNDXPDCTSMGRRK-INCQDFKNSA-N 0 0 430.490 -0.206 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cn1 ZINC000489325887 1073609661 /nfs/dbraw/zinc/60/96/61/1073609661.db2.gz WNDXPDCTSMGRRK-JGVYIQDASA-N 0 0 430.490 -0.206 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000489342945 1073610235 /nfs/dbraw/zinc/61/02/35/1073610235.db2.gz QVVWFWBZMOTDOZ-INIZCTEOSA-N 0 0 438.616 -0.384 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000489342950 1073610289 /nfs/dbraw/zinc/61/02/89/1073610289.db2.gz QVVWFWBZMOTDOZ-MRXNPFEDSA-N 0 0 438.616 -0.384 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC000489349880 1073610486 /nfs/dbraw/zinc/61/04/86/1073610486.db2.gz CLVQNDVKRDHKDF-UHFFFAOYSA-N 0 0 429.547 -0.509 20 0 IBADRN CNC(=O)CN1CCC(Nc2nc(C)c(C)nc2NC2CCN(CC(=O)NC)CC2)CC1 ZINC000489355490 1073610375 /nfs/dbraw/zinc/61/03/75/1073610375.db2.gz AXWGBFOAUAVBHZ-UHFFFAOYSA-N 0 0 446.600 -0.818 20 0 IBADRN Cn1cc(N2CCN(CCOCCN3CCN(c4cnn(C)c4)C(=O)C3)CC2=O)cn1 ZINC000489361005 1073610193 /nfs/dbraw/zinc/61/01/93/1073610193.db2.gz RPCNGDWWOFQSHV-UHFFFAOYSA-N 0 0 430.513 -0.832 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CCC(=O)NCCNC(C)=O)CC1 ZINC000489361117 1073610225 /nfs/dbraw/zinc/61/02/25/1073610225.db2.gz OJEZUALRWKLIRW-UHFFFAOYSA-N 0 0 433.575 -0.470 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC000489361703 1073610410 /nfs/dbraw/zinc/61/04/10/1073610410.db2.gz YAJJRBQATHUSAU-UHFFFAOYSA-N 0 0 433.556 -0.146 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CN2CCN(CC)C(=O)C2=O)CC1 ZINC000489367077 1073610301 /nfs/dbraw/zinc/61/03/01/1073610301.db2.gz LLNGVRIBWBFVNS-UHFFFAOYSA-N 0 0 431.559 -0.812 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000489372482 1073610471 /nfs/dbraw/zinc/61/04/71/1073610471.db2.gz RTKWTIQKLHJVNW-HBIPHATFSA-N 0 0 442.567 -0.054 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000489372484 1073610367 /nfs/dbraw/zinc/61/03/67/1073610367.db2.gz RTKWTIQKLHJVNW-JMGYQRAPSA-N 0 0 442.567 -0.054 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000489372485 1073610322 /nfs/dbraw/zinc/61/03/22/1073610322.db2.gz RTKWTIQKLHJVNW-OHZJNBGDSA-N 0 0 442.567 -0.054 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000489372486 1073610401 /nfs/dbraw/zinc/61/04/01/1073610401.db2.gz RTKWTIQKLHJVNW-WQIZZMQYSA-N 0 0 442.567 -0.054 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)CCCOC)CC1 ZINC000489373652 1073610273 /nfs/dbraw/zinc/61/02/73/1073610273.db2.gz TWZXVSGVNOMOGU-UHFFFAOYSA-N 0 0 442.604 -0.547 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)c2ccnc3c(C(N)=O)cnn32)CC1 ZINC000489387039 1073610256 /nfs/dbraw/zinc/61/02/56/1073610256.db2.gz KWKZAQRIVGLCPI-UHFFFAOYSA-N 0 0 437.526 -0.047 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000489388568 1073610448 /nfs/dbraw/zinc/61/04/48/1073610448.db2.gz GNDNOUWOPMYGGP-INIZCTEOSA-N 0 0 438.554 -0.157 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC000489393210 1073611009 /nfs/dbraw/zinc/61/10/09/1073611009.db2.gz XRKPAYKARDEXTP-HNNXBMFYSA-N 0 0 441.554 -0.720 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC000489393211 1073611149 /nfs/dbraw/zinc/61/11/49/1073611149.db2.gz XRKPAYKARDEXTP-OAHLLOKOSA-N 0 0 441.554 -0.720 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000489393934 1073611159 /nfs/dbraw/zinc/61/11/59/1073611159.db2.gz RAXSVDOBUFKTOV-BBRMVZONSA-N 0 0 425.507 -0.287 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000489393936 1073611137 /nfs/dbraw/zinc/61/11/37/1073611137.db2.gz RAXSVDOBUFKTOV-CJNGLKHVSA-N 0 0 425.507 -0.287 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000489393940 1073611096 /nfs/dbraw/zinc/61/10/96/1073611096.db2.gz RAXSVDOBUFKTOV-CZUORRHYSA-N 0 0 425.507 -0.287 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000489393943 1073611062 /nfs/dbraw/zinc/61/10/62/1073611062.db2.gz RAXSVDOBUFKTOV-XJKSGUPXSA-N 0 0 425.507 -0.287 20 0 IBADRN COCCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1scnc1C ZINC000489395310 1073367521 /nfs/dbraw/zinc/36/75/21/1073367521.db2.gz CMFVWCORQSFAKT-UHFFFAOYSA-N 0 0 439.582 -0.097 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000489395836 1073611154 /nfs/dbraw/zinc/61/11/54/1073611154.db2.gz AAKGIWOBTNHKLH-DHMKHTPVSA-N 0 0 430.490 -0.206 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000489395840 1073611035 /nfs/dbraw/zinc/61/10/35/1073611035.db2.gz AAKGIWOBTNHKLH-FOGNVHKLSA-N 0 0 430.490 -0.206 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000489395842 1073610904 /nfs/dbraw/zinc/61/09/04/1073610904.db2.gz AAKGIWOBTNHKLH-INCQDFKNSA-N 0 0 430.490 -0.206 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000489395845 1073611018 /nfs/dbraw/zinc/61/10/18/1073611018.db2.gz AAKGIWOBTNHKLH-JGVYIQDASA-N 0 0 430.490 -0.206 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000489406851 1073610994 /nfs/dbraw/zinc/61/09/94/1073610994.db2.gz VILZIWIDIQDANY-ASHKIFAZSA-N 0 0 428.540 -0.445 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000489406855 1073611071 /nfs/dbraw/zinc/61/10/71/1073611071.db2.gz VILZIWIDIQDANY-LOKFHWFJSA-N 0 0 428.540 -0.445 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000489406857 1073611128 /nfs/dbraw/zinc/61/11/28/1073611128.db2.gz VILZIWIDIQDANY-PGGUUEOZSA-N 0 0 428.540 -0.445 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000489406859 1073611134 /nfs/dbraw/zinc/61/11/34/1073611134.db2.gz VILZIWIDIQDANY-PWFNWSNSSA-N 0 0 428.540 -0.445 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@H]3CN(C4CC4)CCO3)CC2)cn1 ZINC000489412070 1073611104 /nfs/dbraw/zinc/61/11/04/1073611104.db2.gz KDKQETJHUGRJOG-INIZCTEOSA-N 0 0 426.543 -0.264 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@@H]3CN(C4CC4)CCO3)CC2)cn1 ZINC000489412071 1073611703 /nfs/dbraw/zinc/61/17/03/1073611703.db2.gz KDKQETJHUGRJOG-MRXNPFEDSA-N 0 0 426.543 -0.264 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)n(C)c1 ZINC000489418347 1073611565 /nfs/dbraw/zinc/61/15/65/1073611565.db2.gz KDOPFAKNDXDNES-CYBMUJFWSA-N 0 0 436.556 -0.506 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)n(C)c1 ZINC000489418348 1073611727 /nfs/dbraw/zinc/61/17/27/1073611727.db2.gz KDOPFAKNDXDNES-ZDUSSCGKSA-N 0 0 436.556 -0.506 20 0 IBADRN C[C@H](C(=O)N(C)C[C@@H]1COc2ccccc2O1)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000489419346 1073611749 /nfs/dbraw/zinc/61/17/49/1073611749.db2.gz COWVHGVSFZTFJW-BZUAXINKSA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@H](C(=O)N(C)C[C@H]1COc2ccccc2O1)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000489419350 1073611648 /nfs/dbraw/zinc/61/16/48/1073611648.db2.gz COWVHGVSFZTFJW-OAGGEKHMSA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@H](C(=O)N(C)C[C@@H]1COc2ccccc2O1)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000489419352 1073611756 /nfs/dbraw/zinc/61/17/56/1073611756.db2.gz COWVHGVSFZTFJW-OWCLPIDISA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@H](C(=O)N(C)C[C@H]1COc2ccccc2O1)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000489419355 1073611594 /nfs/dbraw/zinc/61/15/94/1073611594.db2.gz COWVHGVSFZTFJW-PMPSAXMXSA-N 0 0 439.534 -0.092 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000489421067 1073611656 /nfs/dbraw/zinc/61/16/56/1073611656.db2.gz GETDOBPRUWVNAG-INIZCTEOSA-N 0 0 426.514 -0.291 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000489421069 1073611735 /nfs/dbraw/zinc/61/17/35/1073611735.db2.gz GETDOBPRUWVNAG-MRXNPFEDSA-N 0 0 426.514 -0.291 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCCc2nnc3ccccn32)CC1 ZINC000489428855 1073612350 /nfs/dbraw/zinc/61/23/50/1073612350.db2.gz BJSVBLDGTMORHQ-UHFFFAOYSA-N 0 0 427.465 -0.335 20 0 IBADRN O=C(NCCCc1nnc2ccccn21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000489434868 1073612379 /nfs/dbraw/zinc/61/23/79/1073612379.db2.gz IXCJEXDDPLOBAB-UHFFFAOYSA-N 0 0 437.526 -0.434 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)n(C)c1 ZINC000489450044 1073613910 /nfs/dbraw/zinc/61/39/10/1073613910.db2.gz FVJLLQSXEARQOX-UHFFFAOYSA-N 0 0 441.539 -0.477 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000489456019 1073613025 /nfs/dbraw/zinc/61/30/25/1073613025.db2.gz KNYGHZCTNFBASP-DZGCQCFKSA-N 0 0 427.523 -0.056 20 0 IBADRN COc1ccc(CNC(=O)[C@@H](C)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000489456020 1073613031 /nfs/dbraw/zinc/61/30/31/1073613031.db2.gz KNYGHZCTNFBASP-HIFRSBDPSA-N 0 0 427.523 -0.056 20 0 IBADRN COc1ccc(CNC(=O)[C@@H](C)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000489456021 1073613001 /nfs/dbraw/zinc/61/30/01/1073613001.db2.gz KNYGHZCTNFBASP-UKRRQHHQSA-N 0 0 427.523 -0.056 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000489456322 1073613102 /nfs/dbraw/zinc/61/31/02/1073613102.db2.gz KNYGHZCTNFBASP-ZFWWWQNUSA-N 0 0 427.523 -0.056 20 0 IBADRN COc1ccccc1Nc1nc(N)nc(CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n1 ZINC000489456324 1073356466 /nfs/dbraw/zinc/35/64/66/1073356466.db2.gz KQSFRNKPYILQOX-GFCCVEGCSA-N 0 0 435.510 -0.637 20 0 IBADRN COc1ccccc1Nc1nc(N)nc(CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)n1 ZINC000489456325 1073613069 /nfs/dbraw/zinc/61/30/69/1073613069.db2.gz KQSFRNKPYILQOX-LBPRGKRZSA-N 0 0 435.510 -0.637 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)cn1C ZINC000489460542 1073613075 /nfs/dbraw/zinc/61/30/75/1073613075.db2.gz RKFXBNSHROSNJI-UHFFFAOYSA-N 0 0 441.535 -0.185 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000489464256 1073613810 /nfs/dbraw/zinc/61/38/10/1073613810.db2.gz AMDIGJDTOQSNOM-UHFFFAOYSA-N 0 0 430.552 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC000489469778 1073613845 /nfs/dbraw/zinc/61/38/45/1073613845.db2.gz CFVNSSIRBHXMOK-UHFFFAOYSA-N 0 0 446.551 -0.398 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000489470983 1073613854 /nfs/dbraw/zinc/61/38/54/1073613854.db2.gz AQUKUZBRTWFZCL-UHFFFAOYSA-N 0 0 431.540 -0.943 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)C1 ZINC000489472585 1073613828 /nfs/dbraw/zinc/61/38/28/1073613828.db2.gz HJMQAVVCUXMRDL-AWEZNQCLSA-N 0 0 436.490 -0.416 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)C1 ZINC000489472604 1073613861 /nfs/dbraw/zinc/61/38/61/1073613861.db2.gz HJMQAVVCUXMRDL-CQSZACIVSA-N 0 0 436.490 -0.416 20 0 IBADRN CCCn1c(CCC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)nc2cc(S(N)(=O)=O)ccc21 ZINC000489474586 1073613801 /nfs/dbraw/zinc/61/38/01/1073613801.db2.gz AZOCLYMKADUCHD-HNNXBMFYSA-N 0 0 448.505 -0.211 20 0 IBADRN CCCn1c(CCC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)nc2cc(S(N)(=O)=O)ccc21 ZINC000489474606 1073613839 /nfs/dbraw/zinc/61/38/39/1073613839.db2.gz AZOCLYMKADUCHD-OAHLLOKOSA-N 0 0 448.505 -0.211 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC000489477481 1073613768 /nfs/dbraw/zinc/61/37/68/1073613768.db2.gz OMVZXMBTRFSKBZ-UHFFFAOYSA-N 0 0 430.552 -0.098 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489477809 1073613892 /nfs/dbraw/zinc/61/38/92/1073613892.db2.gz PVMYJEFXALMHCR-AAEUAGOBSA-N 0 0 438.462 -0.723 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489477812 1073613777 /nfs/dbraw/zinc/61/37/77/1073613777.db2.gz PVMYJEFXALMHCR-WCQYABFASA-N 0 0 438.462 -0.723 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)C1 ZINC000489478356 1073613759 /nfs/dbraw/zinc/61/37/59/1073613759.db2.gz KHJHUEAFICSKIE-UHFFFAOYSA-N 0 0 428.486 -0.050 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1 ZINC000489479124 1073613872 /nfs/dbraw/zinc/61/38/72/1073613872.db2.gz KTLHWLQJRBOSOB-UHFFFAOYSA-N 0 0 440.551 -0.525 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489480364 1073614416 /nfs/dbraw/zinc/61/44/16/1073614416.db2.gz STBSIFRXAGPVFS-INIZCTEOSA-N 0 0 436.490 -0.597 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489480366 1073614372 /nfs/dbraw/zinc/61/43/72/1073614372.db2.gz STBSIFRXAGPVFS-MRXNPFEDSA-N 0 0 436.490 -0.597 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1 ZINC000489483444 1073614387 /nfs/dbraw/zinc/61/43/87/1073614387.db2.gz PJHIJALLCHUFIZ-UHFFFAOYSA-N 0 0 435.550 -0.206 20 0 IBADRN COc1cc2ncn(CCCC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)c(=O)c2cc1OC ZINC000489486342 1073614530 /nfs/dbraw/zinc/61/45/30/1073614530.db2.gz TXZQVPIYTRMWMD-AWEZNQCLSA-N 0 0 429.433 -0.044 20 0 IBADRN COc1cc2ncn(CCCC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)c(=O)c2cc1OC ZINC000489486352 1073614484 /nfs/dbraw/zinc/61/44/84/1073614484.db2.gz TXZQVPIYTRMWMD-CQSZACIVSA-N 0 0 429.433 -0.044 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)CCCC1 ZINC000489486523 1073614420 /nfs/dbraw/zinc/61/44/20/1073614420.db2.gz UVIOMVIRYIYYSP-GFCCVEGCSA-N 0 0 425.467 -0.355 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)CCCC1 ZINC000489486526 1073614472 /nfs/dbraw/zinc/61/44/72/1073614472.db2.gz UVIOMVIRYIYYSP-LBPRGKRZSA-N 0 0 425.467 -0.355 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)NCC2CN(S(C)(=O)=O)C2)CC1)C1CCCCC1 ZINC000489486698 1073614497 /nfs/dbraw/zinc/61/44/97/1073614497.db2.gz UTYWEZXLHOMWIQ-UHFFFAOYSA-N 0 0 429.587 -0.004 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000489490217 1073615205 /nfs/dbraw/zinc/61/52/05/1073615205.db2.gz WPTVMXNLAOAPAI-UHFFFAOYSA-N 0 0 427.502 -0.017 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000489490396 1073615223 /nfs/dbraw/zinc/61/52/23/1073615223.db2.gz UDUNCXQSAKBDOG-CYBMUJFWSA-N 0 0 441.535 -0.105 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000489490485 1073614402 /nfs/dbraw/zinc/61/44/02/1073614402.db2.gz UDUNCXQSAKBDOG-ZDUSSCGKSA-N 0 0 441.535 -0.105 20 0 IBADRN O=C(NCCO)c1ccc(N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)nn1 ZINC000489492255 1073615217 /nfs/dbraw/zinc/61/52/17/1073615217.db2.gz DGCFADZHFDHCCB-UHFFFAOYSA-N 0 0 449.518 -0.286 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)C1 ZINC000489493795 1073615186 /nfs/dbraw/zinc/61/51/86/1073615186.db2.gz TVEJXFPAYKPCHB-HOTGVXAUSA-N 0 0 429.587 -0.291 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)C1 ZINC000489493796 1073615145 /nfs/dbraw/zinc/61/51/45/1073615145.db2.gz TVEJXFPAYKPCHB-HZPDHXFCSA-N 0 0 429.587 -0.291 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)C1 ZINC000489493797 1073615183 /nfs/dbraw/zinc/61/51/83/1073615183.db2.gz TVEJXFPAYKPCHB-IYBDPMFKSA-N 0 0 429.587 -0.291 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)NCC2CN(S(C)(=O)=O)C2)C1=O ZINC000489498993 1073614442 /nfs/dbraw/zinc/61/44/42/1073614442.db2.gz RNXXSGXSMDVCLO-GFCCVEGCSA-N 0 0 430.508 -0.169 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)NCC2CN(S(C)(=O)=O)C2)C1=O ZINC000489499000 1073614512 /nfs/dbraw/zinc/61/45/12/1073614512.db2.gz RNXXSGXSMDVCLO-LBPRGKRZSA-N 0 0 430.508 -0.169 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)NCC3CN(S(C)(=O)=O)C3)C2=O)c1 ZINC000489500851 1073614458 /nfs/dbraw/zinc/61/44/58/1073614458.db2.gz OMDUQCDEPLRQOQ-INIZCTEOSA-N 0 0 426.495 0.000 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)NCC3CN(S(C)(=O)=O)C3)C2=O)c1 ZINC000489500854 1073614468 /nfs/dbraw/zinc/61/44/68/1073614468.db2.gz OMDUQCDEPLRQOQ-MRXNPFEDSA-N 0 0 426.495 0.000 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489505599 1073615230 /nfs/dbraw/zinc/61/52/30/1073615230.db2.gz HLCHFMBYJJEFML-KBPBESRZSA-N 0 0 426.520 -0.151 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489505605 1073615838 /nfs/dbraw/zinc/61/58/38/1073615838.db2.gz HLCHFMBYJJEFML-KGLIPLIRSA-N 0 0 426.520 -0.151 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489505607 1073615844 /nfs/dbraw/zinc/61/58/44/1073615844.db2.gz HLCHFMBYJJEFML-UONOGXRCSA-N 0 0 426.520 -0.151 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489505610 1073615925 /nfs/dbraw/zinc/61/59/25/1073615925.db2.gz HLCHFMBYJJEFML-ZIAGYGMSSA-N 0 0 426.520 -0.151 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)nc1 ZINC000489509135 1073615697 /nfs/dbraw/zinc/61/56/97/1073615697.db2.gz ALCQDGPADRLBOR-UHFFFAOYSA-N 0 0 425.511 -0.019 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)c2)C[C@H](C)O1 ZINC000489515241 1073615793 /nfs/dbraw/zinc/61/57/93/1073615793.db2.gz VMIYXGGARVQAFM-DVOMOZLQSA-N 0 0 436.490 -0.139 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)c2)C[C@@H](C)O1 ZINC000489515242 1073615850 /nfs/dbraw/zinc/61/58/50/1073615850.db2.gz VMIYXGGARVQAFM-IOASZLSFSA-N 0 0 436.490 -0.139 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)c2)C[C@@H](C)O1 ZINC000489515243 1073615932 /nfs/dbraw/zinc/61/59/32/1073615932.db2.gz VMIYXGGARVQAFM-XJKCOSOUSA-N 0 0 436.490 -0.139 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)c2)C[C@H](C)O1 ZINC000489515244 1073615905 /nfs/dbraw/zinc/61/59/05/1073615905.db2.gz VMIYXGGARVQAFM-ZENOOKHLSA-N 0 0 436.490 -0.139 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)CC1 ZINC000489515902 1073615763 /nfs/dbraw/zinc/61/57/63/1073615763.db2.gz CKABKQXGROZQTG-UHFFFAOYSA-N 0 0 429.587 -0.100 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000489516223 1073615825 /nfs/dbraw/zinc/61/58/25/1073615825.db2.gz JBAJSSOIIOHSJR-UHFFFAOYSA-N 0 0 439.538 -0.148 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)C1 ZINC000489518783 1073615113 /nfs/dbraw/zinc/61/51/13/1073615113.db2.gz AJBVDZNUQQJEFO-UHFFFAOYSA-N 0 0 430.961 -0.130 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000489520025 1073615173 /nfs/dbraw/zinc/61/51/73/1073615173.db2.gz NMKSIEUHOSAEPD-UHFFFAOYSA-N 0 0 430.552 -0.265 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)C1 ZINC000489520414 1073615189 /nfs/dbraw/zinc/61/51/89/1073615189.db2.gz ISKZFIFNNAHPJN-UHFFFAOYSA-N 0 0 437.588 -0.674 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)C1 ZINC000489523676 1073615133 /nfs/dbraw/zinc/61/51/33/1073615133.db2.gz IHDAQVRHHYQRBZ-DOTOQJQBSA-N 0 0 429.587 -0.100 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)C1 ZINC000489523677 1073615126 /nfs/dbraw/zinc/61/51/26/1073615126.db2.gz IHDAQVRHHYQRBZ-NVXWUHKLSA-N 0 0 429.587 -0.100 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)C1 ZINC000489523678 1073615178 /nfs/dbraw/zinc/61/51/78/1073615178.db2.gz IHDAQVRHHYQRBZ-RDJZCZTQSA-N 0 0 429.587 -0.100 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)C1 ZINC000489523679 1073615182 /nfs/dbraw/zinc/61/51/82/1073615182.db2.gz IHDAQVRHHYQRBZ-WBVHZDCISA-N 0 0 429.587 -0.100 20 0 IBADRN O=C(NCCCc1nnc2ccccn21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000489527995 1073615155 /nfs/dbraw/zinc/61/51/55/1073615155.db2.gz RGMYAMHULRARCX-UHFFFAOYSA-N 0 0 429.481 -0.626 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)C1 ZINC000489528700 1073615194 /nfs/dbraw/zinc/61/51/94/1073615194.db2.gz SSECSSFBBKABBM-UHFFFAOYSA-N 0 0 427.502 -0.017 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000489529718 1073615164 /nfs/dbraw/zinc/61/51/64/1073615164.db2.gz VMSLVQBFWMHAEW-UHFFFAOYSA-N 0 0 445.567 -0.682 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000489531198 1073616420 /nfs/dbraw/zinc/61/64/20/1073616420.db2.gz GANILPSJEAMIIB-UHFFFAOYSA-N 0 0 434.515 -0.267 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489535062 1073615817 /nfs/dbraw/zinc/61/58/17/1073615817.db2.gz FZBLSAXLCYAUJW-CHWSQXEVSA-N 0 0 444.510 -0.012 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489535064 1073615805 /nfs/dbraw/zinc/61/58/05/1073615805.db2.gz FZBLSAXLCYAUJW-OLZOCXBDSA-N 0 0 444.510 -0.012 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489535066 1073615939 /nfs/dbraw/zinc/61/59/39/1073615939.db2.gz FZBLSAXLCYAUJW-QWHCGFSZSA-N 0 0 444.510 -0.012 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489535067 1073615899 /nfs/dbraw/zinc/61/58/99/1073615899.db2.gz FZBLSAXLCYAUJW-STQMWFEESA-N 0 0 444.510 -0.012 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC000489535208 1073615862 /nfs/dbraw/zinc/61/58/62/1073615862.db2.gz QFSOUGVWSKNMLL-UHFFFAOYSA-N 0 0 439.542 -0.964 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489536621 1073615892 /nfs/dbraw/zinc/61/58/92/1073615892.db2.gz GDLWIPCBASWQTP-GFCCVEGCSA-N 0 0 445.295 -0.120 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489536622 1073615711 /nfs/dbraw/zinc/61/57/11/1073615711.db2.gz GDLWIPCBASWQTP-LBPRGKRZSA-N 0 0 445.295 -0.120 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489537333 1073616399 /nfs/dbraw/zinc/61/63/99/1073616399.db2.gz HYUCNDXUUYALOC-GFCCVEGCSA-N 0 0 445.295 -0.120 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489537334 1073616479 /nfs/dbraw/zinc/61/64/79/1073616479.db2.gz HYUCNDXUUYALOC-LBPRGKRZSA-N 0 0 445.295 -0.120 20 0 IBADRN CN(CCCN(C)C(=O)CNC(=O)c1cccnc1)C(=O)CNC(=O)c1cccnc1 ZINC000489537839 1073616501 /nfs/dbraw/zinc/61/65/01/1073616501.db2.gz JAWYKXMTDMQKAY-UHFFFAOYSA-N 0 0 426.477 -0.057 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489538254 1073616430 /nfs/dbraw/zinc/61/64/30/1073616430.db2.gz JYQOVNWFXPZPHV-SSDOTTSWSA-N 0 0 437.297 -0.449 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489538257 1073616331 /nfs/dbraw/zinc/61/63/31/1073616331.db2.gz JYQOVNWFXPZPHV-ZETCQYMHSA-N 0 0 437.297 -0.449 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489539981 1073616445 /nfs/dbraw/zinc/61/64/45/1073616445.db2.gz OGQRAWNWCKKWGZ-LLVKDONJSA-N 0 0 436.368 -0.374 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489539995 1073616495 /nfs/dbraw/zinc/61/64/95/1073616495.db2.gz OGQRAWNWCKKWGZ-NSHDSACASA-N 0 0 436.368 -0.374 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1OCC ZINC000489541063 1073616460 /nfs/dbraw/zinc/61/64/60/1073616460.db2.gz YMGGKULFUJQTIZ-CYBMUJFWSA-N 0 0 440.478 -0.475 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1OCC ZINC000489541064 1073616510 /nfs/dbraw/zinc/61/65/10/1073616510.db2.gz YMGGKULFUJQTIZ-ZDUSSCGKSA-N 0 0 440.478 -0.475 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000489543304 1073616472 /nfs/dbraw/zinc/61/64/72/1073616472.db2.gz CKZQZVUUPWSRQY-UHFFFAOYSA-N 0 0 435.524 -0.786 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000489555047 1073616439 /nfs/dbraw/zinc/61/64/39/1073616439.db2.gz VBIFRYVDAKHSPU-UHFFFAOYSA-N 0 0 449.551 -0.396 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489562516 1073617178 /nfs/dbraw/zinc/61/71/78/1073617178.db2.gz FGARRYJFMSJDAK-GFCCVEGCSA-N 0 0 428.492 -0.926 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489562517 1073617128 /nfs/dbraw/zinc/61/71/28/1073617128.db2.gz FGARRYJFMSJDAK-LBPRGKRZSA-N 0 0 428.492 -0.926 20 0 IBADRN CN(CC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1)S(=O)(=O)c1ccc(Br)cc1 ZINC000489566619 1073617215 /nfs/dbraw/zinc/61/72/15/1073617215.db2.gz NLKKYYXGLWAFSM-GFCCVEGCSA-N 0 0 445.295 -0.168 20 0 IBADRN CN(CC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1)S(=O)(=O)c1ccc(Br)cc1 ZINC000489566620 1073617151 /nfs/dbraw/zinc/61/71/51/1073617151.db2.gz NLKKYYXGLWAFSM-LBPRGKRZSA-N 0 0 445.295 -0.168 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCO1 ZINC000489570336 1073617732 /nfs/dbraw/zinc/61/77/32/1073617732.db2.gz DBMTWUQNKKFICD-HNNXBMFYSA-N 0 0 434.515 -0.999 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCO1 ZINC000489570342 1073617660 /nfs/dbraw/zinc/61/76/60/1073617660.db2.gz DBMTWUQNKKFICD-OAHLLOKOSA-N 0 0 434.515 -0.999 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489570495 1073617864 /nfs/dbraw/zinc/61/78/64/1073617864.db2.gz VLKSVZDZEZYWJO-AWEZNQCLSA-N 0 0 438.462 -0.908 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489570507 1073617767 /nfs/dbraw/zinc/61/77/67/1073617767.db2.gz VLKSVZDZEZYWJO-CQSZACIVSA-N 0 0 438.462 -0.908 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000489579917 1073616409 /nfs/dbraw/zinc/61/64/09/1073616409.db2.gz QNNUHSOZXMWTRI-HNNXBMFYSA-N 0 0 448.567 -0.477 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000489579920 1073616435 /nfs/dbraw/zinc/61/64/35/1073616435.db2.gz QNNUHSOZXMWTRI-OAHLLOKOSA-N 0 0 448.567 -0.477 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCO1 ZINC000489580854 1073616452 /nfs/dbraw/zinc/61/64/52/1073616452.db2.gz RZXKJYACFJWKAB-HNNXBMFYSA-N 0 0 429.495 -0.227 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCO1 ZINC000489580858 1073616492 /nfs/dbraw/zinc/61/64/92/1073616492.db2.gz RZXKJYACFJWKAB-OAHLLOKOSA-N 0 0 429.495 -0.227 20 0 IBADRN CS(=O)(=O)CCCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000489581242 1073616961 /nfs/dbraw/zinc/61/69/61/1073616961.db2.gz XEIGDNNTEPDVGF-UHFFFAOYSA-N 0 0 425.554 -0.925 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000489581574 1073616382 /nfs/dbraw/zinc/61/63/82/1073616382.db2.gz ZLDJVBVQLUUZKW-UHFFFAOYSA-N 0 0 427.465 -0.508 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000489582217 1073617239 /nfs/dbraw/zinc/61/72/39/1073617239.db2.gz KXGJAUUMUIEVAA-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000489582218 1073616985 /nfs/dbraw/zinc/61/69/85/1073616985.db2.gz KXGJAUUMUIEVAA-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN Cc1nc(N2CCN(C(C)(C)C(N)=O)CC2)c(N2CCN(C(C)(C)C(N)=O)CC2)nc1C ZINC000489585079 1073617032 /nfs/dbraw/zinc/61/70/32/1073617032.db2.gz LZEQKJLGVWSHQA-UHFFFAOYSA-N 0 0 446.600 -0.135 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2=O)cc(OC)c1OC ZINC000489590401 1073617170 /nfs/dbraw/zinc/61/71/70/1073617170.db2.gz ATGHNMDCHSRCTP-AAEUAGOBSA-N 0 0 432.433 -0.172 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2=O)cc(OC)c1OC ZINC000489590402 1073617045 /nfs/dbraw/zinc/61/70/45/1073617045.db2.gz ATGHNMDCHSRCTP-DGCLKSJQSA-N 0 0 432.433 -0.172 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2=O)cc(OC)c1OC ZINC000489590403 1073616904 /nfs/dbraw/zinc/61/69/04/1073616904.db2.gz ATGHNMDCHSRCTP-WCQYABFASA-N 0 0 432.433 -0.172 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2=O)cc(OC)c1OC ZINC000489590404 1073617189 /nfs/dbraw/zinc/61/71/89/1073617189.db2.gz ATGHNMDCHSRCTP-YPMHNXCESA-N 0 0 432.433 -0.172 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)n(C)c1 ZINC000489592627 1073617013 /nfs/dbraw/zinc/61/70/13/1073617013.db2.gz HCYCUOASJXIQSX-INIZCTEOSA-N 0 0 449.533 -0.392 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)n(C)c1 ZINC000489592628 1073617220 /nfs/dbraw/zinc/61/72/20/1073617220.db2.gz HCYCUOASJXIQSX-MRXNPFEDSA-N 0 0 449.533 -0.392 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCSCC1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489593055 1073617547 /nfs/dbraw/zinc/61/75/47/1073617547.db2.gz BOJLXMUXXQDSFF-JTQLQIEISA-N 0 0 430.533 -0.138 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCSCC1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489593056 1073618453 /nfs/dbraw/zinc/61/84/53/1073618453.db2.gz BOJLXMUXXQDSFF-SNVBAGLBSA-N 0 0 430.533 -0.138 20 0 IBADRN O=C([C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489594722 1073618506 /nfs/dbraw/zinc/61/85/06/1073618506.db2.gz LFYAHHUFZCHMPS-CABCVRRESA-N 0 0 426.433 -0.701 20 0 IBADRN O=C([C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489594723 1073618467 /nfs/dbraw/zinc/61/84/67/1073618467.db2.gz LFYAHHUFZCHMPS-GJZGRUSLSA-N 0 0 426.433 -0.701 20 0 IBADRN O=C([C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489594724 1073618442 /nfs/dbraw/zinc/61/84/42/1073618442.db2.gz LFYAHHUFZCHMPS-HUUCEWRRSA-N 0 0 426.433 -0.701 20 0 IBADRN O=C([C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489594725 1073618475 /nfs/dbraw/zinc/61/84/75/1073618475.db2.gz LFYAHHUFZCHMPS-LSDHHAIUSA-N 0 0 426.433 -0.701 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000489595392 1073618521 /nfs/dbraw/zinc/61/85/21/1073618521.db2.gz MEHUPZYKEMFYHB-CYBMUJFWSA-N 0 0 438.462 -0.721 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000489595393 1073618405 /nfs/dbraw/zinc/61/84/05/1073618405.db2.gz MEHUPZYKEMFYHB-ZDUSSCGKSA-N 0 0 438.462 -0.721 20 0 IBADRN CN(CCCN(C)C(=O)CCC(=O)N1CCOCC1)C(=O)CCC(=O)N1CCOCC1 ZINC000489596171 1073618374 /nfs/dbraw/zinc/61/83/74/1073618374.db2.gz OMVBPYLBDMQETH-UHFFFAOYSA-N 0 0 440.541 -0.429 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)C1 ZINC000489597629 1073618352 /nfs/dbraw/zinc/61/83/52/1073618352.db2.gz SJGYGTQICMNKON-CHWSQXEVSA-N 0 0 425.467 -0.545 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)C1 ZINC000489597631 1073618388 /nfs/dbraw/zinc/61/83/88/1073618388.db2.gz SJGYGTQICMNKON-OLZOCXBDSA-N 0 0 425.467 -0.545 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)C1 ZINC000489597633 1073618511 /nfs/dbraw/zinc/61/85/11/1073618511.db2.gz SJGYGTQICMNKON-QWHCGFSZSA-N 0 0 425.467 -0.545 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)C1 ZINC000489597635 1073618480 /nfs/dbraw/zinc/61/84/80/1073618480.db2.gz SJGYGTQICMNKON-STQMWFEESA-N 0 0 425.467 -0.545 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000489597803 1073618436 /nfs/dbraw/zinc/61/84/36/1073618436.db2.gz OBGJHIYICDVMRG-CYBMUJFWSA-N 0 0 428.492 -0.791 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000489597807 1073618361 /nfs/dbraw/zinc/61/83/61/1073618361.db2.gz OBGJHIYICDVMRG-ZDUSSCGKSA-N 0 0 428.492 -0.791 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC000489598108 1073618397 /nfs/dbraw/zinc/61/83/97/1073618397.db2.gz LLHNEGUBXWOMKS-AWEZNQCLSA-N 0 0 429.499 -0.453 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC000489598111 1073618518 /nfs/dbraw/zinc/61/85/18/1073618518.db2.gz LLHNEGUBXWOMKS-CQSZACIVSA-N 0 0 429.499 -0.453 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000489601668 1073619164 /nfs/dbraw/zinc/61/91/64/1073619164.db2.gz SDJWNRPPZLTEFG-AWEZNQCLSA-N 0 0 448.571 -0.704 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000489601669 1073619228 /nfs/dbraw/zinc/61/92/28/1073619228.db2.gz SDJWNRPPZLTEFG-CQSZACIVSA-N 0 0 448.571 -0.704 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N[C@@H](CN(C)C(=O)CN(C)S(=O)(=O)CC)C(C)C ZINC000489610495 1073619027 /nfs/dbraw/zinc/61/90/27/1073619027.db2.gz MJSIQJGFKDBKNP-AWEZNQCLSA-N 0 0 442.604 -0.851 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N[C@H](CN(C)C(=O)CN(C)S(=O)(=O)CC)C(C)C ZINC000489610496 1073619124 /nfs/dbraw/zinc/61/91/24/1073619124.db2.gz MJSIQJGFKDBKNP-CQSZACIVSA-N 0 0 442.604 -0.851 20 0 IBADRN CC(C)[C@@H](CN(C)C(=O)C(C)(C)NS(C)(=O)=O)NC(=O)C(C)(C)NS(C)(=O)=O ZINC000489610862 1073619185 /nfs/dbraw/zinc/61/91/85/1073619185.db2.gz VIUYNHOLUMJVTF-GFCCVEGCSA-N 0 0 442.604 -0.759 20 0 IBADRN CC(C)[C@H](CN(C)C(=O)C(C)(C)NS(C)(=O)=O)NC(=O)C(C)(C)NS(C)(=O)=O ZINC000489610863 1073619095 /nfs/dbraw/zinc/61/90/95/1073619095.db2.gz VIUYNHOLUMJVTF-LBPRGKRZSA-N 0 0 442.604 -0.759 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)n2C ZINC000489617305 1073617588 /nfs/dbraw/zinc/61/75/88/1073617588.db2.gz ATGOTXUXQQJCBA-UHFFFAOYSA-N 0 0 430.509 -0.179 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1)n2C ZINC000489618994 1073617752 /nfs/dbraw/zinc/61/77/52/1073617752.db2.gz FJVQTBDOGHFKGK-UHFFFAOYSA-N 0 0 430.509 -0.133 20 0 IBADRN CCOC(CNS(=O)(=O)c1cnn(C)c1C)CNS(=O)(=O)c1cnn(C)c1C ZINC000489622506 1073617717 /nfs/dbraw/zinc/61/77/17/1073617717.db2.gz UQYMPFIFEMRPEY-UHFFFAOYSA-N 0 0 434.544 -0.568 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(CC(=O)NC3CC3)CC1)n2C ZINC000489623460 1073617808 /nfs/dbraw/zinc/61/78/08/1073617808.db2.gz NMKJGRYOLNTKEX-UHFFFAOYSA-N 0 0 428.493 -0.379 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NCCCN1CCNC(=O)C1 ZINC000489624227 1073619783 /nfs/dbraw/zinc/61/97/83/1073619783.db2.gz ONNUMABJEVZFJT-UHFFFAOYSA-N 0 0 438.428 -0.198 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000489627524 1073620259 /nfs/dbraw/zinc/62/02/59/1073620259.db2.gz WNBLDXJCLHBDOP-UHFFFAOYSA-N 0 0 439.531 -0.028 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCCN2CCNC(=O)C2)cc1 ZINC000489628751 1073620375 /nfs/dbraw/zinc/62/03/75/1073620375.db2.gz WPVUVNUMLLEGCO-UHFFFAOYSA-N 0 0 426.495 -0.920 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000489634033 1073620884 /nfs/dbraw/zinc/62/08/84/1073620884.db2.gz SRLQJVKYXKUFIB-INIZCTEOSA-N 0 0 434.559 -0.198 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000489634035 1073621105 /nfs/dbraw/zinc/62/11/05/1073621105.db2.gz SRLQJVKYXKUFIB-MRXNPFEDSA-N 0 0 434.559 -0.198 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000489634726 1073621073 /nfs/dbraw/zinc/62/10/73/1073621073.db2.gz UMCRULGJSFMPRJ-KBPBESRZSA-N 0 0 426.561 -0.802 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000489634727 1073621035 /nfs/dbraw/zinc/62/10/35/1073621035.db2.gz UMCRULGJSFMPRJ-KGLIPLIRSA-N 0 0 426.561 -0.802 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000489634728 1073621098 /nfs/dbraw/zinc/62/10/98/1073621098.db2.gz UMCRULGJSFMPRJ-UONOGXRCSA-N 0 0 426.561 -0.802 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000489634729 1073621025 /nfs/dbraw/zinc/62/10/25/1073621025.db2.gz UMCRULGJSFMPRJ-ZIAGYGMSSA-N 0 0 426.561 -0.802 20 0 IBADRN Cc1cnn(-c2c(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)c(C)nn2C)c1 ZINC000489641739 1073621012 /nfs/dbraw/zinc/62/10/12/1073621012.db2.gz FFVGULAEXGTRFT-UHFFFAOYSA-N 0 0 437.526 -0.443 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NCCCN1CCNC(=O)C1 ZINC000489650657 1073620983 /nfs/dbraw/zinc/62/09/83/1073620983.db2.gz QLHASXUWMJLMBE-UHFFFAOYSA-N 0 0 439.357 -0.273 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1 ZINC000489651174 1073619151 /nfs/dbraw/zinc/61/91/51/1073619151.db2.gz FRTQMUHBCNXBOT-AWEZNQCLSA-N 0 0 435.476 -0.420 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1 ZINC000489651182 1073619220 /nfs/dbraw/zinc/61/92/20/1073619220.db2.gz FRTQMUHBCNXBOT-CQSZACIVSA-N 0 0 435.476 -0.420 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1 ZINC000489652553 1073619065 /nfs/dbraw/zinc/61/90/65/1073619065.db2.gz HOBKUPPSYPIKQP-HNNXBMFYSA-N 0 0 449.503 -0.030 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1 ZINC000489652556 1073619632 /nfs/dbraw/zinc/61/96/32/1073619632.db2.gz HOBKUPPSYPIKQP-OAHLLOKOSA-N 0 0 449.503 -0.030 20 0 IBADRN COCC(=O)N1CCC(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000489653148 1073619737 /nfs/dbraw/zinc/61/97/37/1073619737.db2.gz YLCUIJBSGFLXAC-UHFFFAOYSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC000489653507 1073619764 /nfs/dbraw/zinc/61/97/64/1073619764.db2.gz YSWIGHLPHYAFQP-UHFFFAOYSA-N 0 0 436.556 -0.601 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000489665389 1073620904 /nfs/dbraw/zinc/62/09/04/1073620904.db2.gz LOAZYPGFDAXQMV-CYBMUJFWSA-N 0 0 428.463 -0.083 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000489665390 1073621110 /nfs/dbraw/zinc/62/11/10/1073621110.db2.gz LOAZYPGFDAXQMV-ZDUSSCGKSA-N 0 0 428.463 -0.083 20 0 IBADRN Cc1c(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cnn1C ZINC000489669575 1073621673 /nfs/dbraw/zinc/62/16/73/1073621673.db2.gz DGCJXOGOHQLNQA-UHFFFAOYSA-N 0 0 428.492 -0.149 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)CCn1cnc3c1c(=O)n(C)c(=O)n3C)OCO2 ZINC000489670168 1073621591 /nfs/dbraw/zinc/62/15/91/1073621591.db2.gz XMIFZJSLUODUOF-UHFFFAOYSA-N 0 0 429.389 -0.022 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000489670394 1073621773 /nfs/dbraw/zinc/62/17/73/1073621773.db2.gz ANKBOTUHSNUGPW-GFCCVEGCSA-N 0 0 435.524 -0.187 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000489670396 1073621764 /nfs/dbraw/zinc/62/17/64/1073621764.db2.gz ANKBOTUHSNUGPW-LBPRGKRZSA-N 0 0 435.524 -0.187 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)n2)CCO1 ZINC000489676661 1073621687 /nfs/dbraw/zinc/62/16/87/1073621687.db2.gz HJGIVVIIJNVWJA-GASCZTMLSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)n2)CCO1 ZINC000489676663 1073621721 /nfs/dbraw/zinc/62/17/21/1073621721.db2.gz HJGIVVIIJNVWJA-GJZGRUSLSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@H](CC(=O)OC)C3)n2)CCO1 ZINC000489676665 1073621712 /nfs/dbraw/zinc/62/17/12/1073621712.db2.gz HJGIVVIIJNVWJA-HUUCEWRRSA-N 0 0 449.460 -0.110 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cnc(C)c(C(=O)OC)c2)CC1 ZINC000489684583 1073620937 /nfs/dbraw/zinc/62/09/37/1073620937.db2.gz KAHDUDINUZECIX-UHFFFAOYSA-N 0 0 435.524 -0.151 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cnc(C)c(C(=O)OC)c1 ZINC000489690423 1073622359 /nfs/dbraw/zinc/62/23/59/1073622359.db2.gz LCEMJXYTZBPILJ-AWEZNQCLSA-N 0 0 429.451 -0.755 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cnc(C)c(C(=O)OC)c1 ZINC000489690425 1073622395 /nfs/dbraw/zinc/62/23/95/1073622395.db2.gz LCEMJXYTZBPILJ-CQSZACIVSA-N 0 0 429.451 -0.755 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1I ZINC000489692399 1073622425 /nfs/dbraw/zinc/62/24/25/1073622425.db2.gz RUYRIXWWCVXTFA-JTQLQIEISA-N 0 0 449.270 -0.069 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1I ZINC000489692400 1073622400 /nfs/dbraw/zinc/62/24/00/1073622400.db2.gz RUYRIXWWCVXTFA-SNVBAGLBSA-N 0 0 449.270 -0.069 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000489693881 1073622419 /nfs/dbraw/zinc/62/24/19/1073622419.db2.gz XTFYRZSKUMKBAQ-AWEZNQCLSA-N 0 0 425.463 -0.659 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000489693882 1073622381 /nfs/dbraw/zinc/62/23/81/1073622381.db2.gz XTFYRZSKUMKBAQ-CQSZACIVSA-N 0 0 425.463 -0.659 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cnc1C ZINC000489694007 1073622409 /nfs/dbraw/zinc/62/24/09/1073622409.db2.gz GRKHYNMIJSLZLC-AWEZNQCLSA-N 0 0 447.535 -0.008 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cnc1C ZINC000489694012 1073622293 /nfs/dbraw/zinc/62/22/93/1073622293.db2.gz GRKHYNMIJSLZLC-CQSZACIVSA-N 0 0 447.535 -0.008 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)Cn2ncn(C)c2=O)CC1 ZINC000489701031 1073621784 /nfs/dbraw/zinc/62/17/84/1073621784.db2.gz GFDVRAAJPXUAGL-UHFFFAOYSA-N 0 0 429.886 -0.223 20 0 IBADRN NC(=O)C(NC(=O)c1cc(I)ccc1N1CCOCC1)C(N)=O ZINC000489701036 1073621639 /nfs/dbraw/zinc/62/16/39/1073621639.db2.gz JSCQVYBISFAOMW-UHFFFAOYSA-N 0 0 432.218 -0.803 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)CC[C@H]1CCCCN1C(C)=O ZINC000489712184 1073621747 /nfs/dbraw/zinc/62/17/47/1073621747.db2.gz CDMFJURMFHFCOI-CVEARBPZSA-N 0 0 433.527 -0.513 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)CC[C@@H]1CCCCN1C(C)=O ZINC000489712185 1073622428 /nfs/dbraw/zinc/62/24/28/1073622428.db2.gz CDMFJURMFHFCOI-HOTGVXAUSA-N 0 0 433.527 -0.513 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)CC[C@H]1CCCCN1C(C)=O ZINC000489712186 1073622435 /nfs/dbraw/zinc/62/24/35/1073622435.db2.gz CDMFJURMFHFCOI-HZPDHXFCSA-N 0 0 433.527 -0.513 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)CC[C@@H]1CCCCN1C(C)=O ZINC000489712187 1073622389 /nfs/dbraw/zinc/62/23/89/1073622389.db2.gz CDMFJURMFHFCOI-JKSUJKDBSA-N 0 0 433.527 -0.513 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)CC1(C(=O)OC)CCCCC1 ZINC000489717183 1073622311 /nfs/dbraw/zinc/62/23/11/1073622311.db2.gz IVAYMISBHLPMAC-AWEZNQCLSA-N 0 0 434.511 -0.180 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)CC1(C(=O)OC)CCCCC1 ZINC000489717184 1073622338 /nfs/dbraw/zinc/62/23/38/1073622338.db2.gz IVAYMISBHLPMAC-CQSZACIVSA-N 0 0 434.511 -0.180 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)n(C)c1 ZINC000489717701 1073622340 /nfs/dbraw/zinc/62/23/40/1073622340.db2.gz QOFCBKAAHLMILF-LLVKDONJSA-N 0 0 435.549 -0.099 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)n(C)c1 ZINC000489717705 1073622413 /nfs/dbraw/zinc/62/24/13/1073622413.db2.gz QOFCBKAAHLMILF-NSHDSACASA-N 0 0 435.549 -0.099 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)n2C ZINC000489762538 1073622973 /nfs/dbraw/zinc/62/29/73/1073622973.db2.gz AEMYSXIDNUSENA-UHFFFAOYSA-N 0 0 439.476 -0.359 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000489776699 1073622353 /nfs/dbraw/zinc/62/23/53/1073622353.db2.gz DWEHCSVLQAGZKH-KKUMJFAQSA-N 0 0 427.589 -0.151 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000489776701 1073622432 /nfs/dbraw/zinc/62/24/32/1073622432.db2.gz DWEHCSVLQAGZKH-RRFJBIMHSA-N 0 0 427.589 -0.151 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000489776703 1073622391 /nfs/dbraw/zinc/62/23/91/1073622391.db2.gz DWEHCSVLQAGZKH-SOUVJXGZSA-N 0 0 427.589 -0.151 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000489776705 1073623149 /nfs/dbraw/zinc/62/31/49/1073623149.db2.gz DWEHCSVLQAGZKH-ZNMIVQPWSA-N 0 0 427.589 -0.151 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N(CCO)CCN1CCOCC1 ZINC000489781208 1073368674 /nfs/dbraw/zinc/36/86/74/1073368674.db2.gz WOSXASIPSPSSIR-UHFFFAOYSA-N 0 0 433.509 -0.905 20 0 IBADRN Cc1cnn(-c2c(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c(C)nn2C)c1 ZINC000489793966 1072294585 /nfs/dbraw/zinc/29/45/85/1072294585.db2.gz DVFNBPJVUVQBFO-UHFFFAOYSA-N 0 0 429.481 -0.634 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)c1 ZINC000489800738 1072294551 /nfs/dbraw/zinc/29/45/51/1072294551.db2.gz BWYNVMVGACKWCD-UHFFFAOYSA-N 0 0 448.457 -0.317 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)n2C ZINC000489805496 1072294356 /nfs/dbraw/zinc/29/43/56/1072294356.db2.gz YPXBBZBQJLOBDV-CYBMUJFWSA-N 0 0 437.522 -0.014 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)n2C ZINC000489805497 1072294417 /nfs/dbraw/zinc/29/44/17/1072294417.db2.gz YPXBBZBQJLOBDV-ZDUSSCGKSA-N 0 0 437.522 -0.014 20 0 IBADRN CC(=O)N1CCCC[C@H]1CCS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000489808248 1072294492 /nfs/dbraw/zinc/29/44/92/1072294492.db2.gz HABKCYDMPOIBGJ-HNNXBMFYSA-N 0 0 442.542 -0.931 20 0 IBADRN CC(=O)N1CCCC[C@@H]1CCS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000489808256 1072294598 /nfs/dbraw/zinc/29/45/98/1072294598.db2.gz HABKCYDMPOIBGJ-OAHLLOKOSA-N 0 0 442.542 -0.931 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)N(CCO)CCN3CCOCC3)cc2)CC1 ZINC000489813010 1073368625 /nfs/dbraw/zinc/36/86/25/1073368625.db2.gz WLDMLMXJYGJIQH-UHFFFAOYSA-N 0 0 433.553 -0.090 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)c2cnc(C)c(C(=O)OC)c2)CCS(=O)(=O)CC1 ZINC000489817020 1072294449 /nfs/dbraw/zinc/29/44/49/1072294449.db2.gz LDBOFFATVZQKMK-UHFFFAOYSA-N 0 0 434.492 -0.035 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCCCC1 ZINC000489818000 1072294365 /nfs/dbraw/zinc/29/43/65/1072294365.db2.gz WMWMVBMWXARRGG-UHFFFAOYSA-N 0 0 443.526 -0.598 20 0 IBADRN Cc1c(S(=O)(=O)NCCN2CCN(S(=O)(=O)c3cnn(C)c3C)CC2)cnn1C ZINC000489818710 1072294467 /nfs/dbraw/zinc/29/44/67/1072294467.db2.gz OOTFGOKIJBKKRT-UHFFFAOYSA-N 0 0 445.571 -0.945 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(c3cnn(C)c3)C(=O)C1)n2C ZINC000489828907 1072294426 /nfs/dbraw/zinc/29/44/26/1072294426.db2.gz YJYHEBHBPCPEOY-UHFFFAOYSA-N 0 0 425.449 -0.193 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(c3nc(N)ns3)CC1)n2C ZINC000489830305 1073356048 /nfs/dbraw/zinc/35/60/48/1073356048.db2.gz XJYCUMRYLXGYKE-UHFFFAOYSA-N 0 0 430.494 -0.019 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3cnccn3)CC2)cs1 ZINC000489870825 1073623012 /nfs/dbraw/zinc/62/30/12/1073623012.db2.gz IFEQCKNQVYLHEE-UHFFFAOYSA-N 0 0 439.523 -0.313 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)N(CCO)CCN1CCOCC1 ZINC000489872850 1073368501 /nfs/dbraw/zinc/36/85/01/1073368501.db2.gz PWBYTTJJTOLWST-UHFFFAOYSA-N 0 0 425.530 -0.033 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)N2CCN(S(=O)(=O)NC)CC2)c1 ZINC000489873670 1073623055 /nfs/dbraw/zinc/62/30/55/1073623055.db2.gz OHDCYPMMTZGSHX-UHFFFAOYSA-N 0 0 435.524 -0.220 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)Cn3ncn(C)c3=O)CC2)cc1OC ZINC000489879377 1073623111 /nfs/dbraw/zinc/62/31/11/1073623111.db2.gz BFWPAYDADQERGV-UHFFFAOYSA-N 0 0 439.494 -0.432 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)n2C ZINC000489883829 1073622957 /nfs/dbraw/zinc/62/29/57/1073622957.db2.gz LCNXITNEZLQMRO-UHFFFAOYSA-N 0 0 442.476 -0.853 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000489886389 1073623024 /nfs/dbraw/zinc/62/30/24/1073623024.db2.gz MOCWSOPJVLALPG-INIZCTEOSA-N 0 0 425.559 -0.288 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000489886390 1073623100 /nfs/dbraw/zinc/62/31/00/1073623100.db2.gz MOCWSOPJVLALPG-MRXNPFEDSA-N 0 0 425.559 -0.288 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000489887646 1073622936 /nfs/dbraw/zinc/62/29/36/1073622936.db2.gz NVVUHCLUHWSZKP-INIZCTEOSA-N 0 0 437.522 -0.518 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000489887648 1073623108 /nfs/dbraw/zinc/62/31/08/1073623108.db2.gz NVVUHCLUHWSZKP-MRXNPFEDSA-N 0 0 437.522 -0.518 20 0 IBADRN COCCN(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)Cc1ccnn1C ZINC000489893193 1073623038 /nfs/dbraw/zinc/62/30/38/1073623038.db2.gz WBINTCXFAUDRKU-UHFFFAOYSA-N 0 0 427.513 -0.106 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N[C@H]1CCN(c3cnn(C)c3)C1=O)n2C ZINC000489907568 1073623854 /nfs/dbraw/zinc/62/38/54/1073623854.db2.gz OIDPTYHTKYSFPZ-AWEZNQCLSA-N 0 0 425.449 -0.147 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N[C@@H]1CCN(c3cnn(C)c3)C1=O)n2C ZINC000489907569 1073623873 /nfs/dbraw/zinc/62/38/73/1073623873.db2.gz OIDPTYHTKYSFPZ-CQSZACIVSA-N 0 0 425.449 -0.147 20 0 IBADRN Cc1nc(NC[C@H]2CS(=O)(=O)CCO2)c(NC[C@H]2CS(=O)(=O)CCO2)nc1C ZINC000489928283 1073623837 /nfs/dbraw/zinc/62/38/37/1073623837.db2.gz MAMLZDGUHSPKPL-KBPBESRZSA-N 0 0 434.540 -0.456 20 0 IBADRN Cc1nc(NC[C@@H]2CS(=O)(=O)CCO2)c(NC[C@H]2CS(=O)(=O)CCO2)nc1C ZINC000489928287 1073623783 /nfs/dbraw/zinc/62/37/83/1073623783.db2.gz MAMLZDGUHSPKPL-OKILXGFUSA-N 0 0 434.540 -0.456 20 0 IBADRN Cc1nc(NC[C@@H]2CS(=O)(=O)CCO2)c(NC[C@@H]2CS(=O)(=O)CCO2)nc1C ZINC000489928289 1073623870 /nfs/dbraw/zinc/62/38/70/1073623870.db2.gz MAMLZDGUHSPKPL-ZIAGYGMSSA-N 0 0 434.540 -0.456 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(CC(=O)N3CCCC3)CC1)n2C ZINC000489941396 1073623702 /nfs/dbraw/zinc/62/37/02/1073623702.db2.gz LQDZOVFMUFEAQB-UHFFFAOYSA-N 0 0 442.520 -0.035 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c(C)o1 ZINC000489953644 1073623718 /nfs/dbraw/zinc/62/37/18/1073623718.db2.gz DDWUQTAGCMCGHM-UHFFFAOYSA-N 0 0 443.508 -0.074 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000489964432 1073623880 /nfs/dbraw/zinc/62/38/80/1073623880.db2.gz ITRZTWVJDFFZEN-JYJNAYRXSA-N 0 0 428.507 -0.306 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000489964518 1073623827 /nfs/dbraw/zinc/62/38/27/1073623827.db2.gz ITRZTWVJDFFZEN-PMPSAXMXSA-N 0 0 428.507 -0.306 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H](O)C(=O)OC)c1 ZINC000489968651 1073623647 /nfs/dbraw/zinc/62/36/47/1073623647.db2.gz XWGJBYZPJIMPRD-KRWDZBQOSA-N 0 0 443.522 -0.183 20 0 IBADRN COc1ccc(C(=O)NCCC(=O)N2CCN(C(=O)c3ccc(OC)nn3)CC2)nn1 ZINC000489976007 1073623847 /nfs/dbraw/zinc/62/38/47/1073623847.db2.gz FEQNQBOMXUVGJI-UHFFFAOYSA-N 0 0 429.437 -0.612 20 0 IBADRN Cc1c[nH]cc(C(=O)NCCC(=O)N2CCN(C(=O)c3c[nH]cc(C)c3=O)CC2)c1=O ZINC000489982750 1073624286 /nfs/dbraw/zinc/62/42/86/1073624286.db2.gz YHAUWXCRRRTEME-UHFFFAOYSA-N 0 0 427.461 -0.215 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)Nc2ccn(CC(N)=O)n2)o1 ZINC000489984032 1073340739 /nfs/dbraw/zinc/34/07/39/1073340739.db2.gz RYSHNHZPBVNBQN-LLVKDONJSA-N 0 0 439.450 -0.070 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)Nc2ccn(CC(N)=O)n2)o1 ZINC000489984033 1073340808 /nfs/dbraw/zinc/34/08/08/1073340808.db2.gz RYSHNHZPBVNBQN-NSHDSACASA-N 0 0 439.450 -0.070 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)c1cc(-c2ccc3c(c2)OCO3)nc2c1c(=O)[nH]c(=O)n2C ZINC000489988312 1073624348 /nfs/dbraw/zinc/62/43/48/1073624348.db2.gz LRZCCYMZXVEMHO-LBPRGKRZSA-N 0 0 442.384 -0.307 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)N1CCN(c2ccccc2)CC1 ZINC000489988918 1073624371 /nfs/dbraw/zinc/62/43/71/1073624371.db2.gz MFWCAMMSKCZWBE-RBUKOAKNSA-N 0 0 430.505 -0.142 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CCNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000489993346 1073623801 /nfs/dbraw/zinc/62/38/01/1073623801.db2.gz LONAVMBWCSIJKN-HNNXBMFYSA-N 0 0 446.551 -0.146 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CCNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000489993348 1073624385 /nfs/dbraw/zinc/62/43/85/1073624385.db2.gz LONAVMBWCSIJKN-OAHLLOKOSA-N 0 0 446.551 -0.146 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000489994723 1073624415 /nfs/dbraw/zinc/62/44/15/1073624415.db2.gz PTDLUBFFFWKNHK-CYBMUJFWSA-N 0 0 447.535 -0.334 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000489994724 1073624362 /nfs/dbraw/zinc/62/43/62/1073624362.db2.gz PTDLUBFFFWKNHK-ZDUSSCGKSA-N 0 0 447.535 -0.334 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000490003390 1073624471 /nfs/dbraw/zinc/62/44/71/1073624471.db2.gz GACKMXGQUQTRLZ-CABCVRRESA-N 0 0 439.556 -0.902 20 0 IBADRN O=C(CCNC(=O)C1(O)CCSCC1)N1CCN(C(=O)C2(O)CCSCC2)CC1 ZINC000490006264 1072830813 /nfs/dbraw/zinc/83/08/13/1072830813.db2.gz RUMCDEZWKZAYRP-UHFFFAOYSA-N 0 0 445.607 -0.320 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000490021472 1073625635 /nfs/dbraw/zinc/62/56/35/1073625635.db2.gz VEEIBXLRHDCPSE-CABCVRRESA-N 0 0 426.557 -0.112 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1OCC(F)F ZINC000490025277 1073624436 /nfs/dbraw/zinc/62/44/36/1073624436.db2.gz GUENKLHAOVWXBK-UHFFFAOYSA-N 0 0 437.407 -0.065 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(F)ccc2-n2cccn2)CC1 ZINC000490025900 1073624313 /nfs/dbraw/zinc/62/43/13/1073624313.db2.gz JBJULTNDIGYJIE-UHFFFAOYSA-N 0 0 427.400 -0.693 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(NC(=O)C3CC3)c2)CC1 ZINC000490025974 1073624406 /nfs/dbraw/zinc/62/44/06/1073624406.db2.gz JPODEXIRSZRBJP-UHFFFAOYSA-N 0 0 426.437 -0.669 20 0 IBADRN COc1cc(OC)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1Cl ZINC000490026091 1073624276 /nfs/dbraw/zinc/62/42/76/1073624276.db2.gz KBENGAPSLSONJW-UHFFFAOYSA-N 0 0 437.844 -0.347 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NCCN1CCCS1(=O)=O ZINC000490026849 1073624334 /nfs/dbraw/zinc/62/43/34/1073624334.db2.gz AWPIWYQHSJLHFR-UHFFFAOYSA-N 0 0 447.535 -0.332 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(OCC(F)(F)F)cc2)CC1 ZINC000490027985 1073624396 /nfs/dbraw/zinc/62/43/96/1073624396.db2.gz CDUHOSNGCDUXEZ-UHFFFAOYSA-N 0 0 441.370 -0.076 20 0 IBADRN CC(C)(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490028459 1073624303 /nfs/dbraw/zinc/62/43/03/1073624303.db2.gz DCMXAMPPVBXLIE-UHFFFAOYSA-N 0 0 442.480 -0.489 20 0 IBADRN CCOC(=O)c1c(C)c(C)sc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490029066 1073624458 /nfs/dbraw/zinc/62/44/58/1073624458.db2.gz FLNNOKIQEWWFDY-UHFFFAOYSA-N 0 0 449.493 -0.162 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(Br)ccc2F)CC1 ZINC000490030872 1073625085 /nfs/dbraw/zinc/62/50/85/1073625085.db2.gz HZFACMILQUZESV-UHFFFAOYSA-N 0 0 440.233 -0.116 20 0 IBADRN CCOC(=O)c1c(C)csc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490031353 1073625007 /nfs/dbraw/zinc/62/50/07/1073625007.db2.gz IFWYZPBNGDZLLT-UHFFFAOYSA-N 0 0 435.466 -0.471 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1F ZINC000490031881 1073625133 /nfs/dbraw/zinc/62/51/33/1073625133.db2.gz MGIJBALUTLYJCQ-UHFFFAOYSA-N 0 0 435.416 -0.853 20 0 IBADRN Cc1ccc(C(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490031911 1073625017 /nfs/dbraw/zinc/62/50/17/1073625017.db2.gz MJYZUZIHSXQRMT-UHFFFAOYSA-N 0 0 440.464 -0.817 20 0 IBADRN CCN(Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1)C(C)=O ZINC000490032411 1073625151 /nfs/dbraw/zinc/62/51/51/1073625151.db2.gz NQIYLKWJKJABDS-UHFFFAOYSA-N 0 0 442.480 -0.649 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCC3=O)c2)CC1 ZINC000490032419 1073625076 /nfs/dbraw/zinc/62/50/76/1073625076.db2.gz NTWZQAIDZSRJQW-UHFFFAOYSA-N 0 0 426.437 -0.891 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1Cl ZINC000490032420 1073625028 /nfs/dbraw/zinc/62/50/28/1073625028.db2.gz NUAPWPDVOUXLBJ-UHFFFAOYSA-N 0 0 434.844 -0.406 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1Cl ZINC000490033507 1073624991 /nfs/dbraw/zinc/62/49/91/1073624991.db2.gz PDIMYZFHQKVSCE-UHFFFAOYSA-N 0 0 448.871 -0.662 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(C)c1 ZINC000490033580 1073369007 /nfs/dbraw/zinc/36/90/07/1073369007.db2.gz POFOOLJTKUICHW-UHFFFAOYSA-N 0 0 428.453 -0.959 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(C)c1 ZINC000490034047 1073625053 /nfs/dbraw/zinc/62/50/53/1073625053.db2.gz QNBRBSYCERCZNF-UHFFFAOYSA-N 0 0 431.453 -0.684 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)Cn3cncn3)c2)CC1 ZINC000490034736 1073625038 /nfs/dbraw/zinc/62/50/38/1073625038.db2.gz BSRNGUSSIGMHRF-HNNXBMFYSA-N 0 0 449.537 -0.252 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)Cn3cncn3)c2)CC1 ZINC000490034737 1073625095 /nfs/dbraw/zinc/62/50/95/1073625095.db2.gz BSRNGUSSIGMHRF-OAHLLOKOSA-N 0 0 449.537 -0.252 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000490034804 1073624999 /nfs/dbraw/zinc/62/49/99/1073624999.db2.gz RQBDWHLBDITVGT-UHFFFAOYSA-N 0 0 440.464 -0.895 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OC)c1OC ZINC000490034814 1073625100 /nfs/dbraw/zinc/62/51/00/1073625100.db2.gz RUGCETMAZMWMCV-UHFFFAOYSA-N 0 0 433.425 -0.992 20 0 IBADRN CC(=O)N1CCCc2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc21 ZINC000490034879 1073625118 /nfs/dbraw/zinc/62/51/18/1073625118.db2.gz SDRFFYLLOSBYAX-UHFFFAOYSA-N 0 0 440.464 -0.718 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000490034891 1073625067 /nfs/dbraw/zinc/62/50/67/1073625067.db2.gz SFSWYOOMDLAEJX-UHFFFAOYSA-N 0 0 442.358 -0.681 20 0 IBADRN CC(C)n1ncc2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc21 ZINC000490035593 1073625109 /nfs/dbraw/zinc/62/51/09/1073625109.db2.gz TUVWOWWOIQQKJX-UHFFFAOYSA-N 0 0 425.453 -0.087 20 0 IBADRN COC(=O)c1cc2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc2o1 ZINC000490036049 1073625043 /nfs/dbraw/zinc/62/50/43/1073625043.db2.gz VNNJTAMPZVVXFI-UHFFFAOYSA-N 0 0 441.404 -0.485 20 0 IBADRN COCCCOc1ccccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490036180 1073624979 /nfs/dbraw/zinc/62/49/79/1073624979.db2.gz QLBGOANSORJXSM-UHFFFAOYSA-N 0 0 431.453 -0.602 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(F)cc2OCC2CC2)CC1 ZINC000490036529 1073625749 /nfs/dbraw/zinc/62/57/49/1073625749.db2.gz RGNRHDOLIXHEMG-UHFFFAOYSA-N 0 0 431.428 -0.090 20 0 IBADRN COC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490037374 1073625654 /nfs/dbraw/zinc/62/56/54/1073625654.db2.gz YCPUQEMWQRZFNM-UHFFFAOYSA-N 0 0 435.828 -0.577 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(F)cc1F ZINC000490037854 1073625645 /nfs/dbraw/zinc/62/56/45/1073625645.db2.gz ZBUKBBDUZUFSSL-UHFFFAOYSA-N 0 0 437.363 -0.953 20 0 IBADRN Cc1cc(C(=O)NC(C)C)ccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490038744 1073625686 /nfs/dbraw/zinc/62/56/86/1073625686.db2.gz UWLBFBHNWZAYFY-UHFFFAOYSA-N 0 0 442.480 -0.571 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc3c(cc2Cl)OCCO3)CC1 ZINC000490039117 1073625789 /nfs/dbraw/zinc/62/57/89/1073625789.db2.gz BAUFWDFDVSWHKI-UHFFFAOYSA-N 0 0 435.828 -0.593 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490039283 1073625627 /nfs/dbraw/zinc/62/56/27/1073625627.db2.gz BXCZUHOCDXTACB-UHFFFAOYSA-N 0 0 442.480 -0.872 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000490039374 1073625723 /nfs/dbraw/zinc/62/57/23/1073625723.db2.gz LBXXXVJXXDTSIO-HNNXBMFYSA-N 0 0 449.537 -0.252 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000490039375 1073625695 /nfs/dbraw/zinc/62/56/95/1073625695.db2.gz LBXXXVJXXDTSIO-OAHLLOKOSA-N 0 0 449.537 -0.252 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c1 ZINC000490039657 1073625618 /nfs/dbraw/zinc/62/56/18/1073625618.db2.gz CWJSWWLXDRTSID-GFCCVEGCSA-N 0 0 442.469 -0.491 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c1 ZINC000490039669 1073625776 /nfs/dbraw/zinc/62/57/76/1073625776.db2.gz CWJSWWLXDRTSID-LBPRGKRZSA-N 0 0 442.469 -0.491 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N2CC3(CCC3)c3c2cccc3F)CC1 ZINC000490039839 1073625678 /nfs/dbraw/zinc/62/56/78/1073625678.db2.gz CXLOBPWXSDEORY-UHFFFAOYSA-N 0 0 427.440 -0.049 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(OCC(F)(F)F)c2)CC1 ZINC000490039879 1073625671 /nfs/dbraw/zinc/62/56/71/1073625671.db2.gz WCSVLUBTFARRTN-UHFFFAOYSA-N 0 0 441.370 -0.076 20 0 IBADRN CCOCCOCc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490040772 1073630081 /nfs/dbraw/zinc/63/00/81/1073630081.db2.gz DYONSVKVKZQYTN-UHFFFAOYSA-N 0 0 445.480 -0.464 20 0 IBADRN CCC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490040968 1073630076 /nfs/dbraw/zinc/63/00/76/1073630076.db2.gz FNCLUIZXIKXORR-UHFFFAOYSA-N 0 0 428.453 -0.360 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3=O)cc2)CC1 ZINC000490040986 1073630095 /nfs/dbraw/zinc/63/00/95/1073630095.db2.gz XXRMFXMOKBKJDU-UHFFFAOYSA-N 0 0 426.437 -0.891 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000490041317 1073625739 /nfs/dbraw/zinc/62/57/39/1073625739.db2.gz ZHDLRMIYLFMTAD-UHFFFAOYSA-N 0 0 440.464 -0.781 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1C(=O)NC(C)C ZINC000490041362 1073625757 /nfs/dbraw/zinc/62/57/57/1073625757.db2.gz MLYXTMLYPFHZIG-UHFFFAOYSA-N 0 0 442.480 -0.571 20 0 IBADRN CC(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490041465 1073626421 /nfs/dbraw/zinc/62/64/21/1073626421.db2.gz ZXGJDNLIUSPWEK-UHFFFAOYSA-N 0 0 442.480 -0.033 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000490041834 1073625769 /nfs/dbraw/zinc/62/57/69/1073625769.db2.gz NLLOROQZBCVIMU-AWEZNQCLSA-N 0 0 435.510 -0.642 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000490041837 1073625782 /nfs/dbraw/zinc/62/57/82/1073625782.db2.gz NLLOROQZBCVIMU-CQSZACIVSA-N 0 0 435.510 -0.642 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)no1 ZINC000490041930 1073625763 /nfs/dbraw/zinc/62/57/63/1073625763.db2.gz HVWIYPVOVMEMRG-UHFFFAOYSA-N 0 0 425.409 -0.659 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)c(Cl)c2)CC1 ZINC000490042253 1073625661 /nfs/dbraw/zinc/62/56/61/1073625661.db2.gz OBPUUMDBIUSUNY-UHFFFAOYSA-N 0 0 443.855 -0.178 20 0 IBADRN CCN(CC)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490042653 1073626416 /nfs/dbraw/zinc/62/64/16/1073626416.db2.gz JOGYGZBTNTWSAK-UHFFFAOYSA-N 0 0 442.480 -0.535 20 0 IBADRN Cc1ccc(-c2nnco2)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490042681 1073626332 /nfs/dbraw/zinc/62/63/32/1073626332.db2.gz AFMYPXXRMHLJJO-UHFFFAOYSA-N 0 0 425.409 -0.659 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)Cn1cncn1 ZINC000490042782 1073626348 /nfs/dbraw/zinc/62/63/48/1073626348.db2.gz ASQBAZPAOODEIA-AWEZNQCLSA-N 0 0 436.494 -0.249 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)Cn1cncn1 ZINC000490042798 1073626377 /nfs/dbraw/zinc/62/63/77/1073626377.db2.gz ASQBAZPAOODEIA-CQSZACIVSA-N 0 0 436.494 -0.249 20 0 IBADRN COCCOc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1OC ZINC000490043523 1073626305 /nfs/dbraw/zinc/62/63/05/1073626305.db2.gz LDTFRYKONYCBJI-UHFFFAOYSA-N 0 0 447.452 -0.984 20 0 IBADRN Cc1ccc(NC(=O)C(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490043526 1073626313 /nfs/dbraw/zinc/62/63/13/1073626313.db2.gz LFSLUECYYNDVSW-UHFFFAOYSA-N 0 0 442.480 -0.114 20 0 IBADRN COCCOc1cc(C)ccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490043535 1073626288 /nfs/dbraw/zinc/62/62/88/1073626288.db2.gz LGYRXDUFQYIJMF-UHFFFAOYSA-N 0 0 431.453 -0.684 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(F)cc2OC(F)F)CC1 ZINC000490044518 1073626453 /nfs/dbraw/zinc/62/64/53/1073626453.db2.gz MKQFBIZWRJHWFO-UHFFFAOYSA-N 0 0 427.343 -0.277 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)cc2)CC1 ZINC000490044922 1073626439 /nfs/dbraw/zinc/62/64/39/1073626439.db2.gz NRIGBYBIVJBVSA-UHFFFAOYSA-N 0 0 426.437 -0.669 20 0 IBADRN CC(C)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490045353 1073626364 /nfs/dbraw/zinc/62/63/64/1073626364.db2.gz ARGIQBQHVATGGA-UHFFFAOYSA-N 0 0 442.480 -0.632 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC[C@H](C(=O)N4CCOCC4)C3)cc2n(C)c1=O ZINC000490045557 1073626463 /nfs/dbraw/zinc/62/64/63/1073626463.db2.gz SKCFIVNENYBJJQ-AWEZNQCLSA-N 0 0 429.477 -0.087 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC[C@@H](C(=O)N4CCOCC4)C3)cc2n(C)c1=O ZINC000490045558 1073626393 /nfs/dbraw/zinc/62/63/93/1073626393.db2.gz SKCFIVNENYBJJQ-CQSZACIVSA-N 0 0 429.477 -0.087 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(OC[C@@H]3CCCO3)cc2)CC1 ZINC000490045745 1073626341 /nfs/dbraw/zinc/62/63/41/1073626341.db2.gz HIFZEBKKMZSAJJ-KRWDZBQOSA-N 0 0 443.464 -0.460 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(OC[C@H]3CCCO3)cc2)CC1 ZINC000490045757 1073626320 /nfs/dbraw/zinc/62/63/20/1073626320.db2.gz HIFZEBKKMZSAJJ-QGZVFWFLSA-N 0 0 443.464 -0.460 20 0 IBADRN CC(C)C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490045858 1073626356 /nfs/dbraw/zinc/62/63/56/1073626356.db2.gz PNASBQCJFOVLLT-UHFFFAOYSA-N 0 0 428.453 -0.423 20 0 IBADRN Cc1ccc(NC(=O)C2CC2)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490046041 1073626403 /nfs/dbraw/zinc/62/64/03/1073626403.db2.gz PWNGILGLBKJWGO-UHFFFAOYSA-N 0 0 440.464 -0.360 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@H](C(=O)N4CCOCC4)C3)cc2C1=O ZINC000490047501 1073627102 /nfs/dbraw/zinc/62/71/02/1073627102.db2.gz RLXOPXSEHYYPAP-CYBMUJFWSA-N 0 0 428.445 -0.052 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H](C(=O)N4CCOCC4)C3)cc2C1=O ZINC000490047502 1073626990 /nfs/dbraw/zinc/62/69/90/1073626990.db2.gz RLXOPXSEHYYPAP-ZDUSSCGKSA-N 0 0 428.445 -0.052 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1Cl ZINC000490047963 1073627068 /nfs/dbraw/zinc/62/70/68/1073627068.db2.gz UMVSXMIUWQTYEP-UHFFFAOYSA-N 0 0 448.871 -0.662 20 0 IBADRN Cc1nn(-c2ccccc2)c(C)c1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490048039 1073626945 /nfs/dbraw/zinc/62/69/45/1073626945.db2.gz UWXUOCNKPPMCQB-UHFFFAOYSA-N 0 0 437.464 -0.215 20 0 IBADRN Cc1nnc(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)o1 ZINC000490049062 1073626963 /nfs/dbraw/zinc/62/69/63/1073626963.db2.gz VNKVJSLCFASIHR-UHFFFAOYSA-N 0 0 425.409 -0.659 20 0 IBADRN CCN(CC)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490049292 1073627054 /nfs/dbraw/zinc/62/70/54/1073627054.db2.gz XQZSLKACODWBKK-UHFFFAOYSA-N 0 0 442.480 -0.535 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(Cl)c2-n2cccn2)CC1 ZINC000490049746 1073626984 /nfs/dbraw/zinc/62/69/84/1073626984.db2.gz YRAFFGKKGRQKND-UHFFFAOYSA-N 0 0 443.855 -0.178 20 0 IBADRN Cc1cc(N2CCCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490049956 1073627063 /nfs/dbraw/zinc/62/70/63/1073627063.db2.gz ZHGSHGHPPWYDHW-UHFFFAOYSA-N 0 0 426.481 -0.109 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(OC[C@@H]3CCCO3)c2)CC1 ZINC000490049978 1073627027 /nfs/dbraw/zinc/62/70/27/1073627027.db2.gz OQIAFCJYLNXSAT-KRWDZBQOSA-N 0 0 443.464 -0.460 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(OC[C@H]3CCCO3)c2)CC1 ZINC000490049979 1073627086 /nfs/dbraw/zinc/62/70/86/1073627086.db2.gz OQIAFCJYLNXSAT-QGZVFWFLSA-N 0 0 443.464 -0.460 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(-c3csnn3)cc2)CC1 ZINC000490050084 1073627106 /nfs/dbraw/zinc/62/71/06/1073627106.db2.gz ZLTLBRYHIKXPLK-UHFFFAOYSA-N 0 0 427.450 -0.499 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(Br)c(F)c2)CC1 ZINC000490050094 1073627048 /nfs/dbraw/zinc/62/70/48/1073627048.db2.gz ZOEOZVZJVAHBMU-UHFFFAOYSA-N 0 0 440.233 -0.116 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCCC2)CC1 ZINC000490050607 1073627004 /nfs/dbraw/zinc/62/70/04/1073627004.db2.gz QONVXEOOTUHNDH-UHFFFAOYSA-N 0 0 440.464 -0.781 20 0 IBADRN Cc1cnn(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2F)c1 ZINC000490051276 1073626974 /nfs/dbraw/zinc/62/69/74/1073626974.db2.gz BKGNVGOIEMFFEG-UHFFFAOYSA-N 0 0 441.427 -0.384 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000490051989 1073627015 /nfs/dbraw/zinc/62/70/15/1073627015.db2.gz DVOFSISARNLQGW-HNNXBMFYSA-N 0 0 444.492 -0.946 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000490051990 1073627022 /nfs/dbraw/zinc/62/70/22/1073627022.db2.gz DVOFSISARNLQGW-OAHLLOKOSA-N 0 0 444.492 -0.946 20 0 IBADRN CC(C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490052163 1073627037 /nfs/dbraw/zinc/62/70/37/1073627037.db2.gz YPYFLRJIAGMLDT-UHFFFAOYSA-N 0 0 428.453 -0.423 20 0 IBADRN Cc1c[nH]c(=O)n1-c1ccccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490052310 1073627076 /nfs/dbraw/zinc/62/70/76/1073627076.db2.gz FWNSYIPVVCXLTJ-UHFFFAOYSA-N 0 0 439.436 -0.818 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000490052622 1073627706 /nfs/dbraw/zinc/62/77/06/1073627706.db2.gz ZYOYQYKJXJYOEJ-INIZCTEOSA-N 0 0 431.493 -0.046 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000490052623 1073627676 /nfs/dbraw/zinc/62/76/76/1073627676.db2.gz ZYOYQYKJXJYOEJ-MRXNPFEDSA-N 0 0 431.493 -0.046 20 0 IBADRN CCOC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490053062 1073627702 /nfs/dbraw/zinc/62/77/02/1073627702.db2.gz IISXVSCUIXLJHK-UHFFFAOYSA-N 0 0 443.464 -0.522 20 0 IBADRN COCc1cccc2sc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)nc21 ZINC000490053119 1073627655 /nfs/dbraw/zinc/62/76/55/1073627655.db2.gz JOLJJQFWKAFQFO-UHFFFAOYSA-N 0 0 444.477 -0.261 20 0 IBADRN Cc1nccc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)n1 ZINC000490053264 1073627721 /nfs/dbraw/zinc/62/77/21/1073627721.db2.gz JLBQVFWPVGESCK-UHFFFAOYSA-N 0 0 435.448 -0.252 20 0 IBADRN CC(C)NC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490053781 1073627726 /nfs/dbraw/zinc/62/77/26/1073627726.db2.gz NBXKQIKOYRBFPW-UHFFFAOYSA-N 0 0 442.480 -0.950 20 0 IBADRN Cc1cc(C)n(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)n1 ZINC000490054188 1073627734 /nfs/dbraw/zinc/62/77/34/1073627734.db2.gz BXHUAZHJLOHIFZ-UHFFFAOYSA-N 0 0 437.464 -0.215 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCCC(=O)N3)CC1 ZINC000490054259 1073627714 /nfs/dbraw/zinc/62/77/14/1073627714.db2.gz MOALXZALFOHYJI-UHFFFAOYSA-N 0 0 426.437 -0.743 20 0 IBADRN CCCC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490054919 1073627653 /nfs/dbraw/zinc/62/76/53/1073627653.db2.gz PFWHFCIFUXKTAT-UHFFFAOYSA-N 0 0 428.453 -0.279 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1Cl ZINC000490054937 1073627634 /nfs/dbraw/zinc/62/76/34/1073627634.db2.gz PKQVKORIEMZGCJ-UHFFFAOYSA-N 0 0 434.844 -0.406 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(C(F)(F)F)c[nH]c2=O)CC1 ZINC000490055117 1073627697 /nfs/dbraw/zinc/62/76/97/1073627697.db2.gz DTPIBFBRRUGLMW-UHFFFAOYSA-N 0 0 428.331 -0.898 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCCC3=O)c2)CC1 ZINC000490055843 1073627669 /nfs/dbraw/zinc/62/76/69/1073627669.db2.gz FWBPDNPPYALFNI-UHFFFAOYSA-N 0 0 440.464 -0.501 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1C(=O)NC(C)C ZINC000490055920 1073627684 /nfs/dbraw/zinc/62/76/84/1073627684.db2.gz PDZUJMWIZWSXFU-UHFFFAOYSA-N 0 0 442.480 -0.571 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000490056050 1073627709 /nfs/dbraw/zinc/62/77/09/1073627709.db2.gz DHYVPTIHZGMVES-UHFFFAOYSA-N 0 0 434.540 -0.156 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(Br)cc2F)CC1 ZINC000490056942 1073627645 /nfs/dbraw/zinc/62/76/45/1073627645.db2.gz CSRPCYUDGHNBDK-UHFFFAOYSA-N 0 0 440.233 -0.116 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(F)(F)F)CC1 ZINC000490056948 1073627649 /nfs/dbraw/zinc/62/76/49/1073627649.db2.gz CSZXHZOHHIZCMM-UHFFFAOYSA-N 0 0 441.370 -0.076 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CS3)CC1 ZINC000490057202 1073627661 /nfs/dbraw/zinc/62/76/61/1073627661.db2.gz HZUQDXDULSTPKT-UHFFFAOYSA-N 0 0 430.450 -0.973 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(OC(F)F)c(F)c2)CC1 ZINC000490057490 1073627631 /nfs/dbraw/zinc/62/76/31/1073627631.db2.gz ISKOOFPDSBEPCG-UHFFFAOYSA-N 0 0 427.343 -0.277 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OC)cc1Cl ZINC000490058173 1073627689 /nfs/dbraw/zinc/62/76/89/1073627689.db2.gz FUTSECYTIHQZPY-UHFFFAOYSA-N 0 0 437.844 -0.347 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)Cn1cncn1 ZINC000490060799 1073628346 /nfs/dbraw/zinc/62/83/46/1073628346.db2.gz IZVLVWHKACOQOS-AWEZNQCLSA-N 0 0 429.481 -0.182 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)Cn1cncn1 ZINC000490060800 1073628390 /nfs/dbraw/zinc/62/83/90/1073628390.db2.gz IZVLVWHKACOQOS-CQSZACIVSA-N 0 0 429.481 -0.182 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(OC(F)(F)F)cc2)CC1 ZINC000490060876 1073628289 /nfs/dbraw/zinc/62/82/89/1073628289.db2.gz JVHMMXPSVMSJBN-UHFFFAOYSA-N 0 0 427.343 -0.119 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC000490060952 1073628301 /nfs/dbraw/zinc/62/83/01/1073628301.db2.gz WHCVQVAZTANIDO-INIZCTEOSA-N 0 0 429.437 -0.850 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC000490060953 1073628296 /nfs/dbraw/zinc/62/82/96/1073628296.db2.gz WHCVQVAZTANIDO-MRXNPFEDSA-N 0 0 429.437 -0.850 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(Cl)c1 ZINC000490061872 1073628306 /nfs/dbraw/zinc/62/83/06/1073628306.db2.gz PEZBZKYZBURZDX-UHFFFAOYSA-N 0 0 434.844 -0.406 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000490062193 1073628279 /nfs/dbraw/zinc/62/82/79/1073628279.db2.gz ZLDWCZVQACFMHL-GFCCVEGCSA-N 0 0 440.457 -0.419 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000490062203 1073628368 /nfs/dbraw/zinc/62/83/68/1073628368.db2.gz ZLDWCZVQACFMHL-LBPRGKRZSA-N 0 0 440.457 -0.419 20 0 IBADRN Cc1ccnc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)n1 ZINC000490063362 1073628259 /nfs/dbraw/zinc/62/82/59/1073628259.db2.gz ZFDPPCIYBJUCMM-UHFFFAOYSA-N 0 0 435.448 -0.252 20 0 IBADRN CC(C)(C)NC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490064532 1073628372 /nfs/dbraw/zinc/62/83/72/1073628372.db2.gz UJXMNKLDSPABNW-UHFFFAOYSA-N 0 0 442.480 -0.489 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c1 ZINC000490064715 1073628384 /nfs/dbraw/zinc/62/83/84/1073628384.db2.gz UOHXTXSONFKKLL-AWEZNQCLSA-N 0 0 438.506 -0.369 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c1 ZINC000490064718 1073628252 /nfs/dbraw/zinc/62/82/52/1073628252.db2.gz UOHXTXSONFKKLL-CQSZACIVSA-N 0 0 438.506 -0.369 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490064959 1073628353 /nfs/dbraw/zinc/62/83/53/1073628353.db2.gz QZAOOZIGOVLCBD-UHFFFAOYSA-N 0 0 428.453 -0.878 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490065815 1073628379 /nfs/dbraw/zinc/62/83/79/1073628379.db2.gz XCMKMMDZTXQPGX-UHFFFAOYSA-N 0 0 435.466 -0.471 20 0 IBADRN CC(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490066449 1073628359 /nfs/dbraw/zinc/62/83/59/1073628359.db2.gz YKDCZWBMQSZAIJ-UHFFFAOYSA-N 0 0 428.453 -0.879 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)Cn3cnnn3)CC1)O[C@H](C)C2 ZINC000490067005 1073628824 /nfs/dbraw/zinc/62/88/24/1073628824.db2.gz MXSBKVUFOKBESB-CYBMUJFWSA-N 0 0 443.464 -0.295 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)Cn3cnnn3)CC1)O[C@@H](C)C2 ZINC000490067006 1073628927 /nfs/dbraw/zinc/62/89/27/1073628927.db2.gz MXSBKVUFOKBESB-ZDUSSCGKSA-N 0 0 443.464 -0.295 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cc1 ZINC000490067297 1073628329 /nfs/dbraw/zinc/62/83/29/1073628329.db2.gz ZVOVLXUDHUILLQ-HNNXBMFYSA-N 0 0 432.477 -0.153 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cc1 ZINC000490067298 1073628340 /nfs/dbraw/zinc/62/83/40/1073628340.db2.gz ZVOVLXUDHUILLQ-OAHLLOKOSA-N 0 0 432.477 -0.153 20 0 IBADRN CC[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490067436 1073628268 /nfs/dbraw/zinc/62/82/68/1073628268.db2.gz XMHOLTWCZUMCEV-AWEZNQCLSA-N 0 0 442.480 -0.489 20 0 IBADRN CC[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490067437 1073628891 /nfs/dbraw/zinc/62/88/91/1073628891.db2.gz XMHOLTWCZUMCEV-CQSZACIVSA-N 0 0 442.480 -0.489 20 0 IBADRN CCC(=O)Nc1ccc(Cl)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490067722 1073628881 /nfs/dbraw/zinc/62/88/81/1073628881.db2.gz PLNHNAKIWBENID-UHFFFAOYSA-N 0 0 448.871 -0.016 20 0 IBADRN COC(=O)[C@@]1(c2ccccc2)CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000490068788 1073628910 /nfs/dbraw/zinc/62/89/10/1073628910.db2.gz CUDVULKENGXLIT-KRWDZBQOSA-N 0 0 431.536 -0.375 20 0 IBADRN COC(=O)[C@]1(c2ccccc2)CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000490068803 1073628859 /nfs/dbraw/zinc/62/88/59/1073628859.db2.gz CUDVULKENGXLIT-QGZVFWFLSA-N 0 0 431.536 -0.375 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(COCC3CC3)c2)CC1 ZINC000490069013 1073628873 /nfs/dbraw/zinc/62/88/73/1073628873.db2.gz AXBCJCDIVNPKGS-UHFFFAOYSA-N 0 0 427.465 -0.091 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490069173 1073628919 /nfs/dbraw/zinc/62/89/19/1073628919.db2.gz BGXJUIAMJMTFSD-UHFFFAOYSA-N 0 0 428.453 -0.959 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(C)=O ZINC000490069473 1073628902 /nfs/dbraw/zinc/62/89/02/1073628902.db2.gz CEVBJINXEZIPHQ-UHFFFAOYSA-N 0 0 442.480 -0.649 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cc1-n1cnnn1 ZINC000490070576 1073628947 /nfs/dbraw/zinc/62/89/47/1073628947.db2.gz FJPDUCSCMBNSSJ-AWEZNQCLSA-N 0 0 443.464 -0.293 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cc1-n1cnnn1 ZINC000490070578 1073628915 /nfs/dbraw/zinc/62/89/15/1073628915.db2.gz FJPDUCSCMBNSSJ-CQSZACIVSA-N 0 0 443.464 -0.293 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc(OC)c1OC ZINC000490070844 1073628937 /nfs/dbraw/zinc/62/89/37/1073628937.db2.gz YCPVOYLQQMPQMN-UHFFFAOYSA-N 0 0 433.425 -0.992 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000490070923 1073628832 /nfs/dbraw/zinc/62/88/32/1073628832.db2.gz GSSIYYCJMSLGTD-UHFFFAOYSA-N 0 0 430.400 -0.994 20 0 IBADRN CSc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)Cn3cnnn3)CC1)OCCO2 ZINC000490070997 1073628796 /nfs/dbraw/zinc/62/87/96/1073628796.db2.gz HIWYEJIWUVIMRD-UHFFFAOYSA-N 0 0 447.477 -0.524 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCCC3)c2)CC1 ZINC000490071489 1073629596 /nfs/dbraw/zinc/62/95/96/1073629596.db2.gz KEZYSCIBVADMIT-UHFFFAOYSA-N 0 0 440.464 -0.781 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000490071841 1073629559 /nfs/dbraw/zinc/62/95/59/1073629559.db2.gz KJZSNISEALHJDN-AWEZNQCLSA-N 0 0 435.510 -0.642 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000490071843 1073629577 /nfs/dbraw/zinc/62/95/77/1073629577.db2.gz KJZSNISEALHJDN-CQSZACIVSA-N 0 0 435.510 -0.642 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c2ccccc2O1 ZINC000490072268 1073628812 /nfs/dbraw/zinc/62/88/12/1073628812.db2.gz MIEYNTCILBNVHL-CRAIPNDOSA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c2ccccc2O1 ZINC000490072275 1073628896 /nfs/dbraw/zinc/62/88/96/1073628896.db2.gz MIEYNTCILBNVHL-MAUKXSAKSA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c2ccccc2O1 ZINC000490072278 1073628943 /nfs/dbraw/zinc/62/89/43/1073628943.db2.gz MIEYNTCILBNVHL-QAPCUYQASA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c2ccccc2O1 ZINC000490072281 1073628838 /nfs/dbraw/zinc/62/88/38/1073628838.db2.gz MIEYNTCILBNVHL-YJBOKZPZSA-N 0 0 444.488 -0.376 20 0 IBADRN CCCN1Cc2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2C1 ZINC000490072464 1073628845 /nfs/dbraw/zinc/62/88/45/1073628845.db2.gz NBNJHMXPFOKJMM-UHFFFAOYSA-N 0 0 426.481 -0.292 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000490072629 1073629530 /nfs/dbraw/zinc/62/95/30/1073629530.db2.gz BZNOORUZUGQSJN-JTQLQIEISA-N 0 0 429.517 -0.148 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000490072630 1073629644 /nfs/dbraw/zinc/62/96/44/1073629644.db2.gz BZNOORUZUGQSJN-SNVBAGLBSA-N 0 0 429.517 -0.148 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000490072653 1073629650 /nfs/dbraw/zinc/62/96/50/1073629650.db2.gz CFQLRTTYPFHEFQ-CYBMUJFWSA-N 0 0 429.520 -0.032 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000490072654 1073629492 /nfs/dbraw/zinc/62/94/92/1073629492.db2.gz CFQLRTTYPFHEFQ-ZDUSSCGKSA-N 0 0 429.520 -0.032 20 0 IBADRN COc1ccc(OC(F)F)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490072664 1073629606 /nfs/dbraw/zinc/62/96/06/1073629606.db2.gz CHSFBNDBDOODAB-UHFFFAOYSA-N 0 0 439.379 -0.407 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490073652 1073629553 /nfs/dbraw/zinc/62/95/53/1073629553.db2.gz RAVKASQDYSZOKO-UHFFFAOYSA-N 0 0 429.441 -0.876 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC(N3CCNC3=O)CC1)CC2 ZINC000490073958 1073629570 /nfs/dbraw/zinc/62/95/70/1073629570.db2.gz RVTUQDMHJQUXGP-UHFFFAOYSA-N 0 0 449.533 -0.110 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490074142 1073629634 /nfs/dbraw/zinc/62/96/34/1073629634.db2.gz HRFNFRZUJBTHIW-UHFFFAOYSA-N 0 0 428.453 -0.878 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(OC(F)(F)F)c2)CC1 ZINC000490075389 1073629510 /nfs/dbraw/zinc/62/95/10/1073629510.db2.gz LBHHMZWCXNDPHR-UHFFFAOYSA-N 0 0 427.343 -0.119 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490075444 1073629591 /nfs/dbraw/zinc/62/95/91/1073629591.db2.gz XLDNWRYRIJXSJQ-UHFFFAOYSA-N 0 0 435.416 -0.853 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(Oc3cnccn3)c2)CC1 ZINC000490075916 1073629619 /nfs/dbraw/zinc/62/96/19/1073629619.db2.gz MMQONRCOFSPHJI-UHFFFAOYSA-N 0 0 437.420 -0.435 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N2CCc3ccc(Br)cc32)CC1 ZINC000490076702 1073629520 /nfs/dbraw/zinc/62/95/20/1073629520.db2.gz BSAUZODOJWLVEJ-UHFFFAOYSA-N 0 0 448.281 -0.304 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCCCC2)CC1 ZINC000490077056 1073629500 /nfs/dbraw/zinc/62/95/00/1073629500.db2.gz CPMAMBPCMNKICN-UHFFFAOYSA-N 0 0 426.481 -0.027 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(OC3CCCC3)nc2)CC1 ZINC000490077476 1073629542 /nfs/dbraw/zinc/62/95/42/1073629542.db2.gz DXXOJOGEQYIVTF-UHFFFAOYSA-N 0 0 428.453 -0.301 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490078188 1073630141 /nfs/dbraw/zinc/63/01/41/1073630141.db2.gz GUTDEFOQONJROY-UHFFFAOYSA-N 0 0 429.437 -0.912 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)o1 ZINC000490078403 1073629625 /nfs/dbraw/zinc/62/96/25/1073629625.db2.gz HAGVRAYJPGMGBX-UHFFFAOYSA-N 0 0 425.409 -0.659 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)[C@H]1CCOC1 ZINC000490078459 1073630155 /nfs/dbraw/zinc/63/01/55/1073630155.db2.gz HIEUSFMPKZLFGG-INIZCTEOSA-N 0 0 430.527 -0.138 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)[C@@H]1CCOC1 ZINC000490078461 1073630122 /nfs/dbraw/zinc/63/01/22/1073630122.db2.gz HIEUSFMPKZLFGG-MRXNPFEDSA-N 0 0 430.527 -0.138 20 0 IBADRN Cc1cc(C)n(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cn2)n1 ZINC000490078944 1073630170 /nfs/dbraw/zinc/63/01/70/1073630170.db2.gz XPZJZRAPUDIVDY-UHFFFAOYSA-N 0 0 438.452 -0.820 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c(C(=O)OC)s1 ZINC000490079157 1073630120 /nfs/dbraw/zinc/63/01/20/1073630120.db2.gz YLYCNTCTQFAACQ-SECBINFHSA-N 0 0 440.521 -0.024 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c(C(=O)OC)s1 ZINC000490079158 1073630108 /nfs/dbraw/zinc/63/01/08/1073630108.db2.gz YLYCNTCTQFAACQ-VIFPVBQESA-N 0 0 440.521 -0.024 20 0 IBADRN CCc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)o1 ZINC000490079230 1073630151 /nfs/dbraw/zinc/63/01/51/1073630151.db2.gz JNILSLADPVRXLM-UHFFFAOYSA-N 0 0 439.436 -0.405 20 0 IBADRN COc1cc2nc(C)sc2cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490080149 1073630164 /nfs/dbraw/zinc/63/01/64/1073630164.db2.gz MJFRSMUINHBVKY-UHFFFAOYSA-N 0 0 444.477 -0.091 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000490080793 1073630039 /nfs/dbraw/zinc/63/00/39/1073630039.db2.gz PFDLWQWSOOEWQQ-PBHICJAKSA-N 0 0 428.449 -0.564 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000490080794 1073630136 /nfs/dbraw/zinc/63/01/36/1073630136.db2.gz PFDLWQWSOOEWQQ-RHSMWYFYSA-N 0 0 428.449 -0.564 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000490080795 1073630063 /nfs/dbraw/zinc/63/00/63/1073630063.db2.gz PFDLWQWSOOEWQQ-WMLDXEAASA-N 0 0 428.449 -0.564 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000490080796 1073630105 /nfs/dbraw/zinc/63/01/05/1073630105.db2.gz PFDLWQWSOOEWQQ-YOEHRIQHSA-N 0 0 428.449 -0.564 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cc1 ZINC000490082161 1073630070 /nfs/dbraw/zinc/63/00/70/1073630070.db2.gz SQROXTNGYUOUBK-HNNXBMFYSA-N 0 0 432.477 -0.023 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cc1 ZINC000490082167 1073630092 /nfs/dbraw/zinc/63/00/92/1073630092.db2.gz SQROXTNGYUOUBK-OAHLLOKOSA-N 0 0 432.477 -0.023 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)cn1 ZINC000490082270 1073630053 /nfs/dbraw/zinc/63/00/53/1073630053.db2.gz CAKFSJCPTUYIPD-DYVFJYSZSA-N 0 0 439.476 -0.093 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N(Cc2ccc(C(N)=O)cc2F)C2CC2)CC1 ZINC000490082907 1073631428 /nfs/dbraw/zinc/63/14/28/1073631428.db2.gz UFLPDQSTZDKMKH-UHFFFAOYSA-N 0 0 434.515 -0.289 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000490083004 1073631370 /nfs/dbraw/zinc/63/13/70/1073631370.db2.gz DMYDXGBWEKUWJY-HNNXBMFYSA-N 0 0 431.536 -0.187 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000490083005 1073631341 /nfs/dbraw/zinc/63/13/41/1073631341.db2.gz DMYDXGBWEKUWJY-OAHLLOKOSA-N 0 0 431.536 -0.187 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(Cl)c2-n2cncn2)CC1 ZINC000490083458 1073631448 /nfs/dbraw/zinc/63/14/48/1073631448.db2.gz CAQVZOCSSVQJDX-UHFFFAOYSA-N 0 0 444.843 -0.783 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000490083525 1073631362 /nfs/dbraw/zinc/63/13/62/1073631362.db2.gz CEYNQCTXCPTVRL-GFCCVEGCSA-N 0 0 425.554 -0.409 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000490083528 1073631423 /nfs/dbraw/zinc/63/14/23/1073631423.db2.gz CEYNQCTXCPTVRL-LBPRGKRZSA-N 0 0 425.554 -0.409 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000490084199 1073631441 /nfs/dbraw/zinc/63/14/41/1073631441.db2.gz BMQSNOMCXIHIAT-UHFFFAOYSA-N 0 0 429.437 -0.912 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000490084389 1073631462 /nfs/dbraw/zinc/63/14/62/1073631462.db2.gz DEDHMMZUNGSQLU-CYBMUJFWSA-N 0 0 437.565 -0.218 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000490084390 1073631474 /nfs/dbraw/zinc/63/14/74/1073631474.db2.gz DEDHMMZUNGSQLU-ZDUSSCGKSA-N 0 0 437.565 -0.218 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cc1S(N)(=O)=O ZINC000490085751 1073631381 /nfs/dbraw/zinc/63/13/81/1073631381.db2.gz CNOOSHZFHDOHCL-AWEZNQCLSA-N 0 0 438.506 -0.322 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cc1S(N)(=O)=O ZINC000490085781 1073631408 /nfs/dbraw/zinc/63/14/08/1073631408.db2.gz CNOOSHZFHDOHCL-CQSZACIVSA-N 0 0 438.506 -0.322 20 0 IBADRN Cc1ccnc(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2)n1 ZINC000490086282 1073631456 /nfs/dbraw/zinc/63/14/56/1073631456.db2.gz XQPFNVZXLSJQPJ-UHFFFAOYSA-N 0 0 435.448 -0.252 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490086831 1073631945 /nfs/dbraw/zinc/63/19/45/1073631945.db2.gz GWUDXXAXVMIDIY-UHFFFAOYSA-N 0 0 438.452 -0.739 20 0 IBADRN CCOC(=O)c1cc(CC)sc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490086875 1073631392 /nfs/dbraw/zinc/63/13/92/1073631392.db2.gz GZPKULARPJRYQY-UHFFFAOYSA-N 0 0 449.493 -0.217 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(Cc3ccncc3)cc2)CC1 ZINC000490087713 1073632206 /nfs/dbraw/zinc/63/22/06/1073632206.db2.gz HKZBIAOBSRLIHY-UHFFFAOYSA-N 0 0 434.460 -0.032 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000490087738 1073632056 /nfs/dbraw/zinc/63/20/56/1073632056.db2.gz HMWTXMKDWNQDRP-HNNXBMFYSA-N 0 0 432.477 -0.023 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000490087740 1073632029 /nfs/dbraw/zinc/63/20/29/1073632029.db2.gz HMWTXMKDWNQDRP-OAHLLOKOSA-N 0 0 432.477 -0.023 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1Cl ZINC000490088716 1073632043 /nfs/dbraw/zinc/63/20/43/1073632043.db2.gz IUWMCXQSOXUPKD-UHFFFAOYSA-N 0 0 435.828 -0.577 20 0 IBADRN CC(=O)Nc1ccc(Cl)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490089119 1073632186 /nfs/dbraw/zinc/63/21/86/1073632186.db2.gz GHTPGSHNNLUKPM-UHFFFAOYSA-N 0 0 434.844 -0.406 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000490089529 1073632164 /nfs/dbraw/zinc/63/21/64/1073632164.db2.gz JLJSDSZSNHGOTP-GFCCVEGCSA-N 0 0 434.492 -0.086 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000490089531 1073632014 /nfs/dbraw/zinc/63/20/14/1073632014.db2.gz JLJSDSZSNHGOTP-LBPRGKRZSA-N 0 0 434.492 -0.086 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000490092357 1073632001 /nfs/dbraw/zinc/63/20/01/1073632001.db2.gz MQKYSTCIAMNSGQ-LLVKDONJSA-N 0 0 426.538 -0.247 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000490092358 1073632107 /nfs/dbraw/zinc/63/21/07/1073632107.db2.gz MQKYSTCIAMNSGQ-NSHDSACASA-N 0 0 426.538 -0.247 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000490093548 1073632224 /nfs/dbraw/zinc/63/22/24/1073632224.db2.gz ZPWZWIZOMLIXCL-UHFFFAOYSA-N 0 0 437.565 -0.402 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000490095068 1073632089 /nfs/dbraw/zinc/63/20/89/1073632089.db2.gz PFJCNFYZDUMEFH-JTQLQIEISA-N 0 0 446.473 -0.028 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000490095075 1073632071 /nfs/dbraw/zinc/63/20/71/1073632071.db2.gz PFJCNFYZDUMEFH-SNVBAGLBSA-N 0 0 446.473 -0.028 20 0 IBADRN Cc1noc(C2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000490095905 1073632173 /nfs/dbraw/zinc/63/21/73/1073632173.db2.gz QEBYRSYPXMANNI-UHFFFAOYSA-N 0 0 427.421 -0.332 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000490096837 1073632837 /nfs/dbraw/zinc/63/28/37/1073632837.db2.gz ZNGJUEIVXBWDMJ-CYBMUJFWSA-N 0 0 425.554 -0.740 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000490096838 1073632853 /nfs/dbraw/zinc/63/28/53/1073632853.db2.gz ZNGJUEIVXBWDMJ-ZDUSSCGKSA-N 0 0 425.554 -0.740 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000490097250 1073632137 /nfs/dbraw/zinc/63/21/37/1073632137.db2.gz RYEFJTIUXUKVPF-CYBMUJFWSA-N 0 0 437.565 -0.218 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000490097253 1073632634 /nfs/dbraw/zinc/63/26/34/1073632634.db2.gz RYEFJTIUXUKVPF-ZDUSSCGKSA-N 0 0 437.565 -0.218 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(F)c(Br)c2)CC1 ZINC000490098132 1073630892 /nfs/dbraw/zinc/63/08/92/1073630892.db2.gz OCGNYYRAQNYSFR-UHFFFAOYSA-N 0 0 440.233 -0.116 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000490098371 1073630174 /nfs/dbraw/zinc/63/01/74/1073630174.db2.gz CDKSNENZXBQHRX-AWEZNQCLSA-N 0 0 431.493 -0.439 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000490098375 1073630024 /nfs/dbraw/zinc/63/00/24/1073630024.db2.gz CDKSNENZXBQHRX-CQSZACIVSA-N 0 0 431.493 -0.439 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490098384 1073630774 /nfs/dbraw/zinc/63/07/74/1073630774.db2.gz CECWHHRBXSVZTJ-CYBMUJFWSA-N 0 0 437.522 -0.656 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490098387 1073630802 /nfs/dbraw/zinc/63/08/02/1073630802.db2.gz CECWHHRBXSVZTJ-ZDUSSCGKSA-N 0 0 437.522 -0.656 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490098711 1073630807 /nfs/dbraw/zinc/63/08/07/1073630807.db2.gz UIKBIFUTWKJSBD-UHFFFAOYSA-N 0 0 435.466 -0.552 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000490099697 1073630837 /nfs/dbraw/zinc/63/08/37/1073630837.db2.gz GBCFGJZLCIMIIO-LLVKDONJSA-N 0 0 429.517 -0.148 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000490099699 1073630868 /nfs/dbraw/zinc/63/08/68/1073630868.db2.gz GBCFGJZLCIMIIO-NSHDSACASA-N 0 0 429.517 -0.148 20 0 IBADRN COC(=O)c1ccccc1-n1ncc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(Cl)c1=O ZINC000490100873 1073630832 /nfs/dbraw/zinc/63/08/32/1073630832.db2.gz HKGQTFBYPSKAGP-LLVKDONJSA-N 0 0 431.836 -0.181 20 0 IBADRN COC(=O)c1ccccc1-n1ncc(N2CCN3C(=O)C(=O)NC[C@H]3C2)c(Cl)c1=O ZINC000490100879 1073630783 /nfs/dbraw/zinc/63/07/83/1073630783.db2.gz HKGQTFBYPSKAGP-NSHDSACASA-N 0 0 431.836 -0.181 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3=O)c(F)c2)CC1 ZINC000490100950 1073630878 /nfs/dbraw/zinc/63/08/78/1073630878.db2.gz QOKFVIBDFPSISD-UHFFFAOYSA-N 0 0 444.427 -0.752 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cc1OCC(N)=O ZINC000490101038 1073630823 /nfs/dbraw/zinc/63/08/23/1073630823.db2.gz QTGSVFGFXARKKW-AWEZNQCLSA-N 0 0 448.476 -0.405 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cc1OCC(N)=O ZINC000490101066 1073630766 /nfs/dbraw/zinc/63/07/66/1073630766.db2.gz QTGSVFGFXARKKW-CQSZACIVSA-N 0 0 448.476 -0.405 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000490101516 1073630817 /nfs/dbraw/zinc/63/08/17/1073630817.db2.gz JIIABUBVQGWEQZ-GFCCVEGCSA-N 0 0 425.554 -0.409 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000490101518 1073630740 /nfs/dbraw/zinc/63/07/40/1073630740.db2.gz JIIABUBVQGWEQZ-LBPRGKRZSA-N 0 0 425.554 -0.409 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(F)cc2Br)CC1 ZINC000490102228 1073630750 /nfs/dbraw/zinc/63/07/50/1073630750.db2.gz YIWVQAVSXGDDOU-UHFFFAOYSA-N 0 0 440.233 -0.116 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)c2ccccc2O1 ZINC000490103430 1073630856 /nfs/dbraw/zinc/63/08/56/1073630856.db2.gz LSTMASJWDHAUOY-INIZCTEOSA-N 0 0 440.460 -0.326 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)c2ccccc2O1 ZINC000490103431 1073630884 /nfs/dbraw/zinc/63/08/84/1073630884.db2.gz LSTMASJWDHAUOY-MRXNPFEDSA-N 0 0 440.460 -0.326 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)CC1 ZINC000490103575 1073630715 /nfs/dbraw/zinc/63/07/15/1073630715.db2.gz MGRVNJKAOPDZJU-CYBMUJFWSA-N 0 0 429.477 -0.208 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)CC1 ZINC000490103656 1073630798 /nfs/dbraw/zinc/63/07/98/1073630798.db2.gz MGRVNJKAOPDZJU-ZDUSSCGKSA-N 0 0 429.477 -0.208 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(OC)c(-n4cnnn4)c3)CC2)n1 ZINC000490103700 1073630730 /nfs/dbraw/zinc/63/07/30/1073630730.db2.gz MVZZPCYVGBLNAL-UHFFFAOYSA-N 0 0 439.436 -0.243 20 0 IBADRN COc1ccc(OC(C)C)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000490104468 1073630789 /nfs/dbraw/zinc/63/07/89/1073630789.db2.gz VMLWDKGSNDGRCF-UHFFFAOYSA-N 0 0 431.453 -0.222 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccccc2OC(F)(F)F)CC1 ZINC000490105612 1073631352 /nfs/dbraw/zinc/63/13/52/1073631352.db2.gz XLYFGFUDIAXJHL-UHFFFAOYSA-N 0 0 427.343 -0.119 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCN2CCCS2(=O)=O)C1 ZINC000490105781 1073631434 /nfs/dbraw/zinc/63/14/34/1073631434.db2.gz ZGLVYFMIKFQUJO-CQSZACIVSA-N 0 0 434.540 -0.156 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cn1 ZINC000490105818 1073631415 /nfs/dbraw/zinc/63/14/15/1073631415.db2.gz RNMVXYZMFZWZFC-CJNGLKHVSA-N 0 0 425.449 -0.402 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cn1 ZINC000490111806 1073631374 /nfs/dbraw/zinc/63/13/74/1073631374.db2.gz DTMWDDJUZWATEA-PBHICJAKSA-N 0 0 427.465 -0.609 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1C ZINC000490113078 1073631468 /nfs/dbraw/zinc/63/14/68/1073631468.db2.gz JNEODFRWDXSVMA-GFCCVEGCSA-N 0 0 425.554 -0.442 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1C ZINC000490113079 1073631332 /nfs/dbraw/zinc/63/13/32/1073631332.db2.gz JNEODFRWDXSVMA-LBPRGKRZSA-N 0 0 425.554 -0.442 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)c1 ZINC000490115412 1073632751 /nfs/dbraw/zinc/63/27/51/1073632751.db2.gz VLGTYVNZPNWVBO-UHFFFAOYSA-N 0 0 434.478 -0.319 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000490115546 1073632807 /nfs/dbraw/zinc/63/28/07/1073632807.db2.gz WJKCXWFCIOJDJO-AWEZNQCLSA-N 0 0 437.565 -0.119 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000490115547 1073632710 /nfs/dbraw/zinc/63/27/10/1073632710.db2.gz WJKCXWFCIOJDJO-CQSZACIVSA-N 0 0 437.565 -0.119 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000490115760 1073632643 /nfs/dbraw/zinc/63/26/43/1073632643.db2.gz XKCSJQRXDICLMH-AWEZNQCLSA-N 0 0 431.493 -0.309 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000490115761 1073632849 /nfs/dbraw/zinc/63/28/49/1073632849.db2.gz XKCSJQRXDICLMH-CQSZACIVSA-N 0 0 431.493 -0.309 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000490116994 1073632703 /nfs/dbraw/zinc/63/27/03/1073632703.db2.gz AZOVFWAQZZZOHZ-LLVKDONJSA-N 0 0 425.916 -0.142 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000490116995 1073632622 /nfs/dbraw/zinc/63/26/22/1073632622.db2.gz AZOVFWAQZZZOHZ-NSHDSACASA-N 0 0 425.916 -0.142 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCN1CCCS1(=O)=O ZINC000490117855 1073632815 /nfs/dbraw/zinc/63/28/15/1073632815.db2.gz IVHGXADWMIZCMQ-UHFFFAOYSA-N 0 0 447.535 -0.519 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)c2ccc(S(N)(=O)=O)nc2)c1 ZINC000490118765 1073633392 /nfs/dbraw/zinc/63/33/92/1073633392.db2.gz QXGCOGBCNFONLC-UHFFFAOYSA-N 0 0 433.450 -0.006 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000490119940 1073633349 /nfs/dbraw/zinc/63/33/49/1073633349.db2.gz NNKXQZMDUGPYEZ-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000490119941 1073633433 /nfs/dbraw/zinc/63/34/33/1073633433.db2.gz NNKXQZMDUGPYEZ-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCN1CCCS1(=O)=O ZINC000490120654 1073633479 /nfs/dbraw/zinc/63/34/79/1073633479.db2.gz VPXXNRDFQHLRPX-UHFFFAOYSA-N 0 0 437.565 -0.537 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000490121702 1073633538 /nfs/dbraw/zinc/63/35/38/1073633538.db2.gz YINCFTJPFKWXOL-CYBMUJFWSA-N 0 0 437.565 -0.218 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000490121703 1073633588 /nfs/dbraw/zinc/63/35/88/1073633588.db2.gz YINCFTJPFKWXOL-ZDUSSCGKSA-N 0 0 437.565 -0.218 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000490121863 1073633306 /nfs/dbraw/zinc/63/33/06/1073633306.db2.gz ZMVZHVVBJPFFQK-CYBMUJFWSA-N 0 0 434.492 -0.086 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000490121864 1073633567 /nfs/dbraw/zinc/63/35/67/1073633567.db2.gz ZMVZHVVBJPFFQK-ZDUSSCGKSA-N 0 0 434.492 -0.086 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000490121865 1073633574 /nfs/dbraw/zinc/63/35/74/1073633574.db2.gz ZMZJAYAAWYMOFL-GFCCVEGCSA-N 0 0 425.554 -0.409 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000490121866 1073633529 /nfs/dbraw/zinc/63/35/29/1073633529.db2.gz ZMZJAYAAWYMOFL-LBPRGKRZSA-N 0 0 425.554 -0.409 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c(C)o1 ZINC000490125072 1073633444 /nfs/dbraw/zinc/63/34/44/1073633444.db2.gz NHDCJNKIOZLPGT-UHFFFAOYSA-N 0 0 438.510 -0.475 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(C)o1 ZINC000490126622 1073633579 /nfs/dbraw/zinc/63/35/79/1073633579.db2.gz XFSZDWQQJWQHLG-HNNXBMFYSA-N 0 0 428.511 -0.397 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(C)o1 ZINC000490126623 1073633405 /nfs/dbraw/zinc/63/34/05/1073633405.db2.gz XFSZDWQQJWQHLG-OAHLLOKOSA-N 0 0 428.511 -0.397 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1 ZINC000490126681 1073633555 /nfs/dbraw/zinc/63/35/55/1073633555.db2.gz PFNVDWWRKDLWBN-AWEZNQCLSA-N 0 0 428.493 -0.034 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1 ZINC000490126682 1073634005 /nfs/dbraw/zinc/63/40/05/1073634005.db2.gz PFNVDWWRKDLWBN-CQSZACIVSA-N 0 0 428.493 -0.034 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2nc(C)oc2C)C1 ZINC000490127003 1073633384 /nfs/dbraw/zinc/63/33/84/1073633384.db2.gz ZQDRWHDPJRYMJE-HNNXBMFYSA-N 0 0 430.527 -0.151 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2nc(C)oc2C)C1 ZINC000490127004 1073633370 /nfs/dbraw/zinc/63/33/70/1073633370.db2.gz ZQDRWHDPJRYMJE-OAHLLOKOSA-N 0 0 430.527 -0.151 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000490127695 1073634046 /nfs/dbraw/zinc/63/40/46/1073634046.db2.gz YCIKUGYODQDPKD-KBXCAEBGSA-N 0 0 443.504 -0.662 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000490127696 1073634022 /nfs/dbraw/zinc/63/40/22/1073634022.db2.gz YCIKUGYODQDPKD-KDOFPFPSSA-N 0 0 443.504 -0.662 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000490127697 1073634081 /nfs/dbraw/zinc/63/40/81/1073634081.db2.gz YCIKUGYODQDPKD-KSSFIOAISA-N 0 0 443.504 -0.662 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000490127698 1073634011 /nfs/dbraw/zinc/63/40/11/1073634011.db2.gz YCIKUGYODQDPKD-RDTXWAMCSA-N 0 0 443.504 -0.662 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c(C)o1 ZINC000490130199 1073634090 /nfs/dbraw/zinc/63/40/90/1073634090.db2.gz JUXSNFFQXDOHLR-UHFFFAOYSA-N 0 0 430.527 -0.151 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC(CO)CO ZINC000490131443 1073634136 /nfs/dbraw/zinc/63/41/36/1073634136.db2.gz APACLTPGVLCUQL-UHFFFAOYSA-N 0 0 429.495 -0.332 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)cn1 ZINC000490131997 1073633939 /nfs/dbraw/zinc/63/39/39/1073633939.db2.gz DLOKYWJVUKAOMX-PBHICJAKSA-N 0 0 439.476 -0.093 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000490132106 1073633951 /nfs/dbraw/zinc/63/39/51/1073633951.db2.gz ZUVMGFIKICCFDN-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000490133625 1073634030 /nfs/dbraw/zinc/63/40/30/1073634030.db2.gz TZCCLQJGQAXLKA-ZBFHGGJFSA-N 0 0 425.449 -0.402 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1-n1cnnn1 ZINC000490138494 1073633994 /nfs/dbraw/zinc/63/39/94/1073633994.db2.gz GCNSUKQNTQVUAQ-CYBMUJFWSA-N 0 0 442.480 -0.579 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1-n1cnnn1 ZINC000490138495 1073634062 /nfs/dbraw/zinc/63/40/62/1073634062.db2.gz GCNSUKQNTQVUAQ-ZDUSSCGKSA-N 0 0 442.480 -0.579 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC(C(=O)N4CCOCC4)CC3)cc2n(C)c1=O ZINC000490140557 1073633964 /nfs/dbraw/zinc/63/39/64/1073633964.db2.gz WPALNWDJLUKOLF-UHFFFAOYSA-N 0 0 429.477 -0.087 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC(CO)CO ZINC000490143360 1073633974 /nfs/dbraw/zinc/63/39/74/1073633974.db2.gz BTTRLLJUESGFQG-UHFFFAOYSA-N 0 0 428.511 -0.665 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(OC)c(OCC(N)=O)c3)CC2)n1 ZINC000490143759 1073634070 /nfs/dbraw/zinc/63/40/70/1073634070.db2.gz DJDDOCABQVCQCT-UHFFFAOYSA-N 0 0 444.448 -0.355 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)CC2)n1 ZINC000490147135 1073632731 /nfs/dbraw/zinc/63/27/31/1073632731.db2.gz XNXRGWUTOPXJFV-UHFFFAOYSA-N 0 0 434.478 -0.272 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)CC1 ZINC000490150716 1073632787 /nfs/dbraw/zinc/63/27/87/1073632787.db2.gz DKBRZWLKVZOYJS-HNNXBMFYSA-N 0 0 444.536 -0.064 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)CC1 ZINC000490150718 1073632769 /nfs/dbraw/zinc/63/27/69/1073632769.db2.gz DKBRZWLKVZOYJS-OAHLLOKOSA-N 0 0 444.536 -0.064 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490153501 1073632661 /nfs/dbraw/zinc/63/26/61/1073632661.db2.gz VCVWOPJNPHKFHH-AWEZNQCLSA-N 0 0 432.477 -0.012 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490153502 1073632722 /nfs/dbraw/zinc/63/27/22/1073632722.db2.gz VCVWOPJNPHKFHH-CQSZACIVSA-N 0 0 432.477 -0.012 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000490153534 1073632832 /nfs/dbraw/zinc/63/28/32/1073632832.db2.gz VKLQAYZPBCKUDO-AWEZNQCLSA-N 0 0 436.534 -0.019 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000490153535 1073632759 /nfs/dbraw/zinc/63/27/59/1073632759.db2.gz VKLQAYZPBCKUDO-CQSZACIVSA-N 0 0 436.534 -0.019 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCCC2)cc1NC(=O)C(=O)NC(CO)CO ZINC000490153641 1073632800 /nfs/dbraw/zinc/63/28/00/1073632800.db2.gz WSXLAMTWYRLTRH-UHFFFAOYSA-N 0 0 429.495 -0.332 20 0 IBADRN CC(C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000490158629 1073634890 /nfs/dbraw/zinc/63/48/90/1073634890.db2.gz SVRLGWYVGIAXRP-UHFFFAOYSA-N 0 0 444.579 -0.042 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCN3CCOCC3)CC2)cc1 ZINC000490158828 1073634814 /nfs/dbraw/zinc/63/48/14/1073634814.db2.gz UPDGYXLIQMVCOV-UHFFFAOYSA-N 0 0 440.566 -0.208 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CC2)n1 ZINC000490159226 1073634800 /nfs/dbraw/zinc/63/48/00/1073634800.db2.gz QFYGGLBQPAYQJP-UHFFFAOYSA-N 0 0 438.441 -0.441 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)cc1C(N)=O ZINC000490159289 1073634997 /nfs/dbraw/zinc/63/49/97/1073634997.db2.gz WPDAVWDQNNIBQA-UHFFFAOYSA-N 0 0 448.548 -0.315 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000490159603 1073634980 /nfs/dbraw/zinc/63/49/80/1073634980.db2.gz SCEXAMSVEYQZRF-AWEZNQCLSA-N 0 0 431.493 -0.309 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000490159604 1073634874 /nfs/dbraw/zinc/63/48/74/1073634874.db2.gz SCEXAMSVEYQZRF-CQSZACIVSA-N 0 0 431.493 -0.309 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)CC2)n1 ZINC000490160427 1073634784 /nfs/dbraw/zinc/63/47/84/1073634784.db2.gz ZISHNWQWHMAHDD-UHFFFAOYSA-N 0 0 425.449 -0.037 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1 ZINC000490161857 1073634779 /nfs/dbraw/zinc/63/47/79/1073634779.db2.gz BPAHWUABOZPFSA-AWEZNQCLSA-N 0 0 428.493 -0.034 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1 ZINC000490161858 1073634769 /nfs/dbraw/zinc/63/47/69/1073634769.db2.gz BPAHWUABOZPFSA-CQSZACIVSA-N 0 0 428.493 -0.034 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(N)=O)n(C)c2)CC1 ZINC000490163539 1073635626 /nfs/dbraw/zinc/63/56/26/1073635626.db2.gz FNKGOOBQGYKNPF-UHFFFAOYSA-N 0 0 447.583 -0.060 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000490163941 1073635393 /nfs/dbraw/zinc/63/53/93/1073635393.db2.gz LMJKWUKHPUBDMT-CYBMUJFWSA-N 0 0 437.522 -0.608 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000490163946 1073635586 /nfs/dbraw/zinc/63/55/86/1073635586.db2.gz LMJKWUKHPUBDMT-ZDUSSCGKSA-N 0 0 437.522 -0.608 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000490163984 1073635483 /nfs/dbraw/zinc/63/54/83/1073635483.db2.gz IQINBVKFDWNZDS-UHFFFAOYSA-N 0 0 428.530 -0.077 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)NC(CO)CO)c1 ZINC000490164652 1073635675 /nfs/dbraw/zinc/63/56/75/1073635675.db2.gz NGMAJTYAXWMKGR-UHFFFAOYSA-N 0 0 442.538 -0.275 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1OCC(N)=O ZINC000490165825 1073635710 /nfs/dbraw/zinc/63/57/10/1073635710.db2.gz QUSOUWUIXHDSIA-CYBMUJFWSA-N 0 0 447.492 -0.691 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1OCC(N)=O ZINC000490165826 1073635599 /nfs/dbraw/zinc/63/55/99/1073635599.db2.gz QUSOUWUIXHDSIA-ZDUSSCGKSA-N 0 0 447.492 -0.691 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC(C)C)c(NC(=O)C(=O)NC(CO)CO)c1 ZINC000490166034 1073635410 /nfs/dbraw/zinc/63/54/10/1073635410.db2.gz TUAODHRVPPFESA-UHFFFAOYSA-N 0 0 431.511 -0.088 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000490167293 1073635655 /nfs/dbraw/zinc/63/56/55/1073635655.db2.gz HZUUAPIUDZWAJM-UHFFFAOYSA-N 0 0 442.604 -0.436 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000490168318 1073635370 /nfs/dbraw/zinc/63/53/70/1073635370.db2.gz UHXCTRNNOXGJAL-UHFFFAOYSA-N 0 0 428.555 -0.240 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000490171618 1073635514 /nfs/dbraw/zinc/63/55/14/1073635514.db2.gz GFVSIEJHNFMZEX-UHFFFAOYSA-N 0 0 435.568 -0.026 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000490171779 1073635718 /nfs/dbraw/zinc/63/57/18/1073635718.db2.gz IFEXLOKFLSRFFA-UHFFFAOYSA-N 0 0 439.490 -0.527 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000490175590 1073635442 /nfs/dbraw/zinc/63/54/42/1073635442.db2.gz FTTVJWZDDRGFLI-CYBMUJFWSA-N 0 0 428.493 -0.373 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000490175591 1073636112 /nfs/dbraw/zinc/63/61/12/1073636112.db2.gz FTTVJWZDDRGFLI-ZDUSSCGKSA-N 0 0 428.493 -0.373 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000490176430 1073635538 /nfs/dbraw/zinc/63/55/38/1073635538.db2.gz ODKUZZNOTVKOMN-JOCHJYFZSA-N 0 0 449.552 -0.120 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000490176431 1073635552 /nfs/dbraw/zinc/63/55/52/1073635552.db2.gz ODKUZZNOTVKOMN-QFIPXVFZSA-N 0 0 449.552 -0.120 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000490176564 1073635645 /nfs/dbraw/zinc/63/56/45/1073635645.db2.gz PNYXLNDZSCTPHJ-LLVKDONJSA-N 0 0 441.485 -0.777 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000490176565 1073635463 /nfs/dbraw/zinc/63/54/63/1073635463.db2.gz PNYXLNDZSCTPHJ-NSHDSACASA-N 0 0 441.485 -0.777 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC(CO)CO)cc1S(=O)(=O)N1CCCCC1 ZINC000490177450 1073636296 /nfs/dbraw/zinc/63/62/96/1073636296.db2.gz ZPFPADBCHYSFHM-UHFFFAOYSA-N 0 0 429.495 -0.332 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000490177680 1073636244 /nfs/dbraw/zinc/63/62/44/1073636244.db2.gz CYOQPANTTACOCC-UHFFFAOYSA-N 0 0 428.530 -0.077 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cc1C(N)=O ZINC000490178646 1073636306 /nfs/dbraw/zinc/63/63/06/1073636306.db2.gz PBMHLLWKJIQDGY-UHFFFAOYSA-N 0 0 442.519 -0.377 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000490179358 1073636141 /nfs/dbraw/zinc/63/61/41/1073636141.db2.gz YPBSXSDDSFHHNZ-UHFFFAOYSA-N 0 0 445.567 -0.287 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490179805 1073633981 /nfs/dbraw/zinc/63/39/81/1073633981.db2.gz DGQFACRBJVHKOS-CYBMUJFWSA-N 0 0 426.481 -0.279 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490179806 1073634154 /nfs/dbraw/zinc/63/41/54/1073634154.db2.gz DGQFACRBJVHKOS-ZDUSSCGKSA-N 0 0 426.481 -0.279 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1-n1cnnn1 ZINC000490179837 1073634054 /nfs/dbraw/zinc/63/40/54/1073634054.db2.gz DLAWCHHLDXUHJZ-AWEZNQCLSA-N 0 0 426.481 -0.279 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1-n1cnnn1 ZINC000490179838 1073634104 /nfs/dbraw/zinc/63/41/04/1073634104.db2.gz DLAWCHHLDXUHJZ-CQSZACIVSA-N 0 0 426.481 -0.279 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CC2)n1 ZINC000490180589 1073634118 /nfs/dbraw/zinc/63/41/18/1073634118.db2.gz LIXHLDXUKMGMLV-UHFFFAOYSA-N 0 0 448.505 -0.178 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000490181074 1073634906 /nfs/dbraw/zinc/63/49/06/1073634906.db2.gz OCWOCOPYCHTRRT-AWEZNQCLSA-N 0 0 436.534 -0.019 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000490181075 1073634863 /nfs/dbraw/zinc/63/48/63/1073634863.db2.gz OCWOCOPYCHTRRT-CQSZACIVSA-N 0 0 436.534 -0.019 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000490182056 1073634914 /nfs/dbraw/zinc/63/49/14/1073634914.db2.gz STYDARDUIOMVQB-HNNXBMFYSA-N 0 0 445.520 -0.049 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000490182057 1073634839 /nfs/dbraw/zinc/63/48/39/1073634839.db2.gz STYDARDUIOMVQB-OAHLLOKOSA-N 0 0 445.520 -0.049 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000490183737 1073634924 /nfs/dbraw/zinc/63/49/24/1073634924.db2.gz AXPWXXCKHYDCIS-HDICACEKSA-N 0 0 434.603 -0.052 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000490183738 1073634897 /nfs/dbraw/zinc/63/48/97/1073634897.db2.gz AXPWXXCKHYDCIS-QZTJIDSGSA-N 0 0 434.603 -0.052 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000490183739 1073634852 /nfs/dbraw/zinc/63/48/52/1073634852.db2.gz AXPWXXCKHYDCIS-ROUUACIJSA-N 0 0 434.603 -0.052 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000490184485 1073634935 /nfs/dbraw/zinc/63/49/35/1073634935.db2.gz KVYNCTKRQLDBHO-UHFFFAOYSA-N 0 0 440.544 -0.563 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NC(CO)CO)cc1)N1CCN(c2ccccc2)CC1 ZINC000490186074 1073634955 /nfs/dbraw/zinc/63/49/55/1073634955.db2.gz DSJABHRTGCCIOO-UHFFFAOYSA-N 0 0 440.500 -0.014 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000490193839 1073636863 /nfs/dbraw/zinc/63/68/63/1073636863.db2.gz QRCUBXXNDOOJGY-UHFFFAOYSA-N 0 0 441.473 -0.095 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H](CO)c1ccccc1 ZINC000490194543 1073636231 /nfs/dbraw/zinc/63/62/31/1073636231.db2.gz NKYKRPXCNMOJQL-QGZVFWFLSA-N 0 0 446.485 -0.405 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000490194667 1073636794 /nfs/dbraw/zinc/63/67/94/1073636794.db2.gz NXJBTMWGIXSTFO-HNNXBMFYSA-N 0 0 445.520 -0.049 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000490194668 1073636853 /nfs/dbraw/zinc/63/68/53/1073636853.db2.gz NXJBTMWGIXSTFO-OAHLLOKOSA-N 0 0 445.520 -0.049 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490195314 1073637014 /nfs/dbraw/zinc/63/70/14/1073637014.db2.gz UMHLWFPWVSLOHW-HNNXBMFYSA-N 0 0 445.520 -0.049 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490195315 1073636994 /nfs/dbraw/zinc/63/69/94/1073636994.db2.gz UMHLWFPWVSLOHW-OAHLLOKOSA-N 0 0 445.520 -0.049 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)n1 ZINC000490197650 1073636809 /nfs/dbraw/zinc/63/68/09/1073636809.db2.gz BIDFKMVIMRZEDJ-UHFFFAOYSA-N 0 0 440.464 -0.896 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000490197985 1073637028 /nfs/dbraw/zinc/63/70/28/1073637028.db2.gz BPQUNLSHHIKCES-GFCCVEGCSA-N 0 0 440.497 -0.021 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000490197988 1073636961 /nfs/dbraw/zinc/63/69/61/1073636961.db2.gz BPQUNLSHHIKCES-LBPRGKRZSA-N 0 0 440.497 -0.021 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000490198024 1073636908 /nfs/dbraw/zinc/63/69/08/1073636908.db2.gz CKKXSGHUGWTEOU-GFCCVEGCSA-N 0 0 427.461 -0.338 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000490198025 1073636980 /nfs/dbraw/zinc/63/69/80/1073636980.db2.gz CKKXSGHUGWTEOU-LBPRGKRZSA-N 0 0 427.461 -0.338 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)ccc1F ZINC000490198081 1073636943 /nfs/dbraw/zinc/63/69/43/1073636943.db2.gz CZRVMCRTXNAIKZ-GFCCVEGCSA-N 0 0 444.471 -0.140 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)ccc1F ZINC000490198082 1073637037 /nfs/dbraw/zinc/63/70/37/1073637037.db2.gz CZRVMCRTXNAIKZ-LBPRGKRZSA-N 0 0 444.471 -0.140 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)CC1 ZINC000490200152 1073636834 /nfs/dbraw/zinc/63/68/34/1073636834.db2.gz HWTGJXFVACGNGJ-HNNXBMFYSA-N 0 0 430.509 -0.332 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)CC1 ZINC000490200153 1073636900 /nfs/dbraw/zinc/63/69/00/1073636900.db2.gz HWTGJXFVACGNGJ-OAHLLOKOSA-N 0 0 430.509 -0.332 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CC(=O)N(CC(F)(F)F)C3)CC2=O)c1 ZINC000490201686 1073636171 /nfs/dbraw/zinc/63/61/71/1073636171.db2.gz JUCKSLTUJQTKLL-JTQLQIEISA-N 0 0 434.396 -0.123 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CC(=O)N(CC(F)(F)F)C3)CC2=O)c1 ZINC000490201689 1073636120 /nfs/dbraw/zinc/63/61/20/1073636120.db2.gz JUCKSLTUJQTKLL-SNVBAGLBSA-N 0 0 434.396 -0.123 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccccc2CS(C)(=O)=O)CC1 ZINC000490202386 1073636130 /nfs/dbraw/zinc/63/61/30/1073636130.db2.gz NJTAQAPTVZMWOP-AWEZNQCLSA-N 0 0 436.534 -0.019 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccccc2CS(C)(=O)=O)CC1 ZINC000490202387 1073636274 /nfs/dbraw/zinc/63/62/74/1073636274.db2.gz NJTAQAPTVZMWOP-CQSZACIVSA-N 0 0 436.534 -0.019 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)ccc1C ZINC000490205051 1073636214 /nfs/dbraw/zinc/63/62/14/1073636214.db2.gz SLLAYFKCJHXRRR-UHFFFAOYSA-N 0 0 448.505 -0.011 20 0 IBADRN COc1ccc([C@H](CCO)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000490206261 1073636179 /nfs/dbraw/zinc/63/61/79/1073636179.db2.gz HOAYMOUNYDQGPI-INIZCTEOSA-N 0 0 441.444 -0.181 20 0 IBADRN COc1ccc([C@@H](CCO)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000490206266 1073636268 /nfs/dbraw/zinc/63/62/68/1073636268.db2.gz HOAYMOUNYDQGPI-MRXNPFEDSA-N 0 0 441.444 -0.181 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)cc1 ZINC000490209987 1073636151 /nfs/dbraw/zinc/63/61/51/1073636151.db2.gz QEDUTGOSAAIEJF-UHFFFAOYSA-N 0 0 428.449 -0.103 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)cc1S(N)(=O)=O ZINC000490210024 1073636225 /nfs/dbraw/zinc/63/62/25/1073636225.db2.gz QIOHOMGSSUXUKT-UHFFFAOYSA-N 0 0 448.505 -0.018 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)c1ccnc2c(C(N)=O)cnn21 ZINC000490210055 1073636175 /nfs/dbraw/zinc/63/61/75/1073636175.db2.gz MJEVGKHXPFZGII-UHFFFAOYSA-N 0 0 432.462 -0.629 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)CC1 ZINC000490210167 1073636208 /nfs/dbraw/zinc/63/62/08/1073636208.db2.gz RKNQILBLZQKTOZ-HNNXBMFYSA-N 0 0 445.520 -0.097 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)CC1 ZINC000490210168 1073636162 /nfs/dbraw/zinc/63/61/62/1073636162.db2.gz RKNQILBLZQKTOZ-OAHLLOKOSA-N 0 0 445.520 -0.097 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000490213236 1073636279 /nfs/dbraw/zinc/63/62/79/1073636279.db2.gz SJFWKBPIGFRLJC-FQEVSTJZSA-N 0 0 432.521 -0.239 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000490213237 1073636188 /nfs/dbraw/zinc/63/61/88/1073636188.db2.gz SJFWKBPIGFRLJC-HXUWFJFHSA-N 0 0 432.521 -0.239 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1=O ZINC000490215562 1073637548 /nfs/dbraw/zinc/63/75/48/1073637548.db2.gz GQISHOCSHVEPEV-UHFFFAOYSA-N 0 0 434.478 -0.274 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000490219289 1073637508 /nfs/dbraw/zinc/63/75/08/1073637508.db2.gz XWETXLYDFMXMOB-AWEZNQCLSA-N 0 0 432.477 -0.012 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000490219291 1073637601 /nfs/dbraw/zinc/63/76/01/1073637601.db2.gz XWETXLYDFMXMOB-CQSZACIVSA-N 0 0 432.477 -0.012 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000490220223 1073637063 /nfs/dbraw/zinc/63/70/63/1073637063.db2.gz AFUUKXYJQYTKHJ-HNNXBMFYSA-N 0 0 445.520 -0.097 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000490220224 1073636885 /nfs/dbraw/zinc/63/68/85/1073636885.db2.gz AFUUKXYJQYTKHJ-OAHLLOKOSA-N 0 0 445.520 -0.097 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490220445 1073636925 /nfs/dbraw/zinc/63/69/25/1073636925.db2.gz BJMQLLKTYIASHH-CYBMUJFWSA-N 0 0 431.493 -0.195 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000490220446 1073637051 /nfs/dbraw/zinc/63/70/51/1073637051.db2.gz BJMQLLKTYIASHH-ZDUSSCGKSA-N 0 0 431.493 -0.195 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(C(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000490220811 1073636763 /nfs/dbraw/zinc/63/67/63/1073636763.db2.gz CWZOKGGVQXLDAR-GOSISDBHSA-N 0 0 444.488 -0.376 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(C(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000490220816 1073636787 /nfs/dbraw/zinc/63/67/87/1073636787.db2.gz CWZOKGGVQXLDAR-SFHVURJKSA-N 0 0 444.488 -0.376 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC(C(=O)N4CCOCC4)CC3)cc2C1=O ZINC000490224039 1073637516 /nfs/dbraw/zinc/63/75/16/1073637516.db2.gz PTISMEXHFCPFMY-UHFFFAOYSA-N 0 0 428.445 -0.052 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)c1 ZINC000490225131 1073637462 /nfs/dbraw/zinc/63/74/62/1073637462.db2.gz UJUHRWQFKMNWEO-UHFFFAOYSA-N 0 0 448.505 -0.011 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC(=O)N(CC(F)(F)F)C2)s1 ZINC000490231517 1073637618 /nfs/dbraw/zinc/63/76/18/1073637618.db2.gz RAZOALXZJWRAPZ-UHFFFAOYSA-N 0 0 442.441 -0.147 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCC(=O)N3CCOCC3)CC2)cs1 ZINC000490235051 1073638190 /nfs/dbraw/zinc/63/81/90/1073638190.db2.gz CWRZUVUUULMVNR-UHFFFAOYSA-N 0 0 431.540 -0.284 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC000490238457 1073638136 /nfs/dbraw/zinc/63/81/36/1073638136.db2.gz GZPADKKDWUQFGY-UHFFFAOYSA-N 0 0 436.412 -0.025 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c1 ZINC000490243249 1073637470 /nfs/dbraw/zinc/63/74/70/1073637470.db2.gz GUOSDAVMXRKYLB-UHFFFAOYSA-N 0 0 446.551 -0.242 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)ccc1F ZINC000490247602 1073637526 /nfs/dbraw/zinc/63/75/26/1073637526.db2.gz MDSYUBNDJHMHRR-UHFFFAOYSA-N 0 0 439.473 -0.069 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)CC1 ZINC000490249457 1073637487 /nfs/dbraw/zinc/63/74/87/1073637487.db2.gz SMTCKHSZTGFPPU-UHFFFAOYSA-N 0 0 444.583 -0.322 20 0 IBADRN C[C@@H]1[C@H]([N@H+](C)CCO)CCN1C(=O)NC1CCN(S(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000490253483 1073637498 /nfs/dbraw/zinc/63/74/98/1073637498.db2.gz RLXMRADSIPFQMP-TZMCWYRMSA-N 0 0 445.508 -0.056 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CNC(=O)Cc2ccc(O)cc2)C1 ZINC000490255938 1073637570 /nfs/dbraw/zinc/63/75/70/1073637570.db2.gz USQCLJRLFOKMDM-KRWDZBQOSA-N 0 0 427.523 -0.050 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CNC(=O)Cc2ccc(O)cc2)C1 ZINC000490255941 1073637560 /nfs/dbraw/zinc/63/75/60/1073637560.db2.gz USQCLJRLFOKMDM-QGZVFWFLSA-N 0 0 427.523 -0.050 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(N)(=O)=O)s2)C1 ZINC000490259366 1073638928 /nfs/dbraw/zinc/63/89/28/1073638928.db2.gz ZTIPIDUXJBUJGL-LLVKDONJSA-N 0 0 425.554 -0.092 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(N)(=O)=O)s2)C1 ZINC000490259367 1073638904 /nfs/dbraw/zinc/63/89/04/1073638904.db2.gz ZTIPIDUXJBUJGL-NSHDSACASA-N 0 0 425.554 -0.092 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)COCC(=O)NCc2cccnc2)C1 ZINC000490266236 1073638084 /nfs/dbraw/zinc/63/80/84/1073638084.db2.gz CSGNLTDYRLGNPP-KRWDZBQOSA-N 0 0 442.538 -0.387 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)COCC(=O)NCc2cccnc2)C1 ZINC000490266241 1073638091 /nfs/dbraw/zinc/63/80/91/1073638091.db2.gz CSGNLTDYRLGNPP-QGZVFWFLSA-N 0 0 442.538 -0.387 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N[C@H]1CCC(=O)NC1 ZINC000490266347 1073638018 /nfs/dbraw/zinc/63/80/18/1073638018.db2.gz CCWGPJZCWAIREY-INIZCTEOSA-N 0 0 427.461 -0.167 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N[C@@H]1CCC(=O)NC1 ZINC000490266348 1073638071 /nfs/dbraw/zinc/63/80/71/1073638071.db2.gz CCWGPJZCWAIREY-MRXNPFEDSA-N 0 0 427.461 -0.167 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c1 ZINC000490267578 1073638181 /nfs/dbraw/zinc/63/81/81/1073638181.db2.gz FVWPYXMDSQFEKL-UHFFFAOYSA-N 0 0 440.522 -0.026 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c1 ZINC000490269179 1073638171 /nfs/dbraw/zinc/63/81/71/1073638171.db2.gz INOSMMDYJXRUJJ-UHFFFAOYSA-N 0 0 446.551 -0.276 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000490270611 1073638028 /nfs/dbraw/zinc/63/80/28/1073638028.db2.gz KUEXHGRUDUIRQS-UHFFFAOYSA-N 0 0 446.551 -0.283 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@@H](C)n2cccn2)C1 ZINC000490273050 1073638161 /nfs/dbraw/zinc/63/81/61/1073638161.db2.gz PSVNHHLKEVFUGJ-CVEARBPZSA-N 0 0 429.543 -0.199 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@H](C)n2cccn2)C1 ZINC000490273058 1073638121 /nfs/dbraw/zinc/63/81/21/1073638121.db2.gz PSVNHHLKEVFUGJ-HOTGVXAUSA-N 0 0 429.543 -0.199 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@@H](C)n2cccn2)C1 ZINC000490273059 1073638235 /nfs/dbraw/zinc/63/82/35/1073638235.db2.gz PSVNHHLKEVFUGJ-HZPDHXFCSA-N 0 0 429.543 -0.199 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@H](C)n2cccn2)C1 ZINC000490273061 1073638226 /nfs/dbraw/zinc/63/82/26/1073638226.db2.gz PSVNHHLKEVFUGJ-JKSUJKDBSA-N 0 0 429.543 -0.199 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1 ZINC000490276057 1073638828 /nfs/dbraw/zinc/63/88/28/1073638828.db2.gz XFWCQNXCPRNIIE-UHFFFAOYSA-N 0 0 440.522 -0.026 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000490276088 1073638833 /nfs/dbraw/zinc/63/88/33/1073638833.db2.gz XKHJIJXTPYVDFI-CYBMUJFWSA-N 0 0 439.494 -0.453 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000490276107 1073638946 /nfs/dbraw/zinc/63/89/46/1073638946.db2.gz XKHJIJXTPYVDFI-ZDUSSCGKSA-N 0 0 439.494 -0.453 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)ccc1C ZINC000490276311 1073638108 /nfs/dbraw/zinc/63/81/08/1073638108.db2.gz XZTQPNDWWWIDGF-UHFFFAOYSA-N 0 0 446.551 -0.276 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1 ZINC000490280608 1073639507 /nfs/dbraw/zinc/63/95/07/1073639507.db2.gz ADFKGLSZMQTQEF-UHFFFAOYSA-N 0 0 431.515 -0.934 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)c2ccnc(S(N)(=O)=O)c2)CC1 ZINC000490280613 1073639588 /nfs/dbraw/zinc/63/95/88/1073639588.db2.gz AKKGCGVOXWFDCY-UHFFFAOYSA-N 0 0 433.556 -0.146 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000490283362 1073638839 /nfs/dbraw/zinc/63/88/39/1073638839.db2.gz IZRSWVAKUWOBRL-UHFFFAOYSA-N 0 0 439.604 -0.587 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c1C ZINC000490284175 1073638882 /nfs/dbraw/zinc/63/88/82/1073638882.db2.gz JJXRJNDBIFZSHV-UHFFFAOYSA-N 0 0 446.551 -0.228 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCC(=O)NC3)cc2)C[C@H](C)O1 ZINC000490284913 1073638862 /nfs/dbraw/zinc/63/88/62/1073638862.db2.gz HGUOYMVCJVRPSA-GUTXKFCHSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCC(=O)NC3)cc2)C[C@@H](C)O1 ZINC000490284915 1073638810 /nfs/dbraw/zinc/63/88/10/1073638810.db2.gz HGUOYMVCJVRPSA-NFAWXSAZSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCC(=O)NC3)cc2)C[C@@H](C)O1 ZINC000490284918 1073638780 /nfs/dbraw/zinc/63/87/80/1073638780.db2.gz HGUOYMVCJVRPSA-UMVBOHGHSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCC(=O)NC3)cc2)C[C@H](C)O1 ZINC000490284923 1073638849 /nfs/dbraw/zinc/63/88/49/1073638849.db2.gz HGUOYMVCJVRPSA-VNHYZAJKSA-N 0 0 438.506 -0.182 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCC(=O)NC3)c2)CC1 ZINC000490289229 1073639553 /nfs/dbraw/zinc/63/95/53/1073639553.db2.gz UXNSPOLKJBTSOA-HNNXBMFYSA-N 0 0 437.522 -0.654 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCC(=O)NC3)c2)CC1 ZINC000490289230 1073639596 /nfs/dbraw/zinc/63/95/96/1073639596.db2.gz UXNSPOLKJBTSOA-OAHLLOKOSA-N 0 0 437.522 -0.654 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000490289620 1073639517 /nfs/dbraw/zinc/63/95/17/1073639517.db2.gz SMPCDOLZICKTOQ-UHFFFAOYSA-N 0 0 446.551 -0.242 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1-n1cnnn1 ZINC000490289863 1073639602 /nfs/dbraw/zinc/63/96/02/1073639602.db2.gz WWFFSEVJQPAAFP-UHFFFAOYSA-N 0 0 437.482 -0.508 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000490291080 1073639496 /nfs/dbraw/zinc/63/94/96/1073639496.db2.gz ZWIAIILINJDNNA-INIZCTEOSA-N 0 0 438.506 -0.591 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000490291081 1073639369 /nfs/dbraw/zinc/63/93/69/1073639369.db2.gz ZWIAIILINJDNNA-MRXNPFEDSA-N 0 0 438.506 -0.591 20 0 IBADRN CN(C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000490297384 1073639534 /nfs/dbraw/zinc/63/95/34/1073639534.db2.gz HWWHFODAQKGGCY-GFCCVEGCSA-N 0 0 440.494 -0.082 20 0 IBADRN CN(C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000490297389 1073639567 /nfs/dbraw/zinc/63/95/67/1073639567.db2.gz HWWHFODAQKGGCY-LBPRGKRZSA-N 0 0 440.494 -0.082 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCN3CCCS3(=O)=O)CC2)cs1 ZINC000490297685 1073639454 /nfs/dbraw/zinc/63/94/54/1073639454.db2.gz CZTIDUBCURMACU-UHFFFAOYSA-N 0 0 437.569 -0.497 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)C(=O)NC(C)(C)C)cc1 ZINC000490311129 1073639389 /nfs/dbraw/zinc/63/93/89/1073639389.db2.gz QIUVJVVSNOEMRX-GFCCVEGCSA-N 0 0 428.511 -0.031 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NC(C)(C)C)cc1 ZINC000490311130 1073639580 /nfs/dbraw/zinc/63/95/80/1073639580.db2.gz QIUVJVVSNOEMRX-LBPRGKRZSA-N 0 0 428.511 -0.031 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1 ZINC000490346459 1073640041 /nfs/dbraw/zinc/64/00/41/1073640041.db2.gz FXZQLOGGPONVRB-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1 ZINC000490346463 1073640045 /nfs/dbraw/zinc/64/00/45/1073640045.db2.gz FXZQLOGGPONVRB-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc([S@@](C)=O)c2)CC1 ZINC000490347490 1073640008 /nfs/dbraw/zinc/64/00/08/1073640008.db2.gz IKUJTGRVPLQZOM-DHMKHTPVSA-N 0 0 436.534 -0.246 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc([S@](C)=O)c2)CC1 ZINC000490347498 1073640116 /nfs/dbraw/zinc/64/01/16/1073640116.db2.gz IKUJTGRVPLQZOM-FOGNVHKLSA-N 0 0 436.534 -0.246 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc([S@@](C)=O)c2)CC1 ZINC000490347500 1073640104 /nfs/dbraw/zinc/64/01/04/1073640104.db2.gz IKUJTGRVPLQZOM-INCQDFKNSA-N 0 0 436.534 -0.246 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc([S@](C)=O)c2)CC1 ZINC000490347501 1073640111 /nfs/dbraw/zinc/64/01/11/1073640111.db2.gz IKUJTGRVPLQZOM-JGVYIQDASA-N 0 0 436.534 -0.246 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000490349421 1073641837 /nfs/dbraw/zinc/64/18/37/1073641837.db2.gz OJIDYAVXFFCGFE-INIZCTEOSA-N 0 0 449.508 -0.563 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000490349425 1073641775 /nfs/dbraw/zinc/64/17/75/1073641775.db2.gz OJIDYAVXFFCGFE-MRXNPFEDSA-N 0 0 449.508 -0.563 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2nc3c(s2)COCC3)CC1 ZINC000490349438 1073641640 /nfs/dbraw/zinc/64/16/40/1073641640.db2.gz OMMZNCRBYXIBSI-CYBMUJFWSA-N 0 0 439.538 -0.160 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2nc3c(s2)COCC3)CC1 ZINC000490349439 1073641608 /nfs/dbraw/zinc/64/16/08/1073641608.db2.gz OMMZNCRBYXIBSI-ZDUSSCGKSA-N 0 0 439.538 -0.160 20 0 IBADRN COc1ccc(CCNC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000490349447 1073641754 /nfs/dbraw/zinc/64/17/54/1073641754.db2.gz ONQVXEZMZCMIIM-CYBMUJFWSA-N 0 0 434.540 -0.440 20 0 IBADRN COc1ccc(CCNC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000490349448 1073641677 /nfs/dbraw/zinc/64/16/77/1073641677.db2.gz ONQVXEZMZCMIIM-ZDUSSCGKSA-N 0 0 434.540 -0.440 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3)CC1 ZINC000490350363 1073641592 /nfs/dbraw/zinc/64/15/92/1073641592.db2.gz VNOPBVNFARFALN-AWEZNQCLSA-N 0 0 429.477 -0.489 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3)CC1 ZINC000490350364 1073641651 /nfs/dbraw/zinc/64/16/51/1073641651.db2.gz VNOPBVNFARFALN-CQSZACIVSA-N 0 0 429.477 -0.489 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2nc(-c3nccn3C)cs2)CC1 ZINC000490351021 1073641785 /nfs/dbraw/zinc/64/17/85/1073641785.db2.gz CGRUYOUKHQUNAY-UHFFFAOYSA-N 0 0 433.494 -0.323 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)c1 ZINC000490351062 1073641805 /nfs/dbraw/zinc/64/18/05/1073641805.db2.gz COTWLXNJZHTKQT-HNNXBMFYSA-N 0 0 433.509 -0.330 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)c1 ZINC000490351063 1073641737 /nfs/dbraw/zinc/64/17/37/1073641737.db2.gz COTWLXNJZHTKQT-OAHLLOKOSA-N 0 0 433.509 -0.330 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000490351295 1073641721 /nfs/dbraw/zinc/64/17/21/1073641721.db2.gz DRRSGQZUFNKDME-INIZCTEOSA-N 0 0 446.504 -0.268 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000490351296 1073641765 /nfs/dbraw/zinc/64/17/65/1073641765.db2.gz DRRSGQZUFNKDME-MRXNPFEDSA-N 0 0 446.504 -0.268 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)c1 ZINC000490351723 1073641797 /nfs/dbraw/zinc/64/17/97/1073641797.db2.gz DIBIRVXVQNCLPF-UHFFFAOYSA-N 0 0 428.449 -0.345 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000490353351 1073641566 /nfs/dbraw/zinc/64/15/66/1073641566.db2.gz NCROEEMLMZHJQT-AWEZNQCLSA-N 0 0 447.492 -0.359 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000490353352 1073642355 /nfs/dbraw/zinc/64/23/55/1073642355.db2.gz NCROEEMLMZHJQT-CQSZACIVSA-N 0 0 447.492 -0.359 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490354873 1073642363 /nfs/dbraw/zinc/64/23/63/1073642363.db2.gz SHCFUKKZRJXSLO-INIZCTEOSA-N 0 0 445.520 -0.234 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490354874 1073642445 /nfs/dbraw/zinc/64/24/45/1073642445.db2.gz SHCFUKKZRJXSLO-MRXNPFEDSA-N 0 0 445.520 -0.234 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2)c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000490355282 1073642400 /nfs/dbraw/zinc/64/24/00/1073642400.db2.gz UJNCJOZXFOCEGY-UHFFFAOYSA-N 0 0 448.505 -0.468 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000490356249 1073642495 /nfs/dbraw/zinc/64/24/95/1073642495.db2.gz YAKJWXZFPHXJEP-UHFFFAOYSA-N 0 0 440.526 -0.626 20 0 IBADRN NC(=O)C1CCC(NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000490357077 1073642484 /nfs/dbraw/zinc/64/24/84/1073642484.db2.gz XBUAUNOYGPZANQ-JMLCCBQJSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)C1CCC(NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000490357078 1073642510 /nfs/dbraw/zinc/64/25/10/1073642510.db2.gz XBUAUNOYGPZANQ-JTTJXQCZSA-N 0 0 444.488 -0.200 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)CCO3)CC1 ZINC000490359083 1073642340 /nfs/dbraw/zinc/64/23/40/1073642340.db2.gz CEHFFPKFLKVHKP-INIZCTEOSA-N 0 0 445.520 -0.155 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)CCO3)CC1 ZINC000490359106 1073642501 /nfs/dbraw/zinc/64/25/01/1073642501.db2.gz CEHFFPKFLKVHKP-MRXNPFEDSA-N 0 0 445.520 -0.155 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)CC1 ZINC000490360674 1073642465 /nfs/dbraw/zinc/64/24/65/1073642465.db2.gz DROIZIGMOWAJGA-CYBMUJFWSA-N 0 0 435.456 -0.745 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)CC1 ZINC000490360676 1073642430 /nfs/dbraw/zinc/64/24/30/1073642430.db2.gz DROIZIGMOWAJGA-ZDUSSCGKSA-N 0 0 435.456 -0.745 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3nccnc3c2)CC1 ZINC000490360831 1073640096 /nfs/dbraw/zinc/64/00/96/1073640096.db2.gz DZLXJTIUZZBFRU-HNNXBMFYSA-N 0 0 426.477 -0.040 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3nccnc3c2)CC1 ZINC000490360836 1073640029 /nfs/dbraw/zinc/64/00/29/1073640029.db2.gz DZLXJTIUZZBFRU-OAHLLOKOSA-N 0 0 426.477 -0.040 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000490361033 1073642470 /nfs/dbraw/zinc/64/24/70/1073642470.db2.gz DRUJQRSRXUHLOE-HNNXBMFYSA-N 0 0 443.504 -0.099 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000490361036 1073642506 /nfs/dbraw/zinc/64/25/06/1073642506.db2.gz DRUJQRSRXUHLOE-OAHLLOKOSA-N 0 0 443.504 -0.099 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2)CC1 ZINC000490361938 1073640019 /nfs/dbraw/zinc/64/00/19/1073640019.db2.gz FEDZUJOBAZTVDH-INIZCTEOSA-N 0 0 445.520 -0.282 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2)CC1 ZINC000490361954 1073640047 /nfs/dbraw/zinc/64/00/47/1073640047.db2.gz FEDZUJOBAZTVDH-MRXNPFEDSA-N 0 0 445.520 -0.282 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1 ZINC000490362635 1073640054 /nfs/dbraw/zinc/64/00/54/1073640054.db2.gz GCOZUGXSFTWUFO-INIZCTEOSA-N 0 0 441.492 -0.403 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1 ZINC000490362638 1073639992 /nfs/dbraw/zinc/63/99/92/1073639992.db2.gz GCOZUGXSFTWUFO-MRXNPFEDSA-N 0 0 441.492 -0.403 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000490363513 1073640122 /nfs/dbraw/zinc/64/01/22/1073640122.db2.gz HJZNPKMSAUOZLD-UHFFFAOYSA-N 0 0 428.424 -0.101 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cn1)NC1CCCC1 ZINC000490364452 1073640518 /nfs/dbraw/zinc/64/05/18/1073640518.db2.gz HQURXGHCNLYVPZ-UHFFFAOYSA-N 0 0 445.524 -0.697 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2C)CC1 ZINC000490364499 1073640685 /nfs/dbraw/zinc/64/06/85/1073640685.db2.gz HYAUOLSWXIGJSX-HNNXBMFYSA-N 0 0 433.509 -0.282 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490365122 1073640636 /nfs/dbraw/zinc/64/06/36/1073640636.db2.gz INBWAZSTHNWTNV-HNNXBMFYSA-N 0 0 431.493 -0.624 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490365125 1073640598 /nfs/dbraw/zinc/64/05/98/1073640598.db2.gz INBWAZSTHNWTNV-OAHLLOKOSA-N 0 0 431.493 -0.624 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2C)CC1 ZINC000490365239 1073640689 /nfs/dbraw/zinc/64/06/89/1073640689.db2.gz HYAUOLSWXIGJSX-OAHLLOKOSA-N 0 0 433.509 -0.282 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(OCC(=O)OC)c2ncccc12 ZINC000490365867 1073640658 /nfs/dbraw/zinc/64/06/58/1073640658.db2.gz AMGASINAYWEOLK-UHFFFAOYSA-N 0 0 438.462 -0.219 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cnn(-c2ccccc2S(C)(=O)=O)c1 ZINC000490365896 1073640655 /nfs/dbraw/zinc/64/06/55/1073640655.db2.gz ANHWVLJZQMHAHM-UHFFFAOYSA-N 0 0 443.507 -0.730 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cn1)NC1CCCC1 ZINC000490366257 1073640558 /nfs/dbraw/zinc/64/05/58/1073640558.db2.gz JKJFNXPEHAEDQT-KRWDZBQOSA-N 0 0 446.508 -0.270 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cn1)NC1CCCC1 ZINC000490366274 1073640705 /nfs/dbraw/zinc/64/07/05/1073640705.db2.gz JKJFNXPEHAEDQT-QGZVFWFLSA-N 0 0 446.508 -0.270 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1 ZINC000490367315 1073640582 /nfs/dbraw/zinc/64/05/82/1073640582.db2.gz JZUHQWZCYRCTCL-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1 ZINC000490367318 1073641191 /nfs/dbraw/zinc/64/11/91/1073641191.db2.gz JZUHQWZCYRCTCL-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CC3)CC1 ZINC000490367580 1073640573 /nfs/dbraw/zinc/64/05/73/1073640573.db2.gz KIMDOZIWLOWFEL-HNNXBMFYSA-N 0 0 443.504 -0.099 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CC3)CC1 ZINC000490367586 1073640536 /nfs/dbraw/zinc/64/05/36/1073640536.db2.gz KIMDOZIWLOWFEL-OAHLLOKOSA-N 0 0 443.504 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)NC1CCCCC1 ZINC000490368173 1073641233 /nfs/dbraw/zinc/64/12/33/1073641233.db2.gz NDYOERRCMXEBRO-IBGZPJMESA-N 0 0 449.596 -0.240 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)NC1CCCCC1 ZINC000490368182 1073641287 /nfs/dbraw/zinc/64/12/87/1073641287.db2.gz NDYOERRCMXEBRO-LJQANCHMSA-N 0 0 449.596 -0.240 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1 ZINC000490369233 1073641316 /nfs/dbraw/zinc/64/13/16/1073641316.db2.gz LJFMBCGMTOVWDV-INIZCTEOSA-N 0 0 441.492 -0.403 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1 ZINC000490369237 1073641327 /nfs/dbraw/zinc/64/13/27/1073641327.db2.gz LJFMBCGMTOVWDV-MRXNPFEDSA-N 0 0 441.492 -0.403 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)C)c2)CC1 ZINC000490369672 1073641256 /nfs/dbraw/zinc/64/12/56/1073641256.db2.gz CBMZRMJXIQNHOU-INIZCTEOSA-N 0 0 445.520 -0.282 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)C)c2)CC1 ZINC000490369674 1073641204 /nfs/dbraw/zinc/64/12/04/1073641204.db2.gz CBMZRMJXIQNHOU-MRXNPFEDSA-N 0 0 445.520 -0.282 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1 ZINC000490369678 1073641273 /nfs/dbraw/zinc/64/12/73/1073641273.db2.gz LOVJXVDAWLKGHD-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1 ZINC000490369681 1073641322 /nfs/dbraw/zinc/64/13/22/1073641322.db2.gz LOVJXVDAWLKGHD-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490369685 1073641334 /nfs/dbraw/zinc/64/13/34/1073641334.db2.gz CCBRGNCTHJIZEO-INIZCTEOSA-N 0 0 445.520 -0.315 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490369688 1073641224 /nfs/dbraw/zinc/64/12/24/1073641224.db2.gz CCBRGNCTHJIZEO-MRXNPFEDSA-N 0 0 445.520 -0.315 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)cn1)NC1CCCC1 ZINC000490370700 1073641181 /nfs/dbraw/zinc/64/11/81/1073641181.db2.gz NALRVVYVCTUXOV-UHFFFAOYSA-N 0 0 427.465 -0.178 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000490371600 1073641304 /nfs/dbraw/zinc/64/13/04/1073641304.db2.gz NGTCKCAMBUKQJB-AWEZNQCLSA-N 0 0 433.513 -0.841 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000490371609 1073641261 /nfs/dbraw/zinc/64/12/61/1073641261.db2.gz NGTCKCAMBUKQJB-CQSZACIVSA-N 0 0 433.513 -0.841 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)CC1 ZINC000490371765 1073641250 /nfs/dbraw/zinc/64/12/50/1073641250.db2.gz NAUBMOLGVXMFTR-CYBMUJFWSA-N 0 0 437.472 -0.452 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490371770 1073641215 /nfs/dbraw/zinc/64/12/15/1073641215.db2.gz DUYLKFNDOXPLMK-HNNXBMFYSA-N 0 0 431.493 -0.025 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490371781 1073641298 /nfs/dbraw/zinc/64/12/98/1073641298.db2.gz DUYLKFNDOXPLMK-OAHLLOKOSA-N 0 0 431.493 -0.025 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)CC1 ZINC000490371788 1073642855 /nfs/dbraw/zinc/64/28/55/1073642855.db2.gz NAUBMOLGVXMFTR-ZDUSSCGKSA-N 0 0 437.472 -0.452 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)n(C)n1 ZINC000490372361 1073335588 /nfs/dbraw/zinc/33/55/88/1073335588.db2.gz DKSLMSLSJLMOTP-UHFFFAOYSA-N 0 0 433.469 -0.373 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1 ZINC000490372515 1073643074 /nfs/dbraw/zinc/64/30/74/1073643074.db2.gz FAIMSKIOYDPTEJ-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000490373660 1073643095 /nfs/dbraw/zinc/64/30/95/1073643095.db2.gz OGOIFNGPBVKGBB-INIZCTEOSA-N 0 0 445.520 -0.001 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000490373666 1073643052 /nfs/dbraw/zinc/64/30/52/1073643052.db2.gz OGOIFNGPBVKGBB-MRXNPFEDSA-N 0 0 445.520 -0.001 20 0 IBADRN O=C(Nc1cccc(-n2ccnn2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000490375465 1073643743 /nfs/dbraw/zinc/64/37/43/1073643743.db2.gz QFDBGGVCHTVQAH-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1)S(C)(=O)=O ZINC000490375527 1073643725 /nfs/dbraw/zinc/64/37/25/1073643725.db2.gz QFSLLPNICLYEGW-UHFFFAOYSA-N 0 0 442.542 -0.332 20 0 IBADRN CC(C)[C@H](CN(C)C(=O)CCS(=O)(=O)N(C)C)NC(=O)CCS(=O)(=O)N(C)C ZINC000490375657 1073643640 /nfs/dbraw/zinc/64/36/40/1073643640.db2.gz QJIXIRRMEOAQSL-AWEZNQCLSA-N 0 0 442.604 -0.851 20 0 IBADRN CC(C)[C@@H](CN(C)C(=O)CCS(=O)(=O)N(C)C)NC(=O)CCS(=O)(=O)N(C)C ZINC000490375666 1073643664 /nfs/dbraw/zinc/64/36/64/1073643664.db2.gz QJIXIRRMEOAQSL-CQSZACIVSA-N 0 0 442.604 -0.851 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490376160 1073643670 /nfs/dbraw/zinc/64/36/70/1073643670.db2.gz GMBDWELNJQNYPV-HNNXBMFYSA-N 0 0 431.493 -0.025 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490376163 1073643735 /nfs/dbraw/zinc/64/37/35/1073643735.db2.gz GMBDWELNJQNYPV-OAHLLOKOSA-N 0 0 431.493 -0.025 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)c1 ZINC000490376340 1073643631 /nfs/dbraw/zinc/64/36/31/1073643631.db2.gz GPPMESDFVOMUDH-INIZCTEOSA-N 0 0 447.536 -0.021 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)c1 ZINC000490376342 1073643689 /nfs/dbraw/zinc/64/36/89/1073643689.db2.gz GPPMESDFVOMUDH-MRXNPFEDSA-N 0 0 447.536 -0.021 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)cc1 ZINC000490376740 1073643609 /nfs/dbraw/zinc/64/36/09/1073643609.db2.gz RLDYXDUESNYLHX-UHFFFAOYSA-N 0 0 428.449 -0.345 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)n(C)n1 ZINC000490377212 1073643573 /nfs/dbraw/zinc/64/35/73/1073643573.db2.gz RQVYYEURHDXKKZ-GOSISDBHSA-N 0 0 428.493 -0.082 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)n(C)n1 ZINC000490377230 1073643678 /nfs/dbraw/zinc/64/36/78/1073643678.db2.gz RQVYYEURHDXKKZ-SFHVURJKSA-N 0 0 428.493 -0.082 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000490377732 1073643651 /nfs/dbraw/zinc/64/36/51/1073643651.db2.gz XMZWXHAQGLAJLW-CYBMUJFWSA-N 0 0 441.441 -0.386 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000490377735 1073643718 /nfs/dbraw/zinc/64/37/18/1073643718.db2.gz XMZWXHAQGLAJLW-ZDUSSCGKSA-N 0 0 441.441 -0.386 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1C ZINC000490378338 1073643700 /nfs/dbraw/zinc/64/37/00/1073643700.db2.gz SCGRAWMPTUQUJX-INIZCTEOSA-N 0 0 445.520 -0.315 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1C ZINC000490378341 1073643591 /nfs/dbraw/zinc/64/35/91/1073643591.db2.gz SCGRAWMPTUQUJX-MRXNPFEDSA-N 0 0 445.520 -0.315 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)cc1 ZINC000490378397 1073643601 /nfs/dbraw/zinc/64/36/01/1073643601.db2.gz SVJASRNFYRSIAJ-UHFFFAOYSA-N 0 0 448.505 -0.497 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1)NC1CC1 ZINC000490379187 1073644509 /nfs/dbraw/zinc/64/45/09/1073644509.db2.gz UEFBZPNUPQNXFD-UHFFFAOYSA-N 0 0 445.524 -0.743 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)CC1 ZINC000490379414 1073643707 /nfs/dbraw/zinc/64/37/07/1073643707.db2.gz SRZHVLONEZYWAO-CYBMUJFWSA-N 0 0 435.456 -0.745 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)CC1 ZINC000490379416 1073643740 /nfs/dbraw/zinc/64/37/40/1073643740.db2.gz SRZHVLONEZYWAO-ZDUSSCGKSA-N 0 0 435.456 -0.745 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cn1)NC1CC1 ZINC000490379867 1073644380 /nfs/dbraw/zinc/64/43/80/1073644380.db2.gz URZMRTIKHDWPPF-UHFFFAOYSA-N 0 0 445.524 -0.743 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1 ZINC000490380749 1073644486 /nfs/dbraw/zinc/64/44/86/1073644486.db2.gz VINILGJCQDMIQD-INIZCTEOSA-N 0 0 441.492 -0.403 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1 ZINC000490380754 1073644447 /nfs/dbraw/zinc/64/44/47/1073644447.db2.gz VINILGJCQDMIQD-MRXNPFEDSA-N 0 0 441.492 -0.403 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c2cccnc12 ZINC000490381064 1073644470 /nfs/dbraw/zinc/64/44/70/1073644470.db2.gz UTHXTVJOGJSOMS-UHFFFAOYSA-N 0 0 438.462 -0.219 20 0 IBADRN Cn1ccnc1-c1csc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1 ZINC000490381546 1073644495 /nfs/dbraw/zinc/64/44/95/1073644495.db2.gz WHFSJURMENTVCU-UHFFFAOYSA-N 0 0 445.505 -0.225 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cn1)NC1CC1 ZINC000490382218 1073644268 /nfs/dbraw/zinc/64/42/68/1073644268.db2.gz WQNJTUHOSLXYMA-UHFFFAOYSA-N 0 0 434.478 -0.805 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000490382439 1073644517 /nfs/dbraw/zinc/64/45/17/1073644517.db2.gz XEMCHBMCNKGJIG-UHFFFAOYSA-N 0 0 447.540 -0.497 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000490382559 1073644284 /nfs/dbraw/zinc/64/42/84/1073644284.db2.gz WTUAFUHHHGFNEV-AWEZNQCLSA-N 0 0 440.526 -0.579 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000490382569 1073644525 /nfs/dbraw/zinc/64/45/25/1073644525.db2.gz WTUAFUHHHGFNEV-CQSZACIVSA-N 0 0 440.526 -0.579 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000490382968 1073644439 /nfs/dbraw/zinc/64/44/39/1073644439.db2.gz XJHJFHFQRTUSPU-HNNXBMFYSA-N 0 0 433.509 -0.330 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000490382969 1073644404 /nfs/dbraw/zinc/64/44/04/1073644404.db2.gz XJHJFHFQRTUSPU-OAHLLOKOSA-N 0 0 433.509 -0.330 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000490383001 1073644303 /nfs/dbraw/zinc/64/43/03/1073644303.db2.gz XIWPYQAUYBMWRL-UHFFFAOYSA-N 0 0 433.513 -0.839 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000490383906 1073645001 /nfs/dbraw/zinc/64/50/01/1073645001.db2.gz ZDVNFKBEOSVBCW-HNNXBMFYSA-N 0 0 431.493 -0.576 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000490383907 1073644797 /nfs/dbraw/zinc/64/47/97/1073644797.db2.gz ZDVNFKBEOSVBCW-OAHLLOKOSA-N 0 0 431.493 -0.576 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CN(C)C3)CC1 ZINC000490383985 1073644756 /nfs/dbraw/zinc/64/47/56/1073644756.db2.gz YPMGZLGUNPBAKK-INIZCTEOSA-N 0 0 429.521 -0.038 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CN(C)C3)CC1 ZINC000490383986 1073644823 /nfs/dbraw/zinc/64/48/23/1073644823.db2.gz YPMGZLGUNPBAKK-MRXNPFEDSA-N 0 0 429.521 -0.038 20 0 IBADRN Cn1ccnc1-c1csc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n1 ZINC000490384145 1073644747 /nfs/dbraw/zinc/64/47/47/1073644747.db2.gz ZJPAEPLLKRZXDS-UHFFFAOYSA-N 0 0 431.478 -0.569 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)CC1 ZINC000490384396 1073644360 /nfs/dbraw/zinc/64/43/60/1073644360.db2.gz NMENJRJCTMUETA-AWEZNQCLSA-N 0 0 447.492 -0.245 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)CC1 ZINC000490384400 1073644515 /nfs/dbraw/zinc/64/45/15/1073644515.db2.gz NMENJRJCTMUETA-CQSZACIVSA-N 0 0 447.492 -0.245 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)c2)CC1 ZINC000490385382 1073644855 /nfs/dbraw/zinc/64/48/55/1073644855.db2.gz JVVHAPWJWKOLAD-UHFFFAOYSA-N 0 0 438.444 -0.369 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000490385792 1073644984 /nfs/dbraw/zinc/64/49/84/1073644984.db2.gz RGMJAFSSOUQNAU-HNNXBMFYSA-N 0 0 431.493 -0.956 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000490385796 1073644735 /nfs/dbraw/zinc/64/47/35/1073644735.db2.gz RGMJAFSSOUQNAU-OAHLLOKOSA-N 0 0 431.493 -0.956 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CC1 ZINC000490385864 1073645017 /nfs/dbraw/zinc/64/50/17/1073645017.db2.gz RWKPJSWOFDKEMF-UHFFFAOYSA-N 0 0 449.489 -0.469 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1 ZINC000490385866 1073644817 /nfs/dbraw/zinc/64/48/17/1073644817.db2.gz RWTMWOWGEBTTOX-HNNXBMFYSA-N 0 0 444.496 -0.714 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1 ZINC000490385867 1073645059 /nfs/dbraw/zinc/64/50/59/1073645059.db2.gz RWTMWOWGEBTTOX-OAHLLOKOSA-N 0 0 444.496 -0.714 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490386061 1073644867 /nfs/dbraw/zinc/64/48/67/1073644867.db2.gz SEICWUYSIGPBEW-HNNXBMFYSA-N 0 0 432.477 -0.197 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490386062 1073645031 /nfs/dbraw/zinc/64/50/31/1073645031.db2.gz SEICWUYSIGPBEW-OAHLLOKOSA-N 0 0 432.477 -0.197 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000490387085 1073645670 /nfs/dbraw/zinc/64/56/70/1073645670.db2.gz WJSHBTXGHMXCKL-HNNXBMFYSA-N 0 0 433.509 -0.662 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000490387087 1073645677 /nfs/dbraw/zinc/64/56/77/1073645677.db2.gz WJSHBTXGHMXCKL-OAHLLOKOSA-N 0 0 433.509 -0.662 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000490387545 1073644918 /nfs/dbraw/zinc/64/49/18/1073644918.db2.gz XTVLGZRPRAEYRK-HNNXBMFYSA-N 0 0 432.477 -0.212 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000490387546 1073645687 /nfs/dbraw/zinc/64/56/87/1073645687.db2.gz XTVLGZRPRAEYRK-OAHLLOKOSA-N 0 0 432.477 -0.212 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000490388369 1073645635 /nfs/dbraw/zinc/64/56/35/1073645635.db2.gz WGMTWRYRLXLRHD-HNNXBMFYSA-N 0 0 444.496 -0.714 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000490388370 1073645628 /nfs/dbraw/zinc/64/56/28/1073645628.db2.gz WGMTWRYRLXLRHD-OAHLLOKOSA-N 0 0 444.496 -0.714 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3)CC1 ZINC000490388625 1073645558 /nfs/dbraw/zinc/64/55/58/1073645558.db2.gz XYUNUJKPRSILRN-AWEZNQCLSA-N 0 0 431.493 -0.195 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3)CC1 ZINC000490388626 1073645548 /nfs/dbraw/zinc/64/55/48/1073645548.db2.gz XYUNUJKPRSILRN-CQSZACIVSA-N 0 0 431.493 -0.195 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)cn1)NC1CC1 ZINC000490389477 1073645575 /nfs/dbraw/zinc/64/55/75/1073645575.db2.gz IPUOVBHZTUBSRY-UHFFFAOYSA-N 0 0 448.505 -0.664 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490390823 1073642438 /nfs/dbraw/zinc/64/24/38/1073642438.db2.gz WLEIKIJQYTUJNF-INIZCTEOSA-N 0 0 446.504 -0.268 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490390824 1073642411 /nfs/dbraw/zinc/64/24/11/1073642411.db2.gz WLEIKIJQYTUJNF-MRXNPFEDSA-N 0 0 446.504 -0.268 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1 ZINC000490391151 1073642424 /nfs/dbraw/zinc/64/24/24/1073642424.db2.gz ZUIRIOKQYOTJNR-INIZCTEOSA-N 0 0 441.492 -0.403 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1 ZINC000490391152 1073643029 /nfs/dbraw/zinc/64/30/29/1073643029.db2.gz ZUIRIOKQYOTJNR-MRXNPFEDSA-N 0 0 441.492 -0.403 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](CO)C1 ZINC000490391215 1073642977 /nfs/dbraw/zinc/64/29/77/1073642977.db2.gz ABZDOHWEBHKFDK-CYBMUJFWSA-N 0 0 427.479 -0.505 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](CO)C1 ZINC000490391227 1073642971 /nfs/dbraw/zinc/64/29/71/1073642971.db2.gz ABZDOHWEBHKFDK-ZDUSSCGKSA-N 0 0 427.479 -0.505 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n(C)n1 ZINC000490391655 1073642902 /nfs/dbraw/zinc/64/29/02/1073642902.db2.gz CILPXXXRBMKYQR-UHFFFAOYSA-N 0 0 436.494 -0.524 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)CC1 ZINC000490393051 1073642994 /nfs/dbraw/zinc/64/29/94/1073642994.db2.gz IWKKWYZHHYXGAE-AWEZNQCLSA-N 0 0 445.476 -0.539 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)CC1 ZINC000490393061 1073643089 /nfs/dbraw/zinc/64/30/89/1073643089.db2.gz IWKKWYZHHYXGAE-CQSZACIVSA-N 0 0 445.476 -0.539 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000490395703 1073643101 /nfs/dbraw/zinc/64/31/01/1073643101.db2.gz DXLKAUBESRPAJV-CYBMUJFWSA-N 0 0 447.535 -0.334 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000490395706 1073643012 /nfs/dbraw/zinc/64/30/12/1073643012.db2.gz DXLKAUBESRPAJV-ZDUSSCGKSA-N 0 0 447.535 -0.334 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000490398099 1073646896 /nfs/dbraw/zinc/64/68/96/1073646896.db2.gz RWNIXSGAFORELB-UHFFFAOYSA-N 0 0 433.513 -0.839 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cccc(N2CCOCC2)c1 ZINC000490401780 1073646821 /nfs/dbraw/zinc/64/68/21/1073646821.db2.gz OPOGZIGCTYWSHP-UHFFFAOYSA-N 0 0 426.539 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC000490403274 1073647500 /nfs/dbraw/zinc/64/75/00/1073647500.db2.gz OZNIYSYKHIAGAR-KRWDZBQOSA-N 0 0 432.502 -0.121 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H](Cc2ccccc2)C(N)=O)c1 ZINC000490403279 1073647481 /nfs/dbraw/zinc/64/74/81/1073647481.db2.gz OZNIYSYKHIAGAR-QGZVFWFLSA-N 0 0 432.502 -0.121 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)c2)CC1 ZINC000490403624 1073647581 /nfs/dbraw/zinc/64/75/81/1073647581.db2.gz BUCHFKJGBJRWKS-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(C)c2)CC1 ZINC000490404789 1073647560 /nfs/dbraw/zinc/64/75/60/1073647560.db2.gz DAHKBUJABYTGJV-HNNXBMFYSA-N 0 0 433.509 -0.282 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(C)c2)CC1 ZINC000490404790 1073647521 /nfs/dbraw/zinc/64/75/21/1073647521.db2.gz DAHKBUJABYTGJV-OAHLLOKOSA-N 0 0 433.509 -0.282 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1 ZINC000490405302 1073647509 /nfs/dbraw/zinc/64/75/09/1073647509.db2.gz FUIGTAMCEGJPHD-HNNXBMFYSA-N 0 0 444.496 -0.714 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1 ZINC000490405303 1073647529 /nfs/dbraw/zinc/64/75/29/1073647529.db2.gz FUIGTAMCEGJPHD-OAHLLOKOSA-N 0 0 444.496 -0.714 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000490405317 1073647568 /nfs/dbraw/zinc/64/75/68/1073647568.db2.gz FWQIQGAUWAIJTD-HNNXBMFYSA-N 0 0 444.496 -0.714 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000490405318 1073647573 /nfs/dbraw/zinc/64/75/73/1073647573.db2.gz FWQIQGAUWAIJTD-OAHLLOKOSA-N 0 0 444.496 -0.714 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)c1C ZINC000490405578 1073647494 /nfs/dbraw/zinc/64/74/94/1073647494.db2.gz FJBZQLLVJMZFLQ-INIZCTEOSA-N 0 0 447.536 -0.021 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)c1C ZINC000490405579 1073647424 /nfs/dbraw/zinc/64/74/24/1073647424.db2.gz FJBZQLLVJMZFLQ-MRXNPFEDSA-N 0 0 447.536 -0.021 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490406219 1073647564 /nfs/dbraw/zinc/64/75/64/1073647564.db2.gz FWIKGUBPNOQEBZ-HNNXBMFYSA-N 0 0 431.493 -0.624 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490406220 1073647465 /nfs/dbraw/zinc/64/74/65/1073647465.db2.gz FWIKGUBPNOQEBZ-OAHLLOKOSA-N 0 0 431.493 -0.624 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)ncn3C)CC1 ZINC000490406578 1073647536 /nfs/dbraw/zinc/64/75/36/1073647536.db2.gz CPXINLRSKMJONG-HNNXBMFYSA-N 0 0 428.493 -0.097 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)ncn3C)CC1 ZINC000490406579 1073647437 /nfs/dbraw/zinc/64/74/37/1073647437.db2.gz CPXINLRSKMJONG-OAHLLOKOSA-N 0 0 428.493 -0.097 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490406644 1073647394 /nfs/dbraw/zinc/64/73/94/1073647394.db2.gz CTUINFFBBMEEPY-INIZCTEOSA-N 0 0 445.520 -0.347 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000490406646 1073647590 /nfs/dbraw/zinc/64/75/90/1073647590.db2.gz CTUINFFBBMEEPY-MRXNPFEDSA-N 0 0 445.520 -0.347 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3F)c2)CC1 ZINC000490406964 1073645532 /nfs/dbraw/zinc/64/55/32/1073645532.db2.gz IECZTOCIUMAMEQ-UHFFFAOYSA-N 0 0 444.467 -0.047 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cn1)NC1CC1 ZINC000490406992 1073645657 /nfs/dbraw/zinc/64/56/57/1073645657.db2.gz IHQJWKQUAMRXNU-AWEZNQCLSA-N 0 0 445.432 -0.615 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cn1)NC1CC1 ZINC000490406995 1073645683 /nfs/dbraw/zinc/64/56/83/1073645683.db2.gz IHQJWKQUAMRXNU-CQSZACIVSA-N 0 0 445.432 -0.615 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000490407955 1073646151 /nfs/dbraw/zinc/64/61/51/1073646151.db2.gz LNLRIYHCMSDHTI-AWEZNQCLSA-N 0 0 445.476 -0.653 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000490407956 1073646180 /nfs/dbraw/zinc/64/61/80/1073646180.db2.gz LNLRIYHCMSDHTI-CQSZACIVSA-N 0 0 445.476 -0.653 20 0 IBADRN Cn1ccnc1-c1csc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)n1 ZINC000490408341 1073646234 /nfs/dbraw/zinc/64/62/34/1073646234.db2.gz MHBXIFQHKBOINS-UHFFFAOYSA-N 0 0 447.521 -0.515 20 0 IBADRN COC(=O)c1ccsc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000490408361 1073646240 /nfs/dbraw/zinc/64/62/40/1073646240.db2.gz MKJNYSOXWLFDPG-CYBMUJFWSA-N 0 0 438.506 -0.135 20 0 IBADRN COC(=O)c1ccsc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000490408363 1073646225 /nfs/dbraw/zinc/64/62/25/1073646225.db2.gz MKJNYSOXWLFDPG-ZDUSSCGKSA-N 0 0 438.506 -0.135 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2nc3c(s2)COCC3)CC1 ZINC000490408465 1073646115 /nfs/dbraw/zinc/64/61/15/1073646115.db2.gz MUFMSJZKOXBRAK-CYBMUJFWSA-N 0 0 437.522 -0.454 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2nc3c(s2)COCC3)CC1 ZINC000490408466 1073646258 /nfs/dbraw/zinc/64/62/58/1073646258.db2.gz MUFMSJZKOXBRAK-ZDUSSCGKSA-N 0 0 437.522 -0.454 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490408668 1073646141 /nfs/dbraw/zinc/64/61/41/1073646141.db2.gz MUHZBVRRQWDWGP-INIZCTEOSA-N 0 0 445.520 -0.001 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490408669 1073646254 /nfs/dbraw/zinc/64/62/54/1073646254.db2.gz MUHZBVRRQWDWGP-MRXNPFEDSA-N 0 0 445.520 -0.001 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(CNC(C)=O)cc2)CC1 ZINC000490408710 1073646209 /nfs/dbraw/zinc/64/62/09/1073646209.db2.gz NCNHXEZDCZTIRC-INIZCTEOSA-N 0 0 447.536 -0.053 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(CNC(C)=O)cc2)CC1 ZINC000490408715 1073646247 /nfs/dbraw/zinc/64/62/47/1073646247.db2.gz NCNHXEZDCZTIRC-MRXNPFEDSA-N 0 0 447.536 -0.053 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000490408742 1073646218 /nfs/dbraw/zinc/64/62/18/1073646218.db2.gz NHEYWUBGISQHRP-INIZCTEOSA-N 0 0 449.508 -0.563 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000490408744 1073646124 /nfs/dbraw/zinc/64/61/24/1073646124.db2.gz NHEYWUBGISQHRP-MRXNPFEDSA-N 0 0 449.508 -0.563 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)CC1 ZINC000490408818 1073646162 /nfs/dbraw/zinc/64/61/62/1073646162.db2.gz IZCJFZFBJJUTPH-CYBMUJFWSA-N 0 0 437.472 -0.452 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)CC1 ZINC000490408819 1073646202 /nfs/dbraw/zinc/64/62/02/1073646202.db2.gz IZCJFZFBJJUTPH-ZDUSSCGKSA-N 0 0 437.472 -0.452 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1 ZINC000490409112 1073646097 /nfs/dbraw/zinc/64/60/97/1073646097.db2.gz NZPFDDRVUPFKSQ-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1 ZINC000490410418 1073646073 /nfs/dbraw/zinc/64/60/73/1073646073.db2.gz PPLDAXBXQVWGHY-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1 ZINC000490410419 1073646173 /nfs/dbraw/zinc/64/61/73/1073646173.db2.gz PPLDAXBXQVWGHY-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)oc1C ZINC000490410856 1073646700 /nfs/dbraw/zinc/64/67/00/1073646700.db2.gz QJWOMCARWUIIIA-UHFFFAOYSA-N 0 0 441.492 -0.094 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000490412434 1073646772 /nfs/dbraw/zinc/64/67/72/1073646772.db2.gz OCGZKGHNSILYCS-UHFFFAOYSA-N 0 0 440.460 -0.660 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000490413494 1073646741 /nfs/dbraw/zinc/64/67/41/1073646741.db2.gz QDOPFVCGGKEUCC-UHFFFAOYSA-N 0 0 447.540 -0.451 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490414561 1073646781 /nfs/dbraw/zinc/64/67/81/1073646781.db2.gz YNSCBZZHNGLZKJ-HNNXBMFYSA-N 0 0 432.477 -0.197 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000490414921 1073646675 /nfs/dbraw/zinc/64/66/75/1073646675.db2.gz YNSCBZZHNGLZKJ-OAHLLOKOSA-N 0 0 432.477 -0.197 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)N(CC)CC ZINC000490415716 1073646753 /nfs/dbraw/zinc/64/67/53/1073646753.db2.gz AKAVIVZQFPISNW-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)ccc1C(N)=O ZINC000490416467 1073646875 /nfs/dbraw/zinc/64/68/75/1073646875.db2.gz WZRIWJJYTBZCQG-HNNXBMFYSA-N 0 0 431.493 -0.576 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)ccc1C(N)=O ZINC000490416468 1073646807 /nfs/dbraw/zinc/64/68/07/1073646807.db2.gz WZRIWJJYTBZCQG-OAHLLOKOSA-N 0 0 431.493 -0.576 20 0 IBADRN O=C1[C@H](NS(=O)(=O)N2CCS(=O)(=O)CC2)CN1c1ccc(Br)cc1 ZINC000490425678 1073646725 /nfs/dbraw/zinc/64/67/25/1073646725.db2.gz MGYFJCAEKDUZQK-GFCCVEGCSA-N 0 0 438.325 -0.271 20 0 IBADRN O=C1[C@@H](NS(=O)(=O)N2CCS(=O)(=O)CC2)CN1c1ccc(Br)cc1 ZINC000490425687 1073646794 /nfs/dbraw/zinc/64/67/94/1073646794.db2.gz MGYFJCAEKDUZQK-LBPRGKRZSA-N 0 0 438.325 -0.271 20 0 IBADRN CCN1CCN(CC(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C(=O)C1=O ZINC000490427531 1073646841 /nfs/dbraw/zinc/64/68/41/1073646841.db2.gz BJIBWZJGVJNMHT-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)c2)CC1 ZINC000490430940 1073648728 /nfs/dbraw/zinc/64/87/28/1073648728.db2.gz IGCDVMXHLGEPJQ-HNNXBMFYSA-N 0 0 436.494 -0.609 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)c2)CC1 ZINC000490430941 1073648629 /nfs/dbraw/zinc/64/86/29/1073648629.db2.gz IGCDVMXHLGEPJQ-OAHLLOKOSA-N 0 0 436.494 -0.609 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000490432995 1073648700 /nfs/dbraw/zinc/64/87/00/1073648700.db2.gz MXZAHCFKUMZHGD-HNNXBMFYSA-N 0 0 430.465 -0.149 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000490433004 1073648549 /nfs/dbraw/zinc/64/85/49/1073648549.db2.gz MXZAHCFKUMZHGD-OAHLLOKOSA-N 0 0 430.465 -0.149 20 0 IBADRN CN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCN(c2ccccc2F)C1=O ZINC000490434772 1073648768 /nfs/dbraw/zinc/64/87/68/1073648768.db2.gz QAQJUKZJXNMING-DOMZBBRYSA-N 0 0 426.470 -0.124 20 0 IBADRN CN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000490434774 1073648723 /nfs/dbraw/zinc/64/87/23/1073648723.db2.gz QAQJUKZJXNMING-IUODEOHRSA-N 0 0 426.470 -0.124 20 0 IBADRN CN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H]1CCN(c2ccccc2F)C1=O ZINC000490434776 1073648648 /nfs/dbraw/zinc/64/86/48/1073648648.db2.gz QAQJUKZJXNMING-SWLSCSKDSA-N 0 0 426.470 -0.124 20 0 IBADRN CN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)[C@H]1CCN(c2ccccc2F)C1=O ZINC000490434780 1073648773 /nfs/dbraw/zinc/64/87/73/1073648773.db2.gz QAQJUKZJXNMING-WFASDCNBSA-N 0 0 426.470 -0.124 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000490435116 1073648746 /nfs/dbraw/zinc/64/87/46/1073648746.db2.gz BMIGFDURIUJXGX-UHFFFAOYSA-N 0 0 435.506 -0.171 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC ZINC000490439896 1073648583 /nfs/dbraw/zinc/64/85/83/1073648583.db2.gz NEWLJDGXXIMEPH-GFCCVEGCSA-N 0 0 432.524 -1.000 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCC(=O)N1CCC[C@H]1C(=O)NC ZINC000490439906 1073648692 /nfs/dbraw/zinc/64/86/92/1073648692.db2.gz NEWLJDGXXIMEPH-LBPRGKRZSA-N 0 0 432.524 -1.000 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cccc(-n2ccnn2)c1)N1CCN(c2ncccn2)CC1 ZINC000490440059 1073648711 /nfs/dbraw/zinc/64/87/11/1073648711.db2.gz BWBLOSUYTGSSRL-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000490440921 1073648758 /nfs/dbraw/zinc/64/87/58/1073648758.db2.gz WPQOSCQFSOQPJC-UHFFFAOYSA-N 0 0 439.581 -0.017 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCCC[C@H]1C ZINC000490442028 1073648680 /nfs/dbraw/zinc/64/86/80/1073648680.db2.gz HMXIKWBEQMXVIP-NEPJUHHUSA-N 0 0 430.465 -0.524 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCCC[C@@H]1C ZINC000490442033 1073649423 /nfs/dbraw/zinc/64/94/23/1073649423.db2.gz HMXIKWBEQMXVIP-NWDGAFQWSA-N 0 0 430.465 -0.524 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCCC[C@@H]1C ZINC000490442034 1073649393 /nfs/dbraw/zinc/64/93/93/1073649393.db2.gz HMXIKWBEQMXVIP-RYUDHWBXSA-N 0 0 430.465 -0.524 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCCC[C@H]1C ZINC000490442037 1073649571 /nfs/dbraw/zinc/64/95/71/1073649571.db2.gz HMXIKWBEQMXVIP-VXGBXAGGSA-N 0 0 430.465 -0.524 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cnn(CC(F)F)c2)c1 ZINC000490443162 1073649508 /nfs/dbraw/zinc/64/95/08/1073649508.db2.gz UITYLVQNVXIMMB-UHFFFAOYSA-N 0 0 437.450 -0.027 20 0 IBADRN NC(=O)[C@@H](CNC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1)Cc1ccc(F)cc1 ZINC000490446820 1073649473 /nfs/dbraw/zinc/64/94/73/1073649473.db2.gz QREVXUJRUBYVIL-CYBMUJFWSA-N 0 0 430.440 -0.300 20 0 IBADRN NC(=O)[C@H](CNC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1)Cc1ccc(F)cc1 ZINC000490446822 1073649518 /nfs/dbraw/zinc/64/95/18/1073649518.db2.gz QREVXUJRUBYVIL-ZDUSSCGKSA-N 0 0 430.440 -0.300 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000490449955 1073649434 /nfs/dbraw/zinc/64/94/34/1073649434.db2.gz BELJSHUYUMWTDY-AWEZNQCLSA-N 0 0 437.884 -0.167 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000490449956 1073649356 /nfs/dbraw/zinc/64/93/56/1073649356.db2.gz BELJSHUYUMWTDY-CQSZACIVSA-N 0 0 437.884 -0.167 20 0 IBADRN O=C(CCNS(=O)(=O)c1cnn(CC(F)F)c1)N1CCN(c2ncccn2)CC1 ZINC000490450802 1073649551 /nfs/dbraw/zinc/64/95/51/1073649551.db2.gz DOJKSXYGYQWTGK-UHFFFAOYSA-N 0 0 429.453 -0.045 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N(CCN1CCOCC1)CC(=O)OC ZINC000490450870 1073647449 /nfs/dbraw/zinc/64/74/49/1073647449.db2.gz SYVDIBXPQOITKF-UHFFFAOYSA-N 0 0 449.551 -0.519 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@H](CO)C1 ZINC000490455200 1073648083 /nfs/dbraw/zinc/64/80/83/1073648083.db2.gz WGXAYFDTZODOFX-AWEZNQCLSA-N 0 0 440.522 -0.589 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@@H](CO)C1 ZINC000490455201 1073648076 /nfs/dbraw/zinc/64/80/76/1073648076.db2.gz WGXAYFDTZODOFX-CQSZACIVSA-N 0 0 440.522 -0.589 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000490455399 1073648013 /nfs/dbraw/zinc/64/80/13/1073648013.db2.gz VELQRPDCGFLUME-CYBMUJFWSA-N 0 0 426.499 -0.970 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000490455404 1073647851 /nfs/dbraw/zinc/64/78/51/1073647851.db2.gz VELQRPDCGFLUME-ZDUSSCGKSA-N 0 0 426.499 -0.970 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CN(c3ccc(Br)cc3)C2=O)c(=O)n(C)c1=O ZINC000490457608 1073647976 /nfs/dbraw/zinc/64/79/76/1073647976.db2.gz JTZFCMSIFAKTRA-LLVKDONJSA-N 0 0 443.279 -0.460 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CN(c3ccc(Br)cc3)C2=O)c(=O)n(C)c1=O ZINC000490457609 1073647939 /nfs/dbraw/zinc/64/79/39/1073647939.db2.gz JTZFCMSIFAKTRA-NSHDSACASA-N 0 0 443.279 -0.460 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)C(=O)Nc3ccc(OCC(N)=O)cc3)CC2)cn1 ZINC000490459876 1073647952 /nfs/dbraw/zinc/64/79/52/1073647952.db2.gz UDNSRYMSAWAPQI-UHFFFAOYSA-N 0 0 442.476 -0.109 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)C(=O)Nc3cccc(OCC(N)=O)c3)CC2)cn1 ZINC000490459908 1073647869 /nfs/dbraw/zinc/64/78/69/1073647869.db2.gz UKNUZUCFECOBAO-UHFFFAOYSA-N 0 0 442.476 -0.109 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)c2cccnc12 ZINC000490463724 1073647883 /nfs/dbraw/zinc/64/78/83/1073647883.db2.gz KCLDVMIHINNQOU-UHFFFAOYSA-N 0 0 428.401 -0.010 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)CC2)n1 ZINC000490465807 1073648056 /nfs/dbraw/zinc/64/80/56/1073648056.db2.gz WWSBQDRRQDNTDY-UHFFFAOYSA-N 0 0 444.496 -0.084 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000490466726 1073650056 /nfs/dbraw/zinc/65/00/56/1073650056.db2.gz ZDQJUMDTFQWUCY-UHFFFAOYSA-N 0 0 432.568 -0.184 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(F)c(F)c2)cn1)NC1CC1 ZINC000490466906 1073650788 /nfs/dbraw/zinc/65/07/88/1073650788.db2.gz AZABDJUTVHLJID-CYBMUJFWSA-N 0 0 437.403 -0.066 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2ccc(F)c(F)c2)cn1)NC1CC1 ZINC000490466907 1073650617 /nfs/dbraw/zinc/65/06/17/1073650617.db2.gz AZABDJUTVHLJID-ZDUSSCGKSA-N 0 0 437.403 -0.066 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000490467006 1073650935 /nfs/dbraw/zinc/65/09/35/1073650935.db2.gz BVVLINYNOBSEHE-AWEZNQCLSA-N 0 0 441.506 -0.115 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cccc(-n2ccnn2)c1 ZINC000490467269 1073650657 /nfs/dbraw/zinc/65/06/57/1073650657.db2.gz CUMQYEQPEMVOQR-INIZCTEOSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cccc(-n2ccnn2)c1 ZINC000490467270 1073650916 /nfs/dbraw/zinc/65/09/16/1073650916.db2.gz CUMQYEQPEMVOQR-MRXNPFEDSA-N 0 0 436.494 -0.190 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2)c1 ZINC000490467566 1073650018 /nfs/dbraw/zinc/65/00/18/1073650018.db2.gz BJCHUDPUUOTAIN-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](CO)C1 ZINC000490467787 1073650147 /nfs/dbraw/zinc/65/01/47/1073650147.db2.gz FYDGEJNPPUIOOK-AWEZNQCLSA-N 0 0 441.506 -0.115 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](CO)C1 ZINC000490467788 1073649970 /nfs/dbraw/zinc/64/99/70/1073649970.db2.gz FYDGEJNPPUIOOK-CQSZACIVSA-N 0 0 441.506 -0.115 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)CCO1 ZINC000490468706 1073650829 /nfs/dbraw/zinc/65/08/29/1073650829.db2.gz GYVKPAGBUCYILN-CYBMUJFWSA-N 0 0 440.884 -0.030 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)CCO1 ZINC000490468710 1073650925 /nfs/dbraw/zinc/65/09/25/1073650925.db2.gz GYVKPAGBUCYILN-ZDUSSCGKSA-N 0 0 440.884 -0.030 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000490471182 1073650746 /nfs/dbraw/zinc/65/07/46/1073650746.db2.gz RBHHHGMQJYRAMK-UHFFFAOYSA-N 0 0 442.542 -0.332 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)cn1)NC1CC1 ZINC000490471642 1073650591 /nfs/dbraw/zinc/65/05/91/1073650591.db2.gz QSQSMLRHSHZIHH-UHFFFAOYSA-N 0 0 440.460 -0.210 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000490471927 1073650840 /nfs/dbraw/zinc/65/08/40/1073650840.db2.gz RHHQYIARELHVIP-UHFFFAOYSA-N 0 0 428.515 -0.674 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000490473990 1073651447 /nfs/dbraw/zinc/65/14/47/1073651447.db2.gz ZCRMXYHCTRRKCM-UHFFFAOYSA-N 0 0 430.440 -0.286 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](CS(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000490477388 1073651515 /nfs/dbraw/zinc/65/15/15/1073651515.db2.gz DVTNSOZQTXQELX-APPDUMDISA-N 0 0 445.519 -0.195 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](CS(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000490477389 1073651534 /nfs/dbraw/zinc/65/15/34/1073651534.db2.gz DVTNSOZQTXQELX-DIFFPNOSSA-N 0 0 445.519 -0.195 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](CS(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000490477390 1073651467 /nfs/dbraw/zinc/65/14/67/1073651467.db2.gz DVTNSOZQTXQELX-GTNSWQLSSA-N 0 0 445.519 -0.195 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](CS(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000490477391 1073651497 /nfs/dbraw/zinc/65/14/97/1073651497.db2.gz DVTNSOZQTXQELX-PIGZYNQJSA-N 0 0 445.519 -0.195 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)N2CCOCC2)cc1 ZINC000490479207 1073649495 /nfs/dbraw/zinc/64/94/95/1073649495.db2.gz KEEIEULOQXZPKE-UHFFFAOYSA-N 0 0 442.538 -0.223 20 0 IBADRN Cc1cc(N2CCC(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2)nc(C)n1 ZINC000490480581 1073649448 /nfs/dbraw/zinc/64/94/48/1073649448.db2.gz OPGKHTWLAOQROP-UHFFFAOYSA-N 0 0 428.501 -0.397 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CC2)cc1 ZINC000490483888 1073649536 /nfs/dbraw/zinc/64/95/36/1073649536.db2.gz MFLKDUSKZDMNRK-UHFFFAOYSA-N 0 0 439.476 -0.452 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c2cccnc12 ZINC000490484014 1073649526 /nfs/dbraw/zinc/64/95/26/1073649526.db2.gz IRDDISGXYGNEBT-UHFFFAOYSA-N 0 0 443.416 -0.217 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCCC2=O)cc1 ZINC000490484019 1073649977 /nfs/dbraw/zinc/64/99/77/1073649977.db2.gz ISEUTNANSZBQTQ-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)ccc21 ZINC000490487781 1073650083 /nfs/dbraw/zinc/65/00/83/1073650083.db2.gz LHQSBMXOXWJAAM-UHFFFAOYSA-N 0 0 426.433 -0.392 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000490490122 1073651416 /nfs/dbraw/zinc/65/14/16/1073651416.db2.gz DHLCJXVTWLXEQD-UHFFFAOYSA-N 0 0 428.515 -0.676 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000490492628 1073651486 /nfs/dbraw/zinc/65/14/86/1073651486.db2.gz NTFNQUVBMLWPLD-UHFFFAOYSA-N 0 0 440.526 -0.626 20 0 IBADRN O=C(Nc1cccc(-n2ccnn2)c1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000490495263 1073652014 /nfs/dbraw/zinc/65/20/14/1073652014.db2.gz ZUQIWHCJBNKUKP-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CC2)n1 ZINC000490496370 1073652076 /nfs/dbraw/zinc/65/20/76/1073652076.db2.gz QVHGNNFELDLFBR-UHFFFAOYSA-N 0 0 432.510 -0.001 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cn1 ZINC000490498020 1073652108 /nfs/dbraw/zinc/65/21/08/1073652108.db2.gz HFZPMKARCKLZES-KDOFPFPSSA-N 0 0 437.526 -0.251 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000490499128 1073651969 /nfs/dbraw/zinc/65/19/69/1073651969.db2.gz INWOCAQYGNIGJZ-MDYRTPRTSA-N 0 0 432.525 -0.480 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000490499129 1073651920 /nfs/dbraw/zinc/65/19/20/1073651920.db2.gz INWOCAQYGNIGJZ-VPWXQRGCSA-N 0 0 432.525 -0.480 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CC2)n1 ZINC000490501813 1073651958 /nfs/dbraw/zinc/65/19/58/1073651958.db2.gz VBGFXFKUHGNTCK-UHFFFAOYSA-N 0 0 432.510 -0.137 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCOCC2)cc1 ZINC000490505146 1073652033 /nfs/dbraw/zinc/65/20/33/1073652033.db2.gz KNYGQCCSZNTSKR-UHFFFAOYSA-N 0 0 428.511 -0.612 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccn(-c2ncccc2C(F)(F)F)n1 ZINC000490506207 1073325069 /nfs/dbraw/zinc/32/50/69/1073325069.db2.gz AJMKDJDSKRGPRN-UHFFFAOYSA-N 0 0 425.327 -0.107 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c2cccnc12 ZINC000490507675 1073651942 /nfs/dbraw/zinc/65/19/42/1073651942.db2.gz NMRYWOGVIPEFJZ-UHFFFAOYSA-N 0 0 429.389 -0.607 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H](CO)c2ccc(Br)c(F)c2)c(=O)n(C)c1=O ZINC000490507928 1073652646 /nfs/dbraw/zinc/65/26/46/1073652646.db2.gz QANXQMLTPGYICR-LLVKDONJSA-N 0 0 436.259 -0.003 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H](CO)c2ccc(Br)c(F)c2)c(=O)n(C)c1=O ZINC000490507929 1073652697 /nfs/dbraw/zinc/65/26/97/1073652697.db2.gz QANXQMLTPGYICR-NSHDSACASA-N 0 0 436.259 -0.003 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(c4cc(C5CC5)[nH]n4)CC3)c2c(=O)n(C)c1=O ZINC000490512846 1073651407 /nfs/dbraw/zinc/65/14/07/1073651407.db2.gz NFKIARGVQIZRDV-UHFFFAOYSA-N 0 0 426.481 -0.227 20 0 IBADRN CCCN(CC(N)=O)S(=O)(=O)c1ccc(S(=O)(=O)N(CCC)CC(N)=O)cc1 ZINC000490515491 1073652779 /nfs/dbraw/zinc/65/27/79/1073652779.db2.gz WKRYHTWOMBVEDH-UHFFFAOYSA-N 0 0 434.540 -0.541 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CCO1 ZINC000490516341 1073652825 /nfs/dbraw/zinc/65/28/25/1073652825.db2.gz GMJAISCNFYTSQF-AWEZNQCLSA-N 0 0 436.446 -0.385 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CCO1 ZINC000490516342 1073652790 /nfs/dbraw/zinc/65/27/90/1073652790.db2.gz GMJAISCNFYTSQF-CQSZACIVSA-N 0 0 436.446 -0.385 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000490516414 1073652758 /nfs/dbraw/zinc/65/27/58/1073652758.db2.gz HEMXXZFRRKXTIG-UHFFFAOYSA-N 0 0 442.524 -0.042 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCOCC2)ccc1S(=O)(=O)N1CCCC1 ZINC000490516829 1073652711 /nfs/dbraw/zinc/65/27/11/1073652711.db2.gz KZBKRQVAXBHPMB-UHFFFAOYSA-N 0 0 440.522 -0.133 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)CC2)cn1 ZINC000490517664 1073652843 /nfs/dbraw/zinc/65/28/43/1073652843.db2.gz SUXPGEDSFHNHQX-UHFFFAOYSA-N 0 0 442.524 -0.042 20 0 IBADRN Cn1ccnc1-c1csc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n1 ZINC000490519218 1073652683 /nfs/dbraw/zinc/65/26/83/1073652683.db2.gz GGAINTDEAQGLMU-UHFFFAOYSA-N 0 0 444.564 -0.023 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cn1)NC1CCCC1 ZINC000490523020 1073652815 /nfs/dbraw/zinc/65/28/15/1073652815.db2.gz WVUVVKNMOBJHIR-UHFFFAOYSA-N 0 0 428.497 -0.052 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000490526770 1073652634 /nfs/dbraw/zinc/65/26/34/1073652634.db2.gz JOQMNJZBQBXBOB-HNNXBMFYSA-N 0 0 432.477 -0.012 20 0 IBADRN CC(C)N1C[C@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000490526771 1073652808 /nfs/dbraw/zinc/65/28/08/1073652808.db2.gz JOQMNJZBQBXBOB-OAHLLOKOSA-N 0 0 432.477 -0.012 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)OC ZINC000490530017 1073652614 /nfs/dbraw/zinc/65/26/14/1073652614.db2.gz AQQRCWRGBROJQN-LLVKDONJSA-N 0 0 434.414 -0.007 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)OC ZINC000490530018 1073652800 /nfs/dbraw/zinc/65/28/00/1073652800.db2.gz AQQRCWRGBROJQN-NSHDSACASA-N 0 0 434.414 -0.007 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)OC ZINC000490531274 1073652866 /nfs/dbraw/zinc/65/28/66/1073652866.db2.gz LYWPZTSXZDEICQ-AWEZNQCLSA-N 0 0 436.508 -0.518 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)OC ZINC000490531275 1073652669 /nfs/dbraw/zinc/65/26/69/1073652669.db2.gz LYWPZTSXZDEICQ-CQSZACIVSA-N 0 0 436.508 -0.518 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)ccc21 ZINC000490532945 1073653553 /nfs/dbraw/zinc/65/35/53/1073653553.db2.gz BJEISBAZZOPDLT-UHFFFAOYSA-N 0 0 440.460 -0.002 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)Nc2n[nH]c(SCCO)n2)c1 ZINC000490543165 1073653416 /nfs/dbraw/zinc/65/34/16/1073653416.db2.gz LLTPGUVPAKTQAX-UHFFFAOYSA-N 0 0 428.496 -0.492 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)Nc2n[nH]c(SCCO)n2)c1 ZINC000490547413 1073653435 /nfs/dbraw/zinc/65/34/35/1073653435.db2.gz SISXDOXHZZXVSE-UHFFFAOYSA-N 0 0 430.512 -0.280 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000490549169 1073653481 /nfs/dbraw/zinc/65/34/81/1073653481.db2.gz XSTXVSGGKDGUFY-CYBMUJFWSA-N 0 0 436.581 -0.597 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000490549170 1073653402 /nfs/dbraw/zinc/65/34/02/1073653402.db2.gz XSTXVSGGKDGUFY-ZDUSSCGKSA-N 0 0 436.581 -0.597 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000490554060 1073653572 /nfs/dbraw/zinc/65/35/72/1073653572.db2.gz FCWMXUPFVZBHCH-UHFFFAOYSA-N 0 0 434.493 -0.167 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000490560772 1073654291 /nfs/dbraw/zinc/65/42/91/1073654291.db2.gz ATBGJQGJWCKAHG-UHFFFAOYSA-N 0 0 432.521 -0.059 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)C2=O ZINC000490560801 1073654260 /nfs/dbraw/zinc/65/42/60/1073654260.db2.gz AWFHARVKMDXMIB-NRFANRHFSA-N 0 0 433.509 -0.173 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)C2=O ZINC000490560808 1073654191 /nfs/dbraw/zinc/65/41/91/1073654191.db2.gz AWFHARVKMDXMIB-OAQYLSRUSA-N 0 0 433.509 -0.173 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)ccc21 ZINC000490560831 1073654090 /nfs/dbraw/zinc/65/40/90/1073654090.db2.gz WGOKZYCKZWCIMI-UHFFFAOYSA-N 0 0 426.433 -0.392 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000490560956 1073654224 /nfs/dbraw/zinc/65/42/24/1073654224.db2.gz WYTBBOKSEXTZEY-HNNXBMFYSA-N 0 0 438.506 -0.229 20 0 IBADRN CC(C)N1C[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000490560958 1073654049 /nfs/dbraw/zinc/65/40/49/1073654049.db2.gz WYTBBOKSEXTZEY-OAHLLOKOSA-N 0 0 438.506 -0.229 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)cc2)CC1 ZINC000490563236 1073654205 /nfs/dbraw/zinc/65/42/05/1073654205.db2.gz BFYOUIPDEOXHLN-UHFFFAOYSA-N 0 0 431.537 -0.072 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000490564297 1073654095 /nfs/dbraw/zinc/65/40/95/1073654095.db2.gz CLAIGWLKXJSZEY-UHFFFAOYSA-N 0 0 438.550 -0.225 20 0 IBADRN O=C(CN(CCO)CCOCC(F)(F)F)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000490565383 1073654079 /nfs/dbraw/zinc/65/40/79/1073654079.db2.gz IICFLEWKIRJPIF-AWEZNQCLSA-N 0 0 431.477 -0.809 20 0 IBADRN O=C(CN(CCO)CCOCC(F)(F)F)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000490565391 1073654165 /nfs/dbraw/zinc/65/41/65/1073654165.db2.gz IICFLEWKIRJPIF-CQSZACIVSA-N 0 0 431.477 -0.809 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)cc2)CC1 ZINC000490571280 1073654269 /nfs/dbraw/zinc/65/42/69/1073654269.db2.gz OWGRQOJROAGYAY-UHFFFAOYSA-N 0 0 445.564 -0.143 20 0 IBADRN CC(C)N1C[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1=O ZINC000490577204 1073654252 /nfs/dbraw/zinc/65/42/52/1073654252.db2.gz FLIZWDRGXAXVFV-GOSISDBHSA-N 0 0 445.520 -0.187 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1=O ZINC000490577206 1073654237 /nfs/dbraw/zinc/65/42/37/1073654237.db2.gz FLIZWDRGXAXVFV-SFHVURJKSA-N 0 0 445.520 -0.187 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CC(C)(C)O)CC1 ZINC000490581385 1073654828 /nfs/dbraw/zinc/65/48/28/1073654828.db2.gz QZIYVIQZKKANHL-UHFFFAOYSA-N 0 0 442.538 -0.201 20 0 IBADRN CC(C)N1C[C@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000490581617 1073655011 /nfs/dbraw/zinc/65/50/11/1073655011.db2.gz RLRNPMPHXNFLMQ-CRAIPNDOSA-N 0 0 444.488 -0.235 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000490581628 1073654872 /nfs/dbraw/zinc/65/48/72/1073654872.db2.gz RLRNPMPHXNFLMQ-MAUKXSAKSA-N 0 0 444.488 -0.235 20 0 IBADRN CC(C)N1C[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000490581630 1073654967 /nfs/dbraw/zinc/65/49/67/1073654967.db2.gz RLRNPMPHXNFLMQ-QAPCUYQASA-N 0 0 444.488 -0.235 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000490581631 1073654859 /nfs/dbraw/zinc/65/48/59/1073654859.db2.gz RLRNPMPHXNFLMQ-YJBOKZPZSA-N 0 0 444.488 -0.235 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CC1 ZINC000490584228 1073654890 /nfs/dbraw/zinc/65/48/90/1073654890.db2.gz WMMKEPRACFYQGV-UHFFFAOYSA-N 0 0 438.550 -0.142 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)Nc1n[nH]c(SCCO)n1 ZINC000490585510 1073654976 /nfs/dbraw/zinc/65/49/76/1073654976.db2.gz KFJBPFKNUNFMTC-UHFFFAOYSA-N 0 0 429.480 -0.033 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2)cc1 ZINC000490592813 1073654997 /nfs/dbraw/zinc/65/49/97/1073654997.db2.gz LHYTUVFENUOUHB-AWEZNQCLSA-N 0 0 426.495 -0.325 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2)cc1 ZINC000490592820 1073654779 /nfs/dbraw/zinc/65/47/79/1073654779.db2.gz LHYTUVFENUOUHB-CQSZACIVSA-N 0 0 426.495 -0.325 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000490593828 1073654909 /nfs/dbraw/zinc/65/49/09/1073654909.db2.gz OLNJICIYKZVWMD-UHFFFAOYSA-N 0 0 434.493 -0.628 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)c1 ZINC000490599257 1073654799 /nfs/dbraw/zinc/65/47/99/1073654799.db2.gz DDPKPGYKNGVECM-UHFFFAOYSA-N 0 0 430.502 -0.070 20 0 IBADRN Cn1nnc(CNC(=O)CC(CC(=O)NCc2nnn(C)n2)c2ccc(Cl)cc2)n1 ZINC000490602850 1073655003 /nfs/dbraw/zinc/65/50/03/1073655003.db2.gz PDMYTXCSGQWJSA-UHFFFAOYSA-N 0 0 432.876 -0.116 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCO[C@H](C(=O)OC)C2)cc1 ZINC000490604447 1073654947 /nfs/dbraw/zinc/65/49/47/1073654947.db2.gz DEVXMXXIFFLTFU-INIZCTEOSA-N 0 0 441.506 -0.153 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCO[C@@H](C(=O)OC)C2)cc1 ZINC000490604456 1073654993 /nfs/dbraw/zinc/65/49/93/1073654993.db2.gz DEVXMXXIFFLTFU-MRXNPFEDSA-N 0 0 441.506 -0.153 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCO[C@H](C(=O)OC)C2)c1 ZINC000490605868 1073655431 /nfs/dbraw/zinc/65/54/31/1073655431.db2.gz IRAOKXYYRYVYND-INIZCTEOSA-N 0 0 441.506 -0.153 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCO[C@@H](C(=O)OC)C2)c1 ZINC000490605870 1073655539 /nfs/dbraw/zinc/65/55/39/1073655539.db2.gz IRAOKXYYRYVYND-MRXNPFEDSA-N 0 0 441.506 -0.153 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(S(C)(=O)=O)c3ccccc3C2)CC1 ZINC000490607728 1073655495 /nfs/dbraw/zinc/65/54/95/1073655495.db2.gz QICSURBRZKZYTE-UHFFFAOYSA-N 0 0 437.566 -0.499 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@H](O)C1CC1 ZINC000490608197 1073655484 /nfs/dbraw/zinc/65/54/84/1073655484.db2.gz REHPWQXMBZGDLX-INIZCTEOSA-N 0 0 440.522 -0.543 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@H](O)C1CC1 ZINC000490608204 1073655491 /nfs/dbraw/zinc/65/54/91/1073655491.db2.gz REHPWQXMBZGDLX-MRXNPFEDSA-N 0 0 440.522 -0.543 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1)C1CCCCC1 ZINC000490609069 1073655545 /nfs/dbraw/zinc/65/55/45/1073655545.db2.gz PPYMYRFWLCPXRB-UHFFFAOYSA-N 0 0 426.543 -0.534 20 0 IBADRN Cn1c2ncn(CCN3CCN(S(C)(=O)=O)c4ccccc4C3)c2c(=O)n(C)c1=O ZINC000490609479 1073655447 /nfs/dbraw/zinc/65/54/47/1073655447.db2.gz VCISFWDRXINDJS-UHFFFAOYSA-N 0 0 432.506 -0.285 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@H](C(=O)OC)C3)s2)CCO1 ZINC000490612026 1073655409 /nfs/dbraw/zinc/65/54/09/1073655409.db2.gz ZVUMMBYFOLQGPU-RYUDHWBXSA-N 0 0 426.447 -0.224 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@H](C(=O)OC)C3)s2)CCO1 ZINC000490612051 1073655534 /nfs/dbraw/zinc/65/55/34/1073655534.db2.gz ZVUMMBYFOLQGPU-TXEJJXNPSA-N 0 0 426.447 -0.224 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@@H](C(=O)OC)C3)s2)CCO1 ZINC000490612054 1073655568 /nfs/dbraw/zinc/65/55/68/1073655568.db2.gz ZVUMMBYFOLQGPU-VXGBXAGGSA-N 0 0 426.447 -0.224 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)Cc2ccccc21 ZINC000490613798 1073655467 /nfs/dbraw/zinc/65/54/67/1073655467.db2.gz ZJTTXMBZYHPLAO-AWEZNQCLSA-N 0 0 444.535 -0.719 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)Cc2ccccc21 ZINC000490613807 1073655508 /nfs/dbraw/zinc/65/55/08/1073655508.db2.gz ZJTTXMBZYHPLAO-CQSZACIVSA-N 0 0 444.535 -0.719 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C(=O)CN(C)C1=O ZINC000490615325 1073655554 /nfs/dbraw/zinc/65/55/54/1073655554.db2.gz PHHOERJUFVCQTR-UHFFFAOYSA-N 0 0 439.494 -0.028 20 0 IBADRN Cn1nnc(CNC(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)n1 ZINC000490616804 1073655518 /nfs/dbraw/zinc/65/55/18/1073655518.db2.gz GQHPHKAODWVSIO-UHFFFAOYSA-N 0 0 431.272 -0.358 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cccc(N4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000490621914 1073655527 /nfs/dbraw/zinc/65/55/27/1073655527.db2.gz LRKOJLUYXYKDGM-UHFFFAOYSA-N 0 0 426.477 -0.023 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000490628026 1073656857 /nfs/dbraw/zinc/65/68/57/1073656857.db2.gz RKXWWHCCQMGAPK-UHFFFAOYSA-N 0 0 447.536 -0.189 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000490647099 1073656851 /nfs/dbraw/zinc/65/68/51/1073656851.db2.gz DCZSBBAOTKCNHH-HNNXBMFYSA-N 0 0 438.506 -0.229 20 0 IBADRN CC(C)N1C[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000490647100 1073656788 /nfs/dbraw/zinc/65/67/88/1073656788.db2.gz DCZSBBAOTKCNHH-OAHLLOKOSA-N 0 0 438.506 -0.229 20 0 IBADRN CN(CCCNC(=O)CN1CCN(S(C)(=O)=O)c2ccccc2C1)S(C)(=O)=O ZINC000490647884 1073656808 /nfs/dbraw/zinc/65/68/08/1073656808.db2.gz JKOWVVQDWKSYCZ-UHFFFAOYSA-N 0 0 432.568 -0.334 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000490656117 1073657585 /nfs/dbraw/zinc/65/75/85/1073657585.db2.gz JZSLOJHDNFRJHB-UHFFFAOYSA-N 0 0 427.523 -0.040 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000490668202 1073657516 /nfs/dbraw/zinc/65/75/16/1073657516.db2.gz GCRHIJMYOHWLNF-GFCCVEGCSA-N 0 0 435.506 -0.481 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000490668203 1073657624 /nfs/dbraw/zinc/65/76/24/1073657624.db2.gz GCRHIJMYOHWLNF-LBPRGKRZSA-N 0 0 435.506 -0.481 20 0 IBADRN O=C(CN1CC(=O)N(Cc2ccccc2)C1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000490676327 1073369734 /nfs/dbraw/zinc/36/97/34/1073369734.db2.gz RDBJQNTVRXTCDK-UHFFFAOYSA-N 0 0 429.521 -0.709 20 0 IBADRN Cn1nnc(CNC(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)n1 ZINC000490684461 1073657549 /nfs/dbraw/zinc/65/75/49/1073657549.db2.gz XVMLDJPPZKKWPM-UHFFFAOYSA-N 0 0 433.450 -0.585 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000490684987 1073657554 /nfs/dbraw/zinc/65/75/54/1073657554.db2.gz RVQWUJBKOJQTCS-KRWDZBQOSA-N 0 0 447.540 -0.206 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000490685001 1073657542 /nfs/dbraw/zinc/65/75/42/1073657542.db2.gz RVQWUJBKOJQTCS-QGZVFWFLSA-N 0 0 447.540 -0.206 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000490691753 1073658255 /nfs/dbraw/zinc/65/82/55/1073658255.db2.gz QKVXDWSARCZONH-UHFFFAOYSA-N 0 0 448.520 -0.222 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000490696571 1073658155 /nfs/dbraw/zinc/65/81/55/1073658155.db2.gz TUHDUSMPABJUFK-UHFFFAOYSA-N 0 0 447.521 -0.338 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@H](O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000490706183 1073658109 /nfs/dbraw/zinc/65/81/09/1073658109.db2.gz AYVVXLNSMRTBMX-HNNXBMFYSA-N 0 0 441.506 -0.068 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@H](O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000490706184 1073658921 /nfs/dbraw/zinc/65/89/21/1073658921.db2.gz AYVVXLNSMRTBMX-OAHLLOKOSA-N 0 0 441.506 -0.068 20 0 IBADRN O=C(CCNC(=O)N1CCN(CC(F)(F)F)C(=O)C1)N1CCN(c2ncccn2)CC1 ZINC000490709855 1073658889 /nfs/dbraw/zinc/65/88/89/1073658889.db2.gz LKLARHUIVNREIC-UHFFFAOYSA-N 0 0 443.430 -0.069 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000490713771 1073658039 /nfs/dbraw/zinc/65/80/39/1073658039.db2.gz SHRKVPJCWVJIAJ-AWEZNQCLSA-N 0 0 427.479 -0.458 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000490713774 1073658062 /nfs/dbraw/zinc/65/80/62/1073658062.db2.gz SHRKVPJCWVJIAJ-CQSZACIVSA-N 0 0 427.479 -0.458 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](O)C1CC1 ZINC000490716387 1073658904 /nfs/dbraw/zinc/65/89/04/1073658904.db2.gz BCCPPBQYPRDIKG-HNNXBMFYSA-N 0 0 427.479 -0.458 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](O)C1CC1 ZINC000490716389 1073658781 /nfs/dbraw/zinc/65/87/81/1073658781.db2.gz BCCPPBQYPRDIKG-OAHLLOKOSA-N 0 0 427.479 -0.458 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000490717697 1073658770 /nfs/dbraw/zinc/65/87/70/1073658770.db2.gz DOORNCWWNQLJRH-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000490717700 1073658751 /nfs/dbraw/zinc/65/87/51/1073658751.db2.gz DOORNCWWNQLJRH-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](O)C1CC1 ZINC000490722752 1073658718 /nfs/dbraw/zinc/65/87/18/1073658718.db2.gz LIJZSBKFTPYGMQ-INIZCTEOSA-N 0 0 441.506 -0.068 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](O)C1CC1 ZINC000490722754 1073659531 /nfs/dbraw/zinc/65/95/31/1073659531.db2.gz LIJZSBKFTPYGMQ-MRXNPFEDSA-N 0 0 441.506 -0.068 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NCC)CC1 ZINC000490724101 1073659613 /nfs/dbraw/zinc/65/96/13/1073659613.db2.gz ODEHKCQPKGMBLD-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN CCN(CCCNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)S(C)(=O)=O ZINC000490724824 1073659628 /nfs/dbraw/zinc/65/96/28/1073659628.db2.gz YOOQXKCMHXZSBD-UHFFFAOYSA-N 0 0 434.496 -0.970 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000490726305 1073659468 /nfs/dbraw/zinc/65/94/68/1073659468.db2.gz VMTXTLNDVCXIIG-UHFFFAOYSA-N 0 0 443.501 -0.705 20 0 IBADRN O=S(=O)(c1cnn(CC(F)F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000490728392 1073659642 /nfs/dbraw/zinc/65/96/42/1073659642.db2.gz IJCCJGJKDYWOKX-UHFFFAOYSA-N 0 0 429.471 -0.969 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCc2ccco2)cc1 ZINC000490730016 1073659452 /nfs/dbraw/zinc/65/94/52/1073659452.db2.gz MDVYCMIHLFLQSK-UHFFFAOYSA-N 0 0 438.462 -0.425 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000490731007 1073659594 /nfs/dbraw/zinc/65/95/94/1073659594.db2.gz OGBNQGINGNNENT-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)c1 ZINC000490732401 1073658740 /nfs/dbraw/zinc/65/87/40/1073658740.db2.gz QOSAEXZCHYUCNM-UHFFFAOYSA-N 0 0 428.364 -0.191 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)cc1 ZINC000490736002 1073658874 /nfs/dbraw/zinc/65/88/74/1073658874.db2.gz VZXJIRYTPQCQHA-UHFFFAOYSA-N 0 0 440.400 -0.656 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(=O)(=O)CC)cc2)CC1 ZINC000490736462 1073659731 /nfs/dbraw/zinc/65/97/31/1073659731.db2.gz XCQJCCUUGYJRIN-UHFFFAOYSA-N 0 0 425.511 -0.333 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000490737000 1073659571 /nfs/dbraw/zinc/65/95/71/1073659571.db2.gz XZZVVNWIUVFZIO-UHFFFAOYSA-N 0 0 436.487 -0.882 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(C)C)CC2)cc1 ZINC000490737361 1073659684 /nfs/dbraw/zinc/65/96/84/1073659684.db2.gz YTSZTZOVXVPRDE-UHFFFAOYSA-N 0 0 440.522 -0.123 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000490737531 1073659662 /nfs/dbraw/zinc/65/96/62/1073659662.db2.gz ZJZGVTMJNBFEOQ-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CC3)CC1 ZINC000490738288 1073660261 /nfs/dbraw/zinc/66/02/61/1073660261.db2.gz CQEVJVFIOICQLG-UHFFFAOYSA-N 0 0 429.477 -0.487 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000490738448 1073660091 /nfs/dbraw/zinc/66/00/91/1073660091.db2.gz DVWSJVZMCSTPMJ-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN Cc1ccc(-c2nnco2)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000490738469 1073660202 /nfs/dbraw/zinc/66/02/02/1073660202.db2.gz DYFHDTKMPIHWBS-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000490741181 1073660214 /nfs/dbraw/zinc/66/02/14/1073660214.db2.gz JZNMTIZLRMRNRX-UHFFFAOYSA-N 0 0 432.524 -0.119 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCc2cccc(C(N)=O)c2)cc1 ZINC000490742541 1073660230 /nfs/dbraw/zinc/66/02/30/1073660230.db2.gz LSHBRDAATLOAPI-UHFFFAOYSA-N 0 0 434.474 -0.035 20 0 IBADRN CCN(CCCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)S(C)(=O)=O ZINC000490743474 1073660278 /nfs/dbraw/zinc/66/02/78/1073660278.db2.gz DHXDUHVUEUUHME-UHFFFAOYSA-N 0 0 448.567 -0.428 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000490743606 1073660307 /nfs/dbraw/zinc/66/03/07/1073660307.db2.gz NLBPCRNSDNFKLV-UHFFFAOYSA-N 0 0 432.524 -0.119 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000490749847 1073660102 /nfs/dbraw/zinc/66/01/02/1073660102.db2.gz DUHHQXANMMTWPX-UHFFFAOYSA-N 0 0 428.497 -0.033 20 0 IBADRN O=C(Nc1ccc2c(c1)NC(=O)CC2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000490750173 1073660317 /nfs/dbraw/zinc/66/03/17/1073660317.db2.gz GTPQOVXNLNICGC-UHFFFAOYSA-N 0 0 427.461 -0.197 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000490751632 1073660270 /nfs/dbraw/zinc/66/02/70/1073660270.db2.gz NWWPGLHZFNNZBL-CYBMUJFWSA-N 0 0 446.551 -0.371 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000490751635 1073660291 /nfs/dbraw/zinc/66/02/91/1073660291.db2.gz NWWPGLHZFNNZBL-ZDUSSCGKSA-N 0 0 446.551 -0.371 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000490751700 1073660249 /nfs/dbraw/zinc/66/02/49/1073660249.db2.gz OHFJUPYHRUVLRW-UHFFFAOYSA-N 0 0 445.476 -0.828 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000490752112 1073660297 /nfs/dbraw/zinc/66/02/97/1073660297.db2.gz SBHSXZZCHMTACN-UHFFFAOYSA-N 0 0 439.538 -0.490 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCc2ccc(C(N)=O)cc2)cc1 ZINC000490752396 1073660342 /nfs/dbraw/zinc/66/03/42/1073660342.db2.gz UBPWBIJHFISGAT-UHFFFAOYSA-N 0 0 434.474 -0.035 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)CC2)CC1 ZINC000490753768 1073660331 /nfs/dbraw/zinc/66/03/31/1073660331.db2.gz WFJWKLKBLJUHGJ-UHFFFAOYSA-N 0 0 445.520 -0.108 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000490756919 1073659482 /nfs/dbraw/zinc/65/94/82/1073659482.db2.gz IUDSNIYBPQSHEL-UHFFFAOYSA-N 0 0 448.505 -0.311 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C ZINC000490760803 1073659538 /nfs/dbraw/zinc/65/95/38/1073659538.db2.gz QZTPOOYAMVCLNT-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cc1 ZINC000490762411 1073661356 /nfs/dbraw/zinc/66/13/56/1073661356.db2.gz UIEDDGXLEHLREG-UHFFFAOYSA-N 0 0 434.474 -0.026 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C1 ZINC000490764346 1073660902 /nfs/dbraw/zinc/66/09/02/1073660902.db2.gz XZWSFLPPLABHBO-CYBMUJFWSA-N 0 0 426.495 -0.333 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C1 ZINC000490764347 1073660911 /nfs/dbraw/zinc/66/09/11/1073660911.db2.gz XZWSFLPPLABHBO-ZDUSSCGKSA-N 0 0 426.495 -0.333 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)CC)CC1 ZINC000490764506 1073660806 /nfs/dbraw/zinc/66/08/06/1073660806.db2.gz YKHJWJQEKKKJQT-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000490765868 1073660758 /nfs/dbraw/zinc/66/07/58/1073660758.db2.gz ATLFWTRFCWFRRI-UHFFFAOYSA-N 0 0 433.465 -0.925 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCNS(C)(=O)=O)ccc1S(=O)(=O)N1CCCC1 ZINC000490768068 1073660874 /nfs/dbraw/zinc/66/08/74/1073660874.db2.gz HYKWSOYZALLUBX-UHFFFAOYSA-N 0 0 448.523 -0.916 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)Cc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000490768629 1073660844 /nfs/dbraw/zinc/66/08/44/1073660844.db2.gz LIOAHLADXBYAAE-AWEZNQCLSA-N 0 0 445.563 -0.069 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)Cc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000490768633 1073660915 /nfs/dbraw/zinc/66/09/15/1073660915.db2.gz LIOAHLADXBYAAE-CQSZACIVSA-N 0 0 445.563 -0.069 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCCCC2)cc1 ZINC000490771536 1073660796 /nfs/dbraw/zinc/66/07/96/1073660796.db2.gz OZCOCYZNOOIAEJ-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000490773368 1073660890 /nfs/dbraw/zinc/66/08/90/1073660890.db2.gz SJYSPMHHTKXYDW-UHFFFAOYSA-N 0 0 442.480 -0.506 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000490774492 1073661402 /nfs/dbraw/zinc/66/14/02/1073661402.db2.gz VDJQEBBAOVJQOQ-UHFFFAOYSA-N 0 0 447.492 -0.469 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)NCCNS(C)(=O)=O)ccn1 ZINC000490774791 1073661537 /nfs/dbraw/zinc/66/15/37/1073661537.db2.gz VVXVOVSPBLBEIS-UHFFFAOYSA-N 0 0 435.462 -0.163 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CN2CCOCC2)cc1 ZINC000490775140 1073660778 /nfs/dbraw/zinc/66/07/78/1073660778.db2.gz WNMTWLLISMBLGJ-AWEZNQCLSA-N 0 0 428.511 -0.613 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CN2CCOCC2)cc1 ZINC000490775142 1073661433 /nfs/dbraw/zinc/66/14/33/1073661433.db2.gz WNMTWLLISMBLGJ-CQSZACIVSA-N 0 0 428.511 -0.613 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2ccco2)cc1 ZINC000490775533 1073661272 /nfs/dbraw/zinc/66/12/72/1073661272.db2.gz GTYVZGHPMORUSH-UHFFFAOYSA-N 0 0 438.462 -0.311 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000490777084 1073661335 /nfs/dbraw/zinc/66/13/35/1073661335.db2.gz MWMKBONFGYGPQY-UHFFFAOYSA-N 0 0 431.493 -0.354 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(C(=O)N3CCOCC3)c(F)c1)c(=O)n2C ZINC000490777457 1073661419 /nfs/dbraw/zinc/66/14/19/1073661419.db2.gz RBZFWODEZWJUAP-UHFFFAOYSA-N 0 0 444.423 -0.316 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCNC(=O)c2cccnc2)c1 ZINC000490778270 1073661474 /nfs/dbraw/zinc/66/14/74/1073661474.db2.gz YNXJPDPHRCLZJN-UHFFFAOYSA-N 0 0 437.453 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000490778596 1073661496 /nfs/dbraw/zinc/66/14/96/1073661496.db2.gz ZVJXGFWNMGGCBP-UHFFFAOYSA-N 0 0 449.489 -0.509 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000490781992 1073661377 /nfs/dbraw/zinc/66/13/77/1073661377.db2.gz GLOUSMUWQMPCEV-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000490782662 1073661294 /nfs/dbraw/zinc/66/12/94/1073661294.db2.gz HZTBRGXCPPMCJD-UHFFFAOYSA-N 0 0 445.476 -0.715 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000490783028 1073662579 /nfs/dbraw/zinc/66/25/79/1073662579.db2.gz IXEVBTIEUXNRIQ-UHFFFAOYSA-N 0 0 440.460 -0.278 20 0 IBADRN O=C(Nc1ccc2c(c1)NC(=O)CC2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000490784655 1073661514 /nfs/dbraw/zinc/66/15/14/1073661514.db2.gz NFRLTIIODCVISI-UHFFFAOYSA-N 0 0 443.460 -0.961 20 0 IBADRN Cc1ccc(-c2nnco2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000490785882 1073661576 /nfs/dbraw/zinc/66/15/76/1073661576.db2.gz UKVXURBNGJIIBM-UHFFFAOYSA-N 0 0 426.433 -0.067 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000490786919 1073661920 /nfs/dbraw/zinc/66/19/20/1073661920.db2.gz XNXJPWNJGITJAX-UHFFFAOYSA-N 0 0 440.464 -0.752 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCC(=O)N2CCOCC2)c1 ZINC000490791267 1073661950 /nfs/dbraw/zinc/66/19/50/1073661950.db2.gz DDDQOYVIRSUJIN-UHFFFAOYSA-N 0 0 430.458 -0.620 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000490794191 1073662125 /nfs/dbraw/zinc/66/21/25/1073662125.db2.gz IFZHBKFHHBAOPH-UHFFFAOYSA-N 0 0 443.501 -0.705 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000490798066 1073662039 /nfs/dbraw/zinc/66/20/39/1073662039.db2.gz RAJGZYXDHCOBIR-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000490798544 1073661963 /nfs/dbraw/zinc/66/19/63/1073661963.db2.gz SPUAANYRWDJQCF-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000490799693 1073662059 /nfs/dbraw/zinc/66/20/59/1073662059.db2.gz VUCXSSVXRSDKFX-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000490801498 1073662174 /nfs/dbraw/zinc/66/21/74/1073662174.db2.gz HYSJFHYADBYVQL-INIZCTEOSA-N 0 0 438.506 -0.309 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000490801508 1073662080 /nfs/dbraw/zinc/66/20/80/1073662080.db2.gz HYSJFHYADBYVQL-MRXNPFEDSA-N 0 0 438.506 -0.309 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000490806317 1073662644 /nfs/dbraw/zinc/66/26/44/1073662644.db2.gz ZHXKBBSNKKPZRP-UHFFFAOYSA-N 0 0 425.511 -0.333 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)NC(C)(C)C)cc1 ZINC000490808539 1073662663 /nfs/dbraw/zinc/66/26/63/1073662663.db2.gz DKWPTVXRQVPXOB-UHFFFAOYSA-N 0 0 428.511 -0.077 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000490810056 1073662763 /nfs/dbraw/zinc/66/27/63/1073662763.db2.gz IQZUBXGKBHVNDC-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000490810755 1073662823 /nfs/dbraw/zinc/66/28/23/1073662823.db2.gz KMTHBIQWSCSODX-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCc2cccc(S(N)(=O)=O)c2)c1 ZINC000490811347 1073662748 /nfs/dbraw/zinc/66/27/48/1073662748.db2.gz MBXHANBYNJIFPU-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000490812173 1073662680 /nfs/dbraw/zinc/66/26/80/1073662680.db2.gz OKURNUHBEJUBJU-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2cccc(NC(=O)Cn3cccn3)c2)cn1 ZINC000490817354 1073662781 /nfs/dbraw/zinc/66/27/81/1073662781.db2.gz HAEXIPPTAFNRJD-UHFFFAOYSA-N 0 0 431.478 -0.150 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CC[C@H](C(=O)NCC(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000490821676 1073662627 /nfs/dbraw/zinc/66/26/27/1073662627.db2.gz CUKZZDSNSIVASU-JTQLQIEISA-N 0 0 430.387 -0.649 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CC[C@@H](C(=O)NCC(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000490821686 1073662799 /nfs/dbraw/zinc/66/27/99/1073662799.db2.gz CUKZZDSNSIVASU-SNVBAGLBSA-N 0 0 430.387 -0.649 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000490822560 1073662808 /nfs/dbraw/zinc/66/28/08/1073662808.db2.gz JSHBRTMAYMAVPM-UHFFFAOYSA-N 0 0 432.524 -0.119 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000490823620 1073662715 /nfs/dbraw/zinc/66/27/15/1073662715.db2.gz GWXXFUFEGDCPEC-UHFFFAOYSA-N 0 0 426.481 -0.279 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(C(=O)c3ccc(OC)cc3OC)CC2)C(=O)C1=O ZINC000490824119 1073662688 /nfs/dbraw/zinc/66/26/88/1073662688.db2.gz HFLKMJUWSAPLDB-UHFFFAOYSA-N 0 0 432.477 -0.321 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000490825101 1073662842 /nfs/dbraw/zinc/66/28/42/1073662842.db2.gz JHWDYBABLLYWJP-UHFFFAOYSA-N 0 0 437.522 -0.736 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000490835507 1073664023 /nfs/dbraw/zinc/66/40/23/1073664023.db2.gz FVNHAZQNLIVXCU-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000490836116 1073664111 /nfs/dbraw/zinc/66/41/11/1073664111.db2.gz JPCJJMYHBUXWJN-UHFFFAOYSA-N 0 0 437.522 -0.191 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000490836759 1073663924 /nfs/dbraw/zinc/66/39/24/1073663924.db2.gz NEYYPJVKWRHSDW-UHFFFAOYSA-N 0 0 430.458 -0.668 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000490837639 1073664094 /nfs/dbraw/zinc/66/40/94/1073664094.db2.gz RKMVJLIAAOOKSD-UHFFFAOYSA-N 0 0 426.495 -0.190 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000490837850 1073664035 /nfs/dbraw/zinc/66/40/35/1073664035.db2.gz SOZIWLALJBKSKG-UHFFFAOYSA-N 0 0 431.493 -0.242 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(C(C)=O)CC2)cc1 ZINC000490838058 1073664571 /nfs/dbraw/zinc/66/45/71/1073664571.db2.gz UQZVJWKXKGZGSQ-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)CC1 ZINC000490841005 1073664647 /nfs/dbraw/zinc/66/46/47/1073664647.db2.gz ZOKIEUHVBXTEHG-UHFFFAOYSA-N 0 0 446.551 -0.228 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000490846069 1073664794 /nfs/dbraw/zinc/66/47/94/1073664794.db2.gz FIRVQYLYQFMBIW-UHFFFAOYSA-N 0 0 430.465 -0.220 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C3(S(C)(=O)=O)CCOCC3)C2)cc1 ZINC000490848824 1073664585 /nfs/dbraw/zinc/66/45/85/1073664585.db2.gz WSDHIHBNTPBFHN-UHFFFAOYSA-N 0 0 432.520 -0.222 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@H]3C(=O)NCc3ccco3)c2c(=O)n(C)c1=O ZINC000490851475 1073662705 /nfs/dbraw/zinc/66/27/05/1073662705.db2.gz DELJPGOEPAVFAF-AWEZNQCLSA-N 0 0 428.449 -0.276 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@@H]3C(=O)NCc3ccco3)c2c(=O)n(C)c1=O ZINC000490851480 1073663373 /nfs/dbraw/zinc/66/33/73/1073663373.db2.gz DELJPGOEPAVFAF-CQSZACIVSA-N 0 0 428.449 -0.276 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000490851743 1073662611 /nfs/dbraw/zinc/66/26/11/1073662611.db2.gz PXZZZBQWVKGPLM-UHFFFAOYSA-N 0 0 448.505 -0.311 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000490857934 1073665283 /nfs/dbraw/zinc/66/52/83/1073665283.db2.gz KTULUHMUQCBKBR-CYBMUJFWSA-N 0 0 438.462 -0.721 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000490857935 1073665271 /nfs/dbraw/zinc/66/52/71/1073665271.db2.gz KTULUHMUQCBKBR-ZDUSSCGKSA-N 0 0 438.462 -0.721 20 0 IBADRN O=C(NCCN1CCSCC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000490859976 1073664687 /nfs/dbraw/zinc/66/46/87/1073664687.db2.gz FFRHVCIXDNNCEV-UHFFFAOYSA-N 0 0 442.611 -0.119 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000490863074 1073664724 /nfs/dbraw/zinc/66/47/24/1073664724.db2.gz CFKGHQQJAWIJSP-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(CC(N)=O)CC2)cc1 ZINC000490865271 1073664542 /nfs/dbraw/zinc/66/45/42/1073664542.db2.gz UULNVQIZNNQEMN-UHFFFAOYSA-N 0 0 426.495 -0.336 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000490875027 1073664606 /nfs/dbraw/zinc/66/46/06/1073664606.db2.gz NYLGKLFSOKHHEE-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCOC[C@H]2c2ncon2)c1 ZINC000490877428 1073665314 /nfs/dbraw/zinc/66/53/14/1073665314.db2.gz KIEQHGYQSAWDDH-AWEZNQCLSA-N 0 0 425.467 -0.138 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCOC[C@@H]2c2ncon2)c1 ZINC000490877448 1073665508 /nfs/dbraw/zinc/66/55/08/1073665508.db2.gz KIEQHGYQSAWDDH-CQSZACIVSA-N 0 0 425.467 -0.138 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)CC1 ZINC000490882561 1073665380 /nfs/dbraw/zinc/66/53/80/1073665380.db2.gz FSLALIYOCISUGJ-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN CCN(CCCC1CCN(C(=O)Cn2ncn(C)c2=O)CC1)C(=O)Cn1ncn(C)c1=O ZINC000490883022 1073665528 /nfs/dbraw/zinc/66/55/28/1073665528.db2.gz ZYAYOJWOANWYCB-UHFFFAOYSA-N 0 0 448.528 -0.956 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000490884490 1073665995 /nfs/dbraw/zinc/66/59/95/1073665995.db2.gz KLFGONIJSVIKKN-CYBMUJFWSA-N 0 0 440.478 -0.999 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000490884491 1073666050 /nfs/dbraw/zinc/66/60/50/1073666050.db2.gz KLFGONIJSVIKKN-ZDUSSCGKSA-N 0 0 440.478 -0.999 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCC)CC2)cc1 ZINC000490884962 1073666156 /nfs/dbraw/zinc/66/61/56/1073666156.db2.gz LMRSHVPXPZEATH-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C)C3)cc2)C[C@H](C)O1 ZINC000490885892 1073666004 /nfs/dbraw/zinc/66/60/04/1073666004.db2.gz NKDYQFNVEASQGB-GUTXKFCHSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C)C3)cc2)C[C@@H](C)O1 ZINC000490885893 1073666010 /nfs/dbraw/zinc/66/60/10/1073666010.db2.gz NKDYQFNVEASQGB-NFAWXSAZSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C)C3)cc2)C[C@@H](C)O1 ZINC000490885894 1073665983 /nfs/dbraw/zinc/66/59/83/1073665983.db2.gz NKDYQFNVEASQGB-UMVBOHGHSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C)C3)cc2)C[C@H](C)O1 ZINC000490885895 1073666103 /nfs/dbraw/zinc/66/61/03/1073666103.db2.gz NKDYQFNVEASQGB-VNHYZAJKSA-N 0 0 438.506 -0.230 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000490885959 1073666059 /nfs/dbraw/zinc/66/60/59/1073666059.db2.gz NMIUUELALJOVJX-GFCCVEGCSA-N 0 0 428.442 -0.868 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000490885963 1073665966 /nfs/dbraw/zinc/66/59/66/1073665966.db2.gz NMIUUELALJOVJX-LBPRGKRZSA-N 0 0 428.442 -0.868 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000490886152 1073666025 /nfs/dbraw/zinc/66/60/25/1073666025.db2.gz OFRKOTPXMVITDK-UHFFFAOYSA-N 0 0 443.501 -0.705 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)nc2n(CC)c1=O ZINC000490886839 1073665945 /nfs/dbraw/zinc/66/59/45/1073665945.db2.gz UMJUTCVQRLVRJW-CYBMUJFWSA-N 0 0 443.464 -0.281 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)nc2n(CC)c1=O ZINC000490886840 1073666145 /nfs/dbraw/zinc/66/61/45/1073666145.db2.gz UMJUTCVQRLVRJW-ZDUSSCGKSA-N 0 0 443.464 -0.281 20 0 IBADRN Cc1c(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc(Br)cc1S(N)(=O)=O ZINC000490888005 1073665974 /nfs/dbraw/zinc/66/59/74/1073665974.db2.gz XTALCQNUBYBBFX-JTQLQIEISA-N 0 0 431.268 -0.219 20 0 IBADRN Cc1c(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc(Br)cc1S(N)(=O)=O ZINC000490888008 1073666017 /nfs/dbraw/zinc/66/60/17/1073666017.db2.gz XTALCQNUBYBBFX-SNVBAGLBSA-N 0 0 431.268 -0.219 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000490888161 1073666037 /nfs/dbraw/zinc/66/60/37/1073666037.db2.gz RZDKFQACIBDTRN-HNNXBMFYSA-N 0 0 433.509 -0.062 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000490888162 1073666177 /nfs/dbraw/zinc/66/61/77/1073666177.db2.gz RZDKFQACIBDTRN-OAHLLOKOSA-N 0 0 433.509 -0.062 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C)C1 ZINC000490892666 1073665958 /nfs/dbraw/zinc/66/59/58/1073665958.db2.gz HNYFXMWVLBIRID-GFCCVEGCSA-N 0 0 440.478 -0.999 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C)C1 ZINC000490892667 1073666109 /nfs/dbraw/zinc/66/61/09/1073666109.db2.gz HNYFXMWVLBIRID-LBPRGKRZSA-N 0 0 440.478 -0.999 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(C(=O)N4CCOCC4)c(F)c3)c2c(=O)n(C)c1=O ZINC000490903841 1073666529 /nfs/dbraw/zinc/66/65/29/1073666529.db2.gz YOGGGOLOFONIGL-UHFFFAOYSA-N 0 0 444.423 -0.316 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2)c1 ZINC000490904766 1073665335 /nfs/dbraw/zinc/66/53/35/1073665335.db2.gz WVBWMVKGLFLCMF-INIZCTEOSA-N 0 0 435.506 -0.791 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2)c1 ZINC000490904767 1073665439 /nfs/dbraw/zinc/66/54/39/1073665439.db2.gz WVBWMVKGLFLCMF-MRXNPFEDSA-N 0 0 435.506 -0.791 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCc2ccccc2S(N)(=O)=O)[C@@H](C)O)cc1 ZINC000490917112 1073666575 /nfs/dbraw/zinc/66/65/75/1073666575.db2.gz YIWMZKKRHQEELS-CXAGYDPISA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCc2ccccc2S(N)(=O)=O)[C@@H](C)O)cc1 ZINC000490917113 1073666684 /nfs/dbraw/zinc/66/66/84/1073666684.db2.gz YIWMZKKRHQEELS-DYVFJYSZSA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCc2ccccc2S(N)(=O)=O)[C@H](C)O)cc1 ZINC000490917114 1073666672 /nfs/dbraw/zinc/66/66/72/1073666672.db2.gz YIWMZKKRHQEELS-GUYCJALGSA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCc2ccccc2S(N)(=O)=O)[C@H](C)O)cc1 ZINC000490917116 1073666693 /nfs/dbraw/zinc/66/66/93/1073666693.db2.gz YIWMZKKRHQEELS-SUMWQHHRSA-N 0 0 441.531 -0.013 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)CC1=O ZINC000490938854 1073667174 /nfs/dbraw/zinc/66/71/74/1073667174.db2.gz DPUHEWIWPLVPRQ-HNNXBMFYSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)CC1=O ZINC000490938858 1073667238 /nfs/dbraw/zinc/66/72/38/1073667238.db2.gz DPUHEWIWPLVPRQ-OAHLLOKOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC1=O ZINC000490940110 1073667381 /nfs/dbraw/zinc/66/73/81/1073667381.db2.gz GOEBKYZIQVAJEI-HNNXBMFYSA-N 0 0 431.493 -0.355 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC1=O ZINC000490940114 1073667328 /nfs/dbraw/zinc/66/73/28/1073667328.db2.gz GOEBKYZIQVAJEI-OAHLLOKOSA-N 0 0 431.493 -0.355 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000490940813 1073667388 /nfs/dbraw/zinc/66/73/88/1073667388.db2.gz IAJDPAAUXALAMT-GFCCVEGCSA-N 0 0 444.897 -0.354 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000490940828 1073667366 /nfs/dbraw/zinc/66/73/66/1073667366.db2.gz IAJDPAAUXALAMT-LBPRGKRZSA-N 0 0 444.897 -0.354 20 0 IBADRN O=C(CN1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000490941029 1073667204 /nfs/dbraw/zinc/66/72/04/1073667204.db2.gz ZLXVKHCJYRAXLJ-UHFFFAOYSA-N 0 0 445.548 -0.440 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ncn(-c4ccccc4)n3)cnc2n(C)c1=O ZINC000490952908 1073666706 /nfs/dbraw/zinc/66/67/06/1073666706.db2.gz BBTPKJQXMHTBSQ-UHFFFAOYSA-N 0 0 434.416 -0.532 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NCc1ccccc1S(N)(=O)=O ZINC000490953918 1073666641 /nfs/dbraw/zinc/66/66/41/1073666641.db2.gz JDCBVRFBSGHFLR-UHFFFAOYSA-N 0 0 429.476 -0.071 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)CC1=O ZINC000490955122 1073667255 /nfs/dbraw/zinc/66/72/55/1073667255.db2.gz NAHIMXXLIITIJJ-INIZCTEOSA-N 0 0 427.461 -0.215 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)CC1=O ZINC000490955123 1073667400 /nfs/dbraw/zinc/66/74/00/1073667400.db2.gz NAHIMXXLIITIJJ-MRXNPFEDSA-N 0 0 427.461 -0.215 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490970619 1073668008 /nfs/dbraw/zinc/66/80/08/1073668008.db2.gz VRZPSCKVWYXFFM-AWEZNQCLSA-N 0 0 425.453 -0.675 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000490970626 1073667826 /nfs/dbraw/zinc/66/78/26/1073667826.db2.gz VRZPSCKVWYXFFM-CQSZACIVSA-N 0 0 425.453 -0.675 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H](C)Cn2ncc3ccccc3c2=O)CC1 ZINC000490990275 1073667914 /nfs/dbraw/zinc/66/79/14/1073667914.db2.gz VASHGKFKMMVDRZ-INIZCTEOSA-N 0 0 430.509 -0.125 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H](C)Cn2ncc3ccccc3c2=O)CC1 ZINC000490990276 1073667946 /nfs/dbraw/zinc/66/79/46/1073667946.db2.gz VASHGKFKMMVDRZ-MRXNPFEDSA-N 0 0 430.509 -0.125 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCN3CCSCC3)CC2)o1 ZINC000490995229 1073668620 /nfs/dbraw/zinc/66/86/20/1073668620.db2.gz BRIPFIUHBLRXBU-UHFFFAOYSA-N 0 0 431.540 -0.557 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C)C3)c2)CC1 ZINC000491000170 1073668418 /nfs/dbraw/zinc/66/84/18/1073668418.db2.gz HHWVGTAGEWPJQW-HNNXBMFYSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C)C3)c2)CC1 ZINC000491000172 1073668538 /nfs/dbraw/zinc/66/85/38/1073668538.db2.gz HHWVGTAGEWPJQW-OAHLLOKOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)CC1=O ZINC000491004975 1073667949 /nfs/dbraw/zinc/66/79/49/1073667949.db2.gz ULRDICTVMPYBDS-AWEZNQCLSA-N 0 0 435.456 -0.228 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)CC1=O ZINC000491004976 1073667889 /nfs/dbraw/zinc/66/78/89/1073667889.db2.gz ULRDICTVMPYBDS-CQSZACIVSA-N 0 0 435.456 -0.228 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000491006696 1073667996 /nfs/dbraw/zinc/66/79/96/1073667996.db2.gz MXBNCWMZVSASDJ-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(c4cccc(O)c4)CC3)cnc2n(C)c1=O ZINC000491017069 1073668566 /nfs/dbraw/zinc/66/85/66/1073668566.db2.gz FBHCDJCUMKRFFB-UHFFFAOYSA-N 0 0 438.444 -0.375 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)cc1Cl ZINC000491017235 1073668466 /nfs/dbraw/zinc/66/84/66/1073668466.db2.gz FOYJYEIAFYXFFK-UHFFFAOYSA-N 0 0 439.900 -0.455 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2-n2c(C)c[nH]c2=O)CC1 ZINC000491017312 1073668507 /nfs/dbraw/zinc/66/85/07/1073668507.db2.gz FYZUIEYXMSMQIP-UHFFFAOYSA-N 0 0 444.492 -0.268 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000491018389 1073668484 /nfs/dbraw/zinc/66/84/84/1073668484.db2.gz HVOIPLOJVGDFAK-CRAIPNDOSA-N 0 0 448.434 -0.223 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000491018392 1073668608 /nfs/dbraw/zinc/66/86/08/1073668608.db2.gz HVOIPLOJVGDFAK-MAUKXSAKSA-N 0 0 448.434 -0.223 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000491018394 1073668614 /nfs/dbraw/zinc/66/86/14/1073668614.db2.gz HVOIPLOJVGDFAK-QAPCUYQASA-N 0 0 448.434 -0.223 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000491018396 1073668554 /nfs/dbraw/zinc/66/85/54/1073668554.db2.gz HVOIPLOJVGDFAK-YJBOKZPZSA-N 0 0 448.434 -0.223 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000491018449 1073668602 /nfs/dbraw/zinc/66/86/02/1073668602.db2.gz KQJPKUHLEYGGEV-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000491018818 1073668588 /nfs/dbraw/zinc/66/85/88/1073668588.db2.gz MCBRCDOKPYLUKV-UHFFFAOYSA-N 0 0 444.496 -0.795 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCCC(=O)N3)CC1 ZINC000491018871 1073668660 /nfs/dbraw/zinc/66/86/60/1073668660.db2.gz MGINTZHZWSHPHW-UHFFFAOYSA-N 0 0 431.493 -0.193 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)ccc1Cl ZINC000491021217 1073668433 /nfs/dbraw/zinc/66/84/33/1073668433.db2.gz UASDQPVKZKJYBM-UHFFFAOYSA-N 0 0 439.900 -0.455 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000491021800 1073668514 /nfs/dbraw/zinc/66/85/14/1073668514.db2.gz VDJCAMGYLYYSKG-UHFFFAOYSA-N 0 0 433.509 -0.108 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCSCC3)CC2)C[C@H](C)O1 ZINC000491026551 1073669057 /nfs/dbraw/zinc/66/90/57/1073669057.db2.gz ZFGWADXTJZGIFG-HOTGVXAUSA-N 0 0 435.616 -0.284 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCSCC3)CC2)C[C@@H](C)O1 ZINC000491026552 1073669263 /nfs/dbraw/zinc/66/92/63/1073669263.db2.gz ZFGWADXTJZGIFG-HZPDHXFCSA-N 0 0 435.616 -0.284 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCSCC3)CC2)C[C@H](C)O1 ZINC000491026553 1073669246 /nfs/dbraw/zinc/66/92/46/1073669246.db2.gz ZFGWADXTJZGIFG-IYBDPMFKSA-N 0 0 435.616 -0.284 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000491031630 1073669275 /nfs/dbraw/zinc/66/92/75/1073669275.db2.gz NHWIMRSXXNPZJO-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(NC(C)=O)c2)CC1 ZINC000491032118 1073669113 /nfs/dbraw/zinc/66/91/13/1073669113.db2.gz FCRDRCGEDXGBRM-UHFFFAOYSA-N 0 0 435.481 -0.501 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)CC1 ZINC000491035024 1073669255 /nfs/dbraw/zinc/66/92/55/1073669255.db2.gz LCSZNAAPQSWSSE-UHFFFAOYSA-N 0 0 449.508 -0.490 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2C)CC1 ZINC000491037605 1073669164 /nfs/dbraw/zinc/66/91/64/1073669164.db2.gz PPIUYDOPMYDSCA-UHFFFAOYSA-N 0 0 433.509 -0.458 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000491038167 1073669192 /nfs/dbraw/zinc/66/91/92/1073669192.db2.gz QXPBOHVSXKHFBZ-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)c1 ZINC000491041251 1073669838 /nfs/dbraw/zinc/66/98/38/1073669838.db2.gz XBKFPBAXOGEWLK-AWEZNQCLSA-N 0 0 440.522 -0.063 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)c1 ZINC000491041254 1073669865 /nfs/dbraw/zinc/66/98/65/1073669865.db2.gz XBKFPBAXOGEWLK-CQSZACIVSA-N 0 0 440.522 -0.063 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)NC(C)C)cc2)CC1 ZINC000491042540 1073669942 /nfs/dbraw/zinc/66/99/42/1073669942.db2.gz ZOZLGKTUXIKFHP-UHFFFAOYSA-N 0 0 447.536 -0.401 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000491042545 1073669830 /nfs/dbraw/zinc/66/98/30/1073669830.db2.gz ZSUMBLPJRDIJMT-UHFFFAOYSA-N 0 0 433.444 -0.748 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2CN2CCOCC2)CC1 ZINC000491042581 1073669979 /nfs/dbraw/zinc/66/99/79/1073669979.db2.gz ZYIYVNNMWJWPLR-UHFFFAOYSA-N 0 0 447.536 -0.636 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCCC3)c2)CC1 ZINC000491043339 1073669968 /nfs/dbraw/zinc/66/99/68/1073669968.db2.gz AVOLGUXFFNGQKI-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CS3)CC1 ZINC000491043614 1073669852 /nfs/dbraw/zinc/66/98/52/1073669852.db2.gz BILMWPDWQWIAEO-UHFFFAOYSA-N 0 0 435.506 -0.424 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(F)(F)F)c[nH]c2=O)CC1 ZINC000491044068 1073669769 /nfs/dbraw/zinc/66/97/69/1073669769.db2.gz CBPNCKYUNCUQRS-UHFFFAOYSA-N 0 0 433.387 -0.349 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1 ZINC000491045761 1073669795 /nfs/dbraw/zinc/66/97/95/1073669795.db2.gz GBUBQHUXSPGWKE-UHFFFAOYSA-N 0 0 432.481 -0.939 20 0 IBADRN COc1ccccc1CN(CCO)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000491045805 1073669876 /nfs/dbraw/zinc/66/98/76/1073669876.db2.gz CGUFIMBIMVEFIA-UHFFFAOYSA-N 0 0 434.537 -0.508 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1nccn1CC(F)(F)F ZINC000491046427 1073669859 /nfs/dbraw/zinc/66/98/59/1073669859.db2.gz DMSIQGBCQKNCBD-UHFFFAOYSA-N 0 0 446.474 -0.120 20 0 IBADRN CCOC[C@@H](O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000491046460 1073669953 /nfs/dbraw/zinc/66/99/53/1073669953.db2.gz HFFYFBHWWNABQG-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOC[C@H](O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000491046461 1073669742 /nfs/dbraw/zinc/66/97/42/1073669742.db2.gz HFFYFBHWWNABQG-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(Cl)c2)CC1 ZINC000491046464 1073669824 /nfs/dbraw/zinc/66/98/24/1073669824.db2.gz HHHSHZBSUFFMNT-UHFFFAOYSA-N 0 0 425.873 -0.716 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Cn3cncn3)cc2)CC1 ZINC000491048605 1073670685 /nfs/dbraw/zinc/67/06/85/1073670685.db2.gz JRPODGQZAFHUIU-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000491049426 1073670674 /nfs/dbraw/zinc/67/06/74/1073670674.db2.gz KQYVNUZOCTVQSL-UHFFFAOYSA-N 0 0 443.501 -0.576 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCC2(CS(C)(=O)=O)CC2)c1 ZINC000491052943 1073670440 /nfs/dbraw/zinc/67/04/40/1073670440.db2.gz RKCMKQTXJICZRZ-UHFFFAOYSA-N 0 0 435.499 -0.045 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000491053337 1073670540 /nfs/dbraw/zinc/67/05/40/1073670540.db2.gz SLBIFRADPWXFLH-UHFFFAOYSA-N 0 0 439.900 -0.455 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC(C)C)cc2)CC1 ZINC000491054696 1073670386 /nfs/dbraw/zinc/67/03/86/1073670386.db2.gz VQCYXCRLZNEJCH-UHFFFAOYSA-N 0 0 433.509 -0.330 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000491056609 1073670424 /nfs/dbraw/zinc/67/04/24/1073670424.db2.gz ZPZWBNHRRHBSNP-UHFFFAOYSA-N 0 0 440.522 -0.675 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000491063746 1073670405 /nfs/dbraw/zinc/67/04/05/1073670405.db2.gz BFSLQNWPZRXSKD-UHFFFAOYSA-N 0 0 433.509 -0.328 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-c3n[nH]c(C)n3)c2)CC1 ZINC000491063934 1073670630 /nfs/dbraw/zinc/67/06/30/1073670630.db2.gz BMWISKGVQQZJBW-UHFFFAOYSA-N 0 0 429.481 -0.375 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2OC)CC1 ZINC000491064210 1073670652 /nfs/dbraw/zinc/67/06/52/1073670652.db2.gz BWZXJSGXEPAJGM-UHFFFAOYSA-N 0 0 449.508 -0.758 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000491065986 1073670666 /nfs/dbraw/zinc/67/06/66/1073670666.db2.gz HZRGHYSHGXWZOA-UHFFFAOYSA-N 0 0 448.505 -0.716 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000491070080 1073670527 /nfs/dbraw/zinc/67/05/27/1073670527.db2.gz RBDHEHCUVFNNGW-UHFFFAOYSA-N 0 0 442.476 -0.222 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000491070179 1073670645 /nfs/dbraw/zinc/67/06/45/1073670645.db2.gz RVTCSQHMJGIARS-UHFFFAOYSA-N 0 0 448.505 -0.171 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)CC1 ZINC000491070698 1073670502 /nfs/dbraw/zinc/67/05/02/1073670502.db2.gz ULZBHUIIGPSPMI-UHFFFAOYSA-N 0 0 448.524 -0.968 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)[C@@H](C)O3)CC1 ZINC000491071523 1073670469 /nfs/dbraw/zinc/67/04/69/1073670469.db2.gz XSOPJJYWFLKZKC-CYBMUJFWSA-N 0 0 433.465 -0.749 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)[C@H](C)O3)CC1 ZINC000491071524 1073670494 /nfs/dbraw/zinc/67/04/94/1073670494.db2.gz XSOPJJYWFLKZKC-ZDUSSCGKSA-N 0 0 433.465 -0.749 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)CC2)c1=O ZINC000491072018 1073670591 /nfs/dbraw/zinc/67/05/91/1073670591.db2.gz YTIUGWUVXHRDSA-UHFFFAOYSA-N 0 0 428.449 -0.678 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000491072266 1073670579 /nfs/dbraw/zinc/67/05/79/1073670579.db2.gz ZKGSOSGWNVNGTJ-UHFFFAOYSA-N 0 0 437.464 -0.259 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cccc(O)c3)CC2)c1 ZINC000491073688 1073669020 /nfs/dbraw/zinc/66/90/20/1073669020.db2.gz DKQIDPVOOSQQFO-UHFFFAOYSA-N 0 0 425.445 -0.105 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3C)c2)CC1 ZINC000491074211 1073668996 /nfs/dbraw/zinc/66/89/96/1073668996.db2.gz GPKXHBUZIKMZOP-UHFFFAOYSA-N 0 0 428.497 -0.078 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000491077632 1073669034 /nfs/dbraw/zinc/66/90/34/1073669034.db2.gz NHKBZVJAJYNQPF-UHFFFAOYSA-N 0 0 430.509 -0.172 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3nc(C)cc3C)nc2)CC1 ZINC000491078511 1073669127 /nfs/dbraw/zinc/66/91/27/1073669127.db2.gz IDRQSSAUOJEQAB-UHFFFAOYSA-N 0 0 443.508 -0.271 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-c3nncn3C)c2)CC1 ZINC000491080905 1073669310 /nfs/dbraw/zinc/66/93/10/1073669310.db2.gz LRWZIIRMPGNGMW-UHFFFAOYSA-N 0 0 429.481 -0.673 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000491081786 1073669898 /nfs/dbraw/zinc/66/98/98/1073669898.db2.gz MSCYUVIHUWDPKI-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000491083754 1073669806 /nfs/dbraw/zinc/66/98/06/1073669806.db2.gz PGOHOLWJVLLZFZ-UHFFFAOYSA-N 0 0 440.522 -0.924 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(-n3cnnn3)c2)CC1 ZINC000491084538 1073671266 /nfs/dbraw/zinc/67/12/66/1073671266.db2.gz ZCCBMUSTFRLENP-UHFFFAOYSA-N 0 0 428.497 -0.078 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC000491085521 1073671241 /nfs/dbraw/zinc/67/12/41/1073671241.db2.gz SJDSDIJSPQCXQU-UHFFFAOYSA-N 0 0 433.490 -0.008 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000491086616 1073671227 /nfs/dbraw/zinc/67/12/27/1073671227.db2.gz UVMYPBFFNIZJEE-AWEZNQCLSA-N 0 0 441.506 -0.115 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000491086617 1073671275 /nfs/dbraw/zinc/67/12/75/1073671275.db2.gz UVMYPBFFNIZJEE-CQSZACIVSA-N 0 0 441.506 -0.115 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-c3nnc(C)o3)c2)CC1 ZINC000491087441 1073671278 /nfs/dbraw/zinc/67/12/78/1073671278.db2.gz VUXPEBUSIMUQCT-UHFFFAOYSA-N 0 0 430.465 -0.110 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)c1 ZINC000491088592 1073671248 /nfs/dbraw/zinc/67/12/48/1073671248.db2.gz XOYWFCBDDRPNSP-UHFFFAOYSA-N 0 0 437.453 -0.307 20 0 IBADRN C[C@H](NC(=O)N[C@@H]1CCCN(C(=O)N2CCCC2)C1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000491089566 1073671162 /nfs/dbraw/zinc/67/11/62/1073671162.db2.gz HBUHIGNMNFILLZ-ARFHVFGLSA-N 0 0 443.570 -0.095 20 0 IBADRN C[C@H](NC(=O)N[C@@H]1CCCN(C(=O)N2CCCC2)C1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000491089567 1073671235 /nfs/dbraw/zinc/67/12/35/1073671235.db2.gz HBUHIGNMNFILLZ-HRCADAONSA-N 0 0 443.570 -0.095 20 0 IBADRN C[C@H](NC(=O)N[C@H]1CCCN(C(=O)N2CCCC2)C1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000491089568 1073671259 /nfs/dbraw/zinc/67/12/59/1073671259.db2.gz HBUHIGNMNFILLZ-JYJNAYRXSA-N 0 0 443.570 -0.095 20 0 IBADRN C[C@H](NC(=O)N[C@H]1CCCN(C(=O)N2CCCC2)C1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000491089569 1073671930 /nfs/dbraw/zinc/67/19/30/1073671930.db2.gz HBUHIGNMNFILLZ-XHSDSOJGSA-N 0 0 443.570 -0.095 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1CCCS1(=O)=O ZINC000491093357 1073671740 /nfs/dbraw/zinc/67/17/40/1073671740.db2.gz UPUKGFVCFRWEAT-UHFFFAOYSA-N 0 0 433.508 -0.722 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)N1CCN(CC(=O)NCCOC)CC1)C(C)=O ZINC000491097192 1073671723 /nfs/dbraw/zinc/67/17/23/1073671723.db2.gz ADJPRGDZMYATHY-UHFFFAOYSA-N 0 0 447.536 -0.100 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000491097482 1073671937 /nfs/dbraw/zinc/67/19/37/1073671937.db2.gz APPGQQSQGRJVGH-UHFFFAOYSA-N 0 0 441.554 -0.268 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000491097977 1073671916 /nfs/dbraw/zinc/67/19/16/1073671916.db2.gz BQZJLTXAJROINA-KBPBESRZSA-N 0 0 425.577 -0.677 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000491097979 1073671924 /nfs/dbraw/zinc/67/19/24/1073671924.db2.gz BQZJLTXAJROINA-KGLIPLIRSA-N 0 0 425.577 -0.677 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000491097980 1073671958 /nfs/dbraw/zinc/67/19/58/1073671958.db2.gz BQZJLTXAJROINA-UONOGXRCSA-N 0 0 425.577 -0.677 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000491097981 1073671848 /nfs/dbraw/zinc/67/18/48/1073671848.db2.gz BQZJLTXAJROINA-ZIAGYGMSSA-N 0 0 425.577 -0.677 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000491098200 1073671756 /nfs/dbraw/zinc/67/17/56/1073671756.db2.gz CSCHMJQYGJRRJO-UHFFFAOYSA-N 0 0 435.456 -0.444 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000491099030 1073671970 /nfs/dbraw/zinc/67/19/70/1073671970.db2.gz DYKPHOSABPWGMM-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000491099127 1073671981 /nfs/dbraw/zinc/67/19/81/1073671981.db2.gz FCVZQBFTAFLJQT-UHFFFAOYSA-N 0 0 447.536 -0.522 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000491099585 1073671860 /nfs/dbraw/zinc/67/18/60/1073671860.db2.gz GHKLZDAAGQBCQL-UHFFFAOYSA-N 0 0 433.509 -0.410 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(Cl)c2-n2cncn2)CC1 ZINC000491100662 1073671875 /nfs/dbraw/zinc/67/18/75/1073671875.db2.gz HFKCCQFNEYJCPF-UHFFFAOYSA-N 0 0 449.899 -0.234 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)C(C)(C)C)cc1 ZINC000491101344 1073671692 /nfs/dbraw/zinc/67/16/92/1073671692.db2.gz JLQXTYZUZJSJLO-UHFFFAOYSA-N 0 0 428.511 -0.172 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)OC(F)(F)O3)CC1 ZINC000491102205 1073671831 /nfs/dbraw/zinc/67/18/31/1073671831.db2.gz IVVMQJGHVXPALW-UHFFFAOYSA-N 0 0 428.392 -0.147 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000491103524 1073672513 /nfs/dbraw/zinc/67/25/13/1073672513.db2.gz KGRKLHDATKNFCJ-UHFFFAOYSA-N 0 0 434.497 -0.327 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1)N1CCOCC1 ZINC000491111281 1073671209 /nfs/dbraw/zinc/67/12/09/1073671209.db2.gz FYNXARPZXFFCLF-UHFFFAOYSA-N 0 0 438.506 -0.822 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000491114803 1073671254 /nfs/dbraw/zinc/67/12/54/1073671254.db2.gz QBXGEYSUARJAIG-UHFFFAOYSA-N 0 0 448.523 -0.964 20 0 IBADRN O=C1[C@@H]2CS(=O)(=O)CCN2C(=O)N1[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1 ZINC000491114934 1073671180 /nfs/dbraw/zinc/67/11/80/1073671180.db2.gz WQTOLNAYFWNAIO-NEPJUHHUSA-N 0 0 433.533 -0.038 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](C(=O)OC)C2)cc1 ZINC000491115262 1073671218 /nfs/dbraw/zinc/67/12/18/1073671218.db2.gz WRLXJCJZKUNUMX-ZDUSSCGKSA-N 0 0 427.479 -0.039 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(C)=O)CC1 ZINC000491115319 1073671261 /nfs/dbraw/zinc/67/12/61/1073671261.db2.gz XCXUNVMVSHOQHL-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000491124589 1073673309 /nfs/dbraw/zinc/67/33/09/1073673309.db2.gz UQCCSGSVPGXMRS-IBGZPJMESA-N 0 0 442.538 -0.487 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000491124590 1073673162 /nfs/dbraw/zinc/67/31/62/1073673162.db2.gz UQCCSGSVPGXMRS-LJQANCHMSA-N 0 0 442.538 -0.487 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000491128859 1073673058 /nfs/dbraw/zinc/67/30/58/1073673058.db2.gz CLIQVWLRSAFIKW-UHFFFAOYSA-N 0 0 443.508 -0.190 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)ccc2-n2cccn2)CC1 ZINC000491129655 1073673198 /nfs/dbraw/zinc/67/31/98/1073673198.db2.gz DIGGFIQNIOXKRZ-UHFFFAOYSA-N 0 0 432.456 -0.143 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc3c(cc2Cl)OCCO3)CC1 ZINC000491129739 1073673106 /nfs/dbraw/zinc/67/31/06/1073673106.db2.gz FASUYPKEVSATLW-UHFFFAOYSA-N 0 0 440.884 -0.044 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)NCCN3CCCS3(=O)=O)cn2)C[C@H](C)O1 ZINC000491130852 1073673242 /nfs/dbraw/zinc/67/32/42/1073673242.db2.gz GFPQCLQZHGNXAX-KBPBESRZSA-N 0 0 425.511 -0.215 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NCCN3CCCS3(=O)=O)cn2)C[C@@H](C)O1 ZINC000491130864 1073673094 /nfs/dbraw/zinc/67/30/94/1073673094.db2.gz GFPQCLQZHGNXAX-ZIAGYGMSSA-N 0 0 425.511 -0.215 20 0 IBADRN O=C(N[C@H]1CCN(c2ccccc2F)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000491132340 1073673270 /nfs/dbraw/zinc/67/32/70/1073673270.db2.gz INBBIOUCHUBLOD-INIZCTEOSA-N 0 0 447.467 -0.356 20 0 IBADRN O=C(N[C@@H]1CCN(c2ccccc2F)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000491132344 1073673185 /nfs/dbraw/zinc/67/31/85/1073673185.db2.gz INBBIOUCHUBLOD-MRXNPFEDSA-N 0 0 447.467 -0.356 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000491132788 1073673289 /nfs/dbraw/zinc/67/32/89/1073673289.db2.gz IATHZPADEWAHCF-UHFFFAOYSA-N 0 0 446.551 -0.658 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCN2CCCS2(=O)=O)c2ccccc2O1 ZINC000491134399 1073673121 /nfs/dbraw/zinc/67/31/21/1073673121.db2.gz IZHOPYITKLPTEP-INIZCTEOSA-N 0 0 438.506 -0.542 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(NC(=O)[C@H](C)OC)c2)CC1 ZINC000491134854 1073672384 /nfs/dbraw/zinc/67/23/84/1073672384.db2.gz KHTOITSCSGUYTA-HNNXBMFYSA-N 0 0 449.508 -0.495 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(NC(=O)[C@@H](C)OC)c2)CC1 ZINC000491134857 1073672369 /nfs/dbraw/zinc/67/23/69/1073672369.db2.gz KHTOITSCSGUYTA-OAHLLOKOSA-N 0 0 449.508 -0.495 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(Cn3cncn3)c2)CC1 ZINC000491134975 1073672539 /nfs/dbraw/zinc/67/25/39/1073672539.db2.gz KMHKRDZXCGZTJB-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(Cl)c2)CC1 ZINC000491136102 1073672572 /nfs/dbraw/zinc/67/25/72/1073672572.db2.gz LPFIBIYMPFKLPM-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cccc1C(=O)N1CCOCC1 ZINC000491136724 1073672691 /nfs/dbraw/zinc/67/26/91/1073672691.db2.gz MKZHRORXGHHAMC-UHFFFAOYSA-N 0 0 438.506 -0.442 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000491138849 1073672350 /nfs/dbraw/zinc/67/23/50/1073672350.db2.gz QEKUMEOSTWYTOY-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000491139028 1073672710 /nfs/dbraw/zinc/67/27/10/1073672710.db2.gz FBIWRFRYKFXCDJ-UHFFFAOYSA-N 0 0 431.537 -0.268 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000491139959 1073672663 /nfs/dbraw/zinc/67/26/63/1073672663.db2.gz PBRSYBVVPMEWJY-UHFFFAOYSA-N 0 0 444.535 -0.904 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCCN3C(C)=O)CC1 ZINC000491140691 1073672391 /nfs/dbraw/zinc/67/23/91/1073672391.db2.gz PTFXRAFCNIQJAG-UHFFFAOYSA-N 0 0 445.520 -0.169 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000491140692 1073672501 /nfs/dbraw/zinc/67/25/01/1073672501.db2.gz HJIUDMQQIBFNMA-UHFFFAOYSA-N 0 0 429.477 -0.137 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1 ZINC000491140807 1073672604 /nfs/dbraw/zinc/67/26/04/1073672604.db2.gz QDBRSIJKJJOCSB-UHFFFAOYSA-N 0 0 432.481 -0.939 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1S(=O)(=O)N(C)C ZINC000491144237 1073672641 /nfs/dbraw/zinc/67/26/41/1073672641.db2.gz YSSRRJIGLMZEDE-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000491147884 1073673278 /nfs/dbraw/zinc/67/32/78/1073673278.db2.gz OYVIXXWYSVALAH-UHFFFAOYSA-N 0 0 443.522 -0.123 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)c1 ZINC000491147957 1073673232 /nfs/dbraw/zinc/67/32/32/1073673232.db2.gz PEJKLZUHAFAHJM-UHFFFAOYSA-N 0 0 428.497 -0.033 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000491150153 1073673136 /nfs/dbraw/zinc/67/31/36/1073673136.db2.gz SHERGEJCEPSECM-NRFANRHFSA-N 0 0 436.509 -0.075 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000491150154 1073673260 /nfs/dbraw/zinc/67/32/60/1073673260.db2.gz SHERGEJCEPSECM-OAQYLSRUSA-N 0 0 436.509 -0.075 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000491151461 1073673976 /nfs/dbraw/zinc/67/39/76/1073673976.db2.gz WRYXTJAGLAHRIU-IBGZPJMESA-N 0 0 442.538 -0.024 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000491151462 1073674004 /nfs/dbraw/zinc/67/40/04/1073674004.db2.gz WRYXTJAGLAHRIU-LJQANCHMSA-N 0 0 442.538 -0.024 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)cc1 ZINC000491152450 1073673999 /nfs/dbraw/zinc/67/39/99/1073673999.db2.gz ZRLGGFZOGYHGOQ-CYBMUJFWSA-N 0 0 426.495 -0.336 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)cc1 ZINC000491152451 1073674010 /nfs/dbraw/zinc/67/40/10/1073674010.db2.gz ZRLGGFZOGYHGOQ-ZDUSSCGKSA-N 0 0 426.495 -0.336 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(NC(=O)C3CC3)c2)CC1 ZINC000491152989 1073673838 /nfs/dbraw/zinc/67/38/38/1073673838.db2.gz BGNIFAJIIBPRLX-UHFFFAOYSA-N 0 0 431.493 -0.120 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c(C)c1 ZINC000491154719 1073369678 /nfs/dbraw/zinc/36/96/78/1073369678.db2.gz IANDKCALJOERHR-UHFFFAOYSA-N 0 0 433.509 -0.410 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000491156279 1073673995 /nfs/dbraw/zinc/67/39/95/1073673995.db2.gz MWOIZPWACQZUGK-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CC1 ZINC000491156551 1073673947 /nfs/dbraw/zinc/67/39/47/1073673947.db2.gz OFSNESQPZGWXCU-UHFFFAOYSA-N 0 0 447.536 -0.188 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)ccc2Cl)CC1 ZINC000491156988 1073673922 /nfs/dbraw/zinc/67/39/22/1073673922.db2.gz SMJJHDAJTKMTSI-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCCC3=O)c2)CC1 ZINC000491157076 1073673969 /nfs/dbraw/zinc/67/39/69/1073673969.db2.gz UGAXUGFRNMOXKN-UHFFFAOYSA-N 0 0 431.493 -0.341 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CC3)cc2)CC1 ZINC000491157279 1073673984 /nfs/dbraw/zinc/67/39/84/1073673984.db2.gz VYIOQBCNJOWQJV-UHFFFAOYSA-N 0 0 431.493 -0.576 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000491157342 1073673987 /nfs/dbraw/zinc/67/39/87/1073673987.db2.gz WOPABCWIITWLSC-UHFFFAOYSA-N 0 0 440.522 -0.924 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2F)CC1 ZINC000491157352 1073673865 /nfs/dbraw/zinc/67/38/65/1073673865.db2.gz WPZDDXXQDHJCSU-UHFFFAOYSA-N 0 0 426.445 -0.312 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)c(F)cc2F)CC1 ZINC000491157595 1073673872 /nfs/dbraw/zinc/67/38/72/1073673872.db2.gz YTZCZQRSGBBFIP-UHFFFAOYSA-N 0 0 442.419 -0.403 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000491169229 1073673989 /nfs/dbraw/zinc/67/39/89/1073673989.db2.gz VNKWZDOQZOQTAR-HNNXBMFYSA-N 0 0 445.451 -0.066 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000491169230 1073674465 /nfs/dbraw/zinc/67/44/65/1073674465.db2.gz VNKWZDOQZOQTAR-OAHLLOKOSA-N 0 0 445.451 -0.066 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000491169361 1073673961 /nfs/dbraw/zinc/67/39/61/1073673961.db2.gz WMWOIBWZZUCUQY-UHFFFAOYSA-N 0 0 448.498 -0.544 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000491171467 1073674524 /nfs/dbraw/zinc/67/45/24/1073674524.db2.gz KJGOHHXWLWETTJ-UHFFFAOYSA-N 0 0 428.486 -0.005 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-c3noc(C)n3)c2)CC1 ZINC000491171515 1073674430 /nfs/dbraw/zinc/67/44/30/1073674430.db2.gz KOBOYVYYAOUKFO-UHFFFAOYSA-N 0 0 430.465 -0.110 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000491171713 1073674557 /nfs/dbraw/zinc/67/45/57/1073674557.db2.gz MLQDZPABUPRLHY-UHFFFAOYSA-N 0 0 444.485 -0.926 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(NC(C)=O)ccc2OC)CC1 ZINC000491172355 1073674486 /nfs/dbraw/zinc/67/44/86/1073674486.db2.gz QQOBMQHBHNKYQF-UHFFFAOYSA-N 0 0 435.481 -0.501 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(OC)c2OC)CC1 ZINC000491175784 1073673207 /nfs/dbraw/zinc/67/32/07/1073673207.db2.gz ZFPUGODMPADMTP-UHFFFAOYSA-N 0 0 438.481 -0.442 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N(C)C)cc2)CC1 ZINC000491182879 1073673248 /nfs/dbraw/zinc/67/32/48/1073673248.db2.gz ATVWIJDWLCOHIT-UHFFFAOYSA-N 0 0 433.509 -0.837 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2CS(C)(=O)=O)CC1 ZINC000491184235 1073673301 /nfs/dbraw/zinc/67/33/01/1073673301.db2.gz FRMZCCQSMBWBHA-UHFFFAOYSA-N 0 0 440.522 -0.924 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000491187467 1073675185 /nfs/dbraw/zinc/67/51/85/1073675185.db2.gz NPMNTUCYZWQTOL-AWEZNQCLSA-N 0 0 448.505 -0.113 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000491187468 1073675127 /nfs/dbraw/zinc/67/51/27/1073675127.db2.gz NPMNTUCYZWQTOL-CQSZACIVSA-N 0 0 448.505 -0.113 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)cc2)CC1 ZINC000491187481 1073675193 /nfs/dbraw/zinc/67/51/93/1073675193.db2.gz NQSZRDBYNKSJAV-UHFFFAOYSA-N 0 0 431.493 -0.120 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)C2=O)n1 ZINC000491188720 1073675112 /nfs/dbraw/zinc/67/51/12/1073675112.db2.gz RCMBDTOVUUGLHI-HNNXBMFYSA-N 0 0 428.449 -0.075 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)C2=O)n1 ZINC000491188721 1073675210 /nfs/dbraw/zinc/67/52/10/1073675210.db2.gz RCMBDTOVUUGLHI-OAHLLOKOSA-N 0 0 428.449 -0.075 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC(C)C)cc2C)CC1 ZINC000491189088 1073675173 /nfs/dbraw/zinc/67/51/73/1073675173.db2.gz SQGJEXDDVRCCNX-UHFFFAOYSA-N 0 0 447.536 -0.021 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCCN(CC)S(C)(=O)=O ZINC000491190131 1073675140 /nfs/dbraw/zinc/67/51/40/1073675140.db2.gz YJVMKBWODPCRBL-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000491200730 1072250487 /nfs/dbraw/zinc/25/04/87/1072250487.db2.gz MFBYYABTUBEPAT-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC000491202987 1072252984 /nfs/dbraw/zinc/25/29/84/1072252984.db2.gz QOJTXDPWOIEEQK-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000491204228 1072269175 /nfs/dbraw/zinc/26/91/75/1072269175.db2.gz XIGASPQUSUEGEC-UHFFFAOYSA-N 0 0 425.511 -0.715 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000491204508 1072267936 /nfs/dbraw/zinc/26/79/36/1072267936.db2.gz ZWLLIUWCEKJUJQ-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cccc(C(=O)N2CCCC[C@H]2C(=O)OC)c1 ZINC000491205207 1072272486 /nfs/dbraw/zinc/27/24/86/1072272486.db2.gz KQVWZMGTPWPTLY-INIZCTEOSA-N 0 0 448.476 -0.328 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cccc(C(=O)N2CCCC[C@@H]2C(=O)OC)c1 ZINC000491205208 1072272461 /nfs/dbraw/zinc/27/24/61/1072272461.db2.gz KQVWZMGTPWPTLY-MRXNPFEDSA-N 0 0 448.476 -0.328 20 0 IBADRN O=C1[C@H]2CS(=O)(=O)CCN2C(=O)N1[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1 ZINC000491207099 1072350487 /nfs/dbraw/zinc/35/04/87/1072350487.db2.gz WQTOLNAYFWNAIO-VXGBXAGGSA-N 0 0 433.533 -0.038 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(N3CCNC3=O)ccc2Cl)CC1 ZINC000491207476 1072290779 /nfs/dbraw/zinc/29/07/79/1072290779.db2.gz XVOCBSGFKVDHMU-UHFFFAOYSA-N 0 0 429.886 -0.088 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(=O)NC3CC3)ccc2C)CC1 ZINC000491211713 1072389909 /nfs/dbraw/zinc/38/99/09/1072389909.db2.gz FASZHYHDYDWNNR-UHFFFAOYSA-N 0 0 445.520 -0.267 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-c3n[nH]c(C)n3)cc2)CC1 ZINC000491211759 1072390149 /nfs/dbraw/zinc/39/01/49/1072390149.db2.gz FQLQMRMFVSENOJ-UHFFFAOYSA-N 0 0 429.481 -0.375 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)CC1 ZINC000491211873 1072388435 /nfs/dbraw/zinc/38/84/35/1072388435.db2.gz FZGNJUCFRSWVTQ-UHFFFAOYSA-N 0 0 431.493 -0.007 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)nc2)CC1 ZINC000491212729 1072394651 /nfs/dbraw/zinc/39/46/51/1072394651.db2.gz JGIOGLQBCGQDFW-UHFFFAOYSA-N 0 0 432.481 -0.725 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCCNC3=O)c2)CC1 ZINC000491212751 1072395578 /nfs/dbraw/zinc/39/55/78/1072395578.db2.gz JKVTYRVGOBDYKZ-UHFFFAOYSA-N 0 0 446.508 -0.548 20 0 IBADRN CCN(Cc1cccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1)C(C)=O ZINC000491212775 1072395535 /nfs/dbraw/zinc/39/55/35/1072395535.db2.gz JRUJSKKDYHVSPY-UHFFFAOYSA-N 0 0 447.536 -0.100 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CC1 ZINC000491213067 1072394571 /nfs/dbraw/zinc/39/45/71/1072394571.db2.gz KYDWOYWXZHMYOE-UHFFFAOYSA-N 0 0 434.493 -0.103 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000491213276 1072393164 /nfs/dbraw/zinc/39/31/64/1072393164.db2.gz MHKXTOWWSGTDQJ-UHFFFAOYSA-N 0 0 433.509 -0.314 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCCN(C)S(=O)(=O)CC ZINC000491213564 1072390635 /nfs/dbraw/zinc/39/06/35/1072390635.db2.gz NEDWQTSBGBXCPI-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000491213954 1072396001 /nfs/dbraw/zinc/39/60/01/1072396001.db2.gz RAAZMZFPMVKCEA-UHFFFAOYSA-N 0 0 447.414 -0.132 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000491214026 1072396157 /nfs/dbraw/zinc/39/61/57/1072396157.db2.gz RTRSYCQIEQSZSJ-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)C(=O)N1CCN(CC(=O)NCCOC)CC1 ZINC000491214540 1072396178 /nfs/dbraw/zinc/39/61/78/1072396178.db2.gz YLRBIVOSKOMKLA-UHFFFAOYSA-N 0 0 426.495 -0.230 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(OC)c1 ZINC000491214735 1072396614 /nfs/dbraw/zinc/39/66/14/1072396614.db2.gz ZJIXIFZNEXDDIX-UHFFFAOYSA-N 0 0 443.522 -0.123 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2OC)CC1 ZINC000491221873 1072414136 /nfs/dbraw/zinc/41/41/36/1072414136.db2.gz AQYHBJYCQARPCA-UHFFFAOYSA-N 0 0 449.508 -0.758 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)c(C)c2)CC1 ZINC000491222037 1072412614 /nfs/dbraw/zinc/41/26/14/1072412614.db2.gz CHRKSMMEQTZGEZ-UHFFFAOYSA-N 0 0 433.509 -0.458 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000491222079 1072412655 /nfs/dbraw/zinc/41/26/55/1072412655.db2.gz CVPXYMIOSTZWAD-AWEZNQCLSA-N 0 0 448.505 -0.195 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000491222080 1072413605 /nfs/dbraw/zinc/41/36/05/1072413605.db2.gz CVPXYMIOSTZWAD-CQSZACIVSA-N 0 0 448.505 -0.195 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2Cn2cncn2)CC1 ZINC000491223380 1072414788 /nfs/dbraw/zinc/41/47/88/1072414788.db2.gz MPCRPSTXSAWZFX-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)NC(C)C)c2)CC1 ZINC000491223567 1072414949 /nfs/dbraw/zinc/41/49/49/1072414949.db2.gz NKDUNXBSSTYVJC-UHFFFAOYSA-N 0 0 447.536 -0.021 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3=O)cc2)CC1 ZINC000491224004 1072415477 /nfs/dbraw/zinc/41/54/77/1072415477.db2.gz QFJNWIFHYWWWNZ-UHFFFAOYSA-N 0 0 431.493 -0.341 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2)CC1 ZINC000491225852 1072417429 /nfs/dbraw/zinc/41/74/29/1072417429.db2.gz VOEFWMCIQDSBEY-UHFFFAOYSA-N 0 0 433.509 -0.238 20 0 IBADRN CCN1CCN(c2nc(N3CCOCC3)nc(N3CCN(CC)C(=O)[C@@H]3C)n2)[C@@H](C)C1=O ZINC000491227145 1072418602 /nfs/dbraw/zinc/41/86/02/1072418602.db2.gz ZHBLSXAKCAPGDZ-HOTGVXAUSA-N 0 0 446.556 -0.178 20 0 IBADRN CCN1CCN(c2nc(N3CCOCC3)nc(N3CCN(CC)C(=O)[C@H]3C)n2)[C@H](C)C1=O ZINC000491227146 1072418625 /nfs/dbraw/zinc/41/86/25/1072418625.db2.gz ZHBLSXAKCAPGDZ-HZPDHXFCSA-N 0 0 446.556 -0.178 20 0 IBADRN CCN1CCN(c2nc(N3CCOCC3)nc(N3CCN(CC)C(=O)[C@@H]3C)n2)[C@H](C)C1=O ZINC000491227147 1072418577 /nfs/dbraw/zinc/41/85/77/1072418577.db2.gz ZHBLSXAKCAPGDZ-IYBDPMFKSA-N 0 0 446.556 -0.178 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1)N1CCCC1 ZINC000491229329 1072421979 /nfs/dbraw/zinc/42/19/79/1072421979.db2.gz URDZBRKJBJUQEP-UHFFFAOYSA-N 0 0 438.506 -0.222 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2-c2nnc(C)o2)CC1 ZINC000491234005 1072431607 /nfs/dbraw/zinc/43/16/07/1072431607.db2.gz DNGWFKVGQVSJES-UHFFFAOYSA-N 0 0 430.465 -0.110 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(-c3nnco3)ccc2C)CC1 ZINC000491235056 1072432754 /nfs/dbraw/zinc/43/27/54/1072432754.db2.gz IXBIZAYRPRUSOD-UHFFFAOYSA-N 0 0 430.465 -0.110 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Cl)c(C(N)=O)c2)CC1 ZINC000491235261 1072432759 /nfs/dbraw/zinc/43/27/59/1072432759.db2.gz JVGKZQAALXBALT-UHFFFAOYSA-N 0 0 425.873 -0.716 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C)CC1 ZINC000491235631 1072432000 /nfs/dbraw/zinc/43/20/00/1072432000.db2.gz KXBKWDSWHLKILC-UHFFFAOYSA-N 0 0 447.536 -0.323 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1 ZINC000491236006 1072434604 /nfs/dbraw/zinc/43/46/04/1072434604.db2.gz LWGAFDFCJCJTCI-UHFFFAOYSA-N 0 0 437.522 -0.114 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000491236284 1072433894 /nfs/dbraw/zinc/43/38/94/1072433894.db2.gz MVUGBVUMFKBTAN-GOSISDBHSA-N 0 0 445.520 -0.461 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000491236291 1072433813 /nfs/dbraw/zinc/43/38/13/1072433813.db2.gz MVUGBVUMFKBTAN-SFHVURJKSA-N 0 0 445.520 -0.461 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(C)=O)c(OC)c2)CC1 ZINC000491236398 1072433795 /nfs/dbraw/zinc/43/37/95/1072433795.db2.gz MZQWAJBPFUVGIY-UHFFFAOYSA-N 0 0 435.481 -0.501 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CC1 ZINC000491236627 1072433309 /nfs/dbraw/zinc/43/33/09/1072433309.db2.gz NYYAKUAILXHGIX-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)C2=O)n(C)n1 ZINC000491236691 1072436310 /nfs/dbraw/zinc/43/63/10/1072436310.db2.gz OMSFBEVLRCTKOJ-HNNXBMFYSA-N 0 0 428.449 -0.157 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)C2=O)n(C)n1 ZINC000491236692 1072436320 /nfs/dbraw/zinc/43/63/20/1072436320.db2.gz OMSFBEVLRCTKOJ-OAHLLOKOSA-N 0 0 428.449 -0.157 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)CC1 ZINC000491236948 1072435140 /nfs/dbraw/zinc/43/51/40/1072435140.db2.gz PPPUIQFGWHGKOF-HNNXBMFYSA-N 0 0 442.538 -0.271 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)CC1 ZINC000491236953 1072435725 /nfs/dbraw/zinc/43/57/25/1072435725.db2.gz PPPUIQFGWHGKOF-OAHLLOKOSA-N 0 0 442.538 -0.271 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-c3nccn3C)c2)CC1 ZINC000491237227 1072434662 /nfs/dbraw/zinc/43/46/62/1072434662.db2.gz QSSIUZVHRPNLOV-UHFFFAOYSA-N 0 0 428.493 -0.068 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1 ZINC000491238412 1072438244 /nfs/dbraw/zinc/43/82/44/1072438244.db2.gz VZRYOEXZRKJLSJ-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000491239123 1072440083 /nfs/dbraw/zinc/44/00/83/1072440083.db2.gz JFVQUZZUICKYSH-UHFFFAOYSA-N 0 0 440.478 -0.930 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000491239266 1072440074 /nfs/dbraw/zinc/44/00/74/1072440074.db2.gz YTALCEHYKJSZBW-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000491239466 1072439987 /nfs/dbraw/zinc/43/99/87/1072439987.db2.gz ZZPXAPAJLPBQRT-UHFFFAOYSA-N 0 0 433.509 -0.108 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)c(OC)c2)CC1 ZINC000491247623 1072443680 /nfs/dbraw/zinc/44/36/80/1072443680.db2.gz PRRSWUPLWIIPSE-UHFFFAOYSA-N 0 0 438.481 -0.442 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCCC2)CC1 ZINC000491248816 1072445098 /nfs/dbraw/zinc/44/50/98/1072445098.db2.gz VYTBMSKMNBBUIV-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000491249480 1072444324 /nfs/dbraw/zinc/44/43/24/1072444324.db2.gz YWRUNDPIKFMRJC-UHFFFAOYSA-N 0 0 425.511 -0.564 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(C)C)cc2)CC1 ZINC000491249748 1072445090 /nfs/dbraw/zinc/44/50/90/1072445090.db2.gz ZYLZMUJVHTUTHU-UHFFFAOYSA-N 0 0 447.536 -0.082 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC(C)C)c(C)c2)CC1 ZINC000491257324 1072449811 /nfs/dbraw/zinc/44/98/11/1072449811.db2.gz ADDBBQLJZJKHGM-UHFFFAOYSA-N 0 0 447.536 -0.021 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000491257498 1072449867 /nfs/dbraw/zinc/44/98/67/1072449867.db2.gz CGQABOJNWRFOMY-UHFFFAOYSA-N 0 0 444.496 -0.378 20 0 IBADRN CCN1CCN(c2nc(NCCOC)nc(N3CCN(CC)C(=O)[C@@H]3C)n2)[C@H](C)C1=O ZINC000491257777 1072450276 /nfs/dbraw/zinc/45/02/76/1072450276.db2.gz FVVYXCMIBBLJTM-GASCZTMLSA-N 0 0 434.545 -0.534 20 0 IBADRN CCN1CCN(c2nc(NCCOC)nc(N3CCN(CC)C(=O)[C@@H]3C)n2)[C@@H](C)C1=O ZINC000491257778 1072450909 /nfs/dbraw/zinc/45/09/09/1072450909.db2.gz FVVYXCMIBBLJTM-GJZGRUSLSA-N 0 0 434.545 -0.534 20 0 IBADRN CCN1CCN(c2nc(NCCOC)nc(N3CCN(CC)C(=O)[C@H]3C)n2)[C@H](C)C1=O ZINC000491257779 1072450993 /nfs/dbraw/zinc/45/09/93/1072450993.db2.gz FVVYXCMIBBLJTM-HUUCEWRRSA-N 0 0 434.545 -0.534 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC000491259527 1072451040 /nfs/dbraw/zinc/45/10/40/1072451040.db2.gz PJZJYUZBDKJOEB-GOSISDBHSA-N 0 0 434.493 -0.300 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC000491259528 1072450938 /nfs/dbraw/zinc/45/09/38/1072450938.db2.gz PJZJYUZBDKJOEB-SFHVURJKSA-N 0 0 434.493 -0.300 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC1 ZINC000491261188 1072454401 /nfs/dbraw/zinc/45/44/01/1072454401.db2.gz XWPVXOYECKFWOL-UHFFFAOYSA-N 0 0 444.536 -0.131 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000491269568 1072461042 /nfs/dbraw/zinc/46/10/42/1072461042.db2.gz CJTOJXKDVSRCLG-AWEZNQCLSA-N 0 0 444.579 -0.042 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000491269569 1072461105 /nfs/dbraw/zinc/46/11/05/1072461105.db2.gz CJTOJXKDVSRCLG-CQSZACIVSA-N 0 0 444.579 -0.042 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1 ZINC000491269833 1072463399 /nfs/dbraw/zinc/46/33/99/1072463399.db2.gz FCFIFNPAFQVJPC-UHFFFAOYSA-N 0 0 427.479 -0.176 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000491273393 1072465028 /nfs/dbraw/zinc/46/50/28/1072465028.db2.gz VNOJEEBIARRKKA-CYBMUJFWSA-N 0 0 439.581 -0.065 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000491273394 1072465129 /nfs/dbraw/zinc/46/51/29/1072465129.db2.gz VNOJEEBIARRKKA-ZDUSSCGKSA-N 0 0 439.581 -0.065 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000491274186 1072465062 /nfs/dbraw/zinc/46/50/62/1072465062.db2.gz YDYOYQPTXVGYRJ-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000491281676 1072466664 /nfs/dbraw/zinc/46/66/64/1072466664.db2.gz GJJSBFSOORSMJR-UHFFFAOYSA-N 0 0 442.480 -0.505 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC2(C(N)=O)CCCC2)cc1 ZINC000491293766 1072503131 /nfs/dbraw/zinc/50/31/31/1072503131.db2.gz GRYURYWQWFUAOG-UHFFFAOYSA-N 0 0 426.495 -0.288 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CCO1 ZINC000491309011 1072533207 /nfs/dbraw/zinc/53/32/07/1072533207.db2.gz IVZGOLCEWZZNFK-GFCCVEGCSA-N 0 0 430.458 -0.622 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CCO1 ZINC000491309012 1072533249 /nfs/dbraw/zinc/53/32/49/1072533249.db2.gz IVZGOLCEWZZNFK-LBPRGKRZSA-N 0 0 430.458 -0.622 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000491310151 1072534875 /nfs/dbraw/zinc/53/48/75/1072534875.db2.gz OLXGXPWGEZUSPD-HNNXBMFYSA-N 0 0 426.495 -0.323 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000491310152 1072534619 /nfs/dbraw/zinc/53/46/19/1072534619.db2.gz OLXGXPWGEZUSPD-OAHLLOKOSA-N 0 0 426.495 -0.323 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)Nc2cccnc2)cc1 ZINC000491310471 1072534827 /nfs/dbraw/zinc/53/48/27/1072534827.db2.gz QFRLDBCJRBVHFU-UHFFFAOYSA-N 0 0 435.462 -0.300 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000491311062 1072535261 /nfs/dbraw/zinc/53/52/61/1072535261.db2.gz UKHMMEUUFJRMMC-UHFFFAOYSA-N 0 0 432.568 -0.184 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000491319496 1072541510 /nfs/dbraw/zinc/54/15/10/1072541510.db2.gz DPMSULAOHRYUIC-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000491323395 1072548457 /nfs/dbraw/zinc/54/84/57/1072548457.db2.gz OKVKKCPDFNRMIR-CYBMUJFWSA-N 0 0 449.551 -0.169 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000491323396 1072548387 /nfs/dbraw/zinc/54/83/87/1072548387.db2.gz OKVKKCPDFNRMIR-ZDUSSCGKSA-N 0 0 449.551 -0.169 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCSCC2)cc1 ZINC000491325160 1072551248 /nfs/dbraw/zinc/55/12/48/1072551248.db2.gz ZVDMHUPZQKVBPC-UHFFFAOYSA-N 0 0 430.552 -0.285 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000491328226 1072556747 /nfs/dbraw/zinc/55/67/47/1072556747.db2.gz FWMQQONWWBSZDG-CVEARBPZSA-N 0 0 446.460 -0.495 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000491328227 1072556466 /nfs/dbraw/zinc/55/64/66/1072556466.db2.gz FWMQQONWWBSZDG-HOTGVXAUSA-N 0 0 446.460 -0.495 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000491328228 1072556638 /nfs/dbraw/zinc/55/66/38/1072556638.db2.gz FWMQQONWWBSZDG-HZPDHXFCSA-N 0 0 446.460 -0.495 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000491328229 1072556426 /nfs/dbraw/zinc/55/64/26/1072556426.db2.gz FWMQQONWWBSZDG-JKSUJKDBSA-N 0 0 446.460 -0.495 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000491332994 1072564780 /nfs/dbraw/zinc/56/47/80/1072564780.db2.gz JUXXLLCAFBZTRH-UHFFFAOYSA-N 0 0 425.467 -0.165 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)NCCN2C(=O)CNC2=O)ccn1 ZINC000491333416 1072563707 /nfs/dbraw/zinc/56/37/07/1072563707.db2.gz LGJQYIBBGJFBPY-UHFFFAOYSA-N 0 0 440.416 -0.160 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C ZINC000491335375 1072567215 /nfs/dbraw/zinc/56/72/15/1072567215.db2.gz QWSKSHOCVFNEOD-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000491335971 1072569264 /nfs/dbraw/zinc/56/92/64/1072569264.db2.gz SPBSKGJLLMJQCN-UHFFFAOYSA-N 0 0 425.467 -0.629 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000491336439 1072568837 /nfs/dbraw/zinc/56/88/37/1072568837.db2.gz VMPZKJGKBYYIEO-UHFFFAOYSA-N 0 0 425.467 -0.165 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC000491336494 1072568249 /nfs/dbraw/zinc/56/82/49/1072568249.db2.gz VSLXVUQPABWWBB-UHFFFAOYSA-N 0 0 425.467 -0.165 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)NC(C)(C)C)cc1 ZINC000491346383 1072580785 /nfs/dbraw/zinc/58/07/85/1072580785.db2.gz MLICNIKSPLSHNB-UHFFFAOYSA-N 0 0 428.511 -0.029 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000491350991 1072581275 /nfs/dbraw/zinc/58/12/75/1072581275.db2.gz XPWKUPOOZBMYNR-AWEZNQCLSA-N 0 0 431.536 -0.003 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000491350992 1072581263 /nfs/dbraw/zinc/58/12/63/1072581263.db2.gz XPWKUPOOZBMYNR-CQSZACIVSA-N 0 0 431.536 -0.003 20 0 IBADRN CCCCCN(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000491355951 1072581406 /nfs/dbraw/zinc/58/14/06/1072581406.db2.gz JEOFZKVSBJULLY-UHFFFAOYSA-N 0 0 440.522 -0.243 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1 ZINC000491357565 1072581372 /nfs/dbraw/zinc/58/13/72/1072581372.db2.gz AOJKCRAUZPEPRX-UHFFFAOYSA-N 0 0 446.551 -0.323 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)cc1 ZINC000491358136 1072581362 /nfs/dbraw/zinc/58/13/62/1072581362.db2.gz CZAXYMFRFPBVHY-HNNXBMFYSA-N 0 0 426.495 -0.325 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)cc1 ZINC000491358137 1072581462 /nfs/dbraw/zinc/58/14/62/1072581462.db2.gz CZAXYMFRFPBVHY-OAHLLOKOSA-N 0 0 426.495 -0.325 20 0 IBADRN COCC1(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCOCC1 ZINC000491362447 1072581204 /nfs/dbraw/zinc/58/12/04/1072581204.db2.gz SEZZZTPZVGSZOI-UHFFFAOYSA-N 0 0 433.575 -0.751 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1cc(N2CCNC2=O)ccc1Cl ZINC000491369210 1072581941 /nfs/dbraw/zinc/58/19/41/1072581941.db2.gz SNHNXQHJNHVFGN-UHFFFAOYSA-N 0 0 429.886 -0.040 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1 ZINC000491373114 1072581882 /nfs/dbraw/zinc/58/18/82/1072581882.db2.gz IPBWWWHASDIUNV-AWEZNQCLSA-N 0 0 428.453 -0.848 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1 ZINC000491373115 1072581927 /nfs/dbraw/zinc/58/19/27/1072581927.db2.gz IPBWWWHASDIUNV-CQSZACIVSA-N 0 0 428.453 -0.848 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)c1 ZINC000491374617 1072581860 /nfs/dbraw/zinc/58/18/60/1072581860.db2.gz LWFCHGJHQDXORW-UHFFFAOYSA-N 0 0 436.494 -0.123 20 0 IBADRN COCC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000491380294 1072581874 /nfs/dbraw/zinc/58/18/74/1072581874.db2.gz MYZNNYMBTMWFOW-UHFFFAOYSA-N 0 0 438.506 -0.369 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC000491386326 1072581106 /nfs/dbraw/zinc/58/11/06/1072581106.db2.gz HJBHKNFGEOKESY-AWEZNQCLSA-N 0 0 439.494 -0.760 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC000491386328 1072581287 /nfs/dbraw/zinc/58/12/87/1072581287.db2.gz HJBHKNFGEOKESY-CQSZACIVSA-N 0 0 439.494 -0.760 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000491390480 1072581229 /nfs/dbraw/zinc/58/12/29/1072581229.db2.gz VNLBHSAPARYPEO-CYBMUJFWSA-N 0 0 426.495 -0.466 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000491390481 1072582008 /nfs/dbraw/zinc/58/20/08/1072582008.db2.gz VNLBHSAPARYPEO-ZDUSSCGKSA-N 0 0 426.495 -0.466 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCNC(=O)c2cnccn2)c1 ZINC000491397181 1072581903 /nfs/dbraw/zinc/58/19/03/1072581903.db2.gz BFKZRKAIDHPZLK-UHFFFAOYSA-N 0 0 438.441 -0.649 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000491397215 1072582515 /nfs/dbraw/zinc/58/25/15/1072582515.db2.gz BKGYXJUEHIYUFQ-UHFFFAOYSA-N 0 0 434.478 -0.350 20 0 IBADRN CC(C)CC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCC1 ZINC000491399612 1072582344 /nfs/dbraw/zinc/58/23/44/1072582344.db2.gz XLHCORWOLCDIOH-UHFFFAOYSA-N 0 0 443.614 -0.045 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCS(=O)(=O)c2ccc(F)cc2)cn1)NC1CC1 ZINC000491399746 1072582578 /nfs/dbraw/zinc/58/25/78/1072582578.db2.gz IYIHCWPYXKLRBM-UHFFFAOYSA-N 0 0 437.453 -0.171 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)N(CCO)CCN2CCOCC2)C1=O ZINC000491406092 1072582568 /nfs/dbraw/zinc/58/25/68/1072582568.db2.gz AWBKKCQPABMDSP-HNNXBMFYSA-N 0 0 440.522 -0.024 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)N(CCO)CCN2CCOCC2)C1=O ZINC000491406095 1072582422 /nfs/dbraw/zinc/58/24/22/1072582422.db2.gz AWBKKCQPABMDSP-OAHLLOKOSA-N 0 0 440.522 -0.024 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000491411788 1072582607 /nfs/dbraw/zinc/58/26/07/1072582607.db2.gz AWCHFNNGDWLXJL-AWEZNQCLSA-N 0 0 434.478 -0.503 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000491411789 1072582553 /nfs/dbraw/zinc/58/25/53/1072582553.db2.gz AWCHFNNGDWLXJL-CQSZACIVSA-N 0 0 434.478 -0.503 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2F)c1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000491412222 1072582533 /nfs/dbraw/zinc/58/25/33/1072582533.db2.gz FUYFXUKTIVNTNO-UHFFFAOYSA-N 0 0 427.400 -0.693 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000491412339 1072582457 /nfs/dbraw/zinc/58/24/57/1072582457.db2.gz GVAHXSBNCYATFP-INIZCTEOSA-N 0 0 448.505 -0.031 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000491412340 1072583196 /nfs/dbraw/zinc/58/31/96/1072583196.db2.gz GVAHXSBNCYATFP-MRXNPFEDSA-N 0 0 448.505 -0.031 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)NCCCS(N)(=O)=O)ccn1 ZINC000491413140 1072583092 /nfs/dbraw/zinc/58/30/92/1072583092.db2.gz LMTOGCVHVANMKU-UHFFFAOYSA-N 0 0 435.462 -0.033 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000491422587 1072582593 /nfs/dbraw/zinc/58/25/93/1072582593.db2.gz IOZRFUXXQCFYKG-UHFFFAOYSA-N 0 0 448.505 -0.508 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCNC(=O)c1ccccc1F ZINC000491423743 1072582399 /nfs/dbraw/zinc/58/23/99/1072582399.db2.gz NKRIRXHOIGSATN-UHFFFAOYSA-N 0 0 436.421 -0.073 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)N(CCO)CCN2CCOCC2)c(C)s1 ZINC000491423947 1073369843 /nfs/dbraw/zinc/36/98/43/1073369843.db2.gz OMXYXKVXZFEJAR-UHFFFAOYSA-N 0 0 434.584 -0.021 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC[C@@H](O)C(F)(F)F)cc1 ZINC000491428689 1072582368 /nfs/dbraw/zinc/58/23/68/1072582368.db2.gz GNEOGMRUHPTSML-GFCCVEGCSA-N 0 0 427.401 -0.021 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC[C@H](O)C(F)(F)F)cc1 ZINC000491428690 1072582383 /nfs/dbraw/zinc/58/23/83/1072582383.db2.gz GNEOGMRUHPTSML-LBPRGKRZSA-N 0 0 427.401 -0.021 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000491431895 1072582477 /nfs/dbraw/zinc/58/24/77/1072582477.db2.gz MHQGTFGFAGNIET-CABCVRRESA-N 0 0 442.538 -0.225 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000491431896 1072582505 /nfs/dbraw/zinc/58/25/05/1072582505.db2.gz MHQGTFGFAGNIET-GJZGRUSLSA-N 0 0 442.538 -0.225 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000491431897 1072582287 /nfs/dbraw/zinc/58/22/87/1072582287.db2.gz MHQGTFGFAGNIET-HUUCEWRRSA-N 0 0 442.538 -0.225 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000491431898 1072582488 /nfs/dbraw/zinc/58/24/88/1072582488.db2.gz MHQGTFGFAGNIET-LSDHHAIUSA-N 0 0 442.538 -0.225 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000491437355 1072582440 /nfs/dbraw/zinc/58/24/40/1072582440.db2.gz ZLQLKENFQHAPDB-UHFFFAOYSA-N 0 0 444.535 -0.626 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O)ccc1S(=O)(=O)N1CCCC1 ZINC000491445207 1072583042 /nfs/dbraw/zinc/58/30/42/1072583042.db2.gz IKLGUUUKBLYXLF-GFCCVEGCSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O)ccc1S(=O)(=O)N1CCCC1 ZINC000491445209 1072583010 /nfs/dbraw/zinc/58/30/10/1072583010.db2.gz IKLGUUUKBLYXLF-LBPRGKRZSA-N 0 0 447.535 -0.033 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1C ZINC000491445791 1072582970 /nfs/dbraw/zinc/58/29/70/1072582970.db2.gz RXMJSZSKFULTPA-BETUJISGSA-N 0 0 427.527 -0.491 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1C ZINC000491445793 1072583022 /nfs/dbraw/zinc/58/30/22/1072583022.db2.gz RXMJSZSKFULTPA-CHWSQXEVSA-N 0 0 427.527 -0.491 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1C ZINC000491445795 1072583184 /nfs/dbraw/zinc/58/31/84/1072583184.db2.gz RXMJSZSKFULTPA-STQMWFEESA-N 0 0 427.527 -0.491 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000491445888 1072582932 /nfs/dbraw/zinc/58/29/32/1072582932.db2.gz KHRFILKZTDMBBI-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000491445889 1072583072 /nfs/dbraw/zinc/58/30/72/1072583072.db2.gz KHRFILKZTDMBBI-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H]2COCCO2)ccc1S(=O)(=O)N1CCCC1 ZINC000491446361 1072583060 /nfs/dbraw/zinc/58/30/60/1072583060.db2.gz LQUCWCVOTJIWRT-AWEZNQCLSA-N 0 0 427.479 -0.050 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H]2COCCO2)ccc1S(=O)(=O)N1CCCC1 ZINC000491446362 1072582982 /nfs/dbraw/zinc/58/29/82/1072582982.db2.gz LQUCWCVOTJIWRT-CQSZACIVSA-N 0 0 427.479 -0.050 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000491447426 1072583033 /nfs/dbraw/zinc/58/30/33/1072583033.db2.gz PBCGXRVITRRAGM-AWEZNQCLSA-N 0 0 432.524 -0.073 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000491447427 1072583083 /nfs/dbraw/zinc/58/30/83/1072583083.db2.gz PBCGXRVITRRAGM-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000491448672 1072582956 /nfs/dbraw/zinc/58/29/56/1072582956.db2.gz UTJWTJVJYAFDKW-JTQLQIEISA-N 0 0 436.487 -0.835 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000491448673 1072583050 /nfs/dbraw/zinc/58/30/50/1072583050.db2.gz UTJWTJVJYAFDKW-SNVBAGLBSA-N 0 0 436.487 -0.835 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000491448969 1072583670 /nfs/dbraw/zinc/58/36/70/1072583670.db2.gz WASRVXPTTZESOW-CYBMUJFWSA-N 0 0 432.524 -0.537 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000491448978 1072583528 /nfs/dbraw/zinc/58/35/28/1072583528.db2.gz WASRVXPTTZESOW-ZDUSSCGKSA-N 0 0 432.524 -0.537 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)CC2)cn1 ZINC000491449543 1072583206 /nfs/dbraw/zinc/58/32/06/1072583206.db2.gz XRDRAVLGDDGPHE-UHFFFAOYSA-N 0 0 428.497 -0.037 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](C)CN2CCOCC2)cc1 ZINC000491455618 1072583637 /nfs/dbraw/zinc/58/36/37/1072583637.db2.gz BGQJVGHTAKCEGY-HNNXBMFYSA-N 0 0 442.538 -0.366 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cc1 ZINC000491455619 1072583727 /nfs/dbraw/zinc/58/37/27/1072583727.db2.gz BGQJVGHTAKCEGY-OAHLLOKOSA-N 0 0 442.538 -0.366 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOC[C@@H]2C)cc1 ZINC000491456599 1072583577 /nfs/dbraw/zinc/58/35/77/1072583577.db2.gz FPMJUDSLJSCFMR-AWEZNQCLSA-N 0 0 428.511 -0.613 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOC[C@H]2C)cc1 ZINC000491456600 1072583745 /nfs/dbraw/zinc/58/37/45/1072583745.db2.gz FPMJUDSLJSCFMR-CQSZACIVSA-N 0 0 428.511 -0.613 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000491457140 1072584034 /nfs/dbraw/zinc/58/40/34/1072584034.db2.gz ICFYRFPDCNCUNE-AWEZNQCLSA-N 0 0 432.524 -0.073 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000491457141 1072584187 /nfs/dbraw/zinc/58/41/87/1072584187.db2.gz ICFYRFPDCNCUNE-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3F)c2)CC1 ZINC000491457798 1072584049 /nfs/dbraw/zinc/58/40/49/1072584049.db2.gz JOSNZSHZDRCTLV-UHFFFAOYSA-N 0 0 432.456 -0.143 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2nc(-c3nccn3C)cs2)CC1 ZINC000491459325 1072584007 /nfs/dbraw/zinc/58/40/07/1072584007.db2.gz QYVPQRGDTNRDAE-UHFFFAOYSA-N 0 0 435.510 -0.611 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOCC2(C)C)cc1 ZINC000491469691 1072583754 /nfs/dbraw/zinc/58/37/54/1072583754.db2.gz CSCKNTUOTRGDMG-UHFFFAOYSA-N 0 0 442.538 -0.223 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)cc1 ZINC000491470807 1072583542 /nfs/dbraw/zinc/58/35/42/1072583542.db2.gz JNTOOJAQJJUVEY-CHWSQXEVSA-N 0 0 449.551 -0.170 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O)cc1 ZINC000491470808 1072583690 /nfs/dbraw/zinc/58/36/90/1072583690.db2.gz JNTOOJAQJJUVEY-OLZOCXBDSA-N 0 0 449.551 -0.170 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O)cc1 ZINC000491470809 1072583552 /nfs/dbraw/zinc/58/35/52/1072583552.db2.gz JNTOOJAQJJUVEY-QWHCGFSZSA-N 0 0 449.551 -0.170 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O)cc1 ZINC000491470810 1072583680 /nfs/dbraw/zinc/58/36/80/1072583680.db2.gz JNTOOJAQJJUVEY-STQMWFEESA-N 0 0 449.551 -0.170 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1 ZINC000491472090 1072583623 /nfs/dbraw/zinc/58/36/23/1072583623.db2.gz QYBKUIPVUVNZJM-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000491485116 1072584066 /nfs/dbraw/zinc/58/40/66/1072584066.db2.gz LOAQZZKTUHQFEV-UHFFFAOYSA-N 0 0 435.481 -0.203 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000491489219 1072584221 /nfs/dbraw/zinc/58/42/21/1072584221.db2.gz ZGKCDOPFBSTRIV-UHFFFAOYSA-N 0 0 433.513 -0.887 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000491489227 1072584620 /nfs/dbraw/zinc/58/46/20/1072584620.db2.gz ZINQNSFYYPQHSY-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cn1)NC1CC1 ZINC000491498668 1072584109 /nfs/dbraw/zinc/58/41/09/1072584109.db2.gz CVHAHRUDZHUGNW-AWEZNQCLSA-N 0 0 432.481 -0.802 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cn1)NC1CC1 ZINC000491498669 1072584020 /nfs/dbraw/zinc/58/40/20/1072584020.db2.gz CVHAHRUDZHUGNW-CQSZACIVSA-N 0 0 432.481 -0.802 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)ccc1S(=O)(=O)N1CCCC1 ZINC000491500346 1072584197 /nfs/dbraw/zinc/58/41/97/1072584197.db2.gz LVBYBISFTLPZAE-UHFFFAOYSA-N 0 0 445.519 -0.325 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc1 ZINC000491500357 1072584209 /nfs/dbraw/zinc/58/42/09/1072584209.db2.gz LXHDRBGAWAFZJT-UHFFFAOYSA-N 0 0 445.520 -0.060 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000491500483 1072584247 /nfs/dbraw/zinc/58/42/47/1072584247.db2.gz NEBMBWSTCNEGNZ-UHFFFAOYSA-N 0 0 428.442 -0.330 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](OCCO)C2)cc1 ZINC000491500493 1072583990 /nfs/dbraw/zinc/58/39/90/1072583990.db2.gz NGECLINGGNUAMI-HNNXBMFYSA-N 0 0 429.495 -0.450 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](OCCO)C2)cc1 ZINC000491500494 1072584075 /nfs/dbraw/zinc/58/40/75/1072584075.db2.gz NGECLINGGNUAMI-OAHLLOKOSA-N 0 0 429.495 -0.450 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000491501534 1072584180 /nfs/dbraw/zinc/58/41/80/1072584180.db2.gz YFGYVNDRICWJNP-GFCCVEGCSA-N 0 0 435.524 -0.511 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000491501535 1072584170 /nfs/dbraw/zinc/58/41/70/1072584170.db2.gz YFGYVNDRICWJNP-LBPRGKRZSA-N 0 0 435.524 -0.511 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000491506150 1072584123 /nfs/dbraw/zinc/58/41/23/1072584123.db2.gz GTEIEWBYUDWKFJ-UHFFFAOYSA-N 0 0 442.563 -0.189 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000491508132 1072584647 /nfs/dbraw/zinc/58/46/47/1072584647.db2.gz KNCIPMPNJMNPIB-UHFFFAOYSA-N 0 0 442.563 -0.189 20 0 IBADRN CCC[C@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000491510885 1072584595 /nfs/dbraw/zinc/58/45/95/1072584595.db2.gz ZFGTYUMRAKWFBT-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN CCC[C@@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000491510886 1072584692 /nfs/dbraw/zinc/58/46/92/1072584692.db2.gz ZFGTYUMRAKWFBT-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C(NCC1(CCO)CCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000491514879 1072584668 /nfs/dbraw/zinc/58/46/68/1072584668.db2.gz UJTDREDWYVWAOY-UHFFFAOYSA-N 0 0 438.506 -0.586 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000491515522 1072585264 /nfs/dbraw/zinc/58/52/64/1072585264.db2.gz VRGHPKNRRJBSLF-UHFFFAOYSA-N 0 0 447.540 -0.497 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000491520784 1072585322 /nfs/dbraw/zinc/58/53/22/1072585322.db2.gz HYXLFYKYNRCUQE-UHFFFAOYSA-N 0 0 449.577 -0.148 20 0 IBADRN CC[C@@H](C)[C@@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000491522310 1072585161 /nfs/dbraw/zinc/58/51/61/1072585161.db2.gz SYBVSOMLAHWAMC-DOMZBBRYSA-N 0 0 426.495 -0.731 20 0 IBADRN CC[C@@H](C)[C@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000491522311 1072585289 /nfs/dbraw/zinc/58/52/89/1072585289.db2.gz SYBVSOMLAHWAMC-IUODEOHRSA-N 0 0 426.495 -0.731 20 0 IBADRN CC[C@H](C)[C@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000491522312 1072585131 /nfs/dbraw/zinc/58/51/31/1072585131.db2.gz SYBVSOMLAHWAMC-SWLSCSKDSA-N 0 0 426.495 -0.731 20 0 IBADRN CC[C@H](C)[C@@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000491522313 1072585241 /nfs/dbraw/zinc/58/52/41/1072585241.db2.gz SYBVSOMLAHWAMC-WFASDCNBSA-N 0 0 426.495 -0.731 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000491527614 1072584811 /nfs/dbraw/zinc/58/48/11/1072584811.db2.gz BAUYNZCXIDBUHQ-CYBMUJFWSA-N 0 0 427.508 -0.198 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000491527615 1072584764 /nfs/dbraw/zinc/58/47/64/1072584764.db2.gz BAUYNZCXIDBUHQ-ZDUSSCGKSA-N 0 0 427.508 -0.198 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000491533785 1072584794 /nfs/dbraw/zinc/58/47/94/1072584794.db2.gz SOWNARPQOXVYSU-HNNXBMFYSA-N 0 0 445.524 -0.745 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000491533786 1072584752 /nfs/dbraw/zinc/58/47/52/1072584752.db2.gz SOWNARPQOXVYSU-OAHLLOKOSA-N 0 0 445.524 -0.745 20 0 IBADRN CCC[C@@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000491541325 1072585301 /nfs/dbraw/zinc/58/53/01/1072585301.db2.gz MSNAOZNIRYTROL-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CCC[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000491541326 1072585355 /nfs/dbraw/zinc/58/53/55/1072585355.db2.gz MSNAOZNIRYTROL-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H]1CS(=O)(=O)NC ZINC000491542128 1072585210 /nfs/dbraw/zinc/58/52/10/1072585210.db2.gz AYHOYANGVGSGMH-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H]1CS(=O)(=O)NC ZINC000491542129 1072585251 /nfs/dbraw/zinc/58/52/51/1072585251.db2.gz AYHOYANGVGSGMH-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)c2cccnc12 ZINC000491543089 1072585228 /nfs/dbraw/zinc/58/52/28/1072585228.db2.gz FOTSXBRNEMTDLQ-GFCCVEGCSA-N 0 0 427.417 -0.039 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)c2cccnc12 ZINC000491543091 1072585147 /nfs/dbraw/zinc/58/51/47/1072585147.db2.gz FOTSXBRNEMTDLQ-LBPRGKRZSA-N 0 0 427.417 -0.039 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)cn1 ZINC000491544815 1072585116 /nfs/dbraw/zinc/58/51/16/1072585116.db2.gz JOXZCSOGWJHQDV-CYBMUJFWSA-N 0 0 432.462 -0.550 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)cn1 ZINC000491544816 1072585219 /nfs/dbraw/zinc/58/52/19/1072585219.db2.gz JOXZCSOGWJHQDV-ZDUSSCGKSA-N 0 0 432.462 -0.550 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000491547078 1072585193 /nfs/dbraw/zinc/58/51/93/1072585193.db2.gz OIXSOKSXLJBCLT-HNNXBMFYSA-N 0 0 440.526 -0.579 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000491547081 1072585090 /nfs/dbraw/zinc/58/50/90/1072585090.db2.gz OIXSOKSXLJBCLT-OAHLLOKOSA-N 0 0 440.526 -0.579 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)n(C)n1 ZINC000491550698 1072585343 /nfs/dbraw/zinc/58/53/43/1072585343.db2.gz YRWJVFIFBGRLHR-UHFFFAOYSA-N 0 0 445.542 -0.554 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000491552644 1072585746 /nfs/dbraw/zinc/58/57/46/1072585746.db2.gz OHPUVJTTWPSKFT-GOSISDBHSA-N 0 0 448.545 -0.196 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000491552645 1072585790 /nfs/dbraw/zinc/58/57/90/1072585790.db2.gz OHPUVJTTWPSKFT-SFHVURJKSA-N 0 0 448.545 -0.196 20 0 IBADRN Cc1ncc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000491557501 1072585871 /nfs/dbraw/zinc/58/58/71/1072585871.db2.gz MKPMAFUXTAKRBN-UHFFFAOYSA-N 0 0 440.507 -0.029 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000491572591 1072586910 /nfs/dbraw/zinc/58/69/10/1072586910.db2.gz NUZPPLCHRYXFBZ-HNNXBMFYSA-N 0 0 448.524 -0.024 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000491572592 1072587081 /nfs/dbraw/zinc/58/70/81/1072587081.db2.gz NUZPPLCHRYXFBZ-OAHLLOKOSA-N 0 0 448.524 -0.024 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000491575930 1072586976 /nfs/dbraw/zinc/58/69/76/1072586976.db2.gz SYSUVEHAQBPKBD-UHFFFAOYSA-N 0 0 426.466 -0.150 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000491578521 1072586875 /nfs/dbraw/zinc/58/68/75/1072586875.db2.gz HRMNHIUWWYZKJT-UHFFFAOYSA-N 0 0 441.535 -0.411 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)CC2=O)cn1 ZINC000491579205 1072585736 /nfs/dbraw/zinc/58/57/36/1072585736.db2.gz OIBYFCANDQFFSC-UHFFFAOYSA-N 0 0 442.480 -0.511 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)c2cnn(CC(F)F)c2)CC1 ZINC000491580968 1072585650 /nfs/dbraw/zinc/58/56/50/1072585650.db2.gz HNBYPKAIEHSHLA-UHFFFAOYSA-N 0 0 425.439 -0.028 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CC2)n1 ZINC000491581047 1072586331 /nfs/dbraw/zinc/58/63/31/1072586331.db2.gz IHPSNEHUTXBBEK-UHFFFAOYSA-N 0 0 428.453 -0.752 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000491581506 1072586546 /nfs/dbraw/zinc/58/65/46/1072586546.db2.gz MWGNLZHIGWDPCQ-HNNXBMFYSA-N 0 0 430.552 -0.857 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CCS1(=O)=O ZINC000491582264 1072586356 /nfs/dbraw/zinc/58/63/56/1072586356.db2.gz WCSKZOIXQHAZMX-UHFFFAOYSA-N 0 0 425.511 -0.084 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000491582339 1072586315 /nfs/dbraw/zinc/58/63/15/1072586315.db2.gz WXSGRKHNFMKPID-UHFFFAOYSA-N 0 0 448.548 -0.363 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000491582505 1072586404 /nfs/dbraw/zinc/58/64/04/1072586404.db2.gz ZCWQYYAKFFYFSC-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N2CCSCC2)cn1)NC1CC1 ZINC000491582771 1072586368 /nfs/dbraw/zinc/58/63/68/1072586368.db2.gz BTRLGUIZPCWKFK-HNNXBMFYSA-N 0 0 434.522 -0.333 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)cn1)NC1CC1 ZINC000491582772 1072586321 /nfs/dbraw/zinc/58/63/21/1072586321.db2.gz BTRLGUIZPCWKFK-OAHLLOKOSA-N 0 0 434.522 -0.333 20 0 IBADRN CCN(C1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1)S(C)(=O)=O ZINC000491584175 1072586533 /nfs/dbraw/zinc/58/65/33/1072586533.db2.gz QDLTVXWTODUFSK-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000491584915 1072586484 /nfs/dbraw/zinc/58/64/84/1072586484.db2.gz YKDICIWGBHXOJO-UHFFFAOYSA-N 0 0 432.553 -0.071 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000491585385 1072586804 /nfs/dbraw/zinc/58/68/04/1072586804.db2.gz CKPGEXXLCDFZSS-AAEUAGOBSA-N 0 0 440.884 -0.295 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000491585386 1072587101 /nfs/dbraw/zinc/58/71/01/1072587101.db2.gz CKPGEXXLCDFZSS-DGCLKSJQSA-N 0 0 440.884 -0.295 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000491585387 1072586994 /nfs/dbraw/zinc/58/69/94/1072586994.db2.gz CKPGEXXLCDFZSS-WCQYABFASA-N 0 0 440.884 -0.295 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000491585388 1072586814 /nfs/dbraw/zinc/58/68/14/1072586814.db2.gz CKPGEXXLCDFZSS-YPMHNXCESA-N 0 0 440.884 -0.295 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000491585554 1072586384 /nfs/dbraw/zinc/58/63/84/1072586384.db2.gz DWPCCIDOJRCFCB-UHFFFAOYSA-N 0 0 427.508 -0.244 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c2cccnc12 ZINC000491585935 1072586524 /nfs/dbraw/zinc/58/65/24/1072586524.db2.gz IIMRVKULOSFHCS-ABAIWWIYSA-N 0 0 430.417 -0.174 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c2cccnc12 ZINC000491585936 1072586419 /nfs/dbraw/zinc/58/64/19/1072586419.db2.gz IIMRVKULOSFHCS-IAQYHMDHSA-N 0 0 430.417 -0.174 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)C[C@H](C(N)=O)O1 ZINC000491586049 1072587022 /nfs/dbraw/zinc/58/70/22/1072587022.db2.gz JNMPPIZJVCMSTR-BXUZGUMPSA-N 0 0 435.462 -0.685 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)C[C@@H](C(N)=O)O1 ZINC000491586050 1072586889 /nfs/dbraw/zinc/58/68/89/1072586889.db2.gz JNMPPIZJVCMSTR-RISCZKNCSA-N 0 0 435.462 -0.685 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1)C(=O)N1CCOCC1 ZINC000491587027 1072587628 /nfs/dbraw/zinc/58/76/28/1072587628.db2.gz UBNAOGAASAYIKT-INIZCTEOSA-N 0 0 434.497 -0.366 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1)C(=O)N1CCOCC1 ZINC000491587028 1072587592 /nfs/dbraw/zinc/58/75/92/1072587592.db2.gz UBNAOGAASAYIKT-MRXNPFEDSA-N 0 0 434.497 -0.366 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000491588002 1072588050 /nfs/dbraw/zinc/58/80/50/1072588050.db2.gz DKWLCUYVCXFGRQ-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1 ZINC000491588969 1072587562 /nfs/dbraw/zinc/58/75/62/1072587562.db2.gz NANNXIJMJMHSIN-INIZCTEOSA-N 0 0 441.492 -0.403 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1 ZINC000491588970 1072587503 /nfs/dbraw/zinc/58/75/03/1072587503.db2.gz NANNXIJMJMHSIN-MRXNPFEDSA-N 0 0 441.492 -0.403 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000491589934 1072588151 /nfs/dbraw/zinc/58/81/51/1072588151.db2.gz VSCXQMBGFCJLOB-GFCCVEGCSA-N 0 0 425.554 -0.455 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000491589935 1072587955 /nfs/dbraw/zinc/58/79/55/1072587955.db2.gz VSCXQMBGFCJLOB-LBPRGKRZSA-N 0 0 425.554 -0.455 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1 ZINC000491590083 1072587965 /nfs/dbraw/zinc/58/79/65/1072587965.db2.gz XFHARAWGKOZBGN-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1 ZINC000491590084 1072587986 /nfs/dbraw/zinc/58/79/86/1072587986.db2.gz XFHARAWGKOZBGN-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cnn(CC(F)F)c1 ZINC000491592392 1072587543 /nfs/dbraw/zinc/58/75/43/1072587543.db2.gz UYZVNXZNRBBZTJ-UHFFFAOYSA-N 0 0 443.498 -0.532 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1CC(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000491592425 1072587517 /nfs/dbraw/zinc/58/75/17/1072587517.db2.gz VKCVCDUKOBSJSU-ZDUSSCGKSA-N 0 0 426.520 -0.206 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn(CC(F)F)c3)CC2)cn1C ZINC000491593286 1072587552 /nfs/dbraw/zinc/58/75/52/1072587552.db2.gz DUUNRBAZFVJGQI-UHFFFAOYSA-N 0 0 438.482 -0.115 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000491595399 1072587532 /nfs/dbraw/zinc/58/75/32/1072587532.db2.gz ZRUXAYZBZIUNHA-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)cc1Cl ZINC000491595534 1072587493 /nfs/dbraw/zinc/58/74/93/1072587493.db2.gz BBBHOHFGIRHIRP-GFCCVEGCSA-N 0 0 449.895 -0.024 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CC3)C2)cc1Cl ZINC000491595535 1072587447 /nfs/dbraw/zinc/58/74/47/1072587447.db2.gz BBBHOHFGIRHIRP-LBPRGKRZSA-N 0 0 449.895 -0.024 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c2cccnc12 ZINC000491595794 1072587600 /nfs/dbraw/zinc/58/76/00/1072587600.db2.gz DOABEYSCRNMAQC-ABAIWWIYSA-N 0 0 430.417 -0.126 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c2cccnc12 ZINC000491595795 1072587632 /nfs/dbraw/zinc/58/76/32/1072587632.db2.gz DOABEYSCRNMAQC-IAQYHMDHSA-N 0 0 430.417 -0.126 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c2cccnc12 ZINC000491595796 1072587526 /nfs/dbraw/zinc/58/75/26/1072587526.db2.gz DOABEYSCRNMAQC-NHYWBVRUSA-N 0 0 430.417 -0.126 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c2cccnc12 ZINC000491595797 1072587622 /nfs/dbraw/zinc/58/76/22/1072587622.db2.gz DOABEYSCRNMAQC-XHDPSFHLSA-N 0 0 430.417 -0.126 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccn(-c3ccccc3Cl)n2)CC1 ZINC000491596051 1073318415 /nfs/dbraw/zinc/31/84/15/1073318415.db2.gz GYOJDYCTEKGJCS-UHFFFAOYSA-N 0 0 440.913 -0.103 20 0 IBADRN O=C(Nc1ccn(-c2ccccc2Cl)n1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000491596219 1072587481 /nfs/dbraw/zinc/58/74/81/1072587481.db2.gz IHXKIMWWQUYTKS-UHFFFAOYSA-N 0 0 443.855 -0.178 20 0 IBADRN CCN(C1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1)S(C)(=O)=O ZINC000491596676 1072587570 /nfs/dbraw/zinc/58/75/70/1072587570.db2.gz MTWNSBYOLMEODG-UHFFFAOYSA-N 0 0 446.551 -0.147 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000491601423 1072587580 /nfs/dbraw/zinc/58/75/80/1072587580.db2.gz LPQYPWQCHVYXPZ-UHFFFAOYSA-N 0 0 446.551 -0.147 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000491610817 1072588146 /nfs/dbraw/zinc/58/81/46/1072588146.db2.gz UVNJNMWLFZQZGA-KRWDZBQOSA-N 0 0 444.535 -0.016 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000491610818 1072587994 /nfs/dbraw/zinc/58/79/94/1072587994.db2.gz UVNJNMWLFZQZGA-QGZVFWFLSA-N 0 0 444.535 -0.016 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000491614162 1072588116 /nfs/dbraw/zinc/58/81/16/1072588116.db2.gz YJEHHIUXUXTMLD-GFCCVEGCSA-N 0 0 425.554 -0.326 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000491614163 1072588136 /nfs/dbraw/zinc/58/81/36/1072588136.db2.gz YJEHHIUXUXTMLD-LBPRGKRZSA-N 0 0 425.554 -0.326 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c1 ZINC000491649554 1072588094 /nfs/dbraw/zinc/58/80/94/1072588094.db2.gz PCEAXAVVNVXUOV-AWEZNQCLSA-N 0 0 431.536 -0.146 20 0 IBADRN CCS(=O)(=O)NC1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000491650104 1072588588 /nfs/dbraw/zinc/58/85/88/1072588588.db2.gz UNOUWBWBNPSVCJ-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)nc1 ZINC000491650405 1072587924 /nfs/dbraw/zinc/58/79/24/1072587924.db2.gz XEKMSLKZZMFCGP-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3nccc(OC)n3)CC2)c1 ZINC000491688944 1072588617 /nfs/dbraw/zinc/58/86/17/1072588617.db2.gz KVJNHOZAGBBVCJ-UHFFFAOYSA-N 0 0 427.508 -0.096 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)c2ccccc2)c1 ZINC000491693597 1072588703 /nfs/dbraw/zinc/58/87/03/1072588703.db2.gz VIUBQWUMWBFWGL-UHFFFAOYSA-N 0 0 433.533 -0.149 20 0 IBADRN CCC[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000491695053 1072588658 /nfs/dbraw/zinc/58/86/58/1072588658.db2.gz WQFZIRLATLOHRZ-AWEZNQCLSA-N 0 0 442.538 -0.153 20 0 IBADRN CCC[C@@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000491695057 1072588743 /nfs/dbraw/zinc/58/87/43/1072588743.db2.gz WQFZIRLATLOHRZ-CQSZACIVSA-N 0 0 442.538 -0.153 20 0 IBADRN Cc1cc(C[C@@H](C)NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[nH]n1 ZINC000491714271 1072588487 /nfs/dbraw/zinc/58/84/87/1072588487.db2.gz BULCPUKXGLRBII-GFCCVEGCSA-N 0 0 448.505 -0.475 20 0 IBADRN Cc1cc(C[C@H](C)NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[nH]n1 ZINC000491714272 1072588542 /nfs/dbraw/zinc/58/85/42/1072588542.db2.gz BULCPUKXGLRBII-LBPRGKRZSA-N 0 0 448.505 -0.475 20 0 IBADRN CN(CCCn1ccnc1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000491716654 1072588604 /nfs/dbraw/zinc/58/86/04/1072588604.db2.gz DVNGZBQXQLSBMC-UHFFFAOYSA-N 0 0 448.505 -0.509 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000491746111 1072588511 /nfs/dbraw/zinc/58/85/11/1072588511.db2.gz PESDQQDKBXJPHN-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)c1 ZINC000491751977 1072588560 /nfs/dbraw/zinc/58/85/60/1072588560.db2.gz VFHCKBJKMKBURI-HNNXBMFYSA-N 0 0 441.419 -0.099 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)c1 ZINC000491751982 1072589283 /nfs/dbraw/zinc/58/92/83/1072589283.db2.gz VFHCKBJKMKBURI-OAHLLOKOSA-N 0 0 441.419 -0.099 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC000491770721 1072588727 /nfs/dbraw/zinc/58/87/27/1072588727.db2.gz ZCUFICHNBJTHCM-BDJLRTHQSA-N 0 0 430.508 -0.359 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC000491770722 1072588688 /nfs/dbraw/zinc/58/86/88/1072588688.db2.gz ZCUFICHNBJTHCM-BZNIZROVSA-N 0 0 430.508 -0.359 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC000491770723 1072588733 /nfs/dbraw/zinc/58/87/33/1072588733.db2.gz ZCUFICHNBJTHCM-MEDUHNTESA-N 0 0 430.508 -0.359 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC000491770724 1072588463 /nfs/dbraw/zinc/58/84/63/1072588463.db2.gz ZCUFICHNBJTHCM-ZBEGNZNMSA-N 0 0 430.508 -0.359 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3ccc(-n4cnnn4)cc3)CC2)no1 ZINC000491822675 1073331460 /nfs/dbraw/zinc/33/14/60/1073331460.db2.gz RLQGKSZGJABOMQ-UHFFFAOYSA-N 0 0 432.466 -0.096 20 0 IBADRN O=S(=O)(c1ccc(-n2cnnn2)cc1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000491829595 1072589368 /nfs/dbraw/zinc/58/93/68/1072589368.db2.gz FTWFUSJRQNEJBJ-UHFFFAOYSA-N 0 0 435.491 -0.248 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C[C@H]1C ZINC000491830093 1072589171 /nfs/dbraw/zinc/58/91/71/1072589171.db2.gz BXEYQOZKPWUMPZ-CABCVRRESA-N 0 0 438.550 -0.144 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C[C@@H]1C ZINC000491830094 1072589043 /nfs/dbraw/zinc/58/90/43/1072589043.db2.gz BXEYQOZKPWUMPZ-GJZGRUSLSA-N 0 0 438.550 -0.144 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C[C@H]1C ZINC000491830095 1072589074 /nfs/dbraw/zinc/58/90/74/1072589074.db2.gz BXEYQOZKPWUMPZ-HUUCEWRRSA-N 0 0 438.550 -0.144 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)C[C@@H]1C ZINC000491830096 1072589317 /nfs/dbraw/zinc/58/93/17/1072589317.db2.gz BXEYQOZKPWUMPZ-LSDHHAIUSA-N 0 0 438.550 -0.144 20 0 IBADRN O=S(=O)(NCCCN1CCN(c2ncccn2)CC1)c1ccc(-n2cnnn2)cc1 ZINC000491830431 1072589235 /nfs/dbraw/zinc/58/92/35/1072589235.db2.gz OZGSLVIYEMJGIY-UHFFFAOYSA-N 0 0 429.510 -0.057 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)N1CCN(Cc2ccccc2)CC1 ZINC000491831069 1072589018 /nfs/dbraw/zinc/58/90/18/1072589018.db2.gz UWTKWWATRQKUSS-VQTJNVASSA-N 0 0 444.532 -0.147 20 0 IBADRN CC(C)(CNC(=O)CCC(=O)N1CCOCC1)CNC(=O)CCC(=O)N1CCOCC1 ZINC000491855063 1072589349 /nfs/dbraw/zinc/58/93/49/1072589349.db2.gz RYQRIBYMTSLHHN-UHFFFAOYSA-N 0 0 440.541 -0.477 20 0 IBADRN CCC(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000491856850 1072589095 /nfs/dbraw/zinc/58/90/95/1072589095.db2.gz KZKLYZDRJBIUQC-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000491857075 1072589136 /nfs/dbraw/zinc/58/91/36/1072589136.db2.gz OTQKLVGOIBAZOB-CYBMUJFWSA-N 0 0 430.552 -0.432 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000491857076 1072589128 /nfs/dbraw/zinc/58/91/28/1072589128.db2.gz OTQKLVGOIBAZOB-ZDUSSCGKSA-N 0 0 430.552 -0.432 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc(-n4cnnn4)cc3)CC2)cc1 ZINC000491857583 1072589119 /nfs/dbraw/zinc/58/91/19/1072589119.db2.gz YHGWANRGSYZKQZ-UHFFFAOYSA-N 0 0 449.518 -0.179 20 0 IBADRN CNS(=O)(=O)c1cccc(CNS(=O)(=O)c2cccc(S(=O)(=O)NC)c2)c1 ZINC000491872392 1072589105 /nfs/dbraw/zinc/58/91/05/1072589105.db2.gz BNLFDMGGLXKBHM-UHFFFAOYSA-N 0 0 433.533 -0.019 20 0 IBADRN N[C@](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)(c1cccc(F)c1)C(F)(F)F ZINC000491875097 1072589935 /nfs/dbraw/zinc/58/99/35/1072589935.db2.gz IXXYDMRTDWETRD-DZKIICNBSA-N 0 0 439.431 -0.156 20 0 IBADRN N[C@](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)(c1cccc(F)c1)C(F)(F)F ZINC000491875099 1072589932 /nfs/dbraw/zinc/58/99/32/1072589932.db2.gz IXXYDMRTDWETRD-FMKPAKJESA-N 0 0 439.431 -0.156 20 0 IBADRN N[C@](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)(c1cccc(F)c1)C(F)(F)F ZINC000491875100 1072589945 /nfs/dbraw/zinc/58/99/45/1072589945.db2.gz IXXYDMRTDWETRD-LZWOXQAQSA-N 0 0 439.431 -0.156 20 0 IBADRN N[C@](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)(c1cccc(F)c1)C(F)(F)F ZINC000491875101 1072589811 /nfs/dbraw/zinc/58/98/11/1072589811.db2.gz IXXYDMRTDWETRD-YCPHGPKFSA-N 0 0 439.431 -0.156 20 0 IBADRN O=C(c1cnc2c(F)cc(F)cc2c1O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000491875156 1072589917 /nfs/dbraw/zinc/58/99/17/1072589917.db2.gz JTESJEOTZFEZEK-CABCVRRESA-N 0 0 427.429 -0.278 20 0 IBADRN O=C(c1cnc2c(F)cc(F)cc2c1O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000491875157 1072589743 /nfs/dbraw/zinc/58/97/43/1072589743.db2.gz JTESJEOTZFEZEK-GJZGRUSLSA-N 0 0 427.429 -0.278 20 0 IBADRN O=C(c1cnc2c(F)cc(F)cc2c1O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000491875158 1072589963 /nfs/dbraw/zinc/58/99/63/1072589963.db2.gz JTESJEOTZFEZEK-HUUCEWRRSA-N 0 0 427.429 -0.278 20 0 IBADRN O=C(c1cnc2c(F)cc(F)cc2c1O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000491875159 1072589887 /nfs/dbraw/zinc/58/98/87/1072589887.db2.gz JTESJEOTZFEZEK-LSDHHAIUSA-N 0 0 427.429 -0.278 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000491876381 1072589801 /nfs/dbraw/zinc/58/98/01/1072589801.db2.gz PNVADEIHLYPVBY-GFCCVEGCSA-N 0 0 425.554 -0.455 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000491876382 1072589723 /nfs/dbraw/zinc/58/97/23/1072589723.db2.gz PNVADEIHLYPVBY-LBPRGKRZSA-N 0 0 425.554 -0.455 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCN(CC(=O)N3CCCC3)CC2)c1 ZINC000491876654 1072589907 /nfs/dbraw/zinc/58/99/07/1072589907.db2.gz SFCWBGPAZHXRRZ-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN COc1ccc(NC(=O)CN2CCCS2(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000491882436 1072589781 /nfs/dbraw/zinc/58/97/81/1072589781.db2.gz SXAYPGQXEAAZAO-UHFFFAOYSA-N 0 0 433.508 -0.310 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000491888498 1072589951 /nfs/dbraw/zinc/58/99/51/1072589951.db2.gz KQUXFMKSLKUIJM-CQSZACIVSA-N 0 0 432.568 -0.184 20 0 IBADRN CN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000491901045 1072589764 /nfs/dbraw/zinc/58/97/64/1072589764.db2.gz WWVGHBYMIUTEHP-CYBMUJFWSA-N 0 0 438.549 -0.485 20 0 IBADRN CN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000491901047 1072589822 /nfs/dbraw/zinc/58/98/22/1072589822.db2.gz WWVGHBYMIUTEHP-ZDUSSCGKSA-N 0 0 438.549 -0.485 20 0 IBADRN O=C(c1ccc(CNS(=O)(=O)c2ccc(-n3cnnn3)cc2)cc1)N1CCNC(=O)C1 ZINC000491902467 1072590373 /nfs/dbraw/zinc/59/03/73/1072590373.db2.gz RODFLNRXWOGLQP-UHFFFAOYSA-N 0 0 441.473 -0.287 20 0 IBADRN Cc1[nH]c(=O)c(Br)cc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000491904073 1072590390 /nfs/dbraw/zinc/59/03/90/1072590390.db2.gz XMEUVIZACCYCQJ-CHWSQXEVSA-N 0 0 434.312 -0.226 20 0 IBADRN Cc1[nH]c(=O)c(Br)cc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000491904074 1072590407 /nfs/dbraw/zinc/59/04/07/1072590407.db2.gz XMEUVIZACCYCQJ-OLZOCXBDSA-N 0 0 434.312 -0.226 20 0 IBADRN Cc1[nH]c(=O)c(Br)cc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000491904075 1072590241 /nfs/dbraw/zinc/59/02/41/1072590241.db2.gz XMEUVIZACCYCQJ-QWHCGFSZSA-N 0 0 434.312 -0.226 20 0 IBADRN Cc1[nH]c(=O)c(Br)cc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000491904078 1072590275 /nfs/dbraw/zinc/59/02/75/1072590275.db2.gz XMEUVIZACCYCQJ-STQMWFEESA-N 0 0 434.312 -0.226 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(C[C@H](C)O)CC2)s1 ZINC000491910167 1072590334 /nfs/dbraw/zinc/59/03/34/1072590334.db2.gz HNZMDTIRZHCEBR-ZDUSSCGKSA-N 0 0 425.598 -0.083 20 0 IBADRN NS(=O)(=O)CCNC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1Cc1ccccc1 ZINC000491954160 1072590311 /nfs/dbraw/zinc/59/03/11/1072590311.db2.gz CQROWNCSYIKKGI-GOSISDBHSA-N 0 0 430.486 -0.095 20 0 IBADRN NS(=O)(=O)CCNC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1Cc1ccccc1 ZINC000491954161 1072590355 /nfs/dbraw/zinc/59/03/55/1072590355.db2.gz CQROWNCSYIKKGI-SFHVURJKSA-N 0 0 430.486 -0.095 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC[C@H](CO)CC1 ZINC000491955566 1073557113 /nfs/dbraw/zinc/55/71/13/1073557113.db2.gz PQHJRFIAKPBRMC-AWEZNQCLSA-N 0 0 438.506 -0.633 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC[C@@H](CO)CC1 ZINC000491955567 1073557260 /nfs/dbraw/zinc/55/72/60/1073557260.db2.gz PQHJRFIAKPBRMC-CQSZACIVSA-N 0 0 438.506 -0.633 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)o1 ZINC000491994132 1072590882 /nfs/dbraw/zinc/59/08/82/1072590882.db2.gz GSRJOZCXBDUHNI-UHFFFAOYSA-N 0 0 439.475 -0.050 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOC4(CCOCC4)C3)cn2)C[C@H](C)O1 ZINC000492002504 1072590964 /nfs/dbraw/zinc/59/09/64/1072590964.db2.gz SLPGGCZRRGMZMY-HOTGVXAUSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOC4(CCOCC4)C3)cn2)C[C@@H](C)O1 ZINC000492002505 1072590973 /nfs/dbraw/zinc/59/09/73/1072590973.db2.gz SLPGGCZRRGMZMY-HZPDHXFCSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOC4(CCOCC4)C3)cn2)C[C@H](C)O1 ZINC000492002506 1072590771 /nfs/dbraw/zinc/59/07/71/1072590771.db2.gz SLPGGCZRRGMZMY-IYBDPMFKSA-N 0 0 449.508 -0.135 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)c2ccccc2O1 ZINC000492025541 1072590833 /nfs/dbraw/zinc/59/08/33/1072590833.db2.gz UOKHWRZDQRZKHZ-KRWDZBQOSA-N 0 0 432.477 -0.330 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)c2ccccc2O1 ZINC000492025542 1072590989 /nfs/dbraw/zinc/59/09/89/1072590989.db2.gz UOKHWRZDQRZKHZ-QGZVFWFLSA-N 0 0 432.477 -0.330 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000492035116 1072590744 /nfs/dbraw/zinc/59/07/44/1072590744.db2.gz UMUJZHXJXNVQAY-UHFFFAOYSA-N 0 0 434.478 -0.272 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc4nncn4n3)CC2)c1 ZINC000492059687 1072590710 /nfs/dbraw/zinc/59/07/10/1072590710.db2.gz HMTIFAMULJVIQG-UHFFFAOYSA-N 0 0 444.477 -0.680 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCCN2CCOCC2)cc1C ZINC000492075361 1072590870 /nfs/dbraw/zinc/59/08/70/1072590870.db2.gz FRANEFRZJVVGOM-KRWDZBQOSA-N 0 0 426.539 -0.336 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCCN2CCOCC2)cc1C ZINC000492075362 1072590661 /nfs/dbraw/zinc/59/06/61/1072590661.db2.gz FRANEFRZJVVGOM-QGZVFWFLSA-N 0 0 426.539 -0.336 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CS(=O)(=O)CCCS(C)(=O)=O)c1 ZINC000492076167 1072591313 /nfs/dbraw/zinc/59/13/13/1072591313.db2.gz SSZFXPDFTUMGTE-UHFFFAOYSA-N 0 0 426.538 -0.275 20 0 IBADRN COC(=O)C(C)(C)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000492078737 1072590815 /nfs/dbraw/zinc/59/08/15/1072590815.db2.gz HEWFALMZJWQLOQ-UHFFFAOYSA-N 0 0 433.552 -0.136 20 0 IBADRN O=C(NCc1ccc(F)cn1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000492100214 1072591461 /nfs/dbraw/zinc/59/14/61/1072591461.db2.gz IOCDFRGUKWUWIO-UHFFFAOYSA-N 0 0 429.456 -0.188 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000492101793 1072591435 /nfs/dbraw/zinc/59/14/35/1072591435.db2.gz WYNKIGBUVZVYQX-HNNXBMFYSA-N 0 0 427.465 -0.092 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000492101795 1072591505 /nfs/dbraw/zinc/59/15/05/1072591505.db2.gz WYNKIGBUVZVYQX-OAHLLOKOSA-N 0 0 427.465 -0.092 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(Cl)cn3)CC2)cn1C ZINC000492122414 1072591288 /nfs/dbraw/zinc/59/12/88/1072591288.db2.gz MPIQZGHJBKZXRE-UHFFFAOYSA-N 0 0 440.913 -0.074 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@H](C)O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000492150802 1072591379 /nfs/dbraw/zinc/59/13/79/1072591379.db2.gz KNXHARPSMFDHJS-KPZWWZAWSA-N 0 0 426.495 -0.563 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@H](C)O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000492150803 1072591481 /nfs/dbraw/zinc/59/14/81/1072591481.db2.gz KNXHARPSMFDHJS-SGTLLEGYSA-N 0 0 426.495 -0.563 20 0 IBADRN O=C(Nc1ccc(N2CCNC2=O)cc1)C(=O)N1CCN(c2ccc3nncn3n2)CC1 ZINC000492156348 1072591342 /nfs/dbraw/zinc/59/13/42/1072591342.db2.gz XRZIIMLDBQTRDR-UHFFFAOYSA-N 0 0 435.448 -0.059 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)nc1 ZINC000492156810 1072592085 /nfs/dbraw/zinc/59/20/85/1072592085.db2.gz KATHQWFDQIAAJV-UHFFFAOYSA-N 0 0 439.538 -0.029 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCOC3(CCOCC3)C2)cc1 ZINC000492176413 1072592058 /nfs/dbraw/zinc/59/20/58/1072592058.db2.gz RTUJGHXRCQXVJN-UHFFFAOYSA-N 0 0 433.461 -0.135 20 0 IBADRN COC(=O)C(C)(C)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000492193245 1072591884 /nfs/dbraw/zinc/59/18/84/1072591884.db2.gz NRRLWVDIDCZJDT-UHFFFAOYSA-N 0 0 425.467 -0.708 20 0 IBADRN Cc1nnc(CN(C)CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1C ZINC000492206033 1072592111 /nfs/dbraw/zinc/59/21/11/1072592111.db2.gz AHBHZBXUQSVCFS-UHFFFAOYSA-N 0 0 436.538 -0.107 20 0 IBADRN CCN1CCN(CC(=O)NCCNC(=O)c2ccc(Br)cc2)C(=O)C1=O ZINC000492208564 1072591928 /nfs/dbraw/zinc/59/19/28/1072591928.db2.gz OVGROEATCKKZTD-UHFFFAOYSA-N 0 0 425.283 -0.014 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC3(CCOCC3)C2)cc1 ZINC000492210918 1072592040 /nfs/dbraw/zinc/59/20/40/1072592040.db2.gz PPOMRMNROBXVSB-UHFFFAOYSA-N 0 0 441.506 -0.042 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)Nc3cnn(CC(=O)N(C)C)c3)CC2)n1 ZINC000492224715 1072592523 /nfs/dbraw/zinc/59/25/23/1072592523.db2.gz KKLDDDJZYWMIDM-UHFFFAOYSA-N 0 0 444.496 -0.163 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)cn2)C1 ZINC000492253421 1072592430 /nfs/dbraw/zinc/59/24/30/1072592430.db2.gz PIXZBVNQZOFHFX-INIZCTEOSA-N 0 0 428.511 -0.395 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)cn2)C1 ZINC000492253422 1072592619 /nfs/dbraw/zinc/59/26/19/1072592619.db2.gz PIXZBVNQZOFHFX-MRXNPFEDSA-N 0 0 428.511 -0.395 20 0 IBADRN O=C(Nc1ccc(Cn2ccnc2)cn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000492253449 1072592653 /nfs/dbraw/zinc/59/26/53/1072592653.db2.gz POGWMYLUPLFDBH-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)nc1 ZINC000492255543 1072592460 /nfs/dbraw/zinc/59/24/60/1072592460.db2.gz YOPQRYHBQRXYCG-INIZCTEOSA-N 0 0 426.495 -0.641 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)nc1 ZINC000492255547 1072592586 /nfs/dbraw/zinc/59/25/86/1072592586.db2.gz YOPQRYHBQRXYCG-MRXNPFEDSA-N 0 0 426.495 -0.641 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000492256392 1072592567 /nfs/dbraw/zinc/59/25/67/1072592567.db2.gz HBPHHKXMSYXOTQ-HNNXBMFYSA-N 0 0 444.535 -0.440 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000492256393 1072593022 /nfs/dbraw/zinc/59/30/22/1072593022.db2.gz HBPHHKXMSYXOTQ-OAHLLOKOSA-N 0 0 444.535 -0.440 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000492257329 1072593067 /nfs/dbraw/zinc/59/30/67/1072593067.db2.gz JTBDLRXGXZUPCZ-INIZCTEOSA-N 0 0 438.506 -0.224 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000492257337 1072593026 /nfs/dbraw/zinc/59/30/26/1072593026.db2.gz JTBDLRXGXZUPCZ-MRXNPFEDSA-N 0 0 438.506 -0.224 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1-n1cnnn1 ZINC000492259255 1072592674 /nfs/dbraw/zinc/59/26/74/1072592674.db2.gz OWRYXPKOWPUDRK-AWEZNQCLSA-N 0 0 449.493 -0.364 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1-n1cnnn1 ZINC000492259256 1072592506 /nfs/dbraw/zinc/59/25/06/1072592506.db2.gz OWRYXPKOWPUDRK-CQSZACIVSA-N 0 0 449.493 -0.364 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)nc1 ZINC000492266618 1072593235 /nfs/dbraw/zinc/59/32/35/1072593235.db2.gz CBQDTPKVCQIRTQ-UHFFFAOYSA-N 0 0 436.494 -0.719 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1)NC1CC1 ZINC000492266939 1072593136 /nfs/dbraw/zinc/59/31/36/1072593136.db2.gz GBJPPAAWDFYDRZ-UHFFFAOYSA-N 0 0 434.562 -0.047 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](S(=O)(=O)N4CCCC4)C3)cc2C1=O ZINC000492295158 1072593058 /nfs/dbraw/zinc/59/30/58/1072593058.db2.gz PMLWGBSCXBXOMM-CYBMUJFWSA-N 0 0 434.474 -0.123 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](S(=O)(=O)N4CCCC4)C3)cc2C1=O ZINC000492295159 1072593119 /nfs/dbraw/zinc/59/31/19/1072593119.db2.gz PMLWGBSCXBXOMM-ZDUSSCGKSA-N 0 0 434.474 -0.123 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cn1 ZINC000492297921 1072593182 /nfs/dbraw/zinc/59/31/82/1072593182.db2.gz VSQYNYQXJXIDQB-CYBMUJFWSA-N 0 0 430.508 -0.550 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cn1 ZINC000492297937 1072593001 /nfs/dbraw/zinc/59/30/01/1072593001.db2.gz VSQYNYQXJXIDQB-ZDUSSCGKSA-N 0 0 430.508 -0.550 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)nc1 ZINC000492308566 1072593712 /nfs/dbraw/zinc/59/37/12/1072593712.db2.gz LVWYWODBEOZVPU-UHFFFAOYSA-N 0 0 428.511 -0.395 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000492310488 1072593615 /nfs/dbraw/zinc/59/36/15/1072593615.db2.gz GUCHLPQBOKSORO-HNNXBMFYSA-N 0 0 437.522 -0.117 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000492310489 1072593576 /nfs/dbraw/zinc/59/35/76/1072593576.db2.gz GUCHLPQBOKSORO-OAHLLOKOSA-N 0 0 437.522 -0.117 20 0 IBADRN COCCC1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000492311006 1072593706 /nfs/dbraw/zinc/59/37/06/1072593706.db2.gz IKEFSFMPVFEXPS-UHFFFAOYSA-N 0 0 438.506 -0.322 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000492315297 1072593218 /nfs/dbraw/zinc/59/32/18/1072593218.db2.gz WTYSLXMEEANPBR-HNNXBMFYSA-N 0 0 441.531 -0.019 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000492315298 1072593595 /nfs/dbraw/zinc/59/35/95/1072593595.db2.gz WTYSLXMEEANPBR-OAHLLOKOSA-N 0 0 441.531 -0.019 20 0 IBADRN NC(=O)c1cccnc1N1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000492327648 1072593544 /nfs/dbraw/zinc/59/35/44/1072593544.db2.gz FZZNFSXWWPRPJU-UHFFFAOYSA-N 0 0 444.517 -0.461 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000492331220 1072593719 /nfs/dbraw/zinc/59/37/19/1072593719.db2.gz RNPNHLCCXPXNPD-CYBMUJFWSA-N 0 0 432.506 -0.023 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000492331225 1072593569 /nfs/dbraw/zinc/59/35/69/1072593569.db2.gz RNPNHLCCXPXNPD-ZDUSSCGKSA-N 0 0 432.506 -0.023 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000492372031 1072594126 /nfs/dbraw/zinc/59/41/26/1072594126.db2.gz AVIZTWBGLJZNNL-CYBMUJFWSA-N 0 0 448.498 -0.562 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000492372039 1072594095 /nfs/dbraw/zinc/59/40/95/1072594095.db2.gz AVIZTWBGLJZNNL-ZDUSSCGKSA-N 0 0 448.498 -0.562 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@@H](CO)OC[C@H]3C)cc2)CC1 ZINC000492372557 1072594140 /nfs/dbraw/zinc/59/41/40/1072594140.db2.gz BNJWBBUHROXOBY-PBHICJAKSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](CO)OC[C@H]3C)cc2)CC1 ZINC000492372563 1072594174 /nfs/dbraw/zinc/59/41/74/1072594174.db2.gz BNJWBBUHROXOBY-RHSMWYFYSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](CO)OC[C@@H]3C)cc2)CC1 ZINC000492372564 1072594164 /nfs/dbraw/zinc/59/41/64/1072594164.db2.gz BNJWBBUHROXOBY-WMLDXEAASA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@@H](CO)OC[C@@H]3C)cc2)CC1 ZINC000492372566 1072593996 /nfs/dbraw/zinc/59/39/96/1072593996.db2.gz BNJWBBUHROXOBY-YOEHRIQHSA-N 0 0 425.507 -0.239 20 0 IBADRN COc1ccc(CC(=O)N2C[C@H](CO)OC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000492374764 1072594194 /nfs/dbraw/zinc/59/41/94/1072594194.db2.gz IACJEDKFKGNTAT-GDBMZVCRSA-N 0 0 428.507 -0.133 20 0 IBADRN COc1ccc(CC(=O)N2C[C@H](CO)OC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000492374765 1072594073 /nfs/dbraw/zinc/59/40/73/1072594073.db2.gz IACJEDKFKGNTAT-GOEBONIOSA-N 0 0 428.507 -0.133 20 0 IBADRN COc1ccc(CC(=O)N2C[C@@H](CO)OC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000492374766 1072594147 /nfs/dbraw/zinc/59/41/47/1072594147.db2.gz IACJEDKFKGNTAT-HOCLYGCPSA-N 0 0 428.507 -0.133 20 0 IBADRN COc1ccc(CC(=O)N2C[C@@H](CO)OC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000492374767 1072594200 /nfs/dbraw/zinc/59/42/00/1072594200.db2.gz IACJEDKFKGNTAT-ZBFHGGJFSA-N 0 0 428.507 -0.133 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cn1)NC1CC1 ZINC000492377535 1072594057 /nfs/dbraw/zinc/59/40/57/1072594057.db2.gz QKGMHBCZRBRIIO-HNNXBMFYSA-N 0 0 438.510 -0.873 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cn1)NC1CC1 ZINC000492377538 1072594112 /nfs/dbraw/zinc/59/41/12/1072594112.db2.gz QKGMHBCZRBRIIO-OAHLLOKOSA-N 0 0 438.510 -0.873 20 0 IBADRN NC(=O)c1cccnc1N1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000492378575 1072594082 /nfs/dbraw/zinc/59/40/82/1072594082.db2.gz UIWKYDJKPZRUPH-UHFFFAOYSA-N 0 0 446.533 -0.691 20 0 IBADRN CS(=O)(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000492378579 1072594118 /nfs/dbraw/zinc/59/41/18/1072594118.db2.gz UJWHUTNELCEQPA-UHFFFAOYSA-N 0 0 444.535 -0.368 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(CNC(C)=O)CC2)cc1 ZINC000492394815 1072594538 /nfs/dbraw/zinc/59/45/38/1072594538.db2.gz GHKAMHHLOLOBHH-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN C[C@H](O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000492398969 1072594038 /nfs/dbraw/zinc/59/40/38/1072594038.db2.gz OBONBOOUIUPNEB-HNNXBMFYSA-N 0 0 433.552 -0.605 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000492399939 1072594047 /nfs/dbraw/zinc/59/40/47/1072594047.db2.gz LDRLJGIIGLZAAZ-CYBMUJFWSA-N 0 0 432.506 -0.023 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000492399943 1072594156 /nfs/dbraw/zinc/59/41/56/1072594156.db2.gz LDRLJGIIGLZAAZ-ZDUSSCGKSA-N 0 0 432.506 -0.023 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CC3)CC1 ZINC000492400082 1072594180 /nfs/dbraw/zinc/59/41/80/1072594180.db2.gz RCZVHPFLMJHIAX-UHFFFAOYSA-N 0 0 441.444 -0.670 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000492419483 1072594552 /nfs/dbraw/zinc/59/45/52/1072594552.db2.gz VVJORCPRTKTVCC-AWEZNQCLSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000492419484 1072594595 /nfs/dbraw/zinc/59/45/95/1072594595.db2.gz VVJORCPRTKTVCC-CQSZACIVSA-N 0 0 446.551 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000492420519 1072594624 /nfs/dbraw/zinc/59/46/24/1072594624.db2.gz ZCOTWQFFCMRAJJ-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000492420520 1072594480 /nfs/dbraw/zinc/59/44/80/1072594480.db2.gz ZCOTWQFFCMRAJJ-LBPRGKRZSA-N 0 0 432.524 -0.618 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H](CO)OC[C@H]3C)c2)CC1 ZINC000492421354 1072594514 /nfs/dbraw/zinc/59/45/14/1072594514.db2.gz WWDVQVISJZJZLE-PBHICJAKSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@H](CO)OC[C@H]3C)c2)CC1 ZINC000492421356 1072594582 /nfs/dbraw/zinc/59/45/82/1072594582.db2.gz WWDVQVISJZJZLE-RHSMWYFYSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@H](CO)OC[C@@H]3C)c2)CC1 ZINC000492421358 1072594523 /nfs/dbraw/zinc/59/45/23/1072594523.db2.gz WWDVQVISJZJZLE-WMLDXEAASA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H](CO)OC[C@@H]3C)c2)CC1 ZINC000492421360 1072594467 /nfs/dbraw/zinc/59/44/67/1072594467.db2.gz WWDVQVISJZJZLE-YOEHRIQHSA-N 0 0 425.507 -0.239 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000492436907 1072594956 /nfs/dbraw/zinc/59/49/56/1072594956.db2.gz HDKOMYPWGLFUBE-INIZCTEOSA-N 0 0 437.522 -0.117 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000492436909 1072595121 /nfs/dbraw/zinc/59/51/21/1072595121.db2.gz HDKOMYPWGLFUBE-MRXNPFEDSA-N 0 0 437.522 -0.117 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)n1C ZINC000492440458 1072594586 /nfs/dbraw/zinc/59/45/86/1072594586.db2.gz BQTLSYCIEVITKX-UHFFFAOYSA-N 0 0 427.575 -0.180 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CC[C@H](S(=O)(=O)N4CCCC4)C3)cc2n(C)c1=O ZINC000492441348 1072594611 /nfs/dbraw/zinc/59/46/11/1072594611.db2.gz NMFZETOFTKWSJA-AWEZNQCLSA-N 0 0 435.506 -0.158 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CC[C@@H](S(=O)(=O)N4CCCC4)C3)cc2n(C)c1=O ZINC000492441349 1072594599 /nfs/dbraw/zinc/59/45/99/1072594599.db2.gz NMFZETOFTKWSJA-CQSZACIVSA-N 0 0 435.506 -0.158 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000492442738 1072594616 /nfs/dbraw/zinc/59/46/16/1072594616.db2.gz QFQXHTCKJHQZOL-AWEZNQCLSA-N 0 0 432.524 -0.073 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000492442739 1072594574 /nfs/dbraw/zinc/59/45/74/1072594574.db2.gz QFQXHTCKJHQZOL-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000492446473 1072594495 /nfs/dbraw/zinc/59/44/95/1072594495.db2.gz WKRGTRJHLBJVSS-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000492446474 1072594530 /nfs/dbraw/zinc/59/45/30/1072594530.db2.gz WKRGTRJHLBJVSS-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCCn1cc(Br)cn1 ZINC000492461900 1072594970 /nfs/dbraw/zinc/59/49/70/1072594970.db2.gz MCYYTHLGYPLOSM-UHFFFAOYSA-N 0 0 438.348 -0.014 20 0 IBADRN NC(=O)c1cccnc1N1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000492462971 1072595137 /nfs/dbraw/zinc/59/51/37/1072595137.db2.gz HIDIWWUYBDIENP-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)Cc2nnc(C)n2C)CC1 ZINC000492463614 1072595060 /nfs/dbraw/zinc/59/50/60/1072595060.db2.gz JBIFVKWTMWWENG-UHFFFAOYSA-N 0 0 425.515 -0.307 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000492482096 1072595046 /nfs/dbraw/zinc/59/50/46/1072595046.db2.gz FZKPTVSDCBVIRX-UHFFFAOYSA-N 0 0 426.495 -0.123 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000492482172 1072595177 /nfs/dbraw/zinc/59/51/77/1072595177.db2.gz GTNXZNYARUQBNH-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000492485672 1072594936 /nfs/dbraw/zinc/59/49/36/1072594936.db2.gz PFYZRDDGXFDHPJ-UHFFFAOYSA-N 0 0 432.524 -0.072 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cn3)CC2)C[C@H](C)O1 ZINC000492487549 1072595190 /nfs/dbraw/zinc/59/51/90/1072595190.db2.gz ILXUWTKAOCGAGI-KBPBESRZSA-N 0 0 443.501 -0.665 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cn3)CC2)C[C@H](C)O1 ZINC000492487561 1072595259 /nfs/dbraw/zinc/59/52/59/1072595259.db2.gz ILXUWTKAOCGAGI-OKILXGFUSA-N 0 0 443.501 -0.665 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cn3)CC2)C[C@@H](C)O1 ZINC000492487566 1072595106 /nfs/dbraw/zinc/59/51/06/1072595106.db2.gz ILXUWTKAOCGAGI-ZIAGYGMSSA-N 0 0 443.501 -0.665 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000492487887 1072595077 /nfs/dbraw/zinc/59/50/77/1072595077.db2.gz SMJONKFSKGQKEM-AWEZNQCLSA-N 0 0 438.506 -0.094 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000492487890 1072595005 /nfs/dbraw/zinc/59/50/05/1072595005.db2.gz SMJONKFSKGQKEM-CQSZACIVSA-N 0 0 438.506 -0.094 20 0 IBADRN Cc1ccc(C(=O)NCC2CN(S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000492488375 1072595157 /nfs/dbraw/zinc/59/51/57/1072595157.db2.gz UJWPDULNLUUWGG-UHFFFAOYSA-N 0 0 431.536 -0.363 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1-n1cnnn1 ZINC000492490298 1072595678 /nfs/dbraw/zinc/59/56/78/1072595678.db2.gz YLIOHUYAPIRSMM-HNNXBMFYSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1-n1cnnn1 ZINC000492490299 1072595782 /nfs/dbraw/zinc/59/57/82/1072595782.db2.gz YLIOHUYAPIRSMM-OAHLLOKOSA-N 0 0 433.494 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000492490658 1072595499 /nfs/dbraw/zinc/59/54/99/1072595499.db2.gz ZBLXLUWZSLYGCH-UHFFFAOYSA-N 0 0 436.487 -0.834 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000492507599 1072595614 /nfs/dbraw/zinc/59/56/14/1072595614.db2.gz DRBMXPMNSPUUMP-UHFFFAOYSA-N 0 0 432.524 -0.072 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(F)F)CC2)cc1 ZINC000492515948 1072595732 /nfs/dbraw/zinc/59/57/32/1072595732.db2.gz UAELFKRJQDNYQI-UHFFFAOYSA-N 0 0 434.465 -0.041 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000492516167 1072595553 /nfs/dbraw/zinc/59/55/53/1072595553.db2.gz UDWPZQCUYKXAIC-UHFFFAOYSA-N 0 0 447.535 -0.476 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)n1 ZINC000492536684 1073344673 /nfs/dbraw/zinc/34/46/73/1073344673.db2.gz NNGTUZUQICNERR-UHFFFAOYSA-N 0 0 444.496 -0.163 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1C)S(C)(=O)=O ZINC000492540922 1072595663 /nfs/dbraw/zinc/59/56/63/1072595663.db2.gz VJRWHQUJARLVGM-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCNS(=O)(=O)CC)cc1 ZINC000492555549 1072596225 /nfs/dbraw/zinc/59/62/25/1072596225.db2.gz DETODKBUFODKHU-UHFFFAOYSA-N 0 0 434.540 -0.241 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000492558065 1072595602 /nfs/dbraw/zinc/59/56/02/1072595602.db2.gz KHFOJPDNHNOQMG-UHFFFAOYSA-N 0 0 438.503 -0.540 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCn2cc(Br)cn2)c[nH]1 ZINC000492558438 1072595743 /nfs/dbraw/zinc/59/57/43/1072595743.db2.gz LVCYPSXGDHZTGS-UHFFFAOYSA-N 0 0 433.288 -0.443 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000492563996 1072596172 /nfs/dbraw/zinc/59/61/72/1072596172.db2.gz URPAHAULPJCXKJ-UHFFFAOYSA-N 0 0 438.503 -0.542 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000492564694 1072596215 /nfs/dbraw/zinc/59/62/15/1072596215.db2.gz VMUUFHXYRLMVSX-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN CCc1ccc(C(=O)NCC2CN(S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000492566746 1072596112 /nfs/dbraw/zinc/59/61/12/1072596112.db2.gz ZLJWDCCOEGUJBG-UHFFFAOYSA-N 0 0 445.563 -0.109 20 0 IBADRN CC(CC(=O)N[C@H]1CCC(=O)NC1=O)(CC(=O)N[C@H]1CCC(=O)NC1=O)c1ccccc1 ZINC000492574121 1072596301 /nfs/dbraw/zinc/59/63/01/1072596301.db2.gz VABAYUJHOZQCLM-GJZGRUSLSA-N 0 0 442.472 -0.433 20 0 IBADRN CC(CC(=O)N[C@@H]1CCC(=O)NC1=O)(CC(=O)N[C@@H]1CCC(=O)NC1=O)c1ccccc1 ZINC000492574122 1072596123 /nfs/dbraw/zinc/59/61/23/1072596123.db2.gz VABAYUJHOZQCLM-HUUCEWRRSA-N 0 0 442.472 -0.433 20 0 IBADRN C[C@@](CC(=O)N[C@@H]1CCC(=O)NC1=O)(CC(=O)N[C@H]1CCC(=O)NC1=O)c1ccccc1 ZINC000492574123 1072596143 /nfs/dbraw/zinc/59/61/43/1072596143.db2.gz VABAYUJHOZQCLM-YEZVWNJWSA-N 0 0 442.472 -0.433 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000492581059 1072596058 /nfs/dbraw/zinc/59/60/58/1072596058.db2.gz RFRWUDZJVBRSEU-UHFFFAOYSA-N 0 0 425.555 -0.062 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1S(N)(=O)=O ZINC000492585108 1072596289 /nfs/dbraw/zinc/59/62/89/1072596289.db2.gz WPHCVOYRWWUGHI-AWEZNQCLSA-N 0 0 444.535 -0.393 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1S(N)(=O)=O ZINC000492585113 1072596157 /nfs/dbraw/zinc/59/61/57/1072596157.db2.gz WPHCVOYRWWUGHI-CQSZACIVSA-N 0 0 444.535 -0.393 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000492586628 1072596312 /nfs/dbraw/zinc/59/63/12/1072596312.db2.gz CPBUHIATKQTLQF-LRDDRELGSA-N 0 0 425.463 -0.318 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000492586629 1072596037 /nfs/dbraw/zinc/59/60/37/1072596037.db2.gz CPBUHIATKQTLQF-WBMJQRKESA-N 0 0 425.463 -0.318 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC1=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000492592742 1072596185 /nfs/dbraw/zinc/59/61/85/1072596185.db2.gz TUCMBGRKLKOKQI-HNNXBMFYSA-N 0 0 448.457 -0.504 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC1=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000492592748 1072596082 /nfs/dbraw/zinc/59/60/82/1072596082.db2.gz TUCMBGRKLKOKQI-OAHLLOKOSA-N 0 0 448.457 -0.504 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000492594421 1072596276 /nfs/dbraw/zinc/59/62/76/1072596276.db2.gz ZNJLWJJTMGZPGU-CYBMUJFWSA-N 0 0 425.463 -0.820 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000492594422 1072596097 /nfs/dbraw/zinc/59/60/97/1072596097.db2.gz ZNJLWJJTMGZPGU-ZDUSSCGKSA-N 0 0 425.463 -0.820 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N[C@@H]1CCC(=O)NC1=O ZINC000492594437 1072596200 /nfs/dbraw/zinc/59/62/00/1072596200.db2.gz ZTJUMXKDRLUULU-CYBMUJFWSA-N 0 0 425.463 -0.172 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N[C@H]1CCC(=O)NC1=O ZINC000492594438 1072596239 /nfs/dbraw/zinc/59/62/39/1072596239.db2.gz ZTJUMXKDRLUULU-ZDUSSCGKSA-N 0 0 425.463 -0.172 20 0 IBADRN CCN1CCN(CC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C(=O)C1=O ZINC000492601618 1072596782 /nfs/dbraw/zinc/59/67/82/1072596782.db2.gz LVTIWRICHDQRLE-INIZCTEOSA-N 0 0 445.524 -0.911 20 0 IBADRN CCN1CCN(CC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C(=O)C1=O ZINC000492601619 1072596703 /nfs/dbraw/zinc/59/67/03/1072596703.db2.gz LVTIWRICHDQRLE-MRXNPFEDSA-N 0 0 445.524 -0.911 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cn3)CC2)o1 ZINC000492602108 1072596815 /nfs/dbraw/zinc/59/68/15/1072596815.db2.gz OJZQCDKJFWEKPP-UHFFFAOYSA-N 0 0 439.425 -0.938 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000492604483 1072596644 /nfs/dbraw/zinc/59/66/44/1072596644.db2.gz FPOWDXRBWIEKEJ-HNNXBMFYSA-N 0 0 431.536 -0.235 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000492604487 1072596742 /nfs/dbraw/zinc/59/67/42/1072596742.db2.gz FPOWDXRBWIEKEJ-OAHLLOKOSA-N 0 0 431.536 -0.235 20 0 IBADRN CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000492605416 1072596918 /nfs/dbraw/zinc/59/69/18/1072596918.db2.gz HUIYSVKEGHKJDD-CHWSQXEVSA-N 0 0 428.511 -0.031 20 0 IBADRN CC[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000492605417 1072596932 /nfs/dbraw/zinc/59/69/32/1072596932.db2.gz HUIYSVKEGHKJDD-OLZOCXBDSA-N 0 0 428.511 -0.031 20 0 IBADRN CC[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000492605604 1072596854 /nfs/dbraw/zinc/59/68/54/1072596854.db2.gz HUIYSVKEGHKJDD-QWHCGFSZSA-N 0 0 428.511 -0.031 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000492605605 1072596754 /nfs/dbraw/zinc/59/67/54/1072596754.db2.gz HUIYSVKEGHKJDD-STQMWFEESA-N 0 0 428.511 -0.031 20 0 IBADRN CCOC1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@@H]3CCOC3)c2)C1 ZINC000492610804 1072596887 /nfs/dbraw/zinc/59/68/87/1072596887.db2.gz CELADPILSRYAAU-CYBMUJFWSA-N 0 0 427.479 -0.052 20 0 IBADRN CCOC1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@H]3CCOC3)c2)C1 ZINC000492610807 1072596839 /nfs/dbraw/zinc/59/68/39/1072596839.db2.gz CELADPILSRYAAU-ZDUSSCGKSA-N 0 0 427.479 -0.052 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC(=O)[C@@H]4C3)CC2)cc1C ZINC000492629846 1072596674 /nfs/dbraw/zinc/59/66/74/1072596674.db2.gz OWQJFIOJZOFWQV-KRWDZBQOSA-N 0 0 449.533 -0.628 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)NC(=O)[C@H]4C3)CC2)cc1C ZINC000492629854 1072597427 /nfs/dbraw/zinc/59/74/27/1072597427.db2.gz OWQJFIOJZOFWQV-QGZVFWFLSA-N 0 0 449.533 -0.628 20 0 IBADRN COc1cc(C)c(CN2CCN(C(=O)CN3CCN4C(=O)NC(=O)[C@@H]4C3)CC2)cc1OC ZINC000492630481 1072597344 /nfs/dbraw/zinc/59/73/44/1072597344.db2.gz QOAZQEARBKRCFJ-KRWDZBQOSA-N 0 0 445.520 -0.108 20 0 IBADRN COc1cc(C)c(CN2CCN(C(=O)CN3CCN4C(=O)NC(=O)[C@H]4C3)CC2)cc1OC ZINC000492630482 1072597437 /nfs/dbraw/zinc/59/74/37/1072597437.db2.gz QOAZQEARBKRCFJ-QGZVFWFLSA-N 0 0 445.520 -0.108 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)ncn1 ZINC000492630532 1072597370 /nfs/dbraw/zinc/59/73/70/1072597370.db2.gz QSTGJYQTFQGPNC-HNNXBMFYSA-N 0 0 440.508 -0.039 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)ncn1 ZINC000492630771 1072597283 /nfs/dbraw/zinc/59/72/83/1072597283.db2.gz QSTGJYQTFQGPNC-OAHLLOKOSA-N 0 0 440.508 -0.039 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000492630844 1072597415 /nfs/dbraw/zinc/59/74/15/1072597415.db2.gz RBWMLYYZXLFYKG-BXUZGUMPSA-N 0 0 439.494 -0.492 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000492630848 1072597184 /nfs/dbraw/zinc/59/71/84/1072597184.db2.gz RBWMLYYZXLFYKG-FZMZJTMJSA-N 0 0 439.494 -0.492 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000492630851 1072597275 /nfs/dbraw/zinc/59/72/75/1072597275.db2.gz RBWMLYYZXLFYKG-RISCZKNCSA-N 0 0 439.494 -0.492 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000492630854 1072597507 /nfs/dbraw/zinc/59/75/07/1072597507.db2.gz RBWMLYYZXLFYKG-SMDDNHRTSA-N 0 0 439.494 -0.492 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492634268 1072597311 /nfs/dbraw/zinc/59/73/11/1072597311.db2.gz XVSZTWLNRJUXFK-INIZCTEOSA-N 0 0 438.492 -0.092 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492634269 1072597473 /nfs/dbraw/zinc/59/74/73/1072597473.db2.gz XVSZTWLNRJUXFK-MRXNPFEDSA-N 0 0 438.492 -0.092 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)N[C@@H]1CCC(=O)NC1=O)Nc1cccc(Br)c1 ZINC000492637103 1072597298 /nfs/dbraw/zinc/59/72/98/1072597298.db2.gz RDCGHAAXQNQVCH-LLVKDONJSA-N 0 0 446.279 -0.276 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)N[C@H]1CCC(=O)NC1=O)Nc1cccc(Br)c1 ZINC000492637104 1072597408 /nfs/dbraw/zinc/59/74/08/1072597408.db2.gz RDCGHAAXQNQVCH-NSHDSACASA-N 0 0 446.279 -0.276 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC1=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000492637650 1072597199 /nfs/dbraw/zinc/59/71/99/1072597199.db2.gz VMXMRWDKKZPAPR-AWEZNQCLSA-N 0 0 437.474 -0.220 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC1=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000492637651 1072597458 /nfs/dbraw/zinc/59/74/58/1072597458.db2.gz VMXMRWDKKZPAPR-CQSZACIVSA-N 0 0 437.474 -0.220 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(CNC(=O)c4ccccn4)CC3)c2c(=O)n(C)c1=O ZINC000492637656 1072597384 /nfs/dbraw/zinc/59/73/84/1072597384.db2.gz VPZSNARCRFOXSQ-UHFFFAOYSA-N 0 0 439.476 -0.503 20 0 IBADRN CCOC1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCN(C)CC3)ccc2OC)C1 ZINC000492637967 1072597248 /nfs/dbraw/zinc/59/72/48/1072597248.db2.gz ZOFLPJXEUFSZBX-UHFFFAOYSA-N 0 0 440.522 -0.183 20 0 IBADRN O=C(NCCc1cscn1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000492645753 1072597896 /nfs/dbraw/zinc/59/78/96/1072597896.db2.gz ATHMFDMTQFJZLD-UHFFFAOYSA-N 0 0 437.503 -0.439 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000492647704 1072597909 /nfs/dbraw/zinc/59/79/09/1072597909.db2.gz DNRHTMRPXKILBB-INIZCTEOSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000492647705 1072597928 /nfs/dbraw/zinc/59/79/28/1072597928.db2.gz DNRHTMRPXKILBB-MRXNPFEDSA-N 0 0 433.494 -0.064 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)ccc21 ZINC000492648622 1072597824 /nfs/dbraw/zinc/59/78/24/1072597824.db2.gz GELALLLJZNOVBJ-UHFFFAOYSA-N 0 0 440.460 -0.002 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@H]2C1)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000492651110 1072597872 /nfs/dbraw/zinc/59/78/72/1072597872.db2.gz BMQCWOUHOUDYQC-GXTWGEPZSA-N 0 0 426.520 -0.500 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@@H]2C1)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000492651112 1072597843 /nfs/dbraw/zinc/59/78/43/1072597843.db2.gz BMQCWOUHOUDYQC-JSGCOSHPSA-N 0 0 426.520 -0.500 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@@H]2C1)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000492651114 1072597920 /nfs/dbraw/zinc/59/79/20/1072597920.db2.gz BMQCWOUHOUDYQC-OCCSQVGLSA-N 0 0 426.520 -0.500 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@H]2C1)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000492651116 1072597880 /nfs/dbraw/zinc/59/78/80/1072597880.db2.gz BMQCWOUHOUDYQC-TZMCWYRMSA-N 0 0 426.520 -0.500 20 0 IBADRN COc1ccc(NC(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)cc1S(=O)(=O)N(C)C ZINC000492652071 1072597886 /nfs/dbraw/zinc/59/78/86/1072597886.db2.gz CSGRJPLPCSCGRS-GFCCVEGCSA-N 0 0 425.467 -0.880 20 0 IBADRN COc1ccc(NC(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)cc1S(=O)(=O)N(C)C ZINC000492652230 1072597990 /nfs/dbraw/zinc/59/79/90/1072597990.db2.gz CSGRJPLPCSCGRS-LBPRGKRZSA-N 0 0 425.467 -0.880 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC(=O)NC2=O)cc1 ZINC000492652466 1072597391 /nfs/dbraw/zinc/59/73/91/1072597391.db2.gz BHFYOJIAZJBOET-HNNXBMFYSA-N 0 0 427.479 -0.495 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC(=O)NC2=O)cc1 ZINC000492652468 1072597161 /nfs/dbraw/zinc/59/71/61/1072597161.db2.gz BHFYOJIAZJBOET-OAHLLOKOSA-N 0 0 427.479 -0.495 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000492654035 1072597956 /nfs/dbraw/zinc/59/79/56/1072597956.db2.gz NKVHREYKEMBKIW-UHFFFAOYSA-N 0 0 447.535 -0.476 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000492657428 1072597857 /nfs/dbraw/zinc/59/78/57/1072597857.db2.gz SVVBXWSOVCHOMH-HNNXBMFYSA-N 0 0 431.536 -0.235 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC000492657429 1072597866 /nfs/dbraw/zinc/59/78/66/1072597866.db2.gz SVVBXWSOVCHOMH-OAHLLOKOSA-N 0 0 431.536 -0.235 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2CCC(=O)NC2=O)c1OC ZINC000492657931 1072597977 /nfs/dbraw/zinc/59/79/77/1072597977.db2.gz PBYNJVDINZMGRC-CYBMUJFWSA-N 0 0 441.462 -0.740 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H]2CCC(=O)NC2=O)c1OC ZINC000492657934 1072597816 /nfs/dbraw/zinc/59/78/16/1072597816.db2.gz PBYNJVDINZMGRC-ZDUSSCGKSA-N 0 0 441.462 -0.740 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@@H]2C1)NCc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000492661190 1072597965 /nfs/dbraw/zinc/59/79/65/1072597965.db2.gz QCRUNPRJZDGHIZ-INIZCTEOSA-N 0 0 449.533 -0.287 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@H]2C1)NCc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000492661194 1072598006 /nfs/dbraw/zinc/59/80/06/1072598006.db2.gz QCRUNPRJZDGHIZ-MRXNPFEDSA-N 0 0 449.533 -0.287 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000492666691 1072597849 /nfs/dbraw/zinc/59/78/49/1072597849.db2.gz CBQJCKXQMXJCKW-AWEZNQCLSA-N 0 0 430.508 -0.701 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)c1 ZINC000492666692 1072597833 /nfs/dbraw/zinc/59/78/33/1072597833.db2.gz CBQJCKXQMXJCKW-CQSZACIVSA-N 0 0 430.508 -0.701 20 0 IBADRN CCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000492668850 1072597938 /nfs/dbraw/zinc/59/79/38/1072597938.db2.gz NMOYCDONKCIAOP-CYBMUJFWSA-N 0 0 445.519 -0.662 20 0 IBADRN CCOC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000492668851 1072598406 /nfs/dbraw/zinc/59/84/06/1072598406.db2.gz NMOYCDONKCIAOP-ZDUSSCGKSA-N 0 0 445.519 -0.662 20 0 IBADRN CCOC1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC000492673681 1072598395 /nfs/dbraw/zinc/59/83/95/1072598395.db2.gz YKTHYZWEJPKODD-UHFFFAOYSA-N 0 0 440.522 -0.041 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H]2CCC(=O)NC2=O)o1 ZINC000492673824 1072598319 /nfs/dbraw/zinc/59/83/19/1072598319.db2.gz ZISUQRNLNWHQSG-GHMZBOCLSA-N 0 0 427.435 -0.469 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H]2CCC(=O)NC2=O)o1 ZINC000492673834 1072598287 /nfs/dbraw/zinc/59/82/87/1072598287.db2.gz ZISUQRNLNWHQSG-MNOVXSKESA-N 0 0 427.435 -0.469 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@H]2CCC(=O)NC2=O)o1 ZINC000492673836 1072598413 /nfs/dbraw/zinc/59/84/13/1072598413.db2.gz ZISUQRNLNWHQSG-QWRGUYRKSA-N 0 0 427.435 -0.469 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H]2CCC(=O)NC2=O)o1 ZINC000492673837 1072598509 /nfs/dbraw/zinc/59/85/09/1072598509.db2.gz ZISUQRNLNWHQSG-WDEREUQCSA-N 0 0 427.435 -0.469 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492675857 1072598336 /nfs/dbraw/zinc/59/83/36/1072598336.db2.gz BBGRFZTYOHUHEK-INIZCTEOSA-N 0 0 438.554 -0.176 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492675879 1072598449 /nfs/dbraw/zinc/59/84/49/1072598449.db2.gz BBGRFZTYOHUHEK-MRXNPFEDSA-N 0 0 438.554 -0.176 20 0 IBADRN CCCCN(C(=O)CN1CCN2C(=O)NC(=O)[C@H]2C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000492678805 1072598501 /nfs/dbraw/zinc/59/85/01/1072598501.db2.gz FYEGPLITSGRAEJ-GFCCVEGCSA-N 0 0 435.485 -0.690 20 0 IBADRN CCCCN(C(=O)CN1CCN2C(=O)NC(=O)[C@@H]2C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000492678806 1072598245 /nfs/dbraw/zinc/59/82/45/1072598245.db2.gz FYEGPLITSGRAEJ-LBPRGKRZSA-N 0 0 435.485 -0.690 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2)cc1 ZINC000492681411 1072598265 /nfs/dbraw/zinc/59/82/65/1072598265.db2.gz IUJLQBHFLKXOIJ-DOTOQJQBSA-N 0 0 449.533 -0.548 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2)cc1 ZINC000492681413 1072598366 /nfs/dbraw/zinc/59/83/66/1072598366.db2.gz IUJLQBHFLKXOIJ-NVXWUHKLSA-N 0 0 449.533 -0.548 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2)cc1 ZINC000492681415 1072598307 /nfs/dbraw/zinc/59/83/07/1072598307.db2.gz IUJLQBHFLKXOIJ-RDJZCZTQSA-N 0 0 449.533 -0.548 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2)cc1 ZINC000492681419 1072598477 /nfs/dbraw/zinc/59/84/77/1072598477.db2.gz IUJLQBHFLKXOIJ-WBVHZDCISA-N 0 0 449.533 -0.548 20 0 IBADRN C[C@H](NC(=O)CCn1ncn(C)c1=O)c1ccccc1NC(=O)CCn1ncn(C)c1=O ZINC000492690137 1072598495 /nfs/dbraw/zinc/59/84/95/1072598495.db2.gz DPZWLNTYXPMQRC-AWEZNQCLSA-N 0 0 442.480 -0.227 20 0 IBADRN C[C@@H](NC(=O)CCn1ncn(C)c1=O)c1ccccc1NC(=O)CCn1ncn(C)c1=O ZINC000492690138 1072598487 /nfs/dbraw/zinc/59/84/87/1072598487.db2.gz DPZWLNTYXPMQRC-CQSZACIVSA-N 0 0 442.480 -0.227 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000492695084 1072598425 /nfs/dbraw/zinc/59/84/25/1072598425.db2.gz HLKZQMWYUVEXEU-UHFFFAOYSA-N 0 0 447.535 -0.663 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](CNC(C)=O)C2)cc1 ZINC000492695346 1072598379 /nfs/dbraw/zinc/59/83/79/1072598379.db2.gz IPTZBVCSSXGWMQ-HNNXBMFYSA-N 0 0 440.522 -0.076 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](CNC(C)=O)C2)cc1 ZINC000492695348 1072599069 /nfs/dbraw/zinc/59/90/69/1072599069.db2.gz IPTZBVCSSXGWMQ-OAHLLOKOSA-N 0 0 440.522 -0.076 20 0 IBADRN COCCN1C[C@@H](C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1=O ZINC000492700519 1072599004 /nfs/dbraw/zinc/59/90/04/1072599004.db2.gz GUOFGFNXKYYLPI-DLBZAZTESA-N 0 0 432.525 -0.107 20 0 IBADRN COCCN1C[C@H](C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1=O ZINC000492700520 1072598941 /nfs/dbraw/zinc/59/89/41/1072598941.db2.gz GUOFGFNXKYYLPI-IAGOWNOFSA-N 0 0 432.525 -0.107 20 0 IBADRN COCCN1C[C@@H](C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1=O ZINC000492700521 1072598803 /nfs/dbraw/zinc/59/88/03/1072598803.db2.gz GUOFGFNXKYYLPI-IRXDYDNUSA-N 0 0 432.525 -0.107 20 0 IBADRN COCCN1C[C@H](C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1=O ZINC000492700522 1072599096 /nfs/dbraw/zinc/59/90/96/1072599096.db2.gz GUOFGFNXKYYLPI-SJORKVTESA-N 0 0 432.525 -0.107 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCC2CN(S(C)(=O)=O)C2)cc1OC ZINC000492700612 1072598774 /nfs/dbraw/zinc/59/87/74/1072598774.db2.gz YFXCFDNBIJHISX-UHFFFAOYSA-N 0 0 435.524 -0.620 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)CC1)Nc1ccc(F)cc1 ZINC000492707114 1072598971 /nfs/dbraw/zinc/59/89/71/1072598971.db2.gz UQKMZIARIHGFRI-INIZCTEOSA-N 0 0 432.456 -0.856 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)CC1)Nc1ccc(F)cc1 ZINC000492707158 1072599027 /nfs/dbraw/zinc/59/90/27/1072599027.db2.gz UQKMZIARIHGFRI-MRXNPFEDSA-N 0 0 432.456 -0.856 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000492707447 1072598837 /nfs/dbraw/zinc/59/88/37/1072598837.db2.gz UVCFESKCAFUZNS-GOSISDBHSA-N 0 0 438.617 -0.422 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000492707448 1072598794 /nfs/dbraw/zinc/59/87/94/1072598794.db2.gz UVCFESKCAFUZNS-SFHVURJKSA-N 0 0 438.617 -0.422 20 0 IBADRN CC(C)CN(C(=O)CN1CCN2C(=O)NC(=O)[C@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000492708007 1072598897 /nfs/dbraw/zinc/59/88/97/1072598897.db2.gz VWKXHEKFOHPDQF-CYBMUJFWSA-N 0 0 449.512 -0.588 20 0 IBADRN CC(C)CN(C(=O)CN1CCN2C(=O)NC(=O)[C@@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000492708026 1072598879 /nfs/dbraw/zinc/59/88/79/1072598879.db2.gz VWKXHEKFOHPDQF-ZDUSSCGKSA-N 0 0 449.512 -0.588 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000492712789 1072599060 /nfs/dbraw/zinc/59/90/60/1072599060.db2.gz VHQRPZIOXOCIMW-GFCCVEGCSA-N 0 0 434.412 -0.035 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000492712790 1072598906 /nfs/dbraw/zinc/59/89/06/1072598906.db2.gz VHQRPZIOXOCIMW-LBPRGKRZSA-N 0 0 434.412 -0.035 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000492721340 1072599623 /nfs/dbraw/zinc/59/96/23/1072599623.db2.gz KJPTVATWKUDQGW-INIZCTEOSA-N 0 0 438.506 -0.094 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1 ZINC000492721341 1072599658 /nfs/dbraw/zinc/59/96/58/1072599658.db2.gz KJPTVATWKUDQGW-MRXNPFEDSA-N 0 0 438.506 -0.094 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cc1C(N)=O ZINC000492723289 1072599568 /nfs/dbraw/zinc/59/95/68/1072599568.db2.gz PNCHOIUQCQIOQL-GFCCVEGCSA-N 0 0 425.467 -0.846 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cc1C(N)=O ZINC000492723290 1072599665 /nfs/dbraw/zinc/59/96/65/1072599665.db2.gz PNCHOIUQCQIOQL-LBPRGKRZSA-N 0 0 425.467 -0.846 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)ccc21 ZINC000492724139 1072599577 /nfs/dbraw/zinc/59/95/77/1072599577.db2.gz SARDEFKRNHNNJW-UHFFFAOYSA-N 0 0 440.460 -0.002 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)ccc1S(=O)(=O)N1CCCC1 ZINC000492725167 1072599052 /nfs/dbraw/zinc/59/90/52/1072599052.db2.gz WDXPDGLSBMOKIB-AWEZNQCLSA-N 0 0 440.478 -0.869 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)ccc1S(=O)(=O)N1CCCC1 ZINC000492725168 1072598922 /nfs/dbraw/zinc/59/89/22/1072598922.db2.gz WDXPDGLSBMOKIB-CQSZACIVSA-N 0 0 440.478 -0.869 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000492727333 1072599039 /nfs/dbraw/zinc/59/90/39/1072599039.db2.gz IJKBVLYQECXNQX-INIZCTEOSA-N 0 0 439.582 -0.475 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000492727334 1072599638 /nfs/dbraw/zinc/59/96/38/1072599638.db2.gz IJKBVLYQECXNQX-MRXNPFEDSA-N 0 0 439.582 -0.475 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)ccn1 ZINC000492745859 1072599608 /nfs/dbraw/zinc/59/96/08/1072599608.db2.gz JDCBVGYVHYUJOX-INIZCTEOSA-N 0 0 427.417 -0.115 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)ccn1 ZINC000492745860 1072599473 /nfs/dbraw/zinc/59/94/73/1072599473.db2.gz JDCBVGYVHYUJOX-MRXNPFEDSA-N 0 0 427.417 -0.115 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000492756157 1072599511 /nfs/dbraw/zinc/59/95/11/1072599511.db2.gz GGNUGUVRRLUKEM-HNNXBMFYSA-N 0 0 437.566 -0.245 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000492756158 1072599599 /nfs/dbraw/zinc/59/95/99/1072599599.db2.gz GGNUGUVRRLUKEM-OAHLLOKOSA-N 0 0 437.566 -0.245 20 0 IBADRN C[C@@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492756393 1072600122 /nfs/dbraw/zinc/60/01/22/1072600122.db2.gz IFEABAXIAGNJMF-HNNXBMFYSA-N 0 0 437.522 -0.445 20 0 IBADRN C[C@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492756394 1072600170 /nfs/dbraw/zinc/60/01/70/1072600170.db2.gz IFEABAXIAGNJMF-OAHLLOKOSA-N 0 0 437.522 -0.445 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC000492756639 1072599487 /nfs/dbraw/zinc/59/94/87/1072599487.db2.gz KIEBJVDHGMTXHQ-AWEZNQCLSA-N 0 0 431.497 -0.271 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC000492756640 1072599408 /nfs/dbraw/zinc/59/94/08/1072599408.db2.gz KIEBJVDHGMTXHQ-CQSZACIVSA-N 0 0 431.497 -0.271 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)CC1 ZINC000492756876 1072599463 /nfs/dbraw/zinc/59/94/63/1072599463.db2.gz MPWMDVYRPIPPCO-KRWDZBQOSA-N 0 0 442.520 -0.378 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)CC1 ZINC000492756877 1072599447 /nfs/dbraw/zinc/59/94/47/1072599447.db2.gz MPWMDVYRPIPPCO-QGZVFWFLSA-N 0 0 442.520 -0.378 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000492757098 1072599548 /nfs/dbraw/zinc/59/95/48/1072599548.db2.gz OOYKFVBPEBLQRS-HNNXBMFYSA-N 0 0 439.538 -0.432 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000492757099 1072599632 /nfs/dbraw/zinc/59/96/32/1072599632.db2.gz OOYKFVBPEBLQRS-OAHLLOKOSA-N 0 0 439.538 -0.432 20 0 IBADRN C[C@@H](C(=O)NC(=O)NCc1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492759072 1072600022 /nfs/dbraw/zinc/60/00/22/1072600022.db2.gz WGXRINNWUDPZST-INIZCTEOSA-N 0 0 439.538 -0.405 20 0 IBADRN C[C@H](C(=O)NC(=O)NCc1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492759073 1072600181 /nfs/dbraw/zinc/60/01/81/1072600181.db2.gz WGXRINNWUDPZST-MRXNPFEDSA-N 0 0 439.538 -0.405 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000492759787 1072600139 /nfs/dbraw/zinc/60/01/39/1072600139.db2.gz XLNMPZGDDLGTFK-UHFFFAOYSA-N 0 0 440.522 -0.085 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CC1 ZINC000492759829 1072600037 /nfs/dbraw/zinc/60/00/37/1072600037.db2.gz YAMKBPYAHZTSQN-HNNXBMFYSA-N 0 0 436.557 -0.500 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CC1 ZINC000492759830 1072599993 /nfs/dbraw/zinc/59/99/93/1072599993.db2.gz YAMKBPYAHZTSQN-OAHLLOKOSA-N 0 0 436.557 -0.500 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)CC1 ZINC000492781991 1072600046 /nfs/dbraw/zinc/60/00/46/1072600046.db2.gz FLDFZEXVLFCOSG-GOSISDBHSA-N 0 0 442.520 -0.432 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)CC1 ZINC000492781992 1072600056 /nfs/dbraw/zinc/60/00/56/1072600056.db2.gz FLDFZEXVLFCOSG-SFHVURJKSA-N 0 0 442.520 -0.432 20 0 IBADRN C[C@@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492782672 1073359499 /nfs/dbraw/zinc/35/94/99/1073359499.db2.gz HUYANASGHXWYMG-HNNXBMFYSA-N 0 0 448.553 -0.125 20 0 IBADRN C[C@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492782673 1073361311 /nfs/dbraw/zinc/36/13/11/1073361311.db2.gz HUYANASGHXWYMG-OAHLLOKOSA-N 0 0 448.553 -0.125 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(C(N)=O)cc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492782976 1072600160 /nfs/dbraw/zinc/60/01/60/1072600160.db2.gz JGMJTECVSASPPI-AWEZNQCLSA-N 0 0 425.511 -0.693 20 0 IBADRN C[C@H](C(=O)Nc1ccc(C(N)=O)cc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492782977 1072600006 /nfs/dbraw/zinc/60/00/06/1072600006.db2.gz JGMJTECVSASPPI-CQSZACIVSA-N 0 0 425.511 -0.693 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000492783000 1072600064 /nfs/dbraw/zinc/60/00/64/1072600064.db2.gz JPFQETVFDKUVKK-INIZCTEOSA-N 0 0 435.506 -0.548 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000492783001 1072599948 /nfs/dbraw/zinc/59/99/48/1072599948.db2.gz JPFQETVFDKUVKK-MRXNPFEDSA-N 0 0 435.506 -0.548 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000492783660 1072600153 /nfs/dbraw/zinc/60/01/53/1072600153.db2.gz LZAIUYHYLYEZJB-DLBZAZTESA-N 0 0 446.570 -0.629 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000492783661 1072600116 /nfs/dbraw/zinc/60/01/16/1072600116.db2.gz LZAIUYHYLYEZJB-IAGOWNOFSA-N 0 0 446.570 -0.629 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000492783662 1072600096 /nfs/dbraw/zinc/60/00/96/1072600096.db2.gz LZAIUYHYLYEZJB-IRXDYDNUSA-N 0 0 446.570 -0.629 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000492783663 1072600454 /nfs/dbraw/zinc/60/04/54/1072600454.db2.gz LZAIUYHYLYEZJB-SJORKVTESA-N 0 0 446.570 -0.629 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492783912 1072600133 /nfs/dbraw/zinc/60/01/33/1072600133.db2.gz NKMLFBGTPVOADJ-AWEZNQCLSA-N 0 0 426.495 -0.063 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492783913 1072599973 /nfs/dbraw/zinc/59/99/73/1072599973.db2.gz NKMLFBGTPVOADJ-CQSZACIVSA-N 0 0 426.495 -0.063 20 0 IBADRN C[C@@H](C(=O)NC(=O)NC1CCCCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492784558 1072600541 /nfs/dbraw/zinc/60/05/41/1072600541.db2.gz PPECMAGILYIKJE-HNNXBMFYSA-N 0 0 431.559 -0.272 20 0 IBADRN C[C@H](C(=O)NC(=O)NC1CCCCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000492784559 1072600602 /nfs/dbraw/zinc/60/06/02/1072600602.db2.gz PPECMAGILYIKJE-OAHLLOKOSA-N 0 0 431.559 -0.272 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492785802 1072600426 /nfs/dbraw/zinc/60/04/26/1072600426.db2.gz VQTWKYOUCPAHFN-INIZCTEOSA-N 0 0 438.554 -0.871 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492785803 1072600461 /nfs/dbraw/zinc/60/04/61/1072600461.db2.gz VQTWKYOUCPAHFN-MRXNPFEDSA-N 0 0 438.554 -0.871 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1 ZINC000492785992 1072600678 /nfs/dbraw/zinc/60/06/78/1072600678.db2.gz WLZACAWJUCIYFA-HNNXBMFYSA-N 0 0 443.512 -0.608 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1 ZINC000492785993 1072600658 /nfs/dbraw/zinc/60/06/58/1072600658.db2.gz WLZACAWJUCIYFA-OAHLLOKOSA-N 0 0 443.512 -0.608 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)cc1 ZINC000492792105 1072600731 /nfs/dbraw/zinc/60/07/31/1072600731.db2.gz RZUUFODHIYXZGJ-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)CC1 ZINC000492792166 1072600721 /nfs/dbraw/zinc/60/07/21/1072600721.db2.gz SHOUNOFECJBDNR-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN COCCN(CC(=O)Nc1c(C)cccc1C)C(=O)CN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000492809582 1072600481 /nfs/dbraw/zinc/60/04/81/1072600481.db2.gz QAPDGZWVQBOYNF-INIZCTEOSA-N 0 0 431.493 -0.047 20 0 IBADRN COCCN(CC(=O)Nc1c(C)cccc1C)C(=O)CN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000492809587 1072600741 /nfs/dbraw/zinc/60/07/41/1072600741.db2.gz QAPDGZWVQBOYNF-MRXNPFEDSA-N 0 0 431.493 -0.047 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492809641 1072600512 /nfs/dbraw/zinc/60/05/12/1072600512.db2.gz QDTYODVXMAEQCS-HNNXBMFYSA-N 0 0 431.497 -0.270 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492809643 1072600695 /nfs/dbraw/zinc/60/06/95/1072600695.db2.gz QDTYODVXMAEQCS-OAHLLOKOSA-N 0 0 431.497 -0.270 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000492814309 1072601517 /nfs/dbraw/zinc/60/15/17/1072601517.db2.gz ABGZERDBJWWQSH-UHFFFAOYSA-N 0 0 445.563 -0.352 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000492814329 1072601411 /nfs/dbraw/zinc/60/14/11/1072601411.db2.gz AHTNNQGDYPHHGL-UHFFFAOYSA-N 0 0 431.536 -0.363 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)cc1 ZINC000492848402 1072601533 /nfs/dbraw/zinc/60/15/33/1072601533.db2.gz VTKKQSCHJRRLNJ-UHFFFAOYSA-N 0 0 432.524 -0.119 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2OC)CC1 ZINC000492848638 1072601504 /nfs/dbraw/zinc/60/15/04/1072601504.db2.gz YCOJTNQWQYVRKR-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN CCOC1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000492850846 1072601364 /nfs/dbraw/zinc/60/13/64/1072601364.db2.gz LAVQAUREBIBWIW-UHFFFAOYSA-N 0 0 427.479 -0.098 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000492851815 1072601543 /nfs/dbraw/zinc/60/15/43/1072601543.db2.gz LMHLTGXFHDTQNZ-UHFFFAOYSA-N 0 0 447.473 -0.191 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492852330 1072601490 /nfs/dbraw/zinc/60/14/90/1072601490.db2.gz NOOWTGCTSQIINA-KRWDZBQOSA-N 0 0 433.557 -0.145 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000492852331 1072601319 /nfs/dbraw/zinc/60/13/19/1072601319.db2.gz NOOWTGCTSQIINA-QGZVFWFLSA-N 0 0 433.557 -0.145 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOC(C)(C)C2)cc1 ZINC000492876229 1072601526 /nfs/dbraw/zinc/60/15/26/1072601526.db2.gz VMXQXCWFXOWSDD-UHFFFAOYSA-N 0 0 442.538 -0.223 20 0 IBADRN CCOC1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1 ZINC000492877293 1072601500 /nfs/dbraw/zinc/60/15/00/1072601500.db2.gz YCJHBZZXDJKYPI-UHFFFAOYSA-N 0 0 427.479 -0.098 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000492883779 1072601206 /nfs/dbraw/zinc/60/12/06/1072601206.db2.gz AUQBZZAMKWRYJX-UHFFFAOYSA-N 0 0 448.505 -0.311 20 0 IBADRN COCCNC(=O)c1ccc(N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)nc1 ZINC000492938376 1072601975 /nfs/dbraw/zinc/60/19/75/1072601975.db2.gz ZCPVFRDYQBIHAN-HOTGVXAUSA-N 0 0 441.554 -0.066 20 0 IBADRN COCCNC(=O)c1ccc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)nc1 ZINC000492938377 1072602039 /nfs/dbraw/zinc/60/20/39/1072602039.db2.gz ZCPVFRDYQBIHAN-HZPDHXFCSA-N 0 0 441.554 -0.066 20 0 IBADRN COCCNC(=O)c1ccc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)nc1 ZINC000492938378 1072602068 /nfs/dbraw/zinc/60/20/68/1072602068.db2.gz ZCPVFRDYQBIHAN-IYBDPMFKSA-N 0 0 441.554 -0.066 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)ccc1Cl ZINC000492950391 1072601996 /nfs/dbraw/zinc/60/19/96/1072601996.db2.gz ITRBYCVDCFYVSY-GFCCVEGCSA-N 0 0 429.886 -0.235 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)ccc1Cl ZINC000492950398 1072601907 /nfs/dbraw/zinc/60/19/07/1072601907.db2.gz ITRBYCVDCFYVSY-LBPRGKRZSA-N 0 0 429.886 -0.235 20 0 IBADRN O=C(CCc1ccccc1)NC1CCN(C(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)CC1 ZINC000492956043 1072601924 /nfs/dbraw/zinc/60/19/24/1072601924.db2.gz UBOYNTBUSTWCFR-GOSISDBHSA-N 0 0 427.505 -0.038 20 0 IBADRN O=C(CCc1ccccc1)NC1CCN(C(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)CC1 ZINC000492956045 1072602061 /nfs/dbraw/zinc/60/20/61/1072602061.db2.gz UBOYNTBUSTWCFR-SFHVURJKSA-N 0 0 427.505 -0.038 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCn2cc(Br)cn2)o1 ZINC000492957807 1072602045 /nfs/dbraw/zinc/60/20/45/1072602045.db2.gz GZNAAJXZJUCXCS-UHFFFAOYSA-N 0 0 434.272 -0.178 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000492985147 1072601959 /nfs/dbraw/zinc/60/19/59/1072601959.db2.gz QNGJOFLGNIDMQD-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCN(CC(=O)NC(C)C)CC2)CC1 ZINC000492985641 1072602031 /nfs/dbraw/zinc/60/20/31/1072602031.db2.gz SWDKLHONMOTUCY-UHFFFAOYSA-N 0 0 426.558 -0.007 20 0 IBADRN COCCNC(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)nc1 ZINC000493011204 1072602000 /nfs/dbraw/zinc/60/20/00/1072602000.db2.gz SUFYSFNMRMYYMH-UHFFFAOYSA-N 0 0 437.478 -0.339 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000493025120 1072601983 /nfs/dbraw/zinc/60/19/83/1072601983.db2.gz GVQUQENDKLKOOZ-UHFFFAOYSA-N 0 0 427.505 -0.273 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000493094839 1072602537 /nfs/dbraw/zinc/60/25/37/1072602537.db2.gz AVOBARCJMNIXJZ-UHFFFAOYSA-N 0 0 430.552 -0.798 20 0 IBADRN COCCNC(=O)c1ccc(N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nc1 ZINC000493102837 1072602605 /nfs/dbraw/zinc/60/26/05/1072602605.db2.gz GHVLOJCHXUGIMQ-UHFFFAOYSA-N 0 0 426.543 -0.928 20 0 IBADRN COc1ccc(CN2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)cc1F ZINC000493114296 1072602586 /nfs/dbraw/zinc/60/25/86/1072602586.db2.gz QTXOQIPJFQKMTA-UHFFFAOYSA-N 0 0 444.467 -0.074 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000493144124 1072602549 /nfs/dbraw/zinc/60/25/49/1072602549.db2.gz CTGDOVLBNXECOL-UHFFFAOYSA-N 0 0 448.505 -0.005 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000493153875 1072603194 /nfs/dbraw/zinc/60/31/94/1072603194.db2.gz HJXPHABHUNAYEN-UHFFFAOYSA-N 0 0 433.328 -0.382 20 0 IBADRN COC(=O)COCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000493248458 1072604621 /nfs/dbraw/zinc/60/46/21/1072604621.db2.gz NYULWAMGAHCZAI-UHFFFAOYSA-N 0 0 428.463 -0.130 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)N1CCN(c2ccccn2)CC1 ZINC000493251601 1072604667 /nfs/dbraw/zinc/60/46/67/1072604667.db2.gz VCRXGEPMEXBVLO-ZWKOTPCHSA-N 0 0 445.520 -0.357 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](C(=O)N2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)O1 ZINC000493253496 1072604661 /nfs/dbraw/zinc/60/46/61/1072604661.db2.gz XWGWANCPSYFSJZ-CVEARBPZSA-N 0 0 439.490 -0.286 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](C(=O)N2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)O1 ZINC000493253497 1072604656 /nfs/dbraw/zinc/60/46/56/1072604656.db2.gz XWGWANCPSYFSJZ-HOTGVXAUSA-N 0 0 439.490 -0.286 20 0 IBADRN NC(=O)[C@H]1CC[C@H](C(=O)N2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)O1 ZINC000493253498 1072605233 /nfs/dbraw/zinc/60/52/33/1072605233.db2.gz XWGWANCPSYFSJZ-HZPDHXFCSA-N 0 0 439.490 -0.286 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)O1 ZINC000493253499 1072605093 /nfs/dbraw/zinc/60/50/93/1072605093.db2.gz XWGWANCPSYFSJZ-JKSUJKDBSA-N 0 0 439.490 -0.286 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000493262036 1072604681 /nfs/dbraw/zinc/60/46/81/1072604681.db2.gz USZVUFYHFZTPIH-UHFFFAOYSA-N 0 0 433.328 -0.382 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000493278456 1072605206 /nfs/dbraw/zinc/60/52/06/1072605206.db2.gz UTUWFTRBDLQSPH-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)c1 ZINC000493300272 1072605067 /nfs/dbraw/zinc/60/50/67/1072605067.db2.gz CBAHGTFAOLSSHQ-UHFFFAOYSA-N 0 0 434.492 -0.038 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(c2ncccn2)CC1 ZINC000493301037 1072605216 /nfs/dbraw/zinc/60/52/16/1072605216.db2.gz ILISTWGZRBRASM-UHFFFAOYSA-N 0 0 430.490 -0.441 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)c(=O)n(C)c1=O ZINC000493304569 1072605114 /nfs/dbraw/zinc/60/51/14/1072605114.db2.gz NIVPGYCAJGWJKQ-UHFFFAOYSA-N 0 0 427.483 -0.993 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000493318838 1072605735 /nfs/dbraw/zinc/60/57/35/1072605735.db2.gz HZAGPWDIWWXMSC-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)c1 ZINC000493323140 1072605922 /nfs/dbraw/zinc/60/59/22/1072605922.db2.gz SBKQABNCJVYGDS-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCCCNS(C)(=O)=O)cc1 ZINC000493323206 1072605814 /nfs/dbraw/zinc/60/58/14/1072605814.db2.gz SOWCJXVJRFLZOH-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN CS(=O)(=O)NCCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000493324443 1072605899 /nfs/dbraw/zinc/60/58/99/1072605899.db2.gz VNEKLAIDCJLUOX-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC[S@]1=O ZINC000493334583 1072605175 /nfs/dbraw/zinc/60/51/75/1072605175.db2.gz JFLLIJIJUBMCPT-OXZBVXRUSA-N 0 0 435.502 -0.381 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC[S@@]1=O ZINC000493334584 1072605194 /nfs/dbraw/zinc/60/51/94/1072605194.db2.gz JFLLIJIJUBMCPT-HCELYDKLSA-N 0 0 435.502 -0.381 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC[S@@]1=O ZINC000493334585 1072605237 /nfs/dbraw/zinc/60/52/37/1072605237.db2.gz JFLLIJIJUBMCPT-UKEXBGPQSA-N 0 0 435.502 -0.381 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC[S@]1=O ZINC000493334586 1072605241 /nfs/dbraw/zinc/60/52/41/1072605241.db2.gz JFLLIJIJUBMCPT-UFZITNIESA-N 0 0 435.502 -0.381 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000493334836 1072605914 /nfs/dbraw/zinc/60/59/14/1072605914.db2.gz JNGIIKQHKYEQAM-UHFFFAOYSA-N 0 0 432.433 -0.407 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(CC)c(S(N)(=O)=O)c2)CC1 ZINC000493335959 1072605764 /nfs/dbraw/zinc/60/57/64/1072605764.db2.gz MHZBBCLVZFAGCN-UHFFFAOYSA-N 0 0 427.479 -0.249 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[S@]1=O ZINC000493338372 1072605932 /nfs/dbraw/zinc/60/59/32/1072605932.db2.gz QZOOGRZAWFDKNF-JGTBNHKDSA-N 0 0 429.520 -0.375 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[S@@]1=O ZINC000493338373 1072605752 /nfs/dbraw/zinc/60/57/52/1072605752.db2.gz QZOOGRZAWFDKNF-CEXHIMGSSA-N 0 0 429.520 -0.375 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[S@@]1=O ZINC000493338374 1072605805 /nfs/dbraw/zinc/60/58/05/1072605805.db2.gz QZOOGRZAWFDKNF-QWVSSGJLSA-N 0 0 429.520 -0.375 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[S@]1=O ZINC000493338375 1072605718 /nfs/dbraw/zinc/60/57/18/1072605718.db2.gz QZOOGRZAWFDKNF-PFUSGODGSA-N 0 0 429.520 -0.375 20 0 IBADRN CN(CCS(=O)(=O)NCCCNS(C)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000493344114 1072605793 /nfs/dbraw/zinc/60/57/93/1072605793.db2.gz LPLHWQWRUXCTLH-UHFFFAOYSA-N 0 0 431.533 -0.695 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCc3c(cccc3NS(C)(=O)=O)C1)c(=O)n2C ZINC000493344146 1072605836 /nfs/dbraw/zinc/60/58/36/1072605836.db2.gz IFLGKJCITIVSEK-UHFFFAOYSA-N 0 0 446.489 -0.610 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC[S@@]1=O ZINC000493359336 1072605858 /nfs/dbraw/zinc/60/58/58/1072605858.db2.gz ISHIWJFOMVBFHN-SUMNFNSASA-N 0 0 442.563 -0.459 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC[S@@]1=O ZINC000493359339 1072605893 /nfs/dbraw/zinc/60/58/93/1072605893.db2.gz ISHIWJFOMVBFHN-POXGOYDTSA-N 0 0 442.563 -0.459 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC[S@]1=O ZINC000493359341 1072605882 /nfs/dbraw/zinc/60/58/82/1072605882.db2.gz ISHIWJFOMVBFHN-HJFSHJIFSA-N 0 0 442.563 -0.459 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC[S@]1=O ZINC000493359344 1072605885 /nfs/dbraw/zinc/60/58/85/1072605885.db2.gz ISHIWJFOMVBFHN-GMYLUUGSSA-N 0 0 442.563 -0.459 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@@](=O)[C@@H](C)C2)cc1 ZINC000493368869 1072606331 /nfs/dbraw/zinc/60/63/31/1072606331.db2.gz XUDYXYUIXPAEAO-BBFKWACYSA-N 0 0 431.536 -0.082 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@@](=O)[C@H](C)C2)cc1 ZINC000493368870 1072606392 /nfs/dbraw/zinc/60/63/92/1072606392.db2.gz XUDYXYUIXPAEAO-HWOJOUQTSA-N 0 0 431.536 -0.082 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@](=O)[C@H](C)C2)cc1 ZINC000493368871 1072606495 /nfs/dbraw/zinc/60/64/95/1072606495.db2.gz XUDYXYUIXPAEAO-MYXHWSLCSA-N 0 0 431.536 -0.082 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[S@](=O)[C@@H](C)C2)cc1 ZINC000493368872 1072606474 /nfs/dbraw/zinc/60/64/74/1072606474.db2.gz XUDYXYUIXPAEAO-UYZZBQRDSA-N 0 0 431.536 -0.082 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000493371841 1072606297 /nfs/dbraw/zinc/60/62/97/1072606297.db2.gz HWRZBUAOEUUOAS-UHFFFAOYSA-N 0 0 438.428 -0.246 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000493372403 1072606400 /nfs/dbraw/zinc/60/64/00/1072606400.db2.gz KNLITXRSMFJBDM-UHFFFAOYSA-N 0 0 449.552 -0.120 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC)CC2)cc1 ZINC000493372603 1072606340 /nfs/dbraw/zinc/60/63/40/1072606340.db2.gz LLIFIPOKSFVCIK-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000493375356 1072606376 /nfs/dbraw/zinc/60/63/76/1072606376.db2.gz YZMNUYUIRSEKSJ-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)CC1 ZINC000493378637 1072606441 /nfs/dbraw/zinc/60/64/41/1072606441.db2.gz BHDSAMFWVKIKRX-UHFFFAOYSA-N 0 0 427.479 -0.242 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC000493409074 1072606486 /nfs/dbraw/zinc/60/64/86/1072606486.db2.gz FUOZIIRPRBCKHP-UHFFFAOYSA-N 0 0 430.508 -0.310 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)CC1 ZINC000493409393 1072606455 /nfs/dbraw/zinc/60/64/55/1072606455.db2.gz HGFLTXXXHHSPND-UHFFFAOYSA-N 0 0 435.433 -0.556 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC[S@@]1=O ZINC000493412148 1072606310 /nfs/dbraw/zinc/60/63/10/1072606310.db2.gz NGQAVUMIBMFHRG-SUMNFNSASA-N 0 0 442.563 -0.459 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC[S@@]1=O ZINC000493412149 1072606498 /nfs/dbraw/zinc/60/64/98/1072606498.db2.gz NGQAVUMIBMFHRG-POXGOYDTSA-N 0 0 442.563 -0.459 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC[S@]1=O ZINC000493412650 1072606427 /nfs/dbraw/zinc/60/64/27/1072606427.db2.gz NGQAVUMIBMFHRG-HJFSHJIFSA-N 0 0 442.563 -0.459 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC[S@]1=O ZINC000493412651 1072606477 /nfs/dbraw/zinc/60/64/77/1072606477.db2.gz NGQAVUMIBMFHRG-GMYLUUGSSA-N 0 0 442.563 -0.459 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](O)CC2)ccc1OC ZINC000493459885 1072607153 /nfs/dbraw/zinc/60/71/53/1072607153.db2.gz IEDYEBNEWJSGNH-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](O)CC2)ccc1OC ZINC000493459895 1072607064 /nfs/dbraw/zinc/60/70/64/1072607064.db2.gz IEDYEBNEWJSGNH-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)CCO ZINC000493472724 1072607110 /nfs/dbraw/zinc/60/71/10/1072607110.db2.gz MDBWATVFWJPHET-CYBMUJFWSA-N 0 0 428.511 -0.543 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)CCO ZINC000493472725 1072607196 /nfs/dbraw/zinc/60/71/96/1072607196.db2.gz MDBWATVFWJPHET-ZDUSSCGKSA-N 0 0 428.511 -0.543 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2[nH]c(=O)c1=O ZINC000493504312 1072607100 /nfs/dbraw/zinc/60/71/00/1072607100.db2.gz DUXJOHIVEHAXFM-UHFFFAOYSA-N 0 0 429.477 -0.674 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000493505585 1072607157 /nfs/dbraw/zinc/60/71/57/1072607157.db2.gz ZYZZDMOCYBYZLZ-UHFFFAOYSA-N 0 0 442.513 -0.503 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCCS(=O)(=O)c1ccc(Cl)cc1)S(N)(=O)=O ZINC000493516785 1072608071 /nfs/dbraw/zinc/60/80/71/1072608071.db2.gz CPNGDXUDUNEDPU-JTQLQIEISA-N 0 0 425.916 -0.587 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCCS(=O)(=O)c1ccc(Cl)cc1)S(N)(=O)=O ZINC000493516786 1072608334 /nfs/dbraw/zinc/60/83/34/1072608334.db2.gz CPNGDXUDUNEDPU-SNVBAGLBSA-N 0 0 425.916 -0.587 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000493517772 1072608139 /nfs/dbraw/zinc/60/81/39/1072608139.db2.gz GDMHJVQIIIUVAF-UHFFFAOYSA-N 0 0 438.550 -0.334 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000493518474 1072607024 /nfs/dbraw/zinc/60/70/24/1072607024.db2.gz GXUZDURMKGYSFU-UHFFFAOYSA-N 0 0 438.550 -0.470 20 0 IBADRN Cn1c(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc2c1nc1ccccn1c2=O ZINC000493520027 1072607177 /nfs/dbraw/zinc/60/71/77/1072607177.db2.gz NXLCJHYZZZQSOE-UHFFFAOYSA-N 0 0 438.488 -0.197 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1c(OC)cc(OC)cc1OC ZINC000493522724 1072607544 /nfs/dbraw/zinc/60/75/44/1072607544.db2.gz IGEHEJHOQFCQSR-CYBMUJFWSA-N 0 0 446.478 -0.219 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1c(OC)cc(OC)cc1OC ZINC000493522726 1072607591 /nfs/dbraw/zinc/60/75/91/1072607591.db2.gz IGEHEJHOQFCQSR-ZDUSSCGKSA-N 0 0 446.478 -0.219 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)CC1 ZINC000493524919 1072607617 /nfs/dbraw/zinc/60/76/17/1072607617.db2.gz LAHHLEXDQYIHOS-UHFFFAOYSA-N 0 0 427.479 -0.242 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000493525499 1072607656 /nfs/dbraw/zinc/60/76/56/1072607656.db2.gz LXQFFGSBSWZLDI-HNNXBMFYSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000493525503 1072607673 /nfs/dbraw/zinc/60/76/73/1072607673.db2.gz LXQFFGSBSWZLDI-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN CS[C@@H](CO)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000493525699 1072607597 /nfs/dbraw/zinc/60/75/97/1072607597.db2.gz MNRJTNKAPQXGSX-DOMZBBRYSA-N 0 0 431.536 -0.125 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000493525701 1072607556 /nfs/dbraw/zinc/60/75/56/1072607556.db2.gz MNRJTNKAPQXGSX-IUODEOHRSA-N 0 0 431.536 -0.125 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000493525703 1072607637 /nfs/dbraw/zinc/60/76/37/1072607637.db2.gz MNRJTNKAPQXGSX-SWLSCSKDSA-N 0 0 431.536 -0.125 20 0 IBADRN CS[C@@H](CO)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000493525705 1072607692 /nfs/dbraw/zinc/60/76/92/1072607692.db2.gz MNRJTNKAPQXGSX-WFASDCNBSA-N 0 0 431.536 -0.125 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1 ZINC000493527807 1072607580 /nfs/dbraw/zinc/60/75/80/1072607580.db2.gz OVGAFKRWZMHHOP-UHFFFAOYSA-N 0 0 449.460 -0.165 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000493529988 1072607682 /nfs/dbraw/zinc/60/76/82/1072607682.db2.gz RRSOZDWCKFRHMA-AGIUHOORSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000493529989 1072607524 /nfs/dbraw/zinc/60/75/24/1072607524.db2.gz RRSOZDWCKFRHMA-JHJVBQTASA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000493529990 1072607630 /nfs/dbraw/zinc/60/76/30/1072607630.db2.gz RRSOZDWCKFRHMA-RWMBFGLXSA-N 0 0 426.495 -0.197 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000493529991 1072607688 /nfs/dbraw/zinc/60/76/88/1072607688.db2.gz RRSOZDWCKFRHMA-UPJWGTAASA-N 0 0 426.495 -0.197 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000493533145 1072607569 /nfs/dbraw/zinc/60/75/69/1072607569.db2.gz WFRREBWVBUTQGI-AWEZNQCLSA-N 0 0 431.536 -0.124 20 0 IBADRN CSC[C@@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000493533146 1072607677 /nfs/dbraw/zinc/60/76/77/1072607677.db2.gz WFRREBWVBUTQGI-CQSZACIVSA-N 0 0 431.536 -0.124 20 0 IBADRN NC(=O)CSCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000493539589 1072608283 /nfs/dbraw/zinc/60/82/83/1072608283.db2.gz JCGYOVQBMMAVPB-UHFFFAOYSA-N 0 0 429.520 -0.101 20 0 IBADRN O=C(CCC(=O)N1CC(=O)Nc2ccccc21)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000493540258 1072608947 /nfs/dbraw/zinc/60/89/47/1072608947.db2.gz XFNKPAYROUCSLJ-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN CS[C@@H](CO)[C@@H](C)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000493540523 1072607649 /nfs/dbraw/zinc/60/76/49/1072607649.db2.gz AYTWXFIJCLMVFA-PBHICJAKSA-N 0 0 438.550 -0.084 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000493540524 1072607606 /nfs/dbraw/zinc/60/76/06/1072607606.db2.gz AYTWXFIJCLMVFA-RHSMWYFYSA-N 0 0 438.550 -0.084 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000493540525 1072607665 /nfs/dbraw/zinc/60/76/65/1072607665.db2.gz AYTWXFIJCLMVFA-WMLDXEAASA-N 0 0 438.550 -0.084 20 0 IBADRN CS[C@@H](CO)[C@H](C)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000493540526 1072607645 /nfs/dbraw/zinc/60/76/45/1072607645.db2.gz AYTWXFIJCLMVFA-YOEHRIQHSA-N 0 0 438.550 -0.084 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000493547225 1072608060 /nfs/dbraw/zinc/60/80/60/1072608060.db2.gz OCHIABXKEIXNDZ-UHFFFAOYSA-N 0 0 447.488 -0.057 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000493571161 1072608214 /nfs/dbraw/zinc/60/82/14/1072608214.db2.gz FEQAGEYWLKLXNZ-UHFFFAOYSA-N 0 0 431.584 -0.449 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000493572370 1072608238 /nfs/dbraw/zinc/60/82/38/1072608238.db2.gz KPBOCKCXFLRDBY-CYBMUJFWSA-N 0 0 449.913 -0.187 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000493572371 1072608274 /nfs/dbraw/zinc/60/82/74/1072608274.db2.gz KPBOCKCXFLRDBY-ZDUSSCGKSA-N 0 0 449.913 -0.187 20 0 IBADRN CC[C@H](CCO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000493572552 1072608326 /nfs/dbraw/zinc/60/83/26/1072608326.db2.gz LHBKFLTVQBHODX-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000493572553 1072608339 /nfs/dbraw/zinc/60/83/39/1072608339.db2.gz LHBKFLTVQBHODX-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(C(=O)[C@@H]3COc4ccccc4O3)CC1)c2=O ZINC000493576946 1072608724 /nfs/dbraw/zinc/60/87/24/1072608724.db2.gz HSRSJZPNIJMHRV-KRWDZBQOSA-N 0 0 438.444 -0.359 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(C(=O)[C@H]3COc4ccccc4O3)CC1)c2=O ZINC000493576947 1072608939 /nfs/dbraw/zinc/60/89/39/1072608939.db2.gz HSRSJZPNIJMHRV-QGZVFWFLSA-N 0 0 438.444 -0.359 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C(=O)C12CCCCC2 ZINC000493577729 1072608743 /nfs/dbraw/zinc/60/87/43/1072608743.db2.gz LPLSGMPWQHGRHW-UHFFFAOYSA-N 0 0 435.525 -0.414 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1 ZINC000493603683 1072608887 /nfs/dbraw/zinc/60/88/87/1072608887.db2.gz JNTIELHUFAKQMY-UHFFFAOYSA-N 0 0 432.437 -0.166 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000493605596 1072608796 /nfs/dbraw/zinc/60/87/96/1072608796.db2.gz NFKLZSPUCBSGHL-UHFFFAOYSA-N 0 0 427.479 -0.409 20 0 IBADRN CSC[C@@H](CCO)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000493607241 1072608884 /nfs/dbraw/zinc/60/88/84/1072608884.db2.gz VLTXRZVCNRDAIQ-PBHICJAKSA-N 0 0 437.518 -0.130 20 0 IBADRN CSC[C@@H](CCO)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000493607242 1072608872 /nfs/dbraw/zinc/60/88/72/1072608872.db2.gz VLTXRZVCNRDAIQ-RHSMWYFYSA-N 0 0 437.518 -0.130 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000493607243 1072608760 /nfs/dbraw/zinc/60/87/60/1072608760.db2.gz VLTXRZVCNRDAIQ-WMLDXEAASA-N 0 0 437.518 -0.130 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000493607244 1072608789 /nfs/dbraw/zinc/60/87/89/1072608789.db2.gz VLTXRZVCNRDAIQ-YOEHRIQHSA-N 0 0 437.518 -0.130 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC[S@]1=O ZINC000493631510 1072608934 /nfs/dbraw/zinc/60/89/34/1072608934.db2.gz CRIGIVQEETYMKC-JGTBNHKDSA-N 0 0 429.520 -0.375 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC[S@@]1=O ZINC000493631511 1072608915 /nfs/dbraw/zinc/60/89/15/1072608915.db2.gz CRIGIVQEETYMKC-CEXHIMGSSA-N 0 0 429.520 -0.375 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC[S@@]1=O ZINC000493631512 1072608956 /nfs/dbraw/zinc/60/89/56/1072608956.db2.gz CRIGIVQEETYMKC-QWVSSGJLSA-N 0 0 429.520 -0.375 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC[S@]1=O ZINC000493631513 1072608961 /nfs/dbraw/zinc/60/89/61/1072608961.db2.gz CRIGIVQEETYMKC-PFUSGODGSA-N 0 0 429.520 -0.375 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC[S@@]1=O ZINC000493636207 1072609570 /nfs/dbraw/zinc/60/95/70/1072609570.db2.gz QSGRADCGEHOHGQ-JWNZJDHWSA-N 0 0 430.552 -0.079 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC[S@]1=O ZINC000493636208 1072609606 /nfs/dbraw/zinc/60/96/06/1072609606.db2.gz QSGRADCGEHOHGQ-BIXPNRAVSA-N 0 0 430.552 -0.079 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC[S@@]1=O ZINC000493636209 1072609534 /nfs/dbraw/zinc/60/95/34/1072609534.db2.gz QSGRADCGEHOHGQ-MUDIAHQHSA-N 0 0 430.552 -0.079 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC[S@]1=O ZINC000493636210 1072609557 /nfs/dbraw/zinc/60/95/57/1072609557.db2.gz QSGRADCGEHOHGQ-KHXSAUHESA-N 0 0 430.552 -0.079 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC[S@@]1=O ZINC000493636544 1072609593 /nfs/dbraw/zinc/60/95/93/1072609593.db2.gz RSOGQMFGEMOATO-YASQENCXSA-N 0 0 447.510 -0.236 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC[S@]1=O ZINC000493636545 1072609481 /nfs/dbraw/zinc/60/94/81/1072609481.db2.gz RSOGQMFGEMOATO-PSBQPLHCSA-N 0 0 447.510 -0.236 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC[S@@]1=O ZINC000493636546 1072609566 /nfs/dbraw/zinc/60/95/66/1072609566.db2.gz RSOGQMFGEMOATO-JNFKXCBWSA-N 0 0 447.510 -0.236 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC[S@]1=O ZINC000493636547 1072609576 /nfs/dbraw/zinc/60/95/76/1072609576.db2.gz RSOGQMFGEMOATO-BODITIBLSA-N 0 0 447.510 -0.236 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)[C@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000493637414 1072609475 /nfs/dbraw/zinc/60/94/75/1072609475.db2.gz XPHYSUSVCCAZHY-CHWSQXEVSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)[C@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000493637415 1072609528 /nfs/dbraw/zinc/60/95/28/1072609528.db2.gz XPHYSUSVCCAZHY-OLZOCXBDSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)[C@@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000493637416 1072610156 /nfs/dbraw/zinc/61/01/56/1072610156.db2.gz XPHYSUSVCCAZHY-QWHCGFSZSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)[C@@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000493637417 1072610132 /nfs/dbraw/zinc/61/01/32/1072610132.db2.gz XPHYSUSVCCAZHY-STQMWFEESA-N 0 0 429.495 -0.212 20 0 IBADRN CC[C@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000493637512 1072609552 /nfs/dbraw/zinc/60/95/52/1072609552.db2.gz YGHXESKROYHLFC-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000493637513 1072609456 /nfs/dbraw/zinc/60/94/56/1072609456.db2.gz YGHXESKROYHLFC-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000493656180 1072610159 /nfs/dbraw/zinc/61/01/59/1072610159.db2.gz KSNDSPOLYWCOTF-IAOVAPTHSA-N 0 0 437.518 -0.132 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000493656181 1072610143 /nfs/dbraw/zinc/61/01/43/1072610143.db2.gz KSNDSPOLYWCOTF-KBRIMQKVSA-N 0 0 437.518 -0.132 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000493656184 1072610050 /nfs/dbraw/zinc/61/00/50/1072610050.db2.gz KSNDSPOLYWCOTF-RRQGHBQHSA-N 0 0 437.518 -0.132 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000493656187 1072610151 /nfs/dbraw/zinc/61/01/51/1072610151.db2.gz KSNDSPOLYWCOTF-XOKHGSTOSA-N 0 0 437.518 -0.132 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)CC(N)=O)c2)CC1 ZINC000493660987 1072610186 /nfs/dbraw/zinc/61/01/86/1072610186.db2.gz RXYDJRCRDNCWTF-CYBMUJFWSA-N 0 0 425.511 -0.669 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)CC(N)=O)c2)CC1 ZINC000493660988 1072610165 /nfs/dbraw/zinc/61/01/65/1072610165.db2.gz RXYDJRCRDNCWTF-ZDUSSCGKSA-N 0 0 425.511 -0.669 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000493661050 1072610036 /nfs/dbraw/zinc/61/00/36/1072610036.db2.gz SSAQGRWFDMXDKO-KRWDZBQOSA-N 0 0 432.477 -0.048 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000493661052 1072610190 /nfs/dbraw/zinc/61/01/90/1072610190.db2.gz SSAQGRWFDMXDKO-QGZVFWFLSA-N 0 0 432.477 -0.048 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000493661084 1072610102 /nfs/dbraw/zinc/61/01/02/1072610102.db2.gz STMLUPHBAGKZCF-UHFFFAOYSA-N 0 0 446.504 -0.071 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000493661100 1072610083 /nfs/dbraw/zinc/61/00/83/1072610083.db2.gz SXDZHCVYOZKFJC-HNNXBMFYSA-N 0 0 444.579 -0.209 20 0 IBADRN CSC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000493661109 1072610095 /nfs/dbraw/zinc/61/00/95/1072610095.db2.gz SXDZHCVYOZKFJC-OAHLLOKOSA-N 0 0 444.579 -0.209 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)[C@H](C)CO ZINC000493662829 1072609495 /nfs/dbraw/zinc/60/94/95/1072609495.db2.gz VSKXAVZEESRKCH-CHWSQXEVSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)[C@H](C)CO ZINC000493662831 1072609563 /nfs/dbraw/zinc/60/95/63/1072609563.db2.gz VSKXAVZEESRKCH-OLZOCXBDSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)[C@@H](C)CO ZINC000493662832 1072609398 /nfs/dbraw/zinc/60/93/98/1072609398.db2.gz VSKXAVZEESRKCH-QWHCGFSZSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)[C@@H](C)CO ZINC000493662834 1072609599 /nfs/dbraw/zinc/60/95/99/1072609599.db2.gz VSKXAVZEESRKCH-STQMWFEESA-N 0 0 429.495 -0.212 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)Nc1ccc(-n2cncn2)cc1 ZINC000493667962 1072610667 /nfs/dbraw/zinc/61/06/67/1072610667.db2.gz BAUQRFSMBMDETR-DLBZAZTESA-N 0 0 428.449 -0.272 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)cc2)NC(=O)NC1=O ZINC000493671187 1072610644 /nfs/dbraw/zinc/61/06/44/1072610644.db2.gz XSTHVNGXHVXNBO-GCKMJXCFSA-N 0 0 430.461 -0.236 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)cc2)NC(=O)NC1=O ZINC000493671188 1072610561 /nfs/dbraw/zinc/61/05/61/1072610561.db2.gz XSTHVNGXHVXNBO-MRUHUIDDSA-N 0 0 430.461 -0.236 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NCCCO)CC1 ZINC000493673310 1072610623 /nfs/dbraw/zinc/61/06/23/1072610623.db2.gz AMGQDIUXLKKLJW-UHFFFAOYSA-N 0 0 433.552 -0.116 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000493673577 1072610581 /nfs/dbraw/zinc/61/05/81/1072610581.db2.gz BKHUIMNGSBLKDV-CYBMUJFWSA-N 0 0 425.511 -0.669 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000493673579 1072610598 /nfs/dbraw/zinc/61/05/98/1072610598.db2.gz BKHUIMNGSBLKDV-ZDUSSCGKSA-N 0 0 425.511 -0.669 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)CC1 ZINC000493677855 1072610649 /nfs/dbraw/zinc/61/06/49/1072610649.db2.gz JZFNJEALNZODMS-UHFFFAOYSA-N 0 0 442.490 -0.038 20 0 IBADRN CS[C@@H](CO)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000493684604 1072610139 /nfs/dbraw/zinc/61/01/39/1072610139.db2.gz RNUICWHTFUATEJ-BBRMVZONSA-N 0 0 444.579 -0.210 20 0 IBADRN CS[C@@H](CO)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000493684605 1072610118 /nfs/dbraw/zinc/61/01/18/1072610118.db2.gz RNUICWHTFUATEJ-CJNGLKHVSA-N 0 0 444.579 -0.210 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000493684606 1072610149 /nfs/dbraw/zinc/61/01/49/1072610149.db2.gz RNUICWHTFUATEJ-CZUORRHYSA-N 0 0 444.579 -0.210 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000493684607 1072610175 /nfs/dbraw/zinc/61/01/75/1072610175.db2.gz RNUICWHTFUATEJ-XJKSGUPXSA-N 0 0 444.579 -0.210 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[S@@](=O)[C@H](C)C1 ZINC000493686505 1072610172 /nfs/dbraw/zinc/61/01/72/1072610172.db2.gz UCIFPTWCVCUONZ-GMYLUUGSSA-N 0 0 443.547 -0.066 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[S@@](=O)[C@@H](C)C1 ZINC000493686507 1072610064 /nfs/dbraw/zinc/61/00/64/1072610064.db2.gz UCIFPTWCVCUONZ-HJFSHJIFSA-N 0 0 443.547 -0.066 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[S@](=O)[C@@H](C)C1 ZINC000493686508 1072610616 /nfs/dbraw/zinc/61/06/16/1072610616.db2.gz UCIFPTWCVCUONZ-POXGOYDTSA-N 0 0 443.547 -0.066 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[S@](=O)[C@H](C)C1 ZINC000493686509 1072610612 /nfs/dbraw/zinc/61/06/12/1072610612.db2.gz UCIFPTWCVCUONZ-SUMNFNSASA-N 0 0 443.547 -0.066 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC[S@@]1=O ZINC000493689470 1072610677 /nfs/dbraw/zinc/61/06/77/1072610677.db2.gz YGXPQXAABAJWFG-FOGNVHKLSA-N 0 0 436.534 -0.334 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC[S@]1=O ZINC000493689471 1072610502 /nfs/dbraw/zinc/61/05/02/1072610502.db2.gz YGXPQXAABAJWFG-DHMKHTPVSA-N 0 0 436.534 -0.334 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC[S@@]1=O ZINC000493689472 1072610639 /nfs/dbraw/zinc/61/06/39/1072610639.db2.gz YGXPQXAABAJWFG-JGVYIQDASA-N 0 0 436.534 -0.334 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC[S@]1=O ZINC000493689473 1072610630 /nfs/dbraw/zinc/61/06/30/1072610630.db2.gz YGXPQXAABAJWFG-INCQDFKNSA-N 0 0 436.534 -0.334 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)C1 ZINC000493706438 1072610657 /nfs/dbraw/zinc/61/06/57/1072610657.db2.gz LGJFIOAIPZMHNL-IXDOHACOSA-N 0 0 439.509 -0.347 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)C1 ZINC000493706439 1072610523 /nfs/dbraw/zinc/61/05/23/1072610523.db2.gz LGJFIOAIPZMHNL-YESZJQIVSA-N 0 0 439.509 -0.347 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC000493711047 1072610534 /nfs/dbraw/zinc/61/05/34/1072610534.db2.gz TVHOOFKFIXVSFJ-UHFFFAOYSA-N 0 0 449.460 -0.627 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000493733475 1072610550 /nfs/dbraw/zinc/61/05/50/1072610550.db2.gz CFEIQGXLDADDBL-GXTWGEPZSA-N 0 0 438.506 -0.182 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000493733476 1072610661 /nfs/dbraw/zinc/61/06/61/1072610661.db2.gz CFEIQGXLDADDBL-JSGCOSHPSA-N 0 0 438.506 -0.182 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000493733477 1072610512 /nfs/dbraw/zinc/61/05/12/1072610512.db2.gz CFEIQGXLDADDBL-OCCSQVGLSA-N 0 0 438.506 -0.182 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000493733478 1072610575 /nfs/dbraw/zinc/61/05/75/1072610575.db2.gz CFEIQGXLDADDBL-TZMCWYRMSA-N 0 0 438.506 -0.182 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000493736533 1072611290 /nfs/dbraw/zinc/61/12/90/1072611290.db2.gz KMSISCGDKATOLC-INIZCTEOSA-N 0 0 442.538 -0.535 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000493736534 1072611338 /nfs/dbraw/zinc/61/13/38/1072611338.db2.gz KMSISCGDKATOLC-MRXNPFEDSA-N 0 0 442.538 -0.535 20 0 IBADRN Cc1oc2nc(CN3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)nc(N)c2c1C ZINC000493762340 1072611318 /nfs/dbraw/zinc/61/13/18/1072611318.db2.gz CMLHNXJVPHRCSG-AWEZNQCLSA-N 0 0 436.538 -0.157 20 0 IBADRN Cc1oc2nc(CN3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)nc(N)c2c1C ZINC000493762359 1072611183 /nfs/dbraw/zinc/61/11/83/1072611183.db2.gz CMLHNXJVPHRCSG-CQSZACIVSA-N 0 0 436.538 -0.157 20 0 IBADRN CS[C@@H](CO)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000493765442 1072611222 /nfs/dbraw/zinc/61/12/22/1072611222.db2.gz HOFYULQJZPXFIV-DOMZBBRYSA-N 0 0 431.536 -0.125 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000493765444 1072611399 /nfs/dbraw/zinc/61/13/99/1072611399.db2.gz HOFYULQJZPXFIV-IUODEOHRSA-N 0 0 431.536 -0.125 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000493765445 1072611251 /nfs/dbraw/zinc/61/12/51/1072611251.db2.gz HOFYULQJZPXFIV-SWLSCSKDSA-N 0 0 431.536 -0.125 20 0 IBADRN CS[C@@H](CO)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000493765446 1072611444 /nfs/dbraw/zinc/61/14/44/1072611444.db2.gz HOFYULQJZPXFIV-WFASDCNBSA-N 0 0 431.536 -0.125 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)CC1 ZINC000493765946 1072611350 /nfs/dbraw/zinc/61/13/50/1072611350.db2.gz IRXRFOSQNZYJIV-UHFFFAOYSA-N 0 0 439.490 -0.600 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000493766604 1072611428 /nfs/dbraw/zinc/61/14/28/1072611428.db2.gz KDGGEWZWQBSQRA-UHFFFAOYSA-N 0 0 432.481 -0.860 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000493767449 1072611497 /nfs/dbraw/zinc/61/14/97/1072611497.db2.gz MVUCJDYIINEJLZ-AWEZNQCLSA-N 0 0 431.536 -0.124 20 0 IBADRN CSC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000493767451 1072611463 /nfs/dbraw/zinc/61/14/63/1072611463.db2.gz MVUCJDYIINEJLZ-CQSZACIVSA-N 0 0 431.536 -0.124 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000493768767 1072611410 /nfs/dbraw/zinc/61/14/10/1072611410.db2.gz PZHIBYMVVOTJPD-UHFFFAOYSA-N 0 0 445.469 -0.069 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](CCO)COC)c2)CC1 ZINC000493770097 1072611272 /nfs/dbraw/zinc/61/12/72/1072611272.db2.gz UEWIQRQXPHBHPT-INIZCTEOSA-N 0 0 442.538 -0.535 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](CCO)COC)c2)CC1 ZINC000493770098 1072611383 /nfs/dbraw/zinc/61/13/83/1072611383.db2.gz UEWIQRQXPHBHPT-MRXNPFEDSA-N 0 0 442.538 -0.535 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000493770424 1072611998 /nfs/dbraw/zinc/61/19/98/1072611998.db2.gz VKEWGZPAWFUHEN-HNNXBMFYSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000493770425 1072611987 /nfs/dbraw/zinc/61/19/87/1072611987.db2.gz VKEWGZPAWFUHEN-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000493770510 1072611152 /nfs/dbraw/zinc/61/11/52/1072611152.db2.gz WBODSBXIPYVWKZ-GFCCVEGCSA-N 0 0 443.507 -0.001 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000493770511 1072612097 /nfs/dbraw/zinc/61/20/97/1072612097.db2.gz WBODSBXIPYVWKZ-LBPRGKRZSA-N 0 0 443.507 -0.001 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H](C)CCO)cc1S(=O)(=O)N1CCOCC1 ZINC000493789516 1072611376 /nfs/dbraw/zinc/61/13/76/1072611376.db2.gz FMVIXCVZPUCYKY-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H](C)CCO)cc1S(=O)(=O)N1CCOCC1 ZINC000493789518 1072611363 /nfs/dbraw/zinc/61/13/63/1072611363.db2.gz FMVIXCVZPUCYKY-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)CCO ZINC000493792546 1072611199 /nfs/dbraw/zinc/61/11/99/1072611199.db2.gz JDUGLPUDISZHGG-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)CCO ZINC000493792548 1072611488 /nfs/dbraw/zinc/61/14/88/1072611488.db2.gz JDUGLPUDISZHGG-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000493811629 1072611900 /nfs/dbraw/zinc/61/19/00/1072611900.db2.gz BVIYYGHFDWPZPA-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000493822564 1072612151 /nfs/dbraw/zinc/61/21/51/1072612151.db2.gz QMMZRMOHFXDILN-UHFFFAOYSA-N 0 0 427.479 -0.208 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000493824159 1072612022 /nfs/dbraw/zinc/61/20/22/1072612022.db2.gz SRKUSNFACXCDGN-GFCCVEGCSA-N 0 0 440.569 -0.821 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000493824168 1072612124 /nfs/dbraw/zinc/61/21/24/1072612124.db2.gz SRKUSNFACXCDGN-LBPRGKRZSA-N 0 0 440.569 -0.821 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000493825459 1072612136 /nfs/dbraw/zinc/61/21/36/1072612136.db2.gz VOLIZXBWRBPNKG-UHFFFAOYSA-N 0 0 427.479 -0.194 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000493852560 1072611956 /nfs/dbraw/zinc/61/19/56/1072611956.db2.gz YFSIEYAFMYGSBI-AWEZNQCLSA-N 0 0 429.495 -0.532 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000493852562 1072612090 /nfs/dbraw/zinc/61/20/90/1072612090.db2.gz YFSIEYAFMYGSBI-CQSZACIVSA-N 0 0 429.495 -0.532 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000493881480 1072612628 /nfs/dbraw/zinc/61/26/28/1072612628.db2.gz DOGBDXVCXQLNAP-JTQLQIEISA-N 0 0 432.886 -0.321 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000493881481 1072612510 /nfs/dbraw/zinc/61/25/10/1072612510.db2.gz DOGBDXVCXQLNAP-SNVBAGLBSA-N 0 0 432.886 -0.321 20 0 IBADRN CC[C@@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000493884186 1072612637 /nfs/dbraw/zinc/61/26/37/1072612637.db2.gz WOXOHQQBTXWEDQ-AWEZNQCLSA-N 0 0 442.538 -0.153 20 0 IBADRN CC[C@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000493884187 1072612632 /nfs/dbraw/zinc/61/26/32/1072612632.db2.gz WOXOHQQBTXWEDQ-CQSZACIVSA-N 0 0 442.538 -0.153 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000493884228 1072612480 /nfs/dbraw/zinc/61/24/80/1072612480.db2.gz XDPCDYMRTJWMJB-DZKIICNBSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000493884229 1072612456 /nfs/dbraw/zinc/61/24/56/1072612456.db2.gz XDPCDYMRTJWMJB-FMKPAKJESA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000493884230 1072612606 /nfs/dbraw/zinc/61/26/06/1072612606.db2.gz XDPCDYMRTJWMJB-IJEWVQPXSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000493884231 1072612492 /nfs/dbraw/zinc/61/24/92/1072612492.db2.gz XDPCDYMRTJWMJB-LZWOXQAQSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000493888982 1072612596 /nfs/dbraw/zinc/61/25/96/1072612596.db2.gz QXFQEFXMUUWGMR-CYBMUJFWSA-N 0 0 445.494 -0.832 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000493888983 1072612619 /nfs/dbraw/zinc/61/26/19/1072612619.db2.gz QXFQEFXMUUWGMR-ZDUSSCGKSA-N 0 0 445.494 -0.832 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000493889595 1072612536 /nfs/dbraw/zinc/61/25/36/1072612536.db2.gz WRWFUHRBHMTJRA-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000493889596 1072612501 /nfs/dbraw/zinc/61/25/01/1072612501.db2.gz WRWFUHRBHMTJRA-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC ZINC000493891483 1072612563 /nfs/dbraw/zinc/61/25/63/1072612563.db2.gz NHZNBXGEOVWPIH-ZDUSSCGKSA-N 0 0 449.551 -0.033 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)[C@@H](C)CO ZINC000493892839 1072613300 /nfs/dbraw/zinc/61/33/00/1072613300.db2.gz ZUNRUBXFIJPJSU-KBPBESRZSA-N 0 0 442.538 -0.297 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)[C@H](C)CO ZINC000493892840 1072613116 /nfs/dbraw/zinc/61/31/16/1072613116.db2.gz ZUNRUBXFIJPJSU-KGLIPLIRSA-N 0 0 442.538 -0.297 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)[C@@H](C)CO ZINC000493892841 1072613172 /nfs/dbraw/zinc/61/31/72/1072613172.db2.gz ZUNRUBXFIJPJSU-UONOGXRCSA-N 0 0 442.538 -0.297 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)[C@H](C)CO ZINC000493892842 1072613154 /nfs/dbraw/zinc/61/31/54/1072613154.db2.gz ZUNRUBXFIJPJSU-ZIAGYGMSSA-N 0 0 442.538 -0.297 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000493893030 1072613256 /nfs/dbraw/zinc/61/32/56/1072613256.db2.gz BLBJMRLESVKSEY-HNNXBMFYSA-N 0 0 444.579 -0.209 20 0 IBADRN CSC[C@@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000493893031 1072613276 /nfs/dbraw/zinc/61/32/76/1072613276.db2.gz BLBJMRLESVKSEY-OAHLLOKOSA-N 0 0 444.579 -0.209 20 0 IBADRN CS[C@@H](CO)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000493893139 1072613224 /nfs/dbraw/zinc/61/32/24/1072613224.db2.gz CMDJSEGORUBJDQ-BBRMVZONSA-N 0 0 444.579 -0.210 20 0 IBADRN CS[C@@H](CO)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000493893140 1072613294 /nfs/dbraw/zinc/61/32/94/1072613294.db2.gz CMDJSEGORUBJDQ-CJNGLKHVSA-N 0 0 444.579 -0.210 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000493893141 1072613288 /nfs/dbraw/zinc/61/32/88/1072613288.db2.gz CMDJSEGORUBJDQ-CZUORRHYSA-N 0 0 444.579 -0.210 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000493893142 1072613231 /nfs/dbraw/zinc/61/32/31/1072613231.db2.gz CMDJSEGORUBJDQ-XJKSGUPXSA-N 0 0 444.579 -0.210 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)CC(N)=O ZINC000493894031 1072613127 /nfs/dbraw/zinc/61/31/27/1072613127.db2.gz KXQWLNIRLNXIHF-GFCCVEGCSA-N 0 0 442.494 -0.575 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)CC(N)=O ZINC000493894032 1072613163 /nfs/dbraw/zinc/61/31/63/1072613163.db2.gz KXQWLNIRLNXIHF-LBPRGKRZSA-N 0 0 442.494 -0.575 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000493895054 1072613181 /nfs/dbraw/zinc/61/31/81/1072613181.db2.gz UDBSJMLWMMTXRU-UHFFFAOYSA-N 0 0 427.479 -0.208 20 0 IBADRN O=S(=O)(c1cnn(CC(F)F)c1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000493895205 1072613073 /nfs/dbraw/zinc/61/30/73/1072613073.db2.gz VHAULVOLIWHFQP-GFCCVEGCSA-N 0 0 428.483 -0.037 20 0 IBADRN O=S(=O)(c1cnn(CC(F)F)c1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000493895206 1072613196 /nfs/dbraw/zinc/61/31/96/1072613196.db2.gz VHAULVOLIWHFQP-LBPRGKRZSA-N 0 0 428.483 -0.037 20 0 IBADRN COC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000493895723 1072613282 /nfs/dbraw/zinc/61/32/82/1072613282.db2.gz ZTWYFTNONISWJH-CYBMUJFWSA-N 0 0 433.458 -0.701 20 0 IBADRN COC[C@H](CCO)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000493895724 1072613097 /nfs/dbraw/zinc/61/30/97/1072613097.db2.gz ZTWYFTNONISWJH-ZDUSSCGKSA-N 0 0 433.458 -0.701 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC(n2cc(C(=O)OC)nn2)C1 ZINC000493897854 1072613239 /nfs/dbraw/zinc/61/32/39/1072613239.db2.gz RQMDJKIMAJTMIF-UHFFFAOYSA-N 0 0 429.480 -0.392 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCN(CCC(=O)OC)C1=O ZINC000493899071 1072613759 /nfs/dbraw/zinc/61/37/59/1072613759.db2.gz BCVVUUMZNRUFCN-GFCCVEGCSA-N 0 0 433.508 -0.573 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCN(CCC(=O)OC)C1=O ZINC000493899072 1072613649 /nfs/dbraw/zinc/61/36/49/1072613649.db2.gz BCVVUUMZNRUFCN-LBPRGKRZSA-N 0 0 433.508 -0.573 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000493899515 1072613594 /nfs/dbraw/zinc/61/35/94/1072613594.db2.gz FFSZEXXJSPLWJG-UHFFFAOYSA-N 0 0 447.579 -0.167 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@@H](S(=O)(=O)NCC)C1 ZINC000493900000 1072613722 /nfs/dbraw/zinc/61/37/22/1072613722.db2.gz IZZWVYVKJOUJKV-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@H](S(=O)(=O)NCC)C1 ZINC000493900001 1072613756 /nfs/dbraw/zinc/61/37/56/1072613756.db2.gz IZZWVYVKJOUJKV-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)c1 ZINC000493900329 1072613734 /nfs/dbraw/zinc/61/37/34/1072613734.db2.gz LFUYCCJPXISRHZ-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)c1 ZINC000493900330 1072613683 /nfs/dbraw/zinc/61/36/83/1072613683.db2.gz LFUYCCJPXISRHZ-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1 ZINC000493900814 1072613621 /nfs/dbraw/zinc/61/36/21/1072613621.db2.gz OZGMVJODOYBRBH-CYBMUJFWSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1 ZINC000493900815 1072613662 /nfs/dbraw/zinc/61/36/62/1072613662.db2.gz OZGMVJODOYBRBH-ZDUSSCGKSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1 ZINC000493902101 1072613574 /nfs/dbraw/zinc/61/35/74/1072613574.db2.gz ZZMNMHCKBOXWCO-INIZCTEOSA-N 0 0 433.490 -0.230 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(Cn2cccnc2=O)c1 ZINC000493902102 1072613714 /nfs/dbraw/zinc/61/37/14/1072613714.db2.gz ZZMNMHCKBOXWCO-MRXNPFEDSA-N 0 0 433.490 -0.230 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)c1 ZINC000493905704 1072612435 /nfs/dbraw/zinc/61/24/35/1072612435.db2.gz VHRADYLOZPONRN-CYBMUJFWSA-N 0 0 437.590 -0.027 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000493914460 1072612614 /nfs/dbraw/zinc/61/26/14/1072612614.db2.gz AEYGEQUHJAZMEP-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000493914461 1072612468 /nfs/dbraw/zinc/61/24/68/1072612468.db2.gz AEYGEQUHJAZMEP-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000493916764 1072612623 /nfs/dbraw/zinc/61/26/23/1072612623.db2.gz MTFFAKNHQZHTSR-AWEZNQCLSA-N 0 0 432.524 -0.786 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000493916765 1072612543 /nfs/dbraw/zinc/61/25/43/1072612543.db2.gz MTFFAKNHQZHTSR-CQSZACIVSA-N 0 0 432.524 -0.786 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(CC)C(=O)C2=O)cc1S(=O)(=O)N(C)C ZINC000493919709 1072614433 /nfs/dbraw/zinc/61/44/33/1072614433.db2.gz IZUIWOBSTACYBY-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCOC)cc1 ZINC000493923840 1072613741 /nfs/dbraw/zinc/61/37/41/1072613741.db2.gz FMPMEPAJNXVGDJ-AWEZNQCLSA-N 0 0 447.535 -0.415 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCOC)cc1 ZINC000493923843 1072613643 /nfs/dbraw/zinc/61/36/43/1072613643.db2.gz FMPMEPAJNXVGDJ-CQSZACIVSA-N 0 0 447.535 -0.415 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)OC)c(C(=O)OC)c1 ZINC000493925367 1072613613 /nfs/dbraw/zinc/61/36/13/1072613613.db2.gz KZKOAHYSEVBDJC-GFCCVEGCSA-N 0 0 441.462 -0.262 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)OC)c(C(=O)OC)c1 ZINC000493925368 1072613677 /nfs/dbraw/zinc/61/36/77/1072613677.db2.gz KZKOAHYSEVBDJC-LBPRGKRZSA-N 0 0 441.462 -0.262 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000493926156 1072613666 /nfs/dbraw/zinc/61/36/66/1072613666.db2.gz ATHZSKRXQWONEK-UHFFFAOYSA-N 0 0 449.899 -0.059 20 0 IBADRN CCOc1ccc(NC(=O)CNC(=O)CNC(C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000493926163 1072613556 /nfs/dbraw/zinc/61/35/56/1072613556.db2.gz AVKNXXPFBCALBW-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000493926503 1072613762 /nfs/dbraw/zinc/61/37/62/1072613762.db2.gz FIVGWDOHOVVPMF-UHFFFAOYSA-N 0 0 444.598 -0.169 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000493927917 1072613698 /nfs/dbraw/zinc/61/36/98/1072613698.db2.gz OXRRUDVOXQLEMF-KRWDZBQOSA-N 0 0 430.571 -0.416 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000493927921 1072613748 /nfs/dbraw/zinc/61/37/48/1072613748.db2.gz OXRRUDVOXQLEMF-QGZVFWFLSA-N 0 0 430.571 -0.416 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000493928872 1072614486 /nfs/dbraw/zinc/61/44/86/1072614486.db2.gz WEAMMGYJINJAAX-UHFFFAOYSA-N 0 0 425.507 -0.084 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1 ZINC000493929666 1072614367 /nfs/dbraw/zinc/61/43/67/1072614367.db2.gz ZIIHLEKYQNGASS-GFCCVEGCSA-N 0 0 425.446 -0.411 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1 ZINC000493929669 1072614156 /nfs/dbraw/zinc/61/41/56/1072614156.db2.gz ZIIHLEKYQNGASS-LBPRGKRZSA-N 0 0 425.446 -0.411 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000493942827 1072614501 /nfs/dbraw/zinc/61/45/01/1072614501.db2.gz BMQVFTJNJCSOCO-HNNXBMFYSA-N 0 0 444.535 -0.295 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000493942828 1072614237 /nfs/dbraw/zinc/61/42/37/1072614237.db2.gz BMQVFTJNJCSOCO-OAHLLOKOSA-N 0 0 444.535 -0.295 20 0 IBADRN O=S(=O)(NCc1ccccc1N1CCN(S(=O)(=O)C2COC2)CC1)C1COC1 ZINC000493945000 1072614316 /nfs/dbraw/zinc/61/43/16/1072614316.db2.gz MTNFKKFUPJQUDE-UHFFFAOYSA-N 0 0 431.536 -0.645 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C)cc1OCC(=O)N(C)C ZINC000493945657 1072614378 /nfs/dbraw/zinc/61/43/78/1072614378.db2.gz SPMFEHHHWXJWDH-AWEZNQCLSA-N 0 0 440.522 -0.059 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C)cc1OCC(=O)N(C)C ZINC000493945658 1072614470 /nfs/dbraw/zinc/61/44/70/1072614470.db2.gz SPMFEHHHWXJWDH-CQSZACIVSA-N 0 0 440.522 -0.059 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1 ZINC000493946215 1072614353 /nfs/dbraw/zinc/61/43/53/1072614353.db2.gz YJMPIVWFHYDGJW-CYBMUJFWSA-N 0 0 437.482 -0.542 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1 ZINC000493946216 1072614425 /nfs/dbraw/zinc/61/44/25/1072614425.db2.gz YJMPIVWFHYDGJW-ZDUSSCGKSA-N 0 0 437.482 -0.542 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000493946960 1072614456 /nfs/dbraw/zinc/61/44/56/1072614456.db2.gz OTBHOQVJKQPJJY-UHFFFAOYSA-N 0 0 430.303 -0.276 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000493947510 1072614476 /nfs/dbraw/zinc/61/44/76/1072614476.db2.gz UYXRSGRIVLOESN-UHFFFAOYSA-N 0 0 426.481 -0.421 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(OCC(=O)N(C)C)cc1 ZINC000493952275 1072614335 /nfs/dbraw/zinc/61/43/35/1072614335.db2.gz JHBMFVKYCDOZPZ-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(OCC(=O)N(C)C)cc1 ZINC000493952276 1072614967 /nfs/dbraw/zinc/61/49/67/1072614967.db2.gz JHBMFVKYCDOZPZ-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN O=C(CCNC(=O)c1nccn2ccnc12)N1CCN(C(=O)c2nccn3ccnc23)CC1 ZINC000493954276 1072614790 /nfs/dbraw/zinc/61/47/90/1072614790.db2.gz CZDWBPQGKOSIIP-UHFFFAOYSA-N 0 0 447.459 -0.124 20 0 IBADRN Cc1c(CC(=O)NCCC(=O)N2CCN(C(=O)Cc3cnn(C)c3C)CC2)cnn1C ZINC000493955078 1072614875 /nfs/dbraw/zinc/61/48/75/1072614875.db2.gz SJONYKPHHGDSNF-UHFFFAOYSA-N 0 0 429.525 -0.267 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)C[C@@H]2NC(=O)NC2=O)CC1 ZINC000493955215 1072614885 /nfs/dbraw/zinc/61/48/85/1072614885.db2.gz VSEUJHXDESCGHR-NSHDSACASA-N 0 0 430.870 -0.220 20 0 IBADRN COc1cnc(C(=O)NCCC(=O)N2CCN(C(=O)c3ncc(OC)cn3)CC2)nc1 ZINC000493955344 1073587755 /nfs/dbraw/zinc/58/77/55/1073587755.db2.gz YAXQMVCGCKUUGA-UHFFFAOYSA-N 0 0 429.437 -0.612 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000493961859 1072614869 /nfs/dbraw/zinc/61/48/69/1072614869.db2.gz UNHKMSPGOOTKHT-CVEARBPZSA-N 0 0 428.555 -0.965 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000493961860 1072614920 /nfs/dbraw/zinc/61/49/20/1072614920.db2.gz UNHKMSPGOOTKHT-HOTGVXAUSA-N 0 0 428.555 -0.965 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000493961861 1072614929 /nfs/dbraw/zinc/61/49/29/1072614929.db2.gz UNHKMSPGOOTKHT-HZPDHXFCSA-N 0 0 428.555 -0.965 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000493961862 1072614960 /nfs/dbraw/zinc/61/49/60/1072614960.db2.gz UNHKMSPGOOTKHT-JKSUJKDBSA-N 0 0 428.555 -0.965 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1 ZINC000493966384 1072614905 /nfs/dbraw/zinc/61/49/05/1072614905.db2.gz AGVFRBDBJVQFCE-HNNXBMFYSA-N 0 0 432.477 -0.291 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1 ZINC000493966385 1072614936 /nfs/dbraw/zinc/61/49/36/1072614936.db2.gz AGVFRBDBJVQFCE-OAHLLOKOSA-N 0 0 432.477 -0.291 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)OC)cc1 ZINC000493967487 1072614953 /nfs/dbraw/zinc/61/49/53/1072614953.db2.gz GTMNFHHFWHVMJO-AWEZNQCLSA-N 0 0 440.478 -0.932 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)OC)cc1 ZINC000493967488 1072614971 /nfs/dbraw/zinc/61/49/71/1072614971.db2.gz GTMNFHHFWHVMJO-CQSZACIVSA-N 0 0 440.478 -0.932 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1 ZINC000493967669 1072614840 /nfs/dbraw/zinc/61/48/40/1072614840.db2.gz HRJRFIPBAYFJOW-KRWDZBQOSA-N 0 0 446.504 -0.363 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1 ZINC000493967670 1072614923 /nfs/dbraw/zinc/61/49/23/1072614923.db2.gz HRJRFIPBAYFJOW-QGZVFWFLSA-N 0 0 446.504 -0.363 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000493968587 1072614895 /nfs/dbraw/zinc/61/48/95/1072614895.db2.gz KYKYUFIGZAQWFI-HNNXBMFYSA-N 0 0 444.535 -0.295 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000493968588 1072614964 /nfs/dbraw/zinc/61/49/64/1072614964.db2.gz KYKYUFIGZAQWFI-OAHLLOKOSA-N 0 0 444.535 -0.295 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CN(C4CC4)CCO3)CC2)C[C@@H](C)O1 ZINC000493969839 1072615665 /nfs/dbraw/zinc/61/56/65/1072615665.db2.gz PJQJJCKRKZPTKN-JFIYKMOQSA-N 0 0 445.586 -0.469 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CN(C4CC4)CCO3)CC2)C[C@H](C)O1 ZINC000493969840 1072615632 /nfs/dbraw/zinc/61/56/32/1072615632.db2.gz PJQJJCKRKZPTKN-JZXOWHBKSA-N 0 0 445.586 -0.469 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CN(C4CC4)CCO3)CC2)C[C@@H](C)O1 ZINC000493969841 1072615497 /nfs/dbraw/zinc/61/54/97/1072615497.db2.gz PJQJJCKRKZPTKN-NUJGCVRESA-N 0 0 445.586 -0.469 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CN(C4CC4)CCO3)CC2)C[C@H](C)O1 ZINC000493969842 1072615616 /nfs/dbraw/zinc/61/56/16/1072615616.db2.gz PJQJJCKRKZPTKN-SOLBZPMBSA-N 0 0 445.586 -0.469 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(C(=O)N(C)C)ccc1OC ZINC000493971626 1072615674 /nfs/dbraw/zinc/61/56/74/1072615674.db2.gz YMNJKDSZVDRJKN-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(C(=O)N(C)C)ccc1OC ZINC000493971629 1072615594 /nfs/dbraw/zinc/61/55/94/1072615594.db2.gz YMNJKDSZVDRJKN-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000493972550 1072615459 /nfs/dbraw/zinc/61/54/59/1072615459.db2.gz XRZYVQUUJNDKFV-UHFFFAOYSA-N 0 0 449.530 -0.296 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)CC1 ZINC000493972865 1072615523 /nfs/dbraw/zinc/61/55/23/1072615523.db2.gz CFINQLJOWMLSST-UHFFFAOYSA-N 0 0 440.541 -0.480 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)CC1 ZINC000493973670 1072615647 /nfs/dbraw/zinc/61/56/47/1072615647.db2.gz XUODBUQNRBTORG-DZKIICNBSA-N 0 0 425.555 -0.092 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]23)CC1 ZINC000493973671 1072615659 /nfs/dbraw/zinc/61/56/59/1072615659.db2.gz XUODBUQNRBTORG-IJEWVQPXSA-N 0 0 425.555 -0.092 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)CC1 ZINC000493973672 1072615474 /nfs/dbraw/zinc/61/54/74/1072615474.db2.gz XUODBUQNRBTORG-OFQRWUPVSA-N 0 0 425.555 -0.092 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]23)CC1 ZINC000493973673 1072615662 /nfs/dbraw/zinc/61/56/62/1072615662.db2.gz XUODBUQNRBTORG-YCPHGPKFSA-N 0 0 425.555 -0.092 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000493978557 1072615989 /nfs/dbraw/zinc/61/59/89/1072615989.db2.gz SWURNZJQCRNUDX-AWEZNQCLSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000493978558 1072616023 /nfs/dbraw/zinc/61/60/23/1072616023.db2.gz SWURNZJQCRNUDX-CQSZACIVSA-N 0 0 446.551 -0.148 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000493986882 1072616598 /nfs/dbraw/zinc/61/65/98/1072616598.db2.gz IRFNLLQLEKOBPY-INIZCTEOSA-N 0 0 444.554 -0.890 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000493986886 1072616574 /nfs/dbraw/zinc/61/65/74/1072616574.db2.gz IRFNLLQLEKOBPY-MRXNPFEDSA-N 0 0 444.554 -0.890 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000493987335 1072616153 /nfs/dbraw/zinc/61/61/53/1072616153.db2.gz QLELYWLZXJSSCC-KRWDZBQOSA-N 0 0 430.571 -0.416 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000493987336 1072616590 /nfs/dbraw/zinc/61/65/90/1072616590.db2.gz QLELYWLZXJSSCC-QGZVFWFLSA-N 0 0 430.571 -0.416 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O ZINC000493989939 1072615572 /nfs/dbraw/zinc/61/55/72/1072615572.db2.gz ACBVGPPUTWRGCK-HNNXBMFYSA-N 0 0 435.506 -0.037 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O ZINC000493989940 1072615537 /nfs/dbraw/zinc/61/55/37/1072615537.db2.gz ACBVGPPUTWRGCK-OAHLLOKOSA-N 0 0 435.506 -0.037 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000493991560 1072615579 /nfs/dbraw/zinc/61/55/79/1072615579.db2.gz IBDHUONKVKFBBH-GFCCVEGCSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccccc1S(=O)(=O)N(C)C ZINC000493991561 1072616145 /nfs/dbraw/zinc/61/61/45/1072616145.db2.gz IBDHUONKVKFBBH-LBPRGKRZSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(OCC(=O)N(C)C)c1 ZINC000493991927 1072615673 /nfs/dbraw/zinc/61/56/73/1072615673.db2.gz JTBDDSJVMNGMQV-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(OCC(=O)N(C)C)c1 ZINC000493991928 1072615651 /nfs/dbraw/zinc/61/56/51/1072615651.db2.gz JTBDDSJVMNGMQV-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000493992334 1072615433 /nfs/dbraw/zinc/61/54/33/1072615433.db2.gz MKBHATHVIFXOOU-JTQLQIEISA-N 0 0 439.462 -0.153 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000493992335 1072615554 /nfs/dbraw/zinc/61/55/54/1072615554.db2.gz MKBHATHVIFXOOU-SNVBAGLBSA-N 0 0 439.462 -0.153 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000493992666 1072616235 /nfs/dbraw/zinc/61/62/35/1072616235.db2.gz PPOAWUVAPKKRCI-GFCCVEGCSA-N 0 0 432.524 -0.570 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000493992667 1072616054 /nfs/dbraw/zinc/61/60/54/1072616054.db2.gz PPOAWUVAPKKRCI-LBPRGKRZSA-N 0 0 432.524 -0.570 20 0 IBADRN C[C@@H](Cc1ccncc1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000493993834 1072616030 /nfs/dbraw/zinc/61/60/30/1072616030.db2.gz WRLFLEYTWDJLAT-AWEZNQCLSA-N 0 0 445.501 -0.112 20 0 IBADRN C[C@H](Cc1ccncc1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000493993835 1072616169 /nfs/dbraw/zinc/61/61/69/1072616169.db2.gz WRLFLEYTWDJLAT-CQSZACIVSA-N 0 0 445.501 -0.112 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)CC2)cn1 ZINC000493995075 1072616072 /nfs/dbraw/zinc/61/60/72/1072616072.db2.gz BNQKWJNCVLQQEL-ZWKOTPCHSA-N 0 0 433.509 -0.205 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN(C)C)cc1 ZINC000493997892 1072616182 /nfs/dbraw/zinc/61/61/82/1072616182.db2.gz BORHWCRMNOELKF-HNNXBMFYSA-N 0 0 425.511 -0.335 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(NC(=O)CN(C)C)cc1 ZINC000493997896 1072616214 /nfs/dbraw/zinc/61/62/14/1072616214.db2.gz BORHWCRMNOELKF-OAHLLOKOSA-N 0 0 425.511 -0.335 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCC[C@@H](CNS(=O)(=O)C4CC4)C3)OCC(=O)N2C)cn1 ZINC000493998894 1072616118 /nfs/dbraw/zinc/61/61/18/1072616118.db2.gz GCAHCDKYZYTIEY-DOPJRALCSA-N 0 0 439.538 -0.361 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCC[C@H](CNS(=O)(=O)C4CC4)C3)OCC(=O)N2C)cn1 ZINC000493998895 1072616093 /nfs/dbraw/zinc/61/60/93/1072616093.db2.gz GCAHCDKYZYTIEY-JEBQAFNWSA-N 0 0 439.538 -0.361 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)N(C)C)cc1OC ZINC000493999862 1072616043 /nfs/dbraw/zinc/61/60/43/1072616043.db2.gz MQIIPHDVOIQSBO-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C(=O)N(C)C)cc1OC ZINC000493999863 1072616002 /nfs/dbraw/zinc/61/60/02/1072616002.db2.gz MQIIPHDVOIQSBO-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(F)c(NS(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000494004029 1072615933 /nfs/dbraw/zinc/61/59/33/1072615933.db2.gz FKLBJSBMOFIKLC-UHFFFAOYSA-N 0 0 438.441 -0.027 20 0 IBADRN CC(=O)Nc1cccc(-n2nnnc2SCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000494005311 1072615969 /nfs/dbraw/zinc/61/59/69/1072615969.db2.gz XQDVGDLXKCCONF-UHFFFAOYSA-N 0 0 430.450 -0.425 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)[C@H]1n1cccn1 ZINC000494009641 1072616607 /nfs/dbraw/zinc/61/66/07/1072616607.db2.gz CKXATSSVTKGINQ-BFYDXBDKSA-N 0 0 428.449 -0.428 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)[C@@H]1n1cccn1 ZINC000494009642 1072616671 /nfs/dbraw/zinc/61/66/71/1072616671.db2.gz CKXATSSVTKGINQ-INMHGKMJSA-N 0 0 428.449 -0.428 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)[C@@H]1n1cccn1 ZINC000494009643 1072616756 /nfs/dbraw/zinc/61/67/56/1072616756.db2.gz CKXATSSVTKGINQ-UXLLHSPISA-N 0 0 428.449 -0.428 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)[C@H]1n1cccn1 ZINC000494009644 1072616750 /nfs/dbraw/zinc/61/67/50/1072616750.db2.gz CKXATSSVTKGINQ-ZMSDIMECSA-N 0 0 428.449 -0.428 20 0 IBADRN CCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H](C)CS(C)(=O)=O ZINC000494010222 1072616734 /nfs/dbraw/zinc/61/67/34/1072616734.db2.gz CQVFBCYUIZKGTR-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H](C)CS(C)(=O)=O ZINC000494010225 1072616651 /nfs/dbraw/zinc/61/66/51/1072616651.db2.gz CQVFBCYUIZKGTR-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN CC(=O)Nc1cccc(-n2nnnc2S[C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000494012849 1072616685 /nfs/dbraw/zinc/61/66/85/1072616685.db2.gz UQUQGHJUSYOZGY-SECBINFHSA-N 0 0 444.477 -0.036 20 0 IBADRN CC(=O)Nc1cccc(-n2nnnc2S[C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000494012850 1072616741 /nfs/dbraw/zinc/61/67/41/1072616741.db2.gz UQUQGHJUSYOZGY-VIFPVBQESA-N 0 0 444.477 -0.036 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000494016337 1072616623 /nfs/dbraw/zinc/61/66/23/1072616623.db2.gz ICTXTWYLWJCVTC-CYBMUJFWSA-N 0 0 439.523 -0.137 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000494016338 1072616747 /nfs/dbraw/zinc/61/67/47/1072616747.db2.gz ICTXTWYLWJCVTC-ZDUSSCGKSA-N 0 0 439.523 -0.137 20 0 IBADRN CCN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@H](C)CS(C)(=O)=O ZINC000494021744 1072616725 /nfs/dbraw/zinc/61/67/25/1072616725.db2.gz ZKUGGBHPSJWDME-CYBMUJFWSA-N 0 0 433.552 -0.052 20 0 IBADRN CCN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@@H](C)CS(C)(=O)=O ZINC000494021745 1072616762 /nfs/dbraw/zinc/61/67/62/1072616762.db2.gz ZKUGGBHPSJWDME-ZDUSSCGKSA-N 0 0 433.552 -0.052 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@H]1n1cccn1 ZINC000494021826 1072616705 /nfs/dbraw/zinc/61/67/05/1072616705.db2.gz ALJLCANJACXTIX-JFIYKMOQSA-N 0 0 445.480 -0.588 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@@H]1n1cccn1 ZINC000494021827 1072616697 /nfs/dbraw/zinc/61/66/97/1072616697.db2.gz ALJLCANJACXTIX-JZXOWHBKSA-N 0 0 445.480 -0.588 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@H]1n1cccn1 ZINC000494021828 1072616713 /nfs/dbraw/zinc/61/67/13/1072616713.db2.gz ALJLCANJACXTIX-LZLYRXPVSA-N 0 0 445.480 -0.588 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@@H]1n1cccn1 ZINC000494021829 1072616642 /nfs/dbraw/zinc/61/66/42/1072616642.db2.gz ALJLCANJACXTIX-NUJGCVRESA-N 0 0 445.480 -0.588 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000494025418 1072616768 /nfs/dbraw/zinc/61/67/68/1072616768.db2.gz BTZHXIVNNLZBPJ-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000494025419 1072617373 /nfs/dbraw/zinc/61/73/73/1072617373.db2.gz BTZHXIVNNLZBPJ-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)cc1 ZINC000494029944 1072617319 /nfs/dbraw/zinc/61/73/19/1072617319.db2.gz YNMXAEAHZGBNHU-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)cc1 ZINC000494029945 1072617414 /nfs/dbraw/zinc/61/74/14/1072617414.db2.gz YNMXAEAHZGBNHU-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000494031675 1072617426 /nfs/dbraw/zinc/61/74/26/1072617426.db2.gz DYQQQQFCIWCJRP-CABCVRRESA-N 0 0 437.584 -0.268 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000494031676 1072617409 /nfs/dbraw/zinc/61/74/09/1072617409.db2.gz DYQQQQFCIWCJRP-GJZGRUSLSA-N 0 0 437.584 -0.268 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000494031677 1072617421 /nfs/dbraw/zinc/61/74/21/1072617421.db2.gz DYQQQQFCIWCJRP-HUUCEWRRSA-N 0 0 437.584 -0.268 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000494031678 1072617342 /nfs/dbraw/zinc/61/73/42/1072617342.db2.gz DYQQQQFCIWCJRP-LSDHHAIUSA-N 0 0 437.584 -0.268 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1(CC(=O)N2CCOCC2)CCCC1 ZINC000494033343 1072617444 /nfs/dbraw/zinc/61/74/44/1072617444.db2.gz PXTCKFUDKSWLNK-INIZCTEOSA-N 0 0 443.566 -0.053 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1(CC(=O)N2CCOCC2)CCCC1 ZINC000494033344 1072617386 /nfs/dbraw/zinc/61/73/86/1072617386.db2.gz PXTCKFUDKSWLNK-MRXNPFEDSA-N 0 0 443.566 -0.053 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@@H]1n1cccn1 ZINC000494033590 1074354540 /nfs/dbraw/zinc/35/45/40/1074354540.db2.gz RVSZTKMWRKERTM-DAYGRLMNSA-N 0 0 445.480 -0.588 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@H]1n1cccn1 ZINC000494033591 1074354563 /nfs/dbraw/zinc/35/45/63/1074354563.db2.gz RVSZTKMWRKERTM-HDMKZQKVSA-N 0 0 445.480 -0.588 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@H]1n1cccn1 ZINC000494033592 1074354555 /nfs/dbraw/zinc/35/45/55/1074354555.db2.gz RVSZTKMWRKERTM-IIDMSEBBSA-N 0 0 445.480 -0.588 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@@H]1n1cccn1 ZINC000494033593 1074354634 /nfs/dbraw/zinc/35/46/34/1074354634.db2.gz RVSZTKMWRKERTM-RKVPGOIHSA-N 0 0 445.480 -0.588 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000494036077 1072617402 /nfs/dbraw/zinc/61/74/02/1072617402.db2.gz COGNANIFXRAXFB-CYBMUJFWSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000494036078 1072617437 /nfs/dbraw/zinc/61/74/37/1072617437.db2.gz COGNANIFXRAXFB-ZDUSSCGKSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(OC)c(OCC(N)=O)c1 ZINC000494038793 1072618510 /nfs/dbraw/zinc/61/85/10/1072618510.db2.gz QGBBIPFOPRBUPJ-GFCCVEGCSA-N 0 0 428.467 -0.962 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(OC)c(OCC(N)=O)c1 ZINC000494038794 1072618362 /nfs/dbraw/zinc/61/83/62/1072618362.db2.gz QGBBIPFOPRBUPJ-LBPRGKRZSA-N 0 0 428.467 -0.962 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)[C@H]1n1cccn1 ZINC000494042527 1072617201 /nfs/dbraw/zinc/61/72/01/1072617201.db2.gz URRAVRUGVXQFFW-JFIYKMOQSA-N 0 0 442.476 -0.168 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)[C@@H]1n1cccn1 ZINC000494042528 1072617308 /nfs/dbraw/zinc/61/73/08/1072617308.db2.gz URRAVRUGVXQFFW-JZXOWHBKSA-N 0 0 442.476 -0.168 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)[C@H]1n1cccn1 ZINC000494042529 1072617431 /nfs/dbraw/zinc/61/74/31/1072617431.db2.gz URRAVRUGVXQFFW-LZLYRXPVSA-N 0 0 442.476 -0.168 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)[C@@H]1n1cccn1 ZINC000494042530 1072617363 /nfs/dbraw/zinc/61/73/63/1072617363.db2.gz URRAVRUGVXQFFW-NUJGCVRESA-N 0 0 442.476 -0.168 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@H](C(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000494046603 1072617280 /nfs/dbraw/zinc/61/72/80/1072617280.db2.gz GTXQAEQXKQACCG-LLVKDONJSA-N 0 0 431.449 -0.239 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@H](C(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000494046604 1072617916 /nfs/dbraw/zinc/61/79/16/1072617916.db2.gz GTXQAEQXKQACCG-NSHDSACASA-N 0 0 431.449 -0.239 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000494050526 1072617824 /nfs/dbraw/zinc/61/78/24/1072617824.db2.gz QSJPQXDOSBATQD-AWEZNQCLSA-N 0 0 446.551 -0.276 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000494050528 1072617939 /nfs/dbraw/zinc/61/79/39/1072617939.db2.gz QSJPQXDOSBATQD-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000494050569 1072617951 /nfs/dbraw/zinc/61/79/51/1072617951.db2.gz QXZWPCDMRVICKQ-AWEZNQCLSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000494050570 1072617853 /nfs/dbraw/zinc/61/78/53/1072617853.db2.gz QXZWPCDMRVICKQ-CQSZACIVSA-N 0 0 438.506 -0.362 20 0 IBADRN CCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@H](C)CS(C)(=O)=O ZINC000494051203 1072617885 /nfs/dbraw/zinc/61/78/85/1072617885.db2.gz WCDNYHMUYQGISD-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@@H](C)CS(C)(=O)=O ZINC000494051204 1072617780 /nfs/dbraw/zinc/61/77/80/1072617780.db2.gz WCDNYHMUYQGISD-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)n1)N1CCOCC1 ZINC000494052261 1073322435 /nfs/dbraw/zinc/32/24/35/1073322435.db2.gz WZXGAEPKPRAEHA-HNNXBMFYSA-N 0 0 440.526 -0.226 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)n1)N1CCOCC1 ZINC000494052262 1073322340 /nfs/dbraw/zinc/32/23/40/1073322340.db2.gz WZXGAEPKPRAEHA-OAHLLOKOSA-N 0 0 440.526 -0.226 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@@H]1n1cccn1 ZINC000494054716 1072617844 /nfs/dbraw/zinc/61/78/44/1072617844.db2.gz ODIDFSWFOCIASW-DAYGRLMNSA-N 0 0 427.465 -0.312 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@H]1n1cccn1 ZINC000494054718 1072617875 /nfs/dbraw/zinc/61/78/75/1072617875.db2.gz ODIDFSWFOCIASW-HDMKZQKVSA-N 0 0 427.465 -0.312 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@H]1n1cccn1 ZINC000494054720 1072617930 /nfs/dbraw/zinc/61/79/30/1072617930.db2.gz ODIDFSWFOCIASW-IIDMSEBBSA-N 0 0 427.465 -0.312 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@@H]1n1cccn1 ZINC000494054721 1072617804 /nfs/dbraw/zinc/61/78/04/1072617804.db2.gz ODIDFSWFOCIASW-RKVPGOIHSA-N 0 0 427.465 -0.312 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(C(=O)OC)cc(OC)c1OC ZINC000494060694 1072617909 /nfs/dbraw/zinc/61/79/09/1072617909.db2.gz PREZUOWXAWVQKK-GFCCVEGCSA-N 0 0 443.478 -0.031 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(C(=O)OC)cc(OC)c1OC ZINC000494060695 1072617830 /nfs/dbraw/zinc/61/78/30/1072617830.db2.gz PREZUOWXAWVQKK-LBPRGKRZSA-N 0 0 443.478 -0.031 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000494062000 1072617922 /nfs/dbraw/zinc/61/79/22/1072617922.db2.gz BYADPTHVVFROJL-KBPBESRZSA-N 0 0 440.522 -0.607 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000494062001 1072617900 /nfs/dbraw/zinc/61/79/00/1072617900.db2.gz BYADPTHVVFROJL-KGLIPLIRSA-N 0 0 440.522 -0.607 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000494062002 1072617946 /nfs/dbraw/zinc/61/79/46/1072617946.db2.gz BYADPTHVVFROJL-UONOGXRCSA-N 0 0 440.522 -0.607 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000494062003 1072617891 /nfs/dbraw/zinc/61/78/91/1072617891.db2.gz BYADPTHVVFROJL-ZIAGYGMSSA-N 0 0 440.522 -0.607 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccccc2)CC1)N1CCOCC1 ZINC000494062494 1072617864 /nfs/dbraw/zinc/61/78/64/1072617864.db2.gz IYGZYPCGIAUKPO-GOSISDBHSA-N 0 0 428.489 -0.179 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccccc2)CC1)N1CCOCC1 ZINC000494062495 1072618527 /nfs/dbraw/zinc/61/85/27/1072618527.db2.gz IYGZYPCGIAUKPO-SFHVURJKSA-N 0 0 428.489 -0.179 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000494063848 1072618557 /nfs/dbraw/zinc/61/85/57/1072618557.db2.gz WCVYSEXLSYKBIZ-UHFFFAOYSA-N 0 0 432.477 -0.703 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](Cc1ccccc1)n1nnnc1C ZINC000494063985 1072618502 /nfs/dbraw/zinc/61/85/02/1072618502.db2.gz XCNLKSKLDDXYTN-DLBZAZTESA-N 0 0 434.522 -0.083 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](Cc1ccccc1)n1nnnc1C ZINC000494063990 1072618396 /nfs/dbraw/zinc/61/83/96/1072618396.db2.gz XCNLKSKLDDXYTN-IAGOWNOFSA-N 0 0 434.522 -0.083 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](Cc1ccccc1)n1nnnc1C ZINC000494064109 1072618425 /nfs/dbraw/zinc/61/84/25/1072618425.db2.gz XCNLKSKLDDXYTN-IRXDYDNUSA-N 0 0 434.522 -0.083 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](Cc1ccccc1)n1nnnc1C ZINC000494064110 1072618377 /nfs/dbraw/zinc/61/83/77/1072618377.db2.gz XCNLKSKLDDXYTN-SJORKVTESA-N 0 0 434.522 -0.083 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000494065671 1072618569 /nfs/dbraw/zinc/61/85/69/1072618569.db2.gz LGRVLCGQFIHZLZ-IBGZPJMESA-N 0 0 429.521 -0.031 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000494065672 1072618545 /nfs/dbraw/zinc/61/85/45/1072618545.db2.gz LGRVLCGQFIHZLZ-LJQANCHMSA-N 0 0 429.521 -0.031 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)cc1 ZINC000494067692 1072619119 /nfs/dbraw/zinc/61/91/19/1072619119.db2.gz BNUMOHHAPSAKKY-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)cc1 ZINC000494067744 1072619207 /nfs/dbraw/zinc/61/92/07/1072619207.db2.gz BNUMOHHAPSAKKY-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)cc1S(N)(=O)=O ZINC000494067913 1072619215 /nfs/dbraw/zinc/61/92/15/1072619215.db2.gz CONWJMMFYJRUQU-CYBMUJFWSA-N 0 0 432.524 -0.625 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)cc1S(N)(=O)=O ZINC000494067914 1072619278 /nfs/dbraw/zinc/61/92/78/1072619278.db2.gz CONWJMMFYJRUQU-ZDUSSCGKSA-N 0 0 432.524 -0.625 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@H]3CS(=O)(=O)NC)cc21 ZINC000494068608 1072619154 /nfs/dbraw/zinc/61/91/54/1072619154.db2.gz IVJPTOCZKLPENZ-HNNXBMFYSA-N 0 0 435.506 -0.134 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@@H]3CS(=O)(=O)NC)cc21 ZINC000494068609 1072619125 /nfs/dbraw/zinc/61/91/25/1072619125.db2.gz IVJPTOCZKLPENZ-OAHLLOKOSA-N 0 0 435.506 -0.134 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000494068906 1072619283 /nfs/dbraw/zinc/61/92/83/1072619283.db2.gz LKDBNNMIBZMGDO-AWEZNQCLSA-N 0 0 436.490 -0.186 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000494068907 1072619063 /nfs/dbraw/zinc/61/90/63/1072619063.db2.gz LKDBNNMIBZMGDO-CQSZACIVSA-N 0 0 436.490 -0.186 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)[C@@H]1n1cccn1 ZINC000494070464 1072619225 /nfs/dbraw/zinc/61/92/25/1072619225.db2.gz AHPLTIWATQFNSG-KSZLIROESA-N 0 0 431.497 -0.115 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)[C@@H]1n1cccn1 ZINC000494070465 1072619270 /nfs/dbraw/zinc/61/92/70/1072619270.db2.gz AHPLTIWATQFNSG-KURKYZTESA-N 0 0 431.497 -0.115 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)[C@H]1n1cccn1 ZINC000494070466 1072619078 /nfs/dbraw/zinc/61/90/78/1072619078.db2.gz AHPLTIWATQFNSG-KZNAEPCWSA-N 0 0 431.497 -0.115 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)[C@H]1n1cccn1 ZINC000494070467 1072619179 /nfs/dbraw/zinc/61/91/79/1072619179.db2.gz AHPLTIWATQFNSG-RCCFBDPRSA-N 0 0 431.497 -0.115 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@H]1n1cccn1 ZINC000494073548 1072619680 /nfs/dbraw/zinc/61/96/80/1072619680.db2.gz RFPHOPMERJPFTC-JFIYKMOQSA-N 0 0 442.476 -0.086 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@@H]1n1cccn1 ZINC000494073549 1072619641 /nfs/dbraw/zinc/61/96/41/1072619641.db2.gz RFPHOPMERJPFTC-JZXOWHBKSA-N 0 0 442.476 -0.086 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@H]1n1cccn1 ZINC000494073550 1072619688 /nfs/dbraw/zinc/61/96/88/1072619688.db2.gz RFPHOPMERJPFTC-LZLYRXPVSA-N 0 0 442.476 -0.086 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@@H]1n1cccn1 ZINC000494073551 1072619797 /nfs/dbraw/zinc/61/97/97/1072619797.db2.gz RFPHOPMERJPFTC-NUJGCVRESA-N 0 0 442.476 -0.086 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@@H]1n1cccn1 ZINC000494073996 1072619772 /nfs/dbraw/zinc/61/97/72/1072619772.db2.gz UGCMHJOGZHWKDQ-KFWWJZLASA-N 0 0 441.448 -0.698 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@H]1n1cccn1 ZINC000494074002 1072619781 /nfs/dbraw/zinc/61/97/81/1072619781.db2.gz UGCMHJOGZHWKDQ-RBSFLKMASA-N 0 0 441.448 -0.698 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@H]1n1cccn1 ZINC000494074003 1072619788 /nfs/dbraw/zinc/61/97/88/1072619788.db2.gz UGCMHJOGZHWKDQ-RRFJBIMHSA-N 0 0 441.448 -0.698 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@@H]1n1cccn1 ZINC000494074004 1072619591 /nfs/dbraw/zinc/61/95/91/1072619591.db2.gz UGCMHJOGZHWKDQ-ZNMIVQPWSA-N 0 0 441.448 -0.698 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)[C@H]1n1cccn1 ZINC000494074126 1072619256 /nfs/dbraw/zinc/61/92/56/1072619256.db2.gz VGKUCJUGACUXPR-JFIYKMOQSA-N 0 0 431.497 -0.115 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)[C@@H]1n1cccn1 ZINC000494074127 1073343515 /nfs/dbraw/zinc/34/35/15/1073343515.db2.gz VGKUCJUGACUXPR-JZXOWHBKSA-N 0 0 431.497 -0.115 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)[C@H]1n1cccn1 ZINC000494074128 1072619240 /nfs/dbraw/zinc/61/92/40/1072619240.db2.gz VGKUCJUGACUXPR-LZLYRXPVSA-N 0 0 431.497 -0.115 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)[C@@H]1n1cccn1 ZINC000494074129 1073342878 /nfs/dbraw/zinc/34/28/78/1073342878.db2.gz VGKUCJUGACUXPR-NUJGCVRESA-N 0 0 431.497 -0.115 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000494074755 1072619649 /nfs/dbraw/zinc/61/96/49/1072619649.db2.gz ZYFAZIHGSPGHBV-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)nn1 ZINC000494076212 1072619764 /nfs/dbraw/zinc/61/97/64/1072619764.db2.gz XWMKDQXOYDESDF-GOSISDBHSA-N 0 0 425.493 -0.070 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)nn1 ZINC000494076213 1072619711 /nfs/dbraw/zinc/61/97/11/1072619711.db2.gz XWMKDQXOYDESDF-SFHVURJKSA-N 0 0 425.493 -0.070 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000494077513 1072619603 /nfs/dbraw/zinc/61/96/03/1072619603.db2.gz HIANKRLIBASJLD-HNNXBMFYSA-N 0 0 436.490 -0.186 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000494077516 1072619615 /nfs/dbraw/zinc/61/96/15/1072619615.db2.gz HIANKRLIBASJLD-OAHLLOKOSA-N 0 0 436.490 -0.186 20 0 IBADRN C[C@H](NC(=O)COc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000494081178 1072619759 /nfs/dbraw/zinc/61/97/59/1072619759.db2.gz FICFLWHQJSYWED-INIZCTEOSA-N 0 0 432.477 -0.900 20 0 IBADRN C[C@@H](NC(=O)COc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000494081179 1072619618 /nfs/dbraw/zinc/61/96/18/1072619618.db2.gz FICFLWHQJSYWED-MRXNPFEDSA-N 0 0 432.477 -0.900 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cn1 ZINC000494081380 1072619739 /nfs/dbraw/zinc/61/97/39/1072619739.db2.gz YNYJCLPFBCICRR-HNNXBMFYSA-N 0 0 428.515 -0.323 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cn1 ZINC000494081383 1072619727 /nfs/dbraw/zinc/61/97/27/1072619727.db2.gz YNYJCLPFBCICRR-OAHLLOKOSA-N 0 0 428.515 -0.323 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1OCCO ZINC000494081695 1072620320 /nfs/dbraw/zinc/62/03/20/1072620320.db2.gz JKFXIFPHVGDHSM-UHFFFAOYSA-N 0 0 429.495 -0.599 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)cn1 ZINC000494082137 1072619694 /nfs/dbraw/zinc/61/96/94/1072619694.db2.gz BIOHGVBLPYCKNZ-GOSISDBHSA-N 0 0 446.533 -0.352 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)cn1 ZINC000494082140 1072619752 /nfs/dbraw/zinc/61/97/52/1072619752.db2.gz BIOHGVBLPYCKNZ-SFHVURJKSA-N 0 0 446.533 -0.352 20 0 IBADRN O=C(CCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc2c(c1)OCCO2 ZINC000494082468 1072619663 /nfs/dbraw/zinc/61/96/63/1072619663.db2.gz VMPJVMLNBCBEPB-UHFFFAOYSA-N 0 0 445.472 -0.050 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000494082689 1072620368 /nfs/dbraw/zinc/62/03/68/1072620368.db2.gz YFZKYYJOZKRMFK-UHFFFAOYSA-N 0 0 448.476 -0.616 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cccc(CN3CCCC3=O)c2)CC1)N1CCOCC1 ZINC000494088499 1072620258 /nfs/dbraw/zinc/62/02/58/1072620258.db2.gz CPKSBOMQIXQUAF-UHFFFAOYSA-N 0 0 428.489 -0.048 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1NS(C)(=O)=O ZINC000494089185 1072620253 /nfs/dbraw/zinc/62/02/53/1072620253.db2.gz KERQCVXKFOJIGZ-UHFFFAOYSA-N 0 0 438.506 -0.490 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2=O)cc1 ZINC000494089379 1072620358 /nfs/dbraw/zinc/62/03/58/1072620358.db2.gz LRWANSOIVTYYQV-INIZCTEOSA-N 0 0 444.488 -0.422 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2=O)cc1 ZINC000494089380 1072620489 /nfs/dbraw/zinc/62/04/89/1072620489.db2.gz LRWANSOIVTYYQV-MRXNPFEDSA-N 0 0 444.488 -0.422 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000494089603 1072620245 /nfs/dbraw/zinc/62/02/45/1072620245.db2.gz OQBAMIMALZXQLZ-IBGZPJMESA-N 0 0 442.516 -0.250 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000494089604 1072620279 /nfs/dbraw/zinc/62/02/79/1072620279.db2.gz OQBAMIMALZXQLZ-LJQANCHMSA-N 0 0 442.516 -0.250 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(=O)n(Cc3ccccc3)n2)CC1)N1CCOCC1 ZINC000494089627 1072619165 /nfs/dbraw/zinc/61/91/65/1072619165.db2.gz PJBSOQZNSOJKRY-UHFFFAOYSA-N 0 0 439.472 -0.565 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(CN3CCCC3=O)cc2)CC1)N1CCOCC1 ZINC000494089809 1072619195 /nfs/dbraw/zinc/61/91/95/1072619195.db2.gz RHENYKXTKQKCGU-UHFFFAOYSA-N 0 0 428.489 -0.048 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C2CCN(C(=O)c3ccoc3)CC2)CC1)N1CCOCC1 ZINC000494090015 1072619034 /nfs/dbraw/zinc/61/90/34/1072619034.db2.gz UXIYSOTUADKVES-UHFFFAOYSA-N 0 0 432.477 -0.339 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1=O ZINC000494090216 1072620287 /nfs/dbraw/zinc/62/02/87/1072620287.db2.gz QCQOTBMDPAUFMP-FRFSOERESA-N 0 0 434.518 -0.015 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1=O ZINC000494090219 1072620499 /nfs/dbraw/zinc/62/04/99/1072620499.db2.gz QCQOTBMDPAUFMP-KMFMINBZSA-N 0 0 434.518 -0.015 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1=O ZINC000494090220 1072620270 /nfs/dbraw/zinc/62/02/70/1072620270.db2.gz QCQOTBMDPAUFMP-UKPHBRMFSA-N 0 0 434.518 -0.015 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1=O ZINC000494090221 1072620381 /nfs/dbraw/zinc/62/03/81/1072620381.db2.gz QCQOTBMDPAUFMP-UNEWFSDZSA-N 0 0 434.518 -0.015 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1)[C@H]1CCCO1 ZINC000494090310 1072620479 /nfs/dbraw/zinc/62/04/79/1072620479.db2.gz YAUSCAFUVHDZOW-GOSISDBHSA-N 0 0 444.488 -0.053 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1)[C@@H]1CCCO1 ZINC000494090316 1072620438 /nfs/dbraw/zinc/62/04/38/1072620438.db2.gz YAUSCAFUVHDZOW-SFHVURJKSA-N 0 0 444.488 -0.053 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(CN3C(=O)CCC3=O)cc2)CC1)N1CCOCC1 ZINC000494090337 1072618532 /nfs/dbraw/zinc/61/85/32/1072618532.db2.gz YJYKBZIGHJNYGT-UHFFFAOYSA-N 0 0 442.472 -0.521 20 0 IBADRN O=C(CSCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCCCC1 ZINC000494090339 1072618447 /nfs/dbraw/zinc/61/84/47/1072618447.db2.gz YKWTZEQLOPRKRY-UHFFFAOYSA-N 0 0 426.539 -0.738 20 0 IBADRN CCN1CCN(CC(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)C(=O)C1=O ZINC000494101104 1072620336 /nfs/dbraw/zinc/62/03/36/1072620336.db2.gz SIHFYYFBTWARKX-GOSISDBHSA-N 0 0 427.505 -0.373 20 0 IBADRN CCN1CCN(CC(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)C(=O)C1=O ZINC000494101105 1072620428 /nfs/dbraw/zinc/62/04/28/1072620428.db2.gz SIHFYYFBTWARKX-SFHVURJKSA-N 0 0 427.505 -0.373 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)o1 ZINC000494103480 1072620986 /nfs/dbraw/zinc/62/09/86/1072620986.db2.gz QPAMVVGBTWAMCV-UHFFFAOYSA-N 0 0 431.448 -0.094 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cn1)N1CCOCC1 ZINC000494104648 1072621664 /nfs/dbraw/zinc/62/16/64/1072621664.db2.gz FCLWZQGGGZGPEV-INIZCTEOSA-N 0 0 440.526 -0.226 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cn1)N1CCOCC1 ZINC000494104649 1072621534 /nfs/dbraw/zinc/62/15/34/1072621534.db2.gz FCLWZQGGGZGPEV-MRXNPFEDSA-N 0 0 440.526 -0.226 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1)C(F)(F)F ZINC000494108078 1072621637 /nfs/dbraw/zinc/62/16/37/1072621637.db2.gz NVWKZSKMUCUNOB-LLVKDONJSA-N 0 0 429.399 -0.065 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1)C(F)(F)F ZINC000494108079 1072621661 /nfs/dbraw/zinc/62/16/61/1072621661.db2.gz NVWKZSKMUCUNOB-NSHDSACASA-N 0 0 429.399 -0.065 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)cc1 ZINC000494117619 1072620847 /nfs/dbraw/zinc/62/08/47/1072620847.db2.gz VNBUMEPJKACRHS-APHBMKBZSA-N 0 0 430.461 -0.418 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)cc1 ZINC000494117620 1072620926 /nfs/dbraw/zinc/62/09/26/1072620926.db2.gz VNBUMEPJKACRHS-DAXOMENPSA-N 0 0 430.461 -0.418 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)cc1 ZINC000494117621 1072621010 /nfs/dbraw/zinc/62/10/10/1072621010.db2.gz VNBUMEPJKACRHS-MAZHCROVSA-N 0 0 430.461 -0.418 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)cc1 ZINC000494117622 1072620974 /nfs/dbraw/zinc/62/09/74/1072620974.db2.gz VNBUMEPJKACRHS-WQVCFCJDSA-N 0 0 430.461 -0.418 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)c1 ZINC000494120997 1072620881 /nfs/dbraw/zinc/62/08/81/1072620881.db2.gz VAXRDFLOQIBJNU-GOSISDBHSA-N 0 0 446.533 -0.130 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)c1 ZINC000494120998 1072620978 /nfs/dbraw/zinc/62/09/78/1072620978.db2.gz VAXRDFLOQIBJNU-SFHVURJKSA-N 0 0 446.533 -0.130 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000494150839 1072621623 /nfs/dbraw/zinc/62/16/23/1072621623.db2.gz PNPVROLGUHMQRE-UHFFFAOYSA-N 0 0 434.518 -0.011 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)N1CCN(c2ncccn2)CC1 ZINC000494156369 1072621458 /nfs/dbraw/zinc/62/14/58/1072621458.db2.gz IQWFEWFFKGACST-DLBZAZTESA-N 0 0 446.508 -0.962 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000494158010 1072622204 /nfs/dbraw/zinc/62/22/04/1072622204.db2.gz WAIIYHWMRBNYOG-DLBZAZTESA-N 0 0 439.509 -0.347 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1c(F)cccc1F ZINC000494163841 1072622217 /nfs/dbraw/zinc/62/22/17/1072622217.db2.gz MVUGWKSVRKWSRI-NEPJUHHUSA-N 0 0 429.445 -0.154 20 0 IBADRN O=C(NCCNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1c(F)cccc1F ZINC000494163842 1072622095 /nfs/dbraw/zinc/62/20/95/1072622095.db2.gz MVUGWKSVRKWSRI-NWDGAFQWSA-N 0 0 429.445 -0.154 20 0 IBADRN O=C(NCCNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1c(F)cccc1F ZINC000494163843 1072622171 /nfs/dbraw/zinc/62/21/71/1072622171.db2.gz MVUGWKSVRKWSRI-RYUDHWBXSA-N 0 0 429.445 -0.154 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1c(F)cccc1F ZINC000494163844 1072622024 /nfs/dbraw/zinc/62/20/24/1072622024.db2.gz MVUGWKSVRKWSRI-VXGBXAGGSA-N 0 0 429.445 -0.154 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CC(=O)N([C@H]3C[C@@H]3C)C1)CC2 ZINC000494170169 1072621619 /nfs/dbraw/zinc/62/16/19/1072621619.db2.gz CGFOFHICDNCAEE-BJJXKVORSA-N 0 0 434.518 -0.001 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CC(=O)N([C@@H]3C[C@@H]3C)C1)CC2 ZINC000494170170 1072621642 /nfs/dbraw/zinc/62/16/42/1072621642.db2.gz CGFOFHICDNCAEE-JGGQBBKZSA-N 0 0 434.518 -0.001 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CC(=O)N([C@@H]3C[C@H]3C)C1)CC2 ZINC000494170171 1072621633 /nfs/dbraw/zinc/62/16/33/1072621633.db2.gz CGFOFHICDNCAEE-XNRPHZJLSA-N 0 0 434.518 -0.001 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CC(=O)N([C@H]3C[C@H]3C)C1)CC2 ZINC000494170172 1072621495 /nfs/dbraw/zinc/62/14/95/1072621495.db2.gz CGFOFHICDNCAEE-XPKDYRNWSA-N 0 0 434.518 -0.001 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000494176020 1072621646 /nfs/dbraw/zinc/62/16/46/1072621646.db2.gz MQAZGRHFQWFLAP-BFYDXBDKSA-N 0 0 444.488 -0.012 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000494176021 1072621654 /nfs/dbraw/zinc/62/16/54/1072621654.db2.gz MQAZGRHFQWFLAP-HLLBOEOZSA-N 0 0 444.488 -0.012 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000494176022 1072621650 /nfs/dbraw/zinc/62/16/50/1072621650.db2.gz MQAZGRHFQWFLAP-INMHGKMJSA-N 0 0 444.488 -0.012 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000494176023 1072621608 /nfs/dbraw/zinc/62/16/08/1072621608.db2.gz MQAZGRHFQWFLAP-VYDXJSESSA-N 0 0 444.488 -0.012 20 0 IBADRN CC[C@@H](C[C@H](C)CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494182152 1072621584 /nfs/dbraw/zinc/62/15/84/1072621584.db2.gz HUVFQSLWCKLYMX-KBPBESRZSA-N 0 0 440.522 -0.341 20 0 IBADRN CC[C@@H](C[C@@H](C)CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494182153 1072622123 /nfs/dbraw/zinc/62/21/23/1072622123.db2.gz HUVFQSLWCKLYMX-KGLIPLIRSA-N 0 0 440.522 -0.341 20 0 IBADRN CC[C@H](C[C@H](C)CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494182154 1072622185 /nfs/dbraw/zinc/62/21/85/1072622185.db2.gz HUVFQSLWCKLYMX-UONOGXRCSA-N 0 0 440.522 -0.341 20 0 IBADRN CC[C@H](C[C@@H](C)CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494182155 1072622132 /nfs/dbraw/zinc/62/21/32/1072622132.db2.gz HUVFQSLWCKLYMX-ZIAGYGMSSA-N 0 0 440.522 -0.341 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)(C)C)C2)cc1 ZINC000494191578 1072622696 /nfs/dbraw/zinc/62/26/96/1072622696.db2.gz KFXVELFQMHXMIP-HNNXBMFYSA-N 0 0 432.477 -0.028 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)(C)C)C2)cc1 ZINC000494191579 1072622716 /nfs/dbraw/zinc/62/27/16/1072622716.db2.gz KFXVELFQMHXMIP-OAHLLOKOSA-N 0 0 432.477 -0.028 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000494199734 1072622737 /nfs/dbraw/zinc/62/27/37/1072622737.db2.gz YBOYHVJIJHZHJI-FZMZJTMJSA-N 0 0 428.536 -0.329 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000494199735 1072622679 /nfs/dbraw/zinc/62/26/79/1072622679.db2.gz YBOYHVJIJHZHJI-RISCZKNCSA-N 0 0 428.536 -0.329 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1)C(F)(F)F ZINC000494202131 1072622623 /nfs/dbraw/zinc/62/26/23/1072622623.db2.gz RMCQLFRDOBNNJJ-JTQLQIEISA-N 0 0 442.398 -0.334 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1)C(F)(F)F ZINC000494202132 1072622746 /nfs/dbraw/zinc/62/27/46/1072622746.db2.gz RMCQLFRDOBNNJJ-SNVBAGLBSA-N 0 0 442.398 -0.334 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CC[C@@H](S(=O)(=O)N4CCCC4)C3)cnc2n(C)c1=O ZINC000494209243 1072622107 /nfs/dbraw/zinc/62/21/07/1072622107.db2.gz QDNYWMCRZHJKSV-CYBMUJFWSA-N 0 0 436.494 -0.336 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CC[C@H](S(=O)(=O)N4CCCC4)C3)cnc2n(C)c1=O ZINC000494209244 1072622161 /nfs/dbraw/zinc/62/21/61/1072622161.db2.gz QDNYWMCRZHJKSV-ZDUSSCGKSA-N 0 0 436.494 -0.336 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)cc1 ZINC000494209380 1072622071 /nfs/dbraw/zinc/62/20/71/1072622071.db2.gz RGOVOUNEGWFVCZ-BJJXKVORSA-N 0 0 438.506 -0.325 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)cc1 ZINC000494209381 1072621997 /nfs/dbraw/zinc/62/19/97/1072621997.db2.gz RGOVOUNEGWFVCZ-JGGQBBKZSA-N 0 0 438.506 -0.325 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)cc1 ZINC000494209382 1072622057 /nfs/dbraw/zinc/62/20/57/1072622057.db2.gz RGOVOUNEGWFVCZ-XNRPHZJLSA-N 0 0 438.506 -0.325 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)cc1 ZINC000494209383 1072622043 /nfs/dbraw/zinc/62/20/43/1072622043.db2.gz RGOVOUNEGWFVCZ-XPKDYRNWSA-N 0 0 438.506 -0.325 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CCO1 ZINC000494218754 1072622084 /nfs/dbraw/zinc/62/20/84/1072622084.db2.gz FDZFUZSFQILUBJ-HNNXBMFYSA-N 0 0 425.463 -0.045 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CCO1 ZINC000494218755 1072622196 /nfs/dbraw/zinc/62/21/96/1072622196.db2.gz FDZFUZSFQILUBJ-OAHLLOKOSA-N 0 0 425.463 -0.045 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000494219426 1072622146 /nfs/dbraw/zinc/62/21/46/1072622146.db2.gz JLUCTWILUIVJGF-AWEZNQCLSA-N 0 0 427.479 -0.026 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000494219427 1072622610 /nfs/dbraw/zinc/62/26/10/1072622610.db2.gz JLUCTWILUIVJGF-CQSZACIVSA-N 0 0 427.479 -0.026 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](O)C(F)F ZINC000494220522 1072622643 /nfs/dbraw/zinc/62/26/43/1072622643.db2.gz QTSFBWWFEVYOCK-GFCCVEGCSA-N 0 0 437.421 -0.603 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](O)C(F)F ZINC000494220523 1072622599 /nfs/dbraw/zinc/62/25/99/1072622599.db2.gz QTSFBWWFEVYOCK-LBPRGKRZSA-N 0 0 437.421 -0.603 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000494220802 1072622662 /nfs/dbraw/zinc/62/26/62/1072622662.db2.gz SJNLYAOGSPTHQW-KBPBESRZSA-N 0 0 447.492 -0.486 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000494220803 1072622672 /nfs/dbraw/zinc/62/26/72/1072622672.db2.gz SJNLYAOGSPTHQW-KGLIPLIRSA-N 0 0 447.492 -0.486 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000494220804 1072622730 /nfs/dbraw/zinc/62/27/30/1072622730.db2.gz SJNLYAOGSPTHQW-UONOGXRCSA-N 0 0 447.492 -0.486 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000494220805 1072622755 /nfs/dbraw/zinc/62/27/55/1072622755.db2.gz SJNLYAOGSPTHQW-ZIAGYGMSSA-N 0 0 447.492 -0.486 20 0 IBADRN O=C(NC[C@@H](O)C(F)F)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000494221915 1072622722 /nfs/dbraw/zinc/62/27/22/1072622722.db2.gz AXJMZKSWBFMVHG-LLVKDONJSA-N 0 0 425.385 -0.473 20 0 IBADRN O=C(NC[C@H](O)C(F)F)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000494221916 1072622708 /nfs/dbraw/zinc/62/27/08/1072622708.db2.gz AXJMZKSWBFMVHG-NSHDSACASA-N 0 0 425.385 -0.473 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000494226157 1072623996 /nfs/dbraw/zinc/62/39/96/1072623996.db2.gz GBZNQFUUFJFLFN-HNNXBMFYSA-N 0 0 435.433 -0.292 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000494226158 1072623783 /nfs/dbraw/zinc/62/37/83/1072623783.db2.gz GBZNQFUUFJFLFN-OAHLLOKOSA-N 0 0 435.433 -0.292 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000494226434 1072623983 /nfs/dbraw/zinc/62/39/83/1072623983.db2.gz HPMWIGVUEWTZBZ-CHWSQXEVSA-N 0 0 425.486 -0.003 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000494226435 1072623871 /nfs/dbraw/zinc/62/38/71/1072623871.db2.gz HPMWIGVUEWTZBZ-OLZOCXBDSA-N 0 0 425.486 -0.003 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000494226436 1072623908 /nfs/dbraw/zinc/62/39/08/1072623908.db2.gz HPMWIGVUEWTZBZ-QWHCGFSZSA-N 0 0 425.486 -0.003 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000494226437 1072623858 /nfs/dbraw/zinc/62/38/58/1072623858.db2.gz HPMWIGVUEWTZBZ-STQMWFEESA-N 0 0 425.486 -0.003 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000494227200 1072623928 /nfs/dbraw/zinc/62/39/28/1072623928.db2.gz MYSSVIIPSMTIGR-GOSISDBHSA-N 0 0 446.504 -0.268 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000494227201 1072623960 /nfs/dbraw/zinc/62/39/60/1072623960.db2.gz MYSSVIIPSMTIGR-SFHVURJKSA-N 0 0 446.504 -0.268 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000494227477 1072623878 /nfs/dbraw/zinc/62/38/78/1072623878.db2.gz OQTLEKWWQQGZOU-KRWDZBQOSA-N 0 0 432.477 -0.197 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000494227478 1072623894 /nfs/dbraw/zinc/62/38/94/1072623894.db2.gz OQTLEKWWQQGZOU-QGZVFWFLSA-N 0 0 432.477 -0.197 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CCO1 ZINC000494227580 1072623971 /nfs/dbraw/zinc/62/39/71/1072623971.db2.gz PGXZKULFSWKPEK-KRWDZBQOSA-N 0 0 433.461 -0.183 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CCO1 ZINC000494227581 1072623945 /nfs/dbraw/zinc/62/39/45/1072623945.db2.gz PGXZKULFSWKPEK-QGZVFWFLSA-N 0 0 433.461 -0.183 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC000494228089 1072623912 /nfs/dbraw/zinc/62/39/12/1072623912.db2.gz SVGKCKXRWDSXSZ-UHFFFAOYSA-N 0 0 444.579 -0.691 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000494228506 1072623987 /nfs/dbraw/zinc/62/39/87/1072623987.db2.gz WMEGOZYUFLNOAW-AWEZNQCLSA-N 0 0 443.478 -0.660 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000494228507 1072623808 /nfs/dbraw/zinc/62/38/08/1072623808.db2.gz WMEGOZYUFLNOAW-CQSZACIVSA-N 0 0 443.478 -0.660 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CCO1 ZINC000494228750 1072624490 /nfs/dbraw/zinc/62/44/90/1072624490.db2.gz XSSFMTNHZQWOQS-INIZCTEOSA-N 0 0 439.490 -0.350 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CCO1 ZINC000494228751 1072624556 /nfs/dbraw/zinc/62/45/56/1072624556.db2.gz XSSFMTNHZQWOQS-MRXNPFEDSA-N 0 0 439.490 -0.350 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CN(C4CC4)CCO3)CC2)cn1C ZINC000494229336 1072624567 /nfs/dbraw/zinc/62/45/67/1072624567.db2.gz BUWRPXPYHNDGQJ-INIZCTEOSA-N 0 0 426.543 -0.392 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CN(C4CC4)CCO3)CC2)cn1C ZINC000494229337 1072624446 /nfs/dbraw/zinc/62/44/46/1072624446.db2.gz BUWRPXPYHNDGQJ-MRXNPFEDSA-N 0 0 426.543 -0.392 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CCO1 ZINC000494232239 1072625077 /nfs/dbraw/zinc/62/50/77/1072625077.db2.gz YWHDOBNEDIGWBC-GFCCVEGCSA-N 0 0 443.478 -0.326 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CCO1 ZINC000494232240 1072624961 /nfs/dbraw/zinc/62/49/61/1072624961.db2.gz YWHDOBNEDIGWBC-LBPRGKRZSA-N 0 0 443.478 -0.326 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCO1 ZINC000494232449 1072624549 /nfs/dbraw/zinc/62/45/49/1072624549.db2.gz AKPNMWVVAAGEJP-AWEZNQCLSA-N 0 0 439.490 -0.267 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCO1 ZINC000494232450 1072625107 /nfs/dbraw/zinc/62/51/07/1072625107.db2.gz AKPNMWVVAAGEJP-CQSZACIVSA-N 0 0 439.490 -0.267 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CCO1 ZINC000494236119 1072625196 /nfs/dbraw/zinc/62/51/96/1072625196.db2.gz BCCGHXOOLOEGQO-HNNXBMFYSA-N 0 0 425.463 -0.045 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CCO1 ZINC000494236120 1072625153 /nfs/dbraw/zinc/62/51/53/1072625153.db2.gz BCCGHXOOLOEGQO-OAHLLOKOSA-N 0 0 425.463 -0.045 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000494236957 1072625143 /nfs/dbraw/zinc/62/51/43/1072625143.db2.gz HOXNMMSELRXECP-GFCCVEGCSA-N 0 0 436.487 -0.259 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000494236958 1072625187 /nfs/dbraw/zinc/62/51/87/1072625187.db2.gz HOXNMMSELRXECP-LBPRGKRZSA-N 0 0 436.487 -0.259 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000494237468 1072625114 /nfs/dbraw/zinc/62/51/14/1072625114.db2.gz KZDSCIJUTHXTBI-HNNXBMFYSA-N 0 0 435.433 -0.753 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000494237469 1072625136 /nfs/dbraw/zinc/62/51/36/1072625136.db2.gz KZDSCIJUTHXTBI-OAHLLOKOSA-N 0 0 435.433 -0.753 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000494237958 1072625177 /nfs/dbraw/zinc/62/51/77/1072625177.db2.gz OBDXZIQSARCFGB-HNNXBMFYSA-N 0 0 449.460 -0.103 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000494237959 1072625039 /nfs/dbraw/zinc/62/50/39/1072625039.db2.gz OBDXZIQSARCFGB-OAHLLOKOSA-N 0 0 449.460 -0.103 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000494243366 1072624433 /nfs/dbraw/zinc/62/44/33/1072624433.db2.gz ZSMAKRZJWOGKSU-UHFFFAOYSA-N 0 0 437.565 -0.681 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3cccc(N4CCCC4=O)c3)CC2)CC1 ZINC000494243680 1072624415 /nfs/dbraw/zinc/62/44/15/1072624415.db2.gz BYQGFPMDGDQEGQ-UHFFFAOYSA-N 0 0 449.577 -0.008 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CN(C)C(=O)c4ccccc43)CC2)CC1 ZINC000494244079 1072624523 /nfs/dbraw/zinc/62/45/23/1072624523.db2.gz GJYRHZBCEREFQR-GOSISDBHSA-N 0 0 435.550 -0.508 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CN(C)C(=O)c4ccccc43)CC2)CC1 ZINC000494244080 1072624511 /nfs/dbraw/zinc/62/45/11/1072624511.db2.gz GJYRHZBCEREFQR-SFHVURJKSA-N 0 0 435.550 -0.508 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CCO1 ZINC000494247393 1072624483 /nfs/dbraw/zinc/62/44/83/1072624483.db2.gz JSEOKWOBQJQZHB-LLVKDONJSA-N 0 0 431.442 -0.195 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CCO1 ZINC000494247394 1072624565 /nfs/dbraw/zinc/62/45/65/1072624565.db2.gz JSEOKWOBQJQZHB-NSHDSACASA-N 0 0 431.442 -0.195 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCO1 ZINC000494247473 1072624404 /nfs/dbraw/zinc/62/44/04/1072624404.db2.gz KGIGPJGPHYWQEL-KRWDZBQOSA-N 0 0 448.476 -0.314 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCO1 ZINC000494247474 1072624497 /nfs/dbraw/zinc/62/44/97/1072624497.db2.gz KGIGPJGPHYWQEL-QGZVFWFLSA-N 0 0 448.476 -0.314 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCO[C@H](CC(=O)OC)C1)CC2 ZINC000494249216 1072624473 /nfs/dbraw/zinc/62/44/73/1072624473.db2.gz YQPWUQPNMZZRTD-CYBMUJFWSA-N 0 0 425.463 -0.726 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCO[C@@H](CC(=O)OC)C1)CC2 ZINC000494249217 1072624545 /nfs/dbraw/zinc/62/45/45/1072624545.db2.gz YQPWUQPNMZZRTD-ZDUSSCGKSA-N 0 0 425.463 -0.726 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000494252875 1072625019 /nfs/dbraw/zinc/62/50/19/1072625019.db2.gz BXHRJNIIUCWIIR-KRWDZBQOSA-N 0 0 432.477 -0.330 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000494252876 1072625128 /nfs/dbraw/zinc/62/51/28/1072625128.db2.gz BXHRJNIIUCWIIR-QGZVFWFLSA-N 0 0 432.477 -0.330 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCC3CN(S(C)(=O)=O)C3)CC2)c1 ZINC000494254873 1072625061 /nfs/dbraw/zinc/62/50/61/1072625061.db2.gz VVVAEFZAOVNNDG-UHFFFAOYSA-N 0 0 444.579 -0.546 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC(OCCC(=O)NC)CC2)cc1S(N)(=O)=O ZINC000494264604 1072625516 /nfs/dbraw/zinc/62/55/16/1072625516.db2.gz INEYRPIBWFQOJT-UHFFFAOYSA-N 0 0 440.522 -0.021 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)CC1 ZINC000494269918 1072625707 /nfs/dbraw/zinc/62/57/07/1072625707.db2.gz CSDFISCWVRWDPH-UHFFFAOYSA-N 0 0 440.522 -0.015 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)c(C(=O)OC)s1 ZINC000494271085 1072625538 /nfs/dbraw/zinc/62/55/38/1072625538.db2.gz NFACJUDQRMDAKV-UHFFFAOYSA-N 0 0 426.494 -0.509 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000494272357 1072625736 /nfs/dbraw/zinc/62/57/36/1072625736.db2.gz YJZHSBSWDNNIDS-CYBMUJFWSA-N 0 0 443.453 -0.005 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000494272358 1072625716 /nfs/dbraw/zinc/62/57/16/1072625716.db2.gz YJZHSBSWDNNIDS-ZDUSSCGKSA-N 0 0 443.453 -0.005 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000494272539 1072625563 /nfs/dbraw/zinc/62/55/63/1072625563.db2.gz ZSMCQSHGVIYUAO-AWEZNQCLSA-N 0 0 428.463 -0.164 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000494272540 1072625725 /nfs/dbraw/zinc/62/57/25/1072625725.db2.gz ZSMCQSHGVIYUAO-CQSZACIVSA-N 0 0 428.463 -0.164 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCO[C@@H](CC(=O)OC)C1)CC2 ZINC000494275580 1072625682 /nfs/dbraw/zinc/62/56/82/1072625682.db2.gz ANHWQUMNZLEABB-AWEZNQCLSA-N 0 0 439.490 -0.336 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCO[C@H](CC(=O)OC)C1)CC2 ZINC000494275581 1072625631 /nfs/dbraw/zinc/62/56/31/1072625631.db2.gz ANHWQUMNZLEABB-CQSZACIVSA-N 0 0 439.490 -0.336 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)cc1F ZINC000494275736 1072625729 /nfs/dbraw/zinc/62/57/29/1072625729.db2.gz BPJNSIMXWXAWPD-UHFFFAOYSA-N 0 0 429.517 -0.243 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCO1 ZINC000494276134 1072625764 /nfs/dbraw/zinc/62/57/64/1072625764.db2.gz FWGNTKVCXXQQAL-HNNXBMFYSA-N 0 0 449.460 -0.347 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCO1 ZINC000494276135 1072625618 /nfs/dbraw/zinc/62/56/18/1072625618.db2.gz FWGNTKVCXXQQAL-OAHLLOKOSA-N 0 0 449.460 -0.347 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H](O)C(F)F)c2)CC1 ZINC000494278288 1072625691 /nfs/dbraw/zinc/62/56/91/1072625691.db2.gz YKTUZBZPFJHRCZ-AWEZNQCLSA-N 0 0 434.465 -0.307 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H](O)C(F)F)c2)CC1 ZINC000494278289 1072625580 /nfs/dbraw/zinc/62/55/80/1072625580.db2.gz YKTUZBZPFJHRCZ-CQSZACIVSA-N 0 0 434.465 -0.307 20 0 IBADRN CC(=O)N[C@H](CC1CCC1)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000494279246 1072625750 /nfs/dbraw/zinc/62/57/50/1072625750.db2.gz IOIJCCADUXNCCW-CQSZACIVSA-N 0 0 426.495 -0.094 20 0 IBADRN CN(CCS(=O)(=O)NCC1CN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000494281439 1072625741 /nfs/dbraw/zinc/62/57/41/1072625741.db2.gz DUWXOFARQIKBRL-UHFFFAOYSA-N 0 0 443.544 -0.743 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCO)[C@@H](C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000494282119 1072626282 /nfs/dbraw/zinc/62/62/82/1072626282.db2.gz KWTXOZMJTSXHDR-KPZWWZAWSA-N 0 0 426.495 -0.563 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCO)[C@H](C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000494282120 1072626340 /nfs/dbraw/zinc/62/63/40/1072626340.db2.gz KWTXOZMJTSXHDR-KZULUSFZSA-N 0 0 426.495 -0.563 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCO)[C@@H](C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000494282121 1072626263 /nfs/dbraw/zinc/62/62/63/1072626263.db2.gz KWTXOZMJTSXHDR-SGTLLEGYSA-N 0 0 426.495 -0.563 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCO)[C@H](C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000494282122 1072626415 /nfs/dbraw/zinc/62/64/15/1072626415.db2.gz KWTXOZMJTSXHDR-XIKOKIGWSA-N 0 0 426.495 -0.563 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)CC1 ZINC000494292960 1072626357 /nfs/dbraw/zinc/62/63/57/1072626357.db2.gz KWOGAEBYJGNLDN-UHFFFAOYSA-N 0 0 440.522 -0.015 20 0 IBADRN NS(=O)(=O)Nc1cccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)c1 ZINC000494297561 1072626218 /nfs/dbraw/zinc/62/62/18/1072626218.db2.gz GUOWYCWRZNGVHF-UHFFFAOYSA-N 0 0 429.480 -0.097 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H](O)C(F)F)c2)CC1 ZINC000494302874 1072626410 /nfs/dbraw/zinc/62/64/10/1072626410.db2.gz DMOWTVRSBJHUJW-AWEZNQCLSA-N 0 0 434.465 -0.307 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H](O)C(F)F)c2)CC1 ZINC000494302875 1072626399 /nfs/dbraw/zinc/62/63/99/1072626399.db2.gz DMOWTVRSBJHUJW-CQSZACIVSA-N 0 0 434.465 -0.307 20 0 IBADRN CS(=O)(=O)N1CC(CNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000494303847 1072626292 /nfs/dbraw/zinc/62/62/92/1072626292.db2.gz NQVQXJAMIGKDAH-UHFFFAOYSA-N 0 0 437.565 -0.359 20 0 IBADRN CCO[C@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1ccc(N3CCNC(=O)C3)nc1)CC2 ZINC000494305107 1072626230 /nfs/dbraw/zinc/62/62/30/1072626230.db2.gz ADRHPVKIYDOMGG-CVEARBPZSA-N 0 0 431.493 -0.265 20 0 IBADRN CCO[C@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1ccc(N3CCNC(=O)C3)nc1)CC2 ZINC000494305112 1072626273 /nfs/dbraw/zinc/62/62/73/1072626273.db2.gz ADRHPVKIYDOMGG-HOTGVXAUSA-N 0 0 431.493 -0.265 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1ccc(N3CCNC(=O)C3)nc1)CC2 ZINC000494305114 1072626973 /nfs/dbraw/zinc/62/69/73/1072626973.db2.gz ADRHPVKIYDOMGG-HZPDHXFCSA-N 0 0 431.493 -0.265 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1ccc(N3CCNC(=O)C3)nc1)CC2 ZINC000494305116 1072626939 /nfs/dbraw/zinc/62/69/39/1072626939.db2.gz ADRHPVKIYDOMGG-JKSUJKDBSA-N 0 0 431.493 -0.265 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000494320445 1072628122 /nfs/dbraw/zinc/62/81/22/1072628122.db2.gz VXKJYZUZRMRZAU-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494325223 1072628198 /nfs/dbraw/zinc/62/81/98/1072628198.db2.gz DAOBWZFUOGPKBM-UHFFFAOYSA-N 0 0 426.495 -0.837 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(Cl)c2-n2cncn2)CC1 ZINC000494325331 1072628218 /nfs/dbraw/zinc/62/82/18/1072628218.db2.gz DIAGIFHECWGQQP-UHFFFAOYSA-N 0 0 441.901 -0.708 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)C1 ZINC000494325737 1072628043 /nfs/dbraw/zinc/62/80/43/1072628043.db2.gz FTWZYOKLBPQDKR-LLVKDONJSA-N 0 0 432.403 -0.044 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)C1 ZINC000494325868 1072628022 /nfs/dbraw/zinc/62/80/22/1072628022.db2.gz FTWZYOKLBPQDKR-NSHDSACASA-N 0 0 432.403 -0.044 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(OC[C@@H]3CCCO3)c2)CC1 ZINC000494327237 1072628159 /nfs/dbraw/zinc/62/81/59/1072628159.db2.gz JALBNJIMXGIMED-KRWDZBQOSA-N 0 0 440.522 -0.384 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(OC[C@H]3CCCO3)c2)CC1 ZINC000494327242 1072628226 /nfs/dbraw/zinc/62/82/26/1072628226.db2.gz JALBNJIMXGIMED-QGZVFWFLSA-N 0 0 440.522 -0.384 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000494327243 1072628143 /nfs/dbraw/zinc/62/81/43/1072628143.db2.gz JARPYPDNXNLHBL-IBGZPJMESA-N 0 0 447.536 -0.189 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000494327249 1072628204 /nfs/dbraw/zinc/62/82/04/1072628204.db2.gz JARPYPDNXNLHBL-LJQANCHMSA-N 0 0 447.536 -0.189 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3=O)c(F)c2)CC1 ZINC000494327675 1072628230 /nfs/dbraw/zinc/62/82/30/1072628230.db2.gz IHFKWJWOSSRGTK-UHFFFAOYSA-N 0 0 441.485 -0.676 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(F)c(Br)c2)CC1 ZINC000494328250 1072626839 /nfs/dbraw/zinc/62/68/39/1072626839.db2.gz JGCSPLIGGYUHMQ-UHFFFAOYSA-N 0 0 437.291 -0.041 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1F ZINC000494328908 1072626921 /nfs/dbraw/zinc/62/69/21/1072626921.db2.gz KKVQMOFRGZDCRY-UHFFFAOYSA-N 0 0 432.474 -0.778 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)c1=O ZINC000494329501 1072627007 /nfs/dbraw/zinc/62/70/07/1072627007.db2.gz FLFYCTHVTMKHJS-UHFFFAOYSA-N 0 0 426.417 -0.638 20 0 IBADRN COc1ccc(OC(C)C)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494331025 1072626990 /nfs/dbraw/zinc/62/69/90/1072626990.db2.gz PYVMTLJRXPTSJA-UHFFFAOYSA-N 0 0 428.511 -0.146 20 0 IBADRN CC(=O)Nc1ccc(Cl)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494331764 1072626864 /nfs/dbraw/zinc/62/68/64/1072626864.db2.gz PCEOBJHJRXGZBX-UHFFFAOYSA-N 0 0 431.902 -0.330 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000494331975 1072626930 /nfs/dbraw/zinc/62/69/30/1072626930.db2.gz RMQCVNQRCWLTKD-HNNXBMFYSA-N 0 0 433.552 -0.605 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000494331980 1072626907 /nfs/dbraw/zinc/62/69/07/1072626907.db2.gz RMQCVNQRCWLTKD-OAHLLOKOSA-N 0 0 433.552 -0.605 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(Br)c1 ZINC000494332454 1072627000 /nfs/dbraw/zinc/62/70/00/1072627000.db2.gz QTKKFQBSUPZDGI-UHFFFAOYSA-N 0 0 449.327 -0.171 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000494332648 1072627018 /nfs/dbraw/zinc/62/70/18/1072627018.db2.gz SZHDMAYMDANWGP-CYBMUJFWSA-N 0 0 430.502 -0.070 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000494332652 1072626762 /nfs/dbraw/zinc/62/67/62/1072626762.db2.gz SZHDMAYMDANWGP-ZDUSSCGKSA-N 0 0 430.502 -0.070 20 0 IBADRN C[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C(N)=O)C1 ZINC000494334705 1072626965 /nfs/dbraw/zinc/62/69/65/1072626965.db2.gz XHAOFTUCQBWGEP-BBRMVZONSA-N 0 0 431.536 -0.018 20 0 IBADRN C[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C(N)=O)C1 ZINC000494334712 1072626801 /nfs/dbraw/zinc/62/68/01/1072626801.db2.gz XHAOFTUCQBWGEP-CJNGLKHVSA-N 0 0 431.536 -0.018 20 0 IBADRN C[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C(N)=O)C1 ZINC000494334713 1072626898 /nfs/dbraw/zinc/62/68/98/1072626898.db2.gz XHAOFTUCQBWGEP-CZUORRHYSA-N 0 0 431.536 -0.018 20 0 IBADRN C[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C(N)=O)C1 ZINC000494334717 1072626826 /nfs/dbraw/zinc/62/68/26/1072626826.db2.gz XHAOFTUCQBWGEP-XJKSGUPXSA-N 0 0 431.536 -0.018 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@@](O)(C(F)(F)F)C2)cc1 ZINC000494335871 1072626881 /nfs/dbraw/zinc/62/68/81/1072626881.db2.gz ZMFUWMCYEHSJOZ-KRWDZBQOSA-N 0 0 431.367 -0.018 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@](O)(C(F)(F)F)C2)cc1 ZINC000494335873 1072626947 /nfs/dbraw/zinc/62/69/47/1072626947.db2.gz ZMFUWMCYEHSJOZ-QGZVFWFLSA-N 0 0 431.367 -0.018 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(OC)c1OC ZINC000494337230 1072627420 /nfs/dbraw/zinc/62/74/20/1072627420.db2.gz ZXOPBMMBIOGHAH-UHFFFAOYSA-N 0 0 430.483 -0.916 20 0 IBADRN C[C@@]1(CO)CCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494337992 1072627467 /nfs/dbraw/zinc/62/74/67/1072627467.db2.gz HGJKWZJSFVPILY-BEFAXECRSA-N 0 0 438.506 -0.587 20 0 IBADRN C[C@]1(CO)CCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494337993 1072627523 /nfs/dbraw/zinc/62/75/23/1072627523.db2.gz HGJKWZJSFVPILY-DNVCBOLYSA-N 0 0 438.506 -0.587 20 0 IBADRN C[C@]1(CO)CCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494337994 1072627363 /nfs/dbraw/zinc/62/73/63/1072627363.db2.gz HGJKWZJSFVPILY-HNAYVOBHSA-N 0 0 438.506 -0.587 20 0 IBADRN C[C@@]1(CO)CCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494337995 1072627398 /nfs/dbraw/zinc/62/73/98/1072627398.db2.gz HGJKWZJSFVPILY-KXBFYZLASA-N 0 0 438.506 -0.587 20 0 IBADRN CCC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494338978 1072627443 /nfs/dbraw/zinc/62/74/43/1072627443.db2.gz CQLGLVSBDRUOBI-UHFFFAOYSA-N 0 0 425.511 -0.285 20 0 IBADRN Cc1ccc(NC(=O)C(C)C)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494339066 1072627408 /nfs/dbraw/zinc/62/74/08/1072627408.db2.gz CTCZFGOAMMLHKI-UHFFFAOYSA-N 0 0 439.538 -0.039 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)CC1 ZINC000494339290 1072627430 /nfs/dbraw/zinc/62/74/30/1072627430.db2.gz DQKJHAVNWONSAU-INIZCTEOSA-N 0 0 427.523 -0.040 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)CC1 ZINC000494339291 1072627382 /nfs/dbraw/zinc/62/73/82/1072627382.db2.gz DQKJHAVNWONSAU-MRXNPFEDSA-N 0 0 427.523 -0.040 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000494339407 1072627475 /nfs/dbraw/zinc/62/74/75/1072627475.db2.gz OGLPPUWKHOVKBG-HNNXBMFYSA-N 0 0 425.445 -0.055 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000494339408 1072627507 /nfs/dbraw/zinc/62/75/07/1072627507.db2.gz OGLPPUWKHOVKBG-OAHLLOKOSA-N 0 0 425.445 -0.055 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(Cl)c2-n2cccn2)CC1 ZINC000494339612 1072627453 /nfs/dbraw/zinc/62/74/53/1072627453.db2.gz FZLNTPMUBZHRRM-UHFFFAOYSA-N 0 0 440.913 -0.103 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)cc(N3CCOCC3)c2)CC1 ZINC000494340047 1072627347 /nfs/dbraw/zinc/62/73/47/1072627347.db2.gz IDDFNBQHCAYJCS-UHFFFAOYSA-N 0 0 443.501 -0.967 20 0 IBADRN COCCOc1cc(C)ccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494340273 1072627325 /nfs/dbraw/zinc/62/73/25/1072627325.db2.gz JREDZBUFLDRSSI-UHFFFAOYSA-N 0 0 428.511 -0.609 20 0 IBADRN COC(=O)c1cc2cc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc2o1 ZINC000494340393 1072627490 /nfs/dbraw/zinc/62/74/90/1072627490.db2.gz JXRSBJISJZSGDR-UHFFFAOYSA-N 0 0 438.462 -0.409 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(Br)c(F)c2)CC1 ZINC000494340602 1072627484 /nfs/dbraw/zinc/62/74/84/1072627484.db2.gz KXPFHHLSHVADNK-UHFFFAOYSA-N 0 0 437.291 -0.041 20 0 IBADRN COc1ccc(Br)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494340627 1072627298 /nfs/dbraw/zinc/62/72/98/1072627298.db2.gz LCEGQXRSXWBYJR-UHFFFAOYSA-N 0 0 449.327 -0.171 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC000494340961 1072627303 /nfs/dbraw/zinc/62/73/03/1072627303.db2.gz MMUVUOUJWNTRLA-UHFFFAOYSA-N 0 0 445.929 -0.587 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)s1 ZINC000494341011 1072627497 /nfs/dbraw/zinc/62/74/97/1072627497.db2.gz MWODEWLGZGNYCP-UHFFFAOYSA-N 0 0 445.610 -0.600 20 0 IBADRN COCCOc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1OC ZINC000494341597 1072627514 /nfs/dbraw/zinc/62/75/14/1072627514.db2.gz PPVJXHRPYULVGV-UHFFFAOYSA-N 0 0 444.510 -0.908 20 0 IBADRN CC(=O)N1CCCc2cc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc21 ZINC000494342359 1072628212 /nfs/dbraw/zinc/62/82/12/1072628212.db2.gz WYEBBXVQDQEOGC-UHFFFAOYSA-N 0 0 437.522 -0.643 20 0 IBADRN Cc1ccc(NC(=O)C2CC2)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494342458 1072628090 /nfs/dbraw/zinc/62/80/90/1072628090.db2.gz XUMDRYIREPCOSD-UHFFFAOYSA-N 0 0 437.522 -0.285 20 0 IBADRN CO[C@H](C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494342682 1072628115 /nfs/dbraw/zinc/62/81/15/1072628115.db2.gz ZCRCQUSYSKEJER-CYBMUJFWSA-N 0 0 441.510 -0.969 20 0 IBADRN CO[C@@H](C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494342683 1072628164 /nfs/dbraw/zinc/62/81/64/1072628164.db2.gz ZCRCQUSYSKEJER-ZDUSSCGKSA-N 0 0 441.510 -0.969 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Cl ZINC000494345670 1072628101 /nfs/dbraw/zinc/62/81/01/1072628101.db2.gz GNDGBXGPAWQHLZ-UHFFFAOYSA-N 0 0 445.929 -0.587 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(C)c1 ZINC000494346527 1073370597 /nfs/dbraw/zinc/37/05/97/1073370597.db2.gz ITWGJOOFQVTSNO-UHFFFAOYSA-N 0 0 425.511 -0.884 20 0 IBADRN CS(=O)(=O)N1CC(CNS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000494347529 1072628071 /nfs/dbraw/zinc/62/80/71/1072628071.db2.gz KQITXNGFSSXWEC-UHFFFAOYSA-N 0 0 437.565 -0.359 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(F)cc1F ZINC000494347951 1072629160 /nfs/dbraw/zinc/62/91/60/1072629160.db2.gz LAWYCMRIZHKGRN-UHFFFAOYSA-N 0 0 434.421 -0.877 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](Cn3nc(C)nc3C)C2)cc1-n1cnnn1 ZINC000494348247 1072628594 /nfs/dbraw/zinc/62/85/94/1072628594.db2.gz LRRCPGJGTSKLCY-HNNXBMFYSA-N 0 0 441.452 -0.255 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](Cn3nc(C)nc3C)C2)cc1-n1cnnn1 ZINC000494348253 1072626978 /nfs/dbraw/zinc/62/69/78/1072626978.db2.gz LRRCPGJGTSKLCY-OAHLLOKOSA-N 0 0 441.452 -0.255 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000494351381 1072628544 /nfs/dbraw/zinc/62/85/44/1072628544.db2.gz RUMNASRHQUDIFK-UHFFFAOYSA-N 0 0 437.522 -0.820 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc(Br)ccc2F)CC1 ZINC000494351709 1072628575 /nfs/dbraw/zinc/62/85/75/1072628575.db2.gz SHCONJUOXYNICR-UHFFFAOYSA-N 0 0 437.291 -0.041 20 0 IBADRN CCN1CCN(CC(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)C(=O)C1=O ZINC000494353576 1072628491 /nfs/dbraw/zinc/62/84/91/1072628491.db2.gz XDBVSGSGQMMBQV-UHFFFAOYSA-N 0 0 442.460 -0.508 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC000494353664 1072628626 /nfs/dbraw/zinc/62/86/26/1072628626.db2.gz XTMDNLYSIXIRFX-UHFFFAOYSA-N 0 0 431.902 -0.330 20 0 IBADRN CS(=O)(=O)N1CC(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000494354398 1072628447 /nfs/dbraw/zinc/62/84/47/1072628447.db2.gz ZICRJEOUKXTIGS-UHFFFAOYSA-N 0 0 437.565 -0.359 20 0 IBADRN CC(C)C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494355176 1072628567 /nfs/dbraw/zinc/62/85/67/1072628567.db2.gz AYMNFMCZXHMHSU-UHFFFAOYSA-N 0 0 425.511 -0.348 20 0 IBADRN CCN(CC)C(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494355190 1072628515 /nfs/dbraw/zinc/62/85/15/1072628515.db2.gz BCMWLFNLQRSHMJ-UHFFFAOYSA-N 0 0 439.538 -0.460 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3cccnc3N3CCOCC3)CCO2)n1 ZINC000494355376 1072628603 /nfs/dbraw/zinc/62/86/03/1072628603.db2.gz CYEALXUHYUVSSS-INIZCTEOSA-N 0 0 429.481 -0.007 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3cccnc3N3CCOCC3)CCO2)n1 ZINC000494355377 1072628433 /nfs/dbraw/zinc/62/84/33/1072628433.db2.gz CYEALXUHYUVSSS-MRXNPFEDSA-N 0 0 429.481 -0.007 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494355495 1072628619 /nfs/dbraw/zinc/62/86/19/1072628619.db2.gz DYEJYDLEEOJMEX-UHFFFAOYSA-N 0 0 427.483 -0.975 20 0 IBADRN CCN(CC)C(=O)c1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494355796 1072628523 /nfs/dbraw/zinc/62/85/23/1072628523.db2.gz IBIQKQWWOVIUSC-UHFFFAOYSA-N 0 0 439.538 -0.460 20 0 IBADRN Cc1nn(-c2ccccc2)c(C)c1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494356655 1072628608 /nfs/dbraw/zinc/62/86/08/1072628608.db2.gz LTHBGGYJVZDIHF-UHFFFAOYSA-N 0 0 434.522 -0.140 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(CCO)[C@H](C)C2)s1 ZINC000494357231 1072628462 /nfs/dbraw/zinc/62/84/62/1072628462.db2.gz IJIUSPHKCJVOSK-CYBMUJFWSA-N 0 0 425.598 -0.083 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(CCO)[C@@H](C)C2)s1 ZINC000494357234 1072628412 /nfs/dbraw/zinc/62/84/12/1072628412.db2.gz IJIUSPHKCJVOSK-ZDUSSCGKSA-N 0 0 425.598 -0.083 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](Cn3nc(C)nc3C)C2)c1 ZINC000494357769 1072628454 /nfs/dbraw/zinc/62/84/54/1072628454.db2.gz RFILNZFQLVAYOI-HNNXBMFYSA-N 0 0 436.494 -0.331 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](Cn3nc(C)nc3C)C2)c1 ZINC000494357770 1072628482 /nfs/dbraw/zinc/62/84/82/1072628482.db2.gz RFILNZFQLVAYOI-OAHLLOKOSA-N 0 0 436.494 -0.331 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000494358804 1072629132 /nfs/dbraw/zinc/62/91/32/1072629132.db2.gz WXWRZPPUPJSVBJ-KRWDZBQOSA-N 0 0 448.520 -0.222 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000494358805 1072629061 /nfs/dbraw/zinc/62/90/61/1072629061.db2.gz WXWRZPPUPJSVBJ-QGZVFWFLSA-N 0 0 448.520 -0.222 20 0 IBADRN COc1cc(OC)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC000494359044 1072629198 /nfs/dbraw/zinc/62/91/98/1072629198.db2.gz XRQXSWSNUGSJMS-UHFFFAOYSA-N 0 0 434.902 -0.272 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCO[C@@H](Cn3nc(C)nc3C)C2)c2ccccc2O1 ZINC000494359554 1072628535 /nfs/dbraw/zinc/62/85/35/1072628535.db2.gz ZLHGMFLFPFPKBS-CRAIPNDOSA-N 0 0 442.476 -0.337 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCO[C@H](Cn3nc(C)nc3C)C2)c2ccccc2O1 ZINC000494359556 1072628469 /nfs/dbraw/zinc/62/84/69/1072628469.db2.gz ZLHGMFLFPFPKBS-MAUKXSAKSA-N 0 0 442.476 -0.337 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCO[C@@H](Cn3nc(C)nc3C)C2)c2ccccc2O1 ZINC000494359557 1072629213 /nfs/dbraw/zinc/62/92/13/1072629213.db2.gz ZLHGMFLFPFPKBS-QAPCUYQASA-N 0 0 442.476 -0.337 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCO[C@H](Cn3nc(C)nc3C)C2)c2ccccc2O1 ZINC000494359558 1072629183 /nfs/dbraw/zinc/62/91/83/1072629183.db2.gz ZLHGMFLFPFPKBS-YJBOKZPZSA-N 0 0 442.476 -0.337 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)c(Cl)c2)CC1 ZINC000494362425 1072629109 /nfs/dbraw/zinc/62/91/09/1072629109.db2.gz CYUUXNOHYZOWKE-UHFFFAOYSA-N 0 0 440.913 -0.103 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CC[C@@H](C(=O)NCC(F)(F)F)C3)cnc21 ZINC000494364389 1072629146 /nfs/dbraw/zinc/62/91/46/1072629146.db2.gz FIEFLVBMMZQPIL-MRVPVSSYSA-N 0 0 435.384 -0.277 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CC[C@H](C(=O)NCC(F)(F)F)C3)cnc21 ZINC000494364400 1072629124 /nfs/dbraw/zinc/62/91/24/1072629124.db2.gz FIEFLVBMMZQPIL-QMMMGPOBSA-N 0 0 435.384 -0.277 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1C(=O)N(C)C ZINC000494364580 1072629228 /nfs/dbraw/zinc/62/92/28/1072629228.db2.gz HSBREXFAIVYHFF-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1C(=O)NC(C)C ZINC000494369183 1072629113 /nfs/dbraw/zinc/62/91/13/1072629113.db2.gz RBIRGNJRCMYFSI-UHFFFAOYSA-N 0 0 439.538 -0.496 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(OCC(F)(F)F)c2)CC1 ZINC000494370486 1072629190 /nfs/dbraw/zinc/62/91/90/1072629190.db2.gz UXKHMPQGFLCJEO-UHFFFAOYSA-N 0 0 438.428 -0.001 20 0 IBADRN NC(=O)c1coc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)c1 ZINC000494370578 1072629048 /nfs/dbraw/zinc/62/90/48/1072629048.db2.gz VDCHKXSCALBHPV-UHFFFAOYSA-N 0 0 429.476 -0.122 20 0 IBADRN Cc1cc(C(=O)NC(C)C)ccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494373857 1072629687 /nfs/dbraw/zinc/62/96/87/1072629687.db2.gz FKOLIYBKZSFYTA-UHFFFAOYSA-N 0 0 439.538 -0.496 20 0 IBADRN CC(C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494373932 1072629724 /nfs/dbraw/zinc/62/97/24/1072629724.db2.gz GEJCMQZFKBYUFO-UHFFFAOYSA-N 0 0 425.511 -0.348 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494373940 1072629661 /nfs/dbraw/zinc/62/96/61/1072629661.db2.gz GIRWUYVSXQXESB-UHFFFAOYSA-N 0 0 439.538 -0.797 20 0 IBADRN COCCCOc1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494373958 1072629632 /nfs/dbraw/zinc/62/96/32/1072629632.db2.gz GPMJBHHZPCHZSG-UHFFFAOYSA-N 0 0 428.511 -0.527 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OC[C@@H]3CCCO3)cc2)CC1 ZINC000494374163 1072629645 /nfs/dbraw/zinc/62/96/45/1072629645.db2.gz IMPKMBQDOVXRSZ-KRWDZBQOSA-N 0 0 440.522 -0.384 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OC[C@H]3CCCO3)cc2)CC1 ZINC000494374164 1072629761 /nfs/dbraw/zinc/62/97/61/1072629761.db2.gz IMPKMBQDOVXRSZ-QGZVFWFLSA-N 0 0 440.522 -0.384 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(F)cc2OCC2CC2)CC1 ZINC000494374407 1072629771 /nfs/dbraw/zinc/62/97/71/1072629771.db2.gz KMLYMKHSBJVBCS-UHFFFAOYSA-N 0 0 428.486 -0.014 20 0 IBADRN Cc1ccc(C(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494374899 1072629737 /nfs/dbraw/zinc/62/97/37/1072629737.db2.gz NCMMDLNMGKIOLI-UHFFFAOYSA-N 0 0 437.522 -0.742 20 0 IBADRN Cn1cc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC000494375718 1072629651 /nfs/dbraw/zinc/62/96/51/1072629651.db2.gz RCQGBHFEKDJJDG-UHFFFAOYSA-N 0 0 432.446 -0.513 20 0 IBADRN CCO[C@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)CC2 ZINC000494376562 1072629748 /nfs/dbraw/zinc/62/97/48/1072629748.db2.gz VDJXVNQRXLHLSZ-CABCVRRESA-N 0 0 445.476 -0.651 20 0 IBADRN CCO[C@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)CC2 ZINC000494376568 1072629668 /nfs/dbraw/zinc/62/96/68/1072629668.db2.gz VDJXVNQRXLHLSZ-GJZGRUSLSA-N 0 0 445.476 -0.651 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)CC2 ZINC000494376569 1072629768 /nfs/dbraw/zinc/62/97/68/1072629768.db2.gz VDJXVNQRXLHLSZ-HUUCEWRRSA-N 0 0 445.476 -0.651 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)CC2 ZINC000494376570 1072629684 /nfs/dbraw/zinc/62/96/84/1072629684.db2.gz VDJXVNQRXLHLSZ-LSDHHAIUSA-N 0 0 445.476 -0.651 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000494379618 1072629752 /nfs/dbraw/zinc/62/97/52/1072629752.db2.gz WMCMOWOCJJGYFX-GWCFXTLKSA-N 0 0 442.523 -0.495 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000494379626 1072630073 /nfs/dbraw/zinc/63/00/73/1072630073.db2.gz WMCMOWOCJJGYFX-GXFFZTMASA-N 0 0 442.523 -0.495 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000494379627 1072630189 /nfs/dbraw/zinc/63/01/89/1072630189.db2.gz WMCMOWOCJJGYFX-MFKMUULPSA-N 0 0 442.523 -0.495 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000494379628 1072630141 /nfs/dbraw/zinc/63/01/41/1072630141.db2.gz WMCMOWOCJJGYFX-ZWNOBZJWSA-N 0 0 442.523 -0.495 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000494380000 1072630166 /nfs/dbraw/zinc/63/01/66/1072630166.db2.gz ZBOFLLQHJMHQFK-PHIMTYICSA-N 0 0 428.492 -0.497 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(C)c1 ZINC000494380728 1072630151 /nfs/dbraw/zinc/63/01/51/1072630151.db2.gz AGSDKQAWSVKBIC-UHFFFAOYSA-N 0 0 428.511 -0.609 20 0 IBADRN CC(C)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494382962 1072630044 /nfs/dbraw/zinc/63/00/44/1072630044.db2.gz FXZLBKHWJFKMSB-UHFFFAOYSA-N 0 0 439.538 -0.556 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000494383429 1072630124 /nfs/dbraw/zinc/63/01/24/1072630124.db2.gz HDZMXRHZMTVIBW-DLBZAZTESA-N 0 0 445.582 -0.250 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000494383430 1072630061 /nfs/dbraw/zinc/63/00/61/1072630061.db2.gz HDZMXRHZMTVIBW-IAGOWNOFSA-N 0 0 445.582 -0.250 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000494383431 1072630093 /nfs/dbraw/zinc/63/00/93/1072630093.db2.gz HDZMXRHZMTVIBW-IRXDYDNUSA-N 0 0 445.582 -0.250 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000494383432 1072630223 /nfs/dbraw/zinc/63/02/23/1072630223.db2.gz HDZMXRHZMTVIBW-SJORKVTESA-N 0 0 445.582 -0.250 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000494384697 1072630180 /nfs/dbraw/zinc/63/01/80/1072630180.db2.gz IGZBKNQEFXHMTJ-UHFFFAOYSA-N 0 0 437.515 -0.433 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Cl ZINC000494385067 1072630031 /nfs/dbraw/zinc/63/00/31/1072630031.db2.gz LILPZNJCIMZHKF-UHFFFAOYSA-N 0 0 431.902 -0.929 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCCC2)CC1 ZINC000494385569 1072630134 /nfs/dbraw/zinc/63/01/34/1072630134.db2.gz MTKDOMUBNNFUSB-UHFFFAOYSA-N 0 0 437.522 -0.706 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000494386930 1072630025 /nfs/dbraw/zinc/63/00/25/1072630025.db2.gz QJBPDAKTNRFDFB-UHFFFAOYSA-N 0 0 439.416 -0.606 20 0 IBADRN CCN(Cc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1)C(C)=O ZINC000494388055 1072630004 /nfs/dbraw/zinc/63/00/04/1072630004.db2.gz UPXKUDVQRKJZBH-UHFFFAOYSA-N 0 0 439.538 -0.574 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cc3ccccc3n(C)c1=O)C2 ZINC000494388577 1072630112 /nfs/dbraw/zinc/63/01/12/1072630112.db2.gz ADAPHKUSRWWNOZ-UHFFFAOYSA-N 0 0 439.476 -0.290 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1)O[C@H](C)C2 ZINC000494388821 1072629221 /nfs/dbraw/zinc/62/92/21/1072629221.db2.gz WRVIPJDARQOIAW-CYBMUJFWSA-N 0 0 440.522 -0.220 20 0 IBADRN CCOc1cc2c(cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1)O[C@@H](C)C2 ZINC000494388828 1072629246 /nfs/dbraw/zinc/62/92/46/1072629246.db2.gz WRVIPJDARQOIAW-ZDUSSCGKSA-N 0 0 440.522 -0.220 20 0 IBADRN CCC1(O)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000494388898 1072629078 /nfs/dbraw/zinc/62/90/78/1072629078.db2.gz WWTSZBPVXANPBK-UHFFFAOYSA-N 0 0 438.506 -0.491 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000494389356 1072630100 /nfs/dbraw/zinc/63/01/00/1072630100.db2.gz CGSMYGFBSBYTDI-UHFFFAOYSA-N 0 0 447.535 -0.813 20 0 IBADRN NC(=O)CCSc1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494390361 1072632280 /nfs/dbraw/zinc/63/22/80/1072632280.db2.gz CGYCVWMTINBYNY-UHFFFAOYSA-N 0 0 443.551 -0.975 20 0 IBADRN Cc1nccc(-c2cccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)n1 ZINC000494390588 1072630231 /nfs/dbraw/zinc/63/02/31/1072630231.db2.gz CPTREIZEMAXEED-UHFFFAOYSA-N 0 0 432.506 -0.177 20 0 IBADRN CCCC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494392291 1072632415 /nfs/dbraw/zinc/63/24/15/1072632415.db2.gz KUZCCQILLYFTLL-UHFFFAOYSA-N 0 0 425.511 -0.204 20 0 IBADRN Cc1c[nH]c(=O)n1-c1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494392525 1072632439 /nfs/dbraw/zinc/63/24/39/1072632439.db2.gz LYWYXMDQCRGYTP-UHFFFAOYSA-N 0 0 436.494 -0.742 20 0 IBADRN Cc1cnn(-c2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2F)c1 ZINC000494392542 1072632355 /nfs/dbraw/zinc/63/23/55/1072632355.db2.gz MFPVMEWBDVLYLA-UHFFFAOYSA-N 0 0 438.485 -0.309 20 0 IBADRN COCCOc1c(Cl)cccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494392770 1072632344 /nfs/dbraw/zinc/63/23/44/1072632344.db2.gz AHGDQYGYNAIANL-UHFFFAOYSA-N 0 0 448.929 -0.264 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CS3)CC1 ZINC000494393445 1072632404 /nfs/dbraw/zinc/63/24/04/1072632404.db2.gz CAKRQYHWEHAISK-UHFFFAOYSA-N 0 0 427.508 -0.898 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC000494393643 1072632312 /nfs/dbraw/zinc/63/23/12/1072632312.db2.gz PMFQCCXJQYCDBA-UHFFFAOYSA-N 0 0 448.929 -0.264 20 0 IBADRN CC(C)(C)NC(=O)c1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494393746 1072632475 /nfs/dbraw/zinc/63/24/75/1072632475.db2.gz CVAJLXBKPQHTAM-UHFFFAOYSA-N 0 0 439.538 -0.414 20 0 IBADRN CC(C)(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494394123 1072630207 /nfs/dbraw/zinc/63/02/07/1072630207.db2.gz DIDNIWLRKCSJJH-UHFFFAOYSA-N 0 0 439.538 -0.414 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Cl ZINC000494394373 1072632390 /nfs/dbraw/zinc/63/23/90/1072632390.db2.gz RJDHBVZZEOKDRS-UHFFFAOYSA-N 0 0 431.902 -0.330 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc(OC)c1OC ZINC000494394405 1072632504 /nfs/dbraw/zinc/63/25/04/1072632504.db2.gz RTYRMBIDJZHMTJ-UHFFFAOYSA-N 0 0 430.483 -0.916 20 0 IBADRN Cn1ccnc1C(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494395084 1072630017 /nfs/dbraw/zinc/63/00/17/1072630017.db2.gz TYUYNIAUPPNVSM-UHFFFAOYSA-N 0 0 448.505 -0.978 20 0 IBADRN COCc1cccc2sc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)nc21 ZINC000494395842 1072630803 /nfs/dbraw/zinc/63/08/03/1072630803.db2.gz IKBVPJOAVYUHMS-UHFFFAOYSA-N 0 0 441.535 -0.186 20 0 IBADRN CCN(CC(=O)OC(C)(C)C)C1CC(NS(=O)(=O)N2CCS(=O)(=O)CC2)C1 ZINC000494396203 1072630768 /nfs/dbraw/zinc/63/07/68/1072630768.db2.gz WRYMFTFMIPESLR-UHFFFAOYSA-N 0 0 425.573 -0.254 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)CCO2)n1 ZINC000494396934 1072630840 /nfs/dbraw/zinc/63/08/40/1072630840.db2.gz YIYLFYAROPPFMD-HNNXBMFYSA-N 0 0 436.494 -0.283 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)CCO2)n1 ZINC000494396938 1072630723 /nfs/dbraw/zinc/63/07/23/1072630723.db2.gz YIYLFYAROPPFMD-OAHLLOKOSA-N 0 0 436.494 -0.283 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CCO2)n1 ZINC000494397429 1072630834 /nfs/dbraw/zinc/63/08/34/1072630834.db2.gz MDRBEIIOMPUXFR-AWEZNQCLSA-N 0 0 440.457 -0.452 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CCO2)n1 ZINC000494397430 1072630755 /nfs/dbraw/zinc/63/07/55/1072630755.db2.gz MDRBEIIOMPUXFR-CQSZACIVSA-N 0 0 440.457 -0.452 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1C(=O)NC(C)C ZINC000494397628 1072630739 /nfs/dbraw/zinc/63/07/39/1072630739.db2.gz NGZAHLFJTQMXGH-UHFFFAOYSA-N 0 0 439.538 -0.496 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OCC(F)(F)F)cc2)CC1 ZINC000494397639 1072630846 /nfs/dbraw/zinc/63/08/46/1072630846.db2.gz NJSLXBYDTUQSGX-UHFFFAOYSA-N 0 0 438.428 -0.001 20 0 IBADRN CC(C)NC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494399126 1072630655 /nfs/dbraw/zinc/63/06/55/1072630655.db2.gz SJZGIGFAVSHZNV-UHFFFAOYSA-N 0 0 439.538 -0.875 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC000494399348 1072630837 /nfs/dbraw/zinc/63/08/37/1072630837.db2.gz SYDAVYIVVCXJKF-INIZCTEOSA-N 0 0 426.495 -0.775 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC000494399350 1072630825 /nfs/dbraw/zinc/63/08/25/1072630825.db2.gz SYDAVYIVVCXJKF-MRXNPFEDSA-N 0 0 426.495 -0.775 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000494399585 1072630749 /nfs/dbraw/zinc/63/07/49/1072630749.db2.gz UPCWDGKPRMWOIR-UHFFFAOYSA-N 0 0 426.539 -0.438 20 0 IBADRN CCOC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494399615 1072630693 /nfs/dbraw/zinc/63/06/93/1072630693.db2.gz UVYASIOBBMLYFL-UHFFFAOYSA-N 0 0 440.522 -0.447 20 0 IBADRN Cc1cc(Oc2ncccn2)ccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494400777 1072630850 /nfs/dbraw/zinc/63/08/50/1072630850.db2.gz XUGLHTTVQJMTDR-UHFFFAOYSA-N 0 0 448.505 -0.051 20 0 IBADRN Cc1ccnc(-c2cccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)n1 ZINC000494400822 1072630634 /nfs/dbraw/zinc/63/06/34/1072630634.db2.gz YDGXGDDZYDUWHC-UHFFFAOYSA-N 0 0 432.506 -0.177 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000494401198 1072630706 /nfs/dbraw/zinc/63/07/06/1072630706.db2.gz YZHKAGVIUYWQTI-UHFFFAOYSA-N 0 0 437.522 -0.706 20 0 IBADRN CC(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494401848 1072631274 /nfs/dbraw/zinc/63/12/74/1072631274.db2.gz AWTNYMRUWWFUKR-UHFFFAOYSA-N 0 0 425.511 -0.804 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)CC1 ZINC000494402169 1072631252 /nfs/dbraw/zinc/63/12/52/1072631252.db2.gz BYPFUAJRGJZVNZ-UHFFFAOYSA-N 0 0 448.509 -0.954 20 0 IBADRN COc1ccc(Br)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494402426 1072631185 /nfs/dbraw/zinc/63/11/85/1072631185.db2.gz CMCHGIJQORVODO-UHFFFAOYSA-N 0 0 449.327 -0.171 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494402874 1072631302 /nfs/dbraw/zinc/63/13/02/1072631302.db2.gz DUINTLQPNUTWDA-UHFFFAOYSA-N 0 0 432.524 -0.396 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000494402892 1072631148 /nfs/dbraw/zinc/63/11/48/1072631148.db2.gz DVCXZLWPCYSRPG-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000494403629 1072631290 /nfs/dbraw/zinc/63/12/90/1072631290.db2.gz IFNKZSLQJBKKKN-UHFFFAOYSA-N 0 0 425.574 -0.386 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(N3CCCCC3=O)c2)CC1 ZINC000494403966 1072631279 /nfs/dbraw/zinc/63/12/79/1072631279.db2.gz ILNVXVZHMINHOF-UHFFFAOYSA-N 0 0 437.522 -0.425 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C[C@H](C)O)CC3)CC2)cc1 ZINC000494404320 1072631209 /nfs/dbraw/zinc/63/12/09/1072631209.db2.gz KCCKCEVDQDSXDU-KRWDZBQOSA-N 0 0 440.566 -0.474 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000494404637 1072631246 /nfs/dbraw/zinc/63/12/46/1072631246.db2.gz KMORURJQDYLJEN-GOSISDBHSA-N 0 0 440.478 -0.771 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000494404639 1072631267 /nfs/dbraw/zinc/63/12/67/1072631267.db2.gz KMORURJQDYLJEN-SFHVURJKSA-N 0 0 440.478 -0.771 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000494405554 1072631239 /nfs/dbraw/zinc/63/12/39/1072631239.db2.gz NATWBXSZQTWIRG-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)CCO2)n1 ZINC000494406674 1072631258 /nfs/dbraw/zinc/63/12/58/1072631258.db2.gz PYDQXCMQXYUAJR-HNNXBMFYSA-N 0 0 427.465 -0.049 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)CCO2)n1 ZINC000494406682 1072631286 /nfs/dbraw/zinc/63/12/86/1072631286.db2.gz PYDQXCMQXYUAJR-OAHLLOKOSA-N 0 0 427.465 -0.049 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC000494406920 1072631296 /nfs/dbraw/zinc/63/12/96/1072631296.db2.gz QOSFSPTVGKCMAN-UHFFFAOYSA-N 0 0 431.902 -0.929 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C[C@H](C)O)CC2)CC1 ZINC000494407921 1072631157 /nfs/dbraw/zinc/63/11/57/1072631157.db2.gz BDXQQCWQBXYNFA-AWEZNQCLSA-N 0 0 429.543 -0.877 20 0 IBADRN Cc1cc(C)n(-c2cccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)n1 ZINC000494408705 1072631294 /nfs/dbraw/zinc/63/12/94/1072631294.db2.gz AHAFVQQHDQGSFT-UHFFFAOYSA-N 0 0 434.522 -0.140 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494408738 1072631231 /nfs/dbraw/zinc/63/12/31/1072631231.db2.gz AMBHRSCFLIWQRO-UHFFFAOYSA-N 0 0 425.511 -0.802 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000494408792 1072631195 /nfs/dbraw/zinc/63/11/95/1072631195.db2.gz DRRBHGJPPBBLHE-FXAWDEMLSA-N 0 0 432.521 -0.504 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000494408795 1072631220 /nfs/dbraw/zinc/63/12/20/1072631220.db2.gz DRRBHGJPPBBLHE-PXNSSMCTSA-N 0 0 432.521 -0.504 20 0 IBADRN CC[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494409083 1072631778 /nfs/dbraw/zinc/63/17/78/1072631778.db2.gz AVIXBDRKBQTCSQ-AWEZNQCLSA-N 0 0 439.538 -0.414 20 0 IBADRN CC[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000494409085 1072631839 /nfs/dbraw/zinc/63/18/39/1072631839.db2.gz AVIXBDRKBQTCSQ-CQSZACIVSA-N 0 0 439.538 -0.414 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(Cl)c1 ZINC000494409409 1072631719 /nfs/dbraw/zinc/63/17/19/1072631719.db2.gz ZRQRXJDFZHHWQB-UHFFFAOYSA-N 0 0 431.902 -0.330 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000494409807 1072631634 /nfs/dbraw/zinc/63/16/34/1072631634.db2.gz HGUCTVFCENLWQB-UHFFFAOYSA-N 0 0 425.573 -0.301 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(OC)cc1Cl ZINC000494409840 1072631620 /nfs/dbraw/zinc/63/16/20/1072631620.db2.gz CORWMXAJZIRCTF-UHFFFAOYSA-N 0 0 434.902 -0.272 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)N(C)C4=O)CCO2)n1 ZINC000494409849 1072631771 /nfs/dbraw/zinc/63/17/71/1072631771.db2.gz CPYHPEGJIALJMO-AWEZNQCLSA-N 0 0 426.433 -0.013 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)N(C)C4=O)CCO2)n1 ZINC000494409851 1072631645 /nfs/dbraw/zinc/63/16/45/1072631645.db2.gz CPYHPEGJIALJMO-CQSZACIVSA-N 0 0 426.433 -0.013 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(CC(=O)OC)CC2)s1 ZINC000494410632 1072631878 /nfs/dbraw/zinc/63/18/78/1072631878.db2.gz GWLMHJHPVUBOMD-UHFFFAOYSA-N 0 0 439.581 -0.291 20 0 IBADRN Cn1c2ccccc2cc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1=O ZINC000494411832 1072631706 /nfs/dbraw/zinc/63/17/06/1072631706.db2.gz AEGQVAYBUPILSC-UHFFFAOYSA-N 0 0 449.533 -0.057 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(OC3CCCC3)nc2)CC1 ZINC000494411990 1072631790 /nfs/dbraw/zinc/63/17/90/1072631790.db2.gz APLQONPHEVANFG-UHFFFAOYSA-N 0 0 425.511 -0.226 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000494412772 1072631655 /nfs/dbraw/zinc/63/16/55/1072631655.db2.gz CHKZSKYOZCTBIM-FQEVSTJZSA-N 0 0 445.564 -0.143 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000494412775 1072631754 /nfs/dbraw/zinc/63/17/54/1072631754.db2.gz CHKZSKYOZCTBIM-HXUWFJFHSA-N 0 0 445.564 -0.143 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2OCC(F)(F)F)CC1 ZINC000494412912 1072631872 /nfs/dbraw/zinc/63/18/72/1072631872.db2.gz MHOKHVNTCWOCFM-UHFFFAOYSA-N 0 0 438.428 -0.001 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccccc1 ZINC000494414390 1072631700 /nfs/dbraw/zinc/63/17/00/1072631700.db2.gz GETLJJIJCFWYAG-HNNXBMFYSA-N 0 0 439.428 -0.356 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccccc1 ZINC000494414395 1072631810 /nfs/dbraw/zinc/63/18/10/1072631810.db2.gz GETLJJIJCFWYAG-OAHLLOKOSA-N 0 0 439.428 -0.356 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000494415467 1072631850 /nfs/dbraw/zinc/63/18/50/1072631850.db2.gz YOYOULVJDHJLNY-INIZCTEOSA-N 0 0 426.539 -0.356 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494416409 1072631799 /nfs/dbraw/zinc/63/17/99/1072631799.db2.gz UGHQUTXRXFJUSY-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000494416825 1072632448 /nfs/dbraw/zinc/63/24/48/1072632448.db2.gz KQHMFLYWJVCALR-IBGZPJMESA-N 0 0 431.537 -0.072 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000494416826 1072632293 /nfs/dbraw/zinc/63/22/93/1072632293.db2.gz KQHMFLYWJVCALR-LJQANCHMSA-N 0 0 431.537 -0.072 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000494417704 1072630820 /nfs/dbraw/zinc/63/08/20/1072630820.db2.gz YEQWBLQBEQLZIT-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1 ZINC000494417706 1072630775 /nfs/dbraw/zinc/63/07/75/1072630775.db2.gz YEUFIGQIFSRGHW-KRWDZBQOSA-N 0 0 434.493 -0.167 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1 ZINC000494417707 1072631170 /nfs/dbraw/zinc/63/11/70/1072631170.db2.gz YEUFIGQIFSRGHW-QGZVFWFLSA-N 0 0 434.493 -0.167 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000494418900 1072633613 /nfs/dbraw/zinc/63/36/13/1072633613.db2.gz PMNYZNCIAKVCTO-UHFFFAOYSA-N 0 0 444.540 -0.419 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CC1 ZINC000494418923 1072633712 /nfs/dbraw/zinc/63/37/12/1072633712.db2.gz PVDXFNBTWPOPFM-INIZCTEOSA-N 0 0 438.550 -0.142 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CC1 ZINC000494418924 1072633842 /nfs/dbraw/zinc/63/38/42/1072633842.db2.gz PVDXFNBTWPOPFM-MRXNPFEDSA-N 0 0 438.550 -0.142 20 0 IBADRN COc1cc2nc(C)sc2cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494418936 1072633852 /nfs/dbraw/zinc/63/38/52/1072633852.db2.gz PXWGJOWCDGKGKW-UHFFFAOYSA-N 0 0 441.535 -0.015 20 0 IBADRN COC(=O)C(C)(C)N1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000494419059 1072633785 /nfs/dbraw/zinc/63/37/85/1072633785.db2.gz QFPTVLHIOFJPRF-HNNXBMFYSA-N 0 0 440.566 -0.050 20 0 IBADRN COC(=O)C(C)(C)N1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000494419061 1072633815 /nfs/dbraw/zinc/63/38/15/1072633815.db2.gz QFPTVLHIOFJPRF-OAHLLOKOSA-N 0 0 440.566 -0.050 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000494419731 1072633000 /nfs/dbraw/zinc/63/30/00/1072633000.db2.gz SMUZEHMQRAFEBF-IBGZPJMESA-N 0 0 432.521 -0.059 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000494419732 1072633038 /nfs/dbraw/zinc/63/30/38/1072633038.db2.gz SMUZEHMQRAFEBF-LJQANCHMSA-N 0 0 432.521 -0.059 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000494420558 1072633694 /nfs/dbraw/zinc/63/36/94/1072633694.db2.gz VFSVDNDPQVYYGO-KRWDZBQOSA-N 0 0 438.550 -0.225 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000494420559 1072633532 /nfs/dbraw/zinc/63/35/32/1072633532.db2.gz VFSVDNDPQVYYGO-QGZVFWFLSA-N 0 0 438.550 -0.225 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCCC3)c2)CC1 ZINC000494420702 1072633861 /nfs/dbraw/zinc/63/38/61/1072633861.db2.gz VTGOGKWQVRLTRC-UHFFFAOYSA-N 0 0 437.522 -0.706 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Br ZINC000494421320 1072633582 /nfs/dbraw/zinc/63/35/82/1072633582.db2.gz YDJWHLHPZPUGLL-UHFFFAOYSA-N 0 0 449.327 -0.171 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(NC(=O)c3ccoc3)c2)CC1 ZINC000494422822 1072633677 /nfs/dbraw/zinc/63/36/77/1072633677.db2.gz DINHGQBCTFJRFJ-UHFFFAOYSA-N 0 0 449.489 -0.097 20 0 IBADRN CCc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)o1 ZINC000494423875 1072632369 /nfs/dbraw/zinc/63/23/69/1072632369.db2.gz HEZQOWVOUBDFIU-UHFFFAOYSA-N 0 0 436.494 -0.330 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1 ZINC000494424129 1072632519 /nfs/dbraw/zinc/63/25/19/1072632519.db2.gz HVSLORKAHICIEQ-AWEZNQCLSA-N 0 0 442.538 -0.201 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1 ZINC000494424135 1072632484 /nfs/dbraw/zinc/63/24/84/1072632484.db2.gz HVSLORKAHICIEQ-CQSZACIVSA-N 0 0 442.538 -0.201 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Br ZINC000494425058 1072632528 /nfs/dbraw/zinc/63/25/28/1072632528.db2.gz KJMUDAKUYOVMLV-UHFFFAOYSA-N 0 0 449.327 -0.171 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494425266 1072632495 /nfs/dbraw/zinc/63/24/95/1072632495.db2.gz LDWPBSZTECLHNM-UHFFFAOYSA-N 0 0 426.495 -0.837 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)OC)CC2)c(OC)c1 ZINC000494426417 1072632456 /nfs/dbraw/zinc/63/24/56/1072632456.db2.gz BEMAWAHMPIWANI-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494427592 1072632913 /nfs/dbraw/zinc/63/29/13/1072632913.db2.gz TVXMFAUQCMIMBP-UHFFFAOYSA-N 0 0 425.511 -0.884 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1)C(C)=O ZINC000494427884 1072632921 /nfs/dbraw/zinc/63/29/21/1072632921.db2.gz UQCKFUOLXRVICK-UHFFFAOYSA-N 0 0 439.538 -0.574 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000494429448 1072632927 /nfs/dbraw/zinc/63/29/27/1072632927.db2.gz YNFZJCLWFWOCAQ-UHFFFAOYSA-N 0 0 427.458 -0.918 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494431590 1072632977 /nfs/dbraw/zinc/63/29/77/1072632977.db2.gz FDZWAZFWQQBKRS-UHFFFAOYSA-N 0 0 432.474 -0.778 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000494432224 1072633066 /nfs/dbraw/zinc/63/30/66/1072633066.db2.gz HDKZISWMWBKUCS-UHFFFAOYSA-N 0 0 432.524 -0.477 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000494432704 1072633033 /nfs/dbraw/zinc/63/30/33/1072633033.db2.gz UOVQUYTYMLNEKO-UHFFFAOYSA-N 0 0 426.417 -0.204 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(-c3n[nH]c(C4CC4)n3)c2)CC1 ZINC000494433272 1072633015 /nfs/dbraw/zinc/63/30/15/1072633015.db2.gz IYCXIOMPWPJNJR-UHFFFAOYSA-N 0 0 447.521 -0.280 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)c1ccccc1 ZINC000494437462 1072633049 /nfs/dbraw/zinc/63/30/49/1072633049.db2.gz OCUSJQIAQZLAHW-INIZCTEOSA-N 0 0 426.429 -0.087 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)c1ccccc1 ZINC000494437463 1072633021 /nfs/dbraw/zinc/63/30/21/1072633021.db2.gz OCUSJQIAQZLAHW-MRXNPFEDSA-N 0 0 426.429 -0.087 20 0 IBADRN COc1ccc(OC(F)F)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494438127 1072633054 /nfs/dbraw/zinc/63/30/54/1072633054.db2.gz VLFPMBQBXBRMIH-UHFFFAOYSA-N 0 0 436.437 -0.332 20 0 IBADRN CSc1cc2c(cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1)OCCO2 ZINC000494438746 1072632953 /nfs/dbraw/zinc/63/29/53/1072632953.db2.gz XUAKRHMFBVKQMI-UHFFFAOYSA-N 0 0 444.535 -0.449 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC000494438763 1072632902 /nfs/dbraw/zinc/63/29/02/1072632902.db2.gz XXQNPSHQRHVYEJ-KRWDZBQOSA-N 0 0 434.493 -0.628 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC000494438764 1072632883 /nfs/dbraw/zinc/63/28/83/1072632883.db2.gz XXQNPSHQRHVYEJ-QGZVFWFLSA-N 0 0 434.493 -0.628 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(-c3n[nH]c(C4CC4)n3)cc2)CC1 ZINC000494439302 1072632510 /nfs/dbraw/zinc/63/25/10/1072632510.db2.gz ZCRHNVOOABWGNV-UHFFFAOYSA-N 0 0 447.521 -0.280 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494439303 1072632326 /nfs/dbraw/zinc/63/23/26/1072632326.db2.gz ZDFVSJMCEFSGLK-UHFFFAOYSA-N 0 0 425.511 -0.802 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCNC(=O)c3c(F)cccc3F)c2c(=O)n(C)c1=O ZINC000494441027 1072635162 /nfs/dbraw/zinc/63/51/62/1072635162.db2.gz TUQOSNPBIJLOHU-UHFFFAOYSA-N 0 0 434.403 -0.352 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000494441675 1072634971 /nfs/dbraw/zinc/63/49/71/1072634971.db2.gz ARWCSRRGDQZTMK-KRWDZBQOSA-N 0 0 440.566 -0.678 20 0 IBADRN Cn1c2ccccc2cc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1=O ZINC000494443603 1072633727 /nfs/dbraw/zinc/63/37/27/1072633727.db2.gz IXPIXISTPVTVMB-UHFFFAOYSA-N 0 0 441.488 -0.249 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000494444313 1072633632 /nfs/dbraw/zinc/63/36/32/1072633632.db2.gz LLXLSLNCOIGLCY-KRWDZBQOSA-N 0 0 440.566 -0.678 20 0 IBADRN CNC(=O)Cc1noc(CN2CCN(S(=O)(=O)c3cc(OC)ccc3OC)CC2)n1 ZINC000494445318 1072633659 /nfs/dbraw/zinc/63/36/59/1072633659.db2.gz ITSYTHYGKYKGDJ-UHFFFAOYSA-N 0 0 439.494 -0.118 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(F)cc2Br)CC1 ZINC000494448508 1072633599 /nfs/dbraw/zinc/63/35/99/1072633599.db2.gz FRJYKKYAFHITPX-UHFFFAOYSA-N 0 0 437.291 -0.041 20 0 IBADRN CCN(C(=O)CN1CCN(C[C@H](C)O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000494449408 1072634321 /nfs/dbraw/zinc/63/43/21/1072634321.db2.gz BLYTWIGLUKHYSB-INIZCTEOSA-N 0 0 444.536 -0.069 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000494449414 1072634279 /nfs/dbraw/zinc/63/42/79/1072634279.db2.gz BNUVDFBAFNGXIE-INIZCTEOSA-N 0 0 426.539 -0.356 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(Oc3cnccn3)c2)CC1 ZINC000494450410 1072634314 /nfs/dbraw/zinc/63/43/14/1072634314.db2.gz KMCHVIWNXRSKEC-UHFFFAOYSA-N 0 0 434.478 -0.360 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCO[C@H](Cn3nc(C)nc3C)C2)cc1 ZINC000494451801 1072634293 /nfs/dbraw/zinc/63/42/93/1072634293.db2.gz MYQXRDBNKMMIBH-KRWDZBQOSA-N 0 0 430.465 -0.114 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCO[C@@H](Cn3nc(C)nc3C)C2)cc1 ZINC000494451808 1072634354 /nfs/dbraw/zinc/63/43/54/1072634354.db2.gz MYQXRDBNKMMIBH-QGZVFWFLSA-N 0 0 430.465 -0.114 20 0 IBADRN Cn1cc(C[C@H]2CC(=O)N(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)C2=O)cn1 ZINC000494452513 1072634400 /nfs/dbraw/zinc/63/44/00/1072634400.db2.gz JBRGYFDDOVFSLS-INIZCTEOSA-N 0 0 432.525 -0.603 20 0 IBADRN Cn1cc(C[C@@H]2CC(=O)N(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)C2=O)cn1 ZINC000494452517 1072634229 /nfs/dbraw/zinc/63/42/29/1072634229.db2.gz JBRGYFDDOVFSLS-MRXNPFEDSA-N 0 0 432.525 -0.603 20 0 IBADRN COC(=O)C(C)(C)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000494452531 1072634370 /nfs/dbraw/zinc/63/43/70/1072634370.db2.gz JCFTUZQDOFXAHW-UHFFFAOYSA-N 0 0 428.511 -0.150 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000494453423 1072634388 /nfs/dbraw/zinc/63/43/88/1072634388.db2.gz PWDPKOPYGOJDOL-UHFFFAOYSA-N 0 0 435.510 -0.664 20 0 IBADRN Cc1cc(C)n(-c2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cn2)n1 ZINC000494456265 1072634360 /nfs/dbraw/zinc/63/43/60/1072634360.db2.gz WZXAAFMQVJYPJG-UHFFFAOYSA-N 0 0 435.510 -0.745 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC000494457196 1072634376 /nfs/dbraw/zinc/63/43/76/1072634376.db2.gz YUFIJJQKMMVLLZ-UHFFFAOYSA-N 0 0 432.886 -0.502 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000494460100 1072634330 /nfs/dbraw/zinc/63/43/30/1072634330.db2.gz XEUXIWMRLMCNQL-INIZCTEOSA-N 0 0 428.530 -0.343 20 0 IBADRN C[C@@]1(c2cccc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)c2)NC(=O)NC1=O ZINC000494463110 1072634208 /nfs/dbraw/zinc/63/42/08/1072634208.db2.gz FAFHSXKXCXHPHT-NRFANRHFSA-N 0 0 441.444 -0.825 20 0 IBADRN C[C@]1(c2cccc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)c2)NC(=O)NC1=O ZINC000494463112 1072634349 /nfs/dbraw/zinc/63/43/49/1072634349.db2.gz FAFHSXKXCXHPHT-OAQYLSRUSA-N 0 0 441.444 -0.825 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)n2nc(C)c(S(=O)(=O)N3CCOCC3)c2C)CC1 ZINC000494463928 1072634342 /nfs/dbraw/zinc/63/43/42/1072634342.db2.gz IASAXMUINGAHTR-HNNXBMFYSA-N 0 0 427.527 -0.227 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)n2nc(C)c(S(=O)(=O)N3CCOCC3)c2C)CC1 ZINC000494463929 1072634219 /nfs/dbraw/zinc/63/42/19/1072634219.db2.gz IASAXMUINGAHTR-OAHLLOKOSA-N 0 0 427.527 -0.227 20 0 IBADRN C[C@@]1(c2cccc(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)c2)NC(=O)NC1=O ZINC000494465782 1072634266 /nfs/dbraw/zinc/63/42/66/1072634266.db2.gz XCWLOUMHKIERBY-NRFANRHFSA-N 0 0 427.461 -0.352 20 0 IBADRN C[C@]1(c2cccc(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)c2)NC(=O)NC1=O ZINC000494465783 1072635077 /nfs/dbraw/zinc/63/50/77/1072635077.db2.gz XCWLOUMHKIERBY-OAQYLSRUSA-N 0 0 427.461 -0.352 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN(Cc2ccccn2)S(C)(=O)=O)CC1 ZINC000494467628 1072635013 /nfs/dbraw/zinc/63/50/13/1072635013.db2.gz ANOBBNJMRZZSGA-UHFFFAOYSA-N 0 0 425.555 -0.098 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(C[C@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000494469773 1072635025 /nfs/dbraw/zinc/63/50/25/1072635025.db2.gz UQHNCGWFDSPJJX-KRWDZBQOSA-N 0 0 440.566 -0.047 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000494471265 1072635136 /nfs/dbraw/zinc/63/51/36/1072635136.db2.gz MCMWNHWGUCDDNZ-INIZCTEOSA-N 0 0 428.530 -0.343 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(C[C@H](C)O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000494475376 1072634951 /nfs/dbraw/zinc/63/49/51/1072634951.db2.gz NUAINNKYRPQJIX-INIZCTEOSA-N 0 0 429.521 -0.159 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000494475720 1072635004 /nfs/dbraw/zinc/63/50/04/1072635004.db2.gz RPBFGWANDCLLGZ-CYBMUJFWSA-N 0 0 426.451 -0.117 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000494475722 1072635051 /nfs/dbraw/zinc/63/50/51/1072635051.db2.gz RPBFGWANDCLLGZ-ZDUSSCGKSA-N 0 0 426.451 -0.117 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COc2ccc(CC(=O)NCCO)cc2)CC1 ZINC000494483639 1072634988 /nfs/dbraw/zinc/63/49/88/1072634988.db2.gz NYGUQRBHEJEZNI-UHFFFAOYSA-N 0 0 434.537 -0.225 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN(C[C@H](C)O)CC3)nc(=O)c12 ZINC000494485652 1072635083 /nfs/dbraw/zinc/63/50/83/1072635083.db2.gz MPKAZPVZQQYBRX-NSHDSACASA-N 0 0 438.506 -0.015 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000494487465 1072634914 /nfs/dbraw/zinc/63/49/14/1072634914.db2.gz WTOSJHQOJKJUMR-HNNXBMFYSA-N 0 0 446.520 -0.204 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000494488635 1072635682 /nfs/dbraw/zinc/63/56/82/1072635682.db2.gz ZMTTXIGNLFXQDY-INIZCTEOSA-N 0 0 428.530 -0.343 20 0 IBADRN CNC(=O)Cc1noc(CN2CCN(S(=O)(=O)c3cc(C(=O)OC)cs3)CC2)n1 ZINC000494497284 1072635596 /nfs/dbraw/zinc/63/55/96/1072635596.db2.gz WLHGMWKIVBLUKC-UHFFFAOYSA-N 0 0 443.507 -0.287 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000494499134 1072635640 /nfs/dbraw/zinc/63/56/40/1072635640.db2.gz YLRXRNOAFBQHSV-HNNXBMFYSA-N 0 0 438.616 -0.528 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000494499135 1072635633 /nfs/dbraw/zinc/63/56/33/1072635633.db2.gz YLRXRNOAFBQHSV-OAHLLOKOSA-N 0 0 438.616 -0.528 20 0 IBADRN CNC(=O)Cc1noc(CN2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)n1 ZINC000494513205 1072635703 /nfs/dbraw/zinc/63/57/03/1072635703.db2.gz SNIFWYFIKGBTID-UHFFFAOYSA-N 0 0 437.507 -0.131 20 0 IBADRN CCOCCCNC(=O)[C@H](C)On1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000494516308 1072635669 /nfs/dbraw/zinc/63/56/69/1072635669.db2.gz UBJKDJOIYOBCIF-AWEZNQCLSA-N 0 0 441.510 -0.188 20 0 IBADRN CCOCCCNC(=O)[C@@H](C)On1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000494516309 1072635679 /nfs/dbraw/zinc/63/56/79/1072635679.db2.gz UBJKDJOIYOBCIF-CQSZACIVSA-N 0 0 441.510 -0.188 20 0 IBADRN COCCCNC(=O)[C@@H](C)On1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000494517254 1072635700 /nfs/dbraw/zinc/63/57/00/1072635700.db2.gz YUQPSFGHOWFELD-CYBMUJFWSA-N 0 0 427.483 -0.578 20 0 IBADRN COCCCNC(=O)[C@H](C)On1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000494517255 1072635707 /nfs/dbraw/zinc/63/57/07/1072635707.db2.gz YUQPSFGHOWFELD-ZDUSSCGKSA-N 0 0 427.483 -0.578 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000494517317 1072635692 /nfs/dbraw/zinc/63/56/92/1072635692.db2.gz ZKWMCLRYFXDCEW-UHFFFAOYSA-N 0 0 433.509 -0.661 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNC(=O)c2c(F)cccc2F)CC1)N1CCOCC1 ZINC000494520266 1072635697 /nfs/dbraw/zinc/63/56/97/1072635697.db2.gz OSONOSCRNKGJLW-UHFFFAOYSA-N 0 0 439.463 -0.119 20 0 IBADRN C[C@H](N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1)C(F)(F)F ZINC000494533599 1073370499 /nfs/dbraw/zinc/37/04/99/1073370499.db2.gz QPIDWQYVZKYRLY-INIZCTEOSA-N 0 0 435.491 -0.442 20 0 IBADRN C[C@@H](N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1)C(F)(F)F ZINC000494533605 1073370676 /nfs/dbraw/zinc/37/06/76/1073370676.db2.gz QPIDWQYVZKYRLY-MRXNPFEDSA-N 0 0 435.491 -0.442 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN(C(C)(C)C(N)=O)CC3)CC2)cn1 ZINC000494545670 1072635656 /nfs/dbraw/zinc/63/56/56/1072635656.db2.gz RCOXPXRERWSYHX-UHFFFAOYSA-N 0 0 441.558 -0.836 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000494546255 1072635601 /nfs/dbraw/zinc/63/56/01/1072635601.db2.gz LZQWTNHBPBVCFB-BFUOFWGJSA-N 0 0 442.538 -0.313 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000494546261 1072636259 /nfs/dbraw/zinc/63/62/59/1072636259.db2.gz LZQWTNHBPBVCFB-DJJJIMSYSA-N 0 0 442.538 -0.313 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000494546263 1072636170 /nfs/dbraw/zinc/63/61/70/1072636170.db2.gz LZQWTNHBPBVCFB-ORAYPTAESA-N 0 0 442.538 -0.313 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000494546265 1072636244 /nfs/dbraw/zinc/63/62/44/1072636244.db2.gz LZQWTNHBPBVCFB-YJYMSZOUSA-N 0 0 442.538 -0.313 20 0 IBADRN C[C@@H](N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1)C(F)(F)F ZINC000494549427 1072636163 /nfs/dbraw/zinc/63/61/63/1072636163.db2.gz VEGJVBDPWOQHTC-CABCVRRESA-N 0 0 426.505 -0.114 20 0 IBADRN C[C@H](N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1)C(F)(F)F ZINC000494549430 1072636147 /nfs/dbraw/zinc/63/61/47/1072636147.db2.gz VEGJVBDPWOQHTC-GJZGRUSLSA-N 0 0 426.505 -0.114 20 0 IBADRN C[C@@H](N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1)C(F)(F)F ZINC000494549431 1072636135 /nfs/dbraw/zinc/63/61/35/1072636135.db2.gz VEGJVBDPWOQHTC-HUUCEWRRSA-N 0 0 426.505 -0.114 20 0 IBADRN C[C@H](N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1)C(F)(F)F ZINC000494549432 1072636266 /nfs/dbraw/zinc/63/62/66/1072636266.db2.gz VEGJVBDPWOQHTC-LSDHHAIUSA-N 0 0 426.505 -0.114 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@@]2(CCCC(C)(C)C2)C1=O ZINC000494567108 1072636153 /nfs/dbraw/zinc/63/61/53/1072636153.db2.gz YGEVRNIPDKHTLO-BFUOFWGJSA-N 0 0 442.538 -0.361 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@]2(CCCC(C)(C)C2)C1=O ZINC000494567117 1072636217 /nfs/dbraw/zinc/63/62/17/1072636217.db2.gz YGEVRNIPDKHTLO-DJJJIMSYSA-N 0 0 442.538 -0.361 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@@]2(CCCC(C)(C)C2)C1=O ZINC000494567121 1072636230 /nfs/dbraw/zinc/63/62/30/1072636230.db2.gz YGEVRNIPDKHTLO-ORAYPTAESA-N 0 0 442.538 -0.361 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@]2(CCCC(C)(C)C2)C1=O ZINC000494567122 1072636252 /nfs/dbraw/zinc/63/62/52/1072636252.db2.gz YGEVRNIPDKHTLO-YJYMSZOUSA-N 0 0 442.538 -0.361 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)C2=O ZINC000494578372 1072636673 /nfs/dbraw/zinc/63/66/73/1072636673.db2.gz LFURMBKMBPYKNX-ACJLOTCBSA-N 0 0 429.543 -0.091 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)C2=O ZINC000494578373 1072636649 /nfs/dbraw/zinc/63/66/49/1072636649.db2.gz LFURMBKMBPYKNX-FZKQIMNGSA-N 0 0 429.543 -0.091 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)C2=O ZINC000494578374 1072636742 /nfs/dbraw/zinc/63/67/42/1072636742.db2.gz LFURMBKMBPYKNX-SCLBCKFNSA-N 0 0 429.543 -0.091 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)C2=O ZINC000494578375 1072636731 /nfs/dbraw/zinc/63/67/31/1072636731.db2.gz LFURMBKMBPYKNX-UGSOOPFHSA-N 0 0 429.543 -0.091 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000494580878 1072636712 /nfs/dbraw/zinc/63/67/12/1072636712.db2.gz SJTBBRAUWWTPTA-CVEARBPZSA-N 0 0 432.539 -0.429 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000494580883 1072636745 /nfs/dbraw/zinc/63/67/45/1072636745.db2.gz SJTBBRAUWWTPTA-HOTGVXAUSA-N 0 0 432.539 -0.429 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000494580885 1072636749 /nfs/dbraw/zinc/63/67/49/1072636749.db2.gz SJTBBRAUWWTPTA-HZPDHXFCSA-N 0 0 432.539 -0.429 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000494580886 1072636696 /nfs/dbraw/zinc/63/66/96/1072636696.db2.gz SJTBBRAUWWTPTA-JKSUJKDBSA-N 0 0 432.539 -0.429 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000494585183 1072636753 /nfs/dbraw/zinc/63/67/53/1072636753.db2.gz IUJNMIWJWWWKOE-GFCCVEGCSA-N 0 0 447.535 -0.033 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000494585185 1072636682 /nfs/dbraw/zinc/63/66/82/1072636682.db2.gz IUJNMIWJWWWKOE-LBPRGKRZSA-N 0 0 447.535 -0.033 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCS(=O)(=O)C2)cc1 ZINC000494588088 1072636767 /nfs/dbraw/zinc/63/67/67/1072636767.db2.gz VBMMFQABTXKMGW-DOMZBBRYSA-N 0 0 439.490 0.000 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1 ZINC000494588094 1072636771 /nfs/dbraw/zinc/63/67/71/1072636771.db2.gz VBMMFQABTXKMGW-IUODEOHRSA-N 0 0 439.490 0.000 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1 ZINC000494588095 1072636661 /nfs/dbraw/zinc/63/66/61/1072636661.db2.gz VBMMFQABTXKMGW-SWLSCSKDSA-N 0 0 439.490 0.000 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCS(=O)(=O)C2)cc1 ZINC000494588097 1072636735 /nfs/dbraw/zinc/63/67/35/1072636735.db2.gz VBMMFQABTXKMGW-WFASDCNBSA-N 0 0 439.490 0.000 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)C1=O ZINC000494592101 1072637967 /nfs/dbraw/zinc/63/79/67/1072637967.db2.gz NNWDDCAONBRJHU-AWEZNQCLSA-N 0 0 431.536 -0.051 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)C1=O ZINC000494592102 1072637979 /nfs/dbraw/zinc/63/79/79/1072637979.db2.gz NNWDDCAONBRJHU-CQSZACIVSA-N 0 0 431.536 -0.051 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCCC3)C2)c1 ZINC000494595702 1072637945 /nfs/dbraw/zinc/63/79/45/1072637945.db2.gz OKHFUYVSOBYRHX-HNNXBMFYSA-N 0 0 429.477 -0.299 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCCC3)C2)c1 ZINC000494595708 1072637890 /nfs/dbraw/zinc/63/78/90/1072637890.db2.gz OKHFUYVSOBYRHX-OAHLLOKOSA-N 0 0 429.477 -0.299 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000494597497 1072638028 /nfs/dbraw/zinc/63/80/28/1072638028.db2.gz VUKZOKZJMLCGFA-GFCCVEGCSA-N 0 0 433.483 -0.102 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000494597499 1072637902 /nfs/dbraw/zinc/63/79/02/1072637902.db2.gz VUKZOKZJMLCGFA-LBPRGKRZSA-N 0 0 433.483 -0.102 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC000494603515 1072637984 /nfs/dbraw/zinc/63/79/84/1072637984.db2.gz OTYLYORSERLDGF-UHFFFAOYSA-N 0 0 429.481 -0.229 20 0 IBADRN CC(C)[C@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494606525 1072638037 /nfs/dbraw/zinc/63/80/37/1072638037.db2.gz BSNXGBDULQTPGU-HNNXBMFYSA-N 0 0 426.495 -0.731 20 0 IBADRN CC(C)[C@@H](CCO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000494606529 1072637916 /nfs/dbraw/zinc/63/79/16/1072637916.db2.gz BSNXGBDULQTPGU-OAHLLOKOSA-N 0 0 426.495 -0.731 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)CCC(=O)NCCNC(C)=O)CC1 ZINC000494610171 1072638481 /nfs/dbraw/zinc/63/84/81/1072638481.db2.gz YHGKJXZGBZJRDI-UHFFFAOYSA-N 0 0 433.509 -0.190 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)Cn2cnc3c(cnn3C)c2=O)CC1 ZINC000494615414 1072637868 /nfs/dbraw/zinc/63/78/68/1072637868.db2.gz OLPHXYGLEUWRSA-UHFFFAOYSA-N 0 0 439.476 -0.079 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000494615948 1072638459 /nfs/dbraw/zinc/63/84/59/1072638459.db2.gz CNSITKTYRCDNMA-GFCCVEGCSA-N 0 0 446.551 -0.365 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000494615951 1072638424 /nfs/dbraw/zinc/63/84/24/1072638424.db2.gz CNSITKTYRCDNMA-LBPRGKRZSA-N 0 0 446.551 -0.365 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000494628627 1072639119 /nfs/dbraw/zinc/63/91/19/1072639119.db2.gz DDRCBTSLRQIWCB-HNNXBMFYSA-N 0 0 428.493 -0.183 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000494628628 1072639153 /nfs/dbraw/zinc/63/91/53/1072639153.db2.gz DDRCBTSLRQIWCB-OAHLLOKOSA-N 0 0 428.493 -0.183 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H](C(=O)N4CCCC4)C3)cnc2n(C)c1=O ZINC000494629009 1072638450 /nfs/dbraw/zinc/63/84/50/1072638450.db2.gz FHQYDKWBFCWZJR-CYBMUJFWSA-N 0 0 442.476 -0.568 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H](C(=O)N4CCCC4)C3)cnc2n(C)c1=O ZINC000494629010 1072639115 /nfs/dbraw/zinc/63/91/15/1072639115.db2.gz FHQYDKWBFCWZJR-ZDUSSCGKSA-N 0 0 442.476 -0.568 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)cc2)CC1 ZINC000494634203 1072639134 /nfs/dbraw/zinc/63/91/34/1072639134.db2.gz CJWGOVUTCPOFDG-KRWDZBQOSA-N 0 0 427.505 -0.179 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)cc2)CC1 ZINC000494634205 1072639063 /nfs/dbraw/zinc/63/90/63/1072639063.db2.gz CJWGOVUTCPOFDG-QGZVFWFLSA-N 0 0 427.505 -0.179 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CCCS(=O)(=O)C1)CC2 ZINC000494634349 1072639043 /nfs/dbraw/zinc/63/90/43/1072639043.db2.gz WXNOYKZQDZHBEC-CYBMUJFWSA-N 0 0 429.520 -0.433 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCCS(=O)(=O)C1)CC2 ZINC000494634350 1072639095 /nfs/dbraw/zinc/63/90/95/1072639095.db2.gz WXNOYKZQDZHBEC-ZDUSSCGKSA-N 0 0 429.520 -0.433 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3cnns3)CC2)cc1 ZINC000494637407 1072639107 /nfs/dbraw/zinc/63/91/07/1072639107.db2.gz LCRQZNYVJKFALF-UHFFFAOYSA-N 0 0 432.509 -0.276 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)cc1S(=O)(=O)NC1CC1 ZINC000494639071 1072639055 /nfs/dbraw/zinc/63/90/55/1072639055.db2.gz UHVPPMYUXCIPJV-CYBMUJFWSA-N 0 0 436.490 -0.046 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CC3)C2)cc1S(=O)(=O)NC1CC1 ZINC000494639072 1072639097 /nfs/dbraw/zinc/63/90/97/1072639097.db2.gz UHVPPMYUXCIPJV-ZDUSSCGKSA-N 0 0 436.490 -0.046 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)c2)CC1 ZINC000494641742 1072639080 /nfs/dbraw/zinc/63/90/80/1072639080.db2.gz GPXIHZJYRNIRQZ-HNNXBMFYSA-N 0 0 449.533 -0.559 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)c2)CC1 ZINC000494641743 1072639130 /nfs/dbraw/zinc/63/91/30/1072639130.db2.gz GPXIHZJYRNIRQZ-OAHLLOKOSA-N 0 0 449.533 -0.559 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000494645525 1072639072 /nfs/dbraw/zinc/63/90/72/1072639072.db2.gz GSURKYLIZAFTSQ-GFCCVEGCSA-N 0 0 445.519 -0.232 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000494645526 1072639105 /nfs/dbraw/zinc/63/91/05/1072639105.db2.gz GSURKYLIZAFTSQ-LBPRGKRZSA-N 0 0 445.519 -0.232 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCNC(=O)c2c(F)cccc2F)CC1 ZINC000494646457 1072639099 /nfs/dbraw/zinc/63/90/99/1072639099.db2.gz GCOLBCIIAVWNJJ-UHFFFAOYSA-N 0 0 439.463 -0.119 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494653704 1072640385 /nfs/dbraw/zinc/64/03/85/1072640385.db2.gz VNZIPLFOEHPDSP-GFCCVEGCSA-N 0 0 437.522 -0.179 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494653705 1072640396 /nfs/dbraw/zinc/64/03/96/1072640396.db2.gz VNZIPLFOEHPDSP-LBPRGKRZSA-N 0 0 437.522 -0.179 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494653755 1072640339 /nfs/dbraw/zinc/64/03/39/1072640339.db2.gz FTZUTFIRRPUBDZ-DLBZAZTESA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494653765 1072640408 /nfs/dbraw/zinc/64/04/08/1072640408.db2.gz FTZUTFIRRPUBDZ-IAGOWNOFSA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494653768 1072640348 /nfs/dbraw/zinc/64/03/48/1072640348.db2.gz FTZUTFIRRPUBDZ-IRXDYDNUSA-N 0 0 439.534 -0.092 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494653771 1072640353 /nfs/dbraw/zinc/64/03/53/1072640353.db2.gz FTZUTFIRRPUBDZ-SJORKVTESA-N 0 0 439.534 -0.092 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494656211 1072639150 /nfs/dbraw/zinc/63/91/50/1072639150.db2.gz UNQZVADFQQLGDS-AWEZNQCLSA-N 0 0 436.490 -0.475 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494656212 1072639143 /nfs/dbraw/zinc/63/91/43/1072639143.db2.gz UNQZVADFQQLGDS-CQSZACIVSA-N 0 0 436.490 -0.475 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H]3CCCS(=O)(=O)C3)cc2)CC1 ZINC000494656289 1072639029 /nfs/dbraw/zinc/63/90/29/1072639029.db2.gz NVMFXMLRPHWIMO-KRWDZBQOSA-N 0 0 436.534 -0.365 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H]3CCCS(=O)(=O)C3)cc2)CC1 ZINC000494656290 1072639087 /nfs/dbraw/zinc/63/90/87/1072639087.db2.gz NVMFXMLRPHWIMO-QGZVFWFLSA-N 0 0 436.534 -0.365 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)cc2)CC1 ZINC000494660970 1072639643 /nfs/dbraw/zinc/63/96/43/1072639643.db2.gz IBIGDJZVAITPTQ-HNNXBMFYSA-N 0 0 449.533 -0.559 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)cc2)CC1 ZINC000494660971 1072639653 /nfs/dbraw/zinc/63/96/53/1072639653.db2.gz IBIGDJZVAITPTQ-OAHLLOKOSA-N 0 0 449.533 -0.559 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494663545 1072639625 /nfs/dbraw/zinc/63/96/25/1072639625.db2.gz WAHWZUZSPNURDG-CYBMUJFWSA-N 0 0 433.490 -0.025 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494663548 1072639632 /nfs/dbraw/zinc/63/96/32/1072639632.db2.gz WAHWZUZSPNURDG-ZDUSSCGKSA-N 0 0 433.490 -0.025 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000494667386 1072639666 /nfs/dbraw/zinc/63/96/66/1072639666.db2.gz OAJPOKGCWJLTMZ-INIZCTEOSA-N 0 0 429.477 -0.225 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000494667414 1072639693 /nfs/dbraw/zinc/63/96/93/1072639693.db2.gz OAJPOKGCWJLTMZ-MRXNPFEDSA-N 0 0 429.477 -0.225 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494669451 1072639592 /nfs/dbraw/zinc/63/95/92/1072639592.db2.gz PIYUHKZRWAHZLW-GFCCVEGCSA-N 0 0 436.490 -0.046 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494669452 1072639706 /nfs/dbraw/zinc/63/97/06/1072639706.db2.gz PIYUHKZRWAHZLW-LBPRGKRZSA-N 0 0 436.490 -0.046 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494671267 1072640360 /nfs/dbraw/zinc/64/03/60/1072640360.db2.gz HSUHLGAGMGXJQG-AWEZNQCLSA-N 0 0 430.461 -0.014 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494671268 1072640319 /nfs/dbraw/zinc/64/03/19/1072640319.db2.gz HSUHLGAGMGXJQG-CQSZACIVSA-N 0 0 430.461 -0.014 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494671298 1072639677 /nfs/dbraw/zinc/63/96/77/1072639677.db2.gz HYKFPOYPKYXHBP-INIZCTEOSA-N 0 0 440.504 -0.042 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494671299 1072639608 /nfs/dbraw/zinc/63/96/08/1072639608.db2.gz HYKFPOYPKYXHBP-MRXNPFEDSA-N 0 0 440.504 -0.042 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494672240 1072640323 /nfs/dbraw/zinc/64/03/23/1072640323.db2.gz LIJSKVPGZBGBSL-AWEZNQCLSA-N 0 0 436.490 -0.475 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494672241 1072640364 /nfs/dbraw/zinc/64/03/64/1072640364.db2.gz LIJSKVPGZBGBSL-CQSZACIVSA-N 0 0 436.490 -0.475 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494672622 1072640419 /nfs/dbraw/zinc/64/04/19/1072640419.db2.gz HMVJEJVNBNBYNL-KRWDZBQOSA-N 0 0 443.504 -0.433 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494672624 1072640390 /nfs/dbraw/zinc/64/03/90/1072640390.db2.gz HMVJEJVNBNBYNL-QGZVFWFLSA-N 0 0 443.504 -0.433 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000494672766 1072640400 /nfs/dbraw/zinc/64/04/00/1072640400.db2.gz NGFFUVUNGSBRJM-HNNXBMFYSA-N 0 0 443.504 -0.099 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000494672767 1072640329 /nfs/dbraw/zinc/64/03/29/1072640329.db2.gz NGFFUVUNGSBRJM-OAHLLOKOSA-N 0 0 443.504 -0.099 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000494680324 1072640415 /nfs/dbraw/zinc/64/04/15/1072640415.db2.gz IBLMVMBKVMRIEQ-KBXCAEBGSA-N 0 0 442.472 -0.481 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000494680325 1072640296 /nfs/dbraw/zinc/64/02/96/1072640296.db2.gz IBLMVMBKVMRIEQ-KDOFPFPSSA-N 0 0 442.472 -0.481 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000494680326 1072640358 /nfs/dbraw/zinc/64/03/58/1072640358.db2.gz IBLMVMBKVMRIEQ-KSSFIOAISA-N 0 0 442.472 -0.481 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000494680327 1072640370 /nfs/dbraw/zinc/64/03/70/1072640370.db2.gz IBLMVMBKVMRIEQ-RDTXWAMCSA-N 0 0 442.472 -0.481 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N[C@H]1CC(=O)N(C2CC2)C1)N1CCOCC1 ZINC000494682937 1072640375 /nfs/dbraw/zinc/64/03/75/1072640375.db2.gz SSYNAVMWRDKHRE-AWEZNQCLSA-N 0 0 430.461 -0.258 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1)N1CCOCC1 ZINC000494682938 1072640413 /nfs/dbraw/zinc/64/04/13/1072640413.db2.gz SSYNAVMWRDKHRE-CQSZACIVSA-N 0 0 430.461 -0.258 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1[C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000494687693 1072640986 /nfs/dbraw/zinc/64/09/86/1072640986.db2.gz RGIYFLDCKISREN-DZGCQCFKSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1[C@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000494687694 1072640905 /nfs/dbraw/zinc/64/09/05/1072640905.db2.gz RGIYFLDCKISREN-HIFRSBDPSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1[C@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000494687695 1072640876 /nfs/dbraw/zinc/64/08/76/1072640876.db2.gz RGIYFLDCKISREN-UKRRQHHQSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1[C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000494687696 1072640944 /nfs/dbraw/zinc/64/09/44/1072640944.db2.gz RGIYFLDCKISREN-ZFWWWQNUSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494693083 1072641008 /nfs/dbraw/zinc/64/10/08/1072641008.db2.gz ZKYYHPQHSBFKRW-INIZCTEOSA-N 0 0 444.579 -0.467 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494693084 1072640995 /nfs/dbraw/zinc/64/09/95/1072640995.db2.gz ZKYYHPQHSBFKRW-MRXNPFEDSA-N 0 0 444.579 -0.467 20 0 IBADRN Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c(=O)n1C ZINC000494701587 1072641770 /nfs/dbraw/zinc/64/17/70/1072641770.db2.gz FLGMIZYZCDTWMD-UHFFFAOYSA-N 0 0 437.478 -0.398 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000494704008 1072641704 /nfs/dbraw/zinc/64/17/04/1072641704.db2.gz PKHBXDXVIARPJQ-AWEZNQCLSA-N 0 0 448.567 -0.102 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000494704009 1072641721 /nfs/dbraw/zinc/64/17/21/1072641721.db2.gz PKHBXDXVIARPJQ-CQSZACIVSA-N 0 0 448.567 -0.102 20 0 IBADRN COc1cc([C@@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)ccc1OCCO ZINC000494711665 1072642178 /nfs/dbraw/zinc/64/21/78/1072642178.db2.gz SIYCJRXWHYQNAC-GFCCVEGCSA-N 0 0 431.449 -0.309 20 0 IBADRN COc1cc([C@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)ccc1OCCO ZINC000494711666 1072642173 /nfs/dbraw/zinc/64/21/73/1072642173.db2.gz SIYCJRXWHYQNAC-LBPRGKRZSA-N 0 0 431.449 -0.309 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000494715820 1072642333 /nfs/dbraw/zinc/64/23/33/1072642333.db2.gz AMAHREQOAXBYPJ-ARFHVFGLSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000494715821 1072642283 /nfs/dbraw/zinc/64/22/83/1072642283.db2.gz AMAHREQOAXBYPJ-HRCADAONSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000494715822 1072642357 /nfs/dbraw/zinc/64/23/57/1072642357.db2.gz AMAHREQOAXBYPJ-JYJNAYRXSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000494715823 1072642181 /nfs/dbraw/zinc/64/21/81/1072642181.db2.gz AMAHREQOAXBYPJ-XHSDSOJGSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1[C@H](C)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000494723500 1072642236 /nfs/dbraw/zinc/64/22/36/1072642236.db2.gz WKLGOUILSDXPGX-GUTXKFCHSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1[C@@H](C)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000494723501 1072642188 /nfs/dbraw/zinc/64/21/88/1072642188.db2.gz WKLGOUILSDXPGX-IPYPFGDCSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1[C@H](C)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000494723502 1072642312 /nfs/dbraw/zinc/64/23/12/1072642312.db2.gz WKLGOUILSDXPGX-NFAWXSAZSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1[C@@H](C)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000494723503 1072642253 /nfs/dbraw/zinc/64/22/53/1072642253.db2.gz WKLGOUILSDXPGX-YDHLFZDLSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000494730218 1072642203 /nfs/dbraw/zinc/64/22/03/1072642203.db2.gz PXYNSQPQRXPVER-AWEZNQCLSA-N 0 0 436.542 -0.975 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000494730219 1072642339 /nfs/dbraw/zinc/64/23/39/1072642339.db2.gz PXYNSQPQRXPVER-CQSZACIVSA-N 0 0 436.542 -0.975 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCOC1 ZINC000494730653 1072642224 /nfs/dbraw/zinc/64/22/24/1072642224.db2.gz VSSLFHHJGMOEFL-KRWDZBQOSA-N 0 0 441.554 -0.020 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCOC1 ZINC000494730654 1072642290 /nfs/dbraw/zinc/64/22/90/1072642290.db2.gz VSSLFHHJGMOEFL-QGZVFWFLSA-N 0 0 441.554 -0.020 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@@H]2CS(=O)(=O)NC)c(=O)[nH]c1=O ZINC000494732696 1072642978 /nfs/dbraw/zinc/64/29/78/1072642978.db2.gz BHMYLUOWFMYCCO-CYBMUJFWSA-N 0 0 444.558 -0.302 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@H]2CS(=O)(=O)NC)c(=O)[nH]c1=O ZINC000494732697 1072643103 /nfs/dbraw/zinc/64/31/03/1072643103.db2.gz BHMYLUOWFMYCCO-ZDUSSCGKSA-N 0 0 444.558 -0.302 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000494733163 1072642943 /nfs/dbraw/zinc/64/29/43/1072642943.db2.gz CZLMENWKYSCTDF-GOSISDBHSA-N 0 0 438.550 -0.211 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000494733164 1072643262 /nfs/dbraw/zinc/64/32/62/1072643262.db2.gz CZLMENWKYSCTDF-SFHVURJKSA-N 0 0 438.550 -0.211 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC000494753009 1072643091 /nfs/dbraw/zinc/64/30/91/1072643091.db2.gz NPRNZFLQHKYURG-GXTWGEPZSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC000494753206 1072643652 /nfs/dbraw/zinc/64/36/52/1072643652.db2.gz NPRNZFLQHKYURG-JSGCOSHPSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC000494753207 1072643728 /nfs/dbraw/zinc/64/37/28/1072643728.db2.gz NPRNZFLQHKYURG-OCCSQVGLSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC000494753208 1072643690 /nfs/dbraw/zinc/64/36/90/1072643690.db2.gz NPRNZFLQHKYURG-TZMCWYRMSA-N 0 0 430.552 -0.375 20 0 IBADRN COC[C@H](CCO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494759255 1072643751 /nfs/dbraw/zinc/64/37/51/1072643751.db2.gz GAAPCDZLRUBIGS-INIZCTEOSA-N 0 0 427.523 -0.187 20 0 IBADRN COC[C@@H](CCO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494759256 1072643696 /nfs/dbraw/zinc/64/36/96/1072643696.db2.gz GAAPCDZLRUBIGS-MRXNPFEDSA-N 0 0 427.523 -0.187 20 0 IBADRN CNC(=O)Cc1noc(CN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)n1 ZINC000494760563 1072643786 /nfs/dbraw/zinc/64/37/86/1072643786.db2.gz OQURSEXSHZAHJX-UHFFFAOYSA-N 0 0 435.466 -0.554 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494762297 1072643627 /nfs/dbraw/zinc/64/36/27/1072643627.db2.gz HFRXSOBXKDUVQN-INIZCTEOSA-N 0 0 436.534 -0.011 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494762298 1072643769 /nfs/dbraw/zinc/64/37/69/1072643769.db2.gz HFRXSOBXKDUVQN-MRXNPFEDSA-N 0 0 436.534 -0.011 20 0 IBADRN COCCN(C(=O)CN1CCN(c2nccc(OC)n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000494770243 1072644456 /nfs/dbraw/zinc/64/44/56/1072644456.db2.gz NKMJDVMTQNJVEL-HNNXBMFYSA-N 0 0 427.527 -0.731 20 0 IBADRN COCCN(C(=O)CN1CCN(c2nccc(OC)n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000494770248 1072644253 /nfs/dbraw/zinc/64/42/53/1072644253.db2.gz NKMJDVMTQNJVEL-OAHLLOKOSA-N 0 0 427.527 -0.731 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C)C(=O)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000494774143 1072644335 /nfs/dbraw/zinc/64/43/35/1072644335.db2.gz XWFJZFCQLNKIJY-AWEZNQCLSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C)C(=O)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000494774144 1072644198 /nfs/dbraw/zinc/64/41/98/1072644198.db2.gz XWFJZFCQLNKIJY-CQSZACIVSA-N 0 0 425.507 -0.052 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000494784498 1072644400 /nfs/dbraw/zinc/64/44/00/1072644400.db2.gz XPKNDWCAPLZDSD-AWEZNQCLSA-N 0 0 437.565 -0.071 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000494784499 1072644217 /nfs/dbraw/zinc/64/42/17/1072644217.db2.gz XPKNDWCAPLZDSD-CQSZACIVSA-N 0 0 437.565 -0.071 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000494785162 1072644915 /nfs/dbraw/zinc/64/49/15/1072644915.db2.gz OPGCICBCRFGSNX-CYBMUJFWSA-N 0 0 434.492 -0.038 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000494785163 1072644908 /nfs/dbraw/zinc/64/49/08/1072644908.db2.gz OPGCICBCRFGSNX-ZDUSSCGKSA-N 0 0 434.492 -0.038 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000494786497 1072644862 /nfs/dbraw/zinc/64/48/62/1072644862.db2.gz VNIOJEVERIDGSO-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000494786498 1072644944 /nfs/dbraw/zinc/64/49/44/1072644944.db2.gz VNIOJEVERIDGSO-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000494786908 1072644988 /nfs/dbraw/zinc/64/49/88/1072644988.db2.gz XISHTVYKLYSDEF-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000494786909 1072644924 /nfs/dbraw/zinc/64/49/24/1072644924.db2.gz XISHTVYKLYSDEF-NSHDSACASA-N 0 0 429.517 -0.101 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000494788420 1072645398 /nfs/dbraw/zinc/64/53/98/1072645398.db2.gz RFVZBDAVUZOFDA-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000494788421 1072645383 /nfs/dbraw/zinc/64/53/83/1072645383.db2.gz RFVZBDAVUZOFDA-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1C(=O)OC ZINC000494788699 1072645390 /nfs/dbraw/zinc/64/53/90/1072645390.db2.gz UIKOJQGQGKIFOW-GFCCVEGCSA-N 0 0 434.492 -0.038 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1C(=O)OC ZINC000494788700 1072645352 /nfs/dbraw/zinc/64/53/52/1072645352.db2.gz UIKOJQGQGKIFOW-LBPRGKRZSA-N 0 0 434.492 -0.038 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000494789490 1072645481 /nfs/dbraw/zinc/64/54/81/1072645481.db2.gz BQCQPNHDVGRHHN-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000494789491 1072645468 /nfs/dbraw/zinc/64/54/68/1072645468.db2.gz BQCQPNHDVGRHHN-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000494790310 1072644896 /nfs/dbraw/zinc/64/48/96/1072644896.db2.gz JTYOUYSBQJLRDM-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000494790311 1072644900 /nfs/dbraw/zinc/64/49/00/1072644900.db2.gz JTYOUYSBQJLRDM-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000494791029 1072645482 /nfs/dbraw/zinc/64/54/82/1072645482.db2.gz NHRBMZHREZBKBA-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000494791030 1072645451 /nfs/dbraw/zinc/64/54/51/1072645451.db2.gz NHRBMZHREZBKBA-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN Cn1cc([C@H](CO)NCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)cn1 ZINC000494803992 1072645993 /nfs/dbraw/zinc/64/59/93/1072645993.db2.gz KFZJNDWLENFBNN-INIZCTEOSA-N 0 0 425.486 -0.285 20 0 IBADRN Cn1cc([C@@H](CO)NCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)cn1 ZINC000494803993 1072646136 /nfs/dbraw/zinc/64/61/36/1072646136.db2.gz KFZJNDWLENFBNN-MRXNPFEDSA-N 0 0 425.486 -0.285 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN[C@@H](CO)c3cnn(C)c3)CC2)cc1 ZINC000494804417 1072645940 /nfs/dbraw/zinc/64/59/40/1072645940.db2.gz CICJXZXJXLARSQ-IBGZPJMESA-N 0 0 449.533 -0.221 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN[C@H](CO)c3cnn(C)c3)CC2)cc1 ZINC000494804418 1072646077 /nfs/dbraw/zinc/64/60/77/1072646077.db2.gz CICJXZXJXLARSQ-LJQANCHMSA-N 0 0 449.533 -0.221 20 0 IBADRN Cn1cc([C@H](CO)NCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)cn1 ZINC000494806452 1072646020 /nfs/dbraw/zinc/64/60/20/1072646020.db2.gz ZAFUBGQWFOADEG-INIZCTEOSA-N 0 0 443.476 -0.146 20 0 IBADRN Cn1cc([C@@H](CO)NCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)cn1 ZINC000494806456 1072646171 /nfs/dbraw/zinc/64/61/71/1072646171.db2.gz ZAFUBGQWFOADEG-MRXNPFEDSA-N 0 0 443.476 -0.146 20 0 IBADRN Cn1cc([C@@H](CO)NCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)cn1 ZINC000494807783 1072646068 /nfs/dbraw/zinc/64/60/68/1072646068.db2.gz JLLXBMPZIMLPGY-APWZRJJASA-N 0 0 429.477 -0.446 20 0 IBADRN Cn1cc([C@H](CO)NCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)cn1 ZINC000494807785 1072645969 /nfs/dbraw/zinc/64/59/69/1072645969.db2.gz JLLXBMPZIMLPGY-LPHOPBHVSA-N 0 0 429.477 -0.446 20 0 IBADRN Cn1cc([C@H](CO)NCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)cn1 ZINC000494807787 1072646042 /nfs/dbraw/zinc/64/60/42/1072646042.db2.gz JLLXBMPZIMLPGY-QFBILLFUSA-N 0 0 429.477 -0.446 20 0 IBADRN Cn1cc([C@@H](CO)NCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)cn1 ZINC000494807788 1072646121 /nfs/dbraw/zinc/64/61/21/1072646121.db2.gz JLLXBMPZIMLPGY-VQIMIIECSA-N 0 0 429.477 -0.446 20 0 IBADRN Cn1cc([C@H](CO)NCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)cn1 ZINC000494810842 1072646907 /nfs/dbraw/zinc/64/69/07/1072646907.db2.gz PLEUUPXWDJDCCM-KRWDZBQOSA-N 0 0 425.486 -0.285 20 0 IBADRN Cn1cc([C@@H](CO)NCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)cn1 ZINC000494810843 1072646745 /nfs/dbraw/zinc/64/67/45/1072646745.db2.gz PLEUUPXWDJDCCM-QGZVFWFLSA-N 0 0 425.486 -0.285 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN[C@@H](CO)c3cnn(C)c3)CC2)c1 ZINC000494814041 1072646829 /nfs/dbraw/zinc/64/68/29/1072646829.db2.gz SFMXLMXOXHADMX-IBGZPJMESA-N 0 0 449.533 -0.221 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN[C@H](CO)c3cnn(C)c3)CC2)c1 ZINC000494814042 1072646682 /nfs/dbraw/zinc/64/66/82/1072646682.db2.gz SFMXLMXOXHADMX-LJQANCHMSA-N 0 0 449.533 -0.221 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN[C@H](CO)c3cnn(C)c3)CC2)cc1 ZINC000494821150 1072647297 /nfs/dbraw/zinc/64/72/97/1072647297.db2.gz GMITZFRGEIALSL-GOSISDBHSA-N 0 0 437.522 -0.415 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN[C@@H](CO)c3cnn(C)c3)CC2)cc1 ZINC000494821153 1072647162 /nfs/dbraw/zinc/64/71/62/1072647162.db2.gz GMITZFRGEIALSL-SFHVURJKSA-N 0 0 437.522 -0.415 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000494826327 1072647416 /nfs/dbraw/zinc/64/74/16/1072647416.db2.gz PVNRKVDFCSTYJB-AWEZNQCLSA-N 0 0 428.577 -0.602 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000494826328 1072647254 /nfs/dbraw/zinc/64/72/54/1072647254.db2.gz PVNRKVDFCSTYJB-CQSZACIVSA-N 0 0 428.577 -0.602 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000494831904 1073336636 /nfs/dbraw/zinc/33/66/36/1073336636.db2.gz CKPOTHLZCNUMFH-CYBMUJFWSA-N 0 0 440.482 -0.309 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000494831905 1073337932 /nfs/dbraw/zinc/33/79/32/1073337932.db2.gz CKPOTHLZCNUMFH-ZDUSSCGKSA-N 0 0 440.482 -0.309 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000494832731 1072648113 /nfs/dbraw/zinc/64/81/13/1072648113.db2.gz FRUFHYQBGGSGJU-JOCHJYFZSA-N 0 0 449.552 -0.120 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000494832738 1072647997 /nfs/dbraw/zinc/64/79/97/1072647997.db2.gz FRUFHYQBGGSGJU-QFIPXVFZSA-N 0 0 449.552 -0.120 20 0 IBADRN Cn1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1OC(F)F ZINC000494833732 1072648154 /nfs/dbraw/zinc/64/81/54/1072648154.db2.gz HPTYZPLFHUBNHN-UHFFFAOYSA-N 0 0 448.408 -0.378 20 0 IBADRN C[C@@]1(c2cccc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)c2)NC(=O)NC1=O ZINC000494837137 1072648516 /nfs/dbraw/zinc/64/85/16/1072648516.db2.gz PFCFFQOBTPIXPH-NRFANRHFSA-N 0 0 429.477 -0.642 20 0 IBADRN C[C@]1(c2cccc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)c2)NC(=O)NC1=O ZINC000494837138 1072648703 /nfs/dbraw/zinc/64/87/03/1072648703.db2.gz PFCFFQOBTPIXPH-OAQYLSRUSA-N 0 0 429.477 -0.642 20 0 IBADRN CN(C)CCn1ccc(=NC(=O)[C@@H]2CC[C@@H](C(=O)N=c3ccn(CCN(C)C)[nH]3)O2)[nH]1 ZINC000494837232 1072648568 /nfs/dbraw/zinc/64/85/68/1072648568.db2.gz OCBBSXMUQXQMBI-HOTGVXAUSA-N 0 0 432.529 -0.829 20 0 IBADRN CN(C)CCn1ccc(=NC(=O)[C@H]2CC[C@H](C(=O)N=c3ccn(CCN(C)C)[nH]3)O2)[nH]1 ZINC000494837234 1072648691 /nfs/dbraw/zinc/64/86/91/1072648691.db2.gz OCBBSXMUQXQMBI-HZPDHXFCSA-N 0 0 432.529 -0.829 20 0 IBADRN CN(C)CCn1ccc(=NC(=O)[C@H]2CC[C@@H](C(=O)N=c3ccn(CCN(C)C)[nH]3)O2)[nH]1 ZINC000494837235 1072648657 /nfs/dbraw/zinc/64/86/57/1072648657.db2.gz OCBBSXMUQXQMBI-IYBDPMFKSA-N 0 0 432.529 -0.829 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCc4nn(C)c(=O)cc4C3)ccc21 ZINC000494843868 1072648616 /nfs/dbraw/zinc/64/86/16/1072648616.db2.gz DIZMVASQWVKJOG-UHFFFAOYSA-N 0 0 425.445 -0.161 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000494870223 1072649410 /nfs/dbraw/zinc/64/94/10/1072649410.db2.gz GZEYAESABINTJU-CVEARBPZSA-N 0 0 448.524 -0.124 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000494870224 1072649202 /nfs/dbraw/zinc/64/92/02/1072649202.db2.gz GZEYAESABINTJU-HOTGVXAUSA-N 0 0 448.524 -0.124 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000494870225 1072649269 /nfs/dbraw/zinc/64/92/69/1072649269.db2.gz GZEYAESABINTJU-HZPDHXFCSA-N 0 0 448.524 -0.124 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000494870226 1072649371 /nfs/dbraw/zinc/64/93/71/1072649371.db2.gz GZEYAESABINTJU-JKSUJKDBSA-N 0 0 448.524 -0.124 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000494882615 1072649908 /nfs/dbraw/zinc/64/99/08/1072649908.db2.gz JOYQDRLMGXIKJN-JGRMJRGVSA-N 0 0 433.465 -0.003 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000494882616 1072649870 /nfs/dbraw/zinc/64/98/70/1072649870.db2.gz JOYQDRLMGXIKJN-JOOBJXAISA-N 0 0 433.465 -0.003 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000494882617 1072649854 /nfs/dbraw/zinc/64/98/54/1072649854.db2.gz JOYQDRLMGXIKJN-PVUDRZGPSA-N 0 0 433.465 -0.003 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000494882618 1072649932 /nfs/dbraw/zinc/64/99/32/1072649932.db2.gz JOYQDRLMGXIKJN-XAAFQQQXSA-N 0 0 433.465 -0.003 20 0 IBADRN COCCN(C(=O)CN(CC(=O)N1CCCC1)C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000494889012 1072649863 /nfs/dbraw/zinc/64/98/63/1072649863.db2.gz AMUUAOFMBKPJTI-MSOLQXFVSA-N 0 0 445.582 -0.248 20 0 IBADRN COCCN(C(=O)CN(CC(=O)N1CCCC1)C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000494889013 1072649846 /nfs/dbraw/zinc/64/98/46/1072649846.db2.gz AMUUAOFMBKPJTI-QZTJIDSGSA-N 0 0 445.582 -0.248 20 0 IBADRN COCCN(C(=O)CN(CC(=O)N1CCCC1)C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000494889014 1072649741 /nfs/dbraw/zinc/64/97/41/1072649741.db2.gz AMUUAOFMBKPJTI-ROUUACIJSA-N 0 0 445.582 -0.248 20 0 IBADRN COCCN(C(=O)CN(CC(=O)N1CCCC1)C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000494889015 1072649914 /nfs/dbraw/zinc/64/99/14/1072649914.db2.gz AMUUAOFMBKPJTI-ZWKOTPCHSA-N 0 0 445.582 -0.248 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000494891388 1072649917 /nfs/dbraw/zinc/64/99/17/1072649917.db2.gz ICDYIHVZPGIYJN-UHFFFAOYSA-N 0 0 429.481 -0.553 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)NCc3nnn(C)n3)cc2)CC1 ZINC000494893049 1072649786 /nfs/dbraw/zinc/64/97/86/1072649786.db2.gz VLPQYQAEXPXDEO-UHFFFAOYSA-N 0 0 430.513 -0.205 20 0 IBADRN CCc1nsc(N2CCN(CC(=O)N(CCOC)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000494898464 1072649882 /nfs/dbraw/zinc/64/98/82/1072649882.db2.gz RUTFRRONTJWTID-AWEZNQCLSA-N 0 0 431.584 -0.115 20 0 IBADRN CCc1nsc(N2CCN(CC(=O)N(CCOC)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000494898465 1072650416 /nfs/dbraw/zinc/65/04/16/1072650416.db2.gz RUTFRRONTJWTID-CQSZACIVSA-N 0 0 431.584 -0.115 20 0 IBADRN C[C@@]1(c2cccc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2)NC(=O)NC1=O ZINC000494909606 1072650371 /nfs/dbraw/zinc/65/03/71/1072650371.db2.gz OXPXCYXDHYTNAT-NRFANRHFSA-N 0 0 429.477 -0.642 20 0 IBADRN C[C@]1(c2cccc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2)NC(=O)NC1=O ZINC000494909608 1072650477 /nfs/dbraw/zinc/65/04/77/1072650477.db2.gz OXPXCYXDHYTNAT-OAQYLSRUSA-N 0 0 429.477 -0.642 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000494909699 1072650333 /nfs/dbraw/zinc/65/03/33/1072650333.db2.gz LYOLYVQLJAKKAU-CABCVRRESA-N 0 0 449.512 -0.729 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000494909700 1072650476 /nfs/dbraw/zinc/65/04/76/1072650476.db2.gz LYOLYVQLJAKKAU-GJZGRUSLSA-N 0 0 449.512 -0.729 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000494909701 1072650432 /nfs/dbraw/zinc/65/04/32/1072650432.db2.gz LYOLYVQLJAKKAU-HUUCEWRRSA-N 0 0 449.512 -0.729 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000494909702 1072651137 /nfs/dbraw/zinc/65/11/37/1072651137.db2.gz LYOLYVQLJAKKAU-LSDHHAIUSA-N 0 0 449.512 -0.729 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC[C@@H](C(=O)NCC(=O)NCc2ccco2)O1)NCc1ccco1 ZINC000494911762 1072651058 /nfs/dbraw/zinc/65/10/58/1072651058.db2.gz VJIQBEBZUJECBF-HOTGVXAUSA-N 0 0 432.433 -0.415 20 0 IBADRN O=C(CNC(=O)[C@H]1CC[C@H](C(=O)NCC(=O)NCc2ccco2)O1)NCc1ccco1 ZINC000494911767 1072651135 /nfs/dbraw/zinc/65/11/35/1072651135.db2.gz VJIQBEBZUJECBF-HZPDHXFCSA-N 0 0 432.433 -0.415 20 0 IBADRN O=C(CNC(=O)[C@H]1CC[C@@H](C(=O)NCC(=O)NCc2ccco2)O1)NCc1ccco1 ZINC000494911769 1072651123 /nfs/dbraw/zinc/65/11/23/1072651123.db2.gz VJIQBEBZUJECBF-IYBDPMFKSA-N 0 0 432.433 -0.415 20 0 IBADRN Nc1ncncc1CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000494915531 1073364102 /nfs/dbraw/zinc/36/41/02/1073364102.db2.gz PBNFZBHHBWSLIQ-UHFFFAOYSA-N 0 0 432.506 -0.012 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000494918901 1072650419 /nfs/dbraw/zinc/65/04/19/1072650419.db2.gz CLSYVQLRWZCNMJ-INIZCTEOSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000494918907 1072650403 /nfs/dbraw/zinc/65/04/03/1072650403.db2.gz CLSYVQLRWZCNMJ-MRXNPFEDSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCO1 ZINC000494921578 1072651044 /nfs/dbraw/zinc/65/10/44/1072651044.db2.gz JJRGIMOJBAVUJJ-HNNXBMFYSA-N 0 0 430.461 -0.376 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCO1 ZINC000494921579 1072651118 /nfs/dbraw/zinc/65/11/18/1072651118.db2.gz JJRGIMOJBAVUJJ-OAHLLOKOSA-N 0 0 430.461 -0.376 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000494924553 1072650996 /nfs/dbraw/zinc/65/09/96/1072650996.db2.gz UMDNOLIWMPMPRU-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000494924554 1072651608 /nfs/dbraw/zinc/65/16/08/1072651608.db2.gz UMDNOLIWMPMPRU-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCN(C(=O)NCC)CC3)O2)CC1 ZINC000494924597 1072651535 /nfs/dbraw/zinc/65/15/35/1072651535.db2.gz USWMPCQMRGJHIU-HOTGVXAUSA-N 0 0 438.529 -0.719 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@H]2CC[C@H](C(=O)N3CCN(C(=O)NCC)CC3)O2)CC1 ZINC000494924598 1072651640 /nfs/dbraw/zinc/65/16/40/1072651640.db2.gz USWMPCQMRGJHIU-HZPDHXFCSA-N 0 0 438.529 -0.719 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C(=O)NCC)CC3)O2)CC1 ZINC000494924599 1072651528 /nfs/dbraw/zinc/65/15/28/1072651528.db2.gz USWMPCQMRGJHIU-IYBDPMFKSA-N 0 0 438.529 -0.719 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CC[C@@H](C(=O)NCCNC(=O)c2ccco2)O1)c1ccco1 ZINC000494935738 1072651567 /nfs/dbraw/zinc/65/15/67/1072651567.db2.gz ZWBPNXHQEKYEPX-HOTGVXAUSA-N 0 0 432.433 -0.188 20 0 IBADRN O=C(NCCNC(=O)[C@H]1CC[C@H](C(=O)NCCNC(=O)c2ccco2)O1)c1ccco1 ZINC000494935739 1072651490 /nfs/dbraw/zinc/65/14/90/1072651490.db2.gz ZWBPNXHQEKYEPX-HZPDHXFCSA-N 0 0 432.433 -0.188 20 0 IBADRN O=C(NCCNC(=O)[C@H]1CC[C@@H](C(=O)NCCNC(=O)c2ccco2)O1)c1ccco1 ZINC000494935740 1072651543 /nfs/dbraw/zinc/65/15/43/1072651543.db2.gz ZWBPNXHQEKYEPX-IYBDPMFKSA-N 0 0 432.433 -0.188 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CCO1 ZINC000494939020 1072651451 /nfs/dbraw/zinc/65/14/51/1072651451.db2.gz QFQZJQISBXIGGK-HNNXBMFYSA-N 0 0 444.510 -0.612 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CCO1 ZINC000494939021 1072651470 /nfs/dbraw/zinc/65/14/70/1072651470.db2.gz QFQZJQISBXIGGK-OAHLLOKOSA-N 0 0 444.510 -0.612 20 0 IBADRN Cn1ncc(Br)c1CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000494944892 1072651587 /nfs/dbraw/zinc/65/15/87/1072651587.db2.gz DKIBOONFQYIGND-GFCCVEGCSA-N 0 0 434.360 -0.397 20 0 IBADRN Cn1ncc(Br)c1CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000494944893 1072651656 /nfs/dbraw/zinc/65/16/56/1072651656.db2.gz DKIBOONFQYIGND-LBPRGKRZSA-N 0 0 434.360 -0.397 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCO[C@H](CC(=O)OC)C2)c(=O)[nH]c1=O ZINC000494954824 1072652065 /nfs/dbraw/zinc/65/20/65/1072652065.db2.gz HJMKLVUSXJXWBI-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCO[C@@H](CC(=O)OC)C2)c(=O)[nH]c1=O ZINC000494954826 1072652220 /nfs/dbraw/zinc/65/22/20/1072652220.db2.gz HJMKLVUSXJXWBI-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000494954861 1072652138 /nfs/dbraw/zinc/65/21/38/1072652138.db2.gz HKUQQNZICMRBIY-UHFFFAOYSA-N 0 0 437.526 -0.362 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCO1 ZINC000494955192 1072651617 /nfs/dbraw/zinc/65/16/17/1072651617.db2.gz BRLMYVVUNSYXIP-APWZRJJASA-N 0 0 447.488 -0.239 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCO1 ZINC000494955207 1072651663 /nfs/dbraw/zinc/65/16/63/1072651663.db2.gz BRLMYVVUNSYXIP-LPHOPBHVSA-N 0 0 447.488 -0.239 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCO1 ZINC000494955210 1072652100 /nfs/dbraw/zinc/65/21/00/1072652100.db2.gz BRLMYVVUNSYXIP-QFBILLFUSA-N 0 0 447.488 -0.239 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCO1 ZINC000494955213 1072652039 /nfs/dbraw/zinc/65/20/39/1072652039.db2.gz BRLMYVVUNSYXIP-VQIMIIECSA-N 0 0 447.488 -0.239 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000494959588 1072652086 /nfs/dbraw/zinc/65/20/86/1072652086.db2.gz QJEGHVAWUQQYTG-HNNXBMFYSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000494959589 1072652160 /nfs/dbraw/zinc/65/21/60/1072652160.db2.gz QJEGHVAWUQQYTG-OAHLLOKOSA-N 0 0 443.497 -0.078 20 0 IBADRN C[C@@]1(c2cccc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)c2)NC(=O)NC1=O ZINC000494960692 1072652109 /nfs/dbraw/zinc/65/21/09/1072652109.db2.gz PISJQDAYKSGJDO-IBGZPJMESA-N 0 0 443.489 -0.011 20 0 IBADRN C[C@]1(c2cccc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)c2)NC(=O)NC1=O ZINC000494960698 1072652211 /nfs/dbraw/zinc/65/22/11/1072652211.db2.gz PISJQDAYKSGJDO-LJQANCHMSA-N 0 0 443.489 -0.011 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000494961108 1072652057 /nfs/dbraw/zinc/65/20/57/1072652057.db2.gz ZFMQNFRYFTZPOX-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000494961110 1072652206 /nfs/dbraw/zinc/65/22/06/1072652206.db2.gz ZFMQNFRYFTZPOX-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCO1 ZINC000494962659 1072652127 /nfs/dbraw/zinc/65/21/27/1072652127.db2.gz WCBYLWRQXGYGAC-GOSISDBHSA-N 0 0 439.534 -0.076 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCO1 ZINC000494962660 1072652023 /nfs/dbraw/zinc/65/20/23/1072652023.db2.gz WCBYLWRQXGYGAC-SFHVURJKSA-N 0 0 439.534 -0.076 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCO1 ZINC000494963234 1072652123 /nfs/dbraw/zinc/65/21/23/1072652123.db2.gz HVAZATWBBFWFGR-INIZCTEOSA-N 0 0 425.507 -0.217 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCO1 ZINC000494963235 1072652047 /nfs/dbraw/zinc/65/20/47/1072652047.db2.gz HVAZATWBBFWFGR-MRXNPFEDSA-N 0 0 425.507 -0.217 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000494964814 1072652746 /nfs/dbraw/zinc/65/27/46/1072652746.db2.gz SOLDWAXQJLOHLN-INIZCTEOSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000494964815 1072652710 /nfs/dbraw/zinc/65/27/10/1072652710.db2.gz SOLDWAXQJLOHLN-MRXNPFEDSA-N 0 0 443.497 -0.078 20 0 IBADRN C[C@@]1(c2cccc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)c2)NC(=O)NC1=O ZINC000494994573 1072653894 /nfs/dbraw/zinc/65/38/94/1072653894.db2.gz ICIJVYHVAKNREB-BEFAXECRSA-N 0 0 438.506 -0.041 20 0 IBADRN C[C@]1(c2cccc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)c2)NC(=O)NC1=O ZINC000494994576 1072653871 /nfs/dbraw/zinc/65/38/71/1072653871.db2.gz ICIJVYHVAKNREB-DNVCBOLYSA-N 0 0 438.506 -0.041 20 0 IBADRN C[C@]1(c2cccc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)c2)NC(=O)NC1=O ZINC000494994578 1072653904 /nfs/dbraw/zinc/65/39/04/1072653904.db2.gz ICIJVYHVAKNREB-HNAYVOBHSA-N 0 0 438.506 -0.041 20 0 IBADRN C[C@@]1(c2cccc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)c2)NC(=O)NC1=O ZINC000494994579 1072653918 /nfs/dbraw/zinc/65/39/18/1072653918.db2.gz ICIJVYHVAKNREB-KXBFYZLASA-N 0 0 438.506 -0.041 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)CC1 ZINC000494995785 1072653927 /nfs/dbraw/zinc/65/39/27/1072653927.db2.gz MIJUREDIHILCBE-UHFFFAOYSA-N 0 0 445.586 -0.041 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)CC2)nn1 ZINC000494997974 1072653797 /nfs/dbraw/zinc/65/37/97/1072653797.db2.gz UZIGUTKTHQCXDB-GFCCVEGCSA-N 0 0 437.482 -0.988 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)CC2)nn1 ZINC000494997975 1072653810 /nfs/dbraw/zinc/65/38/10/1072653810.db2.gz UZIGUTKTHQCXDB-LBPRGKRZSA-N 0 0 437.482 -0.988 20 0 IBADRN O=C(N[C@H]1CCOC2(CCOCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000494998561 1072653339 /nfs/dbraw/zinc/65/33/39/1072653339.db2.gz MPLGWTKTAZPYJO-INIZCTEOSA-N 0 0 432.543 -0.381 20 0 IBADRN O=C(N[C@@H]1CCOC2(CCOCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000494998562 1072653241 /nfs/dbraw/zinc/65/32/41/1072653241.db2.gz MPLGWTKTAZPYJO-MRXNPFEDSA-N 0 0 432.543 -0.381 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCOC4(CCOCC4)C3)CC2)CC1 ZINC000494998590 1072653284 /nfs/dbraw/zinc/65/32/84/1072653284.db2.gz RLGNANUOPMKMNM-KRWDZBQOSA-N 0 0 445.586 -0.466 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCOC4(CCOCC4)C3)CC2)CC1 ZINC000494998591 1072653280 /nfs/dbraw/zinc/65/32/80/1072653280.db2.gz RLGNANUOPMKMNM-QGZVFWFLSA-N 0 0 445.586 -0.466 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCc2cc[nH]c(=O)c2C1 ZINC000495000710 1072653345 /nfs/dbraw/zinc/65/33/45/1072653345.db2.gz YCMPZYYRMGQNCB-UHFFFAOYSA-N 0 0 425.511 -0.104 20 0 IBADRN Cc1cnc(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)s1 ZINC000495003039 1072653319 /nfs/dbraw/zinc/65/33/19/1072653319.db2.gz GHRBKLUTGFOYDH-INIZCTEOSA-N 0 0 427.596 -0.095 20 0 IBADRN Cc1cnc(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)s1 ZINC000495003040 1072653166 /nfs/dbraw/zinc/65/31/66/1072653166.db2.gz GHRBKLUTGFOYDH-MRXNPFEDSA-N 0 0 427.596 -0.095 20 0 IBADRN CNC(=O)Cc1noc(CN2CCN(CCNS(=O)(=O)c3ccc(C)cc3)CC2)n1 ZINC000495004412 1072654316 /nfs/dbraw/zinc/65/43/16/1072654316.db2.gz LYIQQBKIKMFKRW-UHFFFAOYSA-N 0 0 436.538 -0.237 20 0 IBADRN CS(=O)(=O)c1cccnc1CNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000495005897 1072654238 /nfs/dbraw/zinc/65/42/38/1072654238.db2.gz KFFBGONGKCOVNC-CYBMUJFWSA-N 0 0 434.540 -0.227 20 0 IBADRN CS(=O)(=O)c1cccnc1CNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000495005898 1072654227 /nfs/dbraw/zinc/65/42/27/1072654227.db2.gz KFFBGONGKCOVNC-ZDUSSCGKSA-N 0 0 434.540 -0.227 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(c3ccc(C(=O)OC)nn3)CC2)C1 ZINC000495012442 1072654951 /nfs/dbraw/zinc/65/49/51/1072654951.db2.gz LSNMSKRIBWJFNE-AWEZNQCLSA-N 0 0 425.511 -0.027 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(c3ccc(C(=O)OC)nn3)CC2)C1 ZINC000495012443 1072654893 /nfs/dbraw/zinc/65/48/93/1072654893.db2.gz LSNMSKRIBWJFNE-CQSZACIVSA-N 0 0 425.511 -0.027 20 0 IBADRN CCN(C1CCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1)S(C)(=O)=O ZINC000495016835 1072654218 /nfs/dbraw/zinc/65/42/18/1072654218.db2.gz KRKKORHXUPHWON-INIZCTEOSA-N 0 0 439.600 -0.606 20 0 IBADRN CCN(C1CCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1)S(C)(=O)=O ZINC000495016836 1072654311 /nfs/dbraw/zinc/65/43/11/1072654311.db2.gz KRKKORHXUPHWON-MRXNPFEDSA-N 0 0 439.600 -0.606 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000495017351 1072653817 /nfs/dbraw/zinc/65/38/17/1072653817.db2.gz KPQDSRZUJLMWOI-INIZCTEOSA-N 0 0 439.600 -0.606 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000495017354 1072653940 /nfs/dbraw/zinc/65/39/40/1072653940.db2.gz KPQDSRZUJLMWOI-MRXNPFEDSA-N 0 0 439.600 -0.606 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4c(cn3)nc[nH]c4=O)CC2)C[C@H](C)O1 ZINC000495024588 1072655494 /nfs/dbraw/zinc/65/54/94/1072655494.db2.gz DXVQENKDQFYRBT-BETUJISGSA-N 0 0 436.494 -0.158 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4c(cn3)nc[nH]c4=O)CC2)C[C@@H](C)O1 ZINC000495024589 1072655405 /nfs/dbraw/zinc/65/54/05/1072655405.db2.gz DXVQENKDQFYRBT-CHWSQXEVSA-N 0 0 436.494 -0.158 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4c(cn3)nc[nH]c4=O)CC2)C[C@H](C)O1 ZINC000495024590 1072655502 /nfs/dbraw/zinc/65/55/02/1072655502.db2.gz DXVQENKDQFYRBT-STQMWFEESA-N 0 0 436.494 -0.158 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)CN(C)S(=O)(=O)c3cccnc3)CC2)nn1 ZINC000495027135 1072655389 /nfs/dbraw/zinc/65/53/89/1072655389.db2.gz IJXNNWLBOKZCHE-UHFFFAOYSA-N 0 0 434.478 -0.373 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccc(O)c3)CC2)C[C@H](C)O1 ZINC000495027984 1072655470 /nfs/dbraw/zinc/65/54/70/1072655470.db2.gz LYFBHXYFZUMNQF-GASCZTMLSA-N 0 0 440.522 -0.380 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccc(O)c3)CC2)C[C@H](C)O1 ZINC000495028003 1072655429 /nfs/dbraw/zinc/65/54/29/1072655429.db2.gz LYFBHXYFZUMNQF-GJZGRUSLSA-N 0 0 440.522 -0.380 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccc(O)c3)CC2)C[C@@H](C)O1 ZINC000495028004 1072655456 /nfs/dbraw/zinc/65/54/56/1072655456.db2.gz LYFBHXYFZUMNQF-HUUCEWRRSA-N 0 0 440.522 -0.380 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)Cc3c(C)nc4nc(N)nn4c3C)CC2)nn1 ZINC000495029641 1073355599 /nfs/dbraw/zinc/35/55/99/1073355599.db2.gz WALNKYQHIBDQLK-UHFFFAOYSA-N 0 0 425.453 -0.209 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccc(O)c3)CC2)o1 ZINC000495030204 1072655322 /nfs/dbraw/zinc/65/53/22/1072655322.db2.gz XNEHQKADSNZCPU-UHFFFAOYSA-N 0 0 436.446 -0.653 20 0 IBADRN Cc1nn(C)c(-n2cccn2)c1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000495038081 1072655437 /nfs/dbraw/zinc/65/54/37/1072655437.db2.gz NLSQWIUYZVSXAV-UHFFFAOYSA-N 0 0 436.542 -0.836 20 0 IBADRN O=C(CNC(=O)c1cccc(O)c1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000495038208 1072655282 /nfs/dbraw/zinc/65/52/82/1072655282.db2.gz VCUXAZSMCYXTMR-UHFFFAOYSA-N 0 0 447.517 -0.215 20 0 IBADRN CCn1cc(-c2n[nH]cc2C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000495041135 1072656051 /nfs/dbraw/zinc/65/60/51/1072656051.db2.gz DXZUSJIQZQFIKY-UHFFFAOYSA-N 0 0 436.542 -0.457 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000495044933 1072658754 /nfs/dbraw/zinc/65/87/54/1072658754.db2.gz LIRDZGNAFKASKA-UHFFFAOYSA-N 0 0 439.534 -0.091 20 0 IBADRN COCCN(C(=O)CN(C)[C@H](C)c1cccc(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000495047712 1072656465 /nfs/dbraw/zinc/65/64/65/1072656465.db2.gz IAAMAGAVFSUBPA-GDBMZVCRSA-N 0 0 447.579 -0.011 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000495047718 1072656619 /nfs/dbraw/zinc/65/66/19/1072656619.db2.gz IAAMAGAVFSUBPA-GOEBONIOSA-N 0 0 447.579 -0.011 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000495047719 1072656502 /nfs/dbraw/zinc/65/65/02/1072656502.db2.gz IAAMAGAVFSUBPA-HOCLYGCPSA-N 0 0 447.579 -0.011 20 0 IBADRN COCCN(C(=O)CN(C)[C@H](C)c1cccc(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000495047720 1072656498 /nfs/dbraw/zinc/65/64/98/1072656498.db2.gz IAAMAGAVFSUBPA-ZBFHGGJFSA-N 0 0 447.579 -0.011 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2cccc(O)c2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000495049265 1072657803 /nfs/dbraw/zinc/65/78/03/1072657803.db2.gz KHAAYOFIFDCCPN-KRWDZBQOSA-N 0 0 439.534 -0.188 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2cccc(O)c2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000495049266 1072657820 /nfs/dbraw/zinc/65/78/20/1072657820.db2.gz KHAAYOFIFDCCPN-QGZVFWFLSA-N 0 0 439.534 -0.188 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)CCOC(C)C)CC2)nn1 ZINC000495055465 1072660085 /nfs/dbraw/zinc/66/00/85/1072660085.db2.gz GFJBVWYIVWQYSN-UHFFFAOYSA-N 0 0 429.499 -0.744 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCCNS(C)(=O)=O)CC2)c1 ZINC000495057267 1072659985 /nfs/dbraw/zinc/65/99/85/1072659985.db2.gz WBWGJZZSRAHLSU-UHFFFAOYSA-N 0 0 432.568 -0.498 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(CC(=O)N(CCOC)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000495064798 1072660762 /nfs/dbraw/zinc/66/07/62/1072660762.db2.gz ZBTAVESNSWYPLJ-HNNXBMFYSA-N 0 0 445.563 -0.381 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(CC(=O)N(CCOC)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000495064799 1072660744 /nfs/dbraw/zinc/66/07/44/1072660744.db2.gz ZBTAVESNSWYPLJ-OAHLLOKOSA-N 0 0 445.563 -0.381 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(c3ccc(C(=O)OC)nn3)CC2)CC1 ZINC000495074395 1072661759 /nfs/dbraw/zinc/66/17/59/1072661759.db2.gz MCNNYYZFNZMLDB-UHFFFAOYSA-N 0 0 425.511 -0.027 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)CCNS(=O)(=O)c3cccnc3)CC2)nn1 ZINC000495074774 1072661664 /nfs/dbraw/zinc/66/16/64/1072661664.db2.gz SHWVALYFUBJEQG-UHFFFAOYSA-N 0 0 434.478 -0.325 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1ccc([S@](C)=O)cc1 ZINC000495077267 1072661621 /nfs/dbraw/zinc/66/16/21/1072661621.db2.gz DONFYLJRLMHOEH-PMERELPUSA-N 0 0 436.578 -0.141 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1ccc([S@@](C)=O)cc1 ZINC000495077268 1072661790 /nfs/dbraw/zinc/66/17/90/1072661790.db2.gz DONFYLJRLMHOEH-SSEXGKCCSA-N 0 0 436.578 -0.141 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)COCC(=O)NCc1cccnc1 ZINC000495095663 1072662843 /nfs/dbraw/zinc/66/28/43/1072662843.db2.gz YCRROBGYYYQVBD-INIZCTEOSA-N 0 0 427.527 -0.509 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)COCC(=O)NCc1cccnc1 ZINC000495095666 1072662886 /nfs/dbraw/zinc/66/28/86/1072662886.db2.gz YCRROBGYYYQVBD-MRXNPFEDSA-N 0 0 427.527 -0.509 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CN(C)C3=O)CC2)c1 ZINC000495098692 1072662867 /nfs/dbraw/zinc/66/28/67/1072662867.db2.gz GJLWOXVGUFQKOV-UHFFFAOYSA-N 0 0 440.478 -0.569 20 0 IBADRN O=C(CNC(=O)[C@H]1CC[C@H](C(=O)NCC(=O)NCC(F)(F)F)O1)NCC(F)(F)F ZINC000495121725 1072662897 /nfs/dbraw/zinc/66/28/97/1072662897.db2.gz QLIUIRIIZITYEI-HTQZYQBOSA-N 0 0 436.309 -0.877 20 0 IBADRN O=C(CNC(=O)[C@H]1CC[C@@H](C(=O)NCC(=O)NCC(F)(F)F)O1)NCC(F)(F)F ZINC000495121726 1072662813 /nfs/dbraw/zinc/66/28/13/1072662813.db2.gz QLIUIRIIZITYEI-OCAPTIKFSA-N 0 0 436.309 -0.877 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC[C@@H](C(=O)NCC(=O)NCC(F)(F)F)O1)NCC(F)(F)F ZINC000495121727 1072662863 /nfs/dbraw/zinc/66/28/63/1072662863.db2.gz QLIUIRIIZITYEI-YUMQZZPRSA-N 0 0 436.309 -0.877 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000495130919 1072662937 /nfs/dbraw/zinc/66/29/37/1072662937.db2.gz KYDYXFNPDUJYBW-UHFFFAOYSA-N 0 0 425.554 -0.633 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC1CCN(S(=O)(=O)C(C)(C)C)CC1)c(=O)n2C ZINC000495143208 1072663464 /nfs/dbraw/zinc/66/34/64/1072663464.db2.gz XTNIOGRLIKCZEF-UHFFFAOYSA-N 0 0 440.526 -0.857 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC3CCN(S(=O)(=O)C(C)(C)C)CC3)cnc2n(C)c1=O ZINC000495158855 1072663485 /nfs/dbraw/zinc/66/34/85/1072663485.db2.gz SGADHLIRKBSOKO-UHFFFAOYSA-N 0 0 437.522 -0.045 20 0 IBADRN NC(=O)COc1cccc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000495161372 1072663506 /nfs/dbraw/zinc/66/35/06/1072663506.db2.gz GUTJJDDOYVEFNJ-UHFFFAOYSA-N 0 0 432.458 -0.077 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000495162825 1072663544 /nfs/dbraw/zinc/66/35/44/1072663544.db2.gz DJPGGFONBXTKCV-CYBMUJFWSA-N 0 0 448.523 -0.389 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000495162826 1072663551 /nfs/dbraw/zinc/66/35/51/1072663551.db2.gz DJPGGFONBXTKCV-ZDUSSCGKSA-N 0 0 448.523 -0.389 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCC(C)(C)C3)CC2)CC1 ZINC000495164204 1072663555 /nfs/dbraw/zinc/66/35/55/1072663555.db2.gz FWUFNHMENOTRCD-INIZCTEOSA-N 0 0 429.587 -0.292 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCC(C)(C)C3)CC2)CC1 ZINC000495164205 1072663427 /nfs/dbraw/zinc/66/34/27/1072663427.db2.gz FWUFNHMENOTRCD-MRXNPFEDSA-N 0 0 429.587 -0.292 20 0 IBADRN Cn1c2ncn(CC(=O)NC3CCN(S(=O)(=O)C(C)(C)C)CC3)c2c(=O)n(C)c1=O ZINC000495164990 1072663562 /nfs/dbraw/zinc/66/35/62/1072663562.db2.gz QIJCWAHKSYONEN-UHFFFAOYSA-N 0 0 440.526 -0.857 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)c(=O)n(C)c1=O ZINC000495166036 1072663534 /nfs/dbraw/zinc/66/35/34/1072663534.db2.gz UHQJXHRWPGOHHB-UHFFFAOYSA-N 0 0 439.538 -0.252 20 0 IBADRN C[C@H]1C(=O)Nc2cc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2N1C ZINC000495191893 1072664108 /nfs/dbraw/zinc/66/41/08/1072664108.db2.gz MPZNUWYJOPXEJF-AWEZNQCLSA-N 0 0 437.522 -0.202 20 0 IBADRN C[C@@H]1C(=O)Nc2cc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2N1C ZINC000495191894 1072664042 /nfs/dbraw/zinc/66/40/42/1072664042.db2.gz MPZNUWYJOPXEJF-CQSZACIVSA-N 0 0 437.522 -0.202 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000495194989 1072664100 /nfs/dbraw/zinc/66/41/00/1072664100.db2.gz PLGMZHJWFIUQPP-IBGZPJMESA-N 0 0 432.485 -0.765 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000495194992 1072664112 /nfs/dbraw/zinc/66/41/12/1072664112.db2.gz PLGMZHJWFIUQPP-LJQANCHMSA-N 0 0 432.485 -0.765 20 0 IBADRN CC[C@H]1CN(CCNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCO1 ZINC000495196048 1072664075 /nfs/dbraw/zinc/66/40/75/1072664075.db2.gz WZRCSXJNHWMJOE-KRWDZBQOSA-N 0 0 433.575 -0.562 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCO1 ZINC000495196054 1072663952 /nfs/dbraw/zinc/66/39/52/1072663952.db2.gz WZRCSXJNHWMJOE-QGZVFWFLSA-N 0 0 433.575 -0.562 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCCN(CC(=O)N4CCCC4)CC3)cc2n(C)c1=O ZINC000495198887 1072665146 /nfs/dbraw/zinc/66/51/46/1072665146.db2.gz CKZSIPYJKJKPRS-UHFFFAOYSA-N 0 0 442.520 -0.028 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)C1=O ZINC000495199614 1072665100 /nfs/dbraw/zinc/66/51/00/1072665100.db2.gz GHBDVWONNKTZKZ-INIZCTEOSA-N 0 0 438.550 -0.124 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)C1=O ZINC000495199618 1072665133 /nfs/dbraw/zinc/66/51/33/1072665133.db2.gz GHBDVWONNKTZKZ-MRXNPFEDSA-N 0 0 438.550 -0.124 20 0 IBADRN COC(=O)CCc1csc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000495205881 1072666740 /nfs/dbraw/zinc/66/67/40/1072666740.db2.gz XVQKVYVWTHMDRL-UHFFFAOYSA-N 0 0 447.539 -0.025 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)[C@@H](C)C1=O ZINC000495207225 1072666779 /nfs/dbraw/zinc/66/67/79/1072666779.db2.gz BJCVXDSRIXJIEO-AWEZNQCLSA-N 0 0 427.527 -0.323 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)[C@H](C)C1=O ZINC000495207229 1072666804 /nfs/dbraw/zinc/66/68/04/1072666804.db2.gz BJCVXDSRIXJIEO-CQSZACIVSA-N 0 0 427.527 -0.323 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(CC)C(=O)[C@@H]2C)c(=O)[nH]c1=O ZINC000495209879 1072665059 /nfs/dbraw/zinc/66/50/59/1072665059.db2.gz AXEQIJOOSFWKSG-AWEZNQCLSA-N 0 0 438.529 -0.137 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(CC)C(=O)[C@H]2C)c(=O)[nH]c1=O ZINC000495209881 1072665642 /nfs/dbraw/zinc/66/56/42/1072665642.db2.gz AXEQIJOOSFWKSG-CQSZACIVSA-N 0 0 438.529 -0.137 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@@H](C)C1=O ZINC000495225356 1072666836 /nfs/dbraw/zinc/66/68/36/1072666836.db2.gz PDJMRVWCCBHCNI-INIZCTEOSA-N 0 0 438.550 -0.124 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@H](C)C1=O ZINC000495225357 1072666758 /nfs/dbraw/zinc/66/67/58/1072666758.db2.gz PDJMRVWCCBHCNI-MRXNPFEDSA-N 0 0 438.550 -0.124 20 0 IBADRN Cc1cc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nc(N2CCCC2)n1 ZINC000495229821 1072667151 /nfs/dbraw/zinc/66/71/51/1072667151.db2.gz KPZZVRBIVJFWLM-UHFFFAOYSA-N 0 0 443.512 -0.443 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nc(N2CCCC2)n1 ZINC000495230621 1072667345 /nfs/dbraw/zinc/66/73/45/1072667345.db2.gz RRGFRALLUAHPOB-UHFFFAOYSA-N 0 0 445.524 -0.402 20 0 IBADRN CC[C@H]1CN(CCNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC000495231381 1072667767 /nfs/dbraw/zinc/66/77/67/1072667767.db2.gz HSCWYHRZGFGWGX-INIZCTEOSA-N 0 0 428.559 -0.017 20 0 IBADRN CC[C@@H]1CN(CCNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC000495231382 1072667745 /nfs/dbraw/zinc/66/77/45/1072667745.db2.gz HSCWYHRZGFGWGX-MRXNPFEDSA-N 0 0 428.559 -0.017 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000495233893 1072668271 /nfs/dbraw/zinc/66/82/71/1072668271.db2.gz YKIYDGPHYDGCME-CABCVRRESA-N 0 0 425.530 -0.314 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000495233894 1072668435 /nfs/dbraw/zinc/66/84/35/1072668435.db2.gz YKIYDGPHYDGCME-GJZGRUSLSA-N 0 0 425.530 -0.314 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000495233895 1072668395 /nfs/dbraw/zinc/66/83/95/1072668395.db2.gz YKIYDGPHYDGCME-HUUCEWRRSA-N 0 0 425.530 -0.314 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000495233896 1072668390 /nfs/dbraw/zinc/66/83/90/1072668390.db2.gz YKIYDGPHYDGCME-LSDHHAIUSA-N 0 0 425.530 -0.314 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000495235711 1072667844 /nfs/dbraw/zinc/66/78/44/1072667844.db2.gz DDYDBXYJSADREB-UHFFFAOYSA-N 0 0 449.475 -0.159 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495238176 1072668420 /nfs/dbraw/zinc/66/84/20/1072668420.db2.gz KPSOHXQVVXHYDH-AWEZNQCLSA-N 0 0 427.531 -0.870 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495238177 1072668378 /nfs/dbraw/zinc/66/83/78/1072668378.db2.gz KPSOHXQVVXHYDH-CQSZACIVSA-N 0 0 427.531 -0.870 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cc(F)c(O)c(F)c2)c(=O)n1CC(=O)NCCOC ZINC000495245732 1072668401 /nfs/dbraw/zinc/66/84/01/1072668401.db2.gz BWTFNJCMOYDRFJ-UHFFFAOYSA-N 0 0 442.440 -0.171 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nc(N2CCCC2)n1 ZINC000495247471 1072669023 /nfs/dbraw/zinc/66/90/23/1072669023.db2.gz BSLKXOSEZKCWHT-UHFFFAOYSA-N 0 0 443.508 -0.112 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)NCc3nnn(C)n3)CC2)cc1OC ZINC000495254205 1072669630 /nfs/dbraw/zinc/66/96/30/1072669630.db2.gz NXRITXQUGJECFJ-UHFFFAOYSA-N 0 0 439.498 -0.120 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)ncn1 ZINC000495256110 1072669671 /nfs/dbraw/zinc/66/96/71/1072669671.db2.gz LKWCZNJSTANZNS-AWEZNQCLSA-N 0 0 426.481 -0.429 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)ncn1 ZINC000495256114 1072669495 /nfs/dbraw/zinc/66/94/95/1072669495.db2.gz LKWCZNJSTANZNS-CQSZACIVSA-N 0 0 426.481 -0.429 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1cccc(C(=O)N2CCSCC2)c1 ZINC000495256116 1072669614 /nfs/dbraw/zinc/66/96/14/1072669614.db2.gz LLWPKUJXYKYBNI-UHFFFAOYSA-N 0 0 440.547 -0.034 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)cc2n(C)c1=O ZINC000495256917 1072669657 /nfs/dbraw/zinc/66/96/57/1072669657.db2.gz PDPIIQHXDGMBCA-UHFFFAOYSA-N 0 0 431.474 -0.090 20 0 IBADRN COC(=O)CCc1csc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000495262305 1072670220 /nfs/dbraw/zinc/67/02/20/1072670220.db2.gz OXIGLLJUIWHEMV-UHFFFAOYSA-N 0 0 439.494 -0.216 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495271025 1072671139 /nfs/dbraw/zinc/67/11/39/1072671139.db2.gz BPOVSNQCMLVURR-HNNXBMFYSA-N 0 0 441.558 -0.480 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495271026 1072670872 /nfs/dbraw/zinc/67/08/72/1072670872.db2.gz BPOVSNQCMLVURR-OAHLLOKOSA-N 0 0 441.558 -0.480 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)cc1C(N)=O ZINC000495273135 1072670289 /nfs/dbraw/zinc/67/02/89/1072670289.db2.gz UQIVQAOKEJGGTO-AWEZNQCLSA-N 0 0 449.537 -0.302 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)cc1C(N)=O ZINC000495273136 1072670258 /nfs/dbraw/zinc/67/02/58/1072670258.db2.gz UQIVQAOKEJGGTO-CQSZACIVSA-N 0 0 449.537 -0.302 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)ncn1 ZINC000495273366 1072670186 /nfs/dbraw/zinc/67/01/86/1072670186.db2.gz XTOXPPVKHXERNJ-AWEZNQCLSA-N 0 0 426.481 -0.429 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)ncn1 ZINC000495273367 1072670191 /nfs/dbraw/zinc/67/01/91/1072670191.db2.gz XTOXPPVKHXERNJ-CQSZACIVSA-N 0 0 426.481 -0.429 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000495274373 1072671116 /nfs/dbraw/zinc/67/11/16/1072671116.db2.gz UQTRNVFODMGIFR-UHFFFAOYSA-N 0 0 434.478 -0.321 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(C)c1C ZINC000495275368 1072671000 /nfs/dbraw/zinc/67/10/00/1072671000.db2.gz BNTMXKZXTSGLNX-CYBMUJFWSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc(C)c1C ZINC000495275373 1072671160 /nfs/dbraw/zinc/67/11/60/1072671160.db2.gz BNTMXKZXTSGLNX-ZDUSSCGKSA-N 0 0 439.490 -0.162 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCSCC2)c1 ZINC000495287726 1072672121 /nfs/dbraw/zinc/67/21/21/1072672121.db2.gz AUVKXMKLCOMOPU-UHFFFAOYSA-N 0 0 428.536 -0.130 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)o1 ZINC000495289589 1072672614 /nfs/dbraw/zinc/67/26/14/1072672614.db2.gz XCNDIGMTGMJSJI-CYBMUJFWSA-N 0 0 436.494 -0.048 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)o1 ZINC000495289590 1072672667 /nfs/dbraw/zinc/67/26/67/1072672667.db2.gz XCNDIGMTGMJSJI-ZDUSSCGKSA-N 0 0 436.494 -0.048 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495289594 1072672639 /nfs/dbraw/zinc/67/26/39/1072672639.db2.gz XDXZOTZMGSMZNT-HNNXBMFYSA-N 0 0 446.512 -0.477 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495289595 1072672585 /nfs/dbraw/zinc/67/25/85/1072672585.db2.gz XDXZOTZMGSMZNT-OAHLLOKOSA-N 0 0 446.512 -0.477 20 0 IBADRN CCOC(=O)C(NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)OCC ZINC000495290578 1072671099 /nfs/dbraw/zinc/67/10/99/1072671099.db2.gz VMRCGULALNTVFO-UHFFFAOYSA-N 0 0 448.519 -0.078 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000495295528 1072673174 /nfs/dbraw/zinc/67/31/74/1072673174.db2.gz XZHHPQCGSSLBSV-UHFFFAOYSA-N 0 0 425.283 -0.050 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)cc2n(C)c1=O ZINC000495298401 1072673095 /nfs/dbraw/zinc/67/30/95/1072673095.db2.gz COSLTYOGHFYONS-UHFFFAOYSA-N 0 0 431.474 -0.178 20 0 IBADRN CCOC(=O)C(NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)C(=O)OCC ZINC000495299172 1072673692 /nfs/dbraw/zinc/67/36/92/1072673692.db2.gz LFVOXVZRVYPAPQ-UHFFFAOYSA-N 0 0 433.508 -0.023 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)c1 ZINC000495314469 1072675928 /nfs/dbraw/zinc/67/59/28/1072675928.db2.gz JXVCKJOTYZRYDW-UHFFFAOYSA-N 0 0 425.419 -0.378 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)cc2n(C)c1=O ZINC000495318934 1072675960 /nfs/dbraw/zinc/67/59/60/1072675960.db2.gz HGVKBKIAYOJBPI-UHFFFAOYSA-N 0 0 437.460 -0.342 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc(C)c1C ZINC000495330827 1072676646 /nfs/dbraw/zinc/67/66/46/1072676646.db2.gz COCKUIWMHOSKRM-UHFFFAOYSA-N 0 0 430.461 -0.022 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000495333302 1072676617 /nfs/dbraw/zinc/67/66/17/1072676617.db2.gz VFUQGYDDOQZKRZ-AWEZNQCLSA-N 0 0 430.509 -0.126 20 0 IBADRN CCCC(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000495339714 1072677924 /nfs/dbraw/zinc/67/79/24/1072677924.db2.gz BHGDLNYCMSPTEK-UHFFFAOYSA-N 0 0 429.587 -0.339 20 0 IBADRN C[C@@H](Sc1nnnn1C1CC1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000495339823 1072676720 /nfs/dbraw/zinc/67/67/20/1072676720.db2.gz DRVWLIXZMJYUFO-CYBMUJFWSA-N 0 0 444.587 -0.875 20 0 IBADRN C[C@H](Sc1nnnn1C1CC1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000495339824 1072677384 /nfs/dbraw/zinc/67/73/84/1072677384.db2.gz DRVWLIXZMJYUFO-ZDUSSCGKSA-N 0 0 444.587 -0.875 20 0 IBADRN C[C@H](NC(=O)C1CCCCC1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000495340595 1072676662 /nfs/dbraw/zinc/67/66/62/1072676662.db2.gz VLTJGZLGNAPYMJ-INIZCTEOSA-N 0 0 429.587 -0.292 20 0 IBADRN C[C@@H](NC(=O)C1CCCCC1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000495340596 1072676867 /nfs/dbraw/zinc/67/68/67/1072676867.db2.gz VLTJGZLGNAPYMJ-MRXNPFEDSA-N 0 0 429.587 -0.292 20 0 IBADRN CCCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000495340703 1072676753 /nfs/dbraw/zinc/67/67/53/1072676753.db2.gz XVMMIGKXUBDHJR-QGZVFWFLSA-N 0 0 429.587 -0.196 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)c(C)c(C(=O)OC)c2)CC1 ZINC000495345975 1072678002 /nfs/dbraw/zinc/67/80/02/1072678002.db2.gz ARDFUUQAMDXCPN-UHFFFAOYSA-N 0 0 434.493 -0.065 20 0 IBADRN O=C(NCc1ncoc1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000495347115 1072677732 /nfs/dbraw/zinc/67/77/32/1072677732.db2.gz HGODPXHYAYELKG-UHFFFAOYSA-N 0 0 425.445 -0.093 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC1CCC(F)(F)CC1)C2 ZINC000495350185 1072677793 /nfs/dbraw/zinc/67/77/93/1072677793.db2.gz ZNSRBMQSGLPTDP-UHFFFAOYSA-N 0 0 428.440 -0.583 20 0 IBADRN O=C(NCC1CCC(F)(F)CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000495355019 1072678467 /nfs/dbraw/zinc/67/84/67/1072678467.db2.gz YGAMVYGLEHYNPW-UHFFFAOYSA-N 0 0 430.452 -0.542 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ncoc2-c2ccccc2)CC1)N1CCOCC1 ZINC000495359935 1072679065 /nfs/dbraw/zinc/67/90/65/1072679065.db2.gz XDQVYFBSLPBYIX-UHFFFAOYSA-N 0 0 441.488 -0.039 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000495396258 1072680703 /nfs/dbraw/zinc/68/07/03/1072680703.db2.gz NGNNBVLVGVSRKU-UHFFFAOYSA-N 0 0 434.515 -0.426 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ncoc2-c2ccccc2)CC1 ZINC000495402194 1072680762 /nfs/dbraw/zinc/68/07/62/1072680762.db2.gz PPIQXTUQWMVASY-UHFFFAOYSA-N 0 0 441.488 -0.039 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1C(=O)OC ZINC000495403147 1072681515 /nfs/dbraw/zinc/68/15/15/1072681515.db2.gz ACLVFSIUNLGBQZ-GFCCVEGCSA-N 0 0 428.419 -0.688 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1C(=O)OC ZINC000495403148 1072681538 /nfs/dbraw/zinc/68/15/38/1072681538.db2.gz ACLVFSIUNLGBQZ-LBPRGKRZSA-N 0 0 428.419 -0.688 20 0 IBADRN COCC(=O)N1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC000495405870 1072681359 /nfs/dbraw/zinc/68/13/59/1072681359.db2.gz CIOGZGIUAKVNAM-KRWDZBQOSA-N 0 0 447.540 -0.218 20 0 IBADRN COCC(=O)N1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC000495405871 1072681378 /nfs/dbraw/zinc/68/13/78/1072681378.db2.gz CIOGZGIUAKVNAM-QGZVFWFLSA-N 0 0 447.540 -0.218 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000495405995 1072681460 /nfs/dbraw/zinc/68/14/60/1072681460.db2.gz DKBOASDQNKIAQX-CVEARBPZSA-N 0 0 434.497 -0.135 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000495405996 1072681475 /nfs/dbraw/zinc/68/14/75/1072681475.db2.gz DKBOASDQNKIAQX-HOTGVXAUSA-N 0 0 434.497 -0.135 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000495405997 1072681411 /nfs/dbraw/zinc/68/14/11/1072681411.db2.gz DKBOASDQNKIAQX-HZPDHXFCSA-N 0 0 434.497 -0.135 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000495405998 1072681321 /nfs/dbraw/zinc/68/13/21/1072681321.db2.gz DKBOASDQNKIAQX-JKSUJKDBSA-N 0 0 434.497 -0.135 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)NC(=O)NC1=O ZINC000495409118 1072680899 /nfs/dbraw/zinc/68/08/99/1072680899.db2.gz NNJONJUZNCAOKI-BLLLJJGKSA-N 0 0 433.556 -0.473 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)NC(=O)NC1=O ZINC000495409119 1072681440 /nfs/dbraw/zinc/68/14/40/1072681440.db2.gz NNJONJUZNCAOKI-LRDDRELGSA-N 0 0 433.556 -0.473 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)NC(=O)NC1=O ZINC000495409120 1072681388 /nfs/dbraw/zinc/68/13/88/1072681388.db2.gz NNJONJUZNCAOKI-MLGOLLRUSA-N 0 0 433.556 -0.473 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)NC(=O)NC1=O ZINC000495409121 1072681522 /nfs/dbraw/zinc/68/15/22/1072681522.db2.gz NNJONJUZNCAOKI-WBMJQRKESA-N 0 0 433.556 -0.473 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000495410098 1072681404 /nfs/dbraw/zinc/68/14/04/1072681404.db2.gz SVMHOUSUFDMFJT-UHFFFAOYSA-N 0 0 427.502 -0.176 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)ncn1 ZINC000495415782 1072681396 /nfs/dbraw/zinc/68/13/96/1072681396.db2.gz TZIIWWBEFAYQLE-INIZCTEOSA-N 0 0 428.501 -0.452 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)ncn1 ZINC000495415783 1072681507 /nfs/dbraw/zinc/68/15/07/1072681507.db2.gz TZIIWWBEFAYQLE-MRXNPFEDSA-N 0 0 428.501 -0.452 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC)CC2)cc1 ZINC000495416756 1072681373 /nfs/dbraw/zinc/68/13/73/1072681373.db2.gz HXBKHFQKQJZXKO-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000495417024 1072681529 /nfs/dbraw/zinc/68/15/29/1072681529.db2.gz NCGWFYUYSGKSQU-UHFFFAOYSA-N 0 0 430.552 -0.477 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495422063 1072682178 /nfs/dbraw/zinc/68/21/78/1072682178.db2.gz XTSPDRUIBAQUJV-KRWDZBQOSA-N 0 0 447.540 -0.569 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495422064 1072682023 /nfs/dbraw/zinc/68/20/23/1072682023.db2.gz XTSPDRUIBAQUJV-QGZVFWFLSA-N 0 0 447.540 -0.569 20 0 IBADRN CC(C)C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1nc(-c2nc[nH]n2)no1 ZINC000495423165 1072682005 /nfs/dbraw/zinc/68/20/05/1072682005.db2.gz QVLQEOKBFBTKFD-JTQLQIEISA-N 0 0 442.440 -0.495 20 0 IBADRN CC(C)C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1nc(-c2nc[nH]n2)no1 ZINC000495423166 1072682233 /nfs/dbraw/zinc/68/22/33/1072682233.db2.gz QVLQEOKBFBTKFD-SNVBAGLBSA-N 0 0 442.440 -0.495 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495426126 1072682072 /nfs/dbraw/zinc/68/20/72/1072682072.db2.gz JQANYJDIOHQWSR-KRWDZBQOSA-N 0 0 447.540 -0.218 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000495426127 1072682236 /nfs/dbraw/zinc/68/22/36/1072682236.db2.gz JQANYJDIOHQWSR-QGZVFWFLSA-N 0 0 447.540 -0.218 20 0 IBADRN O=C(NCCCN1CCNC(=O)C1)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000495426211 1072682045 /nfs/dbraw/zinc/68/20/45/1072682045.db2.gz APHMDAUOETXNDJ-KRWDZBQOSA-N 0 0 428.493 -0.526 20 0 IBADRN O=C(NCCCN1CCNC(=O)C1)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000495426214 1072682063 /nfs/dbraw/zinc/68/20/63/1072682063.db2.gz APHMDAUOETXNDJ-QGZVFWFLSA-N 0 0 428.493 -0.526 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCCCN3CCNC(=O)C3)nc2n(CC)c1=O ZINC000495427729 1073352148 /nfs/dbraw/zinc/35/21/48/1073352148.db2.gz RVIVEMNWQLCXFU-UHFFFAOYSA-N 0 0 445.524 -0.105 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000495428016 1072682098 /nfs/dbraw/zinc/68/20/98/1072682098.db2.gz URYDKJBQZCKLSK-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000495428292 1072682116 /nfs/dbraw/zinc/68/21/16/1072682116.db2.gz WKGXWFMRAQCDPE-UHFFFAOYSA-N 0 0 428.602 -0.664 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000495431085 1072682204 /nfs/dbraw/zinc/68/22/04/1072682204.db2.gz AFKDEHWZNZFBSV-CHWSQXEVSA-N 0 0 428.602 -0.475 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000495431086 1072682145 /nfs/dbraw/zinc/68/21/45/1072682145.db2.gz AFKDEHWZNZFBSV-OLZOCXBDSA-N 0 0 428.602 -0.475 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000495431087 1072682820 /nfs/dbraw/zinc/68/28/20/1072682820.db2.gz AFKDEHWZNZFBSV-QWHCGFSZSA-N 0 0 428.602 -0.475 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000495431088 1072682758 /nfs/dbraw/zinc/68/27/58/1072682758.db2.gz AFKDEHWZNZFBSV-STQMWFEESA-N 0 0 428.602 -0.475 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000495432291 1072682822 /nfs/dbraw/zinc/68/28/22/1072682822.db2.gz WAPLNJZCCMVQMS-UHFFFAOYSA-N 0 0 430.552 -0.451 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCCN1CCNC(=O)C1 ZINC000495432411 1072682824 /nfs/dbraw/zinc/68/28/24/1072682824.db2.gz XSXNDFQCROPHLZ-UHFFFAOYSA-N 0 0 426.495 -0.936 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCCN2CCNC(=O)C2)cc1 ZINC000495446109 1072682728 /nfs/dbraw/zinc/68/27/28/1072682728.db2.gz JPXLANYSSUMCHM-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)NCCCN2CCNC(=O)C2)C(C)C)cc1 ZINC000495448768 1072682828 /nfs/dbraw/zinc/68/28/28/1072682828.db2.gz WJLIWFHMWAIVRW-SFHVURJKSA-N 0 0 426.539 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000495451658 1072682814 /nfs/dbraw/zinc/68/28/14/1072682814.db2.gz KTOPAKNRFLXXQY-CABCVRRESA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000495451659 1072682811 /nfs/dbraw/zinc/68/28/11/1072682811.db2.gz KTOPAKNRFLXXQY-GJZGRUSLSA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000495451660 1072682797 /nfs/dbraw/zinc/68/27/97/1072682797.db2.gz KTOPAKNRFLXXQY-HUUCEWRRSA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000495451661 1072682740 /nfs/dbraw/zinc/68/27/40/1072682740.db2.gz KTOPAKNRFLXXQY-LSDHHAIUSA-N 0 0 443.547 -0.411 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCCN2CCNC(=O)C2)C1 ZINC000495451905 1072683272 /nfs/dbraw/zinc/68/32/72/1072683272.db2.gz ONUOVLGOCNRIHP-HNNXBMFYSA-N 0 0 427.527 -0.370 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCCN2CCNC(=O)C2)C1 ZINC000495451906 1072683127 /nfs/dbraw/zinc/68/31/27/1072683127.db2.gz ONUOVLGOCNRIHP-OAHLLOKOSA-N 0 0 427.527 -0.370 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H](C(=O)Nc3cn[nH]c3)c3ccccc3)c2c(=O)n(C)c1=O ZINC000495452089 1072683254 /nfs/dbraw/zinc/68/32/54/1072683254.db2.gz RLWAAQBTBVSJGK-HNNXBMFYSA-N 0 0 436.432 -0.347 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H](C(=O)Nc3cn[nH]c3)c3ccccc3)c2c(=O)n(C)c1=O ZINC000495452090 1072683143 /nfs/dbraw/zinc/68/31/43/1072683143.db2.gz RLWAAQBTBVSJGK-OAHLLOKOSA-N 0 0 436.432 -0.347 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCCCN3CCNC(=O)C3)n(C)c2)C1 ZINC000495462476 1072683292 /nfs/dbraw/zinc/68/32/92/1072683292.db2.gz ROXAPFMWZPJOQV-HNNXBMFYSA-N 0 0 425.555 -0.003 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCCCN3CCNC(=O)C3)n(C)c2)C1 ZINC000495462477 1072683217 /nfs/dbraw/zinc/68/32/17/1072683217.db2.gz ROXAPFMWZPJOQV-OAHLLOKOSA-N 0 0 425.555 -0.003 20 0 IBADRN Cc1ccc([C@H](C)[C@H](O)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000495476995 1072683906 /nfs/dbraw/zinc/68/39/06/1072683906.db2.gz BGTWTULYUICLIE-HQRMLTQVSA-N 0 0 437.562 -0.093 20 0 IBADRN Cc1ccc([C@H](C)[C@@H](O)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000495476996 1072683853 /nfs/dbraw/zinc/68/38/53/1072683853.db2.gz BGTWTULYUICLIE-ILZDJORESA-N 0 0 437.562 -0.093 20 0 IBADRN Cc1ccc([C@H](C)[C@H](O)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000495476997 1072683842 /nfs/dbraw/zinc/68/38/42/1072683842.db2.gz BGTWTULYUICLIE-QRFRQXIXSA-N 0 0 437.562 -0.093 20 0 IBADRN Cc1ccc([C@H](C)[C@@H](O)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000495476998 1072683903 /nfs/dbraw/zinc/68/39/03/1072683903.db2.gz BGTWTULYUICLIE-XKGZKEIXSA-N 0 0 437.562 -0.093 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cccc(CN4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000495489686 1072683922 /nfs/dbraw/zinc/68/39/22/1072683922.db2.gz RQWNEVOSTGQXDH-UHFFFAOYSA-N 0 0 440.504 -0.028 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000495497257 1072684309 /nfs/dbraw/zinc/68/43/09/1072684309.db2.gz OZYMLYBMIJTLRU-IBGZPJMESA-N 0 0 439.516 -0.177 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000495497258 1072684436 /nfs/dbraw/zinc/68/44/36/1072684436.db2.gz OZYMLYBMIJTLRU-LJQANCHMSA-N 0 0 439.516 -0.177 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000495500914 1072684363 /nfs/dbraw/zinc/68/43/63/1072684363.db2.gz DDOONEHKOGJILQ-UHFFFAOYSA-N 0 0 444.579 -0.408 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000495500935 1072684318 /nfs/dbraw/zinc/68/43/18/1072684318.db2.gz DFBXUXDISBGSGS-UHFFFAOYSA-N 0 0 430.552 -0.337 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)n1cccn1 ZINC000495505204 1072684251 /nfs/dbraw/zinc/68/42/51/1072684251.db2.gz ACHUXTCCULXLPT-HNNXBMFYSA-N 0 0 426.477 -0.209 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)n1cccn1 ZINC000495505205 1072684397 /nfs/dbraw/zinc/68/43/97/1072684397.db2.gz ACHUXTCCULXLPT-OAHLLOKOSA-N 0 0 426.477 -0.209 20 0 IBADRN COc1cc(C(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cc(OC)c1OCC(N)=O ZINC000495505741 1072684405 /nfs/dbraw/zinc/68/44/05/1072684405.db2.gz NKPZFUPLYCSNFM-HNNXBMFYSA-N 0 0 434.493 -0.054 20 0 IBADRN COc1cc(C(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cc(OC)c1OCC(N)=O ZINC000495505742 1072684331 /nfs/dbraw/zinc/68/43/31/1072684331.db2.gz NKPZFUPLYCSNFM-OAHLLOKOSA-N 0 0 434.493 -0.054 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)C1=O ZINC000495505982 1072685181 /nfs/dbraw/zinc/68/51/81/1072685181.db2.gz SPMUZXJYXMVPJR-IBGZPJMESA-N 0 0 441.554 -0.028 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)C1=O ZINC000495505983 1072684993 /nfs/dbraw/zinc/68/49/93/1072684993.db2.gz SPMUZXJYXMVPJR-LJQANCHMSA-N 0 0 441.554 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000495505985 1072685115 /nfs/dbraw/zinc/68/51/15/1072685115.db2.gz SQWTUALQNAFCOW-INIZCTEOSA-N 0 0 441.554 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000495505986 1072684924 /nfs/dbraw/zinc/68/49/24/1072684924.db2.gz SQWTUALQNAFCOW-MRXNPFEDSA-N 0 0 441.554 -0.028 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)CC1 ZINC000495505989 1072685221 /nfs/dbraw/zinc/68/52/21/1072685221.db2.gz SSIHWLRWCJOTRQ-UHFFFAOYSA-N 0 0 436.600 -0.077 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(S(=O)(=O)N3CCCCCC3)CC1)c2=O ZINC000495506555 1072685161 /nfs/dbraw/zinc/68/51/61/1072685161.db2.gz YGJJUWNSTJRRQF-UHFFFAOYSA-N 0 0 437.526 -0.605 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)C1=O ZINC000495521380 1072685795 /nfs/dbraw/zinc/68/57/95/1072685795.db2.gz NRHYHOYVERJRFT-UHFFFAOYSA-N 0 0 429.543 -0.074 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)nn1 ZINC000495521555 1072685730 /nfs/dbraw/zinc/68/57/30/1072685730.db2.gz PFGDVWAIVGWOPG-UHFFFAOYSA-N 0 0 427.531 -0.755 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000495522421 1072684973 /nfs/dbraw/zinc/68/49/73/1072684973.db2.gz XQCKGZWZAARIGX-UHFFFAOYSA-N 0 0 426.539 -0.186 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000495533141 1072686288 /nfs/dbraw/zinc/68/62/88/1072686288.db2.gz AZQHRNQHYMTJNP-KRWDZBQOSA-N 0 0 439.538 -0.586 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000495533149 1072686359 /nfs/dbraw/zinc/68/63/59/1072686359.db2.gz AZQHRNQHYMTJNP-QGZVFWFLSA-N 0 0 439.538 -0.586 20 0 IBADRN O=C(CSCC(=O)N1CCOCC1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000495533252 1072686332 /nfs/dbraw/zinc/68/63/32/1072686332.db2.gz CREPGPGDHRIEMA-UHFFFAOYSA-N 0 0 434.518 -0.533 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000495535259 1072686479 /nfs/dbraw/zinc/68/64/79/1072686479.db2.gz QBWVORJKNXKALS-GFCCVEGCSA-N 0 0 428.287 -0.524 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000495535260 1072686443 /nfs/dbraw/zinc/68/64/43/1072686443.db2.gz QBWVORJKNXKALS-LBPRGKRZSA-N 0 0 428.287 -0.524 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000495535559 1072686501 /nfs/dbraw/zinc/68/65/01/1072686501.db2.gz SQJFUDCDIHKFMK-UHFFFAOYSA-N 0 0 441.554 -0.028 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000495535685 1072686273 /nfs/dbraw/zinc/68/62/73/1072686273.db2.gz VYSICWLYFVQXQS-UHFFFAOYSA-N 0 0 441.554 -0.841 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000495535838 1072686323 /nfs/dbraw/zinc/68/63/23/1072686323.db2.gz ZBHZJTYHINVDOG-UHFFFAOYSA-N 0 0 443.522 -0.753 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)c1 ZINC000495550203 1072687068 /nfs/dbraw/zinc/68/70/68/1072687068.db2.gz GKYVVGCPIGXRMB-GOSISDBHSA-N 0 0 438.550 -0.010 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)c1 ZINC000495550204 1072686858 /nfs/dbraw/zinc/68/68/58/1072686858.db2.gz GKYVVGCPIGXRMB-SFHVURJKSA-N 0 0 438.550 -0.010 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000495550644 1072686890 /nfs/dbraw/zinc/68/68/90/1072686890.db2.gz JASWOBAZOZTXQB-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C3CCC4(CC3)NC(=O)NC4=O)C2)cc1 ZINC000495563186 1072687586 /nfs/dbraw/zinc/68/75/86/1072687586.db2.gz ARTWVIPCJZTIMZ-UHFFFAOYSA-N 0 0 436.490 -0.047 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(C(=O)CO)CC2)o1 ZINC000495564268 1072687667 /nfs/dbraw/zinc/68/76/67/1072687667.db2.gz IOEQZLFWNQMXLX-CYBMUJFWSA-N 0 0 443.478 -0.728 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(C(=O)CO)CC2)o1 ZINC000495564299 1072687602 /nfs/dbraw/zinc/68/76/02/1072687602.db2.gz IOEQZLFWNQMXLX-ZDUSSCGKSA-N 0 0 443.478 -0.728 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CCc3nc(-c4nc[nH]n4)no3)C2)cc1 ZINC000495564305 1072687469 /nfs/dbraw/zinc/68/74/69/1072687469.db2.gz IQGKFHWICLOMKA-UHFFFAOYSA-N 0 0 433.450 -0.015 20 0 IBADRN O=C(CSCC(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1)N1CCOCC1 ZINC000495566525 1072688699 /nfs/dbraw/zinc/68/86/99/1072688699.db2.gz XBXXUXHVIWEWNU-UHFFFAOYSA-N 0 0 448.611 -0.157 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)co1 ZINC000495581596 1072689830 /nfs/dbraw/zinc/68/98/30/1072689830.db2.gz YNGXMSCEVRKPGF-UHFFFAOYSA-N 0 0 429.476 -0.001 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CNS(=O)(=O)CCOC(C)C)C2)cc1 ZINC000495594905 1072689887 /nfs/dbraw/zinc/68/98/87/1072689887.db2.gz OYNHUJLXGLYJJY-UHFFFAOYSA-N 0 0 449.551 -0.471 20 0 IBADRN COCCn1nc(C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)ccc1=O ZINC000495604689 1072690742 /nfs/dbraw/zinc/69/07/42/1072690742.db2.gz CNCJZRXRRPUTTP-UHFFFAOYSA-N 0 0 427.527 -0.232 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)Cn3nnc4ccccc4c3=O)C2)cc1 ZINC000495619932 1072692615 /nfs/dbraw/zinc/69/26/15/1072692615.db2.gz OIXDFBSPUGBGAB-UHFFFAOYSA-N 0 0 429.458 -0.011 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CN(C)c3ncnc4nc[nH]c43)C2)cc1 ZINC000495634437 1072693080 /nfs/dbraw/zinc/69/30/80/1072693080.db2.gz DZOBQICZUYCFRN-UHFFFAOYSA-N 0 0 431.478 -0.013 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)CN2CCN(S(C)(=O)=O)CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000495635475 1072692880 /nfs/dbraw/zinc/69/28/80/1072692880.db2.gz KJSZFBXJVHXIFB-CRAIPNDOSA-N 0 0 430.477 -0.070 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)CN2CCN(S(C)(=O)=O)CC2)[C@H]1c1ccc(F)c(F)c1 ZINC000495635476 1072692903 /nfs/dbraw/zinc/69/29/03/1072692903.db2.gz KJSZFBXJVHXIFB-MAUKXSAKSA-N 0 0 430.477 -0.070 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)CN2CCN(S(C)(=O)=O)CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000495635477 1072692909 /nfs/dbraw/zinc/69/29/09/1072692909.db2.gz KJSZFBXJVHXIFB-QAPCUYQASA-N 0 0 430.477 -0.070 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)CN2CCN(S(C)(=O)=O)CC2)[C@@H]1c1ccc(F)c(F)c1 ZINC000495635478 1072693004 /nfs/dbraw/zinc/69/30/04/1072693004.db2.gz KJSZFBXJVHXIFB-YJBOKZPZSA-N 0 0 430.477 -0.070 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(F)cc1F ZINC000495666390 1072693630 /nfs/dbraw/zinc/69/36/30/1072693630.db2.gz DFWCUSSRMZEQOP-NSHDSACASA-N 0 0 436.419 -0.369 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)c3ccc(S(N)(=O)=O)nc3)C2)cc1 ZINC000495682595 1072694217 /nfs/dbraw/zinc/69/42/17/1072694217.db2.gz RTBVHCPMVAGYCN-UHFFFAOYSA-N 0 0 426.476 -0.460 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(F)cc1F ZINC000495684110 1072694127 /nfs/dbraw/zinc/69/41/27/1072694127.db2.gz PGXBEULTZBBWIW-ZDUSSCGKSA-N 0 0 438.431 -0.328 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)c3ccnc4c(C(N)=O)cnn43)C2)cc1 ZINC000495695565 1072694679 /nfs/dbraw/zinc/69/46/79/1072694679.db2.gz DQCCUWQQUHJXEF-UHFFFAOYSA-N 0 0 430.446 -0.360 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000495695741 1072694693 /nfs/dbraw/zinc/69/46/93/1072694693.db2.gz FSOLQQSZMIMAIQ-UHFFFAOYSA-N 0 0 435.524 -0.860 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CN(C)[C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000495713260 1072695387 /nfs/dbraw/zinc/69/53/87/1072695387.db2.gz PVADTPZURKNMHF-AWEZNQCLSA-N 0 0 431.536 -0.697 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000495713275 1072695330 /nfs/dbraw/zinc/69/53/30/1072695330.db2.gz PVADTPZURKNMHF-CQSZACIVSA-N 0 0 431.536 -0.697 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCN(C(=O)CO)CC1 ZINC000495713929 1072695395 /nfs/dbraw/zinc/69/53/95/1072695395.db2.gz UPNJLRMWDJGZCK-SFHVURJKSA-N 0 0 441.506 -0.576 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CCn3cc(S(N)(=O)=O)cn3)C2)cc1 ZINC000495730982 1072697683 /nfs/dbraw/zinc/69/76/83/1072697683.db2.gz XELXLOCLHANIIR-UHFFFAOYSA-N 0 0 443.507 -0.882 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(CC(=O)N2CCCC2)C[C@@H]2CCCO2)cn1C ZINC000495741037 1072699392 /nfs/dbraw/zinc/69/93/92/1072699392.db2.gz CFOJDNQIXPOYLI-HNNXBMFYSA-N 0 0 427.527 -0.363 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(CC(=O)N2CCCC2)C[C@H]2CCCO2)cn1C ZINC000495741038 1072699362 /nfs/dbraw/zinc/69/93/62/1072699362.db2.gz CFOJDNQIXPOYLI-OAHLLOKOSA-N 0 0 427.527 -0.363 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(CC(=O)N1CCCC1)C[C@@H]1CCCO1 ZINC000495744224 1072699345 /nfs/dbraw/zinc/69/93/45/1072699345.db2.gz XTOYEDQNQFBCPN-CABCVRRESA-N 0 0 427.527 -0.283 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(CC(=O)N1CCCC1)C[C@@H]1CCCO1 ZINC000495744225 1072699398 /nfs/dbraw/zinc/69/93/98/1072699398.db2.gz XTOYEDQNQFBCPN-GJZGRUSLSA-N 0 0 427.527 -0.283 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(CC(=O)N1CCCC1)C[C@H]1CCCO1 ZINC000495744226 1072699488 /nfs/dbraw/zinc/69/94/88/1072699488.db2.gz XTOYEDQNQFBCPN-HUUCEWRRSA-N 0 0 427.527 -0.283 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(CC(=O)N1CCCC1)C[C@H]1CCCO1 ZINC000495744227 1072699416 /nfs/dbraw/zinc/69/94/16/1072699416.db2.gz XTOYEDQNQFBCPN-LSDHHAIUSA-N 0 0 427.527 -0.283 20 0 IBADRN Cn1c2c(ccn2CC(=O)N(CC(=O)N2CCCC2)C[C@@H]2CCCO2)c(=O)n(C)c1=O ZINC000495758165 1072700856 /nfs/dbraw/zinc/70/08/56/1072700856.db2.gz SUMNWTLMCWGRJD-HNNXBMFYSA-N 0 0 431.493 -0.331 20 0 IBADRN Cn1c2c(ccn2CC(=O)N(CC(=O)N2CCCC2)C[C@H]2CCCO2)c(=O)n(C)c1=O ZINC000495758166 1072701046 /nfs/dbraw/zinc/70/10/46/1072701046.db2.gz SUMNWTLMCWGRJD-OAHLLOKOSA-N 0 0 431.493 -0.331 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccc(C)o1 ZINC000495762956 1072700392 /nfs/dbraw/zinc/70/03/92/1072700392.db2.gz FXNUAADFJKGKLQ-DLBZAZTESA-N 0 0 436.509 -0.217 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccc(C)o1 ZINC000495762958 1072700411 /nfs/dbraw/zinc/70/04/11/1072700411.db2.gz FXNUAADFJKGKLQ-IAGOWNOFSA-N 0 0 436.509 -0.217 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccc(C)o1 ZINC000495762959 1072700403 /nfs/dbraw/zinc/70/04/03/1072700403.db2.gz FXNUAADFJKGKLQ-IRXDYDNUSA-N 0 0 436.509 -0.217 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccc(C)o1 ZINC000495762960 1072700329 /nfs/dbraw/zinc/70/03/29/1072700329.db2.gz FXNUAADFJKGKLQ-SJORKVTESA-N 0 0 436.509 -0.217 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1ccco1 ZINC000495781397 1072702148 /nfs/dbraw/zinc/70/21/48/1072702148.db2.gz AEUSSIMIIGSXNO-CABCVRRESA-N 0 0 429.495 -0.264 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1ccco1 ZINC000495781400 1072702143 /nfs/dbraw/zinc/70/21/43/1072702143.db2.gz AEUSSIMIIGSXNO-GJZGRUSLSA-N 0 0 429.495 -0.264 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1ccco1 ZINC000495781402 1072702125 /nfs/dbraw/zinc/70/21/25/1072702125.db2.gz AEUSSIMIIGSXNO-HUUCEWRRSA-N 0 0 429.495 -0.264 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1ccco1 ZINC000495781404 1072702136 /nfs/dbraw/zinc/70/21/36/1072702136.db2.gz AEUSSIMIIGSXNO-LSDHHAIUSA-N 0 0 429.495 -0.264 20 0 IBADRN Cn1c2ncn(CC(=O)N(CC(=O)N3CCCC3)C[C@@H]3CCCO3)c2c(=O)n(C)c1=O ZINC000495788136 1072703858 /nfs/dbraw/zinc/70/38/58/1072703858.db2.gz IRPVOHJCHQSSSF-AWEZNQCLSA-N 0 0 432.481 -0.936 20 0 IBADRN Cn1c2ncn(CC(=O)N(CC(=O)N3CCCC3)C[C@H]3CCCO3)c2c(=O)n(C)c1=O ZINC000495788147 1072703867 /nfs/dbraw/zinc/70/38/67/1072703867.db2.gz IRPVOHJCHQSSSF-CQSZACIVSA-N 0 0 432.481 -0.936 20 0 IBADRN O=C(CN(C[C@@H]1CCCO1)C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCCC1 ZINC000495789074 1072703887 /nfs/dbraw/zinc/70/38/87/1072703887.db2.gz MXLQMADQABIFDE-BBWFWOEESA-N 0 0 441.550 -0.348 20 0 IBADRN O=C(CN(C[C@@H]1CCCO1)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCCC1 ZINC000495789075 1072703924 /nfs/dbraw/zinc/70/39/24/1072703924.db2.gz MXLQMADQABIFDE-IKGGRYGDSA-N 0 0 441.550 -0.348 20 0 IBADRN O=C(CN(C[C@@H]1CCCO1)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCCC1 ZINC000495789076 1072703894 /nfs/dbraw/zinc/70/38/94/1072703894.db2.gz MXLQMADQABIFDE-ULQDDVLXSA-N 0 0 441.550 -0.348 20 0 IBADRN O=C(CN(C[C@@H]1CCCO1)C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCCC1 ZINC000495789077 1072703912 /nfs/dbraw/zinc/70/39/12/1072703912.db2.gz MXLQMADQABIFDE-ZACQAIPSSA-N 0 0 441.550 -0.348 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCCC[C@@H]3CCNS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000495802888 1072708080 /nfs/dbraw/zinc/70/80/80/1072708080.db2.gz DADWUBWWNYMKJE-CYBMUJFWSA-N 0 0 440.526 -0.856 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCCC[C@H]3CCNS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000495802889 1072708140 /nfs/dbraw/zinc/70/81/40/1072708140.db2.gz DADWUBWWNYMKJE-ZDUSSCGKSA-N 0 0 440.526 -0.856 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(-c2ccccc2)n1 ZINC000495806285 1072707913 /nfs/dbraw/zinc/70/79/13/1072707913.db2.gz DIOWQDJOLJQLML-UHFFFAOYSA-N 0 0 437.464 -0.939 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(-c2ccccc2)n1 ZINC000495809252 1072708120 /nfs/dbraw/zinc/70/81/20/1072708120.db2.gz NPEHYQOPUMRVLY-UHFFFAOYSA-N 0 0 438.488 -0.347 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)c1ccco1 ZINC000495829239 1072708450 /nfs/dbraw/zinc/70/84/50/1072708450.db2.gz JWJJHPFMRXVETF-HNNXBMFYSA-N 0 0 431.511 -0.018 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)c1ccco1 ZINC000495829240 1072708490 /nfs/dbraw/zinc/70/84/90/1072708490.db2.gz JWJJHPFMRXVETF-OAHLLOKOSA-N 0 0 431.511 -0.018 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)c1ccco1 ZINC000495831100 1072708020 /nfs/dbraw/zinc/70/80/20/1072708020.db2.gz VNDXLOKPMWQXCC-AWEZNQCLSA-N 0 0 439.494 -0.342 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)c1ccco1 ZINC000495831106 1072707989 /nfs/dbraw/zinc/70/79/89/1072707989.db2.gz VNDXLOKPMWQXCC-CQSZACIVSA-N 0 0 439.494 -0.342 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000495832183 1072708030 /nfs/dbraw/zinc/70/80/30/1072708030.db2.gz MXMAXGZMSTTWEZ-UHFFFAOYSA-N 0 0 437.565 -0.077 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000495847620 1072709967 /nfs/dbraw/zinc/70/99/67/1072709967.db2.gz GLWWHWFJZWGTPM-UHFFFAOYSA-N 0 0 436.581 -0.094 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000495847632 1072709975 /nfs/dbraw/zinc/70/99/75/1072709975.db2.gz GPULVNZRNUNAKW-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN Cn1c2ncn(CCC(=O)N(CC(=O)N3CCCC3)C[C@@H]3CCCO3)c2c(=O)n(C)c1=O ZINC000495850585 1072709497 /nfs/dbraw/zinc/70/94/97/1072709497.db2.gz SOBDZPVOEMHALJ-HNNXBMFYSA-N 0 0 446.508 -0.546 20 0 IBADRN Cn1c2ncn(CCC(=O)N(CC(=O)N3CCCC3)C[C@H]3CCCO3)c2c(=O)n(C)c1=O ZINC000495850586 1072709560 /nfs/dbraw/zinc/70/95/60/1072709560.db2.gz SOBDZPVOEMHALJ-OAHLLOKOSA-N 0 0 446.508 -0.546 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)c1=O ZINC000495863463 1072710017 /nfs/dbraw/zinc/71/00/17/1072710017.db2.gz PDSQXSASHMCWGE-HNNXBMFYSA-N 0 0 436.490 -0.679 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)c1=O ZINC000495863464 1072709987 /nfs/dbraw/zinc/70/99/87/1072709987.db2.gz PDSQXSASHMCWGE-OAHLLOKOSA-N 0 0 436.490 -0.679 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)c1ccco1 ZINC000495886745 1072710480 /nfs/dbraw/zinc/71/04/80/1072710480.db2.gz XHLROSGOTDIKIP-AWEZNQCLSA-N 0 0 426.451 -0.255 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)c1ccco1 ZINC000495886746 1072710517 /nfs/dbraw/zinc/71/05/17/1072710517.db2.gz XHLROSGOTDIKIP-CQSZACIVSA-N 0 0 426.451 -0.255 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](COC)c2ccco2)C1 ZINC000495887097 1072710008 /nfs/dbraw/zinc/71/00/08/1072710008.db2.gz YJSDIXXIWNBJLY-CABCVRRESA-N 0 0 431.511 -0.018 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](COC)c2ccco2)C1 ZINC000495887098 1072709958 /nfs/dbraw/zinc/70/99/58/1072709958.db2.gz YJSDIXXIWNBJLY-GJZGRUSLSA-N 0 0 431.511 -0.018 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](COC)c2ccco2)C1 ZINC000495887101 1072710029 /nfs/dbraw/zinc/71/00/29/1072710029.db2.gz YJSDIXXIWNBJLY-HUUCEWRRSA-N 0 0 431.511 -0.018 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](COC)c2ccco2)C1 ZINC000495887104 1072709940 /nfs/dbraw/zinc/70/99/40/1072709940.db2.gz YJSDIXXIWNBJLY-LSDHHAIUSA-N 0 0 431.511 -0.018 20 0 IBADRN CC(=O)NCCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000495902894 1072710944 /nfs/dbraw/zinc/71/09/44/1072710944.db2.gz OMCQYZJLRGYQFI-CYBMUJFWSA-N 0 0 438.428 -0.130 20 0 IBADRN CC(=O)NCCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000495902897 1072710856 /nfs/dbraw/zinc/71/08/56/1072710856.db2.gz OMCQYZJLRGYQFI-ZDUSSCGKSA-N 0 0 438.428 -0.130 20 0 IBADRN COC(=O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000495903923 1072710912 /nfs/dbraw/zinc/71/09/12/1072710912.db2.gz UIIFYTUWHLNELR-GFCCVEGCSA-N 0 0 429.451 -0.951 20 0 IBADRN COC(=O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000495903929 1072710951 /nfs/dbraw/zinc/71/09/51/1072710951.db2.gz UIIFYTUWHLNELR-LBPRGKRZSA-N 0 0 429.451 -0.951 20 0 IBADRN O=C(CN1CCCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1)N1CCCC1 ZINC000495954720 1072711887 /nfs/dbraw/zinc/71/18/87/1072711887.db2.gz RSZXTMOIPYEGFX-UHFFFAOYSA-N 0 0 442.314 -0.132 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)CN2CCS(=O)(=O)CC2)CC1 ZINC000495964661 1072711765 /nfs/dbraw/zinc/71/17/65/1072711765.db2.gz DVFUNCQVIXRCSQ-KRWDZBQOSA-N 0 0 428.942 -0.259 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)CN2CCS(=O)(=O)CC2)CC1 ZINC000495964669 1072711931 /nfs/dbraw/zinc/71/19/31/1072711931.db2.gz DVFUNCQVIXRCSQ-QGZVFWFLSA-N 0 0 428.942 -0.259 20 0 IBADRN O=C(CN1CCCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)N1CCCC1 ZINC000495979905 1072712299 /nfs/dbraw/zinc/71/22/99/1072712299.db2.gz AIXGRDCOCQNBQR-DLBZAZTESA-N 0 0 440.566 -0.821 20 0 IBADRN O=C(CN1CCCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)N1CCCC1 ZINC000495979906 1072712357 /nfs/dbraw/zinc/71/23/57/1072712357.db2.gz AIXGRDCOCQNBQR-IAGOWNOFSA-N 0 0 440.566 -0.821 20 0 IBADRN O=C(CN1CCCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)N1CCCC1 ZINC000495979907 1072712552 /nfs/dbraw/zinc/71/25/52/1072712552.db2.gz AIXGRDCOCQNBQR-IRXDYDNUSA-N 0 0 440.566 -0.821 20 0 IBADRN O=C(CN1CCCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)N1CCCC1 ZINC000495979908 1072712486 /nfs/dbraw/zinc/71/24/86/1072712486.db2.gz AIXGRDCOCQNBQR-SJORKVTESA-N 0 0 440.566 -0.821 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc(F)cc1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000495999031 1072712344 /nfs/dbraw/zinc/71/23/44/1072712344.db2.gz GSJRUHZRWANWAH-UHFFFAOYSA-N 0 0 440.497 -0.166 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CC[C@@H]4[C@@H](CCC(=O)N4C4CC4)C3)c2c(=O)n(C)c1=O ZINC000496000115 1072712598 /nfs/dbraw/zinc/71/25/98/1072712598.db2.gz QEMGDYGZQSVUQV-DZGCQCFKSA-N 0 0 428.493 -0.174 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CC[C@H]4[C@H](CCC(=O)N4C4CC4)C3)c2c(=O)n(C)c1=O ZINC000496000116 1072712612 /nfs/dbraw/zinc/71/26/12/1072712612.db2.gz QEMGDYGZQSVUQV-HIFRSBDPSA-N 0 0 428.493 -0.174 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CC[C@@H]4[C@H](CCC(=O)N4C4CC4)C3)c2c(=O)n(C)c1=O ZINC000496000117 1072712858 /nfs/dbraw/zinc/71/28/58/1072712858.db2.gz QEMGDYGZQSVUQV-UKRRQHHQSA-N 0 0 428.493 -0.174 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CC[C@H]4[C@@H](CCC(=O)N4C4CC4)C3)c2c(=O)n(C)c1=O ZINC000496000118 1072712887 /nfs/dbraw/zinc/71/28/87/1072712887.db2.gz QEMGDYGZQSVUQV-ZFWWWQNUSA-N 0 0 428.493 -0.174 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN([C@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC000496000474 1072712994 /nfs/dbraw/zinc/71/29/94/1072712994.db2.gz WHLUHGKMOYVJAJ-KRWDZBQOSA-N 0 0 446.957 -0.034 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN([C@@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC000496000475 1072712958 /nfs/dbraw/zinc/71/29/58/1072712958.db2.gz WHLUHGKMOYVJAJ-QGZVFWFLSA-N 0 0 446.957 -0.034 20 0 IBADRN Cn1cc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c(-c2ccccc2)n1 ZINC000496011768 1072713074 /nfs/dbraw/zinc/71/30/74/1072713074.db2.gz LJXKYKVVPLIUPJ-UHFFFAOYSA-N 0 0 446.489 -0.073 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000496042587 1072714690 /nfs/dbraw/zinc/71/46/90/1072714690.db2.gz ADBFGOHCAIMDFT-INIZCTEOSA-N 0 0 446.504 -0.283 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000496042588 1072714742 /nfs/dbraw/zinc/71/47/42/1072714742.db2.gz ADBFGOHCAIMDFT-MRXNPFEDSA-N 0 0 446.504 -0.283 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(CCNS(C)(=O)=O)s2)CC1 ZINC000496042706 1072714749 /nfs/dbraw/zinc/71/47/49/1072714749.db2.gz BMZOUGWZYYNUIN-UHFFFAOYSA-N 0 0 432.568 -0.640 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000496045719 1072715193 /nfs/dbraw/zinc/71/51/93/1072715193.db2.gz LCZQMGHPRBGNTQ-UHFFFAOYSA-N 0 0 442.538 -0.534 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2nc3ncc(Br)cn3n2)CC1 ZINC000496045854 1072714736 /nfs/dbraw/zinc/71/47/36/1072714736.db2.gz LWSBJDUUXVZFEA-UHFFFAOYSA-N 0 0 426.275 -0.593 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(c3ccc(OC)cc3OC)C2)CC1 ZINC000496046669 1072714795 /nfs/dbraw/zinc/71/47/95/1072714795.db2.gz OARGUIFVPONHRJ-INIZCTEOSA-N 0 0 448.520 -0.037 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc(OC)cc3OC)C2)CC1 ZINC000496046670 1072714814 /nfs/dbraw/zinc/71/48/14/1072714814.db2.gz OARGUIFVPONHRJ-MRXNPFEDSA-N 0 0 448.520 -0.037 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccccc2F)CC1 ZINC000496048028 1072714625 /nfs/dbraw/zinc/71/46/25/1072714625.db2.gz QVVROHMBLTZJKJ-AWEZNQCLSA-N 0 0 430.502 -0.601 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC000496060277 1072715158 /nfs/dbraw/zinc/71/51/58/1072715158.db2.gz JREMFTGVSOGZBY-UHFFFAOYSA-N 0 0 442.538 -0.868 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N(CCO)CCN1CCOCC1 ZINC000496060302 1073371285 /nfs/dbraw/zinc/37/12/85/1073371285.db2.gz KEQDMHWIIDTNBZ-UHFFFAOYSA-N 0 0 443.522 -0.721 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000496060355 1072715103 /nfs/dbraw/zinc/71/51/03/1072715103.db2.gz LGXYPWMXUAVUAT-UHFFFAOYSA-N 0 0 440.566 -0.169 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc3c(c2)C[C@H](C)N3S(C)(=O)=O)CC1 ZINC000496060765 1072715190 /nfs/dbraw/zinc/71/51/90/1072715190.db2.gz NCKMRLKDACRTDK-HNNXBMFYSA-N 0 0 438.550 -0.082 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc3c(c2)C[C@@H](C)N3S(C)(=O)=O)CC1 ZINC000496060771 1072715152 /nfs/dbraw/zinc/71/51/52/1072715152.db2.gz NCKMRLKDACRTDK-OAHLLOKOSA-N 0 0 438.550 -0.082 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)NCCOC)CC2)ccc1OC ZINC000496060790 1072715217 /nfs/dbraw/zinc/71/52/17/1072715217.db2.gz NHOKKAQKNBYLEP-UHFFFAOYSA-N 0 0 428.511 -0.876 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)CC1 ZINC000496061876 1072715204 /nfs/dbraw/zinc/71/52/04/1072715204.db2.gz UZSZPRLPCFPILG-MPGHIAIKSA-N 0 0 427.571 -0.381 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)CC1 ZINC000496061883 1072715225 /nfs/dbraw/zinc/71/52/25/1072715225.db2.gz UZSZPRLPCFPILG-RLFYNMQTSA-N 0 0 427.571 -0.381 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]23)CC1 ZINC000496061884 1072715212 /nfs/dbraw/zinc/71/52/12/1072715212.db2.gz UZSZPRLPCFPILG-VKJFTORMSA-N 0 0 427.571 -0.381 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(CC(=O)NCCOC)CC1)C2=O ZINC000496062446 1072715198 /nfs/dbraw/zinc/71/51/98/1072715198.db2.gz YYCFJZLAXWBXKO-UHFFFAOYSA-N 0 0 437.541 -0.216 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)NC3CC3)ccc2C)CC1 ZINC000496078416 1072715599 /nfs/dbraw/zinc/71/55/99/1072715599.db2.gz RRDBHXMSAFZLRK-UHFFFAOYSA-N 0 0 438.550 -0.044 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CS[C@@H](C)C(=O)Nc2cc(C)on2)CC1 ZINC000496078549 1073333609 /nfs/dbraw/zinc/33/36/09/1073333609.db2.gz VXXWVZLSIGPIDW-AWEZNQCLSA-N 0 0 427.527 -0.050 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CS[C@H](C)C(=O)Nc2cc(C)on2)CC1 ZINC000496078550 1072715567 /nfs/dbraw/zinc/71/55/67/1072715567.db2.gz VXXWVZLSIGPIDW-CQSZACIVSA-N 0 0 427.527 -0.050 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000496078592 1072715643 /nfs/dbraw/zinc/71/56/43/1072715643.db2.gz XGBRQGZSULZGBA-UHFFFAOYSA-N 0 0 426.539 -0.430 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000496091823 1072718557 /nfs/dbraw/zinc/71/85/57/1072718557.db2.gz NBTOCPZWIZYNOH-NZSAHSFTSA-N 0 0 436.553 -0.016 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000496091824 1072718593 /nfs/dbraw/zinc/71/85/93/1072718593.db2.gz NBTOCPZWIZYNOH-YTQUADARSA-N 0 0 436.553 -0.016 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCn2cc(Br)ccc2=O)CC1 ZINC000496093933 1072718438 /nfs/dbraw/zinc/71/84/38/1072718438.db2.gz PDOXDKFOGSWVPO-UHFFFAOYSA-N 0 0 429.315 -0.092 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)CCOC)cc2)CC1 ZINC000496094431 1072718397 /nfs/dbraw/zinc/71/83/97/1072718397.db2.gz SEUVAUUEZYLOSL-UHFFFAOYSA-N 0 0 427.523 -0.373 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000496102958 1072718466 /nfs/dbraw/zinc/71/84/66/1072718466.db2.gz CECYGERDUICREK-UHFFFAOYSA-N 0 0 427.523 -0.234 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000496119893 1072719007 /nfs/dbraw/zinc/71/90/07/1072719007.db2.gz OWKCCVDQLQUPEA-GFCCVEGCSA-N 0 0 442.494 -0.191 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000496119894 1072719033 /nfs/dbraw/zinc/71/90/33/1072719033.db2.gz OWKCCVDQLQUPEA-LBPRGKRZSA-N 0 0 442.494 -0.191 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000496129289 1072719490 /nfs/dbraw/zinc/71/94/90/1072719490.db2.gz MCRMCKUACFNCCT-FGTMMUONSA-N 0 0 440.541 -0.922 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000496129290 1072719421 /nfs/dbraw/zinc/71/94/21/1072719421.db2.gz MCRMCKUACFNCCT-OKZBNKHCSA-N 0 0 440.541 -0.922 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000496150366 1073371491 /nfs/dbraw/zinc/37/14/91/1073371491.db2.gz HJJBUKPTIXLGSS-UHFFFAOYSA-N 0 0 442.538 -0.534 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000496163262 1072724456 /nfs/dbraw/zinc/72/44/56/1072724456.db2.gz AXDSMAQUVRCDHM-CVEARBPZSA-N 0 0 434.559 -0.246 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000496163263 1072724420 /nfs/dbraw/zinc/72/44/20/1072724420.db2.gz AXDSMAQUVRCDHM-HOTGVXAUSA-N 0 0 434.559 -0.246 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000496163264 1072724477 /nfs/dbraw/zinc/72/44/77/1072724477.db2.gz AXDSMAQUVRCDHM-HZPDHXFCSA-N 0 0 434.559 -0.246 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000496163265 1072724492 /nfs/dbraw/zinc/72/44/92/1072724492.db2.gz AXDSMAQUVRCDHM-JKSUJKDBSA-N 0 0 434.559 -0.246 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000496167651 1072724432 /nfs/dbraw/zinc/72/44/32/1072724432.db2.gz JTOFVVVMUAFCIQ-AWEZNQCLSA-N 0 0 434.540 -0.378 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000496167652 1072724349 /nfs/dbraw/zinc/72/43/49/1072724349.db2.gz JTOFVVVMUAFCIQ-CQSZACIVSA-N 0 0 434.540 -0.378 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)NC1CCC2(CC1)NC(=O)NC2=O ZINC000496186354 1072724523 /nfs/dbraw/zinc/72/45/23/1072724523.db2.gz IPTSFZBCDMVJKU-JZLYGMAVSA-N 0 0 431.515 -0.705 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)NC1CCC2(CC1)NC(=O)NC2=O ZINC000496186355 1072724949 /nfs/dbraw/zinc/72/49/49/1072724949.db2.gz IPTSFZBCDMVJKU-UIORMTCPSA-N 0 0 431.515 -0.705 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)NC(=O)NC1=O ZINC000496188603 1072724484 /nfs/dbraw/zinc/72/44/84/1072724484.db2.gz NSEAPOHEMATWPE-HBUWYVDXSA-N 0 0 445.542 -0.363 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)NC(=O)NC1=O ZINC000496188619 1072724499 /nfs/dbraw/zinc/72/44/99/1072724499.db2.gz NSEAPOHEMATWPE-PMUMKWKESA-N 0 0 445.542 -0.363 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)NC(=O)NC1=O ZINC000496188622 1072724508 /nfs/dbraw/zinc/72/45/08/1072724508.db2.gz NSEAPOHEMATWPE-QWQRMKEZSA-N 0 0 445.542 -0.363 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)NC(=O)NC1=O ZINC000496188625 1072724411 /nfs/dbraw/zinc/72/44/11/1072724411.db2.gz NSEAPOHEMATWPE-SUNYJGFJSA-N 0 0 445.542 -0.363 20 0 IBADRN Cc1ccc(C(=O)N(CCO)CCN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000496198199 1073371464 /nfs/dbraw/zinc/37/14/64/1073371464.db2.gz SISIYKRNJATEDR-UHFFFAOYSA-N 0 0 441.550 -0.217 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000496204655 1072724997 /nfs/dbraw/zinc/72/49/97/1072724997.db2.gz QKEXIOUQCZTIQK-HNNXBMFYSA-N 0 0 440.588 -0.554 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000496204656 1072724891 /nfs/dbraw/zinc/72/48/91/1072724891.db2.gz QKEXIOUQCZTIQK-OAHLLOKOSA-N 0 0 440.588 -0.554 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000496205317 1072724967 /nfs/dbraw/zinc/72/49/67/1072724967.db2.gz SUJBCAOXMDVULT-CABCVRRESA-N 0 0 440.588 -0.460 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000496205325 1072725030 /nfs/dbraw/zinc/72/50/30/1072725030.db2.gz SUJBCAOXMDVULT-GJZGRUSLSA-N 0 0 440.588 -0.460 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000496205326 1072725037 /nfs/dbraw/zinc/72/50/37/1072725037.db2.gz SUJBCAOXMDVULT-HUUCEWRRSA-N 0 0 440.588 -0.460 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000496205328 1072724916 /nfs/dbraw/zinc/72/49/16/1072724916.db2.gz SUJBCAOXMDVULT-LSDHHAIUSA-N 0 0 440.588 -0.460 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000496206024 1072725054 /nfs/dbraw/zinc/72/50/54/1072725054.db2.gz VXMMIPRYYKRWTN-QLFBSQMISA-N 0 0 440.588 -0.366 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000496206025 1072725024 /nfs/dbraw/zinc/72/50/24/1072725024.db2.gz VXMMIPRYYKRWTN-RBSFLKMASA-N 0 0 440.588 -0.366 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000496206026 1072724875 /nfs/dbraw/zinc/72/48/75/1072724875.db2.gz VXMMIPRYYKRWTN-RRFJBIMHSA-N 0 0 440.588 -0.366 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000496206027 1072724977 /nfs/dbraw/zinc/72/49/77/1072724977.db2.gz VXMMIPRYYKRWTN-SOUVJXGZSA-N 0 0 440.588 -0.366 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)[C@H](C)C1 ZINC000496222258 1072725733 /nfs/dbraw/zinc/72/57/33/1072725733.db2.gz MELJIGZDJWKLSD-CABCVRRESA-N 0 0 440.588 -0.460 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)[C@H](C)C1 ZINC000496222260 1072725414 /nfs/dbraw/zinc/72/54/14/1072725414.db2.gz MELJIGZDJWKLSD-HUUCEWRRSA-N 0 0 440.588 -0.460 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000496225923 1072725670 /nfs/dbraw/zinc/72/56/70/1072725670.db2.gz XYJJMTFTVNXTPJ-GFCCVEGCSA-N 0 0 430.449 -0.109 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000496225925 1072725697 /nfs/dbraw/zinc/72/56/97/1072725697.db2.gz XYJJMTFTVNXTPJ-LBPRGKRZSA-N 0 0 430.449 -0.109 20 0 IBADRN O=C(CN1CCN(C(=O)c2nc3ncc(Br)cn3n2)CC1)N1CCOCC1 ZINC000496354530 1072727879 /nfs/dbraw/zinc/72/78/79/1072727879.db2.gz FERCUMUIKCAYDC-UHFFFAOYSA-N 0 0 438.286 -0.497 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496355796 1072728646 /nfs/dbraw/zinc/72/86/46/1072728646.db2.gz HURBHLXTKIGVKI-IBGZPJMESA-N 0 0 445.499 -0.093 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496355797 1072728610 /nfs/dbraw/zinc/72/86/10/1072728610.db2.gz HURBHLXTKIGVKI-LJQANCHMSA-N 0 0 445.499 -0.093 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H](C)Cn1ncc2ccccc2c1=O ZINC000496371561 1072728649 /nfs/dbraw/zinc/72/86/49/1072728649.db2.gz BNJRZQZMCOLKFL-AWEZNQCLSA-N 0 0 437.522 -0.265 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H](C)Cn1ncc2ccccc2c1=O ZINC000496371562 1072728561 /nfs/dbraw/zinc/72/85/61/1072728561.db2.gz BNJRZQZMCOLKFL-CQSZACIVSA-N 0 0 437.522 -0.265 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000496371781 1072728644 /nfs/dbraw/zinc/72/86/44/1072728644.db2.gz HQQLGNMSDYGQBU-INIZCTEOSA-N 0 0 429.564 -0.002 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000496371782 1072728385 /nfs/dbraw/zinc/72/83/85/1072728385.db2.gz HQQLGNMSDYGQBU-MRXNPFEDSA-N 0 0 429.564 -0.002 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496372969 1072729064 /nfs/dbraw/zinc/72/90/64/1072729064.db2.gz WHTHBUAALXBCHN-IBGZPJMESA-N 0 0 445.499 -0.093 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496372970 1072729009 /nfs/dbraw/zinc/72/90/09/1072729009.db2.gz WHTHBUAALXBCHN-LJQANCHMSA-N 0 0 445.499 -0.093 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496389634 1072729265 /nfs/dbraw/zinc/72/92/65/1072729265.db2.gz BAIMZKKJHLJTPW-HNNXBMFYSA-N 0 0 442.513 -0.505 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(N3CCCS3(=O)=O)c2)CC1)N1CCOCC1 ZINC000496389954 1072729226 /nfs/dbraw/zinc/72/92/26/1072729226.db2.gz IJFNNAVBULXETI-UHFFFAOYSA-N 0 0 436.534 -0.157 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000496390454 1072729119 /nfs/dbraw/zinc/72/91/19/1072729119.db2.gz TTZIJTGLSCYQKG-UHFFFAOYSA-N 0 0 444.579 -0.544 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1)N1CCOCC1 ZINC000496391437 1072729312 /nfs/dbraw/zinc/72/93/12/1072729312.db2.gz ZAFKDNBMTCSSHQ-UHFFFAOYSA-N 0 0 436.534 -0.157 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000496415598 1072729674 /nfs/dbraw/zinc/72/96/74/1072729674.db2.gz ZOCWHVSCLKCSEX-UHFFFAOYSA-N 0 0 445.563 -0.436 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N(C)[C@@H](C)CO)c(=O)n2Cc1ccccc1 ZINC000496423425 1072729725 /nfs/dbraw/zinc/72/97/25/1072729725.db2.gz JGULVTOUMPUVMT-HNNXBMFYSA-N 0 0 429.477 -0.106 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N(C)[C@H](C)CO)c(=O)n2Cc1ccccc1 ZINC000496423431 1072729695 /nfs/dbraw/zinc/72/96/95/1072729695.db2.gz JGULVTOUMPUVMT-OAHLLOKOSA-N 0 0 429.477 -0.106 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@@H](CN(C)c2nccn(C)c2=O)C1)c1ccncc1F ZINC000496428521 1072730650 /nfs/dbraw/zinc/73/06/50/1072730650.db2.gz MMYFIKZPGLKIBC-KBPBESRZSA-N 0 0 432.456 -0.145 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCO[C@@H](CN(C)c2nccn(C)c2=O)C1)c1ccncc1F ZINC000496428522 1072731035 /nfs/dbraw/zinc/73/10/35/1072731035.db2.gz MMYFIKZPGLKIBC-KGLIPLIRSA-N 0 0 432.456 -0.145 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@H](CN(C)c2nccn(C)c2=O)C1)c1ccncc1F ZINC000496428523 1072731064 /nfs/dbraw/zinc/73/10/64/1072731064.db2.gz MMYFIKZPGLKIBC-UONOGXRCSA-N 0 0 432.456 -0.145 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCO[C@H](CN(C)c2nccn(C)c2=O)C1)c1ccncc1F ZINC000496428524 1072731027 /nfs/dbraw/zinc/73/10/27/1072731027.db2.gz MMYFIKZPGLKIBC-ZIAGYGMSSA-N 0 0 432.456 -0.145 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)c3ccncc3F)CC2)cn1C ZINC000496430007 1072731131 /nfs/dbraw/zinc/73/11/31/1072731131.db2.gz SNMDOERLPMUDQT-GFCCVEGCSA-N 0 0 438.485 -0.027 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)c3ccncc3F)CC2)cn1C ZINC000496430008 1072731070 /nfs/dbraw/zinc/73/10/70/1072731070.db2.gz SNMDOERLPMUDQT-LBPRGKRZSA-N 0 0 438.485 -0.027 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1OC ZINC000496437753 1072729710 /nfs/dbraw/zinc/72/97/10/1072729710.db2.gz OBMSLABDBNUKOS-UHFFFAOYSA-N 0 0 440.522 -0.780 20 0 IBADRN CC(C)C[C@@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000496446801 1072730625 /nfs/dbraw/zinc/73/06/25/1072730625.db2.gz GANSRWDCEKWAQW-GFCCVEGCSA-N 0 0 426.503 -0.365 20 0 IBADRN CC(C)C[C@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000496446802 1072730456 /nfs/dbraw/zinc/73/04/56/1072730456.db2.gz GANSRWDCEKWAQW-LBPRGKRZSA-N 0 0 426.503 -0.365 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@@H]2[C@H](CCC(=O)N2C2CC2)C1 ZINC000496446904 1072730720 /nfs/dbraw/zinc/73/07/20/1072730720.db2.gz HFEJGKVQYURKIQ-GDBMZVCRSA-N 0 0 428.555 -0.178 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@@H]2[C@@H](CCC(=O)N2C2CC2)C1 ZINC000496446909 1072730635 /nfs/dbraw/zinc/73/06/35/1072730635.db2.gz HFEJGKVQYURKIQ-GOEBONIOSA-N 0 0 428.555 -0.178 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@H]2[C@@H](CCC(=O)N2C2CC2)C1 ZINC000496446910 1072730482 /nfs/dbraw/zinc/73/04/82/1072730482.db2.gz HFEJGKVQYURKIQ-HOCLYGCPSA-N 0 0 428.555 -0.178 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@H]2[C@H](CCC(=O)N2C2CC2)C1 ZINC000496446984 1072730510 /nfs/dbraw/zinc/73/05/10/1072730510.db2.gz HFEJGKVQYURKIQ-ZBFHGGJFSA-N 0 0 428.555 -0.178 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496447191 1072730710 /nfs/dbraw/zinc/73/07/10/1072730710.db2.gz IVOCXTSTZBCUSA-GJYPPUQNSA-N 0 0 439.582 -0.285 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@@H]12)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496447192 1072730674 /nfs/dbraw/zinc/73/06/74/1072730674.db2.gz IVOCXTSTZBCUSA-GPMSIDNRSA-N 0 0 439.582 -0.285 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496447193 1072730554 /nfs/dbraw/zinc/73/05/54/1072730554.db2.gz IVOCXTSTZBCUSA-JTDSTZFVSA-N 0 0 439.582 -0.285 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@H]12)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496447194 1072730576 /nfs/dbraw/zinc/73/05/76/1072730576.db2.gz IVOCXTSTZBCUSA-MDZRGWNJSA-N 0 0 439.582 -0.285 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCC(=O)Nc2cccc3c(=O)[nH][nH]c(=O)c23)n(C)c1 ZINC000496450495 1072732074 /nfs/dbraw/zinc/73/20/74/1072732074.db2.gz SZSBBKUXRQBNBQ-UHFFFAOYSA-N 0 0 448.461 -0.002 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496465817 1072732009 /nfs/dbraw/zinc/73/20/09/1072732009.db2.gz YOJZGJFOCNGXTE-UHFFFAOYSA-N 0 0 434.493 -0.142 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1)N1CCOCC1 ZINC000496484179 1072732751 /nfs/dbraw/zinc/73/27/51/1072732751.db2.gz LRNHHZFBEJQFLC-UHFFFAOYSA-N 0 0 429.477 -0.645 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496484626 1072732759 /nfs/dbraw/zinc/73/27/59/1072732759.db2.gz WYMZKLOPAQIHGJ-UHFFFAOYSA-N 0 0 427.299 -0.386 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NC(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)cn2)CC1 ZINC000496487223 1072732514 /nfs/dbraw/zinc/73/25/14/1072732514.db2.gz BGBNSEBGOXXLKR-DLBZAZTESA-N 0 0 434.497 -0.998 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000496503064 1072732536 /nfs/dbraw/zinc/73/25/36/1072732536.db2.gz IVOCXTSTZBCUSA-BXWFABGCSA-N 0 0 439.582 -0.285 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000496504367 1072732631 /nfs/dbraw/zinc/73/26/31/1072732631.db2.gz WNZZIAOCFGNGFM-UHFFFAOYSA-N 0 0 439.534 -0.277 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)Cn3nc4ccccn4c3=O)CC2)c1 ZINC000496536121 1072733210 /nfs/dbraw/zinc/73/32/10/1072733210.db2.gz PAYCRWIZLBYXIX-UHFFFAOYSA-N 0 0 443.485 -0.116 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccncc1F ZINC000496538571 1072733080 /nfs/dbraw/zinc/73/30/80/1072733080.db2.gz VPQSQVFQCJBGGK-AWEZNQCLSA-N 0 0 442.517 -0.966 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccncc1F ZINC000496538572 1072733244 /nfs/dbraw/zinc/73/32/44/1072733244.db2.gz VPQSQVFQCJBGGK-CQSZACIVSA-N 0 0 442.517 -0.966 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)no1 ZINC000496551192 1073331303 /nfs/dbraw/zinc/33/13/03/1073331303.db2.gz GLQQNCUIMAUHFD-UHFFFAOYSA-N 0 0 425.511 -0.342 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000496555455 1072733103 /nfs/dbraw/zinc/73/31/03/1072733103.db2.gz VIMLWQNNNFIYLK-UHFFFAOYSA-N 0 0 439.534 -0.138 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)Cn2nc3ccccn3c2=O)CC1 ZINC000496574077 1072733055 /nfs/dbraw/zinc/73/30/55/1072733055.db2.gz PPTGOQARGONECC-UHFFFAOYSA-N 0 0 444.517 -0.252 20 0 IBADRN Cn1nc(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c2ccccc2c1=O ZINC000496613525 1072732993 /nfs/dbraw/zinc/73/29/93/1072732993.db2.gz ASBXASDJCCMCAK-UHFFFAOYSA-N 0 0 425.445 -0.704 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NCc1ccc(CNC(=O)CCN2C(=O)CCC2=O)cc1 ZINC000496614841 1072733261 /nfs/dbraw/zinc/73/32/61/1072733261.db2.gz LECOEMGWCSFZMK-UHFFFAOYSA-N 0 0 442.472 -0.003 20 0 IBADRN Cn1cc(C(=O)NCc2cccc(CNC(=O)c3cn(C)c(=O)[nH]c3=O)c2)c(=O)[nH]c1=O ZINC000496627678 1072733226 /nfs/dbraw/zinc/73/32/26/1072733226.db2.gz FYXXXKVSMPZJGB-UHFFFAOYSA-N 0 0 440.416 -0.855 20 0 IBADRN C[C@H](NC(=O)COc1ccccc1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000496628951 1072733794 /nfs/dbraw/zinc/73/37/94/1072733794.db2.gz JYEKASKTSIHVDL-HNNXBMFYSA-N 0 0 430.461 -0.610 20 0 IBADRN C[C@@H](NC(=O)COc1ccccc1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000496628952 1072733843 /nfs/dbraw/zinc/73/38/43/1072733843.db2.gz JYEKASKTSIHVDL-OAHLLOKOSA-N 0 0 430.461 -0.610 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000496629045 1072733695 /nfs/dbraw/zinc/73/36/95/1072733695.db2.gz LGVMFNFLALCTCE-UHFFFAOYSA-N 0 0 446.460 -0.325 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C(=O)C12CCCCC2 ZINC000496630077 1072733884 /nfs/dbraw/zinc/73/38/84/1072733884.db2.gz PBRRIIFDDNRVCM-UHFFFAOYSA-N 0 0 447.492 -0.597 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000496630567 1072733724 /nfs/dbraw/zinc/73/37/24/1072733724.db2.gz STXYGDFYKQHJNL-UHFFFAOYSA-N 0 0 436.490 -0.582 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000496642600 1072733020 /nfs/dbraw/zinc/73/30/20/1072733020.db2.gz JUBOTGRYPWEUBC-UHFFFAOYSA-N 0 0 441.444 -0.828 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000496656987 1072734899 /nfs/dbraw/zinc/73/48/99/1072734899.db2.gz FHWDONFGXPTGPQ-GOSISDBHSA-N 0 0 444.488 -0.438 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000496656988 1072734914 /nfs/dbraw/zinc/73/49/14/1072734914.db2.gz FHWDONFGXPTGPQ-SFHVURJKSA-N 0 0 444.488 -0.438 20 0 IBADRN Cc1ccc(CNC(=O)NCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000496657307 1072734387 /nfs/dbraw/zinc/73/43/87/1072734387.db2.gz JANHWRDEMUHNQZ-UHFFFAOYSA-N 0 0 429.477 -0.386 20 0 IBADRN NC(=O)[C@@]1(O)CCCN(C(=O)NC2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000496669494 1072735451 /nfs/dbraw/zinc/73/54/51/1072735451.db2.gz IGZKKAZUJGCQMV-DYESRHJHSA-N 0 0 432.477 -0.161 20 0 IBADRN NC(=O)[C@@]1(O)CCCN(C(=O)NC2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000496669495 1072735467 /nfs/dbraw/zinc/73/54/67/1072735467.db2.gz IGZKKAZUJGCQMV-LAUBAEHRSA-N 0 0 432.477 -0.161 20 0 IBADRN NC(=O)[C@]1(O)CCCN(C(=O)NC2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000496669496 1072735403 /nfs/dbraw/zinc/73/54/03/1072735403.db2.gz IGZKKAZUJGCQMV-UTKZUKDTSA-N 0 0 432.477 -0.161 20 0 IBADRN NC(=O)[C@]1(O)CCCN(C(=O)NC2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000496669497 1072735570 /nfs/dbraw/zinc/73/55/70/1072735570.db2.gz IGZKKAZUJGCQMV-UWJYYQICSA-N 0 0 432.477 -0.161 20 0 IBADRN COc1ccc(CCNC(=O)c2ccc3c(c2)NC(=O)CNC3=O)cc1S(N)(=O)=O ZINC000496672085 1072736005 /nfs/dbraw/zinc/73/60/05/1072736005.db2.gz DCAAQLGNHVCVEG-UHFFFAOYSA-N 0 0 432.458 -0.003 20 0 IBADRN Cc1nn(C)c(C)c1-c1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)[nH]n1 ZINC000496672565 1072735946 /nfs/dbraw/zinc/73/59/46/1072735946.db2.gz JOMAWIXPIGRQQD-UHFFFAOYSA-N 0 0 427.465 -0.140 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000496672832 1072735972 /nfs/dbraw/zinc/73/59/72/1072735972.db2.gz LACSKZJPPJFUFR-HNNXBMFYSA-N 0 0 442.538 -0.600 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000496672833 1072736010 /nfs/dbraw/zinc/73/60/10/1072736010.db2.gz LACSKZJPPJFUFR-OAHLLOKOSA-N 0 0 442.538 -0.600 20 0 IBADRN COc1ccc(CCNC(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)cc1S(N)(=O)=O ZINC000496673268 1072735936 /nfs/dbraw/zinc/73/59/36/1072735936.db2.gz PRTNEQLRCVJOHY-ACJLOTCBSA-N 0 0 435.506 -0.046 20 0 IBADRN COc1ccc(CCNC(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)cc1S(N)(=O)=O ZINC000496673269 1072735968 /nfs/dbraw/zinc/73/59/68/1072735968.db2.gz PRTNEQLRCVJOHY-FZKQIMNGSA-N 0 0 435.506 -0.046 20 0 IBADRN COc1ccc(CCNC(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)cc1S(N)(=O)=O ZINC000496673270 1072736042 /nfs/dbraw/zinc/73/60/42/1072736042.db2.gz PRTNEQLRCVJOHY-SCLBCKFNSA-N 0 0 435.506 -0.046 20 0 IBADRN COc1ccc(CCNC(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)cc1S(N)(=O)=O ZINC000496673271 1072736015 /nfs/dbraw/zinc/73/60/15/1072736015.db2.gz PRTNEQLRCVJOHY-UGSOOPFHSA-N 0 0 435.506 -0.046 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CN2C(=O)CSc3ccccc32)CC1 ZINC000496674448 1072736019 /nfs/dbraw/zinc/73/60/19/1072736019.db2.gz ZUKXFGUMPSVDKU-UHFFFAOYSA-N 0 0 430.486 -0.055 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000496691131 1072736568 /nfs/dbraw/zinc/73/65/68/1072736568.db2.gz TXQAMCQWNQRQAX-GOSISDBHSA-N 0 0 435.550 -0.148 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000496691132 1072736514 /nfs/dbraw/zinc/73/65/14/1072736514.db2.gz TXQAMCQWNQRQAX-SFHVURJKSA-N 0 0 435.550 -0.148 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@]3(C)CCS(=O)(=O)C3)cc2)CC1 ZINC000496721716 1072737030 /nfs/dbraw/zinc/73/70/30/1072737030.db2.gz HKRLSEMNVNJTKW-GOSISDBHSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)cc2)CC1 ZINC000496721719 1072737006 /nfs/dbraw/zinc/73/70/06/1072737006.db2.gz HKRLSEMNVNJTKW-SFHVURJKSA-N 0 0 443.547 -0.154 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NCc1cccc(CNC(=O)CCN2C(=O)CCC2=O)c1 ZINC000496722666 1072737361 /nfs/dbraw/zinc/73/73/61/1072737361.db2.gz MPAWCEBTHGDIJA-UHFFFAOYSA-N 0 0 442.472 -0.003 20 0 IBADRN Cn1ncc(N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c(Br)c1=O ZINC000496732957 1072737864 /nfs/dbraw/zinc/73/78/64/1072737864.db2.gz AXUHGJXMDCXFRX-UHFFFAOYSA-N 0 0 446.283 -0.254 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cnn(C)c(=O)c3Br)CC2)CC1 ZINC000496736436 1072737971 /nfs/dbraw/zinc/73/79/71/1072737971.db2.gz LMNWWHHKSUXMHS-UHFFFAOYSA-N 0 0 435.348 -0.843 20 0 IBADRN CN(CCN(C)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000496750544 1072842706 /nfs/dbraw/zinc/84/27/06/1072842706.db2.gz ASYHLZOBEZMSTD-KBPBESRZSA-N 0 0 442.480 -0.544 20 0 IBADRN CN(CCN(C)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000496750545 1072842639 /nfs/dbraw/zinc/84/26/39/1072842639.db2.gz ASYHLZOBEZMSTD-OKILXGFUSA-N 0 0 442.480 -0.544 20 0 IBADRN CN(CCN(C)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000496750546 1072842673 /nfs/dbraw/zinc/84/26/73/1072842673.db2.gz ASYHLZOBEZMSTD-ZIAGYGMSSA-N 0 0 442.480 -0.544 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc(C)c1C ZINC000496757672 1072738015 /nfs/dbraw/zinc/73/80/15/1072738015.db2.gz VRUWFZCDFDSESI-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN Cn1cc(C(=O)NCc2ccc(CNC(=O)c3cn(C)c(=O)[nH]c3=O)cc2)c(=O)[nH]c1=O ZINC000496772182 1072738448 /nfs/dbraw/zinc/73/84/48/1072738448.db2.gz WTCXAACRFFKXTQ-UHFFFAOYSA-N 0 0 440.416 -0.855 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000496786799 1072738406 /nfs/dbraw/zinc/73/84/06/1072738406.db2.gz VMRKIEFQAOLCCJ-GOSISDBHSA-N 0 0 426.473 -0.092 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000496786800 1072738477 /nfs/dbraw/zinc/73/84/77/1072738477.db2.gz VMRKIEFQAOLCCJ-SFHVURJKSA-N 0 0 426.473 -0.092 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc(C)c1C ZINC000496789135 1072738923 /nfs/dbraw/zinc/73/89/23/1072738923.db2.gz YMGZPUKHYKOHNQ-UHFFFAOYSA-N 0 0 429.437 -0.614 20 0 IBADRN COCCN(CC(=O)OC)C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000496818169 1072739335 /nfs/dbraw/zinc/73/93/35/1072739335.db2.gz QOKSMBTVXKMABC-UHFFFAOYSA-N 0 0 427.523 -0.051 20 0 IBADRN COCCN(CC(=O)OC)C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000496832307 1072739549 /nfs/dbraw/zinc/73/95/49/1072739549.db2.gz QOFAMHSIXWZCEV-UHFFFAOYSA-N 0 0 441.506 -0.199 20 0 IBADRN COCCN(CC(=O)OC)C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000496862373 1072739936 /nfs/dbraw/zinc/73/99/36/1072739936.db2.gz BLBLTKDFYYEUPO-UHFFFAOYSA-N 0 0 444.506 -0.093 20 0 IBADRN COCCN(CC(=O)OC)C(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000496883959 1072740069 /nfs/dbraw/zinc/74/00/69/1072740069.db2.gz XDICTICRYOCCQM-UHFFFAOYSA-N 0 0 441.506 -0.199 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCCN3CCOCC3)cc2)CC1 ZINC000496916331 1072740124 /nfs/dbraw/zinc/74/01/24/1072740124.db2.gz ZFDWXGZBZYJIGP-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)OC)c1 ZINC000496933525 1072740679 /nfs/dbraw/zinc/74/06/79/1072740679.db2.gz VWXMVYUFHJGIHK-HNNXBMFYSA-N 0 0 439.494 -0.498 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000496944471 1072740587 /nfs/dbraw/zinc/74/05/87/1072740587.db2.gz KRLVFGQIWAEJDP-WMLDXEAASA-N 0 0 442.538 -0.537 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000496944473 1072740494 /nfs/dbraw/zinc/74/04/94/1072740494.db2.gz KRLVFGQIWAEJDP-YOEHRIQHSA-N 0 0 442.538 -0.537 20 0 IBADRN Cc1ccc(C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000496944474 1072740427 /nfs/dbraw/zinc/74/04/27/1072740427.db2.gz KSKLCKVIDBGVEK-GOSISDBHSA-N 0 0 441.550 -0.219 20 0 IBADRN Cc1ccc(C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000496944476 1072740506 /nfs/dbraw/zinc/74/05/06/1072740506.db2.gz KSKLCKVIDBGVEK-SFHVURJKSA-N 0 0 441.550 -0.219 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000496944896 1072740739 /nfs/dbraw/zinc/74/07/39/1072740739.db2.gz OFPWIJFXPQZHOH-INIZCTEOSA-N 0 0 428.489 -0.017 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000496944897 1072740649 /nfs/dbraw/zinc/74/06/49/1072740649.db2.gz OFPWIJFXPQZHOH-MRXNPFEDSA-N 0 0 428.489 -0.017 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000496955918 1072740637 /nfs/dbraw/zinc/74/06/37/1072740637.db2.gz LLYKFWZCUBNWHV-JXFKEZNVSA-N 0 0 430.505 -0.386 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000496955919 1072740717 /nfs/dbraw/zinc/74/07/17/1072740717.db2.gz LLYKFWZCUBNWHV-OXJNMPFZSA-N 0 0 430.505 -0.386 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000496955920 1072740602 /nfs/dbraw/zinc/74/06/02/1072740602.db2.gz LLYKFWZCUBNWHV-OXQOHEQNSA-N 0 0 430.505 -0.386 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000496955921 1072740664 /nfs/dbraw/zinc/74/06/64/1072740664.db2.gz LLYKFWZCUBNWHV-UZLBHIALSA-N 0 0 430.505 -0.386 20 0 IBADRN Cn1nccc1COCC(=O)NC[C@H]1CSCCN1C(=O)COCc1ccnn1C ZINC000496971255 1072741460 /nfs/dbraw/zinc/74/14/60/1072741460.db2.gz PUTGWLGARFMXAX-KRWDZBQOSA-N 0 0 436.538 -0.053 20 0 IBADRN Cn1nccc1COCC(=O)NC[C@@H]1CSCCN1C(=O)COCc1ccnn1C ZINC000496971256 1072741450 /nfs/dbraw/zinc/74/14/50/1072741450.db2.gz PUTGWLGARFMXAX-QGZVFWFLSA-N 0 0 436.538 -0.053 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000496971535 1072741254 /nfs/dbraw/zinc/74/12/54/1072741254.db2.gz YSNGSZITMMNDBX-INIZCTEOSA-N 0 0 437.478 -0.111 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000496989208 1072743101 /nfs/dbraw/zinc/74/31/01/1072743101.db2.gz XWJDFRSWKXUJBR-HNNXBMFYSA-N 0 0 437.478 -0.710 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000497003145 1072744129 /nfs/dbraw/zinc/74/41/29/1072744129.db2.gz GKBZLOLQBPJAOG-KRWDZBQOSA-N 0 0 441.550 -0.219 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000497003146 1072743999 /nfs/dbraw/zinc/74/39/99/1072743999.db2.gz GKBZLOLQBPJAOG-QGZVFWFLSA-N 0 0 441.550 -0.219 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21 ZINC000497004413 1072743530 /nfs/dbraw/zinc/74/35/30/1072743530.db2.gz LPMTUJYVIFLTGY-CYBMUJFWSA-N 0 0 428.449 -0.147 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21 ZINC000497004429 1072743495 /nfs/dbraw/zinc/74/34/95/1072743495.db2.gz LPMTUJYVIFLTGY-ZDUSSCGKSA-N 0 0 428.449 -0.147 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000497004965 1072743601 /nfs/dbraw/zinc/74/36/01/1072743601.db2.gz OUFMTLWDSBSIMH-INIZCTEOSA-N 0 0 444.554 -0.970 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000497004966 1072743359 /nfs/dbraw/zinc/74/33/59/1072743359.db2.gz OUFMTLWDSBSIMH-MRXNPFEDSA-N 0 0 444.554 -0.970 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)[C@@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000497005518 1072743614 /nfs/dbraw/zinc/74/36/14/1072743614.db2.gz YXAPRXNUZDNXCD-PMACEKPBSA-N 0 0 432.521 -0.079 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)[C@@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000497005519 1072743457 /nfs/dbraw/zinc/74/34/57/1072743457.db2.gz YXAPRXNUZDNXCD-UXHICEINSA-N 0 0 432.521 -0.079 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)[C@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000497005522 1072743392 /nfs/dbraw/zinc/74/33/92/1072743392.db2.gz YXAPRXNUZDNXCD-VQTJNVASSA-N 0 0 432.521 -0.079 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)[C@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000497005524 1072743626 /nfs/dbraw/zinc/74/36/26/1072743626.db2.gz YXAPRXNUZDNXCD-WOJBJXKFSA-N 0 0 432.521 -0.079 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000497017625 1072744563 /nfs/dbraw/zinc/74/45/63/1072744563.db2.gz FPJIRQBIKGRWDS-KRWDZBQOSA-N 0 0 442.538 -0.535 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000497017627 1072744723 /nfs/dbraw/zinc/74/47/23/1072744723.db2.gz FPJIRQBIKGRWDS-QGZVFWFLSA-N 0 0 442.538 -0.535 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1 ZINC000497017698 1072744109 /nfs/dbraw/zinc/74/41/09/1072744109.db2.gz FWAQBFHKWVSQES-DLBZAZTESA-N 0 0 443.570 -0.421 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1 ZINC000497017706 1072743963 /nfs/dbraw/zinc/74/39/63/1072743963.db2.gz FWAQBFHKWVSQES-IAGOWNOFSA-N 0 0 443.570 -0.421 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)C[C@H](O)CN2CCOCC2)C1 ZINC000497017710 1072744673 /nfs/dbraw/zinc/74/46/73/1072744673.db2.gz FWAQBFHKWVSQES-IRXDYDNUSA-N 0 0 443.570 -0.421 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)C[C@H](O)CN2CCOCC2)C1 ZINC000497017712 1072744486 /nfs/dbraw/zinc/74/44/86/1072744486.db2.gz FWAQBFHKWVSQES-SJORKVTESA-N 0 0 443.570 -0.421 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000497018413 1072744064 /nfs/dbraw/zinc/74/40/64/1072744064.db2.gz IDNWDBZTGGZOGX-KRWDZBQOSA-N 0 0 442.538 -0.583 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000497018416 1072744091 /nfs/dbraw/zinc/74/40/91/1072744091.db2.gz IDNWDBZTGGZOGX-QGZVFWFLSA-N 0 0 442.538 -0.583 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000497019785 1072744505 /nfs/dbraw/zinc/74/45/05/1072744505.db2.gz NJZLHHUKSFWKHP-HNNXBMFYSA-N 0 0 433.552 -0.466 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000497019787 1072744663 /nfs/dbraw/zinc/74/46/63/1072744663.db2.gz NJZLHHUKSFWKHP-OAHLLOKOSA-N 0 0 433.552 -0.466 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1 ZINC000497020712 1072744705 /nfs/dbraw/zinc/74/47/05/1072744705.db2.gz QIZKLKVUEAVPSH-DLBZAZTESA-N 0 0 444.554 -0.156 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1 ZINC000497020721 1072744651 /nfs/dbraw/zinc/74/46/51/1072744651.db2.gz QIZKLKVUEAVPSH-IAGOWNOFSA-N 0 0 444.554 -0.156 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)C[C@H](O)CN2CCOCC2)C1 ZINC000497020724 1072744622 /nfs/dbraw/zinc/74/46/22/1072744622.db2.gz QIZKLKVUEAVPSH-IRXDYDNUSA-N 0 0 444.554 -0.156 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)C[C@H](O)CN2CCOCC2)C1 ZINC000497020725 1072744715 /nfs/dbraw/zinc/74/47/15/1072744715.db2.gz QIZKLKVUEAVPSH-SJORKVTESA-N 0 0 444.554 -0.156 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000497021768 1073327286 /nfs/dbraw/zinc/32/72/86/1073327286.db2.gz UQWLNOQFZMGMLQ-LBPRGKRZSA-N 0 0 429.437 -0.386 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000497033291 1072745200 /nfs/dbraw/zinc/74/52/00/1072745200.db2.gz DXVWBFSZWFKHLJ-AWEZNQCLSA-N 0 0 433.552 -0.466 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000497033292 1072745146 /nfs/dbraw/zinc/74/51/46/1072745146.db2.gz DXVWBFSZWFKHLJ-CQSZACIVSA-N 0 0 433.552 -0.466 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000497035687 1072745080 /nfs/dbraw/zinc/74/50/80/1072745080.db2.gz PWFLICVQVQBBDW-INIZCTEOSA-N 0 0 437.478 -0.063 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000497036971 1074355615 /nfs/dbraw/zinc/35/56/15/1074355615.db2.gz VEDZZYPTDZMRTP-AWEZNQCLSA-N 0 0 434.497 -0.858 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000497036976 1074355467 /nfs/dbraw/zinc/35/54/67/1074355467.db2.gz VEDZZYPTDZMRTP-CQSZACIVSA-N 0 0 434.497 -0.858 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCS(=O)(=O)NC(C)C)CC2)cn1C ZINC000497037447 1072745123 /nfs/dbraw/zinc/74/51/23/1072745123.db2.gz ZUCXEHLMZVKOAW-UHFFFAOYSA-N 0 0 435.572 -0.427 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NC[C@H]2CSCCN2C(=O)[C@@H]2CC[C@H](C(=O)OC)O2)O1 ZINC000497048847 1072745913 /nfs/dbraw/zinc/74/59/13/1072745913.db2.gz FLHFLCOAIMPEBG-BTFPBAQTSA-N 0 0 444.506 -0.512 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NC[C@@H]2CSCCN2C(=O)[C@@H]2CC[C@H](C(=O)OC)O2)O1 ZINC000497048852 1072745762 /nfs/dbraw/zinc/74/57/62/1072745762.db2.gz FLHFLCOAIMPEBG-GZBLMMOJSA-N 0 0 444.506 -0.512 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)N1CCSC[C@@H]1CNC(=O)[C@H](C)CS(C)(=O)=O ZINC000497049039 1072745917 /nfs/dbraw/zinc/74/59/17/1072745917.db2.gz GJCKUHNMSIUKHJ-AGIUHOORSA-N 0 0 428.598 -0.592 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)N1CCSC[C@H]1CNC(=O)[C@H](C)CS(C)(=O)=O ZINC000497049040 1072745889 /nfs/dbraw/zinc/74/58/89/1072745889.db2.gz GJCKUHNMSIUKHJ-FRRDWIJNSA-N 0 0 428.598 -0.592 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)NC[C@@H]1CSCCN1C(=O)[C@H](C)CS(C)(=O)=O ZINC000497049041 1072745810 /nfs/dbraw/zinc/74/58/10/1072745810.db2.gz GJCKUHNMSIUKHJ-JHJVBQTASA-N 0 0 428.598 -0.592 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)NC[C@H]1CSCCN1C(=O)[C@H](C)CS(C)(=O)=O ZINC000497049043 1072745715 /nfs/dbraw/zinc/74/57/15/1072745715.db2.gz GJCKUHNMSIUKHJ-UPJWGTAASA-N 0 0 428.598 -0.592 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000497049384 1072745789 /nfs/dbraw/zinc/74/57/89/1072745789.db2.gz IWQSEHYOPKXBIN-IBGZPJMESA-N 0 0 425.551 -0.318 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000497049385 1072745893 /nfs/dbraw/zinc/74/58/93/1072745893.db2.gz IWQSEHYOPKXBIN-LJQANCHMSA-N 0 0 425.551 -0.318 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000497049903 1072745903 /nfs/dbraw/zinc/74/59/03/1072745903.db2.gz LHUXETSXWDBSRX-HNNXBMFYSA-N 0 0 443.522 -0.722 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000497049904 1072745770 /nfs/dbraw/zinc/74/57/70/1072745770.db2.gz LHUXETSXWDBSRX-OAHLLOKOSA-N 0 0 443.522 -0.722 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)NC[C@@H]1CSCCN1C(=O)c1cc(C(N)=O)cn1C ZINC000497050057 1072745740 /nfs/dbraw/zinc/74/57/40/1072745740.db2.gz NCPCZSSWCSHMCS-CYBMUJFWSA-N 0 0 432.506 -0.451 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)NC[C@H]1CSCCN1C(=O)c1cc(C(N)=O)cn1C ZINC000497050060 1072745702 /nfs/dbraw/zinc/74/57/02/1072745702.db2.gz NCPCZSSWCSHMCS-ZDUSSCGKSA-N 0 0 432.506 -0.451 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000497050987 1072746372 /nfs/dbraw/zinc/74/63/72/1072746372.db2.gz YVSNDRKFQUOEQJ-APWZRJJASA-N 0 0 445.520 -0.312 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000497050988 1072746326 /nfs/dbraw/zinc/74/63/26/1072746326.db2.gz YVSNDRKFQUOEQJ-LPHOPBHVSA-N 0 0 445.520 -0.312 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000497050989 1072746414 /nfs/dbraw/zinc/74/64/14/1072746414.db2.gz YVSNDRKFQUOEQJ-QFBILLFUSA-N 0 0 445.520 -0.312 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000497050990 1072746397 /nfs/dbraw/zinc/74/63/97/1072746397.db2.gz YVSNDRKFQUOEQJ-VQIMIIECSA-N 0 0 445.520 -0.312 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CSCCN1C(=O)[C@H](C)N1CCN(C)CC1)N1CCN(C)CC1 ZINC000497066855 1072747686 /nfs/dbraw/zinc/74/76/86/1072747686.db2.gz RKQOQDIIGMAJOB-CEXWTWQISA-N 0 0 440.658 -0.682 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CSCCN1C(=O)[C@@H](C)N1CCN(C)CC1)N1CCN(C)CC1 ZINC000497066856 1072747383 /nfs/dbraw/zinc/74/73/83/1072747383.db2.gz RKQOQDIIGMAJOB-GUDVDZBRSA-N 0 0 440.658 -0.682 20 0 IBADRN C[C@H](C(=O)NC[C@H]1CSCCN1C(=O)[C@@H](C)N1CCN(C)CC1)N1CCN(C)CC1 ZINC000497066857 1072747663 /nfs/dbraw/zinc/74/76/63/1072747663.db2.gz RKQOQDIIGMAJOB-QRVBRYPASA-N 0 0 440.658 -0.682 20 0 IBADRN C[C@H](C(=O)NC[C@H]1CSCCN1C(=O)[C@H](C)N1CCN(C)CC1)N1CCN(C)CC1 ZINC000497066858 1072747572 /nfs/dbraw/zinc/74/75/72/1072747572.db2.gz RKQOQDIIGMAJOB-QYZOEREBSA-N 0 0 440.658 -0.682 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000497068381 1072747642 /nfs/dbraw/zinc/74/76/42/1072747642.db2.gz YTNNXACRSSJHKT-KRWDZBQOSA-N 0 0 427.523 -0.527 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000497068382 1072747405 /nfs/dbraw/zinc/74/74/05/1072747405.db2.gz YTNNXACRSSJHKT-QGZVFWFLSA-N 0 0 427.523 -0.527 20 0 IBADRN CNc1nc(C)cc(C(=O)NC[C@@H]2CSCCN2C(=O)c2cc(C)nc(NC)n2)n1 ZINC000497077876 1072747559 /nfs/dbraw/zinc/74/75/59/1072747559.db2.gz BLGRQEVQVDKUTH-CYBMUJFWSA-N 0 0 430.538 -0.202 20 0 IBADRN CNc1nc(C)cc(C(=O)NC[C@H]2CSCCN2C(=O)c2cc(C)nc(NC)n2)n1 ZINC000497077879 1072747439 /nfs/dbraw/zinc/74/74/39/1072747439.db2.gz BLGRQEVQVDKUTH-ZDUSSCGKSA-N 0 0 430.538 -0.202 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N1CCSC[C@H]1CNC(=O)[C@H]1CSCN1C(C)=O ZINC000497078516 1072842760 /nfs/dbraw/zinc/84/27/60/1072842760.db2.gz GORHQMWSFIWMTQ-KFWWJZLASA-N 0 0 446.620 -0.111 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)NC[C@@H]1CSCCN1C(=O)[C@H]1CSCN1C(C)=O ZINC000497078519 1072842609 /nfs/dbraw/zinc/84/26/09/1072842609.db2.gz GORHQMWSFIWMTQ-RBSFLKMASA-N 0 0 446.620 -0.111 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)NC[C@H]1CSCCN1C(=O)[C@H]1CSCN1C(C)=O ZINC000497078520 1072842570 /nfs/dbraw/zinc/84/25/70/1072842570.db2.gz GORHQMWSFIWMTQ-RRFJBIMHSA-N 0 0 446.620 -0.111 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N1CCSC[C@@H]1CNC(=O)[C@H]1CSCN1C(C)=O ZINC000497078521 1072842623 /nfs/dbraw/zinc/84/26/23/1072842623.db2.gz GORHQMWSFIWMTQ-ZNMIVQPWSA-N 0 0 446.620 -0.111 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1)N1CCCC1 ZINC000497080225 1072747512 /nfs/dbraw/zinc/74/75/12/1072747512.db2.gz MBQDETDAGCHZQQ-UHFFFAOYSA-N 0 0 439.538 -0.584 20 0 IBADRN COc1cc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc(OC)c1OCC(N)=O ZINC000497093498 1072748062 /nfs/dbraw/zinc/74/80/62/1072748062.db2.gz MDEQSYKYBZQUDN-UHFFFAOYSA-N 0 0 434.493 -0.052 20 0 IBADRN O=C(CN1CCCN(C(=O)c2cccc(F)c2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000497143327 1072751063 /nfs/dbraw/zinc/75/10/63/1072751063.db2.gz IDRHTDOYNWUHAP-INIZCTEOSA-N 0 0 440.497 -0.014 20 0 IBADRN O=C(CN1CCCN(C(=O)c2cccc(F)c2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000497143328 1072751204 /nfs/dbraw/zinc/75/12/04/1072751204.db2.gz IDRHTDOYNWUHAP-MRXNPFEDSA-N 0 0 440.497 -0.014 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000497145311 1072749645 /nfs/dbraw/zinc/74/96/45/1072749645.db2.gz LFSDRNPVVNMKMK-DLBZAZTESA-N 0 0 432.587 -0.819 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000497145316 1072749580 /nfs/dbraw/zinc/74/95/80/1072749580.db2.gz LFSDRNPVVNMKMK-IAGOWNOFSA-N 0 0 432.587 -0.819 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000497145317 1072749634 /nfs/dbraw/zinc/74/96/34/1072749634.db2.gz LFSDRNPVVNMKMK-IRXDYDNUSA-N 0 0 432.587 -0.819 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000497145318 1072749624 /nfs/dbraw/zinc/74/96/24/1072749624.db2.gz LFSDRNPVVNMKMK-SJORKVTESA-N 0 0 432.587 -0.819 20 0 IBADRN COc1ccc(NC(=O)CCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000497191685 1072753501 /nfs/dbraw/zinc/75/35/01/1072753501.db2.gz SHAWJJQVGPQUIA-UHFFFAOYSA-N 0 0 440.478 -0.013 20 0 IBADRN CCc1ccc([C@H](COC)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000497258591 1072754658 /nfs/dbraw/zinc/75/46/58/1072754658.db2.gz BMLMAHKSRVVRCF-AWEZNQCLSA-N 0 0 448.480 -0.866 20 0 IBADRN CCc1ccc([C@@H](COC)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000497258614 1072754562 /nfs/dbraw/zinc/75/45/62/1072754562.db2.gz BMLMAHKSRVVRCF-CQSZACIVSA-N 0 0 448.480 -0.866 20 0 IBADRN CCc1ccc([C@H](COC)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)o1 ZINC000497259748 1072754526 /nfs/dbraw/zinc/75/45/26/1072754526.db2.gz GXKQBNBCGCLPOT-KRWDZBQOSA-N 0 0 436.509 -0.351 20 0 IBADRN CCc1ccc([C@@H](COC)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)o1 ZINC000497259749 1072754618 /nfs/dbraw/zinc/75/46/18/1072754618.db2.gz GXKQBNBCGCLPOT-QGZVFWFLSA-N 0 0 436.509 -0.351 20 0 IBADRN CCc1ccc([C@H](COC)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)o1 ZINC000497311604 1072755703 /nfs/dbraw/zinc/75/57/03/1072755703.db2.gz NPUQODOYHSZSBG-KRWDZBQOSA-N 0 0 436.509 -0.351 20 0 IBADRN CCc1ccc([C@@H](COC)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)o1 ZINC000497311606 1072755552 /nfs/dbraw/zinc/75/55/52/1072755552.db2.gz NPUQODOYHSZSBG-QGZVFWFLSA-N 0 0 436.509 -0.351 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCOC(C)(C)C3)CC2)C[C@@H](C)O1 ZINC000497319404 1072755492 /nfs/dbraw/zinc/75/54/92/1072755492.db2.gz GCBJIFQLOQTHQX-BZUAXINKSA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCOC(C)(C)C3)CC2)C[C@@H](C)O1 ZINC000497319405 1072755546 /nfs/dbraw/zinc/75/55/46/1072755546.db2.gz GCBJIFQLOQTHQX-OAGGEKHMSA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCOC(C)(C)C3)CC2)C[C@H](C)O1 ZINC000497319406 1072755510 /nfs/dbraw/zinc/75/55/10/1072755510.db2.gz GCBJIFQLOQTHQX-OWCLPIDISA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCOC(C)(C)C3)CC2)C[C@H](C)O1 ZINC000497319407 1072755714 /nfs/dbraw/zinc/75/57/14/1072755714.db2.gz GCBJIFQLOQTHQX-XHSDSOJGSA-N 0 0 446.570 -0.442 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccsc2Br)CC1 ZINC000497319419 1072755694 /nfs/dbraw/zinc/75/56/94/1072755694.db2.gz GIPPKPFYXULDEV-UHFFFAOYSA-N 0 0 442.299 -0.516 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCOC(C)(C)C3)CC2)cn1C ZINC000497319767 1072756286 /nfs/dbraw/zinc/75/62/86/1072756286.db2.gz KZEILXHDGJUXKI-AWEZNQCLSA-N 0 0 427.527 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCOC(C)(C)C3)CC2)cn1C ZINC000497319773 1072756213 /nfs/dbraw/zinc/75/62/13/1072756213.db2.gz KZEILXHDGJUXKI-CQSZACIVSA-N 0 0 427.527 -0.365 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)c(=O)n(C)c1=O ZINC000497321634 1072756148 /nfs/dbraw/zinc/75/61/48/1072756148.db2.gz KGZLHEGZRLYHNO-INIZCTEOSA-N 0 0 447.517 -0.804 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)c(=O)n(C)c1=O ZINC000497321635 1072756110 /nfs/dbraw/zinc/75/61/10/1072756110.db2.gz KGZLHEGZRLYHNO-MRXNPFEDSA-N 0 0 447.517 -0.804 20 0 IBADRN COC(=O)C[C@H]1CSCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000497327504 1072756393 /nfs/dbraw/zinc/75/63/93/1072756393.db2.gz OAQCWCDUBSIAQB-AAEUAGOBSA-N 0 0 429.520 -0.041 20 0 IBADRN COC(=O)C[C@@H]1CSCCN1C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000497327505 1072756299 /nfs/dbraw/zinc/75/62/99/1072756299.db2.gz OAQCWCDUBSIAQB-DGCLKSJQSA-N 0 0 429.520 -0.041 20 0 IBADRN COC(=O)C[C@@H]1CSCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000497327506 1072756160 /nfs/dbraw/zinc/75/61/60/1072756160.db2.gz OAQCWCDUBSIAQB-WCQYABFASA-N 0 0 429.520 -0.041 20 0 IBADRN COC(=O)C[C@H]1CSCCN1C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000497327507 1072756125 /nfs/dbraw/zinc/75/61/25/1072756125.db2.gz OAQCWCDUBSIAQB-YPMHNXCESA-N 0 0 429.520 -0.041 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1 ZINC000497328627 1072756367 /nfs/dbraw/zinc/75/63/67/1072756367.db2.gz CAOSYMJWJIOGGU-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN CC1(C)C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCO1 ZINC000497332918 1072756807 /nfs/dbraw/zinc/75/68/07/1072756807.db2.gz BWHFDHJZQAPDRB-GFCCVEGCSA-N 0 0 442.494 -0.715 20 0 IBADRN CC1(C)C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCO1 ZINC000497332919 1072756901 /nfs/dbraw/zinc/75/69/01/1072756901.db2.gz BWHFDHJZQAPDRB-LBPRGKRZSA-N 0 0 442.494 -0.715 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000497337504 1072756876 /nfs/dbraw/zinc/75/68/76/1072756876.db2.gz BKKQEJVAQGWNPL-KRWDZBQOSA-N 0 0 438.550 -0.335 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000497337505 1072756766 /nfs/dbraw/zinc/75/67/66/1072756766.db2.gz BKKQEJVAQGWNPL-QGZVFWFLSA-N 0 0 438.550 -0.335 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)nn1 ZINC000497337546 1072756825 /nfs/dbraw/zinc/75/68/25/1072756825.db2.gz COFBLGWWGZTIHX-UHFFFAOYSA-N 0 0 442.448 -0.209 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000497343620 1072756882 /nfs/dbraw/zinc/75/68/82/1072756882.db2.gz LCZVIIGFHKOOTA-UHFFFAOYSA-N 0 0 434.493 -0.563 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)o1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000497343698 1072756871 /nfs/dbraw/zinc/75/68/71/1072756871.db2.gz NJMXYZBZQCBTAU-UHFFFAOYSA-N 0 0 443.298 -0.225 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000497343763 1072757267 /nfs/dbraw/zinc/75/72/67/1072757267.db2.gz OZVLJDVMZDAPKI-UHFFFAOYSA-N 0 0 434.493 -0.563 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000497346361 1072757926 /nfs/dbraw/zinc/75/79/26/1072757926.db2.gz YSFKTFOSSIVVGI-UHFFFAOYSA-N 0 0 442.513 -0.503 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC000497349983 1072757997 /nfs/dbraw/zinc/75/79/97/1072757997.db2.gz JLGCMOLFMSSCDF-UHFFFAOYSA-N 0 0 438.494 -0.076 20 0 IBADRN CN(C(=O)Cn1ncn(C)c1=O)c1ccc(NC(=O)Cn2ncn(C)c2=O)cc1Cl ZINC000497350366 1072757868 /nfs/dbraw/zinc/75/78/68/1072757868.db2.gz QDFDHTITLQOOGJ-UHFFFAOYSA-N 0 0 434.844 -0.568 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN(CCO)CC2)cc1C ZINC000497356327 1072758749 /nfs/dbraw/zinc/75/87/49/1072758749.db2.gz WIDQEZIVJCMOAG-KRWDZBQOSA-N 0 0 426.539 -0.648 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN(CCO)CC2)cc1C ZINC000497356328 1072758717 /nfs/dbraw/zinc/75/87/17/1072758717.db2.gz WIDQEZIVJCMOAG-QGZVFWFLSA-N 0 0 426.539 -0.648 20 0 IBADRN Cn1cnn(CC(=O)Nc2cccc(N(CC3CC3)C(=O)Cn3ncn(C)c3=O)c2)c1=O ZINC000497358340 1072758736 /nfs/dbraw/zinc/75/87/36/1072758736.db2.gz PPRADGRHAVVAJB-UHFFFAOYSA-N 0 0 440.464 -0.441 20 0 IBADRN Cn1cnn(CC(=O)NCc2ccc(Cl)c(NC(=O)Cn3ncn(C)c3=O)c2)c1=O ZINC000497360701 1072758400 /nfs/dbraw/zinc/75/84/00/1072758400.db2.gz CWFCONOQDWCXPH-UHFFFAOYSA-N 0 0 434.844 -0.915 20 0 IBADRN CN(C(=O)Cn1ncn(C)c1=O)c1ccc(Cl)c(N(C)C(=O)Cn2ncn(C)c2=O)c1 ZINC000497361418 1072758768 /nfs/dbraw/zinc/75/87/68/1072758768.db2.gz FLHSDEKAXPIUHL-UHFFFAOYSA-N 0 0 448.871 -0.544 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)NCCN1CCN(c2ncccn2)CC1 ZINC000497363284 1072759454 /nfs/dbraw/zinc/75/94/54/1072759454.db2.gz FLFUYBBMBRCMSY-UHFFFAOYSA-N 0 0 444.584 -0.158 20 0 IBADRN CC1CN(C(=O)Cn2ncn(C)c2=O)c2ccccc2N(C(=O)Cn2ncn(C)c2=O)C1 ZINC000497363759 1072758884 /nfs/dbraw/zinc/75/88/84/1072758884.db2.gz JPBGDEZKZSKICR-UHFFFAOYSA-N 0 0 440.464 -0.807 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000497366047 1072759392 /nfs/dbraw/zinc/75/93/92/1072759392.db2.gz LFHMJEJAKRWKDL-AWEZNQCLSA-N 0 0 441.510 -0.981 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000497366054 1072759281 /nfs/dbraw/zinc/75/92/81/1072759281.db2.gz LFHMJEJAKRWKDL-CQSZACIVSA-N 0 0 441.510 -0.981 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)c1=O ZINC000497367606 1072759904 /nfs/dbraw/zinc/75/99/04/1072759904.db2.gz XKMNTXPZLDZRHK-UHFFFAOYSA-N 0 0 428.417 -0.642 20 0 IBADRN CN(CC(=O)NCc1cccs1)CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000497368618 1072759832 /nfs/dbraw/zinc/75/98/32/1072759832.db2.gz LUTQPLNILCNIHM-HNNXBMFYSA-N 0 0 428.580 -0.373 20 0 IBADRN CN(CC(=O)NCc1cccs1)CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000497368644 1072759801 /nfs/dbraw/zinc/75/98/01/1072759801.db2.gz LUTQPLNILCNIHM-OAHLLOKOSA-N 0 0 428.580 -0.373 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)nn1 ZINC000497369411 1072759376 /nfs/dbraw/zinc/75/93/76/1072759376.db2.gz UUXRTPYONYXACF-UHFFFAOYSA-N 0 0 442.448 -0.209 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000497401759 1072761664 /nfs/dbraw/zinc/76/16/64/1072761664.db2.gz PVMMIGLJCOTXSS-HNNXBMFYSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000497401760 1072761804 /nfs/dbraw/zinc/76/18/04/1072761804.db2.gz PVMMIGLJCOTXSS-OAHLLOKOSA-N 0 0 442.490 -0.101 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](CO)C(=O)OC)CC2)cc1 ZINC000497402255 1072762445 /nfs/dbraw/zinc/76/24/45/1072762445.db2.gz SLKBKMUTSQRHDF-INIZCTEOSA-N 0 0 442.490 -0.086 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H](CO)C(=O)OC)CC2)cc1 ZINC000497402258 1072762435 /nfs/dbraw/zinc/76/24/35/1072762435.db2.gz SLKBKMUTSQRHDF-MRXNPFEDSA-N 0 0 442.490 -0.086 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000497404238 1072762406 /nfs/dbraw/zinc/76/24/06/1072762406.db2.gz TYMCSRXMZXRGEJ-AWEZNQCLSA-N 0 0 430.479 -0.245 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000497404239 1072762267 /nfs/dbraw/zinc/76/22/67/1072762267.db2.gz TYMCSRXMZXRGEJ-CQSZACIVSA-N 0 0 430.479 -0.245 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(CC(=O)N2CCC[C@@H](C(N)=O)C2)CC1 ZINC000497407101 1072762331 /nfs/dbraw/zinc/76/23/31/1072762331.db2.gz SCCMWGXURSJLNM-GFCCVEGCSA-N 0 0 428.477 -0.001 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(CC(=O)N2CCC[C@H](C(N)=O)C2)CC1 ZINC000497407102 1072762238 /nfs/dbraw/zinc/76/22/38/1072762238.db2.gz SCCMWGXURSJLNM-LBPRGKRZSA-N 0 0 428.477 -0.001 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)c(=O)n(C)c1=O ZINC000497413574 1072763439 /nfs/dbraw/zinc/76/34/39/1072763439.db2.gz BBEPQKMTSMJKFI-INIZCTEOSA-N 0 0 440.504 -0.728 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)c(=O)n(C)c1=O ZINC000497413584 1072763475 /nfs/dbraw/zinc/76/34/75/1072763475.db2.gz BBEPQKMTSMJKFI-MRXNPFEDSA-N 0 0 440.504 -0.728 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cccc(Cl)c3)CC2)C1=O ZINC000497416819 1072762968 /nfs/dbraw/zinc/76/29/68/1072762968.db2.gz LDORDVFAEFJDIV-UHFFFAOYSA-N 0 0 428.854 -0.407 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)CC1 ZINC000497419370 1072762922 /nfs/dbraw/zinc/76/29/22/1072762922.db2.gz QIQOJXCELKEEDZ-UHFFFAOYSA-N 0 0 428.477 -0.035 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)CCO1 ZINC000497423495 1072762654 /nfs/dbraw/zinc/76/26/54/1072762654.db2.gz CVKQUFPLPKUXRC-GFCCVEGCSA-N 0 0 448.295 -0.485 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)CCO1 ZINC000497423496 1072762715 /nfs/dbraw/zinc/76/27/15/1072762715.db2.gz CVKQUFPLPKUXRC-LBPRGKRZSA-N 0 0 448.295 -0.485 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000497425265 1072764399 /nfs/dbraw/zinc/76/43/99/1072764399.db2.gz LKMPLZGTEALKCV-INIZCTEOSA-N 0 0 427.479 -0.381 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000497425277 1072764235 /nfs/dbraw/zinc/76/42/35/1072764235.db2.gz LKMPLZGTEALKCV-MRXNPFEDSA-N 0 0 427.479 -0.381 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)CCNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CCO1 ZINC000497430177 1072764300 /nfs/dbraw/zinc/76/43/00/1072764300.db2.gz AVAOANMNPAVSPU-GFCCVEGCSA-N 0 0 425.385 -0.034 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCO[C@H](C(N)=O)C3)CC2)o1 ZINC000497430469 1072764289 /nfs/dbraw/zinc/76/42/89/1072764289.db2.gz BEUINOQTFCWJPM-AWEZNQCLSA-N 0 0 443.478 -0.430 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCO[C@@H](C(N)=O)C3)CC2)o1 ZINC000497430518 1072764303 /nfs/dbraw/zinc/76/43/03/1072764303.db2.gz BEUINOQTFCWJPM-CQSZACIVSA-N 0 0 443.478 -0.430 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000497430981 1072763235 /nfs/dbraw/zinc/76/32/35/1072763235.db2.gz BUFLKPJCRDDFCI-DLBZAZTESA-N 0 0 441.506 -0.137 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000497430998 1072763391 /nfs/dbraw/zinc/76/33/91/1072763391.db2.gz BUFLKPJCRDDFCI-IAGOWNOFSA-N 0 0 441.506 -0.137 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000497431001 1072763264 /nfs/dbraw/zinc/76/32/64/1072763264.db2.gz BUFLKPJCRDDFCI-IRXDYDNUSA-N 0 0 441.506 -0.137 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000497431010 1072763280 /nfs/dbraw/zinc/76/32/80/1072763280.db2.gz BUFLKPJCRDDFCI-SJORKVTESA-N 0 0 441.506 -0.137 20 0 IBADRN CCCn1c(CCC(=O)N2CCN(C(=O)CO)CC2)nc2cc(S(N)(=O)=O)ccc21 ZINC000497431340 1072764267 /nfs/dbraw/zinc/76/42/67/1072764267.db2.gz HRBZPGOJRAMVDZ-UHFFFAOYSA-N 0 0 437.522 -0.311 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000497435712 1072763705 /nfs/dbraw/zinc/76/37/05/1072763705.db2.gz SEYVGFAHMKNOJP-UHFFFAOYSA-N 0 0 440.522 -0.890 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCO[C@H](C(N)=O)C3)CC2)cc1OC ZINC000497436170 1072763866 /nfs/dbraw/zinc/76/38/66/1072763866.db2.gz HWJMWOSTJCIGPN-KRWDZBQOSA-N 0 0 441.506 -0.183 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCO[C@@H](C(N)=O)C3)CC2)cc1OC ZINC000497436176 1072763817 /nfs/dbraw/zinc/76/38/17/1072763817.db2.gz HWJMWOSTJCIGPN-QGZVFWFLSA-N 0 0 441.506 -0.183 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)CCCC(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000497440114 1072766098 /nfs/dbraw/zinc/76/60/98/1072766098.db2.gz DJRJJUZEPUSUFV-UHFFFAOYSA-N 0 0 425.507 -0.047 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCO[C@H](C(N)=O)C2)o1 ZINC000497442298 1072764223 /nfs/dbraw/zinc/76/42/23/1072764223.db2.gz QKYZJICEXABTQT-AAEUAGOBSA-N 0 0 429.451 -0.678 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)o1 ZINC000497442304 1072764328 /nfs/dbraw/zinc/76/43/28/1072764328.db2.gz QKYZJICEXABTQT-DGCLKSJQSA-N 0 0 429.451 -0.678 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)o1 ZINC000497442306 1072764259 /nfs/dbraw/zinc/76/42/59/1072764259.db2.gz QKYZJICEXABTQT-WCQYABFASA-N 0 0 429.451 -0.678 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCO[C@H](C(N)=O)C2)o1 ZINC000497442309 1072764316 /nfs/dbraw/zinc/76/43/16/1072764316.db2.gz QKYZJICEXABTQT-YPMHNXCESA-N 0 0 429.451 -0.678 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CO)CC2)cc1Br ZINC000497442652 1072764244 /nfs/dbraw/zinc/76/42/44/1072764244.db2.gz SYPCTTNZPHMTBP-UHFFFAOYSA-N 0 0 434.312 -0.024 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(C(=O)CO)CC3)nc2n(CC)c1=O ZINC000497443017 1073351513 /nfs/dbraw/zinc/35/15/13/1073351513.db2.gz VNSIAUOIMLXPHL-UHFFFAOYSA-N 0 0 432.481 -0.380 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CCO1 ZINC000497445451 1072764823 /nfs/dbraw/zinc/76/48/23/1072764823.db2.gz RGHHAHQFKOJUFM-KRWDZBQOSA-N 0 0 439.490 -0.429 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CCO1 ZINC000497445454 1072764848 /nfs/dbraw/zinc/76/48/48/1072764848.db2.gz RGHHAHQFKOJUFM-QGZVFWFLSA-N 0 0 439.490 -0.429 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)nn1 ZINC000497447376 1072764782 /nfs/dbraw/zinc/76/47/82/1072764782.db2.gz WUZGZALEYOGVBE-UHFFFAOYSA-N 0 0 440.482 -0.823 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000497449366 1072764765 /nfs/dbraw/zinc/76/47/65/1072764765.db2.gz YSHPYVZHVDTDRX-CVEARBPZSA-N 0 0 427.479 -0.527 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000497449368 1072765358 /nfs/dbraw/zinc/76/53/58/1072765358.db2.gz YSHPYVZHVDTDRX-HOTGVXAUSA-N 0 0 427.479 -0.527 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)cc1 ZINC000497449560 1072764831 /nfs/dbraw/zinc/76/48/31/1072764831.db2.gz UPZOUVHFQRNAAI-UHFFFAOYSA-N 0 0 440.566 -0.084 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)c1ccc(=O)n(C)n1)NC(=O)c1ccc(=O)n(C)n1 ZINC000497452235 1072765365 /nfs/dbraw/zinc/76/53/65/1072765365.db2.gz UBZLJAHPFSOOCG-INIZCTEOSA-N 0 0 438.444 -0.216 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)c1ccc(=O)n(C)n1)NC(=O)c1ccc(=O)n(C)n1 ZINC000497452236 1072765185 /nfs/dbraw/zinc/76/51/85/1072765185.db2.gz UBZLJAHPFSOOCG-MRXNPFEDSA-N 0 0 438.444 -0.216 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000497455164 1072765818 /nfs/dbraw/zinc/76/58/18/1072765818.db2.gz ZONVAVZUPJIORW-INIZCTEOSA-N 0 0 429.495 -0.704 20 0 IBADRN CCn1c(CCC(=O)N2CCO[C@H](C(N)=O)C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000497455202 1072765680 /nfs/dbraw/zinc/76/56/80/1072765680.db2.gz ZUPYRUMHEYFYRI-INIZCTEOSA-N 0 0 437.522 -0.048 20 0 IBADRN CCn1c(CCC(=O)N2CCO[C@@H](C(N)=O)C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000497455205 1072765804 /nfs/dbraw/zinc/76/58/04/1072765804.db2.gz ZUPYRUMHEYFYRI-MRXNPFEDSA-N 0 0 437.522 -0.048 20 0 IBADRN CN(CC(=O)NCc1cccs1)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000497455568 1072765659 /nfs/dbraw/zinc/76/56/59/1072765659.db2.gz VNPZWUQTLPTTIV-UHFFFAOYSA-N 0 0 437.566 -0.701 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000497456804 1072765692 /nfs/dbraw/zinc/76/56/92/1072765692.db2.gz ZOSJZHRZFQGLMH-GOEBONIOSA-N 0 0 431.536 -0.372 20 0 IBADRN O=C(CO)N1CCN(C(=O)CCNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000497457561 1072766715 /nfs/dbraw/zinc/76/67/15/1072766715.db2.gz BBGRTQCIDZGNIR-UHFFFAOYSA-N 0 0 434.312 -0.219 20 0 IBADRN O=C(CO)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000497457864 1072766648 /nfs/dbraw/zinc/76/66/48/1072766648.db2.gz GDJYVBJUABAOCT-UHFFFAOYSA-N 0 0 441.506 -0.431 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CO)CC2)cc1S(=O)(=O)NCc1ccccn1 ZINC000497458434 1072766507 /nfs/dbraw/zinc/76/65/07/1072766507.db2.gz DUQPHQKVRIBPEO-UHFFFAOYSA-N 0 0 448.501 -0.155 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCN(C(=O)CO)CC1 ZINC000497461355 1072767071 /nfs/dbraw/zinc/76/70/71/1072767071.db2.gz LCVQHKOJUDRDEO-ZDUSSCGKSA-N 0 0 427.479 -0.822 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(C(=O)CO)CC1 ZINC000497461366 1072767031 /nfs/dbraw/zinc/76/70/31/1072767031.db2.gz LIDRMBLANBUNHK-AWEZNQCLSA-N 0 0 433.527 -0.111 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(C(=O)CO)CC1 ZINC000497461368 1072767107 /nfs/dbraw/zinc/76/71/07/1072767107.db2.gz LIDRMBLANBUNHK-CQSZACIVSA-N 0 0 433.527 -0.111 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1 ZINC000497464004 1072767065 /nfs/dbraw/zinc/76/70/65/1072767065.db2.gz MNMOXDHOMGIAFF-UHFFFAOYSA-N 0 0 434.522 -0.440 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)NCC1(O)CCS(=O)(=O)CC1 ZINC000497464299 1072767044 /nfs/dbraw/zinc/76/70/44/1072767044.db2.gz ISUAFTDHBSBLBR-UHFFFAOYSA-N 0 0 444.598 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)CC1 ZINC000497466418 1072767039 /nfs/dbraw/zinc/76/70/39/1072767039.db2.gz BXJCBKQCTXSQJU-UHFFFAOYSA-N 0 0 430.552 -0.628 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)NCCCN1CCCC1=O ZINC000497469826 1072766113 /nfs/dbraw/zinc/76/61/13/1072766113.db2.gz YGWMTBSWMLHFDS-UHFFFAOYSA-N 0 0 448.549 -0.002 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)cn1 ZINC000497471378 1072766186 /nfs/dbraw/zinc/76/61/86/1072766186.db2.gz MHIJMSGOCKRZED-UHFFFAOYSA-N 0 0 427.508 -0.493 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497473025 1072766107 /nfs/dbraw/zinc/76/61/07/1072766107.db2.gz WBFFILJJPMYCBV-CYBMUJFWSA-N 0 0 438.510 -0.270 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497473031 1072766138 /nfs/dbraw/zinc/76/61/38/1072766138.db2.gz WBFFILJJPMYCBV-ZDUSSCGKSA-N 0 0 438.510 -0.270 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC000497480085 1072767537 /nfs/dbraw/zinc/76/75/37/1072767537.db2.gz RYZNOFZAZDFFGY-MSOLQXFVSA-N 0 0 433.557 -0.010 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC000497480086 1072767506 /nfs/dbraw/zinc/76/75/06/1072767506.db2.gz RYZNOFZAZDFFGY-QZTJIDSGSA-N 0 0 433.557 -0.010 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC000497480087 1072767554 /nfs/dbraw/zinc/76/75/54/1072767554.db2.gz RYZNOFZAZDFFGY-ROUUACIJSA-N 0 0 433.557 -0.010 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC000497480088 1072767867 /nfs/dbraw/zinc/76/78/67/1072767867.db2.gz RYZNOFZAZDFFGY-ZWKOTPCHSA-N 0 0 433.557 -0.010 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)Cc2ccccc21 ZINC000497485793 1072767468 /nfs/dbraw/zinc/76/74/68/1072767468.db2.gz KVJVYVKWNXIOEB-UHFFFAOYSA-N 0 0 427.508 -0.271 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)NCC(=O)N1CCCC1 ZINC000497488114 1072767456 /nfs/dbraw/zinc/76/74/56/1072767456.db2.gz KHHMDFZPTBQKFO-UHFFFAOYSA-N 0 0 434.522 -0.392 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)c1 ZINC000497490165 1072767448 /nfs/dbraw/zinc/76/74/48/1072767448.db2.gz VXBHVZBVASWCBN-UHFFFAOYSA-N 0 0 427.508 -0.493 20 0 IBADRN NC(=O)[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1 ZINC000497491053 1072767548 /nfs/dbraw/zinc/76/75/48/1072767548.db2.gz CLLTXUSSMLRLBS-AWEZNQCLSA-N 0 0 434.522 -0.407 20 0 IBADRN NC(=O)[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1 ZINC000497491055 1072767519 /nfs/dbraw/zinc/76/75/19/1072767519.db2.gz CLLTXUSSMLRLBS-CQSZACIVSA-N 0 0 434.522 -0.407 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497491100 1072767481 /nfs/dbraw/zinc/76/74/81/1072767481.db2.gz CTEWNYJPBMQFBU-UHFFFAOYSA-N 0 0 436.538 -0.146 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497493505 1072768106 /nfs/dbraw/zinc/76/81/06/1072768106.db2.gz LEOJNSJPRDQQJN-AWEZNQCLSA-N 0 0 448.549 -0.005 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497493533 1072768089 /nfs/dbraw/zinc/76/80/89/1072768089.db2.gz LEOJNSJPRDQQJN-CQSZACIVSA-N 0 0 448.549 -0.005 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497494546 1072768048 /nfs/dbraw/zinc/76/80/48/1072768048.db2.gz NQJNMJUUIVQHFH-CABCVRRESA-N 0 0 448.549 -0.018 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497494556 1072768039 /nfs/dbraw/zinc/76/80/39/1072768039.db2.gz NQJNMJUUIVQHFH-GJZGRUSLSA-N 0 0 448.549 -0.018 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497494560 1072767935 /nfs/dbraw/zinc/76/79/35/1072767935.db2.gz NQJNMJUUIVQHFH-HUUCEWRRSA-N 0 0 448.549 -0.018 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497494562 1072767913 /nfs/dbraw/zinc/76/79/13/1072767913.db2.gz NQJNMJUUIVQHFH-LSDHHAIUSA-N 0 0 448.549 -0.018 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497495386 1072768001 /nfs/dbraw/zinc/76/80/01/1072768001.db2.gz QRKFCWUPEAFZFL-AWEZNQCLSA-N 0 0 448.549 -0.018 20 0 IBADRN C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497495392 1072768032 /nfs/dbraw/zinc/76/80/32/1072768032.db2.gz QRKFCWUPEAFZFL-CQSZACIVSA-N 0 0 448.549 -0.018 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1 ZINC000497497328 1072768075 /nfs/dbraw/zinc/76/80/75/1072768075.db2.gz VZAYCFHZLDKFSU-INIZCTEOSA-N 0 0 448.549 -0.004 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1 ZINC000497497336 1072767923 /nfs/dbraw/zinc/76/79/23/1072767923.db2.gz VZAYCFHZLDKFSU-MRXNPFEDSA-N 0 0 448.549 -0.004 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000497497490 1072768055 /nfs/dbraw/zinc/76/80/55/1072768055.db2.gz RWCYRHPVSBBDSA-KRWDZBQOSA-N 0 0 431.603 -0.597 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000497497491 1072767942 /nfs/dbraw/zinc/76/79/42/1072767942.db2.gz RWCYRHPVSBBDSA-QGZVFWFLSA-N 0 0 431.603 -0.597 20 0 IBADRN COCC(=O)N1CCC(NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000497497725 1072767892 /nfs/dbraw/zinc/76/78/92/1072767892.db2.gz QCYGXHNAQJCQRS-UHFFFAOYSA-N 0 0 442.538 -0.264 20 0 IBADRN O=C(CN1CCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1)NC1CC1 ZINC000497502704 1072768061 /nfs/dbraw/zinc/76/80/61/1072768061.db2.gz YLSPFBBVICIOOI-UHFFFAOYSA-N 0 0 442.563 -0.195 20 0 IBADRN CN(CCCNC(=O)CN1CCN(CC(=O)N2CCCCCC2)CC1)S(C)(=O)=O ZINC000497504931 1072768637 /nfs/dbraw/zinc/76/86/37/1072768637.db2.gz OTDDPJPOFZOXOZ-UHFFFAOYSA-N 0 0 431.603 -0.596 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](C(=O)NC2CCN([C@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)O1 ZINC000497506927 1072768578 /nfs/dbraw/zinc/76/85/78/1072768578.db2.gz DRIGTMOILNYIBC-BZSNNMDCSA-N 0 0 428.489 -0.072 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)NC2CCN([C@@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)O1 ZINC000497506931 1072768454 /nfs/dbraw/zinc/76/84/54/1072768454.db2.gz DRIGTMOILNYIBC-FGTMMUONSA-N 0 0 428.489 -0.072 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)NC2CCN([C@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)O1 ZINC000497506933 1072768426 /nfs/dbraw/zinc/76/84/26/1072768426.db2.gz DRIGTMOILNYIBC-OKZBNKHCSA-N 0 0 428.489 -0.072 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](C(=O)NC2CCN([C@@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)O1 ZINC000497506936 1072768953 /nfs/dbraw/zinc/76/89/53/1072768953.db2.gz DRIGTMOILNYIBC-SQNIBIBYSA-N 0 0 428.489 -0.072 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1)NC1CC1 ZINC000497514655 1072769092 /nfs/dbraw/zinc/76/90/92/1072769092.db2.gz GANAXKMKOAOTFH-UHFFFAOYSA-N 0 0 425.511 -0.928 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1)NC1CC1 ZINC000497515127 1072768532 /nfs/dbraw/zinc/76/85/32/1072768532.db2.gz IGVNQAPYYBNZNH-UHFFFAOYSA-N 0 0 441.579 -0.211 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)NCc1ccc(F)cc1 ZINC000497516542 1072768629 /nfs/dbraw/zinc/76/86/29/1072768629.db2.gz PUCZUKARCDDVDM-HNNXBMFYSA-N 0 0 428.486 -0.223 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)NCc1ccc(F)cc1 ZINC000497516543 1072768603 /nfs/dbraw/zinc/76/86/03/1072768603.db2.gz PUCZUKARCDDVDM-OAHLLOKOSA-N 0 0 428.486 -0.223 20 0 IBADRN CN(CCCNC(=O)CN1CCN(CC(=O)NCc2ccccc2)CC1)S(C)(=O)=O ZINC000497519692 1072769552 /nfs/dbraw/zinc/76/95/52/1072769552.db2.gz OIIHAEYDSZFZNQ-UHFFFAOYSA-N 0 0 439.582 -0.682 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc(N3CCN(C(=O)[C@H](C)O)CC3)nc3ccccc32)CC1 ZINC000497521881 1072769515 /nfs/dbraw/zinc/76/95/15/1072769515.db2.gz NADXZEMBBOSIPB-CVEARBPZSA-N 0 0 442.520 -0.311 20 0 IBADRN C[C@H](O)C(=O)N1CCN(c2nc3ccccc3c(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000497521887 1072769407 /nfs/dbraw/zinc/76/94/07/1072769407.db2.gz NADXZEMBBOSIPB-HOTGVXAUSA-N 0 0 442.520 -0.311 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc3ccccc3c(N3CCN(C(=O)[C@@H](C)O)CC3)n2)CC1 ZINC000497521890 1072769437 /nfs/dbraw/zinc/76/94/37/1072769437.db2.gz NADXZEMBBOSIPB-HZPDHXFCSA-N 0 0 442.520 -0.311 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc3ccccc3c(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000497521891 1072769397 /nfs/dbraw/zinc/76/93/97/1072769397.db2.gz NADXZEMBBOSIPB-JKSUJKDBSA-N 0 0 442.520 -0.311 20 0 IBADRN O=C(CNC(=O)CN1C(=O)NC2(CCCC2)C1=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000497526747 1072768927 /nfs/dbraw/zinc/76/89/27/1072768927.db2.gz OLOHWYMMXLBMAG-UHFFFAOYSA-N 0 0 428.405 -0.040 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)C1=O ZINC000497530596 1072769430 /nfs/dbraw/zinc/76/94/30/1072769430.db2.gz BBKSBJDXKBCNMX-UHFFFAOYSA-N 0 0 448.379 -0.643 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2ncc(Cl)c(N3CCN(C(=O)[C@@H](C)O)CC3)n2)CC1 ZINC000497536214 1072770073 /nfs/dbraw/zinc/77/00/73/1072770073.db2.gz PRPJCZZECNOJSH-CHWSQXEVSA-N 0 0 426.905 -0.811 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc(N3CCN(C(=O)[C@H](C)O)CC3)ncc2Cl)CC1 ZINC000497536226 1072769871 /nfs/dbraw/zinc/76/98/71/1072769871.db2.gz PRPJCZZECNOJSH-OLZOCXBDSA-N 0 0 426.905 -0.811 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2ncc(Cl)c(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000497536227 1072769947 /nfs/dbraw/zinc/76/99/47/1072769947.db2.gz PRPJCZZECNOJSH-QWHCGFSZSA-N 0 0 426.905 -0.811 20 0 IBADRN C[C@H](O)C(=O)N1CCN(c2ncc(Cl)c(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000497536228 1072770041 /nfs/dbraw/zinc/77/00/41/1072770041.db2.gz PRPJCZZECNOJSH-STQMWFEESA-N 0 0 426.905 -0.811 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000497543419 1072769929 /nfs/dbraw/zinc/76/99/29/1072769929.db2.gz NODXYKXFZGMUCW-UHFFFAOYSA-N 0 0 431.536 -0.371 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(C)CC(=O)N2CCOCC2)c1 ZINC000497543722 1072770005 /nfs/dbraw/zinc/77/00/05/1072770005.db2.gz PTHGLLZGONDPIV-UHFFFAOYSA-N 0 0 428.511 -0.804 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000497543775 1072770084 /nfs/dbraw/zinc/77/00/84/1072770084.db2.gz UTKHDWRSNBGONK-DLBZAZTESA-N 0 0 430.505 -0.140 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000497543777 1072770016 /nfs/dbraw/zinc/77/00/16/1072770016.db2.gz UTKHDWRSNBGONK-IAGOWNOFSA-N 0 0 430.505 -0.140 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000497543778 1072769886 /nfs/dbraw/zinc/76/98/86/1072769886.db2.gz UTKHDWRSNBGONK-IRXDYDNUSA-N 0 0 430.505 -0.140 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000497543779 1072770055 /nfs/dbraw/zinc/77/00/55/1072770055.db2.gz UTKHDWRSNBGONK-SJORKVTESA-N 0 0 430.505 -0.140 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000497550198 1072771536 /nfs/dbraw/zinc/77/15/36/1072771536.db2.gz LQQCZVPSCSFJRB-UHFFFAOYSA-N 0 0 428.398 -0.794 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000497552284 1072771444 /nfs/dbraw/zinc/77/14/44/1072771444.db2.gz ABDFJIHRPSVJOK-AWEZNQCLSA-N 0 0 444.579 -0.144 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000497552285 1072771503 /nfs/dbraw/zinc/77/15/03/1072771503.db2.gz ABDFJIHRPSVJOK-CQSZACIVSA-N 0 0 444.579 -0.144 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C1=O ZINC000497552884 1072771583 /nfs/dbraw/zinc/77/15/83/1072771583.db2.gz HZZJGLPWPHHHAS-UHFFFAOYSA-N 0 0 431.449 -0.281 20 0 IBADRN CCCCn1c(N)c(N(Cc2ccco2)C(=O)CN2C(=O)C(=O)N(C)C2=O)c(=O)[nH]c1=O ZINC000497553251 1072771472 /nfs/dbraw/zinc/77/14/72/1072771472.db2.gz MGBBUKGGWHKPJK-UHFFFAOYSA-N 0 0 446.420 -0.122 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CCOc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000497554051 1072770496 /nfs/dbraw/zinc/77/04/96/1072770496.db2.gz XLXBBBBAZZPSPP-KRWDZBQOSA-N 0 0 443.522 -0.304 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CCOc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000497554052 1072770340 /nfs/dbraw/zinc/77/03/40/1072770340.db2.gz XLXBBBBAZZPSPP-QGZVFWFLSA-N 0 0 443.522 -0.304 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000497555961 1072770358 /nfs/dbraw/zinc/77/03/58/1072770358.db2.gz GIQPYULWPHWKRZ-HNNXBMFYSA-N 0 0 429.495 -0.056 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1Cc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000497555962 1072770332 /nfs/dbraw/zinc/77/03/32/1072770332.db2.gz GIQPYULWPHWKRZ-OAHLLOKOSA-N 0 0 429.495 -0.056 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000497556042 1072770487 /nfs/dbraw/zinc/77/04/87/1072770487.db2.gz HFTCMEQUJBWYOF-KRWDZBQOSA-N 0 0 449.504 -0.031 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000497556043 1072770898 /nfs/dbraw/zinc/77/08/98/1072770898.db2.gz HFTCMEQUJBWYOF-QGZVFWFLSA-N 0 0 449.504 -0.031 20 0 IBADRN Cc1ccc(C(=O)N(C)CC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000497558633 1072771010 /nfs/dbraw/zinc/77/10/10/1072771010.db2.gz VHVNHSZIOGZTTM-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000497558949 1072770861 /nfs/dbraw/zinc/77/08/61/1072770861.db2.gz AWDRHCQXYRBXFE-INIZCTEOSA-N 0 0 442.476 -0.230 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1Cc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000497558950 1072770953 /nfs/dbraw/zinc/77/09/53/1072770953.db2.gz AWDRHCQXYRBXFE-MRXNPFEDSA-N 0 0 442.476 -0.230 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1Cc1nnc2n1c1ccsc1c(=O)n2C ZINC000497559428 1072770870 /nfs/dbraw/zinc/77/08/70/1072770870.db2.gz HOCABRCSIWFIPW-GFCCVEGCSA-N 0 0 434.478 -0.477 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1Cc1nnc2n1c1ccsc1c(=O)n2C ZINC000497559429 1072770946 /nfs/dbraw/zinc/77/09/46/1072770946.db2.gz HOCABRCSIWFIPW-LBPRGKRZSA-N 0 0 434.478 -0.477 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000497561031 1072770934 /nfs/dbraw/zinc/77/09/34/1072770934.db2.gz YXPPLYABJZFACW-KRWDZBQOSA-N 0 0 436.582 -0.292 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000497561032 1071364038 /nfs/dbraw/zinc/36/40/38/1071364038.db2.gz YXPPLYABJZFACW-QGZVFWFLSA-N 0 0 436.582 -0.292 20 0 IBADRN Cc1cc(NC(=O)C2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)no1 ZINC000497561554 1073327734 /nfs/dbraw/zinc/32/77/34/1073327734.db2.gz IZLIXDDNBCILFF-UHFFFAOYSA-N 0 0 443.464 -0.002 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N(C)CC(=O)N3CCOCC3)CC2)c1 ZINC000497564434 1072770889 /nfs/dbraw/zinc/77/08/89/1072770889.db2.gz NZUNUFTXVIENAG-UHFFFAOYSA-N 0 0 438.550 -0.236 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000497565277 1072771431 /nfs/dbraw/zinc/77/14/31/1072771431.db2.gz VRLVZDNUDDNXAX-CYBMUJFWSA-N 0 0 436.421 -0.557 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000497565278 1072771570 /nfs/dbraw/zinc/77/15/70/1072771570.db2.gz VRLVZDNUDDNXAX-ZDUSSCGKSA-N 0 0 436.421 -0.557 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000497565524 1072771395 /nfs/dbraw/zinc/77/13/95/1072771395.db2.gz XUYTYQWKJIXJAO-AWEZNQCLSA-N 0 0 444.579 -0.144 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000497565525 1072771552 /nfs/dbraw/zinc/77/15/52/1072771552.db2.gz XUYTYQWKJIXJAO-CQSZACIVSA-N 0 0 444.579 -0.144 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)OC ZINC000497567641 1072771920 /nfs/dbraw/zinc/77/19/20/1072771920.db2.gz KXPOAULFBPEBFR-MSOLQXFVSA-N 0 0 447.488 -0.507 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)OC ZINC000497567642 1072771925 /nfs/dbraw/zinc/77/19/25/1072771925.db2.gz KXPOAULFBPEBFR-QZTJIDSGSA-N 0 0 447.488 -0.507 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1Cc2ccccc2C[C@H]1C(=O)OC ZINC000497567643 1072771836 /nfs/dbraw/zinc/77/18/36/1072771836.db2.gz KXPOAULFBPEBFR-ROUUACIJSA-N 0 0 447.488 -0.507 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1Cc2ccccc2C[C@H]1C(=O)OC ZINC000497567644 1072771860 /nfs/dbraw/zinc/77/18/60/1072771860.db2.gz KXPOAULFBPEBFR-ZWKOTPCHSA-N 0 0 447.488 -0.507 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1ccc(CN2CCOCC2)cc1 ZINC000497567684 1072771993 /nfs/dbraw/zinc/77/19/93/1072771993.db2.gz LGKIFGCSAZAPAS-IBGZPJMESA-N 0 0 448.520 -0.163 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1ccc(CN2CCOCC2)cc1 ZINC000497567685 1072771961 /nfs/dbraw/zinc/77/19/61/1072771961.db2.gz LGKIFGCSAZAPAS-LJQANCHMSA-N 0 0 448.520 -0.163 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000497567697 1072771825 /nfs/dbraw/zinc/77/18/25/1072771825.db2.gz LLRAMWWSAGWTTN-KRWDZBQOSA-N 0 0 439.582 -0.169 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000497567698 1072771846 /nfs/dbraw/zinc/77/18/46/1072771846.db2.gz LLRAMWWSAGWTTN-QGZVFWFLSA-N 0 0 439.582 -0.169 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000497567758 1072771911 /nfs/dbraw/zinc/77/19/11/1072771911.db2.gz LYXOLOCUDVBMDL-UHFFFAOYSA-N 0 0 426.426 -0.531 20 0 IBADRN CCOC(=O)c1c2c(sc1NC(=O)CN1C(=O)C(=O)N(C)C1=O)CS(=O)(=O)CC2 ZINC000497568525 1072772486 /nfs/dbraw/zinc/77/24/86/1072772486.db2.gz VJRUGHBAHFRKCZ-UHFFFAOYSA-N 0 0 443.459 -0.245 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNc2cc(NC[C@@H]3CCN(S(C)(=O)=O)C3)ncn2)C1 ZINC000497569276 1073350754 /nfs/dbraw/zinc/35/07/54/1073350754.db2.gz HQRLWPVQTZKMEE-KBPBESRZSA-N 0 0 432.572 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNc2cc(NC[C@@H]3CCN(S(C)(=O)=O)C3)ncn2)C1 ZINC000497569277 1073350696 /nfs/dbraw/zinc/35/06/96/1073350696.db2.gz HQRLWPVQTZKMEE-OKILXGFUSA-N 0 0 432.572 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNc2cc(NC[C@H]3CCN(S(C)(=O)=O)C3)ncn2)C1 ZINC000497569278 1072772555 /nfs/dbraw/zinc/77/25/55/1072772555.db2.gz HQRLWPVQTZKMEE-ZIAGYGMSSA-N 0 0 432.572 -0.137 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000497570375 1072772370 /nfs/dbraw/zinc/77/23/70/1072772370.db2.gz COCDQUFSPJJJHP-HNNXBMFYSA-N 0 0 427.479 -0.591 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000497570376 1072772440 /nfs/dbraw/zinc/77/24/40/1072772440.db2.gz COCDQUFSPJJJHP-OAHLLOKOSA-N 0 0 427.479 -0.591 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)CC2)CC1 ZINC000497570584 1072772574 /nfs/dbraw/zinc/77/25/74/1072772574.db2.gz GCCHGXNAVAPUED-RBUKOAKNSA-N 0 0 436.553 -0.205 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)CN3C(=O)C(=O)N(C)C3=O)c2)CC1 ZINC000497572040 1072773078 /nfs/dbraw/zinc/77/30/78/1072773078.db2.gz WJWGBRSLUPZESC-UHFFFAOYSA-N 0 0 437.478 -0.628 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000497572202 1072772448 /nfs/dbraw/zinc/77/24/48/1072772448.db2.gz XZDCFWLCEPUFNE-KRWDZBQOSA-N 0 0 432.477 -0.103 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000497572203 1072772983 /nfs/dbraw/zinc/77/29/83/1072772983.db2.gz XZDCFWLCEPUFNE-QGZVFWFLSA-N 0 0 432.477 -0.103 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000497574174 1072773189 /nfs/dbraw/zinc/77/31/89/1072773189.db2.gz FDGAKQSKUOXWIK-UHFFFAOYSA-N 0 0 436.432 -0.335 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)C1=O ZINC000497577808 1072771544 /nfs/dbraw/zinc/77/15/44/1072771544.db2.gz ILJYVCUUUYTYSQ-UHFFFAOYSA-N 0 0 430.389 -0.782 20 0 IBADRN COC(=O)COCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000497578413 1072771510 /nfs/dbraw/zinc/77/15/10/1072771510.db2.gz LVOKPTLXNITGSK-UHFFFAOYSA-N 0 0 427.435 -0.960 20 0 IBADRN CCN1CCO[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1 ZINC000497579069 1072771405 /nfs/dbraw/zinc/77/14/05/1072771405.db2.gz PQPBXHIQLJRRDJ-KRWDZBQOSA-N 0 0 438.506 -0.429 20 0 IBADRN CCN1CCO[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1 ZINC000497579070 1072771485 /nfs/dbraw/zinc/77/14/85/1072771485.db2.gz PQPBXHIQLJRRDJ-QGZVFWFLSA-N 0 0 438.506 -0.429 20 0 IBADRN CN(C)C(=O)C1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1 ZINC000497582692 1072772970 /nfs/dbraw/zinc/77/29/70/1072772970.db2.gz PQDHXVUESJKYMF-UHFFFAOYSA-N 0 0 436.490 -0.281 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000497582930 1072773042 /nfs/dbraw/zinc/77/30/42/1072773042.db2.gz SBNVCAMPOBUFJK-HNNXBMFYSA-N 0 0 448.567 -0.477 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000497582931 1072773088 /nfs/dbraw/zinc/77/30/88/1072773088.db2.gz SBNVCAMPOBUFJK-OAHLLOKOSA-N 0 0 448.567 -0.477 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000497584067 1072773686 /nfs/dbraw/zinc/77/36/86/1072773686.db2.gz GETAHKGKWPVUKA-HNNXBMFYSA-N 0 0 428.449 -0.539 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1Cc1nnc2n1c1ccccc1c(=O)n2C ZINC000497584070 1072773677 /nfs/dbraw/zinc/77/36/77/1072773677.db2.gz GETAHKGKWPVUKA-OAHLLOKOSA-N 0 0 428.449 -0.539 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC(N3CCS(=O)(=O)CC3)CC2)c(=O)[nH]c1=O ZINC000497584994 1072773592 /nfs/dbraw/zinc/77/35/92/1072773592.db2.gz MNYZWKZRJHWDHK-UHFFFAOYSA-N 0 0 441.554 -0.435 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000497588223 1072773583 /nfs/dbraw/zinc/77/35/83/1072773583.db2.gz DJNMBZIGVFWKNY-UHFFFAOYSA-N 0 0 449.551 -0.038 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCN1CCOCC1 ZINC000497589105 1072773503 /nfs/dbraw/zinc/77/35/03/1072773503.db2.gz ODYVQQGJSKXEML-UHFFFAOYSA-N 0 0 429.495 -0.498 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000497589976 1072773718 /nfs/dbraw/zinc/77/37/18/1072773718.db2.gz YUILKKBCKSHOSE-UHFFFAOYSA-N 0 0 435.524 -0.428 20 0 IBADRN COc1ccc(COC[C@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000497590913 1072773728 /nfs/dbraw/zinc/77/37/28/1072773728.db2.gz QDKYCBBSCNSNFS-GOSISDBHSA-N 0 0 429.539 -0.233 20 0 IBADRN COc1ccc(COC[C@@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000497590914 1072773554 /nfs/dbraw/zinc/77/35/54/1072773554.db2.gz QDKYCBBSCNSNFS-SFHVURJKSA-N 0 0 429.539 -0.233 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCC(=O)N1CCOCC1 ZINC000497592308 1072773474 /nfs/dbraw/zinc/77/34/74/1072773474.db2.gz MWTSHRXJHRXHJT-UHFFFAOYSA-N 0 0 428.511 -0.653 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCC(=O)NCC(F)(F)F ZINC000497593275 1072773692 /nfs/dbraw/zinc/77/36/92/1072773692.db2.gz ZJGOATLRWMNRPD-UHFFFAOYSA-N 0 0 426.417 -0.223 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000497594992 1072773487 /nfs/dbraw/zinc/77/34/87/1072773487.db2.gz HBWHGDUPRJFNRL-UHFFFAOYSA-N 0 0 441.554 -0.691 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CCO1 ZINC000497595244 1072773124 /nfs/dbraw/zinc/77/31/24/1072773124.db2.gz KMCXGMPVTIPKSF-OAHLLOKOSA-N 0 0 430.914 -0.641 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCNC(=O)c1cccnc1 ZINC000497595589 1072772992 /nfs/dbraw/zinc/77/29/92/1072772992.db2.gz PACUXDGCVXHCAN-UHFFFAOYSA-N 0 0 435.506 -0.076 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2)ccc1F ZINC000497596153 1072773165 /nfs/dbraw/zinc/77/31/65/1072773165.db2.gz XNYBCDAJABTISB-UHFFFAOYSA-N 0 0 444.466 -0.364 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000497596218 1072773001 /nfs/dbraw/zinc/77/30/01/1072773001.db2.gz YOMGDEBYHVKBAB-GFCCVEGCSA-N 0 0 444.535 -0.809 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000497596238 1072772963 /nfs/dbraw/zinc/77/29/63/1072772963.db2.gz YVKSECQBJIOWPL-UHFFFAOYSA-N 0 0 436.556 -0.574 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)CN2CCO[C@@H](C(N)=O)C2)c1 ZINC000497598139 1071365655 /nfs/dbraw/zinc/36/56/55/1071365655.db2.gz KJUSNTCLNNHRKQ-MRXNPFEDSA-N 0 0 428.511 -0.150 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCN(C(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC000497599522 1072774567 /nfs/dbraw/zinc/77/45/67/1072774567.db2.gz FLMXVFUQFRULRZ-MSOLQXFVSA-N 0 0 435.525 -0.815 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCN(C(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC000497599523 1072774706 /nfs/dbraw/zinc/77/47/06/1072774706.db2.gz FLMXVFUQFRULRZ-QZTJIDSGSA-N 0 0 435.525 -0.815 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CCN(C(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC000497599524 1072774548 /nfs/dbraw/zinc/77/45/48/1072774548.db2.gz FLMXVFUQFRULRZ-ROUUACIJSA-N 0 0 435.525 -0.815 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)CCNC(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC000497599525 1072775042 /nfs/dbraw/zinc/77/50/42/1072775042.db2.gz FLMXVFUQFRULRZ-ZWKOTPCHSA-N 0 0 435.525 -0.815 20 0 IBADRN O=C(CCNC(=O)c1ccc2nncn2c1)N1CCN(C(=O)c2ccc3nncn3c2)CC1 ZINC000497599776 1072774674 /nfs/dbraw/zinc/77/46/74/1072774674.db2.gz LDETWQFFWZIDDI-UHFFFAOYSA-N 0 0 447.459 -0.124 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCO[C@H](C(N)=O)C1 ZINC000497600594 1072775114 /nfs/dbraw/zinc/77/51/14/1072775114.db2.gz CERKIPLDYVULJR-CJNGLKHVSA-N 0 0 426.495 -0.779 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCO[C@@H](C(N)=O)C1 ZINC000497600595 1072775161 /nfs/dbraw/zinc/77/51/61/1072775161.db2.gz CERKIPLDYVULJR-CZUORRHYSA-N 0 0 426.495 -0.779 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCO[C@@H](C(N)=O)C1 ZINC000497600596 1072775032 /nfs/dbraw/zinc/77/50/32/1072775032.db2.gz CERKIPLDYVULJR-XJKSGUPXSA-N 0 0 426.495 -0.779 20 0 IBADRN CN(C(=O)Cn1ncn(C)c1=O)c1cccc2c1CCCN2C(=O)Cn1ncn(C)c1=O ZINC000497601355 1072775106 /nfs/dbraw/zinc/77/51/06/1072775106.db2.gz NPJRWJVMPYHVIA-UHFFFAOYSA-N 0 0 440.464 -0.881 20 0 IBADRN O=C(CCNC(=O)c1cnn2cccnc12)N1CCN(C(=O)c2cnn3cccnc23)CC1 ZINC000497602467 1072775067 /nfs/dbraw/zinc/77/50/67/1072775067.db2.gz GEPQWDQVUJQICE-UHFFFAOYSA-N 0 0 447.459 -0.124 20 0 IBADRN O=C(CN1CCCCC1=O)NCCC(=O)N1CCN(C(=O)CN2CCCCC2=O)CC1 ZINC000497603129 1072775140 /nfs/dbraw/zinc/77/51/40/1072775140.db2.gz XDADVWNDMFHGPM-UHFFFAOYSA-N 0 0 435.525 -0.812 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC000497604164 1072775217 /nfs/dbraw/zinc/77/52/17/1072775217.db2.gz MBWREXIWMNIKIW-INIZCTEOSA-N 0 0 425.555 -0.169 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC000497604165 1072775120 /nfs/dbraw/zinc/77/51/20/1072775120.db2.gz MBWREXIWMNIKIW-MRXNPFEDSA-N 0 0 425.555 -0.169 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCN1CCCS1(=O)=O ZINC000497604292 1072775009 /nfs/dbraw/zinc/77/50/09/1072775009.db2.gz NWUPPDXMWZIUCQ-UHFFFAOYSA-N 0 0 434.540 -0.866 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCS(=O)(=O)NC1CC1 ZINC000497604306 1072775003 /nfs/dbraw/zinc/77/50/03/1072775003.db2.gz NZWUWBUXPGLDPT-UHFFFAOYSA-N 0 0 434.540 -0.820 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CN2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000497605486 1072775015 /nfs/dbraw/zinc/77/50/15/1072775015.db2.gz JNJRRSLXBZKJJY-UHFFFAOYSA-N 0 0 433.538 -0.194 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000497606278 1072773700 /nfs/dbraw/zinc/77/37/00/1072773700.db2.gz BJIKEVLQICRGFM-CQSZACIVSA-N 0 0 446.913 -0.515 20 0 IBADRN Cc1ccc(NC(=O)CN2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000497606311 1072773464 /nfs/dbraw/zinc/77/34/64/1072773464.db2.gz BXPUIQMBPDEOCP-OAHLLOKOSA-N 0 0 426.495 -0.859 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)CCO1 ZINC000497608403 1072774140 /nfs/dbraw/zinc/77/41/40/1072774140.db2.gz FFOLYMSUDLFRNJ-OAHLLOKOSA-N 0 0 446.913 -0.515 20 0 IBADRN COc1ccc(NC(=O)CN2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000497609483 1072774186 /nfs/dbraw/zinc/77/41/86/1072774186.db2.gz KGQWSJJXAOXYOS-MRXNPFEDSA-N 0 0 440.522 -0.006 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CN2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000497610680 1072775619 /nfs/dbraw/zinc/77/56/19/1072775619.db2.gz KRIAIVPDIQEPFS-UHFFFAOYSA-N 0 0 442.505 -0.118 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)cc2n(C)c1=O ZINC000497611112 1072776065 /nfs/dbraw/zinc/77/60/65/1072776065.db2.gz ZXOKNDXXNYIKJY-CYBMUJFWSA-N 0 0 428.536 -0.630 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)cc2n(C)c1=O ZINC000497611113 1072776183 /nfs/dbraw/zinc/77/61/83/1072776183.db2.gz ZXOKNDXXNYIKJY-ZDUSSCGKSA-N 0 0 428.536 -0.630 20 0 IBADRN CC1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)COC1 ZINC000497611828 1072776188 /nfs/dbraw/zinc/77/61/88/1072776188.db2.gz QGBBQOOJUDYNNJ-UHFFFAOYSA-N 0 0 432.524 -0.614 20 0 IBADRN CCCN(C(=O)CN1CCO[C@@H](C(N)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000497612288 1072776721 /nfs/dbraw/zinc/77/67/21/1072776721.db2.gz OCTSLCMRTSIHAT-OAHLLOKOSA-N 0 0 444.492 -0.492 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)CN1CCO[C@H](C(N)=O)C1 ZINC000497612845 1072776762 /nfs/dbraw/zinc/77/67/62/1072776762.db2.gz UFXKHULDJBOCHA-KRWDZBQOSA-N 0 0 440.522 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)CN1CCO[C@@H](C(N)=O)C1 ZINC000497612846 1072776782 /nfs/dbraw/zinc/77/67/82/1072776782.db2.gz UFXKHULDJBOCHA-QGZVFWFLSA-N 0 0 440.522 -0.006 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC000497613003 1072776710 /nfs/dbraw/zinc/77/67/10/1072776710.db2.gz UZXUJTUBRPBABB-CYBMUJFWSA-N 0 0 428.540 -0.173 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC000497613005 1072776631 /nfs/dbraw/zinc/77/66/31/1072776631.db2.gz UZXUJTUBRPBABB-ZDUSSCGKSA-N 0 0 428.540 -0.173 20 0 IBADRN CCN(C(=O)CN1CCO[C@H](C(N)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000497614627 1072776774 /nfs/dbraw/zinc/77/67/74/1072776774.db2.gz YAJSPBLQCQHQQL-AWEZNQCLSA-N 0 0 430.465 -0.882 20 0 IBADRN CCN(C(=O)CN1CCO[C@@H](C(N)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000497614628 1072776670 /nfs/dbraw/zinc/77/66/70/1072776670.db2.gz YAJSPBLQCQHQQL-CQSZACIVSA-N 0 0 430.465 -0.882 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)CCO1 ZINC000497616275 1072776734 /nfs/dbraw/zinc/77/67/34/1072776734.db2.gz FPWNHGRWQAONAD-LLVKDONJSA-N 0 0 436.943 -0.580 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)cc2n(C)c1=O ZINC000497616293 1072777212 /nfs/dbraw/zinc/77/72/12/1072777212.db2.gz FXANZGKTNBHMSX-UHFFFAOYSA-N 0 0 430.490 -0.118 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)CCO1 ZINC000497616465 1072776746 /nfs/dbraw/zinc/77/67/46/1072776746.db2.gz HOXYNBWJXWVLHC-GOSISDBHSA-N 0 0 436.534 -0.806 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000497617454 1072777130 /nfs/dbraw/zinc/77/71/30/1072777130.db2.gz DNPSYIFCKKOVKE-UHFFFAOYSA-N 0 0 435.510 -0.765 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000497618630 1072777255 /nfs/dbraw/zinc/77/72/55/1072777255.db2.gz FNZNYENSWXAHFH-UHFFFAOYSA-N 0 0 426.539 -0.220 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)CCO1 ZINC000497619372 1072777015 /nfs/dbraw/zinc/77/70/15/1072777015.db2.gz XBXNOFKZXCUORV-OAHLLOKOSA-N 0 0 448.904 -0.502 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CN2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000497619722 1072777081 /nfs/dbraw/zinc/77/70/81/1072777081.db2.gz VCXSWTLECLKNGY-UHFFFAOYSA-N 0 0 449.537 -0.958 20 0 IBADRN CCn1c(CN2CCO[C@H](C(N)=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000497620437 1072777146 /nfs/dbraw/zinc/77/71/46/1072777146.db2.gz GDPASZGIWHYVES-KRWDZBQOSA-N 0 0 437.522 -0.237 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4ccccc4c3)CC2)CCO1 ZINC000497620786 1072776984 /nfs/dbraw/zinc/77/69/84/1072776984.db2.gz IBYJFNVKAACSHF-LJQANCHMSA-N 0 0 446.529 -0.141 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CN2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000497621961 1072777174 /nfs/dbraw/zinc/77/71/74/1072777174.db2.gz YJOSWMLXMDBNDU-UHFFFAOYSA-N 0 0 449.537 -0.958 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCO[C@@H](C(N)=O)C3)CC2)cc1 ZINC000497623470 1072775640 /nfs/dbraw/zinc/77/56/40/1072775640.db2.gz NYOXHQPGDJSADP-QGZVFWFLSA-N 0 0 440.522 -0.896 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000497624003 1072775710 /nfs/dbraw/zinc/77/57/10/1072775710.db2.gz UJNILFBZFAYFQY-INIZCTEOSA-N 0 0 434.559 -0.198 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000497624004 1072775701 /nfs/dbraw/zinc/77/57/01/1072775701.db2.gz UJNILFBZFAYFQY-MRXNPFEDSA-N 0 0 434.559 -0.198 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCO[C@H](C(N)=O)C1 ZINC000497624153 1072775717 /nfs/dbraw/zinc/77/57/17/1072775717.db2.gz QIUGAIDAWGWXAU-BBRMVZONSA-N 0 0 426.495 -0.779 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCO[C@@H](C(N)=O)C1 ZINC000497624155 1072775678 /nfs/dbraw/zinc/77/56/78/1072775678.db2.gz QIUGAIDAWGWXAU-CZUORRHYSA-N 0 0 426.495 -0.779 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCO[C@@H](C(N)=O)C1 ZINC000497624157 1072775696 /nfs/dbraw/zinc/77/56/96/1072775696.db2.gz QIUGAIDAWGWXAU-XJKSGUPXSA-N 0 0 426.495 -0.779 20 0 IBADRN CCCCn1c(N)c(N(C(=O)CN2CCO[C@H](C(N)=O)C2)C2CCCC2)c(=O)[nH]c1=O ZINC000497624359 1072775588 /nfs/dbraw/zinc/77/55/88/1072775588.db2.gz RLVMTVILDBHERI-AWEZNQCLSA-N 0 0 436.513 -0.207 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3CCO[C@@H](C(N)=O)C3)cc2)C[C@@H](C)O1 ZINC000497625172 1072777160 /nfs/dbraw/zinc/77/71/60/1072777160.db2.gz XVRWQVYKVVODGV-CKEIUWERSA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3CCO[C@@H](C(N)=O)C3)cc2)C[C@H](C)O1 ZINC000497625173 1072777585 /nfs/dbraw/zinc/77/75/85/1072777585.db2.gz XVRWQVYKVVODGV-JKIFEVAISA-N 0 0 440.522 -0.391 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3CCO[C@H](C(N)=O)C3)cc2)C[C@H](C)O1 ZINC000497625174 1072777620 /nfs/dbraw/zinc/77/76/20/1072777620.db2.gz XVRWQVYKVVODGV-VBQJREDUSA-N 0 0 440.522 -0.391 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)NCCS(=O)(=O)N1CCSCC1 ZINC000497626090 1072777681 /nfs/dbraw/zinc/77/76/81/1072777681.db2.gz IWTMFGFBJPVEBH-UHFFFAOYSA-N 0 0 447.627 -0.392 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2CCc3cc(S(=O)(=O)N4CCCCC4)ccc32)CCO1 ZINC000497627443 1072777714 /nfs/dbraw/zinc/77/77/14/1072777714.db2.gz MVNBNHXDIYRRNM-GOSISDBHSA-N 0 0 436.534 -0.064 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)C1 ZINC000497627468 1072778236 /nfs/dbraw/zinc/77/82/36/1072778236.db2.gz JLVFZVHZBPLQNE-HOTGVXAUSA-N 0 0 429.525 -0.221 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)C1 ZINC000497627473 1072778165 /nfs/dbraw/zinc/77/81/65/1072778165.db2.gz JLVFZVHZBPLQNE-HZPDHXFCSA-N 0 0 429.525 -0.221 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)C1 ZINC000497627477 1072778146 /nfs/dbraw/zinc/77/81/46/1072778146.db2.gz JLVFZVHZBPLQNE-IYBDPMFKSA-N 0 0 429.525 -0.221 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2CCCc3cc(S(=O)(=O)N4CCCC4)ccc32)CCO1 ZINC000497629150 1072776996 /nfs/dbraw/zinc/77/69/96/1072776996.db2.gz RURKRTWGPSCVJH-GOSISDBHSA-N 0 0 436.534 -0.064 20 0 IBADRN CC(C)(C)C(=O)NCC(=O)NCCC(=O)N1CCN(C(=O)CNC(=O)C(C)(C)C)CC1 ZINC000497629322 1072777221 /nfs/dbraw/zinc/77/72/21/1072777221.db2.gz VZJQMQVYWTZGCW-UHFFFAOYSA-N 0 0 439.557 -0.512 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000497634825 1072777046 /nfs/dbraw/zinc/77/70/46/1072777046.db2.gz UPOWAIZRFMIEEG-GDBMZVCRSA-N 0 0 440.522 -0.471 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000497634826 1072777067 /nfs/dbraw/zinc/77/70/67/1072777067.db2.gz UPOWAIZRFMIEEG-GOEBONIOSA-N 0 0 440.522 -0.471 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000497634827 1072777233 /nfs/dbraw/zinc/77/72/33/1072777233.db2.gz UPOWAIZRFMIEEG-ZBFHGGJFSA-N 0 0 440.522 -0.471 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000497636319 1072777115 /nfs/dbraw/zinc/77/71/15/1072777115.db2.gz DPMJRPBWDDOEBI-UHFFFAOYSA-N 0 0 444.536 -0.083 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1)N1CCc2ccccc21 ZINC000497638254 1072778689 /nfs/dbraw/zinc/77/86/89/1072778689.db2.gz QNBYROZBPHXVLM-UHFFFAOYSA-N 0 0 427.461 -0.712 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1 ZINC000497638255 1072778538 /nfs/dbraw/zinc/77/85/38/1072778538.db2.gz NXXJDYUPWIPOEM-UHFFFAOYSA-N 0 0 443.526 -0.792 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)cc2n(C)c1=O ZINC000497638870 1072778545 /nfs/dbraw/zinc/77/85/45/1072778545.db2.gz NAJVGDFSZNNASL-UHFFFAOYSA-N 0 0 442.520 -0.124 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000497639214 1074355536 /nfs/dbraw/zinc/35/55/36/1074355536.db2.gz UOKOUWMSWJJSTR-UHFFFAOYSA-N 0 0 431.453 -0.422 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000497640101 1072778590 /nfs/dbraw/zinc/77/85/90/1072778590.db2.gz VBVCKUDVSOJKSL-UHFFFAOYSA-N 0 0 445.520 -0.060 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000497640117 1072779286 /nfs/dbraw/zinc/77/92/86/1072779286.db2.gz VHIZKEANULLOJC-UHFFFAOYSA-N 0 0 426.481 -0.339 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(c4ncnc5sccc54)CC3)c2c(=O)n(C)c1=O ZINC000497641180 1072779009 /nfs/dbraw/zinc/77/90/09/1072779009.db2.gz GJVOVJLFVDOBCJ-UHFFFAOYSA-N 0 0 440.489 -0.213 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc1-n1cnnn1 ZINC000497641622 1072779193 /nfs/dbraw/zinc/77/91/93/1072779193.db2.gz JMUBGEOHOVPTDZ-UHFFFAOYSA-N 0 0 440.508 -0.030 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)C(=O)Nc1cccnc1N1CCOCC1 ZINC000497643148 1072779141 /nfs/dbraw/zinc/77/91/41/1072779141.db2.gz OTFSTXNRIQVXPU-UHFFFAOYSA-N 0 0 444.536 -0.083 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)NCCS(=O)(=O)NCC1CCC1 ZINC000497645027 1072779097 /nfs/dbraw/zinc/77/90/97/1072779097.db2.gz ZJCNMBCSJHUHDU-UHFFFAOYSA-N 0 0 429.587 -0.051 20 0 IBADRN O=C(Cc1c[nH]c2ccccc12)N1CCN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1 ZINC000497645679 1072779079 /nfs/dbraw/zinc/77/90/79/1072779079.db2.gz LHNRCAXULGXLCE-UHFFFAOYSA-N 0 0 425.445 -0.050 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC1(C)COC1 ZINC000497645831 1072779153 /nfs/dbraw/zinc/77/91/53/1072779153.db2.gz GLAFANYFYITEEB-UHFFFAOYSA-N 0 0 440.522 -0.278 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cc(NC(=O)c2cn(CCO)c(=O)[nH]c2=O)ccc1F ZINC000497645914 1072779206 /nfs/dbraw/zinc/77/92/06/1072779206.db2.gz OOJBXMRAWDDLHK-UHFFFAOYSA-N 0 0 449.439 -0.007 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)c3cn(CCO)c(=O)[nH]c3=O)c2)CC1 ZINC000497646524 1072779120 /nfs/dbraw/zinc/77/91/20/1072779120.db2.gz YIZMDRAFUHHZJM-UHFFFAOYSA-N 0 0 437.478 -0.870 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)cc2C1=O ZINC000497652542 1072778574 /nfs/dbraw/zinc/77/85/74/1072778574.db2.gz QPQNUVAGHROQOK-UHFFFAOYSA-N 0 0 441.488 -0.089 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000497654119 1072779745 /nfs/dbraw/zinc/77/97/45/1072779745.db2.gz KDZVZSGMDRFTKB-UHFFFAOYSA-N 0 0 429.524 -0.323 20 0 IBADRN O=C(CCNC(=O)c1cn(CCO)c(=O)[nH]c1=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000497654181 1072779769 /nfs/dbraw/zinc/77/97/69/1072779769.db2.gz LNUSTAAQSVEBQF-UHFFFAOYSA-N 0 0 433.440 -0.451 20 0 IBADRN O=C(COc1ccccc1CNC(=O)c1cn(CCO)c(=O)[nH]c1=O)NC[C@@H]1CCCO1 ZINC000497656231 1072779790 /nfs/dbraw/zinc/77/97/90/1072779790.db2.gz SZKZBDDOGCLOGZ-HNNXBMFYSA-N 0 0 446.460 -0.455 20 0 IBADRN O=C(COc1ccccc1CNC(=O)c1cn(CCO)c(=O)[nH]c1=O)NC[C@H]1CCCO1 ZINC000497656236 1072779756 /nfs/dbraw/zinc/77/97/56/1072779756.db2.gz SZKZBDDOGCLOGZ-OAHLLOKOSA-N 0 0 446.460 -0.455 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc1 ZINC000497657524 1072779693 /nfs/dbraw/zinc/77/96/93/1072779693.db2.gz OSILHRAZQYVCQY-UHFFFAOYSA-N 0 0 445.520 -0.190 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)c1 ZINC000497658065 1072780368 /nfs/dbraw/zinc/78/03/68/1072780368.db2.gz VMVJOZBMMSQPTE-UHFFFAOYSA-N 0 0 440.508 -0.030 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)cn1 ZINC000497659784 1072780217 /nfs/dbraw/zinc/78/02/17/1072780217.db2.gz LGULUYSUVISDEG-KRWDZBQOSA-N 0 0 439.476 -0.743 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)cn1 ZINC000497659785 1072780068 /nfs/dbraw/zinc/78/00/68/1072780068.db2.gz LGULUYSUVISDEG-QGZVFWFLSA-N 0 0 439.476 -0.743 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000497659999 1072780086 /nfs/dbraw/zinc/78/00/86/1072780086.db2.gz MXQLDFAQNVMDTL-UHFFFAOYSA-N 0 0 447.583 -0.639 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000497663991 1072780241 /nfs/dbraw/zinc/78/02/41/1072780241.db2.gz WVURUQBAMNTJIZ-UHFFFAOYSA-N 0 0 436.557 -0.689 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)c1 ZINC000497664660 1072780327 /nfs/dbraw/zinc/78/03/27/1072780327.db2.gz ZYGDHZROYJGNMU-UHFFFAOYSA-N 0 0 431.493 -0.450 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)N1CCCCC1 ZINC000497668840 1072779066 /nfs/dbraw/zinc/77/90/66/1072779066.db2.gz XOECGJDERXSFHF-DLBZAZTESA-N 0 0 440.566 -0.821 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)N1CCCCC1 ZINC000497668841 1072779182 /nfs/dbraw/zinc/77/91/82/1072779182.db2.gz XOECGJDERXSFHF-IAGOWNOFSA-N 0 0 440.566 -0.821 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)N1CCCCC1 ZINC000497668842 1072779720 /nfs/dbraw/zinc/77/97/20/1072779720.db2.gz XOECGJDERXSFHF-IRXDYDNUSA-N 0 0 440.566 -0.821 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)N1CCCCC1 ZINC000497668843 1072779803 /nfs/dbraw/zinc/77/98/03/1072779803.db2.gz XOECGJDERXSFHF-SJORKVTESA-N 0 0 440.566 -0.821 20 0 IBADRN O=C(NCCNC(=O)c1c(F)cccc1F)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000497675506 1072780140 /nfs/dbraw/zinc/78/01/40/1072780140.db2.gz FJIPOLACHIDENZ-UHFFFAOYSA-N 0 0 446.414 -0.219 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc1 ZINC000497676405 1072780126 /nfs/dbraw/zinc/78/01/26/1072780126.db2.gz JSPAFWSTLJUODE-UHFFFAOYSA-N 0 0 431.493 -0.450 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cn1 ZINC000497681529 1072780108 /nfs/dbraw/zinc/78/01/08/1072780108.db2.gz WRKNOZRKELWNAH-UHFFFAOYSA-N 0 0 437.522 -0.516 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000497681548 1072780188 /nfs/dbraw/zinc/78/01/88/1072780188.db2.gz WXIPHVCSFBSEEP-UHFFFAOYSA-N 0 0 426.481 -0.339 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)c1sc2ncc(C(=O)NC[C@H](O)C(=O)OC)cc2c1C ZINC000497684507 1072780768 /nfs/dbraw/zinc/78/07/68/1072780768.db2.gz UULRKLHAVXXMCF-RYUDHWBXSA-N 0 0 439.446 -0.868 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000497693677 1072780790 /nfs/dbraw/zinc/78/07/90/1072780790.db2.gz HITCTYFVWBVRQM-NSHDSACASA-N 0 0 437.268 -0.548 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000497694096 1072780263 /nfs/dbraw/zinc/78/02/63/1072780263.db2.gz QMDXRHIXBQXRTG-AWEZNQCLSA-N 0 0 428.463 -0.491 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@H](CNS(C)(=O)=O)C3)C2)cn1 ZINC000497694472 1072780701 /nfs/dbraw/zinc/78/07/01/1072780701.db2.gz AWHZMBWWLKPBIY-CABCVRRESA-N 0 0 426.543 -0.707 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@@H](CNS(C)(=O)=O)C3)C2)cn1 ZINC000497694473 1072780742 /nfs/dbraw/zinc/78/07/42/1072780742.db2.gz AWHZMBWWLKPBIY-GJZGRUSLSA-N 0 0 426.543 -0.707 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@H](CNS(C)(=O)=O)C3)C2)cn1 ZINC000497694474 1072780751 /nfs/dbraw/zinc/78/07/51/1072780751.db2.gz AWHZMBWWLKPBIY-HUUCEWRRSA-N 0 0 426.543 -0.707 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@@H](CNS(C)(=O)=O)C3)C2)cn1 ZINC000497694475 1072780716 /nfs/dbraw/zinc/78/07/16/1072780716.db2.gz AWHZMBWWLKPBIY-LSDHHAIUSA-N 0 0 426.543 -0.707 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497694629 1072780799 /nfs/dbraw/zinc/78/07/99/1072780799.db2.gz BSTNXROHPFNHSZ-DOTOQJQBSA-N 0 0 431.541 -0.684 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497694632 1072780655 /nfs/dbraw/zinc/78/06/55/1072780655.db2.gz BSTNXROHPFNHSZ-NVXWUHKLSA-N 0 0 431.541 -0.684 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497694634 1072780777 /nfs/dbraw/zinc/78/07/77/1072780777.db2.gz BSTNXROHPFNHSZ-RDJZCZTQSA-N 0 0 431.541 -0.684 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497694636 1072780759 /nfs/dbraw/zinc/78/07/59/1072780759.db2.gz BSTNXROHPFNHSZ-WBVHZDCISA-N 0 0 431.541 -0.684 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000497695792 1072780846 /nfs/dbraw/zinc/78/08/46/1072780846.db2.gz IILTWXCYVSLMFX-UHFFFAOYSA-N 0 0 447.540 -0.158 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497697142 1072780839 /nfs/dbraw/zinc/78/08/39/1072780839.db2.gz PASNQFVZABLQAO-DLBZAZTESA-N 0 0 433.557 -0.436 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497697143 1072780688 /nfs/dbraw/zinc/78/06/88/1072780688.db2.gz PASNQFVZABLQAO-IAGOWNOFSA-N 0 0 433.557 -0.436 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497697144 1072780808 /nfs/dbraw/zinc/78/08/08/1072780808.db2.gz PASNQFVZABLQAO-IRXDYDNUSA-N 0 0 433.557 -0.436 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497697145 1072780833 /nfs/dbraw/zinc/78/08/33/1072780833.db2.gz PASNQFVZABLQAO-SJORKVTESA-N 0 0 433.557 -0.436 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3)C2=O)n1 ZINC000497697539 1072780732 /nfs/dbraw/zinc/78/07/32/1072780732.db2.gz QSRBTPXQRCSLCI-GDBMZVCRSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3)C2=O)n1 ZINC000497697544 1072780710 /nfs/dbraw/zinc/78/07/10/1072780710.db2.gz QSRBTPXQRCSLCI-GOEBONIOSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3)C2=O)n1 ZINC000497697546 1072780672 /nfs/dbraw/zinc/78/06/72/1072780672.db2.gz QSRBTPXQRCSLCI-HOCLYGCPSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3)C2=O)n1 ZINC000497697549 1072780723 /nfs/dbraw/zinc/78/07/23/1072780723.db2.gz QSRBTPXQRCSLCI-ZBFHGGJFSA-N 0 0 428.497 -0.450 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)C1 ZINC000497699785 1072781696 /nfs/dbraw/zinc/78/16/96/1072781696.db2.gz KOQBIVUJXBSQMC-GDBMZVCRSA-N 0 0 426.543 -0.566 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)C1 ZINC000497699786 1072781573 /nfs/dbraw/zinc/78/15/73/1072781573.db2.gz KOQBIVUJXBSQMC-GOEBONIOSA-N 0 0 426.543 -0.566 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)C1 ZINC000497699787 1072781713 /nfs/dbraw/zinc/78/17/13/1072781713.db2.gz KOQBIVUJXBSQMC-HOCLYGCPSA-N 0 0 426.543 -0.566 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)C1 ZINC000497699788 1072781705 /nfs/dbraw/zinc/78/17/05/1072781705.db2.gz KOQBIVUJXBSQMC-ZBFHGGJFSA-N 0 0 426.543 -0.566 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)C1 ZINC000497700328 1072781729 /nfs/dbraw/zinc/78/17/29/1072781729.db2.gz ARIUWTNKOQOCBF-BBWFWOEESA-N 0 0 434.541 -0.105 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)C1 ZINC000497700329 1072781795 /nfs/dbraw/zinc/78/17/95/1072781795.db2.gz ARIUWTNKOQOCBF-BRWVUGGUSA-N 0 0 434.541 -0.105 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)C1 ZINC000497700330 1072781779 /nfs/dbraw/zinc/78/17/79/1072781779.db2.gz ARIUWTNKOQOCBF-GVDBMIGSSA-N 0 0 434.541 -0.105 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)C1 ZINC000497700331 1072781784 /nfs/dbraw/zinc/78/17/84/1072781784.db2.gz ARIUWTNKOQOCBF-ZACQAIPSSA-N 0 0 434.541 -0.105 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000497701232 1072781687 /nfs/dbraw/zinc/78/16/87/1072781687.db2.gz DJVCJRBXJZAJPO-UHFFFAOYSA-N 0 0 448.567 -0.524 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000497701569 1072781768 /nfs/dbraw/zinc/78/17/68/1072781768.db2.gz FCORHJIIGRUOKG-UHFFFAOYSA-N 0 0 436.556 -0.572 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCNC(=O)c1cnccn1 ZINC000497701797 1072781628 /nfs/dbraw/zinc/78/16/28/1072781628.db2.gz UBOHYMOLDUEAIW-UHFFFAOYSA-N 0 0 436.494 -0.681 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)ccc1F ZINC000497702184 1072782347 /nfs/dbraw/zinc/78/23/47/1072782347.db2.gz GVGHFICMBZKPRW-UHFFFAOYSA-N 0 0 443.501 -0.659 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3)CC2)ncn1 ZINC000497702251 1072781722 /nfs/dbraw/zinc/78/17/22/1072781722.db2.gz HIGYXHKVUBGZMJ-HNNXBMFYSA-N 0 0 428.497 -0.348 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3)CC2)ncn1 ZINC000497702252 1072781592 /nfs/dbraw/zinc/78/15/92/1072781592.db2.gz HIGYXHKVUBGZMJ-OAHLLOKOSA-N 0 0 428.497 -0.348 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCCN1C(=O)CCC1=O ZINC000497703159 1072782355 /nfs/dbraw/zinc/78/23/55/1072782355.db2.gz KBVBLUGFHYDGLD-UHFFFAOYSA-N 0 0 426.495 -0.362 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)n1 ZINC000497703462 1073304915 /nfs/dbraw/zinc/30/49/15/1073304915.db2.gz KSPBMWMRRPRRTD-UHFFFAOYSA-N 0 0 438.510 -0.094 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000497703881 1072782298 /nfs/dbraw/zinc/78/22/98/1072782298.db2.gz BBARNCXIVBRNAD-HNNXBMFYSA-N 0 0 439.446 -0.775 20 0 IBADRN CCN(C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)[C@@H](C)CS(C)(=O)=O ZINC000497704395 1072782171 /nfs/dbraw/zinc/78/21/71/1072782171.db2.gz PEPWAOVDCWDNND-HNNXBMFYSA-N 0 0 426.543 -0.020 20 0 IBADRN CCN(C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)[C@H](C)CS(C)(=O)=O ZINC000497704398 1072782277 /nfs/dbraw/zinc/78/22/77/1072782277.db2.gz PEPWAOVDCWDNND-OAHLLOKOSA-N 0 0 426.543 -0.020 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000497704515 1072782284 /nfs/dbraw/zinc/78/22/84/1072782284.db2.gz PQTTWZGRODIAMS-UHFFFAOYSA-N 0 0 434.540 -0.914 20 0 IBADRN COCC(=O)N1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000497706232 1072782308 /nfs/dbraw/zinc/78/23/08/1072782308.db2.gz VHFNMOKDTQLRSO-UHFFFAOYSA-N 0 0 428.511 -0.700 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000497706321 1072782317 /nfs/dbraw/zinc/78/23/17/1072782317.db2.gz MMIRCSZQVZEXOM-HNNXBMFYSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000497706384 1072782263 /nfs/dbraw/zinc/78/22/63/1072782263.db2.gz NOOFZRORJLVNKO-AWEZNQCLSA-N 0 0 441.462 -0.581 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc(S(C)(=O)=O)c1 ZINC000497707401 1072782635 /nfs/dbraw/zinc/78/26/35/1072782635.db2.gz ZFUUBPKVICCTAD-UHFFFAOYSA-N 0 0 440.522 -0.294 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3)CC2)n1 ZINC000497707971 1072782242 /nfs/dbraw/zinc/78/22/42/1072782242.db2.gz DVFIVUSANYXWFZ-HNNXBMFYSA-N 0 0 428.497 -0.348 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3)CC2)n1 ZINC000497707972 1072782184 /nfs/dbraw/zinc/78/21/84/1072782184.db2.gz DVFIVUSANYXWFZ-OAHLLOKOSA-N 0 0 428.497 -0.348 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497708079 1072782160 /nfs/dbraw/zinc/78/21/60/1072782160.db2.gz FWNVOHVIWZVCQL-MSOLQXFVSA-N 0 0 445.568 -0.340 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497708080 1072782222 /nfs/dbraw/zinc/78/22/22/1072782222.db2.gz FWNVOHVIWZVCQL-QZTJIDSGSA-N 0 0 445.568 -0.340 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497708081 1072782335 /nfs/dbraw/zinc/78/23/35/1072782335.db2.gz FWNVOHVIWZVCQL-ROUUACIJSA-N 0 0 445.568 -0.340 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497708082 1072782606 /nfs/dbraw/zinc/78/26/06/1072782606.db2.gz FWNVOHVIWZVCQL-ZWKOTPCHSA-N 0 0 445.568 -0.340 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3)CC2)n1 ZINC000497708517 1072781241 /nfs/dbraw/zinc/78/12/41/1072781241.db2.gz KOOUQKRJKFREAB-HNNXBMFYSA-N 0 0 446.512 -0.621 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3)CC2)n1 ZINC000497708518 1072781300 /nfs/dbraw/zinc/78/13/00/1072781300.db2.gz KOOUQKRJKFREAB-OAHLLOKOSA-N 0 0 446.512 -0.621 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000497708543 1072781186 /nfs/dbraw/zinc/78/11/86/1072781186.db2.gz KUIIAUCYYVJAGI-UHFFFAOYSA-N 0 0 433.509 -0.330 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc(S(C)(=O)=O)c1 ZINC000497709197 1072781198 /nfs/dbraw/zinc/78/11/98/1072781198.db2.gz RBYJEDWVHHYFJO-INIZCTEOSA-N 0 0 439.490 -0.113 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc(S(C)(=O)=O)c1 ZINC000497709198 1072781282 /nfs/dbraw/zinc/78/12/82/1072781282.db2.gz RBYJEDWVHHYFJO-MRXNPFEDSA-N 0 0 439.490 -0.113 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000497709232 1072781310 /nfs/dbraw/zinc/78/13/10/1072781310.db2.gz RNCWPLUKUMDXTQ-KRWDZBQOSA-N 0 0 432.477 -0.149 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000497709233 1072781131 /nfs/dbraw/zinc/78/11/31/1072781131.db2.gz RNCWPLUKUMDXTQ-QGZVFWFLSA-N 0 0 432.477 -0.149 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)cn1 ZINC000497709306 1072781264 /nfs/dbraw/zinc/78/12/64/1072781264.db2.gz SNMZYOLAMMVOJR-SUMDDJOVSA-N 0 0 442.524 -0.142 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)cn1 ZINC000497709307 1072781291 /nfs/dbraw/zinc/78/12/91/1072781291.db2.gz SNMZYOLAMMVOJR-WDYCEAGBSA-N 0 0 442.524 -0.142 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)ccc1F ZINC000497709421 1072781227 /nfs/dbraw/zinc/78/12/27/1072781227.db2.gz UPCJVZKBIJGMSV-AWEZNQCLSA-N 0 0 442.469 -0.478 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)ccc1F ZINC000497709422 1072781166 /nfs/dbraw/zinc/78/11/66/1072781166.db2.gz UPCJVZKBIJGMSV-CQSZACIVSA-N 0 0 442.469 -0.478 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC000497709578 1072781151 /nfs/dbraw/zinc/78/11/51/1072781151.db2.gz WDFPQPCZEGMKAG-UHFFFAOYSA-N 0 0 448.567 -0.477 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497710801 1072781656 /nfs/dbraw/zinc/78/16/56/1072781656.db2.gz CLYCYXAGNRHSEK-KRWDZBQOSA-N 0 0 433.557 -0.482 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497710802 1072781641 /nfs/dbraw/zinc/78/16/41/1072781641.db2.gz CLYCYXAGNRHSEK-QGZVFWFLSA-N 0 0 433.557 -0.482 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)C2)cn1 ZINC000497711021 1072781749 /nfs/dbraw/zinc/78/17/49/1072781749.db2.gz DZTLLKLBWDQYLV-KRWDZBQOSA-N 0 0 431.541 -0.728 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)C2)cn1 ZINC000497711022 1072781741 /nfs/dbraw/zinc/78/17/41/1072781741.db2.gz DZTLLKLBWDQYLV-QGZVFWFLSA-N 0 0 431.541 -0.728 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)(N2CCOCC2)C1 ZINC000497712044 1072783650 /nfs/dbraw/zinc/78/36/50/1072783650.db2.gz HYIRBOQMLJCENA-BWDFOLQRSA-N 0 0 448.568 -0.109 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)(N2CCOCC2)C1 ZINC000497712051 1072783906 /nfs/dbraw/zinc/78/39/06/1072783906.db2.gz HYIRBOQMLJCENA-QXAHSBQVSA-N 0 0 448.568 -0.109 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000497712727 1072783809 /nfs/dbraw/zinc/78/38/09/1072783809.db2.gz ZYYGLBUUCIJSAI-HNNXBMFYSA-N 0 0 445.469 -0.911 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)C2)cn1 ZINC000497716127 1072782703 /nfs/dbraw/zinc/78/27/03/1072782703.db2.gz WQUJLOOORRSIMH-CVEARBPZSA-N 0 0 438.554 -0.468 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)C2)cn1 ZINC000497716128 1072782783 /nfs/dbraw/zinc/78/27/83/1072782783.db2.gz WQUJLOOORRSIMH-HOTGVXAUSA-N 0 0 438.554 -0.468 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)C2)cn1 ZINC000497716129 1072782743 /nfs/dbraw/zinc/78/27/43/1072782743.db2.gz WQUJLOOORRSIMH-HZPDHXFCSA-N 0 0 438.554 -0.468 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)C2)cn1 ZINC000497716130 1072782652 /nfs/dbraw/zinc/78/26/52/1072782652.db2.gz WQUJLOOORRSIMH-JKSUJKDBSA-N 0 0 438.554 -0.468 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@@H](CNC(N)=O)C1 ZINC000497716181 1072782617 /nfs/dbraw/zinc/78/26/17/1072782617.db2.gz XGALRRPSXLENPJ-AWEZNQCLSA-N 0 0 427.527 -0.111 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@H](CNC(N)=O)C1 ZINC000497716182 1072782795 /nfs/dbraw/zinc/78/27/95/1072782795.db2.gz XGALRRPSXLENPJ-CQSZACIVSA-N 0 0 427.527 -0.111 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCCN1C(=O)CNC1=O ZINC000497716273 1072782765 /nfs/dbraw/zinc/78/27/65/1072782765.db2.gz YGGQIOCPGLBFGW-UHFFFAOYSA-N 0 0 427.483 -0.960 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)C2)cn1 ZINC000497717208 1072782735 /nfs/dbraw/zinc/78/27/35/1072782735.db2.gz NFRGJFIUFZQUQP-INIZCTEOSA-N 0 0 425.493 -0.115 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)C2)cn1 ZINC000497717209 1072782754 /nfs/dbraw/zinc/78/27/54/1072782754.db2.gz NFRGJFIUFZQUQP-MRXNPFEDSA-N 0 0 425.493 -0.115 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCC(=O)N1CCN(C)CC1 ZINC000497717282 1072782662 /nfs/dbraw/zinc/78/26/62/1072782662.db2.gz OKBQNYGCGPQGCM-UHFFFAOYSA-N 0 0 441.554 -0.737 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC(C(=O)N4CCN(C)CC4)CC3)C2)cn1 ZINC000497717439 1072782681 /nfs/dbraw/zinc/78/26/81/1072782681.db2.gz PZLFSLUTUCOGHQ-GOSISDBHSA-N 0 0 445.568 -0.482 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC(C(=O)N4CCN(C)CC4)CC3)C2)cn1 ZINC000497717440 1072782834 /nfs/dbraw/zinc/78/28/34/1072782834.db2.gz PZLFSLUTUCOGHQ-SFHVURJKSA-N 0 0 445.568 -0.482 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000497718100 1072782592 /nfs/dbraw/zinc/78/25/92/1072782592.db2.gz XQRRTPWZPDEKFE-UHFFFAOYSA-N 0 0 448.567 -0.524 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)N4CCCCC4)CC3)C2)cn1 ZINC000497718347 1072782712 /nfs/dbraw/zinc/78/27/12/1072782712.db2.gz ZJZVKIDZTMQROP-GOSISDBHSA-N 0 0 445.568 -0.338 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CC(=O)N4CCCCC4)CC3)C2)cn1 ZINC000497718350 1072783123 /nfs/dbraw/zinc/78/31/23/1072783123.db2.gz ZJZVKIDZTMQROP-SFHVURJKSA-N 0 0 445.568 -0.338 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C ZINC000497719195 1072783307 /nfs/dbraw/zinc/78/33/07/1072783307.db2.gz GLHYRSVIBGMTMY-BBRMVZONSA-N 0 0 430.479 -0.199 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C ZINC000497719196 1072783134 /nfs/dbraw/zinc/78/31/34/1072783134.db2.gz GLHYRSVIBGMTMY-XJKSGUPXSA-N 0 0 430.479 -0.199 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497719644 1072783337 /nfs/dbraw/zinc/78/33/37/1072783337.db2.gz JUUGPWBLPYFABO-GOSISDBHSA-N 0 0 447.584 -0.092 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000497719646 1072783195 /nfs/dbraw/zinc/78/31/95/1072783195.db2.gz JUUGPWBLPYFABO-SFHVURJKSA-N 0 0 447.584 -0.092 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)C2)cn1 ZINC000497720185 1072783314 /nfs/dbraw/zinc/78/33/14/1072783314.db2.gz MGRIGPHFXZEBNN-DOTOQJQBSA-N 0 0 442.524 -0.454 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)C2)cn1 ZINC000497720186 1072783361 /nfs/dbraw/zinc/78/33/61/1072783361.db2.gz MGRIGPHFXZEBNN-NVXWUHKLSA-N 0 0 442.524 -0.454 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)C2)cn1 ZINC000497720187 1072783266 /nfs/dbraw/zinc/78/32/66/1072783266.db2.gz MGRIGPHFXZEBNN-RDJZCZTQSA-N 0 0 442.524 -0.454 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)C2)cn1 ZINC000497720188 1072783174 /nfs/dbraw/zinc/78/31/74/1072783174.db2.gz MGRIGPHFXZEBNN-WBVHZDCISA-N 0 0 442.524 -0.454 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000497720667 1072783321 /nfs/dbraw/zinc/78/33/21/1072783321.db2.gz PDJAGJJXCRSSJN-UHFFFAOYSA-N 0 0 441.554 -0.737 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCCC[C@H]3CCNS(C)(=O)=O)C2)cn1 ZINC000497724843 1072783258 /nfs/dbraw/zinc/78/32/58/1072783258.db2.gz DKLLGELVEYBSAU-CVEARBPZSA-N 0 0 440.570 -0.175 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCCC[C@H]3CCNS(C)(=O)=O)C2)cn1 ZINC000497724844 1072783145 /nfs/dbraw/zinc/78/31/45/1072783145.db2.gz DKLLGELVEYBSAU-HOTGVXAUSA-N 0 0 440.570 -0.175 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCCC[C@@H]3CCNS(C)(=O)=O)C2)cn1 ZINC000497724845 1072783184 /nfs/dbraw/zinc/78/31/84/1072783184.db2.gz DKLLGELVEYBSAU-HZPDHXFCSA-N 0 0 440.570 -0.175 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCCC[C@@H]3CCNS(C)(=O)=O)C2)cn1 ZINC000497724846 1072783353 /nfs/dbraw/zinc/78/33/53/1072783353.db2.gz DKLLGELVEYBSAU-JKSUJKDBSA-N 0 0 440.570 -0.175 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000497725097 1072783153 /nfs/dbraw/zinc/78/31/53/1072783153.db2.gz HETNJDLSDNWUIM-UHFFFAOYSA-N 0 0 428.511 -0.700 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)C2)cn1 ZINC000497726091 1072783160 /nfs/dbraw/zinc/78/31/60/1072783160.db2.gz QTEZTBUIPXCLMC-INIZCTEOSA-N 0 0 440.508 -0.652 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)C2)cn1 ZINC000497726092 1072783276 /nfs/dbraw/zinc/78/32/76/1072783276.db2.gz QTEZTBUIPXCLMC-MRXNPFEDSA-N 0 0 440.508 -0.652 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000497729669 1072783623 /nfs/dbraw/zinc/78/36/23/1072783623.db2.gz XJLYXDDSXOYERG-UHFFFAOYSA-N 0 0 429.587 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1)Nc1nncs1 ZINC000497729824 1072783694 /nfs/dbraw/zinc/78/36/94/1072783694.db2.gz YBJNRILDFAQWEN-UONOGXRCSA-N 0 0 438.510 -0.973 20 0 IBADRN CCOc1ccc(NC(=O)N2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000497730732 1072783743 /nfs/dbraw/zinc/78/37/43/1072783743.db2.gz GTJOLPBCHOZBEG-OAHLLOKOSA-N 0 0 442.494 -0.176 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)N1CCN(c2ncccc2CNC(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000497733803 1073383403 /nfs/dbraw/zinc/38/34/03/1073383403.db2.gz DDPRTRDSUSOTII-DLBZAZTESA-N 0 0 442.520 -0.412 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)NCc1cccnc1N1CCN(C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC000497733804 1073383474 /nfs/dbraw/zinc/38/34/74/1073383474.db2.gz DDPRTRDSUSOTII-IAGOWNOFSA-N 0 0 442.520 -0.412 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)NCc1cccnc1N1CCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000497733805 1073383261 /nfs/dbraw/zinc/38/32/61/1073383261.db2.gz DDPRTRDSUSOTII-IRXDYDNUSA-N 0 0 442.520 -0.412 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)NCc1cccnc1N1CCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000497733906 1073383457 /nfs/dbraw/zinc/38/34/57/1073383457.db2.gz DDPRTRDSUSOTII-SJORKVTESA-N 0 0 442.520 -0.412 20 0 IBADRN CN(CCCNC(=O)CN1CCc2c(cccc2NS(C)(=O)=O)C1)S(C)(=O)=O ZINC000497735224 1072783820 /nfs/dbraw/zinc/78/38/20/1072783820.db2.gz WGIWQOZUSIHTKE-UHFFFAOYSA-N 0 0 432.568 -0.186 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000497736301 1072783858 /nfs/dbraw/zinc/78/38/58/1072783858.db2.gz OFAWCDIDKYEIHL-OAHLLOKOSA-N 0 0 428.467 -0.566 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000497737339 1072783609 /nfs/dbraw/zinc/78/36/09/1072783609.db2.gz UUFCHRKWSDSJKF-MRXNPFEDSA-N 0 0 442.494 -0.176 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)c3cc(S(=O)(=O)N(C)C)cn3C)CC2)nn1 ZINC000497739294 1072783869 /nfs/dbraw/zinc/78/38/69/1072783869.db2.gz MPDURRQVZQHUAC-UHFFFAOYSA-N 0 0 436.494 -0.186 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000497742009 1072783849 /nfs/dbraw/zinc/78/38/49/1072783849.db2.gz MWVGMHCALVOSND-UHFFFAOYSA-N 0 0 444.579 -0.408 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3c3nccs3)CC2)CC1 ZINC000497742485 1072784743 /nfs/dbraw/zinc/78/47/43/1072784743.db2.gz SDQYTYZVMNQCAK-HNNXBMFYSA-N 0 0 428.584 -0.252 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3c3nccs3)CC2)CC1 ZINC000497742486 1072784693 /nfs/dbraw/zinc/78/46/93/1072784693.db2.gz SDQYTYZVMNQCAK-OAHLLOKOSA-N 0 0 428.584 -0.252 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H](O)Cc3ccccc3Cl)CC2)CC1 ZINC000497743286 1072784681 /nfs/dbraw/zinc/78/46/81/1072784681.db2.gz GQIVOGFNSITKLH-KRWDZBQOSA-N 0 0 430.958 -0.120 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H](O)Cc3ccccc3Cl)CC2)CC1 ZINC000497743287 1072784780 /nfs/dbraw/zinc/78/47/80/1072784780.db2.gz GQIVOGFNSITKLH-QGZVFWFLSA-N 0 0 430.958 -0.120 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1 ZINC000497744380 1072784762 /nfs/dbraw/zinc/78/47/62/1072784762.db2.gz CGVXBBGWPVENBY-UHFFFAOYSA-N 0 0 435.524 -0.175 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000497745816 1072784654 /nfs/dbraw/zinc/78/46/54/1072784654.db2.gz NTQXFLHQRGGCAJ-UHFFFAOYSA-N 0 0 438.503 -0.540 20 0 IBADRN Cn1c2ncn(CCN3CCc4c(cccc4NS(C)(=O)=O)C3)c2c(=O)n(C)c1=O ZINC000497746067 1072784826 /nfs/dbraw/zinc/78/48/26/1072784826.db2.gz OWPZQIBOELJMSB-UHFFFAOYSA-N 0 0 432.506 -0.137 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(F)c1 ZINC000497746678 1072784788 /nfs/dbraw/zinc/78/47/88/1072784788.db2.gz WRVYSCJBYMTSSB-UHFFFAOYSA-N 0 0 428.486 -0.138 20 0 IBADRN COc1ccc(NC(=O)N2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000497747838 1072784307 /nfs/dbraw/zinc/78/43/07/1072784307.db2.gz NICTXVGUAMUBMB-AWEZNQCLSA-N 0 0 428.467 -0.566 20 0 IBADRN COc1ccc(NC(=O)N2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000497747839 1072784266 /nfs/dbraw/zinc/78/42/66/1072784266.db2.gz NICTXVGUAMUBMB-CQSZACIVSA-N 0 0 428.467 -0.566 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCc2c(cccc2NS(C)(=O)=O)C1 ZINC000497748848 1072784240 /nfs/dbraw/zinc/78/42/40/1072784240.db2.gz KGFCAPVSROJGBX-LLVKDONJSA-N 0 0 435.506 -0.333 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCc2c(cccc2NS(C)(=O)=O)C1 ZINC000497748849 1072784316 /nfs/dbraw/zinc/78/43/16/1072784316.db2.gz KGFCAPVSROJGBX-NSHDSACASA-N 0 0 435.506 -0.333 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCc3c(cccc3NS(C)(=O)=O)C2)CC1 ZINC000497749065 1072784191 /nfs/dbraw/zinc/78/41/91/1072784191.db2.gz QRTDCUZHZPUWHJ-UHFFFAOYSA-N 0 0 437.566 -0.351 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21)NCC(F)(F)F ZINC000497750305 1072784330 /nfs/dbraw/zinc/78/43/30/1072784330.db2.gz MBZVABAJZWJNCA-NEPJUHHUSA-N 0 0 442.394 -0.183 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)NCC(F)(F)F ZINC000497750306 1072784231 /nfs/dbraw/zinc/78/42/31/1072784231.db2.gz MBZVABAJZWJNCA-NWDGAFQWSA-N 0 0 442.394 -0.183 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)NCC(F)(F)F ZINC000497750307 1072784248 /nfs/dbraw/zinc/78/42/48/1072784248.db2.gz MBZVABAJZWJNCA-RYUDHWBXSA-N 0 0 442.394 -0.183 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21)NCC(F)(F)F ZINC000497750308 1072784253 /nfs/dbraw/zinc/78/42/53/1072784253.db2.gz MBZVABAJZWJNCA-VXGBXAGGSA-N 0 0 442.394 -0.183 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)ccc1F ZINC000497753140 1071375182 /nfs/dbraw/zinc/37/51/82/1071375182.db2.gz LHQPVIIVWRANIF-UHFFFAOYSA-N 0 0 428.364 -0.191 20 0 IBADRN COc1ccc(C(=O)N2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)NCc1ccccn1 ZINC000497755465 1072784722 /nfs/dbraw/zinc/78/47/22/1072784722.db2.gz MNOKCDZWKBSQGT-INIZCTEOSA-N 0 0 434.474 -0.105 20 0 IBADRN COc1ccc(C(=O)N2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)NCc1ccccn1 ZINC000497755466 1072784703 /nfs/dbraw/zinc/78/47/03/1072784703.db2.gz MNOKCDZWKBSQGT-MRXNPFEDSA-N 0 0 434.474 -0.105 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000497755550 1072784262 /nfs/dbraw/zinc/78/42/62/1072784262.db2.gz NRQWNNCKGJEGAW-HNNXBMFYSA-N 0 0 426.495 -0.840 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000497755551 1072784225 /nfs/dbraw/zinc/78/42/25/1072784225.db2.gz NRQWNNCKGJEGAW-OAHLLOKOSA-N 0 0 426.495 -0.840 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)s1 ZINC000497756946 1072784640 /nfs/dbraw/zinc/78/46/40/1072784640.db2.gz AIWFJDKPJKROHF-UHFFFAOYSA-N 0 0 430.552 -0.216 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3c(F)cc(F)cc3F)CC2)CC1 ZINC000497757346 1072785197 /nfs/dbraw/zinc/78/51/97/1072785197.db2.gz OXXJJLVBGSAOHT-UHFFFAOYSA-N 0 0 442.485 -0.098 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)s1 ZINC000497759595 1072784709 /nfs/dbraw/zinc/78/47/09/1072784709.db2.gz KBIPNEPMWIUXPC-UHFFFAOYSA-N 0 0 442.563 -0.118 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)s1 ZINC000497760009 1072785205 /nfs/dbraw/zinc/78/52/05/1072785205.db2.gz PXOJZGPFTDKFNJ-UHFFFAOYSA-N 0 0 428.536 -0.462 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000497760241 1072784800 /nfs/dbraw/zinc/78/48/00/1072784800.db2.gz XHFLGLZQMUEUNH-HNNXBMFYSA-N 0 0 426.495 -0.840 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000497760242 1072784676 /nfs/dbraw/zinc/78/46/76/1072784676.db2.gz XHFLGLZQMUEUNH-OAHLLOKOSA-N 0 0 426.495 -0.840 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C(C)=O)CC1 ZINC000497761898 1072784770 /nfs/dbraw/zinc/78/47/70/1072784770.db2.gz SVMJQFSGKNJHAG-UHFFFAOYSA-N 0 0 427.479 -0.256 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000497764048 1072785318 /nfs/dbraw/zinc/78/53/18/1072785318.db2.gz BBFVJVCUBHABTC-UHFFFAOYSA-N 0 0 429.568 -0.308 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1 ZINC000497764223 1072785269 /nfs/dbraw/zinc/78/52/69/1072785269.db2.gz DELFNRGSNGKCPI-UHFFFAOYSA-N 0 0 438.550 -0.044 20 0 IBADRN O=C(Nc1cc(Cl)cc2c1OCC(=O)N2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000497766289 1072785221 /nfs/dbraw/zinc/78/52/21/1072785221.db2.gz DZQRIKBDYYOGBD-UHFFFAOYSA-N 0 0 449.851 -0.441 20 0 IBADRN Cc1c(C(F)(F)F)nn(C)c1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000497767020 1072785343 /nfs/dbraw/zinc/78/53/43/1072785343.db2.gz HENOFPLGRPNWEQ-UHFFFAOYSA-N 0 0 444.414 -0.021 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(=O)n(-c3ccccc3F)n2)CC1)N1CCOCC1 ZINC000497767818 1072785212 /nfs/dbraw/zinc/78/52/12/1072785212.db2.gz MTVNYBPJUFPLBS-UHFFFAOYSA-N 0 0 429.452 -0.012 20 0 IBADRN O=C(Nc1nc(C2CCOCC2)cs1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000497769582 1072785421 /nfs/dbraw/zinc/78/54/21/1072785421.db2.gz RECCZDVUSBOTML-UHFFFAOYSA-N 0 0 435.506 -0.075 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n(C)nc1C(F)(F)F ZINC000497769798 1072785301 /nfs/dbraw/zinc/78/53/01/1072785301.db2.gz RNQJYOCIVBDCCB-UHFFFAOYSA-N 0 0 430.387 -0.365 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000497770104 1072785259 /nfs/dbraw/zinc/78/52/59/1072785259.db2.gz UDGKTSHTYGDLAV-UHFFFAOYSA-N 0 0 429.477 -0.334 20 0 IBADRN Cc1nn(CCC(=O)N(C)CC(=O)N(C)C)c(C)c1CCC(=O)N(C)CC(=O)N(C)C ZINC000497770304 1072785247 /nfs/dbraw/zinc/78/52/47/1072785247.db2.gz VLDCAYCTQXBONI-UHFFFAOYSA-N 0 0 436.557 -0.084 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000497775356 1072786196 /nfs/dbraw/zinc/78/61/96/1072786196.db2.gz ZXZJARKKRRRWTI-UHFFFAOYSA-N 0 0 444.579 -0.408 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC(c4ccnn4CCO)CC3)C2=O)cn1 ZINC000497786747 1072786689 /nfs/dbraw/zinc/78/66/89/1072786689.db2.gz MMGUJNPRQUUOJU-KRWDZBQOSA-N 0 0 443.508 -0.373 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC(c4ccnn4CCO)CC3)C2=O)cn1 ZINC000497786748 1072786632 /nfs/dbraw/zinc/78/66/32/1072786632.db2.gz MMGUJNPRQUUOJU-QGZVFWFLSA-N 0 0 443.508 -0.373 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)C2=O)cn1 ZINC000497787189 1072786655 /nfs/dbraw/zinc/78/66/55/1072786655.db2.gz SPWRDUYRAKAAQJ-HLLBOEOZSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCC4)C3)C2=O)cn1 ZINC000497787190 1072786723 /nfs/dbraw/zinc/78/67/23/1072786723.db2.gz SPWRDUYRAKAAQJ-VYDXJSESSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCc3c(cccc3NS(C)(=O)=O)C2)c(=O)n(C)c1=O ZINC000497787931 1072786717 /nfs/dbraw/zinc/78/67/17/1072786717.db2.gz HDKAKNQGFSAUME-UHFFFAOYSA-N 0 0 428.492 -0.798 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H]3CN(Cc4ccccc4)CCO3)c2c(=O)n(C)c1=O ZINC000497792961 1072786473 /nfs/dbraw/zinc/78/64/73/1072786473.db2.gz JKZIDIRTNISENO-KRWDZBQOSA-N 0 0 440.504 -0.159 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H]3CN(Cc4ccccc4)CCO3)c2c(=O)n(C)c1=O ZINC000497792973 1072786778 /nfs/dbraw/zinc/78/67/78/1072786778.db2.gz JKZIDIRTNISENO-QGZVFWFLSA-N 0 0 440.504 -0.159 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)o1 ZINC000497793775 1072786160 /nfs/dbraw/zinc/78/61/60/1072786160.db2.gz XVTFJQYSPNPTDD-UHFFFAOYSA-N 0 0 442.519 -0.040 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCc2ccc(S(N)(=O)=O)cc2)cc1OC ZINC000497794796 1072786024 /nfs/dbraw/zinc/78/60/24/1072786024.db2.gz YDIJXHBNRYRGHF-UHFFFAOYSA-N 0 0 443.503 -0.054 20 0 IBADRN CCCN(C(=O)Cn1ncn(C)c1=O)c1cccc(NC(=O)Cn2ncn(C)c2=O)c1 ZINC000497795431 1072786667 /nfs/dbraw/zinc/78/66/67/1072786667.db2.gz MWYYKLLSZSQNAJ-UHFFFAOYSA-N 0 0 428.453 -0.441 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1=O)C1CCN(c2cccnn2)CC1 ZINC000497796069 1072786542 /nfs/dbraw/zinc/78/65/42/1072786542.db2.gz VFDOARXGLPNFMM-KRWDZBQOSA-N 0 0 440.508 -0.051 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1=O)C1CCN(c2cccnn2)CC1 ZINC000497796070 1072786761 /nfs/dbraw/zinc/78/67/61/1072786761.db2.gz VFDOARXGLPNFMM-QGZVFWFLSA-N 0 0 440.508 -0.051 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3=O)C2)n1 ZINC000497796139 1072786000 /nfs/dbraw/zinc/78/60/00/1072786000.db2.gz VYQIVIFQIBVFCA-DZGCQCFKSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3=O)C2)n1 ZINC000497796140 1072786074 /nfs/dbraw/zinc/78/60/74/1072786074.db2.gz VYQIVIFQIBVFCA-HIFRSBDPSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3=O)C2)n1 ZINC000497796141 1072786067 /nfs/dbraw/zinc/78/60/67/1072786067.db2.gz VYQIVIFQIBVFCA-UKRRQHHQSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3=O)C2)n1 ZINC000497796142 1072785950 /nfs/dbraw/zinc/78/59/50/1072785950.db2.gz VYQIVIFQIBVFCA-ZFWWWQNUSA-N 0 0 444.496 -0.113 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)C2)cn1 ZINC000497802836 1072787349 /nfs/dbraw/zinc/78/73/49/1072787349.db2.gz ZMYBSWQWAIXKCX-JLTOFOAXSA-N 0 0 431.497 -0.658 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)C2)cn1 ZINC000497802837 1072787399 /nfs/dbraw/zinc/78/73/99/1072787399.db2.gz ZMYBSWQWAIXKCX-VBKZILBWSA-N 0 0 431.497 -0.658 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC([C@]4(C)NC(=O)NC4=O)CC3)C2)cn1 ZINC000497802838 1072787390 /nfs/dbraw/zinc/78/73/90/1072787390.db2.gz ZMYBSWQWAIXKCX-VLIAUNLRSA-N 0 0 431.497 -0.658 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC([C@]4(C)NC(=O)NC4=O)CC3)C2)cn1 ZINC000497802839 1072787208 /nfs/dbraw/zinc/78/72/08/1072787208.db2.gz ZMYBSWQWAIXKCX-XOBRGWDASA-N 0 0 431.497 -0.658 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@@H](O)C[C@@H]1CO ZINC000497803180 1072787386 /nfs/dbraw/zinc/78/73/86/1072787386.db2.gz ZYRDPAGGHIZDCG-CVEARBPZSA-N 0 0 425.507 -0.746 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@H](O)C[C@@H]1CO ZINC000497803181 1072787233 /nfs/dbraw/zinc/78/72/33/1072787233.db2.gz ZYRDPAGGHIZDCG-HZPDHXFCSA-N 0 0 425.507 -0.746 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@H](O)C[C@H]1CO ZINC000497803182 1072787333 /nfs/dbraw/zinc/78/73/33/1072787333.db2.gz ZYRDPAGGHIZDCG-JKSUJKDBSA-N 0 0 425.507 -0.746 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2=O)C[C@H]1c1ncc[nH]1 ZINC000497806673 1072787219 /nfs/dbraw/zinc/78/72/19/1072787219.db2.gz GLYGEISCRSGJJS-CVEARBPZSA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2=O)C[C@H]1c1ncc[nH]1 ZINC000497806674 1072787268 /nfs/dbraw/zinc/78/72/68/1072787268.db2.gz GLYGEISCRSGJJS-HOTGVXAUSA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000497806676 1072787325 /nfs/dbraw/zinc/78/73/25/1072787325.db2.gz GLYGEISCRSGJJS-HZPDHXFCSA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000497806678 1072787246 /nfs/dbraw/zinc/78/72/46/1072787246.db2.gz GLYGEISCRSGJJS-JKSUJKDBSA-N 0 0 428.497 -0.340 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)C2=O)cn1 ZINC000497807182 1072787259 /nfs/dbraw/zinc/78/72/59/1072787259.db2.gz KCCUAGDPAVUNME-GDBMZVCRSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)C2=O)cn1 ZINC000497807184 1072787855 /nfs/dbraw/zinc/78/78/55/1072787855.db2.gz KCCUAGDPAVUNME-GOEBONIOSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)C2=O)cn1 ZINC000497807186 1072787843 /nfs/dbraw/zinc/78/78/43/1072787843.db2.gz KCCUAGDPAVUNME-HOCLYGCPSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)C2=O)cn1 ZINC000497807188 1072787851 /nfs/dbraw/zinc/78/78/51/1072787851.db2.gz KCCUAGDPAVUNME-ZBFHGGJFSA-N 0 0 428.497 -0.450 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C1=O ZINC000497814247 1072787372 /nfs/dbraw/zinc/78/73/72/1072787372.db2.gz WWRVAKMSCVBJJV-UHFFFAOYSA-N 0 0 431.449 -0.616 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2c3ccccc3CC[C@H]2C(=O)N2CCN(C)CC2)C1=O ZINC000497819600 1072788168 /nfs/dbraw/zinc/78/81/68/1072788168.db2.gz HURPQCKCLPDPMN-INIZCTEOSA-N 0 0 427.461 -0.471 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2c3ccccc3CC[C@@H]2C(=O)N2CCN(C)CC2)C1=O ZINC000497819601 1072788343 /nfs/dbraw/zinc/78/83/43/1072788343.db2.gz HURPQCKCLPDPMN-MRXNPFEDSA-N 0 0 427.461 -0.471 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2=O)cn1 ZINC000497819742 1072788298 /nfs/dbraw/zinc/78/82/98/1072788298.db2.gz KBRWMCAOAUWCPT-INIZCTEOSA-N 0 0 426.481 -0.439 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2=O)cn1 ZINC000497819743 1072788311 /nfs/dbraw/zinc/78/83/11/1072788311.db2.gz KBRWMCAOAUWCPT-MRXNPFEDSA-N 0 0 426.481 -0.439 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000497819846 1072788209 /nfs/dbraw/zinc/78/82/09/1072788209.db2.gz AAWHEOLSTKKDOC-INIZCTEOSA-N 0 0 443.570 -0.318 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000497819854 1072788321 /nfs/dbraw/zinc/78/83/21/1072788321.db2.gz AAWHEOLSTKKDOC-MRXNPFEDSA-N 0 0 443.570 -0.318 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1=O)c1nnnn1-c1ccccc1 ZINC000497820204 1072787759 /nfs/dbraw/zinc/78/77/59/1072787759.db2.gz NTQVNZSBKOZGBD-BBRMVZONSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1=O)c1nnnn1-c1ccccc1 ZINC000497820205 1072787800 /nfs/dbraw/zinc/78/78/00/1072787800.db2.gz NTQVNZSBKOZGBD-CJNGLKHVSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1=O)c1nnnn1-c1ccccc1 ZINC000497820206 1072788190 /nfs/dbraw/zinc/78/81/90/1072788190.db2.gz NTQVNZSBKOZGBD-CZUORRHYSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1=O)c1nnnn1-c1ccccc1 ZINC000497820207 1072788281 /nfs/dbraw/zinc/78/82/81/1072788281.db2.gz NTQVNZSBKOZGBD-XJKSGUPXSA-N 0 0 437.464 -0.115 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4ncccc4C(N)=O)CC3)C2)cn1 ZINC000497820225 1072788333 /nfs/dbraw/zinc/78/83/33/1072788333.db2.gz NXNLWDWFWKPVCH-HNNXBMFYSA-N 0 0 440.508 -0.652 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4ncccc4C(N)=O)CC3)C2)cn1 ZINC000497820226 1072788234 /nfs/dbraw/zinc/78/82/34/1072788234.db2.gz NXNLWDWFWKPVCH-OAHLLOKOSA-N 0 0 440.508 -0.652 20 0 IBADRN CN1C[C@@H]2[C@@H](CCCN2C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000497820729 1072788123 /nfs/dbraw/zinc/78/81/23/1072788123.db2.gz GDGJSYXXCGBXQC-HZPDHXFCSA-N 0 0 429.543 -0.710 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@H]1Cn1ccnn1 ZINC000497821671 1072788203 /nfs/dbraw/zinc/78/82/03/1072788203.db2.gz JKCRBLXKBFNWTC-INIZCTEOSA-N 0 0 427.531 -0.507 20 0 IBADRN O=C(NC[C@H](O)Cc1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497821949 1072788260 /nfs/dbraw/zinc/78/82/60/1072788260.db2.gz KMJOCBUQGDYADM-GOSISDBHSA-N 0 0 426.539 -0.069 20 0 IBADRN O=C(NC[C@@H](O)Cc1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497821951 1072788218 /nfs/dbraw/zinc/78/82/18/1072788218.db2.gz KMJOCBUQGDYADM-SFHVURJKSA-N 0 0 426.539 -0.069 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2=O)cn1 ZINC000497822966 1072788315 /nfs/dbraw/zinc/78/83/15/1072788315.db2.gz YQDBGZBVCFINDM-QWHCGFSZSA-N 0 0 435.403 -0.834 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2=O)cn1 ZINC000497822967 1072788241 /nfs/dbraw/zinc/78/82/41/1072788241.db2.gz YQDBGZBVCFINDM-STQMWFEESA-N 0 0 435.403 -0.834 20 0 IBADRN COC[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC(C)(C)O1 ZINC000497825720 1072787840 /nfs/dbraw/zinc/78/78/40/1072787840.db2.gz HIFVICFVCSIFSN-INIZCTEOSA-N 0 0 434.559 -0.137 20 0 IBADRN COC[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC(C)(C)O1 ZINC000497825721 1072787680 /nfs/dbraw/zinc/78/76/80/1072787680.db2.gz HIFVICFVCSIFSN-MRXNPFEDSA-N 0 0 434.559 -0.137 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000497825932 1072787837 /nfs/dbraw/zinc/78/78/37/1072787837.db2.gz KEHBCXCETORJFX-KSZLIROESA-N 0 0 446.570 -0.401 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000497825933 1072787670 /nfs/dbraw/zinc/78/76/70/1072787670.db2.gz KEHBCXCETORJFX-KURKYZTESA-N 0 0 446.570 -0.401 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000497825934 1072787712 /nfs/dbraw/zinc/78/77/12/1072787712.db2.gz KEHBCXCETORJFX-KZNAEPCWSA-N 0 0 446.570 -0.401 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000497825935 1072787691 /nfs/dbraw/zinc/78/76/91/1072787691.db2.gz KEHBCXCETORJFX-RCCFBDPRSA-N 0 0 446.570 -0.401 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000497826315 1072787702 /nfs/dbraw/zinc/78/77/02/1072787702.db2.gz PSHHWOPNOGVYLE-UHFFFAOYSA-N 0 0 442.542 -0.663 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H](C)C(=O)N1CCOCC1 ZINC000497830922 1072787629 /nfs/dbraw/zinc/78/76/29/1072787629.db2.gz FSPKIARNXILDCQ-HNNXBMFYSA-N 0 0 425.507 -0.007 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000497832637 1072788288 /nfs/dbraw/zinc/78/82/88/1072788288.db2.gz AEZZGUINZMDWEH-UHFFFAOYSA-N 0 0 432.568 -0.158 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)nn1 ZINC000497834628 1072788269 /nfs/dbraw/zinc/78/82/69/1072788269.db2.gz WJTGOACRGYSQCJ-UHFFFAOYSA-N 0 0 442.523 -0.621 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N[C@@H](C)C(=O)N1CCOCC1 ZINC000497837744 1072788764 /nfs/dbraw/zinc/78/87/64/1072788764.db2.gz QJGUOSMIJAEDDV-KBPBESRZSA-N 0 0 441.506 -0.122 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H](C)C(=O)N2CCOCC2)cc1 ZINC000497837941 1072788885 /nfs/dbraw/zinc/78/88/85/1072788885.db2.gz SLQNYEYOGPLUMW-QWHCGFSZSA-N 0 0 426.495 -0.325 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1 ZINC000497837942 1072788818 /nfs/dbraw/zinc/78/88/18/1072788818.db2.gz SLQNYEYOGPLUMW-STQMWFEESA-N 0 0 426.495 -0.325 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)C1=O ZINC000497838061 1072788791 /nfs/dbraw/zinc/78/87/91/1072788791.db2.gz WRQXIOVGNFJGFR-UHFFFAOYSA-N 0 0 435.412 -0.154 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H](C)C(=O)N1CCOCC1 ZINC000497838457 1072788851 /nfs/dbraw/zinc/78/88/51/1072788851.db2.gz YMKOCIZJIUUONJ-AWEZNQCLSA-N 0 0 441.506 -0.307 20 0 IBADRN Cn1cc(N2CCN(CC3(CN4CCN(c5cnn(C)c5)C(=O)C4)COC3)CC2=O)cn1 ZINC000497838873 1072788681 /nfs/dbraw/zinc/78/86/81/1072788681.db2.gz BHEFKGNNCNDDJB-UHFFFAOYSA-N 0 0 442.524 -0.832 20 0 IBADRN COCCN1C[C@H](C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1=O ZINC000497839110 1072788808 /nfs/dbraw/zinc/78/88/08/1072788808.db2.gz FONYTTWZXHVLAY-CYBMUJFWSA-N 0 0 431.536 -0.120 20 0 IBADRN COCCN1C[C@@H](C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1=O ZINC000497839111 1072788844 /nfs/dbraw/zinc/78/88/44/1072788844.db2.gz FONYTTWZXHVLAY-ZDUSSCGKSA-N 0 0 431.536 -0.120 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1=O ZINC000497839804 1072788709 /nfs/dbraw/zinc/78/87/09/1072788709.db2.gz SDDGPIWETQDPCQ-UHFFFAOYSA-N 0 0 430.508 -0.284 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)CN4C(=O)C(=O)N(C)C4=O)CC3)n2)cc1 ZINC000497840716 1072789465 /nfs/dbraw/zinc/78/94/65/1072789465.db2.gz BYBHSLHTJUHJOQ-UHFFFAOYSA-N 0 0 442.432 -0.190 20 0 IBADRN CC(C)[C@@H](CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCOCC1 ZINC000497841520 1072789447 /nfs/dbraw/zinc/78/94/47/1072789447.db2.gz QICBPCAZTRFDHO-GOSISDBHSA-N 0 0 438.550 -0.106 20 0 IBADRN CC(C)[C@H](CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCOCC1 ZINC000497841523 1072789180 /nfs/dbraw/zinc/78/91/80/1072789180.db2.gz QICBPCAZTRFDHO-SFHVURJKSA-N 0 0 438.550 -0.106 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000497845731 1072789360 /nfs/dbraw/zinc/78/93/60/1072789360.db2.gz CHSZRODEKIIMOF-UHFFFAOYSA-N 0 0 430.508 -0.283 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCO[C@]2(CCCOC2)C1 ZINC000497846024 1072789376 /nfs/dbraw/zinc/78/93/76/1072789376.db2.gz AOMHGIHEGXBMHG-GOSISDBHSA-N 0 0 432.543 -0.381 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCO[C@@]2(CCCOC2)C1 ZINC000497846028 1072789425 /nfs/dbraw/zinc/78/94/25/1072789425.db2.gz AOMHGIHEGXBMHG-SFHVURJKSA-N 0 0 432.543 -0.381 20 0 IBADRN CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497852557 1072789164 /nfs/dbraw/zinc/78/91/64/1072789164.db2.gz JVWSRJOKXZXXJT-CVEARBPZSA-N 0 0 436.575 -0.515 20 0 IBADRN CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497852563 1072789847 /nfs/dbraw/zinc/78/98/47/1072789847.db2.gz JVWSRJOKXZXXJT-HOTGVXAUSA-N 0 0 436.575 -0.515 20 0 IBADRN CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497852564 1072789889 /nfs/dbraw/zinc/78/98/89/1072789889.db2.gz JVWSRJOKXZXXJT-HZPDHXFCSA-N 0 0 436.575 -0.515 20 0 IBADRN CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497852566 1072790002 /nfs/dbraw/zinc/79/00/02/1072790002.db2.gz JVWSRJOKXZXXJT-JKSUJKDBSA-N 0 0 436.575 -0.515 20 0 IBADRN CN1CCO[C@@H]2CCN(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)C[C@@H]21 ZINC000497853250 1072789192 /nfs/dbraw/zinc/78/91/92/1072789192.db2.gz OVBNGROZXNUUNZ-DLBZAZTESA-N 0 0 431.559 -0.858 20 0 IBADRN CN1CCO[C@@H]2CCN(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)C[C@H]21 ZINC000497853251 1072789342 /nfs/dbraw/zinc/78/93/42/1072789342.db2.gz OVBNGROZXNUUNZ-IAGOWNOFSA-N 0 0 431.559 -0.858 20 0 IBADRN CN1CCO[C@H]2CCN(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)C[C@@H]21 ZINC000497853252 1072789232 /nfs/dbraw/zinc/78/92/32/1072789232.db2.gz OVBNGROZXNUUNZ-IRXDYDNUSA-N 0 0 431.559 -0.858 20 0 IBADRN CN1CCO[C@H]2CCN(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)C[C@H]21 ZINC000497853253 1072789209 /nfs/dbraw/zinc/78/92/09/1072789209.db2.gz OVBNGROZXNUUNZ-SJORKVTESA-N 0 0 431.559 -0.858 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497854429 1072789819 /nfs/dbraw/zinc/78/98/19/1072789819.db2.gz WIJJFOLTODUHRB-AEFFLSMTSA-N 0 0 445.586 -0.468 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497854431 1072790012 /nfs/dbraw/zinc/79/00/12/1072790012.db2.gz WIJJFOLTODUHRB-FUHWJXTLSA-N 0 0 445.586 -0.468 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497854433 1072789853 /nfs/dbraw/zinc/78/98/53/1072789853.db2.gz WIJJFOLTODUHRB-SJLPKXTDSA-N 0 0 445.586 -0.468 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000497854434 1072790055 /nfs/dbraw/zinc/79/00/55/1072790055.db2.gz WIJJFOLTODUHRB-WMZOPIPTSA-N 0 0 445.586 -0.468 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)CC1 ZINC000497854844 1072789801 /nfs/dbraw/zinc/78/98/01/1072789801.db2.gz VZJCXGJPGFNRHL-INIZCTEOSA-N 0 0 443.570 -0.429 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)CC1 ZINC000497854845 1072789900 /nfs/dbraw/zinc/78/99/00/1072789900.db2.gz VZJCXGJPGFNRHL-MRXNPFEDSA-N 0 0 443.570 -0.429 20 0 IBADRN CC(C)(C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1)S(C)(=O)=O ZINC000497855488 1072789931 /nfs/dbraw/zinc/78/99/31/1072789931.db2.gz FASUCPCAVKPPHF-UHFFFAOYSA-N 0 0 430.508 -0.075 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOC[C@H]1[C@H]1CCCO1 ZINC000497856084 1072790039 /nfs/dbraw/zinc/79/00/39/1072790039.db2.gz DUJXBBLFNRTXJQ-DLBZAZTESA-N 0 0 432.543 -0.383 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000497856085 1072789880 /nfs/dbraw/zinc/78/98/80/1072789880.db2.gz DUJXBBLFNRTXJQ-IAGOWNOFSA-N 0 0 432.543 -0.383 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC000497856086 1072789810 /nfs/dbraw/zinc/78/98/10/1072789810.db2.gz DUJXBBLFNRTXJQ-IRXDYDNUSA-N 0 0 432.543 -0.383 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000497856087 1072790099 /nfs/dbraw/zinc/79/00/99/1072790099.db2.gz DUJXBBLFNRTXJQ-SJORKVTESA-N 0 0 432.543 -0.383 20 0 IBADRN COc1ccc([C@H](CNC(=O)CN2C(=O)C(=O)N(C)C2=O)N2CCOCC2)cc1OC ZINC000497856895 1072789838 /nfs/dbraw/zinc/78/98/38/1072789838.db2.gz JRVFSKLUCFOTOP-AWEZNQCLSA-N 0 0 434.449 -0.386 20 0 IBADRN COc1ccc([C@@H](CNC(=O)CN2C(=O)C(=O)N(C)C2=O)N2CCOCC2)cc1OC ZINC000497856896 1072790087 /nfs/dbraw/zinc/79/00/87/1072790087.db2.gz JRVFSKLUCFOTOP-CQSZACIVSA-N 0 0 434.449 -0.386 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1 ZINC000497857186 1072789873 /nfs/dbraw/zinc/78/98/73/1072789873.db2.gz ABJHNPIUTGNIMW-UHFFFAOYSA-N 0 0 430.461 -0.087 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NCc1cccc(NC(=O)CCS(=O)(=O)N(C)C)c1 ZINC000497859127 1072790495 /nfs/dbraw/zinc/79/04/95/1072790495.db2.gz VAIKRQXXVQGOOM-UHFFFAOYSA-N 0 0 448.567 -0.196 20 0 IBADRN C[C@H](NC(=O)CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)C(=O)N1CCS(=O)(=O)CC1 ZINC000497859324 1074355442 /nfs/dbraw/zinc/35/54/42/1074355442.db2.gz GRBWXHWROWMYQV-JTQLQIEISA-N 0 0 438.466 -0.856 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)C(=O)N1CCS(=O)(=O)CC1 ZINC000497859334 1074355459 /nfs/dbraw/zinc/35/54/59/1074355459.db2.gz GRBWXHWROWMYQV-SNVBAGLBSA-N 0 0 438.466 -0.856 20 0 IBADRN CN(CC(=O)Nc1ccc(Br)cn1)C(=O)c1cn(CCO)c(=O)[nH]c1=O ZINC000497859423 1072790530 /nfs/dbraw/zinc/79/05/30/1072790530.db2.gz XACJTFNUFQMWAR-UHFFFAOYSA-N 0 0 426.227 -0.191 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000497864749 1072790435 /nfs/dbraw/zinc/79/04/35/1072790435.db2.gz MCAUPMIRYYXCTO-ZDUSSCGKSA-N 0 0 446.551 -0.194 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)ccc1F ZINC000497866506 1072790975 /nfs/dbraw/zinc/79/09/75/1072790975.db2.gz FFAPWLAKSNYLOG-UHFFFAOYSA-N 0 0 437.453 -0.044 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000497869262 1072790474 /nfs/dbraw/zinc/79/04/74/1072790474.db2.gz IXSLIHNJQHIOLI-IRXDYDNUSA-N 0 0 441.550 -0.077 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000497869263 1072790462 /nfs/dbraw/zinc/79/04/62/1072790462.db2.gz IXSLIHNJQHIOLI-SJORKVTESA-N 0 0 441.550 -0.077 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000497870679 1072790397 /nfs/dbraw/zinc/79/03/97/1072790397.db2.gz WBSBAUVNJIUKEO-KBPBESRZSA-N 0 0 438.506 -0.923 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000497870680 1072790574 /nfs/dbraw/zinc/79/05/74/1072790574.db2.gz WBSBAUVNJIUKEO-KGLIPLIRSA-N 0 0 438.506 -0.923 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000497870681 1072790456 /nfs/dbraw/zinc/79/04/56/1072790456.db2.gz WBSBAUVNJIUKEO-UONOGXRCSA-N 0 0 438.506 -0.923 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000497870682 1072790416 /nfs/dbraw/zinc/79/04/16/1072790416.db2.gz WBSBAUVNJIUKEO-ZIAGYGMSSA-N 0 0 438.506 -0.923 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H](C(N)=O)C2)c1C ZINC000497871308 1072790373 /nfs/dbraw/zinc/79/03/73/1072790373.db2.gz AUPQGLGYSMSBAT-IKGGRYGDSA-N 0 0 439.534 -0.243 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)c1C ZINC000497871309 1072791099 /nfs/dbraw/zinc/79/10/99/1072791099.db2.gz AUPQGLGYSMSBAT-IXDOHACOSA-N 0 0 439.534 -0.243 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@H](C(N)=O)C2)c1C ZINC000497871310 1072791114 /nfs/dbraw/zinc/79/11/14/1072791114.db2.gz AUPQGLGYSMSBAT-ULQDDVLXSA-N 0 0 439.534 -0.243 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)c1C ZINC000497871311 1072791042 /nfs/dbraw/zinc/79/10/42/1072791042.db2.gz AUPQGLGYSMSBAT-YESZJQIVSA-N 0 0 439.534 -0.243 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000497873606 1072790894 /nfs/dbraw/zinc/79/08/94/1072790894.db2.gz FZKQOSHWZQOPGW-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000497874028 1072791020 /nfs/dbraw/zinc/79/10/20/1072791020.db2.gz NWEDVXQVFDEVRM-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN O=C(CCCNC(=O)c1cn(CCO)c(=O)[nH]c1=O)N1CCN(c2ccccc2)CC1 ZINC000497875421 1072790864 /nfs/dbraw/zinc/79/08/64/1072790864.db2.gz RPOBJTQVPWBKRR-UHFFFAOYSA-N 0 0 429.477 -0.200 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000497878706 1072790907 /nfs/dbraw/zinc/79/09/07/1072790907.db2.gz BETAYDVREKOVAT-BRWVUGGUSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000497878707 1072791072 /nfs/dbraw/zinc/79/10/72/1072791072.db2.gz BETAYDVREKOVAT-IKGGRYGDSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000497878708 1072791105 /nfs/dbraw/zinc/79/11/05/1072791105.db2.gz BETAYDVREKOVAT-IXDOHACOSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000497878709 1072791057 /nfs/dbraw/zinc/79/10/57/1072791057.db2.gz BETAYDVREKOVAT-ZACQAIPSSA-N 0 0 430.505 -0.141 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)NCCS(=O)(=O)N1CCSCC1 ZINC000497879616 1072791006 /nfs/dbraw/zinc/79/10/06/1072791006.db2.gz GAKUEAUTEQTPLJ-UHFFFAOYSA-N 0 0 442.523 -0.123 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)c2ccccc2)CC1)c1cn(CCO)c(=O)[nH]c1=O ZINC000497879639 1072791083 /nfs/dbraw/zinc/79/10/83/1072791083.db2.gz GCVKFEGPMXRKSX-UHFFFAOYSA-N 0 0 436.490 -0.228 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000497879926 1073306753 /nfs/dbraw/zinc/30/67/53/1073306753.db2.gz HKCBKOTYJWSTJX-UHFFFAOYSA-N 0 0 431.453 -0.421 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000497880341 1072790920 /nfs/dbraw/zinc/79/09/20/1072790920.db2.gz GNGDMHDAORDUER-UHFFFAOYSA-N 0 0 443.501 -0.705 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000497880739 1073660394 /nfs/dbraw/zinc/66/03/94/1073660394.db2.gz NMSXGZGMXXCMEC-GOSISDBHSA-N 0 0 438.550 -0.254 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)CC1 ZINC000497884715 1072791650 /nfs/dbraw/zinc/79/16/50/1072791650.db2.gz RVLLWRCOBFVQLR-UHFFFAOYSA-N 0 0 434.472 -0.036 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000497886276 1072791695 /nfs/dbraw/zinc/79/16/95/1072791695.db2.gz XKLCTRXTIORETH-UHFFFAOYSA-N 0 0 449.551 -0.134 20 0 IBADRN COC(=O)c1cc(F)c(Br)cc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000497886879 1072791473 /nfs/dbraw/zinc/79/14/73/1072791473.db2.gz ZQAMSRDGASEAOK-UHFFFAOYSA-N 0 0 440.247 -0.021 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)ccn1 ZINC000497888151 1072791562 /nfs/dbraw/zinc/79/15/62/1072791562.db2.gz HIEDMTILEPRCRV-UHFFFAOYSA-N 0 0 448.505 -0.308 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000497889464 1072790934 /nfs/dbraw/zinc/79/09/34/1072790934.db2.gz NCOASSNCPDZBBU-KBPBESRZSA-N 0 0 438.506 -0.923 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000497889465 1072790993 /nfs/dbraw/zinc/79/09/93/1072790993.db2.gz NCOASSNCPDZBBU-KGLIPLIRSA-N 0 0 438.506 -0.923 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000497889466 1072791092 /nfs/dbraw/zinc/79/10/92/1072791092.db2.gz NCOASSNCPDZBBU-UONOGXRCSA-N 0 0 438.506 -0.923 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000497889467 1072791033 /nfs/dbraw/zinc/79/10/33/1072791033.db2.gz NCOASSNCPDZBBU-ZIAGYGMSSA-N 0 0 438.506 -0.923 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@@H](C)CN1CCOCC1 ZINC000497891991 1072791438 /nfs/dbraw/zinc/79/14/38/1072791438.db2.gz WXRWCXUVHKBRAW-AWEZNQCLSA-N 0 0 443.522 -0.109 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@H](C)CN1CCOCC1 ZINC000497891993 1072791518 /nfs/dbraw/zinc/79/15/18/1072791518.db2.gz WXRWCXUVHKBRAW-CQSZACIVSA-N 0 0 443.522 -0.109 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000497893270 1072791619 /nfs/dbraw/zinc/79/16/19/1072791619.db2.gz AEGPBQRHTMVXGU-UHFFFAOYSA-N 0 0 444.579 -0.408 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(=O)n(-c3ccccc3F)n2)CC1 ZINC000497895051 1072791549 /nfs/dbraw/zinc/79/15/49/1072791549.db2.gz IKNDCPDCTDDFSM-UHFFFAOYSA-N 0 0 429.452 -0.012 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(C(=O)NC)c1Br ZINC000497897843 1072791580 /nfs/dbraw/zinc/79/15/80/1072791580.db2.gz KABAGTGIOBMQAN-UHFFFAOYSA-N 0 0 435.300 -0.197 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000497904116 1072791642 /nfs/dbraw/zinc/79/16/42/1072791642.db2.gz JDBZJAXVWNSOOI-UHFFFAOYSA-N 0 0 446.551 -0.722 20 0 IBADRN O=C(CCNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21)N1CCOCC1 ZINC000497904622 1072791528 /nfs/dbraw/zinc/79/15/28/1072791528.db2.gz QANRKBDOENCOMZ-CVEARBPZSA-N 0 0 444.488 -0.613 20 0 IBADRN O=C(CCNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)N1CCOCC1 ZINC000497904623 1072791609 /nfs/dbraw/zinc/79/16/09/1072791609.db2.gz QANRKBDOENCOMZ-HOTGVXAUSA-N 0 0 444.488 -0.613 20 0 IBADRN O=C(CCNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21)N1CCOCC1 ZINC000497904624 1072791603 /nfs/dbraw/zinc/79/16/03/1072791603.db2.gz QANRKBDOENCOMZ-HZPDHXFCSA-N 0 0 444.488 -0.613 20 0 IBADRN O=C(CCNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)N1CCOCC1 ZINC000497904625 1072792127 /nfs/dbraw/zinc/79/21/27/1072792127.db2.gz QANRKBDOENCOMZ-JKSUJKDBSA-N 0 0 444.488 -0.613 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)ccc1F ZINC000497904693 1072792020 /nfs/dbraw/zinc/79/20/20/1072792020.db2.gz MGFMOKHPNCDEIE-UHFFFAOYSA-N 0 0 430.458 -0.620 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000497911969 1072791460 /nfs/dbraw/zinc/79/14/60/1072791460.db2.gz DLMPWQPGVFAVMW-UHFFFAOYSA-N 0 0 448.505 -0.563 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(=O)n(-c3ccccc3F)n2)CC1)N1CCOCC1 ZINC000497912716 1072792556 /nfs/dbraw/zinc/79/25/56/1072792556.db2.gz KWZDIDTXQVTKOR-UHFFFAOYSA-N 0 0 443.435 -0.485 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1S(C)(=O)=O ZINC000497912805 1072792114 /nfs/dbraw/zinc/79/21/14/1072792114.db2.gz MLILPXPKRBYVRV-UHFFFAOYSA-N 0 0 437.518 -0.204 20 0 IBADRN CCc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1S(C)(=O)=O ZINC000497913641 1072792736 /nfs/dbraw/zinc/79/27/36/1072792736.db2.gz SDBLULRIQDFACY-UHFFFAOYSA-N 0 0 445.563 -0.013 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000497915415 1072792584 /nfs/dbraw/zinc/79/25/84/1072792584.db2.gz FHRWWRLSOPZUCU-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)oc2ccccc12 ZINC000497916196 1072792469 /nfs/dbraw/zinc/79/24/69/1072792469.db2.gz IPOIMDMJYNFMHO-UHFFFAOYSA-N 0 0 440.460 -0.154 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000497919494 1072792563 /nfs/dbraw/zinc/79/25/63/1072792563.db2.gz MVWBEPVOTXEBQO-LLVKDONJSA-N 0 0 433.202 -0.049 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000497919495 1072792480 /nfs/dbraw/zinc/79/24/80/1072792480.db2.gz MVWBEPVOTXEBQO-NSHDSACASA-N 0 0 433.202 -0.049 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000497920585 1072793074 /nfs/dbraw/zinc/79/30/74/1072793074.db2.gz DAGUOGHKMGEAAE-UHFFFAOYSA-N 0 0 448.505 -0.563 20 0 IBADRN O=C(NCCc1nccs1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000497923118 1072793064 /nfs/dbraw/zinc/79/30/64/1072793064.db2.gz PCMFSULWOANTOP-UHFFFAOYSA-N 0 0 437.503 -0.439 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000497923575 1072792033 /nfs/dbraw/zinc/79/20/33/1072792033.db2.gz BSXXIFAMEYQDOR-UHFFFAOYSA-N 0 0 429.477 -0.645 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(=O)n(Cc3ccccc3)n2)CC1 ZINC000497925179 1072792000 /nfs/dbraw/zinc/79/20/00/1072792000.db2.gz OXUAQEPEUXORIO-UHFFFAOYSA-N 0 0 425.489 -0.092 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000497925463 1072793600 /nfs/dbraw/zinc/79/36/00/1072793600.db2.gz QJSCIWFSGUKYQW-UHFFFAOYSA-N 0 0 434.493 -0.142 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000497925646 1072793606 /nfs/dbraw/zinc/79/36/06/1072793606.db2.gz SHQBYYJUKZUSCW-UHFFFAOYSA-N 0 0 430.552 -0.581 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c(C(=O)N2CCCCC2)cc1OC ZINC000497927012 1072793549 /nfs/dbraw/zinc/79/35/49/1072793549.db2.gz DRBOJGBFXANJQQ-KRWDZBQOSA-N 0 0 448.476 -0.019 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c(C(=O)N2CCCCC2)cc1OC ZINC000497927015 1072793687 /nfs/dbraw/zinc/79/36/87/1072793687.db2.gz DRBOJGBFXANJQQ-QGZVFWFLSA-N 0 0 448.476 -0.019 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(Br)ccc2N2CCOCC2)CCO1 ZINC000497928033 1072793564 /nfs/dbraw/zinc/79/35/64/1072793564.db2.gz RQMFSJRGUAXLNG-AWEZNQCLSA-N 0 0 441.282 -0.063 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(Br)ccc2N2CCOCC2)CCO1 ZINC000497928034 1072793577 /nfs/dbraw/zinc/79/35/77/1072793577.db2.gz RQMFSJRGUAXLNG-CQSZACIVSA-N 0 0 441.282 -0.063 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)oc2ccccc12 ZINC000497929804 1072793191 /nfs/dbraw/zinc/79/31/91/1072793191.db2.gz MCSKHIBYBUNDCG-UHFFFAOYSA-N 0 0 442.472 -0.113 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000497929985 1072793122 /nfs/dbraw/zinc/79/31/22/1072793122.db2.gz NSBKXATWKQBYED-HNNXBMFYSA-N 0 0 426.495 -0.325 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000497929986 1072793049 /nfs/dbraw/zinc/79/30/49/1072793049.db2.gz NSBKXATWKQBYED-OAHLLOKOSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000497931693 1072793154 /nfs/dbraw/zinc/79/31/54/1072793154.db2.gz GZVRYEHINXUAEO-INIZCTEOSA-N 0 0 440.522 -0.015 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000497931694 1072793142 /nfs/dbraw/zinc/79/31/42/1072793142.db2.gz GZVRYEHINXUAEO-MRXNPFEDSA-N 0 0 440.522 -0.015 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000497932244 1072793243 /nfs/dbraw/zinc/79/32/43/1072793243.db2.gz QAKDGWGEEWJFKJ-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000497932245 1072793130 /nfs/dbraw/zinc/79/31/30/1072793130.db2.gz QAKDGWGEEWJFKJ-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000497933496 1072793174 /nfs/dbraw/zinc/79/31/74/1072793174.db2.gz JDIOWFOLDMMPEW-UHFFFAOYSA-N 0 0 439.534 -0.138 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000497934707 1072793204 /nfs/dbraw/zinc/79/32/04/1072793204.db2.gz BBWUJPGLWZWCMB-UHFFFAOYSA-N 0 0 440.522 -0.528 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCCNS(=O)(=O)CC)c2ccccc2O1 ZINC000497936387 1072793089 /nfs/dbraw/zinc/79/30/89/1072793089.db2.gz GLAZRKSXSOGVGN-MRXNPFEDSA-N 0 0 440.522 -0.248 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000497937005 1072793102 /nfs/dbraw/zinc/79/31/02/1072793102.db2.gz GMLFOGRMHRDRHV-UHFFFAOYSA-N 0 0 439.534 -0.277 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCO[C@H](C(N)=O)C3)cc2)C1 ZINC000497938506 1072794148 /nfs/dbraw/zinc/79/41/48/1072794148.db2.gz MCLXACWDQJESLG-BBRMVZONSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCO[C@H](C(N)=O)C3)cc2)C1 ZINC000497938507 1072794045 /nfs/dbraw/zinc/79/40/45/1072794045.db2.gz MCLXACWDQJESLG-CJNGLKHVSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCO[C@@H](C(N)=O)C3)cc2)C1 ZINC000497938508 1072794154 /nfs/dbraw/zinc/79/41/54/1072794154.db2.gz MCLXACWDQJESLG-CZUORRHYSA-N 0 0 438.506 -0.242 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCO[C@@H](C(N)=O)C3)cc2)C1 ZINC000497938509 1072794088 /nfs/dbraw/zinc/79/40/88/1072794088.db2.gz MCLXACWDQJESLG-XJKSGUPXSA-N 0 0 438.506 -0.242 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC000497938653 1072794111 /nfs/dbraw/zinc/79/41/11/1072794111.db2.gz OLGRGRMLBINEAQ-CYBMUJFWSA-N 0 0 444.897 -0.224 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC000497938654 1072794095 /nfs/dbraw/zinc/79/40/95/1072794095.db2.gz OLGRGRMLBINEAQ-ZDUSSCGKSA-N 0 0 444.897 -0.224 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000497938752 1072794101 /nfs/dbraw/zinc/79/41/01/1072794101.db2.gz NFYKGOKWBMICQF-BXWFABGCSA-N 0 0 439.582 -0.285 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000497939278 1072794143 /nfs/dbraw/zinc/79/41/43/1072794143.db2.gz PUFNLFWWULPKQV-HNNXBMFYSA-N 0 0 426.495 -0.242 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000497939280 1072794194 /nfs/dbraw/zinc/79/41/94/1072794194.db2.gz PUFNLFWWULPKQV-OAHLLOKOSA-N 0 0 426.495 -0.242 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c(OC)c1 ZINC000497940957 1072794168 /nfs/dbraw/zinc/79/41/68/1072794168.db2.gz WIMHFOFVILFMPO-GFCCVEGCSA-N 0 0 435.524 -0.330 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)O)CC2)c(OC)c1 ZINC000497940960 1072794055 /nfs/dbraw/zinc/79/40/55/1072794055.db2.gz WIMHFOFVILFMPO-LBPRGKRZSA-N 0 0 435.524 -0.330 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000497942699 1072794593 /nfs/dbraw/zinc/79/45/93/1072794593.db2.gz WFQMLBBXFNJATR-UHFFFAOYSA-N 0 0 426.495 -0.457 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000497942786 1072794575 /nfs/dbraw/zinc/79/45/75/1072794575.db2.gz XNDTWMXQOUXWCI-UHFFFAOYSA-N 0 0 426.495 -0.457 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000497943180 1072794561 /nfs/dbraw/zinc/79/45/61/1072794561.db2.gz FFYMNTCJDILZQU-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000497943182 1072794628 /nfs/dbraw/zinc/79/46/28/1072794628.db2.gz FFYMNTCJDILZQU-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCCC3)cc2)CCO1 ZINC000497944733 1072794621 /nfs/dbraw/zinc/79/46/21/1072794621.db2.gz SDYQWEQZFIFOPM-INIZCTEOSA-N 0 0 438.506 -0.098 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCCC3)cc2)CCO1 ZINC000497944734 1072794553 /nfs/dbraw/zinc/79/45/53/1072794553.db2.gz SDYQWEQZFIFOPM-MRXNPFEDSA-N 0 0 438.506 -0.098 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000497944932 1072794643 /nfs/dbraw/zinc/79/46/43/1072794643.db2.gz USFLETINUFWIDV-HNNXBMFYSA-N 0 0 442.494 -0.623 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000497944933 1072794538 /nfs/dbraw/zinc/79/45/38/1072794538.db2.gz USFLETINUFWIDV-OAHLLOKOSA-N 0 0 442.494 -0.623 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000497945089 1072794568 /nfs/dbraw/zinc/79/45/68/1072794568.db2.gz VPZMKAAGNDWXOD-UHFFFAOYSA-N 0 0 426.495 -0.457 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCO[C@H](C(N)=O)C3)cc2)CC1 ZINC000497945284 1072794499 /nfs/dbraw/zinc/79/44/99/1072794499.db2.gz XQQNFYDDQYPNPZ-INIZCTEOSA-N 0 0 438.506 -0.242 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCO[C@@H](C(N)=O)C3)cc2)CC1 ZINC000497945285 1072794483 /nfs/dbraw/zinc/79/44/83/1072794483.db2.gz XQQNFYDDQYPNPZ-MRXNPFEDSA-N 0 0 438.506 -0.242 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2nc3ncc(Br)cn3n2)CC1 ZINC000497945406 1072794609 /nfs/dbraw/zinc/79/46/09/1072794609.db2.gz XLFWNGIMLICBBS-UHFFFAOYSA-N 0 0 438.286 -0.497 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000497946442 1072794597 /nfs/dbraw/zinc/79/45/97/1072794597.db2.gz OYLONRBUOPCUAZ-INIZCTEOSA-N 0 0 438.506 -0.179 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000497946443 1072794602 /nfs/dbraw/zinc/79/46/02/1072794602.db2.gz OYLONRBUOPCUAZ-MRXNPFEDSA-N 0 0 438.506 -0.179 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)ccc1C ZINC000497946752 1072794647 /nfs/dbraw/zinc/79/46/47/1072794647.db2.gz UOICFWBAHLALLS-AWEZNQCLSA-N 0 0 426.495 -0.323 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)ccc1C ZINC000497946753 1072794525 /nfs/dbraw/zinc/79/45/25/1072794525.db2.gz UOICFWBAHLALLS-CQSZACIVSA-N 0 0 426.495 -0.323 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000497946850 1072794509 /nfs/dbraw/zinc/79/45/09/1072794509.db2.gz VKDALXFTWNSCOA-UHFFFAOYSA-N 0 0 432.524 -0.389 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000497947029 1072794658 /nfs/dbraw/zinc/79/46/58/1072794658.db2.gz CFEAILLZJGDYKO-UHFFFAOYSA-N 0 0 439.538 -0.542 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000497947246 1072794635 /nfs/dbraw/zinc/79/46/35/1072794635.db2.gz XQEDIJAUNKIGMJ-HNNXBMFYSA-N 0 0 438.506 -0.179 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000497947247 1072794584 /nfs/dbraw/zinc/79/45/84/1072794584.db2.gz XQEDIJAUNKIGMJ-OAHLLOKOSA-N 0 0 438.506 -0.179 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000497947880 1072795005 /nfs/dbraw/zinc/79/50/05/1072795005.db2.gz HTDWJONUZIHWME-AWEZNQCLSA-N 0 0 426.495 -0.444 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000497947881 1072795117 /nfs/dbraw/zinc/79/51/17/1072795117.db2.gz HTDWJONUZIHWME-CQSZACIVSA-N 0 0 426.495 -0.444 20 0 IBADRN C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000497949201 1072794991 /nfs/dbraw/zinc/79/49/91/1072794991.db2.gz IOEFSCPKDVSDNX-AWEZNQCLSA-N 0 0 431.536 -0.315 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000497949202 1072795092 /nfs/dbraw/zinc/79/50/92/1072795092.db2.gz IOEFSCPKDVSDNX-CQSZACIVSA-N 0 0 431.536 -0.315 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)ccc1NC(=O)c1cccnc1 ZINC000497950043 1072795050 /nfs/dbraw/zinc/79/50/50/1072795050.db2.gz NXHDENMKFZNMPB-INIZCTEOSA-N 0 0 427.417 -0.006 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)ccc1NC(=O)c1cccnc1 ZINC000497950046 1072795067 /nfs/dbraw/zinc/79/50/67/1072795067.db2.gz NXHDENMKFZNMPB-MRXNPFEDSA-N 0 0 427.417 -0.006 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000497951414 1072793586 /nfs/dbraw/zinc/79/35/86/1072793586.db2.gz PJIQKMVVFZRKFL-UHFFFAOYSA-N 0 0 436.534 -0.157 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)CC1 ZINC000497951996 1072793622 /nfs/dbraw/zinc/79/36/22/1072793622.db2.gz QQBVBRVLARURFK-SECBINFHSA-N 0 0 448.295 -0.006 20 0 IBADRN C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)CC1 ZINC000497952018 1071395302 /nfs/dbraw/zinc/39/53/02/1071395302.db2.gz QQBVBRVLARURFK-VIFPVBQESA-N 0 0 448.295 -0.006 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000497952444 1072794201 /nfs/dbraw/zinc/79/42/01/1072794201.db2.gz VSPWQELDGCBFOJ-UHFFFAOYSA-N 0 0 432.524 -0.389 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000497957620 1072795679 /nfs/dbraw/zinc/79/56/79/1072795679.db2.gz FLBZZSNZFFHKEU-LBPRGKRZSA-N 0 0 430.465 -0.665 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000497961590 1072795477 /nfs/dbraw/zinc/79/54/77/1072795477.db2.gz AWXUIQSVHYGQNX-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN Cc1cccc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000497964230 1072795708 /nfs/dbraw/zinc/79/57/08/1072795708.db2.gz FLKNFQABQGEHPJ-HNNXBMFYSA-N 0 0 428.493 -0.031 20 0 IBADRN Cc1cccc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000497964232 1072795779 /nfs/dbraw/zinc/79/57/79/1072795779.db2.gz FLKNFQABQGEHPJ-OAHLLOKOSA-N 0 0 428.493 -0.031 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000497964399 1072795129 /nfs/dbraw/zinc/79/51/29/1072795129.db2.gz AWQCRIFCJLYEDG-AWEZNQCLSA-N 0 0 433.552 -0.069 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000497964400 1072795149 /nfs/dbraw/zinc/79/51/49/1072795149.db2.gz AWQCRIFCJLYEDG-CQSZACIVSA-N 0 0 433.552 -0.069 20 0 IBADRN CCC[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000497965495 1072795034 /nfs/dbraw/zinc/79/50/34/1072795034.db2.gz HLKVQMXEBJFOQN-INIZCTEOSA-N 0 0 431.536 -0.003 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000497965498 1072795023 /nfs/dbraw/zinc/79/50/23/1072795023.db2.gz HLKVQMXEBJFOQN-MRXNPFEDSA-N 0 0 431.536 -0.003 20 0 IBADRN CCCCNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000497966132 1072794963 /nfs/dbraw/zinc/79/49/63/1072794963.db2.gz KKGYSEAYAGZTQG-ZDUSSCGKSA-N 0 0 444.492 -0.274 20 0 IBADRN CCCCNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000497968441 1072795168 /nfs/dbraw/zinc/79/51/68/1072795168.db2.gz OUWZETLRSVCWBC-HNNXBMFYSA-N 0 0 431.493 -0.005 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000497969053 1072795196 /nfs/dbraw/zinc/79/51/96/1072795196.db2.gz MAGJVOAUUSCGFT-UHFFFAOYSA-N 0 0 438.550 -0.334 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000497969368 1072795184 /nfs/dbraw/zinc/79/51/84/1072795184.db2.gz MGWYWGKVUKEVBP-KRWDZBQOSA-N 0 0 438.550 -0.335 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000497969465 1072794978 /nfs/dbraw/zinc/79/49/78/1072794978.db2.gz NFYKGOKWBMICQF-GJYPPUQNSA-N 0 0 439.582 -0.285 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@@H]12)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000497969467 1072795138 /nfs/dbraw/zinc/79/51/38/1072795138.db2.gz NFYKGOKWBMICQF-GPMSIDNRSA-N 0 0 439.582 -0.285 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000497969469 1072795078 /nfs/dbraw/zinc/79/50/78/1072795078.db2.gz NFYKGOKWBMICQF-JTDSTZFVSA-N 0 0 439.582 -0.285 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@H]12)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000497969471 1072795208 /nfs/dbraw/zinc/79/52/08/1072795208.db2.gz NFYKGOKWBMICQF-MDZRGWNJSA-N 0 0 439.582 -0.285 20 0 IBADRN O=C1CC[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000497970477 1072795106 /nfs/dbraw/zinc/79/51/06/1072795106.db2.gz FNUVXHZDYKMSPH-AWEZNQCLSA-N 0 0 429.520 -0.297 20 0 IBADRN O=C1CC[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000497970478 1072795158 /nfs/dbraw/zinc/79/51/58/1072795158.db2.gz FNUVXHZDYKMSPH-CQSZACIVSA-N 0 0 429.520 -0.297 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)CNC(=O)CNC(C)=O)CC3)n2)cc1 ZINC000497971342 1072795691 /nfs/dbraw/zinc/79/56/91/1072795691.db2.gz LLPQHOYJFOZNIL-UHFFFAOYSA-N 0 0 430.465 -0.358 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1c(F)cccc1F ZINC000497978771 1072795582 /nfs/dbraw/zinc/79/55/82/1072795582.db2.gz ASTWBLCHWKSXOS-PBHICJAKSA-N 0 0 428.480 -0.165 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1c(F)cccc1F ZINC000497978776 1072795776 /nfs/dbraw/zinc/79/57/76/1072795776.db2.gz ASTWBLCHWKSXOS-RHSMWYFYSA-N 0 0 428.480 -0.165 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1c(F)cccc1F ZINC000497978777 1072795631 /nfs/dbraw/zinc/79/56/31/1072795631.db2.gz ASTWBLCHWKSXOS-WMLDXEAASA-N 0 0 428.480 -0.165 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1c(F)cccc1F ZINC000497978778 1072795446 /nfs/dbraw/zinc/79/54/46/1072795446.db2.gz ASTWBLCHWKSXOS-YOEHRIQHSA-N 0 0 428.480 -0.165 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000497983189 1072796284 /nfs/dbraw/zinc/79/62/84/1072796284.db2.gz OCGFIRFYXDRPHS-CVEARBPZSA-N 0 0 431.536 -0.100 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000497983190 1072796164 /nfs/dbraw/zinc/79/61/64/1072796164.db2.gz OCGFIRFYXDRPHS-HOTGVXAUSA-N 0 0 431.536 -0.100 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000497983191 1072796136 /nfs/dbraw/zinc/79/61/36/1072796136.db2.gz OCGFIRFYXDRPHS-HZPDHXFCSA-N 0 0 431.536 -0.100 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000497983192 1072796192 /nfs/dbraw/zinc/79/61/92/1072796192.db2.gz OCGFIRFYXDRPHS-JKSUJKDBSA-N 0 0 431.536 -0.100 20 0 IBADRN C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000497985023 1072796045 /nfs/dbraw/zinc/79/60/45/1072796045.db2.gz UIYJSCIBPAUCCT-AWEZNQCLSA-N 0 0 431.536 -0.315 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000497985024 1072796008 /nfs/dbraw/zinc/79/60/08/1072796008.db2.gz UIYJSCIBPAUCCT-CQSZACIVSA-N 0 0 431.536 -0.315 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000497987867 1072796603 /nfs/dbraw/zinc/79/66/03/1072796603.db2.gz ZSIFDMGAABSUQX-CYBMUJFWSA-N 0 0 437.515 -0.699 20 0 IBADRN C[C@H](O)C(=O)N1CCN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000497987868 1072796662 /nfs/dbraw/zinc/79/66/62/1072796662.db2.gz ZSIFDMGAABSUQX-ZDUSSCGKSA-N 0 0 437.515 -0.699 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000497990840 1072796702 /nfs/dbraw/zinc/79/67/02/1072796702.db2.gz KBBPTBVTLTVWAE-UHFFFAOYSA-N 0 0 430.552 -0.024 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2c(F)cccc2F)N(C)C)CC1 ZINC000497993267 1072796758 /nfs/dbraw/zinc/79/67/58/1072796758.db2.gz JZNFOVZIFCXHLV-INIZCTEOSA-N 0 0 425.480 -0.036 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2c(F)cccc2F)N(C)C)CC1 ZINC000497993268 1072796712 /nfs/dbraw/zinc/79/67/12/1072796712.db2.gz JZNFOVZIFCXHLV-MRXNPFEDSA-N 0 0 425.480 -0.036 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)n1 ZINC000497993358 1072796653 /nfs/dbraw/zinc/79/66/53/1072796653.db2.gz MUODYDYVLVMJOC-UHFFFAOYSA-N 0 0 432.506 -0.156 20 0 IBADRN COC(=O)C(C)(C)NCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000497997022 1072796222 /nfs/dbraw/zinc/79/62/22/1072796222.db2.gz XJXKHGHHLRPDER-UHFFFAOYSA-N 0 0 441.506 -0.168 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000497997592 1072796151 /nfs/dbraw/zinc/79/61/51/1072796151.db2.gz KOKBLRUSIGDUCF-UHFFFAOYSA-N 0 0 434.522 -0.387 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)Cc2cc(=O)n(C)c(=O)n2C)c(=O)[nH]c1=O ZINC000498000113 1072796773 /nfs/dbraw/zinc/79/67/73/1072796773.db2.gz FEGFMJDVIXHOAO-UHFFFAOYSA-N 0 0 442.476 -0.218 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)NCCN1CCN(C(=O)C3CCCCC3)CC1)c2=O ZINC000498001427 1072797204 /nfs/dbraw/zinc/79/72/04/1072797204.db2.gz PKJAXSHQQLHIGD-UHFFFAOYSA-N 0 0 429.525 -0.029 20 0 IBADRN Cc1nc2c(cnn2C)c(N2CCN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)CC2)n1 ZINC000498002751 1072797230 /nfs/dbraw/zinc/79/72/30/1072797230.db2.gz QHHMHBGRYCRINO-DLBZAZTESA-N 0 0 443.508 -0.273 20 0 IBADRN COCCN(C(=O)CCSc1nnnn1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000498012791 1072797248 /nfs/dbraw/zinc/79/72/48/1072797248.db2.gz QCFBLWAWRYNEPV-UHFFFAOYSA-N 0 0 426.503 -0.128 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000498023034 1072797055 /nfs/dbraw/zinc/79/70/55/1072797055.db2.gz AOUVWGBNSGGCCI-HNNXBMFYSA-N 0 0 426.495 -0.459 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000498023035 1072797221 /nfs/dbraw/zinc/79/72/21/1072797221.db2.gz AOUVWGBNSGGCCI-OAHLLOKOSA-N 0 0 426.495 -0.459 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)N[C@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000498023057 1072797163 /nfs/dbraw/zinc/79/71/63/1072797163.db2.gz AUIYIRQYGLJYMF-HNNXBMFYSA-N 0 0 425.507 -0.095 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)N[C@@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000498023058 1072797621 /nfs/dbraw/zinc/79/76/21/1072797621.db2.gz AUIYIRQYGLJYMF-OAHLLOKOSA-N 0 0 425.507 -0.095 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)ccc1F ZINC000498032324 1072797827 /nfs/dbraw/zinc/79/78/27/1072797827.db2.gz REZBDMMUPYVQGP-LLVKDONJSA-N 0 0 436.487 -0.788 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)ccc1F ZINC000498032326 1072798322 /nfs/dbraw/zinc/79/83/22/1072798322.db2.gz REZBDMMUPYVQGP-NSHDSACASA-N 0 0 436.487 -0.788 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000498034039 1072798288 /nfs/dbraw/zinc/79/82/88/1072798288.db2.gz XILVBEKBIRILLP-LLVKDONJSA-N 0 0 433.508 -0.423 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000498034040 1072798421 /nfs/dbraw/zinc/79/84/21/1072798421.db2.gz XILVBEKBIRILLP-NSHDSACASA-N 0 0 433.508 -0.423 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC000498034768 1072798187 /nfs/dbraw/zinc/79/81/87/1072798187.db2.gz XVHAYWGABWZUOP-UHFFFAOYSA-N 0 0 442.881 -0.259 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)c3cn(CCO)c(=O)[nH]c3=O)CC2)cc1 ZINC000498037633 1072798374 /nfs/dbraw/zinc/79/83/74/1072798374.db2.gz DLFMITIWPKYWFR-UHFFFAOYSA-N 0 0 436.490 -0.167 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)CC1 ZINC000498038681 1072798413 /nfs/dbraw/zinc/79/84/13/1072798413.db2.gz CJKVADONABILMB-UHFFFAOYSA-N 0 0 447.535 -0.033 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCCOC)c2)CC1 ZINC000498038777 1072798331 /nfs/dbraw/zinc/79/83/31/1072798331.db2.gz CPIBDIGOGXAIEU-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)c(OC)c1OC ZINC000498038946 1072798343 /nfs/dbraw/zinc/79/83/43/1072798343.db2.gz DMANPRANICVPKN-UHFFFAOYSA-N 0 0 434.449 -0.223 20 0 IBADRN O=C(Nc1nc(C2CC2)cs1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000498039528 1072798787 /nfs/dbraw/zinc/79/87/87/1072798787.db2.gz GTVZVWSRAILCFW-UHFFFAOYSA-N 0 0 429.524 -0.320 20 0 IBADRN CC(C)c1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000498041961 1072798861 /nfs/dbraw/zinc/79/88/61/1072798861.db2.gz QUOGGKQUFBQVDP-UHFFFAOYSA-N 0 0 431.540 -0.074 20 0 IBADRN CC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1F ZINC000498043624 1072797586 /nfs/dbraw/zinc/79/75/86/1072797586.db2.gz XFHVVZDEKQUYKD-UHFFFAOYSA-N 0 0 442.469 -0.312 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2Cl)CC1 ZINC000498046345 1072797690 /nfs/dbraw/zinc/79/76/90/1072797690.db2.gz DYMKYPRAKPKEBS-LLVKDONJSA-N 0 0 425.294 -0.145 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2Cl)CC1 ZINC000498046347 1072797819 /nfs/dbraw/zinc/79/78/19/1072797819.db2.gz DYMKYPRAKPKEBS-NSHDSACASA-N 0 0 425.294 -0.145 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000498047398 1072797841 /nfs/dbraw/zinc/79/78/41/1072797841.db2.gz IHMXLBVHMXLQRR-UHFFFAOYSA-N 0 0 448.910 -0.197 20 0 IBADRN Nc1nc(CN2CCN(C(=O)c3cn(CCO)c(=O)[nH]c3=O)CC2)nc2ccccc12 ZINC000498048074 1073362113 /nfs/dbraw/zinc/36/21/13/1073362113.db2.gz LNOCQQVDLFXMTL-UHFFFAOYSA-N 0 0 425.449 -0.575 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c(Cl)c2)CC1 ZINC000498049389 1072798714 /nfs/dbraw/zinc/79/87/14/1072798714.db2.gz XDVJIFOXRLMQML-GFCCVEGCSA-N 0 0 425.294 -0.145 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c(Cl)c2)CC1 ZINC000498049390 1072798737 /nfs/dbraw/zinc/79/87/37/1072798737.db2.gz XDVJIFOXRLMQML-LBPRGKRZSA-N 0 0 425.294 -0.145 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccc(Br)s2)CC1 ZINC000498050209 1072798749 /nfs/dbraw/zinc/79/87/49/1072798749.db2.gz TZNPEMPEXUWUPV-MRVPVSSYSA-N 0 0 441.329 -0.627 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccc(Br)s2)CC1 ZINC000498050210 1072798776 /nfs/dbraw/zinc/79/87/76/1072798776.db2.gz TZNPEMPEXUWUPV-QMMMGPOBSA-N 0 0 441.329 -0.627 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)c3cn(CCO)c(=O)[nH]c3=O)CC2)cc1C ZINC000498050697 1072799363 /nfs/dbraw/zinc/79/93/63/1072799363.db2.gz XNFSLPWWQPVGRY-UHFFFAOYSA-N 0 0 436.490 -0.295 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)c(C)s1 ZINC000498051843 1072799456 /nfs/dbraw/zinc/79/94/56/1072799456.db2.gz GCCZJKUQZFPJGR-UHFFFAOYSA-N 0 0 428.492 -0.382 20 0 IBADRN CO[C@@H](c1ccccc1Cl)[C@@H](C)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000498052038 1072799297 /nfs/dbraw/zinc/79/92/97/1072799297.db2.gz HYHJEPIBFJXVNC-CXAGYDPISA-N 0 0 449.899 -0.110 20 0 IBADRN CO[C@H](c1ccccc1Cl)[C@@H](C)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000498052039 1072799310 /nfs/dbraw/zinc/79/93/10/1072799310.db2.gz HYHJEPIBFJXVNC-DYVFJYSZSA-N 0 0 449.899 -0.110 20 0 IBADRN CO[C@H](c1ccccc1Cl)[C@H](C)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000498052040 1072799446 /nfs/dbraw/zinc/79/94/46/1072799446.db2.gz HYHJEPIBFJXVNC-GUYCJALGSA-N 0 0 449.899 -0.110 20 0 IBADRN CO[C@@H](c1ccccc1Cl)[C@H](C)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000498052041 1072799327 /nfs/dbraw/zinc/79/93/27/1072799327.db2.gz HYHJEPIBFJXVNC-SUMWQHHRSA-N 0 0 449.899 -0.110 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)c3cn(CCO)c(=O)[nH]c3=O)CC2)c1 ZINC000498053518 1072799339 /nfs/dbraw/zinc/79/93/39/1072799339.db2.gz FODMIHCPPZUHSU-UHFFFAOYSA-N 0 0 436.490 -0.295 20 0 IBADRN COc1ccc(NC(=O)CCS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000498054090 1072799317 /nfs/dbraw/zinc/79/93/17/1072799317.db2.gz LEWFIUQMOAQHDR-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN O=C(CCC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000498054091 1074355651 /nfs/dbraw/zinc/35/56/51/1074355651.db2.gz LGACBJABTUYTQP-UHFFFAOYSA-N 0 0 445.480 -0.615 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1 ZINC000498054217 1072799264 /nfs/dbraw/zinc/79/92/64/1072799264.db2.gz MZIIWOBEEWGOOJ-UHFFFAOYSA-N 0 0 429.477 -0.100 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000498054488 1072799287 /nfs/dbraw/zinc/79/92/87/1072799287.db2.gz OTEWCJUKBCXEPV-GFCCVEGCSA-N 0 0 435.300 -0.689 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000498054489 1072799253 /nfs/dbraw/zinc/79/92/53/1072799253.db2.gz OTEWCJUKBCXEPV-LBPRGKRZSA-N 0 0 435.300 -0.689 20 0 IBADRN O=C(CCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000498055056 1074355665 /nfs/dbraw/zinc/35/56/65/1074355665.db2.gz RVKKNJDRFYZULZ-UHFFFAOYSA-N 0 0 445.480 -0.615 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)c3cn(CCO)c(=O)[nH]c3=O)CC2)cc1 ZINC000498055249 1072799388 /nfs/dbraw/zinc/79/93/88/1072799388.db2.gz UFJFEWAFWQTJMU-UHFFFAOYSA-N 0 0 438.462 -0.904 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)C1=O ZINC000498056241 1072799899 /nfs/dbraw/zinc/79/98/99/1072799899.db2.gz ZINXQOZEQBCHRK-UHFFFAOYSA-N 0 0 427.417 -0.141 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000498057857 1072798919 /nfs/dbraw/zinc/79/89/19/1072798919.db2.gz NCDLTVGQSPTLKF-UHFFFAOYSA-N 0 0 447.535 -0.269 20 0 IBADRN O=C(CN1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1CCNC(=O)C1 ZINC000498060033 1072798821 /nfs/dbraw/zinc/79/88/21/1072798821.db2.gz AQENAJQAWUWXDW-UHFFFAOYSA-N 0 0 438.506 -0.887 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(CCO)c(=O)[nH]c2=O)CC1)Nc1ccccc1Cl ZINC000498060614 1072798948 /nfs/dbraw/zinc/79/89/48/1072798948.db2.gz BUQYEXSCNPKSHR-UHFFFAOYSA-N 0 0 435.868 -0.009 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000498061611 1072800499 /nfs/dbraw/zinc/80/04/99/1072800499.db2.gz SNLWOSMDERGIBO-UHFFFAOYSA-N 0 0 426.426 -0.773 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N1CCN(S(=O)(=O)c2c(Cl)cccc2Cl)CC1 ZINC000498061795 1072799873 /nfs/dbraw/zinc/79/98/73/1072799873.db2.gz WBOJOYURDSVLBW-LLVKDONJSA-N 0 0 425.294 -0.145 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2c(Cl)cccc2Cl)CC1 ZINC000498061796 1072799860 /nfs/dbraw/zinc/79/98/60/1072799860.db2.gz WBOJOYURDSVLBW-NSHDSACASA-N 0 0 425.294 -0.145 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000498062747 1072800173 /nfs/dbraw/zinc/80/01/73/1072800173.db2.gz DBRMYJWYMQOBJW-UHFFFAOYSA-N 0 0 446.551 -0.839 20 0 IBADRN COC(=O)CCNC(=O)CN1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498063420 1072800226 /nfs/dbraw/zinc/80/02/26/1072800226.db2.gz HQOSLYTZIOIWFB-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)c1cn(CCO)c(=O)[nH]c1=O ZINC000498064426 1072799732 /nfs/dbraw/zinc/79/97/32/1072799732.db2.gz HWFJNSFLWXNWMC-UHFFFAOYSA-N 0 0 442.425 -0.647 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000498064443 1072799738 /nfs/dbraw/zinc/79/97/38/1072799738.db2.gz IGJUCLFLWMYPHU-UHFFFAOYSA-N 0 0 444.416 -0.634 20 0 IBADRN CCOc1ccc(NC(=O)c2cn(CCO)c(=O)[nH]c2=O)cc1S(=O)(=O)N(C)C ZINC000498065169 1072799877 /nfs/dbraw/zinc/79/98/77/1072799877.db2.gz RUZSSJSUZCHLKR-UHFFFAOYSA-N 0 0 426.451 -0.158 20 0 IBADRN O=C(CCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000498065443 1072799852 /nfs/dbraw/zinc/79/98/52/1072799852.db2.gz UWBGVTMUOZHUER-GFCCVEGCSA-N 0 0 436.494 -0.287 20 0 IBADRN O=C(CCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000498065444 1072799935 /nfs/dbraw/zinc/79/99/35/1072799935.db2.gz UWBGVTMUOZHUER-LBPRGKRZSA-N 0 0 436.494 -0.287 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000498065508 1072799717 /nfs/dbraw/zinc/79/97/17/1072799717.db2.gz WVUJUWCORLHVEZ-UHFFFAOYSA-N 0 0 444.579 -0.513 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)CC1 ZINC000498066717 1072799826 /nfs/dbraw/zinc/79/98/26/1072799826.db2.gz IIWUGZINTYBBLF-UHFFFAOYSA-N 0 0 429.495 -0.064 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000498069254 1072799799 /nfs/dbraw/zinc/79/97/99/1072799799.db2.gz DYMOQBVRZHNELH-UHFFFAOYSA-N 0 0 440.453 -0.383 20 0 IBADRN CCNC(=O)NC(=O)CN1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498070352 1072799835 /nfs/dbraw/zinc/79/98/35/1072799835.db2.gz CPLJDCREHHZIEU-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000498072836 1072800561 /nfs/dbraw/zinc/80/05/61/1072800561.db2.gz XHQDCPNNFYYQJA-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000498073109 1072799747 /nfs/dbraw/zinc/79/97/47/1072799747.db2.gz GXDBJJCANCWNHW-UHFFFAOYSA-N 0 0 447.535 -0.380 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CCS(=O)(=O)N(C)C)CC2)c1 ZINC000498074247 1072800155 /nfs/dbraw/zinc/80/01/55/1072800155.db2.gz GJQXOUPKYGCGCT-UHFFFAOYSA-N 0 0 449.551 -0.182 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498074908 1072801065 /nfs/dbraw/zinc/80/10/65/1072801065.db2.gz VYVQAUYQFKHVFS-AWEZNQCLSA-N 0 0 441.506 -0.168 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498074909 1072801048 /nfs/dbraw/zinc/80/10/48/1072801048.db2.gz VYVQAUYQFKHVFS-CQSZACIVSA-N 0 0 441.506 -0.168 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000498075294 1072800136 /nfs/dbraw/zinc/80/01/36/1072800136.db2.gz YECAJZJCHKKFPP-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498075394 1072800247 /nfs/dbraw/zinc/80/02/47/1072800247.db2.gz ZBAFKMWQGIKWCD-CYBMUJFWSA-N 0 0 426.495 -0.002 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498075395 1072800524 /nfs/dbraw/zinc/80/05/24/1072800524.db2.gz ZBAFKMWQGIKWCD-ZDUSSCGKSA-N 0 0 426.495 -0.002 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCCN(C[C@H](O)CN2CCOCC2)CC1 ZINC000498075632 1072801109 /nfs/dbraw/zinc/80/11/09/1072801109.db2.gz AASBHSYWZMFQKI-KRWDZBQOSA-N 0 0 441.550 -0.153 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCCN(C[C@@H](O)CN2CCOCC2)CC1 ZINC000498075633 1072800983 /nfs/dbraw/zinc/80/09/83/1072800983.db2.gz AASBHSYWZMFQKI-QGZVFWFLSA-N 0 0 441.550 -0.153 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000498075901 1072801150 /nfs/dbraw/zinc/80/11/50/1072801150.db2.gz DGVIMHZBFBESJC-UHFFFAOYSA-N 0 0 434.492 -0.084 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)CC1 ZINC000498077258 1072800962 /nfs/dbraw/zinc/80/09/62/1072800962.db2.gz NMPFYDPRDUMXHL-UHFFFAOYSA-N 0 0 434.492 -0.084 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000498077530 1072800601 /nfs/dbraw/zinc/80/06/01/1072800601.db2.gz DUMGDXCPBLAJQC-UHFFFAOYSA-N 0 0 447.564 -0.194 20 0 IBADRN C[C@@H](NC(=O)CN(C)S(C)(=O)=O)c1ccccc1NC(=O)CN(C)S(C)(=O)=O ZINC000498083862 1072801100 /nfs/dbraw/zinc/80/11/00/1072801100.db2.gz CQFQJPJJFNTNCP-GFCCVEGCSA-N 0 0 434.540 -0.415 20 0 IBADRN C[C@H](NC(=O)CN(C)S(C)(=O)=O)c1ccccc1NC(=O)CN(C)S(C)(=O)=O ZINC000498083865 1072800820 /nfs/dbraw/zinc/80/08/20/1072800820.db2.gz CQFQJPJJFNTNCP-LBPRGKRZSA-N 0 0 434.540 -0.415 20 0 IBADRN CCN1CCO[C@H](C(=O)NCCN(Cc2ccccn2)C(=O)[C@@H]2CN(CC)CCO2)C1 ZINC000498083943 1072801310 /nfs/dbraw/zinc/80/13/10/1072801310.db2.gz FKGTVAGXAUEBIA-PMACEKPBSA-N 0 0 433.553 -0.032 20 0 IBADRN CCN1CCO[C@@H](C(=O)NCCN(Cc2ccccn2)C(=O)[C@@H]2CN(CC)CCO2)C1 ZINC000498083945 1072801445 /nfs/dbraw/zinc/80/14/45/1072801445.db2.gz FKGTVAGXAUEBIA-UXHICEINSA-N 0 0 433.553 -0.032 20 0 IBADRN CCN1CCO[C@@H](C(=O)N(CCNC(=O)[C@@H]2CN(CC)CCO2)Cc2ccccn2)C1 ZINC000498083946 1072801162 /nfs/dbraw/zinc/80/11/62/1072801162.db2.gz FKGTVAGXAUEBIA-VQTJNVASSA-N 0 0 433.553 -0.032 20 0 IBADRN CCN1CCO[C@@H](C(=O)NCCN(Cc2ccccn2)C(=O)[C@H]2CN(CC)CCO2)C1 ZINC000498083948 1072800849 /nfs/dbraw/zinc/80/08/49/1072800849.db2.gz FKGTVAGXAUEBIA-WOJBJXKFSA-N 0 0 433.553 -0.032 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000498084490 1072800832 /nfs/dbraw/zinc/80/08/32/1072800832.db2.gz NVFOQOJPIQZZTP-UHFFFAOYSA-N 0 0 442.881 -0.259 20 0 IBADRN CC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000498089200 1072801088 /nfs/dbraw/zinc/80/10/88/1072801088.db2.gz OUCRSELXHXZDMX-UHFFFAOYSA-N 0 0 432.408 -0.213 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2cccc(S(N)(=O)=O)c2)ccc1F ZINC000498089686 1072801848 /nfs/dbraw/zinc/80/18/48/1072801848.db2.gz WKNBLGYDZIGDNC-UHFFFAOYSA-N 0 0 444.466 -0.364 20 0 IBADRN CCS(=O)(=O)c1ccc(N2CCC(NC(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)cc1 ZINC000498094299 1072801808 /nfs/dbraw/zinc/80/18/08/1072801808.db2.gz KATRISKDLGZHDH-UHFFFAOYSA-N 0 0 436.490 -0.014 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc(S(C)(=O)=O)c1 ZINC000498100696 1072801718 /nfs/dbraw/zinc/80/17/18/1072801718.db2.gz FZHNMYQOTPZQDE-UHFFFAOYSA-N 0 0 433.508 -0.469 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000498100987 1072801891 /nfs/dbraw/zinc/80/18/91/1072801891.db2.gz IROIGHWOEYKCJI-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000498101406 1072801944 /nfs/dbraw/zinc/80/19/44/1072801944.db2.gz LGGHPBAHKOEGBQ-UHFFFAOYSA-N 0 0 438.550 -0.169 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)ccc1F ZINC000498101536 1072802458 /nfs/dbraw/zinc/80/24/58/1072802458.db2.gz LVSNIPKBUVVQKS-UHFFFAOYSA-N 0 0 436.487 -0.834 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)C1=O ZINC000498102404 1072802273 /nfs/dbraw/zinc/80/22/73/1072802273.db2.gz QBGVPRWRQXSXEJ-UHFFFAOYSA-N 0 0 427.461 -0.469 20 0 IBADRN COC(=O)CNC(=O)CN1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498107893 1072802239 /nfs/dbraw/zinc/80/22/39/1072802239.db2.gz MMZCBOSPXSRGSB-UHFFFAOYSA-N 0 0 427.479 -0.557 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)CN2C(=O)C(=O)N(C)C2=O)s1 ZINC000498116474 1072802440 /nfs/dbraw/zinc/80/24/40/1072802440.db2.gz YHNODRTXOUWBNU-UHFFFAOYSA-N 0 0 430.508 -0.142 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000498118798 1072802722 /nfs/dbraw/zinc/80/27/22/1072802722.db2.gz YBTPXPBIXWRAFG-CVEARBPZSA-N 0 0 437.584 -0.852 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000498118799 1072802783 /nfs/dbraw/zinc/80/27/83/1072802783.db2.gz YBTPXPBIXWRAFG-HOTGVXAUSA-N 0 0 437.584 -0.852 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000498118800 1072802934 /nfs/dbraw/zinc/80/29/34/1072802934.db2.gz YBTPXPBIXWRAFG-HZPDHXFCSA-N 0 0 437.584 -0.852 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000498118801 1072802820 /nfs/dbraw/zinc/80/28/20/1072802820.db2.gz YBTPXPBIXWRAFG-JKSUJKDBSA-N 0 0 437.584 -0.852 20 0 IBADRN CC(=O)N1CCN(C(=O)CSCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000498119163 1072802705 /nfs/dbraw/zinc/80/27/05/1072802705.db2.gz FQIBTODPMPHAJY-UHFFFAOYSA-N 0 0 438.535 -0.010 20 0 IBADRN COCCn1c(N)c(C(=O)Cn2c(C(F)(F)F)ccc(C(N)=O)c2=O)c(=O)[nH]c1=O ZINC000498120781 1072802995 /nfs/dbraw/zinc/80/29/95/1072802995.db2.gz INOULICYPSTVDK-UHFFFAOYSA-N 0 0 431.327 -0.660 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)C1=O ZINC000498126931 1072803356 /nfs/dbraw/zinc/80/33/56/1072803356.db2.gz MAWJPHADPSASBP-UHFFFAOYSA-N 0 0 437.478 -0.628 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC(NS(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000498130750 1072803281 /nfs/dbraw/zinc/80/32/81/1072803281.db2.gz HHQRACURWSEMNB-UHFFFAOYSA-N 0 0 426.426 -0.484 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)C1=O ZINC000498133591 1072803125 /nfs/dbraw/zinc/80/31/25/1072803125.db2.gz AWNNKDFGDQJYGD-AWEZNQCLSA-N 0 0 432.433 -0.603 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)C1=O ZINC000498133592 1072803300 /nfs/dbraw/zinc/80/33/00/1072803300.db2.gz AWNNKDFGDQJYGD-CQSZACIVSA-N 0 0 432.433 -0.603 20 0 IBADRN CCc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1S(C)(=O)=O ZINC000498139765 1072803490 /nfs/dbraw/zinc/80/34/90/1072803490.db2.gz DATNCSRXGVRBES-CVEARBPZSA-N 0 0 430.548 -0.120 20 0 IBADRN CCc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1S(C)(=O)=O ZINC000498139766 1072803337 /nfs/dbraw/zinc/80/33/37/1072803337.db2.gz DATNCSRXGVRBES-HOTGVXAUSA-N 0 0 430.548 -0.120 20 0 IBADRN CCc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1S(C)(=O)=O ZINC000498139767 1072803516 /nfs/dbraw/zinc/80/35/16/1072803516.db2.gz DATNCSRXGVRBES-HZPDHXFCSA-N 0 0 430.548 -0.120 20 0 IBADRN CCc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1S(C)(=O)=O ZINC000498139768 1072803149 /nfs/dbraw/zinc/80/31/49/1072803149.db2.gz DATNCSRXGVRBES-JKSUJKDBSA-N 0 0 430.548 -0.120 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCn1cc(Br)ccc1=O ZINC000498140656 1072803692 /nfs/dbraw/zinc/80/36/92/1072803692.db2.gz CVKPGRXSAWJNCQ-GFCCVEGCSA-N 0 0 434.312 -0.237 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCn1cc(Br)ccc1=O ZINC000498140657 1072803923 /nfs/dbraw/zinc/80/39/23/1072803923.db2.gz CVKPGRXSAWJNCQ-LBPRGKRZSA-N 0 0 434.312 -0.237 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(=O)n(-c2ccccc2F)n1 ZINC000498141282 1072803229 /nfs/dbraw/zinc/80/32/29/1072803229.db2.gz WYLYUCBRJLBXNB-DOTOQJQBSA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(=O)n(-c2ccccc2F)n1 ZINC000498141289 1072803502 /nfs/dbraw/zinc/80/35/02/1072803502.db2.gz WYLYUCBRJLBXNB-NVXWUHKLSA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(=O)n(-c2ccccc2F)n1 ZINC000498141290 1072803705 /nfs/dbraw/zinc/80/37/05/1072803705.db2.gz WYLYUCBRJLBXNB-RDJZCZTQSA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(=O)n(-c2ccccc2F)n1 ZINC000498141291 1072803715 /nfs/dbraw/zinc/80/37/15/1072803715.db2.gz WYLYUCBRJLBXNB-WBVHZDCISA-N 0 0 436.465 -0.401 20 0 IBADRN COc1ccc(C(=O)N2CCC(NC(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)c(OC)c1 ZINC000498141973 1072803727 /nfs/dbraw/zinc/80/37/27/1072803727.db2.gz OGJNCRFRQAERIC-UHFFFAOYSA-N 0 0 432.433 -0.155 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000498144125 1072803863 /nfs/dbraw/zinc/80/38/63/1072803863.db2.gz GJJDYQMDAXPXNT-LBPRGKRZSA-N 0 0 443.478 -0.608 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1=O ZINC000498157118 1072805445 /nfs/dbraw/zinc/80/54/45/1072805445.db2.gz RJGYAPPYKRCFCW-CYBMUJFWSA-N 0 0 438.462 -0.819 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1=O ZINC000498157119 1072805468 /nfs/dbraw/zinc/80/54/68/1072805468.db2.gz RJGYAPPYKRCFCW-ZDUSSCGKSA-N 0 0 438.462 -0.819 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000498158350 1072805478 /nfs/dbraw/zinc/80/54/78/1072805478.db2.gz WNHGHKBULRTZEO-KBPBESRZSA-N 0 0 448.339 -0.385 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000498158351 1072805496 /nfs/dbraw/zinc/80/54/96/1072805496.db2.gz WNHGHKBULRTZEO-KGLIPLIRSA-N 0 0 448.339 -0.385 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000498158352 1072805211 /nfs/dbraw/zinc/80/52/11/1072805211.db2.gz WNHGHKBULRTZEO-UONOGXRCSA-N 0 0 448.339 -0.385 20 0 IBADRN O=C(CCn1cc(Br)ccc1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000498158353 1072805259 /nfs/dbraw/zinc/80/52/59/1072805259.db2.gz WNHGHKBULRTZEO-ZIAGYGMSSA-N 0 0 448.339 -0.385 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)CC2)nc1 ZINC000498167852 1072807255 /nfs/dbraw/zinc/80/72/55/1072807255.db2.gz XMSLLPQGQBELSB-UHFFFAOYSA-N 0 0 443.552 -0.044 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccccc3SCC(N)=O)CC2)CC1 ZINC000498169386 1072807465 /nfs/dbraw/zinc/80/74/65/1072807465.db2.gz DSUHXOULUKDQBX-UHFFFAOYSA-N 0 0 441.579 -0.486 20 0 IBADRN COc1ccc(OC)c(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000498169539 1072807516 /nfs/dbraw/zinc/80/75/16/1072807516.db2.gz GJUFQCVGQCNQLI-UHFFFAOYSA-N 0 0 426.539 -0.117 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnc4sccn4c3=O)CC2)CC1 ZINC000498169887 1072807317 /nfs/dbraw/zinc/80/73/17/1072807317.db2.gz OCAYMOGDGFREND-UHFFFAOYSA-N 0 0 426.524 -0.994 20 0 IBADRN CCS(=O)(=O)c1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000498170432 1072807235 /nfs/dbraw/zinc/80/72/35/1072807235.db2.gz YWYRVFSOCIAGDG-UHFFFAOYSA-N 0 0 444.579 -0.270 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(=O)n(-c3ccccc3F)n2)CC1 ZINC000498170704 1072807429 /nfs/dbraw/zinc/80/74/29/1072807429.db2.gz KJQJVRHDJZLHDG-UHFFFAOYSA-N 0 0 441.419 -0.195 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc(S(C)(=O)=O)c1 ZINC000498172143 1072806198 /nfs/dbraw/zinc/80/61/98/1072806198.db2.gz JDMRTCRNBJBDQN-UHFFFAOYSA-N 0 0 438.506 -0.540 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000498172368 1072806364 /nfs/dbraw/zinc/80/63/64/1072806364.db2.gz FMHWSIBNBQKVBC-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN COC(=O)c1c(C)csc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000498172489 1072806312 /nfs/dbraw/zinc/80/63/12/1072806312.db2.gz LLQFMLGHBSVAFJ-UHFFFAOYSA-N 0 0 438.506 -0.215 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2nc3c(s2)CSCC3)CC1 ZINC000498172611 1072806490 /nfs/dbraw/zinc/80/64/90/1072806490.db2.gz LTJYAUHEPZEZAY-UHFFFAOYSA-N 0 0 439.563 -0.126 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2c(C)n(C)n(-c3ccccc3)c2=O)CC1 ZINC000498173399 1073411696 /nfs/dbraw/zinc/41/16/96/1073411696.db2.gz MBBPDRTXRBQAHN-UHFFFAOYSA-N 0 0 435.506 -0.083 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)CC1 ZINC000498173411 1072806380 /nfs/dbraw/zinc/80/63/80/1072806380.db2.gz QNTBDRDCZVUHJS-UHFFFAOYSA-N 0 0 434.472 -0.036 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000498173487 1072806242 /nfs/dbraw/zinc/80/62/42/1072806242.db2.gz RLGIAJGPZYEVGB-AWEZNQCLSA-N 0 0 440.522 -0.211 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000498173488 1072806297 /nfs/dbraw/zinc/80/62/97/1072806297.db2.gz RLGIAJGPZYEVGB-CQSZACIVSA-N 0 0 440.522 -0.211 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000498173702 1072806832 /nfs/dbraw/zinc/80/68/32/1072806832.db2.gz SIPVWHJDGMAAMI-UHFFFAOYSA-N 0 0 443.501 -0.705 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)CC1)NC1CC1 ZINC000498174295 1072806228 /nfs/dbraw/zinc/80/62/28/1072806228.db2.gz SNSDBGVZBFZPLI-UHFFFAOYSA-N 0 0 445.451 -0.160 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)ccc1F ZINC000498174472 1072806216 /nfs/dbraw/zinc/80/62/16/1072806216.db2.gz XQBMBKATUXILIS-UHFFFAOYSA-N 0 0 441.485 -0.905 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000498174504 1072806505 /nfs/dbraw/zinc/80/65/05/1072806505.db2.gz UPBFGXCPZLGDPZ-UHFFFAOYSA-N 0 0 431.493 -0.576 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3cnc4sccc4c3=O)CC2)CC1 ZINC000498175792 1072807302 /nfs/dbraw/zinc/80/73/02/1072807302.db2.gz FPEBOZBKFHYGQK-UHFFFAOYSA-N 0 0 440.551 -0.906 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4sccn4c3=O)CC2)C[C@H](C)O1 ZINC000498176038 1072806789 /nfs/dbraw/zinc/80/67/89/1072806789.db2.gz IVFBIDICRCDAKD-BETUJISGSA-N 0 0 441.535 -0.132 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4sccn4c3=O)CC2)C[C@@H](C)O1 ZINC000498176039 1072806940 /nfs/dbraw/zinc/80/69/40/1072806940.db2.gz IVFBIDICRCDAKD-CHWSQXEVSA-N 0 0 441.535 -0.132 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4sccn4c3=O)CC2)C[C@H](C)O1 ZINC000498176040 1072806844 /nfs/dbraw/zinc/80/68/44/1072806844.db2.gz IVFBIDICRCDAKD-STQMWFEESA-N 0 0 441.535 -0.132 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccc(OCC(N)=O)c3)CC2)C[C@H](C)O1 ZINC000498176464 1072806932 /nfs/dbraw/zinc/80/69/32/1072806932.db2.gz NMENBYYSENXQJW-GASCZTMLSA-N 0 0 440.522 -0.338 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccc(OCC(N)=O)c3)CC2)C[C@H](C)O1 ZINC000498176465 1072806733 /nfs/dbraw/zinc/80/67/33/1072806733.db2.gz NMENBYYSENXQJW-GJZGRUSLSA-N 0 0 440.522 -0.338 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccc(OCC(N)=O)c3)CC2)C[C@@H](C)O1 ZINC000498176466 1072806869 /nfs/dbraw/zinc/80/68/69/1072806869.db2.gz NMENBYYSENXQJW-HUUCEWRRSA-N 0 0 440.522 -0.338 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccccc3S(C)(=O)=O)CC2)CC1 ZINC000498176676 1072809749 /nfs/dbraw/zinc/80/97/49/1072809749.db2.gz QOVMDZQMSLBZII-UHFFFAOYSA-N 0 0 430.552 -0.660 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccccc3OCC(N)=O)CC2)C[C@H](C)O1 ZINC000498177359 1072809424 /nfs/dbraw/zinc/80/94/24/1072809424.db2.gz ZUPXBSRJLVIZMV-GASCZTMLSA-N 0 0 440.522 -0.338 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccccc3OCC(N)=O)CC2)C[C@H](C)O1 ZINC000498177360 1072809411 /nfs/dbraw/zinc/80/94/11/1072809411.db2.gz ZUPXBSRJLVIZMV-GJZGRUSLSA-N 0 0 440.522 -0.338 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccccc3OCC(N)=O)CC2)C[C@@H](C)O1 ZINC000498177361 1072809208 /nfs/dbraw/zinc/80/92/08/1072809208.db2.gz ZUPXBSRJLVIZMV-HUUCEWRRSA-N 0 0 440.522 -0.338 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CS[C@@]4(C)CCC(=O)N34)CC2)CC1 ZINC000498179520 1072809740 /nfs/dbraw/zinc/80/97/40/1072809740.db2.gz LZJOFUCAKBWMNZ-PBHICJAKSA-N 0 0 431.584 -0.923 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CS[C@]4(C)CCC(=O)N34)CC2)CC1 ZINC000498179521 1072809919 /nfs/dbraw/zinc/80/99/19/1072809919.db2.gz LZJOFUCAKBWMNZ-RHSMWYFYSA-N 0 0 431.584 -0.923 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS[C@]4(C)CCC(=O)N34)CC2)CC1 ZINC000498179522 1072809831 /nfs/dbraw/zinc/80/98/31/1072809831.db2.gz LZJOFUCAKBWMNZ-WMLDXEAASA-N 0 0 431.584 -0.923 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS[C@@]4(C)CCC(=O)N34)CC2)CC1 ZINC000498179523 1072809952 /nfs/dbraw/zinc/80/99/52/1072809952.db2.gz LZJOFUCAKBWMNZ-YOEHRIQHSA-N 0 0 431.584 -0.923 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)c3ccc(F)cc3)CC2)CC1 ZINC000498179722 1072810507 /nfs/dbraw/zinc/81/05/07/1072810507.db2.gz PJTDEXPTEDVGLO-UHFFFAOYSA-N 0 0 441.529 -0.418 20 0 IBADRN CCC(CC)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1=O ZINC000498179817 1072810314 /nfs/dbraw/zinc/81/03/14/1072810314.db2.gz RKVKVGODOWLQJA-INIZCTEOSA-N 0 0 429.587 -0.340 20 0 IBADRN CCC(CC)N1C[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1=O ZINC000498179818 1072810435 /nfs/dbraw/zinc/81/04/35/1072810435.db2.gz RKVKVGODOWLQJA-MRXNPFEDSA-N 0 0 429.587 -0.340 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000498179910 1072810498 /nfs/dbraw/zinc/81/04/98/1072810498.db2.gz UMKQSJXABDJNST-HOTGVXAUSA-N 0 0 432.543 -0.876 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCOCC3)CC2)C[C@@H](C)O1 ZINC000498179911 1072810209 /nfs/dbraw/zinc/81/02/09/1072810209.db2.gz UMKQSJXABDJNST-HZPDHXFCSA-N 0 0 432.543 -0.876 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000498179912 1072810231 /nfs/dbraw/zinc/81/02/31/1072810231.db2.gz UMKQSJXABDJNST-IYBDPMFKSA-N 0 0 432.543 -0.876 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(CC(F)(F)F)C3)CC2)CC1 ZINC000498180122 1072809842 /nfs/dbraw/zinc/80/98/42/1072809842.db2.gz YTDRUFFRYJDQLZ-CYBMUJFWSA-N 0 0 441.476 -0.966 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CC(F)(F)F)C3)CC2)CC1 ZINC000498180123 1072810218 /nfs/dbraw/zinc/81/02/18/1072810218.db2.gz YTDRUFFRYJDQLZ-ZDUSSCGKSA-N 0 0 441.476 -0.966 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)sn1 ZINC000498180248 1072810493 /nfs/dbraw/zinc/81/04/93/1072810493.db2.gz AROXAFYIDFCWNS-BETUJISGSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)sn1 ZINC000498180249 1072810337 /nfs/dbraw/zinc/81/03/37/1072810337.db2.gz AROXAFYIDFCWNS-CHWSQXEVSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)sn1 ZINC000498180250 1072810486 /nfs/dbraw/zinc/81/04/86/1072810486.db2.gz AROXAFYIDFCWNS-STQMWFEESA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H]2CCCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)C2)cc1 ZINC000498180700 1072807910 /nfs/dbraw/zinc/80/79/10/1072807910.db2.gz FMFSOUICZZCBRH-AWEZNQCLSA-N 0 0 436.490 -0.067 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CCCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)C2)cc1 ZINC000498180701 1072807989 /nfs/dbraw/zinc/80/79/89/1072807989.db2.gz FMFSOUICZZCBRH-CQSZACIVSA-N 0 0 436.490 -0.067 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000498180922 1072807750 /nfs/dbraw/zinc/80/77/50/1072807750.db2.gz HCYPINPERNFDHS-UHFFFAOYSA-N 0 0 436.490 -0.232 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000498180928 1072807981 /nfs/dbraw/zinc/80/79/81/1072807981.db2.gz HFUIMHGNTPOWKC-FZMZJTMJSA-N 0 0 437.474 -0.079 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000498180929 1072807921 /nfs/dbraw/zinc/80/79/21/1072807921.db2.gz HFUIMHGNTPOWKC-SMDDNHRTSA-N 0 0 437.474 -0.079 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)CC1 ZINC000498181152 1072807380 /nfs/dbraw/zinc/80/73/80/1072807380.db2.gz ITJPDXDAPKMGMS-UHFFFAOYSA-N 0 0 440.478 -0.978 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000498181168 1072807958 /nfs/dbraw/zinc/80/79/58/1072807958.db2.gz IXHOXGACXRFXPB-UHFFFAOYSA-N 0 0 431.493 -0.088 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1)S(C)(=O)=O ZINC000498181251 1072807932 /nfs/dbraw/zinc/80/79/32/1072807932.db2.gz AVKURGGIISLUDU-UHFFFAOYSA-N 0 0 438.503 -0.540 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000498181520 1072807206 /nfs/dbraw/zinc/80/72/06/1072807206.db2.gz CAHSWPQIQIZHIC-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n(C)nc1C(F)(F)F ZINC000498181882 1072807803 /nfs/dbraw/zinc/80/78/03/1072807803.db2.gz LUFHHSLSAHZBMO-UHFFFAOYSA-N 0 0 432.403 -0.119 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000498181935 1072807771 /nfs/dbraw/zinc/80/77/71/1072807771.db2.gz FIDAIZXUXGTGJG-UHFFFAOYSA-N 0 0 434.522 -0.117 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3nc(C4CC4)cs3)CC2)CC1 ZINC000498182037 1072807813 /nfs/dbraw/zinc/80/78/13/1072807813.db2.gz JTJRLBSTZMEARS-UHFFFAOYSA-N 0 0 442.567 -0.405 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000498182312 1072807762 /nfs/dbraw/zinc/80/77/62/1072807762.db2.gz KYFOEQRQKHLTGA-UHFFFAOYSA-N 0 0 447.492 -0.803 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)ccc1F ZINC000498182497 1072807836 /nfs/dbraw/zinc/80/78/36/1072807836.db2.gz HHNJRYSVPPTZDD-UHFFFAOYSA-N 0 0 443.501 -0.705 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1)S(C)(=O)=O ZINC000498182570 1072807968 /nfs/dbraw/zinc/80/79/68/1072807968.db2.gz IESUVIDMAUIJHW-UHFFFAOYSA-N 0 0 435.524 -0.175 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc(S(C)(=O)=O)c1 ZINC000498182773 1072808449 /nfs/dbraw/zinc/80/84/49/1072808449.db2.gz PYIJJLKHOIQLAP-UHFFFAOYSA-N 0 0 426.495 -0.683 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000498182786 1072808263 /nfs/dbraw/zinc/80/82/63/1072808263.db2.gz NLBZQEIOSIUFJI-UHFFFAOYSA-N 0 0 444.535 -0.341 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)CC1 ZINC000498182869 1072808380 /nfs/dbraw/zinc/80/83/80/1072808380.db2.gz JNSIHCNEQATCIX-UHFFFAOYSA-N 0 0 440.522 -0.106 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000498183222 1072808376 /nfs/dbraw/zinc/80/83/76/1072808376.db2.gz OZGWCUYOUHEHTH-CVEARBPZSA-N 0 0 444.488 -0.661 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000498183226 1072808273 /nfs/dbraw/zinc/80/82/73/1072808273.db2.gz OZGWCUYOUHEHTH-HOTGVXAUSA-N 0 0 444.488 -0.661 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000498183228 1072808368 /nfs/dbraw/zinc/80/83/68/1072808368.db2.gz OZGWCUYOUHEHTH-HZPDHXFCSA-N 0 0 444.488 -0.661 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000498183230 1072808319 /nfs/dbraw/zinc/80/83/19/1072808319.db2.gz OZGWCUYOUHEHTH-JKSUJKDBSA-N 0 0 444.488 -0.661 20 0 IBADRN CC(C)c1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000498183324 1072807726 /nfs/dbraw/zinc/80/77/26/1072807726.db2.gz PLOGLEDFJRBLFU-UHFFFAOYSA-N 0 0 444.583 -0.159 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)CC1 ZINC000498183360 1072807945 /nfs/dbraw/zinc/80/79/45/1072807945.db2.gz PQFJRJFVAZGYBZ-UHFFFAOYSA-N 0 0 432.449 -0.156 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000498183397 1072808336 /nfs/dbraw/zinc/80/83/36/1072808336.db2.gz MECQBDAUDMMSHT-UHFFFAOYSA-N 0 0 436.487 -0.882 20 0 IBADRN CCN(C(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2)[C@H]1CC(=O)NC1=O ZINC000498184189 1072808304 /nfs/dbraw/zinc/80/83/04/1072808304.db2.gz WMYNYCXKSUFRLB-AAEUAGOBSA-N 0 0 425.463 -0.222 20 0 IBADRN CCN(C(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2)[C@@H]1CC(=O)NC1=O ZINC000498184190 1072808411 /nfs/dbraw/zinc/80/84/11/1072808411.db2.gz WMYNYCXKSUFRLB-WCQYABFASA-N 0 0 425.463 -0.222 20 0 IBADRN COCCOc1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000498184488 1072808283 /nfs/dbraw/zinc/80/82/83/1072808283.db2.gz FLFHDZVWSGXXHU-UHFFFAOYSA-N 0 0 426.539 -0.038 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)CC1 ZINC000498184913 1072808964 /nfs/dbraw/zinc/80/89/64/1072808964.db2.gz YGWWCGIWGSDUNS-UHFFFAOYSA-N 0 0 439.523 -0.183 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)ccn1 ZINC000498185097 1072808343 /nfs/dbraw/zinc/80/83/43/1072808343.db2.gz ZXUVXHCPGZDUOM-KBPBESRZSA-N 0 0 441.510 -0.473 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)ccn1 ZINC000498185098 1072808328 /nfs/dbraw/zinc/80/83/28/1072808328.db2.gz ZXUVXHCPGZDUOM-OKILXGFUSA-N 0 0 441.510 -0.473 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)ccn1 ZINC000498185099 1072808251 /nfs/dbraw/zinc/80/82/51/1072808251.db2.gz ZXUVXHCPGZDUOM-ZIAGYGMSSA-N 0 0 441.510 -0.473 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)CC1 ZINC000498185223 1072808314 /nfs/dbraw/zinc/80/83/14/1072808314.db2.gz SMWPLSNPKKGILO-UHFFFAOYSA-N 0 0 427.458 -0.212 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1F ZINC000498185457 1072808392 /nfs/dbraw/zinc/80/83/92/1072808392.db2.gz YFYIAPGBWGEFFX-UHFFFAOYSA-N 0 0 441.529 -0.037 20 0 IBADRN COCCOc1cccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000498185711 1072808749 /nfs/dbraw/zinc/80/87/49/1072808749.db2.gz LESSEAUBGRDOSA-UHFFFAOYSA-N 0 0 426.539 -0.038 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1Br ZINC000498185827 1072808983 /nfs/dbraw/zinc/80/89/83/1072808983.db2.gz WKZBWKAVSXPSBT-UHFFFAOYSA-N 0 0 447.311 -0.149 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS[C@@]4(C)CCC(=O)N34)CC2)C[C@@H](C)O1 ZINC000498187388 1072808972 /nfs/dbraw/zinc/80/89/72/1072808972.db2.gz HIUVCALBVIRYCR-BSXFFOKHSA-N 0 0 446.595 -0.061 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS[C@]4(C)CCC(=O)N34)CC2)C[C@H](C)O1 ZINC000498187389 1072808875 /nfs/dbraw/zinc/80/88/75/1072808875.db2.gz HIUVCALBVIRYCR-JTOWHCCKSA-N 0 0 446.595 -0.061 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS[C@@]4(C)CCC(=O)N34)CC2)C[C@H](C)O1 ZINC000498187390 1072808834 /nfs/dbraw/zinc/80/88/34/1072808834.db2.gz HIUVCALBVIRYCR-KRXQYRFLSA-N 0 0 446.595 -0.061 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS[C@]4(C)CCC(=O)N34)CC2)C[C@@H](C)O1 ZINC000498187391 1072808941 /nfs/dbraw/zinc/80/89/41/1072808941.db2.gz HIUVCALBVIRYCR-ZXFNITATSA-N 0 0 446.595 -0.061 20 0 IBADRN Cc1cc(C)n2nc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@@H](C)C4)CC3)nc2n1 ZINC000498187501 1072808735 /nfs/dbraw/zinc/80/87/35/1072808735.db2.gz LTRHAXIMZWAWLY-GASCZTMLSA-N 0 0 437.526 -0.147 20 0 IBADRN Cc1cc(C)n2nc(C(=O)N3CCN(S(=O)(=O)N4C[C@H](C)O[C@@H](C)C4)CC3)nc2n1 ZINC000498187502 1072808906 /nfs/dbraw/zinc/80/89/06/1072808906.db2.gz LTRHAXIMZWAWLY-GJZGRUSLSA-N 0 0 437.526 -0.147 20 0 IBADRN Cc1cc(C)n2nc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@H](C)C4)CC3)nc2n1 ZINC000498187503 1072808714 /nfs/dbraw/zinc/80/87/14/1072808714.db2.gz LTRHAXIMZWAWLY-HUUCEWRRSA-N 0 0 437.526 -0.147 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3cc(F)cc4c3OCOC4)CC2)CC1 ZINC000498188248 1072810982 /nfs/dbraw/zinc/81/09/82/1072810982.db2.gz QNJXPBYMFTZJTA-UHFFFAOYSA-N 0 0 442.513 -0.129 20 0 IBADRN COCCOc1ncccc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000498188497 1072810453 /nfs/dbraw/zinc/81/04/53/1072810453.db2.gz YCEDEYFDGDAZLL-UHFFFAOYSA-N 0 0 427.527 -0.643 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)OC)cc2C)CC1 ZINC000498189807 1072811018 /nfs/dbraw/zinc/81/10/18/1072811018.db2.gz CWOLGXYXDRRRTC-UHFFFAOYSA-N 0 0 427.479 -0.021 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000498189954 1072810893 /nfs/dbraw/zinc/81/08/93/1072810893.db2.gz DWPVTLNDKFIVBM-UHFFFAOYSA-N 0 0 438.506 -0.409 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)c1 ZINC000498190734 1072810925 /nfs/dbraw/zinc/81/09/25/1072810925.db2.gz RFPBFTYGUKXWTP-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN COc1cccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000498190783 1072811046 /nfs/dbraw/zinc/81/10/46/1072811046.db2.gz RQTGNAJQAWLDPX-UHFFFAOYSA-N 0 0 447.473 -0.534 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccnc3N3CCOCC3)CC2)CC1 ZINC000498191644 1072810909 /nfs/dbraw/zinc/81/09/09/1072810909.db2.gz IWWXGHJGJUTLNJ-UHFFFAOYSA-N 0 0 438.554 -0.832 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CSCC(=O)N3CCCCC3)CC2)CC1 ZINC000498191670 1072811035 /nfs/dbraw/zinc/81/10/35/1072811035.db2.gz JMEDKSZXKRQBPR-UHFFFAOYSA-N 0 0 447.627 -0.632 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000498192212 1072810920 /nfs/dbraw/zinc/81/09/20/1072810920.db2.gz XRUUHYYACPQDNM-UHFFFAOYSA-N 0 0 436.490 -0.232 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000498192263 1072810935 /nfs/dbraw/zinc/81/09/35/1072810935.db2.gz OSKNJBICCNHGTQ-UHFFFAOYSA-N 0 0 438.506 -0.409 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000498194823 1072811475 /nfs/dbraw/zinc/81/14/75/1072811475.db2.gz GZVOXSDWUMWBSR-BETUJISGSA-N 0 0 427.527 -0.282 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000498194825 1072811515 /nfs/dbraw/zinc/81/15/15/1072811515.db2.gz GZVOXSDWUMWBSR-CHWSQXEVSA-N 0 0 427.527 -0.282 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000498194827 1072811529 /nfs/dbraw/zinc/81/15/29/1072811529.db2.gz GZVOXSDWUMWBSR-STQMWFEESA-N 0 0 427.527 -0.282 20 0 IBADRN CC(C)C(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000498194840 1072811320 /nfs/dbraw/zinc/81/13/20/1072811320.db2.gz HBXLWJQZSCXMJD-UHFFFAOYSA-N 0 0 429.587 -0.483 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)CC1 ZINC000498196432 1072811505 /nfs/dbraw/zinc/81/15/05/1072811505.db2.gz RHTBPHSUOCTAQC-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4n(c3=O)CCS4)CC2)C[C@H](C)O1 ZINC000498196508 1072811276 /nfs/dbraw/zinc/81/12/76/1072811276.db2.gz TVEVPSWWUJAJOI-BETUJISGSA-N 0 0 443.551 -0.539 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4n(c3=O)CCS4)CC2)C[C@@H](C)O1 ZINC000498196509 1072811445 /nfs/dbraw/zinc/81/14/45/1072811445.db2.gz TVEVPSWWUJAJOI-CHWSQXEVSA-N 0 0 443.551 -0.539 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4n(c3=O)CCS4)CC2)C[C@H](C)O1 ZINC000498196510 1072811428 /nfs/dbraw/zinc/81/14/28/1072811428.db2.gz TVEVPSWWUJAJOI-STQMWFEESA-N 0 0 443.551 -0.539 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3C(=O)c3ccccc3)CC2)CC1 ZINC000498197289 1072811557 /nfs/dbraw/zinc/81/15/57/1072811557.db2.gz YJXZITPDYUFZCP-IBGZPJMESA-N 0 0 449.577 -0.072 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3C(=O)c3ccccc3)CC2)CC1 ZINC000498197290 1072811388 /nfs/dbraw/zinc/81/13/88/1072811388.db2.gz YJXZITPDYUFZCP-LJQANCHMSA-N 0 0 449.577 -0.072 20 0 IBADRN CC(C)n1cnnc1SCC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000498197524 1072811570 /nfs/dbraw/zinc/81/15/70/1072811570.db2.gz ZXPVJJCSPDFVKH-UHFFFAOYSA-N 0 0 431.588 -0.413 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)cc1 ZINC000498197592 1072811347 /nfs/dbraw/zinc/81/13/47/1072811347.db2.gz WUAYEDZNGKFADH-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000498197671 1072810297 /nfs/dbraw/zinc/81/02/97/1072810297.db2.gz YETBCPHHHFKPCT-UHFFFAOYSA-N 0 0 430.458 -0.668 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)CC1 ZINC000498197699 1072810406 /nfs/dbraw/zinc/81/04/06/1072810406.db2.gz YSDIRMYWPCOBRS-UHFFFAOYSA-N 0 0 434.472 -0.036 20 0 IBADRN O=C(Nc1cccc2c1CNC2=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000498199181 1072810538 /nfs/dbraw/zinc/81/05/38/1072810538.db2.gz DFKFPGMCTLGEPG-UHFFFAOYSA-N 0 0 429.458 -0.203 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cccc4c3CNC4=O)CC2)cc1 ZINC000498199509 1072810240 /nfs/dbraw/zinc/81/02/40/1072810240.db2.gz FBGIACSJXBFHCW-UHFFFAOYSA-N 0 0 443.485 -0.135 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2OC)CC1 ZINC000498199609 1072812852 /nfs/dbraw/zinc/81/28/52/1072812852.db2.gz DYBVONPNKRHEQE-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000498199616 1072812652 /nfs/dbraw/zinc/81/26/52/1072812652.db2.gz BNHQQDHBCYFFOC-UHFFFAOYSA-N 0 0 438.550 -0.006 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000498200023 1072812591 /nfs/dbraw/zinc/81/25/91/1072812591.db2.gz GHSQRTRTBWZZRI-UHFFFAOYSA-N 0 0 444.535 -0.341 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(C)(=O)=O)o3)CC2)C[C@H](C)O1 ZINC000498201359 1072812576 /nfs/dbraw/zinc/81/25/76/1072812576.db2.gz KNMOZNSQJLGAQY-BETUJISGSA-N 0 0 435.524 -0.205 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(C)(=O)=O)o3)CC2)C[C@@H](C)O1 ZINC000498201364 1072812759 /nfs/dbraw/zinc/81/27/59/1072812759.db2.gz KNMOZNSQJLGAQY-CHWSQXEVSA-N 0 0 435.524 -0.205 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(C)(=O)=O)o3)CC2)C[C@H](C)O1 ZINC000498201365 1072812771 /nfs/dbraw/zinc/81/27/71/1072812771.db2.gz KNMOZNSQJLGAQY-STQMWFEESA-N 0 0 435.524 -0.205 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000498201514 1072812811 /nfs/dbraw/zinc/81/28/11/1072812811.db2.gz NQBQHMHOWPXRNH-UHFFFAOYSA-N 0 0 434.522 -0.117 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000498203833 1072812156 /nfs/dbraw/zinc/81/21/56/1072812156.db2.gz WNLVFIGBHHFQAQ-UHFFFAOYSA-N 0 0 444.492 -0.098 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000498205991 1072812113 /nfs/dbraw/zinc/81/21/13/1072812113.db2.gz XCKAIWIZSDZFTM-UHFFFAOYSA-N 0 0 438.506 -0.409 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000498208022 1072812124 /nfs/dbraw/zinc/81/21/24/1072812124.db2.gz AKJOXOPQWGGQAJ-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc4c3CNC4=O)CC2)no1 ZINC000498208294 1072812019 /nfs/dbraw/zinc/81/20/19/1072812019.db2.gz BFUFQCLRMYOEOO-UHFFFAOYSA-N 0 0 426.433 -0.052 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000498209005 1072811962 /nfs/dbraw/zinc/81/19/62/1072811962.db2.gz FTBORXUVIKBXSD-UHFFFAOYSA-N 0 0 434.478 -0.933 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CC1 ZINC000498209979 1072813285 /nfs/dbraw/zinc/81/32/85/1072813285.db2.gz LIRAFOZDWDXCFT-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)CC1 ZINC000498210114 1072812746 /nfs/dbraw/zinc/81/27/46/1072812746.db2.gz MSUPDDXWWGTCMK-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)sn1 ZINC000498210120 1072812830 /nfs/dbraw/zinc/81/28/30/1072812830.db2.gz MUEXYRQFHNLJII-UHFFFAOYSA-N 0 0 427.464 -0.385 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)ccn1 ZINC000498210827 1072813277 /nfs/dbraw/zinc/81/32/77/1072813277.db2.gz QZOFZLARCGWYET-UHFFFAOYSA-N 0 0 437.434 -0.746 20 0 IBADRN CC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1F ZINC000498214295 1072813352 /nfs/dbraw/zinc/81/33/52/1072813352.db2.gz QDZJEKJUNHVJCH-UHFFFAOYSA-N 0 0 434.424 -0.504 20 0 IBADRN O=C(Nc1cccc(F)c1Cl)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000498214411 1072813163 /nfs/dbraw/zinc/81/31/63/1072813163.db2.gz RSUMMKHKJHGBRU-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000498214560 1072813307 /nfs/dbraw/zinc/81/33/07/1072813307.db2.gz UMCXFPZENMAYBU-GOSISDBHSA-N 0 0 427.461 -0.039 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000498214561 1072813292 /nfs/dbraw/zinc/81/32/92/1072813292.db2.gz UMCXFPZENMAYBU-SFHVURJKSA-N 0 0 427.461 -0.039 20 0 IBADRN O=C(Nc1nc(-c2ccco2)cs1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000498214586 1072813172 /nfs/dbraw/zinc/81/31/72/1072813172.db2.gz UVZUTYYGHMTSAZ-UHFFFAOYSA-N 0 0 447.473 -0.129 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000498214648 1072812691 /nfs/dbraw/zinc/81/26/91/1072812691.db2.gz VVPWNBJOIWMXBZ-UHFFFAOYSA-N 0 0 433.490 -0.276 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000498216857 1072813335 /nfs/dbraw/zinc/81/33/35/1072813335.db2.gz DWYSUFNGIHVSSZ-UHFFFAOYSA-N 0 0 446.551 -0.322 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000498217549 1072812614 /nfs/dbraw/zinc/81/26/14/1072812614.db2.gz AAVKFDROVGKIGF-GFCCVEGCSA-N 0 0 447.535 -0.175 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000498217550 1072812860 /nfs/dbraw/zinc/81/28/60/1072812860.db2.gz AAVKFDROVGKIGF-LBPRGKRZSA-N 0 0 447.535 -0.175 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)CC1 ZINC000498218420 1072813229 /nfs/dbraw/zinc/81/32/29/1072813229.db2.gz DSLGXEPTRGHLNF-UHFFFAOYSA-N 0 0 426.495 -0.683 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)n(C)nc1C(F)(F)F ZINC000498218470 1072813328 /nfs/dbraw/zinc/81/33/28/1072813328.db2.gz FCHNVWFSRLOORK-UHFFFAOYSA-N 0 0 446.430 -0.311 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)CC1 ZINC000498218740 1072815388 /nfs/dbraw/zinc/81/53/88/1072815388.db2.gz FZINXBCEGWLSPN-UHFFFAOYSA-N 0 0 433.440 -0.302 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)CC1 ZINC000498220751 1072813914 /nfs/dbraw/zinc/81/39/14/1072813914.db2.gz SFULLVYCPVBHLM-UHFFFAOYSA-N 0 0 440.522 -0.541 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000498221361 1072813865 /nfs/dbraw/zinc/81/38/65/1072813865.db2.gz XQZSMXHDOCNYQZ-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN CCS(=O)(=O)N1CCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498226434 1072815079 /nfs/dbraw/zinc/81/50/79/1072815079.db2.gz NOONTGMLHKSSBV-KBPBESRZSA-N 0 0 432.568 -0.133 20 0 IBADRN CCS(=O)(=O)N1CCN([C@@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498226435 1072814911 /nfs/dbraw/zinc/81/49/11/1072814911.db2.gz NOONTGMLHKSSBV-KGLIPLIRSA-N 0 0 432.568 -0.133 20 0 IBADRN CCS(=O)(=O)N1CCN([C@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498226436 1072814922 /nfs/dbraw/zinc/81/49/22/1072814922.db2.gz NOONTGMLHKSSBV-UONOGXRCSA-N 0 0 432.568 -0.133 20 0 IBADRN CCS(=O)(=O)N1CCN([C@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498226437 1072814929 /nfs/dbraw/zinc/81/49/29/1072814929.db2.gz NOONTGMLHKSSBV-ZIAGYGMSSA-N 0 0 432.568 -0.133 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000498231416 1072816746 /nfs/dbraw/zinc/81/67/46/1072816746.db2.gz KYYVEDAAGBQVKR-CVEARBPZSA-N 0 0 438.554 -0.253 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000498231417 1072816787 /nfs/dbraw/zinc/81/67/87/1072816787.db2.gz KYYVEDAAGBQVKR-HOTGVXAUSA-N 0 0 438.554 -0.253 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000498231418 1072816640 /nfs/dbraw/zinc/81/66/40/1072816640.db2.gz KYYVEDAAGBQVKR-HZPDHXFCSA-N 0 0 438.554 -0.253 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000498231419 1072816729 /nfs/dbraw/zinc/81/67/29/1072816729.db2.gz KYYVEDAAGBQVKR-JKSUJKDBSA-N 0 0 438.554 -0.253 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000498237078 1072816068 /nfs/dbraw/zinc/81/60/68/1072816068.db2.gz MJQDBVTYQIRRGO-UHFFFAOYSA-N 0 0 438.550 -0.258 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000498241871 1072817780 /nfs/dbraw/zinc/81/77/80/1072817780.db2.gz IBGYUMOJVDOQEW-LBPRGKRZSA-N 0 0 444.462 -0.311 20 0 IBADRN Cn1cnn(CC(=O)N2CC(=O)Nc3cc(S(=O)(=O)N4CCCCC4)ccc32)c1=O ZINC000498242077 1072817854 /nfs/dbraw/zinc/81/78/54/1072817854.db2.gz JJXIDRIKCANFEZ-UHFFFAOYSA-N 0 0 434.478 -0.258 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)ccc1F ZINC000498248111 1072818977 /nfs/dbraw/zinc/81/89/77/1072818977.db2.gz RZUJKSICUWXGDD-UHFFFAOYSA-N 0 0 438.441 -0.649 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCO[C@H](C(=O)OC)C1 ZINC000498252943 1072819947 /nfs/dbraw/zinc/81/99/47/1072819947.db2.gz MOWSQOBARBFCIY-HNNXBMFYSA-N 0 0 444.462 -0.546 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCO[C@@H](C(=O)OC)C1 ZINC000498252951 1072819776 /nfs/dbraw/zinc/81/97/76/1072819776.db2.gz MOWSQOBARBFCIY-OAHLLOKOSA-N 0 0 444.462 -0.546 20 0 IBADRN CN(C)c1nc(N)nc(COc2cccc(NC(=O)CN3C(=O)C(=O)N(C)C3=O)c2)n1 ZINC000498255509 1073356018 /nfs/dbraw/zinc/35/60/18/1073356018.db2.gz WAUVDYUCPBRLIU-UHFFFAOYSA-N 0 0 428.409 -0.542 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498258390 1072820512 /nfs/dbraw/zinc/82/05/12/1072820512.db2.gz LRTCGBNAMZLLKS-KRWDZBQOSA-N 0 0 445.501 -0.357 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498258391 1072820560 /nfs/dbraw/zinc/82/05/60/1072820560.db2.gz LRTCGBNAMZLLKS-QGZVFWFLSA-N 0 0 445.501 -0.357 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000498259588 1072821177 /nfs/dbraw/zinc/82/11/77/1072821177.db2.gz NLYWCDIFHLQXII-UHFFFAOYSA-N 0 0 429.568 -0.354 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498261371 1072821678 /nfs/dbraw/zinc/82/16/78/1072821678.db2.gz HJFXPTPVSCMONP-UHFFFAOYSA-N 0 0 425.511 -0.715 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000498261743 1072822251 /nfs/dbraw/zinc/82/22/51/1072822251.db2.gz LDZVGAPTWLUQKJ-CPUCHLNUSA-N 0 0 431.445 -0.578 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000498261744 1072822120 /nfs/dbraw/zinc/82/21/20/1072822120.db2.gz LDZVGAPTWLUQKJ-KEYYUXOJSA-N 0 0 431.445 -0.578 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000498261745 1072822226 /nfs/dbraw/zinc/82/22/26/1072822226.db2.gz LDZVGAPTWLUQKJ-VBQJREDUSA-N 0 0 431.445 -0.578 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000498261746 1072822189 /nfs/dbraw/zinc/82/21/89/1072822189.db2.gz LDZVGAPTWLUQKJ-ZQIUZPCESA-N 0 0 431.445 -0.578 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000498266697 1072823062 /nfs/dbraw/zinc/82/30/62/1072823062.db2.gz RWALMBCEAIVBKV-UHFFFAOYSA-N 0 0 444.579 -0.408 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000498279428 1072823086 /nfs/dbraw/zinc/82/30/86/1072823086.db2.gz ZYMHQSDUBMKJAU-UHFFFAOYSA-N 0 0 438.554 -0.397 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCN(C[C@@H](O)COc3ccccc3)CC2)O1)N1CCOCC1 ZINC000498280446 1072822700 /nfs/dbraw/zinc/82/27/00/1072822700.db2.gz BUWRLBFVPWFPAF-HLAWJBBLSA-N 0 0 447.532 -0.023 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCN(C[C@H](O)COc3ccccc3)CC2)O1)N1CCOCC1 ZINC000498280447 1072822628 /nfs/dbraw/zinc/82/26/28/1072822628.db2.gz BUWRLBFVPWFPAF-SESVDKBCSA-N 0 0 447.532 -0.023 20 0 IBADRN CCCCn1c(N)c(N(C)C(=O)c2ccc3c(n2)n(C)c(=O)n(C)c3=O)c(=O)[nH]c1=O ZINC000498285166 1072823472 /nfs/dbraw/zinc/82/34/72/1072823472.db2.gz CGHKLXIAPHFOJY-UHFFFAOYSA-N 0 0 429.437 -0.447 20 0 IBADRN COCCN(C(=O)Cn1nc2ccccn2c1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000498285813 1072823418 /nfs/dbraw/zinc/82/34/18/1072823418.db2.gz OTDODTGMCSLGKB-UHFFFAOYSA-N 0 0 431.453 -0.324 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)c2ccc3c(n2)n(C)c(=O)n(C)c3=O)c(=O)[nH]c1=O ZINC000498288184 1072823449 /nfs/dbraw/zinc/82/34/49/1072823449.db2.gz ZAEFKJQIJXUMMI-UHFFFAOYSA-N 0 0 443.464 -0.057 20 0 IBADRN CCOC(=O)CC(C)(C)CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000498296088 1072823635 /nfs/dbraw/zinc/82/36/35/1072823635.db2.gz MIMHKSYIHRNVAQ-HNNXBMFYSA-N 0 0 431.555 -0.197 20 0 IBADRN CCOC(=O)CC(C)(C)CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000498296089 1072824183 /nfs/dbraw/zinc/82/41/83/1072824183.db2.gz MIMHKSYIHRNVAQ-OAHLLOKOSA-N 0 0 431.555 -0.197 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2COCCN2C2CCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000498299916 1072825604 /nfs/dbraw/zinc/82/56/04/1072825604.db2.gz BKSRESPQHHMEEB-AEFFLSMTSA-N 0 0 442.582 -0.923 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2COCCN2C2CCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000498299917 1072825717 /nfs/dbraw/zinc/82/57/17/1072825717.db2.gz BKSRESPQHHMEEB-FUHWJXTLSA-N 0 0 442.582 -0.923 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2COCCN2C2CCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000498299918 1072825533 /nfs/dbraw/zinc/82/55/33/1072825533.db2.gz BKSRESPQHHMEEB-SJLPKXTDSA-N 0 0 442.582 -0.923 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2COCCN2C2CCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000498299919 1072825469 /nfs/dbraw/zinc/82/54/69/1072825469.db2.gz BKSRESPQHHMEEB-WMZOPIPTSA-N 0 0 442.582 -0.923 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)[C@]1(O)CCSC1 ZINC000498303464 1073383334 /nfs/dbraw/zinc/38/33/34/1073383334.db2.gz KMROIZOBPQREAJ-KRWDZBQOSA-N 0 0 427.504 -0.282 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)[C@@]1(O)CCSC1 ZINC000498303465 1073383396 /nfs/dbraw/zinc/38/33/96/1073383396.db2.gz KMROIZOBPQREAJ-QGZVFWFLSA-N 0 0 427.504 -0.282 20 0 IBADRN CO[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000498303624 1072825057 /nfs/dbraw/zinc/82/50/57/1072825057.db2.gz ACMQRRLIRCQMPV-CRAIPNDOSA-N 0 0 425.507 -0.473 20 0 IBADRN CO[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000498303627 1072826415 /nfs/dbraw/zinc/82/64/15/1072826415.db2.gz ACMQRRLIRCQMPV-MAUKXSAKSA-N 0 0 425.507 -0.473 20 0 IBADRN CO[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000498303629 1072826231 /nfs/dbraw/zinc/82/62/31/1072826231.db2.gz ACMQRRLIRCQMPV-QAPCUYQASA-N 0 0 425.507 -0.473 20 0 IBADRN CO[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000498303630 1072826278 /nfs/dbraw/zinc/82/62/78/1072826278.db2.gz ACMQRRLIRCQMPV-YJBOKZPZSA-N 0 0 425.507 -0.473 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-n3cccc3)ccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000498303661 1072826473 /nfs/dbraw/zinc/82/64/73/1072826473.db2.gz AIZQORPWEDDVQX-INIZCTEOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-n3cccc3)ccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000498303663 1072826242 /nfs/dbraw/zinc/82/62/42/1072826242.db2.gz AIZQORPWEDDVQX-MRXNPFEDSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(Cn3ccnc3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000498304350 1072825688 /nfs/dbraw/zinc/82/56/88/1072825688.db2.gz DPBJJLJDFLBMKC-IBGZPJMESA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(Cn3ccnc3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000498304352 1072825643 /nfs/dbraw/zinc/82/56/43/1072825643.db2.gz DPBJJLJDFLBMKC-LJQANCHMSA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1cc(F)c(N2CCOCC2)cc1F ZINC000498304812 1072826744 /nfs/dbraw/zinc/82/67/44/1072826744.db2.gz GSOVSPUPJJJGSC-UHFFFAOYSA-N 0 0 432.449 -0.108 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)ccc1F ZINC000498305411 1072826788 /nfs/dbraw/zinc/82/67/88/1072826788.db2.gz IYJZXRVGSXNEMV-UHFFFAOYSA-N 0 0 436.487 -0.834 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2ccc(C(F)(F)F)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000498305447 1072826803 /nfs/dbraw/zinc/82/68/03/1072826803.db2.gz JLJZTLBZTMYXJK-GFCCVEGCSA-N 0 0 437.444 -0.651 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2ccc(C(F)(F)F)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000498305448 1072826858 /nfs/dbraw/zinc/82/68/58/1072826858.db2.gz JLJZTLBZTMYXJK-LBPRGKRZSA-N 0 0 437.444 -0.651 20 0 IBADRN O=C(CN1CCN(C(=O)CCOCC(F)(F)C(F)F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000498306350 1072827367 /nfs/dbraw/zinc/82/73/67/1072827367.db2.gz OOMBRMBSTGVPNP-GFCCVEGCSA-N 0 0 447.451 -0.259 20 0 IBADRN O=C(CN1CCN(C(=O)CCOCC(F)(F)C(F)F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000498306351 1072827491 /nfs/dbraw/zinc/82/74/91/1072827491.db2.gz OOMBRMBSTGVPNP-LBPRGKRZSA-N 0 0 447.451 -0.259 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1 ZINC000498306460 1072827350 /nfs/dbraw/zinc/82/73/50/1072827350.db2.gz PXYBYVFRYGQQQX-UHFFFAOYSA-N 0 0 427.458 -0.164 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3ccccc3c(=O)o2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000498306861 1072826405 /nfs/dbraw/zinc/82/64/05/1072826405.db2.gz UXFRINLGYDMYNV-HNNXBMFYSA-N 0 0 433.486 -0.146 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3ccccc3c(=O)o2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000498306862 1072826301 /nfs/dbraw/zinc/82/63/01/1072826301.db2.gz UXFRINLGYDMYNV-OAHLLOKOSA-N 0 0 433.486 -0.146 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000498306960 1072826219 /nfs/dbraw/zinc/82/62/19/1072826219.db2.gz VWCKDMDMDZGAKN-CHWSQXEVSA-N 0 0 428.477 -0.684 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000498306961 1072826365 /nfs/dbraw/zinc/82/63/65/1072826365.db2.gz VWCKDMDMDZGAKN-OLZOCXBDSA-N 0 0 428.477 -0.684 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000498306962 1072826290 /nfs/dbraw/zinc/82/62/90/1072826290.db2.gz VWCKDMDMDZGAKN-QWHCGFSZSA-N 0 0 428.477 -0.684 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000498306963 1072826270 /nfs/dbraw/zinc/82/62/70/1072826270.db2.gz VWCKDMDMDZGAKN-STQMWFEESA-N 0 0 428.477 -0.684 20 0 IBADRN COC(=O)c1cc(Br)c(OC)cc1NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000498316867 1072827896 /nfs/dbraw/zinc/82/78/96/1072827896.db2.gz TXOJUGXPFVCGMA-GFCCVEGCSA-N 0 0 444.238 -0.105 20 0 IBADRN COC(=O)c1cc(Br)c(OC)cc1NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000498316872 1072828528 /nfs/dbraw/zinc/82/85/28/1072828528.db2.gz TXOJUGXPFVCGMA-LBPRGKRZSA-N 0 0 444.238 -0.105 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)CC1 ZINC000498321616 1072830757 /nfs/dbraw/zinc/83/07/57/1072830757.db2.gz FKRHBLGZIXMUNZ-UHFFFAOYSA-N 0 0 447.535 -0.328 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)CC1 ZINC000498323614 1072828606 /nfs/dbraw/zinc/82/86/06/1072828606.db2.gz LNFXJAAEYRUZOZ-UHFFFAOYSA-N 0 0 433.508 -0.469 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)CC1 ZINC000498323698 1072828643 /nfs/dbraw/zinc/82/86/43/1072828643.db2.gz MQSLOABSFZLOHL-UHFFFAOYSA-N 0 0 436.487 -0.834 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCCOC)c2)CC1 ZINC000498325399 1072161766 /nfs/dbraw/zinc/16/17/66/1072161766.db2.gz QTMDGOXCJYWRKF-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN O=C(NC[C@H](O)COCC1CC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498325956 1072830015 /nfs/dbraw/zinc/83/00/15/1072830015.db2.gz SMKDYEBKORGQNM-IKGGRYGDSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(NC[C@@H](O)COCC1CC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498325962 1072829619 /nfs/dbraw/zinc/82/96/19/1072829619.db2.gz SMKDYEBKORGQNM-IXDOHACOSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(NC[C@H](O)COCC1CC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498325967 1072162276 /nfs/dbraw/zinc/16/22/76/1072162276.db2.gz SMKDYEBKORGQNM-ULQDDVLXSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(NC[C@@H](O)COCC1CC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498325969 1072829999 /nfs/dbraw/zinc/82/99/99/1072829999.db2.gz SMKDYEBKORGQNM-YESZJQIVSA-N 0 0 431.489 -0.074 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)CC1 ZINC000498326636 1072162254 /nfs/dbraw/zinc/16/22/54/1072162254.db2.gz WFFARAIQEHFWFH-UHFFFAOYSA-N 0 0 440.453 -0.089 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000498327305 1072829628 /nfs/dbraw/zinc/82/96/28/1072829628.db2.gz ZYSLOJAJUTYAOF-UHFFFAOYSA-N 0 0 438.503 -0.540 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)CC1 ZINC000498335149 1072831236 /nfs/dbraw/zinc/83/12/36/1072831236.db2.gz ROKWZVRMCOPTLU-UHFFFAOYSA-N 0 0 442.314 -0.276 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC000498341409 1072832253 /nfs/dbraw/zinc/83/22/53/1072832253.db2.gz DLGOCCWZCOOWIB-AWEZNQCLSA-N 0 0 441.554 -0.029 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000498343573 1072832432 /nfs/dbraw/zinc/83/24/32/1072832432.db2.gz XRDKKAJGPSJJNN-UHFFFAOYSA-N 0 0 439.582 -0.305 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)Cc2occc2C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000498346404 1072833806 /nfs/dbraw/zinc/83/38/06/1072833806.db2.gz WSLNQFFGDUHKMT-KBPBESRZSA-N 0 0 446.460 -0.260 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)Cc2occc2C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000498346405 1072833763 /nfs/dbraw/zinc/83/37/63/1072833763.db2.gz WSLNQFFGDUHKMT-KGLIPLIRSA-N 0 0 446.460 -0.260 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)c2ccoc2CC(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000498346406 1072833632 /nfs/dbraw/zinc/83/36/32/1072833632.db2.gz WSLNQFFGDUHKMT-UONOGXRCSA-N 0 0 446.460 -0.260 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)Cc2occc2C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000498346407 1072833779 /nfs/dbraw/zinc/83/37/79/1072833779.db2.gz WSLNQFFGDUHKMT-ZIAGYGMSSA-N 0 0 446.460 -0.260 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cs1 ZINC000498347248 1072179933 /nfs/dbraw/zinc/17/99/33/1072179933.db2.gz WGUCQHGISWIRLL-UHFFFAOYSA-N 0 0 444.516 -0.232 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@H]1COCCN1CC1CCC1 ZINC000498356829 1072834290 /nfs/dbraw/zinc/83/42/90/1072834290.db2.gz VHBVOYSUUHNDMC-GOSISDBHSA-N 0 0 430.571 -0.355 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@@H]1COCCN1CC1CCC1 ZINC000498356830 1072834204 /nfs/dbraw/zinc/83/42/04/1072834204.db2.gz VHBVOYSUUHNDMC-SFHVURJKSA-N 0 0 430.571 -0.355 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(Cc3cccnc3)[C@H]3CCS(=O)(=O)C3)nc2n(C)c1=O ZINC000498363918 1072834410 /nfs/dbraw/zinc/83/44/10/1072834410.db2.gz NPVFDBQLDUYZFK-AWEZNQCLSA-N 0 0 443.485 -0.143 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(Cc3cccnc3)[C@@H]3CCS(=O)(=O)C3)nc2n(C)c1=O ZINC000498363919 1072834277 /nfs/dbraw/zinc/83/42/77/1072834277.db2.gz NPVFDBQLDUYZFK-CQSZACIVSA-N 0 0 443.485 -0.143 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C3CCN(c4ccc5nncn5n4)CC3)cnc2n(C)c1=O ZINC000498365158 1072201427 /nfs/dbraw/zinc/20/14/27/1072201427.db2.gz FAMIVMMNXKDGDP-UHFFFAOYSA-N 0 0 435.448 -0.075 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000498377783 1072835450 /nfs/dbraw/zinc/83/54/50/1072835450.db2.gz NVWIXKFLXDOICE-UHFFFAOYSA-N 0 0 449.533 -0.137 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)NCC(=O)N2CCCC2)cc1 ZINC000498386928 1072836654 /nfs/dbraw/zinc/83/66/54/1072836654.db2.gz VVMXALPZVJZDTP-UHFFFAOYSA-N 0 0 431.536 -0.410 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@H]1COCCN1C1CCCC1 ZINC000498387921 1072836509 /nfs/dbraw/zinc/83/65/09/1072836509.db2.gz NFIRYCVNKSGZFX-GOSISDBHSA-N 0 0 430.571 -0.213 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@@H]1COCCN1C1CCCC1 ZINC000498387922 1072836421 /nfs/dbraw/zinc/83/64/21/1072836421.db2.gz NFIRYCVNKSGZFX-SFHVURJKSA-N 0 0 430.571 -0.213 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CS(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000498389333 1072225503 /nfs/dbraw/zinc/22/55/03/1072225503.db2.gz GSVSZIOEAUIPJM-INIZCTEOSA-N 0 0 445.563 -0.021 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CS(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000498389334 1072225482 /nfs/dbraw/zinc/22/54/82/1072225482.db2.gz GSVSZIOEAUIPJM-MRXNPFEDSA-N 0 0 445.563 -0.021 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)NCCCN2CCCC2=O)cc1 ZINC000498395571 1072837185 /nfs/dbraw/zinc/83/71/85/1072837185.db2.gz CZLYDCWNOZTRME-UHFFFAOYSA-N 0 0 445.563 -0.020 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000498397233 1072233705 /nfs/dbraw/zinc/23/37/05/1072233705.db2.gz LZUQTRJVGSNTRE-UHFFFAOYSA-N 0 0 433.552 -0.117 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)C1=O ZINC000498397877 1072837786 /nfs/dbraw/zinc/83/77/86/1072837786.db2.gz SJQUJGBFLLVUNA-GFCCVEGCSA-N 0 0 426.426 -0.484 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)C1=O ZINC000498397880 1072837850 /nfs/dbraw/zinc/83/78/50/1072837850.db2.gz SJQUJGBFLLVUNA-LBPRGKRZSA-N 0 0 426.426 -0.484 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000498399175 1072837712 /nfs/dbraw/zinc/83/77/12/1072837712.db2.gz GMNBDRNVXHZRBO-AWEZNQCLSA-N 0 0 431.536 -0.424 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000498399180 1072837744 /nfs/dbraw/zinc/83/77/44/1072837744.db2.gz GMNBDRNVXHZRBO-CQSZACIVSA-N 0 0 431.536 -0.424 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCCNC(=O)CN1C(=O)C(=O)N(C)C1=O)CC2 ZINC000498401881 1072838256 /nfs/dbraw/zinc/83/82/56/1072838256.db2.gz ORAZHIBTNSOVMP-UHFFFAOYSA-N 0 0 446.460 -0.095 20 0 IBADRN CC(=O)N1CCN(C(=O)CS(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000498407027 1072838825 /nfs/dbraw/zinc/83/88/25/1072838825.db2.gz UTIJRXVESVHANZ-UHFFFAOYSA-N 0 0 431.536 -0.458 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000498410262 1072838110 /nfs/dbraw/zinc/83/81/10/1072838110.db2.gz OHBISNIJTFJPAZ-AWEZNQCLSA-N 0 0 445.563 -0.069 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000498410263 1072838394 /nfs/dbraw/zinc/83/83/94/1072838394.db2.gz OHBISNIJTFJPAZ-CQSZACIVSA-N 0 0 445.563 -0.069 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000498411757 1072246789 /nfs/dbraw/zinc/24/67/89/1072246789.db2.gz GBAZVMUWFXXOLT-UHFFFAOYSA-N 0 0 428.511 -0.653 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000498414979 1072839719 /nfs/dbraw/zinc/83/97/19/1072839719.db2.gz UCFYCMLNIQFINF-UHFFFAOYSA-N 0 0 443.522 -0.107 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000498416009 1072251057 /nfs/dbraw/zinc/25/10/57/1072251057.db2.gz VHSQACOOQBEDGP-UHFFFAOYSA-N 0 0 443.522 -0.107 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000498416145 1072250934 /nfs/dbraw/zinc/25/09/34/1072250934.db2.gz WVUVJHBRSHNVMQ-UHFFFAOYSA-N 0 0 428.511 -0.171 20 0 IBADRN O=C(Nc1cccnc1OCC(F)F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000498417779 1072839921 /nfs/dbraw/zinc/83/99/21/1072839921.db2.gz CVKZNXWASWSMKE-UHFFFAOYSA-N 0 0 425.392 -0.387 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1S(C)(=O)=O ZINC000498419125 1072838886 /nfs/dbraw/zinc/83/88/86/1072838886.db2.gz LECZQRNCMYSXRI-UHFFFAOYSA-N 0 0 436.490 -0.714 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)ccc1S(C)(=O)=O ZINC000498419148 1072839323 /nfs/dbraw/zinc/83/93/23/1072839323.db2.gz LHGPMVACFFENQZ-UHFFFAOYSA-N 0 0 449.576 -0.168 20 0 IBADRN O=C(Nc1cccnc1OCC(F)F)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000498420602 1072839347 /nfs/dbraw/zinc/83/93/47/1072839347.db2.gz SDAFDRBBBIRSSV-UHFFFAOYSA-N 0 0 439.419 -0.043 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000498424557 1072839696 /nfs/dbraw/zinc/83/96/96/1072839696.db2.gz HUJWAZKPDOXVCK-ARFHVFGLSA-N 0 0 431.555 -0.341 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000498424558 1072839864 /nfs/dbraw/zinc/83/98/64/1072839864.db2.gz HUJWAZKPDOXVCK-BZUAXINKSA-N 0 0 431.555 -0.341 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000498424559 1072839897 /nfs/dbraw/zinc/83/98/97/1072839897.db2.gz HUJWAZKPDOXVCK-HRCADAONSA-N 0 0 431.555 -0.341 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000498424560 1072839908 /nfs/dbraw/zinc/83/99/08/1072839908.db2.gz HUJWAZKPDOXVCK-OWCLPIDISA-N 0 0 431.555 -0.341 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)ccc1S(C)(=O)=O ZINC000498427455 1072840377 /nfs/dbraw/zinc/84/03/77/1072840377.db2.gz KELQYELGDILLFZ-UHFFFAOYSA-N 0 0 433.490 -0.220 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc1 ZINC000498427899 1072841128 /nfs/dbraw/zinc/84/11/28/1072841128.db2.gz BNYJOXKRGXAFKV-UHFFFAOYSA-N 0 0 434.540 -0.385 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000498429029 1072839872 /nfs/dbraw/zinc/83/98/72/1072839872.db2.gz IALNKCGCLQCPGZ-INIZCTEOSA-N 0 0 442.538 -0.535 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000498429032 1072839836 /nfs/dbraw/zinc/83/98/36/1072839836.db2.gz IALNKCGCLQCPGZ-MRXNPFEDSA-N 0 0 442.538 -0.535 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC[C@H](O)COC)c2)CC1 ZINC000498429354 1072840943 /nfs/dbraw/zinc/84/09/43/1072840943.db2.gz IJTAPEIBOKAXAL-INIZCTEOSA-N 0 0 442.538 -0.535 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC[C@@H](O)COC)c2)CC1 ZINC000498429355 1072841110 /nfs/dbraw/zinc/84/11/10/1072841110.db2.gz IJTAPEIBOKAXAL-MRXNPFEDSA-N 0 0 442.538 -0.535 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000498430196 1072260406 /nfs/dbraw/zinc/26/04/06/1072260406.db2.gz MQKWZRQUYNFWNP-IIAWOOMASA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000498430197 1072260270 /nfs/dbraw/zinc/26/02/70/1072260270.db2.gz MQKWZRQUYNFWNP-IJEWVQPXSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000498430198 1072260203 /nfs/dbraw/zinc/26/02/03/1072260203.db2.gz MQKWZRQUYNFWNP-LZWOXQAQSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000498430199 1072260310 /nfs/dbraw/zinc/26/03/10/1072260310.db2.gz MQKWZRQUYNFWNP-OFQRWUPVSA-N 0 0 443.522 -0.063 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)c(C)c2)CC1 ZINC000498439879 1072841421 /nfs/dbraw/zinc/84/14/21/1072841421.db2.gz IYXWDHPCJYEUTO-UHFFFAOYSA-N 0 0 440.522 -0.756 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccnc2OCC(F)F)CC1 ZINC000498439900 1072841394 /nfs/dbraw/zinc/84/13/94/1072841394.db2.gz JGCKSWSPDKFUOB-UHFFFAOYSA-N 0 0 429.424 -0.429 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccnc2OCC(F)F)CC1 ZINC000498440212 1072841443 /nfs/dbraw/zinc/84/14/43/1072841443.db2.gz GYGLLUIYWOVXII-UHFFFAOYSA-N 0 0 441.435 -0.333 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000498441838 1072841387 /nfs/dbraw/zinc/84/13/87/1072841387.db2.gz QOIYEFXZPUXMNG-UHFFFAOYSA-N 0 0 438.503 -0.542 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000498442233 1072842468 /nfs/dbraw/zinc/84/24/68/1072842468.db2.gz CUSVGSZVZQFCKT-HNNXBMFYSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000498442234 1072842527 /nfs/dbraw/zinc/84/25/27/1072842527.db2.gz CUSVGSZVZQFCKT-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)ccc1S(C)(=O)=O ZINC000498443739 1072842604 /nfs/dbraw/zinc/84/26/04/1072842604.db2.gz NPXBHHFZZXZFRA-UHFFFAOYSA-N 0 0 440.503 -0.173 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000498444827 1072843034 /nfs/dbraw/zinc/84/30/34/1072843034.db2.gz JFPTUMQWXIYYIF-CYBMUJFWSA-N 0 0 445.494 -0.832 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000498444831 1072265948 /nfs/dbraw/zinc/26/59/48/1072265948.db2.gz JFPTUMQWXIYYIF-ZDUSSCGKSA-N 0 0 445.494 -0.832 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC000498444952 1072843001 /nfs/dbraw/zinc/84/30/01/1072843001.db2.gz PZEJQVUXPYXZIG-UHFFFAOYSA-N 0 0 439.494 -0.383 20 0 IBADRN COc1cc(Br)cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000498445052 1072265872 /nfs/dbraw/zinc/26/58/72/1072265872.db2.gz QKNYEPHBFWESSL-UHFFFAOYSA-N 0 0 449.327 -0.171 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(C)=O)C3(CCCCC3)C2)cn1 ZINC000498445255 1072843084 /nfs/dbraw/zinc/84/30/84/1072843084.db2.gz VKUOLGUALOIBRV-UHFFFAOYSA-N 0 0 448.524 -0.022 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cccnc2OCC(F)F)CC1 ZINC000498445823 1072843102 /nfs/dbraw/zinc/84/31/02/1072843102.db2.gz SLZUUTRZBHOWML-UHFFFAOYSA-N 0 0 427.408 -0.139 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000498447226 1072843392 /nfs/dbraw/zinc/84/33/92/1072843392.db2.gz UESUWTHBGGWRKF-CYBMUJFWSA-N 0 0 433.458 -0.701 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000498447228 1072843547 /nfs/dbraw/zinc/84/35/47/1072843547.db2.gz UESUWTHBGGWRKF-ZDUSSCGKSA-N 0 0 433.458 -0.701 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000498450860 1072842513 /nfs/dbraw/zinc/84/25/13/1072842513.db2.gz RBSUYVIDCDYZNV-UHFFFAOYSA-N 0 0 425.442 -0.165 20 0 IBADRN O=C(CN1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1)N1CCCC1 ZINC000498456682 1072843443 /nfs/dbraw/zinc/84/34/43/1072843443.db2.gz HEIMGWCTMYBOKA-FQEVSTJZSA-N 0 0 449.596 -0.144 20 0 IBADRN O=C(CN1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1)N1CCCC1 ZINC000498456683 1072843493 /nfs/dbraw/zinc/84/34/93/1072843493.db2.gz HEIMGWCTMYBOKA-HXUWFJFHSA-N 0 0 449.596 -0.144 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCc1ccn(C)n1 ZINC000498458771 1072843560 /nfs/dbraw/zinc/84/35/60/1072843560.db2.gz QMNNUIKXCZLHNK-UHFFFAOYSA-N 0 0 437.478 -0.296 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000498458978 1072271289 /nfs/dbraw/zinc/27/12/89/1072271289.db2.gz RJIXFOCGXRYLJS-HNNXBMFYSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000498458979 1072271395 /nfs/dbraw/zinc/27/13/95/1072271395.db2.gz RJIXFOCGXRYLJS-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000498459571 1072271540 /nfs/dbraw/zinc/27/15/40/1072271540.db2.gz VMLFFCUHDYNJSS-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000498459572 1072844411 /nfs/dbraw/zinc/84/44/11/1072844411.db2.gz VMLFFCUHDYNJSS-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000498462789 1072844481 /nfs/dbraw/zinc/84/44/81/1072844481.db2.gz SZASYJZCCIYUSB-KRWDZBQOSA-N 0 0 432.477 -0.048 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000498462790 1072844427 /nfs/dbraw/zinc/84/44/27/1072844427.db2.gz SZASYJZCCIYUSB-QGZVFWFLSA-N 0 0 432.477 -0.048 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccnc2OCC(F)F)CC1 ZINC000498464943 1072273541 /nfs/dbraw/zinc/27/35/41/1072273541.db2.gz MTVBRKYVVBBDPB-UHFFFAOYSA-N 0 0 427.408 -0.141 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1S(C)(=O)=O ZINC000498469403 1072845263 /nfs/dbraw/zinc/84/52/63/1072845263.db2.gz WRZDZWKESFKSNN-UHFFFAOYSA-N 0 0 438.506 -0.468 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)Nc1cccnc1OCC(F)F ZINC000498472260 1072845418 /nfs/dbraw/zinc/84/54/18/1072845418.db2.gz KPAUZGODYHDHBD-UHFFFAOYSA-N 0 0 433.393 -0.112 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@H](C)NS(=O)(=O)c1c(C)noc1C ZINC000498472673 1072845547 /nfs/dbraw/zinc/84/55/47/1072845547.db2.gz LKQPRHGJYXZVLT-ZDUSSCGKSA-N 0 0 432.499 -0.708 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)[C@@H](C)c1ccccc1 ZINC000498474958 1072847257 /nfs/dbraw/zinc/84/72/57/1072847257.db2.gz RHOGWBHMYJYYOR-CABCVRRESA-N 0 0 428.493 -0.216 20 0 IBADRN C[C@H](c1ccccc1)[C@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000498474960 1072847200 /nfs/dbraw/zinc/84/72/00/1072847200.db2.gz RHOGWBHMYJYYOR-GJZGRUSLSA-N 0 0 428.493 -0.216 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)[C@@H](C)c1ccccc1 ZINC000498474961 1072846980 /nfs/dbraw/zinc/84/69/80/1072846980.db2.gz RHOGWBHMYJYYOR-HUUCEWRRSA-N 0 0 428.493 -0.216 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)[C@H](C)c1ccccc1 ZINC000498474962 1072847009 /nfs/dbraw/zinc/84/70/09/1072847009.db2.gz RHOGWBHMYJYYOR-LSDHHAIUSA-N 0 0 428.493 -0.216 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)cc1 ZINC000498475912 1072846116 /nfs/dbraw/zinc/84/61/16/1072846116.db2.gz YJIMTXYLRNMITD-UHFFFAOYSA-N 0 0 434.540 -0.256 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000498478996 1072846132 /nfs/dbraw/zinc/84/61/32/1072846132.db2.gz FBDIMNFZRYCTRP-CYBMUJFWSA-N 0 0 449.913 -0.187 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000498478997 1072846694 /nfs/dbraw/zinc/84/66/94/1072846694.db2.gz FBDIMNFZRYCTRP-ZDUSSCGKSA-N 0 0 449.913 -0.187 20 0 IBADRN COC[C@@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000498480559 1072846678 /nfs/dbraw/zinc/84/66/78/1072846678.db2.gz TXMCZEFYJPHXKE-AWEZNQCLSA-N 0 0 429.495 -0.532 20 0 IBADRN COC[C@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000498480560 1072846445 /nfs/dbraw/zinc/84/64/45/1072846445.db2.gz TXMCZEFYJPHXKE-CQSZACIVSA-N 0 0 429.495 -0.532 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NC2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1=O ZINC000498486740 1072280389 /nfs/dbraw/zinc/28/03/89/1072280389.db2.gz UDULDKADARSUQG-HNNXBMFYSA-N 0 0 430.417 -0.646 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NC2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1=O ZINC000498486741 1072848260 /nfs/dbraw/zinc/84/82/60/1072848260.db2.gz UDULDKADARSUQG-OAHLLOKOSA-N 0 0 430.417 -0.646 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000498487217 1072848547 /nfs/dbraw/zinc/84/85/47/1072848547.db2.gz JLZBGPPAAQQRNR-UHFFFAOYSA-N 0 0 433.552 -0.125 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@H](C)c1ccccc1 ZINC000498493988 1072281858 /nfs/dbraw/zinc/28/18/58/1072281858.db2.gz ZMYUMOITTSJFEM-DLBZAZTESA-N 0 0 430.505 -0.176 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@@H](C)c1ccccc1 ZINC000498493990 1072282117 /nfs/dbraw/zinc/28/21/17/1072282117.db2.gz ZMYUMOITTSJFEM-IAGOWNOFSA-N 0 0 430.505 -0.176 20 0 IBADRN C[C@H](c1ccccc1)[C@H](C)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000498493991 1072282082 /nfs/dbraw/zinc/28/20/82/1072282082.db2.gz ZMYUMOITTSJFEM-IRXDYDNUSA-N 0 0 430.505 -0.176 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@@H](C)c1ccccc1 ZINC000498493993 1072282128 /nfs/dbraw/zinc/28/21/28/1072282128.db2.gz ZMYUMOITTSJFEM-SJORKVTESA-N 0 0 430.505 -0.176 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cc1S(N)(=O)=O ZINC000498494526 1072847616 /nfs/dbraw/zinc/84/76/16/1072847616.db2.gz ICGLLKIEMIJKMG-HNNXBMFYSA-N 0 0 442.513 -0.211 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cc1S(N)(=O)=O ZINC000498494527 1072847740 /nfs/dbraw/zinc/84/77/40/1072847740.db2.gz ICGLLKIEMIJKMG-OAHLLOKOSA-N 0 0 442.513 -0.211 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(CCOC)CC(=O)N(C)C)c1 ZINC000498494612 1072281969 /nfs/dbraw/zinc/28/19/69/1072281969.db2.gz AYFVRZANKXUDLM-UHFFFAOYSA-N 0 0 430.527 -0.558 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000498496334 1072282646 /nfs/dbraw/zinc/28/26/46/1072282646.db2.gz NPNBYHDFNDFOOF-HNNXBMFYSA-N 0 0 445.505 -0.524 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000498496339 1072849130 /nfs/dbraw/zinc/84/91/30/1072849130.db2.gz NPNBYHDFNDFOOF-OAHLLOKOSA-N 0 0 445.505 -0.524 20 0 IBADRN CC(C)(C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)S(C)(=O)=O ZINC000498497542 1072849648 /nfs/dbraw/zinc/84/96/48/1072849648.db2.gz VRPLNKZISOJHDL-UHFFFAOYSA-N 0 0 445.519 -0.326 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(C)CC1 ZINC000498497872 1072849724 /nfs/dbraw/zinc/84/97/24/1072849724.db2.gz SLBHTJFPKMHNLJ-DZGCQCFKSA-N 0 0 427.527 -0.277 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H]1C(=O)N1CCN(C)CC1 ZINC000498497873 1072283644 /nfs/dbraw/zinc/28/36/44/1072283644.db2.gz SLBHTJFPKMHNLJ-ZFWWWQNUSA-N 0 0 427.527 -0.277 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cc1 ZINC000498498343 1072849814 /nfs/dbraw/zinc/84/98/14/1072849814.db2.gz YLCSALJGNVCNMR-GOSISDBHSA-N 0 0 438.550 -0.010 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cc1 ZINC000498498344 1072849778 /nfs/dbraw/zinc/84/97/78/1072849778.db2.gz YLCSALJGNVCNMR-SFHVURJKSA-N 0 0 438.550 -0.010 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCCC3(C)C)CC2)CC1 ZINC000498506956 1072285426 /nfs/dbraw/zinc/28/54/26/1072285426.db2.gz XNYZECBMWIYFMP-INIZCTEOSA-N 0 0 429.587 -0.292 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCCC3(C)C)CC2)CC1 ZINC000498506960 1072285365 /nfs/dbraw/zinc/28/53/65/1072285365.db2.gz XNYZECBMWIYFMP-MRXNPFEDSA-N 0 0 429.587 -0.292 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000498526258 1072853829 /nfs/dbraw/zinc/85/38/29/1072853829.db2.gz IECMWQHDROJADQ-AWEZNQCLSA-N 0 0 440.547 -0.149 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000498526259 1072853807 /nfs/dbraw/zinc/85/38/07/1072853807.db2.gz IECMWQHDROJADQ-CQSZACIVSA-N 0 0 440.547 -0.149 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000498527862 1072853740 /nfs/dbraw/zinc/85/37/40/1072853740.db2.gz LDKUQGXFWWCVIN-INIZCTEOSA-N 0 0 442.472 -0.031 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000498527864 1072853553 /nfs/dbraw/zinc/85/35/53/1072853553.db2.gz LDKUQGXFWWCVIN-MRXNPFEDSA-N 0 0 442.472 -0.031 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000498527917 1072288193 /nfs/dbraw/zinc/28/81/93/1072288193.db2.gz SABDMLVCJKIDBX-UHFFFAOYSA-N 0 0 446.529 -0.021 20 0 IBADRN O=C(c1ccc2c(c1)NC(=O)CNC2=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000498533494 1072854980 /nfs/dbraw/zinc/85/49/80/1072854980.db2.gz HOPZMEJQKKVHLJ-AWEZNQCLSA-N 0 0 436.490 -0.365 20 0 IBADRN O=C(c1ccc2c(c1)NC(=O)CNC2=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000498533495 1072855095 /nfs/dbraw/zinc/85/50/95/1072855095.db2.gz HOPZMEJQKKVHLJ-CQSZACIVSA-N 0 0 436.490 -0.365 20 0 IBADRN CC1(C)CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000498533688 1072288678 /nfs/dbraw/zinc/28/86/78/1072288678.db2.gz ZVIRURUQHZYLRJ-CYBMUJFWSA-N 0 0 426.495 -0.094 20 0 IBADRN CC1(C)CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000498533689 1072289158 /nfs/dbraw/zinc/28/91/58/1072289158.db2.gz ZVIRURUQHZYLRJ-ZDUSSCGKSA-N 0 0 426.495 -0.094 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000498534490 1072289633 /nfs/dbraw/zinc/28/96/33/1072289633.db2.gz PADCIZANOGBQAY-HRCADAONSA-N 0 0 425.507 -0.409 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000498534504 1072289586 /nfs/dbraw/zinc/28/95/86/1072289586.db2.gz PADCIZANOGBQAY-OAGGEKHMSA-N 0 0 425.507 -0.409 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC(=O)N1C ZINC000498534696 1072855002 /nfs/dbraw/zinc/85/50/02/1072855002.db2.gz QJQRZQITCRHAKN-MPGHIAIKSA-N 0 0 439.538 -0.408 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC(=O)N1C ZINC000498534697 1072854965 /nfs/dbraw/zinc/85/49/65/1072854965.db2.gz QJQRZQITCRHAKN-RLFYNMQTSA-N 0 0 439.538 -0.408 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC(=O)N1C ZINC000498534698 1072855175 /nfs/dbraw/zinc/85/51/75/1072855175.db2.gz QJQRZQITCRHAKN-RVKKMQEKSA-N 0 0 439.538 -0.408 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC(=O)N1C ZINC000498534699 1072855082 /nfs/dbraw/zinc/85/50/82/1072855082.db2.gz QJQRZQITCRHAKN-VKJFTORMSA-N 0 0 439.538 -0.408 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000498534840 1072855041 /nfs/dbraw/zinc/85/50/41/1072855041.db2.gz QLWVGJMLEHHRDL-FPCVCCKLSA-N 0 0 431.559 -0.418 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000498534845 1072855443 /nfs/dbraw/zinc/85/54/43/1072855443.db2.gz QLWVGJMLEHHRDL-JONQDZQNSA-N 0 0 431.559 -0.418 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000498534847 1072855714 /nfs/dbraw/zinc/85/57/14/1072855714.db2.gz QLWVGJMLEHHRDL-QKPAOTATSA-N 0 0 431.559 -0.418 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000498534849 1072855479 /nfs/dbraw/zinc/85/54/79/1072855479.db2.gz QLWVGJMLEHHRDL-QXSJWSMHSA-N 0 0 431.559 -0.418 20 0 IBADRN COCCOCCc1nc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c[nH]1 ZINC000498535836 1072855680 /nfs/dbraw/zinc/85/56/80/1072855680.db2.gz UKAPGBDIHKBYGG-HNNXBMFYSA-N 0 0 430.527 -0.118 20 0 IBADRN COCCOCCc1nc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c[nH]1 ZINC000498535837 1072855546 /nfs/dbraw/zinc/85/55/46/1072855546.db2.gz UKAPGBDIHKBYGG-OAHLLOKOSA-N 0 0 430.527 -0.118 20 0 IBADRN COC(=O)[C@H](CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccc(O)cc1 ZINC000498538018 1072855922 /nfs/dbraw/zinc/85/59/22/1072855922.db2.gz VJPQZTWRBVGRSL-AWEZNQCLSA-N 0 0 443.460 -0.323 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccc(O)cc1 ZINC000498538023 1072856025 /nfs/dbraw/zinc/85/60/25/1072856025.db2.gz VJPQZTWRBVGRSL-CQSZACIVSA-N 0 0 443.460 -0.323 20 0 IBADRN Cn1ccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000498538437 1072854775 /nfs/dbraw/zinc/85/47/75/1072854775.db2.gz LKWGVGPSARPPJL-UHFFFAOYSA-N 0 0 434.478 -0.033 20 0 IBADRN Cn1cc(S(=O)(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000498540442 1072856097 /nfs/dbraw/zinc/85/60/97/1072856097.db2.gz CDXBDKYXBIKMHH-UHFFFAOYSA-N 0 0 435.506 -0.899 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000498541506 1072855987 /nfs/dbraw/zinc/85/59/87/1072855987.db2.gz WVHKZZVKCMLYJG-NEPJUHHUSA-N 0 0 427.483 -0.691 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000498541508 1072856193 /nfs/dbraw/zinc/85/61/93/1072856193.db2.gz WVHKZZVKCMLYJG-NWDGAFQWSA-N 0 0 427.483 -0.691 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000498541509 1072856663 /nfs/dbraw/zinc/85/66/63/1072856663.db2.gz WVHKZZVKCMLYJG-RYUDHWBXSA-N 0 0 427.483 -0.691 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000498541510 1072856434 /nfs/dbraw/zinc/85/64/34/1072856434.db2.gz WVHKZZVKCMLYJG-VXGBXAGGSA-N 0 0 427.483 -0.691 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H](C(=O)Nc3cc[nH]n3)c3ccccc3)c2c(=O)n(C)c1=O ZINC000498546385 1072856264 /nfs/dbraw/zinc/85/62/64/1072856264.db2.gz ZHCKIQNCJFFMSA-HNNXBMFYSA-N 0 0 436.432 -0.347 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H](C(=O)Nc3cc[nH]n3)c3ccccc3)c2c(=O)n(C)c1=O ZINC000498546386 1073354496 /nfs/dbraw/zinc/35/44/96/1073354496.db2.gz ZHCKIQNCJFFMSA-OAHLLOKOSA-N 0 0 436.432 -0.347 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccc(O)cc1 ZINC000498552674 1072857599 /nfs/dbraw/zinc/85/75/99/1072857599.db2.gz GPSLALSCVOFONE-CYBMUJFWSA-N 0 0 429.433 -0.713 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccc(O)cc1 ZINC000498552675 1072857616 /nfs/dbraw/zinc/85/76/16/1072857616.db2.gz GPSLALSCVOFONE-ZDUSSCGKSA-N 0 0 429.433 -0.713 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCC3(C(N)=O)CCOCC3)CC2)c1 ZINC000498556320 1072857519 /nfs/dbraw/zinc/85/75/19/1072857519.db2.gz HMAIPOBQZYKFGX-UHFFFAOYSA-N 0 0 438.550 -0.155 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Cc1ccc(O)cc1 ZINC000498560173 1072860058 /nfs/dbraw/zinc/86/00/58/1072860058.db2.gz PLOROCNIPSBTLT-ARFHVFGLSA-N 0 0 438.502 -0.124 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Cc1ccc(O)cc1 ZINC000498560174 1072860149 /nfs/dbraw/zinc/86/01/49/1072860149.db2.gz PLOROCNIPSBTLT-HRCADAONSA-N 0 0 438.502 -0.124 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Cc1ccc(O)cc1 ZINC000498560175 1072860187 /nfs/dbraw/zinc/86/01/87/1072860187.db2.gz PLOROCNIPSBTLT-JYJNAYRXSA-N 0 0 438.502 -0.124 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Cc1ccc(O)cc1 ZINC000498560176 1072860218 /nfs/dbraw/zinc/86/02/18/1072860218.db2.gz PLOROCNIPSBTLT-XHSDSOJGSA-N 0 0 438.502 -0.124 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000498560243 1072290644 /nfs/dbraw/zinc/29/06/44/1072290644.db2.gz NCUQTBMWQSOFGH-UHFFFAOYSA-N 0 0 439.538 -0.160 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000498560547 1072860234 /nfs/dbraw/zinc/86/02/34/1072860234.db2.gz RSHLCVIDSMBHKQ-UHFFFAOYSA-N 0 0 429.543 -0.451 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000498561064 1072858300 /nfs/dbraw/zinc/85/83/00/1072858300.db2.gz VQBLRHZNOXHENO-UHFFFAOYSA-N 0 0 438.487 -0.293 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1C ZINC000498563652 1072858649 /nfs/dbraw/zinc/85/86/49/1072858649.db2.gz OKCBZXMLAMAKMV-UHFFFAOYSA-N 0 0 434.522 -0.203 20 0 IBADRN C[C@@H](NC(=O)CCn1cc(Br)ccc1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000498564394 1072858574 /nfs/dbraw/zinc/85/85/74/1072858574.db2.gz STHDETQKWFJGFQ-LLVKDONJSA-N 0 0 434.312 -0.237 20 0 IBADRN C[C@H](NC(=O)CCn1cc(Br)ccc1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000498564395 1072858549 /nfs/dbraw/zinc/85/85/49/1072858549.db2.gz STHDETQKWFJGFQ-NSHDSACASA-N 0 0 434.312 -0.237 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)N[C@@H]1CNC(=O)C1 ZINC000498580742 1072861699 /nfs/dbraw/zinc/86/16/99/1072861699.db2.gz OJORAUUCVJDFCD-AWEZNQCLSA-N 0 0 442.925 -0.042 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)N[C@H]1CNC(=O)C1 ZINC000498580743 1072861606 /nfs/dbraw/zinc/86/16/06/1072861606.db2.gz OJORAUUCVJDFCD-CQSZACIVSA-N 0 0 442.925 -0.042 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000498584134 1072861543 /nfs/dbraw/zinc/86/15/43/1072861543.db2.gz PNOIBVWMIHLPFY-UHFFFAOYSA-N 0 0 435.506 -0.061 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(Br)s2)CC1 ZINC000498584926 1072861577 /nfs/dbraw/zinc/86/15/77/1072861577.db2.gz PZBFRJGFEQKPNV-UHFFFAOYSA-N 0 0 446.370 -0.107 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498588636 1072864271 /nfs/dbraw/zinc/86/42/71/1072864271.db2.gz CUYFEJDZYJFVSB-CABCVRRESA-N 0 0 442.472 -0.323 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498588637 1072305460 /nfs/dbraw/zinc/30/54/60/1072305460.db2.gz CUYFEJDZYJFVSB-GJZGRUSLSA-N 0 0 442.472 -0.323 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498588638 1072305511 /nfs/dbraw/zinc/30/55/11/1072305511.db2.gz CUYFEJDZYJFVSB-HUUCEWRRSA-N 0 0 442.472 -0.323 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498588639 1072305474 /nfs/dbraw/zinc/30/54/74/1072305474.db2.gz CUYFEJDZYJFVSB-LSDHHAIUSA-N 0 0 442.472 -0.323 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000498589300 1072306538 /nfs/dbraw/zinc/30/65/38/1072306538.db2.gz NDPXFXTWYFBKQE-UHFFFAOYSA-N 0 0 429.568 -0.354 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCCN2C(=O)CCC2=O)ccc1F ZINC000498592773 1072861679 /nfs/dbraw/zinc/86/16/79/1072861679.db2.gz MKQGUTOJZHHGKM-UHFFFAOYSA-N 0 0 428.442 -0.330 20 0 IBADRN COCCN1C[C@@H](C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000498593521 1072862718 /nfs/dbraw/zinc/86/27/18/1072862718.db2.gz QOEYKZGYRNJGOP-INIZCTEOSA-N 0 0 425.507 -0.181 20 0 IBADRN COCCN1C[C@H](C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000498593522 1072863068 /nfs/dbraw/zinc/86/30/68/1072863068.db2.gz QOEYKZGYRNJGOP-MRXNPFEDSA-N 0 0 425.507 -0.181 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cc2n(n1)CCCC2)N1CCN(c2ncccn2)CC1 ZINC000498594593 1072863147 /nfs/dbraw/zinc/86/31/47/1072863147.db2.gz XMTRHIGMCOFCLY-UHFFFAOYSA-N 0 0 426.481 -0.197 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CNC(=O)C1)c(=O)n2Cc1ccccc1 ZINC000498596264 1072864537 /nfs/dbraw/zinc/86/45/37/1072864537.db2.gz NUXZNYWWVAYRBE-HNNXBMFYSA-N 0 0 440.460 -0.941 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H]1CNC(=O)C1)c(=O)n2Cc1ccccc1 ZINC000498596265 1072864390 /nfs/dbraw/zinc/86/43/90/1072864390.db2.gz NUXZNYWWVAYRBE-OAHLLOKOSA-N 0 0 440.460 -0.941 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3CNC(=O)C3)CC2)c1 ZINC000498596941 1072864280 /nfs/dbraw/zinc/86/42/80/1072864280.db2.gz YLYGCNKOUZFWHU-INIZCTEOSA-N 0 0 436.534 -0.079 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H]3CNC(=O)C3)CC2)c1 ZINC000498596942 1072864359 /nfs/dbraw/zinc/86/43/59/1072864359.db2.gz YLYGCNKOUZFWHU-MRXNPFEDSA-N 0 0 436.534 -0.079 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)cc1 ZINC000498597226 1072350278 /nfs/dbraw/zinc/35/02/78/1072350278.db2.gz FOJXZDKQVJLWFE-UHFFFAOYSA-N 0 0 437.503 -0.153 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N2CCN(C)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000498598924 1072865668 /nfs/dbraw/zinc/86/56/68/1072865668.db2.gz UIIIWPASKKZHPM-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(Cl)cc2c1OCC(=O)N2 ZINC000498601190 1072868045 /nfs/dbraw/zinc/86/80/45/1072868045.db2.gz GHKOEPHBURZHMW-GFCCVEGCSA-N 0 0 437.840 -0.537 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(Cl)cc2c1OCC(=O)N2 ZINC000498601197 1072868030 /nfs/dbraw/zinc/86/80/30/1072868030.db2.gz GHKOEPHBURZHMW-LBPRGKRZSA-N 0 0 437.840 -0.537 20 0 IBADRN O=C(NC[C@H](O)C1CCOCC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498604188 1072865824 /nfs/dbraw/zinc/86/58/24/1072865824.db2.gz HQOAXIMVTHCITC-BXWFABGCSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(NC[C@H](O)C1CCOCC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498604517 1072865615 /nfs/dbraw/zinc/86/56/15/1072865615.db2.gz HQOAXIMVTHCITC-GJYPPUQNSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(NC[C@@H](O)C1CCOCC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498604518 1072865687 /nfs/dbraw/zinc/86/56/87/1072865687.db2.gz HQOAXIMVTHCITC-JTDSTZFVSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(NC[C@@H](O)C1CCOCC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498604519 1072865740 /nfs/dbraw/zinc/86/57/40/1072865740.db2.gz HQOAXIMVTHCITC-TXPKVOOTSA-N 0 0 431.489 -0.074 20 0 IBADRN Cn1c(CCC(=O)N[C@H]2CNC(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000498605451 1072865657 /nfs/dbraw/zinc/86/56/57/1072865657.db2.gz IQFTZHASABIYQT-CYBMUJFWSA-N 0 0 435.506 -0.469 20 0 IBADRN Cn1c(CCC(=O)N[C@@H]2CNC(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000498605452 1072865927 /nfs/dbraw/zinc/86/59/27/1072865927.db2.gz IQFTZHASABIYQT-ZDUSSCGKSA-N 0 0 435.506 -0.469 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1 ZINC000498609236 1072868443 /nfs/dbraw/zinc/86/84/43/1072868443.db2.gz XJSDOFFWDXUHCC-AWEZNQCLSA-N 0 0 448.455 -0.463 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1 ZINC000498609239 1072868416 /nfs/dbraw/zinc/86/84/16/1072868416.db2.gz XJSDOFFWDXUHCC-CQSZACIVSA-N 0 0 448.455 -0.463 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnc3)c2)CC1 ZINC000498613107 1072868516 /nfs/dbraw/zinc/86/85/16/1072868516.db2.gz CTAUUWBYGRKSJV-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)C(=O)Nc1cccc2c1CNC2=O ZINC000498614618 1072868360 /nfs/dbraw/zinc/86/83/60/1072868360.db2.gz HAIYDFSTSIKGCS-UHFFFAOYSA-N 0 0 446.485 -0.202 20 0 IBADRN CC(=O)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC12CCCCC2 ZINC000498615654 1072868453 /nfs/dbraw/zinc/86/84/53/1072868453.db2.gz XGPSLQBLQYOKEJ-UHFFFAOYSA-N 0 0 425.511 -0.215 20 0 IBADRN COC[C@@H](O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000498615939 1072868402 /nfs/dbraw/zinc/86/84/02/1072868402.db2.gz LMYPRNPCXZPZEY-INIZCTEOSA-N 0 0 445.513 -0.048 20 0 IBADRN COC[C@H](O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000498615945 1072868372 /nfs/dbraw/zinc/86/83/72/1072868372.db2.gz LMYPRNPCXZPZEY-MRXNPFEDSA-N 0 0 445.513 -0.048 20 0 IBADRN COCC(=O)N(C)CC(=O)Nc1n[nH]c2ccc(NC(=O)CN(C)C(=O)COC)cc21 ZINC000498616839 1072868532 /nfs/dbraw/zinc/86/85/32/1072868532.db2.gz BETDCJZSSDBQRB-UHFFFAOYSA-N 0 0 434.453 -0.351 20 0 IBADRN O=C(Nc1cccc(-n2ccnc2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000498618024 1072868816 /nfs/dbraw/zinc/86/88/16/1072868816.db2.gz QUEORBVEVRUPKX-UHFFFAOYSA-N 0 0 440.460 -0.660 20 0 IBADRN COC[C@@H](O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000498618051 1072868864 /nfs/dbraw/zinc/86/88/64/1072868864.db2.gz RCKOQXIUWVWUOL-INIZCTEOSA-N 0 0 427.523 -0.187 20 0 IBADRN COC[C@H](O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000498618052 1072868703 /nfs/dbraw/zinc/86/87/03/1072868703.db2.gz RCKOQXIUWVWUOL-MRXNPFEDSA-N 0 0 427.523 -0.187 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCN(c2cnn(-c3ccccc3)c(=O)c2Cl)CC1 ZINC000498618238 1072869269 /nfs/dbraw/zinc/86/92/69/1072869269.db2.gz MYTPVHOJGMUJSO-UHFFFAOYSA-N 0 0 446.895 -0.213 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000498618943 1072868944 /nfs/dbraw/zinc/86/89/44/1072868944.db2.gz UIVBXMFTCZRGTO-UHFFFAOYSA-N 0 0 448.549 -0.002 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccn(-c3ccccc3)n2)CC1 ZINC000498619666 1072869231 /nfs/dbraw/zinc/86/92/31/1072869231.db2.gz VUXPAGHHWDZNHX-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN O=C(Nc1ccn(-c2ccccc2)n1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000498619677 1074355487 /nfs/dbraw/zinc/35/54/87/1074355487.db2.gz VVINFJZMMUYBMM-UHFFFAOYSA-N 0 0 440.460 -0.660 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(c2ncccn2)CC1 ZINC000498623993 1072868324 /nfs/dbraw/zinc/86/83/24/1072868324.db2.gz DJMQJTNWBSWOSC-UHFFFAOYSA-N 0 0 430.490 -0.441 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)ccc1F ZINC000498624492 1072868392 /nfs/dbraw/zinc/86/83/92/1072868392.db2.gz SCPMZTYCVYWZPA-UHFFFAOYSA-N 0 0 440.457 -0.292 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498626060 1072869848 /nfs/dbraw/zinc/86/98/48/1072869848.db2.gz ZOQGTBHHNKSNCK-KBPBESRZSA-N 0 0 428.445 -0.713 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498626061 1072869750 /nfs/dbraw/zinc/86/97/50/1072869750.db2.gz ZOQGTBHHNKSNCK-KGLIPLIRSA-N 0 0 428.445 -0.713 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498626062 1072869881 /nfs/dbraw/zinc/86/98/81/1072869881.db2.gz ZOQGTBHHNKSNCK-UONOGXRCSA-N 0 0 428.445 -0.713 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498626063 1072869984 /nfs/dbraw/zinc/86/99/84/1072869984.db2.gz ZOQGTBHHNKSNCK-ZIAGYGMSSA-N 0 0 428.445 -0.713 20 0 IBADRN COCCN(C(=O)[C@@H]1CC(=O)N(CCOC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000498626507 1072869830 /nfs/dbraw/zinc/86/98/30/1072869830.db2.gz NYMVXOVXRDWTLK-CYBMUJFWSA-N 0 0 425.486 -0.339 20 0 IBADRN COCCN(C(=O)[C@H]1CC(=O)N(CCOC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000498626508 1072869998 /nfs/dbraw/zinc/86/99/98/1072869998.db2.gz NYMVXOVXRDWTLK-ZDUSSCGKSA-N 0 0 425.486 -0.339 20 0 IBADRN O=C(Nc1cccc(-n2ccnc2)c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000498629538 1072870033 /nfs/dbraw/zinc/87/00/33/1072870033.db2.gz IRWMULFVTYQZMB-UHFFFAOYSA-N 0 0 448.505 -0.468 20 0 IBADRN CN(CC(=O)Nc1n[nH]c2ccc(NC(=O)CN(C)S(C)(=O)=O)cc21)S(C)(=O)=O ZINC000498631199 1072869188 /nfs/dbraw/zinc/86/91/88/1072869188.db2.gz QPELHFRPHOWHMG-UHFFFAOYSA-N 0 0 446.511 -0.777 20 0 IBADRN COC[C@H](O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000498631500 1072869448 /nfs/dbraw/zinc/86/94/48/1072869448.db2.gz SLNRIPINVGIBJE-CYBMUJFWSA-N 0 0 432.495 -0.148 20 0 IBADRN COC[C@@H](O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000498631501 1072869432 /nfs/dbraw/zinc/86/94/32/1072869432.db2.gz SLNRIPINVGIBJE-ZDUSSCGKSA-N 0 0 432.495 -0.148 20 0 IBADRN COCC(=O)N1CCN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CC1 ZINC000498638103 1072869691 /nfs/dbraw/zinc/86/96/91/1072869691.db2.gz KBKQXSFMMAJERZ-UHFFFAOYSA-N 0 0 438.550 -0.236 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000498646613 1072871281 /nfs/dbraw/zinc/87/12/81/1072871281.db2.gz MFNDXHMJRJFMKD-GFCCVEGCSA-N 0 0 429.495 -0.021 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000498646614 1072871292 /nfs/dbraw/zinc/87/12/92/1072871292.db2.gz MFNDXHMJRJFMKD-LBPRGKRZSA-N 0 0 429.495 -0.021 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C[C@@H](C)C1 ZINC000498654716 1072871302 /nfs/dbraw/zinc/87/13/02/1072871302.db2.gz WCBDBEKFKORCMU-FVQHAEBGSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CC(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C[C@@H](C)C1 ZINC000498654717 1072871324 /nfs/dbraw/zinc/87/13/24/1072871324.db2.gz WCBDBEKFKORCMU-HOTGVXAUSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@H]1CC(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C[C@H](C)C1 ZINC000498654718 1072871358 /nfs/dbraw/zinc/87/13/58/1072871358.db2.gz WCBDBEKFKORCMU-HZPDHXFCSA-N 0 0 429.587 -0.436 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000498658631 1072872358 /nfs/dbraw/zinc/87/23/58/1072872358.db2.gz KMPDGVQTYLWKSX-CABCVRRESA-N 0 0 426.495 -0.419 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000498658634 1072872092 /nfs/dbraw/zinc/87/20/92/1072872092.db2.gz KMPDGVQTYLWKSX-GJZGRUSLSA-N 0 0 426.495 -0.419 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000498658636 1072872386 /nfs/dbraw/zinc/87/23/86/1072872386.db2.gz KMPDGVQTYLWKSX-HUUCEWRRSA-N 0 0 426.495 -0.419 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000498658637 1072872229 /nfs/dbraw/zinc/87/22/29/1072872229.db2.gz KMPDGVQTYLWKSX-LSDHHAIUSA-N 0 0 426.495 -0.419 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cccc1C(=O)N1CCOCC1 ZINC000498659166 1072872321 /nfs/dbraw/zinc/87/23/21/1072872321.db2.gz ITPPSVAYCKGVBY-HNNXBMFYSA-N 0 0 432.477 -0.542 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cccc1C(=O)N1CCOCC1 ZINC000498659167 1072872104 /nfs/dbraw/zinc/87/21/04/1072872104.db2.gz ITPPSVAYCKGVBY-OAHLLOKOSA-N 0 0 432.477 -0.542 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)CC1 ZINC000498659544 1072872309 /nfs/dbraw/zinc/87/23/09/1072872309.db2.gz JJAALODDFSUSDR-HNNXBMFYSA-N 0 0 448.545 -0.205 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)CC1 ZINC000498659548 1072872135 /nfs/dbraw/zinc/87/21/35/1072872135.db2.gz JJAALODDFSUSDR-OAHLLOKOSA-N 0 0 448.545 -0.205 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000498659683 1072872371 /nfs/dbraw/zinc/87/23/71/1072872371.db2.gz MLMSNMRMBNBCSZ-MSOLQXFVSA-N 0 0 429.521 -0.028 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000498659685 1072872205 /nfs/dbraw/zinc/87/22/05/1072872205.db2.gz MLMSNMRMBNBCSZ-QZTJIDSGSA-N 0 0 429.521 -0.028 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000498659688 1072872340 /nfs/dbraw/zinc/87/23/40/1072872340.db2.gz MLMSNMRMBNBCSZ-ROUUACIJSA-N 0 0 429.521 -0.028 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000498659691 1072872157 /nfs/dbraw/zinc/87/21/57/1072872157.db2.gz MLMSNMRMBNBCSZ-ZWKOTPCHSA-N 0 0 429.521 -0.028 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000498660453 1072872667 /nfs/dbraw/zinc/87/26/67/1072872667.db2.gz HFNDDTRRBPMVBF-CYBMUJFWSA-N 0 0 430.458 -0.622 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000498660454 1072872727 /nfs/dbraw/zinc/87/27/27/1072872727.db2.gz HFNDDTRRBPMVBF-ZDUSSCGKSA-N 0 0 430.458 -0.622 20 0 IBADRN CC(C)S(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498660893 1072872602 /nfs/dbraw/zinc/87/26/02/1072872602.db2.gz NAIYVLGXNFBQDF-AWEZNQCLSA-N 0 0 425.507 0.000 20 0 IBADRN CC(C)S(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498660896 1072872741 /nfs/dbraw/zinc/87/27/41/1072872741.db2.gz NAIYVLGXNFBQDF-CQSZACIVSA-N 0 0 425.507 0.000 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1 ZINC000498661491 1072873319 /nfs/dbraw/zinc/87/33/19/1072873319.db2.gz OGTRREDMLKCTMV-GFCCVEGCSA-N 0 0 442.469 -0.644 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1 ZINC000498661496 1072873163 /nfs/dbraw/zinc/87/31/63/1072873163.db2.gz OGTRREDMLKCTMV-LBPRGKRZSA-N 0 0 442.469 -0.644 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)cc2)CC1 ZINC000498661766 1072873095 /nfs/dbraw/zinc/87/30/95/1072873095.db2.gz RYYKJOKWGKILNH-INIZCTEOSA-N 0 0 449.533 -0.606 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)cc2)CC1 ZINC000498661768 1072873120 /nfs/dbraw/zinc/87/31/20/1072873120.db2.gz RYYKJOKWGKILNH-MRXNPFEDSA-N 0 0 449.533 -0.606 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1Br ZINC000498663638 1072873637 /nfs/dbraw/zinc/87/36/37/1072873637.db2.gz OSXHVSVWBCCGOO-SECBINFHSA-N 0 0 447.311 -0.103 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1Br ZINC000498663639 1072873744 /nfs/dbraw/zinc/87/37/44/1072873744.db2.gz OSXHVSVWBCCGOO-VIFPVBQESA-N 0 0 447.311 -0.103 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1Cl ZINC000498664186 1072873885 /nfs/dbraw/zinc/87/38/85/1072873885.db2.gz YXSRWLGNEHVZPI-GFCCVEGCSA-N 0 0 440.884 -0.294 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1Cl ZINC000498664187 1072873645 /nfs/dbraw/zinc/87/36/45/1072873645.db2.gz YXSRWLGNEHVZPI-LBPRGKRZSA-N 0 0 440.884 -0.294 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)C1 ZINC000498664527 1072873732 /nfs/dbraw/zinc/87/37/32/1072873732.db2.gz UWPIUZNZKZWCRW-LLVKDONJSA-N 0 0 432.449 -0.110 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)C1 ZINC000498664528 1072873718 /nfs/dbraw/zinc/87/37/18/1072873718.db2.gz UWPIUZNZKZWCRW-NSHDSACASA-N 0 0 432.449 -0.110 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCN1CC(F)F ZINC000498664959 1072873653 /nfs/dbraw/zinc/87/36/53/1072873653.db2.gz ADAIQJIEXXRVKF-GFCCVEGCSA-N 0 0 438.435 -0.241 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCN1CC(F)F ZINC000498664960 1072873695 /nfs/dbraw/zinc/87/36/95/1072873695.db2.gz ADAIQJIEXXRVKF-LBPRGKRZSA-N 0 0 438.435 -0.241 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CCN1CC(F)F ZINC000498665827 1072871571 /nfs/dbraw/zinc/87/15/71/1072871571.db2.gz DBSBHMUGQXIUSS-HNNXBMFYSA-N 0 0 442.467 -0.132 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CCN1CC(F)F ZINC000498665828 1072871715 /nfs/dbraw/zinc/87/17/15/1072871715.db2.gz DBSBHMUGQXIUSS-OAHLLOKOSA-N 0 0 442.467 -0.132 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)ccc1F ZINC000498666119 1072876289 /nfs/dbraw/zinc/87/62/89/1072876289.db2.gz GHLQCFRVPDELGY-LLVKDONJSA-N 0 0 436.487 -0.835 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)ccc1F ZINC000498666120 1072876221 /nfs/dbraw/zinc/87/62/21/1072876221.db2.gz GHLQCFRVPDELGY-NSHDSACASA-N 0 0 436.487 -0.835 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000498666462 1072876151 /nfs/dbraw/zinc/87/61/51/1072876151.db2.gz HZPBQGZTGQGDDQ-DLBZAZTESA-N 0 0 431.493 -0.073 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000498666463 1072876040 /nfs/dbraw/zinc/87/60/40/1072876040.db2.gz HZPBQGZTGQGDDQ-IAGOWNOFSA-N 0 0 431.493 -0.073 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000498666464 1072876089 /nfs/dbraw/zinc/87/60/89/1072876089.db2.gz HZPBQGZTGQGDDQ-IRXDYDNUSA-N 0 0 431.493 -0.073 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000498666465 1072876280 /nfs/dbraw/zinc/87/62/80/1072876280.db2.gz HZPBQGZTGQGDDQ-SJORKVTESA-N 0 0 431.493 -0.073 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000498666688 1072876271 /nfs/dbraw/zinc/87/62/71/1072876271.db2.gz JJPHAYOKLDXRCG-KBPBESRZSA-N 0 0 439.538 -0.028 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000498666689 1072876248 /nfs/dbraw/zinc/87/62/48/1072876248.db2.gz JJPHAYOKLDXRCG-KGLIPLIRSA-N 0 0 439.538 -0.028 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000498666690 1072876235 /nfs/dbraw/zinc/87/62/35/1072876235.db2.gz JJPHAYOKLDXRCG-UONOGXRCSA-N 0 0 439.538 -0.028 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000498666691 1072876189 /nfs/dbraw/zinc/87/61/89/1072876189.db2.gz JJPHAYOKLDXRCG-ZIAGYGMSSA-N 0 0 439.538 -0.028 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)CCN1CC(F)F ZINC000498667045 1072871701 /nfs/dbraw/zinc/87/17/01/1072871701.db2.gz LFYBRWXTWBJFPU-AWEZNQCLSA-N 0 0 430.456 -0.228 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)CCN1CC(F)F ZINC000498667046 1072871630 /nfs/dbraw/zinc/87/16/30/1072871630.db2.gz LFYBRWXTWBJFPU-CQSZACIVSA-N 0 0 430.456 -0.228 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(F)(F)F)cc2)CC1 ZINC000498667121 1072874218 /nfs/dbraw/zinc/87/42/18/1072874218.db2.gz LZJUWCFHYYXPDY-LLVKDONJSA-N 0 0 430.383 -0.031 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(F)(F)F)cc2)CC1 ZINC000498667122 1072874153 /nfs/dbraw/zinc/87/41/53/1072874153.db2.gz LZJUWCFHYYXPDY-NSHDSACASA-N 0 0 430.383 -0.031 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1 ZINC000498667708 1072876680 /nfs/dbraw/zinc/87/66/80/1072876680.db2.gz OOSBWDNUWPMQSP-GFCCVEGCSA-N 0 0 427.458 -0.166 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1 ZINC000498667709 1072876513 /nfs/dbraw/zinc/87/65/13/1072876513.db2.gz OOSBWDNUWPMQSP-LBPRGKRZSA-N 0 0 427.458 -0.166 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1 ZINC000498669243 1072874341 /nfs/dbraw/zinc/87/43/41/1072874341.db2.gz VFNHAKLYOATYDS-HNNXBMFYSA-N 0 0 432.477 -0.322 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1 ZINC000498669244 1072874687 /nfs/dbraw/zinc/87/46/87/1072874687.db2.gz VFNHAKLYOATYDS-OAHLLOKOSA-N 0 0 432.477 -0.322 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000498669264 1072874800 /nfs/dbraw/zinc/87/48/00/1072874800.db2.gz VODODHXWUBVXSX-INIZCTEOSA-N 0 0 443.504 -0.146 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498669271 1072874816 /nfs/dbraw/zinc/87/48/16/1072874816.db2.gz VODODHXWUBVXSX-MRXNPFEDSA-N 0 0 443.504 -0.146 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1S(=O)(=O)N(C)C ZINC000498669582 1072874382 /nfs/dbraw/zinc/87/43/82/1072874382.db2.gz AEVJFGGMSQGJFR-CYBMUJFWSA-N 0 0 426.495 -0.765 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1S(=O)(=O)N(C)C ZINC000498669584 1072874209 /nfs/dbraw/zinc/87/42/09/1072874209.db2.gz AEVJFGGMSQGJFR-ZDUSSCGKSA-N 0 0 426.495 -0.765 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)CC[C@H]3C2)cc1 ZINC000498669918 1072874303 /nfs/dbraw/zinc/87/43/03/1072874303.db2.gz BBLSVOQUWJUUJS-KRWDZBQOSA-N 0 0 429.477 -0.271 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)CC[C@@H]3C2)cc1 ZINC000498669919 1072874183 /nfs/dbraw/zinc/87/41/83/1072874183.db2.gz BBLSVOQUWJUUJS-QGZVFWFLSA-N 0 0 429.477 -0.271 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1OCC(=O)NC1CC1 ZINC000498669951 1072874196 /nfs/dbraw/zinc/87/41/96/1072874196.db2.gz BRXDDCBWELQBOG-CYBMUJFWSA-N 0 0 448.476 -0.657 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1OCC(=O)NC1CC1 ZINC000498669952 1072874436 /nfs/dbraw/zinc/87/44/36/1072874436.db2.gz BRXDDCBWELQBOG-ZDUSSCGKSA-N 0 0 448.476 -0.657 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498670160 1072874737 /nfs/dbraw/zinc/87/47/37/1072874737.db2.gz YGWLQZUEYUEFQQ-CYBMUJFWSA-N 0 0 426.495 -0.637 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498670164 1072874763 /nfs/dbraw/zinc/87/47/63/1072874763.db2.gz YGWLQZUEYUEFQQ-ZDUSSCGKSA-N 0 0 426.495 -0.637 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000498670564 1072874842 /nfs/dbraw/zinc/87/48/42/1072874842.db2.gz ZDYSIZABTXYPPD-HNNXBMFYSA-N 0 0 431.493 -0.936 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000498670567 1072874905 /nfs/dbraw/zinc/87/49/05/1072874905.db2.gz ZDYSIZABTXYPPD-OAHLLOKOSA-N 0 0 431.493 -0.936 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000498671218 1072875216 /nfs/dbraw/zinc/87/52/16/1072875216.db2.gz HIGFVKJEBUQAIW-AWEZNQCLSA-N 0 0 438.506 -0.539 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000498671219 1072875149 /nfs/dbraw/zinc/87/51/49/1072875149.db2.gz HIGFVKJEBUQAIW-CQSZACIVSA-N 0 0 438.506 -0.539 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C3CCOCC3)cc2)CC1 ZINC000498671328 1072875345 /nfs/dbraw/zinc/87/53/45/1072875345.db2.gz HLNAYTNGOVDCJB-HNNXBMFYSA-N 0 0 446.504 -0.072 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C3CCOCC3)cc2)CC1 ZINC000498671329 1072875182 /nfs/dbraw/zinc/87/51/82/1072875182.db2.gz HLNAYTNGOVDCJB-OAHLLOKOSA-N 0 0 446.504 -0.072 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1-n1nnnc1C1CC1 ZINC000498671330 1072875239 /nfs/dbraw/zinc/87/52/39/1072875239.db2.gz HLYPAZFFHRNJBE-GFCCVEGCSA-N 0 0 443.464 -0.462 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1-n1nnnc1C1CC1 ZINC000498671331 1072875287 /nfs/dbraw/zinc/87/52/87/1072875287.db2.gz HLYPAZFFHRNJBE-LBPRGKRZSA-N 0 0 443.464 -0.462 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000498672094 1072875225 /nfs/dbraw/zinc/87/52/25/1072875225.db2.gz LTGOAMOEAPXKJL-LLVKDONJSA-N 0 0 446.913 -0.420 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000498672098 1072875204 /nfs/dbraw/zinc/87/52/04/1072875204.db2.gz LTGOAMOEAPXKJL-NSHDSACASA-N 0 0 446.913 -0.420 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1OC ZINC000498672741 1072875116 /nfs/dbraw/zinc/87/51/16/1072875116.db2.gz NQTVHFMHBUJPIP-AWEZNQCLSA-N 0 0 445.476 -0.061 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1OC ZINC000498672742 1072875193 /nfs/dbraw/zinc/87/51/93/1072875193.db2.gz NQTVHFMHBUJPIP-CQSZACIVSA-N 0 0 445.476 -0.061 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)CC1 ZINC000498673194 1072875574 /nfs/dbraw/zinc/87/55/74/1072875574.db2.gz FGMXPQIHKFHWBJ-AWEZNQCLSA-N 0 0 429.477 -0.280 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)CC1 ZINC000498673203 1072875830 /nfs/dbraw/zinc/87/58/30/1072875830.db2.gz FGMXPQIHKFHWBJ-CQSZACIVSA-N 0 0 429.477 -0.280 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000498673224 1072875636 /nfs/dbraw/zinc/87/56/36/1072875636.db2.gz PTFMREUMKSMHET-UHFFFAOYSA-N 0 0 429.477 -0.505 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498673663 1072875166 /nfs/dbraw/zinc/87/51/66/1072875166.db2.gz GNHVIXKCRBJMML-CYBMUJFWSA-N 0 0 437.522 -0.225 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000498673664 1072875272 /nfs/dbraw/zinc/87/52/72/1072875272.db2.gz GNHVIXKCRBJMML-ZDUSSCGKSA-N 0 0 437.522 -0.225 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000498673709 1072875817 /nfs/dbraw/zinc/87/58/17/1072875817.db2.gz HHVNKSZKKYITJR-KBPBESRZSA-N 0 0 440.522 -0.247 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000498673710 1072875656 /nfs/dbraw/zinc/87/56/56/1072875656.db2.gz HHVNKSZKKYITJR-KGLIPLIRSA-N 0 0 440.522 -0.247 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000498673711 1072875677 /nfs/dbraw/zinc/87/56/77/1072875677.db2.gz HHVNKSZKKYITJR-UONOGXRCSA-N 0 0 440.522 -0.247 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000498673712 1072875823 /nfs/dbraw/zinc/87/58/23/1072875823.db2.gz HHVNKSZKKYITJR-ZIAGYGMSSA-N 0 0 440.522 -0.247 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)cc2)CC1 ZINC000498674332 1072875720 /nfs/dbraw/zinc/87/57/20/1072875720.db2.gz SVHNNOYRBBGPIC-GOSISDBHSA-N 0 0 427.505 -0.225 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)cc2)CC1 ZINC000498674334 1072875524 /nfs/dbraw/zinc/87/55/24/1072875524.db2.gz SVHNNOYRBBGPIC-SFHVURJKSA-N 0 0 427.505 -0.225 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000498675557 1072875614 /nfs/dbraw/zinc/87/56/14/1072875614.db2.gz MAONHJPYBGCPIF-IIDMSEBBSA-N 0 0 444.488 -0.330 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000498675558 1072876069 /nfs/dbraw/zinc/87/60/69/1072876069.db2.gz MAONHJPYBGCPIF-RKVPGOIHSA-N 0 0 444.488 -0.330 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000498675559 1072876127 /nfs/dbraw/zinc/87/61/27/1072876127.db2.gz MAONHJPYBGCPIF-RVKKMQEKSA-N 0 0 444.488 -0.330 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000498675560 1072876081 /nfs/dbraw/zinc/87/60/81/1072876081.db2.gz MAONHJPYBGCPIF-VKJFTORMSA-N 0 0 444.488 -0.330 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1OC ZINC000498675849 1072875799 /nfs/dbraw/zinc/87/57/99/1072875799.db2.gz ARXIVWRKRZZZOI-GFCCVEGCSA-N 0 0 442.494 -0.553 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1OC ZINC000498675850 1072875692 /nfs/dbraw/zinc/87/56/92/1072875692.db2.gz ARXIVWRKRZZZOI-LBPRGKRZSA-N 0 0 442.494 -0.553 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000498676303 1072876207 /nfs/dbraw/zinc/87/62/07/1072876207.db2.gz CYGDNMFCDMHWSP-HNNXBMFYSA-N 0 0 430.461 -0.061 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498676309 1072876055 /nfs/dbraw/zinc/87/60/55/1072876055.db2.gz CYGDNMFCDMHWSP-OAHLLOKOSA-N 0 0 430.461 -0.061 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000498676394 1072876027 /nfs/dbraw/zinc/87/60/27/1072876027.db2.gz PEXSYHYHBXPXLG-LLVKDONJSA-N 0 0 442.469 -0.744 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000498676395 1072876262 /nfs/dbraw/zinc/87/62/62/1072876262.db2.gz PEXSYHYHBXPXLG-NSHDSACASA-N 0 0 442.469 -0.744 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000498677343 1072877700 /nfs/dbraw/zinc/87/77/00/1072877700.db2.gz HXUPRTDRJHNOOM-PBHICJAKSA-N 0 0 447.492 -0.023 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000498677344 1072877859 /nfs/dbraw/zinc/87/78/59/1072877859.db2.gz HXUPRTDRJHNOOM-RHSMWYFYSA-N 0 0 447.492 -0.023 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000498677345 1072877688 /nfs/dbraw/zinc/87/76/88/1072877688.db2.gz HXUPRTDRJHNOOM-WMLDXEAASA-N 0 0 447.492 -0.023 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000498677346 1072877621 /nfs/dbraw/zinc/87/76/21/1072877621.db2.gz HXUPRTDRJHNOOM-YOEHRIQHSA-N 0 0 447.492 -0.023 20 0 IBADRN CC(C)S(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000498677374 1072877646 /nfs/dbraw/zinc/87/76/46/1072877646.db2.gz IKOCXGUJMCNFLN-AWEZNQCLSA-N 0 0 425.507 0.000 20 0 IBADRN CC(C)S(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000498677375 1072877679 /nfs/dbraw/zinc/87/76/79/1072877679.db2.gz IKOCXGUJMCNFLN-CQSZACIVSA-N 0 0 425.507 0.000 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498678007 1072877099 /nfs/dbraw/zinc/87/70/99/1072877099.db2.gz ACWUQWMHJGFKRG-KBPBESRZSA-N 0 0 448.476 -0.642 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498678008 1072877298 /nfs/dbraw/zinc/87/72/98/1072877298.db2.gz ACWUQWMHJGFKRG-KGLIPLIRSA-N 0 0 448.476 -0.642 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498678009 1072877247 /nfs/dbraw/zinc/87/72/47/1072877247.db2.gz ACWUQWMHJGFKRG-UONOGXRCSA-N 0 0 448.476 -0.642 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498678010 1072877319 /nfs/dbraw/zinc/87/73/19/1072877319.db2.gz ACWUQWMHJGFKRG-ZIAGYGMSSA-N 0 0 448.476 -0.642 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)ccc1F ZINC000498678115 1072877750 /nfs/dbraw/zinc/87/77/50/1072877750.db2.gz XONTZCKDROBTTB-CYBMUJFWSA-N 0 0 438.441 -0.673 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)ccc1F ZINC000498678118 1072877729 /nfs/dbraw/zinc/87/77/29/1072877729.db2.gz XONTZCKDROBTTB-ZDUSSCGKSA-N 0 0 438.441 -0.673 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000498678119 1072877882 /nfs/dbraw/zinc/87/78/82/1072877882.db2.gz XOOWZPZMUZRELY-BRWVUGGUSA-N 0 0 444.488 -0.615 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000498678120 1072877666 /nfs/dbraw/zinc/87/76/66/1072877666.db2.gz XOOWZPZMUZRELY-GVDBMIGSSA-N 0 0 444.488 -0.615 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000498678121 1072877898 /nfs/dbraw/zinc/87/78/98/1072877898.db2.gz XOOWZPZMUZRELY-IXDOHACOSA-N 0 0 444.488 -0.615 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000498678122 1072877916 /nfs/dbraw/zinc/87/79/16/1072877916.db2.gz XOOWZPZMUZRELY-YESZJQIVSA-N 0 0 444.488 -0.615 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000498678759 1072878185 /nfs/dbraw/zinc/87/81/85/1072878185.db2.gz CSRXSRZQEACHQV-AWEZNQCLSA-N 0 0 448.476 -0.842 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000498678760 1072878317 /nfs/dbraw/zinc/87/83/17/1072878317.db2.gz CSRXSRZQEACHQV-CQSZACIVSA-N 0 0 448.476 -0.842 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1C ZINC000498679292 1072878326 /nfs/dbraw/zinc/87/83/26/1072878326.db2.gz ORLABAGPTOLOND-CYBMUJFWSA-N 0 0 426.495 -0.253 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1C ZINC000498679295 1072878337 /nfs/dbraw/zinc/87/83/37/1072878337.db2.gz ORLABAGPTOLOND-ZDUSSCGKSA-N 0 0 426.495 -0.253 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1N1CCCS1(=O)=O ZINC000498679665 1072878203 /nfs/dbraw/zinc/87/82/03/1072878203.db2.gz GFNQUDYHNJXFLB-AWEZNQCLSA-N 0 0 438.506 -0.475 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1N1CCCS1(=O)=O ZINC000498679668 1072878244 /nfs/dbraw/zinc/87/82/44/1072878244.db2.gz GFNQUDYHNJXFLB-CQSZACIVSA-N 0 0 438.506 -0.475 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)CC1 ZINC000498679890 1072878273 /nfs/dbraw/zinc/87/82/73/1072878273.db2.gz SSMIIFPPHNBWEE-GFCCVEGCSA-N 0 0 440.884 -0.203 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)CC1 ZINC000498679891 1072878173 /nfs/dbraw/zinc/87/81/73/1072878173.db2.gz SSMIIFPPHNBWEE-LBPRGKRZSA-N 0 0 440.884 -0.203 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c2cccnc12 ZINC000498679921 1072878193 /nfs/dbraw/zinc/87/81/93/1072878193.db2.gz SZAGJFAUMCICAB-CYBMUJFWSA-N 0 0 444.444 -0.223 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c2cccnc12 ZINC000498679922 1072878351 /nfs/dbraw/zinc/87/83/51/1072878351.db2.gz SZAGJFAUMCICAB-ZDUSSCGKSA-N 0 0 444.444 -0.223 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000498680199 1072878145 /nfs/dbraw/zinc/87/81/45/1072878145.db2.gz ASOPRRNGYGUDJP-INIZCTEOSA-N 0 0 428.449 -0.344 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000498680200 1072878675 /nfs/dbraw/zinc/87/86/75/1072878675.db2.gz ASOPRRNGYGUDJP-MRXNPFEDSA-N 0 0 428.449 -0.344 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CC1 ZINC000498680407 1072878857 /nfs/dbraw/zinc/87/88/57/1072878857.db2.gz JIHXXPZGGKVLEE-CYBMUJFWSA-N 0 0 438.506 -0.540 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CC1 ZINC000498680408 1072878663 /nfs/dbraw/zinc/87/86/63/1072878663.db2.gz JIHXXPZGGKVLEE-ZDUSSCGKSA-N 0 0 438.506 -0.540 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498680782 1072878802 /nfs/dbraw/zinc/87/88/02/1072878802.db2.gz KFDSPMUZADYBFD-CRAIPNDOSA-N 0 0 442.472 -0.527 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN2C(=O)CC[C@H]2C1 ZINC000498680783 1072878823 /nfs/dbraw/zinc/87/88/23/1072878823.db2.gz KFDSPMUZADYBFD-MAUKXSAKSA-N 0 0 442.472 -0.527 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498680784 1072878915 /nfs/dbraw/zinc/87/89/15/1072878915.db2.gz KFDSPMUZADYBFD-QAPCUYQASA-N 0 0 442.472 -0.527 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN2C(=O)CC[C@H]2C1 ZINC000498680785 1072878842 /nfs/dbraw/zinc/87/88/42/1072878842.db2.gz KFDSPMUZADYBFD-YJBOKZPZSA-N 0 0 442.472 -0.527 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000498681314 1072876617 /nfs/dbraw/zinc/87/66/17/1072876617.db2.gz XUTJEUXNSANDNV-MSOLQXFVSA-N 0 0 445.520 -0.282 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000498681318 1072876729 /nfs/dbraw/zinc/87/67/29/1072876729.db2.gz XUTJEUXNSANDNV-QZTJIDSGSA-N 0 0 445.520 -0.282 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000498681320 1072876528 /nfs/dbraw/zinc/87/65/28/1072876528.db2.gz XUTJEUXNSANDNV-ROUUACIJSA-N 0 0 445.520 -0.282 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000498681321 1072876491 /nfs/dbraw/zinc/87/64/91/1072876491.db2.gz XUTJEUXNSANDNV-ZWKOTPCHSA-N 0 0 445.520 -0.282 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)CC1 ZINC000498681543 1072876901 /nfs/dbraw/zinc/87/69/01/1072876901.db2.gz ZIAQDJUBPQFHNK-AWEZNQCLSA-N 0 0 431.493 -0.622 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)CC1 ZINC000498681544 1072876914 /nfs/dbraw/zinc/87/69/14/1072876914.db2.gz ZIAQDJUBPQFHNK-CQSZACIVSA-N 0 0 431.493 -0.622 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000498681710 1072876759 /nfs/dbraw/zinc/87/67/59/1072876759.db2.gz NHANXLMPKAKVLQ-HNNXBMFYSA-N 0 0 432.477 -0.542 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000498681712 1072876776 /nfs/dbraw/zinc/87/67/76/1072876776.db2.gz NHANXLMPKAKVLQ-OAHLLOKOSA-N 0 0 432.477 -0.542 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cccc1S(=O)(=O)NC1CC1 ZINC000498682585 1072876594 /nfs/dbraw/zinc/87/65/94/1072876594.db2.gz RAUVXWXOKPEMCE-CYBMUJFWSA-N 0 0 438.506 -0.574 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cccc1S(=O)(=O)NC1CC1 ZINC000498682586 1072876718 /nfs/dbraw/zinc/87/67/18/1072876718.db2.gz RAUVXWXOKPEMCE-ZDUSSCGKSA-N 0 0 438.506 -0.574 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)CC1 ZINC000498683272 1072877276 /nfs/dbraw/zinc/87/72/76/1072877276.db2.gz VTBRRPMOVYVXIY-AWEZNQCLSA-N 0 0 434.518 -0.134 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)CC1 ZINC000498683273 1072877370 /nfs/dbraw/zinc/87/73/70/1072877370.db2.gz VTBRRPMOVYVXIY-CQSZACIVSA-N 0 0 434.518 -0.134 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000498684100 1072877329 /nfs/dbraw/zinc/87/73/29/1072877329.db2.gz AQBPRMDOINWJBF-HNNXBMFYSA-N 0 0 434.493 -0.167 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000498684116 1072877180 /nfs/dbraw/zinc/87/71/80/1072877180.db2.gz AQBPRMDOINWJBF-OAHLLOKOSA-N 0 0 434.493 -0.167 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498684120 1072877263 /nfs/dbraw/zinc/87/72/63/1072877263.db2.gz ARTAXNIAXAPRNR-GOSISDBHSA-N 0 0 443.504 -0.480 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000498684122 1072877143 /nfs/dbraw/zinc/87/71/43/1072877143.db2.gz ARTAXNIAXAPRNR-SFHVURJKSA-N 0 0 443.504 -0.480 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOC2(CCC2)C1 ZINC000498685213 1072877359 /nfs/dbraw/zinc/87/73/59/1072877359.db2.gz ICLFSDYTNCSGRA-UHFFFAOYSA-N 0 0 436.490 -0.473 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CC1 ZINC000498685392 1072877382 /nfs/dbraw/zinc/87/73/82/1072877382.db2.gz INFFLHAZFVEOTO-KBPBESRZSA-N 0 0 443.464 -0.532 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1)n1cncn1 ZINC000498685397 1072877217 /nfs/dbraw/zinc/87/72/17/1072877217.db2.gz INFFLHAZFVEOTO-KGLIPLIRSA-N 0 0 443.464 -0.532 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CC1 ZINC000498685399 1072877342 /nfs/dbraw/zinc/87/73/42/1072877342.db2.gz INFFLHAZFVEOTO-UONOGXRCSA-N 0 0 443.464 -0.532 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)CC1 ZINC000498685400 1072877236 /nfs/dbraw/zinc/87/72/36/1072877236.db2.gz INFFLHAZFVEOTO-ZIAGYGMSSA-N 0 0 443.464 -0.532 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000498687788 1072879273 /nfs/dbraw/zinc/87/92/73/1072879273.db2.gz WOMYBXKRVKGEDE-CHWSQXEVSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000498687789 1072879214 /nfs/dbraw/zinc/87/92/14/1072879214.db2.gz WOMYBXKRVKGEDE-OLZOCXBDSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000498687790 1072879233 /nfs/dbraw/zinc/87/92/33/1072879233.db2.gz WOMYBXKRVKGEDE-QWHCGFSZSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000498687791 1072879288 /nfs/dbraw/zinc/87/92/88/1072879288.db2.gz WOMYBXKRVKGEDE-STQMWFEESA-N 0 0 426.495 -0.085 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@@H](C)O[C@H](C)C3)cc2)CC1 ZINC000498688067 1072879147 /nfs/dbraw/zinc/87/91/47/1072879147.db2.gz XIWUMRYSYNKADX-BZUAXINKSA-N 0 0 446.504 -0.074 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@@H](C)O[C@H](C)C3)cc2)CC1 ZINC000498688068 1072879350 /nfs/dbraw/zinc/87/93/50/1072879350.db2.gz XIWUMRYSYNKADX-OAGGEKHMSA-N 0 0 446.504 -0.074 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@@H](C)O[C@@H](C)C3)cc2)CC1 ZINC000498688069 1072879253 /nfs/dbraw/zinc/87/92/53/1072879253.db2.gz XIWUMRYSYNKADX-OWCLPIDISA-N 0 0 446.504 -0.074 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@@H](C)O[C@@H](C)C3)cc2)CC1 ZINC000498688070 1072879321 /nfs/dbraw/zinc/87/93/21/1072879321.db2.gz XIWUMRYSYNKADX-XHSDSOJGSA-N 0 0 446.504 -0.074 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(c2cnccn2)CC1 ZINC000498689552 1072879307 /nfs/dbraw/zinc/87/93/07/1072879307.db2.gz MSBMSDDFWHBARJ-UHFFFAOYSA-N 0 0 430.490 -0.441 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498691148 1072879642 /nfs/dbraw/zinc/87/96/42/1072879642.db2.gz CYIRMSYIXQQWRT-AWEZNQCLSA-N 0 0 440.522 -0.294 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498691149 1072879779 /nfs/dbraw/zinc/87/97/79/1072879779.db2.gz CYIRMSYIXQQWRT-CQSZACIVSA-N 0 0 440.522 -0.294 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000498691160 1072879714 /nfs/dbraw/zinc/87/97/14/1072879714.db2.gz DAOJNUXXWMLCMZ-CABCVRRESA-N 0 0 432.477 -0.106 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000498691161 1072879679 /nfs/dbraw/zinc/87/96/79/1072879679.db2.gz DAOJNUXXWMLCMZ-GJZGRUSLSA-N 0 0 432.477 -0.106 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000498691162 1072879793 /nfs/dbraw/zinc/87/97/93/1072879793.db2.gz DAOJNUXXWMLCMZ-HUUCEWRRSA-N 0 0 432.477 -0.106 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000498691163 1072879722 /nfs/dbraw/zinc/87/97/22/1072879722.db2.gz DAOJNUXXWMLCMZ-LSDHHAIUSA-N 0 0 432.477 -0.106 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)CC[C@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000498692652 1072879699 /nfs/dbraw/zinc/87/96/99/1072879699.db2.gz IUKDVQRPVSRUSX-AWEZNQCLSA-N 0 0 436.490 -0.092 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)CC[C@@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000498692653 1072879737 /nfs/dbraw/zinc/87/97/37/1072879737.db2.gz IUKDVQRPVSRUSX-CQSZACIVSA-N 0 0 436.490 -0.092 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)nc2n(C)c1=O ZINC000498692766 1072879785 /nfs/dbraw/zinc/87/97/85/1072879785.db2.gz HDMVMONAWXKQER-UHFFFAOYSA-N 0 0 428.449 -0.383 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000498693487 1072878623 /nfs/dbraw/zinc/87/86/23/1072878623.db2.gz HOUQKFLNGJPCKX-CVEARBPZSA-N 0 0 438.506 -0.323 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000498693492 1072878577 /nfs/dbraw/zinc/87/85/77/1072878577.db2.gz HOUQKFLNGJPCKX-HOTGVXAUSA-N 0 0 438.506 -0.323 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000498693495 1072878792 /nfs/dbraw/zinc/87/87/92/1072878792.db2.gz HOUQKFLNGJPCKX-HZPDHXFCSA-N 0 0 438.506 -0.323 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000498693497 1072878761 /nfs/dbraw/zinc/87/87/61/1072878761.db2.gz HOUQKFLNGJPCKX-JKSUJKDBSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000498694033 1072879693 /nfs/dbraw/zinc/87/96/93/1072879693.db2.gz LYUDFGNQJPKMMC-HNNXBMFYSA-N 0 0 432.477 -0.922 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000498694037 1072879572 /nfs/dbraw/zinc/87/95/72/1072879572.db2.gz LYUDFGNQJPKMMC-OAHLLOKOSA-N 0 0 432.477 -0.922 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498694104 1072878612 /nfs/dbraw/zinc/87/86/12/1072878612.db2.gz CTLUKYFMOJNEKM-CYBMUJFWSA-N 0 0 427.479 -0.903 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498694321 1072878715 /nfs/dbraw/zinc/87/87/15/1072878715.db2.gz CTLUKYFMOJNEKM-ZDUSSCGKSA-N 0 0 427.479 -0.903 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](c3nnc(C4CC4)[nH]3)C2)cn1 ZINC000498694649 1072878685 /nfs/dbraw/zinc/87/86/85/1072878685.db2.gz LIVIHLGBJCDIMH-AWEZNQCLSA-N 0 0 444.496 -0.014 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](c3nnc(C4CC4)[nH]3)C2)cn1 ZINC000498694656 1072878589 /nfs/dbraw/zinc/87/85/89/1072878589.db2.gz LIVIHLGBJCDIMH-CQSZACIVSA-N 0 0 444.496 -0.014 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000498695151 1072880446 /nfs/dbraw/zinc/88/04/46/1072880446.db2.gz GARBGZONNMLWLL-CYBMUJFWSA-N 0 0 426.495 -0.172 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000498695158 1072880457 /nfs/dbraw/zinc/88/04/57/1072880457.db2.gz GARBGZONNMLWLL-ZDUSSCGKSA-N 0 0 426.495 -0.172 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000498695245 1072880527 /nfs/dbraw/zinc/88/05/27/1072880527.db2.gz PUYZIWFVANMCMY-AWEZNQCLSA-N 0 0 440.522 -0.245 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000498695257 1072880621 /nfs/dbraw/zinc/88/06/21/1072880621.db2.gz PUYZIWFVANMCMY-CQSZACIVSA-N 0 0 440.522 -0.245 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498695887 1072879243 /nfs/dbraw/zinc/87/92/43/1072879243.db2.gz OYQPTHWYWLAPCL-AWEZNQCLSA-N 0 0 440.522 -0.293 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498695890 1072879338 /nfs/dbraw/zinc/87/93/38/1072879338.db2.gz OYQPTHWYWLAPCL-CQSZACIVSA-N 0 0 440.522 -0.293 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)c2)CC1 ZINC000498695927 1072879263 /nfs/dbraw/zinc/87/92/63/1072879263.db2.gz PDCFHNXYIAYRHU-INIZCTEOSA-N 0 0 449.533 -0.606 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)c2)CC1 ZINC000498696149 1072881045 /nfs/dbraw/zinc/88/10/45/1072881045.db2.gz PDCFHNXYIAYRHU-MRXNPFEDSA-N 0 0 449.533 -0.606 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)CC1 ZINC000498696279 1072880865 /nfs/dbraw/zinc/88/08/65/1072880865.db2.gz PSKQRDGUTLWHLD-CYBMUJFWSA-N 0 0 440.522 -0.247 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)CC1 ZINC000498696281 1072880935 /nfs/dbraw/zinc/88/09/35/1072880935.db2.gz PSKQRDGUTLWHLD-ZDUSSCGKSA-N 0 0 440.522 -0.247 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1Cl ZINC000498696475 1072881076 /nfs/dbraw/zinc/88/10/76/1072881076.db2.gz KFHQRMJAHRAQKV-LLVKDONJSA-N 0 0 427.841 -0.118 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1Cl ZINC000498696478 1072880837 /nfs/dbraw/zinc/88/08/37/1072880837.db2.gz KFHQRMJAHRAQKV-NSHDSACASA-N 0 0 427.841 -0.118 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1 ZINC000498696608 1072880898 /nfs/dbraw/zinc/88/08/98/1072880898.db2.gz KYBYDBVJMUEEDB-AWEZNQCLSA-N 0 0 432.477 -0.415 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1 ZINC000498696611 1072880922 /nfs/dbraw/zinc/88/09/22/1072880922.db2.gz KYBYDBVJMUEEDB-CQSZACIVSA-N 0 0 432.477 -0.415 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000498696905 1072880536 /nfs/dbraw/zinc/88/05/36/1072880536.db2.gz RTYMLZPWSKYARE-CVEARBPZSA-N 0 0 438.506 -0.323 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000498696907 1072880601 /nfs/dbraw/zinc/88/06/01/1072880601.db2.gz RTYMLZPWSKYARE-HOTGVXAUSA-N 0 0 438.506 -0.323 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000498696909 1072880516 /nfs/dbraw/zinc/88/05/16/1072880516.db2.gz RTYMLZPWSKYARE-HZPDHXFCSA-N 0 0 438.506 -0.323 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000498696910 1072880435 /nfs/dbraw/zinc/88/04/35/1072880435.db2.gz RTYMLZPWSKYARE-JKSUJKDBSA-N 0 0 438.506 -0.323 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000498696983 1072880499 /nfs/dbraw/zinc/88/04/99/1072880499.db2.gz SDVBAFIXFPXXLX-APHBMKBZSA-N 0 0 440.522 -0.031 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000498696984 1072880576 /nfs/dbraw/zinc/88/05/76/1072880576.db2.gz SDVBAFIXFPXXLX-DAXOMENPSA-N 0 0 440.522 -0.031 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000498696985 1072880483 /nfs/dbraw/zinc/88/04/83/1072880483.db2.gz SDVBAFIXFPXXLX-MAZHCROVSA-N 0 0 440.522 -0.031 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000498696986 1072880423 /nfs/dbraw/zinc/88/04/23/1072880423.db2.gz SDVBAFIXFPXXLX-WQVCFCJDSA-N 0 0 440.522 -0.031 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000498697023 1072880563 /nfs/dbraw/zinc/88/05/63/1072880563.db2.gz SPQLHSFDAVSMRT-HNNXBMFYSA-N 0 0 434.493 -0.076 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000498697024 1072880508 /nfs/dbraw/zinc/88/05/08/1072880508.db2.gz SPQLHSFDAVSMRT-OAHLLOKOSA-N 0 0 434.493 -0.076 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)CC[C@H]2C1)N1CCOCC1 ZINC000498697386 1072881491 /nfs/dbraw/zinc/88/14/91/1072881491.db2.gz UHNPMFPDACUENV-HNNXBMFYSA-N 0 0 430.461 -0.304 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)CC[C@@H]2C1)N1CCOCC1 ZINC000498697387 1072880976 /nfs/dbraw/zinc/88/09/76/1072880976.db2.gz UHNPMFPDACUENV-OAHLLOKOSA-N 0 0 430.461 -0.304 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498697464 1072881521 /nfs/dbraw/zinc/88/15/21/1072881521.db2.gz NFQUWFSFMQHMAB-CYBMUJFWSA-N 0 0 426.495 -0.172 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498697465 1072881397 /nfs/dbraw/zinc/88/13/97/1072881397.db2.gz NFQUWFSFMQHMAB-ZDUSSCGKSA-N 0 0 426.495 -0.172 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CC1 ZINC000498698503 1072881478 /nfs/dbraw/zinc/88/14/78/1072881478.db2.gz QJDCTTZFMRTBLZ-CYBMUJFWSA-N 0 0 449.489 -0.734 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CC1 ZINC000498698513 1072881571 /nfs/dbraw/zinc/88/15/71/1072881571.db2.gz QJDCTTZFMRTBLZ-ZDUSSCGKSA-N 0 0 449.489 -0.734 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000498699266 1072880147 /nfs/dbraw/zinc/88/01/47/1072880147.db2.gz SLWVNWXGJJMKGX-AWEZNQCLSA-N 0 0 443.460 -0.043 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000498699267 1072880126 /nfs/dbraw/zinc/88/01/26/1072880126.db2.gz SLWVNWXGJJMKGX-CQSZACIVSA-N 0 0 443.460 -0.043 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC000498699466 1072879652 /nfs/dbraw/zinc/87/96/52/1072879652.db2.gz AIOYWBXUAFACMW-GFCCVEGCSA-N 0 0 442.469 -0.644 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC000498699467 1072879766 /nfs/dbraw/zinc/87/97/66/1072879766.db2.gz AIOYWBXUAFACMW-LBPRGKRZSA-N 0 0 442.469 -0.644 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000498699573 1072880138 /nfs/dbraw/zinc/88/01/38/1072880138.db2.gz UEEGNVAJBMVPDM-LLVKDONJSA-N 0 0 430.458 -0.934 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000498699576 1072880023 /nfs/dbraw/zinc/88/00/23/1072880023.db2.gz UEEGNVAJBMVPDM-NSHDSACASA-N 0 0 430.458 -0.934 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)cn2)C[C@@H](C)O1 ZINC000498699634 1072879743 /nfs/dbraw/zinc/87/97/43/1072879743.db2.gz BGCRLEXROQEACV-FMKPAKJESA-N 0 0 432.481 -0.709 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)cn2)C[C@@H](C)O1 ZINC000498699635 1072879666 /nfs/dbraw/zinc/87/96/66/1072879666.db2.gz BGCRLEXROQEACV-IIAWOOMASA-N 0 0 432.481 -0.709 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)cn2)C[C@H](C)O1 ZINC000498699636 1072879623 /nfs/dbraw/zinc/87/96/23/1072879623.db2.gz BGCRLEXROQEACV-IJEWVQPXSA-N 0 0 432.481 -0.709 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)cn2)C[C@H](C)O1 ZINC000498699637 1072879730 /nfs/dbraw/zinc/87/97/30/1072879730.db2.gz BGCRLEXROQEACV-LZWOXQAQSA-N 0 0 432.481 -0.709 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1 ZINC000498699934 1072880217 /nfs/dbraw/zinc/88/02/17/1072880217.db2.gz VSFIPNBNQSTZRY-LLVKDONJSA-N 0 0 431.428 -0.331 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1 ZINC000498699937 1072880204 /nfs/dbraw/zinc/88/02/04/1072880204.db2.gz VSFIPNBNQSTZRY-NSHDSACASA-N 0 0 431.428 -0.331 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000498700458 1072880006 /nfs/dbraw/zinc/88/00/06/1072880006.db2.gz IVVISTZKQBDWKU-CHWSQXEVSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000498700459 1072880102 /nfs/dbraw/zinc/88/01/02/1072880102.db2.gz IVVISTZKQBDWKU-OLZOCXBDSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000498700460 1072880096 /nfs/dbraw/zinc/88/00/96/1072880096.db2.gz IVVISTZKQBDWKU-QWHCGFSZSA-N 0 0 426.495 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000498700461 1072880081 /nfs/dbraw/zinc/88/00/81/1072880081.db2.gz IVVISTZKQBDWKU-STQMWFEESA-N 0 0 426.495 -0.085 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000498700541 1072880111 /nfs/dbraw/zinc/88/01/11/1072880111.db2.gz GLMXXPKDFPHBKM-KRWDZBQOSA-N 0 0 440.504 -0.088 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498700542 1072880192 /nfs/dbraw/zinc/88/01/92/1072880192.db2.gz GLMXXPKDFPHBKM-QGZVFWFLSA-N 0 0 440.504 -0.088 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000498700828 1072880239 /nfs/dbraw/zinc/88/02/39/1072880239.db2.gz JSSNGVHYAJRCAJ-CYBMUJFWSA-N 0 0 438.506 -0.574 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000498700829 1072880159 /nfs/dbraw/zinc/88/01/59/1072880159.db2.gz JSSNGVHYAJRCAJ-ZDUSSCGKSA-N 0 0 438.506 -0.574 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000498700939 1072880227 /nfs/dbraw/zinc/88/02/27/1072880227.db2.gz KGZJIGSRAGPGAT-AWEZNQCLSA-N 0 0 432.477 -0.079 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000498700967 1072880055 /nfs/dbraw/zinc/88/00/55/1072880055.db2.gz KGZJIGSRAGPGAT-CQSZACIVSA-N 0 0 432.477 -0.079 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000498700993 1072880183 /nfs/dbraw/zinc/88/01/83/1072880183.db2.gz KMSQDHLNVFDNTO-HNNXBMFYSA-N 0 0 436.490 -0.521 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498700996 1072880036 /nfs/dbraw/zinc/88/00/36/1072880036.db2.gz KMSQDHLNVFDNTO-OAHLLOKOSA-N 0 0 436.490 -0.521 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000498701148 1072880639 /nfs/dbraw/zinc/88/06/39/1072880639.db2.gz ZYGLHKGEVUVEFW-CLWVCHIJSA-N 0 0 434.497 -0.512 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000498701149 1072880470 /nfs/dbraw/zinc/88/04/70/1072880470.db2.gz ZYGLHKGEVUVEFW-OCVGTWLNSA-N 0 0 434.497 -0.512 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000498701150 1072880630 /nfs/dbraw/zinc/88/06/30/1072880630.db2.gz ZYGLHKGEVUVEFW-UGQVUOCMSA-N 0 0 434.497 -0.512 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000498701151 1072880608 /nfs/dbraw/zinc/88/06/08/1072880608.db2.gz ZYGLHKGEVUVEFW-XOUADPBQSA-N 0 0 434.497 -0.512 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000498703294 1072883073 /nfs/dbraw/zinc/88/30/73/1072883073.db2.gz WNWOCCMHGNLPQP-HNNXBMFYSA-N 0 0 436.490 -0.521 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498703295 1072883050 /nfs/dbraw/zinc/88/30/50/1072883050.db2.gz WNWOCCMHGNLPQP-OAHLLOKOSA-N 0 0 436.490 -0.521 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)CC[C@@H]3C2)cc1 ZINC000498703679 1072882512 /nfs/dbraw/zinc/88/25/12/1072882512.db2.gz XWNAWDJBDFZJNR-DZGCQCFKSA-N 0 0 438.506 -0.229 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)CC[C@H]3C2)cc1 ZINC000498703680 1072882346 /nfs/dbraw/zinc/88/23/46/1072882346.db2.gz XWNAWDJBDFZJNR-HIFRSBDPSA-N 0 0 438.506 -0.229 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)CC[C@@H]3C2)cc1 ZINC000498703681 1072882417 /nfs/dbraw/zinc/88/24/17/1072882417.db2.gz XWNAWDJBDFZJNR-UKRRQHHQSA-N 0 0 438.506 -0.229 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)CC[C@H]3C2)cc1 ZINC000498703682 1072882462 /nfs/dbraw/zinc/88/24/62/1072882462.db2.gz XWNAWDJBDFZJNR-ZFWWWQNUSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000498703779 1072882575 /nfs/dbraw/zinc/88/25/75/1072882575.db2.gz ZNLQZNOTTUOOLK-GFCCVEGCSA-N 0 0 428.445 -0.317 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000498703782 1072883037 /nfs/dbraw/zinc/88/30/37/1072883037.db2.gz ZNLQZNOTTUOOLK-LBPRGKRZSA-N 0 0 428.445 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000498704054 1072882553 /nfs/dbraw/zinc/88/25/53/1072882553.db2.gz WGGFFEOBXSJIGY-CYBMUJFWSA-N 0 0 436.490 -0.092 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000498704055 1072882354 /nfs/dbraw/zinc/88/23/54/1072882354.db2.gz WGGFFEOBXSJIGY-ZDUSSCGKSA-N 0 0 436.490 -0.092 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CCN1CC(F)F ZINC000498704570 1073348946 /nfs/dbraw/zinc/34/89/46/1073348946.db2.gz YLFGCFHRIRVONY-AWEZNQCLSA-N 0 0 442.467 -0.132 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CCN1CC(F)F ZINC000498704576 1073347899 /nfs/dbraw/zinc/34/78/99/1073347899.db2.gz YLFGCFHRIRVONY-CQSZACIVSA-N 0 0 442.467 -0.132 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)c1 ZINC000498705239 1072881370 /nfs/dbraw/zinc/88/13/70/1072881370.db2.gz HQCDHCXWTFTJTR-UHFFFAOYSA-N 0 0 440.570 -0.239 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000498706560 1072881334 /nfs/dbraw/zinc/88/13/34/1072881334.db2.gz FFWPIVLUKWJQAU-UHFFFAOYSA-N 0 0 429.587 -0.257 20 0 IBADRN NC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000498707719 1072881386 /nfs/dbraw/zinc/88/13/86/1072881386.db2.gz PURUHYUSVHSMTD-SFHVURJKSA-N 0 0 442.497 -0.141 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc2c1=O ZINC000498710205 1072883023 /nfs/dbraw/zinc/88/30/23/1072883023.db2.gz GPJOMKUJTHBVBK-UHFFFAOYSA-N 0 0 429.477 -0.261 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)cn1 ZINC000498715653 1072883107 /nfs/dbraw/zinc/88/31/07/1072883107.db2.gz JPNZLBKWXOLOSH-UHFFFAOYSA-N 0 0 440.570 -0.461 20 0 IBADRN O=C(NC1CCN(C(=O)C2CC2)CC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000498726587 1072885318 /nfs/dbraw/zinc/88/53/18/1072885318.db2.gz FHFAVOPIYLWTFY-UHFFFAOYSA-N 0 0 434.518 -0.062 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CCn4ncn(C)c4=O)CC3)C2=O)n(C)n1 ZINC000498730115 1072885126 /nfs/dbraw/zinc/88/51/26/1072885126.db2.gz NMJFRISGCHSUNJ-INIZCTEOSA-N 0 0 430.513 -0.646 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CCn4ncn(C)c4=O)CC3)C2=O)n(C)n1 ZINC000498730118 1072885868 /nfs/dbraw/zinc/88/58/68/1072885868.db2.gz NMJFRISGCHSUNJ-MRXNPFEDSA-N 0 0 430.513 -0.646 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)COCc4nncn4C)CC3)C2=O)n(C)n1 ZINC000498732419 1072884672 /nfs/dbraw/zinc/88/46/72/1072884672.db2.gz ZFLMCVVGRCRTTE-INIZCTEOSA-N 0 0 430.513 -0.287 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)COCc4nncn4C)CC3)C2=O)n(C)n1 ZINC000498732420 1072884707 /nfs/dbraw/zinc/88/47/07/1072884707.db2.gz ZFLMCVVGRCRTTE-MRXNPFEDSA-N 0 0 430.513 -0.287 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)nn1 ZINC000498733701 1072885236 /nfs/dbraw/zinc/88/52/36/1072885236.db2.gz LUOPENKNADCLGL-UHFFFAOYSA-N 0 0 443.508 -0.043 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000498737721 1072885695 /nfs/dbraw/zinc/88/56/95/1072885695.db2.gz KCPKVPWNRKMRAN-UHFFFAOYSA-N 0 0 434.522 -0.447 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCn1[nH]c(=O)c2ccccc2c1=O ZINC000498750083 1072886370 /nfs/dbraw/zinc/88/63/70/1072886370.db2.gz GJOXWUNOJXPGAG-CYBMUJFWSA-N 0 0 436.490 -0.356 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCn1[nH]c(=O)c2ccccc2c1=O ZINC000498750084 1072886430 /nfs/dbraw/zinc/88/64/30/1072886430.db2.gz GJOXWUNOJXPGAG-ZDUSSCGKSA-N 0 0 436.490 -0.356 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CS(=O)(=O)Cc2nnnn2C2CC2)c1 ZINC000498751837 1072886333 /nfs/dbraw/zinc/88/63/33/1072886333.db2.gz CPLFJTINXWMHHT-UHFFFAOYSA-N 0 0 428.496 -0.188 20 0 IBADRN CNC(=O)CCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000498754565 1072887258 /nfs/dbraw/zinc/88/72/58/1072887258.db2.gz TYXMOGJNTMTXBT-UHFFFAOYSA-N 0 0 429.495 -0.019 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)cnc21 ZINC000498756247 1072886819 /nfs/dbraw/zinc/88/68/19/1072886819.db2.gz WFIWVRZDEGIKBK-UHFFFAOYSA-N 0 0 437.460 -0.102 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N3CCN(c4nccc(OC)n4)CC3)CC2)n1 ZINC000498757295 1072887343 /nfs/dbraw/zinc/88/73/43/1072887343.db2.gz KAFKGUGLHVWIIG-UHFFFAOYSA-N 0 0 442.480 -0.719 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)CC[C@H]4C3)c2)CC1 ZINC000498761048 1072887277 /nfs/dbraw/zinc/88/72/77/1072887277.db2.gz SWXLEMHCUIBBAL-KRWDZBQOSA-N 0 0 434.518 -0.014 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)CC[C@@H]4C3)c2)CC1 ZINC000498761052 1072887176 /nfs/dbraw/zinc/88/71/76/1072887176.db2.gz SWXLEMHCUIBBAL-QGZVFWFLSA-N 0 0 434.518 -0.014 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000498764975 1072888322 /nfs/dbraw/zinc/88/83/22/1072888322.db2.gz AHUFYTBHTBJQTL-AWEZNQCLSA-N 0 0 441.506 -0.619 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000498764976 1072888356 /nfs/dbraw/zinc/88/83/56/1072888356.db2.gz AHUFYTBHTBJQTL-CQSZACIVSA-N 0 0 441.506 -0.619 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000498765372 1072887368 /nfs/dbraw/zinc/88/73/68/1072887368.db2.gz BMXGMEIDNSAZPS-CAOSSQGBSA-N 0 0 429.539 -0.852 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000498765373 1072887419 /nfs/dbraw/zinc/88/74/19/1072887419.db2.gz BMXGMEIDNSAZPS-XUWVNRHRSA-N 0 0 429.539 -0.852 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000498765374 1072887208 /nfs/dbraw/zinc/88/72/08/1072887208.db2.gz BMXGMEIDNSAZPS-YHUYYLMFSA-N 0 0 429.539 -0.852 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000498765375 1072887410 /nfs/dbraw/zinc/88/74/10/1072887410.db2.gz BMXGMEIDNSAZPS-ZJIFWQFVSA-N 0 0 429.539 -0.852 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCN1CCOC[C@@H]1C ZINC000498767948 1072887733 /nfs/dbraw/zinc/88/77/33/1072887733.db2.gz ODGOTHPIRJETKP-AWEZNQCLSA-N 0 0 443.522 -0.109 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCN1CCOC[C@H]1C ZINC000498767952 1072887639 /nfs/dbraw/zinc/88/76/39/1072887639.db2.gz ODGOTHPIRJETKP-CQSZACIVSA-N 0 0 443.522 -0.109 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCN(C(=O)[C@H](C)O)CC3)CC2)c1 ZINC000498768087 1072887399 /nfs/dbraw/zinc/88/73/99/1072887399.db2.gz IUDSSCJWQOEUNX-KRWDZBQOSA-N 0 0 438.550 -0.502 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCN(C(=O)[C@@H](C)O)CC3)CC2)c1 ZINC000498768094 1072887930 /nfs/dbraw/zinc/88/79/30/1072887930.db2.gz IUDSSCJWQOEUNX-QGZVFWFLSA-N 0 0 438.550 -0.502 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498769363 1072887716 /nfs/dbraw/zinc/88/77/16/1072887716.db2.gz LUKYKJCBVSKFPD-CYBMUJFWSA-N 0 0 431.536 -0.502 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000498769366 1072888171 /nfs/dbraw/zinc/88/81/71/1072888171.db2.gz LUKYKJCBVSKFPD-ZDUSSCGKSA-N 0 0 431.536 -0.502 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000498769715 1072888154 /nfs/dbraw/zinc/88/81/54/1072888154.db2.gz MISUVOLKNZHBSK-AWEZNQCLSA-N 0 0 441.506 -0.432 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000498769722 1072888187 /nfs/dbraw/zinc/88/81/87/1072888187.db2.gz MISUVOLKNZHBSK-CQSZACIVSA-N 0 0 441.506 -0.432 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000498770080 1072887848 /nfs/dbraw/zinc/88/78/48/1072887848.db2.gz OCNLVAVCLUFJBE-HNNXBMFYSA-N 0 0 439.534 -0.065 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000498770081 1072887864 /nfs/dbraw/zinc/88/78/64/1072887864.db2.gz OCNLVAVCLUFJBE-OAHLLOKOSA-N 0 0 439.534 -0.065 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498772194 1072889357 /nfs/dbraw/zinc/88/93/57/1072889357.db2.gz WRXGPWRJBSUKAH-BRWVUGGUSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498772195 1072889288 /nfs/dbraw/zinc/88/92/88/1072889288.db2.gz WRXGPWRJBSUKAH-IKGGRYGDSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498772196 1072889415 /nfs/dbraw/zinc/88/94/15/1072889415.db2.gz WRXGPWRJBSUKAH-IXDOHACOSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498772197 1072889176 /nfs/dbraw/zinc/88/91/76/1072889176.db2.gz WRXGPWRJBSUKAH-ZACQAIPSSA-N 0 0 430.505 -0.141 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000498773636 1072889328 /nfs/dbraw/zinc/88/93/28/1072889328.db2.gz KNTKCASQNXFFCK-UHFFFAOYSA-N 0 0 438.503 -0.540 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1OC ZINC000498773810 1072889448 /nfs/dbraw/zinc/88/94/48/1072889448.db2.gz AQXVMMHJAWOCHK-CYBMUJFWSA-N 0 0 429.495 -0.576 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1OC ZINC000498773811 1072889258 /nfs/dbraw/zinc/88/92/58/1072889258.db2.gz AQXVMMHJAWOCHK-ZDUSSCGKSA-N 0 0 429.495 -0.576 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1 ZINC000498774424 1072889862 /nfs/dbraw/zinc/88/98/62/1072889862.db2.gz PYVYKUBFKBGKQF-UHFFFAOYSA-N 0 0 435.524 -0.175 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498774981 1072889188 /nfs/dbraw/zinc/88/91/88/1072889188.db2.gz MLBRLUFGRFSZCD-AWEZNQCLSA-N 0 0 441.506 -0.432 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000498774983 1072889944 /nfs/dbraw/zinc/88/99/44/1072889944.db2.gz MLBRLUFGRFSZCD-CQSZACIVSA-N 0 0 441.506 -0.432 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CCCCCC(=O)N2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000498775938 1072889958 /nfs/dbraw/zinc/88/99/58/1072889958.db2.gz SFOUOUMLSFIAOS-CALCHBBNSA-N 0 0 440.541 -0.960 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CCCCCC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)CC1 ZINC000498775939 1072889902 /nfs/dbraw/zinc/88/99/02/1072889902.db2.gz SFOUOUMLSFIAOS-IAGOWNOFSA-N 0 0 440.541 -0.960 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CCCCCC(=O)N2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000498775940 1072889927 /nfs/dbraw/zinc/88/99/27/1072889927.db2.gz SFOUOUMLSFIAOS-IRXDYDNUSA-N 0 0 440.541 -0.960 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)C(=O)Nc1cc(CS(C)(=O)=O)ccc1OC ZINC000498775987 1072889777 /nfs/dbraw/zinc/88/97/77/1072889777.db2.gz WFCSIRZYISOMOG-UHFFFAOYSA-N 0 0 449.551 -0.034 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000498776075 1072889712 /nfs/dbraw/zinc/88/97/12/1072889712.db2.gz GBXUPIRWZPHNGF-CYBMUJFWSA-N 0 0 431.536 -0.637 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000498776078 1072889788 /nfs/dbraw/zinc/88/97/88/1072889788.db2.gz GBXUPIRWZPHNGF-ZDUSSCGKSA-N 0 0 431.536 -0.637 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000498776406 1072890293 /nfs/dbraw/zinc/89/02/93/1072890293.db2.gz URZTUMXKOCFYOA-AAEUAGOBSA-N 0 0 442.494 -0.989 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000498776407 1072890227 /nfs/dbraw/zinc/89/02/27/1072890227.db2.gz URZTUMXKOCFYOA-DGCLKSJQSA-N 0 0 442.494 -0.989 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000498776408 1072890243 /nfs/dbraw/zinc/89/02/43/1072890243.db2.gz URZTUMXKOCFYOA-WCQYABFASA-N 0 0 442.494 -0.989 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000498776410 1072890303 /nfs/dbraw/zinc/89/03/03/1072890303.db2.gz URZTUMXKOCFYOA-YPMHNXCESA-N 0 0 442.494 -0.989 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000498777008 1072889746 /nfs/dbraw/zinc/88/97/46/1072889746.db2.gz XXWRUMZLHYCWGK-KBPBESRZSA-N 0 0 441.506 -0.434 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000498777009 1072890283 /nfs/dbraw/zinc/89/02/83/1072890283.db2.gz XXWRUMZLHYCWGK-UONOGXRCSA-N 0 0 441.506 -0.434 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000498777068 1072890271 /nfs/dbraw/zinc/89/02/71/1072890271.db2.gz YSMMOKHDXNODQL-INIZCTEOSA-N 0 0 439.534 -0.308 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000498777069 1072890203 /nfs/dbraw/zinc/89/02/03/1072890203.db2.gz YSMMOKHDXNODQL-MRXNPFEDSA-N 0 0 439.534 -0.308 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498777465 1072888369 /nfs/dbraw/zinc/88/83/69/1072888369.db2.gz NHSWTPFYMPCBRX-CYBMUJFWSA-N 0 0 427.479 -0.807 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498777466 1072888235 /nfs/dbraw/zinc/88/82/35/1072888235.db2.gz NHSWTPFYMPCBRX-ZDUSSCGKSA-N 0 0 427.479 -0.807 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)C1 ZINC000498777996 1072890256 /nfs/dbraw/zinc/89/02/56/1072890256.db2.gz RTSLZYVDXOADMH-CABCVRRESA-N 0 0 427.527 -0.521 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)[C@H](C)O)CC2)C1 ZINC000498777998 1072890315 /nfs/dbraw/zinc/89/03/15/1072890315.db2.gz RTSLZYVDXOADMH-GJZGRUSLSA-N 0 0 427.527 -0.521 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)C1 ZINC000498778000 1072890340 /nfs/dbraw/zinc/89/03/40/1072890340.db2.gz RTSLZYVDXOADMH-HUUCEWRRSA-N 0 0 427.527 -0.521 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)[C@H](C)O)CC2)C1 ZINC000498778002 1072890189 /nfs/dbraw/zinc/89/01/89/1072890189.db2.gz RTSLZYVDXOADMH-LSDHHAIUSA-N 0 0 427.527 -0.521 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)CC1 ZINC000498778087 1072890237 /nfs/dbraw/zinc/89/02/37/1072890237.db2.gz SOUKYRKHIGSURU-CYBMUJFWSA-N 0 0 428.511 -0.256 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000498778088 1072890634 /nfs/dbraw/zinc/89/06/34/1072890634.db2.gz SOUKYRKHIGSURU-ZDUSSCGKSA-N 0 0 428.511 -0.256 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000498778251 1072888923 /nfs/dbraw/zinc/88/89/23/1072888923.db2.gz HIWQACMNGALRSA-PBHICJAKSA-N 0 0 429.477 -0.412 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000498778254 1072888804 /nfs/dbraw/zinc/88/88/04/1072888804.db2.gz HIWQACMNGALRSA-RHSMWYFYSA-N 0 0 429.477 -0.412 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000498778255 1072888723 /nfs/dbraw/zinc/88/87/23/1072888723.db2.gz HIWQACMNGALRSA-WMLDXEAASA-N 0 0 429.477 -0.412 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000498778256 1072888912 /nfs/dbraw/zinc/88/89/12/1072888912.db2.gz HIWQACMNGALRSA-YOEHRIQHSA-N 0 0 429.477 -0.412 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)CCCC1 ZINC000498778991 1072888733 /nfs/dbraw/zinc/88/87/33/1072888733.db2.gz AJMFNFDEKRAHSK-CYBMUJFWSA-N 0 0 428.511 -0.066 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CCN(C(=O)[C@H](C)O)CC2)CCCC1 ZINC000498778992 1072888826 /nfs/dbraw/zinc/88/88/26/1072888826.db2.gz AJMFNFDEKRAHSK-ZDUSSCGKSA-N 0 0 428.511 -0.066 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)[C@H](C)O)CC2)C1 ZINC000498779206 1072888780 /nfs/dbraw/zinc/88/87/80/1072888780.db2.gz YKOQCTISZXCWIL-DZGCQCFKSA-N 0 0 428.511 -0.256 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)C1 ZINC000498779207 1072888763 /nfs/dbraw/zinc/88/87/63/1072888763.db2.gz YKOQCTISZXCWIL-HIFRSBDPSA-N 0 0 428.511 -0.256 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)C1 ZINC000498779208 1072888964 /nfs/dbraw/zinc/88/89/64/1072888964.db2.gz YKOQCTISZXCWIL-UKRRQHHQSA-N 0 0 428.511 -0.256 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)[C@H](C)O)CC2)C1 ZINC000498779209 1072888794 /nfs/dbraw/zinc/88/87/94/1072888794.db2.gz YKOQCTISZXCWIL-ZFWWWQNUSA-N 0 0 428.511 -0.256 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498779390 1072888709 /nfs/dbraw/zinc/88/87/09/1072888709.db2.gz CGLWAECCZNOQMO-QWHCGFSZSA-N 0 0 426.495 -0.637 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498779392 1072888852 /nfs/dbraw/zinc/88/88/52/1072888852.db2.gz CGLWAECCZNOQMO-STQMWFEESA-N 0 0 426.495 -0.637 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000498779651 1072888864 /nfs/dbraw/zinc/88/88/64/1072888864.db2.gz DCNXZUHZWWRJJO-GDBMZVCRSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000498779652 1072888772 /nfs/dbraw/zinc/88/87/72/1072888772.db2.gz DCNXZUHZWWRJJO-GOEBONIOSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000498779653 1072888978 /nfs/dbraw/zinc/88/89/78/1072888978.db2.gz DCNXZUHZWWRJJO-HOCLYGCPSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000498779654 1072888816 /nfs/dbraw/zinc/88/88/16/1072888816.db2.gz DCNXZUHZWWRJJO-ZBFHGGJFSA-N 0 0 425.507 -0.191 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000498782130 1072890644 /nfs/dbraw/zinc/89/06/44/1072890644.db2.gz LFLPAHSQUNFDSC-HNNXBMFYSA-N 0 0 425.507 -0.319 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000498782131 1072890834 /nfs/dbraw/zinc/89/08/34/1072890834.db2.gz LFLPAHSQUNFDSC-OAHLLOKOSA-N 0 0 425.507 -0.319 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc(S(C)(=O)=O)c1 ZINC000498783321 1072891319 /nfs/dbraw/zinc/89/13/19/1072891319.db2.gz ACFLLNFZYNNXTH-UHFFFAOYSA-N 0 0 447.535 -0.127 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498783909 1072891100 /nfs/dbraw/zinc/89/11/00/1072891100.db2.gz IORPTCNWRDTPAQ-ILXRZTDVSA-N 0 0 437.518 -0.087 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498783917 1072891079 /nfs/dbraw/zinc/89/10/79/1072891079.db2.gz IORPTCNWRDTPAQ-KFWWJZLASA-N 0 0 437.518 -0.087 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498783922 1072891337 /nfs/dbraw/zinc/89/13/37/1072891337.db2.gz IORPTCNWRDTPAQ-QLFBSQMISA-N 0 0 437.518 -0.087 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498783929 1072891303 /nfs/dbraw/zinc/89/13/03/1072891303.db2.gz IORPTCNWRDTPAQ-RBSFLKMASA-N 0 0 437.518 -0.087 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000498783962 1072891261 /nfs/dbraw/zinc/89/12/61/1072891261.db2.gz QLYXDZFYSROJSJ-HNNXBMFYSA-N 0 0 425.507 -0.319 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000498783970 1072891245 /nfs/dbraw/zinc/89/12/45/1072891245.db2.gz QLYXDZFYSROJSJ-OAHLLOKOSA-N 0 0 425.507 -0.319 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)ccc1F ZINC000498785320 1072891550 /nfs/dbraw/zinc/89/15/50/1072891550.db2.gz KVLMOJFCPJIHLO-UHFFFAOYSA-N 0 0 438.485 -0.250 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)Nc1cc(F)c(N2CCOCC2)cc1F ZINC000498787680 1072891583 /nfs/dbraw/zinc/89/15/83/1072891583.db2.gz QBHUHYGHMYYISG-UHFFFAOYSA-N 0 0 425.392 -0.202 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000498790217 1072892671 /nfs/dbraw/zinc/89/26/71/1072892671.db2.gz LWGCOMBSYLOTPN-AWEZNQCLSA-N 0 0 427.527 -0.521 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)CC1 ZINC000498790218 1072892491 /nfs/dbraw/zinc/89/24/91/1072892491.db2.gz LWGCOMBSYLOTPN-CQSZACIVSA-N 0 0 427.527 -0.521 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)ccc1F ZINC000498790345 1072892647 /nfs/dbraw/zinc/89/26/47/1072892647.db2.gz SHZVGMLUNIWXCY-UHFFFAOYSA-N 0 0 438.485 -0.250 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498790360 1072892698 /nfs/dbraw/zinc/89/26/98/1072892698.db2.gz YXEJVDINFWSRAP-KBPBESRZSA-N 0 0 443.460 -0.920 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498790361 1072892616 /nfs/dbraw/zinc/89/26/16/1072892616.db2.gz YXEJVDINFWSRAP-KGLIPLIRSA-N 0 0 443.460 -0.920 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498790362 1072892604 /nfs/dbraw/zinc/89/26/04/1072892604.db2.gz YXEJVDINFWSRAP-UONOGXRCSA-N 0 0 443.460 -0.920 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498790363 1072892537 /nfs/dbraw/zinc/89/25/37/1072892537.db2.gz YXEJVDINFWSRAP-ZIAGYGMSSA-N 0 0 443.460 -0.920 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000498792394 1072892597 /nfs/dbraw/zinc/89/25/97/1072892597.db2.gz SKBOIHIMDPKMPM-CYBMUJFWSA-N 0 0 426.495 -0.683 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000498792395 1072892609 /nfs/dbraw/zinc/89/26/09/1072892609.db2.gz SKBOIHIMDPKMPM-ZDUSSCGKSA-N 0 0 426.495 -0.683 20 0 IBADRN COC(=O)c1cc(F)c(Br)cc1NC(=O)C(=O)NCCN1C(=O)CNC1=O ZINC000498792789 1072892559 /nfs/dbraw/zinc/89/25/59/1072892559.db2.gz AGODJPMXNQPLMP-UHFFFAOYSA-N 0 0 445.201 -0.019 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000498792795 1072892504 /nfs/dbraw/zinc/89/25/04/1072892504.db2.gz UUGIRYAPENYNER-LLVKDONJSA-N 0 0 439.412 -0.085 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000498792807 1072892623 /nfs/dbraw/zinc/89/26/23/1072892623.db2.gz UUGIRYAPENYNER-NSHDSACASA-N 0 0 439.412 -0.085 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1OCC ZINC000498793094 1072892680 /nfs/dbraw/zinc/89/26/80/1072892680.db2.gz OTNOAEQKMSUTFQ-AWEZNQCLSA-N 0 0 443.522 -0.186 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1OCC ZINC000498793095 1072892689 /nfs/dbraw/zinc/89/26/89/1072892689.db2.gz OTNOAEQKMSUTFQ-CQSZACIVSA-N 0 0 443.522 -0.186 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000498794661 1072892590 /nfs/dbraw/zinc/89/25/90/1072892590.db2.gz ZAXDSKYDLWWCIN-AWEZNQCLSA-N 0 0 441.506 -0.619 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000498794662 1072892966 /nfs/dbraw/zinc/89/29/66/1072892966.db2.gz ZAXDSKYDLWWCIN-CQSZACIVSA-N 0 0 441.506 -0.619 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000498795036 1072892527 /nfs/dbraw/zinc/89/25/27/1072892527.db2.gz AXSGGMQEQZWIJK-AWEZNQCLSA-N 0 0 433.538 -0.150 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000498795042 1072892661 /nfs/dbraw/zinc/89/26/61/1072892661.db2.gz AXSGGMQEQZWIJK-CQSZACIVSA-N 0 0 433.538 -0.150 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN(C(=O)[C@H](C)O)CC3)CC2)cc1 ZINC000498795591 1072892920 /nfs/dbraw/zinc/89/29/20/1072892920.db2.gz GOAMOMZYFMHFFC-KRWDZBQOSA-N 0 0 438.550 -0.647 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN(C(=O)[C@@H](C)O)CC3)CC2)cc1 ZINC000498795592 1072893142 /nfs/dbraw/zinc/89/31/42/1072893142.db2.gz GOAMOMZYFMHFFC-QGZVFWFLSA-N 0 0 438.550 -0.647 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000498796000 1072893046 /nfs/dbraw/zinc/89/30/46/1072893046.db2.gz JRSYOOGWROGBNH-SECBINFHSA-N 0 0 440.341 -0.160 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000498796001 1072893069 /nfs/dbraw/zinc/89/30/69/1072893069.db2.gz JRSYOOGWROGBNH-VIFPVBQESA-N 0 0 440.341 -0.160 20 0 IBADRN Cc1cccn(Cc2c(F)cccc2NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1=O ZINC000498796101 1072892931 /nfs/dbraw/zinc/89/29/31/1072892931.db2.gz SQVXFLMUSKDGNF-UHFFFAOYSA-N 0 0 429.408 -0.049 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1OCCOC ZINC000498805890 1072893149 /nfs/dbraw/zinc/89/31/49/1072893149.db2.gz KXNXVQJRIZTTRO-AWEZNQCLSA-N 0 0 427.479 -0.209 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1OCCOC ZINC000498805898 1072893712 /nfs/dbraw/zinc/89/37/12/1072893712.db2.gz KXNXVQJRIZTTRO-CQSZACIVSA-N 0 0 427.479 -0.209 20 0 IBADRN CS(=O)(=O)Nc1cccc2c1CCN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C2 ZINC000498806574 1072893739 /nfs/dbraw/zinc/89/37/39/1072893739.db2.gz SKLMTHLZNPNSLN-CYBMUJFWSA-N 0 0 444.535 -0.571 20 0 IBADRN CS(=O)(=O)Nc1cccc2c1CCN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C2 ZINC000498806577 1072893682 /nfs/dbraw/zinc/89/36/82/1072893682.db2.gz SKLMTHLZNPNSLN-ZDUSSCGKSA-N 0 0 444.535 -0.571 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCS(=O)(=O)Cc1nnnn1-c1ccccc1)c(=O)n2C ZINC000498813473 1072894552 /nfs/dbraw/zinc/89/45/52/1072894552.db2.gz XWTNUPAYFOUNLE-UHFFFAOYSA-N 0 0 444.477 -0.586 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498813803 1072894685 /nfs/dbraw/zinc/89/46/85/1072894685.db2.gz ZNBLGVPVRSNNRS-KKUMJFAQSA-N 0 0 437.518 -0.039 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498813804 1072894641 /nfs/dbraw/zinc/89/46/41/1072894641.db2.gz ZNBLGVPVRSNNRS-RRFJBIMHSA-N 0 0 437.518 -0.039 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000498813805 1072894721 /nfs/dbraw/zinc/89/47/21/1072894721.db2.gz ZNBLGVPVRSNNRS-SOUVJXGZSA-N 0 0 437.518 -0.039 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498813806 1072894783 /nfs/dbraw/zinc/89/47/83/1072894783.db2.gz ZNBLGVPVRSNNRS-ZNMIVQPWSA-N 0 0 437.518 -0.039 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)ccc1F ZINC000498814700 1072894770 /nfs/dbraw/zinc/89/47/70/1072894770.db2.gz RVKFVIJQUZOOHL-JTQLQIEISA-N 0 0 440.375 -0.048 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)ccc1F ZINC000498814701 1072894667 /nfs/dbraw/zinc/89/46/67/1072894667.db2.gz RVKFVIJQUZOOHL-SNVBAGLBSA-N 0 0 440.375 -0.048 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2c(C)c(C(F)(F)F)nn2C)CC1 ZINC000498816023 1072894696 /nfs/dbraw/zinc/89/46/96/1072894696.db2.gz BKXLDQDTTDKJCE-UHFFFAOYSA-N 0 0 432.403 -0.117 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CN2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000498816837 1072895239 /nfs/dbraw/zinc/89/52/39/1072895239.db2.gz WCQSXCRRVKAWQN-UHFFFAOYSA-N 0 0 447.521 -0.668 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1)N1CCOCC1 ZINC000498817810 1072895368 /nfs/dbraw/zinc/89/53/68/1072895368.db2.gz BHVNGXLWYLNIFC-UHFFFAOYSA-N 0 0 429.477 -0.645 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(C)C2=O)C1 ZINC000498825176 1072895140 /nfs/dbraw/zinc/89/51/40/1072895140.db2.gz SWHQBTYEPWXGJJ-DZGCQCFKSA-N 0 0 434.497 -0.771 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(C)C2=O)C1 ZINC000498825177 1072895214 /nfs/dbraw/zinc/89/52/14/1072895214.db2.gz SWHQBTYEPWXGJJ-HIFRSBDPSA-N 0 0 434.497 -0.771 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(C)C2=O)C1 ZINC000498825178 1072895344 /nfs/dbraw/zinc/89/53/44/1072895344.db2.gz SWHQBTYEPWXGJJ-UKRRQHHQSA-N 0 0 434.497 -0.771 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(C)C2=O)C1 ZINC000498825179 1072895105 /nfs/dbraw/zinc/89/51/05/1072895105.db2.gz SWHQBTYEPWXGJJ-ZFWWWQNUSA-N 0 0 434.497 -0.771 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C1=O ZINC000498826498 1072895318 /nfs/dbraw/zinc/89/53/18/1072895318.db2.gz BXODVCUGGWPUED-HNNXBMFYSA-N 0 0 442.925 -0.090 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C1=O ZINC000498826499 1072895069 /nfs/dbraw/zinc/89/50/69/1072895069.db2.gz BXODVCUGGWPUED-OAHLLOKOSA-N 0 0 442.925 -0.090 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(C)C2=O)CC1 ZINC000498826902 1072895027 /nfs/dbraw/zinc/89/50/27/1072895027.db2.gz XVQTXZQDVMLWHG-HNNXBMFYSA-N 0 0 431.559 -0.766 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(C)C2=O)CC1 ZINC000498826909 1072895335 /nfs/dbraw/zinc/89/53/35/1072895335.db2.gz XVQTXZQDVMLWHG-OAHLLOKOSA-N 0 0 431.559 -0.766 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1=O ZINC000498837687 1072896558 /nfs/dbraw/zinc/89/65/58/1072896558.db2.gz MEUXRXZSLDMBMJ-KRWDZBQOSA-N 0 0 427.505 -0.543 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1=O ZINC000498837688 1072896607 /nfs/dbraw/zinc/89/66/07/1072896607.db2.gz MEUXRXZSLDMBMJ-QGZVFWFLSA-N 0 0 427.505 -0.543 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCS3)CC2)C[C@@H](C)O1 ZINC000498837939 1072896104 /nfs/dbraw/zinc/89/61/04/1072896104.db2.gz NKMPJHJZJLCJJA-KFWWJZLASA-N 0 0 434.584 -0.504 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCS3)CC2)C[C@H](C)O1 ZINC000498837940 1072896210 /nfs/dbraw/zinc/89/62/10/1072896210.db2.gz NKMPJHJZJLCJJA-QLFBSQMISA-N 0 0 434.584 -0.504 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCS3)CC2)C[C@@H](C)O1 ZINC000498837942 1072896693 /nfs/dbraw/zinc/89/66/93/1072896693.db2.gz NKMPJHJZJLCJJA-RBSFLKMASA-N 0 0 434.584 -0.504 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCS3)CC2)C[C@H](C)O1 ZINC000498837944 1072896646 /nfs/dbraw/zinc/89/66/46/1072896646.db2.gz NKMPJHJZJLCJJA-ZNMIVQPWSA-N 0 0 434.584 -0.504 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCN(C)C3=O)CC2)cc1 ZINC000498838323 1072896544 /nfs/dbraw/zinc/89/65/44/1072896544.db2.gz OSHBHAQPTCDMLS-INIZCTEOSA-N 0 0 438.506 -0.735 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCN(C)C3=O)CC2)cc1 ZINC000498838330 1072896719 /nfs/dbraw/zinc/89/67/19/1072896719.db2.gz OSHBHAQPTCDMLS-MRXNPFEDSA-N 0 0 438.506 -0.735 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1=O ZINC000498838761 1072896705 /nfs/dbraw/zinc/89/67/05/1072896705.db2.gz POIJAQTVMAMVDY-KRWDZBQOSA-N 0 0 433.484 -0.563 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1=O ZINC000498838766 1072896486 /nfs/dbraw/zinc/89/64/86/1072896486.db2.gz POIJAQTVMAMVDY-QGZVFWFLSA-N 0 0 433.484 -0.563 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1=O ZINC000498839364 1072896677 /nfs/dbraw/zinc/89/66/77/1072896677.db2.gz QYVKCOOGTOKSCE-AWEZNQCLSA-N 0 0 444.460 -0.465 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1=O ZINC000498839365 1072896634 /nfs/dbraw/zinc/89/66/34/1072896634.db2.gz QYVKCOOGTOKSCE-CQSZACIVSA-N 0 0 444.460 -0.465 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C1=O ZINC000498839650 1073359177 /nfs/dbraw/zinc/35/91/77/1073359177.db2.gz XAABJTYLKNBLCI-INIZCTEOSA-N 0 0 425.493 -0.407 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C1=O ZINC000498839651 1072896588 /nfs/dbraw/zinc/89/65/88/1072896588.db2.gz XAABJTYLKNBLCI-MRXNPFEDSA-N 0 0 425.493 -0.407 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1=O ZINC000498839777 1072896524 /nfs/dbraw/zinc/89/65/24/1072896524.db2.gz SORCXQNGNZDQEC-AWEZNQCLSA-N 0 0 448.505 -0.867 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1=O ZINC000498839778 1072896575 /nfs/dbraw/zinc/89/65/75/1072896575.db2.gz SORCXQNGNZDQEC-CQSZACIVSA-N 0 0 448.505 -0.867 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCN(C)C3=O)CC2)c1 ZINC000498840206 1072896533 /nfs/dbraw/zinc/89/65/33/1072896533.db2.gz YAHHWQDFYNLNSM-INIZCTEOSA-N 0 0 436.534 -0.127 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCN(C)C3=O)CC2)c1 ZINC000498840211 1072896722 /nfs/dbraw/zinc/89/67/22/1072896722.db2.gz YAHHWQDFYNLNSM-MRXNPFEDSA-N 0 0 436.534 -0.127 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCS3)CC2)o1 ZINC000498842671 1072896967 /nfs/dbraw/zinc/89/69/67/1072896967.db2.gz ZMVUATNOSRDTRC-LLVKDONJSA-N 0 0 430.508 -0.777 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCS3)CC2)o1 ZINC000498842672 1072897009 /nfs/dbraw/zinc/89/70/09/1072897009.db2.gz ZMVUATNOSRDTRC-NSHDSACASA-N 0 0 430.508 -0.777 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1=O ZINC000498843056 1072896951 /nfs/dbraw/zinc/89/69/51/1072896951.db2.gz HHPGNCRLOSTFJZ-AWEZNQCLSA-N 0 0 432.506 -0.863 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1=O ZINC000498843058 1072897157 /nfs/dbraw/zinc/89/71/57/1072897157.db2.gz HHPGNCRLOSTFJZ-CQSZACIVSA-N 0 0 432.506 -0.863 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)C1=O ZINC000498845911 1072896203 /nfs/dbraw/zinc/89/62/03/1072896203.db2.gz VPNSPIOUOKMEQC-GOSISDBHSA-N 0 0 441.532 -0.153 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)C1=O ZINC000498845912 1072896161 /nfs/dbraw/zinc/89/61/61/1072896161.db2.gz VPNSPIOUOKMEQC-SFHVURJKSA-N 0 0 441.532 -0.153 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)C1=O ZINC000498845944 1072896222 /nfs/dbraw/zinc/89/62/22/1072896222.db2.gz VZGXPUMKAFQVRZ-GDBMZVCRSA-N 0 0 440.497 -0.168 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)C1=O ZINC000498845945 1072896078 /nfs/dbraw/zinc/89/60/78/1072896078.db2.gz VZGXPUMKAFQVRZ-GOEBONIOSA-N 0 0 440.497 -0.168 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)C1=O ZINC000498845946 1072896119 /nfs/dbraw/zinc/89/61/19/1072896119.db2.gz VZGXPUMKAFQVRZ-HOCLYGCPSA-N 0 0 440.497 -0.168 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)C1=O ZINC000498845947 1072896174 /nfs/dbraw/zinc/89/61/74/1072896174.db2.gz VZGXPUMKAFQVRZ-ZBFHGGJFSA-N 0 0 440.497 -0.168 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(N(C)C(C)=O)cc1 ZINC000498848673 1072897032 /nfs/dbraw/zinc/89/70/32/1072897032.db2.gz FLLJIBPDQGDKNM-INIZCTEOSA-N 0 0 427.479 -0.262 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(N(C)C(C)=O)cc1 ZINC000498848674 1072897180 /nfs/dbraw/zinc/89/71/80/1072897180.db2.gz FLLJIBPDQGDKNM-MRXNPFEDSA-N 0 0 427.479 -0.262 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(OCCOC)cc1 ZINC000498849383 1072897489 /nfs/dbraw/zinc/89/74/89/1072897489.db2.gz JCMBNLACULLCLW-INIZCTEOSA-N 0 0 430.479 -0.219 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(OCCOC)cc1 ZINC000498849387 1072897524 /nfs/dbraw/zinc/89/75/24/1072897524.db2.gz JCMBNLACULLCLW-MRXNPFEDSA-N 0 0 430.479 -0.219 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cnc2c(c1)c(C)nn2C ZINC000498849563 1072897428 /nfs/dbraw/zinc/89/74/28/1072897428.db2.gz KACUYOXOLGGMDB-AWEZNQCLSA-N 0 0 425.467 -0.654 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cnc2c(c1)c(C)nn2C ZINC000498849564 1072897578 /nfs/dbraw/zinc/89/75/78/1072897578.db2.gz KACUYOXOLGGMDB-CQSZACIVSA-N 0 0 425.467 -0.654 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC000498849975 1072897406 /nfs/dbraw/zinc/89/74/06/1072897406.db2.gz MGKPHLZILLCMLW-AWEZNQCLSA-N 0 0 434.492 -0.841 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC000498849976 1072897475 /nfs/dbraw/zinc/89/74/75/1072897475.db2.gz MGKPHLZILLCMLW-CQSZACIVSA-N 0 0 434.492 -0.841 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(OC)c(OC)cc1F ZINC000498850457 1072897471 /nfs/dbraw/zinc/89/74/71/1072897471.db2.gz OBUSEJDTWMVYAO-GFCCVEGCSA-N 0 0 434.442 -0.088 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(OC)c(OC)cc1F ZINC000498850460 1072897452 /nfs/dbraw/zinc/89/74/52/1072897452.db2.gz OBUSEJDTWMVYAO-LBPRGKRZSA-N 0 0 434.442 -0.088 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)cc1C ZINC000498851197 1072897552 /nfs/dbraw/zinc/89/75/52/1072897552.db2.gz CVKSISKACSBRAJ-AWEZNQCLSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)cc1C ZINC000498851201 1072897499 /nfs/dbraw/zinc/89/74/99/1072897499.db2.gz CVKSISKACSBRAJ-CQSZACIVSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(F)c(NC(C)=O)c1 ZINC000498851313 1072897542 /nfs/dbraw/zinc/89/75/42/1072897542.db2.gz DEPLVFMMWOHSRC-HNNXBMFYSA-N 0 0 431.442 -0.147 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(F)c(NC(C)=O)c1 ZINC000498851332 1072897882 /nfs/dbraw/zinc/89/78/82/1072897882.db2.gz DEPLVFMMWOHSRC-OAHLLOKOSA-N 0 0 431.442 -0.147 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCN(C)C3=O)CC2)cs1 ZINC000498851909 1072897442 /nfs/dbraw/zinc/89/74/42/1072897442.db2.gz RRFGITBZKBBVJN-GFCCVEGCSA-N 0 0 429.524 -0.979 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCN(C)C3=O)CC2)cs1 ZINC000498851910 1072897437 /nfs/dbraw/zinc/89/74/37/1072897437.db2.gz RRFGITBZKBBVJN-LBPRGKRZSA-N 0 0 429.524 -0.979 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(C)C2=O)CC1 ZINC000498851911 1072897569 /nfs/dbraw/zinc/89/75/69/1072897569.db2.gz RRRQPOZHANMUFD-INIZCTEOSA-N 0 0 443.570 -0.623 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(C)C2=O)CC1 ZINC000498851912 1072897413 /nfs/dbraw/zinc/89/74/13/1072897413.db2.gz RRRQPOZHANMUFD-MRXNPFEDSA-N 0 0 443.570 -0.623 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C ZINC000498852124 1072897396 /nfs/dbraw/zinc/89/73/96/1072897396.db2.gz FZWJWEYPIMGRMW-AWEZNQCLSA-N 0 0 448.519 -0.533 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C ZINC000498852126 1072897595 /nfs/dbraw/zinc/89/75/95/1072897595.db2.gz FZWJWEYPIMGRMW-CQSZACIVSA-N 0 0 448.519 -0.533 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000498853933 1072897824 /nfs/dbraw/zinc/89/78/24/1072897824.db2.gz KLWQIECDJWDSFG-HNNXBMFYSA-N 0 0 425.463 -0.959 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000498853934 1072897923 /nfs/dbraw/zinc/89/79/23/1072897923.db2.gz KLWQIECDJWDSFG-OAHLLOKOSA-N 0 0 425.463 -0.959 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(C)C2=O)CCO1 ZINC000498856258 1072898011 /nfs/dbraw/zinc/89/80/11/1072898011.db2.gz OHDIPKLQIJUKRK-CABCVRRESA-N 0 0 426.514 -0.776 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(C)C2=O)CCO1 ZINC000498856259 1072898045 /nfs/dbraw/zinc/89/80/45/1072898045.db2.gz OHDIPKLQIJUKRK-GJZGRUSLSA-N 0 0 426.514 -0.776 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(C)C2=O)CCO1 ZINC000498856260 1072897110 /nfs/dbraw/zinc/89/71/10/1072897110.db2.gz OHDIPKLQIJUKRK-HUUCEWRRSA-N 0 0 426.514 -0.776 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(C)C2=O)CCO1 ZINC000498856262 1072896994 /nfs/dbraw/zinc/89/69/94/1072896994.db2.gz OHDIPKLQIJUKRK-LSDHHAIUSA-N 0 0 426.514 -0.776 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000498858460 1072897980 /nfs/dbraw/zinc/89/79/80/1072897980.db2.gz VBTDDTHKGMQEQA-INIZCTEOSA-N 0 0 440.497 -0.214 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000498858461 1072897947 /nfs/dbraw/zinc/89/79/47/1072897947.db2.gz VBTDDTHKGMQEQA-MRXNPFEDSA-N 0 0 440.497 -0.214 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)CC)cc1 ZINC000498858656 1072897837 /nfs/dbraw/zinc/89/78/37/1072897837.db2.gz VXIWUPIUGIDMCQ-HNNXBMFYSA-N 0 0 448.519 -0.451 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)CC)cc1 ZINC000498858657 1072897912 /nfs/dbraw/zinc/89/79/12/1072897912.db2.gz VXIWUPIUGIDMCQ-OAHLLOKOSA-N 0 0 448.519 -0.451 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CN(C)C(=O)C(=O)N[C@H]2CCCN(C)C2=O)n1 ZINC000498858914 1072898024 /nfs/dbraw/zinc/89/80/24/1072898024.db2.gz VOPACMHBHIJKOF-KKUMJFAQSA-N 0 0 435.504 -0.345 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CN(C)C(=O)C(=O)N[C@@H]2CCCN(C)C2=O)n1 ZINC000498858915 1072897863 /nfs/dbraw/zinc/89/78/63/1072897863.db2.gz VOPACMHBHIJKOF-SOUVJXGZSA-N 0 0 435.504 -0.345 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)C1=O ZINC000498859731 1072897900 /nfs/dbraw/zinc/89/79/00/1072897900.db2.gz XZHLTYJSHTZXAI-MSOLQXFVSA-N 0 0 427.505 -0.327 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)C1=O ZINC000498859736 1072898038 /nfs/dbraw/zinc/89/80/38/1072898038.db2.gz XZHLTYJSHTZXAI-QZTJIDSGSA-N 0 0 427.505 -0.327 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)C1=O ZINC000498859739 1072897970 /nfs/dbraw/zinc/89/79/70/1072897970.db2.gz XZHLTYJSHTZXAI-ROUUACIJSA-N 0 0 427.505 -0.327 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)C1=O ZINC000498859740 1072898003 /nfs/dbraw/zinc/89/80/03/1072898003.db2.gz XZHLTYJSHTZXAI-ZWKOTPCHSA-N 0 0 427.505 -0.327 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)C1=O ZINC000498867229 1072898300 /nfs/dbraw/zinc/89/83/00/1072898300.db2.gz NYRUSPAJCCJQHK-INIZCTEOSA-N 0 0 426.477 -0.283 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)C1=O ZINC000498867230 1072898476 /nfs/dbraw/zinc/89/84/76/1072898476.db2.gz NYRUSPAJCCJQHK-MRXNPFEDSA-N 0 0 426.477 -0.283 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000498868486 1072899002 /nfs/dbraw/zinc/89/90/02/1072899002.db2.gz RYZFWADFQUMGPV-HNNXBMFYSA-N 0 0 426.470 -0.604 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000498868487 1072899037 /nfs/dbraw/zinc/89/90/37/1072899037.db2.gz RYZFWADFQUMGPV-OAHLLOKOSA-N 0 0 426.470 -0.604 20 0 IBADRN CC(C)N(C)c1cnn([C@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(C)C3=O)C2)c(=O)c1 ZINC000498868819 1072898889 /nfs/dbraw/zinc/89/88/89/1072898889.db2.gz UCDRUZTZOKVKNK-DOTOQJQBSA-N 0 0 432.525 -0.012 20 0 IBADRN CC(C)N(C)c1cnn([C@@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(C)C3=O)C2)c(=O)c1 ZINC000498868820 1072898872 /nfs/dbraw/zinc/89/88/72/1072898872.db2.gz UCDRUZTZOKVKNK-NVXWUHKLSA-N 0 0 432.525 -0.012 20 0 IBADRN CC(C)N(C)c1cnn([C@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(C)C3=O)C2)c(=O)c1 ZINC000498868821 1072898994 /nfs/dbraw/zinc/89/89/94/1072898994.db2.gz UCDRUZTZOKVKNK-RDJZCZTQSA-N 0 0 432.525 -0.012 20 0 IBADRN CC(C)N(C)c1cnn([C@@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(C)C3=O)C2)c(=O)c1 ZINC000498868822 1072899027 /nfs/dbraw/zinc/89/90/27/1072899027.db2.gz UCDRUZTZOKVKNK-WBVHZDCISA-N 0 0 432.525 -0.012 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)CC[C@H]4C3)cc2)CC1 ZINC000498869000 1072899011 /nfs/dbraw/zinc/89/90/11/1072899011.db2.gz SESZTEFHZXUJLZ-KRWDZBQOSA-N 0 0 434.518 -0.014 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)CC[C@@H]4C3)cc2)CC1 ZINC000498869117 1072898956 /nfs/dbraw/zinc/89/89/56/1072898956.db2.gz SESZTEFHZXUJLZ-QGZVFWFLSA-N 0 0 434.518 -0.014 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OCC)cc1 ZINC000498873756 1072899501 /nfs/dbraw/zinc/89/95/01/1072899501.db2.gz NEDNEVVMBXQINL-HNNXBMFYSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OCC)cc1 ZINC000498873759 1072899391 /nfs/dbraw/zinc/89/93/91/1072899391.db2.gz NEDNEVVMBXQINL-OAHLLOKOSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000498873931 1072899429 /nfs/dbraw/zinc/89/94/29/1072899429.db2.gz HVNLRIUTJLUHIA-AWEZNQCLSA-N 0 0 434.492 -0.841 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000498873932 1072899517 /nfs/dbraw/zinc/89/95/17/1072899517.db2.gz HVNLRIUTJLUHIA-CQSZACIVSA-N 0 0 434.492 -0.841 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC000498874782 1072899413 /nfs/dbraw/zinc/89/94/13/1072899413.db2.gz REHWZLFBKJSUAZ-CYBMUJFWSA-N 0 0 440.434 -0.890 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC000498874783 1072899593 /nfs/dbraw/zinc/89/95/93/1072899593.db2.gz REHWZLFBKJSUAZ-ZDUSSCGKSA-N 0 0 440.434 -0.890 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)CCN2C(C)=O ZINC000498875115 1072899376 /nfs/dbraw/zinc/89/93/76/1072899376.db2.gz LXCCEAJKFLZDAN-KRWDZBQOSA-N 0 0 439.490 -0.336 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)CCN2C(C)=O ZINC000498875116 1072899525 /nfs/dbraw/zinc/89/95/25/1072899525.db2.gz LXCCEAJKFLZDAN-QGZVFWFLSA-N 0 0 439.490 -0.336 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000498875849 1072898444 /nfs/dbraw/zinc/89/84/44/1072898444.db2.gz XGOHDLVXUVXLRP-INIZCTEOSA-N 0 0 439.490 -0.118 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000498875850 1072898495 /nfs/dbraw/zinc/89/84/95/1072898495.db2.gz XGOHDLVXUVXLRP-MRXNPFEDSA-N 0 0 439.490 -0.118 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1c[nH]c(C(=O)N2CCCC2)c1 ZINC000498875925 1072898459 /nfs/dbraw/zinc/89/84/59/1072898459.db2.gz OMAZOUSLIWNEDN-HNNXBMFYSA-N 0 0 442.494 -0.681 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1c[nH]c(C(=O)N2CCCC2)c1 ZINC000498875926 1072898430 /nfs/dbraw/zinc/89/84/30/1072898430.db2.gz OMAZOUSLIWNEDN-OAHLLOKOSA-N 0 0 442.494 -0.681 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)cn2)C[C@H](C)O1 ZINC000498876854 1072898552 /nfs/dbraw/zinc/89/85/52/1072898552.db2.gz XBKVESBUTZNHFW-CIRFHOKZSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)cn2)C[C@H](C)O1 ZINC000498876858 1072898262 /nfs/dbraw/zinc/89/82/62/1072898262.db2.gz XBKVESBUTZNHFW-UOVPBQLFSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)cn2)C[C@@H](C)O1 ZINC000498876859 1072898295 /nfs/dbraw/zinc/89/82/95/1072898295.db2.gz XBKVESBUTZNHFW-XYVMCAHJSA-N 0 0 449.508 -0.136 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccccc1S(C)(=O)=O ZINC000498877010 1072898880 /nfs/dbraw/zinc/89/88/80/1072898880.db2.gz RXGFVYOTEJEGIT-GFCCVEGCSA-N 0 0 434.492 -0.841 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccccc1S(C)(=O)=O ZINC000498877011 1072898920 /nfs/dbraw/zinc/89/89/20/1072898920.db2.gz RXGFVYOTEJEGIT-LBPRGKRZSA-N 0 0 434.492 -0.841 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000498878273 1072899062 /nfs/dbraw/zinc/89/90/62/1072899062.db2.gz XSKUUHYJUNAKRH-AWEZNQCLSA-N 0 0 428.463 -0.083 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000498878274 1072899080 /nfs/dbraw/zinc/89/90/80/1072899080.db2.gz XSKUUHYJUNAKRH-CQSZACIVSA-N 0 0 428.463 -0.083 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000498902934 1072900285 /nfs/dbraw/zinc/90/02/85/1072900285.db2.gz ADJZYSNMQZOODB-UHFFFAOYSA-N 0 0 431.541 -0.121 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000498904331 1072900447 /nfs/dbraw/zinc/90/04/47/1072900447.db2.gz QRWCZAXXNUGSFT-HNNXBMFYSA-N 0 0 440.522 -0.829 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000498904333 1072900366 /nfs/dbraw/zinc/90/03/66/1072900366.db2.gz QRWCZAXXNUGSFT-OAHLLOKOSA-N 0 0 440.522 -0.829 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(C(=O)[C@H](C)O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000498906290 1072900430 /nfs/dbraw/zinc/90/04/30/1072900430.db2.gz VELHRAUAHZWFNC-HNNXBMFYSA-N 0 0 443.504 -0.632 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(C(=O)[C@@H](C)O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000498906291 1072900481 /nfs/dbraw/zinc/90/04/81/1072900481.db2.gz VELHRAUAHZWFNC-OAHLLOKOSA-N 0 0 443.504 -0.632 20 0 IBADRN O=c1[nH]c2cc(Br)c(S(=O)(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2[nH]1 ZINC000498908326 1072900838 /nfs/dbraw/zinc/90/08/38/1072900838.db2.gz RKUFZRAGMUHBQH-SECBINFHSA-N 0 0 430.240 -0.044 20 0 IBADRN O=c1[nH]c2cc(Br)c(S(=O)(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2[nH]1 ZINC000498908327 1072900830 /nfs/dbraw/zinc/90/08/30/1072900830.db2.gz RKUFZRAGMUHBQH-VIFPVBQESA-N 0 0 430.240 -0.044 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)N(C(C)=O)CC2 ZINC000498909029 1072900345 /nfs/dbraw/zinc/90/03/45/1072900345.db2.gz FYWPHTFNKCMKEP-KRWDZBQOSA-N 0 0 439.490 -0.336 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)N(C(C)=O)CC2 ZINC000498909030 1072900438 /nfs/dbraw/zinc/90/04/38/1072900438.db2.gz FYWPHTFNKCMKEP-QGZVFWFLSA-N 0 0 439.490 -0.336 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1C ZINC000498909580 1072901018 /nfs/dbraw/zinc/90/10/18/1072901018.db2.gz IQPHWMADWCXWKE-AWEZNQCLSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1C ZINC000498909582 1072901037 /nfs/dbraw/zinc/90/10/37/1072901037.db2.gz IQPHWMADWCXWKE-CQSZACIVSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(C(=O)OC)c1C ZINC000498911709 1072902438 /nfs/dbraw/zinc/90/24/38/1072902438.db2.gz PVVSOZKQBPYMDP-AWEZNQCLSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(C(=O)OC)c1C ZINC000498911710 1072902522 /nfs/dbraw/zinc/90/25/22/1072902522.db2.gz PVVSOZKQBPYMDP-CQSZACIVSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(C(=O)OCC)c1 ZINC000498911808 1072902378 /nfs/dbraw/zinc/90/23/78/1072902378.db2.gz QMZQGTKHEFEARP-HNNXBMFYSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(C(=O)OCC)c1 ZINC000498911809 1072902398 /nfs/dbraw/zinc/90/23/98/1072902398.db2.gz QMZQGTKHEFEARP-OAHLLOKOSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(C(=O)N(C)C)c1 ZINC000498912653 1072902450 /nfs/dbraw/zinc/90/24/50/1072902450.db2.gz TYRJXYSLKRQUDA-HNNXBMFYSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(C(=O)N(C)C)c1 ZINC000498912657 1072902529 /nfs/dbraw/zinc/90/25/29/1072902529.db2.gz TYRJXYSLKRQUDA-OAHLLOKOSA-N 0 0 427.479 -0.543 20 0 IBADRN CC[C@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCN1CC(F)F ZINC000498913141 1073383764 /nfs/dbraw/zinc/38/37/64/1073383764.db2.gz NNAOKIUHZCKLHU-KRWDZBQOSA-N 0 0 431.528 -0.349 20 0 IBADRN CC[C@@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCN1CC(F)F ZINC000498913145 1073383875 /nfs/dbraw/zinc/38/38/75/1073383875.db2.gz NNAOKIUHZCKLHU-QGZVFWFLSA-N 0 0 431.528 -0.349 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000498914151 1072903015 /nfs/dbraw/zinc/90/30/15/1072903015.db2.gz PXQNDZBHAQEESL-KRWDZBQOSA-N 0 0 438.550 -0.814 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000498914152 1072902823 /nfs/dbraw/zinc/90/28/23/1072902823.db2.gz PXQNDZBHAQEESL-QGZVFWFLSA-N 0 0 438.550 -0.814 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@@H](c3nnc(C4CC4)[nH]3)C2)CC1)N1CCOCC1 ZINC000498914211 1073383801 /nfs/dbraw/zinc/38/38/01/1073383801.db2.gz REKBOQZVFXHLDJ-GOSISDBHSA-N 0 0 445.568 -0.136 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@H](c3nnc(C4CC4)[nH]3)C2)CC1)N1CCOCC1 ZINC000498914212 1073383911 /nfs/dbraw/zinc/38/39/11/1073383911.db2.gz REKBOQZVFXHLDJ-SFHVURJKSA-N 0 0 445.568 -0.136 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC12CCCCC2 ZINC000498914373 1073383687 /nfs/dbraw/zinc/38/36/87/1073383687.db2.gz CBJKONADGXGBAK-UHFFFAOYSA-N 0 0 449.596 -0.144 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000498914674 1072902970 /nfs/dbraw/zinc/90/29/70/1072902970.db2.gz JNFSNKHLKPAHEJ-INIZCTEOSA-N 0 0 435.500 -0.567 20 0 IBADRN CCOC(CNC(=O)[C@](C)(O)C1CCOCC1)CNC(=O)[C@](C)(O)C1CCOCC1 ZINC000498914675 1072902864 /nfs/dbraw/zinc/90/28/64/1072902864.db2.gz SANYJWORDOCQJZ-NHCUHLMSSA-N 0 0 430.542 -0.021 20 0 IBADRN CCOC(CNC(=O)[C@@](C)(O)C1CCOCC1)CNC(=O)[C@@](C)(O)C1CCOCC1 ZINC000498914676 1072902940 /nfs/dbraw/zinc/90/29/40/1072902940.db2.gz SANYJWORDOCQJZ-SFTDATJTSA-N 0 0 430.542 -0.021 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000498914677 1072902909 /nfs/dbraw/zinc/90/29/09/1072902909.db2.gz JNFSNKHLKPAHEJ-MRXNPFEDSA-N 0 0 435.500 -0.567 20 0 IBADRN CCO[C@@H](CNC(=O)[C@](C)(O)C1CCOCC1)CNC(=O)[C@@](C)(O)C1CCOCC1 ZINC000498914679 1072902923 /nfs/dbraw/zinc/90/29/23/1072902923.db2.gz SANYJWORDOCQJZ-ZHPFXUNLSA-N 0 0 430.542 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000498915854 1072903155 /nfs/dbraw/zinc/90/31/55/1072903155.db2.gz XWFGAYRLGWIWNQ-KBPBESRZSA-N 0 0 442.538 -0.203 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000498915855 1072903138 /nfs/dbraw/zinc/90/31/38/1072903138.db2.gz XWFGAYRLGWIWNQ-KGLIPLIRSA-N 0 0 442.538 -0.203 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000498915856 1072902983 /nfs/dbraw/zinc/90/29/83/1072902983.db2.gz XWFGAYRLGWIWNQ-UONOGXRCSA-N 0 0 442.538 -0.203 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000498915857 1072903026 /nfs/dbraw/zinc/90/30/26/1072903026.db2.gz XWFGAYRLGWIWNQ-ZIAGYGMSSA-N 0 0 442.538 -0.203 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000498916872 1072900812 /nfs/dbraw/zinc/90/08/12/1072900812.db2.gz JJLOHSLUYHZTPJ-INIZCTEOSA-N 0 0 427.523 -0.389 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000498916873 1072900975 /nfs/dbraw/zinc/90/09/75/1072900975.db2.gz JJLOHSLUYHZTPJ-MRXNPFEDSA-N 0 0 427.523 -0.389 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCN(C(=O)[C@@H](C)O)CC1 ZINC000498918315 1072900845 /nfs/dbraw/zinc/90/08/45/1072900845.db2.gz NKDDWMJXHWCHHW-LLVKDONJSA-N 0 0 426.495 -0.204 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCN(C(=O)[C@H](C)O)CC1 ZINC000498918316 1072901057 /nfs/dbraw/zinc/90/10/57/1072901057.db2.gz NKDDWMJXHWCHHW-NSHDSACASA-N 0 0 426.495 -0.204 20 0 IBADRN CCC[C@H]1C(=O)NCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000498919377 1072902393 /nfs/dbraw/zinc/90/23/93/1072902393.db2.gz SCPSKXVANITAGW-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000498919379 1072902347 /nfs/dbraw/zinc/90/23/47/1072902347.db2.gz SCPSKXVANITAGW-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN CCC[C@H]1C(=O)NCCN1CC(=O)N(CCOC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000498919806 1072902514 /nfs/dbraw/zinc/90/25/14/1072902514.db2.gz VCUQVZBLDFJHKT-AWEZNQCLSA-N 0 0 438.529 -0.233 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1CC(=O)N(CCOC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000498919808 1072902467 /nfs/dbraw/zinc/90/24/67/1072902467.db2.gz VCUQVZBLDFJHKT-CQSZACIVSA-N 0 0 438.529 -0.233 20 0 IBADRN CCC[C@H]1C(=O)NCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000498921396 1072903718 /nfs/dbraw/zinc/90/37/18/1072903718.db2.gz CNFJFXICUYWOKR-HNNXBMFYSA-N 0 0 427.527 -0.275 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000498921397 1072903658 /nfs/dbraw/zinc/90/36/58/1072903658.db2.gz CNFJFXICUYWOKR-OAHLLOKOSA-N 0 0 427.527 -0.275 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C(=O)[C@@H](C)O)CC2)cc1S(=O)(=O)N(C)C ZINC000498922630 1072903511 /nfs/dbraw/zinc/90/35/11/1072903511.db2.gz LFTGKSWTRCTUNW-CYBMUJFWSA-N 0 0 428.511 -0.591 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C(=O)[C@H](C)O)CC2)cc1S(=O)(=O)N(C)C ZINC000498922634 1072903590 /nfs/dbraw/zinc/90/35/90/1072903590.db2.gz LFTGKSWTRCTUNW-ZDUSSCGKSA-N 0 0 428.511 -0.591 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000498923054 1072903640 /nfs/dbraw/zinc/90/36/40/1072903640.db2.gz FFVJUCJKEAESIX-HNNXBMFYSA-N 0 0 442.513 -0.816 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000498923055 1072903682 /nfs/dbraw/zinc/90/36/82/1072903682.db2.gz FFVJUCJKEAESIX-OAHLLOKOSA-N 0 0 442.513 -0.816 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)[C@H](C)O)CC1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000498923086 1072903647 /nfs/dbraw/zinc/90/36/47/1072903647.db2.gz FOFUMRNXLVVBRX-AWEZNQCLSA-N 0 0 438.529 -0.401 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)[C@@H](C)O)CC1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000498923087 1072903463 /nfs/dbraw/zinc/90/34/63/1072903463.db2.gz FOFUMRNXLVVBRX-CQSZACIVSA-N 0 0 438.529 -0.401 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N2CCCc3cc(S(=O)(=O)N(C)C)ccc32)CC1 ZINC000498924337 1072903555 /nfs/dbraw/zinc/90/35/55/1072903555.db2.gz VEGCNCYQAFSNPF-HNNXBMFYSA-N 0 0 438.550 -0.259 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N2CCCc3cc(S(=O)(=O)N(C)C)ccc32)CC1 ZINC000498924338 1072903727 /nfs/dbraw/zinc/90/37/27/1072903727.db2.gz VEGCNCYQAFSNPF-OAHLLOKOSA-N 0 0 438.550 -0.259 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000498924429 1072903621 /nfs/dbraw/zinc/90/36/21/1072903621.db2.gz LZQHRTJFFKKFMI-CABCVRRESA-N 0 0 429.564 -0.211 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000498924430 1072903600 /nfs/dbraw/zinc/90/36/00/1072903600.db2.gz LZQHRTJFFKKFMI-GJZGRUSLSA-N 0 0 429.564 -0.211 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000498924431 1072903582 /nfs/dbraw/zinc/90/35/82/1072903582.db2.gz LZQHRTJFFKKFMI-HUUCEWRRSA-N 0 0 429.564 -0.211 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000498924432 1072903523 /nfs/dbraw/zinc/90/35/23/1072903523.db2.gz LZQHRTJFFKKFMI-LSDHHAIUSA-N 0 0 429.564 -0.211 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000498924950 1072903692 /nfs/dbraw/zinc/90/36/92/1072903692.db2.gz XVUHWNIUQKAWDQ-APWZRJJASA-N 0 0 446.504 -0.978 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000498924951 1072903633 /nfs/dbraw/zinc/90/36/33/1072903633.db2.gz XVUHWNIUQKAWDQ-LPHOPBHVSA-N 0 0 446.504 -0.978 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000498924952 1072903570 /nfs/dbraw/zinc/90/35/70/1072903570.db2.gz XVUHWNIUQKAWDQ-QFBILLFUSA-N 0 0 446.504 -0.978 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000498925153 1072903535 /nfs/dbraw/zinc/90/35/35/1072903535.db2.gz XVUHWNIUQKAWDQ-VQIMIIECSA-N 0 0 446.504 -0.978 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000498928507 1072904222 /nfs/dbraw/zinc/90/42/22/1072904222.db2.gz KCTJRNGNQGITRC-IBGZPJMESA-N 0 0 445.564 -0.089 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)[C@@H](C)O)CC2)CC1 ZINC000498928508 1072904378 /nfs/dbraw/zinc/90/43/78/1072904378.db2.gz KCTJRNGNQGITRC-LJQANCHMSA-N 0 0 445.564 -0.089 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)[C@@H](C)O)CC2)CC1 ZINC000498929353 1072904097 /nfs/dbraw/zinc/90/40/97/1072904097.db2.gz ODNWDHXHXILWHC-GOSISDBHSA-N 0 0 445.564 -0.143 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000498929354 1072904235 /nfs/dbraw/zinc/90/42/35/1072904235.db2.gz ODNWDHXHXILWHC-SFHVURJKSA-N 0 0 445.564 -0.143 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000498937999 1072904193 /nfs/dbraw/zinc/90/41/93/1072904193.db2.gz RHJBMTILPFBRLX-HNNXBMFYSA-N 0 0 442.513 -0.816 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000498938000 1072904315 /nfs/dbraw/zinc/90/43/15/1072904315.db2.gz RHJBMTILPFBRLX-OAHLLOKOSA-N 0 0 442.513 -0.816 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000498940364 1072904387 /nfs/dbraw/zinc/90/43/87/1072904387.db2.gz XNOLKIFQRMRKHS-GOSISDBHSA-N 0 0 438.550 -0.076 20 0 IBADRN CCC[C@H]1C(=O)NCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000498940366 1072904277 /nfs/dbraw/zinc/90/42/77/1072904277.db2.gz XNOLKIFQRMRKHS-SFHVURJKSA-N 0 0 438.550 -0.076 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000498941319 1072904249 /nfs/dbraw/zinc/90/42/49/1072904249.db2.gz RRBSJTGUZRIRES-HNNXBMFYSA-N 0 0 442.513 -0.816 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000498941320 1072904397 /nfs/dbraw/zinc/90/43/97/1072904397.db2.gz RRBSJTGUZRIRES-OAHLLOKOSA-N 0 0 442.513 -0.816 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000498943586 1072904990 /nfs/dbraw/zinc/90/49/90/1072904990.db2.gz XTYKUBAWNNDENF-HNNXBMFYSA-N 0 0 440.522 -0.829 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000498943587 1072904830 /nfs/dbraw/zinc/90/48/30/1072904830.db2.gz XTYKUBAWNNDENF-OAHLLOKOSA-N 0 0 440.522 -0.829 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(F)cc(N3CCOCC3)c2)CC1 ZINC000498952029 1072905315 /nfs/dbraw/zinc/90/53/15/1072905315.db2.gz PSGYVSPSSRERIZ-UHFFFAOYSA-N 0 0 449.483 -0.204 20 0 IBADRN COc1ccncc1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000498952623 1072904648 /nfs/dbraw/zinc/90/46/48/1072904648.db2.gz BRJBKLJTRZNARB-UHFFFAOYSA-N 0 0 448.505 -0.308 20 0 IBADRN COc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000498956398 1072904879 /nfs/dbraw/zinc/90/48/79/1072904879.db2.gz JOUSEVVCFSFBLV-UHFFFAOYSA-N 0 0 437.434 -0.746 20 0 IBADRN COc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000498956933 1072904964 /nfs/dbraw/zinc/90/49/64/1072904964.db2.gz KPWGUSZUQKWZDT-KBPBESRZSA-N 0 0 441.510 -0.473 20 0 IBADRN COc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000498956934 1072904982 /nfs/dbraw/zinc/90/49/82/1072904982.db2.gz KPWGUSZUQKWZDT-OKILXGFUSA-N 0 0 441.510 -0.473 20 0 IBADRN COc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000498956935 1072904895 /nfs/dbraw/zinc/90/48/95/1072904895.db2.gz KPWGUSZUQKWZDT-ZIAGYGMSSA-N 0 0 441.510 -0.473 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000498959761 1072905685 /nfs/dbraw/zinc/90/56/85/1072905685.db2.gz ZVNXYMLZNCWUOM-MOPGFXCFSA-N 0 0 440.541 -0.750 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000498959762 1072905878 /nfs/dbraw/zinc/90/58/78/1072905878.db2.gz ZVNXYMLZNCWUOM-OALUTQOASA-N 0 0 440.541 -0.750 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000498959763 1072905840 /nfs/dbraw/zinc/90/58/40/1072905840.db2.gz ZVNXYMLZNCWUOM-RBUKOAKNSA-N 0 0 440.541 -0.750 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000498959764 1072906287 /nfs/dbraw/zinc/90/62/87/1072906287.db2.gz ZVNXYMLZNCWUOM-RTBURBONSA-N 0 0 440.541 -0.750 20 0 IBADRN C[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C[C@H]1C ZINC000498960788 1072906352 /nfs/dbraw/zinc/90/63/52/1072906352.db2.gz TXQIEJPJOLEOMO-BRWVUGGUSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C[C@@H]1C ZINC000498960791 1072906296 /nfs/dbraw/zinc/90/62/96/1072906296.db2.gz TXQIEJPJOLEOMO-IKGGRYGDSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C[C@@H]1C ZINC000498960793 1072906266 /nfs/dbraw/zinc/90/62/66/1072906266.db2.gz TXQIEJPJOLEOMO-IXDOHACOSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C[C@H]1C ZINC000498960795 1072906391 /nfs/dbraw/zinc/90/63/91/1072906391.db2.gz TXQIEJPJOLEOMO-ZACQAIPSSA-N 0 0 429.587 -0.436 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000498961190 1072906400 /nfs/dbraw/zinc/90/64/00/1072906400.db2.gz HAQMGFLTDFTIRQ-UHFFFAOYSA-N 0 0 445.469 -0.069 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc(Br)cn1 ZINC000498962825 1072906280 /nfs/dbraw/zinc/90/62/80/1072906280.db2.gz BVQIBUBNHSCCMZ-CHWSQXEVSA-N 0 0 433.328 -0.442 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc(Br)cn1 ZINC000498962829 1072906248 /nfs/dbraw/zinc/90/62/48/1072906248.db2.gz BVQIBUBNHSCCMZ-OLZOCXBDSA-N 0 0 433.328 -0.442 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc(Br)cn1 ZINC000498962831 1072906429 /nfs/dbraw/zinc/90/64/29/1072906429.db2.gz BVQIBUBNHSCCMZ-QWHCGFSZSA-N 0 0 433.328 -0.442 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc(Br)cn1 ZINC000498962833 1072906317 /nfs/dbraw/zinc/90/63/17/1072906317.db2.gz BVQIBUBNHSCCMZ-STQMWFEESA-N 0 0 433.328 -0.442 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498963000 1072906422 /nfs/dbraw/zinc/90/64/22/1072906422.db2.gz DWGDYFJILWWSDR-DLBZAZTESA-N 0 0 436.534 -0.474 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498963003 1072906306 /nfs/dbraw/zinc/90/63/06/1072906306.db2.gz DWGDYFJILWWSDR-IAGOWNOFSA-N 0 0 436.534 -0.474 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498963005 1072906346 /nfs/dbraw/zinc/90/63/46/1072906346.db2.gz DWGDYFJILWWSDR-IRXDYDNUSA-N 0 0 436.534 -0.474 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498963007 1072906415 /nfs/dbraw/zinc/90/64/15/1072906415.db2.gz DWGDYFJILWWSDR-SJORKVTESA-N 0 0 436.534 -0.474 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(c2ccccc2F)CC1 ZINC000498964349 1072906410 /nfs/dbraw/zinc/90/64/10/1072906410.db2.gz MVAYVUKOZSGPFN-MOPGFXCFSA-N 0 0 440.541 -0.750 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(c2ccccc2F)CC1 ZINC000498964350 1072906313 /nfs/dbraw/zinc/90/63/13/1072906313.db2.gz MVAYVUKOZSGPFN-OALUTQOASA-N 0 0 440.541 -0.750 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(c2ccccc2F)CC1 ZINC000498964351 1072906258 /nfs/dbraw/zinc/90/62/58/1072906258.db2.gz MVAYVUKOZSGPFN-RBUKOAKNSA-N 0 0 440.541 -0.750 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(c2ccccc2F)CC1 ZINC000498964352 1072906324 /nfs/dbraw/zinc/90/63/24/1072906324.db2.gz MVAYVUKOZSGPFN-RTBURBONSA-N 0 0 440.541 -0.750 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc(N2CCCCC2)nc1 ZINC000498964431 1072906774 /nfs/dbraw/zinc/90/67/74/1072906774.db2.gz OBOGMICMOPGXFE-MSOLQXFVSA-N 0 0 437.566 -0.214 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc(N2CCCCC2)nc1 ZINC000498964432 1072906855 /nfs/dbraw/zinc/90/68/55/1072906855.db2.gz OBOGMICMOPGXFE-QZTJIDSGSA-N 0 0 437.566 -0.214 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc(N2CCCCC2)nc1 ZINC000498964433 1072906878 /nfs/dbraw/zinc/90/68/78/1072906878.db2.gz OBOGMICMOPGXFE-ROUUACIJSA-N 0 0 437.566 -0.214 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc(N2CCCCC2)nc1 ZINC000498964434 1072906801 /nfs/dbraw/zinc/90/68/01/1072906801.db2.gz OBOGMICMOPGXFE-ZWKOTPCHSA-N 0 0 437.566 -0.214 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498964689 1072906788 /nfs/dbraw/zinc/90/67/88/1072906788.db2.gz OTBMZPJUBQBSBV-BMGDILEWSA-N 0 0 439.534 -0.747 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498964690 1072906888 /nfs/dbraw/zinc/90/68/88/1072906888.db2.gz OTBMZPJUBQBSBV-JCGIZDLHSA-N 0 0 439.534 -0.747 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498964691 1072906767 /nfs/dbraw/zinc/90/67/67/1072906767.db2.gz OTBMZPJUBQBSBV-QGTPRVQTSA-N 0 0 439.534 -0.747 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498964692 1072906867 /nfs/dbraw/zinc/90/68/67/1072906867.db2.gz OTBMZPJUBQBSBV-WBAXXEDZSA-N 0 0 439.534 -0.747 20 0 IBADRN CCn1c2nnc(CN3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)n2c2ccccc2c1=O ZINC000498965726 1072906817 /nfs/dbraw/zinc/90/68/17/1072906817.db2.gz UOWJDFBHIAZYNZ-DLBZAZTESA-N 0 0 446.533 -0.660 20 0 IBADRN CCn1c2nnc(CN3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)n2c2ccccc2c1=O ZINC000498965727 1072906811 /nfs/dbraw/zinc/90/68/11/1072906811.db2.gz UOWJDFBHIAZYNZ-IAGOWNOFSA-N 0 0 446.533 -0.660 20 0 IBADRN CCn1c2nnc(CN3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)n2c2ccccc2c1=O ZINC000498965728 1072906821 /nfs/dbraw/zinc/90/68/21/1072906821.db2.gz UOWJDFBHIAZYNZ-IRXDYDNUSA-N 0 0 446.533 -0.660 20 0 IBADRN CCn1c2nnc(CN3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)n2c2ccccc2c1=O ZINC000498965729 1072907328 /nfs/dbraw/zinc/90/73/28/1072907328.db2.gz UOWJDFBHIAZYNZ-SJORKVTESA-N 0 0 446.533 -0.660 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498965793 1072906762 /nfs/dbraw/zinc/90/67/62/1072906762.db2.gz BNPAEOWNLSJYPA-MSOLQXFVSA-N 0 0 425.551 -0.426 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498965812 1072906791 /nfs/dbraw/zinc/90/67/91/1072906791.db2.gz BNPAEOWNLSJYPA-QZTJIDSGSA-N 0 0 425.551 -0.426 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498965813 1072906847 /nfs/dbraw/zinc/90/68/47/1072906847.db2.gz BNPAEOWNLSJYPA-ROUUACIJSA-N 0 0 425.551 -0.426 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498965814 1072906827 /nfs/dbraw/zinc/90/68/27/1072906827.db2.gz BNPAEOWNLSJYPA-ZWKOTPCHSA-N 0 0 425.551 -0.426 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)n12 ZINC000498966205 1072906780 /nfs/dbraw/zinc/90/67/80/1072906780.db2.gz WKFDDKQWGCNREU-DLBZAZTESA-N 0 0 446.533 -0.835 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)n12 ZINC000498966208 1072906839 /nfs/dbraw/zinc/90/68/39/1072906839.db2.gz WKFDDKQWGCNREU-IAGOWNOFSA-N 0 0 446.533 -0.835 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)n12 ZINC000498966212 1072906797 /nfs/dbraw/zinc/90/67/97/1072906797.db2.gz WKFDDKQWGCNREU-IRXDYDNUSA-N 0 0 446.533 -0.835 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)n12 ZINC000498966215 1072906895 /nfs/dbraw/zinc/90/68/95/1072906895.db2.gz WKFDDKQWGCNREU-SJORKVTESA-N 0 0 446.533 -0.835 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000498966768 1072907316 /nfs/dbraw/zinc/90/73/16/1072907316.db2.gz FMZUCSSLZTYXIX-CEXWTWQISA-N 0 0 441.525 -0.499 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000498966769 1072907414 /nfs/dbraw/zinc/90/74/14/1072907414.db2.gz FMZUCSSLZTYXIX-GUDVDZBRSA-N 0 0 441.525 -0.499 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000498966770 1072907362 /nfs/dbraw/zinc/90/73/62/1072907362.db2.gz FMZUCSSLZTYXIX-QRVBRYPASA-N 0 0 441.525 -0.499 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000498966771 1072907349 /nfs/dbraw/zinc/90/73/49/1072907349.db2.gz FMZUCSSLZTYXIX-QYZOEREBSA-N 0 0 441.525 -0.499 20 0 IBADRN COc1cc2nc(CN3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)[nH]c(=O)c2cc1OC ZINC000498966851 1072907340 /nfs/dbraw/zinc/90/73/40/1072907340.db2.gz GFETVCRAXGAVBG-CABCVRRESA-N 0 0 438.506 -0.372 20 0 IBADRN COc1cc2nc(CN3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)[nH]c(=O)c2cc1OC ZINC000498966855 1072907298 /nfs/dbraw/zinc/90/72/98/1072907298.db2.gz GFETVCRAXGAVBG-GJZGRUSLSA-N 0 0 438.506 -0.372 20 0 IBADRN COc1cc2nc(CN3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)[nH]c(=O)c2cc1OC ZINC000498966856 1072907366 /nfs/dbraw/zinc/90/73/66/1072907366.db2.gz GFETVCRAXGAVBG-HUUCEWRRSA-N 0 0 438.506 -0.372 20 0 IBADRN COc1cc2nc(CN3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)[nH]c(=O)c2cc1OC ZINC000498967071 1072907307 /nfs/dbraw/zinc/90/73/07/1072907307.db2.gz GFETVCRAXGAVBG-LSDHHAIUSA-N 0 0 438.506 -0.372 20 0 IBADRN COc1ccc(CN(C)C(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1F ZINC000498967178 1072907391 /nfs/dbraw/zinc/90/73/91/1072907391.db2.gz HDJCXHIOEUHPOU-BMGDILEWSA-N 0 0 443.541 -0.043 20 0 IBADRN COc1ccc(CN(C)C(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1F ZINC000498967181 1072907404 /nfs/dbraw/zinc/90/74/04/1072907404.db2.gz HDJCXHIOEUHPOU-JCGIZDLHSA-N 0 0 443.541 -0.043 20 0 IBADRN COc1ccc(CN(C)C(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1F ZINC000498967183 1072907409 /nfs/dbraw/zinc/90/74/09/1072907409.db2.gz HDJCXHIOEUHPOU-QGTPRVQTSA-N 0 0 443.541 -0.043 20 0 IBADRN COc1ccc(CN(C)C(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1F ZINC000498967185 1072907303 /nfs/dbraw/zinc/90/73/03/1072907303.db2.gz HDJCXHIOEUHPOU-WBAXXEDZSA-N 0 0 443.541 -0.043 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498967589 1072907354 /nfs/dbraw/zinc/90/73/54/1072907354.db2.gz JGTPYJSLXCBSGQ-MOPGFXCFSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498967590 1072907372 /nfs/dbraw/zinc/90/73/72/1072907372.db2.gz JGTPYJSLXCBSGQ-OALUTQOASA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498967591 1072907790 /nfs/dbraw/zinc/90/77/90/1072907790.db2.gz JGTPYJSLXCBSGQ-RBUKOAKNSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498967592 1072907904 /nfs/dbraw/zinc/90/79/04/1072907904.db2.gz JGTPYJSLXCBSGQ-RTBURBONSA-N 0 0 425.551 -0.344 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)CC1 ZINC000498968164 1072907333 /nfs/dbraw/zinc/90/73/33/1072907333.db2.gz MUMOJDKASDYCIQ-UHFFFAOYSA-N 0 0 446.552 -0.396 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498968874 1072907871 /nfs/dbraw/zinc/90/78/71/1072907871.db2.gz PLCKIYGWDVGKFX-CVEARBPZSA-N 0 0 426.495 -0.881 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498968875 1072907879 /nfs/dbraw/zinc/90/78/79/1072907879.db2.gz PLCKIYGWDVGKFX-HOTGVXAUSA-N 0 0 426.495 -0.881 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498968876 1072907827 /nfs/dbraw/zinc/90/78/27/1072907827.db2.gz PLCKIYGWDVGKFX-HZPDHXFCSA-N 0 0 426.495 -0.881 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498968877 1072907844 /nfs/dbraw/zinc/90/78/44/1072907844.db2.gz PLCKIYGWDVGKFX-JKSUJKDBSA-N 0 0 426.495 -0.881 20 0 IBADRN Cc1cc(C)cc(OCCN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498969853 1072908417 /nfs/dbraw/zinc/90/84/17/1072908417.db2.gz SHONGEWLQYOKMM-PMACEKPBSA-N 0 0 439.578 -0.084 20 0 IBADRN Cc1cc(C)cc(OCCN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498969854 1072908355 /nfs/dbraw/zinc/90/83/55/1072908355.db2.gz SHONGEWLQYOKMM-UXHICEINSA-N 0 0 439.578 -0.084 20 0 IBADRN Cc1cc(C)cc(OCCN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498969857 1072908372 /nfs/dbraw/zinc/90/83/72/1072908372.db2.gz SHONGEWLQYOKMM-VQTJNVASSA-N 0 0 439.578 -0.084 20 0 IBADRN Cc1cc(C)cc(OCCN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498969859 1072908461 /nfs/dbraw/zinc/90/84/61/1072908461.db2.gz SHONGEWLQYOKMM-WOJBJXKFSA-N 0 0 439.578 -0.084 20 0 IBADRN O=C(Nc1cc(F)cc(N2CCOCC2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000498969943 1072908337 /nfs/dbraw/zinc/90/83/37/1072908337.db2.gz WLWMSEIBYOPBGP-UHFFFAOYSA-N 0 0 447.467 -0.450 20 0 IBADRN NC(=O)[C@H](c1cccc(Br)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498971785 1072909855 /nfs/dbraw/zinc/90/98/55/1072909855.db2.gz BUQDFCCAHMEGKG-ILXRZTDVSA-N 0 0 432.340 -0.249 20 0 IBADRN NC(=O)[C@H](c1cccc(Br)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498971787 1072909781 /nfs/dbraw/zinc/90/97/81/1072909781.db2.gz BUQDFCCAHMEGKG-KFWWJZLASA-N 0 0 432.340 -0.249 20 0 IBADRN NC(=O)[C@H](c1cccc(Br)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498971789 1072909949 /nfs/dbraw/zinc/90/99/49/1072909949.db2.gz BUQDFCCAHMEGKG-KKUMJFAQSA-N 0 0 432.340 -0.249 20 0 IBADRN NC(=O)[C@H](c1cccc(Br)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498971791 1072909761 /nfs/dbraw/zinc/90/97/61/1072909761.db2.gz BUQDFCCAHMEGKG-ZNMIVQPWSA-N 0 0 432.340 -0.249 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498972191 1072905695 /nfs/dbraw/zinc/90/56/95/1072905695.db2.gz ZGANZQJOXLZTEM-BMGDILEWSA-N 0 0 439.534 -0.116 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498972194 1072905872 /nfs/dbraw/zinc/90/58/72/1072905872.db2.gz ZGANZQJOXLZTEM-JCGIZDLHSA-N 0 0 439.534 -0.116 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498972197 1072905889 /nfs/dbraw/zinc/90/58/89/1072905889.db2.gz ZGANZQJOXLZTEM-QGTPRVQTSA-N 0 0 439.534 -0.116 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498972199 1072905721 /nfs/dbraw/zinc/90/57/21/1072905721.db2.gz ZGANZQJOXLZTEM-WBAXXEDZSA-N 0 0 439.534 -0.116 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(Cc2ccsc2)CC1 ZINC000498972344 1072905854 /nfs/dbraw/zinc/90/58/54/1072905854.db2.gz ITNFXEMQRCJANM-MSOLQXFVSA-N 0 0 442.607 -0.832 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(Cc2ccsc2)CC1 ZINC000498972345 1072905805 /nfs/dbraw/zinc/90/58/05/1072905805.db2.gz ITNFXEMQRCJANM-QZTJIDSGSA-N 0 0 442.607 -0.832 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(Cc2ccsc2)CC1 ZINC000498972346 1072905734 /nfs/dbraw/zinc/90/57/34/1072905734.db2.gz ITNFXEMQRCJANM-ROUUACIJSA-N 0 0 442.607 -0.832 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(Cc2ccsc2)CC1 ZINC000498972347 1072905778 /nfs/dbraw/zinc/90/57/78/1072905778.db2.gz ITNFXEMQRCJANM-ZWKOTPCHSA-N 0 0 442.607 -0.832 20 0 IBADRN COc1ccc(CN(C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C2CC2)cc1 ZINC000498973038 1072909771 /nfs/dbraw/zinc/90/97/71/1072909771.db2.gz FWULQJPTSGRZGO-PMACEKPBSA-N 0 0 437.562 -0.038 20 0 IBADRN COc1ccc(CN(C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C2CC2)cc1 ZINC000498973039 1072909819 /nfs/dbraw/zinc/90/98/19/1072909819.db2.gz FWULQJPTSGRZGO-UXHICEINSA-N 0 0 437.562 -0.038 20 0 IBADRN COc1ccc(CN(C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C2CC2)cc1 ZINC000498973040 1072909959 /nfs/dbraw/zinc/90/99/59/1072909959.db2.gz FWULQJPTSGRZGO-VQTJNVASSA-N 0 0 437.562 -0.038 20 0 IBADRN COc1ccc(CN(C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C2CC2)cc1 ZINC000498973041 1072909890 /nfs/dbraw/zinc/90/98/90/1072909890.db2.gz FWULQJPTSGRZGO-WOJBJXKFSA-N 0 0 437.562 -0.038 20 0 IBADRN C[C@H](C(=O)NCCc1c[nH]c2ccccc12)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498973132 1072909939 /nfs/dbraw/zinc/90/99/39/1072909939.db2.gz BFMXMTWMDXYBFW-CDHQVMDDSA-N 0 0 434.562 -0.009 20 0 IBADRN C[C@H](C(=O)NCCc1c[nH]c2ccccc12)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498973134 1072909905 /nfs/dbraw/zinc/90/99/05/1072909905.db2.gz BFMXMTWMDXYBFW-UIAACRFSSA-N 0 0 434.562 -0.009 20 0 IBADRN C[C@H](C(=O)NCCc1c[nH]c2ccccc12)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498973136 1072909930 /nfs/dbraw/zinc/90/99/30/1072909930.db2.gz BFMXMTWMDXYBFW-XPGWFJOJSA-N 0 0 434.562 -0.009 20 0 IBADRN C[C@H](C(=O)NCCc1c[nH]c2ccccc12)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498973139 1072909806 /nfs/dbraw/zinc/90/98/06/1072909806.db2.gz BFMXMTWMDXYBFW-YSGRDPCXSA-N 0 0 434.562 -0.009 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498973208 1072909918 /nfs/dbraw/zinc/90/99/18/1072909918.db2.gz BMCQVVAIBGRVMC-MSOLQXFVSA-N 0 0 438.550 -0.833 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498973213 1072909748 /nfs/dbraw/zinc/90/97/48/1072909748.db2.gz BMCQVVAIBGRVMC-QZTJIDSGSA-N 0 0 438.550 -0.833 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498973215 1072909864 /nfs/dbraw/zinc/90/98/64/1072909864.db2.gz BMCQVVAIBGRVMC-ROUUACIJSA-N 0 0 438.550 -0.833 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498973217 1072909847 /nfs/dbraw/zinc/90/98/47/1072909847.db2.gz BMCQVVAIBGRVMC-ZWKOTPCHSA-N 0 0 438.550 -0.833 20 0 IBADRN CC(C)Oc1cccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498973509 1072910459 /nfs/dbraw/zinc/91/04/59/1072910459.db2.gz HEPLVUVXBMORHX-MOPGFXCFSA-N 0 0 425.551 -0.135 20 0 IBADRN CC(C)Oc1cccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498973514 1072910394 /nfs/dbraw/zinc/91/03/94/1072910394.db2.gz HEPLVUVXBMORHX-OALUTQOASA-N 0 0 425.551 -0.135 20 0 IBADRN CC(C)Oc1cccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498973516 1072910508 /nfs/dbraw/zinc/91/05/08/1072910508.db2.gz HEPLVUVXBMORHX-RBUKOAKNSA-N 0 0 425.551 -0.135 20 0 IBADRN CC(C)Oc1cccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498973518 1072910428 /nfs/dbraw/zinc/91/04/28/1072910428.db2.gz HEPLVUVXBMORHX-RTBURBONSA-N 0 0 425.551 -0.135 20 0 IBADRN CCOc1ccc(CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498973781 1072910444 /nfs/dbraw/zinc/91/04/44/1072910444.db2.gz MHYSZQDYDUIRCR-MOPGFXCFSA-N 0 0 425.551 -0.181 20 0 IBADRN CCOc1ccc(CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498973790 1072910387 /nfs/dbraw/zinc/91/03/87/1072910387.db2.gz MHYSZQDYDUIRCR-OALUTQOASA-N 0 0 425.551 -0.181 20 0 IBADRN CCOc1ccc(CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498973792 1072910373 /nfs/dbraw/zinc/91/03/73/1072910373.db2.gz MHYSZQDYDUIRCR-RBUKOAKNSA-N 0 0 425.551 -0.181 20 0 IBADRN CCOc1ccc(CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498973793 1072910490 /nfs/dbraw/zinc/91/04/90/1072910490.db2.gz MHYSZQDYDUIRCR-RTBURBONSA-N 0 0 425.551 -0.181 20 0 IBADRN CCCCCc1nnc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)s1 ZINC000498974172 1072910544 /nfs/dbraw/zinc/91/05/44/1072910544.db2.gz NRIAXUVGDGUURB-KBPBESRZSA-N 0 0 431.584 -0.015 20 0 IBADRN CCCCCc1nnc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)s1 ZINC000498974174 1072910513 /nfs/dbraw/zinc/91/05/13/1072910513.db2.gz NRIAXUVGDGUURB-KGLIPLIRSA-N 0 0 431.584 -0.015 20 0 IBADRN CCCCCc1nnc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)s1 ZINC000498974177 1072910357 /nfs/dbraw/zinc/91/03/57/1072910357.db2.gz NRIAXUVGDGUURB-UONOGXRCSA-N 0 0 431.584 -0.015 20 0 IBADRN CCCCCc1nnc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)s1 ZINC000498974179 1072910437 /nfs/dbraw/zinc/91/04/37/1072910437.db2.gz NRIAXUVGDGUURB-ZIAGYGMSSA-N 0 0 431.584 -0.015 20 0 IBADRN CC1(C)CC(=O)c2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc2O1 ZINC000498974404 1072910409 /nfs/dbraw/zinc/91/04/09/1072910409.db2.gz BHDYFUPVIPWYLV-UHFFFAOYSA-N 0 0 441.448 -0.274 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000498975579 1072911134 /nfs/dbraw/zinc/91/11/34/1072911134.db2.gz IGLHKMDJRIMBLU-UHFFFAOYSA-N 0 0 433.509 -0.376 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2nc(C3CCOCC3)cs2)CC1 ZINC000498976768 1072911045 /nfs/dbraw/zinc/91/10/45/1072911045.db2.gz GXUQUWKFJGOPFP-UHFFFAOYSA-N 0 0 434.482 -0.667 20 0 IBADRN COC(=O)c1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(Cl)c1 ZINC000498977363 1072911094 /nfs/dbraw/zinc/91/10/94/1072911094.db2.gz HOTZTGIOMFIEBR-UHFFFAOYSA-N 0 0 449.855 -0.269 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)ccc1F ZINC000498977966 1072908879 /nfs/dbraw/zinc/90/88/79/1072908879.db2.gz UWRZEOGPVSUFGZ-CYBMUJFWSA-N 0 0 428.486 -0.147 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)ccc1F ZINC000498977969 1072908816 /nfs/dbraw/zinc/90/88/16/1072908816.db2.gz UWRZEOGPVSUFGZ-ZDUSSCGKSA-N 0 0 428.486 -0.147 20 0 IBADRN CCCOc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498978396 1072908968 /nfs/dbraw/zinc/90/89/68/1072908968.db2.gz KUROAMQFBRXONC-MOPGFXCFSA-N 0 0 425.551 -0.133 20 0 IBADRN CCCOc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498978398 1072908946 /nfs/dbraw/zinc/90/89/46/1072908946.db2.gz KUROAMQFBRXONC-OALUTQOASA-N 0 0 425.551 -0.133 20 0 IBADRN CCCOc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498978399 1072908983 /nfs/dbraw/zinc/90/89/83/1072908983.db2.gz KUROAMQFBRXONC-RBUKOAKNSA-N 0 0 425.551 -0.133 20 0 IBADRN CCCOc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498978400 1072908922 /nfs/dbraw/zinc/90/89/22/1072908922.db2.gz KUROAMQFBRXONC-RTBURBONSA-N 0 0 425.551 -0.133 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(C)c2-c2ccccc2)CC1 ZINC000498978845 1072909255 /nfs/dbraw/zinc/90/92/55/1072909255.db2.gz QFTKJSOIYQJGDL-UHFFFAOYSA-N 0 0 428.493 -0.068 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2c(C)c(C(F)(F)F)nn2C)CC1 ZINC000498978854 1072909368 /nfs/dbraw/zinc/90/93/68/1072909368.db2.gz QHMNASBLNZZFSK-UHFFFAOYSA-N 0 0 434.419 -0.407 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000498978954 1072909416 /nfs/dbraw/zinc/90/94/16/1072909416.db2.gz WNVJNSHZCXWCPQ-AWEZNQCLSA-N 0 0 444.485 -0.232 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000498978955 1072909288 /nfs/dbraw/zinc/90/92/88/1072909288.db2.gz WNVJNSHZCXWCPQ-CQSZACIVSA-N 0 0 444.485 -0.232 20 0 IBADRN COC(=O)[C@H](c1cc(OC)cc(OC)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498979000 1072909406 /nfs/dbraw/zinc/90/94/06/1072909406.db2.gz MBJWTZKXTSHNRO-BZSNNMDCSA-N 0 0 428.507 -0.307 20 0 IBADRN COC(=O)[C@H](c1cc(OC)cc(OC)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498979001 1072909312 /nfs/dbraw/zinc/90/93/12/1072909312.db2.gz MBJWTZKXTSHNRO-KSZLIROESA-N 0 0 428.507 -0.307 20 0 IBADRN COC(=O)[C@H](c1cc(OC)cc(OC)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498979002 1072909278 /nfs/dbraw/zinc/90/92/78/1072909278.db2.gz MBJWTZKXTSHNRO-KURKYZTESA-N 0 0 428.507 -0.307 20 0 IBADRN COC(=O)[C@H](c1cc(OC)cc(OC)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498979003 1072909411 /nfs/dbraw/zinc/90/94/11/1072909411.db2.gz MBJWTZKXTSHNRO-SQNIBIBYSA-N 0 0 428.507 -0.307 20 0 IBADRN NC(=O)[C@H](c1ccc(Br)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498981821 1072909206 /nfs/dbraw/zinc/90/92/06/1072909206.db2.gz ZMWISCKYRRSIPB-ILXRZTDVSA-N 0 0 432.340 -0.249 20 0 IBADRN NC(=O)[C@H](c1ccc(Br)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498981822 1072909245 /nfs/dbraw/zinc/90/92/45/1072909245.db2.gz ZMWISCKYRRSIPB-KFWWJZLASA-N 0 0 432.340 -0.249 20 0 IBADRN NC(=O)[C@H](c1ccc(Br)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498981823 1072909269 /nfs/dbraw/zinc/90/92/69/1072909269.db2.gz ZMWISCKYRRSIPB-KKUMJFAQSA-N 0 0 432.340 -0.249 20 0 IBADRN NC(=O)[C@H](c1ccc(Br)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498981824 1072909796 /nfs/dbraw/zinc/90/97/96/1072909796.db2.gz ZMWISCKYRRSIPB-ZNMIVQPWSA-N 0 0 432.340 -0.249 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498982360 1072909234 /nfs/dbraw/zinc/90/92/34/1072909234.db2.gz FRNRQQVPEUBTPZ-KBPBESRZSA-N 0 0 431.536 -0.361 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498982361 1072909215 /nfs/dbraw/zinc/90/92/15/1072909215.db2.gz FRNRQQVPEUBTPZ-KGLIPLIRSA-N 0 0 431.536 -0.361 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498982362 1072909300 /nfs/dbraw/zinc/90/93/00/1072909300.db2.gz FRNRQQVPEUBTPZ-UONOGXRCSA-N 0 0 431.536 -0.361 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498982363 1072909378 /nfs/dbraw/zinc/90/93/78/1072909378.db2.gz FRNRQQVPEUBTPZ-ZIAGYGMSSA-N 0 0 431.536 -0.361 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2scc(C)c2C(=O)OC)CC1 ZINC000498983467 1072914275 /nfs/dbraw/zinc/91/42/75/1072914275.db2.gz FAFUGFLKCOZKAE-UHFFFAOYSA-N 0 0 426.495 -0.312 20 0 IBADRN CN(CCCOc1ccccc1)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498983545 1072914423 /nfs/dbraw/zinc/91/44/23/1072914423.db2.gz IQZRIAUDDATVQI-MOPGFXCFSA-N 0 0 425.551 -0.311 20 0 IBADRN CN(CCCOc1ccccc1)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498983548 1072909975 /nfs/dbraw/zinc/90/99/75/1072909975.db2.gz IQZRIAUDDATVQI-OALUTQOASA-N 0 0 425.551 -0.311 20 0 IBADRN CN(CCCOc1ccccc1)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498983549 1072909832 /nfs/dbraw/zinc/90/98/32/1072909832.db2.gz IQZRIAUDDATVQI-RBUKOAKNSA-N 0 0 425.551 -0.311 20 0 IBADRN CN(CCCOc1ccccc1)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498983550 1072909875 /nfs/dbraw/zinc/90/98/75/1072909875.db2.gz IQZRIAUDDATVQI-RTBURBONSA-N 0 0 425.551 -0.311 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(Cc2cccs2)CC1 ZINC000498983598 1072910468 /nfs/dbraw/zinc/91/04/68/1072910468.db2.gz IXQFSHMEZKUYEK-MSOLQXFVSA-N 0 0 442.607 -0.832 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(Cc2cccs2)CC1 ZINC000498983600 1072910298 /nfs/dbraw/zinc/91/02/98/1072910298.db2.gz IXQFSHMEZKUYEK-QZTJIDSGSA-N 0 0 442.607 -0.832 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(Cc2cccs2)CC1 ZINC000498983601 1072910527 /nfs/dbraw/zinc/91/05/27/1072910527.db2.gz IXQFSHMEZKUYEK-ROUUACIJSA-N 0 0 442.607 -0.832 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(Cc2cccs2)CC1 ZINC000498983603 1072911070 /nfs/dbraw/zinc/91/10/70/1072911070.db2.gz IXQFSHMEZKUYEK-ZWKOTPCHSA-N 0 0 442.607 -0.832 20 0 IBADRN C[C@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498983650 1073361432 /nfs/dbraw/zinc/36/14/32/1073361432.db2.gz JEPQXLIFTMBXKX-BMFZPTHFSA-N 0 0 433.538 -0.544 20 0 IBADRN C[C@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498983653 1072909323 /nfs/dbraw/zinc/90/93/23/1072909323.db2.gz JEPQXLIFTMBXKX-FVQBIDKESA-N 0 0 433.538 -0.544 20 0 IBADRN C[C@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498983655 1073361256 /nfs/dbraw/zinc/36/12/56/1073361256.db2.gz JEPQXLIFTMBXKX-KBMXLJTQSA-N 0 0 433.538 -0.544 20 0 IBADRN C[C@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498983657 1072909397 /nfs/dbraw/zinc/90/93/97/1072909397.db2.gz JEPQXLIFTMBXKX-VNQPRFMTSA-N 0 0 433.538 -0.544 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(Cc2ccccc2)CC1 ZINC000498983923 1072914442 /nfs/dbraw/zinc/91/44/42/1072914442.db2.gz JQPFATIDXMOYQJ-PMACEKPBSA-N 0 0 436.578 -0.894 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCN(Cc2ccccc2)CC1 ZINC000498983924 1072914380 /nfs/dbraw/zinc/91/43/80/1072914380.db2.gz JQPFATIDXMOYQJ-UXHICEINSA-N 0 0 436.578 -0.894 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(Cc2ccccc2)CC1 ZINC000498983925 1072914343 /nfs/dbraw/zinc/91/43/43/1072914343.db2.gz JQPFATIDXMOYQJ-VQTJNVASSA-N 0 0 436.578 -0.894 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCN(Cc2ccccc2)CC1 ZINC000498983926 1072914456 /nfs/dbraw/zinc/91/44/56/1072914456.db2.gz JQPFATIDXMOYQJ-WOJBJXKFSA-N 0 0 436.578 -0.894 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498984485 1072911111 /nfs/dbraw/zinc/91/11/11/1072911111.db2.gz FXFYEBPKZNDJAH-DLBZAZTESA-N 0 0 425.507 -0.504 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498984486 1072911008 /nfs/dbraw/zinc/91/10/08/1072911008.db2.gz FXFYEBPKZNDJAH-IAGOWNOFSA-N 0 0 425.507 -0.504 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498984487 1072911120 /nfs/dbraw/zinc/91/11/20/1072911120.db2.gz FXFYEBPKZNDJAH-IRXDYDNUSA-N 0 0 425.507 -0.504 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498984488 1072911131 /nfs/dbraw/zinc/91/11/31/1072911131.db2.gz FXFYEBPKZNDJAH-SJORKVTESA-N 0 0 425.507 -0.504 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498984651 1072911065 /nfs/dbraw/zinc/91/10/65/1072911065.db2.gz MMTGSDODVZWETO-DLBZAZTESA-N 0 0 429.514 -0.562 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000498984653 1072911127 /nfs/dbraw/zinc/91/11/27/1072911127.db2.gz SZXDWIJKWGJQHF-UHFFFAOYSA-N 0 0 428.453 -0.926 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498984658 1072911142 /nfs/dbraw/zinc/91/11/42/1072911142.db2.gz MMTGSDODVZWETO-IAGOWNOFSA-N 0 0 429.514 -0.562 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498984659 1072911149 /nfs/dbraw/zinc/91/11/49/1072911149.db2.gz MMTGSDODVZWETO-IRXDYDNUSA-N 0 0 429.514 -0.562 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498984661 1072911022 /nfs/dbraw/zinc/91/10/22/1072911022.db2.gz MMTGSDODVZWETO-SJORKVTESA-N 0 0 429.514 -0.562 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498984941 1072911124 /nfs/dbraw/zinc/91/11/24/1072911124.db2.gz GIPPFPAQMJSJEG-DLBZAZTESA-N 0 0 425.507 -0.423 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498984942 1072911032 /nfs/dbraw/zinc/91/10/32/1072911032.db2.gz GIPPFPAQMJSJEG-IAGOWNOFSA-N 0 0 425.507 -0.423 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498984943 1072911015 /nfs/dbraw/zinc/91/10/15/1072911015.db2.gz GIPPFPAQMJSJEG-IRXDYDNUSA-N 0 0 425.507 -0.423 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498984944 1072911040 /nfs/dbraw/zinc/91/10/40/1072911040.db2.gz GIPPFPAQMJSJEG-SJORKVTESA-N 0 0 425.507 -0.423 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498985537 1072911412 /nfs/dbraw/zinc/91/14/12/1072911412.db2.gz PBLBGWYQFWFFID-KBPBESRZSA-N 0 0 445.563 -0.134 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498985538 1072911401 /nfs/dbraw/zinc/91/14/01/1072911401.db2.gz PBLBGWYQFWFFID-KGLIPLIRSA-N 0 0 445.563 -0.134 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498985539 1072911438 /nfs/dbraw/zinc/91/14/38/1072911438.db2.gz PBLBGWYQFWFFID-UONOGXRCSA-N 0 0 445.563 -0.134 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498985540 1072911553 /nfs/dbraw/zinc/91/15/53/1072911553.db2.gz PBLBGWYQFWFFID-ZIAGYGMSSA-N 0 0 445.563 -0.134 20 0 IBADRN CCS(=O)(=O)c1ccc2oc(CN3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)nc2c1 ZINC000498985556 1072911578 /nfs/dbraw/zinc/91/15/78/1072911578.db2.gz PGZGDVMYRHIDKK-CVEARBPZSA-N 0 0 443.547 -0.103 20 0 IBADRN CCS(=O)(=O)c1ccc2oc(CN3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)nc2c1 ZINC000498985557 1072911497 /nfs/dbraw/zinc/91/14/97/1072911497.db2.gz PGZGDVMYRHIDKK-HOTGVXAUSA-N 0 0 443.547 -0.103 20 0 IBADRN CCS(=O)(=O)c1ccc2oc(CN3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)nc2c1 ZINC000498985558 1072911471 /nfs/dbraw/zinc/91/14/71/1072911471.db2.gz PGZGDVMYRHIDKK-HZPDHXFCSA-N 0 0 443.547 -0.103 20 0 IBADRN CCS(=O)(=O)c1ccc2oc(CN3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)nc2c1 ZINC000498985559 1072911506 /nfs/dbraw/zinc/91/15/06/1072911506.db2.gz PGZGDVMYRHIDKK-JKSUJKDBSA-N 0 0 443.547 -0.103 20 0 IBADRN CCCOc1cccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498985577 1072911484 /nfs/dbraw/zinc/91/14/84/1072911484.db2.gz PMSSORQKZMSKNU-MOPGFXCFSA-N 0 0 425.551 -0.133 20 0 IBADRN CCCOc1cccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498985578 1072911571 /nfs/dbraw/zinc/91/15/71/1072911571.db2.gz PMSSORQKZMSKNU-OALUTQOASA-N 0 0 425.551 -0.133 20 0 IBADRN CCCOc1cccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498985579 1072911527 /nfs/dbraw/zinc/91/15/27/1072911527.db2.gz PMSSORQKZMSKNU-RBUKOAKNSA-N 0 0 425.551 -0.133 20 0 IBADRN CCCOc1cccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498985580 1072911510 /nfs/dbraw/zinc/91/15/10/1072911510.db2.gz PMSSORQKZMSKNU-RTBURBONSA-N 0 0 425.551 -0.133 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498985603 1072911420 /nfs/dbraw/zinc/91/14/20/1072911420.db2.gz PTLOAUQZTTXLSV-CVEARBPZSA-N 0 0 431.942 -0.260 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498985604 1072911913 /nfs/dbraw/zinc/91/19/13/1072911913.db2.gz PTLOAUQZTTXLSV-HOTGVXAUSA-N 0 0 431.942 -0.260 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498985605 1072911959 /nfs/dbraw/zinc/91/19/59/1072911959.db2.gz PTLOAUQZTTXLSV-HZPDHXFCSA-N 0 0 431.942 -0.260 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498985606 1072911995 /nfs/dbraw/zinc/91/19/95/1072911995.db2.gz PTLOAUQZTTXLSV-JKSUJKDBSA-N 0 0 431.942 -0.260 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCOc1cccc(Cl)c1 ZINC000498985746 1072911462 /nfs/dbraw/zinc/91/14/62/1072911462.db2.gz IZVAIKGMCGRQNG-DLBZAZTESA-N 0 0 431.942 -0.390 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCOc1cccc(Cl)c1 ZINC000498985751 1072911451 /nfs/dbraw/zinc/91/14/51/1072911451.db2.gz IZVAIKGMCGRQNG-IAGOWNOFSA-N 0 0 431.942 -0.390 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCOc1cccc(Cl)c1 ZINC000498985755 1072911519 /nfs/dbraw/zinc/91/15/19/1072911519.db2.gz IZVAIKGMCGRQNG-IRXDYDNUSA-N 0 0 431.942 -0.390 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCOc1cccc(Cl)c1 ZINC000498985757 1072911428 /nfs/dbraw/zinc/91/14/28/1072911428.db2.gz IZVAIKGMCGRQNG-SJORKVTESA-N 0 0 431.942 -0.390 20 0 IBADRN CC(C)Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C1CC1 ZINC000498985805 1072911540 /nfs/dbraw/zinc/91/15/40/1072911540.db2.gz VMUQLEVVIJBOCQ-UHFFFAOYSA-N 0 0 429.485 -0.288 20 0 IBADRN CN(CCCOc1ccc(F)cc1)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498986204 1072911057 /nfs/dbraw/zinc/91/10/57/1072911057.db2.gz JWTZFIXGEBRXFN-MOPGFXCFSA-N 0 0 443.541 -0.172 20 0 IBADRN CN(CCCOc1ccc(F)cc1)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498986206 1072911085 /nfs/dbraw/zinc/91/10/85/1072911085.db2.gz JWTZFIXGEBRXFN-OALUTQOASA-N 0 0 443.541 -0.172 20 0 IBADRN CN(CCCOc1ccc(F)cc1)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498986207 1072911429 /nfs/dbraw/zinc/91/14/29/1072911429.db2.gz JWTZFIXGEBRXFN-RBUKOAKNSA-N 0 0 443.541 -0.172 20 0 IBADRN CN(CCCOc1ccc(F)cc1)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498986209 1072911563 /nfs/dbraw/zinc/91/15/63/1072911563.db2.gz JWTZFIXGEBRXFN-RTBURBONSA-N 0 0 443.541 -0.172 20 0 IBADRN C[C@H](Cc1c(F)cccc1F)NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498986723 1072912039 /nfs/dbraw/zinc/91/20/39/1072912039.db2.gz LNSZHOVJEYMILV-BVGQSLNGSA-N 0 0 431.505 -0.213 20 0 IBADRN C[C@H](Cc1c(F)cccc1F)NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498986724 1072912067 /nfs/dbraw/zinc/91/20/67/1072912067.db2.gz LNSZHOVJEYMILV-FSPWUOQZSA-N 0 0 431.505 -0.213 20 0 IBADRN C[C@H](Cc1c(F)cccc1F)NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498986725 1072912089 /nfs/dbraw/zinc/91/20/89/1072912089.db2.gz LNSZHOVJEYMILV-JEBQAFNWSA-N 0 0 431.505 -0.213 20 0 IBADRN C[C@H](Cc1c(F)cccc1F)NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498986726 1072912058 /nfs/dbraw/zinc/91/20/58/1072912058.db2.gz LNSZHOVJEYMILV-XWIAVFTESA-N 0 0 431.505 -0.213 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n(C)nc1C(F)(F)F ZINC000498986960 1072911925 /nfs/dbraw/zinc/91/19/25/1072911925.db2.gz XONMVPVCQYVXCR-UHFFFAOYSA-N 0 0 429.363 -0.957 20 0 IBADRN COc1ccccc1[C@H](C)N(C)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498987155 1072912004 /nfs/dbraw/zinc/91/20/04/1072912004.db2.gz MJJGJXPTWCUCAP-CGTJXYLNSA-N 0 0 425.551 -0.010 20 0 IBADRN COc1ccccc1[C@H](C)N(C)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498987156 1072912028 /nfs/dbraw/zinc/91/20/28/1072912028.db2.gz MJJGJXPTWCUCAP-JQHSSLGASA-N 0 0 425.551 -0.010 20 0 IBADRN COc1ccccc1[C@H](C)N(C)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498987158 1072912012 /nfs/dbraw/zinc/91/20/12/1072912012.db2.gz MJJGJXPTWCUCAP-RYQLBKOJSA-N 0 0 425.551 -0.010 20 0 IBADRN COc1ccccc1[C@H](C)N(C)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498987160 1072911940 /nfs/dbraw/zinc/91/19/40/1072911940.db2.gz MJJGJXPTWCUCAP-SZMVWBNQSA-N 0 0 425.551 -0.010 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498987342 1072911978 /nfs/dbraw/zinc/91/19/78/1072911978.db2.gz WXLIZHKZUMKSOJ-DLBZAZTESA-N 0 0 425.507 -0.423 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498987344 1072912081 /nfs/dbraw/zinc/91/20/81/1072912081.db2.gz WXLIZHKZUMKSOJ-IAGOWNOFSA-N 0 0 425.507 -0.423 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498987345 1072911898 /nfs/dbraw/zinc/91/18/98/1072911898.db2.gz WXLIZHKZUMKSOJ-IRXDYDNUSA-N 0 0 425.507 -0.423 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498987347 1072911971 /nfs/dbraw/zinc/91/19/71/1072911971.db2.gz WXLIZHKZUMKSOJ-SJORKVTESA-N 0 0 425.507 -0.423 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2nc3c(s2)CSCC3)CC1 ZINC000498987408 1072912521 /nfs/dbraw/zinc/91/25/21/1072912521.db2.gz LWJXDWBVJTUOCI-UHFFFAOYSA-N 0 0 427.552 -0.222 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCc1ccc(OC(F)F)cc1 ZINC000498987788 1072912450 /nfs/dbraw/zinc/91/24/50/1072912450.db2.gz XWNKHRGIPORPFH-CVEARBPZSA-N 0 0 433.477 -0.320 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCc1ccc(OC(F)F)cc1 ZINC000498987789 1072912435 /nfs/dbraw/zinc/91/24/35/1072912435.db2.gz XWNKHRGIPORPFH-HOTGVXAUSA-N 0 0 433.477 -0.320 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCc1ccc(OC(F)F)cc1 ZINC000498987790 1072912513 /nfs/dbraw/zinc/91/25/13/1072912513.db2.gz XWNKHRGIPORPFH-HZPDHXFCSA-N 0 0 433.477 -0.320 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCc1ccc(OC(F)F)cc1 ZINC000498987791 1072912444 /nfs/dbraw/zinc/91/24/44/1072912444.db2.gz XWNKHRGIPORPFH-JKSUJKDBSA-N 0 0 433.477 -0.320 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498989111 1072912558 /nfs/dbraw/zinc/91/25/58/1072912558.db2.gz DVGVKDBRPUMNBO-NCOADZHNSA-N 0 0 439.534 -0.893 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498989112 1072912483 /nfs/dbraw/zinc/91/24/83/1072912483.db2.gz DVGVKDBRPUMNBO-QBPKDAKJSA-N 0 0 439.534 -0.893 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498989113 1072912475 /nfs/dbraw/zinc/91/24/75/1072912475.db2.gz DVGVKDBRPUMNBO-VQHPVUNQSA-N 0 0 439.534 -0.893 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498989114 1072912551 /nfs/dbraw/zinc/91/25/51/1072912551.db2.gz DVGVKDBRPUMNBO-WCXIOVBPSA-N 0 0 439.534 -0.893 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccccc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498989219 1072912574 /nfs/dbraw/zinc/91/25/74/1072912574.db2.gz QZQUXPGZPDPLQI-DAXOMENPSA-N 0 0 428.486 -0.362 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccccc1F)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498989220 1072912491 /nfs/dbraw/zinc/91/24/91/1072912491.db2.gz QZQUXPGZPDPLQI-KCXAZCMYSA-N 0 0 428.486 -0.362 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccccc1F)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498989221 1072912462 /nfs/dbraw/zinc/91/24/62/1072912462.db2.gz QZQUXPGZPDPLQI-UHOFOFEASA-N 0 0 428.486 -0.362 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccccc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498989222 1072912544 /nfs/dbraw/zinc/91/25/44/1072912544.db2.gz QZQUXPGZPDPLQI-WQVCFCJDSA-N 0 0 428.486 -0.362 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1=O ZINC000498990323 1072912536 /nfs/dbraw/zinc/91/25/36/1072912536.db2.gz VINPEHMYAVGZSN-KKUMJFAQSA-N 0 0 443.547 -0.585 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1=O ZINC000498990324 1072912524 /nfs/dbraw/zinc/91/25/24/1072912524.db2.gz VINPEHMYAVGZSN-RRFJBIMHSA-N 0 0 443.547 -0.585 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1=O ZINC000498990325 1072913015 /nfs/dbraw/zinc/91/30/15/1072913015.db2.gz VINPEHMYAVGZSN-SOUVJXGZSA-N 0 0 443.547 -0.585 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1=O ZINC000498990326 1072912895 /nfs/dbraw/zinc/91/28/95/1072912895.db2.gz VINPEHMYAVGZSN-ZNMIVQPWSA-N 0 0 443.547 -0.585 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498990400 1072912972 /nfs/dbraw/zinc/91/29/72/1072912972.db2.gz KNJRXOJZKLSACH-DJIMGWMZSA-N 0 0 439.534 -0.050 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498990401 1072912951 /nfs/dbraw/zinc/91/29/51/1072912951.db2.gz KNJRXOJZKLSACH-HYVNUMGLSA-N 0 0 439.534 -0.050 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498990402 1072912932 /nfs/dbraw/zinc/91/29/32/1072912932.db2.gz KNJRXOJZKLSACH-OIISXLGYSA-N 0 0 439.534 -0.050 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498990403 1072913002 /nfs/dbraw/zinc/91/30/02/1072913002.db2.gz KNJRXOJZKLSACH-PVAVHDDUSA-N 0 0 439.534 -0.050 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(C(=O)c2ccc(=O)[nH]c2)CC1)S(C)(=O)=O ZINC000498991161 1072912905 /nfs/dbraw/zinc/91/29/05/1072912905.db2.gz NTYYWEVMNAIROP-UHFFFAOYSA-N 0 0 441.554 -0.279 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCCOc1ccccc1F ZINC000498991308 1072913046 /nfs/dbraw/zinc/91/30/46/1072913046.db2.gz XPJVSIIJDQKWRP-DLBZAZTESA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCCOc1ccccc1F ZINC000498991311 1072912922 /nfs/dbraw/zinc/91/29/22/1072912922.db2.gz XPJVSIIJDQKWRP-IAGOWNOFSA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCCOc1ccccc1F ZINC000498991312 1072912943 /nfs/dbraw/zinc/91/29/43/1072912943.db2.gz XPJVSIIJDQKWRP-IRXDYDNUSA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCCOc1ccccc1F ZINC000498991314 1072912875 /nfs/dbraw/zinc/91/28/75/1072912875.db2.gz XPJVSIIJDQKWRP-SJORKVTESA-N 0 0 429.514 -0.514 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)C2=O)c1 ZINC000498991876 1072913419 /nfs/dbraw/zinc/91/34/19/1072913419.db2.gz ZTYCIYTZVFXOQM-FHWLQOOXSA-N 0 0 439.534 -0.415 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)C2=O)c1 ZINC000498991880 1072913402 /nfs/dbraw/zinc/91/34/02/1072913402.db2.gz ZTYCIYTZVFXOQM-GBESFXJTSA-N 0 0 439.534 -0.415 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)C2=O)c1 ZINC000498991881 1072913382 /nfs/dbraw/zinc/91/33/82/1072913382.db2.gz ZTYCIYTZVFXOQM-IPMKNSEASA-N 0 0 439.534 -0.415 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)C2=O)c1 ZINC000498991883 1072913426 /nfs/dbraw/zinc/91/34/26/1072913426.db2.gz ZTYCIYTZVFXOQM-OTWHNJEPSA-N 0 0 439.534 -0.415 20 0 IBADRN CC(C)Oc1ccc(CCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498992087 1072912901 /nfs/dbraw/zinc/91/29/01/1072912901.db2.gz SFRUZVPFIOOFIB-PMACEKPBSA-N 0 0 439.578 -0.092 20 0 IBADRN CC(C)Oc1ccc(CCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498992088 1072912888 /nfs/dbraw/zinc/91/28/88/1072912888.db2.gz SFRUZVPFIOOFIB-UXHICEINSA-N 0 0 439.578 -0.092 20 0 IBADRN CC(C)Oc1ccc(CCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498992089 1072912991 /nfs/dbraw/zinc/91/29/91/1072912991.db2.gz SFRUZVPFIOOFIB-VQTJNVASSA-N 0 0 439.578 -0.092 20 0 IBADRN CC(C)Oc1ccc(CCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498992090 1072912982 /nfs/dbraw/zinc/91/29/82/1072912982.db2.gz SFRUZVPFIOOFIB-WOJBJXKFSA-N 0 0 439.578 -0.092 20 0 IBADRN Cc1ccc(SCCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498992382 1072912960 /nfs/dbraw/zinc/91/29/60/1072912960.db2.gz UYHJCSHQHUJYAL-MSOLQXFVSA-N 0 0 427.592 -0.021 20 0 IBADRN Cc1ccc(SCCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498992383 1072913042 /nfs/dbraw/zinc/91/30/42/1072913042.db2.gz UYHJCSHQHUJYAL-QZTJIDSGSA-N 0 0 427.592 -0.021 20 0 IBADRN Cc1ccc(SCCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498992384 1072912861 /nfs/dbraw/zinc/91/28/61/1072912861.db2.gz UYHJCSHQHUJYAL-ROUUACIJSA-N 0 0 427.592 -0.021 20 0 IBADRN Cc1ccc(SCCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498992385 1072913025 /nfs/dbraw/zinc/91/30/25/1072913025.db2.gz UYHJCSHQHUJYAL-ZWKOTPCHSA-N 0 0 427.592 -0.021 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000498992443 1072913296 /nfs/dbraw/zinc/91/32/96/1072913296.db2.gz VQMGCJXXNTUHNQ-CHWSQXEVSA-N 0 0 433.433 -0.278 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000498992444 1072913444 /nfs/dbraw/zinc/91/34/44/1072913444.db2.gz VQMGCJXXNTUHNQ-OLZOCXBDSA-N 0 0 433.433 -0.278 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000498992445 1072913395 /nfs/dbraw/zinc/91/33/95/1072913395.db2.gz VQMGCJXXNTUHNQ-QWHCGFSZSA-N 0 0 433.433 -0.278 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000498992446 1072913452 /nfs/dbraw/zinc/91/34/52/1072913452.db2.gz VQMGCJXXNTUHNQ-STQMWFEESA-N 0 0 433.433 -0.278 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2nc(C3CCOCC3)cs2)CC1 ZINC000498993096 1072913431 /nfs/dbraw/zinc/91/34/31/1072913431.db2.gz ZFQNQIUQWMUJGN-UHFFFAOYSA-N 0 0 439.538 -0.118 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1F ZINC000498994754 1072913825 /nfs/dbraw/zinc/91/38/25/1072913825.db2.gz AXCDVBMWPVGVJW-DLBZAZTESA-N 0 0 429.514 -0.432 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1F ZINC000498994756 1072913929 /nfs/dbraw/zinc/91/39/29/1072913929.db2.gz AXCDVBMWPVGVJW-IAGOWNOFSA-N 0 0 429.514 -0.432 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1F ZINC000498994758 1072913896 /nfs/dbraw/zinc/91/38/96/1072913896.db2.gz AXCDVBMWPVGVJW-IRXDYDNUSA-N 0 0 429.514 -0.432 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1F ZINC000498994760 1072913764 /nfs/dbraw/zinc/91/37/64/1072913764.db2.gz AXCDVBMWPVGVJW-SJORKVTESA-N 0 0 429.514 -0.432 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498995551 1072913866 /nfs/dbraw/zinc/91/38/66/1072913866.db2.gz CQHFRARYKIIHIF-MOPGFXCFSA-N 0 0 441.550 -0.644 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000498995561 1072913776 /nfs/dbraw/zinc/91/37/76/1072913776.db2.gz CQHFRARYKIIHIF-OALUTQOASA-N 0 0 441.550 -0.644 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498995564 1072913785 /nfs/dbraw/zinc/91/37/85/1072913785.db2.gz CQHFRARYKIIHIF-RBUKOAKNSA-N 0 0 441.550 -0.644 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000498995565 1072913805 /nfs/dbraw/zinc/91/38/05/1072913805.db2.gz CQHFRARYKIIHIF-RTBURBONSA-N 0 0 441.550 -0.644 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCOc1ccc(Cl)cc1 ZINC000498995700 1072913844 /nfs/dbraw/zinc/91/38/44/1072913844.db2.gz CZQQXBHYLXMOMP-DLBZAZTESA-N 0 0 431.942 -0.390 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCOc1ccc(Cl)cc1 ZINC000498995701 1072913910 /nfs/dbraw/zinc/91/39/10/1072913910.db2.gz CZQQXBHYLXMOMP-IAGOWNOFSA-N 0 0 431.942 -0.390 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCOc1ccc(Cl)cc1 ZINC000498995702 1072913856 /nfs/dbraw/zinc/91/38/56/1072913856.db2.gz CZQQXBHYLXMOMP-IRXDYDNUSA-N 0 0 431.942 -0.390 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCOc1ccc(Cl)cc1 ZINC000498995703 1072913753 /nfs/dbraw/zinc/91/37/53/1072913753.db2.gz CZQQXBHYLXMOMP-SJORKVTESA-N 0 0 431.942 -0.390 20 0 IBADRN O=C(N[C@H]1CCCS(=O)(=O)C1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498996081 1072913873 /nfs/dbraw/zinc/91/38/73/1072913873.db2.gz HLRZAXBPOVAMCZ-ILXRZTDVSA-N 0 0 435.502 -0.285 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498996082 1072913919 /nfs/dbraw/zinc/91/39/19/1072913919.db2.gz HLRZAXBPOVAMCZ-KFWWJZLASA-N 0 0 435.502 -0.285 20 0 IBADRN O=C(N[C@H]1CCCS(=O)(=O)C1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498996083 1072913814 /nfs/dbraw/zinc/91/38/14/1072913814.db2.gz HLRZAXBPOVAMCZ-KKUMJFAQSA-N 0 0 435.502 -0.285 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000498996084 1072913838 /nfs/dbraw/zinc/91/38/38/1072913838.db2.gz HLRZAXBPOVAMCZ-ZNMIVQPWSA-N 0 0 435.502 -0.285 20 0 IBADRN CCOC(=O)c1sc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1C ZINC000498996867 1072913793 /nfs/dbraw/zinc/91/37/93/1072913793.db2.gz HPSSRKANEFKILY-KBPBESRZSA-N 0 0 445.563 -0.053 20 0 IBADRN CCOC(=O)c1sc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1C ZINC000498996868 1072913937 /nfs/dbraw/zinc/91/39/37/1072913937.db2.gz HPSSRKANEFKILY-KGLIPLIRSA-N 0 0 445.563 -0.053 20 0 IBADRN CCOC(=O)c1sc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1C ZINC000498996869 1072914354 /nfs/dbraw/zinc/91/43/54/1072914354.db2.gz HPSSRKANEFKILY-UONOGXRCSA-N 0 0 445.563 -0.053 20 0 IBADRN CCOC(=O)c1sc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1C ZINC000498996870 1072914367 /nfs/dbraw/zinc/91/43/67/1072914367.db2.gz HPSSRKANEFKILY-ZIAGYGMSSA-N 0 0 445.563 -0.053 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498996902 1072914372 /nfs/dbraw/zinc/91/43/72/1072914372.db2.gz HTQHIBPDIAAKTI-BHIYHBOVSA-N 0 0 442.534 -0.622 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498996904 1072914364 /nfs/dbraw/zinc/91/43/64/1072914364.db2.gz HTQHIBPDIAAKTI-NEWSRXKRSA-N 0 0 442.534 -0.622 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000498996905 1072914429 /nfs/dbraw/zinc/91/44/29/1072914429.db2.gz HTQHIBPDIAAKTI-NZSAHSFTSA-N 0 0 442.534 -0.622 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000498996906 1072914324 /nfs/dbraw/zinc/91/43/24/1072914324.db2.gz HTQHIBPDIAAKTI-QRQLOZEOSA-N 0 0 442.534 -0.622 20 0 IBADRN CC(C)Cn1ncc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1C1CC1 ZINC000498997069 1072913943 /nfs/dbraw/zinc/91/39/43/1072913943.db2.gz GFGDFBUCGYYQNM-UHFFFAOYSA-N 0 0 426.543 -0.212 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc(Cl)cc3c2OCC(=O)N3)CC1 ZINC000498997675 1072914417 /nfs/dbraw/zinc/91/44/17/1072914417.db2.gz HGFLYDJFQMYHML-UHFFFAOYSA-N 0 0 445.885 -0.958 20 0 IBADRN CC1(C)CC(=O)c2cc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc2O1 ZINC000498997713 1072915441 /nfs/dbraw/zinc/91/54/41/1072915441.db2.gz HPSPVEOOUNKPEM-UHFFFAOYSA-N 0 0 438.506 -0.198 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000498997746 1072915236 /nfs/dbraw/zinc/91/52/36/1072915236.db2.gz HVMTVXKVLLGFFX-UHFFFAOYSA-N 0 0 425.511 -0.850 20 0 IBADRN CN(CCOc1ccc(Cl)cc1)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498998541 1072914835 /nfs/dbraw/zinc/91/48/35/1072914835.db2.gz LFRGSOSIBJUHHP-MSOLQXFVSA-N 0 0 445.969 -0.047 20 0 IBADRN CN(CCOc1ccc(Cl)cc1)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498998559 1072914941 /nfs/dbraw/zinc/91/49/41/1072914941.db2.gz LFRGSOSIBJUHHP-QZTJIDSGSA-N 0 0 445.969 -0.047 20 0 IBADRN CN(CCOc1ccc(Cl)cc1)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498998563 1072914947 /nfs/dbraw/zinc/91/49/47/1072914947.db2.gz LFRGSOSIBJUHHP-ROUUACIJSA-N 0 0 445.969 -0.047 20 0 IBADRN CN(CCOc1ccc(Cl)cc1)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498998567 1072914907 /nfs/dbraw/zinc/91/49/07/1072914907.db2.gz LFRGSOSIBJUHHP-ZWKOTPCHSA-N 0 0 445.969 -0.047 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000498998906 1072914303 /nfs/dbraw/zinc/91/43/03/1072914303.db2.gz JIZPZFKNCBFIRE-AWEZNQCLSA-N 0 0 430.502 -0.070 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000498998907 1072914407 /nfs/dbraw/zinc/91/44/07/1072914407.db2.gz JIZPZFKNCBFIRE-CQSZACIVSA-N 0 0 430.502 -0.070 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498999641 1072914988 /nfs/dbraw/zinc/91/49/88/1072914988.db2.gz NSBVZPLYJFQXIO-CABCVRRESA-N 0 0 445.563 -0.053 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000498999642 1072914868 /nfs/dbraw/zinc/91/48/68/1072914868.db2.gz NSBVZPLYJFQXIO-GJZGRUSLSA-N 0 0 445.563 -0.053 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498999643 1072914955 /nfs/dbraw/zinc/91/49/55/1072914955.db2.gz NSBVZPLYJFQXIO-HUUCEWRRSA-N 0 0 445.563 -0.053 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000498999644 1072914920 /nfs/dbraw/zinc/91/49/20/1072914920.db2.gz NSBVZPLYJFQXIO-LSDHHAIUSA-N 0 0 445.563 -0.053 20 0 IBADRN COC(=O)c1cccc([C@H](C(=O)OC)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000499000024 1072914808 /nfs/dbraw/zinc/91/48/08/1072914808.db2.gz PAWYWVMNNUENMV-BRWVUGGUSA-N 0 0 426.491 -0.537 20 0 IBADRN COC(=O)c1cccc([C@H](C(=O)OC)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000499000025 1072914979 /nfs/dbraw/zinc/91/49/79/1072914979.db2.gz PAWYWVMNNUENMV-GVDBMIGSSA-N 0 0 426.491 -0.537 20 0 IBADRN COC(=O)c1cccc([C@H](C(=O)OC)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000499000026 1072914962 /nfs/dbraw/zinc/91/49/62/1072914962.db2.gz PAWYWVMNNUENMV-IXDOHACOSA-N 0 0 426.491 -0.537 20 0 IBADRN COC(=O)c1cccc([C@H](C(=O)OC)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000499000027 1072914892 /nfs/dbraw/zinc/91/48/92/1072914892.db2.gz PAWYWVMNNUENMV-YESZJQIVSA-N 0 0 426.491 -0.537 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000499000281 1072914846 /nfs/dbraw/zinc/91/48/46/1072914846.db2.gz LSLAQFGBAYWLJU-ARFHVFGLSA-N 0 0 445.472 -0.188 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000499000288 1072914935 /nfs/dbraw/zinc/91/49/35/1072914935.db2.gz LSLAQFGBAYWLJU-BZUAXINKSA-N 0 0 445.472 -0.188 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000499000290 1072914880 /nfs/dbraw/zinc/91/48/80/1072914880.db2.gz LSLAQFGBAYWLJU-HRCADAONSA-N 0 0 445.472 -0.188 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000499000293 1072914887 /nfs/dbraw/zinc/91/48/87/1072914887.db2.gz LSLAQFGBAYWLJU-OWCLPIDISA-N 0 0 445.472 -0.188 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)CCO1 ZINC000499001564 1072915453 /nfs/dbraw/zinc/91/54/53/1072915453.db2.gz NJARBEBERFLMIQ-CYBMUJFWSA-N 0 0 428.463 -0.031 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)CCO1 ZINC000499001566 1072915423 /nfs/dbraw/zinc/91/54/23/1072915423.db2.gz NJARBEBERFLMIQ-ZDUSSCGKSA-N 0 0 428.463 -0.031 20 0 IBADRN O=S1(=O)C[C@H](O)[C@H](N2CCN(C[C@@H](O)COc3ccc(Br)cc3)CC2)C1 ZINC000499001813 1072914854 /nfs/dbraw/zinc/91/48/54/1072914854.db2.gz TXALRVROPGJXJP-OIISXLGYSA-N 0 0 449.367 -0.036 20 0 IBADRN O=S1(=O)C[C@H](O)[C@@H](N2CCN(C[C@@H](O)COc3ccc(Br)cc3)CC2)C1 ZINC000499001817 1072914817 /nfs/dbraw/zinc/91/48/17/1072914817.db2.gz TXALRVROPGJXJP-PVAVHDDUSA-N 0 0 449.367 -0.036 20 0 IBADRN O=S1(=O)C[C@H](O)[C@H](N2CCN(C[C@H](O)COc3ccc(Br)cc3)CC2)C1 ZINC000499001820 1072915468 /nfs/dbraw/zinc/91/54/68/1072915468.db2.gz TXALRVROPGJXJP-UAGQMJEPSA-N 0 0 449.367 -0.036 20 0 IBADRN O=S1(=O)C[C@H](O)[C@@H](N2CCN(C[C@H](O)COc3ccc(Br)cc3)CC2)C1 ZINC000499001822 1072915232 /nfs/dbraw/zinc/91/52/32/1072915232.db2.gz TXALRVROPGJXJP-XIRDDKMYSA-N 0 0 449.367 -0.036 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000499002312 1072915240 /nfs/dbraw/zinc/91/52/40/1072915240.db2.gz URTJBTNQRBJCHF-HOTGVXAUSA-N 0 0 429.539 -0.302 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000499002313 1072915312 /nfs/dbraw/zinc/91/53/12/1072915312.db2.gz URTJBTNQRBJCHF-JKSUJKDBSA-N 0 0 429.539 -0.302 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)n(C)nc1C(F)(F)F ZINC000499005525 1072915339 /nfs/dbraw/zinc/91/53/39/1072915339.db2.gz TYSYWIOPCNRICZ-UHFFFAOYSA-N 0 0 426.421 -0.881 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000499010181 1072916413 /nfs/dbraw/zinc/91/64/13/1072916413.db2.gz ZMTHMKPOYINZMQ-AWEZNQCLSA-N 0 0 426.495 -0.453 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000499010182 1072916419 /nfs/dbraw/zinc/91/64/19/1072916419.db2.gz ZMTHMKPOYINZMQ-CQSZACIVSA-N 0 0 426.495 -0.453 20 0 IBADRN C[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NCc1ccco1 ZINC000499011098 1072915325 /nfs/dbraw/zinc/91/53/25/1072915325.db2.gz RLRTVCKHGJFJTO-CYBMUJFWSA-N 0 0 434.474 -0.165 20 0 IBADRN C[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NCc1ccco1 ZINC000499011099 1072915225 /nfs/dbraw/zinc/91/52/25/1072915225.db2.gz RLRTVCKHGJFJTO-ZDUSSCGKSA-N 0 0 434.474 -0.165 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCNC(=O)[C@@H]1CC(=O)OC ZINC000499013084 1072915358 /nfs/dbraw/zinc/91/53/58/1072915358.db2.gz KOOYNKJMYBYOED-JTQLQIEISA-N 0 0 440.478 -0.366 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCNC(=O)[C@H]1CC(=O)OC ZINC000499013085 1072916045 /nfs/dbraw/zinc/91/60/45/1072916045.db2.gz KOOYNKJMYBYOED-SNVBAGLBSA-N 0 0 440.478 -0.366 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(CCOC)CC1 ZINC000499014772 1072915944 /nfs/dbraw/zinc/91/59/44/1072915944.db2.gz CVDIFMLTZWPOLF-UHFFFAOYSA-N 0 0 443.522 -0.156 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)ccc1F ZINC000499016824 1072915925 /nfs/dbraw/zinc/91/59/25/1072915925.db2.gz UDFGZDYBMVDUDL-UHFFFAOYSA-N 0 0 438.441 -0.719 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc(S(C)(=O)=O)c1 ZINC000499017076 1072916009 /nfs/dbraw/zinc/91/60/09/1072916009.db2.gz VZKZBGMGOKEWCF-UHFFFAOYSA-N 0 0 435.462 -0.354 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000499017077 1072915921 /nfs/dbraw/zinc/91/59/21/1072915921.db2.gz VZNPOUMZNGKXAC-GFCCVEGCSA-N 0 0 431.442 -0.195 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000499017078 1072916004 /nfs/dbraw/zinc/91/60/04/1072916004.db2.gz VZNPOUMZNGKXAC-LBPRGKRZSA-N 0 0 431.442 -0.195 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499017104 1072915936 /nfs/dbraw/zinc/91/59/36/1072915936.db2.gz PEVASTLSGFLJBS-ILXRZTDVSA-N 0 0 426.473 -0.099 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499017105 1072915914 /nfs/dbraw/zinc/91/59/14/1072915914.db2.gz PEVASTLSGFLJBS-KFWWJZLASA-N 0 0 426.473 -0.099 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499017106 1072915895 /nfs/dbraw/zinc/91/58/95/1072915895.db2.gz PEVASTLSGFLJBS-KKUMJFAQSA-N 0 0 426.473 -0.099 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499017107 1072915869 /nfs/dbraw/zinc/91/58/69/1072915869.db2.gz PEVASTLSGFLJBS-ZNMIVQPWSA-N 0 0 426.473 -0.099 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)ccc1F ZINC000499017414 1072916019 /nfs/dbraw/zinc/91/60/19/1072916019.db2.gz RVDRDIPKBTZQEB-UHFFFAOYSA-N 0 0 437.453 -0.307 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000499017441 1072915970 /nfs/dbraw/zinc/91/59/70/1072915970.db2.gz SEPQKIYNJUUQIO-UHFFFAOYSA-N 0 0 449.489 -0.213 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)NC[C@H]2C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499017781 1072915902 /nfs/dbraw/zinc/91/59/02/1072915902.db2.gz USIMKRODUFGZGB-ILXRZTDVSA-N 0 0 427.461 -0.742 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)NC[C@H]2C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499017782 1072915861 /nfs/dbraw/zinc/91/58/61/1072915861.db2.gz USIMKRODUFGZGB-KKUMJFAQSA-N 0 0 427.461 -0.742 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)NC[C@@H]2C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499017783 1072915959 /nfs/dbraw/zinc/91/59/59/1072915959.db2.gz USIMKRODUFGZGB-QLFBSQMISA-N 0 0 427.461 -0.742 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)NC[C@@H]2C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499017784 1072915910 /nfs/dbraw/zinc/91/59/10/1072915910.db2.gz USIMKRODUFGZGB-SOUVJXGZSA-N 0 0 427.461 -0.742 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)CC1 ZINC000499018508 1072916496 /nfs/dbraw/zinc/91/64/96/1072916496.db2.gz FMZOEQLGAUHABX-UHFFFAOYSA-N 0 0 444.485 -0.184 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)C2 ZINC000499018750 1072916512 /nfs/dbraw/zinc/91/65/12/1072916512.db2.gz HKEXLCIPJHMDQD-UHFFFAOYSA-N 0 0 448.505 -0.757 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000499019045 1072916408 /nfs/dbraw/zinc/91/64/08/1072916408.db2.gz DMXXDVCDDFWCPT-GFCCVEGCSA-N 0 0 439.490 -0.067 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000499019046 1072916450 /nfs/dbraw/zinc/91/64/50/1072916450.db2.gz DMXXDVCDDFWCPT-LBPRGKRZSA-N 0 0 439.490 -0.067 20 0 IBADRN COC(=O)c1cc(Br)c(OC)cc1NC(=O)C(=O)NCCS(N)(=O)=O ZINC000499019132 1072916521 /nfs/dbraw/zinc/91/65/21/1072916521.db2.gz JNLJPSIDURDNKP-UHFFFAOYSA-N 0 0 438.256 -0.413 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000499019147 1072916456 /nfs/dbraw/zinc/91/64/56/1072916456.db2.gz JRCIHPRSRGHIPZ-HNNXBMFYSA-N 0 0 427.479 -0.209 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000499019148 1072916478 /nfs/dbraw/zinc/91/64/78/1072916478.db2.gz JRCIHPRSRGHIPZ-OAHLLOKOSA-N 0 0 427.479 -0.209 20 0 IBADRN COCc1csc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)n1 ZINC000499019366 1072916554 /nfs/dbraw/zinc/91/65/54/1072916554.db2.gz KPYANQVAGSBKGX-CYBMUJFWSA-N 0 0 425.511 -0.381 20 0 IBADRN COCc1csc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)n1 ZINC000499019368 1072916443 /nfs/dbraw/zinc/91/64/43/1072916443.db2.gz KPYANQVAGSBKGX-ZDUSSCGKSA-N 0 0 425.511 -0.381 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000499019791 1072916489 /nfs/dbraw/zinc/91/64/89/1072916489.db2.gz HMLZOQWMAMUTTB-LLVKDONJSA-N 0 0 436.487 -0.788 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000499019794 1072916433 /nfs/dbraw/zinc/91/64/33/1072916433.db2.gz HMLZOQWMAMUTTB-NSHDSACASA-N 0 0 436.487 -0.788 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000499019957 1072916464 /nfs/dbraw/zinc/91/64/64/1072916464.db2.gz NSWHSAZOVNJAHA-CYBMUJFWSA-N 0 0 430.458 -0.574 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000499019958 1072916506 /nfs/dbraw/zinc/91/65/06/1072916506.db2.gz NSWHSAZOVNJAHA-ZDUSSCGKSA-N 0 0 430.458 -0.574 20 0 IBADRN COCc1cnc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000499020331 1072916547 /nfs/dbraw/zinc/91/65/47/1072916547.db2.gz PWMFDXRWYXNHRO-CYBMUJFWSA-N 0 0 425.511 -0.381 20 0 IBADRN COCc1cnc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000499020332 1072916470 /nfs/dbraw/zinc/91/64/70/1072916470.db2.gz PWMFDXRWYXNHRO-ZDUSSCGKSA-N 0 0 425.511 -0.381 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000499020333 1072916484 /nfs/dbraw/zinc/91/64/84/1072916484.db2.gz PXEPTIGYERRCOL-HNNXBMFYSA-N 0 0 445.520 -0.187 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000499020336 1072916424 /nfs/dbraw/zinc/91/64/24/1072916424.db2.gz PXEPTIGYERRCOL-OAHLLOKOSA-N 0 0 445.520 -0.187 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000499020402 1073339907 /nfs/dbraw/zinc/33/99/07/1073339907.db2.gz JRHFHWRMNCQANW-AWEZNQCLSA-N 0 0 429.499 -0.189 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000499020405 1073340284 /nfs/dbraw/zinc/34/02/84/1073340284.db2.gz JRHFHWRMNCQANW-CQSZACIVSA-N 0 0 429.499 -0.189 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2CNC3=O)CC1 ZINC000499020793 1072916898 /nfs/dbraw/zinc/91/68/98/1072916898.db2.gz SYOYVHYRZCNSGG-AWEZNQCLSA-N 0 0 429.477 -0.740 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2CNC3=O)CC1 ZINC000499020794 1072917008 /nfs/dbraw/zinc/91/70/08/1072917008.db2.gz SYOYVHYRZCNSGG-CQSZACIVSA-N 0 0 429.477 -0.740 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(N2C(=O)CCC2=O)c1 ZINC000499021492 1072917061 /nfs/dbraw/zinc/91/70/61/1072917061.db2.gz CQKHZLAWJUMNAA-GFCCVEGCSA-N 0 0 440.453 -0.042 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(N2C(=O)CCC2=O)c1 ZINC000499021496 1072916968 /nfs/dbraw/zinc/91/69/68/1072916968.db2.gz CQKHZLAWJUMNAA-LBPRGKRZSA-N 0 0 440.453 -0.042 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2nc(COC)cs2)CC1 ZINC000499021595 1072916889 /nfs/dbraw/zinc/91/68/89/1072916889.db2.gz DHPXXBAAJOWLSB-CYBMUJFWSA-N 0 0 427.527 -0.087 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2nc(COC)cs2)CC1 ZINC000499021596 1072916909 /nfs/dbraw/zinc/91/69/09/1072916909.db2.gz DHPXXBAAJOWLSB-ZDUSSCGKSA-N 0 0 427.527 -0.087 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000499021747 1072916876 /nfs/dbraw/zinc/91/68/76/1072916876.db2.gz NSBZMUCXLYUHPV-UHFFFAOYSA-N 0 0 428.449 -0.390 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ncc(COC)s2)CC1 ZINC000499022537 1072917021 /nfs/dbraw/zinc/91/70/21/1072917021.db2.gz HMCHTEAMWCFQBN-CYBMUJFWSA-N 0 0 427.527 -0.087 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ncc(COC)s2)CC1 ZINC000499022538 1072917054 /nfs/dbraw/zinc/91/70/54/1072917054.db2.gz HMCHTEAMWCFQBN-ZDUSSCGKSA-N 0 0 427.527 -0.087 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1 ZINC000499022579 1072916991 /nfs/dbraw/zinc/91/69/91/1072916991.db2.gz PWAVYRKUYRBXBP-GFCCVEGCSA-N 0 0 433.508 -0.423 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1 ZINC000499022589 1072916942 /nfs/dbraw/zinc/91/69/42/1072916942.db2.gz PWAVYRKUYRBXBP-LBPRGKRZSA-N 0 0 433.508 -0.423 20 0 IBADRN COc1ccc([C@H](CO)N(C)CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000499023268 1072916929 /nfs/dbraw/zinc/91/69/29/1072916929.db2.gz SHEOTEVWTRUTQK-HKUYNNGSSA-N 0 0 425.551 -0.008 20 0 IBADRN COc1ccc([C@@H](CO)N(C)CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000499023269 1072916919 /nfs/dbraw/zinc/91/69/19/1072916919.db2.gz SHEOTEVWTRUTQK-IEBWSBKVSA-N 0 0 425.551 -0.008 20 0 IBADRN COc1ccc([C@H](CO)N(C)CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000499023271 1072917015 /nfs/dbraw/zinc/91/70/15/1072917015.db2.gz SHEOTEVWTRUTQK-MJGOQNOKSA-N 0 0 425.551 -0.008 20 0 IBADRN COc1ccc([C@@H](CO)N(C)CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000499023273 1072917048 /nfs/dbraw/zinc/91/70/48/1072917048.db2.gz SHEOTEVWTRUTQK-PKOBYXMFSA-N 0 0 425.551 -0.008 20 0 IBADRN CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)CC(=O)N1CCOCC1 ZINC000499024098 1072917560 /nfs/dbraw/zinc/91/75/60/1072917560.db2.gz AOMZIPGACJRNNB-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc3c2CNC3=O)CC1 ZINC000499024280 1072916980 /nfs/dbraw/zinc/91/69/80/1072916980.db2.gz NAFVSSXXJRXFQF-AWEZNQCLSA-N 0 0 431.493 -0.446 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc3c2CNC3=O)CC1 ZINC000499024284 1072917527 /nfs/dbraw/zinc/91/75/27/1072917527.db2.gz NAFVSSXXJRXFQF-CQSZACIVSA-N 0 0 431.493 -0.446 20 0 IBADRN COC(=O)c1cc(F)c(Br)cc1NC(=O)C(=O)NCCS(N)(=O)=O ZINC000499024414 1072917553 /nfs/dbraw/zinc/91/75/53/1072917553.db2.gz NQKWTFQCPHQYBF-UHFFFAOYSA-N 0 0 426.220 -0.282 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(C(=O)NCCOC)c1 ZINC000499024789 1072917590 /nfs/dbraw/zinc/91/75/90/1072917590.db2.gz OGLXPJPFXQGCLC-HNNXBMFYSA-N 0 0 426.495 -0.459 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(C(=O)NCCOC)c1 ZINC000499024790 1072917489 /nfs/dbraw/zinc/91/74/89/1072917489.db2.gz OGLXPJPFXQGCLC-OAHLLOKOSA-N 0 0 426.495 -0.459 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(CS(C)(=O)=O)ccc1OC ZINC000499024818 1072917459 /nfs/dbraw/zinc/91/74/59/1072917459.db2.gz OSDOYIWIUDYZMQ-CYBMUJFWSA-N 0 0 447.535 -0.282 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(CS(C)(=O)=O)ccc1OC ZINC000499024819 1072917595 /nfs/dbraw/zinc/91/75/95/1072917595.db2.gz OSDOYIWIUDYZMQ-ZDUSSCGKSA-N 0 0 447.535 -0.282 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)ccc1F ZINC000499027017 1072917535 /nfs/dbraw/zinc/91/75/35/1072917535.db2.gz VKOULYKEKFNXIZ-UHFFFAOYSA-N 0 0 430.502 -0.070 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC1(CO)CCOCC1 ZINC000499027551 1072916952 /nfs/dbraw/zinc/91/69/52/1072916952.db2.gz WIDOZUKWINJQDJ-UHFFFAOYSA-N 0 0 439.534 -0.043 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)CC1 ZINC000499028908 1072916999 /nfs/dbraw/zinc/91/69/99/1072916999.db2.gz GYIDZXMUCIKUTK-UHFFFAOYSA-N 0 0 428.463 -0.046 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)CC1 ZINC000499029734 1072917026 /nfs/dbraw/zinc/91/70/26/1072917026.db2.gz KCZUWBWXQCXPIT-UHFFFAOYSA-N 0 0 431.442 -0.411 20 0 IBADRN NC(=O)CNC(=O)c1ccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)cc1 ZINC000499033681 1072921454 /nfs/dbraw/zinc/92/14/54/1072921454.db2.gz LQAORHMLDZJBLG-UHFFFAOYSA-N 0 0 435.462 -0.497 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000499034986 1072921475 /nfs/dbraw/zinc/92/14/75/1072921475.db2.gz BIABHBZDNMRORO-UHFFFAOYSA-N 0 0 448.571 -0.816 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000499035448 1072921490 /nfs/dbraw/zinc/92/14/90/1072921490.db2.gz FRXZVTYPDYYMAQ-UHFFFAOYSA-N 0 0 442.476 -0.556 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)ccc1F ZINC000499036684 1072921968 /nfs/dbraw/zinc/92/19/68/1072921968.db2.gz NHBFMSUUIAELBN-GFCCVEGCSA-N 0 0 444.485 -0.232 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)ccc1F ZINC000499036685 1072921905 /nfs/dbraw/zinc/92/19/05/1072921905.db2.gz NHBFMSUUIAELBN-LBPRGKRZSA-N 0 0 444.485 -0.232 20 0 IBADRN CN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)CC(=O)N1CCOCC1 ZINC000499040114 1072917601 /nfs/dbraw/zinc/91/76/01/1072917601.db2.gz HLCDAVGREJQHAZ-UHFFFAOYSA-N 0 0 429.477 -0.849 20 0 IBADRN CCCCN(C(=O)CN(C)CC(=O)N1CCOCC1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000499041692 1072920077 /nfs/dbraw/zinc/92/00/77/1072920077.db2.gz LWWVPWINCMYVJE-UHFFFAOYSA-N 0 0 438.529 -0.135 20 0 IBADRN CCn1c(=O)c(C(=O)CN(C)CC(=O)N2CCOCC2)c(N)n(Cc2ccccc2)c1=O ZINC000499042246 1072920982 /nfs/dbraw/zinc/92/09/82/1072920982.db2.gz QUWGZMNNHDEDIX-UHFFFAOYSA-N 0 0 443.504 -0.366 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCO[C@@H](COC)C1 ZINC000499043042 1072923542 /nfs/dbraw/zinc/92/35/42/1072923542.db2.gz IRLBGSBNHBVZEG-CYBMUJFWSA-N 0 0 430.479 -0.072 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCO[C@H](COC)C1 ZINC000499043047 1072923499 /nfs/dbraw/zinc/92/34/99/1072923499.db2.gz IRLBGSBNHBVZEG-ZDUSSCGKSA-N 0 0 430.479 -0.072 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)C2 ZINC000499043751 1072924162 /nfs/dbraw/zinc/92/41/62/1072924162.db2.gz KLFWFLLHRBVBIT-KBXCAEBGSA-N 0 0 437.522 -0.154 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)C2 ZINC000499043754 1072924143 /nfs/dbraw/zinc/92/41/43/1072924143.db2.gz KLFWFLLHRBVBIT-KDOFPFPSSA-N 0 0 437.522 -0.154 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)C2 ZINC000499043759 1072924171 /nfs/dbraw/zinc/92/41/71/1072924171.db2.gz KLFWFLLHRBVBIT-KSSFIOAISA-N 0 0 437.522 -0.154 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)C2 ZINC000499043762 1072923874 /nfs/dbraw/zinc/92/38/74/1072923874.db2.gz KLFWFLLHRBVBIT-RDTXWAMCSA-N 0 0 437.522 -0.154 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)ccc1F ZINC000499043919 1072923837 /nfs/dbraw/zinc/92/38/37/1072923837.db2.gz KZYPFGODYLGTDV-UHFFFAOYSA-N 0 0 428.486 -0.005 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)CC(=O)N1CCOCC1 ZINC000499048073 1072922007 /nfs/dbraw/zinc/92/20/07/1072922007.db2.gz MIBFJPMSCWTYRX-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN O=C(Nc1cccc2c1CNC2=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000499050796 1072921977 /nfs/dbraw/zinc/92/19/77/1072921977.db2.gz BUVVVQBEWOXGBS-UHFFFAOYSA-N 0 0 433.446 -0.469 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCN(c3ccc(F)cc3)CC2)CC1)N1CCOCC1 ZINC000499052302 1072921923 /nfs/dbraw/zinc/92/19/23/1072921923.db2.gz JBYACCHVQSVOQO-UHFFFAOYSA-N 0 0 433.528 -0.049 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cc(F)c(N2CCOCC2)cc1F)CN1CCOCC1 ZINC000499054812 1072924647 /nfs/dbraw/zinc/92/46/47/1072924647.db2.gz MJFUPSRGOHIDIU-FQEVSTJZSA-N 0 0 442.463 -0.061 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cc(F)c(N2CCOCC2)cc1F)CN1CCOCC1 ZINC000499054815 1072924609 /nfs/dbraw/zinc/92/46/09/1072924609.db2.gz MJFUPSRGOHIDIU-HXUWFJFHSA-N 0 0 442.463 -0.061 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1)CN1CCOCC1 ZINC000499056375 1072924585 /nfs/dbraw/zinc/92/45/85/1072924585.db2.gz OIULSFUQBPYFSU-FQEVSTJZSA-N 0 0 437.472 -0.117 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1)CN1CCOCC1 ZINC000499056379 1072924560 /nfs/dbraw/zinc/92/45/60/1072924560.db2.gz OIULSFUQBPYFSU-HXUWFJFHSA-N 0 0 437.472 -0.117 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)C2 ZINC000499056919 1072924534 /nfs/dbraw/zinc/92/45/34/1072924534.db2.gz PTKMGJCITBQBKW-CYBMUJFWSA-N 0 0 448.505 -0.490 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)C2 ZINC000499056923 1072924630 /nfs/dbraw/zinc/92/46/30/1072924630.db2.gz PTKMGJCITBQBKW-ZDUSSCGKSA-N 0 0 448.505 -0.490 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000499058648 1072923989 /nfs/dbraw/zinc/92/39/89/1072923989.db2.gz UKTIDIYNDJGFRI-CABCVRRESA-N 0 0 449.529 -0.037 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000499058649 1072924182 /nfs/dbraw/zinc/92/41/82/1072924182.db2.gz UKTIDIYNDJGFRI-GJZGRUSLSA-N 0 0 449.529 -0.037 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000499058650 1072923954 /nfs/dbraw/zinc/92/39/54/1072923954.db2.gz UKTIDIYNDJGFRI-HUUCEWRRSA-N 0 0 449.529 -0.037 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000499058651 1072924014 /nfs/dbraw/zinc/92/40/14/1072924014.db2.gz UKTIDIYNDJGFRI-LSDHHAIUSA-N 0 0 449.529 -0.037 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cc(Cl)cc2c1OCC(=O)N2)CN1CCOCC1 ZINC000499060217 1072923937 /nfs/dbraw/zinc/92/39/37/1072923937.db2.gz YETFASPDYNUEEM-GOSISDBHSA-N 0 0 426.857 -0.191 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cc(Cl)cc2c1OCC(=O)N2)CN1CCOCC1 ZINC000499060220 1072924065 /nfs/dbraw/zinc/92/40/65/1072924065.db2.gz YETFASPDYNUEEM-SFHVURJKSA-N 0 0 426.857 -0.191 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)ccc1F ZINC000499060660 1072924035 /nfs/dbraw/zinc/92/40/35/1072924035.db2.gz ZIPUDFJKHJLUKC-GOSISDBHSA-N 0 0 446.501 -0.786 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)ccc1F ZINC000499060662 1072924083 /nfs/dbraw/zinc/92/40/83/1072924083.db2.gz ZIPUDFJKHJLUKC-SFHVURJKSA-N 0 0 446.501 -0.786 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCC2(CS(C)(=O)=O)CC2)ccc1F ZINC000499062101 1072924618 /nfs/dbraw/zinc/92/46/18/1072924618.db2.gz DWYBQNZFQOCURK-UHFFFAOYSA-N 0 0 435.499 -0.045 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000499063277 1072925131 /nfs/dbraw/zinc/92/51/31/1072925131.db2.gz JYXZBVPSIFUJHA-INIZCTEOSA-N 0 0 426.543 -0.149 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000499063278 1072925242 /nfs/dbraw/zinc/92/52/42/1072925242.db2.gz JYXZBVPSIFUJHA-MRXNPFEDSA-N 0 0 426.543 -0.149 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2CNC3=O)CC1 ZINC000499065237 1072924643 /nfs/dbraw/zinc/92/46/43/1072924643.db2.gz UMWMHPISODJPJR-UHFFFAOYSA-N 0 0 438.506 -0.233 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)CC1)N1CCOCC1 ZINC000499065506 1072924547 /nfs/dbraw/zinc/92/45/47/1072924547.db2.gz SOKORMRCHJQSRG-UHFFFAOYSA-N 0 0 449.577 -0.491 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000499067596 1072924653 /nfs/dbraw/zinc/92/46/53/1072924653.db2.gz ITVRHCRDTZSXAF-CYBMUJFWSA-N 0 0 426.495 -0.635 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000499067598 1072924603 /nfs/dbraw/zinc/92/46/03/1072924603.db2.gz ITVRHCRDTZSXAF-ZDUSSCGKSA-N 0 0 426.495 -0.635 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000499069030 1072925204 /nfs/dbraw/zinc/92/52/04/1072925204.db2.gz LBGXKLQIQSEGRU-UHFFFAOYSA-N 0 0 432.568 -0.369 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)ccc1F ZINC000499071804 1072925121 /nfs/dbraw/zinc/92/51/21/1072925121.db2.gz APARJQVEBHLEIK-UHFFFAOYSA-N 0 0 438.437 -0.147 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000499073977 1072925108 /nfs/dbraw/zinc/92/51/08/1072925108.db2.gz INWUNZDJLFHXTO-INIZCTEOSA-N 0 0 442.476 -0.035 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000499073978 1072925198 /nfs/dbraw/zinc/92/51/98/1072925198.db2.gz INWUNZDJLFHXTO-MRXNPFEDSA-N 0 0 442.476 -0.035 20 0 IBADRN O=C(C(=O)N1CCN(C2CC2)C(=O)C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499075505 1072925211 /nfs/dbraw/zinc/92/52/11/1072925211.db2.gz KCQZNIYKOXPHKZ-CABCVRRESA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(C(=O)N1CCN(C2CC2)C(=O)C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499075510 1072925027 /nfs/dbraw/zinc/92/50/27/1072925027.db2.gz KCQZNIYKOXPHKZ-GJZGRUSLSA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(C(=O)N1CCN(C2CC2)C(=O)C1)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499075513 1072925044 /nfs/dbraw/zinc/92/50/44/1072925044.db2.gz KCQZNIYKOXPHKZ-HUUCEWRRSA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(C(=O)N1CCN(C2CC2)C(=O)C1)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499075514 1072925276 /nfs/dbraw/zinc/92/52/76/1072925276.db2.gz KCQZNIYKOXPHKZ-LSDHHAIUSA-N 0 0 426.473 -0.145 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2ccc(C(=O)N3CCN(C(=O)[C@H](C)O)CC3)cc2)CC1 ZINC000499076427 1072925216 /nfs/dbraw/zinc/92/52/16/1072925216.db2.gz LYCKXNSIEXGUPO-HOTGVXAUSA-N 0 0 446.504 -0.983 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)cc2)CC1 ZINC000499076431 1072925221 /nfs/dbraw/zinc/92/52/21/1072925221.db2.gz LYCKXNSIEXGUPO-HZPDHXFCSA-N 0 0 446.504 -0.983 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(C(=O)N3CCN(C(=O)[C@H](C)O)CC3)cc2)CC1 ZINC000499076433 1072925062 /nfs/dbraw/zinc/92/50/62/1072925062.db2.gz LYCKXNSIEXGUPO-IYBDPMFKSA-N 0 0 446.504 -0.983 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)CC1 ZINC000499078785 1072925802 /nfs/dbraw/zinc/92/58/02/1072925802.db2.gz VRMGLCRFOSTNPB-GDBMZVCRSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)CC1 ZINC000499078786 1072925716 /nfs/dbraw/zinc/92/57/16/1072925716.db2.gz VRMGLCRFOSTNPB-GOEBONIOSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)CC1 ZINC000499078787 1072925660 /nfs/dbraw/zinc/92/56/60/1072925660.db2.gz VRMGLCRFOSTNPB-HOCLYGCPSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)CC1 ZINC000499078788 1072925683 /nfs/dbraw/zinc/92/56/83/1072925683.db2.gz VRMGLCRFOSTNPB-ZBFHGGJFSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000499079173 1072925174 /nfs/dbraw/zinc/92/51/74/1072925174.db2.gz WTTDZBFSAFPICP-CYBMUJFWSA-N 0 0 427.479 -0.822 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000499079174 1072925673 /nfs/dbraw/zinc/92/56/73/1072925673.db2.gz WTTDZBFSAFPICP-ZDUSSCGKSA-N 0 0 427.479 -0.822 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000499079734 1072925705 /nfs/dbraw/zinc/92/57/05/1072925705.db2.gz UONHADPMAHEGDY-UHFFFAOYSA-N 0 0 439.490 -0.113 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)C2 ZINC000499089782 1072925645 /nfs/dbraw/zinc/92/56/45/1072925645.db2.gz SPVCZZVLXNEQPG-UHFFFAOYSA-N 0 0 448.505 -0.757 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000499091801 1072925765 /nfs/dbraw/zinc/92/57/65/1072925765.db2.gz CSHRBUOZWGRYCM-UHFFFAOYSA-N 0 0 434.522 -0.070 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc(S(C)(=O)=O)c1 ZINC000499092113 1072925807 /nfs/dbraw/zinc/92/58/07/1072925807.db2.gz FFTXCBLWPVCCII-UHFFFAOYSA-N 0 0 449.489 -0.520 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCNC(=O)[C@@H]2c2cccc(Br)c2)c1 ZINC000499092523 1072926214 /nfs/dbraw/zinc/92/62/14/1072926214.db2.gz NPYJXRGKPOEHPS-AWEZNQCLSA-N 0 0 442.295 -0.007 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCNC(=O)[C@H]2c2cccc(Br)c2)c1 ZINC000499092524 1072926456 /nfs/dbraw/zinc/92/64/56/1072926456.db2.gz NPYJXRGKPOEHPS-CQSZACIVSA-N 0 0 442.295 -0.007 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000499099736 1072926375 /nfs/dbraw/zinc/92/63/75/1072926375.db2.gz JWQFRXOZEVDCJU-CYBMUJFWSA-N 0 0 449.555 -0.403 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000499099738 1072926462 /nfs/dbraw/zinc/92/64/62/1072926462.db2.gz JWQFRXOZEVDCJU-ZDUSSCGKSA-N 0 0 449.555 -0.403 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000499107155 1072926849 /nfs/dbraw/zinc/92/68/49/1072926849.db2.gz SQQMNBXTDVAAII-UHFFFAOYSA-N 0 0 445.611 -0.453 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000499108762 1072926901 /nfs/dbraw/zinc/92/69/01/1072926901.db2.gz CGYIDZFYVABXLG-AWEZNQCLSA-N 0 0 437.474 -0.078 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000499108767 1072926911 /nfs/dbraw/zinc/92/69/11/1072926911.db2.gz CGYIDZFYVABXLG-CQSZACIVSA-N 0 0 437.474 -0.078 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c1 ZINC000499110731 1072926782 /nfs/dbraw/zinc/92/67/82/1072926782.db2.gz KKFZZGZGHZRDNP-UHFFFAOYSA-N 0 0 441.535 -0.320 20 0 IBADRN COCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000499114267 1072926864 /nfs/dbraw/zinc/92/68/64/1072926864.db2.gz MXGWYCKTRASOPQ-CYBMUJFWSA-N 0 0 440.565 -0.239 20 0 IBADRN COCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000499114274 1072926832 /nfs/dbraw/zinc/92/68/32/1072926832.db2.gz MXGWYCKTRASOPQ-ZDUSSCGKSA-N 0 0 440.565 -0.239 20 0 IBADRN CCc1c(C(=O)N2CCc3c(nnn3CCOC)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000499118573 1072927221 /nfs/dbraw/zinc/92/72/21/1072927221.db2.gz BGHKUBPBVACIGR-UHFFFAOYSA-N 0 0 427.465 -0.369 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cc1 ZINC000499121785 1072926754 /nfs/dbraw/zinc/92/67/54/1072926754.db2.gz NEVCNUJEKVUZTQ-UHFFFAOYSA-N 0 0 426.476 -0.633 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN(CCO)CCOCC(F)(F)F)CC1 ZINC000499126742 1072927247 /nfs/dbraw/zinc/92/72/47/1072927247.db2.gz MVCYNLAZKFWEBR-UHFFFAOYSA-N 0 0 445.446 -0.115 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000499131097 1072927419 /nfs/dbraw/zinc/92/74/19/1072927419.db2.gz QQCJGCQATVFJOK-UHFFFAOYSA-N 0 0 437.522 -0.571 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)cc1 ZINC000499138082 1072928700 /nfs/dbraw/zinc/92/87/00/1072928700.db2.gz BYXOAQSGDGHODN-UHFFFAOYSA-N 0 0 445.501 -0.284 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCC2CCC(OC)CC2)CC1 ZINC000499138463 1072928032 /nfs/dbraw/zinc/92/80/32/1072928032.db2.gz DUYZIRRXQFCERW-AOCRQIFASA-N 0 0 426.558 -0.007 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCC2CCC(OC)CC2)CC1 ZINC000499138464 1072928401 /nfs/dbraw/zinc/92/84/01/1072928401.db2.gz DUYZIRRXQFCERW-WWDZGPRUSA-N 0 0 426.558 -0.007 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)cc1 ZINC000499139007 1072928689 /nfs/dbraw/zinc/92/86/89/1072928689.db2.gz LJCHVRGLMNXUTK-UHFFFAOYSA-N 0 0 440.503 -0.492 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)N1CCCC1=O ZINC000499144512 1072928629 /nfs/dbraw/zinc/92/86/29/1072928629.db2.gz BORPNTTYBACYOD-INIZCTEOSA-N 0 0 426.495 -0.142 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)N1CCCC1=O ZINC000499144516 1072928679 /nfs/dbraw/zinc/92/86/79/1072928679.db2.gz BORPNTTYBACYOD-MRXNPFEDSA-N 0 0 426.495 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000499144765 1072928442 /nfs/dbraw/zinc/92/84/42/1072928442.db2.gz YCHDOSOGGHGVED-UHFFFAOYSA-N 0 0 440.503 -0.324 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000499145439 1072928477 /nfs/dbraw/zinc/92/84/77/1072928477.db2.gz ZZDFRZGLKYHAAG-UHFFFAOYSA-N 0 0 437.478 -0.357 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000499145484 1072928539 /nfs/dbraw/zinc/92/85/39/1072928539.db2.gz HDTKDSJEQLQYSY-UHFFFAOYSA-N 0 0 444.477 -0.702 20 0 IBADRN COC1CCC(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000499146674 1072929257 /nfs/dbraw/zinc/92/92/57/1072929257.db2.gz MUEFYEMYPXMRPO-UHFFFAOYSA-N 0 0 428.511 -0.030 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000499147696 1072929194 /nfs/dbraw/zinc/92/91/94/1072929194.db2.gz BICJZYQANRVSTH-CYBMUJFWSA-N 0 0 425.511 -0.669 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000499147697 1072929016 /nfs/dbraw/zinc/92/90/16/1072929016.db2.gz BICJZYQANRVSTH-ZDUSSCGKSA-N 0 0 425.511 -0.669 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000499148600 1072929046 /nfs/dbraw/zinc/92/90/46/1072929046.db2.gz CVIPVGXMAJXABP-UHFFFAOYSA-N 0 0 432.524 -0.407 20 0 IBADRN CCN(C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)[C@H](C)CS(=O)(=O)CC ZINC000499148893 1072929169 /nfs/dbraw/zinc/92/91/69/1072929169.db2.gz ULOPNBZJYMLQGR-LLVKDONJSA-N 0 0 433.508 -0.086 20 0 IBADRN CCN(C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)[C@@H](C)CS(=O)(=O)CC ZINC000499148894 1072929663 /nfs/dbraw/zinc/92/96/63/1072929663.db2.gz ULOPNBZJYMLQGR-NSHDSACASA-N 0 0 433.508 -0.086 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)nc1 ZINC000499150210 1072929679 /nfs/dbraw/zinc/92/96/79/1072929679.db2.gz WWXFNWPWUKUAGE-UHFFFAOYSA-N 0 0 432.462 -0.885 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)cc1 ZINC000499151627 1072929626 /nfs/dbraw/zinc/92/96/26/1072929626.db2.gz MGHFPDMJDVRHDZ-KRWDZBQOSA-N 0 0 428.536 -0.403 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)cc1 ZINC000499151628 1072929763 /nfs/dbraw/zinc/92/97/63/1072929763.db2.gz MGHFPDMJDVRHDZ-QGZVFWFLSA-N 0 0 428.536 -0.403 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1 ZINC000499152378 1072929697 /nfs/dbraw/zinc/92/96/97/1072929697.db2.gz OHARIVDKDHYXEH-UHFFFAOYSA-N 0 0 437.522 -0.571 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cc1 ZINC000499153120 1072929723 /nfs/dbraw/zinc/92/97/23/1072929723.db2.gz QZCBSSRQUWAQCM-UHFFFAOYSA-N 0 0 447.521 -0.609 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000499154483 1072929523 /nfs/dbraw/zinc/92/95/23/1072929523.db2.gz UTBGGILZFSVFIH-UHFFFAOYSA-N 0 0 439.538 -0.325 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCC(=O)N3CCCCCC3)cnc2n(C)c1=O ZINC000499155841 1072929210 /nfs/dbraw/zinc/92/92/10/1072929210.db2.gz JOASUNWPCNELPD-UHFFFAOYSA-N 0 0 430.465 -0.520 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCC(=O)NCc2ccccc2)c1 ZINC000499165808 1072930219 /nfs/dbraw/zinc/93/02/19/1072930219.db2.gz CDAPASGCVBNOBG-UHFFFAOYSA-N 0 0 425.445 -0.337 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCC(=O)NCc3ccccc3)cnc2n(C)c1=O ZINC000499185251 1072933359 /nfs/dbraw/zinc/93/33/59/1072933359.db2.gz FJYZSEBZLLJQEO-UHFFFAOYSA-N 0 0 438.444 -0.607 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)C1=O ZINC000499185653 1072932669 /nfs/dbraw/zinc/93/26/69/1072932669.db2.gz GNTJNCKKZGQTDN-AWEZNQCLSA-N 0 0 441.462 -0.683 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)C1=O ZINC000499185656 1072932655 /nfs/dbraw/zinc/93/26/55/1072932655.db2.gz GNTJNCKKZGQTDN-CQSZACIVSA-N 0 0 441.462 -0.683 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1=O ZINC000499189380 1072934314 /nfs/dbraw/zinc/93/43/14/1072934314.db2.gz MINVKOXEVKAIDE-AWEZNQCLSA-N 0 0 449.439 -0.036 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1=O ZINC000499189415 1072934220 /nfs/dbraw/zinc/93/42/20/1072934220.db2.gz MINVKOXEVKAIDE-CQSZACIVSA-N 0 0 449.439 -0.036 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(Cl)cc3c2OCC(=O)N3)C1=O ZINC000499197385 1072933848 /nfs/dbraw/zinc/93/38/48/1072933848.db2.gz XEOZXEAMULLEAH-JTQLQIEISA-N 0 0 438.824 -0.110 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(Cl)cc3c2OCC(=O)N3)C1=O ZINC000499197396 1072933744 /nfs/dbraw/zinc/93/37/44/1072933744.db2.gz XEOZXEAMULLEAH-SNVBAGLBSA-N 0 0 438.824 -0.110 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000499200484 1072934955 /nfs/dbraw/zinc/93/49/55/1072934955.db2.gz BZDIOHSBEYVCCP-GFCCVEGCSA-N 0 0 427.479 -0.770 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000499200485 1072934682 /nfs/dbraw/zinc/93/46/82/1072934682.db2.gz BZDIOHSBEYVCCP-LBPRGKRZSA-N 0 0 427.479 -0.770 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)CC[C@@H]2C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499202158 1072934947 /nfs/dbraw/zinc/93/49/47/1072934947.db2.gz LSZVPWNRAOTQAN-HRCADAONSA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)CC[C@H]2C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499202159 1072934746 /nfs/dbraw/zinc/93/47/46/1072934746.db2.gz LSZVPWNRAOTQAN-JYJNAYRXSA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)CC[C@@H]2C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499202160 1072934792 /nfs/dbraw/zinc/93/47/92/1072934792.db2.gz LSZVPWNRAOTQAN-OWCLPIDISA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)CC[C@H]2C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499202161 1072934770 /nfs/dbraw/zinc/93/47/70/1072934770.db2.gz LSZVPWNRAOTQAN-PMPSAXMXSA-N 0 0 426.473 -0.145 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1Br ZINC000499203546 1072934911 /nfs/dbraw/zinc/93/49/11/1072934911.db2.gz QSAQIMXBCKVOEU-JTQLQIEISA-N 0 0 441.282 -0.201 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1Br ZINC000499203547 1072934759 /nfs/dbraw/zinc/93/47/59/1072934759.db2.gz QSAQIMXBCKVOEU-SNVBAGLBSA-N 0 0 441.282 -0.201 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)CC1 ZINC000499205150 1072935418 /nfs/dbraw/zinc/93/54/18/1072935418.db2.gz WVQSGQCPJAPCQN-GFCCVEGCSA-N 0 0 426.420 -0.209 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)CC1 ZINC000499205151 1072935374 /nfs/dbraw/zinc/93/53/74/1072935374.db2.gz WVQSGQCPJAPCQN-LBPRGKRZSA-N 0 0 426.420 -0.209 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000499206130 1072935452 /nfs/dbraw/zinc/93/54/52/1072935452.db2.gz YVOMSTWBZKEGHG-CYBMUJFWSA-N 0 0 439.490 -0.113 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000499206131 1072935515 /nfs/dbraw/zinc/93/55/15/1072935515.db2.gz YVOMSTWBZKEGHG-ZDUSSCGKSA-N 0 0 439.490 -0.113 20 0 IBADRN COC(=O)c1cc(F)c(Br)cc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000499206720 1072935500 /nfs/dbraw/zinc/93/55/00/1072935500.db2.gz AMCBNRDTJWAXFN-UHFFFAOYSA-N 0 0 446.229 -0.024 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000499214965 1072936333 /nfs/dbraw/zinc/93/63/33/1072936333.db2.gz PHGSGNBTARFTRD-LLVKDONJSA-N 0 0 430.458 -0.934 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000499214966 1072936468 /nfs/dbraw/zinc/93/64/68/1072936468.db2.gz PHGSGNBTARFTRD-NSHDSACASA-N 0 0 430.458 -0.934 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1Br ZINC000499217097 1072936276 /nfs/dbraw/zinc/93/62/76/1072936276.db2.gz INVMVBJZNSEAHA-UHFFFAOYSA-N 0 0 427.255 -0.590 20 0 IBADRN COc1ccc(CC(=O)N(C)CC(=O)NC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000499219511 1072936291 /nfs/dbraw/zinc/93/62/91/1072936291.db2.gz PECLPQXTQXIDRW-UHFFFAOYSA-N 0 0 425.507 -0.004 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](C)CS(C)(=O)=O)cc2)CC1 ZINC000499219990 1072936365 /nfs/dbraw/zinc/93/63/65/1072936365.db2.gz SQVLXLNESILUFJ-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](C)CS(C)(=O)=O)cc2)CC1 ZINC000499219991 1072936445 /nfs/dbraw/zinc/93/64/45/1072936445.db2.gz SQVLXLNESILUFJ-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1=O ZINC000499220719 1072936375 /nfs/dbraw/zinc/93/63/75/1072936375.db2.gz WXPMYIYIRRBLDB-CVEARBPZSA-N 0 0 444.488 -0.661 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1=O ZINC000499220720 1072936387 /nfs/dbraw/zinc/93/63/87/1072936387.db2.gz WXPMYIYIRRBLDB-HOTGVXAUSA-N 0 0 444.488 -0.661 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1=O ZINC000499220721 1072936395 /nfs/dbraw/zinc/93/63/95/1072936395.db2.gz WXPMYIYIRRBLDB-HZPDHXFCSA-N 0 0 444.488 -0.661 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1=O ZINC000499220722 1072936349 /nfs/dbraw/zinc/93/63/49/1072936349.db2.gz WXPMYIYIRRBLDB-JKSUJKDBSA-N 0 0 444.488 -0.661 20 0 IBADRN Cc1cccn(Cc2c(F)cccc2NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1=O ZINC000499221034 1072936461 /nfs/dbraw/zinc/93/64/61/1072936461.db2.gz XWLFAUUJONKYET-UHFFFAOYSA-N 0 0 430.436 -0.054 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3csc(S(C)(=O)=O)c3)CC2)CC1 ZINC000499224819 1072936773 /nfs/dbraw/zinc/93/67/73/1072936773.db2.gz BJLWYSGIPQFQLI-UHFFFAOYSA-N 0 0 436.581 -0.598 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cnn1C1CCOCC1 ZINC000499231118 1072936249 /nfs/dbraw/zinc/93/62/49/1072936249.db2.gz QUNNFFYSBWVWAD-UHFFFAOYSA-N 0 0 440.570 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000499237568 1072937369 /nfs/dbraw/zinc/93/73/69/1072937369.db2.gz TZCYQHPTNXFVRM-INIZCTEOSA-N 0 0 429.564 -0.005 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000499237569 1072937481 /nfs/dbraw/zinc/93/74/81/1072937481.db2.gz TZCYQHPTNXFVRM-MRXNPFEDSA-N 0 0 429.564 -0.005 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000499237578 1072937461 /nfs/dbraw/zinc/93/74/61/1072937461.db2.gz UBKXJBHQEQRSND-AWEZNQCLSA-N 0 0 433.527 -0.175 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000499237579 1072937446 /nfs/dbraw/zinc/93/74/46/1072937446.db2.gz UBKXJBHQEQRSND-CQSZACIVSA-N 0 0 433.527 -0.175 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000499241757 1072937411 /nfs/dbraw/zinc/93/74/11/1072937411.db2.gz CGITYUKWATXVKL-CYBMUJFWSA-N 0 0 433.552 -0.064 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000499241758 1072938124 /nfs/dbraw/zinc/93/81/24/1072938124.db2.gz CGITYUKWATXVKL-ZDUSSCGKSA-N 0 0 433.552 -0.064 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)C2=O ZINC000499242370 1072937335 /nfs/dbraw/zinc/93/73/35/1072937335.db2.gz JJSHSYURXXYVQE-CLCXKQKWSA-N 0 0 426.539 -0.182 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)C2=O ZINC000499242371 1072937389 /nfs/dbraw/zinc/93/73/89/1072937389.db2.gz JJSHSYURXXYVQE-SPYBWZPUSA-N 0 0 426.539 -0.182 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)C2=O ZINC000499242373 1072937348 /nfs/dbraw/zinc/93/73/48/1072937348.db2.gz JJSHSYURXXYVQE-VCBZYWHSSA-N 0 0 426.539 -0.182 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)C2=O ZINC000499242375 1072937437 /nfs/dbraw/zinc/93/74/37/1072937437.db2.gz JJSHSYURXXYVQE-ZRGWGRIASA-N 0 0 426.539 -0.182 20 0 IBADRN C[C@@H]1CC(=O)N[C@H](C(=O)N2CCN(C(=O)[C@@H]3C[C@H](C)CC(=O)N3)[C@H](c3cnn(C)c3)C2)C1 ZINC000499244372 1072937397 /nfs/dbraw/zinc/93/73/97/1072937397.db2.gz ACXBTHNOSSRFPX-IAVJCBSLSA-N 0 0 444.536 -0.039 20 0 IBADRN C[C@@H]1CC(=O)N[C@H](C(=O)N2CCN(C(=O)[C@@H]3C[C@H](C)CC(=O)N3)[C@@H](c3cnn(C)c3)C2)C1 ZINC000499244377 1072937454 /nfs/dbraw/zinc/93/74/54/1072937454.db2.gz ACXBTHNOSSRFPX-YECZKDOJSA-N 0 0 444.536 -0.039 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3C(=O)CC[C@H]3C2)c(=O)[nH]c1=O ZINC000499244526 1072936967 /nfs/dbraw/zinc/93/69/67/1072936967.db2.gz AUOILMRCJUTJPS-AWEZNQCLSA-N 0 0 436.513 -0.383 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3C(=O)CC[C@@H]3C2)c(=O)[nH]c1=O ZINC000499244539 1072937416 /nfs/dbraw/zinc/93/74/16/1072937416.db2.gz AUOILMRCJUTJPS-CQSZACIVSA-N 0 0 436.513 -0.383 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000499245241 1072937926 /nfs/dbraw/zinc/93/79/26/1072937926.db2.gz UFAKYTCVZFNNNZ-AWEZNQCLSA-N 0 0 426.495 -0.236 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000499245242 1072938656 /nfs/dbraw/zinc/93/86/56/1072938656.db2.gz UFAKYTCVZFNNNZ-CQSZACIVSA-N 0 0 426.495 -0.236 20 0 IBADRN Cc1n[nH]c(C)c1[C@H]1COCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000499247834 1072938042 /nfs/dbraw/zinc/93/80/42/1072938042.db2.gz QENAZCIJGUQSPN-DLBZAZTESA-N 0 0 425.555 -0.269 20 0 IBADRN Cc1n[nH]c(C)c1[C@H]1COCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000499247836 1072937848 /nfs/dbraw/zinc/93/78/48/1072937848.db2.gz QENAZCIJGUQSPN-IAGOWNOFSA-N 0 0 425.555 -0.269 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H]1COCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000499247839 1072938055 /nfs/dbraw/zinc/93/80/55/1072938055.db2.gz QENAZCIJGUQSPN-IRXDYDNUSA-N 0 0 425.555 -0.269 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H]1COCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000499247842 1072937985 /nfs/dbraw/zinc/93/79/85/1072937985.db2.gz QENAZCIJGUQSPN-SJORKVTESA-N 0 0 425.555 -0.269 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000499248633 1072937952 /nfs/dbraw/zinc/93/79/52/1072937952.db2.gz IIVVPMFIVUCACO-OAHLLOKOSA-N 0 0 426.495 -0.459 20 0 IBADRN COCCN(C(=O)CN1CCN2C(=O)CC[C@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000499249784 1072938067 /nfs/dbraw/zinc/93/80/67/1072938067.db2.gz TXUODVJSXBSFOL-AWEZNQCLSA-N 0 0 436.513 -0.527 20 0 IBADRN COCCN(C(=O)CN1CCN2C(=O)CC[C@@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000499249786 1072937969 /nfs/dbraw/zinc/93/79/69/1072937969.db2.gz TXUODVJSXBSFOL-CQSZACIVSA-N 0 0 436.513 -0.527 20 0 IBADRN O=C(CN1CCN2C(=O)CC[C@H]2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000499250746 1072937941 /nfs/dbraw/zinc/93/79/41/1072937941.db2.gz VVCDJWMNHPJCDP-KRWDZBQOSA-N 0 0 436.534 -0.370 20 0 IBADRN O=C(CN1CCN2C(=O)CC[C@@H]2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000499250751 1072937868 /nfs/dbraw/zinc/93/78/68/1072937868.db2.gz VVCDJWMNHPJCDP-QGZVFWFLSA-N 0 0 436.534 -0.370 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C(=O)C12CCCCC2 ZINC000499253031 1072939095 /nfs/dbraw/zinc/93/90/95/1072939095.db2.gz YOYDHJDURQFAQY-HNNXBMFYSA-N 0 0 426.539 -0.085 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C(=O)C12CCCCC2 ZINC000499253033 1072939038 /nfs/dbraw/zinc/93/90/38/1072939038.db2.gz YOYDHJDURQFAQY-OAHLLOKOSA-N 0 0 426.539 -0.085 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000499254151 1072938583 /nfs/dbraw/zinc/93/85/83/1072938583.db2.gz UGWCFRXIFSEITR-HNNXBMFYSA-N 0 0 445.563 -0.151 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000499254152 1072938688 /nfs/dbraw/zinc/93/86/88/1072938688.db2.gz UGWCFRXIFSEITR-OAHLLOKOSA-N 0 0 445.563 -0.151 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](C)CS(C)(=O)=O)c2)CC1 ZINC000499255396 1072938427 /nfs/dbraw/zinc/93/84/27/1072938427.db2.gz YQZCYOSVCHKAOE-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](C)CS(C)(=O)=O)c2)CC1 ZINC000499255398 1072938472 /nfs/dbraw/zinc/93/84/72/1072938472.db2.gz YQZCYOSVCHKAOE-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN O=C(CN1CCN2C(=O)CC[C@H]2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000499256090 1072938668 /nfs/dbraw/zinc/93/86/68/1072938668.db2.gz ZSRLPEUHNMXEQO-KRWDZBQOSA-N 0 0 436.534 -0.370 20 0 IBADRN O=C(CN1CCN2C(=O)CC[C@@H]2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000499256092 1072938517 /nfs/dbraw/zinc/93/85/17/1072938517.db2.gz ZSRLPEUHNMXEQO-QGZVFWFLSA-N 0 0 436.534 -0.370 20 0 IBADRN COc1ccc(CC(=O)N[C@H](C)CS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000499257475 1072939003 /nfs/dbraw/zinc/93/90/03/1072939003.db2.gz WEFHGMSRHYJSLB-CYBMUJFWSA-N 0 0 434.536 -0.192 20 0 IBADRN COc1ccc(CC(=O)N[C@@H](C)CS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000499257476 1072939019 /nfs/dbraw/zinc/93/90/19/1072939019.db2.gz WEFHGMSRHYJSLB-ZDUSSCGKSA-N 0 0 434.536 -0.192 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)CC[C@H]4C3)CC2)cc1 ZINC000499260663 1072939482 /nfs/dbraw/zinc/93/94/82/1072939482.db2.gz HMBSNPOTSKVCBM-INIZCTEOSA-N 0 0 436.534 -0.165 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)CC[C@@H]4C3)CC2)cc1 ZINC000499260665 1072939109 /nfs/dbraw/zinc/93/91/09/1072939109.db2.gz HMBSNPOTSKVCBM-MRXNPFEDSA-N 0 0 436.534 -0.165 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000499261752 1072938971 /nfs/dbraw/zinc/93/89/71/1072938971.db2.gz QNBOHRBHODTCAQ-KMFMINBZSA-N 0 0 427.461 -0.395 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000499261753 1072939033 /nfs/dbraw/zinc/93/90/33/1072939033.db2.gz QNBOHRBHODTCAQ-LXZKKBNFSA-N 0 0 427.461 -0.395 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000499261754 1072939082 /nfs/dbraw/zinc/93/90/82/1072939082.db2.gz QNBOHRBHODTCAQ-QRTARXTBSA-N 0 0 427.461 -0.395 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000499261755 1072938993 /nfs/dbraw/zinc/93/89/93/1072938993.db2.gz QNBOHRBHODTCAQ-UNEWFSDZSA-N 0 0 427.461 -0.395 20 0 IBADRN O=C(CN1CCN2C(=O)CC[C@@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000499263684 1072939045 /nfs/dbraw/zinc/93/90/45/1072939045.db2.gz KGYIYMQLFZFNFG-APWZRJJASA-N 0 0 428.489 -0.196 20 0 IBADRN O=C(CN1CCN2C(=O)CC[C@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000499263688 1072939102 /nfs/dbraw/zinc/93/91/02/1072939102.db2.gz KGYIYMQLFZFNFG-LPHOPBHVSA-N 0 0 428.489 -0.196 20 0 IBADRN O=C(CN1CCN2C(=O)CC[C@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000499263691 1072938984 /nfs/dbraw/zinc/93/89/84/1072938984.db2.gz KGYIYMQLFZFNFG-QFBILLFUSA-N 0 0 428.489 -0.196 20 0 IBADRN O=C(CN1CCN2C(=O)CC[C@@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000499263693 1072939470 /nfs/dbraw/zinc/93/94/70/1072939470.db2.gz KGYIYMQLFZFNFG-VQIMIIECSA-N 0 0 428.489 -0.196 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN3C(=O)CC[C@H]3C2)CC1 ZINC000499277890 1072939493 /nfs/dbraw/zinc/93/94/93/1072939493.db2.gz UDHITCYSGDKQJQ-HNNXBMFYSA-N 0 0 425.511 -0.569 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN3C(=O)CC[C@@H]3C2)CC1 ZINC000499277891 1072939560 /nfs/dbraw/zinc/93/95/60/1072939560.db2.gz UDHITCYSGDKQJQ-OAHLLOKOSA-N 0 0 425.511 -0.569 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CC(C)(C)OC3(C)C)CC2)CC1 ZINC000499286622 1072940248 /nfs/dbraw/zinc/94/02/48/1072940248.db2.gz BKMJJSDGIOSCHQ-HNNXBMFYSA-N 0 0 445.586 -0.915 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CC(C)(C)OC3(C)C)CC2)CC1 ZINC000499286623 1072940126 /nfs/dbraw/zinc/94/01/26/1072940126.db2.gz BKMJJSDGIOSCHQ-OAHLLOKOSA-N 0 0 445.586 -0.915 20 0 IBADRN COC1CCC(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000499291468 1072939665 /nfs/dbraw/zinc/93/96/65/1072939665.db2.gz MLYPEPWYLZARRB-JCYILVPMSA-N 0 0 431.555 -0.039 20 0 IBADRN COC1CCC(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000499291469 1072940269 /nfs/dbraw/zinc/94/02/69/1072940269.db2.gz MLYPEPWYLZARRB-OFLPRAFFSA-N 0 0 431.555 -0.039 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000499294333 1072940155 /nfs/dbraw/zinc/94/01/55/1072940155.db2.gz GHPQOMWRAROJAQ-GFCCVEGCSA-N 0 0 433.508 -0.423 20 0 IBADRN COC1CCC(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000499294756 1072940223 /nfs/dbraw/zinc/94/02/23/1072940223.db2.gz XQZDBHHBNYIOHM-UHFFFAOYSA-N 0 0 441.554 -0.117 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)ccc1F ZINC000499297799 1072940181 /nfs/dbraw/zinc/94/01/81/1072940181.db2.gz HQDMCEITHRLYBM-LLVKDONJSA-N 0 0 436.487 -0.788 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(NC(=O)c4ccc(F)cc4)CC3)c2c(=O)n(C)c1=O ZINC000499310461 1072940204 /nfs/dbraw/zinc/94/02/04/1072940204.db2.gz GFRLLHOSOKJRDV-UHFFFAOYSA-N 0 0 442.451 -0.006 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C1CC1 ZINC000499313967 1072940664 /nfs/dbraw/zinc/94/06/64/1072940664.db2.gz GRTOLHFFDWFGDU-CYBMUJFWSA-N 0 0 443.547 -0.155 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C1CC1 ZINC000499313969 1072940611 /nfs/dbraw/zinc/94/06/11/1072940611.db2.gz GRTOLHFFDWFGDU-ZDUSSCGKSA-N 0 0 443.547 -0.155 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000499323078 1072941302 /nfs/dbraw/zinc/94/13/02/1072941302.db2.gz FJQKPYUGSCFUQJ-CHWSQXEVSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000499323079 1072941271 /nfs/dbraw/zinc/94/12/71/1072941271.db2.gz FJQKPYUGSCFUQJ-OLZOCXBDSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)S(C)(=O)=O ZINC000499323080 1072941078 /nfs/dbraw/zinc/94/10/78/1072941078.db2.gz FJQKPYUGSCFUQJ-QWHCGFSZSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000499323081 1072941251 /nfs/dbraw/zinc/94/12/51/1072941251.db2.gz FJQKPYUGSCFUQJ-STQMWFEESA-N 0 0 431.536 -0.299 20 0 IBADRN Cn1ccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000499333268 1072941109 /nfs/dbraw/zinc/94/11/09/1072941109.db2.gz NIYKIPLFJNHXME-UHFFFAOYSA-N 0 0 442.523 -0.716 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1=O ZINC000499337274 1072941684 /nfs/dbraw/zinc/94/16/84/1072941684.db2.gz CVEUMNTUWVRZSJ-UHFFFAOYSA-N 0 0 430.458 -0.668 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)CC1=O ZINC000499338047 1072942172 /nfs/dbraw/zinc/94/21/72/1072942172.db2.gz HTCQQUARSJXPES-UHFFFAOYSA-N 0 0 427.479 -0.505 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CC1 ZINC000499339385 1072942250 /nfs/dbraw/zinc/94/22/50/1072942250.db2.gz DJUGEIZTNIZXFL-CYBMUJFWSA-N 0 0 443.547 -0.155 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CC1 ZINC000499339386 1072942217 /nfs/dbraw/zinc/94/22/17/1072942217.db2.gz DJUGEIZTNIZXFL-ZDUSSCGKSA-N 0 0 443.547 -0.155 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCO1 ZINC000499340178 1072942211 /nfs/dbraw/zinc/94/22/11/1072942211.db2.gz HPTLLOGGDGDJCO-FXUDXRNXSA-N 0 0 432.543 -0.832 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCO1 ZINC000499340182 1072942111 /nfs/dbraw/zinc/94/21/11/1072942111.db2.gz HPTLLOGGDGDJCO-KLHDSHLOSA-N 0 0 432.543 -0.832 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCO1 ZINC000499340185 1072942162 /nfs/dbraw/zinc/94/21/62/1072942162.db2.gz HPTLLOGGDGDJCO-LVQVYYBASA-N 0 0 432.543 -0.832 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCO1 ZINC000499340186 1072942099 /nfs/dbraw/zinc/94/20/99/1072942099.db2.gz HPTLLOGGDGDJCO-WCVJEAGWSA-N 0 0 432.543 -0.832 20 0 IBADRN CC(C)[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000499340835 1072963397 /nfs/dbraw/zinc/96/33/97/1072963397.db2.gz PUEQSQCHVATZST-CHWSQXEVSA-N 0 0 436.538 -0.114 20 0 IBADRN CC(C)[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000499340840 1072963934 /nfs/dbraw/zinc/96/39/34/1072963934.db2.gz PUEQSQCHVATZST-OLZOCXBDSA-N 0 0 436.538 -0.114 20 0 IBADRN CC(C)[C@H](C)NC(=O)[C@H]1CSCN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000499340843 1072963960 /nfs/dbraw/zinc/96/39/60/1072963960.db2.gz PUEQSQCHVATZST-QWHCGFSZSA-N 0 0 436.538 -0.114 20 0 IBADRN CC(C)[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000499340845 1072964025 /nfs/dbraw/zinc/96/40/25/1072964025.db2.gz PUEQSQCHVATZST-STQMWFEESA-N 0 0 436.538 -0.114 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCCOC)c2)C1 ZINC000499347416 1073019637 /nfs/dbraw/zinc/01/96/37/1073019637.db2.gz HWLDRCUWWBQRNP-HNNXBMFYSA-N 0 0 426.495 -0.459 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCCOC)c2)C1 ZINC000499347418 1073019824 /nfs/dbraw/zinc/01/98/24/1073019824.db2.gz HWLDRCUWWBQRNP-OAHLLOKOSA-N 0 0 426.495 -0.459 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)C1 ZINC000499347485 1073020297 /nfs/dbraw/zinc/02/02/97/1073020297.db2.gz IEXAXNYKVFXNDS-LLVKDONJSA-N 0 0 436.487 -0.788 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)C1 ZINC000499347488 1073020219 /nfs/dbraw/zinc/02/02/19/1073020219.db2.gz IEXAXNYKVFXNDS-NSHDSACASA-N 0 0 436.487 -0.788 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)C1 ZINC000499347553 1073022623 /nfs/dbraw/zinc/02/26/23/1073022623.db2.gz IKEKDCLQVSBDIX-GFCCVEGCSA-N 0 0 440.453 -0.042 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)C1 ZINC000499347559 1073022467 /nfs/dbraw/zinc/02/24/67/1073022467.db2.gz IKEKDCLQVSBDIX-LBPRGKRZSA-N 0 0 440.453 -0.042 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)C1 ZINC000499349862 1073065469 /nfs/dbraw/zinc/06/54/69/1073065469.db2.gz MVKSITUGPSQUEM-CYBMUJFWSA-N 0 0 433.508 -0.423 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)C1 ZINC000499349865 1073065308 /nfs/dbraw/zinc/06/53/08/1073065308.db2.gz MVKSITUGPSQUEM-ZDUSSCGKSA-N 0 0 433.508 -0.423 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000499351266 1073077921 /nfs/dbraw/zinc/07/79/21/1073077921.db2.gz PPRWXIZTCNOEAC-UHFFFAOYSA-N 0 0 431.442 -0.459 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000499353806 1073079378 /nfs/dbraw/zinc/07/93/78/1073079378.db2.gz ZRBHBTDVLFFVDQ-CABCVRRESA-N 0 0 445.472 -0.452 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000499353807 1073079427 /nfs/dbraw/zinc/07/94/27/1073079427.db2.gz ZRBHBTDVLFFVDQ-GJZGRUSLSA-N 0 0 445.472 -0.452 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000499353808 1073079436 /nfs/dbraw/zinc/07/94/36/1073079436.db2.gz ZRBHBTDVLFFVDQ-HUUCEWRRSA-N 0 0 445.472 -0.452 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000499353809 1073079354 /nfs/dbraw/zinc/07/93/54/1073079354.db2.gz ZRBHBTDVLFFVDQ-LSDHHAIUSA-N 0 0 445.472 -0.452 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000499363596 1073091510 /nfs/dbraw/zinc/09/15/10/1073091510.db2.gz SWKBNYHSSZZOBT-CHWSQXEVSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000499363598 1073091542 /nfs/dbraw/zinc/09/15/42/1073091542.db2.gz SWKBNYHSSZZOBT-OLZOCXBDSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)S(C)(=O)=O ZINC000499363599 1073091567 /nfs/dbraw/zinc/09/15/67/1073091567.db2.gz SWKBNYHSSZZOBT-QWHCGFSZSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000499363601 1073091555 /nfs/dbraw/zinc/09/15/55/1073091555.db2.gz SWKBNYHSSZZOBT-STQMWFEESA-N 0 0 431.536 -0.299 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cnn1C1CCOCC1 ZINC000499380870 1073106339 /nfs/dbraw/zinc/10/63/39/1073106339.db2.gz XQYFXITZVAGALG-UHFFFAOYSA-N 0 0 427.527 -0.122 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499385523 1073119974 /nfs/dbraw/zinc/11/99/74/1073119974.db2.gz AWFBAGXAMDQQRJ-ILXRZTDVSA-N 0 0 435.502 -0.285 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499385525 1073119962 /nfs/dbraw/zinc/11/99/62/1073119962.db2.gz AWFBAGXAMDQQRJ-KKUMJFAQSA-N 0 0 435.502 -0.285 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499385527 1073119793 /nfs/dbraw/zinc/11/97/93/1073119793.db2.gz AWFBAGXAMDQQRJ-QLFBSQMISA-N 0 0 435.502 -0.285 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499385529 1073120262 /nfs/dbraw/zinc/12/02/62/1073120262.db2.gz AWFBAGXAMDQQRJ-SOUVJXGZSA-N 0 0 435.502 -0.285 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)nn1 ZINC000499387744 1073125509 /nfs/dbraw/zinc/12/55/09/1073125509.db2.gz BTFUORVKICKBAB-UHFFFAOYSA-N 0 0 438.391 -0.179 20 0 IBADRN O=C(NCC1(CO)CCOCC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499388143 1073126153 /nfs/dbraw/zinc/12/61/53/1073126153.db2.gz ANZCVNDFOOFWKE-CVEARBPZSA-N 0 0 431.489 -0.073 20 0 IBADRN O=C(NCC1(CO)CCOCC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499388146 1073126176 /nfs/dbraw/zinc/12/61/76/1073126176.db2.gz ANZCVNDFOOFWKE-HOTGVXAUSA-N 0 0 431.489 -0.073 20 0 IBADRN O=C(NCC1(CO)CCOCC1)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499388149 1073126081 /nfs/dbraw/zinc/12/60/81/1073126081.db2.gz ANZCVNDFOOFWKE-HZPDHXFCSA-N 0 0 431.489 -0.073 20 0 IBADRN O=C(NCC1(CO)CCOCC1)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499388152 1073126113 /nfs/dbraw/zinc/12/61/13/1073126113.db2.gz ANZCVNDFOOFWKE-JKSUJKDBSA-N 0 0 431.489 -0.073 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc(S(C)(=O)=O)c1 ZINC000499388634 1073126125 /nfs/dbraw/zinc/12/61/25/1073126125.db2.gz GLENLEXPDVHPPX-AWEZNQCLSA-N 0 0 447.535 -0.034 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc(S(C)(=O)=O)c1 ZINC000499388635 1073126102 /nfs/dbraw/zinc/12/61/02/1073126102.db2.gz GLENLEXPDVHPPX-CQSZACIVSA-N 0 0 447.535 -0.034 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499391938 1073126009 /nfs/dbraw/zinc/12/60/09/1073126009.db2.gz CHFAMRCLVZZWRV-ILXRZTDVSA-N 0 0 437.518 -0.039 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499391940 1073126690 /nfs/dbraw/zinc/12/66/90/1073126690.db2.gz CHFAMRCLVZZWRV-KFWWJZLASA-N 0 0 437.518 -0.039 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499391942 1073126634 /nfs/dbraw/zinc/12/66/34/1073126634.db2.gz CHFAMRCLVZZWRV-QLFBSQMISA-N 0 0 437.518 -0.039 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499391944 1073126583 /nfs/dbraw/zinc/12/65/83/1073126583.db2.gz CHFAMRCLVZZWRV-RBSFLKMASA-N 0 0 437.518 -0.039 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)O1 ZINC000499391979 1073126569 /nfs/dbraw/zinc/12/65/69/1073126569.db2.gz YEVSKRAZCFUOHI-CFAJVAMVSA-N 0 0 430.461 -0.829 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)O1 ZINC000499391981 1073126669 /nfs/dbraw/zinc/12/66/69/1073126669.db2.gz YEVSKRAZCFUOHI-OEUWWYETSA-N 0 0 430.461 -0.829 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)O1 ZINC000499391983 1073126699 /nfs/dbraw/zinc/12/66/99/1073126699.db2.gz YEVSKRAZCFUOHI-WBOJAVRRSA-N 0 0 430.461 -0.829 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)O1 ZINC000499391985 1073126695 /nfs/dbraw/zinc/12/66/95/1073126695.db2.gz YEVSKRAZCFUOHI-ZJOBFFGXSA-N 0 0 430.461 -0.829 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1OCCOC)C(C)C ZINC000499392386 1073126127 /nfs/dbraw/zinc/12/61/27/1073126127.db2.gz OKKDSEWKCOYZDS-HNNXBMFYSA-N 0 0 429.495 -0.059 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000499393678 1073126638 /nfs/dbraw/zinc/12/66/38/1073126638.db2.gz IXHLFDXQRBFEIJ-INIZCTEOSA-N 0 0 440.522 -0.070 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000499393679 1073126645 /nfs/dbraw/zinc/12/66/45/1073126645.db2.gz IXHLFDXQRBFEIJ-MRXNPFEDSA-N 0 0 440.522 -0.070 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000499395649 1073126704 /nfs/dbraw/zinc/12/67/04/1073126704.db2.gz ASNVISWRCLFXRN-UHFFFAOYSA-N 0 0 437.565 -0.124 20 0 IBADRN O=C(Cn1cccnc1=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000499396524 1073126958 /nfs/dbraw/zinc/12/69/58/1073126958.db2.gz GQWSRKPSLUDKAK-UHFFFAOYSA-N 0 0 434.474 -0.062 20 0 IBADRN NC(=O)CSCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000499397831 1073131654 /nfs/dbraw/zinc/13/16/54/1073131654.db2.gz OOOJUEATIXRLTK-UHFFFAOYSA-N 0 0 429.520 -0.101 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)ccc1F ZINC000499405737 1073138950 /nfs/dbraw/zinc/13/89/50/1073138950.db2.gz NPOPUFKZPABHLP-UHFFFAOYSA-N 0 0 443.501 -0.705 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)C[C@@H](C(N)=O)O1 ZINC000499406451 1073138924 /nfs/dbraw/zinc/13/89/24/1073138924.db2.gz PXBQJZWYALSMEG-FHIRATQRSA-N 0 0 430.461 -0.877 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C[C@@H](C(N)=O)O1 ZINC000499406455 1073138941 /nfs/dbraw/zinc/13/89/41/1073138941.db2.gz PXBQJZWYALSMEG-OEUWWYETSA-N 0 0 430.461 -0.877 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C[C@H](C(N)=O)O1 ZINC000499406457 1073139046 /nfs/dbraw/zinc/13/90/46/1073139046.db2.gz PXBQJZWYALSMEG-UMPJEAMMSA-N 0 0 430.461 -0.877 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)C[C@H](C(N)=O)O1 ZINC000499406460 1073139526 /nfs/dbraw/zinc/13/95/26/1073139526.db2.gz PXBQJZWYALSMEG-XJIUQZFPSA-N 0 0 430.461 -0.877 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc(S(C)(=O)=O)c1 ZINC000499406583 1073139015 /nfs/dbraw/zinc/13/90/15/1073139015.db2.gz QPMGXQXPJFMDLW-UHFFFAOYSA-N 0 0 440.522 -0.340 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499408481 1073141133 /nfs/dbraw/zinc/14/11/33/1073141133.db2.gz PUYVDUWAAJNVKD-HRCADAONSA-N 0 0 443.504 -0.058 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499408482 1073141141 /nfs/dbraw/zinc/14/11/41/1073141141.db2.gz PUYVDUWAAJNVKD-JYJNAYRXSA-N 0 0 443.504 -0.058 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499408483 1073140984 /nfs/dbraw/zinc/14/09/84/1073140984.db2.gz PUYVDUWAAJNVKD-OWCLPIDISA-N 0 0 443.504 -0.058 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499408484 1073140877 /nfs/dbraw/zinc/14/08/77/1073140877.db2.gz PUYVDUWAAJNVKD-PMPSAXMXSA-N 0 0 443.504 -0.058 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc4n(n3)CCCC4)CC2)cn1C ZINC000499410667 1073350303 /nfs/dbraw/zinc/35/03/03/1073350303.db2.gz AMFIQZLSAVJORF-UHFFFAOYSA-N 0 0 435.510 -0.267 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cc1 ZINC000499410982 1073145486 /nfs/dbraw/zinc/14/54/86/1073145486.db2.gz CLWAWEKDLAZJOT-UHFFFAOYSA-N 0 0 445.501 -0.263 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)C1 ZINC000499412103 1073145512 /nfs/dbraw/zinc/14/55/12/1073145512.db2.gz IAXUTHSYLSBJNY-GFCCVEGCSA-N 0 0 429.474 -0.066 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)C1 ZINC000499412104 1073145327 /nfs/dbraw/zinc/14/53/27/1073145327.db2.gz IAXUTHSYLSBJNY-LBPRGKRZSA-N 0 0 429.474 -0.066 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000499412842 1073146029 /nfs/dbraw/zinc/14/60/29/1073146029.db2.gz FCWWGXOMNYYWIZ-CABCVRRESA-N 0 0 437.456 -0.168 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000499412843 1073145958 /nfs/dbraw/zinc/14/59/58/1073145958.db2.gz FCWWGXOMNYYWIZ-GJZGRUSLSA-N 0 0 437.456 -0.168 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000499412844 1073145974 /nfs/dbraw/zinc/14/59/74/1073145974.db2.gz FCWWGXOMNYYWIZ-HUUCEWRRSA-N 0 0 437.456 -0.168 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000499412845 1073146113 /nfs/dbraw/zinc/14/61/13/1073146113.db2.gz FCWWGXOMNYYWIZ-LSDHHAIUSA-N 0 0 437.456 -0.168 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000499413842 1073146092 /nfs/dbraw/zinc/14/60/92/1073146092.db2.gz WDBYIWFFZDNEMB-UHFFFAOYSA-N 0 0 449.489 -0.509 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c1Br ZINC000499414411 1073146069 /nfs/dbraw/zinc/14/60/69/1073146069.db2.gz BKWXRANRZCFFNP-KCJUWKMLSA-N 0 0 427.255 -0.152 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c1Br ZINC000499414412 1073146108 /nfs/dbraw/zinc/14/61/08/1073146108.db2.gz BKWXRANRZCFFNP-LDYMZIIASA-N 0 0 427.255 -0.152 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000499414909 1073146626 /nfs/dbraw/zinc/14/66/26/1073146626.db2.gz CQTTVTYQOVLTBQ-CHWSQXEVSA-N 0 0 430.479 -0.338 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000499414913 1073146481 /nfs/dbraw/zinc/14/64/81/1073146481.db2.gz CQTTVTYQOVLTBQ-OLZOCXBDSA-N 0 0 430.479 -0.338 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000499414915 1073146507 /nfs/dbraw/zinc/14/65/07/1073146507.db2.gz CQTTVTYQOVLTBQ-QWHCGFSZSA-N 0 0 430.479 -0.338 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000499414917 1073146604 /nfs/dbraw/zinc/14/66/04/1073146604.db2.gz CQTTVTYQOVLTBQ-STQMWFEESA-N 0 0 430.479 -0.338 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000499415575 1073146005 /nfs/dbraw/zinc/14/60/05/1073146005.db2.gz RNHRCZZPUOVOMY-UHFFFAOYSA-N 0 0 433.509 -0.376 20 0 IBADRN CN(CC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)S(C)(=O)=O ZINC000499417073 1073146610 /nfs/dbraw/zinc/14/66/10/1073146610.db2.gz DDIRYRLEQHKHMZ-UHFFFAOYSA-N 0 0 447.535 -0.428 20 0 IBADRN COCc1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000499417412 1073146585 /nfs/dbraw/zinc/14/65/85/1073146585.db2.gz INOSJCACLOFXGE-UHFFFAOYSA-N 0 0 442.523 -0.197 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000499417828 1073146591 /nfs/dbraw/zinc/14/65/91/1073146591.db2.gz QSRLJVPSGRVBKQ-UHFFFAOYSA-N 0 0 436.581 -0.140 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4c3CNC4=O)CC2)cn1C ZINC000499418225 1073146535 /nfs/dbraw/zinc/14/65/35/1073146535.db2.gz WSWHPZAPOPBWGJ-UHFFFAOYSA-N 0 0 446.489 -0.556 20 0 IBADRN O=C(Cc1sccc1C(=O)N1CCN2CCOC[C@H]2C1)N1CCN2CCOC[C@@H]2C1 ZINC000499418601 1073146491 /nfs/dbraw/zinc/14/64/91/1073146491.db2.gz SDOWPSOYTCVBLZ-DLBZAZTESA-N 0 0 434.562 -0.010 20 0 IBADRN O=C(Cc1sccc1C(=O)N1CCN2CCOC[C@H]2C1)N1CCN2CCOC[C@H]2C1 ZINC000499418605 1073146552 /nfs/dbraw/zinc/14/65/52/1073146552.db2.gz SDOWPSOYTCVBLZ-IAGOWNOFSA-N 0 0 434.562 -0.010 20 0 IBADRN O=C(Cc1sccc1C(=O)N1CCN2CCOC[C@@H]2C1)N1CCN2CCOC[C@@H]2C1 ZINC000499418608 1073146600 /nfs/dbraw/zinc/14/66/00/1073146600.db2.gz SDOWPSOYTCVBLZ-IRXDYDNUSA-N 0 0 434.562 -0.010 20 0 IBADRN O=C(Cc1sccc1C(=O)N1CCN2CCOC[C@@H]2C1)N1CCN2CCOC[C@H]2C1 ZINC000499418610 1073146621 /nfs/dbraw/zinc/14/66/21/1073146621.db2.gz SDOWPSOYTCVBLZ-SJORKVTESA-N 0 0 434.562 -0.010 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000499418722 1073146461 /nfs/dbraw/zinc/14/64/61/1073146461.db2.gz DWDCKPSDCOQFNY-UHFFFAOYSA-N 0 0 436.943 -0.123 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)CC)CC2)c1 ZINC000499419284 1073146500 /nfs/dbraw/zinc/14/65/00/1073146500.db2.gz FBSPUIPGXRAGGT-UHFFFAOYSA-N 0 0 448.567 -0.627 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000499419369 1073146545 /nfs/dbraw/zinc/14/65/45/1073146545.db2.gz UKNWREBTMRFPEJ-UHFFFAOYSA-N 0 0 447.583 -0.377 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000499422936 1073146946 /nfs/dbraw/zinc/14/69/46/1073146946.db2.gz PUWIKKRFEJVGRE-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN COCc1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000499425729 1073148005 /nfs/dbraw/zinc/14/80/05/1073148005.db2.gz XHEJNCFXTUPCEO-UHFFFAOYSA-N 0 0 442.523 -0.197 20 0 IBADRN O=C(NC[C@@]1(CCO)CCOC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499430437 1073149525 /nfs/dbraw/zinc/14/95/25/1073149525.db2.gz GUJMKHIXXGIKLJ-PONJGIIJSA-N 0 0 431.489 -0.073 20 0 IBADRN O=C(NC[C@]1(CCO)CCOC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499430438 1073149423 /nfs/dbraw/zinc/14/94/23/1073149423.db2.gz GUJMKHIXXGIKLJ-VVBPWWLESA-N 0 0 431.489 -0.073 20 0 IBADRN O=C(NC[C@]1(CCO)CCOC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499430439 1073149487 /nfs/dbraw/zinc/14/94/87/1073149487.db2.gz GUJMKHIXXGIKLJ-WCJKSRRJSA-N 0 0 431.489 -0.073 20 0 IBADRN O=C(NC[C@@]1(CCO)CCOC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499430440 1073149517 /nfs/dbraw/zinc/14/95/17/1073149517.db2.gz GUJMKHIXXGIKLJ-ZMPRRUGASA-N 0 0 431.489 -0.073 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)CC1 ZINC000499430763 1073149442 /nfs/dbraw/zinc/14/94/42/1073149442.db2.gz INBFGRVDQHEVGA-HNNXBMFYSA-N 0 0 428.463 -0.190 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)CC1 ZINC000499430764 1073149405 /nfs/dbraw/zinc/14/94/05/1073149405.db2.gz INBFGRVDQHEVGA-OAHLLOKOSA-N 0 0 428.463 -0.190 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC000499430877 1073149511 /nfs/dbraw/zinc/14/95/11/1073149511.db2.gz LEKLXGPCXJLXCU-CHWSQXEVSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC000499430878 1073149481 /nfs/dbraw/zinc/14/94/81/1073149481.db2.gz LEKLXGPCXJLXCU-OLZOCXBDSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC000499430879 1073149466 /nfs/dbraw/zinc/14/94/66/1073149466.db2.gz LEKLXGPCXJLXCU-QWHCGFSZSA-N 0 0 426.495 -0.338 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC000499430880 1073149447 /nfs/dbraw/zinc/14/94/47/1073149447.db2.gz LEKLXGPCXJLXCU-STQMWFEESA-N 0 0 426.495 -0.338 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000499430883 1073149432 /nfs/dbraw/zinc/14/94/32/1073149432.db2.gz LFVSEPLMUMLKER-HNNXBMFYSA-N 0 0 445.469 -0.213 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000499430884 1073149418 /nfs/dbraw/zinc/14/94/18/1073149418.db2.gz LFVSEPLMUMLKER-OAHLLOKOSA-N 0 0 445.469 -0.213 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC([C@H](O)C(=O)OC)CC2)ccc1F ZINC000499431709 1073149530 /nfs/dbraw/zinc/14/95/30/1073149530.db2.gz LXELSVLKOBVYNN-AWEZNQCLSA-N 0 0 431.442 -0.555 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC([C@@H](O)C(=O)OC)CC2)ccc1F ZINC000499431711 1073149450 /nfs/dbraw/zinc/14/94/50/1073149450.db2.gz LXELSVLKOBVYNN-CQSZACIVSA-N 0 0 431.442 -0.555 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cc1 ZINC000499431725 1073149436 /nfs/dbraw/zinc/14/94/36/1073149436.db2.gz MACRQKRGWFNUHF-GFCCVEGCSA-N 0 0 437.430 -0.443 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cc1 ZINC000499431726 1073149492 /nfs/dbraw/zinc/14/94/92/1073149492.db2.gz MACRQKRGWFNUHF-LBPRGKRZSA-N 0 0 437.430 -0.443 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000499446489 1073150499 /nfs/dbraw/zinc/15/04/99/1073150499.db2.gz ULVLGKYNFNPIFI-GDBMZVCRSA-N 0 0 440.522 -0.165 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1 ZINC000499446490 1073150351 /nfs/dbraw/zinc/15/03/51/1073150351.db2.gz ULVLGKYNFNPIFI-GOEBONIOSA-N 0 0 440.522 -0.165 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000499446491 1073150346 /nfs/dbraw/zinc/15/03/46/1073150346.db2.gz ULVLGKYNFNPIFI-HOCLYGCPSA-N 0 0 440.522 -0.165 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1 ZINC000499446492 1073150340 /nfs/dbraw/zinc/15/03/40/1073150340.db2.gz ULVLGKYNFNPIFI-ZBFHGGJFSA-N 0 0 440.522 -0.165 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000499446701 1073150313 /nfs/dbraw/zinc/15/03/13/1073150313.db2.gz VQOZTWRUAZWBML-ABAIWWIYSA-N 0 0 447.535 -0.129 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000499446702 1073150283 /nfs/dbraw/zinc/15/02/83/1073150283.db2.gz VQOZTWRUAZWBML-IAQYHMDHSA-N 0 0 447.535 -0.129 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000499446703 1073150310 /nfs/dbraw/zinc/15/03/10/1073150310.db2.gz VQOZTWRUAZWBML-NHYWBVRUSA-N 0 0 447.535 -0.129 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000499446704 1073150503 /nfs/dbraw/zinc/15/05/03/1073150503.db2.gz VQOZTWRUAZWBML-XHDPSFHLSA-N 0 0 447.535 -0.129 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1=O ZINC000499449357 1073151588 /nfs/dbraw/zinc/15/15/88/1073151588.db2.gz JZHRBOADPCKABJ-DZKIICNBSA-N 0 0 442.472 -0.326 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1=O ZINC000499449358 1073151624 /nfs/dbraw/zinc/15/16/24/1073151624.db2.gz JZHRBOADPCKABJ-FMKPAKJESA-N 0 0 442.472 -0.326 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1=O ZINC000499449359 1073151516 /nfs/dbraw/zinc/15/15/16/1073151516.db2.gz JZHRBOADPCKABJ-LZWOXQAQSA-N 0 0 442.472 -0.326 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1=O ZINC000499449360 1073151551 /nfs/dbraw/zinc/15/15/51/1073151551.db2.gz JZHRBOADPCKABJ-YCPHGPKFSA-N 0 0 442.472 -0.326 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C(C)C)C1=O ZINC000499452819 1073151526 /nfs/dbraw/zinc/15/15/26/1073151526.db2.gz JOJOALIVCJPJMR-CYBMUJFWSA-N 0 0 425.463 -0.170 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N[C@H]1CC(=O)N(C(C)C)C1=O ZINC000499452820 1073151504 /nfs/dbraw/zinc/15/15/04/1073151504.db2.gz JOJOALIVCJPJMR-ZDUSSCGKSA-N 0 0 425.463 -0.170 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)C1=O ZINC000499454392 1073151591 /nfs/dbraw/zinc/15/15/91/1073151591.db2.gz NBXXEXGJLDHXGE-GFCCVEGCSA-N 0 0 428.442 -0.333 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)C1=O ZINC000499454393 1073151609 /nfs/dbraw/zinc/15/16/09/1073151609.db2.gz NBXXEXGJLDHXGE-LBPRGKRZSA-N 0 0 428.442 -0.333 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000499459622 1073152030 /nfs/dbraw/zinc/15/20/30/1073152030.db2.gz FBHLCJQTKPTZMJ-DLBZAZTESA-N 0 0 429.587 -0.292 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000499459624 1073151978 /nfs/dbraw/zinc/15/19/78/1073151978.db2.gz FBHLCJQTKPTZMJ-IAGOWNOFSA-N 0 0 429.587 -0.292 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000499459625 1073152085 /nfs/dbraw/zinc/15/20/85/1073152085.db2.gz FBHLCJQTKPTZMJ-IRXDYDNUSA-N 0 0 429.587 -0.292 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000499459626 1073152023 /nfs/dbraw/zinc/15/20/23/1073152023.db2.gz FBHLCJQTKPTZMJ-SJORKVTESA-N 0 0 429.587 -0.292 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)CC1 ZINC000499462649 1073152528 /nfs/dbraw/zinc/15/25/28/1073152528.db2.gz LQVDEMQVKUZTCE-AWEZNQCLSA-N 0 0 426.470 -0.749 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)CC1 ZINC000499462650 1073152614 /nfs/dbraw/zinc/15/26/14/1073152614.db2.gz LQVDEMQVKUZTCE-CQSZACIVSA-N 0 0 426.470 -0.749 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCN(C)S(=O)(=O)c2cccs2)C1=O ZINC000499462707 1073152637 /nfs/dbraw/zinc/15/26/37/1073152637.db2.gz MMBODEHVQVDYGF-GFCCVEGCSA-N 0 0 432.524 -0.168 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCN(C)S(=O)(=O)c2cccs2)C1=O ZINC000499462708 1073152513 /nfs/dbraw/zinc/15/25/13/1073152513.db2.gz MMBODEHVQVDYGF-LBPRGKRZSA-N 0 0 432.524 -0.168 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000499469971 1073152590 /nfs/dbraw/zinc/15/25/90/1073152590.db2.gz IXYMUWWTYSTOEA-UHFFFAOYSA-N 0 0 435.462 -0.508 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)C1=O ZINC000499475359 1073153030 /nfs/dbraw/zinc/15/30/30/1073153030.db2.gz BNNPIRSNNDXIKN-KRWDZBQOSA-N 0 0 437.541 -0.074 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)C1=O ZINC000499475366 1073153068 /nfs/dbraw/zinc/15/30/68/1073153068.db2.gz BNNPIRSNNDXIKN-QGZVFWFLSA-N 0 0 437.541 -0.074 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1=O ZINC000499484358 1073159613 /nfs/dbraw/zinc/15/96/13/1073159613.db2.gz MWJMERRMJOUKPD-NEPJUHHUSA-N 0 0 433.508 -0.654 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1=O ZINC000499484360 1073159608 /nfs/dbraw/zinc/15/96/08/1073159608.db2.gz MWJMERRMJOUKPD-NWDGAFQWSA-N 0 0 433.508 -0.654 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1=O ZINC000499484363 1073159620 /nfs/dbraw/zinc/15/96/20/1073159620.db2.gz MWJMERRMJOUKPD-RYUDHWBXSA-N 0 0 433.508 -0.654 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1=O ZINC000499484367 1073159630 /nfs/dbraw/zinc/15/96/30/1073159630.db2.gz MWJMERRMJOUKPD-VXGBXAGGSA-N 0 0 433.508 -0.654 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000499485380 1073159625 /nfs/dbraw/zinc/15/96/25/1073159625.db2.gz DQNOAIRROVLQQB-UHFFFAOYSA-N 0 0 449.489 -0.117 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)C1=O ZINC000499486058 1073159989 /nfs/dbraw/zinc/15/99/89/1073159989.db2.gz SGMOXGFIMSJHJO-DOTOQJQBSA-N 0 0 437.541 -0.075 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)C1=O ZINC000499486061 1073159995 /nfs/dbraw/zinc/15/99/95/1073159995.db2.gz SGMOXGFIMSJHJO-NVXWUHKLSA-N 0 0 437.541 -0.075 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)C1=O ZINC000499486063 1073160062 /nfs/dbraw/zinc/16/00/62/1073160062.db2.gz SGMOXGFIMSJHJO-RDJZCZTQSA-N 0 0 437.541 -0.075 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)C1=O ZINC000499486064 1073160334 /nfs/dbraw/zinc/16/03/34/1073160334.db2.gz SGMOXGFIMSJHJO-WBVHZDCISA-N 0 0 437.541 -0.075 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCS(=O)(=O)NCc2ccccc2)C1=O ZINC000499491570 1073160317 /nfs/dbraw/zinc/16/03/17/1073160317.db2.gz VFTIXPBZTCYYPP-HNNXBMFYSA-N 0 0 426.495 -0.431 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCS(=O)(=O)NCc2ccccc2)C1=O ZINC000499491573 1073160449 /nfs/dbraw/zinc/16/04/49/1073160449.db2.gz VFTIXPBZTCYYPP-OAHLLOKOSA-N 0 0 426.495 -0.431 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1=O ZINC000499491724 1073160395 /nfs/dbraw/zinc/16/03/95/1073160395.db2.gz WBAHBFLSBXQKHF-GFCCVEGCSA-N 0 0 432.524 -0.202 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1=O ZINC000499491729 1073160348 /nfs/dbraw/zinc/16/03/48/1073160348.db2.gz WBAHBFLSBXQKHF-LBPRGKRZSA-N 0 0 432.524 -0.202 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cc(S(C)(=O)=O)ccc3F)c2c(=O)n(C)c1=O ZINC000499508069 1073163151 /nfs/dbraw/zinc/16/31/51/1073163151.db2.gz URJZYAABMOWDHT-UHFFFAOYSA-N 0 0 437.453 -0.317 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1 ZINC000499516317 1073163672 /nfs/dbraw/zinc/16/36/72/1073163672.db2.gz FDUBFNRNGLMEKD-UHFFFAOYSA-N 0 0 449.489 -0.046 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2OCCOC)C1 ZINC000499526078 1073166345 /nfs/dbraw/zinc/16/63/45/1073166345.db2.gz BRYSJFUWWFLHLE-GFCCVEGCSA-N 0 0 442.494 -0.416 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2OCCOC)C1 ZINC000499526079 1073166422 /nfs/dbraw/zinc/16/64/22/1073166422.db2.gz BRYSJFUWWFLHLE-LBPRGKRZSA-N 0 0 442.494 -0.416 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499526882 1073165667 /nfs/dbraw/zinc/16/56/67/1073165667.db2.gz DYVHNYUWMALYMV-KFWWJZLASA-N 0 0 429.477 -0.448 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499526883 1073165550 /nfs/dbraw/zinc/16/55/50/1073165550.db2.gz DYVHNYUWMALYMV-RBSFLKMASA-N 0 0 429.477 -0.448 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499526884 1073165675 /nfs/dbraw/zinc/16/56/75/1073165675.db2.gz DYVHNYUWMALYMV-RRFJBIMHSA-N 0 0 429.477 -0.448 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499526885 1073165586 /nfs/dbraw/zinc/16/55/86/1073165586.db2.gz DYVHNYUWMALYMV-ZNMIVQPWSA-N 0 0 429.477 -0.448 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000499528564 1073166894 /nfs/dbraw/zinc/16/68/94/1073166894.db2.gz YAPJWOBLLNNZPE-UHFFFAOYSA-N 0 0 438.554 -0.634 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000499529781 1073166387 /nfs/dbraw/zinc/16/63/87/1073166387.db2.gz MQEWESNEKBCUDH-UHFFFAOYSA-N 0 0 435.462 -0.508 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000499535980 1073168808 /nfs/dbraw/zinc/16/88/08/1073168808.db2.gz FCPODJAIULQECP-UHFFFAOYSA-N 0 0 431.493 -0.351 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCNC(=O)Cc3cccc(F)c3)c2c(=O)n(C)c1=O ZINC000499536886 1073168926 /nfs/dbraw/zinc/16/89/26/1073168926.db2.gz AVDHLOZGXYLTTH-UHFFFAOYSA-N 0 0 430.440 -0.562 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000499538899 1073168944 /nfs/dbraw/zinc/16/89/44/1073168944.db2.gz JIGPAYUDGXJAOZ-INMHGKMJSA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000499538900 1073168906 /nfs/dbraw/zinc/16/89/06/1073168906.db2.gz JIGPAYUDGXJAOZ-UXLLHSPISA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000499538901 1073168877 /nfs/dbraw/zinc/16/88/77/1073168877.db2.gz JIGPAYUDGXJAOZ-VYDXJSESSA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000499538902 1073168885 /nfs/dbraw/zinc/16/88/85/1073168885.db2.gz JIGPAYUDGXJAOZ-ZOBUZTSGSA-N 0 0 447.579 -0.189 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000499549635 1073172672 /nfs/dbraw/zinc/17/26/72/1073172672.db2.gz PMGBSUCSXUEYMH-UHFFFAOYSA-N 0 0 425.511 -0.550 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC2CCN(S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000499550995 1073173782 /nfs/dbraw/zinc/17/37/82/1073173782.db2.gz CPSPGQGQFGLPAP-BBRMVZONSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC2CCN(S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000499550997 1073173850 /nfs/dbraw/zinc/17/38/50/1073173850.db2.gz CPSPGQGQFGLPAP-CJNGLKHVSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NC2CCN(S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000499550998 1073173760 /nfs/dbraw/zinc/17/37/60/1073173760.db2.gz CPSPGQGQFGLPAP-CZUORRHYSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NC2CCN(S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000499550999 1073173855 /nfs/dbraw/zinc/17/38/55/1073173855.db2.gz CPSPGQGQFGLPAP-XJKSGUPXSA-N 0 0 433.552 -0.437 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCc2nnnn2CC2CC2)ccc1F ZINC000499556030 1073174596 /nfs/dbraw/zinc/17/45/96/1073174596.db2.gz RYIXPFNSYBWBAP-UHFFFAOYSA-N 0 0 425.446 -0.273 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000499557500 1073174579 /nfs/dbraw/zinc/17/45/79/1073174579.db2.gz HYQYTAPNWGHBNK-UHFFFAOYSA-N 0 0 439.538 -0.160 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000499558240 1073175035 /nfs/dbraw/zinc/17/50/35/1073175035.db2.gz XWAAJTUJVLYSIN-CXAGYDPISA-N 0 0 425.507 -0.401 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000499558242 1073175367 /nfs/dbraw/zinc/17/53/67/1073175367.db2.gz XWAAJTUJVLYSIN-DYVFJYSZSA-N 0 0 425.507 -0.401 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000499558243 1073175435 /nfs/dbraw/zinc/17/54/35/1073175435.db2.gz XWAAJTUJVLYSIN-GUYCJALGSA-N 0 0 425.507 -0.401 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000499558244 1073175444 /nfs/dbraw/zinc/17/54/44/1073175444.db2.gz XWAAJTUJVLYSIN-SUMWQHHRSA-N 0 0 425.507 -0.401 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000499559217 1073175011 /nfs/dbraw/zinc/17/50/11/1073175011.db2.gz NJVKVTBCAAKYOW-ABAIWWIYSA-N 0 0 431.536 -0.339 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000499559219 1073175024 /nfs/dbraw/zinc/17/50/24/1073175024.db2.gz NJVKVTBCAAKYOW-IAQYHMDHSA-N 0 0 431.536 -0.339 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000499559220 1073174975 /nfs/dbraw/zinc/17/49/75/1073174975.db2.gz NJVKVTBCAAKYOW-NHYWBVRUSA-N 0 0 431.536 -0.339 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000499559221 1073174965 /nfs/dbraw/zinc/17/49/65/1073174965.db2.gz NJVKVTBCAAKYOW-XHDPSFHLSA-N 0 0 431.536 -0.339 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499560323 1073175475 /nfs/dbraw/zinc/17/54/75/1073175475.db2.gz MMLYTRCSLKNWDY-CABCVRRESA-N 0 0 439.476 -0.265 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499560324 1073175452 /nfs/dbraw/zinc/17/54/52/1073175452.db2.gz MMLYTRCSLKNWDY-GJZGRUSLSA-N 0 0 439.476 -0.265 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499560325 1073175447 /nfs/dbraw/zinc/17/54/47/1073175447.db2.gz MMLYTRCSLKNWDY-HUUCEWRRSA-N 0 0 439.476 -0.265 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499560326 1073175843 /nfs/dbraw/zinc/17/58/43/1073175843.db2.gz MMLYTRCSLKNWDY-LSDHHAIUSA-N 0 0 439.476 -0.265 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1 ZINC000499563640 1073175830 /nfs/dbraw/zinc/17/58/30/1073175830.db2.gz QQGOBMUMLQSUGH-HNNXBMFYSA-N 0 0 439.538 -0.320 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1 ZINC000499563641 1073175823 /nfs/dbraw/zinc/17/58/23/1073175823.db2.gz QQGOBMUMLQSUGH-OAHLLOKOSA-N 0 0 439.538 -0.320 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499565944 1073175708 /nfs/dbraw/zinc/17/57/08/1073175708.db2.gz NOTCNIRXSMITGA-CRAIPNDOSA-N 0 0 426.539 -0.697 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499565946 1073175720 /nfs/dbraw/zinc/17/57/20/1073175720.db2.gz NOTCNIRXSMITGA-MAUKXSAKSA-N 0 0 426.539 -0.697 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499565948 1073175833 /nfs/dbraw/zinc/17/58/33/1073175833.db2.gz NOTCNIRXSMITGA-QAPCUYQASA-N 0 0 426.539 -0.697 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499565950 1073175837 /nfs/dbraw/zinc/17/58/37/1073175837.db2.gz NOTCNIRXSMITGA-YJBOKZPZSA-N 0 0 426.539 -0.697 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000499570913 1073177253 /nfs/dbraw/zinc/17/72/53/1073177253.db2.gz HPPXDXVLMSJMHL-UHFFFAOYSA-N 0 0 445.501 -0.095 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000499571393 1073177140 /nfs/dbraw/zinc/17/71/40/1073177140.db2.gz IOTUGUKWCQPIBT-UHFFFAOYSA-N 0 0 430.509 -0.106 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000499573647 1073177292 /nfs/dbraw/zinc/17/72/92/1073177292.db2.gz NCSGNQJGMSVOFZ-UHFFFAOYSA-N 0 0 439.538 -0.271 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000499575554 1073177669 /nfs/dbraw/zinc/17/76/69/1073177669.db2.gz MZLLQVCIXJCFEX-UHFFFAOYSA-N 0 0 432.525 -0.396 20 0 IBADRN O=C(NCc1ccc(N2CCCC2)nc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000499577992 1073183173 /nfs/dbraw/zinc/18/31/73/1073183173.db2.gz WBQUFMMIQDUCIK-UHFFFAOYSA-N 0 0 430.509 -0.106 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c1 ZINC000499581255 1073183149 /nfs/dbraw/zinc/18/31/49/1073183149.db2.gz FTXKHYIDIJGESY-UHFFFAOYSA-N 0 0 445.501 -0.095 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000499582604 1073183211 /nfs/dbraw/zinc/18/32/11/1073183211.db2.gz RYVIFQFQCJPKGT-UHFFFAOYSA-N 0 0 445.476 -0.018 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](NC(=O)C3CC3)[C@H](C)O)CC2)cc1 ZINC000499583423 1073183729 /nfs/dbraw/zinc/18/37/29/1073183729.db2.gz GZJXZWNBXLLFOU-KBXCAEBGSA-N 0 0 437.518 -0.002 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](NC(=O)C3CC3)[C@@H](C)O)CC2)cc1 ZINC000499583424 1073183654 /nfs/dbraw/zinc/18/36/54/1073183654.db2.gz GZJXZWNBXLLFOU-KDOFPFPSSA-N 0 0 437.518 -0.002 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](NC(=O)C3CC3)[C@H](C)O)CC2)cc1 ZINC000499583425 1073183686 /nfs/dbraw/zinc/18/36/86/1073183686.db2.gz GZJXZWNBXLLFOU-KSSFIOAISA-N 0 0 437.518 -0.002 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](NC(=O)C3CC3)[C@@H](C)O)CC2)cc1 ZINC000499583426 1073183643 /nfs/dbraw/zinc/18/36/43/1073183643.db2.gz GZJXZWNBXLLFOU-RDTXWAMCSA-N 0 0 437.518 -0.002 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)[C@@H](C)O)cc1 ZINC000499583870 1073183706 /nfs/dbraw/zinc/18/37/06/1073183706.db2.gz IGWFMHWVWPOSCK-ACJLOTCBSA-N 0 0 448.501 -0.123 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)[C@@H](C)O)cc1 ZINC000499583873 1073183703 /nfs/dbraw/zinc/18/37/03/1073183703.db2.gz IGWFMHWVWPOSCK-FZKQIMNGSA-N 0 0 448.501 -0.123 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)[C@H](C)O)cc1 ZINC000499583874 1073183673 /nfs/dbraw/zinc/18/36/73/1073183673.db2.gz IGWFMHWVWPOSCK-SCLBCKFNSA-N 0 0 448.501 -0.123 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)[C@H](C)O)cc1 ZINC000499583875 1073183636 /nfs/dbraw/zinc/18/36/36/1073183636.db2.gz IGWFMHWVWPOSCK-UGSOOPFHSA-N 0 0 448.501 -0.123 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](NC(=O)C3CC3)[C@@H](C)O)CC2)cc1 ZINC000499584558 1073184054 /nfs/dbraw/zinc/18/40/54/1073184054.db2.gz AJWCMWDZFUCBSX-CXAGYDPISA-N 0 0 425.507 -0.196 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](NC(=O)C3CC3)[C@@H](C)O)CC2)cc1 ZINC000499584559 1073184357 /nfs/dbraw/zinc/18/43/57/1073184357.db2.gz AJWCMWDZFUCBSX-DYVFJYSZSA-N 0 0 425.507 -0.196 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](NC(=O)C3CC3)[C@H](C)O)CC2)cc1 ZINC000499584560 1073184360 /nfs/dbraw/zinc/18/43/60/1073184360.db2.gz AJWCMWDZFUCBSX-GUYCJALGSA-N 0 0 425.507 -0.196 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](NC(=O)C3CC3)[C@H](C)O)CC2)cc1 ZINC000499584561 1073184341 /nfs/dbraw/zinc/18/43/41/1073184341.db2.gz AJWCMWDZFUCBSX-SUMWQHHRSA-N 0 0 425.507 -0.196 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000499590235 1073184166 /nfs/dbraw/zinc/18/41/66/1073184166.db2.gz LHNWOGJMMOXMFZ-UHFFFAOYSA-N 0 0 430.509 -0.778 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000499592952 1073184083 /nfs/dbraw/zinc/18/40/83/1073184083.db2.gz QYHNMUXVYZMRCQ-CYBMUJFWSA-N 0 0 444.485 -0.097 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000499592974 1073184119 /nfs/dbraw/zinc/18/41/19/1073184119.db2.gz QYHNMUXVYZMRCQ-ZDUSSCGKSA-N 0 0 444.485 -0.097 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)[C@@H](C)O)cc1 ZINC000499593918 1073184692 /nfs/dbraw/zinc/18/46/92/1073184692.db2.gz QVFNSVOTFWHTCK-INMHGKMJSA-N 0 0 447.579 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)[C@H](C)O)cc1 ZINC000499593922 1073184709 /nfs/dbraw/zinc/18/47/09/1073184709.db2.gz QVFNSVOTFWHTCK-UXLLHSPISA-N 0 0 447.579 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCC[C@H]2CNS(C)(=O)=O)[C@@H](C)O)cc1 ZINC000499593924 1073185400 /nfs/dbraw/zinc/18/54/00/1073185400.db2.gz QVFNSVOTFWHTCK-VYDXJSESSA-N 0 0 447.579 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCC[C@H]2CNS(C)(=O)=O)[C@H](C)O)cc1 ZINC000499593928 1073185416 /nfs/dbraw/zinc/18/54/16/1073185416.db2.gz QVFNSVOTFWHTCK-ZOBUZTSGSA-N 0 0 447.579 -0.047 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000499599045 1073185258 /nfs/dbraw/zinc/18/52/58/1073185258.db2.gz HFIDBFYDLSJDQY-GOSISDBHSA-N 0 0 443.504 -0.209 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000499599046 1073185224 /nfs/dbraw/zinc/18/52/24/1073185224.db2.gz HFIDBFYDLSJDQY-SFHVURJKSA-N 0 0 443.504 -0.209 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000499599734 1073185808 /nfs/dbraw/zinc/18/58/08/1073185808.db2.gz LKVWTTRLVIDZIO-UHFFFAOYSA-N 0 0 430.509 -0.778 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000499599998 1073185817 /nfs/dbraw/zinc/18/58/17/1073185817.db2.gz MEBKXRXANJGGAU-UHFFFAOYSA-N 0 0 444.536 -0.390 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000499604469 1073186814 /nfs/dbraw/zinc/18/68/14/1073186814.db2.gz XAHASMDLJZDOEW-UHFFFAOYSA-N 0 0 437.522 -0.565 20 0 IBADRN O=C(CN1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1)NC1CC1 ZINC000499605191 1073186649 /nfs/dbraw/zinc/18/66/49/1073186649.db2.gz HSHAPASVAIVHTR-UHFFFAOYSA-N 0 0 442.520 -0.636 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CC1 ZINC000499607202 1073187235 /nfs/dbraw/zinc/18/72/35/1073187235.db2.gz XVOCDFQKHYPIMA-JTQLQIEISA-N 0 0 431.492 -0.334 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CC1 ZINC000499607203 1073187163 /nfs/dbraw/zinc/18/71/63/1073187163.db2.gz XVOCDFQKHYPIMA-SNVBAGLBSA-N 0 0 431.492 -0.334 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000499608991 1073187193 /nfs/dbraw/zinc/18/71/93/1073187193.db2.gz HFROIMNHAGHMCG-UHFFFAOYSA-N 0 0 446.508 -0.869 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)[C@@H](NC(=O)C2CC2)[C@@H](C)O)CC1 ZINC000499621859 1073188300 /nfs/dbraw/zinc/18/83/00/1073188300.db2.gz VZOPICCZJGGGOX-DOMZBBRYSA-N 0 0 428.511 -0.210 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)CC1 ZINC000499621860 1073188181 /nfs/dbraw/zinc/18/81/81/1073188181.db2.gz VZOPICCZJGGGOX-IUODEOHRSA-N 0 0 428.511 -0.210 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)CC1 ZINC000499621861 1073188413 /nfs/dbraw/zinc/18/84/13/1073188413.db2.gz VZOPICCZJGGGOX-SWLSCSKDSA-N 0 0 428.511 -0.210 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)[C@@H](NC(=O)C2CC2)[C@H](C)O)CC1 ZINC000499621862 1073188111 /nfs/dbraw/zinc/18/81/11/1073188111.db2.gz VZOPICCZJGGGOX-WFASDCNBSA-N 0 0 428.511 -0.210 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000499623045 1073188815 /nfs/dbraw/zinc/18/88/15/1073188815.db2.gz UGTXLBIJFIQQRC-KBXCAEBGSA-N 0 0 439.534 -0.260 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000499623046 1073188913 /nfs/dbraw/zinc/18/89/13/1073188913.db2.gz UGTXLBIJFIQQRC-KDOFPFPSSA-N 0 0 439.534 -0.260 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000499623047 1073188898 /nfs/dbraw/zinc/18/88/98/1073188898.db2.gz UGTXLBIJFIQQRC-KSSFIOAISA-N 0 0 439.534 -0.260 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000499623048 1073188804 /nfs/dbraw/zinc/18/88/04/1073188804.db2.gz UGTXLBIJFIQQRC-RDTXWAMCSA-N 0 0 439.534 -0.260 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccn3ccnc3c2)CC1 ZINC000499641000 1073192572 /nfs/dbraw/zinc/19/25/72/1073192572.db2.gz NIHXUUWRNZWJEL-INIZCTEOSA-N 0 0 428.493 -0.658 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccn3ccnc3c2)CC1 ZINC000499641002 1073192465 /nfs/dbraw/zinc/19/24/65/1073192465.db2.gz NIHXUUWRNZWJEL-MRXNPFEDSA-N 0 0 428.493 -0.658 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cn2)CCO1 ZINC000499642723 1073192554 /nfs/dbraw/zinc/19/25/54/1073192554.db2.gz OFOPCYLOLYBDRV-GOSISDBHSA-N 0 0 446.552 -0.007 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cn2)CCO1 ZINC000499642724 1073192514 /nfs/dbraw/zinc/19/25/14/1073192514.db2.gz OFOPCYLOLYBDRV-SFHVURJKSA-N 0 0 446.552 -0.007 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2ccn3ccnc3c2)CC1 ZINC000499644672 1073192925 /nfs/dbraw/zinc/19/29/25/1073192925.db2.gz ZJLQJHIMDHFDOJ-INIZCTEOSA-N 0 0 430.509 -0.364 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2ccn3ccnc3c2)CC1 ZINC000499644673 1073193119 /nfs/dbraw/zinc/19/31/19/1073193119.db2.gz ZJLQJHIMDHFDOJ-MRXNPFEDSA-N 0 0 430.509 -0.364 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499647542 1073192944 /nfs/dbraw/zinc/19/29/44/1073192944.db2.gz JNXBLAQCPHUWKO-APWZRJJASA-N 0 0 440.566 -0.307 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499647543 1073192983 /nfs/dbraw/zinc/19/29/83/1073192983.db2.gz JNXBLAQCPHUWKO-LPHOPBHVSA-N 0 0 440.566 -0.307 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499647544 1073193178 /nfs/dbraw/zinc/19/31/78/1073193178.db2.gz JNXBLAQCPHUWKO-QFBILLFUSA-N 0 0 440.566 -0.307 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499647545 1073193466 /nfs/dbraw/zinc/19/34/66/1073193466.db2.gz JNXBLAQCPHUWKO-VQIMIIECSA-N 0 0 440.566 -0.307 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000499650803 1073193564 /nfs/dbraw/zinc/19/35/64/1073193564.db2.gz PEMBQWPEBNNVCX-AWEZNQCLSA-N 0 0 443.522 -0.421 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1Nc1nc(N[C@H]2CCC[C@H]2C(=O)NC)nc(N2CCOCC2)n1 ZINC000499651159 1073193992 /nfs/dbraw/zinc/19/39/92/1073193992.db2.gz AZCGZIMBLJKRLD-WCVJEAGWSA-N 0 0 446.556 -0.795 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cn2)CCO1 ZINC000499652782 1073194158 /nfs/dbraw/zinc/19/41/58/1073194158.db2.gz HVPFHTZZGYXGDV-HNNXBMFYSA-N 0 0 429.485 -0.653 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cn2)CCO1 ZINC000499652783 1073194196 /nfs/dbraw/zinc/19/41/96/1073194196.db2.gz HVPFHTZZGYXGDV-OAHLLOKOSA-N 0 0 429.485 -0.653 20 0 IBADRN O=C(NCc1ccn2ccnc2c1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000499655812 1073194586 /nfs/dbraw/zinc/19/45/86/1073194586.db2.gz GKLKFBYJUOOPAB-UHFFFAOYSA-N 0 0 432.462 -0.387 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000499662268 1073201832 /nfs/dbraw/zinc/20/18/32/1073201832.db2.gz QDWXAZUXTADLPA-DZKIICNBSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000499662271 1073201897 /nfs/dbraw/zinc/20/18/97/1073201897.db2.gz QDWXAZUXTADLPA-FMKPAKJESA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000499662275 1073201890 /nfs/dbraw/zinc/20/18/90/1073201890.db2.gz QDWXAZUXTADLPA-LZWOXQAQSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000499662276 1073202397 /nfs/dbraw/zinc/20/23/97/1073202397.db2.gz QDWXAZUXTADLPA-YCPHGPKFSA-N 0 0 433.552 -0.437 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cn2)C[C@H](C)O1 ZINC000499663893 1073202341 /nfs/dbraw/zinc/20/23/41/1073202341.db2.gz XRGKLMZFWHGEPC-HOTGVXAUSA-N 0 0 443.512 -0.264 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cn2)C[C@@H](C)O1 ZINC000499663915 1073202409 /nfs/dbraw/zinc/20/24/09/1073202409.db2.gz XRGKLMZFWHGEPC-HZPDHXFCSA-N 0 0 443.512 -0.264 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cn2)C[C@H](C)O1 ZINC000499663917 1073202310 /nfs/dbraw/zinc/20/23/10/1073202310.db2.gz XRGKLMZFWHGEPC-IYBDPMFKSA-N 0 0 443.512 -0.264 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCN([C@H]4CCS(=O)(=O)C4)CC3)nc2)CC1 ZINC000499665117 1073202774 /nfs/dbraw/zinc/20/27/74/1073202774.db2.gz UDMNTVSTNMBCMT-INIZCTEOSA-N 0 0 443.595 -0.673 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)nc2)CC1 ZINC000499665118 1073202898 /nfs/dbraw/zinc/20/28/98/1073202898.db2.gz UDMNTVSTNMBCMT-MRXNPFEDSA-N 0 0 443.595 -0.673 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000499667437 1073203321 /nfs/dbraw/zinc/20/33/21/1073203321.db2.gz LYBDNEIWNJIOGE-GFCCVEGCSA-N 0 0 429.524 -0.368 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000499667450 1073203235 /nfs/dbraw/zinc/20/32/35/1073203235.db2.gz LYBDNEIWNJIOGE-LBPRGKRZSA-N 0 0 429.524 -0.368 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499670528 1073203811 /nfs/dbraw/zinc/20/38/11/1073203811.db2.gz RODKWOMFCWHEAN-PBHICJAKSA-N 0 0 447.579 -0.047 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499670530 1073203771 /nfs/dbraw/zinc/20/37/71/1073203771.db2.gz RODKWOMFCWHEAN-RHSMWYFYSA-N 0 0 447.579 -0.047 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499670532 1073203760 /nfs/dbraw/zinc/20/37/60/1073203760.db2.gz RODKWOMFCWHEAN-WMLDXEAASA-N 0 0 447.579 -0.047 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499670533 1073203977 /nfs/dbraw/zinc/20/39/77/1073203977.db2.gz RODKWOMFCWHEAN-YOEHRIQHSA-N 0 0 447.579 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCCN(S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000499671438 1073205246 /nfs/dbraw/zinc/20/52/46/1073205246.db2.gz UECJFBPFKAWXEO-GDBMZVCRSA-N 0 0 433.552 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCCN(S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000499671439 1073205257 /nfs/dbraw/zinc/20/52/57/1073205257.db2.gz UECJFBPFKAWXEO-GOEBONIOSA-N 0 0 433.552 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCN(S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000499671440 1073205265 /nfs/dbraw/zinc/20/52/65/1073205265.db2.gz UECJFBPFKAWXEO-HOCLYGCPSA-N 0 0 433.552 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCN(S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000499671441 1073205272 /nfs/dbraw/zinc/20/52/72/1073205272.db2.gz UECJFBPFKAWXEO-ZBFHGGJFSA-N 0 0 433.552 -0.483 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(Cc3ncc[nH]3)CC2)c1 ZINC000499673916 1073205348 /nfs/dbraw/zinc/20/53/48/1073205348.db2.gz YAMXMHHISUILHX-UHFFFAOYSA-N 0 0 434.522 -0.148 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)ccc1F ZINC000499677027 1073206740 /nfs/dbraw/zinc/20/67/40/1073206740.db2.gz NRUVIWJBPOCOKM-KBPBESRZSA-N 0 0 433.458 -0.391 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)ccc1F ZINC000499677028 1073206681 /nfs/dbraw/zinc/20/66/81/1073206681.db2.gz NRUVIWJBPOCOKM-KGLIPLIRSA-N 0 0 433.458 -0.391 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)ccc1F ZINC000499677029 1073206702 /nfs/dbraw/zinc/20/67/02/1073206702.db2.gz NRUVIWJBPOCOKM-UONOGXRCSA-N 0 0 433.458 -0.391 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)ccc1F ZINC000499677030 1073206694 /nfs/dbraw/zinc/20/66/94/1073206694.db2.gz NRUVIWJBPOCOKM-ZIAGYGMSSA-N 0 0 433.458 -0.391 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000499683319 1073208364 /nfs/dbraw/zinc/20/83/64/1073208364.db2.gz ZSBQETVVBRRFHV-UHFFFAOYSA-N 0 0 433.508 -0.812 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000499683345 1073208914 /nfs/dbraw/zinc/20/89/14/1073208914.db2.gz ZTOLURAMDKQPKM-CABCVRRESA-N 0 0 447.485 -0.049 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000499683348 1073208846 /nfs/dbraw/zinc/20/88/46/1073208846.db2.gz ZTOLURAMDKQPKM-GJZGRUSLSA-N 0 0 447.485 -0.049 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000499683349 1073208974 /nfs/dbraw/zinc/20/89/74/1073208974.db2.gz ZTOLURAMDKQPKM-HUUCEWRRSA-N 0 0 447.485 -0.049 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000499683350 1073209012 /nfs/dbraw/zinc/20/90/12/1073209012.db2.gz ZTOLURAMDKQPKM-LSDHHAIUSA-N 0 0 447.485 -0.049 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000499684481 1073208285 /nfs/dbraw/zinc/20/82/85/1073208285.db2.gz ZMEDWPYKVDTWEO-HNNXBMFYSA-N 0 0 436.600 -0.950 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000499684488 1073208325 /nfs/dbraw/zinc/20/83/25/1073208325.db2.gz ZMEDWPYKVDTWEO-OAHLLOKOSA-N 0 0 436.600 -0.950 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000499685171 1073208869 /nfs/dbraw/zinc/20/88/69/1073208869.db2.gz PZAUZCPDTNYKTQ-PBHICJAKSA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000499685172 1073208989 /nfs/dbraw/zinc/20/89/89/1073208989.db2.gz PZAUZCPDTNYKTQ-RHSMWYFYSA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000499685173 1073208815 /nfs/dbraw/zinc/20/88/15/1073208815.db2.gz PZAUZCPDTNYKTQ-WMLDXEAASA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000499685174 1073208923 /nfs/dbraw/zinc/20/89/23/1073208923.db2.gz PZAUZCPDTNYKTQ-YOEHRIQHSA-N 0 0 447.579 -0.095 20 0 IBADRN CCOC(=O)c1cc(F)c(OC)c(S(=O)(=O)N2CCO[C@H](CN(C)CC(N)=O)C2)c1 ZINC000499690210 1073209668 /nfs/dbraw/zinc/20/96/68/1073209668.db2.gz LHFPABLIGMPMIH-CYBMUJFWSA-N 0 0 447.485 -0.182 20 0 IBADRN CCOC(=O)c1cc(F)c(OC)c(S(=O)(=O)N2CCO[C@@H](CN(C)CC(N)=O)C2)c1 ZINC000499690211 1073209708 /nfs/dbraw/zinc/20/97/08/1073209708.db2.gz LHFPABLIGMPMIH-ZDUSSCGKSA-N 0 0 447.485 -0.182 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1C1CC1 ZINC000499691212 1073210148 /nfs/dbraw/zinc/21/01/48/1073210148.db2.gz DSNFFPOCIDJQQA-UHFFFAOYSA-N 0 0 429.520 -0.297 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cn2)CCO1 ZINC000499696627 1073210509 /nfs/dbraw/zinc/21/05/09/1073210509.db2.gz SIONZUBWVPRFPL-HNNXBMFYSA-N 0 0 430.509 -0.061 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cn2)CCO1 ZINC000499696628 1073210522 /nfs/dbraw/zinc/21/05/22/1073210522.db2.gz SIONZUBWVPRFPL-OAHLLOKOSA-N 0 0 430.509 -0.061 20 0 IBADRN COC(=O)CCN1CC[C@H](Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1=O ZINC000499707099 1073211887 /nfs/dbraw/zinc/21/18/87/1073211887.db2.gz PRMPFDIHCDSYBC-HNNXBMFYSA-N 0 0 425.511 -0.406 20 0 IBADRN COC(=O)CCN1CC[C@@H](Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1=O ZINC000499707100 1073211653 /nfs/dbraw/zinc/21/16/53/1073211653.db2.gz PRMPFDIHCDSYBC-OAHLLOKOSA-N 0 0 425.511 -0.406 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c(=O)[nH]c1=O ZINC000499707503 1073212218 /nfs/dbraw/zinc/21/22/18/1073212218.db2.gz UYYYRKRRSWAJGW-UHFFFAOYSA-N 0 0 439.469 -0.121 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(Cc2ncc[nH]2)CC1 ZINC000499709988 1073212182 /nfs/dbraw/zinc/21/21/82/1073212182.db2.gz ISZMJJCBPIPVDZ-UHFFFAOYSA-N 0 0 432.506 -0.512 20 0 IBADRN NS(=O)(=O)Cc1ccc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000499711999 1073212594 /nfs/dbraw/zinc/21/25/94/1073212594.db2.gz GNIUEHHXVXLKJO-UHFFFAOYSA-N 0 0 430.486 -0.023 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1c(I)cnn1C ZINC000499717896 1073213024 /nfs/dbraw/zinc/21/30/24/1073213024.db2.gz DCTDHMJSOAPRCU-MRVPVSSYSA-N 0 0 427.220 -0.563 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1c(I)cnn1C ZINC000499717897 1073212974 /nfs/dbraw/zinc/21/29/74/1073212974.db2.gz DCTDHMJSOAPRCU-QMMMGPOBSA-N 0 0 427.220 -0.563 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000499717994 1073213038 /nfs/dbraw/zinc/21/30/38/1073213038.db2.gz JNYSKQVRCWSTIF-UHFFFAOYSA-N 0 0 429.517 -0.859 20 0 IBADRN COc1ccc(NC(=O)[C@@H](NC(=O)C2CC2)[C@@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000499719312 1073213408 /nfs/dbraw/zinc/21/34/08/1073213408.db2.gz FFYPAXQBYYSCDW-PXAZEXFGSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)[C@@H](NC(=O)C2CC2)[C@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000499719314 1073213467 /nfs/dbraw/zinc/21/34/67/1073213467.db2.gz FFYPAXQBYYSCDW-SJCJKPOMSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000499719315 1073213443 /nfs/dbraw/zinc/21/34/43/1073213443.db2.gz FFYPAXQBYYSCDW-SJKOYZFVSA-N 0 0 441.506 -0.070 20 0 IBADRN COc1ccc(NC(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000499719317 1073213475 /nfs/dbraw/zinc/21/34/75/1073213475.db2.gz FFYPAXQBYYSCDW-YVEFUNNKSA-N 0 0 441.506 -0.070 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499721305 1073213416 /nfs/dbraw/zinc/21/34/16/1073213416.db2.gz BNSRAVABPRHITD-CRAIPNDOSA-N 0 0 426.539 -0.697 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499721307 1073213431 /nfs/dbraw/zinc/21/34/31/1073213431.db2.gz BNSRAVABPRHITD-MAUKXSAKSA-N 0 0 426.539 -0.697 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499721309 1073213471 /nfs/dbraw/zinc/21/34/71/1073213471.db2.gz BNSRAVABPRHITD-QAPCUYQASA-N 0 0 426.539 -0.697 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499721311 1073213423 /nfs/dbraw/zinc/21/34/23/1073213423.db2.gz BNSRAVABPRHITD-YJBOKZPZSA-N 0 0 426.539 -0.697 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000499724729 1073213882 /nfs/dbraw/zinc/21/38/82/1073213882.db2.gz JIVINORSWDZLOP-PBHICJAKSA-N 0 0 430.571 -0.085 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000499724730 1073213707 /nfs/dbraw/zinc/21/37/07/1073213707.db2.gz JIVINORSWDZLOP-RHSMWYFYSA-N 0 0 430.571 -0.085 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000499724731 1073213805 /nfs/dbraw/zinc/21/38/05/1073213805.db2.gz JIVINORSWDZLOP-WMLDXEAASA-N 0 0 430.571 -0.085 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000499724732 1073213759 /nfs/dbraw/zinc/21/37/59/1073213759.db2.gz JIVINORSWDZLOP-YOEHRIQHSA-N 0 0 430.571 -0.085 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cs1 ZINC000499724954 1073213795 /nfs/dbraw/zinc/21/37/95/1073213795.db2.gz KDCVOEIOKHNYAY-UHFFFAOYSA-N 0 0 437.565 -0.077 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499726548 1073214174 /nfs/dbraw/zinc/21/41/74/1073214174.db2.gz CZXWZJONDNPDGH-KBXCAEBGSA-N 0 0 426.539 -0.651 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499726554 1073214188 /nfs/dbraw/zinc/21/41/88/1073214188.db2.gz CZXWZJONDNPDGH-KDOFPFPSSA-N 0 0 426.539 -0.651 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499726556 1073214333 /nfs/dbraw/zinc/21/43/33/1073214333.db2.gz CZXWZJONDNPDGH-KSSFIOAISA-N 0 0 426.539 -0.651 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499727137 1073214315 /nfs/dbraw/zinc/21/43/15/1073214315.db2.gz CZXWZJONDNPDGH-RDTXWAMCSA-N 0 0 426.539 -0.651 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000499727318 1073214135 /nfs/dbraw/zinc/21/41/35/1073214135.db2.gz DXXFGSIDBHADJP-GDBMZVCRSA-N 0 0 435.568 -0.189 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000499727320 1073214378 /nfs/dbraw/zinc/21/43/78/1073214378.db2.gz DXXFGSIDBHADJP-GOEBONIOSA-N 0 0 435.568 -0.189 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000499727322 1073214373 /nfs/dbraw/zinc/21/43/73/1073214373.db2.gz DXXFGSIDBHADJP-HOCLYGCPSA-N 0 0 435.568 -0.189 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000499727324 1073214168 /nfs/dbraw/zinc/21/41/68/1073214168.db2.gz DXXFGSIDBHADJP-ZBFHGGJFSA-N 0 0 435.568 -0.189 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(Cc2ncc[nH]2)CC1 ZINC000499727837 1073214303 /nfs/dbraw/zinc/21/43/03/1073214303.db2.gz QMJONAPIBUKELU-UHFFFAOYSA-N 0 0 432.506 -0.512 20 0 IBADRN O=C(NCc1ccccc1Cn1cncn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000499731746 1073214222 /nfs/dbraw/zinc/21/42/22/1073214222.db2.gz GCZKXUPCIBFHJU-UHFFFAOYSA-N 0 0 441.492 -0.461 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccnc(-n4cccn4)c3)CC2)CC1 ZINC000499731969 1073214619 /nfs/dbraw/zinc/21/46/19/1073214619.db2.gz KGRWMGQRRQKOKU-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000499733407 1073214604 /nfs/dbraw/zinc/21/46/04/1073214604.db2.gz OIGKOTPHVFZKKP-BLLLJJGKSA-N 0 0 435.506 -0.329 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000499733408 1073214701 /nfs/dbraw/zinc/21/47/01/1073214701.db2.gz OIGKOTPHVFZKKP-LRDDRELGSA-N 0 0 435.506 -0.329 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000499733409 1073215166 /nfs/dbraw/zinc/21/51/66/1073215166.db2.gz OIGKOTPHVFZKKP-MLGOLLRUSA-N 0 0 435.506 -0.329 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000499733410 1073215027 /nfs/dbraw/zinc/21/50/27/1073215027.db2.gz OIGKOTPHVFZKKP-WBMJQRKESA-N 0 0 435.506 -0.329 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(Cc3ncc[nH]3)CC2)c1 ZINC000499737039 1073215181 /nfs/dbraw/zinc/21/51/81/1073215181.db2.gz AIOOHESOOKCPJV-UHFFFAOYSA-N 0 0 436.538 -0.054 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCc2cccc(S(N)(=O)=O)c2)[C@@H](C)O)cc1 ZINC000499737840 1073215015 /nfs/dbraw/zinc/21/50/15/1073215015.db2.gz YAUISVJNTZFGRK-CXAGYDPISA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCc2cccc(S(N)(=O)=O)c2)[C@@H](C)O)cc1 ZINC000499737841 1073215083 /nfs/dbraw/zinc/21/50/83/1073215083.db2.gz YAUISVJNTZFGRK-DYVFJYSZSA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCc2cccc(S(N)(=O)=O)c2)[C@H](C)O)cc1 ZINC000499737842 1073215212 /nfs/dbraw/zinc/21/52/12/1073215212.db2.gz YAUISVJNTZFGRK-GUYCJALGSA-N 0 0 441.531 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCc2cccc(S(N)(=O)=O)c2)[C@H](C)O)cc1 ZINC000499737843 1073215174 /nfs/dbraw/zinc/21/51/74/1073215174.db2.gz YAUISVJNTZFGRK-SUMWQHHRSA-N 0 0 441.531 -0.013 20 0 IBADRN O=C(NCc1ccnc(-n2cccn2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000499740135 1073215201 /nfs/dbraw/zinc/21/52/01/1073215201.db2.gz DBIZZHWMNORBLZ-UHFFFAOYSA-N 0 0 427.465 -0.520 20 0 IBADRN O=C(NCc1ccnc(-n2cccn2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000499745038 1073215513 /nfs/dbraw/zinc/21/55/13/1073215513.db2.gz XUIMBSLHHJHLGM-UHFFFAOYSA-N 0 0 435.510 -0.329 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@@H](C)O)cc1 ZINC000499749221 1073216111 /nfs/dbraw/zinc/21/61/11/1073216111.db2.gz KIZFUFAEDGGGSX-AUUYWEPGSA-N 0 0 447.517 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@H](C)O)cc1 ZINC000499749222 1073215941 /nfs/dbraw/zinc/21/59/41/1073215941.db2.gz KIZFUFAEDGGGSX-IFXJQAMLSA-N 0 0 447.517 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@@H](C)O)cc1 ZINC000499749223 1073216023 /nfs/dbraw/zinc/21/60/23/1073216023.db2.gz KIZFUFAEDGGGSX-KUHUBIRLSA-N 0 0 447.517 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@H](C)O)cc1 ZINC000499749224 1073215978 /nfs/dbraw/zinc/21/59/78/1073215978.db2.gz KIZFUFAEDGGGSX-LIRRHRJNSA-N 0 0 447.517 -0.007 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)O1 ZINC000499750091 1073215984 /nfs/dbraw/zinc/21/59/84/1073215984.db2.gz MREPYESMXOKEGU-GXTWGEPZSA-N 0 0 444.485 -0.234 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)O1 ZINC000499750100 1073215966 /nfs/dbraw/zinc/21/59/66/1073215966.db2.gz MREPYESMXOKEGU-JSGCOSHPSA-N 0 0 444.485 -0.234 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)O1 ZINC000499750101 1073216006 /nfs/dbraw/zinc/21/60/06/1073216006.db2.gz MREPYESMXOKEGU-OCCSQVGLSA-N 0 0 444.485 -0.234 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)O1 ZINC000499750102 1073216031 /nfs/dbraw/zinc/21/60/31/1073216031.db2.gz MREPYESMXOKEGU-TZMCWYRMSA-N 0 0 444.485 -0.234 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499750851 1073215998 /nfs/dbraw/zinc/21/59/98/1073215998.db2.gz PTYFIAJOXFJMLO-CABCVRRESA-N 0 0 444.488 -0.663 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499750852 1073216014 /nfs/dbraw/zinc/21/60/14/1073216014.db2.gz PTYFIAJOXFJMLO-GJZGRUSLSA-N 0 0 444.488 -0.663 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499750853 1073216563 /nfs/dbraw/zinc/21/65/63/1073216563.db2.gz PTYFIAJOXFJMLO-HUUCEWRRSA-N 0 0 444.488 -0.663 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000499750854 1073216445 /nfs/dbraw/zinc/21/64/45/1073216445.db2.gz PTYFIAJOXFJMLO-LSDHHAIUSA-N 0 0 444.488 -0.663 20 0 IBADRN O=C(N[C@@H](CO)C1CCOCC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499751630 1073216494 /nfs/dbraw/zinc/21/64/94/1073216494.db2.gz TZEWADGIPKGBOL-BQFCYCMXSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(N[C@@H](CO)C1CCOCC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499751631 1073216514 /nfs/dbraw/zinc/21/65/14/1073216514.db2.gz TZEWADGIPKGBOL-RYRKJORJSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(N[C@H](CO)C1CCOCC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499751632 1073216354 /nfs/dbraw/zinc/21/63/54/1073216354.db2.gz TZEWADGIPKGBOL-SOLBZPMBSA-N 0 0 431.489 -0.074 20 0 IBADRN O=C(N[C@H](CO)C1CCOCC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499751633 1073216483 /nfs/dbraw/zinc/21/64/83/1073216483.db2.gz TZEWADGIPKGBOL-XYJFISCASA-N 0 0 431.489 -0.074 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000499752966 1073216979 /nfs/dbraw/zinc/21/69/79/1073216979.db2.gz VEZVFNFIOIKSPR-UHFFFAOYSA-N 0 0 429.495 -0.810 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCNS(=O)(=O)c2ccc(C)cc2)C1=O ZINC000499762649 1073217239 /nfs/dbraw/zinc/21/72/39/1073217239.db2.gz VISPLDIPEOEHJD-HNNXBMFYSA-N 0 0 426.495 -0.263 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCNS(=O)(=O)c2ccc(C)cc2)C1=O ZINC000499762651 1073217313 /nfs/dbraw/zinc/21/73/13/1073217313.db2.gz VISPLDIPEOEHJD-OAHLLOKOSA-N 0 0 426.495 -0.263 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499764501 1073217415 /nfs/dbraw/zinc/21/74/15/1073217415.db2.gz HNOUTKIHEKEMPM-GDBMZVCRSA-N 0 0 433.552 -0.483 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499764502 1073217299 /nfs/dbraw/zinc/21/72/99/1073217299.db2.gz HNOUTKIHEKEMPM-GOEBONIOSA-N 0 0 433.552 -0.483 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499764503 1073217272 /nfs/dbraw/zinc/21/72/72/1073217272.db2.gz HNOUTKIHEKEMPM-HOCLYGCPSA-N 0 0 433.552 -0.483 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499764504 1073217407 /nfs/dbraw/zinc/21/74/07/1073217407.db2.gz HNOUTKIHEKEMPM-ZBFHGGJFSA-N 0 0 433.552 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C)C(=O)NCCF)cc1S(=O)(=O)N1CCOCC1 ZINC000499765718 1073217704 /nfs/dbraw/zinc/21/77/04/1073217704.db2.gz UGUMPAYMPOERPR-CYBMUJFWSA-N 0 0 437.515 -0.232 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCF)cc1S(=O)(=O)N1CCOCC1 ZINC000499765719 1073217764 /nfs/dbraw/zinc/21/77/64/1073217764.db2.gz UGUMPAYMPOERPR-ZDUSSCGKSA-N 0 0 437.515 -0.232 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)C1=O ZINC000499767276 1073218011 /nfs/dbraw/zinc/21/80/11/1073218011.db2.gz HGGFFXPPMIDZRO-KBPBESRZSA-N 0 0 430.469 -0.492 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)C1=O ZINC000499767277 1073217973 /nfs/dbraw/zinc/21/79/73/1073217973.db2.gz HGGFFXPPMIDZRO-KGLIPLIRSA-N 0 0 430.469 -0.492 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)C1=O ZINC000499767278 1073217981 /nfs/dbraw/zinc/21/79/81/1073217981.db2.gz HGGFFXPPMIDZRO-UONOGXRCSA-N 0 0 430.469 -0.492 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)C1=O ZINC000499767279 1073218200 /nfs/dbraw/zinc/21/82/00/1073218200.db2.gz HGGFFXPPMIDZRO-ZIAGYGMSSA-N 0 0 430.469 -0.492 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2OCCOC)C1 ZINC000499772684 1073219553 /nfs/dbraw/zinc/21/95/53/1073219553.db2.gz GRLFELXRSRUQMW-UHFFFAOYSA-N 0 0 427.479 -0.352 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)C1=O ZINC000499775296 1073219811 /nfs/dbraw/zinc/21/98/11/1073219811.db2.gz VEJAAWSZKJWQNF-KRWDZBQOSA-N 0 0 437.541 -0.120 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)C1=O ZINC000499775297 1073219847 /nfs/dbraw/zinc/21/98/47/1073219847.db2.gz VEJAAWSZKJWQNF-QGZVFWFLSA-N 0 0 437.541 -0.120 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499775781 1073219576 /nfs/dbraw/zinc/21/95/76/1073219576.db2.gz DYQKRCJFWFPKAH-KBPBESRZSA-N 0 0 440.460 -0.709 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000499775783 1073219589 /nfs/dbraw/zinc/21/95/89/1073219589.db2.gz DYQKRCJFWFPKAH-KGLIPLIRSA-N 0 0 440.460 -0.709 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499775786 1073219581 /nfs/dbraw/zinc/21/95/81/1073219581.db2.gz DYQKRCJFWFPKAH-UONOGXRCSA-N 0 0 440.460 -0.709 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000499775789 1073219566 /nfs/dbraw/zinc/21/95/66/1073219566.db2.gz DYQKRCJFWFPKAH-ZIAGYGMSSA-N 0 0 440.460 -0.709 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)CC1 ZINC000499777063 1073219783 /nfs/dbraw/zinc/21/97/83/1073219783.db2.gz HDFVZFLJLUPSTK-UHFFFAOYSA-N 0 0 433.440 -0.174 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)c1Br ZINC000499777100 1073219770 /nfs/dbraw/zinc/21/97/70/1073219770.db2.gz CLILMOHXZIAGCT-LLVKDONJSA-N 0 0 427.255 -0.279 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)c1Br ZINC000499777106 1073219923 /nfs/dbraw/zinc/21/99/23/1073219923.db2.gz CLILMOHXZIAGCT-NSHDSACASA-N 0 0 427.255 -0.279 20 0 IBADRN CCN(CCCNC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)S(C)(=O)=O ZINC000499777309 1073221022 /nfs/dbraw/zinc/22/10/22/1073221022.db2.gz FLQDMWGXSGVIIX-GDBMZVCRSA-N 0 0 435.568 -0.189 20 0 IBADRN CCN(CCCNC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)S(C)(=O)=O ZINC000499777317 1073220969 /nfs/dbraw/zinc/22/09/69/1073220969.db2.gz FLQDMWGXSGVIIX-GOEBONIOSA-N 0 0 435.568 -0.189 20 0 IBADRN CCN(CCCNC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)S(C)(=O)=O ZINC000499777320 1073220913 /nfs/dbraw/zinc/22/09/13/1073220913.db2.gz FLQDMWGXSGVIIX-HOCLYGCPSA-N 0 0 435.568 -0.189 20 0 IBADRN CCN(CCCNC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)S(C)(=O)=O ZINC000499777323 1073220989 /nfs/dbraw/zinc/22/09/89/1073220989.db2.gz FLQDMWGXSGVIIX-ZBFHGGJFSA-N 0 0 435.568 -0.189 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc(S(C)(=O)=O)c1 ZINC000499777351 1073220494 /nfs/dbraw/zinc/22/04/94/1073220494.db2.gz HVQWEFUWUALXQS-UHFFFAOYSA-N 0 0 426.495 -0.555 20 0 IBADRN COCC(=O)N1CCC(NC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499777746 1073220233 /nfs/dbraw/zinc/22/02/33/1073220233.db2.gz DFTZZRNPQINDQY-KBXCAEBGSA-N 0 0 427.523 -0.224 20 0 IBADRN COCC(=O)N1CCC(NC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499777747 1073220427 /nfs/dbraw/zinc/22/04/27/1073220427.db2.gz DFTZZRNPQINDQY-KDOFPFPSSA-N 0 0 427.523 -0.224 20 0 IBADRN COCC(=O)N1CCC(NC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000499777748 1073220559 /nfs/dbraw/zinc/22/05/59/1073220559.db2.gz DFTZZRNPQINDQY-KSSFIOAISA-N 0 0 427.523 -0.224 20 0 IBADRN COCC(=O)N1CCC(NC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000499777749 1073220414 /nfs/dbraw/zinc/22/04/14/1073220414.db2.gz DFTZZRNPQINDQY-RDTXWAMCSA-N 0 0 427.523 -0.224 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)ccc1F ZINC000499778966 1073220941 /nfs/dbraw/zinc/22/09/41/1073220941.db2.gz LCPBYTXGCVEDDJ-UHFFFAOYSA-N 0 0 429.474 -0.920 20 0 IBADRN COC(=O)c1cc(Br)c(OC)cc1NC(=O)C(=O)NCCn1ncnn1 ZINC000499779500 1073221413 /nfs/dbraw/zinc/22/14/13/1073221413.db2.gz TTWIEJIVOODECH-UHFFFAOYSA-N 0 0 427.215 -0.014 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000499779965 1073221298 /nfs/dbraw/zinc/22/12/98/1073221298.db2.gz OYFHUFURGSVXRU-UHFFFAOYSA-N 0 0 440.522 -0.414 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)C1 ZINC000499781575 1073220862 /nfs/dbraw/zinc/22/08/62/1073220862.db2.gz ONLKQLFGTFZRJR-UHFFFAOYSA-N 0 0 430.458 -0.670 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)ccc1F ZINC000499781860 1073220931 /nfs/dbraw/zinc/22/09/31/1073220931.db2.gz UJYDAMWNPMMYMJ-GFCCVEGCSA-N 0 0 427.458 -0.265 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)ccc1F ZINC000499781861 1073221015 /nfs/dbraw/zinc/22/10/15/1073221015.db2.gz UJYDAMWNPMMYMJ-LBPRGKRZSA-N 0 0 427.458 -0.265 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000499782036 1073221799 /nfs/dbraw/zinc/22/17/99/1073221799.db2.gz PFRHTUAMOJIDPM-UHFFFAOYSA-N 0 0 427.479 -0.506 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)C1=O ZINC000499782170 1074356158 /nfs/dbraw/zinc/35/61/58/1074356158.db2.gz COPOKZLYLKIALH-AWEZNQCLSA-N 0 0 436.469 -0.587 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)C1=O ZINC000499782171 1074356143 /nfs/dbraw/zinc/35/61/43/1074356143.db2.gz COPOKZLYLKIALH-CQSZACIVSA-N 0 0 436.469 -0.587 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000499785983 1073222353 /nfs/dbraw/zinc/22/23/53/1073222353.db2.gz MLYLVKBWRZWHLM-INIZCTEOSA-N 0 0 445.476 -0.778 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000499785984 1073222211 /nfs/dbraw/zinc/22/22/11/1073222211.db2.gz MLYLVKBWRZWHLM-MRXNPFEDSA-N 0 0 445.476 -0.778 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1=O ZINC000499786179 1073222367 /nfs/dbraw/zinc/22/23/67/1073222367.db2.gz NPFVBMHNPFGXTI-INIZCTEOSA-N 0 0 438.506 -0.134 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1=O ZINC000499786180 1073222224 /nfs/dbraw/zinc/22/22/24/1073222224.db2.gz NPFVBMHNPFGXTI-MRXNPFEDSA-N 0 0 438.506 -0.134 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCc2ccccc2S(=O)(=O)N(C)C)C1=O ZINC000499787373 1073222753 /nfs/dbraw/zinc/22/27/53/1073222753.db2.gz UAFZHMUMNGHXGV-AWEZNQCLSA-N 0 0 426.495 -0.100 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCc2ccccc2S(=O)(=O)N(C)C)C1=O ZINC000499787374 1073222839 /nfs/dbraw/zinc/22/28/39/1073222839.db2.gz UAFZHMUMNGHXGV-CQSZACIVSA-N 0 0 426.495 -0.100 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC000499792681 1073222823 /nfs/dbraw/zinc/22/28/23/1073222823.db2.gz HELVFGNITVRWHQ-CABCVRRESA-N 0 0 440.497 -0.407 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC000499792687 1073222770 /nfs/dbraw/zinc/22/27/70/1073222770.db2.gz HELVFGNITVRWHQ-GJZGRUSLSA-N 0 0 440.497 -0.407 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC000499792689 1073222831 /nfs/dbraw/zinc/22/28/31/1073222831.db2.gz HELVFGNITVRWHQ-HUUCEWRRSA-N 0 0 440.497 -0.407 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC000499792691 1073222731 /nfs/dbraw/zinc/22/27/31/1073222731.db2.gz HELVFGNITVRWHQ-LSDHHAIUSA-N 0 0 440.497 -0.407 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC[C@H]3OC(F)F)CC2)CC1 ZINC000499793258 1073222782 /nfs/dbraw/zinc/22/27/82/1073222782.db2.gz QQVTWLYTSNGOAN-ZIAGYGMSSA-N 0 0 425.502 -0.034 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1=O ZINC000499793926 1073222643 /nfs/dbraw/zinc/22/26/43/1073222643.db2.gz GWXXYTRDLLFNNA-GOSISDBHSA-N 0 0 445.520 -0.206 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1=O ZINC000499793928 1073222722 /nfs/dbraw/zinc/22/27/22/1073222722.db2.gz GWXXYTRDLLFNNA-SFHVURJKSA-N 0 0 445.520 -0.206 20 0 IBADRN O=C(Nc1cccc2c1CNC2=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000499794238 1073222742 /nfs/dbraw/zinc/22/27/42/1073222742.db2.gz CKRQANHLTKGLBY-CYBMUJFWSA-N 0 0 436.490 -0.479 20 0 IBADRN O=C(Nc1cccc2c1CNC2=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000499794241 1073222764 /nfs/dbraw/zinc/22/27/64/1073222764.db2.gz CKRQANHLTKGLBY-ZDUSSCGKSA-N 0 0 436.490 -0.479 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)C1=O ZINC000499796772 1073222691 /nfs/dbraw/zinc/22/26/91/1073222691.db2.gz JWYIAALEJUJZPT-HNNXBMFYSA-N 0 0 426.495 -0.100 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)C1=O ZINC000499796773 1073222817 /nfs/dbraw/zinc/22/28/17/1073222817.db2.gz JWYIAALEJUJZPT-OAHLLOKOSA-N 0 0 426.495 -0.100 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1=O ZINC000499796898 1073222776 /nfs/dbraw/zinc/22/27/76/1073222776.db2.gz NIGQMUMHVCLZBY-HNNXBMFYSA-N 0 0 439.494 -0.739 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1=O ZINC000499796900 1073222661 /nfs/dbraw/zinc/22/26/61/1073222661.db2.gz NIGQMUMHVCLZBY-OAHLLOKOSA-N 0 0 439.494 -0.739 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)CC1 ZINC000499797255 1073223213 /nfs/dbraw/zinc/22/32/13/1073223213.db2.gz NPIPRPPXPQLSNL-INIZCTEOSA-N 0 0 425.530 -0.264 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)CC1 ZINC000499797263 1073223282 /nfs/dbraw/zinc/22/32/82/1073223282.db2.gz NPIPRPPXPQLSNL-MRXNPFEDSA-N 0 0 425.530 -0.264 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1=O ZINC000499797478 1073223314 /nfs/dbraw/zinc/22/33/14/1073223314.db2.gz KSQMTCQYCAWRMB-CABCVRRESA-N 0 0 444.496 -0.196 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1=O ZINC000499797482 1073223188 /nfs/dbraw/zinc/22/31/88/1073223188.db2.gz KSQMTCQYCAWRMB-GJZGRUSLSA-N 0 0 444.496 -0.196 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1=O ZINC000499797484 1073223277 /nfs/dbraw/zinc/22/32/77/1073223277.db2.gz KSQMTCQYCAWRMB-HUUCEWRRSA-N 0 0 444.496 -0.196 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1=O ZINC000499797485 1073223196 /nfs/dbraw/zinc/22/31/96/1073223196.db2.gz KSQMTCQYCAWRMB-LSDHHAIUSA-N 0 0 444.496 -0.196 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)ccc1F ZINC000499797645 1073222669 /nfs/dbraw/zinc/22/26/69/1073222669.db2.gz IRVBMXHVAQGIAH-UHFFFAOYSA-N 0 0 426.430 -0.716 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCCN2CCN(c3ncccn3)CC2)C1=O ZINC000499797857 1073222845 /nfs/dbraw/zinc/22/28/45/1073222845.db2.gz PCQAZORKQSZKNK-INIZCTEOSA-N 0 0 433.513 -0.548 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCCN2CCN(c3ncccn3)CC2)C1=O ZINC000499797916 1073222706 /nfs/dbraw/zinc/22/27/06/1073222706.db2.gz PCQAZORKQSZKNK-MRXNPFEDSA-N 0 0 433.513 -0.548 20 0 IBADRN O=C(Nc1cc2n(n1)CCCC2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000499798415 1073223265 /nfs/dbraw/zinc/22/32/65/1073223265.db2.gz JHWLSVKCKVJMQA-HNNXBMFYSA-N 0 0 425.511 -0.189 20 0 IBADRN O=C(Nc1cc2n(n1)CCCC2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000499798417 1073223273 /nfs/dbraw/zinc/22/32/73/1073223273.db2.gz JHWLSVKCKVJMQA-OAHLLOKOSA-N 0 0 425.511 -0.189 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000499799056 1073223180 /nfs/dbraw/zinc/22/31/80/1073223180.db2.gz REKXYQLRMHLXPU-HNNXBMFYSA-N 0 0 426.495 -0.052 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000499799057 1073223261 /nfs/dbraw/zinc/22/32/61/1073223261.db2.gz REKXYQLRMHLXPU-OAHLLOKOSA-N 0 0 426.495 -0.052 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)ccc1F ZINC000499799240 1073223247 /nfs/dbraw/zinc/22/32/47/1073223247.db2.gz KWMJLKALTFMBRC-UHFFFAOYSA-N 0 0 443.501 -0.577 20 0 IBADRN COCc1cnc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000499800669 1073223228 /nfs/dbraw/zinc/22/32/28/1073223228.db2.gz NNOLOFDFBAZHAO-CYBMUJFWSA-N 0 0 432.524 -0.119 20 0 IBADRN COCc1cnc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000499800677 1073223255 /nfs/dbraw/zinc/22/32/55/1073223255.db2.gz NNOLOFDFBAZHAO-ZDUSSCGKSA-N 0 0 432.524 -0.119 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)C1=O ZINC000499803051 1073223240 /nfs/dbraw/zinc/22/32/40/1073223240.db2.gz XZSZKHNWNWPVLY-DLBZAZTESA-N 0 0 437.541 -0.121 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)C1=O ZINC000499803059 1073223307 /nfs/dbraw/zinc/22/33/07/1073223307.db2.gz XZSZKHNWNWPVLY-IAGOWNOFSA-N 0 0 437.541 -0.121 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)C1=O ZINC000499803064 1073223223 /nfs/dbraw/zinc/22/32/23/1073223223.db2.gz XZSZKHNWNWPVLY-IRXDYDNUSA-N 0 0 437.541 -0.121 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)C1=O ZINC000499803065 1073223236 /nfs/dbraw/zinc/22/32/36/1073223236.db2.gz XZSZKHNWNWPVLY-SJORKVTESA-N 0 0 437.541 -0.121 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n(C)nc1C(F)(F)F ZINC000499803543 1073223653 /nfs/dbraw/zinc/22/36/53/1073223653.db2.gz BLUKMSKRLQRDIX-UHFFFAOYSA-N 0 0 446.430 -0.311 20 0 IBADRN COCc1csc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000499805902 1073223679 /nfs/dbraw/zinc/22/36/79/1073223679.db2.gz XIKJFMHINAVKAT-CYBMUJFWSA-N 0 0 432.524 -0.119 20 0 IBADRN COCc1csc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000499805903 1073223647 /nfs/dbraw/zinc/22/36/47/1073223647.db2.gz XIKJFMHINAVKAT-ZDUSSCGKSA-N 0 0 432.524 -0.119 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000499807078 1073223625 /nfs/dbraw/zinc/22/36/25/1073223625.db2.gz JPVCNDPNZSHOBY-ZDUSSCGKSA-N 0 0 426.495 -0.506 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000499807386 1073356536 /nfs/dbraw/zinc/35/65/36/1073356536.db2.gz AJPGHZZIHFDRPN-UHFFFAOYSA-N 0 0 433.494 -0.216 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)[C@H](C)O)cc1 ZINC000499807502 1073223637 /nfs/dbraw/zinc/22/36/37/1073223637.db2.gz KYSHFICZQCZOKI-DZKIICNBSA-N 0 0 448.567 -0.946 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000499807503 1073223631 /nfs/dbraw/zinc/22/36/31/1073223631.db2.gz KYSHFICZQCZOKI-FMKPAKJESA-N 0 0 448.567 -0.946 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)[C@H](C)O)cc1 ZINC000499807504 1073223752 /nfs/dbraw/zinc/22/37/52/1073223752.db2.gz KYSHFICZQCZOKI-LZWOXQAQSA-N 0 0 448.567 -0.946 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000499807505 1073223686 /nfs/dbraw/zinc/22/36/86/1073223686.db2.gz KYSHFICZQCZOKI-YCPHGPKFSA-N 0 0 448.567 -0.946 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)ccc1F ZINC000499808341 1073223662 /nfs/dbraw/zinc/22/36/62/1073223662.db2.gz NQWVEBVJAUNACV-JTQLQIEISA-N 0 0 436.487 -0.835 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000499808683 1073223658 /nfs/dbraw/zinc/22/36/58/1073223658.db2.gz OAWRZOLGTUBEPH-LBPRGKRZSA-N 0 0 447.535 -0.329 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000499808729 1073223673 /nfs/dbraw/zinc/22/36/73/1073223673.db2.gz OPLYTCYEUMGPAK-NSHDSACASA-N 0 0 433.508 -0.471 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000499809143 1073223665 /nfs/dbraw/zinc/22/36/65/1073223665.db2.gz PTSDDUZAUJFPMA-AWEZNQCLSA-N 0 0 441.506 -0.403 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000499809144 1073223727 /nfs/dbraw/zinc/22/37/27/1073223727.db2.gz PTSDDUZAUJFPMA-CQSZACIVSA-N 0 0 441.506 -0.403 20 0 IBADRN COC(=O)c1c(C)csc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000499809716 1073223718 /nfs/dbraw/zinc/22/37/18/1073223718.db2.gz RRLWEXVOHPLSNR-UHFFFAOYSA-N 0 0 438.506 -0.215 20 0 IBADRN O=C(CNC(=O)[C@H](Cc1cccnc1)NC(=O)c1ccccc1)NCCN1CCOCC1 ZINC000499810523 1073224081 /nfs/dbraw/zinc/22/40/81/1073224081.db2.gz AYJDMPJJBWHXEA-FQEVSTJZSA-N 0 0 439.516 -0.013 20 0 IBADRN O=C(CNC(=O)[C@@H](Cc1cccnc1)NC(=O)c1ccccc1)NCCN1CCOCC1 ZINC000499810530 1073224073 /nfs/dbraw/zinc/22/40/73/1073224073.db2.gz AYJDMPJJBWHXEA-HXUWFJFHSA-N 0 0 439.516 -0.013 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)CCN1S(C)(=O)=O ZINC000499810652 1073223613 /nfs/dbraw/zinc/22/36/13/1073223613.db2.gz UIALPIVACWUOTP-NSHDSACASA-N 0 0 440.453 -0.090 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2nc3c(s2)CSCC3)CC1)N1CCOCC1 ZINC000499810667 1073223640 /nfs/dbraw/zinc/22/36/40/1073223640.db2.gz UIXIQUNYRXJGNK-UHFFFAOYSA-N 0 0 439.563 -0.126 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000499810710 1073223643 /nfs/dbraw/zinc/22/36/43/1073223643.db2.gz UPIUFTOOEJBRPE-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN COC(=O)c1cc(F)c(Br)cc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000499813002 1073224055 /nfs/dbraw/zinc/22/40/55/1073224055.db2.gz GBOZFLPXAIYUMU-UHFFFAOYSA-N 0 0 445.245 -0.139 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)ccc1F ZINC000499814201 1073224101 /nfs/dbraw/zinc/22/41/01/1073224101.db2.gz IIOLTQSSMRQYAN-UHFFFAOYSA-N 0 0 440.432 -0.050 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)ccc1F ZINC000499815448 1073359553 /nfs/dbraw/zinc/35/95/53/1073359553.db2.gz STABMXIKSSVIBF-UHFFFAOYSA-N 0 0 443.486 -0.545 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc(S(C)(=O)=O)c1 ZINC000499817406 1073360178 /nfs/dbraw/zinc/36/01/78/1073360178.db2.gz WWNXZVAFNHMGGZ-UHFFFAOYSA-N 0 0 440.507 -0.180 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](CCSC)NS(=O)(=O)CC ZINC000499817738 1073224400 /nfs/dbraw/zinc/22/44/00/1073224400.db2.gz LJRRQCJVRAAJSK-CHWSQXEVSA-N 0 0 443.613 -0.801 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](CCSC)NS(=O)(=O)CC ZINC000499817739 1073224379 /nfs/dbraw/zinc/22/43/79/1073224379.db2.gz LJRRQCJVRAAJSK-OLZOCXBDSA-N 0 0 443.613 -0.801 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](CCSC)NS(=O)(=O)CC ZINC000499817740 1073224483 /nfs/dbraw/zinc/22/44/83/1073224483.db2.gz LJRRQCJVRAAJSK-QWHCGFSZSA-N 0 0 443.613 -0.801 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](CCSC)NS(=O)(=O)CC ZINC000499817741 1073224487 /nfs/dbraw/zinc/22/44/87/1073224487.db2.gz LJRRQCJVRAAJSK-STQMWFEESA-N 0 0 443.613 -0.801 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000499818011 1073224463 /nfs/dbraw/zinc/22/44/63/1073224463.db2.gz PKOJIQUTEGHJKC-HNNXBMFYSA-N 0 0 444.448 -0.147 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)CC1 ZINC000499818281 1073224458 /nfs/dbraw/zinc/22/44/58/1073224458.db2.gz HGOZMYMIFVTAQU-HNNXBMFYSA-N 0 0 440.497 -0.407 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)CC1 ZINC000499818282 1073224394 /nfs/dbraw/zinc/22/43/94/1073224394.db2.gz HGOZMYMIFVTAQU-OAHLLOKOSA-N 0 0 440.497 -0.407 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000499818452 1073224404 /nfs/dbraw/zinc/22/44/04/1073224404.db2.gz PKOJIQUTEGHJKC-OAHLLOKOSA-N 0 0 444.448 -0.147 20 0 IBADRN Cc1cccn(Cc2c(F)cccc2NC(=O)C(=O)NCCN2CCNC(=O)C2)c1=O ZINC000499831207 1073224412 /nfs/dbraw/zinc/22/44/12/1073224412.db2.gz FZGBTPNIONUVQX-UHFFFAOYSA-N 0 0 429.452 -0.169 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1Br ZINC000499833592 1073224951 /nfs/dbraw/zinc/22/49/51/1073224951.db2.gz MMIKNBUKCKVLKG-UHFFFAOYSA-N 0 0 426.271 -0.705 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1=O ZINC000499833902 1073224946 /nfs/dbraw/zinc/22/49/46/1073224946.db2.gz BGRHEMDSIMOSGC-CYBMUJFWSA-N 0 0 429.520 -0.036 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1=O ZINC000499833903 1073224739 /nfs/dbraw/zinc/22/47/39/1073224739.db2.gz BGRHEMDSIMOSGC-ZDUSSCGKSA-N 0 0 429.520 -0.036 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000499834184 1073224873 /nfs/dbraw/zinc/22/48/73/1073224873.db2.gz CEAGPPGMTUROCB-HNNXBMFYSA-N 0 0 447.579 -0.537 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000499834185 1073224912 /nfs/dbraw/zinc/22/49/12/1073224912.db2.gz CEAGPPGMTUROCB-OAHLLOKOSA-N 0 0 447.579 -0.537 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)ccc1F ZINC000499835827 1073224793 /nfs/dbraw/zinc/22/47/93/1073224793.db2.gz FABCCYCSLISWHK-AAEUAGOBSA-N 0 0 430.458 -0.576 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)ccc1F ZINC000499835828 1073224904 /nfs/dbraw/zinc/22/49/04/1073224904.db2.gz FABCCYCSLISWHK-DGCLKSJQSA-N 0 0 430.458 -0.576 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)ccc1F ZINC000499835829 1073224810 /nfs/dbraw/zinc/22/48/10/1073224810.db2.gz FABCCYCSLISWHK-WCQYABFASA-N 0 0 430.458 -0.576 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)ccc1F ZINC000499835830 1073224963 /nfs/dbraw/zinc/22/49/63/1073224963.db2.gz FABCCYCSLISWHK-YPMHNXCESA-N 0 0 430.458 -0.576 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2OCCOC)CC1=O ZINC000499837444 1073225274 /nfs/dbraw/zinc/22/52/74/1073225274.db2.gz LCLQJNBQCYRYSF-UHFFFAOYSA-N 0 0 427.479 -0.256 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H](CC(N)=O)C2CCOCC2)[C@H](C)O)cc1 ZINC000499840142 1073225299 /nfs/dbraw/zinc/22/52/99/1073225299.db2.gz ROCSXXUOKJORRP-OWQGQXMQSA-N 0 0 427.523 -0.190 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H](CC(N)=O)C2CCOCC2)[C@@H](C)O)cc1 ZINC000499840143 1073225377 /nfs/dbraw/zinc/22/53/77/1073225377.db2.gz ROCSXXUOKJORRP-QBIMZIAESA-N 0 0 427.523 -0.190 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H](CC(N)=O)C2CCOCC2)[C@@H](C)O)cc1 ZINC000499840144 1073225278 /nfs/dbraw/zinc/22/52/78/1073225278.db2.gz ROCSXXUOKJORRP-SKDZVZGDSA-N 0 0 427.523 -0.190 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H](CC(N)=O)C2CCOCC2)[C@H](C)O)cc1 ZINC000499840145 1073225290 /nfs/dbraw/zinc/22/52/90/1073225290.db2.gz ROCSXXUOKJORRP-XCRHUMRWSA-N 0 0 427.523 -0.190 20 0 IBADRN COC(=O)c1cc(Br)c(OC)cc1NC(=O)C(=O)NCCC(=O)NC(N)=O ZINC000499841625 1073225336 /nfs/dbraw/zinc/22/53/36/1073225336.db2.gz ULCRDTACLQAVEO-UHFFFAOYSA-N 0 0 445.226 -0.116 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)C[C@H](O)CN2CCOCC2)[C@H](C)O)cc1 ZINC000499841639 1073225396 /nfs/dbraw/zinc/22/53/96/1073225396.db2.gz UNAIBSSQNVEWRK-BQFCYCMXSA-N 0 0 429.539 -0.826 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)C[C@@H](O)CN2CCOCC2)[C@H](C)O)cc1 ZINC000499841654 1073225270 /nfs/dbraw/zinc/22/52/70/1073225270.db2.gz UNAIBSSQNVEWRK-JZXOWHBKSA-N 0 0 429.539 -0.826 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)C[C@@H](O)CN2CCOCC2)[C@@H](C)O)cc1 ZINC000499841658 1073225303 /nfs/dbraw/zinc/22/53/03/1073225303.db2.gz UNAIBSSQNVEWRK-NUJGCVRESA-N 0 0 429.539 -0.826 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)C[C@H](O)CN2CCOCC2)[C@@H](C)O)cc1 ZINC000499841661 1073225314 /nfs/dbraw/zinc/22/53/14/1073225314.db2.gz UNAIBSSQNVEWRK-RYRKJORJSA-N 0 0 429.539 -0.826 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)[C@@H](C)O)cc1 ZINC000499845666 1073225294 /nfs/dbraw/zinc/22/52/94/1073225294.db2.gz HZETYJHAICUAKR-COXVUDFISA-N 0 0 435.506 -0.322 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)[C@H](C)O)cc1 ZINC000499845667 1073225262 /nfs/dbraw/zinc/22/52/62/1073225262.db2.gz HZETYJHAICUAKR-JQFCIGGWSA-N 0 0 435.506 -0.322 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)[C@H](C)O)cc1 ZINC000499845668 1073225286 /nfs/dbraw/zinc/22/52/86/1073225286.db2.gz HZETYJHAICUAKR-XKQJLSEDSA-N 0 0 435.506 -0.322 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)[C@@H](C)O)cc1 ZINC000499845669 1073225269 /nfs/dbraw/zinc/22/52/69/1073225269.db2.gz HZETYJHAICUAKR-XYPHTWIQSA-N 0 0 435.506 -0.322 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCC2(C(=O)OC)CCOCC2)ccc1F ZINC000499845981 1073225325 /nfs/dbraw/zinc/22/53/25/1073225325.db2.gz OAVKZFGMPKQZNJ-UHFFFAOYSA-N 0 0 431.442 -0.242 20 0 IBADRN CCOc1ncccc1CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000499848095 1073225730 /nfs/dbraw/zinc/22/57/30/1073225730.db2.gz FXSMQTBQAVFWLI-UHFFFAOYSA-N 0 0 426.543 -0.200 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000499852029 1073225764 /nfs/dbraw/zinc/22/57/64/1073225764.db2.gz YXCRTWQTZNNWHP-UHFFFAOYSA-N 0 0 447.492 -0.091 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000499856958 1073225833 /nfs/dbraw/zinc/22/58/33/1073225833.db2.gz DSAYBEUUPBFMCI-HNNXBMFYSA-N 0 0 442.494 -0.103 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000499856963 1073225782 /nfs/dbraw/zinc/22/57/82/1073225782.db2.gz DSAYBEUUPBFMCI-OAHLLOKOSA-N 0 0 442.494 -0.103 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCS(=O)(=O)NCC2CCC2)[C@@H](C)O)cc1 ZINC000499858037 1073225840 /nfs/dbraw/zinc/22/58/40/1073225840.db2.gz BKFSQMVAWMNZQM-PBHICJAKSA-N 0 0 447.579 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCS(=O)(=O)NCC2CCC2)[C@@H](C)O)cc1 ZINC000499858047 1073225806 /nfs/dbraw/zinc/22/58/06/1073225806.db2.gz BKFSQMVAWMNZQM-RHSMWYFYSA-N 0 0 447.579 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCS(=O)(=O)NCC2CCC2)[C@H](C)O)cc1 ZINC000499858050 1073225722 /nfs/dbraw/zinc/22/57/22/1073225722.db2.gz BKFSQMVAWMNZQM-WMLDXEAASA-N 0 0 447.579 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCS(=O)(=O)NCC2CCC2)[C@H](C)O)cc1 ZINC000499858052 1073225836 /nfs/dbraw/zinc/22/58/36/1073225836.db2.gz BKFSQMVAWMNZQM-YOEHRIQHSA-N 0 0 447.579 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000499858726 1073225810 /nfs/dbraw/zinc/22/58/10/1073225810.db2.gz DURZPJGVRWMERZ-KRWDZBQOSA-N 0 0 444.579 -0.419 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@](C)(O)CN2CCOCC2)[C@@H](C)O)cc1 ZINC000499861754 1073225846 /nfs/dbraw/zinc/22/58/46/1073225846.db2.gz LOXDTJUJORRFTG-AYBZRNKSSA-N 0 0 429.539 -0.778 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@](C)(O)CN2CCOCC2)[C@@H](C)O)cc1 ZINC000499861760 1073225756 /nfs/dbraw/zinc/22/57/56/1073225756.db2.gz LOXDTJUJORRFTG-HHXXYDBFSA-N 0 0 429.539 -0.778 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@](C)(O)CN2CCOCC2)[C@H](C)O)cc1 ZINC000499861763 1073225770 /nfs/dbraw/zinc/22/57/70/1073225770.db2.gz LOXDTJUJORRFTG-IEZWGBDMSA-N 0 0 429.539 -0.778 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@](C)(O)CN2CCOCC2)[C@H](C)O)cc1 ZINC000499861765 1073226113 /nfs/dbraw/zinc/22/61/13/1073226113.db2.gz LOXDTJUJORRFTG-VDZJLULYSA-N 0 0 429.539 -0.778 20 0 IBADRN C[C@@](O)(CNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)CN1CCOCC1 ZINC000499863413 1073226241 /nfs/dbraw/zinc/22/62/41/1073226241.db2.gz PWCGKXIGRXFMEH-GOSISDBHSA-N 0 0 447.579 -0.489 20 0 IBADRN C[C@](O)(CNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)CN1CCOCC1 ZINC000499863414 1073226192 /nfs/dbraw/zinc/22/61/92/1073226192.db2.gz PWCGKXIGRXFMEH-SFHVURJKSA-N 0 0 447.579 -0.489 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccnc(OC3CCOCC3)c1)c(=O)n2C ZINC000499867600 1073226209 /nfs/dbraw/zinc/22/62/09/1073226209.db2.gz GNDMGFJNJDQUAN-UHFFFAOYSA-N 0 0 428.449 -0.297 20 0 IBADRN NC(=O)c1ccc(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000499871770 1073226143 /nfs/dbraw/zinc/22/61/43/1073226143.db2.gz PKUZJIMZSMPVTA-UHFFFAOYSA-N 0 0 433.494 -0.151 20 0 IBADRN CC[C@H](NC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)C(=O)N1CCOCC1 ZINC000499873390 1073226105 /nfs/dbraw/zinc/22/61/05/1073226105.db2.gz SUYCEWVHBDHWHE-BHYGNILZSA-N 0 0 427.523 -0.224 20 0 IBADRN CC[C@H](NC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)C(=O)N1CCOCC1 ZINC000499873391 1073226172 /nfs/dbraw/zinc/22/61/72/1073226172.db2.gz SUYCEWVHBDHWHE-HYVNUMGLSA-N 0 0 427.523 -0.224 20 0 IBADRN CC[C@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)C(=O)N1CCOCC1 ZINC000499873392 1073226234 /nfs/dbraw/zinc/22/62/34/1073226234.db2.gz SUYCEWVHBDHWHE-PVAVHDDUSA-N 0 0 427.523 -0.224 20 0 IBADRN CC[C@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)C(=O)N1CCOCC1 ZINC000499873393 1073226138 /nfs/dbraw/zinc/22/61/38/1073226138.db2.gz SUYCEWVHBDHWHE-XIRDDKMYSA-N 0 0 427.523 -0.224 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000499880442 1073226633 /nfs/dbraw/zinc/22/66/33/1073226633.db2.gz VJUGCKLKRXYDBC-GFCCVEGCSA-N 0 0 437.565 -0.538 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000499880446 1073226676 /nfs/dbraw/zinc/22/66/76/1073226676.db2.gz VJUGCKLKRXYDBC-LBPRGKRZSA-N 0 0 437.565 -0.538 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC2CCN(S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000499880762 1073226218 /nfs/dbraw/zinc/22/62/18/1073226218.db2.gz WLRMMSFPBDBGDY-PBHICJAKSA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCC2CCN(S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000499880763 1073226596 /nfs/dbraw/zinc/22/65/96/1073226596.db2.gz WLRMMSFPBDBGDY-RHSMWYFYSA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCC2CCN(S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000499880765 1073226619 /nfs/dbraw/zinc/22/66/19/1073226619.db2.gz WLRMMSFPBDBGDY-WMLDXEAASA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC2CCN(S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000499880766 1073226654 /nfs/dbraw/zinc/22/66/54/1073226654.db2.gz WLRMMSFPBDBGDY-YOEHRIQHSA-N 0 0 447.579 -0.189 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000499881067 1073226228 /nfs/dbraw/zinc/22/62/28/1073226228.db2.gz RFAQRBJCMIUWNB-UHFFFAOYSA-N 0 0 430.509 -0.106 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@H]2CCCN2S(C)(=O)=O)[C@@H](C)O)cc1 ZINC000499892142 1073226641 /nfs/dbraw/zinc/22/66/41/1073226641.db2.gz ZKPKVFSRBGXNOY-FMKPAKJESA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NC[C@H]2CCCN2S(C)(=O)=O)[C@@H](C)O)cc1 ZINC000499892143 1073226560 /nfs/dbraw/zinc/22/65/60/1073226560.db2.gz ZKPKVFSRBGXNOY-IIAWOOMASA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@H]2CCCN2S(C)(=O)=O)[C@H](C)O)cc1 ZINC000499892144 1073226590 /nfs/dbraw/zinc/22/65/90/1073226590.db2.gz ZKPKVFSRBGXNOY-LZWOXQAQSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NC[C@H]2CCCN2S(C)(=O)=O)[C@H](C)O)cc1 ZINC000499892145 1073226666 /nfs/dbraw/zinc/22/66/66/1073226666.db2.gz ZKPKVFSRBGXNOY-SQWLQELKSA-N 0 0 433.552 -0.437 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3ccc(C(N)=O)nc3)CC2)C[C@H](C)O1 ZINC000499895665 1073226603 /nfs/dbraw/zinc/22/66/03/1073226603.db2.gz MAFRGPANGJOAME-BETUJISGSA-N 0 0 426.499 -0.316 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3ccc(C(N)=O)nc3)CC2)C[C@@H](C)O1 ZINC000499895666 1073226648 /nfs/dbraw/zinc/22/66/48/1073226648.db2.gz MAFRGPANGJOAME-CHWSQXEVSA-N 0 0 426.499 -0.316 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3ccc(C(N)=O)nc3)CC2)C[C@H](C)O1 ZINC000499895667 1073226672 /nfs/dbraw/zinc/22/66/72/1073226672.db2.gz MAFRGPANGJOAME-STQMWFEESA-N 0 0 426.499 -0.316 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccnc(OC4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000499903304 1073226976 /nfs/dbraw/zinc/22/69/76/1073226976.db2.gz YLHWMVSXCIZENQ-UHFFFAOYSA-N 0 0 428.449 -0.297 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3cccc4c3CNC4=O)CC2)c(C(N)=O)c1C ZINC000499904465 1073227002 /nfs/dbraw/zinc/22/70/02/1073227002.db2.gz LXXWSHSFZKEPRU-UHFFFAOYSA-N 0 0 437.460 -0.277 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CSC[C@@H]1C(=O)NCC1CC1 ZINC000499905333 1073226986 /nfs/dbraw/zinc/22/69/86/1073226986.db2.gz NPOKXLYJJFBOKI-CYBMUJFWSA-N 0 0 440.503 -0.330 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CSC[C@H]1C(=O)NCC1CC1 ZINC000499905337 1073227022 /nfs/dbraw/zinc/22/70/22/1073227022.db2.gz NPOKXLYJJFBOKI-ZDUSSCGKSA-N 0 0 440.503 -0.330 20 0 IBADRN CC(C)CNC(=O)[C@H]1CSCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000499905760 1073226992 /nfs/dbraw/zinc/22/69/92/1073226992.db2.gz CKNCYXCBCMRIQN-CYBMUJFWSA-N 0 0 442.519 -0.084 20 0 IBADRN CC(C)CNC(=O)[C@@H]1CSCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000499905761 1073227052 /nfs/dbraw/zinc/22/70/52/1073227052.db2.gz CKNCYXCBCMRIQN-ZDUSSCGKSA-N 0 0 442.519 -0.084 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)cc1 ZINC000499912135 1073227460 /nfs/dbraw/zinc/22/74/60/1073227460.db2.gz DXAFKWQHKXNWGY-UHFFFAOYSA-N 0 0 435.506 -0.243 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000499915566 1073227037 /nfs/dbraw/zinc/22/70/37/1073227037.db2.gz ZLRJPYFKKNPOGQ-UHFFFAOYSA-N 0 0 432.525 -0.396 20 0 IBADRN COC(=O)CN(Cc1cc(OC)cc(OC)c1)C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000499917259 1073227015 /nfs/dbraw/zinc/22/70/15/1073227015.db2.gz UKKQCFJOEIIGTC-UHFFFAOYSA-N 0 0 440.478 -0.083 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCCN2C(=O)CNC2=O)cc1OCC ZINC000499927675 1073228001 /nfs/dbraw/zinc/22/80/01/1073228001.db2.gz YKGLFOHBERWCBN-UHFFFAOYSA-N 0 0 428.467 -0.570 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)NCCN1C(=O)CNC1=O ZINC000499934509 1073228049 /nfs/dbraw/zinc/22/80/49/1073228049.db2.gz SCFODYLCJLQEEL-UHFFFAOYSA-N 0 0 433.284 -0.215 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2ccc(C(=O)NCC(N)=O)cc2)[C@@H](C)O)cc1 ZINC000499937327 1073227985 /nfs/dbraw/zinc/22/79/85/1073227985.db2.gz ZYVYRXZDULPALR-ACJLOTCBSA-N 0 0 448.501 -0.123 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2ccc(C(=O)NCC(N)=O)cc2)[C@@H](C)O)cc1 ZINC000499937328 1073227895 /nfs/dbraw/zinc/22/78/95/1073227895.db2.gz ZYVYRXZDULPALR-FZKQIMNGSA-N 0 0 448.501 -0.123 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2ccc(C(=O)NCC(N)=O)cc2)[C@H](C)O)cc1 ZINC000499937330 1073227901 /nfs/dbraw/zinc/22/79/01/1073227901.db2.gz ZYVYRXZDULPALR-SCLBCKFNSA-N 0 0 448.501 -0.123 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2ccc(C(=O)NCC(N)=O)cc2)[C@H](C)O)cc1 ZINC000499937332 1073227878 /nfs/dbraw/zinc/22/78/78/1073227878.db2.gz ZYVYRXZDULPALR-UGSOOPFHSA-N 0 0 448.501 -0.123 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1C(=O)CNC1=O ZINC000499942252 1073228543 /nfs/dbraw/zinc/22/85/43/1073228543.db2.gz BIBGTFGHUQKCGI-UHFFFAOYSA-N 0 0 426.451 -0.816 20 0 IBADRN C[C@@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000499942334 1073228360 /nfs/dbraw/zinc/22/83/60/1073228360.db2.gz CGFOTWUVVUXENC-CHWSQXEVSA-N 0 0 426.495 -0.236 20 0 IBADRN C[C@@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000499942335 1073228564 /nfs/dbraw/zinc/22/85/64/1073228564.db2.gz CGFOTWUVVUXENC-OLZOCXBDSA-N 0 0 426.495 -0.236 20 0 IBADRN C[C@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000499942336 1073228373 /nfs/dbraw/zinc/22/83/73/1073228373.db2.gz CGFOTWUVVUXENC-QWHCGFSZSA-N 0 0 426.495 -0.236 20 0 IBADRN C[C@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000499942337 1073228535 /nfs/dbraw/zinc/22/85/35/1073228535.db2.gz CGFOTWUVVUXENC-STQMWFEESA-N 0 0 426.495 -0.236 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)NCCN3C(=O)CNC3=O)CC2)c1 ZINC000499942776 1073228847 /nfs/dbraw/zinc/22/88/47/1073228847.db2.gz GYZGWQJNFWEFQR-UHFFFAOYSA-N 0 0 436.490 -0.042 20 0 IBADRN Cc1c(CC(=O)NCCN(CCN2CCOCC2)C(=O)Cc2cnn(C)c2C)cnn1C ZINC000499942862 1073228973 /nfs/dbraw/zinc/22/89/73/1073228973.db2.gz BWQOHWDDKSSCQF-UHFFFAOYSA-N 0 0 445.568 -0.167 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCN1C(=O)CNC1=O ZINC000499943176 1073228838 /nfs/dbraw/zinc/22/88/38/1073228838.db2.gz HNEYZYRUKKBXIW-NSHDSACASA-N 0 0 426.451 -0.817 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCCN2C(=O)CNC2=O)cc1Br ZINC000499944052 1073228905 /nfs/dbraw/zinc/22/89/05/1073228905.db2.gz KMOHFYVWGYIJAO-UHFFFAOYSA-N 0 0 433.284 -0.019 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1C(=O)CNC1=O ZINC000499944445 1073228791 /nfs/dbraw/zinc/22/87/91/1073228791.db2.gz LQMNSQBWQIYCRU-UHFFFAOYSA-N 0 0 440.478 -0.426 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NCCN1C(=O)CNC1=O ZINC000499946782 1073229368 /nfs/dbraw/zinc/22/93/68/1073229368.db2.gz IJQDDGHPXYVKEI-UHFFFAOYSA-N 0 0 438.384 -0.078 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)NCCN1C(=O)CNC1=O ZINC000499947419 1073229251 /nfs/dbraw/zinc/22/92/51/1073229251.db2.gz UBZWNULAFCRCNS-UHFFFAOYSA-N 0 0 433.284 -0.215 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCN3C(=O)CNC3=O)CC2)cc1 ZINC000499949537 1073229299 /nfs/dbraw/zinc/22/92/99/1073229299.db2.gz XVEGYYABFZGRNW-UHFFFAOYSA-N 0 0 436.490 -0.042 20 0 IBADRN COc1cnc(C(=O)NCCN(CCN2CCOCC2)C(=O)c2ncc(OC)cn2)nc1 ZINC000499950470 1072290670 /nfs/dbraw/zinc/29/06/70/1072290670.db2.gz YZWXBUKWQUNWFG-UHFFFAOYSA-N 0 0 445.480 -0.512 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1 ZINC000499952182 1073229738 /nfs/dbraw/zinc/22/97/38/1073229738.db2.gz QFIZVWXIPUBLQS-GFCCVEGCSA-N 0 0 427.458 -0.037 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1 ZINC000499952230 1073229856 /nfs/dbraw/zinc/22/98/56/1073229856.db2.gz QFIZVWXIPUBLQS-LBPRGKRZSA-N 0 0 427.458 -0.037 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000499952655 1073229918 /nfs/dbraw/zinc/22/99/18/1073229918.db2.gz GQBSUZMRKGKGNU-UHFFFAOYSA-N 0 0 439.494 -0.945 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)ccc1F ZINC000499953006 1073229911 /nfs/dbraw/zinc/22/99/11/1073229911.db2.gz SFLNLRHRXYQNGI-LLVKDONJSA-N 0 0 436.487 -0.706 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)ccc1F ZINC000499953007 1073229823 /nfs/dbraw/zinc/22/98/23/1073229823.db2.gz SFLNLRHRXYQNGI-NSHDSACASA-N 0 0 436.487 -0.706 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C)C(=O)[C@H]1C ZINC000499955159 1073229356 /nfs/dbraw/zinc/22/93/56/1073229356.db2.gz LEPIAALNTAXQMF-GFCCVEGCSA-N 0 0 427.479 -0.257 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C)C(=O)[C@@H]1C ZINC000499955164 1073229847 /nfs/dbraw/zinc/22/98/47/1073229847.db2.gz LEPIAALNTAXQMF-LBPRGKRZSA-N 0 0 427.479 -0.257 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCN1C(=O)CNC1=O ZINC000499962352 1073230627 /nfs/dbraw/zinc/23/06/27/1073230627.db2.gz YJMQJUOYZFLKEK-INIZCTEOSA-N 0 0 440.478 -0.571 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NCCN1C(=O)CNC1=O ZINC000499962434 1073230791 /nfs/dbraw/zinc/23/07/91/1073230791.db2.gz ZJWDVFMLSNTLIV-UHFFFAOYSA-N 0 0 425.286 -0.543 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCN1C(=O)CNC1=O ZINC000499962988 1073230642 /nfs/dbraw/zinc/23/06/42/1073230642.db2.gz ZIIJOCSQSSVIOK-GFCCVEGCSA-N 0 0 432.499 -0.106 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCN1C(=O)CNC1=O ZINC000499962989 1073230651 /nfs/dbraw/zinc/23/06/51/1073230651.db2.gz ZIIJOCSQSSVIOK-LBPRGKRZSA-N 0 0 432.499 -0.106 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N(C)Cc1ccccc1 ZINC000499970202 1073230748 /nfs/dbraw/zinc/23/07/48/1073230748.db2.gz DICLNRNOYCSLIU-UHFFFAOYSA-N 0 0 448.501 -0.071 20 0 IBADRN CN(CC(=O)NCCN1C(=O)CNC1=O)S(=O)(=O)c1ccc(Br)cc1 ZINC000499970399 1073230988 /nfs/dbraw/zinc/23/09/88/1073230988.db2.gz SOOKSUFBRUDYDF-UHFFFAOYSA-N 0 0 433.284 -0.262 20 0 IBADRN CCCn1c(CCC(=O)NCCN2C(=O)CNC2=O)nc2cc(S(N)(=O)=O)ccc21 ZINC000499971604 1073230959 /nfs/dbraw/zinc/23/09/59/1073230959.db2.gz XUXMZKFDTKFYQI-UHFFFAOYSA-N 0 0 436.494 -0.306 20 0 IBADRN C[C@H](NC(=O)CCC(=O)N(CC(N)=O)CC(N)=O)C(=O)c1ccc(Br)cc1 ZINC000499971893 1073230925 /nfs/dbraw/zinc/23/09/25/1073230925.db2.gz KLVSDEUYOLIPND-JTQLQIEISA-N 0 0 441.282 -0.284 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)N(CC(N)=O)CC(N)=O)C(=O)c1ccc(Br)cc1 ZINC000499971894 1073231019 /nfs/dbraw/zinc/23/10/19/1073231019.db2.gz KLVSDEUYOLIPND-SNVBAGLBSA-N 0 0 441.282 -0.284 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000499973404 1073231598 /nfs/dbraw/zinc/23/15/98/1073231598.db2.gz BWCJTYGUXVRPLR-AWEZNQCLSA-N 0 0 429.568 -0.110 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000499973408 1073231467 /nfs/dbraw/zinc/23/14/67/1073231467.db2.gz BWCJTYGUXVRPLR-CQSZACIVSA-N 0 0 429.568 -0.110 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)c1 ZINC000499973491 1073231009 /nfs/dbraw/zinc/23/10/09/1073231009.db2.gz OLNMRDUTAWIDPJ-FIXISWKDSA-N 0 0 426.495 -0.687 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H](NC(=O)C2CC2)[C@H](C)O)c1 ZINC000499973497 1073231063 /nfs/dbraw/zinc/23/10/63/1073231063.db2.gz OLNMRDUTAWIDPJ-PGUXBMHVSA-N 0 0 426.495 -0.687 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H](NC(=O)C2CC2)[C@@H](C)O)c1 ZINC000499973499 1073231444 /nfs/dbraw/zinc/23/14/44/1073231444.db2.gz OLNMRDUTAWIDPJ-RWSFTLGLSA-N 0 0 426.495 -0.687 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)c1 ZINC000499973502 1073231450 /nfs/dbraw/zinc/23/14/50/1073231450.db2.gz OLNMRDUTAWIDPJ-ZIBATOQPSA-N 0 0 426.495 -0.687 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCN2C(=O)CNC2=O)o1 ZINC000499974031 1073230948 /nfs/dbraw/zinc/23/09/48/1073230948.db2.gz QKROTEHAVYJQCM-LLVKDONJSA-N 0 0 442.450 -0.723 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCN2C(=O)CNC2=O)o1 ZINC000499974032 1073231146 /nfs/dbraw/zinc/23/11/46/1073231146.db2.gz QKROTEHAVYJQCM-NSHDSACASA-N 0 0 442.450 -0.723 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)c1cccc(S(=O)(=O)N2CCc3sccc3C2)c1 ZINC000499974298 1073231129 /nfs/dbraw/zinc/23/11/29/1073231129.db2.gz DRAOWBLGXMOCMU-UHFFFAOYSA-N 0 0 436.515 -0.092 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N[C@@H]1CCCC[C@@H]1C ZINC000499979839 1073231509 /nfs/dbraw/zinc/23/15/09/1073231509.db2.gz DWKMRQOTQKHGNL-GXTWGEPZSA-N 0 0 440.522 -0.035 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N[C@H]1CCCC[C@@H]1C ZINC000499979848 1073231484 /nfs/dbraw/zinc/23/14/84/1073231484.db2.gz DWKMRQOTQKHGNL-JSGCOSHPSA-N 0 0 440.522 -0.035 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N[C@H]1CCCC[C@H]1C ZINC000499979851 1073231397 /nfs/dbraw/zinc/23/13/97/1073231397.db2.gz DWKMRQOTQKHGNL-OCCSQVGLSA-N 0 0 440.522 -0.035 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N[C@@H]1CCCC[C@H]1C ZINC000499979855 1073231458 /nfs/dbraw/zinc/23/14/58/1073231458.db2.gz DWKMRQOTQKHGNL-TZMCWYRMSA-N 0 0 440.522 -0.035 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](CS(N)(=O)=O)C2)[C@H](C)O)cc1 ZINC000499981378 1073231476 /nfs/dbraw/zinc/23/14/76/1073231476.db2.gz IKQRMFZETNLEAM-DZKIICNBSA-N 0 0 433.552 -0.450 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000499981386 1073231581 /nfs/dbraw/zinc/23/15/81/1073231581.db2.gz IKQRMFZETNLEAM-FMKPAKJESA-N 0 0 433.552 -0.450 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)[C@H](C)O)cc1 ZINC000499981389 1073231410 /nfs/dbraw/zinc/23/14/10/1073231410.db2.gz IKQRMFZETNLEAM-LZWOXQAQSA-N 0 0 433.552 -0.450 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](CS(N)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000499981390 1073231570 /nfs/dbraw/zinc/23/15/70/1073231570.db2.gz IKQRMFZETNLEAM-YCPHGPKFSA-N 0 0 433.552 -0.450 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC2CCN(CC(=O)N(C)C)CC2)[C@@H](C)O)cc1 ZINC000499983732 1073231606 /nfs/dbraw/zinc/23/16/06/1073231606.db2.gz ZZZIAABBRKYULQ-BEFAXECRSA-N 0 0 440.566 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NC2CCN(CC(=O)N(C)C)CC2)[C@@H](C)O)cc1 ZINC000499983734 1073231492 /nfs/dbraw/zinc/23/14/92/1073231492.db2.gz ZZZIAABBRKYULQ-DNVCBOLYSA-N 0 0 440.566 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NC2CCN(CC(=O)N(C)C)CC2)[C@H](C)O)cc1 ZINC000499983736 1073231516 /nfs/dbraw/zinc/23/15/16/1073231516.db2.gz ZZZIAABBRKYULQ-HNAYVOBHSA-N 0 0 440.566 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC2CCN(CC(=O)N(C)C)CC2)[C@H](C)O)cc1 ZINC000499983738 1073231891 /nfs/dbraw/zinc/23/18/91/1073231891.db2.gz ZZZIAABBRKYULQ-KXBFYZLASA-N 0 0 440.566 -0.308 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCc2ccc(C(=O)N(CC(N)=O)CC(N)=O)cc2)cc1 ZINC000499984419 1073231963 /nfs/dbraw/zinc/23/19/63/1073231963.db2.gz UNQBBFZVWXWHFQ-UHFFFAOYSA-N 0 0 446.485 -0.220 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1Cc1ccccc1 ZINC000499984587 1073231955 /nfs/dbraw/zinc/23/19/55/1073231955.db2.gz VXRFPUFIZRFBMN-FQEVSTJZSA-N 0 0 437.456 -0.701 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1Cc1ccccc1 ZINC000499984588 1073231845 /nfs/dbraw/zinc/23/18/45/1073231845.db2.gz VXRFPUFIZRFBMN-HXUWFJFHSA-N 0 0 437.456 -0.701 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)CC[C@H]1NC(=O)N(c2ccc(Cl)c(Cl)c2)C1=O ZINC000499987923 1073231915 /nfs/dbraw/zinc/23/19/15/1073231915.db2.gz CSZKJFNMPVATQA-LLVKDONJSA-N 0 0 430.248 -0.002 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)CC[C@@H]1NC(=O)N(c2ccc(Cl)c(Cl)c2)C1=O ZINC000499987924 1073232021 /nfs/dbraw/zinc/23/20/21/1073232021.db2.gz CSZKJFNMPVATQA-NSHDSACASA-N 0 0 430.248 -0.002 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000499991552 1073232254 /nfs/dbraw/zinc/23/22/54/1073232254.db2.gz JDRIQASIFQXFLZ-GDBMZVCRSA-N 0 0 435.568 -0.189 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000499991554 1073232442 /nfs/dbraw/zinc/23/24/42/1073232442.db2.gz JDRIQASIFQXFLZ-GOEBONIOSA-N 0 0 435.568 -0.189 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000499991557 1073232475 /nfs/dbraw/zinc/23/24/75/1073232475.db2.gz JDRIQASIFQXFLZ-HOCLYGCPSA-N 0 0 435.568 -0.189 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000499991559 1073232232 /nfs/dbraw/zinc/23/22/32/1073232232.db2.gz JDRIQASIFQXFLZ-ZBFHGGJFSA-N 0 0 435.568 -0.189 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)c1cccc(S(=O)(=O)N2CCc3ccccc3C2)c1 ZINC000499992600 1073232294 /nfs/dbraw/zinc/23/22/94/1073232294.db2.gz OQIDATYUAHEIFK-UHFFFAOYSA-N 0 0 430.486 -0.154 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)[C@@H](NC(=O)C3CC3)[C@@H](C)O)CC2)cc1 ZINC000499993182 1073232246 /nfs/dbraw/zinc/23/22/46/1073232246.db2.gz SKOGKVCSMPQEEJ-BEFAXECRSA-N 0 0 432.521 -0.155 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)[C@H](NC(=O)C3CC3)[C@@H](C)O)CC2)cc1 ZINC000499993183 1073232468 /nfs/dbraw/zinc/23/24/68/1073232468.db2.gz SKOGKVCSMPQEEJ-DNVCBOLYSA-N 0 0 432.521 -0.155 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)[C@H](NC(=O)C3CC3)[C@H](C)O)CC2)cc1 ZINC000499993184 1073232433 /nfs/dbraw/zinc/23/24/33/1073232433.db2.gz SKOGKVCSMPQEEJ-HNAYVOBHSA-N 0 0 432.521 -0.155 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)[C@@H](NC(=O)C3CC3)[C@H](C)O)CC2)cc1 ZINC000499993185 1073232390 /nfs/dbraw/zinc/23/23/90/1073232390.db2.gz SKOGKVCSMPQEEJ-KXBFYZLASA-N 0 0 432.521 -0.155 20 0 IBADRN COc1ccc(Cn2ncc3cc(C(=O)N(CC(N)=O)CC(N)=O)cnc32)c(OC)c1 ZINC000499998895 1073232873 /nfs/dbraw/zinc/23/28/73/1073232873.db2.gz HIACLABDKBSIPC-UHFFFAOYSA-N 0 0 426.433 -0.090 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000500001555 1071364104 /nfs/dbraw/zinc/36/41/04/1071364104.db2.gz KNGMXMCVUDDEIX-BBRMVZONSA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000500001557 1071364259 /nfs/dbraw/zinc/36/42/59/1071364259.db2.gz KNGMXMCVUDDEIX-CJNGLKHVSA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000500001559 1071364206 /nfs/dbraw/zinc/36/42/06/1071364206.db2.gz KNGMXMCVUDDEIX-CZUORRHYSA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000500001561 1071364094 /nfs/dbraw/zinc/36/40/94/1071364094.db2.gz KNGMXMCVUDDEIX-XJKSGUPXSA-N 0 0 433.552 -0.437 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)c1csc(S(=O)(=O)N2CCc3ccccc3C2)c1 ZINC000500010404 1071364020 /nfs/dbraw/zinc/36/40/20/1071364020.db2.gz XJXGRGCESGMCJX-UHFFFAOYSA-N 0 0 436.515 -0.092 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H](Cc2cccnc2)NC(=O)c2ccccc2)CC1 ZINC000500013623 1071363973 /nfs/dbraw/zinc/36/39/73/1071363973.db2.gz YVXMRNJFHOUGJI-IBGZPJMESA-N 0 0 448.487 -0.220 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H](Cc2cccnc2)NC(=O)c2ccccc2)CC1 ZINC000500013627 1071364158 /nfs/dbraw/zinc/36/41/58/1071364158.db2.gz YVXMRNJFHOUGJI-LJQANCHMSA-N 0 0 448.487 -0.220 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)C(=O)Nc2cc3n(n2)CCCC3)c1 ZINC000500020732 1071365019 /nfs/dbraw/zinc/36/50/19/1071365019.db2.gz SCUSZMNXVJKCSW-UHFFFAOYSA-N 0 0 434.478 -0.179 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500022194 1071364810 /nfs/dbraw/zinc/36/48/10/1071364810.db2.gz MDKWHTIHOJVCTE-DLBZAZTESA-N 0 0 430.505 -0.188 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500022195 1071364843 /nfs/dbraw/zinc/36/48/43/1071364843.db2.gz MDKWHTIHOJVCTE-IAGOWNOFSA-N 0 0 430.505 -0.188 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500022196 1071364873 /nfs/dbraw/zinc/36/48/73/1071364873.db2.gz MDKWHTIHOJVCTE-IRXDYDNUSA-N 0 0 430.505 -0.188 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500022197 1071364791 /nfs/dbraw/zinc/36/47/91/1071364791.db2.gz MDKWHTIHOJVCTE-SJORKVTESA-N 0 0 430.505 -0.188 20 0 IBADRN COC(=O)c1cc(Br)c(OC)cc1NC(=O)C(=O)NCC(=O)NCCO ZINC000500022645 1071365065 /nfs/dbraw/zinc/36/50/65/1071365065.db2.gz OANPWLNULDQXJZ-UHFFFAOYSA-N 0 0 432.227 -0.593 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N(C)CCN1CCOCC1 ZINC000500024384 1071364754 /nfs/dbraw/zinc/36/47/54/1071364754.db2.gz VHHQSCZNNOBHAX-UHFFFAOYSA-N 0 0 443.522 -0.156 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)[C@H](C)O)cc1 ZINC000500026443 1071365605 /nfs/dbraw/zinc/36/56/05/1071365605.db2.gz AGAMOXDBFCWOIN-DZKIICNBSA-N 0 0 433.552 -0.485 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)[C@@H](C)O)cc1 ZINC000500026444 1071365711 /nfs/dbraw/zinc/36/57/11/1071365711.db2.gz AGAMOXDBFCWOIN-LZWOXQAQSA-N 0 0 433.552 -0.485 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)[C@H](C)O)cc1 ZINC000500026445 1071365763 /nfs/dbraw/zinc/36/57/63/1071365763.db2.gz AGAMOXDBFCWOIN-OFQRWUPVSA-N 0 0 433.552 -0.485 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)[C@@H](C)O)cc1 ZINC000500026446 1071365587 /nfs/dbraw/zinc/36/55/87/1071365587.db2.gz AGAMOXDBFCWOIN-SQWLQELKSA-N 0 0 433.552 -0.485 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)[C@H](C)O)cc1 ZINC000500026899 1071364711 /nfs/dbraw/zinc/36/47/11/1071364711.db2.gz BYSQYRPIHQACJE-DIECFANBSA-N 0 0 427.523 -0.225 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)[C@@H](C)O)cc1 ZINC000500026900 1071365006 /nfs/dbraw/zinc/36/50/06/1071365006.db2.gz BYSQYRPIHQACJE-OHFALNGGSA-N 0 0 427.523 -0.225 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)[C@H](C)O)cc1 ZINC000500026901 1071364860 /nfs/dbraw/zinc/36/48/60/1071364860.db2.gz BYSQYRPIHQACJE-OTRWWLKZSA-N 0 0 427.523 -0.225 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)[C@@H](C)O)cc1 ZINC000500026902 1071364986 /nfs/dbraw/zinc/36/49/86/1071364986.db2.gz BYSQYRPIHQACJE-WTTBNOFXSA-N 0 0 427.523 -0.225 20 0 IBADRN CCOC(=O)c1ccc(N2CCCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)nc1 ZINC000500026911 1071365049 /nfs/dbraw/zinc/36/50/49/1071365049.db2.gz CEWUAOMSRZAJPU-UHFFFAOYSA-N 0 0 446.551 -0.254 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)ccn1 ZINC000500028287 1071364907 /nfs/dbraw/zinc/36/49/07/1071364907.db2.gz HQPCFWVFQJNWQZ-UHFFFAOYSA-N 0 0 432.525 -0.275 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1S(=O)(=O)NCCN1C(=O)CNC1=O ZINC000500029070 1071364723 /nfs/dbraw/zinc/36/47/23/1071364723.db2.gz JIFQQCCEGQSUGM-UHFFFAOYSA-N 0 0 444.535 0.000 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1 ZINC000500030819 1071364771 /nfs/dbraw/zinc/36/47/71/1071364771.db2.gz QLCICFUVOORSOT-BMFZPTHFSA-N 0 0 433.552 -0.437 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1 ZINC000500030820 1071365082 /nfs/dbraw/zinc/36/50/82/1071365082.db2.gz QLCICFUVOORSOT-FVQBIDKESA-N 0 0 433.552 -0.437 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1 ZINC000500030821 1071364740 /nfs/dbraw/zinc/36/47/40/1071364740.db2.gz QLCICFUVOORSOT-IMJJTQAJSA-N 0 0 433.552 -0.437 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1 ZINC000500030822 1071365554 /nfs/dbraw/zinc/36/55/54/1071365554.db2.gz QLCICFUVOORSOT-NUEKZKHPSA-N 0 0 433.552 -0.437 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)N1CCOCC1 ZINC000500032011 1071365680 /nfs/dbraw/zinc/36/56/80/1071365680.db2.gz BYNLMQSFAIOJSO-AWEZNQCLSA-N 0 0 431.536 -0.003 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)N1CCOCC1 ZINC000500032017 1071365521 /nfs/dbraw/zinc/36/55/21/1071365521.db2.gz BYNLMQSFAIOJSO-CQSZACIVSA-N 0 0 431.536 -0.003 20 0 IBADRN O=S(=O)(NCCNS(=O)(=O)N1CCS(=O)(=O)CC1)c1cccc(Cl)c1 ZINC000500032925 1071365798 /nfs/dbraw/zinc/36/57/98/1071365798.db2.gz WSSFRIVCXTWRQH-UHFFFAOYSA-N 0 0 431.945 -0.817 20 0 IBADRN CN(C(=O)C(=O)Nc1cc2n(n1)CCCC2)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000500034057 1073353154 /nfs/dbraw/zinc/35/31/54/1073353154.db2.gz ZYQQDCUXZXHDJJ-UHFFFAOYSA-N 0 0 445.568 -0.145 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)ccc1F ZINC000500034181 1071365541 /nfs/dbraw/zinc/36/55/41/1071365541.db2.gz MGGOYBWIFWSITP-UHFFFAOYSA-N 0 0 436.487 -0.801 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2)nn1 ZINC000500034374 1071365817 /nfs/dbraw/zinc/36/58/17/1071365817.db2.gz GQSXJJMGXDLNRI-JTQLQIEISA-N 0 0 425.471 -0.835 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2)nn1 ZINC000500034377 1071365692 /nfs/dbraw/zinc/36/56/92/1071365692.db2.gz GQSXJJMGXDLNRI-SNVBAGLBSA-N 0 0 425.471 -0.835 20 0 IBADRN CN(C(=O)CN1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1)C1CCCCC1 ZINC000500034432 1071365503 /nfs/dbraw/zinc/36/55/03/1071365503.db2.gz GZDPFNQCFVWLRP-UHFFFAOYSA-N 0 0 436.600 -0.630 20 0 IBADRN CNC(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000500036110 1071365727 /nfs/dbraw/zinc/36/57/27/1071365727.db2.gz QNZAJNAZFXDDMY-UHFFFAOYSA-N 0 0 440.522 -0.590 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3ccccc3S(=O)(=O)N3CCOCC3)C2)nn1 ZINC000500037687 1071365748 /nfs/dbraw/zinc/36/57/48/1071365748.db2.gz UQFMVYRFLHRIQA-UHFFFAOYSA-N 0 0 435.462 -0.217 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)nn1 ZINC000500040824 1071365632 /nfs/dbraw/zinc/36/56/32/1071365632.db2.gz TYYBNFRSUKYQRJ-LLVKDONJSA-N 0 0 448.461 -0.852 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)nn1 ZINC000500040828 1071366296 /nfs/dbraw/zinc/36/62/96/1071366296.db2.gz TYYBNFRSUKYQRJ-NSHDSACASA-N 0 0 448.461 -0.852 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000500042658 1071366250 /nfs/dbraw/zinc/36/62/50/1071366250.db2.gz KWWHXBQHCQEIGJ-INIZCTEOSA-N 0 0 442.472 -0.240 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000500042662 1071366308 /nfs/dbraw/zinc/36/63/08/1071366308.db2.gz KWWHXBQHCQEIGJ-MRXNPFEDSA-N 0 0 442.472 -0.240 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000500044395 1071366239 /nfs/dbraw/zinc/36/62/39/1071366239.db2.gz QUNDOGHLMVIGLW-AWEZNQCLSA-N 0 0 434.584 -0.659 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000500044396 1071366146 /nfs/dbraw/zinc/36/61/46/1071366146.db2.gz QUNDOGHLMVIGLW-CQSZACIVSA-N 0 0 434.584 -0.659 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)c1cnccn1 ZINC000500045858 1071366117 /nfs/dbraw/zinc/36/61/17/1071366117.db2.gz AMWMLFGICAGBRZ-UHFFFAOYSA-N 0 0 439.519 -0.031 20 0 IBADRN COCCN(CC(=O)OC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000500046444 1071366123 /nfs/dbraw/zinc/36/61/23/1071366123.db2.gz DGFMRZAVLHUYAA-UHFFFAOYSA-N 0 0 436.508 -0.482 20 0 IBADRN O=C(C1CCCCC1)N1CCN(CCNS(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500051796 1071366199 /nfs/dbraw/zinc/36/61/99/1071366199.db2.gz RGJNPWMIMOXCSD-UHFFFAOYSA-N 0 0 436.600 -0.724 20 0 IBADRN CN(CC(=O)N1CCOCC1)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500053320 1071366231 /nfs/dbraw/zinc/36/62/31/1071366231.db2.gz UYVZPVYDYCSBTM-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN O=C1[C@@H](N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)CCN1c1ccccc1 ZINC000500054385 1071366257 /nfs/dbraw/zinc/36/62/57/1071366257.db2.gz MEEQTNKYJFIMPD-KRWDZBQOSA-N 0 0 442.563 -0.615 20 0 IBADRN O=C1[C@H](N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)CCN1c1ccccc1 ZINC000500054386 1071366182 /nfs/dbraw/zinc/36/61/82/1071366182.db2.gz MEEQTNKYJFIMPD-QGZVFWFLSA-N 0 0 442.563 -0.615 20 0 IBADRN COCCN(CC(=O)OC)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000500055875 1071366137 /nfs/dbraw/zinc/36/61/37/1071366137.db2.gz PVSONJWLHPLZDX-KRWDZBQOSA-N 0 0 429.451 -0.440 20 0 IBADRN COCCN(CC(=O)OC)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000500055876 1071366129 /nfs/dbraw/zinc/36/61/29/1071366129.db2.gz PVSONJWLHPLZDX-QGZVFWFLSA-N 0 0 429.451 -0.440 20 0 IBADRN Cc1cc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1S(C)(=O)=O ZINC000500055981 1071366190 /nfs/dbraw/zinc/36/61/90/1071366190.db2.gz WOXJVDFVQZNLRA-UHFFFAOYSA-N 0 0 438.506 -0.067 20 0 IBADRN COc1cc2c(cc1OC)CN(CCNS(=O)(=O)N1CCS(=O)(=O)CC1)CC2 ZINC000500056521 1071366211 /nfs/dbraw/zinc/36/62/11/1071366211.db2.gz CYOOXWCUCVGEKJ-UHFFFAOYSA-N 0 0 433.552 -0.373 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)cc1 ZINC000500056786 1071366154 /nfs/dbraw/zinc/36/61/54/1071366154.db2.gz RIQGWKOFDIKGQJ-UHFFFAOYSA-N 0 0 431.536 -0.507 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)cc1OC ZINC000500057573 1071366831 /nfs/dbraw/zinc/36/68/31/1071366831.db2.gz HDCAGIZJPOHGES-UHFFFAOYSA-N 0 0 447.535 -0.563 20 0 IBADRN O=C(NCCNS(=O)(=O)N1CCS(=O)(=O)CC1)c1cccc(Br)c1 ZINC000500057873 1071366225 /nfs/dbraw/zinc/36/62/25/1071366225.db2.gz WMZZUBIECCRADN-UHFFFAOYSA-N 0 0 440.341 -0.256 20 0 IBADRN COC(=O)c1ccc(N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)[C@@H](C)C2)cc1 ZINC000500058285 1071366720 /nfs/dbraw/zinc/36/67/20/1071366720.db2.gz JCTVJIIKGHFSMV-AWEZNQCLSA-N 0 0 431.536 -0.041 20 0 IBADRN COC(=O)c1ccc(N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)[C@H](C)C2)cc1 ZINC000500058286 1071366748 /nfs/dbraw/zinc/36/67/48/1071366748.db2.gz JCTVJIIKGHFSMV-CQSZACIVSA-N 0 0 431.536 -0.041 20 0 IBADRN O=C(CNS(=O)(=O)N1CCS(=O)(=O)CC1)Nc1ccc(Br)cc1 ZINC000500058673 1071366756 /nfs/dbraw/zinc/36/67/56/1071366756.db2.gz KEEOBUFOPVZMDM-UHFFFAOYSA-N 0 0 426.314 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1S(=O)(=O)NCCNS(C)(=O)=O ZINC000500059637 1071366732 /nfs/dbraw/zinc/36/67/32/1071366732.db2.gz NKQBCNHLTFWBDZ-UHFFFAOYSA-N 0 0 439.581 -0.003 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500060722 1071366703 /nfs/dbraw/zinc/36/67/03/1071366703.db2.gz QQCZNZJBMBWPDH-UHFFFAOYSA-N 0 0 433.508 -0.490 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NS(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500061693 1071366768 /nfs/dbraw/zinc/36/67/68/1071366768.db2.gz ULMRATQFUWVBNA-UHFFFAOYSA-N 0 0 445.563 -0.207 20 0 IBADRN COc1cc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)ccc1OCC(=O)N(C)C ZINC000500061754 1071366806 /nfs/dbraw/zinc/36/68/06/1071366806.db2.gz UQJRZLVPLNYUIF-UHFFFAOYSA-N 0 0 435.524 -0.773 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000500062649 1071366964 /nfs/dbraw/zinc/36/69/64/1071366964.db2.gz DQLIJLVKSQAJFW-HNNXBMFYSA-N 0 0 430.600 -0.224 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000500062650 1071366782 /nfs/dbraw/zinc/36/67/82/1071366782.db2.gz DQLIJLVKSQAJFW-OAHLLOKOSA-N 0 0 430.600 -0.224 20 0 IBADRN CCOc1ccc(C[C@@H](CNS(=O)(=O)N2CCS(=O)(=O)CC2)C(=O)OC)cc1 ZINC000500062806 1071366992 /nfs/dbraw/zinc/36/69/92/1071366992.db2.gz XBQRHIDWWZSOJL-HNNXBMFYSA-N 0 0 434.536 -0.018 20 0 IBADRN CCOc1ccc(C[C@H](CNS(=O)(=O)N2CCS(=O)(=O)CC2)C(=O)OC)cc1 ZINC000500062811 1071366819 /nfs/dbraw/zinc/36/68/19/1071366819.db2.gz XBQRHIDWWZSOJL-OAHLLOKOSA-N 0 0 434.536 -0.018 20 0 IBADRN COc1cccc(C(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1OC ZINC000500063294 1071367741 /nfs/dbraw/zinc/36/77/41/1071367741.db2.gz ZCRUWTSWJRECRM-UHFFFAOYSA-N 0 0 447.535 -0.563 20 0 IBADRN O=C1CNC(=O)N1CCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000500065407 1071367693 /nfs/dbraw/zinc/36/76/93/1071367693.db2.gz KBNGBLQXTSVCOI-UHFFFAOYSA-N 0 0 444.413 -0.643 20 0 IBADRN O=C1CNC(=O)N1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000500065546 1071367548 /nfs/dbraw/zinc/36/75/48/1071367548.db2.gz KLYQATCQRZAMBK-UHFFFAOYSA-N 0 0 430.508 -0.309 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2)nn1 ZINC000500066499 1071367466 /nfs/dbraw/zinc/36/74/66/1071367466.db2.gz NYMZEWGOZJBLSP-UHFFFAOYSA-N 0 0 428.453 -0.633 20 0 IBADRN O=S(=O)(NCc1ccccc1OCCN1CCOCC1)N1CCS(=O)(=O)CC1 ZINC000500068368 1071367357 /nfs/dbraw/zinc/36/73/57/1071367357.db2.gz SJHUCTDHMIYCBG-UHFFFAOYSA-N 0 0 433.552 -0.538 20 0 IBADRN O=C(Cc1c[nH]c2ccccc12)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500072655 1071367453 /nfs/dbraw/zinc/36/74/53/1071367453.db2.gz FURJDPLLTYTBSW-UHFFFAOYSA-N 0 0 440.547 -0.170 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000500072707 1071367633 /nfs/dbraw/zinc/36/76/33/1071367633.db2.gz BNVAGLVEWXUPAX-DLBZAZTESA-N 0 0 447.554 -0.708 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C1 ZINC000500072920 1071367432 /nfs/dbraw/zinc/36/74/32/1071367432.db2.gz COUOBLFDFUBPHN-CVEARBPZSA-N 0 0 436.600 -0.726 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C1 ZINC000500072921 1071367724 /nfs/dbraw/zinc/36/77/24/1071367724.db2.gz COUOBLFDFUBPHN-HOTGVXAUSA-N 0 0 436.600 -0.726 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C1 ZINC000500072922 1071367648 /nfs/dbraw/zinc/36/76/48/1071367648.db2.gz COUOBLFDFUBPHN-HZPDHXFCSA-N 0 0 436.600 -0.726 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C1 ZINC000500072923 1071367528 /nfs/dbraw/zinc/36/75/28/1071367528.db2.gz COUOBLFDFUBPHN-JKSUJKDBSA-N 0 0 436.600 -0.726 20 0 IBADRN C[C@@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000500073285 1071368216 /nfs/dbraw/zinc/36/82/16/1071368216.db2.gz MCZBYAAXHOKTII-GFCCVEGCSA-N 0 0 425.554 -0.437 20 0 IBADRN C[C@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000500073287 1071367938 /nfs/dbraw/zinc/36/79/38/1071367938.db2.gz MCZBYAAXHOKTII-LBPRGKRZSA-N 0 0 425.554 -0.437 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CNC(=O)[C@H]3c4ccccc4C(=O)N3C3CC3)C2)nn1 ZINC000500073587 1071368203 /nfs/dbraw/zinc/36/82/03/1071368203.db2.gz GAWJTCQHUMCWJV-GOSISDBHSA-N 0 0 438.444 -0.076 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CNC(=O)[C@@H]3c4ccccc4C(=O)N3C3CC3)C2)nn1 ZINC000500073592 1071368169 /nfs/dbraw/zinc/36/81/69/1071368169.db2.gz GAWJTCQHUMCWJV-SFHVURJKSA-N 0 0 438.444 -0.076 20 0 IBADRN O=c1ccccn1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000500074178 1071368083 /nfs/dbraw/zinc/36/80/83/1071368083.db2.gz OFPMHVXQNALDGW-UHFFFAOYSA-N 0 0 427.504 -0.152 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000500074247 1071368063 /nfs/dbraw/zinc/36/80/63/1071368063.db2.gz JZOLKKRFKMHALD-UHFFFAOYSA-N 0 0 439.581 -0.218 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c(OC)c1 ZINC000500074425 1071367905 /nfs/dbraw/zinc/36/79/05/1071367905.db2.gz IJESDLZPLTXBPY-UHFFFAOYSA-N 0 0 447.535 -0.563 20 0 IBADRN O=C(NCCN1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1)c1ccccc1F ZINC000500075498 1071368515 /nfs/dbraw/zinc/36/85/15/1071368515.db2.gz LQCJGMMBXQASDD-UHFFFAOYSA-N 0 0 448.542 -0.852 20 0 IBADRN COCCN(CC(=O)OC)S(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000500076733 1071368030 /nfs/dbraw/zinc/36/80/30/1071368030.db2.gz QOZPWFYABGLSBE-UHFFFAOYSA-N 0 0 426.488 -0.103 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000500076950 1071367953 /nfs/dbraw/zinc/36/79/53/1071367953.db2.gz PUANEKRERFYDSJ-APPDUMDISA-N 0 0 439.490 -0.778 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000500076956 1071368001 /nfs/dbraw/zinc/36/80/01/1071368001.db2.gz PUANEKRERFYDSJ-DIFFPNOSSA-N 0 0 439.490 -0.778 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000500076958 1071368046 /nfs/dbraw/zinc/36/80/46/1071368046.db2.gz PUANEKRERFYDSJ-GTNSWQLSSA-N 0 0 439.490 -0.778 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000500076960 1071367972 /nfs/dbraw/zinc/36/79/72/1071367972.db2.gz PUANEKRERFYDSJ-PIGZYNQJSA-N 0 0 439.490 -0.778 20 0 IBADRN C[C@@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000500077912 1071368100 /nfs/dbraw/zinc/36/81/00/1071368100.db2.gz VFDDXVRVBILNKR-GFCCVEGCSA-N 0 0 425.554 -0.437 20 0 IBADRN C[C@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000500077914 1071368136 /nfs/dbraw/zinc/36/81/36/1071368136.db2.gz VFDDXVRVBILNKR-LBPRGKRZSA-N 0 0 425.554 -0.437 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3cccc(CN4CCS(=O)(=O)CC4)c3)C2)nn1 ZINC000500079030 1071368436 /nfs/dbraw/zinc/36/84/36/1071368436.db2.gz XNGOZGQEGHLXSB-UHFFFAOYSA-N 0 0 433.490 -0.008 20 0 IBADRN O=S(=O)(N1CCN(Cc2nc(-c3cccs3)no2)CC1)N1CCS(=O)(=O)CC1 ZINC000500079182 1071368582 /nfs/dbraw/zinc/36/85/82/1071368582.db2.gz YUINQNDVGFLPFO-UHFFFAOYSA-N 0 0 447.564 -0.109 20 0 IBADRN NC(=O)[C@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1cccc(Br)c1 ZINC000500079377 1071368388 /nfs/dbraw/zinc/36/83/88/1071368388.db2.gz YTSGWEVXHYCWKY-LLVKDONJSA-N 0 0 426.314 -0.460 20 0 IBADRN NC(=O)[C@@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1cccc(Br)c1 ZINC000500079378 1071368639 /nfs/dbraw/zinc/36/86/39/1071368639.db2.gz YTSGWEVXHYCWKY-NSHDSACASA-N 0 0 426.314 -0.460 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)CC1 ZINC000500080041 1071368674 /nfs/dbraw/zinc/36/86/74/1071368674.db2.gz CJGHETOISJLPPY-UHFFFAOYSA-N 0 0 436.600 -0.726 20 0 IBADRN O=C(CCNS(=O)(=O)N1CCS(=O)(=O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000500081522 1071368499 /nfs/dbraw/zinc/36/84/99/1071368499.db2.gz ISRIXMSLZAWHJH-UHFFFAOYSA-N 0 0 448.542 -0.571 20 0 IBADRN O=S(=O)(NCc1ccc(S(=O)(=O)N2CCCC2)cc1)N1CCS(=O)(=O)CC1 ZINC000500082554 1071368452 /nfs/dbraw/zinc/36/84/52/1071368452.db2.gz NAQMPKFKMMREJM-UHFFFAOYSA-N 0 0 437.565 -0.464 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000500083889 1071368373 /nfs/dbraw/zinc/36/83/73/1071368373.db2.gz AHOHIROPSWRKOC-UHFFFAOYSA-N 0 0 437.565 -0.263 20 0 IBADRN O=S(=O)(N(CCCN1CCOCC1)Cc1ccncc1)N1CCS(=O)(=O)CC1 ZINC000500085196 1071368563 /nfs/dbraw/zinc/36/85/63/1071368563.db2.gz YOGATHPKEUAEKD-UHFFFAOYSA-N 0 0 432.568 -0.419 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(c3nccn(C)c3=O)CC2)[C@H](C)O)cc1 ZINC000500088952 1071368468 /nfs/dbraw/zinc/36/84/68/1071368468.db2.gz OKMLEUSFTQNZOA-DOTOQJQBSA-N 0 0 449.533 -0.535 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(c3nccn(C)c3=O)CC2)[C@@H](C)O)cc1 ZINC000500088954 1071368420 /nfs/dbraw/zinc/36/84/20/1071368420.db2.gz OKMLEUSFTQNZOA-NVXWUHKLSA-N 0 0 449.533 -0.535 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(c3nccn(C)c3=O)CC2)[C@H](C)O)cc1 ZINC000500088956 1071368347 /nfs/dbraw/zinc/36/83/47/1071368347.db2.gz OKMLEUSFTQNZOA-RDJZCZTQSA-N 0 0 449.533 -0.535 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(c3nccn(C)c3=O)CC2)[C@@H](C)O)cc1 ZINC000500088958 1071368534 /nfs/dbraw/zinc/36/85/34/1071368534.db2.gz OKMLEUSFTQNZOA-WBVHZDCISA-N 0 0 449.533 -0.535 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)CC1 ZINC000500090815 1071368600 /nfs/dbraw/zinc/36/86/00/1071368600.db2.gz RYKPEJNQAYDOBK-UHFFFAOYSA-N 0 0 436.600 -0.772 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNS(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000500091413 1071368548 /nfs/dbraw/zinc/36/85/48/1071368548.db2.gz TUELMFMDBOKSMP-UHFFFAOYSA-N 0 0 439.581 -0.421 20 0 IBADRN COC(=O)CN(CC(=O)OC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500091658 1071368404 /nfs/dbraw/zinc/36/84/04/1071368404.db2.gz UILKEICVXFDQEL-UHFFFAOYSA-N 0 0 434.492 -0.192 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000500092801 1071368486 /nfs/dbraw/zinc/36/84/86/1071368486.db2.gz WDXRRZXLXXHYCO-UHFFFAOYSA-N 0 0 446.508 -0.869 20 0 IBADRN CN(Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500095214 1071368992 /nfs/dbraw/zinc/36/89/92/1071368992.db2.gz CZPIXPJLZRVJLZ-UHFFFAOYSA-N 0 0 430.552 -0.169 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)N1CCOCC1 ZINC000500095404 1071369183 /nfs/dbraw/zinc/36/91/83/1071369183.db2.gz DISAYIFLVKZJFN-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN COc1cccc(CC(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000500098687 1071369129 /nfs/dbraw/zinc/36/91/29/1071369129.db2.gz MVZZFZAUYMJFGS-UHFFFAOYSA-N 0 0 431.536 -0.643 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)[C@H](C)O)cc1 ZINC000500098977 1071368907 /nfs/dbraw/zinc/36/89/07/1071368907.db2.gz OASQEFUCVJBAQP-KBXCAEBGSA-N 0 0 435.506 -0.368 20 0 IBADRN CN(CCCOc1cccc(S(C)(=O)=O)c1)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000500098981 1071369073 /nfs/dbraw/zinc/36/90/73/1071369073.db2.gz ANPOCUDIJNSRLH-UHFFFAOYSA-N 0 0 440.565 -0.234 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)[C@@H](C)O)cc1 ZINC000500098988 1071368919 /nfs/dbraw/zinc/36/89/19/1071368919.db2.gz OASQEFUCVJBAQP-KDOFPFPSSA-N 0 0 435.506 -0.368 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)[C@H](C)O)cc1 ZINC000500098990 1071369111 /nfs/dbraw/zinc/36/91/11/1071369111.db2.gz OASQEFUCVJBAQP-KSSFIOAISA-N 0 0 435.506 -0.368 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)[C@@H](C)O)cc1 ZINC000500098992 1071369148 /nfs/dbraw/zinc/36/91/48/1071369148.db2.gz OASQEFUCVJBAQP-RDTXWAMCSA-N 0 0 435.506 -0.368 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNS(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500099043 1071368977 /nfs/dbraw/zinc/36/89/77/1071368977.db2.gz AUGUJRXJVOSZNG-UHFFFAOYSA-N 0 0 430.552 -0.580 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500099581 1071368951 /nfs/dbraw/zinc/36/89/51/1071368951.db2.gz BJPQMUJVDMCSRO-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)ccc1C ZINC000500099583 1071368884 /nfs/dbraw/zinc/36/88/84/1071368884.db2.gz BJZHQUVEEBZLSK-UHFFFAOYSA-N 0 0 431.536 -0.263 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)c(=O)n(C)c1=O ZINC000500099663 1071369007 /nfs/dbraw/zinc/36/90/07/1071369007.db2.gz BXGXFGBJRDTHDY-UHFFFAOYSA-N 0 0 441.554 -0.913 20 0 IBADRN O=C(c1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500101387 1071369381 /nfs/dbraw/zinc/36/93/81/1071369381.db2.gz HSSLJWFPJGHRAK-UHFFFAOYSA-N 0 0 431.492 -0.852 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)[C@H](C)O)cc1 ZINC000500101610 1071369488 /nfs/dbraw/zinc/36/94/88/1071369488.db2.gz XUUUXAPERMLQFE-DIECFANBSA-N 0 0 447.579 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)[C@@H](C)O)cc1 ZINC000500101715 1071368933 /nfs/dbraw/zinc/36/89/33/1071368933.db2.gz XUUUXAPERMLQFE-OHFALNGGSA-N 0 0 447.579 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)[C@H](C)O)cc1 ZINC000500101716 1071369093 /nfs/dbraw/zinc/36/90/93/1071369093.db2.gz XUUUXAPERMLQFE-OTRWWLKZSA-N 0 0 447.579 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)[C@@H](C)O)cc1 ZINC000500101718 1071368962 /nfs/dbraw/zinc/36/89/62/1071368962.db2.gz XUUUXAPERMLQFE-WTTBNOFXSA-N 0 0 447.579 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)[C@@H](C)O)cc1 ZINC000500101943 1071369166 /nfs/dbraw/zinc/36/91/66/1071369166.db2.gz JJQACSUOHOYAMV-JLSDUUJJSA-N 0 0 439.534 -0.081 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)[C@@H](C)O)cc1 ZINC000500101944 1071368865 /nfs/dbraw/zinc/36/88/65/1071368865.db2.gz JJQACSUOHOYAMV-OLMNPRSZSA-N 0 0 439.534 -0.081 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)[C@H](C)O)cc1 ZINC000500101945 1071369055 /nfs/dbraw/zinc/36/90/55/1071369055.db2.gz JJQACSUOHOYAMV-QGTPRVQTSA-N 0 0 439.534 -0.081 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)[C@H](C)O)cc1 ZINC000500101946 1071369203 /nfs/dbraw/zinc/36/92/03/1071369203.db2.gz JJQACSUOHOYAMV-WBAXXEDZSA-N 0 0 439.534 -0.081 20 0 IBADRN O=C(CCNS(=O)(=O)N1CCS(=O)(=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000500102811 1071369565 /nfs/dbraw/zinc/36/95/65/1071369565.db2.gz AEAHKILHUQLEBM-UHFFFAOYSA-N 0 0 430.552 -0.710 20 0 IBADRN O=C1CNC(=O)N1CCCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500102848 1071369422 /nfs/dbraw/zinc/36/94/22/1071369422.db2.gz AKRJPMMKMAVACQ-UHFFFAOYSA-N 0 0 430.508 -0.309 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)[C@H](C)O)cc1 ZINC000500103290 1071369616 /nfs/dbraw/zinc/36/96/16/1071369616.db2.gz CEIJQHGIWQPNOW-DZGCQCFKSA-N 0 0 438.506 -0.434 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)[C@@H](C)O)cc1 ZINC000500103291 1071369393 /nfs/dbraw/zinc/36/93/93/1071369393.db2.gz CEIJQHGIWQPNOW-HIFRSBDPSA-N 0 0 438.506 -0.434 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)[C@@H](C)O)cc1 ZINC000500103292 1071369544 /nfs/dbraw/zinc/36/95/44/1071369544.db2.gz CEIJQHGIWQPNOW-UKRRQHHQSA-N 0 0 438.506 -0.434 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)[C@H](C)O)cc1 ZINC000500103293 1071369643 /nfs/dbraw/zinc/36/96/43/1071369643.db2.gz CEIJQHGIWQPNOW-ZFWWWQNUSA-N 0 0 438.506 -0.434 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000500103715 1071369353 /nfs/dbraw/zinc/36/93/53/1071369353.db2.gz UDHAKGOWLHVTJH-DZKIICNBSA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000500103716 1071369504 /nfs/dbraw/zinc/36/95/04/1071369504.db2.gz UDHAKGOWLHVTJH-IJEWVQPXSA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC000500103717 1071369672 /nfs/dbraw/zinc/36/96/72/1071369672.db2.gz UDHAKGOWLHVTJH-OFQRWUPVSA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC000500103718 1071369627 /nfs/dbraw/zinc/36/96/27/1071369627.db2.gz UDHAKGOWLHVTJH-YCPHGPKFSA-N 0 0 433.552 -0.437 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)cc1 ZINC000500103762 1071369598 /nfs/dbraw/zinc/36/95/98/1071369598.db2.gz UUSMVYDBWUNKIN-UHFFFAOYSA-N 0 0 445.563 -0.253 20 0 IBADRN CN(CC(=O)Nc1ccc(Br)cn1)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000500104374 1071369408 /nfs/dbraw/zinc/36/94/08/1071369408.db2.gz GMNGURQAFZLBCA-UHFFFAOYSA-N 0 0 441.329 -0.310 20 0 IBADRN O=S(=O)(NCCN1CCCS1(=O)=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500104920 1071369523 /nfs/dbraw/zinc/36/95/23/1071369523.db2.gz ZYNRNFKSLVIGOF-UHFFFAOYSA-N 0 0 437.565 -0.215 20 0 IBADRN O=C1CNC(=O)N1CCNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000500105415 1071369322 /nfs/dbraw/zinc/36/93/22/1071369322.db2.gz LJUNDDHZGDYSNQ-UHFFFAOYSA-N 0 0 433.240 -0.390 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000500107699 1071369658 /nfs/dbraw/zinc/36/96/58/1071369658.db2.gz HPNUKRCDUBEQLT-UHFFFAOYSA-N 0 0 431.536 -0.373 20 0 IBADRN O=C(c1cc2ccccc2[nH]1)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500107914 1071369580 /nfs/dbraw/zinc/36/95/80/1071369580.db2.gz SZADHKATWPRRFR-UHFFFAOYSA-N 0 0 426.520 -0.099 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000500108115 1071370003 /nfs/dbraw/zinc/37/00/03/1071370003.db2.gz FLYZEIBXYCAJHX-UHFFFAOYSA-N 0 0 444.579 -0.280 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(C(C)(C)C(N)=O)CC2)[C@@H](C)O)cc1 ZINC000500109767 1071370108 /nfs/dbraw/zinc/37/01/08/1071370108.db2.gz IYBOEQUJLCBZGF-GDBMZVCRSA-N 0 0 426.539 -0.569 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(C(C)(C)C(N)=O)CC2)[C@H](C)O)cc1 ZINC000500109768 1071369801 /nfs/dbraw/zinc/36/98/01/1071369801.db2.gz IYBOEQUJLCBZGF-GOEBONIOSA-N 0 0 426.539 -0.569 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(C(C)(C)C(N)=O)CC2)[C@H](C)O)cc1 ZINC000500109769 1071369983 /nfs/dbraw/zinc/36/99/83/1071369983.db2.gz IYBOEQUJLCBZGF-HOCLYGCPSA-N 0 0 426.539 -0.569 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(C(C)(C)C(N)=O)CC2)[C@@H](C)O)cc1 ZINC000500109770 1071369965 /nfs/dbraw/zinc/36/99/65/1071369965.db2.gz IYBOEQUJLCBZGF-ZBFHGGJFSA-N 0 0 426.539 -0.569 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCC[C@H]4C(=O)NC[C@H]43)CC2)c1 ZINC000500121032 1071370064 /nfs/dbraw/zinc/37/00/64/1071370064.db2.gz FDVRHUSYNCLAER-RTBURBONSA-N 0 0 448.545 -0.068 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC[C@H]3C(=O)NC[C@H]32)CC1 ZINC000500125812 1071370186 /nfs/dbraw/zinc/37/01/86/1071370186.db2.gz RJWDAQIQIBHEQW-HUUCEWRRSA-N 0 0 425.511 -0.665 20 0 IBADRN O=C(CN1CCC[C@H]2C(=O)NC[C@H]21)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000500128476 1071369856 /nfs/dbraw/zinc/36/98/56/1071369856.db2.gz HPQQCNDBOIAUOI-QZTJIDSGSA-N 0 0 436.534 -0.466 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H]2C(=O)NC[C@H]21)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000500128894 1071369902 /nfs/dbraw/zinc/36/99/02/1071369902.db2.gz JIURRIPSDKMHEJ-ZIAGYGMSSA-N 0 0 436.513 -0.623 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)[C@@H](C)O)cc1 ZINC000500131713 1071370026 /nfs/dbraw/zinc/37/00/26/1071370026.db2.gz NDRWVZDPLUWCBQ-CFLYGVGMSA-N 0 0 438.506 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)[C@H](C)O)cc1 ZINC000500131722 1071370173 /nfs/dbraw/zinc/37/01/73/1071370173.db2.gz NDRWVZDPLUWCBQ-NGOJXBLDSA-N 0 0 438.506 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)[C@@H](C)O)cc1 ZINC000500131724 1071370580 /nfs/dbraw/zinc/37/05/80/1071370580.db2.gz NDRWVZDPLUWCBQ-QUHUKJRKSA-N 0 0 438.506 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)[C@H](C)O)cc1 ZINC000500131726 1071370901 /nfs/dbraw/zinc/37/09/01/1071370901.db2.gz NDRWVZDPLUWCBQ-VODUFIJXSA-N 0 0 438.506 -0.483 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000500134705 1071370496 /nfs/dbraw/zinc/37/04/96/1071370496.db2.gz FGFKYEHSXOPBOS-IBGZPJMESA-N 0 0 448.505 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000500134706 1071370935 /nfs/dbraw/zinc/37/09/35/1071370935.db2.gz FGFKYEHSXOPBOS-LJQANCHMSA-N 0 0 448.505 -0.021 20 0 IBADRN C[C@H]1CN(CCN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCO1 ZINC000500136350 1071370530 /nfs/dbraw/zinc/37/05/30/1071370530.db2.gz WAYQLJSUFIEZPF-HNNXBMFYSA-N 0 0 432.568 -0.639 20 0 IBADRN C[C@@H]1CN(CCN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCO1 ZINC000500136353 1071370616 /nfs/dbraw/zinc/37/06/16/1071370616.db2.gz WAYQLJSUFIEZPF-OAHLLOKOSA-N 0 0 432.568 -0.639 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC[C@H]4C(=O)NC[C@H]43)CC2)cc1 ZINC000500136979 1071370683 /nfs/dbraw/zinc/37/06/83/1071370683.db2.gz XWENRVIESAQKCB-RTBURBONSA-N 0 0 448.545 -0.068 20 0 IBADRN O=C(CN1CCC[C@H]2C(=O)NC[C@H]21)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000500137976 1071370732 /nfs/dbraw/zinc/37/07/32/1071370732.db2.gz KBUPEUWVLADPAW-GPMSIDNRSA-N 0 0 428.489 -0.292 20 0 IBADRN O=C(CN1CCC[C@H]2C(=O)NC[C@H]21)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000500137977 1071370786 /nfs/dbraw/zinc/37/07/86/1071370786.db2.gz KBUPEUWVLADPAW-MDZRGWNJSA-N 0 0 428.489 -0.292 20 0 IBADRN CN(C(=O)[C@H]1CSCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CC1 ZINC000500139226 1071370666 /nfs/dbraw/zinc/37/06/66/1071370666.db2.gz DDAUGZCSKSGQSJ-CYBMUJFWSA-N 0 0 440.503 -0.236 20 0 IBADRN CN(C(=O)[C@@H]1CSCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CC1 ZINC000500139227 1071370515 /nfs/dbraw/zinc/37/05/15/1071370515.db2.gz DDAUGZCSKSGQSJ-ZDUSSCGKSA-N 0 0 440.503 -0.236 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC[C@H]4C(=O)NC[C@H]43)CC2)cc1 ZINC000500143880 1071370846 /nfs/dbraw/zinc/37/08/46/1071370846.db2.gz ZELILNOZFDOHFK-QZTJIDSGSA-N 0 0 436.534 -0.262 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)CC2 ZINC000500149880 1071370886 /nfs/dbraw/zinc/37/08/86/1071370886.db2.gz TVOSYILPCYIGFW-HBUWYVDXSA-N 0 0 437.522 -0.108 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)CC2 ZINC000500149882 1071370772 /nfs/dbraw/zinc/37/07/72/1071370772.db2.gz TVOSYILPCYIGFW-IYOUNJFTSA-N 0 0 437.522 -0.108 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)CC2 ZINC000500149883 1071370965 /nfs/dbraw/zinc/37/09/65/1071370965.db2.gz TVOSYILPCYIGFW-LBTNJELSSA-N 0 0 437.522 -0.108 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)CC2 ZINC000500149884 1071370949 /nfs/dbraw/zinc/37/09/49/1071370949.db2.gz TVOSYILPCYIGFW-PMUMKWKESA-N 0 0 437.522 -0.108 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCC[C@H]3C(=O)NC[C@H]32)c(=O)[nH]c1=O ZINC000500149922 1071371380 /nfs/dbraw/zinc/37/13/80/1071371380.db2.gz CGEBBORIWXMNBF-ZIAGYGMSSA-N 0 0 436.513 -0.479 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC000500153891 1071371460 /nfs/dbraw/zinc/37/14/60/1071371460.db2.gz ZMGNFQFHPSVMNT-CYBMUJFWSA-N 0 0 440.503 -0.234 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CSC[C@H]1C(=O)N1CCCC1 ZINC000500153892 1071371335 /nfs/dbraw/zinc/37/13/35/1071371335.db2.gz ZMGNFQFHPSVMNT-ZDUSSCGKSA-N 0 0 440.503 -0.234 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(c3nc(N)ns3)CC2)[C@H](C)O)cc1 ZINC000500155524 1071371286 /nfs/dbraw/zinc/37/12/86/1071371286.db2.gz JSYLZVSHILKTFL-GXTWGEPZSA-N 0 0 440.551 -0.195 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(c3nc(N)ns3)CC2)[C@H](C)O)cc1 ZINC000500155525 1071371464 /nfs/dbraw/zinc/37/14/64/1071371464.db2.gz JSYLZVSHILKTFL-JSGCOSHPSA-N 0 0 440.551 -0.195 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(c3nc(N)ns3)CC2)[C@@H](C)O)cc1 ZINC000500155526 1071371448 /nfs/dbraw/zinc/37/14/48/1071371448.db2.gz JSYLZVSHILKTFL-OCCSQVGLSA-N 0 0 440.551 -0.195 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(c3nc(N)ns3)CC2)[C@@H](C)O)cc1 ZINC000500155527 1071371479 /nfs/dbraw/zinc/37/14/79/1071371479.db2.gz JSYLZVSHILKTFL-TZMCWYRMSA-N 0 0 440.551 -0.195 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCN1C(=O)CCCC1=O ZINC000500156017 1071371350 /nfs/dbraw/zinc/37/13/50/1071371350.db2.gz KSBJCHYLTKVKLA-UHFFFAOYSA-N 0 0 429.520 -0.033 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000500158914 1071371326 /nfs/dbraw/zinc/37/13/26/1071371326.db2.gz RSYYCBCVFBXSTM-AWEZNQCLSA-N 0 0 445.567 -0.137 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000500158915 1071371270 /nfs/dbraw/zinc/37/12/70/1071371270.db2.gz RSYYCBCVFBXSTM-CQSZACIVSA-N 0 0 445.567 -0.137 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccccc1N1CCC(C(N)=O)CC1)c(=O)n2C ZINC000500164406 1071371524 /nfs/dbraw/zinc/37/15/24/1071371524.db2.gz QPHRFQHEGFODPF-UHFFFAOYSA-N 0 0 439.476 -0.226 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)NC3(CCC(C)CC3)C2=O)CCS(=O)(=O)CC1 ZINC000500165275 1071371903 /nfs/dbraw/zinc/37/19/03/1071371903.db2.gz VEPQFFKCSAELPE-UHFFFAOYSA-N 0 0 429.495 -0.276 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)C2=O)n(C)n1 ZINC000500168622 1071371512 /nfs/dbraw/zinc/37/15/12/1071371512.db2.gz DBRJGPGZZDHYEO-HFTRVMKXSA-N 0 0 449.533 -0.014 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)C2=O)n(C)n1 ZINC000500168639 1071371438 /nfs/dbraw/zinc/37/14/38/1071371438.db2.gz DBRJGPGZZDHYEO-KYJSFNMBSA-N 0 0 449.533 -0.014 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)C2=O)n(C)n1 ZINC000500168645 1071371364 /nfs/dbraw/zinc/37/13/64/1071371364.db2.gz DBRJGPGZZDHYEO-LESCRADOSA-N 0 0 449.533 -0.014 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)C2=O)n(C)n1 ZINC000500168649 1071371428 /nfs/dbraw/zinc/37/14/28/1071371428.db2.gz DBRJGPGZZDHYEO-ZVZYQTTQSA-N 0 0 449.533 -0.014 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)NCCNS(=O)(=O)c2cccnc2)C1)S(C)(=O)=O ZINC000500172904 1071372119 /nfs/dbraw/zinc/37/21/19/1071372119.db2.gz OCMPSFXHHGSFHV-AWEZNQCLSA-N 0 0 433.556 -0.327 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)NCCNS(=O)(=O)c2cccnc2)C1)S(C)(=O)=O ZINC000500172908 1071371932 /nfs/dbraw/zinc/37/19/32/1071371932.db2.gz OCMPSFXHHGSFHV-CQSZACIVSA-N 0 0 433.556 -0.327 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000500174995 1071371919 /nfs/dbraw/zinc/37/19/19/1071371919.db2.gz XGOWCMDKIZOZBJ-PBHICJAKSA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000500174996 1071372133 /nfs/dbraw/zinc/37/21/33/1071372133.db2.gz XGOWCMDKIZOZBJ-RHSMWYFYSA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000500174997 1071372060 /nfs/dbraw/zinc/37/20/60/1071372060.db2.gz XGOWCMDKIZOZBJ-WMLDXEAASA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000500174998 1071372006 /nfs/dbraw/zinc/37/20/06/1071372006.db2.gz XGOWCMDKIZOZBJ-YOEHRIQHSA-N 0 0 447.579 -0.095 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1 ZINC000500183484 1071371973 /nfs/dbraw/zinc/37/19/73/1071371973.db2.gz FQJNDZPKJMKQOE-GFCCVEGCSA-N 0 0 427.458 -0.037 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1 ZINC000500183486 1071372046 /nfs/dbraw/zinc/37/20/46/1071372046.db2.gz FQJNDZPKJMKQOE-LBPRGKRZSA-N 0 0 427.458 -0.037 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000500183996 1071372034 /nfs/dbraw/zinc/37/20/34/1071372034.db2.gz NFYNSZSDNPTLAK-GDBMZVCRSA-N 0 0 425.482 -0.364 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000500183997 1071372074 /nfs/dbraw/zinc/37/20/74/1071372074.db2.gz NFYNSZSDNPTLAK-GOEBONIOSA-N 0 0 425.482 -0.364 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000500183998 1071372149 /nfs/dbraw/zinc/37/21/49/1071372149.db2.gz NFYNSZSDNPTLAK-HOCLYGCPSA-N 0 0 425.482 -0.364 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000500183999 1071371886 /nfs/dbraw/zinc/37/18/86/1071371886.db2.gz NFYNSZSDNPTLAK-ZBFHGGJFSA-N 0 0 425.482 -0.364 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)ccc1F ZINC000500184571 1071372845 /nfs/dbraw/zinc/37/28/45/1071372845.db2.gz IOZRSMQIJWGSCO-LLVKDONJSA-N 0 0 436.487 -0.706 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)ccc1F ZINC000500184572 1071372465 /nfs/dbraw/zinc/37/24/65/1071372465.db2.gz IOZRSMQIJWGSCO-NSHDSACASA-N 0 0 436.487 -0.706 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)NCC2(O)CCS(=O)(=O)CC2)c(C)s1 ZINC000500185420 1071372811 /nfs/dbraw/zinc/37/28/11/1071372811.db2.gz XGNUFERWBZZXLU-UHFFFAOYSA-N 0 0 439.581 -0.118 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)C1CC1 ZINC000500187443 1071372530 /nfs/dbraw/zinc/37/25/30/1071372530.db2.gz SXKBROOZLNOCMC-AWEZNQCLSA-N 0 0 435.554 -0.091 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)C1CC1 ZINC000500187452 1071372614 /nfs/dbraw/zinc/37/26/14/1071372614.db2.gz SXKBROOZLNOCMC-CQSZACIVSA-N 0 0 435.554 -0.091 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N(C)C3(CCCCC3)C2=O)CCS(=O)(=O)CC1 ZINC000500189494 1071372448 /nfs/dbraw/zinc/37/24/48/1071372448.db2.gz MSLZJGPWIMHQGY-UHFFFAOYSA-N 0 0 429.495 -0.180 20 0 IBADRN COC(=O)C1(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CCS(=O)(=O)CC1 ZINC000500189926 1071372487 /nfs/dbraw/zinc/37/24/87/1071372487.db2.gz NRUPDXHXDJLZFI-UHFFFAOYSA-N 0 0 449.485 -0.705 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)C1 ZINC000500203269 1071372691 /nfs/dbraw/zinc/37/26/91/1071372691.db2.gz QNCDGJOYNGKFIU-UHFFFAOYSA-N 0 0 432.449 -0.253 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500207618 1071372706 /nfs/dbraw/zinc/37/27/06/1071372706.db2.gz BEQYCUMNXRHNFQ-CVEARBPZSA-N 0 0 444.488 -0.661 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500207619 1071372675 /nfs/dbraw/zinc/37/26/75/1071372675.db2.gz BEQYCUMNXRHNFQ-HOTGVXAUSA-N 0 0 444.488 -0.661 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500207620 1071372721 /nfs/dbraw/zinc/37/27/21/1071372721.db2.gz BEQYCUMNXRHNFQ-HZPDHXFCSA-N 0 0 444.488 -0.661 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500207621 1071372827 /nfs/dbraw/zinc/37/28/27/1071372827.db2.gz BEQYCUMNXRHNFQ-JKSUJKDBSA-N 0 0 444.488 -0.661 20 0 IBADRN Cn1ccnc1CN1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000500208360 1071372787 /nfs/dbraw/zinc/37/27/87/1071372787.db2.gz NOZGALOVXIPHOW-UHFFFAOYSA-N 0 0 438.540 -0.437 20 0 IBADRN O=C(Nc1cc(F)c(N2CCOCC2)cc1F)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000500209147 1071372598 /nfs/dbraw/zinc/37/25/98/1071372598.db2.gz POLGGGUEZHBHAF-GFCCVEGCSA-N 0 0 447.460 -0.252 20 0 IBADRN O=C(Nc1cc(F)c(N2CCOCC2)cc1F)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000500209260 1071373484 /nfs/dbraw/zinc/37/34/84/1071373484.db2.gz POLGGGUEZHBHAF-LBPRGKRZSA-N 0 0 447.460 -0.252 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1 ZINC000500213669 1071373352 /nfs/dbraw/zinc/37/33/52/1071373352.db2.gz SIKMERJBVGCTPT-UHFFFAOYSA-N 0 0 427.458 -0.309 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)ccc1F ZINC000500215389 1071373445 /nfs/dbraw/zinc/37/34/45/1071373445.db2.gz NZJVXMIGMPYGBT-UHFFFAOYSA-N 0 0 440.453 -0.140 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCC[C@H](CNS(N)(=O)=O)C3)CC2)C1 ZINC000500220221 1071373641 /nfs/dbraw/zinc/37/36/41/1071373641.db2.gz NQYIDKXNRYRUAB-DLBZAZTESA-N 0 0 443.614 -0.011 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCC[C@H](CNS(N)(=O)=O)C3)CC2)C1 ZINC000500220222 1071373543 /nfs/dbraw/zinc/37/35/43/1071373543.db2.gz NQYIDKXNRYRUAB-IAGOWNOFSA-N 0 0 443.614 -0.011 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCC[C@@H](CNS(N)(=O)=O)C3)CC2)C1 ZINC000500220223 1071373121 /nfs/dbraw/zinc/37/31/21/1071373121.db2.gz NQYIDKXNRYRUAB-IRXDYDNUSA-N 0 0 443.614 -0.011 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCC[C@@H](CNS(N)(=O)=O)C3)CC2)C1 ZINC000500220224 1071373166 /nfs/dbraw/zinc/37/31/66/1071373166.db2.gz NQYIDKXNRYRUAB-SJORKVTESA-N 0 0 443.614 -0.011 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500221992 1071373605 /nfs/dbraw/zinc/37/36/05/1071373605.db2.gz RVWGIBSIZMTGMT-CHWSQXEVSA-N 0 0 441.510 -0.001 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500221993 1071373500 /nfs/dbraw/zinc/37/35/00/1071373500.db2.gz RVWGIBSIZMTGMT-OLZOCXBDSA-N 0 0 441.510 -0.001 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500222282 1071373071 /nfs/dbraw/zinc/37/30/71/1071373071.db2.gz RVWGIBSIZMTGMT-QWHCGFSZSA-N 0 0 441.510 -0.001 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500222284 1071373217 /nfs/dbraw/zinc/37/32/17/1071373217.db2.gz RVWGIBSIZMTGMT-STQMWFEESA-N 0 0 441.510 -0.001 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500222900 1071373715 /nfs/dbraw/zinc/37/37/15/1071373715.db2.gz VUVXNDOARSJHCX-CABCVRRESA-N 0 0 427.527 -0.542 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500222901 1071373397 /nfs/dbraw/zinc/37/33/97/1071373397.db2.gz VUVXNDOARSJHCX-GJZGRUSLSA-N 0 0 427.527 -0.542 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500222902 1071373675 /nfs/dbraw/zinc/37/36/75/1071373675.db2.gz VUVXNDOARSJHCX-HUUCEWRRSA-N 0 0 427.527 -0.542 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500222903 1071373273 /nfs/dbraw/zinc/37/32/73/1071373273.db2.gz VUVXNDOARSJHCX-LSDHHAIUSA-N 0 0 427.527 -0.542 20 0 IBADRN Cc1noc(CN2CCN(CC(=O)N3CCN(c4ncnc5c4cnn5C)CC3)CC2)n1 ZINC000500225484 1071374021 /nfs/dbraw/zinc/37/40/21/1071374021.db2.gz JFXGLEAZLXNPGJ-UHFFFAOYSA-N 0 0 440.512 -0.479 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500227691 1071374592 /nfs/dbraw/zinc/37/45/92/1071374592.db2.gz GMIKUZJYWGHRAH-DLBZAZTESA-N 0 0 430.505 -0.140 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500227692 1071374491 /nfs/dbraw/zinc/37/44/91/1071374491.db2.gz GMIKUZJYWGHRAH-IAGOWNOFSA-N 0 0 430.505 -0.140 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500227693 1071374634 /nfs/dbraw/zinc/37/46/34/1071374634.db2.gz GMIKUZJYWGHRAH-IRXDYDNUSA-N 0 0 430.505 -0.140 20 0 IBADRN O=C(NCCN1CCCOCC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500227694 1071374357 /nfs/dbraw/zinc/37/43/57/1071374357.db2.gz GMIKUZJYWGHRAH-SJORKVTESA-N 0 0 430.505 -0.140 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)CC1 ZINC000500229646 1071375373 /nfs/dbraw/zinc/37/53/73/1071375373.db2.gz HLYPFTVGLFGSIH-INIZCTEOSA-N 0 0 431.603 -0.108 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)CC1 ZINC000500229652 1071375116 /nfs/dbraw/zinc/37/51/16/1071375116.db2.gz HLYPFTVGLFGSIH-MRXNPFEDSA-N 0 0 431.603 -0.108 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCN1CCO ZINC000500231594 1071374549 /nfs/dbraw/zinc/37/45/49/1071374549.db2.gz QRFYLYMHUQUZHQ-BRWVUGGUSA-N 0 0 430.505 -0.453 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCN1CCO ZINC000500231619 1071374301 /nfs/dbraw/zinc/37/43/01/1071374301.db2.gz QRFYLYMHUQUZHQ-IKGGRYGDSA-N 0 0 430.505 -0.453 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCN1CCO ZINC000500231623 1071374221 /nfs/dbraw/zinc/37/42/21/1071374221.db2.gz QRFYLYMHUQUZHQ-IXDOHACOSA-N 0 0 430.505 -0.453 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCN1CCO ZINC000500231628 1071374132 /nfs/dbraw/zinc/37/41/32/1071374132.db2.gz QRFYLYMHUQUZHQ-ZACQAIPSSA-N 0 0 430.505 -0.453 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)ccc1F ZINC000500232450 1071374110 /nfs/dbraw/zinc/37/41/10/1071374110.db2.gz UDVIGESLROUBBJ-UHFFFAOYSA-N 0 0 430.458 -0.668 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)ccc1F ZINC000500232929 1071374060 /nfs/dbraw/zinc/37/40/60/1071374060.db2.gz FKQLRWUWXKXQFT-GFCCVEGCSA-N 0 0 430.502 -0.024 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)ccc1F ZINC000500232930 1071374406 /nfs/dbraw/zinc/37/44/06/1071374406.db2.gz FKQLRWUWXKXQFT-LBPRGKRZSA-N 0 0 430.502 -0.024 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)C1 ZINC000500233036 1071374178 /nfs/dbraw/zinc/37/41/78/1071374178.db2.gz QZONAZDBSXIYJZ-INIZCTEOSA-N 0 0 438.554 -0.132 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)C1 ZINC000500233037 1071373972 /nfs/dbraw/zinc/37/39/72/1071373972.db2.gz QZONAZDBSXIYJZ-MRXNPFEDSA-N 0 0 438.554 -0.132 20 0 IBADRN O=C(Nc1ccc(F)c(N2CCCNC2=O)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000500233922 1073366691 /nfs/dbraw/zinc/36/66/91/1073366691.db2.gz VIURCZYGZUWVJS-UHFFFAOYSA-N 0 0 437.472 -0.163 20 0 IBADRN O=C(Nc1cc(F)c(N2CCOCC2)cc1F)C(=O)N(CCO)CCN1CCOCC1 ZINC000500233937 1073366557 /nfs/dbraw/zinc/36/65/57/1073366557.db2.gz VKHVBMSIAASDGP-UHFFFAOYSA-N 0 0 442.463 -0.107 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)ccc1F ZINC000500234353 1073366614 /nfs/dbraw/zinc/36/66/14/1073366614.db2.gz VXVRCUJGVIBNRF-UHFFFAOYSA-N 0 0 446.501 -0.832 20 0 IBADRN O=C(Nc1cc(Cl)cc2c1OCC(=O)N2)C(=O)N(CCO)CCN1CCOCC1 ZINC000500234529 1073366820 /nfs/dbraw/zinc/36/68/20/1073366820.db2.gz WYIAIJUADXJUCO-UHFFFAOYSA-N 0 0 426.857 -0.238 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCN1CCCOCC1 ZINC000500234657 1071374856 /nfs/dbraw/zinc/37/48/56/1071374856.db2.gz IPSDCEDRJFFQJA-UHFFFAOYSA-N 0 0 443.522 -0.108 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cccc(CS(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000500235148 1071375203 /nfs/dbraw/zinc/37/52/03/1071375203.db2.gz XWZWGAZXFAFFAD-UHFFFAOYSA-N 0 0 433.490 -0.315 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000500236909 1071374972 /nfs/dbraw/zinc/37/49/72/1071374972.db2.gz PEMBQWPEBNNVCX-CQSZACIVSA-N 0 0 443.522 -0.421 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000500237137 1071375309 /nfs/dbraw/zinc/37/53/09/1071375309.db2.gz NDJPRJIXSODGGR-AWEZNQCLSA-N 0 0 445.494 -0.703 20 0 IBADRN Cc1noc(CN2CCN(CCC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000500238062 1071375330 /nfs/dbraw/zinc/37/53/30/1071375330.db2.gz OKCPIOKFWLUCIP-UHFFFAOYSA-N 0 0 436.538 -0.108 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)C1CCN(S(=O)(=O)c2ccccc2C(=O)OC)CC1 ZINC000500240112 1071376025 /nfs/dbraw/zinc/37/60/25/1071376025.db2.gz VAEHUHBLVOEHIX-HNNXBMFYSA-N 0 0 441.506 -0.167 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC000500240624 1071375886 /nfs/dbraw/zinc/37/58/86/1071375886.db2.gz YAIRSPSYPNXMMI-AWEZNQCLSA-N 0 0 443.522 -0.421 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(CCO)[C@H](C)C1 ZINC000500240625 1071375825 /nfs/dbraw/zinc/37/58/25/1071375825.db2.gz YAIRSPSYPNXMMI-CQSZACIVSA-N 0 0 443.522 -0.421 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)ccc1F ZINC000500240644 1071375707 /nfs/dbraw/zinc/37/57/07/1071375707.db2.gz YFYWLVCRNYRRGL-AWEZNQCLSA-N 0 0 428.486 -0.005 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)ccc1F ZINC000500240645 1071375788 /nfs/dbraw/zinc/37/57/88/1071375788.db2.gz YFYWLVCRNYRRGL-CQSZACIVSA-N 0 0 428.486 -0.005 20 0 IBADRN Cn1ccnc1CN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000500242902 1071375221 /nfs/dbraw/zinc/37/52/21/1071375221.db2.gz XYNVFRCWOIOLDU-MSOLQXFVSA-N 0 0 439.582 -0.268 20 0 IBADRN Cn1ccnc1CN1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000500242903 1071375091 /nfs/dbraw/zinc/37/50/91/1071375091.db2.gz XYNVFRCWOIOLDU-QZTJIDSGSA-N 0 0 439.582 -0.268 20 0 IBADRN Cn1ccnc1CN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000500242904 1071375250 /nfs/dbraw/zinc/37/52/50/1071375250.db2.gz XYNVFRCWOIOLDU-ROUUACIJSA-N 0 0 439.582 -0.268 20 0 IBADRN Cn1ccnc1CN1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000500242905 1071375015 /nfs/dbraw/zinc/37/50/15/1071375015.db2.gz XYNVFRCWOIOLDU-ZWKOTPCHSA-N 0 0 439.582 -0.268 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000500243664 1071375804 /nfs/dbraw/zinc/37/58/04/1071375804.db2.gz DSUJKWISIKAKNG-CYBMUJFWSA-N 0 0 433.556 -0.620 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000500243665 1071376008 /nfs/dbraw/zinc/37/60/08/1071376008.db2.gz DSUJKWISIKAKNG-ZDUSSCGKSA-N 0 0 433.556 -0.620 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CN1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500245334 1071376532 /nfs/dbraw/zinc/37/65/32/1071376532.db2.gz HRURFOFKMADSTK-CYBMUJFWSA-N 0 0 430.527 -0.166 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CN1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500245335 1071376293 /nfs/dbraw/zinc/37/62/93/1071376293.db2.gz HRURFOFKMADSTK-ZDUSSCGKSA-N 0 0 430.527 -0.166 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)NC3(C[C@H](C)C[C@@H](C)C3)C2=O)CCS(=O)(=O)CC1 ZINC000500245500 1071377197 /nfs/dbraw/zinc/37/71/97/1071377197.db2.gz MGCKCFIEDUQHKN-CHWSQXEVSA-N 0 0 443.522 -0.030 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@@]3(C[C@H](C)C[C@H](C)C3)C2=O)CCS(=O)(=O)CC1 ZINC000500245505 1071376959 /nfs/dbraw/zinc/37/69/59/1071376959.db2.gz MGCKCFIEDUQHKN-DBWKPXFBSA-N 0 0 443.522 -0.030 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)NC3(C[C@@H](C)C[C@H](C)C3)C2=O)CCS(=O)(=O)CC1 ZINC000500245508 1071376974 /nfs/dbraw/zinc/37/69/74/1071376974.db2.gz MGCKCFIEDUQHKN-STQMWFEESA-N 0 0 443.522 -0.030 20 0 IBADRN Cc1noc(CN2CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000500247190 1071376429 /nfs/dbraw/zinc/37/64/29/1071376429.db2.gz AQMFEDMYVFQJEE-DLBZAZTESA-N 0 0 441.554 -0.310 20 0 IBADRN Cc1noc(CN2CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000500247191 1071376557 /nfs/dbraw/zinc/37/65/57/1071376557.db2.gz AQMFEDMYVFQJEE-IAGOWNOFSA-N 0 0 441.554 -0.310 20 0 IBADRN Cc1noc(CN2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000500247192 1071376341 /nfs/dbraw/zinc/37/63/41/1071376341.db2.gz AQMFEDMYVFQJEE-IRXDYDNUSA-N 0 0 441.554 -0.310 20 0 IBADRN Cc1noc(CN2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000500247193 1071376420 /nfs/dbraw/zinc/37/64/20/1071376420.db2.gz AQMFEDMYVFQJEE-SJORKVTESA-N 0 0 441.554 -0.310 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@@]3(CCCC[C@@H]3C)C2=O)CCS(=O)(=O)CC1 ZINC000500247253 1071376480 /nfs/dbraw/zinc/37/64/80/1071376480.db2.gz WEBOHTLZJZFZJG-KPZWWZAWSA-N 0 0 429.495 -0.276 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@@]3(CCCC[C@H]3C)C2=O)CCS(=O)(=O)CC1 ZINC000500247254 1071376233 /nfs/dbraw/zinc/37/62/33/1071376233.db2.gz WEBOHTLZJZFZJG-KZULUSFZSA-N 0 0 429.495 -0.276 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@]3(CCCC[C@@H]3C)C2=O)CCS(=O)(=O)CC1 ZINC000500247255 1071376471 /nfs/dbraw/zinc/37/64/71/1071376471.db2.gz WEBOHTLZJZFZJG-SGTLLEGYSA-N 0 0 429.495 -0.276 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@]3(CCCC[C@H]3C)C2=O)CCS(=O)(=O)CC1 ZINC000500247256 1071376519 /nfs/dbraw/zinc/37/65/19/1071376519.db2.gz WEBOHTLZJZFZJG-XIKOKIGWSA-N 0 0 429.495 -0.276 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)ccc1C ZINC000500247259 1071376457 /nfs/dbraw/zinc/37/64/57/1071376457.db2.gz WFZZPBFVBKPCMZ-CYBMUJFWSA-N 0 0 433.556 -0.653 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)ccc1C ZINC000500247260 1071376565 /nfs/dbraw/zinc/37/65/65/1071376565.db2.gz WFZZPBFVBKPCMZ-ZDUSSCGKSA-N 0 0 433.556 -0.653 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCC[C@@H](c2n[nH]cc2C(=O)NCCOC)C1 ZINC000500248909 1071376389 /nfs/dbraw/zinc/37/63/89/1071376389.db2.gz HPSTZSRPZMSTSN-CYBMUJFWSA-N 0 0 430.531 -0.386 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCC[C@H](c2n[nH]cc2C(=O)NCCOC)C1 ZINC000500248910 1071376490 /nfs/dbraw/zinc/37/64/90/1071376490.db2.gz HPSTZSRPZMSTSN-ZDUSSCGKSA-N 0 0 430.531 -0.386 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000500249159 1071376509 /nfs/dbraw/zinc/37/65/09/1071376509.db2.gz DMLNEOUZZVGERZ-INIZCTEOSA-N 0 0 428.511 -0.654 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000500250383 1071376921 /nfs/dbraw/zinc/37/69/21/1071376921.db2.gz ILYABZQMTCGSQQ-AWEZNQCLSA-N 0 0 429.495 -0.135 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500250460 1071376895 /nfs/dbraw/zinc/37/68/95/1071376895.db2.gz IRYXFMLUGVZDCV-AHMDFLBRSA-N 0 0 445.472 -0.453 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500250465 1071377215 /nfs/dbraw/zinc/37/72/15/1071377215.db2.gz IRYXFMLUGVZDCV-CHWQCYJSSA-N 0 0 445.472 -0.453 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500250468 1071377177 /nfs/dbraw/zinc/37/71/77/1071377177.db2.gz IRYXFMLUGVZDCV-PDVMFTSQSA-N 0 0 445.472 -0.453 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500250469 1071376908 /nfs/dbraw/zinc/37/69/08/1071376908.db2.gz IRYXFMLUGVZDCV-PHNROFDPSA-N 0 0 445.472 -0.453 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc(C(=O)N4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000500250482 1071377030 /nfs/dbraw/zinc/37/70/30/1071377030.db2.gz MLGSRMZBGVJPOL-UHFFFAOYSA-N 0 0 440.460 -0.065 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N(C)[C@@H](COC)C(N)=O ZINC000500252423 1071376876 /nfs/dbraw/zinc/37/68/76/1071376876.db2.gz MLXDZUKWPSSTAT-INIZCTEOSA-N 0 0 442.538 -0.406 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)NCCC(=O)N2CCOCC2)C1 ZINC000500252560 1071377082 /nfs/dbraw/zinc/37/70/82/1071377082.db2.gz PUBKCIKJRWYWEP-HNNXBMFYSA-N 0 0 436.513 -0.076 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)NCCC(=O)N2CCOCC2)C1 ZINC000500252564 1071376813 /nfs/dbraw/zinc/37/68/13/1071376813.db2.gz PUBKCIKJRWYWEP-OAHLLOKOSA-N 0 0 436.513 -0.076 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(CS(C)(=O)=O)ccc1OC ZINC000500253713 1071376941 /nfs/dbraw/zinc/37/69/41/1071376941.db2.gz HKHLFEFDCGITPZ-DZGCQCFKSA-N 0 0 428.463 -0.297 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(CS(C)(=O)=O)ccc1OC ZINC000500253715 1071377662 /nfs/dbraw/zinc/37/76/62/1071377662.db2.gz HKHLFEFDCGITPZ-HIFRSBDPSA-N 0 0 428.463 -0.297 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(CS(C)(=O)=O)ccc1OC ZINC000500253716 1071377555 /nfs/dbraw/zinc/37/75/55/1071377555.db2.gz HKHLFEFDCGITPZ-UKRRQHHQSA-N 0 0 428.463 -0.297 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(CS(C)(=O)=O)ccc1OC ZINC000500253717 1071377528 /nfs/dbraw/zinc/37/75/28/1071377528.db2.gz HKHLFEFDCGITPZ-ZFWWWQNUSA-N 0 0 428.463 -0.297 20 0 IBADRN C[C@@H](CN1CCCC1=O)Nc1nc(N[C@@H](C)CN2CCCC2=O)nc(N2CCOCC2)n1 ZINC000500254352 1071377230 /nfs/dbraw/zinc/37/72/30/1071377230.db2.gz QHQSUOIXBWHHMC-HOTGVXAUSA-N 0 0 446.556 -0.602 20 0 IBADRN C[C@H](CN1CCCC1=O)Nc1nc(N[C@H](C)CN2CCCC2=O)nc(N2CCOCC2)n1 ZINC000500254360 1071376988 /nfs/dbraw/zinc/37/69/88/1071376988.db2.gz QHQSUOIXBWHHMC-HZPDHXFCSA-N 0 0 446.556 -0.602 20 0 IBADRN O=C(CNc1nc(NCC(=O)N2CCCCC2)nc(N2CCOCC2)n1)N1CCCCC1 ZINC000500254721 1071377133 /nfs/dbraw/zinc/37/71/33/1071377133.db2.gz SPGOVESHDPKINE-UHFFFAOYSA-N 0 0 446.556 -0.599 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000500259085 1071377573 /nfs/dbraw/zinc/37/75/73/1071377573.db2.gz VFALGSHWJHEOKA-GXTWGEPZSA-N 0 0 431.442 -0.461 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000500259086 1071377695 /nfs/dbraw/zinc/37/76/95/1071377695.db2.gz VFALGSHWJHEOKA-JSGCOSHPSA-N 0 0 431.442 -0.461 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000500259087 1071377511 /nfs/dbraw/zinc/37/75/11/1071377511.db2.gz VFALGSHWJHEOKA-OCCSQVGLSA-N 0 0 431.442 -0.461 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000500259088 1071377542 /nfs/dbraw/zinc/37/75/42/1071377542.db2.gz VFALGSHWJHEOKA-TZMCWYRMSA-N 0 0 431.442 -0.461 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000500259264 1071377643 /nfs/dbraw/zinc/37/76/43/1071377643.db2.gz KWYUMXHBGUZMNC-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1 ZINC000500259686 1071377736 /nfs/dbraw/zinc/37/77/36/1071377736.db2.gz LDHRQEUSECRPHG-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COCC(=O)N1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000500259808 1071377809 /nfs/dbraw/zinc/37/78/09/1071377809.db2.gz LXRKPFXYYVZAFP-UHFFFAOYSA-N 0 0 439.534 -0.043 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000500261067 1071377607 /nfs/dbraw/zinc/37/76/07/1071377607.db2.gz OQLIQPHRZUKTIL-INIZCTEOSA-N 0 0 449.556 -0.161 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000500261072 1071378436 /nfs/dbraw/zinc/37/84/36/1071378436.db2.gz OQLIQPHRZUKTIL-MRXNPFEDSA-N 0 0 449.556 -0.161 20 0 IBADRN NC(=O)C[C@@H]1CCCN(c2nc(N3CCOCC3)nc(N3CCC[C@@H](CC(N)=O)C3)n2)C1 ZINC000500262141 1071378296 /nfs/dbraw/zinc/37/82/96/1071378296.db2.gz MJJZVQKZYQVUER-HOTGVXAUSA-N 0 0 446.556 -0.108 20 0 IBADRN NC(=O)C[C@H]1CCCN(c2nc(N3CCOCC3)nc(N3CCC[C@H](CC(N)=O)C3)n2)C1 ZINC000500262142 1071378203 /nfs/dbraw/zinc/37/82/03/1071378203.db2.gz MJJZVQKZYQVUER-HZPDHXFCSA-N 0 0 446.556 -0.108 20 0 IBADRN NC(=O)C[C@H]1CCCN(c2nc(N3CCOCC3)nc(N3CCC[C@@H](CC(N)=O)C3)n2)C1 ZINC000500262143 1071378237 /nfs/dbraw/zinc/37/82/37/1071378237.db2.gz MJJZVQKZYQVUER-IYBDPMFKSA-N 0 0 446.556 -0.108 20 0 IBADRN Cc1noc(CN2CCN([C@@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000500263179 1071377771 /nfs/dbraw/zinc/37/77/71/1071377771.db2.gz SDRSYHZJWWKIMF-AWEZNQCLSA-N 0 0 436.538 -0.110 20 0 IBADRN Cc1noc(CN2CCN([C@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000500263185 1071377822 /nfs/dbraw/zinc/37/78/22/1071377822.db2.gz SDRSYHZJWWKIMF-CQSZACIVSA-N 0 0 436.538 -0.110 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCn2ccnn2)CC1 ZINC000500264512 1071378519 /nfs/dbraw/zinc/37/85/19/1071378519.db2.gz IKLHETMLMJJXQL-UHFFFAOYSA-N 0 0 441.558 -0.562 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(C(=O)N3CCOCC3)cc1)c(=O)n2C ZINC000500265086 1071378535 /nfs/dbraw/zinc/37/85/35/1071378535.db2.gz XANHEKSTSGZFHS-UHFFFAOYSA-N 0 0 426.433 -0.455 20 0 IBADRN CCC(=O)N1CC[C@H](Nc2nc(N[C@H]3CCN(C(=O)CC)C3)nc(N3CCOCC3)n2)C1 ZINC000500265981 1071378363 /nfs/dbraw/zinc/37/83/63/1071378363.db2.gz HCMOGYVEVPKXBQ-HOTGVXAUSA-N 0 0 446.556 -0.602 20 0 IBADRN CCC(=O)N1CC[C@@H](Nc2nc(N[C@@H]3CCN(C(=O)CC)C3)nc(N3CCOCC3)n2)C1 ZINC000500265982 1071378104 /nfs/dbraw/zinc/37/81/04/1071378104.db2.gz HCMOGYVEVPKXBQ-HZPDHXFCSA-N 0 0 446.556 -0.602 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C)[C@@H](COC)C(N)=O)c1 ZINC000500266580 1071378133 /nfs/dbraw/zinc/37/81/33/1071378133.db2.gz NDZLFXBFQCXVDX-HNNXBMFYSA-N 0 0 428.511 -0.594 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000500267117 1071378249 /nfs/dbraw/zinc/37/82/49/1071378249.db2.gz OHOFVNSBBJKJAE-UHFFFAOYSA-N 0 0 430.494 -0.802 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000500267349 1071378279 /nfs/dbraw/zinc/37/82/79/1071378279.db2.gz OPHFXSMXXPHCSY-UHFFFAOYSA-N 0 0 431.472 -0.502 20 0 IBADRN COCC(=O)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000500271419 1071379230 /nfs/dbraw/zinc/37/92/30/1071379230.db2.gz XURNOBAIILDYQM-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000500271497 1071379005 /nfs/dbraw/zinc/37/90/05/1071379005.db2.gz XMTKDOSFLZNVLI-UHFFFAOYSA-N 0 0 438.485 -0.153 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(C(=O)N4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000500272367 1071379131 /nfs/dbraw/zinc/37/91/31/1071379131.db2.gz FXICZGVXKUERGM-UHFFFAOYSA-N 0 0 426.433 -0.455 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCn3ccnn3)CC2)c1 ZINC000500272718 1071379034 /nfs/dbraw/zinc/37/90/34/1071379034.db2.gz ZYWXEZGPLWCXMZ-UHFFFAOYSA-N 0 0 434.522 -0.066 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)NCC(=O)N1CCCC1 ZINC000500273296 1071378846 /nfs/dbraw/zinc/37/88/46/1071378846.db2.gz UMLKBRFBHHYKFD-UHFFFAOYSA-N 0 0 440.522 -0.133 20 0 IBADRN NC(=O)C1(CNc2nc(NCC3(C(N)=O)CCCC3)nc(N3CCOCC3)n2)CCCC1 ZINC000500273949 1071378948 /nfs/dbraw/zinc/37/89/48/1071378948.db2.gz AUHAAWUIYGXRBJ-UHFFFAOYSA-N 0 0 446.556 -0.533 20 0 IBADRN CC(=O)N1CCN(c2nc(N3CCOCC3)nc(N3CCN(C(C)=O)C[C@@H]3C)n2)[C@@H](C)C1 ZINC000500274712 1071378907 /nfs/dbraw/zinc/37/89/07/1071378907.db2.gz LOFZFPQSTKRRKF-HOTGVXAUSA-N 0 0 446.556 -0.178 20 0 IBADRN CC(=O)N1CCN(c2nc(N3CCOCC3)nc(N3CCN(C(C)=O)C[C@H]3C)n2)[C@H](C)C1 ZINC000500274715 1071379149 /nfs/dbraw/zinc/37/91/49/1071379149.db2.gz LOFZFPQSTKRRKF-HZPDHXFCSA-N 0 0 446.556 -0.178 20 0 IBADRN CC(=O)N1CCN(c2nc(N3CCOCC3)nc(N3CCN(C(C)=O)C[C@@H]3C)n2)[C@H](C)C1 ZINC000500274717 1071379055 /nfs/dbraw/zinc/37/90/55/1071379055.db2.gz LOFZFPQSTKRRKF-IYBDPMFKSA-N 0 0 446.556 -0.178 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000500277402 1071378987 /nfs/dbraw/zinc/37/89/87/1071378987.db2.gz HNBWSAUIJGLCAL-HNNXBMFYSA-N 0 0 441.506 -0.183 20 0 IBADRN CC(C)N1CC[C@H](Nc2nc(N[C@H]3CCN(C(C)C)C3=O)nc(N3CCOCC3)n2)C1=O ZINC000500283009 1071379651 /nfs/dbraw/zinc/37/96/51/1071379651.db2.gz WWYAUPKIWGVPFT-HOTGVXAUSA-N 0 0 446.556 -0.606 20 0 IBADRN CC(C)N1CC[C@@H](Nc2nc(N[C@@H]3CCN(C(C)C)C3=O)nc(N3CCOCC3)n2)C1=O ZINC000500283010 1071379802 /nfs/dbraw/zinc/37/98/02/1071379802.db2.gz WWYAUPKIWGVPFT-HZPDHXFCSA-N 0 0 446.556 -0.606 20 0 IBADRN CC(C)N1CC[C@@H](Nc2nc(N[C@H]3CCN(C(C)C)C3=O)nc(N3CCOCC3)n2)C1=O ZINC000500283011 1071379759 /nfs/dbraw/zinc/37/97/59/1071379759.db2.gz WWYAUPKIWGVPFT-IYBDPMFKSA-N 0 0 446.556 -0.606 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)NCc2ccccc2CN2CCOCC2)C1 ZINC000500285134 1071379680 /nfs/dbraw/zinc/37/96/80/1071379680.db2.gz GJOSBUYGAJVYNT-KRWDZBQOSA-N 0 0 439.582 -0.360 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)NCc2ccccc2CN2CCOCC2)C1 ZINC000500285135 1071379569 /nfs/dbraw/zinc/37/95/69/1071379569.db2.gz GJOSBUYGAJVYNT-QGZVFWFLSA-N 0 0 439.582 -0.360 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500285787 1071380690 /nfs/dbraw/zinc/38/06/90/1071380690.db2.gz HZKLLITZFWDFKY-CVEARBPZSA-N 0 0 425.555 -0.066 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500285788 1071380346 /nfs/dbraw/zinc/38/03/46/1071380346.db2.gz HZKLLITZFWDFKY-HOTGVXAUSA-N 0 0 425.555 -0.066 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500285789 1071380577 /nfs/dbraw/zinc/38/05/77/1071380577.db2.gz HZKLLITZFWDFKY-HZPDHXFCSA-N 0 0 425.555 -0.066 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500285790 1071380256 /nfs/dbraw/zinc/38/02/56/1071380256.db2.gz HZKLLITZFWDFKY-JKSUJKDBSA-N 0 0 425.555 -0.066 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3CCC[C@H](CNS(N)(=O)=O)C3)CC2)cc1 ZINC000500287066 1071380503 /nfs/dbraw/zinc/38/05/03/1071380503.db2.gz ATCCGRKTZCSGRU-GOSISDBHSA-N 0 0 439.582 -0.156 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3CCC[C@@H](CNS(N)(=O)=O)C3)CC2)cc1 ZINC000500287067 1071380428 /nfs/dbraw/zinc/38/04/28/1071380428.db2.gz ATCCGRKTZCSGRU-SFHVURJKSA-N 0 0 439.582 -0.156 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000500287324 1071380377 /nfs/dbraw/zinc/38/03/77/1071380377.db2.gz DYRXGRVVRJDKHG-UHFFFAOYSA-N 0 0 442.448 -0.404 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCn3ccnn3)CC2)cc1 ZINC000500287836 1071380397 /nfs/dbraw/zinc/38/03/97/1071380397.db2.gz GGVWEILVYLZEJH-UHFFFAOYSA-N 0 0 436.494 -0.674 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000500287967 1071380466 /nfs/dbraw/zinc/38/04/66/1071380466.db2.gz FFRRKFQXOYBXOR-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N(C)[C@@H](COC)C(N)=O)o1 ZINC000500288075 1071380719 /nfs/dbraw/zinc/38/07/19/1071380719.db2.gz MMWNIGNELXRJLL-NEPJUHHUSA-N 0 0 431.467 -0.432 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N(C)[C@@H](COC)C(N)=O)o1 ZINC000500288076 1071380563 /nfs/dbraw/zinc/38/05/63/1071380563.db2.gz MMWNIGNELXRJLL-RYUDHWBXSA-N 0 0 431.467 -0.432 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000500288537 1071380632 /nfs/dbraw/zinc/38/06/32/1071380632.db2.gz NWOUVWPYBKOUNT-UHFFFAOYSA-N 0 0 440.913 -0.029 20 0 IBADRN O=c1ccccn1CCNc1nc(NCCn2ccccc2=O)nc(N2CCOCC2)n1 ZINC000500288908 1071380307 /nfs/dbraw/zinc/38/03/07/1071380307.db2.gz HMZRPWNHVKFCKN-UHFFFAOYSA-N 0 0 438.492 -0.900 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2ccc(C(=O)N3CCN(C(=O)COC)CC3)cc2)CC1 ZINC000500290414 1071381451 /nfs/dbraw/zinc/38/14/51/1071381451.db2.gz UIUPJXNURBHAEI-UHFFFAOYSA-N 0 0 446.504 -0.452 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1C ZINC000500290446 1071381435 /nfs/dbraw/zinc/38/14/35/1071381435.db2.gz DHPFWAXUOWMUOX-AWEZNQCLSA-N 0 0 446.551 -0.923 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1C ZINC000500290447 1071381367 /nfs/dbraw/zinc/38/13/67/1071381367.db2.gz DHPFWAXUOWMUOX-CQSZACIVSA-N 0 0 446.551 -0.923 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1C ZINC000500290568 1071381259 /nfs/dbraw/zinc/38/12/59/1071381259.db2.gz SHUBLAMOSZIAIA-UHFFFAOYSA-N 0 0 437.522 -0.736 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCn2ccnn2)CC1 ZINC000500290799 1071381250 /nfs/dbraw/zinc/38/12/50/1071381250.db2.gz ONIYWKWZVPRDAH-UHFFFAOYSA-N 0 0 429.547 -0.705 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500290839 1071381340 /nfs/dbraw/zinc/38/13/40/1071381340.db2.gz URDJZWHEDUHVIW-AWEZNQCLSA-N 0 0 431.584 -0.282 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500290840 1071381134 /nfs/dbraw/zinc/38/11/34/1071381134.db2.gz URDJZWHEDUHVIW-CQSZACIVSA-N 0 0 431.584 -0.282 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1C ZINC000500291544 1071381106 /nfs/dbraw/zinc/38/11/06/1071381106.db2.gz ZMOYEGYPNRNNMD-UHFFFAOYSA-N 0 0 439.538 -0.490 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCn2ccnn2)CC1)N1CCCc2ccccc21 ZINC000500293729 1071382742 /nfs/dbraw/zinc/38/27/42/1071382742.db2.gz BXVJJXDCUOMMLX-UHFFFAOYSA-N 0 0 439.520 -0.092 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000500293735 1071382756 /nfs/dbraw/zinc/38/27/56/1071382756.db2.gz CAPZEBNXMHCNSF-GOSISDBHSA-N 0 0 425.493 -0.266 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000500293736 1071382702 /nfs/dbraw/zinc/38/27/02/1071382702.db2.gz CAPZEBNXMHCNSF-SFHVURJKSA-N 0 0 425.493 -0.266 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(C(=O)N4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000500293807 1071381207 /nfs/dbraw/zinc/38/12/07/1071381207.db2.gz MZCIQWFPEKBOBL-UHFFFAOYSA-N 0 0 440.460 -0.065 20 0 IBADRN COCC(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000500294462 1071382309 /nfs/dbraw/zinc/38/23/09/1071382309.db2.gz PTVYBDLKJXQMMF-UHFFFAOYSA-N 0 0 431.536 -0.371 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)Nc2ccc(CN3CCOCC3)cc2)C1 ZINC000500295038 1071382100 /nfs/dbraw/zinc/38/21/00/1071382100.db2.gz VBUTWXIJFMQCAV-KRWDZBQOSA-N 0 0 425.555 -0.038 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)Nc2ccc(CN3CCOCC3)cc2)C1 ZINC000500295039 1071381979 /nfs/dbraw/zinc/38/19/79/1071381979.db2.gz VBUTWXIJFMQCAV-QGZVFWFLSA-N 0 0 425.555 -0.038 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000500295059 1071382178 /nfs/dbraw/zinc/38/21/78/1071382178.db2.gz FYUBTXFBEUBDJK-HNNXBMFYSA-N 0 0 438.485 -0.107 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000500295060 1071382026 /nfs/dbraw/zinc/38/20/26/1071382026.db2.gz FYUBTXFBEUBDJK-OAHLLOKOSA-N 0 0 438.485 -0.107 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC000500295182 1071381957 /nfs/dbraw/zinc/38/19/57/1071381957.db2.gz VMJUHAZGFNITIX-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000500295773 1071382198 /nfs/dbraw/zinc/38/21/98/1071382198.db2.gz CQGFKSDZMQDDSA-AWEZNQCLSA-N 0 0 444.496 -0.105 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000500295774 1071381928 /nfs/dbraw/zinc/38/19/28/1071381928.db2.gz CQGFKSDZMQDDSA-CQSZACIVSA-N 0 0 444.496 -0.105 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2cccc(C(=O)N3CCN(C(=O)COC)CC3)c2)CC1 ZINC000500295790 1071382151 /nfs/dbraw/zinc/38/21/51/1071382151.db2.gz CSRNSEDMVORAAO-UHFFFAOYSA-N 0 0 446.504 -0.452 20 0 IBADRN COCC(=O)N1CCN(C(=O)CC[C@H](C)CC(=O)N2CCN(C(=O)COC)CC2)CC1 ZINC000500298292 1071382047 /nfs/dbraw/zinc/38/20/47/1071382047.db2.gz NWOOVQNDPKZAKW-KRWDZBQOSA-N 0 0 440.541 -0.573 20 0 IBADRN COCC(=O)N1CCN(C(=O)CC[C@@H](C)CC(=O)N2CCN(C(=O)COC)CC2)CC1 ZINC000500298294 1071381893 /nfs/dbraw/zinc/38/18/93/1071381893.db2.gz NWOOVQNDPKZAKW-QGZVFWFLSA-N 0 0 440.541 -0.573 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccn4ccnc4c3)CC2)cn1C ZINC000500298630 1071382977 /nfs/dbraw/zinc/38/29/77/1071382977.db2.gz RITUFOCGYKNRSP-UHFFFAOYSA-N 0 0 445.505 -0.474 20 0 IBADRN O=C(NCc1ccn2ccnc2c1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500299005 1071382828 /nfs/dbraw/zinc/38/28/28/1071382828.db2.gz SRAGMPTZNCTSBB-INIZCTEOSA-N 0 0 435.506 -0.397 20 0 IBADRN O=C(NCc1ccn2ccnc2c1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500299006 1071383028 /nfs/dbraw/zinc/38/30/28/1071383028.db2.gz SRAGMPTZNCTSBB-MRXNPFEDSA-N 0 0 435.506 -0.397 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccn3ccnc3c2)C1 ZINC000500299319 1071383052 /nfs/dbraw/zinc/38/30/52/1071383052.db2.gz SZVXPSARBUTSGE-INIZCTEOSA-N 0 0 437.522 -0.151 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccn3ccnc3c2)C1 ZINC000500299323 1071382919 /nfs/dbraw/zinc/38/29/19/1071382919.db2.gz SZVXPSARBUTSGE-MRXNPFEDSA-N 0 0 437.522 -0.151 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000500299380 1071383440 /nfs/dbraw/zinc/38/34/40/1071383440.db2.gz UBHJRMCJRDJHDR-UHFFFAOYSA-N 0 0 446.493 -0.806 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(C(=O)N3CCOCC3)c1)c(=O)n2C ZINC000500300159 1071382794 /nfs/dbraw/zinc/38/27/94/1071382794.db2.gz USZVQLJLLBOYNG-UHFFFAOYSA-N 0 0 426.433 -0.455 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000500301602 1071383580 /nfs/dbraw/zinc/38/35/80/1071383580.db2.gz GSKNGUBFKUSDBV-UHFFFAOYSA-N 0 0 447.535 -0.563 20 0 IBADRN CN(CCCOc1ccc(S(C)(=O)=O)cc1)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000500301624 1071383404 /nfs/dbraw/zinc/38/34/04/1071383404.db2.gz GZYLGTPXKATDRS-UHFFFAOYSA-N 0 0 440.565 -0.234 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500301628 1071383485 /nfs/dbraw/zinc/38/34/85/1071383485.db2.gz HCIKLGIVTPJQJV-AWEZNQCLSA-N 0 0 448.542 -0.255 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500301629 1071383416 /nfs/dbraw/zinc/38/34/16/1071383416.db2.gz HCIKLGIVTPJQJV-CQSZACIVSA-N 0 0 448.542 -0.255 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000500301656 1071382716 /nfs/dbraw/zinc/38/27/16/1071382716.db2.gz HGZLEEPSHPOCDR-UHFFFAOYSA-N 0 0 427.531 -0.951 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNS(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000500303168 1071383696 /nfs/dbraw/zinc/38/36/96/1071383696.db2.gz KRJNXLFYLYKPEI-UHFFFAOYSA-N 0 0 439.581 -0.172 20 0 IBADRN O=S(=O)(NCc1cccc(OCCN2CCOCC2)c1)N1CCS(=O)(=O)CC1 ZINC000500304355 1071384261 /nfs/dbraw/zinc/38/42/61/1071384261.db2.gz NKRRDMIEDNWBOL-UHFFFAOYSA-N 0 0 433.552 -0.538 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000500304983 1071383620 /nfs/dbraw/zinc/38/36/20/1071383620.db2.gz PGEDNNPIDNTRGK-UHFFFAOYSA-N 0 0 444.579 -0.040 20 0 IBADRN COC(=O)CN(Cc1cc(OC)cc(OC)c1)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000500306993 1071384486 /nfs/dbraw/zinc/38/44/86/1071384486.db2.gz SSQRTCBGZMIOAQ-UHFFFAOYSA-N 0 0 436.508 -0.346 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)C1 ZINC000500307372 1071384379 /nfs/dbraw/zinc/38/43/79/1071384379.db2.gz VXZFUSVBDLAQJP-AWEZNQCLSA-N 0 0 429.568 -0.462 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)C1 ZINC000500307373 1071384312 /nfs/dbraw/zinc/38/43/12/1071384312.db2.gz VXZFUSVBDLAQJP-CQSZACIVSA-N 0 0 429.568 -0.462 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000500307678 1071384211 /nfs/dbraw/zinc/38/42/11/1071384211.db2.gz UXTMIYCCETTWII-ROUUACIJSA-N 0 0 425.493 -0.501 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000500307679 1071384294 /nfs/dbraw/zinc/38/42/94/1071384294.db2.gz UXTMIYCCETTWII-ZWKOTPCHSA-N 0 0 425.493 -0.501 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000500309220 1071384447 /nfs/dbraw/zinc/38/44/47/1071384447.db2.gz ADFZIAVKQVPETR-DZKIICNBSA-N 0 0 433.552 -0.580 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000500309221 1071384420 /nfs/dbraw/zinc/38/44/20/1071384420.db2.gz ADFZIAVKQVPETR-FMKPAKJESA-N 0 0 433.552 -0.580 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000500309222 1071384522 /nfs/dbraw/zinc/38/45/22/1071384522.db2.gz ADFZIAVKQVPETR-LZWOXQAQSA-N 0 0 433.552 -0.580 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000500309223 1071384134 /nfs/dbraw/zinc/38/41/34/1071384134.db2.gz ADFZIAVKQVPETR-YCPHGPKFSA-N 0 0 433.552 -0.580 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNS(=O)(=O)N3CCS(=O)(=O)CC3)cc2)CC1 ZINC000500309794 1071384460 /nfs/dbraw/zinc/38/44/60/1071384460.db2.gz DAFQEKHNCPUTJY-UHFFFAOYSA-N 0 0 430.552 -0.861 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000500309967 1071384155 /nfs/dbraw/zinc/38/41/55/1071384155.db2.gz FDLNDBOZVLRPJN-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500310455 1071385829 /nfs/dbraw/zinc/38/58/29/1071385829.db2.gz JBTAJYXXXWDPKQ-HNNXBMFYSA-N 0 0 445.519 -0.477 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500310456 1071386171 /nfs/dbraw/zinc/38/61/71/1071386171.db2.gz JBTAJYXXXWDPKQ-OAHLLOKOSA-N 0 0 445.519 -0.477 20 0 IBADRN CCNC(=O)COc1ccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)cc1OC ZINC000500311097 1071385330 /nfs/dbraw/zinc/38/53/30/1071385330.db2.gz MKNVZWZVJUKXFX-UHFFFAOYSA-N 0 0 435.524 -0.725 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)cc1 ZINC000500311184 1071385229 /nfs/dbraw/zinc/38/52/29/1071385229.db2.gz MSJBMHKEWITZRI-UHFFFAOYSA-N 0 0 437.565 -0.723 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)OC ZINC000500311387 1071385320 /nfs/dbraw/zinc/38/53/20/1071385320.db2.gz NPSODNXIJFJWLB-AWEZNQCLSA-N 0 0 434.492 -0.146 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)OC ZINC000500311391 1071385086 /nfs/dbraw/zinc/38/50/86/1071385086.db2.gz NPSODNXIJFJWLB-CQSZACIVSA-N 0 0 434.492 -0.146 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(C(=O)N4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000500312189 1071385911 /nfs/dbraw/zinc/38/59/11/1071385911.db2.gz AVPZTRJYHXZAGI-UHFFFAOYSA-N 0 0 426.433 -0.455 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000500313089 1071384936 /nfs/dbraw/zinc/38/49/36/1071384936.db2.gz GSVKLMWZAZWHCZ-BDJLRTHQSA-N 0 0 427.479 -0.373 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000500313093 1071385283 /nfs/dbraw/zinc/38/52/83/1071385283.db2.gz GSVKLMWZAZWHCZ-BZNIZROVSA-N 0 0 427.479 -0.373 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000500313096 1071385045 /nfs/dbraw/zinc/38/50/45/1071385045.db2.gz GSVKLMWZAZWHCZ-MEDUHNTESA-N 0 0 427.479 -0.373 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000500313098 1071385380 /nfs/dbraw/zinc/38/53/80/1071385380.db2.gz GSVKLMWZAZWHCZ-ZBEGNZNMSA-N 0 0 427.479 -0.373 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500313186 1071385178 /nfs/dbraw/zinc/38/51/78/1071385178.db2.gz DNONGOXUHFURDG-AWEZNQCLSA-N 0 0 427.527 -0.591 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500313187 1071385107 /nfs/dbraw/zinc/38/51/07/1071385107.db2.gz DNONGOXUHFURDG-CQSZACIVSA-N 0 0 427.527 -0.591 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000500313776 1071385343 /nfs/dbraw/zinc/38/53/43/1071385343.db2.gz IUZBTHMINFJUGF-AWEZNQCLSA-N 0 0 427.527 -0.403 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000500313777 1071385409 /nfs/dbraw/zinc/38/54/09/1071385409.db2.gz IUZBTHMINFJUGF-CQSZACIVSA-N 0 0 427.527 -0.403 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1=O ZINC000500314806 1071385845 /nfs/dbraw/zinc/38/58/45/1071385845.db2.gz FJUWCHBNFSJPQO-HNNXBMFYSA-N 0 0 444.444 -0.446 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1=O ZINC000500314807 1071386186 /nfs/dbraw/zinc/38/61/86/1071386186.db2.gz FJUWCHBNFSJPQO-OAHLLOKOSA-N 0 0 444.444 -0.446 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000500315052 1071386083 /nfs/dbraw/zinc/38/60/83/1071386083.db2.gz JRJUFSDZVOZDMP-BFHYXJOUSA-N 0 0 447.583 -0.595 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCC[C@@H](CNS(N)(=O)=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000500315053 1071386206 /nfs/dbraw/zinc/38/62/06/1071386206.db2.gz JRJUFSDZVOZDMP-IHRRRGAJSA-N 0 0 447.583 -0.595 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCC[C@H](CNS(N)(=O)=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000500315054 1071385795 /nfs/dbraw/zinc/38/57/95/1071385795.db2.gz JRJUFSDZVOZDMP-MELADBBJSA-N 0 0 447.583 -0.595 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000500315055 1071386131 /nfs/dbraw/zinc/38/61/31/1071386131.db2.gz JRJUFSDZVOZDMP-MJBXVCDLSA-N 0 0 447.583 -0.595 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2OC)C1=O ZINC000500315157 1071385218 /nfs/dbraw/zinc/38/52/18/1071385218.db2.gz GFRKLWQWXYWDHK-CYBMUJFWSA-N 0 0 434.449 -0.384 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2OC)C1=O ZINC000500315160 1071385013 /nfs/dbraw/zinc/38/50/13/1071385013.db2.gz GFRKLWQWXYWDHK-ZDUSSCGKSA-N 0 0 434.449 -0.384 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCn3ccnn3)CC2)cs1 ZINC000500315539 1071386018 /nfs/dbraw/zinc/38/60/18/1071386018.db2.gz LWXLNVZJIYZMSX-UHFFFAOYSA-N 0 0 427.512 -0.918 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)NCc2ccccc2CN2CCCC2=O)C1 ZINC000500315622 1071385969 /nfs/dbraw/zinc/38/59/69/1071385969.db2.gz OMKNFIWYHNTAFI-INIZCTEOSA-N 0 0 437.566 -0.070 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)NCc2ccccc2CN2CCCC2=O)C1 ZINC000500315623 1071385928 /nfs/dbraw/zinc/38/59/28/1071385928.db2.gz OMKNFIWYHNTAFI-MRXNPFEDSA-N 0 0 437.566 -0.070 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(N3CCCNC3=O)c2)C1=O ZINC000500315789 1071386740 /nfs/dbraw/zinc/38/67/40/1071386740.db2.gz ITPYLCPONJCKDA-HNNXBMFYSA-N 0 0 431.449 -0.175 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(N3CCCNC3=O)c2)C1=O ZINC000500315793 1071386831 /nfs/dbraw/zinc/38/68/31/1071386831.db2.gz ITPYLCPONJCKDA-OAHLLOKOSA-N 0 0 431.449 -0.175 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H](CO)Cc2ccc(F)cc2)n1)N1CCOCC1 ZINC000500315814 1074334754 /nfs/dbraw/zinc/33/47/54/1074334754.db2.gz IVYYNFNZIZXUNV-INIZCTEOSA-N 0 0 433.440 -0.461 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H](CO)Cc2ccc(F)cc2)n1)N1CCOCC1 ZINC000500315818 1074334734 /nfs/dbraw/zinc/33/47/34/1074334734.db2.gz IVYYNFNZIZXUNV-MRXNPFEDSA-N 0 0 433.440 -0.461 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(C)nn2Cc2ccccn2)C1=O ZINC000500316159 1071386685 /nfs/dbraw/zinc/38/66/85/1071386685.db2.gz JFIMZCCLRLBJLA-HNNXBMFYSA-N 0 0 428.449 -0.146 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(C)nn2Cc2ccccn2)C1=O ZINC000500316162 1071386768 /nfs/dbraw/zinc/38/67/68/1071386768.db2.gz JFIMZCCLRLBJLA-OAHLLOKOSA-N 0 0 428.449 -0.146 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1=O ZINC000500316239 1071386716 /nfs/dbraw/zinc/38/67/16/1071386716.db2.gz KSSMIRZGEXTOOE-HNNXBMFYSA-N 0 0 444.444 -0.446 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1=O ZINC000500316240 1071386806 /nfs/dbraw/zinc/38/68/06/1071386806.db2.gz KSSMIRZGEXTOOE-OAHLLOKOSA-N 0 0 444.444 -0.446 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccn3ccnc3c2)CC1 ZINC000500316758 1071385890 /nfs/dbraw/zinc/38/58/90/1071385890.db2.gz OMMPFHYDUWCBGO-UHFFFAOYSA-N 0 0 437.522 -0.151 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCn2ccnn2)CC1)N1CCc2ccccc21 ZINC000500316780 1071386030 /nfs/dbraw/zinc/38/60/30/1071386030.db2.gz OTCBHJJPRBGJFP-UHFFFAOYSA-N 0 0 425.493 -0.482 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1=O ZINC000500317155 1071385958 /nfs/dbraw/zinc/38/59/58/1071385958.db2.gz OLKRKVOIUVFOIR-CYBMUJFWSA-N 0 0 445.436 -0.802 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1=O ZINC000500317156 1071385877 /nfs/dbraw/zinc/38/58/77/1071385877.db2.gz OLKRKVOIUVFOIR-ZDUSSCGKSA-N 0 0 445.436 -0.802 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000500317651 1071386815 /nfs/dbraw/zinc/38/68/15/1071386815.db2.gz RURLIFCAUJBQKB-AWEZNQCLSA-N 0 0 440.478 -0.333 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000500317652 1071386843 /nfs/dbraw/zinc/38/68/43/1071386843.db2.gz RURLIFCAUJBQKB-CQSZACIVSA-N 0 0 440.478 -0.333 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(S(C)(=O)=O)cc2C)C1=O ZINC000500318103 1071386004 /nfs/dbraw/zinc/38/60/04/1071386004.db2.gz TXGGEEQXETXFHT-AWEZNQCLSA-N 0 0 425.463 -0.383 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(C)(=O)=O)cc2C)C1=O ZINC000500318105 1071386051 /nfs/dbraw/zinc/38/60/51/1071386051.db2.gz TXGGEEQXETXFHT-CQSZACIVSA-N 0 0 425.463 -0.383 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(C(=O)COC)CC2)c1 ZINC000500318299 1071386066 /nfs/dbraw/zinc/38/60/66/1071386066.db2.gz SMUTWNCOCDUYTR-UHFFFAOYSA-N 0 0 428.511 -0.804 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(Cl)c2S(C)(=O)=O)C1=O ZINC000500319322 1071387442 /nfs/dbraw/zinc/38/74/42/1071387442.db2.gz ZKDBYGDIYRZZTP-GFCCVEGCSA-N 0 0 445.881 -0.038 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(Cl)c2S(C)(=O)=O)C1=O ZINC000500319326 1071387403 /nfs/dbraw/zinc/38/74/03/1071387403.db2.gz ZKDBYGDIYRZZTP-LBPRGKRZSA-N 0 0 445.881 -0.038 20 0 IBADRN O=C(NCCNS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(Br)cc1 ZINC000500319891 1071386792 /nfs/dbraw/zinc/38/67/92/1071386792.db2.gz XKURQMNGGGRDKA-UHFFFAOYSA-N 0 0 440.341 -0.256 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)ccc1C ZINC000500320248 1071386707 /nfs/dbraw/zinc/38/67/07/1071386707.db2.gz FAZKVBQORUGINJ-CYBMUJFWSA-N 0 0 440.478 -0.878 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)ccc1C ZINC000500320249 1071386651 /nfs/dbraw/zinc/38/66/51/1071386651.db2.gz FAZKVBQORUGINJ-ZDUSSCGKSA-N 0 0 440.478 -0.878 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1)N1CCc2ccccc21 ZINC000500320405 1071387352 /nfs/dbraw/zinc/38/73/52/1071387352.db2.gz YKTIOWCCWIAZTR-UHFFFAOYSA-N 0 0 442.563 -0.832 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)c1C ZINC000500320597 1071387905 /nfs/dbraw/zinc/38/79/05/1071387905.db2.gz GARURXRBHINZHV-CYBMUJFWSA-N 0 0 440.478 -0.878 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)c1C ZINC000500320598 1071387891 /nfs/dbraw/zinc/38/78/91/1071387891.db2.gz GARURXRBHINZHV-ZDUSSCGKSA-N 0 0 440.478 -0.878 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000500320713 1071388088 /nfs/dbraw/zinc/38/80/88/1071388088.db2.gz HOHATXCLXWWJJZ-UHFFFAOYSA-N 0 0 428.555 -0.222 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)c(OC)c1 ZINC000500321223 1071388043 /nfs/dbraw/zinc/38/80/43/1071388043.db2.gz JIWILMPMQZFCDY-CYBMUJFWSA-N 0 0 430.527 -0.166 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)c(OC)c1 ZINC000500321225 1071387852 /nfs/dbraw/zinc/38/78/52/1071387852.db2.gz JIWILMPMQZFCDY-ZDUSSCGKSA-N 0 0 430.527 -0.166 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H](CO)Cc2ccc(F)cc2)cn1)N1CCOCC1 ZINC000500321355 1071387498 /nfs/dbraw/zinc/38/74/98/1071387498.db2.gz ACOGFHAKMBHMTH-INIZCTEOSA-N 0 0 433.440 -0.461 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H](CO)Cc2ccc(F)cc2)cn1)N1CCOCC1 ZINC000500321356 1071387554 /nfs/dbraw/zinc/38/75/54/1071387554.db2.gz ACOGFHAKMBHMTH-MRXNPFEDSA-N 0 0 433.440 -0.461 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1=O ZINC000500321358 1071387566 /nfs/dbraw/zinc/38/75/66/1071387566.db2.gz AEMWWMRFZNFTPD-AWEZNQCLSA-N 0 0 440.478 -0.844 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1=O ZINC000500321359 1071387430 /nfs/dbraw/zinc/38/74/30/1071387430.db2.gz AEMWWMRFZNFTPD-CQSZACIVSA-N 0 0 440.478 -0.844 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000500321406 1071387376 /nfs/dbraw/zinc/38/73/76/1071387376.db2.gz IYWKKYWLHKLVJN-CYBMUJFWSA-N 0 0 440.478 -0.878 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000500321407 1071387363 /nfs/dbraw/zinc/38/73/63/1071387363.db2.gz IYWKKYWLHKLVJN-ZDUSSCGKSA-N 0 0 440.478 -0.878 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000500321772 1071387418 /nfs/dbraw/zinc/38/74/18/1071387418.db2.gz KEOLAKAEIZJDKW-AWEZNQCLSA-N 0 0 425.463 -0.301 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000500321773 1071387391 /nfs/dbraw/zinc/38/73/91/1071387391.db2.gz KEOLAKAEIZJDKW-CQSZACIVSA-N 0 0 425.463 -0.301 20 0 IBADRN Cc1oc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000500321966 1071387548 /nfs/dbraw/zinc/38/75/48/1071387548.db2.gz LLEUPADUGZKHIX-UHFFFAOYSA-N 0 0 438.506 -0.064 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500322012 1071387455 /nfs/dbraw/zinc/38/74/55/1071387455.db2.gz MDODVLBFYFCUGK-UHFFFAOYSA-N 0 0 433.856 -0.113 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)N2CCC(NC(=O)c3ccccc3)CC2)C1 ZINC000500322688 1071387276 /nfs/dbraw/zinc/38/72/76/1071387276.db2.gz NUHDOQANAFKNJP-INIZCTEOSA-N 0 0 437.566 -0.088 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)N2CCC(NC(=O)c3ccccc3)CC2)C1 ZINC000500322699 1071387985 /nfs/dbraw/zinc/38/79/85/1071387985.db2.gz NUHDOQANAFKNJP-MRXNPFEDSA-N 0 0 437.566 -0.088 20 0 IBADRN C[C@@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000500322773 1071387942 /nfs/dbraw/zinc/38/79/42/1071387942.db2.gz BLUMQBISLURCND-CYBMUJFWSA-N 0 0 431.536 -0.030 20 0 IBADRN C[C@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000500322774 1071387787 /nfs/dbraw/zinc/38/77/87/1071387787.db2.gz BLUMQBISLURCND-ZDUSSCGKSA-N 0 0 431.536 -0.030 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000500322791 1071387931 /nfs/dbraw/zinc/38/79/31/1071387931.db2.gz BRCBOXWVQIEHBV-HNNXBMFYSA-N 0 0 425.511 -0.285 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000500322792 1071387822 /nfs/dbraw/zinc/38/78/22/1071387822.db2.gz BRTWNAOKWDSPSI-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN O=S(=O)(c1ccc(F)cc1)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500323570 1071388560 /nfs/dbraw/zinc/38/85/60/1071388560.db2.gz LYOYOHFKMHFNKR-UHFFFAOYSA-N 0 0 441.528 -0.893 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)C1=O ZINC000500324292 1071388550 /nfs/dbraw/zinc/38/85/50/1071388550.db2.gz QSVHPCRBBNPQBF-HNNXBMFYSA-N 0 0 428.449 -0.261 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)C1=O ZINC000500324293 1071388531 /nfs/dbraw/zinc/38/85/31/1071388531.db2.gz QSVHPCRBBNPQBF-OAHLLOKOSA-N 0 0 428.449 -0.261 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500325016 1071387956 /nfs/dbraw/zinc/38/79/56/1071387956.db2.gz AGNMNHWFTLXSGF-UHFFFAOYSA-N 0 0 447.517 -0.470 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C1 ZINC000500325441 1071387805 /nfs/dbraw/zinc/38/78/05/1071387805.db2.gz FLYACFIQFMMADW-HOTGVXAUSA-N 0 0 436.600 -0.916 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C1 ZINC000500325451 1071388105 /nfs/dbraw/zinc/38/81/05/1071388105.db2.gz FLYACFIQFMMADW-HZPDHXFCSA-N 0 0 436.600 -0.916 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C1 ZINC000500325452 1071387913 /nfs/dbraw/zinc/38/79/13/1071387913.db2.gz FLYACFIQFMMADW-IYBDPMFKSA-N 0 0 436.600 -0.916 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)C1=O ZINC000500325909 1071387837 /nfs/dbraw/zinc/38/78/37/1071387837.db2.gz DDEOQPPLNBRLCC-HNNXBMFYSA-N 0 0 441.448 -0.106 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)C1=O ZINC000500325911 1071388394 /nfs/dbraw/zinc/38/83/94/1071388394.db2.gz DDEOQPPLNBRLCC-OAHLLOKOSA-N 0 0 441.448 -0.106 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(C(=O)OC)c(F)cc2F)C1=O ZINC000500326687 1071388427 /nfs/dbraw/zinc/38/84/27/1071388427.db2.gz FNPOBJOJPWAQSZ-GFCCVEGCSA-N 0 0 427.360 -0.030 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(C(=O)OC)c(F)cc2F)C1=O ZINC000500326688 1071388371 /nfs/dbraw/zinc/38/83/71/1071388371.db2.gz FNPOBJOJPWAQSZ-LBPRGKRZSA-N 0 0 427.360 -0.030 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1=O ZINC000500326691 1071388462 /nfs/dbraw/zinc/38/84/62/1071388462.db2.gz FPBANOBLLKAUMW-CYBMUJFWSA-N 0 0 440.478 -0.844 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)C1=O ZINC000500326692 1071388385 /nfs/dbraw/zinc/38/83/85/1071388385.db2.gz FPBANOBLLKAUMW-ZDUSSCGKSA-N 0 0 440.478 -0.844 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)[C@@H](C)O)cc1 ZINC000500327058 1071388506 /nfs/dbraw/zinc/38/85/06/1071388506.db2.gz HCVBHYJRNAZSMB-OIISXLGYSA-N 0 0 447.579 -0.049 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)[C@@H](C)O)cc1 ZINC000500327059 1071388475 /nfs/dbraw/zinc/38/84/75/1071388475.db2.gz HCVBHYJRNAZSMB-PVAVHDDUSA-N 0 0 447.579 -0.049 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)[C@H](C)O)cc1 ZINC000500327060 1071388405 /nfs/dbraw/zinc/38/84/05/1071388405.db2.gz HCVBHYJRNAZSMB-UAGQMJEPSA-N 0 0 447.579 -0.049 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)[C@H](C)O)cc1 ZINC000500327061 1071388516 /nfs/dbraw/zinc/38/85/16/1071388516.db2.gz HCVBHYJRNAZSMB-XIRDDKMYSA-N 0 0 447.579 -0.049 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C)c(S(C)(=O)=O)c2)C1=O ZINC000500327671 1071388435 /nfs/dbraw/zinc/38/84/35/1071388435.db2.gz KOWFTPOWIDBULS-CYBMUJFWSA-N 0 0 425.463 -0.383 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C)c(S(C)(=O)=O)c2)C1=O ZINC000500327672 1071388513 /nfs/dbraw/zinc/38/85/13/1071388513.db2.gz KOWFTPOWIDBULS-ZDUSSCGKSA-N 0 0 425.463 -0.383 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500327867 1071388542 /nfs/dbraw/zinc/38/85/42/1071388542.db2.gz GJQQSFCIPWYNIA-UHFFFAOYSA-N 0 0 439.472 -0.992 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000500328941 1071388498 /nfs/dbraw/zinc/38/84/98/1071388498.db2.gz YALZPQGHPYTEQO-AWEZNQCLSA-N 0 0 442.472 -0.240 20 0 IBADRN O=C(NCc1ccn2ccnc2c1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000500329872 1071389038 /nfs/dbraw/zinc/38/90/38/1071389038.db2.gz VKRPFRMMIQPTQL-UHFFFAOYSA-N 0 0 428.474 -0.121 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@H](OC)[C@@H](OC)C1 ZINC000500330089 1071388764 /nfs/dbraw/zinc/38/87/64/1071388764.db2.gz MPXQAHYECQEQHN-HOTGVXAUSA-N 0 0 430.479 -0.074 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@@H](OC)[C@H](OC)C1 ZINC000500330090 1071388972 /nfs/dbraw/zinc/38/89/72/1071388972.db2.gz MPXQAHYECQEQHN-HZPDHXFCSA-N 0 0 430.479 -0.074 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@@H](OC)[C@@H](OC)C1 ZINC000500330091 1071389067 /nfs/dbraw/zinc/38/90/67/1071389067.db2.gz MPXQAHYECQEQHN-IYBDPMFKSA-N 0 0 430.479 -0.074 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)s1 ZINC000500330505 1071389093 /nfs/dbraw/zinc/38/90/93/1071389093.db2.gz IBLCFDOZUAUMLU-UHFFFAOYSA-N 0 0 428.536 -0.597 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)c3nccn3C)cc2)C1=O ZINC000500331111 1071389119 /nfs/dbraw/zinc/38/91/19/1071389119.db2.gz PLLOLIPHTXEDDH-HNNXBMFYSA-N 0 0 441.444 -0.130 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)c3nccn3C)cc2)C1=O ZINC000500331112 1071388998 /nfs/dbraw/zinc/38/89/98/1071388998.db2.gz PLLOLIPHTXEDDH-OAHLLOKOSA-N 0 0 441.444 -0.130 20 0 IBADRN O=C(NC[C@@H]1CCCO1)c1cccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)c1 ZINC000500331252 1071388946 /nfs/dbraw/zinc/38/89/46/1071388946.db2.gz QKUDDLSADKXEQQ-INIZCTEOSA-N 0 0 431.536 -0.340 20 0 IBADRN O=C(NC[C@H]1CCCO1)c1cccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)c1 ZINC000500331253 1071389009 /nfs/dbraw/zinc/38/90/09/1071389009.db2.gz QKUDDLSADKXEQQ-MRXNPFEDSA-N 0 0 431.536 -0.340 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1=O ZINC000500331868 1071388960 /nfs/dbraw/zinc/38/89/60/1071388960.db2.gz QVAHGAAQJZLPFL-CYBMUJFWSA-N 0 0 447.477 -0.397 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1=O ZINC000500331872 1071388787 /nfs/dbraw/zinc/38/87/87/1071388787.db2.gz QVAHGAAQJZLPFL-ZDUSSCGKSA-N 0 0 447.477 -0.397 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1C ZINC000500332098 1071388830 /nfs/dbraw/zinc/38/88/30/1071388830.db2.gz LFKKUOUJXRWMJP-UHFFFAOYSA-N 0 0 436.534 -0.127 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)c1 ZINC000500332800 1071388809 /nfs/dbraw/zinc/38/88/09/1071388809.db2.gz SDFHHYFBNKELJJ-UHFFFAOYSA-N 0 0 425.554 -0.562 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1C ZINC000500332823 1071389079 /nfs/dbraw/zinc/38/90/79/1071389079.db2.gz SGVXVTBHPGLFES-UHFFFAOYSA-N 0 0 444.579 -0.165 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)nc1 ZINC000500332887 1071389595 /nfs/dbraw/zinc/38/95/95/1071389595.db2.gz STORMYHLCAJWFC-UHFFFAOYSA-N 0 0 432.524 -0.645 20 0 IBADRN O=S(=O)(c1ccccc1)N1CCCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500335270 1071389023 /nfs/dbraw/zinc/38/90/23/1071389023.db2.gz XYFXCFJINSQSPC-UHFFFAOYSA-N 0 0 437.565 -0.642 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000500335304 1071389530 /nfs/dbraw/zinc/38/95/30/1071389530.db2.gz YDWZWQBZBXOCKT-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCN1CCOC ZINC000500336042 1071389556 /nfs/dbraw/zinc/38/95/56/1071389556.db2.gz WFBKBWFQHAZSDH-HNNXBMFYSA-N 0 0 446.508 -0.470 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCN1CCOC ZINC000500336051 1071389444 /nfs/dbraw/zinc/38/94/44/1071389444.db2.gz WFBKBWFQHAZSDH-OAHLLOKOSA-N 0 0 446.508 -0.470 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1=O ZINC000500336409 1071389288 /nfs/dbraw/zinc/38/92/88/1071389288.db2.gz WXPDTEABTLUFKN-GFCCVEGCSA-N 0 0 447.416 -0.413 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1=O ZINC000500336416 1071389310 /nfs/dbraw/zinc/38/93/10/1071389310.db2.gz WXPDTEABTLUFKN-LBPRGKRZSA-N 0 0 447.416 -0.413 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1=O ZINC000500338357 1071389609 /nfs/dbraw/zinc/38/96/09/1071389609.db2.gz BGCWPKFEUWYHNI-CYBMUJFWSA-N 0 0 433.400 -0.671 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1=O ZINC000500338361 1071389631 /nfs/dbraw/zinc/38/96/31/1071389631.db2.gz BGCWPKFEUWYHNI-ZDUSSCGKSA-N 0 0 433.400 -0.671 20 0 IBADRN CS(=O)(=O)NCCCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000500338707 1071389426 /nfs/dbraw/zinc/38/94/26/1071389426.db2.gz FJCSEMPNBBGOOJ-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N(C)[C@@H](COC)C(N)=O)CC2)o1 ZINC000500338965 1071389544 /nfs/dbraw/zinc/38/95/44/1071389544.db2.gz FOHFCUZZQHVQCM-ZDUSSCGKSA-N 0 0 445.494 -0.184 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1=O ZINC000500339518 1071390093 /nfs/dbraw/zinc/39/00/93/1071390093.db2.gz GEWAVQLTIIRGPD-HNNXBMFYSA-N 0 0 433.465 -0.595 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1=O ZINC000500339519 1071389972 /nfs/dbraw/zinc/38/99/72/1071389972.db2.gz GEWAVQLTIIRGPD-OAHLLOKOSA-N 0 0 433.465 -0.595 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c(C)c12 ZINC000500340447 1071390031 /nfs/dbraw/zinc/39/00/31/1071390031.db2.gz CVPZEJYWJTYAMW-UHFFFAOYSA-N 0 0 428.493 -0.240 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)nn1 ZINC000500341221 1071389345 /nfs/dbraw/zinc/38/93/45/1071389345.db2.gz NGCBQVMATSDBAF-BLLLJJGKSA-N 0 0 425.467 -0.896 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)nn1 ZINC000500341222 1071389378 /nfs/dbraw/zinc/38/93/78/1071389378.db2.gz NGCBQVMATSDBAF-LRDDRELGSA-N 0 0 425.467 -0.896 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)nn1 ZINC000500341223 1071389618 /nfs/dbraw/zinc/38/96/18/1071389618.db2.gz NGCBQVMATSDBAF-MLGOLLRUSA-N 0 0 425.467 -0.896 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)nn1 ZINC000500341224 1071389361 /nfs/dbraw/zinc/38/93/61/1071389361.db2.gz NGCBQVMATSDBAF-WBMJQRKESA-N 0 0 425.467 -0.896 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500343055 1071390147 /nfs/dbraw/zinc/39/01/47/1071390147.db2.gz GPLMUSKUXXHOJG-UHFFFAOYSA-N 0 0 426.470 -0.557 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000500343064 1071390176 /nfs/dbraw/zinc/39/01/76/1071390176.db2.gz GTFFIGUUUPUXER-UHFFFAOYSA-N 0 0 436.534 -0.079 20 0 IBADRN O=C(CSCc1cc(=O)n2ccsc2n1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500343464 1071390265 /nfs/dbraw/zinc/39/02/65/1071390265.db2.gz HCZABUQYPBJOAJ-UHFFFAOYSA-N 0 0 435.531 -0.061 20 0 IBADRN CCOC(=O)C(C)(C)c1csc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)n1 ZINC000500343746 1071390057 /nfs/dbraw/zinc/39/00/57/1071390057.db2.gz FEQOVVZPLNZVGU-UHFFFAOYSA-N 0 0 449.555 -0.129 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)NCCNC(=O)c2ccn[nH]2)C1 ZINC000500344574 1071390158 /nfs/dbraw/zinc/39/01/58/1071390158.db2.gz OCHPPDYJBLSKKV-CYBMUJFWSA-N 0 0 432.485 -0.172 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)NCCNC(=O)c2ccn[nH]2)C1 ZINC000500344575 1071390240 /nfs/dbraw/zinc/39/02/40/1071390240.db2.gz OCHPPDYJBLSKKV-ZDUSSCGKSA-N 0 0 432.485 -0.172 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(Cl)cc2c1OCC(=O)N2 ZINC000500346500 1071390039 /nfs/dbraw/zinc/39/00/39/1071390039.db2.gz JZWSDZRVXHLLRA-UHFFFAOYSA-N 0 0 433.874 -0.442 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000500346973 1071390185 /nfs/dbraw/zinc/39/01/85/1071390185.db2.gz DCCMNYIFEAIBJX-AWEZNQCLSA-N 0 0 429.437 -0.421 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000500346978 1071389999 /nfs/dbraw/zinc/38/99/99/1071389999.db2.gz DCCMNYIFEAIBJX-CQSZACIVSA-N 0 0 429.437 -0.421 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1 ZINC000500347941 1071390126 /nfs/dbraw/zinc/39/01/26/1071390126.db2.gz MVLXSNLORBOQHR-UHFFFAOYSA-N 0 0 444.489 -0.368 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2OC)C1=O ZINC000500348079 1071390963 /nfs/dbraw/zinc/39/09/63/1071390963.db2.gz GIJGUDPOWHKOIN-AWEZNQCLSA-N 0 0 434.449 -0.384 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2OC)C1=O ZINC000500348081 1071390856 /nfs/dbraw/zinc/39/08/56/1071390856.db2.gz GIJGUDPOWHKOIN-CQSZACIVSA-N 0 0 434.449 -0.384 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(F)c(N2C(=O)CCC2=O)c1 ZINC000500349295 1071390198 /nfs/dbraw/zinc/39/01/98/1071390198.db2.gz POZLYRFWWTWGCU-UHFFFAOYSA-N 0 0 443.457 -0.634 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1)Nc1ccc(F)cc1 ZINC000500349719 1071390726 /nfs/dbraw/zinc/39/07/26/1071390726.db2.gz QSGYRRMXJYWMNF-UHFFFAOYSA-N 0 0 434.515 -0.643 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)C2=O ZINC000500349788 1071390761 /nfs/dbraw/zinc/39/07/61/1071390761.db2.gz ZYDBIBWFISDLTQ-UHFFFAOYSA-N 0 0 433.509 -0.173 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1=O ZINC000500350027 1071390872 /nfs/dbraw/zinc/39/08/72/1071390872.db2.gz KYUYNUNABKJWJO-CYBMUJFWSA-N 0 0 440.478 -0.830 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1=O ZINC000500350028 1071391037 /nfs/dbraw/zinc/39/10/37/1071391037.db2.gz KYUYNUNABKJWJO-ZDUSSCGKSA-N 0 0 440.478 -0.830 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000500350188 1071390888 /nfs/dbraw/zinc/39/08/88/1071390888.db2.gz SPFCXNCKWQGFRZ-UHFFFAOYSA-N 0 0 449.533 -0.568 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)C1=O ZINC000500350459 1071390944 /nfs/dbraw/zinc/39/09/44/1071390944.db2.gz MDMYAJSXEDURPE-GOSISDBHSA-N 0 0 446.504 -0.220 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)C1=O ZINC000500350465 1071391006 /nfs/dbraw/zinc/39/10/06/1071391006.db2.gz MDMYAJSXEDURPE-SFHVURJKSA-N 0 0 446.504 -0.220 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(CC(=O)NC(C)C)cc2)C1=O ZINC000500350575 1071390691 /nfs/dbraw/zinc/39/06/91/1071390691.db2.gz MTKJQYPBCYTGSF-INIZCTEOSA-N 0 0 432.477 -0.028 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(CC(=O)NC(C)C)cc2)C1=O ZINC000500350596 1071390989 /nfs/dbraw/zinc/39/09/89/1071390989.db2.gz MTKJQYPBCYTGSF-MRXNPFEDSA-N 0 0 432.477 -0.028 20 0 IBADRN O=C(CCC(=O)N1CC(=O)Nc2ccccc21)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500350729 1071391053 /nfs/dbraw/zinc/39/10/53/1071391053.db2.gz AEZGVROCRJMZMM-UHFFFAOYSA-N 0 0 427.461 -0.299 20 0 IBADRN O=C(CN1CCC(NS(=O)(=O)N2CCS(=O)(=O)CC2)CC1)Nc1ccccc1 ZINC000500351478 1071391607 /nfs/dbraw/zinc/39/16/07/1071391607.db2.gz UXBFBBHAFCULTJ-UHFFFAOYSA-N 0 0 430.552 -0.346 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500352273 1071390742 /nfs/dbraw/zinc/39/07/42/1071390742.db2.gz WDYVQGXTZACBKZ-UHFFFAOYSA-N 0 0 444.579 -0.165 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1=O ZINC000500352614 1071391563 /nfs/dbraw/zinc/39/15/63/1071391563.db2.gz FYWZBBSPIRQOKE-INIZCTEOSA-N 0 0 446.460 -0.622 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1=O ZINC000500352615 1071391584 /nfs/dbraw/zinc/39/15/84/1071391584.db2.gz FYWZBBSPIRQOKE-MRXNPFEDSA-N 0 0 446.460 -0.622 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1=O ZINC000500352619 1071391597 /nfs/dbraw/zinc/39/15/97/1071391597.db2.gz FZMNVQJLKVVPMD-INIZCTEOSA-N 0 0 446.460 -0.622 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1=O ZINC000500352620 1071391489 /nfs/dbraw/zinc/39/14/89/1071391489.db2.gz FZMNVQJLKVVPMD-MRXNPFEDSA-N 0 0 446.460 -0.622 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)C1=O ZINC000500352805 1071391522 /nfs/dbraw/zinc/39/15/22/1071391522.db2.gz QVNCCOOJFCKUBF-GFCCVEGCSA-N 0 0 429.426 -0.552 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)C1=O ZINC000500352806 1071391503 /nfs/dbraw/zinc/39/15/03/1071391503.db2.gz QVNCCOOJFCKUBF-LBPRGKRZSA-N 0 0 429.426 -0.552 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1=O ZINC000500353045 1071391495 /nfs/dbraw/zinc/39/14/95/1071391495.db2.gz AGBHXIXFWZWEID-CYBMUJFWSA-N 0 0 440.478 -0.699 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1=O ZINC000500353054 1071391510 /nfs/dbraw/zinc/39/15/10/1071391510.db2.gz AGBHXIXFWZWEID-ZDUSSCGKSA-N 0 0 440.478 -0.699 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CCN1CCOC ZINC000500353638 1071391404 /nfs/dbraw/zinc/39/14/04/1071391404.db2.gz BIOSFZXRXMNCGF-KRWDZBQOSA-N 0 0 433.509 -0.201 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CCN1CCOC ZINC000500353639 1071391452 /nfs/dbraw/zinc/39/14/52/1071391452.db2.gz BIOSFZXRXMNCGF-QGZVFWFLSA-N 0 0 433.509 -0.201 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(N3C[C@H](C)O[C@@H](C)C3)nc2)C1=O ZINC000500353726 1071391438 /nfs/dbraw/zinc/39/14/38/1071391438.db2.gz BUBXGJUEUUWRQY-DZKIICNBSA-N 0 0 447.492 -0.086 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@H](C)C3)nc2)C1=O ZINC000500353728 1071391530 /nfs/dbraw/zinc/39/15/30/1071391530.db2.gz BUBXGJUEUUWRQY-FMKPAKJESA-N 0 0 447.492 -0.086 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)C1=O ZINC000500353730 1071392288 /nfs/dbraw/zinc/39/22/88/1071392288.db2.gz BUBXGJUEUUWRQY-IJEWVQPXSA-N 0 0 447.492 -0.086 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)C1=O ZINC000500353732 1071392259 /nfs/dbraw/zinc/39/22/59/1071392259.db2.gz BUBXGJUEUUWRQY-LZWOXQAQSA-N 0 0 447.492 -0.086 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CCS(=O)(=O)C1 ZINC000500354141 1071391624 /nfs/dbraw/zinc/39/16/24/1071391624.db2.gz GYVAWXRJDLHTBP-LLVKDONJSA-N 0 0 435.499 -0.092 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CCS(=O)(=O)C1 ZINC000500354142 1071391572 /nfs/dbraw/zinc/39/15/72/1071391572.db2.gz GYVAWXRJDLHTBP-NSHDSACASA-N 0 0 435.499 -0.092 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(Br)cn(C)c2=O)C1=O ZINC000500354279 1071391543 /nfs/dbraw/zinc/39/15/43/1071391543.db2.gz SZFHVPXPTKULNY-JTQLQIEISA-N 0 0 443.254 -0.634 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(Br)cn(C)c2=O)C1=O ZINC000500354280 1071391535 /nfs/dbraw/zinc/39/15/35/1071391535.db2.gz SZFHVPXPTKULNY-SNVBAGLBSA-N 0 0 443.254 -0.634 20 0 IBADRN COc1ccc([C@H](CNS(=O)(=O)N2CCS(=O)(=O)CC2)N2CCOCC2)cc1 ZINC000500354398 1071392150 /nfs/dbraw/zinc/39/21/50/1071392150.db2.gz BPBWXKPRNRDNRJ-KRWDZBQOSA-N 0 0 433.552 -0.367 20 0 IBADRN COc1ccc([C@@H](CNS(=O)(=O)N2CCS(=O)(=O)CC2)N2CCOCC2)cc1 ZINC000500354403 1071392326 /nfs/dbraw/zinc/39/23/26/1071392326.db2.gz BPBWXKPRNRDNRJ-QGZVFWFLSA-N 0 0 433.552 -0.367 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(F)c(N2CCOCC2)cc1F ZINC000500354635 1071391516 /nfs/dbraw/zinc/39/15/16/1071391516.db2.gz ZKERUCWZJVXUKI-UHFFFAOYSA-N 0 0 449.480 -0.312 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NC(C)(C)CO)c1 ZINC000500355211 1072412682 /nfs/dbraw/zinc/41/26/82/1072412682.db2.gz CRVKMZHKAMKVQG-UHFFFAOYSA-N 0 0 428.511 -0.401 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1=O ZINC000500356361 1071392301 /nfs/dbraw/zinc/39/23/01/1071392301.db2.gz HZARCCGUUVPNPS-HNNXBMFYSA-N 0 0 448.476 -0.319 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1=O ZINC000500356362 1071392232 /nfs/dbraw/zinc/39/22/32/1071392232.db2.gz HZARCCGUUVPNPS-OAHLLOKOSA-N 0 0 448.476 -0.319 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(F)cc(N3CCOCC3)c2)C1=O ZINC000500357803 1071392225 /nfs/dbraw/zinc/39/22/25/1071392225.db2.gz KNLGBCIKOATBDY-INIZCTEOSA-N 0 0 436.440 -0.119 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(F)cc(N3CCOCC3)c2)C1=O ZINC000500357804 1071392274 /nfs/dbraw/zinc/39/22/74/1071392274.db2.gz KNLGBCIKOATBDY-MRXNPFEDSA-N 0 0 436.440 -0.119 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N[C@H]3CCN(CCC(=O)OC)C3=O)cc21 ZINC000500358105 1071392134 /nfs/dbraw/zinc/39/21/34/1071392134.db2.gz PICNPBMGIFOTPF-HNNXBMFYSA-N 0 0 443.460 -0.394 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N[C@@H]3CCN(CCC(=O)OC)C3=O)cc21 ZINC000500358106 1071392247 /nfs/dbraw/zinc/39/22/47/1071392247.db2.gz PICNPBMGIFOTPF-OAHLLOKOSA-N 0 0 443.460 -0.394 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CCC(=O)N(C)C)CC2)[C@@H](C)O)cc1 ZINC000500358189 1071392111 /nfs/dbraw/zinc/39/21/11/1071392111.db2.gz JOXHYYJUBLKRLJ-APWZRJJASA-N 0 0 440.566 -0.355 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CCC(=O)N(C)C)CC2)[C@H](C)O)cc1 ZINC000500358195 1071392343 /nfs/dbraw/zinc/39/23/43/1071392343.db2.gz JOXHYYJUBLKRLJ-LPHOPBHVSA-N 0 0 440.566 -0.355 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CCC(=O)N(C)C)CC2)[C@H](C)O)cc1 ZINC000500358197 1071392160 /nfs/dbraw/zinc/39/21/60/1071392160.db2.gz JOXHYYJUBLKRLJ-QFBILLFUSA-N 0 0 440.566 -0.355 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CCC(=O)N(C)C)CC2)[C@@H](C)O)cc1 ZINC000500358199 1071392186 /nfs/dbraw/zinc/39/21/86/1071392186.db2.gz JOXHYYJUBLKRLJ-VQIMIIECSA-N 0 0 440.566 -0.355 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1=O ZINC000500358364 1071392998 /nfs/dbraw/zinc/39/29/98/1071392998.db2.gz KQSSOMJOIOGFFM-INIZCTEOSA-N 0 0 446.460 -0.622 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1=O ZINC000500358367 1071392785 /nfs/dbraw/zinc/39/27/85/1071392785.db2.gz KQSSOMJOIOGFFM-MRXNPFEDSA-N 0 0 446.460 -0.622 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1=O ZINC000500358543 1071392985 /nfs/dbraw/zinc/39/29/85/1071392985.db2.gz ZTKFYDYMTSIVLK-HNNXBMFYSA-N 0 0 434.449 -0.628 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1=O ZINC000500358549 1071392851 /nfs/dbraw/zinc/39/28/51/1071392851.db2.gz ZTKFYDYMTSIVLK-OAHLLOKOSA-N 0 0 434.449 -0.628 20 0 IBADRN O=C(COc1ccc(CCNS(=O)(=O)N2CCS(=O)(=O)CC2)cc1)NC1CC1 ZINC000500358968 1071392799 /nfs/dbraw/zinc/39/27/99/1071392799.db2.gz KREVFEQYTNDSFM-UHFFFAOYSA-N 0 0 431.536 -0.549 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccccc2CN2CCOCC2)C1=O ZINC000500359040 1071392376 /nfs/dbraw/zinc/39/23/76/1071392376.db2.gz MFTZRLKKDNPGOS-KRWDZBQOSA-N 0 0 432.477 -0.263 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccccc2CN2CCOCC2)C1=O ZINC000500359041 1071392390 /nfs/dbraw/zinc/39/23/90/1071392390.db2.gz MFTZRLKKDNPGOS-QGZVFWFLSA-N 0 0 432.477 -0.263 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(CS(C)(=O)=O)c2C)C1=O ZINC000500359099 1071392081 /nfs/dbraw/zinc/39/20/81/1071392081.db2.gz NGYHUNYWAJALRO-HNNXBMFYSA-N 0 0 439.490 -0.242 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(CS(C)(=O)=O)c2C)C1=O ZINC000500359100 1071392099 /nfs/dbraw/zinc/39/20/99/1071392099.db2.gz NGYHUNYWAJALRO-OAHLLOKOSA-N 0 0 439.490 -0.242 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)N[C@H]3CCN(CCC(=O)OC)C3=O)cc21 ZINC000500359687 1071392928 /nfs/dbraw/zinc/39/29/28/1071392928.db2.gz RYBYFNMJRINBMQ-HNNXBMFYSA-N 0 0 445.476 -0.003 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)N[C@@H]3CCN(CCC(=O)OC)C3=O)cc21 ZINC000500359694 1071392877 /nfs/dbraw/zinc/39/28/77/1071392877.db2.gz RYBYFNMJRINBMQ-OAHLLOKOSA-N 0 0 445.476 -0.003 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1S(=O)(=O)N(C)C ZINC000500360135 1071393013 /nfs/dbraw/zinc/39/30/13/1071393013.db2.gz AKTAACJSJLRBNN-UHFFFAOYSA-N 0 0 438.506 -0.492 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(CCO)CCN2CCOCC2)[C@@H](C)O)cc1 ZINC000500362115 1071220610 /nfs/dbraw/zinc/22/06/10/1071220610.db2.gz UDCISBFCGNZNCT-AEFFLSMTSA-N 0 0 429.539 -0.824 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(CCO)CCN2CCOCC2)[C@H](C)O)cc1 ZINC000500362116 1071220666 /nfs/dbraw/zinc/22/06/66/1071220666.db2.gz UDCISBFCGNZNCT-FUHWJXTLSA-N 0 0 429.539 -0.824 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(CCO)CCN2CCOCC2)[C@@H](C)O)cc1 ZINC000500362117 1071220647 /nfs/dbraw/zinc/22/06/47/1071220647.db2.gz UDCISBFCGNZNCT-SJLPKXTDSA-N 0 0 429.539 -0.824 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(CCO)CCN2CCOCC2)[C@H](C)O)cc1 ZINC000500362118 1071220526 /nfs/dbraw/zinc/22/05/26/1071220526.db2.gz UDCISBFCGNZNCT-WMZOPIPTSA-N 0 0 429.539 -0.824 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H](CO)Cc3ccc(F)cc3)cnc2n(C)c1=O ZINC000500362404 1071392863 /nfs/dbraw/zinc/39/28/63/1071392863.db2.gz TVIZKSIBAHPREH-AWEZNQCLSA-N 0 0 429.408 -0.570 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H](CO)Cc3ccc(F)cc3)cnc2n(C)c1=O ZINC000500362405 1071393129 /nfs/dbraw/zinc/39/31/29/1071393129.db2.gz TVIZKSIBAHPREH-CQSZACIVSA-N 0 0 429.408 -0.570 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(NC(=O)[C@@H](C)OC)c2)C1=O ZINC000500363217 1071392824 /nfs/dbraw/zinc/39/28/24/1071392824.db2.gz UKDLMJPTZLDMCK-DOMZBBRYSA-N 0 0 434.449 -0.121 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(NC(=O)[C@@H](C)OC)c2)C1=O ZINC000500363220 1071392838 /nfs/dbraw/zinc/39/28/38/1071392838.db2.gz UKDLMJPTZLDMCK-IUODEOHRSA-N 0 0 434.449 -0.121 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(NC(=O)[C@H](C)OC)c2)C1=O ZINC000500363222 1071392813 /nfs/dbraw/zinc/39/28/13/1071392813.db2.gz UKDLMJPTZLDMCK-SWLSCSKDSA-N 0 0 434.449 -0.121 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(NC(=O)[C@H](C)OC)c2)C1=O ZINC000500363224 1071392916 /nfs/dbraw/zinc/39/29/16/1071392916.db2.gz UKDLMJPTZLDMCK-WFASDCNBSA-N 0 0 434.449 -0.121 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CCN1CCOC ZINC000500363797 1071393471 /nfs/dbraw/zinc/39/34/71/1071393471.db2.gz VJCJINCYJZJFBN-KRWDZBQOSA-N 0 0 432.525 -0.084 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CCN1CCOC ZINC000500363798 1071393517 /nfs/dbraw/zinc/39/35/17/1071393517.db2.gz VJCJINCYJZJFBN-QGZVFWFLSA-N 0 0 432.525 -0.084 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500365563 1071393335 /nfs/dbraw/zinc/39/33/35/1071393335.db2.gz VEBGKVIIQCLEJC-UHFFFAOYSA-N 0 0 435.499 -0.676 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c(C)n2n1 ZINC000500367769 1071393564 /nfs/dbraw/zinc/39/35/64/1071393564.db2.gz KSUKUXBCXXNHOM-UHFFFAOYSA-N 0 0 431.522 -0.045 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cc1S(N)(=O)=O ZINC000500368861 1071393968 /nfs/dbraw/zinc/39/39/68/1071393968.db2.gz UQKHCSNTHQBVFO-CYBMUJFWSA-N 0 0 440.478 -0.885 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cc1S(N)(=O)=O ZINC000500368862 1071393887 /nfs/dbraw/zinc/39/38/87/1071393887.db2.gz UQKHCSNTHQBVFO-ZDUSSCGKSA-N 0 0 440.478 -0.885 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500368924 1071393987 /nfs/dbraw/zinc/39/39/87/1071393987.db2.gz VPLPBFGBJZPAPM-UHFFFAOYSA-N 0 0 444.579 -0.220 20 0 IBADRN O=C1NC(=O)C2(CCN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCC4)c3)CC2)N1 ZINC000500369952 1071393423 /nfs/dbraw/zinc/39/34/23/1071393423.db2.gz XWFSSWSBNJDGKA-UHFFFAOYSA-N 0 0 442.519 -0.166 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000500370523 1071394067 /nfs/dbraw/zinc/39/40/67/1071394067.db2.gz AWKHAVDUFCIXFQ-UHFFFAOYSA-N 0 0 439.581 -0.421 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000500370907 1071393440 /nfs/dbraw/zinc/39/34/40/1071393440.db2.gz BFOKIIXRNHDWNA-IAOVAPTHSA-N 0 0 428.507 -0.205 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000500370908 1071393661 /nfs/dbraw/zinc/39/36/61/1071393661.db2.gz BFOKIIXRNHDWNA-KBRIMQKVSA-N 0 0 428.507 -0.205 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000500370909 1071393687 /nfs/dbraw/zinc/39/36/87/1071393687.db2.gz BFOKIIXRNHDWNA-RRQGHBQHSA-N 0 0 428.507 -0.205 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000500370910 1071393696 /nfs/dbraw/zinc/39/36/96/1071393696.db2.gz BFOKIIXRNHDWNA-XOKHGSTOSA-N 0 0 428.507 -0.205 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(C(=O)NCCCO)CC2)[C@@H](C)O)cc1 ZINC000500370951 1071393674 /nfs/dbraw/zinc/39/36/74/1071393674.db2.gz BVMFZXUDTDFWLM-CRAIPNDOSA-N 0 0 441.550 -0.240 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(C(=O)NCCCO)CC2)[C@H](C)O)cc1 ZINC000500370952 1071394083 /nfs/dbraw/zinc/39/40/83/1071394083.db2.gz BVMFZXUDTDFWLM-MAUKXSAKSA-N 0 0 441.550 -0.240 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(C(=O)NCCCO)CC2)[C@@H](C)O)cc1 ZINC000500370953 1071394047 /nfs/dbraw/zinc/39/40/47/1071394047.db2.gz BVMFZXUDTDFWLM-QAPCUYQASA-N 0 0 441.550 -0.240 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(C(=O)NCCCO)CC2)[C@H](C)O)cc1 ZINC000500370954 1071394184 /nfs/dbraw/zinc/39/41/84/1071394184.db2.gz BVMFZXUDTDFWLM-YJBOKZPZSA-N 0 0 441.550 -0.240 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500371044 1071393301 /nfs/dbraw/zinc/39/33/01/1071393301.db2.gz KBRSSRKJEHKQBL-KRWDZBQOSA-N 0 0 429.456 -0.146 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500371049 1071393455 /nfs/dbraw/zinc/39/34/55/1071393455.db2.gz KBRSSRKJEHKQBL-QGZVFWFLSA-N 0 0 429.456 -0.146 20 0 IBADRN COc1cccc(C[C@H](C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n2nnnc2C)c1 ZINC000500372071 1071394243 /nfs/dbraw/zinc/39/42/43/1071394243.db2.gz NORQQSGGPPQMMQ-GOSISDBHSA-N 0 0 441.492 -0.277 20 0 IBADRN COc1cccc(C[C@@H](C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n2nnnc2C)c1 ZINC000500372072 1071394163 /nfs/dbraw/zinc/39/41/63/1071394163.db2.gz NORQQSGGPPQMMQ-SFHVURJKSA-N 0 0 441.492 -0.277 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000500372521 1071394727 /nfs/dbraw/zinc/39/47/27/1071394727.db2.gz JCEGGKSSTZCBIA-UHFFFAOYSA-N 0 0 439.581 -0.220 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)o1 ZINC000500373090 1071394871 /nfs/dbraw/zinc/39/48/71/1071394871.db2.gz XVYFTPUTNXCJKB-UHFFFAOYSA-N 0 0 426.495 -0.081 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc21 ZINC000500373254 1071394639 /nfs/dbraw/zinc/39/46/39/1071394639.db2.gz RUNZVTOEWZMVBH-UHFFFAOYSA-N 0 0 434.518 -0.038 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc(Cl)c1OCC(N)=O ZINC000500373546 1071393948 /nfs/dbraw/zinc/39/39/48/1071393948.db2.gz YMSFPYYVKORZIO-UHFFFAOYSA-N 0 0 438.868 -0.224 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000500373888 1071394148 /nfs/dbraw/zinc/39/41/48/1071394148.db2.gz NVMMKWXRCPVHGP-UHFFFAOYSA-N 0 0 431.536 -0.182 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(N3CCOCC3)nc2C)C1=O ZINC000500374516 1071394200 /nfs/dbraw/zinc/39/42/00/1071394200.db2.gz CBYVAZKCHYMQDI-HNNXBMFYSA-N 0 0 433.465 -0.555 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCOCC3)nc2C)C1=O ZINC000500374517 1071394098 /nfs/dbraw/zinc/39/40/98/1071394098.db2.gz CBYVAZKCHYMQDI-OAHLLOKOSA-N 0 0 433.465 -0.555 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)C1=O ZINC000500374545 1071393929 /nfs/dbraw/zinc/39/39/29/1071393929.db2.gz CGQAUSDDMPMDRP-GFCCVEGCSA-N 0 0 445.881 -0.038 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)C1=O ZINC000500374546 1071394230 /nfs/dbraw/zinc/39/42/30/1071394230.db2.gz CGQAUSDDMPMDRP-LBPRGKRZSA-N 0 0 445.881 -0.038 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500375440 1071394007 /nfs/dbraw/zinc/39/40/07/1071394007.db2.gz WYGHYTBGVKBBHC-KRWDZBQOSA-N 0 0 429.456 -0.146 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500375442 1071394028 /nfs/dbraw/zinc/39/40/28/1071394028.db2.gz WYGHYTBGVKBBHC-QGZVFWFLSA-N 0 0 429.456 -0.146 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(C)(=O)=O)c(C)c2)C1=O ZINC000500375585 1071394528 /nfs/dbraw/zinc/39/45/28/1071394528.db2.gz FTPFFSMKKKOVJS-CYBMUJFWSA-N 0 0 425.463 -0.383 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(S(C)(=O)=O)c(C)c2)C1=O ZINC000500375586 1071394672 /nfs/dbraw/zinc/39/46/72/1071394672.db2.gz FTPFFSMKKKOVJS-ZDUSSCGKSA-N 0 0 425.463 -0.383 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000500376201 1071394439 /nfs/dbraw/zinc/39/44/39/1071394439.db2.gz UDSCXNYETRPZIK-AWEZNQCLSA-N 0 0 442.519 -0.065 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2nn(-c3ncccc3F)cc2C)C1=O ZINC000500376615 1071394497 /nfs/dbraw/zinc/39/44/97/1071394497.db2.gz HAEXPFZAQWOYBO-CYBMUJFWSA-N 0 0 432.412 -0.066 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2nn(-c3ncccc3F)cc2C)C1=O ZINC000500376616 1071394655 /nfs/dbraw/zinc/39/46/55/1071394655.db2.gz HAEXPFZAQWOYBO-ZDUSSCGKSA-N 0 0 432.412 -0.066 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)NC1CC1 ZINC000500377243 1071394716 /nfs/dbraw/zinc/39/47/16/1071394716.db2.gz XHIUVUPAROFLSO-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN O=C(Cn1cccn1)Nc1cccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)c1 ZINC000500377675 1071394624 /nfs/dbraw/zinc/39/46/24/1071394624.db2.gz YKQFPUCGPUMXQD-UHFFFAOYSA-N 0 0 427.508 -0.413 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000500378145 1071395384 /nfs/dbraw/zinc/39/53/84/1071395384.db2.gz IUNSRJJQHKGPTE-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc2N1S(C)(=O)=O ZINC000500379087 1071394745 /nfs/dbraw/zinc/39/47/45/1071394745.db2.gz UNUUCSCZDHNGKT-CYBMUJFWSA-N 0 0 434.518 -0.040 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1=O ZINC000500381811 1071395349 /nfs/dbraw/zinc/39/53/49/1071395349.db2.gz TZLUARBWDYJYRT-HNNXBMFYSA-N 0 0 434.449 -0.628 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1=O ZINC000500381812 1071395403 /nfs/dbraw/zinc/39/54/03/1071395403.db2.gz TZLUARBWDYJYRT-OAHLLOKOSA-N 0 0 434.449 -0.628 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1=O ZINC000500383088 1071395240 /nfs/dbraw/zinc/39/52/40/1071395240.db2.gz WQSPCHZDBYILAU-JLJPHGGASA-N 0 0 441.506 -0.697 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1=O ZINC000500383089 1071395320 /nfs/dbraw/zinc/39/53/20/1071395320.db2.gz WQSPCHZDBYILAU-KMFMINBZSA-N 0 0 441.506 -0.697 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1=O ZINC000500383090 1071395258 /nfs/dbraw/zinc/39/52/58/1071395258.db2.gz WQSPCHZDBYILAU-QRTARXTBSA-N 0 0 441.506 -0.697 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1=O ZINC000500383091 1071395250 /nfs/dbraw/zinc/39/52/50/1071395250.db2.gz WQSPCHZDBYILAU-UKPHBRMFSA-N 0 0 441.506 -0.697 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1=O ZINC000500383490 1071395272 /nfs/dbraw/zinc/39/52/72/1071395272.db2.gz YXTDLNQWSUISPZ-HNNXBMFYSA-N 0 0 448.480 -0.839 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1=O ZINC000500383491 1071395372 /nfs/dbraw/zinc/39/53/72/1071395372.db2.gz YXTDLNQWSUISPZ-OAHLLOKOSA-N 0 0 448.480 -0.839 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CNC3=O)C1 ZINC000500385857 1071395283 /nfs/dbraw/zinc/39/52/83/1071395283.db2.gz AKXDCCKJCZNNFS-CYBMUJFWSA-N 0 0 438.506 -0.233 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CNC3=O)C1 ZINC000500385859 1071395264 /nfs/dbraw/zinc/39/52/64/1071395264.db2.gz AKXDCCKJCZNNFS-ZDUSSCGKSA-N 0 0 438.506 -0.233 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)ccc1F ZINC000500386115 1071395973 /nfs/dbraw/zinc/39/59/73/1071395973.db2.gz MTZAMFKYJKCNSQ-UHFFFAOYSA-N 0 0 426.348 -0.137 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC(C)(C)CO ZINC000500388569 1071396331 /nfs/dbraw/zinc/39/63/31/1071396331.db2.gz WGCRALNDEWXLLQ-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN CCCn1c(CCC(=O)N(C)[C@@H](COC)C(N)=O)nc2cc(S(N)(=O)=O)ccc21 ZINC000500406587 1071396937 /nfs/dbraw/zinc/39/69/37/1071396937.db2.gz FQPQLXGFRRWKOH-HNNXBMFYSA-N 0 0 425.511 -0.015 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000500409679 1071396815 /nfs/dbraw/zinc/39/68/15/1071396815.db2.gz PPEMHFRFCDUEAM-INIZCTEOSA-N 0 0 440.522 -0.742 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000500411941 1071396981 /nfs/dbraw/zinc/39/69/81/1071396981.db2.gz UVRIZXHRXPZVMO-BBRMVZONSA-N 0 0 429.495 -0.281 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000500427621 1071397693 /nfs/dbraw/zinc/39/76/93/1071397693.db2.gz WRQUESGBQHXSCB-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN CC(=O)c1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1F ZINC000500432694 1071412477 /nfs/dbraw/zinc/41/24/77/1071412477.db2.gz FAGWBRFIWRBAPQ-UHFFFAOYSA-N 0 0 432.412 -0.544 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)CC1 ZINC000500432911 1071411823 /nfs/dbraw/zinc/41/18/23/1071411823.db2.gz FWFOSMVFYZJWEA-UHFFFAOYSA-N 0 0 441.506 -0.114 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000500436037 1071424701 /nfs/dbraw/zinc/42/47/01/1071424701.db2.gz PPNFCBCJWFAADR-AWEZNQCLSA-N 0 0 429.495 -0.783 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)CC1 ZINC000500436228 1071423398 /nfs/dbraw/zinc/42/33/98/1071423398.db2.gz QEXCHJYYHBVTPS-UHFFFAOYSA-N 0 0 427.479 -0.256 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC(=O)NC3CC3)CC2)[C@@H](C)O)cc1 ZINC000500437017 1071428756 /nfs/dbraw/zinc/42/87/56/1071428756.db2.gz BVLAGRWIDCLUCQ-BEFAXECRSA-N 0 0 438.550 -0.554 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CC(=O)NC3CC3)CC2)[C@@H](C)O)cc1 ZINC000500437352 1071426959 /nfs/dbraw/zinc/42/69/59/1071426959.db2.gz BVLAGRWIDCLUCQ-DNVCBOLYSA-N 0 0 438.550 -0.554 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CC(=O)NC3CC3)CC2)[C@H](C)O)cc1 ZINC000500437353 1071426872 /nfs/dbraw/zinc/42/68/72/1071426872.db2.gz BVLAGRWIDCLUCQ-HNAYVOBHSA-N 0 0 438.550 -0.554 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC(=O)NC3CC3)CC2)[C@H](C)O)cc1 ZINC000500437354 1071427080 /nfs/dbraw/zinc/42/70/80/1071427080.db2.gz BVLAGRWIDCLUCQ-KXBFYZLASA-N 0 0 438.550 -0.554 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CCOC)CC2)ccc1F ZINC000500439135 1071439233 /nfs/dbraw/zinc/43/92/33/1071439233.db2.gz ZJOBIQFFTPTRMJ-UHFFFAOYSA-N 0 0 430.458 -0.620 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1nc(-c3ccco3)cs1)C2 ZINC000500439214 1071438985 /nfs/dbraw/zinc/43/89/85/1071438985.db2.gz ZRYKHECFKUQUDQ-UHFFFAOYSA-N 0 0 445.461 -0.170 20 0 IBADRN COCCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000500444847 1071447985 /nfs/dbraw/zinc/44/79/85/1071447985.db2.gz VRZPGQBXONGZJS-UHFFFAOYSA-N 0 0 444.485 -0.278 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000500450258 1071472402 /nfs/dbraw/zinc/47/24/02/1071472402.db2.gz JXNSNWNSSRQIRK-UHFFFAOYSA-N 0 0 436.534 -0.020 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)Cc2sccc2C(=O)N2CC[C@@H](NC(=O)NC)C2)C1 ZINC000500451520 1071486324 /nfs/dbraw/zinc/48/63/24/1071486324.db2.gz NKBZYPDXCVOECG-CHWSQXEVSA-N 0 0 436.538 -0.036 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)Cc2sccc2C(=O)N2CC[C@H](NC(=O)NC)C2)C1 ZINC000500451524 1071486372 /nfs/dbraw/zinc/48/63/72/1071486372.db2.gz NKBZYPDXCVOECG-OLZOCXBDSA-N 0 0 436.538 -0.036 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)c2ccsc2CC(=O)N2CC[C@H](NC(=O)NC)C2)C1 ZINC000500451526 1071486250 /nfs/dbraw/zinc/48/62/50/1071486250.db2.gz NKBZYPDXCVOECG-QWHCGFSZSA-N 0 0 436.538 -0.036 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)Cc2sccc2C(=O)N2CC[C@H](NC(=O)NC)C2)C1 ZINC000500451528 1071486390 /nfs/dbraw/zinc/48/63/90/1071486390.db2.gz NKBZYPDXCVOECG-STQMWFEESA-N 0 0 436.538 -0.036 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)ccc1F ZINC000500460237 1071487651 /nfs/dbraw/zinc/48/76/51/1071487651.db2.gz XAAPTCOVABWUHH-UHFFFAOYSA-N 0 0 430.458 -0.620 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)CC1=O ZINC000500460320 1071487761 /nfs/dbraw/zinc/48/77/61/1071487761.db2.gz YBHBDHQEAIJEFS-UHFFFAOYSA-N 0 0 427.479 -0.256 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)CC1=O ZINC000500460664 1071488538 /nfs/dbraw/zinc/48/85/38/1071488538.db2.gz YWFOSLNSONOCPQ-UHFFFAOYSA-N 0 0 441.506 -0.114 20 0 IBADRN CC1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOCC1 ZINC000500465193 1071488728 /nfs/dbraw/zinc/48/87/28/1071488728.db2.gz QMFHOZJRFIMNRC-UHFFFAOYSA-N 0 0 438.506 -0.322 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500467590 1071488598 /nfs/dbraw/zinc/48/85/98/1071488598.db2.gz MVZSZGLDLGDABA-ILXRZTDVSA-N 0 0 435.502 -0.333 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500467591 1071488488 /nfs/dbraw/zinc/48/84/88/1071488488.db2.gz MVZSZGLDLGDABA-KFWWJZLASA-N 0 0 435.502 -0.333 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500467592 1071489206 /nfs/dbraw/zinc/48/92/06/1071489206.db2.gz MVZSZGLDLGDABA-QLFBSQMISA-N 0 0 435.502 -0.333 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500467593 1071489274 /nfs/dbraw/zinc/48/92/74/1071489274.db2.gz MVZSZGLDLGDABA-RBSFLKMASA-N 0 0 435.502 -0.333 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000500468648 1071489413 /nfs/dbraw/zinc/48/94/13/1071489413.db2.gz OGBLHTYAAJDTDY-CHWSQXEVSA-N 0 0 430.479 -0.338 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000500468650 1071489482 /nfs/dbraw/zinc/48/94/82/1071489482.db2.gz OGBLHTYAAJDTDY-OLZOCXBDSA-N 0 0 430.479 -0.338 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000500468652 1071489264 /nfs/dbraw/zinc/48/92/64/1071489264.db2.gz OGBLHTYAAJDTDY-QWHCGFSZSA-N 0 0 430.479 -0.338 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000500468654 1071489238 /nfs/dbraw/zinc/48/92/38/1071489238.db2.gz OGBLHTYAAJDTDY-STQMWFEESA-N 0 0 430.479 -0.338 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCSCC3)cc2n(C)c1=O ZINC000500469743 1071489493 /nfs/dbraw/zinc/48/94/93/1071489493.db2.gz LMKQAGRZGNOQQW-UHFFFAOYSA-N 0 0 434.565 -0.466 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000500470383 1071489250 /nfs/dbraw/zinc/48/92/50/1071489250.db2.gz RFXQYPXOOWTOSE-GFCCVEGCSA-N 0 0 448.519 -0.301 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000500470394 1071489453 /nfs/dbraw/zinc/48/94/53/1071489453.db2.gz RFXQYPXOOWTOSE-LBPRGKRZSA-N 0 0 448.519 -0.301 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1=O ZINC000500472036 1071489282 /nfs/dbraw/zinc/48/92/82/1071489282.db2.gz VTUUKNDULTYWME-UHFFFAOYSA-N 0 0 444.485 -0.278 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000500474031 1071489370 /nfs/dbraw/zinc/48/93/70/1071489370.db2.gz BKCJGHHNEFGVLE-UHFFFAOYSA-N 0 0 443.594 -0.748 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1=O ZINC000500479148 1071490323 /nfs/dbraw/zinc/49/03/23/1071490323.db2.gz FXKKPNTVGOTYDL-INIZCTEOSA-N 0 0 428.445 -0.662 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1=O ZINC000500479149 1071490148 /nfs/dbraw/zinc/49/01/48/1071490148.db2.gz FXKKPNTVGOTYDL-MRXNPFEDSA-N 0 0 428.445 -0.662 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2ccc3c(c2)CCN3C(=O)CNC(C)=O)C1=O ZINC000500479821 1071490194 /nfs/dbraw/zinc/49/01/94/1071490194.db2.gz IEADEVYQIWRMOV-INIZCTEOSA-N 0 0 430.461 -0.394 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2ccc3c(c2)CCN3C(=O)CNC(C)=O)C1=O ZINC000500479822 1071490181 /nfs/dbraw/zinc/49/01/81/1071490181.db2.gz IEADEVYQIWRMOV-MRXNPFEDSA-N 0 0 430.461 -0.394 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000500480121 1071490296 /nfs/dbraw/zinc/49/02/96/1071490296.db2.gz BFYVUBBPVJTYHN-INIZCTEOSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000500480122 1071490341 /nfs/dbraw/zinc/49/03/41/1071490341.db2.gz BFYVUBBPVJTYHN-MRXNPFEDSA-N 0 0 439.490 -0.399 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CC(n3cc(-c4ccccc4)nn3)C2)c[nH]1 ZINC000500481003 1071491132 /nfs/dbraw/zinc/49/11/32/1071491132.db2.gz UGDONXBKYZMCPX-UHFFFAOYSA-N 0 0 429.462 -0.266 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1=O ZINC000500481010 1071491036 /nfs/dbraw/zinc/49/10/36/1071491036.db2.gz NIMVXEAHBQOELY-HNNXBMFYSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1=O ZINC000500481011 1071490861 /nfs/dbraw/zinc/49/08/61/1071490861.db2.gz NIMVXEAHBQOELY-OAHLLOKOSA-N 0 0 439.490 -0.399 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000500482592 1071490232 /nfs/dbraw/zinc/49/02/32/1071490232.db2.gz WKHXUPALCBUFBI-HNNXBMFYSA-N 0 0 443.504 -0.231 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000500482596 1071490133 /nfs/dbraw/zinc/49/01/33/1071490133.db2.gz WKHXUPALCBUFBI-OAHLLOKOSA-N 0 0 443.504 -0.231 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000500482696 1071491830 /nfs/dbraw/zinc/49/18/30/1071491830.db2.gz DOSDACWUTGDVFB-CYBMUJFWSA-N 0 0 431.449 -0.912 20 0 IBADRN CCc1c(C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000500482698 1071491818 /nfs/dbraw/zinc/49/18/18/1071491818.db2.gz DOSDACWUTGDVFB-ZDUSSCGKSA-N 0 0 431.449 -0.912 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000500483068 1071491772 /nfs/dbraw/zinc/49/17/72/1071491772.db2.gz DKJWFZFHCFZUOJ-UHFFFAOYSA-N 0 0 429.586 -0.526 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)C1=O ZINC000500483636 1071490836 /nfs/dbraw/zinc/49/08/36/1071490836.db2.gz SFCLVGWUZQKLBO-INIZCTEOSA-N 0 0 438.506 -0.238 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)C1=O ZINC000500483637 1071491061 /nfs/dbraw/zinc/49/10/61/1071491061.db2.gz SFCLVGWUZQKLBO-MRXNPFEDSA-N 0 0 438.506 -0.238 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1=O ZINC000500485610 1071491686 /nfs/dbraw/zinc/49/16/86/1071491686.db2.gz VYYMNIIHVHDNOA-CYBMUJFWSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1=O ZINC000500485613 1071491654 /nfs/dbraw/zinc/49/16/54/1071491654.db2.gz VYYMNIIHVHDNOA-ZDUSSCGKSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)C1=O ZINC000500485947 1071491591 /nfs/dbraw/zinc/49/15/91/1071491591.db2.gz NOHMWWTWEMLERU-AWEZNQCLSA-N 0 0 436.490 -0.139 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)C1=O ZINC000500485948 1071491824 /nfs/dbraw/zinc/49/18/24/1071491824.db2.gz NOHMWWTWEMLERU-CQSZACIVSA-N 0 0 436.490 -0.139 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1=O ZINC000500486423 1071492433 /nfs/dbraw/zinc/49/24/33/1071492433.db2.gz NYLMUPBMENNKQJ-HNNXBMFYSA-N 0 0 439.490 -0.558 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1=O ZINC000500486429 1071492397 /nfs/dbraw/zinc/49/23/97/1071492397.db2.gz NYLMUPBMENNKQJ-OAHLLOKOSA-N 0 0 439.490 -0.558 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000500486442 1071492418 /nfs/dbraw/zinc/49/24/18/1071492418.db2.gz OAPRYSWEMXFKKW-INIZCTEOSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000500486446 1071492481 /nfs/dbraw/zinc/49/24/81/1071492481.db2.gz OAPRYSWEMXFKKW-MRXNPFEDSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1=O ZINC000500486506 1071492408 /nfs/dbraw/zinc/49/24/08/1071492408.db2.gz ODUPZJFAKZBOLW-GFCCVEGCSA-N 0 0 445.519 -0.337 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1=O ZINC000500486510 1071492375 /nfs/dbraw/zinc/49/23/75/1071492375.db2.gz ODUPZJFAKZBOLW-LBPRGKRZSA-N 0 0 445.519 -0.337 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)C1=O ZINC000500487653 1071492456 /nfs/dbraw/zinc/49/24/56/1071492456.db2.gz ZYWLQWZQQYWSJA-AWEZNQCLSA-N 0 0 440.474 -0.098 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)C1=O ZINC000500487665 1071492533 /nfs/dbraw/zinc/49/25/33/1071492533.db2.gz ZYWLQWZQQYWSJA-CQSZACIVSA-N 0 0 440.474 -0.098 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)C1=O ZINC000500488017 1071492468 /nfs/dbraw/zinc/49/24/68/1071492468.db2.gz FFFRCONOQALWAX-AWEZNQCLSA-N 0 0 441.452 -0.855 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)C1=O ZINC000500488018 1071492527 /nfs/dbraw/zinc/49/25/27/1071492527.db2.gz FFFRCONOQALWAX-CQSZACIVSA-N 0 0 441.452 -0.855 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CCc2c(C)nc3c(C(N)=O)cnn3c2C)C1=O ZINC000500488860 1071492425 /nfs/dbraw/zinc/49/24/25/1071492425.db2.gz FTLYKBTYDHBKMP-HNNXBMFYSA-N 0 0 430.465 -0.342 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CCc2c(C)nc3c(C(N)=O)cnn3c2C)C1=O ZINC000500488862 1071492450 /nfs/dbraw/zinc/49/24/50/1071492450.db2.gz FTLYKBTYDHBKMP-OAHLLOKOSA-N 0 0 430.465 -0.342 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC(n3cc(C(N)=O)nn3)C2)C(C)C)cc1 ZINC000500489292 1071492521 /nfs/dbraw/zinc/49/25/21/1071492521.db2.gz IZGLGYPEAXYTPZ-INIZCTEOSA-N 0 0 436.494 -0.228 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000500489455 1071492488 /nfs/dbraw/zinc/49/24/88/1071492488.db2.gz LIEWYQAWKZPWNV-UHFFFAOYSA-N 0 0 429.477 -0.619 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)CC2)cc1 ZINC000500491467 1071492440 /nfs/dbraw/zinc/49/24/40/1071492440.db2.gz NQMOMEZPUGAZDO-UHFFFAOYSA-N 0 0 448.505 -0.130 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000500496212 1071493308 /nfs/dbraw/zinc/49/33/08/1071493308.db2.gz UWQSETLBGJITTA-CYBMUJFWSA-N 0 0 445.519 -0.337 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000500496214 1071493408 /nfs/dbraw/zinc/49/34/08/1071493408.db2.gz UWQSETLBGJITTA-ZDUSSCGKSA-N 0 0 445.519 -0.337 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1=O ZINC000500497569 1071493380 /nfs/dbraw/zinc/49/33/80/1071493380.db2.gz XMCNJHAJZCWVCW-KRWDZBQOSA-N 0 0 437.518 -0.189 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1=O ZINC000500497570 1071493428 /nfs/dbraw/zinc/49/34/28/1071493428.db2.gz XMCNJHAJZCWVCW-QGZVFWFLSA-N 0 0 437.518 -0.189 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cc1 ZINC000500500877 1071494104 /nfs/dbraw/zinc/49/41/04/1071494104.db2.gz LANIQRWEEQOZKU-UHFFFAOYSA-N 0 0 440.504 -0.035 20 0 IBADRN O=C(c1cc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)c[nH]1)N1CCCC1 ZINC000500501200 1071493294 /nfs/dbraw/zinc/49/32/94/1071493294.db2.gz IXGDCVKMXZOTQX-UHFFFAOYSA-N 0 0 436.581 -0.092 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000500501244 1071493223 /nfs/dbraw/zinc/49/32/23/1071493223.db2.gz UFAHUBYREDYCOT-UHFFFAOYSA-N 0 0 428.579 -0.253 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2)nn1 ZINC000500501317 1071493123 /nfs/dbraw/zinc/49/31/23/1071493123.db2.gz GMFAUZDORMAGHK-UHFFFAOYSA-N 0 0 435.462 -0.217 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3ccc(OC(F)(F)F)cc3)C2)nn1 ZINC000500501453 1071493414 /nfs/dbraw/zinc/49/34/14/1071493414.db2.gz MMHHBYYCIGVMFQ-UHFFFAOYSA-N 0 0 448.383 -0.363 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CC(n4cc(C(N)=O)nn4)C3)CC2=O)cc1OC ZINC000500502873 1071493249 /nfs/dbraw/zinc/49/32/49/1071493249.db2.gz PBQYOZXHQWWQRU-AWEZNQCLSA-N 0 0 442.476 -0.131 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CC(n4cc(C(N)=O)nn4)C3)CC2=O)cc1OC ZINC000500502874 1071493183 /nfs/dbraw/zinc/49/31/83/1071493183.db2.gz PBQYOZXHQWWQRU-CQSZACIVSA-N 0 0 442.476 -0.131 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCSCC3)cc2C1=O ZINC000500503437 1071493341 /nfs/dbraw/zinc/49/33/41/1071493341.db2.gz ZEKAIGDLZFSSLK-UHFFFAOYSA-N 0 0 433.533 -0.431 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC2)c(C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000500503827 1071493262 /nfs/dbraw/zinc/49/32/62/1071493262.db2.gz QUGKDUYBCGOMBM-UHFFFAOYSA-N 0 0 447.521 -0.075 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000500504369 1071493237 /nfs/dbraw/zinc/49/32/37/1071493237.db2.gz PEKNABRPBAVCEW-AWEZNQCLSA-N 0 0 438.535 -0.140 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000500504370 1071493206 /nfs/dbraw/zinc/49/32/06/1071493206.db2.gz PEKNABRPBAVCEW-CQSZACIVSA-N 0 0 438.535 -0.140 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)[C@]34CCC(=O)N3c3ccccc3C(=O)N4C3CC3)C2)nn1 ZINC000500504518 1071493147 /nfs/dbraw/zinc/49/31/47/1071493147.db2.gz UKXNTSZMFFRBRW-NRFANRHFSA-N 0 0 435.444 -0.098 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)[C@@]34CCC(=O)N3c3ccccc3C(=O)N4C3CC3)C2)nn1 ZINC000500504519 1071493394 /nfs/dbraw/zinc/49/33/94/1071493394.db2.gz UKXNTSZMFFRBRW-OAQYLSRUSA-N 0 0 435.444 -0.098 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CC(n3cc(C(N)=O)nn3)C2)CCCC1 ZINC000500504815 1071494117 /nfs/dbraw/zinc/49/41/17/1071494117.db2.gz VLMVVRCCOGBSIB-UHFFFAOYSA-N 0 0 437.482 -0.344 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCSCC3)cc2c(=O)[nH]1 ZINC000500505513 1071493969 /nfs/dbraw/zinc/49/39/69/1071493969.db2.gz YHLCBMASIBXVMF-UHFFFAOYSA-N 0 0 434.521 -0.302 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000500505932 1071494062 /nfs/dbraw/zinc/49/40/62/1071494062.db2.gz ALOHYKVQNPGEOU-UHFFFAOYSA-N 0 0 428.579 -0.253 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1CC(n2ccnn2)C1 ZINC000500514352 1071495485 /nfs/dbraw/zinc/49/54/85/1071495485.db2.gz LXHPJWYLVXEUTD-UHFFFAOYSA-N 0 0 432.506 -0.025 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCCC(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000500514378 1071494878 /nfs/dbraw/zinc/49/48/78/1071494878.db2.gz LYRHGKKSSWUODM-UHFFFAOYSA-N 0 0 436.494 -0.130 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)Cc3cc(F)c(OC)c(S(N)(=O)=O)c3)C2)nn1 ZINC000500515478 1071495473 /nfs/dbraw/zinc/49/54/73/1071495473.db2.gz OFAQPKYRIDDGSA-UHFFFAOYSA-N 0 0 427.414 -0.514 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000500515512 1071495545 /nfs/dbraw/zinc/49/55/45/1071495545.db2.gz OOBALQUZNSIBQW-UHFFFAOYSA-N 0 0 435.466 -0.961 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)N=c4cc[nH]cc4)cc3)C2)nn1 ZINC000500516161 1071496214 /nfs/dbraw/zinc/49/62/14/1071496214.db2.gz QDFNUOCUPKGZSA-UHFFFAOYSA-N 0 0 427.446 -0.308 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3csc(S(=O)(=O)N4CCOCC4)c3)C2)nn1 ZINC000500519857 1071495555 /nfs/dbraw/zinc/49/55/55/1071495555.db2.gz BTTVMQHSVJJCEQ-UHFFFAOYSA-N 0 0 441.491 -0.156 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3ccc(Br)s3)C2)nn1 ZINC000500520714 1071496953 /nfs/dbraw/zinc/49/69/53/1071496953.db2.gz YIJXFRJHULTYPQ-UHFFFAOYSA-N 0 0 449.312 -0.437 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)C2)nn1 ZINC000500521010 1071496200 /nfs/dbraw/zinc/49/62/00/1071496200.db2.gz BOHHVTYHPIJRKV-BLLLJJGKSA-N 0 0 437.478 -0.516 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)C2)nn1 ZINC000500521017 1071496155 /nfs/dbraw/zinc/49/61/55/1071496155.db2.gz BOHHVTYHPIJRKV-LRDDRELGSA-N 0 0 437.478 -0.516 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)C2)nn1 ZINC000500521019 1071496234 /nfs/dbraw/zinc/49/62/34/1071496234.db2.gz BOHHVTYHPIJRKV-MLGOLLRUSA-N 0 0 437.478 -0.516 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)C2)nn1 ZINC000500521022 1071496245 /nfs/dbraw/zinc/49/62/45/1071496245.db2.gz BOHHVTYHPIJRKV-WBMJQRKESA-N 0 0 437.478 -0.516 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000500521088 1071495629 /nfs/dbraw/zinc/49/56/29/1071495629.db2.gz APDHFMLQXOUKBN-UHFFFAOYSA-N 0 0 438.549 -0.105 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)C2)nn1 ZINC000500522587 1071496266 /nfs/dbraw/zinc/49/62/66/1071496266.db2.gz FFDFVTYJHWWXRI-UHFFFAOYSA-N 0 0 441.491 -0.156 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cnn1CC(C)C ZINC000500523157 1071496140 /nfs/dbraw/zinc/49/61/40/1071496140.db2.gz XYWWIRHOADLYFS-UHFFFAOYSA-N 0 0 442.542 -0.493 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CN3C(=O)c4ccc(Br)cc4C3=O)C2)nn1 ZINC000500524129 1071497742 /nfs/dbraw/zinc/49/77/42/1071497742.db2.gz UZRFKNMCLMZFKJ-UHFFFAOYSA-N 0 0 433.222 -0.181 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cnn1CC(C)C ZINC000500524208 1071497788 /nfs/dbraw/zinc/49/77/88/1071497788.db2.gz VWNPGRGPNAULQI-UHFFFAOYSA-N 0 0 432.481 -0.394 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000500524798 1071497768 /nfs/dbraw/zinc/49/77/68/1071497768.db2.gz DIXUEVBXDISZMN-UHFFFAOYSA-N 0 0 428.579 -0.253 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)CC(=O)N(C)C)cc3)C2)nn1 ZINC000500525995 1071497087 /nfs/dbraw/zinc/49/70/87/1071497087.db2.gz OSCKTFUQIVTFJQ-UHFFFAOYSA-N 0 0 435.462 -0.376 20 0 IBADRN Cc1ccc(C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000500526851 1071496931 /nfs/dbraw/zinc/49/69/31/1071496931.db2.gz ZRBBXRSYZCKRPV-UHFFFAOYSA-N 0 0 434.478 -0.597 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000500527483 1071497154 /nfs/dbraw/zinc/49/71/54/1071497154.db2.gz GNCQOAWBKIKZAO-UHFFFAOYSA-N 0 0 443.594 -0.748 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)c2)C[C@H](C)O1 ZINC000500528441 1071497053 /nfs/dbraw/zinc/49/70/53/1071497053.db2.gz UQHYUDFQZYENQQ-BETUJISGSA-N 0 0 448.505 -0.128 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)c2)C[C@@H](C)O1 ZINC000500528449 1071497180 /nfs/dbraw/zinc/49/71/80/1071497180.db2.gz UQHYUDFQZYENQQ-CHWSQXEVSA-N 0 0 448.505 -0.128 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)c2)C[C@H](C)O1 ZINC000500528451 1071497746 /nfs/dbraw/zinc/49/77/46/1071497746.db2.gz UQHYUDFQZYENQQ-STQMWFEESA-N 0 0 448.505 -0.128 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3cccc(C(F)(F)F)c3)C2)nn1 ZINC000500529007 1071497702 /nfs/dbraw/zinc/49/77/02/1071497702.db2.gz VDYCYJFKJKOCEH-UHFFFAOYSA-N 0 0 432.384 -0.242 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CCC(=O)N3CCN(c4ccccn4)CC3)C2)nn1 ZINC000500529073 1071497750 /nfs/dbraw/zinc/49/77/50/1071497750.db2.gz VMVBTCKUBFMLRI-UHFFFAOYSA-N 0 0 427.465 -0.028 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)n(C)c2)C1 ZINC000500531351 1071497714 /nfs/dbraw/zinc/49/77/14/1071497714.db2.gz BSFWIJSFZOTPLW-GFCCVEGCSA-N 0 0 435.510 -0.167 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)n(C)c2)C1 ZINC000500531570 1071497682 /nfs/dbraw/zinc/49/76/82/1071497682.db2.gz BSFWIJSFZOTPLW-LBPRGKRZSA-N 0 0 435.510 -0.167 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000500533736 1071498585 /nfs/dbraw/zinc/49/85/85/1071498585.db2.gz URRNSLKLEXCIBZ-UHFFFAOYSA-N 0 0 426.481 -0.151 20 0 IBADRN COc1cc2ncn(CCCC(=O)N3CC(n4cc(C(N)=O)nn4)C3)c(=O)c2cc1OC ZINC000500534162 1071498693 /nfs/dbraw/zinc/49/86/93/1071498693.db2.gz VYWMRBSEKZUDAE-UHFFFAOYSA-N 0 0 441.448 -0.032 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CC(n4cc(C(N)=O)nn4)C3)CC2)c1 ZINC000500539168 1071498680 /nfs/dbraw/zinc/49/86/80/1071498680.db2.gz OWNAGJJDUJTIAB-UHFFFAOYSA-N 0 0 447.521 -0.779 20 0 IBADRN CCc1c(C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000500541095 1071498632 /nfs/dbraw/zinc/49/86/32/1071498632.db2.gz UDSWARRJYXGIBW-UHFFFAOYSA-N 0 0 427.421 -0.730 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC(n3cc(C(N)=O)nn3)C2)C1 ZINC000500544189 1071498742 /nfs/dbraw/zinc/49/87/42/1071498742.db2.gz KMABSOOYLLWIJB-GFCCVEGCSA-N 0 0 436.498 -0.799 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC(n3cc(C(N)=O)nn3)C2)C1 ZINC000500544190 1071498664 /nfs/dbraw/zinc/49/86/64/1071498664.db2.gz KMABSOOYLLWIJB-LBPRGKRZSA-N 0 0 436.498 -0.799 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC(n4cc(C(N)=O)nn4)C3)CC2)cc1 ZINC000500546148 1071499355 /nfs/dbraw/zinc/49/93/55/1071499355.db2.gz CGEZQBILSYAVIS-UHFFFAOYSA-N 0 0 447.521 -0.925 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CCC(=O)Nc3ccc4c(n3)n(C)c(=O)n4C)C2)nn1 ZINC000500547143 1074334799 /nfs/dbraw/zinc/33/47/99/1074334799.db2.gz FMPJVZMXIQGHMI-UHFFFAOYSA-N 0 0 442.436 -0.548 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CN3C(=O)COc4cc(Br)ccc43)C2)nn1 ZINC000500547248 1071498614 /nfs/dbraw/zinc/49/86/14/1071498614.db2.gz XGNZHVLIIKXWMT-UHFFFAOYSA-N 0 0 435.238 -0.052 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1OC ZINC000500548039 1071499259 /nfs/dbraw/zinc/49/92/59/1071499259.db2.gz HZVYHWMCOPZIKG-UHFFFAOYSA-N 0 0 438.466 -0.854 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)NC[C@@H]4CCCO4)cc3)C2)nn1 ZINC000500548687 1071499196 /nfs/dbraw/zinc/49/91/96/1071499196.db2.gz KOMXJLIHTFKARQ-AWEZNQCLSA-N 0 0 434.478 -0.469 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)NC[C@H]4CCCO4)cc3)C2)nn1 ZINC000500548688 1071499324 /nfs/dbraw/zinc/49/93/24/1071499324.db2.gz KOMXJLIHTFKARQ-CQSZACIVSA-N 0 0 434.478 -0.469 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnn1CC(C)C ZINC000500550658 1071499379 /nfs/dbraw/zinc/49/93/79/1071499379.db2.gz CRHVQCQFLDQPQZ-UHFFFAOYSA-N 0 0 434.497 -0.684 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCCN(CC(F)F)CC2)n1)N1CCOCC1 ZINC000500551095 1074334700 /nfs/dbraw/zinc/33/47/00/1074334700.db2.gz CZOLMEXQKJFLRC-UHFFFAOYSA-N 0 0 428.440 -0.520 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3cc(S(=O)(=O)N4CCSCC4)c[nH]3)C2)nn1 ZINC000500553819 1071499182 /nfs/dbraw/zinc/49/91/82/1071499182.db2.gz XIGNEDYNLYYDPR-UHFFFAOYSA-N 0 0 440.507 -0.173 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)C2)nn1 ZINC000500555081 1071499396 /nfs/dbraw/zinc/49/93/96/1071499396.db2.gz NOKZGMOMHNNRKE-UHFFFAOYSA-N 0 0 437.424 -0.674 20 0 IBADRN CCc1ccc(C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000500555124 1071499172 /nfs/dbraw/zinc/49/91/72/1071499172.db2.gz ZVOLQUDQDIIPIJ-UHFFFAOYSA-N 0 0 448.505 -0.343 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCN(CC(F)F)CC2)cn1)N1CCOCC1 ZINC000500556739 1071500162 /nfs/dbraw/zinc/50/01/62/1071500162.db2.gz UAFZWKSSUGOBIH-UHFFFAOYSA-N 0 0 428.440 -0.520 20 0 IBADRN CC(C)Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C(C)C ZINC000500556801 1071500134 /nfs/dbraw/zinc/50/01/34/1071500134.db2.gz APYHKGKUDFCFNA-UHFFFAOYSA-N 0 0 431.501 -0.042 20 0 IBADRN O=C(Nc1ccc(-c2ncco2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000500559963 1071500006 /nfs/dbraw/zinc/50/00/06/1071500006.db2.gz ZYXNIWINNNQBAR-UHFFFAOYSA-N 0 0 441.444 -0.190 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CCS(=O)(=O)c3ccc4c(c3)OCCCO4)C2)nn1 ZINC000500564760 1071500260 /nfs/dbraw/zinc/50/02/60/1071500260.db2.gz BJLFLXDUVXTYTC-UHFFFAOYSA-N 0 0 435.462 -0.214 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CC(n3cc(-c4ccccc4)nn3)C2)o1 ZINC000500564808 1071500114 /nfs/dbraw/zinc/50/01/14/1071500114.db2.gz BRFPGMZTZJIHHA-UHFFFAOYSA-N 0 0 430.446 -0.001 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NCc1cccnc1 ZINC000500565247 1071500015 /nfs/dbraw/zinc/50/00/15/1071500015.db2.gz BCCBDDWTUOFXJP-UHFFFAOYSA-N 0 0 445.501 -0.362 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC(n3cc(C(N)=O)nn3)C2)C1 ZINC000500565568 1071500053 /nfs/dbraw/zinc/50/00/53/1071500053.db2.gz DENNAIHYFYTOOM-GFCCVEGCSA-N 0 0 437.482 -0.534 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC(n3cc(C(N)=O)nn3)C2)C1 ZINC000500565573 1071500768 /nfs/dbraw/zinc/50/07/68/1071500768.db2.gz DENNAIHYFYTOOM-LBPRGKRZSA-N 0 0 437.482 -0.534 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)C2)nn1 ZINC000500566655 1071500848 /nfs/dbraw/zinc/50/08/48/1071500848.db2.gz FUTYWQZFEGRKHE-UHFFFAOYSA-N 0 0 435.462 -0.217 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)Cn3cnc4ccc(Br)cc4c3=O)C2)nn1 ZINC000500567310 1071500710 /nfs/dbraw/zinc/50/07/10/1071500710.db2.gz HQFQDXWEAAXQEM-UHFFFAOYSA-N 0 0 432.238 -0.067 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3ccc4c(c3)CCN4C(=O)CNC(C)=O)C2)nn1 ZINC000500568359 1071500785 /nfs/dbraw/zinc/50/07/85/1071500785.db2.gz JXLRJIGTDZJBOU-UHFFFAOYSA-N 0 0 426.433 -0.213 20 0 IBADRN CCCC[C@@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000500568362 1071500743 /nfs/dbraw/zinc/50/07/43/1071500743.db2.gz JYSCTRFFUFZDMC-GXTWGEPZSA-N 0 0 435.529 -0.014 20 0 IBADRN CCCC[C@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000500568363 1071500825 /nfs/dbraw/zinc/50/08/25/1071500825.db2.gz JYSCTRFFUFZDMC-JSGCOSHPSA-N 0 0 435.529 -0.014 20 0 IBADRN CCCC[C@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000500568364 1071500721 /nfs/dbraw/zinc/50/07/21/1071500721.db2.gz JYSCTRFFUFZDMC-OCCSQVGLSA-N 0 0 435.529 -0.014 20 0 IBADRN CCCC[C@@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000500568365 1071500790 /nfs/dbraw/zinc/50/07/90/1071500790.db2.gz JYSCTRFFUFZDMC-TZMCWYRMSA-N 0 0 435.529 -0.014 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c1 ZINC000500570415 1071500812 /nfs/dbraw/zinc/50/08/12/1071500812.db2.gz BNVXHRJQEKEMID-UHFFFAOYSA-N 0 0 437.478 -0.005 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)cc2)C[C@H](C)O1 ZINC000500573129 1071500801 /nfs/dbraw/zinc/50/08/01/1071500801.db2.gz JVWOHNMCISYCJH-BETUJISGSA-N 0 0 448.505 -0.128 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)cc2)C[C@@H](C)O1 ZINC000500573130 1071500796 /nfs/dbraw/zinc/50/07/96/1071500796.db2.gz JVWOHNMCISYCJH-CHWSQXEVSA-N 0 0 448.505 -0.128 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)cc2)C[C@H](C)O1 ZINC000500573131 1071500819 /nfs/dbraw/zinc/50/08/19/1071500819.db2.gz JVWOHNMCISYCJH-STQMWFEESA-N 0 0 448.505 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000500573523 1071500702 /nfs/dbraw/zinc/50/07/02/1071500702.db2.gz LGGCPSKPJYITDC-UHFFFAOYSA-N 0 0 434.478 -0.597 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N3CC(n4cc(C(N)=O)nn4)C3)CC2=O)cc(OC)c1OC ZINC000500574482 1071500734 /nfs/dbraw/zinc/50/07/34/1071500734.db2.gz DSTNYGGCZKTMSI-LLVKDONJSA-N 0 0 444.448 -0.161 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N3CC(n4cc(C(N)=O)nn4)C3)CC2=O)cc(OC)c1OC ZINC000500574489 1071501477 /nfs/dbraw/zinc/50/14/77/1071501477.db2.gz DSTNYGGCZKTMSI-NSHDSACASA-N 0 0 444.448 -0.161 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N[C@H](C)C(=O)N2CCOCC2)cc1 ZINC000500575611 1071501385 /nfs/dbraw/zinc/50/13/85/1071501385.db2.gz RCBTWSPTFWCKBI-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1 ZINC000500575612 1071501329 /nfs/dbraw/zinc/50/13/29/1071501329.db2.gz RCBTWSPTFWCKBI-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000500576358 1071501428 /nfs/dbraw/zinc/50/14/28/1071501428.db2.gz KHLLORDFXAGUGW-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)c3sccc3S(=O)(=O)N3CCSCC3)C2)nn1 ZINC000500576422 1071501317 /nfs/dbraw/zinc/50/13/17/1071501317.db2.gz LDEJFTLEYONXHA-UHFFFAOYSA-N 0 0 442.548 -0.127 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CCc3c(C)nc4c(C(N)=O)cnn4c3C)C2)nn1 ZINC000500577632 1071501503 /nfs/dbraw/zinc/50/15/03/1071501503.db2.gz WSOKMVRVYZGXMQ-UHFFFAOYSA-N 0 0 426.437 -0.161 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000500578272 1071500691 /nfs/dbraw/zinc/50/06/91/1071500691.db2.gz YFCDXLQBMTVRJT-HNNXBMFYSA-N 0 0 440.416 -0.622 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000500578273 1071500841 /nfs/dbraw/zinc/50/08/41/1071500841.db2.gz YFCDXLQBMTVRJT-OAHLLOKOSA-N 0 0 440.416 -0.622 20 0 IBADRN Cc1c(C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc(Br)cc1S(N)(=O)=O ZINC000500578318 1071500831 /nfs/dbraw/zinc/50/08/31/1071500831.db2.gz YSZTXXVSZLJSFA-UHFFFAOYSA-N 0 0 443.283 -0.208 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2cccc(CN3CCCC3=O)c2)CC1 ZINC000500591074 1071501344 /nfs/dbraw/zinc/50/13/44/1071501344.db2.gz QDMGLHRRLAQIAJ-UHFFFAOYSA-N 0 0 426.481 -0.151 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000500592912 1071502119 /nfs/dbraw/zinc/50/21/19/1071502119.db2.gz PJCHNOFMRAPYIP-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1c1cc(N2CCC[C@H]2CS(=O)(=O)NC)ncn1 ZINC000500595848 1071501394 /nfs/dbraw/zinc/50/13/94/1071501394.db2.gz GUJCNDMJYTXZLV-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1cc(N2CCC[C@H]2CS(=O)(=O)NC)ncn1 ZINC000500595849 1071501485 /nfs/dbraw/zinc/50/14/85/1071501485.db2.gz GUJCNDMJYTXZLV-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1cc(N2CCC[C@@H]2CS(=O)(=O)NC)ncn1 ZINC000500595850 1071501280 /nfs/dbraw/zinc/50/12/80/1071501280.db2.gz GUJCNDMJYTXZLV-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000500596982 1071501533 /nfs/dbraw/zinc/50/15/33/1071501533.db2.gz BCWJTFRWURMPNL-UHFFFAOYSA-N 0 0 425.467 -0.629 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000500600408 1071501517 /nfs/dbraw/zinc/50/15/17/1071501517.db2.gz AHIDPLJXRNHKJQ-HNNXBMFYSA-N 0 0 441.448 -0.832 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000500600412 1071502039 /nfs/dbraw/zinc/50/20/39/1071502039.db2.gz AHIDPLJXRNHKJQ-OAHLLOKOSA-N 0 0 441.448 -0.832 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CCn3cncn3)CC2)[C@@H](C)O)cc1 ZINC000500602678 1071501839 /nfs/dbraw/zinc/50/18/39/1071501839.db2.gz OMPPMVUZCHRPOV-AEFFLSMTSA-N 0 0 436.538 -0.541 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CCn3cncn3)CC2)[C@H](C)O)cc1 ZINC000500602685 1071502170 /nfs/dbraw/zinc/50/21/70/1071502170.db2.gz OMPPMVUZCHRPOV-FUHWJXTLSA-N 0 0 436.538 -0.541 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CCn3cncn3)CC2)[C@@H](C)O)cc1 ZINC000500602687 1071502097 /nfs/dbraw/zinc/50/20/97/1071502097.db2.gz OMPPMVUZCHRPOV-SJLPKXTDSA-N 0 0 436.538 -0.541 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CCn3cncn3)CC2)[C@H](C)O)cc1 ZINC000500602689 1071501921 /nfs/dbraw/zinc/50/19/21/1071501921.db2.gz OMPPMVUZCHRPOV-WMZOPIPTSA-N 0 0 436.538 -0.541 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000500604244 1071501945 /nfs/dbraw/zinc/50/19/45/1071501945.db2.gz DXUXKFAVEBSOIR-CXAGYDPISA-N 0 0 437.518 -0.350 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000500604245 1071502019 /nfs/dbraw/zinc/50/20/19/1071502019.db2.gz DXUXKFAVEBSOIR-DYVFJYSZSA-N 0 0 437.518 -0.350 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000500604246 1071502029 /nfs/dbraw/zinc/50/20/29/1071502029.db2.gz DXUXKFAVEBSOIR-GUYCJALGSA-N 0 0 437.518 -0.350 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000500604247 1071502146 /nfs/dbraw/zinc/50/21/46/1071502146.db2.gz DXUXKFAVEBSOIR-SUMWQHHRSA-N 0 0 437.518 -0.350 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCC(C)(C)N2CCS(=O)CC2)[C@H](C)O)cc1 ZINC000500608029 1071502856 /nfs/dbraw/zinc/50/28/56/1071502856.db2.gz WVNUYQMFVBMGEK-DOTOQJQBSA-N 0 0 445.607 -0.018 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCC(C)(C)N2CCS(=O)CC2)[C@@H](C)O)cc1 ZINC000500608030 1071502889 /nfs/dbraw/zinc/50/28/89/1071502889.db2.gz WVNUYQMFVBMGEK-NVXWUHKLSA-N 0 0 445.607 -0.018 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC(C)(C)N2CCS(=O)CC2)[C@H](C)O)cc1 ZINC000500608031 1071502841 /nfs/dbraw/zinc/50/28/41/1071502841.db2.gz WVNUYQMFVBMGEK-RDJZCZTQSA-N 0 0 445.607 -0.018 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC(C)(C)N2CCS(=O)CC2)[C@@H](C)O)cc1 ZINC000500608032 1071502852 /nfs/dbraw/zinc/50/28/52/1071502852.db2.gz WVNUYQMFVBMGEK-WBVHZDCISA-N 0 0 445.607 -0.018 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000500608753 1071502726 /nfs/dbraw/zinc/50/27/26/1071502726.db2.gz XYRFHORJUOAINO-GMBSWORKSA-N 0 0 432.525 -0.326 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000500608754 1071502678 /nfs/dbraw/zinc/50/26/78/1071502678.db2.gz XYRFHORJUOAINO-QOKNQOGYSA-N 0 0 432.525 -0.326 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000500608755 1071502634 /nfs/dbraw/zinc/50/26/34/1071502634.db2.gz XYRFHORJUOAINO-URLQWDBASA-N 0 0 432.525 -0.326 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000500608756 1071503490 /nfs/dbraw/zinc/50/34/90/1071503490.db2.gz XYRFHORJUOAINO-ZSZQSSIHSA-N 0 0 432.525 -0.326 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000500623444 1071503229 /nfs/dbraw/zinc/50/32/29/1071503229.db2.gz GYASMAVPONBJPQ-AWEZNQCLSA-N 0 0 431.536 -0.325 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000500623445 1071503196 /nfs/dbraw/zinc/50/31/96/1071503196.db2.gz GYASMAVPONBJPQ-CQSZACIVSA-N 0 0 431.536 -0.325 20 0 IBADRN CC(=O)N1CC(NC(=O)CCc2c(C)nn(CCC(=O)NC3CN(C(C)=O)C3)c2C)C1 ZINC000500625104 1071503280 /nfs/dbraw/zinc/50/32/80/1071503280.db2.gz ORJPDKRVDBDJBT-UHFFFAOYSA-N 0 0 432.525 -0.484 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN2C(=O)N=NC2C1 ZINC000500627958 1071503554 /nfs/dbraw/zinc/50/35/54/1071503554.db2.gz YQKZWJGKPYPVDD-UHFFFAOYSA-N 0 0 439.450 -0.607 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1 ZINC000500629834 1071503302 /nfs/dbraw/zinc/50/33/02/1071503302.db2.gz FTVLNZCJFHFCCL-HNNXBMFYSA-N 0 0 437.472 -0.165 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(F)c(N2CCCNC2=O)c1 ZINC000500629835 1071503252 /nfs/dbraw/zinc/50/32/52/1071503252.db2.gz FTVLNZCJFHFCCL-OAHLLOKOSA-N 0 0 437.472 -0.165 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)ccc1F ZINC000500630195 1071503432 /nfs/dbraw/zinc/50/34/32/1071503432.db2.gz GLWZZBTYRHZIHL-UHFFFAOYSA-N 0 0 444.485 -0.232 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000500633411 1071503360 /nfs/dbraw/zinc/50/33/60/1071503360.db2.gz NAYPPXDMYWOBQM-UHFFFAOYSA-N 0 0 436.490 -0.696 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(F)c(N2CCOCC2)cc1F ZINC000500635571 1071504177 /nfs/dbraw/zinc/50/41/77/1071504177.db2.gz BWTSCAUCFCWQQG-AWEZNQCLSA-N 0 0 442.463 -0.109 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(F)c(N2CCOCC2)cc1F ZINC000500635573 1071504151 /nfs/dbraw/zinc/50/41/51/1071504151.db2.gz BWTSCAUCFCWQQG-CQSZACIVSA-N 0 0 442.463 -0.109 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)ccc1F ZINC000500636397 1071504046 /nfs/dbraw/zinc/50/40/46/1071504046.db2.gz DHKKMTFKGYVMLF-AWEZNQCLSA-N 0 0 446.501 -0.834 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)ccc1F ZINC000500636399 1071503953 /nfs/dbraw/zinc/50/39/53/1071503953.db2.gz DHKKMTFKGYVMLF-CQSZACIVSA-N 0 0 446.501 -0.834 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)ccc1F ZINC000500637586 1071504346 /nfs/dbraw/zinc/50/43/46/1071504346.db2.gz TYLXZMHYIJFPSX-HNNXBMFYSA-N 0 0 444.485 -0.328 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)ccc1F ZINC000500637587 1071504138 /nfs/dbraw/zinc/50/41/38/1071504138.db2.gz TYLXZMHYIJFPSX-OAHLLOKOSA-N 0 0 444.485 -0.328 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)C1 ZINC000500638150 1071504328 /nfs/dbraw/zinc/50/43/28/1071504328.db2.gz VGNRWBVMFSUBFD-UHFFFAOYSA-N 0 0 436.487 -0.930 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000500640721 1071504196 /nfs/dbraw/zinc/50/41/96/1071504196.db2.gz FKXLNKQYFFXWAW-UHFFFAOYSA-N 0 0 446.913 -0.438 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000500642079 1071504286 /nfs/dbraw/zinc/50/42/86/1071504286.db2.gz KVINWGQTPGTBQE-UHFFFAOYSA-N 0 0 425.916 -0.140 20 0 IBADRN COCC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000500644756 1071504117 /nfs/dbraw/zinc/50/41/17/1071504117.db2.gz OPZBCTVXYWMBKZ-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CS(=O)(=O)N1CCCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000500646657 1071505043 /nfs/dbraw/zinc/50/50/43/1071505043.db2.gz YOXCMWOFAOVNOO-UHFFFAOYSA-N 0 0 425.916 -0.140 20 0 IBADRN O=S(=O)(Cc1c(F)cccc1F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000500648334 1071505058 /nfs/dbraw/zinc/50/50/58/1071505058.db2.gz DADTVZNNPZPLPY-UHFFFAOYSA-N 0 0 425.479 -0.011 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(Cl)cc2c1OCC(=O)N2 ZINC000500650364 1071504769 /nfs/dbraw/zinc/50/47/69/1071504769.db2.gz VXSLORWVSVUBQF-GFCCVEGCSA-N 0 0 426.857 -0.239 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(Cl)cc2c1OCC(=O)N2 ZINC000500650365 1071504752 /nfs/dbraw/zinc/50/47/52/1071504752.db2.gz VXSLORWVSVUBQF-LBPRGKRZSA-N 0 0 426.857 -0.239 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCSC[C@H]1CO ZINC000500653207 1071505616 /nfs/dbraw/zinc/50/56/16/1071505616.db2.gz DEUVFBYYWILNPL-GFCCVEGCSA-N 0 0 432.520 -0.010 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCSC[C@@H]1CO ZINC000500653208 1071505448 /nfs/dbraw/zinc/50/54/48/1071505448.db2.gz DEUVFBYYWILNPL-LBPRGKRZSA-N 0 0 432.520 -0.010 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000500662846 1071505575 /nfs/dbraw/zinc/50/55/75/1071505575.db2.gz JOSZPCZLCFFHGX-KBXCAEBGSA-N 0 0 438.550 -0.486 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000500662849 1071505600 /nfs/dbraw/zinc/50/56/00/1071505600.db2.gz JOSZPCZLCFFHGX-KDOFPFPSSA-N 0 0 438.550 -0.486 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000500662851 1071505530 /nfs/dbraw/zinc/50/55/30/1071505530.db2.gz JOSZPCZLCFFHGX-KSSFIOAISA-N 0 0 438.550 -0.486 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000500662853 1071505663 /nfs/dbraw/zinc/50/56/63/1071505663.db2.gz JOSZPCZLCFFHGX-RDTXWAMCSA-N 0 0 438.550 -0.486 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000500664692 1071505426 /nfs/dbraw/zinc/50/54/26/1071505426.db2.gz GFTZRYJVOIPYLR-GDBMZVCRSA-N 0 0 428.507 -0.061 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000500664699 1071505518 /nfs/dbraw/zinc/50/55/18/1071505518.db2.gz GFTZRYJVOIPYLR-GOEBONIOSA-N 0 0 428.507 -0.061 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000500664702 1071505440 /nfs/dbraw/zinc/50/54/40/1071505440.db2.gz GFTZRYJVOIPYLR-HOCLYGCPSA-N 0 0 428.507 -0.061 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000500664705 1071505588 /nfs/dbraw/zinc/50/55/88/1071505588.db2.gz GFTZRYJVOIPYLR-ZBFHGGJFSA-N 0 0 428.507 -0.061 20 0 IBADRN COC(=O)Cc1nc(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)n[nH]1 ZINC000500668567 1071507052 /nfs/dbraw/zinc/50/70/52/1071507052.db2.gz UENIRRLVFZFYLJ-UHFFFAOYSA-N 0 0 429.480 -0.216 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]3CCS(=O)(=O)C3)cnc2c1Cl ZINC000500669553 1071506070 /nfs/dbraw/zinc/50/60/70/1071506070.db2.gz RYNWWWPTPNLJLE-GHMZBOCLSA-N 0 0 449.942 -0.091 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]3CCS(=O)(=O)C3)cnc2c1Cl ZINC000500669554 1071506120 /nfs/dbraw/zinc/50/61/20/1071506120.db2.gz RYNWWWPTPNLJLE-MNOVXSKESA-N 0 0 449.942 -0.091 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]3CCS(=O)(=O)C3)cnc2c1Cl ZINC000500669555 1071506456 /nfs/dbraw/zinc/50/64/56/1071506456.db2.gz RYNWWWPTPNLJLE-QWRGUYRKSA-N 0 0 449.942 -0.091 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]3CCS(=O)(=O)C3)cnc2c1Cl ZINC000500669556 1071506147 /nfs/dbraw/zinc/50/61/47/1071506147.db2.gz RYNWWWPTPNLJLE-WDEREUQCSA-N 0 0 449.942 -0.091 20 0 IBADRN Cn1cc(N2CCN(c3cc(N4CCN(c5cnn(C)c5)C(=O)C4)ncn3)CC2=O)cn1 ZINC000500669705 1071506040 /nfs/dbraw/zinc/50/60/40/1071506040.db2.gz UTLVRTLXYLFHNG-UHFFFAOYSA-N 0 0 436.480 -0.350 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc(S(C)(=O)=O)c1 ZINC000500669812 1071506156 /nfs/dbraw/zinc/50/61/56/1071506156.db2.gz RFFHALPXVWLBGS-UHFFFAOYSA-N 0 0 436.494 -0.192 20 0 IBADRN COC(=O)c1cc(Br)c(OC)cc1NC(=O)C(=O)NCCOCC(N)=O ZINC000500670429 1071506209 /nfs/dbraw/zinc/50/62/09/1071506209.db2.gz XOKNGXXSELFHPI-UHFFFAOYSA-N 0 0 432.227 -0.199 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(OC)cc(S(C)(=O)=O)c3)C2)nn1 ZINC000500671864 1071506993 /nfs/dbraw/zinc/50/69/93/1071506993.db2.gz BJTNJRHHIBOAEV-UHFFFAOYSA-N 0 0 437.434 -0.501 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000500672118 1071507080 /nfs/dbraw/zinc/50/70/80/1071507080.db2.gz WUNSCAYLFWLLND-UHFFFAOYSA-N 0 0 431.496 -0.880 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)ccc1F ZINC000500673172 1071506795 /nfs/dbraw/zinc/50/67/95/1071506795.db2.gz YPUGRYMXFHZCTK-UHFFFAOYSA-N 0 0 439.473 -0.557 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000500674161 1071507154 /nfs/dbraw/zinc/50/71/54/1071507154.db2.gz ZEBXBHRYIMLHOL-LLVKDONJSA-N 0 0 425.916 -0.094 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000500674164 1071507143 /nfs/dbraw/zinc/50/71/43/1071507143.db2.gz ZEBXBHRYIMLHOL-NSHDSACASA-N 0 0 425.916 -0.094 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)CC2)[nH]1 ZINC000500675716 1071507566 /nfs/dbraw/zinc/50/75/66/1071507566.db2.gz IPGPIWHCOYUCKA-KBXCAEBGSA-N 0 0 436.538 -0.206 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)CC2)[nH]1 ZINC000500675717 1071507882 /nfs/dbraw/zinc/50/78/82/1071507882.db2.gz IPGPIWHCOYUCKA-KDOFPFPSSA-N 0 0 436.538 -0.206 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)CC2)[nH]1 ZINC000500675718 1071507687 /nfs/dbraw/zinc/50/76/87/1071507687.db2.gz IPGPIWHCOYUCKA-KSSFIOAISA-N 0 0 436.538 -0.206 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)CC2)[nH]1 ZINC000500675719 1071507609 /nfs/dbraw/zinc/50/76/09/1071507609.db2.gz IPGPIWHCOYUCKA-RDTXWAMCSA-N 0 0 436.538 -0.206 20 0 IBADRN Cc1cnc(N)c(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000500676728 1071507635 /nfs/dbraw/zinc/50/76/35/1071507635.db2.gz HJOIPLXPOLWNOH-HNNXBMFYSA-N 0 0 432.568 -0.128 20 0 IBADRN Cc1cnc(N)c(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000500676729 1071507519 /nfs/dbraw/zinc/50/75/19/1071507519.db2.gz HJOIPLXPOLWNOH-OAHLLOKOSA-N 0 0 432.568 -0.128 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)CCCc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000500677823 1071507592 /nfs/dbraw/zinc/50/75/92/1071507592.db2.gz KBBDHOGHFMWJNP-UHFFFAOYSA-N 0 0 434.518 -0.060 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cnc2c1Cl ZINC000500678324 1071507486 /nfs/dbraw/zinc/50/74/86/1071507486.db2.gz KONSNVOPZDIAIZ-UHFFFAOYSA-N 0 0 426.886 -0.197 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000500678352 1071507394 /nfs/dbraw/zinc/50/73/94/1071507394.db2.gz LAZUIINIOWLKOU-UHFFFAOYSA-N 0 0 444.897 -0.684 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCC(=O)N2CCN(C)CC2)[C@@H](C)O)cc1 ZINC000500679291 1071507030 /nfs/dbraw/zinc/50/70/30/1071507030.db2.gz AOKONCBXULXCOI-CRAIPNDOSA-N 0 0 426.539 -0.697 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCC(=O)N2CCN(C)CC2)[C@H](C)O)cc1 ZINC000500679293 1071506963 /nfs/dbraw/zinc/50/69/63/1071506963.db2.gz AOKONCBXULXCOI-MAUKXSAKSA-N 0 0 426.539 -0.697 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCC(=O)N2CCN(C)CC2)[C@@H](C)O)cc1 ZINC000500679295 1071507535 /nfs/dbraw/zinc/50/75/35/1071507535.db2.gz AOKONCBXULXCOI-QAPCUYQASA-N 0 0 426.539 -0.697 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCC(=O)N2CCN(C)CC2)[C@H](C)O)cc1 ZINC000500679296 1071507728 /nfs/dbraw/zinc/50/77/28/1071507728.db2.gz AOKONCBXULXCOI-YJBOKZPZSA-N 0 0 426.539 -0.697 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000500679382 1071507642 /nfs/dbraw/zinc/50/76/42/1071507642.db2.gz QWGILEKEYMZFRG-UHFFFAOYSA-N 0 0 425.467 -0.942 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)ccc1F ZINC000500680189 1071508454 /nfs/dbraw/zinc/50/84/54/1071508454.db2.gz MQKIPUFKKKRCEJ-UHFFFAOYSA-N 0 0 440.413 -0.866 20 0 IBADRN COc1cc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)ccn1 ZINC000500681802 1071507867 /nfs/dbraw/zinc/50/78/67/1071507867.db2.gz UFLNIEBQEXDHCB-UHFFFAOYSA-N 0 0 448.457 -0.011 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c1 ZINC000500681808 1071508340 /nfs/dbraw/zinc/50/83/40/1071508340.db2.gz UHYOCHYCJNQGRZ-UHFFFAOYSA-N 0 0 429.481 -0.228 20 0 IBADRN O=C(N[C@@H]1CCCN(CCO)C1=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500682981 1071508433 /nfs/dbraw/zinc/50/84/33/1071508433.db2.gz GOZQXJFERVUHKM-HLLBOEOZSA-N 0 0 444.488 -0.879 20 0 IBADRN O=C(N[C@H]1CCCN(CCO)C1=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500682984 1071508196 /nfs/dbraw/zinc/50/81/96/1071508196.db2.gz GOZQXJFERVUHKM-VYDXJSESSA-N 0 0 444.488 -0.879 20 0 IBADRN O=C(N[C@@H]1CCCN(CCO)C1=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500682986 1071508444 /nfs/dbraw/zinc/50/84/44/1071508444.db2.gz GOZQXJFERVUHKM-YQQAZPJKSA-N 0 0 444.488 -0.879 20 0 IBADRN O=C(N[C@H]1CCCN(CCO)C1=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500682988 1071508311 /nfs/dbraw/zinc/50/83/11/1071508311.db2.gz GOZQXJFERVUHKM-ZOBUZTSGSA-N 0 0 444.488 -0.879 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c1 ZINC000500684200 1071508376 /nfs/dbraw/zinc/50/83/76/1071508376.db2.gz XTYCLJIWBPEKAC-UHFFFAOYSA-N 0 0 430.421 -0.537 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@](C)(O)C(=O)OC(C)(C)C)ccc1F ZINC000500684677 1071508468 /nfs/dbraw/zinc/50/84/68/1071508468.db2.gz ITFJIHKSSNHRTG-KRWDZBQOSA-N 0 0 433.458 -0.119 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@](C)(O)C(=O)OC(C)(C)C)ccc1F ZINC000500684680 1071508242 /nfs/dbraw/zinc/50/82/42/1071508242.db2.gz ITFJIHKSSNHRTG-QGZVFWFLSA-N 0 0 433.458 -0.119 20 0 IBADRN O=C(Nc1cc(F)c(N2CCOCC2)cc1F)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000500687062 1071508279 /nfs/dbraw/zinc/50/82/79/1071508279.db2.gz LXAUMOPBUAWHFR-AWEZNQCLSA-N 0 0 426.420 -0.161 20 0 IBADRN O=C(Nc1cc(F)c(N2CCOCC2)cc1F)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000500687067 1071508215 /nfs/dbraw/zinc/50/82/15/1071508215.db2.gz LXAUMOPBUAWHFR-CQSZACIVSA-N 0 0 426.420 -0.161 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](CC(C)C)C(=O)NCCOC)ccc1F ZINC000500689918 1071508326 /nfs/dbraw/zinc/50/83/26/1071508326.db2.gz RRTQYTBMICKWIB-AWEZNQCLSA-N 0 0 446.501 -0.034 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)ccc1F ZINC000500697309 1071508929 /nfs/dbraw/zinc/50/89/29/1071508929.db2.gz IYWSHKPISHHMPF-CYBMUJFWSA-N 0 0 430.458 -0.886 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)ccc1F ZINC000500697312 1071509155 /nfs/dbraw/zinc/50/91/55/1071509155.db2.gz IYWSHKPISHHMPF-ZDUSSCGKSA-N 0 0 430.458 -0.886 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500697489 1071508913 /nfs/dbraw/zinc/50/89/13/1071508913.db2.gz JSQITTIIRQMUKH-DGCWBMGLSA-N 0 0 433.461 -0.548 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500697490 1071509016 /nfs/dbraw/zinc/50/90/16/1071509016.db2.gz JSQITTIIRQMUKH-HKZYLEAXSA-N 0 0 433.461 -0.548 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500697491 1071509108 /nfs/dbraw/zinc/50/91/08/1071509108.db2.gz JSQITTIIRQMUKH-PXIJXODZSA-N 0 0 433.461 -0.548 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500697492 1071509030 /nfs/dbraw/zinc/50/90/30/1071509030.db2.gz JSQITTIIRQMUKH-ZMOMAAQPSA-N 0 0 433.461 -0.548 20 0 IBADRN O=C(Nc1ccc2nccnc2c1Br)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000500698640 1071509616 /nfs/dbraw/zinc/50/96/16/1071509616.db2.gz OKLPBYGDUABLED-SECBINFHSA-N 0 0 447.249 -0.500 20 0 IBADRN O=C(Nc1ccc2nccnc2c1Br)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000500698648 1071509440 /nfs/dbraw/zinc/50/94/40/1071509440.db2.gz OKLPBYGDUABLED-VIFPVBQESA-N 0 0 447.249 -0.500 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(F)c(N4CCOCC4)cc3F)C2)nn1 ZINC000500699766 1071509094 /nfs/dbraw/zinc/50/90/94/1071509094.db2.gz OEJLNNOVLQOBHW-UHFFFAOYSA-N 0 0 435.391 -0.486 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)ccc1F ZINC000500699866 1071508790 /nfs/dbraw/zinc/50/87/90/1071508790.db2.gz SORVUFPDTOXTLA-GFCCVEGCSA-N 0 0 430.502 -0.024 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)ccc1F ZINC000500699867 1071509061 /nfs/dbraw/zinc/50/90/61/1071509061.db2.gz SORVUFPDTOXTLA-LBPRGKRZSA-N 0 0 430.502 -0.024 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)Nc1cnn(CC(=O)N2CCN(C)CC2)c1 ZINC000500702907 1071509568 /nfs/dbraw/zinc/50/95/68/1071509568.db2.gz UUBPJKAVUDQKDC-HNNXBMFYSA-N 0 0 446.599 -0.343 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)Nc1cnn(CC(=O)N2CCN(C)CC2)c1 ZINC000500702908 1071509751 /nfs/dbraw/zinc/50/97/51/1071509751.db2.gz UUBPJKAVUDQKDC-OAHLLOKOSA-N 0 0 446.599 -0.343 20 0 IBADRN COCCCOc1ccc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1OC ZINC000500703276 1071509583 /nfs/dbraw/zinc/50/95/83/1071509583.db2.gz STJLDESUCZZBFQ-UHFFFAOYSA-N 0 0 432.437 -0.177 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)ccc1F ZINC000500705218 1071509661 /nfs/dbraw/zinc/50/96/61/1071509661.db2.gz XPYIMCLGRYLJHB-GFCCVEGCSA-N 0 0 444.485 -0.232 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)ccc1F ZINC000500705219 1071509471 /nfs/dbraw/zinc/50/94/71/1071509471.db2.gz XPYIMCLGRYLJHB-LBPRGKRZSA-N 0 0 444.485 -0.232 20 0 IBADRN COCCCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1OC ZINC000500712167 1071510360 /nfs/dbraw/zinc/51/03/60/1071510360.db2.gz CFFHEALSKUHZJU-AWEZNQCLSA-N 0 0 434.449 -0.782 20 0 IBADRN COCCCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1OC ZINC000500712168 1071510252 /nfs/dbraw/zinc/51/02/52/1071510252.db2.gz CFFHEALSKUHZJU-CQSZACIVSA-N 0 0 434.449 -0.782 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cc2n(n1)CCCC2 ZINC000500712523 1071510297 /nfs/dbraw/zinc/51/02/97/1071510297.db2.gz OWWCGZHDYLLZEX-INIZCTEOSA-N 0 0 426.477 -0.123 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cc2n(n1)CCCC2 ZINC000500712528 1071510270 /nfs/dbraw/zinc/51/02/70/1071510270.db2.gz OWWCGZHDYLLZEX-MRXNPFEDSA-N 0 0 426.477 -0.123 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccc2c1CNC2=O ZINC000500714068 1071510113 /nfs/dbraw/zinc/51/01/13/1071510113.db2.gz RLEGUGUBQBSCIH-KRWDZBQOSA-N 0 0 437.456 -0.412 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cccc2c1CNC2=O ZINC000500714070 1071510312 /nfs/dbraw/zinc/51/03/12/1071510312.db2.gz RLEGUGUBQBSCIH-QGZVFWFLSA-N 0 0 437.456 -0.412 20 0 IBADRN O=C(Nc1ccc(F)cc1OC1CCOCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000500719340 1071510811 /nfs/dbraw/zinc/51/08/11/1071510811.db2.gz XUZYHHNZYJZSPM-CYBMUJFWSA-N 0 0 434.424 -0.509 20 0 IBADRN O=C(Nc1ccc(F)cc1OC1CCOCC1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000500719638 1071510666 /nfs/dbraw/zinc/51/06/66/1071510666.db2.gz XUZYHHNZYJZSPM-ZDUSSCGKSA-N 0 0 434.424 -0.509 20 0 IBADRN CCOC(=O)C(C)(C)c1csc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)n1 ZINC000500721069 1071511562 /nfs/dbraw/zinc/51/15/62/1071511562.db2.gz PILGJNZZSGEMFW-JTQLQIEISA-N 0 0 437.478 -0.909 20 0 IBADRN CCOC(=O)C(C)(C)c1csc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1 ZINC000500721071 1071511500 /nfs/dbraw/zinc/51/15/00/1071511500.db2.gz PILGJNZZSGEMFW-SNVBAGLBSA-N 0 0 437.478 -0.909 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(Br)c(F)cc1C(=O)OC ZINC000500721361 1071511527 /nfs/dbraw/zinc/51/15/27/1071511527.db2.gz HSUOYLXWAWPEFA-UHFFFAOYSA-N 0 0 440.247 -0.021 20 0 IBADRN O=C(C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500722980 1071511551 /nfs/dbraw/zinc/51/15/51/1071511551.db2.gz LBHWEONIJPECEL-FPCVCCKLSA-N 0 0 426.473 -0.099 20 0 IBADRN O=C(C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500722981 1071511992 /nfs/dbraw/zinc/51/19/92/1071511992.db2.gz LBHWEONIJPECEL-JJXSEGSLSA-N 0 0 426.473 -0.099 20 0 IBADRN O=C(C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500722982 1071512003 /nfs/dbraw/zinc/51/20/03/1071512003.db2.gz LBHWEONIJPECEL-JONQDZQNSA-N 0 0 426.473 -0.099 20 0 IBADRN O=C(C(=O)N1[C@@H]2CC[C@H]1CNC(=O)C2)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500722984 1071511928 /nfs/dbraw/zinc/51/19/28/1071511928.db2.gz LBHWEONIJPECEL-QXSJWSMHSA-N 0 0 426.473 -0.099 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000500725406 1071511948 /nfs/dbraw/zinc/51/19/48/1071511948.db2.gz PKZMGPNUUCLQDP-UHFFFAOYSA-N 0 0 439.494 -0.800 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)ccc1F ZINC000500725654 1071511966 /nfs/dbraw/zinc/51/19/66/1071511966.db2.gz QJNFAPKLLOLHHX-JTQLQIEISA-N 0 0 445.391 -0.194 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)[C@H]3CCCCO3)CC2)cn1C ZINC000500733435 1071512058 /nfs/dbraw/zinc/51/20/58/1071512058.db2.gz QNIFHKYRLSTSQT-DZGCQCFKSA-N 0 0 427.527 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)[C@@H]3CCCCO3)CC2)cn1C ZINC000500733436 1071512574 /nfs/dbraw/zinc/51/25/74/1071512574.db2.gz QNIFHKYRLSTSQT-HIFRSBDPSA-N 0 0 427.527 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)[C@H]3CCCCO3)CC2)cn1C ZINC000500733437 1071512759 /nfs/dbraw/zinc/51/27/59/1071512759.db2.gz QNIFHKYRLSTSQT-UKRRQHHQSA-N 0 0 427.527 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)[C@@H]3CCCCO3)CC2)cn1C ZINC000500733439 1071512584 /nfs/dbraw/zinc/51/25/84/1071512584.db2.gz QNIFHKYRLSTSQT-ZFWWWQNUSA-N 0 0 427.527 -0.365 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H]1CCCCO1 ZINC000500735679 1071512611 /nfs/dbraw/zinc/51/26/11/1071512611.db2.gz YLINJUNRMMPRMD-CHWSQXEVSA-N 0 0 442.494 -0.715 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H]1CCCCO1 ZINC000500735680 1071512564 /nfs/dbraw/zinc/51/25/64/1071512564.db2.gz YLINJUNRMMPRMD-OLZOCXBDSA-N 0 0 442.494 -0.715 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H]1CCCCO1 ZINC000500735681 1071512504 /nfs/dbraw/zinc/51/25/04/1071512504.db2.gz YLINJUNRMMPRMD-QWHCGFSZSA-N 0 0 442.494 -0.715 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H]1CCCCO1 ZINC000500735682 1071512682 /nfs/dbraw/zinc/51/26/82/1071512682.db2.gz YLINJUNRMMPRMD-STQMWFEESA-N 0 0 442.494 -0.715 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)N=NC2C1)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500736894 1071513253 /nfs/dbraw/zinc/51/32/53/1071513253.db2.gz UDHTVQQZLULTIG-CHWSQXEVSA-N 0 0 426.433 -0.639 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)N=NC2C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500736895 1071513163 /nfs/dbraw/zinc/51/31/63/1071513163.db2.gz UDHTVQQZLULTIG-OLZOCXBDSA-N 0 0 426.433 -0.639 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)N=NC2C1)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000500736896 1071513127 /nfs/dbraw/zinc/51/31/27/1071513127.db2.gz UDHTVQQZLULTIG-QWHCGFSZSA-N 0 0 426.433 -0.639 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)N=NC2C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000500736897 1071513267 /nfs/dbraw/zinc/51/32/67/1071513267.db2.gz UDHTVQQZLULTIG-STQMWFEESA-N 0 0 426.433 -0.639 20 0 IBADRN CN(C)C(=O)CC[NH+]1CCN(c2cc(N3CC[NH+](CCC(=O)N(C)C)CC3)ncn2)CC1 ZINC000500738339 1071512527 /nfs/dbraw/zinc/51/25/27/1071512527.db2.gz FRHIPNRURMRDHP-UHFFFAOYSA-N 0 0 446.600 -0.323 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)[C@H]1CCCCO1 ZINC000500742369 1071513109 /nfs/dbraw/zinc/51/31/09/1071513109.db2.gz ADOLEEIANXYJBK-QBPKDAKJSA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@@H]1CCCCO1 ZINC000500742370 1071513304 /nfs/dbraw/zinc/51/33/04/1071513304.db2.gz ADOLEEIANXYJBK-TWMKSMIVSA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)[C@@H]1CCCCO1 ZINC000500742371 1071513188 /nfs/dbraw/zinc/51/31/88/1071513188.db2.gz ADOLEEIANXYJBK-VQHPVUNQSA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@H]1CCCCO1 ZINC000500742372 1071513200 /nfs/dbraw/zinc/51/32/00/1071513200.db2.gz ADOLEEIANXYJBK-YYIAUSFCSA-N 0 0 446.570 -0.442 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCNC(=O)[C@@H]1c1ccccc1 ZINC000500744423 1071513793 /nfs/dbraw/zinc/51/37/93/1071513793.db2.gz BUWINAZSJMESHO-KRWDZBQOSA-N 0 0 430.442 -0.058 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCNC(=O)[C@H]1c1ccccc1 ZINC000500744425 1071513694 /nfs/dbraw/zinc/51/36/94/1071513694.db2.gz BUWINAZSJMESHO-QGZVFWFLSA-N 0 0 430.442 -0.058 20 0 IBADRN COc1ccc([C@H](CNC(=O)NCCN2C(=O)CNC2=O)N2CCOCC2)cc1OC ZINC000500751102 1071514930 /nfs/dbraw/zinc/51/49/30/1071514930.db2.gz QOICYAOHEJFDIO-HNNXBMFYSA-N 0 0 435.481 -0.072 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NCCN2C(=O)CNC2=O)N2CCOCC2)cc1OC ZINC000500751104 1071515075 /nfs/dbraw/zinc/51/50/75/1071515075.db2.gz QOICYAOHEJFDIO-OAHLLOKOSA-N 0 0 435.481 -0.072 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1=O ZINC000500761927 1071516857 /nfs/dbraw/zinc/51/68/57/1071516857.db2.gz BDNSPHGRUBKALV-KRWDZBQOSA-N 0 0 431.493 -0.202 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1=O ZINC000500761929 1071517079 /nfs/dbraw/zinc/51/70/79/1071517079.db2.gz BDNSPHGRUBKALV-QGZVFWFLSA-N 0 0 431.493 -0.202 20 0 IBADRN C[C@@H]1CC[C@@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000500763241 1071516279 /nfs/dbraw/zinc/51/62/79/1071516279.db2.gz JLEMCZAJLBCPBQ-CHWSQXEVSA-N 0 0 426.495 -0.236 20 0 IBADRN C[C@@H]1CC[C@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000500763242 1071516258 /nfs/dbraw/zinc/51/62/58/1071516258.db2.gz JLEMCZAJLBCPBQ-OLZOCXBDSA-N 0 0 426.495 -0.236 20 0 IBADRN C[C@H]1CC[C@@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000500763243 1071516433 /nfs/dbraw/zinc/51/64/33/1071516433.db2.gz JLEMCZAJLBCPBQ-QWHCGFSZSA-N 0 0 426.495 -0.236 20 0 IBADRN C[C@H]1CC[C@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000500763244 1071516306 /nfs/dbraw/zinc/51/63/06/1071516306.db2.gz JLEMCZAJLBCPBQ-STQMWFEESA-N 0 0 426.495 -0.236 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000500765613 1071516849 /nfs/dbraw/zinc/51/68/49/1071516849.db2.gz GOQCNCJLXJUWRR-HNNXBMFYSA-N 0 0 427.479 -0.068 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000500765614 1071517007 /nfs/dbraw/zinc/51/70/07/1071517007.db2.gz GOQCNCJLXJUWRR-OAHLLOKOSA-N 0 0 427.479 -0.068 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000500767572 1071517519 /nfs/dbraw/zinc/51/75/19/1071517519.db2.gz BJIBONMSWZEWDJ-AWEZNQCLSA-N 0 0 439.494 -0.505 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000500767573 1071517835 /nfs/dbraw/zinc/51/78/35/1071517835.db2.gz BJIBONMSWZEWDJ-CQSZACIVSA-N 0 0 439.494 -0.505 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000500767820 1071517697 /nfs/dbraw/zinc/51/76/97/1071517697.db2.gz NUWQVASYNWNVQM-INIZCTEOSA-N 0 0 446.508 -0.417 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000500767822 1071517851 /nfs/dbraw/zinc/51/78/51/1071517851.db2.gz NUWQVASYNWNVQM-MRXNPFEDSA-N 0 0 446.508 -0.417 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)C1=O ZINC000500772111 1071517728 /nfs/dbraw/zinc/51/77/28/1071517728.db2.gz YBOOOWRQAOURTO-JLTOFOAXSA-N 0 0 431.449 -0.296 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)C1=O ZINC000500772113 1071517880 /nfs/dbraw/zinc/51/78/80/1071517880.db2.gz YBOOOWRQAOURTO-VBKZILBWSA-N 0 0 431.449 -0.296 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)C1=O ZINC000500772115 1071517656 /nfs/dbraw/zinc/51/76/56/1071517656.db2.gz YBOOOWRQAOURTO-VLIAUNLRSA-N 0 0 431.449 -0.296 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)C1=O ZINC000500772117 1071517555 /nfs/dbraw/zinc/51/75/55/1071517555.db2.gz YBOOOWRQAOURTO-XOBRGWDASA-N 0 0 431.449 -0.296 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cnc2c1Cl ZINC000500790678 1071519922 /nfs/dbraw/zinc/51/99/22/1071519922.db2.gz BYTBIUFYOXARGB-UHFFFAOYSA-N 0 0 442.929 -0.144 20 0 IBADRN O=C(COCC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)NCc1cccnc1 ZINC000500792112 1071520598 /nfs/dbraw/zinc/52/05/98/1071520598.db2.gz LLMGVOZVSPCUJL-KRWDZBQOSA-N 0 0 440.522 -0.633 20 0 IBADRN O=C(COCC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)NCc1cccnc1 ZINC000500792121 1071520337 /nfs/dbraw/zinc/52/03/37/1071520337.db2.gz LLMGVOZVSPCUJL-QGZVFWFLSA-N 0 0 440.522 -0.633 20 0 IBADRN Cc1cnc(N)c(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1 ZINC000500792710 1071520500 /nfs/dbraw/zinc/52/05/00/1071520500.db2.gz LHSXPEUDURHAAV-KBPBESRZSA-N 0 0 433.556 -0.367 20 0 IBADRN Cc1cnc(N)c(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1 ZINC000500792711 1071520320 /nfs/dbraw/zinc/52/03/20/1071520320.db2.gz LHSXPEUDURHAAV-OKILXGFUSA-N 0 0 433.556 -0.367 20 0 IBADRN Cc1cnc(N)c(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1 ZINC000500792712 1071520359 /nfs/dbraw/zinc/52/03/59/1071520359.db2.gz LHSXPEUDURHAAV-ZIAGYGMSSA-N 0 0 433.556 -0.367 20 0 IBADRN CS(=O)(=O)N1CCC(NS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000500795449 1071519763 /nfs/dbraw/zinc/51/97/63/1071519763.db2.gz ZBKHGSUBRGUFFH-UHFFFAOYSA-N 0 0 425.916 -0.094 20 0 IBADRN O=C(N[C@H]1CCCn2ncnc21)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000500795667 1071519949 /nfs/dbraw/zinc/51/99/49/1071519949.db2.gz SFDHAIVKMPIYAQ-HNNXBMFYSA-N 0 0 439.542 -0.506 20 0 IBADRN O=C(N[C@@H]1CCCn2ncnc21)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000500795668 1071519863 /nfs/dbraw/zinc/51/98/63/1071519863.db2.gz SFDHAIVKMPIYAQ-OAHLLOKOSA-N 0 0 439.542 -0.506 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCC[C@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000500795819 1071519650 /nfs/dbraw/zinc/51/96/50/1071519650.db2.gz AEIOKFOASVBDEE-HNNXBMFYSA-N 0 0 448.501 -0.062 20 0 IBADRN O=C(c1cn(CCO)c(=O)[nH]c1=O)N1CCC[C@@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000500795820 1071519631 /nfs/dbraw/zinc/51/96/31/1071519631.db2.gz AEIOKFOASVBDEE-OAHLLOKOSA-N 0 0 448.501 -0.062 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)NCCN2C(=O)CNC2=O)c1 ZINC000500796771 1071519687 /nfs/dbraw/zinc/51/96/87/1071519687.db2.gz ASZWNHWWPRRAOX-UHFFFAOYSA-N 0 0 432.481 -0.302 20 0 IBADRN O=C(CN1CCN(c2ccccn2)CC1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500799107 1071521209 /nfs/dbraw/zinc/52/12/09/1071521209.db2.gz FODPRUSCXGQJPR-GOSISDBHSA-N 0 0 437.566 -0.143 20 0 IBADRN O=C(CN1CCN(c2ccccn2)CC1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500799108 1071521187 /nfs/dbraw/zinc/52/11/87/1071521187.db2.gz FODPRUSCXGQJPR-SFHVURJKSA-N 0 0 437.566 -0.143 20 0 IBADRN CCSCC[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000500799145 1071521626 /nfs/dbraw/zinc/52/16/26/1071521626.db2.gz JERJFMWXOCFOKX-HNNXBMFYSA-N 0 0 435.616 -0.729 20 0 IBADRN CCSCC[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000500799149 1071521893 /nfs/dbraw/zinc/52/18/93/1071521893.db2.gz JERJFMWXOCFOKX-OAHLLOKOSA-N 0 0 435.616 -0.729 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)cnc21 ZINC000500801099 1071521783 /nfs/dbraw/zinc/52/17/83/1071521783.db2.gz BQUIRVDBZZNINR-CYBMUJFWSA-N 0 0 437.478 -0.699 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)cnc21 ZINC000500801100 1071521720 /nfs/dbraw/zinc/52/17/20/1071521720.db2.gz BQUIRVDBZZNINR-ZDUSSCGKSA-N 0 0 437.478 -0.699 20 0 IBADRN O=C(CCCS(=O)(=O)N1CCCC1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500801211 1071521760 /nfs/dbraw/zinc/52/17/60/1071521760.db2.gz JNORWASDFRXJSP-INIZCTEOSA-N 0 0 437.584 -0.155 20 0 IBADRN O=C(CCCS(=O)(=O)N1CCCC1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500801217 1071521772 /nfs/dbraw/zinc/52/17/72/1071521772.db2.gz JNORWASDFRXJSP-MRXNPFEDSA-N 0 0 437.584 -0.155 20 0 IBADRN CS(=O)(=O)NCc1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)o1 ZINC000500803858 1071520562 /nfs/dbraw/zinc/52/05/62/1071520562.db2.gz GCKUUSMCOOJTMS-AWEZNQCLSA-N 0 0 435.524 -0.405 20 0 IBADRN CS(=O)(=O)NCc1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)o1 ZINC000500803859 1071520263 /nfs/dbraw/zinc/52/02/63/1071520263.db2.gz GCKUUSMCOOJTMS-CQSZACIVSA-N 0 0 435.524 -0.405 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500803940 1071520518 /nfs/dbraw/zinc/52/05/18/1071520518.db2.gz UTRGFQFHBPRENZ-HNNXBMFYSA-N 0 0 442.538 -0.106 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500803941 1071520420 /nfs/dbraw/zinc/52/04/20/1071520420.db2.gz UTRGFQFHBPRENZ-OAHLLOKOSA-N 0 0 442.538 -0.106 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500804468 1071520250 /nfs/dbraw/zinc/52/02/50/1071520250.db2.gz HBIKRXCQEKDFHM-HNNXBMFYSA-N 0 0 442.538 -0.106 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500804469 1071520613 /nfs/dbraw/zinc/52/06/13/1071520613.db2.gz HBIKRXCQEKDFHM-OAHLLOKOSA-N 0 0 442.538 -0.106 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500804944 1071521053 /nfs/dbraw/zinc/52/10/53/1071521053.db2.gz WPAJGZTXRXRBAQ-KKUMJFAQSA-N 0 0 425.573 -0.397 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500804949 1071521095 /nfs/dbraw/zinc/52/10/95/1071521095.db2.gz WPAJGZTXRXRBAQ-RRFJBIMHSA-N 0 0 425.573 -0.397 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500804952 1071521024 /nfs/dbraw/zinc/52/10/24/1071521024.db2.gz WPAJGZTXRXRBAQ-SOUVJXGZSA-N 0 0 425.573 -0.397 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500804954 1071521151 /nfs/dbraw/zinc/52/11/51/1071521151.db2.gz WPAJGZTXRXRBAQ-ZNMIVQPWSA-N 0 0 425.573 -0.397 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500805007 1071521126 /nfs/dbraw/zinc/52/11/26/1071521126.db2.gz HLLMTVVSHHXLBT-AWEZNQCLSA-N 0 0 448.567 -0.032 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500805011 1071521072 /nfs/dbraw/zinc/52/10/72/1071521072.db2.gz HLLMTVVSHHXLBT-CQSZACIVSA-N 0 0 448.567 -0.032 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500805381 1071521246 /nfs/dbraw/zinc/52/12/46/1071521246.db2.gz PCESFDINUSTIAL-AWEZNQCLSA-N 0 0 428.511 -0.496 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500805388 1071521237 /nfs/dbraw/zinc/52/12/37/1071521237.db2.gz PCESFDINUSTIAL-CQSZACIVSA-N 0 0 428.511 -0.496 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCc4ccccc43)C2)c1=O ZINC000500805475 1071521109 /nfs/dbraw/zinc/52/11/09/1071521109.db2.gz XOMYPMVWBUKTKP-INIZCTEOSA-N 0 0 432.502 -0.070 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCc4ccccc43)C2)c1=O ZINC000500805477 1071521173 /nfs/dbraw/zinc/52/11/73/1071521173.db2.gz XOMYPMVWBUKTKP-MRXNPFEDSA-N 0 0 432.502 -0.070 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000500805735 1071520274 /nfs/dbraw/zinc/52/02/74/1071520274.db2.gz IWYLAEOMESOATH-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000500805736 1071521086 /nfs/dbraw/zinc/52/10/86/1071521086.db2.gz IWYLAEOMESOATH-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN O=C(COc1ccccc1CNC(=O)NCCN1C(=O)CNC1=O)NC[C@@H]1CCCO1 ZINC000500806093 1071521222 /nfs/dbraw/zinc/52/12/22/1071521222.db2.gz PPLZUZWYVGERRX-HNNXBMFYSA-N 0 0 433.465 -0.288 20 0 IBADRN O=C(COc1ccccc1CNC(=O)NCCN1C(=O)CNC1=O)NC[C@H]1CCCO1 ZINC000500806099 1071521157 /nfs/dbraw/zinc/52/11/57/1071521157.db2.gz PPLZUZWYVGERRX-OAHLLOKOSA-N 0 0 433.465 -0.288 20 0 IBADRN O=C(CCn1nnc2ccccc2c1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500806997 1071521060 /nfs/dbraw/zinc/52/10/60/1071521060.db2.gz KMRGEQOWRIFWTF-HNNXBMFYSA-N 0 0 435.506 -0.165 20 0 IBADRN O=C(CCn1nnc2ccccc2c1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500806999 1071521138 /nfs/dbraw/zinc/52/11/38/1071521138.db2.gz KMRGEQOWRIFWTF-OAHLLOKOSA-N 0 0 435.506 -0.165 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500808014 1071521042 /nfs/dbraw/zinc/52/10/42/1071521042.db2.gz LROFVDTWUNKHTJ-CYBMUJFWSA-N 0 0 437.565 -0.321 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500808022 1071521258 /nfs/dbraw/zinc/52/12/58/1071521258.db2.gz LROFVDTWUNKHTJ-ZDUSSCGKSA-N 0 0 437.565 -0.321 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500813480 1071521875 /nfs/dbraw/zinc/52/18/75/1071521875.db2.gz VFLWAYQYRCLIBI-AWEZNQCLSA-N 0 0 447.535 -0.391 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500813481 1071522286 /nfs/dbraw/zinc/52/22/86/1071522286.db2.gz VFLWAYQYRCLIBI-CQSZACIVSA-N 0 0 447.535 -0.391 20 0 IBADRN CC1(C)NC(=O)N(CCCC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000500814277 1071522647 /nfs/dbraw/zinc/52/26/47/1071522647.db2.gz WZUOVAQBVSFAPX-AWEZNQCLSA-N 0 0 430.527 -0.250 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000500814278 1071522323 /nfs/dbraw/zinc/52/23/23/1071522323.db2.gz QAWCRUNWMCJBFN-UHFFFAOYSA-N 0 0 430.914 -0.211 20 0 IBADRN CC1(C)NC(=O)N(CCCC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000500814279 1071522337 /nfs/dbraw/zinc/52/23/37/1071522337.db2.gz WZUOVAQBVSFAPX-CQSZACIVSA-N 0 0 430.527 -0.250 20 0 IBADRN Cc1cnc(N)c(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000500815615 1071522425 /nfs/dbraw/zinc/52/24/25/1071522425.db2.gz UFNIRBRDEFJLBH-UHFFFAOYSA-N 0 0 440.551 -0.202 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000500815778 1071522454 /nfs/dbraw/zinc/52/24/54/1071522454.db2.gz MTTUWEUESQAKTM-HNNXBMFYSA-N 0 0 445.567 -0.638 20 0 IBADRN CN(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000500815779 1071522350 /nfs/dbraw/zinc/52/23/50/1071522350.db2.gz MTTUWEUESQAKTM-OAHLLOKOSA-N 0 0 445.567 -0.638 20 0 IBADRN Cc1cnc(N)c(S(=O)(=O)N2CCN(S(=O)(=O)c3cc(C)cnc3N)CC2)c1 ZINC000500816445 1071521641 /nfs/dbraw/zinc/52/16/41/1071521641.db2.gz GLMPCZUIOXKVDJ-UHFFFAOYSA-N 0 0 426.524 -0.047 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)C1=O ZINC000500819090 1071523088 /nfs/dbraw/zinc/52/30/88/1071523088.db2.gz UFBDOEHIGMEBRJ-AEFFLSMTSA-N 0 0 431.493 -0.296 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)C1=O ZINC000500819091 1071523074 /nfs/dbraw/zinc/52/30/74/1071523074.db2.gz UFBDOEHIGMEBRJ-FUHWJXTLSA-N 0 0 431.493 -0.296 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)C1=O ZINC000500819092 1071523027 /nfs/dbraw/zinc/52/30/27/1071523027.db2.gz UFBDOEHIGMEBRJ-SJLPKXTDSA-N 0 0 431.493 -0.296 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)C1=O ZINC000500819093 1071523156 /nfs/dbraw/zinc/52/31/56/1071523156.db2.gz UFBDOEHIGMEBRJ-WMZOPIPTSA-N 0 0 431.493 -0.296 20 0 IBADRN COc1cc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)ccc1OCC(N)=O ZINC000500819149 1071523081 /nfs/dbraw/zinc/52/30/81/1071523081.db2.gz XOQHHJNIRVHTPV-HNNXBMFYSA-N 0 0 441.506 -0.174 20 0 IBADRN COc1cc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)ccc1OCC(N)=O ZINC000500819189 1071523002 /nfs/dbraw/zinc/52/30/02/1071523002.db2.gz XOQHHJNIRVHTPV-OAHLLOKOSA-N 0 0 441.506 -0.174 20 0 IBADRN CCSCC[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000500819454 1071523056 /nfs/dbraw/zinc/52/30/56/1071523056.db2.gz YLYIRXVFHNMGOM-GFCCVEGCSA-N 0 0 446.551 -0.141 20 0 IBADRN CCSCC[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000500819455 1071523091 /nfs/dbraw/zinc/52/30/91/1071523091.db2.gz YLYIRXVFHNMGOM-LBPRGKRZSA-N 0 0 446.551 -0.141 20 0 IBADRN Cn1cc(OCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000500824211 1071522309 /nfs/dbraw/zinc/52/23/09/1071522309.db2.gz RNHQUNMFTZGWEK-UHFFFAOYSA-N 0 0 435.462 -0.337 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cnc2c1Cl ZINC000500825144 1071522527 /nfs/dbraw/zinc/52/25/27/1071522527.db2.gz YSRRFUOACHOXCN-UHFFFAOYSA-N 0 0 442.929 -0.144 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500825990 1071522612 /nfs/dbraw/zinc/52/26/12/1071522612.db2.gz DOLIFSHHMCZGIO-INIZCTEOSA-N 0 0 446.551 -0.597 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500825991 1071522658 /nfs/dbraw/zinc/52/26/58/1071522658.db2.gz DOLIFSHHMCZGIO-MRXNPFEDSA-N 0 0 446.551 -0.597 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500826609 1071522539 /nfs/dbraw/zinc/52/25/39/1071522539.db2.gz FPYTWXJYXCBZPB-GFCCVEGCSA-N 0 0 437.565 -0.077 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500826610 1071522297 /nfs/dbraw/zinc/52/22/97/1071522297.db2.gz FPYTWXJYXCBZPB-LBPRGKRZSA-N 0 0 437.565 -0.077 20 0 IBADRN CN(CC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)S(=O)(=O)c1cccnc1 ZINC000500826933 1071522507 /nfs/dbraw/zinc/52/25/07/1071522507.db2.gz KJGHCEBOTMSCIY-INIZCTEOSA-N 0 0 446.551 -0.645 20 0 IBADRN CN(CC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)S(=O)(=O)c1cccnc1 ZINC000500826936 1071523066 /nfs/dbraw/zinc/52/30/66/1071523066.db2.gz KJGHCEBOTMSCIY-MRXNPFEDSA-N 0 0 446.551 -0.645 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(C)o1 ZINC000500827225 1071522554 /nfs/dbraw/zinc/52/25/54/1071522554.db2.gz HLSNGQTXVDFWCQ-CYBMUJFWSA-N 0 0 435.524 -0.237 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(C)o1 ZINC000500827231 1071522584 /nfs/dbraw/zinc/52/25/84/1071522584.db2.gz HLSNGQTXVDFWCQ-ZDUSSCGKSA-N 0 0 435.524 -0.237 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500828742 1071523144 /nfs/dbraw/zinc/52/31/44/1071523144.db2.gz QLLFUJPOICAVDY-KBPBESRZSA-N 0 0 425.573 -0.397 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500828743 1071523039 /nfs/dbraw/zinc/52/30/39/1071523039.db2.gz QLLFUJPOICAVDY-KGLIPLIRSA-N 0 0 425.573 -0.397 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500828744 1071523015 /nfs/dbraw/zinc/52/30/15/1071523015.db2.gz QLLFUJPOICAVDY-UONOGXRCSA-N 0 0 425.573 -0.397 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500828745 1071523168 /nfs/dbraw/zinc/52/31/68/1071523168.db2.gz QLLFUJPOICAVDY-ZIAGYGMSSA-N 0 0 425.573 -0.397 20 0 IBADRN Cc1cnc(N)c(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000500829647 1071524907 /nfs/dbraw/zinc/52/49/07/1071524907.db2.gz ORBYRAUHMBJQHS-UHFFFAOYSA-N 0 0 429.480 -0.641 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)S(=O)(=O)C1CCOCC1 ZINC000500831420 1071523847 /nfs/dbraw/zinc/52/38/47/1071523847.db2.gz DWNVDAGPROOPCV-GDBMZVCRSA-N 0 0 438.568 -0.378 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)S(=O)(=O)C1CCOCC1 ZINC000500831425 1071523773 /nfs/dbraw/zinc/52/37/73/1071523773.db2.gz DWNVDAGPROOPCV-GOEBONIOSA-N 0 0 438.568 -0.378 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)S(=O)(=O)C1CCOCC1 ZINC000500831428 1071523704 /nfs/dbraw/zinc/52/37/04/1071523704.db2.gz DWNVDAGPROOPCV-HOCLYGCPSA-N 0 0 438.568 -0.378 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)S(=O)(=O)C1CCOCC1 ZINC000500831430 1071523764 /nfs/dbraw/zinc/52/37/64/1071523764.db2.gz DWNVDAGPROOPCV-ZBFHGGJFSA-N 0 0 438.568 -0.378 20 0 IBADRN CS(=O)(=O)Nc1cncc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500832028 1071524268 /nfs/dbraw/zinc/52/42/68/1071524268.db2.gz FUJWQTAEXSMIBK-HNNXBMFYSA-N 0 0 432.524 -0.280 20 0 IBADRN CS(=O)(=O)Nc1cncc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500832033 1071524340 /nfs/dbraw/zinc/52/43/40/1071524340.db2.gz FUJWQTAEXSMIBK-OAHLLOKOSA-N 0 0 432.524 -0.280 20 0 IBADRN CN(CC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)S(=O)(=O)c1ccccc1 ZINC000500832284 1071524363 /nfs/dbraw/zinc/52/43/63/1071524363.db2.gz QYVFUEFOAVSASV-KRWDZBQOSA-N 0 0 445.563 -0.040 20 0 IBADRN CN(CC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)S(=O)(=O)c1ccccc1 ZINC000500832285 1071524379 /nfs/dbraw/zinc/52/43/79/1071524379.db2.gz QYVFUEFOAVSASV-QGZVFWFLSA-N 0 0 445.563 -0.040 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000500832508 1071523749 /nfs/dbraw/zinc/52/37/49/1071523749.db2.gz FYKDKYODPZORDG-CVEARBPZSA-N 0 0 437.584 -0.299 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000500832509 1071523608 /nfs/dbraw/zinc/52/36/08/1071523608.db2.gz FYKDKYODPZORDG-HOTGVXAUSA-N 0 0 437.584 -0.299 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000500832510 1071523873 /nfs/dbraw/zinc/52/38/73/1071523873.db2.gz FYKDKYODPZORDG-HZPDHXFCSA-N 0 0 437.584 -0.299 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000500832511 1071524371 /nfs/dbraw/zinc/52/43/71/1071524371.db2.gz FYKDKYODPZORDG-JKSUJKDBSA-N 0 0 437.584 -0.299 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000500832797 1071523660 /nfs/dbraw/zinc/52/36/60/1071523660.db2.gz GVRYMDFHYHIUAC-AWEZNQCLSA-N 0 0 432.485 -0.867 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000500832806 1071523623 /nfs/dbraw/zinc/52/36/23/1071523623.db2.gz GVRYMDFHYHIUAC-CQSZACIVSA-N 0 0 432.485 -0.867 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500833001 1071524412 /nfs/dbraw/zinc/52/44/12/1071524412.db2.gz RYZMXPLQXRXKTJ-AWEZNQCLSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500833002 1071524449 /nfs/dbraw/zinc/52/44/49/1071524449.db2.gz RYZMXPLQXRXKTJ-CQSZACIVSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500833576 1071524293 /nfs/dbraw/zinc/52/42/93/1071524293.db2.gz ZZZWQMXJFYQGNM-GFCCVEGCSA-N 0 0 437.565 -0.029 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500833578 1071524282 /nfs/dbraw/zinc/52/42/82/1071524282.db2.gz ZZZWQMXJFYQGNM-LBPRGKRZSA-N 0 0 437.565 -0.029 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCN3C(=O)CNC3=O)CC2)cc1 ZINC000500833680 1071524310 /nfs/dbraw/zinc/52/43/10/1071524310.db2.gz IPLOSOQWKDBBQZ-UHFFFAOYSA-N 0 0 437.478 -0.543 20 0 IBADRN NS(=O)(=O)Cc1cccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500835390 1071524399 /nfs/dbraw/zinc/52/43/99/1071524399.db2.gz WBPMXRQFBVQIKS-INIZCTEOSA-N 0 0 431.536 -0.258 20 0 IBADRN NS(=O)(=O)Cc1cccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500835391 1071524319 /nfs/dbraw/zinc/52/43/19/1071524319.db2.gz WBPMXRQFBVQIKS-MRXNPFEDSA-N 0 0 431.536 -0.258 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000500835571 1071524350 /nfs/dbraw/zinc/52/43/50/1071524350.db2.gz MJFORTSHLKQYRD-KBXCAEBGSA-N 0 0 428.511 -0.640 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000500835572 1071524418 /nfs/dbraw/zinc/52/44/18/1071524418.db2.gz MJFORTSHLKQYRD-KDOFPFPSSA-N 0 0 428.511 -0.640 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000500835573 1071524428 /nfs/dbraw/zinc/52/44/28/1071524428.db2.gz MJFORTSHLKQYRD-KSSFIOAISA-N 0 0 428.511 -0.640 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000500835574 1071524388 /nfs/dbraw/zinc/52/43/88/1071524388.db2.gz MJFORTSHLKQYRD-RDTXWAMCSA-N 0 0 428.511 -0.640 20 0 IBADRN Cc1nn2cc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cnc2c1Cl ZINC000500835828 1071524327 /nfs/dbraw/zinc/52/43/27/1071524327.db2.gz USHCZRCPOJZMQT-JTQLQIEISA-N 0 0 435.915 -0.337 20 0 IBADRN Cc1nn2cc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cnc2c1Cl ZINC000500835834 1071524435 /nfs/dbraw/zinc/52/44/35/1071524435.db2.gz USHCZRCPOJZMQT-SNVBAGLBSA-N 0 0 435.915 -0.337 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)o1 ZINC000500836657 1071524300 /nfs/dbraw/zinc/52/43/00/1071524300.db2.gz OAXOEPVXJFKRQS-CYBMUJFWSA-N 0 0 435.524 -0.204 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)o1 ZINC000500836658 1071524962 /nfs/dbraw/zinc/52/49/62/1071524962.db2.gz OAXOEPVXJFKRQS-ZDUSSCGKSA-N 0 0 435.524 -0.204 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)N1CCCS1(=O)=O ZINC000500836693 1071525014 /nfs/dbraw/zinc/52/50/14/1071525014.db2.gz OFOVWWBMOYLARJ-CVEARBPZSA-N 0 0 437.584 -0.301 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)N1CCCS1(=O)=O ZINC000500836701 1071524922 /nfs/dbraw/zinc/52/49/22/1071524922.db2.gz OFOVWWBMOYLARJ-HOTGVXAUSA-N 0 0 437.584 -0.301 20 0 IBADRN CC(C)[C@H](C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)N1CCCS1(=O)=O ZINC000500836702 1071525022 /nfs/dbraw/zinc/52/50/22/1071525022.db2.gz OFOVWWBMOYLARJ-HZPDHXFCSA-N 0 0 437.584 -0.301 20 0 IBADRN CC(C)[C@H](C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)N1CCCS1(=O)=O ZINC000500836704 1071525030 /nfs/dbraw/zinc/52/50/30/1071525030.db2.gz OFOVWWBMOYLARJ-JKSUJKDBSA-N 0 0 437.584 -0.301 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC000500838356 1071525054 /nfs/dbraw/zinc/52/50/54/1071525054.db2.gz NKBNUEABTCFJOY-UHFFFAOYSA-N 0 0 429.886 -0.092 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500838727 1071524893 /nfs/dbraw/zinc/52/48/93/1071524893.db2.gz ZWQURSMSNJZNIV-ARFHVFGLSA-N 0 0 427.523 -0.185 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500838744 1071524948 /nfs/dbraw/zinc/52/49/48/1071524948.db2.gz ZWQURSMSNJZNIV-BZUAXINKSA-N 0 0 427.523 -0.185 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500838745 1071524955 /nfs/dbraw/zinc/52/49/55/1071524955.db2.gz ZWQURSMSNJZNIV-HRCADAONSA-N 0 0 427.523 -0.185 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500838748 1071525040 /nfs/dbraw/zinc/52/50/40/1071525040.db2.gz ZWQURSMSNJZNIV-OAGGEKHMSA-N 0 0 427.523 -0.185 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)N1C(=O)NC2(CCCC2)C1=O ZINC000500838817 1071525098 /nfs/dbraw/zinc/52/50/98/1071525098.db2.gz BWTJSFUJLUDQKD-CABCVRRESA-N 0 0 442.538 -0.108 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)N1C(=O)NC2(CCCC2)C1=O ZINC000500838818 1071524986 /nfs/dbraw/zinc/52/49/86/1071524986.db2.gz BWTJSFUJLUDQKD-GJZGRUSLSA-N 0 0 442.538 -0.108 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)N1C(=O)NC2(CCCC2)C1=O ZINC000500838819 1071525067 /nfs/dbraw/zinc/52/50/67/1071525067.db2.gz BWTJSFUJLUDQKD-HUUCEWRRSA-N 0 0 442.538 -0.108 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)N1C(=O)NC2(CCCC2)C1=O ZINC000500838820 1071524934 /nfs/dbraw/zinc/52/49/34/1071524934.db2.gz BWTJSFUJLUDQKD-LSDHHAIUSA-N 0 0 442.538 -0.108 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000500839698 1071526053 /nfs/dbraw/zinc/52/60/53/1071526053.db2.gz TWEXMHFLMZFRPA-UHFFFAOYSA-N 0 0 429.886 -0.092 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cccc1S(N)(=O)=O ZINC000500840073 1071525591 /nfs/dbraw/zinc/52/55/91/1071525591.db2.gz PMYMYDANIWXAMH-AWEZNQCLSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cccc1S(N)(=O)=O ZINC000500840075 1071525668 /nfs/dbraw/zinc/52/56/68/1071525668.db2.gz PMYMYDANIWXAMH-CQSZACIVSA-N 0 0 431.536 -0.091 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000500840349 1071525697 /nfs/dbraw/zinc/52/56/97/1071525697.db2.gz UNWYRAQSBFQHJR-UHFFFAOYSA-N 0 0 431.421 -0.468 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCC(NS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000500841214 1071525634 /nfs/dbraw/zinc/52/56/34/1071525634.db2.gz VWZWPBZFYGQVBS-UHFFFAOYSA-N 0 0 427.458 -0.170 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000500841333 1071525686 /nfs/dbraw/zinc/52/56/86/1071525686.db2.gz WKIXMMHDLQAQOU-UHFFFAOYSA-N 0 0 435.915 -0.031 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000500842620 1071525644 /nfs/dbraw/zinc/52/56/44/1071525644.db2.gz SVQOJQMWDLEJMC-UHFFFAOYSA-N 0 0 435.506 -0.257 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCN3C(=O)CNC3=O)CC2)cc1 ZINC000500842697 1071525624 /nfs/dbraw/zinc/52/56/24/1071525624.db2.gz YMYUYSZVLSUSJB-UHFFFAOYSA-N 0 0 425.467 -0.737 20 0 IBADRN O=C(CSCC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)N1CCOCC1 ZINC000500842754 1071525561 /nfs/dbraw/zinc/52/55/61/1071525561.db2.gz ICIUUXKLTVFBOV-HNNXBMFYSA-N 0 0 435.568 -0.769 20 0 IBADRN O=C(CSCC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)N1CCOCC1 ZINC000500842755 1071525545 /nfs/dbraw/zinc/52/55/45/1071525545.db2.gz ICIUUXKLTVFBOV-OAHLLOKOSA-N 0 0 435.568 -0.769 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000500843783 1071525651 /nfs/dbraw/zinc/52/56/51/1071525651.db2.gz JSSYXECZDCPNJM-AWEZNQCLSA-N 0 0 430.527 -0.250 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000500843785 1071525675 /nfs/dbraw/zinc/52/56/75/1071525675.db2.gz JSSYXECZDCPNJM-CQSZACIVSA-N 0 0 430.527 -0.250 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)n1cccn1 ZINC000500844667 1071526027 /nfs/dbraw/zinc/52/60/27/1071526027.db2.gz LEQRXVYRUIOXEJ-CVEARBPZSA-N 0 0 427.527 -0.445 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)n1cccn1 ZINC000500844668 1071526012 /nfs/dbraw/zinc/52/60/12/1071526012.db2.gz LEQRXVYRUIOXEJ-HOTGVXAUSA-N 0 0 427.527 -0.445 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)n1cccn1 ZINC000500844669 1071526097 /nfs/dbraw/zinc/52/60/97/1071526097.db2.gz LEQRXVYRUIOXEJ-HZPDHXFCSA-N 0 0 427.527 -0.445 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)n1cccn1 ZINC000500844670 1071526125 /nfs/dbraw/zinc/52/61/25/1071526125.db2.gz LEQRXVYRUIOXEJ-JKSUJKDBSA-N 0 0 427.527 -0.445 20 0 IBADRN NS(=O)(=O)Cc1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000500845496 1071526105 /nfs/dbraw/zinc/52/61/05/1071526105.db2.gz MEJUQXXXXZHGQR-INIZCTEOSA-N 0 0 431.536 -0.258 20 0 IBADRN NS(=O)(=O)Cc1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000500845498 1071526115 /nfs/dbraw/zinc/52/61/15/1071526115.db2.gz MEJUQXXXXZHGQR-MRXNPFEDSA-N 0 0 431.536 -0.258 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)co1 ZINC000500845528 1071526071 /nfs/dbraw/zinc/52/60/71/1071526071.db2.gz ZFUJLSDNQFKWAR-AWEZNQCLSA-N 0 0 435.524 -0.204 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)co1 ZINC000500845530 1071526130 /nfs/dbraw/zinc/52/61/30/1071526130.db2.gz ZFUJLSDNQFKWAR-CQSZACIVSA-N 0 0 435.524 -0.204 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCc4ccccc43)C2)C1=O ZINC000500845984 1071526667 /nfs/dbraw/zinc/52/66/67/1071526667.db2.gz ZSBTXWPKIBEYKK-AWEZNQCLSA-N 0 0 434.474 -0.210 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCc4ccccc43)C2)C1=O ZINC000500845993 1071526739 /nfs/dbraw/zinc/52/67/39/1071526739.db2.gz ZSBTXWPKIBEYKK-CQSZACIVSA-N 0 0 434.474 -0.210 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n(C)c1 ZINC000500846454 1071526524 /nfs/dbraw/zinc/52/65/24/1071526524.db2.gz OUOYXIVLDOQZCQ-AWEZNQCLSA-N 0 0 448.567 -0.458 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n(C)c1 ZINC000500846455 1071526715 /nfs/dbraw/zinc/52/67/15/1071526715.db2.gz OUOYXIVLDOQZCQ-CQSZACIVSA-N 0 0 448.567 -0.458 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc2c1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500848300 1071526804 /nfs/dbraw/zinc/52/68/04/1071526804.db2.gz UOMUQENDDRSRRI-AWEZNQCLSA-N 0 0 436.490 -0.245 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc2c1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500848301 1071526644 /nfs/dbraw/zinc/52/66/44/1071526644.db2.gz UOMUQENDDRSRRI-CQSZACIVSA-N 0 0 436.490 -0.245 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000500854353 1071526042 /nfs/dbraw/zinc/52/60/42/1071526042.db2.gz YTKRROUZSSRSNW-LLVKDONJSA-N 0 0 427.595 -0.090 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000500854354 1071526729 /nfs/dbraw/zinc/52/67/29/1071526729.db2.gz YTKRROUZSSRSNW-NSHDSACASA-N 0 0 427.595 -0.090 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)NCCS(=O)(=O)N1CCSCC1 ZINC000500855485 1071526497 /nfs/dbraw/zinc/52/64/97/1071526497.db2.gz MCLJZWSDSOFCNP-UHFFFAOYSA-N 0 0 439.556 -0.011 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCCN2C(=O)CNC2=O)CC1 ZINC000500856645 1071526754 /nfs/dbraw/zinc/52/67/54/1071526754.db2.gz HZUNBHGLQAKZOI-UHFFFAOYSA-N 0 0 428.471 -0.751 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000500857164 1071526591 /nfs/dbraw/zinc/52/65/91/1071526591.db2.gz JKWDSTFWZSEHQY-UHFFFAOYSA-N 0 0 429.886 -0.092 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500858790 1071526701 /nfs/dbraw/zinc/52/67/01/1071526701.db2.gz OMEUHRPICKRPDU-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000500858792 1071526774 /nfs/dbraw/zinc/52/67/74/1071526774.db2.gz OMEUHRPICKRPDU-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000500863961 1071540913 /nfs/dbraw/zinc/54/09/13/1071540913.db2.gz HTIOJVRMEKLAFL-UHFFFAOYSA-N 0 0 435.466 -0.869 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000500867495 1071549471 /nfs/dbraw/zinc/54/94/71/1071549471.db2.gz NUYMBCWCAVSQRW-UHFFFAOYSA-N 0 0 427.458 -0.217 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000500867814 1071548922 /nfs/dbraw/zinc/54/89/22/1071548922.db2.gz XGDKVGPEMDPFPF-INIZCTEOSA-N 0 0 437.584 -0.299 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000500867815 1071548775 /nfs/dbraw/zinc/54/87/75/1071548775.db2.gz XGDKVGPEMDPFPF-MRXNPFEDSA-N 0 0 437.584 -0.299 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2C(=O)CNC2=O)CC1)N1CCCc2ccccc21 ZINC000500868644 1071550077 /nfs/dbraw/zinc/55/00/77/1071550077.db2.gz YSGJZDLUGUOSHD-UHFFFAOYSA-N 0 0 428.493 -0.155 20 0 IBADRN O=C(COc1ccccc1)NCC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500871885 1071554086 /nfs/dbraw/zinc/55/40/86/1071554086.db2.gz WKIQRNFZNZCFMR-KRWDZBQOSA-N 0 0 425.507 -0.165 20 0 IBADRN O=C(COc1ccccc1)NCC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000500871886 1071554443 /nfs/dbraw/zinc/55/44/43/1071554443.db2.gz WKIQRNFZNZCFMR-QGZVFWFLSA-N 0 0 425.507 -0.165 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000500871942 1071553377 /nfs/dbraw/zinc/55/33/77/1071553377.db2.gz VHUAERQSOQLOMG-CYBMUJFWSA-N 0 0 427.458 -0.170 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000500871944 1071553317 /nfs/dbraw/zinc/55/33/17/1071553317.db2.gz VHUAERQSOQLOMG-ZDUSSCGKSA-N 0 0 427.458 -0.170 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCCN2C(=O)CNC2=O)CC1 ZINC000500871962 1071553329 /nfs/dbraw/zinc/55/33/29/1071553329.db2.gz VKLCKHGQHOXOED-UHFFFAOYSA-N 0 0 430.531 -0.625 20 0 IBADRN CN(C1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1)S(C)(=O)=O ZINC000500874781 1071555167 /nfs/dbraw/zinc/55/51/67/1071555167.db2.gz GVPJEIUDCRZXDQ-UHFFFAOYSA-N 0 0 434.518 -0.059 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000500878423 1071561446 /nfs/dbraw/zinc/56/14/46/1071561446.db2.gz YPBJMQFATBMWBR-KBPBESRZSA-N 0 0 445.492 -0.042 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000500878424 1071561421 /nfs/dbraw/zinc/56/14/21/1071561421.db2.gz YPBJMQFATBMWBR-KGLIPLIRSA-N 0 0 445.492 -0.042 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000500878425 1071561412 /nfs/dbraw/zinc/56/14/12/1071561412.db2.gz YPBJMQFATBMWBR-UONOGXRCSA-N 0 0 445.492 -0.042 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000500878426 1071561329 /nfs/dbraw/zinc/56/13/29/1071561329.db2.gz YPBJMQFATBMWBR-ZIAGYGMSSA-N 0 0 445.492 -0.042 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCS(=O)(=O)N1CCSCC1 ZINC000500879413 1071561955 /nfs/dbraw/zinc/56/19/55/1071561955.db2.gz YUNNSBYIKRURIM-UHFFFAOYSA-N 0 0 441.329 -0.794 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000500879715 1071561918 /nfs/dbraw/zinc/56/19/18/1071561918.db2.gz FRRFPKDMQBRWIG-LBPRGKRZSA-N 0 0 439.556 -0.013 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)ccc1F ZINC000500886026 1071566110 /nfs/dbraw/zinc/56/61/10/1071566110.db2.gz FPFQHVIDVPDLGE-GXTWGEPZSA-N 0 0 447.485 -0.455 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)ccc1F ZINC000500886027 1071566205 /nfs/dbraw/zinc/56/62/05/1071566205.db2.gz FPFQHVIDVPDLGE-JSGCOSHPSA-N 0 0 447.485 -0.455 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)ccc1F ZINC000500886028 1071565989 /nfs/dbraw/zinc/56/59/89/1071565989.db2.gz FPFQHVIDVPDLGE-OCCSQVGLSA-N 0 0 447.485 -0.455 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)ccc1F ZINC000500886029 1071566733 /nfs/dbraw/zinc/56/67/33/1071566733.db2.gz FPFQHVIDVPDLGE-TZMCWYRMSA-N 0 0 447.485 -0.455 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000500893352 1071569060 /nfs/dbraw/zinc/56/90/60/1071569060.db2.gz HVNGTFQQYUFIPJ-NXEZZACHSA-N 0 0 446.457 -0.755 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000500893384 1071569140 /nfs/dbraw/zinc/56/91/40/1071569140.db2.gz HVNGTFQQYUFIPJ-UWVGGRQHSA-N 0 0 446.457 -0.755 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000500893387 1071569154 /nfs/dbraw/zinc/56/91/54/1071569154.db2.gz HVNGTFQQYUFIPJ-VHSXEESVSA-N 0 0 446.457 -0.755 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000500893389 1071569161 /nfs/dbraw/zinc/56/91/61/1071569161.db2.gz HVNGTFQQYUFIPJ-ZJUUUORDSA-N 0 0 446.457 -0.755 20 0 IBADRN CC(C)[C@@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1nc(-c2nc[nH]n2)no1 ZINC000500893535 1071568329 /nfs/dbraw/zinc/56/83/29/1071568329.db2.gz RCAJBWKVGVSHJU-DZGCQCFKSA-N 0 0 432.485 -0.151 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1nc(-c2nc[nH]n2)no1 ZINC000500893538 1071568381 /nfs/dbraw/zinc/56/83/81/1071568381.db2.gz RCAJBWKVGVSHJU-HIFRSBDPSA-N 0 0 432.485 -0.151 20 0 IBADRN CC(C)[C@@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1nc(-c2nc[nH]n2)no1 ZINC000500893540 1071568481 /nfs/dbraw/zinc/56/84/81/1071568481.db2.gz RCAJBWKVGVSHJU-UKRRQHHQSA-N 0 0 432.485 -0.151 20 0 IBADRN CC(C)[C@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1nc(-c2nc[nH]n2)no1 ZINC000500893542 1071568431 /nfs/dbraw/zinc/56/84/31/1071568431.db2.gz RCAJBWKVGVSHJU-ZFWWWQNUSA-N 0 0 432.485 -0.151 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1 ZINC000500896092 1071569785 /nfs/dbraw/zinc/56/97/85/1071569785.db2.gz KOWAUEGIKMLAHL-GHMZBOCLSA-N 0 0 443.478 -0.390 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1 ZINC000500896093 1071569643 /nfs/dbraw/zinc/56/96/43/1071569643.db2.gz KOWAUEGIKMLAHL-MNOVXSKESA-N 0 0 443.478 -0.390 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1 ZINC000500896094 1071569737 /nfs/dbraw/zinc/56/97/37/1071569737.db2.gz KOWAUEGIKMLAHL-QWRGUYRKSA-N 0 0 443.478 -0.390 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(OC)cc(S(C)(=O)=O)c1 ZINC000500896095 1071569661 /nfs/dbraw/zinc/56/96/61/1071569661.db2.gz KOWAUEGIKMLAHL-WDEREUQCSA-N 0 0 443.478 -0.390 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCSCC2)ccc1OC ZINC000500901052 1071571068 /nfs/dbraw/zinc/57/10/68/1071571068.db2.gz CHTYNARQOUFXIV-UHFFFAOYSA-N 0 0 437.565 -0.288 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)c3cc(Cl)ccc3OCC(N)=O)CC2=O)cn1 ZINC000500901350 1071570919 /nfs/dbraw/zinc/57/09/19/1071570919.db2.gz LMXMBKQENATZSV-UHFFFAOYSA-N 0 0 427.870 -0.025 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)NCCS(=O)(=O)N1CCSCC1 ZINC000500901854 1071571043 /nfs/dbraw/zinc/57/10/43/1071571043.db2.gz FZIAUKWUPWSGQK-UHFFFAOYSA-N 0 0 426.520 -0.013 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000500903992 1071571548 /nfs/dbraw/zinc/57/15/48/1071571548.db2.gz CGOCNKHUPQDNQM-LLVKDONJSA-N 0 0 425.916 -0.094 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000500903993 1071571603 /nfs/dbraw/zinc/57/16/03/1071571603.db2.gz CGOCNKHUPQDNQM-NSHDSACASA-N 0 0 425.916 -0.094 20 0 IBADRN CCn1c2ccc(C(=O)NCCS(=O)(=O)N3CCSCC3)cc2[nH]c(=O)c1=O ZINC000500906034 1071572790 /nfs/dbraw/zinc/57/27/90/1071572790.db2.gz WAMPZWWMUMKDPS-UHFFFAOYSA-N 0 0 426.520 -0.182 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000500907427 1071572134 /nfs/dbraw/zinc/57/21/34/1071572134.db2.gz GKDAUJKKUCJKPC-NSHDSACASA-N 0 0 440.569 -0.547 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)NCCS(=O)(=O)N1CCSCC1 ZINC000500907541 1071572190 /nfs/dbraw/zinc/57/21/90/1071572190.db2.gz OSNSFRJNUZLXEL-UHFFFAOYSA-N 0 0 438.531 -0.247 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)NCCS(=O)(=O)N1CCSCC1 ZINC000500908673 1071572626 /nfs/dbraw/zinc/57/26/26/1071572626.db2.gz MMEBQQNJQFNAGR-UHFFFAOYSA-N 0 0 439.556 -0.011 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)NCCS(=O)(=O)N1CCSCC1 ZINC000500909250 1071573282 /nfs/dbraw/zinc/57/32/82/1071573282.db2.gz PNNMTMCYHWWPKV-UHFFFAOYSA-N 0 0 427.595 -0.089 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC000500909403 1071573266 /nfs/dbraw/zinc/57/32/66/1071573266.db2.gz XYYGMOGSOAUQDT-UHFFFAOYSA-N 0 0 426.520 -0.153 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000500913414 1071574804 /nfs/dbraw/zinc/57/48/04/1071574804.db2.gz CFDGCURAYPTNRY-AWEZNQCLSA-N 0 0 428.515 -0.370 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000500913419 1071574813 /nfs/dbraw/zinc/57/48/13/1071574813.db2.gz CFDGCURAYPTNRY-CQSZACIVSA-N 0 0 428.515 -0.370 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000500914411 1071575961 /nfs/dbraw/zinc/57/59/61/1071575961.db2.gz LJOZXLUTYSMFOA-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000500916278 1071575940 /nfs/dbraw/zinc/57/59/40/1071575940.db2.gz CHGZAAOJVCFXGQ-UHFFFAOYSA-N 0 0 440.566 -0.088 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000500916644 1071576476 /nfs/dbraw/zinc/57/64/76/1071576476.db2.gz QNMGMCPFZWIFCK-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000500918419 1071576853 /nfs/dbraw/zinc/57/68/53/1071576853.db2.gz HUDXFTQUCWCDMX-UHFFFAOYSA-N 0 0 429.495 -0.263 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000500922611 1071577966 /nfs/dbraw/zinc/57/79/66/1071577966.db2.gz XQBBGGWUXBOKHS-UHFFFAOYSA-N 0 0 449.913 -0.300 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)cn1 ZINC000500923358 1071579051 /nfs/dbraw/zinc/57/90/51/1071579051.db2.gz IXAWLRHGKQHXHG-UHFFFAOYSA-N 0 0 439.519 -0.698 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)c1 ZINC000500924632 1071579996 /nfs/dbraw/zinc/57/99/96/1071579996.db2.gz MAVOFTMHCYGTCG-UHFFFAOYSA-N 0 0 439.519 -0.476 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000500927158 1071581147 /nfs/dbraw/zinc/58/11/47/1071581147.db2.gz BPHQCEJFGZGWJY-UHFFFAOYSA-N 0 0 446.913 -0.437 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000500928187 1071581762 /nfs/dbraw/zinc/58/17/62/1071581762.db2.gz VTWVOSUJGDIUON-UHFFFAOYSA-N 0 0 440.507 -0.267 20 0 IBADRN CC(C)[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1nc(-c2nc[nH]n2)no1 ZINC000500931043 1071581502 /nfs/dbraw/zinc/58/15/02/1071581502.db2.gz NIDCNGWWBRRGNE-LLVKDONJSA-N 0 0 442.440 -0.495 20 0 IBADRN CC(C)[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1nc(-c2nc[nH]n2)no1 ZINC000500931044 1071582246 /nfs/dbraw/zinc/58/22/46/1071582246.db2.gz NIDCNGWWBRRGNE-NSHDSACASA-N 0 0 442.440 -0.495 20 0 IBADRN COC(=O)c1nccc2c1cccc2S(=O)(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000500937749 1071585662 /nfs/dbraw/zinc/58/56/62/1071585662.db2.gz WOOXVEVBFUZLIV-UHFFFAOYSA-N 0 0 442.519 -0.384 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000500938982 1071587355 /nfs/dbraw/zinc/58/73/55/1071587355.db2.gz MOLNNILROKOOBW-LLVKDONJSA-N 0 0 432.886 -0.781 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000500938987 1071587296 /nfs/dbraw/zinc/58/72/96/1071587296.db2.gz MOLNNILROKOOBW-NSHDSACASA-N 0 0 432.886 -0.781 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)c1 ZINC000500941025 1071589050 /nfs/dbraw/zinc/58/90/50/1071589050.db2.gz FBCWJQPTDYGHQA-UHFFFAOYSA-N 0 0 439.519 -0.698 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000500942645 1071589017 /nfs/dbraw/zinc/58/90/17/1071589017.db2.gz MVQVWOVVYLZWKZ-INIZCTEOSA-N 0 0 432.543 -0.540 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000500942646 1071589061 /nfs/dbraw/zinc/58/90/61/1071589061.db2.gz MVQVWOVVYLZWKZ-MRXNPFEDSA-N 0 0 432.543 -0.540 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC000500944936 1071590094 /nfs/dbraw/zinc/59/00/94/1071590094.db2.gz NCRSSNRUJMFKTK-ABKXIKBNSA-N 0 0 430.870 -0.139 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC000500944937 1071590192 /nfs/dbraw/zinc/59/01/92/1071590192.db2.gz NCRSSNRUJMFKTK-FVMDXXJSSA-N 0 0 430.870 -0.139 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC000500944938 1071590004 /nfs/dbraw/zinc/59/00/04/1071590004.db2.gz NCRSSNRUJMFKTK-JDNHERCYSA-N 0 0 430.870 -0.139 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC000500944939 1071590200 /nfs/dbraw/zinc/59/02/00/1071590200.db2.gz NCRSSNRUJMFKTK-XXFAHNHDSA-N 0 0 430.870 -0.139 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000500945078 1071591751 /nfs/dbraw/zinc/59/17/51/1071591751.db2.gz RFGKTZNYDSNGQN-UHFFFAOYSA-N 0 0 442.563 -0.833 20 0 IBADRN Cc1nn(C)cc1C(=O)NCCS(=O)(=O)N1CCN(C(=O)c2cn(C)nc2C)CC1 ZINC000500945778 1071590611 /nfs/dbraw/zinc/59/06/11/1071590611.db2.gz DOYMTHRKRRAVHV-UHFFFAOYSA-N 0 0 437.526 -0.712 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000500945881 1071590144 /nfs/dbraw/zinc/59/01/44/1071590144.db2.gz SRLAGJXHZRFSHY-UHFFFAOYSA-N 0 0 431.536 -0.454 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000500946856 1071590625 /nfs/dbraw/zinc/59/06/25/1071590625.db2.gz HBMZUMGCBMFXFP-UHFFFAOYSA-N 0 0 428.536 -0.495 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CC(S(=O)(=O)N3CCc4ccccc43)C1)c2=O ZINC000500947756 1071591190 /nfs/dbraw/zinc/59/11/90/1071591190.db2.gz RAKKQVMWGLKECG-UHFFFAOYSA-N 0 0 428.474 -0.267 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000500947891 1071591181 /nfs/dbraw/zinc/59/11/81/1071591181.db2.gz WNQUECBWEROUDE-UHFFFAOYSA-N 0 0 431.536 -0.454 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000500948001 1071591744 /nfs/dbraw/zinc/59/17/44/1071591744.db2.gz VQOAFYXFLFJOHC-JTQLQIEISA-N 0 0 425.916 -0.107 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000500948002 1071591719 /nfs/dbraw/zinc/59/17/19/1071591719.db2.gz VQOAFYXFLFJOHC-SNVBAGLBSA-N 0 0 425.916 -0.107 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)no1 ZINC000500965472 1071594627 /nfs/dbraw/zinc/59/46/27/1071594627.db2.gz AYEVLYROPPXIKN-GFCCVEGCSA-N 0 0 431.409 -0.233 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)no1 ZINC000500965474 1071594636 /nfs/dbraw/zinc/59/46/36/1071594636.db2.gz AYEVLYROPPXIKN-LBPRGKRZSA-N 0 0 431.409 -0.233 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000500966521 1071594706 /nfs/dbraw/zinc/59/47/06/1071594706.db2.gz CTBBJUGNLOLZDL-UHFFFAOYSA-N 0 0 445.563 -0.066 20 0 IBADRN Cn1ccnc(N2CCN(S(=O)(=O)c3cc(Cl)ccc3OCC(N)=O)CC2)c1=O ZINC000500966704 1071594542 /nfs/dbraw/zinc/59/45/42/1071594542.db2.gz QVHCZVJQUSIXKT-UHFFFAOYSA-N 0 0 441.897 -0.191 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)ccc1F ZINC000500974540 1071598934 /nfs/dbraw/zinc/59/89/34/1071598934.db2.gz UPYQTPBABFHERC-JSGCOSHPSA-N 0 0 439.469 -0.360 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n(C(C)(C)C)n1 ZINC000500977458 1071600071 /nfs/dbraw/zinc/60/00/71/1071600071.db2.gz CPMZCIBXVIZMSH-UHFFFAOYSA-N 0 0 441.602 -0.005 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NC[C@@H](C)S(N)(=O)=O ZINC000500977870 1071600051 /nfs/dbraw/zinc/60/00/51/1071600051.db2.gz DAVXIRNZCSOSPY-LLVKDONJSA-N 0 0 436.512 -0.485 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NC[C@H](C)S(N)(=O)=O ZINC000500977871 1071599911 /nfs/dbraw/zinc/59/99/11/1071599911.db2.gz DAVXIRNZCSOSPY-NSHDSACASA-N 0 0 436.512 -0.485 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnc(N(C)C)nc3)CC2)cn1C ZINC000500981382 1071615510 /nfs/dbraw/zinc/61/55/10/1071615510.db2.gz CBBRZPTYDFUJJE-UHFFFAOYSA-N 0 0 436.498 -0.944 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000500987582 1071644828 /nfs/dbraw/zinc/64/48/28/1071644828.db2.gz FJMNFZXPGVEBEC-UHFFFAOYSA-N 0 0 441.554 -0.425 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CC1 ZINC000500988236 1071644780 /nfs/dbraw/zinc/64/47/80/1071644780.db2.gz HSVXYBYSIGQHNK-UHFFFAOYSA-N 0 0 430.553 -0.206 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)CC1 ZINC000500992402 1071645697 /nfs/dbraw/zinc/64/56/97/1071645697.db2.gz YSNCYNOUGYFKNZ-UHFFFAOYSA-N 0 0 444.602 -0.402 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000500997144 1071645706 /nfs/dbraw/zinc/64/57/06/1071645706.db2.gz GCKUZESIMLXZNY-GFCCVEGCSA-N 0 0 443.478 -0.231 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000500997146 1071645648 /nfs/dbraw/zinc/64/56/48/1071645648.db2.gz GCKUZESIMLXZNY-LBPRGKRZSA-N 0 0 443.478 -0.231 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000501003419 1071645671 /nfs/dbraw/zinc/64/56/71/1071645671.db2.gz NJSHEUYYPYHLLJ-UHFFFAOYSA-N 0 0 425.463 -0.156 20 0 IBADRN O=C(C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000501004149 1071645719 /nfs/dbraw/zinc/64/57/19/1071645719.db2.gz OXWIHZLOSSOFDU-AYSMAOOMSA-N 0 0 426.473 -0.240 20 0 IBADRN O=C(C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000501004150 1071645693 /nfs/dbraw/zinc/64/56/93/1071645693.db2.gz OXWIHZLOSSOFDU-DOQJBMMISA-N 0 0 426.473 -0.240 20 0 IBADRN O=C(C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000501004153 1071645676 /nfs/dbraw/zinc/64/56/76/1071645676.db2.gz OXWIHZLOSSOFDU-SMASLZHESA-N 0 0 426.473 -0.240 20 0 IBADRN O=C(C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000501004155 1071645704 /nfs/dbraw/zinc/64/57/04/1071645704.db2.gz OXWIHZLOSSOFDU-ZCCHDVMBSA-N 0 0 426.473 -0.240 20 0 IBADRN COc1ccc(NC(=O)NC[C@@H](C)S(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000501005400 1071645660 /nfs/dbraw/zinc/64/56/60/1071645660.db2.gz YXIKHPYEHYWDKU-LLVKDONJSA-N 0 0 436.512 -0.485 20 0 IBADRN COc1ccc(NC(=O)NC[C@H](C)S(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000501005401 1071645636 /nfs/dbraw/zinc/64/56/36/1071645636.db2.gz YXIKHPYEHYWDKU-NSHDSACASA-N 0 0 436.512 -0.485 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCCC3)CC1)C2=O ZINC000501010344 1071645685 /nfs/dbraw/zinc/64/56/85/1071645685.db2.gz JXRHFMVILHYYRR-BTYIYWSLSA-N 0 0 433.509 -0.220 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCCC3)CC1)C2=O ZINC000501010345 1071645655 /nfs/dbraw/zinc/64/56/55/1071645655.db2.gz JXRHFMVILHYYRR-QVKFZJNVSA-N 0 0 433.509 -0.220 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCCC3)CC1)C2=O ZINC000501010346 1071645681 /nfs/dbraw/zinc/64/56/81/1071645681.db2.gz JXRHFMVILHYYRR-VFNWGFHPSA-N 0 0 433.509 -0.220 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCCC3)CC1)C2=O ZINC000501010347 1071645718 /nfs/dbraw/zinc/64/57/18/1071645718.db2.gz JXRHFMVILHYYRR-YCRPNKLZSA-N 0 0 433.509 -0.220 20 0 IBADRN CN(C)c1ccc(Br)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000501012072 1071645708 /nfs/dbraw/zinc/64/57/08/1071645708.db2.gz WBNXWECRASIZSP-LLVKDONJSA-N 0 0 431.312 -0.154 20 0 IBADRN CN(C)c1ccc(Br)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000501012073 1071645721 /nfs/dbraw/zinc/64/57/21/1071645721.db2.gz WBNXWECRASIZSP-NSHDSACASA-N 0 0 431.312 -0.154 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1 ZINC000501016215 1071646209 /nfs/dbraw/zinc/64/62/09/1071646209.db2.gz TWPGYMWRKUEAMD-UHFFFAOYSA-N 0 0 447.565 -0.253 20 0 IBADRN CN(C)C(=O)CCN1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000501019702 1071646289 /nfs/dbraw/zinc/64/62/89/1071646289.db2.gz BACFXMKCEBXBCV-UHFFFAOYSA-N 0 0 432.930 -0.011 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC(C)(C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000501019899 1071646345 /nfs/dbraw/zinc/64/63/45/1071646345.db2.gz BJEGLPKLOGPWHJ-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000501021853 1071646335 /nfs/dbraw/zinc/64/63/35/1071646335.db2.gz IHPULGKVXMGKEL-UHFFFAOYSA-N 0 0 442.542 -0.529 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC3(CO)CC3)cc2)C1 ZINC000501022067 1071646300 /nfs/dbraw/zinc/64/63/00/1071646300.db2.gz HGPHZJKBJHETEG-CYBMUJFWSA-N 0 0 439.490 -0.160 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC3(CO)CC3)cc2)C1 ZINC000501022068 1071646354 /nfs/dbraw/zinc/64/63/54/1071646354.db2.gz HGPHZJKBJHETEG-ZDUSSCGKSA-N 0 0 439.490 -0.160 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC1(CO)CC1 ZINC000501023334 1071646320 /nfs/dbraw/zinc/64/63/20/1071646320.db2.gz KXVCFNGZSCPTEA-UHFFFAOYSA-N 0 0 426.495 -0.789 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CCCC1 ZINC000501025602 1071646149 /nfs/dbraw/zinc/64/61/49/1071646149.db2.gz QPAXKCGKVOJJQQ-UHFFFAOYSA-N 0 0 431.559 -0.461 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCCC1 ZINC000501027063 1071646102 /nfs/dbraw/zinc/64/61/02/1071646102.db2.gz JOTDYKDNKPXDME-UHFFFAOYSA-N 0 0 444.602 -0.546 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC(C)(C)CO ZINC000501027081 1071646329 /nfs/dbraw/zinc/64/63/29/1071646329.db2.gz JQXBWPSUYMWNQW-UHFFFAOYSA-N 0 0 428.511 -0.543 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NC2(CO)CC2)cc1)N1CCN(c2ncccn2)CC1 ZINC000501027466 1071646199 /nfs/dbraw/zinc/64/61/99/1071646199.db2.gz CGMSQZLTZCKZSI-UHFFFAOYSA-N 0 0 438.488 -0.053 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC(C)(C)C(=O)OC)ccc1OC ZINC000501030058 1071646258 /nfs/dbraw/zinc/64/62/58/1071646258.db2.gz KJXSRUAGDDJFBU-UHFFFAOYSA-N 0 0 431.467 -0.374 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCc3cc(C(N)=O)no3)cc2)CC1 ZINC000501030307 1071646244 /nfs/dbraw/zinc/64/62/44/1071646244.db2.gz UKLCUOUUONLKPB-UHFFFAOYSA-N 0 0 442.476 -0.265 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000501030911 1071645664 /nfs/dbraw/zinc/64/56/64/1071645664.db2.gz XVYAKKGTSYHLAI-UHFFFAOYSA-N 0 0 431.442 -0.147 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1=O ZINC000501032088 1071647498 /nfs/dbraw/zinc/64/74/98/1071647498.db2.gz CLOXHNNOWVZJTR-PBHICJAKSA-N 0 0 448.476 -0.226 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1=O ZINC000501032089 1071647460 /nfs/dbraw/zinc/64/74/60/1071647460.db2.gz CLOXHNNOWVZJTR-RHSMWYFYSA-N 0 0 448.476 -0.226 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1=O ZINC000501032090 1071647476 /nfs/dbraw/zinc/64/74/76/1071647476.db2.gz CLOXHNNOWVZJTR-WMLDXEAASA-N 0 0 448.476 -0.226 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1=O ZINC000501032091 1071647470 /nfs/dbraw/zinc/64/74/70/1071647470.db2.gz CLOXHNNOWVZJTR-YOEHRIQHSA-N 0 0 448.476 -0.226 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)C1=O ZINC000501033300 1071647466 /nfs/dbraw/zinc/64/74/66/1071647466.db2.gz HKNOSYONSPYQID-INIZCTEOSA-N 0 0 434.497 -0.265 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)C1=O ZINC000501033301 1071647492 /nfs/dbraw/zinc/64/74/92/1071647492.db2.gz HKNOSYONSPYQID-MRXNPFEDSA-N 0 0 434.497 -0.265 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCCC3)CC1)C2=O ZINC000501034335 1071647479 /nfs/dbraw/zinc/64/74/79/1071647479.db2.gz ZIOIMSOFQBKBRU-UHFFFAOYSA-N 0 0 433.509 -0.220 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NC2(C(N)=O)CC2)c1 ZINC000501035896 1071647465 /nfs/dbraw/zinc/64/74/65/1071647465.db2.gz BVBCOJFFJUAKKD-UHFFFAOYSA-N 0 0 425.511 -0.144 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NCCC(=O)N3CCN(C)CC3)CC2)C1 ZINC000501036538 1071647453 /nfs/dbraw/zinc/64/74/53/1071647453.db2.gz OBEDHNTWPKGQIS-KDURUIRLSA-N 0 0 436.601 -0.018 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NCCC(=O)N3CCN(C)CC3)CC2)C1 ZINC000501036539 1071647489 /nfs/dbraw/zinc/64/74/89/1071647489.db2.gz OBEDHNTWPKGQIS-OALUTQOASA-N 0 0 436.601 -0.018 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)NCCC(=O)N3CCN(C)CC3)CC2)C1 ZINC000501036540 1071647472 /nfs/dbraw/zinc/64/74/72/1071647472.db2.gz OBEDHNTWPKGQIS-RTBURBONSA-N 0 0 436.601 -0.018 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(C[C@H](O)COc3ccccc3)CC2)C1=O ZINC000501039537 1071646230 /nfs/dbraw/zinc/64/62/30/1071646230.db2.gz FJDMTTRTAYZHLB-HKUYNNGSSA-N 0 0 448.520 -0.083 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(C[C@@H](O)COc3ccccc3)CC2)C1=O ZINC000501039539 1071646125 /nfs/dbraw/zinc/64/61/25/1071646125.db2.gz FJDMTTRTAYZHLB-IEBWSBKVSA-N 0 0 448.520 -0.083 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(C[C@@H](O)COc3ccccc3)CC2)C1=O ZINC000501039542 1071646768 /nfs/dbraw/zinc/64/67/68/1071646768.db2.gz FJDMTTRTAYZHLB-MJGOQNOKSA-N 0 0 448.520 -0.083 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(C[C@H](O)COc3ccccc3)CC2)C1=O ZINC000501039545 1071646822 /nfs/dbraw/zinc/64/68/22/1071646822.db2.gz FJDMTTRTAYZHLB-PKOBYXMFSA-N 0 0 448.520 -0.083 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC1(C(N)=O)CC1 ZINC000501041183 1071646899 /nfs/dbraw/zinc/64/68/99/1071646899.db2.gz WCDUELZMVJACQM-UHFFFAOYSA-N 0 0 440.478 -0.821 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1=O ZINC000501041536 1071646887 /nfs/dbraw/zinc/64/68/87/1071646887.db2.gz QLXHCCYRJFYJPX-HNNXBMFYSA-N 0 0 448.542 -0.768 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1=O ZINC000501041537 1071646781 /nfs/dbraw/zinc/64/67/81/1071646781.db2.gz QLXHCCYRJFYJPX-OAHLLOKOSA-N 0 0 448.542 -0.768 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@H](C(=O)OC)c3ccccc32)cn1 ZINC000501044428 1071646834 /nfs/dbraw/zinc/64/68/34/1071646834.db2.gz ISFPHNIBHNGMEC-HNNXBMFYSA-N 0 0 429.433 -0.112 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@@H](C(=O)OC)c3ccccc32)cn1 ZINC000501044431 1071646753 /nfs/dbraw/zinc/64/67/53/1071646753.db2.gz ISFPHNIBHNGMEC-OAHLLOKOSA-N 0 0 429.433 -0.112 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)C1=O ZINC000501045021 1071646800 /nfs/dbraw/zinc/64/68/00/1071646800.db2.gz LTCCTGNGSSZAFX-KRWDZBQOSA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)C1=O ZINC000501045022 1071646906 /nfs/dbraw/zinc/64/69/06/1071646906.db2.gz LTCCTGNGSSZAFX-QGZVFWFLSA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1=O ZINC000501045044 1071646880 /nfs/dbraw/zinc/64/68/80/1071646880.db2.gz MFGVGGIBTCIXRV-AWEZNQCLSA-N 0 0 430.469 -0.641 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1=O ZINC000501045045 1071646787 /nfs/dbraw/zinc/64/67/87/1071646787.db2.gz MFGVGGIBTCIXRV-CQSZACIVSA-N 0 0 430.469 -0.641 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000501045527 1071646866 /nfs/dbraw/zinc/64/68/66/1071646866.db2.gz QYTHGWFDPLRKPT-UHFFFAOYSA-N 0 0 448.910 -0.144 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC2(CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000501046076 1071646862 /nfs/dbraw/zinc/64/68/62/1071646862.db2.gz LCIRSLQSWXXRHH-UHFFFAOYSA-N 0 0 427.479 -0.314 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000501050642 1071646912 /nfs/dbraw/zinc/64/69/12/1071646912.db2.gz DSNBAKIKROHGQU-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c2ccccc21 ZINC000501051658 1071646837 /nfs/dbraw/zinc/64/68/37/1071646837.db2.gz ZHDCCABFSFORSF-INIZCTEOSA-N 0 0 441.444 -0.016 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c2ccccc21 ZINC000501051666 1071646859 /nfs/dbraw/zinc/64/68/59/1071646859.db2.gz ZHDCCABFSFORSF-MRXNPFEDSA-N 0 0 441.444 -0.016 20 0 IBADRN COc1ccnc(N2CCC(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)CC2)n1 ZINC000501052933 1071647952 /nfs/dbraw/zinc/64/79/52/1071647952.db2.gz KHYPVQMHOKKKJK-UHFFFAOYSA-N 0 0 430.509 -0.005 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000501053107 1071647989 /nfs/dbraw/zinc/64/79/89/1071647989.db2.gz MTYFOVPFXZSDKF-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000501054352 1071648073 /nfs/dbraw/zinc/64/80/73/1071648073.db2.gz YALMJHCWMRCBFS-UHFFFAOYSA-N 0 0 438.554 -0.670 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000501057126 1071647493 /nfs/dbraw/zinc/64/74/93/1071647493.db2.gz YMGGIRREEKWLEB-UHFFFAOYSA-N 0 0 443.478 -0.278 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000501057998 1071647481 /nfs/dbraw/zinc/64/74/81/1071647481.db2.gz OUDTTZZNFHUFTQ-JTQLQIEISA-N 0 0 425.916 -0.236 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000501057999 1071647463 /nfs/dbraw/zinc/64/74/63/1071647463.db2.gz OUDTTZZNFHUFTQ-SNVBAGLBSA-N 0 0 425.916 -0.236 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)on1 ZINC000501060248 1071648059 /nfs/dbraw/zinc/64/80/59/1071648059.db2.gz RBHNQNBXCJLLKX-INIZCTEOSA-N 0 0 443.416 -0.957 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)on1 ZINC000501060260 1071648019 /nfs/dbraw/zinc/64/80/19/1071648019.db2.gz RBHNQNBXCJLLKX-MRXNPFEDSA-N 0 0 443.416 -0.957 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)s1 ZINC000501061738 1071648083 /nfs/dbraw/zinc/64/80/83/1071648083.db2.gz ZNAHIMONTNJOPE-UHFFFAOYSA-N 0 0 442.563 -0.253 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000501061885 1071648054 /nfs/dbraw/zinc/64/80/54/1071648054.db2.gz HLTUZNJXONXJJJ-UHFFFAOYSA-N 0 0 427.479 -0.064 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c2ccccc21 ZINC000501063567 1073327264 /nfs/dbraw/zinc/32/72/64/1073327264.db2.gz QBBKGQDNQPDDMJ-HNNXBMFYSA-N 0 0 441.444 -0.016 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c2ccccc21 ZINC000501063568 1073326881 /nfs/dbraw/zinc/32/68/81/1073326881.db2.gz QBBKGQDNQPDDMJ-OAHLLOKOSA-N 0 0 441.444 -0.016 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC1(CO)CC1 ZINC000501064161 1071648026 /nfs/dbraw/zinc/64/80/26/1071648026.db2.gz UIFAHDKTAWPRAL-UHFFFAOYSA-N 0 0 427.479 -0.314 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000501066227 1071647995 /nfs/dbraw/zinc/64/79/95/1071647995.db2.gz JFDVPUQCXLGCML-LLVKDONJSA-N 0 0 425.916 -0.094 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)ccc1F ZINC000501068527 1071647980 /nfs/dbraw/zinc/64/79/80/1071647980.db2.gz MNOYDPASGDEXQQ-UHFFFAOYSA-N 0 0 428.486 -0.005 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c2ccccc21 ZINC000501068806 1071648031 /nfs/dbraw/zinc/64/80/31/1071648031.db2.gz MXVQFGRGMKBBJY-AWEZNQCLSA-N 0 0 437.412 -0.126 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c2ccccc21 ZINC000501068808 1071647960 /nfs/dbraw/zinc/64/79/60/1071647960.db2.gz MXVQFGRGMKBBJY-CQSZACIVSA-N 0 0 437.412 -0.126 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC1(C(N)=O)CC1 ZINC000501071205 1071648671 /nfs/dbraw/zinc/64/86/71/1071648671.db2.gz VWSVREZOHMHCIO-UHFFFAOYSA-N 0 0 437.522 0.000 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000501080949 1071648658 /nfs/dbraw/zinc/64/86/58/1071648658.db2.gz WKMMSILJQHYYAK-UHFFFAOYSA-N 0 0 443.478 -0.278 20 0 IBADRN CCn1ccnc(N2CCC(NC(=O)N[C@H]3CCN(CCC(=O)OC)C3=O)CC2)c1=O ZINC000501084319 1071648637 /nfs/dbraw/zinc/64/86/37/1071648637.db2.gz FIOAFUXPLQIMIX-HNNXBMFYSA-N 0 0 434.497 -0.305 20 0 IBADRN CCn1ccnc(N2CCC(NC(=O)N[C@@H]3CCN(CCC(=O)OC)C3=O)CC2)c1=O ZINC000501084320 1071648595 /nfs/dbraw/zinc/64/85/95/1071648595.db2.gz FIOAFUXPLQIMIX-OAHLLOKOSA-N 0 0 434.497 -0.305 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCC(=O)N3CCCCC3)CC2)o1 ZINC000501087517 1071648006 /nfs/dbraw/zinc/64/80/06/1071648006.db2.gz CJGPBQHOBWCEPB-UHFFFAOYSA-N 0 0 441.510 -0.203 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)C1=O ZINC000501089390 1071647973 /nfs/dbraw/zinc/64/79/73/1071647973.db2.gz QKNWIKDIWJMIBJ-DZGCQCFKSA-N 0 0 430.527 -0.346 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)C1=O ZINC000501089391 1071648035 /nfs/dbraw/zinc/64/80/35/1071648035.db2.gz QKNWIKDIWJMIBJ-HIFRSBDPSA-N 0 0 430.527 -0.346 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)C1=O ZINC000501089392 1071648064 /nfs/dbraw/zinc/64/80/64/1071648064.db2.gz QKNWIKDIWJMIBJ-UKRRQHHQSA-N 0 0 430.527 -0.346 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)C1=O ZINC000501089393 1071647941 /nfs/dbraw/zinc/64/79/41/1071647941.db2.gz QKNWIKDIWJMIBJ-ZFWWWQNUSA-N 0 0 430.527 -0.346 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C(=O)C12CCCCC2 ZINC000501089423 1071648666 /nfs/dbraw/zinc/64/86/66/1071648666.db2.gz IUCYIMNNERXNNN-UHFFFAOYSA-N 0 0 433.509 -0.124 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000501092812 1071648632 /nfs/dbraw/zinc/64/86/32/1071648632.db2.gz ZVONPASLNJEYNA-UHFFFAOYSA-N 0 0 428.497 -0.451 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C2CCN(c3ccc4nncn4n3)CC2)CC1)N1CCCC1 ZINC000501093192 1071648603 /nfs/dbraw/zinc/64/86/03/1071648603.db2.gz VXABBBLDTQWZGP-UHFFFAOYSA-N 0 0 440.508 -0.366 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000501094947 1071648676 /nfs/dbraw/zinc/64/86/76/1071648676.db2.gz RBPQPOZLXHHBQW-UHFFFAOYSA-N 0 0 440.497 -0.213 20 0 IBADRN C[C@H](CNC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)S(N)(=O)=O ZINC000501095575 1071648682 /nfs/dbraw/zinc/64/86/82/1071648682.db2.gz GJUZVCODDUQHSI-CYBMUJFWSA-N 0 0 433.556 -0.189 20 0 IBADRN C[C@@H](CNC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)S(N)(=O)=O ZINC000501095577 1071648645 /nfs/dbraw/zinc/64/86/45/1071648645.db2.gz GJUZVCODDUQHSI-ZDUSSCGKSA-N 0 0 433.556 -0.189 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)C1=O ZINC000501096508 1071648563 /nfs/dbraw/zinc/64/85/63/1071648563.db2.gz JADBMVWSROFKRI-GOEBONIOSA-N 0 0 438.506 -0.087 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)C1=O ZINC000501096509 1071648589 /nfs/dbraw/zinc/64/85/89/1071648589.db2.gz JADBMVWSROFKRI-HOCLYGCPSA-N 0 0 438.506 -0.087 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc(OC)c1OCC(N)=O ZINC000501106852 1071649196 /nfs/dbraw/zinc/64/91/96/1071649196.db2.gz AWMICXJOJOEELP-UHFFFAOYSA-N 0 0 448.476 -0.525 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000501107710 1071649223 /nfs/dbraw/zinc/64/92/23/1071649223.db2.gz FUKCNPATUGQGOK-CHWSQXEVSA-N 0 0 433.552 -0.053 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000501107711 1071649296 /nfs/dbraw/zinc/64/92/96/1071649296.db2.gz FUKCNPATUGQGOK-OLZOCXBDSA-N 0 0 433.552 -0.053 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)S(C)(=O)=O ZINC000501107712 1071649255 /nfs/dbraw/zinc/64/92/55/1071649255.db2.gz FUKCNPATUGQGOK-QWHCGFSZSA-N 0 0 433.552 -0.053 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000501107713 1071649298 /nfs/dbraw/zinc/64/92/98/1071649298.db2.gz FUKCNPATUGQGOK-STQMWFEESA-N 0 0 433.552 -0.053 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCC(=O)N3CCCCC3)CC2)CC1 ZINC000501108973 1071649174 /nfs/dbraw/zinc/64/91/74/1071649174.db2.gz QOFSDMYWFFBICY-UHFFFAOYSA-N 0 0 430.575 -0.792 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)ccc21 ZINC000501109109 1071649280 /nfs/dbraw/zinc/64/92/80/1071649280.db2.gz GQEMXBIQCWTHFT-UHFFFAOYSA-N 0 0 434.518 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000501109622 1071649231 /nfs/dbraw/zinc/64/92/31/1071649231.db2.gz IJMDNVHKYIPVDN-UHFFFAOYSA-N 0 0 436.534 -0.043 20 0 IBADRN Cc1cccc([C@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)N2CCOCC2)c1 ZINC000501111085 1071649275 /nfs/dbraw/zinc/64/92/75/1071649275.db2.gz LRMMCLJYSHLHHR-IBGZPJMESA-N 0 0 442.524 -0.091 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)N2CCOCC2)c1 ZINC000501111087 1071649260 /nfs/dbraw/zinc/64/92/60/1071649260.db2.gz LRMMCLJYSHLHHR-LJQANCHMSA-N 0 0 442.524 -0.091 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000501112108 1071649140 /nfs/dbraw/zinc/64/91/40/1071649140.db2.gz YIBBGNQGMLMYSM-UHFFFAOYSA-N 0 0 436.534 -0.180 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000501113577 1071649778 /nfs/dbraw/zinc/64/97/78/1071649778.db2.gz AVDKNHVBXHKWKJ-UHFFFAOYSA-N 0 0 425.283 -0.096 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000501114030 1071649166 /nfs/dbraw/zinc/64/91/66/1071649166.db2.gz VGCMNMAHOBUSPM-UHFFFAOYSA-N 0 0 427.461 -0.215 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1)N1CCCC1 ZINC000501115632 1071649807 /nfs/dbraw/zinc/64/98/07/1071649807.db2.gz ZLJWMTQFYCGNRS-UHFFFAOYSA-N 0 0 427.461 -0.355 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000501117635 1071649750 /nfs/dbraw/zinc/64/97/50/1071649750.db2.gz NMXZSWJHXJRVAT-INIZCTEOSA-N 0 0 428.555 -0.126 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000501117638 1071649628 /nfs/dbraw/zinc/64/96/28/1071649628.db2.gz NMXZSWJHXJRVAT-MRXNPFEDSA-N 0 0 428.555 -0.126 20 0 IBADRN CO[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000501126533 1071649153 /nfs/dbraw/zinc/64/91/53/1071649153.db2.gz ACHKZTIHFBTMCQ-GJZGRUSLSA-N 0 0 427.527 -0.365 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1=O ZINC000501141897 1071650226 /nfs/dbraw/zinc/65/02/26/1071650226.db2.gz WBSHEULIJQLOIE-GFCCVEGCSA-N 0 0 437.471 -0.361 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1=O ZINC000501141898 1071650244 /nfs/dbraw/zinc/65/02/44/1071650244.db2.gz WBSHEULIJQLOIE-LBPRGKRZSA-N 0 0 437.471 -0.361 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1=O ZINC000501143062 1071650280 /nfs/dbraw/zinc/65/02/80/1071650280.db2.gz BYRJHBWLQFFVKY-AWEZNQCLSA-N 0 0 433.508 -0.621 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1=O ZINC000501143063 1071650261 /nfs/dbraw/zinc/65/02/61/1071650261.db2.gz BYRJHBWLQFFVKY-CQSZACIVSA-N 0 0 433.508 -0.621 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cc(CNC(C)=O)ccc2OC)C1=O ZINC000501144027 1071650164 /nfs/dbraw/zinc/65/01/64/1071650164.db2.gz HIXZKKMSAXUJKX-AWEZNQCLSA-N 0 0 427.479 -0.226 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cc(CNC(C)=O)ccc2OC)C1=O ZINC000501144029 1071650306 /nfs/dbraw/zinc/65/03/06/1071650306.db2.gz HIXZKKMSAXUJKX-CQSZACIVSA-N 0 0 427.479 -0.226 20 0 IBADRN CO[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000501146038 1071649763 /nfs/dbraw/zinc/64/97/63/1071649763.db2.gz DMQDCACWTIVCBZ-STQMWFEESA-N 0 0 442.494 -0.715 20 0 IBADRN CO[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000501146054 1071649711 /nfs/dbraw/zinc/64/97/11/1071649711.db2.gz DQNCZWCKXKNUON-NCOADZHNSA-N 0 0 446.570 -0.442 20 0 IBADRN CO[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000501146055 1071649755 /nfs/dbraw/zinc/64/97/55/1071649755.db2.gz DQNCZWCKXKNUON-QAETUUGQSA-N 0 0 446.570 -0.442 20 0 IBADRN CO[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000501146056 1071649700 /nfs/dbraw/zinc/64/97/00/1071649700.db2.gz DQNCZWCKXKNUON-YVSFHVDLSA-N 0 0 446.570 -0.442 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1=O ZINC000501148605 1071649813 /nfs/dbraw/zinc/64/98/13/1071649813.db2.gz ZFXWIXDIHPJSKV-AWEZNQCLSA-N 0 0 433.508 -0.952 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1=O ZINC000501148787 1071649641 /nfs/dbraw/zinc/64/96/41/1071649641.db2.gz ZFXWIXDIHPJSKV-CQSZACIVSA-N 0 0 433.508 -0.952 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1=O ZINC000501153240 1071650153 /nfs/dbraw/zinc/65/01/53/1071650153.db2.gz GPGDJOMPSUGDSM-HNNXBMFYSA-N 0 0 445.519 -0.331 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1=O ZINC000501153244 1071650316 /nfs/dbraw/zinc/65/03/16/1071650316.db2.gz GPGDJOMPSUGDSM-OAHLLOKOSA-N 0 0 445.519 -0.331 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1=O ZINC000501155629 1071650220 /nfs/dbraw/zinc/65/02/20/1071650220.db2.gz PGSBODYJNVFPOE-HNNXBMFYSA-N 0 0 445.519 -0.430 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1=O ZINC000501155631 1071650171 /nfs/dbraw/zinc/65/01/71/1071650171.db2.gz PGSBODYJNVFPOE-OAHLLOKOSA-N 0 0 445.519 -0.430 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)C1=O ZINC000501156487 1071650196 /nfs/dbraw/zinc/65/01/96/1071650196.db2.gz RVXWSEGAOXPGCW-AWEZNQCLSA-N 0 0 442.446 -0.298 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)C1=O ZINC000501156488 1071650840 /nfs/dbraw/zinc/65/08/40/1071650840.db2.gz RVXWSEGAOXPGCW-CQSZACIVSA-N 0 0 442.446 -0.298 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1=O ZINC000501156746 1071650311 /nfs/dbraw/zinc/65/03/11/1071650311.db2.gz PFOKLTQGOJBXAK-CYBMUJFWSA-N 0 0 437.471 -0.361 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1=O ZINC000501156747 1071650292 /nfs/dbraw/zinc/65/02/92/1071650292.db2.gz PFOKLTQGOJBXAK-ZDUSSCGKSA-N 0 0 437.471 -0.361 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)NCCN1C(=O)CNC1=O ZINC000501156834 1071650215 /nfs/dbraw/zinc/65/02/15/1071650215.db2.gz ALQSZQOHCMFDSL-UHFFFAOYSA-N 0 0 430.202 -0.311 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(OCc3ncnn3C)cc2)C1=O ZINC000501157096 1071650185 /nfs/dbraw/zinc/65/01/85/1071650185.db2.gz PVZJTODYLXIWGO-HNNXBMFYSA-N 0 0 437.478 -0.164 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(OCc3ncnn3C)cc2)C1=O ZINC000501157097 1071650254 /nfs/dbraw/zinc/65/02/54/1071650254.db2.gz PVZJTODYLXIWGO-OAHLLOKOSA-N 0 0 437.478 -0.164 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)C1=O ZINC000501162854 1071650804 /nfs/dbraw/zinc/65/08/04/1071650804.db2.gz LBQAYECGLGJGNM-SECBINFHSA-N 0 0 448.475 -0.236 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)C1=O ZINC000501162859 1071650861 /nfs/dbraw/zinc/65/08/61/1071650861.db2.gz LBQAYECGLGJGNM-VIFPVBQESA-N 0 0 448.475 -0.236 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N[C@@H]3CCN(CCC(=O)OC)C3=O)ccc2O1 ZINC000501166568 1071650903 /nfs/dbraw/zinc/65/09/03/1071650903.db2.gz UQDSMQVYOWMTAW-GDBMZVCRSA-N 0 0 440.474 -0.005 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N[C@H]3CCN(CCC(=O)OC)C3=O)ccc2O1 ZINC000501166572 1071650851 /nfs/dbraw/zinc/65/08/51/1071650851.db2.gz UQDSMQVYOWMTAW-GOEBONIOSA-N 0 0 440.474 -0.005 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N[C@H]3CCN(CCC(=O)OC)C3=O)ccc2O1 ZINC000501166575 1071651444 /nfs/dbraw/zinc/65/14/44/1071651444.db2.gz UQDSMQVYOWMTAW-HOCLYGCPSA-N 0 0 440.474 -0.005 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N[C@@H]3CCN(CCC(=O)OC)C3=O)ccc2O1 ZINC000501166578 1071651414 /nfs/dbraw/zinc/65/14/14/1071651414.db2.gz UQDSMQVYOWMTAW-ZBFHGGJFSA-N 0 0 440.474 -0.005 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000501166939 1071650902 /nfs/dbraw/zinc/65/09/02/1071650902.db2.gz YBFYLAWHGDPOPT-CYBMUJFWSA-N 0 0 433.552 -0.052 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000501166940 1071650773 /nfs/dbraw/zinc/65/07/73/1071650773.db2.gz YBFYLAWHGDPOPT-ZDUSSCGKSA-N 0 0 433.552 -0.052 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCN2C(=O)CNC2=O)c1 ZINC000501168317 1071651490 /nfs/dbraw/zinc/65/14/90/1071651490.db2.gz QOIWBWKWHXUSKJ-UHFFFAOYSA-N 0 0 439.494 -0.885 20 0 IBADRN COc1ccc(C(=O)NCCN2C(=O)CNC2=O)cc1S(=O)(=O)NCc1ccccn1 ZINC000501168571 1071651407 /nfs/dbraw/zinc/65/14/07/1071651407.db2.gz FSCYHQJCWRRZTF-UHFFFAOYSA-N 0 0 447.473 -0.150 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCN2C(=O)CNC2=O)cc1 ZINC000501170942 1071651479 /nfs/dbraw/zinc/65/14/79/1071651479.db2.gz WKOQJBJMHFYCKC-UHFFFAOYSA-N 0 0 439.494 -0.885 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NCCS(=O)(=O)N3CCSCC3)nc2)CC1 ZINC000501176339 1071651370 /nfs/dbraw/zinc/65/13/70/1071651370.db2.gz PSKISAKZFPODIB-UHFFFAOYSA-N 0 0 449.624 -0.192 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1=O ZINC000501176746 1071651419 /nfs/dbraw/zinc/65/14/19/1071651419.db2.gz PXGBUPBYQQFQIX-AWEZNQCLSA-N 0 0 433.508 -0.621 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1=O ZINC000501176747 1071651358 /nfs/dbraw/zinc/65/13/58/1071651358.db2.gz PXGBUPBYQQFQIX-CQSZACIVSA-N 0 0 433.508 -0.621 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(OC)c(C(=O)N(C)C)c2)C1=O ZINC000501178872 1071651376 /nfs/dbraw/zinc/65/13/76/1071651376.db2.gz VQKJRWOUJVYJRC-AWEZNQCLSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(OC)c(C(=O)N(C)C)c2)C1=O ZINC000501178873 1071651473 /nfs/dbraw/zinc/65/14/73/1071651473.db2.gz VQKJRWOUJVYJRC-CQSZACIVSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1=O ZINC000501181235 1071650797 /nfs/dbraw/zinc/65/07/97/1071650797.db2.gz YQEURCSIVXAJQD-INIZCTEOSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1=O ZINC000501181236 1071650838 /nfs/dbraw/zinc/65/08/38/1071650838.db2.gz YQEURCSIVXAJQD-MRXNPFEDSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)C1=O ZINC000501181252 1071650783 /nfs/dbraw/zinc/65/07/83/1071650783.db2.gz ZDUMGVGWSGGEBS-CYBMUJFWSA-N 0 0 442.446 -0.298 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)C1=O ZINC000501181253 1071650833 /nfs/dbraw/zinc/65/08/33/1071650833.db2.gz ZDUMGVGWSGGEBS-ZDUSSCGKSA-N 0 0 442.446 -0.298 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1=O ZINC000501184350 1071650836 /nfs/dbraw/zinc/65/08/36/1071650836.db2.gz HLMOWMKYJSOCHA-HNNXBMFYSA-N 0 0 445.519 -0.430 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1=O ZINC000501184351 1071650843 /nfs/dbraw/zinc/65/08/43/1071650843.db2.gz HLMOWMKYJSOCHA-OAHLLOKOSA-N 0 0 445.519 -0.430 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1=O ZINC000501184467 1071650895 /nfs/dbraw/zinc/65/08/95/1071650895.db2.gz CXLRQGFNBZGVFX-LLVKDONJSA-N 0 0 433.870 -0.354 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1=O ZINC000501184468 1071650883 /nfs/dbraw/zinc/65/08/83/1071650883.db2.gz CXLRQGFNBZGVFX-NSHDSACASA-N 0 0 433.870 -0.354 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)cc1 ZINC000501207407 1071652106 /nfs/dbraw/zinc/65/21/06/1071652106.db2.gz IVEQAYDMEYWXFF-UHFFFAOYSA-N 0 0 428.449 -0.635 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)C1 ZINC000501209549 1071652004 /nfs/dbraw/zinc/65/20/04/1071652004.db2.gz LKPZNBFGXXPFRQ-INIZCTEOSA-N 0 0 432.477 -0.026 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)C1 ZINC000501209550 1071652040 /nfs/dbraw/zinc/65/20/40/1071652040.db2.gz LKPZNBFGXXPFRQ-MRXNPFEDSA-N 0 0 432.477 -0.026 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NCCNC(=O)c3cccnc3)CC2)cc1 ZINC000501224296 1071651964 /nfs/dbraw/zinc/65/19/64/1071651964.db2.gz YZCHXWNKWGTDFY-UHFFFAOYSA-N 0 0 432.506 -0.009 20 0 IBADRN COC(=O)c1cc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(C(=O)OC)c1 ZINC000501229650 1071651940 /nfs/dbraw/zinc/65/19/40/1071651940.db2.gz SSUKWSYTLJPPGM-DLBZAZTESA-N 0 0 426.491 -0.153 20 0 IBADRN COC(=O)c1cc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(C(=O)OC)c1 ZINC000501229651 1071652069 /nfs/dbraw/zinc/65/20/69/1071652069.db2.gz SSUKWSYTLJPPGM-IAGOWNOFSA-N 0 0 426.491 -0.153 20 0 IBADRN COC(=O)c1cc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(C(=O)OC)c1 ZINC000501229652 1071651979 /nfs/dbraw/zinc/65/19/79/1071651979.db2.gz SSUKWSYTLJPPGM-IRXDYDNUSA-N 0 0 426.491 -0.153 20 0 IBADRN COC(=O)c1cc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(C(=O)OC)c1 ZINC000501229653 1071652023 /nfs/dbraw/zinc/65/20/23/1071652023.db2.gz SSUKWSYTLJPPGM-SJORKVTESA-N 0 0 426.491 -0.153 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CC(=O)NCC(F)(F)F)CC2)C[C@H](C)O1 ZINC000501230730 1071652033 /nfs/dbraw/zinc/65/20/33/1071652033.db2.gz DRCXTISIIKUXCH-RYUDHWBXSA-N 0 0 430.449 -0.447 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CC(=O)NCC(F)(F)F)CC2)C[C@H](C)O1 ZINC000501230731 1071652091 /nfs/dbraw/zinc/65/20/91/1071652091.db2.gz DRCXTISIIKUXCH-TXEJJXNPSA-N 0 0 430.449 -0.447 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CC(=O)NCC(F)(F)F)CC2)C[C@@H](C)O1 ZINC000501230732 1071652013 /nfs/dbraw/zinc/65/20/13/1071652013.db2.gz DRCXTISIIKUXCH-VXGBXAGGSA-N 0 0 430.449 -0.447 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000501233223 1071652112 /nfs/dbraw/zinc/65/21/12/1071652112.db2.gz LRCMVUYLCJHEGD-KBPBESRZSA-N 0 0 434.497 -0.787 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000501233224 1071651991 /nfs/dbraw/zinc/65/19/91/1071651991.db2.gz LRCMVUYLCJHEGD-KGLIPLIRSA-N 0 0 434.497 -0.787 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000501233225 1071652077 /nfs/dbraw/zinc/65/20/77/1071652077.db2.gz LRCMVUYLCJHEGD-UONOGXRCSA-N 0 0 434.497 -0.787 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000501233226 1071652118 /nfs/dbraw/zinc/65/21/18/1071652118.db2.gz LRCMVUYLCJHEGD-ZIAGYGMSSA-N 0 0 434.497 -0.787 20 0 IBADRN COC(=O)c1cnc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000501235418 1071652099 /nfs/dbraw/zinc/65/20/99/1071652099.db2.gz QEELHNNVRSLULI-BETUJISGSA-N 0 0 427.483 -0.625 20 0 IBADRN COC(=O)c1cnc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000501235424 1071651924 /nfs/dbraw/zinc/65/19/24/1071651924.db2.gz QEELHNNVRSLULI-CHWSQXEVSA-N 0 0 427.483 -0.625 20 0 IBADRN COC(=O)c1cnc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000501235425 1071652642 /nfs/dbraw/zinc/65/26/42/1071652642.db2.gz QEELHNNVRSLULI-STQMWFEESA-N 0 0 427.483 -0.625 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)CC1 ZINC000501238435 1071652125 /nfs/dbraw/zinc/65/21/25/1071652125.db2.gz YYLWYULDKDHZJX-UHFFFAOYSA-N 0 0 437.522 -0.569 20 0 IBADRN O=c1[nH]c(N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)nc2c1cnn2-c1ccccc1 ZINC000501247630 1071652590 /nfs/dbraw/zinc/65/25/90/1071652590.db2.gz JTQBCUGPVWEIRM-CVEARBPZSA-N 0 0 430.490 -0.199 20 0 IBADRN O=c1[nH]c(N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)nc2c1cnn2-c1ccccc1 ZINC000501247631 1071652611 /nfs/dbraw/zinc/65/26/11/1071652611.db2.gz JTQBCUGPVWEIRM-HOTGVXAUSA-N 0 0 430.490 -0.199 20 0 IBADRN O=c1[nH]c(N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)nc2c1cnn2-c1ccccc1 ZINC000501247632 1071652616 /nfs/dbraw/zinc/65/26/16/1071652616.db2.gz JTQBCUGPVWEIRM-HZPDHXFCSA-N 0 0 430.490 -0.199 20 0 IBADRN O=c1[nH]c(N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)nc2c1cnn2-c1ccccc1 ZINC000501247633 1071652699 /nfs/dbraw/zinc/65/26/99/1071652699.db2.gz JTQBCUGPVWEIRM-JKSUJKDBSA-N 0 0 430.490 -0.199 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccncc3I)c2c(=O)n(C)c1=O ZINC000501256191 1071652703 /nfs/dbraw/zinc/65/27/03/1071652703.db2.gz FSTVKPLPCNGBDD-UHFFFAOYSA-N 0 0 440.201 -0.506 20 0 IBADRN COc1ccc(NC(=O)c2cccc(NC(=O)C(=O)N3CCO[C@H](C(N)=O)C3)c2)cn1 ZINC000501261544 1071652669 /nfs/dbraw/zinc/65/26/69/1071652669.db2.gz HZWMXZLQAHKVEF-HNNXBMFYSA-N 0 0 427.417 -0.006 20 0 IBADRN COc1ccc(NC(=O)c2cccc(NC(=O)C(=O)N3CCO[C@@H](C(N)=O)C3)c2)cn1 ZINC000501261545 1071652606 /nfs/dbraw/zinc/65/26/06/1071652606.db2.gz HZWMXZLQAHKVEF-OAHLLOKOSA-N 0 0 427.417 -0.006 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(Cc3ncc[nH]3)CC2)c1 ZINC000501263079 1071652710 /nfs/dbraw/zinc/65/27/10/1071652710.db2.gz RGGMSZLFRAXQAQ-UHFFFAOYSA-N 0 0 434.522 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](O)COCC1CC1 ZINC000501263270 1071652715 /nfs/dbraw/zinc/65/27/15/1071652715.db2.gz NDVCWINCBMBDDQ-CYBMUJFWSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](O)COCC1CC1 ZINC000501263271 1071652685 /nfs/dbraw/zinc/65/26/85/1071652685.db2.gz NDVCWINCBMBDDQ-ZDUSSCGKSA-N 0 0 429.495 -0.212 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](O)COCC3CC3)cc2)CC1 ZINC000501265669 1071652672 /nfs/dbraw/zinc/65/26/72/1071652672.db2.gz USRXEVAZXHYOMT-IBGZPJMESA-N 0 0 432.521 -0.155 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](O)COCC3CC3)cc2)CC1 ZINC000501265670 1071652982 /nfs/dbraw/zinc/65/29/82/1071652982.db2.gz USRXEVAZXHYOMT-LJQANCHMSA-N 0 0 432.521 -0.155 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccncc1I)c(=O)n2C ZINC000501266211 1071652649 /nfs/dbraw/zinc/65/26/49/1071652649.db2.gz KZGKTMYTWDWTMS-UHFFFAOYSA-N 0 0 440.201 -0.506 20 0 IBADRN COC(=O)c1cc(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc(C(=O)OC)c1 ZINC000501267219 1072419183 /nfs/dbraw/zinc/41/91/83/1072419183.db2.gz RUOUESJXOQMAOR-UHFFFAOYSA-N 0 0 433.461 -0.237 20 0 IBADRN O=C(NCCCNC(=O)c1cccs1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000501267291 1071653133 /nfs/dbraw/zinc/65/31/33/1071653133.db2.gz ZGXGVLGOLCCAJZ-UHFFFAOYSA-N 0 0 430.490 -0.046 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2ccc(CCNS(C)(=O)=O)s2)CC1 ZINC000501267581 1071653017 /nfs/dbraw/zinc/65/30/17/1071653017.db2.gz BEPQOGNSMQYQSH-UHFFFAOYSA-N 0 0 430.552 -0.351 20 0 IBADRN COC(=O)c1cc(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc(C(=O)OC)c1 ZINC000501268922 1071653106 /nfs/dbraw/zinc/65/31/06/1071653106.db2.gz LOFRSNFGIQNOMN-UHFFFAOYSA-N 0 0 441.506 -0.046 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](O)COCC1CC1 ZINC000501270488 1071653080 /nfs/dbraw/zinc/65/30/80/1071653080.db2.gz BWRFAXASNHJEDX-AWEZNQCLSA-N 0 0 442.538 -0.155 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](O)COCC1CC1 ZINC000501270493 1071653097 /nfs/dbraw/zinc/65/30/97/1071653097.db2.gz BWRFAXASNHJEDX-CQSZACIVSA-N 0 0 442.538 -0.155 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCCNC(=O)c3cccs3)cnc2n(C)c1=O ZINC000501273298 1071653058 /nfs/dbraw/zinc/65/30/58/1071653058.db2.gz IBLLLVYFBZOBJR-UHFFFAOYSA-N 0 0 444.473 -0.432 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@H](O)COCC1CC1 ZINC000501276506 1071652946 /nfs/dbraw/zinc/65/29/46/1071652946.db2.gz JTNSYIBNTIHKIO-KRWDZBQOSA-N 0 0 448.520 -0.075 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@H](O)COCC1CC1 ZINC000501276511 1071652968 /nfs/dbraw/zinc/65/29/68/1071652968.db2.gz JTNSYIBNTIHKIO-QGZVFWFLSA-N 0 0 448.520 -0.075 20 0 IBADRN CN(CC(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000501277197 1071653043 /nfs/dbraw/zinc/65/30/43/1071653043.db2.gz URRLPNPTKSVJII-GOSISDBHSA-N 0 0 428.599 -0.054 20 0 IBADRN CN(CC(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000501277198 1071653146 /nfs/dbraw/zinc/65/31/46/1071653146.db2.gz URRLPNPTKSVJII-SFHVURJKSA-N 0 0 428.599 -0.054 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000501277282 1071653152 /nfs/dbraw/zinc/65/31/52/1071653152.db2.gz MZEYGSNNMIFVHN-UHFFFAOYSA-N 0 0 442.451 -0.430 20 0 IBADRN O=C(NC[C@H](O)COCC1CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000501277489 1071653000 /nfs/dbraw/zinc/65/30/00/1071653000.db2.gz OHHYPRASAOBOAK-INIZCTEOSA-N 0 0 441.506 -0.450 20 0 IBADRN O=C(NC[C@@H](O)COCC1CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000501277490 1071653036 /nfs/dbraw/zinc/65/30/36/1071653036.db2.gz OHHYPRASAOBOAK-MRXNPFEDSA-N 0 0 441.506 -0.450 20 0 IBADRN CN(C)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000501279310 1071653186 /nfs/dbraw/zinc/65/31/86/1071653186.db2.gz FYRQWMRKZUDIIP-UHFFFAOYSA-N 0 0 425.559 -0.533 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCC(=O)N2CC(=O)Nc3ccccc32)CC1 ZINC000501283084 1071652691 /nfs/dbraw/zinc/65/26/91/1071652691.db2.gz NRCGVFDXAHNVOO-UHFFFAOYSA-N 0 0 429.477 -0.053 20 0 IBADRN O=C(NC[C@H](O)COCC1CC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000501283279 1071652667 /nfs/dbraw/zinc/65/26/67/1071652667.db2.gz YJXXLRKIYACDMJ-INIZCTEOSA-N 0 0 441.506 -0.450 20 0 IBADRN O=C(NC[C@@H](O)COCC1CC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000501283283 1071652597 /nfs/dbraw/zinc/65/25/97/1071652597.db2.gz YJXXLRKIYACDMJ-MRXNPFEDSA-N 0 0 441.506 -0.450 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccccc2F)CC1 ZINC000501284198 1071652652 /nfs/dbraw/zinc/65/26/52/1071652652.db2.gz PNWARIRLNMUZJG-UHFFFAOYSA-N 0 0 428.486 -0.311 20 0 IBADRN O=C(NC[C@@H](O)COCC1CC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000501287467 1071653858 /nfs/dbraw/zinc/65/38/58/1071653858.db2.gz LYVCXIFMIMGELZ-APWZRJJASA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(NC[C@H](O)COCC1CC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000501287472 1071653836 /nfs/dbraw/zinc/65/38/36/1071653836.db2.gz LYVCXIFMIMGELZ-LPHOPBHVSA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(NC[C@H](O)COCC1CC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000501287474 1071653864 /nfs/dbraw/zinc/65/38/64/1071653864.db2.gz LYVCXIFMIMGELZ-QFBILLFUSA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(NC[C@@H](O)COCC1CC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000501287475 1071653843 /nfs/dbraw/zinc/65/38/43/1071653843.db2.gz LYVCXIFMIMGELZ-VQIMIIECSA-N 0 0 447.488 -0.457 20 0 IBADRN COC(=O)c1cc(CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(C(=O)OC)c1 ZINC000501290831 1071653866 /nfs/dbraw/zinc/65/38/66/1071653866.db2.gz DQQHBOMQVDNVPK-DLBZAZTESA-N 0 0 426.491 -0.465 20 0 IBADRN COC(=O)c1cc(CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(C(=O)OC)c1 ZINC000501290840 1071653860 /nfs/dbraw/zinc/65/38/60/1071653860.db2.gz DQQHBOMQVDNVPK-IAGOWNOFSA-N 0 0 426.491 -0.465 20 0 IBADRN COC(=O)c1cc(CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(C(=O)OC)c1 ZINC000501290844 1071653822 /nfs/dbraw/zinc/65/38/22/1071653822.db2.gz DQQHBOMQVDNVPK-IRXDYDNUSA-N 0 0 426.491 -0.465 20 0 IBADRN COC(=O)c1cc(CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(C(=O)OC)c1 ZINC000501290847 1071653870 /nfs/dbraw/zinc/65/38/70/1071653870.db2.gz DQQHBOMQVDNVPK-SJORKVTESA-N 0 0 426.491 -0.465 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc(OC)c1OCC(N)=O ZINC000501295191 1071653862 /nfs/dbraw/zinc/65/38/62/1071653862.db2.gz PYSSWIPZFHKIKC-UHFFFAOYSA-N 0 0 436.465 -0.623 20 0 IBADRN COc1cccc(C[C@H](C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n2nnnc2C)c1 ZINC000501299479 1071653784 /nfs/dbraw/zinc/65/37/84/1071653784.db2.gz QOLMFBUGEOTHJF-GOSISDBHSA-N 0 0 443.508 -0.031 20 0 IBADRN COc1cccc(C[C@@H](C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n2nnnc2C)c1 ZINC000501299483 1071653768 /nfs/dbraw/zinc/65/37/68/1071653768.db2.gz QOLMFBUGEOTHJF-SFHVURJKSA-N 0 0 443.508 -0.031 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCC(=O)N[C@@H]1CCOc3ccccc31)c(=O)n2C ZINC000501300227 1071653851 /nfs/dbraw/zinc/65/38/51/1071653851.db2.gz SFXAESUDLSIUMG-CYBMUJFWSA-N 0 0 426.433 -0.810 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCC(=O)N[C@H]1CCOc3ccccc31)c(=O)n2C ZINC000501300230 1071653855 /nfs/dbraw/zinc/65/38/55/1071653855.db2.gz SFXAESUDLSIUMG-ZDUSSCGKSA-N 0 0 426.433 -0.810 20 0 IBADRN COC(=O)c1cnc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000501301473 1071653799 /nfs/dbraw/zinc/65/37/99/1071653799.db2.gz AFUGFAKRMMAKSO-UHFFFAOYSA-N 0 0 434.478 -0.460 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CC(=O)NCC(F)(F)F)CC2)o1 ZINC000501303092 1071653816 /nfs/dbraw/zinc/65/38/16/1071653816.db2.gz NXSIPFSIHTXECW-UHFFFAOYSA-N 0 0 426.373 -0.720 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1S(=O)(=O)N(C)C ZINC000501304058 1071653791 /nfs/dbraw/zinc/65/37/91/1071653791.db2.gz ZYORZQLFIYPYDM-UHFFFAOYSA-N 0 0 440.522 -0.246 20 0 IBADRN COC(=O)c1cnc(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)cn1 ZINC000501310543 1071654248 /nfs/dbraw/zinc/65/42/48/1071654248.db2.gz YGWHBEQRASFTII-UHFFFAOYSA-N 0 0 446.445 -0.008 20 0 IBADRN O=C(CC(=O)NCC(F)(F)F)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000501318423 1071653845 /nfs/dbraw/zinc/65/38/45/1071653845.db2.gz YLXVOTIFBPZTKY-UHFFFAOYSA-N 0 0 437.444 -0.282 20 0 IBADRN CN(C)c1ncccc1CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000501323500 1071653810 /nfs/dbraw/zinc/65/38/10/1071653810.db2.gz MSOFBWILJHFLIL-UHFFFAOYSA-N 0 0 425.559 -0.533 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)c1 ZINC000501326862 1071654427 /nfs/dbraw/zinc/65/44/27/1071654427.db2.gz QXMOJWJCYCRCAL-UHFFFAOYSA-N 0 0 438.444 -0.331 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)CC1 ZINC000501327141 1071654434 /nfs/dbraw/zinc/65/44/34/1071654434.db2.gz HJKRHDXLNMKHOB-UHFFFAOYSA-N 0 0 449.533 -0.224 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c1 ZINC000501327184 1071654306 /nfs/dbraw/zinc/65/43/06/1071654306.db2.gz IBBLEQODXQAEPK-UHFFFAOYSA-N 0 0 426.433 -0.847 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000501328318 1071654409 /nfs/dbraw/zinc/65/44/09/1071654409.db2.gz VBVVHFRXVZYZMX-UHFFFAOYSA-N 0 0 441.488 -0.746 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)c1 ZINC000501329010 1071654379 /nfs/dbraw/zinc/65/43/79/1071654379.db2.gz XCZJVKIQEIZLII-UHFFFAOYSA-N 0 0 449.489 -0.236 20 0 IBADRN COCCCN(CCNS(C)(=O)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000501332006 1071654336 /nfs/dbraw/zinc/65/43/36/1071654336.db2.gz LXMBPINJAVVCKE-UHFFFAOYSA-N 0 0 425.511 -0.029 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1=O ZINC000501334345 1071654358 /nfs/dbraw/zinc/65/43/58/1071654358.db2.gz IFJZNHMNSWYCOQ-APWZRJJASA-N 0 0 442.472 -0.258 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1=O ZINC000501334346 1071654843 /nfs/dbraw/zinc/65/48/43/1071654843.db2.gz IFJZNHMNSWYCOQ-LPHOPBHVSA-N 0 0 442.472 -0.258 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1=O ZINC000501334347 1071654868 /nfs/dbraw/zinc/65/48/68/1071654868.db2.gz IFJZNHMNSWYCOQ-QFBILLFUSA-N 0 0 442.472 -0.258 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1=O ZINC000501334348 1071654930 /nfs/dbraw/zinc/65/49/30/1071654930.db2.gz IFJZNHMNSWYCOQ-VQIMIIECSA-N 0 0 442.472 -0.258 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CC(=O)N(c3ccc(Cl)c(F)c3)C2)c(=O)n(C)c1=O ZINC000501338244 1071655020 /nfs/dbraw/zinc/65/50/20/1071655020.db2.gz TVIOEAKFCNMXHF-SECBINFHSA-N 0 0 430.845 -0.040 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CC(=O)N(c3ccc(Cl)c(F)c3)C2)c(=O)n(C)c1=O ZINC000501338245 1071654826 /nfs/dbraw/zinc/65/48/26/1071654826.db2.gz TVIOEAKFCNMXHF-VIFPVBQESA-N 0 0 430.845 -0.040 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000501338255 1071655031 /nfs/dbraw/zinc/65/50/31/1071655031.db2.gz UAOJIHVMQYHTGF-INIZCTEOSA-N 0 0 441.506 -0.186 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000501338256 1072420175 /nfs/dbraw/zinc/42/01/75/1072420175.db2.gz UAOJIHVMQYHTGF-MRXNPFEDSA-N 0 0 441.506 -0.186 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000501339690 1071654390 /nfs/dbraw/zinc/65/43/90/1071654390.db2.gz DSTSIGRYOSYEEK-HNNXBMFYSA-N 0 0 427.479 -0.495 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000501339691 1071654373 /nfs/dbraw/zinc/65/43/73/1071654373.db2.gz DSTSIGRYOSYEEK-OAHLLOKOSA-N 0 0 427.479 -0.495 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1=O ZINC000501340978 1071654290 /nfs/dbraw/zinc/65/42/90/1071654290.db2.gz MZIFGZJAVFNFBJ-CYBMUJFWSA-N 0 0 444.535 -0.354 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1=O ZINC000501340982 1071654419 /nfs/dbraw/zinc/65/44/19/1071654419.db2.gz MZIFGZJAVFNFBJ-ZDUSSCGKSA-N 0 0 444.535 -0.354 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3c3ccccc3)cnc2n(C)c1=O ZINC000501343572 1071654898 /nfs/dbraw/zinc/65/48/98/1071654898.db2.gz CERWIPGLUJWIBU-HNNXBMFYSA-N 0 0 436.428 -0.730 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCNC(=O)[C@H]3c3ccccc3)cnc2n(C)c1=O ZINC000501343573 1071654969 /nfs/dbraw/zinc/65/49/69/1071654969.db2.gz CERWIPGLUJWIBU-OAHLLOKOSA-N 0 0 436.428 -0.730 20 0 IBADRN COc1ncc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1C(N)=O ZINC000501348596 1071654978 /nfs/dbraw/zinc/65/49/78/1071654978.db2.gz CNBSRTRTJPXRRM-CYBMUJFWSA-N 0 0 427.483 -0.153 20 0 IBADRN COc1ncc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1C(N)=O ZINC000501348597 1071655002 /nfs/dbraw/zinc/65/50/02/1071655002.db2.gz CNBSRTRTJPXRRM-ZDUSSCGKSA-N 0 0 427.483 -0.153 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000501357641 1071654922 /nfs/dbraw/zinc/65/49/22/1071654922.db2.gz NSVKKAKJCHMFKX-HNNXBMFYSA-N 0 0 428.515 -0.370 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000501357642 1071654852 /nfs/dbraw/zinc/65/48/52/1071654852.db2.gz NSVKKAKJCHMFKX-OAHLLOKOSA-N 0 0 428.515 -0.370 20 0 IBADRN C[C@H](CNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)S(N)(=O)=O ZINC000501358283 1071655009 /nfs/dbraw/zinc/65/50/09/1071655009.db2.gz FRLAQFJOVSMPHH-GFCCVEGCSA-N 0 0 449.555 -0.428 20 0 IBADRN C[C@@H](CNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)S(N)(=O)=O ZINC000501358287 1071654942 /nfs/dbraw/zinc/65/49/42/1071654942.db2.gz FRLAQFJOVSMPHH-LBPRGKRZSA-N 0 0 449.555 -0.428 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1=O ZINC000501369554 1071654955 /nfs/dbraw/zinc/65/49/55/1071654955.db2.gz FOMXIJIPWKJRAX-CABCVRRESA-N 0 0 444.444 -0.803 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1=O ZINC000501369556 1071654963 /nfs/dbraw/zinc/65/49/63/1071654963.db2.gz FOMXIJIPWKJRAX-GJZGRUSLSA-N 0 0 444.444 -0.803 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1=O ZINC000501369559 1071654906 /nfs/dbraw/zinc/65/49/06/1071654906.db2.gz FOMXIJIPWKJRAX-HUUCEWRRSA-N 0 0 444.444 -0.803 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1=O ZINC000501369562 1071655372 /nfs/dbraw/zinc/65/53/72/1071655372.db2.gz FOMXIJIPWKJRAX-LSDHHAIUSA-N 0 0 444.444 -0.803 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)C1=O ZINC000501373782 1071655530 /nfs/dbraw/zinc/65/55/30/1071655530.db2.gz WQBGPVMUUGWWJG-AWEZNQCLSA-N 0 0 433.433 -0.087 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)C1=O ZINC000501373786 1071655399 /nfs/dbraw/zinc/65/53/99/1071655399.db2.gz WQBGPVMUUGWWJG-CQSZACIVSA-N 0 0 433.433 -0.087 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000501375227 1071655484 /nfs/dbraw/zinc/65/54/84/1071655484.db2.gz YOQDYIHMHWXAJL-HNNXBMFYSA-N 0 0 432.524 -0.008 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000501375228 1071655378 /nfs/dbraw/zinc/65/53/78/1071655378.db2.gz YOQDYIHMHWXAJL-OAHLLOKOSA-N 0 0 432.524 -0.008 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000501375645 1071655436 /nfs/dbraw/zinc/65/54/36/1071655436.db2.gz ZMHNQOWPZFJKPJ-HNNXBMFYSA-N 0 0 432.524 -0.008 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000501375646 1071655537 /nfs/dbraw/zinc/65/55/37/1071655537.db2.gz ZMHNQOWPZFJKPJ-OAHLLOKOSA-N 0 0 432.524 -0.008 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000501380063 1071655469 /nfs/dbraw/zinc/65/54/69/1071655469.db2.gz BWUCHSFEWDQDQT-INIZCTEOSA-N 0 0 431.493 -0.209 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000501380070 1071655444 /nfs/dbraw/zinc/65/54/44/1071655444.db2.gz BWUCHSFEWDQDQT-MRXNPFEDSA-N 0 0 431.493 -0.209 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(Br)cc2S(C)(=O)=O)C[C@@H](C(N)=O)O1 ZINC000501380714 1071656186 /nfs/dbraw/zinc/65/61/86/1071656186.db2.gz GZGVAHSVUGWMAJ-KCJUWKMLSA-N 0 0 448.295 -0.108 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(Br)cc2S(C)(=O)=O)C[C@H](C(N)=O)O1 ZINC000501380715 1071656088 /nfs/dbraw/zinc/65/60/88/1071656088.db2.gz GZGVAHSVUGWMAJ-LDYMZIIASA-N 0 0 448.295 -0.108 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1=O ZINC000501381664 1074335997 /nfs/dbraw/zinc/33/59/97/1074335997.db2.gz NNAJMBMEOCMRSO-GFCCVEGCSA-N 0 0 446.464 -0.729 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1=O ZINC000501381665 1074335951 /nfs/dbraw/zinc/33/59/51/1074335951.db2.gz NNAJMBMEOCMRSO-LBPRGKRZSA-N 0 0 446.464 -0.729 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000501388924 1071655527 /nfs/dbraw/zinc/65/55/27/1071655527.db2.gz CYGPTTYEJSDVFQ-HNNXBMFYSA-N 0 0 432.481 -0.814 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000501388927 1071655519 /nfs/dbraw/zinc/65/55/19/1071655519.db2.gz CYGPTTYEJSDVFQ-OAHLLOKOSA-N 0 0 432.481 -0.814 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)nn1 ZINC000501391239 1071655409 /nfs/dbraw/zinc/65/54/09/1071655409.db2.gz HEMXBERVNFHWDB-UHFFFAOYSA-N 0 0 446.493 -0.611 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)C1=O ZINC000501391419 1071655442 /nfs/dbraw/zinc/65/54/42/1071655442.db2.gz CFKCDNZBWLOUAN-SWLSCSKDSA-N 0 0 427.479 -0.358 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)C1=O ZINC000501391420 1071655392 /nfs/dbraw/zinc/65/53/92/1071655392.db2.gz CFKCDNZBWLOUAN-WFASDCNBSA-N 0 0 427.479 -0.358 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)n1 ZINC000501392204 1071655387 /nfs/dbraw/zinc/65/53/87/1071655387.db2.gz IRMIKUCSFLHKKW-UHFFFAOYSA-N 0 0 433.494 -0.025 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)C1=O ZINC000501396645 1071655508 /nfs/dbraw/zinc/65/55/08/1071655508.db2.gz ROVUIWOYPQRADU-LLVKDONJSA-N 0 0 440.416 -0.018 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)C1=O ZINC000501396646 1071656237 /nfs/dbraw/zinc/65/62/37/1071656237.db2.gz ROVUIWOYPQRADU-NSHDSACASA-N 0 0 440.416 -0.018 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000501397088 1074336204 /nfs/dbraw/zinc/33/62/04/1074336204.db2.gz OBTJSJJFKLTIFL-CYBMUJFWSA-N 0 0 429.503 -0.975 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000501397089 1074336312 /nfs/dbraw/zinc/33/63/12/1074336312.db2.gz OBTJSJJFKLTIFL-ZDUSSCGKSA-N 0 0 429.503 -0.975 20 0 IBADRN COc1ccc([C@H](CNC(=O)CN2CCN(S(C)(=O)=O)CC2)N2CCOCC2)cc1 ZINC000501399110 1071656116 /nfs/dbraw/zinc/65/61/16/1071656116.db2.gz KUOZPSXYUMWKSC-IBGZPJMESA-N 0 0 440.566 -0.238 20 0 IBADRN COc1ccc([C@@H](CNC(=O)CN2CCN(S(C)(=O)=O)CC2)N2CCOCC2)cc1 ZINC000501399112 1071656244 /nfs/dbraw/zinc/65/62/44/1071656244.db2.gz KUOZPSXYUMWKSC-LJQANCHMSA-N 0 0 440.566 -0.238 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H](C)CS(C)(=O)=O)cc1 ZINC000501401559 1071656645 /nfs/dbraw/zinc/65/66/45/1071656645.db2.gz GGIRZZBEFFGYTJ-CYBMUJFWSA-N 0 0 433.552 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H](C)CS(C)(=O)=O)cc1 ZINC000501401561 1071656630 /nfs/dbraw/zinc/65/66/30/1071656630.db2.gz GGIRZZBEFFGYTJ-ZDUSSCGKSA-N 0 0 433.552 -0.004 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1)NC1CC1 ZINC000501406873 1071656712 /nfs/dbraw/zinc/65/67/12/1071656712.db2.gz NHJBOQNJBZQFQD-INIZCTEOSA-N 0 0 440.526 -0.180 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1)NC1CC1 ZINC000501406876 1071656666 /nfs/dbraw/zinc/65/66/66/1071656666.db2.gz NHJBOQNJBZQFQD-MRXNPFEDSA-N 0 0 440.526 -0.180 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCCN1CCc2ccccc21 ZINC000501407152 1071656637 /nfs/dbraw/zinc/65/66/37/1071656637.db2.gz QOYKDCOCFIKFLG-UHFFFAOYSA-N 0 0 429.521 -0.832 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H](C)CS(C)(=O)=O)c1 ZINC000501409400 1071656620 /nfs/dbraw/zinc/65/66/20/1071656620.db2.gz SPSDLLDFEHGYGS-CYBMUJFWSA-N 0 0 433.552 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](C)CS(C)(=O)=O)c1 ZINC000501409401 1071656689 /nfs/dbraw/zinc/65/66/89/1071656689.db2.gz SPSDLLDFEHGYGS-ZDUSSCGKSA-N 0 0 433.552 -0.004 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1C ZINC000501412258 1071656041 /nfs/dbraw/zinc/65/60/41/1071656041.db2.gz XUCZFTDTGGGUIY-AWEZNQCLSA-N 0 0 428.515 -0.404 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1C ZINC000501412259 1071656105 /nfs/dbraw/zinc/65/61/05/1071656105.db2.gz XUCZFTDTGGGUIY-CQSZACIVSA-N 0 0 428.515 -0.404 20 0 IBADRN Cn1cnc2c1c(=O)n(CCC[S@](=O)Cc1nnnn1-c1ccccc1)c(=O)n2C ZINC000501413385 1071656025 /nfs/dbraw/zinc/65/60/25/1071656025.db2.gz CPGCVMAFBDEGQC-PMERELPUSA-N 0 0 428.478 -0.252 20 0 IBADRN Cn1cnc2c1c(=O)n(CCC[S@@](=O)Cc1nnnn1-c1ccccc1)c(=O)n2C ZINC000501413386 1071656098 /nfs/dbraw/zinc/65/60/98/1071656098.db2.gz CPGCVMAFBDEGQC-SSEXGKCCSA-N 0 0 428.478 -0.252 20 0 IBADRN CCn1cc(CN(CCO)C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)cn1 ZINC000501414122 1071656224 /nfs/dbraw/zinc/65/62/24/1071656224.db2.gz GMLQNYSTQDJFHR-UHFFFAOYSA-N 0 0 427.458 -0.090 20 0 IBADRN CCOC(=O)c1cc(F)c(OC)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000501414257 1071656155 /nfs/dbraw/zinc/65/61/55/1071656155.db2.gz HFDUHCLLESQNBR-LLVKDONJSA-N 0 0 429.426 -0.658 20 0 IBADRN CCOC(=O)c1cc(F)c(OC)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000501414258 1071656193 /nfs/dbraw/zinc/65/61/93/1071656193.db2.gz HFDUHCLLESQNBR-NSHDSACASA-N 0 0 429.426 -0.658 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)CCS(=O)(=O)CC1 ZINC000501416452 1071656199 /nfs/dbraw/zinc/65/61/99/1071656199.db2.gz FIXYNEOQJNQJTF-UHFFFAOYSA-N 0 0 449.898 -0.081 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc(N(C)C)nc2)CC1 ZINC000501423613 1071656654 /nfs/dbraw/zinc/65/66/54/1071656654.db2.gz NNXCXTCYZMZRQT-UHFFFAOYSA-N 0 0 437.482 -0.381 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cnc(N(C)C)nc2)CC1 ZINC000501428096 1071657185 /nfs/dbraw/zinc/65/71/85/1071657185.db2.gz XWYZYWQJNAOVLY-UHFFFAOYSA-N 0 0 447.521 -0.262 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)C[C@@H](C)O1 ZINC000501430836 1071657216 /nfs/dbraw/zinc/65/72/16/1071657216.db2.gz URSRWLHEHMNTCX-IAQYHMDHSA-N 0 0 428.463 -0.033 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000501432276 1071657289 /nfs/dbraw/zinc/65/72/89/1071657289.db2.gz BXETUWYHCYMBNH-UHFFFAOYSA-N 0 0 427.458 -0.090 20 0 IBADRN CCOCCOc1ccc(Br)cc1S(=O)(=O)N(CC(N)=O)CC(N)=O ZINC000501436253 1071657236 /nfs/dbraw/zinc/65/72/36/1071657236.db2.gz HJTBKDULFIPZMZ-UHFFFAOYSA-N 0 0 438.300 -0.174 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)C[C@@H](C)O1 ZINC000501437289 1071657337 /nfs/dbraw/zinc/65/73/37/1071657337.db2.gz IZCVVFAIOIKNIV-ZWNOBZJWSA-N 0 0 431.442 -0.197 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000501439201 1071657329 /nfs/dbraw/zinc/65/73/29/1071657329.db2.gz PVNIUVHMGOSXMP-GFCCVEGCSA-N 0 0 427.479 -0.257 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000501439202 1071657197 /nfs/dbraw/zinc/65/71/97/1071657197.db2.gz PVNIUVHMGOSXMP-LBPRGKRZSA-N 0 0 427.479 -0.257 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)C(=O)Nc2cnc(N(C)C)nc2)c1 ZINC000501441383 1071657204 /nfs/dbraw/zinc/65/72/04/1071657204.db2.gz GILPIXCOTWOOKD-LLVKDONJSA-N 0 0 449.493 -0.467 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)C(=O)Nc2cnc(N(C)C)nc2)c1 ZINC000501441385 1071657258 /nfs/dbraw/zinc/65/72/58/1071657258.db2.gz GILPIXCOTWOOKD-NSHDSACASA-N 0 0 449.493 -0.467 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)ccc1F ZINC000501441585 1073275051 /nfs/dbraw/zinc/27/50/51/1073275051.db2.gz YJPIMXVZKLPGJA-BBATYDOGSA-N 0 0 443.453 -0.290 20 0 IBADRN O=C(C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000501444789 1071657312 /nfs/dbraw/zinc/65/73/12/1071657312.db2.gz DHFPRYIBPWFNFY-CXHZTBPHSA-N 0 0 426.473 -0.241 20 0 IBADRN O=C(C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000501444790 1071657169 /nfs/dbraw/zinc/65/71/69/1071657169.db2.gz DHFPRYIBPWFNFY-GARXJVFOSA-N 0 0 426.473 -0.241 20 0 IBADRN O=C(C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000501444791 1071657265 /nfs/dbraw/zinc/65/72/65/1071657265.db2.gz DHFPRYIBPWFNFY-GZOSKZMVSA-N 0 0 426.473 -0.241 20 0 IBADRN O=C(C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000501444792 1071657252 /nfs/dbraw/zinc/65/72/52/1071657252.db2.gz DHFPRYIBPWFNFY-KJGCVMFHSA-N 0 0 426.473 -0.241 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(Br)cc1S(C)(=O)=O ZINC000501445995 1071657996 /nfs/dbraw/zinc/65/79/96/1071657996.db2.gz GDGFODSEDCISBP-UHFFFAOYSA-N 0 0 436.284 -0.330 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)ccc1OC ZINC000501446805 1071658006 /nfs/dbraw/zinc/65/80/06/1071658006.db2.gz UWTMXRWLOSXTAH-UHFFFAOYSA-N 0 0 435.476 -0.120 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)cc1F ZINC000501447021 1071657960 /nfs/dbraw/zinc/65/79/60/1071657960.db2.gz IJNBOJRKSZMYSS-UHFFFAOYSA-N 0 0 426.514 -0.004 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000501447355 1071657833 /nfs/dbraw/zinc/65/78/33/1071657833.db2.gz IJEDNILHPRDAPS-BETUJISGSA-N 0 0 428.463 -0.320 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)cc1OC ZINC000501449030 1071657846 /nfs/dbraw/zinc/65/78/46/1071657846.db2.gz XVASHOFFAHOPJT-UHFFFAOYSA-N 0 0 435.476 -0.120 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000501450378 1071657762 /nfs/dbraw/zinc/65/77/62/1071657762.db2.gz PHJXXGDEMYYYKN-UHFFFAOYSA-N 0 0 438.550 -0.053 20 0 IBADRN C[C@@H](c1ccccc1)N(C)C(=O)CN(C)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000501456441 1071658652 /nfs/dbraw/zinc/65/86/52/1071658652.db2.gz ZFKRRQSAJQERIR-HNNXBMFYSA-N 0 0 432.568 -0.040 20 0 IBADRN C[C@H](c1ccccc1)N(C)C(=O)CN(C)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000501456443 1071658519 /nfs/dbraw/zinc/65/85/19/1071658519.db2.gz ZFKRRQSAJQERIR-OAHLLOKOSA-N 0 0 432.568 -0.040 20 0 IBADRN COC(=O)C1(NS(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CCS(=O)(=O)CC1 ZINC000501457101 1071658672 /nfs/dbraw/zinc/65/86/72/1071658672.db2.gz VRZSXIYSZBMBMZ-UHFFFAOYSA-N 0 0 430.504 -0.449 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)c1 ZINC000501459666 1071658509 /nfs/dbraw/zinc/65/85/09/1071658509.db2.gz BUWVIGZZZIIJEN-UHFFFAOYSA-N 0 0 435.476 -0.120 20 0 IBADRN COc1cc(CNC(=O)CN2CCN(S(C)(=O)=O)CC2)ccc1OCC(=O)N(C)C ZINC000501461905 1071657796 /nfs/dbraw/zinc/65/77/96/1071657796.db2.gz MSPMVEPQJZABQX-UHFFFAOYSA-N 0 0 442.538 -0.644 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000501462044 1071657824 /nfs/dbraw/zinc/65/78/24/1071657824.db2.gz OGBYIVQGQOLNBK-UKRRQHHQSA-N 0 0 439.490 -0.209 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)CC1 ZINC000501462112 1071658607 /nfs/dbraw/zinc/65/86/07/1071658607.db2.gz OYMKBDRSCWNASV-UHFFFAOYSA-N 0 0 431.493 -0.356 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)c3ccc(F)c(F)c3)CC2)CC1 ZINC000501462821 1071657814 /nfs/dbraw/zinc/65/78/14/1071657814.db2.gz RFBMSYVTCVFBHQ-UHFFFAOYSA-N 0 0 430.477 -0.174 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCC[N@H+](C)CC2)CC1 ZINC000501462989 1071657927 /nfs/dbraw/zinc/65/79/27/1071657927.db2.gz RREPBOOEOBHDSH-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)s1 ZINC000501463125 1071657857 /nfs/dbraw/zinc/65/78/57/1071657857.db2.gz SMWVADPWSROQHZ-UHFFFAOYSA-N 0 0 427.464 -0.385 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1 ZINC000501465370 1071658019 /nfs/dbraw/zinc/65/80/19/1071658019.db2.gz FIRQQKSTVJTHTK-UHFFFAOYSA-N 0 0 442.563 -0.202 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000501465737 1071657899 /nfs/dbraw/zinc/65/78/99/1071657899.db2.gz GQNOLERQGMGSQI-UHFFFAOYSA-N 0 0 433.494 -0.523 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)CCS(=O)(=O)CC1 ZINC000501466005 1071658048 /nfs/dbraw/zinc/65/80/48/1071658048.db2.gz HMNWOSFDZHOIPI-UHFFFAOYSA-N 0 0 430.460 -0.689 20 0 IBADRN O=C(c1ccccc1)N1CC(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000501466240 1071658032 /nfs/dbraw/zinc/65/80/32/1071658032.db2.gz CBZDPVDSNAWMSV-UHFFFAOYSA-N 0 0 428.492 -0.462 20 0 IBADRN COCCN1CN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1=O ZINC000501468075 1071657788 /nfs/dbraw/zinc/65/77/88/1071657788.db2.gz BLXNRLIFXDDDFG-CABCVRRESA-N 0 0 430.461 -0.703 20 0 IBADRN COCCN1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1=O ZINC000501468076 1071657767 /nfs/dbraw/zinc/65/77/67/1071657767.db2.gz BLXNRLIFXDDDFG-GJZGRUSLSA-N 0 0 430.461 -0.703 20 0 IBADRN COCCN1CN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1=O ZINC000501468077 1071658546 /nfs/dbraw/zinc/65/85/46/1071658546.db2.gz BLXNRLIFXDDDFG-HUUCEWRRSA-N 0 0 430.461 -0.703 20 0 IBADRN COCCN1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1=O ZINC000501468078 1071658648 /nfs/dbraw/zinc/65/86/48/1071658648.db2.gz BLXNRLIFXDDDFG-LSDHHAIUSA-N 0 0 430.461 -0.703 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1F ZINC000501469018 1071658591 /nfs/dbraw/zinc/65/85/91/1071658591.db2.gz DBTXDMCQWVPUMJ-UHFFFAOYSA-N 0 0 441.529 -0.037 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N1CCN(c2cc(C)nc(C(C)C)n2)CC1 ZINC000501470698 1071658557 /nfs/dbraw/zinc/65/85/57/1071658557.db2.gz YKGQJJXVRJMPAJ-INIZCTEOSA-N 0 0 432.525 -0.079 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N1CCN(c2cc(C)nc(C(C)C)n2)CC1 ZINC000501470707 1071658632 /nfs/dbraw/zinc/65/86/32/1071658632.db2.gz YKGQJJXVRJMPAJ-MRXNPFEDSA-N 0 0 432.525 -0.079 20 0 IBADRN Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)s1 ZINC000501471491 1071658535 /nfs/dbraw/zinc/65/85/35/1071658535.db2.gz LAGKYXAZOPKVOV-RYUDHWBXSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)s1 ZINC000501471496 1071658658 /nfs/dbraw/zinc/65/86/58/1071658658.db2.gz LAGKYXAZOPKVOV-TXEJJXNPSA-N 0 0 431.540 -0.112 20 0 IBADRN Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)s1 ZINC000501471498 1071658496 /nfs/dbraw/zinc/65/84/96/1071658496.db2.gz LAGKYXAZOPKVOV-VXGBXAGGSA-N 0 0 431.540 -0.112 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)c(OC)c1 ZINC000501473809 1071658587 /nfs/dbraw/zinc/65/85/87/1071658587.db2.gz HOIRDQYEBGJVCE-UHFFFAOYSA-N 0 0 435.476 -0.120 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(Br)cc1S(C)(=O)=O ZINC000501474154 1071658580 /nfs/dbraw/zinc/65/85/80/1071658580.db2.gz QHZVQGKNEMBLSF-UHFFFAOYSA-N 0 0 442.313 -0.544 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CC(=O)N(CCOC)C1 ZINC000501474586 1071659069 /nfs/dbraw/zinc/65/90/69/1071659069.db2.gz WDNWURMYSOEARX-UHFFFAOYSA-N 0 0 443.478 -0.672 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnc(N(C)C)nc3)CC2)cs1 ZINC000501485536 1071659080 /nfs/dbraw/zinc/65/90/80/1071659080.db2.gz ZGOBCUNLEHXUSE-UHFFFAOYSA-N 0 0 439.523 -0.221 20 0 IBADRN O=C(NC[C@H](CO)[C@H]1CCOC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000501488394 1071658662 /nfs/dbraw/zinc/65/86/62/1071658662.db2.gz DRDOZRMZYHQODY-HZMVEIRTSA-N 0 0 431.489 -0.217 20 0 IBADRN O=C(NC[C@@H](CO)[C@@H]1CCOC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000501488396 1071658623 /nfs/dbraw/zinc/65/86/23/1071658623.db2.gz DRDOZRMZYHQODY-NCOADZHNSA-N 0 0 431.489 -0.217 20 0 IBADRN O=C(NC[C@H](CO)[C@@H]1CCOC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000501488398 1071658482 /nfs/dbraw/zinc/65/84/82/1071658482.db2.gz DRDOZRMZYHQODY-VQHPVUNQSA-N 0 0 431.489 -0.217 20 0 IBADRN O=C(NC[C@@H](CO)[C@H]1CCOC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000501488400 1071658618 /nfs/dbraw/zinc/65/86/18/1071658618.db2.gz DRDOZRMZYHQODY-YVSFHVDLSA-N 0 0 431.489 -0.217 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NS(=O)(=O)C3CN(C(=O)c4ccccc4)C3)cn2)CC1 ZINC000501502717 1071659041 /nfs/dbraw/zinc/65/90/41/1071659041.db2.gz ZKUSFRSCQNZIPL-UHFFFAOYSA-N 0 0 446.533 -0.077 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)Nc2cnn(CC(=O)OC)c2C)ccc1F ZINC000501502834 1071659152 /nfs/dbraw/zinc/65/91/52/1071659152.db2.gz ZVQABFIZBONOFO-UHFFFAOYSA-N 0 0 427.414 -0.011 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(CC(=O)N2CCC[C@H](C(N)=O)C2)CC1 ZINC000501503105 1071659025 /nfs/dbraw/zinc/65/90/25/1071659025.db2.gz KICOAMOVYXLHLB-HNNXBMFYSA-N 0 0 427.527 -0.288 20 0 IBADRN COc1ccc(OC)c(CN2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC000501510572 1071659120 /nfs/dbraw/zinc/65/91/20/1071659120.db2.gz FNDSOMIOKLMRDK-UHFFFAOYSA-N 0 0 440.566 -0.075 20 0 IBADRN CCOC(=O)c1cc(F)c(OC)c(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000501514915 1071659718 /nfs/dbraw/zinc/65/97/18/1071659718.db2.gz ZXNBEQCCNOBKSR-UHFFFAOYSA-N 0 0 425.460 -0.479 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC000501515908 1071659702 /nfs/dbraw/zinc/65/97/02/1071659702.db2.gz HWOULWNJVIGKPG-GOSISDBHSA-N 0 0 427.479 -0.222 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC000501515909 1071659622 /nfs/dbraw/zinc/65/96/22/1071659622.db2.gz HWOULWNJVIGKPG-SFHVURJKSA-N 0 0 427.479 -0.222 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CCCN(c4cnn(C)c4)C3=O)CC2)C[C@@H](C)O1 ZINC000501518810 1071659090 /nfs/dbraw/zinc/65/90/90/1071659090.db2.gz BYVZCOKDVOIQDO-JFIYKMOQSA-N 0 0 440.570 -0.113 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CCCN(c4cnn(C)c4)C3=O)CC2)C[C@H](C)O1 ZINC000501518812 1071658987 /nfs/dbraw/zinc/65/89/87/1071658987.db2.gz BYVZCOKDVOIQDO-JZXOWHBKSA-N 0 0 440.570 -0.113 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CCCN(c4cnn(C)c4)C3=O)CC2)C[C@@H](C)O1 ZINC000501518815 1071659008 /nfs/dbraw/zinc/65/90/08/1071659008.db2.gz BYVZCOKDVOIQDO-NUJGCVRESA-N 0 0 440.570 -0.113 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CCCN(c4cnn(C)c4)C3=O)CC2)C[C@H](C)O1 ZINC000501518817 1071659077 /nfs/dbraw/zinc/65/90/77/1071659077.db2.gz BYVZCOKDVOIQDO-SOLBZPMBSA-N 0 0 440.570 -0.113 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)nn1 ZINC000501518869 1071659136 /nfs/dbraw/zinc/65/91/36/1071659136.db2.gz CFQICOZXTPFRBF-UHFFFAOYSA-N 0 0 438.391 -0.066 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)CC1 ZINC000501519789 1071659094 /nfs/dbraw/zinc/65/90/94/1071659094.db2.gz MWBSZINTJFNSLL-UHFFFAOYSA-N 0 0 444.536 -0.393 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)cn1 ZINC000501523355 1071659100 /nfs/dbraw/zinc/65/91/00/1071659100.db2.gz SMEIAMODVOISEW-AWEZNQCLSA-N 0 0 428.449 -0.078 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)cn1 ZINC000501523357 1071659112 /nfs/dbraw/zinc/65/91/12/1071659112.db2.gz SMEIAMODVOISEW-CQSZACIVSA-N 0 0 428.449 -0.078 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cnc(N(C)C)nc2)cc1 ZINC000501523417 1071659037 /nfs/dbraw/zinc/65/90/37/1071659037.db2.gz SXVBWGSCKUCMJD-UHFFFAOYSA-N 0 0 436.494 -0.278 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1 ZINC000501525600 1071659636 /nfs/dbraw/zinc/65/96/36/1071659636.db2.gz PKIGXSWLZKCDKP-UHFFFAOYSA-N 0 0 445.563 -0.175 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C[C@@H](C)O1 ZINC000501529247 1071659669 /nfs/dbraw/zinc/65/96/69/1071659669.db2.gz AMGCKGIVEDYDHQ-ATNYBXOESA-N 0 0 445.472 -0.189 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C[C@@H](C)O1 ZINC000501529248 1071659612 /nfs/dbraw/zinc/65/96/12/1071659612.db2.gz AMGCKGIVEDYDHQ-BASYENTBSA-N 0 0 445.472 -0.189 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)C[C@@H](C)O1 ZINC000501529249 1071659761 /nfs/dbraw/zinc/65/97/61/1071659761.db2.gz AMGCKGIVEDYDHQ-LDDOYCOJSA-N 0 0 445.472 -0.189 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)C[C@@H](C)O1 ZINC000501529250 1071659641 /nfs/dbraw/zinc/65/96/41/1071659641.db2.gz AMGCKGIVEDYDHQ-ZXFNITATSA-N 0 0 445.472 -0.189 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000501531348 1071659690 /nfs/dbraw/zinc/65/96/90/1071659690.db2.gz DVJDYDYSVBDLNH-QLFBSQMISA-N 0 0 435.502 -0.333 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000501531361 1071659741 /nfs/dbraw/zinc/65/97/41/1071659741.db2.gz DVJDYDYSVBDLNH-RBSFLKMASA-N 0 0 435.502 -0.333 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000501531363 1071659706 /nfs/dbraw/zinc/65/97/06/1071659706.db2.gz DVJDYDYSVBDLNH-RRFJBIMHSA-N 0 0 435.502 -0.333 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)C1 ZINC000501531365 1071659745 /nfs/dbraw/zinc/65/97/45/1071659745.db2.gz DVJDYDYSVBDLNH-SOUVJXGZSA-N 0 0 435.502 -0.333 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000501532325 1071660293 /nfs/dbraw/zinc/66/02/93/1071660293.db2.gz GQHGBCYJBSUNTK-GDBMZVCRSA-N 0 0 440.522 -0.165 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000501532327 1071660182 /nfs/dbraw/zinc/66/01/82/1071660182.db2.gz GQHGBCYJBSUNTK-GOEBONIOSA-N 0 0 440.522 -0.165 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000501532328 1071660302 /nfs/dbraw/zinc/66/03/02/1071660302.db2.gz GQHGBCYJBSUNTK-HOCLYGCPSA-N 0 0 440.522 -0.165 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000501532330 1071660206 /nfs/dbraw/zinc/66/02/06/1071660206.db2.gz GQHGBCYJBSUNTK-ZBFHGGJFSA-N 0 0 440.522 -0.165 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000501532918 1071660243 /nfs/dbraw/zinc/66/02/43/1071660243.db2.gz PHAYJCYWBFCIDS-AWEZNQCLSA-N 0 0 448.517 -0.300 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000501532923 1071660286 /nfs/dbraw/zinc/66/02/86/1071660286.db2.gz PHAYJCYWBFCIDS-CQSZACIVSA-N 0 0 448.517 -0.300 20 0 IBADRN O=C(CN1CCSC[C@@H]1CO)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000501534283 1071660122 /nfs/dbraw/zinc/66/01/22/1071660122.db2.gz CWFCVRNEAAUNNN-INIZCTEOSA-N 0 0 429.564 -0.267 20 0 IBADRN O=C(CN1CCSC[C@H]1CO)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000501534289 1071660226 /nfs/dbraw/zinc/66/02/26/1071660226.db2.gz CWFCVRNEAAUNNN-MRXNPFEDSA-N 0 0 429.564 -0.267 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000501534844 1071660215 /nfs/dbraw/zinc/66/02/15/1071660215.db2.gz LBEGTAQRHCHDFH-ABAIWWIYSA-N 0 0 447.535 -0.129 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000501534846 1071660279 /nfs/dbraw/zinc/66/02/79/1071660279.db2.gz LBEGTAQRHCHDFH-IAQYHMDHSA-N 0 0 447.535 -0.129 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000501534849 1071660275 /nfs/dbraw/zinc/66/02/75/1071660275.db2.gz LBEGTAQRHCHDFH-NHYWBVRUSA-N 0 0 447.535 -0.129 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000501534852 1071660839 /nfs/dbraw/zinc/66/08/39/1071660839.db2.gz LBEGTAQRHCHDFH-XHDPSFHLSA-N 0 0 447.535 -0.129 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000501538708 1071660775 /nfs/dbraw/zinc/66/07/75/1071660775.db2.gz HASOZEFTPXNXIU-HNNXBMFYSA-N 0 0 442.563 -0.774 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000501538709 1071660759 /nfs/dbraw/zinc/66/07/59/1071660759.db2.gz HASOZEFTPXNXIU-OAHLLOKOSA-N 0 0 442.563 -0.774 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)nn1 ZINC000501541464 1071660820 /nfs/dbraw/zinc/66/08/20/1071660820.db2.gz RVGYTELRPSFMAG-UHFFFAOYSA-N 0 0 442.429 -0.791 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H](C)O)CC2)nc([C@H](C)N2CCN(C(=O)[C@@H](C)O)CC2)n1 ZINC000501541903 1071660807 /nfs/dbraw/zinc/66/08/07/1071660807.db2.gz PKUSBAUKCNAGQV-BBWFWOEESA-N 0 0 434.541 -0.600 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H](C)O)CC2)nc([C@@H](C)N2CCN(C(=O)[C@H](C)O)CC2)n1 ZINC000501541905 1071660843 /nfs/dbraw/zinc/66/08/43/1071660843.db2.gz PKUSBAUKCNAGQV-IKGGRYGDSA-N 0 0 434.541 -0.600 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H](C)O)CC2)nc([C@H](C)N2CCN(C(=O)[C@H](C)O)CC2)n1 ZINC000501541907 1071660713 /nfs/dbraw/zinc/66/07/13/1071660713.db2.gz PKUSBAUKCNAGQV-ULQDDVLXSA-N 0 0 434.541 -0.600 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H](C)O)CC2)nc([C@@H](C)N2CCN(C(=O)[C@@H](C)O)CC2)n1 ZINC000501541909 1071660766 /nfs/dbraw/zinc/66/07/66/1071660766.db2.gz PKUSBAUKCNAGQV-ZACQAIPSSA-N 0 0 434.541 -0.600 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000501543344 1071660769 /nfs/dbraw/zinc/66/07/69/1071660769.db2.gz WHCQZDOLWMNBCP-KBPBESRZSA-N 0 0 435.502 -0.285 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000501543391 1071660790 /nfs/dbraw/zinc/66/07/90/1071660790.db2.gz WHCQZDOLWMNBCP-KGLIPLIRSA-N 0 0 435.502 -0.285 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000501543393 1071660823 /nfs/dbraw/zinc/66/08/23/1071660823.db2.gz WHCQZDOLWMNBCP-UONOGXRCSA-N 0 0 435.502 -0.285 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000501543395 1071660724 /nfs/dbraw/zinc/66/07/24/1071660724.db2.gz WHCQZDOLWMNBCP-ZIAGYGMSSA-N 0 0 435.502 -0.285 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)nn1 ZINC000501544062 1071660825 /nfs/dbraw/zinc/66/08/25/1071660825.db2.gz XPGCAIRZNMTCKW-UHFFFAOYSA-N 0 0 433.400 -0.122 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CC(=O)N[C@H](C)C(=O)N(C)C)CC2)c1 ZINC000501547303 1071659605 /nfs/dbraw/zinc/65/96/05/1071659605.db2.gz BVUOAVSCKSDSBZ-GFCCVEGCSA-N 0 0 446.551 -0.566 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC2)c1 ZINC000501547304 1071659724 /nfs/dbraw/zinc/65/97/24/1071659724.db2.gz BVUOAVSCKSDSBZ-LBPRGKRZSA-N 0 0 446.551 -0.566 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)Cc2sccc2C(=O)N2CCN(C[C@H](C)O)CC2)CC1 ZINC000501553913 1071661501 /nfs/dbraw/zinc/66/15/01/1071661501.db2.gz CNTBTZFZPXCQRQ-IRXDYDNUSA-N 0 0 438.594 -0.046 20 0 IBADRN C[C@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(=O)N(C)C ZINC000501556420 1071661526 /nfs/dbraw/zinc/66/15/26/1071661526.db2.gz XOZCWOIJSFRIQB-AWEZNQCLSA-N 0 0 440.522 -0.643 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(=O)N(C)C ZINC000501556421 1071661531 /nfs/dbraw/zinc/66/15/31/1071661531.db2.gz XOZCWOIJSFRIQB-CQSZACIVSA-N 0 0 440.522 -0.643 20 0 IBADRN COC(=O)c1cnc(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1 ZINC000501557269 1071661537 /nfs/dbraw/zinc/66/15/37/1071661537.db2.gz UKEAYMCSLFARRP-UHFFFAOYSA-N 0 0 434.430 -0.163 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000501573289 1071661982 /nfs/dbraw/zinc/66/19/82/1071661982.db2.gz HJESLWMEBLGWKD-UHFFFAOYSA-N 0 0 444.853 -0.280 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C(=O)C(=O)N(C)C3=O)cc2)C[C@H](C)O1 ZINC000501574560 1071661991 /nfs/dbraw/zinc/66/19/91/1071661991.db2.gz QDVOGSGMXXPJNO-RYUDHWBXSA-N 0 0 438.462 -0.156 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C(=O)C(=O)N(C)C3=O)cc2)C[C@H](C)O1 ZINC000501574561 1071662055 /nfs/dbraw/zinc/66/20/55/1071662055.db2.gz QDVOGSGMXXPJNO-TXEJJXNPSA-N 0 0 438.462 -0.156 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C(=O)C(=O)N(C)C3=O)cc2)C[C@@H](C)O1 ZINC000501574562 1071662059 /nfs/dbraw/zinc/66/20/59/1071662059.db2.gz QDVOGSGMXXPJNO-VXGBXAGGSA-N 0 0 438.462 -0.156 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C1=O ZINC000501575717 1071662099 /nfs/dbraw/zinc/66/20/99/1071662099.db2.gz PUASZNLBYFXQPT-UHFFFAOYSA-N 0 0 428.854 -0.407 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000501576169 1071662116 /nfs/dbraw/zinc/66/21/16/1071662116.db2.gz SGEXWROVQXKOON-UHFFFAOYSA-N 0 0 440.434 -0.925 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)C1=O ZINC000501576327 1071662027 /nfs/dbraw/zinc/66/20/27/1071662027.db2.gz FGUQRLIQVSYUDC-UHFFFAOYSA-N 0 0 444.853 -0.280 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000501577085 1074337019 /nfs/dbraw/zinc/33/70/19/1074337019.db2.gz HYEGUSJPCPAQJA-UHFFFAOYSA-N 0 0 440.482 -0.821 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)C(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000501578876 1071662867 /nfs/dbraw/zinc/66/28/67/1071662867.db2.gz KYSVNHFZTMOIEH-UHFFFAOYSA-N 0 0 440.434 -0.925 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1C ZINC000501579818 1071662922 /nfs/dbraw/zinc/66/29/22/1071662922.db2.gz MJHSVONFEMXTOI-HNNXBMFYSA-N 0 0 447.583 -0.331 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1C ZINC000501579819 1071662798 /nfs/dbraw/zinc/66/27/98/1071662798.db2.gz MJHSVONFEMXTOI-OAHLLOKOSA-N 0 0 447.583 -0.331 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000501582867 1071661533 /nfs/dbraw/zinc/66/15/33/1071661533.db2.gz VHHRBDWLIPQZTJ-GFCCVEGCSA-N 0 0 436.581 -0.094 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000501582868 1071661494 /nfs/dbraw/zinc/66/14/94/1071661494.db2.gz VHHRBDWLIPQZTJ-LBPRGKRZSA-N 0 0 436.581 -0.094 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2cccc3nsnc32)CC1)C(=O)N(C)C ZINC000501583139 1071661488 /nfs/dbraw/zinc/66/14/88/1071661488.db2.gz WAAGEHYEABFYCQ-GFCCVEGCSA-N 0 0 440.551 -0.410 20 0 IBADRN C[C@H](NC(=O)CN1CCN(S(=O)(=O)c2cccc3nsnc32)CC1)C(=O)N(C)C ZINC000501583141 1071661458 /nfs/dbraw/zinc/66/14/58/1071661458.db2.gz WAAGEHYEABFYCQ-LBPRGKRZSA-N 0 0 440.551 -0.410 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000501589709 1071661956 /nfs/dbraw/zinc/66/19/56/1071661956.db2.gz OCVRSUJKCBGSER-CYBMUJFWSA-N 0 0 437.565 -0.077 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000501589710 1071662109 /nfs/dbraw/zinc/66/21/09/1071662109.db2.gz OCVRSUJKCBGSER-ZDUSSCGKSA-N 0 0 437.565 -0.077 20 0 IBADRN NC(=O)[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)C1 ZINC000501595090 1071662818 /nfs/dbraw/zinc/66/28/18/1071662818.db2.gz RDFCYXBYQBGDIV-CYBMUJFWSA-N 0 0 430.477 -0.005 20 0 IBADRN NC(=O)[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)C1 ZINC000501595091 1071662762 /nfs/dbraw/zinc/66/27/62/1071662762.db2.gz RDFCYXBYQBGDIV-ZDUSSCGKSA-N 0 0 430.477 -0.005 20 0 IBADRN COc1ccc(CC(=O)N2CC(=O)NCC2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000501599895 1071663376 /nfs/dbraw/zinc/66/33/76/1071663376.db2.gz FMTWKBNFJKSJJL-UHFFFAOYSA-N 0 0 425.507 -0.004 20 0 IBADRN CCN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)Nc1ccccc1OC ZINC000501605360 1071662838 /nfs/dbraw/zinc/66/28/38/1071662838.db2.gz OCWBDUCECJGHPY-CYBMUJFWSA-N 0 0 426.495 -0.032 20 0 IBADRN CCN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)Nc1ccccc1OC ZINC000501605363 1071662690 /nfs/dbraw/zinc/66/26/90/1071662690.db2.gz OCWBDUCECJGHPY-ZDUSSCGKSA-N 0 0 426.495 -0.032 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000501606483 1071662607 /nfs/dbraw/zinc/66/26/07/1071662607.db2.gz NZHILJGITWYMQL-UHFFFAOYSA-N 0 0 448.505 -0.182 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CCNS(=O)(=O)c2cccc(C(C)=O)c2)C1=O ZINC000501613889 1071663962 /nfs/dbraw/zinc/66/39/62/1071663962.db2.gz FKOKAZXZTWWNDL-INIZCTEOSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CCNS(=O)(=O)c2cccc(C(C)=O)c2)C1=O ZINC000501613890 1071663987 /nfs/dbraw/zinc/66/39/87/1071663987.db2.gz FKOKAZXZTWWNDL-MRXNPFEDSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)C1=O ZINC000501615214 1071663955 /nfs/dbraw/zinc/66/39/55/1071663955.db2.gz LDOZWMILCGEFIZ-GFCCVEGCSA-N 0 0 431.442 -0.696 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)C1=O ZINC000501615215 1071663795 /nfs/dbraw/zinc/66/37/95/1071663795.db2.gz LDOZWMILCGEFIZ-LBPRGKRZSA-N 0 0 431.442 -0.696 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)C1=O ZINC000501618824 1071663811 /nfs/dbraw/zinc/66/38/11/1071663811.db2.gz VIIOYMXEDRMWQN-AWEZNQCLSA-N 0 0 426.429 -0.096 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)C1=O ZINC000501618826 1071663982 /nfs/dbraw/zinc/66/39/82/1071663982.db2.gz VIIOYMXEDRMWQN-CQSZACIVSA-N 0 0 426.429 -0.096 20 0 IBADRN Cn1c2ncn(CCC(=O)NCC(=O)N[C@H]3CCOc4ccccc43)c2c(=O)n(C)c1=O ZINC000501631759 1071663941 /nfs/dbraw/zinc/66/39/41/1071663941.db2.gz XITKGJCFRJKJNY-AWEZNQCLSA-N 0 0 440.460 -0.420 20 0 IBADRN Cn1c2ncn(CCC(=O)NCC(=O)N[C@@H]3CCOc4ccccc43)c2c(=O)n(C)c1=O ZINC000501631761 1071663854 /nfs/dbraw/zinc/66/38/54/1071663854.db2.gz XITKGJCFRJKJNY-CQSZACIVSA-N 0 0 440.460 -0.420 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000501634100 1071664459 /nfs/dbraw/zinc/66/44/59/1071664459.db2.gz WXZVRKOYPLAPJQ-CYBMUJFWSA-N 0 0 445.519 -0.339 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000501634103 1071664581 /nfs/dbraw/zinc/66/45/81/1071664581.db2.gz WXZVRKOYPLAPJQ-ZDUSSCGKSA-N 0 0 445.519 -0.339 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)C1=O ZINC000501643213 1071664536 /nfs/dbraw/zinc/66/45/36/1071664536.db2.gz IEOWXRAUHGAIEM-HNNXBMFYSA-N 0 0 434.493 -0.116 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)C1=O ZINC000501643222 1071664573 /nfs/dbraw/zinc/66/45/73/1071664573.db2.gz IEOWXRAUHGAIEM-OAHLLOKOSA-N 0 0 434.493 -0.116 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000501646266 1071663831 /nfs/dbraw/zinc/66/38/31/1071663831.db2.gz KLGJRNHRLKSRSA-HNNXBMFYSA-N 0 0 427.479 -0.495 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)cc1 ZINC000501646271 1071663995 /nfs/dbraw/zinc/66/39/95/1071663995.db2.gz KLGJRNHRLKSRSA-OAHLLOKOSA-N 0 0 427.479 -0.495 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2nc(C)n(-c3nc(C(F)(F)F)n[nH]3)n2)C1=O ZINC000501648808 1071665131 /nfs/dbraw/zinc/66/51/31/1071665131.db2.gz ITAVVTLLFTYGRV-MRVPVSSYSA-N 0 0 430.347 -0.394 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2nc(C)n(-c3nc(C(F)(F)F)n[nH]3)n2)C1=O ZINC000501648809 1071664983 /nfs/dbraw/zinc/66/49/83/1071664983.db2.gz ITAVVTLLFTYGRV-QMMMGPOBSA-N 0 0 430.347 -0.394 20 0 IBADRN Cc1ccc(CCC(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000501655275 1071665036 /nfs/dbraw/zinc/66/50/36/1071665036.db2.gz GVYCJNDEASJSOG-UHFFFAOYSA-N 0 0 438.550 -0.235 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000501658280 1071664952 /nfs/dbraw/zinc/66/49/52/1071664952.db2.gz NPONIZXLBSRLHW-IXDOHACOSA-N 0 0 443.508 -0.137 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000501658283 1071664923 /nfs/dbraw/zinc/66/49/23/1071664923.db2.gz NPONIZXLBSRLHW-YESZJQIVSA-N 0 0 443.508 -0.137 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Cn1c(=O)c2ccc(C(F)(F)F)nc2n(C)c1=O ZINC000501667434 1071665672 /nfs/dbraw/zinc/66/56/72/1071665672.db2.gz JJNFXWURTBBXBX-UHFFFAOYSA-N 0 0 443.382 -0.569 20 0 IBADRN Cn1c2ncn(CC(=O)NCC(=O)N[C@@H]3CCOc4ccccc43)c2c(=O)n(C)c1=O ZINC000501669625 1071665824 /nfs/dbraw/zinc/66/58/24/1071665824.db2.gz WMYCQKMQBYJKCD-CYBMUJFWSA-N 0 0 426.433 -0.810 20 0 IBADRN Cn1c2ncn(CC(=O)NCC(=O)N[C@H]3CCOc4ccccc43)c2c(=O)n(C)c1=O ZINC000501669626 1071665639 /nfs/dbraw/zinc/66/56/39/1071665639.db2.gz WMYCQKMQBYJKCD-ZDUSSCGKSA-N 0 0 426.433 -0.810 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)cc1 ZINC000501671233 1071665717 /nfs/dbraw/zinc/66/57/17/1071665717.db2.gz BBBVLJOZBURQTQ-UHFFFAOYSA-N 0 0 430.508 -0.358 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000501672870 1071665659 /nfs/dbraw/zinc/66/56/59/1071665659.db2.gz DXTGOQJWCLFXBY-UHFFFAOYSA-N 0 0 433.552 -0.077 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)CC2)C1=O ZINC000501673485 1071665736 /nfs/dbraw/zinc/66/57/36/1071665736.db2.gz IXLOQALLCGQDDT-UHFFFAOYSA-N 0 0 442.519 -0.168 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)CC2)C1=O ZINC000501673504 1071665706 /nfs/dbraw/zinc/66/57/06/1071665706.db2.gz JBHSSJMDZHUJPO-UHFFFAOYSA-N 0 0 442.519 -0.168 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCC(=O)N[C@H]2CCOc3ccccc32)c(=O)n(C)c1=O ZINC000501676017 1071665780 /nfs/dbraw/zinc/66/57/80/1071665780.db2.gz BQOJCAXTDVEMER-HNNXBMFYSA-N 0 0 425.445 -0.205 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCC(=O)N[C@@H]2CCOc3ccccc32)c(=O)n(C)c1=O ZINC000501676018 1071665833 /nfs/dbraw/zinc/66/58/33/1071665833.db2.gz BQOJCAXTDVEMER-OAHLLOKOSA-N 0 0 425.445 -0.205 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)c1 ZINC000501678799 1071665848 /nfs/dbraw/zinc/66/58/48/1071665848.db2.gz YBBBCULEXHYYSG-UHFFFAOYSA-N 0 0 439.446 -0.035 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)CCO ZINC000501681350 1071665064 /nfs/dbraw/zinc/66/50/64/1071665064.db2.gz IQZCOIDMBXYJGY-KRWDZBQOSA-N 0 0 427.523 -0.087 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)CCO ZINC000501681351 1071665684 /nfs/dbraw/zinc/66/56/84/1071665684.db2.gz IQZCOIDMBXYJGY-QGZVFWFLSA-N 0 0 427.523 -0.087 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000501682800 1071665814 /nfs/dbraw/zinc/66/58/14/1071665814.db2.gz ZSGAIBYWOPPAJH-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000501684488 1071665840 /nfs/dbraw/zinc/66/58/40/1071665840.db2.gz UTAFAJWPXBNRQG-FGTMMUONSA-N 0 0 428.599 -0.246 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000501684492 1071665806 /nfs/dbraw/zinc/66/58/06/1071665806.db2.gz UTAFAJWPXBNRQG-KSZLIROESA-N 0 0 428.599 -0.246 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000501684493 1071665726 /nfs/dbraw/zinc/66/57/26/1071665726.db2.gz UTAFAJWPXBNRQG-KURKYZTESA-N 0 0 428.599 -0.246 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000501684495 1071665693 /nfs/dbraw/zinc/66/56/93/1071665693.db2.gz UTAFAJWPXBNRQG-KZNAEPCWSA-N 0 0 428.599 -0.246 20 0 IBADRN CN(CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O)[C@@H]1CCS(=O)(=O)C1 ZINC000501685039 1071665745 /nfs/dbraw/zinc/66/57/45/1071665745.db2.gz WMGDJXGTDQFGKZ-CYBMUJFWSA-N 0 0 447.535 -0.530 20 0 IBADRN CN(CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O)[C@H]1CCS(=O)(=O)C1 ZINC000501685043 1071665766 /nfs/dbraw/zinc/66/57/66/1071665766.db2.gz WMGDJXGTDQFGKZ-ZDUSSCGKSA-N 0 0 447.535 -0.530 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)cn1 ZINC000501687001 1071665791 /nfs/dbraw/zinc/66/57/91/1071665791.db2.gz GZODGQKFIDOHHZ-UHFFFAOYSA-N 0 0 442.505 -0.105 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCc3ccccn3)CC2)cn1C ZINC000501705308 1071666247 /nfs/dbraw/zinc/66/62/47/1071666247.db2.gz IBQWUNFGVZJEPD-UHFFFAOYSA-N 0 0 434.522 -0.295 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)c3cn(CC(=O)N(C)C)nn3)CC2)c(OC)c1 ZINC000501706323 1071666213 /nfs/dbraw/zinc/66/62/13/1071666213.db2.gz XVMSIVHFUFSGCJ-UHFFFAOYSA-N 0 0 430.465 -0.018 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)c1 ZINC000501707447 1071666145 /nfs/dbraw/zinc/66/61/45/1071666145.db2.gz CALLMYRTDZDOGW-UHFFFAOYSA-N 0 0 438.444 -0.331 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)cn1 ZINC000501707746 1071666070 /nfs/dbraw/zinc/66/60/70/1071666070.db2.gz DRORBCVDOQLWIU-UHFFFAOYSA-N 0 0 425.493 -0.058 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCc3ccccn3)CC2)o1 ZINC000501711613 1071666935 /nfs/dbraw/zinc/66/69/35/1071666935.db2.gz GPQYUKOJDMBTQH-UHFFFAOYSA-N 0 0 449.489 -0.645 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000501711896 1071666036 /nfs/dbraw/zinc/66/60/36/1071666036.db2.gz XIBWKXXJGNOTEZ-INIZCTEOSA-N 0 0 425.559 -0.288 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000501711897 1071666133 /nfs/dbraw/zinc/66/61/33/1071666133.db2.gz XIBWKXXJGNOTEZ-MRXNPFEDSA-N 0 0 425.559 -0.288 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)cn1 ZINC000501720594 1071666268 /nfs/dbraw/zinc/66/62/68/1071666268.db2.gz SYDNXLITCUFOKV-UHFFFAOYSA-N 0 0 439.542 -0.254 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccsc2CC(=O)N2CCN(CCO)[C@@H](C)C2)CCN1CCO ZINC000501721069 1071666177 /nfs/dbraw/zinc/66/61/77/1071666177.db2.gz CVSDIQDFLVLYJD-DLBZAZTESA-N 0 0 438.594 -0.046 20 0 IBADRN C[C@@H]1CN(C(=O)Cc2sccc2C(=O)N2CCN(CCO)[C@H](C)C2)CCN1CCO ZINC000501721075 1071666106 /nfs/dbraw/zinc/66/61/06/1071666106.db2.gz CVSDIQDFLVLYJD-IAGOWNOFSA-N 0 0 438.594 -0.046 20 0 IBADRN C[C@H]1CN(C(=O)Cc2sccc2C(=O)N2CCN(CCO)[C@@H](C)C2)CCN1CCO ZINC000501721078 1071666159 /nfs/dbraw/zinc/66/61/59/1071666159.db2.gz CVSDIQDFLVLYJD-IRXDYDNUSA-N 0 0 438.594 -0.046 20 0 IBADRN C[C@@H]1CN(C(=O)Cc2sccc2C(=O)N2CCN(CCO)[C@@H](C)C2)CCN1CCO ZINC000501721080 1071666087 /nfs/dbraw/zinc/66/60/87/1071666087.db2.gz CVSDIQDFLVLYJD-SJORKVTESA-N 0 0 438.594 -0.046 20 0 IBADRN O=C(N[C@H]1CCCN(c2ncccn2)C1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000501721610 1071666056 /nfs/dbraw/zinc/66/60/56/1071666056.db2.gz SPOLOCZFILHPBP-INIZCTEOSA-N 0 0 444.517 -0.004 20 0 IBADRN O=C(N[C@@H]1CCCN(c2ncccn2)C1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000501721617 1071666202 /nfs/dbraw/zinc/66/62/02/1071666202.db2.gz SPOLOCZFILHPBP-MRXNPFEDSA-N 0 0 444.517 -0.004 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cnn1CC(C)C ZINC000501723953 1071666832 /nfs/dbraw/zinc/66/68/32/1071666832.db2.gz MFYGSMAWAAKRKJ-INIZCTEOSA-N 0 0 425.555 -0.091 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cnn1CC(C)C ZINC000501723954 1071666946 /nfs/dbraw/zinc/66/69/46/1071666946.db2.gz MFYGSMAWAAKRKJ-MRXNPFEDSA-N 0 0 425.555 -0.091 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000501737008 1071666908 /nfs/dbraw/zinc/66/69/08/1071666908.db2.gz UCFWMGLGXWNOMJ-FGTMMUONSA-N 0 0 446.504 -0.888 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000501737009 1071666952 /nfs/dbraw/zinc/66/69/52/1071666952.db2.gz UCFWMGLGXWNOMJ-OKZBNKHCSA-N 0 0 446.504 -0.888 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000501752933 1071666853 /nfs/dbraw/zinc/66/68/53/1071666853.db2.gz JPGQKPLMLVQIDF-GOSISDBHSA-N 0 0 445.520 -0.186 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000501753343 1071666891 /nfs/dbraw/zinc/66/68/91/1071666891.db2.gz JPGQKPLMLVQIDF-SFHVURJKSA-N 0 0 445.520 -0.186 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000501753476 1071666843 /nfs/dbraw/zinc/66/68/43/1071666843.db2.gz ZGTCUYJLAXILGN-HNNXBMFYSA-N 0 0 442.538 -0.600 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000501753477 1071666878 /nfs/dbraw/zinc/66/68/78/1071666878.db2.gz ZGTCUYJLAXILGN-OAHLLOKOSA-N 0 0 442.538 -0.600 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCCO ZINC000501753588 1071666921 /nfs/dbraw/zinc/66/69/21/1071666921.db2.gz QAEGSORGEYPRIT-KRWDZBQOSA-N 0 0 427.523 -0.040 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCCO ZINC000501753591 1071666970 /nfs/dbraw/zinc/66/69/70/1071666970.db2.gz QAEGSORGEYPRIT-QGZVFWFLSA-N 0 0 427.523 -0.040 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(CC(=O)N2CCC[C@H](C(N)=O)C2)CC1 ZINC000501753798 1071666732 /nfs/dbraw/zinc/66/67/32/1071666732.db2.gz GQSPFEJGSJQXDJ-INIZCTEOSA-N 0 0 429.587 -0.163 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(CC(=O)N2CCC[C@@H](C(N)=O)C2)CC1 ZINC000501753799 1071666827 /nfs/dbraw/zinc/66/68/27/1071666827.db2.gz GQSPFEJGSJQXDJ-MRXNPFEDSA-N 0 0 429.587 -0.163 20 0 IBADRN CNC(=O)c1cccc(CCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000501757815 1071667539 /nfs/dbraw/zinc/66/75/39/1071667539.db2.gz FDADBIGCGDXJSJ-UHFFFAOYSA-N 0 0 437.566 -0.385 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NC[C@@H](C)O ZINC000501768821 1071666955 /nfs/dbraw/zinc/66/69/55/1071666955.db2.gz WNUKPQYNCSVQRW-PBHICJAKSA-N 0 0 427.523 -0.041 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NC[C@@H](C)O ZINC000501768822 1071666869 /nfs/dbraw/zinc/66/68/69/1071666869.db2.gz WNUKPQYNCSVQRW-RHSMWYFYSA-N 0 0 427.523 -0.041 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NC[C@H](C)O ZINC000501768823 1071666747 /nfs/dbraw/zinc/66/67/47/1071666747.db2.gz WNUKPQYNCSVQRW-WMLDXEAASA-N 0 0 427.523 -0.041 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NC[C@H](C)O ZINC000501768824 1071667428 /nfs/dbraw/zinc/66/74/28/1071667428.db2.gz WNUKPQYNCSVQRW-YOEHRIQHSA-N 0 0 427.523 -0.041 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)NCCOCCO ZINC000501769485 1071667527 /nfs/dbraw/zinc/66/75/27/1071667527.db2.gz LFZBOUSRKXLQAZ-UHFFFAOYSA-N 0 0 427.479 -0.106 20 0 IBADRN O=C(N[C@H]1CCCN(c2ncccn2)C1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000501773472 1071667392 /nfs/dbraw/zinc/66/73/92/1071667392.db2.gz FDTPOTHMOPNDDZ-INIZCTEOSA-N 0 0 444.517 -0.004 20 0 IBADRN O=C(N[C@@H]1CCCN(c2ncccn2)C1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000501773476 1071667351 /nfs/dbraw/zinc/66/73/51/1071667351.db2.gz FDTPOTHMOPNDDZ-MRXNPFEDSA-N 0 0 444.517 -0.004 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCCCCC2)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC000501773969 1071667437 /nfs/dbraw/zinc/66/74/37/1071667437.db2.gz HEAMHCFSWZISFF-UHFFFAOYSA-N 0 0 441.598 -0.194 20 0 IBADRN Cn1c(CCC(=O)NCCOCCO)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000501775742 1071667380 /nfs/dbraw/zinc/66/73/80/1071667380.db2.gz XBSCSDVDVXEGHQ-UHFFFAOYSA-N 0 0 440.522 -0.348 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCN([C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000501775770 1071667515 /nfs/dbraw/zinc/66/75/15/1071667515.db2.gz XFMCHJISAJJFGF-IBGZPJMESA-N 0 0 429.521 -0.426 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCN([C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000501775782 1071667316 /nfs/dbraw/zinc/66/73/16/1071667316.db2.gz XFMCHJISAJJFGF-LJQANCHMSA-N 0 0 429.521 -0.426 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN([C@H]3CCCN(Cc4ccccc4)C3=O)CC2)C1=O ZINC000501790339 1071667568 /nfs/dbraw/zinc/66/75/68/1071667568.db2.gz RCIYIMFAAAULDS-KRWDZBQOSA-N 0 0 441.488 -0.258 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN([C@@H]3CCCN(Cc4ccccc4)C3=O)CC2)C1=O ZINC000501790363 1071667486 /nfs/dbraw/zinc/66/74/86/1071667486.db2.gz RCIYIMFAAAULDS-QGZVFWFLSA-N 0 0 441.488 -0.258 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN([C@H]3CCCN(Cc4ccccc4)C3=O)CC2)c1=O ZINC000501792028 1071667333 /nfs/dbraw/zinc/66/73/33/1071667333.db2.gz TUFIIRAVLABDOL-IBGZPJMESA-N 0 0 439.516 -0.118 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN([C@@H]3CCCN(Cc4ccccc4)C3=O)CC2)c1=O ZINC000501792029 1071667402 /nfs/dbraw/zinc/66/74/02/1071667402.db2.gz TUFIIRAVLABDOL-LJQANCHMSA-N 0 0 439.516 -0.118 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN([C@@H]3CCCN(Cc4ccccc4)C3=O)CC2)nn1 ZINC000501793767 1071667450 /nfs/dbraw/zinc/66/74/50/1071667450.db2.gz JMLFDCDGRRTEJJ-GOSISDBHSA-N 0 0 425.493 -0.288 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN([C@H]3CCCN(Cc4ccccc4)C3=O)CC2)nn1 ZINC000501793770 1071668032 /nfs/dbraw/zinc/66/80/32/1071668032.db2.gz JMLFDCDGRRTEJJ-SFHVURJKSA-N 0 0 425.493 -0.288 20 0 IBADRN Cc1c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cccc1N1C(=O)CCNC1=O ZINC000501799059 1071667971 /nfs/dbraw/zinc/66/79/71/1071667971.db2.gz FRHUZUYGSYIVBP-UHFFFAOYSA-N 0 0 439.432 -0.173 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC2)c1 ZINC000501801894 1071667992 /nfs/dbraw/zinc/66/79/92/1071667992.db2.gz GUYUJKSWSHQMQV-AWEZNQCLSA-N 0 0 442.538 -0.397 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(CC(=O)N[C@H](C)C(=O)N(C)C)CC2)c1 ZINC000501801897 1071668130 /nfs/dbraw/zinc/66/81/30/1071668130.db2.gz GUYUJKSWSHQMQV-CQSZACIVSA-N 0 0 442.538 -0.397 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000501803603 1071668146 /nfs/dbraw/zinc/66/81/46/1071668146.db2.gz PFOUHVSCLRCIBC-QGZVFWFLSA-N 0 0 440.570 -0.447 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H](O)CN2CCOCC2)C(C)C)cc1 ZINC000501808502 1071667410 /nfs/dbraw/zinc/66/74/10/1071667410.db2.gz PBTDPAOSXUXZMZ-QAPCUYQASA-N 0 0 429.539 -0.193 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@H](O)CN2CCOCC2)C(C)C)cc1 ZINC000501808630 1071667362 /nfs/dbraw/zinc/66/73/62/1071667362.db2.gz PBTDPAOSXUXZMZ-YJBOKZPZSA-N 0 0 429.539 -0.193 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NCCCN1CCCCCC1=O ZINC000501808981 1071667295 /nfs/dbraw/zinc/66/72/95/1071667295.db2.gz GMHVMWHVEMMTNZ-UHFFFAOYSA-N 0 0 445.586 -0.910 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NCCN1CCc2ccccc21 ZINC000501811715 1071667502 /nfs/dbraw/zinc/66/75/02/1071667502.db2.gz ZPWBQVURKCCQSM-UHFFFAOYSA-N 0 0 437.566 -0.640 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](c2nc(C(F)(F)F)cn2C)C1)S(N)(=O)=O ZINC000501816080 1071668124 /nfs/dbraw/zinc/66/81/24/1071668124.db2.gz DYANYBVIAGTHOC-NXEZZACHSA-N 0 0 425.433 -0.062 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](c2nc(C(F)(F)F)cn2C)C1)S(N)(=O)=O ZINC000501816081 1071668111 /nfs/dbraw/zinc/66/81/11/1071668111.db2.gz DYANYBVIAGTHOC-UWVGGRQHSA-N 0 0 425.433 -0.062 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](c2nc(C(F)(F)F)cn2C)C1)S(N)(=O)=O ZINC000501816082 1071668577 /nfs/dbraw/zinc/66/85/77/1071668577.db2.gz DYANYBVIAGTHOC-VHSXEESVSA-N 0 0 425.433 -0.062 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](c2nc(C(F)(F)F)cn2C)C1)S(N)(=O)=O ZINC000501816083 1071668767 /nfs/dbraw/zinc/66/87/67/1071668767.db2.gz DYANYBVIAGTHOC-ZJUUUORDSA-N 0 0 425.433 -0.062 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000501816685 1071668040 /nfs/dbraw/zinc/66/80/40/1071668040.db2.gz WNJAICIFITUPKP-KRWDZBQOSA-N 0 0 426.481 -0.109 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000501816688 1071668103 /nfs/dbraw/zinc/66/81/03/1071668103.db2.gz WNJAICIFITUPKP-QGZVFWFLSA-N 0 0 426.481 -0.109 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCN([C@H]2CCCN(Cc3ccccc3)C2=O)CC1)C(N)=O ZINC000501818615 1071668198 /nfs/dbraw/zinc/66/81/98/1071668198.db2.gz BLCBWPLMTADDPK-OALUTQOASA-N 0 0 429.521 -0.298 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCN([C@@H]2CCCN(Cc3ccccc3)C2=O)CC1)C(N)=O ZINC000501818623 1071668191 /nfs/dbraw/zinc/66/81/91/1071668191.db2.gz BLCBWPLMTADDPK-RBUKOAKNSA-N 0 0 429.521 -0.298 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CNS(=O)(=O)c2ccc(C(C)=O)cc2)C1=O ZINC000501825937 1071668163 /nfs/dbraw/zinc/66/81/63/1071668163.db2.gz MIELYLQAAQXIBA-HNNXBMFYSA-N 0 0 425.463 -0.552 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CNS(=O)(=O)c2ccc(C(C)=O)cc2)C1=O ZINC000501825938 1071668070 /nfs/dbraw/zinc/66/80/70/1071668070.db2.gz MIELYLQAAQXIBA-OAHLLOKOSA-N 0 0 425.463 -0.552 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CCNS(=O)(=O)c2ccc(C(C)=O)cc2)C1=O ZINC000501826960 1071668097 /nfs/dbraw/zinc/66/80/97/1071668097.db2.gz OOIVLYLUHNFHBA-INIZCTEOSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CCNS(=O)(=O)c2ccc(C(C)=O)cc2)C1=O ZINC000501826963 1071668135 /nfs/dbraw/zinc/66/81/35/1071668135.db2.gz OOIVLYLUHNFHBA-MRXNPFEDSA-N 0 0 439.490 -0.162 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H](O)CN2CCOCC2)cc1 ZINC000501828464 1071668009 /nfs/dbraw/zinc/66/80/09/1071668009.db2.gz QNPFUXZMKQVXTF-BBRMVZONSA-N 0 0 428.511 -0.879 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H](O)CN2CCOCC2)cc1 ZINC000501828477 1071668048 /nfs/dbraw/zinc/66/80/48/1071668048.db2.gz QNPFUXZMKQVXTF-XJKSGUPXSA-N 0 0 428.511 -0.879 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@H](O)CN1CCOCC1 ZINC000501831605 1071668080 /nfs/dbraw/zinc/66/80/80/1071668080.db2.gz RDMLPCHQUUNIQT-GJZGRUSLSA-N 0 0 443.522 -0.676 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@@H](O)CN1CCOCC1 ZINC000501831609 1071668169 /nfs/dbraw/zinc/66/81/69/1071668169.db2.gz RDMLPCHQUUNIQT-LSDHHAIUSA-N 0 0 443.522 -0.676 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000501839510 1071668777 /nfs/dbraw/zinc/66/87/77/1071668777.db2.gz QCSPVLDSXGYEGJ-UHFFFAOYSA-N 0 0 438.444 -0.361 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000501842778 1071668587 /nfs/dbraw/zinc/66/85/87/1071668587.db2.gz IWQTWTWCNIDIQR-UHFFFAOYSA-N 0 0 438.444 -0.361 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)cn1C ZINC000501843194 1071668794 /nfs/dbraw/zinc/66/87/94/1071668794.db2.gz SKIDCGCKAWSJSE-UHFFFAOYSA-N 0 0 436.494 -0.518 20 0 IBADRN O=C(c1ccc(=O)[nH]c1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000501846092 1072426248 /nfs/dbraw/zinc/42/62/48/1072426248.db2.gz VPYNRCURJZXOHT-KRWDZBQOSA-N 0 0 431.493 -0.748 20 0 IBADRN O=C(c1ccc(=O)[nH]c1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000501846093 1072426259 /nfs/dbraw/zinc/42/62/59/1072426259.db2.gz VPYNRCURJZXOHT-QGZVFWFLSA-N 0 0 431.493 -0.748 20 0 IBADRN O=C(CN1CCN(C2CC2)C(=O)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000501846883 1071668735 /nfs/dbraw/zinc/66/87/35/1071668735.db2.gz AZQRCZAPSCLPAN-UHFFFAOYSA-N 0 0 436.534 -0.370 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000501848700 1071668641 /nfs/dbraw/zinc/66/86/41/1071668641.db2.gz FRRYPLPZPGTABK-UHFFFAOYSA-N 0 0 437.585 -0.432 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000501849317 1071668611 /nfs/dbraw/zinc/66/86/11/1071668611.db2.gz IWVMNLSNEYSZQT-BRWVUGGUSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000501849320 1071668706 /nfs/dbraw/zinc/66/87/06/1071668706.db2.gz IWVMNLSNEYSZQT-IKGGRYGDSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000501849322 1071668755 /nfs/dbraw/zinc/66/87/55/1071668755.db2.gz IWVMNLSNEYSZQT-YESZJQIVSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000501849324 1071668674 /nfs/dbraw/zinc/66/86/74/1071668674.db2.gz IWVMNLSNEYSZQT-ZACQAIPSSA-N 0 0 433.575 -0.612 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)N1CCC(C(=O)N2CCCC2)CC1 ZINC000501851336 1071668600 /nfs/dbraw/zinc/66/86/00/1071668600.db2.gz ROOGEACHXCGBNZ-UHFFFAOYSA-N 0 0 435.569 -0.676 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCC(CNS(C)(=O)=O)CC2)C1=O ZINC000501855838 1071668627 /nfs/dbraw/zinc/66/86/27/1071668627.db2.gz PHUMBAXFSGCCHT-HNNXBMFYSA-N 0 0 426.543 -0.118 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCC(CNS(C)(=O)=O)CC2)C1=O ZINC000501855839 1071668774 /nfs/dbraw/zinc/66/87/74/1071668774.db2.gz PHUMBAXFSGCCHT-OAHLLOKOSA-N 0 0 426.543 -0.118 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1 ZINC000501856586 1071668560 /nfs/dbraw/zinc/66/85/60/1071668560.db2.gz SOAWPPPEGNMJTK-UHFFFAOYSA-N 0 0 448.501 -0.866 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1 ZINC000501856605 1071668742 /nfs/dbraw/zinc/66/87/42/1071668742.db2.gz JXLGEPHMIHLEAH-UHFFFAOYSA-N 0 0 436.534 -0.683 20 0 IBADRN O=C(c1ccccc1)N1CC(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000501858163 1071669309 /nfs/dbraw/zinc/66/93/09/1071669309.db2.gz UFAFSQPEYIABNX-INIZCTEOSA-N 0 0 427.548 -0.355 20 0 IBADRN O=C(c1ccccc1)N1CC(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000501858167 1071669210 /nfs/dbraw/zinc/66/92/10/1071669210.db2.gz UFAFSQPEYIABNX-MRXNPFEDSA-N 0 0 427.548 -0.355 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC000501858600 1071669340 /nfs/dbraw/zinc/66/93/40/1071669340.db2.gz ZILCNRIJCBXABT-AWEZNQCLSA-N 0 0 429.520 -0.924 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC000501858607 1071669326 /nfs/dbraw/zinc/66/93/26/1071669326.db2.gz ZILCNRIJCBXABT-CQSZACIVSA-N 0 0 429.520 -0.924 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC000501859358 1071669378 /nfs/dbraw/zinc/66/93/78/1071669378.db2.gz XDZVVWGNPUGZPV-HNNXBMFYSA-N 0 0 443.547 -0.534 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC000501859359 1071669296 /nfs/dbraw/zinc/66/92/96/1071669296.db2.gz XDZVVWGNPUGZPV-OAHLLOKOSA-N 0 0 443.547 -0.534 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)NCC3(O)CCS(=O)(=O)CC3)C2)nc1 ZINC000501859379 1071669244 /nfs/dbraw/zinc/66/92/44/1071669244.db2.gz XGKNEDXPZXGDGE-UHFFFAOYSA-N 0 0 426.495 -0.314 20 0 IBADRN CCN(C(=O)Cn1nc(C)c(S(=O)(=O)N2CCOCC2)c1C)[C@H]1CCS(=O)(=O)C1 ZINC000501861368 1071669227 /nfs/dbraw/zinc/66/92/27/1071669227.db2.gz IDEQMJSFDPRSMY-HNNXBMFYSA-N 0 0 448.567 -0.444 20 0 IBADRN CCN(C(=O)Cn1nc(C)c(S(=O)(=O)N2CCOCC2)c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000501861372 1071669387 /nfs/dbraw/zinc/66/93/87/1071669387.db2.gz IDEQMJSFDPRSMY-OAHLLOKOSA-N 0 0 448.567 -0.444 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)CC1 ZINC000501868471 1071668693 /nfs/dbraw/zinc/66/86/93/1071668693.db2.gz FWIGCMXOIOFAQO-KRWDZBQOSA-N 0 0 429.587 -0.196 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)CC1 ZINC000501868476 1071669373 /nfs/dbraw/zinc/66/93/73/1071669373.db2.gz FWIGCMXOIOFAQO-QGZVFWFLSA-N 0 0 429.587 -0.196 20 0 IBADRN O=C(CN1CCN(C(=O)NCc2ccnc(N3CCOCC3)c2)CC1)N1CCOCC1 ZINC000501869165 1071669318 /nfs/dbraw/zinc/66/93/18/1071669318.db2.gz HCWOUAWCZHDBII-UHFFFAOYSA-N 0 0 432.525 -0.396 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(C3CC3)C(=O)C2)c(=O)[nH]c1=O ZINC000501869629 1071669169 /nfs/dbraw/zinc/66/91/69/1071669169.db2.gz JEELNPJUQFHNFF-UHFFFAOYSA-N 0 0 436.513 -0.383 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C3CC3)C(=O)C2)CC1 ZINC000501872583 1071669148 /nfs/dbraw/zinc/66/91/48/1071669148.db2.gz KOEBEVLBONLWRF-UHFFFAOYSA-N 0 0 425.511 -0.569 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)CCN3CCCS3(=O)=O)c2)CC1 ZINC000501873229 1071669360 /nfs/dbraw/zinc/66/93/60/1071669360.db2.gz LPHOYINVPZHMMK-UHFFFAOYSA-N 0 0 430.552 -0.013 20 0 IBADRN O=C(CN1CCN(C2CC2)C(=O)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000501874581 1071669274 /nfs/dbraw/zinc/66/92/74/1071669274.db2.gz SBNZAVDCUAUEKA-UHFFFAOYSA-N 0 0 436.534 -0.370 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C4CC4)C(=O)C3)CC2)cc1 ZINC000501875474 1071669844 /nfs/dbraw/zinc/66/98/44/1071669844.db2.gz VQGLQCWCZDNKEU-UHFFFAOYSA-N 0 0 436.534 -0.165 20 0 IBADRN COCCN(C(=O)CN1CCN(C2CC2)C(=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000501877617 1071669723 /nfs/dbraw/zinc/66/97/23/1071669723.db2.gz STIQRGDHEDHURW-UHFFFAOYSA-N 0 0 436.513 -0.527 20 0 IBADRN O=C(CN1CCN(C(=O)NCc2cccnc2N2CCOCC2)CC1)N1CCOCC1 ZINC000501879725 1071669818 /nfs/dbraw/zinc/66/98/18/1071669818.db2.gz FRXPBPSWKCRMAP-UHFFFAOYSA-N 0 0 432.525 -0.396 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1)N1CCCC1 ZINC000501879823 1071669694 /nfs/dbraw/zinc/66/96/94/1071669694.db2.gz IMYBSEYPOVQYRV-UHFFFAOYSA-N 0 0 434.518 -0.393 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)nn1 ZINC000501879993 1071669859 /nfs/dbraw/zinc/66/98/59/1071669859.db2.gz OAQYKFDWWWYLPJ-UHFFFAOYSA-N 0 0 435.510 -0.445 20 0 IBADRN O=C(CCNC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1)N[C@@H]1CCS(=O)(=O)C1 ZINC000501880619 1071669756 /nfs/dbraw/zinc/66/97/56/1071669756.db2.gz LAXIQZKSPBWEJQ-CHWSQXEVSA-N 0 0 449.576 -0.289 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1)N[C@@H]1CCS(=O)(=O)C1 ZINC000501880620 1071669776 /nfs/dbraw/zinc/66/97/76/1071669776.db2.gz LAXIQZKSPBWEJQ-OLZOCXBDSA-N 0 0 449.576 -0.289 20 0 IBADRN O=C(CCNC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1)N[C@H]1CCS(=O)(=O)C1 ZINC000501880621 1071669797 /nfs/dbraw/zinc/66/97/97/1071669797.db2.gz LAXIQZKSPBWEJQ-QWHCGFSZSA-N 0 0 449.576 -0.289 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1)N[C@H]1CCS(=O)(=O)C1 ZINC000501880622 1071669740 /nfs/dbraw/zinc/66/97/40/1071669740.db2.gz LAXIQZKSPBWEJQ-STQMWFEESA-N 0 0 449.576 -0.289 20 0 IBADRN CNC(=O)c1ccn([C@H]2CCCN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC)c3)C2)n1 ZINC000501881117 1071669767 /nfs/dbraw/zinc/66/97/67/1071669767.db2.gz JNBYTTQPXDUZPY-AWEZNQCLSA-N 0 0 448.505 -0.047 20 0 IBADRN CNC(=O)c1ccn([C@@H]2CCCN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC)c3)C2)n1 ZINC000501881118 1071669907 /nfs/dbraw/zinc/66/99/07/1071669907.db2.gz JNBYTTQPXDUZPY-CQSZACIVSA-N 0 0 448.505 -0.047 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC000501881991 1071669801 /nfs/dbraw/zinc/66/98/01/1071669801.db2.gz ODJWGYJLNXZGKD-UHFFFAOYSA-N 0 0 425.507 -0.352 20 0 IBADRN O=C(CCNS(=O)(=O)C1CN(C(=O)c2ccccc2)C1)N[C@H]1CCS(=O)(=O)C1 ZINC000501883380 1071669901 /nfs/dbraw/zinc/66/99/01/1071669901.db2.gz UIGFVTZZRQWMFC-AWEZNQCLSA-N 0 0 429.520 -0.876 20 0 IBADRN O=C(CCNS(=O)(=O)C1CN(C(=O)c2ccccc2)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC000501883385 1071669832 /nfs/dbraw/zinc/66/98/32/1071669832.db2.gz UIGFVTZZRQWMFC-CQSZACIVSA-N 0 0 429.520 -0.876 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000501886887 1071669871 /nfs/dbraw/zinc/66/98/71/1071669871.db2.gz IDCQNAZMFFVJTQ-CHWSQXEVSA-N 0 0 449.576 -0.337 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000501886888 1071669887 /nfs/dbraw/zinc/66/98/87/1071669887.db2.gz IDCQNAZMFFVJTQ-OLZOCXBDSA-N 0 0 449.576 -0.337 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000501886889 1071669712 /nfs/dbraw/zinc/66/97/12/1071669712.db2.gz IDCQNAZMFFVJTQ-QWHCGFSZSA-N 0 0 449.576 -0.337 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000501886890 1071670502 /nfs/dbraw/zinc/67/05/02/1071670502.db2.gz IDCQNAZMFFVJTQ-STQMWFEESA-N 0 0 449.576 -0.337 20 0 IBADRN CN(CC(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)[C@H]1CCS(=O)(=O)C1 ZINC000501889527 1071669354 /nfs/dbraw/zinc/66/93/54/1071669354.db2.gz UZMDSNOVGPKZEP-FHWLQOOXSA-N 0 0 434.562 -0.180 20 0 IBADRN CN(CC(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)[C@H]1CCS(=O)(=O)C1 ZINC000501889528 1071669259 /nfs/dbraw/zinc/66/92/59/1071669259.db2.gz UZMDSNOVGPKZEP-GBESFXJTSA-N 0 0 434.562 -0.180 20 0 IBADRN CN(CC(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)[C@@H]1CCS(=O)(=O)C1 ZINC000501889529 1071669288 /nfs/dbraw/zinc/66/92/88/1071669288.db2.gz UZMDSNOVGPKZEP-IPMKNSEASA-N 0 0 434.562 -0.180 20 0 IBADRN CN(CC(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)[C@@H]1CCS(=O)(=O)C1 ZINC000501889530 1071669894 /nfs/dbraw/zinc/66/98/94/1071669894.db2.gz UZMDSNOVGPKZEP-OTWHNJEPSA-N 0 0 434.562 -0.180 20 0 IBADRN C[C@@H]1C[N@@H+](C[C@H]2CCCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C[C@H](C)O1 ZINC000501892344 1071670477 /nfs/dbraw/zinc/67/04/77/1071670477.db2.gz WYELELPFUHKTNB-OWCLPIDISA-N 0 0 432.525 -0.076 20 0 IBADRN C[C@@H]1C[N@@H+](C[C@@H]2CCCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C[C@H](C)O1 ZINC000501892345 1071670512 /nfs/dbraw/zinc/67/05/12/1071670512.db2.gz WYELELPFUHKTNB-XHSDSOJGSA-N 0 0 432.525 -0.076 20 0 IBADRN O=C(c1ccccc1)N1CC(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000501893891 1071670419 /nfs/dbraw/zinc/67/04/19/1071670419.db2.gz LMOFAHQHGYKIEB-INIZCTEOSA-N 0 0 445.563 -0.081 20 0 IBADRN O=C(c1ccccc1)N1CC(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000501893892 1071670449 /nfs/dbraw/zinc/67/04/49/1071670449.db2.gz LMOFAHQHGYKIEB-MRXNPFEDSA-N 0 0 445.563 -0.081 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1)N1CCOCC1 ZINC000501895708 1071670320 /nfs/dbraw/zinc/67/03/20/1071670320.db2.gz SMNUZYSJABUNDB-UHFFFAOYSA-N 0 0 436.534 -0.683 20 0 IBADRN O=C(c1ccccc1)N1CC(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000501896461 1071670570 /nfs/dbraw/zinc/67/05/70/1071670570.db2.gz ZTHRQZCKXRDVIK-UHFFFAOYSA-N 0 0 433.577 -0.191 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000501902469 1071670537 /nfs/dbraw/zinc/67/05/37/1071670537.db2.gz WPEOYGQUYLRWHL-UHFFFAOYSA-N 0 0 441.496 -0.544 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)no1 ZINC000501909472 1071670464 /nfs/dbraw/zinc/67/04/64/1071670464.db2.gz AYSNPTJCWKLOBM-GFCCVEGCSA-N 0 0 430.421 -0.316 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)no1 ZINC000501909474 1071670521 /nfs/dbraw/zinc/67/05/21/1071670521.db2.gz AYSNPTJCWKLOBM-LBPRGKRZSA-N 0 0 430.421 -0.316 20 0 IBADRN Cc1ccc(CCC(=O)NCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000501913204 1071670961 /nfs/dbraw/zinc/67/09/61/1071670961.db2.gz HXYLDOXIOTVPSB-UHFFFAOYSA-N 0 0 428.489 -0.136 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000501913705 1071671147 /nfs/dbraw/zinc/67/11/47/1071671147.db2.gz YLJMCLHERIEATR-HDMKZQKVSA-N 0 0 431.580 -0.654 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000501913718 1071671067 /nfs/dbraw/zinc/67/10/67/1071671067.db2.gz YLJMCLHERIEATR-IIDMSEBBSA-N 0 0 431.580 -0.654 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000501913722 1071671198 /nfs/dbraw/zinc/67/11/98/1071671198.db2.gz YLJMCLHERIEATR-RLFYNMQTSA-N 0 0 431.580 -0.654 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000501913726 1071671088 /nfs/dbraw/zinc/67/10/88/1071671088.db2.gz YLJMCLHERIEATR-RVKKMQEKSA-N 0 0 431.580 -0.654 20 0 IBADRN CCc1c(C(=O)N2CCOC[C@@H]2c2noc(COC)n2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000501913798 1071670558 /nfs/dbraw/zinc/67/05/58/1071670558.db2.gz KWVMZEPMVCAKFG-CYBMUJFWSA-N 0 0 444.448 -0.062 20 0 IBADRN CCc1c(C(=O)N2CCOC[C@H]2c2noc(COC)n2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000501913804 1071670977 /nfs/dbraw/zinc/67/09/77/1071670977.db2.gz KWVMZEPMVCAKFG-ZDUSSCGKSA-N 0 0 444.448 -0.062 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)no1 ZINC000501913996 1071670987 /nfs/dbraw/zinc/67/09/87/1071670987.db2.gz AWJBPOFSGXYDCB-CVEARBPZSA-N 0 0 437.497 -0.171 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)no1 ZINC000501913997 1071671100 /nfs/dbraw/zinc/67/11/00/1071671100.db2.gz AWJBPOFSGXYDCB-HOTGVXAUSA-N 0 0 437.497 -0.171 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)no1 ZINC000501913998 1071671185 /nfs/dbraw/zinc/67/11/85/1071671185.db2.gz AWJBPOFSGXYDCB-HZPDHXFCSA-N 0 0 437.497 -0.171 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)no1 ZINC000501913999 1071671159 /nfs/dbraw/zinc/67/11/59/1071671159.db2.gz AWJBPOFSGXYDCB-JKSUJKDBSA-N 0 0 437.497 -0.171 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc(N(C)C)nc2)CC1 ZINC000501918345 1071670564 /nfs/dbraw/zinc/67/05/64/1071670564.db2.gz HADCDMMIAXJINZ-UHFFFAOYSA-N 0 0 428.515 -0.620 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1cccc(S(=O)(=O)N3CCN(C)CC3)c1)c2=O ZINC000501919168 1071670333 /nfs/dbraw/zinc/67/03/33/1071670333.db2.gz AXIGLTQNWZOTDR-UHFFFAOYSA-N 0 0 445.505 -0.295 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)no1 ZINC000501924388 1071670553 /nfs/dbraw/zinc/67/05/53/1071670553.db2.gz OINKXEUPMOWFNJ-GFCCVEGCSA-N 0 0 430.421 -0.316 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)no1 ZINC000501924390 1071670527 /nfs/dbraw/zinc/67/05/27/1071670527.db2.gz OINKXEUPMOWFNJ-LBPRGKRZSA-N 0 0 430.421 -0.316 20 0 IBADRN CC(C)c1nc([C@H]2COCCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)no1 ZINC000501927683 1071670509 /nfs/dbraw/zinc/67/05/09/1071670509.db2.gz XTWMRSQPMMNQOM-GFCCVEGCSA-N 0 0 431.453 -0.070 20 0 IBADRN CC(C)c1nc([C@@H]2COCCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)no1 ZINC000501927685 1071670432 /nfs/dbraw/zinc/67/04/32/1071670432.db2.gz XTWMRSQPMMNQOM-LBPRGKRZSA-N 0 0 431.453 -0.070 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000501933892 1071671773 /nfs/dbraw/zinc/67/17/73/1071671773.db2.gz FTADKRFQCCJPSY-BETUJISGSA-N 0 0 440.522 -0.225 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC000501933895 1071671783 /nfs/dbraw/zinc/67/17/83/1071671783.db2.gz FTADKRFQCCJPSY-CHWSQXEVSA-N 0 0 440.522 -0.225 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N1C[C@@H](C)C[C@H](C)C1 ZINC000501933897 1071671696 /nfs/dbraw/zinc/67/16/96/1071671696.db2.gz FTADKRFQCCJPSY-STQMWFEESA-N 0 0 440.522 -0.225 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O ZINC000501934025 1071671721 /nfs/dbraw/zinc/67/17/21/1071671721.db2.gz HAPGMILJMDSHSM-HNNXBMFYSA-N 0 0 431.496 -0.728 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O ZINC000501934026 1071671799 /nfs/dbraw/zinc/67/17/99/1071671799.db2.gz HAPGMILJMDSHSM-OAHLLOKOSA-N 0 0 431.496 -0.728 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000501934397 1071671777 /nfs/dbraw/zinc/67/17/77/1071671777.db2.gz GIWQVNPSMDOCNM-UHFFFAOYSA-N 0 0 436.534 -0.235 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CNC(=O)COc1ccccc1 ZINC000501935222 1071671762 /nfs/dbraw/zinc/67/17/62/1071671762.db2.gz PCXAMXSBCOWHFD-UHFFFAOYSA-N 0 0 428.492 -0.253 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)c1 ZINC000501935238 1071671649 /nfs/dbraw/zinc/67/16/49/1071671649.db2.gz PPGBRIXIFHJAMY-UHFFFAOYSA-N 0 0 434.521 -0.226 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)cc1 ZINC000501935962 1071671733 /nfs/dbraw/zinc/67/17/33/1071671733.db2.gz VFUNSSYIAFIMHB-UHFFFAOYSA-N 0 0 434.521 -0.226 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)Cn1c(=O)[nH]c2ccccc2c1=O ZINC000501936007 1071671791 /nfs/dbraw/zinc/67/17/91/1071671791.db2.gz RUNLDGZLLZRGJN-UHFFFAOYSA-N 0 0 439.475 -0.333 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CCn1nc2ccccn2c1=O ZINC000501936649 1071671666 /nfs/dbraw/zinc/67/16/66/1071671666.db2.gz WNCCNFRJJGEIOS-UHFFFAOYSA-N 0 0 426.480 -0.549 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000501943826 1071671055 /nfs/dbraw/zinc/67/10/55/1071671055.db2.gz AFTIGQKYARYVFT-UHFFFAOYSA-N 0 0 433.552 -0.097 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2cnc(N(C)C)nc2)c1 ZINC000501945289 1071671121 /nfs/dbraw/zinc/67/11/21/1071671121.db2.gz FKAUOLMOADAQLE-UHFFFAOYSA-N 0 0 436.494 -0.278 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000501946072 1071671206 /nfs/dbraw/zinc/67/12/06/1071671206.db2.gz GIHRHCISCABQJT-UHFFFAOYSA-N 0 0 428.449 -0.071 20 0 IBADRN O=S(=O)(C1CCS(=O)(=O)CC1)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000501949960 1071671133 /nfs/dbraw/zinc/67/11/33/1071671133.db2.gz HVVSKGFOAVZYHJ-UHFFFAOYSA-N 0 0 434.561 -0.030 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CCn1nnc2ccccc2c1=O ZINC000501950730 1071670998 /nfs/dbraw/zinc/67/09/98/1071670998.db2.gz AZBIKFPIJLOTCA-UHFFFAOYSA-N 0 0 438.491 -0.253 20 0 IBADRN O=C(CN1CCN(C2CC2)C(=O)C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000501950973 1071671171 /nfs/dbraw/zinc/67/11/71/1071671171.db2.gz NGKWGDMJULNCDQ-IBGZPJMESA-N 0 0 428.489 -0.196 20 0 IBADRN O=C(CN1CCN(C2CC2)C(=O)C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000501950983 1071671581 /nfs/dbraw/zinc/67/15/81/1071671581.db2.gz NGKWGDMJULNCDQ-LJQANCHMSA-N 0 0 428.489 -0.196 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)C2)nn1 ZINC000501951029 1071671193 /nfs/dbraw/zinc/67/11/93/1071671193.db2.gz IYJWDIUVGIHZAE-UHFFFAOYSA-N 0 0 435.462 -0.217 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000501951701 1071671024 /nfs/dbraw/zinc/67/10/24/1071671024.db2.gz SSYUFZFUCXSZKY-UHFFFAOYSA-N 0 0 426.481 -0.269 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)COc2ccccc21 ZINC000501954123 1071672767 /nfs/dbraw/zinc/67/27/67/1071672767.db2.gz DDKJZFGDQCJPIE-UHFFFAOYSA-N 0 0 426.476 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1C(N)=O ZINC000501954763 1071672728 /nfs/dbraw/zinc/67/27/28/1071672728.db2.gz MGYDYSOYKOXCGL-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1C(N)=O ZINC000501954775 1071672876 /nfs/dbraw/zinc/67/28/76/1071672876.db2.gz MGYDYSOYKOXCGL-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC[C@@H]1c1noc(C(C)C)n1 ZINC000501955154 1071672836 /nfs/dbraw/zinc/67/28/36/1071672836.db2.gz ZFLFREDDUDFAFU-CYBMUJFWSA-N 0 0 431.515 -0.073 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC[C@H]1c1noc(C(C)C)n1 ZINC000501955155 1071672694 /nfs/dbraw/zinc/67/26/94/1071672694.db2.gz ZFLFREDDUDFAFU-ZDUSSCGKSA-N 0 0 431.515 -0.073 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCC(C(=O)N(CC(N)=O)CC(N)=O)CC2)cc1 ZINC000501955247 1071672820 /nfs/dbraw/zinc/67/28/20/1071672820.db2.gz GQYPEILIQFQOCX-UHFFFAOYSA-N 0 0 426.495 -0.715 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1C ZINC000501955348 1071672734 /nfs/dbraw/zinc/67/27/34/1071672734.db2.gz NBDAZFZNGSLZPT-AWEZNQCLSA-N 0 0 448.567 -0.458 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1C ZINC000501955354 1071672716 /nfs/dbraw/zinc/67/27/16/1071672716.db2.gz NBDAZFZNGSLZPT-CQSZACIVSA-N 0 0 448.567 -0.458 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1ccccc1 ZINC000501956001 1071673489 /nfs/dbraw/zinc/67/34/89/1071673489.db2.gz MNYXTUBVIJYBHC-UHFFFAOYSA-N 0 0 448.548 -0.080 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCNS(=O)(=O)c2sc(=O)[nH]c2C)c(C)o1 ZINC000501959488 1071671707 /nfs/dbraw/zinc/67/17/07/1071671707.db2.gz QHIGTCJVQVZAMF-UHFFFAOYSA-N 0 0 438.509 -0.325 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN(C)S(=O)(=O)c1ccccc1 ZINC000501960766 1071671614 /nfs/dbraw/zinc/67/16/14/1071671614.db2.gz UCQNDVMZRAJYPR-UHFFFAOYSA-N 0 0 448.548 -0.128 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1C ZINC000501961124 1071671594 /nfs/dbraw/zinc/67/15/94/1071671594.db2.gz VTEBVGJBPMJOKD-CYBMUJFWSA-N 0 0 435.524 -0.373 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1C ZINC000501961125 1071672212 /nfs/dbraw/zinc/67/22/12/1071672212.db2.gz VTEBVGJBPMJOKD-ZDUSSCGKSA-N 0 0 435.524 -0.373 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000501961570 1071671755 /nfs/dbraw/zinc/67/17/55/1071671755.db2.gz WQJNNXYNMHMNIL-UHFFFAOYSA-N 0 0 437.565 -0.668 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1C(N)=O ZINC000501961749 1071671716 /nfs/dbraw/zinc/67/17/16/1071671716.db2.gz XKXAUXYWZWLRKP-AWEZNQCLSA-N 0 0 447.535 -0.391 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1C(N)=O ZINC000501961750 1071671688 /nfs/dbraw/zinc/67/16/88/1071671688.db2.gz XKXAUXYWZWLRKP-CQSZACIVSA-N 0 0 447.535 -0.391 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)CCNS(=O)(=O)c1ccc(Cl)c(C(F)(F)F)c1 ZINC000501961823 1071671787 /nfs/dbraw/zinc/67/17/87/1071671787.db2.gz WZFNZOAXGZZDCR-UHFFFAOYSA-N 0 0 444.819 -0.174 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOC[C@@H]2c2noc(COC)n2)cc1 ZINC000501967387 1071672367 /nfs/dbraw/zinc/67/23/67/1071672367.db2.gz GPEAJQJTOPWBGN-CYBMUJFWSA-N 0 0 432.480 -0.114 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOC[C@H]2c2noc(COC)n2)cc1 ZINC000501967388 1071672324 /nfs/dbraw/zinc/67/23/24/1071672324.db2.gz GPEAJQJTOPWBGN-ZDUSSCGKSA-N 0 0 432.480 -0.114 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(NS(C)(=O)=O)cc3F)C2)nn1 ZINC000501968385 1071672405 /nfs/dbraw/zinc/67/24/05/1071672405.db2.gz BQRSGFOVVZAPEB-UHFFFAOYSA-N 0 0 433.443 -0.179 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3cccc(S(=O)(=O)N(C)C)c3)C2)nn1 ZINC000501968526 1071672186 /nfs/dbraw/zinc/67/21/86/1071672186.db2.gz CHVWPWZFBHLFJP-UHFFFAOYSA-N 0 0 429.480 -0.439 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501968672 1071672337 /nfs/dbraw/zinc/67/23/37/1071672337.db2.gz CYJPODDJVGMZLV-CABCVRRESA-N 0 0 439.556 -0.615 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501968675 1071672146 /nfs/dbraw/zinc/67/21/46/1071672146.db2.gz CYJPODDJVGMZLV-GJZGRUSLSA-N 0 0 439.556 -0.615 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501968677 1071672109 /nfs/dbraw/zinc/67/21/09/1071672109.db2.gz CYJPODDJVGMZLV-HUUCEWRRSA-N 0 0 439.556 -0.615 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501968679 1071672128 /nfs/dbraw/zinc/67/21/28/1071672128.db2.gz CYJPODDJVGMZLV-LSDHHAIUSA-N 0 0 439.556 -0.615 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2)nn1 ZINC000501968748 1071672360 /nfs/dbraw/zinc/67/23/60/1071672360.db2.gz DGPDGALVHLQBOT-UHFFFAOYSA-N 0 0 429.480 -0.770 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1F ZINC000501968848 1071672195 /nfs/dbraw/zinc/67/21/95/1071672195.db2.gz DVXQJOJOVMUBPS-UHFFFAOYSA-N 0 0 432.459 -0.477 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)c(OC)c1 ZINC000501969391 1071672386 /nfs/dbraw/zinc/67/23/86/1071672386.db2.gz HADGLZDADFUCIJ-UHFFFAOYSA-N 0 0 444.495 -0.607 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(NS(C)(=O)=O)c(F)c3)C2)nn1 ZINC000501969443 1071672372 /nfs/dbraw/zinc/67/23/72/1071672372.db2.gz OCWXBXHJDZOFDD-UHFFFAOYSA-N 0 0 433.443 -0.179 20 0 IBADRN O=S(=O)(c1ccc(-n2cnnn2)cc1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501969482 1071672401 /nfs/dbraw/zinc/67/24/01/1071672401.db2.gz OJBCLDFLJYQMFW-INIZCTEOSA-N 0 0 442.523 -0.523 20 0 IBADRN O=S(=O)(c1ccc(-n2cnnn2)cc1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501969490 1071672223 /nfs/dbraw/zinc/67/22/23/1071672223.db2.gz OJBCLDFLJYQMFW-MRXNPFEDSA-N 0 0 442.523 -0.523 20 0 IBADRN O=S(=O)(c1cnn([C@@H]2CCOC2)c1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501970366 1071672841 /nfs/dbraw/zinc/67/28/41/1071672841.db2.gz QLWJTVSRGUXHMD-CABCVRRESA-N 0 0 434.540 -0.340 20 0 IBADRN O=S(=O)(c1cnn([C@H]2CCOC2)c1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501970367 1071672741 /nfs/dbraw/zinc/67/27/41/1071672741.db2.gz QLWJTVSRGUXHMD-GJZGRUSLSA-N 0 0 434.540 -0.340 20 0 IBADRN O=S(=O)(c1cnn([C@@H]2CCOC2)c1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501970368 1071672704 /nfs/dbraw/zinc/67/27/04/1071672704.db2.gz QLWJTVSRGUXHMD-HUUCEWRRSA-N 0 0 434.540 -0.340 20 0 IBADRN O=S(=O)(c1cnn([C@H]2CCOC2)c1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501970369 1071672783 /nfs/dbraw/zinc/67/27/83/1071672783.db2.gz QLWJTVSRGUXHMD-LSDHHAIUSA-N 0 0 434.540 -0.340 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1C(=O)NCC(F)(F)F ZINC000501970502 1071672790 /nfs/dbraw/zinc/67/27/90/1071672790.db2.gz LVOFXZNWNTUUAA-UHFFFAOYSA-N 0 0 435.388 -0.747 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)C2)nn1 ZINC000501970657 1071672748 /nfs/dbraw/zinc/67/27/48/1071672748.db2.gz MJBQRIBISVWHQK-UHFFFAOYSA-N 0 0 426.480 -0.838 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1F ZINC000501971612 1071672757 /nfs/dbraw/zinc/67/27/57/1071672757.db2.gz OXJDDDCDZKQOJY-UHFFFAOYSA-N 0 0 446.486 -0.087 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@@H]1CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000501972830 1073460596 /nfs/dbraw/zinc/46/05/96/1073460596.db2.gz VQJXGJSYAYDBRA-CYBMUJFWSA-N 0 0 434.544 -0.631 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@H]1CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000501972831 1073460637 /nfs/dbraw/zinc/46/06/37/1073460637.db2.gz VQJXGJSYAYDBRA-ZDUSSCGKSA-N 0 0 434.544 -0.631 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000501974099 1071673877 /nfs/dbraw/zinc/67/38/77/1071673877.db2.gz ANKQLPNGBAVDOX-HNNXBMFYSA-N 0 0 439.556 -0.757 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000501974100 1071673848 /nfs/dbraw/zinc/67/38/48/1071673848.db2.gz ANKQLPNGBAVDOX-OAHLLOKOSA-N 0 0 439.556 -0.757 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501975749 1071673931 /nfs/dbraw/zinc/67/39/31/1071673931.db2.gz CFRDHVRRJIJTFL-GFCCVEGCSA-N 0 0 436.512 -0.599 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000501975750 1071673907 /nfs/dbraw/zinc/67/39/07/1071673907.db2.gz CFRDHVRRJIJTFL-LBPRGKRZSA-N 0 0 436.512 -0.599 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3cccc(C(=O)NCC(F)(F)F)c3)C2)nn1 ZINC000501976325 1071674001 /nfs/dbraw/zinc/67/40/01/1071674001.db2.gz YSZPIIYAMPVMIW-UHFFFAOYSA-N 0 0 432.384 -0.085 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)C2)nn1 ZINC000501976400 1071673818 /nfs/dbraw/zinc/67/38/18/1071673818.db2.gz XBSSNJJXIHEPSO-UHFFFAOYSA-N 0 0 441.491 -0.249 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)C2)nn1 ZINC000501978139 1071673481 /nfs/dbraw/zinc/67/34/81/1071673481.db2.gz ZLKYEGUQLQZPPQ-UHFFFAOYSA-N 0 0 426.480 -0.937 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000501978604 1071673399 /nfs/dbraw/zinc/67/33/99/1071673399.db2.gz LZJZJMAGPKGBRT-GHMZBOCLSA-N 0 0 430.508 -0.273 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000501978606 1071673509 /nfs/dbraw/zinc/67/35/09/1071673509.db2.gz LZJZJMAGPKGBRT-PHIMTYICSA-N 0 0 430.508 -0.273 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000501978608 1071673301 /nfs/dbraw/zinc/67/33/01/1071673301.db2.gz LZJZJMAGPKGBRT-QWRGUYRKSA-N 0 0 430.508 -0.273 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOC[C@@H]2c2noc(-c3ccccc3)n2)c(=O)n(C)c1=O ZINC000501982486 1071673469 /nfs/dbraw/zinc/67/34/69/1071673469.db2.gz KSLYHJPFCSIEFM-CYBMUJFWSA-N 0 0 433.446 -0.104 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOC[C@H]2c2noc(-c3ccccc3)n2)c(=O)n(C)c1=O ZINC000501982496 1071673457 /nfs/dbraw/zinc/67/34/57/1071673457.db2.gz KSLYHJPFCSIEFM-ZDUSSCGKSA-N 0 0 433.446 -0.104 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3cc(C(=O)OC)cc(C(=O)OC)c3)C2)nn1 ZINC000501983546 1071673569 /nfs/dbraw/zinc/67/35/69/1071673569.db2.gz MOHFIYFZJZUHOW-UHFFFAOYSA-N 0 0 438.418 -0.117 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1OC ZINC000501985985 1071673938 /nfs/dbraw/zinc/67/39/38/1071673938.db2.gz HJXSUTUXPXVQBI-UHFFFAOYSA-N 0 0 425.423 -0.574 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1cccs1 ZINC000501986487 1071673415 /nfs/dbraw/zinc/67/34/15/1071673415.db2.gz TXUIRQDOHLGJLF-UHFFFAOYSA-N 0 0 440.550 -0.408 20 0 IBADRN O=S(=O)(C1CN(S(=O)(=O)N2CCS(=O)(=O)CC2)C1)N1CCc2ccccc21 ZINC000501986798 1071673360 /nfs/dbraw/zinc/67/33/60/1071673360.db2.gz UOHZZYPDDVEDNO-UHFFFAOYSA-N 0 0 435.549 -0.962 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000501986950 1071673279 /nfs/dbraw/zinc/67/32/79/1071673279.db2.gz VBRGDBCEHGVIIM-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000501986958 1071673517 /nfs/dbraw/zinc/67/35/17/1071673517.db2.gz VBRGDBCEHGVIIM-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN CN(CCS(=O)(=O)N1CC(n2cc(C(N)=O)nn2)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000501987644 1071673896 /nfs/dbraw/zinc/67/38/96/1071673896.db2.gz KVWOPSILAAJVQG-UHFFFAOYSA-N 0 0 446.486 -0.977 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3cc(Cl)ccc3OCC(N)=O)C2)nn1 ZINC000501987778 1071673987 /nfs/dbraw/zinc/67/39/87/1071673987.db2.gz JWXNLKFVICCGCU-UHFFFAOYSA-N 0 0 429.842 -0.172 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)s1 ZINC000501988144 1071674006 /nfs/dbraw/zinc/67/40/06/1071674006.db2.gz LGOIHCCGKBCBHZ-UHFFFAOYSA-N 0 0 448.552 -0.834 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1F ZINC000501988152 1071673885 /nfs/dbraw/zinc/67/38/85/1071673885.db2.gz LHFNOTLXRRHHMV-UHFFFAOYSA-N 0 0 446.486 -0.088 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)C2)nn1 ZINC000501988330 1071673836 /nfs/dbraw/zinc/67/38/36/1071673836.db2.gz KPTFBHZFNZILQG-UHFFFAOYSA-N 0 0 426.480 -0.937 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3cc(C(=O)OC)ccc3C(=O)OC)C2)nn1 ZINC000501988679 1071673990 /nfs/dbraw/zinc/67/39/90/1071673990.db2.gz XOQYHQTXNJHZOP-UHFFFAOYSA-N 0 0 438.418 -0.117 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCC4)c3)C2)nn1 ZINC000501990063 1071676167 /nfs/dbraw/zinc/67/61/67/1071676167.db2.gz OSGINACSDYJNFV-UHFFFAOYSA-N 0 0 440.507 -0.593 20 0 IBADRN COCc1nc([C@@H]2COCCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)no1 ZINC000501990221 1071676139 /nfs/dbraw/zinc/67/61/39/1071676139.db2.gz QRUSFVYFAGUSBL-AWEZNQCLSA-N 0 0 446.507 -0.102 20 0 IBADRN COCc1nc([C@H]2COCCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)no1 ZINC000501990222 1071676124 /nfs/dbraw/zinc/67/61/24/1071676124.db2.gz QRUSFVYFAGUSBL-CQSZACIVSA-N 0 0 446.507 -0.102 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)cn1 ZINC000501990396 1071676161 /nfs/dbraw/zinc/67/61/61/1071676161.db2.gz PXSWKRSBJMVQSB-UHFFFAOYSA-N 0 0 440.503 -0.179 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)C2)nn1 ZINC000501991096 1071676177 /nfs/dbraw/zinc/67/61/77/1071676177.db2.gz UVFACOLDLPPRPC-UHFFFAOYSA-N 0 0 440.507 -0.593 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CC(n3cc(-c4ccccc4)nn3)C2)CC1 ZINC000501993400 1071673978 /nfs/dbraw/zinc/67/39/78/1071673978.db2.gz HHJKGIKNMFDLHM-UHFFFAOYSA-N 0 0 426.524 -0.376 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3cc4[nH]c(=O)[nH]c4cc3Br)C2)nn1 ZINC000501993654 1071673967 /nfs/dbraw/zinc/67/39/67/1071673967.db2.gz JLQBPHXAYFIGAN-UHFFFAOYSA-N 0 0 442.255 -0.033 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3cc(C(=O)OC)sc3C(=O)OC)C2)nn1 ZINC000501994156 1071674699 /nfs/dbraw/zinc/67/46/99/1071674699.db2.gz MBHGYXSXHZIIKA-UHFFFAOYSA-N 0 0 444.447 -0.055 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)cc2oc1=O ZINC000501995056 1071674622 /nfs/dbraw/zinc/67/46/22/1071674622.db2.gz RUVTTXSCUXBRQU-AWEZNQCLSA-N 0 0 445.519 -0.053 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)cc2oc1=O ZINC000501995057 1071674494 /nfs/dbraw/zinc/67/44/94/1071674494.db2.gz RUVTTXSCUXBRQU-CQSZACIVSA-N 0 0 445.519 -0.053 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000501995760 1071674645 /nfs/dbraw/zinc/67/46/45/1071674645.db2.gz ABPSHQLJMBVADE-DLBZAZTESA-N 0 0 443.497 -0.031 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000501995792 1071674674 /nfs/dbraw/zinc/67/46/74/1071674674.db2.gz ABPSHQLJMBVADE-IAGOWNOFSA-N 0 0 443.497 -0.031 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000501995794 1071674563 /nfs/dbraw/zinc/67/45/63/1071674563.db2.gz ABPSHQLJMBVADE-IRXDYDNUSA-N 0 0 443.497 -0.031 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000501995795 1071674637 /nfs/dbraw/zinc/67/46/37/1071674637.db2.gz ABPSHQLJMBVADE-SJORKVTESA-N 0 0 443.497 -0.031 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1C ZINC000501996246 1071674615 /nfs/dbraw/zinc/67/46/15/1071674615.db2.gz WWUVJZALARZOEL-CYBMUJFWSA-N 0 0 434.540 -0.800 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1C ZINC000501996247 1071674540 /nfs/dbraw/zinc/67/45/40/1071674540.db2.gz WWUVJZALARZOEL-ZDUSSCGKSA-N 0 0 434.540 -0.800 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CN1CCN(c2ccccn2)CC1 ZINC000501996559 1071674653 /nfs/dbraw/zinc/67/46/53/1071674653.db2.gz DAXDQKAOIPXFTO-UHFFFAOYSA-N 0 0 440.551 -0.231 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000501997194 1071674554 /nfs/dbraw/zinc/67/45/54/1071674554.db2.gz YLPHGKXMIPQBDW-UHFFFAOYSA-N 0 0 442.523 -0.347 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2=O)cc1 ZINC000501997303 1071674695 /nfs/dbraw/zinc/67/46/95/1071674695.db2.gz CPOCVOHLTDLAKZ-KRWDZBQOSA-N 0 0 445.520 -0.680 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2=O)cc1 ZINC000501997304 1071674594 /nfs/dbraw/zinc/67/45/94/1071674594.db2.gz CPOCVOHLTDLAKZ-QGZVFWFLSA-N 0 0 445.520 -0.680 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000501998030 1071674708 /nfs/dbraw/zinc/67/47/08/1071674708.db2.gz NGUCVEHAZUUMDX-MRVPVSSYSA-N 0 0 430.430 -0.038 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000501998033 1071674681 /nfs/dbraw/zinc/67/46/81/1071674681.db2.gz NGUCVEHAZUUMDX-QMMMGPOBSA-N 0 0 430.430 -0.038 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCOC[C@@H]2c2noc(COC)n2)c1 ZINC000501999676 1071674524 /nfs/dbraw/zinc/67/45/24/1071674524.db2.gz GWRCWZMBTHUBPR-CYBMUJFWSA-N 0 0 432.480 -0.114 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCOC[C@H]2c2noc(COC)n2)c1 ZINC000501999677 1071675029 /nfs/dbraw/zinc/67/50/29/1071675029.db2.gz GWRCWZMBTHUBPR-ZDUSSCGKSA-N 0 0 432.480 -0.114 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)N3CCCCC3)CC2)C[C@H](C)O1 ZINC000502000212 1071675144 /nfs/dbraw/zinc/67/51/44/1071675144.db2.gz CEEGRRPWHCCXPR-HOTGVXAUSA-N 0 0 431.559 -0.320 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)N3CCCCC3)CC2)C[C@@H](C)O1 ZINC000502000217 1071675098 /nfs/dbraw/zinc/67/50/98/1071675098.db2.gz CEEGRRPWHCCXPR-HZPDHXFCSA-N 0 0 431.559 -0.320 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)N3CCCCC3)CC2)C[C@H](C)O1 ZINC000502000218 1071675107 /nfs/dbraw/zinc/67/51/07/1071675107.db2.gz CEEGRRPWHCCXPR-IYBDPMFKSA-N 0 0 431.559 -0.320 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000502000261 1071675139 /nfs/dbraw/zinc/67/51/39/1071675139.db2.gz IADBPZXOEZRTGU-AWEZNQCLSA-N 0 0 433.508 -0.317 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000502000262 1071674998 /nfs/dbraw/zinc/67/49/98/1071674998.db2.gz IADBPZXOEZRTGU-CQSZACIVSA-N 0 0 433.508 -0.317 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1=O ZINC000502003099 1071675015 /nfs/dbraw/zinc/67/50/15/1071675015.db2.gz MZRFZXARIVDERR-GOSISDBHSA-N 0 0 446.504 -0.380 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1=O ZINC000502003100 1071675074 /nfs/dbraw/zinc/67/50/74/1071675074.db2.gz MZRFZXARIVDERR-SFHVURJKSA-N 0 0 446.504 -0.380 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)C2=O)cc1 ZINC000502003135 1071675128 /nfs/dbraw/zinc/67/51/28/1071675128.db2.gz NLBKBUHWAGQSPD-GOSISDBHSA-N 0 0 440.504 -0.020 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)C2=O)cc1 ZINC000502003136 1071674922 /nfs/dbraw/zinc/67/49/22/1071674922.db2.gz NLBKBUHWAGQSPD-SFHVURJKSA-N 0 0 440.504 -0.020 20 0 IBADRN CCOC(=O)c1cc(F)c(OC)c(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000502004264 1071674972 /nfs/dbraw/zinc/67/49/72/1071674972.db2.gz OSXIFLGXSOLHHU-UHFFFAOYSA-N 0 0 427.414 -0.053 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)C2=O)cc1 ZINC000502004326 1071674881 /nfs/dbraw/zinc/67/48/81/1071674881.db2.gz PXUAVJIMUJQSFM-AEFFLSMTSA-N 0 0 443.504 -0.025 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)C2=O)cc1 ZINC000502004327 1071675662 /nfs/dbraw/zinc/67/56/62/1071675662.db2.gz PXUAVJIMUJQSFM-FUHWJXTLSA-N 0 0 443.504 -0.025 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)C2=O)cc1 ZINC000502004328 1071675638 /nfs/dbraw/zinc/67/56/38/1071675638.db2.gz PXUAVJIMUJQSFM-SJLPKXTDSA-N 0 0 443.504 -0.025 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)C2=O)cc1 ZINC000502004329 1071675614 /nfs/dbraw/zinc/67/56/14/1071675614.db2.gz PXUAVJIMUJQSFM-WMZOPIPTSA-N 0 0 443.504 -0.025 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC(=O)N3CCCCC3)CC2)o1 ZINC000502004515 1071674986 /nfs/dbraw/zinc/67/49/86/1071674986.db2.gz FSMURBHMNORRCW-UHFFFAOYSA-N 0 0 427.483 -0.593 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(CCOc3ccccc3Cl)C2)CC1 ZINC000502004614 1071675034 /nfs/dbraw/zinc/67/50/34/1071675034.db2.gz GCZYDAWURXWKNS-CYBMUJFWSA-N 0 0 430.914 -0.085 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(CCOc3ccccc3Cl)C2)CC1 ZINC000502004620 1071675056 /nfs/dbraw/zinc/67/50/56/1071675056.db2.gz GCZYDAWURXWKNS-ZDUSSCGKSA-N 0 0 430.914 -0.085 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)[C@H](C)C1 ZINC000502005518 1071675669 /nfs/dbraw/zinc/67/56/69/1071675669.db2.gz YYGXOXJMMKNPAF-CVEARBPZSA-N 0 0 429.521 -0.253 20 0 IBADRN C[C@H](O)CN1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)[C@@H](C)C1 ZINC000502005519 1071675589 /nfs/dbraw/zinc/67/55/89/1071675589.db2.gz YYGXOXJMMKNPAF-HOTGVXAUSA-N 0 0 429.521 -0.253 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)[C@H](C)C1 ZINC000502005520 1071675682 /nfs/dbraw/zinc/67/56/82/1071675682.db2.gz YYGXOXJMMKNPAF-HZPDHXFCSA-N 0 0 429.521 -0.253 20 0 IBADRN C[C@@H](O)CN1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)[C@@H](C)C1 ZINC000502005521 1071675709 /nfs/dbraw/zinc/67/57/09/1071675709.db2.gz YYGXOXJMMKNPAF-JKSUJKDBSA-N 0 0 429.521 -0.253 20 0 IBADRN C[C@H](NC(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)C(=O)N1CCCCC1 ZINC000502005801 1071675534 /nfs/dbraw/zinc/67/55/34/1071675534.db2.gz PMTMYVLPAOXEKA-INIZCTEOSA-N 0 0 437.566 -0.027 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)C(=O)N1CCCCC1 ZINC000502005803 1071675571 /nfs/dbraw/zinc/67/55/71/1071675571.db2.gz PMTMYVLPAOXEKA-MRXNPFEDSA-N 0 0 437.566 -0.027 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000502006033 1071675621 /nfs/dbraw/zinc/67/56/21/1071675621.db2.gz BMNIECMHSBSERF-KBPBESRZSA-N 0 0 446.551 -0.405 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000502006034 1071675722 /nfs/dbraw/zinc/67/57/22/1071675722.db2.gz BMNIECMHSBSERF-KGLIPLIRSA-N 0 0 446.551 -0.405 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000502006035 1071675652 /nfs/dbraw/zinc/67/56/52/1071675652.db2.gz BMNIECMHSBSERF-UONOGXRCSA-N 0 0 446.551 -0.405 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000502006036 1071675577 /nfs/dbraw/zinc/67/55/77/1071675577.db2.gz BMNIECMHSBSERF-ZIAGYGMSSA-N 0 0 446.551 -0.405 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2nc3ncc(Br)cn3n2)CC1)N1CCCC1 ZINC000502006608 1071675646 /nfs/dbraw/zinc/67/56/46/1071675646.db2.gz LHTZVHBYTMDACD-UHFFFAOYSA-N 0 0 436.270 -0.206 20 0 IBADRN O=S(=O)(c1cccc(-n2cnnn2)c1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502006680 1071675564 /nfs/dbraw/zinc/67/55/64/1071675564.db2.gz UIVFBPAHNKPUET-INIZCTEOSA-N 0 0 442.523 -0.523 20 0 IBADRN O=S(=O)(c1cccc(-n2cnnn2)c1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502006683 1071675542 /nfs/dbraw/zinc/67/55/42/1071675542.db2.gz UIVFBPAHNKPUET-MRXNPFEDSA-N 0 0 442.523 -0.523 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(OC)cc2)C1=O ZINC000502007992 1071675550 /nfs/dbraw/zinc/67/55/50/1071675550.db2.gz XMTNWOSKDLDUGF-CEXWTWQISA-N 0 0 449.504 -0.151 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(OC)cc2)C1=O ZINC000502007994 1071676132 /nfs/dbraw/zinc/67/61/32/1071676132.db2.gz XMTNWOSKDLDUGF-GBESFXJTSA-N 0 0 449.504 -0.151 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(OC)cc2)C1=O ZINC000502007995 1071676182 /nfs/dbraw/zinc/67/61/82/1071676182.db2.gz XMTNWOSKDLDUGF-GUDVDZBRSA-N 0 0 449.504 -0.151 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(OC)cc2)C1=O ZINC000502007997 1071676215 /nfs/dbraw/zinc/67/62/15/1071676215.db2.gz XMTNWOSKDLDUGF-IPMKNSEASA-N 0 0 449.504 -0.151 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000502009365 1071676229 /nfs/dbraw/zinc/67/62/29/1071676229.db2.gz ZZWWLKSTMMINBH-INIZCTEOSA-N 0 0 438.506 -0.984 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000502009366 1071676153 /nfs/dbraw/zinc/67/61/53/1071676153.db2.gz ZZWWLKSTMMINBH-MRXNPFEDSA-N 0 0 438.506 -0.984 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(S(=O)(=O)N(C)C)cc3)C2)nn1 ZINC000502009409 1071676223 /nfs/dbraw/zinc/67/62/23/1071676223.db2.gz KIRYPFRDUOMZPZ-UHFFFAOYSA-N 0 0 429.480 -0.439 20 0 IBADRN CCCS(=O)(=O)N1CCC[C@@H]1C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000502010522 1071676143 /nfs/dbraw/zinc/67/61/43/1071676143.db2.gz QXXFPRYNXHLLNK-LLVKDONJSA-N 0 0 440.569 -0.244 20 0 IBADRN CCCS(=O)(=O)N1CCC[C@H]1C(=O)NCCNS(=O)(=O)c1sc(=O)[nH]c1C ZINC000502010523 1071676087 /nfs/dbraw/zinc/67/60/87/1071676087.db2.gz QXXFPRYNXHLLNK-NSHDSACASA-N 0 0 440.569 -0.244 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)C2)nn1 ZINC000502011012 1071676796 /nfs/dbraw/zinc/67/67/96/1071676796.db2.gz PKSWZFFUAHLMQD-UHFFFAOYSA-N 0 0 441.491 -0.249 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502012245 1071676787 /nfs/dbraw/zinc/67/67/87/1071676787.db2.gz UTGOLNFQSYIXJI-LLVKDONJSA-N 0 0 439.537 -0.255 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502012253 1071676860 /nfs/dbraw/zinc/67/68/60/1071676860.db2.gz UTGOLNFQSYIXJI-NSHDSACASA-N 0 0 439.537 -0.255 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NCC3(N4CCOCC4)CC3)C2=O)cc1 ZINC000502012308 1071676813 /nfs/dbraw/zinc/67/68/13/1071676813.db2.gz DRJICSQSCDWYMB-GOSISDBHSA-N 0 0 430.505 -0.107 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NCC3(N4CCOCC4)CC3)C2=O)cc1 ZINC000502012514 1071676771 /nfs/dbraw/zinc/67/67/71/1071676771.db2.gz DRJICSQSCDWYMB-SFHVURJKSA-N 0 0 430.505 -0.107 20 0 IBADRN O=C1NCCc2ccc(S(=O)(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)cc21 ZINC000502012808 1071676779 /nfs/dbraw/zinc/67/67/79/1071676779.db2.gz XFZYXKFXKAQMAM-INIZCTEOSA-N 0 0 443.547 -0.212 20 0 IBADRN O=C1NCCc2ccc(S(=O)(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)cc21 ZINC000502012814 1071676693 /nfs/dbraw/zinc/67/66/93/1071676693.db2.gz XFZYXKFXKAQMAM-MRXNPFEDSA-N 0 0 443.547 -0.212 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NCc3ccc(C(N)=O)nc3)C2=O)cc1 ZINC000502012924 1071676840 /nfs/dbraw/zinc/67/68/40/1071676840.db2.gz XSSMAAURSUGBLM-KRWDZBQOSA-N 0 0 425.445 -0.277 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NCc3ccc(C(N)=O)nc3)C2=O)cc1 ZINC000502012928 1071676835 /nfs/dbraw/zinc/67/68/35/1071676835.db2.gz XSSMAAURSUGBLM-QGZVFWFLSA-N 0 0 425.445 -0.277 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(C(=O)NCC(F)(F)F)cc3)C2)nn1 ZINC000502013028 1071676740 /nfs/dbraw/zinc/67/67/40/1071676740.db2.gz YEIBUZBNTCJLJA-UHFFFAOYSA-N 0 0 432.384 -0.085 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)C2=O)cc1 ZINC000502013565 1071676868 /nfs/dbraw/zinc/67/68/68/1071676868.db2.gz CDDJTJQSMADPNR-GOSISDBHSA-N 0 0 432.521 -0.173 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)C2=O)cc1 ZINC000502013766 1071676845 /nfs/dbraw/zinc/67/68/45/1071676845.db2.gz CDDJTJQSMADPNR-SFHVURJKSA-N 0 0 432.521 -0.173 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)CC3)C2=O)cc1 ZINC000502014925 1071676806 /nfs/dbraw/zinc/67/68/06/1071676806.db2.gz KXNLMBMVNYMENG-IBGZPJMESA-N 0 0 440.504 -0.005 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)CC3)C2=O)cc1 ZINC000502014926 1071676883 /nfs/dbraw/zinc/67/68/83/1071676883.db2.gz KXNLMBMVNYMENG-LJQANCHMSA-N 0 0 440.504 -0.005 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)C2=O)cc1 ZINC000502015233 1071677249 /nfs/dbraw/zinc/67/72/49/1071677249.db2.gz LQWHDGCLESSMIT-MSOLQXFVSA-N 0 0 432.477 -0.724 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)C2=O)cc1 ZINC000502015237 1071677238 /nfs/dbraw/zinc/67/72/38/1071677238.db2.gz LQWHDGCLESSMIT-QZTJIDSGSA-N 0 0 432.477 -0.724 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)C2=O)cc1 ZINC000502015238 1071677316 /nfs/dbraw/zinc/67/73/16/1071677316.db2.gz LQWHDGCLESSMIT-ROUUACIJSA-N 0 0 432.477 -0.724 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)C2=O)cc1 ZINC000502015240 1071677321 /nfs/dbraw/zinc/67/73/21/1071677321.db2.gz LQWHDGCLESSMIT-ZWKOTPCHSA-N 0 0 432.477 -0.724 20 0 IBADRN CCOc1ccc(-c2cn(C3CN(S(=O)(=O)c4cn(C)c(=O)n(C)c4=O)C3)nn2)cc1 ZINC000502015323 1071677214 /nfs/dbraw/zinc/67/72/14/1071677214.db2.gz LXQCBJSXNCYRIQ-UHFFFAOYSA-N 0 0 446.489 -0.013 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000502015657 1071676754 /nfs/dbraw/zinc/67/67/54/1071676754.db2.gz MNCHCKPKOGZUIJ-HKUYNNGSSA-N 0 0 448.520 -0.936 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000502015664 1071676821 /nfs/dbraw/zinc/67/68/21/1071676821.db2.gz MNCHCKPKOGZUIJ-IEBWSBKVSA-N 0 0 448.520 -0.936 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000502015666 1071676715 /nfs/dbraw/zinc/67/67/15/1071676715.db2.gz MNCHCKPKOGZUIJ-MJGOQNOKSA-N 0 0 448.520 -0.936 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000502015669 1071677260 /nfs/dbraw/zinc/67/72/60/1071677260.db2.gz MNCHCKPKOGZUIJ-PKOBYXMFSA-N 0 0 448.520 -0.936 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)C2)nn1 ZINC000502019382 1071677278 /nfs/dbraw/zinc/67/72/78/1071677278.db2.gz VXPWREUBCGRKAQ-UHFFFAOYSA-N 0 0 441.491 -0.150 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000502019982 1071677273 /nfs/dbraw/zinc/67/72/73/1071677273.db2.gz WQAUNTHTLKWKDT-MOPGFXCFSA-N 0 0 432.521 -0.243 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000502019984 1071677251 /nfs/dbraw/zinc/67/72/51/1071677251.db2.gz WQAUNTHTLKWKDT-OALUTQOASA-N 0 0 432.521 -0.243 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000502019986 1071677309 /nfs/dbraw/zinc/67/73/09/1071677309.db2.gz WQAUNTHTLKWKDT-RBUKOAKNSA-N 0 0 432.521 -0.243 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000502019988 1071677303 /nfs/dbraw/zinc/67/73/03/1071677303.db2.gz WQAUNTHTLKWKDT-RTBURBONSA-N 0 0 432.521 -0.243 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000502020887 1071677282 /nfs/dbraw/zinc/67/72/82/1071677282.db2.gz XQEMOVIFNYFGIO-UHFFFAOYSA-N 0 0 429.495 -0.152 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CCCN1C(=O)NC(C)(C)C1=O ZINC000502021233 1071677908 /nfs/dbraw/zinc/67/79/08/1071677908.db2.gz ZDOPUKJHXYJJSR-UHFFFAOYSA-N 0 0 433.512 -0.338 20 0 IBADRN O=C(CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCCCC1 ZINC000502026195 1071676195 /nfs/dbraw/zinc/67/61/95/1071676195.db2.gz ZXTOBODQSONKGP-UHFFFAOYSA-N 0 0 438.554 -0.155 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000502029572 1071678312 /nfs/dbraw/zinc/67/83/12/1071678312.db2.gz DCVDBIOWKYXRRQ-DLBZAZTESA-N 0 0 444.579 -0.556 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000502029573 1071678303 /nfs/dbraw/zinc/67/83/03/1071678303.db2.gz DCVDBIOWKYXRRQ-IRXDYDNUSA-N 0 0 444.579 -0.556 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCC[C@H](S(C)(=O)=O)C3)C2=O)cc1 ZINC000502029589 1071678294 /nfs/dbraw/zinc/67/82/94/1071678294.db2.gz GDUDKYHZIRGCBX-DLBZAZTESA-N 0 0 437.518 -0.052 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCC[C@@H](S(C)(=O)=O)C3)C2=O)cc1 ZINC000502029590 1071678314 /nfs/dbraw/zinc/67/83/14/1071678314.db2.gz GDUDKYHZIRGCBX-IAGOWNOFSA-N 0 0 437.518 -0.052 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCC[C@H](S(C)(=O)=O)C3)C2=O)cc1 ZINC000502029591 1071678296 /nfs/dbraw/zinc/67/82/96/1071678296.db2.gz GDUDKYHZIRGCBX-IRXDYDNUSA-N 0 0 437.518 -0.052 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCC[C@@H](S(C)(=O)=O)C3)C2=O)cc1 ZINC000502029592 1071678275 /nfs/dbraw/zinc/67/82/75/1071678275.db2.gz GDUDKYHZIRGCBX-SJORKVTESA-N 0 0 437.518 -0.052 20 0 IBADRN C[C@H](NC(=O)c1ccccc1S(=O)(=O)CCN(C)C)C(=O)N1CCS(=O)(=O)CC1 ZINC000502030660 1071678286 /nfs/dbraw/zinc/67/82/86/1071678286.db2.gz HDYXSMCTIXUZPP-AWEZNQCLSA-N 0 0 445.563 -0.603 20 0 IBADRN C[C@@H](NC(=O)c1ccccc1S(=O)(=O)CCN(C)C)C(=O)N1CCS(=O)(=O)CC1 ZINC000502030661 1071678306 /nfs/dbraw/zinc/67/83/06/1071678306.db2.gz HDYXSMCTIXUZPP-CQSZACIVSA-N 0 0 445.563 -0.603 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000502030998 1071678328 /nfs/dbraw/zinc/67/83/28/1071678328.db2.gz IMMOLYANXHMLSY-BBRMVZONSA-N 0 0 425.507 -0.196 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000502030999 1071678332 /nfs/dbraw/zinc/67/83/32/1071678332.db2.gz IMMOLYANXHMLSY-CJNGLKHVSA-N 0 0 425.507 -0.196 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000502031000 1071678323 /nfs/dbraw/zinc/67/83/23/1071678323.db2.gz IMMOLYANXHMLSY-CZUORRHYSA-N 0 0 425.507 -0.196 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000502031001 1071678887 /nfs/dbraw/zinc/67/88/87/1071678887.db2.gz IMMOLYANXHMLSY-XJKSGUPXSA-N 0 0 425.507 -0.196 20 0 IBADRN O=C(c1ccccc1)N1C[C@@H](CNS(=O)(=O)N2CCS(=O)(=O)CC2)N2CC[C@H]1C2 ZINC000502033386 1071677827 /nfs/dbraw/zinc/67/78/27/1071677827.db2.gz DBIHXIBOUWHZHP-DLBZAZTESA-N 0 0 442.563 -0.850 20 0 IBADRN O=C(c1ccccc1)N1C[C@H](CNS(=O)(=O)N2CCS(=O)(=O)CC2)N2CC[C@H]1C2 ZINC000502033387 1071677894 /nfs/dbraw/zinc/67/78/94/1071677894.db2.gz DBIHXIBOUWHZHP-IRXDYDNUSA-N 0 0 442.563 -0.850 20 0 IBADRN O=C(CCC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000502033542 1074337724 /nfs/dbraw/zinc/33/77/24/1074337724.db2.gz QYITVBLBPGPCMB-UHFFFAOYSA-N 0 0 429.437 -0.668 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000502033623 1071677888 /nfs/dbraw/zinc/67/78/88/1071677888.db2.gz RMRHWFODMOKPMN-DLBZAZTESA-N 0 0 429.564 -0.061 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000502033625 1071677772 /nfs/dbraw/zinc/67/77/72/1071677772.db2.gz RMRHWFODMOKPMN-IRXDYDNUSA-N 0 0 429.564 -0.061 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2ccccc2S(=O)(=O)CCN(C)C)CC1 ZINC000502035304 1071677744 /nfs/dbraw/zinc/67/77/44/1071677744.db2.gz JGFSAHJYWZNGHX-UHFFFAOYSA-N 0 0 438.550 -0.168 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2ccccc2S(=O)(=O)CCN(C)C)CC1 ZINC000502036584 1071677791 /nfs/dbraw/zinc/67/77/91/1071677791.db2.gz ZKWPZCSAUVGOPX-UHFFFAOYSA-N 0 0 438.550 -0.169 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000502036829 1071677841 /nfs/dbraw/zinc/67/78/41/1071677841.db2.gz ZBDCNZFXSFPPDM-UHFFFAOYSA-N 0 0 436.534 -0.415 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCC[C@@H](CNC(N)=O)C3)C2=O)cc1 ZINC000502038519 1071677954 /nfs/dbraw/zinc/67/79/54/1071677954.db2.gz ZDONNGSCZSXLLS-DOTOQJQBSA-N 0 0 431.493 -0.181 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCC[C@H](CNC(N)=O)C3)C2=O)cc1 ZINC000502038525 1071677756 /nfs/dbraw/zinc/67/77/56/1071677756.db2.gz ZDONNGSCZSXLLS-NVXWUHKLSA-N 0 0 431.493 -0.181 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCC[C@@H](CNC(N)=O)C3)C2=O)cc1 ZINC000502038526 1071677809 /nfs/dbraw/zinc/67/78/09/1071677809.db2.gz ZDONNGSCZSXLLS-RDJZCZTQSA-N 0 0 431.493 -0.181 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCC[C@H](CNC(N)=O)C3)C2=O)cc1 ZINC000502038527 1071677929 /nfs/dbraw/zinc/67/79/29/1071677929.db2.gz ZDONNGSCZSXLLS-WBVHZDCISA-N 0 0 431.493 -0.181 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000502039146 1071678298 /nfs/dbraw/zinc/67/82/98/1071678298.db2.gz GULDWPSDKNULOU-GOSISDBHSA-N 0 0 445.520 -0.807 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000502039147 1071678318 /nfs/dbraw/zinc/67/83/18/1071678318.db2.gz GULDWPSDKNULOU-SFHVURJKSA-N 0 0 445.520 -0.807 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000502045113 1071678308 /nfs/dbraw/zinc/67/83/08/1071678308.db2.gz DMFJFDJPNNSHEC-KRWDZBQOSA-N 0 0 432.477 -0.771 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000502045114 1071678316 /nfs/dbraw/zinc/67/83/16/1071678316.db2.gz DMFJFDJPNNSHEC-QGZVFWFLSA-N 0 0 432.477 -0.771 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CC[C@H](N(Cc2noc(CC)n2)C(C)=O)C1 ZINC000502046385 1073367808 /nfs/dbraw/zinc/36/78/08/1073367808.db2.gz HSSYUCGTGZGCPC-ARFHVFGLSA-N 0 0 437.497 -0.108 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CC[C@@H](N(Cc2noc(CC)n2)C(C)=O)C1 ZINC000502046387 1073367770 /nfs/dbraw/zinc/36/77/70/1073367770.db2.gz HSSYUCGTGZGCPC-BZUAXINKSA-N 0 0 437.497 -0.108 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CC[C@H](N(Cc2noc(CC)n2)C(C)=O)C1 ZINC000502046389 1073367824 /nfs/dbraw/zinc/36/78/24/1073367824.db2.gz HSSYUCGTGZGCPC-HRCADAONSA-N 0 0 437.497 -0.108 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CC[C@@H](N(Cc2noc(CC)n2)C(C)=O)C1 ZINC000502046391 1073367904 /nfs/dbraw/zinc/36/79/04/1073367904.db2.gz HSSYUCGTGZGCPC-OWCLPIDISA-N 0 0 437.497 -0.108 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000502046616 1071677937 /nfs/dbraw/zinc/67/79/37/1071677937.db2.gz TUDXRYMIDVYDEO-GBESFXJTSA-N 0 0 433.505 -0.117 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000502056469 1071678853 /nfs/dbraw/zinc/67/88/53/1071678853.db2.gz VTVDMVTWDIGZRG-AKHDSKFASA-N 0 0 438.569 -0.055 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000502056470 1071678915 /nfs/dbraw/zinc/67/89/15/1071678915.db2.gz VTVDMVTWDIGZRG-CADBVGFASA-N 0 0 438.569 -0.055 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000502056471 1071678981 /nfs/dbraw/zinc/67/89/81/1071678981.db2.gz VTVDMVTWDIGZRG-FCGDIQPGSA-N 0 0 438.569 -0.055 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000502056472 1071678974 /nfs/dbraw/zinc/67/89/74/1071678974.db2.gz VTVDMVTWDIGZRG-ZSYWTGECSA-N 0 0 438.569 -0.055 20 0 IBADRN Cc1ccc(CNC(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000502062974 1071678932 /nfs/dbraw/zinc/67/89/32/1071678932.db2.gz BEJQNMHDCBSISY-UHFFFAOYSA-N 0 0 439.538 -0.485 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCOCC1 ZINC000502064725 1071678845 /nfs/dbraw/zinc/67/88/45/1071678845.db2.gz WXXYOVHVDQGGIB-CYBMUJFWSA-N 0 0 427.479 -0.510 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCOCC1 ZINC000502064726 1071678964 /nfs/dbraw/zinc/67/89/64/1071678964.db2.gz WXXYOVHVDQGGIB-ZDUSSCGKSA-N 0 0 427.479 -0.510 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502065525 1071679365 /nfs/dbraw/zinc/67/93/65/1071679365.db2.gz QNYMCAYNIHXVQF-UHFFFAOYSA-N 0 0 440.522 -0.512 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000502069136 1071679480 /nfs/dbraw/zinc/67/94/80/1071679480.db2.gz CPIDVKHVVMFNAH-HZSPNIEDSA-N 0 0 432.520 -0.595 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000502069137 1071679444 /nfs/dbraw/zinc/67/94/44/1071679444.db2.gz CPIDVKHVVMFNAH-MELADBBJSA-N 0 0 432.520 -0.595 20 0 IBADRN O=C(CCN1C(=O)[C@H]2CCCC[C@@H]2C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502070143 1071679394 /nfs/dbraw/zinc/67/93/94/1071679394.db2.gz CBONQGPPUHHYNE-HOTGVXAUSA-N 0 0 442.538 -0.727 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502070144 1071679388 /nfs/dbraw/zinc/67/93/88/1071679388.db2.gz CBONQGPPUHHYNE-HZPDHXFCSA-N 0 0 442.538 -0.727 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502070145 1071679420 /nfs/dbraw/zinc/67/94/20/1071679420.db2.gz CBONQGPPUHHYNE-IYBDPMFKSA-N 0 0 442.538 -0.727 20 0 IBADRN O=C(CCn1cnc2ccccc2c1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502070348 1071679407 /nfs/dbraw/zinc/67/94/07/1071679407.db2.gz DXDPEKIPQXJPKJ-UHFFFAOYSA-N 0 0 435.506 -0.492 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000502070408 1071009963 /nfs/dbraw/zinc/00/99/63/1071009963.db2.gz FWKVPFHNGJMZJT-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(Cl)s1 ZINC000502075089 1071679007 /nfs/dbraw/zinc/67/90/07/1071679007.db2.gz BAZOZSHAFFGKAN-UHFFFAOYSA-N 0 0 433.961 -0.248 20 0 IBADRN CNc1nc(C)cc(C(=O)NC[C@H](NC(=O)c2cc(C)nc(NC)n2)c2cnn(C)c2)n1 ZINC000502075834 1071679001 /nfs/dbraw/zinc/67/90/01/1071679001.db2.gz USEKNFCJIWEXAZ-INIZCTEOSA-N 0 0 438.496 -0.555 20 0 IBADRN CNc1nc(C)cc(C(=O)NC[C@@H](NC(=O)c2cc(C)nc(NC)n2)c2cnn(C)c2)n1 ZINC000502075836 1071678868 /nfs/dbraw/zinc/67/88/68/1071678868.db2.gz USEKNFCJIWEXAZ-MRXNPFEDSA-N 0 0 438.496 -0.555 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502077951 1071678995 /nfs/dbraw/zinc/67/89/95/1071678995.db2.gz KHSHVDWIAJGUBP-UHFFFAOYSA-N 0 0 442.629 -0.132 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000502077994 1071678903 /nfs/dbraw/zinc/67/89/03/1071678903.db2.gz HAJYTTJEGSJMQG-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NCC(=O)NCCN1CCOCC1 ZINC000502079624 1071679428 /nfs/dbraw/zinc/67/94/28/1071679428.db2.gz QYWXHTJHLPCSKT-UHFFFAOYSA-N 0 0 426.539 -0.800 20 0 IBADRN O=C(c1ccc(=O)n(Cc2ccccc2)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502079687 1071679475 /nfs/dbraw/zinc/67/94/75/1071679475.db2.gz RQYSMZLOAOLOAS-UHFFFAOYSA-N 0 0 447.517 -0.374 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)NCCNS(=O)(=O)c1ccc(Cl)s1)c2=O ZINC000502080328 1071679464 /nfs/dbraw/zinc/67/94/64/1071679464.db2.gz RBLNMSZAIDZAGK-UHFFFAOYSA-N 0 0 430.899 -0.060 20 0 IBADRN O=C(CCNC(=O)c1ccc(F)cc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502082498 1071679926 /nfs/dbraw/zinc/67/99/26/1071679926.db2.gz HGDSLCSABUJJGT-UHFFFAOYSA-N 0 0 428.486 -0.333 20 0 IBADRN Cn1c2ccccc2n(CCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1=O ZINC000502082670 1071680051 /nfs/dbraw/zinc/68/00/51/1071680051.db2.gz YMBSQHSILWZGGW-UHFFFAOYSA-N 0 0 437.522 -0.549 20 0 IBADRN O=C([C@@H]1CC[C@@H](C(F)(F)F)NC1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502082896 1071678968 /nfs/dbraw/zinc/67/89/68/1071678968.db2.gz LJQZEWSMBVRCCB-NEPJUHHUSA-N 0 0 428.433 -0.835 20 0 IBADRN O=C([C@H]1CC[C@H](C(F)(F)F)NC1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502082897 1071678988 /nfs/dbraw/zinc/67/89/88/1071678988.db2.gz LJQZEWSMBVRCCB-NWDGAFQWSA-N 0 0 428.433 -0.835 20 0 IBADRN O=C([C@H]1CC[C@@H](C(F)(F)F)NC1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502082898 1071678921 /nfs/dbraw/zinc/67/89/21/1071678921.db2.gz LJQZEWSMBVRCCB-RYUDHWBXSA-N 0 0 428.433 -0.835 20 0 IBADRN O=C([C@@H]1CC[C@H](C(F)(F)F)NC1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502082899 1071679024 /nfs/dbraw/zinc/67/90/24/1071679024.db2.gz LJQZEWSMBVRCCB-VXGBXAGGSA-N 0 0 428.433 -0.835 20 0 IBADRN O=C(CCN1C(=O)COc2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502083502 1071679914 /nfs/dbraw/zinc/67/99/14/1071679914.db2.gz ULFVURJZDMBFEA-UHFFFAOYSA-N 0 0 438.506 -0.477 20 0 IBADRN CCOC(=O)c1cnc(C)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000502083732 1071679963 /nfs/dbraw/zinc/67/99/63/1071679963.db2.gz YSFRTZHGBXKFRF-UHFFFAOYSA-N 0 0 439.538 -0.183 20 0 IBADRN O=C([C@@H]1CC(=O)N(CC(F)(F)F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502084987 1071679955 /nfs/dbraw/zinc/67/99/55/1071679955.db2.gz LSYPEYCXGPYHIG-GFCCVEGCSA-N 0 0 428.433 -0.882 20 0 IBADRN O=C([C@H]1CC(=O)N(CC(F)(F)F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502084988 1071680019 /nfs/dbraw/zinc/68/00/19/1071680019.db2.gz LSYPEYCXGPYHIG-LBPRGKRZSA-N 0 0 428.433 -0.882 20 0 IBADRN CS(=O)(=O)Cc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000502086015 1071680027 /nfs/dbraw/zinc/68/00/27/1071680027.db2.gz YBRJYMBMECYOBR-UHFFFAOYSA-N 0 0 431.536 -0.434 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)NCCS(=O)(=O)N1CCSCC1 ZINC000502088448 1071679451 /nfs/dbraw/zinc/67/94/51/1071679451.db2.gz FRGGJMAQTNSDMM-UHFFFAOYSA-N 0 0 443.599 -0.724 20 0 IBADRN O=C(Cc1cc(F)cc2c1OCOC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502091692 1071679436 /nfs/dbraw/zinc/67/94/36/1071679436.db2.gz KBVZBFLTHKOBCT-UHFFFAOYSA-N 0 0 429.470 -0.044 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)CC2)nn1 ZINC000502092627 1071679380 /nfs/dbraw/zinc/67/93/80/1071679380.db2.gz RKFWFKNOWRNUMU-JKSUJKDBSA-N 0 0 433.465 -0.682 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000502095183 1071679440 /nfs/dbraw/zinc/67/94/40/1071679440.db2.gz DKDXUXRCASJPLA-GFCCVEGCSA-N 0 0 433.533 -0.238 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000502095187 1071679413 /nfs/dbraw/zinc/67/94/13/1071679413.db2.gz DKDXUXRCASJPLA-LBPRGKRZSA-N 0 0 433.533 -0.238 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)NCCS(=O)(=O)N1CCSCC1 ZINC000502095372 1071679373 /nfs/dbraw/zinc/67/93/73/1071679373.db2.gz SOFUIGJEXOGIDK-UHFFFAOYSA-N 0 0 447.583 -0.081 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)N2CCSCC2)s1 ZINC000502095405 1071679401 /nfs/dbraw/zinc/67/94/01/1071679401.db2.gz DJXKPWPFLXQOHQ-UHFFFAOYSA-N 0 0 428.583 -0.427 20 0 IBADRN CS(=O)(=O)Cc1cccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000502097859 1071010792 /nfs/dbraw/zinc/01/07/92/1071010792.db2.gz LQAVZSKQXYFYID-UHFFFAOYSA-N 0 0 431.536 -0.434 20 0 IBADRN O=C(CSCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCCCC1 ZINC000502098529 1071680043 /nfs/dbraw/zinc/68/00/43/1071680043.db2.gz PAYVYIVTFGAQSU-UHFFFAOYSA-N 0 0 434.584 -0.547 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)c1 ZINC000502098811 1071679860 /nfs/dbraw/zinc/67/98/60/1071679860.db2.gz ZTBSUEMTUQAOHD-UHFFFAOYSA-N 0 0 427.917 -0.660 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000502101672 1071680518 /nfs/dbraw/zinc/68/05/18/1071680518.db2.gz SIYIJDHRCHWRDK-INIZCTEOSA-N 0 0 438.641 -0.128 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)NC[C@]1(N2CCOCC2)CCSC1 ZINC000502101673 1071680602 /nfs/dbraw/zinc/68/06/02/1071680602.db2.gz SIYIJDHRCHWRDK-MRXNPFEDSA-N 0 0 438.641 -0.128 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502102070 1071680503 /nfs/dbraw/zinc/68/05/03/1071680503.db2.gz YACIGJZAANUEGY-UHFFFAOYSA-N 0 0 428.602 -0.522 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)c1nnnn1-c1ccccc1 ZINC000502103507 1071681114 /nfs/dbraw/zinc/68/11/14/1071681114.db2.gz AOSQUEVHZFTZJZ-GDBMZVCRSA-N 0 0 427.465 -0.311 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)c1nnnn1-c1ccccc1 ZINC000502103508 1071681209 /nfs/dbraw/zinc/68/12/09/1071681209.db2.gz AOSQUEVHZFTZJZ-GOEBONIOSA-N 0 0 427.465 -0.311 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)c1nnnn1-c1ccccc1 ZINC000502103509 1071681231 /nfs/dbraw/zinc/68/12/31/1071681231.db2.gz AOSQUEVHZFTZJZ-HOCLYGCPSA-N 0 0 427.465 -0.311 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)c1nnnn1-c1ccccc1 ZINC000502103510 1071681101 /nfs/dbraw/zinc/68/11/01/1071681101.db2.gz AOSQUEVHZFTZJZ-ZBFHGGJFSA-N 0 0 427.465 -0.311 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)[C@H](C)C1 ZINC000502105030 1071681034 /nfs/dbraw/zinc/68/10/34/1071681034.db2.gz MBHRTVJVPQHMSX-CABCVRRESA-N 0 0 429.481 -0.065 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)[C@@H](C)C1 ZINC000502105033 1071681133 /nfs/dbraw/zinc/68/11/33/1071681133.db2.gz MBHRTVJVPQHMSX-GJZGRUSLSA-N 0 0 429.481 -0.065 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)[C@H](C)C1 ZINC000502105036 1071681154 /nfs/dbraw/zinc/68/11/54/1071681154.db2.gz MBHRTVJVPQHMSX-HUUCEWRRSA-N 0 0 429.481 -0.065 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)[C@@H](C)C1 ZINC000502105038 1071681195 /nfs/dbraw/zinc/68/11/95/1071681195.db2.gz MBHRTVJVPQHMSX-LSDHHAIUSA-N 0 0 429.481 -0.065 20 0 IBADRN O=C(CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000502105394 1071681182 /nfs/dbraw/zinc/68/11/82/1071681182.db2.gz NUTDEIKYDKUPBL-CYBMUJFWSA-N 0 0 429.461 -0.207 20 0 IBADRN O=C(CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000502105395 1071681073 /nfs/dbraw/zinc/68/10/73/1071681073.db2.gz NUTDEIKYDKUPBL-ZDUSSCGKSA-N 0 0 429.461 -0.207 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502105866 1071681065 /nfs/dbraw/zinc/68/10/65/1071681065.db2.gz BLLDLHTUIXVUPR-CVEARBPZSA-N 0 0 442.524 -0.102 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502105871 1071681126 /nfs/dbraw/zinc/68/11/26/1071681126.db2.gz BLLDLHTUIXVUPR-HOTGVXAUSA-N 0 0 442.524 -0.102 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502105873 1071681176 /nfs/dbraw/zinc/68/11/76/1071681176.db2.gz BLLDLHTUIXVUPR-HZPDHXFCSA-N 0 0 442.524 -0.102 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502105876 1071681018 /nfs/dbraw/zinc/68/10/18/1071681018.db2.gz BLLDLHTUIXVUPR-JKSUJKDBSA-N 0 0 442.524 -0.102 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)c1nnnn1-c1ccccc1 ZINC000502106632 1071680977 /nfs/dbraw/zinc/68/09/77/1071680977.db2.gz DFUIVXJCQXALFT-FKIZINRSSA-N 0 0 440.464 -0.324 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)c1nnnn1-c1ccccc1 ZINC000502106633 1071681216 /nfs/dbraw/zinc/68/12/16/1071681216.db2.gz DFUIVXJCQXALFT-MPBGBICISA-N 0 0 440.464 -0.324 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)c1nnnn1-c1ccccc1 ZINC000502106634 1071681090 /nfs/dbraw/zinc/68/10/90/1071681090.db2.gz DFUIVXJCQXALFT-ODXCJYRJSA-N 0 0 440.464 -0.324 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)c1nnnn1-c1ccccc1 ZINC000502106635 1071681684 /nfs/dbraw/zinc/68/16/84/1071681684.db2.gz DFUIVXJCQXALFT-YUNKPMOVSA-N 0 0 440.464 -0.324 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502106800 1071681190 /nfs/dbraw/zinc/68/11/90/1071681190.db2.gz DTNYVYHCRYIUTH-INIZCTEOSA-N 0 0 442.524 -0.148 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502106801 1071681007 /nfs/dbraw/zinc/68/10/07/1071681007.db2.gz DTNYVYHCRYIUTH-MRXNPFEDSA-N 0 0 442.524 -0.148 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H](C)c3nnnn3-c3ccccc3)CC2)n1 ZINC000502107703 1071681783 /nfs/dbraw/zinc/68/17/83/1071681783.db2.gz FQIWQMJRKPFSBX-AWEZNQCLSA-N 0 0 437.464 -0.013 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H](C)c3nnnn3-c3ccccc3)CC2)n1 ZINC000502107709 1071681791 /nfs/dbraw/zinc/68/17/91/1071681791.db2.gz FQIWQMJRKPFSBX-CQSZACIVSA-N 0 0 437.464 -0.013 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cn1C ZINC000502108806 1071681721 /nfs/dbraw/zinc/68/17/21/1071681721.db2.gz KLKLCJRSGYOEBQ-LLVKDONJSA-N 0 0 436.537 -0.981 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cn1C ZINC000502108807 1071681599 /nfs/dbraw/zinc/68/15/99/1071681599.db2.gz KLKLCJRSGYOEBQ-NSHDSACASA-N 0 0 436.537 -0.981 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000502109228 1071681821 /nfs/dbraw/zinc/68/18/21/1071681821.db2.gz KOMIMMZSSCVQBU-CABCVRRESA-N 0 0 445.563 -0.820 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000502109229 1071681628 /nfs/dbraw/zinc/68/16/28/1071681628.db2.gz KOMIMMZSSCVQBU-GJZGRUSLSA-N 0 0 445.563 -0.820 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000502109230 1071681613 /nfs/dbraw/zinc/68/16/13/1071681613.db2.gz KOMIMMZSSCVQBU-HUUCEWRRSA-N 0 0 445.563 -0.820 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000502109231 1071681670 /nfs/dbraw/zinc/68/16/70/1071681670.db2.gz KOMIMMZSSCVQBU-LSDHHAIUSA-N 0 0 445.563 -0.820 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)c1nnnn1-c1ccccc1 ZINC000502111258 1071681814 /nfs/dbraw/zinc/68/18/14/1071681814.db2.gz KNXLXJUPJDZDIB-HNNXBMFYSA-N 0 0 428.497 -0.538 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)c1nnnn1-c1ccccc1 ZINC000502111259 1071681726 /nfs/dbraw/zinc/68/17/26/1071681726.db2.gz KNXLXJUPJDZDIB-OAHLLOKOSA-N 0 0 428.497 -0.538 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CC[C@H](N4CCOCC4)C3)C2=O)cc1 ZINC000502112303 1071681639 /nfs/dbraw/zinc/68/16/39/1071681639.db2.gz OMUMLYZWNWUMCT-HKUYNNGSSA-N 0 0 430.505 -0.155 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CC[C@@H](N4CCOCC4)C3)C2=O)cc1 ZINC000502112304 1071681827 /nfs/dbraw/zinc/68/18/27/1071681827.db2.gz OMUMLYZWNWUMCT-IEBWSBKVSA-N 0 0 430.505 -0.155 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CC[C@@H](N4CCOCC4)C3)C2=O)cc1 ZINC000502112305 1071681810 /nfs/dbraw/zinc/68/18/10/1071681810.db2.gz OMUMLYZWNWUMCT-MJGOQNOKSA-N 0 0 430.505 -0.155 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CC[C@H](N4CCOCC4)C3)C2=O)cc1 ZINC000502112306 1071681828 /nfs/dbraw/zinc/68/18/28/1071681828.db2.gz OMUMLYZWNWUMCT-PKOBYXMFSA-N 0 0 430.505 -0.155 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)c1nnnn1-c1ccccc1 ZINC000502113846 1071682140 /nfs/dbraw/zinc/68/21/40/1071682140.db2.gz DLGPPHSFOWUFRZ-KBPBESRZSA-N 0 0 435.510 -0.373 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)c1nnnn1-c1ccccc1 ZINC000502113847 1071682174 /nfs/dbraw/zinc/68/21/74/1071682174.db2.gz DLGPPHSFOWUFRZ-KGLIPLIRSA-N 0 0 435.510 -0.373 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)c1nnnn1-c1ccccc1 ZINC000502113848 1071682116 /nfs/dbraw/zinc/68/21/16/1071682116.db2.gz DLGPPHSFOWUFRZ-UONOGXRCSA-N 0 0 435.510 -0.373 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)c1nnnn1-c1ccccc1 ZINC000502113849 1071682211 /nfs/dbraw/zinc/68/22/11/1071682211.db2.gz DLGPPHSFOWUFRZ-ZIAGYGMSSA-N 0 0 435.510 -0.373 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1nnnn1-c1ccccc1 ZINC000502114398 1071679844 /nfs/dbraw/zinc/67/98/44/1071679844.db2.gz QSPHZQUENWLCNM-AWEZNQCLSA-N 0 0 437.464 -0.718 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1nnnn1-c1ccccc1 ZINC000502114400 1071679989 /nfs/dbraw/zinc/67/99/89/1071679989.db2.gz QSPHZQUENWLCNM-CQSZACIVSA-N 0 0 437.464 -0.718 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000502114427 1071679852 /nfs/dbraw/zinc/67/98/52/1071679852.db2.gz DTQXYVSRUWOFHM-UHFFFAOYSA-N 0 0 426.499 -0.348 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000502115683 1071680549 /nfs/dbraw/zinc/68/05/49/1071680549.db2.gz WYTYRTUKJLAOKC-GHMZBOCLSA-N 0 0 436.537 -0.901 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000502115684 1071680546 /nfs/dbraw/zinc/68/05/46/1071680546.db2.gz WYTYRTUKJLAOKC-MNOVXSKESA-N 0 0 436.537 -0.901 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000502115685 1071680539 /nfs/dbraw/zinc/68/05/39/1071680539.db2.gz WYTYRTUKJLAOKC-QWRGUYRKSA-N 0 0 436.537 -0.901 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000502115686 1071680595 /nfs/dbraw/zinc/68/05/95/1071680595.db2.gz WYTYRTUKJLAOKC-WDEREUQCSA-N 0 0 436.537 -0.901 20 0 IBADRN CC(C)[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1nc(-c2nc[nH]n2)no1 ZINC000502115860 1071680499 /nfs/dbraw/zinc/68/04/99/1071680499.db2.gz GVIWOFVIKQPZDS-JTQLQIEISA-N 0 0 428.413 -0.885 20 0 IBADRN CC(C)[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1nc(-c2nc[nH]n2)no1 ZINC000502115867 1071680591 /nfs/dbraw/zinc/68/05/91/1071680591.db2.gz GVIWOFVIKQPZDS-SNVBAGLBSA-N 0 0 428.413 -0.885 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)c1nnnn1-c1ccccc1 ZINC000502117620 1071680489 /nfs/dbraw/zinc/68/04/89/1071680489.db2.gz FGKZJLGYSOGIKA-CABCVRRESA-N 0 0 440.508 -0.349 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)c1nnnn1-c1ccccc1 ZINC000502117621 1071680570 /nfs/dbraw/zinc/68/05/70/1071680570.db2.gz FGKZJLGYSOGIKA-GJZGRUSLSA-N 0 0 440.508 -0.349 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1)c1nnnn1-c1ccccc1 ZINC000502117623 1071680580 /nfs/dbraw/zinc/68/05/80/1071680580.db2.gz FGKZJLGYSOGIKA-HUUCEWRRSA-N 0 0 440.508 -0.349 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502117624 1071680531 /nfs/dbraw/zinc/68/05/31/1071680531.db2.gz FGKZJLGYSOGIKA-LSDHHAIUSA-N 0 0 440.508 -0.349 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NC[C@H](O)CS(=O)(=O)c3cccs3)nc2n(C)c1=O ZINC000502117780 1071683176 /nfs/dbraw/zinc/68/31/76/1071683176.db2.gz BAAZELAMRJTLGH-JTQLQIEISA-N 0 0 438.487 -0.742 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NC[C@@H](O)CS(=O)(=O)c3cccs3)nc2n(C)c1=O ZINC000502117781 1071683197 /nfs/dbraw/zinc/68/31/97/1071683197.db2.gz BAAZELAMRJTLGH-SNVBAGLBSA-N 0 0 438.487 -0.742 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1nnnn1-c1ccccc1 ZINC000502117944 1071680535 /nfs/dbraw/zinc/68/05/35/1071680535.db2.gz FKGQBHGUGFXOCH-AWEZNQCLSA-N 0 0 426.481 -0.738 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1nnnn1-c1ccccc1 ZINC000502117945 1071680512 /nfs/dbraw/zinc/68/05/12/1071680512.db2.gz FKGQBHGUGFXOCH-CQSZACIVSA-N 0 0 426.481 -0.738 20 0 IBADRN CC(C)[C@@H](NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)c1nc(-c2nc[nH]n2)no1 ZINC000502118402 1071683218 /nfs/dbraw/zinc/68/32/18/1071683218.db2.gz KXKCIZYRDFZQHA-GFCCVEGCSA-N 0 0 427.425 -0.280 20 0 IBADRN CC(C)[C@H](NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)c1nc(-c2nc[nH]n2)no1 ZINC000502118403 1071683799 /nfs/dbraw/zinc/68/37/99/1071683799.db2.gz KXKCIZYRDFZQHA-LBPRGKRZSA-N 0 0 427.425 -0.280 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502118643 1071683200 /nfs/dbraw/zinc/68/32/00/1071683200.db2.gz GGNSJZJLTCKQPA-HNNXBMFYSA-N 0 0 428.497 -0.492 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502118645 1071683193 /nfs/dbraw/zinc/68/31/93/1071683193.db2.gz GGNSJZJLTCKQPA-OAHLLOKOSA-N 0 0 428.497 -0.492 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)c1nnnn1-c1ccccc1 ZINC000502121571 1071683786 /nfs/dbraw/zinc/68/37/86/1071683786.db2.gz TXNKGFVPQHJWCG-AWEZNQCLSA-N 0 0 449.475 -0.318 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)c1nnnn1-c1ccccc1 ZINC000502121573 1071683737 /nfs/dbraw/zinc/68/37/37/1071683737.db2.gz TXNKGFVPQHJWCG-CQSZACIVSA-N 0 0 449.475 -0.318 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)C1 ZINC000502122292 1071682237 /nfs/dbraw/zinc/68/22/37/1071682237.db2.gz VCJWQTKZCZOMNQ-BFYDXBDKSA-N 0 0 432.481 -0.288 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)C1 ZINC000502122293 1071682244 /nfs/dbraw/zinc/68/22/44/1071682244.db2.gz VCJWQTKZCZOMNQ-HLLBOEOZSA-N 0 0 432.481 -0.288 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)C1 ZINC000502122294 1071682099 /nfs/dbraw/zinc/68/20/99/1071682099.db2.gz VCJWQTKZCZOMNQ-YQQAZPJKSA-N 0 0 432.481 -0.288 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)C1 ZINC000502122295 1071682231 /nfs/dbraw/zinc/68/22/31/1071682231.db2.gz VCJWQTKZCZOMNQ-ZMSDIMECSA-N 0 0 432.481 -0.288 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)c1nnnn1-c1ccccc1 ZINC000502122387 1071682048 /nfs/dbraw/zinc/68/20/48/1071682048.db2.gz KKPDMIMZRPFAID-LLVKDONJSA-N 0 0 428.482 -0.378 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)c1nnnn1-c1ccccc1 ZINC000502122388 1071682061 /nfs/dbraw/zinc/68/20/61/1071682061.db2.gz KKPDMIMZRPFAID-NSHDSACASA-N 0 0 428.482 -0.378 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)c1nnnn1-c1ccccc1 ZINC000502123174 1071682075 /nfs/dbraw/zinc/68/20/75/1071682075.db2.gz LIYZBJRUJNBGNN-AWEZNQCLSA-N 0 0 449.475 -0.318 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)c1nnnn1-c1ccccc1 ZINC000502123176 1071682161 /nfs/dbraw/zinc/68/21/61/1071682161.db2.gz LIYZBJRUJNBGNN-CQSZACIVSA-N 0 0 449.475 -0.318 20 0 IBADRN CN(CC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1)S(=O)(=O)c1cccnc1 ZINC000502124326 1071682203 /nfs/dbraw/zinc/68/22/03/1071682203.db2.gz NJKVXKQVIYXVAO-GFCCVEGCSA-N 0 0 433.533 -0.285 20 0 IBADRN CN(CC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1)S(=O)(=O)c1cccnc1 ZINC000502124328 1071682186 /nfs/dbraw/zinc/68/21/86/1071682186.db2.gz NJKVXKQVIYXVAO-LBPRGKRZSA-N 0 0 433.533 -0.285 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000502125233 1074338077 /nfs/dbraw/zinc/33/80/77/1074338077.db2.gz WSJRIDVADNHYCG-UHFFFAOYSA-N 0 0 448.527 -0.630 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC000502125269 1074338062 /nfs/dbraw/zinc/33/80/62/1074338062.db2.gz XABJVQNTJUNZDX-UHFFFAOYSA-N 0 0 438.466 -0.531 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000502126530 1071682148 /nfs/dbraw/zinc/68/21/48/1071682148.db2.gz RMKDYRXNGBATMP-CYBMUJFWSA-N 0 0 433.494 -0.123 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000502126635 1071682109 /nfs/dbraw/zinc/68/21/09/1071682109.db2.gz QBHHHZCLNJLHPE-JTQLQIEISA-N 0 0 434.517 -0.031 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000502126636 1071682218 /nfs/dbraw/zinc/68/22/18/1071682218.db2.gz QBHHHZCLNJLHPE-SNVBAGLBSA-N 0 0 434.517 -0.031 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000502127210 1071682664 /nfs/dbraw/zinc/68/26/64/1071682664.db2.gz RMKDYRXNGBATMP-ZDUSSCGKSA-N 0 0 433.494 -0.123 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)c1nnnn1-c1ccccc1 ZINC000502128674 1071682652 /nfs/dbraw/zinc/68/26/52/1071682652.db2.gz PQNFVFMCYQONPB-GDBMZVCRSA-N 0 0 447.521 -0.134 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)c1nnnn1-c1ccccc1 ZINC000502128678 1071682672 /nfs/dbraw/zinc/68/26/72/1071682672.db2.gz PQNFVFMCYQONPB-GOEBONIOSA-N 0 0 447.521 -0.134 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)c1nnnn1-c1ccccc1 ZINC000502128681 1071682676 /nfs/dbraw/zinc/68/26/76/1071682676.db2.gz PQNFVFMCYQONPB-HOCLYGCPSA-N 0 0 447.521 -0.134 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)c1nnnn1-c1ccccc1 ZINC000502128682 1071682723 /nfs/dbraw/zinc/68/27/23/1071682723.db2.gz PQNFVFMCYQONPB-ZBFHGGJFSA-N 0 0 447.521 -0.134 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)c(=O)n(C)c1=O ZINC000502129821 1071683232 /nfs/dbraw/zinc/68/32/32/1071683232.db2.gz RBISFIGECOCFAW-LLVKDONJSA-N 0 0 440.503 -0.949 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)c(=O)n(C)c1=O ZINC000502129823 1071683191 /nfs/dbraw/zinc/68/31/91/1071683191.db2.gz RBISFIGECOCFAW-NSHDSACASA-N 0 0 440.503 -0.949 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000502129913 1071683182 /nfs/dbraw/zinc/68/31/82/1071683182.db2.gz UWXVSJAPTZTRLY-UHFFFAOYSA-N 0 0 436.581 -0.228 20 0 IBADRN C[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1nnnn1-c1ccccc1 ZINC000502130021 1071683233 /nfs/dbraw/zinc/68/32/33/1071683233.db2.gz RHVUCFKQJSQZIO-AAEUAGOBSA-N 0 0 430.387 -0.026 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1nnnn1-c1ccccc1 ZINC000502130022 1071683222 /nfs/dbraw/zinc/68/32/22/1071683222.db2.gz RHVUCFKQJSQZIO-YPMHNXCESA-N 0 0 430.387 -0.026 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502130034 1071682718 /nfs/dbraw/zinc/68/27/18/1071682718.db2.gz RJUBKHOMKKDDPJ-AWEZNQCLSA-N 0 0 429.481 -0.065 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000502130035 1071682685 /nfs/dbraw/zinc/68/26/85/1071682685.db2.gz RJUBKHOMKKDDPJ-CQSZACIVSA-N 0 0 429.481 -0.065 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC[C@@H](O)CS(=O)(=O)c3cccs3)cnc2n(C)c1=O ZINC000502134792 1071683205 /nfs/dbraw/zinc/68/32/05/1071683205.db2.gz CVBPPKIQROZKNG-LLVKDONJSA-N 0 0 438.487 -0.742 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC[C@H](O)CS(=O)(=O)c3cccs3)cnc2n(C)c1=O ZINC000502134794 1071683211 /nfs/dbraw/zinc/68/32/11/1071683211.db2.gz CVBPPKIQROZKNG-NSHDSACASA-N 0 0 438.487 -0.742 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2C)C1=O)c1nnnn1-c1ccccc1 ZINC000502135846 1071683226 /nfs/dbraw/zinc/68/32/26/1071683226.db2.gz CBGSLDAEDFKKAQ-DZGCQCFKSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c2ccnn2C)C1=O)c1nnnn1-c1ccccc1 ZINC000502135847 1071683230 /nfs/dbraw/zinc/68/32/30/1071683230.db2.gz CBGSLDAEDFKKAQ-HIFRSBDPSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2C)C1=O)c1nnnn1-c1ccccc1 ZINC000502135848 1071683186 /nfs/dbraw/zinc/68/31/86/1071683186.db2.gz CBGSLDAEDFKKAQ-UKRRQHHQSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@H]1CCCN(c2ccnn2C)C1=O)c1nnnn1-c1ccccc1 ZINC000502135849 1071683228 /nfs/dbraw/zinc/68/32/28/1071683228.db2.gz CBGSLDAEDFKKAQ-ZFWWWQNUSA-N 0 0 437.464 -0.115 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(OC)cc2)C1=O)C(=O)N1CCOCC1 ZINC000502136115 1071683171 /nfs/dbraw/zinc/68/31/71/1071683171.db2.gz GFMCWEQCIUNFMD-MSOLQXFVSA-N 0 0 446.504 -0.334 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(OC)cc2)C1=O)C(=O)N1CCOCC1 ZINC000502136116 1071683179 /nfs/dbraw/zinc/68/31/79/1071683179.db2.gz GFMCWEQCIUNFMD-QZTJIDSGSA-N 0 0 446.504 -0.334 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(OC)cc2)C1=O)C(=O)N1CCOCC1 ZINC000502136117 1071683213 /nfs/dbraw/zinc/68/32/13/1071683213.db2.gz GFMCWEQCIUNFMD-ROUUACIJSA-N 0 0 446.504 -0.334 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(OC)cc2)C1=O)C(=O)N1CCOCC1 ZINC000502136118 1071683203 /nfs/dbraw/zinc/68/32/03/1071683203.db2.gz GFMCWEQCIUNFMD-ZWKOTPCHSA-N 0 0 446.504 -0.334 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000502138534 1071684365 /nfs/dbraw/zinc/68/43/65/1071684365.db2.gz CQDFFSVMHHHCER-UHFFFAOYSA-N 0 0 434.540 -0.803 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000502138701 1071684359 /nfs/dbraw/zinc/68/43/59/1071684359.db2.gz DAQAPKAHRUGTQT-UHFFFAOYSA-N 0 0 426.499 -0.348 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)NCCS(=O)(=O)N1CCSCC1 ZINC000502138733 1071684354 /nfs/dbraw/zinc/68/43/54/1071684354.db2.gz DEFPNVVDKHGDJZ-UHFFFAOYSA-N 0 0 432.572 -0.551 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)c1nnnn1-c1ccccc1 ZINC000502140548 1071684364 /nfs/dbraw/zinc/68/43/64/1071684364.db2.gz OHCOBDYGIUMRFR-DZGCQCFKSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)c1nnnn1-c1ccccc1 ZINC000502140552 1071684375 /nfs/dbraw/zinc/68/43/75/1071684375.db2.gz OHCOBDYGIUMRFR-HIFRSBDPSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)c1nnnn1-c1ccccc1 ZINC000502140554 1071684338 /nfs/dbraw/zinc/68/43/38/1071684338.db2.gz OHCOBDYGIUMRFR-UKRRQHHQSA-N 0 0 437.464 -0.115 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)c1nnnn1-c1ccccc1 ZINC000502140558 1071684350 /nfs/dbraw/zinc/68/43/50/1071684350.db2.gz OHCOBDYGIUMRFR-ZFWWWQNUSA-N 0 0 437.464 -0.115 20 0 IBADRN COCc1cc(N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n2ncnc2n1 ZINC000502144743 1072887062 /nfs/dbraw/zinc/88/70/62/1072887062.db2.gz QCNOOKZXEHNUHH-KBPBESRZSA-N 0 0 425.515 -0.253 20 0 IBADRN COCc1cc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n2ncnc2n1 ZINC000502144744 1071013864 /nfs/dbraw/zinc/01/38/64/1071013864.db2.gz QCNOOKZXEHNUHH-OKILXGFUSA-N 0 0 425.515 -0.253 20 0 IBADRN COCc1cc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n2ncnc2n1 ZINC000502144745 1071014064 /nfs/dbraw/zinc/01/40/64/1071014064.db2.gz QCNOOKZXEHNUHH-ZIAGYGMSSA-N 0 0 425.515 -0.253 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1nc(-c2nc[nH]n2)no1 ZINC000502148827 1071683744 /nfs/dbraw/zinc/68/37/44/1071683744.db2.gz UMWPBVGIWMSHIB-LLVKDONJSA-N 0 0 425.409 -0.073 20 0 IBADRN CC(C)[C@H](NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1nc(-c2nc[nH]n2)no1 ZINC000502148852 1071683766 /nfs/dbraw/zinc/68/37/66/1071683766.db2.gz UMWPBVGIWMSHIB-NSHDSACASA-N 0 0 425.409 -0.073 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)C1 ZINC000502149278 1071683804 /nfs/dbraw/zinc/68/38/04/1071683804.db2.gz ONLXFLAOMFVWJG-DZGCQCFKSA-N 0 0 435.510 -0.232 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)C1 ZINC000502149291 1071683754 /nfs/dbraw/zinc/68/37/54/1071683754.db2.gz ONLXFLAOMFVWJG-HIFRSBDPSA-N 0 0 435.510 -0.232 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)C1 ZINC000502149293 1071683709 /nfs/dbraw/zinc/68/37/09/1071683709.db2.gz ONLXFLAOMFVWJG-UKRRQHHQSA-N 0 0 435.510 -0.232 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)C1 ZINC000502149296 1071683761 /nfs/dbraw/zinc/68/37/61/1071683761.db2.gz ONLXFLAOMFVWJG-ZFWWWQNUSA-N 0 0 435.510 -0.232 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)c1nnnn1-c1ccccc1 ZINC000502152184 1071684334 /nfs/dbraw/zinc/68/43/34/1071684334.db2.gz HOUBJRYIOYMTAR-INIZCTEOSA-N 0 0 440.508 -0.394 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)c1nnnn1-c1ccccc1 ZINC000502152185 1071684377 /nfs/dbraw/zinc/68/43/77/1071684377.db2.gz HOUBJRYIOYMTAR-MRXNPFEDSA-N 0 0 440.508 -0.394 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cccc(C(N)=O)c3)CC2)C[C@H](C)O1 ZINC000502152342 1071684347 /nfs/dbraw/zinc/68/43/47/1071684347.db2.gz PFXFXDFUICYMKP-GASCZTMLSA-N 0 0 439.538 -0.033 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cccc(C(N)=O)c3)CC2)C[C@H](C)O1 ZINC000502152343 1071684391 /nfs/dbraw/zinc/68/43/91/1071684391.db2.gz PFXFXDFUICYMKP-GJZGRUSLSA-N 0 0 439.538 -0.033 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3cccc(C(N)=O)c3)CC2)C[C@@H](C)O1 ZINC000502152344 1071684362 /nfs/dbraw/zinc/68/43/62/1071684362.db2.gz PFXFXDFUICYMKP-HUUCEWRRSA-N 0 0 439.538 -0.033 20 0 IBADRN CC1(C)NC(=O)N(CCN(CCO)c2c(N)n(Cc3ccccc3)c(=O)[nH]c2=O)C1=O ZINC000502152547 1071683790 /nfs/dbraw/zinc/68/37/90/1071683790.db2.gz CUWGEAJVJAAMET-UHFFFAOYSA-N 0 0 430.465 -0.292 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H](C)c3nnnn3-c3ccccc3)CC2)ncn1 ZINC000502152700 1071683771 /nfs/dbraw/zinc/68/37/71/1071683771.db2.gz ZMVCRVMAXFGTPG-AWEZNQCLSA-N 0 0 437.464 -0.013 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H](C)c3nnnn3-c3ccccc3)CC2)ncn1 ZINC000502152701 1071683727 /nfs/dbraw/zinc/68/37/27/1071683727.db2.gz ZMVCRVMAXFGTPG-CQSZACIVSA-N 0 0 437.464 -0.013 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502153218 1071684368 /nfs/dbraw/zinc/68/43/68/1071684368.db2.gz GMSKKBAOTGWKMC-UHFFFAOYSA-N 0 0 435.616 -0.345 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2ccc3nncn3n2)CC1)c1nnnn1-c1ccccc1 ZINC000502153995 1071684324 /nfs/dbraw/zinc/68/43/24/1071684324.db2.gz LGWNIESKPQZYBZ-AWEZNQCLSA-N 0 0 447.463 -0.374 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2ccc3nncn3n2)CC1)c1nnnn1-c1ccccc1 ZINC000502153996 1071684331 /nfs/dbraw/zinc/68/43/31/1071684331.db2.gz LGWNIESKPQZYBZ-CQSZACIVSA-N 0 0 447.463 -0.374 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000502154381 1071684343 /nfs/dbraw/zinc/68/43/43/1071684343.db2.gz UVZKDFOZUQUJBQ-UHFFFAOYSA-N 0 0 430.552 -0.011 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000502173178 1071684920 /nfs/dbraw/zinc/68/49/20/1071684920.db2.gz PZRJGMYMYMTANI-UHFFFAOYSA-N 0 0 428.449 -0.783 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502173645 1071684383 /nfs/dbraw/zinc/68/43/83/1071684383.db2.gz IGAPDQJZHKSEIC-UHFFFAOYSA-N 0 0 442.629 -0.132 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502175078 1071684893 /nfs/dbraw/zinc/68/48/93/1071684893.db2.gz UXIZKXGEMRCUNW-HNNXBMFYSA-N 0 0 435.616 -0.393 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502175079 1071684916 /nfs/dbraw/zinc/68/49/16/1071684916.db2.gz UXIZKXGEMRCUNW-OAHLLOKOSA-N 0 0 435.616 -0.393 20 0 IBADRN O=C(NCCN1C(=O)c2ccccc2C1=O)NCCS(=O)(=O)N1CCSCC1 ZINC000502177036 1071684984 /nfs/dbraw/zinc/68/49/84/1071684984.db2.gz RABZRCLCUXKWQV-UHFFFAOYSA-N 0 0 426.520 -0.040 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502183648 1071684959 /nfs/dbraw/zinc/68/49/59/1071684959.db2.gz ZOLOHYTYKQYFJK-UHFFFAOYSA-N 0 0 428.602 -0.522 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000502185193 1071684859 /nfs/dbraw/zinc/68/48/59/1071684859.db2.gz CNTCLYOJTUMCNI-UHFFFAOYSA-N 0 0 430.552 -0.011 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000502192854 1071684849 /nfs/dbraw/zinc/68/48/49/1071684849.db2.gz FZHZVKYDXKQOON-NRFANRHFSA-N 0 0 442.476 -0.194 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC[C@](O)(Cn2ccnn2)C1 ZINC000502192855 1071684996 /nfs/dbraw/zinc/68/49/96/1071684996.db2.gz FZHZVKYDXKQOON-OAQYLSRUSA-N 0 0 442.476 -0.194 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000502194198 1071684888 /nfs/dbraw/zinc/68/48/88/1071684888.db2.gz IXRQKTHATXDAFZ-UHFFFAOYSA-N 0 0 431.492 -0.774 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)NCCS(=O)(=O)N1CCSCC1 ZINC000502194374 1071685548 /nfs/dbraw/zinc/68/55/48/1071685548.db2.gz FETKNJWIGCCZQE-UHFFFAOYSA-N 0 0 436.581 -0.357 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)nc1 ZINC000502195737 1071685541 /nfs/dbraw/zinc/68/55/41/1071685541.db2.gz JSYBYLYGGXUMBP-UHFFFAOYSA-N 0 0 442.567 -0.609 20 0 IBADRN CS(=O)(=O)Nc1cncc(C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000502195997 1071685608 /nfs/dbraw/zinc/68/56/08/1071685608.db2.gz HNIBUFMMTXQTKM-UHFFFAOYSA-N 0 0 425.492 -0.005 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000502199282 1071685593 /nfs/dbraw/zinc/68/55/93/1071685593.db2.gz VEYNYRKTAUOCIV-UHFFFAOYSA-N 0 0 431.493 -0.399 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000502199510 1071685606 /nfs/dbraw/zinc/68/56/06/1071685606.db2.gz DGZLUPZTFIBMDN-CYBMUJFWSA-N 0 0 428.602 -0.664 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000502199511 1071685559 /nfs/dbraw/zinc/68/55/59/1071685559.db2.gz DGZLUPZTFIBMDN-ZDUSSCGKSA-N 0 0 428.602 -0.664 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000502200649 1071685620 /nfs/dbraw/zinc/68/56/20/1071685620.db2.gz XWLLDKMLWZWPBL-UHFFFAOYSA-N 0 0 442.476 -0.393 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502201734 1071685581 /nfs/dbraw/zinc/68/55/81/1071685581.db2.gz GPIWYKOEYXHDJF-AWEZNQCLSA-N 0 0 433.600 -0.641 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502201737 1071685577 /nfs/dbraw/zinc/68/55/77/1071685577.db2.gz GPIWYKOEYXHDJF-CQSZACIVSA-N 0 0 433.600 -0.641 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502206093 1071684833 /nfs/dbraw/zinc/68/48/33/1071684833.db2.gz WJHQASYHUSSUSP-UHFFFAOYSA-N 0 0 449.643 -0.049 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1)N1CCCC1 ZINC000502207827 1071684928 /nfs/dbraw/zinc/68/49/28/1071684928.db2.gz ZDAOXZVXEYRQLU-UHFFFAOYSA-N 0 0 433.600 -0.685 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCN(C(=O)c2ccccn2)CC1 ZINC000502207902 1071684821 /nfs/dbraw/zinc/68/48/21/1071684821.db2.gz ZJBQCNBHVSMTOE-UHFFFAOYSA-N 0 0 427.552 -0.072 20 0 IBADRN CC(C)(C)S(=O)(=O)CC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000502208244 1071684878 /nfs/dbraw/zinc/68/48/78/1071684878.db2.gz LZYVKPVJXOSKBP-UHFFFAOYSA-N 0 0 432.568 -0.137 20 0 IBADRN CCOC(=O)c1cnc(C)c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000502209094 1071684903 /nfs/dbraw/zinc/68/49/03/1071684903.db2.gz MTOOAYITSMLNTI-UHFFFAOYSA-N 0 0 426.495 -0.098 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C2(NC(N)=O)CC2)CC1 ZINC000502209938 1071684947 /nfs/dbraw/zinc/68/49/47/1071684947.db2.gz SXEQTDCGUQQRLC-UHFFFAOYSA-N 0 0 428.467 -0.283 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)c3cccc(F)c3)CC2)c(=O)[nH]c1=O ZINC000502210902 1071685589 /nfs/dbraw/zinc/68/55/89/1071685589.db2.gz BUBJAEDISPPAGM-UHFFFAOYSA-N 0 0 433.440 -0.043 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc(S(C)(=O)=O)cs3)CC2)CC1 ZINC000502211505 1071685554 /nfs/dbraw/zinc/68/55/54/1071685554.db2.gz WROGZIXGGFDZOP-UHFFFAOYSA-N 0 0 436.581 -0.598 20 0 IBADRN COCCn1c(N)c(N(CC(=O)N2CCNC(=O)C2)Cc2ccccc2)c(=O)[nH]c1=O ZINC000502211507 1071685586 /nfs/dbraw/zinc/68/55/86/1071685586.db2.gz WDHXLGUZDROQTM-UHFFFAOYSA-N 0 0 430.465 -0.858 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@](O)(Cn3ccnn3)C2)cc(S(C)(=O)=O)c1 ZINC000502211523 1071685602 /nfs/dbraw/zinc/68/56/02/1071685602.db2.gz WHLYLTSYPMFFFM-GOSISDBHSA-N 0 0 437.478 -0.318 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@](O)(Cn3ccnn3)C2)cc(S(C)(=O)=O)c1 ZINC000502211524 1071685565 /nfs/dbraw/zinc/68/55/65/1071685565.db2.gz WHLYLTSYPMFFFM-SFHVURJKSA-N 0 0 437.478 -0.318 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)C(C)(C)C)CC2)C[C@H](C)O1 ZINC000502213649 1071686067 /nfs/dbraw/zinc/68/60/67/1071686067.db2.gz QHGQIXYFYYHZFF-KBPBESRZSA-N 0 0 425.573 -0.302 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)C(C)(C)C)CC2)C[C@H](C)O1 ZINC000502213655 1071686135 /nfs/dbraw/zinc/68/61/35/1071686135.db2.gz QHGQIXYFYYHZFF-OKILXGFUSA-N 0 0 425.573 -0.302 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)C(C)(C)C)CC2)C[C@@H](C)O1 ZINC000502213659 1071686130 /nfs/dbraw/zinc/68/61/30/1071686130.db2.gz QHGQIXYFYYHZFF-ZIAGYGMSSA-N 0 0 425.573 -0.302 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000502215716 1071686052 /nfs/dbraw/zinc/68/60/52/1071686052.db2.gz RLDVLVPKXQXIDY-UHFFFAOYSA-N 0 0 429.568 -0.240 20 0 IBADRN CN(C1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1)S(C)(=O)=O ZINC000502216020 1071686029 /nfs/dbraw/zinc/68/60/29/1071686029.db2.gz SQRNEQGCWFMXPY-UHFFFAOYSA-N 0 0 428.602 -0.570 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000502218130 1071686057 /nfs/dbraw/zinc/68/60/57/1071686057.db2.gz HBURSOZNAYXDJO-UHFFFAOYSA-N 0 0 428.449 -0.783 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC[C@](O)(Cn2ccnn2)C1 ZINC000502222370 1071686097 /nfs/dbraw/zinc/68/60/97/1071686097.db2.gz HLZMPAMUMCACHX-GOSISDBHSA-N 0 0 436.494 -0.479 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000502222371 1071686080 /nfs/dbraw/zinc/68/60/80/1071686080.db2.gz HLZMPAMUMCACHX-SFHVURJKSA-N 0 0 436.494 -0.479 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3cccc(C(N)=O)c3)CC2)o1 ZINC000502223035 1071686114 /nfs/dbraw/zinc/68/61/14/1071686114.db2.gz TUXGGDMNFVYPHT-UHFFFAOYSA-N 0 0 435.462 -0.307 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)no2)cn1 ZINC000502226872 1071685572 /nfs/dbraw/zinc/68/55/72/1071685572.db2.gz BEYLYEWTQKENJW-ILXRZTDVSA-N 0 0 443.464 -0.360 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)no2)cn1 ZINC000502226875 1071685600 /nfs/dbraw/zinc/68/56/00/1071685600.db2.gz BEYLYEWTQKENJW-KFWWJZLASA-N 0 0 443.464 -0.360 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)no2)cn1 ZINC000502226876 1071685584 /nfs/dbraw/zinc/68/55/84/1071685584.db2.gz BEYLYEWTQKENJW-KKUMJFAQSA-N 0 0 443.464 -0.360 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)no2)cn1 ZINC000502226879 1071685627 /nfs/dbraw/zinc/68/56/27/1071685627.db2.gz BEYLYEWTQKENJW-ZNMIVQPWSA-N 0 0 443.464 -0.360 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000502227384 1071685617 /nfs/dbraw/zinc/68/56/17/1071685617.db2.gz CKSNZGODQYYKIS-FGTMMUONSA-N 0 0 433.553 -0.199 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000502227385 1071685597 /nfs/dbraw/zinc/68/55/97/1071685597.db2.gz CKSNZGODQYYKIS-KURKYZTESA-N 0 0 433.553 -0.199 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000502227386 1071686109 /nfs/dbraw/zinc/68/61/09/1071686109.db2.gz CKSNZGODQYYKIS-KZNAEPCWSA-N 0 0 433.553 -0.199 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000502227387 1071686039 /nfs/dbraw/zinc/68/60/39/1071686039.db2.gz CKSNZGODQYYKIS-SQNIBIBYSA-N 0 0 433.553 -0.199 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000502228235 1071686072 /nfs/dbraw/zinc/68/60/72/1071686072.db2.gz TVVSZXAEGCRGHB-UHFFFAOYSA-N 0 0 439.538 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)s1 ZINC000502228857 1071686084 /nfs/dbraw/zinc/68/60/84/1071686084.db2.gz GJLAZILPXXTBBW-CHWSQXEVSA-N 0 0 428.536 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)s1 ZINC000502228858 1071686089 /nfs/dbraw/zinc/68/60/89/1071686089.db2.gz GJLAZILPXXTBBW-OLZOCXBDSA-N 0 0 428.536 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)s1 ZINC000502228859 1071686034 /nfs/dbraw/zinc/68/60/34/1071686034.db2.gz GJLAZILPXXTBBW-QWHCGFSZSA-N 0 0 428.536 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)s1 ZINC000502228860 1071686036 /nfs/dbraw/zinc/68/60/36/1071686036.db2.gz GJLAZILPXXTBBW-STQMWFEESA-N 0 0 428.536 -0.279 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)CC2)CC1 ZINC000502228922 1071686102 /nfs/dbraw/zinc/68/61/02/1071686102.db2.gz GVGUMNSREVAMPD-MSOLQXFVSA-N 0 0 433.553 -0.341 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)CC2)CC1 ZINC000502228923 1071686122 /nfs/dbraw/zinc/68/61/22/1071686122.db2.gz GVGUMNSREVAMPD-QZTJIDSGSA-N 0 0 433.553 -0.341 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)CC2)CC1 ZINC000502228924 1071686091 /nfs/dbraw/zinc/68/60/91/1071686091.db2.gz GVGUMNSREVAMPD-ROUUACIJSA-N 0 0 433.553 -0.341 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)CC2)CC1 ZINC000502228925 1071686043 /nfs/dbraw/zinc/68/60/43/1071686043.db2.gz GVGUMNSREVAMPD-ZWKOTPCHSA-N 0 0 433.553 -0.341 20 0 IBADRN CC(C)c1nc([C@@H]2COCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000502229260 1071686791 /nfs/dbraw/zinc/68/67/91/1071686791.db2.gz HBKGJKTZAAPULV-CVEARBPZSA-N 0 0 441.554 -0.102 20 0 IBADRN CC(C)c1nc([C@@H]2COCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000502229261 1071686749 /nfs/dbraw/zinc/68/67/49/1071686749.db2.gz HBKGJKTZAAPULV-HOTGVXAUSA-N 0 0 441.554 -0.102 20 0 IBADRN CC(C)c1nc([C@H]2COCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000502229262 1071686825 /nfs/dbraw/zinc/68/68/25/1071686825.db2.gz HBKGJKTZAAPULV-HZPDHXFCSA-N 0 0 441.554 -0.102 20 0 IBADRN CC(C)c1nc([C@H]2COCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000502229263 1071686812 /nfs/dbraw/zinc/68/68/12/1071686812.db2.gz HBKGJKTZAAPULV-JKSUJKDBSA-N 0 0 441.554 -0.102 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000502230122 1071686821 /nfs/dbraw/zinc/68/68/21/1071686821.db2.gz HKOUYPKLRXLMRJ-CVEARBPZSA-N 0 0 435.506 -0.816 20 0 IBADRN O=C(N[C@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000502230125 1071686776 /nfs/dbraw/zinc/68/67/76/1071686776.db2.gz HKOUYPKLRXLMRJ-HOTGVXAUSA-N 0 0 435.506 -0.816 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000502230127 1071687248 /nfs/dbraw/zinc/68/72/48/1071687248.db2.gz HKOUYPKLRXLMRJ-HZPDHXFCSA-N 0 0 435.506 -0.816 20 0 IBADRN O=C(N[C@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000502230128 1071687229 /nfs/dbraw/zinc/68/72/29/1071687229.db2.gz HKOUYPKLRXLMRJ-JKSUJKDBSA-N 0 0 435.506 -0.816 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000502231960 1071687208 /nfs/dbraw/zinc/68/72/08/1071687208.db2.gz NSODEHRLFPNSGQ-AEFFLSMTSA-N 0 0 446.529 -0.141 20 0 IBADRN O=C(N[C@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000502231961 1071687182 /nfs/dbraw/zinc/68/71/82/1071687182.db2.gz NSODEHRLFPNSGQ-FUHWJXTLSA-N 0 0 446.529 -0.141 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000502231962 1071687192 /nfs/dbraw/zinc/68/71/92/1071687192.db2.gz NSODEHRLFPNSGQ-SJLPKXTDSA-N 0 0 446.529 -0.141 20 0 IBADRN O=C(N[C@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000502231963 1071687237 /nfs/dbraw/zinc/68/72/37/1071687237.db2.gz NSODEHRLFPNSGQ-WMZOPIPTSA-N 0 0 446.529 -0.141 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000502233778 1071687262 /nfs/dbraw/zinc/68/72/62/1071687262.db2.gz RAMPNKCCYASZSS-AWEZNQCLSA-N 0 0 432.568 -0.333 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000502233783 1071687253 /nfs/dbraw/zinc/68/72/53/1071687253.db2.gz RAMPNKCCYASZSS-CQSZACIVSA-N 0 0 432.568 -0.333 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)nn1 ZINC000502234039 1071687158 /nfs/dbraw/zinc/68/71/58/1071687158.db2.gz OMHLKFARUWFIOG-INIZCTEOSA-N 0 0 444.492 -0.030 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)nn1 ZINC000502234040 1071687117 /nfs/dbraw/zinc/68/71/17/1071687117.db2.gz OMHLKFARUWFIOG-MRXNPFEDSA-N 0 0 444.492 -0.030 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)C1 ZINC000502237591 1071686787 /nfs/dbraw/zinc/68/67/87/1071686787.db2.gz BEPHPHQRJXGYFN-BZUAXINKSA-N 0 0 444.554 -0.845 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)C1 ZINC000502237593 1071686834 /nfs/dbraw/zinc/68/68/34/1071686834.db2.gz BEPHPHQRJXGYFN-OAGGEKHMSA-N 0 0 444.554 -0.845 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)C1 ZINC000502237594 1071686816 /nfs/dbraw/zinc/68/68/16/1071686816.db2.gz BEPHPHQRJXGYFN-OWCLPIDISA-N 0 0 444.554 -0.845 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)C1 ZINC000502237596 1071686768 /nfs/dbraw/zinc/68/67/68/1071686768.db2.gz BEPHPHQRJXGYFN-PMPSAXMXSA-N 0 0 444.554 -0.845 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)C(=O)N[C@@H]4CC(=O)N5CCCC[C@@H]45)CC3)n2n1 ZINC000502240845 1071686820 /nfs/dbraw/zinc/68/68/20/1071686820.db2.gz GZKZISKJRZNCLI-CABCVRRESA-N 0 0 426.481 -0.649 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)C(=O)N[C@H]4CC(=O)N5CCCC[C@@H]45)CC3)n2n1 ZINC000502240846 1071686823 /nfs/dbraw/zinc/68/68/23/1071686823.db2.gz GZKZISKJRZNCLI-GJZGRUSLSA-N 0 0 426.481 -0.649 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)C(=O)N[C@@H]4CC(=O)N5CCCC[C@H]45)CC3)n2n1 ZINC000502240847 1071686743 /nfs/dbraw/zinc/68/67/43/1071686743.db2.gz GZKZISKJRZNCLI-HUUCEWRRSA-N 0 0 426.481 -0.649 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)C(=O)N[C@H]4CC(=O)N5CCCC[C@H]45)CC3)n2n1 ZINC000502240848 1071686782 /nfs/dbraw/zinc/68/67/82/1071686782.db2.gz GZKZISKJRZNCLI-LSDHHAIUSA-N 0 0 426.481 -0.649 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502248113 1071686794 /nfs/dbraw/zinc/68/67/94/1071686794.db2.gz BCBCHHZEVGYVPM-UHFFFAOYSA-N 0 0 428.602 -0.664 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CCC[C@@H]1c1nc(C(=O)NC2CC2)no1 ZINC000502248179 1071686760 /nfs/dbraw/zinc/68/67/60/1071686760.db2.gz AWFIDKJIHJYQMH-HZSPNIEDSA-N 0 0 430.465 -0.105 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CCC[C@H]1c1nc(C(=O)NC2CC2)no1 ZINC000502248181 1071686803 /nfs/dbraw/zinc/68/68/03/1071686803.db2.gz AWFIDKJIHJYQMH-MCIONIFRSA-N 0 0 430.465 -0.105 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CCC[C@@H]1c1nc(C(=O)NC2CC2)no1 ZINC000502248183 1071686801 /nfs/dbraw/zinc/68/68/01/1071686801.db2.gz AWFIDKJIHJYQMH-MGPQQGTHSA-N 0 0 430.465 -0.105 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CCC[C@H]1c1nc(C(=O)NC2CC2)no1 ZINC000502248185 1071686830 /nfs/dbraw/zinc/68/68/30/1071686830.db2.gz AWFIDKJIHJYQMH-RDBSUJKOSA-N 0 0 430.465 -0.105 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)N2CCSCC2)s1 ZINC000502251232 1071687912 /nfs/dbraw/zinc/68/79/12/1071687912.db2.gz IVUJVJOFOBUSQM-UHFFFAOYSA-N 0 0 442.610 -0.166 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccccc2S(=O)(=O)CCN(C)C)CC1 ZINC000502252120 1071687923 /nfs/dbraw/zinc/68/79/23/1071687923.db2.gz IGAPIEUPBSWSOE-UHFFFAOYSA-N 0 0 440.566 -0.458 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC1)NC1CCCCC1 ZINC000502254623 1071687852 /nfs/dbraw/zinc/68/78/52/1071687852.db2.gz KKXQOTRMAJLJMQ-MSOLQXFVSA-N 0 0 433.553 -0.151 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC1)NC1CCCCC1 ZINC000502254627 1071687790 /nfs/dbraw/zinc/68/77/90/1071687790.db2.gz KKXQOTRMAJLJMQ-QZTJIDSGSA-N 0 0 433.553 -0.151 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC1)NC1CCCCC1 ZINC000502254628 1071687763 /nfs/dbraw/zinc/68/77/63/1071687763.db2.gz KKXQOTRMAJLJMQ-ROUUACIJSA-N 0 0 433.553 -0.151 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC1)NC1CCCCC1 ZINC000502254629 1071687775 /nfs/dbraw/zinc/68/77/75/1071687775.db2.gz KKXQOTRMAJLJMQ-ZWKOTPCHSA-N 0 0 433.553 -0.151 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000502254738 1071687880 /nfs/dbraw/zinc/68/78/80/1071687880.db2.gz LKZQAANIIVFSML-UHFFFAOYSA-N 0 0 434.584 -0.355 20 0 IBADRN Cc1cc(F)ccc1-n1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nn1 ZINC000502255180 1071687908 /nfs/dbraw/zinc/68/79/08/1071687908.db2.gz KNOAWDTUWIYAGL-UHFFFAOYSA-N 0 0 430.440 -0.142 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC1)N1CCCCCC1 ZINC000502256504 1071687872 /nfs/dbraw/zinc/68/78/72/1071687872.db2.gz NUGVOMIXHJTKKT-MSOLQXFVSA-N 0 0 433.553 -0.197 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC1)N1CCCCCC1 ZINC000502256506 1071687841 /nfs/dbraw/zinc/68/78/41/1071687841.db2.gz NUGVOMIXHJTKKT-QZTJIDSGSA-N 0 0 433.553 -0.197 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC1)N1CCCCCC1 ZINC000502256508 1071687754 /nfs/dbraw/zinc/68/77/54/1071687754.db2.gz NUGVOMIXHJTKKT-ROUUACIJSA-N 0 0 433.553 -0.197 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC1)N1CCCCCC1 ZINC000502256510 1071687901 /nfs/dbraw/zinc/68/79/01/1071687901.db2.gz NUGVOMIXHJTKKT-ZWKOTPCHSA-N 0 0 433.553 -0.197 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)NCCS(=O)(=O)N1CCSCC1 ZINC000502257491 1071687890 /nfs/dbraw/zinc/68/78/90/1071687890.db2.gz PQLGRANQPMCLLZ-UHFFFAOYSA-N 0 0 446.642 -0.957 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC(=O)N1C ZINC000502258277 1071688483 /nfs/dbraw/zinc/68/84/83/1071688483.db2.gz PGHGRJBLCNKYQO-BKEDOTJMSA-N 0 0 430.509 -0.305 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC(=O)N1C ZINC000502258278 1071688382 /nfs/dbraw/zinc/68/83/82/1071688382.db2.gz PGHGRJBLCNKYQO-DEXNDLTESA-N 0 0 430.509 -0.305 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC(=O)N1C ZINC000502258279 1071688476 /nfs/dbraw/zinc/68/84/76/1071688476.db2.gz PGHGRJBLCNKYQO-NRTGNBEESA-N 0 0 430.509 -0.305 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC(=O)N1C ZINC000502258280 1071688502 /nfs/dbraw/zinc/68/85/02/1071688502.db2.gz PGHGRJBLCNKYQO-QCUYGVNKSA-N 0 0 430.509 -0.305 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000502258694 1071688429 /nfs/dbraw/zinc/68/84/29/1071688429.db2.gz RKOYZQBVLBWQPP-UHFFFAOYSA-N 0 0 436.581 -0.446 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)n1 ZINC000502258793 1071688498 /nfs/dbraw/zinc/68/84/98/1071688498.db2.gz VCGOPNFZGTXFIH-UHFFFAOYSA-N 0 0 430.556 -0.305 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CCN(C[C@H](O)COc2ccccc2)CC1 ZINC000502262537 1071688515 /nfs/dbraw/zinc/68/85/15/1071688515.db2.gz DAWWIXWMUHIVBN-DFQSSKMNSA-N 0 0 444.532 -0.160 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CCN(C[C@@H](O)COc2ccccc2)CC1 ZINC000502262538 1071688415 /nfs/dbraw/zinc/68/84/15/1071688415.db2.gz DAWWIXWMUHIVBN-MISYRCLQSA-N 0 0 444.532 -0.160 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CCN(C[C@@H](O)COc2ccccc2)CC1 ZINC000502262539 1071688461 /nfs/dbraw/zinc/68/84/61/1071688461.db2.gz DAWWIXWMUHIVBN-RLLQIKCJSA-N 0 0 444.532 -0.160 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CCN(C[C@H](O)COc2ccccc2)CC1 ZINC000502262540 1071688449 /nfs/dbraw/zinc/68/84/49/1071688449.db2.gz DAWWIXWMUHIVBN-SXLOBPIMSA-N 0 0 444.532 -0.160 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1 ZINC000502262924 1071688519 /nfs/dbraw/zinc/68/85/19/1071688519.db2.gz VYDAINYJWCJUMN-ARFHVFGLSA-N 0 0 428.511 -0.489 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1 ZINC000502262925 1071688512 /nfs/dbraw/zinc/68/85/12/1071688512.db2.gz VYDAINYJWCJUMN-BZUAXINKSA-N 0 0 428.511 -0.489 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1 ZINC000502262926 1071688468 /nfs/dbraw/zinc/68/84/68/1071688468.db2.gz VYDAINYJWCJUMN-HRCADAONSA-N 0 0 428.511 -0.489 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1 ZINC000502262927 1071688494 /nfs/dbraw/zinc/68/84/94/1071688494.db2.gz VYDAINYJWCJUMN-OWCLPIDISA-N 0 0 428.511 -0.489 20 0 IBADRN Cc1c([C@H]2[C@H](CNC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)CC(=O)N2C)cnn1C ZINC000502263569 1071687150 /nfs/dbraw/zinc/68/71/50/1071687150.db2.gz XNOZYZOHUGYDMG-GKDNMFGBSA-N 0 0 430.509 -0.366 20 0 IBADRN Cc1c([C@H]2[C@H](CNC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)CC(=O)N2C)cnn1C ZINC000502263570 1071687178 /nfs/dbraw/zinc/68/71/78/1071687178.db2.gz XNOZYZOHUGYDMG-HWLIBYHHSA-N 0 0 430.509 -0.366 20 0 IBADRN Cc1c([C@H]2[C@H](CNC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)CC(=O)N2C)cnn1C ZINC000502263571 1071687215 /nfs/dbraw/zinc/68/72/15/1071687215.db2.gz XNOZYZOHUGYDMG-NQUFMGSDSA-N 0 0 430.509 -0.366 20 0 IBADRN Cc1c([C@H]2[C@H](CNC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)CC(=O)N2C)cnn1C ZINC000502263572 1071687224 /nfs/dbraw/zinc/68/72/24/1071687224.db2.gz XNOZYZOHUGYDMG-QBWPOKONSA-N 0 0 430.509 -0.366 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000502265799 1071687859 /nfs/dbraw/zinc/68/78/59/1071687859.db2.gz ALFAXOOICLNWPR-CVEARBPZSA-N 0 0 435.543 -0.804 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000502265817 1071687782 /nfs/dbraw/zinc/68/77/82/1071687782.db2.gz ALFAXOOICLNWPR-HOTGVXAUSA-N 0 0 435.543 -0.804 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000502265819 1071687868 /nfs/dbraw/zinc/68/78/68/1071687868.db2.gz ALFAXOOICLNWPR-HZPDHXFCSA-N 0 0 435.543 -0.804 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000502265821 1071687843 /nfs/dbraw/zinc/68/78/43/1071687843.db2.gz ALFAXOOICLNWPR-JKSUJKDBSA-N 0 0 435.543 -0.804 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000502268303 1071689529 /nfs/dbraw/zinc/68/95/29/1071689529.db2.gz GCCBYHSRQTYGSA-ARFHVFGLSA-N 0 0 435.543 -0.804 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000502268304 1071689561 /nfs/dbraw/zinc/68/95/61/1071689561.db2.gz GCCBYHSRQTYGSA-BZUAXINKSA-N 0 0 435.543 -0.804 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000502268305 1071689572 /nfs/dbraw/zinc/68/95/72/1071689572.db2.gz GCCBYHSRQTYGSA-HRCADAONSA-N 0 0 435.543 -0.804 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000502268306 1071689627 /nfs/dbraw/zinc/68/96/27/1071689627.db2.gz GCCBYHSRQTYGSA-OWCLPIDISA-N 0 0 435.543 -0.804 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502272797 1071688400 /nfs/dbraw/zinc/68/84/00/1071688400.db2.gz UYSPTPHMQZADAZ-MSOLQXFVSA-N 0 0 448.545 -0.070 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502272798 1071688508 /nfs/dbraw/zinc/68/85/08/1071688508.db2.gz UYSPTPHMQZADAZ-QZTJIDSGSA-N 0 0 448.545 -0.070 20 0 IBADRN O=C(N[C@H]1CC(=O)N2CCCC[C@@H]12)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502272799 1071688454 /nfs/dbraw/zinc/68/84/54/1071688454.db2.gz UYSPTPHMQZADAZ-ROUUACIJSA-N 0 0 448.545 -0.070 20 0 IBADRN O=C(N[C@H]1CC(=O)N2CCCC[C@H]12)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502272800 1071688488 /nfs/dbraw/zinc/68/84/88/1071688488.db2.gz UYSPTPHMQZADAZ-ZWKOTPCHSA-N 0 0 448.545 -0.070 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(OC)c(F)c2)c(=O)n1CC(=O)NCCOC ZINC000502274196 1071688504 /nfs/dbraw/zinc/68/85/04/1071688504.db2.gz FFIVRWAZPYOVIY-UHFFFAOYSA-N 0 0 438.477 -0.008 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000502274724 1071688986 /nfs/dbraw/zinc/68/89/86/1071688986.db2.gz YWLKXLPKZKEWOQ-CABCVRRESA-N 0 0 426.481 -0.719 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000502274725 1071688994 /nfs/dbraw/zinc/68/89/94/1071688994.db2.gz YWLKXLPKZKEWOQ-GJZGRUSLSA-N 0 0 426.481 -0.719 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000502274726 1071688885 /nfs/dbraw/zinc/68/88/85/1071688885.db2.gz YWLKXLPKZKEWOQ-HUUCEWRRSA-N 0 0 426.481 -0.719 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000502274727 1071688921 /nfs/dbraw/zinc/68/89/21/1071688921.db2.gz YWLKXLPKZKEWOQ-LSDHHAIUSA-N 0 0 426.481 -0.719 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000502275067 1071688441 /nfs/dbraw/zinc/68/84/41/1071688441.db2.gz ZBIIIEPUYUEINW-ARFHVFGLSA-N 0 0 431.497 -0.233 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000502275068 1071688939 /nfs/dbraw/zinc/68/89/39/1071688939.db2.gz ZBIIIEPUYUEINW-BZUAXINKSA-N 0 0 431.497 -0.233 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000502275069 1071688943 /nfs/dbraw/zinc/68/89/43/1071688943.db2.gz ZBIIIEPUYUEINW-HRCADAONSA-N 0 0 431.497 -0.233 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000502275070 1071688908 /nfs/dbraw/zinc/68/89/08/1071688908.db2.gz ZBIIIEPUYUEINW-OWCLPIDISA-N 0 0 431.497 -0.233 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000502276316 1071689003 /nfs/dbraw/zinc/68/90/03/1071689003.db2.gz XPKOZJKTRBDZPA-CVEARBPZSA-N 0 0 426.495 -0.775 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000502276317 1071688857 /nfs/dbraw/zinc/68/88/57/1071688857.db2.gz XPKOZJKTRBDZPA-HOTGVXAUSA-N 0 0 426.495 -0.775 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000502276318 1071688981 /nfs/dbraw/zinc/68/89/81/1071688981.db2.gz XPKOZJKTRBDZPA-HZPDHXFCSA-N 0 0 426.495 -0.775 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000502276319 1071688976 /nfs/dbraw/zinc/68/89/76/1071688976.db2.gz XPKOZJKTRBDZPA-JKSUJKDBSA-N 0 0 426.495 -0.775 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)CC2)C1 ZINC000502276599 1071688959 /nfs/dbraw/zinc/68/89/59/1071688959.db2.gz LKGHQPHPWLQSJD-FCGDIQPGSA-N 0 0 447.580 -0.095 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)CC2)C1 ZINC000502276600 1071688954 /nfs/dbraw/zinc/68/89/54/1071688954.db2.gz LKGHQPHPWLQSJD-HCXYKTFWSA-N 0 0 447.580 -0.095 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)CC2)C1 ZINC000502276601 1071688966 /nfs/dbraw/zinc/68/89/66/1071688966.db2.gz LKGHQPHPWLQSJD-RDGPPVDQSA-N 0 0 447.580 -0.095 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)CC2)C1 ZINC000502276602 1071688946 /nfs/dbraw/zinc/68/89/46/1071688946.db2.gz LKGHQPHPWLQSJD-ZSYWTGECSA-N 0 0 447.580 -0.095 20 0 IBADRN COCCN(C(=O)CCC(=O)N[C@@H]1CCOC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000502279746 1071688914 /nfs/dbraw/zinc/68/89/14/1071688914.db2.gz DWODUCMBFKSCHC-CYBMUJFWSA-N 0 0 425.486 -0.148 20 0 IBADRN COCCN(C(=O)CCC(=O)N[C@H]1CCOC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000502279747 1071689629 /nfs/dbraw/zinc/68/96/29/1071689629.db2.gz DWODUCMBFKSCHC-ZDUSSCGKSA-N 0 0 425.486 -0.148 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCOC1)c(=O)n2Cc1ccccc1 ZINC000502282195 1071689605 /nfs/dbraw/zinc/68/96/05/1071689605.db2.gz MITSCSZSULDPAS-INIZCTEOSA-N 0 0 427.461 -0.041 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCOC1)c(=O)n2Cc1ccccc1 ZINC000502282197 1071689577 /nfs/dbraw/zinc/68/95/77/1071689577.db2.gz MITSCSZSULDPAS-MRXNPFEDSA-N 0 0 427.461 -0.041 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000502283665 1071689515 /nfs/dbraw/zinc/68/95/15/1071689515.db2.gz RVZSZAFYDWDZBI-UHFFFAOYSA-N 0 0 429.430 -0.480 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCOC[C@H]2OCC)CC1 ZINC000502283756 1071689609 /nfs/dbraw/zinc/68/96/09/1071689609.db2.gz BBXCOSPYKGACKD-DLBZAZTESA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCOC[C@H]2OCC)CC1 ZINC000502283759 1071689596 /nfs/dbraw/zinc/68/95/96/1071689596.db2.gz BBXCOSPYKGACKD-IAGOWNOFSA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCOC[C@@H]2OCC)CC1 ZINC000502283760 1071689480 /nfs/dbraw/zinc/68/94/80/1071689480.db2.gz BBXCOSPYKGACKD-IRXDYDNUSA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCC)CC1 ZINC000502283761 1071689566 /nfs/dbraw/zinc/68/95/66/1071689566.db2.gz BBXCOSPYKGACKD-SJORKVTESA-N 0 0 448.586 -0.192 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)C2)no1 ZINC000502285219 1073367803 /nfs/dbraw/zinc/36/78/03/1073367803.db2.gz UMDKKYQPZUBLNN-BZUAXINKSA-N 0 0 446.508 -0.149 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)C2)no1 ZINC000502285220 1073367820 /nfs/dbraw/zinc/36/78/20/1073367820.db2.gz UMDKKYQPZUBLNN-OAGGEKHMSA-N 0 0 446.508 -0.149 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)C2)no1 ZINC000502285221 1073367881 /nfs/dbraw/zinc/36/78/81/1073367881.db2.gz UMDKKYQPZUBLNN-OWCLPIDISA-N 0 0 446.508 -0.149 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)C2)no1 ZINC000502285222 1073367830 /nfs/dbraw/zinc/36/78/30/1073367830.db2.gz UMDKKYQPZUBLNN-PMPSAXMXSA-N 0 0 446.508 -0.149 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)CC2)no1 ZINC000502286379 1074338190 /nfs/dbraw/zinc/33/81/90/1074338190.db2.gz FLQHBMQNXWHBLT-CABCVRRESA-N 0 0 432.481 -0.665 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)CC2)no1 ZINC000502286413 1074337976 /nfs/dbraw/zinc/33/79/76/1074337976.db2.gz FLQHBMQNXWHBLT-GJZGRUSLSA-N 0 0 432.481 -0.665 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)CC2)no1 ZINC000502286414 1074338199 /nfs/dbraw/zinc/33/81/99/1074338199.db2.gz FLQHBMQNXWHBLT-HUUCEWRRSA-N 0 0 432.481 -0.665 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)CC2)no1 ZINC000502286415 1074338173 /nfs/dbraw/zinc/33/81/73/1074338173.db2.gz FLQHBMQNXWHBLT-LSDHHAIUSA-N 0 0 432.481 -0.665 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000502287656 1071688971 /nfs/dbraw/zinc/68/89/71/1071688971.db2.gz XFRBZAHEGFDLIB-AEFFLSMTSA-N 0 0 437.518 -0.100 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000502287657 1071688901 /nfs/dbraw/zinc/68/89/01/1071688901.db2.gz XFRBZAHEGFDLIB-FUHWJXTLSA-N 0 0 437.518 -0.100 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000502287658 1071688874 /nfs/dbraw/zinc/68/88/74/1071688874.db2.gz XFRBZAHEGFDLIB-SJLPKXTDSA-N 0 0 437.518 -0.100 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000502287659 1071688933 /nfs/dbraw/zinc/68/89/33/1071688933.db2.gz XFRBZAHEGFDLIB-WMZOPIPTSA-N 0 0 437.518 -0.100 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000502287880 1071689490 /nfs/dbraw/zinc/68/94/90/1071689490.db2.gz INJUUGBTOFEFDX-QLFBSQMISA-N 0 0 434.453 -0.320 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000502287881 1071689505 /nfs/dbraw/zinc/68/95/05/1071689505.db2.gz INJUUGBTOFEFDX-RBSFLKMASA-N 0 0 434.453 -0.320 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000502287882 1071689601 /nfs/dbraw/zinc/68/96/01/1071689601.db2.gz INJUUGBTOFEFDX-RRFJBIMHSA-N 0 0 434.453 -0.320 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000502287883 1071689496 /nfs/dbraw/zinc/68/94/96/1071689496.db2.gz INJUUGBTOFEFDX-SOUVJXGZSA-N 0 0 434.453 -0.320 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502288559 1071690578 /nfs/dbraw/zinc/69/05/78/1071690578.db2.gz YJMHRLQDYXOXMI-MSOLQXFVSA-N 0 0 439.534 -0.029 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502288581 1071690571 /nfs/dbraw/zinc/69/05/71/1071690571.db2.gz YJMHRLQDYXOXMI-QZTJIDSGSA-N 0 0 439.534 -0.029 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502288583 1071690547 /nfs/dbraw/zinc/69/05/47/1071690547.db2.gz YJMHRLQDYXOXMI-ROUUACIJSA-N 0 0 439.534 -0.029 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502288585 1071690511 /nfs/dbraw/zinc/69/05/11/1071690511.db2.gz YJMHRLQDYXOXMI-ZWKOTPCHSA-N 0 0 439.534 -0.029 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000502291265 1071690480 /nfs/dbraw/zinc/69/04/80/1071690480.db2.gz OTUVDIAXWJYLAT-CVEARBPZSA-N 0 0 449.533 -0.290 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000502291266 1071690601 /nfs/dbraw/zinc/69/06/01/1071690601.db2.gz OTUVDIAXWJYLAT-HOTGVXAUSA-N 0 0 449.533 -0.290 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000502291267 1071690558 /nfs/dbraw/zinc/69/05/58/1071690558.db2.gz OTUVDIAXWJYLAT-HZPDHXFCSA-N 0 0 449.533 -0.290 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000502291268 1071690606 /nfs/dbraw/zinc/69/06/06/1071690606.db2.gz OTUVDIAXWJYLAT-JKSUJKDBSA-N 0 0 449.533 -0.290 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CCN1c1nccn2cnnc12 ZINC000502293830 1071689539 /nfs/dbraw/zinc/68/95/39/1071689539.db2.gz KTVQYTUBUARKHO-ILXRZTDVSA-N 0 0 426.481 -0.569 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CCN1c1nccn2cnnc12 ZINC000502293831 1071689615 /nfs/dbraw/zinc/68/96/15/1071689615.db2.gz KTVQYTUBUARKHO-KFWWJZLASA-N 0 0 426.481 -0.569 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CCN1c1nccn2cnnc12 ZINC000502293832 1071689618 /nfs/dbraw/zinc/68/96/18/1071689618.db2.gz KTVQYTUBUARKHO-QLFBSQMISA-N 0 0 426.481 -0.569 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CCN1c1nccn2cnnc12 ZINC000502293833 1071689624 /nfs/dbraw/zinc/68/96/24/1071689624.db2.gz KTVQYTUBUARKHO-RBSFLKMASA-N 0 0 426.481 -0.569 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000502294799 1071689862 /nfs/dbraw/zinc/68/98/62/1071689862.db2.gz WAKNLHXABUQNQQ-DLBZAZTESA-N 0 0 446.570 -0.438 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000502294800 1071689936 /nfs/dbraw/zinc/68/99/36/1071689936.db2.gz WAKNLHXABUQNQQ-IAGOWNOFSA-N 0 0 446.570 -0.438 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000502295101 1072430267 /nfs/dbraw/zinc/43/02/67/1072430267.db2.gz WAKNLHXABUQNQQ-IRXDYDNUSA-N 0 0 446.570 -0.438 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000502295102 1071689825 /nfs/dbraw/zinc/68/98/25/1071689825.db2.gz WAKNLHXABUQNQQ-SJORKVTESA-N 0 0 446.570 -0.438 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000502295897 1071689942 /nfs/dbraw/zinc/68/99/42/1071689942.db2.gz YFKLQOQHSBJCFK-BPQIPLTHSA-N 0 0 433.553 -0.153 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000502295898 1071689881 /nfs/dbraw/zinc/68/98/81/1071689881.db2.gz YFKLQOQHSBJCFK-KBAYOESNSA-N 0 0 433.553 -0.153 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000502295899 1071689812 /nfs/dbraw/zinc/68/98/12/1071689812.db2.gz YFKLQOQHSBJCFK-NJAFHUGGSA-N 0 0 433.553 -0.153 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000502295900 1071689837 /nfs/dbraw/zinc/68/98/37/1071689837.db2.gz YFKLQOQHSBJCFK-NXHRZFHOSA-N 0 0 433.553 -0.153 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000502297105 1071689959 /nfs/dbraw/zinc/68/99/59/1071689959.db2.gz BBEBISLXADHBGG-DLBZAZTESA-N 0 0 448.524 -0.728 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000502297107 1071689919 /nfs/dbraw/zinc/68/99/19/1071689919.db2.gz BBEBISLXADHBGG-IAGOWNOFSA-N 0 0 448.524 -0.728 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000502297109 1071690011 /nfs/dbraw/zinc/69/00/11/1071690011.db2.gz BBEBISLXADHBGG-IRXDYDNUSA-N 0 0 448.524 -0.728 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000502297110 1071689945 /nfs/dbraw/zinc/68/99/45/1071689945.db2.gz BBEBISLXADHBGG-SJORKVTESA-N 0 0 448.524 -0.728 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC1)NCc1ccccc1 ZINC000502298611 1071689866 /nfs/dbraw/zinc/68/98/66/1071689866.db2.gz VDPXJSPSOJAVMX-MOPGFXCFSA-N 0 0 441.532 -0.283 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC1)NCc1ccccc1 ZINC000502298617 1071689980 /nfs/dbraw/zinc/68/99/80/1071689980.db2.gz VDPXJSPSOJAVMX-OALUTQOASA-N 0 0 441.532 -0.283 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC1)NCc1ccccc1 ZINC000502298618 1071689989 /nfs/dbraw/zinc/68/99/89/1071689989.db2.gz VDPXJSPSOJAVMX-RBUKOAKNSA-N 0 0 441.532 -0.283 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC1)NCc1ccccc1 ZINC000502298621 1071689972 /nfs/dbraw/zinc/68/99/72/1071689972.db2.gz VDPXJSPSOJAVMX-RTBURBONSA-N 0 0 441.532 -0.283 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)C2)nc1 ZINC000502299784 1071690519 /nfs/dbraw/zinc/69/05/19/1071690519.db2.gz IJDNLTKZLLZOEU-CVEARBPZSA-N 0 0 429.477 -0.167 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)C2)nc1 ZINC000502299789 1071690534 /nfs/dbraw/zinc/69/05/34/1071690534.db2.gz IJDNLTKZLLZOEU-HOTGVXAUSA-N 0 0 429.477 -0.167 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)C2)nc1 ZINC000502299793 1071690596 /nfs/dbraw/zinc/69/05/96/1071690596.db2.gz IJDNLTKZLLZOEU-HZPDHXFCSA-N 0 0 429.477 -0.167 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)C2)nc1 ZINC000502299796 1071690591 /nfs/dbraw/zinc/69/05/91/1071690591.db2.gz IJDNLTKZLLZOEU-JKSUJKDBSA-N 0 0 429.477 -0.167 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N[C@@H]1CC(=O)N2CCCC[C@H]12 ZINC000502299816 1071690528 /nfs/dbraw/zinc/69/05/28/1071690528.db2.gz IMIOXLNDFPRUHZ-ARFHVFGLSA-N 0 0 440.508 -0.274 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N[C@H]1CC(=O)N2CCCC[C@H]12 ZINC000502299824 1071689889 /nfs/dbraw/zinc/68/98/89/1071689889.db2.gz IMIOXLNDFPRUHZ-HRCADAONSA-N 0 0 440.508 -0.274 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N[C@H]1CC(=O)N2CCCC[C@@H]12 ZINC000502299827 1071690541 /nfs/dbraw/zinc/69/05/41/1071690541.db2.gz IMIOXLNDFPRUHZ-JYJNAYRXSA-N 0 0 440.508 -0.274 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N[C@@H]1CC(=O)N2CCCC[C@@H]12 ZINC000502299830 1071690566 /nfs/dbraw/zinc/69/05/66/1071690566.db2.gz IMIOXLNDFPRUHZ-XHSDSOJGSA-N 0 0 440.508 -0.274 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000502304515 1071690562 /nfs/dbraw/zinc/69/05/62/1071690562.db2.gz RLGHDIVHXUSZRR-CVEARBPZSA-N 0 0 444.554 -0.845 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000502304517 1071690495 /nfs/dbraw/zinc/69/04/95/1071690495.db2.gz RLGHDIVHXUSZRR-HOTGVXAUSA-N 0 0 444.554 -0.845 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000502304518 1071690610 /nfs/dbraw/zinc/69/06/10/1071690610.db2.gz RLGHDIVHXUSZRR-HZPDHXFCSA-N 0 0 444.554 -0.845 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000502304520 1071690553 /nfs/dbraw/zinc/69/05/53/1071690553.db2.gz RLGHDIVHXUSZRR-JKSUJKDBSA-N 0 0 444.554 -0.845 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCS(=O)(=O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000502305470 1071690582 /nfs/dbraw/zinc/69/05/82/1071690582.db2.gz TZAULMQLQCHJGQ-UHFFFAOYSA-N 0 0 433.490 -0.196 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)cn1 ZINC000502309129 1071691071 /nfs/dbraw/zinc/69/10/71/1071691071.db2.gz MUISHMZKJMFXER-JYJNAYRXSA-N 0 0 437.522 -0.529 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)cn1 ZINC000502309130 1071691087 /nfs/dbraw/zinc/69/10/87/1071691087.db2.gz MUISHMZKJMFXER-OAGGEKHMSA-N 0 0 437.522 -0.529 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)cn1 ZINC000502309131 1071691108 /nfs/dbraw/zinc/69/11/08/1071691108.db2.gz MUISHMZKJMFXER-PMPSAXMXSA-N 0 0 437.522 -0.529 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)cn1 ZINC000502309132 1071691028 /nfs/dbraw/zinc/69/10/28/1071691028.db2.gz MUISHMZKJMFXER-XHSDSOJGSA-N 0 0 437.522 -0.529 20 0 IBADRN CC(C)(CNC(=O)CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)N1CCOCC1 ZINC000502311200 1071691472 /nfs/dbraw/zinc/69/14/72/1071691472.db2.gz GHHKHUXOXBTHJL-KRWDZBQOSA-N 0 0 432.587 -0.660 20 0 IBADRN CC(C)(CNC(=O)CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)N1CCOCC1 ZINC000502311201 1071691521 /nfs/dbraw/zinc/69/15/21/1071691521.db2.gz GHHKHUXOXBTHJL-QGZVFWFLSA-N 0 0 432.587 -0.660 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1)N1CCOCC1 ZINC000502323817 1071691079 /nfs/dbraw/zinc/69/10/79/1071691079.db2.gz HUIZSINQQISHLV-UHFFFAOYSA-N 0 0 439.538 -0.584 20 0 IBADRN CCN(C(=O)CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000502324465 1071691047 /nfs/dbraw/zinc/69/10/47/1071691047.db2.gz KKUUFFDOLJVXIQ-CVEARBPZSA-N 0 0 437.584 -0.852 20 0 IBADRN CCN(C(=O)CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000502324481 1071691052 /nfs/dbraw/zinc/69/10/52/1071691052.db2.gz KKUUFFDOLJVXIQ-HOTGVXAUSA-N 0 0 437.584 -0.852 20 0 IBADRN CCN(C(=O)CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000502324483 1071691095 /nfs/dbraw/zinc/69/10/95/1071691095.db2.gz KKUUFFDOLJVXIQ-HZPDHXFCSA-N 0 0 437.584 -0.852 20 0 IBADRN CCN(C(=O)CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000502324484 1071691085 /nfs/dbraw/zinc/69/10/85/1071691085.db2.gz KKUUFFDOLJVXIQ-JKSUJKDBSA-N 0 0 437.584 -0.852 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1ccccc1 ZINC000502327105 1071691102 /nfs/dbraw/zinc/69/11/02/1071691102.db2.gz RVSHTLKHWDCVAC-HKUYNNGSSA-N 0 0 438.550 -0.284 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1ccccc1 ZINC000502327107 1071691093 /nfs/dbraw/zinc/69/10/93/1071691093.db2.gz RVSHTLKHWDCVAC-IEBWSBKVSA-N 0 0 438.550 -0.284 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1ccccc1 ZINC000502327109 1071691060 /nfs/dbraw/zinc/69/10/60/1071691060.db2.gz RVSHTLKHWDCVAC-MJGOQNOKSA-N 0 0 438.550 -0.284 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1ccccc1 ZINC000502327110 1071691083 /nfs/dbraw/zinc/69/10/83/1071691083.db2.gz RVSHTLKHWDCVAC-PKOBYXMFSA-N 0 0 438.550 -0.284 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000502327509 1071692296 /nfs/dbraw/zinc/69/22/96/1071692296.db2.gz SWAVZWQBIZZPRV-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CSC[C@H]3C(=O)N3CCSCC3)nc2c(=O)[nH]c1=O ZINC000502329985 1071692395 /nfs/dbraw/zinc/69/23/95/1071692395.db2.gz BHVQXIDROPWAJV-JTQLQIEISA-N 0 0 438.535 -0.228 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CSC[C@@H]3C(=O)N3CCSCC3)nc2c(=O)[nH]c1=O ZINC000502329987 1071692257 /nfs/dbraw/zinc/69/22/57/1071692257.db2.gz BHVQXIDROPWAJV-SNVBAGLBSA-N 0 0 438.535 -0.228 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@H](OC)C[C@H]1CO ZINC000502331117 1071691723 /nfs/dbraw/zinc/69/17/23/1071691723.db2.gz GXHWCCBHIODGKR-LSDHHAIUSA-N 0 0 441.506 -0.523 20 0 IBADRN C[C@H](NC(=O)CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)C(=O)N1CCCCC1 ZINC000502332670 1071691758 /nfs/dbraw/zinc/69/17/58/1071691758.db2.gz NSMZUNGFLPDZLP-DLBZAZTESA-N 0 0 430.571 -0.370 20 0 IBADRN C[C@@H](NC(=O)CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)C(=O)N1CCCCC1 ZINC000502332671 1071691504 /nfs/dbraw/zinc/69/15/04/1071691504.db2.gz NSMZUNGFLPDZLP-IAGOWNOFSA-N 0 0 430.571 -0.370 20 0 IBADRN C[C@H](NC(=O)CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)C(=O)N1CCCCC1 ZINC000502332672 1071691571 /nfs/dbraw/zinc/69/15/71/1071691571.db2.gz NSMZUNGFLPDZLP-IRXDYDNUSA-N 0 0 430.571 -0.370 20 0 IBADRN C[C@@H](NC(=O)CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)C(=O)N1CCCCC1 ZINC000502332673 1071691596 /nfs/dbraw/zinc/69/15/96/1071691596.db2.gz NSMZUNGFLPDZLP-SJORKVTESA-N 0 0 430.571 -0.370 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](OC)C[C@H]2CO)ccc1OC ZINC000502336410 1071691660 /nfs/dbraw/zinc/69/16/60/1071691660.db2.gz SFDDNHQLSZHMGD-UONOGXRCSA-N 0 0 445.494 -0.833 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000502338986 1071691730 /nfs/dbraw/zinc/69/17/30/1071691730.db2.gz FIMMYQRHNFNEPZ-DOTOQJQBSA-N 0 0 431.555 -0.087 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000502338989 1071691638 /nfs/dbraw/zinc/69/16/38/1071691638.db2.gz FIMMYQRHNFNEPZ-NVXWUHKLSA-N 0 0 431.555 -0.087 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000502338991 1071691681 /nfs/dbraw/zinc/69/16/81/1071691681.db2.gz FIMMYQRHNFNEPZ-RDJZCZTQSA-N 0 0 431.555 -0.087 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000502338993 1071691666 /nfs/dbraw/zinc/69/16/66/1071691666.db2.gz FIMMYQRHNFNEPZ-WBVHZDCISA-N 0 0 431.555 -0.087 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000502341209 1071691693 /nfs/dbraw/zinc/69/16/93/1071691693.db2.gz LRRNEAMFNNOQQZ-KRWDZBQOSA-N 0 0 431.555 -0.086 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000502341210 1071691711 /nfs/dbraw/zinc/69/17/11/1071691711.db2.gz LRRNEAMFNNOQQZ-QGZVFWFLSA-N 0 0 431.555 -0.086 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000502341890 1072430882 /nfs/dbraw/zinc/43/08/82/1072430882.db2.gz NVNYOSHWHZEGJP-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1 ZINC000502344747 1071692307 /nfs/dbraw/zinc/69/23/07/1071692307.db2.gz VXECPAMJMTWTKH-UHFFFAOYSA-N 0 0 439.538 -0.584 20 0 IBADRN CO[C@@H]1C[C@@H](CO)N(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C1 ZINC000502346837 1071691627 /nfs/dbraw/zinc/69/16/27/1071691627.db2.gz DLWUZQWVTFXVAP-JKSUJKDBSA-N 0 0 441.506 -0.309 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000502347714 1071692265 /nfs/dbraw/zinc/69/22/65/1071692265.db2.gz HJIXJLXISILSDT-UHFFFAOYSA-N 0 0 441.506 -0.597 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000502349152 1071692399 /nfs/dbraw/zinc/69/23/99/1071692399.db2.gz SNPHVUARRIUQLL-UHFFFAOYSA-N 0 0 441.506 -0.296 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000502349493 1071692274 /nfs/dbraw/zinc/69/22/74/1071692274.db2.gz WINCLSQKEMVZHZ-UHFFFAOYSA-N 0 0 445.469 -0.465 20 0 IBADRN CS(=O)(=O)Nc1cncc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c1 ZINC000502351409 1071692241 /nfs/dbraw/zinc/69/22/41/1071692241.db2.gz MNDYWFKEVTWZME-UHFFFAOYSA-N 0 0 431.474 -0.045 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)nc1 ZINC000502354854 1071692290 /nfs/dbraw/zinc/69/22/90/1071692290.db2.gz QQUGKTQFRKVDNK-UHFFFAOYSA-N 0 0 437.478 -0.833 20 0 IBADRN CS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000502358609 1071692382 /nfs/dbraw/zinc/69/23/82/1071692382.db2.gz JUOGCSANERWUOM-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccccc1OC ZINC000502366977 1071692343 /nfs/dbraw/zinc/69/23/43/1071692343.db2.gz PZUUWBCZGQPVIL-AWEZNQCLSA-N 0 0 443.460 -0.410 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccccc1OC ZINC000502367020 1071692333 /nfs/dbraw/zinc/69/23/33/1071692333.db2.gz PZUUWBCZGQPVIL-CQSZACIVSA-N 0 0 443.460 -0.410 20 0 IBADRN COC(=O)[C@H](CNC(=O)CN1CCN(S(C)(=O)=O)CC1)Cc1ccccc1OC ZINC000502370950 1071693476 /nfs/dbraw/zinc/69/34/76/1071693476.db2.gz HBVOKNPNAHIQRZ-INIZCTEOSA-N 0 0 427.523 -0.280 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CN1CCN(S(C)(=O)=O)CC1)Cc1ccccc1OC ZINC000502370951 1071693451 /nfs/dbraw/zinc/69/34/51/1071693451.db2.gz HBVOKNPNAHIQRZ-MRXNPFEDSA-N 0 0 427.523 -0.280 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502374211 1071692282 /nfs/dbraw/zinc/69/22/82/1071692282.db2.gz JSYKJEUNMYVTAL-AWEZNQCLSA-N 0 0 443.526 -0.338 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502374212 1071692356 /nfs/dbraw/zinc/69/23/56/1071692356.db2.gz JSYKJEUNMYVTAL-CQSZACIVSA-N 0 0 443.526 -0.338 20 0 IBADRN C[C@H](C(=O)NC(=O)NCC(F)(F)F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502377606 1071692757 /nfs/dbraw/zinc/69/27/57/1071692757.db2.gz IZPMVZAUFUDXOT-NEPJUHHUSA-N 0 0 430.449 -0.111 20 0 IBADRN C[C@@H](C(=O)NC(=O)NCC(F)(F)F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502377607 1071692819 /nfs/dbraw/zinc/69/28/19/1071692819.db2.gz IZPMVZAUFUDXOT-NWDGAFQWSA-N 0 0 430.449 -0.111 20 0 IBADRN C[C@@H](C(=O)NC(=O)NCC(F)(F)F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502377608 1071692809 /nfs/dbraw/zinc/69/28/09/1071692809.db2.gz IZPMVZAUFUDXOT-RYUDHWBXSA-N 0 0 430.449 -0.111 20 0 IBADRN C[C@H](C(=O)NC(=O)NCC(F)(F)F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502377609 1071692840 /nfs/dbraw/zinc/69/28/40/1071692840.db2.gz IZPMVZAUFUDXOT-VXGBXAGGSA-N 0 0 430.449 -0.111 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502377725 1071692804 /nfs/dbraw/zinc/69/28/04/1071692804.db2.gz QPBLYPDHHLMBTN-BZUAXINKSA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502377730 1071692749 /nfs/dbraw/zinc/69/27/49/1071692749.db2.gz QPBLYPDHHLMBTN-OAGGEKHMSA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502377732 1071692728 /nfs/dbraw/zinc/69/27/28/1071692728.db2.gz QPBLYPDHHLMBTN-OWCLPIDISA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502377733 1071692825 /nfs/dbraw/zinc/69/28/25/1071692825.db2.gz QPBLYPDHHLMBTN-PMPSAXMXSA-N 0 0 437.584 -0.853 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1ccccc1OC ZINC000502379164 1071692861 /nfs/dbraw/zinc/69/28/61/1071692861.db2.gz WONDEDFRYGEWFU-AWEZNQCLSA-N 0 0 443.460 -0.410 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1ccccc1OC ZINC000502379165 1071692737 /nfs/dbraw/zinc/69/27/37/1071692737.db2.gz WONDEDFRYGEWFU-CQSZACIVSA-N 0 0 443.460 -0.410 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(=O)[nH]c1=O ZINC000502381540 1071693473 /nfs/dbraw/zinc/69/34/73/1071693473.db2.gz XTYSZEQTMURVKU-CYBMUJFWSA-N 0 0 443.526 -0.750 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(=O)[nH]c1=O ZINC000502381545 1071693422 /nfs/dbraw/zinc/69/34/22/1071693422.db2.gz XTYSZEQTMURVKU-ZDUSSCGKSA-N 0 0 443.526 -0.750 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000502381950 1071692696 /nfs/dbraw/zinc/69/26/96/1071692696.db2.gz YTBOAAMXNSQTAR-INIZCTEOSA-N 0 0 446.551 -0.601 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000502381953 1071692708 /nfs/dbraw/zinc/69/27/08/1071692708.db2.gz YTBOAAMXNSQTAR-MRXNPFEDSA-N 0 0 446.551 -0.601 20 0 IBADRN O=C(CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)N1CCN(C(=O)C2CC2)CC1 ZINC000502382099 1071692845 /nfs/dbraw/zinc/69/28/45/1071692845.db2.gz UNWXBUOEPVTKSM-KRWDZBQOSA-N 0 0 428.555 -0.806 20 0 IBADRN O=C(CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)N1CCN(C(=O)C2CC2)CC1 ZINC000502382100 1071692775 /nfs/dbraw/zinc/69/27/75/1071692775.db2.gz UNWXBUOEPVTKSM-QGZVFWFLSA-N 0 0 428.555 -0.806 20 0 IBADRN NS(=O)(=O)c1ccc(OCCN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000502382854 1071693524 /nfs/dbraw/zinc/69/35/24/1071693524.db2.gz YBCLDXLIJPRTQR-KRWDZBQOSA-N 0 0 433.552 -0.161 20 0 IBADRN NS(=O)(=O)c1ccc(OCCN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000502382855 1071693505 /nfs/dbraw/zinc/69/35/05/1071693505.db2.gz YBCLDXLIJPRTQR-QGZVFWFLSA-N 0 0 433.552 -0.161 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000502386337 1071693344 /nfs/dbraw/zinc/69/33/44/1071693344.db2.gz OHFQXLMADSJWAD-INIZCTEOSA-N 0 0 446.551 -0.601 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000502386338 1071693481 /nfs/dbraw/zinc/69/34/81/1071693481.db2.gz OHFQXLMADSJWAD-MRXNPFEDSA-N 0 0 446.551 -0.601 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000502396295 1071693410 /nfs/dbraw/zinc/69/34/10/1071693410.db2.gz ZNTDCWITNZHBGW-UHFFFAOYSA-N 0 0 434.877 -0.436 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1)C(N)=O ZINC000502407132 1071693390 /nfs/dbraw/zinc/69/33/90/1071693390.db2.gz NCZIRAPMHPZLAV-AWEZNQCLSA-N 0 0 434.877 -0.308 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000502417551 1071693373 /nfs/dbraw/zinc/69/33/73/1071693373.db2.gz SWOCPOGPDKQWGN-UHFFFAOYSA-N 0 0 430.465 -0.754 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)nn1 ZINC000502434471 1071693850 /nfs/dbraw/zinc/69/38/50/1071693850.db2.gz YVPYOOBXGCZQBB-UHFFFAOYSA-N 0 0 430.849 -0.297 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000502434494 1071693925 /nfs/dbraw/zinc/69/39/25/1071693925.db2.gz ZABABVVGRULTMC-UHFFFAOYSA-N 0 0 425.511 -0.527 20 0 IBADRN CCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)nc1C ZINC000502434563 1071693896 /nfs/dbraw/zinc/69/38/96/1071693896.db2.gz GYHSQARDBODUMV-KBPBESRZSA-N 0 0 435.572 -0.128 20 0 IBADRN CCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)nc1C ZINC000502434564 1071693970 /nfs/dbraw/zinc/69/39/70/1071693970.db2.gz GYHSQARDBODUMV-OKILXGFUSA-N 0 0 435.572 -0.128 20 0 IBADRN CCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)nc1C ZINC000502434565 1071693874 /nfs/dbraw/zinc/69/38/74/1071693874.db2.gz GYHSQARDBODUMV-ZIAGYGMSSA-N 0 0 435.572 -0.128 20 0 IBADRN CCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)nc1C ZINC000502436415 1071693943 /nfs/dbraw/zinc/69/39/43/1071693943.db2.gz RJTLRVYWVCGVKL-UHFFFAOYSA-N 0 0 431.496 -0.401 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)C1=O ZINC000502448411 1071693328 /nfs/dbraw/zinc/69/33/28/1071693328.db2.gz VSDPUIPLXOEXMI-UHFFFAOYSA-N 0 0 446.844 -0.267 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000502452280 1071693934 /nfs/dbraw/zinc/69/39/34/1071693934.db2.gz MNEUXYOVKLNSRP-UHFFFAOYSA-N 0 0 439.538 -0.719 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000502459334 1071693930 /nfs/dbraw/zinc/69/39/30/1071693930.db2.gz ZTBBTQPJTLEWLS-UHFFFAOYSA-N 0 0 437.522 -0.429 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)c1 ZINC000502459417 1071693830 /nfs/dbraw/zinc/69/38/30/1071693830.db2.gz KBBFDRYUYCTAFA-UHFFFAOYSA-N 0 0 447.564 -0.271 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c1 ZINC000502460483 1071693795 /nfs/dbraw/zinc/69/37/95/1071693795.db2.gz QMGOBRJSOADOIL-UHFFFAOYSA-N 0 0 443.507 -0.642 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC000502468193 1071693838 /nfs/dbraw/zinc/69/38/38/1071693838.db2.gz CHUXNXBZKGTGTM-UHFFFAOYSA-N 0 0 444.579 -0.449 20 0 IBADRN CCOc1ccc(NC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1S(=O)(=O)N(C)C ZINC000502478862 1071693917 /nfs/dbraw/zinc/69/39/17/1071693917.db2.gz OSYODTLXMCJRBL-UHFFFAOYSA-N 0 0 445.523 -0.014 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)CC1 ZINC000502484433 1071694568 /nfs/dbraw/zinc/69/45/68/1071694568.db2.gz CUAXPRBLFZQKMK-UHFFFAOYSA-N 0 0 432.481 -0.508 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)NCC3(C)C)CC2)c1 ZINC000502484849 1071694539 /nfs/dbraw/zinc/69/45/39/1071694539.db2.gz ZTUSZPXHUWGHSQ-UHFFFAOYSA-N 0 0 436.534 -0.068 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000502497751 1071694580 /nfs/dbraw/zinc/69/45/80/1071694580.db2.gz UNNOPZZDEZJVSY-NEPJUHHUSA-N 0 0 425.554 -0.394 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000502497761 1071694584 /nfs/dbraw/zinc/69/45/84/1071694584.db2.gz UNNOPZZDEZJVSY-NWDGAFQWSA-N 0 0 425.554 -0.394 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000502497762 1071694551 /nfs/dbraw/zinc/69/45/51/1071694551.db2.gz UNNOPZZDEZJVSY-RYUDHWBXSA-N 0 0 425.554 -0.394 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000502497763 1071694625 /nfs/dbraw/zinc/69/46/25/1071694625.db2.gz UNNOPZZDEZJVSY-VXGBXAGGSA-N 0 0 425.554 -0.394 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCC(S(=O)(=O)N3CCCC3)CC2)CC1 ZINC000502499770 1071694608 /nfs/dbraw/zinc/69/46/08/1071694608.db2.gz HYHJDWKVCGIYSG-UHFFFAOYSA-N 0 0 429.587 -0.891 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000502503465 1071694591 /nfs/dbraw/zinc/69/45/91/1071694591.db2.gz UUEWJVARKOTWSC-UHFFFAOYSA-N 0 0 446.508 -0.700 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(C(=O)CCc3ccc(OC)cc3)CC2)C(=O)C1=O ZINC000502505657 1071694635 /nfs/dbraw/zinc/69/46/35/1071694635.db2.gz GPVXKVPKUIFWKU-UHFFFAOYSA-N 0 0 430.505 -0.011 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)NCCN3C(=O)CNC3=O)c2)CC1 ZINC000502515758 1071695077 /nfs/dbraw/zinc/69/50/77/1071695077.db2.gz BRGXQROULZPDIB-UHFFFAOYSA-N 0 0 438.510 -0.314 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000502518013 1071694984 /nfs/dbraw/zinc/69/49/84/1071694984.db2.gz ICDXJTPPOTUHAV-UHFFFAOYSA-N 0 0 443.551 -0.208 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)[C@@H]1CC[C@H](C(N)=O)O1)C(=O)[C@H]1CC[C@H](C(N)=O)O1 ZINC000502527209 1071694589 /nfs/dbraw/zinc/69/45/89/1071694589.db2.gz PCXZJNDWHZBSIE-CQJMVSDSSA-N 0 0 426.514 -0.568 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)[C@@H]1CC[C@H](C(N)=O)O1)C(=O)[C@@H]1CC[C@H](C(N)=O)O1 ZINC000502527221 1071694595 /nfs/dbraw/zinc/69/45/95/1071694595.db2.gz PCXZJNDWHZBSIE-OTJKEOIZSA-N 0 0 426.514 -0.568 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)[C@@H]1CC[C@H](C(N)=O)O1)C(=O)[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000502527224 1071694529 /nfs/dbraw/zinc/69/45/29/1071694529.db2.gz PCXZJNDWHZBSIE-QRJUGERDSA-N 0 0 426.514 -0.568 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)[C@@H]1CC[C@H](C(N)=O)O1)C(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC000502527229 1071694599 /nfs/dbraw/zinc/69/45/99/1071694599.db2.gz PCXZJNDWHZBSIE-XFIYOXNOSA-N 0 0 426.514 -0.568 20 0 IBADRN CCN(C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)[C@H]1CC(=O)NC1=O ZINC000502528203 1071694938 /nfs/dbraw/zinc/69/49/38/1071694938.db2.gz HLHYYZOUNNMBPR-INIZCTEOSA-N 0 0 436.490 -0.583 20 0 IBADRN CCN(C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)[C@@H]1CC(=O)NC1=O ZINC000502528205 1071695026 /nfs/dbraw/zinc/69/50/26/1071695026.db2.gz HLHYYZOUNNMBPR-MRXNPFEDSA-N 0 0 436.490 -0.583 20 0 IBADRN CCN(CCCNC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1)S(C)(=O)=O ZINC000502529417 1071694996 /nfs/dbraw/zinc/69/49/96/1071694996.db2.gz NCANFDBGWCIGMX-GFCCVEGCSA-N 0 0 427.570 -0.147 20 0 IBADRN CCN(CCCNC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1)S(C)(=O)=O ZINC000502529418 1071694929 /nfs/dbraw/zinc/69/49/29/1071694929.db2.gz NCANFDBGWCIGMX-LBPRGKRZSA-N 0 0 427.570 -0.147 20 0 IBADRN CCN(C(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)[C@H]1CC(=O)NC1=O ZINC000502529559 1071695060 /nfs/dbraw/zinc/69/50/60/1071695060.db2.gz LBOQHOKQVMUYJM-INIZCTEOSA-N 0 0 436.490 -0.583 20 0 IBADRN CCN(C(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)[C@@H]1CC(=O)NC1=O ZINC000502529566 1071695157 /nfs/dbraw/zinc/69/51/57/1071695157.db2.gz LBOQHOKQVMUYJM-MRXNPFEDSA-N 0 0 436.490 -0.583 20 0 IBADRN CCN(C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)[C@@H]1CC(=O)NC1=O ZINC000502529828 1071695052 /nfs/dbraw/zinc/69/50/52/1071695052.db2.gz LOZNXBICFIDHAT-CYBMUJFWSA-N 0 0 425.463 -0.220 20 0 IBADRN CCN(C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)[C@H]1CC(=O)NC1=O ZINC000502529830 1071694959 /nfs/dbraw/zinc/69/49/59/1071694959.db2.gz LOZNXBICFIDHAT-ZDUSSCGKSA-N 0 0 425.463 -0.220 20 0 IBADRN COc1ccc([C@H](CO)N(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000502540567 1071695002 /nfs/dbraw/zinc/69/50/02/1071695002.db2.gz NCBGMDDYZLHUDB-FQEVSTJZSA-N 0 0 434.537 -0.337 20 0 IBADRN COc1ccc([C@@H](CO)N(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000502540568 1071695187 /nfs/dbraw/zinc/69/51/87/1071695187.db2.gz NCBGMDDYZLHUDB-HXUWFJFHSA-N 0 0 434.537 -0.337 20 0 IBADRN CCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H](C)CS(=O)(=O)CC ZINC000502540573 1071695264 /nfs/dbraw/zinc/69/52/64/1071695264.db2.gz NGTUAGWUKBWQSS-KRWDZBQOSA-N 0 0 432.587 -0.866 20 0 IBADRN CCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H](C)CS(=O)(=O)CC ZINC000502540574 1071695167 /nfs/dbraw/zinc/69/51/67/1071695167.db2.gz NGTUAGWUKBWQSS-QGZVFWFLSA-N 0 0 432.587 -0.866 20 0 IBADRN CCN(C(=O)c1ccc(S(=O)(=O)N(CCOC)CCOC)cc1)[C@H]1CC(=O)NC1=O ZINC000502542621 1071694973 /nfs/dbraw/zinc/69/49/73/1071694973.db2.gz VVCTVJPQWQGJCY-INIZCTEOSA-N 0 0 441.506 -0.153 20 0 IBADRN CCN(C(=O)c1ccc(S(=O)(=O)N(CCOC)CCOC)cc1)[C@@H]1CC(=O)NC1=O ZINC000502542622 1071695070 /nfs/dbraw/zinc/69/50/70/1071695070.db2.gz VVCTVJPQWQGJCY-MRXNPFEDSA-N 0 0 441.506 -0.153 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)CCNC(=O)C(C)C)CC1 ZINC000502551949 1071695608 /nfs/dbraw/zinc/69/56/08/1071695608.db2.gz CZCJGPHBMJQYHH-UHFFFAOYSA-N 0 0 429.543 -0.172 20 0 IBADRN CCN(C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CC(=O)NC1=O ZINC000502553188 1071695745 /nfs/dbraw/zinc/69/57/45/1071695745.db2.gz SZWVHRYATZMQBD-AWEZNQCLSA-N 0 0 439.490 -0.478 20 0 IBADRN CCN(C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CC(=O)NC1=O ZINC000502553192 1071695573 /nfs/dbraw/zinc/69/55/73/1071695573.db2.gz SZWVHRYATZMQBD-CQSZACIVSA-N 0 0 439.490 -0.478 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000502559175 1071695523 /nfs/dbraw/zinc/69/55/23/1071695523.db2.gz NYWULQONLNSYES-HNNXBMFYSA-N 0 0 440.522 -0.253 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000502559176 1071695781 /nfs/dbraw/zinc/69/57/81/1071695781.db2.gz NYWULQONLNSYES-OAHLLOKOSA-N 0 0 440.522 -0.253 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC1(CO)CC1)c(=O)n2Cc1ccccc1 ZINC000502559548 1071695658 /nfs/dbraw/zinc/69/56/58/1071695658.db2.gz RMLFIQRASJKHSK-UHFFFAOYSA-N 0 0 427.461 -0.305 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000502559862 1071695586 /nfs/dbraw/zinc/69/55/86/1071695586.db2.gz VJJNLKNFYNVGEU-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC3(CO)CC3)CC2)cc1 ZINC000502566279 1071695727 /nfs/dbraw/zinc/69/57/27/1071695727.db2.gz PQAUOOULXZDNBG-UHFFFAOYSA-N 0 0 425.507 -0.051 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(S(=O)(=O)N3CCCC3)CC2)c(=O)[nH]c1=O ZINC000502567997 1071695564 /nfs/dbraw/zinc/69/55/64/1071695564.db2.gz XVHUTVNQCPJMJL-UHFFFAOYSA-N 0 0 443.526 -0.750 20 0 IBADRN CCN(C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CC(=O)NC1=O ZINC000502568944 1071695036 /nfs/dbraw/zinc/69/50/36/1071695036.db2.gz KANIIGOYTGZMKV-CYBMUJFWSA-N 0 0 425.463 -0.407 20 0 IBADRN CCN(C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CC(=O)NC1=O ZINC000502568945 1071695598 /nfs/dbraw/zinc/69/55/98/1071695598.db2.gz KANIIGOYTGZMKV-ZDUSSCGKSA-N 0 0 425.463 -0.407 20 0 IBADRN CC[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000502570904 1071695752 /nfs/dbraw/zinc/69/57/52/1071695752.db2.gz SADCNLLVHGDUQK-DLBZAZTESA-N 0 0 429.587 -0.292 20 0 IBADRN CC[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000502570905 1071695535 /nfs/dbraw/zinc/69/55/35/1071695535.db2.gz SADCNLLVHGDUQK-IAGOWNOFSA-N 0 0 429.587 -0.292 20 0 IBADRN CC[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000502570906 1071695670 /nfs/dbraw/zinc/69/56/70/1071695670.db2.gz SADCNLLVHGDUQK-IRXDYDNUSA-N 0 0 429.587 -0.292 20 0 IBADRN CC[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000502570907 1071695650 /nfs/dbraw/zinc/69/56/50/1071695650.db2.gz SADCNLLVHGDUQK-SJORKVTESA-N 0 0 429.587 -0.292 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000502571169 1071695765 /nfs/dbraw/zinc/69/57/65/1071695765.db2.gz OHUKTZJCWMZGQS-UHFFFAOYSA-N 0 0 436.581 -0.140 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000502575003 1071696288 /nfs/dbraw/zinc/69/62/88/1071696288.db2.gz LWWCDYBUJRCYNZ-GFCCVEGCSA-N 0 0 427.527 -0.873 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000502575004 1071696350 /nfs/dbraw/zinc/69/63/50/1071696350.db2.gz LWWCDYBUJRCYNZ-LBPRGKRZSA-N 0 0 427.527 -0.873 20 0 IBADRN Cc1cccc(C)c1-n1nnnc1CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000502581703 1071696239 /nfs/dbraw/zinc/69/62/39/1071696239.db2.gz NMRKSXKYQLSKTJ-UHFFFAOYSA-N 0 0 434.570 -0.111 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N[C@@H]3CCOc4ccccc43)CC2)CC1 ZINC000502582201 1071696302 /nfs/dbraw/zinc/69/63/02/1071696302.db2.gz RTOWOHRIBJFZKH-GOSISDBHSA-N 0 0 437.566 -0.264 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N[C@H]3CCOc4ccccc43)CC2)CC1 ZINC000502582202 1071696353 /nfs/dbraw/zinc/69/63/53/1071696353.db2.gz RTOWOHRIBJFZKH-SFHVURJKSA-N 0 0 437.566 -0.264 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CS(=O)(=O)CC(=O)NCC(=O)N2CCCC2)c1 ZINC000502585167 1071696335 /nfs/dbraw/zinc/69/63/35/1071696335.db2.gz MJBFTNSMYAJPRT-UHFFFAOYSA-N 0 0 431.536 -0.410 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)NCC3(C)C)CC2)cc1 ZINC000502592632 1071696185 /nfs/dbraw/zinc/69/61/85/1071696185.db2.gz GRWDCEHSGXURRJ-UHFFFAOYSA-N 0 0 436.534 -0.068 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cc(C)ccc3S(N)(=O)=O)CC2)cn1C ZINC000502599403 1071697029 /nfs/dbraw/zinc/69/70/29/1071697029.db2.gz IMRVXZGAPHZIGZ-UHFFFAOYSA-N 0 0 441.535 -0.169 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cncc(NS(C)(=O)=O)c3)CC2)cn1C ZINC000502602491 1071696940 /nfs/dbraw/zinc/69/69/40/1071696940.db2.gz XPQMWGGDKHYVCH-UHFFFAOYSA-N 0 0 442.523 -0.358 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(CC(=O)NCC(=O)N2CCCC2)CC1 ZINC000502603142 1071697023 /nfs/dbraw/zinc/69/70/23/1071697023.db2.gz YKTWINKBFUECAC-UHFFFAOYSA-N 0 0 427.527 -0.274 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(F)c(F)c2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000502605050 1071696883 /nfs/dbraw/zinc/69/68/83/1071696883.db2.gz IAKLMPGCWHEQTM-CYBMUJFWSA-N 0 0 444.460 -0.265 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(F)c(F)c2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000502605051 1071696912 /nfs/dbraw/zinc/69/69/12/1071696912.db2.gz IAKLMPGCWHEQTM-ZDUSSCGKSA-N 0 0 444.460 -0.265 20 0 IBADRN COc1ccc(CC(=O)NCC(=O)N2CCCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000502609972 1071696232 /nfs/dbraw/zinc/69/62/32/1071696232.db2.gz MKVNXXICAPIZEN-UHFFFAOYSA-N 0 0 425.507 -0.003 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(CC(=O)NCC(=O)N2CCCC2)CC1 ZINC000502614366 1071697437 /nfs/dbraw/zinc/69/74/37/1071697437.db2.gz RQRHXYZENWDFTI-UHFFFAOYSA-N 0 0 429.587 -0.148 20 0 IBADRN NC(=O)c1cc(CNC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)on1 ZINC000502621822 1071696874 /nfs/dbraw/zinc/69/68/74/1071696874.db2.gz YNKRNODXIGIESP-UHFFFAOYSA-N 0 0 437.478 -0.065 20 0 IBADRN NC(=O)c1cc(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)on1 ZINC000502629082 1071697003 /nfs/dbraw/zinc/69/70/03/1071697003.db2.gz VGBDUCKGWMCBEC-UHFFFAOYSA-N 0 0 437.482 -0.880 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000502647605 1071697411 /nfs/dbraw/zinc/69/74/11/1071697411.db2.gz KXQRRSPZZARKQH-CYBMUJFWSA-N 0 0 439.581 -0.004 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000502647606 1071697595 /nfs/dbraw/zinc/69/75/95/1071697595.db2.gz KXQRRSPZZARKQH-ZDUSSCGKSA-N 0 0 439.581 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCN1C(=O)CNC1=O ZINC000502659538 1071697537 /nfs/dbraw/zinc/69/75/37/1071697537.db2.gz DKEWCNGDRWDQQD-UHFFFAOYSA-N 0 0 441.466 -0.611 20 0 IBADRN COc1ccc(NC(=O)NCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000502659930 1071697511 /nfs/dbraw/zinc/69/75/11/1071697511.db2.gz GDCHCADXALAFFX-UHFFFAOYSA-N 0 0 441.466 -0.611 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000502664597 1071698255 /nfs/dbraw/zinc/69/82/55/1071698255.db2.gz DRQRMCKLDNFJBX-UHFFFAOYSA-N 0 0 440.859 -0.262 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)N2CCN(C(=O)CO)CC2)cc1Cl ZINC000502665156 1071698233 /nfs/dbraw/zinc/69/82/33/1071698233.db2.gz ISYATMIFTSYKGV-UHFFFAOYSA-N 0 0 425.873 -0.174 20 0 IBADRN O=C(CS(=O)(=O)Cc1nnnn1-c1ccc(C(F)(F)F)cc1)N1CCNC(=O)C1 ZINC000502669060 1071698311 /nfs/dbraw/zinc/69/83/11/1071698311.db2.gz MOIJWAXFPBSHGJ-UHFFFAOYSA-N 0 0 432.384 -0.446 20 0 IBADRN C[C@@H](O)C[N@@H+]1CCN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)[C@H](C)C1 ZINC000502676630 1071698290 /nfs/dbraw/zinc/69/82/90/1071698290.db2.gz CCFSGZZLILOAPD-CHWSQXEVSA-N 0 0 445.508 -0.056 20 0 IBADRN C[C@H](O)C[N@@H+]1CCN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)[C@H](C)C1 ZINC000502676638 1071698098 /nfs/dbraw/zinc/69/80/98/1071698098.db2.gz CCFSGZZLILOAPD-OLZOCXBDSA-N 0 0 445.508 -0.056 20 0 IBADRN C[C@@H](O)C[N@@H+]1CCN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)[C@@H](C)C1 ZINC000502676639 1071698276 /nfs/dbraw/zinc/69/82/76/1071698276.db2.gz CCFSGZZLILOAPD-QWHCGFSZSA-N 0 0 445.508 -0.056 20 0 IBADRN C[C@H](O)C[N@@H+]1CCN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)[C@@H](C)C1 ZINC000502676640 1071698298 /nfs/dbraw/zinc/69/82/98/1071698298.db2.gz CCFSGZZLILOAPD-STQMWFEESA-N 0 0 445.508 -0.056 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000502687780 1071698131 /nfs/dbraw/zinc/69/81/31/1071698131.db2.gz RHNVYXKCJJSBHC-UHFFFAOYSA-N 0 0 448.904 -0.070 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCN(CC2(O)CCS(=O)(=O)CC2)CC1 ZINC000502689975 1071698248 /nfs/dbraw/zinc/69/82/48/1071698248.db2.gz PAKIDQKPDKIFMF-UHFFFAOYSA-N 0 0 446.547 -0.296 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000502694229 1071698321 /nfs/dbraw/zinc/69/83/21/1071698321.db2.gz OZZIWKJOZPRNIK-UHFFFAOYSA-N 0 0 448.461 -0.708 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCN(CC4(O)CCS(=O)(=O)CC4)CC3)cc2[nH]1 ZINC000502695903 1071698881 /nfs/dbraw/zinc/69/88/81/1071698881.db2.gz ZJZNRGCKDZKERK-UHFFFAOYSA-N 0 0 444.535 -0.486 20 0 IBADRN O=C(Cn1c(=O)onc1-c1ncccn1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000502703567 1071698835 /nfs/dbraw/zinc/69/88/35/1071698835.db2.gz KYBMBMJOPWSMAZ-UHFFFAOYSA-N 0 0 446.445 -0.047 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2)CC1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502704789 1071698831 /nfs/dbraw/zinc/69/88/31/1071698831.db2.gz GOVODKBPIGDAPR-PMACEKPBSA-N 0 0 436.578 -0.582 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2)CC1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502704790 1071698747 /nfs/dbraw/zinc/69/87/47/1071698747.db2.gz GOVODKBPIGDAPR-UXHICEINSA-N 0 0 436.578 -0.582 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2)CC1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502704791 1071698869 /nfs/dbraw/zinc/69/88/69/1071698869.db2.gz GOVODKBPIGDAPR-VQTJNVASSA-N 0 0 436.578 -0.582 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2)CC1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502704792 1071698784 /nfs/dbraw/zinc/69/87/84/1071698784.db2.gz GOVODKBPIGDAPR-WOJBJXKFSA-N 0 0 436.578 -0.582 20 0 IBADRN CN1C(=O)N(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C(=O)C12CCCCC2 ZINC000502705470 1071698843 /nfs/dbraw/zinc/69/88/43/1071698843.db2.gz MBBUPUSQEMRSEZ-CABCVRRESA-N 0 0 442.538 -0.803 20 0 IBADRN CN1C(=O)N(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C(=O)C12CCCCC2 ZINC000502705471 1071698806 /nfs/dbraw/zinc/69/88/06/1071698806.db2.gz MBBUPUSQEMRSEZ-GJZGRUSLSA-N 0 0 442.538 -0.803 20 0 IBADRN CN1C(=O)N(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C(=O)C12CCCCC2 ZINC000502705472 1071698854 /nfs/dbraw/zinc/69/88/54/1071698854.db2.gz MBBUPUSQEMRSEZ-HUUCEWRRSA-N 0 0 442.538 -0.803 20 0 IBADRN CN1C(=O)N(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C(=O)C12CCCCC2 ZINC000502705473 1071698729 /nfs/dbraw/zinc/69/87/29/1071698729.db2.gz MBBUPUSQEMRSEZ-LSDHHAIUSA-N 0 0 442.538 -0.803 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502705805 1071698889 /nfs/dbraw/zinc/69/88/89/1071698889.db2.gz NRYIQDWBAITGGU-DLBZAZTESA-N 0 0 427.498 -0.050 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502705806 1071698873 /nfs/dbraw/zinc/69/88/73/1071698873.db2.gz NRYIQDWBAITGGU-IAGOWNOFSA-N 0 0 427.498 -0.050 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502705807 1071699405 /nfs/dbraw/zinc/69/94/05/1071699405.db2.gz NRYIQDWBAITGGU-IRXDYDNUSA-N 0 0 427.498 -0.050 20 0 IBADRN O=C(CCCNC(=O)c1ccc(F)cc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502705808 1071699352 /nfs/dbraw/zinc/69/93/52/1071699352.db2.gz NRYIQDWBAITGGU-SJORKVTESA-N 0 0 427.498 -0.050 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nc2ncc(Br)cn2n1 ZINC000502707965 1071699430 /nfs/dbraw/zinc/69/94/30/1071699430.db2.gz WMUJYVMUDCPLKP-GHMZBOCLSA-N 0 0 445.299 -0.886 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nc2ncc(Br)cn2n1 ZINC000502707966 1071699443 /nfs/dbraw/zinc/69/94/43/1071699443.db2.gz WMUJYVMUDCPLKP-MNOVXSKESA-N 0 0 445.299 -0.886 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nc2ncc(Br)cn2n1 ZINC000502707967 1071699413 /nfs/dbraw/zinc/69/94/13/1071699413.db2.gz WMUJYVMUDCPLKP-QWRGUYRKSA-N 0 0 445.299 -0.886 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nc2ncc(Br)cn2n1 ZINC000502707968 1071699312 /nfs/dbraw/zinc/69/93/12/1071699312.db2.gz WMUJYVMUDCPLKP-WDEREUQCSA-N 0 0 445.299 -0.886 20 0 IBADRN O=C(CN1CCC[C@H]1C(=O)NCc1ccco1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000502710242 1071701379 /nfs/dbraw/zinc/70/13/79/1071701379.db2.gz DQNRLUAVEZQECJ-IBGZPJMESA-N 0 0 447.536 -0.637 20 0 IBADRN O=C(CN1CCC[C@@H]1C(=O)NCc1ccco1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000502710243 1071701337 /nfs/dbraw/zinc/70/13/37/1071701337.db2.gz DQNRLUAVEZQECJ-LJQANCHMSA-N 0 0 447.536 -0.637 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000502714930 1071699398 /nfs/dbraw/zinc/69/93/98/1071699398.db2.gz FVGRTFNBQSZWJH-DLBZAZTESA-N 0 0 445.563 -0.479 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000502714931 1071699458 /nfs/dbraw/zinc/69/94/58/1071699458.db2.gz FVGRTFNBQSZWJH-IAGOWNOFSA-N 0 0 445.563 -0.479 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000502714932 1071699366 /nfs/dbraw/zinc/69/93/66/1071699366.db2.gz FVGRTFNBQSZWJH-IRXDYDNUSA-N 0 0 445.563 -0.479 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000502714933 1071699376 /nfs/dbraw/zinc/69/93/76/1071699376.db2.gz FVGRTFNBQSZWJH-SJORKVTESA-N 0 0 445.563 -0.479 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502715429 1071699331 /nfs/dbraw/zinc/69/93/31/1071699331.db2.gz IXAGZPQUOMBHKT-CVEARBPZSA-N 0 0 449.526 -0.892 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502715430 1071699436 /nfs/dbraw/zinc/69/94/36/1071699436.db2.gz IXAGZPQUOMBHKT-HOTGVXAUSA-N 0 0 449.526 -0.892 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502715431 1071699382 /nfs/dbraw/zinc/69/93/82/1071699382.db2.gz IXAGZPQUOMBHKT-HZPDHXFCSA-N 0 0 449.526 -0.892 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502715432 1071699358 /nfs/dbraw/zinc/69/93/58/1071699358.db2.gz IXAGZPQUOMBHKT-JKSUJKDBSA-N 0 0 449.526 -0.892 20 0 IBADRN O=C(CS(=O)(=O)CCCc1ccccc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502715663 1071699420 /nfs/dbraw/zinc/69/94/20/1071699420.db2.gz KMCAOGZKRHDNOF-MSOLQXFVSA-N 0 0 444.575 -0.352 20 0 IBADRN O=C(CS(=O)(=O)CCCc1ccccc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502715664 1071699464 /nfs/dbraw/zinc/69/94/64/1071699464.db2.gz KMCAOGZKRHDNOF-QZTJIDSGSA-N 0 0 444.575 -0.352 20 0 IBADRN O=C(CS(=O)(=O)CCCc1ccccc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502715665 1071699390 /nfs/dbraw/zinc/69/93/90/1071699390.db2.gz KMCAOGZKRHDNOF-ROUUACIJSA-N 0 0 444.575 -0.352 20 0 IBADRN O=C(CS(=O)(=O)CCCc1ccccc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502715666 1071699449 /nfs/dbraw/zinc/69/94/49/1071699449.db2.gz KMCAOGZKRHDNOF-ZWKOTPCHSA-N 0 0 444.575 -0.352 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1=O ZINC000502715900 1071699907 /nfs/dbraw/zinc/69/99/07/1071699907.db2.gz LZRNCINWKVSYCD-BFYDXBDKSA-N 0 0 437.518 -0.338 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1=O ZINC000502715901 1071699866 /nfs/dbraw/zinc/69/98/66/1071699866.db2.gz LZRNCINWKVSYCD-HLLBOEOZSA-N 0 0 437.518 -0.338 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1=O ZINC000502715902 1071699889 /nfs/dbraw/zinc/69/98/89/1071699889.db2.gz LZRNCINWKVSYCD-INMHGKMJSA-N 0 0 437.518 -0.338 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1=O ZINC000502715903 1071699881 /nfs/dbraw/zinc/69/98/81/1071699881.db2.gz LZRNCINWKVSYCD-VYDXJSESSA-N 0 0 437.518 -0.338 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccc(N2CCCS2(=O)=O)c1 ZINC000502716118 1071699944 /nfs/dbraw/zinc/69/99/44/1071699944.db2.gz MGZVCOVWSVYNCH-DLBZAZTESA-N 0 0 443.547 -0.546 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccc(N2CCCS2(=O)=O)c1 ZINC000502716119 1071699901 /nfs/dbraw/zinc/69/99/01/1071699901.db2.gz MGZVCOVWSVYNCH-IAGOWNOFSA-N 0 0 443.547 -0.546 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccc(N2CCCS2(=O)=O)c1 ZINC000502716120 1071699925 /nfs/dbraw/zinc/69/99/25/1071699925.db2.gz MGZVCOVWSVYNCH-IRXDYDNUSA-N 0 0 443.547 -0.546 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccc(N2CCCS2(=O)=O)c1 ZINC000502716121 1071699918 /nfs/dbraw/zinc/69/99/18/1071699918.db2.gz MGZVCOVWSVYNCH-SJORKVTESA-N 0 0 443.547 -0.546 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502716578 1071699417 /nfs/dbraw/zinc/69/94/17/1071699417.db2.gz PNBSOCVPNAHGKY-KBPBESRZSA-N 0 0 426.520 -0.538 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502716579 1071699984 /nfs/dbraw/zinc/69/99/84/1071699984.db2.gz PNBSOCVPNAHGKY-KGLIPLIRSA-N 0 0 426.520 -0.538 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502716580 1071699955 /nfs/dbraw/zinc/69/99/55/1071699955.db2.gz PNBSOCVPNAHGKY-UONOGXRCSA-N 0 0 426.520 -0.538 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502716581 1071699895 /nfs/dbraw/zinc/69/98/95/1071699895.db2.gz PNBSOCVPNAHGKY-ZIAGYGMSSA-N 0 0 426.520 -0.538 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C1CCN(C(=O)N2CCCC2)CC1 ZINC000502717002 1071700418 /nfs/dbraw/zinc/70/04/18/1071700418.db2.gz VFSGPDRSOWMZMD-DLBZAZTESA-N 0 0 428.555 -0.472 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C1CCN(C(=O)N2CCCC2)CC1 ZINC000502717003 1071700275 /nfs/dbraw/zinc/70/02/75/1071700275.db2.gz VFSGPDRSOWMZMD-IAGOWNOFSA-N 0 0 428.555 -0.472 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C1CCN(C(=O)N2CCCC2)CC1 ZINC000502717004 1071700344 /nfs/dbraw/zinc/70/03/44/1071700344.db2.gz VFSGPDRSOWMZMD-IRXDYDNUSA-N 0 0 428.555 -0.472 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C1CCN(C(=O)N2CCCC2)CC1 ZINC000502717005 1071700308 /nfs/dbraw/zinc/70/03/08/1071700308.db2.gz VFSGPDRSOWMZMD-SJORKVTESA-N 0 0 428.555 -0.472 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000502717349 1071699977 /nfs/dbraw/zinc/69/99/77/1071699977.db2.gz YZJQVGXJGZZMSU-DLBZAZTESA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000502717350 1071699990 /nfs/dbraw/zinc/69/99/90/1071699990.db2.gz YZJQVGXJGZZMSU-IAGOWNOFSA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000502717351 1071699830 /nfs/dbraw/zinc/69/98/30/1071699830.db2.gz YZJQVGXJGZZMSU-IRXDYDNUSA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000502717352 1071699938 /nfs/dbraw/zinc/69/99/38/1071699938.db2.gz YZJQVGXJGZZMSU-SJORKVTESA-N 0 0 443.547 -0.645 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCOC1 ZINC000502718294 1071700284 /nfs/dbraw/zinc/70/02/84/1071700284.db2.gz MRMXDMMQIYKYOA-GOSISDBHSA-N 0 0 429.470 -0.420 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCOC1 ZINC000502718295 1071700406 /nfs/dbraw/zinc/70/04/06/1071700406.db2.gz MRMXDMMQIYKYOA-SFHVURJKSA-N 0 0 429.470 -0.420 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502718616 1071700300 /nfs/dbraw/zinc/70/03/00/1071700300.db2.gz RECBMGSLXUJUFC-DLBZAZTESA-N 0 0 446.547 -0.666 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502718617 1071700293 /nfs/dbraw/zinc/70/02/93/1071700293.db2.gz RECBMGSLXUJUFC-IAGOWNOFSA-N 0 0 446.547 -0.666 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502718618 1071700359 /nfs/dbraw/zinc/70/03/59/1071700359.db2.gz RECBMGSLXUJUFC-IRXDYDNUSA-N 0 0 446.547 -0.666 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502718619 1071700385 /nfs/dbraw/zinc/70/03/85/1071700385.db2.gz RECBMGSLXUJUFC-SJORKVTESA-N 0 0 446.547 -0.666 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cs1 ZINC000502718653 1071700336 /nfs/dbraw/zinc/70/03/36/1071700336.db2.gz ROBZDMFTFUOUMZ-KBPBESRZSA-N 0 0 430.552 -0.106 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cs1 ZINC000502718654 1071700428 /nfs/dbraw/zinc/70/04/28/1071700428.db2.gz ROBZDMFTFUOUMZ-KGLIPLIRSA-N 0 0 430.552 -0.106 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cs1 ZINC000502718655 1071700268 /nfs/dbraw/zinc/70/02/68/1071700268.db2.gz ROBZDMFTFUOUMZ-UONOGXRCSA-N 0 0 430.552 -0.106 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cs1 ZINC000502718656 1071700350 /nfs/dbraw/zinc/70/03/50/1071700350.db2.gz ROBZDMFTFUOUMZ-ZIAGYGMSSA-N 0 0 430.552 -0.106 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC000502718761 1071700793 /nfs/dbraw/zinc/70/07/93/1071700793.db2.gz SWVRVHRQTAJVKF-GDBMZVCRSA-N 0 0 431.474 -0.597 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC000502718762 1071700861 /nfs/dbraw/zinc/70/08/61/1071700861.db2.gz SWVRVHRQTAJVKF-GOEBONIOSA-N 0 0 431.474 -0.597 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC000502718763 1071700836 /nfs/dbraw/zinc/70/08/36/1071700836.db2.gz SWVRVHRQTAJVKF-HOCLYGCPSA-N 0 0 431.474 -0.597 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC000502718764 1071700812 /nfs/dbraw/zinc/70/08/12/1071700812.db2.gz SWVRVHRQTAJVKF-ZBFHGGJFSA-N 0 0 431.474 -0.597 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502719628 1071700393 /nfs/dbraw/zinc/70/03/93/1071700393.db2.gz YIIBXJPZPYQTIW-DLBZAZTESA-N 0 0 448.501 -0.315 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502719629 1071700331 /nfs/dbraw/zinc/70/03/31/1071700331.db2.gz YIIBXJPZPYQTIW-IAGOWNOFSA-N 0 0 448.501 -0.315 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502719630 1071700317 /nfs/dbraw/zinc/70/03/17/1071700317.db2.gz YIIBXJPZPYQTIW-IRXDYDNUSA-N 0 0 448.501 -0.315 20 0 IBADRN O=C(CNC(=O)c1cc(-c2ccccc2)on1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502719631 1071700854 /nfs/dbraw/zinc/70/08/54/1071700854.db2.gz YIIBXJPZPYQTIW-SJORKVTESA-N 0 0 448.501 -0.315 20 0 IBADRN COc1cc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(OC)c1OC ZINC000502720516 1071700776 /nfs/dbraw/zinc/70/07/76/1071700776.db2.gz GGFSYZDBTPLJIK-CABCVRRESA-N 0 0 428.507 -0.131 20 0 IBADRN COc1cc(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(OC)c1OC ZINC000502720517 1071700764 /nfs/dbraw/zinc/70/07/64/1071700764.db2.gz GGFSYZDBTPLJIK-GJZGRUSLSA-N 0 0 428.507 -0.131 20 0 IBADRN COc1cc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(OC)c1OC ZINC000502720518 1071700769 /nfs/dbraw/zinc/70/07/69/1071700769.db2.gz GGFSYZDBTPLJIK-HUUCEWRRSA-N 0 0 428.507 -0.131 20 0 IBADRN COc1cc(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(OC)c1OC ZINC000502720519 1071700817 /nfs/dbraw/zinc/70/08/17/1071700817.db2.gz GGFSYZDBTPLJIK-LSDHHAIUSA-N 0 0 428.507 -0.131 20 0 IBADRN COc1ccc([C@H](CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)NC(C)=O)cc1 ZINC000502720952 1071700772 /nfs/dbraw/zinc/70/07/72/1071700772.db2.gz IAMXOHAVJUOZPT-FHWLQOOXSA-N 0 0 439.534 -0.124 20 0 IBADRN COc1ccc([C@H](CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)NC(C)=O)cc1 ZINC000502720953 1071700784 /nfs/dbraw/zinc/70/07/84/1071700784.db2.gz IAMXOHAVJUOZPT-GBESFXJTSA-N 0 0 439.534 -0.124 20 0 IBADRN COc1ccc([C@H](CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)NC(C)=O)cc1 ZINC000502720954 1071700751 /nfs/dbraw/zinc/70/07/51/1071700751.db2.gz IAMXOHAVJUOZPT-IPMKNSEASA-N 0 0 439.534 -0.124 20 0 IBADRN COc1ccc([C@H](CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)NC(C)=O)cc1 ZINC000502720955 1071700758 /nfs/dbraw/zinc/70/07/58/1071700758.db2.gz IAMXOHAVJUOZPT-OTWHNJEPSA-N 0 0 439.534 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000502724130 1073248456 /nfs/dbraw/zinc/24/84/56/1073248456.db2.gz PZDWCKFFJLYARE-CVEARBPZSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000502724131 1073248503 /nfs/dbraw/zinc/24/85/03/1073248503.db2.gz PZDWCKFFJLYARE-HOTGVXAUSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000502724132 1073248469 /nfs/dbraw/zinc/24/84/69/1073248469.db2.gz PZDWCKFFJLYARE-HZPDHXFCSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000502724133 1073248525 /nfs/dbraw/zinc/24/85/25/1073248525.db2.gz PZDWCKFFJLYARE-JKSUJKDBSA-N 0 0 431.536 -0.836 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](OC)C[C@H]2C(=O)N2CCCC2)c1 ZINC000502724576 1071702214 /nfs/dbraw/zinc/70/22/14/1071702214.db2.gz GRCOIQJJGHJSFX-HOCLYGCPSA-N 0 0 438.506 -0.229 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502725777 1071702617 /nfs/dbraw/zinc/70/26/17/1071702617.db2.gz UGZXEDXLJOROSH-DLBZAZTESA-N 0 0 446.547 -0.527 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502725780 1071702598 /nfs/dbraw/zinc/70/25/98/1071702598.db2.gz UGZXEDXLJOROSH-IAGOWNOFSA-N 0 0 446.547 -0.527 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502725781 1071702628 /nfs/dbraw/zinc/70/26/28/1071702628.db2.gz UGZXEDXLJOROSH-IRXDYDNUSA-N 0 0 446.547 -0.527 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502725782 1071702506 /nfs/dbraw/zinc/70/25/06/1071702506.db2.gz UGZXEDXLJOROSH-SJORKVTESA-N 0 0 446.547 -0.527 20 0 IBADRN O=C(Cn1c(=O)onc1-c1ncccn1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000502727952 1071702553 /nfs/dbraw/zinc/70/25/53/1071702553.db2.gz TZELLMZUGXWKQK-UHFFFAOYSA-N 0 0 444.473 -0.033 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)Cn2c(-c3ncccn3)noc2=O)c(=O)[nH]c1=O ZINC000502728770 1071702588 /nfs/dbraw/zinc/70/25/88/1071702588.db2.gz ZCSHZFRXNORAHB-UHFFFAOYSA-N 0 0 430.425 -0.009 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1F ZINC000502730394 1071702491 /nfs/dbraw/zinc/70/24/91/1071702491.db2.gz IAEBYVFOMPYHPH-CABCVRRESA-N 0 0 435.499 -0.575 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1F ZINC000502730395 1071702567 /nfs/dbraw/zinc/70/25/67/1071702567.db2.gz IAEBYVFOMPYHPH-GJZGRUSLSA-N 0 0 435.499 -0.575 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1F ZINC000502730396 1071702548 /nfs/dbraw/zinc/70/25/48/1071702548.db2.gz IAEBYVFOMPYHPH-HUUCEWRRSA-N 0 0 435.499 -0.575 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1F ZINC000502730397 1071703111 /nfs/dbraw/zinc/70/31/11/1071703111.db2.gz IAEBYVFOMPYHPH-LSDHHAIUSA-N 0 0 435.499 -0.575 20 0 IBADRN COc1ccnc(N2CCC(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)CC2)n1 ZINC000502730529 1071702623 /nfs/dbraw/zinc/70/26/23/1071702623.db2.gz JNGBISOXDIIUFH-CVEARBPZSA-N 0 0 439.538 -0.684 20 0 IBADRN COc1ccnc(N2CCC(C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)CC2)n1 ZINC000502730530 1071702643 /nfs/dbraw/zinc/70/26/43/1071702643.db2.gz JNGBISOXDIIUFH-HOTGVXAUSA-N 0 0 439.538 -0.684 20 0 IBADRN COc1ccnc(N2CCC(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)CC2)n1 ZINC000502730531 1071702464 /nfs/dbraw/zinc/70/24/64/1071702464.db2.gz JNGBISOXDIIUFH-HZPDHXFCSA-N 0 0 439.538 -0.684 20 0 IBADRN COc1ccnc(N2CCC(C(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)CC2)n1 ZINC000502730532 1071702534 /nfs/dbraw/zinc/70/25/34/1071702534.db2.gz JNGBISOXDIIUFH-JKSUJKDBSA-N 0 0 439.538 -0.684 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502730573 1071702651 /nfs/dbraw/zinc/70/26/51/1071702651.db2.gz JZZYPFGWOVWTNF-FZKCQIBNSA-N 0 0 427.523 -0.834 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502730574 1071702606 /nfs/dbraw/zinc/70/26/06/1071702606.db2.gz JZZYPFGWOVWTNF-QKPAOTATSA-N 0 0 427.523 -0.834 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502730575 1071702520 /nfs/dbraw/zinc/70/25/20/1071702520.db2.gz JZZYPFGWOVWTNF-QXSJWSMHSA-N 0 0 427.523 -0.834 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502730576 1071702636 /nfs/dbraw/zinc/70/26/36/1071702636.db2.gz JZZYPFGWOVWTNF-XUWVNRHRSA-N 0 0 427.523 -0.834 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502730799 1071702992 /nfs/dbraw/zinc/70/29/92/1071702992.db2.gz NARAULYAGPCTHT-DLBZAZTESA-N 0 0 430.548 -0.227 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502730800 1071703021 /nfs/dbraw/zinc/70/30/21/1071703021.db2.gz NARAULYAGPCTHT-IAGOWNOFSA-N 0 0 430.548 -0.227 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502730801 1071702961 /nfs/dbraw/zinc/70/29/61/1071702961.db2.gz NARAULYAGPCTHT-IRXDYDNUSA-N 0 0 430.548 -0.227 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502730802 1071703001 /nfs/dbraw/zinc/70/30/01/1071703001.db2.gz NARAULYAGPCTHT-SJORKVTESA-N 0 0 430.548 -0.227 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)CC2=O)cc1 ZINC000502731190 1071702974 /nfs/dbraw/zinc/70/29/74/1071702974.db2.gz BBFFNIRCRLQDQY-CEXWTWQISA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)CC2=O)cc1 ZINC000502731193 1071703116 /nfs/dbraw/zinc/70/31/16/1071703116.db2.gz BBFFNIRCRLQDQY-GUDVDZBRSA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)CC2=O)cc1 ZINC000502731195 1071703091 /nfs/dbraw/zinc/70/30/91/1071703091.db2.gz BBFFNIRCRLQDQY-QRVBRYPASA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)CC2=O)cc1 ZINC000502731197 1071703097 /nfs/dbraw/zinc/70/30/97/1071703097.db2.gz BBFFNIRCRLQDQY-QYZOEREBSA-N 0 0 435.546 -0.042 20 0 IBADRN COC(=O)[C@]1(NCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000502731544 1071703043 /nfs/dbraw/zinc/70/30/43/1071703043.db2.gz DOPBJSUFTWGNNY-IBGZPJMESA-N 0 0 441.506 -0.755 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000502731547 1071703064 /nfs/dbraw/zinc/70/30/64/1071703064.db2.gz DOPBJSUFTWGNNY-LJQANCHMSA-N 0 0 441.506 -0.755 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502731771 1071703030 /nfs/dbraw/zinc/70/30/30/1071703030.db2.gz UYIXLWXQQWJFFS-DLBZAZTESA-N 0 0 445.563 -0.723 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502731772 1071703103 /nfs/dbraw/zinc/70/31/03/1071703103.db2.gz UYIXLWXQQWJFFS-IAGOWNOFSA-N 0 0 445.563 -0.723 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502731773 1071703071 /nfs/dbraw/zinc/70/30/71/1071703071.db2.gz UYIXLWXQQWJFFS-IRXDYDNUSA-N 0 0 445.563 -0.723 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502731774 1071703052 /nfs/dbraw/zinc/70/30/52/1071703052.db2.gz UYIXLWXQQWJFFS-SJORKVTESA-N 0 0 445.563 -0.723 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCOC1 ZINC000502732208 1071703498 /nfs/dbraw/zinc/70/34/98/1071703498.db2.gz JFVVQFIJXYNTGM-GOSISDBHSA-N 0 0 447.460 -0.281 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCOC1 ZINC000502732209 1071703385 /nfs/dbraw/zinc/70/33/85/1071703385.db2.gz JFVVQFIJXYNTGM-SFHVURJKSA-N 0 0 447.460 -0.281 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502732603 1071703361 /nfs/dbraw/zinc/70/33/61/1071703361.db2.gz LNJZOGTWOOFFNV-BHYGNILZSA-N 0 0 445.563 -0.724 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502732604 1071703421 /nfs/dbraw/zinc/70/34/21/1071703421.db2.gz LNJZOGTWOOFFNV-UAGQMJEPSA-N 0 0 445.563 -0.724 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502732605 1071703343 /nfs/dbraw/zinc/70/33/43/1071703343.db2.gz LNJZOGTWOOFFNV-USXIJHARSA-N 0 0 445.563 -0.724 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502732606 1071703403 /nfs/dbraw/zinc/70/34/03/1071703403.db2.gz LNJZOGTWOOFFNV-XIRDDKMYSA-N 0 0 445.563 -0.724 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502732643 1071703378 /nfs/dbraw/zinc/70/33/78/1071703378.db2.gz LYPNUXRPCIOVEJ-AEGPPILISA-N 0 0 449.526 -0.894 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502732644 1071703334 /nfs/dbraw/zinc/70/33/34/1071703334.db2.gz LYPNUXRPCIOVEJ-CFVMTHIKSA-N 0 0 449.526 -0.894 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502732645 1071703447 /nfs/dbraw/zinc/70/34/47/1071703447.db2.gz LYPNUXRPCIOVEJ-NWANDNLSSA-N 0 0 449.526 -0.894 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502732646 1071703411 /nfs/dbraw/zinc/70/34/11/1071703411.db2.gz LYPNUXRPCIOVEJ-QEJZJMRPSA-N 0 0 449.526 -0.894 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502732756 1071703435 /nfs/dbraw/zinc/70/34/35/1071703435.db2.gz NJXRYMHHJMZXKU-MSOLQXFVSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502732757 1071703964 /nfs/dbraw/zinc/70/39/64/1071703964.db2.gz NJXRYMHHJMZXKU-QZTJIDSGSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502732758 1071703907 /nfs/dbraw/zinc/70/39/07/1071703907.db2.gz NJXRYMHHJMZXKU-ROUUACIJSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502732759 1071704019 /nfs/dbraw/zinc/70/40/19/1071704019.db2.gz NJXRYMHHJMZXKU-ZWKOTPCHSA-N 0 0 437.518 -0.194 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CCOC1 ZINC000502733299 1071703430 /nfs/dbraw/zinc/70/34/30/1071703430.db2.gz UYXXEMDBUBYFCY-KRWDZBQOSA-N 0 0 430.483 -0.954 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CCOC1 ZINC000502733300 1071703349 /nfs/dbraw/zinc/70/33/49/1071703349.db2.gz UYXXEMDBUBYFCY-QGZVFWFLSA-N 0 0 430.483 -0.954 20 0 IBADRN CO[C@H]1C[C@@H](C(N)=O)N(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)C1 ZINC000502735089 1071703925 /nfs/dbraw/zinc/70/39/25/1071703925.db2.gz BOXBVVXMLUPDCA-AAEUAGOBSA-N 0 0 426.857 -0.153 20 0 IBADRN Cc1sc2ncn(CC(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c(=O)c2c1C ZINC000502735492 1071704010 /nfs/dbraw/zinc/70/40/10/1071704010.db2.gz ATOJLJYAFPAPPB-KBPBESRZSA-N 0 0 440.547 -0.311 20 0 IBADRN Cc1sc2ncn(CC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c(=O)c2c1C ZINC000502735497 1071703995 /nfs/dbraw/zinc/70/39/95/1071703995.db2.gz ATOJLJYAFPAPPB-KGLIPLIRSA-N 0 0 440.547 -0.311 20 0 IBADRN Cc1sc2ncn(CC(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c(=O)c2c1C ZINC000502735500 1071703974 /nfs/dbraw/zinc/70/39/74/1071703974.db2.gz ATOJLJYAFPAPPB-UONOGXRCSA-N 0 0 440.547 -0.311 20 0 IBADRN Cc1sc2ncn(CC(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c(=O)c2c1C ZINC000502735502 1071703988 /nfs/dbraw/zinc/70/39/88/1071703988.db2.gz ATOJLJYAFPAPPB-ZIAGYGMSSA-N 0 0 440.547 -0.311 20 0 IBADRN C[C@@](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)(C(N)=O)c1ccccc1 ZINC000502735691 1071703941 /nfs/dbraw/zinc/70/39/41/1071703941.db2.gz BSKWABHAKKRUDI-FQEVSTJZSA-N 0 0 430.486 -0.062 20 0 IBADRN C[C@](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)(C(N)=O)c1ccccc1 ZINC000502735695 1071704002 /nfs/dbraw/zinc/70/40/02/1071704002.db2.gz BSKWABHAKKRUDI-HXUWFJFHSA-N 0 0 430.486 -0.062 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CCN(c2ccc(F)c(F)c2)C1=O ZINC000502735930 1071703946 /nfs/dbraw/zinc/70/39/46/1071703946.db2.gz DMODRBRYRQKKFH-IAOVAPTHSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CCN(c2ccc(F)c(F)c2)C1=O ZINC000502735931 1071703969 /nfs/dbraw/zinc/70/39/69/1071703969.db2.gz DMODRBRYRQKKFH-KBRIMQKVSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CCN(c2ccc(F)c(F)c2)C1=O ZINC000502735933 1071703959 /nfs/dbraw/zinc/70/39/59/1071703959.db2.gz DMODRBRYRQKKFH-XKQJLSEDSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CCN(c2ccc(F)c(F)c2)C1=O ZINC000502735935 1071703983 /nfs/dbraw/zinc/70/39/83/1071703983.db2.gz DMODRBRYRQKKFH-XYPHTWIQSA-N 0 0 443.472 -0.068 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502735952 1071703952 /nfs/dbraw/zinc/70/39/52/1071703952.db2.gz DOLUZTKJRLHACX-AVGNSLFASA-N 0 0 437.565 -0.971 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502735956 1071703913 /nfs/dbraw/zinc/70/39/13/1071703913.db2.gz DOLUZTKJRLHACX-RWMBFGLXSA-N 0 0 437.565 -0.971 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502735959 1071704311 /nfs/dbraw/zinc/70/43/11/1071704311.db2.gz DOLUZTKJRLHACX-XQQFMLRXSA-N 0 0 437.565 -0.971 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502735960 1071704324 /nfs/dbraw/zinc/70/43/24/1071704324.db2.gz DOLUZTKJRLHACX-YNEHKIRRSA-N 0 0 437.565 -0.971 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CCN(c2ccc(F)cc2)C1=O ZINC000502736454 1071704029 /nfs/dbraw/zinc/70/40/29/1071704029.db2.gz GQZBAHQSPWSEPT-BBWFWOEESA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CCN(c2ccc(F)cc2)C1=O ZINC000502736455 1071703899 /nfs/dbraw/zinc/70/38/99/1071703899.db2.gz GQZBAHQSPWSEPT-BRWVUGGUSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CCN(c2ccc(F)cc2)C1=O ZINC000502736456 1071703936 /nfs/dbraw/zinc/70/39/36/1071703936.db2.gz GQZBAHQSPWSEPT-GVDBMIGSSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CCN(c2ccc(F)cc2)C1=O ZINC000502736457 1071703921 /nfs/dbraw/zinc/70/39/21/1071703921.db2.gz GQZBAHQSPWSEPT-ZACQAIPSSA-N 0 0 425.482 -0.207 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c2C)cc1 ZINC000502737338 1071701322 /nfs/dbraw/zinc/70/13/22/1071701322.db2.gz KPDXMBMKLKLMRQ-DLBZAZTESA-N 0 0 435.506 -0.188 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c2C)cc1 ZINC000502737339 1071701286 /nfs/dbraw/zinc/70/12/86/1071701286.db2.gz KPDXMBMKLKLMRQ-IAGOWNOFSA-N 0 0 435.506 -0.188 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c2C)cc1 ZINC000502737340 1071701280 /nfs/dbraw/zinc/70/12/80/1071701280.db2.gz KPDXMBMKLKLMRQ-IRXDYDNUSA-N 0 0 435.506 -0.188 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c2C)cc1 ZINC000502737341 1071701347 /nfs/dbraw/zinc/70/13/47/1071701347.db2.gz KPDXMBMKLKLMRQ-SJORKVTESA-N 0 0 435.506 -0.188 20 0 IBADRN O=C(CNC(=O)NCc1ccc(Cl)cc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502737836 1071701305 /nfs/dbraw/zinc/70/13/05/1071701305.db2.gz KUTZRVYARHLZNC-CVEARBPZSA-N 0 0 444.941 -0.247 20 0 IBADRN O=C(CNC(=O)NCc1ccc(Cl)cc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502737839 1071701267 /nfs/dbraw/zinc/70/12/67/1071701267.db2.gz KUTZRVYARHLZNC-HOTGVXAUSA-N 0 0 444.941 -0.247 20 0 IBADRN O=C(CNC(=O)NCc1ccc(Cl)cc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502737841 1071701353 /nfs/dbraw/zinc/70/13/53/1071701353.db2.gz KUTZRVYARHLZNC-HZPDHXFCSA-N 0 0 444.941 -0.247 20 0 IBADRN O=C(CNC(=O)NCc1ccc(Cl)cc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502737842 1071701292 /nfs/dbraw/zinc/70/12/92/1071701292.db2.gz KUTZRVYARHLZNC-JKSUJKDBSA-N 0 0 444.941 -0.247 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(Cc3cccc(F)c3)CC2)CC1)N1CCOCC1 ZINC000502738416 1073368558 /nfs/dbraw/zinc/36/85/58/1073368558.db2.gz MUKHZVXEICMDIH-UHFFFAOYSA-N 0 0 447.555 -0.054 20 0 IBADRN O=C(Cn1c(=O)onc1-c1ncccn1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000502739376 1071701330 /nfs/dbraw/zinc/70/13/30/1071701330.db2.gz VSJUXLIOOFYJLJ-UHFFFAOYSA-N 0 0 446.445 -0.047 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cn2)C[C@@H](C)O1 ZINC000502739490 1071701314 /nfs/dbraw/zinc/70/13/14/1071701314.db2.gz OVAIQRRKEFDXQC-AHCXZYCDSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cn2)C[C@H](C)O1 ZINC000502739495 1071701230 /nfs/dbraw/zinc/70/12/30/1071701230.db2.gz OVAIQRRKEFDXQC-ATLSCFEFSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cn2)C[C@H](C)O1 ZINC000502739498 1071701259 /nfs/dbraw/zinc/70/12/59/1071701259.db2.gz OVAIQRRKEFDXQC-CYGHRXIMSA-N 0 0 438.550 -0.077 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cn2)C[C@@H](C)O1 ZINC000502739500 1071701273 /nfs/dbraw/zinc/70/12/73/1071701273.db2.gz OVAIQRRKEFDXQC-JOCBIADPSA-N 0 0 438.550 -0.077 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502740065 1071701661 /nfs/dbraw/zinc/70/16/61/1071701661.db2.gz QDWVHGBCNRHDMZ-DLBZAZTESA-N 0 0 430.548 -0.363 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502740067 1071701701 /nfs/dbraw/zinc/70/17/01/1071701701.db2.gz QDWVHGBCNRHDMZ-IAGOWNOFSA-N 0 0 430.548 -0.363 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502740069 1071701737 /nfs/dbraw/zinc/70/17/37/1071701737.db2.gz QDWVHGBCNRHDMZ-IRXDYDNUSA-N 0 0 430.548 -0.363 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502740071 1071701642 /nfs/dbraw/zinc/70/16/42/1071701642.db2.gz QDWVHGBCNRHDMZ-SJORKVTESA-N 0 0 430.548 -0.363 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502740125 1071701668 /nfs/dbraw/zinc/70/16/68/1071701668.db2.gz QQJTUDNFYSBLFT-CHWSQXEVSA-N 0 0 434.312 -0.775 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502740126 1071701688 /nfs/dbraw/zinc/70/16/88/1071701688.db2.gz QQJTUDNFYSBLFT-OLZOCXBDSA-N 0 0 434.312 -0.775 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502740127 1071701646 /nfs/dbraw/zinc/70/16/46/1071701646.db2.gz QQJTUDNFYSBLFT-QWHCGFSZSA-N 0 0 434.312 -0.775 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502740128 1071701654 /nfs/dbraw/zinc/70/16/54/1071701654.db2.gz QQJTUDNFYSBLFT-STQMWFEESA-N 0 0 434.312 -0.775 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502740608 1071701726 /nfs/dbraw/zinc/70/17/26/1071701726.db2.gz RHQQNRSQAMUQSU-MSOLQXFVSA-N 0 0 439.534 -0.181 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502740610 1071701705 /nfs/dbraw/zinc/70/17/05/1071701705.db2.gz RHQQNRSQAMUQSU-QZTJIDSGSA-N 0 0 439.534 -0.181 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000502740611 1071701624 /nfs/dbraw/zinc/70/16/24/1071701624.db2.gz RHQQNRSQAMUQSU-ROUUACIJSA-N 0 0 439.534 -0.181 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000502740612 1071701692 /nfs/dbraw/zinc/70/16/92/1071701692.db2.gz RHQQNRSQAMUQSU-ZWKOTPCHSA-N 0 0 439.534 -0.181 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1=O ZINC000502741016 1071702132 /nfs/dbraw/zinc/70/21/32/1071702132.db2.gz SMGGSGFTRLMSPM-UXLLHSPISA-N 0 0 437.518 -0.195 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1=O ZINC000502741017 1071702209 /nfs/dbraw/zinc/70/22/09/1071702209.db2.gz SMGGSGFTRLMSPM-YQQAZPJKSA-N 0 0 437.518 -0.195 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1=O ZINC000502741018 1071702120 /nfs/dbraw/zinc/70/21/20/1071702120.db2.gz SMGGSGFTRLMSPM-ZMSDIMECSA-N 0 0 437.518 -0.195 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1=O ZINC000502741019 1071702204 /nfs/dbraw/zinc/70/22/04/1071702204.db2.gz SMGGSGFTRLMSPM-ZOBUZTSGSA-N 0 0 437.518 -0.195 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000502741082 1071701613 /nfs/dbraw/zinc/70/16/13/1071701613.db2.gz UFEDCXIYZARKJP-CSMYWGQOSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000502741083 1071701715 /nfs/dbraw/zinc/70/17/15/1071701715.db2.gz UFEDCXIYZARKJP-JCURWCKSSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000502741084 1071701675 /nfs/dbraw/zinc/70/16/75/1071701675.db2.gz UFEDCXIYZARKJP-JLZZUVOBSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000502741085 1071701731 /nfs/dbraw/zinc/70/17/31/1071701731.db2.gz UFEDCXIYZARKJP-VUCTXSBTSA-N 0 0 443.472 -0.068 20 0 IBADRN COC(=O)[C@]1(NCC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000502741957 1071702537 /nfs/dbraw/zinc/70/25/37/1071702537.db2.gz WRTOINLJQZLJET-IBGZPJMESA-N 0 0 441.506 -0.124 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000502741958 1071702577 /nfs/dbraw/zinc/70/25/77/1071702577.db2.gz WRTOINLJQZLJET-LJQANCHMSA-N 0 0 441.506 -0.124 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C2=O ZINC000502742014 1071703014 /nfs/dbraw/zinc/70/30/14/1071703014.db2.gz XKXJZTUUGQFROC-CUYVQJCZSA-N 0 0 442.538 -0.899 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C2=O ZINC000502742015 1071703087 /nfs/dbraw/zinc/70/30/87/1071703087.db2.gz XKXJZTUUGQFROC-GJRWTSMGSA-N 0 0 442.538 -0.899 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C2=O ZINC000502742016 1071703465 /nfs/dbraw/zinc/70/34/65/1071703465.db2.gz XKXJZTUUGQFROC-GPINWOSQSA-N 0 0 442.538 -0.899 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C2=O ZINC000502742017 1071703484 /nfs/dbraw/zinc/70/34/84/1071703484.db2.gz XKXJZTUUGQFROC-QZNHQWIBSA-N 0 0 442.538 -0.899 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(Cl)cc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502742319 1071702198 /nfs/dbraw/zinc/70/21/98/1071702198.db2.gz YQYKSGQFAPNIIE-CABCVRRESA-N 0 0 436.939 -0.272 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(Cl)cc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502742320 1071702159 /nfs/dbraw/zinc/70/21/59/1071702159.db2.gz YQYKSGQFAPNIIE-GJZGRUSLSA-N 0 0 436.939 -0.272 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(Cl)cc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502742321 1071702145 /nfs/dbraw/zinc/70/21/45/1071702145.db2.gz YQYKSGQFAPNIIE-HUUCEWRRSA-N 0 0 436.939 -0.272 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(Cl)cc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502742322 1071702187 /nfs/dbraw/zinc/70/21/87/1071702187.db2.gz YQYKSGQFAPNIIE-LSDHHAIUSA-N 0 0 436.939 -0.272 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(=O)n(-c2ccc(F)cc2)n1 ZINC000502742611 1071702196 /nfs/dbraw/zinc/70/21/96/1071702196.db2.gz ZGCYOASRDBNART-DLBZAZTESA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(=O)n(-c2ccc(F)cc2)n1 ZINC000502742612 1071702192 /nfs/dbraw/zinc/70/21/92/1071702192.db2.gz ZGCYOASRDBNART-IAGOWNOFSA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(=O)n(-c2ccc(F)cc2)n1 ZINC000502742613 1071702137 /nfs/dbraw/zinc/70/21/37/1071702137.db2.gz ZGCYOASRDBNART-IRXDYDNUSA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(=O)n(-c2ccc(F)cc2)n1 ZINC000502742614 1071702164 /nfs/dbraw/zinc/70/21/64/1071702164.db2.gz ZGCYOASRDBNART-SJORKVTESA-N 0 0 436.465 -0.401 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000502742689 1071702177 /nfs/dbraw/zinc/70/21/77/1071702177.db2.gz ZZDSDSUMCHUUIR-DLBZAZTESA-N 0 0 430.571 -0.226 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000502742690 1071702150 /nfs/dbraw/zinc/70/21/50/1071702150.db2.gz ZZDSDSUMCHUUIR-IAGOWNOFSA-N 0 0 430.571 -0.226 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000502742691 1071702182 /nfs/dbraw/zinc/70/21/82/1071702182.db2.gz ZZDSDSUMCHUUIR-IRXDYDNUSA-N 0 0 430.571 -0.226 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000502742692 1071702171 /nfs/dbraw/zinc/70/21/71/1071702171.db2.gz ZZDSDSUMCHUUIR-SJORKVTESA-N 0 0 430.571 -0.226 20 0 IBADRN CCN(C(=O)CN[C@@]1(C(=O)OC)CCOC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000502743079 1071704254 /nfs/dbraw/zinc/70/42/54/1071704254.db2.gz HMXNMEWLNNHUPO-NRFANRHFSA-N 0 0 445.476 -0.146 20 0 IBADRN CCN(C(=O)CN[C@]1(C(=O)OC)CCOC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000502743080 1071704287 /nfs/dbraw/zinc/70/42/87/1071704287.db2.gz HMXNMEWLNNHUPO-OAQYLSRUSA-N 0 0 445.476 -0.146 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCOC1 ZINC000502744203 1071704348 /nfs/dbraw/zinc/70/43/48/1071704348.db2.gz IMUIGSDJPKCSOM-IBGZPJMESA-N 0 0 425.507 -0.418 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCOC1 ZINC000502744204 1071704339 /nfs/dbraw/zinc/70/43/39/1071704339.db2.gz IMUIGSDJPKCSOM-LJQANCHMSA-N 0 0 425.507 -0.418 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCOC1 ZINC000502744223 1071704267 /nfs/dbraw/zinc/70/42/67/1071704267.db2.gz PQRFPENGSPQQSE-GOSISDBHSA-N 0 0 429.470 -0.420 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCOC1 ZINC000502744224 1071704270 /nfs/dbraw/zinc/70/42/70/1071704270.db2.gz PQRFPENGSPQQSE-SFHVURJKSA-N 0 0 429.470 -0.420 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000502746337 1071704272 /nfs/dbraw/zinc/70/42/72/1071704272.db2.gz FDRXAVBSGAMNFI-HNNXBMFYSA-N 0 0 426.543 -0.020 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000502746338 1071704331 /nfs/dbraw/zinc/70/43/31/1071704331.db2.gz FDRXAVBSGAMNFI-OAHLLOKOSA-N 0 0 426.543 -0.020 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2C[C@@H](OC)C[C@H]2C(N)=O)c2cccnc12 ZINC000502747399 1071704263 /nfs/dbraw/zinc/70/42/63/1071704263.db2.gz JPHQSEWVNWORBM-FZMZJTMJSA-N 0 0 430.417 -0.174 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCOC1 ZINC000502748928 1071704303 /nfs/dbraw/zinc/70/43/03/1071704303.db2.gz DALLHJYLOFMKRB-DYESRHJHSA-N 0 0 433.461 -0.581 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCOC1 ZINC000502748929 1071704259 /nfs/dbraw/zinc/70/42/59/1071704259.db2.gz DALLHJYLOFMKRB-LAUBAEHRSA-N 0 0 433.461 -0.581 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCOC1 ZINC000502748930 1071704317 /nfs/dbraw/zinc/70/43/17/1071704317.db2.gz DALLHJYLOFMKRB-UTKZUKDTSA-N 0 0 433.461 -0.581 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCOC1 ZINC000502748931 1071704329 /nfs/dbraw/zinc/70/43/29/1071704329.db2.gz DALLHJYLOFMKRB-UWJYYQICSA-N 0 0 433.461 -0.581 20 0 IBADRN CO[C@H]1C[C@@H](C(N)=O)N(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)C1 ZINC000502749658 1071704280 /nfs/dbraw/zinc/70/42/80/1071704280.db2.gz UIWPITXPFVNZLJ-HOCLYGCPSA-N 0 0 433.465 -0.561 20 0 IBADRN O=C(CCNC(=O)N1CCN(Cc2ncc[nH]2)CC1)N1CCN(c2ncccn2)CC1 ZINC000502750324 1071704293 /nfs/dbraw/zinc/70/42/93/1071704293.db2.gz WCIMKLRMLFZTDX-UHFFFAOYSA-N 0 0 427.513 -0.234 20 0 IBADRN COC(=O)[C@]1(NCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCOC1 ZINC000502750674 1071704274 /nfs/dbraw/zinc/70/42/74/1071704274.db2.gz PJVRTAXEMRJMSR-IBGZPJMESA-N 0 0 441.506 -0.755 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCOC1 ZINC000502750676 1071704755 /nfs/dbraw/zinc/70/47/55/1071704755.db2.gz PJVRTAXEMRJMSR-LJQANCHMSA-N 0 0 441.506 -0.755 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000502751526 1071704816 /nfs/dbraw/zinc/70/48/16/1071704816.db2.gz AENBZICSBGKPCV-CABCVRRESA-N 0 0 426.543 -0.022 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000502751527 1071704860 /nfs/dbraw/zinc/70/48/60/1071704860.db2.gz AENBZICSBGKPCV-GJZGRUSLSA-N 0 0 426.543 -0.022 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000502751528 1071704836 /nfs/dbraw/zinc/70/48/36/1071704836.db2.gz AENBZICSBGKPCV-HUUCEWRRSA-N 0 0 426.543 -0.022 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)S(C)(=O)=O ZINC000502751529 1071704874 /nfs/dbraw/zinc/70/48/74/1071704874.db2.gz AENBZICSBGKPCV-LSDHHAIUSA-N 0 0 426.543 -0.022 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000502754744 1071704760 /nfs/dbraw/zinc/70/47/60/1071704760.db2.gz BBNVOAMZLOSNOA-GOSISDBHSA-N 0 0 427.479 -0.432 20 0 IBADRN COC(=O)[C@]1(NCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000502754748 1071704856 /nfs/dbraw/zinc/70/48/56/1071704856.db2.gz BBNVOAMZLOSNOA-SFHVURJKSA-N 0 0 427.479 -0.432 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000502755468 1071704869 /nfs/dbraw/zinc/70/48/69/1071704869.db2.gz HTYAMSMMCMRSQN-GOSISDBHSA-N 0 0 427.479 -0.432 20 0 IBADRN COC(=O)[C@]1(NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOC1 ZINC000502755469 1071704778 /nfs/dbraw/zinc/70/47/78/1071704778.db2.gz HTYAMSMMCMRSQN-SFHVURJKSA-N 0 0 427.479 -0.432 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000502756209 1071704849 /nfs/dbraw/zinc/70/48/49/1071704849.db2.gz JOTIRJMZTXFPGF-FZKCQIBNSA-N 0 0 429.539 -0.588 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000502756210 1071704880 /nfs/dbraw/zinc/70/48/80/1071704880.db2.gz JOTIRJMZTXFPGF-JJXSEGSLSA-N 0 0 429.539 -0.588 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000502756211 1071704865 /nfs/dbraw/zinc/70/48/65/1071704865.db2.gz JOTIRJMZTXFPGF-XUWVNRHRSA-N 0 0 429.539 -0.588 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000502756212 1071704797 /nfs/dbraw/zinc/70/47/97/1071704797.db2.gz JOTIRJMZTXFPGF-ZJIFWQFVSA-N 0 0 429.539 -0.588 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CCOC1 ZINC000502763382 1071704804 /nfs/dbraw/zinc/70/48/04/1071704804.db2.gz SEKIQRWTJRGNAI-IBGZPJMESA-N 0 0 441.506 -0.550 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CCOC1 ZINC000502763383 1071704831 /nfs/dbraw/zinc/70/48/31/1071704831.db2.gz SEKIQRWTJRGNAI-LJQANCHMSA-N 0 0 441.506 -0.550 20 0 IBADRN CCn1c(=O)c(C(=O)CN[C@@]2(C(=O)OC)CCOC2)c(N)n(Cc2ccccc2)c1=O ZINC000502764781 1071704788 /nfs/dbraw/zinc/70/47/88/1071704788.db2.gz FVWIAICYCGBLDB-NRFANRHFSA-N 0 0 430.461 -0.235 20 0 IBADRN CCn1c(=O)c(C(=O)CN[C@]2(C(=O)OC)CCOC2)c(N)n(Cc2ccccc2)c1=O ZINC000502764782 1071704843 /nfs/dbraw/zinc/70/48/43/1071704843.db2.gz FVWIAICYCGBLDB-OAQYLSRUSA-N 0 0 430.461 -0.235 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCOC1 ZINC000502767074 1071704885 /nfs/dbraw/zinc/70/48/85/1071704885.db2.gz XPIUCIPGTDDEKV-GOSISDBHSA-N 0 0 429.470 -0.420 20 0 IBADRN COC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCOC1 ZINC000502767075 1071704770 /nfs/dbraw/zinc/70/47/70/1071704770.db2.gz XPIUCIPGTDDEKV-SFHVURJKSA-N 0 0 429.470 -0.420 20 0 IBADRN O=C(NCc1nc(C(F)(F)F)cs1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000502769238 1071704822 /nfs/dbraw/zinc/70/48/22/1071704822.db2.gz YHCLSJJLZNMNDU-UHFFFAOYSA-N 0 0 433.412 -0.273 20 0 IBADRN COCCCCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000502770629 1071705287 /nfs/dbraw/zinc/70/52/87/1071705287.db2.gz GSYUHNHGXGEYAA-UHFFFAOYSA-N 0 0 434.540 -0.224 20 0 IBADRN C[C@@](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)(C(N)=O)c1ccccc1 ZINC000502773838 1071705265 /nfs/dbraw/zinc/70/52/65/1071705265.db2.gz LXEVYYIELCPSHT-FQEVSTJZSA-N 0 0 430.486 -0.062 20 0 IBADRN C[C@](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)(C(N)=O)c1ccccc1 ZINC000502773840 1071705250 /nfs/dbraw/zinc/70/52/50/1071705250.db2.gz LXEVYYIELCPSHT-HXUWFJFHSA-N 0 0 430.486 -0.062 20 0 IBADRN COc1cccc([C@@H]2C[C@@H](O)CN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000502786861 1073359560 /nfs/dbraw/zinc/35/95/60/1073359560.db2.gz DDLJICICGPDCCF-CTNGQTDRSA-N 0 0 446.548 -0.194 20 0 IBADRN COc1cccc([C@@H]2C[C@H](O)CN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000502786862 1073360010 /nfs/dbraw/zinc/36/00/10/1073360010.db2.gz DDLJICICGPDCCF-FPOVZHCZSA-N 0 0 446.548 -0.194 20 0 IBADRN COc1cccc([C@H]2C[C@H](O)CN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000502786863 1073359931 /nfs/dbraw/zinc/35/99/31/1073359931.db2.gz DDLJICICGPDCCF-PZJWPPBQSA-N 0 0 446.548 -0.194 20 0 IBADRN COc1cccc([C@H]2C[C@@H](O)CN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000502786864 1073360063 /nfs/dbraw/zinc/36/00/63/1073360063.db2.gz DDLJICICGPDCCF-TZIWHRDSSA-N 0 0 446.548 -0.194 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CCCN2C(=O)CNC2=O)CC1 ZINC000502791286 1071705315 /nfs/dbraw/zinc/70/53/15/1071705315.db2.gz UBUKGQMSYUJZNN-UHFFFAOYSA-N 0 0 427.483 -0.154 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2nc(C(F)(F)F)cs2)CC1 ZINC000502791595 1071705173 /nfs/dbraw/zinc/70/51/73/1071705173.db2.gz GCXJWLMWSMVNGX-UHFFFAOYSA-N 0 0 432.388 -0.864 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nc(C(F)(F)F)cs2)CC1)N1CCOCC1 ZINC000502794365 1071705304 /nfs/dbraw/zinc/70/53/04/1071705304.db2.gz HTVOOPOCQUSXEF-UHFFFAOYSA-N 0 0 449.455 -0.219 20 0 IBADRN CCn1cc(N2C(=O)C[C@H](N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000502796636 1071705332 /nfs/dbraw/zinc/70/53/32/1071705332.db2.gz RGCYSDCFHVQVIN-CVEARBPZSA-N 0 0 425.511 -0.339 20 0 IBADRN CCn1cc(N2C(=O)C[C@H](N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000502796637 1071705244 /nfs/dbraw/zinc/70/52/44/1071705244.db2.gz RGCYSDCFHVQVIN-HOTGVXAUSA-N 0 0 425.511 -0.339 20 0 IBADRN CCn1cc(N2C(=O)C[C@@H](N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000502796638 1071705283 /nfs/dbraw/zinc/70/52/83/1071705283.db2.gz RGCYSDCFHVQVIN-HZPDHXFCSA-N 0 0 425.511 -0.339 20 0 IBADRN CCn1cc(N2C(=O)C[C@@H](N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000502796639 1071705215 /nfs/dbraw/zinc/70/52/15/1071705215.db2.gz RGCYSDCFHVQVIN-JKSUJKDBSA-N 0 0 425.511 -0.339 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2nc(C(F)(F)F)cs2)CC1 ZINC000502797731 1071705270 /nfs/dbraw/zinc/70/52/70/1071705270.db2.gz ZAMRYHPXTOOGGV-UHFFFAOYSA-N 0 0 449.455 -0.219 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000502798052 1071705197 /nfs/dbraw/zinc/70/51/97/1071705197.db2.gz YICJORSLOHVHKS-CVEARBPZSA-N 0 0 438.506 -0.152 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000502798053 1071705277 /nfs/dbraw/zinc/70/52/77/1071705277.db2.gz YICJORSLOHVHKS-HOTGVXAUSA-N 0 0 438.506 -0.152 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000502798054 1071705239 /nfs/dbraw/zinc/70/52/39/1071705239.db2.gz YICJORSLOHVHKS-HZPDHXFCSA-N 0 0 438.506 -0.152 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000502798055 1071705322 /nfs/dbraw/zinc/70/53/22/1071705322.db2.gz YICJORSLOHVHKS-JKSUJKDBSA-N 0 0 438.506 -0.152 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CN2C(=O)NC(C)(C)C2=O)CC1 ZINC000502799417 1071705233 /nfs/dbraw/zinc/70/52/33/1071705233.db2.gz LFDSAHWDEYJLOV-UHFFFAOYSA-N 0 0 427.483 -0.155 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000502802883 1071705185 /nfs/dbraw/zinc/70/51/85/1071705185.db2.gz OBAHCOSMKAFFEJ-UHFFFAOYSA-N 0 0 431.536 -0.072 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@H](S(C)(=O)=O)CC2)CC1 ZINC000502804077 1071705830 /nfs/dbraw/zinc/70/58/30/1071705830.db2.gz SFXDEWOVZPJRFT-HNNXBMFYSA-N 0 0 435.554 -0.089 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@@H](S(C)(=O)=O)CC2)CC1 ZINC000502804078 1071705729 /nfs/dbraw/zinc/70/57/29/1071705729.db2.gz SFXDEWOVZPJRFT-OAHLLOKOSA-N 0 0 435.554 -0.089 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000502810472 1071705713 /nfs/dbraw/zinc/70/57/13/1071705713.db2.gz VJRUOUOTCQJEBO-HNNXBMFYSA-N 0 0 435.510 -0.221 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000502810473 1071705725 /nfs/dbraw/zinc/70/57/25/1071705725.db2.gz VJRUOUOTCQJEBO-OAHLLOKOSA-N 0 0 435.510 -0.221 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCS(=O)(=O)N2CCSCC2)cc1OC ZINC000502811550 1071705780 /nfs/dbraw/zinc/70/57/80/1071705780.db2.gz QTQSAYWDSBGNEN-UHFFFAOYSA-N 0 0 431.536 -0.072 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000502812528 1071705675 /nfs/dbraw/zinc/70/56/75/1071705675.db2.gz SSHXJYSHZLLQPE-UHFFFAOYSA-N 0 0 449.576 -0.338 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000502821592 1071705749 /nfs/dbraw/zinc/70/57/49/1071705749.db2.gz HDEIDWJIGKNVLK-UHFFFAOYSA-N 0 0 427.614 -0.021 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000502822267 1071705765 /nfs/dbraw/zinc/70/57/65/1071705765.db2.gz JIOHSUGQAPOBIQ-HNNXBMFYSA-N 0 0 439.567 -0.231 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000502822268 1071705742 /nfs/dbraw/zinc/70/57/42/1071705742.db2.gz JIOHSUGQAPOBIQ-OAHLLOKOSA-N 0 0 439.567 -0.231 20 0 IBADRN Cn1cc(OCC(=O)N2CCN(C(=O)COc3cnn(C)c3)[C@@H](c3cnn(C)c3)C2)cn1 ZINC000502835228 1071706267 /nfs/dbraw/zinc/70/62/67/1071706267.db2.gz ZFPGAWRJGZNVEL-GOSISDBHSA-N 0 0 442.480 -0.243 20 0 IBADRN Cn1cc(OCC(=O)N2CCN(C(=O)COc3cnn(C)c3)[C@H](c3cnn(C)c3)C2)cn1 ZINC000502835229 1071706240 /nfs/dbraw/zinc/70/62/40/1071706240.db2.gz ZFPGAWRJGZNVEL-SFHVURJKSA-N 0 0 442.480 -0.243 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)CN2C(=O)NC(C)(C)C2=O)CC1 ZINC000502845252 1071706844 /nfs/dbraw/zinc/70/68/44/1071706844.db2.gz YGPOSGVZKLTLPJ-UHFFFAOYSA-N 0 0 429.543 -0.030 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)c3cncc(NS(C)(=O)=O)c3)CC2)cn1 ZINC000502851394 1071706696 /nfs/dbraw/zinc/70/66/96/1071706696.db2.gz LIEAMFPOPKRTEJ-UHFFFAOYSA-N 0 0 442.523 -0.230 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cn1)NCC(=O)N1CCCC1 ZINC000502867871 1071707237 /nfs/dbraw/zinc/70/72/37/1071707237.db2.gz FYDNAKXEILQJRV-UHFFFAOYSA-N 0 0 444.535 -0.622 20 0 IBADRN Cn1c([C@@H]2COc3ccccc3O2)nnc1S(=O)(=O)CC(=O)NCC(=O)N1CCCC1 ZINC000502867923 1071707146 /nfs/dbraw/zinc/70/71/46/1071707146.db2.gz PLNZVQSJJVQDLL-HNNXBMFYSA-N 0 0 449.489 -0.160 20 0 IBADRN Cn1c([C@H]2COc3ccccc3O2)nnc1S(=O)(=O)CC(=O)NCC(=O)N1CCCC1 ZINC000502867926 1071707247 /nfs/dbraw/zinc/70/72/47/1071707247.db2.gz PLNZVQSJJVQDLL-OAHLLOKOSA-N 0 0 449.489 -0.160 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000502868496 1071707179 /nfs/dbraw/zinc/70/71/79/1071707179.db2.gz ASDXUNUTKRZRFC-HNNXBMFYSA-N 0 0 426.543 -0.534 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000502868510 1071707166 /nfs/dbraw/zinc/70/71/66/1071707166.db2.gz ASDXUNUTKRZRFC-OAHLLOKOSA-N 0 0 426.543 -0.534 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000502869260 1071707206 /nfs/dbraw/zinc/70/72/06/1071707206.db2.gz CLIUOKMLQPLBQW-UHFFFAOYSA-N 0 0 429.587 -0.002 20 0 IBADRN Cn1cccc1C(=O)C(=O)NCCC(=O)N1CCN(C(=O)C(=O)c2cccn2C)CC1 ZINC000502869615 1071707157 /nfs/dbraw/zinc/70/71/57/1071707157.db2.gz IAOKYZHTULELHI-UHFFFAOYSA-N 0 0 427.461 -0.394 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)c1 ZINC000502871961 1071706871 /nfs/dbraw/zinc/70/68/71/1071706871.db2.gz QUIPBWVUKJJAOY-UHFFFAOYSA-N 0 0 434.522 -0.619 20 0 IBADRN O=C(CCNC(=O)c1cnn2ccncc12)N1CCN(C(=O)c2cnn3ccncc23)CC1 ZINC000502873875 1071706886 /nfs/dbraw/zinc/70/68/86/1071706886.db2.gz YWOBIABMHADZLB-UHFFFAOYSA-N 0 0 447.459 -0.124 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](S(C)(=O)=O)C3)CC2)cc1 ZINC000502878615 1071706806 /nfs/dbraw/zinc/70/68/06/1071706806.db2.gz TVRSQHUMCJLJFY-KRWDZBQOSA-N 0 0 445.563 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](S(C)(=O)=O)C3)CC2)cc1 ZINC000502878621 1071706771 /nfs/dbraw/zinc/70/67/71/1071706771.db2.gz TVRSQHUMCJLJFY-QGZVFWFLSA-N 0 0 445.563 -0.353 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000502878646 1071706760 /nfs/dbraw/zinc/70/67/60/1071706760.db2.gz TYPUJEQXHQZMMI-INIZCTEOSA-N 0 0 433.527 -0.223 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000502878647 1071706816 /nfs/dbraw/zinc/70/68/16/1071706816.db2.gz TYPUJEQXHQZMMI-MRXNPFEDSA-N 0 0 433.527 -0.223 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)NCC(=O)N2CCCC2)nc1 ZINC000502879883 1071706748 /nfs/dbraw/zinc/70/67/48/1071706748.db2.gz DYVPPPWPHBCYLX-UHFFFAOYSA-N 0 0 446.551 -0.376 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CS(=O)(=O)Cc2cccc(C(N)=O)n2)c1 ZINC000502885888 1071707545 /nfs/dbraw/zinc/70/75/45/1071707545.db2.gz UQPNSKKZNSHJHH-UHFFFAOYSA-N 0 0 440.503 -0.016 20 0 IBADRN CCN(C(=O)CN(C)S(C)(=O)=O)c1cccc(NC(=O)CN(C)S(C)(=O)=O)c1 ZINC000502888624 1071707071 /nfs/dbraw/zinc/70/70/71/1071707071.db2.gz SPQQROXNKMQYIC-UHFFFAOYSA-N 0 0 434.540 -0.239 20 0 IBADRN C[C@@]1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCOC1 ZINC000502890342 1071707132 /nfs/dbraw/zinc/70/71/32/1071707132.db2.gz VWIQTQIGMQIDNC-KRWDZBQOSA-N 0 0 446.551 -0.224 20 0 IBADRN C[C@]1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCOC1 ZINC000502890343 1071707230 /nfs/dbraw/zinc/70/72/30/1071707230.db2.gz VWIQTQIGMQIDNC-QGZVFWFLSA-N 0 0 446.551 -0.224 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000502894119 1071707536 /nfs/dbraw/zinc/70/75/36/1071707536.db2.gz ACAULSVEAZEJOM-AWEZNQCLSA-N 0 0 433.556 -0.419 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCC(=O)N1CCOCC1 ZINC000502904266 1071708122 /nfs/dbraw/zinc/70/81/22/1071708122.db2.gz NJYZHFJAWVXCHY-UHFFFAOYSA-N 0 0 425.507 -0.005 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)[C@@H](C)C3)CC2)c1 ZINC000502905658 1071708067 /nfs/dbraw/zinc/70/80/67/1071708067.db2.gz DXQWITMDKKBPDZ-HNNXBMFYSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)[C@H](C)C3)CC2)c1 ZINC000502905659 1071708143 /nfs/dbraw/zinc/70/81/43/1071708143.db2.gz DXQWITMDKKBPDZ-OAHLLOKOSA-N 0 0 436.534 -0.115 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@H](S(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000502907627 1071707611 /nfs/dbraw/zinc/70/76/11/1071707611.db2.gz PYPYUYXCCGWRJU-HNNXBMFYSA-N 0 0 434.518 -0.038 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@@H](S(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000502907628 1071707493 /nfs/dbraw/zinc/70/74/93/1071707493.db2.gz PYPYUYXCCGWRJU-OAHLLOKOSA-N 0 0 434.518 -0.038 20 0 IBADRN COc1ccc(NC(=O)CN2CC(=O)N(C)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000502908403 1071707718 /nfs/dbraw/zinc/70/77/18/1071707718.db2.gz DWQHBZKPZWUUNK-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(NC(=O)CN2CC(=O)N(C)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000502908404 1071707531 /nfs/dbraw/zinc/70/75/31/1071707531.db2.gz DWQHBZKPZWUUNK-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN CCOC(=O)c1ccc(N2CCCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)nc1 ZINC000502910705 1071707514 /nfs/dbraw/zinc/70/75/14/1071707514.db2.gz CDNHYGWPQVCTTB-UHFFFAOYSA-N 0 0 444.492 -0.367 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000502911502 1071707555 /nfs/dbraw/zinc/70/75/55/1071707555.db2.gz HSXVYUAZYGPHAE-AWEZNQCLSA-N 0 0 433.556 -0.734 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000502911503 1071707632 /nfs/dbraw/zinc/70/76/32/1071707632.db2.gz HSXVYUAZYGPHAE-CQSZACIVSA-N 0 0 433.556 -0.734 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000502913766 1071707566 /nfs/dbraw/zinc/70/75/66/1071707566.db2.gz KXPYAMQEWQZODA-UHFFFAOYSA-N 0 0 425.507 -0.005 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000502914011 1071707652 /nfs/dbraw/zinc/70/76/52/1071707652.db2.gz UQLSVTWVZUQXNN-HNNXBMFYSA-N 0 0 447.583 -0.344 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000502914012 1071707594 /nfs/dbraw/zinc/70/75/94/1071707594.db2.gz UQLSVTWVZUQXNN-OAHLLOKOSA-N 0 0 447.583 -0.344 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)NCCNS(=O)(=O)NC(C)C)CC1 ZINC000502916765 1071707621 /nfs/dbraw/zinc/70/76/21/1071707621.db2.gz HJUZRASHDLTUJJ-AWEZNQCLSA-N 0 0 441.620 -0.090 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)NCCNS(=O)(=O)NC(C)C)CC1 ZINC000502916766 1071707688 /nfs/dbraw/zinc/70/76/88/1071707688.db2.gz HJUZRASHDLTUJJ-CQSZACIVSA-N 0 0 441.620 -0.090 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCC(=O)N1CCOCC1 ZINC000502917687 1071708056 /nfs/dbraw/zinc/70/80/56/1071708056.db2.gz YTEJSBBGQYITNR-UHFFFAOYSA-N 0 0 431.536 -0.323 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000502919147 1071708686 /nfs/dbraw/zinc/70/86/86/1071708686.db2.gz LIPVCVGLNJHYJP-INIZCTEOSA-N 0 0 445.563 -0.557 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000502919148 1071708761 /nfs/dbraw/zinc/70/87/61/1071708761.db2.gz LIPVCVGLNJHYJP-MRXNPFEDSA-N 0 0 445.563 -0.557 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000502920846 1071708704 /nfs/dbraw/zinc/70/87/04/1071708704.db2.gz SRZSLGSRABELEF-INIZCTEOSA-N 0 0 431.536 -0.235 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000502920847 1071708614 /nfs/dbraw/zinc/70/86/14/1071708614.db2.gz SRZSLGSRABELEF-MRXNPFEDSA-N 0 0 431.536 -0.235 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000502921699 1071708779 /nfs/dbraw/zinc/70/87/79/1071708779.db2.gz XZRAYMUAYHGTPT-CRAIPNDOSA-N 0 0 437.518 -0.384 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000502921700 1071708669 /nfs/dbraw/zinc/70/86/69/1071708669.db2.gz XZRAYMUAYHGTPT-MAUKXSAKSA-N 0 0 437.518 -0.384 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000502921701 1071708676 /nfs/dbraw/zinc/70/86/76/1071708676.db2.gz XZRAYMUAYHGTPT-QAPCUYQASA-N 0 0 437.518 -0.384 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000502921702 1071708652 /nfs/dbraw/zinc/70/86/52/1071708652.db2.gz XZRAYMUAYHGTPT-YJBOKZPZSA-N 0 0 437.518 -0.384 20 0 IBADRN COc1ccc(CCNC(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000502922402 1071708660 /nfs/dbraw/zinc/70/86/60/1071708660.db2.gz ZSJHMPVGDQTLKJ-AWEZNQCLSA-N 0 0 448.567 -0.050 20 0 IBADRN COc1ccc(CCNC(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000502922403 1071708737 /nfs/dbraw/zinc/70/87/37/1071708737.db2.gz ZSJHMPVGDQTLKJ-CQSZACIVSA-N 0 0 448.567 -0.050 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000502924107 1071708243 /nfs/dbraw/zinc/70/82/43/1071708243.db2.gz GVTYEAFWWBKOCO-CYBMUJFWSA-N 0 0 448.567 -0.050 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4cccnc4)CC3)c2c(=O)n(C)c1=O ZINC000502924651 1071708712 /nfs/dbraw/zinc/70/87/12/1071708712.db2.gz TWBAKAQWEMNRKY-UHFFFAOYSA-N 0 0 425.449 -0.797 20 0 IBADRN CN1CC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)C1=O ZINC000502924786 1071708726 /nfs/dbraw/zinc/70/87/26/1071708726.db2.gz GCIFPCBCCRLYGX-UHFFFAOYSA-N 0 0 438.491 -0.582 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000502924825 1071708655 /nfs/dbraw/zinc/70/86/55/1071708655.db2.gz JILZXZXDYUQPFM-CYBMUJFWSA-N 0 0 425.577 -0.678 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000502924828 1071708642 /nfs/dbraw/zinc/70/86/42/1071708642.db2.gz JILZXZXDYUQPFM-ZDUSSCGKSA-N 0 0 425.577 -0.678 20 0 IBADRN COc1ccc(CCNC(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000502925425 1071708133 /nfs/dbraw/zinc/70/81/33/1071708133.db2.gz MLXYPUPNKKPGPU-UHFFFAOYSA-N 0 0 448.567 -0.144 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCC(=O)N3CCOCC3)CC2)c1 ZINC000502925472 1071708081 /nfs/dbraw/zinc/70/80/81/1071708081.db2.gz JHLZWTRLBFKHHR-UHFFFAOYSA-N 0 0 438.550 -0.188 20 0 IBADRN COc1ccc(CCNC(=O)N2CCC[C@](O)(Cn3ccnn3)C2)cc1S(N)(=O)=O ZINC000502927870 1071708199 /nfs/dbraw/zinc/70/81/99/1071708199.db2.gz ZPIQYHDVELGBNX-GOSISDBHSA-N 0 0 438.510 -0.287 20 0 IBADRN COc1ccc(CCNC(=O)N2CCC[C@@](O)(Cn3ccnn3)C2)cc1S(N)(=O)=O ZINC000502927871 1071708154 /nfs/dbraw/zinc/70/81/54/1071708154.db2.gz ZPIQYHDVELGBNX-SFHVURJKSA-N 0 0 438.510 -0.287 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000502932245 1071708233 /nfs/dbraw/zinc/70/82/33/1071708233.db2.gz VNTVICSRIYCMEX-INIZCTEOSA-N 0 0 433.527 -0.223 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000502932246 1071708108 /nfs/dbraw/zinc/70/81/08/1071708108.db2.gz VNTVICSRIYCMEX-MRXNPFEDSA-N 0 0 433.527 -0.223 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@@H](S(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000502938007 1071709544 /nfs/dbraw/zinc/70/95/44/1071709544.db2.gz GIBXJPHLTUARAE-CYBMUJFWSA-N 0 0 445.542 -0.571 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@H](S(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000502938008 1071709491 /nfs/dbraw/zinc/70/94/91/1071709491.db2.gz GIBXJPHLTUARAE-ZDUSSCGKSA-N 0 0 445.542 -0.571 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000502944098 1071709153 /nfs/dbraw/zinc/70/91/53/1071709153.db2.gz NBNRYLMTUQYPHV-KRWDZBQOSA-N 0 0 429.564 -0.221 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000502944105 1071709136 /nfs/dbraw/zinc/70/91/36/1071709136.db2.gz NBNRYLMTUQYPHV-QGZVFWFLSA-N 0 0 429.564 -0.221 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000502944834 1071709164 /nfs/dbraw/zinc/70/91/64/1071709164.db2.gz ULJQUQSIROJMOM-AWEZNQCLSA-N 0 0 433.527 -0.223 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000502944835 1071709167 /nfs/dbraw/zinc/70/91/67/1071709167.db2.gz ULJQUQSIROJMOM-CQSZACIVSA-N 0 0 433.527 -0.223 20 0 IBADRN CS(=O)(=O)Nc1cncc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000502947028 1071709158 /nfs/dbraw/zinc/70/91/58/1071709158.db2.gz PGGNEJUJLMHIPB-UHFFFAOYSA-N 0 0 433.494 -0.288 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC(=O)N1C ZINC000502951680 1071709146 /nfs/dbraw/zinc/70/91/46/1071709146.db2.gz IFQSDYOLQITECR-CYBMUJFWSA-N 0 0 430.477 -0.040 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC(=O)N1C ZINC000502951681 1071709127 /nfs/dbraw/zinc/70/91/27/1071709127.db2.gz IFQSDYOLQITECR-ZDUSSCGKSA-N 0 0 430.477 -0.040 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000502951985 1071709132 /nfs/dbraw/zinc/70/91/32/1071709132.db2.gz JJGYYFZUYQZGSU-INIZCTEOSA-N 0 0 431.536 -0.235 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000502951986 1071709139 /nfs/dbraw/zinc/70/91/39/1071709139.db2.gz JJGYYFZUYQZGSU-MRXNPFEDSA-N 0 0 431.536 -0.235 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502953756 1071709527 /nfs/dbraw/zinc/70/95/27/1071709527.db2.gz SYMLGAMUCCHQCO-KBPBESRZSA-N 0 0 433.889 -0.177 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000502953759 1071709172 /nfs/dbraw/zinc/70/91/72/1071709172.db2.gz SYMLGAMUCCHQCO-KGLIPLIRSA-N 0 0 433.889 -0.177 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502953761 1071709151 /nfs/dbraw/zinc/70/91/51/1071709151.db2.gz SYMLGAMUCCHQCO-UONOGXRCSA-N 0 0 433.889 -0.177 20 0 IBADRN O=C(CNC(=O)c1c(F)cccc1Cl)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000502953764 1071709141 /nfs/dbraw/zinc/70/91/41/1071709141.db2.gz SYMLGAMUCCHQCO-ZIAGYGMSSA-N 0 0 433.889 -0.177 20 0 IBADRN COc1cnccc1[C@@H](C)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502953831 1071709149 /nfs/dbraw/zinc/70/91/49/1071709149.db2.gz YZOAZWOKZZBDBE-CABCVRRESA-N 0 0 440.522 -0.080 20 0 IBADRN COc1cnccc1[C@H](C)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502953832 1071709123 /nfs/dbraw/zinc/70/91/23/1071709123.db2.gz YZOAZWOKZZBDBE-GJZGRUSLSA-N 0 0 440.522 -0.080 20 0 IBADRN COc1cnccc1[C@@H](C)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502953833 1071709576 /nfs/dbraw/zinc/70/95/76/1071709576.db2.gz YZOAZWOKZZBDBE-HUUCEWRRSA-N 0 0 440.522 -0.080 20 0 IBADRN COc1cnccc1[C@H](C)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000502953834 1071709591 /nfs/dbraw/zinc/70/95/91/1071709591.db2.gz YZOAZWOKZZBDBE-LSDHHAIUSA-N 0 0 440.522 -0.080 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC(=O)N(C)[C@@H](C)C2)c(=O)[nH]c1=O ZINC000502955317 1071710081 /nfs/dbraw/zinc/71/00/81/1071710081.db2.gz KBPMAQCOLKMONN-KBPBESRZSA-N 0 0 438.529 -0.138 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC(=O)N(C)[C@H](C)C2)c(=O)[nH]c1=O ZINC000502955318 1071709990 /nfs/dbraw/zinc/70/99/90/1071709990.db2.gz KBPMAQCOLKMONN-KGLIPLIRSA-N 0 0 438.529 -0.138 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CC(=O)N(C)[C@@H](C)C2)c(=O)[nH]c1=O ZINC000502955319 1071710007 /nfs/dbraw/zinc/71/00/07/1071710007.db2.gz KBPMAQCOLKMONN-UONOGXRCSA-N 0 0 438.529 -0.138 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CC(=O)N(C)[C@H](C)C2)c(=O)[nH]c1=O ZINC000502955320 1071710034 /nfs/dbraw/zinc/71/00/34/1071710034.db2.gz KBPMAQCOLKMONN-ZIAGYGMSSA-N 0 0 438.529 -0.138 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1ccc(C(F)F)cc1)C2 ZINC000502955837 1071709624 /nfs/dbraw/zinc/70/96/24/1071709624.db2.gz BFVYZFBVYOMYSV-UHFFFAOYSA-N 0 0 436.419 -0.271 20 0 IBADRN Cn1ccnc1CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000502956724 1071710001 /nfs/dbraw/zinc/71/00/01/1071710001.db2.gz LXARDAWJVPSYPO-UHFFFAOYSA-N 0 0 442.523 -0.716 20 0 IBADRN Nc1c(C(=O)CN2CCC(S(=O)(=O)N3CCCC3)CC2)c(=O)[nH]c(=O)n1C1CC1 ZINC000502957326 1071709998 /nfs/dbraw/zinc/70/99/98/1071709998.db2.gz PLZUCGSJLHBLCY-UHFFFAOYSA-N 0 0 425.511 -0.061 20 0 IBADRN COc1cnccc1[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000502957497 1071710051 /nfs/dbraw/zinc/71/00/51/1071710051.db2.gz GGKRICJBLWWGJC-CYBMUJFWSA-N 0 0 437.478 -0.070 20 0 IBADRN COc1cnccc1[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000502957498 1071710037 /nfs/dbraw/zinc/71/00/37/1071710037.db2.gz GGKRICJBLWWGJC-ZDUSSCGKSA-N 0 0 437.478 -0.070 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@H](S(C)(=O)=O)C2)CC1 ZINC000502957988 1071710094 /nfs/dbraw/zinc/71/00/94/1071710094.db2.gz VPPJASHCHDVLDT-AWEZNQCLSA-N 0 0 434.540 -0.757 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@@H](S(C)(=O)=O)C2)CC1 ZINC000502957989 1071710014 /nfs/dbraw/zinc/71/00/14/1071710014.db2.gz VPPJASHCHDVLDT-CQSZACIVSA-N 0 0 434.540 -0.757 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H](C)c2ccncc2OC)CC1 ZINC000502964975 1071709985 /nfs/dbraw/zinc/70/99/85/1071709985.db2.gz LWWVVVYKBOKIAK-CVEARBPZSA-N 0 0 435.525 -0.047 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H](C)c2ccncc2OC)CC1 ZINC000502964976 1071710088 /nfs/dbraw/zinc/71/00/88/1071710088.db2.gz LWWVVVYKBOKIAK-HOTGVXAUSA-N 0 0 435.525 -0.047 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H](C)c2ccncc2OC)CC1 ZINC000502964977 1071710067 /nfs/dbraw/zinc/71/00/67/1071710067.db2.gz LWWVVVYKBOKIAK-HZPDHXFCSA-N 0 0 435.525 -0.047 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H](C)c2ccncc2OC)CC1 ZINC000502964978 1071709979 /nfs/dbraw/zinc/70/99/79/1071709979.db2.gz LWWVVVYKBOKIAK-JKSUJKDBSA-N 0 0 435.525 -0.047 20 0 IBADRN O=C(NCc1ccc(C(F)F)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000502967125 1071710060 /nfs/dbraw/zinc/71/00/60/1071710060.db2.gz JXHYOODDXIDZRM-UHFFFAOYSA-N 0 0 438.431 -0.230 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)[C@@H](C)C3)CC2)cc1 ZINC000502969650 1071710023 /nfs/dbraw/zinc/71/00/23/1071710023.db2.gz MDPYGWUAPIKOFM-HNNXBMFYSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)[C@H](C)C3)CC2)cc1 ZINC000502969652 1071710488 /nfs/dbraw/zinc/71/04/88/1071710488.db2.gz MDPYGWUAPIKOFM-OAHLLOKOSA-N 0 0 436.534 -0.115 20 0 IBADRN COc1cnccc1[C@@H](C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000502971089 1071709566 /nfs/dbraw/zinc/70/95/66/1071709566.db2.gz SLEIZQSNAGQQSA-CVEARBPZSA-N 0 0 433.509 -0.341 20 0 IBADRN COc1cnccc1[C@H](C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000502971095 1071709651 /nfs/dbraw/zinc/70/96/51/1071709651.db2.gz SLEIZQSNAGQQSA-HOTGVXAUSA-N 0 0 433.509 -0.341 20 0 IBADRN COc1cnccc1[C@@H](C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000502971096 1071709584 /nfs/dbraw/zinc/70/95/84/1071709584.db2.gz SLEIZQSNAGQQSA-HZPDHXFCSA-N 0 0 433.509 -0.341 20 0 IBADRN COc1cnccc1[C@H](C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000502971097 1071709513 /nfs/dbraw/zinc/70/95/13/1071709513.db2.gz SLEIZQSNAGQQSA-JKSUJKDBSA-N 0 0 433.509 -0.341 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000502973443 1071709463 /nfs/dbraw/zinc/70/94/63/1071709463.db2.gz ZUTJEPOINGPVDH-KRWDZBQOSA-N 0 0 445.563 -0.557 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000502973444 1071709536 /nfs/dbraw/zinc/70/95/36/1071709536.db2.gz ZUTJEPOINGPVDH-QGZVFWFLSA-N 0 0 445.563 -0.557 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1 ZINC000502974291 1071709644 /nfs/dbraw/zinc/70/96/44/1071709644.db2.gz KXBPIMZSUVYENH-UHFFFAOYSA-N 0 0 432.886 -0.584 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1 ZINC000502994701 1071710509 /nfs/dbraw/zinc/71/05/09/1071710509.db2.gz IOAGTESYKKJKTE-UHFFFAOYSA-N 0 0 446.913 -0.194 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCC[C@@H]2Cc2ccccc2)CC1 ZINC000502996418 1071710525 /nfs/dbraw/zinc/71/05/25/1071710525.db2.gz WIFQJZBHMVQCOU-MSOLQXFVSA-N 0 0 425.493 -0.129 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@@H]2Cc2ccccc2)CC1 ZINC000502996422 1071710500 /nfs/dbraw/zinc/71/05/00/1071710500.db2.gz WIFQJZBHMVQCOU-QZTJIDSGSA-N 0 0 425.493 -0.129 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCC[C@H]2Cc2ccccc2)CC1 ZINC000502996424 1071710478 /nfs/dbraw/zinc/71/04/78/1071710478.db2.gz WIFQJZBHMVQCOU-ROUUACIJSA-N 0 0 425.493 -0.129 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H]2Cc2ccccc2)CC1 ZINC000502996425 1071710465 /nfs/dbraw/zinc/71/04/65/1071710465.db2.gz WIFQJZBHMVQCOU-ZWKOTPCHSA-N 0 0 425.493 -0.129 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000503000205 1071710537 /nfs/dbraw/zinc/71/05/37/1071710537.db2.gz QRZJTTKEGVUQFU-GOSISDBHSA-N 0 0 428.497 -0.400 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000503000206 1071710452 /nfs/dbraw/zinc/71/04/52/1071710452.db2.gz QRZJTTKEGVUQFU-SFHVURJKSA-N 0 0 428.497 -0.400 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NC1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000503005478 1071710519 /nfs/dbraw/zinc/71/05/19/1071710519.db2.gz RTULDQCOSVLSFI-INIZCTEOSA-N 0 0 431.449 -0.332 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)NC1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000503005481 1071710493 /nfs/dbraw/zinc/71/04/93/1071710493.db2.gz RTULDQCOSVLSFI-MRXNPFEDSA-N 0 0 431.449 -0.332 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000503009812 1071710561 /nfs/dbraw/zinc/71/05/61/1071710561.db2.gz HARGUJUTHSONIQ-UHFFFAOYSA-N 0 0 431.449 -0.120 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000503017968 1071710443 /nfs/dbraw/zinc/71/04/43/1071710443.db2.gz OFHPTCHQODORCS-UHFFFAOYSA-N 0 0 431.449 -0.234 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1C ZINC000503020046 1071710531 /nfs/dbraw/zinc/71/05/31/1071710531.db2.gz YBHJNADLJTWSTJ-INIZCTEOSA-N 0 0 438.550 -0.044 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1C ZINC000503020048 1071710483 /nfs/dbraw/zinc/71/04/83/1071710483.db2.gz YBHJNADLJTWSTJ-MRXNPFEDSA-N 0 0 438.550 -0.044 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)c1 ZINC000503023039 1071710542 /nfs/dbraw/zinc/71/05/42/1071710542.db2.gz VKNRTWLUBNHOJQ-UHFFFAOYSA-N 0 0 438.485 -0.157 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)c1 ZINC000503029550 1071710571 /nfs/dbraw/zinc/71/05/71/1071710571.db2.gz GQWNPCUIQUCMMZ-UHFFFAOYSA-N 0 0 426.543 -0.533 20 0 IBADRN CN1C[C@@H]2[C@@H](CCCN2C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C1=O ZINC000503029928 1071710965 /nfs/dbraw/zinc/71/09/65/1071710965.db2.gz HUNAKYZZYOLSFG-NVXWUHKLSA-N 0 0 428.493 -0.513 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1-n1cnnn1 ZINC000503031761 1071711014 /nfs/dbraw/zinc/71/10/14/1071711014.db2.gz DJQNPNJBPAHQES-UHFFFAOYSA-N 0 0 426.437 -0.204 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000503032645 1071711066 /nfs/dbraw/zinc/71/10/66/1071711066.db2.gz GOHGIZAMBNRFJP-UHFFFAOYSA-N 0 0 437.478 -0.580 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000503033230 1071710958 /nfs/dbraw/zinc/71/09/58/1071710958.db2.gz IOSCIEIFIYTGRB-UHFFFAOYSA-N 0 0 426.437 -0.204 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000503035395 1071710942 /nfs/dbraw/zinc/71/09/42/1071710942.db2.gz NOFOXJXWEXHGGU-UHFFFAOYSA-N 0 0 445.476 -0.021 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN(CC(=O)NC3CC3)CC2)cc(OC)c1OC ZINC000503035619 1071710904 /nfs/dbraw/zinc/71/09/04/1071710904.db2.gz OHVHLBHZWGXNQP-UHFFFAOYSA-N 0 0 434.493 -0.135 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000503052896 1071710934 /nfs/dbraw/zinc/71/09/34/1071710934.db2.gz PDLMBTOEIRZAMR-UHFFFAOYSA-N 0 0 445.476 -0.021 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000503053575 1071710989 /nfs/dbraw/zinc/71/09/89/1071710989.db2.gz LDTZXSQDHGIAHT-LLVKDONJSA-N 0 0 436.512 -0.782 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000503053576 1071711005 /nfs/dbraw/zinc/71/10/05/1071711005.db2.gz LDTZXSQDHGIAHT-NSHDSACASA-N 0 0 436.512 -0.782 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1OC ZINC000503053766 1071710950 /nfs/dbraw/zinc/71/09/50/1071710950.db2.gz POSCCCSWJOJAQW-UHFFFAOYSA-N 0 0 442.538 -0.349 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)cn1 ZINC000503058240 1071711026 /nfs/dbraw/zinc/71/10/26/1071711026.db2.gz DUVZMAVDAWVXKF-UHFFFAOYSA-N 0 0 434.887 -0.884 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@H](Cn3ccnn3)C2)cc1 ZINC000503065210 1071710926 /nfs/dbraw/zinc/71/09/26/1071710926.db2.gz VUFSNZFHDYWREM-INIZCTEOSA-N 0 0 442.476 -0.013 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](Cn3ccnn3)C2)cc1 ZINC000503065211 1071710910 /nfs/dbraw/zinc/71/09/10/1071710910.db2.gz VUFSNZFHDYWREM-MRXNPFEDSA-N 0 0 442.476 -0.013 20 0 IBADRN COCCOc1ncccc1CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000503078161 1071711556 /nfs/dbraw/zinc/71/15/56/1071711556.db2.gz ZJFKJGOJFSTIOE-UHFFFAOYSA-N 0 0 443.526 -0.489 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H](Cn4ccnn4)C3)cnc2n(C)c1=O ZINC000503078237 1071711485 /nfs/dbraw/zinc/71/14/85/1071711485.db2.gz QYAOPTLRIAKGKY-GFCCVEGCSA-N 0 0 426.437 -0.899 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H](Cn4ccnn4)C3)cnc2n(C)c1=O ZINC000503078238 1071711619 /nfs/dbraw/zinc/71/16/19/1071711619.db2.gz QYAOPTLRIAKGKY-LBPRGKRZSA-N 0 0 426.437 -0.899 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000503081201 1071711498 /nfs/dbraw/zinc/71/14/98/1071711498.db2.gz HWUZPWZFQJSPOB-GFCCVEGCSA-N 0 0 442.519 -0.765 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000503081207 1071711594 /nfs/dbraw/zinc/71/15/94/1071711594.db2.gz HWUZPWZFQJSPOB-LBPRGKRZSA-N 0 0 442.519 -0.765 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000503085703 1071711646 /nfs/dbraw/zinc/71/16/46/1071711646.db2.gz HHDAIXKINBPHTF-UHFFFAOYSA-N 0 0 434.536 -0.159 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000503092193 1071712033 /nfs/dbraw/zinc/71/20/33/1071712033.db2.gz JHWRWLMIGMWOPW-AWEZNQCLSA-N 0 0 440.456 -0.201 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000503092208 1071712114 /nfs/dbraw/zinc/71/21/14/1071712114.db2.gz JHWRWLMIGMWOPW-CQSZACIVSA-N 0 0 440.456 -0.201 20 0 IBADRN O=C(COc1ccc(N2CCCC2=O)cc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503093626 1071711922 /nfs/dbraw/zinc/71/19/22/1071711922.db2.gz JVJCGKAJXAPFNE-MSOLQXFVSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(COc1ccc(N2CCCC2=O)cc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503093627 1071712076 /nfs/dbraw/zinc/71/20/76/1071712076.db2.gz JVJCGKAJXAPFNE-QZTJIDSGSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(COc1ccc(N2CCCC2=O)cc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503093629 1071712123 /nfs/dbraw/zinc/71/21/23/1071712123.db2.gz JVJCGKAJXAPFNE-ROUUACIJSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(COc1ccc(N2CCCC2=O)cc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503093630 1071711995 /nfs/dbraw/zinc/71/19/95/1071711995.db2.gz JVJCGKAJXAPFNE-ZWKOTPCHSA-N 0 0 437.518 -0.194 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(CC2(CS(C)(=O)=O)CC2)CCN1CC1(CS(C)(=O)=O)CC1 ZINC000503094097 1071711978 /nfs/dbraw/zinc/71/19/78/1071711978.db2.gz GNNICUHVRQMXNJ-INIZCTEOSA-N 0 0 449.639 -0.290 20 0 IBADRN CN(C)C(=O)[C@H]1CN(CC2(CS(C)(=O)=O)CC2)CCN1CC1(CS(C)(=O)=O)CC1 ZINC000503094100 1071712130 /nfs/dbraw/zinc/71/21/30/1071712130.db2.gz GNNICUHVRQMXNJ-MRXNPFEDSA-N 0 0 449.639 -0.290 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503094170 1071712057 /nfs/dbraw/zinc/71/20/57/1071712057.db2.gz HDBUQFYVVKNOAS-APHBMKBZSA-N 0 0 435.506 -0.705 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503094171 1071711950 /nfs/dbraw/zinc/71/19/50/1071711950.db2.gz HDBUQFYVVKNOAS-MAZHCROVSA-N 0 0 435.506 -0.705 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503094172 1071712104 /nfs/dbraw/zinc/71/21/04/1071712104.db2.gz HDBUQFYVVKNOAS-RCBQFDQVSA-N 0 0 435.506 -0.705 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503094173 1071712135 /nfs/dbraw/zinc/71/21/35/1071712135.db2.gz HDBUQFYVVKNOAS-VBNZEHGJSA-N 0 0 435.506 -0.705 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503094615 1071712004 /nfs/dbraw/zinc/71/20/04/1071712004.db2.gz JUJQCBRETZUSNZ-CVEARBPZSA-N 0 0 435.506 -0.703 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503094620 1071711966 /nfs/dbraw/zinc/71/19/66/1071711966.db2.gz JUJQCBRETZUSNZ-HOTGVXAUSA-N 0 0 435.506 -0.703 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503094621 1071711936 /nfs/dbraw/zinc/71/19/36/1071711936.db2.gz JUJQCBRETZUSNZ-HZPDHXFCSA-N 0 0 435.506 -0.703 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503094623 1071712085 /nfs/dbraw/zinc/71/20/85/1071712085.db2.gz JUJQCBRETZUSNZ-JKSUJKDBSA-N 0 0 435.506 -0.703 20 0 IBADRN Cc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1NS(C)(=O)=O ZINC000503095016 1071712145 /nfs/dbraw/zinc/71/21/45/1071712145.db2.gz ASRHODWYYJGEDB-CVEARBPZSA-N 0 0 431.536 -0.406 20 0 IBADRN Cc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1NS(C)(=O)=O ZINC000503095022 1071712042 /nfs/dbraw/zinc/71/20/42/1071712042.db2.gz ASRHODWYYJGEDB-HOTGVXAUSA-N 0 0 431.536 -0.406 20 0 IBADRN Cc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1NS(C)(=O)=O ZINC000503095024 1071712568 /nfs/dbraw/zinc/71/25/68/1071712568.db2.gz ASRHODWYYJGEDB-HZPDHXFCSA-N 0 0 431.536 -0.406 20 0 IBADRN Cc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1NS(C)(=O)=O ZINC000503095026 1071712553 /nfs/dbraw/zinc/71/25/53/1071712553.db2.gz ASRHODWYYJGEDB-JKSUJKDBSA-N 0 0 431.536 -0.406 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000503095241 1071712581 /nfs/dbraw/zinc/71/25/81/1071712581.db2.gz MLHLBMKQJGWBSQ-CKUJCDMFSA-N 0 0 437.584 -0.902 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000503095242 1071712492 /nfs/dbraw/zinc/71/24/92/1071712492.db2.gz MLHLBMKQJGWBSQ-FJBKBRRZSA-N 0 0 437.584 -0.902 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000503095243 1071712575 /nfs/dbraw/zinc/71/25/75/1071712575.db2.gz MLHLBMKQJGWBSQ-QDIHITRGSA-N 0 0 437.584 -0.902 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000503095244 1071712458 /nfs/dbraw/zinc/71/24/58/1071712458.db2.gz MLHLBMKQJGWBSQ-SSHXOBKSSA-N 0 0 437.584 -0.902 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503095805 1071712560 /nfs/dbraw/zinc/71/25/60/1071712560.db2.gz NXELPEDBERDVGU-CABCVRRESA-N 0 0 441.575 -0.029 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503095806 1071712608 /nfs/dbraw/zinc/71/26/08/1071712608.db2.gz NXELPEDBERDVGU-GJZGRUSLSA-N 0 0 441.575 -0.029 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503095807 1071712476 /nfs/dbraw/zinc/71/24/76/1071712476.db2.gz NXELPEDBERDVGU-HUUCEWRRSA-N 0 0 441.575 -0.029 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503095808 1071712498 /nfs/dbraw/zinc/71/24/98/1071712498.db2.gz NXELPEDBERDVGU-LSDHHAIUSA-N 0 0 441.575 -0.029 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000503096461 1071712520 /nfs/dbraw/zinc/71/25/20/1071712520.db2.gz RTVPNNXHSLIVOG-UHFFFAOYSA-N 0 0 440.460 -0.147 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)cn1 ZINC000503099614 1071711529 /nfs/dbraw/zinc/71/15/29/1071711529.db2.gz KLZVIFWCXHBDJJ-UHFFFAOYSA-N 0 0 448.914 -0.623 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000503104360 1071711605 /nfs/dbraw/zinc/71/16/05/1071711605.db2.gz MKBLIZOYJCCECO-UHFFFAOYSA-N 0 0 426.429 -0.589 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000503105166 1071711632 /nfs/dbraw/zinc/71/16/32/1071711632.db2.gz HKGDROGFHHKGOS-GFCCVEGCSA-N 0 0 434.500 -0.888 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000503105167 1071711562 /nfs/dbraw/zinc/71/15/62/1071711562.db2.gz HKGDROGFHHKGOS-LBPRGKRZSA-N 0 0 434.500 -0.888 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCS(=O)(=O)CC2)o1 ZINC000503108065 1071711525 /nfs/dbraw/zinc/71/15/25/1071711525.db2.gz JSGXMYKBNSANPA-GFCCVEGCSA-N 0 0 434.492 -0.134 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCS(=O)(=O)CC2)o1 ZINC000503108066 1071711599 /nfs/dbraw/zinc/71/15/99/1071711599.db2.gz JSGXMYKBNSANPA-LBPRGKRZSA-N 0 0 434.492 -0.134 20 0 IBADRN Cn1[nH]c(=O)c2c1nc(C1CC1)cc2C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000503108572 1071713090 /nfs/dbraw/zinc/71/30/90/1071713090.db2.gz TVZNCUJMSMPNDC-UHFFFAOYSA-N 0 0 440.460 -0.015 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000503110053 1071712999 /nfs/dbraw/zinc/71/29/99/1071712999.db2.gz QJARPMVOFJGSNW-HNNXBMFYSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000503110054 1071712973 /nfs/dbraw/zinc/71/29/73/1071712973.db2.gz QJARPMVOFJGSNW-OAHLLOKOSA-N 0 0 425.507 -0.235 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000503117220 1071712591 /nfs/dbraw/zinc/71/25/91/1071712591.db2.gz AAIWBGPZNWLDMD-UHFFFAOYSA-N 0 0 440.460 -0.147 20 0 IBADRN O=C(CSc1nnnn1C1CCCC1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503118023 1071712539 /nfs/dbraw/zinc/71/25/39/1071712539.db2.gz CNHWUCQRFIOGES-KBPBESRZSA-N 0 0 430.556 -0.506 20 0 IBADRN O=C(CSc1nnnn1C1CCCC1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503118026 1071712612 /nfs/dbraw/zinc/71/26/12/1071712612.db2.gz CNHWUCQRFIOGES-KGLIPLIRSA-N 0 0 430.556 -0.506 20 0 IBADRN O=C(CSc1nnnn1C1CCCC1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503118029 1071712484 /nfs/dbraw/zinc/71/24/84/1071712484.db2.gz CNHWUCQRFIOGES-UONOGXRCSA-N 0 0 430.556 -0.506 20 0 IBADRN O=C(CSc1nnnn1C1CCCC1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503118033 1071712502 /nfs/dbraw/zinc/71/25/02/1071712502.db2.gz CNHWUCQRFIOGES-ZIAGYGMSSA-N 0 0 430.556 -0.506 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000503120777 1071712526 /nfs/dbraw/zinc/71/25/26/1071712526.db2.gz RXWYQQDMLNUWEI-MSOLQXFVSA-N 0 0 432.502 -0.481 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000503120778 1071712602 /nfs/dbraw/zinc/71/26/02/1071712602.db2.gz RXWYQQDMLNUWEI-QZTJIDSGSA-N 0 0 432.502 -0.481 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000503120779 1071713066 /nfs/dbraw/zinc/71/30/66/1071713066.db2.gz RXWYQQDMLNUWEI-ROUUACIJSA-N 0 0 432.502 -0.481 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000503120780 1071713106 /nfs/dbraw/zinc/71/31/06/1071713106.db2.gz RXWYQQDMLNUWEI-ZWKOTPCHSA-N 0 0 432.502 -0.481 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000503121739 1071713057 /nfs/dbraw/zinc/71/30/57/1071713057.db2.gz OABGZWTXFFCGHI-HNNXBMFYSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000503121741 1071713004 /nfs/dbraw/zinc/71/30/04/1071713004.db2.gz OABGZWTXFFCGHI-OAHLLOKOSA-N 0 0 425.507 -0.235 20 0 IBADRN O=C(CNC(=O)c1ccccc1OC(F)F)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503121778 1071712945 /nfs/dbraw/zinc/71/29/45/1071712945.db2.gz PDGQZONBOFEERE-KBPBESRZSA-N 0 0 447.460 -0.368 20 0 IBADRN O=C(CNC(=O)c1ccccc1OC(F)F)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000503121779 1071713082 /nfs/dbraw/zinc/71/30/82/1071713082.db2.gz PDGQZONBOFEERE-KGLIPLIRSA-N 0 0 447.460 -0.368 20 0 IBADRN O=C(CNC(=O)c1ccccc1OC(F)F)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503121780 1071713115 /nfs/dbraw/zinc/71/31/15/1071713115.db2.gz PDGQZONBOFEERE-UONOGXRCSA-N 0 0 447.460 -0.368 20 0 IBADRN O=C(CNC(=O)c1ccccc1OC(F)F)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000503121781 1071713160 /nfs/dbraw/zinc/71/31/60/1071713160.db2.gz PDGQZONBOFEERE-ZIAGYGMSSA-N 0 0 447.460 -0.368 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)CO)CC2)CC1 ZINC000503142149 1071713148 /nfs/dbraw/zinc/71/31/48/1071713148.db2.gz AUNVMTWTGBGQKX-UHFFFAOYSA-N 0 0 431.537 -0.477 20 0 IBADRN COCCOc1ncccc1CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000503142356 1071713047 /nfs/dbraw/zinc/71/30/47/1071713047.db2.gz PMNFRZZYFMAGRD-UHFFFAOYSA-N 0 0 435.481 -0.681 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000503143099 1071713168 /nfs/dbraw/zinc/71/31/68/1071713168.db2.gz XKFJNLPXKCNAGG-UHFFFAOYSA-N 0 0 441.444 -0.688 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)CO)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000503146011 1071713074 /nfs/dbraw/zinc/71/30/74/1071713074.db2.gz DOFWCSJILYPACJ-UHFFFAOYSA-N 0 0 438.529 -0.687 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN(C(=O)CO)CC1 ZINC000503150689 1071713616 /nfs/dbraw/zinc/71/36/16/1071713616.db2.gz UTWKCERKRXTTRF-CYBMUJFWSA-N 0 0 428.511 -0.591 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN(C(=O)CO)CC1 ZINC000503150690 1071713635 /nfs/dbraw/zinc/71/36/35/1071713635.db2.gz UTWKCERKRXTTRF-ZDUSSCGKSA-N 0 0 428.511 -0.591 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(Cc3ccncc3)CC2)CC1)N1CCOCC1 ZINC000503152926 1071230288 /nfs/dbraw/zinc/23/02/88/1071230288.db2.gz BOMNVVDVVDNHQF-UHFFFAOYSA-N 0 0 430.553 -0.798 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C(=O)CO)CC3)CC2)cc1C ZINC000503156705 1071713479 /nfs/dbraw/zinc/71/34/79/1071713479.db2.gz UVMARFIWUXADQX-UHFFFAOYSA-N 0 0 438.550 -0.727 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(OCC(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000503159059 1071713597 /nfs/dbraw/zinc/71/35/97/1071713597.db2.gz CBZQFIVRHIHTEN-GASCZTMLSA-N 0 0 440.522 -0.338 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(OCC(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000503159060 1071713603 /nfs/dbraw/zinc/71/36/03/1071713603.db2.gz CBZQFIVRHIHTEN-GJZGRUSLSA-N 0 0 440.522 -0.338 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(OCC(N)=O)cc3)CC2)C[C@@H](C)O1 ZINC000503159061 1071713548 /nfs/dbraw/zinc/71/35/48/1071713548.db2.gz CBZQFIVRHIHTEN-HUUCEWRRSA-N 0 0 440.522 -0.338 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)CO)CC2)CC1 ZINC000503159326 1071713577 /nfs/dbraw/zinc/71/35/77/1071713577.db2.gz INRJAQVQBJOXFM-UHFFFAOYSA-N 0 0 431.537 -0.532 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN(C(=O)CO)CC1 ZINC000503162799 1071713564 /nfs/dbraw/zinc/71/35/64/1071713564.db2.gz XLNLDQLXYVLCBX-HNNXBMFYSA-N 0 0 440.522 -0.829 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN(C(=O)CO)CC1 ZINC000503162800 1071713609 /nfs/dbraw/zinc/71/36/09/1071713609.db2.gz XLNLDQLXYVLCBX-OAHLLOKOSA-N 0 0 440.522 -0.829 20 0 IBADRN COCCOc1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000503165777 1071714005 /nfs/dbraw/zinc/71/40/05/1071714005.db2.gz MAYMAHICERNKAK-UHFFFAOYSA-N 0 0 434.493 -0.076 20 0 IBADRN CS(=O)(=O)C1(C(=O)NC[C@H](Cc2ccccc2)NC(=O)C2(S(C)(=O)=O)CC2)CC1 ZINC000503167783 1071713535 /nfs/dbraw/zinc/71/35/35/1071713535.db2.gz APZISZOBBBFYQT-HNNXBMFYSA-N 0 0 442.559 -0.016 20 0 IBADRN CS(=O)(=O)C1(C(=O)NC[C@@H](Cc2ccccc2)NC(=O)C2(S(C)(=O)=O)CC2)CC1 ZINC000503167796 1071713589 /nfs/dbraw/zinc/71/35/89/1071713589.db2.gz APZISZOBBBFYQT-OAHLLOKOSA-N 0 0 442.559 -0.016 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CCNS(=O)(=O)c3cccnc3)CC2)no1 ZINC000503169658 1073330176 /nfs/dbraw/zinc/33/01/76/1073330176.db2.gz NIBFNIOJAKTJOS-UHFFFAOYSA-N 0 0 436.494 -0.171 20 0 IBADRN COc1cc(C)c(CN2CCN(C(=O)CN3CCN(C(=O)CO)CC3)CC2)cc1OC ZINC000503172141 1071713652 /nfs/dbraw/zinc/71/36/52/1071713652.db2.gz WQNGBCISZJGOQX-UHFFFAOYSA-N 0 0 434.537 -0.207 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)C1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000503172447 1071713526 /nfs/dbraw/zinc/71/35/26/1071713526.db2.gz CHVMOZGEXVFVCH-UHFFFAOYSA-N 0 0 441.506 -0.027 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC000503173452 1071713676 /nfs/dbraw/zinc/71/36/76/1071713676.db2.gz GSBZXRBOWZILDP-UHFFFAOYSA-N 0 0 426.223 -0.078 20 0 IBADRN CC(C)COC[C@@H](O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000503173637 1071713501 /nfs/dbraw/zinc/71/35/01/1071713501.db2.gz SGMXLLIMQLXZOO-KRWDZBQOSA-N 0 0 443.570 -0.134 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000503173641 1071713685 /nfs/dbraw/zinc/71/36/85/1071713685.db2.gz SGMXLLIMQLXZOO-QGZVFWFLSA-N 0 0 443.570 -0.134 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccs3)CC2)C[C@H](C)O1 ZINC000503176190 1071713986 /nfs/dbraw/zinc/71/39/86/1071713986.db2.gz NZMSFBBWAFKRRI-KBPBESRZSA-N 0 0 430.552 -0.024 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccs3)CC2)C[C@H](C)O1 ZINC000503176191 1071714154 /nfs/dbraw/zinc/71/41/54/1071714154.db2.gz NZMSFBBWAFKRRI-OKILXGFUSA-N 0 0 430.552 -0.024 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccs3)CC2)C[C@@H](C)O1 ZINC000503176192 1071714087 /nfs/dbraw/zinc/71/40/87/1071714087.db2.gz NZMSFBBWAFKRRI-ZIAGYGMSSA-N 0 0 430.552 -0.024 20 0 IBADRN NC(=O)N1CCC[C@H]1C(=O)NC[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CCCN1C(N)=O ZINC000503176469 1071713968 /nfs/dbraw/zinc/71/39/68/1071713968.db2.gz ZPAJPKXGKGIZKC-IKGGRYGDSA-N 0 0 430.509 -0.084 20 0 IBADRN NC(=O)N1CCC[C@H]1C(=O)NC[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCCN1C(N)=O ZINC000503176481 1071713995 /nfs/dbraw/zinc/71/39/95/1071713995.db2.gz ZPAJPKXGKGIZKC-ULQDDVLXSA-N 0 0 430.509 -0.084 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000503176834 1071714161 /nfs/dbraw/zinc/71/41/61/1071714161.db2.gz QEICRSPGQUZHEU-UHFFFAOYSA-N 0 0 440.522 -0.676 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000503177712 1071714176 /nfs/dbraw/zinc/71/41/76/1071714176.db2.gz SARJFCBBGAUKKV-UHFFFAOYSA-N 0 0 428.467 -0.858 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](OC)C[C@H]2CO)ccc1OC ZINC000503177722 1071714072 /nfs/dbraw/zinc/71/40/72/1071714072.db2.gz SFDDNHQLSZHMGD-KBPBESRZSA-N 0 0 445.494 -0.833 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000503180348 1071714039 /nfs/dbraw/zinc/71/40/39/1071714039.db2.gz BBSGPVINOHGORV-UHFFFAOYSA-N 0 0 443.478 -0.607 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN(C(=O)CO)CC3)nc(=O)c12 ZINC000503180351 1071714137 /nfs/dbraw/zinc/71/41/37/1071714137.db2.gz BNGDMAQEANJNNK-UHFFFAOYSA-N 0 0 438.462 -0.877 20 0 IBADRN C[C@H](CNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1CCN(c2ccccc2)CC1 ZINC000503180672 1071713954 /nfs/dbraw/zinc/71/39/54/1071713954.db2.gz QDQWPHSIYPLGLN-GOSISDBHSA-N 0 0 441.540 -0.262 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1CCN(c2ccccc2)CC1 ZINC000503180675 1071714053 /nfs/dbraw/zinc/71/40/53/1071714053.db2.gz QDQWPHSIYPLGLN-SFHVURJKSA-N 0 0 441.540 -0.262 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)CN2CCN(C(=O)CO)CC2)c1 ZINC000503180926 1071714170 /nfs/dbraw/zinc/71/41/70/1071714170.db2.gz JTIMRUIERRDHDW-UHFFFAOYSA-N 0 0 442.538 -0.199 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](OC)C[C@H]1CO ZINC000503188230 1071714656 /nfs/dbraw/zinc/71/46/56/1071714656.db2.gz GXHWCCBHIODGKR-GJZGRUSLSA-N 0 0 441.506 -0.523 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000503189387 1071715653 /nfs/dbraw/zinc/71/56/53/1071715653.db2.gz LFPMUZQBNPKROH-NSHDSACASA-N 0 0 428.467 -0.811 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCN(C(=O)CO)CC3)CC2)cc1 ZINC000503192853 1071716237 /nfs/dbraw/zinc/71/62/37/1071716237.db2.gz PCXBTFWFCVHDFS-KRWDZBQOSA-N 0 0 438.550 -0.647 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCN(C(=O)CO)CC3)CC2)cc1 ZINC000503192854 1071716268 /nfs/dbraw/zinc/71/62/68/1071716268.db2.gz PCXBTFWFCVHDFS-QGZVFWFLSA-N 0 0 438.550 -0.647 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000503193989 1071716198 /nfs/dbraw/zinc/71/61/98/1071716198.db2.gz RUFDFMDFBIHFST-UHFFFAOYSA-N 0 0 427.479 -0.493 20 0 IBADRN CO[C@H]1C[C@@H](CO)N(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C1 ZINC000503195258 1071716152 /nfs/dbraw/zinc/71/61/52/1071716152.db2.gz DLWUZQWVTFXVAP-HOTGVXAUSA-N 0 0 441.506 -0.309 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000503196242 1071716179 /nfs/dbraw/zinc/71/61/79/1071716179.db2.gz YHXWOZQYROKCMW-UHFFFAOYSA-N 0 0 427.479 -0.493 20 0 IBADRN O=C(CN1CCN(C(=O)CO)CC1)NCc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000503197512 1071716162 /nfs/dbraw/zinc/71/61/62/1071716162.db2.gz GYOQXRZCBQDERW-UHFFFAOYSA-N 0 0 438.550 -0.386 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN(C(=O)CO)CC1 ZINC000503197921 1071716241 /nfs/dbraw/zinc/71/62/41/1071716241.db2.gz JQDQJEWVJVLLMH-HNNXBMFYSA-N 0 0 440.522 -0.829 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN(C(=O)CO)CC1 ZINC000503197922 1071716265 /nfs/dbraw/zinc/71/62/65/1071716265.db2.gz JQDQJEWVJVLLMH-OAHLLOKOSA-N 0 0 440.522 -0.829 20 0 IBADRN O=C(CO)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CC1 ZINC000503200174 1071716257 /nfs/dbraw/zinc/71/62/57/1071716257.db2.gz MTADOMKBGFDIAN-UHFFFAOYSA-N 0 0 444.941 -0.691 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CNC1(C(=O)OC)CCS(=O)(=O)CC1 ZINC000503200632 1071716609 /nfs/dbraw/zinc/71/66/09/1071716609.db2.gz BYUSBPISQGOXJX-INIZCTEOSA-N 0 0 438.502 -0.177 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CNC1(C(=O)OC)CCS(=O)(=O)CC1 ZINC000503200633 1071716601 /nfs/dbraw/zinc/71/66/01/1071716601.db2.gz BYUSBPISQGOXJX-MRXNPFEDSA-N 0 0 438.502 -0.177 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000503201660 1071716555 /nfs/dbraw/zinc/71/65/55/1071716555.db2.gz PJLYTEWRTDLZRS-UHFFFAOYSA-N 0 0 429.499 -0.696 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000503202202 1071716660 /nfs/dbraw/zinc/71/66/60/1071716660.db2.gz QUTAXFHUKQAGAM-UHFFFAOYSA-N 0 0 440.522 -0.822 20 0 IBADRN COC(=O)C1(NC[C@H](O)Cn2c(=O)onc2-c2ccccc2)CCS(=O)(=O)CC1 ZINC000503203966 1071716613 /nfs/dbraw/zinc/71/66/13/1071716613.db2.gz JKYPAOOGZMJMKH-AWEZNQCLSA-N 0 0 425.463 -0.426 20 0 IBADRN COC(=O)C1(NC[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CCS(=O)(=O)CC1 ZINC000503203967 1071716630 /nfs/dbraw/zinc/71/66/30/1071716630.db2.gz JKYPAOOGZMJMKH-CQSZACIVSA-N 0 0 425.463 -0.426 20 0 IBADRN COCCOc1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000503204310 1071717033 /nfs/dbraw/zinc/71/70/33/1071717033.db2.gz NEWPHDXTPHNQFG-UHFFFAOYSA-N 0 0 434.493 -0.076 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NCc1nnc2n1CCCC2 ZINC000503207687 1071717120 /nfs/dbraw/zinc/71/71/20/1071717120.db2.gz RKBDOSGQUYRCOX-UHFFFAOYSA-N 0 0 448.553 -0.039 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)cn1C ZINC000503208469 1071717156 /nfs/dbraw/zinc/71/71/56/1071717156.db2.gz PKWIKVBDZWFSJH-UHFFFAOYSA-N 0 0 442.519 -0.255 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000503209676 1071717103 /nfs/dbraw/zinc/71/71/03/1071717103.db2.gz BKPHEZYGHKCBBI-INIZCTEOSA-N 0 0 447.535 -0.070 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000503209677 1071717041 /nfs/dbraw/zinc/71/70/41/1071717041.db2.gz BKPHEZYGHKCBBI-MRXNPFEDSA-N 0 0 447.535 -0.070 20 0 IBADRN NC(=O)CC1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000503209976 1071717014 /nfs/dbraw/zinc/71/70/14/1071717014.db2.gz XEEPBDKQOBOCAC-UHFFFAOYSA-N 0 0 438.554 -0.170 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000503211197 1072438164 /nfs/dbraw/zinc/43/81/64/1072438164.db2.gz ZUMFLKYCZFSOGG-UHFFFAOYSA-N 0 0 445.503 -0.512 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NS(=O)(=O)C3CCS(=O)(=O)CC3)C2)cc1 ZINC000503211274 1071716561 /nfs/dbraw/zinc/71/65/61/1071716561.db2.gz HOMCFHVLBGTGDQ-UHFFFAOYSA-N 0 0 438.549 -0.435 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000503211337 1071716651 /nfs/dbraw/zinc/71/66/51/1071716651.db2.gz IDCGTGATUFEJAY-UHFFFAOYSA-N 0 0 437.540 -0.503 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000503214849 1071716647 /nfs/dbraw/zinc/71/66/47/1071716647.db2.gz HBNCGHGJWVDGNS-UHFFFAOYSA-N 0 0 446.551 -0.156 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000503215160 1071716549 /nfs/dbraw/zinc/71/65/49/1071716549.db2.gz FCRBJSKIYZQQQR-UHFFFAOYSA-N 0 0 434.540 -0.350 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000503216113 1071716567 /nfs/dbraw/zinc/71/65/67/1071716567.db2.gz BIROPWGCYCJPFQ-KRWDZBQOSA-N 0 0 442.524 -0.665 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000503216114 1071716581 /nfs/dbraw/zinc/71/65/81/1071716581.db2.gz BIROPWGCYCJPFQ-QGZVFWFLSA-N 0 0 442.524 -0.665 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCS(=O)(=O)CC1 ZINC000503216680 1071716663 /nfs/dbraw/zinc/71/66/63/1071716663.db2.gz DJQOKAITVQCWGK-AWEZNQCLSA-N 0 0 431.536 -0.235 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCS(=O)(=O)CC1 ZINC000503216687 1071716540 /nfs/dbraw/zinc/71/65/40/1071716540.db2.gz DJQOKAITVQCWGK-CQSZACIVSA-N 0 0 431.536 -0.235 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000503217086 1071716639 /nfs/dbraw/zinc/71/66/39/1071716639.db2.gz NNNSLJARFPDRRK-UHFFFAOYSA-N 0 0 425.577 -0.626 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCS(=O)(=O)CC3)CC2)cc1 ZINC000503217399 1071717710 /nfs/dbraw/zinc/71/77/10/1071717710.db2.gz GKYMSVTYFYEJPX-INIZCTEOSA-N 0 0 429.564 -0.053 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCS(=O)(=O)CC3)CC2)cc1 ZINC000503217401 1071717706 /nfs/dbraw/zinc/71/77/06/1071717706.db2.gz GKYMSVTYFYEJPX-MRXNPFEDSA-N 0 0 429.564 -0.053 20 0 IBADRN Cn1ncc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)c(Cl)c1=O ZINC000503217753 1071717555 /nfs/dbraw/zinc/71/75/55/1071717555.db2.gz AKOJQYFWVXIEJL-UHFFFAOYSA-N 0 0 447.926 -0.408 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000503218059 1071716635 /nfs/dbraw/zinc/71/66/35/1071716635.db2.gz QEHDSAPPLASHIW-UHFFFAOYSA-N 0 0 439.604 -0.238 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000503218130 1071716590 /nfs/dbraw/zinc/71/65/90/1071716590.db2.gz QUTRVDKOYBDWRZ-AWEZNQCLSA-N 0 0 431.540 -0.980 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000503218131 1071716667 /nfs/dbraw/zinc/71/66/67/1071716667.db2.gz QUTRVDKOYBDWRZ-CQSZACIVSA-N 0 0 431.540 -0.980 20 0 IBADRN O=S(=O)(NCC(F)(F)F)c1ccc(S(=O)(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000503218214 1071716620 /nfs/dbraw/zinc/71/66/20/1071716620.db2.gz KEYOGDBNKNQGTL-UHFFFAOYSA-N 0 0 436.455 -0.054 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)cn1 ZINC000503218512 1071717630 /nfs/dbraw/zinc/71/76/30/1071717630.db2.gz JPGSOYAERJMARB-UHFFFAOYSA-N 0 0 444.491 -0.584 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CCS(=O)(=O)CC2)c(=O)[nH]c1=O ZINC000503218692 1071717563 /nfs/dbraw/zinc/71/75/63/1071717563.db2.gz KKTSCJMZJSRMPD-CYBMUJFWSA-N 0 0 445.542 -0.571 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CCS(=O)(=O)CC2)c(=O)[nH]c1=O ZINC000503218695 1071717605 /nfs/dbraw/zinc/71/76/05/1071717605.db2.gz KKTSCJMZJSRMPD-ZDUSSCGKSA-N 0 0 445.542 -0.571 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)CC1 ZINC000503219685 1071717146 /nfs/dbraw/zinc/71/71/46/1071717146.db2.gz OANXAKIUOZYLJN-UHFFFAOYSA-N 0 0 447.535 -0.213 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)[C@H](C)CO1 ZINC000503219916 1072438261 /nfs/dbraw/zinc/43/82/61/1072438261.db2.gz OJDRZUPFHMBRSI-CHWSQXEVSA-N 0 0 447.535 -0.226 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000503219922 1072438217 /nfs/dbraw/zinc/43/82/17/1072438217.db2.gz OJDRZUPFHMBRSI-OLZOCXBDSA-N 0 0 447.535 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)[C@@H](C)CO1 ZINC000503219924 1071717025 /nfs/dbraw/zinc/71/70/25/1071717025.db2.gz OJDRZUPFHMBRSI-QWHCGFSZSA-N 0 0 447.535 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)[C@@H](C)CO1 ZINC000503219927 1071717088 /nfs/dbraw/zinc/71/70/88/1071717088.db2.gz OJDRZUPFHMBRSI-STQMWFEESA-N 0 0 447.535 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C[C@H](C)O1 ZINC000503223182 1071717062 /nfs/dbraw/zinc/71/70/62/1071717062.db2.gz YFNPBMZWOCVQHS-BETUJISGSA-N 0 0 447.535 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C[C@@H](C)O1 ZINC000503223183 1071717079 /nfs/dbraw/zinc/71/70/79/1071717079.db2.gz YFNPBMZWOCVQHS-CHWSQXEVSA-N 0 0 447.535 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C[C@H](C)O1 ZINC000503223185 1071717110 /nfs/dbraw/zinc/71/71/10/1071717110.db2.gz YFNPBMZWOCVQHS-STQMWFEESA-N 0 0 447.535 -0.226 20 0 IBADRN COCCn1cc(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)cn1 ZINC000503224180 1071717071 /nfs/dbraw/zinc/71/70/71/1071717071.db2.gz QGVLHQLLJBUHOQ-UHFFFAOYSA-N 0 0 430.508 -0.111 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(Cc3nc4ccccc4[nH]3)CC2)CC1 ZINC000503224474 1071717053 /nfs/dbraw/zinc/71/70/53/1071717053.db2.gz RVWRWPDCQSAEQH-UHFFFAOYSA-N 0 0 442.567 -0.498 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000503224827 1071716996 /nfs/dbraw/zinc/71/69/96/1071716996.db2.gz UVBZKDHXXYNJLR-UHFFFAOYSA-N 0 0 443.556 -0.846 20 0 IBADRN COCCOCCS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000503229324 1071717095 /nfs/dbraw/zinc/71/70/95/1071717095.db2.gz BNEGRWNZIODZJE-UHFFFAOYSA-N 0 0 436.508 -0.587 20 0 IBADRN COC(=O)CCCS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000503230664 1071717686 /nfs/dbraw/zinc/71/76/86/1071717686.db2.gz GDIFHIHFIHNPMC-UHFFFAOYSA-N 0 0 434.492 -0.297 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCOCCO)CC2)cc1 ZINC000503230794 1071717655 /nfs/dbraw/zinc/71/76/55/1071717655.db2.gz JZMUBVGUONARNJ-UHFFFAOYSA-N 0 0 427.523 -0.337 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000503231204 1071717139 /nfs/dbraw/zinc/71/71/39/1071717139.db2.gz IYLLQTHNDQQDRK-LLVKDONJSA-N 0 0 434.492 -0.441 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000503231205 1071717008 /nfs/dbraw/zinc/71/70/08/1071717008.db2.gz IYLLQTHNDQQDRK-NSHDSACASA-N 0 0 434.492 -0.441 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000503232306 1071717695 /nfs/dbraw/zinc/71/76/95/1071717695.db2.gz GUKLXCAZUWEIGH-UHFFFAOYSA-N 0 0 440.494 -0.080 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)c1 ZINC000503232916 1071717581 /nfs/dbraw/zinc/71/75/81/1071717581.db2.gz CYTVKXHSGPQPTQ-UHFFFAOYSA-N 0 0 429.564 -0.133 20 0 IBADRN COC(=O)C1(NCC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CCS(=O)(=O)CC1 ZINC000503234199 1071717617 /nfs/dbraw/zinc/71/76/17/1071717617.db2.gz SPBLFVDDXNZJQV-UHFFFAOYSA-N 0 0 441.462 -0.184 20 0 IBADRN COc1ccc(NC(=O)CN(C)CCOCCO)cc1S(=O)(=O)N1CCOCC1 ZINC000503236489 1071717587 /nfs/dbraw/zinc/71/75/87/1071717587.db2.gz CULKCQVIRDETPR-UHFFFAOYSA-N 0 0 431.511 -0.405 20 0 IBADRN CC(=O)N1CCCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000503236848 1071717637 /nfs/dbraw/zinc/71/76/37/1071717637.db2.gz DINRUHVXKLGLNZ-UHFFFAOYSA-N 0 0 438.554 -0.203 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000503237100 1071717650 /nfs/dbraw/zinc/71/76/50/1071717650.db2.gz OZZHBKZXPBKXJU-UHFFFAOYSA-N 0 0 449.507 -0.840 20 0 IBADRN CC(C)NC(=O)CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000503237273 1071717970 /nfs/dbraw/zinc/71/79/70/1071717970.db2.gz FNDVCDSNLIJOSR-UHFFFAOYSA-N 0 0 426.543 -0.253 20 0 IBADRN COC(=O)C1(NCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CCS(=O)(=O)CC1 ZINC000503237410 1071717622 /nfs/dbraw/zinc/71/76/22/1071717622.db2.gz RPHDPOKLXWZKPC-UHFFFAOYSA-N 0 0 427.435 -0.227 20 0 IBADRN CN(CCOCCO)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000503237411 1071717542 /nfs/dbraw/zinc/71/75/42/1071717542.db2.gz RPTITBMHZSGXQQ-UHFFFAOYSA-N 0 0 425.551 -0.051 20 0 IBADRN COCCN(CCOC)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000503237529 1071717670 /nfs/dbraw/zinc/71/76/70/1071717670.db2.gz AOOQHXWVEWBBKV-UHFFFAOYSA-N 0 0 429.543 -0.162 20 0 IBADRN O=C(NCCCN1CCCC1=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000503237596 1071717524 /nfs/dbraw/zinc/71/75/24/1071717524.db2.gz BHJAUZXHYSZBJK-UHFFFAOYSA-N 0 0 438.554 -0.155 20 0 IBADRN CCOC(=O)CCS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000503238105 1071717571 /nfs/dbraw/zinc/71/75/71/1071717571.db2.gz SGWIBJIVRRRZKL-UHFFFAOYSA-N 0 0 434.492 -0.297 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H](CO)c2ccc(Br)cc2)CC1 ZINC000503238677 1071718155 /nfs/dbraw/zinc/71/81/55/1071718155.db2.gz BCBCYIPYSMXEQF-CYBMUJFWSA-N 0 0 442.357 -0.106 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H](CO)c2ccc(Br)cc2)CC1 ZINC000503238680 1071718002 /nfs/dbraw/zinc/71/80/02/1071718002.db2.gz BCBCYIPYSMXEQF-ZDUSSCGKSA-N 0 0 442.357 -0.106 20 0 IBADRN O=C(NCCN1CCOCC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000503238822 1071718034 /nfs/dbraw/zinc/71/80/34/1071718034.db2.gz BYZYXANPRIFQOY-UHFFFAOYSA-N 0 0 426.543 -0.835 20 0 IBADRN Cc1nc(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1C ZINC000503239231 1071718136 /nfs/dbraw/zinc/71/81/36/1071718136.db2.gz XBCLYMJCSIIYIB-UHFFFAOYSA-N 0 0 428.492 -0.149 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000503239416 1071718021 /nfs/dbraw/zinc/71/80/21/1071718021.db2.gz DRDDGGLYQUMAGY-HNNXBMFYSA-N 0 0 448.567 -0.118 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000503239417 1071718071 /nfs/dbraw/zinc/71/80/71/1071718071.db2.gz DRDDGGLYQUMAGY-OAHLLOKOSA-N 0 0 448.567 -0.118 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCOCCO)CC2)cc1 ZINC000503239552 1071718080 /nfs/dbraw/zinc/71/80/80/1071718080.db2.gz HOFSEEAEABFVMB-UHFFFAOYSA-N 0 0 429.539 -0.141 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000503239602 1071718054 /nfs/dbraw/zinc/71/80/54/1071718054.db2.gz WNJDPFQDEVZLSX-UHFFFAOYSA-N 0 0 437.544 -0.363 20 0 IBADRN O=C(NCCCN1CCOCC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000503241554 1072438794 /nfs/dbraw/zinc/43/87/94/1072438794.db2.gz MUGBZYCRONLIOD-UHFFFAOYSA-N 0 0 440.570 -0.445 20 0 IBADRN O=C(NCCNc1cnccn1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000503244051 1073326084 /nfs/dbraw/zinc/32/60/84/1073326084.db2.gz SXTKSMRPXMMURF-UHFFFAOYSA-N 0 0 434.526 -0.265 20 0 IBADRN O=C(CCCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC1CC1 ZINC000503244477 1071718141 /nfs/dbraw/zinc/71/81/41/1071718141.db2.gz PKSOMXOKARJBCK-UHFFFAOYSA-N 0 0 438.554 -0.109 20 0 IBADRN COCCN1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000503244632 1071718159 /nfs/dbraw/zinc/71/81/59/1071718159.db2.gz WLBLLNSEQIAAMN-UHFFFAOYSA-N 0 0 440.570 -0.493 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000503244837 1071718127 /nfs/dbraw/zinc/71/81/27/1071718127.db2.gz VQUWSRIWLJVBHS-UHFFFAOYSA-N 0 0 428.540 -0.478 20 0 IBADRN CN(C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CCO1)c1nccn(C)c1=O ZINC000503246669 1071717947 /nfs/dbraw/zinc/71/79/47/1071717947.db2.gz PZLJONMOXFUFOV-IIDMSEBBSA-N 0 0 443.508 -0.603 20 0 IBADRN CN(C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CCO1)c1nccn(C)c1=O ZINC000503246676 1071718120 /nfs/dbraw/zinc/71/81/20/1071718120.db2.gz PZLJONMOXFUFOV-RKVPGOIHSA-N 0 0 443.508 -0.603 20 0 IBADRN CN(C[C@@H]1CN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CCO1)c1nccn(C)c1=O ZINC000503246677 1071718015 /nfs/dbraw/zinc/71/80/15/1071718015.db2.gz PZLJONMOXFUFOV-RVKKMQEKSA-N 0 0 443.508 -0.603 20 0 IBADRN CN(C[C@@H]1CN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CCO1)c1nccn(C)c1=O ZINC000503246679 1071718064 /nfs/dbraw/zinc/71/80/64/1071718064.db2.gz PZLJONMOXFUFOV-VKJFTORMSA-N 0 0 443.508 -0.603 20 0 IBADRN CC(=O)N1CCC(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000503248034 1071717956 /nfs/dbraw/zinc/71/79/56/1071717956.db2.gz YNQZYWJBXSVULT-UHFFFAOYSA-N 0 0 438.554 -0.157 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000503248392 1071717982 /nfs/dbraw/zinc/71/79/82/1071717982.db2.gz ZGWLUXHSOWWGJI-UHFFFAOYSA-N 0 0 441.554 -0.379 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000503250100 1071718555 /nfs/dbraw/zinc/71/85/55/1071718555.db2.gz GSORUSQFBOBANK-UHFFFAOYSA-N 0 0 446.201 -0.094 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)OC)cc1 ZINC000503252090 1071718529 /nfs/dbraw/zinc/71/85/29/1071718529.db2.gz PTQKFHFFCLKWJJ-GFCCVEGCSA-N 0 0 427.479 -0.191 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)OC)cc1 ZINC000503252091 1071718626 /nfs/dbraw/zinc/71/86/26/1071718626.db2.gz PTQKFHFFCLKWJJ-LBPRGKRZSA-N 0 0 427.479 -0.191 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1 ZINC000503252241 1071718612 /nfs/dbraw/zinc/71/86/12/1071718612.db2.gz FQJXBACECVIRAB-UHFFFAOYSA-N 0 0 442.476 -0.476 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCN(C2CCC2)CC1 ZINC000503253502 1071718599 /nfs/dbraw/zinc/71/85/99/1071718599.db2.gz JFRMCQDURPJHMR-UHFFFAOYSA-N 0 0 449.533 -0.558 20 0 IBADRN CCN(C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H](C)CS(=O)(=O)CC ZINC000503254805 1071718506 /nfs/dbraw/zinc/71/85/06/1071718506.db2.gz NTHMBPDBLCMSMB-LLVKDONJSA-N 0 0 439.494 -0.758 20 0 IBADRN CCN(C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H](C)CS(=O)(=O)CC ZINC000503254810 1071718545 /nfs/dbraw/zinc/71/85/45/1071718545.db2.gz NTHMBPDBLCMSMB-NSHDSACASA-N 0 0 439.494 -0.758 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000503255204 1071718620 /nfs/dbraw/zinc/71/86/20/1071718620.db2.gz PISCBHVKUJFRSF-INIZCTEOSA-N 0 0 443.460 -0.539 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000503255205 1071718569 /nfs/dbraw/zinc/71/85/69/1071718569.db2.gz PISCBHVKUJFRSF-MRXNPFEDSA-N 0 0 443.460 -0.539 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000503257949 1071718564 /nfs/dbraw/zinc/71/85/64/1071718564.db2.gz XMULMTISQHIUME-UHFFFAOYSA-N 0 0 425.467 -0.630 20 0 IBADRN COC(=O)C1(NCC(=O)NCCOc2ccc3c(c2)OCO3)CCS(=O)(=O)CC1 ZINC000503259117 1071718513 /nfs/dbraw/zinc/71/85/13/1071718513.db2.gz ULBJTWSHVOMOSU-UHFFFAOYSA-N 0 0 428.463 -0.380 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000503260592 1071718586 /nfs/dbraw/zinc/71/85/86/1071718586.db2.gz DWOIDVYODKEKCU-UHFFFAOYSA-N 0 0 438.554 -0.624 20 0 IBADRN CN(CCOCCO)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000503263953 1071719086 /nfs/dbraw/zinc/71/90/86/1071719086.db2.gz ARFAGLQWESNOLU-UHFFFAOYSA-N 0 0 443.522 -0.769 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCOCCO)CC2)c1 ZINC000503265497 1071719600 /nfs/dbraw/zinc/71/96/00/1071719600.db2.gz CYPAQAWEIOVBRR-UHFFFAOYSA-N 0 0 427.523 -0.337 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCOCCO)CC2)cc1OC ZINC000503266029 1071719510 /nfs/dbraw/zinc/71/95/10/1071719510.db2.gz FPYUVHRKWOROKD-UHFFFAOYSA-N 0 0 445.538 -0.523 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000503268452 1071719611 /nfs/dbraw/zinc/71/96/11/1071719611.db2.gz WEYZPVLQRGABPM-UHFFFAOYSA-N 0 0 436.432 -0.019 20 0 IBADRN CCOc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000503268456 1071719523 /nfs/dbraw/zinc/71/95/23/1071719523.db2.gz WGMMJNXBTNFJBN-UHFFFAOYSA-N 0 0 439.538 -0.340 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cncc(NS(C)(=O)=O)c2)CC1 ZINC000503270398 1071719031 /nfs/dbraw/zinc/71/90/31/1071719031.db2.gz RZHOMJXXDGQPAJ-AWEZNQCLSA-N 0 0 427.527 -0.248 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cncc(NS(C)(=O)=O)c2)CC1 ZINC000503270399 1072438701 /nfs/dbraw/zinc/43/87/01/1072438701.db2.gz RZHOMJXXDGQPAJ-CQSZACIVSA-N 0 0 427.527 -0.248 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)CCOCCO)CC2)c1 ZINC000503271636 1071719128 /nfs/dbraw/zinc/71/91/28/1071719128.db2.gz XCEOAHKABLJNPC-UHFFFAOYSA-N 0 0 445.538 -0.523 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(C)ccc2S(N)(=O)=O)CC1 ZINC000503271998 1071719115 /nfs/dbraw/zinc/71/91/15/1071719115.db2.gz YCRXCBFFDOCLBQ-HNNXBMFYSA-N 0 0 426.539 -0.059 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(C)ccc2S(N)(=O)=O)CC1 ZINC000503271999 1071719110 /nfs/dbraw/zinc/71/91/10/1071719110.db2.gz YCRXCBFFDOCLBQ-OAHLLOKOSA-N 0 0 426.539 -0.059 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CNC1(C(=O)OC)CCS(=O)(=O)CC1 ZINC000503272118 1071718992 /nfs/dbraw/zinc/71/89/92/1071718992.db2.gz YFDHCZSAYDSPHI-UHFFFAOYSA-N 0 0 445.563 -0.077 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1 ZINC000503273255 1071718985 /nfs/dbraw/zinc/71/89/85/1071718985.db2.gz CANHDGBLNGVCJN-UHFFFAOYSA-N 0 0 428.449 -0.405 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1cc(Br)cnc1-n1cccn1 ZINC000503274551 1071719082 /nfs/dbraw/zinc/71/90/82/1071719082.db2.gz INNYNKCOSFYABQ-UHFFFAOYSA-N 0 0 436.226 -0.364 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4)CC2)CC1 ZINC000503274644 1071719118 /nfs/dbraw/zinc/71/91/18/1071719118.db2.gz ZMCAIEHIMOHSFA-UHFFFAOYSA-N 0 0 429.477 -0.031 20 0 IBADRN Cn1ccnc(N2CCN(c3ncc(Br)cc3S(N)(=O)=O)CC2)c1=O ZINC000503275738 1071719107 /nfs/dbraw/zinc/71/91/07/1071719107.db2.gz OXGHDOJXFRUWIW-UHFFFAOYSA-N 0 0 429.300 -0.088 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cccc1C(=O)N1CCSCC1 ZINC000503275850 1071719124 /nfs/dbraw/zinc/71/91/24/1071719124.db2.gz MFWMNWBSPYNMFL-UHFFFAOYSA-N 0 0 433.490 -0.209 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC000503276776 1071718997 /nfs/dbraw/zinc/71/89/97/1071718997.db2.gz UHHRRUIXTIBDCO-UHFFFAOYSA-N 0 0 439.494 -0.286 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC000503276845 1071719052 /nfs/dbraw/zinc/71/90/52/1071719052.db2.gz VBDAOJCOKYPJQM-UHFFFAOYSA-N 0 0 425.467 -0.678 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC000503277296 1071719584 /nfs/dbraw/zinc/71/95/84/1071719584.db2.gz XJBPNJGAKUARBW-UHFFFAOYSA-N 0 0 425.467 -0.676 20 0 IBADRN COCCNc1nc(N2CCN(C(C)C)C(=O)C2)nc(N2CCN(C(C)C)C(=O)C2)n1 ZINC000503277959 1071719058 /nfs/dbraw/zinc/71/90/58/1071719058.db2.gz XWAVWXCOSHZPDI-UHFFFAOYSA-N 0 0 434.545 -0.534 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)NCCN1C(=O)CNC1=O ZINC000503279096 1071719502 /nfs/dbraw/zinc/71/95/02/1071719502.db2.gz GWPJTTAKFDYCFH-UHFFFAOYSA-N 0 0 433.490 -0.209 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)NCCN2C(=O)CNC2=O)n1 ZINC000503280408 1071719619 /nfs/dbraw/zinc/71/96/19/1071719619.db2.gz JWAVYEINYBXIDR-UHFFFAOYSA-N 0 0 428.405 -0.345 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2CN2CCOCC2)CC1)NC1CC1 ZINC000503280680 1071719571 /nfs/dbraw/zinc/71/95/71/1071719571.db2.gz BMCOFAGFCNIEKK-UHFFFAOYSA-N 0 0 429.521 -0.120 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c(C)c1 ZINC000503281479 1073249387 /nfs/dbraw/zinc/24/93/87/1073249387.db2.gz GARMGOIHAQEXEY-UHFFFAOYSA-N 0 0 431.493 -0.121 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1)N1CCSCC1 ZINC000503283671 1071719497 /nfs/dbraw/zinc/71/94/97/1071719497.db2.gz OWIXBYKJEBQELU-UHFFFAOYSA-N 0 0 433.490 -0.589 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCCC1 ZINC000503284370 1071719488 /nfs/dbraw/zinc/71/94/88/1071719488.db2.gz VOUFJGJSXAUSBK-UHFFFAOYSA-N 0 0 430.465 -0.665 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CN2CCOCC2)CC1 ZINC000503284637 1071719554 /nfs/dbraw/zinc/71/95/54/1071719554.db2.gz QYWVRZNHPOEFKY-UHFFFAOYSA-N 0 0 445.520 -0.347 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000503285274 1071719561 /nfs/dbraw/zinc/71/95/61/1071719561.db2.gz QLYAYMJDJHQEOB-UHFFFAOYSA-N 0 0 436.557 -0.547 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000503285425 1071719964 /nfs/dbraw/zinc/71/99/64/1071719964.db2.gz LEBGHUVBHWVTLK-UHFFFAOYSA-N 0 0 438.573 -0.837 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN(C(=O)C4CC4)C3)CC2)o1 ZINC000503285550 1071719538 /nfs/dbraw/zinc/71/95/38/1071719538.db2.gz RTHNCLZGBZYZEI-AWEZNQCLSA-N 0 0 438.506 -0.140 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN(C(=O)C4CC4)C3)CC2)o1 ZINC000503285552 1071719581 /nfs/dbraw/zinc/71/95/81/1071719581.db2.gz RTHNCLZGBZYZEI-CQSZACIVSA-N 0 0 438.506 -0.140 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000503287574 1071720094 /nfs/dbraw/zinc/72/00/94/1071720094.db2.gz PJFGQPLVRVKXGF-HNNXBMFYSA-N 0 0 436.600 -0.950 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000503287576 1071719983 /nfs/dbraw/zinc/71/99/83/1071719983.db2.gz PJFGQPLVRVKXGF-OAHLLOKOSA-N 0 0 436.600 -0.950 20 0 IBADRN COc1ccc(NC(=O)c2cccc(NC(=O)C(=O)NCCN3C(=O)CNC3=O)c2)cn1 ZINC000503288631 1071720068 /nfs/dbraw/zinc/72/00/68/1071720068.db2.gz XDEWUOBBOUWECV-UHFFFAOYSA-N 0 0 440.416 -0.051 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(C)c1 ZINC000503288979 1073249402 /nfs/dbraw/zinc/24/94/02/1073249402.db2.gz GMVLWSVOKSDPQH-UHFFFAOYSA-N 0 0 429.477 -0.367 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cc(C)ccc2S(N)(=O)=O)c1 ZINC000503290054 1071720004 /nfs/dbraw/zinc/72/00/04/1071720004.db2.gz JOTBOOVOUGWVSS-UHFFFAOYSA-N 0 0 440.503 -0.081 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cncc(NS(C)(=O)=O)c2)c1 ZINC000503291450 1071719921 /nfs/dbraw/zinc/71/99/21/1071719921.db2.gz OJMIINKAVZKQDU-UHFFFAOYSA-N 0 0 441.491 -0.270 20 0 IBADRN COCCOc1cc(C)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000503291496 1071719994 /nfs/dbraw/zinc/71/99/94/1071719994.db2.gz MVNJUBLLOHJZBI-UHFFFAOYSA-N 0 0 432.477 -0.092 20 0 IBADRN O=C(Nc1ccccc1CN1CCOCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000503291904 1071719953 /nfs/dbraw/zinc/71/99/53/1071719953.db2.gz NRLSPHPJCFMKBX-UHFFFAOYSA-N 0 0 443.504 -0.593 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000503294446 1071720478 /nfs/dbraw/zinc/72/04/78/1071720478.db2.gz WVWLZVKULSFHNT-CVEARBPZSA-N 0 0 431.536 -0.822 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000503294449 1071720490 /nfs/dbraw/zinc/72/04/90/1071720490.db2.gz WVWLZVKULSFHNT-HOTGVXAUSA-N 0 0 431.536 -0.822 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000503294451 1071720435 /nfs/dbraw/zinc/72/04/35/1071720435.db2.gz WVWLZVKULSFHNT-HZPDHXFCSA-N 0 0 431.536 -0.822 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000503294453 1071720486 /nfs/dbraw/zinc/72/04/86/1071720486.db2.gz WVWLZVKULSFHNT-JKSUJKDBSA-N 0 0 431.536 -0.822 20 0 IBADRN CC(CC(=O)N1CCO[C@@H](C(N)=O)C1)(CC(=O)N1CCO[C@@H](C(N)=O)C1)c1ccccc1 ZINC000503294875 1071720445 /nfs/dbraw/zinc/72/04/45/1071720445.db2.gz ZLNIFPKMUJZNFG-IAGOWNOFSA-N 0 0 446.504 -0.850 20 0 IBADRN CC(CC(=O)N1CCO[C@H](C(N)=O)C1)(CC(=O)N1CCO[C@H](C(N)=O)C1)c1ccccc1 ZINC000503294876 1071720524 /nfs/dbraw/zinc/72/05/24/1071720524.db2.gz ZLNIFPKMUJZNFG-IRXDYDNUSA-N 0 0 446.504 -0.850 20 0 IBADRN C[C@](CC(=O)N1CCO[C@@H](C(N)=O)C1)(CC(=O)N1CCO[C@H](C(N)=O)C1)c1ccccc1 ZINC000503294877 1071720459 /nfs/dbraw/zinc/72/04/59/1071720459.db2.gz ZLNIFPKMUJZNFG-YPGOJHLTSA-N 0 0 446.504 -0.850 20 0 IBADRN CCOC(=O)c1cnn(-c2cccc(NC(=O)C(=O)NCCN3C(=O)CNC3=O)c2)c1C ZINC000503296909 1071720427 /nfs/dbraw/zinc/72/04/27/1071720427.db2.gz UZCQYURXDNCTPI-UHFFFAOYSA-N 0 0 442.432 -0.036 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000503297123 1071720456 /nfs/dbraw/zinc/72/04/56/1071720456.db2.gz VDLGQPFBMKTDGB-UHFFFAOYSA-N 0 0 439.494 -0.489 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1-n1nnnc1C ZINC000503299777 1071719899 /nfs/dbraw/zinc/71/98/99/1071719899.db2.gz ATXWJUMTUWHCCP-UHFFFAOYSA-N 0 0 425.453 -0.015 20 0 IBADRN CS(=O)(=O)Nc1cncc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c1 ZINC000503299894 1071719938 /nfs/dbraw/zinc/71/99/38/1071719938.db2.gz GJMWGJITKMPTQN-UHFFFAOYSA-N 0 0 425.496 -0.299 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NCCN1C(=O)CNC1=O ZINC000503301108 1071719905 /nfs/dbraw/zinc/71/99/05/1071719905.db2.gz HMVODURCXCDSOU-UHFFFAOYSA-N 0 0 439.494 -0.370 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000503301132 1071719917 /nfs/dbraw/zinc/71/99/17/1071719917.db2.gz KGQSTJAHENDZGE-UHFFFAOYSA-N 0 0 425.558 -0.593 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000503301459 1071719884 /nfs/dbraw/zinc/71/98/84/1071719884.db2.gz WBPKDERKXINYRC-UHFFFAOYSA-N 0 0 425.467 -0.629 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)[C@H](C)CS(=O)(=O)CC ZINC000503301829 1071719934 /nfs/dbraw/zinc/71/99/34/1071719934.db2.gz WSMZHKNCJOJYTB-CYBMUJFWSA-N 0 0 425.511 -0.372 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)[C@@H](C)CS(=O)(=O)CC ZINC000503301830 1071719891 /nfs/dbraw/zinc/71/98/91/1071719891.db2.gz WSMZHKNCJOJYTB-ZDUSSCGKSA-N 0 0 425.511 -0.372 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)ccc1C ZINC000503302016 1071720046 /nfs/dbraw/zinc/72/00/46/1071720046.db2.gz LEYXXOFYAYFGGB-UHFFFAOYSA-N 0 0 439.494 -0.368 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccc2nc(N3CCOCC3)sc2c1 ZINC000503302725 1072438918 /nfs/dbraw/zinc/43/89/18/1072438918.db2.gz NGNINZMFKWHHEZ-UHFFFAOYSA-N 0 0 432.462 -0.261 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000503303631 1071720033 /nfs/dbraw/zinc/72/00/33/1071720033.db2.gz FBMDFMQHGZOPAV-UHFFFAOYSA-N 0 0 425.449 -0.316 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000503303769 1071720022 /nfs/dbraw/zinc/72/00/22/1071720022.db2.gz RYACFCAIHUUDFP-UHFFFAOYSA-N 0 0 437.478 -0.532 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1 ZINC000503303860 1071720105 /nfs/dbraw/zinc/72/01/05/1071720105.db2.gz OWRXBWZOMLXWAE-UHFFFAOYSA-N 0 0 442.476 -0.015 20 0 IBADRN CCOc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000503304397 1071720474 /nfs/dbraw/zinc/72/04/74/1071720474.db2.gz IMZXUEZRZHZMSZ-UHFFFAOYSA-N 0 0 426.495 -0.255 20 0 IBADRN O=C(Nc1ccc2c(c1)OCC(=O)N2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000503308448 1071720422 /nfs/dbraw/zinc/72/04/22/1071720422.db2.gz GYFQKYJZFMSNKN-UHFFFAOYSA-N 0 0 445.457 -0.116 20 0 IBADRN CCN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000503309354 1071720469 /nfs/dbraw/zinc/72/04/69/1071720469.db2.gz IEPFVRKCEPIZPL-AWEZNQCLSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000503309355 1071720462 /nfs/dbraw/zinc/72/04/62/1071720462.db2.gz IEPFVRKCEPIZPL-CQSZACIVSA-N 0 0 431.536 -0.298 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000503312247 1071720449 /nfs/dbraw/zinc/72/04/49/1071720449.db2.gz DIAJFKRQHVPNAK-UHFFFAOYSA-N 0 0 446.533 -0.073 20 0 IBADRN Cc1ccc(C(=O)NCC(F)(F)F)cc1NC(=O)C(=O)NCCN1C(=O)CNC1=O ZINC000503314838 1071720520 /nfs/dbraw/zinc/72/05/20/1071720520.db2.gz JSTJIUHATUWTBJ-UHFFFAOYSA-N 0 0 429.355 -0.106 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000503317201 1071720917 /nfs/dbraw/zinc/72/09/17/1071720917.db2.gz SHRQPKIISYGPQP-UHFFFAOYSA-N 0 0 437.478 -0.532 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)NCCN1C(=O)CNC1=O ZINC000503317300 1071720965 /nfs/dbraw/zinc/72/09/65/1071720965.db2.gz NRBAFZYLKXMCOW-UHFFFAOYSA-N 0 0 433.490 -0.209 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)NC(C)C)CC2)cs1 ZINC000503318595 1071720924 /nfs/dbraw/zinc/72/09/24/1071720924.db2.gz ZXCLTTLTSFYNOQ-UHFFFAOYSA-N 0 0 439.585 -0.205 20 0 IBADRN CC(C)N1CCN(c2nc(N3CCOCC3)nc(N3CCN(C(C)C)C(=O)C3)n2)CC1=O ZINC000503322411 1071720505 /nfs/dbraw/zinc/72/05/05/1071720505.db2.gz ZXQWFGFMWBRYLS-UHFFFAOYSA-N 0 0 446.556 -0.178 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NCCN2C(=O)CNC2=O)c2ccccc2O1 ZINC000503323387 1071720960 /nfs/dbraw/zinc/72/09/60/1071720960.db2.gz CWKYGUIAAABICH-INIZCTEOSA-N 0 0 445.476 -0.247 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NCCN2C(=O)CNC2=O)c2ccccc2O1 ZINC000503323388 1071720866 /nfs/dbraw/zinc/72/08/66/1071720866.db2.gz CWKYGUIAAABICH-MRXNPFEDSA-N 0 0 445.476 -0.247 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000503331296 1071720975 /nfs/dbraw/zinc/72/09/75/1071720975.db2.gz JICWBPUSKHDXHQ-UHFFFAOYSA-N 0 0 432.477 -0.138 20 0 IBADRN CS(=O)(=O)Nc1ccc(OCCNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)cc1 ZINC000503331699 1071720899 /nfs/dbraw/zinc/72/08/99/1071720899.db2.gz WRBARRCBWTVULW-DLBZAZTESA-N 0 0 441.506 -0.041 20 0 IBADRN O=C(Nc1cccc(-c2cnco2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000503332689 1071720885 /nfs/dbraw/zinc/72/08/85/1071720885.db2.gz RETOXARBJHCFMD-UHFFFAOYSA-N 0 0 441.444 -0.190 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cncc(NS(C)(=O)=O)c2)CC1 ZINC000503340365 1071721283 /nfs/dbraw/zinc/72/12/83/1071721283.db2.gz GWLUQDOSLWGKGK-AWEZNQCLSA-N 0 0 425.511 -0.542 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cncc(NS(C)(=O)=O)c2)CC1 ZINC000503340366 1071721295 /nfs/dbraw/zinc/72/12/95/1071721295.db2.gz GWLUQDOSLWGKGK-CQSZACIVSA-N 0 0 425.511 -0.542 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000503341743 1071721318 /nfs/dbraw/zinc/72/13/18/1071721318.db2.gz UJJBAACYVJARKJ-UHFFFAOYSA-N 0 0 440.522 -0.675 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000503342558 1071721337 /nfs/dbraw/zinc/72/13/37/1071721337.db2.gz VLHLNYVJDOTVKN-UHFFFAOYSA-N 0 0 429.477 -0.446 20 0 IBADRN COCCOc1cc(C)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000503343941 1071721277 /nfs/dbraw/zinc/72/12/77/1071721277.db2.gz OSYQDHPCGRYNFP-UHFFFAOYSA-N 0 0 448.520 -0.038 20 0 IBADRN CC(CC(=O)N1CCN(CCO)CC1)(CC(=O)N1CCN(CCO)CC1)c1ccccc1 ZINC000503344604 1071721376 /nfs/dbraw/zinc/72/13/76/1071721376.db2.gz MSTOVMRWTHKPCN-UHFFFAOYSA-N 0 0 446.592 -0.003 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccnc2c(C(N)=O)cnn21)C(=O)NCCN1CCOCC1 ZINC000503349312 1071720933 /nfs/dbraw/zinc/72/09/33/1071720933.db2.gz WQTIFIOHUFMXKA-BBRMVZONSA-N 0 0 431.497 -0.579 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccnc2c(C(N)=O)cnn21)C(=O)NCCN1CCOCC1 ZINC000503349319 1071720882 /nfs/dbraw/zinc/72/08/82/1071720882.db2.gz WQTIFIOHUFMXKA-CJNGLKHVSA-N 0 0 431.497 -0.579 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccnc2c(C(N)=O)cnn21)C(=O)NCCN1CCOCC1 ZINC000503349320 1071720858 /nfs/dbraw/zinc/72/08/58/1071720858.db2.gz WQTIFIOHUFMXKA-CZUORRHYSA-N 0 0 431.497 -0.579 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccnc2c(C(N)=O)cnn21)C(=O)NCCN1CCOCC1 ZINC000503349321 1071720992 /nfs/dbraw/zinc/72/09/92/1071720992.db2.gz WQTIFIOHUFMXKA-XJKSGUPXSA-N 0 0 431.497 -0.579 20 0 IBADRN CCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000503349565 1071720893 /nfs/dbraw/zinc/72/08/93/1071720893.db2.gz VQMOICGVXQJZHY-AWEZNQCLSA-N 0 0 429.520 -0.544 20 0 IBADRN CCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000503349567 1071720969 /nfs/dbraw/zinc/72/09/69/1071720969.db2.gz VQMOICGVXQJZHY-CQSZACIVSA-N 0 0 429.520 -0.544 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000503353458 1071721253 /nfs/dbraw/zinc/72/12/53/1071721253.db2.gz PBNXEFHDDURXSJ-UHFFFAOYSA-N 0 0 433.508 -0.851 20 0 IBADRN O=C(Nc1ccc(OCC2CC2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000503356717 1071721414 /nfs/dbraw/zinc/72/14/14/1071721414.db2.gz NWTSZUBZDHIBIQ-UHFFFAOYSA-N 0 0 444.488 -0.057 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000503358291 1071721246 /nfs/dbraw/zinc/72/12/46/1071721246.db2.gz UPOIRXKFRUZCSA-KRWDZBQOSA-N 0 0 440.522 -0.134 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000503358301 1071721350 /nfs/dbraw/zinc/72/13/50/1071721350.db2.gz UPOIRXKFRUZCSA-QGZVFWFLSA-N 0 0 440.522 -0.134 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)c1cncc(NS(C)(=O)=O)c1 ZINC000503361206 1071721950 /nfs/dbraw/zinc/72/19/50/1071721950.db2.gz XBLLDZRVLDCKPW-UHFFFAOYSA-N 0 0 442.519 -0.004 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000503363846 1071721807 /nfs/dbraw/zinc/72/18/07/1071721807.db2.gz BQZRVNAUMVEELJ-UHFFFAOYSA-N 0 0 435.524 -0.511 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000503366259 1071721272 /nfs/dbraw/zinc/72/12/72/1071721272.db2.gz HDSPEDSNUDXLFW-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000503366513 1071721425 /nfs/dbraw/zinc/72/14/25/1071721425.db2.gz IDRKRVVTMMVPAO-UHFFFAOYSA-N 0 0 427.479 -0.638 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)CC1 ZINC000503367528 1071721310 /nfs/dbraw/zinc/72/13/10/1071721310.db2.gz JMPCCUBYHZVHPC-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)COc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000503368766 1071721260 /nfs/dbraw/zinc/72/12/60/1071721260.db2.gz RKFBVYNVVGSXAV-UHFFFAOYSA-N 0 0 441.506 -0.597 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)CC1 ZINC000503374033 1071721290 /nfs/dbraw/zinc/72/12/90/1071721290.db2.gz NKJVXBHAZWJDCD-UHFFFAOYSA-N 0 0 440.522 -0.675 20 0 IBADRN COC[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000503376552 1071721753 /nfs/dbraw/zinc/72/17/53/1071721753.db2.gz YZJAYJXAKKYWEI-AWEZNQCLSA-N 0 0 438.506 -0.369 20 0 IBADRN COC[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000503376553 1071721831 /nfs/dbraw/zinc/72/18/31/1071721831.db2.gz YZJAYJXAKKYWEI-CQSZACIVSA-N 0 0 438.506 -0.369 20 0 IBADRN CCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000503381719 1071721982 /nfs/dbraw/zinc/72/19/82/1071721982.db2.gz FFRSNJHYDBLRTA-AWEZNQCLSA-N 0 0 429.520 -0.544 20 0 IBADRN CCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000503381720 1071721795 /nfs/dbraw/zinc/72/17/95/1071721795.db2.gz FFRSNJHYDBLRTA-CQSZACIVSA-N 0 0 429.520 -0.544 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000503387526 1071721818 /nfs/dbraw/zinc/72/18/18/1071721818.db2.gz AXHPZTUKGNBOLF-UHFFFAOYSA-N 0 0 436.490 -0.616 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000503390300 1071721846 /nfs/dbraw/zinc/72/18/46/1071721846.db2.gz SVXGXQQLVKWMMF-CYBMUJFWSA-N 0 0 433.508 -0.148 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000503390301 1071721865 /nfs/dbraw/zinc/72/18/65/1071721865.db2.gz SVXGXQQLVKWMMF-ZDUSSCGKSA-N 0 0 433.508 -0.148 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCO1 ZINC000503390374 1071721783 /nfs/dbraw/zinc/72/17/83/1071721783.db2.gz UKQLMCBBKNQSGB-KRWDZBQOSA-N 0 0 441.506 -0.068 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCO1 ZINC000503390375 1071721839 /nfs/dbraw/zinc/72/18/39/1071721839.db2.gz UKQLMCBBKNQSGB-QGZVFWFLSA-N 0 0 441.506 -0.068 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000503391408 1071722362 /nfs/dbraw/zinc/72/23/62/1071722362.db2.gz WROUYOSYVLFXGV-HNNXBMFYSA-N 0 0 441.510 -0.883 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000503391409 1071722476 /nfs/dbraw/zinc/72/24/76/1071722476.db2.gz WROUYOSYVLFXGV-OAHLLOKOSA-N 0 0 441.510 -0.883 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000503392592 1071721907 /nfs/dbraw/zinc/72/19/07/1071721907.db2.gz AKHOMEQRRHRDJP-UHFFFAOYSA-N 0 0 425.493 -0.010 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000503393769 1071721932 /nfs/dbraw/zinc/72/19/32/1071721932.db2.gz AMLVOOZEIBNLHE-INIZCTEOSA-N 0 0 427.479 -0.209 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000503393772 1071721876 /nfs/dbraw/zinc/72/18/76/1071721876.db2.gz AMLVOOZEIBNLHE-MRXNPFEDSA-N 0 0 427.479 -0.209 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)no2)cn1 ZINC000503394648 1071721924 /nfs/dbraw/zinc/72/19/24/1071721924.db2.gz IUTZYCHZUWMMTR-LLVKDONJSA-N 0 0 427.425 -0.683 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)no2)cn1 ZINC000503394676 1071721938 /nfs/dbraw/zinc/72/19/38/1071721938.db2.gz IUTZYCHZUWMMTR-NSHDSACASA-N 0 0 427.425 -0.683 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000503395513 1071721890 /nfs/dbraw/zinc/72/18/90/1071721890.db2.gz DYCVDNXCSPXVHH-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)cn1 ZINC000503396438 1071721762 /nfs/dbraw/zinc/72/17/62/1071721762.db2.gz GFJXSIAQMDOLFG-UHFFFAOYSA-N 0 0 433.513 -0.852 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000503399201 1071722302 /nfs/dbraw/zinc/72/23/02/1071722302.db2.gz WZDHKBPVQQWDPP-AWEZNQCLSA-N 0 0 447.535 -0.415 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000503399210 1071722435 /nfs/dbraw/zinc/72/24/35/1071722435.db2.gz WZDHKBPVQQWDPP-CQSZACIVSA-N 0 0 447.535 -0.415 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3CCn3cnc4c3c(=O)n(C)c(=O)n4C)no2)cn1 ZINC000503399391 1071722443 /nfs/dbraw/zinc/72/24/43/1071722443.db2.gz XOGZTRKXHARPRC-CYBMUJFWSA-N 0 0 441.452 -0.709 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3CCn3cnc4c3c(=O)n(C)c(=O)n4C)no2)cn1 ZINC000503399394 1071722326 /nfs/dbraw/zinc/72/23/26/1071722326.db2.gz XOGZTRKXHARPRC-ZDUSSCGKSA-N 0 0 441.452 -0.709 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000503404768 1071722344 /nfs/dbraw/zinc/72/23/44/1071722344.db2.gz UFPKJOVYBQFFGL-UHFFFAOYSA-N 0 0 428.536 -0.244 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)nc1 ZINC000503405602 1071722385 /nfs/dbraw/zinc/72/23/85/1071722385.db2.gz JSXZAOCHZLEULN-UHFFFAOYSA-N 0 0 432.506 -0.009 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000503412480 1071722447 /nfs/dbraw/zinc/72/24/47/1071722447.db2.gz VEABZNTVIWPERY-UHFFFAOYSA-N 0 0 446.551 -0.714 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000503415592 1071722315 /nfs/dbraw/zinc/72/23/15/1071722315.db2.gz QZFFHSNYIPXGIE-UHFFFAOYSA-N 0 0 446.551 -0.586 20 0 IBADRN CC(=O)NCCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000503416651 1071722352 /nfs/dbraw/zinc/72/23/52/1071722352.db2.gz RWLVMVCRMOJQKF-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000503419304 1071722373 /nfs/dbraw/zinc/72/23/73/1071722373.db2.gz KYFMBIQKJHHWJN-UHFFFAOYSA-N 0 0 441.535 -0.041 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-c3nc[nH]n3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000503423163 1071722276 /nfs/dbraw/zinc/72/22/76/1071722276.db2.gz GHKDHWCVEZMNFF-INIZCTEOSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-c3nc[nH]n3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000503423174 1071722421 /nfs/dbraw/zinc/72/24/21/1071722421.db2.gz GHKDHWCVEZMNFF-MRXNPFEDSA-N 0 0 432.506 -0.467 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCC(=O)N3CCN(C)CC3)CC2)cs1 ZINC000503424213 1071722871 /nfs/dbraw/zinc/72/28/71/1071722871.db2.gz IKVTVPKQCXQRIX-UHFFFAOYSA-N 0 0 444.583 -0.368 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cn4c(n3)CCCC4)CC2)CC1 ZINC000503427465 1071722289 /nfs/dbraw/zinc/72/22/89/1071722289.db2.gz AKSCFIBBEAOTPS-UHFFFAOYSA-N 0 0 432.572 -0.982 20 0 IBADRN CS(=O)(=O)c1csc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000503432641 1071723000 /nfs/dbraw/zinc/72/30/00/1071723000.db2.gz WTYUMPFQSICZBA-UHFFFAOYSA-N 0 0 437.565 -0.077 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3CC(=O)N3CCN(C(=O)C4CC4)CC3)no2)cn1 ZINC000503437118 1071722829 /nfs/dbraw/zinc/72/28/29/1071722829.db2.gz YAHXCTREKJZFEI-INIZCTEOSA-N 0 0 429.481 -0.076 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3CC(=O)N3CCN(C(=O)C4CC4)CC3)no2)cn1 ZINC000503437120 1071722896 /nfs/dbraw/zinc/72/28/96/1071722896.db2.gz YAHXCTREKJZFEI-MRXNPFEDSA-N 0 0 429.481 -0.076 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)nc1 ZINC000503437127 1071722943 /nfs/dbraw/zinc/72/29/43/1071722943.db2.gz WYHVXYWNZHNZRL-UHFFFAOYSA-N 0 0 428.497 -0.203 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000503440403 1071722902 /nfs/dbraw/zinc/72/29/02/1071722902.db2.gz BSNZWEXRDFHYQX-LLVKDONJSA-N 0 0 445.548 -0.106 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000503440416 1071722971 /nfs/dbraw/zinc/72/29/71/1071722971.db2.gz BSNZWEXRDFHYQX-NSHDSACASA-N 0 0 445.548 -0.106 20 0 IBADRN Cn1c2ncc(NC(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)cc2c(=O)n(C)c1=O ZINC000503440880 1071722936 /nfs/dbraw/zinc/72/29/36/1071722936.db2.gz VZLXZIDOWPPADI-UHFFFAOYSA-N 0 0 438.448 -0.520 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000503442747 1071722862 /nfs/dbraw/zinc/72/28/62/1071722862.db2.gz MCCURQLBDZLOTG-CYBMUJFWSA-N 0 0 442.519 -0.616 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000503442752 1071722961 /nfs/dbraw/zinc/72/29/61/1071722961.db2.gz MCCURQLBDZLOTG-ZDUSSCGKSA-N 0 0 442.519 -0.616 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000503447073 1071722981 /nfs/dbraw/zinc/72/29/81/1071722981.db2.gz OGJRUEKXHAIYKU-UHFFFAOYSA-N 0 0 428.492 -0.082 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000503452616 1071722848 /nfs/dbraw/zinc/72/28/48/1071722848.db2.gz DCJZNVUWYRHLDM-CYBMUJFWSA-N 0 0 447.535 -0.093 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000503452617 1071722977 /nfs/dbraw/zinc/72/29/77/1071722977.db2.gz DCJZNVUWYRHLDM-ZDUSSCGKSA-N 0 0 447.535 -0.093 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N[C@H]1CCS(=O)(=O)C1 ZINC000503461632 1071723336 /nfs/dbraw/zinc/72/33/36/1071723336.db2.gz CEVYCRDZCARSQV-INIZCTEOSA-N 0 0 431.536 -0.165 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000503461633 1071723410 /nfs/dbraw/zinc/72/34/10/1071723410.db2.gz CEVYCRDZCARSQV-MRXNPFEDSA-N 0 0 431.536 -0.165 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)c1 ZINC000503465299 1072440041 /nfs/dbraw/zinc/44/00/41/1072440041.db2.gz SMABOIOIYYSVKX-CYBMUJFWSA-N 0 0 433.498 -0.831 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)c1 ZINC000503465306 1072440014 /nfs/dbraw/zinc/44/00/14/1072440014.db2.gz SMABOIOIYYSVKX-ZDUSSCGKSA-N 0 0 433.498 -0.831 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cn4c(n3)CCCC4)CC2)C[C@H](C)O1 ZINC000503473930 1071723404 /nfs/dbraw/zinc/72/34/04/1071723404.db2.gz IAVJUBKOVCORRA-GASCZTMLSA-N 0 0 447.583 -0.120 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cn4c(n3)CCCC4)CC2)C[C@H](C)O1 ZINC000503473935 1071723344 /nfs/dbraw/zinc/72/33/44/1071723344.db2.gz IAVJUBKOVCORRA-GJZGRUSLSA-N 0 0 447.583 -0.120 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cn4c(n3)CCCC4)CC2)C[C@@H](C)O1 ZINC000503473938 1071723363 /nfs/dbraw/zinc/72/33/63/1071723363.db2.gz IAVJUBKOVCORRA-HUUCEWRRSA-N 0 0 447.583 -0.120 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cn2c(n1)CCCC2 ZINC000503477639 1071723330 /nfs/dbraw/zinc/72/33/30/1071723330.db2.gz MEWQBUCFIQEMMJ-UHFFFAOYSA-N 0 0 433.556 -0.461 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)N3CCN(C(=O)CO)CC3)c2)CC1 ZINC000503478939 1071723431 /nfs/dbraw/zinc/72/34/31/1071723431.db2.gz JZLIZHFWSJBSBA-UHFFFAOYSA-N 0 0 439.538 -0.319 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H](C(C)C)N3CCCS3(=O)=O)CC2)cn1C ZINC000503480024 1071723761 /nfs/dbraw/zinc/72/37/61/1071723761.db2.gz RBZADAGQNKUZMQ-INIZCTEOSA-N 0 0 447.583 -0.378 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H](C(C)C)N3CCCS3(=O)=O)CC2)cn1C ZINC000503480025 1071723706 /nfs/dbraw/zinc/72/37/06/1071723706.db2.gz RBZADAGQNKUZMQ-MRXNPFEDSA-N 0 0 447.583 -0.378 20 0 IBADRN Cn1c2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)ccc2[nH]c1=O ZINC000503483181 1071723844 /nfs/dbraw/zinc/72/38/44/1071723844.db2.gz AQOSTIWTJOJPRH-AWEZNQCLSA-N 0 0 435.506 -0.660 20 0 IBADRN Cn1c2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)ccc2[nH]c1=O ZINC000503483184 1071723663 /nfs/dbraw/zinc/72/36/63/1071723663.db2.gz AQOSTIWTJOJPRH-CQSZACIVSA-N 0 0 435.506 -0.660 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000503484790 1071723793 /nfs/dbraw/zinc/72/37/93/1071723793.db2.gz DMJMKGVJNHHUKP-UHFFFAOYSA-N 0 0 425.511 -0.980 20 0 IBADRN CN(C)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000503485538 1071723686 /nfs/dbraw/zinc/72/36/86/1071723686.db2.gz ITEKHNZEGDZORM-AWEZNQCLSA-N 0 0 426.514 -0.047 20 0 IBADRN CN(C)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000503485540 1071723819 /nfs/dbraw/zinc/72/38/19/1071723819.db2.gz ITEKHNZEGDZORM-CQSZACIVSA-N 0 0 426.514 -0.047 20 0 IBADRN C[C@@H]1CCc2c(F)cccc2[C@H]1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000503486502 1071723785 /nfs/dbraw/zinc/72/37/85/1071723785.db2.gz JRZPRBWVHNDHTQ-ACJLOTCBSA-N 0 0 429.456 -0.077 20 0 IBADRN C[C@@H]1CCc2c(F)cccc2[C@@H]1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000503486503 1071723748 /nfs/dbraw/zinc/72/37/48/1071723748.db2.gz JRZPRBWVHNDHTQ-FZKQIMNGSA-N 0 0 429.456 -0.077 20 0 IBADRN C[C@H]1CCc2c(F)cccc2[C@@H]1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000503486504 1071723806 /nfs/dbraw/zinc/72/38/06/1071723806.db2.gz JRZPRBWVHNDHTQ-SCLBCKFNSA-N 0 0 429.456 -0.077 20 0 IBADRN C[C@H]1CCc2c(F)cccc2[C@H]1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000503486505 1071723675 /nfs/dbraw/zinc/72/36/75/1071723675.db2.gz JRZPRBWVHNDHTQ-UGSOOPFHSA-N 0 0 429.456 -0.077 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000503491894 1071723351 /nfs/dbraw/zinc/72/33/51/1071723351.db2.gz UFDIZIFMSNVNHO-CYBMUJFWSA-N 0 0 447.535 -0.093 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000503491896 1071723367 /nfs/dbraw/zinc/72/33/67/1071723367.db2.gz UFDIZIFMSNVNHO-ZDUSSCGKSA-N 0 0 447.535 -0.093 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000503492109 1071723400 /nfs/dbraw/zinc/72/34/00/1071723400.db2.gz RNQYIAPTEVZXSO-GFCCVEGCSA-N 0 0 444.535 -0.370 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000503492110 1071723385 /nfs/dbraw/zinc/72/33/85/1071723385.db2.gz RNQYIAPTEVZXSO-LBPRGKRZSA-N 0 0 444.535 -0.370 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000503493731 1071724306 /nfs/dbraw/zinc/72/43/06/1071724306.db2.gz UMMUSFZXCKPIOQ-MCIONIFRSA-N 0 0 426.561 -0.899 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000503493736 1071724271 /nfs/dbraw/zinc/72/42/71/1071724271.db2.gz UMMUSFZXCKPIOQ-MELADBBJSA-N 0 0 426.561 -0.899 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000503493737 1071724346 /nfs/dbraw/zinc/72/43/46/1071724346.db2.gz UMMUSFZXCKPIOQ-MGPQQGTHSA-N 0 0 426.561 -0.899 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000503493738 1071724224 /nfs/dbraw/zinc/72/42/24/1071724224.db2.gz UMMUSFZXCKPIOQ-RDBSUJKOSA-N 0 0 426.561 -0.899 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nnn1CCOc1ccc(F)cc1 ZINC000503494058 1071724374 /nfs/dbraw/zinc/72/43/74/1071724374.db2.gz OWFCWIYLBNICCU-UHFFFAOYSA-N 0 0 443.443 -0.224 20 0 IBADRN O=C(Cn1c(=O)[nH]c(=O)c2ccccc21)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000503499085 1071724172 /nfs/dbraw/zinc/72/41/72/1071724172.db2.gz BRSLHCQQKZQMBA-AWEZNQCLSA-N 0 0 436.490 -0.245 20 0 IBADRN O=C(Cn1c(=O)[nH]c(=O)c2ccccc21)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000503499086 1071724361 /nfs/dbraw/zinc/72/43/61/1071724361.db2.gz BRSLHCQQKZQMBA-CQSZACIVSA-N 0 0 436.490 -0.245 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000503502190 1071724299 /nfs/dbraw/zinc/72/42/99/1071724299.db2.gz OKPCPPSCIZCKNC-GFCCVEGCSA-N 0 0 431.536 -0.118 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1cccc2c1CCN(S(C)(=O)=O)C2 ZINC000503502191 1071724357 /nfs/dbraw/zinc/72/43/57/1071724357.db2.gz OKPCPPSCIZCKNC-LBPRGKRZSA-N 0 0 431.536 -0.118 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn4c(n3)CCCC4)CC2)o1 ZINC000503506091 1071724317 /nfs/dbraw/zinc/72/43/17/1071724317.db2.gz OTNHWUHCDQPZKI-UHFFFAOYSA-N 0 0 443.507 -0.394 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1 ZINC000503511277 1071724333 /nfs/dbraw/zinc/72/43/33/1071724333.db2.gz UIOZCRUWQXKVND-UHFFFAOYSA-N 0 0 439.519 -0.055 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O ZINC000503515224 1071724691 /nfs/dbraw/zinc/72/46/91/1071724691.db2.gz GJDWXNJOPASIKQ-CYBMUJFWSA-N 0 0 447.535 -0.496 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000503515225 1071724812 /nfs/dbraw/zinc/72/48/12/1071724812.db2.gz GJDWXNJOPASIKQ-ZDUSSCGKSA-N 0 0 447.535 -0.496 20 0 IBADRN COC(=O)c1ccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)nc1C1CC1 ZINC000503516168 1071724874 /nfs/dbraw/zinc/72/48/74/1071724874.db2.gz LQWBLOOTMUHUMI-UHFFFAOYSA-N 0 0 426.433 -0.191 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000503522469 1071724642 /nfs/dbraw/zinc/72/46/42/1071724642.db2.gz VSHHABXRKNQABT-LLVKDONJSA-N 0 0 434.540 -0.509 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000503522470 1071724832 /nfs/dbraw/zinc/72/48/32/1071724832.db2.gz VSHHABXRKNQABT-NSHDSACASA-N 0 0 434.540 -0.509 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000503527678 1071724163 /nfs/dbraw/zinc/72/41/63/1071724163.db2.gz MRZOUERKDCTKPS-BBRMVZONSA-N 0 0 439.490 -0.811 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000503527679 1071724633 /nfs/dbraw/zinc/72/46/33/1071724633.db2.gz MRZOUERKDCTKPS-CJNGLKHVSA-N 0 0 439.490 -0.811 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000503527680 1071724654 /nfs/dbraw/zinc/72/46/54/1071724654.db2.gz MRZOUERKDCTKPS-CZUORRHYSA-N 0 0 439.490 -0.811 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000503527681 1071724840 /nfs/dbraw/zinc/72/48/40/1071724840.db2.gz MRZOUERKDCTKPS-XJKSGUPXSA-N 0 0 439.490 -0.811 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000503529121 1071724803 /nfs/dbraw/zinc/72/48/03/1071724803.db2.gz YDLNZNHPHMWFKX-HNNXBMFYSA-N 0 0 439.490 -0.202 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000503529122 1071724822 /nfs/dbraw/zinc/72/48/22/1071724822.db2.gz YDLNZNHPHMWFKX-OAHLLOKOSA-N 0 0 439.490 -0.202 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000503532378 1071725212 /nfs/dbraw/zinc/72/52/12/1071725212.db2.gz LRPBXNIZXYECLL-CYBMUJFWSA-N 0 0 446.551 -0.890 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000503532379 1071725379 /nfs/dbraw/zinc/72/53/79/1071725379.db2.gz LRPBXNIZXYECLL-ZDUSSCGKSA-N 0 0 446.551 -0.890 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000503537971 1071725221 /nfs/dbraw/zinc/72/52/21/1071725221.db2.gz ULVQUURIYIMWGD-CYBMUJFWSA-N 0 0 447.535 -0.415 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000503537972 1071725198 /nfs/dbraw/zinc/72/51/98/1071725198.db2.gz ULVQUURIYIMWGD-ZDUSSCGKSA-N 0 0 447.535 -0.415 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000503538725 1071725341 /nfs/dbraw/zinc/72/53/41/1071725341.db2.gz ZLNCXVJOPLDATE-AWEZNQCLSA-N 0 0 425.507 -0.034 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000503538726 1071725374 /nfs/dbraw/zinc/72/53/74/1071725374.db2.gz ZLNCXVJOPLDATE-CQSZACIVSA-N 0 0 425.507 -0.034 20 0 IBADRN COC(=O)c1ccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)nc1C1CC1 ZINC000503541111 1071725281 /nfs/dbraw/zinc/72/52/81/1071725281.db2.gz DMGPCDIRTHCMHA-UHFFFAOYSA-N 0 0 426.433 -0.191 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000503541289 1071725271 /nfs/dbraw/zinc/72/52/71/1071725271.db2.gz GVVZQVLCQQNGMU-AWEZNQCLSA-N 0 0 440.522 -0.165 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000503541290 1071725349 /nfs/dbraw/zinc/72/53/49/1071725349.db2.gz GVVZQVLCQQNGMU-CQSZACIVSA-N 0 0 440.522 -0.165 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000503542591 1072441404 /nfs/dbraw/zinc/44/14/04/1072441404.db2.gz YJBPNMUJVAFZMF-HNNXBMFYSA-N 0 0 438.550 -0.119 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000503542592 1072441292 /nfs/dbraw/zinc/44/12/92/1072441292.db2.gz YJBPNMUJVAFZMF-OAHLLOKOSA-N 0 0 438.550 -0.119 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000503544082 1071725355 /nfs/dbraw/zinc/72/53/55/1071725355.db2.gz ASNLQVDIGRCMES-INIZCTEOSA-N 0 0 432.502 -0.025 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000503544083 1071725322 /nfs/dbraw/zinc/72/53/22/1071725322.db2.gz ASNLQVDIGRCMES-MRXNPFEDSA-N 0 0 432.502 -0.025 20 0 IBADRN CS(=O)(=O)Nc1cncc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000503544272 1071725227 /nfs/dbraw/zinc/72/52/27/1071725227.db2.gz CCUYKJIODWBNBL-INIZCTEOSA-N 0 0 433.490 -0.214 20 0 IBADRN CS(=O)(=O)Nc1cncc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000503544273 1071725293 /nfs/dbraw/zinc/72/52/93/1071725293.db2.gz CCUYKJIODWBNBL-MRXNPFEDSA-N 0 0 433.490 -0.214 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)cn1 ZINC000503545234 1071725331 /nfs/dbraw/zinc/72/53/31/1071725331.db2.gz RRJAYZHBZNGQQP-UHFFFAOYSA-N 0 0 442.523 -0.234 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000503550967 1071725760 /nfs/dbraw/zinc/72/57/60/1071725760.db2.gz NLHRLZMZOKJNRB-UHFFFAOYSA-N 0 0 444.535 -0.141 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000503555947 1071725808 /nfs/dbraw/zinc/72/58/08/1071725808.db2.gz AWQLVKFQJFBAAU-JTQLQIEISA-N 0 0 433.508 -0.376 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O ZINC000503555953 1072441397 /nfs/dbraw/zinc/44/13/97/1072441397.db2.gz AWQLVKFQJFBAAU-SNVBAGLBSA-N 0 0 433.508 -0.376 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000503558433 1071725752 /nfs/dbraw/zinc/72/57/52/1071725752.db2.gz SEBAWGHPSWFHFH-CHWSQXEVSA-N 0 0 449.551 -0.122 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000503558434 1071725803 /nfs/dbraw/zinc/72/58/03/1071725803.db2.gz SEBAWGHPSWFHFH-OLZOCXBDSA-N 0 0 449.551 -0.122 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000503558435 1071725797 /nfs/dbraw/zinc/72/57/97/1071725797.db2.gz SEBAWGHPSWFHFH-QWHCGFSZSA-N 0 0 449.551 -0.122 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000503558436 1071725837 /nfs/dbraw/zinc/72/58/37/1071725837.db2.gz SEBAWGHPSWFHFH-STQMWFEESA-N 0 0 449.551 -0.122 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2cncc(NS(C)(=O)=O)c2)CC1 ZINC000503560792 1071725305 /nfs/dbraw/zinc/72/53/05/1071725305.db2.gz BSRWIWBNQNCYIW-UHFFFAOYSA-N 0 0 434.540 -0.034 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000503562968 1071725701 /nfs/dbraw/zinc/72/57/01/1071725701.db2.gz OHEQTBVBUDCLPF-LLVKDONJSA-N 0 0 435.524 -0.177 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000503562969 1071725816 /nfs/dbraw/zinc/72/58/16/1071725816.db2.gz OHEQTBVBUDCLPF-NSHDSACASA-N 0 0 435.524 -0.177 20 0 IBADRN COC(=O)c1cc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1OC ZINC000503564862 1071725713 /nfs/dbraw/zinc/72/57/13/1071725713.db2.gz GGVKEABDQMVSQG-UHFFFAOYSA-N 0 0 448.476 -0.306 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000503569895 1071725779 /nfs/dbraw/zinc/72/57/79/1071725779.db2.gz YRPZJPMMOVPGFE-CYBMUJFWSA-N 0 0 447.535 -0.415 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000503569896 1071725731 /nfs/dbraw/zinc/72/57/31/1071725731.db2.gz YRPZJPMMOVPGFE-ZDUSSCGKSA-N 0 0 447.535 -0.415 20 0 IBADRN CCOC(=O)c1cnn(-c2ccccc2)c1NC(=O)CN1CCN(S(N)(=O)=O)CC1 ZINC000503575635 1071725792 /nfs/dbraw/zinc/72/57/92/1071725792.db2.gz HSJWLBJIBKJBSY-UHFFFAOYSA-N 0 0 436.494 -0.191 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000503575677 1071725770 /nfs/dbraw/zinc/72/57/70/1071725770.db2.gz IKTJHRUCWWKOEP-GFCCVEGCSA-N 0 0 448.567 -0.119 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000503575678 1071725822 /nfs/dbraw/zinc/72/58/22/1071725822.db2.gz IKTJHRUCWWKOEP-LBPRGKRZSA-N 0 0 448.567 -0.119 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000503577056 1071725829 /nfs/dbraw/zinc/72/58/29/1071725829.db2.gz UMISRRJDCQWFPJ-CYBMUJFWSA-N 0 0 446.551 -0.890 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000503577057 1071726307 /nfs/dbraw/zinc/72/63/07/1071726307.db2.gz UMISRRJDCQWFPJ-ZDUSSCGKSA-N 0 0 446.551 -0.890 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC(F)(F)F)CC2)cc1 ZINC000503577181 1071726137 /nfs/dbraw/zinc/72/61/37/1071726137.db2.gz KEFJQENNELKSEU-UHFFFAOYSA-N 0 0 430.430 -0.088 20 0 IBADRN O=C(NC[C@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000503577440 1071725740 /nfs/dbraw/zinc/72/57/40/1071725740.db2.gz MGPYILPOGVFREV-HNNXBMFYSA-N 0 0 426.495 -0.269 20 0 IBADRN O=C(NC[C@@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000503577441 1071725846 /nfs/dbraw/zinc/72/58/46/1071725846.db2.gz MGPYILPOGVFREV-OAHLLOKOSA-N 0 0 426.495 -0.269 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@@H](c3nnc4n3CCC4)C2)CC1)N1CCOCC1 ZINC000503578849 1071726206 /nfs/dbraw/zinc/72/62/06/1071726206.db2.gz XKJMAQHNHVOKIX-GOSISDBHSA-N 0 0 445.568 -0.593 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@H](c3nnc4n3CCC4)C2)CC1)N1CCOCC1 ZINC000503578850 1071726257 /nfs/dbraw/zinc/72/62/57/1071726257.db2.gz XKJMAQHNHVOKIX-SFHVURJKSA-N 0 0 445.568 -0.593 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000503582705 1071726667 /nfs/dbraw/zinc/72/66/67/1071726667.db2.gz FRHZQZJKIMVMCR-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CCO1 ZINC000503589502 1071726165 /nfs/dbraw/zinc/72/61/65/1071726165.db2.gz BQPJIMRKMARJML-AWEZNQCLSA-N 0 0 429.451 -0.716 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CCO1 ZINC000503589503 1071726298 /nfs/dbraw/zinc/72/62/98/1071726298.db2.gz BQPJIMRKMARJML-CQSZACIVSA-N 0 0 429.451 -0.716 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CCO1 ZINC000503592258 1071726304 /nfs/dbraw/zinc/72/63/04/1071726304.db2.gz YIYRSONEOWRYBA-AWEZNQCLSA-N 0 0 438.462 -0.504 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CCO1 ZINC000503592259 1071726200 /nfs/dbraw/zinc/72/62/00/1071726200.db2.gz YIYRSONEOWRYBA-CQSZACIVSA-N 0 0 438.462 -0.504 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CCO1 ZINC000503592267 1071726144 /nfs/dbraw/zinc/72/61/44/1071726144.db2.gz YKUFWEUBCXRBOQ-AWEZNQCLSA-N 0 0 429.426 -0.296 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CCO1 ZINC000503592268 1071726225 /nfs/dbraw/zinc/72/62/25/1071726225.db2.gz YKUFWEUBCXRBOQ-CQSZACIVSA-N 0 0 429.426 -0.296 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000503592310 1071726229 /nfs/dbraw/zinc/72/62/29/1071726229.db2.gz YXZPTJFPMQHCDC-KRWDZBQOSA-N 0 0 432.477 -0.658 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000503592311 1071726271 /nfs/dbraw/zinc/72/62/71/1071726271.db2.gz YXZPTJFPMQHCDC-QGZVFWFLSA-N 0 0 432.477 -0.658 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCC1 ZINC000503595066 1071726284 /nfs/dbraw/zinc/72/62/84/1071726284.db2.gz VDVJUUUSQGIWME-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCO1 ZINC000503595957 1071726148 /nfs/dbraw/zinc/72/61/48/1071726148.db2.gz LOLPKJCWDSXOHL-HNNXBMFYSA-N 0 0 425.463 -0.657 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CCO1 ZINC000503595958 1071726683 /nfs/dbraw/zinc/72/66/83/1071726683.db2.gz LOLPKJCWDSXOHL-OAHLLOKOSA-N 0 0 425.463 -0.657 20 0 IBADRN NC(=O)CCn1c(C2CC2)nnc1S(=O)(=O)CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000503598822 1071726760 /nfs/dbraw/zinc/72/67/60/1071726760.db2.gz ZREBKESSBYRXGE-CYBMUJFWSA-N 0 0 449.489 -0.239 20 0 IBADRN NC(=O)CCn1c(C2CC2)nnc1S(=O)(=O)CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000503598823 1071726800 /nfs/dbraw/zinc/72/68/00/1071726800.db2.gz ZREBKESSBYRXGE-ZDUSSCGKSA-N 0 0 449.489 -0.239 20 0 IBADRN O=C(NCc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000503599314 1071726771 /nfs/dbraw/zinc/72/67/71/1071726771.db2.gz JCLLTSUZWPTKEP-UHFFFAOYSA-N 0 0 426.495 -0.138 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000503600427 1071727215 /nfs/dbraw/zinc/72/72/15/1071727215.db2.gz CRSGFIGWJJMUGO-GFCCVEGCSA-N 0 0 433.870 -0.071 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000503600428 1071727740 /nfs/dbraw/zinc/72/77/40/1071727740.db2.gz CRSGFIGWJJMUGO-LBPRGKRZSA-N 0 0 433.870 -0.071 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CCO1 ZINC000503600459 1071727711 /nfs/dbraw/zinc/72/77/11/1071727711.db2.gz DHDPQGYXOCVPGG-INIZCTEOSA-N 0 0 445.476 -0.521 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CCO1 ZINC000503600460 1071727585 /nfs/dbraw/zinc/72/75/85/1071727585.db2.gz DHDPQGYXOCVPGG-MRXNPFEDSA-N 0 0 445.476 -0.521 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000503602176 1071727578 /nfs/dbraw/zinc/72/75/78/1071727578.db2.gz RWBFTAUKKKXIDZ-DLBZAZTESA-N 0 0 447.444 -0.960 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000503602177 1071727646 /nfs/dbraw/zinc/72/76/46/1071727646.db2.gz RWBFTAUKKKXIDZ-IAGOWNOFSA-N 0 0 447.444 -0.960 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000503602178 1071727543 /nfs/dbraw/zinc/72/75/43/1071727543.db2.gz RWBFTAUKKKXIDZ-IRXDYDNUSA-N 0 0 447.444 -0.960 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000503602179 1071727703 /nfs/dbraw/zinc/72/77/03/1071727703.db2.gz RWBFTAUKKKXIDZ-SJORKVTESA-N 0 0 447.444 -0.960 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000503605737 1071726702 /nfs/dbraw/zinc/72/67/02/1071726702.db2.gz ARZPCJUOLJKCCI-UHFFFAOYSA-N 0 0 434.474 -0.014 20 0 IBADRN O=C(CO)N1CCN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000503607480 1071726778 /nfs/dbraw/zinc/72/67/78/1071726778.db2.gz DUGMXHOIVMEZAB-UHFFFAOYSA-N 0 0 430.458 -0.485 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000503607558 1071726805 /nfs/dbraw/zinc/72/68/05/1071726805.db2.gz IRJYUQDNDPPNOZ-CYBMUJFWSA-N 0 0 428.471 -0.674 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000503607559 1071726766 /nfs/dbraw/zinc/72/67/66/1071726766.db2.gz IRJYUQDNDPPNOZ-ZDUSSCGKSA-N 0 0 428.471 -0.674 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc1S(=O)(=O)N(C)C ZINC000503608269 1071726747 /nfs/dbraw/zinc/72/67/47/1071726747.db2.gz ICWGSRFNFUEDKS-AWEZNQCLSA-N 0 0 443.478 -0.326 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc1S(=O)(=O)N(C)C ZINC000503608270 1071726691 /nfs/dbraw/zinc/72/66/91/1071726691.db2.gz ICWGSRFNFUEDKS-CQSZACIVSA-N 0 0 443.478 -0.326 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000503609580 1071726657 /nfs/dbraw/zinc/72/66/57/1071726657.db2.gz KSRVJGCFQFKESA-HNNXBMFYSA-N 0 0 441.462 -0.954 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000503609581 1071726797 /nfs/dbraw/zinc/72/67/97/1071726797.db2.gz KSRVJGCFQFKESA-OAHLLOKOSA-N 0 0 441.462 -0.954 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CCO1 ZINC000503609590 1071727250 /nfs/dbraw/zinc/72/72/50/1071727250.db2.gz KVMCZQKOBWMESO-HNNXBMFYSA-N 0 0 425.463 -0.190 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CCO1 ZINC000503609591 1071727104 /nfs/dbraw/zinc/72/71/04/1071727104.db2.gz KVMCZQKOBWMESO-OAHLLOKOSA-N 0 0 425.463 -0.190 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000503609653 1071727239 /nfs/dbraw/zinc/72/72/39/1071727239.db2.gz LMJVFOUWHKPVJF-INIZCTEOSA-N 0 0 435.433 -0.494 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000503609654 1071727141 /nfs/dbraw/zinc/72/71/41/1071727141.db2.gz LMJVFOUWHKPVJF-MRXNPFEDSA-N 0 0 435.433 -0.494 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000503611909 1071727130 /nfs/dbraw/zinc/72/71/30/1071727130.db2.gz PYIJUVDTKHXIBH-HNNXBMFYSA-N 0 0 441.462 -0.954 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000503611911 1071727181 /nfs/dbraw/zinc/72/71/81/1071727181.db2.gz PYIJUVDTKHXIBH-OAHLLOKOSA-N 0 0 441.462 -0.954 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCN2CCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000503615029 1071727167 /nfs/dbraw/zinc/72/71/67/1071727167.db2.gz LTJNASWGGHVILT-UHFFFAOYSA-N 0 0 440.522 -0.133 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000503615703 1071727108 /nfs/dbraw/zinc/72/71/08/1071727108.db2.gz ZEMRVNKOFVKSBP-KFWWJZLASA-N 0 0 440.588 -0.509 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000503615711 1071727234 /nfs/dbraw/zinc/72/72/34/1071727234.db2.gz ZEMRVNKOFVKSBP-KKUMJFAQSA-N 0 0 440.588 -0.509 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000503615714 1071727198 /nfs/dbraw/zinc/72/71/98/1071727198.db2.gz ZEMRVNKOFVKSBP-RRFJBIMHSA-N 0 0 440.588 -0.509 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000503615718 1071727245 /nfs/dbraw/zinc/72/72/45/1071727245.db2.gz ZEMRVNKOFVKSBP-SOUVJXGZSA-N 0 0 440.588 -0.509 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1)C1CCCCC1 ZINC000503616217 1071727222 /nfs/dbraw/zinc/72/72/22/1071727222.db2.gz ULRQRCPPMIIWFO-UHFFFAOYSA-N 0 0 433.513 -0.758 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCN1CCC1 ZINC000503616487 1071727149 /nfs/dbraw/zinc/72/71/49/1071727149.db2.gz OSPLGELDTUQLAC-UHFFFAOYSA-N 0 0 439.538 -0.608 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCN(C(=O)CO)CC3)c2)CC1 ZINC000503618140 1071728464 /nfs/dbraw/zinc/72/84/64/1071728464.db2.gz YSRYVHUGMSWRED-UHFFFAOYSA-N 0 0 425.511 -0.709 20 0 IBADRN COCCCN1C(=O)N=NC1S(=O)(=O)CC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000503619910 1071727746 /nfs/dbraw/zinc/72/77/46/1071727746.db2.gz UXVGGUOSIMKBLN-GFCCVEGCSA-N 0 0 426.451 -0.250 20 0 IBADRN COCCCN1C(=O)N=NC1S(=O)(=O)CC(=O)NC[C@H]1COc2ccccc2O1 ZINC000503619911 1071727555 /nfs/dbraw/zinc/72/75/55/1071727555.db2.gz UXVGGUOSIMKBLN-LBPRGKRZSA-N 0 0 426.451 -0.250 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CCO1 ZINC000503624832 1071727663 /nfs/dbraw/zinc/72/76/63/1071727663.db2.gz AZORKGQWKCYKRR-KRWDZBQOSA-N 0 0 448.476 -0.578 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CCO1 ZINC000503624833 1071727676 /nfs/dbraw/zinc/72/76/76/1071727676.db2.gz AZORKGQWKCYKRR-QGZVFWFLSA-N 0 0 448.476 -0.578 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)CCO1 ZINC000503626033 1071728082 /nfs/dbraw/zinc/72/80/82/1071728082.db2.gz IIIFSYSLLCASBW-INIZCTEOSA-N 0 0 439.428 -0.117 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)CCO1 ZINC000503626034 1071728140 /nfs/dbraw/zinc/72/81/40/1071728140.db2.gz IIIFSYSLLCASBW-MRXNPFEDSA-N 0 0 439.428 -0.117 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCO1 ZINC000503626690 1071728221 /nfs/dbraw/zinc/72/82/21/1071728221.db2.gz JXRNGBDIRHOESH-HNNXBMFYSA-N 0 0 442.494 -0.658 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCO1 ZINC000503626693 1071728125 /nfs/dbraw/zinc/72/81/25/1071728125.db2.gz JXRNGBDIRHOESH-OAHLLOKOSA-N 0 0 442.494 -0.658 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CCO1 ZINC000503627645 1071728090 /nfs/dbraw/zinc/72/80/90/1071728090.db2.gz MVFBHPYAVRJYQA-HNNXBMFYSA-N 0 0 441.462 -0.525 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CCO1 ZINC000503627646 1071728112 /nfs/dbraw/zinc/72/81/12/1071728112.db2.gz MVFBHPYAVRJYQA-OAHLLOKOSA-N 0 0 441.462 -0.525 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CCO1 ZINC000503629155 1071728213 /nfs/dbraw/zinc/72/82/13/1071728213.db2.gz PEUAFPBXIPNGQJ-HNNXBMFYSA-N 0 0 425.463 -0.740 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CCO1 ZINC000503629160 1071728136 /nfs/dbraw/zinc/72/81/36/1071728136.db2.gz PEUAFPBXIPNGQJ-OAHLLOKOSA-N 0 0 425.463 -0.740 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCO1 ZINC000503629709 1071728189 /nfs/dbraw/zinc/72/81/89/1071728189.db2.gz QUPMGASFJMMNHM-KRWDZBQOSA-N 0 0 448.476 -0.913 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCO1 ZINC000503629711 1071728170 /nfs/dbraw/zinc/72/81/70/1071728170.db2.gz QUPMGASFJMMNHM-QGZVFWFLSA-N 0 0 448.476 -0.913 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCO1 ZINC000503631632 1071728206 /nfs/dbraw/zinc/72/82/06/1071728206.db2.gz ZIYFOYCDYOFPHM-INIZCTEOSA-N 0 0 434.449 -0.704 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCO1 ZINC000503631646 1071728154 /nfs/dbraw/zinc/72/81/54/1071728154.db2.gz ZIYFOYCDYOFPHM-MRXNPFEDSA-N 0 0 434.449 -0.704 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc1 ZINC000503631800 1071728097 /nfs/dbraw/zinc/72/80/97/1071728097.db2.gz ZMOQVXIHLMAAMP-DOMZBBRYSA-N 0 0 443.478 -0.661 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc1 ZINC000503631801 1071728183 /nfs/dbraw/zinc/72/81/83/1071728183.db2.gz ZMOQVXIHLMAAMP-IUODEOHRSA-N 0 0 443.478 -0.661 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc1 ZINC000503631802 1071728176 /nfs/dbraw/zinc/72/81/76/1071728176.db2.gz ZMOQVXIHLMAAMP-SWLSCSKDSA-N 0 0 443.478 -0.661 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc1 ZINC000503631803 1071728217 /nfs/dbraw/zinc/72/82/17/1071728217.db2.gz ZMOQVXIHLMAAMP-WFASDCNBSA-N 0 0 443.478 -0.661 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000503632891 1071728689 /nfs/dbraw/zinc/72/86/89/1071728689.db2.gz ZSRJFYKDQVHVMM-UHFFFAOYSA-N 0 0 442.494 -0.616 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(=O)n1C[C@H]1CCCO1)NC[C@@H]1COc2ccccc2O1 ZINC000503635234 1071728720 /nfs/dbraw/zinc/72/87/20/1071728720.db2.gz RTWAYSWZTSOYMA-CHWSQXEVSA-N 0 0 438.462 -0.107 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(=O)n1C[C@H]1CCCO1)NC[C@H]1COc2ccccc2O1 ZINC000503635235 1071728646 /nfs/dbraw/zinc/72/86/46/1071728646.db2.gz RTWAYSWZTSOYMA-OLZOCXBDSA-N 0 0 438.462 -0.107 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(=O)n1C[C@@H]1CCCO1)NC[C@@H]1COc2ccccc2O1 ZINC000503635236 1071728761 /nfs/dbraw/zinc/72/87/61/1071728761.db2.gz RTWAYSWZTSOYMA-QWHCGFSZSA-N 0 0 438.462 -0.107 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(=O)n1C[C@@H]1CCCO1)NC[C@H]1COc2ccccc2O1 ZINC000503635237 1071728516 /nfs/dbraw/zinc/72/85/16/1071728516.db2.gz RTWAYSWZTSOYMA-STQMWFEESA-N 0 0 438.462 -0.107 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000503637228 1072441918 /nfs/dbraw/zinc/44/19/18/1072441918.db2.gz LWNOTIBDOOUBIN-AWEZNQCLSA-N 0 0 442.498 -0.286 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000503637229 1072441842 /nfs/dbraw/zinc/44/18/42/1072441842.db2.gz LWNOTIBDOOUBIN-CQSZACIVSA-N 0 0 442.498 -0.286 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)CCO1 ZINC000503641035 1071728672 /nfs/dbraw/zinc/72/86/72/1071728672.db2.gz DOJPLQKITRPUGQ-INIZCTEOSA-N 0 0 435.433 -0.309 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)CCO1 ZINC000503641037 1071728539 /nfs/dbraw/zinc/72/85/39/1071728539.db2.gz DOJPLQKITRPUGQ-MRXNPFEDSA-N 0 0 435.433 -0.309 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCN(C(=O)CO)CC1 ZINC000503642125 1071728741 /nfs/dbraw/zinc/72/87/41/1071728741.db2.gz IBGYZVZBXYEILV-UHFFFAOYSA-N 0 0 442.494 -0.616 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)CCO1 ZINC000503645629 1071729196 /nfs/dbraw/zinc/72/91/96/1071729196.db2.gz XBHGYLJYNIDEJP-DOMZBBRYSA-N 0 0 430.421 -0.183 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)CCO1 ZINC000503645633 1071729275 /nfs/dbraw/zinc/72/92/75/1071729275.db2.gz XBHGYLJYNIDEJP-IUODEOHRSA-N 0 0 430.421 -0.183 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CCO1 ZINC000503645635 1071729169 /nfs/dbraw/zinc/72/91/69/1071729169.db2.gz XBHGYLJYNIDEJP-SWLSCSKDSA-N 0 0 430.421 -0.183 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CCO1 ZINC000503645637 1071729091 /nfs/dbraw/zinc/72/90/91/1071729091.db2.gz XBHGYLJYNIDEJP-WFASDCNBSA-N 0 0 430.421 -0.183 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccc4c(c3)OCCO4)CC2)CC1 ZINC000503653312 1071730180 /nfs/dbraw/zinc/73/01/80/1071730180.db2.gz OZISHXANOCCKSW-UHFFFAOYSA-N 0 0 439.538 -0.223 20 0 IBADRN Cc1c(C(=O)NCC(=O)NCCN2CCOCC2)nnn1CCOc1ccc(F)cc1 ZINC000503655872 1071729317 /nfs/dbraw/zinc/72/93/17/1071729317.db2.gz WCJBUJNVPILUAK-UHFFFAOYSA-N 0 0 434.472 -0.017 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCC(=O)Nc3ccccc3)CC2)CC1 ZINC000503656336 1071730279 /nfs/dbraw/zinc/73/02/79/1071730279.db2.gz SSPPTMFWIVIFHT-UHFFFAOYSA-N 0 0 438.554 -0.165 20 0 IBADRN O=C(CCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1ccccc1 ZINC000503656722 1071729279 /nfs/dbraw/zinc/72/92/79/1071729279.db2.gz YVDVAAIGLPTUQY-UHFFFAOYSA-N 0 0 425.511 -0.081 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)c1 ZINC000503657345 1071729306 /nfs/dbraw/zinc/72/93/06/1071729306.db2.gz DSADSNSYPUHZQR-UHFFFAOYSA-N 0 0 426.543 -0.755 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)c1 ZINC000503657378 1071729245 /nfs/dbraw/zinc/72/92/45/1071729245.db2.gz FGJXNDYVDGOEEA-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC2CCC(C(=O)N3CCSCC3)CC2)c1 ZINC000503657661 1071729579 /nfs/dbraw/zinc/72/95/79/1071729579.db2.gz GKBOVTDGBUENGD-UHFFFAOYSA-N 0 0 429.568 -0.051 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000503657770 1071729735 /nfs/dbraw/zinc/72/97/35/1071729735.db2.gz FXZPMMXSAPMXAM-UHFFFAOYSA-N 0 0 429.543 -0.132 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000503657876 1071729299 /nfs/dbraw/zinc/72/92/99/1071729299.db2.gz IAPCMQXEMBUTSP-HNNXBMFYSA-N 0 0 426.543 -0.756 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000503657881 1071729620 /nfs/dbraw/zinc/72/96/20/1071729620.db2.gz IAPCMQXEMBUTSP-OAHLLOKOSA-N 0 0 426.543 -0.756 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)c1 ZINC000503657984 1071729289 /nfs/dbraw/zinc/72/92/89/1071729289.db2.gz GJRRWKRNIDBCMK-UHFFFAOYSA-N 0 0 427.433 -0.039 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC000503658639 1071729127 /nfs/dbraw/zinc/72/91/27/1071729127.db2.gz JFLQJXHEBRKFGX-UHFFFAOYSA-N 0 0 439.494 -0.462 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)c1 ZINC000503658915 1071729730 /nfs/dbraw/zinc/72/97/30/1071729730.db2.gz NTPRFRIUKOFMJP-UHFFFAOYSA-N 0 0 434.522 -0.447 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)c1 ZINC000503659622 1071729694 /nfs/dbraw/zinc/72/96/94/1071729694.db2.gz QTRVSEIJVGMQMF-UHFFFAOYSA-N 0 0 427.508 -0.345 20 0 IBADRN CN(Cc1ccco1)C(=O)[C@H]1CSCN1C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000503659866 1071729712 /nfs/dbraw/zinc/72/97/12/1071729712.db2.gz PGELMRLBVYONAV-CYBMUJFWSA-N 0 0 427.508 -0.149 20 0 IBADRN CN(Cc1ccco1)C(=O)[C@@H]1CSCN1C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000503659867 1071729632 /nfs/dbraw/zinc/72/96/32/1071729632.db2.gz PGELMRLBVYONAV-ZDUSSCGKSA-N 0 0 427.508 -0.149 20 0 IBADRN CC(C)[C@@H](C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)N1CCCS1(=O)=O ZINC000503660389 1071729671 /nfs/dbraw/zinc/72/96/71/1071729671.db2.gz VMQLCVNDXIULDN-KRWDZBQOSA-N 0 0 438.554 -0.308 20 0 IBADRN CC(C)[C@H](C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)N1CCCS1(=O)=O ZINC000503660394 1071729705 /nfs/dbraw/zinc/72/97/05/1071729705.db2.gz VMQLCVNDXIULDN-QGZVFWFLSA-N 0 0 438.554 -0.308 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)c1 ZINC000503660662 1071729679 /nfs/dbraw/zinc/72/96/79/1071729679.db2.gz UYKPFZVFHXGPGR-UHFFFAOYSA-N 0 0 435.506 -0.285 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C1 ZINC000503660717 1071730171 /nfs/dbraw/zinc/73/01/71/1071730171.db2.gz WQLISDZLXOZMOQ-HOTGVXAUSA-N 0 0 440.570 -0.653 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C1 ZINC000503660718 1071730120 /nfs/dbraw/zinc/73/01/20/1071730120.db2.gz WQLISDZLXOZMOQ-HZPDHXFCSA-N 0 0 440.570 -0.653 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C1 ZINC000503660719 1071730273 /nfs/dbraw/zinc/73/02/73/1071730273.db2.gz WQLISDZLXOZMOQ-IYBDPMFKSA-N 0 0 440.570 -0.653 20 0 IBADRN COc1ccc([C@H](CNC(=O)CNS(=O)(=O)c2cn(C)cn2)N2CCOCC2)cc1 ZINC000503660911 1071729662 /nfs/dbraw/zinc/72/96/62/1071729662.db2.gz VKIKGCPBGHODEM-KRWDZBQOSA-N 0 0 437.522 -0.103 20 0 IBADRN COc1ccc([C@@H](CNC(=O)CNS(=O)(=O)c2cn(C)cn2)N2CCOCC2)cc1 ZINC000503660912 1071729720 /nfs/dbraw/zinc/72/97/20/1071729720.db2.gz VKIKGCPBGHODEM-QGZVFWFLSA-N 0 0 437.522 -0.103 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2ccccc2OCCN2CCOCC2)c1 ZINC000503661089 1071729560 /nfs/dbraw/zinc/72/95/60/1071729560.db2.gz YXIDYNWLHBUTHD-UHFFFAOYSA-N 0 0 437.522 -0.274 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)c1 ZINC000503661243 1071729589 /nfs/dbraw/zinc/72/95/89/1071729589.db2.gz ZZZVBNXXPQSWSB-UHFFFAOYSA-N 0 0 441.535 -0.378 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)c1 ZINC000503661366 1071729543 /nfs/dbraw/zinc/72/95/43/1071729543.db2.gz ZICHJYJIVKJZQF-UHFFFAOYSA-N 0 0 434.522 -0.598 20 0 IBADRN CC(C)[C@H](C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCCS1(=O)=O ZINC000503661393 1071729534 /nfs/dbraw/zinc/72/95/34/1071729534.db2.gz ZRLGPAIIRYRYOI-GOSISDBHSA-N 0 0 436.534 -0.065 20 0 IBADRN CC(C)[C@@H](C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCCS1(=O)=O ZINC000503661394 1071729602 /nfs/dbraw/zinc/72/96/02/1071729602.db2.gz ZRLGPAIIRYRYOI-SFHVURJKSA-N 0 0 436.534 -0.065 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCC1 ZINC000503666850 1071730224 /nfs/dbraw/zinc/73/02/24/1071730224.db2.gz LVKDIHRBPHWEAE-UHFFFAOYSA-N 0 0 440.522 -0.133 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000503668471 1071730757 /nfs/dbraw/zinc/73/07/57/1071730757.db2.gz WRYJTYIITMKJDY-UHFFFAOYSA-N 0 0 430.469 -0.320 20 0 IBADRN O=C(NCCc1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000503670971 1071730094 /nfs/dbraw/zinc/73/00/94/1071730094.db2.gz NAWQUXUNLQEZHY-UHFFFAOYSA-N 0 0 432.477 -0.287 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCc3c(cccc3NS(C)(=O)=O)C2)c1 ZINC000503671639 1071730745 /nfs/dbraw/zinc/73/07/45/1071730745.db2.gz ARXWEOJOUMGTHC-UHFFFAOYSA-N 0 0 427.508 -0.345 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)c1 ZINC000503671778 1071730064 /nfs/dbraw/zinc/73/00/64/1071730064.db2.gz PVSAVONBDANDCB-UHFFFAOYSA-N 0 0 426.499 -0.541 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)c1 ZINC000503673011 1071730287 /nfs/dbraw/zinc/73/02/87/1071730287.db2.gz VPLNTWULCCTSIQ-UHFFFAOYSA-N 0 0 435.506 -0.380 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H](C(C)C)N2CCCS2(=O)=O)CC1 ZINC000503674067 1071730108 /nfs/dbraw/zinc/73/01/08/1071730108.db2.gz PXRZWHWVUQRNEQ-DLBZAZTESA-N 0 0 432.587 -0.268 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@@H](C(C)C)N2CCCS2(=O)=O)CC1 ZINC000503674073 1071730161 /nfs/dbraw/zinc/73/01/61/1071730161.db2.gz PXRZWHWVUQRNEQ-IAGOWNOFSA-N 0 0 432.587 -0.268 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H](C(C)C)N2CCCS2(=O)=O)CC1 ZINC000503674075 1071730194 /nfs/dbraw/zinc/73/01/94/1071730194.db2.gz PXRZWHWVUQRNEQ-IRXDYDNUSA-N 0 0 432.587 -0.268 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@H](C(C)C)N2CCCS2(=O)=O)CC1 ZINC000503674077 1071730214 /nfs/dbraw/zinc/73/02/14/1071730214.db2.gz PXRZWHWVUQRNEQ-SJORKVTESA-N 0 0 432.587 -0.268 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)c1 ZINC000503674707 1071730630 /nfs/dbraw/zinc/73/06/30/1071730630.db2.gz VPPGPNNVGBWQGH-KRWDZBQOSA-N 0 0 446.533 -0.352 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)c1 ZINC000503674708 1071730546 /nfs/dbraw/zinc/73/05/46/1071730546.db2.gz VPPGPNNVGBWQGH-QGZVFWFLSA-N 0 0 446.533 -0.352 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)c1 ZINC000503675044 1071730700 /nfs/dbraw/zinc/73/07/00/1071730700.db2.gz YLXLDMQLRMVPTH-UHFFFAOYSA-N 0 0 437.522 -0.070 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N(CCCN2CCOCC2)Cc2ccncc2)c1 ZINC000503675056 1071730685 /nfs/dbraw/zinc/73/06/85/1071730685.db2.gz YSYPOJNNUGMTPT-UHFFFAOYSA-N 0 0 436.538 -0.156 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)CC1 ZINC000503675439 1071730571 /nfs/dbraw/zinc/73/05/71/1071730571.db2.gz PRNHSVLXOIXUJA-UHFFFAOYSA-N 0 0 440.570 -0.509 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2ccccc2OCC(=O)N2CCOCC2)c1 ZINC000503675654 1071730203 /nfs/dbraw/zinc/73/02/03/1071730203.db2.gz VHWUSSQYSSAHKO-UHFFFAOYSA-N 0 0 437.478 -0.425 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000503675760 1071730663 /nfs/dbraw/zinc/73/06/63/1071730663.db2.gz XMTBBLDLFVBTQA-AWEZNQCLSA-N 0 0 426.543 -0.710 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000503675761 1071730652 /nfs/dbraw/zinc/73/06/52/1071730652.db2.gz XMTBBLDLFVBTQA-CQSZACIVSA-N 0 0 426.543 -0.710 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1cn(C)cn1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000503681168 1071730561 /nfs/dbraw/zinc/73/05/61/1071730561.db2.gz AUIOFKOSYOUPMA-GFCCVEGCSA-N 0 0 429.524 -0.174 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1cn(C)cn1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000503681169 1071730524 /nfs/dbraw/zinc/73/05/24/1071730524.db2.gz AUIOFKOSYOUPMA-LBPRGKRZSA-N 0 0 429.524 -0.174 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)CNS(=O)(=O)c1cn(C)cn1)CC2 ZINC000503681545 1071730589 /nfs/dbraw/zinc/73/05/89/1071730589.db2.gz CAZZXWZIZGZJBS-UHFFFAOYSA-N 0 0 427.508 -0.414 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)c1 ZINC000503682289 1071730641 /nfs/dbraw/zinc/73/06/41/1071730641.db2.gz GUWYRUVERSCBMU-UHFFFAOYSA-N 0 0 440.570 -0.461 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)c1 ZINC000503683523 1071730747 /nfs/dbraw/zinc/73/07/47/1071730747.db2.gz LTWKRSVJJCHOQD-UHFFFAOYSA-N 0 0 426.543 -0.899 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)N1CCCS1(=O)=O ZINC000503685736 1071731083 /nfs/dbraw/zinc/73/10/83/1071731083.db2.gz DWCCEOLTSHRPHY-HNNXBMFYSA-N 0 0 434.540 -0.291 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)N1CCCS1(=O)=O ZINC000503685737 1071731070 /nfs/dbraw/zinc/73/10/70/1071731070.db2.gz DWCCEOLTSHRPHY-OAHLLOKOSA-N 0 0 434.540 -0.291 20 0 IBADRN COc1cc2c(cc1OC)CN(CCNC(=O)CNS(=O)(=O)c1cn(C)cn1)CC2 ZINC000503685771 1071731148 /nfs/dbraw/zinc/73/11/48/1071731148.db2.gz FPDBMXKFZGWPLK-UHFFFAOYSA-N 0 0 437.522 -0.110 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H](C(C)C)N2CCCS2(=O)=O)CC1 ZINC000503686890 1071731059 /nfs/dbraw/zinc/73/10/59/1071731059.db2.gz BIFZOCDMJQLYGN-INIZCTEOSA-N 0 0 439.600 -0.055 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H](C(C)C)N2CCCS2(=O)=O)CC1 ZINC000503686894 1071731080 /nfs/dbraw/zinc/73/10/80/1071731080.db2.gz BIFZOCDMJQLYGN-MRXNPFEDSA-N 0 0 439.600 -0.055 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(CCCOCC(F)(F)F)CC2)c1 ZINC000503687246 1071731092 /nfs/dbraw/zinc/73/10/92/1071731092.db2.gz JQABKMGIZNUSQF-UHFFFAOYSA-N 0 0 427.449 -0.188 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)n1 ZINC000503687930 1071730738 /nfs/dbraw/zinc/73/07/38/1071730738.db2.gz NNRZRNCAUPPTLF-CYBMUJFWSA-N 0 0 441.514 -0.477 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)n1 ZINC000503687931 1071730673 /nfs/dbraw/zinc/73/06/73/1071730673.db2.gz NNRZRNCAUPPTLF-ZDUSSCGKSA-N 0 0 441.514 -0.477 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3[C@H]4CCO[C@@H]4C3(C)C)CC2)cn1C ZINC000503689477 1071731210 /nfs/dbraw/zinc/73/12/10/1071731210.db2.gz KCRHMIPDDIGDGG-BMFZPTHFSA-N 0 0 439.538 -0.509 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3[C@H]4CCO[C@H]4C3(C)C)CC2)cn1C ZINC000503689478 1071731206 /nfs/dbraw/zinc/73/12/06/1071731206.db2.gz KCRHMIPDDIGDGG-FVQBIDKESA-N 0 0 439.538 -0.509 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3[C@@H]4CCO[C@@H]4C3(C)C)CC2)cn1C ZINC000503689479 1071731026 /nfs/dbraw/zinc/73/10/26/1071731026.db2.gz KCRHMIPDDIGDGG-IMJJTQAJSA-N 0 0 439.538 -0.509 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3[C@@H]4CCO[C@H]4C3(C)C)CC2)cn1C ZINC000503689480 1071731018 /nfs/dbraw/zinc/73/10/18/1071731018.db2.gz KCRHMIPDDIGDGG-NUEKZKHPSA-N 0 0 439.538 -0.509 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)n1 ZINC000503689654 1071730762 /nfs/dbraw/zinc/73/07/62/1071730762.db2.gz XXRFAJDWQOZTSA-CYBMUJFWSA-N 0 0 441.514 -0.477 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)n1 ZINC000503689657 1071730729 /nfs/dbraw/zinc/73/07/29/1071730729.db2.gz XXRFAJDWQOZTSA-ZDUSSCGKSA-N 0 0 441.514 -0.477 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)c1 ZINC000503689917 1071731166 /nfs/dbraw/zinc/73/11/66/1071731166.db2.gz XCUREHWYMYCQQO-UHFFFAOYSA-N 0 0 438.491 -0.074 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(C(=O)CNS(=O)(=O)c1cn(C)cn1)CC2 ZINC000503689922 1071731049 /nfs/dbraw/zinc/73/10/49/1071731049.db2.gz XEVWYXIDCCDUER-UHFFFAOYSA-N 0 0 427.508 -0.462 20 0 IBADRN COc1cc(CNC(=O)CNS(=O)(=O)c2cn(C)cn2)ccc1OCC(=O)N(C)C ZINC000503689939 1071731200 /nfs/dbraw/zinc/73/12/00/1071731200.db2.gz XKLRVBQQXMOBQA-UHFFFAOYSA-N 0 0 439.494 -0.510 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2cccc(OCCN3CCOCC3)c2)c1 ZINC000503689957 1071731170 /nfs/dbraw/zinc/73/11/70/1071731170.db2.gz XRDBRJNZSMNLFK-UHFFFAOYSA-N 0 0 437.522 -0.274 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000503693534 1071731034 /nfs/dbraw/zinc/73/10/34/1071731034.db2.gz BNTSUXXEUQXXOO-UHFFFAOYSA-N 0 0 431.421 -0.468 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1F ZINC000503701231 1071731187 /nfs/dbraw/zinc/73/11/87/1071731187.db2.gz OYYORWUCILGNCR-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)C1 ZINC000503709854 1071731603 /nfs/dbraw/zinc/73/16/03/1071731603.db2.gz VGZXXYUSLTUUGI-FPCVCCKLSA-N 0 0 431.555 -0.185 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)C1 ZINC000503709855 1071731577 /nfs/dbraw/zinc/73/15/77/1071731577.db2.gz VGZXXYUSLTUUGI-KLHDSHLOSA-N 0 0 431.555 -0.185 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)C1 ZINC000503709856 1071731482 /nfs/dbraw/zinc/73/14/82/1071731482.db2.gz VGZXXYUSLTUUGI-QKPAOTATSA-N 0 0 431.555 -0.185 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)C1 ZINC000503709857 1071731628 /nfs/dbraw/zinc/73/16/28/1071731628.db2.gz VGZXXYUSLTUUGI-QXSJWSMHSA-N 0 0 431.555 -0.185 20 0 IBADRN O=C(NCCc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000503709985 1071731585 /nfs/dbraw/zinc/73/15/85/1071731585.db2.gz SZGPPYXFJGZCQG-UHFFFAOYSA-N 0 0 440.522 -0.096 20 0 IBADRN O=C(Nc1ccc2c(c1)CCCC(=O)N2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000503711607 1071731513 /nfs/dbraw/zinc/73/15/13/1071731513.db2.gz MNSRMKJGWDKARM-UHFFFAOYSA-N 0 0 427.461 -0.151 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCCC(=O)N3)CC1 ZINC000503713776 1071731116 /nfs/dbraw/zinc/73/11/16/1071731116.db2.gz PHCYKZRVWWBNCF-UHFFFAOYSA-N 0 0 443.504 -0.097 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1C ZINC000503714660 1071731105 /nfs/dbraw/zinc/73/11/05/1071731105.db2.gz WLCOZVOKRXZANY-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000503714690 1071731550 /nfs/dbraw/zinc/73/15/50/1071731550.db2.gz GTWLDBVESYUYFJ-UHFFFAOYSA-N 0 0 446.460 -0.750 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000503719438 1071731635 /nfs/dbraw/zinc/73/16/35/1071731635.db2.gz WPUVHMMAHVMBQI-CYBMUJFWSA-N 0 0 425.463 -0.470 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000503719439 1071731466 /nfs/dbraw/zinc/73/14/66/1071731466.db2.gz WPUVHMMAHVMBQI-ZDUSSCGKSA-N 0 0 425.463 -0.470 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C12CCCC2 ZINC000503721374 1071731979 /nfs/dbraw/zinc/73/19/79/1071731979.db2.gz BFRUJODITOYPIC-CABCVRRESA-N 0 0 448.524 -0.671 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C12CCCC2 ZINC000503721376 1071732021 /nfs/dbraw/zinc/73/20/21/1071732021.db2.gz BFRUJODITOYPIC-GJZGRUSLSA-N 0 0 448.524 -0.671 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C12CCCC2 ZINC000503721377 1071731971 /nfs/dbraw/zinc/73/19/71/1071731971.db2.gz BFRUJODITOYPIC-HUUCEWRRSA-N 0 0 448.524 -0.671 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C12CCCC2 ZINC000503721378 1071731977 /nfs/dbraw/zinc/73/19/77/1071731977.db2.gz BFRUJODITOYPIC-LSDHHAIUSA-N 0 0 448.524 -0.671 20 0 IBADRN CC(C)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000503734525 1071732001 /nfs/dbraw/zinc/73/20/01/1071732001.db2.gz XWIBWGDDFRQBCL-UHFFFAOYSA-N 0 0 431.536 -0.051 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2ccccc2S(=O)(=O)CCN(C)C)C1=O ZINC000503735774 1071732090 /nfs/dbraw/zinc/73/20/90/1071732090.db2.gz OUTZYYGQGHCSRU-HNNXBMFYSA-N 0 0 425.507 -0.084 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2ccccc2S(=O)(=O)CCN(C)C)C1=O ZINC000503735776 1071732026 /nfs/dbraw/zinc/73/20/26/1071732026.db2.gz OUTZYYGQGHCSRU-OAHLLOKOSA-N 0 0 425.507 -0.084 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000503738763 1071731509 /nfs/dbraw/zinc/73/15/09/1071731509.db2.gz RQMLDMNMLBBDBX-DZGCQCFKSA-N 0 0 448.519 -0.279 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@H](C(=O)OC)C1 ZINC000503738764 1071731645 /nfs/dbraw/zinc/73/16/45/1071731645.db2.gz RQMLDMNMLBBDBX-HIFRSBDPSA-N 0 0 448.519 -0.279 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@@H](C(=O)OC)C1 ZINC000503738765 1071731498 /nfs/dbraw/zinc/73/14/98/1071731498.db2.gz RQMLDMNMLBBDBX-UKRRQHHQSA-N 0 0 448.519 -0.279 20 0 IBADRN COC(=O)[C@H]1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@H](C(=O)OC)C1 ZINC000503738766 1071731596 /nfs/dbraw/zinc/73/15/96/1071731596.db2.gz RQMLDMNMLBBDBX-ZFWWWQNUSA-N 0 0 448.519 -0.279 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[C@@H]2CCO[C@H]21 ZINC000503739822 1071731568 /nfs/dbraw/zinc/73/15/68/1071731568.db2.gz TZROMTVBTJPCTN-CAOSSQGBSA-N 0 0 429.539 -0.431 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[C@H]2CCO[C@@H]21 ZINC000503739827 1071731531 /nfs/dbraw/zinc/73/15/31/1071731531.db2.gz TZROMTVBTJPCTN-JJXSEGSLSA-N 0 0 429.539 -0.431 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[C@@H]2CCO[C@@H]21 ZINC000503739829 1071731541 /nfs/dbraw/zinc/73/15/41/1071731541.db2.gz TZROMTVBTJPCTN-JONQDZQNSA-N 0 0 429.539 -0.431 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[C@H]2CCO[C@H]21 ZINC000503739830 1071731610 /nfs/dbraw/zinc/73/16/10/1071731610.db2.gz TZROMTVBTJPCTN-ZJIFWQFVSA-N 0 0 429.539 -0.431 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)NCCN3C(=O)CNC3=O)CC2)c(C)s1 ZINC000503740711 1071731558 /nfs/dbraw/zinc/73/15/58/1071731558.db2.gz VWPPVGCTBZZBKS-UHFFFAOYSA-N 0 0 429.524 -0.067 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000503759409 1071732032 /nfs/dbraw/zinc/73/20/32/1071732032.db2.gz ROJPTJUUTDNLBM-GASCZTMLSA-N 0 0 439.538 -0.033 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000503759416 1071732066 /nfs/dbraw/zinc/73/20/66/1071732066.db2.gz ROJPTJUUTDNLBM-GJZGRUSLSA-N 0 0 439.538 -0.033 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)cc3)CC2)C[C@@H](C)O1 ZINC000503759418 1071732053 /nfs/dbraw/zinc/73/20/53/1071732053.db2.gz ROJPTJUUTDNLBM-HUUCEWRRSA-N 0 0 439.538 -0.033 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3ccc(C(N)=O)cc3)CC2)o1 ZINC000503761857 1071732073 /nfs/dbraw/zinc/73/20/73/1071732073.db2.gz WXGGHFOUAQUQDU-UHFFFAOYSA-N 0 0 435.462 -0.307 20 0 IBADRN CNC(=O)CNC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000503763189 1072442966 /nfs/dbraw/zinc/44/29/66/1072442966.db2.gz XIRLYBAFJPECHO-UHFFFAOYSA-N 0 0 441.510 -0.588 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[C@H]2CCO[C@H]21 ZINC000503775682 1071732462 /nfs/dbraw/zinc/73/24/62/1071732462.db2.gz QRUWPKUFOKNXQU-ATZDWAIDSA-N 0 0 444.536 -0.109 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[C@@H]2CCO[C@@H]21 ZINC000503775683 1071732556 /nfs/dbraw/zinc/73/25/56/1071732556.db2.gz QRUWPKUFOKNXQU-IPELMVKDSA-N 0 0 444.536 -0.109 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[C@@H]2CCO[C@H]21 ZINC000503775684 1071732479 /nfs/dbraw/zinc/73/24/79/1071732479.db2.gz QRUWPKUFOKNXQU-KFKAGJAMSA-N 0 0 444.536 -0.109 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[C@H]2CCO[C@@H]21 ZINC000503775685 1071732602 /nfs/dbraw/zinc/73/26/02/1071732602.db2.gz QRUWPKUFOKNXQU-LZQZEXGQSA-N 0 0 444.536 -0.109 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)[C@H](C(=O)OC)C1 ZINC000503777120 1071732530 /nfs/dbraw/zinc/73/25/30/1071732530.db2.gz UBOIZMPYBAQBMN-ABAIWWIYSA-N 0 0 425.463 -0.008 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)[C@@H](C(=O)OC)C1 ZINC000503777123 1071732584 /nfs/dbraw/zinc/73/25/84/1071732584.db2.gz UBOIZMPYBAQBMN-IAQYHMDHSA-N 0 0 425.463 -0.008 20 0 IBADRN COC(=O)[C@H]1CCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)[C@H](C(=O)OC)C1 ZINC000503777127 1071732493 /nfs/dbraw/zinc/73/24/93/1071732493.db2.gz UBOIZMPYBAQBMN-NHYWBVRUSA-N 0 0 425.463 -0.008 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1S(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000503777130 1071732592 /nfs/dbraw/zinc/73/25/92/1071732592.db2.gz UBOIZMPYBAQBMN-XHDPSFHLSA-N 0 0 425.463 -0.008 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)CC1 ZINC000503789381 1071732452 /nfs/dbraw/zinc/73/24/52/1071732452.db2.gz KIGJQCDRPMXDEW-ARFHVFGLSA-N 0 0 431.555 -0.185 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)CC1 ZINC000503789382 1071732625 /nfs/dbraw/zinc/73/26/25/1071732625.db2.gz KIGJQCDRPMXDEW-BZUAXINKSA-N 0 0 431.555 -0.185 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)CC1 ZINC000503789383 1071732547 /nfs/dbraw/zinc/73/25/47/1071732547.db2.gz KIGJQCDRPMXDEW-OAGGEKHMSA-N 0 0 431.555 -0.185 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)CC1 ZINC000503789384 1071732426 /nfs/dbraw/zinc/73/24/26/1071732426.db2.gz KIGJQCDRPMXDEW-XHSDSOJGSA-N 0 0 431.555 -0.185 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C12CCCC2 ZINC000503791158 1071732458 /nfs/dbraw/zinc/73/24/58/1071732458.db2.gz MECLNDFDQPMZMD-MSOLQXFVSA-N 0 0 436.553 -0.157 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C12CCCC2 ZINC000503791162 1071732523 /nfs/dbraw/zinc/73/25/23/1071732523.db2.gz MECLNDFDQPMZMD-QZTJIDSGSA-N 0 0 436.553 -0.157 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C12CCCC2 ZINC000503791163 1071732442 /nfs/dbraw/zinc/73/24/42/1071732442.db2.gz MECLNDFDQPMZMD-ROUUACIJSA-N 0 0 436.553 -0.157 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C12CCCC2 ZINC000503791165 1071732504 /nfs/dbraw/zinc/73/25/04/1071732504.db2.gz MECLNDFDQPMZMD-ZWKOTPCHSA-N 0 0 436.553 -0.157 20 0 IBADRN C[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC(=O)N1C ZINC000503797298 1071733091 /nfs/dbraw/zinc/73/30/91/1071733091.db2.gz XZJGUBIGYNOFBV-GXTWGEPZSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC(=O)N1C ZINC000503797299 1071733016 /nfs/dbraw/zinc/73/30/16/1071733016.db2.gz XZJGUBIGYNOFBV-JSGCOSHPSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC(=O)N1C ZINC000503797300 1071733005 /nfs/dbraw/zinc/73/30/05/1071733005.db2.gz XZJGUBIGYNOFBV-OCCSQVGLSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC(=O)N1C ZINC000503797301 1071733082 /nfs/dbraw/zinc/73/30/82/1071733082.db2.gz XZJGUBIGYNOFBV-TZMCWYRMSA-N 0 0 444.535 -0.154 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000503797498 1071733099 /nfs/dbraw/zinc/73/30/99/1071733099.db2.gz SAMZEISMIXCZBT-UHFFFAOYSA-N 0 0 446.551 -0.506 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C12CCCC2 ZINC000503799301 1071733032 /nfs/dbraw/zinc/73/30/32/1071733032.db2.gz LSOWQXVMBCBTJR-MSOLQXFVSA-N 0 0 436.553 -0.157 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C12CCCC2 ZINC000503799302 1071733027 /nfs/dbraw/zinc/73/30/27/1071733027.db2.gz LSOWQXVMBCBTJR-QZTJIDSGSA-N 0 0 436.553 -0.157 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C12CCCC2 ZINC000503799303 1071732979 /nfs/dbraw/zinc/73/29/79/1071732979.db2.gz LSOWQXVMBCBTJR-ROUUACIJSA-N 0 0 436.553 -0.157 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C12CCCC2 ZINC000503799304 1071732969 /nfs/dbraw/zinc/73/29/69/1071732969.db2.gz LSOWQXVMBCBTJR-ZWKOTPCHSA-N 0 0 436.553 -0.157 20 0 IBADRN CS[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000503816816 1071733065 /nfs/dbraw/zinc/73/30/65/1071733065.db2.gz MOAUOKJCIGIXLG-CVEARBPZSA-N 0 0 447.627 -0.587 20 0 IBADRN CS[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000503816817 1071733172 /nfs/dbraw/zinc/73/31/72/1071733172.db2.gz MOAUOKJCIGIXLG-HOTGVXAUSA-N 0 0 447.627 -0.587 20 0 IBADRN CS[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000503816820 1071733151 /nfs/dbraw/zinc/73/31/51/1071733151.db2.gz MOAUOKJCIGIXLG-HZPDHXFCSA-N 0 0 447.627 -0.587 20 0 IBADRN CS[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000503816823 1071733134 /nfs/dbraw/zinc/73/31/34/1071733134.db2.gz MOAUOKJCIGIXLG-JKSUJKDBSA-N 0 0 447.627 -0.587 20 0 IBADRN O=C(NCCS(=O)(=O)NC1CC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000503822537 1071733588 /nfs/dbraw/zinc/73/35/88/1071733588.db2.gz BCSCXVGQKJYSQW-UHFFFAOYSA-N 0 0 446.551 -0.412 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@H]2CCO[C@@H]21 ZINC000503823239 1071733536 /nfs/dbraw/zinc/73/35/36/1071733536.db2.gz UNVPJSWCYFUQLF-KFWWJZLASA-N 0 0 426.495 -0.422 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@H]2CCO[C@H]21 ZINC000503823240 1071733417 /nfs/dbraw/zinc/73/34/17/1071733417.db2.gz UNVPJSWCYFUQLF-RBSFLKMASA-N 0 0 426.495 -0.422 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@@H]2CCO[C@H]21 ZINC000503823241 1071733436 /nfs/dbraw/zinc/73/34/36/1071733436.db2.gz UNVPJSWCYFUQLF-RRFJBIMHSA-N 0 0 426.495 -0.422 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@@H]2CCO[C@@H]21 ZINC000503823242 1071733626 /nfs/dbraw/zinc/73/36/26/1071733626.db2.gz UNVPJSWCYFUQLF-ZNMIVQPWSA-N 0 0 426.495 -0.422 20 0 IBADRN COCC(=O)N1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000503827976 1071733119 /nfs/dbraw/zinc/73/31/19/1071733119.db2.gz JOCFOYSFGJUWCP-UHFFFAOYSA-N 0 0 440.522 -0.292 20 0 IBADRN O=C(CCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC000503829556 1071733042 /nfs/dbraw/zinc/73/30/42/1071733042.db2.gz LRBWIVWHSAQARH-UHFFFAOYSA-N 0 0 440.522 -0.244 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cc1C ZINC000503830767 1074342801 /nfs/dbraw/zinc/34/28/01/1074342801.db2.gz PWYDEVLCPCXDPH-GFCCVEGCSA-N 0 0 434.478 -0.423 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cc1C ZINC000503830772 1074342785 /nfs/dbraw/zinc/34/27/85/1074342785.db2.gz PWYDEVLCPCXDPH-LBPRGKRZSA-N 0 0 434.478 -0.423 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1C ZINC000503840291 1074343172 /nfs/dbraw/zinc/34/31/72/1074343172.db2.gz LSUMPJWWGCBOTO-UHFFFAOYSA-N 0 0 434.478 -0.690 20 0 IBADRN COc1cc(Br)c(F)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000503848861 1071733973 /nfs/dbraw/zinc/73/39/73/1071733973.db2.gz WHGQZTMOVRYESW-MRVPVSSYSA-N 0 0 436.259 -0.072 20 0 IBADRN COc1cc(Br)c(F)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000503848862 1071734095 /nfs/dbraw/zinc/73/40/95/1071734095.db2.gz WHGQZTMOVRYESW-QMMMGPOBSA-N 0 0 436.259 -0.072 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cc1C ZINC000503853963 1074343090 /nfs/dbraw/zinc/34/30/90/1074343090.db2.gz BYKXBSZBGWWAEI-UHFFFAOYSA-N 0 0 434.478 -0.690 20 0 IBADRN O=C1C[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1C1CC1 ZINC000503855742 1071733605 /nfs/dbraw/zinc/73/36/05/1071733605.db2.gz NNKRSBAGUNHASX-AWEZNQCLSA-N 0 0 443.547 -0.003 20 0 IBADRN O=C1C[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1C1CC1 ZINC000503855744 1071733509 /nfs/dbraw/zinc/73/35/09/1071733509.db2.gz NNKRSBAGUNHASX-CQSZACIVSA-N 0 0 443.547 -0.003 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)[C@@H](C(=O)OC)C1 ZINC000503867994 1071733488 /nfs/dbraw/zinc/73/34/88/1071733488.db2.gz DTUAKNMQIRVQBF-NOZJJQNGSA-N 0 0 425.419 -0.668 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)[C@H](C(=O)OC)C1 ZINC000503867996 1071733618 /nfs/dbraw/zinc/73/36/18/1071733618.db2.gz DTUAKNMQIRVQBF-RNCFNFMXSA-N 0 0 425.419 -0.668 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1S(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000503867997 1071733532 /nfs/dbraw/zinc/73/35/32/1071733532.db2.gz DTUAKNMQIRVQBF-TVQRCGJNSA-N 0 0 425.419 -0.668 20 0 IBADRN COC(=O)[C@H]1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)[C@H](C(=O)OC)C1 ZINC000503867998 1071733412 /nfs/dbraw/zinc/73/34/12/1071733412.db2.gz DTUAKNMQIRVQBF-ZANVPECISA-N 0 0 425.419 -0.668 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)CC(=O)N1CCOCC1 ZINC000503868232 1071733496 /nfs/dbraw/zinc/73/34/96/1071733496.db2.gz BWEBBNWOYXIAEQ-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COC(=O)c1ccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)nc1C1CC1 ZINC000503877045 1071733426 /nfs/dbraw/zinc/73/34/26/1071733426.db2.gz VBRMMBDKPLRCTD-UHFFFAOYSA-N 0 0 432.524 -0.343 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)Nc2cc(C)n(CC(=O)NC)n2)c1 ZINC000503880146 1071734174 /nfs/dbraw/zinc/73/41/74/1071734174.db2.gz YUSSYVMWWDNYKI-UHFFFAOYSA-N 0 0 438.510 -0.232 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@@H](C(=O)OC)C1 ZINC000503880869 1071733452 /nfs/dbraw/zinc/73/34/52/1071733452.db2.gz AALHHTCMAOUYFL-BXKDBHETSA-N 0 0 440.434 -0.851 20 0 IBADRN COC(=O)[C@H]1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@H](C(=O)OC)C1 ZINC000503880870 1071733550 /nfs/dbraw/zinc/73/35/50/1071733550.db2.gz AALHHTCMAOUYFL-CABZTGNLSA-N 0 0 440.434 -0.851 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000503880871 1071733481 /nfs/dbraw/zinc/73/34/81/1071733481.db2.gz AALHHTCMAOUYFL-JOYOIKCWSA-N 0 0 440.434 -0.851 20 0 IBADRN COC(=O)[C@@H]1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@H](C(=O)OC)C1 ZINC000503880872 1071733441 /nfs/dbraw/zinc/73/34/41/1071733441.db2.gz AALHHTCMAOUYFL-SKDRFNHKSA-N 0 0 440.434 -0.851 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn4c(n3)CCCC4)CC2)cn1C ZINC000503888390 1071734156 /nfs/dbraw/zinc/73/41/56/1071734156.db2.gz XHWXAPICEBYVKS-UHFFFAOYSA-N 0 0 428.540 -0.043 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000503891365 1071734140 /nfs/dbraw/zinc/73/41/40/1071734140.db2.gz IDDASEFQZRWZAZ-AWEZNQCLSA-N 0 0 437.522 -0.129 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000503891366 1071734051 /nfs/dbraw/zinc/73/40/51/1071734051.db2.gz IDDASEFQZRWZAZ-CQSZACIVSA-N 0 0 437.522 -0.129 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(CNC(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000503896534 1071734103 /nfs/dbraw/zinc/73/41/03/1071734103.db2.gz CHLAOJHLKFLVJK-GASCZTMLSA-N 0 0 439.538 -0.033 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(CNC(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000503896535 1071733995 /nfs/dbraw/zinc/73/39/95/1071733995.db2.gz CHLAOJHLKFLVJK-GJZGRUSLSA-N 0 0 439.538 -0.033 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(CNC(N)=O)cc3)CC2)C[C@@H](C)O1 ZINC000503896538 1071734006 /nfs/dbraw/zinc/73/40/06/1071734006.db2.gz CHLAOJHLKFLVJK-HUUCEWRRSA-N 0 0 439.538 -0.033 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000503900553 1071734114 /nfs/dbraw/zinc/73/41/14/1071734114.db2.gz DULMMTZKVQPZOD-HNNXBMFYSA-N 0 0 439.538 -0.419 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000503900554 1071734083 /nfs/dbraw/zinc/73/40/83/1071734083.db2.gz DULMMTZKVQPZOD-OAHLLOKOSA-N 0 0 439.538 -0.419 20 0 IBADRN CS[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000503910514 1071734635 /nfs/dbraw/zinc/73/46/35/1071734635.db2.gz NQOOQVUDJQCMJM-CABCVRRESA-N 0 0 426.539 -0.694 20 0 IBADRN CS[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000503910518 1071734598 /nfs/dbraw/zinc/73/45/98/1071734598.db2.gz NQOOQVUDJQCMJM-GJZGRUSLSA-N 0 0 426.539 -0.694 20 0 IBADRN CS[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000503910519 1071734568 /nfs/dbraw/zinc/73/45/68/1071734568.db2.gz NQOOQVUDJQCMJM-HUUCEWRRSA-N 0 0 426.539 -0.694 20 0 IBADRN CS[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000503910521 1071734523 /nfs/dbraw/zinc/73/45/23/1071734523.db2.gz NQOOQVUDJQCMJM-LSDHHAIUSA-N 0 0 426.539 -0.694 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000503911817 1071734630 /nfs/dbraw/zinc/73/46/30/1071734630.db2.gz QDGALPOTFGWVEP-CYBMUJFWSA-N 0 0 425.511 -0.227 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000503911818 1071734565 /nfs/dbraw/zinc/73/45/65/1071734565.db2.gz QDGALPOTFGWVEP-ZDUSSCGKSA-N 0 0 425.511 -0.227 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000503917634 1071734061 /nfs/dbraw/zinc/73/40/61/1071734061.db2.gz GQMHHIMNVPGNSR-HNNXBMFYSA-N 0 0 438.506 -0.108 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000503917635 1071734076 /nfs/dbraw/zinc/73/40/76/1071734076.db2.gz GQMHHIMNVPGNSR-OAHLLOKOSA-N 0 0 438.506 -0.108 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)N[C@H]3CCCC[C@@H]3NS(C)(=O)=O)C2)c1=O ZINC000503921599 1071734031 /nfs/dbraw/zinc/73/40/31/1071734031.db2.gz RHWLPEQZAASMNK-KKUMJFAQSA-N 0 0 426.543 -0.091 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)N[C@@H]3CCCC[C@H]3NS(C)(=O)=O)C2)c1=O ZINC000503921604 1071733982 /nfs/dbraw/zinc/73/39/82/1071733982.db2.gz RHWLPEQZAASMNK-RRFJBIMHSA-N 0 0 426.543 -0.091 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)N[C@H]3CCCC[C@H]3NS(C)(=O)=O)C2)c1=O ZINC000503921606 1071734118 /nfs/dbraw/zinc/73/41/18/1071734118.db2.gz RHWLPEQZAASMNK-SOUVJXGZSA-N 0 0 426.543 -0.091 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)N[C@@H]3CCCC[C@@H]3NS(C)(=O)=O)C2)c1=O ZINC000503921608 1071734071 /nfs/dbraw/zinc/73/40/71/1071734071.db2.gz RHWLPEQZAASMNK-ZNMIVQPWSA-N 0 0 426.543 -0.091 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NC[C@H](NC(=O)CCC(=O)N1CCOCC1)C1CC1 ZINC000503922017 1071734023 /nfs/dbraw/zinc/73/40/23/1071734023.db2.gz UGJKZKSYXKQYSS-KRWDZBQOSA-N 0 0 438.525 -0.725 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NC[C@@H](NC(=O)CCC(=O)N1CCOCC1)C1CC1 ZINC000503922018 1071734127 /nfs/dbraw/zinc/73/41/27/1071734127.db2.gz UGJKZKSYXKQYSS-QGZVFWFLSA-N 0 0 438.525 -0.725 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3ncc4ccccc4c3=O)CC2)C[C@H](C)O1 ZINC000503923633 1071734555 /nfs/dbraw/zinc/73/45/55/1071734555.db2.gz GPCZAIDQILOSHH-HOTGVXAUSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3ncc4ccccc4c3=O)CC2)C[C@@H](C)O1 ZINC000503923634 1071734536 /nfs/dbraw/zinc/73/45/36/1071734536.db2.gz GPCZAIDQILOSHH-HZPDHXFCSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3ncc4ccccc4c3=O)CC2)C[C@H](C)O1 ZINC000503923635 1071734562 /nfs/dbraw/zinc/73/45/62/1071734562.db2.gz GPCZAIDQILOSHH-IYBDPMFKSA-N 0 0 449.533 -0.105 20 0 IBADRN CCNC(=O)CNC(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000503926962 1071734571 /nfs/dbraw/zinc/73/45/71/1071734571.db2.gz WZSHXCLAAMITBF-UHFFFAOYSA-N 0 0 442.476 -0.693 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N(C)CC(=O)OC)c(=O)n2Cc1ccccc1 ZINC000503927475 1071734545 /nfs/dbraw/zinc/73/45/45/1071734545.db2.gz ZQAXWOICPULUPX-UHFFFAOYSA-N 0 0 443.460 -0.314 20 0 IBADRN COCc1ncc(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)c(N)n1 ZINC000503943161 1073357801 /nfs/dbraw/zinc/35/78/01/1073357801.db2.gz DSZFEMHCUTVURD-UHFFFAOYSA-N 0 0 435.462 -0.221 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000503945025 1071734550 /nfs/dbraw/zinc/73/45/50/1071734550.db2.gz LBTPTAFXNLHTMX-DLBZAZTESA-N 0 0 431.555 -0.041 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCCNC(=O)c2ccn[nH]2)CC1 ZINC000503948121 1071734583 /nfs/dbraw/zinc/73/45/83/1071734583.db2.gz UGLQYPWZROLUPS-UHFFFAOYSA-N 0 0 449.537 -0.425 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000503955419 1071734580 /nfs/dbraw/zinc/73/45/80/1071734580.db2.gz FTXVVEGFNDBHBB-BZUAXINKSA-N 0 0 427.523 -0.881 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000503955423 1071734560 /nfs/dbraw/zinc/73/45/60/1071734560.db2.gz FTXVVEGFNDBHBB-OAGGEKHMSA-N 0 0 427.523 -0.881 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000503955424 1071734531 /nfs/dbraw/zinc/73/45/31/1071734531.db2.gz FTXVVEGFNDBHBB-OWCLPIDISA-N 0 0 427.523 -0.881 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000503955426 1071734986 /nfs/dbraw/zinc/73/49/86/1071734986.db2.gz FTXVVEGFNDBHBB-PMPSAXMXSA-N 0 0 427.523 -0.881 20 0 IBADRN O=C(CCc1nc(-c2ccco2)no1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000503955688 1071734621 /nfs/dbraw/zinc/73/46/21/1071734621.db2.gz JXRBZRMYXPOGQR-UHFFFAOYSA-N 0 0 425.467 -0.017 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(CN2CCOCC2)n1 ZINC000503956485 1071735010 /nfs/dbraw/zinc/73/50/10/1071735010.db2.gz UUTZAGOCKOSZHC-UHFFFAOYSA-N 0 0 427.527 -0.314 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)C[C@H](C)O1 ZINC000503956825 1071734975 /nfs/dbraw/zinc/73/49/75/1071734975.db2.gz CHJJJLVFTSVXMZ-CALCHBBNSA-N 0 0 446.595 -0.064 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)C[C@@H](C)O1 ZINC000503956826 1071735023 /nfs/dbraw/zinc/73/50/23/1071735023.db2.gz CHJJJLVFTSVXMZ-IAGOWNOFSA-N 0 0 446.595 -0.064 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)C[C@H](C)O1 ZINC000503956827 1071735077 /nfs/dbraw/zinc/73/50/77/1071735077.db2.gz CHJJJLVFTSVXMZ-IRXDYDNUSA-N 0 0 446.595 -0.064 20 0 IBADRN Cc1cc(C)c(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(=O)[nH]1 ZINC000503958460 1071734939 /nfs/dbraw/zinc/73/49/39/1071734939.db2.gz QRWHQZLBSKYQNF-UHFFFAOYSA-N 0 0 426.543 -0.277 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)ccn2)CC1 ZINC000503960508 1071734991 /nfs/dbraw/zinc/73/49/91/1071734991.db2.gz FRLFZQWNTOGSDI-ZWKOTPCHSA-N 0 0 431.493 -0.186 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)CC1 ZINC000503971019 1071735086 /nfs/dbraw/zinc/73/50/86/1071735086.db2.gz YVZKDCAKOFEYKT-UHFFFAOYSA-N 0 0 431.584 -0.925 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3cn4ccsc4n3)CC2)CC1 ZINC000503975288 1071735049 /nfs/dbraw/zinc/73/50/49/1071735049.db2.gz DAFWCCPHVGOPNG-UHFFFAOYSA-N 0 0 427.556 -0.285 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc(CN4CCOCC4)n3)c2c(=O)n(C)c1=O ZINC000503977397 1071735654 /nfs/dbraw/zinc/73/56/54/1071735654.db2.gz AAWJKWSGAUHOES-UHFFFAOYSA-N 0 0 427.465 -0.310 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cc1C ZINC000503980370 1071735527 /nfs/dbraw/zinc/73/55/27/1071735527.db2.gz HZZGBWBTLDNHGS-UHFFFAOYSA-N 0 0 438.510 -0.128 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000503981125 1071735692 /nfs/dbraw/zinc/73/56/92/1071735692.db2.gz HQGLEIOJFBUCNS-UHFFFAOYSA-N 0 0 436.494 -0.340 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000503985787 1071735581 /nfs/dbraw/zinc/73/55/81/1071735581.db2.gz JIJDHVUSOKELNG-UHFFFAOYSA-N 0 0 442.523 -0.279 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1nnc2ccccn21 ZINC000503986819 1071735095 /nfs/dbraw/zinc/73/50/95/1071735095.db2.gz OEHOEUBMOQTDSJ-HNNXBMFYSA-N 0 0 436.542 -0.390 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1nnc2ccccn21 ZINC000503986820 1071735034 /nfs/dbraw/zinc/73/50/34/1071735034.db2.gz OEHOEUBMOQTDSJ-OAHLLOKOSA-N 0 0 436.542 -0.390 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000503987683 1071735064 /nfs/dbraw/zinc/73/50/64/1071735064.db2.gz UGAZLJULXMOFJK-UHFFFAOYSA-N 0 0 445.567 -0.410 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000503991495 1071735598 /nfs/dbraw/zinc/73/55/98/1071735598.db2.gz QODYFLCQUDZZFX-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000503991619 1071735670 /nfs/dbraw/zinc/73/56/70/1071735670.db2.gz RSOFYNXVWOVWFA-UHFFFAOYSA-N 0 0 438.554 -0.036 20 0 IBADRN C[C@@H](NC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(N)=O ZINC000503992514 1071735538 /nfs/dbraw/zinc/73/55/38/1071735538.db2.gz UQLPUTOROQMVKA-CYBMUJFWSA-N 0 0 441.510 -0.461 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000503992581 1071735562 /nfs/dbraw/zinc/73/55/62/1071735562.db2.gz VIYDMAHYINRIMV-UHFFFAOYSA-N 0 0 431.540 -0.479 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)NCC3CC3)c2)CC1 ZINC000503996540 1071736014 /nfs/dbraw/zinc/73/60/14/1071736014.db2.gz GZWNEAIUCKZACK-UHFFFAOYSA-N 0 0 438.550 -0.105 20 0 IBADRN O=C(NCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCc1ccc(F)cc1 ZINC000503999498 1071736086 /nfs/dbraw/zinc/73/60/86/1071736086.db2.gz WSDSNBKXBPOVGG-UHFFFAOYSA-N 0 0 435.456 -0.846 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1C ZINC000503999731 1071736049 /nfs/dbraw/zinc/73/60/49/1071736049.db2.gz ZDMORHIUXDBKCU-UHFFFAOYSA-N 0 0 429.485 -0.412 20 0 IBADRN Cn1ccc2c(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cccc2c1=O ZINC000504003644 1071736040 /nfs/dbraw/zinc/73/60/40/1071736040.db2.gz FHRKMWJTAQUSKW-UHFFFAOYSA-N 0 0 425.445 -0.574 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCN2c3ccccc3CC2=O)c1 ZINC000504006471 1071735515 /nfs/dbraw/zinc/73/55/15/1071735515.db2.gz PHDXKZDDIUXKHW-UHFFFAOYSA-N 0 0 437.456 -0.194 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000504006849 1071735970 /nfs/dbraw/zinc/73/59/70/1071735970.db2.gz OILPJOXJZHZDIV-UHFFFAOYSA-N 0 0 449.555 -0.269 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)CC2)c1 ZINC000504009671 1071735499 /nfs/dbraw/zinc/73/54/99/1071735499.db2.gz ZFKMPWCNVPSPQQ-UHFFFAOYSA-N 0 0 429.399 -0.193 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000504010827 1071735551 /nfs/dbraw/zinc/73/55/51/1071735551.db2.gz PKZIPLCEGPZXQE-AWEZNQCLSA-N 0 0 430.487 -0.317 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000504010828 1071735574 /nfs/dbraw/zinc/73/55/74/1071735574.db2.gz PKZIPLCEGPZXQE-CQSZACIVSA-N 0 0 430.487 -0.317 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)cn1C ZINC000504011032 1071736623 /nfs/dbraw/zinc/73/66/23/1071736623.db2.gz QVLYQRBBSLBHBO-AWEZNQCLSA-N 0 0 435.466 -0.070 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)cn1C ZINC000504011033 1071736647 /nfs/dbraw/zinc/73/66/47/1071736647.db2.gz QVLYQRBBSLBHBO-CQSZACIVSA-N 0 0 435.466 -0.070 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)cc1C ZINC000504015065 1071736513 /nfs/dbraw/zinc/73/65/13/1071736513.db2.gz PGAAYLHNEIJTQE-HNNXBMFYSA-N 0 0 442.524 -0.402 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)cc1C ZINC000504015066 1071736547 /nfs/dbraw/zinc/73/65/47/1071736547.db2.gz PGAAYLHNEIJTQE-OAHLLOKOSA-N 0 0 442.524 -0.402 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cc(C)no3)CC2)c1 ZINC000504016606 1071736462 /nfs/dbraw/zinc/73/64/62/1071736462.db2.gz BJXZCFGJNBTRRO-UHFFFAOYSA-N 0 0 442.476 -0.258 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCc3ccccc32)c1 ZINC000504016611 1071736577 /nfs/dbraw/zinc/73/65/77/1071736577.db2.gz AJSOWKASIVHQRP-UHFFFAOYSA-N 0 0 437.456 -0.194 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3ccco3)CC2)o1 ZINC000504016626 1071736528 /nfs/dbraw/zinc/73/65/28/1071736528.db2.gz AOXYQOXLOBXDEY-UHFFFAOYSA-N 0 0 439.450 -0.583 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000504017016 1071737100 /nfs/dbraw/zinc/73/71/00/1071737100.db2.gz AGJLEDYGEKEPNO-UHFFFAOYSA-N 0 0 429.458 -0.257 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N3CCO[C@H](C(N)=O)C3)c(N3CCCCC3)cc2n(C)c1=O ZINC000504017341 1071736581 /nfs/dbraw/zinc/73/65/81/1071736581.db2.gz HNWCNSINVPXSIA-KRWDZBQOSA-N 0 0 444.492 -0.482 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N3CCO[C@@H](C(N)=O)C3)c(N3CCCCC3)cc2n(C)c1=O ZINC000504017343 1071737041 /nfs/dbraw/zinc/73/70/41/1071737041.db2.gz HNWCNSINVPXSIA-QGZVFWFLSA-N 0 0 444.492 -0.482 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCC(=O)NCc2ccccc2)c1 ZINC000504017458 1071736640 /nfs/dbraw/zinc/73/66/40/1071736640.db2.gz IDAVERQQRVSHBA-UHFFFAOYSA-N 0 0 439.472 -0.076 20 0 IBADRN CCOc1ccc(NC(=O)CN(C)S(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000504017676 1071736594 /nfs/dbraw/zinc/73/65/94/1071736594.db2.gz YJNCOWAXTWDQGO-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000504018844 1071737033 /nfs/dbraw/zinc/73/70/33/1071737033.db2.gz KYGWCGUUXYOIBQ-UHFFFAOYSA-N 0 0 441.492 -0.821 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(C)c(OC)c1 ZINC000504019671 1071737107 /nfs/dbraw/zinc/73/71/07/1071737107.db2.gz MYWLZWRRLYJVAU-UHFFFAOYSA-N 0 0 446.460 -0.229 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)cc1C ZINC000504021171 1074343948 /nfs/dbraw/zinc/34/39/48/1074343948.db2.gz DRUQROZGHWNOLG-UHFFFAOYSA-N 0 0 427.465 -0.676 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000504021289 1071737011 /nfs/dbraw/zinc/73/70/11/1071737011.db2.gz UAPBLZPEOCSOTO-UHFFFAOYSA-N 0 0 446.489 -0.679 20 0 IBADRN O=C([C@@H]1COCCN1CC(F)(F)F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504021371 1071737018 /nfs/dbraw/zinc/73/70/18/1071737018.db2.gz JOCCGGZWRYCOQX-KBPBESRZSA-N 0 0 429.461 -0.098 20 0 IBADRN O=C([C@@H]1COCCN1CC(F)(F)F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504021372 1071737063 /nfs/dbraw/zinc/73/70/63/1071737063.db2.gz JOCCGGZWRYCOQX-KGLIPLIRSA-N 0 0 429.461 -0.098 20 0 IBADRN O=C([C@H]1COCCN1CC(F)(F)F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504021373 1071737058 /nfs/dbraw/zinc/73/70/58/1071737058.db2.gz JOCCGGZWRYCOQX-UONOGXRCSA-N 0 0 429.461 -0.098 20 0 IBADRN O=C([C@H]1COCCN1CC(F)(F)F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504021374 1071736980 /nfs/dbraw/zinc/73/69/80/1071736980.db2.gz JOCCGGZWRYCOQX-ZIAGYGMSSA-N 0 0 429.461 -0.098 20 0 IBADRN CC(C)N1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000504022103 1071735942 /nfs/dbraw/zinc/73/59/42/1071735942.db2.gz WCHBICVFEFBQHM-UHFFFAOYSA-N 0 0 438.554 -0.204 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2)c1 ZINC000504022488 1071735954 /nfs/dbraw/zinc/73/59/54/1071735954.db2.gz TVBALJSSEMRDKN-GOSISDBHSA-N 0 0 437.456 -0.199 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2)c1 ZINC000504022489 1071736126 /nfs/dbraw/zinc/73/61/26/1071736126.db2.gz TVBALJSSEMRDKN-SFHVURJKSA-N 0 0 437.456 -0.199 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(F)c3)CC2)cc1C ZINC000504022618 1071736184 /nfs/dbraw/zinc/73/61/84/1071736184.db2.gz HLDYWNOFUPPTBV-UHFFFAOYSA-N 0 0 430.440 0.000 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1 ZINC000504023106 1071736134 /nfs/dbraw/zinc/73/61/34/1071736134.db2.gz UHHPANGDGACEIO-HNNXBMFYSA-N 0 0 431.493 -0.134 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1 ZINC000504023112 1071736023 /nfs/dbraw/zinc/73/60/23/1071736023.db2.gz UHHPANGDGACEIO-OAHLLOKOSA-N 0 0 431.493 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O ZINC000504023304 1071736189 /nfs/dbraw/zinc/73/61/89/1071736189.db2.gz HIZIYSKCXCRZHI-UHFFFAOYSA-N 0 0 432.462 -0.208 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCCS(=O)(=O)CC2)CC1 ZINC000504023578 1071736115 /nfs/dbraw/zinc/73/61/15/1071736115.db2.gz JRIJNVXWCKCUSE-UHFFFAOYSA-N 0 0 444.579 -0.047 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCn2c3ccccc3oc2=O)c1 ZINC000504024147 1071736561 /nfs/dbraw/zinc/73/65/61/1071736561.db2.gz UZPICFPESYRSRU-UHFFFAOYSA-N 0 0 439.428 -0.175 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000504024539 1071736536 /nfs/dbraw/zinc/73/65/36/1071736536.db2.gz OVMYQEUMKPLSBJ-KBPBESRZSA-N 0 0 441.531 -0.481 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000504024543 1071736570 /nfs/dbraw/zinc/73/65/70/1071736570.db2.gz OVMYQEUMKPLSBJ-KGLIPLIRSA-N 0 0 441.531 -0.481 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000504024548 1071736480 /nfs/dbraw/zinc/73/64/80/1071736480.db2.gz OVMYQEUMKPLSBJ-UONOGXRCSA-N 0 0 441.531 -0.481 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000504024554 1071736611 /nfs/dbraw/zinc/73/66/11/1071736611.db2.gz OVMYQEUMKPLSBJ-ZIAGYGMSSA-N 0 0 441.531 -0.481 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccccc2F)c1 ZINC000504024663 1071736503 /nfs/dbraw/zinc/73/65/03/1071736503.db2.gz TZVXKMYKUYVIJY-UHFFFAOYSA-N 0 0 443.435 -0.214 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3ccco3)CC2)C[C@H](C)O1 ZINC000504024669 1071736633 /nfs/dbraw/zinc/73/66/33/1071736633.db2.gz XHZQKWDXROUSOL-GASCZTMLSA-N 0 0 443.526 -0.309 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3ccco3)CC2)C[C@H](C)O1 ZINC000504024683 1071736097 /nfs/dbraw/zinc/73/60/97/1071736097.db2.gz XHZQKWDXROUSOL-GJZGRUSLSA-N 0 0 443.526 -0.309 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3ccco3)CC2)C[C@@H](C)O1 ZINC000504024687 1071736617 /nfs/dbraw/zinc/73/66/17/1071736617.db2.gz XHZQKWDXROUSOL-HUUCEWRRSA-N 0 0 443.526 -0.309 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)NCC1(O)CCS(=O)(=O)CC1 ZINC000504024836 1071735987 /nfs/dbraw/zinc/73/59/87/1071735987.db2.gz KHZJSIVJXPJSOE-UHFFFAOYSA-N 0 0 441.478 -0.518 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000504026938 1071736603 /nfs/dbraw/zinc/73/66/03/1071736603.db2.gz QQORXZCVIXZYJF-UHFFFAOYSA-N 0 0 429.458 -0.257 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2ncc(Br)cc2S(N)(=O)=O)CC1 ZINC000504027329 1071736489 /nfs/dbraw/zinc/73/64/89/1071736489.db2.gz UALOYNJDRGYMIQ-UHFFFAOYSA-N 0 0 434.316 -0.335 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccc(F)cc2)c1 ZINC000504032003 1071737582 /nfs/dbraw/zinc/73/75/82/1071737582.db2.gz BISCXECTBWXVHZ-UHFFFAOYSA-N 0 0 443.435 -0.214 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)CC1 ZINC000504032872 1071737323 /nfs/dbraw/zinc/73/73/23/1071737323.db2.gz DZBPDDFKCDFGSA-UHFFFAOYSA-N 0 0 446.508 -0.293 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H](C)C(=O)NCc2ccccc2)c1 ZINC000504033940 1071737365 /nfs/dbraw/zinc/73/73/65/1071737365.db2.gz GLJLQOIGGVMZLZ-AWEZNQCLSA-N 0 0 439.472 -0.078 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H](C)C(=O)NCc2ccccc2)c1 ZINC000504033965 1071737545 /nfs/dbraw/zinc/73/75/45/1071737545.db2.gz GLJLQOIGGVMZLZ-CQSZACIVSA-N 0 0 439.472 -0.078 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cc(C)on3)CC2)c1 ZINC000504034276 1071737532 /nfs/dbraw/zinc/73/75/32/1071737532.db2.gz HIXMTAJNYISJLY-UHFFFAOYSA-N 0 0 442.476 -0.258 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC2(C(=O)N(C)C)CCCC2)c1 ZINC000504034517 1071737425 /nfs/dbraw/zinc/73/74/25/1071737425.db2.gz DTICKQBZJWLOIH-UHFFFAOYSA-N 0 0 431.493 -0.134 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)CC2CCCC2)c1 ZINC000504038053 1071737120 /nfs/dbraw/zinc/73/71/20/1071737120.db2.gz RWJXCCBCJSPEBZ-UHFFFAOYSA-N 0 0 431.493 -0.086 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3nc4ccccc4[nH]3)CC2)CC1 ZINC000504038564 1071737046 /nfs/dbraw/zinc/73/70/46/1071737046.db2.gz TUKMCMXCAANAAH-UHFFFAOYSA-N 0 0 435.554 -0.075 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cc2c(cc1N1CCCCC1)n(C)c(=O)n2C ZINC000504038701 1071737024 /nfs/dbraw/zinc/73/70/24/1071737024.db2.gz TZSHHXFTPUPFHZ-UHFFFAOYSA-N 0 0 446.508 -0.315 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)NCCc2ccccc2)c1 ZINC000504040648 1071736991 /nfs/dbraw/zinc/73/69/91/1071736991.db2.gz UEZDSECEEBKIEX-UHFFFAOYSA-N 0 0 439.472 -0.424 20 0 IBADRN Cn1ccc2c(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cccc2c1=O ZINC000504040686 1071737071 /nfs/dbraw/zinc/73/70/71/1071737071.db2.gz UNEPUAYGBHAABE-UHFFFAOYSA-N 0 0 439.472 -0.230 20 0 IBADRN NS(=O)(=O)c1cc(Br)cnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000504041182 1071737113 /nfs/dbraw/zinc/73/71/13/1071737113.db2.gz FHXIFPTVGKAOKF-UHFFFAOYSA-N 0 0 446.327 -0.238 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(CCO)Cc2cc(OC)ccc2OC)cc1C ZINC000504046006 1071737094 /nfs/dbraw/zinc/73/70/94/1071737094.db2.gz QOSBIQPVWPLXAK-UHFFFAOYSA-N 0 0 433.465 -0.086 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cc1C ZINC000504046559 1074343881 /nfs/dbraw/zinc/34/38/81/1074343881.db2.gz TUQFECXCGOGDNF-UHFFFAOYSA-N 0 0 441.492 -0.659 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)cc1C ZINC000504047138 1071737522 /nfs/dbraw/zinc/73/75/22/1071737522.db2.gz XDQVHPBUVGGARB-GOSISDBHSA-N 0 0 442.520 -0.064 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)cc1C ZINC000504047139 1071737459 /nfs/dbraw/zinc/73/74/59/1071737459.db2.gz XDQVHPBUVGGARB-SFHVURJKSA-N 0 0 442.520 -0.064 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(F)c(F)c2)cc1C ZINC000504047575 1071737051 /nfs/dbraw/zinc/73/70/51/1071737051.db2.gz ACOPLYLDWHPIGM-LLVKDONJSA-N 0 0 425.392 -0.290 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@H](O)COc2ccc(F)c(F)c2)cc1C ZINC000504047576 1071737400 /nfs/dbraw/zinc/73/74/00/1071737400.db2.gz ACOPLYLDWHPIGM-NSHDSACASA-N 0 0 425.392 -0.290 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(CCO)Cc2ccc(OC)cc2OC)cc1C ZINC000504048230 1071737414 /nfs/dbraw/zinc/73/74/14/1071737414.db2.gz JACOHTHRONQKTC-UHFFFAOYSA-N 0 0 433.465 -0.086 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cccnc3)CC2)c1 ZINC000504049996 1071737353 /nfs/dbraw/zinc/73/73/53/1071737353.db2.gz FFWOFTOVXDNIAQ-UHFFFAOYSA-N 0 0 438.488 -0.160 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)c1 ZINC000504051313 1071737309 /nfs/dbraw/zinc/73/73/09/1071737309.db2.gz GNWUCUVLSHPQOV-UHFFFAOYSA-N 0 0 444.517 -0.098 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000504051328 1071737951 /nfs/dbraw/zinc/73/79/51/1071737951.db2.gz IRPWGGGOHYJARF-UHFFFAOYSA-N 0 0 425.449 -0.760 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N[C@H]1COC[C@H]1n1ccnn1 ZINC000504054022 1071738051 /nfs/dbraw/zinc/73/80/51/1071738051.db2.gz DEPYHOFXLLIIGX-DLBZAZTESA-N 0 0 443.464 -0.315 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC3(C[C@H]3C(=O)OC)CC2)c1 ZINC000504055097 1071738115 /nfs/dbraw/zinc/73/81/15/1071738115.db2.gz MYHVMOBORLYEAR-HNNXBMFYSA-N 0 0 430.461 -0.097 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC3(C[C@@H]3C(=O)OC)CC2)c1 ZINC000504055099 1071738042 /nfs/dbraw/zinc/73/80/42/1071738042.db2.gz MYHVMOBORLYEAR-OAHLLOKOSA-N 0 0 430.461 -0.097 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](C(=O)NCC3CC3)C2)c1 ZINC000504055109 1071738087 /nfs/dbraw/zinc/73/80/87/1071738087.db2.gz NARKEROKDJJXKX-INIZCTEOSA-N 0 0 443.504 -0.134 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)NCC3CC3)C2)c1 ZINC000504055110 1071737930 /nfs/dbraw/zinc/73/79/30/1071737930.db2.gz NARKEROKDJJXKX-MRXNPFEDSA-N 0 0 443.504 -0.134 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000504055338 1072446249 /nfs/dbraw/zinc/44/62/49/1072446249.db2.gz KYWYXSBKSKAXRV-UHFFFAOYSA-N 0 0 431.540 -0.919 20 0 IBADRN NS(=O)(=O)c1cc(Br)cnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000504055520 1071738109 /nfs/dbraw/zinc/73/81/09/1071738109.db2.gz RRIHZAJGFFHKJE-UHFFFAOYSA-N 0 0 432.300 -0.581 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccn(Cc2ccncc2)n1 ZINC000504058325 1073326919 /nfs/dbraw/zinc/32/69/19/1073326919.db2.gz OHXJPBQNDBRTBU-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000504058477 1071738121 /nfs/dbraw/zinc/73/81/21/1071738121.db2.gz BUBKRMJGXOZGIK-INIZCTEOSA-N 0 0 441.506 -0.201 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000504058480 1071737975 /nfs/dbraw/zinc/73/79/75/1071737975.db2.gz BUBKRMJGXOZGIK-MRXNPFEDSA-N 0 0 441.506 -0.201 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2cccc(C)c2)c1 ZINC000504061558 1071737999 /nfs/dbraw/zinc/73/79/99/1071737999.db2.gz ZLOSKGVNSSCDIS-UHFFFAOYSA-N 0 0 439.472 -0.044 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000504061817 1071738101 /nfs/dbraw/zinc/73/81/01/1071738101.db2.gz JWNSZVMKNGKQDZ-HNNXBMFYSA-N 0 0 438.506 -0.444 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000504061820 1071738012 /nfs/dbraw/zinc/73/80/12/1071738012.db2.gz JWNSZVMKNGKQDZ-OAHLLOKOSA-N 0 0 438.506 -0.444 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)CCO1 ZINC000504062715 1071738096 /nfs/dbraw/zinc/73/80/96/1071738096.db2.gz LUQFFSGVCRRRDB-KRWDZBQOSA-N 0 0 432.477 -0.231 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)CCO1 ZINC000504062719 1071737991 /nfs/dbraw/zinc/73/79/91/1071737991.db2.gz LUQFFSGVCRRRDB-QGZVFWFLSA-N 0 0 432.477 -0.231 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000504062757 1071738064 /nfs/dbraw/zinc/73/80/64/1071738064.db2.gz XBQNQGSUAFRFDA-AWEZNQCLSA-N 0 0 447.535 -0.415 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000504062765 1071737962 /nfs/dbraw/zinc/73/79/62/1071737962.db2.gz XBQNQGSUAFRFDA-CQSZACIVSA-N 0 0 447.535 -0.415 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccccc2)c1 ZINC000504070462 1071738435 /nfs/dbraw/zinc/73/84/35/1071738435.db2.gz QKWCPTZSZHHXLE-UHFFFAOYSA-N 0 0 425.445 -0.353 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C)c(OC)c1 ZINC000504070873 1071738073 /nfs/dbraw/zinc/73/80/73/1071738073.db2.gz CIXVPDBVKXBCCM-UHFFFAOYSA-N 0 0 434.493 -0.046 20 0 IBADRN Cn1ccc2c(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cccc2c1=O ZINC000504074144 1071738540 /nfs/dbraw/zinc/73/85/40/1071738540.db2.gz HQBXHJRGYJKWAK-UHFFFAOYSA-N 0 0 438.531 -0.028 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)c1 ZINC000504075828 1071738427 /nfs/dbraw/zinc/73/84/27/1071738427.db2.gz MDVCWJVMFIXUEW-UHFFFAOYSA-N 0 0 439.472 -0.124 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccc(C)cc2)c1 ZINC000504076680 1071738414 /nfs/dbraw/zinc/73/84/14/1071738414.db2.gz OYWURCGBNGOWAR-UHFFFAOYSA-N 0 0 439.472 -0.044 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2ccn(C)c3=O)CC1 ZINC000504077399 1071738445 /nfs/dbraw/zinc/73/84/45/1071738445.db2.gz RJNUDZWXJRBIRH-UHFFFAOYSA-N 0 0 427.461 -0.328 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2cccc(C)c2)c1 ZINC000504078815 1071738534 /nfs/dbraw/zinc/73/85/34/1071738534.db2.gz WCQGRGLZJFFFLX-KRWDZBQOSA-N 0 0 442.472 -0.035 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2cccc(C)c2)c1 ZINC000504078817 1071738398 /nfs/dbraw/zinc/73/83/98/1071738398.db2.gz WCQGRGLZJFFFLX-QGZVFWFLSA-N 0 0 442.472 -0.035 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(C)(C)C)CC2)c1 ZINC000504078891 1071738510 /nfs/dbraw/zinc/73/85/10/1071738510.db2.gz WOTZNPZHXNSBQM-UHFFFAOYSA-N 0 0 431.493 -0.182 20 0 IBADRN Cn1ccc2c(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cccc2c1=O ZINC000504079297 1071738405 /nfs/dbraw/zinc/73/84/05/1071738405.db2.gz XFKYCMNPKMTUAN-UHFFFAOYSA-N 0 0 441.488 -0.520 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)c1 ZINC000504079968 1071738549 /nfs/dbraw/zinc/73/85/49/1071738549.db2.gz ZIYKHNRXRICQTG-UHFFFAOYSA-N 0 0 441.444 -0.322 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCCS(=O)(=O)CC1 ZINC000504080273 1071738482 /nfs/dbraw/zinc/73/84/82/1071738482.db2.gz HXXFKUZAVULPNM-UHFFFAOYSA-N 0 0 445.567 -0.637 20 0 IBADRN O=C(NCCC(=O)N1CCOCC1)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000504084902 1071738553 /nfs/dbraw/zinc/73/85/53/1071738553.db2.gz DTMRBYGCAZROGO-UHFFFAOYSA-N 0 0 446.551 -0.183 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c(OC)c1 ZINC000504086947 1071738031 /nfs/dbraw/zinc/73/80/31/1071738031.db2.gz LTFGAHHSCJJBRK-UHFFFAOYSA-N 0 0 448.476 -0.520 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnc(-c4ncccn4)s3)CC2)CC1 ZINC000504086968 1071738022 /nfs/dbraw/zinc/73/80/22/1071738022.db2.gz FDWKGAHBGFTTRD-UHFFFAOYSA-N 0 0 437.551 -0.150 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2ccc(F)cc2)c1 ZINC000504087264 1071739002 /nfs/dbraw/zinc/73/90/02/1071739002.db2.gz WNERXQOWJIKANT-INIZCTEOSA-N 0 0 446.435 -0.204 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(F)cc2)c1 ZINC000504087265 1071738996 /nfs/dbraw/zinc/73/89/96/1071738996.db2.gz WNERXQOWJIKANT-MRXNPFEDSA-N 0 0 446.435 -0.204 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)cc1C ZINC000504087794 1071738498 /nfs/dbraw/zinc/73/84/98/1071738498.db2.gz PJAMLQFNNPCNOM-UHFFFAOYSA-N 0 0 444.467 -0.071 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCS(=O)(=O)N3CCSCC3)cnc2n(C)c1=O ZINC000504087924 1071737940 /nfs/dbraw/zinc/73/79/40/1071737940.db2.gz PAVNKRIJKJLEHR-UHFFFAOYSA-N 0 0 442.523 -0.868 20 0 IBADRN O=C(Nc1ccnn1-c1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000504094578 1070902960 /nfs/dbraw/zinc/90/29/60/1070902960.db2.gz BZLFSQYLWDDFIB-UHFFFAOYSA-N 0 0 448.505 -0.468 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccnn2-c2ccccc2)CC1 ZINC000504095434 1070902923 /nfs/dbraw/zinc/90/29/23/1070902923.db2.gz GXGAGJPORMKCAO-UHFFFAOYSA-N 0 0 438.444 -0.369 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(OC)cc3)CC2)cc1C ZINC000504095809 1071739065 /nfs/dbraw/zinc/73/90/65/1071739065.db2.gz HWPZYVATOHUOGG-UHFFFAOYSA-N 0 0 442.476 -0.131 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(OC)c3)CC2)cc1C ZINC000504097060 1071738876 /nfs/dbraw/zinc/73/88/76/1071738876.db2.gz KQHOMMVNFFXWAS-UHFFFAOYSA-N 0 0 442.476 -0.131 20 0 IBADRN COCCN(C(C)=O)c1nc(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cs1 ZINC000504104859 1071738912 /nfs/dbraw/zinc/73/89/12/1071738912.db2.gz TVNHPPZXLIDLGZ-UHFFFAOYSA-N 0 0 447.583 -0.163 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000504110578 1071738861 /nfs/dbraw/zinc/73/88/61/1071738861.db2.gz MTOYKACCEHFKGS-UHFFFAOYSA-N 0 0 438.506 -0.490 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCC(=O)N1CCOCC1 ZINC000504112678 1071738959 /nfs/dbraw/zinc/73/89/59/1071738959.db2.gz VFRZZSPEIMQAGV-UHFFFAOYSA-N 0 0 432.477 -0.229 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000504113165 1071738892 /nfs/dbraw/zinc/73/88/92/1071738892.db2.gz WYSTVZADJVOSHO-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)s1 ZINC000504116371 1071738983 /nfs/dbraw/zinc/73/89/83/1071738983.db2.gz LRUCOENLKLUPDP-UHFFFAOYSA-N 0 0 429.524 -0.628 20 0 IBADRN COCCN(C(C)=O)c1nc(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cs1 ZINC000504123681 1071739012 /nfs/dbraw/zinc/73/90/12/1071739012.db2.gz KROKFVOWKOQZRA-UHFFFAOYSA-N 0 0 439.538 -0.355 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)Cc2sccc2C(=O)N2CCN(C[C@@H](C)O)CC2)CC1 ZINC000504128997 1071739573 /nfs/dbraw/zinc/73/95/73/1071739573.db2.gz CNTBTZFZPXCQRQ-IAGOWNOFSA-N 0 0 438.594 -0.046 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)cc2)cc1C ZINC000504132301 1074343799 /nfs/dbraw/zinc/34/37/99/1074343799.db2.gz WRQCMWHPSYRAEZ-UHFFFAOYSA-N 0 0 436.494 -0.721 20 0 IBADRN O=C(Nc1ccn(Cc2ccncc2)n1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000504133536 1074344186 /nfs/dbraw/zinc/34/41/86/1074344186.db2.gz XGDCMXVIPFGRHO-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000504134075 1071739455 /nfs/dbraw/zinc/73/94/55/1071739455.db2.gz DNNYFIRTBFWTAE-UHFFFAOYSA-N 0 0 446.551 -0.506 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000504134452 1071739422 /nfs/dbraw/zinc/73/94/22/1071739422.db2.gz GCWGUFMSKUTJRN-UHFFFAOYSA-N 0 0 438.506 -0.697 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000504136126 1071739562 /nfs/dbraw/zinc/73/95/62/1071739562.db2.gz MPMIKXIHPOVGKV-UHFFFAOYSA-N 0 0 426.542 -0.883 20 0 IBADRN COCC1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCC1 ZINC000504139643 1071739492 /nfs/dbraw/zinc/73/94/92/1071739492.db2.gz YUCFLUAZEWCSKJ-UHFFFAOYSA-N 0 0 438.506 -0.322 20 0 IBADRN CN(CCNC(=O)NCC1(O)CCS(=O)(=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000504144462 1071738944 /nfs/dbraw/zinc/73/89/44/1071738944.db2.gz ZQLSSHASDLBRBX-UHFFFAOYSA-N 0 0 437.515 -0.315 20 0 IBADRN O=C([C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000504147995 1071739038 /nfs/dbraw/zinc/73/90/38/1071739038.db2.gz AEEVYECESXFWOL-CVEARBPZSA-N 0 0 437.522 -0.316 20 0 IBADRN O=C([C@H]1CC=CC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)N1CCOCC1 ZINC000504148011 1071739469 /nfs/dbraw/zinc/73/94/69/1071739469.db2.gz AEEVYECESXFWOL-HOTGVXAUSA-N 0 0 437.522 -0.316 20 0 IBADRN O=C([C@@H]1CC=CC[C@H]1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)N1CCOCC1 ZINC000504148015 1071739449 /nfs/dbraw/zinc/73/94/49/1071739449.db2.gz AEEVYECESXFWOL-HZPDHXFCSA-N 0 0 437.522 -0.316 20 0 IBADRN O=C([C@@H]1CC=CC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)N1CCOCC1 ZINC000504148017 1071739502 /nfs/dbraw/zinc/73/95/02/1071739502.db2.gz AEEVYECESXFWOL-JKSUJKDBSA-N 0 0 437.522 -0.316 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C(=O)C12CCCCC2 ZINC000504148122 1071739049 /nfs/dbraw/zinc/73/90/49/1071739049.db2.gz AXWMAGMIGLLFCD-UHFFFAOYSA-N 0 0 438.510 -0.161 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000504148433 1071740048 /nfs/dbraw/zinc/74/00/48/1071740048.db2.gz DRKZLRMPADYHAA-UHFFFAOYSA-N 0 0 427.508 -0.193 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3cc[nH]n3)CC1)C2=O ZINC000504148563 1071740068 /nfs/dbraw/zinc/74/00/68/1071740068.db2.gz GOUSUMPSLVHKKL-ACJLOTCBSA-N 0 0 438.510 -0.257 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3cc[nH]n3)CC1)C2=O ZINC000504148568 1071740081 /nfs/dbraw/zinc/74/00/81/1071740081.db2.gz GOUSUMPSLVHKKL-FZKQIMNGSA-N 0 0 438.510 -0.257 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3cc[nH]n3)CC1)C2=O ZINC000504148569 1071740070 /nfs/dbraw/zinc/74/00/70/1071740070.db2.gz GOUSUMPSLVHKKL-SCLBCKFNSA-N 0 0 438.510 -0.257 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3cc[nH]n3)CC1)C2=O ZINC000504148570 1071739981 /nfs/dbraw/zinc/73/99/81/1071739981.db2.gz GOUSUMPSLVHKKL-UGSOOPFHSA-N 0 0 438.510 -0.257 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(S(=O)(=O)c3cc[nH]n3)CC1)C2=O ZINC000504148625 1071740025 /nfs/dbraw/zinc/74/00/25/1071740025.db2.gz HGWMLMXTQWHNHN-UHFFFAOYSA-N 0 0 438.510 -0.257 20 0 IBADRN Cc1cnccc1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000504149600 1071739396 /nfs/dbraw/zinc/73/93/96/1071739396.db2.gz QOFNZBJYXVHBBB-UHFFFAOYSA-N 0 0 439.519 -0.002 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)ccn1 ZINC000504151885 1071739543 /nfs/dbraw/zinc/73/95/43/1071739543.db2.gz UAKOPIOPOFXIOY-UHFFFAOYSA-N 0 0 439.519 -0.002 20 0 IBADRN COCC(=O)N1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000504152213 1071739376 /nfs/dbraw/zinc/73/93/76/1071739376.db2.gz AMBZQSAYPGYEFP-UHFFFAOYSA-N 0 0 446.551 -0.231 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC2CC2)c1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000504152685 1071739590 /nfs/dbraw/zinc/73/95/90/1071739590.db2.gz YVTZOOQNWRUVTF-UHFFFAOYSA-N 0 0 439.519 -0.003 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3cccs3)CC2)CC1 ZINC000504153734 1071739436 /nfs/dbraw/zinc/73/94/36/1071739436.db2.gz GGWLPCLOXRBJFV-UHFFFAOYSA-N 0 0 444.583 -0.703 20 0 IBADRN O=C(NCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cccs1 ZINC000504154548 1072448565 /nfs/dbraw/zinc/44/85/65/1072448565.db2.gz JXAKXQNYFZOFFC-UHFFFAOYSA-N 0 0 431.540 -0.618 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000504154913 1071739412 /nfs/dbraw/zinc/73/94/12/1071739412.db2.gz CJTSGEVFMWCPKW-AWEZNQCLSA-N 0 0 433.556 -0.163 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000504154914 1071739484 /nfs/dbraw/zinc/73/94/84/1071739484.db2.gz CJTSGEVFMWCPKW-CQSZACIVSA-N 0 0 433.556 -0.163 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000504155298 1071739512 /nfs/dbraw/zinc/73/95/12/1071739512.db2.gz FDKCNFDNPPNQRF-NSHDSACASA-N 0 0 446.511 -0.786 20 0 IBADRN O=C(NCCN1CCOCC1)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000504171432 1071740021 /nfs/dbraw/zinc/74/00/21/1071740021.db2.gz KZNJZGFXHNQKJU-UHFFFAOYSA-N 0 0 426.539 -0.020 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000504182894 1071740085 /nfs/dbraw/zinc/74/00/85/1071740085.db2.gz CGIYARFSPWOOPK-HNNXBMFYSA-N 0 0 446.547 -0.096 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000504182901 1071740091 /nfs/dbraw/zinc/74/00/91/1071740091.db2.gz CGIYARFSPWOOPK-OAHLLOKOSA-N 0 0 446.547 -0.096 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000504183896 1072449750 /nfs/dbraw/zinc/44/97/50/1072449750.db2.gz LOHGZBZLUOCLEQ-UHFFFAOYSA-N 0 0 449.489 -0.117 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000504188871 1071740560 /nfs/dbraw/zinc/74/05/60/1071740560.db2.gz XFBFENLFKAUGBC-UHFFFAOYSA-N 0 0 449.489 -0.117 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2cccc(NS(C)(=O)=O)c2)CC1 ZINC000504189102 1071740073 /nfs/dbraw/zinc/74/00/73/1071740073.db2.gz JNVBZXDHNVNYRK-UHFFFAOYSA-N 0 0 425.511 -0.064 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000504189520 1071740507 /nfs/dbraw/zinc/74/05/07/1071740507.db2.gz OKQQNIQSIGFWHC-UHFFFAOYSA-N 0 0 439.538 -0.256 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000504191957 1071740424 /nfs/dbraw/zinc/74/04/24/1071740424.db2.gz XKPARPNZNLKKBQ-AWEZNQCLSA-N 0 0 432.520 -0.025 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000504191958 1071740475 /nfs/dbraw/zinc/74/04/75/1071740475.db2.gz XKPARPNZNLKKBQ-CQSZACIVSA-N 0 0 432.520 -0.025 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1C ZINC000504192309 1071740413 /nfs/dbraw/zinc/74/04/13/1071740413.db2.gz ZBDCMHHORMOCBG-CVEARBPZSA-N 0 0 445.563 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1C ZINC000504192313 1071740482 /nfs/dbraw/zinc/74/04/82/1071740482.db2.gz ZBDCMHHORMOCBG-HOTGVXAUSA-N 0 0 445.563 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1C ZINC000504192315 1071740597 /nfs/dbraw/zinc/74/05/97/1071740597.db2.gz ZBDCMHHORMOCBG-HZPDHXFCSA-N 0 0 445.563 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1C ZINC000504192316 1071740519 /nfs/dbraw/zinc/74/05/19/1071740519.db2.gz ZBDCMHHORMOCBG-JKSUJKDBSA-N 0 0 445.563 -0.139 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)n1 ZINC000504204742 1071739991 /nfs/dbraw/zinc/73/99/91/1071739991.db2.gz YFMIVTFWKZGIAX-UHFFFAOYSA-N 0 0 442.451 -0.168 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)cs1 ZINC000504212131 1071740570 /nfs/dbraw/zinc/74/05/70/1071740570.db2.gz UJCJYDXMQDIDCD-UHFFFAOYSA-N 0 0 438.491 -0.583 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)CC1 ZINC000504217935 1071740529 /nfs/dbraw/zinc/74/05/29/1071740529.db2.gz FATMTNIQRGAWPP-UHFFFAOYSA-N 0 0 430.509 -0.042 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cnn(-c2ccccc2)c1 ZINC000504219106 1071740463 /nfs/dbraw/zinc/74/04/63/1071740463.db2.gz NNJCGMRPVKEQOL-UHFFFAOYSA-N 0 0 433.490 -0.224 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@H](S(C)(=O)=O)C3)CC2)cc1 ZINC000504227979 1071740452 /nfs/dbraw/zinc/74/04/52/1071740452.db2.gz KHIDUNMZUSXFCF-KRWDZBQOSA-N 0 0 429.564 -0.053 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@@H](S(C)(=O)=O)C3)CC2)cc1 ZINC000504227980 1071740996 /nfs/dbraw/zinc/74/09/96/1071740996.db2.gz KHIDUNMZUSXFCF-QGZVFWFLSA-N 0 0 429.564 -0.053 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)n1 ZINC000504231946 1071740541 /nfs/dbraw/zinc/74/05/41/1071740541.db2.gz ASMXRXBPDNFZLJ-UHFFFAOYSA-N 0 0 430.509 -0.040 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)n2)CC1 ZINC000504232106 1071740437 /nfs/dbraw/zinc/74/04/37/1071740437.db2.gz DBUYOJMOLLUXLM-UHFFFAOYSA-N 0 0 446.489 -0.624 20 0 IBADRN CN(C(=O)[C@@H]1CC[C@H](C(N)=O)O1)[C@H](CNC(=O)[C@H]1CC[C@@H](C(N)=O)O1)Cc1ccccc1 ZINC000504232999 1071740591 /nfs/dbraw/zinc/74/05/91/1071740591.db2.gz RQXGVCLKMHLQHK-AVEIZBFRSA-N 0 0 446.504 -0.762 20 0 IBADRN CN(C(=O)[C@@H]1CC[C@H](C(N)=O)O1)[C@H](CNC(=O)[C@@H]1CC[C@@H](C(N)=O)O1)Cc1ccccc1 ZINC000504233000 1071740377 /nfs/dbraw/zinc/74/03/77/1071740377.db2.gz RQXGVCLKMHLQHK-JCECYMMASA-N 0 0 446.504 -0.762 20 0 IBADRN CN(C(=O)[C@@H]1CC[C@H](C(N)=O)O1)[C@H](CNC(=O)[C@@H]1CC[C@H](C(N)=O)O1)Cc1ccccc1 ZINC000504233001 1071740402 /nfs/dbraw/zinc/74/04/02/1071740402.db2.gz RQXGVCLKMHLQHK-PNKHAZJDSA-N 0 0 446.504 -0.762 20 0 IBADRN CN(C(=O)[C@@H]1CC[C@H](C(N)=O)O1)[C@H](CNC(=O)[C@H]1CC[C@H](C(N)=O)O1)Cc1ccccc1 ZINC000504233002 1071740584 /nfs/dbraw/zinc/74/05/84/1071740584.db2.gz RQXGVCLKMHLQHK-TZNCUMHOSA-N 0 0 446.504 -0.762 20 0 IBADRN CC(C)NC(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000504238194 1071740902 /nfs/dbraw/zinc/74/09/02/1071740902.db2.gz YVSJALRVPQVWED-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)CC1 ZINC000504239895 1071740913 /nfs/dbraw/zinc/74/09/13/1071740913.db2.gz VFUSZQQJKYUNAL-UHFFFAOYSA-N 0 0 430.509 -0.088 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCCCS(N)(=O)=O)CC2)cc1 ZINC000504244544 1071741029 /nfs/dbraw/zinc/74/10/29/1071741029.db2.gz RTDZJTMOIQIDSH-UHFFFAOYSA-N 0 0 432.568 -0.514 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000504244906 1071740971 /nfs/dbraw/zinc/74/09/71/1071740971.db2.gz UNRWIVWCGWRKIC-UHFFFAOYSA-N 0 0 434.359 -0.026 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2nc(CN3CCOCC3)cs2)CC1 ZINC000504253467 1071740959 /nfs/dbraw/zinc/74/09/59/1071740959.db2.gz MXZWZHRVABOYSF-UHFFFAOYSA-N 0 0 438.554 -0.016 20 0 IBADRN Cn1nccc1CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000504261590 1071741003 /nfs/dbraw/zinc/74/10/03/1071741003.db2.gz OGBRIEWCICIRLK-UHFFFAOYSA-N 0 0 442.523 -0.716 20 0 IBADRN COc1cc(CNC(=O)NCCS(=O)(=O)N2CCSCC2)ccc1OCC(N)=O ZINC000504270654 1071741063 /nfs/dbraw/zinc/74/10/63/1071741063.db2.gz IVULUPLMTLRLAF-UHFFFAOYSA-N 0 0 446.551 -0.263 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)C1 ZINC000504273252 1071740936 /nfs/dbraw/zinc/74/09/36/1071740936.db2.gz RLSHNZWNZZSXNJ-CABCVRRESA-N 0 0 430.509 -0.042 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)C1 ZINC000504273253 1071740992 /nfs/dbraw/zinc/74/09/92/1071740992.db2.gz RLSHNZWNZZSXNJ-GJZGRUSLSA-N 0 0 430.509 -0.042 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)C1 ZINC000504273254 1071740927 /nfs/dbraw/zinc/74/09/27/1071740927.db2.gz RLSHNZWNZZSXNJ-HUUCEWRRSA-N 0 0 430.509 -0.042 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)C1 ZINC000504273255 1071740897 /nfs/dbraw/zinc/74/08/97/1071740897.db2.gz RLSHNZWNZZSXNJ-LSDHHAIUSA-N 0 0 430.509 -0.042 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3ccn[nH]3)CC2)cc1 ZINC000504277909 1071740907 /nfs/dbraw/zinc/74/09/07/1071740907.db2.gz ADQXXTJFYCQUMR-UHFFFAOYSA-N 0 0 436.494 -0.136 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCNC(=O)c2ccn[nH]2)CC1 ZINC000504279216 1071741532 /nfs/dbraw/zinc/74/15/32/1071741532.db2.gz GCSNTNYELXRRRW-UHFFFAOYSA-N 0 0 435.510 -0.421 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2ccn[nH]2)CC1 ZINC000504280744 1071741431 /nfs/dbraw/zinc/74/14/31/1071741431.db2.gz KPAJJRNIQYBGIC-UHFFFAOYSA-N 0 0 425.471 -0.540 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000504282326 1071741376 /nfs/dbraw/zinc/74/13/76/1071741376.db2.gz SRTNLQMHPDECMS-JSGCOSHPSA-N 0 0 439.943 -0.351 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000504282327 1071741359 /nfs/dbraw/zinc/74/13/59/1071741359.db2.gz SRTNLQMHPDECMS-OCCSQVGLSA-N 0 0 439.943 -0.351 20 0 IBADRN O=C(NCCNC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)c1ccn[nH]1 ZINC000504288536 1071741396 /nfs/dbraw/zinc/74/13/96/1071741396.db2.gz IKBUUJMVJYNAKD-UHFFFAOYSA-N 0 0 446.493 -0.268 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)C2)nc1 ZINC000504297273 1071741451 /nfs/dbraw/zinc/74/14/51/1071741451.db2.gz HNKDIPPVTRSLBN-DLBZAZTESA-N 0 0 432.477 -0.031 20 0 IBADRN O=C(NCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)c1ccn[nH]1 ZINC000504298342 1071741588 /nfs/dbraw/zinc/74/15/88/1071741588.db2.gz NZNFLTBSZLLLJT-UHFFFAOYSA-N 0 0 429.485 -0.702 20 0 IBADRN O=C(NCCNC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1)c1ccn[nH]1 ZINC000504300223 1071741409 /nfs/dbraw/zinc/74/14/09/1071741409.db2.gz XBJOMANKDNATGI-CYBMUJFWSA-N 0 0 426.433 -0.154 20 0 IBADRN O=C(NCCNC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1)c1ccn[nH]1 ZINC000504300224 1071741522 /nfs/dbraw/zinc/74/15/22/1071741522.db2.gz XBJOMANKDNATGI-ZDUSSCGKSA-N 0 0 426.433 -0.154 20 0 IBADRN O=C(NC1CCN(c2ccccn2)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000504302627 1071741364 /nfs/dbraw/zinc/74/13/64/1071741364.db2.gz NTPCVPWNHJBFEP-UHFFFAOYSA-N 0 0 438.554 -0.045 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2ccn[nH]2)CC1 ZINC000504307733 1071741508 /nfs/dbraw/zinc/74/15/08/1071741508.db2.gz SXWVDHJWSBOGDT-UHFFFAOYSA-N 0 0 441.558 -0.024 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CCOC1 ZINC000504308933 1071741351 /nfs/dbraw/zinc/74/13/51/1071741351.db2.gz CSRIUVMZLVHQJZ-CYBMUJFWSA-N 0 0 427.479 -0.050 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CCOC1 ZINC000504308934 1071741497 /nfs/dbraw/zinc/74/14/97/1071741497.db2.gz CSRIUVMZLVHQJZ-ZDUSSCGKSA-N 0 0 427.479 -0.050 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000504313805 1071741545 /nfs/dbraw/zinc/74/15/45/1071741545.db2.gz KDKIPOOYACDBFB-UHFFFAOYSA-N 0 0 435.524 -0.299 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCCNC(=O)c2ccn[nH]2)CC1 ZINC000504315715 1071741906 /nfs/dbraw/zinc/74/19/06/1071741906.db2.gz XJXWHADETGOGQO-UHFFFAOYSA-N 0 0 439.498 -0.149 20 0 IBADRN O=C(NC1CCN(c2ccccn2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000504316060 1071742069 /nfs/dbraw/zinc/74/20/69/1071742069.db2.gz DZBBELFBRFXYHA-UHFFFAOYSA-N 0 0 430.509 -0.237 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCCCS(N)(=O)=O)CC2)c1 ZINC000504320917 1071741917 /nfs/dbraw/zinc/74/19/17/1071741917.db2.gz KOKHQPVOJAARGY-UHFFFAOYSA-N 0 0 432.568 -0.368 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3ccn[nH]3)CC2)cs1 ZINC000504322566 1071741878 /nfs/dbraw/zinc/74/18/78/1071741878.db2.gz WTJZLWSSWJRYOE-UHFFFAOYSA-N 0 0 427.512 -0.379 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCNc3ccccn3)CC2)CC1 ZINC000504325563 1071741940 /nfs/dbraw/zinc/74/19/40/1071741940.db2.gz QQZAPOAGENKOTA-UHFFFAOYSA-N 0 0 425.559 -0.297 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CN(C)CC(=O)NC(C)C)CC3)C2=O)n(C)n1 ZINC000504327580 1071742085 /nfs/dbraw/zinc/74/20/85/1071742085.db2.gz DDEUCMSEVGOOQT-GOSISDBHSA-N 0 0 447.584 -0.175 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CN(C)CC(=O)NC(C)C)CC3)C2=O)n(C)n1 ZINC000504327581 1071742106 /nfs/dbraw/zinc/74/21/06/1071742106.db2.gz DDEUCMSEVGOOQT-SFHVURJKSA-N 0 0 447.584 -0.175 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000504331177 1071741856 /nfs/dbraw/zinc/74/18/56/1071741856.db2.gz XRSYTMUBOKYAQZ-HNNXBMFYSA-N 0 0 443.512 -0.266 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000504331178 1071741973 /nfs/dbraw/zinc/74/19/73/1071741973.db2.gz XRSYTMUBOKYAQZ-OAHLLOKOSA-N 0 0 443.512 -0.266 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c(OC)c1 ZINC000504337151 1071742002 /nfs/dbraw/zinc/74/20/02/1071742002.db2.gz CMAGJRAWIPXPQA-UHFFFAOYSA-N 0 0 446.464 -0.560 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](c3nnc(C)[nH]3)C2)c1 ZINC000504338526 1071742096 /nfs/dbraw/zinc/74/20/96/1071742096.db2.gz LEFLOJRCBYVKNB-AWEZNQCLSA-N 0 0 427.465 -0.066 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](c3nnc(C)[nH]3)C2)c1 ZINC000504338527 1071742031 /nfs/dbraw/zinc/74/20/31/1071742031.db2.gz LEFLOJRCBYVKNB-CQSZACIVSA-N 0 0 427.465 -0.066 20 0 IBADRN O=C(NCCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)c1ccn[nH]1 ZINC000504342816 1071741897 /nfs/dbraw/zinc/74/18/97/1071741897.db2.gz JATLTQCBKBMVAK-UHFFFAOYSA-N 0 0 430.494 -0.264 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NCCNC(=O)c2ccn[nH]2)c1 ZINC000504343251 1071742045 /nfs/dbraw/zinc/74/20/45/1071742045.db2.gz NDRPMPLXJZWQHI-UHFFFAOYSA-N 0 0 429.441 -0.026 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)c1 ZINC000504345074 1071741928 /nfs/dbraw/zinc/74/19/28/1071741928.db2.gz JHWXRWACPWXUDD-UHFFFAOYSA-N 0 0 440.460 -0.039 20 0 IBADRN Cn1nnc(CNC(=O)C(=O)Nc2cc3c(cc2N2CCCCC2)n(C)c(=O)n3C)n1 ZINC000504345881 1071743396 /nfs/dbraw/zinc/74/33/96/1071743396.db2.gz POBXXIUVQYIIRB-UHFFFAOYSA-N 0 0 427.469 -0.354 20 0 IBADRN O=C(NCCNC(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1)c1ccn[nH]1 ZINC000504349764 1071741960 /nfs/dbraw/zinc/74/19/60/1071741960.db2.gz NDUXVEUCPZKFJZ-UHFFFAOYSA-N 0 0 427.531 -0.413 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)c(N3CCCCC3)cc2n(C)c1=O ZINC000504349809 1071742435 /nfs/dbraw/zinc/74/24/35/1071742435.db2.gz AIVCFFYFCYFHRC-KBPBESRZSA-N 0 0 431.493 -0.240 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N3C[C@@H](O)C[C@@H]3CO)c(N3CCCCC3)cc2n(C)c1=O ZINC000504349810 1071742428 /nfs/dbraw/zinc/74/24/28/1071742428.db2.gz AIVCFFYFCYFHRC-KGLIPLIRSA-N 0 0 431.493 -0.240 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N3C[C@H](O)C[C@H]3CO)c(N3CCCCC3)cc2n(C)c1=O ZINC000504349811 1071742439 /nfs/dbraw/zinc/74/24/39/1071742439.db2.gz AIVCFFYFCYFHRC-UONOGXRCSA-N 0 0 431.493 -0.240 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N3C[C@H](O)C[C@@H]3CO)c(N3CCCCC3)cc2n(C)c1=O ZINC000504349812 1071742419 /nfs/dbraw/zinc/74/24/19/1071742419.db2.gz AIVCFFYFCYFHRC-ZIAGYGMSSA-N 0 0 431.493 -0.240 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000504350190 1071741888 /nfs/dbraw/zinc/74/18/88/1071741888.db2.gz CAXMNKSMLYYFII-AWEZNQCLSA-N 0 0 427.479 -0.050 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000504350191 1071742053 /nfs/dbraw/zinc/74/20/53/1071742053.db2.gz CAXMNKSMLYYFII-CQSZACIVSA-N 0 0 427.479 -0.050 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000504350231 1071742016 /nfs/dbraw/zinc/74/20/16/1071742016.db2.gz CMFHDSUBFKBWIK-CYBMUJFWSA-N 0 0 447.535 -0.167 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000504350232 1071742026 /nfs/dbraw/zinc/74/20/26/1071742026.db2.gz CMFHDSUBFKBWIK-ZDUSSCGKSA-N 0 0 447.535 -0.167 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)OC)c1 ZINC000504350595 1071742457 /nfs/dbraw/zinc/74/24/57/1071742457.db2.gz FHMHCKOXBYTZAD-JLJPHGGASA-N 0 0 430.461 -0.099 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H]3CCC[C@H]3[C@H]2C(=O)OC)c1 ZINC000504350598 1071742531 /nfs/dbraw/zinc/74/25/31/1071742531.db2.gz FHMHCKOXBYTZAD-LXZKKBNFSA-N 0 0 430.461 -0.099 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)OC)c1 ZINC000504350599 1071742549 /nfs/dbraw/zinc/74/25/49/1071742549.db2.gz FHMHCKOXBYTZAD-QRTARXTBSA-N 0 0 430.461 -0.099 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)OC)c1 ZINC000504350600 1071742557 /nfs/dbraw/zinc/74/25/57/1071742557.db2.gz FHMHCKOXBYTZAD-YSVLISHTSA-N 0 0 430.461 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H]1CCOC1 ZINC000504352427 1071742493 /nfs/dbraw/zinc/74/24/93/1071742493.db2.gz RBZIFWXMBAXWAX-CYBMUJFWSA-N 0 0 426.495 -0.525 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1CCOC1 ZINC000504352428 1071742406 /nfs/dbraw/zinc/74/24/06/1071742406.db2.gz RBZIFWXMBAXWAX-ZDUSSCGKSA-N 0 0 426.495 -0.525 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000504352653 1071742476 /nfs/dbraw/zinc/74/24/76/1071742476.db2.gz SBLNYYPCRDKGBN-UHFFFAOYSA-N 0 0 436.600 -0.061 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000504352729 1071742393 /nfs/dbraw/zinc/74/23/93/1071742393.db2.gz SKXYRGCVQQXKAI-HNNXBMFYSA-N 0 0 434.584 -0.355 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000504352734 1071742465 /nfs/dbraw/zinc/74/24/65/1071742465.db2.gz SKXYRGCVQQXKAI-OAHLLOKOSA-N 0 0 434.584 -0.355 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000504353536 1071742445 /nfs/dbraw/zinc/74/24/45/1071742445.db2.gz WYEVEIDULKNXBI-CYBMUJFWSA-N 0 0 428.602 -0.522 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000504353538 1071742541 /nfs/dbraw/zinc/74/25/41/1071742541.db2.gz WYEVEIDULKNXBI-ZDUSSCGKSA-N 0 0 428.602 -0.522 20 0 IBADRN COCCN(C(=O)CN1C(=O)C(=O)N(Cc2ccccc2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000504354102 1072454983 /nfs/dbraw/zinc/45/49/83/1072454983.db2.gz UXEWSFFBUVPUMU-HNNXBMFYSA-N 0 0 437.474 -0.361 20 0 IBADRN COCCN(C(=O)CN1C(=O)C(=O)N(Cc2ccccc2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000504354103 1071742507 /nfs/dbraw/zinc/74/25/07/1071742507.db2.gz UXEWSFFBUVPUMU-OAHLLOKOSA-N 0 0 437.474 -0.361 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)O1)N1CCOCC1 ZINC000504356727 1071742900 /nfs/dbraw/zinc/74/29/00/1071742900.db2.gz ZGHYFKMKQXYASR-MOPGFXCFSA-N 0 0 449.529 -0.004 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H](C)O[C@]3(CCO[C@H]3C)C2)c1 ZINC000504356880 1071742895 /nfs/dbraw/zinc/74/28/95/1071742895.db2.gz DJCVIFGVWFVTKL-DGCWBMGLSA-N 0 0 432.477 -0.104 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H](C)O[C@]3(CCO[C@@H]3C)C2)c1 ZINC000504356881 1071742970 /nfs/dbraw/zinc/74/29/70/1071742970.db2.gz DJCVIFGVWFVTKL-PXIJXODZSA-N 0 0 432.477 -0.104 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCO[C@@H]3C)C2)c1 ZINC000504356882 1071742824 /nfs/dbraw/zinc/74/28/24/1071742824.db2.gz DJCVIFGVWFVTKL-QTCYRWPVSA-N 0 0 432.477 -0.104 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCO[C@H]3C)C2)c1 ZINC000504356883 1071742943 /nfs/dbraw/zinc/74/29/43/1071742943.db2.gz DJCVIFGVWFVTKL-RXSFTSLZSA-N 0 0 432.477 -0.104 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N(C)CCN2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000504356994 1071742915 /nfs/dbraw/zinc/74/29/15/1071742915.db2.gz FUNPPKCSEGPTQJ-GASCZTMLSA-N 0 0 433.509 -0.332 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)Nc2cccc(F)c2)c1 ZINC000504357137 1071742523 /nfs/dbraw/zinc/74/25/23/1071742523.db2.gz HGVUTUKRMHCHJT-UHFFFAOYSA-N 0 0 429.408 -0.005 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1)N1CCCCC1 ZINC000504357213 1071742480 /nfs/dbraw/zinc/74/24/80/1071742480.db2.gz HZFPNOIMQQACFE-UHFFFAOYSA-N 0 0 447.627 -0.295 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)NCC(=O)NCCO)c(N3CCCCC3)cc2n(C)c1=O ZINC000504357245 1071742498 /nfs/dbraw/zinc/74/24/98/1071742498.db2.gz IGMLFUHJVSGFID-UHFFFAOYSA-N 0 0 432.481 -0.970 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c1 ZINC000504357251 1071742375 /nfs/dbraw/zinc/74/23/75/1071742375.db2.gz IJPYOMRUCVVLAI-AWEZNQCLSA-N 0 0 447.492 -0.803 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c1 ZINC000504357252 1071742830 /nfs/dbraw/zinc/74/28/30/1071742830.db2.gz IJPYOMRUCVVLAI-CQSZACIVSA-N 0 0 447.492 -0.803 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)c1 ZINC000504357399 1071742800 /nfs/dbraw/zinc/74/28/00/1071742800.db2.gz JQEPINIWSQTDPB-AWEZNQCLSA-N 0 0 433.509 -0.596 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)c1 ZINC000504357400 1071742866 /nfs/dbraw/zinc/74/28/66/1071742866.db2.gz JQEPINIWSQTDPB-CQSZACIVSA-N 0 0 433.509 -0.596 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)Nc2ccc(F)cc2)c1 ZINC000504358737 1073453318 /nfs/dbraw/zinc/45/33/18/1073453318.db2.gz SCHKCLUTKYDSJC-UHFFFAOYSA-N 0 0 429.408 -0.005 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N(C)CC(C)(C)CN2CCOCC2)c1 ZINC000504359417 1071742951 /nfs/dbraw/zinc/74/29/51/1071742951.db2.gz VFSFJNHNARVBGT-UHFFFAOYSA-N 0 0 447.536 -0.082 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N(C)CCN2CCOC(C)(C)C2)c1 ZINC000504359743 1071742977 /nfs/dbraw/zinc/74/29/77/1071742977.db2.gz WOXAKIMVUCNPLR-UHFFFAOYSA-N 0 0 433.509 -0.330 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000504361384 1071742851 /nfs/dbraw/zinc/74/28/51/1071742851.db2.gz DKRYBXNLEIYHJH-UHFFFAOYSA-N 0 0 433.552 -0.175 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000504364527 1071742817 /nfs/dbraw/zinc/74/28/17/1071742817.db2.gz NIVHPHNQMBSWLO-CABCVRRESA-N 0 0 436.600 -0.062 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000504364528 1071742906 /nfs/dbraw/zinc/74/29/06/1071742906.db2.gz NIVHPHNQMBSWLO-GJZGRUSLSA-N 0 0 436.600 -0.062 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000504364529 1071742777 /nfs/dbraw/zinc/74/27/77/1071742777.db2.gz NIVHPHNQMBSWLO-HUUCEWRRSA-N 0 0 436.600 -0.062 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000504364530 1071742888 /nfs/dbraw/zinc/74/28/88/1071742888.db2.gz NIVHPHNQMBSWLO-LSDHHAIUSA-N 0 0 436.600 -0.062 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2cccs2)c1 ZINC000504364612 1071742998 /nfs/dbraw/zinc/74/29/98/1071742998.db2.gz OBQBSPPIJSLLJZ-INIZCTEOSA-N 0 0 443.485 -0.138 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2cccs2)c1 ZINC000504364613 1071743008 /nfs/dbraw/zinc/74/30/08/1071743008.db2.gz OBQBSPPIJSLLJZ-MRXNPFEDSA-N 0 0 443.485 -0.138 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N[C@@H]3CCC(=O)NC3=O)c(N3CCCCC3)cc2n(C)c1=O ZINC000504364898 1071742787 /nfs/dbraw/zinc/74/27/87/1071742787.db2.gz QOOAGPOEPWSKQO-GFCCVEGCSA-N 0 0 442.476 -0.273 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N[C@H]3CCC(=O)NC3=O)c(N3CCCCC3)cc2n(C)c1=O ZINC000504364902 1071742962 /nfs/dbraw/zinc/74/29/62/1071742962.db2.gz QOOAGPOEPWSKQO-LBPRGKRZSA-N 0 0 442.476 -0.273 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000504366409 1071743978 /nfs/dbraw/zinc/74/39/78/1071743978.db2.gz VRNBZFHOMFLACQ-INIZCTEOSA-N 0 0 447.579 -0.001 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000504366410 1071744045 /nfs/dbraw/zinc/74/40/45/1071744045.db2.gz VRNBZFHOMFLACQ-MRXNPFEDSA-N 0 0 447.579 -0.001 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCCCS(N)(=O)=O ZINC000504369252 1071743996 /nfs/dbraw/zinc/74/39/96/1071743996.db2.gz YJVZSOVGKWTRSI-LBPRGKRZSA-N 0 0 435.524 -0.300 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@@]2(CCOC2)O1 ZINC000504370029 1071743901 /nfs/dbraw/zinc/74/39/01/1071743901.db2.gz JHJMEUPWYDHQSS-HRAATJIYSA-N 0 0 432.477 -0.103 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@]2(CCOC2)O1 ZINC000504370031 1071743890 /nfs/dbraw/zinc/74/38/90/1071743890.db2.gz JHJMEUPWYDHQSS-IERDGZPVSA-N 0 0 432.477 -0.103 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@@]2(CCOC2)O1 ZINC000504370033 1071743944 /nfs/dbraw/zinc/74/39/44/1071743944.db2.gz JHJMEUPWYDHQSS-IIBYNOLFSA-N 0 0 432.477 -0.103 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@]2(CCOC2)O1 ZINC000504370034 1071744041 /nfs/dbraw/zinc/74/40/41/1071744041.db2.gz JHJMEUPWYDHQSS-KKSFZXQISA-N 0 0 432.477 -0.103 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H](CO)Cc2ccc(F)cc2)c1 ZINC000504370584 1071743916 /nfs/dbraw/zinc/74/39/16/1071743916.db2.gz MPOGXPHMZIGMME-KRWDZBQOSA-N 0 0 430.436 -0.040 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H](CO)Cc2ccc(F)cc2)c1 ZINC000504370588 1071743882 /nfs/dbraw/zinc/74/38/82/1071743882.db2.gz MPOGXPHMZIGMME-QGZVFWFLSA-N 0 0 430.436 -0.040 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC000504370698 1071743927 /nfs/dbraw/zinc/74/39/27/1071743927.db2.gz MXHJHIBUKGPARH-UHFFFAOYSA-N 0 0 429.355 -0.709 20 0 IBADRN O=C(Nc1ccc(OCC(F)(F)F)c(F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000504371199 1071744035 /nfs/dbraw/zinc/74/40/35/1071744035.db2.gz PHJHWYINXVUABL-JTQLQIEISA-N 0 0 432.330 -0.126 20 0 IBADRN O=C(Nc1ccc(OCC(F)(F)F)c(F)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000504371200 1071743935 /nfs/dbraw/zinc/74/39/35/1071743935.db2.gz PHJHWYINXVUABL-SNVBAGLBSA-N 0 0 432.330 -0.126 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H](C)O[C@]3(CCCOC3)C2)c1 ZINC000504372399 1071743487 /nfs/dbraw/zinc/74/34/87/1071743487.db2.gz WQYCOCJTAIZNBU-LHSJRXKWSA-N 0 0 432.477 -0.103 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCCOC3)C2)c1 ZINC000504372400 1071743430 /nfs/dbraw/zinc/74/34/30/1071743430.db2.gz WQYCOCJTAIZNBU-QKKBWIMNSA-N 0 0 432.477 -0.103 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@]3(CCCOC3)C2)c1 ZINC000504372401 1071743350 /nfs/dbraw/zinc/74/33/50/1071743350.db2.gz WQYCOCJTAIZNBU-SPLOXXLWSA-N 0 0 432.477 -0.103 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@]3(CCCOC3)C2)c1 ZINC000504372402 1071743516 /nfs/dbraw/zinc/74/35/16/1071743516.db2.gz WQYCOCJTAIZNBU-SZNDQCEHSA-N 0 0 432.477 -0.103 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000504375908 1071743617 /nfs/dbraw/zinc/74/36/17/1071743617.db2.gz YOEURAYMLCFUGH-UHFFFAOYSA-N 0 0 440.464 -0.906 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)NCCOCC(N)=O)c(N3CCCCC3)cc2n(C)c1=O ZINC000504377095 1071743373 /nfs/dbraw/zinc/74/33/73/1071743373.db2.gz CVRUCICYZUETPJ-UHFFFAOYSA-N 0 0 432.481 -0.576 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000504377915 1071743455 /nfs/dbraw/zinc/74/34/55/1071743455.db2.gz HNZTUMSFSSTHQN-AWEZNQCLSA-N 0 0 442.629 -0.132 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000504377916 1071743532 /nfs/dbraw/zinc/74/35/32/1071743532.db2.gz HNZTUMSFSSTHQN-CQSZACIVSA-N 0 0 442.629 -0.132 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504378180 1071743363 /nfs/dbraw/zinc/74/33/63/1071743363.db2.gz KZVDLERQYVOGFO-UHFFFAOYSA-N 0 0 440.504 -0.088 20 0 IBADRN CCO[C@H]1C[C@](O)(CNC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1(C)C ZINC000504378247 1071743545 /nfs/dbraw/zinc/74/35/45/1071743545.db2.gz LQKJMHSTANAWRC-BTYIYWSLSA-N 0 0 434.493 -0.217 20 0 IBADRN CCO[C@@H]1C[C@@](O)(CNC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1(C)C ZINC000504378248 1071743601 /nfs/dbraw/zinc/74/36/01/1071743601.db2.gz LQKJMHSTANAWRC-QVKFZJNVSA-N 0 0 434.493 -0.217 20 0 IBADRN CCO[C@@H]1C[C@](O)(CNC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1(C)C ZINC000504378249 1071743440 /nfs/dbraw/zinc/74/34/40/1071743440.db2.gz LQKJMHSTANAWRC-VFNWGFHPSA-N 0 0 434.493 -0.217 20 0 IBADRN CCO[C@H]1C[C@@](O)(CNC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1(C)C ZINC000504378250 1071743554 /nfs/dbraw/zinc/74/35/54/1071743554.db2.gz LQKJMHSTANAWRC-YCRPNKLZSA-N 0 0 434.493 -0.217 20 0 IBADRN O=C(NC[C@@H]1CCN(CC(F)(F)F)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000504378755 1071743501 /nfs/dbraw/zinc/74/35/01/1071743501.db2.gz RGBUAKOZIDBDCB-AWEZNQCLSA-N 0 0 435.447 -0.417 20 0 IBADRN O=C(NC[C@H]1CCN(CC(F)(F)F)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000504378756 1071743608 /nfs/dbraw/zinc/74/36/08/1071743608.db2.gz RGBUAKOZIDBDCB-CQSZACIVSA-N 0 0 435.447 -0.417 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504384594 1071743592 /nfs/dbraw/zinc/74/35/92/1071743592.db2.gz LIKTUJXEVQKEPY-UHFFFAOYSA-N 0 0 430.461 -0.061 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504384634 1071743561 /nfs/dbraw/zinc/74/35/61/1071743561.db2.gz LYRCBJJQXQWPRA-UHFFFAOYSA-N 0 0 443.504 -0.146 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504385327 1071743413 /nfs/dbraw/zinc/74/34/13/1071743413.db2.gz SMHNAGWNHFSYMK-UHFFFAOYSA-N 0 0 436.490 -0.521 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)cc1Cl ZINC000504385399 1071743909 /nfs/dbraw/zinc/74/39/09/1071743909.db2.gz UMAWTQHBHXKOHO-UHFFFAOYSA-N 0 0 449.895 -0.070 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N(C)CC(=O)NCc2ccccc2)c1 ZINC000504385423 1071743992 /nfs/dbraw/zinc/74/39/92/1071743992.db2.gz UVHGPSDQFXAHKW-UHFFFAOYSA-N 0 0 439.472 -0.124 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)cc1 ZINC000504385775 1071744049 /nfs/dbraw/zinc/74/40/49/1071744049.db2.gz YSVXCLPJWMGSMA-CYBMUJFWSA-N 0 0 438.506 -0.229 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)cc1 ZINC000504385776 1071744029 /nfs/dbraw/zinc/74/40/29/1071744029.db2.gz YSVXCLPJWMGSMA-ZDUSSCGKSA-N 0 0 438.506 -0.229 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000504387147 1071744418 /nfs/dbraw/zinc/74/44/18/1071744418.db2.gz FZHNBMYHBJBPEO-UHFFFAOYSA-N 0 0 425.449 -0.631 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)CC1 ZINC000504390383 1071744493 /nfs/dbraw/zinc/74/44/93/1071744493.db2.gz CAFNZZBRTZSASL-UHFFFAOYSA-N 0 0 447.627 -0.439 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504391514 1071744531 /nfs/dbraw/zinc/74/45/31/1071744531.db2.gz LRGLMTMYFYRYMV-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504391554 1071744485 /nfs/dbraw/zinc/74/44/85/1071744485.db2.gz MEGCGCDHBLDZNI-UHFFFAOYSA-N 0 0 437.522 -0.225 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)cc2)CC1 ZINC000504391654 1071744525 /nfs/dbraw/zinc/74/45/25/1071744525.db2.gz NNTIQMUBEKQOON-UHFFFAOYSA-N 0 0 449.533 -0.606 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)c1 ZINC000504391849 1071744021 /nfs/dbraw/zinc/74/40/21/1071744021.db2.gz PXNHDRSORBQBQD-GOSISDBHSA-N 0 0 445.520 -0.186 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)c1 ZINC000504391850 1071743952 /nfs/dbraw/zinc/74/39/52/1071743952.db2.gz PXNHDRSORBQBQD-SFHVURJKSA-N 0 0 445.520 -0.186 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)c2)CC1 ZINC000504392060 1071743970 /nfs/dbraw/zinc/74/39/70/1071743970.db2.gz RGOMVGUYVMCVDM-UHFFFAOYSA-N 0 0 449.533 -0.606 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)c1 ZINC000504392615 1071744410 /nfs/dbraw/zinc/74/44/10/1071744410.db2.gz XLKMKHGRNNFTSJ-UHFFFAOYSA-N 0 0 443.460 -0.711 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN(C2CC2)C(=O)C1)N1CCOCC1 ZINC000504398465 1071744508 /nfs/dbraw/zinc/74/45/08/1071744508.db2.gz GUUAGIFHSIAREE-UHFFFAOYSA-N 0 0 430.461 -0.304 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)c1 ZINC000504399121 1071744340 /nfs/dbraw/zinc/74/43/40/1071744340.db2.gz NBSYAPIIRYCWOT-UHFFFAOYSA-N 0 0 438.488 -0.160 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000504404899 1071744355 /nfs/dbraw/zinc/74/43/55/1071744355.db2.gz XIBGJQVDUIMYKS-UHFFFAOYSA-N 0 0 435.524 -0.299 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)cc2)CC1 ZINC000504406390 1071744378 /nfs/dbraw/zinc/74/43/78/1071744378.db2.gz NOJFZNWFFKFJOJ-UHFFFAOYSA-N 0 0 427.505 -0.225 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@@H](N3CCN(CC(F)(F)F)C(=O)C3)C2=O)c1 ZINC000504407283 1071744433 /nfs/dbraw/zinc/74/44/33/1071744433.db2.gz DWMOGVDXGSZCPU-GFCCVEGCSA-N 0 0 434.396 -0.328 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@H](N3CCN(CC(F)(F)F)C(=O)C3)C2=O)c1 ZINC000504407285 1071744464 /nfs/dbraw/zinc/74/44/64/1071744464.db2.gz DWMOGVDXGSZCPU-LBPRGKRZSA-N 0 0 434.396 -0.328 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN(C2CC2)C(=O)C1 ZINC000504407342 1071744479 /nfs/dbraw/zinc/74/44/79/1071744479.db2.gz XDEJNLUKCJQLGV-GOSISDBHSA-N 0 0 442.472 -0.527 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN(C2CC2)C(=O)C1 ZINC000504407350 1071744394 /nfs/dbraw/zinc/74/43/94/1071744394.db2.gz XDEJNLUKCJQLGV-SFHVURJKSA-N 0 0 442.472 -0.527 20 0 IBADRN O=C(NC[C@@H]1CCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000504407362 1071744453 /nfs/dbraw/zinc/74/44/53/1071744453.db2.gz XEJVCEVYQJBDFK-AWEZNQCLSA-N 0 0 443.492 -0.225 20 0 IBADRN O=C(NC[C@H]1CCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000504407364 1071744443 /nfs/dbraw/zinc/74/44/43/1071744443.db2.gz XEJVCEVYQJBDFK-CQSZACIVSA-N 0 0 443.492 -0.225 20 0 IBADRN O=C(CCNC(=O)NCCCS(=O)(=O)Cc1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC000504414525 1071745005 /nfs/dbraw/zinc/74/50/05/1071745005.db2.gz RSYFGZOSYKGKRZ-INIZCTEOSA-N 0 0 445.563 -0.016 20 0 IBADRN O=C(CCNC(=O)NCCCS(=O)(=O)Cc1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000504414527 1071744937 /nfs/dbraw/zinc/74/49/37/1071744937.db2.gz RSYFGZOSYKGKRZ-MRXNPFEDSA-N 0 0 445.563 -0.016 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCCS(N)(=O)=O)cc1C ZINC000504419456 1071744954 /nfs/dbraw/zinc/74/49/54/1071744954.db2.gz OFYHKNPUZQKMDW-HOCLYGCPSA-N 0 0 433.552 -0.388 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCCS(N)(=O)=O)cc1C ZINC000504419457 1071744912 /nfs/dbraw/zinc/74/49/12/1071744912.db2.gz OFYHKNPUZQKMDW-ZBFHGGJFSA-N 0 0 433.552 -0.388 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1C ZINC000504423030 1071744978 /nfs/dbraw/zinc/74/49/78/1071744978.db2.gz DWXZFYJOAGXAQR-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)cc1C ZINC000504424504 1074345546 /nfs/dbraw/zinc/34/55/46/1074345546.db2.gz PMFCIRKJMFNNBH-UHFFFAOYSA-N 0 0 428.449 -0.434 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCCS(N)(=O)=O)c(C)c1 ZINC000504426277 1071744471 /nfs/dbraw/zinc/74/44/71/1071744471.db2.gz HNIDFJXFMDFCKZ-CVEARBPZSA-N 0 0 447.579 -0.079 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCCS(N)(=O)=O)c(C)c1 ZINC000504426278 1071744369 /nfs/dbraw/zinc/74/43/69/1071744369.db2.gz HNIDFJXFMDFCKZ-HOTGVXAUSA-N 0 0 447.579 -0.079 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(C)C)C(=O)[C@@H]2C)c1 ZINC000504429032 1071744875 /nfs/dbraw/zinc/74/48/75/1071744875.db2.gz ITLLNOVCEDRZLM-AWEZNQCLSA-N 0 0 431.493 -0.184 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(C)C)C(=O)[C@H]2C)c1 ZINC000504429033 1071744930 /nfs/dbraw/zinc/74/49/30/1071744930.db2.gz ITLLNOVCEDRZLM-CQSZACIVSA-N 0 0 431.493 -0.184 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)cc1C ZINC000504430422 1074345537 /nfs/dbraw/zinc/34/55/37/1074345537.db2.gz CKBAELGDWUHOFD-HNNXBMFYSA-N 0 0 447.540 -0.532 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)cc1C ZINC000504430424 1074345499 /nfs/dbraw/zinc/34/54/99/1074345499.db2.gz CKBAELGDWUHOFD-OAHLLOKOSA-N 0 0 447.540 -0.532 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1C ZINC000504431100 1071745416 /nfs/dbraw/zinc/74/54/16/1071745416.db2.gz KCVRNKJNAJMYKY-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)C ZINC000504431425 1071745359 /nfs/dbraw/zinc/74/53/59/1071745359.db2.gz NJUWNOOIQVIFNO-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@]3(CCOC3)OC(C)(C)C2)c1 ZINC000504435274 1071745479 /nfs/dbraw/zinc/74/54/79/1071745479.db2.gz IFVCMYDLYNJWBX-NRFANRHFSA-N 0 0 432.477 -0.103 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@]3(CCOC3)OC(C)(C)C2)c1 ZINC000504435275 1071745381 /nfs/dbraw/zinc/74/53/81/1071745381.db2.gz IFVCMYDLYNJWBX-OAQYLSRUSA-N 0 0 432.477 -0.103 20 0 IBADRN CS(=O)(=O)NCC1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC000504438873 1071744942 /nfs/dbraw/zinc/74/49/42/1071744942.db2.gz QFUNXRSDLOPOIY-HNNXBMFYSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)NCC1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC000504438874 1071744983 /nfs/dbraw/zinc/74/49/83/1071744983.db2.gz QFUNXRSDLOPOIY-OAHLLOKOSA-N 0 0 444.535 -0.773 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c1 ZINC000504442588 1073297746 /nfs/dbraw/zinc/29/77/46/1073297746.db2.gz NNQVDGZWHWXKQU-LHSJRXKWSA-N 0 0 446.460 -0.861 20 0 IBADRN CCO[C@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1(C)C ZINC000504443628 1071744962 /nfs/dbraw/zinc/74/49/62/1071744962.db2.gz UNNXCFUFPVNKGB-BTYIYWSLSA-N 0 0 434.493 -0.217 20 0 IBADRN CCO[C@@H]1C[C@](CO)(NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1(C)C ZINC000504443629 1071744866 /nfs/dbraw/zinc/74/48/66/1071744866.db2.gz UNNXCFUFPVNKGB-QVKFZJNVSA-N 0 0 434.493 -0.217 20 0 IBADRN CCO[C@@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1(C)C ZINC000504443630 1071744967 /nfs/dbraw/zinc/74/49/67/1071744967.db2.gz UNNXCFUFPVNKGB-VFNWGFHPSA-N 0 0 434.493 -0.217 20 0 IBADRN CCO[C@H]1C[C@](CO)(NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1(C)C ZINC000504443632 1071744882 /nfs/dbraw/zinc/74/48/82/1071744882.db2.gz UNNXCFUFPVNKGB-YCRPNKLZSA-N 0 0 434.493 -0.217 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCCS(N)(=O)=O ZINC000504444736 1071744993 /nfs/dbraw/zinc/74/49/93/1071744993.db2.gz VUVLPMMHZCJDKV-UHFFFAOYSA-N 0 0 435.524 -0.485 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)cc1C ZINC000504444799 1073315340 /nfs/dbraw/zinc/31/53/40/1073315340.db2.gz FYXDLZSMPJMKPA-CYBMUJFWSA-N 0 0 428.449 -0.194 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)cc1C ZINC000504444800 1073315918 /nfs/dbraw/zinc/31/59/18/1073315918.db2.gz FYXDLZSMPJMKPA-ZDUSSCGKSA-N 0 0 428.449 -0.194 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000504446228 1071744957 /nfs/dbraw/zinc/74/49/57/1071744957.db2.gz PECQLOOADNDYRT-UHFFFAOYSA-N 0 0 438.506 -0.442 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)cc1 ZINC000504447942 1071744919 /nfs/dbraw/zinc/74/49/19/1071744919.db2.gz DWZJGNPUXBJRCF-UHFFFAOYSA-N 0 0 429.477 -0.271 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(-c3cc[nH]n3)cc2)CC1 ZINC000504448548 1071744970 /nfs/dbraw/zinc/74/49/70/1071744970.db2.gz AASORTXGYPOOTO-UHFFFAOYSA-N 0 0 438.444 -0.165 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nccnc3C)CC2)c1 ZINC000504448910 1071744888 /nfs/dbraw/zinc/74/48/88/1071744888.db2.gz OVXVIBHFPCNXNQ-UHFFFAOYSA-N 0 0 439.476 -0.452 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504449102 1072457353 /nfs/dbraw/zinc/45/73/53/1072457353.db2.gz PHIIHSXWVWVWHO-UHFFFAOYSA-N 0 0 436.490 -0.092 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000504449727 1071745308 /nfs/dbraw/zinc/74/53/08/1071745308.db2.gz SZVLKVAHQFCDGK-UHFFFAOYSA-N 0 0 436.490 -0.092 20 0 IBADRN O=C(Nc1cc(C2CC2)nn1[C@H]1CCS(=O)(=O)C1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504449895 1071745461 /nfs/dbraw/zinc/74/54/61/1071745461.db2.gz TYMZHFRAFIIFOM-AWEZNQCLSA-N 0 0 435.506 -0.108 20 0 IBADRN O=C(Nc1cc(C2CC2)nn1[C@@H]1CCS(=O)(=O)C1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504449896 1071745335 /nfs/dbraw/zinc/74/53/35/1071745335.db2.gz TYMZHFRAFIIFOM-CQSZACIVSA-N 0 0 435.506 -0.108 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@H]1c1ncc[nH]1 ZINC000504450804 1071745395 /nfs/dbraw/zinc/74/53/95/1071745395.db2.gz ZGHIKRIMHWEZGC-INIZCTEOSA-N 0 0 441.492 -0.271 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@@H]1c1ncc[nH]1 ZINC000504450805 1071745347 /nfs/dbraw/zinc/74/53/47/1071745347.db2.gz ZGHIKRIMHWEZGC-MRXNPFEDSA-N 0 0 441.492 -0.271 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCC3=O)CC1 ZINC000504450895 1071745453 /nfs/dbraw/zinc/74/54/53/1071745453.db2.gz MUAMVVNQNNEXAH-UHFFFAOYSA-N 0 0 442.428 -0.590 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000504453778 1071745819 /nfs/dbraw/zinc/74/58/19/1071745819.db2.gz PQTGMEOYUKTHBE-UHFFFAOYSA-N 0 0 440.460 -0.258 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCCCS(N)(=O)=O ZINC000504458673 1071745956 /nfs/dbraw/zinc/74/59/56/1071745956.db2.gz RCZZUHDZDYUUQM-INIZCTEOSA-N 0 0 449.551 -0.054 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504462304 1071745878 /nfs/dbraw/zinc/74/58/78/1071745878.db2.gz IOKCXJRRJJUBJU-UHFFFAOYSA-N 0 0 433.490 -0.071 20 0 IBADRN CCN(CC)C(=O)CCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000504462576 1071745906 /nfs/dbraw/zinc/74/59/06/1071745906.db2.gz KUDJJMLRIIURPB-UHFFFAOYSA-N 0 0 432.591 -0.546 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000504462838 1071745373 /nfs/dbraw/zinc/74/53/73/1071745373.db2.gz ORHXDDPUWOMPOZ-UHFFFAOYSA-N 0 0 436.490 -0.521 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c1 ZINC000504463083 1071745321 /nfs/dbraw/zinc/74/53/21/1071745321.db2.gz TVKKCGBIYNIIDT-UHFFFAOYSA-N 0 0 447.492 -0.803 20 0 IBADRN O=C(Nc1ccc(-c2cc[nH]n2)cc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000504463355 1071745920 /nfs/dbraw/zinc/74/59/20/1071745920.db2.gz AHFLYIQMYUWBFX-UHFFFAOYSA-N 0 0 448.505 -0.264 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCC(=O)NC3CC3)CC2)C[C@H](C)O1 ZINC000504469016 1071745487 /nfs/dbraw/zinc/74/54/87/1071745487.db2.gz KCKGFMDGRZCYFS-GASCZTMLSA-N 0 0 431.559 -0.274 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCC(=O)NC3CC3)CC2)C[C@H](C)O1 ZINC000504469017 1071745494 /nfs/dbraw/zinc/74/54/94/1071745494.db2.gz KCKGFMDGRZCYFS-GJZGRUSLSA-N 0 0 431.559 -0.274 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCC(=O)NC3CC3)CC2)C[C@@H](C)O1 ZINC000504469018 1071745435 /nfs/dbraw/zinc/74/54/35/1071745435.db2.gz KCKGFMDGRZCYFS-HUUCEWRRSA-N 0 0 431.559 -0.274 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)cn2)C[C@H](C)O1 ZINC000504469385 1071745428 /nfs/dbraw/zinc/74/54/28/1071745428.db2.gz QFOHLOBOZDURGL-KBPBESRZSA-N 0 0 432.481 -0.709 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)cn2)C[C@H](C)O1 ZINC000504469388 1071745485 /nfs/dbraw/zinc/74/54/85/1071745485.db2.gz QFOHLOBOZDURGL-OKILXGFUSA-N 0 0 432.481 -0.709 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)cn2)C[C@@H](C)O1 ZINC000504469389 1071745403 /nfs/dbraw/zinc/74/54/03/1071745403.db2.gz QFOHLOBOZDURGL-ZIAGYGMSSA-N 0 0 432.481 -0.709 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)n1 ZINC000504470259 1071745291 /nfs/dbraw/zinc/74/52/91/1071745291.db2.gz GCAWIHDQKBDLBD-UHFFFAOYSA-N 0 0 425.401 -0.168 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)n2)CC1 ZINC000504472098 1071745871 /nfs/dbraw/zinc/74/58/71/1071745871.db2.gz YHFHFXPVUZRGCX-UHFFFAOYSA-N 0 0 436.450 -0.743 20 0 IBADRN CN1C(=O)N[C@@H]2CN(C(=O)C(=O)Nc3cccc4c3CCN(S(C)(=O)=O)C4)CC[C@@H]21 ZINC000504472107 1071745808 /nfs/dbraw/zinc/74/58/08/1071745808.db2.gz YKWJIDNQJASLQO-CVEARBPZSA-N 0 0 435.506 -0.433 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)cc1 ZINC000504472196 1071745468 /nfs/dbraw/zinc/74/54/68/1071745468.db2.gz ZBIWDVSSEZYVDO-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000504472283 1071745853 /nfs/dbraw/zinc/74/58/53/1071745853.db2.gz ZWQFEKWCGNYMAP-BBWFWOEESA-N 0 0 431.445 -0.097 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000504472284 1071745843 /nfs/dbraw/zinc/74/58/43/1071745843.db2.gz ZWQFEKWCGNYMAP-BRWVUGGUSA-N 0 0 431.445 -0.097 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000504472285 1071745859 /nfs/dbraw/zinc/74/58/59/1071745859.db2.gz ZWQFEKWCGNYMAP-GVDBMIGSSA-N 0 0 431.445 -0.097 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000504472286 1071745815 /nfs/dbraw/zinc/74/58/15/1071745815.db2.gz ZWQFEKWCGNYMAP-ZACQAIPSSA-N 0 0 431.445 -0.097 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000504474334 1071745848 /nfs/dbraw/zinc/74/58/48/1071745848.db2.gz BUJVPZLTMYEVRO-UHFFFAOYSA-N 0 0 438.506 -0.906 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3nncn3-c3ccccc3)CC2)CC1 ZINC000504474868 1071745834 /nfs/dbraw/zinc/74/58/34/1071745834.db2.gz GLLVMBGGIUBLJS-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)cc1 ZINC000504476571 1071746422 /nfs/dbraw/zinc/74/64/22/1071746422.db2.gz GWCYZYPCNIBBSF-UHFFFAOYSA-N 0 0 447.473 -0.339 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)C1 ZINC000504476586 1071746382 /nfs/dbraw/zinc/74/63/82/1071746382.db2.gz GZABRFZLSMNFAL-GASCZTMLSA-N 0 0 430.509 -0.232 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)C1 ZINC000504476588 1071746420 /nfs/dbraw/zinc/74/64/20/1071746420.db2.gz GZABRFZLSMNFAL-GJZGRUSLSA-N 0 0 430.509 -0.232 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)C1 ZINC000504476589 1071746416 /nfs/dbraw/zinc/74/64/16/1071746416.db2.gz GZABRFZLSMNFAL-HUUCEWRRSA-N 0 0 430.509 -0.232 20 0 IBADRN O=C(Nc1cccc2c1OCCC2=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000504477839 1071746428 /nfs/dbraw/zinc/74/64/28/1071746428.db2.gz PCBILHYMIZVHMY-UHFFFAOYSA-N 0 0 428.445 -0.117 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)n1 ZINC000504478238 1071746351 /nfs/dbraw/zinc/74/63/51/1071746351.db2.gz TZUJJMOCXNRTSQ-UHFFFAOYSA-N 0 0 425.854 -0.133 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)n1 ZINC000504478440 1071746417 /nfs/dbraw/zinc/74/64/17/1071746417.db2.gz WCLZZQIPRDLHAO-UHFFFAOYSA-N 0 0 438.510 -0.616 20 0 IBADRN Cn1cc(S(=O)(=O)NCCNC(=O)c2cccc(Br)c2)c(=O)n(C)c1=O ZINC000504478736 1071746399 /nfs/dbraw/zinc/74/63/99/1071746399.db2.gz ZGVCGHNIQKFCCQ-UHFFFAOYSA-N 0 0 445.295 -0.445 20 0 IBADRN O=C(NCc1nncn1-c1ccccc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000504479276 1071746339 /nfs/dbraw/zinc/74/63/39/1071746339.db2.gz AQFXGSRKFMHYAK-UHFFFAOYSA-N 0 0 427.465 -0.520 20 0 IBADRN O=C(NCCc1cn2c(n1)CCCC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000504479687 1071746409 /nfs/dbraw/zinc/74/64/09/1071746409.db2.gz GZMRYNPXOODJNQ-UHFFFAOYSA-N 0 0 426.543 -0.334 20 0 IBADRN O=C(NCc1nncn1-c1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000504480953 1071746425 /nfs/dbraw/zinc/74/64/25/1071746425.db2.gz RSKVNPJITSXTTE-UHFFFAOYSA-N 0 0 435.510 -0.329 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000504482794 1071746358 /nfs/dbraw/zinc/74/63/58/1071746358.db2.gz IJHNLOCPIVSXAN-UHFFFAOYSA-N 0 0 429.565 -0.086 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc1 ZINC000504487725 1071746375 /nfs/dbraw/zinc/74/63/75/1071746375.db2.gz IJTKFAMNSIYCJG-HNNXBMFYSA-N 0 0 428.463 -0.164 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc1 ZINC000504487734 1071746395 /nfs/dbraw/zinc/74/63/95/1071746395.db2.gz IJTKFAMNSIYCJG-OAHLLOKOSA-N 0 0 428.463 -0.164 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cc1C ZINC000504489359 1074345641 /nfs/dbraw/zinc/34/56/41/1074345641.db2.gz POJRSAPCAXABRS-UHFFFAOYSA-N 0 0 448.505 -0.591 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cc1C ZINC000504490099 1074345613 /nfs/dbraw/zinc/34/56/13/1074345613.db2.gz UDBWITHUYAJMBH-UHFFFAOYSA-N 0 0 447.540 -0.531 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n1 ZINC000504491362 1071746432 /nfs/dbraw/zinc/74/64/32/1071746432.db2.gz ZCUFVWVFYFFODL-UHFFFAOYSA-N 0 0 431.474 -0.207 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H]3[C@@H](C2)NC(=O)N3CCOC)c1 ZINC000504493161 1071745942 /nfs/dbraw/zinc/74/59/42/1071745942.db2.gz OSUWHPFVJWWYTK-CABCVRRESA-N 0 0 439.494 -0.826 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@@H](C)C(=O)NCCF)cc1C ZINC000504494427 1071745863 /nfs/dbraw/zinc/74/58/63/1071745863.db2.gz DEYSSXQXOFFHQW-DZGCQCFKSA-N 0 0 430.502 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N[C@H](C)C(=O)NCCF)cc1C ZINC000504494428 1071745934 /nfs/dbraw/zinc/74/59/34/1071745934.db2.gz DEYSSXQXOFFHQW-HIFRSBDPSA-N 0 0 430.502 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N[C@H](C)C(=O)NCCF)cc1C ZINC000504494429 1071745889 /nfs/dbraw/zinc/74/58/89/1071745889.db2.gz DEYSSXQXOFFHQW-UKRRQHHQSA-N 0 0 430.502 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N[C@@H](C)C(=O)NCCF)cc1C ZINC000504494430 1071745884 /nfs/dbraw/zinc/74/58/84/1071745884.db2.gz DEYSSXQXOFFHQW-ZFWWWQNUSA-N 0 0 430.502 -0.194 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)n1 ZINC000504494536 1071746389 /nfs/dbraw/zinc/74/63/89/1071746389.db2.gz WHNDXLDYXXGKMU-UHFFFAOYSA-N 0 0 441.473 -0.467 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccncc3)n2)CC1 ZINC000504494694 1074345458 /nfs/dbraw/zinc/34/54/58/1074345458.db2.gz BKFQDJALHTVGTP-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccn(Cc2ccncc2)n1 ZINC000504497264 1073325650 /nfs/dbraw/zinc/32/56/50/1073325650.db2.gz SNMAUJZJTHSCSV-UHFFFAOYSA-N 0 0 435.492 -0.007 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(Cc3cn4ccccc4n3)CC2)cc1C ZINC000504497541 1071746837 /nfs/dbraw/zinc/74/68/37/1071746837.db2.gz UQUXPERDENKJBF-UHFFFAOYSA-N 0 0 438.492 -0.132 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)CC1 ZINC000504497581 1071746758 /nfs/dbraw/zinc/74/67/58/1071746758.db2.gz UWWBRPTXYGADSD-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1C ZINC000504497850 1074346060 /nfs/dbraw/zinc/34/60/60/1074346060.db2.gz WANYUZZUXCWULW-UHFFFAOYSA-N 0 0 433.513 -0.967 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2cc(C)n(CC(=O)NC)n2)CC1 ZINC000504498226 1074345974 /nfs/dbraw/zinc/34/59/74/1074345974.db2.gz ZMOBIMLNZZNSPM-UHFFFAOYSA-N 0 0 435.529 -0.721 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(=O)N(C)C ZINC000504500202 1071746779 /nfs/dbraw/zinc/74/67/79/1071746779.db2.gz VDLHNPWZTZQZJT-CYBMUJFWSA-N 0 0 426.495 -0.050 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc2c(c1)NC(=O)CO2 ZINC000504501279 1071746703 /nfs/dbraw/zinc/74/67/03/1071746703.db2.gz ZJYKFMWVFXVKGT-AWEZNQCLSA-N 0 0 445.476 -0.209 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc2c(c1)NC(=O)CO2 ZINC000504501280 1071746730 /nfs/dbraw/zinc/74/67/30/1071746730.db2.gz ZJYKFMWVFXVKGT-CQSZACIVSA-N 0 0 445.476 -0.209 20 0 IBADRN CNC(=O)Cn1[nH]c(=NC(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)cc1C ZINC000504501462 1071746677 /nfs/dbraw/zinc/74/66/77/1071746677.db2.gz AITIMSIMVFOCOD-UHFFFAOYSA-N 0 0 441.414 -0.248 20 0 IBADRN O=C(Nc1ccnn1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000504502368 1070923428 /nfs/dbraw/zinc/92/34/28/1070923428.db2.gz JNRWLXUYAVDBIP-UHFFFAOYSA-N 0 0 440.460 -0.660 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCC3=O)CC1 ZINC000504504333 1071746766 /nfs/dbraw/zinc/74/67/66/1071746766.db2.gz RSBAXBBPTLUXSV-UHFFFAOYSA-N 0 0 430.461 -0.407 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC000504504348 1071746723 /nfs/dbraw/zinc/74/67/23/1071746723.db2.gz RTRBWZWYKVTLNE-UHFFFAOYSA-N 0 0 435.437 -0.209 20 0 IBADRN COCCN1C(=O)N[C@@H]2CN(C(=O)C(=O)Nc3ccc(S(C)(=O)=O)c(C)c3)CC[C@@H]21 ZINC000504504613 1071746811 /nfs/dbraw/zinc/74/68/11/1071746811.db2.gz SPYRCMFHXLXGID-CABCVRRESA-N 0 0 438.506 -0.022 20 0 IBADRN C[C@@H](NC(=O)[C@H]1[C@H](C(=O)N[C@@H](C)C(=O)NCCF)CC(=O)C[C@@H]1C)C(=O)NCCF ZINC000504506245 1071746829 /nfs/dbraw/zinc/74/68/29/1071746829.db2.gz OQVOHJHSOHGLOK-CWFCOSEVSA-N 0 0 432.468 -0.601 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CC(=O)C[C@H](C)[C@H]1C(=O)N[C@@H](C)C(=O)NCCF)C(=O)NCCF ZINC000504506246 1071746845 /nfs/dbraw/zinc/74/68/45/1071746845.db2.gz OQVOHJHSOHGLOK-JQPXUNLUSA-N 0 0 432.468 -0.601 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CC(=O)C[C@H](C)[C@@H]1C(=O)N[C@@H](C)C(=O)NCCF)C(=O)NCCF ZINC000504506247 1071746865 /nfs/dbraw/zinc/74/68/65/1071746865.db2.gz OQVOHJHSOHGLOK-JVSQWTDWSA-N 0 0 432.468 -0.601 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1[C@H](C(=O)N[C@@H](C)C(=O)NCCF)CC(=O)C[C@@H]1C)C(=O)NCCF ZINC000504506248 1071746819 /nfs/dbraw/zinc/74/68/19/1071746819.db2.gz OQVOHJHSOHGLOK-OBORUHMCSA-N 0 0 432.468 -0.601 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2-c2ccccc2)CC1 ZINC000504507943 1070923491 /nfs/dbraw/zinc/92/34/91/1070923491.db2.gz PWFMLORHIODVBL-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN O=C(Nc1cccc2c1OCCC2=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000504508439 1071746857 /nfs/dbraw/zinc/74/68/57/1071746857.db2.gz CVWKGXQUWBUARE-UHFFFAOYSA-N 0 0 444.444 -0.880 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccncc3)n2)CC1 ZINC000504508559 1074345870 /nfs/dbraw/zinc/34/58/70/1074345870.db2.gz VUBYIQVPMWXBOZ-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CC(C)N1C(=O)N[C@@H]2CN(C(=O)C(=O)Nc3cccc(N4C(=O)COCC4=O)c3)CC[C@@H]21 ZINC000504508883 1071746694 /nfs/dbraw/zinc/74/66/94/1071746694.db2.gz GSUVKMCZGAZSBZ-CVEARBPZSA-N 0 0 443.460 -0.082 20 0 IBADRN O=C(Nc1ccc(-c2cc[nH]n2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000504509572 1071747248 /nfs/dbraw/zinc/74/72/48/1071747248.db2.gz NTZFGTFSCXZRMV-UHFFFAOYSA-N 0 0 440.460 -0.455 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000504510058 1071747338 /nfs/dbraw/zinc/74/73/38/1071747338.db2.gz SBYDKWZZTAZFNJ-UHFFFAOYSA-N 0 0 432.462 -0.885 20 0 IBADRN COC(=O)c1ccc(N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)[C@H](C)C2)cc1 ZINC000504510278 1071746713 /nfs/dbraw/zinc/74/67/13/1071746713.db2.gz VHWVTXMOVBGNTR-CYBMUJFWSA-N 0 0 436.490 -0.230 20 0 IBADRN COC(=O)c1ccc(N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)[C@@H](C)C2)cc1 ZINC000504510279 1071746825 /nfs/dbraw/zinc/74/68/25/1071746825.db2.gz VHWVTXMOVBGNTR-ZDUSSCGKSA-N 0 0 436.490 -0.230 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)n1 ZINC000504510768 1071747376 /nfs/dbraw/zinc/74/73/76/1071747376.db2.gz ZJFSTPJIJROSED-UHFFFAOYSA-N 0 0 436.472 -0.148 20 0 IBADRN Cc1n[nH]cc1CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000504511348 1071747383 /nfs/dbraw/zinc/74/73/83/1071747383.db2.gz COMIZQQDKSYUKE-UHFFFAOYSA-N 0 0 442.523 -0.418 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)cc1C ZINC000504512270 1071747280 /nfs/dbraw/zinc/74/72/80/1071747280.db2.gz FOBNIXCDDAMPBG-UHFFFAOYSA-N 0 0 441.492 -0.341 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCC(=O)NC3CC3)CC2)o1 ZINC000504513180 1071747287 /nfs/dbraw/zinc/74/72/87/1071747287.db2.gz RKRKBSISURGOCH-UHFFFAOYSA-N 0 0 427.483 -0.547 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CC[C@H]2[C@@H](C1)NC(=O)N2C ZINC000504516836 1071747215 /nfs/dbraw/zinc/74/72/15/1071747215.db2.gz YNUVJLACUQACCK-SJORKVTESA-N 0 0 444.492 -0.038 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000504518867 1071747324 /nfs/dbraw/zinc/74/73/24/1071747324.db2.gz PVHKJPBSYJIQRX-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000504525295 1071747313 /nfs/dbraw/zinc/74/73/13/1071747313.db2.gz WRRDMNBVLXYIKH-UHFFFAOYSA-N 0 0 446.551 -0.178 20 0 IBADRN O=C(Nc1ccn(Cc2ccncc2)n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000504525395 1074345946 /nfs/dbraw/zinc/34/59/46/1074345946.db2.gz XYRIAFNSFASLBU-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)cc1C ZINC000504529544 1074345935 /nfs/dbraw/zinc/34/59/35/1074345935.db2.gz FYVLKQDRAOXGNT-HNNXBMFYSA-N 0 0 447.540 -0.579 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)cc1C ZINC000504529545 1074345959 /nfs/dbraw/zinc/34/59/59/1074345959.db2.gz FYVLKQDRAOXGNT-OAHLLOKOSA-N 0 0 447.540 -0.579 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3)CC2)cc1C ZINC000504529994 1071747668 /nfs/dbraw/zinc/74/76/68/1071747668.db2.gz MVJPQCKFZSLQDN-UHFFFAOYSA-N 0 0 426.477 -0.210 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)cc1C ZINC000504530409 1073314450 /nfs/dbraw/zinc/31/44/50/1073314450.db2.gz UBJTWQFDLBVAIP-UHFFFAOYSA-N 0 0 430.440 0.000 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3cn4c(n3)CCCC4)CC2)CC1 ZINC000504531141 1071747747 /nfs/dbraw/zinc/74/77/47/1071747747.db2.gz BYMRKHBMKZLDRT-UHFFFAOYSA-N 0 0 439.586 -0.419 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCNC(=O)[C@@H]1Cc1ccccc1 ZINC000504533769 1071747365 /nfs/dbraw/zinc/74/73/65/1071747365.db2.gz OMIHIZDRUADTDX-KRWDZBQOSA-N 0 0 438.550 -0.220 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCNC(=O)[C@H]1Cc1ccccc1 ZINC000504533770 1071747254 /nfs/dbraw/zinc/74/72/54/1071747254.db2.gz OMIHIZDRUADTDX-QGZVFWFLSA-N 0 0 438.550 -0.220 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000504541471 1071747663 /nfs/dbraw/zinc/74/76/63/1071747663.db2.gz ILBZHDNAHQTMOG-UHFFFAOYSA-N 0 0 441.506 -0.248 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)C2=O)cn1 ZINC000504544638 1071748177 /nfs/dbraw/zinc/74/81/77/1071748177.db2.gz BUTBZDXOOWOGPE-INIZCTEOSA-N 0 0 425.449 -0.979 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)C2=O)cn1 ZINC000504544639 1071748212 /nfs/dbraw/zinc/74/82/12/1071748212.db2.gz BUTBZDXOOWOGPE-MRXNPFEDSA-N 0 0 425.449 -0.979 20 0 IBADRN CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000504544947 1071748125 /nfs/dbraw/zinc/74/81/25/1071748125.db2.gz CSBDETYMVPQARV-INIZCTEOSA-N 0 0 433.490 -0.296 20 0 IBADRN CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000504544950 1071748198 /nfs/dbraw/zinc/74/81/98/1071748198.db2.gz CSBDETYMVPQARV-MRXNPFEDSA-N 0 0 433.490 -0.296 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)(N2CCOCC2)C1 ZINC000504545057 1071748160 /nfs/dbraw/zinc/74/81/60/1071748160.db2.gz DLBWZOZNDUMMSN-BATSVXPKSA-N 0 0 448.524 -0.972 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)(N2CCOCC2)C1 ZINC000504545062 1071748169 /nfs/dbraw/zinc/74/81/69/1071748169.db2.gz DLBWZOZNDUMMSN-BQIYRTECSA-N 0 0 448.524 -0.972 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)C2=O)cn1 ZINC000504545081 1071748240 /nfs/dbraw/zinc/74/82/40/1071748240.db2.gz DORNPUIVBYHIBW-CVEARBPZSA-N 0 0 425.449 -0.652 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)C2=O)cn1 ZINC000504545082 1071748206 /nfs/dbraw/zinc/74/82/06/1071748206.db2.gz DORNPUIVBYHIBW-HOTGVXAUSA-N 0 0 425.449 -0.652 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)C2=O)cn1 ZINC000504545083 1071748234 /nfs/dbraw/zinc/74/82/34/1071748234.db2.gz DORNPUIVBYHIBW-HZPDHXFCSA-N 0 0 425.449 -0.652 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)C2=O)cn1 ZINC000504545084 1071748151 /nfs/dbraw/zinc/74/81/51/1071748151.db2.gz DORNPUIVBYHIBW-JKSUJKDBSA-N 0 0 425.449 -0.652 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cc1C ZINC000504545872 1074346039 /nfs/dbraw/zinc/34/60/39/1074346039.db2.gz CODPBEFEXHSVBZ-GOSISDBHSA-N 0 0 441.492 -0.753 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cc1C ZINC000504545873 1074345883 /nfs/dbraw/zinc/34/58/83/1074345883.db2.gz CODPBEFEXHSVBZ-SFHVURJKSA-N 0 0 441.492 -0.753 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCCNC(=O)[C@@H]2Cc2ccccc2)o1 ZINC000504546990 1071748110 /nfs/dbraw/zinc/74/81/10/1071748110.db2.gz LOTBDUIZLJDWKP-AWEZNQCLSA-N 0 0 434.474 -0.383 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCCNC(=O)[C@H]2Cc2ccccc2)o1 ZINC000504546992 1071748186 /nfs/dbraw/zinc/74/81/86/1071748186.db2.gz LOTBDUIZLJDWKP-CQSZACIVSA-N 0 0 434.474 -0.383 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)CC1 ZINC000504547808 1071748251 /nfs/dbraw/zinc/74/82/51/1071748251.db2.gz MLPJWHILGUPQOG-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000504549544 1071748144 /nfs/dbraw/zinc/74/81/44/1071748144.db2.gz VGYDHUDGPDBANU-KRWDZBQOSA-N 0 0 426.477 -0.298 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000504549545 1071748099 /nfs/dbraw/zinc/74/80/99/1071748099.db2.gz VGYDHUDGPDBANU-QGZVFWFLSA-N 0 0 426.477 -0.298 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cc1C ZINC000504549880 1074345860 /nfs/dbraw/zinc/34/58/60/1074345860.db2.gz YMDRCCGPYZJNPO-UHFFFAOYSA-N 0 0 447.540 -0.577 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504551932 1071748676 /nfs/dbraw/zinc/74/86/76/1071748676.db2.gz AAEOKQVPJLGKOO-INIZCTEOSA-N 0 0 426.481 -0.603 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504551933 1071748726 /nfs/dbraw/zinc/74/87/26/1071748726.db2.gz AAEOKQVPJLGKOO-MRXNPFEDSA-N 0 0 426.481 -0.603 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cc1C ZINC000504551995 1073316373 /nfs/dbraw/zinc/31/63/73/1073316373.db2.gz HWRSABRIMFYPGO-UHFFFAOYSA-N 0 0 430.531 -0.158 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccsc4)CC3)C2=O)cn1 ZINC000504554172 1071748784 /nfs/dbraw/zinc/74/87/84/1071748784.db2.gz JNDAQQZUFOYOMT-HNNXBMFYSA-N 0 0 430.490 -0.312 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccsc4)CC3)C2=O)cn1 ZINC000504554177 1071748766 /nfs/dbraw/zinc/74/87/66/1071748766.db2.gz JNDAQQZUFOYOMT-OAHLLOKOSA-N 0 0 430.490 -0.312 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@@H](COc4ccccc4)C3)C2=O)cn1 ZINC000504554521 1071748708 /nfs/dbraw/zinc/74/87/08/1071748708.db2.gz KNAMKNMKGNYKIL-MSOLQXFVSA-N 0 0 427.461 -0.052 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@@H](COc4ccccc4)C3)C2=O)cn1 ZINC000504554524 1071748684 /nfs/dbraw/zinc/74/86/84/1071748684.db2.gz KNAMKNMKGNYKIL-QZTJIDSGSA-N 0 0 427.461 -0.052 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@H](COc4ccccc4)C3)C2=O)cn1 ZINC000504554525 1071748713 /nfs/dbraw/zinc/74/87/13/1071748713.db2.gz KNAMKNMKGNYKIL-ROUUACIJSA-N 0 0 427.461 -0.052 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@H](COc4ccccc4)C3)C2=O)cn1 ZINC000504554526 1071748759 /nfs/dbraw/zinc/74/87/59/1071748759.db2.gz KNAMKNMKGNYKIL-ZWKOTPCHSA-N 0 0 427.461 -0.052 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)cs1 ZINC000504555763 1071747689 /nfs/dbraw/zinc/74/76/89/1071747689.db2.gz OWSAMHOYOZOJPO-INIZCTEOSA-N 0 0 431.522 -0.249 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)cs1 ZINC000504555764 1071747703 /nfs/dbraw/zinc/74/77/03/1071747703.db2.gz OWSAMHOYOZOJPO-MRXNPFEDSA-N 0 0 431.522 -0.249 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000504557510 1071747677 /nfs/dbraw/zinc/74/76/77/1071747677.db2.gz ZQFDKADKTGZWHN-FOIQADDNSA-N 0 0 435.481 -0.540 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000504557511 1071747699 /nfs/dbraw/zinc/74/76/99/1071747699.db2.gz ZQFDKADKTGZWHN-MGPUTAFESA-N 0 0 435.481 -0.540 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000504557512 1071747792 /nfs/dbraw/zinc/74/77/92/1071747792.db2.gz ZQFDKADKTGZWHN-QRWLVFNGSA-N 0 0 435.481 -0.540 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000504557513 1071747720 /nfs/dbraw/zinc/74/77/20/1071747720.db2.gz ZQFDKADKTGZWHN-YWZLYKJASA-N 0 0 435.481 -0.540 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)cc1C ZINC000504559286 1073316388 /nfs/dbraw/zinc/31/63/88/1073316388.db2.gz QBXPVDFXJSLSHS-UHFFFAOYSA-N 0 0 437.482 -0.394 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000504560204 1073344582 /nfs/dbraw/zinc/34/45/82/1073344582.db2.gz FTSHSDXGINUZMZ-UHFFFAOYSA-N 0 0 430.531 -0.080 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(CCOc4ccccc4)CC3)C2=O)cn1 ZINC000504561981 1071748666 /nfs/dbraw/zinc/74/86/66/1071748666.db2.gz AJSFTFWTQIJZLJ-IBGZPJMESA-N 0 0 440.504 -0.135 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CCOc4ccccc4)CC3)C2=O)cn1 ZINC000504561982 1071749044 /nfs/dbraw/zinc/74/90/44/1071749044.db2.gz AJSFTFWTQIJZLJ-LJQANCHMSA-N 0 0 440.504 -0.135 20 0 IBADRN COc1ccc(CN(CCO)C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c(OC)c1 ZINC000504563036 1071749053 /nfs/dbraw/zinc/74/90/53/1071749053.db2.gz IUDOIEMOPGHITG-KRWDZBQOSA-N 0 0 445.476 -0.320 20 0 IBADRN COc1ccc(CN(CCO)C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c(OC)c1 ZINC000504563037 1071749133 /nfs/dbraw/zinc/74/91/33/1071749133.db2.gz IUDOIEMOPGHITG-QGZVFWFLSA-N 0 0 445.476 -0.320 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCCN(Cc4cscn4)CC3)C2=O)cn1 ZINC000504563055 1072461679 /nfs/dbraw/zinc/46/16/79/1072461679.db2.gz IXYPEIIAHKTFKJ-INIZCTEOSA-N 0 0 431.522 -0.167 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCCN(Cc4cscn4)CC3)C2=O)cn1 ZINC000504563056 1071749048 /nfs/dbraw/zinc/74/90/48/1071749048.db2.gz IXYPEIIAHKTFKJ-MRXNPFEDSA-N 0 0 431.522 -0.167 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2=O)cc1 ZINC000504563373 1071749040 /nfs/dbraw/zinc/74/90/40/1071749040.db2.gz LZWBNTNBOMNTEJ-MSOLQXFVSA-N 0 0 438.488 -0.133 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2=O)cc1 ZINC000504563374 1071749076 /nfs/dbraw/zinc/74/90/76/1071749076.db2.gz LZWBNTNBOMNTEJ-QZTJIDSGSA-N 0 0 438.488 -0.133 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2=O)cc1 ZINC000504563375 1071749068 /nfs/dbraw/zinc/74/90/68/1071749068.db2.gz LZWBNTNBOMNTEJ-ROUUACIJSA-N 0 0 438.488 -0.133 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2=O)cc1 ZINC000504563376 1071749064 /nfs/dbraw/zinc/74/90/64/1071749064.db2.gz LZWBNTNBOMNTEJ-ZWKOTPCHSA-N 0 0 438.488 -0.133 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CC[C@](C)(NCC(=O)OC(C)(C)C)C3)C2=O)cn1 ZINC000504563502 1071749110 /nfs/dbraw/zinc/74/91/10/1071749110.db2.gz NKPNGQVXIQMCMH-BTYIYWSLSA-N 0 0 448.524 -0.436 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CC[C@@](C)(NCC(=O)OC(C)(C)C)C3)C2=O)cn1 ZINC000504563503 1071749036 /nfs/dbraw/zinc/74/90/36/1071749036.db2.gz NKPNGQVXIQMCMH-QVKFZJNVSA-N 0 0 448.524 -0.436 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CC[C@](C)(NCC(=O)OC(C)(C)C)C3)C2=O)cn1 ZINC000504563504 1071749128 /nfs/dbraw/zinc/74/91/28/1071749128.db2.gz NKPNGQVXIQMCMH-VFNWGFHPSA-N 0 0 448.524 -0.436 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CC[C@@](C)(NCC(=O)OC(C)(C)C)C3)C2=O)cn1 ZINC000504563505 1071749145 /nfs/dbraw/zinc/74/91/45/1071749145.db2.gz NKPNGQVXIQMCMH-YCRPNKLZSA-N 0 0 448.524 -0.436 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)ncn1 ZINC000504563721 1071749702 /nfs/dbraw/zinc/74/97/02/1071749702.db2.gz PKCKWTSZTQXKJZ-KRWDZBQOSA-N 0 0 440.508 -0.221 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)ncn1 ZINC000504563722 1071749660 /nfs/dbraw/zinc/74/96/60/1071749660.db2.gz PKCKWTSZTQXKJZ-QGZVFWFLSA-N 0 0 440.508 -0.221 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1=O ZINC000504564102 1071749749 /nfs/dbraw/zinc/74/97/49/1071749749.db2.gz SZXBLNLGAIRLQQ-CABCVRRESA-N 0 0 442.480 -0.830 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1=O ZINC000504564103 1071749716 /nfs/dbraw/zinc/74/97/16/1071749716.db2.gz SZXBLNLGAIRLQQ-GJZGRUSLSA-N 0 0 442.480 -0.830 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1=O ZINC000504564104 1071749678 /nfs/dbraw/zinc/74/96/78/1071749678.db2.gz SZXBLNLGAIRLQQ-HUUCEWRRSA-N 0 0 442.480 -0.830 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1=O ZINC000504564105 1071749650 /nfs/dbraw/zinc/74/96/50/1071749650.db2.gz SZXBLNLGAIRLQQ-LSDHHAIUSA-N 0 0 442.480 -0.830 20 0 IBADRN C[C@H](O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000504567272 1071749624 /nfs/dbraw/zinc/74/96/24/1071749624.db2.gz ASUXDIYTHNJELV-DZGCQCFKSA-N 0 0 438.506 -0.493 20 0 IBADRN C[C@@H](O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000504567273 1071749640 /nfs/dbraw/zinc/74/96/40/1071749640.db2.gz ASUXDIYTHNJELV-HIFRSBDPSA-N 0 0 438.506 -0.493 20 0 IBADRN C[C@@H](O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000504567274 1071749633 /nfs/dbraw/zinc/74/96/33/1071749633.db2.gz ASUXDIYTHNJELV-UKRRQHHQSA-N 0 0 438.506 -0.493 20 0 IBADRN C[C@H](O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000504567275 1071749674 /nfs/dbraw/zinc/74/96/74/1071749674.db2.gz ASUXDIYTHNJELV-ZFWWWQNUSA-N 0 0 438.506 -0.493 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504569668 1071750176 /nfs/dbraw/zinc/75/01/76/1071750176.db2.gz ANCXVUXXITUFHJ-KRWDZBQOSA-N 0 0 448.524 -0.577 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504569669 1071750290 /nfs/dbraw/zinc/75/02/90/1071750290.db2.gz ANCXVUXXITUFHJ-QGZVFWFLSA-N 0 0 448.524 -0.577 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCCC4)C3)C2=O)cn1 ZINC000504569891 1071750233 /nfs/dbraw/zinc/75/02/33/1071750233.db2.gz DDFKJUXBRIFTQU-DOTOQJQBSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCCC4)C3)C2=O)cn1 ZINC000504569892 1071750318 /nfs/dbraw/zinc/75/03/18/1071750318.db2.gz DDFKJUXBRIFTQU-NVXWUHKLSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCCC4)C3)C2=O)cn1 ZINC000504569893 1071750198 /nfs/dbraw/zinc/75/01/98/1071750198.db2.gz DDFKJUXBRIFTQU-RDJZCZTQSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCCC4)C3)C2=O)cn1 ZINC000504569894 1071750284 /nfs/dbraw/zinc/75/02/84/1071750284.db2.gz DDFKJUXBRIFTQU-WBVHZDCISA-N 0 0 430.509 -0.061 20 0 IBADRN Cc1occc1C(=O)NC1CCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504570121 1071750298 /nfs/dbraw/zinc/75/02/98/1071750298.db2.gz GLEXCBBRTPLSGN-KRWDZBQOSA-N 0 0 442.476 -0.036 20 0 IBADRN Cc1occc1C(=O)NC1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504570122 1071750164 /nfs/dbraw/zinc/75/01/64/1071750164.db2.gz GLEXCBBRTPLSGN-QGZVFWFLSA-N 0 0 442.476 -0.036 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4cc(C5CC5)[nH]n4)CC3)C2=O)cn1 ZINC000504570365 1071750151 /nfs/dbraw/zinc/75/01/51/1071750151.db2.gz IYDPSFWKOCFYRH-HNNXBMFYSA-N 0 0 426.481 -0.409 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4cc(C5CC5)[nH]n4)CC3)C2=O)cn1 ZINC000504570366 1071750306 /nfs/dbraw/zinc/75/03/06/1071750306.db2.gz IYDPSFWKOCFYRH-OAHLLOKOSA-N 0 0 426.481 -0.409 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504570385 1071750186 /nfs/dbraw/zinc/75/01/86/1071750186.db2.gz JFLJHELYXUFVLA-GOSISDBHSA-N 0 0 440.504 -0.005 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504570386 1071750314 /nfs/dbraw/zinc/75/03/14/1071750314.db2.gz JFLJHELYXUFVLA-SFHVURJKSA-N 0 0 440.504 -0.005 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)C4CCCCC4)CC3)C2=O)cn1 ZINC000504570691 1071750628 /nfs/dbraw/zinc/75/06/28/1071750628.db2.gz NBODJSRZVSEEPV-KRWDZBQOSA-N 0 0 430.509 -0.107 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)C4CCCCC4)CC3)C2=O)cn1 ZINC000504570692 1071750722 /nfs/dbraw/zinc/75/07/22/1071750722.db2.gz NBODJSRZVSEEPV-QGZVFWFLSA-N 0 0 430.509 -0.107 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000504571403 1071750141 /nfs/dbraw/zinc/75/01/41/1071750141.db2.gz UXRNVNOKGJFQCA-KRWDZBQOSA-N 0 0 445.476 -0.320 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000504571404 1071750213 /nfs/dbraw/zinc/75/02/13/1071750213.db2.gz UXRNVNOKGJFQCA-QGZVFWFLSA-N 0 0 445.476 -0.320 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)C2=O)cn1 ZINC000504571634 1071750657 /nfs/dbraw/zinc/75/06/57/1071750657.db2.gz XNJLLACBLVIFCJ-DLBZAZTESA-N 0 0 439.476 -0.656 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)C2=O)cn1 ZINC000504571637 1071750614 /nfs/dbraw/zinc/75/06/14/1071750614.db2.gz XNJLLACBLVIFCJ-IAGOWNOFSA-N 0 0 439.476 -0.656 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)C2=O)cn1 ZINC000504571638 1071750780 /nfs/dbraw/zinc/75/07/80/1071750780.db2.gz XNJLLACBLVIFCJ-IRXDYDNUSA-N 0 0 439.476 -0.656 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)C2=O)cn1 ZINC000504571639 1071750715 /nfs/dbraw/zinc/75/07/15/1071750715.db2.gz XNJLLACBLVIFCJ-SJORKVTESA-N 0 0 439.476 -0.656 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504571755 1071750682 /nfs/dbraw/zinc/75/06/82/1071750682.db2.gz YHIGAMWIXJSHTI-AWEZNQCLSA-N 0 0 432.510 -0.460 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504571758 1071750619 /nfs/dbraw/zinc/75/06/19/1071750619.db2.gz YHIGAMWIXJSHTI-CQSZACIVSA-N 0 0 432.510 -0.460 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504572014 1071750735 /nfs/dbraw/zinc/75/07/35/1071750735.db2.gz ZLTKPEOOIXNYHY-CYBMUJFWSA-N 0 0 432.510 -0.596 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504572015 1072461585 /nfs/dbraw/zinc/46/15/85/1072461585.db2.gz ZLTKPEOOIXNYHY-ZDUSSCGKSA-N 0 0 432.510 -0.596 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000504576397 1071750650 /nfs/dbraw/zinc/75/06/50/1071750650.db2.gz ACRKVJRNYZJVMV-CVEARBPZSA-N 0 0 429.481 -0.408 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000504576398 1071750668 /nfs/dbraw/zinc/75/06/68/1071750668.db2.gz ACRKVJRNYZJVMV-HOTGVXAUSA-N 0 0 429.481 -0.408 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000504576399 1071750638 /nfs/dbraw/zinc/75/06/38/1071750638.db2.gz ACRKVJRNYZJVMV-HZPDHXFCSA-N 0 0 429.481 -0.408 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000504576400 1071750789 /nfs/dbraw/zinc/75/07/89/1071750789.db2.gz ACRKVJRNYZJVMV-JKSUJKDBSA-N 0 0 429.481 -0.408 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCc3cnc(N4CCOCC4)c(F)c3)C2=O)cn1 ZINC000504577487 1071751234 /nfs/dbraw/zinc/75/12/34/1071751234.db2.gz KRPQAMAUSWVQSQ-INIZCTEOSA-N 0 0 445.455 -0.671 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3cnc(N4CCOCC4)c(F)c3)C2=O)cn1 ZINC000504577488 1071751264 /nfs/dbraw/zinc/75/12/64/1071751264.db2.gz KRPQAMAUSWVQSQ-MRXNPFEDSA-N 0 0 445.455 -0.671 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)cc1C ZINC000504577787 1071751217 /nfs/dbraw/zinc/75/12/17/1071751217.db2.gz AIEMPKWQGZLRIR-UHFFFAOYSA-N 0 0 445.499 -0.046 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)oc1C ZINC000504577889 1071751166 /nfs/dbraw/zinc/75/11/66/1071751166.db2.gz NWOOWTYSWMQHLK-INIZCTEOSA-N 0 0 429.481 -0.409 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)oc1C ZINC000504577890 1071751040 /nfs/dbraw/zinc/75/10/40/1071751040.db2.gz NWOOWTYSWMQHLK-MRXNPFEDSA-N 0 0 429.481 -0.409 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C[C@@H](C)O1 ZINC000504579358 1071751271 /nfs/dbraw/zinc/75/12/71/1071751271.db2.gz WKTCRQBLAXYORC-CBZIJGRNSA-N 0 0 432.525 -0.258 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C[C@H](C)O1 ZINC000504579359 1071751121 /nfs/dbraw/zinc/75/11/21/1071751121.db2.gz WKTCRQBLAXYORC-DFGXFYAUSA-N 0 0 432.525 -0.258 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C[C@H](C)O1 ZINC000504579360 1071751058 /nfs/dbraw/zinc/75/10/58/1071751058.db2.gz WKTCRQBLAXYORC-UIBIWLFHSA-N 0 0 432.525 -0.258 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C[C@@H](C)O1 ZINC000504579361 1071751185 /nfs/dbraw/zinc/75/11/85/1071751185.db2.gz WKTCRQBLAXYORC-XLMAVXFVSA-N 0 0 432.525 -0.258 20 0 IBADRN CN(CCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)CC(F)(F)F ZINC000504582136 1071752127 /nfs/dbraw/zinc/75/21/27/1071752127.db2.gz JAKUIDNQBOQARI-UHFFFAOYSA-N 0 0 444.524 -0.310 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCCNC(=O)[C@H]1Cc1ccccc1 ZINC000504583668 1071752068 /nfs/dbraw/zinc/75/20/68/1071752068.db2.gz BNNFVEKXVQJMCC-FGTMMUONSA-N 0 0 433.530 -0.018 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCCNC(=O)[C@@H]1Cc1ccccc1 ZINC000504583669 1071752110 /nfs/dbraw/zinc/75/21/10/1071752110.db2.gz BNNFVEKXVQJMCC-KURKYZTESA-N 0 0 433.530 -0.018 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCCNC(=O)[C@H]1Cc1ccccc1 ZINC000504583670 1071752034 /nfs/dbraw/zinc/75/20/34/1071752034.db2.gz BNNFVEKXVQJMCC-KZNAEPCWSA-N 0 0 433.530 -0.018 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCCNC(=O)[C@@H]1Cc1ccccc1 ZINC000504583671 1072462223 /nfs/dbraw/zinc/46/22/23/1072462223.db2.gz BNNFVEKXVQJMCC-SQNIBIBYSA-N 0 0 433.530 -0.018 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccc(F)cc4)CC3)C2=O)cn1 ZINC000504583892 1071752091 /nfs/dbraw/zinc/75/20/91/1071752091.db2.gz DGUXLERLQABXJC-KRWDZBQOSA-N 0 0 442.451 -0.235 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccc(F)cc4)CC3)C2=O)cn1 ZINC000504583893 1071752698 /nfs/dbraw/zinc/75/26/98/1071752698.db2.gz DGUXLERLQABXJC-QGZVFWFLSA-N 0 0 442.451 -0.235 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCC(c4ccnn4CCO)CC3)C2=O)cn1 ZINC000504584483 1072462069 /nfs/dbraw/zinc/46/20/69/1072462069.db2.gz HTKRACQDQNGCQO-INIZCTEOSA-N 0 0 429.481 -0.763 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC(c4ccnn4CCO)CC3)C2=O)cn1 ZINC000504584486 1072462228 /nfs/dbraw/zinc/46/22/28/1072462228.db2.gz HTKRACQDQNGCQO-MRXNPFEDSA-N 0 0 429.481 -0.763 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000504585201 1071752713 /nfs/dbraw/zinc/75/27/13/1071752713.db2.gz GJGNOAQTHSMCPO-UHFFFAOYSA-N 0 0 446.489 -0.145 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)C2=O)cn1 ZINC000504585581 1071752616 /nfs/dbraw/zinc/75/26/16/1071752616.db2.gz OBOCNYSJPZFZOD-NEPJUHHUSA-N 0 0 430.387 -0.689 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)C2=O)cn1 ZINC000504585584 1071752627 /nfs/dbraw/zinc/75/26/27/1071752627.db2.gz OBOCNYSJPZFZOD-NWDGAFQWSA-N 0 0 430.387 -0.689 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)C2=O)cn1 ZINC000504585586 1071752718 /nfs/dbraw/zinc/75/27/18/1071752718.db2.gz OBOCNYSJPZFZOD-RYUDHWBXSA-N 0 0 430.387 -0.689 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)C2=O)cn1 ZINC000504585587 1071752634 /nfs/dbraw/zinc/75/26/34/1071752634.db2.gz OBOCNYSJPZFZOD-VXGBXAGGSA-N 0 0 430.387 -0.689 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)c(C)o1 ZINC000504587213 1071752658 /nfs/dbraw/zinc/75/26/58/1071752658.db2.gz ZDDSHCYWERJFFT-KRWDZBQOSA-N 0 0 442.476 -0.164 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)c(C)o1 ZINC000504587215 1071752646 /nfs/dbraw/zinc/75/26/46/1071752646.db2.gz ZDDSHCYWERJFFT-QGZVFWFLSA-N 0 0 442.476 -0.164 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000504589776 1071752653 /nfs/dbraw/zinc/75/26/53/1071752653.db2.gz AQZRBQLMTZPQNK-BLLLJJGKSA-N 0 0 448.505 -0.491 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000504589777 1071752580 /nfs/dbraw/zinc/75/25/80/1071752580.db2.gz AQZRBQLMTZPQNK-LRDDRELGSA-N 0 0 448.505 -0.491 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000504589778 1071752685 /nfs/dbraw/zinc/75/26/85/1071752685.db2.gz AQZRBQLMTZPQNK-MLGOLLRUSA-N 0 0 448.505 -0.491 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000504589779 1071752640 /nfs/dbraw/zinc/75/26/40/1071752640.db2.gz AQZRBQLMTZPQNK-WBMJQRKESA-N 0 0 448.505 -0.491 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000504591166 1071753223 /nfs/dbraw/zinc/75/32/23/1071753223.db2.gz HLMUXOBUZRLAFU-PBHICJAKSA-N 0 0 447.517 -0.071 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000504591167 1071753043 /nfs/dbraw/zinc/75/30/43/1071753043.db2.gz HLMUXOBUZRLAFU-RHSMWYFYSA-N 0 0 447.517 -0.071 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000504591168 1071753180 /nfs/dbraw/zinc/75/31/80/1071753180.db2.gz HLMUXOBUZRLAFU-WMLDXEAASA-N 0 0 447.517 -0.071 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000504591169 1071753104 /nfs/dbraw/zinc/75/31/04/1071753104.db2.gz HLMUXOBUZRLAFU-YOEHRIQHSA-N 0 0 447.517 -0.071 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2)n1 ZINC000504592064 1071753174 /nfs/dbraw/zinc/75/31/74/1071753174.db2.gz LBASVDZWOVNLRV-GXTWGEPZSA-N 0 0 430.469 -0.503 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2)n1 ZINC000504592067 1071753158 /nfs/dbraw/zinc/75/31/58/1071753158.db2.gz LBASVDZWOVNLRV-JSGCOSHPSA-N 0 0 430.469 -0.503 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2)n1 ZINC000504592068 1071753169 /nfs/dbraw/zinc/75/31/69/1071753169.db2.gz LBASVDZWOVNLRV-OCCSQVGLSA-N 0 0 430.469 -0.503 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2)n1 ZINC000504592069 1071753035 /nfs/dbraw/zinc/75/30/35/1071753035.db2.gz LBASVDZWOVNLRV-TZMCWYRMSA-N 0 0 430.469 -0.503 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)nc(C)n1 ZINC000504592371 1071753207 /nfs/dbraw/zinc/75/32/07/1071753207.db2.gz LXCHIPJEYBTNFY-KRWDZBQOSA-N 0 0 440.508 -0.166 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)nc(C)n1 ZINC000504592375 1071753114 /nfs/dbraw/zinc/75/31/14/1071753114.db2.gz LXCHIPJEYBTNFY-QGZVFWFLSA-N 0 0 440.508 -0.166 20 0 IBADRN COc1ccccc1N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504592377 1071753219 /nfs/dbraw/zinc/75/32/19/1071753219.db2.gz LZGDIPBUWCSVHV-INIZCTEOSA-N 0 0 426.477 -0.001 20 0 IBADRN COc1ccccc1N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504592381 1071753054 /nfs/dbraw/zinc/75/30/54/1071753054.db2.gz LZGDIPBUWCSVHV-MRXNPFEDSA-N 0 0 426.477 -0.001 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(Cc4ccc(F)cc4)C3=O)C2=O)cn1 ZINC000504593594 1071753636 /nfs/dbraw/zinc/75/36/36/1071753636.db2.gz SBTKRHDINZOUDG-DLBZAZTESA-N 0 0 442.451 -0.302 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(Cc4ccc(F)cc4)C3=O)C2=O)cn1 ZINC000504593595 1071753581 /nfs/dbraw/zinc/75/35/81/1071753581.db2.gz SBTKRHDINZOUDG-IAGOWNOFSA-N 0 0 442.451 -0.302 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(Cc4ccc(F)cc4)C3=O)C2=O)cn1 ZINC000504593596 1071753662 /nfs/dbraw/zinc/75/36/62/1071753662.db2.gz SBTKRHDINZOUDG-IRXDYDNUSA-N 0 0 442.451 -0.302 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(Cc4ccc(F)cc4)C3=O)C2=O)cn1 ZINC000504593597 1071753668 /nfs/dbraw/zinc/75/36/68/1071753668.db2.gz SBTKRHDINZOUDG-SJORKVTESA-N 0 0 442.451 -0.302 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504594064 1071753229 /nfs/dbraw/zinc/75/32/29/1071753229.db2.gz UWKDROVALYRMPU-INIZCTEOSA-N 0 0 441.492 -0.216 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504594066 1071753152 /nfs/dbraw/zinc/75/31/52/1071753152.db2.gz UWKDROVALYRMPU-MRXNPFEDSA-N 0 0 441.492 -0.216 20 0 IBADRN C[C@H](c1cccnc1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504594861 1071753569 /nfs/dbraw/zinc/75/35/69/1071753569.db2.gz YQNWGUBRDMVMHE-CRAIPNDOSA-N 0 0 425.493 -0.058 20 0 IBADRN C[C@@H](c1cccnc1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504594864 1071753618 /nfs/dbraw/zinc/75/36/18/1071753618.db2.gz YQNWGUBRDMVMHE-MAUKXSAKSA-N 0 0 425.493 -0.058 20 0 IBADRN C[C@H](c1cccnc1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504594866 1071753640 /nfs/dbraw/zinc/75/36/40/1071753640.db2.gz YQNWGUBRDMVMHE-QAPCUYQASA-N 0 0 425.493 -0.058 20 0 IBADRN C[C@@H](c1cccnc1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504594869 1071753652 /nfs/dbraw/zinc/75/36/52/1071753652.db2.gz YQNWGUBRDMVMHE-YJBOKZPZSA-N 0 0 425.493 -0.058 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2)n(C)n1 ZINC000504594999 1071753601 /nfs/dbraw/zinc/75/36/01/1071753601.db2.gz ZEZJQMYNEPIMBK-GDBMZVCRSA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2)n(C)n1 ZINC000504595000 1071753563 /nfs/dbraw/zinc/75/35/63/1071753563.db2.gz ZEZJQMYNEPIMBK-GOEBONIOSA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2)n(C)n1 ZINC000504595001 1071753631 /nfs/dbraw/zinc/75/36/31/1071753631.db2.gz ZEZJQMYNEPIMBK-HOCLYGCPSA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2)n(C)n1 ZINC000504595002 1071753546 /nfs/dbraw/zinc/75/35/46/1071753546.db2.gz ZEZJQMYNEPIMBK-ZBFHGGJFSA-N 0 0 428.497 -0.531 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000504595567 1071753645 /nfs/dbraw/zinc/75/36/45/1071753645.db2.gz APSFSKYOJMNAGO-FMKPAKJESA-N 0 0 434.497 -0.969 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000504595568 1072462885 /nfs/dbraw/zinc/46/28/85/1072462885.db2.gz APSFSKYOJMNAGO-IIAWOOMASA-N 0 0 434.497 -0.969 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000504595569 1071753626 /nfs/dbraw/zinc/75/36/26/1071753626.db2.gz APSFSKYOJMNAGO-LZWOXQAQSA-N 0 0 434.497 -0.969 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000504595570 1071753657 /nfs/dbraw/zinc/75/36/57/1071753657.db2.gz APSFSKYOJMNAGO-SQWLQELKSA-N 0 0 434.497 -0.969 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCCC4)C3)C2=O)cn1 ZINC000504595785 1072462892 /nfs/dbraw/zinc/46/28/92/1072462892.db2.gz BYOAYGMGPQMCGU-HLLBOEOZSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CC[C@@H](C(=O)N4CCCCC4)C3)C2=O)cn1 ZINC000504595786 1071751244 /nfs/dbraw/zinc/75/12/44/1071751244.db2.gz BYOAYGMGPQMCGU-VYDXJSESSA-N 0 0 430.509 -0.061 20 0 IBADRN COc1cccc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)c1 ZINC000504596391 1071753642 /nfs/dbraw/zinc/75/36/42/1071753642.db2.gz FKJUBFYLOVHZEJ-GOSISDBHSA-N 0 0 426.477 -0.001 20 0 IBADRN COc1cccc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)c1 ZINC000504596392 1071753613 /nfs/dbraw/zinc/75/36/13/1071753613.db2.gz FKJUBFYLOVHZEJ-SFHVURJKSA-N 0 0 426.477 -0.001 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504597058 1071751641 /nfs/dbraw/zinc/75/16/41/1071751641.db2.gz JJJKJEHDYFXYJM-AWEZNQCLSA-N 0 0 446.537 -0.206 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000504597062 1071751598 /nfs/dbraw/zinc/75/15/98/1071751598.db2.gz JJJKJEHDYFXYJM-CQSZACIVSA-N 0 0 446.537 -0.206 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504597771 1071751700 /nfs/dbraw/zinc/75/17/00/1071751700.db2.gz NHWGUFAAKQENCT-KRWDZBQOSA-N 0 0 447.540 -0.956 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000504597772 1071751677 /nfs/dbraw/zinc/75/16/77/1071751677.db2.gz NHWGUFAAKQENCT-QGZVFWFLSA-N 0 0 447.540 -0.956 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCC3=O)CC1 ZINC000504598544 1071751714 /nfs/dbraw/zinc/75/17/14/1071751714.db2.gz RCMNLIKKDHIIBP-HNNXBMFYSA-N 0 0 444.488 -0.018 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCC3=O)CC1 ZINC000504598546 1071751709 /nfs/dbraw/zinc/75/17/09/1071751709.db2.gz RCMNLIKKDHIIBP-OAHLLOKOSA-N 0 0 444.488 -0.018 20 0 IBADRN CN(C[C@@H](O)COCc1ccccc1)C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000504598557 1071751588 /nfs/dbraw/zinc/75/15/88/1071751588.db2.gz RDSIJPVCCNJDGU-MSOLQXFVSA-N 0 0 429.477 -0.322 20 0 IBADRN CN(C[C@@H](O)COCc1ccccc1)C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000504598559 1071751712 /nfs/dbraw/zinc/75/17/12/1071751712.db2.gz RDSIJPVCCNJDGU-QZTJIDSGSA-N 0 0 429.477 -0.322 20 0 IBADRN CN(C[C@H](O)COCc1ccccc1)C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000504598560 1071751696 /nfs/dbraw/zinc/75/16/96/1071751696.db2.gz RDSIJPVCCNJDGU-ROUUACIJSA-N 0 0 429.477 -0.322 20 0 IBADRN CN(C[C@H](O)COCc1ccccc1)C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000504598561 1071751653 /nfs/dbraw/zinc/75/16/53/1071751653.db2.gz RDSIJPVCCNJDGU-ZWKOTPCHSA-N 0 0 429.477 -0.322 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCc3cc(F)ccc3N3CCOCC3)C2=O)cn1 ZINC000504599039 1071752135 /nfs/dbraw/zinc/75/21/35/1071752135.db2.gz UBBRGNUITKDDNZ-KRWDZBQOSA-N 0 0 444.467 -0.066 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3cc(F)ccc3N3CCOCC3)C2=O)cn1 ZINC000504599040 1071752170 /nfs/dbraw/zinc/75/21/70/1071752170.db2.gz UBBRGNUITKDDNZ-QGZVFWFLSA-N 0 0 444.467 -0.066 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCn4c(nnc4-c4ccccc4)C3)C2=O)cn1 ZINC000504599885 1071752100 /nfs/dbraw/zinc/75/21/00/1071752100.db2.gz XQGMOOCJEYCUHH-INIZCTEOSA-N 0 0 434.460 -0.058 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCn4c(nnc4-c4ccccc4)C3)C2=O)cn1 ZINC000504599886 1071752178 /nfs/dbraw/zinc/75/21/78/1071752178.db2.gz XQGMOOCJEYCUHH-MRXNPFEDSA-N 0 0 434.460 -0.058 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000504601623 1071752119 /nfs/dbraw/zinc/75/21/19/1071752119.db2.gz NMVRILVEQNBIFK-UHFFFAOYSA-N 0 0 430.552 -0.304 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)cc1C ZINC000504602654 1071752061 /nfs/dbraw/zinc/75/20/61/1071752061.db2.gz AAAYLBJFOXHYHQ-HNNXBMFYSA-N 0 0 432.481 -0.033 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)cc1C ZINC000504602655 1071752004 /nfs/dbraw/zinc/75/20/04/1071752004.db2.gz AAAYLBJFOXHYHQ-OAHLLOKOSA-N 0 0 432.481 -0.033 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CC(F)(F)C(F)F)CC3)C2=O)cn1 ZINC000504604778 1071754236 /nfs/dbraw/zinc/75/42/36/1071754236.db2.gz GECAGGXAVFFHRD-GFCCVEGCSA-N 0 0 434.394 -0.314 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(CC(F)(F)C(F)F)CC3)C2=O)cn1 ZINC000504604780 1071754633 /nfs/dbraw/zinc/75/46/33/1071754633.db2.gz GECAGGXAVFFHRD-LBPRGKRZSA-N 0 0 434.394 -0.314 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)ccc1OCCO ZINC000504606005 1071754730 /nfs/dbraw/zinc/75/47/30/1071754730.db2.gz KFEXMIRXBFAJGS-HNNXBMFYSA-N 0 0 431.449 -0.662 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)ccc1OCCO ZINC000504606007 1071754691 /nfs/dbraw/zinc/75/46/91/1071754691.db2.gz KFEXMIRXBFAJGS-OAHLLOKOSA-N 0 0 431.449 -0.662 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCCNS(C)(=O)=O ZINC000504608114 1071754740 /nfs/dbraw/zinc/75/47/40/1071754740.db2.gz UGKFZEARELQOTO-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCC(c4nnc5n4CCCC5)CC3)C2=O)cn1 ZINC000504608254 1071754718 /nfs/dbraw/zinc/75/47/18/1071754718.db2.gz SOGVVFLLIWIZDG-INIZCTEOSA-N 0 0 440.508 -0.024 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC(c4nnc5n4CCCC5)CC3)C2=O)cn1 ZINC000504608255 1071754726 /nfs/dbraw/zinc/75/47/26/1071754726.db2.gz SOGVVFLLIWIZDG-MRXNPFEDSA-N 0 0 440.508 -0.024 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N[C@H]1CCN(c3cnn(C)c3)C1=O)CCC2 ZINC000504609716 1071754651 /nfs/dbraw/zinc/75/46/51/1071754651.db2.gz XYFIVNLHHNXHQF-CVEARBPZSA-N 0 0 429.481 -0.322 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N[C@H]1CCN(c3cnn(C)c3)C1=O)CCC2 ZINC000504609718 1071754627 /nfs/dbraw/zinc/75/46/27/1071754627.db2.gz XYFIVNLHHNXHQF-HOTGVXAUSA-N 0 0 429.481 -0.322 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N[C@@H]1CCN(c3cnn(C)c3)C1=O)CCC2 ZINC000504609719 1071754716 /nfs/dbraw/zinc/75/47/16/1071754716.db2.gz XYFIVNLHHNXHQF-HZPDHXFCSA-N 0 0 429.481 -0.322 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N[C@@H]1CCN(c3cnn(C)c3)C1=O)CCC2 ZINC000504609720 1071754646 /nfs/dbraw/zinc/75/46/46/1071754646.db2.gz XYFIVNLHHNXHQF-JKSUJKDBSA-N 0 0 429.481 -0.322 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCCNC(=O)[C@@H]3Cc3ccccc3)c2c(=O)n(C)c1=O ZINC000504611418 1071753587 /nfs/dbraw/zinc/75/35/87/1071753587.db2.gz AIQFZSQJVFGDJQ-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCCNC(=O)[C@H]3Cc3ccccc3)c2c(=O)n(C)c1=O ZINC000504611419 1071754144 /nfs/dbraw/zinc/75/41/44/1071754144.db2.gz AIQFZSQJVFGDJQ-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN CCCC[C@@H](COC)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000504612064 1071754034 /nfs/dbraw/zinc/75/40/34/1071754034.db2.gz DNCOGKPRUQGWNV-HNNXBMFYSA-N 0 0 429.543 -0.117 20 0 IBADRN CCCC[C@H](COC)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000504612065 1071754219 /nfs/dbraw/zinc/75/42/19/1071754219.db2.gz DNCOGKPRUQGWNV-OAHLLOKOSA-N 0 0 429.543 -0.117 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000504612178 1071754176 /nfs/dbraw/zinc/75/41/76/1071754176.db2.gz KIKYNLMYZYJQKW-UHFFFAOYSA-N 0 0 447.583 -0.163 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000504612674 1071754154 /nfs/dbraw/zinc/75/41/54/1071754154.db2.gz MBDYWSOGCJYOHP-UHFFFAOYSA-N 0 0 433.556 -0.231 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)CC1 ZINC000504614493 1071754167 /nfs/dbraw/zinc/75/41/67/1071754167.db2.gz ONSIIICFIWWDNN-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NCCN1CCCS1(=O)=O ZINC000504615245 1071754204 /nfs/dbraw/zinc/75/42/04/1071754204.db2.gz QSBXVZVSUAYNRP-UHFFFAOYSA-N 0 0 446.370 -0.059 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000504618601 1071754252 /nfs/dbraw/zinc/75/42/52/1071754252.db2.gz DGZBUGYVSRNKNN-UHFFFAOYSA-N 0 0 446.489 -0.145 20 0 IBADRN CCCC[C@@H](COC)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000504621650 1071754115 /nfs/dbraw/zinc/75/41/15/1071754115.db2.gz UHPCFTVBFORHBN-BBWFWOEESA-N 0 0 448.586 -0.194 20 0 IBADRN CCCC[C@H](COC)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000504621656 1071754042 /nfs/dbraw/zinc/75/40/42/1071754042.db2.gz UHPCFTVBFORHBN-BRWVUGGUSA-N 0 0 448.586 -0.194 20 0 IBADRN CCCC[C@H](COC)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000504621658 1071754213 /nfs/dbraw/zinc/75/42/13/1071754213.db2.gz UHPCFTVBFORHBN-IXDOHACOSA-N 0 0 448.586 -0.194 20 0 IBADRN CCCC[C@H](COC)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000504621660 1071754199 /nfs/dbraw/zinc/75/41/99/1071754199.db2.gz UHPCFTVBFORHBN-YESZJQIVSA-N 0 0 448.586 -0.194 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cc1C ZINC000504621677 1073316344 /nfs/dbraw/zinc/31/63/44/1073316344.db2.gz PSBVFDILBYEMBT-UHFFFAOYSA-N 0 0 435.510 -0.061 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cc1C ZINC000504621888 1073316328 /nfs/dbraw/zinc/31/63/28/1073316328.db2.gz QILLYBYBXKIXTO-UHFFFAOYSA-N 0 0 427.465 -0.169 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)cc1C ZINC000504625939 1071754063 /nfs/dbraw/zinc/75/40/63/1071754063.db2.gz JEQYDEWETFSVKP-KRWDZBQOSA-N 0 0 428.493 -0.107 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)cc1C ZINC000504625940 1071754122 /nfs/dbraw/zinc/75/41/22/1071754122.db2.gz JEQYDEWETFSVKP-QGZVFWFLSA-N 0 0 428.493 -0.107 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000504626482 1071754011 /nfs/dbraw/zinc/75/40/11/1071754011.db2.gz DQOUOLGSEFCBFG-UHFFFAOYSA-N 0 0 442.313 -0.334 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000504627624 1071754185 /nfs/dbraw/zinc/75/41/85/1071754185.db2.gz PSVIJHDOSAHQRL-UHFFFAOYSA-N 0 0 448.542 -0.165 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)n1 ZINC000504630983 1071754704 /nfs/dbraw/zinc/75/47/04/1071754704.db2.gz UDMFXIBLUNKEBR-GFCCVEGCSA-N 0 0 431.453 -0.056 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)n1 ZINC000504630984 1071755315 /nfs/dbraw/zinc/75/53/15/1071755315.db2.gz UDMFXIBLUNKEBR-LBPRGKRZSA-N 0 0 431.453 -0.056 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cc1C ZINC000504637815 1071755156 /nfs/dbraw/zinc/75/51/56/1071755156.db2.gz YQZRDKMRWZFKTO-UHFFFAOYSA-N 0 0 443.512 -0.082 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCCNC(=O)[C@@H]2Cc2ccccc2)c[nH]1 ZINC000504638917 1071755185 /nfs/dbraw/zinc/75/51/85/1071755185.db2.gz NBTBXIYZPFWUHO-INIZCTEOSA-N 0 0 433.490 -0.648 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCCNC(=O)[C@H]2Cc2ccccc2)c[nH]1 ZINC000504638918 1071755254 /nfs/dbraw/zinc/75/52/54/1071755254.db2.gz NBTBXIYZPFWUHO-MRXNPFEDSA-N 0 0 433.490 -0.648 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)n2)CC1 ZINC000504639861 1071755206 /nfs/dbraw/zinc/75/52/06/1071755206.db2.gz WGGFTHFOJLLQPA-UHFFFAOYSA-N 0 0 440.460 -0.090 20 0 IBADRN CCCC[C@H](COC)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000504644256 1071755265 /nfs/dbraw/zinc/75/52/65/1071755265.db2.gz LGJCEMPFODHAIX-CYBMUJFWSA-N 0 0 444.510 -0.467 20 0 IBADRN CCCC[C@@H](COC)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000504644257 1071755125 /nfs/dbraw/zinc/75/51/25/1071755125.db2.gz LGJCEMPFODHAIX-ZDUSSCGKSA-N 0 0 444.510 -0.467 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)Nc2cccc(C(N)=O)n2)C1=O ZINC000504645051 1071755202 /nfs/dbraw/zinc/75/52/02/1071755202.db2.gz UXSUKUYTYLHOPZ-LLVKDONJSA-N 0 0 431.430 -0.111 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)Nc2cccc(C(N)=O)n2)C1=O ZINC000504645052 1071755245 /nfs/dbraw/zinc/75/52/45/1071755245.db2.gz UXSUKUYTYLHOPZ-NSHDSACASA-N 0 0 431.430 -0.111 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnc(-c3ccccc3)nc2)CC1 ZINC000504661855 1071755339 /nfs/dbraw/zinc/75/53/39/1071755339.db2.gz RGEIEGMWEAEKHN-UHFFFAOYSA-N 0 0 426.477 -0.011 20 0 IBADRN Cc1ccc([C@@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000504667239 1071755096 /nfs/dbraw/zinc/75/50/96/1071755096.db2.gz ATEGBKXHPNJZOT-HNNXBMFYSA-N 0 0 428.493 -0.296 20 0 IBADRN Cc1ccc([C@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000504667240 1071755218 /nfs/dbraw/zinc/75/52/18/1071755218.db2.gz ATEGBKXHPNJZOT-OAHLLOKOSA-N 0 0 428.493 -0.296 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)n1 ZINC000504668139 1071755291 /nfs/dbraw/zinc/75/52/91/1071755291.db2.gz FVPKLYXDWQILCU-GFCCVEGCSA-N 0 0 437.412 -0.357 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)n1 ZINC000504668140 1071755109 /nfs/dbraw/zinc/75/51/09/1071755109.db2.gz FVPKLYXDWQILCU-LBPRGKRZSA-N 0 0 437.412 -0.357 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3cn4ccccc4n3)CC2)CC1 ZINC000504671555 1071755140 /nfs/dbraw/zinc/75/51/40/1071755140.db2.gz YEOJMQDZWNEFOG-UHFFFAOYSA-N 0 0 435.554 -0.304 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000504673037 1071755325 /nfs/dbraw/zinc/75/53/25/1071755325.db2.gz IWEUIYPLCBQCPT-UHFFFAOYSA-N 0 0 449.489 -0.189 20 0 IBADRN Cc1ccc([C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000504675094 1071755232 /nfs/dbraw/zinc/75/52/32/1071755232.db2.gz DJEIEUSZBWKXDB-KRWDZBQOSA-N 0 0 430.505 -0.256 20 0 IBADRN Cc1ccc([C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000504675095 1071755993 /nfs/dbraw/zinc/75/59/93/1071755993.db2.gz DJEIEUSZBWKXDB-QGZVFWFLSA-N 0 0 430.505 -0.256 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)n2)CC1 ZINC000504675373 1071755274 /nfs/dbraw/zinc/75/52/74/1071755274.db2.gz HLOXHIYZXLGGBC-UHFFFAOYSA-N 0 0 427.483 -0.982 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC1 ZINC000504676704 1071756009 /nfs/dbraw/zinc/75/60/09/1071756009.db2.gz OWLODMZRLTVQMZ-UHFFFAOYSA-N 0 0 436.538 -0.006 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)n1 ZINC000504689985 1071755957 /nfs/dbraw/zinc/75/59/57/1071755957.db2.gz LCTLCIJRQMRJMC-UHFFFAOYSA-N 0 0 431.883 -0.071 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)nn1 ZINC000504690107 1071755840 /nfs/dbraw/zinc/75/58/40/1071755840.db2.gz MFAFGDGWBKXGDJ-CYBMUJFWSA-N 0 0 432.437 -0.312 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)nn1 ZINC000504690108 1071755810 /nfs/dbraw/zinc/75/58/10/1071755810.db2.gz MFAFGDGWBKXGDJ-ZDUSSCGKSA-N 0 0 432.437 -0.312 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cccc(C(N)=O)n2)c(C)s1 ZINC000504691052 1071755780 /nfs/dbraw/zinc/75/57/80/1071755780.db2.gz RUDCVCBRUFCAAU-UHFFFAOYSA-N 0 0 425.492 -0.108 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C(=O)C1=O ZINC000504705466 1071755825 /nfs/dbraw/zinc/75/58/25/1071755825.db2.gz ADIDGHFQXWCNHM-UHFFFAOYSA-N 0 0 428.493 -0.231 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)NCCn2cc(C(=O)OC)nn2)C1 ZINC000504706306 1071755914 /nfs/dbraw/zinc/75/59/14/1071755914.db2.gz FFGXMGCSLNYKNS-CYBMUJFWSA-N 0 0 448.484 -0.247 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)NCCn2cc(C(=O)OC)nn2)C1 ZINC000504706311 1071755978 /nfs/dbraw/zinc/75/59/78/1071755978.db2.gz FFGXMGCSLNYKNS-ZDUSSCGKSA-N 0 0 448.484 -0.247 20 0 IBADRN O=C(Nc1cnn(CC(F)F)c1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504708279 1071756059 /nfs/dbraw/zinc/75/60/59/1071756059.db2.gz OGHVCAWPFIMGOV-CYBMUJFWSA-N 0 0 435.453 -0.260 20 0 IBADRN O=C(Nc1cnn(CC(F)F)c1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504708282 1071755864 /nfs/dbraw/zinc/75/58/64/1071755864.db2.gz OGHVCAWPFIMGOV-ZDUSSCGKSA-N 0 0 435.453 -0.260 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)cn1 ZINC000504724175 1071756549 /nfs/dbraw/zinc/75/65/49/1071756549.db2.gz RXAHLOORJJWTHO-UHFFFAOYSA-N 0 0 447.521 -0.209 20 0 IBADRN O=C(Nc1ccc(-n2cncn2)nc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504730162 1071756564 /nfs/dbraw/zinc/75/65/64/1071756564.db2.gz AQTVOLNGBBXAEU-HNNXBMFYSA-N 0 0 449.493 -0.746 20 0 IBADRN O=C(Nc1ccc(-n2cncn2)nc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504730163 1071756483 /nfs/dbraw/zinc/75/64/83/1071756483.db2.gz AQTVOLNGBBXAEU-OAHLLOKOSA-N 0 0 449.493 -0.746 20 0 IBADRN O=C(CN1CCCS1(=O)=O)NCc1cccc(NC(=O)CN2CCCS2(=O)=O)c1 ZINC000504730311 1071756513 /nfs/dbraw/zinc/75/65/13/1071756513.db2.gz JQKGEXLHDMMVQH-UHFFFAOYSA-N 0 0 444.535 -0.688 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)cn1 ZINC000504731150 1071756542 /nfs/dbraw/zinc/75/65/42/1071756542.db2.gz FHUAVXJMPUSKLP-UHFFFAOYSA-N 0 0 446.489 -0.829 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(C)n1 ZINC000504731166 1071756560 /nfs/dbraw/zinc/75/65/60/1071756560.db2.gz FLCZELOAFPEGPT-AWEZNQCLSA-N 0 0 426.495 -0.010 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(C)n1 ZINC000504731167 1071756598 /nfs/dbraw/zinc/75/65/98/1071756598.db2.gz FLCZELOAFPEGPT-CQSZACIVSA-N 0 0 426.495 -0.010 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504731931 1071755878 /nfs/dbraw/zinc/75/58/78/1071755878.db2.gz HMQOCJJVLGCVNT-INIZCTEOSA-N 0 0 449.493 -0.746 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504731933 1071756003 /nfs/dbraw/zinc/75/60/03/1071756003.db2.gz HMQOCJJVLGCVNT-MRXNPFEDSA-N 0 0 449.493 -0.746 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CCNC(=O)C(C)C)cc1 ZINC000504732262 1071755790 /nfs/dbraw/zinc/75/57/90/1071755790.db2.gz QRIQRCBJCIYQMX-UHFFFAOYSA-N 0 0 428.511 -0.220 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504733853 1071756455 /nfs/dbraw/zinc/75/64/55/1071756455.db2.gz MSGQEDSJNFJLBC-INIZCTEOSA-N 0 0 449.493 -0.746 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504733857 1071756570 /nfs/dbraw/zinc/75/65/70/1071756570.db2.gz MSGQEDSJNFJLBC-MRXNPFEDSA-N 0 0 449.493 -0.746 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000504733896 1071756523 /nfs/dbraw/zinc/75/65/23/1071756523.db2.gz MZCOBYXSBXZPNG-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000504733897 1071756602 /nfs/dbraw/zinc/75/66/02/1071756602.db2.gz MZCOBYXSBXZPNG-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN O=C(Nc1cccc(-n2ccnn2)c1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504734106 1071756049 /nfs/dbraw/zinc/75/60/49/1071756049.db2.gz NZDWHCQUGWWVDG-KRWDZBQOSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1cccc(-n2ccnn2)c1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504734107 1071756584 /nfs/dbraw/zinc/75/65/84/1071756584.db2.gz NZDWHCQUGWWVDG-QGZVFWFLSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccccc1-n1ccnn1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504738684 1071756606 /nfs/dbraw/zinc/75/66/06/1071756606.db2.gz BNUKXOJGOUAYES-HNNXBMFYSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccccc1-n1ccnn1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504738686 1071756502 /nfs/dbraw/zinc/75/65/02/1071756502.db2.gz BNUKXOJGOUAYES-OAHLLOKOSA-N 0 0 448.505 -0.141 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)nn1 ZINC000504742479 1071756577 /nfs/dbraw/zinc/75/65/77/1071756577.db2.gz VSLQKCHCKKKSAP-INIZCTEOSA-N 0 0 430.465 -0.166 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)nn1 ZINC000504742480 1071756574 /nfs/dbraw/zinc/75/65/74/1071756574.db2.gz VSLQKCHCKKKSAP-MRXNPFEDSA-N 0 0 430.465 -0.166 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n(C)n1 ZINC000504742544 1071756536 /nfs/dbraw/zinc/75/65/36/1071756536.db2.gz WCJQUOWXXXSQGG-HNNXBMFYSA-N 0 0 443.526 -0.800 20 0 IBADRN COCCc1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n(C)n1 ZINC000504742545 1071756466 /nfs/dbraw/zinc/75/64/66/1071756466.db2.gz WCJQUOWXXXSQGG-OAHLLOKOSA-N 0 0 443.526 -0.800 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)n1 ZINC000504742614 1071756529 /nfs/dbraw/zinc/75/65/29/1071756529.db2.gz WWJVTBIPGGDJBV-UHFFFAOYSA-N 0 0 429.458 -0.278 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(F)c1 ZINC000504746916 1071756960 /nfs/dbraw/zinc/75/69/60/1071756960.db2.gz BXOUQPVRZVZVCP-CYBMUJFWSA-N 0 0 442.469 -0.484 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(F)c1 ZINC000504746917 1071756884 /nfs/dbraw/zinc/75/68/84/1071756884.db2.gz BXOUQPVRZVZVCP-ZDUSSCGKSA-N 0 0 442.469 -0.484 20 0 IBADRN O=C(Nc1cccnc1-n1cncn1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504747175 1071756972 /nfs/dbraw/zinc/75/69/72/1071756972.db2.gz CLRXKFKUWHAJAR-AWEZNQCLSA-N 0 0 449.493 -0.746 20 0 IBADRN O=C(Nc1cccnc1-n1cncn1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504747176 1071757003 /nfs/dbraw/zinc/75/70/03/1071757003.db2.gz CLRXKFKUWHAJAR-CQSZACIVSA-N 0 0 449.493 -0.746 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)ccc1=O ZINC000504747276 1071757065 /nfs/dbraw/zinc/75/70/65/1071757065.db2.gz DHHLKYRVWHZZMO-HNNXBMFYSA-N 0 0 426.495 -0.540 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)ccc1=O ZINC000504747277 1071757043 /nfs/dbraw/zinc/75/70/43/1071757043.db2.gz DHHLKYRVWHZZMO-OAHLLOKOSA-N 0 0 426.495 -0.540 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)nn1 ZINC000504748852 1071756898 /nfs/dbraw/zinc/75/68/98/1071756898.db2.gz PKQGRGFCEXVOHV-UHFFFAOYSA-N 0 0 432.503 -0.853 20 0 IBADRN O=C(Nc1ccn(CC(F)F)n1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504749072 1071756988 /nfs/dbraw/zinc/75/69/88/1071756988.db2.gz RBMJVMMRMVDUSV-GFCCVEGCSA-N 0 0 435.453 -0.260 20 0 IBADRN O=C(Nc1ccn(CC(F)F)n1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504749073 1073333660 /nfs/dbraw/zinc/33/36/60/1073333660.db2.gz RBMJVMMRMVDUSV-LBPRGKRZSA-N 0 0 435.453 -0.260 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)nn1 ZINC000504749603 1071757085 /nfs/dbraw/zinc/75/70/85/1071757085.db2.gz USLCBDYEJBZOLQ-UHFFFAOYSA-N 0 0 434.478 -0.149 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)nn1 ZINC000504749720 1071757098 /nfs/dbraw/zinc/75/70/98/1071757098.db2.gz VNIBYZYHXSLWGJ-UHFFFAOYSA-N 0 0 430.421 -0.039 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC(CCC(N)=O)CC3)cn2)C[C@H](C)O1 ZINC000504751281 1071756946 /nfs/dbraw/zinc/75/69/46/1071756946.db2.gz SPSDOJXJYGNQMO-GASCZTMLSA-N 0 0 448.524 -0.039 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC(CCC(N)=O)CC3)cn2)C[C@H](C)O1 ZINC000504751282 1071757588 /nfs/dbraw/zinc/75/75/88/1071757588.db2.gz SPSDOJXJYGNQMO-GJZGRUSLSA-N 0 0 448.524 -0.039 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC(CCC(N)=O)CC3)cn2)C[C@@H](C)O1 ZINC000504751283 1071757639 /nfs/dbraw/zinc/75/76/39/1071757639.db2.gz SPSDOJXJYGNQMO-HUUCEWRRSA-N 0 0 448.524 -0.039 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1C ZINC000504755842 1071757545 /nfs/dbraw/zinc/75/75/45/1071757545.db2.gz KGNVTACCFYBPRQ-AWEZNQCLSA-N 0 0 442.494 -0.597 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1C ZINC000504755843 1071757501 /nfs/dbraw/zinc/75/75/01/1071757501.db2.gz KGNVTACCFYBPRQ-CQSZACIVSA-N 0 0 442.494 -0.597 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000504755861 1071757653 /nfs/dbraw/zinc/75/76/53/1071757653.db2.gz KKBBOIMTOXJVCA-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000504755862 1071757556 /nfs/dbraw/zinc/75/75/56/1071757556.db2.gz KKBBOIMTOXJVCA-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN Cn1cc(C(=O)NCc2cccc(NC(=O)c3cn(C)c(=O)[nH]c3=O)c2)c(=O)[nH]c1=O ZINC000504756536 1071757576 /nfs/dbraw/zinc/75/75/76/1071757576.db2.gz WLCZEUMEQAFORU-UHFFFAOYSA-N 0 0 426.389 -0.533 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)C(=O)N1CCc2c1cccc2F ZINC000504756667 1071757457 /nfs/dbraw/zinc/75/74/57/1071757457.db2.gz NUMPGDOQXPUCQO-UHFFFAOYSA-N 0 0 440.497 -0.128 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1F ZINC000504757286 1071757597 /nfs/dbraw/zinc/75/75/97/1071757597.db2.gz RFLFGGMENAVQBA-CYBMUJFWSA-N 0 0 442.469 -0.484 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1F ZINC000504757287 1072465100 /nfs/dbraw/zinc/46/51/00/1072465100.db2.gz RFLFGGMENAVQBA-ZDUSSCGKSA-N 0 0 442.469 -0.484 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504757291 1071757484 /nfs/dbraw/zinc/75/74/84/1071757484.db2.gz RGLJEAATNFEIOT-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504757292 1071757536 /nfs/dbraw/zinc/75/75/36/1071757536.db2.gz RGLJEAATNFEIOT-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN CCCc1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n(C)n1 ZINC000504757522 1071757529 /nfs/dbraw/zinc/75/75/29/1071757529.db2.gz TYNNYTKHCNCAGP-HNNXBMFYSA-N 0 0 427.527 -0.036 20 0 IBADRN CCCc1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n(C)n1 ZINC000504757523 1071757607 /nfs/dbraw/zinc/75/76/07/1071757607.db2.gz TYNNYTKHCNCAGP-OAHLLOKOSA-N 0 0 427.527 -0.036 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000504759017 1071757629 /nfs/dbraw/zinc/75/76/29/1071757629.db2.gz IYLCOHNTSSMTAI-GASCZTMLSA-N 0 0 436.513 -0.312 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000504759018 1071757521 /nfs/dbraw/zinc/75/75/21/1071757521.db2.gz IYLCOHNTSSMTAI-GJZGRUSLSA-N 0 0 436.513 -0.312 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000504759019 1071757492 /nfs/dbraw/zinc/75/74/92/1071757492.db2.gz IYLCOHNTSSMTAI-HUUCEWRRSA-N 0 0 436.513 -0.312 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000504759133 1072465568 /nfs/dbraw/zinc/46/55/68/1072465568.db2.gz JJTXXACRDQRXTJ-GOSISDBHSA-N 0 0 446.504 -0.130 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000504759134 1072465577 /nfs/dbraw/zinc/46/55/77/1072465577.db2.gz JJTXXACRDQRXTJ-SFHVURJKSA-N 0 0 446.504 -0.130 20 0 IBADRN O=C(Nc1cccnc1-n1cccn1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504762771 1071757616 /nfs/dbraw/zinc/75/76/16/1071757616.db2.gz AQFRGTIQKLHHHW-HNNXBMFYSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1cccnc1-n1cccn1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504762772 1071757473 /nfs/dbraw/zinc/75/74/73/1071757473.db2.gz AQFRGTIQKLHHHW-OAHLLOKOSA-N 0 0 448.505 -0.141 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504763792 1071758044 /nfs/dbraw/zinc/75/80/44/1071758044.db2.gz FRAJNRPDNQPYBJ-AWEZNQCLSA-N 0 0 426.495 -0.715 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504763794 1071758159 /nfs/dbraw/zinc/75/81/59/1071758159.db2.gz FRAJNRPDNQPYBJ-CQSZACIVSA-N 0 0 426.495 -0.715 20 0 IBADRN O=C(Nc1nc2c(s1)COCC2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504764955 1071756924 /nfs/dbraw/zinc/75/69/24/1071756924.db2.gz MCMXROVTGQHQFM-GFCCVEGCSA-N 0 0 444.535 -0.193 20 0 IBADRN O=C(Nc1nc2c(s1)COCC2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504764956 1071756998 /nfs/dbraw/zinc/75/69/98/1071756998.db2.gz MCMXROVTGQHQFM-LBPRGKRZSA-N 0 0 444.535 -0.193 20 0 IBADRN O=C(Nc1ccc2c(c1)CC(=O)N2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504765500 1071758210 /nfs/dbraw/zinc/75/82/10/1071758210.db2.gz PDQKNVHSJJZHBR-HNNXBMFYSA-N 0 0 436.490 -0.227 20 0 IBADRN O=C(Nc1ccc2c(c1)CC(=O)N2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504765503 1071758070 /nfs/dbraw/zinc/75/80/70/1071758070.db2.gz PDQKNVHSJJZHBR-OAHLLOKOSA-N 0 0 436.490 -0.227 20 0 IBADRN CC(C)(C)[C@H]1OCCC[C@H]1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000504766700 1071756938 /nfs/dbraw/zinc/75/69/38/1071756938.db2.gz WEKPGKUSWVQFMG-HKUYNNGSSA-N 0 0 438.569 -0.053 20 0 IBADRN CC(C)(C)[C@@H]1OCCC[C@@H]1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000504766701 1071757013 /nfs/dbraw/zinc/75/70/13/1071757013.db2.gz WEKPGKUSWVQFMG-IEBWSBKVSA-N 0 0 438.569 -0.053 20 0 IBADRN CC(C)(C)[C@H]1OCCC[C@@H]1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000504766702 1071757074 /nfs/dbraw/zinc/75/70/74/1071757074.db2.gz WEKPGKUSWVQFMG-MJGOQNOKSA-N 0 0 438.569 -0.053 20 0 IBADRN CC(C)(C)[C@@H]1OCCC[C@H]1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000504766703 1071757054 /nfs/dbraw/zinc/75/70/54/1071757054.db2.gz WEKPGKUSWVQFMG-PKOBYXMFSA-N 0 0 438.569 -0.053 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)ccc1C(N)=O ZINC000504767399 1072465530 /nfs/dbraw/zinc/46/55/30/1072465530.db2.gz YVYAEVOOEQNTRT-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)ccc1C(N)=O ZINC000504767400 1072465659 /nfs/dbraw/zinc/46/56/59/1072465659.db2.gz YVYAEVOOEQNTRT-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN Cc1noc(CN2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)n1 ZINC000504771506 1071758524 /nfs/dbraw/zinc/75/85/24/1071758524.db2.gz WLEDYPRXTKQNIB-UHFFFAOYSA-N 0 0 448.505 -0.543 20 0 IBADRN CC(C)(C)[C@H]1OCCC[C@H]1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000504773036 1071758669 /nfs/dbraw/zinc/75/86/69/1071758669.db2.gz BJOMGUFTFRLTMN-HKUYNNGSSA-N 0 0 438.569 -0.053 20 0 IBADRN CC(C)(C)[C@@H]1OCCC[C@@H]1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000504773037 1071758673 /nfs/dbraw/zinc/75/86/73/1071758673.db2.gz BJOMGUFTFRLTMN-IEBWSBKVSA-N 0 0 438.569 -0.053 20 0 IBADRN CC(C)(C)[C@H]1OCCC[C@@H]1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000504773038 1071758535 /nfs/dbraw/zinc/75/85/35/1071758535.db2.gz BJOMGUFTFRLTMN-MJGOQNOKSA-N 0 0 438.569 -0.053 20 0 IBADRN CC(C)(C)[C@@H]1OCCC[C@H]1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000504773039 1071758630 /nfs/dbraw/zinc/75/86/30/1071758630.db2.gz BJOMGUFTFRLTMN-PKOBYXMFSA-N 0 0 438.569 -0.053 20 0 IBADRN O=C(Nc1cnn(-c2ncccn2)c1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504774421 1071758597 /nfs/dbraw/zinc/75/85/97/1071758597.db2.gz JZYLPEODUQGNBJ-HNNXBMFYSA-N 0 0 449.493 -0.746 20 0 IBADRN O=C(Nc1cnn(-c2ncccn2)c1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504774424 1071758554 /nfs/dbraw/zinc/75/85/54/1071758554.db2.gz JZYLPEODUQGNBJ-OAHLLOKOSA-N 0 0 449.493 -0.746 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC(CCC(N)=O)CC2)cc1 ZINC000504783110 1071758147 /nfs/dbraw/zinc/75/81/47/1071758147.db2.gz YGKJDBMNPMDNLJ-UHFFFAOYSA-N 0 0 432.477 -0.039 20 0 IBADRN O=C(Nc1ccc(-n2ccnn2)cc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504783916 1071758117 /nfs/dbraw/zinc/75/81/17/1071758117.db2.gz CQXFCYCXXAPPCL-KRWDZBQOSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccc(-n2ccnn2)cc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504783917 1071758093 /nfs/dbraw/zinc/75/80/93/1071758093.db2.gz CQXFCYCXXAPPCL-QGZVFWFLSA-N 0 0 448.505 -0.141 20 0 IBADRN Cn1nc2c(c1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N3CCOCC3)C1)CCC2 ZINC000504783949 1071758032 /nfs/dbraw/zinc/75/80/32/1071758032.db2.gz DCLNOXNWGHIJNE-CYBMUJFWSA-N 0 0 425.511 -0.500 20 0 IBADRN Cn1nc2c(c1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N3CCOCC3)C1)CCC2 ZINC000504783950 1071758217 /nfs/dbraw/zinc/75/82/17/1071758217.db2.gz DCLNOXNWGHIJNE-ZDUSSCGKSA-N 0 0 425.511 -0.500 20 0 IBADRN NC(=O)[C@H]1CC[C@H](C(=O)N[C@@H](CCNC(=O)[C@H]2CC[C@@H](C(N)=O)O2)c2ccccc2)O1 ZINC000504784348 1071758139 /nfs/dbraw/zinc/75/81/39/1071758139.db2.gz GPDZVPMKNAYZPR-FIDHJVLJSA-N 0 0 432.477 -0.584 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](C(=O)N[C@@H](CCNC(=O)[C@H]2CC[C@@H](C(N)=O)O2)c2ccccc2)O1 ZINC000504784349 1071758193 /nfs/dbraw/zinc/75/81/93/1071758193.db2.gz GPDZVPMKNAYZPR-SIRPWMCASA-N 0 0 432.477 -0.584 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)NCC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)O2)c2ccccc2)O1 ZINC000504784350 1071758125 /nfs/dbraw/zinc/75/81/25/1071758125.db2.gz GPDZVPMKNAYZPR-SMLCLMEVSA-N 0 0 432.477 -0.584 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)NCC[C@H](NC(=O)[C@@H]2CC[C@@H](C(N)=O)O2)c2ccccc2)O1 ZINC000504784351 1071758185 /nfs/dbraw/zinc/75/81/85/1071758185.db2.gz GPDZVPMKNAYZPR-VIQHNZTISA-N 0 0 432.477 -0.584 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000504784912 1074347525 /nfs/dbraw/zinc/34/75/25/1074347525.db2.gz JZAWKPHUWXULDP-HNNXBMFYSA-N 0 0 443.526 -0.489 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000504784913 1074347487 /nfs/dbraw/zinc/34/74/87/1074347487.db2.gz JZAWKPHUWXULDP-OAHLLOKOSA-N 0 0 443.526 -0.489 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(=O)n(C)c1 ZINC000504785607 1071758223 /nfs/dbraw/zinc/75/82/23/1071758223.db2.gz NCWKCYLUMCNCOO-AWEZNQCLSA-N 0 0 426.495 -0.715 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(=O)n(C)c1 ZINC000504785608 1071758202 /nfs/dbraw/zinc/75/82/02/1071758202.db2.gz NCWKCYLUMCNCOO-CQSZACIVSA-N 0 0 426.495 -0.715 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000504787347 1071758081 /nfs/dbraw/zinc/75/80/81/1071758081.db2.gz SNQBXRFVDBMXGC-AWEZNQCLSA-N 0 0 426.499 -0.866 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000504787348 1071758180 /nfs/dbraw/zinc/75/81/80/1071758180.db2.gz SNQBXRFVDBMXGC-CQSZACIVSA-N 0 0 426.499 -0.866 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000504789789 1071758168 /nfs/dbraw/zinc/75/81/68/1071758168.db2.gz JMVWMWGSPWEHQJ-UHFFFAOYSA-N 0 0 447.536 -0.082 20 0 IBADRN COc1ncc(C)cc1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504794712 1071758103 /nfs/dbraw/zinc/75/81/03/1071758103.db2.gz LBHHIYGIPHUSPP-AWEZNQCLSA-N 0 0 426.495 -0.010 20 0 IBADRN COc1ncc(C)cc1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504794713 1071758533 /nfs/dbraw/zinc/75/85/33/1071758533.db2.gz LBHHIYGIPHUSPP-CQSZACIVSA-N 0 0 426.495 -0.010 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000504794770 1071758565 /nfs/dbraw/zinc/75/85/65/1071758565.db2.gz DFIFNLNBWBCDTH-UHFFFAOYSA-N 0 0 440.522 -0.123 20 0 IBADRN NC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000504797273 1071758571 /nfs/dbraw/zinc/75/85/71/1071758571.db2.gz SAJKHGYBJUKWDA-INIZCTEOSA-N 0 0 438.506 -0.694 20 0 IBADRN NC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000504797274 1071758685 /nfs/dbraw/zinc/75/86/85/1071758685.db2.gz SAJKHGYBJUKWDA-MRXNPFEDSA-N 0 0 438.506 -0.694 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000504797287 1071758560 /nfs/dbraw/zinc/75/85/60/1071758560.db2.gz SCPLCTDMINHVDA-HNNXBMFYSA-N 0 0 429.499 -0.879 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000504797288 1071758548 /nfs/dbraw/zinc/75/85/48/1071758548.db2.gz SCPLCTDMINHVDA-OAHLLOKOSA-N 0 0 429.499 -0.879 20 0 IBADRN O=C(Nc1ccc(-n2cccn2)nc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504797617 1071758541 /nfs/dbraw/zinc/75/85/41/1071758541.db2.gz UKQJZSCUWCAYBX-INIZCTEOSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccc(-n2cccn2)nc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000504797618 1071758589 /nfs/dbraw/zinc/75/85/89/1071758589.db2.gz UKQJZSCUWCAYBX-MRXNPFEDSA-N 0 0 448.505 -0.141 20 0 IBADRN CC(C)C(=O)NCCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000504798956 1071759212 /nfs/dbraw/zinc/75/92/12/1071759212.db2.gz ZULHIVKYIKIZTN-UHFFFAOYSA-N 0 0 440.522 -0.123 20 0 IBADRN Cc1noc(CN2CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2)n1 ZINC000504801501 1072465683 /nfs/dbraw/zinc/46/56/83/1072465683.db2.gz NETUTWHOKHXNBF-UHFFFAOYSA-N 0 0 448.505 -0.543 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000504804052 1071758639 /nfs/dbraw/zinc/75/86/39/1071758639.db2.gz NOXJIYWQMJEMPI-CYBMUJFWSA-N 0 0 442.469 -0.484 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000504804053 1071758615 /nfs/dbraw/zinc/75/86/15/1071758615.db2.gz NOXJIYWQMJEMPI-ZDUSSCGKSA-N 0 0 442.469 -0.484 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000504809078 1071758653 /nfs/dbraw/zinc/75/86/53/1071758653.db2.gz GWJKNTJPAPUTTK-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000504809079 1071759187 /nfs/dbraw/zinc/75/91/87/1071759187.db2.gz GWJKNTJPAPUTTK-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000504822060 1074347894 /nfs/dbraw/zinc/34/78/94/1074347894.db2.gz QUDQPHJVMXYQTE-AWEZNQCLSA-N 0 0 429.499 -0.879 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000504822061 1074348012 /nfs/dbraw/zinc/34/80/12/1074348012.db2.gz QUDQPHJVMXYQTE-CQSZACIVSA-N 0 0 429.499 -0.879 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1OC ZINC000504839428 1071759152 /nfs/dbraw/zinc/75/91/52/1071759152.db2.gz ALUUZPKPYPEWBH-UHFFFAOYSA-N 0 0 428.467 -0.942 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1C ZINC000504839468 1071759222 /nfs/dbraw/zinc/75/92/22/1071759222.db2.gz BDOBHTHKOCNPJD-UHFFFAOYSA-N 0 0 426.495 -0.252 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)C1=O ZINC000504839480 1071759092 /nfs/dbraw/zinc/75/90/92/1071759092.db2.gz BJZSNNDGDPHJSO-UHFFFAOYSA-N 0 0 434.474 -0.571 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000504839487 1071759203 /nfs/dbraw/zinc/75/92/03/1071759203.db2.gz BNIZZIZJXIDVHH-UHFFFAOYSA-N 0 0 440.522 -0.387 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)CC1 ZINC000504839489 1071759103 /nfs/dbraw/zinc/75/91/03/1071759103.db2.gz BNLHFSBBLZHWME-GOSISDBHSA-N 0 0 444.488 -0.544 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)CC1 ZINC000504839490 1071759040 /nfs/dbraw/zinc/75/90/40/1071759040.db2.gz BNLHFSBBLZHWME-SFHVURJKSA-N 0 0 444.488 -0.544 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1=O ZINC000504839586 1071759051 /nfs/dbraw/zinc/75/90/51/1071759051.db2.gz CSRDNBUEPMXWEN-UHFFFAOYSA-N 0 0 430.389 -0.782 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504840222 1071759057 /nfs/dbraw/zinc/75/90/57/1071759057.db2.gz NOCODGLVMGSWMN-UHFFFAOYSA-N 0 0 426.495 -0.635 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504840265 1071759195 /nfs/dbraw/zinc/75/91/95/1071759195.db2.gz OAQYKBLBFPLVEM-AWEZNQCLSA-N 0 0 440.522 -0.494 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504840266 1071759073 /nfs/dbraw/zinc/75/90/73/1071759073.db2.gz OAQYKBLBFPLVEM-CQSZACIVSA-N 0 0 440.522 -0.494 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2c3ccccc3oc2C(=O)N2CCOCC2)CC1 ZINC000504840389 1071759033 /nfs/dbraw/zinc/75/90/33/1071759033.db2.gz QLZXSRLWDLMLLR-UHFFFAOYSA-N 0 0 444.444 -0.493 20 0 IBADRN CN(C)C(=O)C[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)CO)CC2)c2ccc(Cl)cc21 ZINC000504840516 1071759063 /nfs/dbraw/zinc/75/90/63/1071759063.db2.gz SHMKISPGDGLPAY-CYBMUJFWSA-N 0 0 436.896 -0.088 20 0 IBADRN CN(C)C(=O)C[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)CO)CC2)c2ccc(Cl)cc21 ZINC000504840517 1071759179 /nfs/dbraw/zinc/75/91/79/1071759179.db2.gz SHMKISPGDGLPAY-ZDUSSCGKSA-N 0 0 436.896 -0.088 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)C1=O ZINC000504840899 1071760247 /nfs/dbraw/zinc/76/02/47/1071760247.db2.gz YGCNPOFBHWZXCW-UHFFFAOYSA-N 0 0 434.883 -0.345 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](NC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000504841149 1071760229 /nfs/dbraw/zinc/76/02/29/1071760229.db2.gz YXRWCWWFFLPCQD-INIZCTEOSA-N 0 0 440.522 -0.097 20 0 IBADRN COc1ccc2c(c1)OC[C@H](NC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000504841150 1071760213 /nfs/dbraw/zinc/76/02/13/1071760213.db2.gz YXRWCWWFFLPCQD-MRXNPFEDSA-N 0 0 440.522 -0.097 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1Cl ZINC000504841164 1071760139 /nfs/dbraw/zinc/76/01/39/1071760139.db2.gz ZCRVMZYSOHSHDQ-UHFFFAOYSA-N 0 0 426.857 -0.591 20 0 IBADRN CN(CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)Cc1nnnn1C ZINC000504843724 1071760201 /nfs/dbraw/zinc/76/02/01/1071760201.db2.gz XDWRARQHWJFIBM-UHFFFAOYSA-N 0 0 443.917 -0.045 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000504845097 1071760150 /nfs/dbraw/zinc/76/01/50/1071760150.db2.gz GGZCWMKFKWBJSS-UHFFFAOYSA-N 0 0 426.495 -0.681 20 0 IBADRN CCS(=O)(=O)NCC(=O)NCc1ccc([C@@H](C)NC(=O)CNS(=O)(=O)CC)cc1 ZINC000504845203 1071760127 /nfs/dbraw/zinc/76/01/27/1071760127.db2.gz FBPHWTLZAUKSKC-CYBMUJFWSA-N 0 0 448.567 -0.641 20 0 IBADRN CCS(=O)(=O)NCC(=O)NCc1ccc([C@H](C)NC(=O)CNS(=O)(=O)CC)cc1 ZINC000504845204 1071760117 /nfs/dbraw/zinc/76/01/17/1071760117.db2.gz FBPHWTLZAUKSKC-ZDUSSCGKSA-N 0 0 448.567 -0.641 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000504845213 1071760255 /nfs/dbraw/zinc/76/02/55/1071760255.db2.gz GPFIIOQPQRXDOH-HNNXBMFYSA-N 0 0 432.477 -0.542 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000504845214 1071760273 /nfs/dbraw/zinc/76/02/73/1071760273.db2.gz GPFIIOQPQRXDOH-OAHLLOKOSA-N 0 0 432.477 -0.542 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000504845609 1071759082 /nfs/dbraw/zinc/75/90/82/1071759082.db2.gz JJDMOICGAXHAFX-AWEZNQCLSA-N 0 0 441.506 -0.513 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000504845610 1071759171 /nfs/dbraw/zinc/75/91/71/1071759171.db2.gz JJDMOICGAXHAFX-CQSZACIVSA-N 0 0 441.506 -0.513 20 0 IBADRN Cn1nnnc1CN1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000504847032 1071759021 /nfs/dbraw/zinc/75/90/21/1071759021.db2.gz RTMABMAXFSBHHK-UHFFFAOYSA-N 0 0 427.874 -0.299 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@H](Oc2ccc(F)cc2)C(=O)OC)cc1C ZINC000504847921 1071759725 /nfs/dbraw/zinc/75/97/25/1071759725.db2.gz XVFVTNONFAXMEL-AWEZNQCLSA-N 0 0 435.412 -0.248 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@@H](Oc2ccc(F)cc2)C(=O)OC)cc1C ZINC000504847922 1071759786 /nfs/dbraw/zinc/75/97/86/1071759786.db2.gz XVFVTNONFAXMEL-CQSZACIVSA-N 0 0 435.412 -0.248 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)ccc1F ZINC000504847961 1071759616 /nfs/dbraw/zinc/75/96/16/1071759616.db2.gz YICZPDQJVMGKDK-UHFFFAOYSA-N 0 0 444.485 -0.496 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)cc1 ZINC000504848258 1071759777 /nfs/dbraw/zinc/75/97/77/1071759777.db2.gz ZNSQGZLRQGVQAW-UHFFFAOYSA-N 0 0 436.446 -0.857 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3C(F)(F)F)n2)CC1 ZINC000504848261 1073320634 /nfs/dbraw/zinc/32/06/34/1073320634.db2.gz ZNZZPXRSVGTHMU-UHFFFAOYSA-N 0 0 426.355 -0.112 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c3ccncc23)CC1 ZINC000504850102 1071759584 /nfs/dbraw/zinc/75/95/84/1071759584.db2.gz BICJJDRWSIROFZ-UHFFFAOYSA-N 0 0 427.461 -0.327 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)ccc1NC(=O)c1cccnc1 ZINC000504850337 1071759769 /nfs/dbraw/zinc/75/97/69/1071759769.db2.gz BZEPEAZPYSIEFP-UHFFFAOYSA-N 0 0 441.444 -0.056 20 0 IBADRN COc1ccc(NC(=O)c2cccc(NC(=O)C(=O)N3CCN(C(=O)CO)CC3)c2)cn1 ZINC000504850684 1071759759 /nfs/dbraw/zinc/75/97/59/1071759759.db2.gz DPNYDJHXOGZABQ-UHFFFAOYSA-N 0 0 441.444 -0.056 20 0 IBADRN O=C(Nc1ccc2c(ccn2CCN2CCOCC2)c1)C(=O)N1CCN(C(=O)CO)CC1 ZINC000504851701 1071759637 /nfs/dbraw/zinc/75/96/37/1071759637.db2.gz JDXYOTJIULRUOR-UHFFFAOYSA-N 0 0 443.504 -0.425 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)ccc1Cl ZINC000504852872 1071759670 /nfs/dbraw/zinc/75/96/70/1071759670.db2.gz ODFSVOZHKRHKQF-UHFFFAOYSA-N 0 0 432.886 -0.808 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)sc3c2)CC1 ZINC000504853167 1071759714 /nfs/dbraw/zinc/75/97/14/1071759714.db2.gz PKJITWGKSVGTHJ-UHFFFAOYSA-N 0 0 433.490 -0.266 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504853587 1071759681 /nfs/dbraw/zinc/75/96/81/1071759681.db2.gz RKKRRCDWMXBHIN-UHFFFAOYSA-N 0 0 426.495 -0.633 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1Cl ZINC000504854126 1071759602 /nfs/dbraw/zinc/75/96/02/1071759602.db2.gz SXXVPZQVGQWAFU-UHFFFAOYSA-N 0 0 426.857 -0.682 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504854440 1071759578 /nfs/dbraw/zinc/75/95/78/1071759578.db2.gz VCSPHJUQJAZBNA-UHFFFAOYSA-N 0 0 429.433 -0.431 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)CC1 ZINC000504855355 1071759701 /nfs/dbraw/zinc/75/97/01/1071759701.db2.gz BIYSBWBKXKCSSW-UHFFFAOYSA-N 0 0 438.444 -0.854 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1)n1cncn1 ZINC000504856692 1071759738 /nfs/dbraw/zinc/75/97/38/1071759738.db2.gz IVTWLIJROGQMQL-CYBMUJFWSA-N 0 0 429.437 -0.921 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1)n1cncn1 ZINC000504856693 1071759594 /nfs/dbraw/zinc/75/95/94/1071759594.db2.gz IVTWLIJROGQMQL-ZDUSSCGKSA-N 0 0 429.437 -0.921 20 0 IBADRN Cc1ccc(C(=O)NCC(F)(F)F)cc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000504857018 1071759694 /nfs/dbraw/zinc/75/96/94/1071759694.db2.gz KSAHPAFMEOQYOX-UHFFFAOYSA-N 0 0 430.383 -0.111 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)CC1 ZINC000504858268 1071760238 /nfs/dbraw/zinc/76/02/38/1071760238.db2.gz RATYQPARAZQICL-UHFFFAOYSA-N 0 0 444.897 -0.519 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCc3ccccn3)c2)CC1 ZINC000504858781 1071759658 /nfs/dbraw/zinc/75/96/58/1071759658.db2.gz SRZJDJRPCUDFSU-UHFFFAOYSA-N 0 0 425.445 -0.387 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)N2CCN(C(=O)CO)CC2)ccn1 ZINC000504859090 1071761159 /nfs/dbraw/zinc/76/11/59/1071761159.db2.gz VAPDPZOVOYROEZ-UHFFFAOYSA-N 0 0 441.444 -0.165 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1)n1cccn1 ZINC000504859116 1071761262 /nfs/dbraw/zinc/76/12/62/1071761262.db2.gz VNCXDLQVTMNETL-AWEZNQCLSA-N 0 0 428.449 -0.316 20 0 IBADRN C[C@H](C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1)n1cccn1 ZINC000504859117 1071761144 /nfs/dbraw/zinc/76/11/44/1071761144.db2.gz VNCXDLQVTMNETL-CQSZACIVSA-N 0 0 428.449 -0.316 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC000504862902 1071761283 /nfs/dbraw/zinc/76/12/83/1071761283.db2.gz DITXLXMFXLCYQN-UHFFFAOYSA-N 0 0 438.506 -0.537 20 0 IBADRN C[C@H](NC(=O)CN1CCOCC1=O)c1ccc(CNC(=O)CN2CCOCC2=O)cc1 ZINC000504863222 1071761351 /nfs/dbraw/zinc/76/13/51/1071761351.db2.gz ZLJAGFHUODXJTF-HNNXBMFYSA-N 0 0 432.477 -0.802 20 0 IBADRN C[C@@H](NC(=O)CN1CCOCC1=O)c1ccc(CNC(=O)CN2CCOCC2=O)cc1 ZINC000504863223 1071761248 /nfs/dbraw/zinc/76/12/48/1071761248.db2.gz ZLJAGFHUODXJTF-OAHLLOKOSA-N 0 0 432.477 -0.802 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1-n1nnnc1C1CC1 ZINC000504863913 1071761287 /nfs/dbraw/zinc/76/12/87/1071761287.db2.gz IIFZHUODXAZSNC-UHFFFAOYSA-N 0 0 429.437 -0.850 20 0 IBADRN O=C(Nc1ccnn1Cc1cnn(-c2ccccc2)c1)C(=O)N1CCN(C(=O)CO)CC1 ZINC000504864810 1071761300 /nfs/dbraw/zinc/76/13/00/1071761300.db2.gz MTOJEPMBNFHLDJ-UHFFFAOYSA-N 0 0 437.460 -0.281 20 0 IBADRN COc1cc(N2CCOC(C)(C)C2)ccc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000504865236 1071761313 /nfs/dbraw/zinc/76/13/13/1071761313.db2.gz PBIXKEVJRFYWRB-UHFFFAOYSA-N 0 0 434.493 -0.088 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000504866304 1071761180 /nfs/dbraw/zinc/76/11/80/1071761180.db2.gz XKABJTUMXRBYKH-UHFFFAOYSA-N 0 0 426.495 -0.683 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1OC ZINC000504866310 1071761224 /nfs/dbraw/zinc/76/12/24/1071761224.db2.gz XNGMIWNQRVCAJE-UHFFFAOYSA-N 0 0 445.476 -0.141 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000504866343 1071761273 /nfs/dbraw/zinc/76/12/73/1071761273.db2.gz YBWICTXZTHCCNB-UHFFFAOYSA-N 0 0 427.479 -0.902 20 0 IBADRN CS(=O)(=O)c1cc(Br)ccc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000504866442 1071761208 /nfs/dbraw/zinc/76/12/08/1071761208.db2.gz ZBVTZMHFZVVUEY-UHFFFAOYSA-N 0 0 448.295 -0.546 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)ccc1C ZINC000504866466 1071761340 /nfs/dbraw/zinc/76/13/40/1071761340.db2.gz ZJMJSPZXTTZRKJ-UHFFFAOYSA-N 0 0 440.522 -0.373 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)c2cccnc2)CC1 ZINC000504868276 1071760259 /nfs/dbraw/zinc/76/02/59/1071760259.db2.gz AXJALYTWGTZDDT-UHFFFAOYSA-N 0 0 437.456 -0.114 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cccc1C(=O)N1CCSCC1 ZINC000504868950 1071760773 /nfs/dbraw/zinc/76/07/73/1071760773.db2.gz DZVYPUZUPNJXEK-UHFFFAOYSA-N 0 0 434.518 -0.214 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)CC1 ZINC000504868996 1071760168 /nfs/dbraw/zinc/76/01/68/1071760168.db2.gz FGOKFLCOWKPSPH-HNNXBMFYSA-N 0 0 439.490 -0.759 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)CC1 ZINC000504868998 1071760183 /nfs/dbraw/zinc/76/01/83/1071760183.db2.gz FGOKFLCOWKPSPH-OAHLLOKOSA-N 0 0 439.490 -0.759 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504869343 1071760278 /nfs/dbraw/zinc/76/02/78/1071760278.db2.gz HESQVBXYMPLZOG-CYBMUJFWSA-N 0 0 426.495 -0.635 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504869344 1071760157 /nfs/dbraw/zinc/76/01/57/1071760157.db2.gz HESQVBXYMPLZOG-ZDUSSCGKSA-N 0 0 426.495 -0.635 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(=O)CO)CC3)cc2)C[C@H](C)O1 ZINC000504869554 1071760221 /nfs/dbraw/zinc/76/02/21/1071760221.db2.gz IJKGGQMRMQZWHK-GASCZTMLSA-N 0 0 432.477 -0.462 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(=O)CO)CC3)cc2)C[C@H](C)O1 ZINC000504869555 1071760267 /nfs/dbraw/zinc/76/02/67/1071760267.db2.gz IJKGGQMRMQZWHK-GJZGRUSLSA-N 0 0 432.477 -0.462 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(=O)CO)CC3)cc2)C[C@@H](C)O1 ZINC000504869556 1071760191 /nfs/dbraw/zinc/76/01/91/1071760191.db2.gz IJKGGQMRMQZWHK-HUUCEWRRSA-N 0 0 432.477 -0.462 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)cc1C ZINC000504870408 1071760599 /nfs/dbraw/zinc/76/05/99/1071760599.db2.gz MZYPWVYEHDRCOC-UHFFFAOYSA-N 0 0 448.505 -0.316 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccccc3Cl)CC2)C1=O ZINC000504870811 1071760733 /nfs/dbraw/zinc/76/07/33/1071760733.db2.gz PQENPRHURSGLTK-UHFFFAOYSA-N 0 0 428.854 -0.407 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](NC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2 ZINC000504871601 1071760537 /nfs/dbraw/zinc/76/05/37/1071760537.db2.gz VESWYQJFTJWCSQ-INIZCTEOSA-N 0 0 432.477 -0.289 20 0 IBADRN COc1ccc2c(c1)OC[C@H](NC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2 ZINC000504871603 1071760764 /nfs/dbraw/zinc/76/07/64/1071760764.db2.gz VESWYQJFTJWCSQ-MRXNPFEDSA-N 0 0 432.477 -0.289 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)CC1 ZINC000504871773 1071760610 /nfs/dbraw/zinc/76/06/10/1071760610.db2.gz VXDODEAIUOXLOA-UHFFFAOYSA-N 0 0 438.506 -0.537 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c2cccnc12 ZINC000504872106 1071760810 /nfs/dbraw/zinc/76/08/10/1071760810.db2.gz YXQBFUOGHXLSFU-UHFFFAOYSA-N 0 0 430.417 -0.612 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)NS(C)(=O)=O)c1ccc(CNC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000504872653 1071760756 /nfs/dbraw/zinc/76/07/56/1071760756.db2.gz IMEPCDVXKDCEDO-AGIUHOORSA-N 0 0 448.567 -0.645 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)NCc1ccc([C@H](C)NC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000504872654 1071760819 /nfs/dbraw/zinc/76/08/19/1071760819.db2.gz IMEPCDVXKDCEDO-AVGNSLFASA-N 0 0 448.567 -0.645 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N[C@H](C)c1ccc(CNC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000504872655 1071760654 /nfs/dbraw/zinc/76/06/54/1071760654.db2.gz IMEPCDVXKDCEDO-FRRDWIJNSA-N 0 0 448.567 -0.645 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N[C@@H](C)c1ccc(CNC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000504872656 1071760744 /nfs/dbraw/zinc/76/07/44/1071760744.db2.gz IMEPCDVXKDCEDO-RWMBFGLXSA-N 0 0 448.567 -0.645 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)CC1 ZINC000504874102 1071760724 /nfs/dbraw/zinc/76/07/24/1071760724.db2.gz CEMRYYRCBHDOFF-UHFFFAOYSA-N 0 0 438.506 -0.537 20 0 IBADRN CCOC(=O)c1cnn(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)CO)CC3)c2)c1C ZINC000504874258 1071760672 /nfs/dbraw/zinc/76/06/72/1071760672.db2.gz FCQHUTOBJYFZDQ-UHFFFAOYSA-N 0 0 443.460 -0.041 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(Cl)c(-n3nnnc3C3CC3)c2)CC1 ZINC000504876348 1071760555 /nfs/dbraw/zinc/76/05/55/1071760555.db2.gz PEDWLPRFTLTCBJ-UHFFFAOYSA-N 0 0 433.856 -0.205 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)N(C)C)c1ccc(CNC(=O)CS(=O)(=O)N(C)C)cc1 ZINC000504878261 1071760749 /nfs/dbraw/zinc/76/07/49/1071760749.db2.gz AOELFPYMYLZVJP-CYBMUJFWSA-N 0 0 448.567 -0.737 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)N(C)C)c1ccc(CNC(=O)CS(=O)(=O)N(C)C)cc1 ZINC000504878262 1071760710 /nfs/dbraw/zinc/76/07/10/1071760710.db2.gz AOELFPYMYLZVJP-ZDUSSCGKSA-N 0 0 448.567 -0.737 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)CC1 ZINC000504880397 1071761195 /nfs/dbraw/zinc/76/11/95/1071761195.db2.gz AYXQKOFVFATFIN-UHFFFAOYSA-N 0 0 434.518 -0.594 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)CO)CC2)n1 ZINC000504881146 1071761696 /nfs/dbraw/zinc/76/16/96/1071761696.db2.gz FYQWGFARSKONLH-UHFFFAOYSA-N 0 0 429.433 -0.349 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cccc1S(=O)(=O)NC(C)(C)C ZINC000504881454 1071761645 /nfs/dbraw/zinc/76/16/45/1071761645.db2.gz HWMOQIBASKEPFC-UHFFFAOYSA-N 0 0 440.522 -0.327 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c(C)c1S(=O)(=O)NC1CC1 ZINC000504881553 1072466812 /nfs/dbraw/zinc/46/68/12/1072466812.db2.gz ILUJEQQDHQVWTM-UHFFFAOYSA-N 0 0 438.506 -0.654 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000504881731 1071761568 /nfs/dbraw/zinc/76/15/68/1071761568.db2.gz JCJUCBZCLWSUEN-INIZCTEOSA-N 0 0 433.465 -0.411 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000504881732 1071761896 /nfs/dbraw/zinc/76/18/96/1071761896.db2.gz JCJUCBZCLWSUEN-MRXNPFEDSA-N 0 0 433.465 -0.411 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000504881778 1071761663 /nfs/dbraw/zinc/76/16/63/1071761663.db2.gz JJZQLNOGMAEGFM-UHFFFAOYSA-N 0 0 440.522 -0.374 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1OC ZINC000504881853 1071761599 /nfs/dbraw/zinc/76/15/99/1071761599.db2.gz JWXSBDPNYFBVTR-UHFFFAOYSA-N 0 0 431.449 -0.450 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c2ccccc12 ZINC000504882121 1071761747 /nfs/dbraw/zinc/76/17/47/1071761747.db2.gz LRZPDFWUJIIZOM-UHFFFAOYSA-N 0 0 429.429 -0.007 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000504882720 1071761681 /nfs/dbraw/zinc/76/16/81/1071761681.db2.gz PDDJKVJKTDNDOO-UHFFFAOYSA-N 0 0 434.518 -0.214 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2cc(Br)cnc2-n2cccn2)CC1 ZINC000504882836 1071761921 /nfs/dbraw/zinc/76/19/21/1071761921.db2.gz PZPLZTHWMOUNFS-UHFFFAOYSA-N 0 0 437.254 -0.369 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000504882961 1071761556 /nfs/dbraw/zinc/76/15/56/1071761556.db2.gz QNSUGIUFRBIEBA-UHFFFAOYSA-N 0 0 440.522 -0.291 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000504883395 1071761907 /nfs/dbraw/zinc/76/19/07/1071761907.db2.gz TYAOQMIASCJKJP-UHFFFAOYSA-N 0 0 434.518 -0.214 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1S(=O)(=O)NC(C)(C)C ZINC000504883880 1071761782 /nfs/dbraw/zinc/76/17/82/1071761782.db2.gz WUCKHDDQKNHXNL-UHFFFAOYSA-N 0 0 440.522 -0.327 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000504883929 1071761799 /nfs/dbraw/zinc/76/17/99/1071761799.db2.gz WYIUTFXITUDTHI-UHFFFAOYSA-N 0 0 426.495 -0.170 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c(C(=O)N2CCCC2)cc1OC ZINC000504884413 1071761763 /nfs/dbraw/zinc/76/17/63/1071761763.db2.gz ZYOFCXHYJDYPAI-UHFFFAOYSA-N 0 0 448.476 -0.459 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504886929 1071762501 /nfs/dbraw/zinc/76/25/01/1071762501.db2.gz FUYWDXPJBVHPPN-UHFFFAOYSA-N 0 0 447.229 -0.099 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000504887828 1071762305 /nfs/dbraw/zinc/76/23/05/1071762305.db2.gz FJVHXBASYMZMSF-UHFFFAOYSA-N 0 0 438.554 -0.634 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)CO)CC2)c2ccccc2O1 ZINC000504887896 1071762382 /nfs/dbraw/zinc/76/23/82/1071762382.db2.gz LWNHSYRWVCQNJZ-GOSISDBHSA-N 0 0 446.504 -0.252 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)CO)CC2)c2ccccc2O1 ZINC000504887897 1071762499 /nfs/dbraw/zinc/76/24/99/1071762499.db2.gz LWNHSYRWVCQNJZ-SFHVURJKSA-N 0 0 446.504 -0.252 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000504888896 1071762397 /nfs/dbraw/zinc/76/23/97/1071762397.db2.gz RHLCYTUUVNSQAV-UHFFFAOYSA-N 0 0 440.522 -0.494 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(Cl)c2)CC1 ZINC000504889075 1071762450 /nfs/dbraw/zinc/76/24/50/1071762450.db2.gz SITDUBPQRMMVKW-UHFFFAOYSA-N 0 0 438.868 -0.586 20 0 IBADRN CCc1c(C(=O)N2CC[C@@H](C(=O)OC)C[C@@H]2C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000504897993 1071762361 /nfs/dbraw/zinc/76/23/61/1071762361.db2.gz OVRXMXRGHKRLSQ-BXUZGUMPSA-N 0 0 446.460 -0.239 20 0 IBADRN CCc1c(C(=O)N2CC[C@H](C(=O)OC)C[C@H]2C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000504897994 1071762405 /nfs/dbraw/zinc/76/24/05/1071762405.db2.gz OVRXMXRGHKRLSQ-FZMZJTMJSA-N 0 0 446.460 -0.239 20 0 IBADRN CCc1c(C(=O)N2CC[C@@H](C(=O)OC)C[C@H]2C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000504897995 1071762336 /nfs/dbraw/zinc/76/23/36/1071762336.db2.gz OVRXMXRGHKRLSQ-RISCZKNCSA-N 0 0 446.460 -0.239 20 0 IBADRN CCc1c(C(=O)N2CC[C@H](C(=O)OC)C[C@@H]2C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000504897996 1071762263 /nfs/dbraw/zinc/76/22/63/1071762263.db2.gz OVRXMXRGHKRLSQ-SMDDNHRTSA-N 0 0 446.460 -0.239 20 0 IBADRN CCN1CCN(CC(=O)NCCNC(=O)c2cccc(Br)c2)C(=O)C1=O ZINC000504909437 1071762480 /nfs/dbraw/zinc/76/24/80/1071762480.db2.gz RQGASZUQSWUOAV-UHFFFAOYSA-N 0 0 425.283 -0.014 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)[C@@H](C(=O)OC)C1 ZINC000504913120 1071762423 /nfs/dbraw/zinc/76/24/23/1071762423.db2.gz CZQLPXBMTKZVRN-BRWVUGGUSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)[C@H](C(=O)OC)C1 ZINC000504913124 1071762365 /nfs/dbraw/zinc/76/23/65/1071762365.db2.gz CZQLPXBMTKZVRN-IKGGRYGDSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)[C@H](C(=O)OC)C1 ZINC000504913125 1071762462 /nfs/dbraw/zinc/76/24/62/1071762462.db2.gz CZQLPXBMTKZVRN-IXDOHACOSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)[C@@H](C(=O)OC)C1 ZINC000504913126 1071762317 /nfs/dbraw/zinc/76/23/17/1071762317.db2.gz CZQLPXBMTKZVRN-ZACQAIPSSA-N 0 0 439.509 -0.347 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000504914977 1071762511 /nfs/dbraw/zinc/76/25/11/1071762511.db2.gz PWKPUMCSWZXMNN-UHFFFAOYSA-N 0 0 425.511 -0.550 20 0 IBADRN Cn1ncc2c1CCC[C@@H]2NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000504920267 1071762443 /nfs/dbraw/zinc/76/24/43/1071762443.db2.gz RCZDLGWETXPLJP-INIZCTEOSA-N 0 0 425.559 -0.383 20 0 IBADRN Cn1ncc2c1CCC[C@H]2NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000504920268 1071762413 /nfs/dbraw/zinc/76/24/13/1071762413.db2.gz RCZDLGWETXPLJP-MRXNPFEDSA-N 0 0 425.559 -0.383 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000504928415 1071763060 /nfs/dbraw/zinc/76/30/60/1071763060.db2.gz ARZRDOGAROBBJW-CYBMUJFWSA-N 0 0 439.943 -0.374 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000504928416 1071762996 /nfs/dbraw/zinc/76/29/96/1071762996.db2.gz ARZRDOGAROBBJW-ZDUSSCGKSA-N 0 0 439.943 -0.374 20 0 IBADRN CNC(=O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000504929230 1071763121 /nfs/dbraw/zinc/76/31/21/1071763121.db2.gz HLCZIVLKBDYZHO-UHFFFAOYSA-N 0 0 440.522 -0.638 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)[C@H](C(=O)OC)C1 ZINC000504932360 1071763045 /nfs/dbraw/zinc/76/30/45/1071763045.db2.gz DUMPALKBGAHTRS-AAEUAGOBSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)[C@@H](C(=O)OC)C1 ZINC000504932361 1071762905 /nfs/dbraw/zinc/76/29/05/1071762905.db2.gz DUMPALKBGAHTRS-DGCLKSJQSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000504932362 1071763038 /nfs/dbraw/zinc/76/30/38/1071763038.db2.gz DUMPALKBGAHTRS-WCQYABFASA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)[C@H](C(=O)OC)C1 ZINC000504932363 1071763140 /nfs/dbraw/zinc/76/31/40/1071763140.db2.gz DUMPALKBGAHTRS-YPMHNXCESA-N 0 0 432.433 -0.493 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000504933896 1071762349 /nfs/dbraw/zinc/76/23/49/1071762349.db2.gz YXIVVMVJRYSORD-UHFFFAOYSA-N 0 0 428.942 -0.165 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000504935390 1071763013 /nfs/dbraw/zinc/76/30/13/1071763013.db2.gz FGKOMWOXPRTYQG-UHFFFAOYSA-N 0 0 449.489 -0.046 20 0 IBADRN CN(CC1CCOCC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000504936099 1071762924 /nfs/dbraw/zinc/76/29/24/1071762924.db2.gz RBMASMGJAVHDQU-UHFFFAOYSA-N 0 0 438.506 -0.369 20 0 IBADRN CCN1CCO[C@@H](CNC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)[C@@H]1c1ccccc1 ZINC000504939237 1071763083 /nfs/dbraw/zinc/76/30/83/1071763083.db2.gz NMVCAAZAHOOFGK-WMZOPIPTSA-N 0 0 443.508 -0.117 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)[C@H](C(=O)OC)C1 ZINC000504943801 1071763108 /nfs/dbraw/zinc/76/31/08/1071763108.db2.gz QGENUELDSUOBPA-AAEUAGOBSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)[C@@H](C(=O)OC)C1 ZINC000504943802 1071763051 /nfs/dbraw/zinc/76/30/51/1071763051.db2.gz QGENUELDSUOBPA-DGCLKSJQSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000504943804 1071762942 /nfs/dbraw/zinc/76/29/42/1071762942.db2.gz QGENUELDSUOBPA-WCQYABFASA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)[C@H](C(=O)OC)C1 ZINC000504943806 1071762968 /nfs/dbraw/zinc/76/29/68/1071762968.db2.gz QGENUELDSUOBPA-YPMHNXCESA-N 0 0 432.433 -0.493 20 0 IBADRN CCOC(=O)C1(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCOCC1 ZINC000504949803 1071762958 /nfs/dbraw/zinc/76/29/58/1071762958.db2.gz RBCWOKSTRSACHO-UHFFFAOYSA-N 0 0 443.478 -0.429 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC000504950400 1071763025 /nfs/dbraw/zinc/76/30/25/1071763025.db2.gz UPLNVNSEKRNOKW-AWEZNQCLSA-N 0 0 429.495 -0.153 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2COCCN2C)CC1 ZINC000504950401 1071763132 /nfs/dbraw/zinc/76/31/32/1071763132.db2.gz UPLNVNSEKRNOKW-CQSZACIVSA-N 0 0 429.495 -0.153 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3ccc4c[nH]nc4c3)CC2)CC1 ZINC000504963415 1071763504 /nfs/dbraw/zinc/76/35/04/1071763504.db2.gz QIPUCZIVXONFDA-UHFFFAOYSA-N 0 0 435.554 -0.075 20 0 IBADRN COCCN(C(=O)CSc1n[nH]c(=O)n1C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000504967552 1071763426 /nfs/dbraw/zinc/76/34/26/1071763426.db2.gz QRYRLGZWSGBJEI-CHWSQXEVSA-N 0 0 434.540 -0.083 20 0 IBADRN COCCN(C(=O)CSc1n[nH]c(=O)n1C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000504967553 1071763538 /nfs/dbraw/zinc/76/35/38/1071763538.db2.gz QRYRLGZWSGBJEI-OLZOCXBDSA-N 0 0 434.540 -0.083 20 0 IBADRN COCCN(C(=O)CSc1n[nH]c(=O)n1C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000504967554 1071763626 /nfs/dbraw/zinc/76/36/26/1071763626.db2.gz QRYRLGZWSGBJEI-QWHCGFSZSA-N 0 0 434.540 -0.083 20 0 IBADRN COCCN(C(=O)CSc1n[nH]c(=O)n1C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000504967555 1071763529 /nfs/dbraw/zinc/76/35/29/1071763529.db2.gz QRYRLGZWSGBJEI-STQMWFEESA-N 0 0 434.540 -0.083 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](NC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)C2 ZINC000504974223 1071763552 /nfs/dbraw/zinc/76/35/52/1071763552.db2.gz XWDKTGSTOFWTOV-HNNXBMFYSA-N 0 0 426.429 -0.031 20 0 IBADRN COc1ccc2c(c1)OC[C@H](NC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)C2 ZINC000504974224 1071763417 /nfs/dbraw/zinc/76/34/17/1071763417.db2.gz XWDKTGSTOFWTOV-OAHLLOKOSA-N 0 0 426.429 -0.031 20 0 IBADRN COc1ccc2c(c1)OC[C@H](NC(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C2 ZINC000504978796 1071763616 /nfs/dbraw/zinc/76/36/16/1071763616.db2.gz JIPQPLHMXLDSAR-CYBMUJFWSA-N 0 0 439.428 -0.301 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](NC(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C2 ZINC000504978797 1071763486 /nfs/dbraw/zinc/76/34/86/1071763486.db2.gz JIPQPLHMXLDSAR-ZDUSSCGKSA-N 0 0 439.428 -0.301 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2COc3cc(OC)ccc3C2)cn1 ZINC000504979605 1071763482 /nfs/dbraw/zinc/76/34/82/1071763482.db2.gz PQDJCCREDJIFDW-AWEZNQCLSA-N 0 0 431.449 -0.287 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2COc3cc(OC)ccc3C2)cn1 ZINC000504979606 1071763588 /nfs/dbraw/zinc/76/35/88/1071763588.db2.gz PQDJCCREDJIFDW-CQSZACIVSA-N 0 0 431.449 -0.287 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C2 ZINC000504980333 1071763476 /nfs/dbraw/zinc/76/34/76/1071763476.db2.gz VWBSVTPABOOBLV-HNNXBMFYSA-N 0 0 443.460 -0.191 20 0 IBADRN COc1ccc2c(c1)OC[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C2 ZINC000504980334 1071763439 /nfs/dbraw/zinc/76/34/39/1071763439.db2.gz VWBSVTPABOOBLV-OAHLLOKOSA-N 0 0 443.460 -0.191 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C2 ZINC000504980653 1071763635 /nfs/dbraw/zinc/76/36/35/1071763635.db2.gz XYBHPLLPKSPAGR-HNNXBMFYSA-N 0 0 443.460 -0.191 20 0 IBADRN COc1ccc2c(c1)OC[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C2 ZINC000504980656 1071763574 /nfs/dbraw/zinc/76/35/74/1071763574.db2.gz XYBHPLLPKSPAGR-OAHLLOKOSA-N 0 0 443.460 -0.191 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)NC2CC2)cc1 ZINC000504989709 1071764027 /nfs/dbraw/zinc/76/40/27/1071764027.db2.gz ZIDPXEJALBGULD-UHFFFAOYSA-N 0 0 434.540 -0.508 20 0 IBADRN CCOC(=O)c1cnc(SCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nc1N ZINC000504990611 1073356553 /nfs/dbraw/zinc/35/65/53/1073356553.db2.gz FJFADPWEEAYROY-GFCCVEGCSA-N 0 0 443.551 -0.341 20 0 IBADRN CCOC(=O)c1cnc(SCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nc1N ZINC000504990614 1073356560 /nfs/dbraw/zinc/35/65/60/1073356560.db2.gz FJFADPWEEAYROY-LBPRGKRZSA-N 0 0 443.551 -0.341 20 0 IBADRN CCOC(=O)c1cnc(SCC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)nc1N ZINC000504991047 1071764150 /nfs/dbraw/zinc/76/41/50/1071764150.db2.gz IGOKRPMXQOQBKT-LLVKDONJSA-N 0 0 432.524 -0.010 20 0 IBADRN CCOC(=O)c1cnc(SCC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)nc1N ZINC000504991048 1071764289 /nfs/dbraw/zinc/76/42/89/1071764289.db2.gz IGOKRPMXQOQBKT-NSHDSACASA-N 0 0 432.524 -0.010 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)[C@@H](C)O)cc1 ZINC000504996076 1071763454 /nfs/dbraw/zinc/76/34/54/1071763454.db2.gz JZXFQXUBXWGYNG-HFTRVMKXSA-N 0 0 437.522 -0.030 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)[C@@H](C)O)cc1 ZINC000504996077 1071763596 /nfs/dbraw/zinc/76/35/96/1071763596.db2.gz JZXFQXUBXWGYNG-KYJSFNMBSA-N 0 0 437.522 -0.030 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)[C@H](C)O)cc1 ZINC000504996078 1071763563 /nfs/dbraw/zinc/76/35/63/1071763563.db2.gz JZXFQXUBXWGYNG-LESCRADOSA-N 0 0 437.522 -0.030 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)[C@H](C)O)cc1 ZINC000504996079 1071763604 /nfs/dbraw/zinc/76/36/04/1071763604.db2.gz JZXFQXUBXWGYNG-ZVZYQTTQSA-N 0 0 437.522 -0.030 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000504996199 1071763518 /nfs/dbraw/zinc/76/35/18/1071763518.db2.gz LMZVXRYJSAQIAE-UHFFFAOYSA-N 0 0 438.488 -0.344 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)Nc3cc(C)n(CC(=O)NC)n3)CC2)n1 ZINC000504998998 1071764133 /nfs/dbraw/zinc/76/41/33/1071764133.db2.gz PUJRPNLLEOKYAV-UHFFFAOYSA-N 0 0 444.496 -0.196 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccn(Cc2ccncc2)n1 ZINC000504999191 1073325448 /nfs/dbraw/zinc/32/54/48/1073325448.db2.gz RPDLROMLVVNTQQ-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(-c2nnc(N(C)C)o2)cc1 ZINC000504999237 1071764345 /nfs/dbraw/zinc/76/43/45/1071764345.db2.gz RYIKOFKTORHCGD-AWEZNQCLSA-N 0 0 443.464 -0.406 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(-c2nnc(N(C)C)o2)cc1 ZINC000504999238 1071764332 /nfs/dbraw/zinc/76/43/32/1071764332.db2.gz RYIKOFKTORHCGD-CQSZACIVSA-N 0 0 443.464 -0.406 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2c3c(nn2-c2ccccc2)CCC3)CC1 ZINC000504999292 1071764114 /nfs/dbraw/zinc/76/41/14/1071764114.db2.gz SMGHUWSSWXASLB-UHFFFAOYSA-N 0 0 449.475 -0.343 20 0 IBADRN COC(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000505001205 1071764075 /nfs/dbraw/zinc/76/40/75/1071764075.db2.gz PXLBPQIADCMKMI-UHFFFAOYSA-N 0 0 448.523 -0.697 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000505002899 1071764092 /nfs/dbraw/zinc/76/40/92/1071764092.db2.gz NQIONAKHPTZKEW-UHFFFAOYSA-N 0 0 438.488 -0.344 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccn(Cc3ccncc3)n2)CC1 ZINC000505003979 1074348312 /nfs/dbraw/zinc/34/83/12/1074348312.db2.gz AGSMSYBWCKLLDR-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)nn1 ZINC000505009908 1071764300 /nfs/dbraw/zinc/76/43/00/1071764300.db2.gz ZCJIXOYOBUUOHW-CYBMUJFWSA-N 0 0 431.413 -0.369 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)nn1 ZINC000505009909 1071764255 /nfs/dbraw/zinc/76/42/55/1071764255.db2.gz ZCJIXOYOBUUOHW-ZDUSSCGKSA-N 0 0 431.413 -0.369 20 0 IBADRN CN(C)c1nnc(-c2ccc(NC(=O)C(=O)NC[C@](C)(O)CN3CCOCC3)cc2)o1 ZINC000505015461 1071763936 /nfs/dbraw/zinc/76/39/36/1071763936.db2.gz VZWPUHMPYOKWRZ-FQEVSTJZSA-N 0 0 432.481 -0.060 20 0 IBADRN CN(C)c1nnc(-c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CN3CCOCC3)cc2)o1 ZINC000505015462 1071764751 /nfs/dbraw/zinc/76/47/51/1071764751.db2.gz VZWPUHMPYOKWRZ-HXUWFJFHSA-N 0 0 432.481 -0.060 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000505015914 1072468609 /nfs/dbraw/zinc/46/86/09/1072468609.db2.gz ZQGUKYRNEDKAER-JOCHJYFZSA-N 0 0 448.520 -0.395 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000505015915 1071764222 /nfs/dbraw/zinc/76/42/22/1071764222.db2.gz ZQGUKYRNEDKAER-QFIPXVFZSA-N 0 0 448.520 -0.395 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCC[C@H](n4cc(CO)nn4)C3)CC2=O)c1 ZINC000505017581 1071764817 /nfs/dbraw/zinc/76/48/17/1071764817.db2.gz ACANEBVYKKJTAH-BBRMVZONSA-N 0 0 448.505 -0.366 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC[C@H](n4cc(CO)nn4)C3)CC2=O)c1 ZINC000505017582 1071764791 /nfs/dbraw/zinc/76/47/91/1071764791.db2.gz ACANEBVYKKJTAH-CJNGLKHVSA-N 0 0 448.505 -0.366 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC[C@@H](n4cc(CO)nn4)C3)CC2=O)c1 ZINC000505017583 1071764628 /nfs/dbraw/zinc/76/46/28/1071764628.db2.gz ACANEBVYKKJTAH-CZUORRHYSA-N 0 0 448.505 -0.366 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCC[C@@H](n4cc(CO)nn4)C3)CC2=O)c1 ZINC000505017584 1071764644 /nfs/dbraw/zinc/76/46/44/1071764644.db2.gz ACANEBVYKKJTAH-XJKSGUPXSA-N 0 0 448.505 -0.366 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)cc1C(N)=O ZINC000505018454 1071764654 /nfs/dbraw/zinc/76/46/54/1071764654.db2.gz KORSDJRMVLJEHD-KRWDZBQOSA-N 0 0 434.474 -0.061 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)cc1C(N)=O ZINC000505018455 1071764680 /nfs/dbraw/zinc/76/46/80/1071764680.db2.gz KORSDJRMVLJEHD-QGZVFWFLSA-N 0 0 434.474 -0.061 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)cc1C ZINC000505020766 1073315331 /nfs/dbraw/zinc/31/53/31/1073315331.db2.gz MCSNRFKEOCISIK-CYBMUJFWSA-N 0 0 428.449 -0.194 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)cc1C ZINC000505020767 1073315793 /nfs/dbraw/zinc/31/57/93/1073315793.db2.gz MCSNRFKEOCISIK-ZDUSSCGKSA-N 0 0 428.449 -0.194 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCS(=O)(=O)C3(CCCCC3)C2)cc1C ZINC000505027974 1073314941 /nfs/dbraw/zinc/31/49/41/1073314941.db2.gz XVRXIYIEPIWADI-UHFFFAOYSA-N 0 0 425.511 -0.164 20 0 IBADRN COC(=O)c1cc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)oc1C ZINC000505028900 1071764684 /nfs/dbraw/zinc/76/46/84/1071764684.db2.gz JZABOQGZVHHCHZ-UHFFFAOYSA-N 0 0 430.483 -0.221 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)nn1 ZINC000505036882 1071764769 /nfs/dbraw/zinc/76/47/69/1071764769.db2.gz UZAPSUHAJNYRJS-UHFFFAOYSA-N 0 0 438.466 -0.554 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCCC1 ZINC000505037110 1071764808 /nfs/dbraw/zinc/76/48/08/1071764808.db2.gz YQOWKBWMIJUEFJ-UHFFFAOYSA-N 0 0 433.508 -0.431 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)nn1 ZINC000505037974 1071764668 /nfs/dbraw/zinc/76/46/68/1071764668.db2.gz HGRRHABEVRERBU-UHFFFAOYSA-N 0 0 447.471 -0.039 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(Cc3cccc(F)c3)C(=O)C2)cc1C ZINC000505040813 1071764849 /nfs/dbraw/zinc/76/48/49/1071764849.db2.gz IMDRXCMTBHNWAK-UHFFFAOYSA-N 0 0 430.440 -0.114 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCCN(C)S(=O)(=O)c2cccs2)cc1C ZINC000505042172 1074348721 /nfs/dbraw/zinc/34/87/21/1074348721.db2.gz QUVZBPHRQAZGIY-UHFFFAOYSA-N 0 0 442.523 -0.626 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)cc1 ZINC000505047197 1071765364 /nfs/dbraw/zinc/76/53/64/1071765364.db2.gz CSJBIOTUKPGYAL-DOMZBBRYSA-N 0 0 427.479 -0.464 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1 ZINC000505047198 1071765291 /nfs/dbraw/zinc/76/52/91/1071765291.db2.gz CSJBIOTUKPGYAL-IUODEOHRSA-N 0 0 427.479 -0.464 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)c1=O ZINC000505047782 1071765166 /nfs/dbraw/zinc/76/51/66/1071765166.db2.gz ISAOCNBKHOCEOK-UHFFFAOYSA-N 0 0 434.518 -0.403 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@@H](Cc2ccccc2Cl)C(N)=O)cc1C ZINC000505047996 1071765326 /nfs/dbraw/zinc/76/53/26/1071765326.db2.gz KNKAPMXVNLXMNR-CYBMUJFWSA-N 0 0 434.884 -0.010 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@H](Cc2ccccc2Cl)C(N)=O)cc1C ZINC000505047997 1071765239 /nfs/dbraw/zinc/76/52/39/1071765239.db2.gz KNKAPMXVNLXMNR-ZDUSSCGKSA-N 0 0 434.884 -0.010 20 0 IBADRN O=C(Nc1ccc(N2CCNC2=O)cc1)C(=O)N1C[C@H](F)C[C@H]1C(=O)N1CCOCC1 ZINC000505049630 1071765310 /nfs/dbraw/zinc/76/53/10/1071765310.db2.gz CHRBGGWOSLGLCE-CJNGLKHVSA-N 0 0 433.440 -0.048 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1C[C@H](F)C[C@H]1C(=O)N1CCOCC1 ZINC000505050055 1071765348 /nfs/dbraw/zinc/76/53/48/1071765348.db2.gz GDFNOYZGBZIMRD-ZBFHGGJFSA-N 0 0 435.456 -0.346 20 0 IBADRN COC(=O)c1cc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)oc1C ZINC000505050366 1071765183 /nfs/dbraw/zinc/76/51/83/1071765183.db2.gz CBJBTMXTIBNBHD-UHFFFAOYSA-N 0 0 443.526 -0.306 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H](C[S@](C)=O)c3ccccc3)cnc2n(C)c1=O ZINC000505055313 1071764784 /nfs/dbraw/zinc/76/47/84/1071764784.db2.gz PWMGLOJZBXDSFZ-IWAYBZMOSA-N 0 0 443.485 -0.193 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H](C[S@@](C)=O)c3ccccc3)cnc2n(C)c1=O ZINC000505055314 1071764615 /nfs/dbraw/zinc/76/46/15/1071764615.db2.gz PWMGLOJZBXDSFZ-LHEBDHONSA-N 0 0 443.485 -0.193 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H](C[S@@](C)=O)c3ccccc3)cnc2n(C)c1=O ZINC000505055315 1071764638 /nfs/dbraw/zinc/76/46/38/1071764638.db2.gz PWMGLOJZBXDSFZ-PBNKOMEISA-N 0 0 443.485 -0.193 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H](C[S@](C)=O)c3ccccc3)cnc2n(C)c1=O ZINC000505055316 1071764741 /nfs/dbraw/zinc/76/47/41/1071764741.db2.gz PWMGLOJZBXDSFZ-QLCIJSRNSA-N 0 0 443.485 -0.193 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](F)C[C@H]2CO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000505059018 1071764730 /nfs/dbraw/zinc/76/47/30/1071764730.db2.gz FOEWSEDCVUYDNN-UBHSHLNASA-N 0 0 445.469 -0.368 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](F)C[C@H]2CO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000505059019 1071765320 /nfs/dbraw/zinc/76/53/20/1071765320.db2.gz FOEWSEDCVUYDNN-YUTCNCBUSA-N 0 0 445.469 -0.368 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)c1=O ZINC000505068618 1071766011 /nfs/dbraw/zinc/76/60/11/1071766011.db2.gz NVZIZAWWMOQEBN-IBGZPJMESA-N 0 0 439.472 -0.471 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)c1=O ZINC000505068619 1071765864 /nfs/dbraw/zinc/76/58/64/1071765864.db2.gz NVZIZAWWMOQEBN-LJQANCHMSA-N 0 0 439.472 -0.471 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2c3c(nn2-c2ccccc2)CCC3)CC1 ZINC000505068693 1071765807 /nfs/dbraw/zinc/76/58/07/1071765807.db2.gz ONIYLRACYRJDBJ-UHFFFAOYSA-N 0 0 446.533 -0.268 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)c1=O ZINC000505069555 1071765131 /nfs/dbraw/zinc/76/51/31/1071765131.db2.gz YKSNDTILMNMJDE-UHFFFAOYSA-N 0 0 437.416 -0.231 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2ccc(S(=O)(=O)N=c3cc[nH]cc3)cc2)c1=O ZINC000505073700 1071765277 /nfs/dbraw/zinc/76/52/77/1071765277.db2.gz HFZXOFZDQKETJX-UHFFFAOYSA-N 0 0 443.485 -0.015 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000505074728 1071765333 /nfs/dbraw/zinc/76/53/33/1071765333.db2.gz AASXEDDKEDUCCT-CYBMUJFWSA-N 0 0 433.490 -0.198 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000505074729 1071765227 /nfs/dbraw/zinc/76/52/27/1071765227.db2.gz AASXEDDKEDUCCT-ZDUSSCGKSA-N 0 0 433.490 -0.198 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)c1=O ZINC000505075292 1071765339 /nfs/dbraw/zinc/76/53/39/1071765339.db2.gz NCRRXBTYFLLLAM-UHFFFAOYSA-N 0 0 430.433 -0.300 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cc1C ZINC000505075535 1074348746 /nfs/dbraw/zinc/34/87/46/1074348746.db2.gz NYAVGSSVYMJXHX-HNNXBMFYSA-N 0 0 433.513 -0.969 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cc1C ZINC000505075539 1074348683 /nfs/dbraw/zinc/34/86/83/1074348683.db2.gz NYAVGSSVYMJXHX-OAHLLOKOSA-N 0 0 433.513 -0.969 20 0 IBADRN CN(C)C(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000505076738 1071765300 /nfs/dbraw/zinc/76/53/00/1071765300.db2.gz SCUDYVZJFHITQL-UHFFFAOYSA-N 0 0 426.495 -0.048 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2C[C@H](F)C[C@H]2C(=O)N2CCOCC2)c1 ZINC000505078785 1071765254 /nfs/dbraw/zinc/76/52/54/1071765254.db2.gz UBRBQRUEDDCLDQ-DOMZBBRYSA-N 0 0 442.469 -0.669 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C)CCN2C(=O)c3ccccc3C2=O)cc1C ZINC000505081018 1071765355 /nfs/dbraw/zinc/76/53/55/1071765355.db2.gz HZVBLVHAPZOMDR-UHFFFAOYSA-N 0 0 426.433 -0.369 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)cc1C ZINC000505081955 1071765269 /nfs/dbraw/zinc/76/52/69/1071765269.db2.gz OKZLLYVTFFXDAF-HNNXBMFYSA-N 0 0 442.476 -0.328 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)cc1C ZINC000505081956 1071765147 /nfs/dbraw/zinc/76/51/47/1071765147.db2.gz OKZLLYVTFFXDAF-OAHLLOKOSA-N 0 0 442.476 -0.328 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)cc1C ZINC000505082289 1074349206 /nfs/dbraw/zinc/34/92/06/1074349206.db2.gz RVOJAHALMDWPPD-UHFFFAOYSA-N 0 0 442.523 -0.659 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000505083201 1071765206 /nfs/dbraw/zinc/76/52/06/1071765206.db2.gz CTHIDNROMFZXDA-CYBMUJFWSA-N 0 0 427.465 -0.244 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000505083202 1071765896 /nfs/dbraw/zinc/76/58/96/1071765896.db2.gz CTHIDNROMFZXDA-ZDUSSCGKSA-N 0 0 427.465 -0.244 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000505085542 1071765951 /nfs/dbraw/zinc/76/59/51/1071765951.db2.gz OCRWRFAWOXAKAT-UHFFFAOYSA-N 0 0 439.519 -0.055 20 0 IBADRN O=C(Nc1ccnn1-c1ccc(Cl)cc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000505086165 1071765874 /nfs/dbraw/zinc/76/58/74/1071765874.db2.gz DXECGVKMIBRLHC-UHFFFAOYSA-N 0 0 443.855 -0.178 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(CCCOCC(F)(F)F)CC2)cc1C ZINC000505087073 1071765961 /nfs/dbraw/zinc/76/59/61/1071765961.db2.gz KDSADKYAILZIAJ-UHFFFAOYSA-N 0 0 448.446 -0.011 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n(-c2ccccc2F)n1 ZINC000505087405 1071765943 /nfs/dbraw/zinc/76/59/43/1071765943.db2.gz NQTPEUNCCALGTB-UHFFFAOYSA-N 0 0 441.427 -0.384 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)cc1C ZINC000505087529 1071765932 /nfs/dbraw/zinc/76/59/32/1071765932.db2.gz PBOKCDPCZHJGKI-UHFFFAOYSA-N 0 0 428.375 -0.087 20 0 IBADRN O=C(Nc1ccnn1-c1cccc(Cl)c1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000505088067 1071765788 /nfs/dbraw/zinc/76/57/88/1071765788.db2.gz VJYKQZOQBLKEHM-UHFFFAOYSA-N 0 0 443.855 -0.178 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCNS(=O)(=O)c1ccccc1 ZINC000505098415 1071765905 /nfs/dbraw/zinc/76/59/05/1071765905.db2.gz LEBURKLBIRIBIE-UHFFFAOYSA-N 0 0 437.503 -0.064 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)CC1 ZINC000505099281 1071766017 /nfs/dbraw/zinc/76/60/17/1071766017.db2.gz RVDIPTDNRVWXDC-UHFFFAOYSA-N 0 0 438.506 -0.124 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN(c3ccccc3)C1=O)C2 ZINC000505100209 1071765985 /nfs/dbraw/zinc/76/59/85/1071765985.db2.gz YRBQYCOCVBUPKC-INIZCTEOSA-N 0 0 426.477 -0.082 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN(c3ccccc3)C1=O)C2 ZINC000505100210 1071765825 /nfs/dbraw/zinc/76/58/25/1071765825.db2.gz YRBQYCOCVBUPKC-MRXNPFEDSA-N 0 0 426.477 -0.082 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCC2CCN(C(=O)c3ccccc3)CC2)CC1 ZINC000505100276 1071765977 /nfs/dbraw/zinc/76/59/77/1071765977.db2.gz ZJSAUYIHRJURCY-UHFFFAOYSA-N 0 0 436.534 -0.241 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCC1CCN(C(=O)c2ccccc2)CC1 ZINC000505101808 1072469342 /nfs/dbraw/zinc/46/93/42/1072469342.db2.gz BFLYEHLCGVLOSR-FQEVSTJZSA-N 0 0 446.548 -0.194 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCC1CCN(C(=O)c2ccccc2)CC1 ZINC000505101809 1071765841 /nfs/dbraw/zinc/76/58/41/1071765841.db2.gz BFLYEHLCGVLOSR-HXUWFJFHSA-N 0 0 446.548 -0.194 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCC2CCN(C(=O)c3ccccc3)CC2)C1 ZINC000505107850 1071766445 /nfs/dbraw/zinc/76/64/45/1071766445.db2.gz CBMMONHHWVNJQA-UHFFFAOYSA-N 0 0 436.534 -0.337 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000505109787 1071766466 /nfs/dbraw/zinc/76/64/66/1071766466.db2.gz WZPIBPDAJFDRRN-UHFFFAOYSA-N 0 0 425.511 -0.980 20 0 IBADRN NS(=O)(=O)CCNC(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000505112960 1071766489 /nfs/dbraw/zinc/76/64/89/1071766489.db2.gz INOFPECQLBYCSQ-UHFFFAOYSA-N 0 0 447.521 -0.222 20 0 IBADRN NS(=O)(=O)CCNC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000505113175 1071765882 /nfs/dbraw/zinc/76/58/82/1071765882.db2.gz JEICHAHLLQOOMD-UHFFFAOYSA-N 0 0 426.904 -0.229 20 0 IBADRN CCOc1ccc(NC(=O)NCCS(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000505113209 1071766000 /nfs/dbraw/zinc/76/60/00/1071766000.db2.gz JODHGUUFPQCKEB-UHFFFAOYSA-N 0 0 436.512 -0.484 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000505114773 1071765919 /nfs/dbraw/zinc/76/59/19/1071765919.db2.gz SBWBSMMNRDUNEG-GFCCVEGCSA-N 0 0 442.519 -0.239 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000505114774 1071765994 /nfs/dbraw/zinc/76/59/94/1071765994.db2.gz SBWBSMMNRDUNEG-LBPRGKRZSA-N 0 0 442.519 -0.239 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCS(N)(=O)=O ZINC000505115631 1071766369 /nfs/dbraw/zinc/76/63/69/1071766369.db2.gz XDMWSBSKFFOJHY-UHFFFAOYSA-N 0 0 436.512 -0.484 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCC2CCN(C(=O)c3ccccc3)CC2)CC1 ZINC000505121367 1071766474 /nfs/dbraw/zinc/76/64/74/1071766474.db2.gz YCXZRYRZIUSTEA-UHFFFAOYSA-N 0 0 430.505 -0.028 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCC2CCN(C(=O)c3ccccc3)CC2)CC1 ZINC000505121535 1071766510 /nfs/dbraw/zinc/76/65/10/1071766510.db2.gz YZINIKVTTBZKHG-UHFFFAOYSA-N 0 0 443.548 -0.065 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000505128394 1071766383 /nfs/dbraw/zinc/76/63/83/1071766383.db2.gz JQFAWBQBWMBBEP-UHFFFAOYSA-N 0 0 434.359 -0.156 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000505138631 1071766426 /nfs/dbraw/zinc/76/64/26/1071766426.db2.gz GBGIAPYYZUMILR-CYBMUJFWSA-N 0 0 433.490 -0.198 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000505138632 1071766521 /nfs/dbraw/zinc/76/65/21/1071766521.db2.gz GBGIAPYYZUMILR-ZDUSSCGKSA-N 0 0 433.490 -0.198 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000505139341 1071766501 /nfs/dbraw/zinc/76/65/01/1071766501.db2.gz MWRFUOKFFQYABZ-UHFFFAOYSA-N 0 0 440.522 -0.080 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000505139555 1071766414 /nfs/dbraw/zinc/76/64/14/1071766414.db2.gz OMXNOQXHWGDCQL-UHFFFAOYSA-N 0 0 432.477 -0.272 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(S(C)(=O)=O)CCCC3)CC2)C[C@H](C)O1 ZINC000505140897 1071766518 /nfs/dbraw/zinc/76/65/18/1071766518.db2.gz JLUAUOWGDWLXAP-GASCZTMLSA-N 0 0 437.584 -0.158 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(S(C)(=O)=O)CCCC3)CC2)C[C@H](C)O1 ZINC000505140898 1071766434 /nfs/dbraw/zinc/76/64/34/1071766434.db2.gz JLUAUOWGDWLXAP-GJZGRUSLSA-N 0 0 437.584 -0.158 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(S(C)(=O)=O)CCCC3)CC2)C[C@@H](C)O1 ZINC000505140899 1071766470 /nfs/dbraw/zinc/76/64/70/1071766470.db2.gz JLUAUOWGDWLXAP-HUUCEWRRSA-N 0 0 437.584 -0.158 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000505151207 1071766953 /nfs/dbraw/zinc/76/69/53/1071766953.db2.gz CRPKVWJXTVGWNN-UHFFFAOYSA-N 0 0 438.550 -0.083 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000505152597 1071766848 /nfs/dbraw/zinc/76/68/48/1071766848.db2.gz LWIMUAVMKGZIBW-UHFFFAOYSA-N 0 0 438.441 -0.526 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c1 ZINC000505153057 1071767017 /nfs/dbraw/zinc/76/70/17/1071767017.db2.gz PJJJTOCFKZZOKK-UHFFFAOYSA-N 0 0 436.494 -0.027 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000505153164 1071766526 /nfs/dbraw/zinc/76/65/26/1071766526.db2.gz QQKHTNHPYWICSW-UHFFFAOYSA-N 0 0 438.506 -0.637 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1 ZINC000505153457 1071766482 /nfs/dbraw/zinc/76/64/82/1071766482.db2.gz UUFHJXMVINZPEH-UHFFFAOYSA-N 0 0 436.494 -0.075 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000505153807 1071766460 /nfs/dbraw/zinc/76/64/60/1071766460.db2.gz ZEUZPMJXYZMGTA-UHFFFAOYSA-N 0 0 428.449 -0.325 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000505155237 1071766495 /nfs/dbraw/zinc/76/64/95/1071766495.db2.gz RSNBWBKOUOKXES-UHFFFAOYSA-N 0 0 444.579 -0.163 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000505156586 1071766504 /nfs/dbraw/zinc/76/65/04/1071766504.db2.gz KXFVMSUXNNCORY-UHFFFAOYSA-N 0 0 438.510 -0.013 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)C1 ZINC000505157939 1071766453 /nfs/dbraw/zinc/76/64/53/1071766453.db2.gz FBXAWNALZFVWDB-UHFFFAOYSA-N 0 0 434.478 -0.788 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1 ZINC000505159157 1071767102 /nfs/dbraw/zinc/76/71/02/1071767102.db2.gz NUHYYZZQHKYHJO-UHFFFAOYSA-N 0 0 434.478 -0.323 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000505159553 1071766909 /nfs/dbraw/zinc/76/69/09/1071766909.db2.gz QAAVEUVNDPFDMD-AWEZNQCLSA-N 0 0 449.489 -0.153 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000505159554 1071767034 /nfs/dbraw/zinc/76/70/34/1071767034.db2.gz QAAVEUVNDPFDMD-CQSZACIVSA-N 0 0 449.489 -0.153 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000505162751 1071767630 /nfs/dbraw/zinc/76/76/30/1071767630.db2.gz SJJNXJKSKNCGLE-UHFFFAOYSA-N 0 0 427.575 -0.601 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCNC(=O)c1ccn[nH]1)CC2 ZINC000505165831 1071767086 /nfs/dbraw/zinc/76/70/86/1071767086.db2.gz NJSLHGFROZBCRR-UHFFFAOYSA-N 0 0 434.478 -0.857 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000505166454 1071767069 /nfs/dbraw/zinc/76/70/69/1071767069.db2.gz UBIDTYOPQOYDOW-UHFFFAOYSA-N 0 0 438.506 -0.275 20 0 IBADRN CC(C)[C@@H](C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)N1CCCS1(=O)=O ZINC000505169996 1071767128 /nfs/dbraw/zinc/76/71/28/1071767128.db2.gz YCMNLUHJXYZVRC-INIZCTEOSA-N 0 0 447.583 -0.251 20 0 IBADRN CC(C)[C@H](C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)N1CCCS1(=O)=O ZINC000505169997 1071766829 /nfs/dbraw/zinc/76/68/29/1071766829.db2.gz YCMNLUHJXYZVRC-MRXNPFEDSA-N 0 0 447.583 -0.251 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000505170427 1071766920 /nfs/dbraw/zinc/76/69/20/1071766920.db2.gz DGMNPKNPYAOCPB-UHFFFAOYSA-N 0 0 434.478 -0.321 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1Cl ZINC000505171021 1071766891 /nfs/dbraw/zinc/76/68/91/1071766891.db2.gz KSXIIRNHTGGLED-UHFFFAOYSA-N 0 0 428.858 -0.081 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)ccc1S(=O)(=O)N(C)C ZINC000505171072 1071766939 /nfs/dbraw/zinc/76/69/39/1071766939.db2.gz LDJYZVCKIKCNCU-UHFFFAOYSA-N 0 0 438.466 -0.847 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1)N1CCOCC1 ZINC000505171201 1071767111 /nfs/dbraw/zinc/76/71/11/1071767111.db2.gz MVCGMHPOOIQICU-UHFFFAOYSA-N 0 0 428.449 -0.704 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000505175948 1071767117 /nfs/dbraw/zinc/76/71/17/1071767117.db2.gz DVYCLNCLSNCUTH-UHFFFAOYSA-N 0 0 438.441 -0.427 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1)N1CCOCC1 ZINC000505176150 1071767041 /nfs/dbraw/zinc/76/70/41/1071767041.db2.gz HCXLJKIBIMVAQU-UHFFFAOYSA-N 0 0 444.448 -0.868 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1Cl ZINC000505177136 1071767060 /nfs/dbraw/zinc/76/70/60/1071767060.db2.gz QXERPUNIWLDOBB-UHFFFAOYSA-N 0 0 436.856 -0.076 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)s1 ZINC000505179319 1071766864 /nfs/dbraw/zinc/76/68/64/1071766864.db2.gz HJVSGCUEBUNPGG-UHFFFAOYSA-N 0 0 429.505 -0.134 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1=O ZINC000505185627 1071767609 /nfs/dbraw/zinc/76/76/09/1071767609.db2.gz UHVJKQDMSRVPMS-UHFFFAOYSA-N 0 0 431.493 -0.025 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000505188981 1071767595 /nfs/dbraw/zinc/76/75/95/1071767595.db2.gz FTWWMJPQXPXRNT-GOSISDBHSA-N 0 0 444.488 -0.281 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000505188982 1071767552 /nfs/dbraw/zinc/76/75/52/1071767552.db2.gz FTWWMJPQXPXRNT-SFHVURJKSA-N 0 0 444.488 -0.281 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)N2CCN(C3CC3)C(=O)C2)[C@@H]1C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000505189645 1071767480 /nfs/dbraw/zinc/76/74/80/1071767480.db2.gz KCNJGYDMBJNTTC-CFCCAZDESA-N 0 0 444.532 -0.116 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN(C3CC3)C(=O)C2)[C@H]1C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000505189646 1071767527 /nfs/dbraw/zinc/76/75/27/1071767527.db2.gz KCNJGYDMBJNTTC-IBZMOEQTSA-N 0 0 444.532 -0.116 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)N2CCN(C3CC3)C(=O)C2)[C@H]1C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000505189647 1071767494 /nfs/dbraw/zinc/76/74/94/1071767494.db2.gz KCNJGYDMBJNTTC-IQVOZAENSA-N 0 0 444.532 -0.116 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN(C3CC3)C(=O)C2)[C@@H]1C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000505189648 1071767671 /nfs/dbraw/zinc/76/76/71/1071767671.db2.gz KCNJGYDMBJNTTC-YMTYPPQLSA-N 0 0 444.532 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1-n1nnnc1C1CC1 ZINC000505190528 1071767703 /nfs/dbraw/zinc/76/77/03/1071767703.db2.gz MLVFVNXIHMEMOV-UHFFFAOYSA-N 0 0 439.436 -0.244 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)cc2)CC1 ZINC000505195703 1071767684 /nfs/dbraw/zinc/76/76/84/1071767684.db2.gz FXKHDQIJPDNCEQ-UHFFFAOYSA-N 0 0 427.465 -0.718 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000505198055 1071767509 /nfs/dbraw/zinc/76/75/09/1071767509.db2.gz NEFGUWAJXHDALF-UHFFFAOYSA-N 0 0 434.478 -0.871 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000505200142 1071767443 /nfs/dbraw/zinc/76/74/43/1071767443.db2.gz WGFIEJUAIDCFBI-UHFFFAOYSA-N 0 0 438.441 -0.427 20 0 IBADRN CC(C)[C@@H](C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCCS1(=O)=O ZINC000505201665 1071767656 /nfs/dbraw/zinc/76/76/56/1071767656.db2.gz YDTQFBJCGURHAZ-CABCVRRESA-N 0 0 425.573 -0.349 20 0 IBADRN CC(C)[C@@H](C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCCS1(=O)=O ZINC000505201666 1071767468 /nfs/dbraw/zinc/76/74/68/1071767468.db2.gz YDTQFBJCGURHAZ-GJZGRUSLSA-N 0 0 425.573 -0.349 20 0 IBADRN CC(C)[C@H](C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCCS1(=O)=O ZINC000505201667 1071768309 /nfs/dbraw/zinc/76/83/09/1071768309.db2.gz YDTQFBJCGURHAZ-HUUCEWRRSA-N 0 0 425.573 -0.349 20 0 IBADRN CC(C)[C@H](C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCCS1(=O)=O ZINC000505201668 1071768148 /nfs/dbraw/zinc/76/81/48/1071768148.db2.gz YDTQFBJCGURHAZ-LSDHHAIUSA-N 0 0 425.573 -0.349 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H]([C@H](C)O)C1 ZINC000505210070 1071768022 /nfs/dbraw/zinc/76/80/22/1071768022.db2.gz MCYRFXOTLMIQFS-KBPBESRZSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H]([C@@H](C)O)C1 ZINC000505210072 1071768109 /nfs/dbraw/zinc/76/81/09/1071768109.db2.gz MCYRFXOTLMIQFS-KGLIPLIRSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H]([C@H](C)O)C1 ZINC000505210074 1071768176 /nfs/dbraw/zinc/76/81/76/1071768176.db2.gz MCYRFXOTLMIQFS-UONOGXRCSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H]([C@@H](C)O)C1 ZINC000505210075 1071768321 /nfs/dbraw/zinc/76/83/21/1071768321.db2.gz MCYRFXOTLMIQFS-ZIAGYGMSSA-N 0 0 441.506 -0.116 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)cc21 ZINC000505210642 1071768334 /nfs/dbraw/zinc/76/83/34/1071768334.db2.gz OOBCPUALZRUIRW-UHFFFAOYSA-N 0 0 425.449 -0.063 20 0 IBADRN O=C(CC1(C(=O)N2CCN(C3CC3)C(=O)C2)CCOCC1)N1CCN(C2CC2)C(=O)C1 ZINC000505217037 1072471509 /nfs/dbraw/zinc/47/15/09/1072471509.db2.gz IVMLTPHPQZNFRS-UHFFFAOYSA-N 0 0 432.521 -0.160 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000505218827 1071768190 /nfs/dbraw/zinc/76/81/90/1071768190.db2.gz IXVMLAMRNSTZMJ-HNNXBMFYSA-N 0 0 441.531 -0.401 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000505218828 1071768129 /nfs/dbraw/zinc/76/81/29/1071768129.db2.gz IXVMLAMRNSTZMJ-OAHLLOKOSA-N 0 0 441.531 -0.401 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)CC1 ZINC000505220306 1071768790 /nfs/dbraw/zinc/76/87/90/1071768790.db2.gz QXMUGYQBBNFESQ-INIZCTEOSA-N 0 0 429.587 -0.292 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)CC1 ZINC000505220307 1071768940 /nfs/dbraw/zinc/76/89/40/1071768940.db2.gz QXMUGYQBBNFESQ-MRXNPFEDSA-N 0 0 429.587 -0.292 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c1 ZINC000505221969 1071768745 /nfs/dbraw/zinc/76/87/45/1071768745.db2.gz AULJALBGAWTHHR-GFCCVEGCSA-N 0 0 436.494 -0.029 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c1 ZINC000505222170 1071768737 /nfs/dbraw/zinc/76/87/37/1071768737.db2.gz AULJALBGAWTHHR-LBPRGKRZSA-N 0 0 436.494 -0.029 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(C)C)C(=O)C1 ZINC000505222264 1071768929 /nfs/dbraw/zinc/76/89/29/1071768929.db2.gz BNYKMYMIZKIKMF-UHFFFAOYSA-N 0 0 426.495 -0.037 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000505222492 1071768727 /nfs/dbraw/zinc/76/87/27/1071768727.db2.gz BUJREFVFFXFGIO-UHFFFAOYSA-N 0 0 427.400 -0.113 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)C(=O)N1CCN(C(C)C)C(=O)C1 ZINC000505223667 1071768204 /nfs/dbraw/zinc/76/82/04/1071768204.db2.gz HFGAACLQHDSHPI-AWEZNQCLSA-N 0 0 425.511 -0.123 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)C(=O)N1CCN(C(C)C)C(=O)C1 ZINC000505223673 1071768295 /nfs/dbraw/zinc/76/82/95/1071768295.db2.gz HFGAACLQHDSHPI-CQSZACIVSA-N 0 0 425.511 -0.123 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1nccs1 ZINC000505224588 1071768276 /nfs/dbraw/zinc/76/82/76/1071768276.db2.gz JVDKKDWGCBIIJC-AWEZNQCLSA-N 0 0 444.583 -0.653 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1nccs1 ZINC000505224596 1071768073 /nfs/dbraw/zinc/76/80/73/1071768073.db2.gz JVDKKDWGCBIIJC-CQSZACIVSA-N 0 0 444.583 -0.653 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)C)C(=O)C2)cc(S(C)(=O)=O)c1 ZINC000505225138 1071768353 /nfs/dbraw/zinc/76/83/53/1071768353.db2.gz LHYNZUHXXBLYQM-UHFFFAOYSA-N 0 0 425.463 -0.106 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c(C)c1S(=O)(=O)NC1CC1 ZINC000505225833 1071768257 /nfs/dbraw/zinc/76/82/57/1071768257.db2.gz NWYVSFUBRFNETI-UHFFFAOYSA-N 0 0 448.505 -0.048 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000505226461 1071768367 /nfs/dbraw/zinc/76/83/67/1071768367.db2.gz WLDYDCNYCBFWCO-AWEZNQCLSA-N 0 0 436.538 -0.100 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000505226462 1071768053 /nfs/dbraw/zinc/76/80/53/1071768053.db2.gz WLDYDCNYCBFWCO-CQSZACIVSA-N 0 0 436.538 -0.100 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCCOC1 ZINC000505233552 1071768679 /nfs/dbraw/zinc/76/86/79/1071768679.db2.gz OQCLGUJRYMXFKR-KBPBESRZSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCCOC1 ZINC000505233553 1071768890 /nfs/dbraw/zinc/76/88/90/1071768890.db2.gz OQCLGUJRYMXFKR-KGLIPLIRSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCCOC1 ZINC000505233555 1071768760 /nfs/dbraw/zinc/76/87/60/1071768760.db2.gz OQCLGUJRYMXFKR-UONOGXRCSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCCOC1 ZINC000505233557 1071768824 /nfs/dbraw/zinc/76/88/24/1071768824.db2.gz OQCLGUJRYMXFKR-ZIAGYGMSSA-N 0 0 438.506 -0.323 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)cc2)CC1 ZINC000505237389 1071768838 /nfs/dbraw/zinc/76/88/38/1071768838.db2.gz FKWCVCFGEYQZGC-UHFFFAOYSA-N 0 0 441.492 -0.789 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000505237918 1071768870 /nfs/dbraw/zinc/76/88/70/1071768870.db2.gz GXNHHPXZAYCCIQ-INIZCTEOSA-N 0 0 443.547 -0.399 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000505237919 1071768773 /nfs/dbraw/zinc/76/87/73/1071768773.db2.gz GXNHHPXZAYCCIQ-MRXNPFEDSA-N 0 0 443.547 -0.399 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1 ZINC000505238828 1071768899 /nfs/dbraw/zinc/76/88/99/1071768899.db2.gz MFHPUMBDBDYMSZ-UHFFFAOYSA-N 0 0 443.464 -0.835 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c1 ZINC000505239547 1071768239 /nfs/dbraw/zinc/76/82/39/1071768239.db2.gz PWYMQJWRRWPHFG-UHFFFAOYSA-N 0 0 426.430 -0.716 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c1)N1CCCC1 ZINC000505239576 1071768883 /nfs/dbraw/zinc/76/88/83/1071768883.db2.gz QCDSUPFMRFGJTL-UHFFFAOYSA-N 0 0 428.449 -0.104 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000505243749 1071769520 /nfs/dbraw/zinc/76/95/20/1071769520.db2.gz CDASVPCKHIKZNK-UHFFFAOYSA-N 0 0 444.448 -0.625 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1S(=O)(=O)NC1CC1 ZINC000505244570 1071769620 /nfs/dbraw/zinc/76/96/20/1071769620.db2.gz KMKBQHBJIPTHNC-UHFFFAOYSA-N 0 0 434.478 -0.356 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c2cccnc12 ZINC000505245460 1071769331 /nfs/dbraw/zinc/76/93/31/1071769331.db2.gz SPCMPFPOXNPXCQ-UHFFFAOYSA-N 0 0 440.416 -0.006 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000505245974 1071769640 /nfs/dbraw/zinc/76/96/40/1071769640.db2.gz VYAUQUHGOBKYPJ-UHFFFAOYSA-N 0 0 428.449 -0.325 20 0 IBADRN CNS(=O)(=O)CC(=O)Nc1ccc2c(c1)[C@@H](NC(=O)CS(=O)(=O)NC)CCC2 ZINC000505248137 1071769445 /nfs/dbraw/zinc/76/94/45/1071769445.db2.gz WRXQIRJCISNUFV-AWEZNQCLSA-N 0 0 432.524 -0.783 20 0 IBADRN CNS(=O)(=O)CC(=O)Nc1ccc2c(c1)[C@H](NC(=O)CS(=O)(=O)NC)CCC2 ZINC000505248138 1071769393 /nfs/dbraw/zinc/76/93/93/1071769393.db2.gz WRXQIRJCISNUFV-CQSZACIVSA-N 0 0 432.524 -0.783 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c1 ZINC000505250649 1071769456 /nfs/dbraw/zinc/76/94/56/1071769456.db2.gz GIEDWIDCILAMKI-UHFFFAOYSA-N 0 0 436.494 -0.029 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000505250791 1071769556 /nfs/dbraw/zinc/76/95/56/1071769556.db2.gz HTFVXKMMCSRWFQ-UHFFFAOYSA-N 0 0 438.506 -0.275 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cn1 ZINC000505251054 1071769570 /nfs/dbraw/zinc/76/95/70/1071769570.db2.gz JYGCRABNWSMBSL-UHFFFAOYSA-N 0 0 445.461 -0.516 20 0 IBADRN O=C(N[C@H](Cc1ccccc1)C(=O)N1CCOCC1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000505251142 1071769538 /nfs/dbraw/zinc/76/95/38/1071769538.db2.gz KXENRKPPXXWGTQ-HSALFYBXSA-N 0 0 445.516 -0.021 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000505251143 1071769594 /nfs/dbraw/zinc/76/95/94/1071769594.db2.gz KXENRKPPXXWGTQ-SLFFLAALSA-N 0 0 445.516 -0.021 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)ccc1Cl ZINC000505252312 1071769607 /nfs/dbraw/zinc/76/96/07/1071769607.db2.gz XBQPNDGTFZRTID-UHFFFAOYSA-N 0 0 442.885 -0.202 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)nnn1Cc1ccncc1 ZINC000505253716 1071769475 /nfs/dbraw/zinc/76/94/75/1071769475.db2.gz HICGXGMNGKAQER-UHFFFAOYSA-N 0 0 435.510 -0.635 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)c2C)no1 ZINC000505254428 1073369831 /nfs/dbraw/zinc/36/98/31/1073369831.db2.gz MXRBQKRYIJPBFP-UHFFFAOYSA-N 0 0 439.498 -0.734 20 0 IBADRN O=C(CN1CC(=O)NC1=O)Nc1ccc2c(c1)[C@@H](NC(=O)CN1CC(=O)NC1=O)CCC2 ZINC000505255082 1071769507 /nfs/dbraw/zinc/76/95/07/1071769507.db2.gz PKEBWNVUDCNTKZ-AWEZNQCLSA-N 0 0 442.432 -0.778 20 0 IBADRN O=C(CN1CC(=O)NC1=O)Nc1ccc2c(c1)[C@H](NC(=O)CN1CC(=O)NC1=O)CCC2 ZINC000505255083 1071769316 /nfs/dbraw/zinc/76/93/16/1071769316.db2.gz PKEBWNVUDCNTKZ-CQSZACIVSA-N 0 0 442.432 -0.778 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cccc1S(=O)(=O)NC1CC1 ZINC000505256706 1071769355 /nfs/dbraw/zinc/76/93/55/1071769355.db2.gz CTGIONCPKKXDDJ-UHFFFAOYSA-N 0 0 434.478 -0.356 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1 ZINC000505257882 1071769417 /nfs/dbraw/zinc/76/94/17/1071769417.db2.gz OVNXTVOMKPWTGK-UHFFFAOYSA-N 0 0 436.494 -0.077 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c2ccccc2O1 ZINC000505258420 1071769364 /nfs/dbraw/zinc/76/93/64/1071769364.db2.gz UWWILMKYXXDSRB-INIZCTEOSA-N 0 0 428.449 -0.424 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2ccn[nH]2)c2ccccc2O1 ZINC000505258421 1071769583 /nfs/dbraw/zinc/76/95/83/1071769583.db2.gz UWWILMKYXXDSRB-MRXNPFEDSA-N 0 0 428.449 -0.424 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)C)C(=O)C2)cc1 ZINC000505264285 1071770055 /nfs/dbraw/zinc/77/00/55/1071770055.db2.gz KUUFNUCMZMLWTH-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000505264366 1071769898 /nfs/dbraw/zinc/76/98/98/1071769898.db2.gz CINMRQCOOYQLAB-HNNXBMFYSA-N 0 0 441.531 -0.401 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000505264367 1071770155 /nfs/dbraw/zinc/77/01/55/1071770155.db2.gz CINMRQCOOYQLAB-OAHLLOKOSA-N 0 0 441.531 -0.401 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(C)C)C(=O)C2)ccc1S(=O)(=O)N(C)C ZINC000505266832 1071770180 /nfs/dbraw/zinc/77/01/80/1071770180.db2.gz XAZXIAJJKUOONM-UHFFFAOYSA-N 0 0 426.495 -0.037 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000505270429 1071769922 /nfs/dbraw/zinc/76/99/22/1071769922.db2.gz IAEQGPSGBVTSMD-DZGCQCFKSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000505270430 1071769953 /nfs/dbraw/zinc/76/99/53/1071769953.db2.gz IAEQGPSGBVTSMD-HIFRSBDPSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000505270431 1071770068 /nfs/dbraw/zinc/77/00/68/1071770068.db2.gz IAEQGPSGBVTSMD-UKRRQHHQSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000505270432 1071769999 /nfs/dbraw/zinc/76/99/99/1071769999.db2.gz IAEQGPSGBVTSMD-ZFWWWQNUSA-N 0 0 428.515 -0.419 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000505270865 1071770103 /nfs/dbraw/zinc/77/01/03/1071770103.db2.gz DOYKSYIYCQFCQM-UHFFFAOYSA-N 0 0 437.478 -0.040 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cccc1C(=O)N1CCOCC1 ZINC000505270955 1071770140 /nfs/dbraw/zinc/77/01/40/1071770140.db2.gz BGGUARCQAXDZBU-UHFFFAOYSA-N 0 0 428.449 -0.325 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1=O ZINC000505272788 1072472893 /nfs/dbraw/zinc/47/28/93/1072472893.db2.gz IHIKIFNPLYFIIV-UHFFFAOYSA-N 0 0 445.520 -0.234 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000505273096 1071769987 /nfs/dbraw/zinc/76/99/87/1071769987.db2.gz JOXKDAMEHKPRLZ-UHFFFAOYSA-N 0 0 448.443 -0.248 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)cc2)CC1 ZINC000505275625 1071770191 /nfs/dbraw/zinc/77/01/91/1071770191.db2.gz VLSJXGWMLCADDY-UHFFFAOYSA-N 0 0 441.492 -0.442 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000505275650 1071770124 /nfs/dbraw/zinc/77/01/24/1071770124.db2.gz VSPWXXCIOHCFAH-UHFFFAOYSA-N 0 0 438.466 -0.847 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)cc1N1CCCS1(=O)=O ZINC000505276053 1071770027 /nfs/dbraw/zinc/77/00/27/1071770027.db2.gz ZUYPWVRJGIKCDT-UHFFFAOYSA-N 0 0 434.478 -0.257 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000505279566 1071769909 /nfs/dbraw/zinc/76/99/09/1071769909.db2.gz FQEXDBUZRXVNEW-GASCZTMLSA-N 0 0 434.497 -0.463 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1=O ZINC000505279567 1071770113 /nfs/dbraw/zinc/77/01/13/1071770113.db2.gz FQEXDBUZRXVNEW-GJZGRUSLSA-N 0 0 434.497 -0.463 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1=O ZINC000505279570 1071770086 /nfs/dbraw/zinc/77/00/86/1071770086.db2.gz FQEXDBUZRXVNEW-HUUCEWRRSA-N 0 0 434.497 -0.463 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H]([C@H](C)O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000505280318 1071770165 /nfs/dbraw/zinc/77/01/65/1071770165.db2.gz JFSWVHZPMZXHCN-KBPBESRZSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H]([C@@H](C)O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000505280319 1071769975 /nfs/dbraw/zinc/76/99/75/1071769975.db2.gz JFSWVHZPMZXHCN-KGLIPLIRSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H]([C@H](C)O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000505280320 1071770625 /nfs/dbraw/zinc/77/06/25/1071770625.db2.gz JFSWVHZPMZXHCN-UONOGXRCSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H]([C@@H](C)O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000505280321 1071770528 /nfs/dbraw/zinc/77/05/28/1071770528.db2.gz JFSWVHZPMZXHCN-ZIAGYGMSSA-N 0 0 441.506 -0.116 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000505281610 1071770755 /nfs/dbraw/zinc/77/07/55/1071770755.db2.gz QEHZNXQHKFUNCO-UHFFFAOYSA-N 0 0 432.477 -0.058 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)c1nc(-c2nc[nH]n2)no1 ZINC000505283688 1071770605 /nfs/dbraw/zinc/77/06/05/1071770605.db2.gz ACTFCIUNTXPJIC-LLVKDONJSA-N 0 0 425.409 -0.073 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)c1nc(-c2nc[nH]n2)no1 ZINC000505283689 1071770680 /nfs/dbraw/zinc/77/06/80/1071770680.db2.gz ACTFCIUNTXPJIC-NSHDSACASA-N 0 0 425.409 -0.073 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000505286082 1071770686 /nfs/dbraw/zinc/77/06/86/1071770686.db2.gz VTVVJEGPQLXWAX-KBPBESRZSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000505286083 1071770636 /nfs/dbraw/zinc/77/06/36/1071770636.db2.gz VTVVJEGPQLXWAX-KGLIPLIRSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000505286084 1071770658 /nfs/dbraw/zinc/77/06/58/1071770658.db2.gz VTVVJEGPQLXWAX-UONOGXRCSA-N 0 0 428.515 -0.419 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000505286085 1071770697 /nfs/dbraw/zinc/77/06/97/1071770697.db2.gz VTVVJEGPQLXWAX-ZIAGYGMSSA-N 0 0 428.515 -0.419 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1CCCCNC1=O ZINC000505288534 1071770543 /nfs/dbraw/zinc/77/05/43/1071770543.db2.gz XHTBPSDWCJCUPL-HNNXBMFYSA-N 0 0 431.493 -0.194 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H]1CCCCNC1=O ZINC000505288535 1071770731 /nfs/dbraw/zinc/77/07/31/1071770731.db2.gz XHTBPSDWCJCUPL-OAHLLOKOSA-N 0 0 431.493 -0.194 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](C(C)C)N2CCCS2(=O)=O)CC1 ZINC000505288993 1071770672 /nfs/dbraw/zinc/77/06/72/1071770672.db2.gz CNLYGPLFCLCDEB-HNNXBMFYSA-N 0 0 425.573 -0.443 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H](C(C)C)N2CCCS2(=O)=O)CC1 ZINC000505288994 1071770467 /nfs/dbraw/zinc/77/04/67/1071770467.db2.gz CNLYGPLFCLCDEB-OAHLLOKOSA-N 0 0 425.573 -0.443 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cc(Cn3cc(Br)cn3)on2)CC1 ZINC000505298284 1071770742 /nfs/dbraw/zinc/77/07/42/1071770742.db2.gz PZCOROAWMKQLOJ-UHFFFAOYSA-N 0 0 447.315 -0.272 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCOCCO)cc2)CC1 ZINC000505299524 1071770504 /nfs/dbraw/zinc/77/05/04/1071770504.db2.gz KCIKCLDWELAXDM-UHFFFAOYSA-N 0 0 428.511 -0.924 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCCCNC1=O ZINC000505307025 1071770712 /nfs/dbraw/zinc/77/07/12/1071770712.db2.gz ZPBWGLLLCOOHEE-CYBMUJFWSA-N 0 0 425.511 -0.274 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCCCNC1=O ZINC000505307026 1071771272 /nfs/dbraw/zinc/77/12/72/1071771272.db2.gz ZPBWGLLLCOOHEE-ZDUSSCGKSA-N 0 0 425.511 -0.274 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCCCNC3=O)c2)CC1 ZINC000505310831 1071771317 /nfs/dbraw/zinc/77/13/17/1071771317.db2.gz IMQIYHHUTCOPPS-INIZCTEOSA-N 0 0 437.522 -0.654 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCCCNC3=O)c2)CC1 ZINC000505310832 1071771105 /nfs/dbraw/zinc/77/11/05/1071771105.db2.gz IMQIYHHUTCOPPS-MRXNPFEDSA-N 0 0 437.522 -0.654 20 0 IBADRN COCCOCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000505312690 1071771180 /nfs/dbraw/zinc/77/11/80/1071771180.db2.gz YVXYKIHEXBPOQU-UHFFFAOYSA-N 0 0 426.495 -0.140 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCOCCO ZINC000505312802 1071771142 /nfs/dbraw/zinc/77/11/42/1071771142.db2.gz RTJLZRGREMMOEX-UHFFFAOYSA-N 0 0 429.495 -0.530 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000505314680 1071771280 /nfs/dbraw/zinc/77/12/80/1071771280.db2.gz PKFVLIIEJDTSOY-UHFFFAOYSA-N 0 0 436.538 -0.052 20 0 IBADRN O=C(NCC[C@@H]1CCCCO1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000505317025 1071771229 /nfs/dbraw/zinc/77/12/29/1071771229.db2.gz MPLVVFRXBVFRNT-HNNXBMFYSA-N 0 0 438.506 -0.179 20 0 IBADRN O=C(NCC[C@H]1CCCCO1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000505317026 1071771244 /nfs/dbraw/zinc/77/12/44/1071771244.db2.gz MPLVVFRXBVFRNT-OAHLLOKOSA-N 0 0 438.506 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCOCCO)cc2)C[C@H](C)O1 ZINC000505317355 1071771125 /nfs/dbraw/zinc/77/11/25/1071771125.db2.gz OHKYSUYFUJHPJW-GASCZTMLSA-N 0 0 443.522 -0.062 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCOCCO)cc2)C[C@H](C)O1 ZINC000505317356 1071771202 /nfs/dbraw/zinc/77/12/02/1071771202.db2.gz OHKYSUYFUJHPJW-GJZGRUSLSA-N 0 0 443.522 -0.062 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCOCCO)cc2)C[C@@H](C)O1 ZINC000505317357 1071771306 /nfs/dbraw/zinc/77/13/06/1071771306.db2.gz OHKYSUYFUJHPJW-HUUCEWRRSA-N 0 0 443.522 -0.062 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)NCCCOCCO)ccc21)N1CCOCC1 ZINC000505319547 1071771215 /nfs/dbraw/zinc/77/12/15/1071771215.db2.gz ZALWKKMTTJLZBN-UHFFFAOYSA-N 0 0 432.477 -0.046 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H]1CCCCNC1=O ZINC000505322546 1071770480 /nfs/dbraw/zinc/77/04/80/1071770480.db2.gz IJPIFLZPOAOGQK-AWEZNQCLSA-N 0 0 442.469 -0.430 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H]1CCCCNC1=O ZINC000505322547 1071770648 /nfs/dbraw/zinc/77/06/48/1071770648.db2.gz IJPIFLZPOAOGQK-CQSZACIVSA-N 0 0 442.469 -0.430 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCOCCO ZINC000505323470 1071770582 /nfs/dbraw/zinc/77/05/82/1071770582.db2.gz UTPVFJULBLQYNZ-UHFFFAOYSA-N 0 0 445.494 -0.830 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCOCCO)c2)CC1 ZINC000505323477 1071770763 /nfs/dbraw/zinc/77/07/63/1071770763.db2.gz UUXGLEBVARRPTQ-UHFFFAOYSA-N 0 0 442.538 -0.534 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N[C@H]1CCCCNC1=O ZINC000505329492 1071771809 /nfs/dbraw/zinc/77/18/09/1071771809.db2.gz MYUCCJLZVXFOEG-KRWDZBQOSA-N 0 0 431.493 -0.528 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N[C@@H]1CCCCNC1=O ZINC000505329493 1071771621 /nfs/dbraw/zinc/77/16/21/1071771621.db2.gz MYUCCJLZVXFOEG-QGZVFWFLSA-N 0 0 431.493 -0.528 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCOCCO)c2)CC1 ZINC000505329938 1071771846 /nfs/dbraw/zinc/77/18/46/1071771846.db2.gz PUZSBDFXTOKONI-UHFFFAOYSA-N 0 0 442.538 -0.534 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H](Cc3ccccc3)C(=O)NC3CC3)c2c(=O)n(C)c1=O ZINC000505334090 1071771787 /nfs/dbraw/zinc/77/17/87/1071771787.db2.gz WESLYYDMKDZEFQ-INIZCTEOSA-N 0 0 438.488 -0.170 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H](Cc3ccccc3)C(=O)NC3CC3)c2c(=O)n(C)c1=O ZINC000505334091 1071771643 /nfs/dbraw/zinc/77/16/43/1071771643.db2.gz WESLYYDMKDZEFQ-MRXNPFEDSA-N 0 0 438.488 -0.170 20 0 IBADRN COCCOCCNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000505334212 1071771871 /nfs/dbraw/zinc/77/18/71/1071771871.db2.gz XYQIQBSIHBWCEZ-AWEZNQCLSA-N 0 0 435.524 -0.064 20 0 IBADRN COCCOCCNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000505334213 1071771715 /nfs/dbraw/zinc/77/17/15/1071771715.db2.gz XYQIQBSIHBWCEZ-CQSZACIVSA-N 0 0 435.524 -0.064 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCCCNC3=O)cc2)CC1 ZINC000505334424 1071771699 /nfs/dbraw/zinc/77/16/99/1071771699.db2.gz ANRFDMMAJQWDEQ-INIZCTEOSA-N 0 0 437.522 -0.654 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCCCNC3=O)cc2)CC1 ZINC000505334425 1071771575 /nfs/dbraw/zinc/77/15/75/1071771575.db2.gz ANRFDMMAJQWDEQ-MRXNPFEDSA-N 0 0 437.522 -0.654 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCCOCCO)ccc1S(=O)(=O)N1CCCC1 ZINC000505335185 1071771802 /nfs/dbraw/zinc/77/18/02/1071771802.db2.gz IWIDQVCRANHCQH-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N[C@H]1CCCCNC1=O ZINC000505335397 1071771313 /nfs/dbraw/zinc/77/13/13/1071771313.db2.gz LEMCVNFJSWVYHN-INIZCTEOSA-N 0 0 428.493 -0.136 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N[C@@H]1CCCCNC1=O ZINC000505335398 1071771837 /nfs/dbraw/zinc/77/18/37/1071771837.db2.gz LEMCVNFJSWVYHN-MRXNPFEDSA-N 0 0 428.493 -0.136 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1cnn(-c2ccccc2)c1 ZINC000505335922 1071771155 /nfs/dbraw/zinc/77/11/55/1071771155.db2.gz QXCFMFZFZNLRTI-UHFFFAOYSA-N 0 0 425.445 -0.415 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCCNC2=O)cc1 ZINC000505336014 1071771253 /nfs/dbraw/zinc/77/12/53/1071771253.db2.gz RWQZOYHFAQIKAB-DOMZBBRYSA-N 0 0 426.495 -0.277 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCCNC2=O)cc1 ZINC000505336015 1071771235 /nfs/dbraw/zinc/77/12/35/1071771235.db2.gz RWQZOYHFAQIKAB-IUODEOHRSA-N 0 0 426.495 -0.277 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCCNC2=O)cc1 ZINC000505336016 1071771301 /nfs/dbraw/zinc/77/13/01/1071771301.db2.gz RWQZOYHFAQIKAB-SWLSCSKDSA-N 0 0 426.495 -0.277 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCCNC2=O)cc1 ZINC000505336017 1071771191 /nfs/dbraw/zinc/77/11/91/1071771191.db2.gz RWQZOYHFAQIKAB-WFASDCNBSA-N 0 0 426.495 -0.277 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCOCCO)c2)CC1 ZINC000505336483 1071771827 /nfs/dbraw/zinc/77/18/27/1071771827.db2.gz VCBUGMVOPQOVJV-UHFFFAOYSA-N 0 0 428.511 -0.924 20 0 IBADRN O=C(NCCCOCCO)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000505340850 1071771770 /nfs/dbraw/zinc/77/17/70/1071771770.db2.gz BAXYENKLIIZSOE-UHFFFAOYSA-N 0 0 449.913 -0.185 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CCCCNC1=O ZINC000505341627 1071771877 /nfs/dbraw/zinc/77/18/77/1071771877.db2.gz HCNQMKXTGNVYGY-HNNXBMFYSA-N 0 0 438.506 -0.261 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CCCCNC1=O ZINC000505341628 1071771556 /nfs/dbraw/zinc/77/15/56/1071771556.db2.gz HCNQMKXTGNVYGY-OAHLLOKOSA-N 0 0 438.506 -0.261 20 0 IBADRN O=C(NCCCOCCO)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000505344667 1071771541 /nfs/dbraw/zinc/77/15/41/1071771541.db2.gz QWEXFODMCRQMLK-UHFFFAOYSA-N 0 0 433.458 -0.700 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCCNC2=O)cc(S(C)(=O)=O)c1 ZINC000505347012 1071771819 /nfs/dbraw/zinc/77/18/19/1071771819.db2.gz YZXRIPYJKPZSIC-AWEZNQCLSA-N 0 0 425.463 -0.010 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCCNC2=O)cc(S(C)(=O)=O)c1 ZINC000505347015 1071771658 /nfs/dbraw/zinc/77/16/58/1071771658.db2.gz YZXRIPYJKPZSIC-CQSZACIVSA-N 0 0 425.463 -0.010 20 0 IBADRN Cc1nnc(COCC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)s1 ZINC000505361027 1071772177 /nfs/dbraw/zinc/77/21/77/1071772177.db2.gz CLIDFASWKFOMRX-BETUJISGSA-N 0 0 433.556 -0.139 20 0 IBADRN Cc1nnc(COCC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)s1 ZINC000505361028 1071772346 /nfs/dbraw/zinc/77/23/46/1071772346.db2.gz CLIDFASWKFOMRX-CHWSQXEVSA-N 0 0 433.556 -0.139 20 0 IBADRN Cc1nnc(COCC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)s1 ZINC000505361029 1071772293 /nfs/dbraw/zinc/77/22/93/1071772293.db2.gz CLIDFASWKFOMRX-STQMWFEESA-N 0 0 433.556 -0.139 20 0 IBADRN CCn1c(CCC(=O)N[C@@H](C)C(N)=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000505361671 1071772207 /nfs/dbraw/zinc/77/22/07/1071772207.db2.gz ZBBXQDDBZQANNO-ZDUSSCGKSA-N 0 0 437.522 0.000 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)CC1 ZINC000505362061 1071772440 /nfs/dbraw/zinc/77/24/40/1071772440.db2.gz KNIHUSGKLOAQTI-CYBMUJFWSA-N 0 0 445.524 -0.114 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)CC1 ZINC000505362062 1071772229 /nfs/dbraw/zinc/77/22/29/1071772229.db2.gz KNIHUSGKLOAQTI-ZDUSSCGKSA-N 0 0 445.524 -0.114 20 0 IBADRN CCOC(=O)C1(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCOCC1 ZINC000505362950 1071772431 /nfs/dbraw/zinc/77/24/31/1071772431.db2.gz VQNKPKPAPOCAGW-HOTGVXAUSA-N 0 0 447.554 -0.156 20 0 IBADRN CCOC(=O)C1(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCOCC1 ZINC000505362951 1071772245 /nfs/dbraw/zinc/77/22/45/1071772245.db2.gz VQNKPKPAPOCAGW-HZPDHXFCSA-N 0 0 447.554 -0.156 20 0 IBADRN CCOC(=O)C1(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCOCC1 ZINC000505362952 1071772417 /nfs/dbraw/zinc/77/24/17/1071772417.db2.gz VQNKPKPAPOCAGW-IYBDPMFKSA-N 0 0 447.554 -0.156 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)cn1C ZINC000505363292 1071772447 /nfs/dbraw/zinc/77/24/47/1071772447.db2.gz ZVRSQMNISVHPJS-AWEZNQCLSA-N 0 0 440.570 -0.402 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)cn1C ZINC000505363293 1071772361 /nfs/dbraw/zinc/77/23/61/1071772361.db2.gz ZVRSQMNISVHPJS-CQSZACIVSA-N 0 0 440.570 -0.402 20 0 IBADRN C[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(N)=O ZINC000505365505 1071772377 /nfs/dbraw/zinc/77/23/77/1071772377.db2.gz DVMXORRAWAPDNN-ZDUSSCGKSA-N 0 0 426.495 -0.852 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc4ccccc4c(=O)n3C)CC2)CC1 ZINC000505368384 1072474895 /nfs/dbraw/zinc/47/48/95/1072474895.db2.gz JWDFCGAFPNJIPE-UHFFFAOYSA-N 0 0 433.534 -0.212 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnnn3Cc3ccccc3)CC2)CC1 ZINC000505369475 1071772353 /nfs/dbraw/zinc/77/23/53/1071772353.db2.gz ZJTKJQIGARWNRY-UHFFFAOYSA-N 0 0 433.538 -0.424 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000505374232 1071772427 /nfs/dbraw/zinc/77/24/27/1071772427.db2.gz ALCQTANUCYAHOU-AWEZNQCLSA-N 0 0 426.543 -0.488 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000505374233 1071772280 /nfs/dbraw/zinc/77/22/80/1071772280.db2.gz ALCQTANUCYAHOU-CQSZACIVSA-N 0 0 426.543 -0.488 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccnc(NC(=O)C4CC4)c3)CC2)CC1 ZINC000505375976 1071772327 /nfs/dbraw/zinc/77/23/27/1071772327.db2.gz XLPGKFTZNMWJEV-UHFFFAOYSA-N 0 0 436.538 -0.320 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@@H](C)C(N)=O)c(=O)n2Cc1ccccc1 ZINC000505378955 1071772266 /nfs/dbraw/zinc/77/22/66/1071772266.db2.gz GNQYIOVESCTAKC-ZDUSSCGKSA-N 0 0 428.449 -0.956 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000505380641 1071772308 /nfs/dbraw/zinc/77/23/08/1071772308.db2.gz AVZLXVQPGNHFQU-AWEZNQCLSA-N 0 0 442.520 -0.163 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000505380642 1071772964 /nfs/dbraw/zinc/77/29/64/1071772964.db2.gz AVZLXVQPGNHFQU-CQSZACIVSA-N 0 0 442.520 -0.163 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000505384362 1071772801 /nfs/dbraw/zinc/77/28/01/1071772801.db2.gz LKXZNSZSDJZGHO-KRWDZBQOSA-N 0 0 448.501 -0.237 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000505388864 1071772900 /nfs/dbraw/zinc/77/29/00/1071772900.db2.gz NSGSRAJQZOTFQR-AWEZNQCLSA-N 0 0 445.524 -0.975 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000505388865 1071773027 /nfs/dbraw/zinc/77/30/27/1071773027.db2.gz NSGSRAJQZOTFQR-CQSZACIVSA-N 0 0 445.524 -0.975 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000505390724 1071772994 /nfs/dbraw/zinc/77/29/94/1071772994.db2.gz WZVRDQOIZIGQRO-AWEZNQCLSA-N 0 0 426.543 -0.710 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000505390725 1071772917 /nfs/dbraw/zinc/77/29/17/1071772917.db2.gz WZVRDQOIZIGQRO-CQSZACIVSA-N 0 0 426.543 -0.710 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000505398893 1071772454 /nfs/dbraw/zinc/77/24/54/1071772454.db2.gz ADGCUFPYXSKRPU-KRWDZBQOSA-N 0 0 439.472 -0.264 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000505400441 1071773018 /nfs/dbraw/zinc/77/30/18/1071773018.db2.gz WBPDFDGUOCCLOQ-KRWDZBQOSA-N 0 0 441.488 -0.471 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nnn1Cc1ccncc1 ZINC000505403276 1071772880 /nfs/dbraw/zinc/77/28/80/1071772880.db2.gz NDTGHESVLVFGRV-UHFFFAOYSA-N 0 0 448.553 -0.720 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000505403287 1071772925 /nfs/dbraw/zinc/77/29/25/1071772925.db2.gz NEPXEIUFTLPHOP-AWEZNQCLSA-N 0 0 445.524 -0.975 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000505403289 1071772957 /nfs/dbraw/zinc/77/29/57/1071772957.db2.gz NEPXEIUFTLPHOP-CQSZACIVSA-N 0 0 445.524 -0.975 20 0 IBADRN C[C@@H]1CN(c2ccccn2)C[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000505405201 1071772846 /nfs/dbraw/zinc/77/28/46/1071772846.db2.gz STAOTKNUYIJAJM-QZTJIDSGSA-N 0 0 436.582 -0.210 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O ZINC000505406825 1071772944 /nfs/dbraw/zinc/77/29/44/1071772944.db2.gz ZCHBDBZRRZAOJQ-AWEZNQCLSA-N 0 0 442.476 -0.215 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H](C)C(N)=O ZINC000505410184 1072475502 /nfs/dbraw/zinc/47/55/02/1072475502.db2.gz TVWJDKZQMZYEHL-BBRMVZONSA-N 0 0 440.522 -0.548 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H](C)C(N)=O ZINC000505410185 1072475566 /nfs/dbraw/zinc/47/55/66/1072475566.db2.gz TVWJDKZQMZYEHL-XJKSGUPXSA-N 0 0 440.522 -0.548 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000505411244 1071776471 /nfs/dbraw/zinc/77/64/71/1071776471.db2.gz WTRVWADXTWYAJT-AWEZNQCLSA-N 0 0 442.520 -0.163 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000505411245 1071776627 /nfs/dbraw/zinc/77/66/27/1071776627.db2.gz WTRVWADXTWYAJT-CQSZACIVSA-N 0 0 442.520 -0.163 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000505418256 1071778854 /nfs/dbraw/zinc/77/88/54/1071778854.db2.gz ZPJZDJISRZIYQL-PBHICJAKSA-N 0 0 437.522 -0.423 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000505418257 1071779431 /nfs/dbraw/zinc/77/94/31/1071779431.db2.gz ZPJZDJISRZIYQL-YOEHRIQHSA-N 0 0 437.522 -0.423 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000505431073 1071787268 /nfs/dbraw/zinc/78/72/68/1071787268.db2.gz MZUDWBDZUMIMIB-INIZCTEOSA-N 0 0 437.522 -0.503 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CCC[C@@](O)(C(=O)N2CCCC2)C1 ZINC000505432667 1071786519 /nfs/dbraw/zinc/78/65/19/1071786519.db2.gz CBZXNCRQBIZKIA-NRFANRHFSA-N 0 0 431.493 -0.167 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N1CCC[C@](O)(C(=O)N2CCCC2)C1 ZINC000505432668 1071786808 /nfs/dbraw/zinc/78/68/08/1071786808.db2.gz CBZXNCRQBIZKIA-OAQYLSRUSA-N 0 0 431.493 -0.167 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CN1C(=O)N[C@@](C)(Cc2ccc3c(c2)OCO3)C1=O ZINC000505434429 1071789024 /nfs/dbraw/zinc/78/90/24/1071789024.db2.gz XKGHAXDHRDKRCL-NRFANRHFSA-N 0 0 446.460 -0.415 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CN1C(=O)N[C@](C)(Cc2ccc3c(c2)OCO3)C1=O ZINC000505434430 1071789056 /nfs/dbraw/zinc/78/90/56/1071789056.db2.gz XKGHAXDHRDKRCL-OAQYLSRUSA-N 0 0 446.460 -0.415 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000505436197 1071789600 /nfs/dbraw/zinc/78/96/00/1071789600.db2.gz FXDURTXIWKPAHH-KRWDZBQOSA-N 0 0 439.472 -0.264 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000505441085 1071791860 /nfs/dbraw/zinc/79/18/60/1071791860.db2.gz XYTRJKQAQMXDTJ-AWEZNQCLSA-N 0 0 431.492 -0.821 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000505441086 1071791898 /nfs/dbraw/zinc/79/18/98/1071791898.db2.gz XYTRJKQAQMXDTJ-CQSZACIVSA-N 0 0 431.492 -0.821 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)[C@H](C(N)=O)C2)ccc1OC ZINC000505451279 1071792645 /nfs/dbraw/zinc/79/26/45/1071792645.db2.gz NTNXSMTVKHBMNK-DGCLKSJQSA-N 0 0 442.494 -0.862 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@](O)(C(N)=O)C2)cc1Cl ZINC000505451656 1071792664 /nfs/dbraw/zinc/79/26/64/1071792664.db2.gz RUPWEVYRGYIDDY-GOSISDBHSA-N 0 0 426.857 -0.416 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@](O)(C(N)=O)C2)cc1Cl ZINC000505451657 1071792497 /nfs/dbraw/zinc/79/24/97/1071792497.db2.gz RUPWEVYRGYIDDY-SFHVURJKSA-N 0 0 426.857 -0.416 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN(C)CC(N)=O ZINC000505456074 1071793096 /nfs/dbraw/zinc/79/30/96/1071793096.db2.gz VEOUHNBAIZDYQE-UHFFFAOYSA-N 0 0 428.511 -0.150 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@](O)(C(=O)N3CCCC3)C2)c1 ZINC000505457801 1071793051 /nfs/dbraw/zinc/79/30/51/1071793051.db2.gz OCWMSVXERFCOLQ-IBGZPJMESA-N 0 0 438.506 -0.491 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@](O)(C(=O)N3CCCC3)C2)c1 ZINC000505457802 1071793233 /nfs/dbraw/zinc/79/32/33/1071793233.db2.gz OCWMSVXERFCOLQ-LJQANCHMSA-N 0 0 438.506 -0.491 20 0 IBADRN CCn1c(SCC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)nnc1N1CCOCC1 ZINC000505462713 1071793980 /nfs/dbraw/zinc/79/39/80/1071793980.db2.gz UFTPAUZNMJCGPL-AWEZNQCLSA-N 0 0 447.583 -0.111 20 0 IBADRN CCn1c(SCC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)nnc1N1CCOCC1 ZINC000505462714 1071794499 /nfs/dbraw/zinc/79/44/99/1071794499.db2.gz UFTPAUZNMJCGPL-CQSZACIVSA-N 0 0 447.583 -0.111 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCC[C@@](O)(C(N)=O)C1 ZINC000505463436 1071792996 /nfs/dbraw/zinc/79/29/96/1071792996.db2.gz BISONXVZHBEZCM-FQEVSTJZSA-N 0 0 433.465 -0.824 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CCC[C@](O)(C(N)=O)C1 ZINC000505463437 1071793173 /nfs/dbraw/zinc/79/31/73/1071793173.db2.gz BISONXVZHBEZCM-HXUWFJFHSA-N 0 0 433.465 -0.824 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@](O)(C(N)=O)C2)c2cccnc12 ZINC000505463464 1071794341 /nfs/dbraw/zinc/79/43/41/1071794341.db2.gz BQGUKQGSFMFVMW-FQEVSTJZSA-N 0 0 430.417 -0.436 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@](O)(C(N)=O)C2)c2cccnc12 ZINC000505463465 1071794436 /nfs/dbraw/zinc/79/44/36/1071794436.db2.gz BQGUKQGSFMFVMW-HXUWFJFHSA-N 0 0 430.417 -0.436 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1C[C@@H](C)[C@H](C(N)=O)C1 ZINC000505465346 1071794253 /nfs/dbraw/zinc/79/42/53/1071794253.db2.gz UJZZFXDLFJNHPX-TZMCWYRMSA-N 0 0 438.506 -0.552 20 0 IBADRN CN(CC(N)=O)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000505474388 1071795615 /nfs/dbraw/zinc/79/56/15/1071795615.db2.gz OTUNJTOBDITZQL-UHFFFAOYSA-N 0 0 439.538 -0.337 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN[C@@H](c2cccnc2)C1 ZINC000505475750 1071796874 /nfs/dbraw/zinc/79/68/74/1071796874.db2.gz FTCDSUFHTQOYRQ-GOSISDBHSA-N 0 0 429.502 -0.011 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN[C@H](c2cccnc2)C1 ZINC000505475751 1071796830 /nfs/dbraw/zinc/79/68/30/1071796830.db2.gz FTCDSUFHTQOYRQ-SFHVURJKSA-N 0 0 429.502 -0.011 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(F)cc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000505479274 1071797429 /nfs/dbraw/zinc/79/74/29/1071797429.db2.gz ITAPGWPMMTZKMM-HNNXBMFYSA-N 0 0 426.470 -0.404 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(F)cc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000505479277 1071795664 /nfs/dbraw/zinc/79/56/64/1071795664.db2.gz ITAPGWPMMTZKMM-OAHLLOKOSA-N 0 0 426.470 -0.404 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(Cc3nc(-c4cccs4)no3)CC2)c(=O)n(C)c1=O ZINC000505487755 1072476210 /nfs/dbraw/zinc/47/62/10/1072476210.db2.gz QBESJWHZSGWHQB-UHFFFAOYSA-N 0 0 445.505 -0.222 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)o1 ZINC000505489054 1071798232 /nfs/dbraw/zinc/79/82/32/1071798232.db2.gz YZLZPNDUPVSTAS-UHFFFAOYSA-N 0 0 442.519 -0.337 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCOC3)CC2)cc1 ZINC000505506711 1071806293 /nfs/dbraw/zinc/80/62/93/1071806293.db2.gz NXHDMUVLIZHTTE-CYBMUJFWSA-N 0 0 432.524 -0.614 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCOC3)CC2)cc1 ZINC000505506715 1071806337 /nfs/dbraw/zinc/80/63/37/1071806337.db2.gz NXHDMUVLIZHTTE-ZDUSSCGKSA-N 0 0 432.524 -0.614 20 0 IBADRN CSCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000505519972 1071807469 /nfs/dbraw/zinc/80/74/69/1071807469.db2.gz IMJCJHQVMXDQRZ-GASCZTMLSA-N 0 0 436.600 -0.256 20 0 IBADRN CSCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000505519973 1071807892 /nfs/dbraw/zinc/80/78/92/1071807892.db2.gz IMJCJHQVMXDQRZ-GJZGRUSLSA-N 0 0 436.600 -0.256 20 0 IBADRN CSCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000505519974 1071808122 /nfs/dbraw/zinc/80/81/22/1071808122.db2.gz IMJCJHQVMXDQRZ-HUUCEWRRSA-N 0 0 436.600 -0.256 20 0 IBADRN CN(CC(N)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000505520542 1071808060 /nfs/dbraw/zinc/80/80/60/1071808060.db2.gz QNCYBERRMFFNGV-UHFFFAOYSA-N 0 0 426.495 -0.902 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN[C@@H](c2ccncc2)C1 ZINC000505529893 1071812607 /nfs/dbraw/zinc/81/26/07/1071812607.db2.gz KVDHCXHFKCGFPT-GOSISDBHSA-N 0 0 429.502 -0.011 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN[C@H](c2ccncc2)C1 ZINC000505529894 1071812661 /nfs/dbraw/zinc/81/26/61/1071812661.db2.gz KVDHCXHFKCGFPT-SFHVURJKSA-N 0 0 429.502 -0.011 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN[C@@H](c2ccncc2)C1 ZINC000505530633 1071812465 /nfs/dbraw/zinc/81/24/65/1071812465.db2.gz SVPWOBAWBXBSAF-GOSISDBHSA-N 0 0 429.502 -0.011 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN[C@H](c2ccncc2)C1 ZINC000505530634 1071812503 /nfs/dbraw/zinc/81/25/03/1071812503.db2.gz SVPWOBAWBXBSAF-SFHVURJKSA-N 0 0 429.502 -0.011 20 0 IBADRN COc1ccc(-c2nnc(CNC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)[nH]2)cc1 ZINC000505532264 1071811838 /nfs/dbraw/zinc/81/18/38/1071811838.db2.gz JNUKBQOBDGNEHH-UHFFFAOYSA-N 0 0 438.448 -0.066 20 0 IBADRN CSCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000505532746 1071812062 /nfs/dbraw/zinc/81/20/62/1071812062.db2.gz HJUMGEWUUCOJQN-UHFFFAOYSA-N 0 0 432.524 -0.529 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)c2c(=O)n(C)c1=O ZINC000505538466 1071815628 /nfs/dbraw/zinc/81/56/28/1071815628.db2.gz OHYBUEFHXXNRGF-UHFFFAOYSA-N 0 0 439.432 -0.486 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)c2c(=O)n(C)c1=O ZINC000505538792 1071815472 /nfs/dbraw/zinc/81/54/72/1071815472.db2.gz QJQWRWAQVAWKOM-UHFFFAOYSA-N 0 0 425.405 -0.876 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000505541298 1071813218 /nfs/dbraw/zinc/81/32/18/1071813218.db2.gz XBOQVXFZNMOJFJ-GFCCVEGCSA-N 0 0 429.524 -0.371 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000505541299 1071813188 /nfs/dbraw/zinc/81/31/88/1071813188.db2.gz XBOQVXFZNMOJFJ-LBPRGKRZSA-N 0 0 429.524 -0.371 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(CN3C(=O)CNC3=O)c1)c(=O)n2C ZINC000505544928 1071816770 /nfs/dbraw/zinc/81/67/70/1071816770.db2.gz KZRVFDVBEFMUGH-UHFFFAOYSA-N 0 0 425.405 -0.876 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000505555660 1071821830 /nfs/dbraw/zinc/82/18/30/1071821830.db2.gz PVKNLEVJGYQSDL-DOMZBBRYSA-N 0 0 446.489 -0.202 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000505555661 1071821951 /nfs/dbraw/zinc/82/19/51/1071821951.db2.gz PVKNLEVJGYQSDL-IUODEOHRSA-N 0 0 446.489 -0.202 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCNC(=O)[C@H]1Cc1nc2ccccc2o1 ZINC000505555662 1071821898 /nfs/dbraw/zinc/82/18/98/1071821898.db2.gz PVKNLEVJGYQSDL-SWLSCSKDSA-N 0 0 446.489 -0.202 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCNC(=O)[C@@H]1Cc1nc2ccccc2o1 ZINC000505555663 1071822001 /nfs/dbraw/zinc/82/20/01/1071822001.db2.gz PVKNLEVJGYQSDL-WFASDCNBSA-N 0 0 446.489 -0.202 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN[C@@H](c2cccnc2)C1 ZINC000505557240 1071822576 /nfs/dbraw/zinc/82/25/76/1071822576.db2.gz HSKGNMFHCNLHCC-GOSISDBHSA-N 0 0 429.502 -0.011 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN[C@H](c2cccnc2)C1 ZINC000505557241 1071822415 /nfs/dbraw/zinc/82/24/15/1071822415.db2.gz HSKGNMFHCNLHCC-SFHVURJKSA-N 0 0 429.502 -0.011 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)nc1 ZINC000505561111 1071821929 /nfs/dbraw/zinc/82/19/29/1071821929.db2.gz BRBQBGGWIHYBGP-KBPBESRZSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)nc1 ZINC000505561112 1071822006 /nfs/dbraw/zinc/82/20/06/1071822006.db2.gz BRBQBGGWIHYBGP-OKILXGFUSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)nc1 ZINC000505561113 1071821978 /nfs/dbraw/zinc/82/19/78/1071821978.db2.gz BRBQBGGWIHYBGP-ZIAGYGMSSA-N 0 0 426.495 -0.020 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCC3(O)CCC3)CC2)cc1 ZINC000505561988 1071823215 /nfs/dbraw/zinc/82/32/15/1071823215.db2.gz NPXWHSUDAUATNU-UHFFFAOYSA-N 0 0 446.551 -0.345 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCNC(=O)[C@@H]2Cc2nc3ccccc3o2)c1 ZINC000505562808 1071823302 /nfs/dbraw/zinc/82/33/02/1071823302.db2.gz XCWCHOMUSYMJIF-AWEZNQCLSA-N 0 0 432.462 -0.369 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCNC(=O)[C@H]2Cc2nc3ccccc3o2)c1 ZINC000505562809 1071823256 /nfs/dbraw/zinc/82/32/56/1071823256.db2.gz XCWCHOMUSYMJIF-CQSZACIVSA-N 0 0 432.462 -0.369 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000505567945 1072477279 /nfs/dbraw/zinc/47/72/79/1072477279.db2.gz DSVAPVYCSWVHMT-KBPBESRZSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000505567946 1071823926 /nfs/dbraw/zinc/82/39/26/1071823926.db2.gz DSVAPVYCSWVHMT-OKILXGFUSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000505567947 1071823902 /nfs/dbraw/zinc/82/39/02/1071823902.db2.gz DSVAPVYCSWVHMT-ZIAGYGMSSA-N 0 0 426.495 -0.020 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCNC(=O)[C@@H]2Cc2nc3ccccc3o2)cn1C ZINC000505569485 1071823911 /nfs/dbraw/zinc/82/39/11/1071823911.db2.gz VUKLGUWRDSXAOB-AWEZNQCLSA-N 0 0 446.489 -0.282 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCNC(=O)[C@H]2Cc2nc3ccccc3o2)cn1C ZINC000505569486 1071823787 /nfs/dbraw/zinc/82/37/87/1071823787.db2.gz VUKLGUWRDSXAOB-CQSZACIVSA-N 0 0 446.489 -0.282 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCNC(=O)[C@@H]2Cc2nc3ccccc3o2)cn1 ZINC000505569855 1071824513 /nfs/dbraw/zinc/82/45/13/1071824513.db2.gz YNFJOOUYOKZURT-AWEZNQCLSA-N 0 0 432.462 -0.591 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCNC(=O)[C@H]2Cc2nc3ccccc3o2)cn1 ZINC000505569856 1071824482 /nfs/dbraw/zinc/82/44/82/1071824482.db2.gz YNFJOOUYOKZURT-CQSZACIVSA-N 0 0 432.462 -0.591 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4cncn4)nc3)CC2)C[C@H](C)O1 ZINC000505574528 1071825633 /nfs/dbraw/zinc/82/56/33/1071825633.db2.gz KCUYTPIIAOIOJP-GASCZTMLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4cncn4)nc3)CC2)C[C@H](C)O1 ZINC000505574529 1071825598 /nfs/dbraw/zinc/82/55/98/1071825598.db2.gz KCUYTPIIAOIOJP-GJZGRUSLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4cncn4)nc3)CC2)C[C@@H](C)O1 ZINC000505574530 1071825341 /nfs/dbraw/zinc/82/53/41/1071825341.db2.gz KCUYTPIIAOIOJP-HUUCEWRRSA-N 0 0 435.510 -0.226 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000505578250 1071827706 /nfs/dbraw/zinc/82/77/06/1071827706.db2.gz FUOOYSATSFFMEJ-FQEVSTJZSA-N 0 0 439.582 -0.330 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000505578251 1072477247 /nfs/dbraw/zinc/47/72/47/1072477247.db2.gz FUOOYSATSFFMEJ-HXUWFJFHSA-N 0 0 439.582 -0.330 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)c1 ZINC000505578390 1071826927 /nfs/dbraw/zinc/82/69/27/1071826927.db2.gz GWYGMFGANCEWEP-AWEZNQCLSA-N 0 0 447.492 -0.803 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)c1 ZINC000505578391 1071827682 /nfs/dbraw/zinc/82/76/82/1071827682.db2.gz GWYGMFGANCEWEP-CQSZACIVSA-N 0 0 447.492 -0.803 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000505579418 1071826321 /nfs/dbraw/zinc/82/63/21/1071826321.db2.gz QXZFJTAULCPBEC-IBGZPJMESA-N 0 0 427.571 -0.427 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000505579419 1071826428 /nfs/dbraw/zinc/82/64/28/1071826428.db2.gz QXZFJTAULCPBEC-LJQANCHMSA-N 0 0 427.571 -0.427 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CCOC(C)C)CC2)c1 ZINC000505583586 1071829159 /nfs/dbraw/zinc/82/91/59/1071829159.db2.gz CXYQNBNVSYRKBY-UHFFFAOYSA-N 0 0 433.509 -0.330 20 0 IBADRN Cn1ccc2c(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cccc2c1=O ZINC000505585747 1071828994 /nfs/dbraw/zinc/82/89/94/1071828994.db2.gz MAYZPWNQPOHLRJ-UHFFFAOYSA-N 0 0 441.488 -0.520 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000505586036 1071831302 /nfs/dbraw/zinc/83/13/02/1071831302.db2.gz NCPOJRKRQPTXNJ-FQEVSTJZSA-N 0 0 441.598 -0.620 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000505586039 1071831351 /nfs/dbraw/zinc/83/13/51/1071831351.db2.gz NCPOJRKRQPTXNJ-HXUWFJFHSA-N 0 0 441.598 -0.620 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](Cn3ccnn3)C2)c1 ZINC000505588016 1071830598 /nfs/dbraw/zinc/83/05/98/1071830598.db2.gz USTYLGJUOPZMQX-AWEZNQCLSA-N 0 0 427.465 -0.369 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](Cn3ccnn3)C2)c1 ZINC000505588023 1071830337 /nfs/dbraw/zinc/83/03/37/1071830337.db2.gz USTYLGJUOPZMQX-CQSZACIVSA-N 0 0 427.465 -0.369 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C)c(OC)c1 ZINC000505588057 1071830389 /nfs/dbraw/zinc/83/03/89/1071830389.db2.gz UXFCOEBBDMRJDC-UHFFFAOYSA-N 0 0 434.493 -0.046 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1 ZINC000505589163 1071834705 /nfs/dbraw/zinc/83/47/05/1071834705.db2.gz ZTVAQUSLLMHBPR-INIZCTEOSA-N 0 0 431.493 -0.040 20 0 IBADRN CCN(CC)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1 ZINC000505589164 1071834690 /nfs/dbraw/zinc/83/46/90/1071834690.db2.gz ZTVAQUSLLMHBPR-MRXNPFEDSA-N 0 0 431.493 -0.040 20 0 IBADRN COCCc1noc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000505589527 1071833357 /nfs/dbraw/zinc/83/33/57/1071833357.db2.gz DQIWSHLCMRGKJQ-UHFFFAOYSA-N 0 0 445.523 -0.588 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)c1 ZINC000505591278 1071833991 /nfs/dbraw/zinc/83/39/91/1071833991.db2.gz BOHFNIQUMXCSHF-AWEZNQCLSA-N 0 0 433.509 -0.596 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)c1 ZINC000505591280 1071833978 /nfs/dbraw/zinc/83/39/78/1071833978.db2.gz BOHFNIQUMXCSHF-CQSZACIVSA-N 0 0 433.509 -0.596 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000505594688 1071836061 /nfs/dbraw/zinc/83/60/61/1071836061.db2.gz WYFUAVCPFKZOLX-IBGZPJMESA-N 0 0 425.555 -0.673 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000505594689 1071835960 /nfs/dbraw/zinc/83/59/60/1071835960.db2.gz WYFUAVCPFKZOLX-LJQANCHMSA-N 0 0 425.555 -0.673 20 0 IBADRN CN(Cc1nnnn1C)C(=O)C(=O)Nc1cc2c(cc1N1CCCCC1)n(C)c(=O)n2C ZINC000505598681 1071835862 /nfs/dbraw/zinc/83/58/62/1071835862.db2.gz FEWOCIMGAPUHBG-UHFFFAOYSA-N 0 0 441.496 -0.012 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(c2ccc(S(N)(=O)=O)cc2C(=O)OC)CC1 ZINC000505603813 1071836662 /nfs/dbraw/zinc/83/66/62/1071836662.db2.gz BBADLJICAVUQQE-AWEZNQCLSA-N 0 0 442.538 -0.216 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(c2ccc(S(N)(=O)=O)cc2C(=O)OC)CC1 ZINC000505603824 1071836571 /nfs/dbraw/zinc/83/65/71/1071836571.db2.gz BBADLJICAVUQQE-CQSZACIVSA-N 0 0 442.538 -0.216 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000505608907 1071840465 /nfs/dbraw/zinc/84/04/65/1071840465.db2.gz HUCXOZJJJGEGFF-GFCCVEGCSA-N 0 0 429.524 -0.229 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000505608919 1071840561 /nfs/dbraw/zinc/84/05/61/1071840561.db2.gz HUCXOZJJJGEGFF-LBPRGKRZSA-N 0 0 429.524 -0.229 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)[C@]12CCCO2 ZINC000505616222 1071841055 /nfs/dbraw/zinc/84/10/55/1071841055.db2.gz AYLOUADOMHIRMN-GCKMJXCFSA-N 0 0 432.477 -0.056 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)[C@@]12CCCO2 ZINC000505616225 1071841233 /nfs/dbraw/zinc/84/12/33/1071841233.db2.gz AYLOUADOMHIRMN-MRUHUIDDSA-N 0 0 432.477 -0.056 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)[C@]12CCCO2 ZINC000505616226 1071841109 /nfs/dbraw/zinc/84/11/09/1071841109.db2.gz AYLOUADOMHIRMN-WHSLLNHNSA-N 0 0 432.477 -0.056 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)[C@@]12CCCO2 ZINC000505616227 1071841205 /nfs/dbraw/zinc/84/12/05/1071841205.db2.gz AYLOUADOMHIRMN-ZOCZFRKYSA-N 0 0 432.477 -0.056 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCn2c3ccccc3[nH]c2=O)c1 ZINC000505617247 1071841891 /nfs/dbraw/zinc/84/18/91/1071841891.db2.gz BZUPHTYQMUBHER-UHFFFAOYSA-N 0 0 438.444 -0.027 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1)CC2 ZINC000505618038 1071841907 /nfs/dbraw/zinc/84/19/07/1071841907.db2.gz DHNFILGYXYYHSU-DLBZAZTESA-N 0 0 446.504 -0.121 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1)CC2 ZINC000505618039 1071841761 /nfs/dbraw/zinc/84/17/61/1071841761.db2.gz DHNFILGYXYYHSU-IAGOWNOFSA-N 0 0 446.504 -0.121 20 0 IBADRN CCO[C@H]1C[C@H](O)C12CCN(C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1)CC2 ZINC000505618040 1071842473 /nfs/dbraw/zinc/84/24/73/1071842473.db2.gz DHNFILGYXYYHSU-IRXDYDNUSA-N 0 0 446.504 -0.121 20 0 IBADRN CCO[C@H]1C[C@@H](O)C12CCN(C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1)CC2 ZINC000505618042 1071842398 /nfs/dbraw/zinc/84/23/98/1071842398.db2.gz DHNFILGYXYYHSU-SJORKVTESA-N 0 0 446.504 -0.121 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)NCCC(=O)NC(N)=O)c(N3CCCCC3)cc2n(C)c1=O ZINC000505618055 1071842578 /nfs/dbraw/zinc/84/25/78/1071842578.db2.gz FIOMWQUECXSNEB-UHFFFAOYSA-N 0 0 445.480 -0.493 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)NCCn3ncnn3)c(N3CCCCC3)cc2n(C)c1=O ZINC000505618636 1071842561 /nfs/dbraw/zinc/84/25/61/1071842561.db2.gz FRVHGGSLCBXGSA-UHFFFAOYSA-N 0 0 427.469 -0.391 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)c1 ZINC000505619807 1071843226 /nfs/dbraw/zinc/84/32/26/1071843226.db2.gz FALHTGBMCZOYOA-UHFFFAOYSA-N 0 0 439.476 -0.452 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)NCCS(N)(=O)=O)c(N3CCCCC3)cc2n(C)c1=O ZINC000505620011 1071843071 /nfs/dbraw/zinc/84/30/71/1071843071.db2.gz JCPRLXKFDZHTLM-UHFFFAOYSA-N 0 0 438.510 -0.790 20 0 IBADRN Cn1ccc2c(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cccc2c1=O ZINC000505621848 1071843873 /nfs/dbraw/zinc/84/38/73/1071843873.db2.gz NWWQWXONOHPUTM-UHFFFAOYSA-N 0 0 429.458 -0.033 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC(=O)N(C(C)C)C(C)(C)C2)c1 ZINC000505624333 1071843952 /nfs/dbraw/zinc/84/39/52/1071843952.db2.gz UYQYMRRERZYUJB-UHFFFAOYSA-N 0 0 431.493 -0.041 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NC[C@]3(N4CCOCC4)CCSC3)cnc2n(C)c1=O ZINC000505626246 1071844877 /nfs/dbraw/zinc/84/48/77/1071844877.db2.gz PYAXNEARUNZLHQ-LJQANCHMSA-N 0 0 434.522 -0.038 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccccc3)C(=O)C2)c1 ZINC000505626748 1071845127 /nfs/dbraw/zinc/84/51/27/1071845127.db2.gz WPWQTBONKGLKDK-UHFFFAOYSA-N 0 0 437.456 -0.024 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000505637675 1071853781 /nfs/dbraw/zinc/85/37/81/1071853781.db2.gz SCRKLCWNJYWHLF-UHFFFAOYSA-N 0 0 438.959 -0.089 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)cnc21 ZINC000505638874 1071853925 /nfs/dbraw/zinc/85/39/25/1071853925.db2.gz WCYJILMDYMCNKD-UHFFFAOYSA-N 0 0 430.446 -0.424 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3nnc4ccccn43)CC2)o1 ZINC000505644485 1071855076 /nfs/dbraw/zinc/85/50/76/1071855076.db2.gz BISOULDZWWKRIH-UHFFFAOYSA-N 0 0 433.450 -0.363 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC(=O)N(Cc3ccccc3)C2)c1 ZINC000505644548 1071854357 /nfs/dbraw/zinc/85/43/57/1071854357.db2.gz BGEIZICVOLXKKX-UHFFFAOYSA-N 0 0 437.456 -0.071 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c1 ZINC000505644678 1071854465 /nfs/dbraw/zinc/85/44/65/1071854465.db2.gz JTSRDEFRLILDEA-HNNXBMFYSA-N 0 0 431.493 -0.718 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)c1 ZINC000505644685 1071854699 /nfs/dbraw/zinc/85/46/99/1071854699.db2.gz JTSRDEFRLILDEA-OAHLLOKOSA-N 0 0 431.493 -0.718 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCN3CCCC3=O)CC2)o1 ZINC000505644887 1071854612 /nfs/dbraw/zinc/85/46/12/1071854612.db2.gz CTASKAIWOLPZOH-UHFFFAOYSA-N 0 0 427.483 -0.593 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCCC3)C2)c1 ZINC000505645028 1071854488 /nfs/dbraw/zinc/85/44/88/1071854488.db2.gz CTSRCGPQGBKPSF-INIZCTEOSA-N 0 0 443.504 -0.038 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCCC3)C2)c1 ZINC000505645029 1071854572 /nfs/dbraw/zinc/85/45/72/1071854572.db2.gz CTSRCGPQGBKPSF-MRXNPFEDSA-N 0 0 443.504 -0.038 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)c1 ZINC000505646399 1071854672 /nfs/dbraw/zinc/85/46/72/1071854672.db2.gz OOCWRTKKXUQNBP-UHFFFAOYSA-N 0 0 446.508 -0.247 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc3c2ccn(C)c3=O)CC1 ZINC000505647497 1071854500 /nfs/dbraw/zinc/85/45/00/1071854500.db2.gz LSGSTIIBCDDVFP-UHFFFAOYSA-N 0 0 429.477 -0.616 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)c1 ZINC000505649614 1071855776 /nfs/dbraw/zinc/85/57/76/1071855776.db2.gz RDUWQOQIKPSLMB-KRWDZBQOSA-N 0 0 432.477 -0.245 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)c1 ZINC000505649616 1071855871 /nfs/dbraw/zinc/85/58/71/1071855871.db2.gz RDUWQOQIKPSLMB-QGZVFWFLSA-N 0 0 432.477 -0.245 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1 ZINC000505650357 1071855921 /nfs/dbraw/zinc/85/59/21/1071855921.db2.gz FSGJQIMUTBQLAF-CVEARBPZSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1 ZINC000505650359 1071855942 /nfs/dbraw/zinc/85/59/42/1071855942.db2.gz FSGJQIMUTBQLAF-HOTGVXAUSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1 ZINC000505650360 1071856237 /nfs/dbraw/zinc/85/62/37/1071856237.db2.gz FSGJQIMUTBQLAF-HZPDHXFCSA-N 0 0 448.476 -0.330 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)NCC(=O)NC)c1 ZINC000505650361 1071856304 /nfs/dbraw/zinc/85/63/04/1071856304.db2.gz FSGJQIMUTBQLAF-JKSUJKDBSA-N 0 0 448.476 -0.330 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)c1 ZINC000505651911 1071854952 /nfs/dbraw/zinc/85/49/52/1071854952.db2.gz NUTCVASHXXURGF-UHFFFAOYSA-N 0 0 427.465 -0.817 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3ccccc3)CC2)o1 ZINC000505652251 1071857004 /nfs/dbraw/zinc/85/70/04/1071857004.db2.gz RLSWRFGFGCWQFA-UHFFFAOYSA-N 0 0 449.489 -0.176 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)c1 ZINC000505652263 1071857045 /nfs/dbraw/zinc/85/70/45/1071857045.db2.gz RQEGEGRJKPQFGB-UHFFFAOYSA-N 0 0 442.451 -0.016 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@H]2CCC[C@@]2(c2nc(CC3CC3)no2)C1)S(N)(=O)=O ZINC000505657961 1071857767 /nfs/dbraw/zinc/85/77/67/1071857767.db2.gz OBJMMDBCHJPEPP-AEDCBLDQSA-N 0 0 425.511 -0.305 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1C[C@H]2CCC[C@@]2(c2nc(CC3CC3)no2)C1)S(N)(=O)=O ZINC000505657967 1071857520 /nfs/dbraw/zinc/85/75/20/1071857520.db2.gz OBJMMDBCHJPEPP-WHWRDJHUSA-N 0 0 425.511 -0.305 20 0 IBADRN CC(C)(CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)N1CCOCC1 ZINC000505668960 1071862013 /nfs/dbraw/zinc/86/20/13/1071862013.db2.gz CDDMOJBEOWHTRN-UHFFFAOYSA-N 0 0 443.526 -0.495 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCOC[C@@H]3CCCO3)CC2)o1 ZINC000505670548 1071861880 /nfs/dbraw/zinc/86/18/80/1071861880.db2.gz DOGWPFHWKYHHQO-AWEZNQCLSA-N 0 0 444.510 -0.020 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCOC[C@H]3CCCO3)CC2)o1 ZINC000505670549 1071861820 /nfs/dbraw/zinc/86/18/20/1071861820.db2.gz DOGWPFHWKYHHQO-CQSZACIVSA-N 0 0 444.510 -0.020 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)c1 ZINC000505670933 1071863173 /nfs/dbraw/zinc/86/31/73/1071863173.db2.gz LVXXZUTYZHYMSQ-KRWDZBQOSA-N 0 0 431.493 -0.576 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)c1 ZINC000505670934 1071863384 /nfs/dbraw/zinc/86/33/84/1071863384.db2.gz LVXXZUTYZHYMSQ-QGZVFWFLSA-N 0 0 431.493 -0.576 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)c1 ZINC000505673065 1071862665 /nfs/dbraw/zinc/86/26/65/1071862665.db2.gz URGKNWPUSKHOSL-UHFFFAOYSA-N 0 0 442.451 -0.016 20 0 IBADRN COCCn1cc(NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000505673482 1071862534 /nfs/dbraw/zinc/86/25/34/1071862534.db2.gz XPDOASIJRDKFIM-UHFFFAOYSA-N 0 0 426.455 -0.240 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3ccon3)CC2)c1 ZINC000505673791 1071863475 /nfs/dbraw/zinc/86/34/75/1071863475.db2.gz OYZSABMTZKVWRG-UHFFFAOYSA-N 0 0 428.449 -0.567 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCOCC(F)(F)F)CC2)o1 ZINC000505673992 1071863164 /nfs/dbraw/zinc/86/31/64/1071863164.db2.gz OGHPZOIWHVGGCX-UHFFFAOYSA-N 0 0 428.389 -0.027 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000505674707 1071863239 /nfs/dbraw/zinc/86/32/39/1071863239.db2.gz RWQZCIRHNJQLKX-CYBMUJFWSA-N 0 0 429.499 -0.885 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000505674710 1071863126 /nfs/dbraw/zinc/86/31/26/1071863126.db2.gz RWQZCIRHNJQLKX-ZDUSSCGKSA-N 0 0 429.499 -0.885 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCCN(CC(F)F)CC2)c1 ZINC000505674943 1071863443 /nfs/dbraw/zinc/86/34/43/1071863443.db2.gz SXGZJWZOXKBNPP-UHFFFAOYSA-N 0 0 425.436 -0.100 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3cc4ccccc4[nH]3)CC1)c(=O)n2C ZINC000505675020 1071864503 /nfs/dbraw/zinc/86/45/03/1071864503.db2.gz SXNCGCSJHHJRQF-UHFFFAOYSA-N 0 0 449.471 -0.100 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4cc5ccccc5[nH]4)CC3)c2c(=O)n(C)c1=O ZINC000505675784 1071864789 /nfs/dbraw/zinc/86/47/89/1071864789.db2.gz BLNZOIJESQHNFY-UHFFFAOYSA-N 0 0 449.471 -0.100 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000505676146 1071864870 /nfs/dbraw/zinc/86/48/70/1071864870.db2.gz IFEKVVJHBIMNPG-HNNXBMFYSA-N 0 0 430.465 -0.837 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000505676150 1071864771 /nfs/dbraw/zinc/86/47/71/1071864771.db2.gz IFEKVVJHBIMNPG-OAHLLOKOSA-N 0 0 430.465 -0.837 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)c1 ZINC000505677941 1071863157 /nfs/dbraw/zinc/86/31/57/1071863157.db2.gz NSTPGTQPPRVENJ-UHFFFAOYSA-N 0 0 443.439 -0.621 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N3CCN(CCO)CC3)c(N3CCCCC3)cc2n(C)c1=O ZINC000505681020 1071865345 /nfs/dbraw/zinc/86/53/45/1071865345.db2.gz YADMQYWESYZWCR-UHFFFAOYSA-N 0 0 444.536 -0.058 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CCN(C(=O)Cc3ccccc3)C2)CC1 ZINC000505693132 1071867163 /nfs/dbraw/zinc/86/71/63/1071867163.db2.gz BYTGYTQKDJIHAD-IBGZPJMESA-N 0 0 431.537 -0.080 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCN(C(=O)Cc3ccccc3)C2)CC1 ZINC000505693133 1071867050 /nfs/dbraw/zinc/86/70/50/1071867050.db2.gz BYTGYTQKDJIHAD-LJQANCHMSA-N 0 0 431.537 -0.080 20 0 IBADRN O=C(N[C@H]1CCCN(c2ncccn2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000505697355 1071867846 /nfs/dbraw/zinc/86/78/46/1071867846.db2.gz GVFUUISNKVDGEO-INIZCTEOSA-N 0 0 439.542 -0.650 20 0 IBADRN O=C(N[C@@H]1CCCN(c2ncccn2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000505697356 1071868539 /nfs/dbraw/zinc/86/85/39/1071868539.db2.gz GVFUUISNKVDGEO-MRXNPFEDSA-N 0 0 439.542 -0.650 20 0 IBADRN O=C(Cc1ccccc1)N1CC[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000505700453 1071870480 /nfs/dbraw/zinc/87/04/80/1071870480.db2.gz JTSSPGRVRQLLOZ-KRWDZBQOSA-N 0 0 426.481 -0.630 20 0 IBADRN O=C(Cc1ccccc1)N1CC[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000505700457 1071870381 /nfs/dbraw/zinc/87/03/81/1071870381.db2.gz JTSSPGRVRQLLOZ-QGZVFWFLSA-N 0 0 426.481 -0.630 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cc(S(C)(=O)=O)ccc1F ZINC000505703095 1071872604 /nfs/dbraw/zinc/87/26/04/1071872604.db2.gz KTMAXHHBGAKCNL-UHFFFAOYSA-N 0 0 437.515 -0.321 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N[C@@H]1CCC(=O)N(C)[C@H]1c1ccnn1C ZINC000505710743 1071880009 /nfs/dbraw/zinc/88/00/09/1071880009.db2.gz MFZQHHDHHYZJER-IAQYHMDHSA-N 0 0 430.469 -0.299 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000505717148 1071883193 /nfs/dbraw/zinc/88/31/93/1071883193.db2.gz QLOPSQHVZWKXGY-CXAGYDPISA-N 0 0 448.505 -0.303 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2cc(N3CC[C@H](S(=O)(=O)NCC)C3)ncn2)C1 ZINC000505719728 1071888748 /nfs/dbraw/zinc/88/87/48/1071888748.db2.gz LAJGTAQZSNDKKD-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2cc(N3CC[C@H](S(=O)(=O)NCC)C3)ncn2)C1 ZINC000505719729 1071888589 /nfs/dbraw/zinc/88/85/89/1071888589.db2.gz LAJGTAQZSNDKKD-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2cc(N3CC[C@@H](S(=O)(=O)NCC)C3)ncn2)C1 ZINC000505719730 1071889138 /nfs/dbraw/zinc/88/91/38/1071889138.db2.gz LAJGTAQZSNDKKD-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN O=C(N[C@H]1CCCN(c2ncccn2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000505726340 1071890443 /nfs/dbraw/zinc/89/04/43/1071890443.db2.gz QWOKEVVUMBFPLW-INIZCTEOSA-N 0 0 431.497 -0.842 20 0 IBADRN O=C(N[C@@H]1CCCN(c2ncccn2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000505726341 1071890581 /nfs/dbraw/zinc/89/05/81/1071890581.db2.gz QWOKEVVUMBFPLW-MRXNPFEDSA-N 0 0 431.497 -0.842 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)c1 ZINC000505728962 1071891129 /nfs/dbraw/zinc/89/11/29/1071891129.db2.gz HICZIFDWIIBUIN-CZUORRHYSA-N 0 0 434.478 -0.645 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@H](Cn2ccnn2)C1 ZINC000505732617 1071891696 /nfs/dbraw/zinc/89/16/96/1071891696.db2.gz XWAKTTORJYKSLE-HNNXBMFYSA-N 0 0 432.506 -0.049 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000505732618 1071891728 /nfs/dbraw/zinc/89/17/28/1071891728.db2.gz XWAKTTORJYKSLE-OAHLLOKOSA-N 0 0 432.506 -0.049 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)cc(S(C)(=O)=O)c1 ZINC000505747477 1071894866 /nfs/dbraw/zinc/89/48/66/1071894866.db2.gz KMNJYFGHQMDMIM-RHSMWYFYSA-N 0 0 449.489 -0.141 20 0 IBADRN NC(=O)[C@@H]1Cc2ccccc2CN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000505757475 1071897768 /nfs/dbraw/zinc/89/77/68/1071897768.db2.gz HVOFSXUGPMUTFI-HNNXBMFYSA-N 0 0 430.442 -0.319 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)c3ccc(F)c(F)c3)CC2)c(=O)n(C)c1=O ZINC000505757620 1071898078 /nfs/dbraw/zinc/89/80/78/1071898078.db2.gz IOWJTGYPJJQJOR-UHFFFAOYSA-N 0 0 428.417 -0.491 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1cccc(F)c1 ZINC000505762275 1071900251 /nfs/dbraw/zinc/90/02/51/1071900251.db2.gz IHPBVZCUNMJMQK-UHFFFAOYSA-N 0 0 448.495 -0.251 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@H](Cn2ccnn2)C1 ZINC000505767711 1071900365 /nfs/dbraw/zinc/90/03/65/1071900365.db2.gz VCSWJUMFMBLAJN-HNNXBMFYSA-N 0 0 432.506 -0.049 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000505767712 1071900213 /nfs/dbraw/zinc/90/02/13/1071900213.db2.gz VCSWJUMFMBLAJN-OAHLLOKOSA-N 0 0 432.506 -0.049 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC(C)(C)c1cccc(F)c1)C2 ZINC000505771936 1071900935 /nfs/dbraw/zinc/90/09/35/1071900935.db2.gz FWFCTTADHLWXRR-UHFFFAOYSA-N 0 0 446.483 -0.292 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1Cc1ccc(OCC(=O)N2CCOCC2)c(OC)c1 ZINC000505772719 1071900917 /nfs/dbraw/zinc/90/09/17/1071900917.db2.gz VCRXMHDPGRAXTQ-INIZCTEOSA-N 0 0 435.477 -0.204 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1Cc1ccc(OCC(=O)N2CCOCC2)c(OC)c1 ZINC000505772720 1071900927 /nfs/dbraw/zinc/90/09/27/1071900927.db2.gz VCRXMHDPGRAXTQ-MRXNPFEDSA-N 0 0 435.477 -0.204 20 0 IBADRN Cn1cnc(-c2ccc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc2)n1 ZINC000505781846 1071903781 /nfs/dbraw/zinc/90/37/81/1071903781.db2.gz KWHZEVBSRLXSKQ-UHFFFAOYSA-N 0 0 433.538 -0.268 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(Cl)s1)[C@H]1CCS(=O)(=O)C1 ZINC000505784181 1071904591 /nfs/dbraw/zinc/90/45/91/1071904591.db2.gz NDEXKGXPDYXONU-JTQLQIEISA-N 0 0 429.973 -0.085 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(Cl)s1)[C@@H]1CCS(=O)(=O)C1 ZINC000505784183 1071904449 /nfs/dbraw/zinc/90/44/49/1071904449.db2.gz NDEXKGXPDYXONU-SNVBAGLBSA-N 0 0 429.973 -0.085 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)C1 ZINC000505785010 1072480689 /nfs/dbraw/zinc/48/06/89/1072480689.db2.gz RXDRMQVNQITFRF-CHWSQXEVSA-N 0 0 425.486 -0.777 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)C1 ZINC000505785014 1072480717 /nfs/dbraw/zinc/48/07/17/1072480717.db2.gz RXDRMQVNQITFRF-OLZOCXBDSA-N 0 0 425.486 -0.777 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)C1 ZINC000505785016 1071905164 /nfs/dbraw/zinc/90/51/64/1071905164.db2.gz RXDRMQVNQITFRF-QWHCGFSZSA-N 0 0 425.486 -0.777 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)C1 ZINC000505785017 1071905029 /nfs/dbraw/zinc/90/50/29/1071905029.db2.gz RXDRMQVNQITFRF-STQMWFEESA-N 0 0 425.486 -0.777 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)NCC2CCN(S(C)(=O)=O)CC2)c1 ZINC000505785453 1071905133 /nfs/dbraw/zinc/90/51/33/1071905133.db2.gz URCHBIDXJKLHBW-UHFFFAOYSA-N 0 0 425.511 -0.045 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000505788029 1071905541 /nfs/dbraw/zinc/90/55/41/1071905541.db2.gz DXQDNKPQHOAOFC-UHFFFAOYSA-N 0 0 444.492 -0.539 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)cn1 ZINC000505789927 1071906481 /nfs/dbraw/zinc/90/64/81/1071906481.db2.gz NWGKQASHNJAFRM-UHFFFAOYSA-N 0 0 441.944 -0.492 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000505794617 1071906930 /nfs/dbraw/zinc/90/69/30/1071906930.db2.gz GTKLUCARNFNBGV-UHFFFAOYSA-N 0 0 443.464 -0.138 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)c1 ZINC000505794963 1071907018 /nfs/dbraw/zinc/90/70/18/1071907018.db2.gz ICCAENGQTVNQMI-UHFFFAOYSA-N 0 0 441.944 -0.270 20 0 IBADRN COc1cc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1OCC(N)=O ZINC000505798060 1071908095 /nfs/dbraw/zinc/90/80/95/1071908095.db2.gz YKNHVPPDZXJYET-UHFFFAOYSA-N 0 0 449.508 -0.755 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(C(=O)[C@@H]2[C@@H]3CCCO[C@H]23)CC1)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000505798941 1071907543 /nfs/dbraw/zinc/90/75/43/1071907543.db2.gz KFPSIZRDHFHCEI-ARAZSQDJSA-N 0 0 441.550 -0.573 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(C(=O)[C@@H]2[C@@H]3CCCO[C@H]23)CC1)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000505798942 1071907478 /nfs/dbraw/zinc/90/74/78/1071907478.db2.gz KFPSIZRDHFHCEI-HVKXIDHRSA-N 0 0 441.550 -0.573 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000505800753 1071908756 /nfs/dbraw/zinc/90/87/56/1071908756.db2.gz DZXREXVIQRCQHR-UHFFFAOYSA-N 0 0 443.464 -0.138 20 0 IBADRN COc1cc(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1OCC(N)=O ZINC000505803311 1071909123 /nfs/dbraw/zinc/90/91/23/1071909123.db2.gz UWHUIQKIPBCGPU-UHFFFAOYSA-N 0 0 435.481 -0.562 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000505806753 1071909202 /nfs/dbraw/zinc/90/92/02/1071909202.db2.gz KZMZIJOOYCCBPH-UHFFFAOYSA-N 0 0 430.465 -0.883 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000505807873 1071910758 /nfs/dbraw/zinc/91/07/58/1071910758.db2.gz UFVFPRMELRWUKN-UHFFFAOYSA-N 0 0 440.508 -0.104 20 0 IBADRN C[C@H](C(=O)NC(N)=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000505808978 1071909974 /nfs/dbraw/zinc/90/99/74/1071909974.db2.gz KTNMOGYQWDJMQL-SECBINFHSA-N 0 0 445.885 -0.439 20 0 IBADRN C[C@@H](C(=O)NC(N)=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000505808979 1071909860 /nfs/dbraw/zinc/90/98/60/1071909860.db2.gz KTNMOGYQWDJMQL-VIFPVBQESA-N 0 0 445.885 -0.439 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(C(C)C)C(=O)C2)c(=O)[nH]c1=O ZINC000505810854 1071911125 /nfs/dbraw/zinc/91/11/25/1071911125.db2.gz BLERHZMARKBVPU-UHFFFAOYSA-N 0 0 438.529 -0.137 20 0 IBADRN CC(C)N1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1=O ZINC000505812662 1071911277 /nfs/dbraw/zinc/91/12/77/1071911277.db2.gz OQLDUSGZIQDZNF-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000505812835 1071910735 /nfs/dbraw/zinc/91/07/35/1071910735.db2.gz QCAVEBSHKDXOMX-UHFFFAOYSA-N 0 0 443.551 -0.338 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)CC1 ZINC000505812848 1071910529 /nfs/dbraw/zinc/91/05/29/1071910529.db2.gz PPQVYRUUHJJDMS-UHFFFAOYSA-N 0 0 428.580 -0.026 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1ccc(C(=O)OC)cc1 ZINC000505814065 1071911231 /nfs/dbraw/zinc/91/12/31/1071911231.db2.gz VBQOSSSRZRGETH-HNNXBMFYSA-N 0 0 432.520 -0.072 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1ccc(C(=O)OC)cc1 ZINC000505814066 1071911312 /nfs/dbraw/zinc/91/13/12/1071911312.db2.gz VBQOSSSRZRGETH-OAHLLOKOSA-N 0 0 432.520 -0.072 20 0 IBADRN CN(C)c1nc(N)nc(CN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)n1 ZINC000505815101 1071911193 /nfs/dbraw/zinc/91/11/93/1071911193.db2.gz JYZORGFWYMUYAG-UHFFFAOYSA-N 0 0 433.498 -0.392 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000505817295 1071911676 /nfs/dbraw/zinc/91/16/76/1071911676.db2.gz HVTVUZZRADCSAG-UHFFFAOYSA-N 0 0 434.497 -0.926 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@H]1CCCN(C(=O)N[C@H]2COC[C@H]2n2ccnn2)C1 ZINC000505819517 1071911241 /nfs/dbraw/zinc/91/12/41/1071911241.db2.gz NIHABDOGTFXMQD-CWRNSKLLSA-N 0 0 432.485 -0.094 20 0 IBADRN COCCNC(=O)c1c[nH]nc1[C@@H]1CCCN(C(=O)N[C@H]2COC[C@H]2n2ccnn2)C1 ZINC000505819524 1071911301 /nfs/dbraw/zinc/91/13/01/1071911301.db2.gz NIHABDOGTFXMQD-VNQPRFMTSA-N 0 0 432.485 -0.094 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CCN4C(=O)CCC4=O)CC3)C2=O)n(C)n1 ZINC000505819564 1071911141 /nfs/dbraw/zinc/91/11/41/1071911141.db2.gz NTSXTKVNOUVCOS-INIZCTEOSA-N 0 0 430.509 -0.093 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CCN4C(=O)CCC4=O)CC3)C2=O)n(C)n1 ZINC000505819565 1071911291 /nfs/dbraw/zinc/91/12/91/1071911291.db2.gz NTSXTKVNOUVCOS-MRXNPFEDSA-N 0 0 430.509 -0.093 20 0 IBADRN CC(C)N1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000505819902 1071911948 /nfs/dbraw/zinc/91/19/48/1071911948.db2.gz PXDAJAXVYVDONX-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@](C)([NH2+]CC(=O)[O-])C3)CC2)cc1 ZINC000505828229 1071913569 /nfs/dbraw/zinc/91/35/69/1071913569.db2.gz URHBYQXBPDZDRS-FQEVSTJZSA-N 0 0 438.550 -0.033 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@@](C)([NH2+]CC(=O)[O-])C3)CC2)cc1 ZINC000505828230 1071913712 /nfs/dbraw/zinc/91/37/12/1071913712.db2.gz URHBYQXBPDZDRS-HXUWFJFHSA-N 0 0 438.550 -0.033 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000505829081 1071913559 /nfs/dbraw/zinc/91/35/59/1071913559.db2.gz AOMBOOCQSAPINV-UHFFFAOYSA-N 0 0 432.481 -0.637 20 0 IBADRN COc1cc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1OCC(N)=O ZINC000505829145 1071913237 /nfs/dbraw/zinc/91/32/37/1071913237.db2.gz BOFVBDDGTQEICM-UHFFFAOYSA-N 0 0 433.465 -0.808 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000505830697 1071914725 /nfs/dbraw/zinc/91/47/25/1071914725.db2.gz GMNMIYJACPUIRZ-INIZCTEOSA-N 0 0 440.570 -0.350 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000505830698 1071914772 /nfs/dbraw/zinc/91/47/72/1071914772.db2.gz GMNMIYJACPUIRZ-MRXNPFEDSA-N 0 0 440.570 -0.350 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CN4C(=O)NC(=O)C4(C)C)CC3)C2=O)n(C)n1 ZINC000505831581 1071914345 /nfs/dbraw/zinc/91/43/45/1071914345.db2.gz JGDLVMSDYCHKKN-HNNXBMFYSA-N 0 0 445.524 -0.301 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CN4C(=O)NC(=O)C4(C)C)CC3)C2=O)n(C)n1 ZINC000505831589 1071914273 /nfs/dbraw/zinc/91/42/73/1071914273.db2.gz JGDLVMSDYCHKKN-OAHLLOKOSA-N 0 0 445.524 -0.301 20 0 IBADRN COc1cc(CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)ccc1OCC(N)=O ZINC000505837384 1071915381 /nfs/dbraw/zinc/91/53/81/1071915381.db2.gz HHJHPAYXGNLTOE-UHFFFAOYSA-N 0 0 442.432 -0.173 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(C)c(OC)c1 ZINC000505841068 1071916336 /nfs/dbraw/zinc/91/63/36/1071916336.db2.gz VPNVXHBWZRBRIM-GFCCVEGCSA-N 0 0 427.479 -0.240 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(C)c(OC)c1 ZINC000505841069 1071916435 /nfs/dbraw/zinc/91/64/35/1071916435.db2.gz VPNVXHBWZRBRIM-LBPRGKRZSA-N 0 0 427.479 -0.240 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000505843224 1071918077 /nfs/dbraw/zinc/91/80/77/1071918077.db2.gz CGDSSGUSYBEBQS-UHFFFAOYSA-N 0 0 434.478 -0.343 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000505843688 1071917972 /nfs/dbraw/zinc/91/79/72/1071917972.db2.gz DQXKGXDXTPCOFG-UHFFFAOYSA-N 0 0 430.509 -0.066 20 0 IBADRN C[C@@H](CCC(C)(C)C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000505845435 1071918600 /nfs/dbraw/zinc/91/86/00/1071918600.db2.gz MOANUTCHGZEYIM-INIZCTEOSA-N 0 0 431.603 -0.046 20 0 IBADRN C[C@H](CCC(C)(C)C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000505845436 1071918534 /nfs/dbraw/zinc/91/85/34/1071918534.db2.gz MOANUTCHGZEYIM-MRXNPFEDSA-N 0 0 431.603 -0.046 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C(C)C)C(=O)C2)CC1 ZINC000505846409 1071917986 /nfs/dbraw/zinc/91/79/86/1071917986.db2.gz RNVBNEJISXCGHV-UHFFFAOYSA-N 0 0 427.527 -0.323 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)Nc2cnn(CC(=O)NCCOC)c2)c1 ZINC000505846674 1071918005 /nfs/dbraw/zinc/91/80/05/1071918005.db2.gz XDCNWLCXFQQYMB-UHFFFAOYSA-N 0 0 431.453 -0.235 20 0 IBADRN COc1cc(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1OCC(N)=O ZINC000505849349 1072481877 /nfs/dbraw/zinc/48/18/77/1072481877.db2.gz HXOQEGSYYCDWFU-UHFFFAOYSA-N 0 0 447.492 -0.465 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000505855400 1071924395 /nfs/dbraw/zinc/92/43/95/1071924395.db2.gz PRBWLHLPQQJJEW-UHFFFAOYSA-N 0 0 446.508 -0.829 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000505856945 1071925038 /nfs/dbraw/zinc/92/50/38/1071925038.db2.gz ZRIWGGSKAGZOMX-UHFFFAOYSA-N 0 0 446.508 -0.829 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CN(C)S(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000505857839 1071925559 /nfs/dbraw/zinc/92/55/59/1071925559.db2.gz WEEXQDYIIROBBW-HNNXBMFYSA-N 0 0 426.543 -0.740 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CN(C)S(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000505857840 1071925616 /nfs/dbraw/zinc/92/56/16/1071925616.db2.gz WEEXQDYIIROBBW-OAHLLOKOSA-N 0 0 426.543 -0.740 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000505865527 1071926752 /nfs/dbraw/zinc/92/67/52/1071926752.db2.gz CLQMFDXLCVORGO-AWEZNQCLSA-N 0 0 430.509 -0.021 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000505865528 1071926970 /nfs/dbraw/zinc/92/69/70/1071926970.db2.gz CLQMFDXLCVORGO-CQSZACIVSA-N 0 0 430.509 -0.021 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000505865566 1071927008 /nfs/dbraw/zinc/92/70/08/1071927008.db2.gz DBCAVDOEDNHAAB-UHFFFAOYSA-N 0 0 425.449 -0.760 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)c1 ZINC000505867549 1071926387 /nfs/dbraw/zinc/92/63/87/1071926387.db2.gz XRZPWRZHLHSMLZ-UHFFFAOYSA-N 0 0 438.488 -0.160 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CN4CCCS4(=O)=O)CC3)C2=O)n(C)n1 ZINC000505868250 1071926945 /nfs/dbraw/zinc/92/69/45/1071926945.db2.gz GZKPGEUQJZLXLA-INIZCTEOSA-N 0 0 438.554 -0.596 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CN4CCCS4(=O)=O)CC3)C2=O)n(C)n1 ZINC000505868252 1071926977 /nfs/dbraw/zinc/92/69/77/1071926977.db2.gz GZKPGEUQJZLXLA-MRXNPFEDSA-N 0 0 438.554 -0.596 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)c1 ZINC000505871251 1071927472 /nfs/dbraw/zinc/92/74/72/1071927472.db2.gz JJJONTGSMNITBK-KRWDZBQOSA-N 0 0 433.509 -0.426 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)c1 ZINC000505871252 1071927518 /nfs/dbraw/zinc/92/75/18/1071927518.db2.gz JJJONTGSMNITBK-QGZVFWFLSA-N 0 0 433.509 -0.426 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CC1 ZINC000505872360 1071928057 /nfs/dbraw/zinc/92/80/57/1071928057.db2.gz MRGIWDVTJYTRFH-UHFFFAOYSA-N 0 0 429.587 -0.291 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](CC(C)C)N2CCOCC2)c1 ZINC000505878518 1071930015 /nfs/dbraw/zinc/93/00/15/1071930015.db2.gz VUKNOBLTUMJCJE-GOSISDBHSA-N 0 0 447.536 -0.036 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](CC(C)C)N2CCOCC2)c1 ZINC000505878519 1071929862 /nfs/dbraw/zinc/92/98/62/1071929862.db2.gz VUKNOBLTUMJCJE-SFHVURJKSA-N 0 0 447.536 -0.036 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000505885045 1071931143 /nfs/dbraw/zinc/93/11/43/1071931143.db2.gz MVGXNYLHTKFCGI-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CCCN4C(=O)CNC4=O)CC3)C2=O)n(C)n1 ZINC000505885394 1071931030 /nfs/dbraw/zinc/93/10/30/1071931030.db2.gz QTBYDZSTJDPJDE-INIZCTEOSA-N 0 0 445.524 -0.300 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CCCN4C(=O)CNC4=O)CC3)C2=O)n(C)n1 ZINC000505885395 1071931154 /nfs/dbraw/zinc/93/11/54/1071931154.db2.gz QTBYDZSTJDPJDE-MRXNPFEDSA-N 0 0 445.524 -0.300 20 0 IBADRN COCCN(C(=O)CN1CCN(C(C)C)C(=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000505896335 1071934157 /nfs/dbraw/zinc/93/41/57/1071934157.db2.gz QOMDFFNCYYTMDS-UHFFFAOYSA-N 0 0 438.529 -0.281 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1O ZINC000505898164 1071934920 /nfs/dbraw/zinc/93/49/20/1071934920.db2.gz GVCJQGBBYNFKGD-UHFFFAOYSA-N 0 0 427.527 -0.280 20 0 IBADRN COc1cc(CNC(=O)N2CCN(S(C)(=O)=O)CC2)ccc1OCC(=O)N(C)C ZINC000505900298 1071934834 /nfs/dbraw/zinc/93/48/34/1071934834.db2.gz YJROUABJXFOKCY-UHFFFAOYSA-N 0 0 428.511 -0.051 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)Cn4ccc(=O)[nH]c4=O)CC3)C2=O)n(C)n1 ZINC000505901127 1071934907 /nfs/dbraw/zinc/93/49/07/1071934907.db2.gz MYVZZCJGWUOASX-HNNXBMFYSA-N 0 0 429.481 -0.669 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)Cn4ccc(=O)[nH]c4=O)CC3)C2=O)n(C)n1 ZINC000505901128 1071935011 /nfs/dbraw/zinc/93/50/11/1071935011.db2.gz MYVZZCJGWUOASX-OAHLLOKOSA-N 0 0 429.481 -0.669 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nnn1Cc1ccncc1 ZINC000505902134 1071935576 /nfs/dbraw/zinc/93/55/76/1071935576.db2.gz APKFFKJNDKTVTQ-UHFFFAOYSA-N 0 0 427.465 -0.827 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000505902470 1071935508 /nfs/dbraw/zinc/93/55/08/1071935508.db2.gz GMJCPOXXBMDWET-UHFFFAOYSA-N 0 0 436.494 -0.963 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2C)no1 ZINC000505903348 1073369795 /nfs/dbraw/zinc/36/97/95/1073369795.db2.gz PULOFLXTYGCPHA-UHFFFAOYSA-N 0 0 431.453 -0.926 20 0 IBADRN Cc1nn(Cc2ccccc2)c(N)c1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000505903980 1071935644 /nfs/dbraw/zinc/93/56/44/1071935644.db2.gz YEUGWMYFYZLRKR-UHFFFAOYSA-N 0 0 440.504 -0.035 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000505906563 1071937967 /nfs/dbraw/zinc/93/79/67/1071937967.db2.gz BQVKIRKTJQVXPP-UHFFFAOYSA-N 0 0 436.538 -0.146 20 0 IBADRN Cn1cc(CN2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)c(=O)n(C)c1=O ZINC000505907080 1071937912 /nfs/dbraw/zinc/93/79/12/1071937912.db2.gz JELSAVYOTWDXIO-UHFFFAOYSA-N 0 0 436.490 -0.638 20 0 IBADRN COc1ccc(CC(=O)NCCN2C(=O)CCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000505910786 1071939515 /nfs/dbraw/zinc/93/95/15/1071939515.db2.gz CNRVNBWUGJWFIV-UHFFFAOYSA-N 0 0 439.490 -0.476 20 0 IBADRN COc1ccc(C(=O)NCCN2C(=O)CCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000505910897 1071939426 /nfs/dbraw/zinc/93/94/26/1071939426.db2.gz FDULJKVXCFOSEJ-UHFFFAOYSA-N 0 0 425.463 -0.405 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)CC1 ZINC000505912764 1071939542 /nfs/dbraw/zinc/93/95/42/1071939542.db2.gz OKWYQRFAOKVCGP-CALCHBBNSA-N 0 0 443.614 -0.189 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)CC1 ZINC000505912765 1071939522 /nfs/dbraw/zinc/93/95/22/1071939522.db2.gz OKWYQRFAOKVCGP-IAGOWNOFSA-N 0 0 443.614 -0.189 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)CC1 ZINC000505912766 1071939462 /nfs/dbraw/zinc/93/94/62/1071939462.db2.gz OKWYQRFAOKVCGP-IRXDYDNUSA-N 0 0 443.614 -0.189 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000505916743 1071940848 /nfs/dbraw/zinc/94/08/48/1071940848.db2.gz IMOUMPICHGFUKG-CYBMUJFWSA-N 0 0 434.522 -0.348 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000505916744 1071940835 /nfs/dbraw/zinc/94/08/35/1071940835.db2.gz IMOUMPICHGFUKG-ZDUSSCGKSA-N 0 0 434.522 -0.348 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(S(=O)(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000505924257 1071942043 /nfs/dbraw/zinc/94/20/43/1071942043.db2.gz DLUOCBUWJRITCK-INIZCTEOSA-N 0 0 426.543 -0.581 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000505924258 1071942128 /nfs/dbraw/zinc/94/21/28/1071942128.db2.gz DLUOCBUWJRITCK-MRXNPFEDSA-N 0 0 426.543 -0.581 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCN3C(=O)CCC3=O)c2)CC1 ZINC000505924865 1071941607 /nfs/dbraw/zinc/94/16/07/1071941607.db2.gz LPXXDFDNSVXUFM-UHFFFAOYSA-N 0 0 436.490 -0.582 20 0 IBADRN O=C(CC1(CC(=O)NCCN2C(=O)CCC2=O)CCCC1)NCCN1C(=O)CCC1=O ZINC000505925649 1071941495 /nfs/dbraw/zinc/94/14/95/1071941495.db2.gz VVHBCQZCLKSYTJ-UHFFFAOYSA-N 0 0 434.493 -0.143 20 0 IBADRN CCNC(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000505927215 1071941639 /nfs/dbraw/zinc/94/16/39/1071941639.db2.gz SVSQOVSYVPRCAM-UHFFFAOYSA-N 0 0 439.538 -0.160 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCc3nc(N(C)C)no3)c2)CC1 ZINC000505934810 1071942060 /nfs/dbraw/zinc/94/20/60/1071942060.db2.gz DPTFVWYCSWSMHS-UHFFFAOYSA-N 0 0 436.494 -0.082 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCN3C(=O)CCC3=O)cc2)CC1 ZINC000505935606 1071942188 /nfs/dbraw/zinc/94/21/88/1071942188.db2.gz NTFRXGDBIHTFNE-UHFFFAOYSA-N 0 0 436.490 -0.582 20 0 IBADRN COCCOCCS(=O)(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000505937627 1071942123 /nfs/dbraw/zinc/94/21/23/1071942123.db2.gz ZAMVHZOMFWVCIV-KRWDZBQOSA-N 0 0 443.570 -0.166 20 0 IBADRN COCCOCCS(=O)(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000505937628 1071942103 /nfs/dbraw/zinc/94/21/03/1071942103.db2.gz ZAMVHZOMFWVCIV-QGZVFWFLSA-N 0 0 443.570 -0.166 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000505937863 1071942004 /nfs/dbraw/zinc/94/20/04/1071942004.db2.gz ILXXWKHNWGKOBL-HNNXBMFYSA-N 0 0 426.539 -0.106 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000505937864 1071941983 /nfs/dbraw/zinc/94/19/83/1071941983.db2.gz ILXXWKHNWGKOBL-OAHLLOKOSA-N 0 0 426.539 -0.106 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000505938413 1071942736 /nfs/dbraw/zinc/94/27/36/1071942736.db2.gz MOTGFLIROODDTJ-UHFFFAOYSA-N 0 0 429.524 -0.229 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000505938986 1071942779 /nfs/dbraw/zinc/94/27/79/1071942779.db2.gz SAOMWRCZDTZDMB-AWEZNQCLSA-N 0 0 442.538 -0.358 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000505938987 1071942767 /nfs/dbraw/zinc/94/27/67/1071942767.db2.gz SAOMWRCZDTZDMB-CQSZACIVSA-N 0 0 442.538 -0.358 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CSCC(=O)N2CCOCC2)CC1 ZINC000505943409 1071943063 /nfs/dbraw/zinc/94/30/63/1071943063.db2.gz NLVHBOCFFFVFNO-INIZCTEOSA-N 0 0 430.571 -0.736 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CSCC(=O)N2CCOCC2)CC1 ZINC000505943410 1071943321 /nfs/dbraw/zinc/94/33/21/1071943321.db2.gz NLVHBOCFFFVFNO-MRXNPFEDSA-N 0 0 430.571 -0.736 20 0 IBADRN CCNC(=O)c1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000505944514 1071943373 /nfs/dbraw/zinc/94/33/73/1071943373.db2.gz NZADGUXTXKWSPU-UHFFFAOYSA-N 0 0 431.493 -0.351 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)C1=O ZINC000505944805 1071943163 /nfs/dbraw/zinc/94/31/63/1071943163.db2.gz WGZSLAXCXFXZRY-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)C1=O ZINC000505944806 1072483458 /nfs/dbraw/zinc/48/34/58/1072483458.db2.gz WGZSLAXCXFXZRY-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCN1C(=O)CCC1=O ZINC000505947311 1071943343 /nfs/dbraw/zinc/94/33/43/1071943343.db2.gz OMLSKDXEFVWNPR-UHFFFAOYSA-N 0 0 425.463 -0.405 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)c(=O)n(C)c1=O ZINC000505947607 1071942705 /nfs/dbraw/zinc/94/27/05/1071942705.db2.gz QCKNQOLZNVEQIP-CYBMUJFWSA-N 0 0 434.453 -0.225 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)c(=O)n(C)c1=O ZINC000505947608 1071942565 /nfs/dbraw/zinc/94/25/65/1071942565.db2.gz QCKNQOLZNVEQIP-ZDUSSCGKSA-N 0 0 434.453 -0.225 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC000505952675 1072483405 /nfs/dbraw/zinc/48/34/05/1072483405.db2.gz CLFLSNJIQDEZDM-INIZCTEOSA-N 0 0 441.554 -0.613 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC000505952676 1071943929 /nfs/dbraw/zinc/94/39/29/1071943929.db2.gz CLFLSNJIQDEZDM-MRXNPFEDSA-N 0 0 441.554 -0.613 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1C(=O)CCC1=O ZINC000505952980 1071943879 /nfs/dbraw/zinc/94/38/79/1071943879.db2.gz KMIKCAOSZBILNN-UHFFFAOYSA-N 0 0 425.463 -0.219 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCN2C(=O)CCC2=O)c1 ZINC000505953216 1071943896 /nfs/dbraw/zinc/94/38/96/1071943896.db2.gz LZBNVJFGZQZGJA-UHFFFAOYSA-N 0 0 438.506 -0.348 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CCn2nnc3ccccc3c2=O)CC1 ZINC000505953692 1071943766 /nfs/dbraw/zinc/94/37/66/1071943766.db2.gz IZZNBQWORJQVTK-INIZCTEOSA-N 0 0 430.509 -0.133 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CCn2nnc3ccccc3c2=O)CC1 ZINC000505953693 1071943920 /nfs/dbraw/zinc/94/39/20/1071943920.db2.gz IZZNBQWORJQVTK-MRXNPFEDSA-N 0 0 430.509 -0.133 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cccc(CS(N)(=O)=O)c2)CC1 ZINC000505954379 1071943828 /nfs/dbraw/zinc/94/38/28/1071943828.db2.gz ODUBYBTYZVUCBM-HNNXBMFYSA-N 0 0 426.539 -0.226 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cccc(CS(N)(=O)=O)c2)CC1 ZINC000505954480 1071943938 /nfs/dbraw/zinc/94/39/38/1071943938.db2.gz ODUBYBTYZVUCBM-OAHLLOKOSA-N 0 0 426.539 -0.226 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000505955422 1071943724 /nfs/dbraw/zinc/94/37/24/1071943724.db2.gz WNJRQWSCIAPQCY-INIZCTEOSA-N 0 0 437.541 -0.074 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000505955423 1071943799 /nfs/dbraw/zinc/94/37/99/1071943799.db2.gz WNJRQWSCIAPQCY-MRXNPFEDSA-N 0 0 437.541 -0.074 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCc3nc(N(C)C)no3)cc2)CC1 ZINC000505958819 1071943745 /nfs/dbraw/zinc/94/37/45/1071943745.db2.gz LFRAONIESVRBKY-UHFFFAOYSA-N 0 0 436.494 -0.082 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCN2C(=O)CCC2=O)o1 ZINC000505959138 1071944269 /nfs/dbraw/zinc/94/42/69/1071944269.db2.gz NBQCQZPHJICKRK-GFCCVEGCSA-N 0 0 441.462 -0.125 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCN2C(=O)CCC2=O)o1 ZINC000505959139 1071944482 /nfs/dbraw/zinc/94/44/82/1071944482.db2.gz NBQCQZPHJICKRK-LBPRGKRZSA-N 0 0 441.462 -0.125 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000505959230 1071944299 /nfs/dbraw/zinc/94/42/99/1071944299.db2.gz FGMNSRMOZPSSBE-INIZCTEOSA-N 0 0 437.541 -0.074 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000505959231 1071944555 /nfs/dbraw/zinc/94/45/55/1071944555.db2.gz FGMNSRMOZPSSBE-MRXNPFEDSA-N 0 0 437.541 -0.074 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000505959532 1071943783 /nfs/dbraw/zinc/94/37/83/1071943783.db2.gz IIZDYICBDKFNNP-UHFFFAOYSA-N 0 0 429.543 -0.076 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)c1 ZINC000505960135 1071944414 /nfs/dbraw/zinc/94/44/14/1071944414.db2.gz MAAXIKTVMJESMP-HNNXBMFYSA-N 0 0 426.539 -0.106 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)c1 ZINC000505960136 1071944562 /nfs/dbraw/zinc/94/45/62/1071944562.db2.gz MAAXIKTVMJESMP-OAHLLOKOSA-N 0 0 426.539 -0.106 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000505963446 1071944457 /nfs/dbraw/zinc/94/44/57/1071944457.db2.gz ZZHLNTYCFIBBNV-GFCCVEGCSA-N 0 0 427.570 -0.147 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000505963447 1071944472 /nfs/dbraw/zinc/94/44/72/1071944472.db2.gz ZZHLNTYCFIBBNV-LBPRGKRZSA-N 0 0 427.570 -0.147 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NCCN1C(=O)CCC1=O ZINC000505963620 1071944283 /nfs/dbraw/zinc/94/42/83/1071944283.db2.gz CWENKRWDLVXUFZ-UHFFFAOYSA-N 0 0 425.463 -0.219 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCN1C(=O)CCC1=O ZINC000505964652 1071945002 /nfs/dbraw/zinc/94/50/02/1071945002.db2.gz PMMSPJYLELPVKZ-LBPRGKRZSA-N 0 0 425.463 -0.220 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000505964753 1071944310 /nfs/dbraw/zinc/94/43/10/1071944310.db2.gz FEJNNHWQWODHHG-INIZCTEOSA-N 0 0 441.554 -0.565 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000505964754 1071944519 /nfs/dbraw/zinc/94/45/19/1071944519.db2.gz FEJNNHWQWODHHG-MRXNPFEDSA-N 0 0 441.554 -0.565 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccccc2)CC1 ZINC000505965730 1071945032 /nfs/dbraw/zinc/94/50/32/1071945032.db2.gz KPZPJVBHESZRNR-KRWDZBQOSA-N 0 0 440.566 -0.008 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccccc2)CC1 ZINC000505965737 1071945089 /nfs/dbraw/zinc/94/50/89/1071945089.db2.gz KPZPJVBHESZRNR-QGZVFWFLSA-N 0 0 440.566 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC000505966288 1071944980 /nfs/dbraw/zinc/94/49/80/1071944980.db2.gz PEOKCLRJMKRCQD-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC000505966289 1071945053 /nfs/dbraw/zinc/94/50/53/1071945053.db2.gz PEOKCLRJMKRCQD-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)C1 ZINC000505966982 1071945723 /nfs/dbraw/zinc/94/57/23/1071945723.db2.gz YFOMFYIBIIPETN-DLBZAZTESA-N 0 0 432.587 -0.267 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)C1 ZINC000505966983 1071945670 /nfs/dbraw/zinc/94/56/70/1071945670.db2.gz YFOMFYIBIIPETN-IAGOWNOFSA-N 0 0 432.587 -0.267 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)C1 ZINC000505966984 1071945663 /nfs/dbraw/zinc/94/56/63/1071945663.db2.gz YFOMFYIBIIPETN-IRXDYDNUSA-N 0 0 432.587 -0.267 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)C1 ZINC000505966985 1071945533 /nfs/dbraw/zinc/94/55/33/1071945533.db2.gz YFOMFYIBIIPETN-SJORKVTESA-N 0 0 432.587 -0.267 20 0 IBADRN C[C@H](NC(=O)CN1CCN(Cc2ccccc2)CC1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000505967899 1071944959 /nfs/dbraw/zinc/94/49/59/1071944959.db2.gz LQQBYUJEBPKXTD-HKUYNNGSSA-N 0 0 436.578 -0.140 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(Cc2ccccc2)CC1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000505967900 1071945010 /nfs/dbraw/zinc/94/50/10/1071945010.db2.gz LQQBYUJEBPKXTD-IEBWSBKVSA-N 0 0 436.578 -0.140 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(Cc2ccccc2)CC1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000505967901 1071945065 /nfs/dbraw/zinc/94/50/65/1071945065.db2.gz LQQBYUJEBPKXTD-MJGOQNOKSA-N 0 0 436.578 -0.140 20 0 IBADRN C[C@H](NC(=O)CN1CCN(Cc2ccccc2)CC1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000505967902 1071945040 /nfs/dbraw/zinc/94/50/40/1071945040.db2.gz LQQBYUJEBPKXTD-PKOBYXMFSA-N 0 0 436.578 -0.140 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000505968513 1071945545 /nfs/dbraw/zinc/94/55/45/1071945545.db2.gz SYOGZZLNNLPXML-UHFFFAOYSA-N 0 0 443.526 -0.055 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000505968629 1071945756 /nfs/dbraw/zinc/94/57/56/1071945756.db2.gz VIUOHFLOUJPJKB-CYBMUJFWSA-N 0 0 443.551 -0.033 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000505968630 1071945696 /nfs/dbraw/zinc/94/56/96/1071945696.db2.gz VIUOHFLOUJPJKB-ZDUSSCGKSA-N 0 0 443.551 -0.033 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000505968982 1071945656 /nfs/dbraw/zinc/94/56/56/1071945656.db2.gz ZNSWEVOIDQHFLO-UHFFFAOYSA-N 0 0 439.538 -0.516 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cnc2ccccc2c1O)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000505973012 1071946141 /nfs/dbraw/zinc/94/61/41/1071946141.db2.gz DECBPFZEVVAEHL-CHWSQXEVSA-N 0 0 448.501 -0.687 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cnc2ccccc2c1O)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000505973013 1071946113 /nfs/dbraw/zinc/94/61/13/1071946113.db2.gz DECBPFZEVVAEHL-OLZOCXBDSA-N 0 0 448.501 -0.687 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cnc2ccccc2c1O)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000505973014 1071946061 /nfs/dbraw/zinc/94/60/61/1071946061.db2.gz DECBPFZEVVAEHL-QWHCGFSZSA-N 0 0 448.501 -0.687 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cnc2ccccc2c1O)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000505973015 1071946201 /nfs/dbraw/zinc/94/62/01/1071946201.db2.gz DECBPFZEVVAEHL-STQMWFEESA-N 0 0 448.501 -0.687 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)CC1 ZINC000505975483 1071947341 /nfs/dbraw/zinc/94/73/41/1071947341.db2.gz DYLLIDHURXHKMI-HNNXBMFYSA-N 0 0 431.493 -0.213 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)CC1 ZINC000505975484 1071947155 /nfs/dbraw/zinc/94/71/55/1071947155.db2.gz DYLLIDHURXHKMI-OAHLLOKOSA-N 0 0 431.493 -0.213 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CCCS(=O)(=O)N2CCCC2)CC1 ZINC000505976410 1071947854 /nfs/dbraw/zinc/94/78/54/1071947854.db2.gz LPQACVPANPLROG-KRWDZBQOSA-N 0 0 432.587 -0.122 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CCCS(=O)(=O)N2CCCC2)CC1 ZINC000505976411 1071947782 /nfs/dbraw/zinc/94/77/82/1071947782.db2.gz LPQACVPANPLROG-QGZVFWFLSA-N 0 0 432.587 -0.122 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000505982059 1071947862 /nfs/dbraw/zinc/94/78/62/1071947862.db2.gz NUJFJMHCAKQHND-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000505983650 1071949588 /nfs/dbraw/zinc/94/95/88/1071949588.db2.gz AUPLDKUKGPRHFG-UHFFFAOYSA-N 0 0 439.538 -0.197 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000505985123 1071949068 /nfs/dbraw/zinc/94/90/68/1071949068.db2.gz WVMQXJNKHIOZQN-UHFFFAOYSA-N 0 0 437.522 -0.762 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2cccs2)CC1 ZINC000505986400 1071950129 /nfs/dbraw/zinc/95/01/29/1071950129.db2.gz IKBRLMKORNPTRP-AWEZNQCLSA-N 0 0 432.568 -0.288 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2cccs2)CC1 ZINC000505986401 1071950120 /nfs/dbraw/zinc/95/01/20/1071950120.db2.gz IKBRLMKORNPTRP-CQSZACIVSA-N 0 0 432.568 -0.288 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)o2)CC1 ZINC000505987461 1071950079 /nfs/dbraw/zinc/95/00/79/1071950079.db2.gz RBSFTHCFKVDAPM-AWEZNQCLSA-N 0 0 430.527 -0.171 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)o2)CC1 ZINC000505987462 1071950169 /nfs/dbraw/zinc/95/01/69/1071950169.db2.gz RBSFTHCFKVDAPM-CQSZACIVSA-N 0 0 430.527 -0.171 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000505988192 1071950106 /nfs/dbraw/zinc/95/01/06/1071950106.db2.gz XYGDTYTUODHNGZ-CVEARBPZSA-N 0 0 437.541 -0.075 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000505988193 1071950160 /nfs/dbraw/zinc/95/01/60/1071950160.db2.gz XYGDTYTUODHNGZ-HOTGVXAUSA-N 0 0 437.541 -0.075 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000505988194 1071950132 /nfs/dbraw/zinc/95/01/32/1071950132.db2.gz XYGDTYTUODHNGZ-HZPDHXFCSA-N 0 0 437.541 -0.075 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000505988195 1071950138 /nfs/dbraw/zinc/95/01/38/1071950138.db2.gz XYGDTYTUODHNGZ-JKSUJKDBSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000505989313 1071950153 /nfs/dbraw/zinc/95/01/53/1071950153.db2.gz GZWYIRAEKUQUMM-UHFFFAOYSA-N 0 0 425.555 -0.042 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000505990190 1071950715 /nfs/dbraw/zinc/95/07/15/1071950715.db2.gz FKGQOZCOCLLTCD-INIZCTEOSA-N 0 0 432.587 -0.267 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000505990191 1071950694 /nfs/dbraw/zinc/95/06/94/1071950694.db2.gz FKGQOZCOCLLTCD-MRXNPFEDSA-N 0 0 432.587 -0.267 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000505991722 1071950556 /nfs/dbraw/zinc/95/05/56/1071950556.db2.gz UTGOXVYCYVVCLH-UHFFFAOYSA-N 0 0 425.511 -0.265 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000505992527 1071951180 /nfs/dbraw/zinc/95/11/80/1071951180.db2.gz QXTOMACQWGPEKY-CYBMUJFWSA-N 0 0 432.481 -0.667 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000505992528 1071951185 /nfs/dbraw/zinc/95/11/85/1071951185.db2.gz QXTOMACQWGPEKY-ZDUSSCGKSA-N 0 0 432.481 -0.667 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CCc2c(C)nc3nc(N)nn3c2C)CC1 ZINC000505993573 1073356100 /nfs/dbraw/zinc/35/61/00/1073356100.db2.gz XQJVNXZKWFJCPU-INIZCTEOSA-N 0 0 446.556 -0.059 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CCc2c(C)nc3nc(N)nn3c2C)CC1 ZINC000505993574 1073356203 /nfs/dbraw/zinc/35/62/03/1073356203.db2.gz XQJVNXZKWFJCPU-MRXNPFEDSA-N 0 0 446.556 -0.059 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCN2C(=O)CCC2=O)c1 ZINC000506001337 1071953013 /nfs/dbraw/zinc/95/30/13/1071953013.db2.gz GQBQOGFXHSAEKU-UHFFFAOYSA-N 0 0 438.506 -0.288 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@H]2CCn3ncc(C(N)=O)c3C2)CC1 ZINC000506002365 1071953021 /nfs/dbraw/zinc/95/30/21/1071953021.db2.gz NEXBIYAYSNQIMI-CVEARBPZSA-N 0 0 447.540 -0.881 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@H]2CCn3ncc(C(N)=O)c3C2)CC1 ZINC000506002366 1071953068 /nfs/dbraw/zinc/95/30/68/1071953068.db2.gz NEXBIYAYSNQIMI-HOTGVXAUSA-N 0 0 447.540 -0.881 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@@H]2CCn3ncc(C(N)=O)c3C2)CC1 ZINC000506002367 1071953080 /nfs/dbraw/zinc/95/30/80/1071953080.db2.gz NEXBIYAYSNQIMI-HZPDHXFCSA-N 0 0 447.540 -0.881 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@@H]2CCn3ncc(C(N)=O)c3C2)CC1 ZINC000506002368 1071953112 /nfs/dbraw/zinc/95/31/12/1071953112.db2.gz NEXBIYAYSNQIMI-JKSUJKDBSA-N 0 0 447.540 -0.881 20 0 IBADRN C[C@]1(n2cc(CN3CCN(CC(=O)N4CCOCC4)CC3)cn2)CCS(=O)(=O)C1 ZINC000506004492 1071953669 /nfs/dbraw/zinc/95/36/69/1071953669.db2.gz AQZOXWKZLGFYBK-IBGZPJMESA-N 0 0 425.555 -0.607 20 0 IBADRN C[C@@]1(n2cc(CN3CCN(CC(=O)N4CCOCC4)CC3)cn2)CCS(=O)(=O)C1 ZINC000506004493 1071953721 /nfs/dbraw/zinc/95/37/21/1071953721.db2.gz AQZOXWKZLGFYBK-LJQANCHMSA-N 0 0 425.555 -0.607 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CCC(=O)N(C3CC3)[C@H]2c2cncn2C)CC1 ZINC000506005529 1071953888 /nfs/dbraw/zinc/95/38/88/1071953888.db2.gz YIJUBGYNCFILQZ-DYESRHJHSA-N 0 0 446.552 -0.231 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000506010890 1071954340 /nfs/dbraw/zinc/95/43/40/1071954340.db2.gz VITZXIPPGQUTAU-UHFFFAOYSA-N 0 0 434.493 -0.563 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@@H](C(=O)OC)C1 ZINC000506016230 1071954913 /nfs/dbraw/zinc/95/49/13/1071954913.db2.gz AEKPTSDYBSGVDZ-BXUZGUMPSA-N 0 0 443.478 -0.292 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@H](C(=O)OC)C1 ZINC000506016231 1071954943 /nfs/dbraw/zinc/95/49/43/1071954943.db2.gz AEKPTSDYBSGVDZ-FZMZJTMJSA-N 0 0 443.478 -0.292 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@H](C(=O)OC)C1 ZINC000506016232 1071954926 /nfs/dbraw/zinc/95/49/26/1071954926.db2.gz AEKPTSDYBSGVDZ-RISCZKNCSA-N 0 0 443.478 -0.292 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1CC(=O)Nc1cc(S(N)(=O)=O)ccc1OC ZINC000506016233 1071954950 /nfs/dbraw/zinc/95/49/50/1071954950.db2.gz AEKPTSDYBSGVDZ-SMDDNHRTSA-N 0 0 443.478 -0.292 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@H](C(=O)OC)C1 ZINC000506018672 1071954814 /nfs/dbraw/zinc/95/48/14/1071954814.db2.gz ZBVBIAHCMCEQQJ-CVEARBPZSA-N 0 0 440.541 -0.528 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@H](C(=O)OC)C1 ZINC000506018673 1071954837 /nfs/dbraw/zinc/95/48/37/1071954837.db2.gz ZBVBIAHCMCEQQJ-HOTGVXAUSA-N 0 0 440.541 -0.528 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@@H](C(=O)OC)C1 ZINC000506018674 1071954908 /nfs/dbraw/zinc/95/49/08/1071954908.db2.gz ZBVBIAHCMCEQQJ-HZPDHXFCSA-N 0 0 440.541 -0.528 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1CC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000506018675 1071954952 /nfs/dbraw/zinc/95/49/52/1071954952.db2.gz ZBVBIAHCMCEQQJ-JKSUJKDBSA-N 0 0 440.541 -0.528 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)[C@H](C(=O)OC)C1 ZINC000506021244 1071955328 /nfs/dbraw/zinc/95/53/28/1071955328.db2.gz CGJLFSXRQMODGX-BBRMVZONSA-N 0 0 439.490 -0.350 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)[C@H](C(=O)OC)C1 ZINC000506021245 1071955302 /nfs/dbraw/zinc/95/53/02/1071955302.db2.gz CGJLFSXRQMODGX-CJNGLKHVSA-N 0 0 439.490 -0.350 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)[C@@H](C(=O)OC)C1 ZINC000506021246 1071955350 /nfs/dbraw/zinc/95/53/50/1071955350.db2.gz CGJLFSXRQMODGX-CZUORRHYSA-N 0 0 439.490 -0.350 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000506021248 1071955494 /nfs/dbraw/zinc/95/54/94/1071955494.db2.gz CGJLFSXRQMODGX-XJKSGUPXSA-N 0 0 439.490 -0.350 20 0 IBADRN C[C@@H](NC(=O)CCn1[nH]c(=O)c2ccccc2c1=O)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000506024413 1071956027 /nfs/dbraw/zinc/95/60/27/1071956027.db2.gz JYYGJCZHRGFQMQ-CHWSQXEVSA-N 0 0 436.490 -0.452 20 0 IBADRN C[C@@H](NC(=O)CCn1[nH]c(=O)c2ccccc2c1=O)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000506024414 1071956050 /nfs/dbraw/zinc/95/60/50/1071956050.db2.gz JYYGJCZHRGFQMQ-OLZOCXBDSA-N 0 0 436.490 -0.452 20 0 IBADRN C[C@H](NC(=O)CCn1[nH]c(=O)c2ccccc2c1=O)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000506024415 1071955942 /nfs/dbraw/zinc/95/59/42/1071955942.db2.gz JYYGJCZHRGFQMQ-QWHCGFSZSA-N 0 0 436.490 -0.452 20 0 IBADRN C[C@H](NC(=O)CCn1[nH]c(=O)c2ccccc2c1=O)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000506024416 1071956042 /nfs/dbraw/zinc/95/60/42/1071956042.db2.gz JYYGJCZHRGFQMQ-STQMWFEESA-N 0 0 436.490 -0.452 20 0 IBADRN C[C@@H](NC(=O)c1cc(Cl)ccc1-n1cnnn1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000506032829 1071958065 /nfs/dbraw/zinc/95/80/65/1071958065.db2.gz OWQQGZKZPXCWAU-GHMZBOCLSA-N 0 0 426.886 -0.015 20 0 IBADRN C[C@@H](NC(=O)c1cc(Cl)ccc1-n1cnnn1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000506032830 1071958166 /nfs/dbraw/zinc/95/81/66/1071958166.db2.gz OWQQGZKZPXCWAU-MNOVXSKESA-N 0 0 426.886 -0.015 20 0 IBADRN C[C@H](NC(=O)c1cc(Cl)ccc1-n1cnnn1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000506032831 1071958150 /nfs/dbraw/zinc/95/81/50/1071958150.db2.gz OWQQGZKZPXCWAU-QWRGUYRKSA-N 0 0 426.886 -0.015 20 0 IBADRN C[C@H](NC(=O)c1cc(Cl)ccc1-n1cnnn1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000506032832 1071958247 /nfs/dbraw/zinc/95/82/47/1071958247.db2.gz OWQQGZKZPXCWAU-WDEREUQCSA-N 0 0 426.886 -0.015 20 0 IBADRN COc1cc(CN2CCN(CC(=O)N3CCOCC3)CC2)cc(OC)c1OCC(N)=O ZINC000506034820 1071961638 /nfs/dbraw/zinc/96/16/38/1071961638.db2.gz KEBINEOUZDOWGV-UHFFFAOYSA-N 0 0 436.509 -0.456 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N[C@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000506043451 1071962792 /nfs/dbraw/zinc/96/27/92/1071962792.db2.gz GXOVPVPTZXYIRC-QLFBSQMISA-N 0 0 437.584 -0.364 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N[C@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000506043452 1071962834 /nfs/dbraw/zinc/96/28/34/1071962834.db2.gz GXOVPVPTZXYIRC-RBSFLKMASA-N 0 0 437.584 -0.364 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N[C@@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000506043453 1071963020 /nfs/dbraw/zinc/96/30/20/1071963020.db2.gz GXOVPVPTZXYIRC-RRFJBIMHSA-N 0 0 437.584 -0.364 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N[C@@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000506043454 1071963051 /nfs/dbraw/zinc/96/30/51/1071963051.db2.gz GXOVPVPTZXYIRC-SOUVJXGZSA-N 0 0 437.584 -0.364 20 0 IBADRN COC(=O)[C@@H]1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)[C@H](C(=O)OC)C1 ZINC000506046865 1071964063 /nfs/dbraw/zinc/96/40/63/1071964063.db2.gz DIGGBWYOZRLTBU-DOMZBBRYSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)[C@@H]1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)[C@@H](C(=O)OC)C1 ZINC000506046866 1071964030 /nfs/dbraw/zinc/96/40/30/1071964030.db2.gz DIGGBWYOZRLTBU-IUODEOHRSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC000506046867 1071964200 /nfs/dbraw/zinc/96/42/00/1071964200.db2.gz DIGGBWYOZRLTBU-SWLSCSKDSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)[C@H]1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)[C@H](C(=O)OC)C1 ZINC000506046868 1071964154 /nfs/dbraw/zinc/96/41/54/1071964154.db2.gz DIGGBWYOZRLTBU-WFASDCNBSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)[C@@H](C(=O)OC)C1 ZINC000506048083 1071964588 /nfs/dbraw/zinc/96/45/88/1071964588.db2.gz UMRGJQMBLKYRJX-CHWSQXEVSA-N 0 0 438.481 -0.606 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)[C@H](C(=O)OC)C1 ZINC000506048084 1071964502 /nfs/dbraw/zinc/96/45/02/1071964502.db2.gz UMRGJQMBLKYRJX-OLZOCXBDSA-N 0 0 438.481 -0.606 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1CC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000506048085 1071964577 /nfs/dbraw/zinc/96/45/77/1071964577.db2.gz UMRGJQMBLKYRJX-QWHCGFSZSA-N 0 0 438.481 -0.606 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)[C@H](C(=O)OC)C1 ZINC000506048086 1071964571 /nfs/dbraw/zinc/96/45/71/1071964571.db2.gz UMRGJQMBLKYRJX-STQMWFEESA-N 0 0 438.481 -0.606 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)CCOCCO)cc2)C[C@H](C)O1 ZINC000506048939 1071965693 /nfs/dbraw/zinc/96/56/93/1071965693.db2.gz GOKHQHBEOKWRFY-GASCZTMLSA-N 0 0 443.522 -0.110 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)CCOCCO)cc2)C[C@H](C)O1 ZINC000506048940 1071965652 /nfs/dbraw/zinc/96/56/52/1071965652.db2.gz GOKHQHBEOKWRFY-GJZGRUSLSA-N 0 0 443.522 -0.110 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)CCOCCO)cc2)C[C@@H](C)O1 ZINC000506048941 1071965822 /nfs/dbraw/zinc/96/58/22/1071965822.db2.gz GOKHQHBEOKWRFY-HUUCEWRRSA-N 0 0 443.522 -0.110 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3ccc4nnc(C(F)(F)F)n4n3)CC2)CC1 ZINC000506051015 1071965265 /nfs/dbraw/zinc/96/52/65/1071965265.db2.gz HKROTEQMNRDKNB-UHFFFAOYSA-N 0 0 434.448 -0.243 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@@H](C(=O)OC)C1 ZINC000506052016 1071966421 /nfs/dbraw/zinc/96/64/21/1071966421.db2.gz PXWIGIMJUUPMPP-GDBMZVCRSA-N 0 0 441.506 -0.581 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1CC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000506052017 1071966382 /nfs/dbraw/zinc/96/63/82/1071966382.db2.gz PXWIGIMJUUPMPP-GOEBONIOSA-N 0 0 441.506 -0.581 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@H](C(=O)OC)C1 ZINC000506052018 1071966334 /nfs/dbraw/zinc/96/63/34/1071966334.db2.gz PXWIGIMJUUPMPP-HOCLYGCPSA-N 0 0 441.506 -0.581 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@H](C(=O)OC)C1 ZINC000506052019 1071966315 /nfs/dbraw/zinc/96/63/15/1071966315.db2.gz PXWIGIMJUUPMPP-ZBFHGGJFSA-N 0 0 441.506 -0.581 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C(=O)OC)C[C@H]1C(=O)OC)[C@H]1CCS(=O)(=O)C1 ZINC000506052500 1071965682 /nfs/dbraw/zinc/96/56/82/1071965682.db2.gz UNXLYYUPIPOGNK-KKUMJFAQSA-N 0 0 434.511 -0.925 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C(=O)OC)C[C@@H]1C(=O)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000506052501 1071965863 /nfs/dbraw/zinc/96/58/63/1071965863.db2.gz UNXLYYUPIPOGNK-RRFJBIMHSA-N 0 0 434.511 -0.925 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C(=O)OC)C[C@@H]1C(=O)OC)[C@H]1CCS(=O)(=O)C1 ZINC000506052502 1071965847 /nfs/dbraw/zinc/96/58/47/1071965847.db2.gz UNXLYYUPIPOGNK-SOUVJXGZSA-N 0 0 434.511 -0.925 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C(=O)OC)C[C@H]1C(=O)OC)[C@@H]1CCS(=O)(=O)C1 ZINC000506052503 1071965635 /nfs/dbraw/zinc/96/56/35/1071965635.db2.gz UNXLYYUPIPOGNK-ZNMIVQPWSA-N 0 0 434.511 -0.925 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000506053768 1071965882 /nfs/dbraw/zinc/96/58/82/1071965882.db2.gz NSONYDZPFIAFMY-UHFFFAOYSA-N 0 0 439.538 -0.185 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000506054170 1071967415 /nfs/dbraw/zinc/96/74/15/1071967415.db2.gz STWCFGCGOZZKQL-UHFFFAOYSA-N 0 0 437.522 -0.431 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000506061698 1071968063 /nfs/dbraw/zinc/96/80/63/1071968063.db2.gz PUMVVEUAORKBIB-KRWDZBQOSA-N 0 0 436.534 -0.305 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000506061699 1071968012 /nfs/dbraw/zinc/96/80/12/1071968012.db2.gz PUMVVEUAORKBIB-QGZVFWFLSA-N 0 0 436.534 -0.305 20 0 IBADRN O=C(NC1CC1)c1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000506062730 1071968495 /nfs/dbraw/zinc/96/84/95/1071968495.db2.gz CPCWYYGRMXYNGV-UHFFFAOYSA-N 0 0 443.504 -0.209 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CCOCCO)cc1S(=O)(=O)N1CCCC1 ZINC000506064261 1071968544 /nfs/dbraw/zinc/96/85/44/1071968544.db2.gz NSZSSYMUAVGLMJ-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000506064598 1071968745 /nfs/dbraw/zinc/96/87/45/1071968745.db2.gz QQNJXLPITGGHIW-UHFFFAOYSA-N 0 0 433.458 -0.748 20 0 IBADRN O=C(NCCOc1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000506064728 1071968735 /nfs/dbraw/zinc/96/87/35/1071968735.db2.gz QVUIIDHLSCSPLB-UHFFFAOYSA-N 0 0 442.494 -0.302 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2=O)c1 ZINC000506064793 1072483963 /nfs/dbraw/zinc/48/39/63/1072483963.db2.gz RRFKXMATLURNCN-FMKPAKJESA-N 0 0 435.502 -0.048 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2=O)c1 ZINC000506064794 1072483940 /nfs/dbraw/zinc/48/39/40/1072483940.db2.gz RRFKXMATLURNCN-IIAWOOMASA-N 0 0 435.502 -0.048 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2=O)c1 ZINC000506064795 1071969836 /nfs/dbraw/zinc/96/98/36/1071969836.db2.gz RRFKXMATLURNCN-LZWOXQAQSA-N 0 0 435.502 -0.048 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2=O)c1 ZINC000506064796 1071969788 /nfs/dbraw/zinc/96/97/88/1071969788.db2.gz RRFKXMATLURNCN-SQWLQELKSA-N 0 0 435.502 -0.048 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(C)CCOCCO)c2)CC1 ZINC000506064960 1071969820 /nfs/dbraw/zinc/96/98/20/1071969820.db2.gz RXTJOXWADOHRDK-UHFFFAOYSA-N 0 0 442.538 -0.581 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CCOCCO)ccc1S(=O)(=O)N1CCCC1 ZINC000506065365 1071969083 /nfs/dbraw/zinc/96/90/83/1071969083.db2.gz XZUILMOBLYBBPP-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCN(c3ccc(F)cc3)C2=O)CC1)N1CCOCC1 ZINC000506071959 1071971796 /nfs/dbraw/zinc/97/17/96/1071971796.db2.gz PBIMAYYNQNWLCD-KRWDZBQOSA-N 0 0 432.452 -0.292 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCN(c3ccc(F)cc3)C2=O)CC1)N1CCOCC1 ZINC000506071962 1071971742 /nfs/dbraw/zinc/97/17/42/1071971742.db2.gz PBIMAYYNQNWLCD-QGZVFWFLSA-N 0 0 432.452 -0.292 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000506072793 1071971607 /nfs/dbraw/zinc/97/16/07/1071971607.db2.gz UUVGPZNTTKRHJK-UHFFFAOYSA-N 0 0 434.493 -0.563 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)c3cnn(-c4ccccc4)c3)CC2)CC1 ZINC000506073408 1071972174 /nfs/dbraw/zinc/97/21/74/1071972174.db2.gz KXRJCBAIYKDQFV-UHFFFAOYSA-N 0 0 446.533 -0.309 20 0 IBADRN COc1ccc2c(c1)C[C@H](C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CO2 ZINC000506074155 1071972362 /nfs/dbraw/zinc/97/23/62/1071972362.db2.gz MWMYQIVBLPVDBP-KRWDZBQOSA-N 0 0 438.550 -0.117 20 0 IBADRN COc1ccc2c(c1)C[C@@H](C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CO2 ZINC000506074166 1071972313 /nfs/dbraw/zinc/97/23/13/1071972313.db2.gz MWMYQIVBLPVDBP-QGZVFWFLSA-N 0 0 438.550 -0.117 20 0 IBADRN Cc1ccc(C[C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000506075568 1071972297 /nfs/dbraw/zinc/97/22/97/1071972297.db2.gz QKGFIQCXJNOWRC-AWEZNQCLSA-N 0 0 436.534 -0.367 20 0 IBADRN Cc1ccc(C[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000506075569 1071972183 /nfs/dbraw/zinc/97/21/83/1071972183.db2.gz QKGFIQCXJNOWRC-CQSZACIVSA-N 0 0 436.534 -0.367 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000506076339 1071971719 /nfs/dbraw/zinc/97/17/19/1071971719.db2.gz AEGZCPWTNZJAJI-UHFFFAOYSA-N 0 0 449.913 -0.233 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(-c3ccncc3)cc2)CC1 ZINC000506077656 1071972966 /nfs/dbraw/zinc/97/29/66/1071972966.db2.gz XDUPAKQMCNNBEM-UHFFFAOYSA-N 0 0 434.460 -0.278 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CCC2 ZINC000506077923 1071971824 /nfs/dbraw/zinc/97/18/24/1071971824.db2.gz OBNKOGREPHFBPE-KRWDZBQOSA-N 0 0 439.586 -0.112 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CCC2 ZINC000506077926 1071971650 /nfs/dbraw/zinc/97/16/50/1071971650.db2.gz OBNKOGREPHFBPE-QGZVFWFLSA-N 0 0 439.586 -0.112 20 0 IBADRN Cc1ccc(C[C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000506078159 1071972273 /nfs/dbraw/zinc/97/22/73/1071972273.db2.gz YDWGANBUTSWGNX-GFCCVEGCSA-N 0 0 434.522 -0.407 20 0 IBADRN Cc1ccc(C[C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000506078160 1071972290 /nfs/dbraw/zinc/97/22/90/1071972290.db2.gz YDWGANBUTSWGNX-LBPRGKRZSA-N 0 0 434.522 -0.407 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CCOCCO ZINC000506080883 1071973048 /nfs/dbraw/zinc/97/30/48/1071973048.db2.gz RLWGLWIHYPLLBA-UHFFFAOYSA-N 0 0 445.494 -0.878 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000506082301 1071972914 /nfs/dbraw/zinc/97/29/14/1071972914.db2.gz WHCPZZOXRNPQBH-CYBMUJFWSA-N 0 0 432.524 -0.202 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000506082307 1071972895 /nfs/dbraw/zinc/97/28/95/1071972895.db2.gz WHCPZZOXRNPQBH-ZDUSSCGKSA-N 0 0 432.524 -0.202 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000506082992 1071973082 /nfs/dbraw/zinc/97/30/82/1071973082.db2.gz IRUGGYFACACQGA-UHFFFAOYSA-N 0 0 432.477 -0.094 20 0 IBADRN CNC(=O)NCCC(=O)Nc1cnn(C[C@@H]2CCCCN2C(=O)CCNC(=O)NC)c1 ZINC000506086095 1071973443 /nfs/dbraw/zinc/97/34/43/1071973443.db2.gz LGRVOSMYDIGQOX-HNNXBMFYSA-N 0 0 436.517 -0.159 20 0 IBADRN CNC(=O)NCCC(=O)Nc1cnn(C[C@H]2CCCCN2C(=O)CCNC(=O)NC)c1 ZINC000506086098 1071973425 /nfs/dbraw/zinc/97/34/25/1071973425.db2.gz LGRVOSMYDIGQOX-OAHLLOKOSA-N 0 0 436.517 -0.159 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)CCC2 ZINC000506088701 1071973369 /nfs/dbraw/zinc/97/33/69/1071973369.db2.gz DXRFHXVVTHITRB-INIZCTEOSA-N 0 0 426.543 -0.027 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)CCC2 ZINC000506088702 1071973351 /nfs/dbraw/zinc/97/33/51/1071973351.db2.gz DXRFHXVVTHITRB-MRXNPFEDSA-N 0 0 426.543 -0.027 20 0 IBADRN O=C(NCCOc1ccc2c(c1)OCO2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000506089607 1071973446 /nfs/dbraw/zinc/97/34/46/1071973446.db2.gz IRKGTJFZUVXMEH-UHFFFAOYSA-N 0 0 434.449 -0.493 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2=O)c1 ZINC000506092578 1071973406 /nfs/dbraw/zinc/97/34/06/1071973406.db2.gz UPUFJJLHFMOUBT-CYBMUJFWSA-N 0 0 440.460 -0.246 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2=O)c1 ZINC000506092579 1071973423 /nfs/dbraw/zinc/97/34/23/1071973423.db2.gz UPUFJJLHFMOUBT-ZDUSSCGKSA-N 0 0 440.460 -0.246 20 0 IBADRN Cn1cc(CN2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)c(=O)n(C)c1=O ZINC000506099450 1071974690 /nfs/dbraw/zinc/97/46/90/1071974690.db2.gz QPKHKVYDAWIHMI-UHFFFAOYSA-N 0 0 436.519 -0.405 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2=O)c1 ZINC000506105115 1071975146 /nfs/dbraw/zinc/97/51/46/1071975146.db2.gz JACRSVOQBKQHBP-GFCCVEGCSA-N 0 0 426.433 -0.636 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2=O)c1 ZINC000506105116 1071975123 /nfs/dbraw/zinc/97/51/23/1071975123.db2.gz JACRSVOQBKQHBP-LBPRGKRZSA-N 0 0 426.433 -0.636 20 0 IBADRN CN(CCOCCO)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000506105236 1071975233 /nfs/dbraw/zinc/97/52/33/1071975233.db2.gz JOSWRQAVPXWCAA-UHFFFAOYSA-N 0 0 445.513 -0.094 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000506113876 1071978674 /nfs/dbraw/zinc/97/86/74/1071978674.db2.gz PDDHEYBKLNTZBL-AWEZNQCLSA-N 0 0 435.506 -0.061 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000506113877 1071978730 /nfs/dbraw/zinc/97/87/30/1071978730.db2.gz PDDHEYBKLNTZBL-CQSZACIVSA-N 0 0 435.506 -0.061 20 0 IBADRN NS(=O)(=O)N1CCN(CCC(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000506119203 1071978601 /nfs/dbraw/zinc/97/86/01/1071978601.db2.gz RWQKNGFPLYYSEO-UHFFFAOYSA-N 0 0 445.567 -0.379 20 0 IBADRN NS(=O)(=O)N1CCN(CCC(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000506119902 1072485237 /nfs/dbraw/zinc/48/52/37/1072485237.db2.gz WQTSKKPXPTZVNQ-UHFFFAOYSA-N 0 0 445.567 -0.379 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2=O)c1 ZINC000506121192 1071981098 /nfs/dbraw/zinc/98/10/98/1071981098.db2.gz ULSIIGLOPNBAMS-GFCCVEGCSA-N 0 0 426.433 -0.636 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2=O)c1 ZINC000506121193 1071980918 /nfs/dbraw/zinc/98/09/18/1071980918.db2.gz ULSIIGLOPNBAMS-LBPRGKRZSA-N 0 0 426.433 -0.636 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000506121536 1071981023 /nfs/dbraw/zinc/98/10/23/1071981023.db2.gz HSSNZAZKPJVTPJ-HNNXBMFYSA-N 0 0 433.575 -0.026 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000506121537 1071980892 /nfs/dbraw/zinc/98/08/92/1071980892.db2.gz HSSNZAZKPJVTPJ-OAHLLOKOSA-N 0 0 433.575 -0.026 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)CC1 ZINC000506122895 1071981840 /nfs/dbraw/zinc/98/18/40/1071981840.db2.gz OMCXRAYSJFIZNT-UHFFFAOYSA-N 0 0 429.587 -0.196 20 0 IBADRN C[C@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000506123408 1071980569 /nfs/dbraw/zinc/98/05/69/1071980569.db2.gz SGGBLQMZDWXVDJ-RBSFLKMASA-N 0 0 433.575 -0.029 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CC1 ZINC000506124079 1071980377 /nfs/dbraw/zinc/98/03/77/1071980377.db2.gz FFCLXGKQIRQBOZ-UHFFFAOYSA-N 0 0 437.566 -0.377 20 0 IBADRN C[C@H](c1nc(N)nc(N(C)C)n1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000506124827 1071979823 /nfs/dbraw/zinc/97/98/23/1071979823.db2.gz XGEMYZDMFRRRSF-MGPQQGTHSA-N 0 0 428.563 -0.448 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000506125122 1071982171 /nfs/dbraw/zinc/98/21/71/1071982171.db2.gz BVSQWLRTJRTQPQ-UHFFFAOYSA-N 0 0 434.540 -0.602 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3cnc4cnccn34)CC2)cc1 ZINC000506127135 1071981768 /nfs/dbraw/zinc/98/17/68/1071981768.db2.gz YAPJKZRRXKKQOS-UHFFFAOYSA-N 0 0 436.519 -0.117 20 0 IBADRN CN(CCOCCO)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000506127342 1071981601 /nfs/dbraw/zinc/98/16/01/1071981601.db2.gz OZGOGHJCKICIOC-UHFFFAOYSA-N 0 0 427.523 -0.233 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N(C)CCOCCO)c1OC ZINC000506130174 1071983000 /nfs/dbraw/zinc/98/30/00/1071983000.db2.gz FATZXXRMUDBLKK-UHFFFAOYSA-N 0 0 432.495 -0.194 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000506132450 1071983013 /nfs/dbraw/zinc/98/30/13/1071983013.db2.gz UZADHQXMAZPDMI-BZUAXINKSA-N 0 0 445.586 -0.917 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000506132451 1071982988 /nfs/dbraw/zinc/98/29/88/1071982988.db2.gz UZADHQXMAZPDMI-OAGGEKHMSA-N 0 0 445.586 -0.917 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000506132452 1071982977 /nfs/dbraw/zinc/98/29/77/1071982977.db2.gz UZADHQXMAZPDMI-OWCLPIDISA-N 0 0 445.586 -0.917 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000506132453 1071982938 /nfs/dbraw/zinc/98/29/38/1071982938.db2.gz UZADHQXMAZPDMI-XHSDSOJGSA-N 0 0 445.586 -0.917 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000506134126 1071982916 /nfs/dbraw/zinc/98/29/16/1071982916.db2.gz DYYKGAPWBDOIEF-UHFFFAOYSA-N 0 0 430.508 -0.283 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(Cc3cn(C)c(=O)n(C)c3=O)CC2)c1 ZINC000506135107 1071983607 /nfs/dbraw/zinc/98/36/07/1071983607.db2.gz BOZDMWWCFNSLCW-UHFFFAOYSA-N 0 0 442.519 -0.561 20 0 IBADRN CN(C)c1ncc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000506136602 1071983366 /nfs/dbraw/zinc/98/33/66/1071983366.db2.gz MCUJPTNODJIAHB-UHFFFAOYSA-N 0 0 440.551 -0.304 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCCCN3CCCC3=O)CC2)C[C@H](C)O1 ZINC000506137261 1071983561 /nfs/dbraw/zinc/98/35/61/1071983561.db2.gz WDUYRSHVQWLWKE-CALCHBBNSA-N 0 0 445.586 -0.913 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCCCN3CCCC3=O)CC2)C[C@@H](C)O1 ZINC000506137262 1071983545 /nfs/dbraw/zinc/98/35/45/1071983545.db2.gz WDUYRSHVQWLWKE-IAGOWNOFSA-N 0 0 445.586 -0.913 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCCCN3CCCC3=O)CC2)C[C@H](C)O1 ZINC000506137263 1071983489 /nfs/dbraw/zinc/98/34/89/1071983489.db2.gz WDUYRSHVQWLWKE-IRXDYDNUSA-N 0 0 445.586 -0.913 20 0 IBADRN Cn1cc(CN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)c(=O)n(C)c1=O ZINC000506138150 1071983410 /nfs/dbraw/zinc/98/34/10/1071983410.db2.gz QFNXCTJSPPVZBL-UHFFFAOYSA-N 0 0 434.478 -0.828 20 0 IBADRN COC(=O)c1cc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)c[nH]1 ZINC000506138604 1071982776 /nfs/dbraw/zinc/98/27/76/1071982776.db2.gz SWDFYBDDPQUKRQ-UHFFFAOYSA-N 0 0 442.519 -0.045 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(Cc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000506138909 1071982807 /nfs/dbraw/zinc/98/28/07/1071982807.db2.gz VQNIOTPMYGFUTC-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCCC4)CC2)C[C@H](C)O1 ZINC000506143779 1071983584 /nfs/dbraw/zinc/98/35/84/1071983584.db2.gz KKXLFQDDWJPOSU-GASCZTMLSA-N 0 0 441.558 -0.204 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCCC4)CC2)C[C@H](C)O1 ZINC000506143786 1071983573 /nfs/dbraw/zinc/98/35/73/1071983573.db2.gz KKXLFQDDWJPOSU-GJZGRUSLSA-N 0 0 441.558 -0.204 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCCC4)CC2)C[C@@H](C)O1 ZINC000506143788 1071983473 /nfs/dbraw/zinc/98/34/73/1071983473.db2.gz KKXLFQDDWJPOSU-HUUCEWRRSA-N 0 0 441.558 -0.204 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCCN2C(=O)CCC2=O)CC1 ZINC000506144958 1071985503 /nfs/dbraw/zinc/98/55/03/1071985503.db2.gz XJUIXWMECYHUFB-UHFFFAOYSA-N 0 0 429.543 -0.028 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccccc2OCCO)CC1)N1CCCCC1 ZINC000506145409 1071985908 /nfs/dbraw/zinc/98/59/08/1071985908.db2.gz CGOFJOGSDHFUSY-UHFFFAOYSA-N 0 0 432.521 -0.169 20 0 IBADRN O=C(NCc1ccccc1OCCO)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000506145602 1071986102 /nfs/dbraw/zinc/98/61/02/1071986102.db2.gz AFXBSGOAGAKYFH-INIZCTEOSA-N 0 0 425.507 -0.300 20 0 IBADRN O=C(NCc1ccccc1OCCO)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000506145609 1071985801 /nfs/dbraw/zinc/98/58/01/1071985801.db2.gz AFXBSGOAGAKYFH-MRXNPFEDSA-N 0 0 425.507 -0.300 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)C(=O)NCc3ccccc3OCCO)C2)c1=O ZINC000506145853 1071986029 /nfs/dbraw/zinc/98/60/29/1071986029.db2.gz DZQVURSZSKRFNJ-INIZCTEOSA-N 0 0 429.477 -0.447 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)C(=O)NCc3ccccc3OCCO)C2)c1=O ZINC000506145854 1071985997 /nfs/dbraw/zinc/98/59/97/1071985997.db2.gz DZQVURSZSKRFNJ-MRXNPFEDSA-N 0 0 429.477 -0.447 20 0 IBADRN COCCn1cc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000506146194 1071984779 /nfs/dbraw/zinc/98/47/79/1071984779.db2.gz BTMNZKGTBOQFAO-UHFFFAOYSA-N 0 0 443.551 -0.317 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCc2ccccc2OCCO)CC1 ZINC000506147211 1071984848 /nfs/dbraw/zinc/98/48/48/1071984848.db2.gz IJQFVLBHIHQRRL-UHFFFAOYSA-N 0 0 439.476 -0.301 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000506147228 1071984666 /nfs/dbraw/zinc/98/46/66/1071984666.db2.gz INVOZWFRAXBVIF-UHFFFAOYSA-N 0 0 434.478 -0.272 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000506148016 1071984555 /nfs/dbraw/zinc/98/45/55/1071984555.db2.gz LKUBCVNIJQCDSY-HNNXBMFYSA-N 0 0 431.497 -0.270 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000506148017 1071984792 /nfs/dbraw/zinc/98/47/92/1071984792.db2.gz LKUBCVNIJQCDSY-OAHLLOKOSA-N 0 0 431.497 -0.270 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCCN2C(=O)CCC2=O)CC1 ZINC000506148042 1071984679 /nfs/dbraw/zinc/98/46/79/1071984679.db2.gz LRYFOJXOQMWHET-UHFFFAOYSA-N 0 0 427.483 -0.154 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)C(=O)NCc3ccccc3OCCO)CCO2)c1=O ZINC000506148275 1074350279 /nfs/dbraw/zinc/35/02/79/1074350279.db2.gz MBSGRPWCCJDSGO-INIZCTEOSA-N 0 0 445.476 -0.893 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)NCc3ccccc3OCCO)CCO2)c1=O ZINC000506148276 1074350193 /nfs/dbraw/zinc/35/01/93/1074350193.db2.gz MBSGRPWCCJDSGO-MRXNPFEDSA-N 0 0 445.476 -0.893 20 0 IBADRN O=C(NCc1ccccc1OCCO)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000506148833 1071987280 /nfs/dbraw/zinc/98/72/80/1071987280.db2.gz NLCRUZHFEHVRKM-UHFFFAOYSA-N 0 0 448.501 -0.398 20 0 IBADRN Cc1nn(CC(=O)OC(C)C)c(C)c1CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000506149503 1071986018 /nfs/dbraw/zinc/98/60/18/1071986018.db2.gz DHIXTMCKNKMSMD-UHFFFAOYSA-N 0 0 435.525 -0.045 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000506151786 1071986687 /nfs/dbraw/zinc/98/66/87/1071986687.db2.gz CWHKSSWJKQWVNP-UHFFFAOYSA-N 0 0 441.554 -0.425 20 0 IBADRN COCCOc1cc2c(cc1CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)OCO2 ZINC000506152297 1071987174 /nfs/dbraw/zinc/98/71/74/1071987174.db2.gz WPJIBFULTKIHPK-UHFFFAOYSA-N 0 0 435.477 -0.057 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000506153308 1071987975 /nfs/dbraw/zinc/98/79/75/1071987975.db2.gz NBJRJIQQUUEDMH-UHFFFAOYSA-N 0 0 428.511 -0.389 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000506155088 1071987846 /nfs/dbraw/zinc/98/78/46/1071987846.db2.gz CXDVEFBOZCSHEA-AWEZNQCLSA-N 0 0 434.540 -0.508 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000506155089 1071987822 /nfs/dbraw/zinc/98/78/22/1071987822.db2.gz CXDVEFBOZCSHEA-CQSZACIVSA-N 0 0 434.540 -0.508 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000506155447 1071987878 /nfs/dbraw/zinc/98/78/78/1071987878.db2.gz HGVUXNRLWQLBMW-UHFFFAOYSA-N 0 0 428.511 -0.341 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000506158886 1071988356 /nfs/dbraw/zinc/98/83/56/1071988356.db2.gz DARSSUGUGIFQJM-UHFFFAOYSA-N 0 0 438.506 -0.203 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2ccccc2OCCO)CC1 ZINC000506159601 1071989016 /nfs/dbraw/zinc/98/90/16/1071989016.db2.gz ISCOETWGXZAMLZ-UHFFFAOYSA-N 0 0 427.461 -0.483 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3ccccc3OCCO)CC2)nc1 ZINC000506160109 1071988536 /nfs/dbraw/zinc/98/85/36/1071988536.db2.gz NAYDXUHJGVSFLV-UHFFFAOYSA-N 0 0 427.461 -0.483 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NCc1ccccc1OCCO ZINC000506160240 1071988995 /nfs/dbraw/zinc/98/89/95/1071988995.db2.gz NPZXZTDKMXBFGR-INIZCTEOSA-N 0 0 427.523 -0.006 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NCc1ccccc1OCCO ZINC000506160241 1071989238 /nfs/dbraw/zinc/98/92/38/1071989238.db2.gz NPZXZTDKMXBFGR-MRXNPFEDSA-N 0 0 427.523 -0.006 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCc3ccccc3OCCO)CC2)CC1 ZINC000506162157 1071989102 /nfs/dbraw/zinc/98/91/02/1071989102.db2.gz ZBQGURIKBMRWGI-UHFFFAOYSA-N 0 0 432.521 -0.314 20 0 IBADRN Cn1nnnc1CS(=O)(=O)CC(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000506162605 1071989770 /nfs/dbraw/zinc/98/97/70/1071989770.db2.gz NDMCKDOBHPTNBA-UHFFFAOYSA-N 0 0 442.523 -0.062 20 0 IBADRN O=C([C@@H]1CCN(c2ccc(F)cc2)C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000506163265 1071989184 /nfs/dbraw/zinc/98/91/84/1071989184.db2.gz JJAURFIHFOIZPV-KRWDZBQOSA-N 0 0 440.497 -0.100 20 0 IBADRN O=C([C@H]1CCN(c2ccc(F)cc2)C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000506163266 1071988977 /nfs/dbraw/zinc/98/89/77/1071988977.db2.gz JJAURFIHFOIZPV-QGZVFWFLSA-N 0 0 440.497 -0.100 20 0 IBADRN O=C(NCc1ccccc1OCCO)C(=O)N1CCN(c2ccc3nncn3n2)CC1 ZINC000506163862 1071989076 /nfs/dbraw/zinc/98/90/76/1071989076.db2.gz UTXBJAYSESRCRQ-UHFFFAOYSA-N 0 0 425.449 -0.540 20 0 IBADRN O=C(c1cnn2c1[nH]c(=O)c1ccccc12)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000506164511 1071990186 /nfs/dbraw/zinc/99/01/86/1071990186.db2.gz RYJZNACOWGSKMC-UHFFFAOYSA-N 0 0 446.489 -0.489 20 0 IBADRN COCCNC(=O)CN1CCN(Cc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000506164774 1071989213 /nfs/dbraw/zinc/98/92/13/1071989213.db2.gz UNSHXTJMDSHUJN-UHFFFAOYSA-N 0 0 440.566 -0.412 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@@H]3C(=O)Nc3nccs3)c2c(=O)n(C)c1=O ZINC000506165007 1071989175 /nfs/dbraw/zinc/98/91/75/1071989175.db2.gz RSWPEQNXQXTNEB-LLVKDONJSA-N 0 0 431.478 -0.090 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@H]3C(=O)Nc3nccs3)c2c(=O)n(C)c1=O ZINC000506165010 1071989055 /nfs/dbraw/zinc/98/90/55/1071989055.db2.gz RSWPEQNXQXTNEB-NSHDSACASA-N 0 0 431.478 -0.090 20 0 IBADRN CCNC(=O)COc1ccc(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000506165291 1071990237 /nfs/dbraw/zinc/99/02/37/1071990237.db2.gz TWBPTSWDVKIENQ-UHFFFAOYSA-N 0 0 448.520 -0.287 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000506167242 1071991637 /nfs/dbraw/zinc/99/16/37/1071991637.db2.gz UTZODXKTUCMPHE-UHFFFAOYSA-N 0 0 448.567 -0.212 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccccc2OCCO)CC1 ZINC000506168295 1071993662 /nfs/dbraw/zinc/99/36/62/1071993662.db2.gz JIZSJQQBOJXGJL-KRWDZBQOSA-N 0 0 448.520 -0.935 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccccc2OCCO)CC1 ZINC000506168296 1071993767 /nfs/dbraw/zinc/99/37/67/1071993767.db2.gz JIZSJQQBOJXGJL-QGZVFWFLSA-N 0 0 448.520 -0.935 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCc2ccccc2OCCO)CC1 ZINC000506176287 1071995944 /nfs/dbraw/zinc/99/59/44/1071995944.db2.gz WRTIKSBNABHYNK-KRWDZBQOSA-N 0 0 432.521 -0.171 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCc2ccccc2OCCO)CC1 ZINC000506176288 1071995861 /nfs/dbraw/zinc/99/58/61/1071995861.db2.gz WRTIKSBNABHYNK-QGZVFWFLSA-N 0 0 432.521 -0.171 20 0 IBADRN Cn1nnnc1CS(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000506177205 1071996585 /nfs/dbraw/zinc/99/65/85/1071996585.db2.gz MLZHIPNFKOMYDU-UHFFFAOYSA-N 0 0 428.496 -0.452 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2OCCO)CCN1c1nccn2cnnc12 ZINC000506179756 1071996650 /nfs/dbraw/zinc/99/66/50/1071996650.db2.gz UJIWEAHCYCVWTO-HNNXBMFYSA-N 0 0 439.476 -0.151 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2OCCO)CCN1c1nccn2cnnc12 ZINC000506179757 1071996678 /nfs/dbraw/zinc/99/66/78/1071996678.db2.gz UJIWEAHCYCVWTO-OAHLLOKOSA-N 0 0 439.476 -0.151 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCc2ccccc2OCCO)C1=O ZINC000506183534 1071997722 /nfs/dbraw/zinc/99/77/22/1071997722.db2.gz RBRSMRPABPTEQB-INIZCTEOSA-N 0 0 429.477 -0.285 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCc2ccccc2OCCO)C1=O ZINC000506183535 1071997777 /nfs/dbraw/zinc/99/77/77/1071997777.db2.gz RBRSMRPABPTEQB-MRXNPFEDSA-N 0 0 429.477 -0.285 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)NCc3ccccc3OCCO)CC(=O)N2C)cnn1C ZINC000506186554 1071998273 /nfs/dbraw/zinc/99/82/73/1071998273.db2.gz AVXSYYIRFIBVQC-APWZRJJASA-N 0 0 429.477 -0.196 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3ccccc3OCCO)CC2)n1 ZINC000506187663 1071999666 /nfs/dbraw/zinc/99/96/66/1071999666.db2.gz CUMIOIPDQBPZKN-UHFFFAOYSA-N 0 0 433.465 -0.452 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)C(=O)NCc4ccccc4OCCO)CC3)n2n1 ZINC000506188146 1071998246 /nfs/dbraw/zinc/99/82/46/1071998246.db2.gz FRHGNMCUKJTQBO-UHFFFAOYSA-N 0 0 439.476 -0.231 20 0 IBADRN CN(Cc1nccc(N)n1)[C@H]1CCN(C(=O)C(=O)NCc2ccccc2OCCO)C1 ZINC000506190264 1071999545 /nfs/dbraw/zinc/99/95/45/1071999545.db2.gz NNFZCZWTNZHIPS-INIZCTEOSA-N 0 0 428.493 -0.221 20 0 IBADRN CN(Cc1nccc(N)n1)[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2OCCO)C1 ZINC000506190270 1071999531 /nfs/dbraw/zinc/99/95/31/1071999531.db2.gz NNFZCZWTNZHIPS-MRXNPFEDSA-N 0 0 428.493 -0.221 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCC(=O)N[C@H]1CCOc2ccccc21 ZINC000506199323 1072002963 /nfs/dbraw/zinc/00/29/63/1072002963.db2.gz HIGKANHOYCNKBY-HNNXBMFYSA-N 0 0 440.522 -0.423 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCC(=O)N[C@@H]1CCOc2ccccc21 ZINC000506199328 1072002808 /nfs/dbraw/zinc/00/28/08/1072002808.db2.gz HIGKANHOYCNKBY-OAHLLOKOSA-N 0 0 440.522 -0.423 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3ccccc3OCCO)CC2)no1 ZINC000506200469 1072002953 /nfs/dbraw/zinc/00/29/53/1072002953.db2.gz XBSFBWMZXQNNCO-UHFFFAOYSA-N 0 0 445.476 -0.247 20 0 IBADRN Cn1cncc1[C@H]1[C@H](C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CCC(=O)N1C1CC1 ZINC000506202937 1072002824 /nfs/dbraw/zinc/00/28/24/1072002824.db2.gz DSCWBZVOVVRKDZ-VQIMIIECSA-N 0 0 442.520 -0.188 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H]2CCOc3ccccc32)o1 ZINC000506207574 1072004576 /nfs/dbraw/zinc/00/45/76/1072004576.db2.gz LZEHISNZPJDGNM-GFCCVEGCSA-N 0 0 436.446 -0.587 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H]2CCOc3ccccc32)o1 ZINC000506207581 1072004306 /nfs/dbraw/zinc/00/43/06/1072004306.db2.gz LZEHISNZPJDGNM-LBPRGKRZSA-N 0 0 436.446 -0.587 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N[C@H]1CCOc2ccccc21 ZINC000506209823 1072006807 /nfs/dbraw/zinc/00/68/07/1072006807.db2.gz SVRBQMDMNUJETM-FMKPAKJESA-N 0 0 435.502 -0.222 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N[C@@H]1CCOc2ccccc21 ZINC000506209827 1072006724 /nfs/dbraw/zinc/00/67/24/1072006724.db2.gz SVRBQMDMNUJETM-IIAWOOMASA-N 0 0 435.502 -0.222 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N[C@@H]1CCOc2ccccc21 ZINC000506209828 1072006951 /nfs/dbraw/zinc/00/69/51/1072006951.db2.gz SVRBQMDMNUJETM-IJEWVQPXSA-N 0 0 435.502 -0.222 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N[C@H]1CCOc2ccccc21 ZINC000506209829 1072006955 /nfs/dbraw/zinc/00/69/55/1072006955.db2.gz SVRBQMDMNUJETM-YCPHGPKFSA-N 0 0 435.502 -0.222 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](NC(=O)CCCc2ccccc2)C1)S(N)(=O)=O ZINC000506211493 1072006294 /nfs/dbraw/zinc/00/62/94/1072006294.db2.gz SNDXLSZDIUBION-DOTOQJQBSA-N 0 0 438.550 -0.090 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](NC(=O)CCCc2ccccc2)C1)S(N)(=O)=O ZINC000506211494 1072006349 /nfs/dbraw/zinc/00/63/49/1072006349.db2.gz SNDXLSZDIUBION-NVXWUHKLSA-N 0 0 438.550 -0.090 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](NC(=O)CCCc2ccccc2)C1)S(N)(=O)=O ZINC000506211495 1072006436 /nfs/dbraw/zinc/00/64/36/1072006436.db2.gz SNDXLSZDIUBION-RDJZCZTQSA-N 0 0 438.550 -0.090 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](NC(=O)CCCc2ccccc2)C1)S(N)(=O)=O ZINC000506211496 1072006360 /nfs/dbraw/zinc/00/63/60/1072006360.db2.gz SNDXLSZDIUBION-WBVHZDCISA-N 0 0 438.550 -0.090 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(C(=O)NC)n(C)c1 ZINC000506215179 1072008306 /nfs/dbraw/zinc/00/83/06/1072008306.db2.gz NFHVZYSTYJYFGQ-AWEZNQCLSA-N 0 0 434.584 -0.096 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(C(=O)NC)n(C)c1 ZINC000506215180 1072008192 /nfs/dbraw/zinc/00/81/92/1072008192.db2.gz NFHVZYSTYJYFGQ-CQSZACIVSA-N 0 0 434.584 -0.096 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000506215583 1072007299 /nfs/dbraw/zinc/00/72/99/1072007299.db2.gz OKUKXJAFAXPBFE-UHFFFAOYSA-N 0 0 434.522 -0.317 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCO[C@@]4(CCCOC4)C3)ccn2)CC1 ZINC000506217679 1072008774 /nfs/dbraw/zinc/00/87/74/1072008774.db2.gz UFMNGJLHDONWAE-NRFANRHFSA-N 0 0 431.493 -0.184 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCO[C@]4(CCCOC4)C3)ccn2)CC1 ZINC000506217680 1072008602 /nfs/dbraw/zinc/00/86/02/1072008602.db2.gz UFMNGJLHDONWAE-OAQYLSRUSA-N 0 0 431.493 -0.184 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)CC1 ZINC000506217966 1072008489 /nfs/dbraw/zinc/00/84/89/1072008489.db2.gz YGQNEPDGYOJHRS-UHFFFAOYSA-N 0 0 433.557 -0.033 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000506220296 1072009296 /nfs/dbraw/zinc/00/92/96/1072009296.db2.gz ORJJTXJNLIIVRB-UHFFFAOYSA-N 0 0 448.505 -0.866 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@@]4(CCCOC4)C3)cn2)C[C@H](C)O1 ZINC000506227076 1072010419 /nfs/dbraw/zinc/01/04/19/1072010419.db2.gz ZCMZIPGRFXWPOO-MRUHUIDDSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@]4(CCCOC4)C3)cn2)C[C@H](C)O1 ZINC000506227077 1072010377 /nfs/dbraw/zinc/01/03/77/1072010377.db2.gz ZCMZIPGRFXWPOO-VWKPWSFCSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@]4(CCCOC4)C3)cn2)C[C@@H](C)O1 ZINC000506227078 1072010390 /nfs/dbraw/zinc/01/03/90/1072010390.db2.gz ZCMZIPGRFXWPOO-WHSLLNHNSA-N 0 0 449.508 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@@]4(CCCOC4)C3)cn2)C[C@@H](C)O1 ZINC000506227279 1072011442 /nfs/dbraw/zinc/01/14/42/1072011442.db2.gz ZCMZIPGRFXWPOO-ZOCZFRKYSA-N 0 0 449.508 -0.135 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCCn3nc4n(c3=O)CCCC4)cnc2n(C)c1=O ZINC000506229296 1072010834 /nfs/dbraw/zinc/01/08/34/1072010834.db2.gz BJAPCJXCTVUENQ-UHFFFAOYSA-N 0 0 428.453 -0.462 20 0 IBADRN O=C1CCC(=O)N1CCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000506229964 1072010397 /nfs/dbraw/zinc/01/03/97/1072010397.db2.gz DKXNBTBXTFAWPE-UHFFFAOYSA-N 0 0 443.425 -0.046 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCCn1nc2n(c1=O)CCCC2 ZINC000506233259 1072010928 /nfs/dbraw/zinc/01/09/28/1072010928.db2.gz MQJKWERSBSVHDF-UHFFFAOYSA-N 0 0 433.494 -0.258 20 0 IBADRN O=C1CCC(=O)N1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000506236802 1072011332 /nfs/dbraw/zinc/01/13/32/1072011332.db2.gz XZAPJQIBGFATKH-UHFFFAOYSA-N 0 0 431.492 -0.865 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000506239640 1072012233 /nfs/dbraw/zinc/01/22/33/1072012233.db2.gz ILWOFTBPHNWFDH-UHFFFAOYSA-N 0 0 429.480 -0.323 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCCOC3)C2)cc1 ZINC000506240601 1072011813 /nfs/dbraw/zinc/01/18/13/1072011813.db2.gz OCYJHOBAQLIRSY-IBGZPJMESA-N 0 0 441.506 -0.042 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@]3(CCCOC3)C2)cc1 ZINC000506240602 1072011932 /nfs/dbraw/zinc/01/19/32/1072011932.db2.gz OCYJHOBAQLIRSY-LJQANCHMSA-N 0 0 441.506 -0.042 20 0 IBADRN CN(CCS(=O)(=O)N1CCC2(CC1)NC(=O)NC2=O)S(=O)(=O)c1ccc(F)cc1 ZINC000506241385 1072011787 /nfs/dbraw/zinc/01/17/87/1072011787.db2.gz UHPHHUJWAKIANM-UHFFFAOYSA-N 0 0 448.498 -0.550 20 0 IBADRN CCOC(=O)c1cnn(C2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)c1C ZINC000506241732 1072011957 /nfs/dbraw/zinc/01/19/57/1072011957.db2.gz WLMMIRBSNWQYKV-LLVKDONJSA-N 0 0 429.499 -0.675 20 0 IBADRN CCOC(=O)c1cnn(C2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)c1C ZINC000506241733 1072011734 /nfs/dbraw/zinc/01/17/34/1072011734.db2.gz WLMMIRBSNWQYKV-NSHDSACASA-N 0 0 429.499 -0.675 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)NC1CC1 ZINC000506241834 1072011800 /nfs/dbraw/zinc/01/18/00/1072011800.db2.gz XQQFRSBXXXUFJL-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@@]3(CCCOC3)C2)cc1 ZINC000506243505 1072012310 /nfs/dbraw/zinc/01/23/10/1072012310.db2.gz ZBYXHNVZWXORQJ-NRFANRHFSA-N 0 0 433.461 -0.135 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@]3(CCCOC3)C2)cc1 ZINC000506243506 1072012339 /nfs/dbraw/zinc/01/23/39/1072012339.db2.gz ZBYXHNVZWXORQJ-OAQYLSRUSA-N 0 0 433.461 -0.135 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000506244155 1072011851 /nfs/dbraw/zinc/01/18/51/1072011851.db2.gz UJLZVUNCGCTIOB-UHFFFAOYSA-N 0 0 433.513 -0.753 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000506247607 1072012800 /nfs/dbraw/zinc/01/28/00/1072012800.db2.gz TYNFGGUCPSWMOE-LLVKDONJSA-N 0 0 436.487 -0.499 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000506247613 1072012592 /nfs/dbraw/zinc/01/25/92/1072012592.db2.gz TYNFGGUCPSWMOE-NSHDSACASA-N 0 0 436.487 -0.499 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000506250296 1072012200 /nfs/dbraw/zinc/01/22/00/1072012200.db2.gz PLEQIXKMSFIQBO-UHFFFAOYSA-N 0 0 440.512 -0.020 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000506253136 1072012897 /nfs/dbraw/zinc/01/28/97/1072012897.db2.gz JQZINLPWBDUZSO-UHFFFAOYSA-N 0 0 449.537 -0.118 20 0 IBADRN Cc1csc([C@@H]2CN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCO2)n1 ZINC000506253256 1073256270 /nfs/dbraw/zinc/25/62/70/1073256270.db2.gz HPTIPIOVLOFELQ-KRWDZBQOSA-N 0 0 437.566 -0.172 20 0 IBADRN Cc1csc([C@H]2CN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CCO2)n1 ZINC000506253257 1073256291 /nfs/dbraw/zinc/25/62/91/1073256291.db2.gz HPTIPIOVLOFELQ-QGZVFWFLSA-N 0 0 437.566 -0.172 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)CC1 ZINC000506260567 1072013345 /nfs/dbraw/zinc/01/33/45/1072013345.db2.gz RRVZLDZJNPIQBQ-UHFFFAOYSA-N 0 0 428.493 -0.742 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)CC1 ZINC000506261904 1072013236 /nfs/dbraw/zinc/01/32/36/1072013236.db2.gz ASQGYSUTYINLDM-UHFFFAOYSA-N 0 0 428.493 -0.742 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000506263244 1072013223 /nfs/dbraw/zinc/01/32/23/1072013223.db2.gz IPURGCVIUUKSON-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000506263610 1072013878 /nfs/dbraw/zinc/01/38/78/1072013878.db2.gz KRDJHABSHPMNSS-UHFFFAOYSA-N 0 0 448.567 -0.428 20 0 IBADRN Cc1cccc(N2CCN(CCCNS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c1 ZINC000506270402 1072014528 /nfs/dbraw/zinc/01/45/28/1072014528.db2.gz NOOSJLXWXNFONC-UHFFFAOYSA-N 0 0 435.550 -0.117 20 0 IBADRN CN(CCCNC(=O)CN1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)S(C)(=O)=O ZINC000506277075 1072014996 /nfs/dbraw/zinc/01/49/96/1072014996.db2.gz IZDWCNKWAOVDEA-AWEZNQCLSA-N 0 0 441.514 -0.759 20 0 IBADRN CN(CCCNC(=O)CN1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)S(C)(=O)=O ZINC000506277076 1072014861 /nfs/dbraw/zinc/01/48/61/1072014861.db2.gz IZDWCNKWAOVDEA-CQSZACIVSA-N 0 0 441.514 -0.759 20 0 IBADRN COC(=O)C1(NC(=O)CNC(=O)c2cnc3ccccc3c2O)CCS(=O)(=O)CC1 ZINC000506292513 1072017056 /nfs/dbraw/zinc/01/70/56/1072017056.db2.gz ZYVNTFCEDVUVRE-UHFFFAOYSA-N 0 0 435.458 -0.506 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)c(=O)[nH]c1=O ZINC000506297316 1072018066 /nfs/dbraw/zinc/01/80/66/1072018066.db2.gz FPGVIHQZMMOVQS-GFCCVEGCSA-N 0 0 444.452 -0.019 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)c(=O)[nH]c1=O ZINC000506297319 1072018189 /nfs/dbraw/zinc/01/81/89/1072018189.db2.gz FPGVIHQZMMOVQS-LBPRGKRZSA-N 0 0 444.452 -0.019 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)s1 ZINC000506297835 1072017589 /nfs/dbraw/zinc/01/75/89/1072017589.db2.gz AAOQEDRBAWNAHC-UHFFFAOYSA-N 0 0 438.531 -0.015 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000506299375 1072017710 /nfs/dbraw/zinc/01/77/10/1072017710.db2.gz GLTGOHKKYPQOBT-UHFFFAOYSA-N 0 0 448.501 -0.244 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)c(=O)[nH]c1=O ZINC000506304612 1072018134 /nfs/dbraw/zinc/01/81/34/1072018134.db2.gz BOJYWMIIAATXFY-LLVKDONJSA-N 0 0 430.425 -0.409 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)c(=O)[nH]c1=O ZINC000506304613 1072018055 /nfs/dbraw/zinc/01/80/55/1072018055.db2.gz BOJYWMIIAATXFY-NSHDSACASA-N 0 0 430.425 -0.409 20 0 IBADRN COC(=O)C1(NC(=O)CNS(=O)(=O)c2ccc(Cl)s2)CCS(=O)(=O)CC1 ZINC000506317182 1072019175 /nfs/dbraw/zinc/01/91/75/1072019175.db2.gz KMGHOADETJRPDL-UHFFFAOYSA-N 0 0 444.940 -0.084 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CCS(=O)(=O)CC1 ZINC000506317846 1072018789 /nfs/dbraw/zinc/01/87/89/1072018789.db2.gz NKQSLSANFAZCEV-UHFFFAOYSA-N 0 0 447.469 -0.140 20 0 IBADRN COC(=O)C1(NC(=O)CCNS(=O)(=O)c2ccc(C)cc2)CCS(=O)(=O)CC1 ZINC000506318408 1072019044 /nfs/dbraw/zinc/01/90/44/1072019044.db2.gz RFGVGTXOYQZESM-UHFFFAOYSA-N 0 0 432.520 -0.100 20 0 IBADRN COC(=O)C1(NC(=O)[C@H](C)NS(=O)(=O)c2ccccc2F)CCS(=O)(=O)CC1 ZINC000506318700 1072019084 /nfs/dbraw/zinc/01/90/84/1072019084.db2.gz TWZFPHYXMGIFPS-NSHDSACASA-N 0 0 436.483 -0.271 20 0 IBADRN COC(=O)C1(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(C)cc2)CCS(=O)(=O)CC1 ZINC000506318958 1072018427 /nfs/dbraw/zinc/01/84/27/1072018427.db2.gz XGQILOSXKJQNGN-ZDUSSCGKSA-N 0 0 432.520 -0.102 20 0 IBADRN COC(=O)C1(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)CCS(=O)(=O)CC1 ZINC000506319637 1072020355 /nfs/dbraw/zinc/02/03/55/1072020355.db2.gz BQXNZIHRHNKLKG-LBPRGKRZSA-N 0 0 448.519 -0.401 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)CC1 ZINC000506321513 1072019770 /nfs/dbraw/zinc/01/97/70/1072019770.db2.gz QEBCKXFMQVOLPF-INIZCTEOSA-N 0 0 446.512 -0.924 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)CC1 ZINC000506321514 1072019895 /nfs/dbraw/zinc/01/98/95/1072019895.db2.gz QEBCKXFMQVOLPF-MRXNPFEDSA-N 0 0 446.512 -0.924 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000506324993 1072021662 /nfs/dbraw/zinc/02/16/62/1072021662.db2.gz LATXDHBBBPNBHX-CYBMUJFWSA-N 0 0 437.522 -0.212 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000506324999 1072021719 /nfs/dbraw/zinc/02/17/19/1072021719.db2.gz LATXDHBBBPNBHX-ZDUSSCGKSA-N 0 0 437.522 -0.212 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000506326375 1072021068 /nfs/dbraw/zinc/02/10/68/1072021068.db2.gz MCFIMUHWRFUNNS-CYBMUJFWSA-N 0 0 436.498 -0.804 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000506326376 1072020706 /nfs/dbraw/zinc/02/07/06/1072020706.db2.gz MCFIMUHWRFUNNS-ZDUSSCGKSA-N 0 0 436.498 -0.804 20 0 IBADRN COC(=O)C1(NC(=O)CCNS(=O)(=O)c2ccc(F)cc2)CCS(=O)(=O)CC1 ZINC000506329222 1072021539 /nfs/dbraw/zinc/02/15/39/1072021539.db2.gz PXIGRPMEJRBJFF-UHFFFAOYSA-N 0 0 436.483 -0.269 20 0 IBADRN COC(=O)C1(NC(=O)CCNS(=O)(=O)c2ccccc2F)CCS(=O)(=O)CC1 ZINC000506329494 1072022753 /nfs/dbraw/zinc/02/27/53/1072022753.db2.gz QWGSCVSWBGXARI-UHFFFAOYSA-N 0 0 436.483 -0.269 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)nn1 ZINC000506334055 1072023728 /nfs/dbraw/zinc/02/37/28/1072023728.db2.gz HAVFEAUDMXXYHM-UHFFFAOYSA-N 0 0 436.903 -0.056 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000506341667 1072023595 /nfs/dbraw/zinc/02/35/95/1072023595.db2.gz TXQKMZCFMHJUFX-UHFFFAOYSA-N 0 0 432.568 -0.498 20 0 IBADRN NC(=O)c1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)on1 ZINC000506343235 1072024112 /nfs/dbraw/zinc/02/41/12/1072024112.db2.gz ZAZPNEGCUXOVJE-UHFFFAOYSA-N 0 0 448.461 -0.516 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CC1 ZINC000506343737 1072026869 /nfs/dbraw/zinc/02/68/69/1072026869.db2.gz XRIUTCFTLVFQCJ-UHFFFAOYSA-N 0 0 449.577 -0.104 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000506345064 1072024863 /nfs/dbraw/zinc/02/48/63/1072024863.db2.gz DGCPUHYWMINRRN-GFCCVEGCSA-N 0 0 448.548 -0.445 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000506345065 1072025107 /nfs/dbraw/zinc/02/51/07/1072025107.db2.gz DGCPUHYWMINRRN-LBPRGKRZSA-N 0 0 448.548 -0.445 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000506347983 1072026066 /nfs/dbraw/zinc/02/60/66/1072026066.db2.gz QQPCHKNPSZANGQ-UHFFFAOYSA-N 0 0 449.551 -0.038 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000506351122 1072027185 /nfs/dbraw/zinc/02/71/85/1072027185.db2.gz KRBMXKJEQAFYMI-UHFFFAOYSA-N 0 0 435.524 -0.428 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000506373612 1072030743 /nfs/dbraw/zinc/03/07/43/1072030743.db2.gz WLVXQSMLURJRPI-UHFFFAOYSA-N 0 0 434.359 -0.156 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCc2cc(C(N)=O)no2)CC1 ZINC000506374674 1072030609 /nfs/dbraw/zinc/03/06/09/1072030609.db2.gz FJEGBANAGHRTLF-UHFFFAOYSA-N 0 0 436.494 -0.291 20 0 IBADRN CC(=O)N1CCCc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc21 ZINC000506377373 1072031363 /nfs/dbraw/zinc/03/13/63/1072031363.db2.gz DLHVISIAYWCDMY-UHFFFAOYSA-N 0 0 441.488 -0.126 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC(=O)Nc3ccc(Cl)cc3)cnc2n(C)c1=O ZINC000506378456 1072031316 /nfs/dbraw/zinc/03/13/16/1072031316.db2.gz DXYFLNJPPIHGSI-UHFFFAOYSA-N 0 0 444.835 -0.021 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCN(C(=O)c2ccc(Br)cc2)CC1 ZINC000506378736 1072030699 /nfs/dbraw/zinc/03/06/99/1072030699.db2.gz ABWSMOUMUZDCRJ-UHFFFAOYSA-N 0 0 425.283 -0.014 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCF ZINC000506379354 1072031242 /nfs/dbraw/zinc/03/12/42/1072031242.db2.gz BZZOCZLNXQGTCZ-LLVKDONJSA-N 0 0 448.448 -0.625 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCF ZINC000506379355 1072031299 /nfs/dbraw/zinc/03/12/99/1072031299.db2.gz BZZOCZLNXQGTCZ-NSHDSACASA-N 0 0 448.448 -0.625 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000506379839 1072031678 /nfs/dbraw/zinc/03/16/78/1072031678.db2.gz CUIXIOCFBYZSLV-UHFFFAOYSA-N 0 0 442.538 -0.581 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)cn1 ZINC000506385565 1072031267 /nfs/dbraw/zinc/03/12/67/1072031267.db2.gz ZNEHJAYISAIOTB-UHFFFAOYSA-N 0 0 438.462 -0.819 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000506388248 1072033533 /nfs/dbraw/zinc/03/35/33/1072033533.db2.gz YFSUYAYHKAQANV-UHFFFAOYSA-N 0 0 429.495 -0.497 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000506391044 1072033022 /nfs/dbraw/zinc/03/30/22/1072033022.db2.gz ZRNPFPIICJXVMD-UHFFFAOYSA-N 0 0 429.495 -0.497 20 0 IBADRN NC(=O)c1cc(CNC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)on1 ZINC000506391494 1072032964 /nfs/dbraw/zinc/03/29/64/1072032964.db2.gz FWUZOINOQCZCSQ-CYBMUJFWSA-N 0 0 430.469 -0.132 20 0 IBADRN NC(=O)c1cc(CNC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)on1 ZINC000506391495 1072032912 /nfs/dbraw/zinc/03/29/12/1072032912.db2.gz FWUZOINOQCZCSQ-ZDUSSCGKSA-N 0 0 430.469 -0.132 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000506392105 1072033067 /nfs/dbraw/zinc/03/30/67/1072033067.db2.gz NSZXMUJXGOGQNR-UHFFFAOYSA-N 0 0 433.552 -0.304 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(=O)NCCF ZINC000506393056 1072033061 /nfs/dbraw/zinc/03/30/61/1072033061.db2.gz UOOMAWRMXDLBED-CYBMUJFWSA-N 0 0 443.501 -0.848 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(=O)NCCF ZINC000506393057 1072032877 /nfs/dbraw/zinc/03/28/77/1072032877.db2.gz UOOMAWRMXDLBED-ZDUSSCGKSA-N 0 0 443.501 -0.848 20 0 IBADRN CC(C)n1ncc2c1C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)CC2 ZINC000506393731 1072033421 /nfs/dbraw/zinc/03/34/21/1072033421.db2.gz GAVUEQRVYFUYCP-INIZCTEOSA-N 0 0 430.509 -0.613 20 0 IBADRN CC(C)n1ncc2c1C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)CC2 ZINC000506393732 1072033448 /nfs/dbraw/zinc/03/34/48/1072033448.db2.gz GAVUEQRVYFUYCP-MRXNPFEDSA-N 0 0 430.509 -0.613 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1)CC2 ZINC000506393927 1072033555 /nfs/dbraw/zinc/03/35/55/1072033555.db2.gz XXLFTAICAJXYBG-NEPJUHHUSA-N 0 0 429.524 -0.065 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1)CC2 ZINC000506393928 1072033543 /nfs/dbraw/zinc/03/35/43/1072033543.db2.gz XXLFTAICAJXYBG-NWDGAFQWSA-N 0 0 429.524 -0.065 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1)CC2 ZINC000506393929 1072033570 /nfs/dbraw/zinc/03/35/70/1072033570.db2.gz XXLFTAICAJXYBG-RYUDHWBXSA-N 0 0 429.524 -0.065 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1)CC2 ZINC000506393930 1072033561 /nfs/dbraw/zinc/03/35/61/1072033561.db2.gz XXLFTAICAJXYBG-VXGBXAGGSA-N 0 0 429.524 -0.065 20 0 IBADRN Cn1c(N)c(C(=O)CSCCOCCN2C(=O)c3ccccc3C2=O)c(=O)n(C)c1=O ZINC000506394248 1072496158 /nfs/dbraw/zinc/49/61/58/1072496158.db2.gz ITJWNYFBWHJXQY-UHFFFAOYSA-N 0 0 446.485 -0.105 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)c1 ZINC000506394886 1072033952 /nfs/dbraw/zinc/03/39/52/1072033952.db2.gz LSZPAGJQHOKWCM-GOSISDBHSA-N 0 0 442.563 -0.143 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)c1 ZINC000506394890 1072033929 /nfs/dbraw/zinc/03/39/29/1072033929.db2.gz LSZPAGJQHOKWCM-SFHVURJKSA-N 0 0 442.563 -0.143 20 0 IBADRN Cn1cc(I)c(=O)n(CC(=O)c2ccc(S(C)(=O)=O)nc2)c1=O ZINC000506395254 1072033524 /nfs/dbraw/zinc/03/35/24/1072033524.db2.gz MWEDBXYBEPYCJE-UHFFFAOYSA-N 0 0 449.226 -0.167 20 0 IBADRN CC(C)n1ncc2c1C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CC2 ZINC000506397655 1072033903 /nfs/dbraw/zinc/03/39/03/1072033903.db2.gz FVFKXJBCLSTZAI-GOSISDBHSA-N 0 0 446.552 -0.560 20 0 IBADRN CC(C)n1ncc2c1C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CC2 ZINC000506397656 1072033883 /nfs/dbraw/zinc/03/38/83/1072033883.db2.gz FVFKXJBCLSTZAI-SFHVURJKSA-N 0 0 446.552 -0.560 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)C(=O)NCCF ZINC000506400476 1072034361 /nfs/dbraw/zinc/03/43/61/1072034361.db2.gz GTEFLQXIGOOWRM-BBRMVZONSA-N 0 0 436.440 -0.770 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)C(=O)NCCF ZINC000506400477 1072034598 /nfs/dbraw/zinc/03/45/98/1072034598.db2.gz GTEFLQXIGOOWRM-CJNGLKHVSA-N 0 0 436.440 -0.770 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)C(=O)NCCF ZINC000506400478 1072034496 /nfs/dbraw/zinc/03/44/96/1072034496.db2.gz GTEFLQXIGOOWRM-CZUORRHYSA-N 0 0 436.440 -0.770 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)C(=O)NCCF ZINC000506400479 1072034418 /nfs/dbraw/zinc/03/44/18/1072034418.db2.gz GTEFLQXIGOOWRM-XJKSGUPXSA-N 0 0 436.440 -0.770 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C(=O)NCCF ZINC000506403163 1072034384 /nfs/dbraw/zinc/03/43/84/1072034384.db2.gz MFMGVJAMVADXBK-CYBMUJFWSA-N 0 0 444.485 -0.455 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C(=O)NCCF ZINC000506403164 1072034483 /nfs/dbraw/zinc/03/44/83/1072034483.db2.gz MFMGVJAMVADXBK-ZDUSSCGKSA-N 0 0 444.485 -0.455 20 0 IBADRN CC(C)n1ncc2c1C[C@@H](NC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)CC2 ZINC000506407021 1072035019 /nfs/dbraw/zinc/03/50/19/1072035019.db2.gz KHQZFDKEVCOMQG-INIZCTEOSA-N 0 0 427.509 -0.120 20 0 IBADRN CC(C)n1ncc2c1C[C@H](NC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)CC2 ZINC000506407022 1072035117 /nfs/dbraw/zinc/03/51/17/1072035117.db2.gz KHQZFDKEVCOMQG-MRXNPFEDSA-N 0 0 427.509 -0.120 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H](C)C(=O)NCCF ZINC000506409454 1072034996 /nfs/dbraw/zinc/03/49/96/1072034996.db2.gz NAZWKHWYEAKADN-JTQLQIEISA-N 0 0 430.458 -0.335 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H](C)C(=O)NCCF ZINC000506409455 1072034906 /nfs/dbraw/zinc/03/49/06/1072034906.db2.gz NAZWKHWYEAKADN-SNVBAGLBSA-N 0 0 430.458 -0.335 20 0 IBADRN CC(C)n1ncc2c1C[C@H](NC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CC2 ZINC000506410893 1072034988 /nfs/dbraw/zinc/03/49/88/1072034988.db2.gz TZIKSGZWOPFVBT-GOSISDBHSA-N 0 0 446.552 -0.560 20 0 IBADRN CC(C)n1ncc2c1C[C@@H](NC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CC2 ZINC000506410895 1072035059 /nfs/dbraw/zinc/03/50/59/1072035059.db2.gz TZIKSGZWOPFVBT-SFHVURJKSA-N 0 0 446.552 -0.560 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000506412833 1072035608 /nfs/dbraw/zinc/03/56/08/1072035608.db2.gz GWTYXNWNCSVFGB-UHFFFAOYSA-N 0 0 425.554 -0.633 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)c1 ZINC000506415246 1072034977 /nfs/dbraw/zinc/03/49/77/1072034977.db2.gz HZAOLHSKLXGHHV-UHFFFAOYSA-N 0 0 437.412 -0.747 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)c2ccccc2O1 ZINC000506418487 1072035492 /nfs/dbraw/zinc/03/54/92/1072035492.db2.gz YRMGQVDUDPJRIT-KRWDZBQOSA-N 0 0 436.424 -0.061 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)c2ccccc2O1 ZINC000506418488 1072035546 /nfs/dbraw/zinc/03/55/46/1072035546.db2.gz YRMGQVDUDPJRIT-QGZVFWFLSA-N 0 0 436.424 -0.061 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000506422982 1072036515 /nfs/dbraw/zinc/03/65/15/1072036515.db2.gz FAHCRXQGGYPWHG-GASCZTMLSA-N 0 0 425.486 -0.685 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000506422987 1072036550 /nfs/dbraw/zinc/03/65/50/1072036550.db2.gz FAHCRXQGGYPWHG-GJZGRUSLSA-N 0 0 425.486 -0.685 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000506422990 1072036543 /nfs/dbraw/zinc/03/65/43/1072036543.db2.gz FAHCRXQGGYPWHG-HUUCEWRRSA-N 0 0 425.486 -0.685 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCF ZINC000506423827 1072036558 /nfs/dbraw/zinc/03/65/58/1072036558.db2.gz HAXFSMHDQPGYKR-GFCCVEGCSA-N 0 0 430.458 -0.764 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCF ZINC000506423828 1072036479 /nfs/dbraw/zinc/03/64/79/1072036479.db2.gz HAXFSMHDQPGYKR-LBPRGKRZSA-N 0 0 430.458 -0.764 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)C(=O)NCCF ZINC000506424214 1072036598 /nfs/dbraw/zinc/03/65/98/1072036598.db2.gz HRBRFSDIROMNAE-LLVKDONJSA-N 0 0 431.490 -0.468 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)C(=O)NCCF ZINC000506424215 1072036489 /nfs/dbraw/zinc/03/64/89/1072036489.db2.gz HRBRFSDIROMNAE-NSHDSACASA-N 0 0 431.490 -0.468 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NCCF)cc2)CC1 ZINC000506425059 1072037113 /nfs/dbraw/zinc/03/71/13/1072037113.db2.gz KMLZVMXAFFNOJL-HNNXBMFYSA-N 0 0 435.500 -0.078 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H](C)C(=O)NCCF)cc2)CC1 ZINC000506425062 1072037190 /nfs/dbraw/zinc/03/71/90/1072037190.db2.gz KMLZVMXAFFNOJL-OAHLLOKOSA-N 0 0 435.500 -0.078 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000506426428 1072037583 /nfs/dbraw/zinc/03/75/83/1072037583.db2.gz CGAFCFDGXZGQMG-CYBMUJFWSA-N 0 0 446.503 -0.149 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000506426429 1072037794 /nfs/dbraw/zinc/03/77/94/1072037794.db2.gz CGAFCFDGXZGQMG-ZDUSSCGKSA-N 0 0 446.503 -0.149 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000506427627 1072037101 /nfs/dbraw/zinc/03/71/01/1072037101.db2.gz HINLUAAQXQDGOH-AWEZNQCLSA-N 0 0 439.490 -0.106 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000506427628 1072036964 /nfs/dbraw/zinc/03/69/64/1072036964.db2.gz HINLUAAQXQDGOH-CQSZACIVSA-N 0 0 439.490 -0.106 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000506428104 1072037221 /nfs/dbraw/zinc/03/72/21/1072037221.db2.gz WEYVBAVYCXJVHM-UHFFFAOYSA-N 0 0 433.509 -0.064 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CC[C@@H](S(C)(=O)=O)C3)cc2)CC1 ZINC000506429468 1072037617 /nfs/dbraw/zinc/03/76/17/1072037617.db2.gz OCZMAJJTNYESAD-GOSISDBHSA-N 0 0 436.534 -0.065 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CC[C@H](S(C)(=O)=O)C3)cc2)CC1 ZINC000506429469 1072037640 /nfs/dbraw/zinc/03/76/40/1072037640.db2.gz OCZMAJJTNYESAD-SFHVURJKSA-N 0 0 436.534 -0.065 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000506429879 1072037769 /nfs/dbraw/zinc/03/77/69/1072037769.db2.gz QIDLEQNNFCNFLA-HNNXBMFYSA-N 0 0 445.519 -0.709 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000506429880 1072037566 /nfs/dbraw/zinc/03/75/66/1072037566.db2.gz QIDLEQNNFCNFLA-OAHLLOKOSA-N 0 0 445.519 -0.709 20 0 IBADRN NC(=O)c1cc(CNC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)on1 ZINC000506430088 1072037827 /nfs/dbraw/zinc/03/78/27/1072037827.db2.gz RKWRRHZOAYDMLB-UHFFFAOYSA-N 0 0 433.450 -0.134 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H](C)C(=O)NCCF)cc1S(=O)(=O)N(C)C ZINC000506430503 1072039329 /nfs/dbraw/zinc/03/93/29/1072039329.db2.gz TZNGXFIGODIFMF-LLVKDONJSA-N 0 0 432.474 -0.136 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NCCF)cc1S(=O)(=O)N(C)C ZINC000506430504 1072039416 /nfs/dbraw/zinc/03/94/16/1072039416.db2.gz TZNGXFIGODIFMF-NSHDSACASA-N 0 0 432.474 -0.136 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000506431761 1072038309 /nfs/dbraw/zinc/03/83/09/1072038309.db2.gz ALUCCSPBLJLCCH-UHFFFAOYSA-N 0 0 442.538 -0.581 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CC[C@@H](S(C)(=O)=O)C3)c2)CC1 ZINC000506432186 1072038372 /nfs/dbraw/zinc/03/83/72/1072038372.db2.gz BGZKCIIQDLHMTA-GOSISDBHSA-N 0 0 436.534 -0.065 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CC[C@H](S(C)(=O)=O)C3)c2)CC1 ZINC000506432188 1072038238 /nfs/dbraw/zinc/03/82/38/1072038238.db2.gz BGZKCIIQDLHMTA-SFHVURJKSA-N 0 0 436.534 -0.065 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000506432251 1072039508 /nfs/dbraw/zinc/03/95/08/1072039508.db2.gz BXVLYLXCVJJJOX-UHFFFAOYSA-N 0 0 436.509 -0.121 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC000506434073 1072038758 /nfs/dbraw/zinc/03/87/58/1072038758.db2.gz IIUPUQAXYZCWPG-UHFFFAOYSA-N 0 0 443.522 -0.274 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000506435209 1072038964 /nfs/dbraw/zinc/03/89/64/1072038964.db2.gz MNJJSMUNNLYBLH-CYBMUJFWSA-N 0 0 446.551 -0.413 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000506435210 1072038743 /nfs/dbraw/zinc/03/87/43/1072038743.db2.gz MNJJSMUNNLYBLH-ZDUSSCGKSA-N 0 0 446.551 -0.413 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1)CC2 ZINC000506437267 1072040546 /nfs/dbraw/zinc/04/05/46/1072040546.db2.gz ZBVLLMQLKJIQHI-AWEZNQCLSA-N 0 0 429.520 -0.481 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1)CC2 ZINC000506437268 1072040416 /nfs/dbraw/zinc/04/04/16/1072040416.db2.gz ZBVLLMQLKJIQHI-CQSZACIVSA-N 0 0 429.520 -0.481 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000506443032 1072042134 /nfs/dbraw/zinc/04/21/34/1072042134.db2.gz CUNLPBZJMAIFRD-CYBMUJFWSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000506443040 1072042123 /nfs/dbraw/zinc/04/21/23/1072042123.db2.gz CUNLPBZJMAIFRD-ZDUSSCGKSA-N 0 0 447.535 -0.080 20 0 IBADRN CCCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000506443230 1072040488 /nfs/dbraw/zinc/04/04/88/1072040488.db2.gz LBHWWEXEOOJKNK-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)NCCF ZINC000506443320 1072042103 /nfs/dbraw/zinc/04/21/03/1072042103.db2.gz DJVUISSNFLLVHM-GFCCVEGCSA-N 0 0 430.458 -0.764 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)NCCF ZINC000506443321 1072042080 /nfs/dbraw/zinc/04/20/80/1072042080.db2.gz DJVUISSNFLLVHM-LBPRGKRZSA-N 0 0 430.458 -0.764 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)cc1OCC(N)=O ZINC000506443500 1072040910 /nfs/dbraw/zinc/04/09/10/1072040910.db2.gz FPWACFQFJYYXKZ-UHFFFAOYSA-N 0 0 440.412 -0.090 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000506446043 1072041590 /nfs/dbraw/zinc/04/15/90/1072041590.db2.gz FCBPLSLVXBZUMM-HNNXBMFYSA-N 0 0 445.519 -0.709 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000506446045 1072041475 /nfs/dbraw/zinc/04/14/75/1072041475.db2.gz FCBPLSLVXBZUMM-OAHLLOKOSA-N 0 0 445.519 -0.709 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000506448181 1072042139 /nfs/dbraw/zinc/04/21/39/1072042139.db2.gz PMGBQCCIXAWDGC-CYBMUJFWSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000506448182 1072041978 /nfs/dbraw/zinc/04/19/78/1072041978.db2.gz PMGBQCCIXAWDGC-ZDUSSCGKSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000506449500 1072042848 /nfs/dbraw/zinc/04/28/48/1072042848.db2.gz WMDYFGLCEIBDIZ-DOMZBBRYSA-N 0 0 439.490 -0.048 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000506449503 1072042979 /nfs/dbraw/zinc/04/29/79/1072042979.db2.gz WMDYFGLCEIBDIZ-IUODEOHRSA-N 0 0 439.490 -0.048 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000506449505 1072042831 /nfs/dbraw/zinc/04/28/31/1072042831.db2.gz WMDYFGLCEIBDIZ-SWLSCSKDSA-N 0 0 439.490 -0.048 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000506449506 1072042926 /nfs/dbraw/zinc/04/29/26/1072042926.db2.gz WMDYFGLCEIBDIZ-WFASDCNBSA-N 0 0 439.490 -0.048 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000506449866 1072042488 /nfs/dbraw/zinc/04/24/88/1072042488.db2.gz XUEFSHAUHWHUEJ-DOMZBBRYSA-N 0 0 447.535 -0.416 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000506449869 1072042521 /nfs/dbraw/zinc/04/25/21/1072042521.db2.gz XUEFSHAUHWHUEJ-IUODEOHRSA-N 0 0 447.535 -0.416 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000506449871 1072042532 /nfs/dbraw/zinc/04/25/32/1072042532.db2.gz XUEFSHAUHWHUEJ-SWLSCSKDSA-N 0 0 447.535 -0.416 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000506449873 1072042948 /nfs/dbraw/zinc/04/29/48/1072042948.db2.gz XUEFSHAUHWHUEJ-WFASDCNBSA-N 0 0 447.535 -0.416 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000506450412 1072042503 /nfs/dbraw/zinc/04/25/03/1072042503.db2.gz MBTWFYSHVHIRCP-HNNXBMFYSA-N 0 0 438.506 -0.017 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000506450416 1072042558 /nfs/dbraw/zinc/04/25/58/1072042558.db2.gz MBTWFYSHVHIRCP-OAHLLOKOSA-N 0 0 438.506 -0.017 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)c1 ZINC000506450664 1072043304 /nfs/dbraw/zinc/04/33/04/1072043304.db2.gz GNZVNRPPMMHIHJ-UHFFFAOYSA-N 0 0 430.442 -0.054 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000506450910 1072042904 /nfs/dbraw/zinc/04/29/04/1072042904.db2.gz NMRDZRYSQGRMHK-UHFFFAOYSA-N 0 0 443.522 -0.188 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000506452852 1072043427 /nfs/dbraw/zinc/04/34/27/1072043427.db2.gz SPPNAMOCDIMMHK-AWEZNQCLSA-N 0 0 439.490 -0.492 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000506452858 1072043415 /nfs/dbraw/zinc/04/34/15/1072043415.db2.gz SPPNAMOCDIMMHK-CQSZACIVSA-N 0 0 439.490 -0.492 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCN1C(=O)Cc2ccccc21 ZINC000506454221 1072043396 /nfs/dbraw/zinc/04/33/96/1072043396.db2.gz WDMFACSINXKFHN-UHFFFAOYSA-N 0 0 430.442 -0.053 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000506455109 1072043788 /nfs/dbraw/zinc/04/37/88/1072043788.db2.gz YCNDRIKCNKKOCB-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000506455893 1072043837 /nfs/dbraw/zinc/04/38/37/1072043837.db2.gz ZZRHDSGCFXANDI-UHFFFAOYSA-N 0 0 447.485 -0.358 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](C)C(=O)NCCF ZINC000506456688 1072044287 /nfs/dbraw/zinc/04/42/87/1072044287.db2.gz XAUKPSIABFLZLZ-LLVKDONJSA-N 0 0 432.474 -0.136 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](C)C(=O)NCCF ZINC000506456689 1072044401 /nfs/dbraw/zinc/04/44/01/1072044401.db2.gz XAUKPSIABFLZLZ-NSHDSACASA-N 0 0 432.474 -0.136 20 0 IBADRN O=C(NCCN1C(=O)c2ccccc2C1=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000506458623 1072043932 /nfs/dbraw/zinc/04/39/32/1072043932.db2.gz FJZNESPXQVWUKC-UHFFFAOYSA-N 0 0 436.428 -0.631 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cccc(S(=O)(=O)NCC(=O)OC)c2)CC1 ZINC000506459041 1072044356 /nfs/dbraw/zinc/04/43/56/1072044356.db2.gz GDTXZLPVWFWJRR-UHFFFAOYSA-N 0 0 448.523 -0.747 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NCCF)cc1 ZINC000506461695 1072044909 /nfs/dbraw/zinc/04/49/09/1072044909.db2.gz CSAPIJRLWZSJNZ-NEPJUHHUSA-N 0 0 432.474 -0.471 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)C(=O)NCCF)cc1 ZINC000506461697 1072044826 /nfs/dbraw/zinc/04/48/26/1072044826.db2.gz CSAPIJRLWZSJNZ-NWDGAFQWSA-N 0 0 432.474 -0.471 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NCCF)cc1 ZINC000506461698 1072044811 /nfs/dbraw/zinc/04/48/11/1072044811.db2.gz CSAPIJRLWZSJNZ-RYUDHWBXSA-N 0 0 432.474 -0.471 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)C(=O)NCCF)cc1 ZINC000506461700 1072044974 /nfs/dbraw/zinc/04/49/74/1072044974.db2.gz CSAPIJRLWZSJNZ-VXGBXAGGSA-N 0 0 432.474 -0.471 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000506463039 1072045505 /nfs/dbraw/zinc/04/55/05/1072045505.db2.gz KCWQFPGGLPVLGR-GOSISDBHSA-N 0 0 435.477 -0.503 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000506463040 1072045293 /nfs/dbraw/zinc/04/52/93/1072045293.db2.gz KCWQFPGGLPVLGR-SFHVURJKSA-N 0 0 435.477 -0.503 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)cc1 ZINC000506463245 1072045246 /nfs/dbraw/zinc/04/52/46/1072045246.db2.gz LFXZLPDBGJRBQU-UHFFFAOYSA-N 0 0 438.488 -0.344 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)CC1 ZINC000506465786 1072045929 /nfs/dbraw/zinc/04/59/29/1072045929.db2.gz JVVWCSAAIUFTMB-INIZCTEOSA-N 0 0 432.525 -0.084 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)CC1 ZINC000506465792 1072046108 /nfs/dbraw/zinc/04/61/08/1072046108.db2.gz JVVWCSAAIUFTMB-MRXNPFEDSA-N 0 0 432.525 -0.084 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CC[C@H](S(C)(=O)=O)C3)cc2)CC1 ZINC000506466065 1072497764 /nfs/dbraw/zinc/49/77/64/1072497764.db2.gz ZHWJUIMDWSIBOL-KRWDZBQOSA-N 0 0 436.534 -0.413 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CC[C@@H](S(C)(=O)=O)C3)cc2)CC1 ZINC000506466074 1072497916 /nfs/dbraw/zinc/49/79/16/1072497916.db2.gz ZHWJUIMDWSIBOL-QGZVFWFLSA-N 0 0 436.534 -0.413 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NCCF)cc1S(=O)(=O)NC1CC1 ZINC000506466083 1072046073 /nfs/dbraw/zinc/04/60/73/1072046073.db2.gz BLCLDODRPBAWPL-JTQLQIEISA-N 0 0 430.458 -0.335 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)C(=O)NCCF)cc1S(=O)(=O)NC1CC1 ZINC000506466084 1072045911 /nfs/dbraw/zinc/04/59/11/1072045911.db2.gz BLCLDODRPBAWPL-SNVBAGLBSA-N 0 0 430.458 -0.335 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cc1 ZINC000506467643 1072046382 /nfs/dbraw/zinc/04/63/82/1072046382.db2.gz JXMFDNSXVFQMBX-UHFFFAOYSA-N 0 0 438.488 -0.344 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cccc1C(=O)N1CCOCC1 ZINC000506475632 1072047561 /nfs/dbraw/zinc/04/75/61/1072047561.db2.gz BBMBZPDLDJUILP-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)CC1 ZINC000506475653 1072047544 /nfs/dbraw/zinc/04/75/44/1072047544.db2.gz BKXZFUBYTSZTMS-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cccc1C(=O)N1CCOCC1 ZINC000506477028 1072049312 /nfs/dbraw/zinc/04/93/12/1072049312.db2.gz MBTNSDGWNNCFSA-UHFFFAOYSA-N 0 0 432.477 -0.229 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1 ZINC000506477286 1072048623 /nfs/dbraw/zinc/04/86/23/1072048623.db2.gz NGKQLJCLKVYDJP-UHFFFAOYSA-N 0 0 448.549 -0.146 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cccc1C(=O)N1CCOCC1 ZINC000506478888 1072049733 /nfs/dbraw/zinc/04/97/33/1072049733.db2.gz CFNOJTISXPLFEI-UHFFFAOYSA-N 0 0 438.506 -0.490 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)CC1 ZINC000506479496 1072049958 /nfs/dbraw/zinc/04/99/58/1072049958.db2.gz XZNTWQYAFFLBTC-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000506480194 1072050073 /nfs/dbraw/zinc/05/00/73/1072050073.db2.gz ZRXIHJFMVLDVDM-UHFFFAOYSA-N 0 0 444.492 -0.850 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000506483850 1072050782 /nfs/dbraw/zinc/05/07/82/1072050782.db2.gz DPLGYQUDLPRQSJ-CYBMUJFWSA-N 0 0 445.519 -0.280 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000506483851 1072050568 /nfs/dbraw/zinc/05/05/68/1072050568.db2.gz DPLGYQUDLPRQSJ-ZDUSSCGKSA-N 0 0 445.519 -0.280 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000506484775 1072052791 /nfs/dbraw/zinc/05/27/91/1072052791.db2.gz GDXJLOYPRYBBIO-INIZCTEOSA-N 0 0 438.506 -0.459 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000506484776 1072052725 /nfs/dbraw/zinc/05/27/25/1072052725.db2.gz GDXJLOYPRYBBIO-MRXNPFEDSA-N 0 0 438.506 -0.459 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000506484883 1072050737 /nfs/dbraw/zinc/05/07/37/1072050737.db2.gz ASNKJJCVDLHOOL-GFCCVEGCSA-N 0 0 433.508 -0.471 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000506484884 1072050597 /nfs/dbraw/zinc/05/05/97/1072050597.db2.gz ASNKJJCVDLHOOL-LBPRGKRZSA-N 0 0 433.508 -0.471 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)C(=O)NCCF ZINC000506485261 1072050798 /nfs/dbraw/zinc/05/07/98/1072050798.db2.gz HHDOLYLKWJEHOK-CYBMUJFWSA-N 0 0 437.472 -0.388 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)C(=O)NCCF ZINC000506485262 1072050647 /nfs/dbraw/zinc/05/06/47/1072050647.db2.gz HHDOLYLKWJEHOK-ZDUSSCGKSA-N 0 0 437.472 -0.388 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CC[C@H](S(C)(=O)=O)C2)CC1 ZINC000506486821 1072054266 /nfs/dbraw/zinc/05/42/66/1072054266.db2.gz GPMNMUQBLLYMEO-KRWDZBQOSA-N 0 0 436.534 -0.065 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CC[C@@H](S(C)(=O)=O)C2)CC1 ZINC000506486822 1072054134 /nfs/dbraw/zinc/05/41/34/1072054134.db2.gz GPMNMUQBLLYMEO-QGZVFWFLSA-N 0 0 436.534 -0.065 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000506488041 1072052280 /nfs/dbraw/zinc/05/22/80/1072052280.db2.gz JCLVENFKDVYAOH-CYBMUJFWSA-N 0 0 439.581 -0.147 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000506488042 1072052428 /nfs/dbraw/zinc/05/24/28/1072052428.db2.gz JCLVENFKDVYAOH-ZDUSSCGKSA-N 0 0 439.581 -0.147 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000506488580 1072052853 /nfs/dbraw/zinc/05/28/53/1072052853.db2.gz SGMRDSKLPBZBFM-UHFFFAOYSA-N 0 0 430.527 -0.201 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000506489802 1072054256 /nfs/dbraw/zinc/05/42/56/1072054256.db2.gz NFWLAVQPINIPPP-GFCCVEGCSA-N 0 0 433.483 -0.050 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000506489803 1072054196 /nfs/dbraw/zinc/05/41/96/1072054196.db2.gz NFWLAVQPINIPPP-LBPRGKRZSA-N 0 0 433.483 -0.050 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000506490689 1072054854 /nfs/dbraw/zinc/05/48/54/1072054854.db2.gz OZYPJMZNMQHQTE-GFCCVEGCSA-N 0 0 433.483 -0.150 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000506490694 1072054825 /nfs/dbraw/zinc/05/48/25/1072054825.db2.gz OZYPJMZNMQHQTE-LBPRGKRZSA-N 0 0 433.483 -0.150 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)C(=O)NCCF ZINC000506490733 1072054688 /nfs/dbraw/zinc/05/46/88/1072054688.db2.gz XURZVNWYZZLIQP-CYBMUJFWSA-N 0 0 443.501 -0.848 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)C(=O)NCCF ZINC000506490736 1072054696 /nfs/dbraw/zinc/05/46/96/1072054696.db2.gz XURZVNWYZZLIQP-ZDUSSCGKSA-N 0 0 443.501 -0.848 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000506492238 1072054702 /nfs/dbraw/zinc/05/47/02/1072054702.db2.gz XKPMEWPOCMSSHO-CYBMUJFWSA-N 0 0 445.519 -0.280 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000506492239 1072054633 /nfs/dbraw/zinc/05/46/33/1072054633.db2.gz XKPMEWPOCMSSHO-ZDUSSCGKSA-N 0 0 445.519 -0.280 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)Nc3ncn(CC(=O)NCc4ccccc4)n3)nc2n(C)c1=O ZINC000506492355 1072055435 /nfs/dbraw/zinc/05/54/35/1072055435.db2.gz UBAIURCUQJARTD-UHFFFAOYSA-N 0 0 448.443 -0.208 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)Nc1cccnc1N1CCOCC1 ZINC000506495949 1072060107 /nfs/dbraw/zinc/06/01/07/1072060107.db2.gz NLEGXOHLHLDGPQ-UHFFFAOYSA-N 0 0 429.481 -0.242 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000506496218 1072056483 /nfs/dbraw/zinc/05/64/83/1072056483.db2.gz QCLMXQNFUAZTMS-UHFFFAOYSA-N 0 0 429.524 -0.229 20 0 IBADRN NC(=O)NC(=O)CN1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000506496768 1072058598 /nfs/dbraw/zinc/05/85/98/1072058598.db2.gz SSPVNJLPDURZKI-UHFFFAOYSA-N 0 0 431.858 -0.828 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000506496972 1072058519 /nfs/dbraw/zinc/05/85/19/1072058519.db2.gz WEOJVRQPNFWHJC-UHFFFAOYSA-N 0 0 430.494 -0.225 20 0 IBADRN COCCCOc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000506498201 1072059059 /nfs/dbraw/zinc/05/90/59/1072059059.db2.gz BVFQLDZKYDGRQY-UHFFFAOYSA-N 0 0 432.477 -0.010 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)CC1 ZINC000506498823 1072059482 /nfs/dbraw/zinc/05/94/82/1072059482.db2.gz GTMBICPWWLPPAX-UHFFFAOYSA-N 0 0 432.481 -0.948 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)Nc1ccccc1 ZINC000506500078 1072060187 /nfs/dbraw/zinc/06/01/87/1072060187.db2.gz OAISZUOROKYRTH-AEFFLSMTSA-N 0 0 448.545 -0.195 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)Nc1ccccc1 ZINC000506500080 1072060033 /nfs/dbraw/zinc/06/00/33/1072060033.db2.gz OAISZUOROKYRTH-FUHWJXTLSA-N 0 0 448.545 -0.195 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)Nc1ccccc1 ZINC000506500081 1072060020 /nfs/dbraw/zinc/06/00/20/1072060020.db2.gz OAISZUOROKYRTH-SJLPKXTDSA-N 0 0 448.545 -0.195 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)Nc1ccccc1 ZINC000506500083 1072059988 /nfs/dbraw/zinc/05/99/88/1072059988.db2.gz OAISZUOROKYRTH-WMZOPIPTSA-N 0 0 448.545 -0.195 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)ccc1C ZINC000506505314 1072061338 /nfs/dbraw/zinc/06/13/38/1072061338.db2.gz ZNJKLWCFWKARJP-GXTWGEPZSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)ccc1C ZINC000506505315 1072061041 /nfs/dbraw/zinc/06/10/41/1072061041.db2.gz ZNJKLWCFWKARJP-JSGCOSHPSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)ccc1C ZINC000506505316 1072061328 /nfs/dbraw/zinc/06/13/28/1072061328.db2.gz ZNJKLWCFWKARJP-OCCSQVGLSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)ccc1C ZINC000506505317 1072061090 /nfs/dbraw/zinc/06/10/90/1072061090.db2.gz ZNJKLWCFWKARJP-TZMCWYRMSA-N 0 0 429.520 -0.123 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000506505602 1072061762 /nfs/dbraw/zinc/06/17/62/1072061762.db2.gz MLVHQDXXNPOXSP-DZGCQCFKSA-N 0 0 425.507 -0.083 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000506505603 1072061622 /nfs/dbraw/zinc/06/16/22/1072061622.db2.gz MLVHQDXXNPOXSP-HIFRSBDPSA-N 0 0 425.507 -0.083 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000506505604 1072061613 /nfs/dbraw/zinc/06/16/13/1072061613.db2.gz MLVHQDXXNPOXSP-UKRRQHHQSA-N 0 0 425.507 -0.083 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000506505605 1072062418 /nfs/dbraw/zinc/06/24/18/1072062418.db2.gz MLVHQDXXNPOXSP-ZFWWWQNUSA-N 0 0 425.507 -0.083 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cccnc1N1CCOCC1 ZINC000506508483 1072062400 /nfs/dbraw/zinc/06/24/00/1072062400.db2.gz GTSBOZJFMHEPTL-UHFFFAOYSA-N 0 0 440.508 -0.415 20 0 IBADRN COc1ccc(CC(=O)N2CC(=O)N(C)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000506509434 1072062467 /nfs/dbraw/zinc/06/24/67/1072062467.db2.gz UWXKLBBPZHSIID-AWEZNQCLSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(CC(=O)N2CC(=O)N(C)[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000506509435 1072062324 /nfs/dbraw/zinc/06/23/24/1072062324.db2.gz UWXKLBBPZHSIID-CQSZACIVSA-N 0 0 425.507 -0.052 20 0 IBADRN CN1Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2C1 ZINC000506512252 1072063005 /nfs/dbraw/zinc/06/30/05/1072063005.db2.gz SKVCZKZWXGWLJU-UHFFFAOYSA-N 0 0 429.477 -0.900 20 0 IBADRN O=S(=O)(N[C@H]1CCc2nnc(-c3ccc(F)cc3)n2C1)N1CCS(=O)(=O)CC1 ZINC000506515183 1072062820 /nfs/dbraw/zinc/06/28/20/1072062820.db2.gz YFFMHUJXUKRIEO-AWEZNQCLSA-N 0 0 429.499 -0.036 20 0 IBADRN O=S(=O)(N[C@@H]1CCc2nnc(-c3ccc(F)cc3)n2C1)N1CCS(=O)(=O)CC1 ZINC000506515185 1072062975 /nfs/dbraw/zinc/06/29/75/1072062975.db2.gz YFFMHUJXUKRIEO-CQSZACIVSA-N 0 0 429.499 -0.036 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)c(=O)n(C)c1=O ZINC000506521918 1072065277 /nfs/dbraw/zinc/06/52/77/1072065277.db2.gz OIYVSXIPHOIQPN-UHFFFAOYSA-N 0 0 437.522 -0.545 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC(=O)N1C ZINC000506524615 1072066185 /nfs/dbraw/zinc/06/61/85/1072066185.db2.gz PCWSMKFIBBUSNM-DZGCQCFKSA-N 0 0 431.536 -0.543 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC(=O)N1C ZINC000506524616 1072066259 /nfs/dbraw/zinc/06/62/59/1072066259.db2.gz PCWSMKFIBBUSNM-HIFRSBDPSA-N 0 0 431.536 -0.543 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC(=O)N1C ZINC000506524617 1072066170 /nfs/dbraw/zinc/06/61/70/1072066170.db2.gz PCWSMKFIBBUSNM-UKRRQHHQSA-N 0 0 431.536 -0.543 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC(=O)N1C ZINC000506524618 1072066085 /nfs/dbraw/zinc/06/60/85/1072066085.db2.gz PCWSMKFIBBUSNM-ZFWWWQNUSA-N 0 0 431.536 -0.543 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cccnc3N3CCOCC3)CC2)nc1 ZINC000506525643 1072066788 /nfs/dbraw/zinc/06/67/88/1072066788.db2.gz JZLUTAIYCVXBEH-UHFFFAOYSA-N 0 0 439.476 -0.301 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)Nc1cccnc1N1CCOCC1 ZINC000506526573 1072066873 /nfs/dbraw/zinc/06/68/73/1072066873.db2.gz QLXJWIQXAVYJTE-UHFFFAOYSA-N 0 0 433.490 -0.049 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(c3cccnn3)CC2)c1 ZINC000506527008 1072066942 /nfs/dbraw/zinc/06/69/42/1072066942.db2.gz XCFRBLNIJDGLBV-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506532316 1072067328 /nfs/dbraw/zinc/06/73/28/1072067328.db2.gz ITIDUJNOAPSEKN-INIZCTEOSA-N 0 0 428.559 -0.335 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506532320 1072067519 /nfs/dbraw/zinc/06/75/19/1072067519.db2.gz ITIDUJNOAPSEKN-MRXNPFEDSA-N 0 0 428.559 -0.335 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506536176 1072068383 /nfs/dbraw/zinc/06/83/83/1072068383.db2.gz VPQJYBHKLFJDAF-GDBMZVCRSA-N 0 0 441.554 -0.020 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506536180 1072068488 /nfs/dbraw/zinc/06/84/88/1072068488.db2.gz VPQJYBHKLFJDAF-GOEBONIOSA-N 0 0 441.554 -0.020 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506536182 1072068608 /nfs/dbraw/zinc/06/86/08/1072068608.db2.gz VPQJYBHKLFJDAF-HOCLYGCPSA-N 0 0 441.554 -0.020 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506536184 1072068421 /nfs/dbraw/zinc/06/84/21/1072068421.db2.gz VPQJYBHKLFJDAF-ZBFHGGJFSA-N 0 0 441.554 -0.020 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)CC1 ZINC000506537448 1072499072 /nfs/dbraw/zinc/49/90/72/1072499072.db2.gz CCRCNKRWACSQOC-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COC(=O)CCn1nc(C)c(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000506538066 1072070225 /nfs/dbraw/zinc/07/02/25/1072070225.db2.gz MKOUZQQDYHJFED-UHFFFAOYSA-N 0 0 429.543 -0.242 20 0 IBADRN C[C@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC(=O)N1C ZINC000506538165 1072070150 /nfs/dbraw/zinc/07/01/50/1072070150.db2.gz BPPOBBROGMGMAU-INIZCTEOSA-N 0 0 436.534 -0.011 20 0 IBADRN C[C@@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC(=O)N1C ZINC000506538167 1072070243 /nfs/dbraw/zinc/07/02/43/1072070243.db2.gz BPPOBBROGMGMAU-MRXNPFEDSA-N 0 0 436.534 -0.011 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000506539808 1072069646 /nfs/dbraw/zinc/06/96/46/1072069646.db2.gz HRWSLZIWLFDQQK-UHFFFAOYSA-N 0 0 425.573 -0.253 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(c2cccnn2)CC1 ZINC000506540373 1072070575 /nfs/dbraw/zinc/07/05/75/1072070575.db2.gz KHRLFRXLOWTCKD-UHFFFAOYSA-N 0 0 430.490 -0.441 20 0 IBADRN Cc1cc(C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000506540377 1072070562 /nfs/dbraw/zinc/07/05/62/1072070562.db2.gz JGZJWSCBHQWXOJ-UHFFFAOYSA-N 0 0 449.533 -0.029 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ncn(CC(=O)NCc3ccccc3)n2)cn1 ZINC000506542423 1072070135 /nfs/dbraw/zinc/07/01/35/1072070135.db2.gz QMBIIKVWFUCSMR-UHFFFAOYSA-N 0 0 432.466 -0.755 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)cn1C ZINC000506542609 1072071194 /nfs/dbraw/zinc/07/11/94/1072071194.db2.gz RMHMEPJRPXIFBJ-UHFFFAOYSA-N 0 0 447.583 -0.186 20 0 IBADRN Cn1c(=O)c2cc(C(=O)Nc3ncn(CC(=O)NCc4ccccc4)n3)cnc2n(C)c1=O ZINC000506543346 1072071288 /nfs/dbraw/zinc/07/12/88/1072071288.db2.gz VUAIIDYYGPCHSB-UHFFFAOYSA-N 0 0 448.443 -0.208 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(c2cccnn2)CC1 ZINC000506543941 1072071342 /nfs/dbraw/zinc/07/13/42/1072071342.db2.gz YWGOPIBLRBMXHH-UHFFFAOYSA-N 0 0 430.490 -0.441 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)cn1C ZINC000506543999 1072071253 /nfs/dbraw/zinc/07/12/53/1072071253.db2.gz ZXYHYGNAWWOZJX-UHFFFAOYSA-N 0 0 433.556 -0.577 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)CC2CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000506548192 1072072387 /nfs/dbraw/zinc/07/23/87/1072072387.db2.gz KRNDOUXEYYTTFW-HNNXBMFYSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)CC2CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000506548550 1072072892 /nfs/dbraw/zinc/07/28/92/1072072892.db2.gz KRNDOUXEYYTTFW-OAHLLOKOSA-N 0 0 441.506 -0.068 20 0 IBADRN Cn1nccc1C1=CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000506549326 1072072204 /nfs/dbraw/zinc/07/22/04/1072072204.db2.gz OQNHXSXKBNNILU-UHFFFAOYSA-N 0 0 427.465 -0.270 20 0 IBADRN Cn1nccc1C1=CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000506551011 1072073965 /nfs/dbraw/zinc/07/39/65/1072073965.db2.gz WFPWRBLBIIIMFZ-UHFFFAOYSA-N 0 0 427.465 -0.270 20 0 IBADRN CN(C)C(=O)COc1ccccc1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000506552771 1072073271 /nfs/dbraw/zinc/07/32/71/1072073271.db2.gz NXCUKKQQSOVWCA-UHFFFAOYSA-N 0 0 426.539 -0.152 20 0 IBADRN Cc1c(C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000506553954 1072074282 /nfs/dbraw/zinc/07/42/82/1072074282.db2.gz DKIKRQOPFBSSEM-UHFFFAOYSA-N 0 0 449.533 -0.029 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCC(S(=O)(=O)N4CCCC4)CC3)cnc2n(C)c1=O ZINC000506554449 1072073916 /nfs/dbraw/zinc/07/39/16/1072073916.db2.gz ADZQLYALUZKYFR-UHFFFAOYSA-N 0 0 435.506 -0.338 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000506556049 1072075064 /nfs/dbraw/zinc/07/50/64/1072075064.db2.gz JNGBCSPQUHNTNI-KRWDZBQOSA-N 0 0 442.582 -0.416 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000506556071 1072074771 /nfs/dbraw/zinc/07/47/71/1072074771.db2.gz JNGBCSPQUHNTNI-QGZVFWFLSA-N 0 0 442.582 -0.416 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCC(S(=O)(=O)N4CCCC4)CC3)nc2n(C)c1=O ZINC000506556438 1072074510 /nfs/dbraw/zinc/07/45/10/1072074510.db2.gz LHZZKTLINRADEK-UHFFFAOYSA-N 0 0 435.506 -0.338 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000506557463 1072074909 /nfs/dbraw/zinc/07/49/09/1072074909.db2.gz OFIXQJIRVUYYAB-CYBMUJFWSA-N 0 0 433.556 -0.497 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000506557464 1072074838 /nfs/dbraw/zinc/07/48/38/1072074838.db2.gz OFIXQJIRVUYYAB-ZDUSSCGKSA-N 0 0 433.556 -0.497 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCC(S(=O)(=O)N4CCCC4)CC3)OCC(=O)N2C)cn1 ZINC000506558614 1072076362 /nfs/dbraw/zinc/07/63/62/1072076362.db2.gz WZZKMUAAPFBCNP-ZWKOTPCHSA-N 0 0 439.538 -0.265 20 0 IBADRN CNC(=O)c1cccc(CS(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000506566073 1072077997 /nfs/dbraw/zinc/07/79/97/1072077997.db2.gz WPWKQFGXUWSJBI-UHFFFAOYSA-N 0 0 433.490 -0.202 20 0 IBADRN C[C@]1(n2cc(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)cn2)CCS(=O)(=O)C1 ZINC000506567591 1072079388 /nfs/dbraw/zinc/07/93/88/1072079388.db2.gz HNKQJFMQJCSSLO-KRWDZBQOSA-N 0 0 447.583 -0.889 20 0 IBADRN C[C@@]1(n2cc(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)cn2)CCS(=O)(=O)C1 ZINC000506567669 1072079435 /nfs/dbraw/zinc/07/94/35/1072079435.db2.gz HNKQJFMQJCSSLO-QGZVFWFLSA-N 0 0 447.583 -0.889 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(c3ncccc3C(N)=O)CC1)c(=O)n2C ZINC000506571556 1072078832 /nfs/dbraw/zinc/07/88/32/1072078832.db2.gz SKCCXBAACBEIGI-UHFFFAOYSA-N 0 0 426.481 -0.860 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000506573018 1072080615 /nfs/dbraw/zinc/08/06/15/1072080615.db2.gz ROSZFVUOVFDZLK-UHFFFAOYSA-N 0 0 428.511 -0.253 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCC2(OCCO)CCOCC2)cc1 ZINC000506573118 1072080674 /nfs/dbraw/zinc/08/06/74/1072080674.db2.gz RSKFSYWYAWCQGB-UHFFFAOYSA-N 0 0 443.522 -0.060 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506573124 1072080665 /nfs/dbraw/zinc/08/06/65/1072080665.db2.gz JVQDNYUPIWBSRK-GDBMZVCRSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506573129 1072080685 /nfs/dbraw/zinc/08/06/85/1072080685.db2.gz JVQDNYUPIWBSRK-GOEBONIOSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506573131 1072080752 /nfs/dbraw/zinc/08/07/52/1072080752.db2.gz JVQDNYUPIWBSRK-HOCLYGCPSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506573132 1072080771 /nfs/dbraw/zinc/08/07/71/1072080771.db2.gz JVQDNYUPIWBSRK-ZBFHGGJFSA-N 0 0 445.563 -0.004 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3c[nH]c4ncccc34)CC2)CC1 ZINC000506573687 1072079866 /nfs/dbraw/zinc/07/98/66/1072079866.db2.gz WSMBQXPGTVLGRV-UHFFFAOYSA-N 0 0 435.554 -0.075 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000506576013 1072080357 /nfs/dbraw/zinc/08/03/57/1072080357.db2.gz BZENYBQGGIAGRD-WBVHZDCISA-N 0 0 446.497 -0.376 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000506576848 1072080200 /nfs/dbraw/zinc/08/02/00/1072080200.db2.gz FMSQKGUYXIXGMY-BLLLJJGKSA-N 0 0 431.536 -0.171 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000506576850 1072080094 /nfs/dbraw/zinc/08/00/94/1072080094.db2.gz FMSQKGUYXIXGMY-LRDDRELGSA-N 0 0 431.536 -0.171 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000506576852 1072080348 /nfs/dbraw/zinc/08/03/48/1072080348.db2.gz FMSQKGUYXIXGMY-MLGOLLRUSA-N 0 0 431.536 -0.171 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000506576855 1072080231 /nfs/dbraw/zinc/08/02/31/1072080231.db2.gz FMSQKGUYXIXGMY-WBMJQRKESA-N 0 0 431.536 -0.171 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000506579393 1072081024 /nfs/dbraw/zinc/08/10/24/1072081024.db2.gz LZOUDVYATVGLQP-UHFFFAOYSA-N 0 0 428.507 -0.005 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000506581920 1072082101 /nfs/dbraw/zinc/08/21/01/1072082101.db2.gz ZTYLTBWFPNNUNO-UHFFFAOYSA-N 0 0 430.509 -0.473 20 0 IBADRN Cc1nn(C)c(N2CCOCC2)c1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000506584088 1072082111 /nfs/dbraw/zinc/08/21/11/1072082111.db2.gz JKEQDWXTJUFWCT-UHFFFAOYSA-N 0 0 428.559 -0.740 20 0 IBADRN CNC(=O)NC(=O)CN1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000506584429 1072082024 /nfs/dbraw/zinc/08/20/24/1072082024.db2.gz PEHSYTXMTHFUJP-UHFFFAOYSA-N 0 0 445.885 -0.567 20 0 IBADRN COCCN(C(C)=O)c1nc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cs1 ZINC000506585451 1072082518 /nfs/dbraw/zinc/08/25/18/1072082518.db2.gz GQYLHAAEIXKFMO-UHFFFAOYSA-N 0 0 437.522 -0.064 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCC2(OCCO)CCOCC2)[C@H](C)O)cc1 ZINC000506587338 1072082972 /nfs/dbraw/zinc/08/29/72/1072082972.db2.gz DOBOYEZOXKTYQP-DOTOQJQBSA-N 0 0 430.523 -0.303 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCC2(OCCO)CCOCC2)[C@@H](C)O)cc1 ZINC000506587339 1072082964 /nfs/dbraw/zinc/08/29/64/1072082964.db2.gz DOBOYEZOXKTYQP-NVXWUHKLSA-N 0 0 430.523 -0.303 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC2(OCCO)CCOCC2)[C@H](C)O)cc1 ZINC000506587341 1072082921 /nfs/dbraw/zinc/08/29/21/1072082921.db2.gz DOBOYEZOXKTYQP-RDJZCZTQSA-N 0 0 430.523 -0.303 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC2(OCCO)CCOCC2)[C@@H](C)O)cc1 ZINC000506587342 1072083136 /nfs/dbraw/zinc/08/31/36/1072083136.db2.gz DOBOYEZOXKTYQP-WBVHZDCISA-N 0 0 430.523 -0.303 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCC2(OCCO)CCOCC2)cc1 ZINC000506587433 1072082953 /nfs/dbraw/zinc/08/29/53/1072082953.db2.gz FCLFFOGZQUCGSS-AWEZNQCLSA-N 0 0 443.522 -0.014 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000506588230 1072083053 /nfs/dbraw/zinc/08/30/53/1072083053.db2.gz JSXIMNIZCRTHIU-UHFFFAOYSA-N 0 0 428.507 -0.005 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000506588836 1072083363 /nfs/dbraw/zinc/08/33/63/1072083363.db2.gz ALQZWNVYYXNKPX-UHFFFAOYSA-N 0 0 428.507 -0.005 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC2(OCCO)CCOCC2)c1 ZINC000506589472 1072082942 /nfs/dbraw/zinc/08/29/42/1072082942.db2.gz DMLMQZGVABZSNS-UHFFFAOYSA-N 0 0 445.538 -0.447 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000506589555 1072083131 /nfs/dbraw/zinc/08/31/31/1072083131.db2.gz FRHWIJXISBQSBD-UHFFFAOYSA-N 0 0 441.506 -0.905 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506593031 1072083416 /nfs/dbraw/zinc/08/34/16/1072083416.db2.gz LCRIXRCMIKDOPA-DLBZAZTESA-N 0 0 449.556 -0.298 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506593033 1072083373 /nfs/dbraw/zinc/08/33/73/1072083373.db2.gz LCRIXRCMIKDOPA-IAGOWNOFSA-N 0 0 449.556 -0.298 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506593035 1072083498 /nfs/dbraw/zinc/08/34/98/1072083498.db2.gz LCRIXRCMIKDOPA-IRXDYDNUSA-N 0 0 449.556 -0.298 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506593037 1072083486 /nfs/dbraw/zinc/08/34/86/1072083486.db2.gz LCRIXRCMIKDOPA-SJORKVTESA-N 0 0 449.556 -0.298 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506593441 1072083506 /nfs/dbraw/zinc/08/35/06/1072083506.db2.gz COLSBLLDLLTSJL-CORIIIEPSA-N 0 0 446.551 -0.714 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506593442 1072083384 /nfs/dbraw/zinc/08/33/84/1072083384.db2.gz COLSBLLDLLTSJL-LNSITVRQSA-N 0 0 446.551 -0.714 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506593443 1072083529 /nfs/dbraw/zinc/08/35/29/1072083529.db2.gz COLSBLLDLLTSJL-NJZAAPMLSA-N 0 0 446.551 -0.714 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506593444 1072083560 /nfs/dbraw/zinc/08/35/60/1072083560.db2.gz COLSBLLDLLTSJL-WHOFXGATSA-N 0 0 446.551 -0.714 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000506594393 1072083517 /nfs/dbraw/zinc/08/35/17/1072083517.db2.gz ZTVAVTZNGZSTKC-UHFFFAOYSA-N 0 0 441.506 -0.905 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000506594490 1072083452 /nfs/dbraw/zinc/08/34/52/1072083452.db2.gz GMEPCBDLTLCHKI-DLBZAZTESA-N 0 0 434.518 -0.108 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000506594491 1072083550 /nfs/dbraw/zinc/08/35/50/1072083550.db2.gz GMEPCBDLTLCHKI-IAGOWNOFSA-N 0 0 434.518 -0.108 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000506594492 1072083341 /nfs/dbraw/zinc/08/33/41/1072083341.db2.gz GMEPCBDLTLCHKI-IRXDYDNUSA-N 0 0 434.518 -0.108 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000506594493 1072083540 /nfs/dbraw/zinc/08/35/40/1072083540.db2.gz GMEPCBDLTLCHKI-SJORKVTESA-N 0 0 434.518 -0.108 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCC3(OCCO)CCOCC3)CC2=O)c1 ZINC000506594887 1072083853 /nfs/dbraw/zinc/08/38/53/1072083853.db2.gz HMAOMCMLUYTOMT-AWEZNQCLSA-N 0 0 441.506 -0.639 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCC3(OCCO)CCOCC3)CC2=O)c1 ZINC000506594888 1072083864 /nfs/dbraw/zinc/08/38/64/1072083864.db2.gz HMAOMCMLUYTOMT-CQSZACIVSA-N 0 0 441.506 -0.639 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000506595255 1072083838 /nfs/dbraw/zinc/08/38/38/1072083838.db2.gz IMMHIJXTWQYMAO-CVEARBPZSA-N 0 0 438.554 -0.261 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000506595259 1072083811 /nfs/dbraw/zinc/08/38/11/1072083811.db2.gz IMMHIJXTWQYMAO-HOTGVXAUSA-N 0 0 438.554 -0.261 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000506595262 1072083916 /nfs/dbraw/zinc/08/39/16/1072083916.db2.gz IMMHIJXTWQYMAO-HZPDHXFCSA-N 0 0 438.554 -0.261 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000506595264 1072083923 /nfs/dbraw/zinc/08/39/23/1072083923.db2.gz IMMHIJXTWQYMAO-JKSUJKDBSA-N 0 0 438.554 -0.261 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000506595979 1072084461 /nfs/dbraw/zinc/08/44/61/1072084461.db2.gz MEWVHWGAITXIMW-INIZCTEOSA-N 0 0 444.536 -0.084 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000506595980 1072084419 /nfs/dbraw/zinc/08/44/19/1072084419.db2.gz MEWVHWGAITXIMW-MRXNPFEDSA-N 0 0 444.536 -0.084 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000506596245 1072084408 /nfs/dbraw/zinc/08/44/08/1072084408.db2.gz MCBLVBQBQCKIPY-GXTWGEPZSA-N 0 0 434.540 -0.517 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000506596246 1072084454 /nfs/dbraw/zinc/08/44/54/1072084454.db2.gz MCBLVBQBQCKIPY-JSGCOSHPSA-N 0 0 434.540 -0.517 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000506596247 1072084337 /nfs/dbraw/zinc/08/43/37/1072084337.db2.gz MCBLVBQBQCKIPY-OCCSQVGLSA-N 0 0 434.540 -0.517 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000506596248 1072084449 /nfs/dbraw/zinc/08/44/49/1072084449.db2.gz MCBLVBQBQCKIPY-TZMCWYRMSA-N 0 0 434.540 -0.517 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CC(=O)NCC(=O)NC(C)(C)C)CC3)C2=O)n(C)n1 ZINC000506597353 1072084400 /nfs/dbraw/zinc/08/44/00/1072084400.db2.gz VFZULMYWXGMPRG-KRWDZBQOSA-N 0 0 447.584 -0.128 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CC(=O)NCC(=O)NC(C)(C)C)CC3)C2=O)n(C)n1 ZINC000506597360 1072084209 /nfs/dbraw/zinc/08/42/09/1072084209.db2.gz VFZULMYWXGMPRG-QGZVFWFLSA-N 0 0 447.584 -0.128 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000506598719 1072500337 /nfs/dbraw/zinc/50/03/37/1072500337.db2.gz YZACFWHHLAGFGE-UHFFFAOYSA-N 0 0 444.536 -0.083 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)CC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000506599311 1072084350 /nfs/dbraw/zinc/08/43/50/1072084350.db2.gz WPZNVTWCUOZCTG-UHFFFAOYSA-N 0 0 441.506 -0.115 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCS(=O)(=O)c1ccc(S(C)(=O)=O)cc1)c(=O)n2C ZINC000506601626 1072084741 /nfs/dbraw/zinc/08/47/41/1072084741.db2.gz FZFCMDOOXPWIHB-UHFFFAOYSA-N 0 0 440.503 -0.299 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(c3ncccc3C(N)=O)CC2)c(=O)n(C)c1=O ZINC000506602959 1072084703 /nfs/dbraw/zinc/08/47/03/1072084703.db2.gz ZPPOYTNDCWAZJR-UHFFFAOYSA-N 0 0 443.508 -0.716 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC1 ZINC000506610014 1072085557 /nfs/dbraw/zinc/08/55/57/1072085557.db2.gz NCWCCPYJXLAFIG-UHFFFAOYSA-N 0 0 428.493 -0.231 20 0 IBADRN COc1cc(CN2CCN(C(=O)CN3CCOCC3)CC2)cc(OC)c1OCC(N)=O ZINC000506614923 1072086568 /nfs/dbraw/zinc/08/65/68/1072086568.db2.gz IJZJFGSSTYUFAT-UHFFFAOYSA-N 0 0 436.509 -0.456 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000506615175 1072086148 /nfs/dbraw/zinc/08/61/48/1072086148.db2.gz PBBFLRSOQILPIV-FQEVSTJZSA-N 0 0 445.476 -0.284 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000506615209 1072086014 /nfs/dbraw/zinc/08/60/14/1072086014.db2.gz PBBFLRSOQILPIV-HXUWFJFHSA-N 0 0 445.476 -0.284 20 0 IBADRN COC(=O)Cn1cc(CCN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)nn1 ZINC000506615362 1072086105 /nfs/dbraw/zinc/08/61/05/1072086105.db2.gz NOIDYLIMGMXCMD-GOSISDBHSA-N 0 0 444.540 -0.151 20 0 IBADRN COC(=O)Cn1cc(CCN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)nn1 ZINC000506615367 1072086039 /nfs/dbraw/zinc/08/60/39/1072086039.db2.gz NOIDYLIMGMXCMD-SFHVURJKSA-N 0 0 444.540 -0.151 20 0 IBADRN C[C@]1(n2cc(CN3CCN(C(=O)CN4CCOCC4)CC3)cn2)CCS(=O)(=O)C1 ZINC000506617750 1072087185 /nfs/dbraw/zinc/08/71/85/1072087185.db2.gz KAYDXTVFCHXUDZ-IBGZPJMESA-N 0 0 425.555 -0.607 20 0 IBADRN C[C@@]1(n2cc(CN3CCN(C(=O)CN4CCOCC4)CC3)cn2)CCS(=O)(=O)C1 ZINC000506617751 1072087195 /nfs/dbraw/zinc/08/71/95/1072087195.db2.gz KAYDXTVFCHXUDZ-LJQANCHMSA-N 0 0 425.555 -0.607 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(CCO)CC1CC1 ZINC000506619429 1072087667 /nfs/dbraw/zinc/08/76/67/1072087667.db2.gz DHXOLOTUKFHLIS-UHFFFAOYSA-N 0 0 441.506 -0.115 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000506622336 1072088139 /nfs/dbraw/zinc/08/81/39/1072088139.db2.gz AIEDKCNQJHOAJQ-UHFFFAOYSA-N 0 0 438.238 -0.174 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000506625034 1072087782 /nfs/dbraw/zinc/08/77/82/1072087782.db2.gz NRRDAQGLBXBYSA-UHFFFAOYSA-N 0 0 430.961 -0.033 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)c1 ZINC000506627208 1072088770 /nfs/dbraw/zinc/08/87/70/1072088770.db2.gz VJZXPNNVYBCEJA-UHFFFAOYSA-N 0 0 437.478 -0.333 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506629213 1072089418 /nfs/dbraw/zinc/08/94/18/1072089418.db2.gz XSCUQFSSXNKHNM-GOSISDBHSA-N 0 0 447.584 -0.174 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506629217 1072089239 /nfs/dbraw/zinc/08/92/39/1072089239.db2.gz XSCUQFSSXNKHNM-SFHVURJKSA-N 0 0 447.584 -0.174 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)c1 ZINC000506632803 1072089376 /nfs/dbraw/zinc/08/93/76/1072089376.db2.gz IUUUDRXAOYVNPA-UHFFFAOYSA-N 0 0 436.494 -0.489 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000506637649 1072090562 /nfs/dbraw/zinc/09/05/62/1072090562.db2.gz ZLGYKMUQHNEVFG-UHFFFAOYSA-N 0 0 440.478 -0.661 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000506640932 1072090249 /nfs/dbraw/zinc/09/02/49/1072090249.db2.gz COLRCCIGPNJPCM-DLBZAZTESA-N 0 0 433.557 -0.566 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CC(=O)N[C@H](C)C(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000506640933 1072090360 /nfs/dbraw/zinc/09/03/60/1072090360.db2.gz COLRCCIGPNJPCM-IAGOWNOFSA-N 0 0 433.557 -0.566 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000506640934 1072090147 /nfs/dbraw/zinc/09/01/47/1072090147.db2.gz COLRCCIGPNJPCM-IRXDYDNUSA-N 0 0 433.557 -0.566 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CC(=O)N[C@H](C)C(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000506640935 1072090110 /nfs/dbraw/zinc/09/01/10/1072090110.db2.gz COLRCCIGPNJPCM-SJORKVTESA-N 0 0 433.557 -0.566 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000506648470 1072091622 /nfs/dbraw/zinc/09/16/22/1072091622.db2.gz VYMXFPVMDVJCDK-INIZCTEOSA-N 0 0 431.493 -0.718 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000506648471 1072091889 /nfs/dbraw/zinc/09/18/89/1072091889.db2.gz VYMXFPVMDVJCDK-MRXNPFEDSA-N 0 0 431.493 -0.718 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(Cc4cc(=O)n(C)c(=O)n4C)CC3)C2=O)n(C)n1 ZINC000506653206 1072092334 /nfs/dbraw/zinc/09/23/34/1072092334.db2.gz LVECXIMBRQVPGE-KRWDZBQOSA-N 0 0 429.525 -0.561 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(Cc4cc(=O)n(C)c(=O)n4C)CC3)C2=O)n(C)n1 ZINC000506653207 1072092271 /nfs/dbraw/zinc/09/22/71/1072092271.db2.gz LVECXIMBRQVPGE-QGZVFWFLSA-N 0 0 429.525 -0.561 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)s1)NCC1(O)CCS(=O)(=O)CC1 ZINC000506653524 1072092072 /nfs/dbraw/zinc/09/20/72/1072092072.db2.gz RHOFUAQZLJBQJY-UHFFFAOYSA-N 0 0 445.972 -0.081 20 0 IBADRN CNC(=O)Cc1noc(CN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC000506656133 1072092154 /nfs/dbraw/zinc/09/21/54/1072092154.db2.gz MJOLXPOSWSTUOM-HNNXBMFYSA-N 0 0 430.513 -0.287 20 0 IBADRN CNC(=O)Cc1noc(CN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC000506656134 1072092187 /nfs/dbraw/zinc/09/21/87/1072092187.db2.gz MJOLXPOSWSTUOM-OAHLLOKOSA-N 0 0 430.513 -0.287 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)[C@H](C)O)cc1 ZINC000506661310 1072092754 /nfs/dbraw/zinc/09/27/54/1072092754.db2.gz GDXNOTKTMTZJFZ-ABFRBSLYSA-N 0 0 447.579 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)[C@H](C)O)cc1 ZINC000506661311 1072092763 /nfs/dbraw/zinc/09/27/63/1072092763.db2.gz GDXNOTKTMTZJFZ-DIECFANBSA-N 0 0 447.579 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)[C@@H](C)O)cc1 ZINC000506661312 1072092558 /nfs/dbraw/zinc/09/25/58/1072092558.db2.gz GDXNOTKTMTZJFZ-SRABZTEZSA-N 0 0 447.579 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)[C@@H](C)O)cc1 ZINC000506661313 1072092536 /nfs/dbraw/zinc/09/25/36/1072092536.db2.gz GDXNOTKTMTZJFZ-WTTBNOFXSA-N 0 0 447.579 -0.143 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CC(=O)NCC(=O)N4CCCC4)CC3)C2=O)n(C)n1 ZINC000506662961 1072092939 /nfs/dbraw/zinc/09/29/39/1072092939.db2.gz PEESDVANCALGGQ-GOSISDBHSA-N 0 0 445.568 -0.420 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CC(=O)NCC(=O)N4CCCC4)CC3)C2=O)n(C)n1 ZINC000506662962 1072093153 /nfs/dbraw/zinc/09/31/53/1072093153.db2.gz PEESDVANCALGGQ-SFHVURJKSA-N 0 0 445.568 -0.420 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000506663660 1072092923 /nfs/dbraw/zinc/09/29/23/1072092923.db2.gz LAGWTDPUZAGNRS-UHFFFAOYSA-N 0 0 428.511 -0.972 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)CC1 ZINC000506663719 1072093059 /nfs/dbraw/zinc/09/30/59/1072093059.db2.gz QEAGECYPBDLVCM-IBGZPJMESA-N 0 0 445.568 -0.468 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)CC1 ZINC000506663726 1072093130 /nfs/dbraw/zinc/09/31/30/1072093130.db2.gz QEAGECYPBDLVCM-LJQANCHMSA-N 0 0 445.568 -0.468 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506665556 1072094020 /nfs/dbraw/zinc/09/40/20/1072094020.db2.gz WNQKWPSYHVEUEK-KRWDZBQOSA-N 0 0 434.541 -0.137 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000506665558 1072094095 /nfs/dbraw/zinc/09/40/95/1072094095.db2.gz WNQKWPSYHVEUEK-QGZVFWFLSA-N 0 0 434.541 -0.137 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CC(=O)N4CCC[C@@H](C(N)=O)C4)CC3)C2=O)n(C)n1 ZINC000506666199 1072094432 /nfs/dbraw/zinc/09/44/32/1072094432.db2.gz ZAIYNOGHGCJORD-MSOLQXFVSA-N 0 0 445.568 -0.435 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CC(=O)N4CCC[C@@H](C(N)=O)C4)CC3)C2=O)n(C)n1 ZINC000506666200 1072094453 /nfs/dbraw/zinc/09/44/53/1072094453.db2.gz ZAIYNOGHGCJORD-QZTJIDSGSA-N 0 0 445.568 -0.435 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CC(=O)N4CCC[C@H](C(N)=O)C4)CC3)C2=O)n(C)n1 ZINC000506666201 1072094282 /nfs/dbraw/zinc/09/42/82/1072094282.db2.gz ZAIYNOGHGCJORD-ROUUACIJSA-N 0 0 445.568 -0.435 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CC(=O)N4CCC[C@H](C(N)=O)C4)CC3)C2=O)n(C)n1 ZINC000506666202 1072094369 /nfs/dbraw/zinc/09/43/69/1072094369.db2.gz ZAIYNOGHGCJORD-ZWKOTPCHSA-N 0 0 445.568 -0.435 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506669143 1072093508 /nfs/dbraw/zinc/09/35/08/1072093508.db2.gz AZHSUQFAFCLRLC-BNOWGMLFSA-N 0 0 435.572 -0.462 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506669144 1072093933 /nfs/dbraw/zinc/09/39/33/1072093933.db2.gz AZHSUQFAFCLRLC-KWCYVHTRSA-N 0 0 435.572 -0.462 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506669145 1072093807 /nfs/dbraw/zinc/09/38/07/1072093807.db2.gz AZHSUQFAFCLRLC-MRVWCRGKSA-N 0 0 435.572 -0.462 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506669146 1072093819 /nfs/dbraw/zinc/09/38/19/1072093819.db2.gz AZHSUQFAFCLRLC-XBFCOCLRSA-N 0 0 435.572 -0.462 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000506671052 1072095051 /nfs/dbraw/zinc/09/50/51/1072095051.db2.gz BPXXLUDNBTUMIS-CYBMUJFWSA-N 0 0 432.524 -0.537 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000506671054 1072094939 /nfs/dbraw/zinc/09/49/39/1072094939.db2.gz BPXXLUDNBTUMIS-ZDUSSCGKSA-N 0 0 432.524 -0.537 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000506672655 1072094684 /nfs/dbraw/zinc/09/46/84/1072094684.db2.gz FFYNBLLKPBRPML-INIZCTEOSA-N 0 0 440.522 -0.026 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000506672657 1072095023 /nfs/dbraw/zinc/09/50/23/1072095023.db2.gz FFYNBLLKPBRPML-MRXNPFEDSA-N 0 0 440.522 -0.026 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506673317 1072095294 /nfs/dbraw/zinc/09/52/94/1072095294.db2.gz WQQHUEXUTDQMDL-GHMZBOCLSA-N 0 0 435.524 -0.201 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506673318 1072095248 /nfs/dbraw/zinc/09/52/48/1072095248.db2.gz WQQHUEXUTDQMDL-MNOVXSKESA-N 0 0 435.524 -0.201 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506673319 1072095388 /nfs/dbraw/zinc/09/53/88/1072095388.db2.gz WQQHUEXUTDQMDL-QWRGUYRKSA-N 0 0 435.524 -0.201 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506673320 1072095308 /nfs/dbraw/zinc/09/53/08/1072095308.db2.gz WQQHUEXUTDQMDL-WDEREUQCSA-N 0 0 435.524 -0.201 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000506673377 1072095262 /nfs/dbraw/zinc/09/52/62/1072095262.db2.gz XDCHTTSTRRSPGJ-UHFFFAOYSA-N 0 0 442.538 -0.581 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506674204 1072095198 /nfs/dbraw/zinc/09/51/98/1072095198.db2.gz KFEULQNNYWZWAW-AHIWAGSCSA-N 0 0 430.527 -0.564 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506674205 1072095400 /nfs/dbraw/zinc/09/54/00/1072095400.db2.gz KFEULQNNYWZWAW-DCGLDWPTSA-N 0 0 430.527 -0.564 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506674206 1072095726 /nfs/dbraw/zinc/09/57/26/1072095726.db2.gz KFEULQNNYWZWAW-IGCXYCKISA-N 0 0 430.527 -0.564 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506674207 1072095806 /nfs/dbraw/zinc/09/58/06/1072095806.db2.gz KFEULQNNYWZWAW-XNJGSVPQSA-N 0 0 430.527 -0.564 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506678593 1072097660 /nfs/dbraw/zinc/09/76/60/1072097660.db2.gz PMGBGNDLKQFLJM-HNNXBMFYSA-N 0 0 446.551 -0.242 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506678594 1072097531 /nfs/dbraw/zinc/09/75/31/1072097531.db2.gz PMGBGNDLKQFLJM-OAHLLOKOSA-N 0 0 446.551 -0.242 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000506679069 1072096645 /nfs/dbraw/zinc/09/66/45/1072096645.db2.gz BQHREGXGKKEMJK-GFCCVEGCSA-N 0 0 429.499 -0.335 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000506679070 1072096763 /nfs/dbraw/zinc/09/67/63/1072096763.db2.gz BQHREGXGKKEMJK-LBPRGKRZSA-N 0 0 429.499 -0.335 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000506679855 1072098217 /nfs/dbraw/zinc/09/82/17/1072098217.db2.gz LOGWFKJFRKVQIT-CYBMUJFWSA-N 0 0 446.551 -0.242 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000506679856 1072098040 /nfs/dbraw/zinc/09/80/40/1072098040.db2.gz LOGWFKJFRKVQIT-ZDUSSCGKSA-N 0 0 446.551 -0.242 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1 ZINC000506681948 1072098101 /nfs/dbraw/zinc/09/81/01/1072098101.db2.gz MFXYCANTTFRWAI-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1 ZINC000506681949 1072098149 /nfs/dbraw/zinc/09/81/49/1072098149.db2.gz MFXYCANTTFRWAI-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)Nc3ncn(CC(=O)N(C)C)n3)C2)cc1 ZINC000506683512 1074350208 /nfs/dbraw/zinc/35/02/08/1074350208.db2.gz UOFBFXVBSJEOKA-UHFFFAOYSA-N 0 0 437.482 -0.431 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000506683940 1072098181 /nfs/dbraw/zinc/09/81/81/1072098181.db2.gz VYBASDVHQFOABV-GFCCVEGCSA-N 0 0 425.446 -0.378 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000506683941 1072098085 /nfs/dbraw/zinc/09/80/85/1072098085.db2.gz VYBASDVHQFOABV-LBPRGKRZSA-N 0 0 425.446 -0.378 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)N[C@H]1CCOc2ccccc21 ZINC000506685262 1072099821 /nfs/dbraw/zinc/09/98/21/1072099821.db2.gz CRHJEHVHMVSDJS-AEFFLSMTSA-N 0 0 430.505 -0.077 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)N[C@@H]1CCOc2ccccc21 ZINC000506685263 1072099658 /nfs/dbraw/zinc/09/96/58/1072099658.db2.gz CRHJEHVHMVSDJS-FUHWJXTLSA-N 0 0 430.505 -0.077 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)N[C@@H]1CCOc2ccccc21 ZINC000506685264 1072099850 /nfs/dbraw/zinc/09/98/50/1072099850.db2.gz CRHJEHVHMVSDJS-SJLPKXTDSA-N 0 0 430.505 -0.077 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)N[C@H]1CCOc2ccccc21 ZINC000506685265 1072099777 /nfs/dbraw/zinc/09/97/77/1072099777.db2.gz CRHJEHVHMVSDJS-WMZOPIPTSA-N 0 0 430.505 -0.077 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000506685508 1072099445 /nfs/dbraw/zinc/09/94/45/1072099445.db2.gz FESKXPJISARBPB-BBWFWOEESA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000506685509 1072099232 /nfs/dbraw/zinc/09/92/32/1072099232.db2.gz FESKXPJISARBPB-GVDBMIGSSA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000506685510 1072099389 /nfs/dbraw/zinc/09/93/89/1072099389.db2.gz FESKXPJISARBPB-ULQDDVLXSA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000506685511 1072099269 /nfs/dbraw/zinc/09/92/69/1072099269.db2.gz FESKXPJISARBPB-YESZJQIVSA-N 0 0 430.571 -0.466 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506690212 1072099316 /nfs/dbraw/zinc/09/93/16/1072099316.db2.gz RJDZSFLYVSTICT-AAEUAGOBSA-N 0 0 437.515 -0.142 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506690213 1072502715 /nfs/dbraw/zinc/50/27/15/1072502715.db2.gz RJDZSFLYVSTICT-DGCLKSJQSA-N 0 0 437.515 -0.142 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506690214 1072099208 /nfs/dbraw/zinc/09/92/08/1072099208.db2.gz RJDZSFLYVSTICT-WCQYABFASA-N 0 0 437.515 -0.142 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506690215 1072099257 /nfs/dbraw/zinc/09/92/57/1072099257.db2.gz RJDZSFLYVSTICT-YPMHNXCESA-N 0 0 437.515 -0.142 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000506693022 1072099755 /nfs/dbraw/zinc/09/97/55/1072099755.db2.gz FCWOXCLGPATANM-BXUZGUMPSA-N 0 0 437.522 -0.358 20 0 IBADRN CCc1c(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000506693023 1072099874 /nfs/dbraw/zinc/09/98/74/1072099874.db2.gz FCWOXCLGPATANM-FZMZJTMJSA-N 0 0 437.522 -0.358 20 0 IBADRN CCc1c(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000506693024 1072099893 /nfs/dbraw/zinc/09/98/93/1072099893.db2.gz FCWOXCLGPATANM-RISCZKNCSA-N 0 0 437.522 -0.358 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000506693027 1072100248 /nfs/dbraw/zinc/10/02/48/1072100248.db2.gz FCWOXCLGPATANM-SMDDNHRTSA-N 0 0 437.522 -0.358 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)c1 ZINC000506694640 1072503231 /nfs/dbraw/zinc/50/32/31/1072503231.db2.gz DJCWXGPXCREBRH-CYBMUJFWSA-N 0 0 446.551 -0.337 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)c1 ZINC000506694641 1072100253 /nfs/dbraw/zinc/10/02/53/1072100253.db2.gz DJCWXGPXCREBRH-ZDUSSCGKSA-N 0 0 446.551 -0.337 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506695893 1072100724 /nfs/dbraw/zinc/10/07/24/1072100724.db2.gz DYQFVYCKIIXWEZ-BBRMVZONSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506695894 1072100752 /nfs/dbraw/zinc/10/07/52/1072100752.db2.gz DYQFVYCKIIXWEZ-CJNGLKHVSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506695895 1072100756 /nfs/dbraw/zinc/10/07/56/1072100756.db2.gz DYQFVYCKIIXWEZ-CZUORRHYSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506695896 1072100688 /nfs/dbraw/zinc/10/06/88/1072100688.db2.gz DYQFVYCKIIXWEZ-XJKSGUPXSA-N 0 0 440.522 -0.026 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506696287 1072100742 /nfs/dbraw/zinc/10/07/42/1072100742.db2.gz FQZPXYSJUYPFPA-DOMZBBRYSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506696288 1072100717 /nfs/dbraw/zinc/10/07/17/1072100717.db2.gz FQZPXYSJUYPFPA-IUODEOHRSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506696289 1072100734 /nfs/dbraw/zinc/10/07/34/1072100734.db2.gz FQZPXYSJUYPFPA-SWLSCSKDSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506696290 1072100698 /nfs/dbraw/zinc/10/06/98/1072100698.db2.gz FQZPXYSJUYPFPA-WFASDCNBSA-N 0 0 446.551 -0.291 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000506696756 1072101362 /nfs/dbraw/zinc/10/13/62/1072101362.db2.gz OYTPSNVTERUEIZ-NEPJUHHUSA-N 0 0 440.507 -0.455 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000506696759 1072101354 /nfs/dbraw/zinc/10/13/54/1072101354.db2.gz OYTPSNVTERUEIZ-NWDGAFQWSA-N 0 0 440.507 -0.455 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000506696761 1072101304 /nfs/dbraw/zinc/10/13/04/1072101304.db2.gz OYTPSNVTERUEIZ-RYUDHWBXSA-N 0 0 440.507 -0.455 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000506696763 1072101342 /nfs/dbraw/zinc/10/13/42/1072101342.db2.gz OYTPSNVTERUEIZ-VXGBXAGGSA-N 0 0 440.507 -0.455 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506697224 1072101314 /nfs/dbraw/zinc/10/13/14/1072101314.db2.gz HOZJBXBNAJDAHO-CHWSQXEVSA-N 0 0 426.495 -0.173 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506697225 1072101340 /nfs/dbraw/zinc/10/13/40/1072101340.db2.gz HOZJBXBNAJDAHO-OLZOCXBDSA-N 0 0 426.495 -0.173 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506697226 1072101763 /nfs/dbraw/zinc/10/17/63/1072101763.db2.gz HOZJBXBNAJDAHO-QWHCGFSZSA-N 0 0 426.495 -0.173 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506697227 1072101791 /nfs/dbraw/zinc/10/17/91/1072101791.db2.gz HOZJBXBNAJDAHO-STQMWFEESA-N 0 0 426.495 -0.173 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000506697388 1072101329 /nfs/dbraw/zinc/10/13/29/1072101329.db2.gz DXZCMJNJLDUAKJ-GFCCVEGCSA-N 0 0 436.487 -0.706 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000506697389 1072101309 /nfs/dbraw/zinc/10/13/09/1072101309.db2.gz DXZCMJNJLDUAKJ-LBPRGKRZSA-N 0 0 436.487 -0.706 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000506698047 1072101683 /nfs/dbraw/zinc/10/16/83/1072101683.db2.gz ISUKNMRWTKEEHY-CMPLNLGQSA-N 0 0 446.507 -0.924 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000506698048 1072101751 /nfs/dbraw/zinc/10/17/51/1072101751.db2.gz ISUKNMRWTKEEHY-JQWIXIFHSA-N 0 0 446.507 -0.924 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000506698049 1072101673 /nfs/dbraw/zinc/10/16/73/1072101673.db2.gz ISUKNMRWTKEEHY-PWSUYJOCSA-N 0 0 446.507 -0.924 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000506698051 1072101820 /nfs/dbraw/zinc/10/18/20/1072101820.db2.gz ISUKNMRWTKEEHY-ZYHUDNBSSA-N 0 0 446.507 -0.924 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506698886 1072102401 /nfs/dbraw/zinc/10/24/01/1072102401.db2.gz UBHSIWNBOUXINN-DZGCQCFKSA-N 0 0 447.525 -0.302 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506698891 1072102273 /nfs/dbraw/zinc/10/22/73/1072102273.db2.gz UBHSIWNBOUXINN-HIFRSBDPSA-N 0 0 447.525 -0.302 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506698893 1072102333 /nfs/dbraw/zinc/10/23/33/1072102333.db2.gz UBHSIWNBOUXINN-UKRRQHHQSA-N 0 0 447.525 -0.302 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506698894 1072102306 /nfs/dbraw/zinc/10/23/06/1072102306.db2.gz UBHSIWNBOUXINN-ZFWWWQNUSA-N 0 0 447.525 -0.302 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1C ZINC000506698905 1072102400 /nfs/dbraw/zinc/10/24/00/1072102400.db2.gz AZUNPGOWGWACOL-CYBMUJFWSA-N 0 0 446.551 -0.276 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1C ZINC000506698922 1072102733 /nfs/dbraw/zinc/10/27/33/1072102733.db2.gz AZUNPGOWGWACOL-ZDUSSCGKSA-N 0 0 446.551 -0.276 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)C1)S(C)(=O)=O ZINC000506699593 1072102872 /nfs/dbraw/zinc/10/28/72/1072102872.db2.gz UIIZRUBAXHQTMK-CYBMUJFWSA-N 0 0 426.495 -0.381 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)C1)S(C)(=O)=O ZINC000506699594 1072102801 /nfs/dbraw/zinc/10/28/01/1072102801.db2.gz UIIZRUBAXHQTMK-ZDUSSCGKSA-N 0 0 426.495 -0.381 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506701663 1072103349 /nfs/dbraw/zinc/10/33/49/1072103349.db2.gz OJNKZRRYUWMXOC-DOMZBBRYSA-N 0 0 426.495 -0.416 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506701664 1072103462 /nfs/dbraw/zinc/10/34/62/1072103462.db2.gz OJNKZRRYUWMXOC-IUODEOHRSA-N 0 0 426.495 -0.416 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506701665 1072103499 /nfs/dbraw/zinc/10/34/99/1072103499.db2.gz OJNKZRRYUWMXOC-SWLSCSKDSA-N 0 0 426.495 -0.416 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506701667 1072103431 /nfs/dbraw/zinc/10/34/31/1072103431.db2.gz OJNKZRRYUWMXOC-WFASDCNBSA-N 0 0 426.495 -0.416 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000506702190 1072103502 /nfs/dbraw/zinc/10/35/02/1072103502.db2.gz PIUBYGBUDSJIHT-UHFFFAOYSA-N 0 0 428.511 -0.972 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506703408 1072103473 /nfs/dbraw/zinc/10/34/73/1072103473.db2.gz RQKHXMGORSWWML-BBRMVZONSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506703409 1072103935 /nfs/dbraw/zinc/10/39/35/1072103935.db2.gz RQKHXMGORSWWML-CJNGLKHVSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506703410 1072103941 /nfs/dbraw/zinc/10/39/41/1072103941.db2.gz RQKHXMGORSWWML-CZUORRHYSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506703411 1072103911 /nfs/dbraw/zinc/10/39/11/1072103911.db2.gz RQKHXMGORSWWML-XJKSGUPXSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c(C)c1 ZINC000506703577 1072103366 /nfs/dbraw/zinc/10/33/66/1072103366.db2.gz SVNXBVNFDLOZKO-HNNXBMFYSA-N 0 0 440.522 -0.059 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c(C)c1 ZINC000506703578 1072103468 /nfs/dbraw/zinc/10/34/68/1072103468.db2.gz SVNXBVNFDLOZKO-OAHLLOKOSA-N 0 0 440.522 -0.059 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cc1 ZINC000506703741 1072103985 /nfs/dbraw/zinc/10/39/85/1072103985.db2.gz LZDSZOKJMDPBSG-AWEZNQCLSA-N 0 0 440.522 -0.120 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cc1 ZINC000506703742 1072103977 /nfs/dbraw/zinc/10/39/77/1072103977.db2.gz LZDSZOKJMDPBSG-CQSZACIVSA-N 0 0 440.522 -0.120 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cccc1C(N)=O ZINC000506704233 1072104012 /nfs/dbraw/zinc/10/40/12/1072104012.db2.gz NMUDEXDBTNSTIY-GFCCVEGCSA-N 0 0 426.495 -0.137 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cccc1C(N)=O ZINC000506704234 1072103923 /nfs/dbraw/zinc/10/39/23/1072103923.db2.gz NMUDEXDBTNSTIY-LBPRGKRZSA-N 0 0 426.495 -0.137 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c2ccccc2O1 ZINC000506704519 1072103904 /nfs/dbraw/zinc/10/39/04/1072103904.db2.gz VIXZVOZCRPPOCN-IOASZLSFSA-N 0 0 438.506 -0.639 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c2ccccc2O1 ZINC000506704589 1072103989 /nfs/dbraw/zinc/10/39/89/1072103989.db2.gz VIXZVOZCRPPOCN-WWGRRREGSA-N 0 0 438.506 -0.639 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c2ccccc2O1 ZINC000506704592 1072103896 /nfs/dbraw/zinc/10/38/96/1072103896.db2.gz VIXZVOZCRPPOCN-XEZPLFJOSA-N 0 0 438.506 -0.639 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c2ccccc2O1 ZINC000506704594 1072103930 /nfs/dbraw/zinc/10/39/30/1072103930.db2.gz VIXZVOZCRPPOCN-ZENOOKHLSA-N 0 0 438.506 -0.639 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CCOCCO ZINC000506705965 1072104440 /nfs/dbraw/zinc/10/44/40/1072104440.db2.gz XLHFHUUXFPNDJS-UHFFFAOYSA-N 0 0 429.495 -0.578 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506706250 1072103450 /nfs/dbraw/zinc/10/34/50/1072103450.db2.gz SCQHAJYGSDYYAW-INIZCTEOSA-N 0 0 440.522 -0.116 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506706251 1072103406 /nfs/dbraw/zinc/10/34/06/1072103406.db2.gz SCQHAJYGSDYYAW-MRXNPFEDSA-N 0 0 440.522 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1-n1cnnn1 ZINC000506708653 1072105142 /nfs/dbraw/zinc/10/51/42/1072105142.db2.gz BLLCFMUMOFDKMO-AAEUAGOBSA-N 0 0 437.482 -0.557 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1-n1cnnn1 ZINC000506708654 1072105107 /nfs/dbraw/zinc/10/51/07/1072105107.db2.gz BLLCFMUMOFDKMO-DGCLKSJQSA-N 0 0 437.482 -0.557 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1-n1cnnn1 ZINC000506708655 1072105069 /nfs/dbraw/zinc/10/50/69/1072105069.db2.gz BLLCFMUMOFDKMO-WCQYABFASA-N 0 0 437.482 -0.557 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1-n1cnnn1 ZINC000506708656 1072105042 /nfs/dbraw/zinc/10/50/42/1072105042.db2.gz BLLCFMUMOFDKMO-YPMHNXCESA-N 0 0 437.482 -0.557 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)C1 ZINC000506712438 1072104582 /nfs/dbraw/zinc/10/45/82/1072104582.db2.gz CELOWGXTFFPGJM-AWEZNQCLSA-N 0 0 429.520 -0.163 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)C1 ZINC000506712439 1072104636 /nfs/dbraw/zinc/10/46/36/1072104636.db2.gz CELOWGXTFFPGJM-CQSZACIVSA-N 0 0 429.520 -0.163 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000506713050 1072106486 /nfs/dbraw/zinc/10/64/86/1072106486.db2.gz GNPUCDTUNLVQRF-HNNXBMFYSA-N 0 0 436.494 -0.608 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000506713051 1072106494 /nfs/dbraw/zinc/10/64/94/1072106494.db2.gz GNPUCDTUNLVQRF-OAHLLOKOSA-N 0 0 436.494 -0.608 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506714090 1072107083 /nfs/dbraw/zinc/10/70/83/1072107083.db2.gz DCPXWZQEGKFQIP-GXTWGEPZSA-N 0 0 446.551 -0.331 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506714094 1072107087 /nfs/dbraw/zinc/10/70/87/1072107087.db2.gz DCPXWZQEGKFQIP-JSGCOSHPSA-N 0 0 446.551 -0.331 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506714095 1072107116 /nfs/dbraw/zinc/10/71/16/1072107116.db2.gz DCPXWZQEGKFQIP-OCCSQVGLSA-N 0 0 446.551 -0.331 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506714096 1072107124 /nfs/dbraw/zinc/10/71/24/1072107124.db2.gz DCPXWZQEGKFQIP-TZMCWYRMSA-N 0 0 446.551 -0.331 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506714289 1072106512 /nfs/dbraw/zinc/10/65/12/1072106512.db2.gz DNGZVGOZGKNWIB-DZGCQCFKSA-N 0 0 446.551 -0.492 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506714290 1072106481 /nfs/dbraw/zinc/10/64/81/1072106481.db2.gz DNGZVGOZGKNWIB-HIFRSBDPSA-N 0 0 446.551 -0.492 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506714291 1072106491 /nfs/dbraw/zinc/10/64/91/1072106491.db2.gz DNGZVGOZGKNWIB-UKRRQHHQSA-N 0 0 446.551 -0.492 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506714292 1072106470 /nfs/dbraw/zinc/10/64/70/1072106470.db2.gz DNGZVGOZGKNWIB-ZFWWWQNUSA-N 0 0 446.551 -0.492 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)ccc1F ZINC000506714516 1072106465 /nfs/dbraw/zinc/10/64/65/1072106465.db2.gz FLNGUYUCXFDFLA-BXUZGUMPSA-N 0 0 439.473 -0.118 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)ccc1F ZINC000506714517 1072106516 /nfs/dbraw/zinc/10/65/16/1072106516.db2.gz FLNGUYUCXFDFLA-FZMZJTMJSA-N 0 0 439.473 -0.118 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)ccc1F ZINC000506714518 1072106507 /nfs/dbraw/zinc/10/65/07/1072106507.db2.gz FLNGUYUCXFDFLA-RISCZKNCSA-N 0 0 439.473 -0.118 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)ccc1F ZINC000506714519 1072106476 /nfs/dbraw/zinc/10/64/76/1072106476.db2.gz FLNGUYUCXFDFLA-SMDDNHRTSA-N 0 0 439.473 -0.118 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000506714759 1072105524 /nfs/dbraw/zinc/10/55/24/1072105524.db2.gz GUFHRPBCKOSIJD-KBPBESRZSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000506714760 1072105569 /nfs/dbraw/zinc/10/55/69/1072105569.db2.gz GUFHRPBCKOSIJD-KGLIPLIRSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000506714761 1072105628 /nfs/dbraw/zinc/10/56/28/1072105628.db2.gz GUFHRPBCKOSIJD-UONOGXRCSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000506714762 1072105618 /nfs/dbraw/zinc/10/56/18/1072105618.db2.gz GUFHRPBCKOSIJD-ZIAGYGMSSA-N 0 0 425.511 -0.309 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1C ZINC000506714951 1072105634 /nfs/dbraw/zinc/10/56/34/1072105634.db2.gz HSUPKGMOVIYKKN-GXTWGEPZSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1C ZINC000506714952 1072105558 /nfs/dbraw/zinc/10/55/58/1072105558.db2.gz HSUPKGMOVIYKKN-JSGCOSHPSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1C ZINC000506714953 1072105506 /nfs/dbraw/zinc/10/55/06/1072105506.db2.gz HSUPKGMOVIYKKN-OCCSQVGLSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1C ZINC000506714954 1072105611 /nfs/dbraw/zinc/10/56/11/1072105611.db2.gz HSUPKGMOVIYKKN-TZMCWYRMSA-N 0 0 446.551 -0.324 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)C1 ZINC000506715427 1072105007 /nfs/dbraw/zinc/10/50/07/1072105007.db2.gz TYHPWQKCWUYBOU-LLVKDONJSA-N 0 0 426.470 -0.068 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)C1 ZINC000506715428 1072105016 /nfs/dbraw/zinc/10/50/16/1072105016.db2.gz TYHPWQKCWUYBOU-NSHDSACASA-N 0 0 426.470 -0.068 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1 ZINC000506716230 1074350241 /nfs/dbraw/zinc/35/02/41/1074350241.db2.gz YIWJXASMRDCUNL-CYBMUJFWSA-N 0 0 428.515 -0.960 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1 ZINC000506716237 1074350303 /nfs/dbraw/zinc/35/03/03/1074350303.db2.gz YIWJXASMRDCUNL-ZDUSSCGKSA-N 0 0 428.515 -0.960 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000506716654 1072105606 /nfs/dbraw/zinc/10/56/06/1072105606.db2.gz NSRJGMGSRRFNFP-DZGCQCFKSA-N 0 0 437.522 -0.016 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000506716655 1072105578 /nfs/dbraw/zinc/10/55/78/1072105578.db2.gz NSRJGMGSRRFNFP-HIFRSBDPSA-N 0 0 437.522 -0.016 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000506716656 1072105584 /nfs/dbraw/zinc/10/55/84/1072105584.db2.gz NSRJGMGSRRFNFP-UKRRQHHQSA-N 0 0 437.522 -0.016 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000506716657 1072105552 /nfs/dbraw/zinc/10/55/52/1072105552.db2.gz NSRJGMGSRRFNFP-ZFWWWQNUSA-N 0 0 437.522 -0.016 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1)S(C)(=O)=O ZINC000506716700 1072105563 /nfs/dbraw/zinc/10/55/63/1072105563.db2.gz DBFKAYIXBWMKJM-GFCCVEGCSA-N 0 0 439.473 -0.130 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1)S(C)(=O)=O ZINC000506716701 1072105574 /nfs/dbraw/zinc/10/55/74/1072105574.db2.gz DBFKAYIXBWMKJM-LBPRGKRZSA-N 0 0 439.473 -0.130 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000506717265 1072107146 /nfs/dbraw/zinc/10/71/46/1072107146.db2.gz GYRFGCRIBCVVSB-CYBMUJFWSA-N 0 0 446.551 -0.289 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000506717266 1072107094 /nfs/dbraw/zinc/10/70/94/1072107094.db2.gz GYRFGCRIBCVVSB-ZDUSSCGKSA-N 0 0 446.551 -0.289 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1CCN(CCO)CC1 ZINC000506718258 1072107447 /nfs/dbraw/zinc/10/74/47/1072107447.db2.gz IBECFTPOCOUICK-UHFFFAOYSA-N 0 0 439.534 -0.005 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(OCCO)CCOCC1)CC2 ZINC000506718270 1072107497 /nfs/dbraw/zinc/10/74/97/1072107497.db2.gz SBYCHXUFIQWBDZ-UHFFFAOYSA-N 0 0 441.506 -0.842 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000506718403 1072107149 /nfs/dbraw/zinc/10/71/49/1072107149.db2.gz SRACFSKUNCLRTJ-GWCFXTLKSA-N 0 0 425.446 -0.426 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000506718405 1072107105 /nfs/dbraw/zinc/10/71/05/1072107105.db2.gz SRACFSKUNCLRTJ-GXFFZTMASA-N 0 0 425.446 -0.426 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000506718406 1072107484 /nfs/dbraw/zinc/10/74/84/1072107484.db2.gz SRACFSKUNCLRTJ-MFKMUULPSA-N 0 0 425.446 -0.426 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000506718408 1072107501 /nfs/dbraw/zinc/10/75/01/1072107501.db2.gz SRACFSKUNCLRTJ-ZWNOBZJWSA-N 0 0 425.446 -0.426 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1-n1cnnn1 ZINC000506718435 1072107523 /nfs/dbraw/zinc/10/75/23/1072107523.db2.gz MGBFJAZOZSTSIL-CYBMUJFWSA-N 0 0 437.482 -0.508 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1-n1cnnn1 ZINC000506718436 1072107438 /nfs/dbraw/zinc/10/74/38/1072107438.db2.gz MGBFJAZOZSTSIL-ZDUSSCGKSA-N 0 0 437.482 -0.508 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000506718477 1072107513 /nfs/dbraw/zinc/10/75/13/1072107513.db2.gz MQUNZJAUAGFGLP-INIZCTEOSA-N 0 0 442.542 -0.964 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000506718478 1072107479 /nfs/dbraw/zinc/10/74/79/1072107479.db2.gz MQUNZJAUAGFGLP-MRXNPFEDSA-N 0 0 442.542 -0.964 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC2(OCCO)CCOCC2)cc1 ZINC000506718978 1072108085 /nfs/dbraw/zinc/10/80/85/1072108085.db2.gz UOTLFPLVMNMWGZ-UHFFFAOYSA-N 0 0 443.522 -0.012 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506719593 1072108084 /nfs/dbraw/zinc/10/80/84/1072108084.db2.gz SHFRCCJRFIDAPT-AWEZNQCLSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506719599 1072108081 /nfs/dbraw/zinc/10/80/81/1072108081.db2.gz SHFRCCJRFIDAPT-CQSZACIVSA-N 0 0 432.524 -0.584 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)c1C ZINC000506723216 1072109385 /nfs/dbraw/zinc/10/93/85/1072109385.db2.gz DUTMQZIAGKBDQR-CYBMUJFWSA-N 0 0 429.499 -0.567 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)c1C ZINC000506723219 1072109374 /nfs/dbraw/zinc/10/93/74/1072109374.db2.gz DUTMQZIAGKBDQR-ZDUSSCGKSA-N 0 0 429.499 -0.567 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506723448 1072109412 /nfs/dbraw/zinc/10/94/12/1072109412.db2.gz CKTKCMQZFVHNFG-BBRMVZONSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506723449 1072109395 /nfs/dbraw/zinc/10/93/95/1072109395.db2.gz CKTKCMQZFVHNFG-CJNGLKHVSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506723450 1072109401 /nfs/dbraw/zinc/10/94/01/1072109401.db2.gz CKTKCMQZFVHNFG-CZUORRHYSA-N 0 0 440.522 -0.026 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506723451 1072109396 /nfs/dbraw/zinc/10/93/96/1072109396.db2.gz CKTKCMQZFVHNFG-XJKSGUPXSA-N 0 0 440.522 -0.026 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1)S(C)(=O)=O ZINC000506723917 1072109925 /nfs/dbraw/zinc/10/99/25/1072109925.db2.gz IYPBDYMLPUTWEY-HNNXBMFYSA-N 0 0 439.538 -0.013 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1)S(C)(=O)=O ZINC000506723918 1072110007 /nfs/dbraw/zinc/11/00/07/1072110007.db2.gz IYPBDYMLPUTWEY-OAHLLOKOSA-N 0 0 439.538 -0.013 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)C1 ZINC000506724832 1072110391 /nfs/dbraw/zinc/11/03/91/1072110391.db2.gz MXJROBCLYLWEIP-LLVKDONJSA-N 0 0 449.327 -0.031 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)C1 ZINC000506724833 1072110326 /nfs/dbraw/zinc/11/03/26/1072110326.db2.gz MXJROBCLYLWEIP-NSHDSACASA-N 0 0 449.327 -0.031 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)C1)S(C)(=O)=O ZINC000506725430 1072110310 /nfs/dbraw/zinc/11/03/10/1072110310.db2.gz PDSRPWRRSJCCEK-HNNXBMFYSA-N 0 0 439.538 -0.013 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)C1)S(C)(=O)=O ZINC000506725431 1072110406 /nfs/dbraw/zinc/11/04/06/1072110406.db2.gz PDSRPWRRSJCCEK-OAHLLOKOSA-N 0 0 439.538 -0.013 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)ccc1C ZINC000506725568 1072110362 /nfs/dbraw/zinc/11/03/62/1072110362.db2.gz KVIWHUKSANXABQ-GXTWGEPZSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)ccc1C ZINC000506725569 1072110424 /nfs/dbraw/zinc/11/04/24/1072110424.db2.gz KVIWHUKSANXABQ-JSGCOSHPSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)ccc1C ZINC000506725570 1072110376 /nfs/dbraw/zinc/11/03/76/1072110376.db2.gz KVIWHUKSANXABQ-OCCSQVGLSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)ccc1C ZINC000506725571 1072110453 /nfs/dbraw/zinc/11/04/53/1072110453.db2.gz KVIWHUKSANXABQ-TZMCWYRMSA-N 0 0 446.551 -0.324 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@H](Cn2ccnn2)C1 ZINC000506725837 1072109409 /nfs/dbraw/zinc/10/94/09/1072109409.db2.gz LHMIVXUYVXNMHV-INIZCTEOSA-N 0 0 441.558 -0.259 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000506725838 1072109920 /nfs/dbraw/zinc/10/99/20/1072109920.db2.gz LHMIVXUYVXNMHV-MRXNPFEDSA-N 0 0 441.558 -0.259 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(Cc3cn(C)c(=O)n(C)c3=O)CC2)c1 ZINC000506726583 1072111069 /nfs/dbraw/zinc/11/10/69/1072111069.db2.gz NYSLIAGKIMRWDB-UHFFFAOYSA-N 0 0 438.506 -0.392 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000506727012 1072109906 /nfs/dbraw/zinc/10/99/06/1072109906.db2.gz XHMKMUDSAMWDHA-GFCCVEGCSA-N 0 0 429.499 -0.335 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000506727013 1072110042 /nfs/dbraw/zinc/11/00/42/1072110042.db2.gz XHMKMUDSAMWDHA-LBPRGKRZSA-N 0 0 429.499 -0.335 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C1 ZINC000506728407 1072112330 /nfs/dbraw/zinc/11/23/30/1072112330.db2.gz CJGPJQZJSFJGIH-HNNXBMFYSA-N 0 0 426.495 -0.238 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C1 ZINC000506728408 1072112446 /nfs/dbraw/zinc/11/24/46/1072112446.db2.gz CJGPJQZJSFJGIH-OAHLLOKOSA-N 0 0 426.495 -0.238 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506728883 1072111411 /nfs/dbraw/zinc/11/14/11/1072111411.db2.gz BSSSTBSUTMFNTN-BBRMVZONSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506728886 1072111426 /nfs/dbraw/zinc/11/14/26/1072111426.db2.gz BSSSTBSUTMFNTN-CJNGLKHVSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506728887 1072111362 /nfs/dbraw/zinc/11/13/62/1072111362.db2.gz BSSSTBSUTMFNTN-CZUORRHYSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506728888 1072111946 /nfs/dbraw/zinc/11/19/46/1072111946.db2.gz BSSSTBSUTMFNTN-XJKSGUPXSA-N 0 0 440.522 -0.074 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000506729474 1072110852 /nfs/dbraw/zinc/11/08/52/1072110852.db2.gz UWNHFFVDSJWXBH-NOZJJQNGSA-N 0 0 436.487 -0.754 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000506729481 1072110843 /nfs/dbraw/zinc/11/08/43/1072110843.db2.gz UWNHFFVDSJWXBH-RNCFNFMXSA-N 0 0 436.487 -0.754 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000506729482 1072110959 /nfs/dbraw/zinc/11/09/59/1072110959.db2.gz UWNHFFVDSJWXBH-TVQRCGJNSA-N 0 0 436.487 -0.754 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000506729485 1072111397 /nfs/dbraw/zinc/11/13/97/1072111397.db2.gz UWNHFFVDSJWXBH-ZANVPECISA-N 0 0 436.487 -0.754 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)C1)S(C)(=O)=O ZINC000506729643 1072111067 /nfs/dbraw/zinc/11/10/67/1072111067.db2.gz FRPTYFQOYGGJOX-GFCCVEGCSA-N 0 0 436.490 -0.019 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)C1)S(C)(=O)=O ZINC000506729647 1072110860 /nfs/dbraw/zinc/11/08/60/1072110860.db2.gz FRPTYFQOYGGJOX-LBPRGKRZSA-N 0 0 436.490 -0.019 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000506730760 1072111931 /nfs/dbraw/zinc/11/19/31/1072111931.db2.gz IJKNPGXZBFFGID-CHWSQXEVSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000506730762 1072111893 /nfs/dbraw/zinc/11/18/93/1072111893.db2.gz IJKNPGXZBFFGID-OLZOCXBDSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000506730764 1072111943 /nfs/dbraw/zinc/11/19/43/1072111943.db2.gz IJKNPGXZBFFGID-QWHCGFSZSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000506730766 1072111925 /nfs/dbraw/zinc/11/19/25/1072111925.db2.gz IJKNPGXZBFFGID-STQMWFEESA-N 0 0 426.495 -0.287 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000506731276 1072111935 /nfs/dbraw/zinc/11/19/35/1072111935.db2.gz YZKFFJPKXKTKQA-CYBMUJFWSA-N 0 0 439.581 -0.099 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000506731281 1072111908 /nfs/dbraw/zinc/11/19/08/1072111908.db2.gz YZKFFJPKXKTKQA-ZDUSSCGKSA-N 0 0 439.581 -0.099 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000506734563 1072112987 /nfs/dbraw/zinc/11/29/87/1072112987.db2.gz DTCOOMINONOMRI-AWEZNQCLSA-N 0 0 446.551 -0.283 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000506734566 1072112971 /nfs/dbraw/zinc/11/29/71/1072112971.db2.gz DTCOOMINONOMRI-CQSZACIVSA-N 0 0 446.551 -0.283 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000506734623 1072112897 /nfs/dbraw/zinc/11/28/97/1072112897.db2.gz DXBOFBBEAOQMIJ-HNNXBMFYSA-N 0 0 426.495 -0.368 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000506734628 1072113003 /nfs/dbraw/zinc/11/30/03/1072113003.db2.gz DXBOFBBEAOQMIJ-OAHLLOKOSA-N 0 0 426.495 -0.368 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506734987 1072113530 /nfs/dbraw/zinc/11/35/30/1072113530.db2.gz CLNJIDSMHBHJDL-BBRMVZONSA-N 0 0 439.538 -0.041 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506734988 1072113492 /nfs/dbraw/zinc/11/34/92/1072113492.db2.gz CLNJIDSMHBHJDL-CJNGLKHVSA-N 0 0 439.538 -0.041 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000506734989 1072113433 /nfs/dbraw/zinc/11/34/33/1072113433.db2.gz CLNJIDSMHBHJDL-CZUORRHYSA-N 0 0 439.538 -0.041 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000506734990 1072113333 /nfs/dbraw/zinc/11/33/33/1072113333.db2.gz CLNJIDSMHBHJDL-XJKSGUPXSA-N 0 0 439.538 -0.041 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)C1 ZINC000506736270 1072113968 /nfs/dbraw/zinc/11/39/68/1072113968.db2.gz IIRKZNPAMFUGTG-LLVKDONJSA-N 0 0 449.327 -0.031 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)C1 ZINC000506736271 1072113910 /nfs/dbraw/zinc/11/39/10/1072113910.db2.gz IIRKZNPAMFUGTG-NSHDSACASA-N 0 0 449.327 -0.031 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1=O ZINC000506736399 1072113972 /nfs/dbraw/zinc/11/39/72/1072113972.db2.gz FVPNINFUANERAZ-GXSJLCMTSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1=O ZINC000506736400 1072113876 /nfs/dbraw/zinc/11/38/76/1072113876.db2.gz FVPNINFUANERAZ-KOLCDFICSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1=O ZINC000506736401 1072113922 /nfs/dbraw/zinc/11/39/22/1072113922.db2.gz FVPNINFUANERAZ-MWLCHTKSSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1=O ZINC000506736402 1072113932 /nfs/dbraw/zinc/11/39/32/1072113932.db2.gz FVPNINFUANERAZ-ONGXEEELSA-N 0 0 449.327 -0.080 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1=O ZINC000506737807 1072114320 /nfs/dbraw/zinc/11/43/20/1072114320.db2.gz OHRUXEDKPYJTMY-CYBMUJFWSA-N 0 0 442.494 -0.768 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1=O ZINC000506737808 1072114226 /nfs/dbraw/zinc/11/42/26/1072114226.db2.gz OHRUXEDKPYJTMY-ZDUSSCGKSA-N 0 0 442.494 -0.768 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506738291 1072114391 /nfs/dbraw/zinc/11/43/91/1072114391.db2.gz MVQLFKBQYPQAGU-GDBMZVCRSA-N 0 0 439.538 -0.001 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506738292 1072114353 /nfs/dbraw/zinc/11/43/53/1072114353.db2.gz MVQLFKBQYPQAGU-GOEBONIOSA-N 0 0 439.538 -0.001 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506738293 1072114444 /nfs/dbraw/zinc/11/44/44/1072114444.db2.gz MVQLFKBQYPQAGU-HOCLYGCPSA-N 0 0 439.538 -0.001 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506738294 1072114291 /nfs/dbraw/zinc/11/42/91/1072114291.db2.gz MVQLFKBQYPQAGU-ZBFHGGJFSA-N 0 0 439.538 -0.001 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1 ZINC000506738418 1072114411 /nfs/dbraw/zinc/11/44/11/1072114411.db2.gz RXCWMTMEYNYKDC-CYBMUJFWSA-N 0 0 446.551 -0.097 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1 ZINC000506738419 1072114264 /nfs/dbraw/zinc/11/42/64/1072114264.db2.gz RXCWMTMEYNYKDC-ZDUSSCGKSA-N 0 0 446.551 -0.097 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000506738592 1074350155 /nfs/dbraw/zinc/35/01/55/1074350155.db2.gz SQQJOQFAARHTKH-HNNXBMFYSA-N 0 0 442.542 -0.964 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000506738593 1074350217 /nfs/dbraw/zinc/35/02/17/1074350217.db2.gz SQQJOQFAARHTKH-OAHLLOKOSA-N 0 0 442.542 -0.964 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)ccc1F ZINC000506738848 1072114714 /nfs/dbraw/zinc/11/47/14/1072114714.db2.gz VOELCXDOXFKRPZ-CYBMUJFWSA-N 0 0 439.473 -0.069 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)ccc1F ZINC000506738849 1072114865 /nfs/dbraw/zinc/11/48/65/1072114865.db2.gz VOELCXDOXFKRPZ-ZDUSSCGKSA-N 0 0 439.473 -0.069 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)C1)S(C)(=O)=O ZINC000506739514 1072114809 /nfs/dbraw/zinc/11/48/09/1072114809.db2.gz ZYKLJKWWUFBHLH-LLVKDONJSA-N 0 0 436.494 -0.270 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)C1)S(C)(=O)=O ZINC000506739515 1072114913 /nfs/dbraw/zinc/11/49/13/1072114913.db2.gz ZYKLJKWWUFBHLH-NSHDSACASA-N 0 0 436.494 -0.270 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506739774 1072116245 /nfs/dbraw/zinc/11/62/45/1072116245.db2.gz VEVHSLVXBNBENU-BXUZGUMPSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506739775 1072116235 /nfs/dbraw/zinc/11/62/35/1072116235.db2.gz VEVHSLVXBNBENU-FZMZJTMJSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506739776 1072116273 /nfs/dbraw/zinc/11/62/73/1072116273.db2.gz VEVHSLVXBNBENU-RISCZKNCSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506739777 1072116270 /nfs/dbraw/zinc/11/62/70/1072116270.db2.gz VEVHSLVXBNBENU-SMDDNHRTSA-N 0 0 432.524 -0.633 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCC2(OCCO)CCOCC2)c2ccccc2O1 ZINC000506740094 1072116210 /nfs/dbraw/zinc/11/62/10/1072116210.db2.gz XTSLPLIKEHITPI-GOSISDBHSA-N 0 0 449.504 -0.019 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCC2(OCCO)CCOCC2)c2ccccc2O1 ZINC000506740095 1072116202 /nfs/dbraw/zinc/11/62/02/1072116202.db2.gz XTSLPLIKEHITPI-SFHVURJKSA-N 0 0 449.504 -0.019 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000506740318 1072113469 /nfs/dbraw/zinc/11/34/69/1072113469.db2.gz ZWELCYRSOJOCET-DZGCQCFKSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000506740319 1072113309 /nfs/dbraw/zinc/11/33/09/1072113309.db2.gz ZWELCYRSOJOCET-HIFRSBDPSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000506740320 1072113327 /nfs/dbraw/zinc/11/33/27/1072113327.db2.gz ZWELCYRSOJOCET-UKRRQHHQSA-N 0 0 425.511 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000506740321 1072113346 /nfs/dbraw/zinc/11/33/46/1072113346.db2.gz ZWELCYRSOJOCET-ZFWWWQNUSA-N 0 0 425.511 -0.309 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O)OCO2 ZINC000506743001 1072115818 /nfs/dbraw/zinc/11/58/18/1072115818.db2.gz PCZCHLCGOWYNPK-CMPLNLGQSA-N 0 0 441.462 -0.026 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O)OCO2 ZINC000506743003 1072115730 /nfs/dbraw/zinc/11/57/30/1072115730.db2.gz PCZCHLCGOWYNPK-JQWIXIFHSA-N 0 0 441.462 -0.026 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O)OCO2 ZINC000506743005 1072115824 /nfs/dbraw/zinc/11/58/24/1072115824.db2.gz PCZCHLCGOWYNPK-PWSUYJOCSA-N 0 0 441.462 -0.026 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O)OCO2 ZINC000506743007 1072115777 /nfs/dbraw/zinc/11/57/77/1072115777.db2.gz PCZCHLCGOWYNPK-ZYHUDNBSSA-N 0 0 441.462 -0.026 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NC[C@@H](O)CS(=O)(=O)c3cccs3)C2=O)cn1 ZINC000506743155 1072115786 /nfs/dbraw/zinc/11/57/86/1072115786.db2.gz PJYPGQOOQLZURM-CHWSQXEVSA-N 0 0 427.508 -0.279 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NC[C@@H](O)CS(=O)(=O)c3cccs3)C2=O)cn1 ZINC000506743156 1072115723 /nfs/dbraw/zinc/11/57/23/1072115723.db2.gz PJYPGQOOQLZURM-OLZOCXBDSA-N 0 0 427.508 -0.279 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NC[C@H](O)CS(=O)(=O)c3cccs3)C2=O)cn1 ZINC000506743157 1072115783 /nfs/dbraw/zinc/11/57/83/1072115783.db2.gz PJYPGQOOQLZURM-QWHCGFSZSA-N 0 0 427.508 -0.279 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NC[C@H](O)CS(=O)(=O)c3cccs3)C2=O)cn1 ZINC000506743158 1072115756 /nfs/dbraw/zinc/11/57/56/1072115756.db2.gz PJYPGQOOQLZURM-STQMWFEESA-N 0 0 427.508 -0.279 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)C1)S(C)(=O)=O ZINC000506743927 1072116249 /nfs/dbraw/zinc/11/62/49/1072116249.db2.gz PZCLLKXKNVOCMV-CYBMUJFWSA-N 0 0 426.495 -0.381 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)C1)S(C)(=O)=O ZINC000506743928 1072116265 /nfs/dbraw/zinc/11/62/65/1072116265.db2.gz PZCLLKXKNVOCMV-ZDUSSCGKSA-N 0 0 426.495 -0.381 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C1 ZINC000506744156 1072116229 /nfs/dbraw/zinc/11/62/29/1072116229.db2.gz QHOHQADGDJWHAL-HNNXBMFYSA-N 0 0 446.551 -0.443 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C1 ZINC000506744157 1072116243 /nfs/dbraw/zinc/11/62/43/1072116243.db2.gz QHOHQADGDJWHAL-OAHLLOKOSA-N 0 0 446.551 -0.443 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cc1C ZINC000506746602 1074350129 /nfs/dbraw/zinc/35/01/29/1074350129.db2.gz DOOGQYDDIZSBAD-CYBMUJFWSA-N 0 0 428.515 -0.994 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cc1C ZINC000506746603 1074350201 /nfs/dbraw/zinc/35/02/01/1074350201.db2.gz DOOGQYDDIZSBAD-ZDUSSCGKSA-N 0 0 428.515 -0.994 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)C1 ZINC000506747149 1072116550 /nfs/dbraw/zinc/11/65/50/1072116550.db2.gz GQSJTDKMGJDYPT-AWEZNQCLSA-N 0 0 425.511 -0.261 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)C1 ZINC000506747150 1072116716 /nfs/dbraw/zinc/11/67/16/1072116716.db2.gz GQSJTDKMGJDYPT-CQSZACIVSA-N 0 0 425.511 -0.261 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000506747244 1072116605 /nfs/dbraw/zinc/11/66/05/1072116605.db2.gz AZCMHENWKMHTQM-DOMZBBRYSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000506747245 1072116647 /nfs/dbraw/zinc/11/66/47/1072116647.db2.gz AZCMHENWKMHTQM-IUODEOHRSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000506747246 1072116652 /nfs/dbraw/zinc/11/66/52/1072116652.db2.gz AZCMHENWKMHTQM-SWLSCSKDSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000506747247 1072116581 /nfs/dbraw/zinc/11/65/81/1072116581.db2.gz AZCMHENWKMHTQM-WFASDCNBSA-N 0 0 426.495 -0.287 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506749616 1072118772 /nfs/dbraw/zinc/11/87/72/1072118772.db2.gz QZAXPBPLTBUZGF-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506749617 1072118832 /nfs/dbraw/zinc/11/88/32/1072118832.db2.gz QZAXPBPLTBUZGF-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cn1 ZINC000506749895 1072119490 /nfs/dbraw/zinc/11/94/90/1072119490.db2.gz KTVQYPGMDPQHTA-GXTWGEPZSA-N 0 0 443.526 -0.046 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cn1 ZINC000506749896 1072119368 /nfs/dbraw/zinc/11/93/68/1072119368.db2.gz KTVQYPGMDPQHTA-JSGCOSHPSA-N 0 0 443.526 -0.046 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cn1 ZINC000506749898 1072119384 /nfs/dbraw/zinc/11/93/84/1072119384.db2.gz KTVQYPGMDPQHTA-OCCSQVGLSA-N 0 0 443.526 -0.046 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cn1 ZINC000506749900 1072119434 /nfs/dbraw/zinc/11/94/34/1072119434.db2.gz KTVQYPGMDPQHTA-TZMCWYRMSA-N 0 0 443.526 -0.046 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1OCC(N)=O ZINC000506750676 1072119459 /nfs/dbraw/zinc/11/94/59/1072119459.db2.gz VNFPDFREUHDDEL-CYBMUJFWSA-N 0 0 442.494 -0.620 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1OCC(N)=O ZINC000506750685 1072119416 /nfs/dbraw/zinc/11/94/16/1072119416.db2.gz VNFPDFREUHDDEL-ZDUSSCGKSA-N 0 0 442.494 -0.620 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506750805 1072117976 /nfs/dbraw/zinc/11/79/76/1072117976.db2.gz NTPFFAFWLJYXOB-AAEUAGOBSA-N 0 0 432.524 -0.585 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506750821 1072118000 /nfs/dbraw/zinc/11/80/00/1072118000.db2.gz NTPFFAFWLJYXOB-DGCLKSJQSA-N 0 0 432.524 -0.585 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506750823 1072118029 /nfs/dbraw/zinc/11/80/29/1072118029.db2.gz NTPFFAFWLJYXOB-WCQYABFASA-N 0 0 432.524 -0.585 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000506750825 1072117994 /nfs/dbraw/zinc/11/79/94/1072117994.db2.gz NTPFFAFWLJYXOB-YPMHNXCESA-N 0 0 432.524 -0.585 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O)S(C)(=O)=O ZINC000506750959 1072117600 /nfs/dbraw/zinc/11/76/00/1072117600.db2.gz OAGVLMQJRXFOCD-CHWSQXEVSA-N 0 0 446.551 -0.145 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O)S(C)(=O)=O ZINC000506750963 1072117588 /nfs/dbraw/zinc/11/75/88/1072117588.db2.gz OAGVLMQJRXFOCD-OLZOCXBDSA-N 0 0 446.551 -0.145 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O)S(C)(=O)=O ZINC000506750966 1072117594 /nfs/dbraw/zinc/11/75/94/1072117594.db2.gz OAGVLMQJRXFOCD-QWHCGFSZSA-N 0 0 446.551 -0.145 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O)S(C)(=O)=O ZINC000506750968 1072117876 /nfs/dbraw/zinc/11/78/76/1072117876.db2.gz OAGVLMQJRXFOCD-STQMWFEESA-N 0 0 446.551 -0.145 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c(C)c1 ZINC000506751025 1072118016 /nfs/dbraw/zinc/11/80/16/1072118016.db2.gz OELWDZZCNBXBRB-BBRMVZONSA-N 0 0 440.522 -0.108 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c(C)c1 ZINC000506751034 1072117871 /nfs/dbraw/zinc/11/78/71/1072117871.db2.gz OELWDZZCNBXBRB-CJNGLKHVSA-N 0 0 440.522 -0.108 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c(C)c1 ZINC000506751037 1072118028 /nfs/dbraw/zinc/11/80/28/1072118028.db2.gz OELWDZZCNBXBRB-CZUORRHYSA-N 0 0 440.522 -0.108 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c(C)c1 ZINC000506751040 1072117928 /nfs/dbraw/zinc/11/79/28/1072117928.db2.gz OELWDZZCNBXBRB-XJKSGUPXSA-N 0 0 440.522 -0.108 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506751122 1072117540 /nfs/dbraw/zinc/11/75/40/1072117540.db2.gz OSHPDQRKXIVKGS-CHWSQXEVSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000506751123 1072117582 /nfs/dbraw/zinc/11/75/82/1072117582.db2.gz OSHPDQRKXIVKGS-OLZOCXBDSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506751124 1072117494 /nfs/dbraw/zinc/11/74/94/1072117494.db2.gz OSHPDQRKXIVKGS-QWHCGFSZSA-N 0 0 446.551 -0.291 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000506751125 1072117568 /nfs/dbraw/zinc/11/75/68/1072117568.db2.gz OSHPDQRKXIVKGS-STQMWFEESA-N 0 0 446.551 -0.291 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000506751767 1072118452 /nfs/dbraw/zinc/11/84/52/1072118452.db2.gz QYDWQWSJWYWCDA-GXTWGEPZSA-N 0 0 429.520 -0.211 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000506751768 1072118523 /nfs/dbraw/zinc/11/85/23/1072118523.db2.gz QYDWQWSJWYWCDA-JSGCOSHPSA-N 0 0 429.520 -0.211 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000506751769 1072118546 /nfs/dbraw/zinc/11/85/46/1072118546.db2.gz QYDWQWSJWYWCDA-OCCSQVGLSA-N 0 0 429.520 -0.211 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000506751770 1072118533 /nfs/dbraw/zinc/11/85/33/1072118533.db2.gz QYDWQWSJWYWCDA-TZMCWYRMSA-N 0 0 429.520 -0.211 20 0 IBADRN COCCCN(C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12)[C@@H]1CCS(=O)(=O)C1 ZINC000506751811 1072119706 /nfs/dbraw/zinc/11/97/06/1072119706.db2.gz JUWLPBNYEPAAQB-CYBMUJFWSA-N 0 0 444.535 -0.107 20 0 IBADRN COCCCN(C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12)[C@H]1CCS(=O)(=O)C1 ZINC000506751812 1072119772 /nfs/dbraw/zinc/11/97/72/1072119772.db2.gz JUWLPBNYEPAAQB-ZDUSSCGKSA-N 0 0 444.535 -0.107 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@H]3CCC[C@@H]3CNS(C)(=O)=O)cc2)c1=O ZINC000506752382 1072118492 /nfs/dbraw/zinc/11/84/92/1072118492.db2.gz TUTXKZNRAYPDRC-DOMZBBRYSA-N 0 0 436.494 -0.656 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@@H]3CCC[C@@H]3CNS(C)(=O)=O)cc2)c1=O ZINC000506752383 1072118466 /nfs/dbraw/zinc/11/84/66/1072118466.db2.gz TUTXKZNRAYPDRC-IUODEOHRSA-N 0 0 436.494 -0.656 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@@H]3CCC[C@H]3CNS(C)(=O)=O)cc2)c1=O ZINC000506752384 1072118550 /nfs/dbraw/zinc/11/85/50/1072118550.db2.gz TUTXKZNRAYPDRC-SWLSCSKDSA-N 0 0 436.494 -0.656 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@H]3CCC[C@H]3CNS(C)(=O)=O)cc2)c1=O ZINC000506752385 1072118538 /nfs/dbraw/zinc/11/85/38/1072118538.db2.gz TUTXKZNRAYPDRC-WFASDCNBSA-N 0 0 436.494 -0.656 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506752473 1072504390 /nfs/dbraw/zinc/50/43/90/1072504390.db2.gz UIPSJSJBGIZJGI-GXTWGEPZSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506752475 1072118413 /nfs/dbraw/zinc/11/84/13/1072118413.db2.gz UIPSJSJBGIZJGI-JSGCOSHPSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506752477 1072118528 /nfs/dbraw/zinc/11/85/28/1072118528.db2.gz UIPSJSJBGIZJGI-OCCSQVGLSA-N 0 0 446.551 -0.324 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506752479 1072118429 /nfs/dbraw/zinc/11/84/29/1072118429.db2.gz UIPSJSJBGIZJGI-TZMCWYRMSA-N 0 0 446.551 -0.324 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1C ZINC000506753177 1072120342 /nfs/dbraw/zinc/12/03/42/1072120342.db2.gz WQLJPEOCKXODKC-GXTWGEPZSA-N 0 0 446.551 -0.277 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1C ZINC000506753178 1072120375 /nfs/dbraw/zinc/12/03/75/1072120375.db2.gz WQLJPEOCKXODKC-JSGCOSHPSA-N 0 0 446.551 -0.277 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1C ZINC000506753179 1072120440 /nfs/dbraw/zinc/12/04/40/1072120440.db2.gz WQLJPEOCKXODKC-OCCSQVGLSA-N 0 0 446.551 -0.277 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1C ZINC000506753180 1072120445 /nfs/dbraw/zinc/12/04/45/1072120445.db2.gz WQLJPEOCKXODKC-TZMCWYRMSA-N 0 0 446.551 -0.277 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1=O ZINC000506755290 1072120426 /nfs/dbraw/zinc/12/04/26/1072120426.db2.gz UGZQTRJQUKRALX-CHWSQXEVSA-N 0 0 442.494 -0.816 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1=O ZINC000506755291 1072120335 /nfs/dbraw/zinc/12/03/35/1072120335.db2.gz UGZQTRJQUKRALX-OLZOCXBDSA-N 0 0 442.494 -0.816 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1=O ZINC000506755292 1072120396 /nfs/dbraw/zinc/12/03/96/1072120396.db2.gz UGZQTRJQUKRALX-QWHCGFSZSA-N 0 0 442.494 -0.816 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1=O ZINC000506755293 1072120424 /nfs/dbraw/zinc/12/04/24/1072120424.db2.gz UGZQTRJQUKRALX-STQMWFEESA-N 0 0 442.494 -0.816 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCC(=O)N[C@H]2CCOc3ccccc32)cc1C(N)=O ZINC000506755874 1072119790 /nfs/dbraw/zinc/11/97/90/1072119790.db2.gz XZZZAFOTSKZFHK-AWEZNQCLSA-N 0 0 427.417 -0.116 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCC(=O)N[C@@H]2CCOc3ccccc32)cc1C(N)=O ZINC000506755875 1072119823 /nfs/dbraw/zinc/11/98/23/1072119823.db2.gz XZZZAFOTSKZFHK-CQSZACIVSA-N 0 0 427.417 -0.116 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)C1 ZINC000506757288 1072120854 /nfs/dbraw/zinc/12/08/54/1072120854.db2.gz OFHHJZIGMYCGFC-CYBMUJFWSA-N 0 0 426.495 -0.238 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)C1 ZINC000506757289 1072120849 /nfs/dbraw/zinc/12/08/49/1072120849.db2.gz OFHHJZIGMYCGFC-ZDUSSCGKSA-N 0 0 426.495 -0.238 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000506757733 1072122188 /nfs/dbraw/zinc/12/21/88/1072122188.db2.gz DIOXDRHDBJTDRE-AWEZNQCLSA-N 0 0 432.568 -0.266 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000506757739 1072122161 /nfs/dbraw/zinc/12/21/61/1072122161.db2.gz DIOXDRHDBJTDRE-CQSZACIVSA-N 0 0 432.568 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCN1C(=O)CCC1=O ZINC000506761776 1072123132 /nfs/dbraw/zinc/12/31/32/1072123132.db2.gz PDPOCPLYOJBSDQ-UHFFFAOYSA-N 0 0 440.478 -0.013 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506761974 1072123152 /nfs/dbraw/zinc/12/31/52/1072123152.db2.gz KMVCJGFQSAYYGY-AWEZNQCLSA-N 0 0 446.551 -0.276 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506761975 1072123186 /nfs/dbraw/zinc/12/31/86/1072123186.db2.gz KMVCJGFQSAYYGY-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN Cn1cc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc(Br)c1=O ZINC000506762091 1072123162 /nfs/dbraw/zinc/12/31/62/1072123162.db2.gz OIBUDIHDOHEDIK-BXKDBHETSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc(Br)c1=O ZINC000506762092 1072123180 /nfs/dbraw/zinc/12/31/80/1072123180.db2.gz OIBUDIHDOHEDIK-CABZTGNLSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc(Br)c1=O ZINC000506762093 1072123183 /nfs/dbraw/zinc/12/31/83/1072123183.db2.gz OIBUDIHDOHEDIK-JOYOIKCWSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc(Br)c1=O ZINC000506762094 1072123146 /nfs/dbraw/zinc/12/31/46/1072123146.db2.gz OIBUDIHDOHEDIK-SKDRFNHKSA-N 0 0 449.327 -0.080 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c2ccccc2O1 ZINC000506762377 1072122686 /nfs/dbraw/zinc/12/26/86/1072122686.db2.gz MAAFIJMHRDTTPZ-BBRMVZONSA-N 0 0 438.506 -0.591 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c2ccccc2O1 ZINC000506762378 1072122666 /nfs/dbraw/zinc/12/26/66/1072122666.db2.gz MAAFIJMHRDTTPZ-CJNGLKHVSA-N 0 0 438.506 -0.591 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c2ccccc2O1 ZINC000506762379 1072122715 /nfs/dbraw/zinc/12/27/15/1072122715.db2.gz MAAFIJMHRDTTPZ-CZUORRHYSA-N 0 0 438.506 -0.591 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c2ccccc2O1 ZINC000506762380 1072122703 /nfs/dbraw/zinc/12/27/03/1072122703.db2.gz MAAFIJMHRDTTPZ-XJKSGUPXSA-N 0 0 438.506 -0.591 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000506762501 1072122607 /nfs/dbraw/zinc/12/26/07/1072122607.db2.gz PVKBHUPIZNUASF-GFCCVEGCSA-N 0 0 427.570 -0.147 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000506762502 1072122637 /nfs/dbraw/zinc/12/26/37/1072122637.db2.gz PVKBHUPIZNUASF-LBPRGKRZSA-N 0 0 427.570 -0.147 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000506762634 1072122648 /nfs/dbraw/zinc/12/26/48/1072122648.db2.gz MODLNZGXSXOOHM-GFCCVEGCSA-N 0 0 428.515 -0.380 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000506762641 1072122695 /nfs/dbraw/zinc/12/26/95/1072122695.db2.gz MODLNZGXSXOOHM-LBPRGKRZSA-N 0 0 428.515 -0.380 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C1)S(C)(=O)=O ZINC000506763546 1072123689 /nfs/dbraw/zinc/12/36/89/1072123689.db2.gz WIPZUZKPOZDRCK-CYBMUJFWSA-N 0 0 437.522 -0.055 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C1)S(C)(=O)=O ZINC000506763547 1072123694 /nfs/dbraw/zinc/12/36/94/1072123694.db2.gz WIPZUZKPOZDRCK-ZDUSSCGKSA-N 0 0 437.522 -0.055 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cc1C(N)=O ZINC000506764108 1072122241 /nfs/dbraw/zinc/12/22/41/1072122241.db2.gz SUWPSNCNKGOACB-LLVKDONJSA-N 0 0 427.483 -0.742 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cc1C(N)=O ZINC000506764109 1072122170 /nfs/dbraw/zinc/12/21/70/1072122170.db2.gz SUWPSNCNKGOACB-NSHDSACASA-N 0 0 427.483 -0.742 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506764743 1072122207 /nfs/dbraw/zinc/12/22/07/1072122207.db2.gz WHXREBNVFHBIAK-BBRMVZONSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506764744 1072122138 /nfs/dbraw/zinc/12/21/38/1072122138.db2.gz WHXREBNVFHBIAK-CJNGLKHVSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1 ZINC000506764745 1072122212 /nfs/dbraw/zinc/12/22/12/1072122212.db2.gz WHXREBNVFHBIAK-CZUORRHYSA-N 0 0 440.522 -0.074 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1 ZINC000506764746 1072122195 /nfs/dbraw/zinc/12/21/95/1072122195.db2.gz WHXREBNVFHBIAK-XJKSGUPXSA-N 0 0 440.522 -0.074 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1C ZINC000506765022 1072124705 /nfs/dbraw/zinc/12/47/05/1072124705.db2.gz XCKVQWIJEYZYOJ-CYBMUJFWSA-N 0 0 446.551 -0.228 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1C ZINC000506765023 1072124607 /nfs/dbraw/zinc/12/46/07/1072124607.db2.gz XCKVQWIJEYZYOJ-ZDUSSCGKSA-N 0 0 446.551 -0.228 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)ccc1C ZINC000506765497 1072124612 /nfs/dbraw/zinc/12/46/12/1072124612.db2.gz YHRRJIZAWINEFU-AWEZNQCLSA-N 0 0 446.551 -0.276 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)ccc1C ZINC000506765499 1072124642 /nfs/dbraw/zinc/12/46/42/1072124642.db2.gz YHRRJIZAWINEFU-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)CC(=O)N1C ZINC000506765753 1072124673 /nfs/dbraw/zinc/12/46/73/1072124673.db2.gz FMVYVGSBRABNBG-CYBMUJFWSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)CC(=O)N1C ZINC000506765754 1072124583 /nfs/dbraw/zinc/12/45/83/1072124583.db2.gz FMVYVGSBRABNBG-ZDUSSCGKSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC(=O)N1C ZINC000506766329 1072125074 /nfs/dbraw/zinc/12/50/74/1072125074.db2.gz GYZMPXZXAJEUTE-INIZCTEOSA-N 0 0 445.520 -0.013 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC(=O)N1C ZINC000506766336 1072125085 /nfs/dbraw/zinc/12/50/85/1072125085.db2.gz GYZMPXZXAJEUTE-MRXNPFEDSA-N 0 0 445.520 -0.013 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)cc1S(=O)(=O)N(C)C ZINC000506766961 1072123705 /nfs/dbraw/zinc/12/37/05/1072123705.db2.gz JSZHBMZPLLBRSN-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)cc1S(=O)(=O)N(C)C ZINC000506766963 1072124082 /nfs/dbraw/zinc/12/40/82/1072124082.db2.gz JSZHBMZPLLBRSN-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC(=O)N1C ZINC000506767155 1072123586 /nfs/dbraw/zinc/12/35/86/1072123586.db2.gz KUGKGVDMONRGLS-GFCCVEGCSA-N 0 0 425.511 -0.370 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC(=O)N1C ZINC000506767167 1072123700 /nfs/dbraw/zinc/12/37/00/1072123700.db2.gz KUGKGVDMONRGLS-LBPRGKRZSA-N 0 0 425.511 -0.370 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000506769331 1072126022 /nfs/dbraw/zinc/12/60/22/1072126022.db2.gz XDDFTXOBYYMUAD-GFCCVEGCSA-N 0 0 426.495 -0.037 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000506769332 1072126016 /nfs/dbraw/zinc/12/60/16/1072126016.db2.gz XDDFTXOBYYMUAD-LBPRGKRZSA-N 0 0 426.495 -0.037 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506778617 1072126991 /nfs/dbraw/zinc/12/69/91/1072126991.db2.gz NEZJKGMXPUKCAR-INIZCTEOSA-N 0 0 440.522 -0.026 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1 ZINC000506778618 1072127021 /nfs/dbraw/zinc/12/70/21/1072127021.db2.gz NEZJKGMXPUKCAR-MRXNPFEDSA-N 0 0 440.522 -0.026 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)ccc1OC ZINC000506779404 1072127029 /nfs/dbraw/zinc/12/70/29/1072127029.db2.gz MSMWZMGYLCMXOL-GFCCVEGCSA-N 0 0 442.494 -0.753 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)ccc1OC ZINC000506779407 1072126952 /nfs/dbraw/zinc/12/69/52/1072126952.db2.gz MSMWZMGYLCMXOL-LBPRGKRZSA-N 0 0 442.494 -0.753 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc(S(C)(=O)=O)c1 ZINC000506781572 1072127962 /nfs/dbraw/zinc/12/79/62/1072127962.db2.gz SDJLVALEYNBIQA-AWEZNQCLSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc(S(C)(=O)=O)c1 ZINC000506781574 1072505212 /nfs/dbraw/zinc/50/52/12/1072505212.db2.gz SDJLVALEYNBIQA-CQSZACIVSA-N 0 0 447.535 -0.080 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cn1 ZINC000506782655 1072127491 /nfs/dbraw/zinc/12/74/91/1072127491.db2.gz WARIOGRDWXUCII-CYBMUJFWSA-N 0 0 428.515 -0.960 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cn1 ZINC000506782662 1072127577 /nfs/dbraw/zinc/12/75/77/1072127577.db2.gz WARIOGRDWXUCII-ZDUSSCGKSA-N 0 0 428.515 -0.960 20 0 IBADRN COc1ccc(NC(=O)NCCN2C(=O)CCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000506784525 1072127521 /nfs/dbraw/zinc/12/75/21/1072127521.db2.gz WGEZGENWDZVAIH-UHFFFAOYSA-N 0 0 440.478 -0.013 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)cc1 ZINC000506784918 1072127505 /nfs/dbraw/zinc/12/75/05/1072127505.db2.gz VIGSBIMPVGKTHR-CHWSQXEVSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)cc1 ZINC000506784919 1072127580 /nfs/dbraw/zinc/12/75/80/1072127580.db2.gz VIGSBIMPVGKTHR-OLZOCXBDSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)cc1 ZINC000506784920 1072127971 /nfs/dbraw/zinc/12/79/71/1072127971.db2.gz VIGSBIMPVGKTHR-QWHCGFSZSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)cc1 ZINC000506784921 1072127943 /nfs/dbraw/zinc/12/79/43/1072127943.db2.gz VIGSBIMPVGKTHR-STQMWFEESA-N 0 0 426.495 -0.373 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000506785668 1072127484 /nfs/dbraw/zinc/12/74/84/1072127484.db2.gz BOPJTAONQPUBEH-CYBMUJFWSA-N 0 0 438.506 -0.442 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000506785669 1072127550 /nfs/dbraw/zinc/12/75/50/1072127550.db2.gz BOPJTAONQPUBEH-ZDUSSCGKSA-N 0 0 438.506 -0.442 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)c1C ZINC000506786446 1072128499 /nfs/dbraw/zinc/12/84/99/1072128499.db2.gz NICVNZKAGRPVHG-UHFFFAOYSA-N 0 0 441.510 -0.280 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000506786640 1072128464 /nfs/dbraw/zinc/12/84/64/1072128464.db2.gz QSSRIUDXIZAZRZ-LLVKDONJSA-N 0 0 425.463 -0.106 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000506786641 1072128374 /nfs/dbraw/zinc/12/83/74/1072128374.db2.gz QSSRIUDXIZAZRZ-NSHDSACASA-N 0 0 425.463 -0.106 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)cc1C(N)=O ZINC000506786669 1072128425 /nfs/dbraw/zinc/12/84/25/1072128425.db2.gz RHHNERSLLYJIAI-UHFFFAOYSA-N 0 0 439.494 -0.456 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC(=O)N1C ZINC000506792893 1072128893 /nfs/dbraw/zinc/12/88/93/1072128893.db2.gz HSZRCHLKFPUUHV-AWEZNQCLSA-N 0 0 428.493 -0.232 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC(=O)N1C ZINC000506792895 1072128936 /nfs/dbraw/zinc/12/89/36/1072128936.db2.gz HSZRCHLKFPUUHV-CQSZACIVSA-N 0 0 428.493 -0.232 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)cc1 ZINC000506795809 1072128430 /nfs/dbraw/zinc/12/84/30/1072128430.db2.gz NKUPJISQVRUEOP-UHFFFAOYSA-N 0 0 438.506 -0.094 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC(=O)N1C ZINC000506797348 1072505484 /nfs/dbraw/zinc/50/54/84/1072505484.db2.gz KFQJNNFKGLNLDI-AWEZNQCLSA-N 0 0 437.522 -0.750 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC(=O)N1C ZINC000506797349 1072129328 /nfs/dbraw/zinc/12/93/28/1072129328.db2.gz KFQJNNFKGLNLDI-CQSZACIVSA-N 0 0 437.522 -0.750 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000506797506 1072130439 /nfs/dbraw/zinc/13/04/39/1072130439.db2.gz RQGZCISXCZWXFM-UHFFFAOYSA-N 0 0 441.510 -0.048 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC(=O)N1C ZINC000506797756 1072130554 /nfs/dbraw/zinc/13/05/54/1072130554.db2.gz LEBPFKKTJYUMNO-HNNXBMFYSA-N 0 0 431.493 -0.624 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC(=O)N1C ZINC000506797757 1072130423 /nfs/dbraw/zinc/13/04/23/1072130423.db2.gz LEBPFKKTJYUMNO-OAHLLOKOSA-N 0 0 431.493 -0.624 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000506799629 1072129347 /nfs/dbraw/zinc/12/93/47/1072129347.db2.gz VVKTUEHNWDSMEO-UHFFFAOYSA-N 0 0 449.489 -0.654 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC(=O)N1C ZINC000506800466 1072505497 /nfs/dbraw/zinc/50/54/97/1072505497.db2.gz TYAYZTMBDFKUCJ-AWEZNQCLSA-N 0 0 437.522 -0.750 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC(=O)N1C ZINC000506800467 1072129478 /nfs/dbraw/zinc/12/94/78/1072129478.db2.gz TYAYZTMBDFKUCJ-CQSZACIVSA-N 0 0 437.522 -0.750 20 0 IBADRN Cc1noc(C)c1C[C@@H](C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000506801639 1072129844 /nfs/dbraw/zinc/12/98/44/1072129844.db2.gz OXBKPLMQIZMXAE-GDBMZVCRSA-N 0 0 435.525 -0.270 20 0 IBADRN Cc1noc(C)c1C[C@H](C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000506801640 1072129834 /nfs/dbraw/zinc/12/98/34/1072129834.db2.gz OXBKPLMQIZMXAE-GOEBONIOSA-N 0 0 435.525 -0.270 20 0 IBADRN Cc1noc(C)c1C[C@H](C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000506801641 1072129884 /nfs/dbraw/zinc/12/98/84/1072129884.db2.gz OXBKPLMQIZMXAE-HOCLYGCPSA-N 0 0 435.525 -0.270 20 0 IBADRN Cc1noc(C)c1C[C@@H](C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000506801642 1072129930 /nfs/dbraw/zinc/12/99/30/1072129930.db2.gz OXBKPLMQIZMXAE-ZBFHGGJFSA-N 0 0 435.525 -0.270 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000506802414 1072129901 /nfs/dbraw/zinc/12/99/01/1072129901.db2.gz BROMWGURNJJUND-AWEZNQCLSA-N 0 0 431.493 -0.290 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000506802415 1072129921 /nfs/dbraw/zinc/12/99/21/1072129921.db2.gz BROMWGURNJJUND-CQSZACIVSA-N 0 0 431.493 -0.290 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)CC1 ZINC000506803592 1072129862 /nfs/dbraw/zinc/12/98/62/1072129862.db2.gz DRLRCPCVGPOSLY-UHFFFAOYSA-N 0 0 431.584 -0.074 20 0 IBADRN COC(=O)CN(C)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000506805967 1072131428 /nfs/dbraw/zinc/13/14/28/1072131428.db2.gz KSCHJLAWXLSJFJ-UHFFFAOYSA-N 0 0 441.506 -0.060 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000506810325 1072505900 /nfs/dbraw/zinc/50/59/00/1072505900.db2.gz ZWPVRKGAVAKACG-AWEZNQCLSA-N 0 0 438.506 -0.356 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000506810326 1072132335 /nfs/dbraw/zinc/13/23/35/1072132335.db2.gz ZWPVRKGAVAKACG-CQSZACIVSA-N 0 0 438.506 -0.356 20 0 IBADRN Cc1noc(C)c1C[C@H](C)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000506812819 1072136570 /nfs/dbraw/zinc/13/65/70/1072136570.db2.gz DDDYAKPJPZNRKR-BBRMVZONSA-N 0 0 442.538 -0.008 20 0 IBADRN Cc1noc(C)c1C[C@@H](C)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000506812820 1072136605 /nfs/dbraw/zinc/13/66/05/1072136605.db2.gz DDDYAKPJPZNRKR-CJNGLKHVSA-N 0 0 442.538 -0.008 20 0 IBADRN Cc1noc(C)c1C[C@@H](C)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000506812821 1072136576 /nfs/dbraw/zinc/13/65/76/1072136576.db2.gz DDDYAKPJPZNRKR-CZUORRHYSA-N 0 0 442.538 -0.008 20 0 IBADRN Cc1noc(C)c1C[C@H](C)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000506812822 1072136551 /nfs/dbraw/zinc/13/65/51/1072136551.db2.gz DDDYAKPJPZNRKR-XJKSGUPXSA-N 0 0 442.538 -0.008 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC(=O)N1C ZINC000506813425 1072136291 /nfs/dbraw/zinc/13/62/91/1072136291.db2.gz NPRVFRQBQDMZRX-PBHICJAKSA-N 0 0 430.461 -0.672 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC(=O)N1C ZINC000506813426 1072136314 /nfs/dbraw/zinc/13/63/14/1072136314.db2.gz NPRVFRQBQDMZRX-RHSMWYFYSA-N 0 0 430.461 -0.672 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC(=O)N1C ZINC000506813427 1072136284 /nfs/dbraw/zinc/13/62/84/1072136284.db2.gz NPRVFRQBQDMZRX-WMLDXEAASA-N 0 0 430.461 -0.672 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC(=O)N1C ZINC000506813428 1072136312 /nfs/dbraw/zinc/13/63/12/1072136312.db2.gz NPRVFRQBQDMZRX-YOEHRIQHSA-N 0 0 430.461 -0.672 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC(=O)N1C ZINC000506815286 1072134778 /nfs/dbraw/zinc/13/47/78/1072134778.db2.gz JUKAOJGTRMNLQA-GFCCVEGCSA-N 0 0 442.469 -0.526 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC(=O)N1C ZINC000506815301 1072134721 /nfs/dbraw/zinc/13/47/21/1072134721.db2.gz JUKAOJGTRMNLQA-LBPRGKRZSA-N 0 0 442.469 -0.526 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3ncc(S(N)(=O)=O)s3)CC2)C[C@@H](C)O1 ZINC000506816042 1072137233 /nfs/dbraw/zinc/13/72/33/1072137233.db2.gz LACQKGPHWOBDQC-GHMZBOCLSA-N 0 0 425.558 -0.734 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3ncc(S(N)(=O)=O)s3)CC2)C[C@H](C)O1 ZINC000506816046 1072137227 /nfs/dbraw/zinc/13/72/27/1072137227.db2.gz LACQKGPHWOBDQC-PHIMTYICSA-N 0 0 425.558 -0.734 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3ncc(S(N)(=O)=O)s3)CC2)C[C@H](C)O1 ZINC000506816047 1072137191 /nfs/dbraw/zinc/13/71/91/1072137191.db2.gz LACQKGPHWOBDQC-QWRGUYRKSA-N 0 0 425.558 -0.734 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000506820452 1072136701 /nfs/dbraw/zinc/13/67/01/1072136701.db2.gz ZAQSULKFKRFZRF-UHFFFAOYSA-N 0 0 441.510 -0.048 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000506823010 1072137523 /nfs/dbraw/zinc/13/75/23/1072137523.db2.gz KEPAKQMRUUGIFX-UHFFFAOYSA-N 0 0 440.526 -0.094 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)CC(=O)N1C ZINC000506823268 1072139639 /nfs/dbraw/zinc/13/96/39/1072139639.db2.gz SKIYECZDHYIUGJ-LLVKDONJSA-N 0 0 437.884 -0.214 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)CC(=O)N1C ZINC000506823269 1072505969 /nfs/dbraw/zinc/50/59/69/1072505969.db2.gz SKIYECZDHYIUGJ-NSHDSACASA-N 0 0 437.884 -0.214 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)c1 ZINC000506823740 1072138495 /nfs/dbraw/zinc/13/84/95/1072138495.db2.gz LJJHCYJZZNCSMN-UHFFFAOYSA-N 0 0 438.506 -0.094 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)CC1=O ZINC000506838552 1072143275 /nfs/dbraw/zinc/14/32/75/1072143275.db2.gz AHXFSRUHXMYBFJ-MSOLQXFVSA-N 0 0 446.552 -0.137 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)CC1=O ZINC000506838553 1072143225 /nfs/dbraw/zinc/14/32/25/1072143225.db2.gz AHXFSRUHXMYBFJ-QZTJIDSGSA-N 0 0 446.552 -0.137 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)CC1=O ZINC000506838554 1072143118 /nfs/dbraw/zinc/14/31/18/1072143118.db2.gz AHXFSRUHXMYBFJ-ROUUACIJSA-N 0 0 446.552 -0.137 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)CC1=O ZINC000506838555 1072143189 /nfs/dbraw/zinc/14/31/89/1072143189.db2.gz AHXFSRUHXMYBFJ-ZWKOTPCHSA-N 0 0 446.552 -0.137 20 0 IBADRN NS(=O)(=O)c1cnc(NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)s1 ZINC000506841093 1073318685 /nfs/dbraw/zinc/31/86/85/1073318685.db2.gz XAWSAQXXEBOANX-UHFFFAOYSA-N 0 0 432.553 -0.251 20 0 IBADRN CCN1CCO[C@@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)[C@@H]1c1ccccc1 ZINC000506855065 1072165110 /nfs/dbraw/zinc/16/51/10/1072165110.db2.gz APYPRTPZIUZLEX-ICSRJNTNSA-N 0 0 442.524 -0.011 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CN4CCC(=O)NC4=O)CC3)C2=O)n(C)n1 ZINC000506855364 1072164573 /nfs/dbraw/zinc/16/45/73/1072164573.db2.gz FQLIGJMPRREFNG-HNNXBMFYSA-N 0 0 431.497 -0.690 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CN4CCC(=O)NC4=O)CC3)C2=O)n(C)n1 ZINC000506855365 1072165131 /nfs/dbraw/zinc/16/51/31/1072165131.db2.gz FQLIGJMPRREFNG-OAHLLOKOSA-N 0 0 431.497 -0.690 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)C1 ZINC000506863677 1072170981 /nfs/dbraw/zinc/17/09/81/1072170981.db2.gz FTYFNJZEIHRNOX-IIDMSEBBSA-N 0 0 441.554 -0.162 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)C1 ZINC000506863680 1072170806 /nfs/dbraw/zinc/17/08/06/1072170806.db2.gz FTYFNJZEIHRNOX-RKVPGOIHSA-N 0 0 441.554 -0.162 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)C1 ZINC000506863681 1072170987 /nfs/dbraw/zinc/17/09/87/1072170987.db2.gz FTYFNJZEIHRNOX-RVKKMQEKSA-N 0 0 441.554 -0.162 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)C1 ZINC000506863683 1072170689 /nfs/dbraw/zinc/17/06/89/1072170689.db2.gz FTYFNJZEIHRNOX-VKJFTORMSA-N 0 0 441.554 -0.162 20 0 IBADRN Nc1nnc(S(=O)(=O)CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)s1 ZINC000506864018 1073362546 /nfs/dbraw/zinc/36/25/46/1073362546.db2.gz HLPYCECJYGVXJX-UHFFFAOYSA-N 0 0 447.520 -0.446 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000506864628 1072170359 /nfs/dbraw/zinc/17/03/59/1072170359.db2.gz KGSLELLIRGIPOS-HRCADAONSA-N 0 0 427.523 -0.163 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000506864629 1072170385 /nfs/dbraw/zinc/17/03/85/1072170385.db2.gz KGSLELLIRGIPOS-OAGGEKHMSA-N 0 0 427.523 -0.163 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2nnn(-c3ccn(C)n3)c2C)C1 ZINC000506868101 1072170784 /nfs/dbraw/zinc/17/07/84/1072170784.db2.gz YXSAYJTZQVWZSW-AWEZNQCLSA-N 0 0 425.515 -0.178 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2nnn(-c3ccn(C)n3)c2C)C1 ZINC000506868302 1072170944 /nfs/dbraw/zinc/17/09/44/1072170944.db2.gz YXSAYJTZQVWZSW-CQSZACIVSA-N 0 0 425.515 -0.178 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C2(S(C)(=O)=O)CCOCC2)C1 ZINC000506868339 1072170341 /nfs/dbraw/zinc/17/03/41/1072170341.db2.gz ZJDJUFMIQYMREP-AWEZNQCLSA-N 0 0 426.557 -0.521 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C2(S(C)(=O)=O)CCOCC2)C1 ZINC000506868340 1072170264 /nfs/dbraw/zinc/17/02/64/1072170264.db2.gz ZJDJUFMIQYMREP-CQSZACIVSA-N 0 0 426.557 -0.521 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)C1 ZINC000506868383 1072170862 /nfs/dbraw/zinc/17/08/62/1072170862.db2.gz ZZTREFUDKGEORX-AWEZNQCLSA-N 0 0 438.506 -0.119 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)C1 ZINC000506868384 1072170821 /nfs/dbraw/zinc/17/08/21/1072170821.db2.gz ZZTREFUDKGEORX-CQSZACIVSA-N 0 0 438.506 -0.119 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)c4cn(C)c(=O)[nH]c4=O)CC3)C2=O)n(C)n1 ZINC000506870630 1072170734 /nfs/dbraw/zinc/17/07/34/1072170734.db2.gz HRSOINMXCOJIKW-HNNXBMFYSA-N 0 0 429.481 -0.519 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)c4cn(C)c(=O)[nH]c4=O)CC3)C2=O)n(C)n1 ZINC000506870636 1072171016 /nfs/dbraw/zinc/17/10/16/1072171016.db2.gz HRSOINMXCOJIKW-OAHLLOKOSA-N 0 0 429.481 -0.519 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CN4C(=O)NC(C)(C)C4=O)CC3)C2=O)n(C)n1 ZINC000506871108 1072172308 /nfs/dbraw/zinc/17/23/08/1072172308.db2.gz BFDHABRPKQVIJR-HNNXBMFYSA-N 0 0 445.524 -0.301 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CN4C(=O)NC(C)(C)C4=O)CC3)C2=O)n(C)n1 ZINC000506871110 1072172137 /nfs/dbraw/zinc/17/21/37/1072172137.db2.gz BFDHABRPKQVIJR-OAHLLOKOSA-N 0 0 445.524 -0.301 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCS(=O)(=O)c1nnc(-c3ccco3)n1C)c(=O)n2C ZINC000506881887 1072171621 /nfs/dbraw/zinc/17/16/21/1072171621.db2.gz RUMWEOZIBQIBOI-UHFFFAOYSA-N 0 0 433.450 -0.314 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(c3ccc(C(N)=O)cn3)CC2)c(=O)n(C)c1=O ZINC000506889049 1072173545 /nfs/dbraw/zinc/17/35/45/1072173545.db2.gz LGONZPIXZDQYFF-UHFFFAOYSA-N 0 0 443.508 -0.716 20 0 IBADRN Cn1c(-c2cnccn2)nnc1S(=O)(=O)CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000506889816 1072173588 /nfs/dbraw/zinc/17/35/88/1072173588.db2.gz IQLNRAXDMJNAAY-UHFFFAOYSA-N 0 0 446.445 -0.030 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccnc(-n4cncn4)c3)CC2)C[C@H](C)O1 ZINC000506896442 1072173424 /nfs/dbraw/zinc/17/34/24/1072173424.db2.gz TZIPGTGANZRSRV-GASCZTMLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccnc(-n4cncn4)c3)CC2)C[C@H](C)O1 ZINC000506896443 1072173400 /nfs/dbraw/zinc/17/34/00/1072173400.db2.gz TZIPGTGANZRSRV-GJZGRUSLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccnc(-n4cncn4)c3)CC2)C[C@@H](C)O1 ZINC000506896444 1072173434 /nfs/dbraw/zinc/17/34/34/1072173434.db2.gz TZIPGTGANZRSRV-HUUCEWRRSA-N 0 0 435.510 -0.226 20 0 IBADRN Cn1c2ncn(CCNc3cccc4c3CCN(S(C)(=O)=O)C4)c2c(=O)n(C)c1=O ZINC000506900532 1072508491 /nfs/dbraw/zinc/50/84/91/1072508491.db2.gz WAEJKRUHLJFQPY-UHFFFAOYSA-N 0 0 432.506 -0.137 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)[C@@H]4CC[C@H](C(=O)N5CCOCC5)O4)CC3)n2n1 ZINC000506904071 1072173496 /nfs/dbraw/zinc/17/34/96/1072173496.db2.gz SMGJZTBNUJFTMQ-JKSUJKDBSA-N 0 0 429.481 -0.512 20 0 IBADRN CCCn1c(CO)nnc1S(=O)(=O)CC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000506909028 1072174109 /nfs/dbraw/zinc/17/41/09/1072174109.db2.gz ITIRUBVSVQVHPT-UHFFFAOYSA-N 0 0 426.451 -0.122 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000506913493 1072174263 /nfs/dbraw/zinc/17/42/63/1072174263.db2.gz QRQHDNXVEHDTJL-UHFFFAOYSA-N 0 0 425.449 -0.018 20 0 IBADRN COCCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000506918089 1072174280 /nfs/dbraw/zinc/17/42/80/1072174280.db2.gz QKJZUEKPKIZZPJ-UHFFFAOYSA-N 0 0 425.507 -0.433 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)Nc4ccnn4C)CC3)C2=O)n(C)n1 ZINC000506919720 1072174349 /nfs/dbraw/zinc/17/43/49/1072174349.db2.gz WUCMBGDUGNFUJD-HNNXBMFYSA-N 0 0 428.497 -0.260 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)Nc4ccnn4C)CC3)C2=O)n(C)n1 ZINC000506919721 1072174176 /nfs/dbraw/zinc/17/41/76/1072174176.db2.gz WUCMBGDUGNFUJD-OAHLLOKOSA-N 0 0 428.497 -0.260 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)Nc4ccn(C)n4)CC3)C2=O)n(C)n1 ZINC000506920811 1072174251 /nfs/dbraw/zinc/17/42/51/1072174251.db2.gz CFINGOYIQKRBIQ-HNNXBMFYSA-N 0 0 428.497 -0.260 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)Nc4ccn(C)n4)CC3)C2=O)n(C)n1 ZINC000506920812 1072174229 /nfs/dbraw/zinc/17/42/29/1072174229.db2.gz CFINGOYIQKRBIQ-OAHLLOKOSA-N 0 0 428.497 -0.260 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000506921497 1072174144 /nfs/dbraw/zinc/17/41/44/1072174144.db2.gz KBXCYOPUKAHGRQ-UHFFFAOYSA-N 0 0 440.522 -0.072 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)Nc2cccc(C(=O)N3CCSCC3)c2)CC1 ZINC000506921562 1072174356 /nfs/dbraw/zinc/17/43/56/1072174356.db2.gz BFAKVWREMATSEO-UHFFFAOYSA-N 0 0 427.552 -0.365 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000506928233 1072174127 /nfs/dbraw/zinc/17/41/27/1072174127.db2.gz AHGQNSSAHIFUDO-UHFFFAOYSA-N 0 0 425.446 -0.472 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000506928281 1072174309 /nfs/dbraw/zinc/17/43/09/1072174309.db2.gz AUTASBPIOZRARB-UHFFFAOYSA-N 0 0 440.522 -0.211 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000506929244 1072175055 /nfs/dbraw/zinc/17/50/55/1072175055.db2.gz FAYDMLMJLUJUCM-UHFFFAOYSA-N 0 0 435.499 -0.045 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000506929427 1072175044 /nfs/dbraw/zinc/17/50/44/1072175044.db2.gz FYRIDZUDCNYELU-UHFFFAOYSA-N 0 0 426.470 -0.162 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc(Br)c1=O ZINC000506929669 1072174752 /nfs/dbraw/zinc/17/47/52/1072174752.db2.gz DPWHRDQDZUWGRY-UHFFFAOYSA-N 0 0 449.327 -0.126 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000506932661 1072174823 /nfs/dbraw/zinc/17/48/23/1072174823.db2.gz MXDJLQNGUJJCFU-UHFFFAOYSA-N 0 0 427.479 -0.035 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000506933370 1072174843 /nfs/dbraw/zinc/17/48/43/1072174843.db2.gz OHLAASMKYHPYGV-UHFFFAOYSA-N 0 0 426.495 -0.462 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCC1(O)CCOCC1 ZINC000506933409 1072175036 /nfs/dbraw/zinc/17/50/36/1072175036.db2.gz OKWJSSCTWUCWET-UHFFFAOYSA-N 0 0 442.538 -0.011 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000506933613 1072175023 /nfs/dbraw/zinc/17/50/23/1072175023.db2.gz PAJJIQXQAMZBBY-UHFFFAOYSA-N 0 0 426.495 -0.333 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c(C)c1 ZINC000506934777 1072174813 /nfs/dbraw/zinc/17/48/13/1072174813.db2.gz UADBOAWDBUSWQX-UHFFFAOYSA-N 0 0 440.522 -0.154 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC(CNS(C)(=O)=O)CC1)OCO2 ZINC000506935423 1072174908 /nfs/dbraw/zinc/17/49/08/1072174908.db2.gz SIZIBGNIJFKMLJ-UHFFFAOYSA-N 0 0 441.462 -0.072 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000506936540 1072174925 /nfs/dbraw/zinc/17/49/25/1072174925.db2.gz WYRFBBBYRBKMFJ-UHFFFAOYSA-N 0 0 439.538 -0.087 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1=O ZINC000506945852 1072176240 /nfs/dbraw/zinc/17/62/40/1072176240.db2.gz AOHCDFDURGQMTH-UHFFFAOYSA-N 0 0 449.327 -0.126 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000506948428 1072174948 /nfs/dbraw/zinc/17/49/48/1072174948.db2.gz GMEJNTHNMXGUKE-UHFFFAOYSA-N 0 0 446.551 -0.538 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000506948581 1072174858 /nfs/dbraw/zinc/17/48/58/1072174858.db2.gz GQKBUEDJADSGLI-UHFFFAOYSA-N 0 0 440.522 -0.072 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCC(CNS(C)(=O)=O)CC1)S(C)(=O)=O ZINC000506948803 1072509885 /nfs/dbraw/zinc/50/98/85/1072509885.db2.gz HLHHGHFSIYMYPH-UHFFFAOYSA-N 0 0 446.551 -0.191 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000506949211 1072175374 /nfs/dbraw/zinc/17/53/74/1072175374.db2.gz INIJTXXIMNZHQT-UHFFFAOYSA-N 0 0 440.522 -0.072 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)ccc1C ZINC000506949231 1072175418 /nfs/dbraw/zinc/17/54/18/1072175418.db2.gz IQQJFZRURMMFLF-UHFFFAOYSA-N 0 0 446.551 -0.371 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000506949723 1072175396 /nfs/dbraw/zinc/17/53/96/1072175396.db2.gz JUHIPYFBJZIWSB-UHFFFAOYSA-N 0 0 439.538 -0.047 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000506949940 1072175452 /nfs/dbraw/zinc/17/54/52/1072175452.db2.gz KLGTXTHFBYHMID-UHFFFAOYSA-N 0 0 446.551 -0.337 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)ccc1F ZINC000506949942 1072175539 /nfs/dbraw/zinc/17/55/39/1072175539.db2.gz KLLBZNHAUMDCLG-UHFFFAOYSA-N 0 0 439.473 -0.164 20 0 IBADRN O=C(NCCC1(O)CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000506952414 1072175588 /nfs/dbraw/zinc/17/55/88/1072175588.db2.gz HOHSDSUVQNNZKP-GOSISDBHSA-N 0 0 447.488 -0.313 20 0 IBADRN O=C(NCCC1(O)CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000506952416 1072175506 /nfs/dbraw/zinc/17/55/06/1072175506.db2.gz HOHSDSUVQNNZKP-SFHVURJKSA-N 0 0 447.488 -0.313 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1C ZINC000506955243 1072175543 /nfs/dbraw/zinc/17/55/43/1072175543.db2.gz OEQBZGSETSGWIJ-UHFFFAOYSA-N 0 0 446.551 -0.371 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN1CCN(c3ccc(C(N)=O)cn3)CC1)c(=O)n2C ZINC000506955459 1072175677 /nfs/dbraw/zinc/17/56/77/1072175677.db2.gz NIHUQYVOYUFHPM-UHFFFAOYSA-N 0 0 426.481 -0.860 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc(S(C)(=O)=O)c1 ZINC000506955475 1072175633 /nfs/dbraw/zinc/17/56/33/1072175633.db2.gz SUHBWYCHSFVFTP-UHFFFAOYSA-N 0 0 447.535 -0.175 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000506956456 1072175465 /nfs/dbraw/zinc/17/54/65/1072175465.db2.gz RQQVBFMZAAQRTN-UHFFFAOYSA-N 0 0 426.495 -0.333 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1nnc2n(C)c3ccccc3n21)S(C)(=O)=O ZINC000506959852 1072175619 /nfs/dbraw/zinc/17/56/19/1072175619.db2.gz HZDQEQHEGGWDHF-UHFFFAOYSA-N 0 0 442.523 -0.608 20 0 IBADRN Cc1ccccc1-n1c(N2CCOCC2)nnc1S(=O)(=O)CCCS(N)(=O)=O ZINC000506962636 1072176306 /nfs/dbraw/zinc/17/63/06/1072176306.db2.gz PODHBKMBDCRYDM-UHFFFAOYSA-N 0 0 429.524 -0.135 20 0 IBADRN CCn1c(-c2ccco2)nnc1S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O ZINC000506963838 1072176143 /nfs/dbraw/zinc/17/61/43/1072176143.db2.gz RGWCPRUEQXCCGK-UHFFFAOYSA-N 0 0 433.512 -0.271 20 0 IBADRN Cn1c(N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000506964463 1072176199 /nfs/dbraw/zinc/17/61/99/1072176199.db2.gz ULINRYBJWPFMRF-UHFFFAOYSA-N 0 0 444.477 -0.240 20 0 IBADRN Cc1c(C(=O)N2CCN(CCS(N)(=O)=O)CC2)nnn1CCOc1ccc(F)cc1 ZINC000506965767 1072176217 /nfs/dbraw/zinc/17/62/17/1072176217.db2.gz JSZZDBHHANEXSL-UHFFFAOYSA-N 0 0 440.501 -0.149 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1C ZINC000506965884 1072176250 /nfs/dbraw/zinc/17/62/50/1072176250.db2.gz FPTQDKWUSPJOPB-UHFFFAOYSA-N 0 0 446.551 -0.323 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000506966097 1072176863 /nfs/dbraw/zinc/17/68/63/1072176863.db2.gz DQJZRKVVEURPIA-UHFFFAOYSA-N 0 0 446.551 -0.371 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC(CNS(C)(=O)=O)CC3)cc2)c1=O ZINC000506967546 1072177029 /nfs/dbraw/zinc/17/70/29/1072177029.db2.gz HEDYXNRZKPPOAV-UHFFFAOYSA-N 0 0 436.494 -0.703 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000506967889 1072176956 /nfs/dbraw/zinc/17/69/56/1072176956.db2.gz GNMWKRIJKYEXOC-UHFFFAOYSA-N 0 0 440.522 -0.120 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cn1 ZINC000506968459 1072176824 /nfs/dbraw/zinc/17/68/24/1072176824.db2.gz IWVCHODNZOXQOZ-UHFFFAOYSA-N 0 0 443.526 -0.092 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000506968524 1072177008 /nfs/dbraw/zinc/17/70/08/1072177008.db2.gz LAKUWOHQSLNIRR-UHFFFAOYSA-N 0 0 446.551 -0.377 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000506969064 1072177023 /nfs/dbraw/zinc/17/70/23/1072177023.db2.gz KCTBQMPBHSPCGF-UHFFFAOYSA-N 0 0 446.551 -0.337 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1-n1cnnn1 ZINC000506969095 1072176920 /nfs/dbraw/zinc/17/69/20/1072176920.db2.gz LXCLBGNHHFAMDS-UHFFFAOYSA-N 0 0 437.482 -0.603 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Nc1ccc(-n2cccn2)cc1 ZINC000506970022 1072176872 /nfs/dbraw/zinc/17/68/72/1072176872.db2.gz OGRWBCLJCOLAGP-PBHICJAKSA-N 0 0 445.501 -0.037 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Nc1ccc(-n2cccn2)cc1 ZINC000506970023 1072176928 /nfs/dbraw/zinc/17/69/28/1072176928.db2.gz OGRWBCLJCOLAGP-RHSMWYFYSA-N 0 0 445.501 -0.037 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Nc1ccc(-n2cccn2)cc1 ZINC000506970024 1072176836 /nfs/dbraw/zinc/17/68/36/1072176836.db2.gz OGRWBCLJCOLAGP-WMLDXEAASA-N 0 0 445.501 -0.037 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Nc1ccc(-n2cccn2)cc1 ZINC000506970025 1072176980 /nfs/dbraw/zinc/17/69/80/1072176980.db2.gz OGRWBCLJCOLAGP-YOEHRIQHSA-N 0 0 445.501 -0.037 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCC1(O)CCOCC1)CC2 ZINC000506970250 1072177003 /nfs/dbraw/zinc/17/70/03/1072177003.db2.gz NJGAMRFQKXEATC-UHFFFAOYSA-N 0 0 425.507 -0.078 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000506971809 1072177015 /nfs/dbraw/zinc/17/70/15/1072177015.db2.gz QEJHRTLXWQRNBQ-UHFFFAOYSA-N 0 0 432.524 -0.679 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)NCCCNS(=O)(=O)c1ccccc1Br ZINC000506973450 1072176967 /nfs/dbraw/zinc/17/69/67/1072176967.db2.gz OXKNTQLREIQFDQ-UHFFFAOYSA-N 0 0 449.327 -0.562 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c2ccccc2O1 ZINC000506974011 1072176913 /nfs/dbraw/zinc/17/69/13/1072176913.db2.gz PTDDIHKQMGEZSQ-INIZCTEOSA-N 0 0 438.506 -0.686 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c2ccccc2O1 ZINC000506974012 1072176856 /nfs/dbraw/zinc/17/68/56/1072176856.db2.gz PTDDIHKQMGEZSQ-MRXNPFEDSA-N 0 0 438.506 -0.686 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000506974537 1072176885 /nfs/dbraw/zinc/17/68/85/1072176885.db2.gz WHYLUFVUKVGTOZ-UHFFFAOYSA-N 0 0 426.495 -0.219 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCC3(O)CCOCC3)cc2)CC1 ZINC000506975598 1072176986 /nfs/dbraw/zinc/17/69/86/1072176986.db2.gz UDUTWNSIUSYSCW-UHFFFAOYSA-N 0 0 432.521 -0.011 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1 ZINC000506976210 1072177489 /nfs/dbraw/zinc/17/74/89/1072177489.db2.gz VHVLVVKVQACQGH-UHFFFAOYSA-N 0 0 437.522 -0.062 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000506976268 1072510605 /nfs/dbraw/zinc/51/06/05/1072510605.db2.gz VRSUCBFHIROPLU-UHFFFAOYSA-N 0 0 427.479 -0.035 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000506976371 1072177526 /nfs/dbraw/zinc/17/75/26/1072177526.db2.gz VZVUJSMIVBBREV-UHFFFAOYSA-N 0 0 440.522 -0.120 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000506976918 1072177610 /nfs/dbraw/zinc/17/76/10/1072177610.db2.gz ZWWACPHCYFIRII-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN Cn1c2ncn(CC(=O)NCC(=O)Nc3ccc(-n4cccn4)cc3)c2c(=O)n(C)c1=O ZINC000507004400 1072180087 /nfs/dbraw/zinc/18/00/87/1072180087.db2.gz YZVNICLXBFGXCT-UHFFFAOYSA-N 0 0 436.432 -0.626 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)N1CCC(C(=O)OC)CC1 ZINC000507009877 1072178813 /nfs/dbraw/zinc/17/88/13/1072178813.db2.gz ZELHIGZRYOJFMC-INIZCTEOSA-N 0 0 439.600 -0.053 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)N1CCC(C(=O)OC)CC1 ZINC000507009878 1072178733 /nfs/dbraw/zinc/17/87/33/1072178733.db2.gz ZELHIGZRYOJFMC-MRXNPFEDSA-N 0 0 439.600 -0.053 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(NCC3CC3)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000507014367 1072178820 /nfs/dbraw/zinc/17/88/20/1072178820.db2.gz ITQBOTWQNUGITE-KRWDZBQOSA-N 0 0 435.550 -0.035 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(NCC3CC3)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000507014368 1072178716 /nfs/dbraw/zinc/17/87/16/1072178716.db2.gz ITQBOTWQNUGITE-QGZVFWFLSA-N 0 0 435.550 -0.035 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)[C@H](C)C2)cc1 ZINC000507018739 1072179472 /nfs/dbraw/zinc/17/94/72/1072179472.db2.gz QVRZOSHGDNAKPP-CYBMUJFWSA-N 0 0 428.449 -0.169 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)[C@@H](C)C2)cc1 ZINC000507018740 1072179429 /nfs/dbraw/zinc/17/94/29/1072179429.db2.gz QVRZOSHGDNAKPP-ZDUSSCGKSA-N 0 0 428.449 -0.169 20 0 IBADRN CCN(C[C@H](C)O)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000507030947 1072180036 /nfs/dbraw/zinc/18/00/36/1072180036.db2.gz CMQXSBSGTHJJGG-AWEZNQCLSA-N 0 0 442.538 -0.059 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1ccc(C(N)=O)cc1F)C(F)(F)F ZINC000507031303 1072180502 /nfs/dbraw/zinc/18/05/02/1072180502.db2.gz HZCBRCWUJZXYBR-JTQLQIEISA-N 0 0 428.364 -0.198 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1ccc(C(N)=O)cc1F)C(F)(F)F ZINC000507031304 1072180575 /nfs/dbraw/zinc/18/05/75/1072180575.db2.gz HZCBRCWUJZXYBR-SNVBAGLBSA-N 0 0 428.364 -0.198 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000507032043 1072180478 /nfs/dbraw/zinc/18/04/78/1072180478.db2.gz RKIBCNCVTXIFHP-SECBINFHSA-N 0 0 443.404 -0.526 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000507032044 1072180540 /nfs/dbraw/zinc/18/05/40/1072180540.db2.gz RKIBCNCVTXIFHP-VIFPVBQESA-N 0 0 443.404 -0.526 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000507033743 1072180560 /nfs/dbraw/zinc/18/05/60/1072180560.db2.gz GXRHHNITIPCITI-MRVPVSSYSA-N 0 0 442.420 -0.572 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000507033747 1072180629 /nfs/dbraw/zinc/18/06/29/1072180629.db2.gz GXRHHNITIPCITI-QMMMGPOBSA-N 0 0 442.420 -0.572 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cc1-n1cnnn1 ZINC000507037129 1072181149 /nfs/dbraw/zinc/18/11/49/1072181149.db2.gz PMBLQBKKGGGEMJ-GFCCVEGCSA-N 0 0 449.415 -0.152 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cc1-n1cnnn1 ZINC000507037130 1072181118 /nfs/dbraw/zinc/18/11/18/1072181118.db2.gz PMBLQBKKGGGEMJ-LBPRGKRZSA-N 0 0 449.415 -0.152 20 0 IBADRN CCN(C[C@H](C)O)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000507037585 1072181205 /nfs/dbraw/zinc/18/12/05/1072181205.db2.gz GDFMPXDQJCUDGG-AWEZNQCLSA-N 0 0 442.538 -0.201 20 0 IBADRN CCN(C[C@H](C)O)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000507037661 1072181085 /nfs/dbraw/zinc/18/10/85/1072181085.db2.gz GYHXAWRWWRUDBA-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc4c3CCN(S(C)(=O)=O)C4)c2c(=O)n(C)c1=O ZINC000507039137 1072181099 /nfs/dbraw/zinc/18/10/99/1072181099.db2.gz NCBRFJUOGQOZNT-UHFFFAOYSA-N 0 0 446.489 -0.610 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000507039469 1072181195 /nfs/dbraw/zinc/18/11/95/1072181195.db2.gz KJINGYSLHLBSHV-UHFFFAOYSA-N 0 0 435.481 -0.082 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc3c1CCN(S(C)(=O)=O)C3)c(=O)n2C ZINC000507042558 1072181791 /nfs/dbraw/zinc/18/17/91/1072181791.db2.gz VMRZTSQDWWFGNW-UHFFFAOYSA-N 0 0 446.489 -0.610 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000507045189 1072181848 /nfs/dbraw/zinc/18/18/48/1072181848.db2.gz HIZRHVVEVBIRID-UHFFFAOYSA-N 0 0 431.449 -0.191 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1cccc(-n2cnnn2)c1)C(F)(F)F ZINC000507046558 1072182515 /nfs/dbraw/zinc/18/25/15/1072182515.db2.gz SVYXXLWQNVRQAZ-LLVKDONJSA-N 0 0 435.388 -0.461 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1cccc(-n2cnnn2)c1)C(F)(F)F ZINC000507046559 1072182468 /nfs/dbraw/zinc/18/24/68/1072182468.db2.gz SVYXXLWQNVRQAZ-NSHDSACASA-N 0 0 435.388 -0.461 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1ccc(-n2cnnn2)cc1)C(F)(F)F ZINC000507046609 1072182417 /nfs/dbraw/zinc/18/24/17/1072182417.db2.gz UDIFYFIDJCIGFN-LLVKDONJSA-N 0 0 435.388 -0.461 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1ccc(-n2cnnn2)cc1)C(F)(F)F ZINC000507046610 1072182406 /nfs/dbraw/zinc/18/24/06/1072182406.db2.gz UDIFYFIDJCIGFN-NSHDSACASA-N 0 0 435.388 -0.461 20 0 IBADRN COc1c(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cccc1C(N)=O ZINC000507051550 1072182482 /nfs/dbraw/zinc/18/24/82/1072182482.db2.gz LRRLXCVICJYIAB-JTQLQIEISA-N 0 0 440.400 -0.329 20 0 IBADRN COc1c(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cccc1C(N)=O ZINC000507051551 1072182523 /nfs/dbraw/zinc/18/25/23/1072182523.db2.gz LRRLXCVICJYIAB-SNVBAGLBSA-N 0 0 440.400 -0.329 20 0 IBADRN CCN(C[C@H](C)O)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000507051902 1072182474 /nfs/dbraw/zinc/18/24/74/1072182474.db2.gz MKQHNRLLSOEHDR-GXTWGEPZSA-N 0 0 429.495 -0.070 20 0 IBADRN CCN(C[C@H](C)O)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000507051911 1072182499 /nfs/dbraw/zinc/18/24/99/1072182499.db2.gz MKQHNRLLSOEHDR-JSGCOSHPSA-N 0 0 429.495 -0.070 20 0 IBADRN COCCOc1ncccc1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000507052614 1072182491 /nfs/dbraw/zinc/18/24/91/1072182491.db2.gz LIGRTFLBPTTYJM-UHFFFAOYSA-N 0 0 438.462 -0.055 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000507060028 1074350761 /nfs/dbraw/zinc/35/07/61/1074350761.db2.gz RECBYOMOKNWAQN-SECBINFHSA-N 0 0 443.404 -0.526 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000507060029 1074350775 /nfs/dbraw/zinc/35/07/75/1074350775.db2.gz RECBYOMOKNWAQN-VIFPVBQESA-N 0 0 443.404 -0.526 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1ccc2c(c1)OCC(=O)N2)C(F)(F)F ZINC000507060326 1072181752 /nfs/dbraw/zinc/18/17/52/1072181752.db2.gz WDIACTJPBBTHEG-LLVKDONJSA-N 0 0 438.384 -0.106 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1ccc2c(c1)OCC(=O)N2)C(F)(F)F ZINC000507060327 1072181823 /nfs/dbraw/zinc/18/18/23/1072181823.db2.gz WDIACTJPBBTHEG-NSHDSACASA-N 0 0 438.384 -0.106 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1cc(OC(F)F)n(C)n1)C(F)(F)F ZINC000507061294 1072183566 /nfs/dbraw/zinc/18/35/66/1072183566.db2.gz HFNVBIAWWWSUGG-LURJTMIESA-N 0 0 437.347 -0.102 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1cc(OC(F)F)n(C)n1)C(F)(F)F ZINC000507061295 1072183593 /nfs/dbraw/zinc/18/35/93/1072183593.db2.gz HFNVBIAWWWSUGG-ZCFIWIBFSA-N 0 0 437.347 -0.102 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000507062232 1072184477 /nfs/dbraw/zinc/18/44/77/1072184477.db2.gz RRDXXFVHAJIZDF-UHFFFAOYSA-N 0 0 435.481 -0.082 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)n1cccn1 ZINC000507064201 1072184320 /nfs/dbraw/zinc/18/43/20/1072184320.db2.gz JUPCULVTPNNSJR-HNNXBMFYSA-N 0 0 434.522 -0.101 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)n1cccn1 ZINC000507064202 1072184488 /nfs/dbraw/zinc/18/44/88/1072184488.db2.gz JUPCULVTPNNSJR-OAHLLOKOSA-N 0 0 434.522 -0.101 20 0 IBADRN CCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000507067892 1072183143 /nfs/dbraw/zinc/18/31/43/1072183143.db2.gz UBSFWMHIOJBAOQ-UHFFFAOYSA-N 0 0 436.508 -0.049 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1 ZINC000507068855 1072183161 /nfs/dbraw/zinc/18/31/61/1072183161.db2.gz FJWYHXFSGQQBSI-LLVKDONJSA-N 0 0 442.416 -0.016 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1 ZINC000507068856 1072183081 /nfs/dbraw/zinc/18/30/81/1072183081.db2.gz FJWYHXFSGQQBSI-NSHDSACASA-N 0 0 442.416 -0.016 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000507068934 1072183071 /nfs/dbraw/zinc/18/30/71/1072183071.db2.gz GHYFVQNEUVSDEM-LLVKDONJSA-N 0 0 442.416 -0.016 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000507068935 1072182990 /nfs/dbraw/zinc/18/29/90/1072182990.db2.gz GHYFVQNEUVSDEM-NSHDSACASA-N 0 0 442.416 -0.016 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)c1 ZINC000507069413 1072183713 /nfs/dbraw/zinc/18/37/13/1072183713.db2.gz ICTBHNCIKKTBGH-GFCCVEGCSA-N 0 0 449.415 -0.152 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)c1 ZINC000507069414 1072183828 /nfs/dbraw/zinc/18/38/28/1072183828.db2.gz ICTBHNCIKKTBGH-LBPRGKRZSA-N 0 0 449.415 -0.152 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)n1cccn1 ZINC000507069654 1072512426 /nfs/dbraw/zinc/51/24/26/1072512426.db2.gz GLOZHQNBCNQEAP-AWEZNQCLSA-N 0 0 448.505 -0.338 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)n1cccn1 ZINC000507069655 1072512439 /nfs/dbraw/zinc/51/24/39/1072512439.db2.gz GLOZHQNBCNQEAP-CQSZACIVSA-N 0 0 448.505 -0.338 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1C ZINC000507069695 1072183174 /nfs/dbraw/zinc/18/31/74/1072183174.db2.gz KALVBNBJTVLWEO-JTQLQIEISA-N 0 0 428.389 -0.311 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1C ZINC000507069696 1072183844 /nfs/dbraw/zinc/18/38/44/1072183844.db2.gz KALVBNBJTVLWEO-SNVBAGLBSA-N 0 0 428.389 -0.311 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1cc(C(N)=O)ccc1F)C(F)(F)F ZINC000507071322 1072183809 /nfs/dbraw/zinc/18/38/09/1072183809.db2.gz UJCDYCOCAPIXSG-JTQLQIEISA-N 0 0 428.364 -0.198 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1cc(C(N)=O)ccc1F)C(F)(F)F ZINC000507071323 1072183836 /nfs/dbraw/zinc/18/38/36/1072183836.db2.gz UJCDYCOCAPIXSG-SNVBAGLBSA-N 0 0 428.364 -0.198 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(c3nc4c(c(=O)[nH]c(=O)n4C)n3C)CC2)cc1 ZINC000507075881 1072183783 /nfs/dbraw/zinc/18/37/83/1072183783.db2.gz DLODUGFMLQUFKX-UHFFFAOYSA-N 0 0 434.478 -0.108 20 0 IBADRN CCN(C[C@H](C)O)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000507079919 1072183730 /nfs/dbraw/zinc/18/37/30/1072183730.db2.gz ZSEFDNDNYXJMOV-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000507082308 1072184773 /nfs/dbraw/zinc/18/47/73/1072184773.db2.gz QSWOBMQZYBYELJ-AEFFLSMTSA-N 0 0 438.550 -0.682 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000507082309 1072185061 /nfs/dbraw/zinc/18/50/61/1072185061.db2.gz QSWOBMQZYBYELJ-WMZOPIPTSA-N 0 0 438.550 -0.682 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000507085955 1072185524 /nfs/dbraw/zinc/18/55/24/1072185524.db2.gz MZJXFHNYKIGPMO-GOSISDBHSA-N 0 0 446.529 -0.610 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000507085956 1072185496 /nfs/dbraw/zinc/18/54/96/1072185496.db2.gz MZJXFHNYKIGPMO-SFHVURJKSA-N 0 0 446.529 -0.610 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)cn1 ZINC000507090031 1072185669 /nfs/dbraw/zinc/18/56/69/1072185669.db2.gz XDRPKZPYAUGNII-UHFFFAOYSA-N 0 0 427.508 -0.345 20 0 IBADRN Cc1nc(CN2C[C@@H](F)C[C@H]2CNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)no1 ZINC000507092184 1072184837 /nfs/dbraw/zinc/18/48/37/1072184837.db2.gz ZNKNEXDGKSSNMT-YHUYYLMFSA-N 0 0 425.461 -0.187 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)Cc3ccncc3)CC2)cn1C ZINC000507094690 1072185029 /nfs/dbraw/zinc/18/50/29/1072185029.db2.gz NEVWUTLTKSKEBP-AWEZNQCLSA-N 0 0 434.522 -0.296 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)Cc3ccncc3)CC2)cn1C ZINC000507094691 1072184869 /nfs/dbraw/zinc/18/48/69/1072184869.db2.gz NEVWUTLTKSKEBP-CQSZACIVSA-N 0 0 434.522 -0.296 20 0 IBADRN O=C(NCCCc1ccccc1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000507095693 1072185066 /nfs/dbraw/zinc/18/50/66/1072185066.db2.gz VXAFOJCRKJKXCA-UHFFFAOYSA-N 0 0 434.468 -0.596 20 0 IBADRN CCn1c(CCC(=O)N2CCNC(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000507098110 1072185533 /nfs/dbraw/zinc/18/55/33/1072185533.db2.gz NUEGDOQOTBGVCP-UHFFFAOYSA-N 0 0 449.533 -0.032 20 0 IBADRN Cn1c(NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000507099155 1074350566 /nfs/dbraw/zinc/35/05/66/1074350566.db2.gz ZTXBBRGQQICGAG-UHFFFAOYSA-N 0 0 448.509 -0.669 20 0 IBADRN CCN(CCOCCO)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000507101825 1072513415 /nfs/dbraw/zinc/51/34/15/1072513415.db2.gz JCWYSURYGZZWPJ-UHFFFAOYSA-N 0 0 429.543 -0.426 20 0 IBADRN C[C@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCCF ZINC000507101941 1072186179 /nfs/dbraw/zinc/18/61/79/1072186179.db2.gz KNAPCOFZTYQICX-AWEZNQCLSA-N 0 0 430.506 -0.693 20 0 IBADRN C[C@@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCCF ZINC000507101947 1072186217 /nfs/dbraw/zinc/18/62/17/1072186217.db2.gz KNAPCOFZTYQICX-CQSZACIVSA-N 0 0 430.506 -0.693 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000507103102 1072186140 /nfs/dbraw/zinc/18/61/40/1072186140.db2.gz MSFFNJIKEZRPSQ-HNNXBMFYSA-N 0 0 445.567 -0.638 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000507103103 1072186196 /nfs/dbraw/zinc/18/61/96/1072186196.db2.gz MSFFNJIKEZRPSQ-OAHLLOKOSA-N 0 0 445.567 -0.638 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(c2nc3c(c(=O)[nH]c(=O)n3C)n2C)CC1 ZINC000507103767 1072186094 /nfs/dbraw/zinc/18/60/94/1072186094.db2.gz ORAYBMAYKNCBRU-UHFFFAOYSA-N 0 0 437.482 -0.122 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCN(C2CC2)C(=O)C1 ZINC000507104211 1072186227 /nfs/dbraw/zinc/18/62/27/1072186227.db2.gz PHZXGCVQQGGDSD-UHFFFAOYSA-N 0 0 436.538 -0.450 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000507104405 1072186207 /nfs/dbraw/zinc/18/62/07/1072186207.db2.gz LUZWVPNFNMSVCC-UHFFFAOYSA-N 0 0 427.509 -0.072 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCCc3c(C)nn(C)c3C)CC2)n1 ZINC000507107402 1072186121 /nfs/dbraw/zinc/18/61/21/1072186121.db2.gz UXCWLBMDSIYFGO-UHFFFAOYSA-N 0 0 433.513 -0.040 20 0 IBADRN C[C@H](Cc1ccncc1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000507108699 1072186082 /nfs/dbraw/zinc/18/60/82/1072186082.db2.gz IWGNRQVFPYMGKP-CYBMUJFWSA-N 0 0 449.489 -0.646 20 0 IBADRN C[C@@H](Cc1ccncc1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000507108700 1072186908 /nfs/dbraw/zinc/18/69/08/1072186908.db2.gz IWGNRQVFPYMGKP-ZDUSSCGKSA-N 0 0 449.489 -0.646 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000507108716 1072186755 /nfs/dbraw/zinc/18/67/55/1072186755.db2.gz HJRHZWAJKUVGAV-KRWDZBQOSA-N 0 0 446.504 -0.082 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000507108717 1072186715 /nfs/dbraw/zinc/18/67/15/1072186715.db2.gz HJRHZWAJKUVGAV-QGZVFWFLSA-N 0 0 446.504 -0.082 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCCNC(=O)c2ccccc2)c1 ZINC000507109630 1072186868 /nfs/dbraw/zinc/18/68/68/1072186868.db2.gz LISFZNNIZBKFHP-UHFFFAOYSA-N 0 0 425.445 -0.224 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCCc1ccccc1F)C2 ZINC000507110262 1072186703 /nfs/dbraw/zinc/18/67/03/1072186703.db2.gz NJYPCDGVHCUJPD-UHFFFAOYSA-N 0 0 432.456 -0.637 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000507120314 1072187386 /nfs/dbraw/zinc/18/73/86/1072187386.db2.gz GLLHPYBUQWQMOI-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000507124765 1072187279 /nfs/dbraw/zinc/18/72/79/1072187279.db2.gz QTIIWXFBOUCBFS-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000507125622 1072187330 /nfs/dbraw/zinc/18/73/30/1072187330.db2.gz VAGYQJVMLXHLFS-UHFFFAOYSA-N 0 0 427.509 -0.072 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000507130742 1072187467 /nfs/dbraw/zinc/18/74/67/1072187467.db2.gz YAVIQVOGAMIKIU-GOSISDBHSA-N 0 0 448.568 -0.523 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000507130743 1072187267 /nfs/dbraw/zinc/18/72/67/1072187267.db2.gz YAVIQVOGAMIKIU-SFHVURJKSA-N 0 0 448.568 -0.523 20 0 IBADRN Cn1c(N2CCN(S(=O)(=O)N3CCCCCC3)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000507133356 1072187321 /nfs/dbraw/zinc/18/73/21/1072187321.db2.gz XDISPAFUQGQDFU-UHFFFAOYSA-N 0 0 425.515 -0.385 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000507140683 1072187353 /nfs/dbraw/zinc/18/73/53/1072187353.db2.gz IBZCRYOCNHYWEK-UHFFFAOYSA-N 0 0 434.541 -0.911 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCNC(=O)c2cccc(Br)c2)cn1 ZINC000507144066 1072188016 /nfs/dbraw/zinc/18/80/16/1072188016.db2.gz QJHQQEWSIVSHDY-UHFFFAOYSA-N 0 0 437.254 -0.384 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC1CCSCC1 ZINC000507144132 1072187967 /nfs/dbraw/zinc/18/79/67/1072187967.db2.gz REXGIWNOBDKKII-UHFFFAOYSA-N 0 0 426.520 -0.243 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC000507147045 1074350668 /nfs/dbraw/zinc/35/06/68/1074350668.db2.gz AALWVMXNCAILAC-UHFFFAOYSA-N 0 0 434.478 -0.656 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(c3ccccc3Cl)CC2)CC1 ZINC000507148046 1072188024 /nfs/dbraw/zinc/18/80/24/1072188024.db2.gz XHOGKFBQJCCQEP-UHFFFAOYSA-N 0 0 431.884 -0.155 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000507148487 1072187951 /nfs/dbraw/zinc/18/79/51/1072187951.db2.gz XZXIXPYHGPHFGQ-UHFFFAOYSA-N 0 0 434.541 -0.911 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)Nc2ccn(CC(=O)N(C)C)n2)c1 ZINC000507149972 1072188613 /nfs/dbraw/zinc/18/86/13/1072188613.db2.gz OGOMPWDSNMGJOR-UHFFFAOYSA-N 0 0 438.510 -0.198 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000507152341 1072189233 /nfs/dbraw/zinc/18/92/33/1072189233.db2.gz RYRUZEWLCQJUGO-JTQLQIEISA-N 0 0 431.496 -0.961 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000507152342 1072189248 /nfs/dbraw/zinc/18/92/48/1072189248.db2.gz RYRUZEWLCQJUGO-SNVBAGLBSA-N 0 0 431.496 -0.961 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCCNC(=O)c3ccccc3)cnc2n(C)c1=O ZINC000507159219 1072188486 /nfs/dbraw/zinc/18/84/86/1072188486.db2.gz LAXIDUGRRDTOCK-UHFFFAOYSA-N 0 0 438.444 -0.493 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000507164296 1074350553 /nfs/dbraw/zinc/35/05/53/1074350553.db2.gz WYXSYHQFYYICAW-UHFFFAOYSA-N 0 0 434.478 -0.656 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000507170707 1072191163 /nfs/dbraw/zinc/19/11/63/1072191163.db2.gz PRZAOABNVNFWQM-UHFFFAOYSA-N 0 0 447.536 -0.034 20 0 IBADRN O=C(Nc1ccncc1Br)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000507173155 1072191206 /nfs/dbraw/zinc/19/12/06/1072191206.db2.gz BRGGQCKKUNZFMB-UHFFFAOYSA-N 0 0 434.316 -0.008 20 0 IBADRN Cc1cc(CNC(=O)NCCS(=O)(=O)N2CCSCC2)ccc1S(N)(=O)=O ZINC000507173703 1072191808 /nfs/dbraw/zinc/19/18/08/1072191808.db2.gz ARPYKIYPFAJWSO-UHFFFAOYSA-N 0 0 436.581 -0.180 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000507173732 1072191866 /nfs/dbraw/zinc/19/18/66/1072191866.db2.gz BAZILQOGOHONJX-UHFFFAOYSA-N 0 0 436.581 -0.180 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2c(c1)CCN2C(=O)c1cccnc1 ZINC000507176002 1072191727 /nfs/dbraw/zinc/19/17/27/1072191727.db2.gz GFFJEBMIRDQJJM-UHFFFAOYSA-N 0 0 431.474 -0.112 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000507180333 1072190525 /nfs/dbraw/zinc/19/05/25/1072190525.db2.gz PCLBOPZMKVTCIL-UHFFFAOYSA-N 0 0 430.494 -0.206 20 0 IBADRN O=C(Nc1ccncc1Br)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000507184046 1072190512 /nfs/dbraw/zinc/19/05/12/1072190512.db2.gz ZSBZRBYTYLWQQW-UHFFFAOYSA-N 0 0 426.271 -0.199 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)CC1 ZINC000507202325 1072192494 /nfs/dbraw/zinc/19/24/94/1072192494.db2.gz HIZTYMHXGYWITF-UHFFFAOYSA-N 0 0 425.511 -0.478 20 0 IBADRN C[C@H](NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)C(=O)NCCF ZINC000507202665 1072192449 /nfs/dbraw/zinc/19/24/49/1072192449.db2.gz HXGNZOKXBPNMGP-AWEZNQCLSA-N 0 0 429.518 -0.104 20 0 IBADRN C[C@@H](NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)C(=O)NCCF ZINC000507202666 1072192402 /nfs/dbraw/zinc/19/24/02/1072192402.db2.gz HXGNZOKXBPNMGP-CQSZACIVSA-N 0 0 429.518 -0.104 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CC[C@H](S(C)(=O)=O)C2)CC1 ZINC000507203052 1072192425 /nfs/dbraw/zinc/19/24/25/1072192425.db2.gz IOBVARVWSIJMDG-INIZCTEOSA-N 0 0 444.579 -0.049 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CC[C@@H](S(C)(=O)=O)C2)CC1 ZINC000507203053 1072192509 /nfs/dbraw/zinc/19/25/09/1072192509.db2.gz IOBVARVWSIJMDG-MRXNPFEDSA-N 0 0 444.579 -0.049 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc(S(N)(=O)=O)cc2C)CC1 ZINC000507204432 1072192285 /nfs/dbraw/zinc/19/22/85/1072192285.db2.gz KWARRVGSKLFDDF-UHFFFAOYSA-N 0 0 425.511 -0.478 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)CC1 ZINC000507205695 1072192516 /nfs/dbraw/zinc/19/25/16/1072192516.db2.gz MOSYOEXCNACNCQ-UHFFFAOYSA-N 0 0 443.570 -0.333 20 0 IBADRN Cc1cc(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)ccc1S(N)(=O)=O ZINC000507211749 1072193078 /nfs/dbraw/zinc/19/30/78/1072193078.db2.gz UTBGPCSQSBBMEW-HNNXBMFYSA-N 0 0 448.567 -0.070 20 0 IBADRN Cc1cc(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)ccc1S(N)(=O)=O ZINC000507211753 1072192968 /nfs/dbraw/zinc/19/29/68/1072192968.db2.gz UTBGPCSQSBBMEW-OAHLLOKOSA-N 0 0 448.567 -0.070 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000507213297 1072193620 /nfs/dbraw/zinc/19/36/20/1072193620.db2.gz WMWNIOJQHLRVSH-HNNXBMFYSA-N 0 0 448.567 -0.070 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000507213298 1072193733 /nfs/dbraw/zinc/19/37/33/1072193733.db2.gz WMWNIOJQHLRVSH-OAHLLOKOSA-N 0 0 448.567 -0.070 20 0 IBADRN COC(=O)[C@]1(C)CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H]1C ZINC000507219731 1072193125 /nfs/dbraw/zinc/19/31/25/1072193125.db2.gz QCDZNPQABJPBIW-RDTXWAMCSA-N 0 0 432.543 -0.132 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@@H]3CCOC3)CC2)C[C@@H](C)O1 ZINC000507227444 1072193613 /nfs/dbraw/zinc/19/36/13/1072193613.db2.gz FQFCBABKVPTUSH-BZUAXINKSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@H]3CCOC3)CC2)C[C@@H](C)O1 ZINC000507227458 1072193536 /nfs/dbraw/zinc/19/35/36/1072193536.db2.gz FQFCBABKVPTUSH-OAGGEKHMSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@@H]3CCOC3)CC2)C[C@H](C)O1 ZINC000507227459 1072193746 /nfs/dbraw/zinc/19/37/46/1072193746.db2.gz FQFCBABKVPTUSH-OWCLPIDISA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@H]3CCOC3)CC2)C[C@H](C)O1 ZINC000507228064 1072193633 /nfs/dbraw/zinc/19/36/33/1072193633.db2.gz FQFCBABKVPTUSH-XHSDSOJGSA-N 0 0 432.543 -0.973 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCC1(OCCO)CCOCC1 ZINC000507234081 1072194299 /nfs/dbraw/zinc/19/42/99/1072194299.db2.gz NRZPEXOZAWFGGZ-UHFFFAOYSA-N 0 0 445.538 -0.343 20 0 IBADRN CCCOc1cccc(CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000507240393 1072193677 /nfs/dbraw/zinc/19/36/77/1072193677.db2.gz ZGKOHMKLNBDLNC-UHFFFAOYSA-N 0 0 429.481 -0.508 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000507242966 1072194141 /nfs/dbraw/zinc/19/41/41/1072194141.db2.gz IOGXURYHRBORND-GFCCVEGCSA-N 0 0 433.508 -0.772 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000507242967 1072194259 /nfs/dbraw/zinc/19/42/59/1072194259.db2.gz IOGXURYHRBORND-LBPRGKRZSA-N 0 0 433.508 -0.772 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(c3cccnn3)CC2)CC1 ZINC000507258674 1072194773 /nfs/dbraw/zinc/19/47/73/1072194773.db2.gz PIBGHHCFDQXVDF-UHFFFAOYSA-N 0 0 449.537 -0.263 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(c3cccnn3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000507258750 1072194851 /nfs/dbraw/zinc/19/48/51/1072194851.db2.gz DCCBENLOLOWZOB-UHFFFAOYSA-N 0 0 435.488 -0.028 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCC2(OCCO)CCOCC2)cc1 ZINC000507279626 1072196229 /nfs/dbraw/zinc/19/62/29/1072196229.db2.gz OMFXMTBRXHLXJQ-UHFFFAOYSA-N 0 0 443.522 -0.012 20 0 IBADRN O=C(CCNC(=O)NCC1(OCCO)CCOCC1)N1CCN(c2ccccn2)CC1 ZINC000507280953 1072196176 /nfs/dbraw/zinc/19/61/76/1072196176.db2.gz DWQFIZHTDRMYDK-UHFFFAOYSA-N 0 0 435.525 -0.022 20 0 IBADRN COC(=O)[C@H]1CC12CCN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)CC2 ZINC000507284043 1072196016 /nfs/dbraw/zinc/19/60/16/1072196016.db2.gz ROZRNMPNBWVOES-CYBMUJFWSA-N 0 0 429.433 -0.628 20 0 IBADRN COC(=O)[C@@H]1CC12CCN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)CC2 ZINC000507284045 1072196217 /nfs/dbraw/zinc/19/62/17/1072196217.db2.gz ROZRNMPNBWVOES-ZDUSSCGKSA-N 0 0 429.433 -0.628 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCC1(OCCO)CCOCC1 ZINC000507285098 1072196058 /nfs/dbraw/zinc/19/60/58/1072196058.db2.gz MLUUFTKFMLLWLF-UHFFFAOYSA-N 0 0 444.506 -0.200 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCOC[C@@H]3[C@@H]3CCCO3)ccn2)CC1 ZINC000507311449 1072196737 /nfs/dbraw/zinc/19/67/37/1072196737.db2.gz GGLBLWPNFQQKEQ-MSOLQXFVSA-N 0 0 431.493 -0.186 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCOC[C@@H]3[C@H]3CCCO3)ccn2)CC1 ZINC000507311451 1072196780 /nfs/dbraw/zinc/19/67/80/1072196780.db2.gz GGLBLWPNFQQKEQ-QZTJIDSGSA-N 0 0 431.493 -0.186 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCOC[C@H]3[C@@H]3CCCO3)ccn2)CC1 ZINC000507311453 1072196693 /nfs/dbraw/zinc/19/66/93/1072196693.db2.gz GGLBLWPNFQQKEQ-ROUUACIJSA-N 0 0 431.493 -0.186 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCOC[C@H]3[C@H]3CCCO3)ccn2)CC1 ZINC000507311454 1072196825 /nfs/dbraw/zinc/19/68/25/1072196825.db2.gz GGLBLWPNFQQKEQ-ZWKOTPCHSA-N 0 0 431.493 -0.186 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507321247 1072197191 /nfs/dbraw/zinc/19/71/91/1072197191.db2.gz CQXOGIPMNZYGSZ-INIZCTEOSA-N 0 0 443.508 -0.247 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507321248 1072197384 /nfs/dbraw/zinc/19/73/84/1072197384.db2.gz CQXOGIPMNZYGSZ-MRXNPFEDSA-N 0 0 443.508 -0.247 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)cn1 ZINC000507322486 1072197415 /nfs/dbraw/zinc/19/74/15/1072197415.db2.gz FTKFJWLYOFEVPR-HNNXBMFYSA-N 0 0 449.512 -0.619 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)cn1 ZINC000507322497 1072197448 /nfs/dbraw/zinc/19/74/48/1072197448.db2.gz FTKFJWLYOFEVPR-OAHLLOKOSA-N 0 0 449.512 -0.619 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507324588 1072197229 /nfs/dbraw/zinc/19/72/29/1072197229.db2.gz JRRTYYCLONQPQF-KRWDZBQOSA-N 0 0 447.540 -0.050 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507324597 1072197351 /nfs/dbraw/zinc/19/73/51/1072197351.db2.gz JRRTYYCLONQPQF-QGZVFWFLSA-N 0 0 447.540 -0.050 20 0 IBADRN CN(CCNC(=O)[C@H]1COCCN1CC(F)F)C(=O)[C@H]1COCCN1CC(F)F ZINC000507329640 1072197998 /nfs/dbraw/zinc/19/79/98/1072197998.db2.gz XQPDJJRHXGHOQQ-CHWSQXEVSA-N 0 0 428.427 -0.507 20 0 IBADRN CN(CCNC(=O)[C@H]1COCCN1CC(F)F)C(=O)[C@@H]1COCCN1CC(F)F ZINC000507329641 1072197990 /nfs/dbraw/zinc/19/79/90/1072197990.db2.gz XQPDJJRHXGHOQQ-OLZOCXBDSA-N 0 0 428.427 -0.507 20 0 IBADRN CN(CCNC(=O)[C@@H]1COCCN1CC(F)F)C(=O)[C@H]1COCCN1CC(F)F ZINC000507329642 1072197965 /nfs/dbraw/zinc/19/79/65/1072197965.db2.gz XQPDJJRHXGHOQQ-QWHCGFSZSA-N 0 0 428.427 -0.507 20 0 IBADRN CN(CCNC(=O)[C@@H]1COCCN1CC(F)F)C(=O)[C@@H]1COCCN1CC(F)F ZINC000507329643 1072197930 /nfs/dbraw/zinc/19/79/30/1072197930.db2.gz XQPDJJRHXGHOQQ-STQMWFEESA-N 0 0 428.427 -0.507 20 0 IBADRN COc1cccc(N(Cc2cc(=O)n(C)c(=O)n2C)Cc2cc(=O)n(C)c(=O)n2C)c1 ZINC000507331528 1072197909 /nfs/dbraw/zinc/19/79/09/1072197909.db2.gz PKAINPDGUNQRAD-UHFFFAOYSA-N 0 0 427.461 -0.303 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@H](C(F)(F)F)[C@@H](CO)C3)cnc2n(C)c1=O ZINC000507332142 1072197827 /nfs/dbraw/zinc/19/78/27/1072197827.db2.gz QYIRCVSIOOACJO-BXKDBHETSA-N 0 0 443.382 -0.410 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@H](C(F)(F)F)[C@H](CO)C3)cnc2n(C)c1=O ZINC000507332143 1072197856 /nfs/dbraw/zinc/19/78/56/1072197856.db2.gz QYIRCVSIOOACJO-CABZTGNLSA-N 0 0 443.382 -0.410 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@H](C(F)(F)F)[C@H](CO)C3)cnc2n(C)c1=O ZINC000507332144 1072197984 /nfs/dbraw/zinc/19/79/84/1072197984.db2.gz QYIRCVSIOOACJO-JOYOIKCWSA-N 0 0 443.382 -0.410 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@H](C(F)(F)F)[C@@H](CO)C3)cnc2n(C)c1=O ZINC000507332145 1072198005 /nfs/dbraw/zinc/19/80/05/1072198005.db2.gz QYIRCVSIOOACJO-SKDRFNHKSA-N 0 0 443.382 -0.410 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507333436 1072196802 /nfs/dbraw/zinc/19/68/02/1072196802.db2.gz UKYFTAPQZZTQHN-KRWDZBQOSA-N 0 0 447.540 -0.050 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507333437 1072197321 /nfs/dbraw/zinc/19/73/21/1072197321.db2.gz UKYFTAPQZZTQHN-QGZVFWFLSA-N 0 0 447.540 -0.050 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCOC[C@H]2[C@H]2CCCO2)cc1 ZINC000507334203 1072197840 /nfs/dbraw/zinc/19/78/40/1072197840.db2.gz WNAXHGFPEMXBKG-DLBZAZTESA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCOC[C@@H]2[C@H]2CCCO2)cc1 ZINC000507334204 1072197922 /nfs/dbraw/zinc/19/79/22/1072197922.db2.gz WNAXHGFPEMXBKG-IAGOWNOFSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCOC[C@H]2[C@@H]2CCCO2)cc1 ZINC000507334205 1072197862 /nfs/dbraw/zinc/19/78/62/1072197862.db2.gz WNAXHGFPEMXBKG-IRXDYDNUSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCOC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000507334206 1072197871 /nfs/dbraw/zinc/19/78/71/1072197871.db2.gz WNAXHGFPEMXBKG-SJORKVTESA-N 0 0 433.461 -0.137 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)cn1)NC1CC1 ZINC000507336451 1072197170 /nfs/dbraw/zinc/19/71/70/1072197170.db2.gz LVYKNPCJJYPYEM-HNNXBMFYSA-N 0 0 431.497 -0.103 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)cn1)NC1CC1 ZINC000507336452 1072197273 /nfs/dbraw/zinc/19/72/73/1072197273.db2.gz LVYKNPCJJYPYEM-OAHLLOKOSA-N 0 0 431.497 -0.103 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOC[C@@H]3[C@@H]3CCCO3)cn2)C[C@@H](C)O1 ZINC000507356322 1072198538 /nfs/dbraw/zinc/19/85/38/1072198538.db2.gz DMVZUDJVNQPCFD-AHCXZYCDSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOC[C@@H]3[C@@H]3CCCO3)cn2)C[C@H](C)O1 ZINC000507356328 1072198531 /nfs/dbraw/zinc/19/85/31/1072198531.db2.gz DMVZUDJVNQPCFD-ATLSCFEFSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOC[C@@H]3[C@H]3CCCO3)cn2)C[C@H](C)O1 ZINC000507356331 1072198467 /nfs/dbraw/zinc/19/84/67/1072198467.db2.gz DMVZUDJVNQPCFD-CYGHRXIMSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOC[C@@H]3[C@H]3CCCO3)cn2)C[C@@H](C)O1 ZINC000507356333 1072198629 /nfs/dbraw/zinc/19/86/29/1072198629.db2.gz DMVZUDJVNQPCFD-JOCBIADPSA-N 0 0 449.508 -0.136 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)c1 ZINC000507358920 1072198383 /nfs/dbraw/zinc/19/83/83/1072198383.db2.gz OEDVBBJPGLYIRJ-INIZCTEOSA-N 0 0 444.492 -0.363 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)c1 ZINC000507358921 1072198551 /nfs/dbraw/zinc/19/85/51/1072198551.db2.gz OEDVBBJPGLYIRJ-MRXNPFEDSA-N 0 0 444.492 -0.363 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@H]2[C@H]2CCCO2)cc1 ZINC000507364781 1072199174 /nfs/dbraw/zinc/19/91/74/1072199174.db2.gz SLSULQSQZHBCMM-DLBZAZTESA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@@H]2[C@H]2CCCO2)cc1 ZINC000507364782 1072198978 /nfs/dbraw/zinc/19/89/78/1072198978.db2.gz SLSULQSQZHBCMM-IAGOWNOFSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@H]2[C@@H]2CCCO2)cc1 ZINC000507364783 1072198826 /nfs/dbraw/zinc/19/88/26/1072198826.db2.gz SLSULQSQZHBCMM-IRXDYDNUSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCOC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000507364784 1072199087 /nfs/dbraw/zinc/19/90/87/1072199087.db2.gz SLSULQSQZHBCMM-SJORKVTESA-N 0 0 441.506 -0.044 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@@H]3CCCCO3)CC2)cn1C ZINC000507369465 1072198880 /nfs/dbraw/zinc/19/88/80/1072198880.db2.gz LTFOJYONUTTZCW-HNNXBMFYSA-N 0 0 427.527 -0.363 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@H]3CCCCO3)CC2)cn1C ZINC000507369466 1072199119 /nfs/dbraw/zinc/19/91/19/1072199119.db2.gz LTFOJYONUTTZCW-OAHLLOKOSA-N 0 0 427.527 -0.363 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000507370149 1072199141 /nfs/dbraw/zinc/19/91/41/1072199141.db2.gz HLTJKTDCKHXMEP-UHFFFAOYSA-N 0 0 425.449 -0.018 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@H]3CCCCO3)CC2)o1 ZINC000507377960 1072198915 /nfs/dbraw/zinc/19/89/15/1072198915.db2.gz FQGCUCGUIRZELA-CYBMUJFWSA-N 0 0 442.494 -0.713 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@@H]3CCCCO3)CC2)o1 ZINC000507377961 1072199018 /nfs/dbraw/zinc/19/90/18/1072199018.db2.gz FQGCUCGUIRZELA-ZDUSSCGKSA-N 0 0 442.494 -0.713 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@@H]3CCCCO3)CC2)C[C@H](C)O1 ZINC000507379518 1072199164 /nfs/dbraw/zinc/19/91/64/1072199164.db2.gz IBXLGCPVJXJHDX-BBWFWOEESA-N 0 0 446.570 -0.440 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@H]3CCCCO3)CC2)C[C@@H](C)O1 ZINC000507379519 1072198874 /nfs/dbraw/zinc/19/88/74/1072198874.db2.gz IBXLGCPVJXJHDX-BRWVUGGUSA-N 0 0 446.570 -0.440 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@H]3CCCCO3)CC2)C[C@H](C)O1 ZINC000507379520 1072199004 /nfs/dbraw/zinc/19/90/04/1072199004.db2.gz IBXLGCPVJXJHDX-IXDOHACOSA-N 0 0 446.570 -0.440 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@@H]3CCCCO3)CC2)C[C@@H](C)O1 ZINC000507379521 1072198812 /nfs/dbraw/zinc/19/88/12/1072198812.db2.gz IBXLGCPVJXJHDX-ZACQAIPSSA-N 0 0 446.570 -0.440 20 0 IBADRN O=C(NCC[C@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000507380717 1072201433 /nfs/dbraw/zinc/20/14/33/1072201433.db2.gz KWVREVDAAUKXQQ-AWEZNQCLSA-N 0 0 435.506 -0.061 20 0 IBADRN O=C(NCC[C@@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000507380720 1072201451 /nfs/dbraw/zinc/20/14/51/1072201451.db2.gz KWVREVDAAUKXQQ-CQSZACIVSA-N 0 0 435.506 -0.061 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCC[C@@H]4CCOC4)CC3)C2=O)n(C)n1 ZINC000507381534 1072201375 /nfs/dbraw/zinc/20/13/75/1072201375.db2.gz NBHFJNPLUYKDSC-MSOLQXFVSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCC[C@@H]4CCOC4)CC3)C2=O)n(C)n1 ZINC000507381536 1072201243 /nfs/dbraw/zinc/20/12/43/1072201243.db2.gz NBHFJNPLUYKDSC-QZTJIDSGSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCC[C@H]4CCOC4)CC3)C2=O)n(C)n1 ZINC000507381539 1072201309 /nfs/dbraw/zinc/20/13/09/1072201309.db2.gz NBHFJNPLUYKDSC-ROUUACIJSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCC[C@H]4CCOC4)CC3)C2=O)n(C)n1 ZINC000507381542 1072201228 /nfs/dbraw/zinc/20/12/28/1072201228.db2.gz NBHFJNPLUYKDSC-ZWKOTPCHSA-N 0 0 446.552 -0.089 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000507381910 1072201320 /nfs/dbraw/zinc/20/13/20/1072201320.db2.gz PEPAIDMSYBMYSV-INIZCTEOSA-N 0 0 446.551 -0.272 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000507381913 1072201249 /nfs/dbraw/zinc/20/12/49/1072201249.db2.gz PEPAIDMSYBMYSV-MRXNPFEDSA-N 0 0 446.551 -0.272 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000507388983 1072201301 /nfs/dbraw/zinc/20/13/01/1072201301.db2.gz ADXPPPHAEUBTBA-INIZCTEOSA-N 0 0 445.476 -0.227 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000507388984 1072201160 /nfs/dbraw/zinc/20/11/60/1072201160.db2.gz ADXPPPHAEUBTBA-MRXNPFEDSA-N 0 0 445.476 -0.227 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000507390019 1072201403 /nfs/dbraw/zinc/20/14/03/1072201403.db2.gz JNPXMRRHIACVJD-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@H]1CCN(C)C1=O ZINC000507392616 1072199812 /nfs/dbraw/zinc/19/98/12/1072199812.db2.gz JRWBPZUDZLMKRD-INIZCTEOSA-N 0 0 428.493 -0.232 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@@H]1CCN(C)C1=O ZINC000507392619 1072199804 /nfs/dbraw/zinc/19/98/04/1072199804.db2.gz JRWBPZUDZLMKRD-MRXNPFEDSA-N 0 0 428.493 -0.232 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(C)[C@H]2CCN(C)C2=O)cc(S(C)(=O)=O)c1 ZINC000507392889 1072199712 /nfs/dbraw/zinc/19/97/12/1072199712.db2.gz KLJUSKQDIKPOII-AWEZNQCLSA-N 0 0 425.463 -0.106 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N(C)[C@@H]2CCN(C)C2=O)cc(S(C)(=O)=O)c1 ZINC000507392891 1072199762 /nfs/dbraw/zinc/19/97/62/1072199762.db2.gz KLJUSKQDIKPOII-CQSZACIVSA-N 0 0 425.463 -0.106 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000507393133 1072199686 /nfs/dbraw/zinc/19/96/86/1072199686.db2.gz KYVZKLDHSMLSHK-INIZCTEOSA-N 0 0 440.522 -0.029 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000507393135 1072199817 /nfs/dbraw/zinc/19/98/17/1072199817.db2.gz KYVZKLDHSMLSHK-MRXNPFEDSA-N 0 0 440.522 -0.029 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)CC1 ZINC000507393649 1072199625 /nfs/dbraw/zinc/19/96/25/1072199625.db2.gz MWHCMAABIIEARA-HNNXBMFYSA-N 0 0 440.522 -0.063 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)CC1 ZINC000507393653 1072199700 /nfs/dbraw/zinc/19/97/00/1072199700.db2.gz MWHCMAABIIEARA-OAHLLOKOSA-N 0 0 440.522 -0.063 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000507394520 1072199649 /nfs/dbraw/zinc/19/96/49/1072199649.db2.gz QLAIGVKORZZDND-PBHICJAKSA-N 0 0 432.477 -0.378 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000507394521 1072199707 /nfs/dbraw/zinc/19/97/07/1072199707.db2.gz QLAIGVKORZZDND-RHSMWYFYSA-N 0 0 432.477 -0.378 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000507394522 1072199824 /nfs/dbraw/zinc/19/98/24/1072199824.db2.gz QLAIGVKORZZDND-WMLDXEAASA-N 0 0 432.477 -0.378 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000507394523 1072200369 /nfs/dbraw/zinc/20/03/69/1072200369.db2.gz QLAIGVKORZZDND-YOEHRIQHSA-N 0 0 432.477 -0.378 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)CC1 ZINC000507394641 1072200204 /nfs/dbraw/zinc/20/02/04/1072200204.db2.gz RKKFXNNTXSCVFO-INIZCTEOSA-N 0 0 448.476 -0.376 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)CC1 ZINC000507394642 1072200293 /nfs/dbraw/zinc/20/02/93/1072200293.db2.gz RKKFXNNTXSCVFO-MRXNPFEDSA-N 0 0 448.476 -0.376 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)[C@H]1CCN(C)C1=O ZINC000507395234 1072199720 /nfs/dbraw/zinc/19/97/20/1072199720.db2.gz YCPJXNUHDLGWDG-INIZCTEOSA-N 0 0 431.493 -0.290 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)[C@@H]1CCN(C)C1=O ZINC000507395235 1072199769 /nfs/dbraw/zinc/19/97/69/1072199769.db2.gz YCPJXNUHDLGWDG-MRXNPFEDSA-N 0 0 431.493 -0.290 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000507395499 1072200307 /nfs/dbraw/zinc/20/03/07/1072200307.db2.gz AHSZQDRQOHLKQS-INIZCTEOSA-N 0 0 446.460 -0.389 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)CC1 ZINC000507395502 1072200253 /nfs/dbraw/zinc/20/02/53/1072200253.db2.gz AHSZQDRQOHLKQS-MRXNPFEDSA-N 0 0 446.460 -0.389 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000507395831 1072200318 /nfs/dbraw/zinc/20/03/18/1072200318.db2.gz BKHCBBXRWQYBOU-INIZCTEOSA-N 0 0 447.492 -0.722 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000507395838 1072200158 /nfs/dbraw/zinc/20/01/58/1072200158.db2.gz BKHCBBXRWQYBOU-MRXNPFEDSA-N 0 0 447.492 -0.722 20 0 IBADRN CN(C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CCN(C)C1=O ZINC000507395997 1072200267 /nfs/dbraw/zinc/20/02/67/1072200267.db2.gz CDDSVKGZCCNZAT-DOTOQJQBSA-N 0 0 430.461 -0.672 20 0 IBADRN CN(C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CCN(C)C1=O ZINC000507396000 1072200228 /nfs/dbraw/zinc/20/02/28/1072200228.db2.gz CDDSVKGZCCNZAT-NVXWUHKLSA-N 0 0 430.461 -0.672 20 0 IBADRN CN(C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CCN(C)C1=O ZINC000507396001 1072200175 /nfs/dbraw/zinc/20/01/75/1072200175.db2.gz CDDSVKGZCCNZAT-RDJZCZTQSA-N 0 0 430.461 -0.672 20 0 IBADRN CN(C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CCN(C)C1=O ZINC000507396003 1072200079 /nfs/dbraw/zinc/20/00/79/1072200079.db2.gz CDDSVKGZCCNZAT-WBVHZDCISA-N 0 0 430.461 -0.672 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCNC(=O)N(C)C)cc1 ZINC000507396094 1072200340 /nfs/dbraw/zinc/20/03/40/1072200340.db2.gz CKWBHCJTCWJXCW-UHFFFAOYSA-N 0 0 427.527 -0.166 20 0 IBADRN COc1ccccc1CN(Cc1cc(=O)n(C)c(=O)n1C)Cc1cc(=O)n(C)c(=O)n1C ZINC000507396183 1072200358 /nfs/dbraw/zinc/20/03/58/1072200358.db2.gz CUJGIBWAQBHKIT-UHFFFAOYSA-N 0 0 441.488 -0.308 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000507396617 1072200191 /nfs/dbraw/zinc/20/01/91/1072200191.db2.gz DAJWCJOYUIZBDD-KRWDZBQOSA-N 0 0 448.524 -0.024 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000507396622 1072200329 /nfs/dbraw/zinc/20/03/29/1072200329.db2.gz DAJWCJOYUIZBDD-QGZVFWFLSA-N 0 0 448.524 -0.024 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000507396812 1072200239 /nfs/dbraw/zinc/20/02/39/1072200239.db2.gz DRLFOPDUFJGTNY-HNNXBMFYSA-N 0 0 432.481 -0.948 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000507396817 1072200352 /nfs/dbraw/zinc/20/03/52/1072200352.db2.gz DRLFOPDUFJGTNY-OAHLLOKOSA-N 0 0 432.481 -0.948 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000507397447 1072200663 /nfs/dbraw/zinc/20/06/63/1072200663.db2.gz HALHOJRIOYFYRC-AWEZNQCLSA-N 0 0 431.453 -0.295 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000507397458 1072200786 /nfs/dbraw/zinc/20/07/86/1072200786.db2.gz HALHOJRIOYFYRC-CQSZACIVSA-N 0 0 431.453 -0.295 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000507398263 1072200796 /nfs/dbraw/zinc/20/07/96/1072200796.db2.gz ALCQZONADVOJNJ-INIZCTEOSA-N 0 0 429.495 -0.159 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000507398274 1072200836 /nfs/dbraw/zinc/20/08/36/1072200836.db2.gz ALCQZONADVOJNJ-MRXNPFEDSA-N 0 0 429.495 -0.159 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1S(N)(=O)=O ZINC000507398466 1072200688 /nfs/dbraw/zinc/20/06/88/1072200688.db2.gz AFADPRABVAQXMR-UHFFFAOYSA-N 0 0 436.494 -0.323 20 0 IBADRN COc1ccc(CCNC(=O)NC2CCN(CC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000507398488 1072200747 /nfs/dbraw/zinc/20/07/47/1072200747.db2.gz BDMFJPRLVFMQLL-UHFFFAOYSA-N 0 0 441.554 -0.263 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(C)C2=O)cc1 ZINC000507399277 1072200805 /nfs/dbraw/zinc/20/08/05/1072200805.db2.gz JFDJDSZTVWJIPH-DOMZBBRYSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(C)C2=O)cc1 ZINC000507399280 1072200673 /nfs/dbraw/zinc/20/06/73/1072200673.db2.gz JFDJDSZTVWJIPH-IUODEOHRSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(C)C2=O)cc1 ZINC000507399284 1072200649 /nfs/dbraw/zinc/20/06/49/1072200649.db2.gz JFDJDSZTVWJIPH-SWLSCSKDSA-N 0 0 426.495 -0.373 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(C)C2=O)cc1 ZINC000507399287 1072200817 /nfs/dbraw/zinc/20/08/17/1072200817.db2.gz JFDJDSZTVWJIPH-WFASDCNBSA-N 0 0 426.495 -0.373 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000507399398 1072203129 /nfs/dbraw/zinc/20/31/29/1072203129.db2.gz BJOKQDHEBPOYQN-UHFFFAOYSA-N 0 0 436.556 -0.144 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)CC1 ZINC000507401675 1072203617 /nfs/dbraw/zinc/20/36/17/1072203617.db2.gz KPWCNYYLASGKOT-AWEZNQCLSA-N 0 0 444.485 -0.232 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC)c2)CC1 ZINC000507401690 1072203639 /nfs/dbraw/zinc/20/36/39/1072203639.db2.gz KPWCNYYLASGKOT-CQSZACIVSA-N 0 0 444.485 -0.232 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1S(N)(=O)=O ZINC000507402135 1072202952 /nfs/dbraw/zinc/20/29/52/1072202952.db2.gz GPEZHXHPPITLAR-UHFFFAOYSA-N 0 0 427.527 -0.524 20 0 IBADRN COc1ccc(CCNC(=O)N2CCCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000507402273 1072203177 /nfs/dbraw/zinc/20/31/77/1072203177.db2.gz GRRQDFIXUBKWKQ-UHFFFAOYSA-N 0 0 434.540 -0.438 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000507402764 1072203655 /nfs/dbraw/zinc/20/36/55/1072203655.db2.gz HHUPGVPSAOSNLA-UHFFFAOYSA-N 0 0 436.556 -0.144 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000507403802 1072201235 /nfs/dbraw/zinc/20/12/35/1072201235.db2.gz IWJFVAFWFKDUGG-UHFFFAOYSA-N 0 0 439.538 -0.509 20 0 IBADRN Cc1cc(N2CCN(C(=O)CS(=O)(=O)c3nnc(CO)n3C)CC2)nc(C(C)C)n1 ZINC000507403965 1072203574 /nfs/dbraw/zinc/20/35/74/1072203574.db2.gz OOVKREYODHTHIW-UHFFFAOYSA-N 0 0 437.526 -0.348 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(c3nc(N)ns3)CC2)cc1S(N)(=O)=O ZINC000507404257 1073355223 /nfs/dbraw/zinc/35/52/23/1073355223.db2.gz JFMQFDBZIUQLJY-UHFFFAOYSA-N 0 0 441.539 -0.149 20 0 IBADRN COc1ccc(CCNC(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1S(N)(=O)=O ZINC000507406338 1072201907 /nfs/dbraw/zinc/20/19/07/1072201907.db2.gz MKUWWUWDRYWIBN-DZGCQCFKSA-N 0 0 428.511 -0.180 20 0 IBADRN COc1ccc(CCNC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1S(N)(=O)=O ZINC000507406344 1072201794 /nfs/dbraw/zinc/20/17/94/1072201794.db2.gz MKUWWUWDRYWIBN-HIFRSBDPSA-N 0 0 428.511 -0.180 20 0 IBADRN COc1ccc(CCNC(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1S(N)(=O)=O ZINC000507406348 1072201853 /nfs/dbraw/zinc/20/18/53/1072201853.db2.gz MKUWWUWDRYWIBN-UKRRQHHQSA-N 0 0 428.511 -0.180 20 0 IBADRN COc1ccc(CCNC(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1S(N)(=O)=O ZINC000507406351 1072201845 /nfs/dbraw/zinc/20/18/45/1072201845.db2.gz MKUWWUWDRYWIBN-ZFWWWQNUSA-N 0 0 428.511 -0.180 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000507406506 1072201949 /nfs/dbraw/zinc/20/19/49/1072201949.db2.gz MRAALIKQMCIUNG-UHFFFAOYSA-N 0 0 448.567 -0.002 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[C@H]1CCN(C)C1=O ZINC000507406582 1072201923 /nfs/dbraw/zinc/20/19/23/1072201923.db2.gz QIMQSTWRKCFXHZ-INIZCTEOSA-N 0 0 437.522 -0.750 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)[C@@H]1CCN(C)C1=O ZINC000507406594 1072201937 /nfs/dbraw/zinc/20/19/37/1072201937.db2.gz QIMQSTWRKCFXHZ-MRXNPFEDSA-N 0 0 437.522 -0.750 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1OC ZINC000507406649 1072201763 /nfs/dbraw/zinc/20/17/63/1072201763.db2.gz STGOOAAEYOPBPS-UHFFFAOYSA-N 0 0 432.477 -0.273 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)[C@H]1CCN(C)C1=O ZINC000507407816 1072201864 /nfs/dbraw/zinc/20/18/64/1072201864.db2.gz TVVBJOBBCLEIPV-INIZCTEOSA-N 0 0 438.506 -0.356 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)[C@@H]1CCN(C)C1=O ZINC000507407822 1072201976 /nfs/dbraw/zinc/20/19/76/1072201976.db2.gz TVVBJOBBCLEIPV-MRXNPFEDSA-N 0 0 438.506 -0.356 20 0 IBADRN COc1ccc(CN(Cc2cc(=O)n(C)c(=O)n2C)Cc2cc(=O)n(C)c(=O)n2C)cc1 ZINC000507410229 1072202563 /nfs/dbraw/zinc/20/25/63/1072202563.db2.gz WRRQXQLAZVSIKF-UHFFFAOYSA-N 0 0 441.488 -0.308 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@H]1CCN(C)C1=O ZINC000507411082 1072202322 /nfs/dbraw/zinc/20/23/22/1072202322.db2.gz YGWWNKOEZSNINF-INIZCTEOSA-N 0 0 437.522 -0.750 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)[C@@H]1CCN(C)C1=O ZINC000507411083 1072202671 /nfs/dbraw/zinc/20/26/71/1072202671.db2.gz YGWWNKOEZSNINF-MRXNPFEDSA-N 0 0 437.522 -0.750 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1)[C@@H]1CCN(C)C1=O ZINC000507411211 1072202600 /nfs/dbraw/zinc/20/26/00/1072202600.db2.gz ZLVJWVWJXXJOSZ-GOSISDBHSA-N 0 0 445.520 -0.013 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1)[C@H]1CCN(C)C1=O ZINC000507411216 1072202660 /nfs/dbraw/zinc/20/26/60/1072202660.db2.gz ZLVJWVWJXXJOSZ-SFHVURJKSA-N 0 0 445.520 -0.013 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000507412888 1072202294 /nfs/dbraw/zinc/20/22/94/1072202294.db2.gz IIOFJQASXUPUAV-CYBMUJFWSA-N 0 0 434.540 -0.392 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000507412889 1072202276 /nfs/dbraw/zinc/20/22/76/1072202276.db2.gz IIOFJQASXUPUAV-ZDUSSCGKSA-N 0 0 434.540 -0.392 20 0 IBADRN COc1ccc(CCNC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000507414825 1072202497 /nfs/dbraw/zinc/20/24/97/1072202497.db2.gz QQUAWNMNBCVZSV-AWEZNQCLSA-N 0 0 448.567 -0.144 20 0 IBADRN COc1ccc(CCNC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000507414826 1072202551 /nfs/dbraw/zinc/20/25/51/1072202551.db2.gz QQUAWNMNBCVZSV-CQSZACIVSA-N 0 0 448.567 -0.144 20 0 IBADRN CC[C@H](NC(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000507414941 1072202300 /nfs/dbraw/zinc/20/23/00/1072202300.db2.gz ROFBSRWDJNYSJS-AWEZNQCLSA-N 0 0 428.511 -0.178 20 0 IBADRN CC[C@@H](NC(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000507414942 1072203120 /nfs/dbraw/zinc/20/31/20/1072203120.db2.gz ROFBSRWDJNYSJS-CQSZACIVSA-N 0 0 428.511 -0.178 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000507415088 1072202313 /nfs/dbraw/zinc/20/23/13/1072202313.db2.gz SMXATFTWEBRBBZ-UHFFFAOYSA-N 0 0 434.540 -0.534 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000507416645 1072203112 /nfs/dbraw/zinc/20/31/12/1072203112.db2.gz XMDYHVOCRXUMCF-CYBMUJFWSA-N 0 0 434.540 -0.392 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000507416649 1072203083 /nfs/dbraw/zinc/20/30/83/1072203083.db2.gz XMDYHVOCRXUMCF-ZDUSSCGKSA-N 0 0 434.540 -0.392 20 0 IBADRN Cc1ccccc1CN(Cc1cc(=O)n(C)c(=O)n1C)Cc1cc(=O)n(C)c(=O)n1C ZINC000507417492 1072203059 /nfs/dbraw/zinc/20/30/59/1072203059.db2.gz AAFTYVNWZWSFLY-UHFFFAOYSA-N 0 0 425.489 -0.008 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)CN(CC(=O)NC(=O)NC(C)(C)C)CC(=O)NC1CC1 ZINC000507418771 1072203197 /nfs/dbraw/zinc/20/31/97/1072203197.db2.gz BOOHFYPRAKJEKG-UHFFFAOYSA-N 0 0 426.518 -0.184 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@@H](C)C1 ZINC000507419158 1072203248 /nfs/dbraw/zinc/20/32/48/1072203248.db2.gz GXTVKFLHUJSPLT-AWEZNQCLSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[C@H](C)C1 ZINC000507419159 1072203222 /nfs/dbraw/zinc/20/32/22/1072203222.db2.gz GXTVKFLHUJSPLT-CQSZACIVSA-N 0 0 437.522 -0.702 20 0 IBADRN Cn1c(=O)cc(CN(Cc2ccc(F)cc2)Cc2cc(=O)n(C)c(=O)n2C)n(C)c1=O ZINC000507420188 1072203205 /nfs/dbraw/zinc/20/32/05/1072203205.db2.gz LAMXYSFGHAJWDB-UHFFFAOYSA-N 0 0 429.452 -0.177 20 0 IBADRN Cn1c(=O)cc(CN(Cc2cc(F)cc(F)c2)Cc2cc(=O)n(C)c(=O)n2C)n(C)c1=O ZINC000507420273 1072202856 /nfs/dbraw/zinc/20/28/56/1072202856.db2.gz JBSKQAAOBKLABP-UHFFFAOYSA-N 0 0 447.442 -0.038 20 0 IBADRN Cn1c(CN(CCOc2ccccc2)Cc2cc(=O)n(C)c(=O)n2C)cc(=O)n(C)c1=O ZINC000507421837 1072202985 /nfs/dbraw/zinc/20/29/85/1072202985.db2.gz MECVUCQALMJZKS-UHFFFAOYSA-N 0 0 441.488 -0.437 20 0 IBADRN CC(C)COCCCN(Cc1cc(=O)n(C)c(=O)n1C)Cc1cc(=O)n(C)c(=O)n1C ZINC000507421995 1072203984 /nfs/dbraw/zinc/20/39/84/1072203984.db2.gz AVXHWMZNVULYKD-UHFFFAOYSA-N 0 0 435.525 -0.454 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)CC1 ZINC000507422415 1072204048 /nfs/dbraw/zinc/20/40/48/1072204048.db2.gz BDJZRLJMRMEGSN-INIZCTEOSA-N 0 0 440.522 -0.063 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)CC1 ZINC000507422416 1072204112 /nfs/dbraw/zinc/20/41/12/1072204112.db2.gz BDJZRLJMRMEGSN-MRXNPFEDSA-N 0 0 440.522 -0.063 20 0 IBADRN Cn1c(=O)cc(CN(CCc2ccccc2F)Cc2cc(=O)n(C)c(=O)n2C)n(C)c1=O ZINC000507422427 1072204029 /nfs/dbraw/zinc/20/40/29/1072204029.db2.gz BEWJKSNBBKARSS-UHFFFAOYSA-N 0 0 443.479 -0.135 20 0 IBADRN Cn1c(=O)cc(CN(Cc2cccc(F)c2)Cc2cc(=O)n(C)c(=O)n2C)n(C)c1=O ZINC000507422432 1072204117 /nfs/dbraw/zinc/20/41/17/1072204117.db2.gz BHCJNFDNHSDUSJ-UHFFFAOYSA-N 0 0 429.452 -0.177 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)CC1 ZINC000507424316 1072204141 /nfs/dbraw/zinc/20/41/41/1072204141.db2.gz SNIOXBLUADQDCQ-AWEZNQCLSA-N 0 0 436.465 -0.406 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)CC1 ZINC000507424317 1072204055 /nfs/dbraw/zinc/20/40/55/1072204055.db2.gz SNIOXBLUADQDCQ-CQSZACIVSA-N 0 0 436.465 -0.406 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000507425452 1072204075 /nfs/dbraw/zinc/20/40/75/1072204075.db2.gz BSYTZIPAFSLVLQ-UHFFFAOYSA-N 0 0 427.527 -0.652 20 0 IBADRN COc1ccc(CCNC(=O)NCCNS(=O)(=O)NC(C)C)cc1S(N)(=O)=O ZINC000507426028 1072204041 /nfs/dbraw/zinc/20/40/41/1072204041.db2.gz CMDVOGIVCPYXFI-UHFFFAOYSA-N 0 0 437.544 -0.983 20 0 IBADRN Cc1cccc(CN(Cc2cc(=O)n(C)c(=O)n2C)Cc2cc(=O)n(C)c(=O)n2C)c1 ZINC000507426366 1072204005 /nfs/dbraw/zinc/20/40/05/1072204005.db2.gz XWMNEAHOZDMTPL-UHFFFAOYSA-N 0 0 425.489 -0.008 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1Cl ZINC000507426834 1072204628 /nfs/dbraw/zinc/20/46/28/1072204628.db2.gz YQPMUZJRFYOCIF-UHFFFAOYSA-N 0 0 425.294 -0.408 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000507428209 1072204526 /nfs/dbraw/zinc/20/45/26/1072204526.db2.gz JXAKBEZUIZRJRS-AWEZNQCLSA-N 0 0 426.495 -0.323 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000507428212 1072204556 /nfs/dbraw/zinc/20/45/56/1072204556.db2.gz JXAKBEZUIZRJRS-CQSZACIVSA-N 0 0 426.495 -0.323 20 0 IBADRN Cc1ccc(CN(Cc2cc(=O)n(C)c(=O)n2C)Cc2cc(=O)n(C)c(=O)n2C)cc1 ZINC000507428776 1072204589 /nfs/dbraw/zinc/20/45/89/1072204589.db2.gz LOOSZXJOOJGZEN-UHFFFAOYSA-N 0 0 425.489 -0.008 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000507430073 1072204671 /nfs/dbraw/zinc/20/46/71/1072204671.db2.gz ROLYEQSPPDTJGT-INIZCTEOSA-N 0 0 440.522 -0.015 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000507430075 1072204620 /nfs/dbraw/zinc/20/46/20/1072204620.db2.gz ROLYEQSPPDTJGT-MRXNPFEDSA-N 0 0 440.522 -0.015 20 0 IBADRN COc1ccc(CCNC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000507432575 1072205112 /nfs/dbraw/zinc/20/51/12/1072205112.db2.gz YEGPIGKYPSTVSO-CYBMUJFWSA-N 0 0 434.540 -0.392 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000507432963 1072205044 /nfs/dbraw/zinc/20/50/44/1072205044.db2.gz HMVGTFOCHJJMBD-INIZCTEOSA-N 0 0 449.551 -0.027 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000507432967 1072205120 /nfs/dbraw/zinc/20/51/20/1072205120.db2.gz HMVGTFOCHJJMBD-MRXNPFEDSA-N 0 0 449.551 -0.027 20 0 IBADRN COc1ccc(CCNC(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000507434085 1072205099 /nfs/dbraw/zinc/20/50/99/1072205099.db2.gz GYOBXHVFMKXQEL-AWEZNQCLSA-N 0 0 448.567 -0.003 20 0 IBADRN COc1ccc(CCNC(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1S(N)(=O)=O ZINC000507434088 1072205001 /nfs/dbraw/zinc/20/50/01/1072205001.db2.gz GYOBXHVFMKXQEL-CQSZACIVSA-N 0 0 448.567 -0.003 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000507434275 1072517898 /nfs/dbraw/zinc/51/78/98/1072517898.db2.gz LUXUMTWIGLZPIX-UHFFFAOYSA-N 0 0 441.554 -0.309 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1S(N)(=O)=O ZINC000507435824 1072205023 /nfs/dbraw/zinc/20/50/23/1072205023.db2.gz LWSSAUWRLRSDLH-LBPRGKRZSA-N 0 0 434.540 -0.440 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1S(N)(=O)=O ZINC000507436086 1072205136 /nfs/dbraw/zinc/20/51/36/1072205136.db2.gz NDXBUTHFMFVPDQ-INIZCTEOSA-N 0 0 440.522 -0.082 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1S(N)(=O)=O ZINC000507436087 1072205079 /nfs/dbraw/zinc/20/50/79/1072205079.db2.gz NDXBUTHFMFVPDQ-MRXNPFEDSA-N 0 0 440.522 -0.082 20 0 IBADRN COc1ccc(CCNC(=O)NCCS(=O)(=O)NCC2CCC2)cc1S(N)(=O)=O ZINC000507436256 1072205093 /nfs/dbraw/zinc/20/50/93/1072205093.db2.gz OABCNJRJAQLONG-UHFFFAOYSA-N 0 0 448.567 -0.096 20 0 IBADRN COc1ccc(CCNC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000507436285 1072205033 /nfs/dbraw/zinc/20/50/33/1072205033.db2.gz UCPYIYKLXYQYHC-CYBMUJFWSA-N 0 0 434.540 -0.392 20 0 IBADRN COc1ccc(CCNC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000507436287 1072205062 /nfs/dbraw/zinc/20/50/62/1072205062.db2.gz UCPYIYKLXYQYHC-ZDUSSCGKSA-N 0 0 434.540 -0.392 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000507437131 1072205014 /nfs/dbraw/zinc/20/50/14/1072205014.db2.gz RVZLOGBMZNGKRV-UHFFFAOYSA-N 0 0 434.540 -0.438 20 0 IBADRN COc1ccc(CCNC(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000507437283 1072205087 /nfs/dbraw/zinc/20/50/87/1072205087.db2.gz YIZUASZBBCRZTO-UHFFFAOYSA-N 0 0 448.567 -0.144 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(C)C2=O)cc1S(=O)(=O)N(C)C ZINC000507438609 1072205630 /nfs/dbraw/zinc/20/56/30/1072205630.db2.gz DJCRMYFXUZFEBM-CYBMUJFWSA-N 0 0 426.495 -0.037 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(C)C2=O)cc1S(=O)(=O)N(C)C ZINC000507438610 1072205653 /nfs/dbraw/zinc/20/56/53/1072205653.db2.gz DJCRMYFXUZFEBM-ZDUSSCGKSA-N 0 0 426.495 -0.037 20 0 IBADRN Cn1c(=O)cc(CN(Cc2ccccc2F)Cc2cc(=O)n(C)c(=O)n2C)n(C)c1=O ZINC000507439075 1072205522 /nfs/dbraw/zinc/20/55/22/1072205522.db2.gz FCRJFLPTFXEGSG-UHFFFAOYSA-N 0 0 429.452 -0.177 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)CC1 ZINC000507439254 1072205448 /nfs/dbraw/zinc/20/54/48/1072205448.db2.gz FWEUOSLBUPNLIN-INIZCTEOSA-N 0 0 447.492 -0.803 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)CC1 ZINC000507439260 1072205591 /nfs/dbraw/zinc/20/55/91/1072205591.db2.gz FWEUOSLBUPNLIN-MRXNPFEDSA-N 0 0 447.492 -0.803 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000507442861 1072207489 /nfs/dbraw/zinc/20/74/89/1072207489.db2.gz OZTIMKNEHCNEBO-HNNXBMFYSA-N 0 0 440.522 -0.029 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000507442862 1072207602 /nfs/dbraw/zinc/20/76/02/1072207602.db2.gz OZTIMKNEHCNEBO-OAHLLOKOSA-N 0 0 440.522 -0.029 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000507443056 1072207036 /nfs/dbraw/zinc/20/70/36/1072207036.db2.gz PYDWRYBAMPDAQO-AWEZNQCLSA-N 0 0 430.458 -0.493 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000507443067 1072207002 /nfs/dbraw/zinc/20/70/02/1072207002.db2.gz PYDWRYBAMPDAQO-CQSZACIVSA-N 0 0 430.458 -0.493 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN([C@@H](CC)C(=O)OC)CC2)cc1S(N)(=O)=O ZINC000507444526 1072205662 /nfs/dbraw/zinc/20/56/62/1072205662.db2.gz ACXPEWZWDXRCDL-HNNXBMFYSA-N 0 0 440.522 -0.069 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN([C@H](CC)C(=O)OC)CC2)cc1S(N)(=O)=O ZINC000507444533 1072205481 /nfs/dbraw/zinc/20/54/81/1072205481.db2.gz ACXPEWZWDXRCDL-OAHLLOKOSA-N 0 0 440.522 -0.069 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000507445101 1072205467 /nfs/dbraw/zinc/20/54/67/1072205467.db2.gz BBSGEIILQIHNBQ-DOTOQJQBSA-N 0 0 444.488 -0.074 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000507445149 1072205646 /nfs/dbraw/zinc/20/56/46/1072205646.db2.gz BBSGEIILQIHNBQ-NVXWUHKLSA-N 0 0 444.488 -0.074 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000507445152 1072205639 /nfs/dbraw/zinc/20/56/39/1072205639.db2.gz BBSGEIILQIHNBQ-RDJZCZTQSA-N 0 0 444.488 -0.074 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000507445155 1072205554 /nfs/dbraw/zinc/20/55/54/1072205554.db2.gz BBSGEIILQIHNBQ-WBVHZDCISA-N 0 0 444.488 -0.074 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H]1CCN(C)C1=O ZINC000507445552 1072205564 /nfs/dbraw/zinc/20/55/64/1072205564.db2.gz BZEGLNWYXQHINW-KRWDZBQOSA-N 0 0 431.493 -0.624 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H]1CCN(C)C1=O ZINC000507445555 1072205493 /nfs/dbraw/zinc/20/54/93/1072205493.db2.gz BZEGLNWYXQHINW-QGZVFWFLSA-N 0 0 431.493 -0.624 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000507446089 1074351037 /nfs/dbraw/zinc/35/10/37/1074351037.db2.gz DCEZOOKHPKKSIY-INIZCTEOSA-N 0 0 436.513 -0.750 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000507446094 1074351082 /nfs/dbraw/zinc/35/10/82/1074351082.db2.gz DCEZOOKHPKKSIY-MRXNPFEDSA-N 0 0 436.513 -0.750 20 0 IBADRN COc1cccc(CN(Cc2cc(=O)n(C)c(=O)n2C)Cc2cc(=O)n(C)c(=O)n2C)c1 ZINC000507446514 1072205956 /nfs/dbraw/zinc/20/59/56/1072205956.db2.gz ZEOAJNGJYHBLBQ-UHFFFAOYSA-N 0 0 441.488 -0.308 20 0 IBADRN COc1ccc(CCNC(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000507446581 1072206103 /nfs/dbraw/zinc/20/61/03/1072206103.db2.gz DRZBEGGPYCKYEA-AWEZNQCLSA-N 0 0 436.494 -0.277 20 0 IBADRN COc1ccc(CCNC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000507446587 1072206090 /nfs/dbraw/zinc/20/60/90/1072206090.db2.gz DRZBEGGPYCKYEA-CQSZACIVSA-N 0 0 436.494 -0.277 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000507446951 1072205532 /nfs/dbraw/zinc/20/55/32/1072205532.db2.gz GGHSDCZGNYBZCW-UHFFFAOYSA-N 0 0 444.579 -0.040 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000507447910 1072206018 /nfs/dbraw/zinc/20/60/18/1072206018.db2.gz YOMSVFUDCGCPSL-INIZCTEOSA-N 0 0 430.465 -0.395 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000507447913 1072205973 /nfs/dbraw/zinc/20/59/73/1072205973.db2.gz YOMSVFUDCGCPSL-MRXNPFEDSA-N 0 0 430.465 -0.395 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@H]1CCN(C)C1=O ZINC000507450469 1072206688 /nfs/dbraw/zinc/20/66/88/1072206688.db2.gz QMCDTAPNTGZRQM-AWEZNQCLSA-N 0 0 426.495 -0.037 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@@H]1CCN(C)C1=O ZINC000507450470 1072206647 /nfs/dbraw/zinc/20/66/47/1072206647.db2.gz QMCDTAPNTGZRQM-CQSZACIVSA-N 0 0 426.495 -0.037 20 0 IBADRN CCN(CCCNC(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000507450951 1072206111 /nfs/dbraw/zinc/20/61/11/1072206111.db2.gz RCLCISUBFVILPI-UHFFFAOYSA-N 0 0 436.556 -0.144 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)[C@@H]2CCC[C@H]2O)ccc1OC ZINC000507450981 1072206466 /nfs/dbraw/zinc/20/64/66/1072206466.db2.gz RUWRKYFPBWQEAO-ZIAGYGMSSA-N 0 0 429.495 -0.070 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000507451042 1072205913 /nfs/dbraw/zinc/20/59/13/1072205913.db2.gz RZPCWHKORMOAGC-INIZCTEOSA-N 0 0 440.522 -0.230 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000507451044 1072206072 /nfs/dbraw/zinc/20/60/72/1072206072.db2.gz RZPCWHKORMOAGC-MRXNPFEDSA-N 0 0 440.522 -0.230 20 0 IBADRN COCCN1CCCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)CC1=O ZINC000507451271 1072206056 /nfs/dbraw/zinc/20/60/56/1072206056.db2.gz SOLPSSIZGCMWHA-UHFFFAOYSA-N 0 0 428.511 -0.225 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN([C@@H](CC)C(=O)OC)CC2)c1=O ZINC000507451376 1072205990 /nfs/dbraw/zinc/20/59/90/1072205990.db2.gz SUFLZEARWDUYIK-HNNXBMFYSA-N 0 0 436.465 -0.554 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN([C@H](CC)C(=O)OC)CC2)c1=O ZINC000507451379 1072206094 /nfs/dbraw/zinc/20/60/94/1072206094.db2.gz SUFLZEARWDUYIK-OAHLLOKOSA-N 0 0 436.465 -0.554 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000507452014 1072206572 /nfs/dbraw/zinc/20/65/72/1072206572.db2.gz VQERIUAXHWIUDQ-HNNXBMFYSA-N 0 0 426.495 -0.371 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000507452015 1072206542 /nfs/dbraw/zinc/20/65/42/1072206542.db2.gz VQERIUAXHWIUDQ-OAHLLOKOSA-N 0 0 426.495 -0.371 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)CC1 ZINC000507452270 1072206669 /nfs/dbraw/zinc/20/66/69/1072206669.db2.gz WGIVNANJLWAFKZ-HNNXBMFYSA-N 0 0 440.522 -0.063 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)CC1 ZINC000507452273 1072206597 /nfs/dbraw/zinc/20/65/97/1072206597.db2.gz WGIVNANJLWAFKZ-OAHLLOKOSA-N 0 0 440.522 -0.063 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000507453391 1072206430 /nfs/dbraw/zinc/20/64/30/1072206430.db2.gz ZUOFBPZUNWACSI-KRWDZBQOSA-N 0 0 436.513 -0.750 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000507453393 1072206679 /nfs/dbraw/zinc/20/66/79/1072206679.db2.gz ZUOFBPZUNWACSI-QGZVFWFLSA-N 0 0 436.513 -0.750 20 0 IBADRN COc1ccc(CCNC(=O)NCCC(=O)N2CCN(C)CC2)cc1S(N)(=O)=O ZINC000507453772 1072206516 /nfs/dbraw/zinc/20/65/16/1072206516.db2.gz CRTDTPBXMHAWKH-UHFFFAOYSA-N 0 0 427.527 -0.652 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1S(N)(=O)=O ZINC000507454207 1072206612 /nfs/dbraw/zinc/20/66/12/1072206612.db2.gz BBICJIUXKOOYMC-UHFFFAOYSA-N 0 0 441.554 -0.263 20 0 IBADRN COc1ccc(CCNC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1S(N)(=O)=O ZINC000507455471 1072207205 /nfs/dbraw/zinc/20/72/05/1072207205.db2.gz MHXDFHDVULINFD-HNNXBMFYSA-N 0 0 430.527 -0.780 20 0 IBADRN COc1ccc(CCNC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1S(N)(=O)=O ZINC000507455472 1072207068 /nfs/dbraw/zinc/20/70/68/1072207068.db2.gz MHXDFHDVULINFD-OAHLLOKOSA-N 0 0 430.527 -0.780 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1=O ZINC000507459607 1072207101 /nfs/dbraw/zinc/20/71/01/1072207101.db2.gz XFIKNRMJFHLOQY-CYBMUJFWSA-N 0 0 442.494 -0.652 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1=O ZINC000507459610 1072207117 /nfs/dbraw/zinc/20/71/17/1072207117.db2.gz XFIKNRMJFHLOQY-ZDUSSCGKSA-N 0 0 442.494 -0.652 20 0 IBADRN Cc1c(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cccc1C(=O)N1CCOCC1 ZINC000507461019 1072207051 /nfs/dbraw/zinc/20/70/51/1072207051.db2.gz RAKRMDVRRNOICV-UHFFFAOYSA-N 0 0 440.460 -0.147 20 0 IBADRN Cn1cncc1[C@H]1[C@H](NC(=O)NC[C@](C)(O)CN2CCOCC2)CCC(=O)N1C1CC1 ZINC000507463502 1072207762 /nfs/dbraw/zinc/20/77/62/1072207762.db2.gz UDLKMRLJUHIHHZ-BSIFCXSSSA-N 0 0 434.541 -0.003 20 0 IBADRN Cn1cncc1[C@H]1[C@H](NC(=O)NC[C@@](C)(O)CN2CCOCC2)CCC(=O)N1C1CC1 ZINC000507463503 1072207509 /nfs/dbraw/zinc/20/75/09/1072207509.db2.gz UDLKMRLJUHIHHZ-OZOXKJRCSA-N 0 0 434.541 -0.003 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000507472112 1072207643 /nfs/dbraw/zinc/20/76/43/1072207643.db2.gz QPKOFTGMROJJIG-UHFFFAOYSA-N 0 0 427.508 -0.185 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@H]1CCN(C)C1=O ZINC000507472436 1072207782 /nfs/dbraw/zinc/20/77/82/1072207782.db2.gz DLIAEIYFUGLUFR-HNNXBMFYSA-N 0 0 425.511 -0.370 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@@H]1CCN(C)C1=O ZINC000507472437 1072207581 /nfs/dbraw/zinc/20/75/81/1072207581.db2.gz DLIAEIYFUGLUFR-OAHLLOKOSA-N 0 0 425.511 -0.370 20 0 IBADRN Cc1cc(CNC(=O)NCCNS(=O)(=O)c2cccnc2)ccc1S(N)(=O)=O ZINC000507473212 1072207804 /nfs/dbraw/zinc/20/78/04/1072207804.db2.gz URYJIBQMGJBFAW-UHFFFAOYSA-N 0 0 427.508 -0.185 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000507474444 1072207712 /nfs/dbraw/zinc/20/77/12/1072207712.db2.gz YKSBQVPWAMIUTF-UHFFFAOYSA-N 0 0 430.552 -0.384 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000507474749 1072207698 /nfs/dbraw/zinc/20/76/98/1072207698.db2.gz ZLURYRIEUSFGTK-UHFFFAOYSA-N 0 0 445.567 -0.041 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCN(C)C1=O ZINC000507475833 1072207676 /nfs/dbraw/zinc/20/76/76/1072207676.db2.gz IBDSTSABDBUVBX-AWEZNQCLSA-N 0 0 442.469 -0.526 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCN(C)C1=O ZINC000507475836 1072208266 /nfs/dbraw/zinc/20/82/66/1072208266.db2.gz IBDSTSABDBUVBX-CQSZACIVSA-N 0 0 442.469 -0.526 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000507476849 1072208164 /nfs/dbraw/zinc/20/81/64/1072208164.db2.gz HIVZSMFJRZGSKQ-HNNXBMFYSA-N 0 0 437.526 -0.490 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000507476850 1072208293 /nfs/dbraw/zinc/20/82/93/1072208293.db2.gz HIVZSMFJRZGSKQ-OAHLLOKOSA-N 0 0 437.526 -0.490 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000507478461 1072208335 /nfs/dbraw/zinc/20/83/35/1072208335.db2.gz QSVLWCBYQRGZHD-INIZCTEOSA-N 0 0 438.506 -0.380 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000507478466 1072208135 /nfs/dbraw/zinc/20/81/35/1072208135.db2.gz QSVLWCBYQRGZHD-MRXNPFEDSA-N 0 0 438.506 -0.380 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000507478630 1072208256 /nfs/dbraw/zinc/20/82/56/1072208256.db2.gz MZHUXTFYIWMYLY-HNNXBMFYSA-N 0 0 437.526 -0.490 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000507478631 1072208274 /nfs/dbraw/zinc/20/82/74/1072208274.db2.gz MZHUXTFYIWMYLY-OAHLLOKOSA-N 0 0 437.526 -0.490 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4c3OCCO4)CC2)CC1 ZINC000507481266 1072208353 /nfs/dbraw/zinc/20/83/53/1072208353.db2.gz KFLXCYYUOAPKMR-UHFFFAOYSA-N 0 0 446.551 -0.744 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000507486411 1073362515 /nfs/dbraw/zinc/36/25/15/1073362515.db2.gz VQNMZRWODVABGY-AWEZNQCLSA-N 0 0 437.526 -0.481 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000507486412 1072208834 /nfs/dbraw/zinc/20/88/34/1072208834.db2.gz VQNMZRWODVABGY-CQSZACIVSA-N 0 0 437.526 -0.481 20 0 IBADRN Cc1c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cccc1C(=O)N1CCOCC1 ZINC000507489950 1072209431 /nfs/dbraw/zinc/20/94/31/1072209431.db2.gz DPZKGNURYBJWOI-UHFFFAOYSA-N 0 0 440.460 -0.147 20 0 IBADRN O=S(=O)(NCC(F)(F)F)c1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000507491485 1072209331 /nfs/dbraw/zinc/20/93/31/1072209331.db2.gz FBNXOGQZULFTHQ-SECBINFHSA-N 0 0 436.455 -0.007 20 0 IBADRN O=S(=O)(NCC(F)(F)F)c1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000507491488 1072209407 /nfs/dbraw/zinc/20/94/07/1072209407.db2.gz FBNXOGQZULFTHQ-VIFPVBQESA-N 0 0 436.455 -0.007 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000507491535 1072209250 /nfs/dbraw/zinc/20/92/50/1072209250.db2.gz FJWGUFZBHWJBJC-HNNXBMFYSA-N 0 0 432.524 -0.340 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000507491536 1072209441 /nfs/dbraw/zinc/20/94/41/1072209441.db2.gz FJWGUFZBHWJBJC-OAHLLOKOSA-N 0 0 432.524 -0.340 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C ZINC000507494265 1072208281 /nfs/dbraw/zinc/20/82/81/1072208281.db2.gz WSUCGWVGQJMZBB-BBRMVZONSA-N 0 0 430.479 -0.199 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C ZINC000507494266 1072208348 /nfs/dbraw/zinc/20/83/48/1072208348.db2.gz WSUCGWVGQJMZBB-CJNGLKHVSA-N 0 0 430.479 -0.199 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C ZINC000507494268 1072208341 /nfs/dbraw/zinc/20/83/41/1072208341.db2.gz WSUCGWVGQJMZBB-CZUORRHYSA-N 0 0 430.479 -0.199 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C ZINC000507494270 1072208911 /nfs/dbraw/zinc/20/89/11/1072208911.db2.gz WSUCGWVGQJMZBB-XJKSGUPXSA-N 0 0 430.479 -0.199 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(C)c(C(N)=O)c2)CC1 ZINC000507500366 1072208680 /nfs/dbraw/zinc/20/86/80/1072208680.db2.gz IECIKCSIICWYGJ-HNNXBMFYSA-N 0 0 426.539 -0.059 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(C)c(C(N)=O)c2)CC1 ZINC000507500367 1072208660 /nfs/dbraw/zinc/20/86/60/1072208660.db2.gz IECIKCSIICWYGJ-OAHLLOKOSA-N 0 0 426.539 -0.059 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cn1C ZINC000507500530 1072209802 /nfs/dbraw/zinc/20/98/02/1072209802.db2.gz JECWCLXWXRXQDB-AWEZNQCLSA-N 0 0 429.543 -0.768 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cn1C ZINC000507500531 1072209925 /nfs/dbraw/zinc/20/99/25/1072209925.db2.gz JECWCLXWXRXQDB-CQSZACIVSA-N 0 0 429.543 -0.768 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(OC)c(C(N)=O)c2)CC1 ZINC000507501327 1072209452 /nfs/dbraw/zinc/20/94/52/1072209452.db2.gz NCDPNBSMOKEVCC-AWEZNQCLSA-N 0 0 442.538 -0.358 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(OC)c(C(N)=O)c2)CC1 ZINC000507501328 1072209380 /nfs/dbraw/zinc/20/93/80/1072209380.db2.gz NCDPNBSMOKEVCC-CQSZACIVSA-N 0 0 442.538 -0.358 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000507502310 1072209902 /nfs/dbraw/zinc/20/99/02/1072209902.db2.gz BZDLTFXJESDONY-HNNXBMFYSA-N 0 0 447.579 -0.062 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000507502311 1072209883 /nfs/dbraw/zinc/20/98/83/1072209883.db2.gz BZDLTFXJESDONY-OAHLLOKOSA-N 0 0 447.579 -0.062 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N[C@@H](CO)C(=O)OC)CC2=O)cc1 ZINC000507503422 1072209858 /nfs/dbraw/zinc/20/98/58/1072209858.db2.gz WFXJAKZICJWVAP-BBRMVZONSA-N 0 0 441.506 -0.280 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N[C@@H](CO)C(=O)OC)CC2=O)cc1 ZINC000507503426 1072209918 /nfs/dbraw/zinc/20/99/18/1072209918.db2.gz WFXJAKZICJWVAP-CJNGLKHVSA-N 0 0 441.506 -0.280 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N[C@H](CO)C(=O)OC)CC2=O)cc1 ZINC000507503428 1072209852 /nfs/dbraw/zinc/20/98/52/1072209852.db2.gz WFXJAKZICJWVAP-CZUORRHYSA-N 0 0 441.506 -0.280 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N[C@H](CO)C(=O)OC)CC2=O)cc1 ZINC000507503430 1072209817 /nfs/dbraw/zinc/20/98/17/1072209817.db2.gz WFXJAKZICJWVAP-XJKSGUPXSA-N 0 0 441.506 -0.280 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000507504867 1072209781 /nfs/dbraw/zinc/20/97/81/1072209781.db2.gz ZIUOSWCXCVJMIY-HNNXBMFYSA-N 0 0 434.559 -0.725 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000507504868 1072209894 /nfs/dbraw/zinc/20/98/94/1072209894.db2.gz ZIUOSWCXCVJMIY-OAHLLOKOSA-N 0 0 434.559 -0.725 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)oc(=O)n3C)CC1 ZINC000507505403 1072209747 /nfs/dbraw/zinc/20/97/47/1072209747.db2.gz LCOYRNWLKHAEKJ-AWEZNQCLSA-N 0 0 440.522 -0.021 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)oc(=O)n3C)CC1 ZINC000507505404 1072209909 /nfs/dbraw/zinc/20/99/09/1072209909.db2.gz LCOYRNWLKHAEKJ-CQSZACIVSA-N 0 0 440.522 -0.021 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)sc1C ZINC000507506052 1072209826 /nfs/dbraw/zinc/20/98/26/1072209826.db2.gz GAIHEGZJDMDGOP-HNNXBMFYSA-N 0 0 437.566 -0.190 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)sc1C ZINC000507506056 1072209808 /nfs/dbraw/zinc/20/98/08/1072209808.db2.gz GAIHEGZJDMDGOP-OAHLLOKOSA-N 0 0 437.566 -0.190 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000507507959 1072210428 /nfs/dbraw/zinc/21/04/28/1072210428.db2.gz PYKJQCRWUONWEV-HNNXBMFYSA-N 0 0 447.579 -0.062 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000507507961 1072210344 /nfs/dbraw/zinc/21/03/44/1072210344.db2.gz PYKJQCRWUONWEV-OAHLLOKOSA-N 0 0 447.579 -0.062 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000507508003 1072210395 /nfs/dbraw/zinc/21/03/95/1072210395.db2.gz IBIDFPWGENMCEO-INIZCTEOSA-N 0 0 448.586 -0.335 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000507508005 1072210417 /nfs/dbraw/zinc/21/04/17/1072210417.db2.gz IBIDFPWGENMCEO-MRXNPFEDSA-N 0 0 448.586 -0.335 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000507508263 1072210339 /nfs/dbraw/zinc/21/03/39/1072210339.db2.gz ITFUGWCYJFCJMZ-AWEZNQCLSA-N 0 0 448.567 -0.819 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000507508271 1072210282 /nfs/dbraw/zinc/21/02/82/1072210282.db2.gz ITFUGWCYJFCJMZ-CQSZACIVSA-N 0 0 448.567 -0.819 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccccc2S(C)(=O)=O)CC1 ZINC000507510027 1072210371 /nfs/dbraw/zinc/21/03/71/1072210371.db2.gz VHJVIIQCLFWZFB-HNNXBMFYSA-N 0 0 447.579 -0.062 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccccc2S(C)(=O)=O)CC1 ZINC000507510029 1072210287 /nfs/dbraw/zinc/21/02/87/1072210287.db2.gz VHJVIIQCLFWZFB-OAHLLOKOSA-N 0 0 447.579 -0.062 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC000507510349 1072210381 /nfs/dbraw/zinc/21/03/81/1072210381.db2.gz OQDOFALYLXYHGC-CVEARBPZSA-N 0 0 434.559 -0.583 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC000507510351 1072210294 /nfs/dbraw/zinc/21/02/94/1072210294.db2.gz OQDOFALYLXYHGC-HOTGVXAUSA-N 0 0 434.559 -0.583 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC000507510354 1072210353 /nfs/dbraw/zinc/21/03/53/1072210353.db2.gz OQDOFALYLXYHGC-HZPDHXFCSA-N 0 0 434.559 -0.583 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC000507510356 1072210422 /nfs/dbraw/zinc/21/04/22/1072210422.db2.gz OQDOFALYLXYHGC-JKSUJKDBSA-N 0 0 434.559 -0.583 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000507511276 1072210389 /nfs/dbraw/zinc/21/03/89/1072210389.db2.gz QLOWZFFJKGQVDP-INIZCTEOSA-N 0 0 441.506 -0.742 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000507511280 1072210363 /nfs/dbraw/zinc/21/03/63/1072210363.db2.gz QLOWZFFJKGQVDP-MRXNPFEDSA-N 0 0 441.506 -0.742 20 0 IBADRN C[C@@H](NC(=O)CCc1ccccc1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000507516373 1072209264 /nfs/dbraw/zinc/20/92/64/1072209264.db2.gz SGVUDVYEGBGESC-MRXNPFEDSA-N 0 0 428.489 -0.056 20 0 IBADRN COc1cccc(C(C)(C)CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000507517530 1072209395 /nfs/dbraw/zinc/20/93/95/1072209395.db2.gz ZWYOMCBRCQLQCI-UHFFFAOYSA-N 0 0 429.481 -0.554 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)c3cccc(-n4cnnn4)c3)CC2)n2ncnc2n1 ZINC000507518318 1072209299 /nfs/dbraw/zinc/20/92/99/1072209299.db2.gz PVXGJWBKZCNTBZ-UHFFFAOYSA-N 0 0 426.466 -0.081 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n2ncnc2n1 ZINC000507525751 1072210789 /nfs/dbraw/zinc/21/07/89/1072210789.db2.gz FOALXJZNGCLUMB-UHFFFAOYSA-N 0 0 437.507 -0.409 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccccc2OC(F)F)CC1)N1CCOCC1 ZINC000507526517 1072210853 /nfs/dbraw/zinc/21/08/53/1072210853.db2.gz DSHKWZLSPUKDDR-UHFFFAOYSA-N 0 0 433.433 -0.020 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000507528540 1072210942 /nfs/dbraw/zinc/21/09/42/1072210942.db2.gz HEKBBBBILSQYRK-AWEZNQCLSA-N 0 0 448.567 -0.819 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000507528543 1072210963 /nfs/dbraw/zinc/21/09/63/1072210963.db2.gz HEKBBBBILSQYRK-CQSZACIVSA-N 0 0 448.567 -0.819 20 0 IBADRN CS(=O)(=O)NCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000507528600 1072210807 /nfs/dbraw/zinc/21/08/07/1072210807.db2.gz KBALRSZTPRVBMF-UHFFFAOYSA-N 0 0 439.459 -0.645 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000507528759 1072519099 /nfs/dbraw/zinc/51/90/99/1072519099.db2.gz HVYZTBBFPZDXLN-HNNXBMFYSA-N 0 0 426.539 -0.106 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000507528760 1072210934 /nfs/dbraw/zinc/21/09/34/1072210934.db2.gz HVYZTBBFPZDXLN-OAHLLOKOSA-N 0 0 426.539 -0.106 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cccc3c2OCCO3)CC1)N1CCOCC1 ZINC000507531978 1072519132 /nfs/dbraw/zinc/51/91/32/1072519132.db2.gz OHUULTNSHSZRIF-UHFFFAOYSA-N 0 0 425.463 -0.851 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCS(=O)(=O)c1nnc(-c3ccccn3)n1C)c(=O)n2C ZINC000507532149 1072210952 /nfs/dbraw/zinc/21/09/52/1072210952.db2.gz NBTYZFSEECMJEV-UHFFFAOYSA-N 0 0 444.477 -0.512 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000507535282 1072210410 /nfs/dbraw/zinc/21/04/10/1072210410.db2.gz PSWXICXKZVVPTG-AWEZNQCLSA-N 0 0 440.522 -0.135 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000507535291 1072210300 /nfs/dbraw/zinc/21/03/00/1072210300.db2.gz PSWXICXKZVVPTG-CQSZACIVSA-N 0 0 440.522 -0.135 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)c3ccc(-n4cnnn4)cc3)CC2)n2ncnc2n1 ZINC000507537879 1072210844 /nfs/dbraw/zinc/21/08/44/1072210844.db2.gz XKQKCMDGTFVUBX-UHFFFAOYSA-N 0 0 426.466 -0.081 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)sc1C ZINC000507538715 1072211497 /nfs/dbraw/zinc/21/14/97/1072211497.db2.gz ZQRBSFARTPVRTM-UHFFFAOYSA-N 0 0 444.583 -0.987 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)n2ncnc2n1 ZINC000507541589 1072211348 /nfs/dbraw/zinc/21/13/48/1072211348.db2.gz DAQLYTVETSJSQW-UHFFFAOYSA-N 0 0 437.507 -0.409 20 0 IBADRN O=C1NC(=O)C2(CCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)CC2)N1 ZINC000507542384 1072211428 /nfs/dbraw/zinc/21/14/28/1072211428.db2.gz NRPGRUSRXMJQED-UHFFFAOYSA-N 0 0 428.492 -0.510 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)n2ncnc2n1 ZINC000507543177 1072211418 /nfs/dbraw/zinc/21/14/18/1072211418.db2.gz UCCPUQUOHWLOEH-UHFFFAOYSA-N 0 0 442.461 -0.527 20 0 IBADRN O=S(=O)(c1cccc2c1OCCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000507544435 1072211448 /nfs/dbraw/zinc/21/14/48/1072211448.db2.gz KXPSZVPTJUWTHA-UHFFFAOYSA-N 0 0 433.508 -0.659 20 0 IBADRN C[C@@H](NC(=O)CCc1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000507546885 1072212042 /nfs/dbraw/zinc/21/20/42/1072212042.db2.gz QIDUSNJFCQSNAG-QGZVFWFLSA-N 0 0 438.550 -0.155 20 0 IBADRN Cc1nn(C)c2ncc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc12 ZINC000507547502 1072212034 /nfs/dbraw/zinc/21/20/34/1072212034.db2.gz RKNXCQZKKAIENW-UHFFFAOYSA-N 0 0 444.539 -0.840 20 0 IBADRN COCCNC(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000507551842 1072519801 /nfs/dbraw/zinc/51/98/01/1072519801.db2.gz LTRCAGGRGZGSKY-UHFFFAOYSA-N 0 0 433.430 -0.432 20 0 IBADRN Cc1nc(C)c(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000507554720 1072211511 /nfs/dbraw/zinc/21/15/11/1072211511.db2.gz YUDCDVIZLXKKAO-UHFFFAOYSA-N 0 0 440.551 -0.049 20 0 IBADRN CN(C)c1ncc(CN(C)C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000507554917 1072211405 /nfs/dbraw/zinc/21/14/05/1072211405.db2.gz ZNVQSIISANJLLF-UHFFFAOYSA-N 0 0 432.506 -0.065 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000507555525 1072211434 /nfs/dbraw/zinc/21/14/34/1072211434.db2.gz SXXMOYOLLLZVSS-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN CS(=O)(=O)N1CCC(NS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000507556699 1072211457 /nfs/dbraw/zinc/21/14/57/1072211457.db2.gz WXLXYZDIDRKGPC-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)sc1C ZINC000507558534 1072211334 /nfs/dbraw/zinc/21/13/34/1072211334.db2.gz ROBBNWALSNKTBJ-UHFFFAOYSA-N 0 0 440.551 -0.049 20 0 IBADRN O=S(=O)(C1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000507560118 1072213115 /nfs/dbraw/zinc/21/31/15/1072213115.db2.gz KWXVURFFCAIURC-UHFFFAOYSA-N 0 0 443.613 -0.368 20 0 IBADRN CCOCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000507564058 1072213976 /nfs/dbraw/zinc/21/39/76/1072213976.db2.gz CVSQGVJLDMRGHB-UHFFFAOYSA-N 0 0 441.553 -0.993 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)C2CCS(=O)(=O)CC2)CC1)NCc1ccccc1 ZINC000507564171 1072213967 /nfs/dbraw/zinc/21/39/67/1072213967.db2.gz XDUFLGOWRVRHBO-UHFFFAOYSA-N 0 0 429.564 -0.173 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000507567627 1072211994 /nfs/dbraw/zinc/21/19/94/1072211994.db2.gz NKTKVRSDFZUKIY-JYJNAYRXSA-N 0 0 428.507 -0.306 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000507567631 1072212074 /nfs/dbraw/zinc/21/20/74/1072212074.db2.gz NKTKVRSDFZUKIY-OAGGEKHMSA-N 0 0 428.507 -0.306 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000507567633 1072211905 /nfs/dbraw/zinc/21/19/05/1072211905.db2.gz NKTKVRSDFZUKIY-PMPSAXMXSA-N 0 0 428.507 -0.306 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC000507567636 1072212055 /nfs/dbraw/zinc/21/20/55/1072212055.db2.gz NKTKVRSDFZUKIY-XHSDSOJGSA-N 0 0 428.507 -0.306 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000507571476 1072212489 /nfs/dbraw/zinc/21/24/89/1072212489.db2.gz WCEUIESMDJGHLI-CKLFPEKLSA-N 0 0 441.289 -0.233 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000507571482 1072212599 /nfs/dbraw/zinc/21/25/99/1072212599.db2.gz WCEUIESMDJGHLI-HJIKLVIJSA-N 0 0 441.289 -0.233 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000507571483 1072212716 /nfs/dbraw/zinc/21/27/16/1072212716.db2.gz WCEUIESMDJGHLI-JCOFBHIZSA-N 0 0 441.289 -0.233 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000507571484 1072212443 /nfs/dbraw/zinc/21/24/43/1072212443.db2.gz WCEUIESMDJGHLI-WQHBLYJGSA-N 0 0 441.289 -0.233 20 0 IBADRN CC(C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000507577747 1072213789 /nfs/dbraw/zinc/21/37/89/1072213789.db2.gz LOXXIQIEIVJHHU-UHFFFAOYSA-N 0 0 425.554 -0.374 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)cc1 ZINC000507587856 1072214613 /nfs/dbraw/zinc/21/46/13/1072214613.db2.gz JHOBTYPCDWTYRV-UHFFFAOYSA-N 0 0 429.565 -0.086 20 0 IBADRN Cc1nc(C)c(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000507591177 1072214994 /nfs/dbraw/zinc/21/49/94/1072214994.db2.gz UGVHHDXODHRICW-UHFFFAOYSA-N 0 0 444.583 -0.987 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(C)(C)NS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000507592106 1072213693 /nfs/dbraw/zinc/21/36/93/1072213693.db2.gz BRHKOALSQLGGAH-HNNXBMFYSA-N 0 0 440.570 -0.304 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(C)(C)NS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000507592107 1072213863 /nfs/dbraw/zinc/21/38/63/1072213863.db2.gz BRHKOALSQLGGAH-OAHLLOKOSA-N 0 0 440.570 -0.304 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000507593734 1072213851 /nfs/dbraw/zinc/21/38/51/1072213851.db2.gz QWQFDBAAQXJNBF-HNNXBMFYSA-N 0 0 426.543 -0.693 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000507593735 1072213799 /nfs/dbraw/zinc/21/37/99/1072213799.db2.gz QWQFDBAAQXJNBF-OAHLLOKOSA-N 0 0 426.543 -0.693 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000507597992 1072215367 /nfs/dbraw/zinc/21/53/67/1072215367.db2.gz AQQQHEDSPLLISO-CABCVRRESA-N 0 0 426.543 -0.694 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000507597997 1072215221 /nfs/dbraw/zinc/21/52/21/1072215221.db2.gz AQQQHEDSPLLISO-GJZGRUSLSA-N 0 0 426.543 -0.694 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000507598001 1072215232 /nfs/dbraw/zinc/21/52/32/1072215232.db2.gz AQQQHEDSPLLISO-HUUCEWRRSA-N 0 0 426.543 -0.694 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000507598004 1072215141 /nfs/dbraw/zinc/21/51/41/1072215141.db2.gz AQQQHEDSPLLISO-LSDHHAIUSA-N 0 0 426.543 -0.694 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CN4C(=O)CN(C)C4=O)CC3)C2=O)n(C)n1 ZINC000507601485 1072215125 /nfs/dbraw/zinc/21/51/25/1072215125.db2.gz LZLWPUSEIASPES-HNNXBMFYSA-N 0 0 431.497 -0.738 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CN4C(=O)CN(C)C4=O)CC3)C2=O)n(C)n1 ZINC000507601486 1072215155 /nfs/dbraw/zinc/21/51/55/1072215155.db2.gz LZLWPUSEIASPES-OAHLLOKOSA-N 0 0 431.497 -0.738 20 0 IBADRN CN(CC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)[C@H]1CCS(=O)(=O)C1 ZINC000507609990 1072216620 /nfs/dbraw/zinc/21/66/20/1072216620.db2.gz GTFRUGWMZCGUOI-HNNXBMFYSA-N 0 0 427.548 -0.291 20 0 IBADRN CN(CC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000507609991 1072216388 /nfs/dbraw/zinc/21/63/88/1072216388.db2.gz GTFRUGWMZCGUOI-OAHLLOKOSA-N 0 0 427.548 -0.291 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)c1 ZINC000507616503 1072216608 /nfs/dbraw/zinc/21/66/08/1072216608.db2.gz CSWHPOIQBCNFDW-DOMZBBRYSA-N 0 0 446.551 -0.243 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)c1 ZINC000507616506 1072216476 /nfs/dbraw/zinc/21/64/76/1072216476.db2.gz CSWHPOIQBCNFDW-IUODEOHRSA-N 0 0 446.551 -0.243 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)c1 ZINC000507616507 1072216696 /nfs/dbraw/zinc/21/66/96/1072216696.db2.gz CSWHPOIQBCNFDW-SWLSCSKDSA-N 0 0 446.551 -0.243 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)c1 ZINC000507616509 1072216672 /nfs/dbraw/zinc/21/66/72/1072216672.db2.gz CSWHPOIQBCNFDW-WFASDCNBSA-N 0 0 446.551 -0.243 20 0 IBADRN COC(=O)[C@@H](C)NC(=O)c1ccc(F)cc1NCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000507618901 1072217410 /nfs/dbraw/zinc/21/74/10/1072217410.db2.gz DXBMJTXSWUBLII-LLVKDONJSA-N 0 0 446.439 -0.024 20 0 IBADRN COC(=O)[C@H](C)NC(=O)c1ccc(F)cc1NCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000507618907 1072217149 /nfs/dbraw/zinc/21/71/49/1072217149.db2.gz DXBMJTXSWUBLII-NSHDSACASA-N 0 0 446.439 -0.024 20 0 IBADRN CN(C(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21)[C@@H]1COC[C@H]1O ZINC000507620649 1072217258 /nfs/dbraw/zinc/21/72/58/1072217258.db2.gz AKAICYVPHCGZTO-QZTJIDSGSA-N 0 0 437.518 -0.032 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)[C@@H]2COC[C@H]2O)ccc1S(=O)(=O)N1CCCC1 ZINC000507623017 1072216022 /nfs/dbraw/zinc/21/60/22/1072216022.db2.gz GGUBRYZOCCGCRD-ZIAGYGMSSA-N 0 0 427.479 -0.364 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)[C@@H]1COC[C@H]1O ZINC000507623913 1072215757 /nfs/dbraw/zinc/21/57/57/1072215757.db2.gz IZNHFCAZVNQEDM-IAGOWNOFSA-N 0 0 440.522 -0.831 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CNC(=O)c3cc(OC)c(OC)c(OC)c3)C2)nn1 ZINC000507625263 1072215784 /nfs/dbraw/zinc/21/57/84/1072215784.db2.gz NOCNYCQGRQYXNN-UHFFFAOYSA-N 0 0 433.421 -0.096 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)[C@@H]1COC[C@H]1O ZINC000507625451 1072215794 /nfs/dbraw/zinc/21/57/94/1072215794.db2.gz OEZGLNBYMCSYLN-QZTJIDSGSA-N 0 0 430.461 -0.343 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000507626572 1072216044 /nfs/dbraw/zinc/21/60/44/1072216044.db2.gz TVYNVYCLUVQXQT-DOMZBBRYSA-N 0 0 440.526 -0.676 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000507626576 1072216032 /nfs/dbraw/zinc/21/60/32/1072216032.db2.gz TVYNVYCLUVQXQT-IUODEOHRSA-N 0 0 440.526 -0.676 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000507626578 1072215738 /nfs/dbraw/zinc/21/57/38/1072215738.db2.gz TVYNVYCLUVQXQT-SWLSCSKDSA-N 0 0 440.526 -0.676 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000507626580 1072216016 /nfs/dbraw/zinc/21/60/16/1072216016.db2.gz TVYNVYCLUVQXQT-WFASDCNBSA-N 0 0 440.526 -0.676 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cn1 ZINC000507626832 1072217866 /nfs/dbraw/zinc/21/78/66/1072217866.db2.gz BHFCMZHHJLIECW-GXTWGEPZSA-N 0 0 428.515 -0.866 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cn1 ZINC000507626833 1072217924 /nfs/dbraw/zinc/21/79/24/1072217924.db2.gz BHFCMZHHJLIECW-JSGCOSHPSA-N 0 0 428.515 -0.866 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cn1 ZINC000507626834 1072217674 /nfs/dbraw/zinc/21/76/74/1072217674.db2.gz BHFCMZHHJLIECW-OCCSQVGLSA-N 0 0 428.515 -0.866 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cn1 ZINC000507626835 1072217886 /nfs/dbraw/zinc/21/78/86/1072217886.db2.gz BHFCMZHHJLIECW-TZMCWYRMSA-N 0 0 428.515 -0.866 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1)[C@@H]1COC[C@H]1O ZINC000507626878 1072217897 /nfs/dbraw/zinc/21/78/97/1072217897.db2.gz UMGDFMKTLOPJMO-IAGOWNOFSA-N 0 0 438.456 -0.357 20 0 IBADRN C[C@@H]1CSC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507627072 1072217854 /nfs/dbraw/zinc/21/78/54/1072217854.db2.gz BNWIEOVKVBRXNB-BXUZGUMPSA-N 0 0 426.520 -0.387 20 0 IBADRN C[C@H]1CSC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507627073 1072217838 /nfs/dbraw/zinc/21/78/38/1072217838.db2.gz BNWIEOVKVBRXNB-FZMZJTMJSA-N 0 0 426.520 -0.387 20 0 IBADRN C[C@@H]1CSC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507627074 1072217814 /nfs/dbraw/zinc/21/78/14/1072217814.db2.gz BNWIEOVKVBRXNB-RISCZKNCSA-N 0 0 426.520 -0.387 20 0 IBADRN C[C@H]1CSC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507627075 1072217687 /nfs/dbraw/zinc/21/76/87/1072217687.db2.gz BNWIEOVKVBRXNB-SMDDNHRTSA-N 0 0 426.520 -0.387 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(C)[C@@H]1COC[C@H]1O ZINC000507631098 1072218316 /nfs/dbraw/zinc/21/83/16/1072218316.db2.gz LVPNZHWFZATFQM-IAGOWNOFSA-N 0 0 425.507 -0.176 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000507631110 1072218442 /nfs/dbraw/zinc/21/84/42/1072218442.db2.gz LWPKVUAJWMOYIX-BXUZGUMPSA-N 0 0 439.473 -0.036 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000507631111 1072218498 /nfs/dbraw/zinc/21/84/98/1072218498.db2.gz LWPKVUAJWMOYIX-FZMZJTMJSA-N 0 0 439.473 -0.036 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000507631112 1072218451 /nfs/dbraw/zinc/21/84/51/1072218451.db2.gz LWPKVUAJWMOYIX-RISCZKNCSA-N 0 0 439.473 -0.036 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000507631114 1072218375 /nfs/dbraw/zinc/21/83/75/1072218375.db2.gz LWPKVUAJWMOYIX-SMDDNHRTSA-N 0 0 439.473 -0.036 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000507634129 1072218900 /nfs/dbraw/zinc/21/89/00/1072218900.db2.gz SXSWWNXWMWJPOX-AAEUAGOBSA-N 0 0 429.499 -0.241 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000507634135 1072218842 /nfs/dbraw/zinc/21/88/42/1072218842.db2.gz SXSWWNXWMWJPOX-DGCLKSJQSA-N 0 0 429.499 -0.241 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000507634138 1072219050 /nfs/dbraw/zinc/21/90/50/1072219050.db2.gz SXSWWNXWMWJPOX-WCQYABFASA-N 0 0 429.499 -0.241 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000507634139 1072218989 /nfs/dbraw/zinc/21/89/89/1072218989.db2.gz SXSWWNXWMWJPOX-YPMHNXCESA-N 0 0 429.499 -0.241 20 0 IBADRN COc1ncc(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1C(N)=O ZINC000507635083 1072219071 /nfs/dbraw/zinc/21/90/71/1072219071.db2.gz UWEKETDHOTZIIL-GWCFXTLKSA-N 0 0 427.483 -0.648 20 0 IBADRN COc1ncc(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cc1C(N)=O ZINC000507635084 1072218915 /nfs/dbraw/zinc/21/89/15/1072218915.db2.gz UWEKETDHOTZIIL-GXFFZTMASA-N 0 0 427.483 -0.648 20 0 IBADRN COc1ncc(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1C(N)=O ZINC000507635085 1072218882 /nfs/dbraw/zinc/21/88/82/1072218882.db2.gz UWEKETDHOTZIIL-MFKMUULPSA-N 0 0 427.483 -0.648 20 0 IBADRN COc1ncc(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cc1C(N)=O ZINC000507635086 1072218957 /nfs/dbraw/zinc/21/89/57/1072218957.db2.gz UWEKETDHOTZIIL-ZWNOBZJWSA-N 0 0 427.483 -0.648 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cccc1C(N)=O ZINC000507636030 1072218980 /nfs/dbraw/zinc/21/89/80/1072218980.db2.gz VZIOHWMDXJAFFT-AAEUAGOBSA-N 0 0 426.495 -0.043 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cccc1C(N)=O ZINC000507636031 1072218853 /nfs/dbraw/zinc/21/88/53/1072218853.db2.gz VZIOHWMDXJAFFT-DGCLKSJQSA-N 0 0 426.495 -0.043 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cccc1C(N)=O ZINC000507636032 1072218929 /nfs/dbraw/zinc/21/89/29/1072218929.db2.gz VZIOHWMDXJAFFT-WCQYABFASA-N 0 0 426.495 -0.043 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cccc1C(N)=O ZINC000507636033 1072219043 /nfs/dbraw/zinc/21/90/43/1072219043.db2.gz VZIOHWMDXJAFFT-YPMHNXCESA-N 0 0 426.495 -0.043 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000507637538 1072218868 /nfs/dbraw/zinc/21/88/68/1072218868.db2.gz BLMXEHALFKFFEF-NEPJUHHUSA-N 0 0 428.515 -0.286 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000507637541 1072218942 /nfs/dbraw/zinc/21/89/42/1072218942.db2.gz BLMXEHALFKFFEF-NWDGAFQWSA-N 0 0 428.515 -0.286 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000507637543 1072218890 /nfs/dbraw/zinc/21/88/90/1072218890.db2.gz BLMXEHALFKFFEF-RYUDHWBXSA-N 0 0 428.515 -0.286 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000507637546 1072218968 /nfs/dbraw/zinc/21/89/68/1072218968.db2.gz BLMXEHALFKFFEF-VXGBXAGGSA-N 0 0 428.515 -0.286 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000507637721 1072217781 /nfs/dbraw/zinc/21/77/81/1072217781.db2.gz YDGYIRZETMOEQT-GXTWGEPZSA-N 0 0 446.551 -0.195 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000507637726 1072217874 /nfs/dbraw/zinc/21/78/74/1072217874.db2.gz YDGYIRZETMOEQT-JSGCOSHPSA-N 0 0 446.551 -0.195 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000507637729 1072217803 /nfs/dbraw/zinc/21/78/03/1072217803.db2.gz YDGYIRZETMOEQT-OCCSQVGLSA-N 0 0 446.551 -0.195 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cc1S(N)(=O)=O ZINC000507637730 1072217827 /nfs/dbraw/zinc/21/78/27/1072217827.db2.gz YDGYIRZETMOEQT-TZMCWYRMSA-N 0 0 446.551 -0.195 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1COC[C@H]1O ZINC000507638875 1072217756 /nfs/dbraw/zinc/21/77/56/1072217756.db2.gz ZLWNAWIANOWRNV-ZIAGYGMSSA-N 0 0 431.442 -0.997 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2COC[C@H]2O)cc1F ZINC000507640327 1072217773 /nfs/dbraw/zinc/21/77/73/1072217773.db2.gz JBWDRSZTMDTSGH-COLVAYQJSA-N 0 0 425.413 -0.336 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2COC[C@H]2O)cc1F ZINC000507640328 1072217917 /nfs/dbraw/zinc/21/79/17/1072217917.db2.gz JBWDRSZTMDTSGH-VCTAVGKDSA-N 0 0 425.413 -0.336 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1COC[C@H]1O ZINC000507641104 1072219601 /nfs/dbraw/zinc/21/96/01/1072219601.db2.gz PCOYSFZLQGINGD-ZIAGYGMSSA-N 0 0 447.897 -0.483 20 0 IBADRN COCCN(C(=O)CN1CCC(c2ccnn2CCO)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000507641761 1072220087 /nfs/dbraw/zinc/22/00/87/1072220087.db2.gz SPYVIOMKRKXNFM-KRWDZBQOSA-N 0 0 428.555 -0.283 20 0 IBADRN COCCN(C(=O)CN1CCC(c2ccnn2CCO)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000507641766 1072220237 /nfs/dbraw/zinc/22/02/37/1072220237.db2.gz SPYVIOMKRKXNFM-QGZVFWFLSA-N 0 0 428.555 -0.283 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N(C)[C@@H]2COC[C@H]2O)c1 ZINC000507644615 1072220267 /nfs/dbraw/zinc/22/02/67/1072220267.db2.gz FIZGGIMJPHLMHM-HUUCEWRRSA-N 0 0 429.495 -0.118 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N(C)[C@@H]2COC[C@H]2O)c1 ZINC000507644976 1072220115 /nfs/dbraw/zinc/22/01/15/1072220115.db2.gz GTVWAHZQFKWKKA-IAGOWNOFSA-N 0 0 442.538 -0.060 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCCn1cncn1 ZINC000507649980 1072219029 /nfs/dbraw/zinc/21/90/29/1072219029.db2.gz UMISACJBBOHVRW-UHFFFAOYSA-N 0 0 438.485 -0.153 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCn2cncn2)c1OC ZINC000507651157 1072219513 /nfs/dbraw/zinc/21/95/13/1072219513.db2.gz OEOUNDIDVWYYRQ-UHFFFAOYSA-N 0 0 425.467 -0.254 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)[C@@H]3COC[C@H]3O)cc2)C[C@@H](C)O1 ZINC000507652275 1072219592 /nfs/dbraw/zinc/21/95/92/1072219592.db2.gz ASJJXDOLQLRRSF-BQGCOEIASA-N 0 0 441.506 -0.359 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)[C@@H]3COC[C@H]3O)cc2)C[C@H](C)O1 ZINC000507652279 1072219554 /nfs/dbraw/zinc/21/95/54/1072219554.db2.gz ASJJXDOLQLRRSF-DLTLXFJOSA-N 0 0 441.506 -0.359 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)[C@@H]3COC[C@H]3O)cc2)C[C@H](C)O1 ZINC000507652281 1072219442 /nfs/dbraw/zinc/21/94/42/1072219442.db2.gz ASJJXDOLQLRRSF-WRFANHODSA-N 0 0 441.506 -0.359 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(OCC(N)=O)c1 ZINC000507653605 1072219500 /nfs/dbraw/zinc/21/95/00/1072219500.db2.gz DGWOLMCLPVZJRP-DOMZBBRYSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(OCC(N)=O)c1 ZINC000507653607 1072219653 /nfs/dbraw/zinc/21/96/53/1072219653.db2.gz DGWOLMCLPVZJRP-IUODEOHRSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(OCC(N)=O)c1 ZINC000507653609 1072219422 /nfs/dbraw/zinc/21/94/22/1072219422.db2.gz DGWOLMCLPVZJRP-SWLSCSKDSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(OCC(N)=O)c1 ZINC000507653611 1072219558 /nfs/dbraw/zinc/21/95/58/1072219558.db2.gz DGWOLMCLPVZJRP-WFASDCNBSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000507654554 1072219569 /nfs/dbraw/zinc/21/95/69/1072219569.db2.gz HPGRQYJGMYOEJR-BXUZGUMPSA-N 0 0 432.524 -0.503 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000507654555 1072219506 /nfs/dbraw/zinc/21/95/06/1072219506.db2.gz HPGRQYJGMYOEJR-FZMZJTMJSA-N 0 0 432.524 -0.503 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000507654556 1072219429 /nfs/dbraw/zinc/21/94/29/1072219429.db2.gz HPGRQYJGMYOEJR-RISCZKNCSA-N 0 0 432.524 -0.503 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000507654557 1072219583 /nfs/dbraw/zinc/21/95/83/1072219583.db2.gz HPGRQYJGMYOEJR-SMDDNHRTSA-N 0 0 432.524 -0.503 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1)[C@@H]1COC[C@H]1O ZINC000507655885 1072220576 /nfs/dbraw/zinc/22/05/76/1072220576.db2.gz AKSGTLACCPQLAY-RTBURBONSA-N 0 0 434.493 -0.484 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@@H]2COC[C@H]2O)cc1S(=O)(=O)N1CCCC1 ZINC000507656063 1072220589 /nfs/dbraw/zinc/22/05/89/1072220589.db2.gz BACXKHASLFDHOL-ZIAGYGMSSA-N 0 0 427.479 -0.364 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)[C@@H]1COC[C@H]1O ZINC000507656115 1072220625 /nfs/dbraw/zinc/22/06/25/1072220625.db2.gz BLCXBUZJKPYKJW-HZPDHXFCSA-N 0 0 427.479 -0.827 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000507657104 1072220685 /nfs/dbraw/zinc/22/06/85/1072220685.db2.gz SWOLXRFLPTXQJD-AAEUAGOBSA-N 0 0 432.524 -0.352 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000507657107 1072220748 /nfs/dbraw/zinc/22/07/48/1072220748.db2.gz SWOLXRFLPTXQJD-DGCLKSJQSA-N 0 0 432.524 -0.352 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000507657109 1072220618 /nfs/dbraw/zinc/22/06/18/1072220618.db2.gz SWOLXRFLPTXQJD-WCQYABFASA-N 0 0 432.524 -0.352 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000507657111 1072221193 /nfs/dbraw/zinc/22/11/93/1072221193.db2.gz SWOLXRFLPTXQJD-YPMHNXCESA-N 0 0 432.524 -0.352 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)c1C ZINC000507660869 1072221105 /nfs/dbraw/zinc/22/11/05/1072221105.db2.gz PBOHWPNPOQAKDA-CHWSQXEVSA-N 0 0 429.499 -0.473 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)c1C ZINC000507660870 1072221154 /nfs/dbraw/zinc/22/11/54/1072221154.db2.gz PBOHWPNPOQAKDA-OLZOCXBDSA-N 0 0 429.499 -0.473 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)c1C ZINC000507660871 1072221216 /nfs/dbraw/zinc/22/12/16/1072221216.db2.gz PBOHWPNPOQAKDA-QWHCGFSZSA-N 0 0 429.499 -0.473 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)c1C ZINC000507660872 1072221135 /nfs/dbraw/zinc/22/11/35/1072221135.db2.gz PBOHWPNPOQAKDA-STQMWFEESA-N 0 0 429.499 -0.473 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000507662192 1073341010 /nfs/dbraw/zinc/34/10/10/1073341010.db2.gz WIWIEWAXUBKAFL-AAEUAGOBSA-N 0 0 429.499 -0.241 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000507662194 1072221146 /nfs/dbraw/zinc/22/11/46/1072221146.db2.gz WIWIEWAXUBKAFL-DGCLKSJQSA-N 0 0 429.499 -0.241 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000507662195 1072221227 /nfs/dbraw/zinc/22/12/27/1072221227.db2.gz WIWIEWAXUBKAFL-WCQYABFASA-N 0 0 429.499 -0.241 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000507662196 1072221238 /nfs/dbraw/zinc/22/12/38/1072221238.db2.gz WIWIEWAXUBKAFL-YPMHNXCESA-N 0 0 429.499 -0.241 20 0 IBADRN Cn1c(CCC(=O)NCCn2cncn2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000507663658 1072221677 /nfs/dbraw/zinc/22/16/77/1072221677.db2.gz HBSKELPYROTWPI-UHFFFAOYSA-N 0 0 447.521 -0.065 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000507665917 1072220704 /nfs/dbraw/zinc/22/07/04/1072220704.db2.gz GBSDKKRSJBIRSU-BBRMVZONSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000507665920 1072220537 /nfs/dbraw/zinc/22/05/37/1072220537.db2.gz GBSDKKRSJBIRSU-CJNGLKHVSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000507665921 1072220664 /nfs/dbraw/zinc/22/06/64/1072220664.db2.gz GBSDKKRSJBIRSU-CZUORRHYSA-N 0 0 440.522 -0.026 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000507665922 1072220653 /nfs/dbraw/zinc/22/06/53/1072220653.db2.gz GBSDKKRSJBIRSU-XJKSGUPXSA-N 0 0 440.522 -0.026 20 0 IBADRN C[C@@H](NC(=O)CCc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000507666297 1072220518 /nfs/dbraw/zinc/22/05/18/1072220518.db2.gz ZENBZPKQTMSANV-QGZVFWFLSA-N 0 0 430.505 -0.347 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@@H]1COC[C@H]1O ZINC000507673216 1072220728 /nfs/dbraw/zinc/22/07/28/1072220728.db2.gz DJYTVIRXZSWHFW-HUUCEWRRSA-N 0 0 429.495 -0.119 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(C)[C@@H]3COC[C@H]3O)c2)CC1 ZINC000507675253 1072221550 /nfs/dbraw/zinc/22/15/50/1072221550.db2.gz KSQZBHTYNOONGO-IAGOWNOFSA-N 0 0 440.522 -0.831 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(OCC(N)=O)cc1 ZINC000507677436 1072221600 /nfs/dbraw/zinc/22/16/00/1072221600.db2.gz QCPDZMJSAGKPMP-DOMZBBRYSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(OCC(N)=O)cc1 ZINC000507677437 1072221607 /nfs/dbraw/zinc/22/16/07/1072221607.db2.gz QCPDZMJSAGKPMP-IUODEOHRSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(OCC(N)=O)cc1 ZINC000507677439 1072221594 /nfs/dbraw/zinc/22/15/94/1072221594.db2.gz QCPDZMJSAGKPMP-SWLSCSKDSA-N 0 0 426.495 -0.287 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(OCC(N)=O)cc1 ZINC000507677440 1072221691 /nfs/dbraw/zinc/22/16/91/1072221691.db2.gz QCPDZMJSAGKPMP-WFASDCNBSA-N 0 0 426.495 -0.287 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)n1 ZINC000507677634 1074351161 /nfs/dbraw/zinc/35/11/61/1074351161.db2.gz OZUMZDVOUSAKKO-CHWSQXEVSA-N 0 0 428.515 -0.866 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)n1 ZINC000507677635 1074351147 /nfs/dbraw/zinc/35/11/47/1074351147.db2.gz OZUMZDVOUSAKKO-OLZOCXBDSA-N 0 0 428.515 -0.866 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)n1 ZINC000507677636 1074351318 /nfs/dbraw/zinc/35/13/18/1074351318.db2.gz OZUMZDVOUSAKKO-QWHCGFSZSA-N 0 0 428.515 -0.866 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)n1 ZINC000507677637 1074351234 /nfs/dbraw/zinc/35/12/34/1074351234.db2.gz OZUMZDVOUSAKKO-STQMWFEESA-N 0 0 428.515 -0.866 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000507681351 1072221646 /nfs/dbraw/zinc/22/16/46/1072221646.db2.gz ABNBJIVLCUSDKE-HNNXBMFYSA-N 0 0 441.554 -0.569 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000507681352 1072221632 /nfs/dbraw/zinc/22/16/32/1072221632.db2.gz ABNBJIVLCUSDKE-OAHLLOKOSA-N 0 0 441.554 -0.569 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000507682391 1072221664 /nfs/dbraw/zinc/22/16/64/1072221664.db2.gz HFIHSBAOTYEMQU-HNNXBMFYSA-N 0 0 441.554 -0.569 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000507682392 1072222142 /nfs/dbraw/zinc/22/21/42/1072222142.db2.gz HFIHSBAOTYEMQU-OAHLLOKOSA-N 0 0 441.554 -0.569 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1)[C@@H]1COC[C@H]1O ZINC000507684422 1072222055 /nfs/dbraw/zinc/22/20/55/1072222055.db2.gz RHVMPICHMKWJKS-CHWSQXEVSA-N 0 0 429.271 -0.163 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N(C)[C@@H]1COC[C@H]1O ZINC000507684831 1072222114 /nfs/dbraw/zinc/22/21/14/1072222114.db2.gz SMQVKQZMJBDUMU-ZIAGYGMSSA-N 0 0 429.495 -0.071 20 0 IBADRN C[C@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)S(N)(=O)=O ZINC000507685225 1072222132 /nfs/dbraw/zinc/22/21/32/1072222132.db2.gz BSRDWUOWXJGBBG-GFCCVEGCSA-N 0 0 434.540 -0.820 20 0 IBADRN C[C@@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)S(N)(=O)=O ZINC000507685229 1072222070 /nfs/dbraw/zinc/22/20/70/1072222070.db2.gz BSRDWUOWXJGBBG-LBPRGKRZSA-N 0 0 434.540 -0.820 20 0 IBADRN C[C@H](CNC(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1)S(N)(=O)=O ZINC000507685332 1072222002 /nfs/dbraw/zinc/22/20/02/1072222002.db2.gz CIYXJAGRWAYERJ-SECBINFHSA-N 0 0 428.330 -0.137 20 0 IBADRN C[C@@H](CNC(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1)S(N)(=O)=O ZINC000507685333 1072222038 /nfs/dbraw/zinc/22/20/38/1072222038.db2.gz CIYXJAGRWAYERJ-VIFPVBQESA-N 0 0 428.330 -0.137 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NC[C@@H](C)S(N)(=O)=O)nc2n(CC)c1=O ZINC000507687081 1072221657 /nfs/dbraw/zinc/22/16/57/1072221657.db2.gz IXSFQCPZYXMKNM-LLVKDONJSA-N 0 0 426.499 -0.250 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NC[C@H](C)S(N)(=O)=O)nc2n(CC)c1=O ZINC000507687083 1072221671 /nfs/dbraw/zinc/22/16/71/1072221671.db2.gz IXSFQCPZYXMKNM-NSHDSACASA-N 0 0 426.499 -0.250 20 0 IBADRN C[C@H](CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)S(N)(=O)=O ZINC000507687586 1072221643 /nfs/dbraw/zinc/22/16/43/1072221643.db2.gz LDVFWBIPQBTYAO-GFCCVEGCSA-N 0 0 447.535 -0.348 20 0 IBADRN C[C@@H](CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)S(N)(=O)=O ZINC000507687587 1072221570 /nfs/dbraw/zinc/22/15/70/1072221570.db2.gz LDVFWBIPQBTYAO-LBPRGKRZSA-N 0 0 447.535 -0.348 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000507687726 1072223246 /nfs/dbraw/zinc/22/32/46/1072223246.db2.gz JQVHJSFOWOBKEU-ABAIWWIYSA-N 0 0 435.524 -0.446 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000507687727 1072223066 /nfs/dbraw/zinc/22/30/66/1072223066.db2.gz JQVHJSFOWOBKEU-NHYWBVRUSA-N 0 0 435.524 -0.446 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cc1OC ZINC000507687796 1072223269 /nfs/dbraw/zinc/22/32/69/1072223269.db2.gz MMGDEXXXIOJERJ-GFCCVEGCSA-N 0 0 449.551 -0.102 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cc1OC ZINC000507687797 1072223172 /nfs/dbraw/zinc/22/31/72/1072223172.db2.gz MMGDEXXXIOJERJ-LBPRGKRZSA-N 0 0 449.551 -0.102 20 0 IBADRN C[C@H](CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)S(N)(=O)=O ZINC000507689065 1072222063 /nfs/dbraw/zinc/22/20/63/1072222063.db2.gz TZHWEUMHYAPZPF-GFCCVEGCSA-N 0 0 435.524 -0.300 20 0 IBADRN C[C@@H](CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)S(N)(=O)=O ZINC000507689068 1072222007 /nfs/dbraw/zinc/22/20/07/1072222007.db2.gz TZHWEUMHYAPZPF-LBPRGKRZSA-N 0 0 435.524 -0.300 20 0 IBADRN C[C@H](CNC(=O)CNC(=O)c1ccccc1I)S(N)(=O)=O ZINC000507689598 1072223233 /nfs/dbraw/zinc/22/32/33/1072223233.db2.gz SZNRBMHSOLWUSO-MRVPVSSYSA-N 0 0 425.248 -0.186 20 0 IBADRN C[C@@H](CNC(=O)CNC(=O)c1ccccc1I)S(N)(=O)=O ZINC000507689603 1072223218 /nfs/dbraw/zinc/22/32/18/1072223218.db2.gz SZNRBMHSOLWUSO-QMMMGPOBSA-N 0 0 425.248 -0.186 20 0 IBADRN Cc1csc(NC(=O)CS(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)n1 ZINC000507690874 1072222713 /nfs/dbraw/zinc/22/27/13/1072222713.db2.gz BFGXZQVTZWWDAJ-UHFFFAOYSA-N 0 0 426.542 -0.797 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000507691061 1072222082 /nfs/dbraw/zinc/22/20/82/1072222082.db2.gz YJQUTYNPCDVPQF-INIZCTEOSA-N 0 0 431.603 -0.551 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000507691062 1072222029 /nfs/dbraw/zinc/22/20/29/1072222029.db2.gz YJQUTYNPCDVPQF-MRXNPFEDSA-N 0 0 431.603 -0.551 20 0 IBADRN CCN(CC)CC(=O)N1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CC1 ZINC000507699643 1072222667 /nfs/dbraw/zinc/22/26/67/1072222667.db2.gz DEZFKMGPZRQIEH-UHFFFAOYSA-N 0 0 436.557 -0.546 20 0 IBADRN COc1ccc(C(=O)NC[C@@H](C)S(N)(=O)=O)cc1S(=O)(=O)NCc1ccccn1 ZINC000507700691 1072222688 /nfs/dbraw/zinc/22/26/88/1072222688.db2.gz HUPHCPIBKBKFPR-GFCCVEGCSA-N 0 0 442.519 -0.025 20 0 IBADRN COc1ccc(C(=O)NC[C@H](C)S(N)(=O)=O)cc1S(=O)(=O)NCc1ccccn1 ZINC000507700692 1072222725 /nfs/dbraw/zinc/22/27/25/1072222725.db2.gz HUPHCPIBKBKFPR-LBPRGKRZSA-N 0 0 442.519 -0.025 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@@H](C)S(N)(=O)=O)o1 ZINC000507701998 1072222628 /nfs/dbraw/zinc/22/26/28/1072222628.db2.gz LUMOSVFYOOKOJR-GHMZBOCLSA-N 0 0 437.496 -0.597 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@@H](C)S(N)(=O)=O)o1 ZINC000507702002 1072222680 /nfs/dbraw/zinc/22/26/80/1072222680.db2.gz LUMOSVFYOOKOJR-MNOVXSKESA-N 0 0 437.496 -0.597 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@H](C)S(N)(=O)=O)o1 ZINC000507702006 1072223052 /nfs/dbraw/zinc/22/30/52/1072223052.db2.gz LUMOSVFYOOKOJR-QWRGUYRKSA-N 0 0 437.496 -0.597 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@H](C)S(N)(=O)=O)o1 ZINC000507702009 1072223227 /nfs/dbraw/zinc/22/32/27/1072223227.db2.gz LUMOSVFYOOKOJR-WDEREUQCSA-N 0 0 437.496 -0.597 20 0 IBADRN CCCn1c(CCC(=O)NC[C@@H](C)S(N)(=O)=O)nc2cc(S(N)(=O)=O)ccc21 ZINC000507703098 1072223192 /nfs/dbraw/zinc/22/31/92/1072223192.db2.gz OUSQDPFDIMQTKF-LLVKDONJSA-N 0 0 431.540 -0.181 20 0 IBADRN CCCn1c(CCC(=O)NC[C@H](C)S(N)(=O)=O)nc2cc(S(N)(=O)=O)ccc21 ZINC000507703099 1072223139 /nfs/dbraw/zinc/22/31/39/1072223139.db2.gz OUSQDPFDIMQTKF-NSHDSACASA-N 0 0 431.540 -0.181 20 0 IBADRN C[C@@H](CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)S(N)(=O)=O ZINC000507706021 1072223679 /nfs/dbraw/zinc/22/36/79/1072223679.db2.gz YCQIONPRQMOCCF-AWEZNQCLSA-N 0 0 446.551 -0.907 20 0 IBADRN C[C@H](CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)S(N)(=O)=O ZINC000507706022 1072223743 /nfs/dbraw/zinc/22/37/43/1072223743.db2.gz YCQIONPRQMOCCF-CQSZACIVSA-N 0 0 446.551 -0.907 20 0 IBADRN C[C@H](CNC(=O)CCNS(=O)(=O)c1cccc(Br)c1)S(N)(=O)=O ZINC000507707750 1072224097 /nfs/dbraw/zinc/22/40/97/1072224097.db2.gz OPYVZYSJZFEZJZ-SECBINFHSA-N 0 0 428.330 -0.089 20 0 IBADRN C[C@@H](CNC(=O)CCNS(=O)(=O)c1cccc(Br)c1)S(N)(=O)=O ZINC000507707755 1072224178 /nfs/dbraw/zinc/22/41/78/1072224178.db2.gz OPYVZYSJZFEZJZ-VIFPVBQESA-N 0 0 428.330 -0.089 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)N[C@H](C)C(=O)N2CCCCC2)CC1 ZINC000507708631 1072224249 /nfs/dbraw/zinc/22/42/49/1072224249.db2.gz REGXIKBRQQFKBM-MSOLQXFVSA-N 0 0 425.574 -0.338 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)N[C@H](C)C(=O)N2CCCCC2)CC1 ZINC000507708632 1072224084 /nfs/dbraw/zinc/22/40/84/1072224084.db2.gz REGXIKBRQQFKBM-QZTJIDSGSA-N 0 0 425.574 -0.338 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)N[C@@H](C)C(=O)N2CCCCC2)CC1 ZINC000507708633 1072224189 /nfs/dbraw/zinc/22/41/89/1072224189.db2.gz REGXIKBRQQFKBM-ROUUACIJSA-N 0 0 425.574 -0.338 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)N[C@@H](C)C(=O)N2CCCCC2)CC1 ZINC000507708634 1072224171 /nfs/dbraw/zinc/22/41/71/1072224171.db2.gz REGXIKBRQQFKBM-ZWKOTPCHSA-N 0 0 425.574 -0.338 20 0 IBADRN C[C@H](CNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1)S(N)(=O)=O ZINC000507714529 1072224242 /nfs/dbraw/zinc/22/42/42/1072224242.db2.gz BGBWQCLOXPXZIX-SECBINFHSA-N 0 0 428.330 -0.089 20 0 IBADRN C[C@@H](CNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1)S(N)(=O)=O ZINC000507714530 1072224161 /nfs/dbraw/zinc/22/41/61/1072224161.db2.gz BGBWQCLOXPXZIX-VIFPVBQESA-N 0 0 428.330 -0.089 20 0 IBADRN Cc1csc([C@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC000507714742 1072224670 /nfs/dbraw/zinc/22/46/70/1072224670.db2.gz AFGXLXNPYUHREA-LLVKDONJSA-N 0 0 435.510 -0.840 20 0 IBADRN Cc1csc([C@@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC000507714743 1072224622 /nfs/dbraw/zinc/22/46/22/1072224622.db2.gz AFGXLXNPYUHREA-NSHDSACASA-N 0 0 435.510 -0.840 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H](C)S(N)(=O)=O)c1 ZINC000507714917 1072224130 /nfs/dbraw/zinc/22/41/30/1072224130.db2.gz CEYKDMKJSPKFLV-GFCCVEGCSA-N 0 0 434.540 -0.760 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H](C)S(N)(=O)=O)c1 ZINC000507714918 1072224636 /nfs/dbraw/zinc/22/46/36/1072224636.db2.gz CEYKDMKJSPKFLV-LBPRGKRZSA-N 0 0 434.540 -0.760 20 0 IBADRN Cc1csc(NC(=O)CS(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)n1 ZINC000507716284 1072224654 /nfs/dbraw/zinc/22/46/54/1072224654.db2.gz VFJWERLLCGNBDA-UHFFFAOYSA-N 0 0 440.507 -0.358 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN([C@H](C)C(=O)NC(=O)NCC(F)(F)F)CC1 ZINC000507718818 1072223237 /nfs/dbraw/zinc/22/32/37/1072223237.db2.gz PBGRNIZWTDQFKN-CHWSQXEVSA-N 0 0 425.452 -0.078 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN([C@@H](C)C(=O)NC(=O)NCC(F)(F)F)CC1 ZINC000507718819 1072223275 /nfs/dbraw/zinc/22/32/75/1072223275.db2.gz PBGRNIZWTDQFKN-OLZOCXBDSA-N 0 0 425.452 -0.078 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@H](C)C(=O)NC(=O)NCC(F)(F)F)CC1 ZINC000507718820 1072223164 /nfs/dbraw/zinc/22/31/64/1072223164.db2.gz PBGRNIZWTDQFKN-QWHCGFSZSA-N 0 0 425.452 -0.078 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@@H](C)C(=O)NC(=O)NCC(F)(F)F)CC1 ZINC000507718821 1072223106 /nfs/dbraw/zinc/22/31/06/1072223106.db2.gz PBGRNIZWTDQFKN-STQMWFEESA-N 0 0 425.452 -0.078 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000507719721 1072223772 /nfs/dbraw/zinc/22/37/72/1072223772.db2.gz UCLTYFMIZYLUFV-BLLLJJGKSA-N 0 0 449.551 -0.056 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000507719728 1072223790 /nfs/dbraw/zinc/22/37/90/1072223790.db2.gz UCLTYFMIZYLUFV-LRDDRELGSA-N 0 0 449.551 -0.056 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000507719732 1072223693 /nfs/dbraw/zinc/22/36/93/1072223693.db2.gz UCLTYFMIZYLUFV-MLGOLLRUSA-N 0 0 449.551 -0.056 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000507719734 1072223749 /nfs/dbraw/zinc/22/37/49/1072223749.db2.gz UCLTYFMIZYLUFV-WBMJQRKESA-N 0 0 449.551 -0.056 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000507721051 1072223726 /nfs/dbraw/zinc/22/37/26/1072223726.db2.gz YOORKJFCFUGPMD-GOSISDBHSA-N 0 0 449.552 -0.277 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000507721058 1072223736 /nfs/dbraw/zinc/22/37/36/1072223736.db2.gz YOORKJFCFUGPMD-SFHVURJKSA-N 0 0 449.552 -0.277 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CC1 ZINC000507721195 1072522268 /nfs/dbraw/zinc/52/22/68/1072522268.db2.gz ANSOGOYGOOZQES-CYBMUJFWSA-N 0 0 436.513 -0.793 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CC1 ZINC000507721196 1072522305 /nfs/dbraw/zinc/52/23/05/1072522305.db2.gz ANSOGOYGOOZQES-ZDUSSCGKSA-N 0 0 436.513 -0.793 20 0 IBADRN Cc1csc([C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000507723400 1072224661 /nfs/dbraw/zinc/22/46/61/1072224661.db2.gz VXLLNUBMKKHHHE-CYBMUJFWSA-N 0 0 437.522 -0.799 20 0 IBADRN Cc1csc([C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000507723401 1072224645 /nfs/dbraw/zinc/22/46/45/1072224645.db2.gz VXLLNUBMKKHHHE-ZDUSSCGKSA-N 0 0 437.522 -0.799 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000507723478 1072224834 /nfs/dbraw/zinc/22/48/34/1072224834.db2.gz WQBCXZRDUZSNRI-FRQCXROJSA-N 0 0 447.540 -0.734 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000507723481 1072224832 /nfs/dbraw/zinc/22/48/32/1072224832.db2.gz WQBCXZRDUZSNRI-GPMSIDNRSA-N 0 0 447.540 -0.734 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)CC2)n1 ZINC000507729197 1072236231 /nfs/dbraw/zinc/23/62/31/1072236231.db2.gz ZXSNDUZZGFXARO-UHFFFAOYSA-N 0 0 431.497 -0.411 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@H](C)C(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000507729804 1072242767 /nfs/dbraw/zinc/24/27/67/1072242767.db2.gz BVALQHKMAHLRGO-DLBZAZTESA-N 0 0 426.558 -0.055 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN([C@H](C)C(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000507729806 1072242634 /nfs/dbraw/zinc/24/26/34/1072242634.db2.gz BVALQHKMAHLRGO-IAGOWNOFSA-N 0 0 426.558 -0.055 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@@H](C)C(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000507729809 1072242696 /nfs/dbraw/zinc/24/26/96/1072242696.db2.gz BVALQHKMAHLRGO-IRXDYDNUSA-N 0 0 426.558 -0.055 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN([C@@H](C)C(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000507729811 1072242693 /nfs/dbraw/zinc/24/26/93/1072242693.db2.gz BVALQHKMAHLRGO-SJORKVTESA-N 0 0 426.558 -0.055 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000507731351 1072242699 /nfs/dbraw/zinc/24/26/99/1072242699.db2.gz IXHYDRHOXKOQLA-GOSISDBHSA-N 0 0 436.601 -0.716 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000507731406 1072242686 /nfs/dbraw/zinc/24/26/86/1072242686.db2.gz IXHYDRHOXKOQLA-SFHVURJKSA-N 0 0 436.601 -0.716 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN([C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000507732546 1072243677 /nfs/dbraw/zinc/24/36/77/1072243677.db2.gz NYSIOZCKTATFOU-KBPBESRZSA-N 0 0 434.541 -0.698 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000507732547 1072243607 /nfs/dbraw/zinc/24/36/07/1072243607.db2.gz NYSIOZCKTATFOU-KGLIPLIRSA-N 0 0 434.541 -0.698 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN([C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000507732548 1072243730 /nfs/dbraw/zinc/24/37/30/1072243730.db2.gz NYSIOZCKTATFOU-UONOGXRCSA-N 0 0 434.541 -0.698 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN([C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000507732549 1072522824 /nfs/dbraw/zinc/52/28/24/1072522824.db2.gz NYSIOZCKTATFOU-ZIAGYGMSSA-N 0 0 434.541 -0.698 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000507734455 1072252503 /nfs/dbraw/zinc/25/25/03/1072252503.db2.gz VRDUCXPLSIYQAW-UHFFFAOYSA-N 0 0 432.481 -0.547 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN([C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000507734558 1072249232 /nfs/dbraw/zinc/24/92/32/1072249232.db2.gz WXQHZDVYSVRLIK-MOPGFXCFSA-N 0 0 447.584 -0.328 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000507734564 1072253153 /nfs/dbraw/zinc/25/31/53/1072253153.db2.gz WXQHZDVYSVRLIK-OALUTQOASA-N 0 0 447.584 -0.328 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000507734567 1072253103 /nfs/dbraw/zinc/25/31/03/1072253103.db2.gz WXQHZDVYSVRLIK-RBUKOAKNSA-N 0 0 447.584 -0.328 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN([C@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000507734570 1072253139 /nfs/dbraw/zinc/25/31/39/1072253139.db2.gz WXQHZDVYSVRLIK-RTBURBONSA-N 0 0 447.584 -0.328 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000507734927 1072257063 /nfs/dbraw/zinc/25/70/63/1072257063.db2.gz YVGZBXUGHVVVCL-HNNXBMFYSA-N 0 0 431.541 -0.501 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000507734931 1072257068 /nfs/dbraw/zinc/25/70/68/1072257068.db2.gz YVGZBXUGHVVVCL-OAHLLOKOSA-N 0 0 431.541 -0.501 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(CCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000507737904 1072266051 /nfs/dbraw/zinc/26/60/51/1072266051.db2.gz FDPGRUJOBSYSME-INIZCTEOSA-N 0 0 445.568 -0.111 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(CCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000507737905 1072266074 /nfs/dbraw/zinc/26/60/74/1072266074.db2.gz FDPGRUJOBSYSME-MRXNPFEDSA-N 0 0 445.568 -0.111 20 0 IBADRN NC(=O)NC(=O)CCN1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000507739545 1072266485 /nfs/dbraw/zinc/26/64/85/1072266485.db2.gz DSTKGLJJRQPLMX-UHFFFAOYSA-N 0 0 445.885 -0.438 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)N2CCOCC2)CC1)NC(=O)NCc1ccccc1 ZINC000507740455 1072273909 /nfs/dbraw/zinc/27/39/09/1072273909.db2.gz HOBIRJLBXWKKIB-UHFFFAOYSA-N 0 0 439.538 -0.403 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000507741503 1072274000 /nfs/dbraw/zinc/27/40/00/1072274000.db2.gz FYOPIMICIACFSN-AEFFLSMTSA-N 0 0 444.536 -0.271 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000507741504 1072274434 /nfs/dbraw/zinc/27/44/34/1072274434.db2.gz FYOPIMICIACFSN-FUHWJXTLSA-N 0 0 444.536 -0.271 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000507741506 1072274568 /nfs/dbraw/zinc/27/45/68/1072274568.db2.gz FYOPIMICIACFSN-SJLPKXTDSA-N 0 0 444.536 -0.271 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000507741507 1072274386 /nfs/dbraw/zinc/27/43/86/1072274386.db2.gz FYOPIMICIACFSN-WMZOPIPTSA-N 0 0 444.536 -0.271 20 0 IBADRN CNC(=O)NC(=O)CCN1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000507742485 1072274666 /nfs/dbraw/zinc/27/46/66/1072274666.db2.gz QCMBORGOARFVNE-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@]3(C2)NC(=O)N(C)C3=O)cc1N1CCCC1=O ZINC000507748011 1072286412 /nfs/dbraw/zinc/28/64/12/1072286412.db2.gz AGNOLXFXXUWCTF-FQEVSTJZSA-N 0 0 429.433 -0.087 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@]3(C2)NC(=O)N(C)C3=O)cc1N1CCCC1=O ZINC000507748012 1072286380 /nfs/dbraw/zinc/28/63/80/1072286380.db2.gz AGNOLXFXXUWCTF-HXUWFJFHSA-N 0 0 429.433 -0.087 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000507750980 1072287401 /nfs/dbraw/zinc/28/74/01/1072287401.db2.gz WLIRKBCKNNFWFJ-GOSISDBHSA-N 0 0 433.557 -0.716 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000507750983 1072287432 /nfs/dbraw/zinc/28/74/32/1072287432.db2.gz WLIRKBCKNNFWFJ-SFHVURJKSA-N 0 0 433.557 -0.716 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000507751072 1072287485 /nfs/dbraw/zinc/28/74/85/1072287485.db2.gz BSKNOTQEMWZDLR-PBHICJAKSA-N 0 0 430.461 -0.164 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000507751073 1072287509 /nfs/dbraw/zinc/28/75/09/1072287509.db2.gz BSKNOTQEMWZDLR-RHSMWYFYSA-N 0 0 430.461 -0.164 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000507751074 1072287338 /nfs/dbraw/zinc/28/73/38/1072287338.db2.gz BSKNOTQEMWZDLR-WMLDXEAASA-N 0 0 430.461 -0.164 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000507751075 1072287331 /nfs/dbraw/zinc/28/73/31/1072287331.db2.gz BSKNOTQEMWZDLR-YOEHRIQHSA-N 0 0 430.461 -0.164 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC[C@@]3(C2)NC(=O)N(C)C3=O)cc(OC)cc1OC ZINC000507754191 1072289355 /nfs/dbraw/zinc/28/93/55/1072289355.db2.gz JHXHXWSVLYYAPT-FQEVSTJZSA-N 0 0 448.432 -0.028 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC[C@]3(C2)NC(=O)N(C)C3=O)cc(OC)cc1OC ZINC000507754462 1072289341 /nfs/dbraw/zinc/28/93/41/1072289341.db2.gz JHXHXWSVLYYAPT-HXUWFJFHSA-N 0 0 448.432 -0.028 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@H]2C)cc1 ZINC000507756907 1072291057 /nfs/dbraw/zinc/29/10/57/1072291057.db2.gz UFKZDWXWIKKOBD-PBHICJAKSA-N 0 0 432.477 -0.151 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@H]2C)cc1 ZINC000507756908 1072291004 /nfs/dbraw/zinc/29/10/04/1072291004.db2.gz UFKZDWXWIKKOBD-RHSMWYFYSA-N 0 0 432.477 -0.151 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@@H]2C)cc1 ZINC000507756909 1072291062 /nfs/dbraw/zinc/29/10/62/1072291062.db2.gz UFKZDWXWIKKOBD-WMLDXEAASA-N 0 0 432.477 -0.151 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@@H]2C)cc1 ZINC000507756910 1072290961 /nfs/dbraw/zinc/29/09/61/1072290961.db2.gz UFKZDWXWIKKOBD-YOEHRIQHSA-N 0 0 432.477 -0.151 20 0 IBADRN CN(C)c1ncc(CN(C)C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000507758434 1072290848 /nfs/dbraw/zinc/29/08/48/1072290848.db2.gz WHXIEXOATHKHDN-UHFFFAOYSA-N 0 0 432.506 -0.065 20 0 IBADRN C[C@@H]1CN(C[C@H](O)CN2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)C[C@H](C)O1 ZINC000507759748 1072291589 /nfs/dbraw/zinc/29/15/89/1072291589.db2.gz IHWJSGWPCAOMSO-ACNBBOPNSA-N 0 0 429.481 -0.101 20 0 IBADRN C[C@@H]1CN(C[C@H](O)CN2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)C[C@H](C)O1 ZINC000507759750 1072291446 /nfs/dbraw/zinc/29/14/46/1072291446.db2.gz IHWJSGWPCAOMSO-AMVBQIFNSA-N 0 0 429.481 -0.101 20 0 IBADRN C[C@@H]1CN(C[C@H](O)CN2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)C[C@@H](C)O1 ZINC000507759751 1072291556 /nfs/dbraw/zinc/29/15/56/1072291556.db2.gz IHWJSGWPCAOMSO-ILHOTROSSA-N 0 0 429.481 -0.101 20 0 IBADRN C[C@@H]1CN(C[C@H](O)CN2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)C[C@@H](C)O1 ZINC000507759752 1072292673 /nfs/dbraw/zinc/29/26/73/1072292673.db2.gz IHWJSGWPCAOMSO-MJPMAJQWSA-N 0 0 429.481 -0.101 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000507760353 1074351708 /nfs/dbraw/zinc/35/17/08/1074351708.db2.gz BFGNHISICSMIRR-CVEARBPZSA-N 0 0 434.497 -0.998 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000507760356 1074351656 /nfs/dbraw/zinc/35/16/56/1074351656.db2.gz BFGNHISICSMIRR-HOTGVXAUSA-N 0 0 434.497 -0.998 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000507760357 1074351667 /nfs/dbraw/zinc/35/16/67/1074351667.db2.gz BFGNHISICSMIRR-HZPDHXFCSA-N 0 0 434.497 -0.998 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000507760358 1074351635 /nfs/dbraw/zinc/35/16/35/1074351635.db2.gz BFGNHISICSMIRR-JKSUJKDBSA-N 0 0 434.497 -0.998 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H](C)[S@@]1=O ZINC000507763401 1074351744 /nfs/dbraw/zinc/35/17/44/1074351744.db2.gz NLOLSOMTRYCCHN-LEHFNCSKSA-N 0 0 425.511 -0.562 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H](C)[S@]1=O ZINC000507763402 1074351602 /nfs/dbraw/zinc/35/16/02/1074351602.db2.gz NLOLSOMTRYCCHN-ODNVZBEZSA-N 0 0 425.511 -0.562 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H](C)[S@@]1=O ZINC000507763403 1074351702 /nfs/dbraw/zinc/35/17/02/1074351702.db2.gz NLOLSOMTRYCCHN-RVDBKZMJSA-N 0 0 425.511 -0.562 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H](C)[S@]1=O ZINC000507763404 1074351611 /nfs/dbraw/zinc/35/16/11/1074351611.db2.gz NLOLSOMTRYCCHN-SIJNZQJQSA-N 0 0 425.511 -0.562 20 0 IBADRN CN1C(=O)N[C@@]2(CCN(C(=O)C(=O)Nc3ccc(Cl)c(N4CCNC4=O)c3)C2)C1=O ZINC000507764044 1072293698 /nfs/dbraw/zinc/29/36/98/1072293698.db2.gz RPZZCKXXFXRVMJ-GOSISDBHSA-N 0 0 434.840 -0.039 20 0 IBADRN CN1C(=O)N[C@]2(CCN(C(=O)C(=O)Nc3ccc(Cl)c(N4CCNC4=O)c3)C2)C1=O ZINC000507764045 1072293565 /nfs/dbraw/zinc/29/35/65/1072293565.db2.gz RPZZCKXXFXRVMJ-SFHVURJKSA-N 0 0 434.840 -0.039 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H](C)[S@]1=O ZINC000507764300 1072293807 /nfs/dbraw/zinc/29/38/07/1072293807.db2.gz ULDLUUKXVDRMPI-KXVNXVSASA-N 0 0 425.511 -0.562 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H](C)[S@@]1=O ZINC000507764301 1072293748 /nfs/dbraw/zinc/29/37/48/1072293748.db2.gz ULDLUUKXVDRMPI-IWZUZTOOSA-N 0 0 425.511 -0.562 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H](C)[S@@]1=O ZINC000507764302 1072293737 /nfs/dbraw/zinc/29/37/37/1072293737.db2.gz ULDLUUKXVDRMPI-PABBCQFFSA-N 0 0 425.511 -0.562 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H](C)[S@]1=O ZINC000507764303 1072293612 /nfs/dbraw/zinc/29/36/12/1072293612.db2.gz ULDLUUKXVDRMPI-LPZCETABSA-N 0 0 425.511 -0.562 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@H](N3CCOCC3)C[C@H]1C)CC2 ZINC000507764746 1072293598 /nfs/dbraw/zinc/29/35/98/1072293598.db2.gz YCQZRGXZPALJPZ-GDBMZVCRSA-N 0 0 436.534 -0.195 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@H](N3CCOCC3)C[C@@H]1C)CC2 ZINC000507764747 1072293641 /nfs/dbraw/zinc/29/36/41/1072293641.db2.gz YCQZRGXZPALJPZ-GOEBONIOSA-N 0 0 436.534 -0.195 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H](N3CCOCC3)C[C@@H]1C)CC2 ZINC000507764748 1072293714 /nfs/dbraw/zinc/29/37/14/1072293714.db2.gz YCQZRGXZPALJPZ-HOCLYGCPSA-N 0 0 436.534 -0.195 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H](N3CCOCC3)C[C@H]1C)CC2 ZINC000507764749 1072293687 /nfs/dbraw/zinc/29/36/87/1072293687.db2.gz YCQZRGXZPALJPZ-ZBFHGGJFSA-N 0 0 436.534 -0.195 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000507765097 1072293626 /nfs/dbraw/zinc/29/36/26/1072293626.db2.gz AEVGJWHXGWJAIE-UHFFFAOYSA-N 0 0 440.526 -0.355 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CC[C@@]2(C1)NC(=O)N(C)C2=O ZINC000507772853 1072297524 /nfs/dbraw/zinc/29/75/24/1072297524.db2.gz IBDRNBCAIBVETM-FQEVSTJZSA-N 0 0 429.433 -0.687 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CC[C@]2(C1)NC(=O)N(C)C2=O ZINC000507772856 1072297307 /nfs/dbraw/zinc/29/73/07/1072297307.db2.gz IBDRNBCAIBVETM-HXUWFJFHSA-N 0 0 429.433 -0.687 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@H]2C)cc1 ZINC000507774417 1072297477 /nfs/dbraw/zinc/29/74/77/1072297477.db2.gz KDVGIOGIQDXRBW-CRAIPNDOSA-N 0 0 446.504 -0.222 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@@H]2C)cc1 ZINC000507774419 1072297329 /nfs/dbraw/zinc/29/73/29/1072297329.db2.gz KDVGIOGIQDXRBW-MAUKXSAKSA-N 0 0 446.504 -0.222 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@H]2C)cc1 ZINC000507774421 1072297401 /nfs/dbraw/zinc/29/74/01/1072297401.db2.gz KDVGIOGIQDXRBW-QAPCUYQASA-N 0 0 446.504 -0.222 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@@H]2C)cc1 ZINC000507774422 1072297319 /nfs/dbraw/zinc/29/73/19/1072297319.db2.gz KDVGIOGIQDXRBW-YJBOKZPZSA-N 0 0 446.504 -0.222 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000507779616 1072299604 /nfs/dbraw/zinc/29/96/04/1072299604.db2.gz USFSTHGTDRBBCM-PBHICJAKSA-N 0 0 429.477 -0.002 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000507779624 1072299472 /nfs/dbraw/zinc/29/94/72/1072299472.db2.gz USFSTHGTDRBBCM-RHSMWYFYSA-N 0 0 429.477 -0.002 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000507779626 1072300002 /nfs/dbraw/zinc/30/00/02/1072300002.db2.gz USFSTHGTDRBBCM-WMLDXEAASA-N 0 0 429.477 -0.002 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000507779628 1072300115 /nfs/dbraw/zinc/30/01/15/1072300115.db2.gz USFSTHGTDRBBCM-YOEHRIQHSA-N 0 0 429.477 -0.002 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@H]2C)c1 ZINC000507781299 1072302265 /nfs/dbraw/zinc/30/22/65/1072302265.db2.gz YMTVUFMDIKGWHU-PBHICJAKSA-N 0 0 431.493 -0.578 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@H]2C)c1 ZINC000507781303 1072302382 /nfs/dbraw/zinc/30/23/82/1072302382.db2.gz YMTVUFMDIKGWHU-RHSMWYFYSA-N 0 0 431.493 -0.578 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@@H]2C)c1 ZINC000507781304 1072302226 /nfs/dbraw/zinc/30/22/26/1072302226.db2.gz YMTVUFMDIKGWHU-WMLDXEAASA-N 0 0 431.493 -0.578 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@@H]2C)c1 ZINC000507781305 1072302370 /nfs/dbraw/zinc/30/23/70/1072302370.db2.gz YMTVUFMDIKGWHU-YOEHRIQHSA-N 0 0 431.493 -0.578 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000507785141 1072305531 /nfs/dbraw/zinc/30/55/31/1072305531.db2.gz VGCKZLWDGIVRJJ-PBHICJAKSA-N 0 0 431.493 -0.496 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000507785142 1072305549 /nfs/dbraw/zinc/30/55/49/1072305549.db2.gz VGCKZLWDGIVRJJ-RHSMWYFYSA-N 0 0 431.493 -0.496 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000507785143 1072305569 /nfs/dbraw/zinc/30/55/69/1072305569.db2.gz VGCKZLWDGIVRJJ-WMLDXEAASA-N 0 0 431.493 -0.496 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000507785144 1072305598 /nfs/dbraw/zinc/30/55/98/1072305598.db2.gz VGCKZLWDGIVRJJ-YOEHRIQHSA-N 0 0 431.493 -0.496 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000507785747 1072305140 /nfs/dbraw/zinc/30/51/40/1072305140.db2.gz WUSNLGVEZFPSLD-DOTOQJQBSA-N 0 0 434.497 -0.998 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000507785748 1072304922 /nfs/dbraw/zinc/30/49/22/1072304922.db2.gz WUSNLGVEZFPSLD-NVXWUHKLSA-N 0 0 434.497 -0.998 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000507785749 1072305086 /nfs/dbraw/zinc/30/50/86/1072305086.db2.gz WUSNLGVEZFPSLD-RDJZCZTQSA-N 0 0 434.497 -0.998 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000507785750 1072304942 /nfs/dbraw/zinc/30/49/42/1072304942.db2.gz WUSNLGVEZFPSLD-WBVHZDCISA-N 0 0 434.497 -0.998 20 0 IBADRN COc1ccnc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CC2)n1 ZINC000507788237 1072306860 /nfs/dbraw/zinc/30/68/60/1072306860.db2.gz ZHZWZCZYPZRTTI-UHFFFAOYSA-N 0 0 431.478 -0.011 20 0 IBADRN CNC(=O)NC(=O)CCN1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000507788959 1072308967 /nfs/dbraw/zinc/30/89/67/1072308967.db2.gz ALJLTVQIOAJIBR-UHFFFAOYSA-N 0 0 430.483 -0.165 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(S(=O)(=O)NCC3CC3)cc1)c(=O)n2C ZINC000507794107 1072314116 /nfs/dbraw/zinc/31/41/16/1072314116.db2.gz LDDNMUGIYOOEEU-UHFFFAOYSA-N 0 0 446.489 -0.239 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(S(=O)(=O)NCC4CC4)cc3)c2c(=O)n(C)c1=O ZINC000507794684 1072313564 /nfs/dbraw/zinc/31/35/64/1072313564.db2.gz MCXYBWXRGFQHCL-UHFFFAOYSA-N 0 0 446.489 -0.239 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000507795624 1072314669 /nfs/dbraw/zinc/31/46/69/1072314669.db2.gz LMBJKHSYLKECFO-DLBZAZTESA-N 0 0 447.540 -0.059 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000507795632 1073306091 /nfs/dbraw/zinc/30/60/91/1073306091.db2.gz LMBJKHSYLKECFO-IAGOWNOFSA-N 0 0 447.540 -0.059 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000507795634 1072314788 /nfs/dbraw/zinc/31/47/88/1072314788.db2.gz LMBJKHSYLKECFO-IRXDYDNUSA-N 0 0 447.540 -0.059 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000507795636 1072313934 /nfs/dbraw/zinc/31/39/34/1072313934.db2.gz LMBJKHSYLKECFO-SJORKVTESA-N 0 0 447.540 -0.059 20 0 IBADRN Cn1c([C@@H]2Cc3ccccc3O2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000507797020 1072315829 /nfs/dbraw/zinc/31/58/29/1072315829.db2.gz XQJIHXQQNIJJNR-KRWDZBQOSA-N 0 0 426.477 -0.001 20 0 IBADRN Cn1c([C@H]2Cc3ccccc3O2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000507797021 1072315972 /nfs/dbraw/zinc/31/59/72/1072315972.db2.gz XQJIHXQQNIJJNR-QGZVFWFLSA-N 0 0 426.477 -0.001 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCNC(=O)[C@@H]2c2ccccc2Br)c1 ZINC000507804229 1072318432 /nfs/dbraw/zinc/31/84/32/1072318432.db2.gz NKXLGLWIXHCCBF-AWEZNQCLSA-N 0 0 442.295 -0.007 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCNC(=O)[C@H]2c2ccccc2Br)c1 ZINC000507804230 1072318497 /nfs/dbraw/zinc/31/84/97/1072318497.db2.gz NKXLGLWIXHCCBF-CQSZACIVSA-N 0 0 442.295 -0.007 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@@H]2C)ccc1F ZINC000507805728 1072319067 /nfs/dbraw/zinc/31/90/67/1072319067.db2.gz QYWUUNVWZQODGL-GXTWGEPZSA-N 0 0 428.486 -0.006 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@@H]2C)ccc1F ZINC000507805731 1072319089 /nfs/dbraw/zinc/31/90/89/1072319089.db2.gz QYWUUNVWZQODGL-JSGCOSHPSA-N 0 0 428.486 -0.006 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@H]2C)ccc1F ZINC000507805734 1072318996 /nfs/dbraw/zinc/31/89/96/1072318996.db2.gz QYWUUNVWZQODGL-OCCSQVGLSA-N 0 0 428.486 -0.006 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@H]2C)ccc1F ZINC000507805737 1072319077 /nfs/dbraw/zinc/31/90/77/1072319077.db2.gz QYWUUNVWZQODGL-TZMCWYRMSA-N 0 0 428.486 -0.006 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CC[C@@]4(C3)NC(=O)N(C)C4=O)cc21 ZINC000507811031 1072320453 /nfs/dbraw/zinc/32/04/53/1072320453.db2.gz ZOASMDJHHKMPCK-NRFANRHFSA-N 0 0 440.460 -0.179 20 0 IBADRN CN(C)C(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CC[C@]4(C3)NC(=O)N(C)C4=O)cc21 ZINC000507811037 1072320491 /nfs/dbraw/zinc/32/04/91/1072320491.db2.gz ZOASMDJHHKMPCK-OAQYLSRUSA-N 0 0 440.460 -0.179 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCCN2C(=O)c3ccccc3C2=O)n1 ZINC000507815430 1072321633 /nfs/dbraw/zinc/32/16/33/1072321633.db2.gz WFCCQNGRJUFRRU-UHFFFAOYSA-N 0 0 426.433 -0.288 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCCN2C(=O)c3ccccc3C2=O)cc1C(N)=O ZINC000507822226 1072323727 /nfs/dbraw/zinc/32/37/27/1072323727.db2.gz CLOKOXUDSITBMX-UHFFFAOYSA-N 0 0 425.401 -0.070 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCCN2C(=O)c3ccccc3C2=O)cn1)NC1CC1 ZINC000507822596 1072323711 /nfs/dbraw/zinc/32/37/11/1072323711.db2.gz DKIHMMNBNNFANN-UHFFFAOYSA-N 0 0 438.444 -0.097 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)NC[C@](C)(O)CN2CCOCC2)CCC(=O)N1C1CC1 ZINC000507824265 1072323520 /nfs/dbraw/zinc/32/35/20/1072323520.db2.gz JMPQWRBGBKIFIM-BSIFCXSSSA-N 0 0 434.541 -0.003 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)NC[C@@](C)(O)CN2CCOCC2)CCC(=O)N1C1CC1 ZINC000507824273 1072323996 /nfs/dbraw/zinc/32/39/96/1072323996.db2.gz JMPQWRBGBKIFIM-OZOXKJRCSA-N 0 0 434.541 -0.003 20 0 IBADRN COc1ccnc(CS(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1OC ZINC000507825134 1072323937 /nfs/dbraw/zinc/32/39/37/1072323937.db2.gz RWSUTCFILJZEIL-UHFFFAOYSA-N 0 0 437.478 -0.149 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)N[C@@H]2CCC(=O)N(C3CC3)[C@H]2c2ccnn2C)CCO1 ZINC000507828284 1072324614 /nfs/dbraw/zinc/32/46/14/1072324614.db2.gz VBMIHOPXZISTET-JXXFODFXSA-N 0 0 447.540 -0.558 20 0 IBADRN CN(CC(N)=O)C[C@H]1CN(C(=O)N[C@@H]2CCC(=O)N(C3CC3)[C@H]2c2ccnn2C)CCO1 ZINC000507828290 1072324468 /nfs/dbraw/zinc/32/44/68/1072324468.db2.gz VBMIHOPXZISTET-RZQQEMMASA-N 0 0 447.540 -0.558 20 0 IBADRN C[C@H]1COCC[C@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507828341 1072324517 /nfs/dbraw/zinc/32/45/17/1072324517.db2.gz IQRXRGAPPAJCCG-KBPBESRZSA-N 0 0 438.506 -0.466 20 0 IBADRN C[C@@H]1COCC[C@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507828346 1072324419 /nfs/dbraw/zinc/32/44/19/1072324419.db2.gz IQRXRGAPPAJCCG-KGLIPLIRSA-N 0 0 438.506 -0.466 20 0 IBADRN C[C@H]1COCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507828347 1072324534 /nfs/dbraw/zinc/32/45/34/1072324534.db2.gz IQRXRGAPPAJCCG-UONOGXRCSA-N 0 0 438.506 -0.466 20 0 IBADRN C[C@@H]1COCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507828348 1072324662 /nfs/dbraw/zinc/32/46/62/1072324662.db2.gz IQRXRGAPPAJCCG-ZIAGYGMSSA-N 0 0 438.506 -0.466 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCCCN2C(=O)c3ccccc3C2=O)cn1 ZINC000507829012 1072324673 /nfs/dbraw/zinc/32/46/73/1072324673.db2.gz WRZNLAJEJPWJLY-UHFFFAOYSA-N 0 0 426.433 -0.288 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCCCN2C(=O)c3ccccc3C2=O)cc1C ZINC000507835478 1073315009 /nfs/dbraw/zinc/31/50/09/1073315009.db2.gz AZEFDPWXQOOSCL-UHFFFAOYSA-N 0 0 426.433 -0.321 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(=O)(=O)N3CCCCCC3)CC2)n1C ZINC000507840096 1072325060 /nfs/dbraw/zinc/32/50/60/1072325060.db2.gz DTBWWHJFXJNQPI-AWEZNQCLSA-N 0 0 449.603 -0.332 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(=O)(=O)N3CCCCCC3)CC2)n1C ZINC000507840098 1072324997 /nfs/dbraw/zinc/32/49/97/1072324997.db2.gz DTBWWHJFXJNQPI-CQSZACIVSA-N 0 0 449.603 -0.332 20 0 IBADRN Cn1c(C2CCN(c3ncccn3)CC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000507840612 1072325099 /nfs/dbraw/zinc/32/50/99/1072325099.db2.gz HRMVJJBCQBDNTL-UHFFFAOYSA-N 0 0 438.500 -0.676 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(COCc3ccccc3)n1C)C2 ZINC000507841229 1072325080 /nfs/dbraw/zinc/32/50/80/1072325080.db2.gz MDTOKUMIFWEZKE-UHFFFAOYSA-N 0 0 426.481 -0.002 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)NC3CCCCC3)CC2)n1C ZINC000507844190 1072324964 /nfs/dbraw/zinc/32/49/64/1072324964.db2.gz JZTZLAZJOJBYOU-AWEZNQCLSA-N 0 0 427.575 -0.004 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)NC3CCCCC3)CC2)n1C ZINC000507844191 1072325195 /nfs/dbraw/zinc/32/51/95/1072325195.db2.gz JZTZLAZJOJBYOU-CQSZACIVSA-N 0 0 427.575 -0.004 20 0 IBADRN COC(=O)C1(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)CC1 ZINC000507854948 1072327895 /nfs/dbraw/zinc/32/78/95/1072327895.db2.gz IXURHNCFRBFTMI-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN COC(=O)C1(NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC000507856708 1072327754 /nfs/dbraw/zinc/32/77/54/1072327754.db2.gz XQWNTRYCTSJSAL-UHFFFAOYSA-N 0 0 441.462 -0.524 20 0 IBADRN COC(=O)C1(NC(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@@H]3CCOC3)c2)CC1 ZINC000507862624 1072328164 /nfs/dbraw/zinc/32/81/64/1072328164.db2.gz VLHGFONXGPQNQH-GFCCVEGCSA-N 0 0 441.462 -0.477 20 0 IBADRN COC(=O)C1(NC(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@H]3CCOC3)c2)CC1 ZINC000507862630 1072328272 /nfs/dbraw/zinc/32/82/72/1072328272.db2.gz VLHGFONXGPQNQH-LBPRGKRZSA-N 0 0 441.462 -0.477 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC2(C(=O)OC)CC2)ccc1OC ZINC000507864928 1072325966 /nfs/dbraw/zinc/32/59/66/1072325966.db2.gz RNMONBZAVSGYDH-UHFFFAOYSA-N 0 0 429.451 -0.620 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc([C@@H]3COc4ccccc4O3)n1C)C2 ZINC000507868450 1072329096 /nfs/dbraw/zinc/32/90/96/1072329096.db2.gz XYCYFUOBQNKFIB-HNNXBMFYSA-N 0 0 440.464 -0.206 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc([C@H]3COc4ccccc4O3)n1C)C2 ZINC000507868452 1072328678 /nfs/dbraw/zinc/32/86/78/1072328678.db2.gz XYCYFUOBQNKFIB-OAHLLOKOSA-N 0 0 440.464 -0.206 20 0 IBADRN COC(=O)C1(NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000507868889 1072328767 /nfs/dbraw/zinc/32/87/67/1072328767.db2.gz PBSRDRVBSXXOAP-UHFFFAOYSA-N 0 0 429.426 -0.393 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)N[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1ccnn1C ZINC000507869187 1072328757 /nfs/dbraw/zinc/32/87/57/1072328757.db2.gz UWUGHIGIFWRXKS-MBOZVWFJSA-N 0 0 434.541 -0.051 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)N[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1ccnn1C ZINC000507869188 1072328733 /nfs/dbraw/zinc/32/87/33/1072328733.db2.gz UWUGHIGIFWRXKS-SQGPQFPESA-N 0 0 434.541 -0.051 20 0 IBADRN COC(=O)C1(NC(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000507871361 1072526303 /nfs/dbraw/zinc/52/63/03/1072526303.db2.gz FEENDXHXMZTUBS-UHFFFAOYSA-N 0 0 441.462 -0.524 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCNC(=O)c1ccn[nH]1 ZINC000507872679 1072328751 /nfs/dbraw/zinc/32/87/51/1072328751.db2.gz JOSVACQMSHBDGY-UHFFFAOYSA-N 0 0 437.478 -0.214 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCNC(=O)c3ccn[nH]3)c2)CC1 ZINC000507872965 1072329324 /nfs/dbraw/zinc/32/93/24/1072329324.db2.gz FWHSHGILXWPUKJ-UHFFFAOYSA-N 0 0 448.505 -0.578 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCNC(=O)c2ccn[nH]2)cc1OC ZINC000507873277 1072329466 /nfs/dbraw/zinc/32/94/66/1072329466.db2.gz GHDMMTJIECMXNU-UHFFFAOYSA-N 0 0 425.467 -0.359 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)c(C(=O)OC)s1 ZINC000507878562 1072329981 /nfs/dbraw/zinc/32/99/81/1072329981.db2.gz YMRLGBRZDCBHPK-MRVPVSSYSA-N 0 0 427.478 -0.587 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)c(C(=O)OC)s1 ZINC000507878571 1072330004 /nfs/dbraw/zinc/33/00/04/1072330004.db2.gz YMRLGBRZDCBHPK-QMMMGPOBSA-N 0 0 427.478 -0.587 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1=O ZINC000507880031 1072330421 /nfs/dbraw/zinc/33/04/21/1072330421.db2.gz VADVRIVMOYGJRL-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN COc1ccc(-c2nnc(N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)n2C)cc1OC ZINC000507880372 1072330301 /nfs/dbraw/zinc/33/03/01/1072330301.db2.gz NWKAKKBTJZIWHI-UHFFFAOYSA-N 0 0 442.480 -0.034 20 0 IBADRN Cn1c([C@@H]2COc3ccccc3O2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000507880579 1072330395 /nfs/dbraw/zinc/33/03/95/1072330395.db2.gz PWXLRSXYVDOFMN-KRWDZBQOSA-N 0 0 442.476 -0.165 20 0 IBADRN Cn1c([C@H]2COc3ccccc3O2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000507880582 1072330467 /nfs/dbraw/zinc/33/04/67/1072330467.db2.gz PWXLRSXYVDOFMN-QGZVFWFLSA-N 0 0 442.476 -0.165 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC3(C(=O)OC)CC3)c2)CC1 ZINC000507881317 1072330456 /nfs/dbraw/zinc/33/04/56/1072330456.db2.gz GLYUAYZDBRKESD-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H]1c1ccc(Cl)cc1 ZINC000507886259 1072330546 /nfs/dbraw/zinc/33/05/46/1072330546.db2.gz GSNNOTOAIVTLME-DOMZBBRYSA-N 0 0 426.882 -0.012 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@H]1c1ccc(Cl)cc1 ZINC000507886260 1072330561 /nfs/dbraw/zinc/33/05/61/1072330561.db2.gz GSNNOTOAIVTLME-IUODEOHRSA-N 0 0 426.882 -0.012 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@H]1c1ccc(Cl)cc1 ZINC000507886261 1072330523 /nfs/dbraw/zinc/33/05/23/1072330523.db2.gz GSNNOTOAIVTLME-SWLSCSKDSA-N 0 0 426.882 -0.012 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H]1c1ccc(Cl)cc1 ZINC000507886262 1072330474 /nfs/dbraw/zinc/33/04/74/1072330474.db2.gz GSNNOTOAIVTLME-WFASDCNBSA-N 0 0 426.882 -0.012 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)c1ccn[nH]1 ZINC000507886863 1072330336 /nfs/dbraw/zinc/33/03/36/1072330336.db2.gz DBGNKFHYBMFEAU-INIZCTEOSA-N 0 0 425.449 -0.195 20 0 IBADRN O=C(NCCNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)c1ccn[nH]1 ZINC000507886865 1072330381 /nfs/dbraw/zinc/33/03/81/1072330381.db2.gz DBGNKFHYBMFEAU-MRXNPFEDSA-N 0 0 425.449 -0.195 20 0 IBADRN COc1ccc(S(=O)(=O)NCCc2nnc3n2CCC3)cc1[C@@]1(C)NC(=O)NC1=O ZINC000507888160 1072330969 /nfs/dbraw/zinc/33/09/69/1072330969.db2.gz LPBNEAPSEBUWSS-GOSISDBHSA-N 0 0 434.478 -0.192 20 0 IBADRN COc1ccc(S(=O)(=O)NCCc2nnc3n2CCC3)cc1[C@]1(C)NC(=O)NC1=O ZINC000507888167 1072331049 /nfs/dbraw/zinc/33/10/49/1072331049.db2.gz LPBNEAPSEBUWSS-SFHVURJKSA-N 0 0 434.478 -0.192 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000507889831 1072330873 /nfs/dbraw/zinc/33/08/73/1072330873.db2.gz LNJMTORWIRWAFB-UHFFFAOYSA-N 0 0 425.449 -0.806 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCNC(=O)c3ccn[nH]3)CC2)cc1 ZINC000507890314 1072331460 /nfs/dbraw/zinc/33/14/60/1072331460.db2.gz VMBCHXATKFZJPA-UHFFFAOYSA-N 0 0 434.522 -0.429 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(Cc4cn(C)c(=O)n(C)c4=O)CC3)C2=O)n(C)n1 ZINC000507890412 1072331436 /nfs/dbraw/zinc/33/14/36/1072331436.db2.gz WCIGMZAMEAKTPL-KRWDZBQOSA-N 0 0 429.525 -0.561 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(Cc4cn(C)c(=O)n(C)c4=O)CC3)C2=O)n(C)n1 ZINC000507890414 1072331529 /nfs/dbraw/zinc/33/15/29/1072331529.db2.gz WCIGMZAMEAKTPL-QGZVFWFLSA-N 0 0 429.525 -0.561 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000507890667 1072331587 /nfs/dbraw/zinc/33/15/87/1072331587.db2.gz NFONTKZDBHOEHL-UHFFFAOYSA-N 0 0 437.478 -0.401 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)N1CCNC(=O)C1 ZINC000507891298 1072331602 /nfs/dbraw/zinc/33/16/02/1072331602.db2.gz IGMWBUDJNCFVJA-UHFFFAOYSA-N 0 0 438.506 -0.884 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)[C@H](C)c2cccc(S(N)(=O)=O)c2)c(=O)[nH]c1=O ZINC000507893350 1072332074 /nfs/dbraw/zinc/33/20/74/1072332074.db2.gz MHTRLXDFLXYNDR-LLVKDONJSA-N 0 0 439.494 -0.299 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)[C@@H](C)c2cccc(S(N)(=O)=O)c2)c(=O)[nH]c1=O ZINC000507893358 1072332131 /nfs/dbraw/zinc/33/21/31/1072332131.db2.gz MHTRLXDFLXYNDR-NSHDSACASA-N 0 0 439.494 -0.299 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000507899383 1072332500 /nfs/dbraw/zinc/33/25/00/1072332500.db2.gz VMGLOINIGMQCFK-DLBZAZTESA-N 0 0 443.547 -0.546 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000507899384 1072332473 /nfs/dbraw/zinc/33/24/73/1072332473.db2.gz VMGLOINIGMQCFK-IAGOWNOFSA-N 0 0 443.547 -0.546 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000507899385 1072332459 /nfs/dbraw/zinc/33/24/59/1072332459.db2.gz VMGLOINIGMQCFK-IRXDYDNUSA-N 0 0 443.547 -0.546 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000507899386 1072332481 /nfs/dbraw/zinc/33/24/81/1072332481.db2.gz VMGLOINIGMQCFK-SJORKVTESA-N 0 0 443.547 -0.546 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507900208 1072332547 /nfs/dbraw/zinc/33/25/47/1072332547.db2.gz FQBQOYPYLIXCSJ-CVEARBPZSA-N 0 0 436.490 -0.894 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507900209 1072332421 /nfs/dbraw/zinc/33/24/21/1072332421.db2.gz FQBQOYPYLIXCSJ-HOTGVXAUSA-N 0 0 436.490 -0.894 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507900210 1072332557 /nfs/dbraw/zinc/33/25/57/1072332557.db2.gz FQBQOYPYLIXCSJ-HZPDHXFCSA-N 0 0 436.490 -0.894 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507900211 1072332489 /nfs/dbraw/zinc/33/24/89/1072332489.db2.gz FQBQOYPYLIXCSJ-JKSUJKDBSA-N 0 0 436.490 -0.894 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000507900404 1072528134 /nfs/dbraw/zinc/52/81/34/1072528134.db2.gz ACBIAXINCNPAIQ-BMFZPTHFSA-N 0 0 425.507 -0.440 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000507900407 1072332602 /nfs/dbraw/zinc/33/26/02/1072332602.db2.gz ACBIAXINCNPAIQ-FVQBIDKESA-N 0 0 425.507 -0.440 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000507900409 1072332442 /nfs/dbraw/zinc/33/24/42/1072332442.db2.gz ACBIAXINCNPAIQ-KBMXLJTQSA-N 0 0 425.507 -0.440 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000507900411 1072332453 /nfs/dbraw/zinc/33/24/53/1072332453.db2.gz ACBIAXINCNPAIQ-VNQPRFMTSA-N 0 0 425.507 -0.440 20 0 IBADRN O=S(=O)(c1ccc(CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)o1)N1CCCC1 ZINC000507900425 1072528195 /nfs/dbraw/zinc/52/81/95/1072528195.db2.gz ADSDUAYLOKLSNP-CVEARBPZSA-N 0 0 433.552 -0.660 20 0 IBADRN O=S(=O)(c1ccc(CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)o1)N1CCCC1 ZINC000507900426 1072332404 /nfs/dbraw/zinc/33/24/04/1072332404.db2.gz ADSDUAYLOKLSNP-HOTGVXAUSA-N 0 0 433.552 -0.660 20 0 IBADRN O=S(=O)(c1ccc(CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)o1)N1CCCC1 ZINC000507900427 1072332435 /nfs/dbraw/zinc/33/24/35/1072332435.db2.gz ADSDUAYLOKLSNP-HZPDHXFCSA-N 0 0 433.552 -0.660 20 0 IBADRN O=S(=O)(c1ccc(CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)o1)N1CCCC1 ZINC000507900428 1072332418 /nfs/dbraw/zinc/33/24/18/1072332418.db2.gz ADSDUAYLOKLSNP-JKSUJKDBSA-N 0 0 433.552 -0.660 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507902081 1072333899 /nfs/dbraw/zinc/33/38/99/1072333899.db2.gz NBPDAMOGNIPTPY-CABCVRRESA-N 0 0 441.506 -0.531 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507902084 1072333786 /nfs/dbraw/zinc/33/37/86/1072333786.db2.gz NBPDAMOGNIPTPY-GJZGRUSLSA-N 0 0 441.506 -0.531 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507902085 1072333938 /nfs/dbraw/zinc/33/39/38/1072333938.db2.gz NBPDAMOGNIPTPY-HUUCEWRRSA-N 0 0 441.506 -0.531 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507902086 1072333912 /nfs/dbraw/zinc/33/39/12/1072333912.db2.gz NBPDAMOGNIPTPY-LSDHHAIUSA-N 0 0 441.506 -0.531 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507902415 1072333891 /nfs/dbraw/zinc/33/38/91/1072333891.db2.gz OTURBZIXYAGBPO-DZGCQCFKSA-N 0 0 425.532 -0.261 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507902418 1072333930 /nfs/dbraw/zinc/33/39/30/1072333930.db2.gz OTURBZIXYAGBPO-HIFRSBDPSA-N 0 0 425.532 -0.261 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507902420 1072333917 /nfs/dbraw/zinc/33/39/17/1072333917.db2.gz OTURBZIXYAGBPO-UKRRQHHQSA-N 0 0 425.532 -0.261 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507902422 1072333869 /nfs/dbraw/zinc/33/38/69/1072333869.db2.gz OTURBZIXYAGBPO-ZFWWWQNUSA-N 0 0 425.532 -0.261 20 0 IBADRN CCOC(=O)CN(C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccccc1 ZINC000507902522 1072333811 /nfs/dbraw/zinc/33/38/11/1072333811.db2.gz KFIPQSIDRLYNBC-MSOLQXFVSA-N 0 0 439.534 -0.642 20 0 IBADRN CCOC(=O)CN(C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccccc1 ZINC000507902523 1072333880 /nfs/dbraw/zinc/33/38/80/1072333880.db2.gz KFIPQSIDRLYNBC-QZTJIDSGSA-N 0 0 439.534 -0.642 20 0 IBADRN CCOC(=O)CN(C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccccc1 ZINC000507902524 1072333858 /nfs/dbraw/zinc/33/38/58/1072333858.db2.gz KFIPQSIDRLYNBC-ROUUACIJSA-N 0 0 439.534 -0.642 20 0 IBADRN CCOC(=O)CN(C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccccc1 ZINC000507902525 1072333730 /nfs/dbraw/zinc/33/37/30/1072333730.db2.gz KFIPQSIDRLYNBC-ZWKOTPCHSA-N 0 0 439.534 -0.642 20 0 IBADRN O=C(Cn1cnc2c3ccccc3oc2c1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507902677 1072333350 /nfs/dbraw/zinc/33/33/50/1072333350.db2.gz PBJOAMUTIINDQQ-CABCVRRESA-N 0 0 446.485 -0.243 20 0 IBADRN O=C(Cn1cnc2c3ccccc3oc2c1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507902678 1072333403 /nfs/dbraw/zinc/33/34/03/1072333403.db2.gz PBJOAMUTIINDQQ-GJZGRUSLSA-N 0 0 446.485 -0.243 20 0 IBADRN O=C(Cn1cnc2c3ccccc3oc2c1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507902680 1072333392 /nfs/dbraw/zinc/33/33/92/1072333392.db2.gz PBJOAMUTIINDQQ-HUUCEWRRSA-N 0 0 446.485 -0.243 20 0 IBADRN O=C(Cn1cnc2c3ccccc3oc2c1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507902681 1072333414 /nfs/dbraw/zinc/33/34/14/1072333414.db2.gz PBJOAMUTIINDQQ-LSDHHAIUSA-N 0 0 446.485 -0.243 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnc3c(F)cc(F)cc3c1O)C2 ZINC000507903169 1072333747 /nfs/dbraw/zinc/33/37/47/1072333747.db2.gz RHXLUUAILRRUGO-UHFFFAOYSA-N 0 0 432.387 -0.091 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc21 ZINC000507903900 1072333773 /nfs/dbraw/zinc/33/37/73/1072333773.db2.gz UCPGTSQMRWRXMH-DOTOQJQBSA-N 0 0 443.547 -0.764 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc21 ZINC000507903909 1072333829 /nfs/dbraw/zinc/33/38/29/1072333829.db2.gz UCPGTSQMRWRXMH-NVXWUHKLSA-N 0 0 443.547 -0.764 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc21 ZINC000507903911 1072333944 /nfs/dbraw/zinc/33/39/44/1072333944.db2.gz UCPGTSQMRWRXMH-RDJZCZTQSA-N 0 0 443.547 -0.764 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc21 ZINC000507903913 1072333906 /nfs/dbraw/zinc/33/39/06/1072333906.db2.gz UCPGTSQMRWRXMH-WBVHZDCISA-N 0 0 443.547 -0.764 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000507909734 1072334197 /nfs/dbraw/zinc/33/41/97/1072334197.db2.gz SZRCVZLNDRQQAC-CVEARBPZSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000507909735 1072334247 /nfs/dbraw/zinc/33/42/47/1072334247.db2.gz SZRCVZLNDRQQAC-HOTGVXAUSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000507909736 1072334154 /nfs/dbraw/zinc/33/41/54/1072334154.db2.gz SZRCVZLNDRQQAC-HZPDHXFCSA-N 0 0 431.536 -0.836 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000507909737 1072334297 /nfs/dbraw/zinc/33/42/97/1072334297.db2.gz SZRCVZLNDRQQAC-JKSUJKDBSA-N 0 0 431.536 -0.836 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1=O ZINC000507910432 1072332972 /nfs/dbraw/zinc/33/29/72/1072332972.db2.gz WXJGGPZPTHMRTO-GOSISDBHSA-N 0 0 440.478 -0.771 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1=O ZINC000507910433 1072333010 /nfs/dbraw/zinc/33/30/10/1072333010.db2.gz WXJGGPZPTHMRTO-SFHVURJKSA-N 0 0 440.478 -0.771 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC(=O)N[C@H]2c2ccnn2C)CC1 ZINC000507912654 1072332998 /nfs/dbraw/zinc/33/29/98/1072332998.db2.gz PZUBBFIJMDYSQM-FRQCXROJSA-N 0 0 447.540 -0.686 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC(=O)N[C@H]2c2ccnn2C)CC1 ZINC000507912656 1072332886 /nfs/dbraw/zinc/33/28/86/1072332886.db2.gz PZUBBFIJMDYSQM-GPMSIDNRSA-N 0 0 447.540 -0.686 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2)c1 ZINC000507912907 1072332904 /nfs/dbraw/zinc/33/29/04/1072332904.db2.gz JBSORRSKQSINFN-PMACEKPBSA-N 0 0 436.578 -0.581 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2)c1 ZINC000507912910 1072332950 /nfs/dbraw/zinc/33/29/50/1072332950.db2.gz JBSORRSKQSINFN-UXHICEINSA-N 0 0 436.578 -0.581 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2)c1 ZINC000507912911 1072332992 /nfs/dbraw/zinc/33/29/92/1072332992.db2.gz JBSORRSKQSINFN-VQTJNVASSA-N 0 0 436.578 -0.581 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2)c1 ZINC000507912912 1072332897 /nfs/dbraw/zinc/33/28/97/1072332897.db2.gz JBSORRSKQSINFN-WOJBJXKFSA-N 0 0 436.578 -0.581 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1=O ZINC000507917657 1072332935 /nfs/dbraw/zinc/33/29/35/1072332935.db2.gz BTFRWOCVJLDPKY-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(CCOC)C(=O)C2)c(OC)c1 ZINC000507919020 1072333450 /nfs/dbraw/zinc/33/34/50/1072333450.db2.gz HVIPETDCCRKJBF-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)no1 ZINC000507919906 1073336462 /nfs/dbraw/zinc/33/64/62/1073336462.db2.gz AWRYFMAMXUFPGZ-BFHYXJOUSA-N 0 0 446.551 -0.343 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)no1 ZINC000507919910 1073337060 /nfs/dbraw/zinc/33/70/60/1073337060.db2.gz AWRYFMAMXUFPGZ-IHRRRGAJSA-N 0 0 446.551 -0.343 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)no1 ZINC000507919911 1073337075 /nfs/dbraw/zinc/33/70/75/1073337075.db2.gz AWRYFMAMXUFPGZ-MELADBBJSA-N 0 0 446.551 -0.343 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)no1 ZINC000507919913 1072333465 /nfs/dbraw/zinc/33/34/65/1072333465.db2.gz AWRYFMAMXUFPGZ-MJBXVCDLSA-N 0 0 446.551 -0.343 20 0 IBADRN O=S(=O)(NC[C@@H]1CS(=O)(=O)CCO1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000507920745 1072333407 /nfs/dbraw/zinc/33/34/07/1072333407.db2.gz OJYSAPJRZKLZRO-CYBMUJFWSA-N 0 0 438.549 -0.437 20 0 IBADRN O=S(=O)(NC[C@H]1CS(=O)(=O)CCO1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000507920750 1072333471 /nfs/dbraw/zinc/33/34/71/1072333471.db2.gz OJYSAPJRZKLZRO-ZDUSSCGKSA-N 0 0 438.549 -0.437 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000507921742 1072333398 /nfs/dbraw/zinc/33/33/98/1072333398.db2.gz FPMQWRCFZYMACB-FRFSOERESA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000507921754 1072333330 /nfs/dbraw/zinc/33/33/30/1072333330.db2.gz FPMQWRCFZYMACB-LXZKKBNFSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000507921755 1072333379 /nfs/dbraw/zinc/33/33/79/1072333379.db2.gz FPMQWRCFZYMACB-UNEWFSDZSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000507921756 1072333438 /nfs/dbraw/zinc/33/34/38/1072333438.db2.gz FPMQWRCFZYMACB-YSVLISHTSA-N 0 0 425.482 -0.207 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCC(=O)N1C ZINC000507921925 1072335289 /nfs/dbraw/zinc/33/52/89/1072335289.db2.gz UGGUCJXISNSPIL-CZUORRHYSA-N 0 0 440.464 -0.151 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C2=O ZINC000507924871 1072335231 /nfs/dbraw/zinc/33/52/31/1072335231.db2.gz NTKNKBMIJAQBKM-LPRCSBTHSA-N 0 0 442.538 -0.899 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C2=O ZINC000507924876 1072335351 /nfs/dbraw/zinc/33/53/51/1072335351.db2.gz NTKNKBMIJAQBKM-QXGUJMOYSA-N 0 0 442.538 -0.899 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C2=O ZINC000507924877 1072335223 /nfs/dbraw/zinc/33/52/23/1072335223.db2.gz NTKNKBMIJAQBKM-UARSRXHTSA-N 0 0 442.538 -0.899 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C2=O ZINC000507924879 1072335384 /nfs/dbraw/zinc/33/53/84/1072335384.db2.gz NTKNKBMIJAQBKM-YYHKSVKZSA-N 0 0 442.538 -0.899 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2c1=O ZINC000507925176 1072335668 /nfs/dbraw/zinc/33/56/68/1072335668.db2.gz PFAPHMJBHJHPKT-CVEARBPZSA-N 0 0 436.490 -0.650 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2c1=O ZINC000507925177 1072335804 /nfs/dbraw/zinc/33/58/04/1072335804.db2.gz PFAPHMJBHJHPKT-HOTGVXAUSA-N 0 0 436.490 -0.650 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2c1=O ZINC000507925178 1072335794 /nfs/dbraw/zinc/33/57/94/1072335794.db2.gz PFAPHMJBHJHPKT-HZPDHXFCSA-N 0 0 436.490 -0.650 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2c1=O ZINC000507925179 1072335780 /nfs/dbraw/zinc/33/57/80/1072335780.db2.gz PFAPHMJBHJHPKT-JKSUJKDBSA-N 0 0 436.490 -0.650 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507925794 1072335206 /nfs/dbraw/zinc/33/52/06/1072335206.db2.gz BJZHIWOAYRNANK-BBWFWOEESA-N 0 0 428.555 -0.472 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507925798 1072335374 /nfs/dbraw/zinc/33/53/74/1072335374.db2.gz BJZHIWOAYRNANK-BRWVUGGUSA-N 0 0 428.555 -0.472 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507925800 1072335239 /nfs/dbraw/zinc/33/52/39/1072335239.db2.gz BJZHIWOAYRNANK-GVDBMIGSSA-N 0 0 428.555 -0.472 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000507925803 1072335267 /nfs/dbraw/zinc/33/52/67/1072335267.db2.gz BJZHIWOAYRNANK-ZACQAIPSSA-N 0 0 428.555 -0.472 20 0 IBADRN COCCCS(=O)(=O)N1CCN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C)CC1 ZINC000507926806 1072335710 /nfs/dbraw/zinc/33/57/10/1072335710.db2.gz YEVSLCCGRWUUJU-UHFFFAOYSA-N 0 0 447.525 -0.054 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)C2=O)n(C)n1 ZINC000507927549 1072335810 /nfs/dbraw/zinc/33/58/10/1072335810.db2.gz LCNKAQQKOOHVNW-CVEARBPZSA-N 0 0 438.554 -0.262 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)N[C@H]4CCS(=O)(=O)C4)CC3)C2=O)n(C)n1 ZINC000507927550 1072335751 /nfs/dbraw/zinc/33/57/51/1072335751.db2.gz LCNKAQQKOOHVNW-HOTGVXAUSA-N 0 0 438.554 -0.262 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)C2=O)n(C)n1 ZINC000507927551 1072335696 /nfs/dbraw/zinc/33/56/96/1072335696.db2.gz LCNKAQQKOOHVNW-HZPDHXFCSA-N 0 0 438.554 -0.262 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)N[C@H]4CCS(=O)(=O)C4)CC3)C2=O)n(C)n1 ZINC000507927552 1072335653 /nfs/dbraw/zinc/33/56/53/1072335653.db2.gz LCNKAQQKOOHVNW-JKSUJKDBSA-N 0 0 438.554 -0.262 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000507928005 1072335679 /nfs/dbraw/zinc/33/56/79/1072335679.db2.gz NYJMBHGEUDHFJW-DNVCBOLYSA-N 0 0 435.529 -0.828 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCN4CCOCC4)CC3)C2=O)n(C)n1 ZINC000507928594 1072335787 /nfs/dbraw/zinc/33/57/87/1072335787.db2.gz QEXFBOLAZPFMHB-GOSISDBHSA-N 0 0 433.557 -0.117 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCN4CCOCC4)CC3)C2=O)n(C)n1 ZINC000507928599 1072335759 /nfs/dbraw/zinc/33/57/59/1072335759.db2.gz QEXFBOLAZPFMHB-SFHVURJKSA-N 0 0 433.557 -0.117 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)CC2=O)cc1 ZINC000507929342 1072335721 /nfs/dbraw/zinc/33/57/21/1072335721.db2.gz SVFJYOWBIMAABZ-FHLIZLRMSA-N 0 0 437.518 -0.338 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)CC2=O)cc1 ZINC000507929343 1072335736 /nfs/dbraw/zinc/33/57/36/1072335736.db2.gz SVFJYOWBIMAABZ-JLSDUUJJSA-N 0 0 437.518 -0.338 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)CC2=O)cc1 ZINC000507929344 1072335730 /nfs/dbraw/zinc/33/57/30/1072335730.db2.gz SVFJYOWBIMAABZ-OLMNPRSZSA-N 0 0 437.518 -0.338 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)CC2=O)cc1 ZINC000507929345 1072335797 /nfs/dbraw/zinc/33/57/97/1072335797.db2.gz SVFJYOWBIMAABZ-ZTFGCOKTSA-N 0 0 437.518 -0.338 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCc1ccc(OC(F)F)cc1 ZINC000507931180 1072336345 /nfs/dbraw/zinc/33/63/45/1072336345.db2.gz QXXIHNSAGZGXAU-DLBZAZTESA-N 0 0 447.504 -0.278 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCc1ccc(OC(F)F)cc1 ZINC000507931181 1072336334 /nfs/dbraw/zinc/33/63/34/1072336334.db2.gz QXXIHNSAGZGXAU-IAGOWNOFSA-N 0 0 447.504 -0.278 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCc1ccc(OC(F)F)cc1 ZINC000507931182 1072336163 /nfs/dbraw/zinc/33/61/63/1072336163.db2.gz QXXIHNSAGZGXAU-IRXDYDNUSA-N 0 0 447.504 -0.278 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCc1ccc(OC(F)F)cc1 ZINC000507931183 1072336205 /nfs/dbraw/zinc/33/62/05/1072336205.db2.gz QXXIHNSAGZGXAU-SJORKVTESA-N 0 0 447.504 -0.278 20 0 IBADRN COCCN1CCN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CC1=O ZINC000507932466 1072336286 /nfs/dbraw/zinc/33/62/86/1072336286.db2.gz DFNJPHVAPJIKJT-UHFFFAOYSA-N 0 0 437.515 -0.433 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000507932896 1072336266 /nfs/dbraw/zinc/33/62/66/1072336266.db2.gz CVDOUBRCZQCEKH-DLBZAZTESA-N 0 0 445.563 -0.399 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000507932897 1072336275 /nfs/dbraw/zinc/33/62/75/1072336275.db2.gz CVDOUBRCZQCEKH-IAGOWNOFSA-N 0 0 445.563 -0.399 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000507932898 1072336219 /nfs/dbraw/zinc/33/62/19/1072336219.db2.gz CVDOUBRCZQCEKH-IRXDYDNUSA-N 0 0 445.563 -0.399 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000507932900 1072336350 /nfs/dbraw/zinc/33/63/50/1072336350.db2.gz CVDOUBRCZQCEKH-SJORKVTESA-N 0 0 445.563 -0.399 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cnc12 ZINC000507934435 1072334222 /nfs/dbraw/zinc/33/42/22/1072334222.db2.gz AEVJUMRWYIEIEB-DLBZAZTESA-N 0 0 434.518 -0.291 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cnc12 ZINC000507934438 1072334358 /nfs/dbraw/zinc/33/43/58/1072334358.db2.gz AEVJUMRWYIEIEB-IAGOWNOFSA-N 0 0 434.518 -0.291 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cnc12 ZINC000507934442 1072334414 /nfs/dbraw/zinc/33/44/14/1072334414.db2.gz AEVJUMRWYIEIEB-IRXDYDNUSA-N 0 0 434.518 -0.291 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cnc12 ZINC000507934444 1072334380 /nfs/dbraw/zinc/33/43/80/1072334380.db2.gz AEVJUMRWYIEIEB-SJORKVTESA-N 0 0 434.518 -0.291 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)o1 ZINC000507935024 1072334259 /nfs/dbraw/zinc/33/42/59/1072334259.db2.gz IYLAQTLSIDMMAF-CHWSQXEVSA-N 0 0 449.551 -0.416 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)o1 ZINC000507935032 1072334423 /nfs/dbraw/zinc/33/44/23/1072334423.db2.gz IYLAQTLSIDMMAF-OLZOCXBDSA-N 0 0 449.551 -0.416 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)o1 ZINC000507935034 1072334721 /nfs/dbraw/zinc/33/47/21/1072334721.db2.gz IYLAQTLSIDMMAF-QWHCGFSZSA-N 0 0 449.551 -0.416 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)o1 ZINC000507935039 1072334795 /nfs/dbraw/zinc/33/47/95/1072334795.db2.gz IYLAQTLSIDMMAF-STQMWFEESA-N 0 0 449.551 -0.416 20 0 IBADRN CCc1ccccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000507936093 1072334924 /nfs/dbraw/zinc/33/49/24/1072334924.db2.gz FCXTTXNYODUSPN-UHFFFAOYSA-N 0 0 437.566 -0.498 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cccc(S(C)(=O)=O)c3)n2C)CC1 ZINC000507937502 1072334831 /nfs/dbraw/zinc/33/48/31/1072334831.db2.gz DDODMWLVDYIPAK-UHFFFAOYSA-N 0 0 436.538 -0.230 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000507938427 1072334774 /nfs/dbraw/zinc/33/47/74/1072334774.db2.gz QBWZSFCFRGQADY-DLBZAZTESA-N 0 0 445.563 -0.399 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000507938430 1072334915 /nfs/dbraw/zinc/33/49/15/1072334915.db2.gz QBWZSFCFRGQADY-IAGOWNOFSA-N 0 0 445.563 -0.399 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000507938432 1072334867 /nfs/dbraw/zinc/33/48/67/1072334867.db2.gz QBWZSFCFRGQADY-IRXDYDNUSA-N 0 0 445.563 -0.399 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000507938434 1072334878 /nfs/dbraw/zinc/33/48/78/1072334878.db2.gz QBWZSFCFRGQADY-SJORKVTESA-N 0 0 445.563 -0.399 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000507939428 1072334888 /nfs/dbraw/zinc/33/48/88/1072334888.db2.gz RXEPKQMZNDHAAP-MJEQTWJJSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000507939431 1072335343 /nfs/dbraw/zinc/33/53/43/1072335343.db2.gz RXEPKQMZNDHAAP-SRCQZFHVSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000507939432 1072335257 /nfs/dbraw/zinc/33/52/57/1072335257.db2.gz RXEPKQMZNDHAAP-VMGRFDJRSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000507939434 1072335215 /nfs/dbraw/zinc/33/52/15/1072335215.db2.gz RXEPKQMZNDHAAP-XGWLTEMNSA-N 0 0 443.472 -0.068 20 0 IBADRN O=S(=O)(NCCc1nnc2n1CCC2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000507940825 1072335277 /nfs/dbraw/zinc/33/52/77/1072335277.db2.gz VRBDLEUQHLIPMQ-UHFFFAOYSA-N 0 0 441.535 -0.234 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000507941117 1072336648 /nfs/dbraw/zinc/33/66/48/1072336648.db2.gz LXZYQCONNSPFRM-MSOLQXFVSA-N 0 0 447.579 -0.909 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000507941120 1072336895 /nfs/dbraw/zinc/33/68/95/1072336895.db2.gz LXZYQCONNSPFRM-QZTJIDSGSA-N 0 0 447.579 -0.909 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000507941121 1072337304 /nfs/dbraw/zinc/33/73/04/1072337304.db2.gz LXZYQCONNSPFRM-ROUUACIJSA-N 0 0 447.579 -0.909 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000507941122 1072337291 /nfs/dbraw/zinc/33/72/91/1072337291.db2.gz LXZYQCONNSPFRM-ZWKOTPCHSA-N 0 0 447.579 -0.909 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2)CC1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000507943011 1072337419 /nfs/dbraw/zinc/33/74/19/1072337419.db2.gz WOUMZEUNSORRLT-HOJAQTOUSA-N 0 0 436.578 -0.501 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2)CC1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000507943012 1072337220 /nfs/dbraw/zinc/33/72/20/1072337220.db2.gz WOUMZEUNSORRLT-MISYRCLQSA-N 0 0 436.578 -0.501 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2)CC1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000507943013 1072337329 /nfs/dbraw/zinc/33/73/29/1072337329.db2.gz WOUMZEUNSORRLT-RLLQIKCJSA-N 0 0 436.578 -0.501 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2)CC1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000507943014 1072337196 /nfs/dbraw/zinc/33/71/96/1072337196.db2.gz WOUMZEUNSORRLT-YZGWKJHDSA-N 0 0 436.578 -0.501 20 0 IBADRN Cc1nc(N2CCOCC2)sc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C ZINC000507943122 1072337317 /nfs/dbraw/zinc/33/73/17/1072337317.db2.gz XWBANJUXIPUFSC-GFCCVEGCSA-N 0 0 432.510 -0.769 20 0 IBADRN Cc1nc(N2CCOCC2)sc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C ZINC000507943123 1072337244 /nfs/dbraw/zinc/33/72/44/1072337244.db2.gz XWBANJUXIPUFSC-LBPRGKRZSA-N 0 0 432.510 -0.769 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CCNS(=O)(=O)c1cn(C)c(C)n1 ZINC000507943511 1072337404 /nfs/dbraw/zinc/33/74/04/1072337404.db2.gz DEESMBCAFNTVJJ-UHFFFAOYSA-N 0 0 431.496 -0.308 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCCN2CCN(c3ncccn3)CC2)cn1C ZINC000507945320 1072337177 /nfs/dbraw/zinc/33/71/77/1072337177.db2.gz QFCZYVGYCCWBDA-UHFFFAOYSA-N 0 0 436.542 -0.875 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C)[C@H](C)c2ccc(S(N)(=O)=O)cc2)cn1C ZINC000507945742 1072337387 /nfs/dbraw/zinc/33/73/87/1072337387.db2.gz TUMSIOJJDARBOR-LLVKDONJSA-N 0 0 429.524 -0.126 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C)[C@@H](C)c2ccc(S(N)(=O)=O)cc2)cn1C ZINC000507945744 1072337374 /nfs/dbraw/zinc/33/73/74/1072337374.db2.gz TUMSIOJJDARBOR-NSHDSACASA-N 0 0 429.524 -0.126 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000507946267 1072337209 /nfs/dbraw/zinc/33/72/09/1072337209.db2.gz XELHHSVRPSGBIM-UHFFFAOYSA-N 0 0 429.524 -0.597 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)cn1C ZINC000507946673 1072337341 /nfs/dbraw/zinc/33/73/41/1072337341.db2.gz ZXSAXQPXNVGCFM-UHFFFAOYSA-N 0 0 448.549 -0.533 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000507947128 1072337705 /nfs/dbraw/zinc/33/77/05/1072337705.db2.gz XAWRHIBKGKARSA-BMGDILEWSA-N 0 0 441.550 -0.344 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000507947129 1072337735 /nfs/dbraw/zinc/33/77/35/1072337735.db2.gz XAWRHIBKGKARSA-JCGIZDLHSA-N 0 0 441.550 -0.344 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000507947130 1072337808 /nfs/dbraw/zinc/33/78/08/1072337808.db2.gz XAWRHIBKGKARSA-QGTPRVQTSA-N 0 0 441.550 -0.344 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000507947132 1072337754 /nfs/dbraw/zinc/33/77/54/1072337754.db2.gz XAWRHIBKGKARSA-WBAXXEDZSA-N 0 0 441.550 -0.344 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3csc(N4CCOCC4)n3)n2C)CC1 ZINC000507947203 1072337796 /nfs/dbraw/zinc/33/77/96/1072337796.db2.gz XEUHZNMOQODAFD-UHFFFAOYSA-N 0 0 448.553 -0.052 20 0 IBADRN O=S1(=O)C[C@H](O)[C@H](N2CCN(Cc3nnnn3-c3ccc(C(F)(F)F)cc3)CC2)C1 ZINC000507947328 1072337896 /nfs/dbraw/zinc/33/78/96/1072337896.db2.gz YDLNITJKEVVNPD-CABCVRRESA-N 0 0 446.455 -0.043 20 0 IBADRN O=S1(=O)C[C@H](O)[C@@H](N2CCN(Cc3nnnn3-c3ccc(C(F)(F)F)cc3)CC2)C1 ZINC000507947335 1072337885 /nfs/dbraw/zinc/33/78/85/1072337885.db2.gz YDLNITJKEVVNPD-GJZGRUSLSA-N 0 0 446.455 -0.043 20 0 IBADRN O=S1(=O)C[C@@H](O)[C@H](N2CCN(Cc3nnnn3-c3ccc(C(F)(F)F)cc3)CC2)C1 ZINC000507947336 1072337687 /nfs/dbraw/zinc/33/76/87/1072337687.db2.gz YDLNITJKEVVNPD-HUUCEWRRSA-N 0 0 446.455 -0.043 20 0 IBADRN O=S1(=O)C[C@H](N2CCN(Cc3nnnn3-c3ccc(C(F)(F)F)cc3)CC2)[C@H](O)C1 ZINC000507947339 1072337852 /nfs/dbraw/zinc/33/78/52/1072337852.db2.gz YDLNITJKEVVNPD-LSDHHAIUSA-N 0 0 446.455 -0.043 20 0 IBADRN Cn1c(-c2ccc(S(C)(=O)=O)cc2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000507951461 1072338284 /nfs/dbraw/zinc/33/82/84/1072338284.db2.gz QYILOZMHEOIOCL-UHFFFAOYSA-N 0 0 448.549 -0.134 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000507951623 1072338348 /nfs/dbraw/zinc/33/83/48/1072338348.db2.gz DDWAIHFNXFGRBO-HNNXBMFYSA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000507951630 1072338320 /nfs/dbraw/zinc/33/83/20/1072338320.db2.gz DDWAIHFNXFGRBO-OAHLLOKOSA-N 0 0 438.572 -0.754 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000507952403 1072338372 /nfs/dbraw/zinc/33/83/72/1072338372.db2.gz FPTHDROXYLIHOS-HNNXBMFYSA-N 0 0 440.588 -0.506 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000507952405 1072338381 /nfs/dbraw/zinc/33/83/81/1072338381.db2.gz FPTHDROXYLIHOS-OAHLLOKOSA-N 0 0 440.588 -0.506 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000507960146 1072336864 /nfs/dbraw/zinc/33/68/64/1072336864.db2.gz BTDVZPGWAYOYGT-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1 ZINC000507964831 1072338461 /nfs/dbraw/zinc/33/84/61/1072338461.db2.gz MAWNOCUPAGMNFR-CYBMUJFWSA-N 0 0 440.565 -0.191 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1 ZINC000507964836 1072338361 /nfs/dbraw/zinc/33/83/61/1072338361.db2.gz MAWNOCUPAGMNFR-ZDUSSCGKSA-N 0 0 440.565 -0.191 20 0 IBADRN CN(CCS(=O)(=O)NC[C@@H]1CS(=O)(=O)CCO1)S(=O)(=O)c1ccc(F)cc1 ZINC000507967068 1072338391 /nfs/dbraw/zinc/33/83/91/1072338391.db2.gz GSBLPDAPVCMYDT-CYBMUJFWSA-N 0 0 444.528 -0.821 20 0 IBADRN CN(CCS(=O)(=O)NC[C@H]1CS(=O)(=O)CCO1)S(=O)(=O)c1ccc(F)cc1 ZINC000507967073 1072338406 /nfs/dbraw/zinc/33/84/06/1072338406.db2.gz GSBLPDAPVCMYDT-ZDUSSCGKSA-N 0 0 444.528 -0.821 20 0 IBADRN O=S(=O)(NC[C@@H]1CS(=O)(=O)CCO1)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000507968906 1072338487 /nfs/dbraw/zinc/33/84/87/1072338487.db2.gz UMKVNUWUCCTEJP-CYBMUJFWSA-N 0 0 438.549 -0.437 20 0 IBADRN O=S(=O)(NC[C@H]1CS(=O)(=O)CCO1)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000507968909 1072338434 /nfs/dbraw/zinc/33/84/34/1072338434.db2.gz UMKVNUWUCCTEJP-ZDUSSCGKSA-N 0 0 438.549 -0.437 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)cc1OC ZINC000507969540 1072338863 /nfs/dbraw/zinc/33/88/63/1072338863.db2.gz WUOAFIKQEFCHQP-CYBMUJFWSA-N 0 0 441.462 -0.316 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC)cc1OC ZINC000507969543 1072338984 /nfs/dbraw/zinc/33/89/84/1072338984.db2.gz WUOAFIKQEFCHQP-ZDUSSCGKSA-N 0 0 441.462 -0.316 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@](O)(C(F)(F)F)CC3)cnc2n(C)c1=O ZINC000507970524 1072339002 /nfs/dbraw/zinc/33/90/02/1072339002.db2.gz HZPVDLHBZKMCKV-KRWDZBQOSA-N 0 0 443.382 -0.123 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@](O)(C(F)(F)F)CC3)cnc2n(C)c1=O ZINC000507970526 1072338896 /nfs/dbraw/zinc/33/88/96/1072338896.db2.gz HZPVDLHBZKMCKV-QGZVFWFLSA-N 0 0 443.382 -0.123 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)c3cc4ccccc4[nH]3)CC2)c(=O)n(C)c1=O ZINC000507972239 1072339360 /nfs/dbraw/zinc/33/93/60/1072339360.db2.gz GBKZQPMJIIBSBU-UHFFFAOYSA-N 0 0 431.474 -0.288 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cc1-n1cnnn1 ZINC000507972392 1072338977 /nfs/dbraw/zinc/33/89/77/1072338977.db2.gz OSDUTPRCQGYCNU-BLVKFPJESA-N 0 0 442.436 -0.411 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cc1-n1cnnn1 ZINC000507972393 1072338814 /nfs/dbraw/zinc/33/88/14/1072338814.db2.gz OSDUTPRCQGYCNU-BUXKBTBVSA-N 0 0 442.436 -0.411 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cc1-n1cnnn1 ZINC000507972394 1072338802 /nfs/dbraw/zinc/33/88/02/1072338802.db2.gz OSDUTPRCQGYCNU-CWTRNNRKSA-N 0 0 442.436 -0.411 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cc1-n1cnnn1 ZINC000507972395 1072338917 /nfs/dbraw/zinc/33/89/17/1072338917.db2.gz OSDUTPRCQGYCNU-HXPMCKFVSA-N 0 0 442.436 -0.411 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(OC)cc1OC ZINC000507972578 1072338964 /nfs/dbraw/zinc/33/89/64/1072338964.db2.gz XAPWRFSAKIQKQF-AWEZNQCLSA-N 0 0 436.508 -0.127 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(OC)cc1OC ZINC000507972580 1072338924 /nfs/dbraw/zinc/33/89/24/1072338924.db2.gz XAPWRFSAKIQKQF-CQSZACIVSA-N 0 0 436.508 -0.127 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)NC(=O)NC1=O ZINC000507973119 1072339275 /nfs/dbraw/zinc/33/92/75/1072339275.db2.gz AIPOTMBVJYZBDE-ADLMAVQZSA-N 0 0 430.400 -0.281 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)NC(=O)NC1=O ZINC000507973122 1072339313 /nfs/dbraw/zinc/33/93/13/1072339313.db2.gz AIPOTMBVJYZBDE-BBATYDOGSA-N 0 0 430.400 -0.281 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)NC(=O)NC1=O ZINC000507973124 1072339485 /nfs/dbraw/zinc/33/94/85/1072339485.db2.gz AIPOTMBVJYZBDE-VOJFVSQTSA-N 0 0 430.400 -0.281 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)NC(=O)NC1=O ZINC000507973126 1072339383 /nfs/dbraw/zinc/33/93/83/1072339383.db2.gz AIPOTMBVJYZBDE-ZMZPIMSZSA-N 0 0 430.400 -0.281 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCOc4cc(O)ccc4C3)cnc2n(C)c1=O ZINC000507973426 1072339235 /nfs/dbraw/zinc/33/92/35/1072339235.db2.gz BGHYNTLJAZKXON-UHFFFAOYSA-N 0 0 425.401 -0.303 20 0 IBADRN CCOC(=O)C(C)(C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000507973854 1072339324 /nfs/dbraw/zinc/33/93/24/1072339324.db2.gz CRJBCVPPADLFNI-UHFFFAOYSA-N 0 0 440.478 -0.797 20 0 IBADRN O=C(CNC(=O)NCc1ccc(F)cc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507974005 1072339452 /nfs/dbraw/zinc/33/94/52/1072339452.db2.gz DCFGQHLPUQLVAS-CVEARBPZSA-N 0 0 428.486 -0.761 20 0 IBADRN O=C(CNC(=O)NCc1ccc(F)cc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507974008 1072339336 /nfs/dbraw/zinc/33/93/36/1072339336.db2.gz DCFGQHLPUQLVAS-HOTGVXAUSA-N 0 0 428.486 -0.761 20 0 IBADRN O=C(CNC(=O)NCc1ccc(F)cc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507974010 1072339300 /nfs/dbraw/zinc/33/93/00/1072339300.db2.gz DCFGQHLPUQLVAS-HZPDHXFCSA-N 0 0 428.486 -0.761 20 0 IBADRN O=C(CNC(=O)NCc1ccc(F)cc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507974012 1072339391 /nfs/dbraw/zinc/33/93/91/1072339391.db2.gz DCFGQHLPUQLVAS-JKSUJKDBSA-N 0 0 428.486 -0.761 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCOc3cc(O)ccc3C2)n1)N1CCOCC1 ZINC000507975727 1072339890 /nfs/dbraw/zinc/33/98/90/1072339890.db2.gz LGSHKCAWHMDLQK-UHFFFAOYSA-N 0 0 429.433 -0.193 20 0 IBADRN CC(C)NS(=O)(=O)CCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000507975871 1072339820 /nfs/dbraw/zinc/33/98/20/1072339820.db2.gz SRJKYCFSJAMREE-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000507977210 1072339938 /nfs/dbraw/zinc/33/99/38/1072339938.db2.gz UVDBUCBRAUGKRC-INIZCTEOSA-N 0 0 431.559 -0.967 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000507977211 1072340079 /nfs/dbraw/zinc/34/00/79/1072340079.db2.gz UVDBUCBRAUGKRC-MRXNPFEDSA-N 0 0 431.559 -0.967 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000507977902 1072339910 /nfs/dbraw/zinc/33/99/10/1072339910.db2.gz DMKLXNQHKZVOPN-UHFFFAOYSA-N 0 0 447.535 -0.813 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000507978146 1072339919 /nfs/dbraw/zinc/33/99/19/1072339919.db2.gz BZDONZCXDMVPPW-UHFFFAOYSA-N 0 0 435.550 -0.017 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC[C@H]3C[C@@]3(C)NC(=O)NC3=O)cc2n(C)c1=O ZINC000507979205 1072340033 /nfs/dbraw/zinc/34/00/33/1072340033.db2.gz GOJZCNOWDOMEIW-FKIZINRSSA-N 0 0 428.449 -0.205 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC[C@@H]3C[C@@]3(C)NC(=O)NC3=O)cc2n(C)c1=O ZINC000507979206 1072340433 /nfs/dbraw/zinc/34/04/33/1072340433.db2.gz GOJZCNOWDOMEIW-MPBGBICISA-N 0 0 428.449 -0.205 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC[C@@H]3C[C@]3(C)NC(=O)NC3=O)cc2n(C)c1=O ZINC000507979207 1072340352 /nfs/dbraw/zinc/34/03/52/1072340352.db2.gz GOJZCNOWDOMEIW-ODXCJYRJSA-N 0 0 428.449 -0.205 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCC[C@H]3C[C@]3(C)NC(=O)NC3=O)cc2n(C)c1=O ZINC000507979208 1072340515 /nfs/dbraw/zinc/34/05/15/1072340515.db2.gz GOJZCNOWDOMEIW-YUNKPMOVSA-N 0 0 428.449 -0.205 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@H]3C[C@@]3(C)NC(=O)NC3=O)cc2C1=O ZINC000507980675 1072340570 /nfs/dbraw/zinc/34/05/70/1072340570.db2.gz LOBZDHSPIIKCBL-BIBXISHDSA-N 0 0 427.417 -0.170 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@H]3C[C@]3(C)NC(=O)NC3=O)cc2C1=O ZINC000507980676 1072340602 /nfs/dbraw/zinc/34/06/02/1072340602.db2.gz LOBZDHSPIIKCBL-GIPWTMENSA-N 0 0 427.417 -0.170 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H]3C[C@@]3(C)NC(=O)NC3=O)cc2C1=O ZINC000507980677 1072340561 /nfs/dbraw/zinc/34/05/61/1072340561.db2.gz LOBZDHSPIIKCBL-PRWKNARSSA-N 0 0 427.417 -0.170 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H]3C[C@]3(C)NC(=O)NC3=O)cc2C1=O ZINC000507980678 1072340592 /nfs/dbraw/zinc/34/05/92/1072340592.db2.gz LOBZDHSPIIKCBL-YBTHPKLGSA-N 0 0 427.417 -0.170 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507982334 1072340536 /nfs/dbraw/zinc/34/05/36/1072340536.db2.gz QEXDGQJWQCWPIU-GDBMZVCRSA-N 0 0 436.490 -0.894 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000507982337 1072340448 /nfs/dbraw/zinc/34/04/48/1072340448.db2.gz QEXDGQJWQCWPIU-GOEBONIOSA-N 0 0 436.490 -0.894 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507982339 1072340368 /nfs/dbraw/zinc/34/03/68/1072340368.db2.gz QEXDGQJWQCWPIU-HOCLYGCPSA-N 0 0 436.490 -0.894 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000507982340 1072340416 /nfs/dbraw/zinc/34/04/16/1072340416.db2.gz QEXDGQJWQCWPIU-ZBFHGGJFSA-N 0 0 436.490 -0.894 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(COc3ccccc3F)n1C)C2 ZINC000507984594 1072340501 /nfs/dbraw/zinc/34/05/01/1072340501.db2.gz JFVCIJHIAWTSFV-UHFFFAOYSA-N 0 0 430.444 0.000 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cccc(S(=O)(=O)N4CCOCC4)c3)n2C)CC1 ZINC000507984607 1072340527 /nfs/dbraw/zinc/34/05/27/1072340527.db2.gz JJJCQEQBWBYWNM-UHFFFAOYSA-N 0 0 449.537 -0.036 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000507984830 1072340474 /nfs/dbraw/zinc/34/04/74/1072340474.db2.gz MOZAYFJHWYFOFU-UHFFFAOYSA-N 0 0 427.479 -0.557 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccc(Cn4ccccc4=O)cc3)c2c(=O)n(C)c1=O ZINC000507985530 1072340400 /nfs/dbraw/zinc/34/04/00/1072340400.db2.gz PGZYTOHIFGVCDQ-UHFFFAOYSA-N 0 0 434.456 -0.040 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000507989241 1072340896 /nfs/dbraw/zinc/34/08/96/1072340896.db2.gz LYAZSFAIDQLEDU-DLBZAZTESA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000507989242 1072340938 /nfs/dbraw/zinc/34/09/38/1072340938.db2.gz LYAZSFAIDQLEDU-IAGOWNOFSA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000507989243 1072341009 /nfs/dbraw/zinc/34/10/09/1072341009.db2.gz LYAZSFAIDQLEDU-IRXDYDNUSA-N 0 0 443.547 -0.645 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000507989244 1072341044 /nfs/dbraw/zinc/34/10/44/1072341044.db2.gz LYAZSFAIDQLEDU-SJORKVTESA-N 0 0 443.547 -0.645 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cc1-n1cnnn1 ZINC000507990183 1072340964 /nfs/dbraw/zinc/34/09/64/1072340964.db2.gz BOLRJZAPEGSQBU-BFUOFWGJSA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cc1-n1cnnn1 ZINC000507990187 1072340886 /nfs/dbraw/zinc/34/08/86/1072340886.db2.gz BOLRJZAPEGSQBU-DJJJIMSYSA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cc1-n1cnnn1 ZINC000507990188 1072340911 /nfs/dbraw/zinc/34/09/11/1072340911.db2.gz BOLRJZAPEGSQBU-ORAYPTAESA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cc1-n1cnnn1 ZINC000507990190 1072340871 /nfs/dbraw/zinc/34/08/71/1072340871.db2.gz BOLRJZAPEGSQBU-YJYMSZOUSA-N 0 0 426.437 -0.112 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCOc3cc(O)ccc3C2)cn1)N1CCOCC1 ZINC000507990519 1072341015 /nfs/dbraw/zinc/34/10/15/1072341015.db2.gz RXPXNSPHIQPDKZ-UHFFFAOYSA-N 0 0 429.433 -0.193 20 0 IBADRN NC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)CCO1 ZINC000507992939 1072341352 /nfs/dbraw/zinc/34/13/52/1072341352.db2.gz RRECAPQKEBBEEL-LLVKDONJSA-N 0 0 431.414 -0.598 20 0 IBADRN NC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)CCO1 ZINC000507992941 1072341447 /nfs/dbraw/zinc/34/14/47/1072341447.db2.gz RRECAPQKEBBEEL-NSHDSACASA-N 0 0 431.414 -0.598 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)NCCN2CCN(c3ncccn3)CC2)no1 ZINC000507993038 1072341580 /nfs/dbraw/zinc/34/15/80/1072341580.db2.gz NDVROPKUTCESIX-HNNXBMFYSA-N 0 0 432.485 -0.089 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)NCCN2CCN(c3ncccn3)CC2)no1 ZINC000507993044 1072341418 /nfs/dbraw/zinc/34/14/18/1072341418.db2.gz NDVROPKUTCESIX-OAHLLOKOSA-N 0 0 432.485 -0.089 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000507994593 1072341484 /nfs/dbraw/zinc/34/14/84/1072341484.db2.gz HYOSZJWDRNXUGW-UHFFFAOYSA-N 0 0 439.534 -0.043 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000507994628 1072530649 /nfs/dbraw/zinc/53/06/49/1072530649.db2.gz VDASTTOMLFZLLE-DLBZAZTESA-N 0 0 445.563 -0.859 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000507994631 1072341603 /nfs/dbraw/zinc/34/16/03/1072341603.db2.gz VDASTTOMLFZLLE-IAGOWNOFSA-N 0 0 445.563 -0.859 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000507994633 1072341499 /nfs/dbraw/zinc/34/14/99/1072341499.db2.gz VDASTTOMLFZLLE-IRXDYDNUSA-N 0 0 445.563 -0.859 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000507994635 1072341279 /nfs/dbraw/zinc/34/12/79/1072341279.db2.gz VDASTTOMLFZLLE-SJORKVTESA-N 0 0 445.563 -0.859 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000507994807 1072341515 /nfs/dbraw/zinc/34/15/15/1072341515.db2.gz WJTFIOVDXGFVEY-INIZCTEOSA-N 0 0 446.551 -0.330 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000507994809 1072341544 /nfs/dbraw/zinc/34/15/44/1072341544.db2.gz WJTFIOVDXGFVEY-MRXNPFEDSA-N 0 0 446.551 -0.330 20 0 IBADRN COc1ccc(C(=O)N(C)CC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000507995105 1072342891 /nfs/dbraw/zinc/34/28/91/1072342891.db2.gz OIGFRWMDRMCSJP-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN CCNC(=O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000507997160 1072343001 /nfs/dbraw/zinc/34/30/01/1072343001.db2.gz HGZGIJOCMDMUNH-CYBMUJFWSA-N 0 0 439.943 -0.326 20 0 IBADRN CCNC(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000507997166 1072342976 /nfs/dbraw/zinc/34/29/76/1072342976.db2.gz HGZGIJOCMDMUNH-ZDUSSCGKSA-N 0 0 439.943 -0.326 20 0 IBADRN C[C@@H](NC(=O)CCc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000507997445 1072342959 /nfs/dbraw/zinc/34/29/59/1072342959.db2.gz FZDWALQKTJCGTO-OAHLLOKOSA-N 0 0 428.493 -0.387 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000507997547 1072342948 /nfs/dbraw/zinc/34/29/48/1072342948.db2.gz IADHIMBDDJKJIP-AWEZNQCLSA-N 0 0 441.506 -0.168 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)no1 ZINC000508000132 1074354089 /nfs/dbraw/zinc/35/40/89/1074354089.db2.gz KUAGLTYFWMFXFN-CHWSQXEVSA-N 0 0 432.524 -0.731 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)no1 ZINC000508000135 1074354119 /nfs/dbraw/zinc/35/41/19/1074354119.db2.gz KUAGLTYFWMFXFN-OLZOCXBDSA-N 0 0 432.524 -0.731 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)no1 ZINC000508000136 1074353982 /nfs/dbraw/zinc/35/39/82/1074353982.db2.gz KUAGLTYFWMFXFN-QWHCGFSZSA-N 0 0 432.524 -0.731 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)no1 ZINC000508000137 1074354240 /nfs/dbraw/zinc/35/42/40/1074354240.db2.gz KUAGLTYFWMFXFN-STQMWFEESA-N 0 0 432.524 -0.731 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)c2ccccc2O1 ZINC000508000260 1072341308 /nfs/dbraw/zinc/34/13/08/1072341308.db2.gz LOVPKYKQNOFMRH-HCQWSFJTSA-N 0 0 443.460 -0.494 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)c2ccccc2O1 ZINC000508000267 1072342001 /nfs/dbraw/zinc/34/20/01/1072342001.db2.gz LOVPKYKQNOFMRH-PYDTXJQDSA-N 0 0 443.460 -0.494 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)c2ccccc2O1 ZINC000508000270 1072341992 /nfs/dbraw/zinc/34/19/92/1072341992.db2.gz LOVPKYKQNOFMRH-QOKQMOMRSA-N 0 0 443.460 -0.494 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)c2ccccc2O1 ZINC000508000274 1072341910 /nfs/dbraw/zinc/34/19/10/1072341910.db2.gz LOVPKYKQNOFMRH-RKFVTTHHSA-N 0 0 443.460 -0.494 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000508001485 1072341949 /nfs/dbraw/zinc/34/19/49/1072341949.db2.gz WGUBKPFZIHVIOD-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@@H]3C[C@@]3(C)NC(=O)NC3=O)cc2)c1=O ZINC000508002159 1072342045 /nfs/dbraw/zinc/34/20/45/1072342045.db2.gz POQSNKSNIXWGMN-JLTOFOAXSA-N 0 0 441.448 -0.511 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@H]3C[C@@]3(C)NC(=O)NC3=O)cc2)c1=O ZINC000508002162 1072341868 /nfs/dbraw/zinc/34/18/68/1072341868.db2.gz POQSNKSNIXWGMN-VBKZILBWSA-N 0 0 441.448 -0.511 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@@H]3C[C@]3(C)NC(=O)NC3=O)cc2)c1=O ZINC000508002164 1072341893 /nfs/dbraw/zinc/34/18/93/1072341893.db2.gz POQSNKSNIXWGMN-VLIAUNLRSA-N 0 0 441.448 -0.511 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@H]3C[C@]3(C)NC(=O)NC3=O)cc2)c1=O ZINC000508002165 1072341920 /nfs/dbraw/zinc/34/19/20/1072341920.db2.gz POQSNKSNIXWGMN-XOBRGWDASA-N 0 0 441.448 -0.511 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000508003207 1072341883 /nfs/dbraw/zinc/34/18/83/1072341883.db2.gz RWFHHVPLCGRGTH-CVEARBPZSA-N 0 0 431.536 -0.788 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000508003208 1072341931 /nfs/dbraw/zinc/34/19/31/1072341931.db2.gz RWFHHVPLCGRGTH-HOTGVXAUSA-N 0 0 431.536 -0.788 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000508003209 1072341937 /nfs/dbraw/zinc/34/19/37/1072341937.db2.gz RWFHHVPLCGRGTH-HZPDHXFCSA-N 0 0 431.536 -0.788 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000508003210 1072341905 /nfs/dbraw/zinc/34/19/05/1072341905.db2.gz RWFHHVPLCGRGTH-JKSUJKDBSA-N 0 0 431.536 -0.788 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000508003239 1072342057 /nfs/dbraw/zinc/34/20/57/1072342057.db2.gz SEEFRCUNFCALRP-CVEARBPZSA-N 0 0 431.536 -0.788 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000508003240 1072341857 /nfs/dbraw/zinc/34/18/57/1072341857.db2.gz SEEFRCUNFCALRP-HOTGVXAUSA-N 0 0 431.536 -0.788 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000508003241 1072341901 /nfs/dbraw/zinc/34/19/01/1072341901.db2.gz SEEFRCUNFCALRP-HZPDHXFCSA-N 0 0 431.536 -0.788 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000508003242 1072341876 /nfs/dbraw/zinc/34/18/76/1072341876.db2.gz SEEFRCUNFCALRP-JKSUJKDBSA-N 0 0 431.536 -0.788 20 0 IBADRN COC(=O)C1(NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1 ZINC000508006268 1072342455 /nfs/dbraw/zinc/34/24/55/1072342455.db2.gz PJLXMJZLWYAOCE-UHFFFAOYSA-N 0 0 425.463 -0.224 20 0 IBADRN CCNC(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000508007378 1072342483 /nfs/dbraw/zinc/34/24/83/1072342483.db2.gz DOIVBVNOUMZANW-UHFFFAOYSA-N 0 0 440.522 -0.590 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000508008394 1072342496 /nfs/dbraw/zinc/34/24/96/1072342496.db2.gz DONKHDARSZPHAC-INIZCTEOSA-N 0 0 446.551 -0.330 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000508008395 1072342593 /nfs/dbraw/zinc/34/25/93/1072342593.db2.gz DONKHDARSZPHAC-MRXNPFEDSA-N 0 0 446.551 -0.330 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000508009054 1072342386 /nfs/dbraw/zinc/34/23/86/1072342386.db2.gz MZVWHURNWJCHHU-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](C)C1(S(C)(=O)=O)CC1 ZINC000508015560 1072344158 /nfs/dbraw/zinc/34/41/58/1072344158.db2.gz DIDOYVZZBPLPEX-LLVKDONJSA-N 0 0 447.535 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](C)C1(S(C)(=O)=O)CC1 ZINC000508015562 1072343992 /nfs/dbraw/zinc/34/39/92/1072343992.db2.gz DIDOYVZZBPLPEX-NSHDSACASA-N 0 0 447.535 -0.034 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000508015654 1072342409 /nfs/dbraw/zinc/34/24/09/1072342409.db2.gz NMOGHGTTXALNED-UHFFFAOYSA-N 0 0 441.535 -0.378 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCC(=O)Nc2ccncc2)c1 ZINC000508018955 1072343893 /nfs/dbraw/zinc/34/38/93/1072343893.db2.gz OJPOWDISNDLTRZ-UHFFFAOYSA-N 0 0 433.490 -0.254 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000508023695 1072344453 /nfs/dbraw/zinc/34/44/53/1072344453.db2.gz MYBBLZUCVXATIZ-INIZCTEOSA-N 0 0 426.477 -0.250 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000508023696 1072344491 /nfs/dbraw/zinc/34/44/91/1072344491.db2.gz MYBBLZUCVXATIZ-MRXNPFEDSA-N 0 0 426.477 -0.250 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)c1 ZINC000508028167 1072344752 /nfs/dbraw/zinc/34/47/52/1072344752.db2.gz WSMPVHSWXMLSBJ-UHFFFAOYSA-N 0 0 426.477 -0.148 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000508030450 1072343371 /nfs/dbraw/zinc/34/33/71/1072343371.db2.gz CZPROBROHVDQFQ-UHFFFAOYSA-N 0 0 438.488 -0.452 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000508030556 1072343460 /nfs/dbraw/zinc/34/34/60/1072343460.db2.gz DOBHMOASFFCHCD-HNNXBMFYSA-N 0 0 429.521 -0.484 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000508030558 1072343312 /nfs/dbraw/zinc/34/33/12/1072343312.db2.gz DOBHMOASFFCHCD-OAHLLOKOSA-N 0 0 429.521 -0.484 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)c1 ZINC000508032585 1072343403 /nfs/dbraw/zinc/34/34/03/1072343403.db2.gz LBWCZIUUQJRPMC-KRWDZBQOSA-N 0 0 440.504 -0.254 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)c1 ZINC000508032586 1072343484 /nfs/dbraw/zinc/34/34/84/1072343484.db2.gz LBWCZIUUQJRPMC-QGZVFWFLSA-N 0 0 440.504 -0.254 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)c1 ZINC000508034541 1072344053 /nfs/dbraw/zinc/34/40/53/1072344053.db2.gz GQSFZMGMHLBZHN-UHFFFAOYSA-N 0 0 444.492 -0.421 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCCc2cccc(C(=O)NC)c2)CC1 ZINC000508035941 1072343963 /nfs/dbraw/zinc/34/39/63/1072343963.db2.gz PZUYLAWXNFVWAZ-UHFFFAOYSA-N 0 0 431.537 -0.283 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000508036025 1072343931 /nfs/dbraw/zinc/34/39/31/1072343931.db2.gz DJYMRGXSBDQHRA-INIZCTEOSA-N 0 0 439.538 -0.018 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000508036026 1072344144 /nfs/dbraw/zinc/34/41/44/1072344144.db2.gz DJYMRGXSBDQHRA-MRXNPFEDSA-N 0 0 439.538 -0.018 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)c1 ZINC000508036893 1072345246 /nfs/dbraw/zinc/34/52/46/1072345246.db2.gz RSPZXQDTUOGTNQ-KRWDZBQOSA-N 0 0 443.548 -0.140 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)c1 ZINC000508036901 1072345026 /nfs/dbraw/zinc/34/50/26/1072345026.db2.gz RSPZXQDTUOGTNQ-QGZVFWFLSA-N 0 0 443.548 -0.140 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000508037165 1072345097 /nfs/dbraw/zinc/34/50/97/1072345097.db2.gz JOZOIJQRAXDKEM-UHFFFAOYSA-N 0 0 425.554 -0.265 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCCc2cccc(C(=O)NC)c2)CC1 ZINC000508037448 1072345085 /nfs/dbraw/zinc/34/50/85/1072345085.db2.gz SXVOANUFZDFYJY-INIZCTEOSA-N 0 0 431.537 -0.236 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCCc2cccc(C(=O)NC)c2)CC1 ZINC000508037449 1072345175 /nfs/dbraw/zinc/34/51/75/1072345175.db2.gz SXVOANUFZDFYJY-MRXNPFEDSA-N 0 0 431.537 -0.236 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000508039404 1072345111 /nfs/dbraw/zinc/34/51/11/1072345111.db2.gz XZWZRVLARHSKAK-UHFFFAOYSA-N 0 0 438.488 -0.452 20 0 IBADRN COC(=O)CCN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000508040998 1072345646 /nfs/dbraw/zinc/34/56/46/1072345646.db2.gz XVWMRJDINCVKFR-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN O=C(NCCNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1cccnc1 ZINC000508042213 1072345727 /nfs/dbraw/zinc/34/57/27/1072345727.db2.gz GKYPAXXMZHSIGA-INIZCTEOSA-N 0 0 425.511 -0.353 20 0 IBADRN O=C(NCCNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1cccnc1 ZINC000508042215 1072345739 /nfs/dbraw/zinc/34/57/39/1072345739.db2.gz GKYPAXXMZHSIGA-MRXNPFEDSA-N 0 0 425.511 -0.353 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)c1 ZINC000508043516 1072345664 /nfs/dbraw/zinc/34/56/64/1072345664.db2.gz JYQGJPMUCOXIOX-UHFFFAOYSA-N 0 0 426.477 -0.148 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCNS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000508044954 1072345559 /nfs/dbraw/zinc/34/55/59/1072345559.db2.gz MXRJFBRJXFAHEA-HNNXBMFYSA-N 0 0 441.558 -0.900 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCNS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000508044961 1072345550 /nfs/dbraw/zinc/34/55/50/1072345550.db2.gz MXRJFBRJXFAHEA-OAHLLOKOSA-N 0 0 441.558 -0.900 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000508045942 1072345595 /nfs/dbraw/zinc/34/55/95/1072345595.db2.gz QDFVOFKSKGOHSJ-UHFFFAOYSA-N 0 0 443.548 -0.138 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1 ZINC000508047168 1072346085 /nfs/dbraw/zinc/34/60/85/1072346085.db2.gz VGHFLGZQBCEXJA-KRWDZBQOSA-N 0 0 436.534 -0.269 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1 ZINC000508047169 1072346242 /nfs/dbraw/zinc/34/62/42/1072346242.db2.gz VGHFLGZQBCEXJA-QGZVFWFLSA-N 0 0 436.534 -0.269 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NCCC(=O)N3CCN(C)CC3)no2)cn1 ZINC000508052780 1072344692 /nfs/dbraw/zinc/34/46/92/1072344692.db2.gz HTGDPZOTAYBEJH-HNNXBMFYSA-N 0 0 432.485 -0.283 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NCCC(=O)N3CCN(C)CC3)no2)cn1 ZINC000508052787 1072344565 /nfs/dbraw/zinc/34/45/65/1072344565.db2.gz HTGDPZOTAYBEJH-OAHLLOKOSA-N 0 0 432.485 -0.283 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)c1 ZINC000508055959 1072345152 /nfs/dbraw/zinc/34/51/52/1072345152.db2.gz WIGSWMGGPXUAAD-UHFFFAOYSA-N 0 0 443.548 -0.283 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000508056019 1072345227 /nfs/dbraw/zinc/34/52/27/1072345227.db2.gz WPYZXQDYMXDNBO-UHFFFAOYSA-N 0 0 429.521 -0.529 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000508057277 1072346019 /nfs/dbraw/zinc/34/60/19/1072346019.db2.gz ZIGAVKCCWNNFDA-UHFFFAOYSA-N 0 0 426.477 -0.853 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000508059030 1072346539 /nfs/dbraw/zinc/34/65/39/1072346539.db2.gz PDMXDXKMYJTOTD-UHFFFAOYSA-N 0 0 435.550 -0.063 20 0 IBADRN Cc1cc(C)n2nc(NC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)nc2n1 ZINC000508063386 1074354142 /nfs/dbraw/zinc/35/41/42/1074354142.db2.gz RRGWDEPTLGGZER-UHFFFAOYSA-N 0 0 437.530 -0.617 20 0 IBADRN Cc1cc(C)n2nc(NC(=O)N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)nc2n1 ZINC000508069695 1072346157 /nfs/dbraw/zinc/34/61/57/1072346157.db2.gz IACRDAIVGBLTIL-UHFFFAOYSA-N 0 0 448.465 -0.029 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cc1C(N)=O ZINC000508071160 1072347586 /nfs/dbraw/zinc/34/75/86/1072347586.db2.gz XXHWRSFTXGJDNL-LLVKDONJSA-N 0 0 433.430 -0.105 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cc1C(N)=O ZINC000508071164 1072347597 /nfs/dbraw/zinc/34/75/97/1072347597.db2.gz XXHWRSFTXGJDNL-NSHDSACASA-N 0 0 433.430 -0.105 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1ccc(-n2cnnn2)cc1)C(F)(F)F ZINC000508071165 1072347606 /nfs/dbraw/zinc/34/76/06/1072347606.db2.gz XXMYWJQSVMUXIF-LLVKDONJSA-N 0 0 428.418 -0.237 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1ccc(-n2cnnn2)cc1)C(F)(F)F ZINC000508071168 1072347545 /nfs/dbraw/zinc/34/75/45/1072347545.db2.gz XXMYWJQSVMUXIF-NSHDSACASA-N 0 0 428.418 -0.237 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000508076336 1072348001 /nfs/dbraw/zinc/34/80/01/1072348001.db2.gz PGJXIFXHXYFBLZ-HNNXBMFYSA-N 0 0 438.550 -0.318 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000508076341 1072348166 /nfs/dbraw/zinc/34/81/66/1072348166.db2.gz PGJXIFXHXYFBLZ-OAHLLOKOSA-N 0 0 438.550 -0.318 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCCOCCO)c1OC ZINC000508076619 1072348092 /nfs/dbraw/zinc/34/80/92/1072348092.db2.gz BPKYXBWFGNENOI-UHFFFAOYSA-N 0 0 432.495 -0.147 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000508077462 1072348062 /nfs/dbraw/zinc/34/80/62/1072348062.db2.gz SGMIBLHSVOFUOJ-AWEZNQCLSA-N 0 0 428.898 -0.335 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000508077473 1072348256 /nfs/dbraw/zinc/34/82/56/1072348256.db2.gz SGMIBLHSVOFUOJ-CQSZACIVSA-N 0 0 428.898 -0.335 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000508078072 1072348131 /nfs/dbraw/zinc/34/81/31/1072348131.db2.gz VCBMJSPXZVJGDV-AWEZNQCLSA-N 0 0 428.898 -0.431 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000508078075 1072348046 /nfs/dbraw/zinc/34/80/46/1072348046.db2.gz VCBMJSPXZVJGDV-CQSZACIVSA-N 0 0 428.898 -0.431 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000508078156 1072348833 /nfs/dbraw/zinc/34/88/33/1072348833.db2.gz HGDCDKCKTCOUMJ-HNNXBMFYSA-N 0 0 445.563 -0.233 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000508078157 1072348733 /nfs/dbraw/zinc/34/87/33/1072348733.db2.gz HGDCDKCKTCOUMJ-OAHLLOKOSA-N 0 0 445.563 -0.233 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCCCOCCO ZINC000508078608 1072348326 /nfs/dbraw/zinc/34/83/26/1072348326.db2.gz JFRYVKCZERDNKW-UHFFFAOYSA-N 0 0 427.523 -0.185 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000508079384 1072348681 /nfs/dbraw/zinc/34/86/81/1072348681.db2.gz KYPBDKCMEHNASR-AWEZNQCLSA-N 0 0 431.536 -0.623 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000508079386 1072348650 /nfs/dbraw/zinc/34/86/50/1072348650.db2.gz KYPBDKCMEHNASR-CQSZACIVSA-N 0 0 431.536 -0.623 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCCCOCCO ZINC000508080021 1072348533 /nfs/dbraw/zinc/34/85/33/1072348533.db2.gz MXMJBOKPDHNKFM-UHFFFAOYSA-N 0 0 445.513 -0.046 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)cn1 ZINC000508080619 1072346999 /nfs/dbraw/zinc/34/69/99/1072346999.db2.gz OYKASXFVAWPXGO-UHFFFAOYSA-N 0 0 441.535 -0.102 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1cccc(-n2cnnn2)c1)C(F)(F)F ZINC000508082648 1072347187 /nfs/dbraw/zinc/34/71/87/1072347187.db2.gz IBNGVYXVCQNPOB-LLVKDONJSA-N 0 0 428.418 -0.237 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1cccc(-n2cnnn2)c1)C(F)(F)F ZINC000508082649 1072347014 /nfs/dbraw/zinc/34/70/14/1072347014.db2.gz IBNGVYXVCQNPOB-NSHDSACASA-N 0 0 428.418 -0.237 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000508083891 1072347170 /nfs/dbraw/zinc/34/71/70/1072347170.db2.gz CAAMVVPBRQMTBF-INIZCTEOSA-N 0 0 438.550 -0.446 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000508083893 1072347267 /nfs/dbraw/zinc/34/72/67/1072347267.db2.gz CAAMVVPBRQMTBF-MRXNPFEDSA-N 0 0 438.550 -0.446 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)C1 ZINC000508085078 1072347242 /nfs/dbraw/zinc/34/72/42/1072347242.db2.gz DYTUJNASOKBUMQ-GDBMZVCRSA-N 0 0 445.563 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)C1 ZINC000508085082 1072347103 /nfs/dbraw/zinc/34/71/03/1072347103.db2.gz DYTUJNASOKBUMQ-GOEBONIOSA-N 0 0 445.563 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)C1 ZINC000508085085 1072347059 /nfs/dbraw/zinc/34/70/59/1072347059.db2.gz DYTUJNASOKBUMQ-HOCLYGCPSA-N 0 0 445.563 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)C1 ZINC000508085088 1072347218 /nfs/dbraw/zinc/34/72/18/1072347218.db2.gz DYTUJNASOKBUMQ-ZBFHGGJFSA-N 0 0 445.563 -0.186 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000508086823 1072347499 /nfs/dbraw/zinc/34/74/99/1072347499.db2.gz JUQBLBMHUWQOPR-UHFFFAOYSA-N 0 0 425.446 -0.730 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000508086825 1072347563 /nfs/dbraw/zinc/34/75/63/1072347563.db2.gz IBONPPTVPOPEQG-DLBZAZTESA-N 0 0 441.550 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000508086826 1072347514 /nfs/dbraw/zinc/34/75/14/1072347514.db2.gz IBONPPTVPOPEQG-IAGOWNOFSA-N 0 0 441.550 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000508086827 1072347639 /nfs/dbraw/zinc/34/76/39/1072347639.db2.gz IBONPPTVPOPEQG-IRXDYDNUSA-N 0 0 441.550 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000508086828 1072347683 /nfs/dbraw/zinc/34/76/83/1072347683.db2.gz IBONPPTVPOPEQG-SJORKVTESA-N 0 0 441.550 -0.575 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1=O ZINC000508090146 1072347731 /nfs/dbraw/zinc/34/77/31/1072347731.db2.gz QPPJAISZHNYRRJ-INIZCTEOSA-N 0 0 439.534 -0.019 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1=O ZINC000508090147 1072347743 /nfs/dbraw/zinc/34/77/43/1072347743.db2.gz QPPJAISZHNYRRJ-MRXNPFEDSA-N 0 0 439.534 -0.019 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000508090517 1072347624 /nfs/dbraw/zinc/34/76/24/1072347624.db2.gz RZFSJNWLZIRUMR-HNNXBMFYSA-N 0 0 447.517 -0.118 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000508090518 1072347755 /nfs/dbraw/zinc/34/77/55/1072347755.db2.gz RZFSJNWLZIRUMR-OAHLLOKOSA-N 0 0 447.517 -0.118 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)CCO1 ZINC000508090895 1072347657 /nfs/dbraw/zinc/34/76/57/1072347657.db2.gz TYMBQGWCCXDYON-GDBMZVCRSA-N 0 0 425.507 -0.363 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)CCO1 ZINC000508090899 1072347615 /nfs/dbraw/zinc/34/76/15/1072347615.db2.gz TYMBQGWCCXDYON-GOEBONIOSA-N 0 0 425.507 -0.363 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)CCO1 ZINC000508090900 1072347765 /nfs/dbraw/zinc/34/77/65/1072347765.db2.gz TYMBQGWCCXDYON-HOCLYGCPSA-N 0 0 425.507 -0.363 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)CCO1 ZINC000508090902 1072347531 /nfs/dbraw/zinc/34/75/31/1072347531.db2.gz TYMBQGWCCXDYON-ZBFHGGJFSA-N 0 0 425.507 -0.363 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000508093203 1072348590 /nfs/dbraw/zinc/34/85/90/1072348590.db2.gz CCNDDONXQBNTLY-UHFFFAOYSA-N 0 0 430.502 -0.181 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H](C)CS(=O)(=O)c1ccc(C)cc1 ZINC000508093427 1072348774 /nfs/dbraw/zinc/34/87/74/1072348774.db2.gz DUQUIWRCSIBHHE-CABCVRRESA-N 0 0 445.563 -0.186 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c1ccc(C)cc1 ZINC000508093429 1072348785 /nfs/dbraw/zinc/34/87/85/1072348785.db2.gz DUQUIWRCSIBHHE-GJZGRUSLSA-N 0 0 445.563 -0.186 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H](C)CS(=O)(=O)c1ccc(C)cc1 ZINC000508093431 1072348551 /nfs/dbraw/zinc/34/85/51/1072348551.db2.gz DUQUIWRCSIBHHE-HUUCEWRRSA-N 0 0 445.563 -0.186 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c1ccc(C)cc1 ZINC000508093433 1072348718 /nfs/dbraw/zinc/34/87/18/1072348718.db2.gz DUQUIWRCSIBHHE-LSDHHAIUSA-N 0 0 445.563 -0.186 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000508094612 1072348577 /nfs/dbraw/zinc/34/85/77/1072348577.db2.gz GEXAHWRBTJGTGL-CYBMUJFWSA-N 0 0 431.536 -0.719 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000508094617 1072348613 /nfs/dbraw/zinc/34/86/13/1072348613.db2.gz GEXAHWRBTJGTGL-ZDUSSCGKSA-N 0 0 431.536 -0.719 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000508094679 1072348562 /nfs/dbraw/zinc/34/85/62/1072348562.db2.gz GJTFASRFPRPYTP-INIZCTEOSA-N 0 0 435.912 -0.158 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000508094680 1072348697 /nfs/dbraw/zinc/34/86/97/1072348697.db2.gz GJTFASRFPRPYTP-MRXNPFEDSA-N 0 0 435.912 -0.158 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1C ZINC000508096349 1072348799 /nfs/dbraw/zinc/34/87/99/1072348799.db2.gz MZGKXLLWUGITQU-LLVKDONJSA-N 0 0 434.462 -0.173 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1C ZINC000508096356 1072348845 /nfs/dbraw/zinc/34/88/45/1072348845.db2.gz MZGKXLLWUGITQU-NSHDSACASA-N 0 0 434.462 -0.173 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000508097509 1072349100 /nfs/dbraw/zinc/34/91/00/1072349100.db2.gz KIADMVVAZFYMRR-HNNXBMFYSA-N 0 0 435.912 -0.030 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000508097525 1072349198 /nfs/dbraw/zinc/34/91/98/1072349198.db2.gz KIADMVVAZFYMRR-OAHLLOKOSA-N 0 0 435.912 -0.030 20 0 IBADRN COc1ccc(OCc2nnc(N3CCN(C(=O)C(=O)N4CCOCC4)CC3)n2C)cc1 ZINC000508099052 1072349214 /nfs/dbraw/zinc/34/92/14/1072349214.db2.gz XNFBYCDIYAKPJE-UHFFFAOYSA-N 0 0 444.492 -0.090 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000508100356 1072349117 /nfs/dbraw/zinc/34/91/17/1072349117.db2.gz KSXQDAJFJUKKDA-HNNXBMFYSA-N 0 0 425.507 -0.409 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000508100361 1072349247 /nfs/dbraw/zinc/34/92/47/1072349247.db2.gz KSXQDAJFJUKKDA-OAHLLOKOSA-N 0 0 425.507 -0.409 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2ccc(Cl)cc2)C1=O ZINC000508101804 1072349169 /nfs/dbraw/zinc/34/91/69/1072349169.db2.gz OAJBCDCLWBFOHS-DLBZAZTESA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2ccc(Cl)cc2)C1=O ZINC000508101809 1072349386 /nfs/dbraw/zinc/34/93/86/1072349386.db2.gz OAJBCDCLWBFOHS-IAGOWNOFSA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2ccc(Cl)cc2)C1=O ZINC000508101812 1072349146 /nfs/dbraw/zinc/34/91/46/1072349146.db2.gz OAJBCDCLWBFOHS-IRXDYDNUSA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2ccc(Cl)cc2)C1=O ZINC000508101814 1072349294 /nfs/dbraw/zinc/34/92/94/1072349294.db2.gz OAJBCDCLWBFOHS-SJORKVTESA-N 0 0 438.912 -0.287 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1)NC1CC1 ZINC000508102492 1072349284 /nfs/dbraw/zinc/34/92/84/1072349284.db2.gz OXODLSXZHLKCJQ-KRWDZBQOSA-N 0 0 447.923 -0.016 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1)NC1CC1 ZINC000508102497 1072349314 /nfs/dbraw/zinc/34/93/14/1072349314.db2.gz OXODLSXZHLKCJQ-QGZVFWFLSA-N 0 0 447.923 -0.016 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000508102519 1072349135 /nfs/dbraw/zinc/34/91/35/1072349135.db2.gz MLEKTHJWPASHTP-CVEARBPZSA-N 0 0 433.575 -0.721 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000508102523 1072349233 /nfs/dbraw/zinc/34/92/33/1072349233.db2.gz MLEKTHJWPASHTP-HOTGVXAUSA-N 0 0 433.575 -0.721 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000508102524 1072349261 /nfs/dbraw/zinc/34/92/61/1072349261.db2.gz MLEKTHJWPASHTP-HZPDHXFCSA-N 0 0 433.575 -0.721 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000508102526 1072349087 /nfs/dbraw/zinc/34/90/87/1072349087.db2.gz MLEKTHJWPASHTP-JKSUJKDBSA-N 0 0 433.575 -0.721 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000508104324 1072349075 /nfs/dbraw/zinc/34/90/75/1072349075.db2.gz RFITVJCJVQXXTQ-HUUCEWRRSA-N 0 0 445.563 -0.186 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000508104325 1072349273 /nfs/dbraw/zinc/34/92/73/1072349273.db2.gz RFITVJCJVQXXTQ-LSDHHAIUSA-N 0 0 445.563 -0.186 20 0 IBADRN Cc1noc2ncc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc12 ZINC000508105003 1072349346 /nfs/dbraw/zinc/34/93/46/1072349346.db2.gz SKHHNUGUNREOGV-UHFFFAOYSA-N 0 0 444.539 -0.670 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000508108219 1072349759 /nfs/dbraw/zinc/34/97/59/1072349759.db2.gz AHIOICNKPBPHNB-AWEZNQCLSA-N 0 0 436.534 -0.021 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000508109501 1072349893 /nfs/dbraw/zinc/34/98/93/1072349893.db2.gz KRLOJJHKSRFHGM-CABCVRRESA-N 0 0 432.543 -0.147 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000508109507 1072349700 /nfs/dbraw/zinc/34/97/00/1072349700.db2.gz KRLOJJHKSRFHGM-GJZGRUSLSA-N 0 0 432.543 -0.147 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000508109509 1072349855 /nfs/dbraw/zinc/34/98/55/1072349855.db2.gz KRLOJJHKSRFHGM-HUUCEWRRSA-N 0 0 432.543 -0.147 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000508109510 1072349869 /nfs/dbraw/zinc/34/98/69/1072349869.db2.gz KRLOJJHKSRFHGM-LSDHHAIUSA-N 0 0 432.543 -0.147 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000508111129 1072349635 /nfs/dbraw/zinc/34/96/35/1072349635.db2.gz SQHIYSSCKCLNGO-HNNXBMFYSA-N 0 0 432.543 -0.147 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000508111130 1072349820 /nfs/dbraw/zinc/34/98/20/1072349820.db2.gz SQHIYSSCKCLNGO-OAHLLOKOSA-N 0 0 432.543 -0.147 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C)C(F)(F)F ZINC000508113841 1072349936 /nfs/dbraw/zinc/34/99/36/1072349936.db2.gz ABFUSRZBXRNNEF-GFCCVEGCSA-N 0 0 444.457 -0.022 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C)C(F)(F)F ZINC000508113843 1072349732 /nfs/dbraw/zinc/34/97/32/1072349732.db2.gz ABFUSRZBXRNNEF-LBPRGKRZSA-N 0 0 444.457 -0.022 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1cccc(S(N)(=O)=O)c1)C(F)(F)F ZINC000508124019 1072350309 /nfs/dbraw/zinc/35/03/09/1072350309.db2.gz AOTLHAHYWLCRKH-JTQLQIEISA-N 0 0 439.459 -0.565 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1cccc(S(N)(=O)=O)c1)C(F)(F)F ZINC000508124020 1072350383 /nfs/dbraw/zinc/35/03/83/1072350383.db2.gz AOTLHAHYWLCRKH-SNVBAGLBSA-N 0 0 439.459 -0.565 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)C(F)(F)F ZINC000508124332 1072350200 /nfs/dbraw/zinc/35/02/00/1072350200.db2.gz FSPFSUFWINIFSG-JTQLQIEISA-N 0 0 444.413 -0.683 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)C(F)(F)F ZINC000508124333 1072350420 /nfs/dbraw/zinc/35/04/20/1072350420.db2.gz FSPFSUFWINIFSG-SNVBAGLBSA-N 0 0 444.413 -0.683 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C)CC1 ZINC000508128170 1072350407 /nfs/dbraw/zinc/35/04/07/1072350407.db2.gz WHHRLLXWIIMOLI-CYBMUJFWSA-N 0 0 438.576 -0.698 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C)CC1 ZINC000508128171 1072350291 /nfs/dbraw/zinc/35/02/91/1072350291.db2.gz WHHRLLXWIIMOLI-ZDUSSCGKSA-N 0 0 438.576 -0.698 20 0 IBADRN Cn1c(-c2cccc(S(=O)(=O)N3CCOCC3)c2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC000508128628 1072350162 /nfs/dbraw/zinc/35/01/62/1072350162.db2.gz BYZNDDJBLPONOZ-HNNXBMFYSA-N 0 0 447.521 -0.283 20 0 IBADRN Cn1c(-c2cccc(S(=O)(=O)N3CCOCC3)c2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC000508128639 1072350350 /nfs/dbraw/zinc/35/03/50/1072350350.db2.gz BYZNDDJBLPONOZ-OAHLLOKOSA-N 0 0 447.521 -0.283 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1ccc(S(C)(=O)=O)nc1)C(F)(F)F ZINC000508129833 1072350274 /nfs/dbraw/zinc/35/02/74/1072350274.db2.gz WKKZAFPQQDODNV-SECBINFHSA-N 0 0 439.459 -0.414 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1ccc(S(C)(=O)=O)nc1)C(F)(F)F ZINC000508129834 1072350178 /nfs/dbraw/zinc/35/01/78/1072350178.db2.gz WKKZAFPQQDODNV-VIFPVBQESA-N 0 0 439.459 -0.414 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc(C(=O)N4CCCC4)n3C)CC2)C[C@H](C)O1 ZINC000508131017 1072350335 /nfs/dbraw/zinc/35/03/35/1072350335.db2.gz NFMZHEFVILVXFG-GASCZTMLSA-N 0 0 441.558 -0.473 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3nnc(C(=O)N4CCCC4)n3C)CC2)C[C@H](C)O1 ZINC000508131021 1072350258 /nfs/dbraw/zinc/35/02/58/1072350258.db2.gz NFMZHEFVILVXFG-GJZGRUSLSA-N 0 0 441.558 -0.473 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc(C(=O)N4CCCC4)n3C)CC2)C[C@@H](C)O1 ZINC000508131023 1072350366 /nfs/dbraw/zinc/35/03/66/1072350366.db2.gz NFMZHEFVILVXFG-HUUCEWRRSA-N 0 0 441.558 -0.473 20 0 IBADRN CC(C)N1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000508132125 1072349841 /nfs/dbraw/zinc/34/98/41/1072349841.db2.gz HQQYNUVWSNTEFV-INIZCTEOSA-N 0 0 445.563 -0.153 20 0 IBADRN CC(C)N1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000508132128 1072349680 /nfs/dbraw/zinc/34/96/80/1072349680.db2.gz HQQYNUVWSNTEFV-MRXNPFEDSA-N 0 0 445.563 -0.153 20 0 IBADRN CCNC(=O)CN(CC)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000508133789 1072349793 /nfs/dbraw/zinc/34/97/93/1072349793.db2.gz SRPXOZOWQJDFMF-UHFFFAOYSA-N 0 0 426.543 -0.299 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000508134352 1072350719 /nfs/dbraw/zinc/35/07/19/1072350719.db2.gz IHPHZCRJSVBRKV-KBPBESRZSA-N 0 0 434.536 -0.212 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000508134363 1072350806 /nfs/dbraw/zinc/35/08/06/1072350806.db2.gz IHPHZCRJSVBRKV-KGLIPLIRSA-N 0 0 434.536 -0.212 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000508134367 1072350748 /nfs/dbraw/zinc/35/07/48/1072350748.db2.gz IHPHZCRJSVBRKV-UONOGXRCSA-N 0 0 434.536 -0.212 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000508134370 1072350689 /nfs/dbraw/zinc/35/06/89/1072350689.db2.gz IHPHZCRJSVBRKV-ZIAGYGMSSA-N 0 0 434.536 -0.212 20 0 IBADRN Cn1c(-c2csc(N3CCOCC3)n2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000508135591 1072351309 /nfs/dbraw/zinc/35/13/09/1072351309.db2.gz YVGMUAARVCWSIJ-UHFFFAOYSA-N 0 0 445.513 -0.890 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1C ZINC000508139435 1072351269 /nfs/dbraw/zinc/35/12/69/1072351269.db2.gz VEQWSHINVHIRRI-KBPBESRZSA-N 0 0 429.547 -0.571 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1C ZINC000508139436 1072351221 /nfs/dbraw/zinc/35/12/21/1072351221.db2.gz VEQWSHINVHIRRI-OKILXGFUSA-N 0 0 429.547 -0.571 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1C ZINC000508139437 1072351193 /nfs/dbraw/zinc/35/11/93/1072351193.db2.gz VEQWSHINVHIRRI-ZIAGYGMSSA-N 0 0 429.547 -0.571 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000508143183 1072351277 /nfs/dbraw/zinc/35/12/77/1072351277.db2.gz BIYGFJDEESNTMR-CVEARBPZSA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000508143184 1072351298 /nfs/dbraw/zinc/35/12/98/1072351298.db2.gz BIYGFJDEESNTMR-HOTGVXAUSA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000508143185 1072351229 /nfs/dbraw/zinc/35/12/29/1072351229.db2.gz BIYGFJDEESNTMR-HZPDHXFCSA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000508143186 1072351358 /nfs/dbraw/zinc/35/13/58/1072351358.db2.gz BIYGFJDEESNTMR-JKSUJKDBSA-N 0 0 432.520 -0.361 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000508143646 1072351336 /nfs/dbraw/zinc/35/13/36/1072351336.db2.gz BEEDRXQRUHVNBH-KRWDZBQOSA-N 0 0 430.557 -0.407 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000508143647 1072351177 /nfs/dbraw/zinc/35/11/77/1072351177.db2.gz BEEDRXQRUHVNBH-QGZVFWFLSA-N 0 0 430.557 -0.407 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1cccc(-c2nnnn2C)c1)C(F)(F)F ZINC000508143941 1072351283 /nfs/dbraw/zinc/35/12/83/1072351283.db2.gz PQVVBLQXEXPQOP-LLVKDONJSA-N 0 0 442.445 -0.022 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1cccc(-c2nnnn2C)c1)C(F)(F)F ZINC000508143945 1072351209 /nfs/dbraw/zinc/35/12/09/1072351209.db2.gz PQVVBLQXEXPQOP-NSHDSACASA-N 0 0 442.445 -0.022 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc2c(c1)OCCCO2 ZINC000508146504 1072351152 /nfs/dbraw/zinc/35/11/52/1072351152.db2.gz ITIVYZZXOOWCTD-CABCVRRESA-N 0 0 432.520 -0.376 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc2c(c1)OCCCO2 ZINC000508146509 1072351629 /nfs/dbraw/zinc/35/16/29/1072351629.db2.gz ITIVYZZXOOWCTD-GJZGRUSLSA-N 0 0 432.520 -0.376 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc2c(c1)OCCCO2 ZINC000508146511 1072351733 /nfs/dbraw/zinc/35/17/33/1072351733.db2.gz ITIVYZZXOOWCTD-HUUCEWRRSA-N 0 0 432.520 -0.376 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc2c(c1)OCCCO2 ZINC000508146513 1072351687 /nfs/dbraw/zinc/35/16/87/1072351687.db2.gz ITIVYZZXOOWCTD-LSDHHAIUSA-N 0 0 432.520 -0.376 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000508146603 1072351324 /nfs/dbraw/zinc/35/13/24/1072351324.db2.gz JIOXOUFRGIZJDA-CABCVRRESA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000508146605 1072351245 /nfs/dbraw/zinc/35/12/45/1072351245.db2.gz JIOXOUFRGIZJDA-GJZGRUSLSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000508146607 1072351160 /nfs/dbraw/zinc/35/11/60/1072351160.db2.gz JIOXOUFRGIZJDA-HUUCEWRRSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000508146609 1072351238 /nfs/dbraw/zinc/35/12/38/1072351238.db2.gz JIOXOUFRGIZJDA-LSDHHAIUSA-N 0 0 432.520 -0.442 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000508147247 1072351607 /nfs/dbraw/zinc/35/16/07/1072351607.db2.gz KNBNJFALUWCDMQ-CABCVRRESA-N 0 0 447.535 -0.570 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000508147250 1072351712 /nfs/dbraw/zinc/35/17/12/1072351712.db2.gz KNBNJFALUWCDMQ-GJZGRUSLSA-N 0 0 447.535 -0.570 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000508147253 1072351748 /nfs/dbraw/zinc/35/17/48/1072351748.db2.gz KNBNJFALUWCDMQ-HUUCEWRRSA-N 0 0 447.535 -0.570 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000508147255 1072351753 /nfs/dbraw/zinc/35/17/53/1072351753.db2.gz KNBNJFALUWCDMQ-LSDHHAIUSA-N 0 0 447.535 -0.570 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000508148033 1072351655 /nfs/dbraw/zinc/35/16/55/1072351655.db2.gz HAUWMLFSUOZQEX-UHFFFAOYSA-N 0 0 449.527 -0.099 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NCC2(S(C)(=O)=O)CCCC2)c1=O ZINC000508148797 1072351726 /nfs/dbraw/zinc/35/17/26/1072351726.db2.gz ICMVRNSFPGTYQG-UHFFFAOYSA-N 0 0 427.479 -0.177 20 0 IBADRN CCN(CCCNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)S(C)(=O)=O ZINC000508148813 1072351638 /nfs/dbraw/zinc/35/16/38/1072351638.db2.gz IFXPHMDHKVFRFB-HNNXBMFYSA-N 0 0 440.588 -0.506 20 0 IBADRN CCN(CCCNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)S(C)(=O)=O ZINC000508148814 1072351616 /nfs/dbraw/zinc/35/16/16/1072351616.db2.gz IFXPHMDHKVFRFB-OAHLLOKOSA-N 0 0 440.588 -0.506 20 0 IBADRN O=C1CCCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2N1 ZINC000508149160 1072351671 /nfs/dbraw/zinc/35/16/71/1072351671.db2.gz OVKRKXYFOYHDOP-DLBZAZTESA-N 0 0 443.547 -0.263 20 0 IBADRN O=C1CCCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2N1 ZINC000508149161 1072351646 /nfs/dbraw/zinc/35/16/46/1072351646.db2.gz OVKRKXYFOYHDOP-IAGOWNOFSA-N 0 0 443.547 -0.263 20 0 IBADRN O=C1CCCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2N1 ZINC000508149162 1072351692 /nfs/dbraw/zinc/35/16/92/1072351692.db2.gz OVKRKXYFOYHDOP-IRXDYDNUSA-N 0 0 443.547 -0.263 20 0 IBADRN O=C1CCCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2N1 ZINC000508149163 1072351756 /nfs/dbraw/zinc/35/17/56/1072351756.db2.gz OVKRKXYFOYHDOP-SJORKVTESA-N 0 0 443.547 -0.263 20 0 IBADRN O=C1CCCN1c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000508150731 1072350230 /nfs/dbraw/zinc/35/02/30/1072350230.db2.gz UETJEANTNJQPRH-DLBZAZTESA-N 0 0 443.547 -0.411 20 0 IBADRN O=C1CCCN1c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000508150752 1072350684 /nfs/dbraw/zinc/35/06/84/1072350684.db2.gz UETJEANTNJQPRH-IAGOWNOFSA-N 0 0 443.547 -0.411 20 0 IBADRN O=C1CCCN1c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000508150753 1072350838 /nfs/dbraw/zinc/35/08/38/1072350838.db2.gz UETJEANTNJQPRH-IRXDYDNUSA-N 0 0 443.547 -0.411 20 0 IBADRN O=C1CCCN1c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000508150755 1072350769 /nfs/dbraw/zinc/35/07/69/1072350769.db2.gz UETJEANTNJQPRH-SJORKVTESA-N 0 0 443.547 -0.411 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)n1C ZINC000508152218 1072350727 /nfs/dbraw/zinc/35/07/27/1072350727.db2.gz TUXPWJCXJIQXHW-CABCVRRESA-N 0 0 427.575 -0.052 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)n1C ZINC000508152219 1072350754 /nfs/dbraw/zinc/35/07/54/1072350754.db2.gz TUXPWJCXJIQXHW-GJZGRUSLSA-N 0 0 427.575 -0.052 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)n1C ZINC000508152220 1072350700 /nfs/dbraw/zinc/35/07/00/1072350700.db2.gz TUXPWJCXJIQXHW-HUUCEWRRSA-N 0 0 427.575 -0.052 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)n1C ZINC000508152221 1072350779 /nfs/dbraw/zinc/35/07/79/1072350779.db2.gz TUXPWJCXJIQXHW-LSDHHAIUSA-N 0 0 427.575 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc([C@@H]4COCCO4)n3C)CC2)C[C@@H](C)O1 ZINC000508154622 1072352558 /nfs/dbraw/zinc/35/25/58/1072352558.db2.gz LMFHHKONNOMYOE-KFWWJZLASA-N 0 0 430.531 -0.621 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc([C@H]4COCCO4)n3C)CC2)C[C@H](C)O1 ZINC000508154623 1072352494 /nfs/dbraw/zinc/35/24/94/1072352494.db2.gz LMFHHKONNOMYOE-QLFBSQMISA-N 0 0 430.531 -0.621 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc([C@H]4COCCO4)n3C)CC2)C[C@@H](C)O1 ZINC000508154624 1072352478 /nfs/dbraw/zinc/35/24/78/1072352478.db2.gz LMFHHKONNOMYOE-RBSFLKMASA-N 0 0 430.531 -0.621 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc([C@@H]4COCCO4)n3C)CC2)C[C@H](C)O1 ZINC000508154625 1072352501 /nfs/dbraw/zinc/35/25/01/1072352501.db2.gz LMFHHKONNOMYOE-ZNMIVQPWSA-N 0 0 430.531 -0.621 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000508157903 1072352606 /nfs/dbraw/zinc/35/26/06/1072352606.db2.gz ABICFNJUEKIPKT-UHFFFAOYSA-N 0 0 442.513 -0.228 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCNC(=O)c1ccc(F)cc1 ZINC000508158016 1072352530 /nfs/dbraw/zinc/35/25/30/1072352530.db2.gz GELFSOHCULCJPL-UHFFFAOYSA-N 0 0 436.421 -0.073 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000508160584 1072531861 /nfs/dbraw/zinc/53/18/61/1072531861.db2.gz HBHLCSZULVRYLZ-CABCVRRESA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000508160585 1072352620 /nfs/dbraw/zinc/35/26/20/1072352620.db2.gz HBHLCSZULVRYLZ-GJZGRUSLSA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000508160586 1072352569 /nfs/dbraw/zinc/35/25/69/1072352569.db2.gz HBHLCSZULVRYLZ-HUUCEWRRSA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000508160587 1072352601 /nfs/dbraw/zinc/35/26/01/1072352601.db2.gz HBHLCSZULVRYLZ-LSDHHAIUSA-N 0 0 438.572 -0.754 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(S(C)(=O)=O)CCCC1)CC2 ZINC000508161063 1072352515 /nfs/dbraw/zinc/35/25/15/1072352515.db2.gz JVMQAVUXJKOKJT-UHFFFAOYSA-N 0 0 443.547 -0.043 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000508161959 1072352912 /nfs/dbraw/zinc/35/29/12/1072352912.db2.gz MLUYQYXNKUTNCC-HNNXBMFYSA-N 0 0 433.552 -0.249 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000508161961 1072352881 /nfs/dbraw/zinc/35/28/81/1072352881.db2.gz MLUYQYXNKUTNCC-OAHLLOKOSA-N 0 0 433.552 -0.249 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(C(=O)N3CCN(C)CC3)cc1)c(=O)n2C ZINC000508162837 1072352842 /nfs/dbraw/zinc/35/28/42/1072352842.db2.gz OJVHBHVQDFWWNC-UHFFFAOYSA-N 0 0 439.476 -0.540 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000508162935 1072531729 /nfs/dbraw/zinc/53/17/29/1072531729.db2.gz MWBOMONRJKQBFZ-UHFFFAOYSA-N 0 0 435.500 -0.441 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)no2)cn1 ZINC000508163033 1072352955 /nfs/dbraw/zinc/35/29/55/1072352955.db2.gz LSUCKUFBOCTUCO-KBPBESRZSA-N 0 0 439.498 -0.023 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)no2)cn1 ZINC000508163055 1072353024 /nfs/dbraw/zinc/35/30/24/1072353024.db2.gz LSUCKUFBOCTUCO-KGLIPLIRSA-N 0 0 439.498 -0.023 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)no2)cn1 ZINC000508163060 1072531790 /nfs/dbraw/zinc/53/17/90/1072531790.db2.gz LSUCKUFBOCTUCO-UONOGXRCSA-N 0 0 439.498 -0.023 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)no2)cn1 ZINC000508163063 1072352824 /nfs/dbraw/zinc/35/28/24/1072352824.db2.gz LSUCKUFBOCTUCO-ZIAGYGMSSA-N 0 0 439.498 -0.023 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000508166529 1072351700 /nfs/dbraw/zinc/35/17/00/1072351700.db2.gz VHROTLRVJRTULJ-UHFFFAOYSA-N 0 0 428.486 -0.618 20 0 IBADRN Cn1c(CNC(=O)c2cccs2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000508168370 1072352144 /nfs/dbraw/zinc/35/21/44/1072352144.db2.gz IFKUHKKGUSQXLZ-UHFFFAOYSA-N 0 0 433.538 -0.213 20 0 IBADRN COc1ccccc1Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C ZINC000508169331 1072352104 /nfs/dbraw/zinc/35/21/04/1072352104.db2.gz PZKHXCOEUVPLRR-UHFFFAOYSA-N 0 0 426.481 -0.119 20 0 IBADRN Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000508169354 1072352166 /nfs/dbraw/zinc/35/21/66/1072352166.db2.gz QGOVFDLASYEOEK-UHFFFAOYSA-N 0 0 436.480 -0.271 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C)CC1 ZINC000508170719 1072352055 /nfs/dbraw/zinc/35/20/55/1072352055.db2.gz ICMZQEPLYYBWNO-UHFFFAOYSA-N 0 0 426.485 -0.658 20 0 IBADRN COc1cc(-c2nnc(N3CCN(C(=O)Cn4cnnn4)CC3)n2C)cc(OC)c1OC ZINC000508171611 1072352214 /nfs/dbraw/zinc/35/22/14/1072352214.db2.gz MVHMLBCOTKUWKV-UHFFFAOYSA-N 0 0 443.468 -0.157 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000508173120 1072353313 /nfs/dbraw/zinc/35/33/13/1072353313.db2.gz ABJLBIFPFKWIFM-UHFFFAOYSA-N 0 0 449.527 -0.053 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000508174389 1072353325 /nfs/dbraw/zinc/35/33/25/1072353325.db2.gz FBIAMPDOMCXXQJ-UHFFFAOYSA-N 0 0 435.500 -0.313 20 0 IBADRN Cn1c(C(=O)NCc2ccc(Cl)cc2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000508174621 1072353431 /nfs/dbraw/zinc/35/34/31/1072353431.db2.gz RQNZQFZRHZVVTP-UHFFFAOYSA-N 0 0 444.887 -0.266 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000508174778 1072353549 /nfs/dbraw/zinc/35/35/49/1072353549.db2.gz KORQMTFDIFFIQV-CYBMUJFWSA-N 0 0 441.535 -0.828 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000508174788 1072353378 /nfs/dbraw/zinc/35/33/78/1072353378.db2.gz KORQMTFDIFFIQV-ZDUSSCGKSA-N 0 0 441.535 -0.828 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cc2)CC1 ZINC000508174962 1072353590 /nfs/dbraw/zinc/35/35/90/1072353590.db2.gz UFIIPXSJOVTHOB-UHFFFAOYSA-N 0 0 439.476 -0.540 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1)NC1CC1 ZINC000508179801 1072353647 /nfs/dbraw/zinc/35/36/47/1072353647.db2.gz SEOMCDUECAFTAD-UHFFFAOYSA-N 0 0 447.511 -0.299 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)C1 ZINC000508180494 1072354090 /nfs/dbraw/zinc/35/40/90/1072354090.db2.gz URKMZGNJEGURBH-INIZCTEOSA-N 0 0 442.513 -0.181 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)C1 ZINC000508180496 1072354035 /nfs/dbraw/zinc/35/40/35/1072354035.db2.gz URKMZGNJEGURBH-MRXNPFEDSA-N 0 0 442.513 -0.181 20 0 IBADRN Cn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000508182706 1072353988 /nfs/dbraw/zinc/35/39/88/1072353988.db2.gz ZIDPIIPXPWOWJC-UHFFFAOYSA-N 0 0 432.506 -0.187 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCNC(=O)c3ccn[nH]3)CC2)c1 ZINC000508183391 1072352901 /nfs/dbraw/zinc/35/29/01/1072352901.db2.gz RJSYEHFZMAYZNJ-UHFFFAOYSA-N 0 0 434.522 -0.284 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)c2ccn[nH]2)cc1S(=O)(=O)N1CCOCC1 ZINC000508185335 1072353012 /nfs/dbraw/zinc/35/30/12/1072353012.db2.gz XJOWQPXUUQJSJZ-UHFFFAOYSA-N 0 0 437.478 -0.401 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3nn(C)c(=O)c4ccccc43)n2C)CC1 ZINC000508185841 1072352999 /nfs/dbraw/zinc/35/29/99/1072352999.db2.gz QADGHYWKKBUTRI-UHFFFAOYSA-N 0 0 440.508 -0.386 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000508187034 1072353036 /nfs/dbraw/zinc/35/30/36/1072353036.db2.gz IZNDVZRROXBZSK-HNNXBMFYSA-N 0 0 433.527 -0.175 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000508187035 1072352792 /nfs/dbraw/zinc/35/27/92/1072352792.db2.gz IZNDVZRROXBZSK-OAHLLOKOSA-N 0 0 433.527 -0.175 20 0 IBADRN COC(=O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000508190403 1072352809 /nfs/dbraw/zinc/35/28/09/1072352809.db2.gz KUXOYWGNKZRQIZ-UHFFFAOYSA-N 0 0 441.506 -0.211 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCNC(=O)c3ccn[nH]3)cc2)CC1 ZINC000508194830 1072354414 /nfs/dbraw/zinc/35/44/14/1072354414.db2.gz PJUHQPCHCKGASQ-UHFFFAOYSA-N 0 0 448.505 -0.578 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000508198427 1072355129 /nfs/dbraw/zinc/35/51/29/1072355129.db2.gz BHROTZBBMHAFTL-HNNXBMFYSA-N 0 0 433.575 -0.721 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000508198428 1072355012 /nfs/dbraw/zinc/35/50/12/1072355012.db2.gz BHROTZBBMHAFTL-OAHLLOKOSA-N 0 0 433.575 -0.721 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000508199927 1072355079 /nfs/dbraw/zinc/35/50/79/1072355079.db2.gz SMPCBJWNOZWNSC-INIZCTEOSA-N 0 0 426.562 -0.935 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000508199934 1072355040 /nfs/dbraw/zinc/35/50/40/1072355040.db2.gz SMPCBJWNOZWNSC-MRXNPFEDSA-N 0 0 426.562 -0.935 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)NCc3ccccc3)CC2)n1C ZINC000508201221 1072355025 /nfs/dbraw/zinc/35/50/25/1072355025.db2.gz LYYWQZRWHUWSEU-HNNXBMFYSA-N 0 0 435.554 -0.136 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)NCc3ccccc3)CC2)n1C ZINC000508201222 1072355235 /nfs/dbraw/zinc/35/52/35/1072355235.db2.gz LYYWQZRWHUWSEU-OAHLLOKOSA-N 0 0 435.554 -0.136 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000508203268 1072355187 /nfs/dbraw/zinc/35/51/87/1072355187.db2.gz GVTUCWOAPSEENZ-LBPRGKRZSA-N 0 0 437.478 -0.216 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCCNC(=O)c1ccn[nH]1 ZINC000508206917 1072354059 /nfs/dbraw/zinc/35/40/59/1072354059.db2.gz VIXOUVPTNLTDBL-UHFFFAOYSA-N 0 0 435.506 -0.091 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)NC2CCN(CC(=O)NC)CC2)C1 ZINC000508207079 1072353964 /nfs/dbraw/zinc/35/39/64/1072353964.db2.gz QNYBKDHUWALGSH-HNNXBMFYSA-N 0 0 425.530 -0.360 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)NC2CCN(CC(=O)NC)CC2)C1 ZINC000508207082 1072354112 /nfs/dbraw/zinc/35/41/12/1072354112.db2.gz QNYBKDHUWALGSH-OAHLLOKOSA-N 0 0 425.530 -0.360 20 0 IBADRN CN(C)C(=O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000508210765 1072354737 /nfs/dbraw/zinc/35/47/37/1072354737.db2.gz WXSOMHLDANDABX-CYBMUJFWSA-N 0 0 439.943 -0.374 20 0 IBADRN CN(C)C(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000508210766 1072354511 /nfs/dbraw/zinc/35/45/11/1072354511.db2.gz WXSOMHLDANDABX-ZDUSSCGKSA-N 0 0 439.943 -0.374 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000508220945 1072355995 /nfs/dbraw/zinc/35/59/95/1072355995.db2.gz VNNOVCXJYXOKMH-CYBMUJFWSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc(S(C)(=O)=O)c1 ZINC000508220948 1072356078 /nfs/dbraw/zinc/35/60/78/1072356078.db2.gz VNNOVCXJYXOKMH-ZDUSSCGKSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1cccc(C(F)(F)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)n1 ZINC000508221639 1072356173 /nfs/dbraw/zinc/35/61/73/1072356173.db2.gz WYVVDAOGGHPZJM-UHFFFAOYSA-N 0 0 448.386 -0.118 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000508222562 1072356099 /nfs/dbraw/zinc/35/60/99/1072356099.db2.gz DVLKYEADMBLRNP-CYBMUJFWSA-N 0 0 432.524 -0.489 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000508222563 1072356035 /nfs/dbraw/zinc/35/60/35/1072356035.db2.gz DVLKYEADMBLRNP-ZDUSSCGKSA-N 0 0 432.524 -0.489 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c(F)c1 ZINC000508223667 1072356066 /nfs/dbraw/zinc/35/60/66/1072356066.db2.gz QKMDWFRSJCRRTO-UHFFFAOYSA-N 0 0 446.482 -0.063 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC3(S(C)(=O)=O)CCCC3)cnc2n(C)c1=O ZINC000508227397 1072356489 /nfs/dbraw/zinc/35/64/89/1072356489.db2.gz TYXCCAUDGLHHGA-UHFFFAOYSA-N 0 0 437.478 -0.956 20 0 IBADRN O=C(NCCn1cc(Br)cn1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000508228622 1072356452 /nfs/dbraw/zinc/35/64/52/1072356452.db2.gz DBURKUVWORIBMQ-UHFFFAOYSA-N 0 0 440.302 -0.071 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000508229246 1072355249 /nfs/dbraw/zinc/35/52/49/1072355249.db2.gz KWUAUWDUSCBPOP-BBRMVZONSA-N 0 0 438.506 -0.543 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000508229247 1072355244 /nfs/dbraw/zinc/35/52/44/1072355244.db2.gz KWUAUWDUSCBPOP-CJNGLKHVSA-N 0 0 438.506 -0.543 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000508229248 1072355230 /nfs/dbraw/zinc/35/52/30/1072355230.db2.gz KWUAUWDUSCBPOP-CZUORRHYSA-N 0 0 438.506 -0.543 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000508229249 1072354967 /nfs/dbraw/zinc/35/49/67/1072354967.db2.gz KWUAUWDUSCBPOP-XJKSGUPXSA-N 0 0 438.506 -0.543 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000508229659 1072355100 /nfs/dbraw/zinc/35/51/00/1072355100.db2.gz NBJSHEWSGXOYJI-INIZCTEOSA-N 0 0 442.542 -0.916 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000508229662 1072355140 /nfs/dbraw/zinc/35/51/40/1072355140.db2.gz NBJSHEWSGXOYJI-MRXNPFEDSA-N 0 0 442.542 -0.916 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508230394 1072355718 /nfs/dbraw/zinc/35/57/18/1072355718.db2.gz PWQBJOYNNGGHSS-AWEZNQCLSA-N 0 0 446.551 -0.194 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508230400 1072355580 /nfs/dbraw/zinc/35/55/80/1072355580.db2.gz PWQBJOYNNGGHSS-CQSZACIVSA-N 0 0 446.551 -0.194 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000508230499 1072355659 /nfs/dbraw/zinc/35/56/59/1072355659.db2.gz ACUIRQJQQOAVJQ-AWEZNQCLSA-N 0 0 446.551 -0.235 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000508230501 1072355678 /nfs/dbraw/zinc/35/56/78/1072355678.db2.gz ACUIRQJQQOAVJQ-CQSZACIVSA-N 0 0 446.551 -0.235 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508230787 1072355542 /nfs/dbraw/zinc/35/55/42/1072355542.db2.gz RDIBVGMBVXMDLU-CYBMUJFWSA-N 0 0 446.551 -0.228 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508230788 1072355569 /nfs/dbraw/zinc/35/55/69/1072355569.db2.gz RDIBVGMBVXMDLU-ZDUSSCGKSA-N 0 0 446.551 -0.228 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000508231252 1072355670 /nfs/dbraw/zinc/35/56/70/1072355670.db2.gz SXXJLMYGSLWZHI-GFCCVEGCSA-N 0 0 425.446 -0.330 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000508231253 1072355610 /nfs/dbraw/zinc/35/56/10/1072355610.db2.gz SXXJLMYGSLWZHI-LBPRGKRZSA-N 0 0 425.446 -0.330 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508231533 1072355554 /nfs/dbraw/zinc/35/55/54/1072355554.db2.gz URTWIQPGDGIOTN-CYBMUJFWSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508231534 1072355710 /nfs/dbraw/zinc/35/57/10/1072355710.db2.gz URTWIQPGDGIOTN-ZDUSSCGKSA-N 0 0 432.524 -0.537 20 0 IBADRN O=S(=O)(NCc1nnc2n1CCC2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000508231619 1072355647 /nfs/dbraw/zinc/35/56/47/1072355647.db2.gz OXNHKEDWRSJXGQ-UHFFFAOYSA-N 0 0 427.508 -0.276 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1-n1cnnn1 ZINC000508232168 1072355521 /nfs/dbraw/zinc/35/55/21/1072355521.db2.gz GFDLMXMHDOGBAU-CYBMUJFWSA-N 0 0 437.482 -0.460 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1-n1cnnn1 ZINC000508232173 1072355735 /nfs/dbraw/zinc/35/57/35/1072355735.db2.gz GFDLMXMHDOGBAU-ZDUSSCGKSA-N 0 0 437.482 -0.460 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000508233092 1072355507 /nfs/dbraw/zinc/35/55/07/1072355507.db2.gz IBWHGYBSSZOOPP-AWEZNQCLSA-N 0 0 429.520 -0.115 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000508233097 1072355597 /nfs/dbraw/zinc/35/55/97/1072355597.db2.gz IBWHGYBSSZOOPP-CQSZACIVSA-N 0 0 429.520 -0.115 20 0 IBADRN O=C(NCCn1cc(Br)cn1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000508233159 1072355448 /nfs/dbraw/zinc/35/54/48/1072355448.db2.gz IFQSJZLDLFYNRN-UHFFFAOYSA-N 0 0 436.270 -0.268 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000508233721 1072356904 /nfs/dbraw/zinc/35/69/04/1072356904.db2.gz YFJXHSGBBQNOEN-GOSISDBHSA-N 0 0 444.584 -0.017 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000508233725 1072356946 /nfs/dbraw/zinc/35/69/46/1072356946.db2.gz YFJXHSGBBQNOEN-SFHVURJKSA-N 0 0 444.584 -0.017 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCCn2cc(Br)cn2)cn1 ZINC000508234977 1072357141 /nfs/dbraw/zinc/35/71/41/1072357141.db2.gz MTVLJHLYKUBJFT-UHFFFAOYSA-N 0 0 442.274 -0.640 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000508235850 1072357133 /nfs/dbraw/zinc/35/71/33/1072357133.db2.gz PEBJFAGXPLRICJ-GFCCVEGCSA-N 0 0 436.487 -0.658 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000508235855 1072357109 /nfs/dbraw/zinc/35/71/09/1072357109.db2.gz PEBJFAGXPLRICJ-LBPRGKRZSA-N 0 0 436.487 -0.658 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000508236272 1072357615 /nfs/dbraw/zinc/35/76/15/1072357615.db2.gz QPRPXTHXGFUUFV-HNNXBMFYSA-N 0 0 425.511 -0.213 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC000508236274 1072357608 /nfs/dbraw/zinc/35/76/08/1072357608.db2.gz QPRPXTHXGFUUFV-OAHLLOKOSA-N 0 0 425.511 -0.213 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1C ZINC000508237447 1072533697 /nfs/dbraw/zinc/53/36/97/1072533697.db2.gz SZJXOXZZCMUOAV-CYBMUJFWSA-N 0 0 446.551 -0.228 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1C ZINC000508237448 1072357409 /nfs/dbraw/zinc/35/74/09/1072357409.db2.gz SZJXOXZZCMUOAV-ZDUSSCGKSA-N 0 0 446.551 -0.228 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1C ZINC000508237471 1072357603 /nfs/dbraw/zinc/35/76/03/1072357603.db2.gz UAUUIKQCAOSCIR-CYBMUJFWSA-N 0 0 446.551 -0.180 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1C ZINC000508237472 1072357635 /nfs/dbraw/zinc/35/76/35/1072357635.db2.gz UAUUIKQCAOSCIR-ZDUSSCGKSA-N 0 0 446.551 -0.180 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000508237714 1072357553 /nfs/dbraw/zinc/35/75/53/1072357553.db2.gz ACFYAUKBPGYRIM-CJNGLKHVSA-N 0 0 449.526 -0.510 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@@H]3CCCCN3S(C)(=O)=O)cc2)c1=O ZINC000508238076 1072357596 /nfs/dbraw/zinc/35/75/96/1072357596.db2.gz VBGVFUPRSRKMTI-HNNXBMFYSA-N 0 0 436.494 -0.560 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@H]3CCCCN3S(C)(=O)=O)cc2)c1=O ZINC000508238077 1072357498 /nfs/dbraw/zinc/35/74/98/1072357498.db2.gz VBGVFUPRSRKMTI-OAHLLOKOSA-N 0 0 436.494 -0.560 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(F)c1 ZINC000508239042 1072357564 /nfs/dbraw/zinc/35/75/64/1072357564.db2.gz YPOMOGLRHQMNTO-UHFFFAOYSA-N 0 0 435.547 -0.652 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000508240959 1072357543 /nfs/dbraw/zinc/35/75/43/1072357543.db2.gz HQBXLYBXRDNMEJ-GFCCVEGCSA-N 0 0 444.535 -0.773 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000508240961 1072357395 /nfs/dbraw/zinc/35/73/95/1072357395.db2.gz HQBXLYBXRDNMEJ-LBPRGKRZSA-N 0 0 444.535 -0.773 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c(C)c1 ZINC000508242942 1072356607 /nfs/dbraw/zinc/35/66/07/1072356607.db2.gz OCOUOMPCRRJHBF-AWEZNQCLSA-N 0 0 440.522 -0.011 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c(C)c1 ZINC000508242943 1072356616 /nfs/dbraw/zinc/35/66/16/1072356616.db2.gz OCOUOMPCRRJHBF-CQSZACIVSA-N 0 0 440.522 -0.011 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000508243889 1074354051 /nfs/dbraw/zinc/35/40/51/1074354051.db2.gz TYZJEBHSJBXZOB-HNNXBMFYSA-N 0 0 442.542 -0.916 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000508243890 1074354739 /nfs/dbraw/zinc/35/47/39/1074354739.db2.gz TYZJEBHSJBXZOB-OAHLLOKOSA-N 0 0 442.542 -0.916 20 0 IBADRN O=C(NCCn1cc(Br)cn1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000508244093 1072356470 /nfs/dbraw/zinc/35/64/70/1072356470.db2.gz UWFQOFZYDLCTAL-UHFFFAOYSA-N 0 0 440.302 -0.071 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(Cc3nc4ccccc4[nH]3)CC1)c(=O)n2C ZINC000508245278 1072356413 /nfs/dbraw/zinc/35/64/13/1072356413.db2.gz AZCSFWKVWDEYFQ-UHFFFAOYSA-N 0 0 436.476 -0.346 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC(=O)NC2CC2)c1OC ZINC000508245784 1072356497 /nfs/dbraw/zinc/35/64/97/1072356497.db2.gz HVGDJUHYMWRCBS-UHFFFAOYSA-N 0 0 427.479 -0.267 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000508246469 1072356509 /nfs/dbraw/zinc/35/65/09/1072356509.db2.gz FWFCMVUBKIHZDR-AWEZNQCLSA-N 0 0 426.495 -0.190 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(OCCC(N)=O)cc1 ZINC000508246470 1072356400 /nfs/dbraw/zinc/35/64/00/1072356400.db2.gz FWFCMVUBKIHZDR-CQSZACIVSA-N 0 0 426.495 -0.190 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000508246827 1072356602 /nfs/dbraw/zinc/35/66/02/1072356602.db2.gz GLDWEQVXFXQNES-AWEZNQCLSA-N 0 0 425.511 -0.213 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccnc1N1CCOCC1 ZINC000508246828 1072356536 /nfs/dbraw/zinc/35/65/36/1072356536.db2.gz GLDWEQVXFXQNES-CQSZACIVSA-N 0 0 425.511 -0.213 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1OCC(N)=O ZINC000508247392 1072356422 /nfs/dbraw/zinc/35/64/22/1072356422.db2.gz CKLYDMADJBUIMA-CYBMUJFWSA-N 0 0 442.494 -0.572 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1OCC(N)=O ZINC000508247395 1072356443 /nfs/dbraw/zinc/35/64/43/1072356443.db2.gz CKLYDMADJBUIMA-ZDUSSCGKSA-N 0 0 442.494 -0.572 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508248358 1072357098 /nfs/dbraw/zinc/35/70/98/1072357098.db2.gz DSOTXMKZLACSMX-CYBMUJFWSA-N 0 0 426.495 -0.076 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508248359 1072357171 /nfs/dbraw/zinc/35/71/71/1072357171.db2.gz DSOTXMKZLACSMX-ZDUSSCGKSA-N 0 0 426.495 -0.076 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)NCCNS(=O)(=O)c2cccnc2)no1 ZINC000508249123 1072533754 /nfs/dbraw/zinc/53/37/54/1072533754.db2.gz GKYBCHXPLKBZTH-CYBMUJFWSA-N 0 0 426.455 -0.328 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)NCCNS(=O)(=O)c2cccnc2)no1 ZINC000508249124 1072357152 /nfs/dbraw/zinc/35/71/52/1072357152.db2.gz GKYBCHXPLKBZTH-ZDUSSCGKSA-N 0 0 426.455 -0.328 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000508249516 1072356934 /nfs/dbraw/zinc/35/69/34/1072356934.db2.gz KPWCZDJKDSOYFJ-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000508249519 1072357000 /nfs/dbraw/zinc/35/70/00/1072357000.db2.gz KPWCZDJKDSOYFJ-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000508249975 1072357122 /nfs/dbraw/zinc/35/71/22/1072357122.db2.gz LTXGMMWBRZAYOF-LLVKDONJSA-N 0 0 426.470 -0.020 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000508249976 1072357163 /nfs/dbraw/zinc/35/71/63/1072357163.db2.gz LTXGMMWBRZAYOF-NSHDSACASA-N 0 0 426.470 -0.020 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508250456 1072358071 /nfs/dbraw/zinc/35/80/71/1072358071.db2.gz MDIPTCJLJAYMLA-INIZCTEOSA-N 0 0 440.522 -0.068 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000508250458 1072533797 /nfs/dbraw/zinc/53/37/97/1072533797.db2.gz MDIPTCJLJAYMLA-MRXNPFEDSA-N 0 0 440.522 -0.068 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1=O ZINC000508253088 1072357955 /nfs/dbraw/zinc/35/79/55/1072357955.db2.gz RRWHXBXSQIHZQQ-CYBMUJFWSA-N 0 0 442.494 -0.720 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1=O ZINC000508253089 1072357970 /nfs/dbraw/zinc/35/79/70/1072357970.db2.gz RRWHXBXSQIHZQQ-ZDUSSCGKSA-N 0 0 442.494 -0.720 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)C1=O ZINC000508254248 1072358095 /nfs/dbraw/zinc/35/80/95/1072358095.db2.gz VNWMTVITYOOPHT-KBPBESRZSA-N 0 0 447.452 -0.283 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)C1=O ZINC000508254254 1072357982 /nfs/dbraw/zinc/35/79/82/1072357982.db2.gz VNWMTVITYOOPHT-KGLIPLIRSA-N 0 0 447.452 -0.283 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)C1=O ZINC000508254256 1072357843 /nfs/dbraw/zinc/35/78/43/1072357843.db2.gz VNWMTVITYOOPHT-UONOGXRCSA-N 0 0 447.452 -0.283 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)C1=O ZINC000508254258 1072358655 /nfs/dbraw/zinc/35/86/55/1072358655.db2.gz VNWMTVITYOOPHT-ZIAGYGMSSA-N 0 0 447.452 -0.283 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000508256141 1072358637 /nfs/dbraw/zinc/35/86/37/1072358637.db2.gz BMVJVFVAIFNWBI-HNNXBMFYSA-N 0 0 446.551 -0.395 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000508256142 1072358539 /nfs/dbraw/zinc/35/85/39/1072358539.db2.gz BMVJVFVAIFNWBI-OAHLLOKOSA-N 0 0 446.551 -0.395 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)ccc1C ZINC000508258690 1072357482 /nfs/dbraw/zinc/35/74/82/1072357482.db2.gz LRPMSIMSBZZGRQ-AWEZNQCLSA-N 0 0 446.551 -0.228 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)ccc1C ZINC000508258692 1072357638 /nfs/dbraw/zinc/35/76/38/1072357638.db2.gz LRPMSIMSBZZGRQ-CQSZACIVSA-N 0 0 446.551 -0.228 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508260903 1072358060 /nfs/dbraw/zinc/35/80/60/1072358060.db2.gz VBTFSDTYRKIOAY-CABCVRRESA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508260904 1072357931 /nfs/dbraw/zinc/35/79/31/1072357931.db2.gz VBTFSDTYRKIOAY-HUUCEWRRSA-N 0 0 438.572 -0.754 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)ccc1F ZINC000508261076 1072357622 /nfs/dbraw/zinc/35/76/22/1072357622.db2.gz WQHNRUCRZMXWMY-CYBMUJFWSA-N 0 0 439.473 -0.021 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)ccc1F ZINC000508261077 1072534297 /nfs/dbraw/zinc/53/42/97/1072534297.db2.gz WQHNRUCRZMXWMY-ZDUSSCGKSA-N 0 0 439.473 -0.021 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(S(=O)(=O)NC)CC2)cc1 ZINC000508261265 1072358048 /nfs/dbraw/zinc/35/80/48/1072358048.db2.gz YLVRSRZSOWGKOO-UHFFFAOYSA-N 0 0 447.535 -0.318 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCn2cc(Br)cn2)c1 ZINC000508261337 1072357905 /nfs/dbraw/zinc/35/79/05/1072357905.db2.gz YYOOTNWWDXOJDU-UHFFFAOYSA-N 0 0 437.254 -0.384 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O)S(C)(=O)=O ZINC000508261436 1072358105 /nfs/dbraw/zinc/35/81/05/1072358105.db2.gz ZXUCFAMZFUUARY-CYBMUJFWSA-N 0 0 446.551 -0.049 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O)S(C)(=O)=O ZINC000508261437 1072357858 /nfs/dbraw/zinc/35/78/58/1072357858.db2.gz ZXUCFAMZFUUARY-ZDUSSCGKSA-N 0 0 446.551 -0.049 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000508264863 1072357945 /nfs/dbraw/zinc/35/79/45/1072357945.db2.gz HUZUXLXRXRALKU-CYBMUJFWSA-N 0 0 446.551 -0.194 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000508264867 1072357917 /nfs/dbraw/zinc/35/79/17/1072357917.db2.gz HUZUXLXRXRALKU-ZDUSSCGKSA-N 0 0 446.551 -0.194 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000508265120 1072357877 /nfs/dbraw/zinc/35/78/77/1072357877.db2.gz IXMDTYFXMNRGPQ-CYBMUJFWSA-N 0 0 426.495 -0.190 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccccc1OCCC(N)=O ZINC000508265121 1072358086 /nfs/dbraw/zinc/35/80/86/1072358086.db2.gz IXMDTYFXMNRGPQ-ZDUSSCGKSA-N 0 0 426.495 -0.190 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NC[C@H]3CCCN3S(C)(=O)=O)no2)cn1 ZINC000508267909 1072359351 /nfs/dbraw/zinc/35/93/51/1072359351.db2.gz PLQPXQPQHAXHLC-KGLIPLIRSA-N 0 0 439.498 -0.023 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NC[C@H]3CCCN3S(C)(=O)=O)no2)cn1 ZINC000508267911 1072359400 /nfs/dbraw/zinc/35/94/00/1072359400.db2.gz PLQPXQPQHAXHLC-ZIAGYGMSSA-N 0 0 439.498 -0.023 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000508272794 1072359362 /nfs/dbraw/zinc/35/93/62/1072359362.db2.gz IZUXDEYSFGOEEG-CABCVRRESA-N 0 0 438.572 -0.896 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000508272795 1072359378 /nfs/dbraw/zinc/35/93/78/1072359378.db2.gz IZUXDEYSFGOEEG-GJZGRUSLSA-N 0 0 438.572 -0.896 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000508272796 1072359445 /nfs/dbraw/zinc/35/94/45/1072359445.db2.gz IZUXDEYSFGOEEG-HUUCEWRRSA-N 0 0 438.572 -0.896 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000508272797 1072359411 /nfs/dbraw/zinc/35/94/11/1072359411.db2.gz IZUXDEYSFGOEEG-LSDHHAIUSA-N 0 0 438.572 -0.896 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)nn1 ZINC000508277653 1072358417 /nfs/dbraw/zinc/35/84/17/1072358417.db2.gz HWGSFFTVVVLVNQ-UHFFFAOYSA-N 0 0 434.478 -0.263 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000508278688 1072358596 /nfs/dbraw/zinc/35/85/96/1072358596.db2.gz FPHIQPTUPCMQEJ-UHFFFAOYSA-N 0 0 437.544 -0.363 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000508282018 1072359097 /nfs/dbraw/zinc/35/90/97/1072359097.db2.gz XWSJVPWRGJGZIV-UHFFFAOYSA-N 0 0 439.585 -0.203 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000508284006 1072358902 /nfs/dbraw/zinc/35/89/02/1072358902.db2.gz DMBOVMXLFAZENB-AWEZNQCLSA-N 0 0 429.520 -0.414 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000508284007 1072358995 /nfs/dbraw/zinc/35/89/95/1072358995.db2.gz DMBOVMXLFAZENB-CQSZACIVSA-N 0 0 429.520 -0.414 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@H]4CC[C@@H](C(N)=O)O4)CC3)C2=O)n(C)n1 ZINC000508284051 1072359089 /nfs/dbraw/zinc/35/90/89/1072359089.db2.gz XKWJJVZBRMHHQR-IKGGRYGDSA-N 0 0 447.540 -0.416 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@H]4CC[C@H](C(N)=O)O4)CC3)C2=O)n(C)n1 ZINC000508284055 1072358936 /nfs/dbraw/zinc/35/89/36/1072358936.db2.gz XKWJJVZBRMHHQR-IXDOHACOSA-N 0 0 447.540 -0.416 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@@H]4CC[C@@H](C(N)=O)O4)CC3)C2=O)n(C)n1 ZINC000508284057 1072359009 /nfs/dbraw/zinc/35/90/09/1072359009.db2.gz XKWJJVZBRMHHQR-ULQDDVLXSA-N 0 0 447.540 -0.416 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@@H]4CC[C@H](C(N)=O)O4)CC3)C2=O)n(C)n1 ZINC000508284059 1072358950 /nfs/dbraw/zinc/35/89/50/1072358950.db2.gz XKWJJVZBRMHHQR-YESZJQIVSA-N 0 0 447.540 -0.416 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000508287834 1072359496 /nfs/dbraw/zinc/35/94/96/1072359496.db2.gz XHFZAQOWZNJJGJ-UHFFFAOYSA-N 0 0 425.555 -0.041 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000508291240 1072534861 /nfs/dbraw/zinc/53/48/61/1072534861.db2.gz VSGVLQAXJPBQSH-KRWDZBQOSA-N 0 0 446.570 -0.150 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000508291241 1072359944 /nfs/dbraw/zinc/35/99/44/1072359944.db2.gz VSGVLQAXJPBQSH-QGZVFWFLSA-N 0 0 446.570 -0.150 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508292877 1072359930 /nfs/dbraw/zinc/35/99/30/1072359930.db2.gz PSWKVSSUXROZBZ-DLBZAZTESA-N 0 0 444.554 -0.398 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508292878 1072359814 /nfs/dbraw/zinc/35/98/14/1072359814.db2.gz PSWKVSSUXROZBZ-IAGOWNOFSA-N 0 0 444.554 -0.398 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508292879 1072360060 /nfs/dbraw/zinc/36/00/60/1072360060.db2.gz PSWKVSSUXROZBZ-IRXDYDNUSA-N 0 0 444.554 -0.398 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508292880 1072359856 /nfs/dbraw/zinc/35/98/56/1072359856.db2.gz PSWKVSSUXROZBZ-SJORKVTESA-N 0 0 444.554 -0.398 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCc4cc(C(N)=O)no4)CC3)C2=O)n(C)n1 ZINC000508293279 1072360049 /nfs/dbraw/zinc/36/00/49/1072360049.db2.gz RPFBUXZXSCWLJG-INIZCTEOSA-N 0 0 444.496 -0.162 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCc4cc(C(N)=O)no4)CC3)C2=O)n(C)n1 ZINC000508293280 1072359993 /nfs/dbraw/zinc/35/99/93/1072359993.db2.gz RPFBUXZXSCWLJG-MRXNPFEDSA-N 0 0 444.496 -0.162 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCc4ncn(C)n4)CC3)C2=O)n(C)n1 ZINC000508294130 1072359893 /nfs/dbraw/zinc/35/98/93/1072359893.db2.gz WQHGGEKMZORNAK-INIZCTEOSA-N 0 0 429.529 -0.078 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCc4ncn(C)n4)CC3)C2=O)n(C)n1 ZINC000508294285 1072534741 /nfs/dbraw/zinc/53/47/41/1072534741.db2.gz WQHGGEKMZORNAK-MRXNPFEDSA-N 0 0 429.529 -0.078 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)c3nccc4c3cccc4S(N)(=O)=O)CC2)c1=O ZINC000508294723 1072360026 /nfs/dbraw/zinc/36/00/26/1072360026.db2.gz FIUAQVLOVAFJGU-UHFFFAOYSA-N 0 0 428.474 -0.062 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000508294874 1072359831 /nfs/dbraw/zinc/35/98/31/1072359831.db2.gz SNLUEUJWMFKXLO-UHFFFAOYSA-N 0 0 431.474 -0.555 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccsc3)CC2)C[C@H](C)O1 ZINC000508295369 1072360346 /nfs/dbraw/zinc/36/03/46/1072360346.db2.gz IRHQMALYHSUJRV-KBPBESRZSA-N 0 0 430.552 -0.024 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccsc3)CC2)C[C@H](C)O1 ZINC000508295370 1072360432 /nfs/dbraw/zinc/36/04/32/1072360432.db2.gz IRHQMALYHSUJRV-OKILXGFUSA-N 0 0 430.552 -0.024 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccsc3)CC2)C[C@@H](C)O1 ZINC000508295371 1072360338 /nfs/dbraw/zinc/36/03/38/1072360338.db2.gz IRHQMALYHSUJRV-ZIAGYGMSSA-N 0 0 430.552 -0.024 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000508295564 1072360040 /nfs/dbraw/zinc/36/00/40/1072360040.db2.gz ZNRXZENSSHCACN-UHFFFAOYSA-N 0 0 439.604 -0.236 20 0 IBADRN O=C(NCCC1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000508296703 1072360393 /nfs/dbraw/zinc/36/03/93/1072360393.db2.gz DKHKVYGXMDETAB-UHFFFAOYSA-N 0 0 443.547 -0.248 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000508296705 1072360354 /nfs/dbraw/zinc/36/03/54/1072360354.db2.gz QWJWNKXEVODQKQ-UHFFFAOYSA-N 0 0 433.556 -0.505 20 0 IBADRN O=C(NCCC1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000508300763 1072360443 /nfs/dbraw/zinc/36/04/43/1072360443.db2.gz QVEOQEAYGWTNGR-UHFFFAOYSA-N 0 0 443.547 -0.248 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000508302544 1072360466 /nfs/dbraw/zinc/36/04/66/1072360466.db2.gz XBZXDDONTROASN-UHFFFAOYSA-N 0 0 445.501 -0.211 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1S(=O)(=O)N(C)C ZINC000508304961 1072534702 /nfs/dbraw/zinc/53/47/02/1072534702.db2.gz JQKHWFSGOPINPJ-SWLSCSKDSA-N 0 0 429.520 -0.171 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508308237 1072359504 /nfs/dbraw/zinc/35/95/04/1072359504.db2.gz KCWCUXPLHABTLK-XHDPSFHLSA-N 0 0 445.519 -0.471 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000508308920 1072359882 /nfs/dbraw/zinc/35/98/82/1072359882.db2.gz NVNFQLIDNXFZGE-KRWDZBQOSA-N 0 0 440.522 -0.113 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000508308922 1072359979 /nfs/dbraw/zinc/35/99/79/1072359979.db2.gz NVNFQLIDNXFZGE-QGZVFWFLSA-N 0 0 440.522 -0.113 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000508309852 1072359843 /nfs/dbraw/zinc/35/98/43/1072359843.db2.gz NTWDUGIJTOIFAC-UHFFFAOYSA-N 0 0 435.487 -0.015 20 0 IBADRN O=C(C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508310132 1072359869 /nfs/dbraw/zinc/35/98/69/1072359869.db2.gz WLHHWSDYOFGVDT-JTOWHCCKSA-N 0 0 447.513 -0.333 20 0 IBADRN O=C(C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508310133 1072359922 /nfs/dbraw/zinc/35/99/22/1072359922.db2.gz WLHHWSDYOFGVDT-LUXYFRNMSA-N 0 0 447.513 -0.333 20 0 IBADRN O=C(C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508310134 1072359968 /nfs/dbraw/zinc/35/99/68/1072359968.db2.gz WLHHWSDYOFGVDT-OIPACUDHSA-N 0 0 447.513 -0.333 20 0 IBADRN O=C(C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508310136 1072359957 /nfs/dbraw/zinc/35/99/57/1072359957.db2.gz WLHHWSDYOFGVDT-YRBFXIGRSA-N 0 0 447.513 -0.333 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000508311591 1072360872 /nfs/dbraw/zinc/36/08/72/1072360872.db2.gz ZRICCBOTPFRLHD-UHFFFAOYSA-N 0 0 447.517 -0.501 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)cc1C ZINC000508317060 1074354683 /nfs/dbraw/zinc/35/46/83/1074354683.db2.gz ZSLDSQHGWCAXIC-UHFFFAOYSA-N 0 0 436.494 -0.444 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508319820 1072361423 /nfs/dbraw/zinc/36/14/23/1072361423.db2.gz RCRJBOIKVAYHNA-XHDPSFHLSA-N 0 0 427.504 -0.407 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000508322102 1072361377 /nfs/dbraw/zinc/36/13/77/1072361377.db2.gz MAPYJURDITUSRR-INIZCTEOSA-N 0 0 440.570 -0.014 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000508322103 1072361351 /nfs/dbraw/zinc/36/13/51/1072361351.db2.gz MAPYJURDITUSRR-MRXNPFEDSA-N 0 0 440.570 -0.014 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c1 ZINC000508323601 1072360329 /nfs/dbraw/zinc/36/03/29/1072360329.db2.gz GMPGUZHTFCLPTE-IINYFYTJSA-N 0 0 433.483 -0.340 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@@H](CO)CN2CCOCC2)C(C)C)cc1 ZINC000508325594 1072360949 /nfs/dbraw/zinc/36/09/49/1072360949.db2.gz KCPBMUGZDCRZPJ-QAPCUYQASA-N 0 0 429.539 -0.193 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)N[C@H](CO)CN2CCOCC2)C(C)C)cc1 ZINC000508325597 1072360958 /nfs/dbraw/zinc/36/09/58/1072360958.db2.gz KCPBMUGZDCRZPJ-YJBOKZPZSA-N 0 0 429.539 -0.193 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N[C@H](CO)CN2CCOCC2)C1 ZINC000508325768 1072360362 /nfs/dbraw/zinc/36/03/62/1072360362.db2.gz PMUYLPSNQNAIPL-CVEARBPZSA-N 0 0 430.527 -0.499 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N[C@H](CO)CN2CCOCC2)C1 ZINC000508325769 1072360409 /nfs/dbraw/zinc/36/04/09/1072360409.db2.gz PMUYLPSNQNAIPL-HOTGVXAUSA-N 0 0 430.527 -0.499 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H](CO)CN2CCOCC2)C1 ZINC000508325770 1072360454 /nfs/dbraw/zinc/36/04/54/1072360454.db2.gz PMUYLPSNQNAIPL-HZPDHXFCSA-N 0 0 430.527 -0.499 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N[C@@H](CO)CN2CCOCC2)C1 ZINC000508325771 1072360403 /nfs/dbraw/zinc/36/04/03/1072360403.db2.gz PMUYLPSNQNAIPL-JKSUJKDBSA-N 0 0 430.527 -0.499 20 0 IBADRN COCCN1CCN(c2ncc(Cl)c(N3CCN(CCOC)C(=O)C3)n2)CC1=O ZINC000508327186 1072360826 /nfs/dbraw/zinc/36/08/26/1072360826.db2.gz RIRFNFYNJXWIPJ-UHFFFAOYSA-N 0 0 426.905 -0.280 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H](CO)CN2CCOCC2)cc1 ZINC000508328174 1072360832 /nfs/dbraw/zinc/36/08/32/1072360832.db2.gz LKRBGJGAJWIXRE-INIZCTEOSA-N 0 0 428.511 -0.925 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H](CO)CN2CCOCC2)cc1 ZINC000508328177 1072360907 /nfs/dbraw/zinc/36/09/07/1072360907.db2.gz LKRBGJGAJWIXRE-MRXNPFEDSA-N 0 0 428.511 -0.925 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)N[C@@H](CO)CN1CCOCC1 ZINC000508328200 1072360925 /nfs/dbraw/zinc/36/09/25/1072360925.db2.gz LULIRMPTPKSPCP-GFCCVEGCSA-N 0 0 425.429 -0.207 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)N[C@H](CO)CN1CCOCC1 ZINC000508328202 1072360977 /nfs/dbraw/zinc/36/09/77/1072360977.db2.gz LULIRMPTPKSPCP-LBPRGKRZSA-N 0 0 425.429 -0.207 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCCC(=O)N[C@H](CO)CN2CCOCC2)cc1 ZINC000508329229 1072360857 /nfs/dbraw/zinc/36/08/57/1072360857.db2.gz XJERYMXAOYUCBJ-INIZCTEOSA-N 0 0 429.539 -0.095 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCCC(=O)N[C@@H](CO)CN2CCOCC2)cc1 ZINC000508329234 1072360851 /nfs/dbraw/zinc/36/08/51/1072360851.db2.gz XJERYMXAOYUCBJ-MRXNPFEDSA-N 0 0 429.539 -0.095 20 0 IBADRN CC[C@H](NC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)C(=O)N1CCOCC1 ZINC000508329564 1072535278 /nfs/dbraw/zinc/53/52/78/1072535278.db2.gz YFJSARWMRVPJGR-CVEARBPZSA-N 0 0 432.543 -0.540 20 0 IBADRN CC[C@H](NC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)C(=O)N1CCOCC1 ZINC000508329565 1072535197 /nfs/dbraw/zinc/53/51/97/1072535197.db2.gz YFJSARWMRVPJGR-HOTGVXAUSA-N 0 0 432.543 -0.540 20 0 IBADRN CC[C@@H](NC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)C(=O)N1CCOCC1 ZINC000508329566 1072535209 /nfs/dbraw/zinc/53/52/09/1072535209.db2.gz YFJSARWMRVPJGR-HZPDHXFCSA-N 0 0 432.543 -0.540 20 0 IBADRN CC[C@@H](NC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)C(=O)N1CCOCC1 ZINC000508329567 1072360917 /nfs/dbraw/zinc/36/09/17/1072360917.db2.gz YFJSARWMRVPJGR-JKSUJKDBSA-N 0 0 432.543 -0.540 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508329592 1072360804 /nfs/dbraw/zinc/36/08/04/1072360804.db2.gz YOGHQLVSIHHGDR-ZUZCIYMTSA-N 0 0 445.494 -0.150 20 0 IBADRN O=C(CN1CCCS1(=O)=O)Nc1cccc(NC(=O)CN2CCCS2(=O)=O)c1 ZINC000508330003 1072360862 /nfs/dbraw/zinc/36/08/62/1072360862.db2.gz DHYGTLPZUIGTPI-UHFFFAOYSA-N 0 0 430.508 -0.365 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000508334503 1072361784 /nfs/dbraw/zinc/36/17/84/1072361784.db2.gz VZHUFAUNBARBSM-SWLSCSKDSA-N 0 0 429.520 -0.043 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H]3CCS(=O)(=O)[C@@H]3C1)CC2 ZINC000508335120 1072361855 /nfs/dbraw/zinc/36/18/55/1072361855.db2.gz YSCLCNPSLYJKRV-XJKSGUPXSA-N 0 0 441.531 -0.481 20 0 IBADRN O=C(Cn1cnc2ccc(Br)cc2c1=O)N[C@@H](CO)CN1CCOCC1 ZINC000508336553 1072361753 /nfs/dbraw/zinc/36/17/53/1072361753.db2.gz ARTAGZDKBGTERW-CYBMUJFWSA-N 0 0 425.283 -0.032 20 0 IBADRN O=C(Cn1cnc2ccc(Br)cc2c1=O)N[C@H](CO)CN1CCOCC1 ZINC000508336554 1072361679 /nfs/dbraw/zinc/36/16/79/1072361679.db2.gz ARTAGZDKBGTERW-ZDUSSCGKSA-N 0 0 425.283 -0.032 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508336666 1072361869 /nfs/dbraw/zinc/36/18/69/1072361869.db2.gz AWRBKKADMZYTRA-XHDPSFHLSA-N 0 0 427.504 -0.289 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N[C@@H](CO)CN3CCOCC3)cc2)C[C@@H](C)O1 ZINC000508339018 1072361735 /nfs/dbraw/zinc/36/17/35/1072361735.db2.gz JTAGVIZYVWZFSY-JFIYKMOQSA-N 0 0 441.550 -0.093 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N[C@H](CO)CN3CCOCC3)cc2)C[C@H](C)O1 ZINC000508339019 1072361765 /nfs/dbraw/zinc/36/17/65/1072361765.db2.gz JTAGVIZYVWZFSY-JZXOWHBKSA-N 0 0 441.550 -0.093 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N[C@H](CO)CN3CCOCC3)cc2)C[C@@H](C)O1 ZINC000508339020 1072361799 /nfs/dbraw/zinc/36/17/99/1072361799.db2.gz JTAGVIZYVWZFSY-NUJGCVRESA-N 0 0 441.550 -0.093 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N[C@@H](CO)CN3CCOCC3)cc2)C[C@H](C)O1 ZINC000508339021 1072361835 /nfs/dbraw/zinc/36/18/35/1072361835.db2.gz JTAGVIZYVWZFSY-SOLBZPMBSA-N 0 0 441.550 -0.093 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000508341997 1072362233 /nfs/dbraw/zinc/36/22/33/1072362233.db2.gz OEZZJPAIWSFHFL-XHDPSFHLSA-N 0 0 445.494 -0.050 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3C[C@@H]4CCS(=O)(=O)[C@@H]4C3)cc2)CC1 ZINC000508342950 1072362137 /nfs/dbraw/zinc/36/21/37/1072362137.db2.gz QBKNHCCWXFEOMS-DOTOQJQBSA-N 0 0 434.518 -0.342 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)CC1 ZINC000508344358 1072362764 /nfs/dbraw/zinc/36/27/64/1072362764.db2.gz UBICIWINPSEUQA-PKOBYXMFSA-N 0 0 448.545 -0.065 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C(F)(F)F)[C@H](S(=O)(=O)N(C)C)C2)nn1 ZINC000508344668 1073346369 /nfs/dbraw/zinc/34/63/69/1073346369.db2.gz CPNAIKQLSGJBRQ-RKDXNWHRSA-N 0 0 425.389 -0.296 20 0 IBADRN CCn1cc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000508344959 1072362280 /nfs/dbraw/zinc/36/22/80/1072362280.db2.gz UKNMTIAEUMVTJZ-UHFFFAOYSA-N 0 0 434.478 -0.722 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCC[C@H](S(=O)(=O)N3CCOCC3)C1)CC2 ZINC000508346663 1072361430 /nfs/dbraw/zinc/36/14/30/1072361430.db2.gz GMVWGIDDMUKUMS-CABCVRRESA-N 0 0 442.542 -0.425 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCC[C@H](S(=O)(=O)N3CCOCC3)C1)CC2 ZINC000508346668 1072361302 /nfs/dbraw/zinc/36/13/02/1072361302.db2.gz GMVWGIDDMUKUMS-GJZGRUSLSA-N 0 0 442.542 -0.425 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCC[C@@H](S(=O)(=O)N3CCOCC3)C1)CC2 ZINC000508346671 1072361321 /nfs/dbraw/zinc/36/13/21/1072361321.db2.gz GMVWGIDDMUKUMS-HUUCEWRRSA-N 0 0 442.542 -0.425 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCC[C@@H](S(=O)(=O)N3CCOCC3)C1)CC2 ZINC000508346674 1072361812 /nfs/dbraw/zinc/36/18/12/1072361812.db2.gz GMVWGIDDMUKUMS-LSDHHAIUSA-N 0 0 442.542 -0.425 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000508356528 1072363291 /nfs/dbraw/zinc/36/32/91/1072363291.db2.gz FZDQVHRDDHXDSX-SWLSCSKDSA-N 0 0 429.520 -0.041 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3C[C@@H]4CCS(=O)(=O)[C@@H]4C3)c2)CC1 ZINC000508357164 1072363280 /nfs/dbraw/zinc/36/32/80/1072363280.db2.gz IQFJKHJZGPUBPV-PKOBYXMFSA-N 0 0 448.545 -0.065 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c2ccccc2O1 ZINC000508362883 1072362684 /nfs/dbraw/zinc/36/26/84/1072362684.db2.gz NBIMGBJCZVIUDD-IAOVAPTHSA-N 0 0 435.502 -0.048 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c2ccccc2O1 ZINC000508362884 1072362815 /nfs/dbraw/zinc/36/28/15/1072362815.db2.gz NBIMGBJCZVIUDD-RRQGHBQHSA-N 0 0 435.502 -0.048 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000508363349 1072363921 /nfs/dbraw/zinc/36/39/21/1072363921.db2.gz TVWZUOXVMFVOOX-UHFFFAOYSA-N 0 0 444.517 -0.132 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3C[C@@H]4CCS(=O)(=O)[C@@H]4C3)cc2)CC1 ZINC000508364306 1072362891 /nfs/dbraw/zinc/36/28/91/1072362891.db2.gz YFNKHUALLRGQOA-FUHWJXTLSA-N 0 0 448.545 -0.413 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)ccc1F ZINC000508364690 1072362723 /nfs/dbraw/zinc/36/27/23/1072362723.db2.gz QLXBUOYUCQWLGI-IINYFYTJSA-N 0 0 433.483 -0.340 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3C[C@@H]4CCS(=O)(=O)[C@@H]4C3)cc2)CC1 ZINC000508365741 1072363015 /nfs/dbraw/zinc/36/30/15/1072363015.db2.gz CTPJGOSPMZJQGW-PKOBYXMFSA-N 0 0 448.545 -0.065 20 0 IBADRN COc1cc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(OC)c1OC ZINC000508366380 1072362829 /nfs/dbraw/zinc/36/28/29/1072362829.db2.gz FFTDQPSHXMGZJS-CABCVRRESA-N 0 0 428.507 -0.443 20 0 IBADRN COc1cc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(OC)c1OC ZINC000508366383 1072363474 /nfs/dbraw/zinc/36/34/74/1072363474.db2.gz FFTDQPSHXMGZJS-GJZGRUSLSA-N 0 0 428.507 -0.443 20 0 IBADRN COc1cc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(OC)c1OC ZINC000508366385 1072363268 /nfs/dbraw/zinc/36/32/68/1072363268.db2.gz FFTDQPSHXMGZJS-HUUCEWRRSA-N 0 0 428.507 -0.443 20 0 IBADRN COc1cc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(OC)c1OC ZINC000508366387 1072363371 /nfs/dbraw/zinc/36/33/71/1072363371.db2.gz FFTDQPSHXMGZJS-LSDHHAIUSA-N 0 0 428.507 -0.443 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H]3CCS(=O)(=O)[C@@H]3C1)CC2 ZINC000508367553 1072364853 /nfs/dbraw/zinc/36/48/53/1072364853.db2.gz YAJYZWBLJACEQS-SWLSCSKDSA-N 0 0 427.504 -0.871 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508367738 1072364439 /nfs/dbraw/zinc/36/44/39/1072364439.db2.gz JGADYOUJWSUXJN-BZSNNMDCSA-N 0 0 441.525 -0.118 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508367741 1072363463 /nfs/dbraw/zinc/36/34/63/1072363463.db2.gz JGADYOUJWSUXJN-KSZLIROESA-N 0 0 441.525 -0.118 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508367742 1072363310 /nfs/dbraw/zinc/36/33/10/1072363310.db2.gz JGADYOUJWSUXJN-KURKYZTESA-N 0 0 441.525 -0.118 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508367743 1072363422 /nfs/dbraw/zinc/36/34/22/1072363422.db2.gz JGADYOUJWSUXJN-SQNIBIBYSA-N 0 0 441.525 -0.118 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1)N1CCOCC1 ZINC000508368988 1072365426 /nfs/dbraw/zinc/36/54/26/1072365426.db2.gz LEBRUHTVJAJBDU-DOTOQJQBSA-N 0 0 435.502 -0.328 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c1 ZINC000508370108 1072365346 /nfs/dbraw/zinc/36/53/46/1072365346.db2.gz OBIPWFXUUBZWOD-XJKSGUPXSA-N 0 0 431.474 -0.136 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)cn2)C[C@@H](C)O1 ZINC000508372320 1072364017 /nfs/dbraw/zinc/36/40/17/1072364017.db2.gz TYEUGWPONDBPLT-AHCXZYCDSA-N 0 0 438.550 -0.389 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)cn2)C[C@H](C)O1 ZINC000508372329 1072363962 /nfs/dbraw/zinc/36/39/62/1072363962.db2.gz TYEUGWPONDBPLT-ATLSCFEFSA-N 0 0 438.550 -0.389 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)cn2)C[C@H](C)O1 ZINC000508372332 1072364027 /nfs/dbraw/zinc/36/40/27/1072364027.db2.gz TYEUGWPONDBPLT-CYGHRXIMSA-N 0 0 438.550 -0.389 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)cn2)C[C@@H](C)O1 ZINC000508372335 1072363997 /nfs/dbraw/zinc/36/39/97/1072363997.db2.gz TYEUGWPONDBPLT-JOCBIADPSA-N 0 0 438.550 -0.389 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)o1 ZINC000508373187 1072364392 /nfs/dbraw/zinc/36/43/92/1072364392.db2.gz XAYDWTFBCTUNFT-CHWSQXEVSA-N 0 0 449.551 -0.728 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)o1 ZINC000508373188 1072364500 /nfs/dbraw/zinc/36/45/00/1072364500.db2.gz XAYDWTFBCTUNFT-OLZOCXBDSA-N 0 0 449.551 -0.728 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)o1 ZINC000508373189 1072364341 /nfs/dbraw/zinc/36/43/41/1072364341.db2.gz XAYDWTFBCTUNFT-QWHCGFSZSA-N 0 0 449.551 -0.728 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)o1 ZINC000508373190 1072364368 /nfs/dbraw/zinc/36/43/68/1072364368.db2.gz XAYDWTFBCTUNFT-STQMWFEESA-N 0 0 449.551 -0.728 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2F)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508373590 1072364257 /nfs/dbraw/zinc/36/42/57/1072364257.db2.gz ZALIKJUJJQTACS-CSMYWGQOSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2F)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508373591 1072364244 /nfs/dbraw/zinc/36/42/44/1072364244.db2.gz ZALIKJUJJQTACS-DQYPLSBCSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2F)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508373592 1072364230 /nfs/dbraw/zinc/36/42/30/1072364230.db2.gz ZALIKJUJJQTACS-JLZZUVOBSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2F)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508373593 1072364427 /nfs/dbraw/zinc/36/44/27/1072364427.db2.gz ZALIKJUJJQTACS-OAUYIBNBSA-N 0 0 443.472 -0.380 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1 ZINC000508374438 1072366509 /nfs/dbraw/zinc/36/65/09/1072366509.db2.gz BOIMWCZVYNEXLD-DZGCQCFKSA-N 0 0 437.474 -0.437 20 0 IBADRN Cc1cccc(NC(=O)CSCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000508375308 1072366388 /nfs/dbraw/zinc/36/63/88/1072366388.db2.gz FOEXPLHVQHAOJH-DLBZAZTESA-N 0 0 441.575 -0.031 20 0 IBADRN Cc1cccc(NC(=O)CSCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000508375309 1072366541 /nfs/dbraw/zinc/36/65/41/1072366541.db2.gz FOEXPLHVQHAOJH-IAGOWNOFSA-N 0 0 441.575 -0.031 20 0 IBADRN Cc1cccc(NC(=O)CSCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000508375310 1072366491 /nfs/dbraw/zinc/36/64/91/1072366491.db2.gz FOEXPLHVQHAOJH-IRXDYDNUSA-N 0 0 441.575 -0.031 20 0 IBADRN Cc1cccc(NC(=O)CSCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000508375311 1072366367 /nfs/dbraw/zinc/36/63/67/1072366367.db2.gz FOEXPLHVQHAOJH-SJORKVTESA-N 0 0 441.575 -0.031 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000508375619 1072368676 /nfs/dbraw/zinc/36/86/76/1072368676.db2.gz GKVJEBORMWGBJK-DLBZAZTESA-N 0 0 445.563 -0.246 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000508375620 1072368720 /nfs/dbraw/zinc/36/87/20/1072368720.db2.gz GKVJEBORMWGBJK-IAGOWNOFSA-N 0 0 445.563 -0.246 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000508375621 1072368787 /nfs/dbraw/zinc/36/87/87/1072368787.db2.gz GKVJEBORMWGBJK-IRXDYDNUSA-N 0 0 445.563 -0.246 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000508375622 1072368779 /nfs/dbraw/zinc/36/87/79/1072368779.db2.gz GKVJEBORMWGBJK-SJORKVTESA-N 0 0 445.563 -0.246 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1 ZINC000508375937 1072368290 /nfs/dbraw/zinc/36/82/90/1072368290.db2.gz HGZATWBJTANWKZ-FHLIZLRMSA-N 0 0 437.518 -0.650 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1 ZINC000508375938 1072368305 /nfs/dbraw/zinc/36/83/05/1072368305.db2.gz HGZATWBJTANWKZ-JLSDUUJJSA-N 0 0 437.518 -0.650 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1 ZINC000508375939 1072368353 /nfs/dbraw/zinc/36/83/53/1072368353.db2.gz HGZATWBJTANWKZ-OLMNPRSZSA-N 0 0 437.518 -0.650 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1 ZINC000508375940 1072368425 /nfs/dbraw/zinc/36/84/25/1072368425.db2.gz HGZATWBJTANWKZ-ZTFGCOKTSA-N 0 0 437.518 -0.650 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)O1 ZINC000508376841 1072368770 /nfs/dbraw/zinc/36/87/70/1072368770.db2.gz JPESLTPUVLTYEC-JYJNAYRXSA-N 0 0 432.543 -0.542 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)O1 ZINC000508376845 1072368684 /nfs/dbraw/zinc/36/86/84/1072368684.db2.gz JPESLTPUVLTYEC-OAGGEKHMSA-N 0 0 432.543 -0.542 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)O1 ZINC000508376847 1072368801 /nfs/dbraw/zinc/36/88/01/1072368801.db2.gz JPESLTPUVLTYEC-PMPSAXMXSA-N 0 0 432.543 -0.542 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)O1 ZINC000508376849 1072368697 /nfs/dbraw/zinc/36/86/97/1072368697.db2.gz JPESLTPUVLTYEC-XHSDSOJGSA-N 0 0 432.543 -0.542 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000508377211 1072368726 /nfs/dbraw/zinc/36/87/26/1072368726.db2.gz KMDWMJQBOIPDLH-SLFFLAALSA-N 0 0 449.573 -0.230 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000508377216 1072368714 /nfs/dbraw/zinc/36/87/14/1072368714.db2.gz KMDWMJQBOIPDLH-UFYCRDLUSA-N 0 0 449.573 -0.230 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000508377221 1072368691 /nfs/dbraw/zinc/36/86/91/1072368691.db2.gz KMDWMJQBOIPDLH-XUVXKRRUSA-N 0 0 449.573 -0.230 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000508377224 1072368711 /nfs/dbraw/zinc/36/87/11/1072368711.db2.gz KMDWMJQBOIPDLH-ZCNNSNEGSA-N 0 0 449.573 -0.230 20 0 IBADRN CC(C)[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508377948 1072371024 /nfs/dbraw/zinc/37/10/24/1072371024.db2.gz MSMZYKUQTOHPCS-BJLQDIEVSA-N 0 0 437.562 -0.328 20 0 IBADRN CC(C)[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508377950 1072371126 /nfs/dbraw/zinc/37/11/26/1072371126.db2.gz MSMZYKUQTOHPCS-GGPKGHCWSA-N 0 0 437.562 -0.328 20 0 IBADRN CC(C)[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508377952 1072371013 /nfs/dbraw/zinc/37/10/13/1072371013.db2.gz MSMZYKUQTOHPCS-HBFSDRIKSA-N 0 0 437.562 -0.328 20 0 IBADRN CC(C)[C@H](NC(=O)Cc1ccccc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508377953 1072371485 /nfs/dbraw/zinc/37/14/85/1072371485.db2.gz MSMZYKUQTOHPCS-NSHGMRRFSA-N 0 0 437.562 -0.328 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC2CCN(C(=O)COC)CC2)CC1 ZINC000508378100 1072370994 /nfs/dbraw/zinc/37/09/94/1072370994.db2.gz WEDDHLNRLLTTMV-INIZCTEOSA-N 0 0 427.546 -0.508 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC2CCN(C(=O)COC)CC2)CC1 ZINC000508378103 1072371143 /nfs/dbraw/zinc/37/11/43/1072371143.db2.gz WEDDHLNRLLTTMV-MRXNPFEDSA-N 0 0 427.546 -0.508 20 0 IBADRN CC(C)Cn1nc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c2ccccc2c1=O ZINC000508378711 1072373421 /nfs/dbraw/zinc/37/34/21/1072373421.db2.gz NZYKYQNDUDMMDE-MSOLQXFVSA-N 0 0 448.545 -0.032 20 0 IBADRN CC(C)Cn1nc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c2ccccc2c1=O ZINC000508378712 1072373659 /nfs/dbraw/zinc/37/36/59/1072373659.db2.gz NZYKYQNDUDMMDE-QZTJIDSGSA-N 0 0 448.545 -0.032 20 0 IBADRN CC(C)Cn1nc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c2ccccc2c1=O ZINC000508378713 1072373574 /nfs/dbraw/zinc/37/35/74/1072373574.db2.gz NZYKYQNDUDMMDE-ROUUACIJSA-N 0 0 448.545 -0.032 20 0 IBADRN CC(C)Cn1nc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c2ccccc2c1=O ZINC000508378714 1072373631 /nfs/dbraw/zinc/37/36/31/1072373631.db2.gz NZYKYQNDUDMMDE-ZWKOTPCHSA-N 0 0 448.545 -0.032 20 0 IBADRN CCc1ccccc1N1C[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1=O ZINC000508379207 1072371372 /nfs/dbraw/zinc/37/13/72/1072371372.db2.gz PPTHOBJHHWDTDI-BHIYHBOVSA-N 0 0 435.546 -0.096 20 0 IBADRN CCc1ccccc1N1C[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1=O ZINC000508379210 1072371546 /nfs/dbraw/zinc/37/15/46/1072371546.db2.gz PPTHOBJHHWDTDI-NEWSRXKRSA-N 0 0 435.546 -0.096 20 0 IBADRN CCc1ccccc1N1C[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1=O ZINC000508379212 1072371359 /nfs/dbraw/zinc/37/13/59/1072371359.db2.gz PPTHOBJHHWDTDI-NZSAHSFTSA-N 0 0 435.546 -0.096 20 0 IBADRN CCc1ccccc1N1C[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1=O ZINC000508379215 1072371523 /nfs/dbraw/zinc/37/15/23/1072371523.db2.gz PPTHOBJHHWDTDI-QRQLOZEOSA-N 0 0 435.546 -0.096 20 0 IBADRN Cc1cccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)c1C ZINC000508379312 1072371463 /nfs/dbraw/zinc/37/14/63/1072371463.db2.gz PTGWXXAJHVLCTO-BHIYHBOVSA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1cccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)c1C ZINC000508379318 1072371438 /nfs/dbraw/zinc/37/14/38/1072371438.db2.gz PTGWXXAJHVLCTO-NEWSRXKRSA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1cccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)c1C ZINC000508379321 1072371541 /nfs/dbraw/zinc/37/15/41/1072371541.db2.gz PTGWXXAJHVLCTO-NZSAHSFTSA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1cccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)c1C ZINC000508379324 1072371530 /nfs/dbraw/zinc/37/15/30/1072371530.db2.gz PTGWXXAJHVLCTO-QRQLOZEOSA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)no1 ZINC000508379350 1074354601 /nfs/dbraw/zinc/35/46/01/1074354601.db2.gz PVJKGFQUSIROEA-BFHYXJOUSA-N 0 0 446.551 -0.655 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)no1 ZINC000508379355 1074354705 /nfs/dbraw/zinc/35/47/05/1074354705.db2.gz PVJKGFQUSIROEA-IHRRRGAJSA-N 0 0 446.551 -0.655 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)no1 ZINC000508379358 1074354754 /nfs/dbraw/zinc/35/47/54/1074354754.db2.gz PVJKGFQUSIROEA-MELADBBJSA-N 0 0 446.551 -0.655 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)no1 ZINC000508379360 1074354628 /nfs/dbraw/zinc/35/46/28/1074354628.db2.gz PVJKGFQUSIROEA-MJBXVCDLSA-N 0 0 446.551 -0.655 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)cnc12 ZINC000508379525 1072394135 /nfs/dbraw/zinc/39/41/35/1072394135.db2.gz QREVDJGPHMCVQW-DLBZAZTESA-N 0 0 434.518 -0.603 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)cnc12 ZINC000508379526 1072394062 /nfs/dbraw/zinc/39/40/62/1072394062.db2.gz QREVDJGPHMCVQW-IAGOWNOFSA-N 0 0 434.518 -0.603 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)cnc12 ZINC000508379527 1072394201 /nfs/dbraw/zinc/39/42/01/1072394201.db2.gz QREVDJGPHMCVQW-IRXDYDNUSA-N 0 0 434.518 -0.603 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)cnc12 ZINC000508379528 1072394269 /nfs/dbraw/zinc/39/42/69/1072394269.db2.gz QREVDJGPHMCVQW-SJORKVTESA-N 0 0 434.518 -0.603 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000508379624 1072392911 /nfs/dbraw/zinc/39/29/11/1072392911.db2.gz BQIKGACAWKGJPW-DLBZAZTESA-N 0 0 445.563 -0.711 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000508379627 1072393138 /nfs/dbraw/zinc/39/31/38/1072393138.db2.gz BQIKGACAWKGJPW-IAGOWNOFSA-N 0 0 445.563 -0.711 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000508379628 1072392896 /nfs/dbraw/zinc/39/28/96/1072392896.db2.gz BQIKGACAWKGJPW-IRXDYDNUSA-N 0 0 445.563 -0.711 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000508379629 1072393147 /nfs/dbraw/zinc/39/31/47/1072393147.db2.gz BQIKGACAWKGJPW-SJORKVTESA-N 0 0 445.563 -0.711 20 0 IBADRN O=C(COc1cccc(NC(=O)C2CC2)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508380071 1072373031 /nfs/dbraw/zinc/37/30/31/1072373031.db2.gz SDWSAJIOWWMDMP-MSOLQXFVSA-N 0 0 437.518 -0.284 20 0 IBADRN O=C(COc1cccc(NC(=O)C2CC2)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508380072 1072372960 /nfs/dbraw/zinc/37/29/60/1072372960.db2.gz SDWSAJIOWWMDMP-QZTJIDSGSA-N 0 0 437.518 -0.284 20 0 IBADRN O=C(COc1cccc(NC(=O)C2CC2)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508380073 1072373129 /nfs/dbraw/zinc/37/31/29/1072373129.db2.gz SDWSAJIOWWMDMP-ROUUACIJSA-N 0 0 437.518 -0.284 20 0 IBADRN O=C(COc1cccc(NC(=O)C2CC2)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508380074 1072373019 /nfs/dbraw/zinc/37/30/19/1072373019.db2.gz SDWSAJIOWWMDMP-ZWKOTPCHSA-N 0 0 437.518 -0.284 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000508380934 1072394623 /nfs/dbraw/zinc/39/46/23/1072394623.db2.gz GTSSPNULYKOWRF-DLBZAZTESA-N 0 0 445.563 -0.791 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000508380935 1072394720 /nfs/dbraw/zinc/39/47/20/1072394720.db2.gz GTSSPNULYKOWRF-IAGOWNOFSA-N 0 0 445.563 -0.791 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000508380936 1072394545 /nfs/dbraw/zinc/39/45/45/1072394545.db2.gz GTSSPNULYKOWRF-IRXDYDNUSA-N 0 0 445.563 -0.791 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000508380937 1072394569 /nfs/dbraw/zinc/39/45/69/1072394569.db2.gz GTSSPNULYKOWRF-SJORKVTESA-N 0 0 445.563 -0.791 20 0 IBADRN O=C(CCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCC(c2ccccc2)=N1 ZINC000508380949 1072394668 /nfs/dbraw/zinc/39/46/68/1072394668.db2.gz GWSQAEPGPSIXCK-MOPGFXCFSA-N 0 0 448.545 -0.295 20 0 IBADRN O=C(CCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCC(c2ccccc2)=N1 ZINC000508380950 1072394644 /nfs/dbraw/zinc/39/46/44/1072394644.db2.gz GWSQAEPGPSIXCK-OALUTQOASA-N 0 0 448.545 -0.295 20 0 IBADRN O=C(CCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCC(c2ccccc2)=N1 ZINC000508380951 1072394681 /nfs/dbraw/zinc/39/46/81/1072394681.db2.gz GWSQAEPGPSIXCK-RBUKOAKNSA-N 0 0 448.545 -0.295 20 0 IBADRN O=C(CCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCC(c2ccccc2)=N1 ZINC000508380952 1072394732 /nfs/dbraw/zinc/39/47/32/1072394732.db2.gz GWSQAEPGPSIXCK-RTBURBONSA-N 0 0 448.545 -0.295 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1)[C@H]1CCCO1 ZINC000508381284 1072397053 /nfs/dbraw/zinc/39/70/53/1072397053.db2.gz XJXXGURRAHDGOQ-FGTMMUONSA-N 0 0 437.518 -0.280 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1)[C@@H]1CCCO1 ZINC000508381285 1072396953 /nfs/dbraw/zinc/39/69/53/1072396953.db2.gz XJXXGURRAHDGOQ-KURKYZTESA-N 0 0 437.518 -0.280 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1)[C@H]1CCCO1 ZINC000508381286 1072397048 /nfs/dbraw/zinc/39/70/48/1072397048.db2.gz XJXXGURRAHDGOQ-KZNAEPCWSA-N 0 0 437.518 -0.280 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1)[C@@H]1CCCO1 ZINC000508381287 1072396942 /nfs/dbraw/zinc/39/69/42/1072396942.db2.gz XJXXGURRAHDGOQ-SQNIBIBYSA-N 0 0 437.518 -0.280 20 0 IBADRN O=C(CCCNC(=O)NC1CCCCC1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508381858 1072400222 /nfs/dbraw/zinc/40/02/22/1072400222.db2.gz ZNMQSZKSAGJOLX-DLBZAZTESA-N 0 0 430.571 -0.299 20 0 IBADRN O=C(CCCNC(=O)NC1CCCCC1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508381859 1072400295 /nfs/dbraw/zinc/40/02/95/1072400295.db2.gz ZNMQSZKSAGJOLX-IAGOWNOFSA-N 0 0 430.571 -0.299 20 0 IBADRN O=C(CCCNC(=O)NC1CCCCC1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508381860 1072400266 /nfs/dbraw/zinc/40/02/66/1072400266.db2.gz ZNMQSZKSAGJOLX-IRXDYDNUSA-N 0 0 430.571 -0.299 20 0 IBADRN O=C(CCCNC(=O)NC1CCCCC1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508381862 1072400275 /nfs/dbraw/zinc/40/02/75/1072400275.db2.gz ZNMQSZKSAGJOLX-SJORKVTESA-N 0 0 430.571 -0.299 20 0 IBADRN CCCCN1C(=O)c2ccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)cc2C1=O ZINC000508382984 1072405238 /nfs/dbraw/zinc/40/52/38/1072405238.db2.gz PFWSNPDHGQGUTR-MSOLQXFVSA-N 0 0 449.529 -0.002 20 0 IBADRN CCCCN1C(=O)c2ccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)cc2C1=O ZINC000508382985 1072405372 /nfs/dbraw/zinc/40/53/72/1072405372.db2.gz PFWSNPDHGQGUTR-QZTJIDSGSA-N 0 0 449.529 -0.002 20 0 IBADRN CCCCN1C(=O)c2ccc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)cc2C1=O ZINC000508383186 1072404548 /nfs/dbraw/zinc/40/45/48/1072404548.db2.gz PFWSNPDHGQGUTR-ROUUACIJSA-N 0 0 449.529 -0.002 20 0 IBADRN CCCCN1C(=O)c2ccc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)cc2C1=O ZINC000508383187 1072404511 /nfs/dbraw/zinc/40/45/11/1072404511.db2.gz PFWSNPDHGQGUTR-ZWKOTPCHSA-N 0 0 449.529 -0.002 20 0 IBADRN Cc1cc2nc(C)c(CCC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(C)n2n1 ZINC000508384563 1072409574 /nfs/dbraw/zinc/40/95/74/1072409574.db2.gz BQVQCTSFDCGEIY-MSOLQXFVSA-N 0 0 435.550 -0.111 20 0 IBADRN Cc1cc2nc(C)c(CCC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c(C)n2n1 ZINC000508384566 1072409579 /nfs/dbraw/zinc/40/95/79/1072409579.db2.gz BQVQCTSFDCGEIY-QZTJIDSGSA-N 0 0 435.550 -0.111 20 0 IBADRN Cc1cc2nc(C)c(CCC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(C)n2n1 ZINC000508384568 1072409481 /nfs/dbraw/zinc/40/94/81/1072409481.db2.gz BQVQCTSFDCGEIY-ROUUACIJSA-N 0 0 435.550 -0.111 20 0 IBADRN Cc1cc2nc(C)c(CCC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c(C)n2n1 ZINC000508384569 1072409469 /nfs/dbraw/zinc/40/94/69/1072409469.db2.gz BQVQCTSFDCGEIY-ZWKOTPCHSA-N 0 0 435.550 -0.111 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000508384730 1072409062 /nfs/dbraw/zinc/40/90/62/1072409062.db2.gz VJDZJNOZQHWIKC-DLBZAZTESA-N 0 0 445.563 -0.711 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000508384733 1072409083 /nfs/dbraw/zinc/40/90/83/1072409083.db2.gz VJDZJNOZQHWIKC-IAGOWNOFSA-N 0 0 445.563 -0.711 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000508384735 1072409113 /nfs/dbraw/zinc/40/91/13/1072409113.db2.gz VJDZJNOZQHWIKC-IRXDYDNUSA-N 0 0 445.563 -0.711 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000508384737 1072409540 /nfs/dbraw/zinc/40/95/40/1072409540.db2.gz VJDZJNOZQHWIKC-SJORKVTESA-N 0 0 445.563 -0.711 20 0 IBADRN O=C(C[C@H]1Sc2ccccc2NC1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508386497 1072416774 /nfs/dbraw/zinc/41/67/74/1072416774.db2.gz YCTWDFKTDALVJO-IIAWOOMASA-N 0 0 425.532 -0.208 20 0 IBADRN O=C(C[C@H]1Sc2ccccc2NC1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508386498 1072416809 /nfs/dbraw/zinc/41/68/09/1072416809.db2.gz YCTWDFKTDALVJO-IJEWVQPXSA-N 0 0 425.532 -0.208 20 0 IBADRN O=C(C[C@H]1Sc2ccccc2NC1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508386499 1072416681 /nfs/dbraw/zinc/41/66/81/1072416681.db2.gz YCTWDFKTDALVJO-OFQRWUPVSA-N 0 0 425.532 -0.208 20 0 IBADRN O=C(C[C@H]1Sc2ccccc2NC1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508386500 1072416789 /nfs/dbraw/zinc/41/67/89/1072416789.db2.gz YCTWDFKTDALVJO-SQWLQELKSA-N 0 0 425.532 -0.208 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508386520 1072416694 /nfs/dbraw/zinc/41/66/94/1072416694.db2.gz YIBRUYIJJKZUKX-DLBZAZTESA-N 0 0 443.547 -0.957 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508386521 1072416751 /nfs/dbraw/zinc/41/67/51/1072416751.db2.gz YIBRUYIJJKZUKX-IAGOWNOFSA-N 0 0 443.547 -0.957 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508386522 1072416715 /nfs/dbraw/zinc/41/67/15/1072416715.db2.gz YIBRUYIJJKZUKX-IRXDYDNUSA-N 0 0 443.547 -0.957 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508386523 1072416743 /nfs/dbraw/zinc/41/67/43/1072416743.db2.gz YIBRUYIJJKZUKX-SJORKVTESA-N 0 0 443.547 -0.957 20 0 IBADRN O=C(Cc1cc(Cl)c2c(c1)OCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508387028 1072411221 /nfs/dbraw/zinc/41/12/21/1072411221.db2.gz ZIXFUGHZSCSRBC-CABCVRRESA-N 0 0 430.910 -0.044 20 0 IBADRN O=C(Cc1cc(Cl)c2c(c1)OCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508387029 1072411261 /nfs/dbraw/zinc/41/12/61/1072411261.db2.gz ZIXFUGHZSCSRBC-GJZGRUSLSA-N 0 0 430.910 -0.044 20 0 IBADRN O=C(Cc1cc(Cl)c2c(c1)OCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508387030 1072411329 /nfs/dbraw/zinc/41/13/29/1072411329.db2.gz ZIXFUGHZSCSRBC-HUUCEWRRSA-N 0 0 430.910 -0.044 20 0 IBADRN O=C(Cc1cc(Cl)c2c(c1)OCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508387031 1072411928 /nfs/dbraw/zinc/41/19/28/1072411928.db2.gz ZIXFUGHZSCSRBC-LSDHHAIUSA-N 0 0 430.910 -0.044 20 0 IBADRN COCCN1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1=O ZINC000508388140 1072423137 /nfs/dbraw/zinc/42/31/37/1072423137.db2.gz RLRAASMIVUCHGO-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508388145 1072423153 /nfs/dbraw/zinc/42/31/53/1072423153.db2.gz KSGARYPZXLFSEO-CVEARBPZSA-N 0 0 425.507 -0.883 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508388150 1072423160 /nfs/dbraw/zinc/42/31/60/1072423160.db2.gz KSGARYPZXLFSEO-HOTGVXAUSA-N 0 0 425.507 -0.883 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508388152 1072422921 /nfs/dbraw/zinc/42/29/21/1072422921.db2.gz KSGARYPZXLFSEO-HZPDHXFCSA-N 0 0 425.507 -0.883 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508388156 1072423077 /nfs/dbraw/zinc/42/30/77/1072423077.db2.gz KSGARYPZXLFSEO-JKSUJKDBSA-N 0 0 425.507 -0.883 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000508388879 1072427174 /nfs/dbraw/zinc/42/71/74/1072427174.db2.gz MDUPIKGKLZCZCU-DLBZAZTESA-N 0 0 425.507 -0.883 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000508388881 1072427328 /nfs/dbraw/zinc/42/73/28/1072427328.db2.gz MDUPIKGKLZCZCU-IAGOWNOFSA-N 0 0 425.507 -0.883 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000508388883 1072427314 /nfs/dbraw/zinc/42/73/14/1072427314.db2.gz MDUPIKGKLZCZCU-IRXDYDNUSA-N 0 0 425.507 -0.883 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000508388885 1072427061 /nfs/dbraw/zinc/42/70/61/1072427061.db2.gz MDUPIKGKLZCZCU-SJORKVTESA-N 0 0 425.507 -0.883 20 0 IBADRN O=C(Cc1cccc2ccccc21)NCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508390016 1072431364 /nfs/dbraw/zinc/43/13/64/1072431364.db2.gz PBTOUJCIKWAALB-PMACEKPBSA-N 0 0 445.541 -0.199 20 0 IBADRN O=C(Cc1cccc2ccccc21)NCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508390017 1072431413 /nfs/dbraw/zinc/43/14/13/1072431413.db2.gz PBTOUJCIKWAALB-UXHICEINSA-N 0 0 445.541 -0.199 20 0 IBADRN O=C(Cc1cccc2ccccc21)NCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508390018 1072431420 /nfs/dbraw/zinc/43/14/20/1072431420.db2.gz PBTOUJCIKWAALB-VQTJNVASSA-N 0 0 445.541 -0.199 20 0 IBADRN O=C(Cc1cccc2ccccc21)NCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508390019 1072431312 /nfs/dbraw/zinc/43/13/12/1072431312.db2.gz PBTOUJCIKWAALB-WOJBJXKFSA-N 0 0 445.541 -0.199 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1=O ZINC000508390458 1072433510 /nfs/dbraw/zinc/43/35/10/1072433510.db2.gz QMLAAJIRQZZZDG-BHYGNILZSA-N 0 0 437.518 -0.507 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1=O ZINC000508390459 1072433910 /nfs/dbraw/zinc/43/39/10/1072433910.db2.gz QMLAAJIRQZZZDG-UAGQMJEPSA-N 0 0 437.518 -0.507 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1=O ZINC000508390461 1072433857 /nfs/dbraw/zinc/43/38/57/1072433857.db2.gz QMLAAJIRQZZZDG-USXIJHARSA-N 0 0 437.518 -0.507 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1=O ZINC000508390463 1072433921 /nfs/dbraw/zinc/43/39/21/1072433921.db2.gz QMLAAJIRQZZZDG-XIRDDKMYSA-N 0 0 437.518 -0.507 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(CCOC)C(=O)C2)c1 ZINC000508390517 1072432433 /nfs/dbraw/zinc/43/24/33/1072432433.db2.gz FVFUMPJMJNELEM-UHFFFAOYSA-N 0 0 428.511 -0.804 20 0 IBADRN Cc1nn(-c2ccccc2)c(C)c1C(=O)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508392483 1072438426 /nfs/dbraw/zinc/43/84/26/1072438426.db2.gz WORAFDPTCHGNRI-MSOLQXFVSA-N 0 0 446.529 -0.026 20 0 IBADRN Cc1nn(-c2ccccc2)c(C)c1C(=O)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508392486 1072438434 /nfs/dbraw/zinc/43/84/34/1072438434.db2.gz WORAFDPTCHGNRI-QZTJIDSGSA-N 0 0 446.529 -0.026 20 0 IBADRN Cc1nn(-c2ccccc2)c(C)c1C(=O)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508392489 1072438519 /nfs/dbraw/zinc/43/85/19/1072438519.db2.gz WORAFDPTCHGNRI-ROUUACIJSA-N 0 0 446.529 -0.026 20 0 IBADRN Cc1nn(-c2ccccc2)c(C)c1C(=O)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508392491 1072438408 /nfs/dbraw/zinc/43/84/08/1072438408.db2.gz WORAFDPTCHGNRI-ZWKOTPCHSA-N 0 0 446.529 -0.026 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)c2cn(C)c(=O)[nH]c2=O)c(=O)[nH]c1=O ZINC000508393130 1072437932 /nfs/dbraw/zinc/43/79/32/1072437932.db2.gz YWBXZPXQMISXBA-UHFFFAOYSA-N 0 0 442.432 -0.176 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000508395029 1072444088 /nfs/dbraw/zinc/44/40/88/1072444088.db2.gz AHEMXGSDVQTITK-DLBZAZTESA-N 0 0 425.507 -0.571 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000508395030 1072444051 /nfs/dbraw/zinc/44/40/51/1072444051.db2.gz AHEMXGSDVQTITK-IAGOWNOFSA-N 0 0 425.507 -0.571 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000508395031 1072444016 /nfs/dbraw/zinc/44/40/16/1072444016.db2.gz AHEMXGSDVQTITK-IRXDYDNUSA-N 0 0 425.507 -0.571 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000508395032 1072444011 /nfs/dbraw/zinc/44/40/11/1072444011.db2.gz AHEMXGSDVQTITK-SJORKVTESA-N 0 0 425.507 -0.571 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2F)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508395283 1072439682 /nfs/dbraw/zinc/43/96/82/1072439682.db2.gz BLDSZDNUNPWGRP-COXVUDFISA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2F)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508395284 1072440229 /nfs/dbraw/zinc/44/02/29/1072440229.db2.gz BLDSZDNUNPWGRP-KBRIMQKVSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2F)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508395285 1072440128 /nfs/dbraw/zinc/44/01/28/1072440128.db2.gz BLDSZDNUNPWGRP-XOKHGSTOSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccccc2F)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508395286 1072440247 /nfs/dbraw/zinc/44/02/47/1072440247.db2.gz BLDSZDNUNPWGRP-XYPHTWIQSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508395482 1072444694 /nfs/dbraw/zinc/44/46/94/1072444694.db2.gz CJWDWFLNQYXACF-CABCVRRESA-N 0 0 425.532 -0.573 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508395483 1072444704 /nfs/dbraw/zinc/44/47/04/1072444704.db2.gz CJWDWFLNQYXACF-GJZGRUSLSA-N 0 0 425.532 -0.573 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508395484 1072444567 /nfs/dbraw/zinc/44/45/67/1072444567.db2.gz CJWDWFLNQYXACF-HUUCEWRRSA-N 0 0 425.532 -0.573 20 0 IBADRN O=C(CN1C(=O)CSc2ccccc21)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508395485 1072444557 /nfs/dbraw/zinc/44/45/57/1072444557.db2.gz CJWDWFLNQYXACF-LSDHHAIUSA-N 0 0 425.532 -0.573 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000508395527 1072444622 /nfs/dbraw/zinc/44/46/22/1072444622.db2.gz CUMQJSFOTWRLCM-DLBZAZTESA-N 0 0 430.548 -0.216 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000508395528 1072444689 /nfs/dbraw/zinc/44/46/89/1072444689.db2.gz CUMQJSFOTWRLCM-IAGOWNOFSA-N 0 0 430.548 -0.216 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000508395529 1072444617 /nfs/dbraw/zinc/44/46/17/1072444617.db2.gz CUMQJSFOTWRLCM-IRXDYDNUSA-N 0 0 430.548 -0.216 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000508395530 1072444577 /nfs/dbraw/zinc/44/45/77/1072444577.db2.gz CUMQJSFOTWRLCM-SJORKVTESA-N 0 0 430.548 -0.216 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccs1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508395981 1072444573 /nfs/dbraw/zinc/44/45/73/1072444573.db2.gz FNYMVAYGRYSQKJ-DZKIICNBSA-N 0 0 429.564 -0.195 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccs1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508395983 1072444697 /nfs/dbraw/zinc/44/46/97/1072444697.db2.gz FNYMVAYGRYSQKJ-FMKPAKJESA-N 0 0 429.564 -0.195 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccs1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508395985 1072444711 /nfs/dbraw/zinc/44/47/11/1072444711.db2.gz FNYMVAYGRYSQKJ-LZWOXQAQSA-N 0 0 429.564 -0.195 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccs1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508396066 1072444034 /nfs/dbraw/zinc/44/40/34/1072444034.db2.gz FNYMVAYGRYSQKJ-YCPHGPKFSA-N 0 0 429.564 -0.195 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000508396140 1072444608 /nfs/dbraw/zinc/44/46/08/1072444608.db2.gz FYGAXGCAKMPJQF-CABCVRRESA-N 0 0 441.506 -0.952 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000508396144 1072444645 /nfs/dbraw/zinc/44/46/45/1072444645.db2.gz FYGAXGCAKMPJQF-GJZGRUSLSA-N 0 0 441.506 -0.952 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000508396147 1072444600 /nfs/dbraw/zinc/44/46/00/1072444600.db2.gz FYGAXGCAKMPJQF-HUUCEWRRSA-N 0 0 441.506 -0.952 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000508396150 1072444649 /nfs/dbraw/zinc/44/46/49/1072444649.db2.gz FYGAXGCAKMPJQF-LSDHHAIUSA-N 0 0 441.506 -0.952 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC2CC2)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508396388 1072445763 /nfs/dbraw/zinc/44/57/63/1072445763.db2.gz GTUOUUPRFQDMHW-DLBZAZTESA-N 0 0 443.547 -0.957 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC2CC2)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508396389 1072445662 /nfs/dbraw/zinc/44/56/62/1072445662.db2.gz GTUOUUPRFQDMHW-IAGOWNOFSA-N 0 0 443.547 -0.957 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC2CC2)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508396390 1072446391 /nfs/dbraw/zinc/44/63/91/1072446391.db2.gz GTUOUUPRFQDMHW-IRXDYDNUSA-N 0 0 443.547 -0.957 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC2CC2)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508396391 1072446306 /nfs/dbraw/zinc/44/63/06/1072446306.db2.gz GTUOUUPRFQDMHW-SJORKVTESA-N 0 0 443.547 -0.957 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000508396629 1072445825 /nfs/dbraw/zinc/44/58/25/1072445825.db2.gz IFEWBSJXTXQNBT-CVEARBPZSA-N 0 0 425.507 -0.571 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000508396630 1072445786 /nfs/dbraw/zinc/44/57/86/1072445786.db2.gz IFEWBSJXTXQNBT-HOTGVXAUSA-N 0 0 425.507 -0.571 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000508396631 1072445789 /nfs/dbraw/zinc/44/57/89/1072445789.db2.gz IFEWBSJXTXQNBT-HZPDHXFCSA-N 0 0 425.507 -0.571 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000508396632 1072445847 /nfs/dbraw/zinc/44/58/47/1072445847.db2.gz IFEWBSJXTXQNBT-JKSUJKDBSA-N 0 0 425.507 -0.571 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)c(=O)[nH]c1=O ZINC000508396653 1072445221 /nfs/dbraw/zinc/44/52/21/1072445221.db2.gz IIVUIGSJNZZHPK-UHFFFAOYSA-N 0 0 436.475 -0.363 20 0 IBADRN COc1cc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(OC)c1OC ZINC000508398523 1072446892 /nfs/dbraw/zinc/44/68/92/1072446892.db2.gz MZULOZOJXRVLKP-CVEARBPZSA-N 0 0 442.534 -0.053 20 0 IBADRN COc1cc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(OC)c1OC ZINC000508398526 1072447004 /nfs/dbraw/zinc/44/70/04/1072447004.db2.gz MZULOZOJXRVLKP-HOTGVXAUSA-N 0 0 442.534 -0.053 20 0 IBADRN COc1cc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(OC)c1OC ZINC000508398528 1072447026 /nfs/dbraw/zinc/44/70/26/1072447026.db2.gz MZULOZOJXRVLKP-HZPDHXFCSA-N 0 0 442.534 -0.053 20 0 IBADRN COc1cc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(OC)c1OC ZINC000508398531 1072447103 /nfs/dbraw/zinc/44/71/03/1072447103.db2.gz MZULOZOJXRVLKP-JKSUJKDBSA-N 0 0 442.534 -0.053 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508398551 1072448229 /nfs/dbraw/zinc/44/82/29/1072448229.db2.gz NCQCPSZMWHNSQD-AABGKKOBSA-N 0 0 448.545 -0.483 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508398553 1072448329 /nfs/dbraw/zinc/44/83/29/1072448329.db2.gz NCQCPSZMWHNSQD-AQNXPRMDSA-N 0 0 448.545 -0.483 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508398554 1072448322 /nfs/dbraw/zinc/44/83/22/1072448322.db2.gz NCQCPSZMWHNSQD-HSALFYBXSA-N 0 0 448.545 -0.483 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508398556 1072448909 /nfs/dbraw/zinc/44/89/09/1072448909.db2.gz NCQCPSZMWHNSQD-VAMGGRTRSA-N 0 0 448.545 -0.483 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCC(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000508398619 1072448905 /nfs/dbraw/zinc/44/89/05/1072448905.db2.gz NJAMWEKCMORJNV-KRWDZBQOSA-N 0 0 447.540 -0.590 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCC(=O)N4CCOCC4)CC3)C2=O)n(C)n1 ZINC000508398622 1072448871 /nfs/dbraw/zinc/44/88/71/1072448871.db2.gz NJAMWEKCMORJNV-QGZVFWFLSA-N 0 0 447.540 -0.590 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cs1 ZINC000508398641 1072448952 /nfs/dbraw/zinc/44/89/52/1072448952.db2.gz NKBIEDRINGQAND-KBPBESRZSA-N 0 0 430.552 -0.418 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cs1 ZINC000508398642 1072448915 /nfs/dbraw/zinc/44/89/15/1072448915.db2.gz NKBIEDRINGQAND-KGLIPLIRSA-N 0 0 430.552 -0.418 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cs1 ZINC000508398643 1072448942 /nfs/dbraw/zinc/44/89/42/1072448942.db2.gz NKBIEDRINGQAND-UONOGXRCSA-N 0 0 430.552 -0.418 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cs1 ZINC000508398644 1072448902 /nfs/dbraw/zinc/44/89/02/1072448902.db2.gz NKBIEDRINGQAND-ZIAGYGMSSA-N 0 0 430.552 -0.418 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1S(N)(=O)=O ZINC000508399022 1072447672 /nfs/dbraw/zinc/44/76/72/1072447672.db2.gz FJNNPCJCYXNZJE-CYBMUJFWSA-N 0 0 444.535 -0.346 20 0 IBADRN COCCN1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1=O ZINC000508399726 1072449597 /nfs/dbraw/zinc/44/95/97/1072449597.db2.gz GETYZCHWQUTJHD-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN O=C(CCC(=O)N1CCc2sccc2C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508400051 1072448899 /nfs/dbraw/zinc/44/88/99/1072448899.db2.gz QRBMZEOVKWFGLH-CVEARBPZSA-N 0 0 441.575 -0.285 20 0 IBADRN O=C(CCC(=O)N1CCc2sccc2C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508400055 1072448888 /nfs/dbraw/zinc/44/88/88/1072448888.db2.gz QRBMZEOVKWFGLH-HOTGVXAUSA-N 0 0 441.575 -0.285 20 0 IBADRN O=C(CCC(=O)N1CCc2sccc2C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508400058 1072448918 /nfs/dbraw/zinc/44/89/18/1072448918.db2.gz QRBMZEOVKWFGLH-HZPDHXFCSA-N 0 0 441.575 -0.285 20 0 IBADRN O=C(CCC(=O)N1CCc2sccc2C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508400061 1072448877 /nfs/dbraw/zinc/44/88/77/1072448877.db2.gz QRBMZEOVKWFGLH-JKSUJKDBSA-N 0 0 441.575 -0.285 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000508400196 1072448920 /nfs/dbraw/zinc/44/89/20/1072448920.db2.gz GUJVXHSJPOTVHE-LLVKDONJSA-N 0 0 437.457 -0.187 20 0 IBADRN O=C([C@@H]1CCCN(C(=O)N2CCCC2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508400645 1072450105 /nfs/dbraw/zinc/45/01/05/1072450105.db2.gz RINMOQCEJFOKEI-BRWVUGGUSA-N 0 0 428.555 -0.784 20 0 IBADRN O=C([C@@H]1CCCN(C(=O)N2CCCC2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508400651 1072450096 /nfs/dbraw/zinc/45/00/96/1072450096.db2.gz RINMOQCEJFOKEI-IKGGRYGDSA-N 0 0 428.555 -0.784 20 0 IBADRN O=C([C@@H]1CCCN(C(=O)N2CCCC2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508400654 1072450116 /nfs/dbraw/zinc/45/01/16/1072450116.db2.gz RINMOQCEJFOKEI-IXDOHACOSA-N 0 0 428.555 -0.784 20 0 IBADRN O=C([C@@H]1CCCN(C(=O)N2CCCC2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508400658 1072450178 /nfs/dbraw/zinc/45/01/78/1072450178.db2.gz RINMOQCEJFOKEI-ZACQAIPSSA-N 0 0 428.555 -0.784 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1-n1cnnn1 ZINC000508402728 1072452711 /nfs/dbraw/zinc/45/27/11/1072452711.db2.gz KGZFWBPVEZRQJV-CYBMUJFWSA-N 0 0 449.493 -0.318 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccc(F)cc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508402943 1072452739 /nfs/dbraw/zinc/45/27/39/1072452739.db2.gz VSECTAKPNZSBEZ-BZSNNMDCSA-N 0 0 441.525 -0.118 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccc(F)cc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508402946 1072452539 /nfs/dbraw/zinc/45/25/39/1072452539.db2.gz VSECTAKPNZSBEZ-KSZLIROESA-N 0 0 441.525 -0.118 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccc(F)cc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508402949 1072452755 /nfs/dbraw/zinc/45/27/55/1072452755.db2.gz VSECTAKPNZSBEZ-KURKYZTESA-N 0 0 441.525 -0.118 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccc(F)cc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508402952 1072452728 /nfs/dbraw/zinc/45/27/28/1072452728.db2.gz VSECTAKPNZSBEZ-SQNIBIBYSA-N 0 0 441.525 -0.118 20 0 IBADRN CN(C1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1)S(C)(=O)=O ZINC000508403517 1072454997 /nfs/dbraw/zinc/45/49/97/1072454997.db2.gz QYOZARKBCKEPIV-UHFFFAOYSA-N 0 0 429.524 -0.276 20 0 IBADRN O=C(c1cccs1)N1CCC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508405119 1072454404 /nfs/dbraw/zinc/45/44/04/1072454404.db2.gz ZEWLGBVRWDTMCK-KKUMJFAQSA-N 0 0 427.548 -0.345 20 0 IBADRN O=C(c1cccs1)N1CCC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508405120 1072454448 /nfs/dbraw/zinc/45/44/48/1072454448.db2.gz ZEWLGBVRWDTMCK-RRFJBIMHSA-N 0 0 427.548 -0.345 20 0 IBADRN O=C(c1cccs1)N1CCC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000508405121 1072454383 /nfs/dbraw/zinc/45/43/83/1072454383.db2.gz ZEWLGBVRWDTMCK-SOUVJXGZSA-N 0 0 427.548 -0.345 20 0 IBADRN O=C(c1cccs1)N1CCC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000508405122 1072454528 /nfs/dbraw/zinc/45/45/28/1072454528.db2.gz ZEWLGBVRWDTMCK-ZNMIVQPWSA-N 0 0 427.548 -0.345 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)ccc2c1=O ZINC000508405199 1072454471 /nfs/dbraw/zinc/45/44/71/1072454471.db2.gz ZVSPUMXQCSNPRD-CVEARBPZSA-N 0 0 436.490 -0.962 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)ccc2c1=O ZINC000508405200 1072454411 /nfs/dbraw/zinc/45/44/11/1072454411.db2.gz ZVSPUMXQCSNPRD-HOTGVXAUSA-N 0 0 436.490 -0.962 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)ccc2c1=O ZINC000508405201 1072454490 /nfs/dbraw/zinc/45/44/90/1072454490.db2.gz ZVSPUMXQCSNPRD-HZPDHXFCSA-N 0 0 436.490 -0.962 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)ccc2c1=O ZINC000508405202 1072454296 /nfs/dbraw/zinc/45/42/96/1072454296.db2.gz ZVSPUMXQCSNPRD-JKSUJKDBSA-N 0 0 436.490 -0.962 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000508406841 1072456838 /nfs/dbraw/zinc/45/68/38/1072456838.db2.gz PHVSTQBPJCZNQS-LLVKDONJSA-N 0 0 427.483 -0.392 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)cn1 ZINC000508408226 1072456696 /nfs/dbraw/zinc/45/66/96/1072456696.db2.gz SHVISKWKRXQXFY-UHFFFAOYSA-N 0 0 436.494 -0.392 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1 ZINC000508410764 1072463675 /nfs/dbraw/zinc/46/36/75/1072463675.db2.gz GIGUIVLDHLPHFU-CQSZACIVSA-N 0 0 438.506 -0.048 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000508411151 1072463745 /nfs/dbraw/zinc/46/37/45/1072463745.db2.gz HDAMARXTSJWWSB-OAHLLOKOSA-N 0 0 437.522 -0.071 20 0 IBADRN COCCN1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000508411406 1072470180 /nfs/dbraw/zinc/47/01/80/1072470180.db2.gz KAERMIWIOJLKFO-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)nn1 ZINC000508415917 1072476763 /nfs/dbraw/zinc/47/67/63/1072476763.db2.gz YQEKVFPTYCAWJK-CYBMUJFWSA-N 0 0 433.494 -0.018 20 0 IBADRN COc1ccc(-c2nnc(N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)n2C)c(OC)c1 ZINC000508418390 1072485927 /nfs/dbraw/zinc/48/59/27/1072485927.db2.gz BMPCFAZIRZPXHL-UHFFFAOYSA-N 0 0 442.480 -0.034 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)cc2n(C)c1=O ZINC000508420135 1072496861 /nfs/dbraw/zinc/49/68/61/1072496861.db2.gz DKUBORDCGSOMNU-CYBMUJFWSA-N 0 0 435.506 -0.112 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508420869 1072500184 /nfs/dbraw/zinc/50/01/84/1072500184.db2.gz FPSBODYARHXLAE-HNNXBMFYSA-N 0 0 440.588 -0.506 20 0 IBADRN CCN(CC)S(=O)(=O)CCNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508420870 1072500441 /nfs/dbraw/zinc/50/04/41/1072500441.db2.gz FPSBODYARHXLAE-OAHLLOKOSA-N 0 0 440.588 -0.506 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000508421255 1072499171 /nfs/dbraw/zinc/49/91/71/1072499171.db2.gz HEOZPDBFFBTFBF-LLVKDONJSA-N 0 0 427.483 -0.392 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)cc2)c1=O ZINC000508422121 1072501449 /nfs/dbraw/zinc/50/14/49/1072501449.db2.gz JIFKMPDKEWASKD-CQSZACIVSA-N 0 0 448.505 -0.418 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)c1 ZINC000508423008 1072510957 /nfs/dbraw/zinc/51/09/57/1072510957.db2.gz AJKVAVCAWUXIOR-CQSZACIVSA-N 0 0 433.494 -0.018 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)N[C@H](C)CS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000508423415 1072512580 /nfs/dbraw/zinc/51/25/80/1072512580.db2.gz LTVVAKAJEJPKHZ-CVEARBPZSA-N 0 0 440.570 -0.016 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)N[C@@H](C)CS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000508423422 1072512509 /nfs/dbraw/zinc/51/25/09/1072512509.db2.gz LTVVAKAJEJPKHZ-HOTGVXAUSA-N 0 0 440.570 -0.016 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)N[C@H](C)CS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000508423425 1072512482 /nfs/dbraw/zinc/51/24/82/1072512482.db2.gz LTVVAKAJEJPKHZ-HZPDHXFCSA-N 0 0 440.570 -0.016 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)N[C@@H](C)CS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000508423428 1072512601 /nfs/dbraw/zinc/51/26/01/1072512601.db2.gz LTVVAKAJEJPKHZ-JKSUJKDBSA-N 0 0 440.570 -0.016 20 0 IBADRN NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000508424269 1072511886 /nfs/dbraw/zinc/51/18/86/1072511886.db2.gz MUKIHISDPCFKCW-HNNXBMFYSA-N 0 0 444.879 -0.178 20 0 IBADRN NC(=O)[C@@H](Cc1ccc(Cl)cc1)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000508424270 1072511991 /nfs/dbraw/zinc/51/19/91/1072511991.db2.gz MUKIHISDPCFKCW-OAHLLOKOSA-N 0 0 444.879 -0.178 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCN4C(=O)CNC4=O)CC3)C2=O)n(C)n1 ZINC000508425844 1072516520 /nfs/dbraw/zinc/51/65/20/1072516520.db2.gz PGSAFOBXDWCHSH-HNNXBMFYSA-N 0 0 446.512 -0.897 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCN4C(=O)CNC4=O)CC3)C2=O)n(C)n1 ZINC000508425845 1072516573 /nfs/dbraw/zinc/51/65/73/1072516573.db2.gz PGSAFOBXDWCHSH-OAHLLOKOSA-N 0 0 446.512 -0.897 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)n(-c2ncccn2)n1 ZINC000508427955 1072519495 /nfs/dbraw/zinc/51/94/95/1072519495.db2.gz SYRPWYPYWAQVSR-CYBMUJFWSA-N 0 0 433.494 -0.018 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCN4C(=O)CCC4=O)CC3)C2=O)n(C)n1 ZINC000508427969 1072519460 /nfs/dbraw/zinc/51/94/60/1072519460.db2.gz SZGANHBUCLIWDK-INIZCTEOSA-N 0 0 445.524 -0.300 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCN4C(=O)CCC4=O)CC3)C2=O)n(C)n1 ZINC000508427974 1072519554 /nfs/dbraw/zinc/51/95/54/1072519554.db2.gz SZGANHBUCLIWDK-MRXNPFEDSA-N 0 0 445.524 -0.300 20 0 IBADRN Cn1c(-c2ccc(S(C)(=O)=O)cc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000508429375 1072520042 /nfs/dbraw/zinc/52/00/42/1072520042.db2.gz SWPNJWUBCTYATG-UHFFFAOYSA-N 0 0 432.506 -0.187 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1 ZINC000508431697 1072527290 /nfs/dbraw/zinc/52/72/90/1072527290.db2.gz RINATONNWNKKQG-CQSZACIVSA-N 0 0 438.506 -0.177 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2C)c1 ZINC000508432500 1072529814 /nfs/dbraw/zinc/52/98/14/1072529814.db2.gz GWQZICBMMMHOIQ-UHFFFAOYSA-N 0 0 428.540 -0.186 20 0 IBADRN Cn1c(CCOc2ccccc2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000508432856 1072529049 /nfs/dbraw/zinc/52/90/49/1072529049.db2.gz IHNDCUAGJHBDAO-UHFFFAOYSA-N 0 0 428.493 -0.056 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000508433174 1072528384 /nfs/dbraw/zinc/52/83/84/1072528384.db2.gz KLRAFJSXDCRCQF-CQSZACIVSA-N 0 0 437.522 -0.071 20 0 IBADRN NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000508433367 1072528456 /nfs/dbraw/zinc/52/84/56/1072528456.db2.gz LCUAQWGKBNCGKS-HNNXBMFYSA-N 0 0 432.868 -0.034 20 0 IBADRN NC(=O)[C@@H](Cc1ccc(Cl)cc1)NC(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000508433371 1072529035 /nfs/dbraw/zinc/52/90/35/1072529035.db2.gz LCUAQWGKBNCGKS-OAHLLOKOSA-N 0 0 432.868 -0.034 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)cc21 ZINC000508433582 1072531586 /nfs/dbraw/zinc/53/15/86/1072531586.db2.gz LJQRDYRLWRKYLR-LLVKDONJSA-N 0 0 434.478 -0.327 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)c1 ZINC000508435578 1072533820 /nfs/dbraw/zinc/53/38/20/1072533820.db2.gz OHABVFGYRKPOJS-CYBMUJFWSA-N 0 0 444.535 -0.394 20 0 IBADRN COCCN1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1=O ZINC000508437508 1072536487 /nfs/dbraw/zinc/53/64/87/1072536487.db2.gz IVTUFIYFDXEQQK-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN COCCN1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1=O ZINC000508439184 1072537415 /nfs/dbraw/zinc/53/74/15/1072537415.db2.gz QNAUQWBPDMSFGF-UHFFFAOYSA-N 0 0 427.527 -0.554 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000508439780 1072542372 /nfs/dbraw/zinc/54/23/72/1072542372.db2.gz YCTDYGSCCXGMPJ-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000508439781 1072542468 /nfs/dbraw/zinc/54/24/68/1072542468.db2.gz YCTDYGSCCXGMPJ-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)CC[C@@H]2C)c1 ZINC000508440134 1072541467 /nfs/dbraw/zinc/54/14/67/1072541467.db2.gz ZHQHTVJLXJGAGJ-AWEZNQCLSA-N 0 0 433.552 -0.086 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)CC[C@H]2C)c1 ZINC000508440135 1072541409 /nfs/dbraw/zinc/54/14/09/1072541409.db2.gz ZHQHTVJLXJGAGJ-CQSZACIVSA-N 0 0 433.552 -0.086 20 0 IBADRN Cn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000508441530 1072547324 /nfs/dbraw/zinc/54/73/24/1072547324.db2.gz KQDASYBRNKSDMH-UHFFFAOYSA-N 0 0 448.549 -0.134 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1C(N)=O ZINC000508446600 1072555845 /nfs/dbraw/zinc/55/58/45/1072555845.db2.gz OHMIQVVRTIGFBL-SNVBAGLBSA-N 0 0 425.467 -0.800 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)N1CCC[C@H](S(=O)(=O)N3CCOCC3)C1)CC2 ZINC000508446957 1072556313 /nfs/dbraw/zinc/55/63/13/1072556313.db2.gz PDCRXOFPOHLDIV-CVEARBPZSA-N 0 0 426.543 -0.015 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)N1CCC[C@H](S(=O)(=O)N3CCOCC3)C1)CC2 ZINC000508446959 1072556328 /nfs/dbraw/zinc/55/63/28/1072556328.db2.gz PDCRXOFPOHLDIV-HOTGVXAUSA-N 0 0 426.543 -0.015 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)N1CCC[C@@H](S(=O)(=O)N3CCOCC3)C1)CC2 ZINC000508446963 1072556280 /nfs/dbraw/zinc/55/62/80/1072556280.db2.gz PDCRXOFPOHLDIV-HZPDHXFCSA-N 0 0 426.543 -0.015 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)N1CCC[C@@H](S(=O)(=O)N3CCOCC3)C1)CC2 ZINC000508446965 1072556357 /nfs/dbraw/zinc/55/63/57/1072556357.db2.gz PDCRXOFPOHLDIV-JKSUJKDBSA-N 0 0 426.543 -0.015 20 0 IBADRN COc1ccc(OCc2nnc(N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)n2C)cc1 ZINC000508447429 1072553845 /nfs/dbraw/zinc/55/38/45/1072553845.db2.gz QYJFCTPFUNCGJS-UHFFFAOYSA-N 0 0 442.480 -0.131 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)C(=O)NCC(=O)N(C)C)c1 ZINC000508449918 1072560287 /nfs/dbraw/zinc/56/02/87/1072560287.db2.gz XWORDDUEXBEFNU-UHFFFAOYSA-N 0 0 449.327 -0.644 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C3CCN(c4ncccn4)CC3)n2C)CC1 ZINC000508451729 1072561943 /nfs/dbraw/zinc/56/19/43/1072561943.db2.gz CPLYYHQCNJENTQ-UHFFFAOYSA-N 0 0 443.556 -0.126 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000508455325 1072562510 /nfs/dbraw/zinc/56/25/10/1072562510.db2.gz YXGVFFWALPTHQD-CYBMUJFWSA-N 0 0 438.506 -0.048 20 0 IBADRN COc1cccc(-c2nnc(N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)n2C)c1OC ZINC000508456291 1073671810 /nfs/dbraw/zinc/67/18/10/1073671810.db2.gz PCEGYTJAJMDCHY-UHFFFAOYSA-N 0 0 442.480 -0.034 20 0 IBADRN COCCN1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1=O ZINC000508458551 1072536675 /nfs/dbraw/zinc/53/66/75/1072536675.db2.gz NVDHKPKEHUWTPI-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN COCCN1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1=O ZINC000508459718 1072572097 /nfs/dbraw/zinc/57/20/97/1072572097.db2.gz WVNMFVRBNDUIHQ-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000508459774 1072571631 /nfs/dbraw/zinc/57/16/31/1072571631.db2.gz WBQLZPRBWXVJAE-CVEARBPZSA-N 0 0 440.526 -0.639 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000508459775 1072571596 /nfs/dbraw/zinc/57/15/96/1072571596.db2.gz WBQLZPRBWXVJAE-HOTGVXAUSA-N 0 0 440.526 -0.639 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000508459776 1072571789 /nfs/dbraw/zinc/57/17/89/1072571789.db2.gz WBQLZPRBWXVJAE-HZPDHXFCSA-N 0 0 440.526 -0.639 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000508459777 1072571753 /nfs/dbraw/zinc/57/17/53/1072571753.db2.gz WBQLZPRBWXVJAE-JKSUJKDBSA-N 0 0 440.526 -0.639 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(c3nnc([C@H]4CN(S(C)(=O)=O)CCO4)n3C)C2)n1 ZINC000508460986 1072572799 /nfs/dbraw/zinc/57/27/99/1072572799.db2.gz HLQAVVDVAXMRFO-CHWSQXEVSA-N 0 0 440.530 -0.019 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(c3nnc([C@@H]4CN(S(C)(=O)=O)CCO4)n3C)C2)n1 ZINC000508460987 1072572788 /nfs/dbraw/zinc/57/27/88/1072572788.db2.gz HLQAVVDVAXMRFO-OLZOCXBDSA-N 0 0 440.530 -0.019 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(c3nnc([C@H]4CN(S(C)(=O)=O)CCO4)n3C)C2)n1 ZINC000508460988 1072572657 /nfs/dbraw/zinc/57/26/57/1072572657.db2.gz HLQAVVDVAXMRFO-QWHCGFSZSA-N 0 0 440.530 -0.019 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(c3nnc([C@@H]4CN(S(C)(=O)=O)CCO4)n3C)C2)n1 ZINC000508460989 1072572591 /nfs/dbraw/zinc/57/25/91/1072572591.db2.gz HLQAVVDVAXMRFO-STQMWFEESA-N 0 0 440.530 -0.019 20 0 IBADRN COCCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1=O ZINC000508469443 1072583149 /nfs/dbraw/zinc/58/31/49/1072583149.db2.gz FFLGHPBHOZJEOL-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)no1 ZINC000508471967 1072591512 /nfs/dbraw/zinc/59/15/12/1072591512.db2.gz WXIYKFMNLYVORQ-GFCCVEGCSA-N 0 0 435.528 -0.323 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)no1 ZINC000508471971 1072591504 /nfs/dbraw/zinc/59/15/04/1072591504.db2.gz WXIYKFMNLYVORQ-LBPRGKRZSA-N 0 0 435.528 -0.323 20 0 IBADRN COCCN1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000508485139 1072616557 /nfs/dbraw/zinc/61/65/57/1072616557.db2.gz CIFWMZOPVPODRX-UHFFFAOYSA-N 0 0 439.534 -0.043 20 0 IBADRN COCCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1=O ZINC000508488416 1072623284 /nfs/dbraw/zinc/62/32/84/1072623284.db2.gz JSOOGOIWSFXHTB-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COCCN1CCN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000508491148 1072625086 /nfs/dbraw/zinc/62/50/86/1072625086.db2.gz UJWVWVNHJAAQJY-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(OC)nc2N2CCN(C(C)=O)CC2)C(=O)C1=O ZINC000508495434 1072628087 /nfs/dbraw/zinc/62/80/87/1072628087.db2.gz ZJVCXDMGRMWNDG-UHFFFAOYSA-N 0 0 432.481 -0.612 20 0 IBADRN COc1ccc(NC(=O)CN2CCS(=O)(=O)CC2)c(N2CCN(C(C)=O)CC2)n1 ZINC000508495476 1072628114 /nfs/dbraw/zinc/62/81/14/1072628114.db2.gz ZTYJANBXQYENEW-UHFFFAOYSA-N 0 0 425.511 -0.572 20 0 IBADRN COc1ccc(NC(=O)C2CCN(S(N)(=O)=O)CC2)c(N2CCN(C(C)=O)CC2)n1 ZINC000508496272 1072628485 /nfs/dbraw/zinc/62/84/85/1072628485.db2.gz JTYJBTVZVWTHGA-UHFFFAOYSA-N 0 0 440.526 -0.387 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508497481 1072629657 /nfs/dbraw/zinc/62/96/57/1072629657.db2.gz NQTKAVKFEPUTRL-CHWSQXEVSA-N 0 0 442.460 -0.014 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508497487 1072629770 /nfs/dbraw/zinc/62/97/70/1072629770.db2.gz NQTKAVKFEPUTRL-OLZOCXBDSA-N 0 0 442.460 -0.014 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508497489 1072635885 /nfs/dbraw/zinc/63/58/85/1072635885.db2.gz NQTKAVKFEPUTRL-QWHCGFSZSA-N 0 0 442.460 -0.014 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508497492 1072635877 /nfs/dbraw/zinc/63/58/77/1072635877.db2.gz NQTKAVKFEPUTRL-STQMWFEESA-N 0 0 442.460 -0.014 20 0 IBADRN COc1ccc(NC(=O)Cn2cnc3c(cnn3C)c2=O)c(N2CCN(C(C)=O)CC2)n1 ZINC000508502176 1072648486 /nfs/dbraw/zinc/64/84/86/1072648486.db2.gz HEFMYUJNHQTGEN-UHFFFAOYSA-N 0 0 440.464 -0.159 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000508504107 1072651036 /nfs/dbraw/zinc/65/10/36/1072651036.db2.gz LMACKVRCLKODNY-MOPGFXCFSA-N 0 0 449.596 -0.241 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000508504108 1072651025 /nfs/dbraw/zinc/65/10/25/1072651025.db2.gz LMACKVRCLKODNY-OALUTQOASA-N 0 0 449.596 -0.241 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000508504109 1072651110 /nfs/dbraw/zinc/65/11/10/1072651110.db2.gz LMACKVRCLKODNY-RBUKOAKNSA-N 0 0 449.596 -0.241 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000508504110 1072650919 /nfs/dbraw/zinc/65/09/19/1072650919.db2.gz LMACKVRCLKODNY-RTBURBONSA-N 0 0 449.596 -0.241 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)no1 ZINC000508505504 1072653929 /nfs/dbraw/zinc/65/39/29/1072653929.db2.gz BIXBSIJXYXABRG-KBPBESRZSA-N 0 0 447.514 -0.213 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)no1 ZINC000508505507 1072654057 /nfs/dbraw/zinc/65/40/57/1072654057.db2.gz BIXBSIJXYXABRG-KGLIPLIRSA-N 0 0 447.514 -0.213 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)no1 ZINC000508505513 1072654078 /nfs/dbraw/zinc/65/40/78/1072654078.db2.gz BIXBSIJXYXABRG-UONOGXRCSA-N 0 0 447.514 -0.213 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)no1 ZINC000508505518 1072654017 /nfs/dbraw/zinc/65/40/17/1072654017.db2.gz BIXBSIJXYXABRG-ZIAGYGMSSA-N 0 0 447.514 -0.213 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCc2ncn(C)n2)c1OC ZINC000508507942 1072657494 /nfs/dbraw/zinc/65/74/94/1072657494.db2.gz XCEOUXHVAYAEAJ-UHFFFAOYSA-N 0 0 425.467 -0.217 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000508508356 1072655526 /nfs/dbraw/zinc/65/55/26/1072655526.db2.gz QOIGBPQHFJJMTE-AWEZNQCLSA-N 0 0 426.520 -0.590 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000508508364 1072655581 /nfs/dbraw/zinc/65/55/81/1072655581.db2.gz QOIGBPQHFJJMTE-CQSZACIVSA-N 0 0 426.520 -0.590 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000508510909 1072662063 /nfs/dbraw/zinc/66/20/63/1072662063.db2.gz WMHSQHJUXAYSBY-KRWDZBQOSA-N 0 0 445.586 -0.625 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000508510910 1072662801 /nfs/dbraw/zinc/66/28/01/1072662801.db2.gz WMHSQHJUXAYSBY-QGZVFWFLSA-N 0 0 445.586 -0.625 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)n2C)n1 ZINC000508514652 1072664413 /nfs/dbraw/zinc/66/44/13/1072664413.db2.gz OBKHSVVAMJQZRJ-UHFFFAOYSA-N 0 0 448.509 -0.120 20 0 IBADRN CCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000508518298 1072669712 /nfs/dbraw/zinc/66/97/12/1072669712.db2.gz FXLNFLXUBJSCSS-UHFFFAOYSA-N 0 0 430.508 -0.074 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CC1 ZINC000508519205 1072670912 /nfs/dbraw/zinc/67/09/12/1072670912.db2.gz JZNZFMZPSJTXBV-UHFFFAOYSA-N 0 0 437.566 -0.663 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)n1C ZINC000508520063 1072674502 /nfs/dbraw/zinc/67/45/02/1072674502.db2.gz JREXTYKUQYTDHX-KBPBESRZSA-N 0 0 427.575 -0.005 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)n1C ZINC000508520065 1072674493 /nfs/dbraw/zinc/67/44/93/1072674493.db2.gz JREXTYKUQYTDHX-KGLIPLIRSA-N 0 0 427.575 -0.005 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C)CC1 ZINC000508520066 1072674355 /nfs/dbraw/zinc/67/43/55/1072674355.db2.gz JREXTYKUQYTDHX-UONOGXRCSA-N 0 0 427.575 -0.005 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)n1C ZINC000508520068 1072674425 /nfs/dbraw/zinc/67/44/25/1072674425.db2.gz JREXTYKUQYTDHX-ZIAGYGMSSA-N 0 0 427.575 -0.005 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccsc3)CC2)o1 ZINC000508520090 1072674410 /nfs/dbraw/zinc/67/44/10/1072674410.db2.gz XWIZACWRYNFNPX-UHFFFAOYSA-N 0 0 426.476 -0.297 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(c3cccc(Cl)c3)CC2)CC1 ZINC000508521234 1072676280 /nfs/dbraw/zinc/67/62/80/1072676280.db2.gz LIYQPZBWSQFUBF-UHFFFAOYSA-N 0 0 431.884 -0.155 20 0 IBADRN CCn1nc(-c2nnc(N3CCN(C(=O)Cn4cnnn4)CC3)n2C)c2ccccc2c1=O ZINC000508522671 1072684560 /nfs/dbraw/zinc/68/45/60/1072684560.db2.gz ULTOVBCTQUTXKE-UHFFFAOYSA-N 0 0 449.479 -0.453 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC1(c3cccc(F)c3)CC1)C2 ZINC000508522898 1072684585 /nfs/dbraw/zinc/68/45/85/1072684585.db2.gz USQZPHOEZXRJTJ-UHFFFAOYSA-N 0 0 444.467 -0.538 20 0 IBADRN O=C(NCC1(c2cccc(F)c2)CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000508524539 1072686994 /nfs/dbraw/zinc/68/69/94/1072686994.db2.gz BJEOXMRHFPGRPN-UHFFFAOYSA-N 0 0 446.479 -0.497 20 0 IBADRN Cn1c(-c2cccc(S(=O)(=O)N3CCOCC3)c2)nnc1N1CCO[C@H](C(N)=O)C1 ZINC000508524604 1072686436 /nfs/dbraw/zinc/68/64/36/1072686436.db2.gz AQEJJKMAGWZTJB-HNNXBMFYSA-N 0 0 436.494 -0.807 20 0 IBADRN Cn1c(-c2cccc(S(=O)(=O)N3CCOCC3)c2)nnc1N1CCO[C@@H](C(N)=O)C1 ZINC000508524605 1072686484 /nfs/dbraw/zinc/68/64/84/1072686484.db2.gz AQEJJKMAGWZTJB-OAHLLOKOSA-N 0 0 436.494 -0.807 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(c3cccc(F)c3)CC2)CC1)N1CCOCC1 ZINC000508526109 1072687595 /nfs/dbraw/zinc/68/75/95/1072687595.db2.gz IPNCSTVBVQVHCA-UHFFFAOYSA-N 0 0 432.496 -0.024 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3nn(C)c(=O)c4ccccc43)n2C)CC1 ZINC000508528025 1072689720 /nfs/dbraw/zinc/68/97/20/1072689720.db2.gz SPWUGMGYRQLLAI-UHFFFAOYSA-N 0 0 438.492 -0.098 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(c2nnc(CNC(=O)c3ccco3)n2C)CC1 ZINC000508531671 1072696046 /nfs/dbraw/zinc/69/60/46/1072696046.db2.gz JRZKYCYMNXIKKI-HNNXBMFYSA-N 0 0 431.497 -0.293 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(c2nnc(CNC(=O)c3ccco3)n2C)CC1 ZINC000508531674 1072696101 /nfs/dbraw/zinc/69/61/01/1072696101.db2.gz JRZKYCYMNXIKKI-OAHLLOKOSA-N 0 0 431.497 -0.293 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCC2(c3cccc(F)c3)CC2)CC1 ZINC000508533095 1072696031 /nfs/dbraw/zinc/69/60/31/1072696031.db2.gz URHIMINSRVSNPM-UHFFFAOYSA-N 0 0 432.496 -0.024 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)Cc2c(C)nc3nc(N)nn3c2C)CC1 ZINC000508534849 1072698213 /nfs/dbraw/zinc/69/82/13/1072698213.db2.gz PZGMMQKYGJSWMP-HNNXBMFYSA-N 0 0 432.529 -0.449 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)Cc2c(C)nc3nc(N)nn3c2C)CC1 ZINC000508534854 1072697989 /nfs/dbraw/zinc/69/79/89/1072697989.db2.gz PZGMMQKYGJSWMP-OAHLLOKOSA-N 0 0 432.529 -0.449 20 0 IBADRN COCc1ncc(C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)c(N)n1 ZINC000508537214 1073357752 /nfs/dbraw/zinc/35/77/52/1073357752.db2.gz GYSPFUHAIAWKDD-AWEZNQCLSA-N 0 0 442.524 -0.218 20 0 IBADRN COCc1ncc(C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)c(N)n1 ZINC000508537215 1073357734 /nfs/dbraw/zinc/35/77/34/1073357734.db2.gz GYSPFUHAIAWKDD-CQSZACIVSA-N 0 0 442.524 -0.218 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000508539165 1072700510 /nfs/dbraw/zinc/70/05/10/1072700510.db2.gz TWZPURFRSARGHG-CYBMUJFWSA-N 0 0 427.487 -0.119 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000508539166 1072700341 /nfs/dbraw/zinc/70/03/41/1072700341.db2.gz TWZPURFRSARGHG-ZDUSSCGKSA-N 0 0 427.487 -0.119 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)CC1 ZINC000508540597 1072706102 /nfs/dbraw/zinc/70/61/02/1072706102.db2.gz FUBWAZPXQQSHDV-HNNXBMFYSA-N 0 0 434.493 -0.170 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)CC1 ZINC000508540601 1072706069 /nfs/dbraw/zinc/70/60/69/1072706069.db2.gz FUBWAZPXQQSHDV-OAHLLOKOSA-N 0 0 434.493 -0.170 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)Cn2c3ccccc3c(=O)[nH]c2=O)CC1 ZINC000508541149 1072704635 /nfs/dbraw/zinc/70/46/35/1072704635.db2.gz KKVUYCGECMNOBT-HNNXBMFYSA-N 0 0 431.493 -0.213 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)Cn2c3ccccc3c(=O)[nH]c2=O)CC1 ZINC000508541150 1072704601 /nfs/dbraw/zinc/70/46/01/1072704601.db2.gz KKVUYCGECMNOBT-OAHLLOKOSA-N 0 0 431.493 -0.213 20 0 IBADRN O=C(NCCNC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)c1cnccn1 ZINC000508545900 1072709132 /nfs/dbraw/zinc/70/91/32/1072709132.db2.gz GVVDNFWJRQRBRK-UHFFFAOYSA-N 0 0 430.490 -0.007 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NCCNC(=O)c3cnccn3)no2)cn1 ZINC000508548123 1072712478 /nfs/dbraw/zinc/71/24/78/1072712478.db2.gz LMNVLIKGQWLITA-AWEZNQCLSA-N 0 0 427.425 -0.227 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NCCNC(=O)c3cnccn3)no2)cn1 ZINC000508548126 1072712426 /nfs/dbraw/zinc/71/24/26/1072712426.db2.gz LMNVLIKGQWLITA-CQSZACIVSA-N 0 0 427.425 -0.227 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)n1C ZINC000508548922 1072712499 /nfs/dbraw/zinc/71/24/99/1072712499.db2.gz BUOIBMBRHKPXBN-CHWSQXEVSA-N 0 0 448.505 -0.073 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)n1C ZINC000508548932 1072711851 /nfs/dbraw/zinc/71/18/51/1072711851.db2.gz BUOIBMBRHKPXBN-OLZOCXBDSA-N 0 0 448.505 -0.073 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)n1C ZINC000508548935 1072711919 /nfs/dbraw/zinc/71/19/19/1072711919.db2.gz BUOIBMBRHKPXBN-QWHCGFSZSA-N 0 0 448.505 -0.073 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)n1C ZINC000508548937 1072712450 /nfs/dbraw/zinc/71/24/50/1072712450.db2.gz BUOIBMBRHKPXBN-STQMWFEESA-N 0 0 448.505 -0.073 20 0 IBADRN O=C(NCCNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1cnccn1 ZINC000508552143 1072713425 /nfs/dbraw/zinc/71/34/25/1072713425.db2.gz UZHPFMWGEUUEEG-AWEZNQCLSA-N 0 0 426.499 -0.958 20 0 IBADRN O=C(NCCNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1cnccn1 ZINC000508552145 1072713549 /nfs/dbraw/zinc/71/35/49/1072713549.db2.gz UZHPFMWGEUUEEG-CQSZACIVSA-N 0 0 426.499 -0.958 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(CS(N)(=O)=O)cc2)CC1 ZINC000508555297 1072718229 /nfs/dbraw/zinc/71/82/29/1072718229.db2.gz ZHTGYCITTYTYJQ-HNNXBMFYSA-N 0 0 426.539 -0.226 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(CS(N)(=O)=O)cc2)CC1 ZINC000508555298 1072718191 /nfs/dbraw/zinc/71/81/91/1072718191.db2.gz ZHTGYCITTYTYJQ-OAHLLOKOSA-N 0 0 426.539 -0.226 20 0 IBADRN Cn1cc(Br)cc1-c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C ZINC000508557219 1072720542 /nfs/dbraw/zinc/72/05/42/1072720542.db2.gz LZVWISPASCDFLM-UHFFFAOYSA-N 0 0 435.290 -0.082 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C)C1 ZINC000508558090 1072722904 /nfs/dbraw/zinc/72/29/04/1072722904.db2.gz QZHZAGFEFGIVSD-CHWSQXEVSA-N 0 0 438.576 -0.698 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C)C1 ZINC000508558091 1072722892 /nfs/dbraw/zinc/72/28/92/1072722892.db2.gz QZHZAGFEFGIVSD-OLZOCXBDSA-N 0 0 438.576 -0.698 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C)C1 ZINC000508558092 1072722772 /nfs/dbraw/zinc/72/27/72/1072722772.db2.gz QZHZAGFEFGIVSD-QWHCGFSZSA-N 0 0 438.576 -0.698 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C)C1 ZINC000508558093 1072722846 /nfs/dbraw/zinc/72/28/46/1072722846.db2.gz QZHZAGFEFGIVSD-STQMWFEESA-N 0 0 438.576 -0.698 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(=O)(=O)c3ccccc3)CC2)n1C ZINC000508562320 1072726227 /nfs/dbraw/zinc/72/62/27/1072726227.db2.gz KZGYYLBGLIMIEX-CYBMUJFWSA-N 0 0 428.540 -0.064 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(=O)(=O)c3ccccc3)CC2)n1C ZINC000508562323 1072726210 /nfs/dbraw/zinc/72/62/10/1072726210.db2.gz KZGYYLBGLIMIEX-ZDUSSCGKSA-N 0 0 428.540 -0.064 20 0 IBADRN Cn1c(-c2ccc(S(C)(=O)=O)cc2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000508567101 1072733255 /nfs/dbraw/zinc/73/32/55/1072733255.db2.gz BJGZMVJFRPZVTE-UHFFFAOYSA-N 0 0 448.549 -0.134 20 0 IBADRN Cn1c(CNC(=O)c2cccs2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000508570649 1072742687 /nfs/dbraw/zinc/74/26/87/1072742687.db2.gz LXHNHBDBKBGGOS-UHFFFAOYSA-N 0 0 433.538 -0.213 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508571489 1072740636 /nfs/dbraw/zinc/74/06/36/1072740636.db2.gz SSWFWQDTVKXVFM-AWEZNQCLSA-N 0 0 426.561 -0.850 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508571490 1072740745 /nfs/dbraw/zinc/74/07/45/1072740745.db2.gz SSWFWQDTVKXVFM-CQSZACIVSA-N 0 0 426.561 -0.850 20 0 IBADRN Cn1c(-c2ccc3c(c2)OCCO3)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000508573077 1072746254 /nfs/dbraw/zinc/74/62/54/1072746254.db2.gz DTBAVZNJPPQENV-UHFFFAOYSA-N 0 0 442.476 -0.239 20 0 IBADRN Cn1c(CNC(=O)c2cccs2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000508575077 1072750757 /nfs/dbraw/zinc/75/07/57/1072750757.db2.gz ZSLFYYYRKAVWAW-UHFFFAOYSA-N 0 0 447.521 -0.686 20 0 IBADRN COc1ccccc1Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C ZINC000508579591 1072757470 /nfs/dbraw/zinc/75/74/70/1072757470.db2.gz FCIMBYBHKGVWBG-UHFFFAOYSA-N 0 0 428.493 -0.078 20 0 IBADRN CCCn1nc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C)c2ccccc2c1=O ZINC000508592733 1072774385 /nfs/dbraw/zinc/77/43/85/1072774385.db2.gz OQJNUNDCEQRYLR-CYBMUJFWSA-N 0 0 436.476 -0.251 20 0 IBADRN CCCn1nc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C)c2ccccc2c1=O ZINC000508592737 1072774156 /nfs/dbraw/zinc/77/41/56/1072774156.db2.gz OQJNUNDCEQRYLR-ZDUSSCGKSA-N 0 0 436.476 -0.251 20 0 IBADRN Cn1c(CN2CCN(C(=O)c3ccccc3)CC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC000508594369 1072773661 /nfs/dbraw/zinc/77/36/61/1072773661.db2.gz LORPVZYEIRXLBY-UHFFFAOYSA-N 0 0 447.565 -0.145 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C ZINC000508595033 1072775401 /nfs/dbraw/zinc/77/54/01/1072775401.db2.gz WYVPZURATJCBOQ-UHFFFAOYSA-N 0 0 429.547 -0.568 20 0 IBADRN Cn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000508598945 1072780098 /nfs/dbraw/zinc/78/00/98/1072780098.db2.gz BETRTMCAVRSGEZ-UHFFFAOYSA-N 0 0 435.452 -0.936 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCN(C(=O)c4ccccc4)CC3)n2C)CCO1 ZINC000508599142 1072780262 /nfs/dbraw/zinc/78/02/62/1072780262.db2.gz BSFNHLAJANVPEY-KRWDZBQOSA-N 0 0 427.509 -0.276 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCN(C(=O)c4ccccc4)CC3)n2C)CCO1 ZINC000508599146 1072780062 /nfs/dbraw/zinc/78/00/62/1072780062.db2.gz BSFNHLAJANVPEY-QGZVFWFLSA-N 0 0 427.509 -0.276 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3csc(-c4nc[nH]n4)n3)CC2)CC1 ZINC000508602324 1072783020 /nfs/dbraw/zinc/78/30/20/1072783020.db2.gz BQVAZWZIZLRKEY-UHFFFAOYSA-N 0 0 426.528 -0.822 20 0 IBADRN Cn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000508602958 1072785152 /nfs/dbraw/zinc/78/51/52/1072785152.db2.gz RARZEWNAKUDZJK-UHFFFAOYSA-N 0 0 436.476 -0.344 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)cc1 ZINC000508603560 1072782799 /nfs/dbraw/zinc/78/27/99/1072782799.db2.gz DSMZGDBSATTXHY-UHFFFAOYSA-N 0 0 436.412 -0.093 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(CC(F)(F)F)C(=O)C1)CC2 ZINC000508605587 1072784598 /nfs/dbraw/zinc/78/45/98/1072784598.db2.gz LKERQEWIRQUHRJ-UHFFFAOYSA-N 0 0 448.423 -0.283 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)c2ccccc2O1 ZINC000508606798 1072811049 /nfs/dbraw/zinc/81/10/49/1072811049.db2.gz RKKYLPXCJZYIRQ-CABCVRRESA-N 0 0 444.410 -0.094 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)c2ccccc2O1 ZINC000508606801 1072811069 /nfs/dbraw/zinc/81/10/69/1072811069.db2.gz RKKYLPXCJZYIRQ-GJZGRUSLSA-N 0 0 444.410 -0.094 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)c2ccccc2O1 ZINC000508606803 1072811064 /nfs/dbraw/zinc/81/10/64/1072811064.db2.gz RKKYLPXCJZYIRQ-HUUCEWRRSA-N 0 0 444.410 -0.094 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)c2ccccc2O1 ZINC000508606804 1072811017 /nfs/dbraw/zinc/81/10/17/1072811017.db2.gz RKKYLPXCJZYIRQ-LSDHHAIUSA-N 0 0 444.410 -0.094 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@H](N3CCOCC3)C(F)(F)F)cc2)c1=O ZINC000508606882 1072811560 /nfs/dbraw/zinc/81/15/60/1072811560.db2.gz SNHQCWCBMXOVAT-AWEZNQCLSA-N 0 0 442.398 -0.111 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@@H](N3CCOCC3)C(F)(F)F)cc2)c1=O ZINC000508606883 1072811548 /nfs/dbraw/zinc/81/15/48/1072811548.db2.gz SNHQCWCBMXOVAT-CQSZACIVSA-N 0 0 442.398 -0.111 20 0 IBADRN Cn1c(-c2csc(N3CCOCC3)n2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000508607459 1072800819 /nfs/dbraw/zinc/80/08/19/1072800819.db2.gz BQFONXBOXMZOOY-UHFFFAOYSA-N 0 0 446.537 -0.298 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000508612036 1074354653 /nfs/dbraw/zinc/35/46/53/1074354653.db2.gz DMULKUXJSVTKHT-AWEZNQCLSA-N 0 0 448.446 -0.467 20 0 IBADRN O=C(NC[C@@H](N1CCOCC1)C(F)(F)F)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000508612039 1074354691 /nfs/dbraw/zinc/35/46/91/1074354691.db2.gz DMULKUXJSVTKHT-CQSZACIVSA-N 0 0 448.446 -0.467 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)cc1 ZINC000508612065 1072823478 /nfs/dbraw/zinc/82/34/78/1072823478.db2.gz DQWUKDKVIYXUJB-UHFFFAOYSA-N 0 0 444.366 -0.239 20 0 IBADRN O=C(NC[C@@H](N1CCOCC1)C(F)(F)F)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000508613536 1072824274 /nfs/dbraw/zinc/82/42/74/1072824274.db2.gz JBOBJGQJZNQXFE-CYBMUJFWSA-N 0 0 444.414 -0.664 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000508613537 1072824165 /nfs/dbraw/zinc/82/41/65/1072824165.db2.gz JBOBJGQJZNQXFE-ZDUSSCGKSA-N 0 0 444.414 -0.664 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000508613720 1072830695 /nfs/dbraw/zinc/83/06/95/1072830695.db2.gz GEBCPVMIZQIZDL-CEXWTWQISA-N 0 0 445.630 -0.243 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000508613721 1072830493 /nfs/dbraw/zinc/83/04/93/1072830493.db2.gz GEBCPVMIZQIZDL-GUDVDZBRSA-N 0 0 445.630 -0.243 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000508613722 1072830399 /nfs/dbraw/zinc/83/03/99/1072830399.db2.gz GEBCPVMIZQIZDL-OTWHNJEPSA-N 0 0 445.630 -0.243 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000508613723 1072830765 /nfs/dbraw/zinc/83/07/65/1072830765.db2.gz GEBCPVMIZQIZDL-QRVBRYPASA-N 0 0 445.630 -0.243 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)ccc1F ZINC000508614712 1072837085 /nfs/dbraw/zinc/83/70/85/1072837085.db2.gz MMOODQRAYVECCZ-UHFFFAOYSA-N 0 0 440.375 -0.095 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000508617347 1072860957 /nfs/dbraw/zinc/86/09/57/1072860957.db2.gz BVNARUQOSYGKBS-UHFFFAOYSA-N 0 0 442.350 -0.252 20 0 IBADRN CCN(CC(=O)N1CCCCCC1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000508617992 1072861217 /nfs/dbraw/zinc/86/12/17/1072861217.db2.gz ZCGKSZMFXBVBKR-UHFFFAOYSA-N 0 0 448.524 -0.069 20 0 IBADRN CNC(=O)CC[C@@H]1CCCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000508620282 1072860941 /nfs/dbraw/zinc/86/09/41/1072860941.db2.gz INYFXBZYOKYUHG-HNNXBMFYSA-N 0 0 434.497 -0.412 20 0 IBADRN CNC(=O)CC[C@H]1CCCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000508620285 1072861107 /nfs/dbraw/zinc/86/11/07/1072861107.db2.gz INYFXBZYOKYUHG-OAHLLOKOSA-N 0 0 434.497 -0.412 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)c1 ZINC000508620287 1072861459 /nfs/dbraw/zinc/86/14/59/1072861459.db2.gz IPLJHYFFGDXTDT-CYBMUJFWSA-N 0 0 438.428 -0.088 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)c1 ZINC000508620290 1072861747 /nfs/dbraw/zinc/86/17/47/1072861747.db2.gz IPLJHYFFGDXTDT-ZDUSSCGKSA-N 0 0 438.428 -0.088 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000508622007 1072861648 /nfs/dbraw/zinc/86/16/48/1072861648.db2.gz NZXKGHNWYIZECG-HNNXBMFYSA-N 0 0 448.446 -0.467 20 0 IBADRN O=C(NC[C@@H](N1CCOCC1)C(F)(F)F)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000508622008 1072861430 /nfs/dbraw/zinc/86/14/30/1072861430.db2.gz NZXKGHNWYIZECG-OAHLLOKOSA-N 0 0 448.446 -0.467 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)NC[C@@H](N3CCOCC3)C(F)(F)F)cc21 ZINC000508622768 1072862408 /nfs/dbraw/zinc/86/24/08/1072862408.db2.gz TZAZLPVHJDRXLF-GFCCVEGCSA-N 0 0 428.371 -0.020 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)NC[C@H](N3CCOCC3)C(F)(F)F)cc21 ZINC000508622772 1072862295 /nfs/dbraw/zinc/86/22/95/1072862295.db2.gz TZAZLPVHJDRXLF-LBPRGKRZSA-N 0 0 428.371 -0.020 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000508623167 1072862126 /nfs/dbraw/zinc/86/21/26/1072862126.db2.gz UWXMMOZDFVQWIB-UHFFFAOYSA-N 0 0 441.366 -0.090 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)c2ccccc2O1 ZINC000508623915 1072862353 /nfs/dbraw/zinc/86/23/53/1072862353.db2.gz SNCXRXWWFUKFSH-CYBMUJFWSA-N 0 0 428.367 -0.240 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)c2ccccc2O1 ZINC000508623918 1072862092 /nfs/dbraw/zinc/86/20/92/1072862092.db2.gz SNCXRXWWFUKFSH-ZDUSSCGKSA-N 0 0 428.367 -0.240 20 0 IBADRN CNC(=O)CC[C@H]1CCCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000508624434 1072861677 /nfs/dbraw/zinc/86/16/77/1072861677.db2.gz VLUTTWIDWPJIPP-CYBMUJFWSA-N 0 0 430.465 -0.522 20 0 IBADRN CNC(=O)CC[C@@H]1CCCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000508624437 1072862335 /nfs/dbraw/zinc/86/23/35/1072862335.db2.gz VLUTTWIDWPJIPP-ZDUSSCGKSA-N 0 0 430.465 -0.522 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000508624557 1072862368 /nfs/dbraw/zinc/86/23/68/1072862368.db2.gz FNBIPYASGLMXPJ-FGTMMUONSA-N 0 0 432.587 -0.159 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000508624559 1072862099 /nfs/dbraw/zinc/86/20/99/1072862099.db2.gz FNBIPYASGLMXPJ-KSZLIROESA-N 0 0 432.587 -0.159 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000508624561 1072862439 /nfs/dbraw/zinc/86/24/39/1072862439.db2.gz FNBIPYASGLMXPJ-KURKYZTESA-N 0 0 432.587 -0.159 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000508624563 1072862225 /nfs/dbraw/zinc/86/22/25/1072862225.db2.gz FNBIPYASGLMXPJ-KZNAEPCWSA-N 0 0 432.587 -0.159 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000508634134 1072865672 /nfs/dbraw/zinc/86/56/72/1072865672.db2.gz YSOARQPBXXWXFD-UHFFFAOYSA-N 0 0 428.371 -0.811 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)cc1OCC(N)=O ZINC000508634732 1072866478 /nfs/dbraw/zinc/86/64/78/1072866478.db2.gz AYGBUFNJNDOUJN-UHFFFAOYSA-N 0 0 432.355 -0.269 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NC2CCC3(CC2)NC(=O)NC3=O)c1 ZINC000508635205 1072866553 /nfs/dbraw/zinc/86/65/53/1072866553.db2.gz BPABIGHHMPJMQR-UHFFFAOYSA-N 0 0 426.437 -0.065 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)c1 ZINC000508637085 1072867612 /nfs/dbraw/zinc/86/76/12/1072867612.db2.gz KKUBMMFDRDHEHY-UHFFFAOYSA-N 0 0 443.382 -0.585 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)cn1)NC1CC1 ZINC000508637121 1072867069 /nfs/dbraw/zinc/86/70/69/1072867069.db2.gz KNSUSUVHQCYJRJ-CYBMUJFWSA-N 0 0 432.403 -0.521 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)cn1)NC1CC1 ZINC000508637127 1072867103 /nfs/dbraw/zinc/86/71/03/1072867103.db2.gz KNSUSUVHQCYJRJ-ZDUSSCGKSA-N 0 0 432.403 -0.521 20 0 IBADRN CCN(CC(=O)N1CCCCCC1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000508638680 1072868253 /nfs/dbraw/zinc/86/82/53/1072868253.db2.gz PFXVYLQWGBQPAJ-UHFFFAOYSA-N 0 0 444.492 -0.178 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)cc1-n1cnnn1 ZINC000508640919 1072869445 /nfs/dbraw/zinc/86/94/45/1072869445.db2.gz VYDUCOMIFBXXHB-UHFFFAOYSA-N 0 0 427.343 -0.158 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)c1 ZINC000508649410 1072872746 /nfs/dbraw/zinc/87/27/46/1072872746.db2.gz ATOPWZAWVGEFLT-CYBMUJFWSA-N 0 0 445.398 -0.781 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)c1 ZINC000508649411 1072872808 /nfs/dbraw/zinc/87/28/08/1072872808.db2.gz ATOPWZAWVGEFLT-ZDUSSCGKSA-N 0 0 445.398 -0.781 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)C(=O)Nc1cnn(CC(N)=O)c1 ZINC000508652168 1072873669 /nfs/dbraw/zinc/87/36/69/1072873669.db2.gz HMZFDUQXEQMNRD-UHFFFAOYSA-N 0 0 442.885 -0.637 20 0 IBADRN CNC(=O)CC[C@@H]1CCCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000508652601 1072873284 /nfs/dbraw/zinc/87/32/84/1072873284.db2.gz ZZIMVKCASRTISF-INIZCTEOSA-N 0 0 434.497 -0.412 20 0 IBADRN CNC(=O)CC[C@H]1CCCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000508652604 1072873726 /nfs/dbraw/zinc/87/37/26/1072873726.db2.gz ZZIMVKCASRTISF-MRXNPFEDSA-N 0 0 434.497 -0.412 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)cc1S(N)(=O)=O ZINC000508653123 1072875066 /nfs/dbraw/zinc/87/50/66/1072875066.db2.gz FGCNGSHKYCJUOB-CYBMUJFWSA-N 0 0 438.428 -0.040 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)cc1S(N)(=O)=O ZINC000508653124 1072874804 /nfs/dbraw/zinc/87/48/04/1072874804.db2.gz FGCNGSHKYCJUOB-ZDUSSCGKSA-N 0 0 438.428 -0.040 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)cc1-n1cnnn1 ZINC000508653923 1072874909 /nfs/dbraw/zinc/87/49/09/1072874909.db2.gz HDPMHXXHEPANTE-AWEZNQCLSA-N 0 0 443.386 -0.011 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)cc1-n1cnnn1 ZINC000508653924 1072875008 /nfs/dbraw/zinc/87/50/08/1072875008.db2.gz HDPMHXXHEPANTE-CQSZACIVSA-N 0 0 443.386 -0.011 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)c1 ZINC000508655441 1072875369 /nfs/dbraw/zinc/87/53/69/1072875369.db2.gz OGYKGBBNVMCZEF-UHFFFAOYSA-N 0 0 443.382 -0.666 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)c1 ZINC000508656429 1072875921 /nfs/dbraw/zinc/87/59/21/1072875921.db2.gz QOIOLHCLELAFAG-UHFFFAOYSA-N 0 0 429.355 -0.927 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000508656970 1072875955 /nfs/dbraw/zinc/87/59/55/1072875955.db2.gz DCFIEKMBISYJOL-BRWVUGGUSA-N 0 0 445.586 -0.721 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000508656971 1072876406 /nfs/dbraw/zinc/87/64/06/1072876406.db2.gz DCFIEKMBISYJOL-IKGGRYGDSA-N 0 0 445.586 -0.721 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000508656972 1072876784 /nfs/dbraw/zinc/87/67/84/1072876784.db2.gz DCFIEKMBISYJOL-IXDOHACOSA-N 0 0 445.586 -0.721 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000508656973 1072876700 /nfs/dbraw/zinc/87/67/00/1072876700.db2.gz DCFIEKMBISYJOL-ZACQAIPSSA-N 0 0 445.586 -0.721 20 0 IBADRN COCCCN(C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000508662001 1072878911 /nfs/dbraw/zinc/87/89/11/1072878911.db2.gz KGVOETODOHPGGX-QLFBSQMISA-N 0 0 425.573 -0.061 20 0 IBADRN COCCCN(C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000508662002 1072878961 /nfs/dbraw/zinc/87/89/61/1072878961.db2.gz KGVOETODOHPGGX-RBSFLKMASA-N 0 0 425.573 -0.061 20 0 IBADRN COCCCN(C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000508662003 1072878830 /nfs/dbraw/zinc/87/88/30/1072878830.db2.gz KGVOETODOHPGGX-RRFJBIMHSA-N 0 0 425.573 -0.061 20 0 IBADRN COCCCN(C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000508662004 1072878813 /nfs/dbraw/zinc/87/88/13/1072878813.db2.gz KGVOETODOHPGGX-SOUVJXGZSA-N 0 0 425.573 -0.061 20 0 IBADRN CNC(=O)[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000508662544 1072877629 /nfs/dbraw/zinc/87/76/29/1072877629.db2.gz CWVNAQDWZOTQSW-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CNC(=O)[C@@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000508662548 1072877827 /nfs/dbraw/zinc/87/78/27/1072877827.db2.gz CWVNAQDWZOTQSW-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)N[C@@H]3CCC[C@H]3CNS(C)(=O)=O)CC2)CC1 ZINC000508665779 1072893345 /nfs/dbraw/zinc/89/33/45/1072893345.db2.gz PGRRYBKWZLYHCJ-DLBZAZTESA-N 0 0 429.587 -0.100 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)N[C@@H]3CCC[C@@H]3CNS(C)(=O)=O)CC2)CC1 ZINC000508665953 1072892907 /nfs/dbraw/zinc/89/29/07/1072892907.db2.gz PGRRYBKWZLYHCJ-IAGOWNOFSA-N 0 0 429.587 -0.100 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)N[C@H]3CCC[C@H]3CNS(C)(=O)=O)CC2)CC1 ZINC000508665957 1072892778 /nfs/dbraw/zinc/89/27/78/1072892778.db2.gz PGRRYBKWZLYHCJ-IRXDYDNUSA-N 0 0 429.587 -0.100 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)N[C@H]3CCC[C@@H]3CNS(C)(=O)=O)CC2)CC1 ZINC000508665960 1072892868 /nfs/dbraw/zinc/89/28/68/1072892868.db2.gz PGRRYBKWZLYHCJ-SJORKVTESA-N 0 0 429.587 -0.100 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000508669374 1072904870 /nfs/dbraw/zinc/90/48/70/1072904870.db2.gz WQANNUPZBSGNEP-CABCVRRESA-N 0 0 440.588 -0.602 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000508669375 1072904919 /nfs/dbraw/zinc/90/49/19/1072904919.db2.gz WQANNUPZBSGNEP-GJZGRUSLSA-N 0 0 440.588 -0.602 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000508669376 1072900736 /nfs/dbraw/zinc/90/07/36/1072900736.db2.gz WQANNUPZBSGNEP-HUUCEWRRSA-N 0 0 440.588 -0.602 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000508669377 1072900667 /nfs/dbraw/zinc/90/06/67/1072900667.db2.gz WQANNUPZBSGNEP-LSDHHAIUSA-N 0 0 440.588 -0.602 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC[C@H]2C(=O)NC2CC2)CC1 ZINC000508671305 1072953366 /nfs/dbraw/zinc/95/33/66/1072953366.db2.gz LHSGNMABEZIHRS-INIZCTEOSA-N 0 0 439.538 -0.133 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC[C@@H]2C(=O)NC2CC2)CC1 ZINC000508671306 1072953310 /nfs/dbraw/zinc/95/33/10/1072953310.db2.gz LHSGNMABEZIHRS-MRXNPFEDSA-N 0 0 439.538 -0.133 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)c1 ZINC000508675648 1072957060 /nfs/dbraw/zinc/95/70/60/1072957060.db2.gz HFYDHYHWVXKQJW-UHFFFAOYSA-N 0 0 426.348 -0.355 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)c1 ZINC000508676212 1072957034 /nfs/dbraw/zinc/95/70/34/1072957034.db2.gz KWFBFKBSSPLRDA-GFCCVEGCSA-N 0 0 442.391 -0.209 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)c1 ZINC000508676213 1072957480 /nfs/dbraw/zinc/95/74/80/1072957480.db2.gz KWFBFKBSSPLRDA-LBPRGKRZSA-N 0 0 442.391 -0.209 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000508680264 1072958559 /nfs/dbraw/zinc/95/85/59/1072958559.db2.gz RYLXCHYSRAFELV-UHFFFAOYSA-N 0 0 432.403 -0.613 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(CC(F)(F)F)C(=O)C3)cc2)c1=O ZINC000508682427 1072958582 /nfs/dbraw/zinc/95/85/82/1072958582.db2.gz YCONFMYGQDKPGG-UHFFFAOYSA-N 0 0 426.355 -0.257 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000508684506 1072958943 /nfs/dbraw/zinc/95/89/43/1072958943.db2.gz XXQXKWKIHIIZLE-DZGCQCFKSA-N 0 0 436.542 -0.087 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000508684507 1072959111 /nfs/dbraw/zinc/95/91/11/1072959111.db2.gz XXQXKWKIHIIZLE-HIFRSBDPSA-N 0 0 436.542 -0.087 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000508684508 1072959060 /nfs/dbraw/zinc/95/90/60/1072959060.db2.gz XXQXKWKIHIIZLE-UKRRQHHQSA-N 0 0 436.542 -0.087 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000508684509 1072959130 /nfs/dbraw/zinc/95/91/30/1072959130.db2.gz XXQXKWKIHIIZLE-ZFWWWQNUSA-N 0 0 436.542 -0.087 20 0 IBADRN CCN(CC(=O)N1CCCCCC1)C(=O)C(=O)Nc1cnn(CC(=O)NCCOC)c1 ZINC000508689446 1072959137 /nfs/dbraw/zinc/95/91/37/1072959137.db2.gz GYWUAXKUFJQAKL-UHFFFAOYSA-N 0 0 436.513 -0.165 20 0 IBADRN Cn1c(C2CCN(c3ncccn3)CC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000508690981 1072959123 /nfs/dbraw/zinc/95/91/23/1072959123.db2.gz ZPUSCFRTFWAWKP-UHFFFAOYSA-N 0 0 439.524 -0.084 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)cc1OCC(N)=O ZINC000508691536 1072959147 /nfs/dbraw/zinc/95/91/47/1072959147.db2.gz NJYBZVCJSNUMHV-AWEZNQCLSA-N 0 0 448.398 -0.123 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)cc1OCC(N)=O ZINC000508691537 1072959076 /nfs/dbraw/zinc/95/90/76/1072959076.db2.gz NJYBZVCJSNUMHV-CQSZACIVSA-N 0 0 448.398 -0.123 20 0 IBADRN CCN(CC(=O)N1CCCCCC1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000508691991 1072959101 /nfs/dbraw/zinc/95/91/01/1072959101.db2.gz SDLXJRKNRQSPDG-UHFFFAOYSA-N 0 0 448.524 -0.069 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)c1=O ZINC000508692008 1072959518 /nfs/dbraw/zinc/95/95/18/1072959518.db2.gz SGGUAACZWOFIPY-CYBMUJFWSA-N 0 0 448.398 -0.271 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)c1=O ZINC000508692009 1072959461 /nfs/dbraw/zinc/95/94/61/1072959461.db2.gz SGGUAACZWOFIPY-ZDUSSCGKSA-N 0 0 448.398 -0.271 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000508692405 1074354571 /nfs/dbraw/zinc/35/45/71/1074354571.db2.gz WBNNHYRMWZJRHR-UHFFFAOYSA-N 0 0 432.403 -0.613 20 0 IBADRN CNC(=O)[C@@H]1CCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000508696552 1072959535 /nfs/dbraw/zinc/95/95/35/1072959535.db2.gz VKIAEQNWBCOPNN-HNNXBMFYSA-N 0 0 440.522 -0.135 20 0 IBADRN CNC(=O)[C@H]1CCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000508696553 1072959549 /nfs/dbraw/zinc/95/95/49/1072959549.db2.gz VKIAEQNWBCOPNN-OAHLLOKOSA-N 0 0 440.522 -0.135 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)c1=O ZINC000508697190 1072959348 /nfs/dbraw/zinc/95/93/48/1072959348.db2.gz LDYLRZALOXHWPE-UHFFFAOYSA-N 0 0 432.355 -0.417 20 0 IBADRN Cc1nccn1CCCCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000508697456 1072959575 /nfs/dbraw/zinc/95/95/75/1072959575.db2.gz JGOMVSFLLIKFDI-KRWDZBQOSA-N 0 0 434.541 -0.521 20 0 IBADRN Cc1nccn1CCCCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000508697459 1072959383 /nfs/dbraw/zinc/95/93/83/1072959383.db2.gz JGOMVSFLLIKFDI-QGZVFWFLSA-N 0 0 434.541 -0.521 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1C ZINC000508697505 1072959528 /nfs/dbraw/zinc/95/95/28/1072959528.db2.gz JPESJIGBBASTSD-UHFFFAOYSA-N 0 0 425.471 -0.844 20 0 IBADRN Cc1nccn1CCCCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508698361 1072959323 /nfs/dbraw/zinc/95/93/23/1072959323.db2.gz LLTXJZFDQKITFH-KRWDZBQOSA-N 0 0 441.554 -0.259 20 0 IBADRN Cc1nccn1CCCCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508698366 1072959443 /nfs/dbraw/zinc/95/94/43/1072959443.db2.gz LLTXJZFDQKITFH-QGZVFWFLSA-N 0 0 441.554 -0.259 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(CCOc3ccccc3)n1C)C2 ZINC000508698704 1072959428 /nfs/dbraw/zinc/95/94/28/1072959428.db2.gz MGXPWFZHLVNWMJ-UHFFFAOYSA-N 0 0 426.481 -0.097 20 0 IBADRN Cc1nccn1CCCCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000508699176 1072959492 /nfs/dbraw/zinc/95/94/92/1072959492.db2.gz NJDSQKBZAYHBFM-UHFFFAOYSA-N 0 0 438.510 -0.250 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cc(F)ccc1N1CCOCC1 ZINC000508699475 1072959557 /nfs/dbraw/zinc/95/95/57/1072959557.db2.gz NZJKGTKCGRSISL-INIZCTEOSA-N 0 0 442.513 -0.181 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cc(F)ccc1N1CCOCC1 ZINC000508699476 1072959584 /nfs/dbraw/zinc/95/95/84/1072959584.db2.gz NZJKGTKCGRSISL-MRXNPFEDSA-N 0 0 442.513 -0.181 20 0 IBADRN Cn1c(-c2ccc(S(C)(=O)=O)cc2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000508701011 1072959569 /nfs/dbraw/zinc/95/95/69/1072959569.db2.gz SOUMOUCGNFNOJZ-UHFFFAOYSA-N 0 0 431.482 -0.779 20 0 IBADRN CNC(=O)[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000508705811 1072960102 /nfs/dbraw/zinc/96/01/02/1072960102.db2.gz GKRCCBYIDJCJQN-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CNC(=O)[C@@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000508705812 1072959986 /nfs/dbraw/zinc/95/99/86/1072959986.db2.gz GKRCCBYIDJCJQN-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3ccc(S(C)(=O)=O)cc3)n2C)CC1 ZINC000508708125 1072960089 /nfs/dbraw/zinc/96/00/89/1072960089.db2.gz ZLXBPFAAWSSKIS-UHFFFAOYSA-N 0 0 436.538 -0.230 20 0 IBADRN Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000508712330 1072960603 /nfs/dbraw/zinc/96/06/03/1072960603.db2.gz HBXVTCMHZPQOEN-UHFFFAOYSA-N 0 0 438.496 -0.561 20 0 IBADRN CC(C)n1nc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C)c2ccccc2c1=O ZINC000508713503 1072960442 /nfs/dbraw/zinc/96/04/42/1072960442.db2.gz ITLITLFVUOGZKN-CYBMUJFWSA-N 0 0 436.476 -0.080 20 0 IBADRN CC(C)n1nc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C)c2ccccc2c1=O ZINC000508713506 1072960662 /nfs/dbraw/zinc/96/06/62/1072960662.db2.gz ITLITLFVUOGZKN-ZDUSSCGKSA-N 0 0 436.476 -0.080 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cc(F)ccc1N1CCOCC1 ZINC000508714336 1072960589 /nfs/dbraw/zinc/96/05/89/1072960589.db2.gz GZVXKHHLVMQDLX-GOSISDBHSA-N 0 0 438.500 -0.570 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cc(F)ccc1N1CCOCC1 ZINC000508714344 1072960538 /nfs/dbraw/zinc/96/05/38/1072960538.db2.gz GZVXKHHLVMQDLX-SFHVURJKSA-N 0 0 438.500 -0.570 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N3CCCCCC3)CC2)n1C ZINC000508714504 1072960025 /nfs/dbraw/zinc/96/00/25/1072960025.db2.gz LGAOQGITVDAITP-HNNXBMFYSA-N 0 0 427.575 -0.050 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N3CCCCCC3)CC2)n1C ZINC000508714511 1072959754 /nfs/dbraw/zinc/95/97/54/1072959754.db2.gz LGAOQGITVDAITP-OAHLLOKOSA-N 0 0 427.575 -0.050 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCCn2ccnc2C)C1 ZINC000508715161 1072960493 /nfs/dbraw/zinc/96/04/93/1072960493.db2.gz WXPRHQVSWSIAKG-KRWDZBQOSA-N 0 0 443.570 -0.013 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCCn2ccnc2C)C1 ZINC000508715162 1072960478 /nfs/dbraw/zinc/96/04/78/1072960478.db2.gz WXPRHQVSWSIAKG-QGZVFWFLSA-N 0 0 443.570 -0.013 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC(=O)N(C4CC4)C3)CC2)C[C@@H](C)O1 ZINC000508715656 1072960582 /nfs/dbraw/zinc/96/05/82/1072960582.db2.gz JJKYVKCDDYBAQM-BZUAXINKSA-N 0 0 443.570 -0.322 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC(=O)N(C4CC4)C3)CC2)C[C@@H](C)O1 ZINC000508715658 1072960404 /nfs/dbraw/zinc/96/04/04/1072960404.db2.gz JJKYVKCDDYBAQM-OAGGEKHMSA-N 0 0 443.570 -0.322 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC(=O)N(C4CC4)C3)CC2)C[C@H](C)O1 ZINC000508715660 1072960554 /nfs/dbraw/zinc/96/05/54/1072960554.db2.gz JJKYVKCDDYBAQM-OWCLPIDISA-N 0 0 443.570 -0.322 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC(=O)N(C4CC4)C3)CC2)C[C@H](C)O1 ZINC000508715661 1072960392 /nfs/dbraw/zinc/96/03/92/1072960392.db2.gz JJKYVKCDDYBAQM-XHSDSOJGSA-N 0 0 443.570 -0.322 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1cc(F)ccc1N1CCOCC1 ZINC000508721317 1072961571 /nfs/dbraw/zinc/96/15/71/1072961571.db2.gz PCIKGNTVIBLYAH-MRXNPFEDSA-N 0 0 442.513 -0.181 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cc(F)ccc3N3CCOCC3)CC2=O)cn1 ZINC000508722274 1072961483 /nfs/dbraw/zinc/96/14/83/1072961483.db2.gz RUEFWVDEAXXNGR-UHFFFAOYSA-N 0 0 444.467 -0.113 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)C1 ZINC000508722465 1072961533 /nfs/dbraw/zinc/96/15/33/1072961533.db2.gz SMSHCROLIJSIAA-UHFFFAOYSA-N 0 0 428.486 -0.714 20 0 IBADRN Cc1nccn1CCCCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000508722663 1072961598 /nfs/dbraw/zinc/96/15/98/1072961598.db2.gz YGEMIMOLVSQDQU-UHFFFAOYSA-N 0 0 443.570 -0.013 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000508724568 1072961529 /nfs/dbraw/zinc/96/15/29/1072961529.db2.gz AWVPJJYOBKSOGL-CABCVRRESA-N 0 0 434.536 -0.382 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000508724569 1072961561 /nfs/dbraw/zinc/96/15/61/1072961561.db2.gz AWVPJJYOBKSOGL-GJZGRUSLSA-N 0 0 434.536 -0.382 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000508724570 1072961495 /nfs/dbraw/zinc/96/14/95/1072961495.db2.gz AWVPJJYOBKSOGL-HUUCEWRRSA-N 0 0 434.536 -0.382 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000508724571 1072961645 /nfs/dbraw/zinc/96/16/45/1072961645.db2.gz AWVPJJYOBKSOGL-LSDHHAIUSA-N 0 0 434.536 -0.382 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1C ZINC000508725838 1072961423 /nfs/dbraw/zinc/96/14/23/1072961423.db2.gz OBACYGADKVETGE-DLBZAZTESA-N 0 0 446.547 -0.052 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1C ZINC000508725839 1072961544 /nfs/dbraw/zinc/96/15/44/1072961544.db2.gz OBACYGADKVETGE-IAGOWNOFSA-N 0 0 446.547 -0.052 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1C ZINC000508725840 1072961519 /nfs/dbraw/zinc/96/15/19/1072961519.db2.gz OBACYGADKVETGE-IRXDYDNUSA-N 0 0 446.547 -0.052 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1C ZINC000508725841 1072961591 /nfs/dbraw/zinc/96/15/91/1072961591.db2.gz OBACYGADKVETGE-SJORKVTESA-N 0 0 446.547 -0.052 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000508726417 1072962069 /nfs/dbraw/zinc/96/20/69/1072962069.db2.gz TWPRIQMOSNNGMD-DLBZAZTESA-N 0 0 446.547 -0.432 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000508726418 1072962046 /nfs/dbraw/zinc/96/20/46/1072962046.db2.gz TWPRIQMOSNNGMD-IAGOWNOFSA-N 0 0 446.547 -0.432 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000508726419 1072962057 /nfs/dbraw/zinc/96/20/57/1072962057.db2.gz TWPRIQMOSNNGMD-IRXDYDNUSA-N 0 0 446.547 -0.432 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000508726420 1072962018 /nfs/dbraw/zinc/96/20/18/1072962018.db2.gz TWPRIQMOSNNGMD-SJORKVTESA-N 0 0 446.547 -0.432 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCNC(=O)c3ccn[nH]3)c2)CC1 ZINC000508729674 1072962112 /nfs/dbraw/zinc/96/21/12/1072962112.db2.gz LAAJVFCMASNYQW-UHFFFAOYSA-N 0 0 435.510 -0.103 20 0 IBADRN CC(C)Cn1ccnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000508733262 1072962654 /nfs/dbraw/zinc/96/26/54/1072962654.db2.gz CKSNQKNMPNSARY-UHFFFAOYSA-N 0 0 438.510 -0.183 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1=O ZINC000508736894 1072962095 /nfs/dbraw/zinc/96/20/95/1072962095.db2.gz MKCIBRPMNTVSQL-UHFFFAOYSA-N 0 0 436.484 -0.014 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)CCO)cc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000508737160 1072962141 /nfs/dbraw/zinc/96/21/41/1072962141.db2.gz LEJZSLWEKGUWRM-KRWDZBQOSA-N 0 0 439.534 -0.751 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)CCO)cc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000508737161 1072961994 /nfs/dbraw/zinc/96/19/94/1072961994.db2.gz LEJZSLWEKGUWRM-QGZVFWFLSA-N 0 0 439.534 -0.751 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccccc3Cn3cncn3)cnc2n(C)c1=O ZINC000508738502 1072962534 /nfs/dbraw/zinc/96/25/34/1072962534.db2.gz GJLNRIQSQRZUHH-UHFFFAOYSA-N 0 0 448.443 -0.473 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NCCNC(=O)c3ccn[nH]3)CC2)c1 ZINC000508740870 1072962671 /nfs/dbraw/zinc/96/26/71/1072962671.db2.gz WEIZBMSERSSBOV-UHFFFAOYSA-N 0 0 448.505 -0.289 20 0 IBADRN Cc1cc(C(=O)NCc2ccc(S(=O)(=O)CCO)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000508745244 1072963146 /nfs/dbraw/zinc/96/31/46/1072963146.db2.gz CUJPRTQNCPVSTH-UHFFFAOYSA-N 0 0 446.485 -0.363 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000508751195 1072963825 /nfs/dbraw/zinc/96/38/25/1072963825.db2.gz ZXHFJSVLBWINID-UHFFFAOYSA-N 0 0 427.504 -0.168 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)cn1C ZINC000508751710 1072963820 /nfs/dbraw/zinc/96/38/20/1072963820.db2.gz BQKWZTQGVKOFPB-UHFFFAOYSA-N 0 0 444.535 -0.521 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)c(=O)n(C)c1=O ZINC000508754122 1072963807 /nfs/dbraw/zinc/96/38/07/1072963807.db2.gz KVHUFGBWDGZDLA-UHFFFAOYSA-N 0 0 434.474 -0.879 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)cn1C ZINC000508754919 1072964332 /nfs/dbraw/zinc/96/43/32/1072964332.db2.gz UPQVGFBATKDKKK-UHFFFAOYSA-N 0 0 430.508 -0.911 20 0 IBADRN Cc1c(C(=O)NCc2ccc(S(=O)(=O)CCO)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000508755077 1072964151 /nfs/dbraw/zinc/96/41/51/1072964151.db2.gz PDLKDYXFGDKTSD-UHFFFAOYSA-N 0 0 446.485 -0.363 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(-c3ccc4c(c3)OCCO4)n1C)C2 ZINC000508755642 1072963624 /nfs/dbraw/zinc/96/36/24/1072963624.db2.gz QOQWIBZOIFZBJP-UHFFFAOYSA-N 0 0 440.464 -0.280 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000508756958 1072964283 /nfs/dbraw/zinc/96/42/83/1072964283.db2.gz BTNIZBCNSDISIZ-KRWDZBQOSA-N 0 0 449.483 -0.728 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000508756961 1072964165 /nfs/dbraw/zinc/96/41/65/1072964165.db2.gz BTNIZBCNSDISIZ-QGZVFWFLSA-N 0 0 449.483 -0.728 20 0 IBADRN O=C(COc1ccc(CCNC(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1)NC1CC1 ZINC000508759536 1072963829 /nfs/dbraw/zinc/96/38/29/1072963829.db2.gz UJHPCWKQJYHLQZ-KRWDZBQOSA-N 0 0 425.507 -0.001 20 0 IBADRN O=C(COc1ccc(CCNC(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1)NC1CC1 ZINC000508759537 1072963815 /nfs/dbraw/zinc/96/38/15/1072963815.db2.gz UJHPCWKQJYHLQZ-QGZVFWFLSA-N 0 0 425.507 -0.001 20 0 IBADRN COCCN1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1=O ZINC000508759656 1072963765 /nfs/dbraw/zinc/96/37/65/1072963765.db2.gz WENCVXQYYBAHSD-UHFFFAOYSA-N 0 0 446.551 -0.231 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCc2ccc(S(=O)(=O)CCO)cc2)n(C)c1 ZINC000508760189 1072964771 /nfs/dbraw/zinc/96/47/71/1072964771.db2.gz BAKYIDKGIKTBCA-UHFFFAOYSA-N 0 0 429.520 -0.029 20 0 IBADRN Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000508761087 1072964738 /nfs/dbraw/zinc/96/47/38/1072964738.db2.gz DYTDOQKTXDPIFR-UHFFFAOYSA-N 0 0 438.496 -0.561 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccccc2Cn2cncn2)CC1 ZINC000508762288 1072964804 /nfs/dbraw/zinc/96/48/04/1072964804.db2.gz LBABCLDVGRYYQX-UHFFFAOYSA-N 0 0 439.476 -0.171 20 0 IBADRN CN(Cc1nnnn1C)c1nnc(-c2cccc(S(=O)(=O)N3CCOCC3)c2)n1C ZINC000508762590 1072964262 /nfs/dbraw/zinc/96/42/62/1072964262.db2.gz KIHDUUCNENCOJJ-UHFFFAOYSA-N 0 0 433.498 -0.337 20 0 IBADRN Cn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000508762960 1072964085 /nfs/dbraw/zinc/96/40/85/1072964085.db2.gz LCOYJDYJVDEKNX-UHFFFAOYSA-N 0 0 448.549 -0.134 20 0 IBADRN Cn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000508763087 1072964074 /nfs/dbraw/zinc/96/40/74/1072964074.db2.gz NBCXVTDIUWJMMO-UHFFFAOYSA-N 0 0 431.482 -0.779 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000508764438 1072964121 /nfs/dbraw/zinc/96/41/21/1072964121.db2.gz LULNUSVWDDPZES-FQEVSTJZSA-N 0 0 444.532 -0.411 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000508764439 1072964291 /nfs/dbraw/zinc/96/42/91/1072964291.db2.gz LULNUSVWDDPZES-HXUWFJFHSA-N 0 0 444.532 -0.411 20 0 IBADRN Cn1c(-c2cccc(S(=O)(=O)N3CCOCC3)c2)nnc1N1CCS(=O)(=O)CC1 ZINC000508765511 1072964176 /nfs/dbraw/zinc/96/41/76/1072964176.db2.gz SLMGLDKSRVBROW-UHFFFAOYSA-N 0 0 441.535 -0.262 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000508766095 1072964229 /nfs/dbraw/zinc/96/42/29/1072964229.db2.gz UHJBPBNHVLXPFT-MOPGFXCFSA-N 0 0 435.546 -0.082 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000508766096 1072964251 /nfs/dbraw/zinc/96/42/51/1072964251.db2.gz UHJBPBNHVLXPFT-OALUTQOASA-N 0 0 435.546 -0.082 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000508766097 1072964654 /nfs/dbraw/zinc/96/46/54/1072964654.db2.gz UHJBPBNHVLXPFT-RBUKOAKNSA-N 0 0 435.546 -0.082 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000508766098 1072964635 /nfs/dbraw/zinc/96/46/35/1072964635.db2.gz UHJBPBNHVLXPFT-RTBURBONSA-N 0 0 435.546 -0.082 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000508770927 1072964780 /nfs/dbraw/zinc/96/47/80/1072964780.db2.gz WCISWZDDJIJZQC-UHFFFAOYSA-N 0 0 446.279 -0.206 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000508771756 1072964775 /nfs/dbraw/zinc/96/47/75/1072964775.db2.gz AGROUOCLYZMZJC-HNNXBMFYSA-N 0 0 447.535 -0.680 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000508771758 1072964668 /nfs/dbraw/zinc/96/46/68/1072964668.db2.gz AGROUOCLYZMZJC-OAHLLOKOSA-N 0 0 447.535 -0.680 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(N3CCS(=O)(=O)CC3)c1)c(=O)n2C ZINC000508772164 1072964806 /nfs/dbraw/zinc/96/48/06/1072964806.db2.gz GQZYKTMUGSDJKN-UHFFFAOYSA-N 0 0 446.489 -0.693 20 0 IBADRN Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000508772416 1072964689 /nfs/dbraw/zinc/96/46/89/1072964689.db2.gz MLEZSMUNORJTFR-UHFFFAOYSA-N 0 0 436.498 -0.839 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000508774497 1072965243 /nfs/dbraw/zinc/96/52/43/1072965243.db2.gz QRQAYOYHMDWZBL-GFCCVEGCSA-N 0 0 425.916 -0.269 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000508774517 1072965127 /nfs/dbraw/zinc/96/51/27/1072965127.db2.gz QRQAYOYHMDWZBL-LBPRGKRZSA-N 0 0 425.916 -0.269 20 0 IBADRN COCCN1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000508776049 1072965031 /nfs/dbraw/zinc/96/50/31/1072965031.db2.gz XCPZOWDXIYIOKS-UHFFFAOYSA-N 0 0 440.522 -0.292 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(c2nnc(C(=O)Nc3ccccn3)n2C)CC1 ZINC000508776440 1072965039 /nfs/dbraw/zinc/96/50/39/1072965039.db2.gz BJLVTQSCCQLRQU-HNNXBMFYSA-N 0 0 428.497 -0.168 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(c2nnc(C(=O)Nc3ccccn3)n2C)CC1 ZINC000508776442 1072965212 /nfs/dbraw/zinc/96/52/12/1072965212.db2.gz BJLVTQSCCQLRQU-OAHLLOKOSA-N 0 0 428.497 -0.168 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cccn2c(=O)[nH]nc12 ZINC000508776873 1072965075 /nfs/dbraw/zinc/96/50/75/1072965075.db2.gz FLOPVPKHGTWRRD-UHFFFAOYSA-N 0 0 431.478 -0.288 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccccc1Cn1cncn1)C2 ZINC000508777344 1072965304 /nfs/dbraw/zinc/96/53/04/1072965304.db2.gz GNDZQHCIUNWXMB-UHFFFAOYSA-N 0 0 439.480 -0.502 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000508787930 1073051749 /nfs/dbraw/zinc/05/17/49/1073051749.db2.gz AWYDLGWDASKNGQ-HNNXBMFYSA-N 0 0 440.522 -0.811 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000508787932 1073051673 /nfs/dbraw/zinc/05/16/73/1073051673.db2.gz AWYDLGWDASKNGQ-OAHLLOKOSA-N 0 0 440.522 -0.811 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(N4CCS(=O)(=O)CC4)c3)c2c(=O)n(C)c1=O ZINC000508791549 1073091700 /nfs/dbraw/zinc/09/17/00/1073091700.db2.gz XRNTVJHPGFUYNB-UHFFFAOYSA-N 0 0 446.489 -0.693 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)cn1 ZINC000508794906 1073580926 /nfs/dbraw/zinc/58/09/26/1073580926.db2.gz XUSNHXHKJPACJI-UHFFFAOYSA-N 0 0 427.508 -0.428 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000508796746 1073584517 /nfs/dbraw/zinc/58/45/17/1073584517.db2.gz SADBXKOJJBPUPK-UHFFFAOYSA-N 0 0 448.549 -0.047 20 0 IBADRN COC1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCC1 ZINC000508799521 1071548695 /nfs/dbraw/zinc/54/86/95/1071548695.db2.gz GRIKVVFSFVNQQX-UHFFFAOYSA-N 0 0 446.551 -0.081 20 0 IBADRN O=C(Nc1cc2n(n1)CCCC2)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000508803606 1073331764 /nfs/dbraw/zinc/33/17/64/1073331764.db2.gz UTNJIBXJDNMIIA-UHFFFAOYSA-N 0 0 446.489 -0.083 20 0 IBADRN CC(C)Cn1ccnc1CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508807584 1071553451 /nfs/dbraw/zinc/55/34/51/1071553451.db2.gz CYRJWJPTCSXQPI-INIZCTEOSA-N 0 0 441.554 -0.192 20 0 IBADRN CC(C)Cn1ccnc1CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000508807585 1071553423 /nfs/dbraw/zinc/55/34/23/1071553423.db2.gz CYRJWJPTCSXQPI-MRXNPFEDSA-N 0 0 441.554 -0.192 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000508820055 1071583740 /nfs/dbraw/zinc/58/37/40/1071583740.db2.gz AOHHPLKILVDEQA-UHFFFAOYSA-N 0 0 439.490 -0.017 20 0 IBADRN CC(C)Cn1ccnc1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000508820200 1071583710 /nfs/dbraw/zinc/58/37/10/1071583710.db2.gz XAIOSSGJFNRECE-KRWDZBQOSA-N 0 0 434.541 -0.453 20 0 IBADRN CC(C)Cn1ccnc1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000508820202 1071583654 /nfs/dbraw/zinc/58/36/54/1071583654.db2.gz XAIOSSGJFNRECE-QGZVFWFLSA-N 0 0 434.541 -0.453 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000508821158 1071583705 /nfs/dbraw/zinc/58/37/05/1071583705.db2.gz FQKKZUVLPRIHDD-UHFFFAOYSA-N 0 0 438.506 -0.826 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C)CC(C)(CO)CO)c2)CC1 ZINC000508821378 1071583672 /nfs/dbraw/zinc/58/36/72/1071583672.db2.gz WXUJTRXMBRUHHG-UHFFFAOYSA-N 0 0 427.523 -0.398 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(CCOC)C(=O)C2)cc1 ZINC000508822859 1071583685 /nfs/dbraw/zinc/58/36/85/1071583685.db2.gz SDVPNHMNHLJNLN-UHFFFAOYSA-N 0 0 428.511 -0.389 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000508824942 1072412162 /nfs/dbraw/zinc/41/21/62/1072412162.db2.gz CQTYEIZDNNYPMB-UHFFFAOYSA-N 0 0 449.551 -0.275 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000508826705 1072412742 /nfs/dbraw/zinc/41/27/42/1072412742.db2.gz HSKQMDKDJPKZJK-HNNXBMFYSA-N 0 0 436.552 -0.783 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000508826711 1072412716 /nfs/dbraw/zinc/41/27/16/1072412716.db2.gz HSKQMDKDJPKZJK-OAHLLOKOSA-N 0 0 436.552 -0.783 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000508829007 1072416172 /nfs/dbraw/zinc/41/61/72/1072416172.db2.gz QLAJWUGRIVUZPS-AWEZNQCLSA-N 0 0 432.520 -0.236 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000508829015 1072416124 /nfs/dbraw/zinc/41/61/24/1072416124.db2.gz QLAJWUGRIVUZPS-CQSZACIVSA-N 0 0 432.520 -0.236 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N(C)CC(C)(CO)CO)c1OC ZINC000508830101 1072416788 /nfs/dbraw/zinc/41/67/88/1072416788.db2.gz RHDRBXOHPOLUHK-UHFFFAOYSA-N 0 0 446.522 -0.212 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000508830279 1072417839 /nfs/dbraw/zinc/41/78/39/1072417839.db2.gz VRFIFOXPZMDLQH-CYBMUJFWSA-N 0 0 448.519 -0.156 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000508830282 1072417848 /nfs/dbraw/zinc/41/78/48/1072417848.db2.gz VRFIFOXPZMDLQH-ZDUSSCGKSA-N 0 0 448.519 -0.156 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2cccc(C(=O)NCCOC)c2)CC1 ZINC000508830707 1072416808 /nfs/dbraw/zinc/41/68/08/1072416808.db2.gz YBSOODRWCLZJRY-UHFFFAOYSA-N 0 0 426.539 -0.105 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C)CC(C)(CO)CO)cc2)CC1 ZINC000508833857 1072422474 /nfs/dbraw/zinc/42/24/74/1072422474.db2.gz JNWIMVVROGNQEB-UHFFFAOYSA-N 0 0 427.523 -0.398 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N(C)CC(C)(CO)CO)c1 ZINC000508834033 1072421347 /nfs/dbraw/zinc/42/13/47/1072421347.db2.gz KIJQAAWXMREVKV-UHFFFAOYSA-N 0 0 429.539 -0.164 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C)CC(C)(CO)CO)c1 ZINC000508836406 1072424270 /nfs/dbraw/zinc/42/42/70/1072424270.db2.gz VRNLKHHEMBPQAS-UHFFFAOYSA-N 0 0 429.539 -0.104 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000508837444 1072426851 /nfs/dbraw/zinc/42/68/51/1072426851.db2.gz NOZIKSGAIOUYCX-CYBMUJFWSA-N 0 0 448.519 -0.204 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000508837445 1072426782 /nfs/dbraw/zinc/42/67/82/1072426782.db2.gz NOZIKSGAIOUYCX-ZDUSSCGKSA-N 0 0 448.519 -0.204 20 0 IBADRN COC(=O)C[C@H](NC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1)C(=O)OC ZINC000508837657 1072426834 /nfs/dbraw/zinc/42/68/34/1072426834.db2.gz CQRAFAOAAYLGHO-INIZCTEOSA-N 0 0 441.506 -0.332 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1)C(=O)OC ZINC000508837659 1072426856 /nfs/dbraw/zinc/42/68/56/1072426856.db2.gz CQRAFAOAAYLGHO-MRXNPFEDSA-N 0 0 441.506 -0.332 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000508837840 1072426791 /nfs/dbraw/zinc/42/67/91/1072426791.db2.gz FPXCWMVFLXHWRG-UHFFFAOYSA-N 0 0 440.522 -0.580 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)c1 ZINC000508838045 1072427524 /nfs/dbraw/zinc/42/75/24/1072427524.db2.gz SHTBZKVFNQJKEI-GOSISDBHSA-N 0 0 438.550 -0.010 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)c1 ZINC000508838046 1072427460 /nfs/dbraw/zinc/42/74/60/1072427460.db2.gz SHTBZKVFNQJKEI-SFHVURJKSA-N 0 0 438.550 -0.010 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000508838370 1072427439 /nfs/dbraw/zinc/42/74/39/1072427439.db2.gz LEHYXIMOZFSPFY-UHFFFAOYSA-N 0 0 439.490 -0.177 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000508838776 1072426216 /nfs/dbraw/zinc/42/62/16/1072426216.db2.gz ZSAKPQUZQZTVQF-AWEZNQCLSA-N 0 0 432.520 -0.284 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000508838777 1072426221 /nfs/dbraw/zinc/42/62/21/1072426221.db2.gz ZSAKPQUZQZTVQF-CQSZACIVSA-N 0 0 432.520 -0.284 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000508840663 1072429087 /nfs/dbraw/zinc/42/90/87/1072429087.db2.gz DWMVWPHBPLUXMQ-HNNXBMFYSA-N 0 0 434.536 -0.113 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000508840664 1072429238 /nfs/dbraw/zinc/42/92/38/1072429238.db2.gz DWMVWPHBPLUXMQ-OAHLLOKOSA-N 0 0 434.536 -0.113 20 0 IBADRN Cc1cnn(C)c1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000508841511 1072430742 /nfs/dbraw/zinc/43/07/42/1072430742.db2.gz JMXUOUHUOQTAAH-UHFFFAOYSA-N 0 0 428.540 -0.446 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1OC ZINC000508842385 1072432702 /nfs/dbraw/zinc/43/27/02/1072432702.db2.gz PCONKSNWIQQYDC-UHFFFAOYSA-N 0 0 425.916 -0.026 20 0 IBADRN CCN(CC(=O)Nc1ccc(C)c(S(N)(=O)=O)c1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000508844011 1072435118 /nfs/dbraw/zinc/43/51/18/1072435118.db2.gz WSFQOUPEILHZPX-AWEZNQCLSA-N 0 0 446.551 -0.794 20 0 IBADRN CCN(CC(=O)Nc1ccc(C)c(S(N)(=O)=O)c1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000508844012 1072435204 /nfs/dbraw/zinc/43/52/04/1072435204.db2.gz WSFQOUPEILHZPX-CQSZACIVSA-N 0 0 446.551 -0.794 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CCCN2C(=O)CN(C)C2=O)c1 ZINC000508844349 1072434560 /nfs/dbraw/zinc/43/45/60/1072434560.db2.gz YGSXOXBRQJXVRU-UHFFFAOYSA-N 0 0 426.451 -0.249 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccoc3)CC2)cc1 ZINC000508853564 1072455437 /nfs/dbraw/zinc/45/54/37/1072455437.db2.gz ANLMBEQFYKFVCF-UHFFFAOYSA-N 0 0 435.505 -0.378 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N3CCSCC3)CC2)cc1 ZINC000508853588 1072456169 /nfs/dbraw/zinc/45/61/69/1072456169.db2.gz AZFAYURCPKSYKQ-UHFFFAOYSA-N 0 0 434.565 -0.191 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CN(C)C(=O)[C@@H](C)n2cccn2)c1 ZINC000508853820 1072455050 /nfs/dbraw/zinc/45/50/50/1072455050.db2.gz FWZQUGRPFUENBL-CYBMUJFWSA-N 0 0 437.478 -0.008 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CN(C)C(=O)[C@H](C)n2cccn2)c1 ZINC000508853821 1072455077 /nfs/dbraw/zinc/45/50/77/1072455077.db2.gz FWZQUGRPFUENBL-ZDUSSCGKSA-N 0 0 437.478 -0.008 20 0 IBADRN CC(C)C[C@@H]1C[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC(=O)N1 ZINC000508855112 1072461593 /nfs/dbraw/zinc/46/15/93/1072461593.db2.gz UJQWBMIRRILNLF-DLBZAZTESA-N 0 0 429.587 -0.436 20 0 IBADRN CC(C)C[C@@H]1C[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC(=O)N1 ZINC000508855116 1072461730 /nfs/dbraw/zinc/46/17/30/1072461730.db2.gz UJQWBMIRRILNLF-IAGOWNOFSA-N 0 0 429.587 -0.436 20 0 IBADRN CC(C)C[C@H]1C[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC(=O)N1 ZINC000508855118 1072461742 /nfs/dbraw/zinc/46/17/42/1072461742.db2.gz UJQWBMIRRILNLF-IRXDYDNUSA-N 0 0 429.587 -0.436 20 0 IBADRN CC(C)C[C@H]1C[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC(=O)N1 ZINC000508855119 1072461619 /nfs/dbraw/zinc/46/16/19/1072461619.db2.gz UJQWBMIRRILNLF-SJORKVTESA-N 0 0 429.587 -0.436 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000508855470 1072461068 /nfs/dbraw/zinc/46/10/68/1072461068.db2.gz JQENPULVXUUMFP-INIZCTEOSA-N 0 0 445.563 -0.444 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000508855472 1072461100 /nfs/dbraw/zinc/46/11/00/1072461100.db2.gz JQENPULVXUUMFP-MRXNPFEDSA-N 0 0 445.563 -0.444 20 0 IBADRN CNS(=O)(=O)N(C)CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000508856973 1072465043 /nfs/dbraw/zinc/46/50/43/1072465043.db2.gz OKZJDSRAARLOOC-UHFFFAOYSA-N 0 0 440.931 -0.305 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(CC(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000508862496 1072474358 /nfs/dbraw/zinc/47/43/58/1072474358.db2.gz XPAZIVYXHZJQIH-GOSISDBHSA-N 0 0 428.599 -0.102 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(CC(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000508862602 1072473445 /nfs/dbraw/zinc/47/34/45/1072473445.db2.gz XPAZIVYXHZJQIH-SFHVURJKSA-N 0 0 428.599 -0.102 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)[C@@H]1CCC[C@@H](C(=O)N2CCOC[C@H]2C(=O)OC)C1 ZINC000508867594 1072483394 /nfs/dbraw/zinc/48/33/94/1072483394.db2.gz UEWKKEZFFUGFOA-FPCVCCKLSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CCC[C@H](C(=O)N2CCOC[C@H]2C(=O)OC)C1 ZINC000508867870 1072485559 /nfs/dbraw/zinc/48/55/59/1072485559.db2.gz UEWKKEZFFUGFOA-FZKCQIBNSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)[C@H]1CCC[C@@H](C(=O)N2CCOC[C@H]2C(=O)OC)C1 ZINC000508867872 1072485695 /nfs/dbraw/zinc/48/56/95/1072485695.db2.gz UEWKKEZFFUGFOA-SYMSYNOKSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CCC[C@@H](C(=O)N2CCOC[C@H]2C(=O)OC)C1 ZINC000508867874 1072485687 /nfs/dbraw/zinc/48/56/87/1072485687.db2.gz UEWKKEZFFUGFOA-WCVJEAGWSA-N 0 0 426.466 -0.406 20 0 IBADRN CN(CC(=O)N1CCOCC1)CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000508872013 1072501868 /nfs/dbraw/zinc/50/18/68/1072501868.db2.gz GSYRWXWPPXDFHB-UHFFFAOYSA-N 0 0 435.500 -0.301 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1)N1CCOCC1 ZINC000508876200 1072549057 /nfs/dbraw/zinc/54/90/57/1072549057.db2.gz BXOBSNVGFUCVNE-UHFFFAOYSA-N 0 0 433.509 -0.960 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@@H]2CCC[C@@H](C(=O)N[C@@]3(C(=O)OC)CCOC3)C2)CCOC1 ZINC000508877950 1072554989 /nfs/dbraw/zinc/55/49/89/1072554989.db2.gz GLADIPSJMFRDQJ-FQZPYLGXSA-N 0 0 426.466 -0.311 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@H]2CCC[C@@H](C(=O)N[C@@]3(C(=O)OC)CCOC3)C2)CCOC1 ZINC000508877951 1072554963 /nfs/dbraw/zinc/55/49/63/1072554963.db2.gz GLADIPSJMFRDQJ-GOFCXKROSA-N 0 0 426.466 -0.311 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@@H]2CCC[C@H](C(=O)N[C@@]3(C(=O)OC)CCOC3)C2)CCOC1 ZINC000508878142 1072558145 /nfs/dbraw/zinc/55/81/45/1072558145.db2.gz GLADIPSJMFRDQJ-ILWKUFEGSA-N 0 0 426.466 -0.311 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@@H]2CCC[C@@H](C(=O)N[C@@]3(C(=O)OC)CCOC3)C2)CCOC1 ZINC000508878143 1072558026 /nfs/dbraw/zinc/55/80/26/1072558026.db2.gz GLADIPSJMFRDQJ-NSMLZSOPSA-N 0 0 426.466 -0.311 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000508878254 1072557598 /nfs/dbraw/zinc/55/75/98/1072557598.db2.gz JUGQTFNMBDVBLL-DZGCQCFKSA-N 0 0 443.547 -0.373 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000508878255 1072557655 /nfs/dbraw/zinc/55/76/55/1072557655.db2.gz JUGQTFNMBDVBLL-ZFWWWQNUSA-N 0 0 443.547 -0.373 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000508889829 1072915480 /nfs/dbraw/zinc/91/54/80/1072915480.db2.gz NGERIGGLGMARJP-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000508891501 1073075429 /nfs/dbraw/zinc/07/54/29/1073075429.db2.gz VEHRRCDEDLMDMJ-UHFFFAOYSA-N 0 0 439.534 -0.043 20 0 IBADRN COCCN(C(=O)CN(C)[C@H](C)c1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000508913734 1073224375 /nfs/dbraw/zinc/22/43/75/1073224375.db2.gz LUIYEZOOMJDVMA-GDBMZVCRSA-N 0 0 447.579 -0.011 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H](C)c1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000508913736 1073224453 /nfs/dbraw/zinc/22/44/53/1073224453.db2.gz LUIYEZOOMJDVMA-GOEBONIOSA-N 0 0 447.579 -0.011 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H](C)c1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000508913737 1073224785 /nfs/dbraw/zinc/22/47/85/1073224785.db2.gz LUIYEZOOMJDVMA-HOCLYGCPSA-N 0 0 447.579 -0.011 20 0 IBADRN COCCN(C(=O)CN(C)[C@H](C)c1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000508913739 1073224815 /nfs/dbraw/zinc/22/48/15/1073224815.db2.gz LUIYEZOOMJDVMA-ZBFHGGJFSA-N 0 0 447.579 -0.011 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000508915119 1073225283 /nfs/dbraw/zinc/22/52/83/1073225283.db2.gz UJKDXLOTLIQJCC-UHFFFAOYSA-N 0 0 441.506 -0.467 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCN(CC(=O)N3CCCC3)CC2)CC1 ZINC000508915518 1073225381 /nfs/dbraw/zinc/22/53/81/1073225381.db2.gz QDQTVBNJLFTVJL-UHFFFAOYSA-N 0 0 436.601 -0.715 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000508916910 1073225267 /nfs/dbraw/zinc/22/52/67/1073225267.db2.gz UMPUJIDPGWCYOW-UHFFFAOYSA-N 0 0 439.534 -0.043 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000508933978 1073226580 /nfs/dbraw/zinc/22/65/80/1073226580.db2.gz QTBKAMGQZHXDDJ-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN COCCOc1ncccc1CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000508936161 1073226624 /nfs/dbraw/zinc/22/66/24/1073226624.db2.gz YHLIKDYCJSUYNU-UHFFFAOYSA-N 0 0 436.446 -0.022 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(S(C)(=O)=O)c2)C1)C(N)=O ZINC000508940120 1073227062 /nfs/dbraw/zinc/22/70/62/1073227062.db2.gz CLUCLCSKZHWTKR-UHFFFAOYSA-N 0 0 438.506 -0.353 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)C1)C(N)=O ZINC000508940126 1073227027 /nfs/dbraw/zinc/22/70/27/1073227027.db2.gz CNVWYQFWLQVZIV-UHFFFAOYSA-N 0 0 439.494 -0.958 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc([C@@H]3CCCOC3)nn2C)C1)C(N)=O ZINC000508940404 1073227019 /nfs/dbraw/zinc/22/70/19/1073227019.db2.gz DIHLHCUGIPLUBM-CYBMUJFWSA-N 0 0 448.524 -0.129 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc([C@H]3CCCOC3)nn2C)C1)C(N)=O ZINC000508940406 1073226996 /nfs/dbraw/zinc/22/69/96/1073226996.db2.gz DIHLHCUGIPLUBM-ZDUSSCGKSA-N 0 0 448.524 -0.129 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)C1)C(N)=O ZINC000508940510 1073227073 /nfs/dbraw/zinc/22/70/73/1073227073.db2.gz DPXREBJNZDTPPV-UHFFFAOYSA-N 0 0 427.465 -0.176 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc(OC(F)F)n(C)n2)C1)C(N)=O ZINC000508940722 1073227031 /nfs/dbraw/zinc/22/70/31/1073227031.db2.gz DNUJMJLCWWVMPX-UHFFFAOYSA-N 0 0 430.412 -0.421 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(Cn3cncn3)c2)C1)C(N)=O ZINC000508941102 1073226980 /nfs/dbraw/zinc/22/69/80/1073226980.db2.gz HNTHFNHUYGPACK-UHFFFAOYSA-N 0 0 441.492 -0.116 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccn(Cc3ccncc3)n2)C1)C(N)=O ZINC000508941286 1073227007 /nfs/dbraw/zinc/22/70/07/1073227007.db2.gz IPYIVUJOTKPJLK-UHFFFAOYSA-N 0 0 441.492 -0.116 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccnc(C(=O)N(C)C)c2)C1)C(N)=O ZINC000508942469 1073227560 /nfs/dbraw/zinc/22/75/60/1073227560.db2.gz QMXKBUDDFKYXND-UHFFFAOYSA-N 0 0 432.481 -0.659 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc([C@@H]3CCCO3)nn2C)C1)C(N)=O ZINC000508942825 1073227045 /nfs/dbraw/zinc/22/70/45/1073227045.db2.gz QHJDCOFXVNTNRH-AWEZNQCLSA-N 0 0 434.497 -0.171 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc([C@H]3CCCO3)nn2C)C1)C(N)=O ZINC000508942826 1073227530 /nfs/dbraw/zinc/22/75/30/1073227530.db2.gz QHJDCOFXVNTNRH-CQSZACIVSA-N 0 0 434.497 -0.171 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(CC(=O)OC)cc2)C1)C(N)=O ZINC000508943119 1073227434 /nfs/dbraw/zinc/22/74/34/1073227434.db2.gz VVHVFHOJFLVMGR-UHFFFAOYSA-N 0 0 432.477 -0.041 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2c(OC)ncnc2N(C)C)C1)C(N)=O ZINC000508943342 1073227570 /nfs/dbraw/zinc/22/75/70/1073227570.db2.gz SLMYHGHBMNTRNJ-UHFFFAOYSA-N 0 0 435.485 -0.892 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(C)c(-n3cnnn3)c2)C1)C(N)=O ZINC000508943515 1073227450 /nfs/dbraw/zinc/22/74/50/1073227450.db2.gz UCCMHFZTLWXKRN-UHFFFAOYSA-N 0 0 442.480 -0.472 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cnn(C)c2C(F)(F)F)C1)C(N)=O ZINC000508943810 1073227576 /nfs/dbraw/zinc/22/75/76/1073227576.db2.gz WAMCNPLOCCTMPJ-UHFFFAOYSA-N 0 0 432.403 -0.004 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)cc2)C1)C(N)=O ZINC000508947630 1073228040 /nfs/dbraw/zinc/22/80/40/1073228040.db2.gz KLQZCMYUTVZHLQ-UHFFFAOYSA-N 0 0 438.506 -0.353 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc(C(=O)NC)ccc2C)C1)C(N)=O ZINC000508950022 1073227990 /nfs/dbraw/zinc/22/79/90/1073227990.db2.gz PLNNAVYAFOHOGE-UHFFFAOYSA-N 0 0 431.493 -0.088 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)c1OC ZINC000508952248 1073228439 /nfs/dbraw/zinc/22/84/39/1073228439.db2.gz UMECJMKHISSWMH-LLVKDONJSA-N 0 0 433.870 -0.240 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)c1OC ZINC000508952256 1073228382 /nfs/dbraw/zinc/22/83/82/1073228382.db2.gz UMECJMKHISSWMH-NSHDSACASA-N 0 0 433.870 -0.240 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)C1)C(N)=O ZINC000508952589 1073228492 /nfs/dbraw/zinc/22/84/92/1073228492.db2.gz VNUQWICFWWSEOH-UHFFFAOYSA-N 0 0 428.453 -0.781 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)C1)C(N)=O ZINC000508957655 1073228820 /nfs/dbraw/zinc/22/88/20/1073228820.db2.gz CIRCFGKLPJWJBE-UHFFFAOYSA-N 0 0 444.492 -0.227 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC(C(=O)NC(CC)(CC)C(N)=O)C2)cn1C ZINC000508957749 1073228936 /nfs/dbraw/zinc/22/89/36/1073228936.db2.gz DSSNXWBGYFLVMZ-UHFFFAOYSA-N 0 0 435.481 -0.241 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1)C(N)=O ZINC000508957815 1073228832 /nfs/dbraw/zinc/22/88/32/1073228832.db2.gz FPUFQUJYCORFFE-OLZOCXBDSA-N 0 0 442.494 -0.529 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1)C(N)=O ZINC000508957816 1073228799 /nfs/dbraw/zinc/22/87/99/1073228799.db2.gz FPUFQUJYCORFFE-STQMWFEESA-N 0 0 442.494 -0.529 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccnc2-n2cccn2)C1)C(N)=O ZINC000508957831 1073228774 /nfs/dbraw/zinc/22/87/74/1073228774.db2.gz FUKWHLSAEFYJMS-UHFFFAOYSA-N 0 0 427.465 -0.176 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc(C)nn2-c2ncccn2)C1)C(N)=O ZINC000508957947 1073228949 /nfs/dbraw/zinc/22/89/49/1073228949.db2.gz ATWNMPLIOLPVHB-UHFFFAOYSA-N 0 0 442.480 -0.472 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)C1)C(N)=O ZINC000508957992 1073229775 /nfs/dbraw/zinc/22/97/75/1073229775.db2.gz GNJYPIOKRNMFLQ-UHFFFAOYSA-N 0 0 428.453 -0.781 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2)C1)C(N)=O ZINC000508958027 1073229397 /nfs/dbraw/zinc/22/93/97/1073229397.db2.gz HDZLPWDOMMQBOH-UHFFFAOYSA-N 0 0 431.493 -0.054 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cnn(Cc3ccncc3)c2)C1)C(N)=O ZINC000508958297 1073229388 /nfs/dbraw/zinc/22/93/88/1073229388.db2.gz CYJDQEGJTJJGNH-UHFFFAOYSA-N 0 0 441.492 -0.116 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1 ZINC000508958375 1074354589 /nfs/dbraw/zinc/35/45/89/1074354589.db2.gz FBMXLCZXKWLMHG-UHFFFAOYSA-N 0 0 436.469 -0.846 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(CC(=O)OC)c2)C1)C(N)=O ZINC000508958680 1073229377 /nfs/dbraw/zinc/22/93/77/1073229377.db2.gz GMZUWLJEAIJRGA-UHFFFAOYSA-N 0 0 432.477 -0.041 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cn(C)nc2C(F)(F)F)C1)C(N)=O ZINC000508958837 1073229414 /nfs/dbraw/zinc/22/94/14/1073229414.db2.gz LHPNVMNWINCNMX-UHFFFAOYSA-N 0 0 432.403 -0.004 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(N3CCC3=O)c2)C1)C(N)=O ZINC000508959284 1073229314 /nfs/dbraw/zinc/22/93/14/1073229314.db2.gz HWBGLZQKLRVNNT-UHFFFAOYSA-N 0 0 429.477 -0.020 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(Cn3cncn3)cc2)C1)C(N)=O ZINC000508959395 1073229894 /nfs/dbraw/zinc/22/98/94/1073229894.db2.gz IWGQOWUAZOPLGG-UHFFFAOYSA-N 0 0 441.492 -0.116 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc(C(=O)NC)ccc2OC)C1)C(N)=O ZINC000508959558 1073229763 /nfs/dbraw/zinc/22/97/63/1073229763.db2.gz NPBWBDFWZZHHIX-UHFFFAOYSA-N 0 0 447.492 -0.388 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)C1)C(N)=O ZINC000508959713 1073229903 /nfs/dbraw/zinc/22/99/03/1073229903.db2.gz JTQRQQCNGSGACY-UHFFFAOYSA-N 0 0 427.465 -0.176 20 0 IBADRN COc1ccccc1OCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C ZINC000508959783 1073229837 /nfs/dbraw/zinc/22/98/37/1073229837.db2.gz GXRNMOQWQDJPSL-UHFFFAOYSA-N 0 0 444.492 -0.090 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)CC3)C1)C(N)=O ZINC000508960544 1073229682 /nfs/dbraw/zinc/22/96/82/1073229682.db2.gz MIUDQCBBWDLXAB-UHFFFAOYSA-N 0 0 443.504 -0.128 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)C1)C(N)=O ZINC000508962964 1073230150 /nfs/dbraw/zinc/23/01/50/1073230150.db2.gz XDRWIVDDPQZQEO-UHFFFAOYSA-N 0 0 431.449 -0.425 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)C1)C(N)=O ZINC000508963985 1073230120 /nfs/dbraw/zinc/23/01/20/1073230120.db2.gz YURWBHCBOWSPSG-UHFFFAOYSA-N 0 0 445.476 -0.401 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(-n3nnnc3C)c2)C1)C(N)=O ZINC000508964494 1073230222 /nfs/dbraw/zinc/23/02/22/1073230222.db2.gz CXOVYSONJUORRH-UHFFFAOYSA-N 0 0 442.480 -0.472 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C1)C(N)=O ZINC000508965979 1073230738 /nfs/dbraw/zinc/23/07/38/1073230738.db2.gz FSFKCFOZIUWAQW-UHFFFAOYSA-N 0 0 444.492 -0.566 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc([C@@H]3CNC(=O)C3)c2)C1)C(N)=O ZINC000508967169 1073230661 /nfs/dbraw/zinc/23/06/61/1073230661.db2.gz YKXVDYRCNXJWEH-AWEZNQCLSA-N 0 0 443.504 -0.153 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc([C@H]3CNC(=O)C3)c2)C1)C(N)=O ZINC000508967170 1073230784 /nfs/dbraw/zinc/23/07/84/1073230784.db2.gz YKXVDYRCNXJWEH-CQSZACIVSA-N 0 0 443.504 -0.153 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccnc2-n2cncn2)C1)C(N)=O ZINC000508967347 1073230613 /nfs/dbraw/zinc/23/06/13/1073230613.db2.gz ZLRRLNZQFCPLCR-UHFFFAOYSA-N 0 0 428.453 -0.781 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)Cc2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C)cc1 ZINC000508969470 1073230586 /nfs/dbraw/zinc/23/05/86/1073230586.db2.gz CDTGFYDSWPNKGH-AWEZNQCLSA-N 0 0 447.521 -0.909 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)Cc2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C)cc1 ZINC000508969471 1073230702 /nfs/dbraw/zinc/23/07/02/1073230702.db2.gz CDTGFYDSWPNKGH-CQSZACIVSA-N 0 0 447.521 -0.909 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2)C1)C(N)=O ZINC000508969685 1073230655 /nfs/dbraw/zinc/23/06/55/1073230655.db2.gz NVCSAOSIGWHMPM-UHFFFAOYSA-N 0 0 447.492 -0.631 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)C)c2)C1)C(N)=O ZINC000508970045 1073231099 /nfs/dbraw/zinc/23/10/99/1073231099.db2.gz OLTNRGUTYUYBRK-UHFFFAOYSA-N 0 0 431.493 -0.054 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccnn2-c2ccc(C)nn2)C1)C(N)=O ZINC000508970069 1073231076 /nfs/dbraw/zinc/23/10/76/1073231076.db2.gz OMWKFINCKJWTCD-UHFFFAOYSA-N 0 0 442.480 -0.472 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)C1)C(N)=O ZINC000508970478 1073231030 /nfs/dbraw/zinc/23/10/30/1073231030.db2.gz QDJAVJMVFASJCC-UHFFFAOYSA-N 0 0 427.465 -0.176 20 0 IBADRN COc1ccccc1OCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C ZINC000508971461 1073231090 /nfs/dbraw/zinc/23/10/90/1073231090.db2.gz IYAJWYOILBPQHV-UHFFFAOYSA-N 0 0 442.480 -0.131 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CC(C(=O)NC(CC)(CC)C(N)=O)C2)cc1 ZINC000508972275 1073231588 /nfs/dbraw/zinc/23/15/88/1073231588.db2.gz XLFKFROGBHOSON-UHFFFAOYSA-N 0 0 431.493 -0.007 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)C1)C(N)=O ZINC000508972489 1073231531 /nfs/dbraw/zinc/23/15/31/1073231531.db2.gz XZKNVRBDLKUJFN-UHFFFAOYSA-N 0 0 446.508 -0.525 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(CNC(C)=O)cc2)C1)C(N)=O ZINC000508972787 1073230692 /nfs/dbraw/zinc/23/06/92/1073230692.db2.gz YXXFAAMHWZNCAY-UHFFFAOYSA-N 0 0 431.493 -0.120 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cccc(S(=O)(=O)N(C)C)c3)n2C)CC1 ZINC000508973777 1073231561 /nfs/dbraw/zinc/23/15/61/1073231561.db2.gz WWVYVDLNJBCKPO-UHFFFAOYSA-N 0 0 435.554 -0.010 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)cc1S(N)(=O)=O ZINC000508978980 1073231858 /nfs/dbraw/zinc/23/18/58/1073231858.db2.gz SFHGOBGSJVNDMI-UHFFFAOYSA-N 0 0 439.538 -0.943 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(C(=O)NC)c2C)C1)C(N)=O ZINC000508980037 1073231994 /nfs/dbraw/zinc/23/19/94/1073231994.db2.gz PBYOQTAELUOUBD-UHFFFAOYSA-N 0 0 431.493 -0.088 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccccc2S(C)(=O)=O)C1)C(N)=O ZINC000508980398 1073231935 /nfs/dbraw/zinc/23/19/35/1073231935.db2.gz NPGOOBFBGWMMHF-UHFFFAOYSA-N 0 0 438.506 -0.353 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)C1)C(N)=O ZINC000508981932 1073231984 /nfs/dbraw/zinc/23/19/84/1073231984.db2.gz UKBXBEWICVTXQC-UHFFFAOYSA-N 0 0 446.508 -0.525 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)C(N)=O ZINC000508982611 1073232459 /nfs/dbraw/zinc/23/24/59/1073232459.db2.gz AQNKZEPOXFOHIH-HNNXBMFYSA-N 0 0 425.511 -0.669 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000508983084 1073232401 /nfs/dbraw/zinc/23/24/01/1073232401.db2.gz BKBVDYPQOUIEIK-ZDUSSCGKSA-N 0 0 430.458 -0.445 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccnn2Cc2ccccn2)C1)C(N)=O ZINC000508983565 1073231819 /nfs/dbraw/zinc/23/18/19/1073231819.db2.gz VEGWJOGURIYPRW-UHFFFAOYSA-N 0 0 441.492 -0.116 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(N)=O ZINC000508987271 1073232773 /nfs/dbraw/zinc/23/27/73/1073232773.db2.gz MKDAYPYRZUOHGR-HNNXBMFYSA-N 0 0 425.511 -0.669 20 0 IBADRN CSCC[C@@H](NC(N)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000508990806 1073232760 /nfs/dbraw/zinc/23/27/60/1073232760.db2.gz JRCIFZHUVOTXPF-CYBMUJFWSA-N 0 0 429.524 -0.464 20 0 IBADRN CSCC[C@H](NC(N)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000508990808 1073232847 /nfs/dbraw/zinc/23/28/47/1073232847.db2.gz JRCIFZHUVOTXPF-ZDUSSCGKSA-N 0 0 429.524 -0.464 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(CC(=O)N(C)C)cc2)C1)C(N)=O ZINC000508993240 1073233203 /nfs/dbraw/zinc/23/32/03/1073233203.db2.gz BECCWQLEAATSQS-UHFFFAOYSA-N 0 0 445.520 -0.126 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2c(C)nn(CCOC)c2C)C1)C(N)=O ZINC000508994125 1073233186 /nfs/dbraw/zinc/23/31/86/1073233186.db2.gz HBQRGYKVRHCMEJ-UHFFFAOYSA-N 0 0 436.513 -0.296 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccccc2CC(=O)OC)C1)C(N)=O ZINC000508994230 1073233285 /nfs/dbraw/zinc/23/32/85/1073233285.db2.gz HOTLPTRMKOEYGT-UHFFFAOYSA-N 0 0 432.477 -0.041 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)nc2)C1)C(N)=O ZINC000508994287 1073233189 /nfs/dbraw/zinc/23/31/89/1073233189.db2.gz HXYBBUOWFLRKKU-UHFFFAOYSA-N 0 0 444.492 -0.013 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(Cl)c(C(N)=O)c2)C1)C(N)=O ZINC000508994879 1073233211 /nfs/dbraw/zinc/23/32/11/1073233211.db2.gz FOMBZULKVRXRAS-UHFFFAOYSA-N 0 0 437.884 -0.004 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)C1)C(N)=O ZINC000508994933 1073233310 /nfs/dbraw/zinc/23/33/10/1073233310.db2.gz JRELBJSLEILNIB-UHFFFAOYSA-N 0 0 445.455 -0.036 20 0 IBADRN Cc1nnc([C@H](C)NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)[nH]1 ZINC000508996983 1073233169 /nfs/dbraw/zinc/23/31/69/1073233169.db2.gz KOUUOKNIMJKJFR-BLLLJJGKSA-N 0 0 428.449 -0.057 20 0 IBADRN Cc1nnc([C@H](C)NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)[nH]1 ZINC000508996986 1073233217 /nfs/dbraw/zinc/23/32/17/1073233217.db2.gz KOUUOKNIMJKJFR-LRDDRELGSA-N 0 0 428.449 -0.057 20 0 IBADRN Cc1nnc([C@@H](C)NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)[nH]1 ZINC000508996988 1073233260 /nfs/dbraw/zinc/23/32/60/1073233260.db2.gz KOUUOKNIMJKJFR-MLGOLLRUSA-N 0 0 428.449 -0.057 20 0 IBADRN Cc1nnc([C@@H](C)NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)[nH]1 ZINC000508996990 1073233296 /nfs/dbraw/zinc/23/32/96/1073233296.db2.gz KOUUOKNIMJKJFR-WBMJQRKESA-N 0 0 428.449 -0.057 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1)C(N)=O ZINC000508997529 1073233654 /nfs/dbraw/zinc/23/36/54/1073233654.db2.gz LOKOYCFJPALYRH-UHFFFAOYSA-N 0 0 446.443 -0.641 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3C)C1)C(N)=O ZINC000508997546 1073233671 /nfs/dbraw/zinc/23/36/71/1073233671.db2.gz PXNYFHZPFZXOAU-UHFFFAOYSA-N 0 0 429.477 -0.237 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)C1)C(N)=O ZINC000508997570 1073233638 /nfs/dbraw/zinc/23/36/38/1073233638.db2.gz LVYJAHSVTXOJFB-UHFFFAOYSA-N 0 0 427.465 -0.176 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(C(N)=O)c(Cl)c2)C1)C(N)=O ZINC000508998562 1073233334 /nfs/dbraw/zinc/23/33/34/1073233334.db2.gz MTZSZTPONWZWBR-UHFFFAOYSA-N 0 0 437.884 -0.004 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C)C(N)=O ZINC000508998620 1073233278 /nfs/dbraw/zinc/23/32/78/1073233278.db2.gz RNUDICRZZNNHQC-AWEZNQCLSA-N 0 0 426.495 -0.275 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccnn2C[C@H]2CCOC2)C1)C(N)=O ZINC000508999065 1073233706 /nfs/dbraw/zinc/23/37/06/1073233706.db2.gz NCSNSWJGEVCLCZ-CYBMUJFWSA-N 0 0 434.497 -0.523 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccnn2C[C@@H]2CCOC2)C1)C(N)=O ZINC000508999068 1073233643 /nfs/dbraw/zinc/23/36/43/1073233643.db2.gz NCSNSWJGEVCLCZ-ZDUSSCGKSA-N 0 0 434.497 -0.523 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccccc2Cn2cncn2)C1)C(N)=O ZINC000508999261 1073233181 /nfs/dbraw/zinc/23/31/81/1073233181.db2.gz SPKHKBLNAJMQDF-UHFFFAOYSA-N 0 0 441.492 -0.116 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)C1)C(N)=O ZINC000509000454 1073233767 /nfs/dbraw/zinc/23/37/67/1073233767.db2.gz QAIYIVKBYYEJPS-UHFFFAOYSA-N 0 0 431.449 -0.311 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000509002361 1073233626 /nfs/dbraw/zinc/23/36/26/1073233626.db2.gz ZBQGALOVJPKCKR-ZDUSSCGKSA-N 0 0 442.494 -0.575 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)C1)C(N)=O ZINC000509002921 1073233809 /nfs/dbraw/zinc/23/38/09/1073233809.db2.gz AJTLMCVQZNOPJT-UHFFFAOYSA-N 0 0 447.467 -0.331 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1 ZINC000509003414 1073233647 /nfs/dbraw/zinc/23/36/47/1073233647.db2.gz BZVFYBABRSUSMJ-UHFFFAOYSA-N 0 0 436.469 -0.846 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(N3CCC3=O)cc2)C1)C(N)=O ZINC000509004168 1073234074 /nfs/dbraw/zinc/23/40/74/1073234074.db2.gz FYNJBVPACJZDBZ-UHFFFAOYSA-N 0 0 429.477 -0.020 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)C1)C(N)=O ZINC000509004381 1073234290 /nfs/dbraw/zinc/23/42/90/1073234290.db2.gz IQQQZMGFLKBNDA-UHFFFAOYSA-N 0 0 443.460 -0.530 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)[C@@H](C)O3)C1)C(N)=O ZINC000509004917 1073233753 /nfs/dbraw/zinc/23/37/53/1073233753.db2.gz PWLLBTWOGAVSIU-LLVKDONJSA-N 0 0 445.476 -0.037 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)[C@H](C)O3)C1)C(N)=O ZINC000509004918 1073233796 /nfs/dbraw/zinc/23/37/96/1073233796.db2.gz PWLLBTWOGAVSIU-NSHDSACASA-N 0 0 445.476 -0.037 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)C1)C(N)=O ZINC000509005214 1073233787 /nfs/dbraw/zinc/23/37/87/1073233787.db2.gz SZPBVCIPIAZBPE-UHFFFAOYSA-N 0 0 444.492 -0.227 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)C1)C(N)=O ZINC000509006300 1073234193 /nfs/dbraw/zinc/23/41/93/1073234193.db2.gz XYUUWZIEKULTMS-UHFFFAOYSA-N 0 0 428.453 -0.781 20 0 IBADRN CSCC[C@@H](NC(N)=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000509007277 1073234160 /nfs/dbraw/zinc/23/41/60/1073234160.db2.gz PVWULDKKSHKXNZ-HZSPNIEDSA-N 0 0 437.588 -0.725 20 0 IBADRN CSCC[C@H](NC(N)=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000509007283 1073234127 /nfs/dbraw/zinc/23/41/27/1073234127.db2.gz PVWULDKKSHKXNZ-IHRRRGAJSA-N 0 0 437.588 -0.725 20 0 IBADRN CSCC[C@H](NC(N)=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000509007287 1073234176 /nfs/dbraw/zinc/23/41/76/1073234176.db2.gz PVWULDKKSHKXNZ-MCIONIFRSA-N 0 0 437.588 -0.725 20 0 IBADRN CSCC[C@H](NC(N)=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000509007290 1073234150 /nfs/dbraw/zinc/23/41/50/1073234150.db2.gz PVWULDKKSHKXNZ-MJBXVCDLSA-N 0 0 437.588 -0.725 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(CC)CC2)c1)C(N)=O ZINC000509011862 1073234140 /nfs/dbraw/zinc/23/41/40/1073234140.db2.gz DBTUYFNJTMEPRH-INIZCTEOSA-N 0 0 439.538 -0.279 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)C(N)=O ZINC000509015270 1073234096 /nfs/dbraw/zinc/23/40/96/1073234096.db2.gz KBTIYFGVDAPQHZ-INIZCTEOSA-N 0 0 439.538 -0.279 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)C1)C(N)=O ZINC000509018172 1073234659 /nfs/dbraw/zinc/23/46/59/1073234659.db2.gz VWYSMQXLRJXRON-UHFFFAOYSA-N 0 0 428.453 -0.781 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)C1)C(N)=O ZINC000509018899 1073234796 /nfs/dbraw/zinc/23/47/96/1073234796.db2.gz YPUMCRNFSWQGQW-UHFFFAOYSA-N 0 0 431.449 -0.311 20 0 IBADRN CCC[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC)C(N)=O ZINC000509019023 1073234751 /nfs/dbraw/zinc/23/47/51/1073234751.db2.gz ZHXHEFKPWTTXMQ-ZDUSSCGKSA-N 0 0 442.494 -0.575 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)c1 ZINC000509020782 1072541402 /nfs/dbraw/zinc/54/14/02/1072541402.db2.gz FYNQPNIVXQPPNB-UHFFFAOYSA-N 0 0 428.492 -0.387 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000509035863 1073235301 /nfs/dbraw/zinc/23/53/01/1073235301.db2.gz HOGCAEDPFDIBKO-GFCCVEGCSA-N 0 0 437.515 -0.046 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000509035864 1073235154 /nfs/dbraw/zinc/23/51/54/1073235154.db2.gz HOGCAEDPFDIBKO-LBPRGKRZSA-N 0 0 437.515 -0.046 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000509038061 1073235254 /nfs/dbraw/zinc/23/52/54/1073235254.db2.gz KMSWPXPYWZNVPF-GOSISDBHSA-N 0 0 444.598 -0.866 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000509038064 1073235211 /nfs/dbraw/zinc/23/52/11/1073235211.db2.gz KMSWPXPYWZNVPF-SFHVURJKSA-N 0 0 444.598 -0.866 20 0 IBADRN COc1ccc([C@@H](C)CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000509042184 1073235775 /nfs/dbraw/zinc/23/57/75/1073235775.db2.gz UPEDPAFSKSVSLJ-HNNXBMFYSA-N 0 0 429.481 -0.338 20 0 IBADRN COc1ccc([C@H](C)CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000509042186 1073235573 /nfs/dbraw/zinc/23/55/73/1073235573.db2.gz UPEDPAFSKSVSLJ-OAHLLOKOSA-N 0 0 429.481 -0.338 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](C)[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000509050243 1073235693 /nfs/dbraw/zinc/23/56/93/1073235693.db2.gz VLSKTFBIIBNGRZ-GDBMZVCRSA-N 0 0 431.493 -0.129 20 0 IBADRN COCCN(C(=O)CN1C[C@H](C)[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000509050245 1073235747 /nfs/dbraw/zinc/23/57/47/1073235747.db2.gz VLSKTFBIIBNGRZ-GOEBONIOSA-N 0 0 431.493 -0.129 20 0 IBADRN COCCN(C(=O)CN1C[C@H](O)[C@@H](C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000509050247 1073235599 /nfs/dbraw/zinc/23/55/99/1073235599.db2.gz VLSKTFBIIBNGRZ-HOCLYGCPSA-N 0 0 431.493 -0.129 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](C)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000509050250 1073235523 /nfs/dbraw/zinc/23/55/23/1073235523.db2.gz VLSKTFBIIBNGRZ-ZBFHGGJFSA-N 0 0 431.493 -0.129 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(c2cnccn2)CC1 ZINC000509059001 1073236093 /nfs/dbraw/zinc/23/60/93/1073236093.db2.gz XBSOZBSQIXHWPM-UHFFFAOYSA-N 0 0 446.489 -0.016 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)o1 ZINC000509063888 1073236308 /nfs/dbraw/zinc/23/63/08/1073236308.db2.gz HENJAVLJTWMKBJ-NWDGAFQWSA-N 0 0 434.492 -0.087 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)o1 ZINC000509063893 1072541969 /nfs/dbraw/zinc/54/19/69/1072541969.db2.gz HENJAVLJTWMKBJ-RYUDHWBXSA-N 0 0 434.492 -0.087 20 0 IBADRN CCN(C(=O)Cn1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000509071700 1073237158 /nfs/dbraw/zinc/23/71/58/1073237158.db2.gz YGQFLJLJUYXPHU-GFCCVEGCSA-N 0 0 445.519 -0.120 20 0 IBADRN CCN(C(=O)Cn1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000509071701 1073237267 /nfs/dbraw/zinc/23/72/67/1073237267.db2.gz YGQFLJLJUYXPHU-LBPRGKRZSA-N 0 0 445.519 -0.120 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCCN(C)S(C)(=O)=O ZINC000509077623 1073237723 /nfs/dbraw/zinc/23/77/23/1073237723.db2.gz DXWYLRORMZGKCT-UHFFFAOYSA-N 0 0 448.523 -0.758 20 0 IBADRN O=C(CN1C(=O)NC2(CCC2)C1=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000509078597 1073237571 /nfs/dbraw/zinc/23/75/71/1073237571.db2.gz IDIMUQVCUTZWBW-UHFFFAOYSA-N 0 0 436.490 -0.202 20 0 IBADRN COc1ccc(-n2nnc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2C)cc1 ZINC000509079887 1073237745 /nfs/dbraw/zinc/23/77/45/1073237745.db2.gz CXXCMWIFQLTFDB-UHFFFAOYSA-N 0 0 442.476 -0.272 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)c1=O ZINC000509085340 1073238154 /nfs/dbraw/zinc/23/81/54/1073238154.db2.gz ZEKUQJHWQONGIA-UHFFFAOYSA-N 0 0 426.882 -0.267 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N(C)CC(=O)N1CCOCC1 ZINC000509086632 1073238183 /nfs/dbraw/zinc/23/81/83/1073238183.db2.gz NZPQNYPHNFAXGP-UHFFFAOYSA-N 0 0 440.478 -0.838 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN([C@@H](C)C(=O)NCCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000509087156 1073238167 /nfs/dbraw/zinc/23/81/67/1073238167.db2.gz XNUSRNVVVCSYBN-BZSNNMDCSA-N 0 0 446.614 -0.431 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN([C@H](C)C(=O)NCCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000509087158 1073238198 /nfs/dbraw/zinc/23/81/98/1073238198.db2.gz XNUSRNVVVCSYBN-FGTMMUONSA-N 0 0 446.614 -0.431 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN([C@@H](C)C(=O)NCCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000509087160 1073238076 /nfs/dbraw/zinc/23/80/76/1073238076.db2.gz XNUSRNVVVCSYBN-OKZBNKHCSA-N 0 0 446.614 -0.431 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN([C@H](C)C(=O)NCCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000509087162 1073238037 /nfs/dbraw/zinc/23/80/37/1073238037.db2.gz XNUSRNVVVCSYBN-SQNIBIBYSA-N 0 0 446.614 -0.431 20 0 IBADRN O=C(CN1C(=O)C(=O)N(Cc2ccccc2)C1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000509090463 1073238653 /nfs/dbraw/zinc/23/86/53/1073238653.db2.gz SJGVSJXQXHCIIX-INIZCTEOSA-N 0 0 448.501 -0.691 20 0 IBADRN O=C(CN1C(=O)C(=O)N(Cc2ccccc2)C1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000509090465 1073238628 /nfs/dbraw/zinc/23/86/28/1073238628.db2.gz SJGVSJXQXHCIIX-MRXNPFEDSA-N 0 0 448.501 -0.691 20 0 IBADRN COc1ccc(NC(=O)CCn2ncn(C)c2=O)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000509097464 1073238532 /nfs/dbraw/zinc/23/85/32/1073238532.db2.gz RNWRLKQDPUJRGU-CYBMUJFWSA-N 0 0 425.467 -0.314 20 0 IBADRN COc1ccc(NC(=O)CCn2ncn(C)c2=O)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000509097466 1073238617 /nfs/dbraw/zinc/23/86/17/1073238617.db2.gz RNWRLKQDPUJRGU-ZDUSSCGKSA-N 0 0 425.467 -0.314 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)cc1 ZINC000509098507 1073238574 /nfs/dbraw/zinc/23/85/74/1073238574.db2.gz LRAVTVUWIFLYRO-HNNXBMFYSA-N 0 0 431.536 -0.175 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)cc1 ZINC000509098511 1073238580 /nfs/dbraw/zinc/23/85/80/1073238580.db2.gz LRAVTVUWIFLYRO-OAHLLOKOSA-N 0 0 431.536 -0.175 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000509099801 1073238544 /nfs/dbraw/zinc/23/85/44/1073238544.db2.gz OXNITCINOABHAT-HNNXBMFYSA-N 0 0 431.536 -0.048 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000509099806 1073238648 /nfs/dbraw/zinc/23/86/48/1073238648.db2.gz OXNITCINOABHAT-OAHLLOKOSA-N 0 0 431.536 -0.048 20 0 IBADRN CN(C[C@H]1CCCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1)S(C)(=O)=O ZINC000509109127 1073238892 /nfs/dbraw/zinc/23/88/92/1073238892.db2.gz IOYLXUWNZCJCTI-LLVKDONJSA-N 0 0 445.523 -0.674 20 0 IBADRN CN(C[C@@H]1CCCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1)S(C)(=O)=O ZINC000509109130 1073239016 /nfs/dbraw/zinc/23/90/16/1073239016.db2.gz IOYLXUWNZCJCTI-NSHDSACASA-N 0 0 445.523 -0.674 20 0 IBADRN CCOC1CC(CNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)(N2CCOCC2)C1 ZINC000509109993 1073238911 /nfs/dbraw/zinc/23/89/11/1073238911.db2.gz KCCIQZKYQCHSES-UISNHOIMSA-N 0 0 425.526 -0.221 20 0 IBADRN O=C(NCCC1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000509111525 1073239308 /nfs/dbraw/zinc/23/93/08/1073239308.db2.gz NYDDIIZBXWMLJA-UHFFFAOYSA-N 0 0 438.572 -0.895 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000509111602 1073239380 /nfs/dbraw/zinc/23/93/80/1073239380.db2.gz OELZZSDNRWVYRT-CYBMUJFWSA-N 0 0 439.581 -0.113 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000509111606 1073239394 /nfs/dbraw/zinc/23/93/94/1073239394.db2.gz OELZZSDNRWVYRT-ZDUSSCGKSA-N 0 0 439.581 -0.113 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(S(=O)(=O)c3cccc(Cl)c3)CC2)c1=O ZINC000509111874 1073239447 /nfs/dbraw/zinc/23/94/47/1073239447.db2.gz IVZOAOZCCPYCKJ-UHFFFAOYSA-N 0 0 426.882 -0.267 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000509113053 1073239416 /nfs/dbraw/zinc/23/94/16/1073239416.db2.gz SMQPDKDENZOOJW-AWEZNQCLSA-N 0 0 435.499 -0.345 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000509113054 1073239506 /nfs/dbraw/zinc/23/95/06/1073239506.db2.gz SMQPDKDENZOOJW-CQSZACIVSA-N 0 0 435.499 -0.345 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000509113509 1073239008 /nfs/dbraw/zinc/23/90/08/1073239008.db2.gz TZOXMRMXLBTOHY-INIZCTEOSA-N 0 0 431.536 -0.343 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000509113510 1073238953 /nfs/dbraw/zinc/23/89/53/1073238953.db2.gz TZOXMRMXLBTOHY-MRXNPFEDSA-N 0 0 431.536 -0.343 20 0 IBADRN CN(C[C@H]1CCCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)C1)S(C)(=O)=O ZINC000509114778 1073239430 /nfs/dbraw/zinc/23/94/30/1073239430.db2.gz XTKDOGBUDOIBGY-GFCCVEGCSA-N 0 0 425.554 -0.374 20 0 IBADRN CN(C[C@@H]1CCCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)C1)S(C)(=O)=O ZINC000509114783 1073239292 /nfs/dbraw/zinc/23/92/92/1073239292.db2.gz XTKDOGBUDOIBGY-LBPRGKRZSA-N 0 0 425.554 -0.374 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)cc1OC ZINC000509114930 1073239326 /nfs/dbraw/zinc/23/93/26/1073239326.db2.gz XTUBKSXAEQCIQW-HNNXBMFYSA-N 0 0 441.506 -0.015 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)cc1OC ZINC000509114933 1073239510 /nfs/dbraw/zinc/23/95/10/1073239510.db2.gz XTUBKSXAEQCIQW-OAHLLOKOSA-N 0 0 441.506 -0.015 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)c1 ZINC000509115683 1073240018 /nfs/dbraw/zinc/24/00/18/1073240018.db2.gz ZMWYLPXITBVUGC-CYBMUJFWSA-N 0 0 439.581 -0.113 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)c1 ZINC000509115684 1073240020 /nfs/dbraw/zinc/24/00/20/1073240020.db2.gz ZMWYLPXITBVUGC-ZDUSSCGKSA-N 0 0 439.581 -0.113 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)s1 ZINC000509120792 1073239955 /nfs/dbraw/zinc/23/99/55/1073239955.db2.gz GTNCOKWTVQOREX-GFCCVEGCSA-N 0 0 432.524 -0.035 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)s1 ZINC000509120796 1073239845 /nfs/dbraw/zinc/23/98/45/1073239845.db2.gz GTNCOKWTVQOREX-LBPRGKRZSA-N 0 0 432.524 -0.035 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)C1 ZINC000509121481 1073240266 /nfs/dbraw/zinc/24/02/66/1073240266.db2.gz HLKASUUPAJPWJW-BBWFWOEESA-N 0 0 430.571 -0.368 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)C1 ZINC000509121507 1073240387 /nfs/dbraw/zinc/24/03/87/1073240387.db2.gz HLKASUUPAJPWJW-BRWVUGGUSA-N 0 0 430.571 -0.368 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)C1 ZINC000509121509 1073240335 /nfs/dbraw/zinc/24/03/35/1073240335.db2.gz HLKASUUPAJPWJW-IXDOHACOSA-N 0 0 430.571 -0.368 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)C1 ZINC000509121513 1073240345 /nfs/dbraw/zinc/24/03/45/1073240345.db2.gz HLKASUUPAJPWJW-ZACQAIPSSA-N 0 0 430.571 -0.368 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1)Nc1ccc(F)cc1 ZINC000509121515 1073240277 /nfs/dbraw/zinc/24/02/77/1073240277.db2.gz ISNHEYMLWLAOQL-INIZCTEOSA-N 0 0 428.486 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1)Nc1ccc(F)cc1 ZINC000509121541 1073240006 /nfs/dbraw/zinc/24/00/06/1073240006.db2.gz ISNHEYMLWLAOQL-MRXNPFEDSA-N 0 0 428.486 -0.095 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)cc1 ZINC000509121586 1073239926 /nfs/dbraw/zinc/23/99/26/1073239926.db2.gz ASOHWXSPWHBNIB-HNNXBMFYSA-N 0 0 447.535 -0.475 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)cc1 ZINC000509121589 1073239773 /nfs/dbraw/zinc/23/97/73/1073239773.db2.gz ASOHWXSPWHBNIB-OAHLLOKOSA-N 0 0 447.535 -0.475 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)NC[C@@H]2CS(=O)(=O)CCO2)c(C)s1 ZINC000509121601 1073240316 /nfs/dbraw/zinc/24/03/16/1073240316.db2.gz AUPFSVNZYTUFEH-GFCCVEGCSA-N 0 0 425.554 -0.244 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)NC[C@H]2CS(=O)(=O)CCO2)c(C)s1 ZINC000509121604 1073240426 /nfs/dbraw/zinc/24/04/26/1073240426.db2.gz AUPFSVNZYTUFEH-LBPRGKRZSA-N 0 0 425.554 -0.244 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1 ZINC000509123759 1073240258 /nfs/dbraw/zinc/24/02/58/1073240258.db2.gz LOBOIEAAAWHZBY-AWEZNQCLSA-N 0 0 446.551 -0.760 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1 ZINC000509123765 1073240245 /nfs/dbraw/zinc/24/02/45/1073240245.db2.gz LOBOIEAAAWHZBY-CQSZACIVSA-N 0 0 446.551 -0.760 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000509124839 1073240938 /nfs/dbraw/zinc/24/09/38/1073240938.db2.gz JNRHSILSHDUOCT-HNNXBMFYSA-N 0 0 438.572 -0.752 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000509124849 1073240995 /nfs/dbraw/zinc/24/09/95/1073240995.db2.gz JNRHSILSHDUOCT-OAHLLOKOSA-N 0 0 438.572 -0.752 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1 ZINC000509124954 1072542334 /nfs/dbraw/zinc/54/23/34/1072542334.db2.gz OBICRRNUALLATN-INIZCTEOSA-N 0 0 429.470 -0.128 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1 ZINC000509124959 1073240812 /nfs/dbraw/zinc/24/08/12/1073240812.db2.gz OBICRRNUALLATN-MRXNPFEDSA-N 0 0 429.470 -0.128 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)C2=O ZINC000509126259 1073242792 /nfs/dbraw/zinc/24/27/92/1073242792.db2.gz VHMIXLGCMAKJFI-HVEKOLDVSA-N 0 0 426.539 -0.182 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)C2=O ZINC000509126269 1073242876 /nfs/dbraw/zinc/24/28/76/1073242876.db2.gz VHMIXLGCMAKJFI-XAUUPUKJSA-N 0 0 426.539 -0.182 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1C ZINC000509127119 1073242359 /nfs/dbraw/zinc/24/23/59/1073242359.db2.gz PDLCCGRKOGWTAU-GASCZTMLSA-N 0 0 443.574 -0.946 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1C ZINC000509127120 1073242414 /nfs/dbraw/zinc/24/24/14/1073242414.db2.gz PDLCCGRKOGWTAU-GJZGRUSLSA-N 0 0 443.574 -0.946 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1C ZINC000509127121 1073242405 /nfs/dbraw/zinc/24/24/05/1073242405.db2.gz PDLCCGRKOGWTAU-HUUCEWRRSA-N 0 0 443.574 -0.946 20 0 IBADRN COC(=O)C[C@](C)(NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccccn1 ZINC000509127774 1073242892 /nfs/dbraw/zinc/24/28/92/1073242892.db2.gz QGTLUXHHPHEHIN-JXFKEZNVSA-N 0 0 438.550 -0.219 20 0 IBADRN COC(=O)C[C@@](C)(NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccccn1 ZINC000509127777 1073242817 /nfs/dbraw/zinc/24/28/17/1073242817.db2.gz QGTLUXHHPHEHIN-OXJNMPFZSA-N 0 0 438.550 -0.219 20 0 IBADRN COC(=O)C[C@@](C)(NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccccn1 ZINC000509127780 1073242859 /nfs/dbraw/zinc/24/28/59/1073242859.db2.gz QGTLUXHHPHEHIN-OXQOHEQNSA-N 0 0 438.550 -0.219 20 0 IBADRN COC(=O)C[C@](C)(NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccccn1 ZINC000509127782 1073242953 /nfs/dbraw/zinc/24/29/53/1073242953.db2.gz QGTLUXHHPHEHIN-UZLBHIALSA-N 0 0 438.550 -0.219 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000509135657 1073243764 /nfs/dbraw/zinc/24/37/64/1073243764.db2.gz HVXBYSRSALROCS-HNNXBMFYSA-N 0 0 431.536 -0.094 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000509135658 1073243730 /nfs/dbraw/zinc/24/37/30/1073243730.db2.gz HVXBYSRSALROCS-OAHLLOKOSA-N 0 0 431.536 -0.094 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)c1 ZINC000509135720 1073243689 /nfs/dbraw/zinc/24/36/89/1073243689.db2.gz GKSBTAQYZNSXJD-KRWDZBQOSA-N 0 0 441.506 -0.015 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)c1 ZINC000509135721 1073243723 /nfs/dbraw/zinc/24/37/23/1073243723.db2.gz GKSBTAQYZNSXJD-QGZVFWFLSA-N 0 0 441.506 -0.015 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)C1 ZINC000509137351 1073243349 /nfs/dbraw/zinc/24/33/49/1073243349.db2.gz LQFWMQGDIAHEQC-BRWVUGGUSA-N 0 0 430.571 -0.178 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)C1 ZINC000509137355 1073243455 /nfs/dbraw/zinc/24/34/55/1073243455.db2.gz LQFWMQGDIAHEQC-IKGGRYGDSA-N 0 0 430.571 -0.178 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)C1 ZINC000509137359 1073243339 /nfs/dbraw/zinc/24/33/39/1073243339.db2.gz LQFWMQGDIAHEQC-IXDOHACOSA-N 0 0 430.571 -0.178 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)C1 ZINC000509137364 1073243236 /nfs/dbraw/zinc/24/32/36/1073243236.db2.gz LQFWMQGDIAHEQC-ZACQAIPSSA-N 0 0 430.571 -0.178 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1 ZINC000509137632 1073243418 /nfs/dbraw/zinc/24/34/18/1073243418.db2.gz LUJFCSYCJSJVKR-CYBMUJFWSA-N 0 0 436.512 -0.879 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1 ZINC000509137634 1073243360 /nfs/dbraw/zinc/24/33/60/1073243360.db2.gz LUJFCSYCJSJVKR-ZDUSSCGKSA-N 0 0 436.512 -0.879 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H](CCCO)c2ccccc2)CC1 ZINC000509140070 1073243919 /nfs/dbraw/zinc/24/39/19/1073243919.db2.gz QMMHUFJHHXOOQX-IBGZPJMESA-N 0 0 432.521 -0.381 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H](CCCO)c2ccccc2)CC1 ZINC000509140072 1073243852 /nfs/dbraw/zinc/24/38/52/1073243852.db2.gz QMMHUFJHHXOOQX-LJQANCHMSA-N 0 0 432.521 -0.381 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1)Nc1ccccc1F ZINC000509140432 1073243823 /nfs/dbraw/zinc/24/38/23/1073243823.db2.gz RXMOEWHWKLSGAA-AWEZNQCLSA-N 0 0 428.486 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1)Nc1ccccc1F ZINC000509140434 1073244169 /nfs/dbraw/zinc/24/41/69/1073244169.db2.gz RXMOEWHWKLSGAA-CQSZACIVSA-N 0 0 428.486 -0.095 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000509140677 1073243741 /nfs/dbraw/zinc/24/37/41/1073243741.db2.gz PZMYWWKDQIAWGB-CYBMUJFWSA-N 0 0 439.581 -0.019 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000509140681 1073243755 /nfs/dbraw/zinc/24/37/55/1073243755.db2.gz PZMYWWKDQIAWGB-ZDUSSCGKSA-N 0 0 439.581 -0.019 20 0 IBADRN COC(=O)C[C@@](C)(NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccn1 ZINC000509140716 1072542549 /nfs/dbraw/zinc/54/25/49/1072542549.db2.gz QCZDOTHVGZIMRJ-JOCHJYFZSA-N 0 0 447.536 -0.548 20 0 IBADRN COC(=O)C[C@](C)(NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccn1 ZINC000509140721 1073243836 /nfs/dbraw/zinc/24/38/36/1073243836.db2.gz QCZDOTHVGZIMRJ-QFIPXVFZSA-N 0 0 447.536 -0.548 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)NC[C@H]2CS(=O)(=O)CCO2)C1=O ZINC000509140881 1073243897 /nfs/dbraw/zinc/24/38/97/1073243897.db2.gz QOEHXPPPUNJTPQ-CMPLNLGQSA-N 0 0 431.492 -0.247 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)NC[C@H]2CS(=O)(=O)CCO2)C1=O ZINC000509140884 1073243773 /nfs/dbraw/zinc/24/37/73/1073243773.db2.gz QOEHXPPPUNJTPQ-JQWIXIFHSA-N 0 0 431.492 -0.247 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)NC[C@@H]2CS(=O)(=O)CCO2)C1=O ZINC000509140887 1073243925 /nfs/dbraw/zinc/24/39/25/1073243925.db2.gz QOEHXPPPUNJTPQ-PWSUYJOCSA-N 0 0 431.492 -0.247 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)NC[C@@H]2CS(=O)(=O)CCO2)C1=O ZINC000509140891 1073243787 /nfs/dbraw/zinc/24/37/87/1073243787.db2.gz QOEHXPPPUNJTPQ-ZYHUDNBSSA-N 0 0 431.492 -0.247 20 0 IBADRN O=C(NCCC1CCS(=O)(=O)CC1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000509143889 1073244187 /nfs/dbraw/zinc/24/41/87/1073244187.db2.gz WVOOLTOKBHACBF-HNNXBMFYSA-N 0 0 425.573 -0.011 20 0 IBADRN O=C(NCCC1CCS(=O)(=O)CC1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000509143891 1073244152 /nfs/dbraw/zinc/24/41/52/1073244152.db2.gz WVOOLTOKBHACBF-OAHLLOKOSA-N 0 0 425.573 -0.011 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1 ZINC000509148428 1072542850 /nfs/dbraw/zinc/54/28/50/1072542850.db2.gz BJRHUAWLDHFQCM-HNNXBMFYSA-N 0 0 440.522 -0.226 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1 ZINC000509148431 1073245371 /nfs/dbraw/zinc/24/53/71/1073245371.db2.gz BJRHUAWLDHFQCM-OAHLLOKOSA-N 0 0 440.522 -0.226 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(CCO)CC3)CC2)o1 ZINC000509148732 1073245186 /nfs/dbraw/zinc/24/51/86/1073245186.db2.gz AWIFXLVMHMQKRA-UHFFFAOYSA-N 0 0 443.522 -0.007 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(CCO)CC2)o1 ZINC000509149248 1073245356 /nfs/dbraw/zinc/24/53/56/1073245356.db2.gz BZGVBOYNHHOWOF-AWEZNQCLSA-N 0 0 429.495 -0.254 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(CCO)CC2)o1 ZINC000509149253 1073245222 /nfs/dbraw/zinc/24/52/22/1073245222.db2.gz BZGVBOYNHHOWOF-CQSZACIVSA-N 0 0 429.495 -0.254 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)NC[C@@H]3CS(=O)(=O)CCO3)C2=O)c1 ZINC000509151146 1073245377 /nfs/dbraw/zinc/24/53/77/1073245377.db2.gz JKVPSQHAFUUUNY-CVEARBPZSA-N 0 0 427.479 -0.078 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)NC[C@H]3CS(=O)(=O)CCO3)C2=O)c1 ZINC000509151149 1073245239 /nfs/dbraw/zinc/24/52/39/1073245239.db2.gz JKVPSQHAFUUUNY-HOTGVXAUSA-N 0 0 427.479 -0.078 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)NC[C@@H]3CS(=O)(=O)CCO3)C2=O)c1 ZINC000509151150 1073245271 /nfs/dbraw/zinc/24/52/71/1073245271.db2.gz JKVPSQHAFUUUNY-HZPDHXFCSA-N 0 0 427.479 -0.078 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)NC[C@H]3CS(=O)(=O)CCO3)C2=O)c1 ZINC000509151151 1073245723 /nfs/dbraw/zinc/24/57/23/1073245723.db2.gz JKVPSQHAFUUUNY-JKSUJKDBSA-N 0 0 427.479 -0.078 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)c1=O ZINC000509152121 1073245307 /nfs/dbraw/zinc/24/53/07/1073245307.db2.gz KFMDOTBEELONGX-UHFFFAOYSA-N 0 0 429.477 -0.141 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1)C1CCCCC1 ZINC000509152826 1073245773 /nfs/dbraw/zinc/24/57/73/1073245773.db2.gz KWYKZRSHUUODSC-KRWDZBQOSA-N 0 0 430.571 -0.082 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1)C1CCCCC1 ZINC000509152827 1073245689 /nfs/dbraw/zinc/24/56/89/1073245689.db2.gz KWYKZRSHUUODSC-QGZVFWFLSA-N 0 0 430.571 -0.082 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000509153281 1073245752 /nfs/dbraw/zinc/24/57/52/1073245752.db2.gz OOIXAENEJYRFFI-HNNXBMFYSA-N 0 0 440.482 -0.366 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000509153286 1073245636 /nfs/dbraw/zinc/24/56/36/1073245636.db2.gz OOIXAENEJYRFFI-OAHLLOKOSA-N 0 0 440.482 -0.366 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)cs1 ZINC000509155605 1073246208 /nfs/dbraw/zinc/24/62/08/1073246208.db2.gz QHOCBBMSPMJKBN-GFCCVEGCSA-N 0 0 438.553 -0.719 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)cs1 ZINC000509155607 1073246186 /nfs/dbraw/zinc/24/61/86/1073246186.db2.gz QHOCBBMSPMJKBN-LBPRGKRZSA-N 0 0 438.553 -0.719 20 0 IBADRN Cn1cnc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000509156197 1073246179 /nfs/dbraw/zinc/24/61/79/1073246179.db2.gz URNFQLZIXTZHJM-UHFFFAOYSA-N 0 0 435.466 -0.638 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1 ZINC000509156722 1073246216 /nfs/dbraw/zinc/24/62/16/1073246216.db2.gz XTRFKEPWDOJBIJ-AWEZNQCLSA-N 0 0 431.602 -0.656 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1 ZINC000509156724 1073246142 /nfs/dbraw/zinc/24/61/42/1073246142.db2.gz XTRFKEPWDOJBIJ-CQSZACIVSA-N 0 0 431.602 -0.656 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H](CCCO)c2ccccc2)CC1)N1CCOCC1 ZINC000509158890 1073246795 /nfs/dbraw/zinc/24/67/95/1073246795.db2.gz YWONREGPRCMANL-IBGZPJMESA-N 0 0 432.521 -0.381 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H](CCCO)c2ccccc2)CC1)N1CCOCC1 ZINC000509158891 1073246675 /nfs/dbraw/zinc/24/66/75/1073246675.db2.gz YWONREGPRCMANL-LJQANCHMSA-N 0 0 432.521 -0.381 20 0 IBADRN COCCN1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1=O ZINC000509161515 1073246694 /nfs/dbraw/zinc/24/66/94/1073246694.db2.gz AEMYFIHVOLQNBG-UHFFFAOYSA-N 0 0 428.515 -0.927 20 0 IBADRN CN(C[C@H]1CCCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)C1)S(C)(=O)=O ZINC000509163608 1073248999 /nfs/dbraw/zinc/24/89/99/1073248999.db2.gz DZTJGPIUYGLHDI-GFCCVEGCSA-N 0 0 425.554 -0.223 20 0 IBADRN CN(C[C@@H]1CCCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)C1)S(C)(=O)=O ZINC000509163609 1073248907 /nfs/dbraw/zinc/24/89/07/1073248907.db2.gz DZTJGPIUYGLHDI-LBPRGKRZSA-N 0 0 425.554 -0.223 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1)N1CCc2ccccc21 ZINC000509167110 1073249007 /nfs/dbraw/zinc/24/90/07/1073249007.db2.gz MKXVBNZDOYKLPU-KRWDZBQOSA-N 0 0 436.534 -0.284 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1)N1CCc2ccccc21 ZINC000509167119 1073249022 /nfs/dbraw/zinc/24/90/22/1073249022.db2.gz MKXVBNZDOYKLPU-QGZVFWFLSA-N 0 0 436.534 -0.284 20 0 IBADRN CN(C[C@@H]1CCCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1)S(C)(=O)=O ZINC000509167182 1073249038 /nfs/dbraw/zinc/24/90/38/1073249038.db2.gz MRISCJSQQUGWSQ-HNNXBMFYSA-N 0 0 445.629 -0.409 20 0 IBADRN CN(C[C@H]1CCCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1)S(C)(=O)=O ZINC000509167187 1073249480 /nfs/dbraw/zinc/24/94/80/1073249480.db2.gz MRISCJSQQUGWSQ-OAHLLOKOSA-N 0 0 445.629 -0.409 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000509168554 1073249317 /nfs/dbraw/zinc/24/93/17/1073249317.db2.gz PAXCAWRODVXPRL-KBPBESRZSA-N 0 0 437.474 -0.493 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000509168562 1073249346 /nfs/dbraw/zinc/24/93/46/1073249346.db2.gz PAXCAWRODVXPRL-KGLIPLIRSA-N 0 0 437.474 -0.493 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000509168566 1073249343 /nfs/dbraw/zinc/24/93/43/1073249343.db2.gz PAXCAWRODVXPRL-UONOGXRCSA-N 0 0 437.474 -0.493 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000509168572 1073249431 /nfs/dbraw/zinc/24/94/31/1073249431.db2.gz PAXCAWRODVXPRL-ZIAGYGMSSA-N 0 0 437.474 -0.493 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000509171291 1073249917 /nfs/dbraw/zinc/24/99/17/1073249917.db2.gz RHOXEFOWVNMWIH-AWEZNQCLSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000509171293 1073249896 /nfs/dbraw/zinc/24/98/96/1073249896.db2.gz RHOXEFOWVNMWIH-CQSZACIVSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1 ZINC000509171636 1073249844 /nfs/dbraw/zinc/24/98/44/1073249844.db2.gz UVISIJPFSOABRS-AWEZNQCLSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1 ZINC000509171638 1073249893 /nfs/dbraw/zinc/24/98/93/1073249893.db2.gz UVISIJPFSOABRS-CQSZACIVSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000509171732 1073249771 /nfs/dbraw/zinc/24/97/71/1073249771.db2.gz VELQDUJVSDUOPZ-JTQLQIEISA-N 0 0 431.496 -0.921 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000509171735 1073249853 /nfs/dbraw/zinc/24/98/53/1073249853.db2.gz VELQDUJVSDUOPZ-SNVBAGLBSA-N 0 0 431.496 -0.921 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000509172792 1073250271 /nfs/dbraw/zinc/25/02/71/1073250271.db2.gz URKVQYFPRFXQLP-HNNXBMFYSA-N 0 0 439.581 -0.350 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000509172793 1073250278 /nfs/dbraw/zinc/25/02/78/1073250278.db2.gz URKVQYFPRFXQLP-OAHLLOKOSA-N 0 0 439.581 -0.350 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000509174061 1073250316 /nfs/dbraw/zinc/25/03/16/1073250316.db2.gz XAFYKQOMUMFLLB-HNNXBMFYSA-N 0 0 439.581 -0.019 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000509174062 1073250243 /nfs/dbraw/zinc/25/02/43/1073250243.db2.gz XAFYKQOMUMFLLB-OAHLLOKOSA-N 0 0 439.581 -0.019 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c1 ZINC000509174227 1073250347 /nfs/dbraw/zinc/25/03/47/1073250347.db2.gz XMDLGJIGXKKHKG-HNNXBMFYSA-N 0 0 439.581 -0.019 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c1 ZINC000509174231 1073250237 /nfs/dbraw/zinc/25/02/37/1073250237.db2.gz XMDLGJIGXKKHKG-OAHLLOKOSA-N 0 0 439.581 -0.019 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000509174273 1073250344 /nfs/dbraw/zinc/25/03/44/1073250344.db2.gz ZODUMKNDYUXXPJ-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000509174275 1073250284 /nfs/dbraw/zinc/25/02/84/1073250284.db2.gz ZODUMKNDYUXXPJ-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cc1 ZINC000509177174 1073250671 /nfs/dbraw/zinc/25/06/71/1073250671.db2.gz DYHZUWNYOYGHMC-CYBMUJFWSA-N 0 0 439.581 -0.113 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cc1 ZINC000509177175 1073250756 /nfs/dbraw/zinc/25/07/56/1073250756.db2.gz DYHZUWNYOYGHMC-ZDUSSCGKSA-N 0 0 439.581 -0.113 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1C ZINC000509183556 1073251682 /nfs/dbraw/zinc/25/16/82/1073251682.db2.gz XJDMAYQUUMITIT-AWEZNQCLSA-N 0 0 439.581 -0.052 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1C ZINC000509183560 1073251613 /nfs/dbraw/zinc/25/16/13/1073251613.db2.gz XJDMAYQUUMITIT-CQSZACIVSA-N 0 0 439.581 -0.052 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000509188288 1073253011 /nfs/dbraw/zinc/25/30/11/1073253011.db2.gz RICPTAOYPIFXRF-CYBMUJFWSA-N 0 0 444.535 -0.952 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000509188295 1073253094 /nfs/dbraw/zinc/25/30/94/1073253094.db2.gz RICPTAOYPIFXRF-ZDUSSCGKSA-N 0 0 444.535 -0.952 20 0 IBADRN COCCN1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1=O ZINC000509188515 1073253163 /nfs/dbraw/zinc/25/31/63/1073253163.db2.gz ZWHCOUVMBIDDGC-UHFFFAOYSA-N 0 0 432.543 -0.540 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000509197604 1073256230 /nfs/dbraw/zinc/25/62/30/1073256230.db2.gz RCGXQRHVNYPTGF-CYBMUJFWSA-N 0 0 440.565 -0.191 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000509197605 1073256248 /nfs/dbraw/zinc/25/62/48/1073256248.db2.gz RCGXQRHVNYPTGF-ZDUSSCGKSA-N 0 0 440.565 -0.191 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000509204786 1073257677 /nfs/dbraw/zinc/25/76/77/1073257677.db2.gz XQWIEZJWUXNIBE-AWEZNQCLSA-N 0 0 430.508 -0.358 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000509204791 1073257602 /nfs/dbraw/zinc/25/76/02/1073257602.db2.gz XQWIEZJWUXNIBE-CQSZACIVSA-N 0 0 430.508 -0.358 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509212576 1073258652 /nfs/dbraw/zinc/25/86/52/1073258652.db2.gz RNDAZZUTNGTYIQ-KRWDZBQOSA-N 0 0 430.571 -0.176 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509212577 1073258648 /nfs/dbraw/zinc/25/86/48/1073258648.db2.gz RNDAZZUTNGTYIQ-QGZVFWFLSA-N 0 0 430.571 -0.176 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(CCOC)C(=O)C2)c1 ZINC000509214335 1073258964 /nfs/dbraw/zinc/25/89/64/1073258964.db2.gz ZCXFPQULMJODKH-UHFFFAOYSA-N 0 0 428.511 -0.389 20 0 IBADRN Cc1cc(C)cc(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000509215228 1073259110 /nfs/dbraw/zinc/25/91/10/1073259110.db2.gz IYTCKGWDUMEEBV-UHFFFAOYSA-N 0 0 428.493 -0.549 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccnc2N2CCCCC2)CC1 ZINC000509218001 1073259521 /nfs/dbraw/zinc/25/95/21/1073259521.db2.gz MNRIFQWCYLXVNC-UHFFFAOYSA-N 0 0 427.469 -0.632 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccnc2N2CCCCC2)CC1 ZINC000509218278 1073259501 /nfs/dbraw/zinc/25/95/01/1073259501.db2.gz NWNUEUQEEWXDBF-UHFFFAOYSA-N 0 0 432.525 -0.083 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000509218384 1073260014 /nfs/dbraw/zinc/26/00/14/1073260014.db2.gz GHEHCRKRWZYTRI-AWEZNQCLSA-N 0 0 433.552 -0.069 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000509218385 1073260002 /nfs/dbraw/zinc/26/00/02/1073260002.db2.gz GHEHCRKRWZYTRI-CQSZACIVSA-N 0 0 433.552 -0.069 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000509218997 1073259511 /nfs/dbraw/zinc/25/95/11/1073259511.db2.gz IOIWHSRALMSQBS-AWEZNQCLSA-N 0 0 425.554 -0.231 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000509218998 1073259561 /nfs/dbraw/zinc/25/95/61/1073259561.db2.gz IOIWHSRALMSQBS-CQSZACIVSA-N 0 0 425.554 -0.231 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000509219003 1073259575 /nfs/dbraw/zinc/25/95/75/1073259575.db2.gz IVEYCNDVODRIFS-UHFFFAOYSA-N 0 0 442.523 -0.347 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCCCN2CCNC(=O)C2)c1 ZINC000509225253 1073264759 /nfs/dbraw/zinc/26/47/59/1073264759.db2.gz QHFJSIIXJUWYJY-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)Cn2ccc(=O)n(C)c2=O)CC1 ZINC000509226433 1073266377 /nfs/dbraw/zinc/26/63/77/1073266377.db2.gz VWWXQZVTIQDTMQ-UHFFFAOYSA-N 0 0 427.527 -0.800 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCc2cn(CC(=O)OC)nn2)c1 ZINC000509227041 1073265690 /nfs/dbraw/zinc/26/56/90/1073265690.db2.gz XUCMBIVXFQXOHL-UHFFFAOYSA-N 0 0 445.523 -0.040 20 0 IBADRN Cc1cc(C)nc(SCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000509227262 1073268553 /nfs/dbraw/zinc/26/85/53/1073268553.db2.gz ZZRWPMZLGNMBLI-UHFFFAOYSA-N 0 0 428.584 -0.178 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)C2CCN(S(=O)(=O)NC)CC2)C1 ZINC000509227828 1073267490 /nfs/dbraw/zinc/26/74/90/1073267490.db2.gz RVNDDMRMZHGBJC-HNNXBMFYSA-N 0 0 432.543 -0.577 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)C2CCN(S(=O)(=O)NC)CC2)C1 ZINC000509227843 1073267476 /nfs/dbraw/zinc/26/74/76/1073267476.db2.gz RVNDDMRMZHGBJC-OAHLLOKOSA-N 0 0 432.543 -0.577 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C[C@H](NC(N)=O)c3cccs3)CC2)CC1 ZINC000509229609 1073269860 /nfs/dbraw/zinc/26/98/60/1073269860.db2.gz DJEVKSAMVJGFCW-AWEZNQCLSA-N 0 0 444.583 -0.516 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C[C@@H](NC(N)=O)c3cccs3)CC2)CC1 ZINC000509229610 1073269882 /nfs/dbraw/zinc/26/98/82/1073269882.db2.gz DJEVKSAMVJGFCW-CQSZACIVSA-N 0 0 444.583 -0.516 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(N4CCNC4=O)cc3)CC2)CC1 ZINC000509229734 1073269411 /nfs/dbraw/zinc/26/94/11/1073269411.db2.gz GTZQVYIVIODVFO-UHFFFAOYSA-N 0 0 436.538 -0.534 20 0 IBADRN Cc1cc(C)cc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000509229899 1073270281 /nfs/dbraw/zinc/27/02/81/1073270281.db2.gz KHAARBWSQLGHNX-UHFFFAOYSA-N 0 0 430.505 -0.508 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C[C@@H]3Oc4ccccc4NC3=O)CC2)CC1 ZINC000509236254 1073273713 /nfs/dbraw/zinc/27/37/13/1073273713.db2.gz VSALMXNABLEODO-KRWDZBQOSA-N 0 0 437.522 -0.587 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C[C@H]3Oc4ccccc4NC3=O)CC2)CC1 ZINC000509236266 1073273760 /nfs/dbraw/zinc/27/37/60/1073273760.db2.gz VSALMXNABLEODO-QGZVFWFLSA-N 0 0 437.522 -0.587 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2Cc2nc3ccccc3o2)cn1 ZINC000509237227 1073273787 /nfs/dbraw/zinc/27/37/87/1073273787.db2.gz SUWHFXHGTMPMTM-AWEZNQCLSA-N 0 0 433.446 -0.071 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCNC(=O)[C@H]2Cc2nc3ccccc3o2)cn1 ZINC000509237236 1073274659 /nfs/dbraw/zinc/27/46/59/1073274659.db2.gz SUWHFXHGTMPMTM-CQSZACIVSA-N 0 0 433.446 -0.071 20 0 IBADRN Cc1nc(C)c(CCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(=O)[nH]1 ZINC000509243473 1073278592 /nfs/dbraw/zinc/27/85/92/1073278592.db2.gz PBGOQENVSGSLQA-UHFFFAOYSA-N 0 0 426.543 -0.632 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCc3nc4ccccc4oc3=O)CC2)CC1 ZINC000509243909 1073278582 /nfs/dbraw/zinc/27/85/82/1073278582.db2.gz XPFCDAUDPSGAEB-UHFFFAOYSA-N 0 0 449.533 -0.243 20 0 IBADRN O=C1NCCN(S(=O)(=O)N2CCS(=O)(=O)CC2)[C@@H]1Cc1nc2ccccc2o1 ZINC000509247394 1073279402 /nfs/dbraw/zinc/27/94/02/1073279402.db2.gz KQLRFTVXIURGPL-CYBMUJFWSA-N 0 0 428.492 -0.854 20 0 IBADRN O=C1NCCN(S(=O)(=O)N2CCS(=O)(=O)CC2)[C@H]1Cc1nc2ccccc2o1 ZINC000509247397 1073279508 /nfs/dbraw/zinc/27/95/08/1073279508.db2.gz KQLRFTVXIURGPL-ZDUSSCGKSA-N 0 0 428.492 -0.854 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)cn1 ZINC000509254179 1073305298 /nfs/dbraw/zinc/30/52/98/1073305298.db2.gz LAVSIHCRYSZQPP-KBPBESRZSA-N 0 0 431.515 -0.042 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CC(=O)NC[C@H]2C(=O)OC)c1 ZINC000509255006 1073306228 /nfs/dbraw/zinc/30/62/28/1073306228.db2.gz NVPRXJHRUZIPQS-AWEZNQCLSA-N 0 0 433.508 -0.621 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CC(=O)NC[C@@H]2C(=O)OC)c1 ZINC000509255007 1073306142 /nfs/dbraw/zinc/30/61/42/1073306142.db2.gz NVPRXJHRUZIPQS-CQSZACIVSA-N 0 0 433.508 -0.621 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cn1 ZINC000509255346 1073306820 /nfs/dbraw/zinc/30/68/20/1073306820.db2.gz NVAUDPWHHACRQL-STQMWFEESA-N 0 0 436.469 -0.159 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1c(F)c(F)cc(F)c1F)C2 ZINC000509257355 1073308220 /nfs/dbraw/zinc/30/82/20/1073308220.db2.gz WRUIZIWWYVBYPP-UHFFFAOYSA-N 0 0 437.375 -0.106 20 0 IBADRN O=C(NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000509258592 1073307706 /nfs/dbraw/zinc/30/77/06/1073307706.db2.gz NFVUPNNKUYBFBQ-NEPJUHHUSA-N 0 0 427.508 -0.296 20 0 IBADRN O=C(NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000509258593 1073307730 /nfs/dbraw/zinc/30/77/30/1073307730.db2.gz NFVUPNNKUYBFBQ-NWDGAFQWSA-N 0 0 427.508 -0.296 20 0 IBADRN O=C(NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000509258594 1073307693 /nfs/dbraw/zinc/30/76/93/1073307693.db2.gz NFVUPNNKUYBFBQ-RYUDHWBXSA-N 0 0 427.508 -0.296 20 0 IBADRN O=C(NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000509258595 1073307747 /nfs/dbraw/zinc/30/77/47/1073307747.db2.gz NFVUPNNKUYBFBQ-VXGBXAGGSA-N 0 0 427.508 -0.296 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1OC ZINC000509260443 1073308165 /nfs/dbraw/zinc/30/81/65/1073308165.db2.gz PRMUHXSRLCMICH-INIZCTEOSA-N 0 0 441.506 -0.168 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1OC ZINC000509260444 1073308157 /nfs/dbraw/zinc/30/81/57/1073308157.db2.gz PRMUHXSRLCMICH-MRXNPFEDSA-N 0 0 441.506 -0.168 20 0 IBADRN COC(=O)C[C@H](NC(=O)Cn1cc(S(N)(=O)=O)cn1)c1ccc(OC)cc1OC ZINC000509261957 1073309075 /nfs/dbraw/zinc/30/90/75/1073309075.db2.gz FMBUWGFSRGDESM-AWEZNQCLSA-N 0 0 426.451 -0.032 20 0 IBADRN COC(=O)C[C@@H](NC(=O)Cn1cc(S(N)(=O)=O)cn1)c1ccc(OC)cc1OC ZINC000509261958 1073309645 /nfs/dbraw/zinc/30/96/45/1073309645.db2.gz FMBUWGFSRGDESM-CQSZACIVSA-N 0 0 426.451 -0.032 20 0 IBADRN Cc1nc2sccn2c(=O)c1CCN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000509265235 1073310360 /nfs/dbraw/zinc/31/03/60/1073310360.db2.gz UTXOQODTLYFTQK-UHFFFAOYSA-N 0 0 440.595 -0.283 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@@H]1C[C@H](F)CN1Cc1cnn(C)c1 ZINC000509271316 1073311848 /nfs/dbraw/zinc/31/18/48/1073311848.db2.gz SUUAJTQZIYGQNM-GJZGRUSLSA-N 0 0 425.552 -0.467 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2C(C)(C)C)c1 ZINC000509271824 1073311835 /nfs/dbraw/zinc/31/18/35/1073311835.db2.gz FIJBTKDXXYIKJI-RHSMWYFYSA-N 0 0 431.493 -0.090 20 0 IBADRN Cc1nc2sccn2c(=O)c1CCN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000509274793 1073312922 /nfs/dbraw/zinc/31/29/22/1073312922.db2.gz BOZMEXAGBVLCIR-UHFFFAOYSA-N 0 0 427.552 -0.198 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3C(C)(C)C)cnc2n(C)c1=O ZINC000509275309 1073312964 /nfs/dbraw/zinc/31/29/64/1073312964.db2.gz SDWFCVCIOYUMPT-TZMCWYRMSA-N 0 0 430.465 -0.620 20 0 IBADRN Cn1cnc(CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC000509277571 1073314508 /nfs/dbraw/zinc/31/45/08/1073314508.db2.gz VENJWRINVORBED-UHFFFAOYSA-N 0 0 438.485 -0.116 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CCS(=O)(=O)N(C)C)CC2)c1 ZINC000509278979 1073314775 /nfs/dbraw/zinc/31/47/75/1073314775.db2.gz KNXWLLUYIICJRL-UHFFFAOYSA-N 0 0 425.554 -0.268 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)cc2C1=O ZINC000509284543 1073317128 /nfs/dbraw/zinc/31/71/28/1073317128.db2.gz HUNLGIQISBHWFT-GFCCVEGCSA-N 0 0 434.474 -0.076 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)c1 ZINC000509286351 1073317702 /nfs/dbraw/zinc/31/77/02/1073317702.db2.gz LTGLATSITRIOFJ-LLVKDONJSA-N 0 0 448.498 -0.516 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)c1 ZINC000509289230 1073317913 /nfs/dbraw/zinc/31/79/13/1073317913.db2.gz WVWNGKJFYBUWHD-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1OC ZINC000509295036 1073319579 /nfs/dbraw/zinc/31/95/79/1073319579.db2.gz SYYGPPUGFJNKKN-UHFFFAOYSA-N 0 0 440.522 -0.595 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cn1 ZINC000509300244 1073320850 /nfs/dbraw/zinc/32/08/50/1073320850.db2.gz JIIBQVMYIUHJAI-GFCCVEGCSA-N 0 0 430.508 -0.504 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1-n1cnnn1 ZINC000509305786 1073324119 /nfs/dbraw/zinc/32/41/19/1073324119.db2.gz OYTCUXKKLATZQX-CQSZACIVSA-N 0 0 433.494 -0.018 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)c1 ZINC000509306659 1073323721 /nfs/dbraw/zinc/32/37/21/1073323721.db2.gz QJBIUXIPPLEKOR-GFCCVEGCSA-N 0 0 430.508 -0.655 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000509308393 1073324607 /nfs/dbraw/zinc/32/46/07/1073324607.db2.gz ZPPQJYNEVDYSJV-LLVKDONJSA-N 0 0 426.499 -0.438 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1C[C@H](C)[C@H](O)C1)c(=O)n2Cc1ccccc1 ZINC000509310653 1073325145 /nfs/dbraw/zinc/32/51/45/1073325145.db2.gz NBYVCLYGZGCKHE-DOTOQJQBSA-N 0 0 441.488 -0.106 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1C[C@@H](C)[C@H](O)C1)c(=O)n2Cc1ccccc1 ZINC000509310654 1073325019 /nfs/dbraw/zinc/32/50/19/1073325019.db2.gz NBYVCLYGZGCKHE-NVXWUHKLSA-N 0 0 441.488 -0.106 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1C[C@H](O)[C@@H](C)C1)c(=O)n2Cc1ccccc1 ZINC000509310655 1073325089 /nfs/dbraw/zinc/32/50/89/1073325089.db2.gz NBYVCLYGZGCKHE-RDJZCZTQSA-N 0 0 441.488 -0.106 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1C[C@@H](C)[C@@H](O)C1)c(=O)n2Cc1ccccc1 ZINC000509310656 1073325128 /nfs/dbraw/zinc/32/51/28/1073325128.db2.gz NBYVCLYGZGCKHE-WBVHZDCISA-N 0 0 441.488 -0.106 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000509316302 1073326334 /nfs/dbraw/zinc/32/63/34/1073326334.db2.gz YYNCSEZEMHYVIW-HOCLYGCPSA-N 0 0 439.579 -0.124 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)c1 ZINC000509317120 1073326905 /nfs/dbraw/zinc/32/69/05/1073326905.db2.gz AINNIUMMZCEMBP-UHFFFAOYSA-N 0 0 434.474 -0.026 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)c1C ZINC000509318240 1073326870 /nfs/dbraw/zinc/32/68/70/1073326870.db2.gz HFKPDXRTUGIRST-GFCCVEGCSA-N 0 0 427.483 -0.624 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)c1 ZINC000509320928 1073327359 /nfs/dbraw/zinc/32/73/59/1073327359.db2.gz UBLZJKRDXXQCBN-GOSISDBHSA-N 0 0 440.456 -0.032 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)c1 ZINC000509320929 1073327341 /nfs/dbraw/zinc/32/73/41/1073327341.db2.gz UBLZJKRDXXQCBN-SFHVURJKSA-N 0 0 440.456 -0.032 20 0 IBADRN COCCN(C(=O)CCC(=O)N1C[C@H](O)[C@@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000509325048 1073328277 /nfs/dbraw/zinc/32/82/77/1073328277.db2.gz AROXUUFQXOHBHC-KBPBESRZSA-N 0 0 439.513 -0.214 20 0 IBADRN COCCN(C(=O)CCC(=O)N1C[C@@H](C)[C@@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000509325049 1073328065 /nfs/dbraw/zinc/32/80/65/1073328065.db2.gz AROXUUFQXOHBHC-KGLIPLIRSA-N 0 0 439.513 -0.214 20 0 IBADRN COCCN(C(=O)CCC(=O)N1C[C@H](C)[C@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000509325050 1073328117 /nfs/dbraw/zinc/32/81/17/1073328117.db2.gz AROXUUFQXOHBHC-UONOGXRCSA-N 0 0 439.513 -0.214 20 0 IBADRN COCCN(C(=O)CCC(=O)N1C[C@@H](C)[C@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000509325051 1073328269 /nfs/dbraw/zinc/32/82/69/1073328269.db2.gz AROXUUFQXOHBHC-ZIAGYGMSSA-N 0 0 439.513 -0.214 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCCc2nnnn2-c2ccccc2)CC1 ZINC000509337656 1073332759 /nfs/dbraw/zinc/33/27/59/1073332759.db2.gz KOHWBHRMASGCKK-UHFFFAOYSA-N 0 0 428.540 -0.204 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCc3nnnn3-c3ccccc3)cnc21 ZINC000509339447 1073332662 /nfs/dbraw/zinc/33/26/62/1073332662.db2.gz SPXTUDNMNONMIO-UHFFFAOYSA-N 0 0 428.434 -0.469 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)CC)c1 ZINC000509340019 1073332799 /nfs/dbraw/zinc/33/27/99/1073332799.db2.gz CKNXEVWTNGBIAM-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CCS(=O)(=O)N(C)C)CC1 ZINC000509343712 1073332990 /nfs/dbraw/zinc/33/29/90/1073332990.db2.gz QEGRQUMQCWGTNA-UHFFFAOYSA-N 0 0 437.540 -0.119 20 0 IBADRN Cc1nn(C)c(N2CCOCC2)c1CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000509360878 1073338432 /nfs/dbraw/zinc/33/84/32/1073338432.db2.gz XEDXJTZAUYXBSR-UHFFFAOYSA-N 0 0 441.602 -0.825 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000509367749 1073338909 /nfs/dbraw/zinc/33/89/09/1073338909.db2.gz ZYSFVCWFLUMSNE-UHFFFAOYSA-N 0 0 432.524 -0.063 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000509370619 1073339761 /nfs/dbraw/zinc/33/97/61/1073339761.db2.gz GAOVWTGPEKLOFS-QLFBSQMISA-N 0 0 437.584 -0.364 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000509370620 1073339774 /nfs/dbraw/zinc/33/97/74/1073339774.db2.gz GAOVWTGPEKLOFS-RBSFLKMASA-N 0 0 437.584 -0.364 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000509370621 1073339922 /nfs/dbraw/zinc/33/99/22/1073339922.db2.gz GAOVWTGPEKLOFS-RRFJBIMHSA-N 0 0 437.584 -0.364 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000509370622 1073339806 /nfs/dbraw/zinc/33/98/06/1073339806.db2.gz GAOVWTGPEKLOFS-SOUVJXGZSA-N 0 0 437.584 -0.364 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cc3cnc(N4CCOCC4)s3)CC2)CC1 ZINC000509372126 1073340232 /nfs/dbraw/zinc/34/02/32/1073340232.db2.gz LLXNBZRDYQZXGD-UHFFFAOYSA-N 0 0 430.600 -0.411 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[C@@H](C(=O)OC)C[C@@H]2C(=O)OC)c1 ZINC000509378303 1073342055 /nfs/dbraw/zinc/34/20/55/1073342055.db2.gz BNNCBUDHMZIRGW-BXUZGUMPSA-N 0 0 431.467 -0.494 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[C@H](C(=O)OC)C[C@H]2C(=O)OC)c1 ZINC000509378304 1073342170 /nfs/dbraw/zinc/34/21/70/1073342170.db2.gz BNNCBUDHMZIRGW-FZMZJTMJSA-N 0 0 431.467 -0.494 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[C@@H](C(=O)OC)C[C@H]2C(=O)OC)c1 ZINC000509378305 1073342041 /nfs/dbraw/zinc/34/20/41/1073342041.db2.gz BNNCBUDHMZIRGW-RISCZKNCSA-N 0 0 431.467 -0.494 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[C@H](C(=O)OC)C[C@@H]2C(=O)OC)c1 ZINC000509378306 1073342082 /nfs/dbraw/zinc/34/20/82/1073342082.db2.gz BNNCBUDHMZIRGW-SMDDNHRTSA-N 0 0 431.467 -0.494 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)[C@@H](C(=O)OC)C1 ZINC000509380924 1073343466 /nfs/dbraw/zinc/34/34/66/1073343466.db2.gz RRCNVJHDHIZTEW-CYZMBNFOSA-N 0 0 431.467 -0.089 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)[C@H](C(=O)OC)C1 ZINC000509380925 1073343420 /nfs/dbraw/zinc/34/34/20/1073343420.db2.gz RRCNVJHDHIZTEW-DRZSPHRISA-N 0 0 431.467 -0.089 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)[C@H](C(=O)OC)C1 ZINC000509380926 1073343376 /nfs/dbraw/zinc/34/33/76/1073343376.db2.gz RRCNVJHDHIZTEW-UHTWSYAYSA-N 0 0 431.467 -0.089 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)[C@H](C)NS(=O)(=O)c1c(C)noc1C ZINC000509381148 1073343064 /nfs/dbraw/zinc/34/30/64/1073343064.db2.gz RRCNVJHDHIZTEW-WCFLWFBJSA-N 0 0 431.467 -0.089 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)[C@@H](C(=O)OC)C1 ZINC000509381186 1073343508 /nfs/dbraw/zinc/34/35/08/1073343508.db2.gz SHRVLPFMDNQRTR-CHWSQXEVSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)[C@H](C(=O)OC)C1 ZINC000509381187 1073343499 /nfs/dbraw/zinc/34/34/99/1073343499.db2.gz SHRVLPFMDNQRTR-OLZOCXBDSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)CCNS(=O)(=O)c1cn(C)c(C)n1 ZINC000509381188 1073343531 /nfs/dbraw/zinc/34/35/31/1073343531.db2.gz SHRVLPFMDNQRTR-QWHCGFSZSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)[C@H](C(=O)OC)C1 ZINC000509381189 1073343320 /nfs/dbraw/zinc/34/33/20/1073343320.db2.gz SHRVLPFMDNQRTR-STQMWFEESA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)[C@H](C(=O)OC)C1 ZINC000509381203 1073343410 /nfs/dbraw/zinc/34/34/10/1073343410.db2.gz SNOMXKNXNQUMJB-CVEARBPZSA-N 0 0 447.492 -0.141 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)[C@H](C(=O)OC)C1 ZINC000509381204 1073343455 /nfs/dbraw/zinc/34/34/55/1073343455.db2.gz SNOMXKNXNQUMJB-HOTGVXAUSA-N 0 0 447.492 -0.141 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)[C@@H](C(=O)OC)C1 ZINC000509381205 1072545760 /nfs/dbraw/zinc/54/57/60/1072545760.db2.gz SNOMXKNXNQUMJB-HZPDHXFCSA-N 0 0 447.492 -0.141 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000509381206 1072545744 /nfs/dbraw/zinc/54/57/44/1072545744.db2.gz SNOMXKNXNQUMJB-JKSUJKDBSA-N 0 0 447.492 -0.141 20 0 IBADRN COC(=O)CCn1nc(C)c(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000509384212 1073343722 /nfs/dbraw/zinc/34/37/22/1073343722.db2.gz GOLBZYMFGVOGPB-UHFFFAOYSA-N 0 0 442.586 -0.327 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)[C@@H](C(=O)OC)C1 ZINC000509384236 1073343763 /nfs/dbraw/zinc/34/37/63/1073343763.db2.gz QSASWXVYZITHPO-BZUAXINKSA-N 0 0 425.482 -0.880 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)[C@H](C(=O)OC)C1 ZINC000509384239 1073343641 /nfs/dbraw/zinc/34/36/41/1073343641.db2.gz QSASWXVYZITHPO-OAGGEKHMSA-N 0 0 425.482 -0.880 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)[C@@H](C(=O)OC)C1 ZINC000509384242 1073343883 /nfs/dbraw/zinc/34/38/83/1073343883.db2.gz QSASWXVYZITHPO-OWCLPIDISA-N 0 0 425.482 -0.880 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)[C@H](C(=O)OC)C1 ZINC000509384244 1073343945 /nfs/dbraw/zinc/34/39/45/1073343945.db2.gz QSASWXVYZITHPO-PMPSAXMXSA-N 0 0 425.482 -0.880 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)[C@@H](C(=O)OC)C1 ZINC000509385705 1073345229 /nfs/dbraw/zinc/34/52/29/1073345229.db2.gz XNXBXYOEKZWLHH-PSASIEDQSA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)[C@H](C(=O)OC)C1 ZINC000509385709 1073345196 /nfs/dbraw/zinc/34/51/96/1073345196.db2.gz XNXBXYOEKZWLHH-SCZZXKLOSA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000509385710 1073345086 /nfs/dbraw/zinc/34/50/86/1073345086.db2.gz XNXBXYOEKZWLHH-WCBMZHEXSA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)[C@H](C(=O)OC)C1 ZINC000509385712 1073345239 /nfs/dbraw/zinc/34/52/39/1073345239.db2.gz XNXBXYOEKZWLHH-WPRPVWTQSA-N 0 0 432.227 -0.335 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H](CCCO)c1ccccc1)C2 ZINC000509385976 1073344605 /nfs/dbraw/zinc/34/46/05/1073344605.db2.gz FIMITXZTEAXGMS-INIZCTEOSA-N 0 0 444.492 -0.895 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H](CCCO)c1ccccc1)C2 ZINC000509385981 1073344555 /nfs/dbraw/zinc/34/45/55/1073344555.db2.gz FIMITXZTEAXGMS-MRXNPFEDSA-N 0 0 444.492 -0.895 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCNC(=O)c1ccn[nH]1 ZINC000509388946 1073346601 /nfs/dbraw/zinc/34/66/01/1073346601.db2.gz PRNLWXWWAZZQQO-UHFFFAOYSA-N 0 0 427.508 -0.419 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@H](C(=O)OC)C1 ZINC000509389521 1073346938 /nfs/dbraw/zinc/34/69/38/1073346938.db2.gz RXHQISRMOLETHU-BNOWGMLFSA-N 0 0 444.510 -0.343 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@@H](C(=O)OC)C1 ZINC000509389527 1073346946 /nfs/dbraw/zinc/34/69/46/1073346946.db2.gz RXHQISRMOLETHU-IACUBPJLSA-N 0 0 444.510 -0.343 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@@H](C(=O)OC)C1 ZINC000509389528 1073346817 /nfs/dbraw/zinc/34/68/17/1073346817.db2.gz RXHQISRMOLETHU-MRVWCRGKSA-N 0 0 444.510 -0.343 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@H](C(=O)OC)C1 ZINC000509389530 1073346807 /nfs/dbraw/zinc/34/68/07/1073346807.db2.gz RXHQISRMOLETHU-YUTCNCBUSA-N 0 0 444.510 -0.343 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@H](C(=O)OC)C1 ZINC000509389605 1073346972 /nfs/dbraw/zinc/34/69/72/1073346972.db2.gz STINUPSVNTUFRQ-DOMZBBRYSA-N 0 0 443.478 -0.398 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@@H](C(=O)OC)C1 ZINC000509389609 1073346875 /nfs/dbraw/zinc/34/68/75/1073346875.db2.gz STINUPSVNTUFRQ-IUODEOHRSA-N 0 0 443.478 -0.398 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000509389610 1073346825 /nfs/dbraw/zinc/34/68/25/1073346825.db2.gz STINUPSVNTUFRQ-SWLSCSKDSA-N 0 0 443.478 -0.398 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@H](C(=O)OC)C1 ZINC000509389613 1073346902 /nfs/dbraw/zinc/34/69/02/1073346902.db2.gz STINUPSVNTUFRQ-WFASDCNBSA-N 0 0 443.478 -0.398 20 0 IBADRN COCCN(CCS(=O)(=O)N(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000509390790 1073346882 /nfs/dbraw/zinc/34/68/82/1073346882.db2.gz WCQGREOIISHVIR-UHFFFAOYSA-N 0 0 425.511 -0.076 20 0 IBADRN CN(C)S(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000509391027 1073346836 /nfs/dbraw/zinc/34/68/36/1073346836.db2.gz XNYBQZBUZDRGSJ-UHFFFAOYSA-N 0 0 432.524 -0.785 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NCCNC(=O)c1ccn[nH]1 ZINC000509391029 1073346862 /nfs/dbraw/zinc/34/68/62/1073346862.db2.gz WUTSXPVSSYAIEV-UHFFFAOYSA-N 0 0 437.478 -0.214 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)c1ccn[nH]1 ZINC000509394930 1073347656 /nfs/dbraw/zinc/34/76/56/1073347656.db2.gz QSUSTLRHPDSPDM-BFHYXJOUSA-N 0 0 425.511 -0.635 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccn[nH]1 ZINC000509394931 1073347582 /nfs/dbraw/zinc/34/75/82/1073347582.db2.gz QSUSTLRHPDSPDM-MCIONIFRSA-N 0 0 425.511 -0.635 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccn[nH]1 ZINC000509394932 1073347652 /nfs/dbraw/zinc/34/76/52/1073347652.db2.gz QSUSTLRHPDSPDM-MGPQQGTHSA-N 0 0 425.511 -0.635 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)c1ccn[nH]1 ZINC000509394933 1073347637 /nfs/dbraw/zinc/34/76/37/1073347637.db2.gz QSUSTLRHPDSPDM-MJBXVCDLSA-N 0 0 425.511 -0.635 20 0 IBADRN O=C(N[C@H](CCCO)c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000509395355 1073347648 /nfs/dbraw/zinc/34/76/48/1073347648.db2.gz ZTXLWDVMUIXZPG-GOSISDBHSA-N 0 0 446.504 -0.854 20 0 IBADRN O=C(N[C@@H](CCCO)c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000509395356 1073347653 /nfs/dbraw/zinc/34/76/53/1073347653.db2.gz ZTXLWDVMUIXZPG-SFHVURJKSA-N 0 0 446.504 -0.854 20 0 IBADRN CN(C)C(=O)COc1ccccc1CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000509399008 1073348087 /nfs/dbraw/zinc/34/80/87/1073348087.db2.gz KCKCSALXLGNOOY-UHFFFAOYSA-N 0 0 439.582 -0.237 20 0 IBADRN COCCOc1cncc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000509399417 1073348517 /nfs/dbraw/zinc/34/85/17/1073348517.db2.gz HICXMVLPCPBNPU-UHFFFAOYSA-N 0 0 428.511 -0.122 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCCCNS(C)(=O)=O)c1 ZINC000509400522 1073348365 /nfs/dbraw/zinc/34/83/65/1073348365.db2.gz DQZCWUCCJCOVKS-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN COC[C@H]1CCN(C(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000509400640 1073348358 /nfs/dbraw/zinc/34/83/58/1073348358.db2.gz LOXUZMYUDVJRTJ-AWEZNQCLSA-N 0 0 446.551 -0.271 20 0 IBADRN COC[C@@H]1CCN(C(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000509400644 1073348441 /nfs/dbraw/zinc/34/84/41/1073348441.db2.gz LOXUZMYUDVJRTJ-CQSZACIVSA-N 0 0 446.551 -0.271 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCN1CCN(c3ccc(F)cc3)CC1)c(=O)n2C ZINC000509405146 1073349238 /nfs/dbraw/zinc/34/92/38/1073349238.db2.gz HURAOOGBICGQMR-UHFFFAOYSA-N 0 0 443.483 -0.489 20 0 IBADRN CN(C(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)C1CCOCC1 ZINC000509405280 1073349294 /nfs/dbraw/zinc/34/92/94/1073349294.db2.gz ZRPAEEQASKKHAJ-UHFFFAOYSA-N 0 0 446.551 -0.129 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4c3OCO4)CC2)CC1 ZINC000509410325 1073350340 /nfs/dbraw/zinc/35/03/40/1073350340.db2.gz LDUWUSWGIUXPQP-UHFFFAOYSA-N 0 0 432.524 -0.786 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)c1 ZINC000509411366 1073350394 /nfs/dbraw/zinc/35/03/94/1073350394.db2.gz SSSIRNQGKQITOZ-HNNXBMFYSA-N 0 0 447.535 -0.231 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)c1 ZINC000509411367 1073350317 /nfs/dbraw/zinc/35/03/17/1073350317.db2.gz SSSIRNQGKQITOZ-OAHLLOKOSA-N 0 0 447.535 -0.231 20 0 IBADRN COCCN1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000509423260 1073353472 /nfs/dbraw/zinc/35/34/72/1073353472.db2.gz VPQGIUBOQNVPJS-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000509430153 1073356544 /nfs/dbraw/zinc/35/65/44/1073356544.db2.gz KPTDARRJXWRDAY-UHFFFAOYSA-N 0 0 439.581 -0.017 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1=O ZINC000509430195 1073356569 /nfs/dbraw/zinc/35/65/69/1073356569.db2.gz KDJUJBSOQQJGOF-UHFFFAOYSA-N 0 0 435.500 -0.301 20 0 IBADRN COC[C@](C)(CNC(=O)c1ccnc2c1nnn2C)NC(=O)c1ccnc2c1nnn2C ZINC000509431176 1073357480 /nfs/dbraw/zinc/35/74/80/1073357480.db2.gz OWMHENZWQXKHDD-IBGZPJMESA-N 0 0 438.452 -0.395 20 0 IBADRN COC[C@@](C)(CNC(=O)c1ccnc2c1nnn2C)NC(=O)c1ccnc2c1nnn2C ZINC000509431180 1073357198 /nfs/dbraw/zinc/35/71/98/1073357198.db2.gz OWMHENZWQXKHDD-LJQANCHMSA-N 0 0 438.452 -0.395 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccc3)CC2)CCO1 ZINC000509434843 1073358543 /nfs/dbraw/zinc/35/85/43/1073358543.db2.gz AFDWMYDLKKLTBW-FXAWDEMLSA-N 0 0 431.537 -0.323 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccc3)CC2)CCO1 ZINC000509434844 1073358618 /nfs/dbraw/zinc/35/86/18/1073358618.db2.gz AFDWMYDLKKLTBW-PXNSSMCTSA-N 0 0 431.537 -0.323 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccc3)CC2)CCO1 ZINC000509434845 1073358569 /nfs/dbraw/zinc/35/85/69/1073358569.db2.gz AFDWMYDLKKLTBW-XLIONFOSSA-N 0 0 431.537 -0.323 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccc3)CC2)CCO1 ZINC000509434846 1073358662 /nfs/dbraw/zinc/35/86/62/1073358662.db2.gz AFDWMYDLKKLTBW-YLJYHZDGSA-N 0 0 431.537 -0.323 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1 ZINC000509437717 1073359980 /nfs/dbraw/zinc/35/99/80/1073359980.db2.gz PEXSWNZTOQKTJZ-CYBMUJFWSA-N 0 0 427.479 -0.113 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1 ZINC000509437720 1073359943 /nfs/dbraw/zinc/35/99/43/1073359943.db2.gz PEXSWNZTOQKTJZ-ZDUSSCGKSA-N 0 0 427.479 -0.113 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1OC ZINC000509437798 1073359968 /nfs/dbraw/zinc/35/99/68/1073359968.db2.gz QHAVHBBZBVPWST-GFCCVEGCSA-N 0 0 446.913 -0.277 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1OC ZINC000509437803 1073360114 /nfs/dbraw/zinc/36/01/14/1073360114.db2.gz QHAVHBBZBVPWST-LBPRGKRZSA-N 0 0 446.913 -0.277 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1=O ZINC000509438125 1073359545 /nfs/dbraw/zinc/35/95/45/1073359545.db2.gz SJKJQFCEGZQYPO-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cccc(C(=O)NCCOC)c1 ZINC000509439271 1073360988 /nfs/dbraw/zinc/36/09/88/1073360988.db2.gz UFTNTKCNWZCLIM-HNNXBMFYSA-N 0 0 426.495 -0.922 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cccc(C(=O)NCCOC)c1 ZINC000509439276 1073361052 /nfs/dbraw/zinc/36/10/52/1073361052.db2.gz UFTNTKCNWZCLIM-OAHLLOKOSA-N 0 0 426.495 -0.922 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccc3)CC2)CC1 ZINC000509441885 1073361827 /nfs/dbraw/zinc/36/18/27/1073361827.db2.gz LJIXXMLOUDKZOQ-GOSISDBHSA-N 0 0 431.537 -0.370 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccc3)CC2)CC1 ZINC000509441886 1073362025 /nfs/dbraw/zinc/36/20/25/1073362025.db2.gz LJIXXMLOUDKZOQ-SFHVURJKSA-N 0 0 431.537 -0.370 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000509442590 1073362203 /nfs/dbraw/zinc/36/22/03/1073362203.db2.gz QXYRQGRPIMCMST-GOSISDBHSA-N 0 0 444.580 -0.279 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000509442591 1073362062 /nfs/dbraw/zinc/36/20/62/1073362062.db2.gz QXYRQGRPIMCMST-SFHVURJKSA-N 0 0 444.580 -0.279 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000509443215 1073362183 /nfs/dbraw/zinc/36/21/83/1073362183.db2.gz YGEQHBCPBUUPOO-KRWDZBQOSA-N 0 0 437.566 -0.583 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000509443216 1073362044 /nfs/dbraw/zinc/36/20/44/1073362044.db2.gz YGEQHBCPBUUPOO-QGZVFWFLSA-N 0 0 437.566 -0.583 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC[C@](F)(CO)C1 ZINC000509445143 1073363039 /nfs/dbraw/zinc/36/30/39/1073363039.db2.gz ANLCXRRXIXBRKF-GOSISDBHSA-N 0 0 429.470 -0.031 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC[C@@](F)(CO)C1 ZINC000509445144 1073362968 /nfs/dbraw/zinc/36/29/68/1073362968.db2.gz ANLCXRRXIXBRKF-SFHVURJKSA-N 0 0 429.470 -0.031 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC[C@](F)(CO)C1 ZINC000509446974 1073363233 /nfs/dbraw/zinc/36/32/33/1073363233.db2.gz HSXIOQQLCDDERZ-GOSISDBHSA-N 0 0 442.469 -0.931 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC[C@@](F)(CO)C1 ZINC000509446975 1073363202 /nfs/dbraw/zinc/36/32/02/1073363202.db2.gz HSXIOQQLCDDERZ-SFHVURJKSA-N 0 0 442.469 -0.931 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1=O ZINC000509447622 1072417793 /nfs/dbraw/zinc/41/77/93/1072417793.db2.gz DEPUXSUGTLIDPE-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCC[C@@](F)(CO)C3)c2)CC1 ZINC000509451961 1072417983 /nfs/dbraw/zinc/41/79/83/1072417983.db2.gz QHMTWOOTBHEVME-IBGZPJMESA-N 0 0 442.513 -0.116 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCC[C@](F)(CO)C3)c2)CC1 ZINC000509451963 1072417899 /nfs/dbraw/zinc/41/78/99/1072417899.db2.gz QHMTWOOTBHEVME-LJQANCHMSA-N 0 0 442.513 -0.116 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1OC ZINC000509452315 1072417975 /nfs/dbraw/zinc/41/79/75/1072417975.db2.gz SBCHZGLFLJAQGB-UHFFFAOYSA-N 0 0 426.904 -0.782 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@](F)(CO)C3)cc2)CC1 ZINC000509454874 1073600826 /nfs/dbraw/zinc/60/08/26/1073600826.db2.gz YTDALTJKZZNDAF-IBGZPJMESA-N 0 0 442.513 -0.116 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCC[C@](F)(CO)C3)cc2)CC1 ZINC000509454876 1073600791 /nfs/dbraw/zinc/60/07/91/1073600791.db2.gz YTDALTJKZZNDAF-LJQANCHMSA-N 0 0 442.513 -0.116 20 0 IBADRN COCCN1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000509456153 1074325717 /nfs/dbraw/zinc/32/57/17/1074325717.db2.gz WHPIQKDDXQCWMB-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000509457137 1074326933 /nfs/dbraw/zinc/32/69/33/1074326933.db2.gz ZMCGIJDJTLBNNX-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@](F)(CO)C2)ccc1OC ZINC000509457536 1074331932 /nfs/dbraw/zinc/33/19/32/1074331932.db2.gz KFCKRYSUFXNJPR-GOSISDBHSA-N 0 0 447.485 -0.119 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@](F)(CO)C2)ccc1OC ZINC000509457537 1074332494 /nfs/dbraw/zinc/33/24/94/1074332494.db2.gz KFCKRYSUFXNJPR-SFHVURJKSA-N 0 0 447.485 -0.119 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccc3)CC2)CC1 ZINC000509457915 1074331514 /nfs/dbraw/zinc/33/15/14/1074331514.db2.gz OSUUCQIJOFCCBJ-IBGZPJMESA-N 0 0 444.580 -0.406 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)CN2CCN(c3ccccc3)CC2)CC1 ZINC000509457916 1074331835 /nfs/dbraw/zinc/33/18/35/1074331835.db2.gz OSUUCQIJOFCCBJ-LJQANCHMSA-N 0 0 444.580 -0.406 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)NC2CCN(CC(=O)N(C)C)CC2)c1 ZINC000509461453 1074408574 /nfs/dbraw/zinc/40/85/74/1074408574.db2.gz DKAZLIBPGWITIF-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1=O ZINC000509464093 1074414384 /nfs/dbraw/zinc/41/43/84/1074414384.db2.gz MYRKHQAWEWKKOR-IBGZPJMESA-N 0 0 446.504 -0.712 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000509464096 1074414500 /nfs/dbraw/zinc/41/45/00/1074414500.db2.gz NLVANKLGYADBQV-UHFFFAOYSA-N 0 0 446.504 -0.030 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1=O ZINC000509464098 1074414469 /nfs/dbraw/zinc/41/44/69/1074414469.db2.gz MYRKHQAWEWKKOR-LJQANCHMSA-N 0 0 446.504 -0.712 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@](F)(CO)C1 ZINC000509466656 1074416353 /nfs/dbraw/zinc/41/63/53/1074416353.db2.gz BZAMIEINVRYSEV-GOSISDBHSA-N 0 0 429.470 -0.031 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@@](F)(CO)C1 ZINC000509466658 1074416409 /nfs/dbraw/zinc/41/64/09/1074416409.db2.gz BZAMIEINVRYSEV-SFHVURJKSA-N 0 0 429.470 -0.031 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@](F)(CO)C1 ZINC000509470059 1074417073 /nfs/dbraw/zinc/41/70/73/1074417073.db2.gz QEPLQHOZPHXWER-DYESRHJHSA-N 0 0 435.452 -0.038 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@](F)(CO)C1 ZINC000509470063 1074417286 /nfs/dbraw/zinc/41/72/86/1074417286.db2.gz QEPLQHOZPHXWER-LAUBAEHRSA-N 0 0 435.452 -0.038 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@@](F)(CO)C1 ZINC000509470065 1074417291 /nfs/dbraw/zinc/41/72/91/1074417291.db2.gz QEPLQHOZPHXWER-UTKZUKDTSA-N 0 0 435.452 -0.038 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@@](F)(CO)C1 ZINC000509470068 1074417159 /nfs/dbraw/zinc/41/71/59/1074417159.db2.gz QEPLQHOZPHXWER-UWJYYQICSA-N 0 0 435.452 -0.038 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@](F)(CO)C3)cn2)C[C@H](C)O1 ZINC000509470810 1074417957 /nfs/dbraw/zinc/41/79/57/1074417957.db2.gz TUJQXPSQZJEFKR-BIENJYKASA-N 0 0 425.461 -0.220 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@](F)(CO)C3)cn2)C[C@H](C)O1 ZINC000509470811 1074417913 /nfs/dbraw/zinc/41/79/13/1074417913.db2.gz TUJQXPSQZJEFKR-KSMMKXTCSA-N 0 0 425.461 -0.220 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@](F)(CO)C3)cn2)C[C@@H](C)O1 ZINC000509470812 1074417868 /nfs/dbraw/zinc/41/78/68/1074417868.db2.gz TUJQXPSQZJEFKR-LPMFXHHGSA-N 0 0 425.461 -0.220 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@](F)(CO)C3)cn2)C[C@@H](C)O1 ZINC000509470813 1074417960 /nfs/dbraw/zinc/41/79/60/1074417960.db2.gz TUJQXPSQZJEFKR-PJIJBLCYSA-N 0 0 425.461 -0.220 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000509487627 1074433423 /nfs/dbraw/zinc/43/34/23/1074433423.db2.gz IQVFVCQXMBPTLX-AWEZNQCLSA-N 0 0 433.508 -0.357 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000509487628 1074433368 /nfs/dbraw/zinc/43/33/68/1074433368.db2.gz IQVFVCQXMBPTLX-CQSZACIVSA-N 0 0 433.508 -0.357 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(CCOC)C(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000509501091 1074442523 /nfs/dbraw/zinc/44/25/23/1074442523.db2.gz RCMAVBCLSCUPOG-UHFFFAOYSA-N 0 0 443.504 -0.366 20 0 IBADRN COCCN1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1=O ZINC000509503020 1074446333 /nfs/dbraw/zinc/44/63/33/1074446333.db2.gz KUURHMOIYNWDFS-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1=O ZINC000509503582 1074448172 /nfs/dbraw/zinc/44/81/72/1074448172.db2.gz OIBQUEAQZJGFFC-UHFFFAOYSA-N 0 0 438.550 -0.549 20 0 IBADRN COCCN1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1=O ZINC000509504542 1074448785 /nfs/dbraw/zinc/44/87/85/1074448785.db2.gz BJWCBUZIMDSSDG-UHFFFAOYSA-N 0 0 429.477 -0.849 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1=O ZINC000509505611 1074451098 /nfs/dbraw/zinc/45/10/98/1074451098.db2.gz WQRZNVNFBNNDEN-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN COCCN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000509516918 1074475595 /nfs/dbraw/zinc/47/55/95/1074475595.db2.gz OIYIIGARKCENTO-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000509527639 1071379689 /nfs/dbraw/zinc/37/96/89/1071379689.db2.gz FOYTVIVXECHVPC-CTNGQTDRSA-N 0 0 447.580 -0.535 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000509527643 1071379872 /nfs/dbraw/zinc/37/98/72/1071379872.db2.gz FOYTVIVXECHVPC-FPOVZHCZSA-N 0 0 447.580 -0.535 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000509527645 1071379626 /nfs/dbraw/zinc/37/96/26/1071379626.db2.gz FOYTVIVXECHVPC-PZJWPPBQSA-N 0 0 447.580 -0.535 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000509527647 1071379783 /nfs/dbraw/zinc/37/97/83/1071379783.db2.gz FOYTVIVXECHVPC-TZIWHRDSSA-N 0 0 447.580 -0.535 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000509533561 1071506032 /nfs/dbraw/zinc/50/60/32/1071506032.db2.gz RYLURBZDVUQPJL-UHFFFAOYSA-N 0 0 429.524 -0.371 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(Cc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000509533907 1071505649 /nfs/dbraw/zinc/50/56/49/1071505649.db2.gz UWSQPEKHZIIXJG-UHFFFAOYSA-N 0 0 436.534 -0.370 20 0 IBADRN CC(C)[C@@H](CO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000509534340 1071505512 /nfs/dbraw/zinc/50/55/12/1071505512.db2.gz AGOMVXWHYUHOHF-CYBMUJFWSA-N 0 0 426.495 -0.874 20 0 IBADRN CC(C)[C@H](CO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000509534341 1071505463 /nfs/dbraw/zinc/50/54/63/1071505463.db2.gz AGOMVXWHYUHOHF-ZDUSSCGKSA-N 0 0 426.495 -0.874 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000509536170 1071517142 /nfs/dbraw/zinc/51/71/42/1071517142.db2.gz KJSQKIBCRBAUKY-INIZCTEOSA-N 0 0 437.566 -0.679 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000509536174 1071517094 /nfs/dbraw/zinc/51/70/94/1071517094.db2.gz KJSQKIBCRBAUKY-MRXNPFEDSA-N 0 0 437.566 -0.679 20 0 IBADRN CN1CCN(c2ncc(CN3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)cn2)CC1 ZINC000509538253 1071517603 /nfs/dbraw/zinc/51/76/03/1071517603.db2.gz FHCHYMBSLMBHPW-UHFFFAOYSA-N 0 0 449.537 -0.573 20 0 IBADRN CC(C)[C@H](CO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000509543328 1071714606 /nfs/dbraw/zinc/71/46/06/1071714606.db2.gz YACVGBLPTBKKDL-HNNXBMFYSA-N 0 0 426.539 -0.058 20 0 IBADRN CC(C)[C@@H](CO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000509543331 1071714546 /nfs/dbraw/zinc/71/45/46/1071714546.db2.gz YACVGBLPTBKKDL-OAHLLOKOSA-N 0 0 426.539 -0.058 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)c3ccccc3N3C(=O)CC[C@]32C)CC1 ZINC000509548269 1072030668 /nfs/dbraw/zinc/03/06/68/1072030668.db2.gz GDDSCZZGEHHQCH-IBGZPJMESA-N 0 0 435.506 -0.406 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)c3ccccc3N3C(=O)CC[C@@]32C)CC1 ZINC000509548273 1072030842 /nfs/dbraw/zinc/03/08/42/1072030842.db2.gz GDDSCZZGEHHQCH-LJQANCHMSA-N 0 0 435.506 -0.406 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000509548583 1072030638 /nfs/dbraw/zinc/03/06/38/1072030638.db2.gz IMUZGVKIPRUGCH-UHFFFAOYSA-N 0 0 430.552 -0.861 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000509550803 1072030852 /nfs/dbraw/zinc/03/08/52/1072030852.db2.gz YWODERRZKWXUTL-AWEZNQCLSA-N 0 0 449.555 -0.699 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000509550804 1072030767 /nfs/dbraw/zinc/03/07/67/1072030767.db2.gz YWODERRZKWXUTL-CQSZACIVSA-N 0 0 449.555 -0.699 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCCN(C)S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000509552136 1072030679 /nfs/dbraw/zinc/03/06/79/1072030679.db2.gz BNSODRFXCAEQMP-UHFFFAOYSA-N 0 0 448.567 -0.296 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(OC)cc2)C(C)C)CC1 ZINC000509554870 1072030869 /nfs/dbraw/zinc/03/08/69/1072030869.db2.gz HIYISSWQKJEUNM-INIZCTEOSA-N 0 0 448.567 -0.394 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC000509555693 1072030817 /nfs/dbraw/zinc/03/08/17/1072030817.db2.gz JOTUASQMSPUBFC-UHFFFAOYSA-N 0 0 437.566 -0.377 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000509557221 1072031353 /nfs/dbraw/zinc/03/13/53/1072031353.db2.gz MKDSLESJYAKCJD-INIZCTEOSA-N 0 0 430.552 -0.243 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC[C@@H](C(=O)N4CCOCC4)C3)cnc2n(C)c1=O ZINC000509557832 1072034027 /nfs/dbraw/zinc/03/40/27/1072034027.db2.gz NXZLJIMAGICFIB-CYBMUJFWSA-N 0 0 430.465 -0.265 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC[C@H](C(=O)N4CCOCC4)C3)cnc2n(C)c1=O ZINC000509557833 1072034047 /nfs/dbraw/zinc/03/40/47/1072034047.db2.gz NXZLJIMAGICFIB-ZDUSSCGKSA-N 0 0 430.465 -0.265 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(OCC(=O)NC(C)C)c(OC)c2)CC1 ZINC000509559801 1072034014 /nfs/dbraw/zinc/03/40/14/1072034014.db2.gz RZIUKFPKTGUOQR-UHFFFAOYSA-N 0 0 428.511 -0.179 20 0 IBADRN CC(C)[C@H](CO)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000509560357 1072034055 /nfs/dbraw/zinc/03/40/55/1072034055.db2.gz SEXLSMGATNHMHQ-HNNXBMFYSA-N 0 0 426.539 -0.058 20 0 IBADRN CC(C)[C@@H](CO)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000509560850 1072034037 /nfs/dbraw/zinc/03/40/37/1072034037.db2.gz SEXLSMGATNHMHQ-OAHLLOKOSA-N 0 0 426.539 -0.058 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)C(C)C)CC1 ZINC000509561526 1072034006 /nfs/dbraw/zinc/03/40/06/1072034006.db2.gz WGKXSOQHLVOPII-INIZCTEOSA-N 0 0 432.568 -0.094 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000509562474 1072033989 /nfs/dbraw/zinc/03/39/89/1072033989.db2.gz XEIULMXBBQKXAB-UHFFFAOYSA-N 0 0 432.524 -0.642 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000509562549 1072033935 /nfs/dbraw/zinc/03/39/35/1072033935.db2.gz XUOGXSMFAWLKAW-UHFFFAOYSA-N 0 0 448.542 -0.165 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2C(F)(F)F)CC1 ZINC000509562775 1072033862 /nfs/dbraw/zinc/03/38/62/1072033862.db2.gz ZTFAMVXBVJURCG-UHFFFAOYSA-N 0 0 444.457 -0.408 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NCc3ccco3)cc2)CC1 ZINC000509563658 1072033945 /nfs/dbraw/zinc/03/39/45/1072033945.db2.gz IKEDHQUUYZUVEA-UHFFFAOYSA-N 0 0 442.519 -0.020 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2c(C)cc(C)cc2C)CC1 ZINC000509564718 1072034444 /nfs/dbraw/zinc/03/44/44/1072034444.db2.gz PLJWORNDFTXTBN-UHFFFAOYSA-N 0 0 432.568 -0.111 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000509565216 1072034559 /nfs/dbraw/zinc/03/45/59/1072034559.db2.gz UMJXOZNYKYGBSU-UHFFFAOYSA-N 0 0 430.552 -0.332 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Cn2cnc3ccc(Br)cc3c2=O)CC1 ZINC000509568417 1072034544 /nfs/dbraw/zinc/03/45/44/1072034544.db2.gz DNCVRONFOLQUEW-UHFFFAOYSA-N 0 0 444.311 -0.233 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)c1 ZINC000509569647 1072033482 /nfs/dbraw/zinc/03/34/82/1072033482.db2.gz MXXKMKUJDVRQBG-UHFFFAOYSA-N 0 0 448.501 -0.244 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000509569736 1072033511 /nfs/dbraw/zinc/03/35/11/1072033511.db2.gz HBMMHEYQSDJMNW-UHFFFAOYSA-N 0 0 430.552 -0.304 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000509569941 1072033471 /nfs/dbraw/zinc/03/34/71/1072033471.db2.gz GSTGFNYYZMBPOW-UHFFFAOYSA-N 0 0 427.461 -0.215 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000509571721 1072034371 /nfs/dbraw/zinc/03/43/71/1072034371.db2.gz MMKDAPQEJFQBEE-KRWDZBQOSA-N 0 0 435.506 -0.930 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000509571728 1072034520 /nfs/dbraw/zinc/03/45/20/1072034520.db2.gz MMKDAPQEJFQBEE-QGZVFWFLSA-N 0 0 435.506 -0.930 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cc1OC ZINC000509571859 1072551033 /nfs/dbraw/zinc/55/10/33/1072551033.db2.gz UVIRGZYIYXJOOJ-UHFFFAOYSA-N 0 0 428.445 -0.035 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C(C)(C)C)[C@@H]2c2cnn(C)c2)CC1 ZINC000509574072 1072034355 /nfs/dbraw/zinc/03/43/55/1072034355.db2.gz IHCDPINLPSJSNW-GDBMZVCRSA-N 0 0 426.543 -0.283 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C(C)(C)C)[C@@H]2c2cnn(C)c2)CC1 ZINC000509574073 1072034574 /nfs/dbraw/zinc/03/45/74/1072034574.db2.gz IHCDPINLPSJSNW-GOEBONIOSA-N 0 0 426.543 -0.283 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C(C)(C)C)[C@H]2c2cnn(C)c2)CC1 ZINC000509574074 1072034430 /nfs/dbraw/zinc/03/44/30/1072034430.db2.gz IHCDPINLPSJSNW-HOCLYGCPSA-N 0 0 426.543 -0.283 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C(C)(C)C)[C@H]2c2cnn(C)c2)CC1 ZINC000509574075 1072034535 /nfs/dbraw/zinc/03/45/35/1072034535.db2.gz IHCDPINLPSJSNW-ZBFHGGJFSA-N 0 0 426.543 -0.283 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000509576195 1072034581 /nfs/dbraw/zinc/03/45/81/1072034581.db2.gz UAEJWKLQVNVGTP-UHFFFAOYSA-N 0 0 433.450 -0.690 20 0 IBADRN CCNC(=O)COc1ccc(CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000509581665 1072036116 /nfs/dbraw/zinc/03/61/16/1072036116.db2.gz BZCWJWYUIQYTBJ-HNNXBMFYSA-N 0 0 427.523 -0.055 20 0 IBADRN CCNC(=O)COc1ccc(CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000509581666 1072036102 /nfs/dbraw/zinc/03/61/02/1072036102.db2.gz BZCWJWYUIQYTBJ-OAHLLOKOSA-N 0 0 427.523 -0.055 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000509581978 1072036008 /nfs/dbraw/zinc/03/60/08/1072036008.db2.gz DKTKPQFXVLNOPM-INIZCTEOSA-N 0 0 445.563 -0.557 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000509581981 1072036020 /nfs/dbraw/zinc/03/60/20/1072036020.db2.gz DKTKPQFXVLNOPM-MRXNPFEDSA-N 0 0 445.563 -0.557 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N=c3cc[nH]cc3)cc2)CC1 ZINC000509584508 1072035554 /nfs/dbraw/zinc/03/55/54/1072035554.db2.gz CUZXHWCEEXQIIF-UHFFFAOYSA-N 0 0 439.519 -0.474 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)NC(C)(C)C)c2)CC1 ZINC000509586461 1072035442 /nfs/dbraw/zinc/03/54/42/1072035442.db2.gz IUPRSLODELAJOG-UHFFFAOYSA-N 0 0 448.567 -0.006 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000509586775 1072035416 /nfs/dbraw/zinc/03/54/16/1072035416.db2.gz JISJHGLREJFBDW-UHFFFAOYSA-N 0 0 426.467 -0.758 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)cc(OC)c1OCC(N)=O ZINC000509588993 1072035393 /nfs/dbraw/zinc/03/53/93/1072035393.db2.gz RGRPEZSUWYUGLI-UHFFFAOYSA-N 0 0 434.493 -0.166 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)NC)CC2)cc1OCC ZINC000509589468 1072035567 /nfs/dbraw/zinc/03/55/67/1072035567.db2.gz WLTZZOHPZYFKCK-UHFFFAOYSA-N 0 0 428.511 -0.178 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3ccc(F)cc3)C2)CC1 ZINC000509592259 1072035470 /nfs/dbraw/zinc/03/54/70/1072035470.db2.gz NIDGVQZCMCOKMS-AWEZNQCLSA-N 0 0 448.542 -0.165 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3ccc(F)cc3)C2)CC1 ZINC000509592261 1072035923 /nfs/dbraw/zinc/03/59/23/1072035923.db2.gz NIDGVQZCMCOKMS-CQSZACIVSA-N 0 0 448.542 -0.165 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509592560 1072035507 /nfs/dbraw/zinc/03/55/07/1072035507.db2.gz OPQCVBVLODKIIJ-QWHCGFSZSA-N 0 0 448.519 -0.556 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509592561 1072035602 /nfs/dbraw/zinc/03/56/02/1072035602.db2.gz OPQCVBVLODKIIJ-STQMWFEESA-N 0 0 448.519 -0.556 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)c(=O)n(C)c1=O ZINC000509599181 1072085789 /nfs/dbraw/zinc/08/57/89/1072085789.db2.gz ALVPLVNSFPBPFU-LLVKDONJSA-N 0 0 448.548 -0.865 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)c(=O)n(C)c1=O ZINC000509599182 1072085621 /nfs/dbraw/zinc/08/56/21/1072085621.db2.gz ALVPLVNSFPBPFU-NSHDSACASA-N 0 0 448.548 -0.865 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509603203 1072085578 /nfs/dbraw/zinc/08/55/78/1072085578.db2.gz KOTPKCQLILMXHU-AWEZNQCLSA-N 0 0 432.520 -0.441 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509603204 1072085666 /nfs/dbraw/zinc/08/56/66/1072085666.db2.gz KOTPKCQLILMXHU-CQSZACIVSA-N 0 0 432.520 -0.441 20 0 IBADRN Cc1c(C(=O)NC[C@H]2CS(=O)(=O)CCO2)cc(Br)cc1S(N)(=O)=O ZINC000509604926 1072085728 /nfs/dbraw/zinc/08/57/28/1072085728.db2.gz XETNYOYIMFYKDF-JTQLQIEISA-N 0 0 441.325 -0.052 20 0 IBADRN Cc1c(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc(Br)cc1S(N)(=O)=O ZINC000509604927 1072085958 /nfs/dbraw/zinc/08/59/58/1072085958.db2.gz XETNYOYIMFYKDF-SNVBAGLBSA-N 0 0 441.325 -0.052 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1OC ZINC000509605239 1072085589 /nfs/dbraw/zinc/08/55/89/1072085589.db2.gz PDQRKWPQCLKYNH-GFCCVEGCSA-N 0 0 436.508 -0.698 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1OC ZINC000509605240 1072085649 /nfs/dbraw/zinc/08/56/49/1072085649.db2.gz PDQRKWPQCLKYNH-LBPRGKRZSA-N 0 0 436.508 -0.698 20 0 IBADRN CCOC(=O)c1cnn(C2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1C ZINC000509607281 1072085950 /nfs/dbraw/zinc/08/59/50/1072085950.db2.gz WUZLMZSQQIDFNK-UHFFFAOYSA-N 0 0 434.540 -0.020 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509610047 1072086600 /nfs/dbraw/zinc/08/66/00/1072086600.db2.gz UOUOPEDJDMFGLR-INIZCTEOSA-N 0 0 446.547 -0.430 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509610050 1072086407 /nfs/dbraw/zinc/08/64/07/1072086407.db2.gz UOUOPEDJDMFGLR-MRXNPFEDSA-N 0 0 446.547 -0.430 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)c1 ZINC000509610445 1072086197 /nfs/dbraw/zinc/08/61/97/1072086197.db2.gz WDJQPXPBQIAASF-KRWDZBQOSA-N 0 0 445.563 -0.623 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)c1 ZINC000509610446 1072085981 /nfs/dbraw/zinc/08/59/81/1072085981.db2.gz WDJQPXPBQIAASF-QGZVFWFLSA-N 0 0 445.563 -0.623 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC[C@H]3CS(=O)(=O)CCO3)n(C)c2)C1 ZINC000509614227 1072286417 /nfs/dbraw/zinc/28/64/17/1072286417.db2.gz BNMLNOQAICYLEN-KBPBESRZSA-N 0 0 433.552 -0.011 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC[C@H]3CS(=O)(=O)CCO3)n(C)c2)C1 ZINC000509614230 1072286467 /nfs/dbraw/zinc/28/64/67/1072286467.db2.gz BNMLNOQAICYLEN-KGLIPLIRSA-N 0 0 433.552 -0.011 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)n(C)c2)C1 ZINC000509614232 1072286476 /nfs/dbraw/zinc/28/64/76/1072286476.db2.gz BNMLNOQAICYLEN-UONOGXRCSA-N 0 0 433.552 -0.011 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)n(C)c2)C1 ZINC000509614234 1072286456 /nfs/dbraw/zinc/28/64/56/1072286456.db2.gz BNMLNOQAICYLEN-ZIAGYGMSSA-N 0 0 433.552 -0.011 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509619416 1072511071 /nfs/dbraw/zinc/51/10/71/1072511071.db2.gz FWWIDXGJAVZVOM-CYBMUJFWSA-N 0 0 448.519 -0.554 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509619418 1072511097 /nfs/dbraw/zinc/51/10/97/1072511097.db2.gz FWWIDXGJAVZVOM-ZDUSSCGKSA-N 0 0 448.519 -0.554 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000509620984 1072520100 /nfs/dbraw/zinc/52/01/00/1072520100.db2.gz KXXDOMOTZVIQBW-CABCVRRESA-N 0 0 432.520 -0.313 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000509620985 1072520105 /nfs/dbraw/zinc/52/01/05/1072520105.db2.gz KXXDOMOTZVIQBW-GJZGRUSLSA-N 0 0 432.520 -0.313 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000509620986 1072520154 /nfs/dbraw/zinc/52/01/54/1072520154.db2.gz KXXDOMOTZVIQBW-HUUCEWRRSA-N 0 0 432.520 -0.313 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000509620987 1072520112 /nfs/dbraw/zinc/52/01/12/1072520112.db2.gz KXXDOMOTZVIQBW-LSDHHAIUSA-N 0 0 432.520 -0.313 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509623054 1072520034 /nfs/dbraw/zinc/52/00/34/1072520034.db2.gz DHRATRRRSQPRSK-GFCCVEGCSA-N 0 0 434.492 -0.944 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509623057 1072520070 /nfs/dbraw/zinc/52/00/70/1072520070.db2.gz DHRATRRRSQPRSK-LBPRGKRZSA-N 0 0 434.492 -0.944 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CCS(=O)(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000509628952 1072535458 /nfs/dbraw/zinc/53/54/58/1072535458.db2.gz OYJGDCGZJZNWAE-INIZCTEOSA-N 0 0 440.570 -0.350 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CCS(=O)(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000509628953 1072535436 /nfs/dbraw/zinc/53/54/36/1072535436.db2.gz OYJGDCGZJZNWAE-MRXNPFEDSA-N 0 0 440.570 -0.350 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000509630482 1072607052 /nfs/dbraw/zinc/60/70/52/1072607052.db2.gz AACZMYNHVWQQCE-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN CCOC(=O)c1cnn(C2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c1C ZINC000509630877 1072616644 /nfs/dbraw/zinc/61/66/44/1072616644.db2.gz DFMXZSURHUQHHJ-UHFFFAOYSA-N 0 0 439.494 -0.209 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000509633624 1072761940 /nfs/dbraw/zinc/76/19/40/1072761940.db2.gz APTHWXFVLITJDS-GFCCVEGCSA-N 0 0 445.610 -0.383 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000509633630 1072761908 /nfs/dbraw/zinc/76/19/08/1072761908.db2.gz APTHWXFVLITJDS-LBPRGKRZSA-N 0 0 445.610 -0.383 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@H]2CS(=O)(=O)CCO2)C(C)C)cc1 ZINC000509634112 1072761896 /nfs/dbraw/zinc/76/18/96/1072761896.db2.gz BOLQMUBPLGNYMN-HOCLYGCPSA-N 0 0 434.536 -0.072 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CS(=O)(=O)CCO2)C(C)C)cc1 ZINC000509634114 1072761878 /nfs/dbraw/zinc/76/18/78/1072761878.db2.gz BOLQMUBPLGNYMN-ZBFHGGJFSA-N 0 0 434.536 -0.072 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)c4nccnc4C(N)=O)CC3)C2=O)n(C)n1 ZINC000509634145 1072761663 /nfs/dbraw/zinc/76/16/63/1072761663.db2.gz MDVKQTYCZKGXFN-AWEZNQCLSA-N 0 0 426.481 -0.429 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)c4nccnc4C(N)=O)CC3)C2=O)n(C)n1 ZINC000509634148 1072761808 /nfs/dbraw/zinc/76/18/08/1072761808.db2.gz MDVKQTYCZKGXFN-CQSZACIVSA-N 0 0 426.481 -0.429 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000509634440 1072761677 /nfs/dbraw/zinc/76/16/77/1072761677.db2.gz NHYJRBAENAXMNA-UHFFFAOYSA-N 0 0 435.506 -0.769 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ccc(Br)cc2)c(=O)n(C)c1=O ZINC000509637522 1072762279 /nfs/dbraw/zinc/76/22/79/1072762279.db2.gz HNORYQHZILJMAK-UHFFFAOYSA-N 0 0 431.268 -0.237 20 0 IBADRN O=C1[C@@H](Nc2ncc(Cl)c(N[C@H]3CCCN(CCO)C3=O)n2)CCCN1CCO ZINC000509637887 1072762234 /nfs/dbraw/zinc/76/22/34/1072762234.db2.gz RJWXIWHXPIHFIL-KBPBESRZSA-N 0 0 426.905 -0.080 20 0 IBADRN O=C1[C@H](Nc2nc(N[C@H]3CCCN(CCO)C3=O)ncc2Cl)CCCN1CCO ZINC000509637892 1072762402 /nfs/dbraw/zinc/76/24/02/1072762402.db2.gz RJWXIWHXPIHFIL-KGLIPLIRSA-N 0 0 426.905 -0.080 20 0 IBADRN O=C1[C@H](Nc2ncc(Cl)c(N[C@H]3CCCN(CCO)C3=O)n2)CCCN1CCO ZINC000509637893 1072762414 /nfs/dbraw/zinc/76/24/14/1072762414.db2.gz RJWXIWHXPIHFIL-UONOGXRCSA-N 0 0 426.905 -0.080 20 0 IBADRN O=C1[C@H](Nc2ncc(Cl)c(N[C@@H]3CCCN(CCO)C3=O)n2)CCCN1CCO ZINC000509637896 1072762143 /nfs/dbraw/zinc/76/21/43/1072762143.db2.gz RJWXIWHXPIHFIL-ZIAGYGMSSA-N 0 0 426.905 -0.080 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@@H](NC(=O)C4CC4)[C@@H](C)O)CC3)C2=O)n(C)n1 ZINC000509639328 1072762967 /nfs/dbraw/zinc/76/29/67/1072762967.db2.gz UVHZZIXWYMEGEA-AYBZRNKSSA-N 0 0 446.552 -0.356 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@H](NC(=O)C4CC4)[C@@H](C)O)CC3)C2=O)n(C)n1 ZINC000509639340 1072762890 /nfs/dbraw/zinc/76/28/90/1072762890.db2.gz UVHZZIXWYMEGEA-HHXXYDBFSA-N 0 0 446.552 -0.356 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@@H](NC(=O)C4CC4)[C@H](C)O)CC3)C2=O)n(C)n1 ZINC000509639343 1072763045 /nfs/dbraw/zinc/76/30/45/1072763045.db2.gz UVHZZIXWYMEGEA-IEZWGBDMSA-N 0 0 446.552 -0.356 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@H](NC(=O)C4CC4)[C@H](C)O)CC3)C2=O)n(C)n1 ZINC000509639347 1072762912 /nfs/dbraw/zinc/76/29/12/1072762912.db2.gz UVHZZIXWYMEGEA-VDZJLULYSA-N 0 0 446.552 -0.356 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2=O)cc(OC)c1OC ZINC000509639504 1072762203 /nfs/dbraw/zinc/76/22/03/1072762203.db2.gz KQACIXYAWCHBJC-GXTWGEPZSA-N 0 0 442.490 -0.005 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2=O)cc(OC)c1OC ZINC000509639509 1072762219 /nfs/dbraw/zinc/76/22/19/1072762219.db2.gz KQACIXYAWCHBJC-JSGCOSHPSA-N 0 0 442.490 -0.005 20 0 IBADRN COc1cc(N2C[C@H](C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2=O)cc(OC)c1OC ZINC000509639512 1072762315 /nfs/dbraw/zinc/76/23/15/1072762315.db2.gz KQACIXYAWCHBJC-OCCSQVGLSA-N 0 0 442.490 -0.005 20 0 IBADRN COc1cc(N2C[C@H](C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2=O)cc(OC)c1OC ZINC000509639516 1072762702 /nfs/dbraw/zinc/76/27/02/1072762702.db2.gz KQACIXYAWCHBJC-TZMCWYRMSA-N 0 0 442.490 -0.005 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)CC)cc1 ZINC000509641417 1072762729 /nfs/dbraw/zinc/76/27/29/1072762729.db2.gz ZLOPUVMMYMCVFX-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)nn1 ZINC000509641665 1072763394 /nfs/dbraw/zinc/76/33/94/1072763394.db2.gz YEHFDBHVRSWRMG-INIZCTEOSA-N 0 0 444.496 -0.554 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)nn1 ZINC000509641673 1072763513 /nfs/dbraw/zinc/76/35/13/1072763513.db2.gz YEHFDBHVRSWRMG-MRXNPFEDSA-N 0 0 444.496 -0.554 20 0 IBADRN CCc1ccc(C(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000509641815 1072763024 /nfs/dbraw/zinc/76/30/24/1072763024.db2.gz QPSINULFHJUJHM-INIZCTEOSA-N 0 0 446.547 -0.187 20 0 IBADRN CCc1ccc(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000509641816 1072763462 /nfs/dbraw/zinc/76/34/62/1072763462.db2.gz QPSINULFHJUJHM-MRXNPFEDSA-N 0 0 446.547 -0.187 20 0 IBADRN O=S(=O)(NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1)N1CCS(=O)(=O)CC1 ZINC000509643255 1072763308 /nfs/dbraw/zinc/76/33/08/1072763308.db2.gz WBEPZUIGLUQCOZ-GFCCVEGCSA-N 0 0 443.594 -0.677 20 0 IBADRN O=S(=O)(NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1)N1CCS(=O)(=O)CC1 ZINC000509643262 1072763323 /nfs/dbraw/zinc/76/33/23/1072763323.db2.gz WBEPZUIGLUQCOZ-LBPRGKRZSA-N 0 0 443.594 -0.677 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC000509643973 1072763504 /nfs/dbraw/zinc/76/35/04/1072763504.db2.gz ZAOFVDZFIBWEBH-HNNXBMFYSA-N 0 0 425.488 -0.152 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC000509643975 1072763449 /nfs/dbraw/zinc/76/34/49/1072763449.db2.gz ZAOFVDZFIBWEBH-OAHLLOKOSA-N 0 0 425.488 -0.152 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2C1=O)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509644057 1072763343 /nfs/dbraw/zinc/76/33/43/1072763343.db2.gz QBFYEINGMDIRTJ-JTQLQIEISA-N 0 0 431.264 -0.025 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2C1=O)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509644058 1072763419 /nfs/dbraw/zinc/76/34/19/1072763419.db2.gz QBFYEINGMDIRTJ-SNVBAGLBSA-N 0 0 431.264 -0.025 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000509645376 1072763433 /nfs/dbraw/zinc/76/34/33/1072763433.db2.gz YPPHWWQCIIPRQP-UHFFFAOYSA-N 0 0 431.493 -0.100 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000509649389 1072761350 /nfs/dbraw/zinc/76/13/50/1072761350.db2.gz DZSZKLRSKAMFAR-CABCVRRESA-N 0 0 432.520 -0.313 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000509649390 1072761363 /nfs/dbraw/zinc/76/13/63/1072761363.db2.gz DZSZKLRSKAMFAR-GJZGRUSLSA-N 0 0 432.520 -0.313 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000509649391 1072761188 /nfs/dbraw/zinc/76/11/88/1072761188.db2.gz DZSZKLRSKAMFAR-HUUCEWRRSA-N 0 0 432.520 -0.313 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000509649392 1072761265 /nfs/dbraw/zinc/76/12/65/1072761265.db2.gz DZSZKLRSKAMFAR-LSDHHAIUSA-N 0 0 432.520 -0.313 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c1 ZINC000509651095 1072761888 /nfs/dbraw/zinc/76/18/88/1072761888.db2.gz HDSKZBVJKVVODX-CHWSQXEVSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1 ZINC000509651096 1072761834 /nfs/dbraw/zinc/76/18/34/1072761834.db2.gz HDSKZBVJKVVODX-OLZOCXBDSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c1 ZINC000509651097 1072761844 /nfs/dbraw/zinc/76/18/44/1072761844.db2.gz HDSKZBVJKVVODX-QWHCGFSZSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1 ZINC000509651098 1072761705 /nfs/dbraw/zinc/76/17/05/1072761705.db2.gz HDSKZBVJKVVODX-STQMWFEESA-N 0 0 432.520 -0.001 20 0 IBADRN Cc1ccc(C(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000509651900 1072761933 /nfs/dbraw/zinc/76/19/33/1072761933.db2.gz LWUPWGKDEXLQCH-HNNXBMFYSA-N 0 0 432.520 -0.441 20 0 IBADRN Cc1ccc(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000509651904 1072761924 /nfs/dbraw/zinc/76/19/24/1072761924.db2.gz LWUPWGKDEXLQCH-OAHLLOKOSA-N 0 0 432.520 -0.441 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1 ZINC000509658289 1072785158 /nfs/dbraw/zinc/78/51/58/1072785158.db2.gz NQXBXNYJTLXMHB-AWEZNQCLSA-N 0 0 433.508 -0.805 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1 ZINC000509658290 1072785146 /nfs/dbraw/zinc/78/51/46/1072785146.db2.gz NQXBXNYJTLXMHB-CQSZACIVSA-N 0 0 433.508 -0.805 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509658879 1072785204 /nfs/dbraw/zinc/78/52/04/1072785204.db2.gz QKBPGNOZYXNHNF-CYBMUJFWSA-N 0 0 448.519 -0.741 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509658880 1072785198 /nfs/dbraw/zinc/78/51/98/1072785198.db2.gz QKBPGNOZYXNHNF-ZDUSSCGKSA-N 0 0 448.519 -0.741 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000509659435 1072785120 /nfs/dbraw/zinc/78/51/20/1072785120.db2.gz SYKNZFLVSLTCPP-HNNXBMFYSA-N 0 0 446.547 -0.048 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000509659436 1072785005 /nfs/dbraw/zinc/78/50/05/1072785005.db2.gz SYKNZFLVSLTCPP-OAHLLOKOSA-N 0 0 446.547 -0.048 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)CCn1cnc3c1c(=O)n(C)c(=O)n3C)CCC2 ZINC000509666009 1072900969 /nfs/dbraw/zinc/90/09/69/1072900969.db2.gz NQTYYWGLHRXRLH-AWEZNQCLSA-N 0 0 429.481 -0.140 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)CCn1cnc3c1c(=O)n(C)c(=O)n3C)CCC2 ZINC000509666013 1072900995 /nfs/dbraw/zinc/90/09/95/1072900995.db2.gz NQTYYWGLHRXRLH-CQSZACIVSA-N 0 0 429.481 -0.140 20 0 IBADRN COc1cc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)ccc1OCC(N)=O ZINC000509667967 1072901074 /nfs/dbraw/zinc/90/10/74/1072901074.db2.gz CILVZXVYTIAHPK-UHFFFAOYSA-N 0 0 434.478 -0.193 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)cc1 ZINC000509669895 1072900757 /nfs/dbraw/zinc/90/07/57/1072900757.db2.gz YQWDSBZDNAJOFI-INIZCTEOSA-N 0 0 445.563 -0.769 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)cc1 ZINC000509669914 1072900747 /nfs/dbraw/zinc/90/07/47/1072900747.db2.gz YQWDSBZDNAJOFI-MRXNPFEDSA-N 0 0 445.563 -0.769 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@H]2CS(=O)(=O)CCO2)C1 ZINC000509672531 1072900761 /nfs/dbraw/zinc/90/07/61/1072900761.db2.gz KLJIMCACCGWVRK-KBPBESRZSA-N 0 0 434.540 -0.643 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@H]2CS(=O)(=O)CCO2)C1 ZINC000509672534 1072900709 /nfs/dbraw/zinc/90/07/09/1072900709.db2.gz KLJIMCACCGWVRK-KGLIPLIRSA-N 0 0 434.540 -0.643 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@@H]2CS(=O)(=O)CCO2)C1 ZINC000509672536 1072900655 /nfs/dbraw/zinc/90/06/55/1072900655.db2.gz KLJIMCACCGWVRK-UONOGXRCSA-N 0 0 434.540 -0.643 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@@H]2CS(=O)(=O)CCO2)C1 ZINC000509672540 1072901257 /nfs/dbraw/zinc/90/12/57/1072901257.db2.gz KLJIMCACCGWVRK-ZIAGYGMSSA-N 0 0 434.540 -0.643 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CCC2 ZINC000509676044 1072901095 /nfs/dbraw/zinc/90/10/95/1072901095.db2.gz RPIJFKDKOZZMCG-HNNXBMFYSA-N 0 0 429.543 -0.143 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CCC2 ZINC000509676045 1072900949 /nfs/dbraw/zinc/90/09/49/1072900949.db2.gz RPIJFKDKOZZMCG-OAHLLOKOSA-N 0 0 429.543 -0.143 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H]3CCCS(=O)(=O)C3)cc2)CC1 ZINC000509684012 1072959861 /nfs/dbraw/zinc/95/98/61/1072959861.db2.gz HLRZGGXGBSHZID-INIZCTEOSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H]3CCCS(=O)(=O)C3)cc2)CC1 ZINC000509684015 1072959944 /nfs/dbraw/zinc/95/99/44/1072959944.db2.gz HLRZGGXGBSHZID-MRXNPFEDSA-N 0 0 443.547 -0.154 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)CCC2 ZINC000509684026 1072960123 /nfs/dbraw/zinc/96/01/23/1072960123.db2.gz HNOKPEBTCGFKKX-GFCCVEGCSA-N 0 0 425.467 -0.307 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)CCC2 ZINC000509684029 1072960194 /nfs/dbraw/zinc/96/01/94/1072960194.db2.gz HNOKPEBTCGFKKX-LBPRGKRZSA-N 0 0 425.467 -0.307 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)Nc2nncs2)CC1)S(=O)(=O)c1ccccc1 ZINC000509684195 1072959926 /nfs/dbraw/zinc/95/99/26/1072959926.db2.gz WINYLKMUHZVBKN-UHFFFAOYSA-N 0 0 438.535 -0.059 20 0 IBADRN O=C(CN1CCN(C(=O)CSCC(=O)N2CCOCC2)CC1)Nc1nncs1 ZINC000509684902 1072959370 /nfs/dbraw/zinc/95/93/70/1072959370.db2.gz XUPRCCJTQYQZRB-UHFFFAOYSA-N 0 0 428.540 -0.787 20 0 IBADRN O=C(CN1CCN(C(=O)CCNS(=O)(=O)c2ccccc2)CC1)Nc1nncs1 ZINC000509685181 1072960183 /nfs/dbraw/zinc/96/01/83/1072960183.db2.gz KGISRYSAAAHLNM-UHFFFAOYSA-N 0 0 438.535 -0.011 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000509688234 1072959960 /nfs/dbraw/zinc/95/99/60/1072959960.db2.gz SNPDKKXVLLJEFP-UHFFFAOYSA-N 0 0 440.507 -0.409 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)Nc2nncs2)CC1)S(=O)(=O)c1cccnc1 ZINC000509691393 1072965280 /nfs/dbraw/zinc/96/52/80/1072965280.db2.gz AVKLQHQTEYENEM-UHFFFAOYSA-N 0 0 439.523 -0.664 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c2ccccn21 ZINC000509692907 1072965728 /nfs/dbraw/zinc/96/57/28/1072965728.db2.gz GSYVTMMRLQUYSE-UHFFFAOYSA-N 0 0 449.518 -0.014 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC[C@H]3CS(=O)(=O)CCO3)c2)CC1 ZINC000509694836 1072965658 /nfs/dbraw/zinc/96/56/58/1072965658.db2.gz BZRVXMAZZZEXFF-HNNXBMFYSA-N 0 0 446.551 -0.442 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC[C@@H]3CS(=O)(=O)CCO3)c2)CC1 ZINC000509694837 1072965821 /nfs/dbraw/zinc/96/58/21/1072965821.db2.gz BZRVXMAZZZEXFF-OAHLLOKOSA-N 0 0 446.551 -0.442 20 0 IBADRN O=C(CN1CCN(C(=O)CNS(=O)(=O)c2cccs2)CC1)Nc1nncs1 ZINC000509695785 1072965751 /nfs/dbraw/zinc/96/57/51/1072965751.db2.gz OFESZLOTOXZHRS-UHFFFAOYSA-N 0 0 430.537 -0.339 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)CC1 ZINC000509696212 1072965770 /nfs/dbraw/zinc/96/57/70/1072965770.db2.gz OOQHLNLRNHCGLZ-UHFFFAOYSA-N 0 0 430.556 -0.318 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2c(=O)[nH]c3ccccc3c2=O)CC1)Nc1nncs1 ZINC000509698020 1072965605 /nfs/dbraw/zinc/96/56/05/1072965605.db2.gz SCLVNQLPHOIQOX-UHFFFAOYSA-N 0 0 429.462 -0.264 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)Nc2nncs2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000509698611 1072965803 /nfs/dbraw/zinc/96/58/03/1072965803.db2.gz UCDRUEYGDJISCP-GFCCVEGCSA-N 0 0 435.510 -0.126 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)Nc2nncs2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000509698614 1072965816 /nfs/dbraw/zinc/96/58/16/1072965816.db2.gz UCDRUEYGDJISCP-LBPRGKRZSA-N 0 0 435.510 -0.126 20 0 IBADRN C[C@@H](CN(C(=O)CN(C)S(C)(=O)=O)c1ccccc1)NC(=O)CN(C)S(C)(=O)=O ZINC000509698622 1072965742 /nfs/dbraw/zinc/96/57/42/1072965742.db2.gz UEXDANJHCHNBHD-AWEZNQCLSA-N 0 0 448.567 -0.693 20 0 IBADRN C[C@H](CN(C(=O)CN(C)S(C)(=O)=O)c1ccccc1)NC(=O)CN(C)S(C)(=O)=O ZINC000509698623 1072965618 /nfs/dbraw/zinc/96/56/18/1072965618.db2.gz UEXDANJHCHNBHD-CQSZACIVSA-N 0 0 448.567 -0.693 20 0 IBADRN COCCN1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1=O ZINC000509699843 1072965161 /nfs/dbraw/zinc/96/51/61/1072965161.db2.gz RQBFBHKQWBZFSY-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN O=C(CN1CCN(C(=O)CCn2nnc3ccccc3c2=O)CC1)Nc1nncs1 ZINC000509700060 1072966283 /nfs/dbraw/zinc/96/62/83/1072966283.db2.gz JXXONQROKPOBJG-UHFFFAOYSA-N 0 0 428.478 -0.184 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)c1OC ZINC000509710226 1072425726 /nfs/dbraw/zinc/42/57/26/1072425726.db2.gz GWBXDFXTIAENOY-UHFFFAOYSA-N 0 0 442.947 -0.181 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000509710647 1072425013 /nfs/dbraw/zinc/42/50/13/1072425013.db2.gz FZTZQGXETBGVEK-MRXNPFEDSA-N 0 0 437.522 -0.518 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000509712058 1072425601 /nfs/dbraw/zinc/42/56/01/1072425601.db2.gz JWLJBWGERGEAOH-CHWSQXEVSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000509712062 1072425653 /nfs/dbraw/zinc/42/56/53/1072425653.db2.gz JWLJBWGERGEAOH-OLZOCXBDSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000509712066 1072425683 /nfs/dbraw/zinc/42/56/83/1072425683.db2.gz JWLJBWGERGEAOH-QWHCGFSZSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000509712069 1072425702 /nfs/dbraw/zinc/42/57/02/1072425702.db2.gz JWLJBWGERGEAOH-STQMWFEESA-N 0 0 432.520 -0.001 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000509714019 1072426164 /nfs/dbraw/zinc/42/61/64/1072426164.db2.gz PQEDZVLOWSMUAJ-HNNXBMFYSA-N 0 0 448.567 -0.308 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000509714020 1072426203 /nfs/dbraw/zinc/42/62/03/1072426203.db2.gz PQEDZVLOWSMUAJ-OAHLLOKOSA-N 0 0 448.567 -0.308 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCN(C)CCCOC)cn1 ZINC000509717651 1072426177 /nfs/dbraw/zinc/42/61/77/1072426177.db2.gz VYWGTYFSBZBGRC-INIZCTEOSA-N 0 0 429.543 -0.714 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCN(C)CCCOC)cn1 ZINC000509717655 1072426207 /nfs/dbraw/zinc/42/62/07/1072426207.db2.gz VYWGTYFSBZBGRC-MRXNPFEDSA-N 0 0 429.543 -0.714 20 0 IBADRN CS(=O)(=O)c1cccc(Oc2ncccc2CNC(=O)C(=O)N2CCNC(=O)C2)c1 ZINC000509724200 1071529610 /nfs/dbraw/zinc/52/96/10/1071529610.db2.gz JZHOLSUEZIOTRN-UHFFFAOYSA-N 0 0 432.458 -0.148 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1cccc(N2CCCC2)c1 ZINC000509725313 1071531794 /nfs/dbraw/zinc/53/17/94/1071531794.db2.gz DMPXLHDNLNRHNM-CYBMUJFWSA-N 0 0 435.506 -0.010 20 0 IBADRN C[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1cccc(N2CCCC2)c1 ZINC000509725314 1071531826 /nfs/dbraw/zinc/53/18/26/1071531826.db2.gz DMPXLHDNLNRHNM-ZDUSSCGKSA-N 0 0 435.506 -0.010 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000509729103 1071395889 /nfs/dbraw/zinc/39/58/89/1071395889.db2.gz JBIHMWWQYDAELO-UHFFFAOYSA-N 0 0 442.313 -0.002 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000509732507 1071470444 /nfs/dbraw/zinc/47/04/44/1071470444.db2.gz ZDNBJVFQCLZESR-UHFFFAOYSA-N 0 0 445.476 -0.112 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000509734929 1071485382 /nfs/dbraw/zinc/48/53/82/1071485382.db2.gz ZYVXEYTXLDJVKC-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000509738747 1071485262 /nfs/dbraw/zinc/48/52/62/1071485262.db2.gz DCKMSLNUKGCDPK-GFCCVEGCSA-N 0 0 432.524 -0.537 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)[C@H](C)C1 ZINC000509738808 1071485283 /nfs/dbraw/zinc/48/52/83/1071485283.db2.gz DMIQCUSHMPIORF-CQSZACIVSA-N 0 0 440.522 -0.026 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)[C@H](C)C1 ZINC000509739178 1071484725 /nfs/dbraw/zinc/48/47/25/1071484725.db2.gz GPRPKCBOJYTSBV-CQSZACIVSA-N 0 0 440.522 -0.026 20 0 IBADRN C[C@@](CO)(CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)c1ccccc1 ZINC000509739360 1071484593 /nfs/dbraw/zinc/48/45/93/1071484593.db2.gz HOEDSMFQHWFKJR-NRFANRHFSA-N 0 0 429.477 -0.253 20 0 IBADRN C[C@](CO)(CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)c1ccccc1 ZINC000509739361 1071484614 /nfs/dbraw/zinc/48/46/14/1071484614.db2.gz HOEDSMFQHWFKJR-OAQYLSRUSA-N 0 0 429.477 -0.253 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000509743442 1071500042 /nfs/dbraw/zinc/50/00/42/1071500042.db2.gz ATROYEYYLRINLI-UHFFFAOYSA-N 0 0 431.533 -0.695 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)[C@H](C)C1 ZINC000509744053 1071500065 /nfs/dbraw/zinc/50/00/65/1071500065.db2.gz QWSZRVARWCXSFW-LLVKDONJSA-N 0 0 425.446 -0.378 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)[C@H](C)C1 ZINC000509744103 1071500103 /nfs/dbraw/zinc/50/01/03/1071500103.db2.gz RBICWBJXXZLCIV-GFCCVEGCSA-N 0 0 442.494 -0.620 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000509744161 1071500094 /nfs/dbraw/zinc/50/00/94/1071500094.db2.gz DFAOVYBRGOFFFS-UHFFFAOYSA-N 0 0 445.476 -0.066 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2)[C@H](C)C1 ZINC000509745598 1071500148 /nfs/dbraw/zinc/50/01/48/1071500148.db2.gz WXJMNFOEWBXCKB-CQSZACIVSA-N 0 0 425.511 -0.261 20 0 IBADRN CNC(=O)CCCN(C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000509749756 1071511464 /nfs/dbraw/zinc/51/14/64/1071511464.db2.gz FPJZQTCVXXFCNY-UHFFFAOYSA-N 0 0 426.543 -0.299 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509750117 1071511408 /nfs/dbraw/zinc/51/14/08/1071511408.db2.gz JWYIKJLTCIUPQI-GFCCVEGCSA-N 0 0 434.540 -0.062 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509750122 1071511486 /nfs/dbraw/zinc/51/14/86/1071511486.db2.gz JWYIKJLTCIUPQI-LBPRGKRZSA-N 0 0 434.540 -0.062 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000509751002 1071511899 /nfs/dbraw/zinc/51/18/99/1071511899.db2.gz LSHPNKRPZVYKLS-UHFFFAOYSA-N 0 0 433.465 -0.210 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)C[C@H](C)S1(=O)=O ZINC000509754022 1071512595 /nfs/dbraw/zinc/51/25/95/1071512595.db2.gz DHMBCWUBNPKMFX-AAEUAGOBSA-N 0 0 427.479 -0.078 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)C[C@@H](C)S1(=O)=O ZINC000509754023 1071512751 /nfs/dbraw/zinc/51/27/51/1071512751.db2.gz DHMBCWUBNPKMFX-DGCLKSJQSA-N 0 0 427.479 -0.078 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)C[C@H](C)S1(=O)=O ZINC000509754024 1071512705 /nfs/dbraw/zinc/51/27/05/1071512705.db2.gz DHMBCWUBNPKMFX-WCQYABFASA-N 0 0 427.479 -0.078 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)C[C@@H](C)S1(=O)=O ZINC000509754026 1071512641 /nfs/dbraw/zinc/51/26/41/1071512641.db2.gz DHMBCWUBNPKMFX-YPMHNXCESA-N 0 0 427.479 -0.078 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N(C)C)[C@H](C)C1 ZINC000509754480 1071512621 /nfs/dbraw/zinc/51/26/21/1071512621.db2.gz FSIKBWROXZUQSS-CYBMUJFWSA-N 0 0 446.551 -0.242 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)[C@H](C)C1 ZINC000509754851 1071513214 /nfs/dbraw/zinc/51/32/14/1071513214.db2.gz IDRLWSBUFFDACT-LLVKDONJSA-N 0 0 426.470 -0.068 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@@H](C)S1(=O)=O ZINC000509756501 1071514482 /nfs/dbraw/zinc/51/44/82/1071514482.db2.gz SNGJNKDBCNTASR-DOMZBBRYSA-N 0 0 438.506 -0.394 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@@H](C)S1(=O)=O ZINC000509756504 1071514561 /nfs/dbraw/zinc/51/45/61/1071514561.db2.gz SNGJNKDBCNTASR-IUODEOHRSA-N 0 0 438.506 -0.394 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@H](C)S1(=O)=O ZINC000509756506 1071514471 /nfs/dbraw/zinc/51/44/71/1071514471.db2.gz SNGJNKDBCNTASR-SWLSCSKDSA-N 0 0 438.506 -0.394 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@H](C)S1(=O)=O ZINC000509756508 1071514901 /nfs/dbraw/zinc/51/49/01/1071514901.db2.gz SNGJNKDBCNTASR-WFASDCNBSA-N 0 0 438.506 -0.394 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000509756556 1071515231 /nfs/dbraw/zinc/51/52/31/1071515231.db2.gz SGZYOUMKKFYSON-SNVBAGLBSA-N 0 0 436.487 -0.706 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)[C@H](C)C1 ZINC000509757274 1071514444 /nfs/dbraw/zinc/51/44/44/1071514444.db2.gz ANHRQKFGHZDIIC-CYBMUJFWSA-N 0 0 436.494 -0.608 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@@H](C)S1(=O)=O ZINC000509758183 1071515058 /nfs/dbraw/zinc/51/50/58/1071515058.db2.gz HFEKSHOSEGFDLM-DOMZBBRYSA-N 0 0 438.506 -0.475 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@@H](C)S1(=O)=O ZINC000509758184 1071514948 /nfs/dbraw/zinc/51/49/48/1071514948.db2.gz HFEKSHOSEGFDLM-IUODEOHRSA-N 0 0 438.506 -0.475 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@H](C)S1(=O)=O ZINC000509758185 1071514914 /nfs/dbraw/zinc/51/49/14/1071514914.db2.gz HFEKSHOSEGFDLM-SWLSCSKDSA-N 0 0 438.506 -0.475 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C[C@H](C)S1(=O)=O ZINC000509758186 1071515129 /nfs/dbraw/zinc/51/51/29/1071515129.db2.gz HFEKSHOSEGFDLM-WFASDCNBSA-N 0 0 438.506 -0.475 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)C[C@H]2C)c1=O ZINC000509759822 1071514887 /nfs/dbraw/zinc/51/48/87/1071514887.db2.gz QDJWMNQHDYLOSC-CYBMUJFWSA-N 0 0 442.494 -0.768 20 0 IBADRN CS(=O)(=O)N1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000509762872 1071549445 /nfs/dbraw/zinc/54/94/45/1071549445.db2.gz NZESZDFDXRINDZ-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN COCCN1CCN(C(=O)c2ccc(C(=O)N3CCN(CCOC)C(=O)C3)cc2)CC1=O ZINC000509766556 1071550497 /nfs/dbraw/zinc/55/04/97/1071550497.db2.gz PCDXXATWBZDOHL-UHFFFAOYSA-N 0 0 446.504 -0.452 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1 ZINC000509766633 1071550503 /nfs/dbraw/zinc/55/05/03/1071550503.db2.gz LOSBEGZZNKPJGL-AWEZNQCLSA-N 0 0 434.492 -0.977 20 0 IBADRN COCCN1CCN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CC1=O ZINC000509767445 1071550612 /nfs/dbraw/zinc/55/06/12/1071550612.db2.gz UOMWVQNVQCFJTN-UHFFFAOYSA-N 0 0 438.550 -0.236 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1 ZINC000509767586 1071550603 /nfs/dbraw/zinc/55/06/03/1071550603.db2.gz QRQQELOSHHNRAH-LBPRGKRZSA-N 0 0 435.476 -0.167 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)[C@H](C)C1 ZINC000509769152 1071550129 /nfs/dbraw/zinc/55/01/29/1071550129.db2.gz BKDFGPUWPNZFBH-OAHLLOKOSA-N 0 0 442.542 -0.964 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)[C@H](C)C1 ZINC000509770206 1071550062 /nfs/dbraw/zinc/55/00/62/1071550062.db2.gz ISQABEJJMALPRR-CYBMUJFWSA-N 0 0 446.551 -0.443 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H](C)S1(=O)=O ZINC000509771812 1071560082 /nfs/dbraw/zinc/56/00/82/1071560082.db2.gz OKLBHBVNWWNJTR-DZGCQCFKSA-N 0 0 441.510 -0.896 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H](C)S1(=O)=O ZINC000509771814 1071560113 /nfs/dbraw/zinc/56/01/13/1071560113.db2.gz OKLBHBVNWWNJTR-HIFRSBDPSA-N 0 0 441.510 -0.896 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H](C)S1(=O)=O ZINC000509771816 1071560752 /nfs/dbraw/zinc/56/07/52/1071560752.db2.gz OKLBHBVNWWNJTR-UKRRQHHQSA-N 0 0 441.510 -0.896 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H](C)S1(=O)=O ZINC000509771818 1071560684 /nfs/dbraw/zinc/56/06/84/1071560684.db2.gz OKLBHBVNWWNJTR-ZFWWWQNUSA-N 0 0 441.510 -0.896 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)[C@H](C)C1 ZINC000509771892 1071560168 /nfs/dbraw/zinc/56/01/68/1071560168.db2.gz FGERBRGHOQOKSJ-CQSZACIVSA-N 0 0 425.511 -0.261 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)[C@H](C)C1 ZINC000509771911 1071560097 /nfs/dbraw/zinc/56/00/97/1071560097.db2.gz FHFDAMPONMVTDH-GFCCVEGCSA-N 0 0 446.551 -0.276 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2)[C@H](C)C1 ZINC000509772820 1071560760 /nfs/dbraw/zinc/56/07/60/1071560760.db2.gz RQKAVECYLOLGLS-CYBMUJFWSA-N 0 0 426.495 -0.368 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)[C@H](C)C1 ZINC000509773977 1071560779 /nfs/dbraw/zinc/56/07/79/1071560779.db2.gz UQHOCAJINYNAGR-GFCCVEGCSA-N 0 0 432.524 -0.584 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000509775831 1071560120 /nfs/dbraw/zinc/56/01/20/1071560120.db2.gz HPOABQBQHYCDTR-HNNXBMFYSA-N 0 0 448.567 -0.308 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000509775834 1071560105 /nfs/dbraw/zinc/56/01/05/1071560105.db2.gz HPOABQBQHYCDTR-OAHLLOKOSA-N 0 0 448.567 -0.308 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)C[C@H]2C)cc1S(N)(=O)=O ZINC000509776678 1071560075 /nfs/dbraw/zinc/56/00/75/1071560075.db2.gz OWRVZTYCKHUZIT-GFCCVEGCSA-N 0 0 446.551 -0.283 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)[C@H](C)C1 ZINC000509777026 1074354641 /nfs/dbraw/zinc/35/46/41/1074354641.db2.gz WZWLPHGHUHFFBH-OAHLLOKOSA-N 0 0 442.542 -0.964 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)[C@H](C)C1 ZINC000509777832 1071560131 /nfs/dbraw/zinc/56/01/31/1071560131.db2.gz SCZJGBSXIYJEPW-CYBMUJFWSA-N 0 0 446.551 -0.242 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000509777992 1071560062 /nfs/dbraw/zinc/56/00/62/1071560062.db2.gz RIXVKEOPNDLLSQ-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)[C@H](C)C1 ZINC000509780227 1071564285 /nfs/dbraw/zinc/56/42/85/1071564285.db2.gz ZEYVVGKCTPXQCE-CJNGLKHVSA-N 0 0 438.506 -0.591 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)[C@H](C)C1 ZINC000509780230 1071564188 /nfs/dbraw/zinc/56/41/88/1071564188.db2.gz ZEYVVGKCTPXQCE-CZUORRHYSA-N 0 0 438.506 -0.591 20 0 IBADRN COCCN1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1=O ZINC000509780562 1071564293 /nfs/dbraw/zinc/56/42/93/1071564293.db2.gz BEBJZFCGXSMKNP-UHFFFAOYSA-N 0 0 427.479 -0.557 20 0 IBADRN COCCN1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1=O ZINC000509782501 1071564237 /nfs/dbraw/zinc/56/42/37/1071564237.db2.gz MNDHLZLTMHNQDA-UHFFFAOYSA-N 0 0 438.550 -0.381 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000509783042 1071564304 /nfs/dbraw/zinc/56/43/04/1071564304.db2.gz ORTHJFNKTMTUHQ-INIZCTEOSA-N 0 0 445.563 -0.557 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000509783045 1071564331 /nfs/dbraw/zinc/56/43/31/1071564331.db2.gz ORTHJFNKTMTUHQ-MRXNPFEDSA-N 0 0 445.563 -0.557 20 0 IBADRN COCCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1=O ZINC000509784187 1071564146 /nfs/dbraw/zinc/56/41/46/1071564146.db2.gz UXYZNSMEWOEFPE-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN COCCN1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1=O ZINC000509784455 1071564177 /nfs/dbraw/zinc/56/41/77/1071564177.db2.gz XRGGGALFMHKEJF-HNNXBMFYSA-N 0 0 427.527 -0.256 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1=O ZINC000509784459 1071564157 /nfs/dbraw/zinc/56/41/57/1071564157.db2.gz XRGGGALFMHKEJF-OAHLLOKOSA-N 0 0 427.527 -0.256 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C[C@@H](C)S1(=O)=O ZINC000509788153 1071564247 /nfs/dbraw/zinc/56/42/47/1071564247.db2.gz IMGBHNYXXJLCNL-GDBMZVCRSA-N 0 0 427.527 -0.422 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C[C@H](C)S1(=O)=O ZINC000509788158 1071564786 /nfs/dbraw/zinc/56/47/86/1071564786.db2.gz IMGBHNYXXJLCNL-GOEBONIOSA-N 0 0 427.527 -0.422 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C[C@H](C)S1(=O)=O ZINC000509788160 1071564674 /nfs/dbraw/zinc/56/46/74/1071564674.db2.gz IMGBHNYXXJLCNL-HOCLYGCPSA-N 0 0 427.527 -0.422 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C[C@@H](C)S1(=O)=O ZINC000509788162 1071564766 /nfs/dbraw/zinc/56/47/66/1071564766.db2.gz IMGBHNYXXJLCNL-ZBFHGGJFSA-N 0 0 427.527 -0.422 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2C)[C@H](C)C1 ZINC000509788657 1071564668 /nfs/dbraw/zinc/56/46/68/1071564668.db2.gz FSHSLFXDKYTUQK-CQSZACIVSA-N 0 0 440.522 -0.059 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(Cc3ccccc3)CC2)CC1)N1CCOCC1 ZINC000509790169 1071564966 /nfs/dbraw/zinc/56/49/66/1071564966.db2.gz WHZPPILGCWJSAH-UHFFFAOYSA-N 0 0 429.565 -0.193 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000509790814 1071564708 /nfs/dbraw/zinc/56/47/08/1071564708.db2.gz AIYBYDSUWCFWRY-MRXNPFEDSA-N 0 0 425.559 -0.288 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)cn1 ZINC000509791207 1071564660 /nfs/dbraw/zinc/56/46/60/1071564660.db2.gz SMGMLWZRQGEUAG-CQSZACIVSA-N 0 0 448.571 -0.704 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000509791781 1071564841 /nfs/dbraw/zinc/56/48/41/1071564841.db2.gz UQVQGWVEDJVASV-INIZCTEOSA-N 0 0 425.559 -0.431 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000509791782 1071564896 /nfs/dbraw/zinc/56/48/96/1071564896.db2.gz UQVQGWVEDJVASV-MRXNPFEDSA-N 0 0 425.559 -0.431 20 0 IBADRN O=C(CNC(=O)Cc1ccc(O)cc1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000509794551 1071565696 /nfs/dbraw/zinc/56/56/96/1071565696.db2.gz RALMSSNCZWVENF-UHFFFAOYSA-N 0 0 446.485 -0.190 20 0 IBADRN COCCN(CC(=O)OC)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000509795753 1071565512 /nfs/dbraw/zinc/56/55/12/1071565512.db2.gz VCYONFDIUPRREY-UHFFFAOYSA-N 0 0 430.479 -0.022 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1=O ZINC000509799874 1071570425 /nfs/dbraw/zinc/57/04/25/1071570425.db2.gz UJEPNECAFWMLEQ-KRWDZBQOSA-N 0 0 429.477 -0.147 20 0 IBADRN COCCN1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1=O ZINC000509799875 1071570533 /nfs/dbraw/zinc/57/05/33/1071570533.db2.gz UJEPNECAFWMLEQ-QGZVFWFLSA-N 0 0 429.477 -0.147 20 0 IBADRN COc1ccccc1N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000509800294 1071570386 /nfs/dbraw/zinc/57/03/86/1071570386.db2.gz YAFXAOMVYHHSCA-UHFFFAOYSA-N 0 0 445.564 -0.180 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000509800936 1071570463 /nfs/dbraw/zinc/57/04/63/1071570463.db2.gz BMFGQHXZXZNMBX-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000509800941 1071570552 /nfs/dbraw/zinc/57/05/52/1071570552.db2.gz BMFGQHXZXZNMBX-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)NC)ccc2OC)[C@H](C)C1 ZINC000509801907 1071570372 /nfs/dbraw/zinc/57/03/72/1071570372.db2.gz BOJFFYBHIZOOFL-GFCCVEGCSA-N 0 0 426.495 -0.124 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCCOC)c2)[C@H](C)C1 ZINC000509803011 1071569815 /nfs/dbraw/zinc/56/98/15/1071569815.db2.gz DMNYUKNLPYWPNS-CQSZACIVSA-N 0 0 440.522 -0.116 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)[C@H](C)C1 ZINC000509804055 1071572170 /nfs/dbraw/zinc/57/21/70/1071572170.db2.gz CLJRUHPHDSNLEH-GFCCVEGCSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)CC3)CC2)cc1OC ZINC000509804501 1071572026 /nfs/dbraw/zinc/57/20/26/1071572026.db2.gz JJPCUPBHFANUAP-UHFFFAOYSA-N 0 0 426.539 -0.216 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)[C@H](C)C1 ZINC000509807486 1071572090 /nfs/dbraw/zinc/57/20/90/1071572090.db2.gz JTTWVCOCVIJKKI-GFCCVEGCSA-N 0 0 429.520 -0.163 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)[C@H](C)C1 ZINC000509810075 1071572208 /nfs/dbraw/zinc/57/22/08/1071572208.db2.gz NTPMEUYGNVYAEJ-CYBMUJFWSA-N 0 0 446.551 -0.097 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@@H](C)S1(=O)=O ZINC000509810822 1074354521 /nfs/dbraw/zinc/35/45/21/1074354521.db2.gz PPEKBTYXFRVXSI-CABCVRRESA-N 0 0 427.527 -0.422 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@H](C)S1(=O)=O ZINC000509810831 1074355065 /nfs/dbraw/zinc/35/50/65/1074355065.db2.gz PPEKBTYXFRVXSI-GJZGRUSLSA-N 0 0 427.527 -0.422 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@@H](C)S1(=O)=O ZINC000509810835 1074355107 /nfs/dbraw/zinc/35/51/07/1074355107.db2.gz PPEKBTYXFRVXSI-HUUCEWRRSA-N 0 0 427.527 -0.422 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C[C@H](C)S1(=O)=O ZINC000509810837 1074355006 /nfs/dbraw/zinc/35/50/06/1074355006.db2.gz PPEKBTYXFRVXSI-LSDHHAIUSA-N 0 0 427.527 -0.422 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)[C@H](C)C1 ZINC000509811898 1071571470 /nfs/dbraw/zinc/57/14/70/1071571470.db2.gz WPGNKMMYCPVMLC-CYBMUJFWSA-N 0 0 446.551 -0.276 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)[C@H](C)C1 ZINC000509812502 1071571620 /nfs/dbraw/zinc/57/16/20/1071571620.db2.gz UTPBIZBEKBZOPH-SNVBAGLBSA-N 0 0 449.327 -0.031 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)C[C@H](C)S1(=O)=O ZINC000509812529 1071571504 /nfs/dbraw/zinc/57/15/04/1071571504.db2.gz YSFMRHYZCNMIHW-GXTWGEPZSA-N 0 0 429.499 -0.992 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)C[C@H](C)S1(=O)=O ZINC000509812530 1071571414 /nfs/dbraw/zinc/57/14/14/1071571414.db2.gz YSFMRHYZCNMIHW-JSGCOSHPSA-N 0 0 429.499 -0.992 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)C[C@@H](C)S1(=O)=O ZINC000509812531 1071571679 /nfs/dbraw/zinc/57/16/79/1071571679.db2.gz YSFMRHYZCNMIHW-OCCSQVGLSA-N 0 0 429.499 -0.992 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)C[C@@H](C)S1(=O)=O ZINC000509812532 1071571460 /nfs/dbraw/zinc/57/14/60/1071571460.db2.gz YSFMRHYZCNMIHW-TZMCWYRMSA-N 0 0 429.499 -0.992 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)[C@H](C)C1 ZINC000509813679 1071572158 /nfs/dbraw/zinc/57/21/58/1071572158.db2.gz XDIAWELZRKPWRL-LLVKDONJSA-N 0 0 439.473 -0.069 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NCC1(O)CCOCC1 ZINC000509815354 1071572234 /nfs/dbraw/zinc/57/22/34/1071572234.db2.gz ROPLQRMGMNCASA-UHFFFAOYSA-N 0 0 427.527 -0.626 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)C[C@@H](C)S1(=O)=O ZINC000509816839 1071572714 /nfs/dbraw/zinc/57/27/14/1071572714.db2.gz BOFULLXYXQMSCY-DOMZBBRYSA-N 0 0 439.490 -0.048 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)C[C@@H](C)S1(=O)=O ZINC000509816840 1071572672 /nfs/dbraw/zinc/57/26/72/1071572672.db2.gz BOFULLXYXQMSCY-IUODEOHRSA-N 0 0 439.490 -0.048 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)C[C@H](C)S1(=O)=O ZINC000509816841 1071572633 /nfs/dbraw/zinc/57/26/33/1071572633.db2.gz BOFULLXYXQMSCY-SWLSCSKDSA-N 0 0 439.490 -0.048 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)C[C@H](C)S1(=O)=O ZINC000509816842 1071572610 /nfs/dbraw/zinc/57/26/10/1071572610.db2.gz BOFULLXYXQMSCY-WFASDCNBSA-N 0 0 439.490 -0.048 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)[C@H](C)C1 ZINC000509817958 1071572602 /nfs/dbraw/zinc/57/26/02/1071572602.db2.gz HNIDKUZCJOKYJP-SNVBAGLBSA-N 0 0 449.327 -0.031 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C[C@@H](C)S1(=O)=O ZINC000509821079 1071572121 /nfs/dbraw/zinc/57/21/21/1071572121.db2.gz BWEWVIJOKBTVKS-DOMZBBRYSA-N 0 0 437.474 -0.061 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C[C@@H](C)S1(=O)=O ZINC000509821081 1071572265 /nfs/dbraw/zinc/57/22/65/1071572265.db2.gz BWEWVIJOKBTVKS-IUODEOHRSA-N 0 0 437.474 -0.061 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C[C@H](C)S1(=O)=O ZINC000509821083 1071572116 /nfs/dbraw/zinc/57/21/16/1071572116.db2.gz BWEWVIJOKBTVKS-SWLSCSKDSA-N 0 0 437.474 -0.061 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C[C@H](C)S1(=O)=O ZINC000509821084 1071572056 /nfs/dbraw/zinc/57/20/56/1071572056.db2.gz BWEWVIJOKBTVKS-WFASDCNBSA-N 0 0 437.474 -0.061 20 0 IBADRN C[C@@](CO)(CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccccc1 ZINC000509821854 1071575408 /nfs/dbraw/zinc/57/54/08/1071575408.db2.gz ACOXDCCIQKCEJO-NRFANRHFSA-N 0 0 429.477 -0.253 20 0 IBADRN C[C@](CO)(CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccccc1 ZINC000509821857 1071575360 /nfs/dbraw/zinc/57/53/60/1071575360.db2.gz ACOXDCCIQKCEJO-OAQYLSRUSA-N 0 0 429.477 -0.253 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](CC)C2)c1=O ZINC000509823033 1071574373 /nfs/dbraw/zinc/57/43/73/1071574373.db2.gz XSLYLRSZNIRHGW-CHWSQXEVSA-N 0 0 427.479 -0.226 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](CC)C2)c1=O ZINC000509823037 1071574303 /nfs/dbraw/zinc/57/43/03/1071574303.db2.gz XSLYLRSZNIRHGW-OLZOCXBDSA-N 0 0 427.479 -0.226 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2C[C@@H](CC)S(=O)(=O)[C@@H](C)C2)c1=O ZINC000509823040 1071574323 /nfs/dbraw/zinc/57/43/23/1071574323.db2.gz XSLYLRSZNIRHGW-QWHCGFSZSA-N 0 0 427.479 -0.226 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](CC)C2)c1=O ZINC000509823042 1071574423 /nfs/dbraw/zinc/57/44/23/1071574423.db2.gz XSLYLRSZNIRHGW-STQMWFEESA-N 0 0 427.479 -0.226 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)C[C@H](C)S1(=O)=O ZINC000509823390 1071575354 /nfs/dbraw/zinc/57/53/54/1071575354.db2.gz HLUYFGCEBWTDQB-GXTWGEPZSA-N 0 0 443.547 -0.092 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)C[C@H](C)S1(=O)=O ZINC000509823391 1071575437 /nfs/dbraw/zinc/57/54/37/1071575437.db2.gz HLUYFGCEBWTDQB-JSGCOSHPSA-N 0 0 443.547 -0.092 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)C[C@@H](C)S1(=O)=O ZINC000509823393 1071575341 /nfs/dbraw/zinc/57/53/41/1071575341.db2.gz HLUYFGCEBWTDQB-OCCSQVGLSA-N 0 0 443.547 -0.092 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)C[C@@H](C)S1(=O)=O ZINC000509823396 1071575365 /nfs/dbraw/zinc/57/53/65/1071575365.db2.gz HLUYFGCEBWTDQB-TZMCWYRMSA-N 0 0 443.547 -0.092 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)[C@H](C)C1 ZINC000509823444 1071575395 /nfs/dbraw/zinc/57/53/95/1071575395.db2.gz HTVDEDRLLIAUSZ-CYBMUJFWSA-N 0 0 446.551 -0.276 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)[C@H](C)C1 ZINC000509824391 1071574361 /nfs/dbraw/zinc/57/43/61/1071574361.db2.gz GVYDLLHUJHDGLV-GFCCVEGCSA-N 0 0 446.551 -0.228 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)[C@H](C)C1 ZINC000509825390 1071574862 /nfs/dbraw/zinc/57/48/62/1071574862.db2.gz NVYZJTYACFOFCS-GFCCVEGCSA-N 0 0 437.482 -0.508 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000509825520 1071574894 /nfs/dbraw/zinc/57/48/94/1071574894.db2.gz GMDWQVSWUCLDJR-UHFFFAOYSA-N 0 0 430.477 -0.038 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)[C@H](C)C1 ZINC000509826796 1071574771 /nfs/dbraw/zinc/57/47/71/1071574771.db2.gz OBPBJRGHIHGTAR-CYBMUJFWSA-N 0 0 426.495 -0.238 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H](C)S1(=O)=O ZINC000509826837 1074355053 /nfs/dbraw/zinc/35/50/53/1074355053.db2.gz SAZDKFYPWHQROD-KBPBESRZSA-N 0 0 441.510 -0.896 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H](C)S1(=O)=O ZINC000509826840 1074355035 /nfs/dbraw/zinc/35/50/35/1074355035.db2.gz SAZDKFYPWHQROD-KGLIPLIRSA-N 0 0 441.510 -0.896 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H](C)S1(=O)=O ZINC000509826842 1074355092 /nfs/dbraw/zinc/35/50/92/1074355092.db2.gz SAZDKFYPWHQROD-UONOGXRCSA-N 0 0 441.510 -0.896 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H](C)S1(=O)=O ZINC000509826844 1074355129 /nfs/dbraw/zinc/35/51/29/1074355129.db2.gz SAZDKFYPWHQROD-ZIAGYGMSSA-N 0 0 441.510 -0.896 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@](C)(CO)c3ccccc3)cnc2n(C)c1=O ZINC000509828138 1071574848 /nfs/dbraw/zinc/57/48/48/1071574848.db2.gz SFDBRTZCFZRDAW-NRFANRHFSA-N 0 0 425.445 -0.363 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@](C)(CO)c3ccccc3)cnc2n(C)c1=O ZINC000509828139 1071574818 /nfs/dbraw/zinc/57/48/18/1071574818.db2.gz SFDBRTZCFZRDAW-OAQYLSRUSA-N 0 0 425.445 -0.363 20 0 IBADRN COCCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1=O ZINC000509828290 1071574786 /nfs/dbraw/zinc/57/47/86/1071574786.db2.gz QRVXXENMCJAXOT-AWEZNQCLSA-N 0 0 441.506 -0.168 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)[C@H](C)C1 ZINC000509829446 1071574875 /nfs/dbraw/zinc/57/48/75/1071574875.db2.gz WZGVEBWKRUNTSV-CYBMUJFWSA-N 0 0 426.495 -0.238 20 0 IBADRN C[C@H](NC(=O)COc1ccccc1)C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000509832697 1071574826 /nfs/dbraw/zinc/57/48/26/1071574826.db2.gz CPXKNPHNLMCLSH-BHYGNILZSA-N 0 0 425.507 -0.816 20 0 IBADRN C[C@H](NC(=O)COc1ccccc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000509832699 1071574882 /nfs/dbraw/zinc/57/48/82/1071574882.db2.gz CPXKNPHNLMCLSH-UAGQMJEPSA-N 0 0 425.507 -0.816 20 0 IBADRN C[C@H](NC(=O)COc1ccccc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000509832700 1071574795 /nfs/dbraw/zinc/57/47/95/1071574795.db2.gz CPXKNPHNLMCLSH-USXIJHARSA-N 0 0 425.507 -0.816 20 0 IBADRN C[C@H](NC(=O)COc1ccccc1)C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000509832701 1071574835 /nfs/dbraw/zinc/57/48/35/1071574835.db2.gz CPXKNPHNLMCLSH-XIRDDKMYSA-N 0 0 425.507 -0.816 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000509838963 1071577885 /nfs/dbraw/zinc/57/78/85/1071577885.db2.gz MQAKYJPCNKODDO-AWEZNQCLSA-N 0 0 433.527 -0.223 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000509838987 1071577879 /nfs/dbraw/zinc/57/78/79/1071577879.db2.gz MQAKYJPCNKODDO-CQSZACIVSA-N 0 0 433.527 -0.223 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCN1C(=O)c2ccccc2C1=O ZINC000509841454 1071577940 /nfs/dbraw/zinc/57/79/40/1071577940.db2.gz XAYKEAFKCUICFZ-UHFFFAOYSA-N 0 0 444.425 -0.346 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3ccc(F)cc3)CC2)CC1)N1CCOCC1 ZINC000509841613 1071577909 /nfs/dbraw/zinc/57/79/09/1071577909.db2.gz XQEHRFOHFGGQDY-UHFFFAOYSA-N 0 0 433.528 -0.049 20 0 IBADRN COC[C@](C)(CNC(=O)c1cnc2c(c1)nnn2C)NC(=O)c1cnc2c(c1)nnn2C ZINC000509843377 1071577947 /nfs/dbraw/zinc/57/79/47/1071577947.db2.gz SQQIHEYHOFXFMA-IBGZPJMESA-N 0 0 438.452 -0.395 20 0 IBADRN COC[C@@](C)(CNC(=O)c1cnc2c(c1)nnn2C)NC(=O)c1cnc2c(c1)nnn2C ZINC000509843378 1071577864 /nfs/dbraw/zinc/57/78/64/1071577864.db2.gz SQQIHEYHOFXFMA-LJQANCHMSA-N 0 0 438.452 -0.395 20 0 IBADRN CC[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000509845152 1071577474 /nfs/dbraw/zinc/57/74/74/1071577474.db2.gz WKTTVGWFCNUGAI-NWDGAFQWSA-N 0 0 429.499 -0.302 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000509845153 1071577499 /nfs/dbraw/zinc/57/74/99/1071577499.db2.gz WKTTVGWFCNUGAI-RYUDHWBXSA-N 0 0 429.499 -0.302 20 0 IBADRN CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000509845154 1071577504 /nfs/dbraw/zinc/57/75/04/1071577504.db2.gz WKTTVGWFCNUGAI-VXGBXAGGSA-N 0 0 429.499 -0.302 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000509846225 1071577319 /nfs/dbraw/zinc/57/73/19/1071577319.db2.gz MUNHFLQVIATHRQ-MSOLQXFVSA-N 0 0 436.534 -0.067 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000509846226 1071577357 /nfs/dbraw/zinc/57/73/57/1071577357.db2.gz MUNHFLQVIATHRQ-QZTJIDSGSA-N 0 0 436.534 -0.067 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000509846227 1071577390 /nfs/dbraw/zinc/57/73/90/1071577390.db2.gz MUNHFLQVIATHRQ-ROUUACIJSA-N 0 0 436.534 -0.067 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000509846228 1071577436 /nfs/dbraw/zinc/57/74/36/1071577436.db2.gz MUNHFLQVIATHRQ-ZWKOTPCHSA-N 0 0 436.534 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3ccccc3F)CC2)CC1)N1CCOCC1 ZINC000509848738 1071578937 /nfs/dbraw/zinc/57/89/37/1071578937.db2.gz VKPAWEFKRZSBDI-UHFFFAOYSA-N 0 0 433.528 -0.049 20 0 IBADRN O=C(CN1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1)N1CCCC1 ZINC000509849067 1071579439 /nfs/dbraw/zinc/57/94/39/1071579439.db2.gz CFXFWLBUKXQQCI-IBGZPJMESA-N 0 0 435.569 -0.534 20 0 IBADRN O=C(CN1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1)N1CCCC1 ZINC000509849070 1071579486 /nfs/dbraw/zinc/57/94/86/1071579486.db2.gz CFXFWLBUKXQQCI-LJQANCHMSA-N 0 0 435.569 -0.534 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000509851113 1071579462 /nfs/dbraw/zinc/57/94/62/1071579462.db2.gz IDFCNBVFVVGGOB-UHFFFAOYSA-N 0 0 428.493 -0.679 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000509857203 1071582138 /nfs/dbraw/zinc/58/21/38/1071582138.db2.gz YUMLSHGBTUHYFE-UHFFFAOYSA-N 0 0 428.493 -0.679 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000509860639 1071582809 /nfs/dbraw/zinc/58/28/09/1071582809.db2.gz CGPKHKPEXNNANV-UHFFFAOYSA-N 0 0 446.507 -0.551 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCCN2CCCS2(=O)=O)cc1OCC ZINC000509861321 1071582830 /nfs/dbraw/zinc/58/28/30/1071582830.db2.gz CXGXGBIOXNYIFI-UHFFFAOYSA-N 0 0 449.551 -0.086 20 0 IBADRN COCCNC(=O)CNC(=O)CCc1c(C)nn(-c2ccc(S(N)(=O)=O)cc2)c1C ZINC000509862593 1071582662 /nfs/dbraw/zinc/58/26/62/1071582662.db2.gz HQLLDOOYTIJFMN-UHFFFAOYSA-N 0 0 437.522 -0.052 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)CC1 ZINC000509863151 1071582672 /nfs/dbraw/zinc/58/26/72/1071582672.db2.gz HFMAWDZGQXUMSR-JCYILVPMSA-N 0 0 430.571 -0.178 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)CC1 ZINC000509863161 1071582744 /nfs/dbraw/zinc/58/27/44/1071582744.db2.gz HFMAWDZGQXUMSR-OFLPRAFFSA-N 0 0 430.571 -0.178 20 0 IBADRN O=C(CNC(=O)Cc1ccc(O)cc1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000509864517 1071582652 /nfs/dbraw/zinc/58/26/52/1071582652.db2.gz NUILPUZZALPFEA-UHFFFAOYSA-N 0 0 426.477 -0.304 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)Nc1ccnn1C ZINC000509866341 1071583137 /nfs/dbraw/zinc/58/31/37/1071583137.db2.gz VELZXSQRZTZDQF-UHFFFAOYSA-N 0 0 436.494 -0.058 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000509869900 1071584741 /nfs/dbraw/zinc/58/47/41/1071584741.db2.gz LBVCYBFSJSFRNB-UHFFFAOYSA-N 0 0 435.524 -0.533 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)c1 ZINC000509877264 1071586727 /nfs/dbraw/zinc/58/67/27/1071586727.db2.gz JLQIMQGLTFHKOQ-KRWDZBQOSA-N 0 0 425.507 -0.095 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)c1 ZINC000509877267 1071586809 /nfs/dbraw/zinc/58/68/09/1071586809.db2.gz JLQIMQGLTFHKOQ-QGZVFWFLSA-N 0 0 425.507 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3ccccc3O)CC2)CC1)N1CCOCC1 ZINC000509877650 1071584817 /nfs/dbraw/zinc/58/48/17/1071584817.db2.gz KVZQXMKPJDRLPS-UHFFFAOYSA-N 0 0 431.537 -0.483 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)c(OC)c1 ZINC000509881132 1071587183 /nfs/dbraw/zinc/58/71/83/1071587183.db2.gz XGWXMOYSPLFINF-HNNXBMFYSA-N 0 0 441.506 -0.015 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)c(OC)c1 ZINC000509881136 1071587264 /nfs/dbraw/zinc/58/72/64/1071587264.db2.gz XGWXMOYSPLFINF-OAHLLOKOSA-N 0 0 441.506 -0.015 20 0 IBADRN CCc1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n(C)n1 ZINC000509894096 1071588402 /nfs/dbraw/zinc/58/84/02/1071588402.db2.gz BISLQHZHSFUBPL-UHFFFAOYSA-N 0 0 434.478 -0.320 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000509894515 1071588416 /nfs/dbraw/zinc/58/84/16/1071588416.db2.gz ONSBEPPMEXOCTM-UHFFFAOYSA-N 0 0 435.506 -0.333 20 0 IBADRN CCCn1nccc1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000509895619 1071588387 /nfs/dbraw/zinc/58/83/87/1071588387.db2.gz GMNIDAHZHZXLJD-UHFFFAOYSA-N 0 0 434.478 -0.009 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000509898769 1071589038 /nfs/dbraw/zinc/58/90/38/1071589038.db2.gz JCHIKHURDOCYMO-AWEZNQCLSA-N 0 0 435.506 -0.024 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000509898770 1071589082 /nfs/dbraw/zinc/58/90/82/1071589082.db2.gz JCHIKHURDOCYMO-CQSZACIVSA-N 0 0 435.506 -0.024 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)s1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000509898837 1071589088 /nfs/dbraw/zinc/58/90/88/1071589088.db2.gz KFBYSEIOBHLIHJ-SECBINFHSA-N 0 0 431.945 -0.207 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)s1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000509898838 1071589570 /nfs/dbraw/zinc/58/95/70/1071589570.db2.gz KFBYSEIOBHLIHJ-VIFPVBQESA-N 0 0 431.945 -0.207 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N1CCO[C@H](C(N)=O)C1 ZINC000509898993 1071589655 /nfs/dbraw/zinc/58/96/55/1071589655.db2.gz PLPCEMMTARLKPW-KRWDZBQOSA-N 0 0 435.506 -0.218 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000509899002 1071589495 /nfs/dbraw/zinc/58/94/95/1071589495.db2.gz PLPCEMMTARLKPW-QGZVFWFLSA-N 0 0 435.506 -0.218 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NCCN1C(=O)CNC1=O ZINC000509899083 1071589608 /nfs/dbraw/zinc/58/96/08/1071589608.db2.gz QRNLMGXNVOKKNY-UHFFFAOYSA-N 0 0 448.505 -0.263 20 0 IBADRN O=C(N[C@@H]1CCN(Cc2ccccc2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000509906417 1071599163 /nfs/dbraw/zinc/59/91/63/1071599163.db2.gz YNCURLSMHNTIAK-GOSISDBHSA-N 0 0 443.504 -0.500 20 0 IBADRN O=C(N[C@H]1CCN(Cc2ccccc2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000509906418 1071599046 /nfs/dbraw/zinc/59/90/46/1071599046.db2.gz YNCURLSMHNTIAK-SFHVURJKSA-N 0 0 443.504 -0.500 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)nc1 ZINC000509907421 1071599079 /nfs/dbraw/zinc/59/90/79/1071599079.db2.gz FINGTTBJYRYFEZ-HNNXBMFYSA-N 0 0 426.495 -0.097 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)nc1 ZINC000509907422 1071599021 /nfs/dbraw/zinc/59/90/21/1071599021.db2.gz FINGTTBJYRYFEZ-OAHLLOKOSA-N 0 0 426.495 -0.097 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000509911862 1071617228 /nfs/dbraw/zinc/61/72/28/1071617228.db2.gz QOEHIHIFTFEYJZ-UHFFFAOYSA-N 0 0 426.539 -0.153 20 0 IBADRN O=S(=O)(NCc1ccccc1N1CCN(S(=O)(=O)N2CCC2)CC1)N1CCC1 ZINC000509915062 1071617294 /nfs/dbraw/zinc/61/72/94/1071617294.db2.gz NINUWQRTYFLEPT-UHFFFAOYSA-N 0 0 429.568 -0.201 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(CCO)CC3)CC2)cc1 ZINC000509923388 1071616622 /nfs/dbraw/zinc/61/66/22/1071616622.db2.gz APBHOVZNXXFKGL-UHFFFAOYSA-N 0 0 426.539 -0.862 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(CCO)CC3)CC2)cc1 ZINC000509924047 1071617209 /nfs/dbraw/zinc/61/72/09/1071617209.db2.gz BUBCVEPOFSOTAZ-UHFFFAOYSA-N 0 0 440.566 -0.472 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1OC ZINC000509926811 1071633760 /nfs/dbraw/zinc/63/37/60/1071633760.db2.gz ODIOVTHQZDUZPQ-JTQLQIEISA-N 0 0 430.870 -0.961 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1OC ZINC000509926812 1071633815 /nfs/dbraw/zinc/63/38/15/1071633815.db2.gz ODIOVTHQZDUZPQ-SNVBAGLBSA-N 0 0 430.870 -0.961 20 0 IBADRN C[C@@H](CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C[NH+]1CCN(C)CC1 ZINC000509927515 1071633919 /nfs/dbraw/zinc/63/39/19/1071633919.db2.gz RTQKKIFJMSIBKE-INIZCTEOSA-N 0 0 437.566 -0.580 20 0 IBADRN C[C@H](CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C[NH+]1CCN(C)CC1 ZINC000509927516 1071633792 /nfs/dbraw/zinc/63/37/92/1071633792.db2.gz RTQKKIFJMSIBKE-MRXNPFEDSA-N 0 0 437.566 -0.580 20 0 IBADRN C[C@@H](CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C[NH+]1CCN(C)CC1 ZINC000509928068 1071633851 /nfs/dbraw/zinc/63/38/51/1071633851.db2.gz WHJKTYWPEJWQQB-INIZCTEOSA-N 0 0 439.582 -0.216 20 0 IBADRN C[C@H](CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C[NH+]1CCN(C)CC1 ZINC000509928069 1071633739 /nfs/dbraw/zinc/63/37/39/1071633739.db2.gz WHJKTYWPEJWQQB-MRXNPFEDSA-N 0 0 439.582 -0.216 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCC(=O)N2CCN(C)CC2)cc1OC ZINC000509930319 1071634375 /nfs/dbraw/zinc/63/43/75/1071634375.db2.gz MTUYNGGNOXOYNX-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(Cn3cncn3)cc2)C1 ZINC000509936241 1071634429 /nfs/dbraw/zinc/63/44/29/1071634429.db2.gz AFQHFCZLHJXUOD-MRXNPFEDSA-N 0 0 435.510 -0.348 20 0 IBADRN NC(=O)N1CC[C@H](NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000509936336 1071634382 /nfs/dbraw/zinc/63/43/82/1071634382.db2.gz BVCQJSMIRMTNJO-HNNXBMFYSA-N 0 0 437.522 -0.431 20 0 IBADRN NC(=O)N1CC[C@@H](NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000509936337 1071634354 /nfs/dbraw/zinc/63/43/54/1071634354.db2.gz BVCQJSMIRMTNJO-OAHLLOKOSA-N 0 0 437.522 -0.431 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509936633 1071634338 /nfs/dbraw/zinc/63/43/38/1071634338.db2.gz DGCAYJNDLXDTSH-CQSZACIVSA-N 0 0 426.495 -0.272 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)NC3CC3)c2)C1 ZINC000509936955 1071634372 /nfs/dbraw/zinc/63/43/72/1071634372.db2.gz GCDIADPNADGFSU-MRXNPFEDSA-N 0 0 437.522 -0.096 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000509937277 1071634426 /nfs/dbraw/zinc/63/44/26/1071634426.db2.gz IDDOTGVZGSQJCU-CQSZACIVSA-N 0 0 442.494 -0.436 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C1 ZINC000509937710 1071634408 /nfs/dbraw/zinc/63/44/08/1071634408.db2.gz KQPSQDAPWJCIGC-CQSZACIVSA-N 0 0 441.510 -0.734 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(Cn3cncn3)c2)C1 ZINC000509938084 1071633833 /nfs/dbraw/zinc/63/38/33/1071633833.db2.gz MLNAEJOLBHIRDN-MRXNPFEDSA-N 0 0 435.510 -0.348 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000509938716 1071634366 /nfs/dbraw/zinc/63/43/66/1071634366.db2.gz SGWGWQINBYBOTP-UHFFFAOYSA-N 0 0 439.538 -0.936 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000509939254 1071633768 /nfs/dbraw/zinc/63/37/68/1071633768.db2.gz CHLILJXFWXKJLN-GFCCVEGCSA-N 0 0 430.458 -0.062 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1OC ZINC000509940186 1071645126 /nfs/dbraw/zinc/64/51/26/1071645126.db2.gz USWAIPQHFPSKCM-GFCCVEGCSA-N 0 0 435.524 -0.348 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1OC ZINC000509940191 1071644927 /nfs/dbraw/zinc/64/49/27/1071644927.db2.gz USWAIPQHFPSKCM-LBPRGKRZSA-N 0 0 435.524 -0.348 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000509940866 1071645061 /nfs/dbraw/zinc/64/50/61/1071645061.db2.gz VPORSGNTZZJACF-CYBMUJFWSA-N 0 0 441.510 -0.620 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1 ZINC000509941798 1071645146 /nfs/dbraw/zinc/64/51/46/1071645146.db2.gz XVUHBUDSAXQHHV-CQSZACIVSA-N 0 0 429.499 -0.568 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)N(C)CCO3)C1 ZINC000509941863 1071644985 /nfs/dbraw/zinc/64/49/85/1071644985.db2.gz XYLHUEUFWYVKSR-CQSZACIVSA-N 0 0 425.511 -0.159 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1-n1cnnn1 ZINC000509942937 1071654439 /nfs/dbraw/zinc/65/44/39/1071654439.db2.gz MDUBQWWJNWPNAQ-CQSZACIVSA-N 0 0 436.498 -0.704 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000509943037 1071654271 /nfs/dbraw/zinc/65/42/71/1071654271.db2.gz MXPOYXQNYSLVAO-CQSZACIVSA-N 0 0 425.511 -0.320 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000509945090 1071657880 /nfs/dbraw/zinc/65/78/80/1071657880.db2.gz RDFALPBXIFLUFL-UHFFFAOYSA-N 0 0 433.446 -0.724 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3ccn(C)c(=O)c3c2)C1 ZINC000509945373 1071657228 /nfs/dbraw/zinc/65/72/28/1071657228.db2.gz VLYWZUQBPWEYJB-OAHLLOKOSA-N 0 0 435.506 -0.136 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4c3OCO4)CC2)o1 ZINC000509946240 1071662647 /nfs/dbraw/zinc/66/26/47/1071662647.db2.gz WGUXQULUYUIOAR-UHFFFAOYSA-N 0 0 443.459 -0.198 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCN3CCN(c4ncccn4)CC3)cnc2n(C)c1=O ZINC000509949077 1071681651 /nfs/dbraw/zinc/68/16/51/1071681651.db2.gz NXRJSGPXISUUMP-UHFFFAOYSA-N 0 0 439.480 -0.634 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c(F)c1 ZINC000509950543 1071680585 /nfs/dbraw/zinc/68/05/85/1071680585.db2.gz AUBZQSBROWJMOE-GFCCVEGCSA-N 0 0 430.458 -0.062 20 0 IBADRN COC(=O)c1c(F)cccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509950678 1071680560 /nfs/dbraw/zinc/68/05/60/1071680560.db2.gz AZEABDQLHLOKDE-LLVKDONJSA-N 0 0 430.458 -0.062 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000509950819 1071679994 /nfs/dbraw/zinc/67/99/94/1071679994.db2.gz BOBAKUBWXSMVNO-MRXNPFEDSA-N 0 0 439.538 -0.357 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1C ZINC000509950870 1071680576 /nfs/dbraw/zinc/68/05/76/1071680576.db2.gz CCWAVKLRSDMWIC-CYBMUJFWSA-N 0 0 425.511 -0.320 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc([S@@](C)=O)c(F)c2)C1 ZINC000509950969 1071692813 /nfs/dbraw/zinc/69/28/13/1071692813.db2.gz FBAKGVIYSZWDJI-KHXSAUHESA-N 0 0 434.515 -0.111 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc([S@](C)=O)c(F)c2)C1 ZINC000509950970 1071692716 /nfs/dbraw/zinc/69/27/16/1071692716.db2.gz FBAKGVIYSZWDJI-MUDIAHQHSA-N 0 0 434.515 -0.111 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)C1 ZINC000509951081 1071694597 /nfs/dbraw/zinc/69/45/97/1071694597.db2.gz DWKOQWRKVWNADO-CYBMUJFWSA-N 0 0 439.473 -0.268 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000509951181 1071692791 /nfs/dbraw/zinc/69/27/91/1071692791.db2.gz GSGHHYJSUZUOLO-OAHLLOKOSA-N 0 0 426.495 -0.272 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2OCCC(N)=O)C1 ZINC000509951210 1071692856 /nfs/dbraw/zinc/69/28/56/1071692856.db2.gz FIAJVUBLYKFZSN-CYBMUJFWSA-N 0 0 441.510 -0.734 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NC3CC3)cc2)C1 ZINC000509951420 1071693404 /nfs/dbraw/zinc/69/34/04/1071693404.db2.gz GTBHMABACCQYNZ-MRXNPFEDSA-N 0 0 437.522 -0.096 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)n(Cc2ccccn2)n1 ZINC000509951495 1071693356 /nfs/dbraw/zinc/69/33/56/1071693356.db2.gz IHGIMHVSJMSRBF-MRXNPFEDSA-N 0 0 449.537 -0.040 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000509951994 1071694627 /nfs/dbraw/zinc/69/46/27/1071694627.db2.gz KVCKHOVXEYWFCW-CQSZACIVSA-N 0 0 441.510 -0.863 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000509952455 1071694560 /nfs/dbraw/zinc/69/45/60/1071694560.db2.gz NKRXEECHVLPVPR-CQSZACIVSA-N 0 0 442.494 -0.436 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C1 ZINC000509952485 1071694620 /nfs/dbraw/zinc/69/46/20/1071694620.db2.gz NWADJCZRZUHEBS-CYBMUJFWSA-N 0 0 438.510 -0.798 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509952908 1071694607 /nfs/dbraw/zinc/69/46/07/1071694607.db2.gz PPSINEDOIICLNM-GFCCVEGCSA-N 0 0 442.494 -0.193 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000509953244 1071696891 /nfs/dbraw/zinc/69/68/91/1071696891.db2.gz RMCCFCQDOMOSCX-CQSZACIVSA-N 0 0 436.498 -0.704 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(CC(=O)OCC)c1 ZINC000509953366 1071697061 /nfs/dbraw/zinc/69/70/61/1071697061.db2.gz AAJQCMQHACBJJX-INIZCTEOSA-N 0 0 442.490 -0.139 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(CC(=O)OCC)c1 ZINC000509953369 1071696866 /nfs/dbraw/zinc/69/68/66/1071696866.db2.gz AAJQCMQHACBJJX-MRXNPFEDSA-N 0 0 442.490 -0.139 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1OC ZINC000509954040 1071698330 /nfs/dbraw/zinc/69/83/30/1071698330.db2.gz BSOSMOVNTCOCCZ-CYBMUJFWSA-N 0 0 442.494 -0.193 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000509954065 1071698123 /nfs/dbraw/zinc/69/81/23/1071698123.db2.gz SUBVBGKLFMSZNY-OAHLLOKOSA-N 0 0 426.495 -0.272 20 0 IBADRN CC(=O)N1CCc2cc(NC(=O)C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)ccc21 ZINC000509954161 1071698225 /nfs/dbraw/zinc/69/82/25/1071698225.db2.gz CQRGPYCLLJNCRI-MRXNPFEDSA-N 0 0 437.522 -0.079 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(COC)ccc1OC ZINC000509954766 1071698264 /nfs/dbraw/zinc/69/82/64/1071698264.db2.gz FGXZQRKVBIQXIT-AWEZNQCLSA-N 0 0 430.479 -0.090 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(COC)ccc1OC ZINC000509954773 1071698285 /nfs/dbraw/zinc/69/82/85/1071698285.db2.gz FGXZQRKVBIQXIT-CQSZACIVSA-N 0 0 430.479 -0.090 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2Cn2cncn2)C1 ZINC000509955065 1071698812 /nfs/dbraw/zinc/69/88/12/1071698812.db2.gz VZMQEEOYTXRTRR-OAHLLOKOSA-N 0 0 435.510 -0.348 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000509955875 1071699297 /nfs/dbraw/zinc/69/92/97/1071699297.db2.gz YMESIFGIPXIVNF-OAHLLOKOSA-N 0 0 425.511 -0.005 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)C1 ZINC000509956135 1071711056 /nfs/dbraw/zinc/71/10/56/1071711056.db2.gz ZBZGOKSOUIHWJC-GFCCVEGCSA-N 0 0 425.467 -0.657 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000509956210 1071710981 /nfs/dbraw/zinc/71/09/81/1071710981.db2.gz ZFFMAHNEJLMUEM-MRXNPFEDSA-N 0 0 425.511 -0.352 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000509956218 1071710915 /nfs/dbraw/zinc/71/09/15/1071710915.db2.gz YSVXBQZPIJKYHB-CQSZACIVSA-N 0 0 446.551 -0.194 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1S(C)(=O)=O ZINC000509956428 1071709607 /nfs/dbraw/zinc/70/96/07/1071709607.db2.gz ZUCRTHHUODZZOR-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509956445 1071709965 /nfs/dbraw/zinc/70/99/65/1071709965.db2.gz ZWKZKGBXANMJHM-CQSZACIVSA-N 0 0 425.511 -0.005 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(OC3COC3)cc2)C1 ZINC000509956601 1071709482 /nfs/dbraw/zinc/70/94/82/1071709482.db2.gz IARQZEQQYLEGGF-CQSZACIVSA-N 0 0 426.495 -0.210 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@@](O)(C(N)=O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000509960065 1071715576 /nfs/dbraw/zinc/71/55/76/1071715576.db2.gz NLQNXXGQPLWUAA-KRWDZBQOSA-N 0 0 431.536 -0.220 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@](O)(C(N)=O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000509960462 1071715713 /nfs/dbraw/zinc/71/57/13/1071715713.db2.gz NLQNXXGQPLWUAA-QGZVFWFLSA-N 0 0 431.536 -0.220 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509960635 1071714637 /nfs/dbraw/zinc/71/46/37/1071714637.db2.gz UFHTZAXPSUXLBK-CQSZACIVSA-N 0 0 441.510 -0.021 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1S(=O)(=O)N1CC[C@@](O)(C(N)=O)C1 ZINC000509960926 1071714651 /nfs/dbraw/zinc/71/46/51/1071714651.db2.gz QACNATDTBPGNDE-KRWDZBQOSA-N 0 0 431.536 -0.220 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1S(=O)(=O)N1CC[C@](O)(C(N)=O)C1 ZINC000509960927 1071714643 /nfs/dbraw/zinc/71/46/43/1071714643.db2.gz QACNATDTBPGNDE-QGZVFWFLSA-N 0 0 431.536 -0.220 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000509961526 1071715734 /nfs/dbraw/zinc/71/57/34/1071715734.db2.gz XULSBVATOBREAR-LLVKDONJSA-N 0 0 440.461 -0.873 20 0 IBADRN CC(C)c1noc(CCCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000509963165 1071719589 /nfs/dbraw/zinc/71/95/89/1071719589.db2.gz HQHSFFSLCSICBM-UHFFFAOYSA-N 0 0 443.574 -0.055 20 0 IBADRN Cn1c2ncc(NC(=O)NCc3ccc(C(=O)NCC(N)=O)cc3)cc2c(=O)n(C)c1=O ZINC000509964845 1071719006 /nfs/dbraw/zinc/71/90/06/1071719006.db2.gz VLXQHTCLWQUBOT-UHFFFAOYSA-N 0 0 439.432 -0.831 20 0 IBADRN CC(C)CC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000509965016 1071721363 /nfs/dbraw/zinc/72/13/63/1071721363.db2.gz ZLGFCJRSVKDUEJ-UHFFFAOYSA-N 0 0 445.586 -0.072 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)C1 ZINC000509965477 1071721403 /nfs/dbraw/zinc/72/14/03/1071721403.db2.gz CBEMYHRDMSRLRJ-MRXNPFEDSA-N 0 0 449.537 -0.154 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(NC(=O)C3CC3)nc2)C1 ZINC000509965806 1074508795 /nfs/dbraw/zinc/50/87/95/1074508795.db2.gz DSQOTZMOBGXKIC-CQSZACIVSA-N 0 0 438.510 -0.244 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3ccc(=O)n(C)c3c2)C1 ZINC000509966861 1071720949 /nfs/dbraw/zinc/72/09/49/1071720949.db2.gz ARHZKMGOQMYLQA-OAHLLOKOSA-N 0 0 435.506 -0.136 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1F ZINC000509966884 1071721369 /nfs/dbraw/zinc/72/13/69/1071721369.db2.gz BDKZMRLTDMXURX-GFCCVEGCSA-N 0 0 430.458 -0.062 20 0 IBADRN COc1c(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cccc1C(N)=O ZINC000509967739 1071723421 /nfs/dbraw/zinc/72/34/21/1071723421.db2.gz CRZRSAXLUSPKKG-LLVKDONJSA-N 0 0 427.483 -0.880 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccnc2-c2cnn(C)c2)C1 ZINC000509967743 1071723425 /nfs/dbraw/zinc/72/34/25/1071723425.db2.gz CTFOLEHPDRLTTD-CQSZACIVSA-N 0 0 435.510 -0.192 20 0 IBADRN Cc1ccnc(-n2nccc2NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000509967754 1071723369 /nfs/dbraw/zinc/72/33/69/1071723369.db2.gz CTQRNFHJLIKHCK-CQSZACIVSA-N 0 0 435.510 -0.099 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1C ZINC000509967790 1071723321 /nfs/dbraw/zinc/72/33/21/1071723321.db2.gz JVOBTAADTXUHHL-CQSZACIVSA-N 0 0 430.531 -0.528 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)C1 ZINC000509967816 1071723396 /nfs/dbraw/zinc/72/33/96/1071723396.db2.gz JKYGJQCJCUAQTK-LLVKDONJSA-N 0 0 441.485 -0.563 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000509967881 1071723391 /nfs/dbraw/zinc/72/33/91/1071723391.db2.gz KJRONHYAAYXYSG-JKSUJKDBSA-N 0 0 434.449 -0.086 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cn(C)nc2C(F)(F)F)C1 ZINC000509967968 1071723776 /nfs/dbraw/zinc/72/37/76/1071723776.db2.gz KCZKKXKBCWPUKJ-SECBINFHSA-N 0 0 426.421 -0.236 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)C1 ZINC000509968583 1071723418 /nfs/dbraw/zinc/72/34/18/1071723418.db2.gz LWAUOHKWNYQKBN-GFCCVEGCSA-N 0 0 437.478 -0.762 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)ccc1S(C)(=O)=O ZINC000509968914 1071724353 /nfs/dbraw/zinc/72/43/53/1071724353.db2.gz GGQCICYEYPREHD-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc3c2oc(=O)n3C)C1 ZINC000509968968 1071725722 /nfs/dbraw/zinc/72/57/22/1071725722.db2.gz GYONMODILPOCTM-LLVKDONJSA-N 0 0 425.467 -0.543 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509968976 1071725840 /nfs/dbraw/zinc/72/58/40/1071725840.db2.gz HCJLACSTLVNNDC-CYBMUJFWSA-N 0 0 429.499 -0.568 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)CC3)C1 ZINC000509969727 1071725783 /nfs/dbraw/zinc/72/57/83/1071725783.db2.gz NZVJHLSZLCJQTF-OAHLLOKOSA-N 0 0 437.522 -0.360 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)c1OC ZINC000509970635 1071731617 /nfs/dbraw/zinc/73/16/17/1071731617.db2.gz LRAITKODSMGBIM-UHFFFAOYSA-N 0 0 425.916 -0.122 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000509971082 1071732009 /nfs/dbraw/zinc/73/20/09/1071732009.db2.gz KWVOVNQQULUKJV-UHFFFAOYSA-N 0 0 434.474 -0.146 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc3c2ccn(C)c3=O)C1 ZINC000509972143 1071733000 /nfs/dbraw/zinc/73/30/00/1071733000.db2.gz NEAKOLOGSDLZLV-CYBMUJFWSA-N 0 0 435.506 -0.136 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)cc2NC1=O ZINC000509972187 1071733022 /nfs/dbraw/zinc/73/30/22/1071733022.db2.gz NMQYWXZJPZWYRQ-DGCLKSJQSA-N 0 0 439.494 -0.269 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)cc2NC1=O ZINC000509972190 1071733110 /nfs/dbraw/zinc/73/31/10/1071733110.db2.gz NMQYWXZJPZWYRQ-WCQYABFASA-N 0 0 439.494 -0.269 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c(OC)c1 ZINC000509972840 1071733052 /nfs/dbraw/zinc/73/30/52/1071733052.db2.gz OMXMNYBABQMGQM-CYBMUJFWSA-N 0 0 442.494 -0.193 20 0 IBADRN COc1cc(NC(C)=O)ccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509973238 1071736160 /nfs/dbraw/zinc/73/61/60/1071736160.db2.gz VSPWKTBOYKSDKT-CQSZACIVSA-N 0 0 441.510 -0.021 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)C1 ZINC000509973305 1074508811 /nfs/dbraw/zinc/50/88/11/1074508811.db2.gz WLGUEIJJFGUJST-GFCCVEGCSA-N 0 0 425.467 -0.543 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)C1 ZINC000509973963 1071734044 /nfs/dbraw/zinc/73/40/44/1071734044.db2.gz WSAMPJRJFJGEOF-CYBMUJFWSA-N 0 0 439.494 -0.633 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cnn(C)c2C(F)(F)F)C1 ZINC000509974123 1071739025 /nfs/dbraw/zinc/73/90/25/1071739025.db2.gz SHIJCYIJWWFGFC-SECBINFHSA-N 0 0 426.421 -0.236 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)ccc1F ZINC000509974723 1071736072 /nfs/dbraw/zinc/73/60/72/1071736072.db2.gz ZGKSSYIRONOSRO-CYBMUJFWSA-N 0 0 443.501 -0.147 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509975098 1071741037 /nfs/dbraw/zinc/74/10/37/1071741037.db2.gz VFTRLQYKQOZHCA-CYBMUJFWSA-N 0 0 446.551 -0.276 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1C ZINC000509975112 1071741069 /nfs/dbraw/zinc/74/10/69/1071741069.db2.gz ZSXRNAZDASJGKY-GFCCVEGCSA-N 0 0 429.499 -0.473 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1OC ZINC000509976190 1071741460 /nfs/dbraw/zinc/74/14/60/1071741460.db2.gz KJTZBEDZVDSCIA-UHFFFAOYSA-N 0 0 428.858 -0.356 20 0 IBADRN CCOC(CNS(=O)(=O)c1c(C)cnn1C)CNS(=O)(=O)c1c(C)cnn1C ZINC000509977651 1071768722 /nfs/dbraw/zinc/76/87/22/1071768722.db2.gz UZWFCRYVRAUGFH-UHFFFAOYSA-N 0 0 434.544 -0.568 20 0 IBADRN CC(C)CC(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000509980245 1071798946 /nfs/dbraw/zinc/79/89/46/1071798946.db2.gz ZUULFJHSPSZNIM-UHFFFAOYSA-N 0 0 437.541 -0.264 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000509980450 1071798984 /nfs/dbraw/zinc/79/89/84/1071798984.db2.gz BHKKUNGOBHXMNT-OAHLLOKOSA-N 0 0 425.511 -0.238 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000509980707 1071799038 /nfs/dbraw/zinc/79/90/38/1071799038.db2.gz CAWDMBQLYSMRKE-GFCCVEGCSA-N 0 0 430.458 -0.062 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(-c3nncn3C)c2)C1 ZINC000509981005 1071798888 /nfs/dbraw/zinc/79/88/88/1071798888.db2.gz DPUCWIWRXPBGIB-OAHLLOKOSA-N 0 0 435.510 -0.192 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2c[nH]nc2-c2nccs2)C1 ZINC000509981041 1071798974 /nfs/dbraw/zinc/79/89/74/1071798974.db2.gz FDOCGYUOMJAIQP-SNVBAGLBSA-N 0 0 427.512 -0.141 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(CCO)CC3)CC2)cc1 ZINC000509981843 1071799015 /nfs/dbraw/zinc/79/90/15/1071799015.db2.gz JDTVWFJBQWWPBW-UHFFFAOYSA-N 0 0 438.550 -0.668 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C(C)(C)C(N)=O)cc2)C1 ZINC000509982365 1071798839 /nfs/dbraw/zinc/79/88/39/1071798839.db2.gz LDQXFKOGTDBTOR-OAHLLOKOSA-N 0 0 439.538 -0.225 20 0 IBADRN O=C(CN1CCN(CCO)CC1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000509986608 1071797769 /nfs/dbraw/zinc/79/77/69/1071797769.db2.gz ZZHWZNNXGFZAGI-UHFFFAOYSA-N 0 0 448.948 -0.078 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000509989937 1071798173 /nfs/dbraw/zinc/79/81/73/1071798173.db2.gz JPEUNDQIRYESPG-UHFFFAOYSA-N 0 0 444.473 -0.074 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1 ZINC000509992343 1071802377 /nfs/dbraw/zinc/80/23/77/1071802377.db2.gz LOSBEGZZNKPJGL-CQSZACIVSA-N 0 0 434.492 -0.977 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1 ZINC000509992883 1071802049 /nfs/dbraw/zinc/80/20/49/1071802049.db2.gz QRQQELOSHHNRAH-GFCCVEGCSA-N 0 0 435.476 -0.167 20 0 IBADRN NC(=O)CCCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000509995949 1071802399 /nfs/dbraw/zinc/80/23/99/1071802399.db2.gz HUTRZCYHFIECIQ-UHFFFAOYSA-N 0 0 432.886 -0.319 20 0 IBADRN Cc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(OCC(=O)N(C)C)c1 ZINC000510002301 1071802966 /nfs/dbraw/zinc/80/29/66/1071802966.db2.gz KXZASARBWWREDE-UHFFFAOYSA-N 0 0 428.449 -0.152 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCS(N)(=O)=O)CC2)cc1OC ZINC000510002988 1071802902 /nfs/dbraw/zinc/80/29/02/1071802902.db2.gz YSRDRYULBYCZOS-UHFFFAOYSA-N 0 0 435.524 -0.491 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000510013688 1071803454 /nfs/dbraw/zinc/80/34/54/1071803454.db2.gz KGTNNXUEPAWHCF-UHFFFAOYSA-N 0 0 439.494 -0.508 20 0 IBADRN NC(=O)[C@]1(O)CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCCC3)c2)C1 ZINC000510016541 1071803755 /nfs/dbraw/zinc/80/37/55/1071803755.db2.gz XRZKDPFEEIBAFO-KRWDZBQOSA-N 0 0 431.536 -0.138 20 0 IBADRN NC(=O)[C@@]1(O)CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCCC3)c2)C1 ZINC000510016544 1071803832 /nfs/dbraw/zinc/80/38/32/1071803832.db2.gz XRZKDPFEEIBAFO-QGZVFWFLSA-N 0 0 431.536 -0.138 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CC[C@](O)(C(N)=O)C3)cc2)C1 ZINC000510016757 1071803488 /nfs/dbraw/zinc/80/34/88/1071803488.db2.gz YKKJPMUDTOFZSV-HBUWYVDXSA-N 0 0 445.563 -0.036 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CC[C@@](O)(C(N)=O)C3)cc2)C1 ZINC000510016764 1071803854 /nfs/dbraw/zinc/80/38/54/1071803854.db2.gz YKKJPMUDTOFZSV-IYOUNJFTSA-N 0 0 445.563 -0.036 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CC[C@@](O)(C(N)=O)C3)cc2)C1 ZINC000510016767 1071803578 /nfs/dbraw/zinc/80/35/78/1071803578.db2.gz YKKJPMUDTOFZSV-LBTNJELSSA-N 0 0 445.563 -0.036 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CC[C@](O)(C(N)=O)C3)cc2)C1 ZINC000510016768 1071803508 /nfs/dbraw/zinc/80/35/08/1071803508.db2.gz YKKJPMUDTOFZSV-QWQRMKEZSA-N 0 0 445.563 -0.036 20 0 IBADRN NC(=O)[C@@]1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC000510017473 1071803724 /nfs/dbraw/zinc/80/37/24/1071803724.db2.gz CGDOTTZTAUETBF-GFCCVEGCSA-N 0 0 431.414 -0.862 20 0 IBADRN NC(=O)[C@]1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC000510017474 1071803595 /nfs/dbraw/zinc/80/35/95/1071803595.db2.gz CGDOTTZTAUETBF-LBPRGKRZSA-N 0 0 431.414 -0.862 20 0 IBADRN O=C(CN1CCN(CCO)CC1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000510017919 1071803139 /nfs/dbraw/zinc/80/31/39/1071803139.db2.gz FPYFFLSXISZXMO-UHFFFAOYSA-N 0 0 436.987 -0.156 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NCc2cn(CC(=O)OC)nn2)c1OC ZINC000510018777 1071843158 /nfs/dbraw/zinc/84/31/58/1071843158.db2.gz BJVSOCALMSNRGA-UHFFFAOYSA-N 0 0 431.858 -0.049 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)c1OC ZINC000510018945 1071803128 /nfs/dbraw/zinc/80/31/28/1071803128.db2.gz DCGFWOVCUDHWJQ-JTQLQIEISA-N 0 0 426.900 -0.200 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1OC ZINC000510018952 1071803471 /nfs/dbraw/zinc/80/34/71/1071803471.db2.gz DCGFWOVCUDHWJQ-SNVBAGLBSA-N 0 0 426.900 -0.200 20 0 IBADRN O=C(CN1CCN(CCO)CC1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000510019244 1071843108 /nfs/dbraw/zinc/84/31/08/1071843108.db2.gz MMAIHCIYWLNUOD-UHFFFAOYSA-N 0 0 432.493 -0.592 20 0 IBADRN Cc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(OCC(=O)N(C)C)c1 ZINC000510019264 1071843098 /nfs/dbraw/zinc/84/30/98/1071843098.db2.gz MQKRVNPIGMLCHU-UHFFFAOYSA-N 0 0 428.449 -0.152 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)NCCCO)CC2)c1 ZINC000510019727 1071843093 /nfs/dbraw/zinc/84/30/93/1071843093.db2.gz HCMUPUKKARJGAS-UHFFFAOYSA-N 0 0 427.523 -0.038 20 0 IBADRN O=C(CN1CCN(CCO)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000510020218 1071843147 /nfs/dbraw/zinc/84/31/47/1071843147.db2.gz KEFJLJVAUXFWNQ-UHFFFAOYSA-N 0 0 436.578 -0.382 20 0 IBADRN CC[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000510024086 1071843173 /nfs/dbraw/zinc/84/31/73/1071843173.db2.gz RMOTXRDKCXIKAW-CAOSSQGBSA-N 0 0 433.575 -0.029 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000510024092 1071843263 /nfs/dbraw/zinc/84/32/63/1071843263.db2.gz RMOTXRDKCXIKAW-JJXSEGSLSA-N 0 0 433.575 -0.029 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000510024093 1071843166 /nfs/dbraw/zinc/84/31/66/1071843166.db2.gz RMOTXRDKCXIKAW-JONQDZQNSA-N 0 0 433.575 -0.029 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000510024094 1071843252 /nfs/dbraw/zinc/84/32/52/1071843252.db2.gz RMOTXRDKCXIKAW-VGWMRTNUSA-N 0 0 433.575 -0.029 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@H]3CS(=O)(=O)CCO3)cn2)C[C@H](C)O1 ZINC000510030760 1071842505 /nfs/dbraw/zinc/84/25/05/1071842505.db2.gz RJVYBFLRPHGYJE-GUTXKFCHSA-N 0 0 429.499 -0.546 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@H]3CS(=O)(=O)CCO3)cn2)C[C@@H](C)O1 ZINC000510030761 1071842448 /nfs/dbraw/zinc/84/24/48/1071842448.db2.gz RJVYBFLRPHGYJE-NFAWXSAZSA-N 0 0 429.499 -0.546 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@@H]3CS(=O)(=O)CCO3)cn2)C[C@@H](C)O1 ZINC000510030762 1071842593 /nfs/dbraw/zinc/84/25/93/1071842593.db2.gz RJVYBFLRPHGYJE-UMVBOHGHSA-N 0 0 429.499 -0.546 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@@H]3CS(=O)(=O)CCO3)cn2)C[C@H](C)O1 ZINC000510030763 1071842653 /nfs/dbraw/zinc/84/26/53/1071842653.db2.gz RJVYBFLRPHGYJE-VNHYZAJKSA-N 0 0 429.499 -0.546 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H]1CCCS(=O)(=O)C1)CC2 ZINC000510030898 1071842490 /nfs/dbraw/zinc/84/24/90/1071842490.db2.gz WTPOCWUGJVSMPY-CYBMUJFWSA-N 0 0 443.547 -0.185 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H]1CCCS(=O)(=O)C1)CC2 ZINC000510030916 1071842544 /nfs/dbraw/zinc/84/25/44/1071842544.db2.gz WTPOCWUGJVSMPY-ZDUSSCGKSA-N 0 0 443.547 -0.185 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N1CCN(CC(N)=O)CC1 ZINC000510032428 1070794114 /nfs/dbraw/zinc/79/41/14/1070794114.db2.gz IOJFBEJOHIAZMR-UHFFFAOYSA-N 0 0 448.549 -0.301 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC(O)(CN3CCOCC3)CC2)c1 ZINC000510034089 1070794821 /nfs/dbraw/zinc/79/48/21/1070794821.db2.gz KOPCBDPEMCGXJM-UHFFFAOYSA-N 0 0 441.550 -0.089 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000510035137 1070794928 /nfs/dbraw/zinc/79/49/28/1070794928.db2.gz MGSDIYCBWNXASF-CYBMUJFWSA-N 0 0 434.540 -0.743 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000510035140 1070794589 /nfs/dbraw/zinc/79/45/89/1070794589.db2.gz MGSDIYCBWNXASF-ZDUSSCGKSA-N 0 0 434.540 -0.743 20 0 IBADRN Cc1cnn(C)c1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000510035861 1070794780 /nfs/dbraw/zinc/79/47/80/1070794780.db2.gz PPRREKHXHRWSPH-UHFFFAOYSA-N 0 0 428.492 -0.149 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)NC)CC2)c1 ZINC000510037612 1070798370 /nfs/dbraw/zinc/79/83/70/1070798370.db2.gz GBUXDSMUMQKJJP-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N[C@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000510045591 1070801738 /nfs/dbraw/zinc/80/17/38/1070801738.db2.gz VIIKCXVUGDLONA-HNNXBMFYSA-N 0 0 427.479 -0.495 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N[C@@H]2CCN(CCC(=O)OC)C2=O)c1 ZINC000510045593 1070801974 /nfs/dbraw/zinc/80/19/74/1070801974.db2.gz VIIKCXVUGDLONA-OAHLLOKOSA-N 0 0 427.479 -0.495 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000510047467 1070802991 /nfs/dbraw/zinc/80/29/91/1070802991.db2.gz CAJFGXHJEIZSNL-UHFFFAOYSA-N 0 0 442.519 -0.285 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1cccc(S(=O)(=O)N(CC)CC)c1 ZINC000510053767 1070804425 /nfs/dbraw/zinc/80/44/25/1070804425.db2.gz GCEUKMMPBWSGLV-UHFFFAOYSA-N 0 0 435.524 -0.244 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000510054479 1070804697 /nfs/dbraw/zinc/80/46/97/1070804697.db2.gz JSNDRMNWNIFRGH-UHFFFAOYSA-N 0 0 431.540 -0.019 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CCC(=O)Nc3ccc(C(N)=O)cc3)CC2)o1 ZINC000510057551 1070805039 /nfs/dbraw/zinc/80/50/39/1070805039.db2.gz TZUAOHHBEGTGCN-UHFFFAOYSA-N 0 0 449.489 -0.188 20 0 IBADRN C[C@H](C(=O)Nc1ccc(C(N)=O)cc1)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000510057587 1070805253 /nfs/dbraw/zinc/80/52/53/1070805253.db2.gz UFEAAGBRIVFVLU-GFCCVEGCSA-N 0 0 449.489 -0.189 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(C(N)=O)cc1)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000510057599 1070804675 /nfs/dbraw/zinc/80/46/75/1070804675.db2.gz UFEAAGBRIVFVLU-LBPRGKRZSA-N 0 0 449.489 -0.189 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000510062587 1070805070 /nfs/dbraw/zinc/80/50/70/1070805070.db2.gz IWYVOADEPNTLJI-HNNXBMFYSA-N 0 0 440.522 -0.673 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000510062588 1070805800 /nfs/dbraw/zinc/80/58/00/1070805800.db2.gz IWYVOADEPNTLJI-OAHLLOKOSA-N 0 0 440.522 -0.673 20 0 IBADRN CCNC(=O)NC(=O)CN1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000510063767 1070805404 /nfs/dbraw/zinc/80/54/04/1070805404.db2.gz SIPXDBKHZPGRIO-UHFFFAOYSA-N 0 0 430.483 -0.165 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)CC(=O)N3CCOCC3)CC2)cc1 ZINC000510065792 1070806391 /nfs/dbraw/zinc/80/63/91/1070806391.db2.gz MQWHARDILJZOTO-UHFFFAOYSA-N 0 0 438.550 -0.381 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000510068511 1070806296 /nfs/dbraw/zinc/80/62/96/1070806296.db2.gz KDSFPXQDMRJQEI-UHFFFAOYSA-N 0 0 429.495 -0.152 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000510072565 1070807913 /nfs/dbraw/zinc/80/79/13/1070807913.db2.gz PVMGUGQCUHFLHN-CQSZACIVSA-N 0 0 431.536 -0.298 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCC[C@H]3C(N)=O)CC2)c1 ZINC000510078865 1070809400 /nfs/dbraw/zinc/80/94/00/1070809400.db2.gz JBAKBWUOEQVIGL-HNNXBMFYSA-N 0 0 440.522 -0.514 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCC[C@@H]3C(N)=O)CC2)c1 ZINC000510078866 1070809716 /nfs/dbraw/zinc/80/97/16/1070809716.db2.gz JBAKBWUOEQVIGL-OAHLLOKOSA-N 0 0 440.522 -0.514 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000510080501 1070812154 /nfs/dbraw/zinc/81/21/54/1070812154.db2.gz WLLKNUVBKMZODY-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000510083353 1070811568 /nfs/dbraw/zinc/81/15/68/1070811568.db2.gz HGMGVOBTCPDHAE-GFCCVEGCSA-N 0 0 436.581 -0.237 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000510083356 1070812964 /nfs/dbraw/zinc/81/29/64/1070812964.db2.gz HGMGVOBTCPDHAE-LBPRGKRZSA-N 0 0 436.581 -0.237 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000510083721 1070812120 /nfs/dbraw/zinc/81/21/20/1070812120.db2.gz CSVYLAWNZAABFQ-CYBMUJFWSA-N 0 0 437.565 -0.077 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000510089654 1070814519 /nfs/dbraw/zinc/81/45/19/1070814519.db2.gz UDSFLVWTIUBNER-LLVKDONJSA-N 0 0 426.480 -0.748 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000510090305 1070814398 /nfs/dbraw/zinc/81/43/98/1070814398.db2.gz ZQUVDUCFRAXWQW-GFCCVEGCSA-N 0 0 437.565 -0.220 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000510090306 1070814284 /nfs/dbraw/zinc/81/42/84/1070814284.db2.gz ZQUVDUCFRAXWQW-LBPRGKRZSA-N 0 0 437.565 -0.220 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC(O)(C(N)=O)CC1 ZINC000510093753 1070816572 /nfs/dbraw/zinc/81/65/72/1070816572.db2.gz KOWWADXWMGCWEQ-INIZCTEOSA-N 0 0 441.506 -0.401 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000510100104 1070817848 /nfs/dbraw/zinc/81/78/48/1070817848.db2.gz GQKNGDINPWXIAL-AWEZNQCLSA-N 0 0 431.536 -0.281 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000510100110 1070818415 /nfs/dbraw/zinc/81/84/15/1070818415.db2.gz GQKNGDINPWXIAL-CQSZACIVSA-N 0 0 431.536 -0.281 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000510100526 1070817819 /nfs/dbraw/zinc/81/78/19/1070817819.db2.gz HWIAGWFGPDKPAM-AWEZNQCLSA-N 0 0 431.536 -0.045 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000510100527 1070818335 /nfs/dbraw/zinc/81/83/35/1070818335.db2.gz HWIAGWFGPDKPAM-CQSZACIVSA-N 0 0 431.536 -0.045 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000510102228 1070818446 /nfs/dbraw/zinc/81/84/46/1070818446.db2.gz RCIOWBBUCXUNLO-AWEZNQCLSA-N 0 0 433.552 -0.069 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000510102229 1070818979 /nfs/dbraw/zinc/81/89/79/1070818979.db2.gz RCIOWBBUCXUNLO-CQSZACIVSA-N 0 0 433.552 -0.069 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)cn1C ZINC000510104214 1070818920 /nfs/dbraw/zinc/81/89/20/1070818920.db2.gz XFPGPDBSEHHZDB-OAHLLOKOSA-N 0 0 447.583 -0.331 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)ccc1C(N)=O ZINC000510105321 1070819029 /nfs/dbraw/zinc/81/90/29/1070819029.db2.gz NOKBUUAPEMHRIX-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN CN(C)c1nc(CS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)ns1 ZINC000510106634 1070818754 /nfs/dbraw/zinc/81/87/54/1070818754.db2.gz QIVMRDYRMHUBEP-UHFFFAOYSA-N 0 0 433.537 -0.006 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)cc1 ZINC000510111148 1070820024 /nfs/dbraw/zinc/82/00/24/1070820024.db2.gz ASLZYWPLAKWQIO-UHFFFAOYSA-N 0 0 449.489 -0.819 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000510112236 1070820484 /nfs/dbraw/zinc/82/04/84/1070820484.db2.gz JQZZIWMMEOPFDN-UHFFFAOYSA-N 0 0 444.535 -0.488 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCC(O)(C(N)=O)CC3)cc2)C[C@H](C)O1 ZINC000510114136 1070820602 /nfs/dbraw/zinc/82/06/02/1070820602.db2.gz UGVKLNJWZHSNQA-KBPBESRZSA-N 0 0 425.507 -0.063 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCC(O)(C(N)=O)CC3)cc2)C[C@H](C)O1 ZINC000510114137 1070820517 /nfs/dbraw/zinc/82/05/17/1070820517.db2.gz UGVKLNJWZHSNQA-OKILXGFUSA-N 0 0 425.507 -0.063 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCC(O)(C(N)=O)CC3)cc2)C[C@@H](C)O1 ZINC000510114138 1070820633 /nfs/dbraw/zinc/82/06/33/1070820633.db2.gz UGVKLNJWZHSNQA-ZIAGYGMSSA-N 0 0 425.507 -0.063 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000510115387 1070821618 /nfs/dbraw/zinc/82/16/18/1070821618.db2.gz YDZIYMMYNFMMMA-AWEZNQCLSA-N 0 0 427.479 -0.141 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000510115399 1070821432 /nfs/dbraw/zinc/82/14/32/1070821432.db2.gz YDZIYMMYNFMMMA-CQSZACIVSA-N 0 0 427.479 -0.141 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)NCc1cccc(C(=O)NC2CC2)c1 ZINC000510119106 1070822358 /nfs/dbraw/zinc/82/23/58/1070822358.db2.gz OGQZATLWFLLYGN-UHFFFAOYSA-N 0 0 443.548 -0.329 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000510119807 1070822901 /nfs/dbraw/zinc/82/29/01/1070822901.db2.gz LSRJGFPLBDXWCO-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000510120808 1070824340 /nfs/dbraw/zinc/82/43/40/1070824340.db2.gz TUZPTZYRZIJQPF-OAHLLOKOSA-N 0 0 446.551 -0.194 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000510131768 1070828080 /nfs/dbraw/zinc/82/80/80/1070828080.db2.gz RSAQMPHNSVBCPO-CYBMUJFWSA-N 0 0 433.498 -0.595 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000510133005 1070828051 /nfs/dbraw/zinc/82/80/51/1070828051.db2.gz LYVGHFDXJJPZJW-UHFFFAOYSA-N 0 0 440.569 -0.773 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510133360 1070829361 /nfs/dbraw/zinc/82/93/61/1070829361.db2.gz UAALQAZPMFWXOO-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(c3cc(OC)c(OC)c(OC)c3)C2)CC1 ZINC000510137617 1070830788 /nfs/dbraw/zinc/83/07/88/1070830788.db2.gz WRFWTFKHVAMWLF-AWEZNQCLSA-N 0 0 434.493 -0.045 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(c3cc(OC)c(OC)c(OC)c3)C2)CC1 ZINC000510137618 1070830530 /nfs/dbraw/zinc/83/05/30/1070830530.db2.gz WRFWTFKHVAMWLF-CQSZACIVSA-N 0 0 434.493 -0.045 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CC1 ZINC000510142594 1070832579 /nfs/dbraw/zinc/83/25/79/1070832579.db2.gz HNVOZAQCZNGBLR-UHFFFAOYSA-N 0 0 438.506 -0.275 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1 ZINC000510143532 1070833323 /nfs/dbraw/zinc/83/33/23/1070833323.db2.gz LQOSRUYRDFSPLO-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000510144939 1070833493 /nfs/dbraw/zinc/83/34/93/1070833493.db2.gz MJPSWBCGUFKQAI-GFCCVEGCSA-N 0 0 437.565 -0.077 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000510145916 1070833233 /nfs/dbraw/zinc/83/32/33/1070833233.db2.gz KVPZBIFCTLHTJD-AWEZNQCLSA-N 0 0 446.551 -0.337 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000510145917 1070833385 /nfs/dbraw/zinc/83/33/85/1070833385.db2.gz KVPZBIFCTLHTJD-CQSZACIVSA-N 0 0 446.551 -0.337 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000510147621 1070834036 /nfs/dbraw/zinc/83/40/36/1070834036.db2.gz NSMPGXOVESLOEL-AWEZNQCLSA-N 0 0 448.567 -0.724 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000510147627 1070833955 /nfs/dbraw/zinc/83/39/55/1070833955.db2.gz NSMPGXOVESLOEL-CQSZACIVSA-N 0 0 448.567 -0.724 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)O1)N1CCOCC1 ZINC000510147706 1070833415 /nfs/dbraw/zinc/83/34/15/1070833415.db2.gz DSUSDNAYJLTLLB-DLBZAZTESA-N 0 0 429.539 -0.191 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000510162096 1070842421 /nfs/dbraw/zinc/84/24/21/1070842421.db2.gz UMTQAYFQWUJPPI-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000510162550 1070844438 /nfs/dbraw/zinc/84/44/38/1070844438.db2.gz XITCABRDMMPBMK-CQSZACIVSA-N 0 0 448.567 -0.581 20 0 IBADRN COC(=O)c1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000510176971 1070852717 /nfs/dbraw/zinc/85/27/17/1070852717.db2.gz NHVWRWYXUCPISB-UHFFFAOYSA-N 0 0 429.433 -0.065 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC000510178359 1070852461 /nfs/dbraw/zinc/85/24/61/1070852461.db2.gz VVCYUSXHTQFBJJ-CYBMUJFWSA-N 0 0 449.551 -0.230 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC000510178360 1070852752 /nfs/dbraw/zinc/85/27/52/1070852752.db2.gz VVCYUSXHTQFBJJ-ZDUSSCGKSA-N 0 0 449.551 -0.230 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000510181169 1070852369 /nfs/dbraw/zinc/85/23/69/1070852369.db2.gz AQUYPHQMGFCUQV-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000510181688 1070853072 /nfs/dbraw/zinc/85/30/72/1070853072.db2.gz BXCZZFDAAZSRSW-UHFFFAOYSA-N 0 0 438.444 -0.112 20 0 IBADRN CSCCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000510189169 1070853691 /nfs/dbraw/zinc/85/36/91/1070853691.db2.gz JRWNRKIGSJALHI-UHFFFAOYSA-N 0 0 446.551 -0.139 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCC(CNS(C)(=O)=O)CC2)CC1 ZINC000510189601 1070854118 /nfs/dbraw/zinc/85/41/18/1070854118.db2.gz AYUJJOBIPCLMBK-UHFFFAOYSA-N 0 0 431.603 -0.694 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCCC2)cc1 ZINC000510189950 1070853928 /nfs/dbraw/zinc/85/39/28/1070853928.db2.gz BTEWUZIXTANHNS-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCCC2)cc1 ZINC000510189951 1070854300 /nfs/dbraw/zinc/85/43/00/1070854300.db2.gz BTEWUZIXTANHNS-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000510190124 1070854202 /nfs/dbraw/zinc/85/42/02/1070854202.db2.gz DJQOGMNDRZONCG-CQSZACIVSA-N 0 0 431.536 -0.139 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)N1CCCC1 ZINC000510190419 1070854264 /nfs/dbraw/zinc/85/42/64/1070854264.db2.gz FEBRVFIWCDJPDY-UHFFFAOYSA-N 0 0 425.511 -0.320 20 0 IBADRN CS(=O)(=O)NCC1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000510192706 1070854737 /nfs/dbraw/zinc/85/47/37/1070854737.db2.gz MLEJUHDCSLJIPJ-UHFFFAOYSA-N 0 0 430.552 -0.516 20 0 IBADRN CSCCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000510192737 1070854909 /nfs/dbraw/zinc/85/49/09/1070854909.db2.gz VNRABRARRHFCEW-UHFFFAOYSA-N 0 0 435.616 -0.728 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cccc2c1OCO2 ZINC000510198554 1070855003 /nfs/dbraw/zinc/85/50/03/1070855003.db2.gz FYXDRRSMMTVJPG-UHFFFAOYSA-N 0 0 433.508 -0.265 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC(=O)N1CCCC1 ZINC000510200286 1070854798 /nfs/dbraw/zinc/85/47/98/1070854798.db2.gz PUOXRKMJTDDZDA-UHFFFAOYSA-N 0 0 431.493 -0.240 20 0 IBADRN NS(=O)(=O)CCNC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC000510202789 1070855574 /nfs/dbraw/zinc/85/55/74/1070855574.db2.gz CHEDRCQKUPJMIQ-UHFFFAOYSA-N 0 0 446.507 -0.826 20 0 IBADRN CNC(=O)COc1cccc(CNS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)c1 ZINC000510205431 1070856042 /nfs/dbraw/zinc/85/60/42/1070856042.db2.gz DKIXUTCASPBAIT-UHFFFAOYSA-N 0 0 433.552 -0.098 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(=O)N3CCCC3)c2)CC1 ZINC000510205753 1070855513 /nfs/dbraw/zinc/85/55/13/1070855513.db2.gz GMWVEFZXKLYZBM-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN Cc1cccc([C@@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000510208056 1070855403 /nfs/dbraw/zinc/85/54/03/1070855403.db2.gz DFMSUQMFWBJWKK-HNNXBMFYSA-N 0 0 428.493 -0.296 20 0 IBADRN Cc1cccc([C@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000510208065 1070855539 /nfs/dbraw/zinc/85/55/39/1070855539.db2.gz DFMSUQMFWBJWKK-OAHLLOKOSA-N 0 0 428.493 -0.296 20 0 IBADRN CS(=O)(=O)NCC1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000510209036 1070855612 /nfs/dbraw/zinc/85/56/12/1070855612.db2.gz OFXLOUZOUKZJAG-UHFFFAOYSA-N 0 0 432.568 -0.746 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC(CNS(C)(=O)=O)CC2)c(=O)n(C)c1=O ZINC000510210909 1070855490 /nfs/dbraw/zinc/85/54/90/1070855490.db2.gz TVPZQANLBLCIAE-UHFFFAOYSA-N 0 0 429.543 -0.771 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)N1CCCC1 ZINC000510212416 1070855846 /nfs/dbraw/zinc/85/58/46/1070855846.db2.gz XZMKKUGJJBPMEZ-UHFFFAOYSA-N 0 0 431.493 -0.574 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCCC(=O)OC)CC2)cc1 ZINC000510213003 1070856123 /nfs/dbraw/zinc/85/61/23/1070856123.db2.gz NCQIVPUEBYIDLU-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN Cc1nn(CC(=O)NCCCN(C)S(C)(=O)=O)c(C)c1S(=O)(=O)NC(C)(C)C ZINC000510217888 1070855336 /nfs/dbraw/zinc/85/53/36/1070855336.db2.gz OUWABIQTYALJDD-UHFFFAOYSA-N 0 0 437.588 -0.026 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000510220106 1070856577 /nfs/dbraw/zinc/85/65/77/1070856577.db2.gz KDUVLJMACNYJMN-UHFFFAOYSA-N 0 0 427.523 -0.218 20 0 IBADRN CC(C)C[C@H](CNC(=O)N[C@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000510221290 1070856889 /nfs/dbraw/zinc/85/68/89/1070856889.db2.gz FYAJTESUIDECQC-BRWVUGGUSA-N 0 0 432.587 -0.028 20 0 IBADRN CC(C)C[C@H](CNC(=O)N[C@@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000510221291 1070856763 /nfs/dbraw/zinc/85/67/63/1070856763.db2.gz FYAJTESUIDECQC-GVDBMIGSSA-N 0 0 432.587 -0.028 20 0 IBADRN CC(C)C[C@H](CNC(=O)N[C@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000510221292 1070856666 /nfs/dbraw/zinc/85/66/66/1070856666.db2.gz FYAJTESUIDECQC-IXDOHACOSA-N 0 0 432.587 -0.028 20 0 IBADRN CC(C)C[C@H](CNC(=O)N[C@@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000510221293 1070856297 /nfs/dbraw/zinc/85/62/97/1070856297.db2.gz FYAJTESUIDECQC-YESZJQIVSA-N 0 0 432.587 -0.028 20 0 IBADRN CC(C)OCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000510222316 1070856548 /nfs/dbraw/zinc/85/65/48/1070856548.db2.gz PUVTXVRXKLOIIK-CALCHBBNSA-N 0 0 448.586 -0.194 20 0 IBADRN CC(C)OCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000510222326 1070856607 /nfs/dbraw/zinc/85/66/07/1070856607.db2.gz PUVTXVRXKLOIIK-IAGOWNOFSA-N 0 0 448.586 -0.194 20 0 IBADRN CC(C)OCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000510222330 1070857113 /nfs/dbraw/zinc/85/71/13/1070857113.db2.gz PUVTXVRXKLOIIK-IRXDYDNUSA-N 0 0 448.586 -0.194 20 0 IBADRN CS(=O)(=O)NCC1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000510222774 1070856808 /nfs/dbraw/zinc/85/68/08/1070856808.db2.gz NIUROYXPXBNESB-UHFFFAOYSA-N 0 0 428.540 -0.173 20 0 IBADRN Cc1cccc([C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000510223718 1070857376 /nfs/dbraw/zinc/85/73/76/1070857376.db2.gz UOTLGCIBXBETIU-KRWDZBQOSA-N 0 0 430.505 -0.256 20 0 IBADRN Cc1cccc([C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000510223720 1070857272 /nfs/dbraw/zinc/85/72/72/1070857272.db2.gz UOTLGCIBXBETIU-QGZVFWFLSA-N 0 0 430.505 -0.256 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000510226969 1070858318 /nfs/dbraw/zinc/85/83/18/1070858318.db2.gz GFANTJVYMFPYFZ-OAHLLOKOSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000510227073 1070857301 /nfs/dbraw/zinc/85/73/01/1070857301.db2.gz HEBLHDHHRRAQOG-HUUCEWRRSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000510227074 1070857787 /nfs/dbraw/zinc/85/77/87/1070857787.db2.gz HEBLHDHHRRAQOG-LSDHHAIUSA-N 0 0 447.583 -0.033 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC(CNS(C)(=O)=O)CC1 ZINC000510230069 1070857975 /nfs/dbraw/zinc/85/79/75/1070857975.db2.gz SFEVTIDYWHZVFS-AWEZNQCLSA-N 0 0 446.595 -0.358 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC(CNS(C)(=O)=O)CC1 ZINC000510230070 1070858219 /nfs/dbraw/zinc/85/82/19/1070858219.db2.gz SFEVTIDYWHZVFS-CQSZACIVSA-N 0 0 446.595 -0.358 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000510233179 1070858445 /nfs/dbraw/zinc/85/84/45/1070858445.db2.gz HHNPEEZDIMORRD-HWPZZCPQSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CCCS(=O)(=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000510233180 1070858898 /nfs/dbraw/zinc/85/88/98/1070858898.db2.gz HHNPEEZDIMORRD-MGPLVRAMSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CCCS(=O)(=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000510233181 1070858803 /nfs/dbraw/zinc/85/88/03/1070858803.db2.gz HHNPEEZDIMORRD-QFYYESIMSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000510233182 1070858854 /nfs/dbraw/zinc/85/88/54/1070858854.db2.gz HHNPEEZDIMORRD-QLJPJBMISA-N 0 0 431.492 -0.395 20 0 IBADRN O=S(=O)(N[C@@H]1CCCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510233506 1070859575 /nfs/dbraw/zinc/85/95/75/1070859575.db2.gz LYKOSHPSBCDZMS-CYBMUJFWSA-N 0 0 438.549 -0.437 20 0 IBADRN O=S(=O)(N[C@H]1CCCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510233507 1070859474 /nfs/dbraw/zinc/85/94/74/1070859474.db2.gz LYKOSHPSBCDZMS-ZDUSSCGKSA-N 0 0 438.549 -0.437 20 0 IBADRN COCCN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)CC(=O)OC ZINC000510233705 1070859500 /nfs/dbraw/zinc/85/95/00/1070859500.db2.gz OYLYOMRFOHCLFS-UHFFFAOYSA-N 0 0 429.495 -0.233 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCCOC(C)C)CC2)cn1C ZINC000510233777 1070859119 /nfs/dbraw/zinc/85/91/19/1070859119.db2.gz PVNXPTSVMSUIIU-UHFFFAOYSA-N 0 0 429.543 -0.117 20 0 IBADRN COc1cc(Br)c(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1OC ZINC000510234888 1070859360 /nfs/dbraw/zinc/85/93/60/1070859360.db2.gz DBMDDPVSLPPVTL-UHFFFAOYSA-N 0 0 444.329 -0.024 20 0 IBADRN CC(C)OCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000510234937 1070859386 /nfs/dbraw/zinc/85/93/86/1070859386.db2.gz DTGUJYWLHPJBIA-UHFFFAOYSA-N 0 0 444.510 -0.467 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC(CNS(C)(=O)=O)CC1)S(C)(=O)=O ZINC000510236717 1070860135 /nfs/dbraw/zinc/86/01/35/1070860135.db2.gz CECPQRXLWNZCNJ-UHFFFAOYSA-N 0 0 426.605 -0.576 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CCO1 ZINC000510239012 1070859786 /nfs/dbraw/zinc/85/97/86/1070859786.db2.gz JPSKTTMDYXKDFI-HNNXBMFYSA-N 0 0 425.463 -0.192 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CCO1 ZINC000510239014 1070859809 /nfs/dbraw/zinc/85/98/09/1070859809.db2.gz JPSKTTMDYXKDFI-OAHLLOKOSA-N 0 0 425.463 -0.192 20 0 IBADRN CN(C)c1ncc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1C ZINC000510240924 1070860217 /nfs/dbraw/zinc/86/02/17/1070860217.db2.gz IIBWMPCAEBJZOU-UHFFFAOYSA-N 0 0 443.551 -0.015 20 0 IBADRN CN(CC(=O)Nc1cccc(F)c1)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000510242310 1070861266 /nfs/dbraw/zinc/86/12/66/1070861266.db2.gz NNUWPRKZGRDDJE-UHFFFAOYSA-N 0 0 435.500 -0.301 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC(CNS(C)(=O)=O)CC1 ZINC000510242828 1070859840 /nfs/dbraw/zinc/85/98/40/1070859840.db2.gz NCSWMYQSGVWHKR-CYBMUJFWSA-N 0 0 444.579 -0.127 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC(CNS(C)(=O)=O)CC1 ZINC000510242834 1070859715 /nfs/dbraw/zinc/85/97/15/1070859715.db2.gz NCSWMYQSGVWHKR-ZDUSSCGKSA-N 0 0 444.579 -0.127 20 0 IBADRN CC(C)N(CCCNC(=O)CS(=O)(=O)Cc1nsc(N(C)C)n1)S(C)(=O)=O ZINC000510243990 1070860704 /nfs/dbraw/zinc/86/07/04/1070860704.db2.gz NNPSKSMBXKBUBJ-UHFFFAOYSA-N 0 0 441.601 -0.305 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCCN(S(=O)(=O)CCCC(=O)OC)CC1 ZINC000510248467 1070863363 /nfs/dbraw/zinc/86/33/63/1070863363.db2.gz IDDAMQJSTJSWDW-UHFFFAOYSA-N 0 0 428.529 -0.440 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000510249692 1070863166 /nfs/dbraw/zinc/86/31/66/1070863166.db2.gz NHHXTXSMQQPNQF-UHFFFAOYSA-N 0 0 431.486 -0.220 20 0 IBADRN COCCN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)CC(=O)OC ZINC000510250529 1070863225 /nfs/dbraw/zinc/86/32/25/1070863225.db2.gz RFUJZNHFROWOLO-UHFFFAOYSA-N 0 0 429.495 -0.233 20 0 IBADRN NC(=O)CN(CC(N)=O)S(=O)(=O)c1ccc(-n2ncc(Cl)c(Cl)c2=O)cc1 ZINC000510253239 1070863283 /nfs/dbraw/zinc/86/32/83/1070863283.db2.gz ZNEDJAAGZDVKJD-UHFFFAOYSA-N 0 0 434.261 -0.499 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000510253610 1070862920 /nfs/dbraw/zinc/86/29/20/1070862920.db2.gz IVWLVLSZWXBQBG-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN CCc1nc(CN2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cs1 ZINC000510255515 1070863631 /nfs/dbraw/zinc/86/36/31/1070863631.db2.gz LWRCQCOUPRRGMV-UHFFFAOYSA-N 0 0 431.522 -0.203 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC(F)(F)F)cc2)CC1 ZINC000510256958 1070864138 /nfs/dbraw/zinc/86/41/38/1070864138.db2.gz DEDGIXXQRZLNPG-UHFFFAOYSA-N 0 0 430.430 -0.512 20 0 IBADRN CN(C)c1nc(CS(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)ns1 ZINC000510263941 1070864856 /nfs/dbraw/zinc/86/48/56/1070864856.db2.gz JEGLIUZONNLTKJ-UHFFFAOYSA-N 0 0 427.512 -0.644 20 0 IBADRN Nc1nc(N)nc(SCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)n1 ZINC000510271580 1070875360 /nfs/dbraw/zinc/87/53/60/1070875360.db2.gz PHXQIFAHUDSHDN-CYBMUJFWSA-N 0 0 431.478 -0.700 20 0 IBADRN Nc1nc(N)nc(SCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)n1 ZINC000510271587 1070875197 /nfs/dbraw/zinc/87/51/97/1070875197.db2.gz PHXQIFAHUDSHDN-ZDUSSCGKSA-N 0 0 431.478 -0.700 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000510273752 1070875912 /nfs/dbraw/zinc/87/59/12/1070875912.db2.gz VDVYWSYGPZQNQY-GFCCVEGCSA-N 0 0 436.581 -0.094 20 0 IBADRN C[C@H](Sc1nc(N)nc(N)n1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000510273754 1070876193 /nfs/dbraw/zinc/87/61/93/1070876193.db2.gz VFHYDPKISLIUMI-JTQLQIEISA-N 0 0 439.523 -0.163 20 0 IBADRN C[C@@H](Sc1nc(N)nc(N)n1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000510273755 1070876515 /nfs/dbraw/zinc/87/65/15/1070876515.db2.gz VFHYDPKISLIUMI-SNVBAGLBSA-N 0 0 439.523 -0.163 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510276379 1070877018 /nfs/dbraw/zinc/87/70/18/1070877018.db2.gz ZPQCWSQHOAMNSJ-AWEZNQCLSA-N 0 0 449.555 -0.411 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510276380 1070877274 /nfs/dbraw/zinc/87/72/74/1070877274.db2.gz ZPQCWSQHOAMNSJ-CQSZACIVSA-N 0 0 449.555 -0.411 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccccc3-n3ccc(C(N)=O)n3)c2c(=O)n(C)c1=O ZINC000510276942 1070876409 /nfs/dbraw/zinc/87/64/09/1070876409.db2.gz JCCOYJZDVIBHKO-UHFFFAOYSA-N 0 0 436.432 -0.253 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000510279925 1070881256 /nfs/dbraw/zinc/88/12/56/1070881256.db2.gz FHCHECCNJCQAFJ-UHFFFAOYSA-N 0 0 446.551 -0.148 20 0 IBADRN COCCN(CC(F)F)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000510281809 1070882160 /nfs/dbraw/zinc/88/21/60/1070882160.db2.gz GSHBKFMXQFKSAG-UHFFFAOYSA-N 0 0 448.448 -0.514 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000510282631 1070882598 /nfs/dbraw/zinc/88/25/98/1070882598.db2.gz PDQMVVKCWKFONK-UHFFFAOYSA-N 0 0 446.489 -0.256 20 0 IBADRN CC(=O)NC1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000510285371 1070886101 /nfs/dbraw/zinc/88/61/01/1070886101.db2.gz DXDYPUSFDACEHI-UHFFFAOYSA-N 0 0 430.575 -0.747 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000510287794 1070886459 /nfs/dbraw/zinc/88/64/59/1070886459.db2.gz QVNNIJTVILZGPS-CQSZACIVSA-N 0 0 449.555 -0.269 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1Cc1ccc(CN2CCNC(=O)[C@@H]2CC(=O)OC)cc1 ZINC000510288760 1070886611 /nfs/dbraw/zinc/88/66/11/1070886611.db2.gz QNRWRTYTNONJCS-HDICACEKSA-N 0 0 446.504 -0.586 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1Cc1ccc(CN2CCNC(=O)[C@H]2CC(=O)OC)cc1 ZINC000510288761 1070886281 /nfs/dbraw/zinc/88/62/81/1070886281.db2.gz QNRWRTYTNONJCS-QZTJIDSGSA-N 0 0 446.504 -0.586 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1Cc1ccc(CN2CCNC(=O)[C@@H]2CC(=O)OC)cc1 ZINC000510288762 1070886757 /nfs/dbraw/zinc/88/67/57/1070886757.db2.gz QNRWRTYTNONJCS-ROUUACIJSA-N 0 0 446.504 -0.586 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000510289742 1070886776 /nfs/dbraw/zinc/88/67/76/1070886776.db2.gz QWMWGUXSGXRIRZ-HNNXBMFYSA-N 0 0 430.552 -0.016 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000510289746 1070886735 /nfs/dbraw/zinc/88/67/35/1070886735.db2.gz QWMWGUXSGXRIRZ-OAHLLOKOSA-N 0 0 430.552 -0.016 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000510290462 1070887109 /nfs/dbraw/zinc/88/71/09/1070887109.db2.gz MVRWJGXVSNGABD-HUUCEWRRSA-N 0 0 432.543 -0.147 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000510290469 1070887298 /nfs/dbraw/zinc/88/72/98/1070887298.db2.gz MVRWJGXVSNGABD-LSDHHAIUSA-N 0 0 432.543 -0.147 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)cn1C ZINC000510292470 1070887882 /nfs/dbraw/zinc/88/78/82/1070887882.db2.gz VDSUAQNYYGKEKW-CQSZACIVSA-N 0 0 448.571 -0.832 20 0 IBADRN O=C(NCCN1C(=O)Cc2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000510292681 1070888052 /nfs/dbraw/zinc/88/80/52/1070888052.db2.gz WLTQAVKOSFXTTA-UHFFFAOYSA-N 0 0 437.522 -0.520 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NCCN2CCS(=O)(=O)CC2)c1 ZINC000510293335 1070887785 /nfs/dbraw/zinc/88/77/85/1070887785.db2.gz AWMFDJURTQHUPN-UHFFFAOYSA-N 0 0 431.536 -0.596 20 0 IBADRN COCC(=O)N1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000510296956 1070889087 /nfs/dbraw/zinc/88/90/87/1070889087.db2.gz SPFZJNOIPATQKM-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN COCC(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000510300559 1070892302 /nfs/dbraw/zinc/89/23/02/1070892302.db2.gz WIEXWOZCSXQXLW-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)no1 ZINC000510302083 1073330606 /nfs/dbraw/zinc/33/06/06/1073330606.db2.gz LEHJJXSHAPZLRQ-CQSZACIVSA-N 0 0 428.515 -0.327 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000510303328 1070896206 /nfs/dbraw/zinc/89/62/06/1070896206.db2.gz HCMNOVKRKWJUBU-CQSZACIVSA-N 0 0 431.540 -0.479 20 0 IBADRN Cn1c2ncc(C(=O)Nc3ccc4c(c3)N(S(N)(=O)=O)CC4)cc2c(=O)n(C)c1=O ZINC000510304211 1070895898 /nfs/dbraw/zinc/89/58/98/1070895898.db2.gz AWAFHLNDIWNSEN-UHFFFAOYSA-N 0 0 430.446 -0.550 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000510304616 1070897373 /nfs/dbraw/zinc/89/73/73/1070897373.db2.gz BPZMELBHYIJGOA-OAHLLOKOSA-N 0 0 432.543 -0.147 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000510305360 1070900849 /nfs/dbraw/zinc/90/08/49/1070900849.db2.gz XXOLXXVSYLEFDE-CABCVRRESA-N 0 0 432.543 -0.289 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000510305368 1070900926 /nfs/dbraw/zinc/90/09/26/1070900926.db2.gz XXOLXXVSYLEFDE-GJZGRUSLSA-N 0 0 432.543 -0.289 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000510305369 1070900754 /nfs/dbraw/zinc/90/07/54/1070900754.db2.gz XXOLXXVSYLEFDE-HUUCEWRRSA-N 0 0 432.543 -0.289 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000510305371 1070900632 /nfs/dbraw/zinc/90/06/32/1070900632.db2.gz XXOLXXVSYLEFDE-LSDHHAIUSA-N 0 0 432.543 -0.289 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1 ZINC000510306070 1070898839 /nfs/dbraw/zinc/89/88/39/1070898839.db2.gz QLGIYBLTONSASS-GOSISDBHSA-N 0 0 437.566 -0.089 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1 ZINC000510306072 1070898012 /nfs/dbraw/zinc/89/80/12/1070898012.db2.gz QLGIYBLTONSASS-SFHVURJKSA-N 0 0 437.566 -0.089 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000510306238 1070898189 /nfs/dbraw/zinc/89/81/89/1070898189.db2.gz IFKYEUGEJZTVDD-AWEZNQCLSA-N 0 0 431.540 -0.621 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000510306246 1070898321 /nfs/dbraw/zinc/89/83/21/1070898321.db2.gz IFKYEUGEJZTVDD-CQSZACIVSA-N 0 0 431.540 -0.621 20 0 IBADRN CC(=O)NC1CCC(NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000510306521 1070901441 /nfs/dbraw/zinc/90/14/41/1070901441.db2.gz RQBCIUNODVGPJA-UHFFFAOYSA-N 0 0 441.510 -0.158 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000510306918 1070901631 /nfs/dbraw/zinc/90/16/31/1070901631.db2.gz SOJOHILDVZFRBS-NEPJUHHUSA-N 0 0 425.554 -0.394 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000510306923 1070901392 /nfs/dbraw/zinc/90/13/92/1070901392.db2.gz SOJOHILDVZFRBS-VXGBXAGGSA-N 0 0 425.554 -0.394 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000510307833 1070901659 /nfs/dbraw/zinc/90/16/59/1070901659.db2.gz WEIFRQUSTSHCAD-AWEZNQCLSA-N 0 0 448.567 -0.030 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000510307834 1070901691 /nfs/dbraw/zinc/90/16/91/1070901691.db2.gz WEIFRQUSTSHCAD-CQSZACIVSA-N 0 0 448.567 -0.030 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)CC2)no1 ZINC000510308755 1074355732 /nfs/dbraw/zinc/35/57/32/1074355732.db2.gz YUHMKLOYDOMUBH-AWEZNQCLSA-N 0 0 428.515 -0.470 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)CC2)no1 ZINC000510308758 1074355515 /nfs/dbraw/zinc/35/55/15/1074355515.db2.gz YUHMKLOYDOMUBH-CQSZACIVSA-N 0 0 428.515 -0.470 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000510310212 1070902389 /nfs/dbraw/zinc/90/23/89/1070902389.db2.gz XVNKFJDRXGSWGB-OAHLLOKOSA-N 0 0 445.567 -0.410 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000510318376 1070914069 /nfs/dbraw/zinc/91/40/69/1070914069.db2.gz LENKIGMCADUWJW-CABCVRRESA-N 0 0 436.542 -0.079 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000510318379 1070914204 /nfs/dbraw/zinc/91/42/04/1070914204.db2.gz LENKIGMCADUWJW-GJZGRUSLSA-N 0 0 436.542 -0.079 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000510318381 1070914383 /nfs/dbraw/zinc/91/43/83/1070914383.db2.gz LENKIGMCADUWJW-HUUCEWRRSA-N 0 0 436.542 -0.079 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000510318383 1070914879 /nfs/dbraw/zinc/91/48/79/1070914879.db2.gz LENKIGMCADUWJW-LSDHHAIUSA-N 0 0 436.542 -0.079 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510321354 1070920318 /nfs/dbraw/zinc/92/03/18/1070920318.db2.gz GTZVCBIJWIYBMD-DLBZAZTESA-N 0 0 429.587 -0.004 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510321355 1070920915 /nfs/dbraw/zinc/92/09/15/1070920915.db2.gz GTZVCBIJWIYBMD-IAGOWNOFSA-N 0 0 429.587 -0.004 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510321356 1070921178 /nfs/dbraw/zinc/92/11/78/1070921178.db2.gz GTZVCBIJWIYBMD-IRXDYDNUSA-N 0 0 429.587 -0.004 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510321357 1070921154 /nfs/dbraw/zinc/92/11/54/1070921154.db2.gz GTZVCBIJWIYBMD-SJORKVTESA-N 0 0 429.587 -0.004 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000510321576 1070922889 /nfs/dbraw/zinc/92/28/89/1070922889.db2.gz XGXZJBYCDCYIPI-AWEZNQCLSA-N 0 0 445.567 -0.553 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000510321577 1070922501 /nfs/dbraw/zinc/92/25/01/1070922501.db2.gz XGXZJBYCDCYIPI-CQSZACIVSA-N 0 0 445.567 -0.553 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000510324093 1070925705 /nfs/dbraw/zinc/92/57/05/1070925705.db2.gz PKTQPHRPJLEABZ-HZPDHXFCSA-N 0 0 433.575 -0.721 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000510324100 1070925904 /nfs/dbraw/zinc/92/59/04/1070925904.db2.gz PKTQPHRPJLEABZ-JKSUJKDBSA-N 0 0 433.575 -0.721 20 0 IBADRN Cn1c2nc(C(=O)Nc3ccc4c(c3)N(S(N)(=O)=O)CC4)ccc2c(=O)n(C)c1=O ZINC000510324790 1070928539 /nfs/dbraw/zinc/92/85/39/1070928539.db2.gz RXEBIGYLWMXPQY-UHFFFAOYSA-N 0 0 430.446 -0.550 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)C1 ZINC000510324984 1070928518 /nfs/dbraw/zinc/92/85/18/1070928518.db2.gz SCXAHUHLCUPYLZ-KRWDZBQOSA-N 0 0 429.587 -0.002 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)C1 ZINC000510324993 1070928499 /nfs/dbraw/zinc/92/84/99/1070928499.db2.gz SCXAHUHLCUPYLZ-QGZVFWFLSA-N 0 0 429.587 -0.002 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000510326114 1070929088 /nfs/dbraw/zinc/92/90/88/1070929088.db2.gz VSGPSAJZEQTFAN-BBRMVZONSA-N 0 0 432.568 -0.054 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000510326115 1070929314 /nfs/dbraw/zinc/92/93/14/1070929314.db2.gz VSGPSAJZEQTFAN-CJNGLKHVSA-N 0 0 432.568 -0.054 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000510326117 1070929065 /nfs/dbraw/zinc/92/90/65/1070929065.db2.gz VSGPSAJZEQTFAN-CZUORRHYSA-N 0 0 432.568 -0.054 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000510326118 1070929242 /nfs/dbraw/zinc/92/92/42/1070929242.db2.gz VSGPSAJZEQTFAN-XJKSGUPXSA-N 0 0 432.568 -0.054 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510327019 1070929897 /nfs/dbraw/zinc/92/98/97/1070929897.db2.gz XVAUCBJPEJSDTQ-CVEARBPZSA-N 0 0 433.575 -0.864 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510327020 1070929652 /nfs/dbraw/zinc/92/96/52/1070929652.db2.gz XVAUCBJPEJSDTQ-HOTGVXAUSA-N 0 0 433.575 -0.864 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510327022 1070930043 /nfs/dbraw/zinc/93/00/43/1070930043.db2.gz XVAUCBJPEJSDTQ-HZPDHXFCSA-N 0 0 433.575 -0.864 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000510327023 1070930055 /nfs/dbraw/zinc/93/00/55/1070930055.db2.gz XVAUCBJPEJSDTQ-JKSUJKDBSA-N 0 0 433.575 -0.864 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000510329663 1070934250 /nfs/dbraw/zinc/93/42/50/1070934250.db2.gz ROIJGGAEHAEDTK-BLLLJJGKSA-N 0 0 446.551 -0.291 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000510329664 1070934224 /nfs/dbraw/zinc/93/42/24/1070934224.db2.gz ROIJGGAEHAEDTK-LRDDRELGSA-N 0 0 446.551 -0.291 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000510329665 1070934217 /nfs/dbraw/zinc/93/42/17/1070934217.db2.gz ROIJGGAEHAEDTK-MLGOLLRUSA-N 0 0 446.551 -0.291 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000510329666 1070934026 /nfs/dbraw/zinc/93/40/26/1070934026.db2.gz ROIJGGAEHAEDTK-WBMJQRKESA-N 0 0 446.551 -0.291 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(c4ncccn4)CC3)CC2=O)c1 ZINC000510332350 1070939577 /nfs/dbraw/zinc/93/95/77/1070939577.db2.gz JFROJXZQHUEODF-AWEZNQCLSA-N 0 0 430.490 -0.174 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(c4ncccn4)CC3)CC2=O)c1 ZINC000510332351 1070939474 /nfs/dbraw/zinc/93/94/74/1070939474.db2.gz JFROJXZQHUEODF-CQSZACIVSA-N 0 0 430.490 -0.174 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510332942 1070937283 /nfs/dbraw/zinc/93/72/83/1070937283.db2.gz MHWNXJYIMCMZHC-UHFFFAOYSA-N 0 0 433.552 -0.005 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2=O)c1 ZINC000510334203 1070939353 /nfs/dbraw/zinc/93/93/53/1070939353.db2.gz CGTATCQFNHHDNA-KRWDZBQOSA-N 0 0 445.520 -0.014 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2=O)c1 ZINC000510334204 1070939588 /nfs/dbraw/zinc/93/95/88/1070939588.db2.gz CGTATCQFNHHDNA-QGZVFWFLSA-N 0 0 445.520 -0.014 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000510335284 1070939377 /nfs/dbraw/zinc/93/93/77/1070939377.db2.gz UEWNGEWTIBAWPR-GOSISDBHSA-N 0 0 436.557 -0.147 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000510335286 1070940294 /nfs/dbraw/zinc/94/02/94/1070940294.db2.gz UEWNGEWTIBAWPR-SFHVURJKSA-N 0 0 436.557 -0.147 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000510335871 1070943358 /nfs/dbraw/zinc/94/33/58/1070943358.db2.gz KYZNTTZVKOMONZ-CQSZACIVSA-N 0 0 435.528 -0.744 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)CC2=O)c1 ZINC000510337774 1070945283 /nfs/dbraw/zinc/94/52/83/1070945283.db2.gz CHRWHYSFJFXUQX-HNNXBMFYSA-N 0 0 429.477 -0.067 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)CC2=O)c1 ZINC000510337775 1070945277 /nfs/dbraw/zinc/94/52/77/1070945277.db2.gz CHRWHYSFJFXUQX-OAHLLOKOSA-N 0 0 429.477 -0.067 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC000510338263 1070945058 /nfs/dbraw/zinc/94/50/58/1070945058.db2.gz CVPFOZBAIHSEQJ-KBPBESRZSA-N 0 0 438.506 -0.207 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC000510338266 1070945255 /nfs/dbraw/zinc/94/52/55/1070945255.db2.gz CVPFOZBAIHSEQJ-KGLIPLIRSA-N 0 0 438.506 -0.207 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC000510338269 1070945294 /nfs/dbraw/zinc/94/52/94/1070945294.db2.gz CVPFOZBAIHSEQJ-UONOGXRCSA-N 0 0 438.506 -0.207 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC000510338271 1070945305 /nfs/dbraw/zinc/94/53/05/1070945305.db2.gz CVPFOZBAIHSEQJ-ZIAGYGMSSA-N 0 0 438.506 -0.207 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000510339036 1070945314 /nfs/dbraw/zinc/94/53/14/1070945314.db2.gz DSXNXRQJQJDLTR-KBPBESRZSA-N 0 0 426.561 -0.944 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000510339037 1070945145 /nfs/dbraw/zinc/94/51/45/1070945145.db2.gz DSXNXRQJQJDLTR-KGLIPLIRSA-N 0 0 426.561 -0.944 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000510339038 1070945267 /nfs/dbraw/zinc/94/52/67/1070945267.db2.gz DSXNXRQJQJDLTR-UONOGXRCSA-N 0 0 426.561 -0.944 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000510339039 1070945206 /nfs/dbraw/zinc/94/52/06/1070945206.db2.gz DSXNXRQJQJDLTR-ZIAGYGMSSA-N 0 0 426.561 -0.944 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000510342341 1070945943 /nfs/dbraw/zinc/94/59/43/1070945943.db2.gz NOYJEFDKLPIUHV-CYBMUJFWSA-N 0 0 447.539 -0.744 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000510344810 1070945833 /nfs/dbraw/zinc/94/58/33/1070945833.db2.gz XRUQBAHWBSKJAC-KGLIPLIRSA-N 0 0 426.561 -0.802 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000510344811 1070946885 /nfs/dbraw/zinc/94/68/85/1070946885.db2.gz XRUQBAHWBSKJAC-ZIAGYGMSSA-N 0 0 426.561 -0.802 20 0 IBADRN CCN(CC(=O)NC[C@H]1COc2ccccc2O1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000510353380 1070948789 /nfs/dbraw/zinc/94/87/89/1070948789.db2.gz XHUZTRWZKIZDOQ-GJZGRUSLSA-N 0 0 425.507 -0.432 20 0 IBADRN CCN(CC(=O)NC[C@@H]1COc2ccccc2O1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000510353381 1070948960 /nfs/dbraw/zinc/94/89/60/1070948960.db2.gz XHUZTRWZKIZDOQ-LSDHHAIUSA-N 0 0 425.507 -0.432 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCN(C(=O)N3CCCC3)C1)C2 ZINC000510354964 1070948930 /nfs/dbraw/zinc/94/89/30/1070948930.db2.gz RIUXXPMSNKBPPZ-HNNXBMFYSA-N 0 0 448.528 -0.662 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCN(C(=O)N3CCCC3)C1)C2 ZINC000510354972 1070949780 /nfs/dbraw/zinc/94/97/80/1070949780.db2.gz RIUXXPMSNKBPPZ-OAHLLOKOSA-N 0 0 448.528 -0.662 20 0 IBADRN CCS(=O)(=O)NCCCN(CCO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000510357449 1070951833 /nfs/dbraw/zinc/95/18/33/1070951833.db2.gz HWTQCGRYFJZJSS-UHFFFAOYSA-N 0 0 425.511 -0.293 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000510359446 1070953797 /nfs/dbraw/zinc/95/37/97/1070953797.db2.gz DDPOWXGRGXZCKH-CYBMUJFWSA-N 0 0 444.535 -0.819 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000510359450 1070953936 /nfs/dbraw/zinc/95/39/36/1070953936.db2.gz DDPOWXGRGXZCKH-ZDUSSCGKSA-N 0 0 444.535 -0.819 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000510362148 1070956132 /nfs/dbraw/zinc/95/61/32/1070956132.db2.gz GPWBRXDEWNZXIJ-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000510363476 1070958173 /nfs/dbraw/zinc/95/81/73/1070958173.db2.gz QHKSQTOWRGEILW-INIZCTEOSA-N 0 0 448.524 -0.331 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000510363477 1070958449 /nfs/dbraw/zinc/95/84/49/1070958449.db2.gz QHKSQTOWRGEILW-MRXNPFEDSA-N 0 0 448.524 -0.331 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000510363532 1070958352 /nfs/dbraw/zinc/95/83/52/1070958352.db2.gz LXSSYVUEFLUXQU-UHFFFAOYSA-N 0 0 425.554 -0.455 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000510367505 1070964299 /nfs/dbraw/zinc/96/42/99/1070964299.db2.gz QEJKFJODNXUCEM-CYBMUJFWSA-N 0 0 437.522 -0.987 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000510367506 1070964831 /nfs/dbraw/zinc/96/48/31/1070964831.db2.gz QEJKFJODNXUCEM-ZDUSSCGKSA-N 0 0 437.522 -0.987 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)CC1)N1CCOCC1 ZINC000510367921 1070964082 /nfs/dbraw/zinc/96/40/82/1070964082.db2.gz VLJXULVRGMUFBW-GOSISDBHSA-N 0 0 436.557 -0.147 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)CC1)N1CCOCC1 ZINC000510367922 1070964139 /nfs/dbraw/zinc/96/41/39/1070964139.db2.gz VLJXULVRGMUFBW-SFHVURJKSA-N 0 0 436.557 -0.147 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000510368753 1070965632 /nfs/dbraw/zinc/96/56/32/1070965632.db2.gz FZBHYNUOWKRKNC-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000510369486 1070965525 /nfs/dbraw/zinc/96/55/25/1070965525.db2.gz OFLVUTUUKVTDHG-UHFFFAOYSA-N 0 0 425.554 -0.455 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000510371378 1070966721 /nfs/dbraw/zinc/96/67/21/1070966721.db2.gz BKZMJLCDFGRGDZ-UHFFFAOYSA-N 0 0 430.465 -0.061 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000510371803 1070966147 /nfs/dbraw/zinc/96/61/47/1070966147.db2.gz HUMFDBMEHNRDAM-UHFFFAOYSA-N 0 0 444.598 -0.169 20 0 IBADRN CCS(=O)(=O)NCCCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000510372272 1070966156 /nfs/dbraw/zinc/96/61/56/1070966156.db2.gz IPZMZLCTBAFUSR-UHFFFAOYSA-N 0 0 446.551 -0.347 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1C ZINC000510376672 1070968442 /nfs/dbraw/zinc/96/84/42/1070968442.db2.gz LOYOOPHDZVVRQX-UHFFFAOYSA-N 0 0 439.581 -0.147 20 0 IBADRN CS(=O)(=O)NCC1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000510379353 1070968253 /nfs/dbraw/zinc/96/82/53/1070968253.db2.gz TUZMZDXKXVCGNZ-UHFFFAOYSA-N 0 0 439.581 -0.444 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)CC2=O)c1 ZINC000510379909 1070969209 /nfs/dbraw/zinc/96/92/09/1070969209.db2.gz TXUYWGVEGSRWKC-KRWDZBQOSA-N 0 0 445.520 -0.014 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)CC2=O)c1 ZINC000510379910 1070969157 /nfs/dbraw/zinc/96/91/57/1070969157.db2.gz TXUYWGVEGSRWKC-QGZVFWFLSA-N 0 0 445.520 -0.014 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(CC(=O)NC4CC4)CC3)CC2=O)c1 ZINC000510381868 1070969243 /nfs/dbraw/zinc/96/92/43/1070969243.db2.gz ZVMIWOSXTBYPGT-AWEZNQCLSA-N 0 0 449.533 -0.890 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(CC(=O)NC4CC4)CC3)CC2=O)c1 ZINC000510381873 1070968840 /nfs/dbraw/zinc/96/88/40/1070968840.db2.gz ZVMIWOSXTBYPGT-CQSZACIVSA-N 0 0 449.533 -0.890 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCNC(=O)c3cccnc3)CC2=O)c1 ZINC000510383775 1070969856 /nfs/dbraw/zinc/96/98/56/1070969856.db2.gz VHLPIZINPKHJGM-AWEZNQCLSA-N 0 0 431.474 -0.372 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCNC(=O)c3cccnc3)CC2=O)c1 ZINC000510383781 1070969890 /nfs/dbraw/zinc/96/98/90/1070969890.db2.gz VHLPIZINPKHJGM-CQSZACIVSA-N 0 0 431.474 -0.372 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC2(O)CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000510386908 1070969804 /nfs/dbraw/zinc/96/98/04/1070969804.db2.gz RSAJFMRFIWGHGV-KRWDZBQOSA-N 0 0 427.479 -0.040 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC2(O)CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000510386909 1070970570 /nfs/dbraw/zinc/97/05/70/1070970570.db2.gz RSAJFMRFIWGHGV-QGZVFWFLSA-N 0 0 427.479 -0.040 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000510388478 1070971203 /nfs/dbraw/zinc/97/12/03/1070971203.db2.gz IWHFKHAUXVLMHW-CYBMUJFWSA-N 0 0 446.551 -0.525 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000510388479 1070971387 /nfs/dbraw/zinc/97/13/87/1070971387.db2.gz IWHFKHAUXVLMHW-ZDUSSCGKSA-N 0 0 446.551 -0.525 20 0 IBADRN CC(=O)NCCN(Cc1ccc(C)s1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000510388970 1070971178 /nfs/dbraw/zinc/97/11/78/1070971178.db2.gz KNXSENRTOZHTAL-UHFFFAOYSA-N 0 0 438.597 -0.183 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000510389158 1070971474 /nfs/dbraw/zinc/97/14/74/1070971474.db2.gz ODPMHHKLEPHRMS-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN CCN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000510389523 1070972149 /nfs/dbraw/zinc/97/21/49/1070972149.db2.gz QYXAKDWBGGGORS-GXTWGEPZSA-N 0 0 429.520 -0.278 20 0 IBADRN CCN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000510389524 1070972217 /nfs/dbraw/zinc/97/22/17/1070972217.db2.gz QYXAKDWBGGGORS-JSGCOSHPSA-N 0 0 429.520 -0.278 20 0 IBADRN CCN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000510389525 1070972014 /nfs/dbraw/zinc/97/20/14/1070972014.db2.gz QYXAKDWBGGGORS-OCCSQVGLSA-N 0 0 429.520 -0.278 20 0 IBADRN CCN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000510389526 1070972128 /nfs/dbraw/zinc/97/21/28/1070972128.db2.gz QYXAKDWBGGGORS-TZMCWYRMSA-N 0 0 429.520 -0.278 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000510390683 1070971931 /nfs/dbraw/zinc/97/19/31/1070971931.db2.gz ZRZUQQNINIGEGV-CYBMUJFWSA-N 0 0 444.535 -0.819 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000510390684 1070972000 /nfs/dbraw/zinc/97/20/00/1070972000.db2.gz ZRZUQQNINIGEGV-ZDUSSCGKSA-N 0 0 444.535 -0.819 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000510392316 1070971964 /nfs/dbraw/zinc/97/19/64/1070971964.db2.gz CGJODLAZNIJOCA-UHFFFAOYSA-N 0 0 428.449 -0.307 20 0 IBADRN O=S(=O)(NCCC1(O)CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510392989 1070972938 /nfs/dbraw/zinc/97/29/38/1070972938.db2.gz LJIRPYWXCHWBBI-UHFFFAOYSA-N 0 0 434.536 -0.083 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000510399307 1070973583 /nfs/dbraw/zinc/97/35/83/1070973583.db2.gz RHTDPDNVOHWPPW-GDBMZVCRSA-N 0 0 426.543 -0.405 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000510399320 1070973377 /nfs/dbraw/zinc/97/33/77/1070973377.db2.gz RHTDPDNVOHWPPW-GOEBONIOSA-N 0 0 426.543 -0.405 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000510399323 1070973600 /nfs/dbraw/zinc/97/36/00/1070973600.db2.gz RHTDPDNVOHWPPW-HOCLYGCPSA-N 0 0 426.543 -0.405 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000510399326 1070973432 /nfs/dbraw/zinc/97/34/32/1070973432.db2.gz RHTDPDNVOHWPPW-ZBFHGGJFSA-N 0 0 426.543 -0.405 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CC2=O)c1 ZINC000510404965 1070973346 /nfs/dbraw/zinc/97/33/46/1070973346.db2.gz AJTOWUPZYMVAND-GFCCVEGCSA-N 0 0 446.489 -0.199 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CC2=O)c1 ZINC000510404968 1070974228 /nfs/dbraw/zinc/97/42/28/1070974228.db2.gz AJTOWUPZYMVAND-LBPRGKRZSA-N 0 0 446.489 -0.199 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)CC3CCCC3)CC2)CC1 ZINC000510410481 1070975019 /nfs/dbraw/zinc/97/50/19/1070975019.db2.gz CEDUHXXDMXRLEW-UHFFFAOYSA-N 0 0 444.602 -0.498 20 0 IBADRN CC(=O)NCCN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)[C@H](C)C(=O)OC(C)(C)C ZINC000510423400 1070976570 /nfs/dbraw/zinc/97/65/70/1070976570.db2.gz DXNIMGXMIYBWKW-LLVKDONJSA-N 0 0 425.486 -0.983 20 0 IBADRN CC(=O)NCCN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)[C@@H](C)C(=O)OC(C)(C)C ZINC000510423401 1070976562 /nfs/dbraw/zinc/97/65/62/1070976562.db2.gz DXNIMGXMIYBWKW-NSHDSACASA-N 0 0 425.486 -0.983 20 0 IBADRN Cc1noc(C2(C)CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)n1 ZINC000510423705 1071254498 /nfs/dbraw/zinc/25/44/98/1071254498.db2.gz HBXRCPHGHXSIDL-UHFFFAOYSA-N 0 0 434.541 -0.265 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@H](c3ccc(F)cc3)C2)CC1 ZINC000510429865 1070980183 /nfs/dbraw/zinc/98/01/83/1070980183.db2.gz VTGWJWWZHHUSFB-DOTOQJQBSA-N 0 0 429.456 -0.065 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H](c3ccc(F)cc3)C2)CC1 ZINC000510429871 1070980551 /nfs/dbraw/zinc/98/05/51/1070980551.db2.gz VTGWJWWZHHUSFB-NVXWUHKLSA-N 0 0 429.456 -0.065 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CC[C@H](c3ccc(F)cc3)C2)CC1 ZINC000510429872 1070980627 /nfs/dbraw/zinc/98/06/27/1070980627.db2.gz VTGWJWWZHHUSFB-RDJZCZTQSA-N 0 0 429.456 -0.065 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](c3ccc(F)cc3)C2)CC1 ZINC000510429873 1070980559 /nfs/dbraw/zinc/98/05/59/1070980559.db2.gz VTGWJWWZHHUSFB-WBVHZDCISA-N 0 0 429.456 -0.065 20 0 IBADRN CC(C)(CCCO)CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000510435088 1070985091 /nfs/dbraw/zinc/98/50/91/1070985091.db2.gz RQFWIZRCKPZIJD-UHFFFAOYSA-N 0 0 434.541 -0.123 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000510436273 1070985400 /nfs/dbraw/zinc/98/54/00/1070985400.db2.gz ZKFCPCWGJPAMTO-CHWSQXEVSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000510436274 1070985197 /nfs/dbraw/zinc/98/51/97/1070985197.db2.gz ZKFCPCWGJPAMTO-OLZOCXBDSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000510436275 1070985178 /nfs/dbraw/zinc/98/51/78/1070985178.db2.gz ZKFCPCWGJPAMTO-QWHCGFSZSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000510436276 1070985529 /nfs/dbraw/zinc/98/55/29/1070985529.db2.gz ZKFCPCWGJPAMTO-STQMWFEESA-N 0 0 444.535 -0.773 20 0 IBADRN COc1cc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc(OC)c1OCC(N)=O ZINC000510436380 1070985377 /nfs/dbraw/zinc/98/53/77/1070985377.db2.gz QAMPYUFPZIZQAK-UHFFFAOYSA-N 0 0 448.476 -0.639 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000510438205 1070987022 /nfs/dbraw/zinc/98/70/22/1070987022.db2.gz OBSRUIBXLSGCGI-CYBMUJFWSA-N 0 0 426.495 -0.702 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000510438206 1070986954 /nfs/dbraw/zinc/98/69/54/1070986954.db2.gz OBSRUIBXLSGCGI-ZDUSSCGKSA-N 0 0 426.495 -0.702 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@@H](CNC(=O)CC(F)(F)F)C2)c(=O)n(C)c1=O ZINC000510444817 1070989732 /nfs/dbraw/zinc/98/97/32/1070989732.db2.gz OIMJBLCDGDGFJF-JTQLQIEISA-N 0 0 426.417 -0.447 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@H](CNC(=O)CC(F)(F)F)C2)c(=O)n(C)c1=O ZINC000510444822 1070989666 /nfs/dbraw/zinc/98/96/66/1070989666.db2.gz OIMJBLCDGDGFJF-SNVBAGLBSA-N 0 0 426.417 -0.447 20 0 IBADRN CC(=O)NCCN(CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H](C)C(=O)OC(C)(C)C ZINC000510445802 1070989992 /nfs/dbraw/zinc/98/99/92/1070989992.db2.gz QPZUNTFPPXNTQJ-CYBMUJFWSA-N 0 0 436.513 -0.398 20 0 IBADRN CC(=O)NCCN(CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H](C)C(=O)OC(C)(C)C ZINC000510445803 1070989745 /nfs/dbraw/zinc/98/97/45/1070989745.db2.gz QPZUNTFPPXNTQJ-ZDUSSCGKSA-N 0 0 436.513 -0.398 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000510448534 1070990881 /nfs/dbraw/zinc/99/08/81/1070990881.db2.gz UYZDUFAMDQZPMT-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000510449260 1070990593 /nfs/dbraw/zinc/99/05/93/1070990593.db2.gz GAUBLXYQRFOJMZ-UHFFFAOYSA-N 0 0 425.554 -0.455 20 0 IBADRN CC(=O)NCCN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)OC(C)(C)C ZINC000510450979 1070991333 /nfs/dbraw/zinc/99/13/33/1070991333.db2.gz FQQPZSFFARSLOJ-GXTWGEPZSA-N 0 0 448.542 -0.832 20 0 IBADRN CC(=O)NCCN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)OC(C)(C)C ZINC000510450980 1070991519 /nfs/dbraw/zinc/99/15/19/1070991519.db2.gz FQQPZSFFARSLOJ-JSGCOSHPSA-N 0 0 448.542 -0.832 20 0 IBADRN CC(=O)NCCN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)OC(C)(C)C ZINC000510450981 1070991476 /nfs/dbraw/zinc/99/14/76/1070991476.db2.gz FQQPZSFFARSLOJ-OCCSQVGLSA-N 0 0 448.542 -0.832 20 0 IBADRN CC(=O)NCCN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)OC(C)(C)C ZINC000510450982 1070991296 /nfs/dbraw/zinc/99/12/96/1070991296.db2.gz FQQPZSFFARSLOJ-TZMCWYRMSA-N 0 0 448.542 -0.832 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC(CNS(C)(=O)=O)CC1)OCC(=O)N2 ZINC000510457190 1070992943 /nfs/dbraw/zinc/99/29/43/1070992943.db2.gz GNFGMLGMVKNRKS-UHFFFAOYSA-N 0 0 433.508 -0.024 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000510460844 1070994556 /nfs/dbraw/zinc/99/45/56/1070994556.db2.gz YIOPUELMOJARKX-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN O=C(CC1CCCC1)NCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000510465162 1070997076 /nfs/dbraw/zinc/99/70/76/1070997076.db2.gz YYCGZVSEKNRTML-UHFFFAOYSA-N 0 0 431.559 -0.413 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000510476014 1071003402 /nfs/dbraw/zinc/00/34/02/1071003402.db2.gz HSEJISAPUMLFKL-CABCVRRESA-N 0 0 447.583 -0.175 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000510476019 1071003587 /nfs/dbraw/zinc/00/35/87/1071003587.db2.gz HSEJISAPUMLFKL-GJZGRUSLSA-N 0 0 447.583 -0.175 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000510476021 1071003381 /nfs/dbraw/zinc/00/33/81/1071003381.db2.gz HSEJISAPUMLFKL-HUUCEWRRSA-N 0 0 447.583 -0.175 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000510476023 1071003459 /nfs/dbraw/zinc/00/34/59/1071003459.db2.gz HSEJISAPUMLFKL-LSDHHAIUSA-N 0 0 447.583 -0.175 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC000510477569 1071003573 /nfs/dbraw/zinc/00/35/73/1071003573.db2.gz YLKVNHWTZAEMTP-OCCSQVGLSA-N 0 0 427.479 -0.383 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC000510477578 1071003476 /nfs/dbraw/zinc/00/34/76/1071003476.db2.gz YLKVNHWTZAEMTP-TZMCWYRMSA-N 0 0 427.479 -0.383 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000510479035 1071003872 /nfs/dbraw/zinc/00/38/72/1071003872.db2.gz XZBTVQVYJSGBQW-GFCCVEGCSA-N 0 0 437.565 -0.220 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000510479036 1071003893 /nfs/dbraw/zinc/00/38/93/1071003893.db2.gz XZBTVQVYJSGBQW-LBPRGKRZSA-N 0 0 437.565 -0.220 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)C2(S(C)(=O)=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000510485849 1071005453 /nfs/dbraw/zinc/00/54/53/1071005453.db2.gz RCOFYLZNKUAKIL-HWPZZCPQSA-N 0 0 445.519 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C2(S(C)(=O)=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000510485850 1071005508 /nfs/dbraw/zinc/00/55/08/1071005508.db2.gz RCOFYLZNKUAKIL-MGPLVRAMSA-N 0 0 445.519 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C2(S(C)(=O)=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000510485851 1071005435 /nfs/dbraw/zinc/00/54/35/1071005435.db2.gz RCOFYLZNKUAKIL-QFYYESIMSA-N 0 0 445.519 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)C2(S(C)(=O)=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000510485852 1071005609 /nfs/dbraw/zinc/00/56/09/1071005609.db2.gz RCOFYLZNKUAKIL-QLJPJBMISA-N 0 0 445.519 -0.006 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(C(=O)c3ccccc3)CC2)CC1)N1CCOCC1 ZINC000510488204 1071005738 /nfs/dbraw/zinc/00/57/38/1071005738.db2.gz FBWRMEKAIDZJGJ-UHFFFAOYSA-N 0 0 443.548 -0.553 20 0 IBADRN CN(C)C(=O)NCCN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510489808 1071006772 /nfs/dbraw/zinc/00/67/72/1071006772.db2.gz OWDIDKPMFZYHOX-UHFFFAOYSA-N 0 0 434.540 -0.401 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCNC(=O)N(C)C)cc1[C@]1(C)NC(=O)NC1=O ZINC000510492782 1071008198 /nfs/dbraw/zinc/00/81/98/1071008198.db2.gz YZCFQDJRJKGPRZ-KRWDZBQOSA-N 0 0 427.483 -0.359 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCNC(=O)N(C)C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000510492783 1071008114 /nfs/dbraw/zinc/00/81/14/1071008114.db2.gz YZCFQDJRJKGPRZ-QGZVFWFLSA-N 0 0 427.483 -0.359 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)c1 ZINC000510493399 1071007667 /nfs/dbraw/zinc/00/76/67/1071007667.db2.gz VPGVRIKLUOKYSS-BDJLRTHQSA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)c1 ZINC000510493400 1071007847 /nfs/dbraw/zinc/00/78/47/1071007847.db2.gz VPGVRIKLUOKYSS-BZNIZROVSA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)c1 ZINC000510493401 1071007773 /nfs/dbraw/zinc/00/77/73/1071007773.db2.gz VPGVRIKLUOKYSS-MEDUHNTESA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)c1 ZINC000510493402 1071007865 /nfs/dbraw/zinc/00/78/65/1071007865.db2.gz VPGVRIKLUOKYSS-ZBEGNZNMSA-N 0 0 430.508 -0.264 20 0 IBADRN Cn1nnc2c1nccc2C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000510495794 1071008574 /nfs/dbraw/zinc/00/85/74/1071008574.db2.gz JRPFNRBYGOQKCN-UHFFFAOYSA-N 0 0 430.494 -0.360 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000510496779 1071008708 /nfs/dbraw/zinc/00/87/08/1071008708.db2.gz PWISSRXMERYGKM-ACJLOTCBSA-N 0 0 434.518 -0.140 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000510496780 1071008651 /nfs/dbraw/zinc/00/86/51/1071008651.db2.gz PWISSRXMERYGKM-FZKQIMNGSA-N 0 0 434.518 -0.140 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000510496781 1071008795 /nfs/dbraw/zinc/00/87/95/1071008795.db2.gz PWISSRXMERYGKM-SCLBCKFNSA-N 0 0 434.518 -0.140 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000510496782 1071008774 /nfs/dbraw/zinc/00/87/74/1071008774.db2.gz PWISSRXMERYGKM-UGSOOPFHSA-N 0 0 434.518 -0.140 20 0 IBADRN CCS(=O)(=O)NCCCN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000510497797 1071008732 /nfs/dbraw/zinc/00/87/32/1071008732.db2.gz YDZYYJUQAAAPOV-UHFFFAOYSA-N 0 0 431.540 -0.096 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000510504693 1071011123 /nfs/dbraw/zinc/01/11/23/1071011123.db2.gz BITXQAXNMGOTGL-UHFFFAOYSA-N 0 0 430.508 -0.916 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(c4ccccn4)C3)CC2)CC1 ZINC000510510417 1071011491 /nfs/dbraw/zinc/01/14/91/1071011491.db2.gz NCFBPLGXDFQERZ-KRWDZBQOSA-N 0 0 437.570 -0.520 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(c4ccccn4)C3)CC2)CC1 ZINC000510510418 1071011280 /nfs/dbraw/zinc/01/12/80/1071011280.db2.gz NCFBPLGXDFQERZ-QGZVFWFLSA-N 0 0 437.570 -0.520 20 0 IBADRN COCCOc1cncc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000510514164 1071011744 /nfs/dbraw/zinc/01/17/44/1071011744.db2.gz LCLANFCZKJLWDW-UHFFFAOYSA-N 0 0 438.462 -0.055 20 0 IBADRN C[C@@H](NC(=O)C1CCCC1)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000510514519 1071011626 /nfs/dbraw/zinc/01/16/26/1071011626.db2.gz NECMHLKTWQEJPW-GFCCVEGCSA-N 0 0 426.495 -0.094 20 0 IBADRN C[C@H](NC(=O)C1CCCC1)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000510514520 1071011878 /nfs/dbraw/zinc/01/18/78/1071011878.db2.gz NECMHLKTWQEJPW-LBPRGKRZSA-N 0 0 426.495 -0.094 20 0 IBADRN O=C(CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)Nc1cccnc1 ZINC000510514733 1071011567 /nfs/dbraw/zinc/01/15/67/1071011567.db2.gz NVNXQGIXHBQSQR-UHFFFAOYSA-N 0 0 447.521 -0.134 20 0 IBADRN C[C@]1(C[C@H]2CCCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)NC(=O)NC1=O ZINC000510519882 1071012203 /nfs/dbraw/zinc/01/22/03/1071012203.db2.gz JHVDULLQMWTGPA-CXAGYDPISA-N 0 0 444.535 -0.253 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)NC(=O)NC1=O ZINC000510519890 1071011894 /nfs/dbraw/zinc/01/18/94/1071011894.db2.gz JHVDULLQMWTGPA-DYVFJYSZSA-N 0 0 444.535 -0.253 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)NC(=O)NC1=O ZINC000510519893 1071012065 /nfs/dbraw/zinc/01/20/65/1071012065.db2.gz JHVDULLQMWTGPA-GUYCJALGSA-N 0 0 444.535 -0.253 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2S(=O)(=O)CCNS(=O)(=O)c2ccccc2)NC(=O)NC1=O ZINC000510519896 1071012700 /nfs/dbraw/zinc/01/27/00/1071012700.db2.gz JHVDULLQMWTGPA-SUMWQHHRSA-N 0 0 444.535 -0.253 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CCNC(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000510520313 1071011860 /nfs/dbraw/zinc/01/18/60/1071011860.db2.gz JXZXWIURJCOSOB-UHFFFAOYSA-N 0 0 448.567 -0.092 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cc1 ZINC000510523055 1071011987 /nfs/dbraw/zinc/01/19/87/1071011987.db2.gz KCGOKMRBXLQRSY-BDJLRTHQSA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cc1 ZINC000510523056 1071012083 /nfs/dbraw/zinc/01/20/83/1071012083.db2.gz KCGOKMRBXLQRSY-BZNIZROVSA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cc1 ZINC000510523057 1071012025 /nfs/dbraw/zinc/01/20/25/1071012025.db2.gz KCGOKMRBXLQRSY-MEDUHNTESA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cc1 ZINC000510523058 1071012224 /nfs/dbraw/zinc/01/22/24/1071012224.db2.gz KCGOKMRBXLQRSY-ZBEGNZNMSA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000510540803 1071012509 /nfs/dbraw/zinc/01/25/09/1071012509.db2.gz XQZKAHVTEFEHPA-BDJLRTHQSA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H]1C[C@]1(C)NC(=O)NC1=O ZINC000510540804 1071012452 /nfs/dbraw/zinc/01/24/52/1071012452.db2.gz XQZKAHVTEFEHPA-BZNIZROVSA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000510540805 1071012319 /nfs/dbraw/zinc/01/23/19/1071012319.db2.gz XQZKAHVTEFEHPA-MEDUHNTESA-N 0 0 430.508 -0.264 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H]1C[C@]1(C)NC(=O)NC1=O ZINC000510540806 1071012399 /nfs/dbraw/zinc/01/23/99/1071012399.db2.gz XQZKAHVTEFEHPA-ZBEGNZNMSA-N 0 0 430.508 -0.264 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000510552583 1071012602 /nfs/dbraw/zinc/01/26/02/1071012602.db2.gz NBAYTXHZWAZAJO-UHFFFAOYSA-N 0 0 447.492 -0.312 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1S(=O)(=O)N1CCOCC1 ZINC000510552654 1071012433 /nfs/dbraw/zinc/01/24/33/1071012433.db2.gz OBVMEZKWNHEKOL-GASCZTMLSA-N 0 0 432.520 -0.196 20 0 IBADRN CN(C1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1)S(C)(=O)=O ZINC000510555770 1071012545 /nfs/dbraw/zinc/01/25/45/1071012545.db2.gz XTJZQTBKLKEPRA-UHFFFAOYSA-N 0 0 425.559 -0.336 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCCN3C(=O)c4ccccc4C3=O)cnc21 ZINC000510558500 1071012921 /nfs/dbraw/zinc/01/29/21/1071012921.db2.gz JVBDIYLQCORVRX-UHFFFAOYSA-N 0 0 443.441 -0.001 20 0 IBADRN CN(C)c1nccc(N2C[C@@H](F)C[C@H]2CNS(=O)(=O)N2CCS(=O)(=O)CC2)n1 ZINC000510559969 1071013020 /nfs/dbraw/zinc/01/30/20/1071013020.db2.gz OXTRYFPRPRJXPF-STQMWFEESA-N 0 0 436.535 -0.976 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCCN(C(=O)Cc3ccccc3)C2)CC1 ZINC000510564691 1071012881 /nfs/dbraw/zinc/01/28/81/1071012881.db2.gz IRJZCJDPUTZNPV-KRWDZBQOSA-N 0 0 444.579 -0.368 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCCN(C(=O)Cc3ccccc3)C2)CC1 ZINC000510564692 1071013629 /nfs/dbraw/zinc/01/36/29/1071013629.db2.gz IRJZCJDPUTZNPV-QGZVFWFLSA-N 0 0 444.579 -0.368 20 0 IBADRN CCOC1CC(N(C)C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000510572746 1071012943 /nfs/dbraw/zinc/01/29/43/1071012943.db2.gz PAXWLKLFVCCJNS-UHFFFAOYSA-N 0 0 438.506 -0.229 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](C)O1 ZINC000510582706 1071013438 /nfs/dbraw/zinc/01/34/38/1071013438.db2.gz FMIVCRWAWCXCCZ-CZUORRHYSA-N 0 0 448.519 -0.342 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC000510584924 1071013589 /nfs/dbraw/zinc/01/35/89/1071013589.db2.gz QMEJYIKNLWLLMU-HNNXBMFYSA-N 0 0 446.551 -0.922 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC000510584925 1071013648 /nfs/dbraw/zinc/01/36/48/1071013648.db2.gz QMEJYIKNLWLLMU-OAHLLOKOSA-N 0 0 446.551 -0.922 20 0 IBADRN CN(C(=O)CNC(=O)c1ncccc1[O-])C1CC[NH+](CC(=O)N2CCN(C)CC2)CC1 ZINC000510592029 1071013684 /nfs/dbraw/zinc/01/36/84/1071013684.db2.gz ORCDYPFZGPOEIH-UHFFFAOYSA-N 0 0 432.525 -0.786 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)cn1 ZINC000510600323 1071014125 /nfs/dbraw/zinc/01/41/25/1071014125.db2.gz NIWGPHGJJJAPKC-UHFFFAOYSA-N 0 0 444.482 -0.264 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000510601188 1071013981 /nfs/dbraw/zinc/01/39/81/1071013981.db2.gz QLPYQSQDGIZFHL-UHFFFAOYSA-N 0 0 441.544 -0.753 20 0 IBADRN Cn1cc(S(=O)(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)cc1C(N)=O ZINC000510604962 1071014003 /nfs/dbraw/zinc/01/40/03/1071014003.db2.gz GTTKYKOFXZNWST-UHFFFAOYSA-N 0 0 428.483 -0.196 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(C)(C)CCCO)CC2)C[C@H](C)O1 ZINC000510607123 1071014189 /nfs/dbraw/zinc/01/41/89/1071014189.db2.gz NVRQTSITEONYBX-HOTGVXAUSA-N 0 0 448.586 -0.601 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(C)(C)CCCO)CC2)C[C@@H](C)O1 ZINC000510607124 1071014211 /nfs/dbraw/zinc/01/42/11/1071014211.db2.gz NVRQTSITEONYBX-HZPDHXFCSA-N 0 0 448.586 -0.601 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(C)(C)CCCO)CC2)C[C@H](C)O1 ZINC000510607125 1071014231 /nfs/dbraw/zinc/01/42/31/1071014231.db2.gz NVRQTSITEONYBX-IYBDPMFKSA-N 0 0 448.586 -0.601 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(C)(C)CCCO)CC2)cn1C ZINC000510614576 1071014024 /nfs/dbraw/zinc/01/40/24/1071014024.db2.gz LYQCDDVYVHEKOG-UHFFFAOYSA-N 0 0 429.543 -0.524 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1cc(C)cc(C(=O)NCCNS(=O)(=O)CC)c1 ZINC000510616901 1071014101 /nfs/dbraw/zinc/01/41/01/1071014101.db2.gz SGBVKKJOKJFLKV-UHFFFAOYSA-N 0 0 448.567 -0.667 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000510618732 1071013923 /nfs/dbraw/zinc/01/39/23/1071013923.db2.gz WGZFGBHMNVELDA-UHFFFAOYSA-N 0 0 437.531 -0.183 20 0 IBADRN CC(C)(CCCO)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000510623751 1071014765 /nfs/dbraw/zinc/01/47/65/1071014765.db2.gz USSSKBKGDYUEGD-UHFFFAOYSA-N 0 0 444.510 -0.874 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C[C@@H](C)O1 ZINC000510626328 1071014687 /nfs/dbraw/zinc/01/46/87/1071014687.db2.gz FPGXWIZQKNMIFA-MAZCYNQFSA-N 0 0 441.462 -0.299 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C[C@@H](C)O1 ZINC000510626329 1071014360 /nfs/dbraw/zinc/01/43/60/1071014360.db2.gz FPGXWIZQKNMIFA-RUHJCQMXSA-N 0 0 441.462 -0.299 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000510626453 1071014487 /nfs/dbraw/zinc/01/44/87/1071014487.db2.gz CSSZYMRJBMLABU-DZGCQCFKSA-N 0 0 432.568 -0.618 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000510626456 1071014780 /nfs/dbraw/zinc/01/47/80/1071014780.db2.gz CSSZYMRJBMLABU-HIFRSBDPSA-N 0 0 432.568 -0.618 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000510626457 1071014627 /nfs/dbraw/zinc/01/46/27/1071014627.db2.gz CSSZYMRJBMLABU-UKRRQHHQSA-N 0 0 432.568 -0.618 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000510626458 1071014380 /nfs/dbraw/zinc/01/43/80/1071014380.db2.gz CSSZYMRJBMLABU-ZFWWWQNUSA-N 0 0 432.568 -0.618 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510627351 1071014836 /nfs/dbraw/zinc/01/48/36/1071014836.db2.gz DGYKNIHPSKYFLC-UHFFFAOYSA-N 0 0 426.539 -0.090 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000510627970 1071014998 /nfs/dbraw/zinc/01/49/98/1071014998.db2.gz JSEBGGAUAFOTCY-ZDUSSCGKSA-N 0 0 425.486 -0.196 20 0 IBADRN CCOC(=O)c1cnn(C2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1C ZINC000510630029 1071015254 /nfs/dbraw/zinc/01/52/54/1071015254.db2.gz NEJQRAAFAADVCL-UHFFFAOYSA-N 0 0 432.481 -0.132 20 0 IBADRN COC(=O)[C@@H]1CCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510630867 1071015343 /nfs/dbraw/zinc/01/53/43/1071015343.db2.gz PAFPAEVVCCMVCP-KRWDZBQOSA-N 0 0 425.507 -0.039 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](CNC(=O)c3ccco3)C2)CC1 ZINC000510631783 1071015563 /nfs/dbraw/zinc/01/55/63/1071015563.db2.gz ZJIYIRFDWAWCDA-AWEZNQCLSA-N 0 0 434.540 -0.457 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@H](CNC(=O)c3ccco3)C2)CC1 ZINC000510631786 1071015405 /nfs/dbraw/zinc/01/54/05/1071015405.db2.gz ZJIYIRFDWAWCDA-CQSZACIVSA-N 0 0 434.540 -0.457 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C(=O)N3CCCC3)C2)cc1 ZINC000510633206 1071015425 /nfs/dbraw/zinc/01/54/25/1071015425.db2.gz XAYRWWDYQYAFQV-HNNXBMFYSA-N 0 0 430.461 -0.001 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C(=O)N3CCCC3)C2)cc1 ZINC000510633212 1071015322 /nfs/dbraw/zinc/01/53/22/1071015322.db2.gz XAYRWWDYQYAFQV-OAHLLOKOSA-N 0 0 430.461 -0.001 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@@H](CS(N)(=O)=O)C2)c(=O)[nH]c1=O ZINC000510633639 1071014568 /nfs/dbraw/zinc/01/45/68/1071014568.db2.gz YDOLWBWUMLBMBL-CYBMUJFWSA-N 0 0 444.558 -0.315 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@H](CS(N)(=O)=O)C2)c(=O)[nH]c1=O ZINC000510633640 1071014886 /nfs/dbraw/zinc/01/48/86/1071014886.db2.gz YDOLWBWUMLBMBL-ZDUSSCGKSA-N 0 0 444.558 -0.315 20 0 IBADRN CN(CC(=O)N1CCOCC1)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000510634254 1071014940 /nfs/dbraw/zinc/01/49/40/1071014940.db2.gz QCDQNSLXFRFUGO-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000510636813 1071015505 /nfs/dbraw/zinc/01/55/05/1071015505.db2.gz GLRBQUUZWRUMCP-HNNXBMFYSA-N 0 0 433.552 -0.133 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000510636814 1071015237 /nfs/dbraw/zinc/01/52/37/1071015237.db2.gz GLRBQUUZWRUMCP-OAHLLOKOSA-N 0 0 433.552 -0.133 20 0 IBADRN CN(CC(=O)N1CCOCC1)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000510638903 1071015218 /nfs/dbraw/zinc/01/52/18/1071015218.db2.gz AUNMOTMRRPSIAE-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(CC(=O)NC(C)C)CC2)ccc1C ZINC000510644685 1071015202 /nfs/dbraw/zinc/01/52/02/1071015202.db2.gz KMKCNIBUSRQAAP-UHFFFAOYSA-N 0 0 425.555 -0.016 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@H](C(=O)N4CCCC4)C3)cnc2n(C)c1=O ZINC000510645376 1071015524 /nfs/dbraw/zinc/01/55/24/1071015524.db2.gz KNQLFGNAMPKKIQ-GFCCVEGCSA-N 0 0 428.449 -0.958 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@H](C(=O)N4CCCC4)C3)cnc2n(C)c1=O ZINC000510645377 1071015444 /nfs/dbraw/zinc/01/54/44/1071015444.db2.gz KNQLFGNAMPKKIQ-LBPRGKRZSA-N 0 0 428.449 -0.958 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN(C)CC(=O)N1CCOCC1 ZINC000510652760 1071015944 /nfs/dbraw/zinc/01/59/44/1071015944.db2.gz YCCXGEMKNCSWKU-UHFFFAOYSA-N 0 0 441.554 -0.091 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)cnc21 ZINC000510655361 1071016155 /nfs/dbraw/zinc/01/61/55/1071016155.db2.gz ANRKWVNGHNSAPD-SNVBAGLBSA-N 0 0 443.507 -0.731 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000510656276 1071016230 /nfs/dbraw/zinc/01/62/30/1071016230.db2.gz FKJNBYWSNRBTHF-HNNXBMFYSA-N 0 0 446.551 -0.601 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000510656283 1071015889 /nfs/dbraw/zinc/01/58/89/1071015889.db2.gz FKJNBYWSNRBTHF-OAHLLOKOSA-N 0 0 446.551 -0.601 20 0 IBADRN CN(CC(=O)N1CCOCC1)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000510656439 1071016515 /nfs/dbraw/zinc/01/65/15/1071016515.db2.gz FFHHQLJWKGUEFC-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510656882 1071015728 /nfs/dbraw/zinc/01/57/28/1071015728.db2.gz HQPUVXDUEVDXHE-HNNXBMFYSA-N 0 0 446.551 -0.601 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510656883 1071015871 /nfs/dbraw/zinc/01/58/71/1071015871.db2.gz HQPUVXDUEVDXHE-OAHLLOKOSA-N 0 0 446.551 -0.601 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@@H]2CS(N)(=O)=O)c(=O)[nH]c1=O ZINC000510657341 1071016175 /nfs/dbraw/zinc/01/61/75/1071016175.db2.gz JPDAAXPQNUBQPL-GFCCVEGCSA-N 0 0 430.531 -0.563 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@H]2CS(N)(=O)=O)c(=O)[nH]c1=O ZINC000510657343 1071015775 /nfs/dbraw/zinc/01/57/75/1071015775.db2.gz JPDAAXPQNUBQPL-LBPRGKRZSA-N 0 0 430.531 -0.563 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000510657865 1071016060 /nfs/dbraw/zinc/01/60/60/1071016060.db2.gz MEAWEDGHDYLANE-GFCCVEGCSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000510660731 1071016364 /nfs/dbraw/zinc/01/63/64/1071016364.db2.gz SQFIIMLOKGWDMQ-UHFFFAOYSA-N 0 0 440.566 -0.412 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000510661248 1071016496 /nfs/dbraw/zinc/01/64/96/1071016496.db2.gz VDKTWZMCENABFF-AWEZNQCLSA-N 0 0 428.445 -0.014 20 0 IBADRN O=C(Nc1cccc(N2C(=O)COCC2=O)c1)C(=O)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000510661249 1071016767 /nfs/dbraw/zinc/01/67/67/1071016767.db2.gz VDKTWZMCENABFF-CQSZACIVSA-N 0 0 428.445 -0.014 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)c1 ZINC000510661391 1071016038 /nfs/dbraw/zinc/01/60/38/1071016038.db2.gz YMUSUYRRGMVBHR-GFCCVEGCSA-N 0 0 437.565 -0.170 20 0 IBADRN CCCCN(C(=O)CN1CCC[C@@H]1CS(N)(=O)=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000510662368 1071016416 /nfs/dbraw/zinc/01/64/16/1071016416.db2.gz XZTWTUMJAHUJKG-CYBMUJFWSA-N 0 0 444.558 -0.173 20 0 IBADRN CCCCN(C(=O)CN1CCC[C@H]1CS(N)(=O)=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000510662594 1071016690 /nfs/dbraw/zinc/01/66/90/1071016690.db2.gz XZTWTUMJAHUJKG-ZDUSSCGKSA-N 0 0 444.558 -0.173 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC[C@H](C(=O)N4CCCC4)C3)ccn2)CC1 ZINC000510663449 1071016532 /nfs/dbraw/zinc/01/65/32/1071016532.db2.gz CSVRLBHCGJMDBY-INIZCTEOSA-N 0 0 442.520 -0.121 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC[C@@H](C(=O)N4CCCC4)C3)ccn2)CC1 ZINC000510663450 1071016787 /nfs/dbraw/zinc/01/67/87/1071016787.db2.gz CSVRLBHCGJMDBY-MRXNPFEDSA-N 0 0 442.520 -0.121 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000510663633 1071016634 /nfs/dbraw/zinc/01/66/34/1071016634.db2.gz GBRIELUOASKETC-CHWSQXEVSA-N 0 0 430.552 -0.388 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](CS(N)(=O)=O)C1 ZINC000510663639 1071017375 /nfs/dbraw/zinc/01/73/75/1071017375.db2.gz GBRIELUOASKETC-OLZOCXBDSA-N 0 0 430.552 -0.388 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000510663641 1071017069 /nfs/dbraw/zinc/01/70/69/1071017069.db2.gz GBRIELUOASKETC-QWHCGFSZSA-N 0 0 430.552 -0.388 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](CS(N)(=O)=O)C1 ZINC000510663643 1071017163 /nfs/dbraw/zinc/01/71/63/1071017163.db2.gz GBRIELUOASKETC-STQMWFEESA-N 0 0 430.552 -0.388 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)cc2[nH]c1=O ZINC000510664240 1071016948 /nfs/dbraw/zinc/01/69/48/1071016948.db2.gz PKPALZBMEHXCHT-SNVBAGLBSA-N 0 0 428.492 -0.549 20 0 IBADRN Cc1nc(CN2CCN([C@@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000510664425 1071016929 /nfs/dbraw/zinc/01/69/29/1071016929.db2.gz FVVOZUHONBGNFN-AWEZNQCLSA-N 0 0 436.538 -0.110 20 0 IBADRN Cc1nc(CN2CCN([C@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000510664788 1071016745 /nfs/dbraw/zinc/01/67/45/1071016745.db2.gz FVVOZUHONBGNFN-CQSZACIVSA-N 0 0 436.538 -0.110 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000510665810 1071016823 /nfs/dbraw/zinc/01/68/23/1071016823.db2.gz CXIHPKJRUIHFQF-AWEZNQCLSA-N 0 0 426.495 -0.079 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000510665816 1071016595 /nfs/dbraw/zinc/01/65/95/1071016595.db2.gz CXIHPKJRUIHFQF-CQSZACIVSA-N 0 0 426.495 -0.079 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](C(=O)N3CCCC3)C1)CC2 ZINC000510669360 1071016348 /nfs/dbraw/zinc/01/63/48/1071016348.db2.gz QZDMRIAJIKOEDB-HNNXBMFYSA-N 0 0 434.518 -0.045 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](C(=O)N3CCCC3)C1)CC2 ZINC000510669361 1071016615 /nfs/dbraw/zinc/01/66/15/1071016615.db2.gz QZDMRIAJIKOEDB-OAHLLOKOSA-N 0 0 434.518 -0.045 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@@H]2CS(N)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000510686693 1071018245 /nfs/dbraw/zinc/01/82/45/1071018245.db2.gz WKDJSOYPAYLFPD-CYBMUJFWSA-N 0 0 434.540 -0.363 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@H]2CS(N)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000510686694 1071018482 /nfs/dbraw/zinc/01/84/82/1071018482.db2.gz WKDJSOYPAYLFPD-ZDUSSCGKSA-N 0 0 434.540 -0.363 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@@H](CS(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000510687297 1071017775 /nfs/dbraw/zinc/01/77/75/1071017775.db2.gz WUWFYYRKFCLAHP-CYBMUJFWSA-N 0 0 448.567 -0.116 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@H](CS(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000510687298 1071017893 /nfs/dbraw/zinc/01/78/93/1071017893.db2.gz WUWFYYRKFCLAHP-ZDUSSCGKSA-N 0 0 448.567 -0.116 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000510692033 1071018362 /nfs/dbraw/zinc/01/83/62/1071018362.db2.gz YCBAJQORFGEYHW-KRWDZBQOSA-N 0 0 444.579 -0.587 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000510692034 1071018825 /nfs/dbraw/zinc/01/88/25/1071018825.db2.gz YCBAJQORFGEYHW-QGZVFWFLSA-N 0 0 444.579 -0.587 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@H](C(=O)N3CCCC3)C2)cc1 ZINC000510692050 1071018729 /nfs/dbraw/zinc/01/87/29/1071018729.db2.gz YFIVWXPFPIDGLA-INIZCTEOSA-N 0 0 444.488 -0.072 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@@H](C(=O)N3CCCC3)C2)cc1 ZINC000510692051 1071018461 /nfs/dbraw/zinc/01/84/61/1071018461.db2.gz YFIVWXPFPIDGLA-MRXNPFEDSA-N 0 0 444.488 -0.072 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000510692518 1071018668 /nfs/dbraw/zinc/01/86/68/1071018668.db2.gz FAPFBBQYGMKDAF-UHFFFAOYSA-N 0 0 443.551 -0.278 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000510692928 1071018648 /nfs/dbraw/zinc/01/86/48/1071018648.db2.gz KHUWOHYCSDDPJL-CQSZACIVSA-N 0 0 443.613 -0.466 20 0 IBADRN CN(CC(=O)N1CCOCC1)CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000510694337 1071018503 /nfs/dbraw/zinc/01/85/03/1071018503.db2.gz XLRGTMMAINIJFX-UHFFFAOYSA-N 0 0 438.550 -0.549 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000510696274 1071018421 /nfs/dbraw/zinc/01/84/21/1071018421.db2.gz DACXHHYOPJGFIO-BDAKNGLRSA-N 0 0 430.512 -0.561 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000510696275 1071018264 /nfs/dbraw/zinc/01/82/64/1071018264.db2.gz DACXHHYOPJGFIO-DTWKUNHWSA-N 0 0 430.512 -0.561 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000510696276 1071018207 /nfs/dbraw/zinc/01/82/07/1071018207.db2.gz DACXHHYOPJGFIO-IUCAKERBSA-N 0 0 430.512 -0.561 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000510696277 1071018607 /nfs/dbraw/zinc/01/86/07/1071018607.db2.gz DACXHHYOPJGFIO-RKDXNWHRSA-N 0 0 430.512 -0.561 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000510697196 1071018303 /nfs/dbraw/zinc/01/83/03/1071018303.db2.gz HMCBHZLIACSQAE-UHFFFAOYSA-N 0 0 426.539 -0.090 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1 ZINC000510697236 1071019174 /nfs/dbraw/zinc/01/91/74/1071019174.db2.gz FTKHSGDDCUAZOP-GFCCVEGCSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000510697900 1072569736 /nfs/dbraw/zinc/56/97/36/1072569736.db2.gz WDKFIAYAAFCYSP-AWEZNQCLSA-N 0 0 431.536 -0.281 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000510697908 1071019073 /nfs/dbraw/zinc/01/90/73/1071019073.db2.gz WDKFIAYAAFCYSP-CQSZACIVSA-N 0 0 431.536 -0.281 20 0 IBADRN Cn1c2ncn(CCc3nc(-c4ccc(S(N)(=O)=O)cc4)no3)c2c(=O)n(C)c1=O ZINC000510701034 1071019485 /nfs/dbraw/zinc/01/94/85/1071019485.db2.gz KCHXUJBMALMPNO-UHFFFAOYSA-N 0 0 431.434 -0.626 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000510703547 1071019546 /nfs/dbraw/zinc/01/95/46/1071019546.db2.gz VBEKMDZJJGZXET-HNNXBMFYSA-N 0 0 448.542 -0.589 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000510703553 1071019696 /nfs/dbraw/zinc/01/96/96/1071019696.db2.gz VBEKMDZJJGZXET-OAHLLOKOSA-N 0 0 448.542 -0.589 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NS(=O)(=O)CCNS(=O)(=O)CC)s1 ZINC000510706176 1071019752 /nfs/dbraw/zinc/01/97/52/1071019752.db2.gz WXWWSYOEYMIJNU-NXEZZACHSA-N 0 0 427.574 -0.078 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NS(=O)(=O)CCNS(=O)(=O)CC)s1 ZINC000510706177 1071019806 /nfs/dbraw/zinc/01/98/06/1071019806.db2.gz WXWWSYOEYMIJNU-UWVGGRQHSA-N 0 0 427.574 -0.078 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NS(=O)(=O)CCNS(=O)(=O)CC)s1 ZINC000510706178 1071019639 /nfs/dbraw/zinc/01/96/39/1071019639.db2.gz WXWWSYOEYMIJNU-VHSXEESVSA-N 0 0 427.574 -0.078 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NS(=O)(=O)CCNS(=O)(=O)CC)s1 ZINC000510706179 1071019505 /nfs/dbraw/zinc/01/95/05/1071019505.db2.gz WXWWSYOEYMIJNU-ZJUUUORDSA-N 0 0 427.574 -0.078 20 0 IBADRN CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000510707784 1071020630 /nfs/dbraw/zinc/02/06/30/1071020630.db2.gz YOTJEGDGYJAGAL-DLBZAZTESA-N 0 0 430.571 -0.008 20 0 IBADRN CC[C@@H](C)C(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000510707785 1071020788 /nfs/dbraw/zinc/02/07/88/1071020788.db2.gz YOTJEGDGYJAGAL-IAGOWNOFSA-N 0 0 430.571 -0.008 20 0 IBADRN CC[C@H](C)C(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000510707786 1071020868 /nfs/dbraw/zinc/02/08/68/1071020868.db2.gz YOTJEGDGYJAGAL-IRXDYDNUSA-N 0 0 430.571 -0.008 20 0 IBADRN CC[C@@H](C)C(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000510707787 1071020885 /nfs/dbraw/zinc/02/08/85/1071020885.db2.gz YOTJEGDGYJAGAL-SJORKVTESA-N 0 0 430.571 -0.008 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)COC4)CC2)o1 ZINC000510711130 1071020349 /nfs/dbraw/zinc/02/03/49/1071020349.db2.gz JBHWMYQHBRUVAT-UHFFFAOYSA-N 0 0 448.457 -0.120 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NS(=O)(=O)N2CCS(=O)(=O)CC2)s1 ZINC000510714689 1071021314 /nfs/dbraw/zinc/02/13/14/1071021314.db2.gz SWFVHRALGJYNDR-NXEZZACHSA-N 0 0 425.558 -0.372 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NS(=O)(=O)N2CCS(=O)(=O)CC2)s1 ZINC000510714690 1071021275 /nfs/dbraw/zinc/02/12/75/1071021275.db2.gz SWFVHRALGJYNDR-UWVGGRQHSA-N 0 0 425.558 -0.372 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NS(=O)(=O)N2CCS(=O)(=O)CC2)s1 ZINC000510714691 1071021178 /nfs/dbraw/zinc/02/11/78/1071021178.db2.gz SWFVHRALGJYNDR-VHSXEESVSA-N 0 0 425.558 -0.372 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NS(=O)(=O)N2CCS(=O)(=O)CC2)s1 ZINC000510714692 1071021255 /nfs/dbraw/zinc/02/12/55/1071021255.db2.gz SWFVHRALGJYNDR-ZJUUUORDSA-N 0 0 425.558 -0.372 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000510716960 1071021296 /nfs/dbraw/zinc/02/12/96/1071021296.db2.gz PEZJJADAACWNLX-UHFFFAOYSA-N 0 0 426.437 -0.787 20 0 IBADRN COc1ccc(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000510718884 1071021196 /nfs/dbraw/zinc/02/11/96/1071021196.db2.gz ONBIVCOIFQQPDT-UHFFFAOYSA-N 0 0 430.458 -0.506 20 0 IBADRN COCCCOc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000510720006 1071021499 /nfs/dbraw/zinc/02/14/99/1071021499.db2.gz VPGSRENNFILLAT-UHFFFAOYSA-N 0 0 428.511 -0.168 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000510726002 1071022016 /nfs/dbraw/zinc/02/20/16/1071022016.db2.gz RPCTWUMLNYHFDX-UHFFFAOYSA-N 0 0 431.493 -0.238 20 0 IBADRN COc1ccc(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000510733657 1071023312 /nfs/dbraw/zinc/02/33/12/1071023312.db2.gz KMOVOABLGKTLKI-UHFFFAOYSA-N 0 0 443.501 -0.591 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)COC4)CC2)CC1 ZINC000510740001 1071023151 /nfs/dbraw/zinc/02/31/51/1071023151.db2.gz XRZJVBLTEAXDBH-UHFFFAOYSA-N 0 0 437.522 -0.708 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCc2ccccc2S(N)(=O)=O)c1C ZINC000510742737 1071023574 /nfs/dbraw/zinc/02/35/74/1071023574.db2.gz HYWGUJQBVLMIDF-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)cn1C ZINC000510746919 1071024227 /nfs/dbraw/zinc/02/42/27/1071024227.db2.gz FQEWCIGFCNCUGX-UHFFFAOYSA-N 0 0 449.942 -0.245 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC000510754281 1071024114 /nfs/dbraw/zinc/02/41/14/1071024114.db2.gz YLKVNHWTZAEMTP-GXTWGEPZSA-N 0 0 427.479 -0.383 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC000510754282 1071024097 /nfs/dbraw/zinc/02/40/97/1071024097.db2.gz YLKVNHWTZAEMTP-JSGCOSHPSA-N 0 0 427.479 -0.383 20 0 IBADRN COc1ccc(NC(=O)CN(C)CC(=O)N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000510756237 1071024250 /nfs/dbraw/zinc/02/42/50/1071024250.db2.gz XCBSWXMQMUUWFN-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN CCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000510756375 1071023872 /nfs/dbraw/zinc/02/38/72/1071023872.db2.gz XVPCZCUELSCGKL-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN Cc1nc(S(=O)(=O)NCCc2nc(-c3ccc(S(N)(=O)=O)cc3)no2)cn1C ZINC000510756825 1071023937 /nfs/dbraw/zinc/02/39/37/1071023937.db2.gz WZSYWKXQBAIRLH-UHFFFAOYSA-N 0 0 426.480 -0.053 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2ccccc2S(N)(=O)=O)ccc1F ZINC000510758816 1071025104 /nfs/dbraw/zinc/02/51/04/1071025104.db2.gz QXZOMMGALPVESS-UHFFFAOYSA-N 0 0 444.466 -0.364 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2ccccc2S(N)(=O)=O)ccc1C ZINC000510759231 1071024747 /nfs/dbraw/zinc/02/47/47/1071024747.db2.gz XQSVPPRNYCSYAO-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cn1C ZINC000510761111 1071025148 /nfs/dbraw/zinc/02/51/48/1071025148.db2.gz GTAXGRXRRYOPQE-UHFFFAOYSA-N 0 0 426.543 -0.836 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cn1C ZINC000510769160 1071025622 /nfs/dbraw/zinc/02/56/22/1071025622.db2.gz ZSASECWSWJOUJH-UHFFFAOYSA-N 0 0 440.570 -0.446 20 0 IBADRN COCCN1CN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)CC1=O ZINC000510774304 1071026490 /nfs/dbraw/zinc/02/64/90/1071026490.db2.gz PTECEBNARHZFGI-UHFFFAOYSA-N 0 0 445.441 -0.036 20 0 IBADRN COC(=O)CCNC(=O)CN1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000510774990 1071026583 /nfs/dbraw/zinc/02/65/83/1071026583.db2.gz AIZDNHXVHGYQDN-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)CC1 ZINC000510775501 1071026452 /nfs/dbraw/zinc/02/64/52/1071026452.db2.gz FJJQCSAPDJFLPN-UHFFFAOYSA-N 0 0 430.477 -0.038 20 0 IBADRN COCCN1CN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000510789722 1071027003 /nfs/dbraw/zinc/02/70/03/1071027003.db2.gz IBFZOQFVKBWJJQ-UHFFFAOYSA-N 0 0 447.535 -0.547 20 0 IBADRN COCCN1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000510789765 1071027014 /nfs/dbraw/zinc/02/70/14/1071027014.db2.gz IRZYTTDNGPLYHL-UHFFFAOYSA-N 0 0 433.508 -0.856 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC(=O)N(CCOC)C2)s1 ZINC000510792219 1071027024 /nfs/dbraw/zinc/02/70/24/1071027024.db2.gz YRSRPYGHKGLBJH-UHFFFAOYSA-N 0 0 425.554 -0.333 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(C(=O)Nc3ccccc3)C2)CC1 ZINC000510799120 1071026964 /nfs/dbraw/zinc/02/69/64/1071026964.db2.gz RGMLIPYTWKDRQF-HNNXBMFYSA-N 0 0 431.540 -0.296 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(C(=O)Nc3ccccc3)C2)CC1 ZINC000510799121 1071027037 /nfs/dbraw/zinc/02/70/37/1071027037.db2.gz RGMLIPYTWKDRQF-OAHLLOKOSA-N 0 0 431.540 -0.296 20 0 IBADRN COCCN1CN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1=O ZINC000510806999 1071027221 /nfs/dbraw/zinc/02/72/21/1071027221.db2.gz SJCQNVGRTSAVJF-KRWDZBQOSA-N 0 0 426.451 -0.813 20 0 IBADRN COCCN1CN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1=O ZINC000510807000 1071027067 /nfs/dbraw/zinc/02/70/67/1071027067.db2.gz SJCQNVGRTSAVJF-QGZVFWFLSA-N 0 0 426.451 -0.813 20 0 IBADRN O=C1COc2cc(S(=O)(=O)NCCCN3CCNC(=O)C3)c(Br)cc2N1 ZINC000510807727 1071026986 /nfs/dbraw/zinc/02/69/86/1071026986.db2.gz DCJWAZLFDGMKGP-UHFFFAOYSA-N 0 0 447.311 -0.120 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)s2)CC1 ZINC000510815361 1071028340 /nfs/dbraw/zinc/02/83/40/1071028340.db2.gz PVDCQBOOGMFXOP-CYBMUJFWSA-N 0 0 447.583 -0.236 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)s2)CC1 ZINC000510815362 1071028276 /nfs/dbraw/zinc/02/82/76/1071028276.db2.gz PVDCQBOOGMFXOP-ZDUSSCGKSA-N 0 0 447.583 -0.236 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000510822891 1071028216 /nfs/dbraw/zinc/02/82/16/1071028216.db2.gz XSOMUVVHAOVZNA-UHFFFAOYSA-N 0 0 429.564 -0.623 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000510824107 1071028347 /nfs/dbraw/zinc/02/83/47/1071028347.db2.gz BEJCXJVSEBFKMT-HNNXBMFYSA-N 0 0 440.522 -0.745 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000510824108 1071028292 /nfs/dbraw/zinc/02/82/92/1071028292.db2.gz BEJCXJVSEBFKMT-OAHLLOKOSA-N 0 0 440.522 -0.745 20 0 IBADRN C[C@H](NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000510827781 1071028816 /nfs/dbraw/zinc/02/88/16/1071028816.db2.gz PXMXVNZNMMUALM-HNNXBMFYSA-N 0 0 439.538 -0.419 20 0 IBADRN C[C@@H](NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000510827784 1071028961 /nfs/dbraw/zinc/02/89/61/1071028961.db2.gz PXMXVNZNMMUALM-OAHLLOKOSA-N 0 0 439.538 -0.419 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000510830748 1071028688 /nfs/dbraw/zinc/02/86/88/1071028688.db2.gz PRIHPHDVWNPEBE-GOSISDBHSA-N 0 0 447.536 -0.571 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000510830749 1071028912 /nfs/dbraw/zinc/02/89/12/1071028912.db2.gz PRIHPHDVWNPEBE-SFHVURJKSA-N 0 0 447.536 -0.571 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCC(C)(C)N2CCOCC2)c1 ZINC000510831970 1071028862 /nfs/dbraw/zinc/02/88/62/1071028862.db2.gz WPXBEXTZQYPLJO-UHFFFAOYSA-N 0 0 427.527 -0.057 20 0 IBADRN CCCCn1c2nc(CN3CCN(CC(=O)N4CCOCC4)CC3)n(C)c2c(=O)[nH]c1=O ZINC000510832508 1071029277 /nfs/dbraw/zinc/02/92/77/1071029277.db2.gz YWGIZTIDRQXWTF-UHFFFAOYSA-N 0 0 447.540 -0.388 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000510832513 1071028849 /nfs/dbraw/zinc/02/88/49/1071028849.db2.gz YZFIPVCZFHPVSV-UHFFFAOYSA-N 0 0 445.586 -0.623 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(Cc3ccc(C(N)=O)cc3)CC2)cn1C ZINC000510837348 1071028777 /nfs/dbraw/zinc/02/87/77/1071028777.db2.gz KLNYTSZPQBFFFS-UHFFFAOYSA-N 0 0 434.522 -0.550 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(Cc3ccc(C(N)=O)cc3)CC2)cn1C ZINC000510839042 1071029349 /nfs/dbraw/zinc/02/93/49/1071029349.db2.gz SMZWECFLJODCDV-UHFFFAOYSA-N 0 0 448.549 -0.160 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)s1)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000510839839 1071029492 /nfs/dbraw/zinc/02/94/92/1071029492.db2.gz YEBYBUSBFOFEMH-SECBINFHSA-N 0 0 443.956 -0.511 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)s1)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000510839840 1071029321 /nfs/dbraw/zinc/02/93/21/1071029321.db2.gz YEBYBUSBFOFEMH-VIFPVBQESA-N 0 0 443.956 -0.511 20 0 IBADRN O=C(CCNC(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000510839894 1071029545 /nfs/dbraw/zinc/02/95/45/1071029545.db2.gz YYJJFYABYAIVQI-AWEZNQCLSA-N 0 0 446.485 -0.567 20 0 IBADRN O=C(CCNC(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000510839895 1071029507 /nfs/dbraw/zinc/02/95/07/1071029507.db2.gz YYJJFYABYAIVQI-CQSZACIVSA-N 0 0 446.485 -0.567 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000510843038 1071029532 /nfs/dbraw/zinc/02/95/32/1071029532.db2.gz UPKHBQLDGFTJBE-UHFFFAOYSA-N 0 0 440.526 -0.957 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000510844375 1071029521 /nfs/dbraw/zinc/02/95/21/1071029521.db2.gz ZPVIMNZQNBZPQF-UHFFFAOYSA-N 0 0 438.573 -0.837 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O ZINC000510851544 1071029573 /nfs/dbraw/zinc/02/95/73/1071029573.db2.gz HFENTVQVXBGHLE-AWEZNQCLSA-N 0 0 446.485 -0.615 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O ZINC000510851546 1071029414 /nfs/dbraw/zinc/02/94/14/1071029414.db2.gz HFENTVQVXBGHLE-CQSZACIVSA-N 0 0 446.485 -0.615 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccc(Cl)s1 ZINC000510855500 1071029557 /nfs/dbraw/zinc/02/95/57/1071029557.db2.gz WTCUFEPXKGEJEC-SECBINFHSA-N 0 0 443.956 -0.559 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccc(Cl)s1 ZINC000510855501 1071029465 /nfs/dbraw/zinc/02/94/65/1071029465.db2.gz WTCUFEPXKGEJEC-VIFPVBQESA-N 0 0 443.956 -0.559 20 0 IBADRN COc1ccc(F)cc1NC(=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000510856116 1071029386 /nfs/dbraw/zinc/02/93/86/1071029386.db2.gz CITFUJVAUXCUMS-UHFFFAOYSA-N 0 0 444.485 -0.070 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000510857480 1071029401 /nfs/dbraw/zinc/02/94/01/1071029401.db2.gz QMWDOHLWICOLIE-UHFFFAOYSA-N 0 0 449.533 -0.124 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C(C)(C)C)n1 ZINC000510858236 1071029933 /nfs/dbraw/zinc/02/99/33/1071029933.db2.gz XRDWPQIDXYPHGR-AWEZNQCLSA-N 0 0 425.555 -0.221 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C(C)(C)C)n1 ZINC000510858237 1071029943 /nfs/dbraw/zinc/02/99/43/1071029943.db2.gz XRDWPQIDXYPHGR-CQSZACIVSA-N 0 0 425.555 -0.221 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)C1CC1 ZINC000510860154 1071029889 /nfs/dbraw/zinc/02/98/89/1071029889.db2.gz ABXHQWQPSPITME-DZKIICNBSA-N 0 0 432.543 -0.974 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C1CC1 ZINC000510860155 1071029918 /nfs/dbraw/zinc/02/99/18/1071029918.db2.gz ABXHQWQPSPITME-FMKPAKJESA-N 0 0 432.543 -0.974 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C1CC1 ZINC000510860156 1071029996 /nfs/dbraw/zinc/02/99/96/1071029996.db2.gz ABXHQWQPSPITME-IJEWVQPXSA-N 0 0 432.543 -0.974 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C1CC1 ZINC000510860157 1071029989 /nfs/dbraw/zinc/02/99/89/1071029989.db2.gz ABXHQWQPSPITME-LZWOXQAQSA-N 0 0 432.543 -0.974 20 0 IBADRN COCCN1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1=O ZINC000510865055 1071029884 /nfs/dbraw/zinc/02/98/84/1071029884.db2.gz IGYCKISUITXQLV-UHFFFAOYSA-N 0 0 432.524 -0.273 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NCc2ccccc2)cn1C ZINC000510865636 1071029878 /nfs/dbraw/zinc/02/98/78/1071029878.db2.gz JVZVFVJYUFIUPN-UHFFFAOYSA-N 0 0 429.524 -0.757 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCS(=O)(=O)NCc2ccccc2)cn1C ZINC000510869444 1071029980 /nfs/dbraw/zinc/02/99/80/1071029980.db2.gz VXIMTFIWXHOHNR-UHFFFAOYSA-N 0 0 443.551 -0.367 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1CCS(=O)(=O)CC1 ZINC000510873062 1071030472 /nfs/dbraw/zinc/03/04/72/1071030472.db2.gz SYNITKPEKKIJBX-UHFFFAOYSA-N 0 0 431.536 -0.211 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)C1CC1 ZINC000510876198 1071030412 /nfs/dbraw/zinc/03/04/12/1071030412.db2.gz FGRXIJKGJTWNEE-HNNXBMFYSA-N 0 0 435.506 -0.063 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)C1CC1 ZINC000510876203 1071030318 /nfs/dbraw/zinc/03/03/18/1071030318.db2.gz FGRXIJKGJTWNEE-OAHLLOKOSA-N 0 0 435.506 -0.063 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1)C1CC1 ZINC000510879086 1071030360 /nfs/dbraw/zinc/03/03/60/1071030360.db2.gz HVIBWTVGLJYKCX-MSOLQXFVSA-N 0 0 446.552 -0.091 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1)C1CC1 ZINC000510879089 1071030431 /nfs/dbraw/zinc/03/04/31/1071030431.db2.gz HVIBWTVGLJYKCX-QZTJIDSGSA-N 0 0 446.552 -0.091 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1)C1CC1 ZINC000510879090 1071030511 /nfs/dbraw/zinc/03/05/11/1071030511.db2.gz HVIBWTVGLJYKCX-ROUUACIJSA-N 0 0 446.552 -0.091 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cn1C ZINC000510879449 1071030451 /nfs/dbraw/zinc/03/04/51/1071030451.db2.gz FZBUTXOOHQHFIU-UHFFFAOYSA-N 0 0 440.570 -0.590 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1)C1CC1 ZINC000510879501 1071030539 /nfs/dbraw/zinc/03/05/39/1071030539.db2.gz HVIBWTVGLJYKCX-ZWKOTPCHSA-N 0 0 446.552 -0.091 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cn1C ZINC000510881037 1071031013 /nfs/dbraw/zinc/03/10/13/1071031013.db2.gz IRTUSDRALXHJKF-UHFFFAOYSA-N 0 0 426.543 -0.981 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1nnc2n1CCCCC2 ZINC000510890316 1071031441 /nfs/dbraw/zinc/03/14/41/1071031441.db2.gz PTLOYCZVUKUVRA-HNNXBMFYSA-N 0 0 441.558 -0.030 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1nnc2n1CCCCC2 ZINC000510890317 1071031482 /nfs/dbraw/zinc/03/14/82/1071031482.db2.gz PTLOYCZVUKUVRA-OAHLLOKOSA-N 0 0 441.558 -0.030 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000510892046 1071031298 /nfs/dbraw/zinc/03/12/98/1071031298.db2.gz HMENIOZBGSTHRK-UHFFFAOYSA-N 0 0 429.543 -0.212 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC2CCN(C(=O)c3cc(Cl)c[nH]3)CC2)CC1 ZINC000510894955 1071031566 /nfs/dbraw/zinc/03/15/66/1071031566.db2.gz PVPIQTISLOVLIX-UHFFFAOYSA-N 0 0 449.903 -0.187 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1)N1CCN(C)CC1 ZINC000510895763 1071031419 /nfs/dbraw/zinc/03/14/19/1071031419.db2.gz ISYWAHDKIJFPLY-AWEZNQCLSA-N 0 0 436.538 -0.263 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1)N1CCN(C)CC1 ZINC000510895764 1071031366 /nfs/dbraw/zinc/03/13/66/1071031366.db2.gz ISYWAHDKIJFPLY-CQSZACIVSA-N 0 0 436.538 -0.263 20 0 IBADRN C[C@@H](NCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)c1cccc(S(N)(=O)=O)c1 ZINC000510904565 1071032078 /nfs/dbraw/zinc/03/20/78/1071032078.db2.gz YUGLQPFTPMJGFG-CYBMUJFWSA-N 0 0 440.547 -0.009 20 0 IBADRN C[C@H](NCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)c1cccc(S(N)(=O)=O)c1 ZINC000510904569 1071031933 /nfs/dbraw/zinc/03/19/33/1071031933.db2.gz YUGLQPFTPMJGFG-ZDUSSCGKSA-N 0 0 440.547 -0.009 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN[C@H](C)c2cccc(S(N)(=O)=O)c2)c(=O)n(C)c1=O ZINC000510907585 1071032119 /nfs/dbraw/zinc/03/21/19/1071032119.db2.gz YIKFMIKEXNMZTF-GFCCVEGCSA-N 0 0 437.522 -0.034 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN[C@@H](C)c2cccc(S(N)(=O)=O)c2)c(=O)n(C)c1=O ZINC000510907586 1071032045 /nfs/dbraw/zinc/03/20/45/1071032045.db2.gz YIKFMIKEXNMZTF-LBPRGKRZSA-N 0 0 437.522 -0.034 20 0 IBADRN CC(C)C[C@@H](CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000510917261 1071032621 /nfs/dbraw/zinc/03/26/21/1071032621.db2.gz OBRYXSUMBYGEJX-IBGZPJMESA-N 0 0 425.574 -0.081 20 0 IBADRN CC(C)C[C@H](CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000510917269 1071032793 /nfs/dbraw/zinc/03/27/93/1071032793.db2.gz OBRYXSUMBYGEJX-LJQANCHMSA-N 0 0 425.574 -0.081 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000510918608 1071033140 /nfs/dbraw/zinc/03/31/40/1071033140.db2.gz YZIOHKKGWUURDY-KFWWJZLASA-N 0 0 442.542 -0.078 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000510918609 1071033311 /nfs/dbraw/zinc/03/33/11/1071033311.db2.gz YZIOHKKGWUURDY-QLFBSQMISA-N 0 0 442.542 -0.078 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000510918610 1071033053 /nfs/dbraw/zinc/03/30/53/1071033053.db2.gz YZIOHKKGWUURDY-RBSFLKMASA-N 0 0 442.542 -0.078 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000510918611 1071033286 /nfs/dbraw/zinc/03/32/86/1071033286.db2.gz YZIOHKKGWUURDY-ZNMIVQPWSA-N 0 0 442.542 -0.078 20 0 IBADRN CS(=O)(=O)CC1(COn2nnc3ccc(S(=O)(=O)N4CCOCC4)cc32)CC1 ZINC000510948237 1071036899 /nfs/dbraw/zinc/03/68/99/1071036899.db2.gz GLFLIJFOGWCLQB-UHFFFAOYSA-N 0 0 430.508 -0.294 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccccc2S(=O)(=O)N(C)C)cn1C ZINC000510948888 1071036887 /nfs/dbraw/zinc/03/68/87/1071036887.db2.gz JTJWGNSRXRXCFP-UHFFFAOYSA-N 0 0 429.524 -0.426 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)s1 ZINC000510949494 1071036910 /nfs/dbraw/zinc/03/69/10/1071036910.db2.gz KLQXVNRWCZEOBF-UHFFFAOYSA-N 0 0 435.553 -0.317 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCN(c3ccccc3F)CC2)CC1)N1CCOCC1 ZINC000510951126 1071037050 /nfs/dbraw/zinc/03/70/50/1071037050.db2.gz VPNQKZYUXTWTPH-UHFFFAOYSA-N 0 0 433.528 -0.049 20 0 IBADRN CN(Cc1nncn1C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000510953964 1071036943 /nfs/dbraw/zinc/03/69/43/1071036943.db2.gz HGMQOMDKFWNOFR-INIZCTEOSA-N 0 0 428.449 -0.574 20 0 IBADRN CN(Cc1nncn1C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000510953969 1071036991 /nfs/dbraw/zinc/03/69/91/1071036991.db2.gz HGMQOMDKFWNOFR-MRXNPFEDSA-N 0 0 428.449 -0.574 20 0 IBADRN O=S(=O)(c1ccc2nnn(OCC3CCS(=O)(=O)CC3)c2c1)N1CCOCC1 ZINC000510954412 1071037114 /nfs/dbraw/zinc/03/71/14/1071037114.db2.gz XFTDPUPFZCSPFO-UHFFFAOYSA-N 0 0 430.508 -0.294 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2ccccc2S(=O)(=O)N(C)C)cn1C ZINC000510954957 1071037550 /nfs/dbraw/zinc/03/75/50/1071037550.db2.gz ZSDLVJXNDKSBOP-UHFFFAOYSA-N 0 0 443.551 -0.036 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CCC2(CC1)NC(=O)NC2=O ZINC000510971655 1071038580 /nfs/dbraw/zinc/03/85/80/1071038580.db2.gz YFFAHWCCBAXUIF-UHFFFAOYSA-N 0 0 430.508 -0.264 20 0 IBADRN CC(=O)NCC[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000510972743 1071037429 /nfs/dbraw/zinc/03/74/29/1071037429.db2.gz XJIBXQVJSYGRMA-CYBMUJFWSA-N 0 0 430.465 -0.665 20 0 IBADRN CC(=O)NCC[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000510972748 1071037419 /nfs/dbraw/zinc/03/74/19/1071037419.db2.gz XJIBXQVJSYGRMA-ZDUSSCGKSA-N 0 0 430.465 -0.665 20 0 IBADRN COCC(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000510975994 1071038657 /nfs/dbraw/zinc/03/86/57/1071038657.db2.gz DGLQTDYBJYMLBL-UHFFFAOYSA-N 0 0 438.506 -0.826 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CNS(=O)(=O)c1cccs1 ZINC000510984479 1071038562 /nfs/dbraw/zinc/03/85/62/1071038562.db2.gz IIYUDUCSEZODJO-UHFFFAOYSA-N 0 0 447.560 -0.044 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC2CCC3(CC2)NC(=O)NC3=O)c(F)c1 ZINC000510986265 1071041147 /nfs/dbraw/zinc/04/11/47/1071041147.db2.gz UWKXNPWBTYAWHK-UHFFFAOYSA-N 0 0 434.471 -0.004 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H](N3CCOCC3)C(F)(F)F)cnc21 ZINC000510987424 1071041669 /nfs/dbraw/zinc/04/16/69/1071041669.db2.gz ZYBGXUVZZTYRKZ-LLVKDONJSA-N 0 0 437.400 -0.425 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@H](N3CCOCC3)C(F)(F)F)cnc21 ZINC000510987425 1071041801 /nfs/dbraw/zinc/04/18/01/1071041801.db2.gz ZYBGXUVZZTYRKZ-NSHDSACASA-N 0 0 437.400 -0.425 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000510989088 1071042231 /nfs/dbraw/zinc/04/22/31/1071042231.db2.gz VSJZDPYKOPPFKO-UHFFFAOYSA-N 0 0 447.579 -0.023 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)Cn1nnc2ccccc2c1=O ZINC000510989348 1071042268 /nfs/dbraw/zinc/04/22/68/1071042268.db2.gz WDTIHCXHGFMYIQ-UHFFFAOYSA-N 0 0 431.474 -0.279 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cn1C ZINC000510991278 1071045105 /nfs/dbraw/zinc/04/51/05/1071045105.db2.gz HVMNCTNQWZUWMO-IBGZPJMESA-N 0 0 448.549 -0.232 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cn1C ZINC000510991279 1071045015 /nfs/dbraw/zinc/04/50/15/1071045015.db2.gz HVMNCTNQWZUWMO-LJQANCHMSA-N 0 0 448.549 -0.232 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cn1C ZINC000510996753 1071050269 /nfs/dbraw/zinc/05/02/69/1071050269.db2.gz UJWDHVCQFYDJPG-GOSISDBHSA-N 0 0 434.522 -0.622 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cn1C ZINC000510996754 1071050309 /nfs/dbraw/zinc/05/03/09/1071050309.db2.gz UJWDHVCQFYDJPG-SFHVURJKSA-N 0 0 434.522 -0.622 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c2ccccc2O1 ZINC000510996986 1071050790 /nfs/dbraw/zinc/05/07/90/1071050790.db2.gz BHIMNJCTCVSZBO-INIZCTEOSA-N 0 0 429.477 -0.019 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c2ccccc2O1 ZINC000510996987 1071050801 /nfs/dbraw/zinc/05/08/01/1071050801.db2.gz BHIMNJCTCVSZBO-MRXNPFEDSA-N 0 0 429.477 -0.019 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CSCC(=O)N1CCOCC1 ZINC000510997225 1071051232 /nfs/dbraw/zinc/05/12/32/1071051232.db2.gz KYZMIPQVAPFUIT-UHFFFAOYSA-N 0 0 445.563 -0.492 20 0 IBADRN COCCN1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1=O ZINC000510999159 1071051376 /nfs/dbraw/zinc/05/13/76/1071051376.db2.gz MMRQLSQHAKZEET-UHFFFAOYSA-N 0 0 428.511 -0.700 20 0 IBADRN COCCN1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1=O ZINC000511003806 1071052030 /nfs/dbraw/zinc/05/20/30/1071052030.db2.gz VJIBUVPBMVCUJB-KRWDZBQOSA-N 0 0 447.540 -0.218 20 0 IBADRN COCCN1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1=O ZINC000511003808 1071052082 /nfs/dbraw/zinc/05/20/82/1071052082.db2.gz VJIBUVPBMVCUJB-QGZVFWFLSA-N 0 0 447.540 -0.218 20 0 IBADRN COC[C@](C)(CNC(=O)c1nc2nccc(C)n2n1)NC(=O)c1nc2nccc(C)n2n1 ZINC000511004122 1071052496 /nfs/dbraw/zinc/05/24/96/1071052496.db2.gz ZCJBOJRABODNPF-IBGZPJMESA-N 0 0 438.452 -0.256 20 0 IBADRN COC[C@@](C)(CNC(=O)c1nc2nccc(C)n2n1)NC(=O)c1nc2nccc(C)n2n1 ZINC000511004123 1071052675 /nfs/dbraw/zinc/05/26/75/1071052675.db2.gz ZCJBOJRABODNPF-LJQANCHMSA-N 0 0 438.452 -0.256 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)o1 ZINC000511010104 1071053707 /nfs/dbraw/zinc/05/37/07/1071053707.db2.gz GFMLTSWUUSXCOG-UHFFFAOYSA-N 0 0 431.492 -0.270 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000511011813 1071053423 /nfs/dbraw/zinc/05/34/23/1071053423.db2.gz JQMFCVUZOYNHMR-IBGZPJMESA-N 0 0 429.521 -0.027 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000511011816 1071053746 /nfs/dbraw/zinc/05/37/46/1071053746.db2.gz JQMFCVUZOYNHMR-LJQANCHMSA-N 0 0 429.521 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC2CCC3(CC2)NC(=O)NC3=O)cc1 ZINC000511012663 1071054220 /nfs/dbraw/zinc/05/42/20/1071054220.db2.gz KZLFXBPYUOPWIY-UHFFFAOYSA-N 0 0 430.508 -0.264 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC2CCC3(CC2)NC(=O)NC3=O)c1 ZINC000511014040 1071054137 /nfs/dbraw/zinc/05/41/37/1071054137.db2.gz JNQWRGMFOMWWPY-UHFFFAOYSA-N 0 0 430.508 -0.264 20 0 IBADRN O=C1NC(=O)C2(CCC(NS(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)N1 ZINC000511014331 1071054231 /nfs/dbraw/zinc/05/42/31/1071054231.db2.gz MKJFNPBCMIFWGU-UHFFFAOYSA-N 0 0 430.508 -0.595 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000511015292 1071054345 /nfs/dbraw/zinc/05/43/45/1071054345.db2.gz RPIDMKBZCDEPBE-UHFFFAOYSA-N 0 0 432.525 -0.732 20 0 IBADRN O=C1NC(=O)C2(CCC(NS(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)CC2)N1 ZINC000511019636 1071055816 /nfs/dbraw/zinc/05/58/16/1071055816.db2.gz WNLIZKNBVKBPJH-UHFFFAOYSA-N 0 0 442.519 -0.074 20 0 IBADRN O=C1NC(=O)C2(CCC(NS(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)CC2)N1 ZINC000511020603 1071056328 /nfs/dbraw/zinc/05/63/28/1071056328.db2.gz ZDIHXEVOFMYYBK-UHFFFAOYSA-N 0 0 442.519 -0.074 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000511022371 1071056361 /nfs/dbraw/zinc/05/63/61/1071056361.db2.gz ONGNJFZRMPLBOM-AWEZNQCLSA-N 0 0 439.538 -0.408 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000511023035 1071057305 /nfs/dbraw/zinc/05/73/05/1071057305.db2.gz XLOGSCGWZPHLFN-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1C ZINC000511024133 1071057493 /nfs/dbraw/zinc/05/74/93/1071057493.db2.gz BMQQBBCWQIITBC-UHFFFAOYSA-N 0 0 447.988 -0.215 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCC(=O)NCC(F)(F)F)c1 ZINC000511026214 1071058594 /nfs/dbraw/zinc/05/85/94/1071058594.db2.gz FEQGFDBPJCXONE-UHFFFAOYSA-N 0 0 425.389 -0.489 20 0 IBADRN O=C(CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)N1CCc2ccccc2C1 ZINC000511028844 1071058022 /nfs/dbraw/zinc/05/80/22/1071058022.db2.gz MJOXWCQSEOIJSB-UHFFFAOYSA-N 0 0 429.521 -0.243 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511029739 1071058532 /nfs/dbraw/zinc/05/85/32/1071058532.db2.gz QEILSAZAVQPBHM-UHFFFAOYSA-N 0 0 444.579 -0.274 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCC(=O)N2CCOCC2)c1 ZINC000511029810 1071058694 /nfs/dbraw/zinc/05/86/94/1071058694.db2.gz MMDYDBSUGAZAKK-UHFFFAOYSA-N 0 0 427.483 -0.919 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNS(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000511031395 1071059307 /nfs/dbraw/zinc/05/93/07/1071059307.db2.gz PPSXDOILWCNXGB-UHFFFAOYSA-N 0 0 445.972 -0.508 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000511037998 1071060629 /nfs/dbraw/zinc/06/06/29/1071060629.db2.gz MFGKAZVQGZZYEL-UHFFFAOYSA-N 0 0 438.503 -0.093 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000511041311 1071061198 /nfs/dbraw/zinc/06/11/98/1071061198.db2.gz GGHQMFBMPCKCPH-CYBMUJFWSA-N 0 0 447.539 -0.744 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000511041322 1071061454 /nfs/dbraw/zinc/06/14/54/1071061454.db2.gz GGHQMFBMPCKCPH-ZDUSSCGKSA-N 0 0 447.539 -0.744 20 0 IBADRN COc1ccc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cc1C(N)=O ZINC000511046852 1071061709 /nfs/dbraw/zinc/06/17/09/1071061709.db2.gz MVUAECABXNLSSW-UHFFFAOYSA-N 0 0 446.485 -0.155 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCC3(CC2)NC(=O)NC3=O)cc1C ZINC000511047245 1071062436 /nfs/dbraw/zinc/06/24/36/1071062436.db2.gz XVKBNWNHUIYNRL-UHFFFAOYSA-N 0 0 430.508 -0.298 20 0 IBADRN O=C1NC(=O)C2(CCC(NS(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)CC2)N1 ZINC000511048186 1071062352 /nfs/dbraw/zinc/06/23/52/1071062352.db2.gz XVPUKNLLXSKRKA-UHFFFAOYSA-N 0 0 442.519 -0.074 20 0 IBADRN CCn1c(Cn2nc(C(N)=O)ccc2=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000511050068 1071062558 /nfs/dbraw/zinc/06/25/58/1071062558.db2.gz FQVNZIGXDCYKJN-UHFFFAOYSA-N 0 0 446.489 -0.219 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000511051336 1071062790 /nfs/dbraw/zinc/06/27/90/1071062790.db2.gz VUIXPRVGWOYRRX-UHFFFAOYSA-N 0 0 428.486 -0.186 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000511055756 1071063099 /nfs/dbraw/zinc/06/30/99/1071063099.db2.gz DCSPXIFPIXMYNX-UHFFFAOYSA-N 0 0 425.507 -0.098 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000511057131 1071065091 /nfs/dbraw/zinc/06/50/91/1071065091.db2.gz SELLBXVWEXQEID-UHFFFAOYSA-N 0 0 425.449 -0.018 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000511057383 1071065019 /nfs/dbraw/zinc/06/50/19/1071065019.db2.gz KEZLUWXHBYHCSY-CYBMUJFWSA-N 0 0 437.506 -0.160 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000511057385 1071064839 /nfs/dbraw/zinc/06/48/39/1071064839.db2.gz KEZLUWXHBYHCSY-ZDUSSCGKSA-N 0 0 437.506 -0.160 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC2CCC3(CC2)NC(=O)NC3=O)cc1)N1CCOCC1 ZINC000511057949 1071064111 /nfs/dbraw/zinc/06/41/11/1071064111.db2.gz OHJSMSKRCBQEAN-UHFFFAOYSA-N 0 0 436.490 -0.042 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000511060999 1071065766 /nfs/dbraw/zinc/06/57/66/1071065766.db2.gz WMAFKPRBWJTHMH-HNNXBMFYSA-N 0 0 430.552 -0.421 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000511061000 1071065612 /nfs/dbraw/zinc/06/56/12/1071065612.db2.gz WMAFKPRBWJTHMH-OAHLLOKOSA-N 0 0 430.552 -0.421 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC(Cn2ccnn2)CC1 ZINC000511061063 1071066084 /nfs/dbraw/zinc/06/60/84/1071066084.db2.gz ADWYHLZWCPXFGX-UHFFFAOYSA-N 0 0 432.506 -0.049 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](CN(C)S(C)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000511061210 1071066297 /nfs/dbraw/zinc/06/62/97/1071066297.db2.gz XKDIDYWUEUTWMW-CVEARBPZSA-N 0 0 439.600 -0.748 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](CN(C)S(C)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000511061212 1071066285 /nfs/dbraw/zinc/06/62/85/1071066285.db2.gz XKDIDYWUEUTWMW-HOTGVXAUSA-N 0 0 439.600 -0.748 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](CN(C)S(C)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000511061214 1071066244 /nfs/dbraw/zinc/06/62/44/1071066244.db2.gz XKDIDYWUEUTWMW-HZPDHXFCSA-N 0 0 439.600 -0.748 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](CN(C)S(C)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000511061216 1071066276 /nfs/dbraw/zinc/06/62/76/1071066276.db2.gz XKDIDYWUEUTWMW-JKSUJKDBSA-N 0 0 439.600 -0.748 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000511061721 1071066046 /nfs/dbraw/zinc/06/60/46/1071066046.db2.gz ZDUAXTVTPBLCFG-IBGZPJMESA-N 0 0 445.520 -0.997 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000511061722 1071066176 /nfs/dbraw/zinc/06/61/76/1071066176.db2.gz ZDUAXTVTPBLCFG-LJQANCHMSA-N 0 0 445.520 -0.997 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000511062497 1071066109 /nfs/dbraw/zinc/06/61/09/1071066109.db2.gz DKSDVPHGGFBEPQ-UHFFFAOYSA-N 0 0 433.509 -0.406 20 0 IBADRN COc1c(F)cc(CC(=O)NCC(C)(C)N2CCS(=O)CC2)cc1S(N)(=O)=O ZINC000511062783 1071066257 /nfs/dbraw/zinc/06/62/57/1071066257.db2.gz LCHOZVPIBWCPJU-UHFFFAOYSA-N 0 0 435.543 -0.017 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2O1 ZINC000511063832 1071066883 /nfs/dbraw/zinc/06/68/83/1071066883.db2.gz IZPNDBKWQQJXRX-MAUKXSAKSA-N 0 0 436.534 -0.669 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2O1 ZINC000511063841 1071066845 /nfs/dbraw/zinc/06/68/45/1071066845.db2.gz IZPNDBKWQQJXRX-YJBOKZPZSA-N 0 0 436.534 -0.669 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1 ZINC000511065769 1071067314 /nfs/dbraw/zinc/06/73/14/1071067314.db2.gz PQPFXMOARFTIAO-INIZCTEOSA-N 0 0 431.603 -0.599 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1 ZINC000511065770 1071067271 /nfs/dbraw/zinc/06/72/71/1071067271.db2.gz PQPFXMOARFTIAO-MRXNPFEDSA-N 0 0 431.603 -0.599 20 0 IBADRN O=C(NCc1ccc(OC(F)F)cn1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000511066408 1071067300 /nfs/dbraw/zinc/06/73/00/1071067300.db2.gz VXAMBBINKMYETD-UHFFFAOYSA-N 0 0 425.392 -0.751 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1CCN(C)CC1 ZINC000511067496 1071067896 /nfs/dbraw/zinc/06/78/96/1071067896.db2.gz ZOEBZXHUOKLWMG-INIZCTEOSA-N 0 0 438.550 -0.073 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1CCN(C)CC1 ZINC000511067497 1071067778 /nfs/dbraw/zinc/06/77/78/1071067778.db2.gz ZOEBZXHUOKLWMG-MRXNPFEDSA-N 0 0 438.550 -0.073 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(OC(F)F)cn2)CC1)N1CCOCC1 ZINC000511069133 1071069302 /nfs/dbraw/zinc/06/93/02/1071069302.db2.gz NMAWACLLIHNXTD-UHFFFAOYSA-N 0 0 441.435 -0.698 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000511069698 1071069077 /nfs/dbraw/zinc/06/90/77/1071069077.db2.gz QEBDURVVGBXRRM-BBWFWOEESA-N 0 0 442.582 -0.624 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000511069699 1071068904 /nfs/dbraw/zinc/06/89/04/1071068904.db2.gz QEBDURVVGBXRRM-BRWVUGGUSA-N 0 0 442.582 -0.624 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000511069700 1071069190 /nfs/dbraw/zinc/06/91/90/1071069190.db2.gz QEBDURVVGBXRRM-GVDBMIGSSA-N 0 0 442.582 -0.624 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000511069701 1071068955 /nfs/dbraw/zinc/06/89/55/1071068955.db2.gz QEBDURVVGBXRRM-ZACQAIPSSA-N 0 0 442.582 -0.624 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc(OC(F)F)cn2)CC1 ZINC000511074463 1071070442 /nfs/dbraw/zinc/07/04/42/1071070442.db2.gz KCHLIOLOYLQJIJ-UHFFFAOYSA-N 0 0 441.435 -0.698 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@@H](S(=O)(=O)N(C)C)C2)c(=O)n(C)c1=O ZINC000511081685 1071072358 /nfs/dbraw/zinc/07/23/58/1071072358.db2.gz NZNSLBDRVKXOPF-CYBMUJFWSA-N 0 0 429.543 -0.676 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@H](S(=O)(=O)N(C)C)C2)c(=O)n(C)c1=O ZINC000511081686 1071072127 /nfs/dbraw/zinc/07/21/27/1071072127.db2.gz NZNSLBDRVKXOPF-ZDUSSCGKSA-N 0 0 429.543 -0.676 20 0 IBADRN CC(C)(CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCS(=O)CC1 ZINC000511082439 1071073449 /nfs/dbraw/zinc/07/34/49/1071073449.db2.gz NCFDSEPIYYTINF-UHFFFAOYSA-N 0 0 432.568 -0.392 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000511082747 1071073004 /nfs/dbraw/zinc/07/30/04/1071073004.db2.gz UEMGXNBICDFSHI-INIZCTEOSA-N 0 0 432.568 -0.652 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000511082748 1071072990 /nfs/dbraw/zinc/07/29/90/1071072990.db2.gz UEMGXNBICDFSHI-MRXNPFEDSA-N 0 0 432.568 -0.652 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H]2CS(N)(=O)=O)C1 ZINC000511082976 1071072090 /nfs/dbraw/zinc/07/20/90/1071072090.db2.gz QBJXENZDGKCAQR-KBPBESRZSA-N 0 0 434.540 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@H]2CS(N)(=O)=O)C1 ZINC000511082980 1071072323 /nfs/dbraw/zinc/07/23/23/1071072323.db2.gz QBJXENZDGKCAQR-KGLIPLIRSA-N 0 0 434.540 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H]2CS(N)(=O)=O)C1 ZINC000511082983 1071073015 /nfs/dbraw/zinc/07/30/15/1071073015.db2.gz QBJXENZDGKCAQR-UONOGXRCSA-N 0 0 434.540 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H]2CS(N)(=O)=O)C1 ZINC000511082985 1071072923 /nfs/dbraw/zinc/07/29/23/1071072923.db2.gz QBJXENZDGKCAQR-ZIAGYGMSSA-N 0 0 434.540 -0.028 20 0 IBADRN CC(C)(CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)N1CCS(=O)CC1 ZINC000511084591 1071074198 /nfs/dbraw/zinc/07/41/98/1071074198.db2.gz DERZPTICULEWQD-UHFFFAOYSA-N 0 0 438.535 -0.330 20 0 IBADRN CC(C)(CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCS(=O)CC1 ZINC000511085408 1071074769 /nfs/dbraw/zinc/07/47/69/1071074769.db2.gz IYYSPCSYEQQBHC-KRWDZBQOSA-N 0 0 428.599 -0.341 20 0 IBADRN CC(C)(CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCS(=O)CC1 ZINC000511085409 1071074736 /nfs/dbraw/zinc/07/47/36/1071074736.db2.gz IYYSPCSYEQQBHC-QGZVFWFLSA-N 0 0 428.599 -0.341 20 0 IBADRN CNC(=O)c1ccc(CN(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000511087793 1071075105 /nfs/dbraw/zinc/07/51/05/1071075105.db2.gz BKPHZTAYOUJEFM-UHFFFAOYSA-N 0 0 431.537 -0.519 20 0 IBADRN CN(C[C@@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1)S(C)(=O)=O ZINC000511088418 1071076156 /nfs/dbraw/zinc/07/61/56/1071076156.db2.gz BRKQQKLQSDRBTA-INIZCTEOSA-N 0 0 446.595 -0.404 20 0 IBADRN CN(C[C@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1)S(C)(=O)=O ZINC000511088419 1071075848 /nfs/dbraw/zinc/07/58/48/1071075848.db2.gz BRKQQKLQSDRBTA-MRXNPFEDSA-N 0 0 446.595 -0.404 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000511088613 1071075539 /nfs/dbraw/zinc/07/55/39/1071075539.db2.gz DWEJFWDAJOEMAZ-UHFFFAOYSA-N 0 0 433.465 -0.524 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC[C@@H](CN(C)S(C)(=O)=O)C1)S(C)(=O)=O ZINC000511091543 1071076687 /nfs/dbraw/zinc/07/66/87/1071076687.db2.gz MBBJCZWRRILASB-INIZCTEOSA-N 0 0 440.632 -0.234 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC[C@H](CN(C)S(C)(=O)=O)C1)S(C)(=O)=O ZINC000511091544 1071076771 /nfs/dbraw/zinc/07/67/71/1071076771.db2.gz MBBJCZWRRILASB-MRXNPFEDSA-N 0 0 440.632 -0.234 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@@H](CN(C)S(C)(=O)=O)C2)c(=O)n(C)c1=O ZINC000511092605 1071078127 /nfs/dbraw/zinc/07/81/27/1071078127.db2.gz OXUQSEFREJJYER-AWEZNQCLSA-N 0 0 443.570 -0.429 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@H](CN(C)S(C)(=O)=O)C2)c(=O)n(C)c1=O ZINC000511092606 1071079194 /nfs/dbraw/zinc/07/91/94/1071079194.db2.gz OXUQSEFREJJYER-CQSZACIVSA-N 0 0 443.570 -0.429 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000511093163 1071078762 /nfs/dbraw/zinc/07/87/62/1071078762.db2.gz CTERXLSABCETDS-PBHICJAKSA-N 0 0 446.595 -0.263 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000511093164 1071079022 /nfs/dbraw/zinc/07/90/22/1071079022.db2.gz CTERXLSABCETDS-RHSMWYFYSA-N 0 0 446.595 -0.263 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000511093165 1071078902 /nfs/dbraw/zinc/07/89/02/1071078902.db2.gz CTERXLSABCETDS-WMLDXEAASA-N 0 0 446.595 -0.263 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000511093166 1071079090 /nfs/dbraw/zinc/07/90/90/1071079090.db2.gz CTERXLSABCETDS-YOEHRIQHSA-N 0 0 446.595 -0.263 20 0 IBADRN Cn1c2cccc(NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)c2oc1=O ZINC000511093554 1071079135 /nfs/dbraw/zinc/07/91/35/1071079135.db2.gz UZEZNTWFJSOINE-UHFFFAOYSA-N 0 0 425.467 -0.142 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC000511095857 1071080455 /nfs/dbraw/zinc/08/04/55/1071080455.db2.gz XRCBZUQFVLQCSX-HNNXBMFYSA-N 0 0 428.540 -0.078 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC000511095858 1071080157 /nfs/dbraw/zinc/08/01/57/1071080157.db2.gz XRCBZUQFVLQCSX-OAHLLOKOSA-N 0 0 428.540 -0.078 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000511097579 1071081114 /nfs/dbraw/zinc/08/11/14/1071081114.db2.gz FTEIHPQCVHQKNF-AWEZNQCLSA-N 0 0 447.535 -0.391 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000511097580 1071081504 /nfs/dbraw/zinc/08/15/04/1071081504.db2.gz FTEIHPQCVHQKNF-CQSZACIVSA-N 0 0 447.535 -0.391 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(C(N)=O)C2)cc1 ZINC000511098733 1071081968 /nfs/dbraw/zinc/08/19/68/1071081968.db2.gz AITGIERWVWIXMV-HNNXBMFYSA-N 0 0 428.511 -0.147 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(C(N)=O)C2)cc1 ZINC000511098734 1071082107 /nfs/dbraw/zinc/08/21/07/1071082107.db2.gz AITGIERWVWIXMV-OAHLLOKOSA-N 0 0 428.511 -0.147 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H]2CS(N)(=O)=O)C1 ZINC000511099143 1071083735 /nfs/dbraw/zinc/08/37/35/1071083735.db2.gz PNRSGRMNIPEWOI-KBPBESRZSA-N 0 0 433.556 -0.293 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@H]2CS(N)(=O)=O)C1 ZINC000511099144 1071083505 /nfs/dbraw/zinc/08/35/05/1071083505.db2.gz PNRSGRMNIPEWOI-KGLIPLIRSA-N 0 0 433.556 -0.293 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H]2CS(N)(=O)=O)C1 ZINC000511099145 1071084278 /nfs/dbraw/zinc/08/42/78/1071084278.db2.gz PNRSGRMNIPEWOI-UONOGXRCSA-N 0 0 433.556 -0.293 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H]2CS(N)(=O)=O)C1 ZINC000511099146 1071084230 /nfs/dbraw/zinc/08/42/30/1071084230.db2.gz PNRSGRMNIPEWOI-ZIAGYGMSSA-N 0 0 433.556 -0.293 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000511099618 1071083681 /nfs/dbraw/zinc/08/36/81/1071083681.db2.gz FEZARHBMGNMGRF-CYBMUJFWSA-N 0 0 434.540 -0.842 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000511099619 1071083460 /nfs/dbraw/zinc/08/34/60/1071083460.db2.gz FEZARHBMGNMGRF-ZDUSSCGKSA-N 0 0 434.540 -0.842 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000511099910 1071083750 /nfs/dbraw/zinc/08/37/50/1071083750.db2.gz SUQUEPSVCYSMQF-AWEZNQCLSA-N 0 0 432.524 -0.455 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000511099916 1071083447 /nfs/dbraw/zinc/08/34/47/1071083447.db2.gz SUQUEPSVCYSMQF-CQSZACIVSA-N 0 0 432.524 -0.455 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H]2CCN(C(N)=O)C2)o1 ZINC000511100483 1071084092 /nfs/dbraw/zinc/08/40/92/1071084092.db2.gz IUDYRDKXSXNUTE-NEPJUHHUSA-N 0 0 428.467 -0.121 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H]2CCN(C(N)=O)C2)o1 ZINC000511100486 1071084350 /nfs/dbraw/zinc/08/43/50/1071084350.db2.gz IUDYRDKXSXNUTE-NWDGAFQWSA-N 0 0 428.467 -0.121 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@H]2CCN(C(N)=O)C2)o1 ZINC000511100487 1071084430 /nfs/dbraw/zinc/08/44/30/1071084430.db2.gz IUDYRDKXSXNUTE-RYUDHWBXSA-N 0 0 428.467 -0.121 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H]2CCN(C(N)=O)C2)o1 ZINC000511100488 1071084077 /nfs/dbraw/zinc/08/40/77/1071084077.db2.gz IUDYRDKXSXNUTE-VXGBXAGGSA-N 0 0 428.467 -0.121 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@H]3CS(N)(=O)=O)CC2)cc1 ZINC000511101512 1071084960 /nfs/dbraw/zinc/08/49/60/1071084960.db2.gz MZIYRORJWMECTJ-INIZCTEOSA-N 0 0 444.579 -0.419 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@@H]3CS(N)(=O)=O)CC2)cc1 ZINC000511101513 1071085360 /nfs/dbraw/zinc/08/53/60/1071085360.db2.gz MZIYRORJWMECTJ-MRXNPFEDSA-N 0 0 444.579 -0.419 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000511103847 1071085060 /nfs/dbraw/zinc/08/50/60/1071085060.db2.gz FSIOXNZWDXNJJE-UHFFFAOYSA-N 0 0 428.486 -0.186 20 0 IBADRN CN(C[C@@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1)S(C)(=O)=O ZINC000511105125 1071086422 /nfs/dbraw/zinc/08/64/22/1071086422.db2.gz IXEFGRYMOVZBLP-AWEZNQCLSA-N 0 0 444.579 -0.174 20 0 IBADRN CN(C[C@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1)S(C)(=O)=O ZINC000511105127 1071086639 /nfs/dbraw/zinc/08/66/39/1071086639.db2.gz IXEFGRYMOVZBLP-CQSZACIVSA-N 0 0 444.579 -0.174 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000511105669 1071086385 /nfs/dbraw/zinc/08/63/85/1071086385.db2.gz JTENKWHQOVNROZ-CYBMUJFWSA-N 0 0 434.540 -0.363 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000511105671 1071086587 /nfs/dbraw/zinc/08/65/87/1071086587.db2.gz JTENKWHQOVNROZ-ZDUSSCGKSA-N 0 0 434.540 -0.363 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000511106750 1071086690 /nfs/dbraw/zinc/08/66/90/1071086690.db2.gz DCZXBJOMXHHPFN-INIZCTEOSA-N 0 0 445.563 -0.080 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000511106751 1071086680 /nfs/dbraw/zinc/08/66/80/1071086680.db2.gz DCZXBJOMXHHPFN-MRXNPFEDSA-N 0 0 445.563 -0.080 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1)S(C)(=O)=O ZINC000511107092 1071087241 /nfs/dbraw/zinc/08/72/41/1071087241.db2.gz KGDHIPZBMVZWQB-HNNXBMFYSA-N 0 0 426.605 -0.482 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1)S(C)(=O)=O ZINC000511107098 1071087208 /nfs/dbraw/zinc/08/72/08/1071087208.db2.gz KGDHIPZBMVZWQB-OAHLLOKOSA-N 0 0 426.605 -0.482 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000511108740 1071087446 /nfs/dbraw/zinc/08/74/46/1071087446.db2.gz RGJXQEMYWZWDQI-BBRMVZONSA-N 0 0 444.579 -0.033 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000511108741 1071087780 /nfs/dbraw/zinc/08/77/80/1071087780.db2.gz RGJXQEMYWZWDQI-CJNGLKHVSA-N 0 0 444.579 -0.033 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000511108742 1071087532 /nfs/dbraw/zinc/08/75/32/1071087532.db2.gz RGJXQEMYWZWDQI-CZUORRHYSA-N 0 0 444.579 -0.033 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000511108744 1071088489 /nfs/dbraw/zinc/08/84/89/1071088489.db2.gz RGJXQEMYWZWDQI-XJKSGUPXSA-N 0 0 444.579 -0.033 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@H](CN(C)S(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000511108772 1071088539 /nfs/dbraw/zinc/08/85/39/1071088539.db2.gz QKHTWDPMIPNLJN-CYBMUJFWSA-N 0 0 429.543 -0.027 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@@H](CN(C)S(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000511108774 1071088325 /nfs/dbraw/zinc/08/83/25/1071088325.db2.gz QKHTWDPMIPNLJN-ZDUSSCGKSA-N 0 0 429.543 -0.027 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000511108982 1071087179 /nfs/dbraw/zinc/08/71/79/1071087179.db2.gz NTHWLVJKDVBBIE-CABCVRRESA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000511108987 1071087016 /nfs/dbraw/zinc/08/70/16/1071087016.db2.gz NTHWLVJKDVBBIE-GJZGRUSLSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000511108988 1071087619 /nfs/dbraw/zinc/08/76/19/1071087619.db2.gz NTHWLVJKDVBBIE-HUUCEWRRSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000511108990 1071087427 /nfs/dbraw/zinc/08/74/27/1071087427.db2.gz NTHWLVJKDVBBIE-LSDHHAIUSA-N 0 0 425.573 -0.996 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C[C@@H](O)C[N@@H+]2CCC[C@H](S(=O)(=O)N(C)C)C2)C1 ZINC000511109634 1071088394 /nfs/dbraw/zinc/08/83/94/1071088394.db2.gz OQDGCQXXEQQLLD-OSYLJGHBSA-N 0 0 440.632 -0.941 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)[C@@H]1CC[C@H](C(=O)N3CCOCC3)O1)C2 ZINC000511110279 1071089086 /nfs/dbraw/zinc/08/90/86/1071089086.db2.gz MGTPOMYKBSTYHE-SJORKVTESA-N 0 0 437.518 -0.114 20 0 IBADRN CN(C[C@@H]1CCCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)C1)S(C)(=O)=O ZINC000511112400 1071088963 /nfs/dbraw/zinc/08/89/63/1071088963.db2.gz ZJIODWPRFMIXKI-INIZCTEOSA-N 0 0 426.539 -0.230 20 0 IBADRN CN(C[C@H]1CCCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)C1)S(C)(=O)=O ZINC000511112401 1071088846 /nfs/dbraw/zinc/08/88/46/1071088846.db2.gz ZJIODWPRFMIXKI-MRXNPFEDSA-N 0 0 426.539 -0.230 20 0 IBADRN Cc1cc(S(=O)(=O)N(C)C)cc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000511122665 1071089912 /nfs/dbraw/zinc/08/99/12/1071089912.db2.gz IFIPAPAEMNBLFF-CYBMUJFWSA-N 0 0 446.551 -0.021 20 0 IBADRN Cc1cc(S(=O)(=O)N(C)C)cc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000511122672 1071089834 /nfs/dbraw/zinc/08/98/34/1071089834.db2.gz IFIPAPAEMNBLFF-ZDUSSCGKSA-N 0 0 446.551 -0.021 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000511123946 1071090477 /nfs/dbraw/zinc/09/04/77/1071090477.db2.gz JNNSCJQZARVHLX-CYBMUJFWSA-N 0 0 448.567 -0.116 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000511123951 1071090514 /nfs/dbraw/zinc/09/05/14/1071090514.db2.gz JNNSCJQZARVHLX-ZDUSSCGKSA-N 0 0 448.567 -0.116 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCC(=O)NCC(=O)c3ccccc3)CC2)CC1 ZINC000511134721 1071094528 /nfs/dbraw/zinc/09/45/28/1071094528.db2.gz LBTVBDBJTSGZQN-UHFFFAOYSA-N 0 0 437.566 -0.515 20 0 IBADRN CN(C[C@@H]1CCCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1)S(C)(=O)=O ZINC000511141846 1071095040 /nfs/dbraw/zinc/09/50/40/1071095040.db2.gz NJTBATSSAVYVDL-KRWDZBQOSA-N 0 0 445.630 -0.351 20 0 IBADRN CN(C[C@H]1CCCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1)S(C)(=O)=O ZINC000511141854 1071094965 /nfs/dbraw/zinc/09/49/65/1071094965.db2.gz NJTBATSSAVYVDL-QGZVFWFLSA-N 0 0 445.630 -0.351 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cc(F)cc(F)c3)CC2)CC1 ZINC000511154646 1071096761 /nfs/dbraw/zinc/09/67/61/1071096761.db2.gz DNMQHBWDMXYQLM-UHFFFAOYSA-N 0 0 445.492 -0.669 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(c3cc(C)nc4ncnn43)CC2)cn1C ZINC000511156319 1071096918 /nfs/dbraw/zinc/09/69/18/1071096918.db2.gz BGJIWAJUKQGPAD-UHFFFAOYSA-N 0 0 447.525 -0.508 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(c3cc(C)nc4ncnn43)CC2)cn1C ZINC000511156957 1071096943 /nfs/dbraw/zinc/09/69/43/1071096943.db2.gz COCKYSADLPYDAL-UHFFFAOYSA-N 0 0 433.498 -0.898 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1S(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000511158562 1071096866 /nfs/dbraw/zinc/09/68/66/1071096866.db2.gz IAVCBCNDUONFPP-ZIAGYGMSSA-N 0 0 439.446 -0.136 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000511169276 1071099272 /nfs/dbraw/zinc/09/92/72/1071099272.db2.gz LWXASSORAZBEOB-UHFFFAOYSA-N 0 0 435.572 -0.283 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H](C)O)cc2)C1 ZINC000511174857 1071109927 /nfs/dbraw/zinc/10/99/27/1071109927.db2.gz RTQLBSLASJCTIR-QWHCGFSZSA-N 0 0 427.479 -0.304 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H](C)O)cc2)C1 ZINC000511174859 1071109836 /nfs/dbraw/zinc/10/98/36/1071109836.db2.gz RTQLBSLASJCTIR-STQMWFEESA-N 0 0 427.479 -0.304 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)c1 ZINC000511176032 1071112077 /nfs/dbraw/zinc/11/20/77/1071112077.db2.gz OLHCKJVFPQGABT-UHFFFAOYSA-N 0 0 429.524 -0.379 20 0 IBADRN C[C@@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000511178245 1071114335 /nfs/dbraw/zinc/11/43/35/1071114335.db2.gz PJXKHQXCHIMFAC-CQSZACIVSA-N 0 0 440.522 -0.257 20 0 IBADRN CCc1ccnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000511182551 1071117081 /nfs/dbraw/zinc/11/70/81/1071117081.db2.gz LHDGTUVQNSHDSI-UHFFFAOYSA-N 0 0 449.489 -0.515 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(Cn2ccnn2)CC1 ZINC000511189334 1071120320 /nfs/dbraw/zinc/12/03/20/1071120320.db2.gz QDVHDSMSEPTANS-UHFFFAOYSA-N 0 0 432.506 -0.049 20 0 IBADRN CCc1ccnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000511189521 1071119643 /nfs/dbraw/zinc/11/96/43/1071119643.db2.gz WYSBDDUJUCWHGU-UHFFFAOYSA-N 0 0 434.522 -0.165 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H](C)O)cc2)C1 ZINC000511192714 1071120127 /nfs/dbraw/zinc/12/01/27/1071120127.db2.gz RTQLBSLASJCTIR-OLZOCXBDSA-N 0 0 427.479 -0.304 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)CC1 ZINC000511193910 1071121191 /nfs/dbraw/zinc/12/11/91/1071121191.db2.gz QDJOBXRRJYSGCW-HNNXBMFYSA-N 0 0 429.587 -0.246 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)CC1 ZINC000511193916 1071121150 /nfs/dbraw/zinc/12/11/50/1071121150.db2.gz QDJOBXRRJYSGCW-OAHLLOKOSA-N 0 0 429.587 -0.246 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)CC1 ZINC000511194608 1071122089 /nfs/dbraw/zinc/12/20/89/1071122089.db2.gz SMVPRZFAHFWGBM-UHFFFAOYSA-N 0 0 429.587 -0.244 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1C ZINC000511200305 1071125071 /nfs/dbraw/zinc/12/50/71/1071125071.db2.gz QROCKCUHLYLTSM-UHFFFAOYSA-N 0 0 435.510 -0.760 20 0 IBADRN C[C@H](O)CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000511208307 1071128709 /nfs/dbraw/zinc/12/87/09/1071128709.db2.gz XDSGWQLOYKYWJD-HNNXBMFYSA-N 0 0 426.477 -0.197 20 0 IBADRN CCN(CC)c1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](C)O ZINC000511208919 1071128114 /nfs/dbraw/zinc/12/81/14/1071128114.db2.gz ZYIFOYTZZZVRDE-AWEZNQCLSA-N 0 0 442.538 -0.011 20 0 IBADRN O=S(=O)(NCCNS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccc(F)cc1Cl ZINC000511212778 1071131481 /nfs/dbraw/zinc/13/14/81/1071131481.db2.gz HLLJYQGBQSGSGV-UHFFFAOYSA-N 0 0 449.935 -0.678 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NC[C@H](C)O)c1 ZINC000511217652 1071136403 /nfs/dbraw/zinc/13/64/03/1071136403.db2.gz PXUUVEIROJWIMM-AWEZNQCLSA-N 0 0 442.538 -0.011 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cn2)CCO1 ZINC000511218829 1071137123 /nfs/dbraw/zinc/13/71/23/1071137123.db2.gz WWPNIYMWACTBOQ-GOSISDBHSA-N 0 0 446.552 -0.007 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cn2)CCO1 ZINC000511218833 1071137071 /nfs/dbraw/zinc/13/70/71/1071137071.db2.gz WWPNIYMWACTBOQ-SFHVURJKSA-N 0 0 446.552 -0.007 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000511223630 1071142258 /nfs/dbraw/zinc/14/22/58/1071142258.db2.gz QHBDSRRFTXIPHW-CHWSQXEVSA-N 0 0 428.529 -0.443 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000511224678 1071142364 /nfs/dbraw/zinc/14/23/64/1071142364.db2.gz QGHMLBBUBCJVRC-UHFFFAOYSA-N 0 0 449.555 -0.496 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc(OC)c1OC ZINC000511225578 1071144044 /nfs/dbraw/zinc/14/40/44/1071144044.db2.gz ZUDLNKHULZTHAH-CYBMUJFWSA-N 0 0 429.495 -0.018 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1S(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000511225865 1071143197 /nfs/dbraw/zinc/14/31/97/1071143197.db2.gz XVENHWKSMKEGPC-ZIAGYGMSSA-N 0 0 434.492 -0.018 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511226092 1071143280 /nfs/dbraw/zinc/14/32/80/1071143280.db2.gz YXHUCHVTYNJVBB-OAHLLOKOSA-N 0 0 446.551 -0.146 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCC2(S(C)(=O)=O)CCCC2)CC1 ZINC000511226620 1071144072 /nfs/dbraw/zinc/14/40/72/1071144072.db2.gz BTLSNQFTOUSINU-INIZCTEOSA-N 0 0 430.571 -0.082 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCC2(S(C)(=O)=O)CCCC2)CC1 ZINC000511226621 1071144793 /nfs/dbraw/zinc/14/47/93/1071144793.db2.gz BTLSNQFTOUSINU-MRXNPFEDSA-N 0 0 430.571 -0.082 20 0 IBADRN COC[C@@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)OC ZINC000511229180 1071146444 /nfs/dbraw/zinc/14/64/44/1071146444.db2.gz JQGFUXGUFKAGJO-CYBMUJFWSA-N 0 0 442.494 -0.305 20 0 IBADRN COC[C@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)OC ZINC000511229181 1071146625 /nfs/dbraw/zinc/14/66/25/1071146625.db2.gz JQGFUXGUFKAGJO-ZDUSSCGKSA-N 0 0 442.494 -0.305 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CCCC1 ZINC000511232223 1071151164 /nfs/dbraw/zinc/15/11/64/1071151164.db2.gz JQIRWSAEJZMMGC-UHFFFAOYSA-N 0 0 428.511 -0.653 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCn2cc(Br)cn2)CC1 ZINC000511233683 1071153546 /nfs/dbraw/zinc/15/35/46/1071153546.db2.gz UZUOWUVYMLYDBE-UHFFFAOYSA-N 0 0 441.286 -0.352 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)s1 ZINC000511235451 1071157337 /nfs/dbraw/zinc/15/73/37/1071157337.db2.gz NZVHRWQFCKNMPZ-UHFFFAOYSA-N 0 0 431.540 -0.919 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000511236723 1071158904 /nfs/dbraw/zinc/15/89/04/1071158904.db2.gz UPCSBZGBAOEGCG-UHFFFAOYSA-N 0 0 447.536 -0.160 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000511237887 1071159731 /nfs/dbraw/zinc/15/97/31/1071159731.db2.gz BKQOZFXTCRMAGE-CMPLNLGQSA-N 0 0 430.508 -0.397 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000511237888 1071159909 /nfs/dbraw/zinc/15/99/09/1071159909.db2.gz BKQOZFXTCRMAGE-JQWIXIFHSA-N 0 0 430.508 -0.397 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000511237889 1071159692 /nfs/dbraw/zinc/15/96/92/1071159692.db2.gz BKQOZFXTCRMAGE-PWSUYJOCSA-N 0 0 430.508 -0.397 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000511237890 1071160536 /nfs/dbraw/zinc/16/05/36/1071160536.db2.gz BKQOZFXTCRMAGE-ZYHUDNBSSA-N 0 0 430.508 -0.397 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCCN(C(=O)N4CCCC4)C3)cnc21 ZINC000511238897 1071161837 /nfs/dbraw/zinc/16/18/37/1071161837.db2.gz FXJJFSACQJIWLE-GFCCVEGCSA-N 0 0 436.494 -0.007 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCCN(C(=O)N4CCCC4)C3)cnc21 ZINC000511238902 1071161921 /nfs/dbraw/zinc/16/19/21/1071161921.db2.gz FXJJFSACQJIWLE-LBPRGKRZSA-N 0 0 436.494 -0.007 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](C)O ZINC000511242669 1071196824 /nfs/dbraw/zinc/19/68/24/1071196824.db2.gz UDLWAAHEOCHNSN-ZDUSSCGKSA-N 0 0 429.495 -0.070 20 0 IBADRN O=S(=O)(Cc1ccon1)NCCNS(=O)(=O)c1ccccc1Cn1cncn1 ZINC000511245822 1071208508 /nfs/dbraw/zinc/20/85/08/1071208508.db2.gz AMJLWLJLVFVGDO-UHFFFAOYSA-N 0 0 426.480 -0.288 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc(OCC(N)=O)c3)CC2)no1 ZINC000511249874 1074355526 /nfs/dbraw/zinc/35/55/26/1074355526.db2.gz QAOZCPAPJNNTOW-UHFFFAOYSA-N 0 0 444.448 -0.431 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)n1 ZINC000511250048 1071219079 /nfs/dbraw/zinc/21/90/79/1071219079.db2.gz IXFVYFCEPJMYKI-UHFFFAOYSA-N 0 0 427.513 -0.071 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NC[C@@H]3CCCCN3S(C)(=O)=O)CC2)CC1 ZINC000511252724 1071223039 /nfs/dbraw/zinc/22/30/39/1071223039.db2.gz TUYJOMGAWJYAFD-KRWDZBQOSA-N 0 0 429.587 -0.004 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NC[C@H]3CCCCN3S(C)(=O)=O)CC2)CC1 ZINC000511252725 1071222906 /nfs/dbraw/zinc/22/29/06/1071222906.db2.gz TUYJOMGAWJYAFD-QGZVFWFLSA-N 0 0 429.587 -0.004 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCC1CCS(=O)(=O)CC1)CC2 ZINC000511254004 1071223997 /nfs/dbraw/zinc/22/39/97/1071223997.db2.gz VJONORQYVIPALU-UHFFFAOYSA-N 0 0 443.547 -0.185 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)c1C ZINC000511255067 1071226942 /nfs/dbraw/zinc/22/69/42/1071226942.db2.gz UNOLQRJAVBUVQJ-AWEZNQCLSA-N 0 0 449.489 -0.118 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000511255803 1071226782 /nfs/dbraw/zinc/22/67/82/1071226782.db2.gz AULCQCPNVUNZEB-CQSZACIVSA-N 0 0 446.551 -0.793 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CCOC)C(=O)C2)c2ccccc2O1 ZINC000511257200 1071227697 /nfs/dbraw/zinc/22/76/97/1071227697.db2.gz AQHMGXDOODDUGY-KRWDZBQOSA-N 0 0 432.477 -0.376 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CCOC)C(=O)C2)c2ccccc2O1 ZINC000511257201 1071227529 /nfs/dbraw/zinc/22/75/29/1071227529.db2.gz AQHMGXDOODDUGY-QGZVFWFLSA-N 0 0 432.477 -0.376 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCNC(=O)C3CCCCC3)CC2)CC1 ZINC000511258520 1071228270 /nfs/dbraw/zinc/22/82/70/1071228270.db2.gz HHJMPJGALWJPTD-UHFFFAOYSA-N 0 0 429.587 -0.291 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1OC ZINC000511258908 1071228228 /nfs/dbraw/zinc/22/82/28/1071228228.db2.gz IDYFJYFMDQSENT-CYBMUJFWSA-N 0 0 449.551 -0.088 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(CS(C)(=O)=O)o3)CC2)C[C@H](C)O1 ZINC000511259184 1071228200 /nfs/dbraw/zinc/22/82/00/1071228200.db2.gz QTXFWGCTJNNMII-KBPBESRZSA-N 0 0 449.551 -0.064 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(CS(C)(=O)=O)o3)CC2)C[C@H](C)O1 ZINC000511259185 1071228245 /nfs/dbraw/zinc/22/82/45/1071228245.db2.gz QTXFWGCTJNNMII-OKILXGFUSA-N 0 0 449.551 -0.064 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(CS(C)(=O)=O)o3)CC2)C[C@@H](C)O1 ZINC000511259186 1071228343 /nfs/dbraw/zinc/22/83/43/1071228343.db2.gz QTXFWGCTJNNMII-ZIAGYGMSSA-N 0 0 449.551 -0.064 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)s1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000511262123 1071228303 /nfs/dbraw/zinc/22/83/03/1071228303.db2.gz RBBZOYKGGGDMAT-UHFFFAOYSA-N 0 0 433.903 -0.963 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@@H](CO)OC[C@@H]2C)c1OC ZINC000511262518 1071228171 /nfs/dbraw/zinc/22/81/71/1071228171.db2.gz UHSXAUXGOAFXRH-KBPBESRZSA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@@H](CO)OC[C@H]2C)c1OC ZINC000511262519 1071228312 /nfs/dbraw/zinc/22/83/12/1071228312.db2.gz UHSXAUXGOAFXRH-KGLIPLIRSA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@H](CO)OC[C@@H]2C)c1OC ZINC000511262520 1071228710 /nfs/dbraw/zinc/22/87/10/1071228710.db2.gz UHSXAUXGOAFXRH-UONOGXRCSA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@H](CO)OC[C@H]2C)c1OC ZINC000511262522 1071228963 /nfs/dbraw/zinc/22/89/63/1071228963.db2.gz UHSXAUXGOAFXRH-ZIAGYGMSSA-N 0 0 444.506 -0.054 20 0 IBADRN CC[C@@H](C)C(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000511263421 1071228753 /nfs/dbraw/zinc/22/87/53/1071228753.db2.gz XHCQDSPGLUJNME-MSOLQXFVSA-N 0 0 443.614 -0.093 20 0 IBADRN CC[C@@H](C)C(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000511263440 1071228882 /nfs/dbraw/zinc/22/88/82/1071228882.db2.gz XHCQDSPGLUJNME-QZTJIDSGSA-N 0 0 443.614 -0.093 20 0 IBADRN CC[C@H](C)C(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000511263444 1071228846 /nfs/dbraw/zinc/22/88/46/1071228846.db2.gz XHCQDSPGLUJNME-ROUUACIJSA-N 0 0 443.614 -0.093 20 0 IBADRN CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000511263447 1071228935 /nfs/dbraw/zinc/22/89/35/1071228935.db2.gz XHCQDSPGLUJNME-ZWKOTPCHSA-N 0 0 443.614 -0.093 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CC1=O ZINC000511263621 1071228866 /nfs/dbraw/zinc/22/88/66/1071228866.db2.gz ZTWCACVYUTZZQI-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN O=C(Nc1ccccc1OC(F)F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000511264412 1071229015 /nfs/dbraw/zinc/22/90/15/1071229015.db2.gz FAYKEVXQZRMYCA-UHFFFAOYSA-N 0 0 440.403 -0.244 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)[C@H]1C(=O)OC ZINC000511264874 1071228976 /nfs/dbraw/zinc/22/89/76/1071228976.db2.gz HARVYOHCWRJPDV-BXUZGUMPSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)[C@@H]1C(=O)OC ZINC000511264875 1071228823 /nfs/dbraw/zinc/22/88/23/1071228823.db2.gz HARVYOHCWRJPDV-FZMZJTMJSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)[C@@H]1C(=O)OC ZINC000511264876 1071228723 /nfs/dbraw/zinc/22/87/23/1071228723.db2.gz HARVYOHCWRJPDV-RISCZKNCSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000511264877 1071228736 /nfs/dbraw/zinc/22/87/36/1071228736.db2.gz HARVYOHCWRJPDV-SMDDNHRTSA-N 0 0 432.433 -0.493 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000511265179 1071228948 /nfs/dbraw/zinc/22/89/48/1071228948.db2.gz KHGPGKFDWBLKLA-UHFFFAOYSA-N 0 0 446.460 -0.669 20 0 IBADRN COC(=O)c1cc(CN(C)C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)oc1C ZINC000511265401 1071228997 /nfs/dbraw/zinc/22/89/97/1071228997.db2.gz KVNONFHVNDGGEL-UHFFFAOYSA-N 0 0 443.416 -0.083 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000511265705 1071229003 /nfs/dbraw/zinc/22/90/03/1071229003.db2.gz MCAQRUAWRBBXFM-UHFFFAOYSA-N 0 0 431.449 -0.887 20 0 IBADRN COc1c(F)cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1F ZINC000511266031 1071228920 /nfs/dbraw/zinc/22/89/20/1071228920.db2.gz CIQDAMRMCKJLSC-UHFFFAOYSA-N 0 0 440.403 -0.559 20 0 IBADRN COCCCOc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000511266567 1071229533 /nfs/dbraw/zinc/22/95/33/1071229533.db2.gz MNSJZNGKUKDBDZ-UHFFFAOYSA-N 0 0 441.554 -0.253 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CC1=O ZINC000511268290 1071229426 /nfs/dbraw/zinc/22/94/26/1071229426.db2.gz CNRNTPRZOUDDBA-UHFFFAOYSA-N 0 0 446.913 -0.154 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1)N1CCOCC1 ZINC000511268769 1071229602 /nfs/dbraw/zinc/22/96/02/1071229602.db2.gz CYROIMHGFMRSSO-FQEVSTJZSA-N 0 0 441.598 -0.620 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1)N1CCOCC1 ZINC000511268770 1071229590 /nfs/dbraw/zinc/22/95/90/1071229590.db2.gz CYROIMHGFMRSSO-HXUWFJFHSA-N 0 0 441.598 -0.620 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(CS(C)(=O)=O)o3)CC2)CC1 ZINC000511269429 1071232344 /nfs/dbraw/zinc/23/23/44/1071232344.db2.gz VDPGIULZLSWLKT-UHFFFAOYSA-N 0 0 434.540 -0.926 20 0 IBADRN Cc1cccc(-n2cc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)nn2)c1 ZINC000511270054 1071230136 /nfs/dbraw/zinc/23/01/36/1071230136.db2.gz XWPLEKSHHFFILW-UHFFFAOYSA-N 0 0 433.538 -0.174 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000511271419 1071239639 /nfs/dbraw/zinc/23/96/39/1071239639.db2.gz LTLJOVSESJXAQD-IIAWOOMASA-N 0 0 428.507 -0.164 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000511271420 1071239377 /nfs/dbraw/zinc/23/93/77/1071239377.db2.gz LTLJOVSESJXAQD-IJEWVQPXSA-N 0 0 428.507 -0.164 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000511271421 1071239238 /nfs/dbraw/zinc/23/92/38/1071239238.db2.gz LTLJOVSESJXAQD-OFQRWUPVSA-N 0 0 428.507 -0.164 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000511271422 1071239950 /nfs/dbraw/zinc/23/99/50/1071239950.db2.gz LTLJOVSESJXAQD-SQWLQELKSA-N 0 0 428.507 -0.164 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)CC1=O ZINC000511272013 1071236052 /nfs/dbraw/zinc/23/60/52/1071236052.db2.gz NQYSLMAGPAKREW-UHFFFAOYSA-N 0 0 438.506 -0.209 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C(C)C)cc2)CC1=O ZINC000511272352 1071239392 /nfs/dbraw/zinc/23/93/92/1071239392.db2.gz PFQFDXCQEDWWPY-UHFFFAOYSA-N 0 0 440.522 -0.029 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCOC)C(=O)C2)cc1Cl ZINC000511272732 1071237488 /nfs/dbraw/zinc/23/74/88/1071237488.db2.gz QSHNRVHFGPPIGD-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000511272905 1071236941 /nfs/dbraw/zinc/23/69/41/1071236941.db2.gz RPZYVIWTHUBNFZ-HNNXBMFYSA-N 0 0 425.573 -0.443 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000511272906 1071237075 /nfs/dbraw/zinc/23/70/75/1071237075.db2.gz RPZYVIWTHUBNFZ-OAHLLOKOSA-N 0 0 425.573 -0.443 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1)NC1CC1 ZINC000511274013 1071240201 /nfs/dbraw/zinc/24/02/01/1071240201.db2.gz PMQMQDKFMFUIDT-UHFFFAOYSA-N 0 0 443.548 -0.077 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cn(-c3ccc(C(F)(F)F)cn3)nn2)CC1 ZINC000511275336 1071240028 /nfs/dbraw/zinc/24/00/28/1071240028.db2.gz DMKZPZLZRJXQOS-UHFFFAOYSA-N 0 0 436.358 -0.348 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CC1=O ZINC000511276570 1071240957 /nfs/dbraw/zinc/24/09/57/1071240957.db2.gz LAKAQRSQGKTZFM-AWEZNQCLSA-N 0 0 443.464 -0.267 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)CC1=O ZINC000511276571 1071240774 /nfs/dbraw/zinc/24/07/74/1071240774.db2.gz LAKAQRSQGKTZFM-CQSZACIVSA-N 0 0 443.464 -0.267 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1=O ZINC000511276942 1071239922 /nfs/dbraw/zinc/23/99/22/1071239922.db2.gz OCCJBPNSWANIQQ-UHFFFAOYSA-N 0 0 431.428 -0.066 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)CC1=O ZINC000511277122 1071240006 /nfs/dbraw/zinc/24/00/06/1071240006.db2.gz PVDZXOGEUTYGCQ-UHFFFAOYSA-N 0 0 438.506 -0.309 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)CC1=O ZINC000511277126 1071240181 /nfs/dbraw/zinc/24/01/81/1071240181.db2.gz PVKDZXYKPUXZNY-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000511277325 1071240869 /nfs/dbraw/zinc/24/08/69/1071240869.db2.gz RHAAYSDQDBEBQE-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)CC1=O ZINC000511277351 1071240967 /nfs/dbraw/zinc/24/09/67/1071240967.db2.gz ROZNVPXRPKCUFM-UHFFFAOYSA-N 0 0 429.477 -0.015 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000511278065 1071240739 /nfs/dbraw/zinc/24/07/39/1071240739.db2.gz VDKYIALJBQLUDG-INIZCTEOSA-N 0 0 433.552 -0.136 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000511278066 1071240945 /nfs/dbraw/zinc/24/09/45/1071240945.db2.gz VDKYIALJBQLUDG-MRXNPFEDSA-N 0 0 433.552 -0.136 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@H]1C(=O)OC ZINC000511278282 1071240887 /nfs/dbraw/zinc/24/08/87/1071240887.db2.gz QFGHPLOJMVJESY-BXUZGUMPSA-N 0 0 449.445 -0.335 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@@H]1C(=O)OC ZINC000511278283 1071240993 /nfs/dbraw/zinc/24/09/93/1071240993.db2.gz QFGHPLOJMVJESY-FZMZJTMJSA-N 0 0 449.445 -0.335 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@@H]1C(=O)OC ZINC000511278284 1071241005 /nfs/dbraw/zinc/24/10/05/1071241005.db2.gz QFGHPLOJMVJESY-RISCZKNCSA-N 0 0 449.445 -0.335 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000511278285 1071240719 /nfs/dbraw/zinc/24/07/19/1071240719.db2.gz QFGHPLOJMVJESY-SMDDNHRTSA-N 0 0 449.445 -0.335 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1=O ZINC000511278530 1071241524 /nfs/dbraw/zinc/24/15/24/1071241524.db2.gz FUWPZRBTPBWOGA-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN CCN(CCCNC(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1)S(C)(=O)=O ZINC000511279883 1071241454 /nfs/dbraw/zinc/24/14/54/1071241454.db2.gz KPTSJMJTYALCQO-UHFFFAOYSA-N 0 0 449.555 -0.496 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CC1=O ZINC000511280247 1071241617 /nfs/dbraw/zinc/24/16/17/1071241617.db2.gz LYOVVCGYZKZZRE-UHFFFAOYSA-N 0 0 438.506 -0.275 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CC1=O ZINC000511280787 1071241643 /nfs/dbraw/zinc/24/16/43/1071241643.db2.gz ORGDECPVYLRDIF-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)CC1=O ZINC000511280803 1071241474 /nfs/dbraw/zinc/24/14/74/1071241474.db2.gz OTGZXLSXLHLVAB-UHFFFAOYSA-N 0 0 448.476 -0.392 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CC1=O ZINC000511281858 1071241508 /nfs/dbraw/zinc/24/15/08/1071241508.db2.gz WNQOVOWAHVKBIG-UHFFFAOYSA-N 0 0 449.489 -0.469 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000511282504 1071242279 /nfs/dbraw/zinc/24/22/79/1071242279.db2.gz AELQUNHWTKKOPA-UHFFFAOYSA-N 0 0 433.509 -0.191 20 0 IBADRN COC[C@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1)OC ZINC000511282600 1071242327 /nfs/dbraw/zinc/24/23/27/1071242327.db2.gz ARFQWLRJJZNCRD-AWEZNQCLSA-N 0 0 426.495 -0.142 20 0 IBADRN COC[C@@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1)OC ZINC000511282604 1071242356 /nfs/dbraw/zinc/24/23/56/1071242356.db2.gz ARFQWLRJJZNCRD-CQSZACIVSA-N 0 0 426.495 -0.142 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)ccc1C ZINC000511283964 1071242409 /nfs/dbraw/zinc/24/24/09/1071242409.db2.gz GJBOGSBVBBVUAH-UHFFFAOYSA-N 0 0 437.522 -0.736 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1=O ZINC000511285546 1071243627 /nfs/dbraw/zinc/24/36/27/1071243627.db2.gz BGDXHHHJJXZRJD-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000511288746 1071245437 /nfs/dbraw/zinc/24/54/37/1071245437.db2.gz OYCCNYKWSGFHDP-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000511288747 1071245412 /nfs/dbraw/zinc/24/54/12/1071245412.db2.gz OYCCNYKWSGFHDP-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)CC1=O ZINC000511290511 1071248600 /nfs/dbraw/zinc/24/86/00/1071248600.db2.gz QSZYSRDNJQQBJG-UHFFFAOYSA-N 0 0 427.479 -0.638 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C(C)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000511292174 1071248340 /nfs/dbraw/zinc/24/83/40/1071248340.db2.gz XBALHKKNWXFIHO-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000511292829 1071248632 /nfs/dbraw/zinc/24/86/32/1071248632.db2.gz KQJPYIUKNKZGKE-UHFFFAOYSA-N 0 0 431.493 -0.437 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000511294908 1071248275 /nfs/dbraw/zinc/24/82/75/1071248275.db2.gz HYFWNQXJPPHOME-CABCVRRESA-N 0 0 427.523 -0.005 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000511294909 1071248264 /nfs/dbraw/zinc/24/82/64/1071248264.db2.gz HYFWNQXJPPHOME-GJZGRUSLSA-N 0 0 427.523 -0.005 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000511294910 1071248565 /nfs/dbraw/zinc/24/85/65/1071248565.db2.gz HYFWNQXJPPHOME-HUUCEWRRSA-N 0 0 427.523 -0.005 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000511294911 1071248365 /nfs/dbraw/zinc/24/83/65/1071248365.db2.gz HYFWNQXJPPHOME-LSDHHAIUSA-N 0 0 427.523 -0.005 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCOC)C(=O)C2)cc1OC ZINC000511295845 1071248992 /nfs/dbraw/zinc/24/89/92/1071248992.db2.gz MIGRRUHKPOZKAQ-UHFFFAOYSA-N 0 0 442.494 -0.288 20 0 IBADRN Cc1cc(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc(C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000511296702 1071248945 /nfs/dbraw/zinc/24/89/45/1071248945.db2.gz LIJLQWQHEROAIF-LUKYLMHMSA-N 0 0 432.477 -0.480 20 0 IBADRN Cc1cc(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000511296703 1071248987 /nfs/dbraw/zinc/24/89/87/1071248987.db2.gz LIJLQWQHEROAIF-MWDXBVQZSA-N 0 0 432.477 -0.480 20 0 IBADRN Cc1cc(C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000511296704 1071249083 /nfs/dbraw/zinc/24/90/83/1071249083.db2.gz LIJLQWQHEROAIF-YYIAUSFCSA-N 0 0 432.477 -0.480 20 0 IBADRN Cc1cc(C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000511296705 1071249200 /nfs/dbraw/zinc/24/92/00/1071249200.db2.gz LIJLQWQHEROAIF-ZYGGUILKSA-N 0 0 432.477 -0.480 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)[C@H]1C(=O)OC ZINC000511299678 1071249877 /nfs/dbraw/zinc/24/98/77/1071249877.db2.gz DABGUBFFGIRZAF-BXUZGUMPSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)[C@@H]1C(=O)OC ZINC000511299679 1071249783 /nfs/dbraw/zinc/24/97/83/1071249783.db2.gz DABGUBFFGIRZAF-FZMZJTMJSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)[C@@H]1C(=O)OC ZINC000511299680 1071249748 /nfs/dbraw/zinc/24/97/48/1071249748.db2.gz DABGUBFFGIRZAF-RISCZKNCSA-N 0 0 432.433 -0.493 20 0 IBADRN COC(=O)[C@H]1[C@@H](C(=O)OC)CCCN1C(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000511299681 1071249764 /nfs/dbraw/zinc/24/97/64/1071249764.db2.gz DABGUBFFGIRZAF-SMDDNHRTSA-N 0 0 432.433 -0.493 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)ccc1C ZINC000511303523 1071250524 /nfs/dbraw/zinc/25/05/24/1071250524.db2.gz CNUKFVAAYWPAJZ-HNNXBMFYSA-N 0 0 438.506 -0.309 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)ccc1C ZINC000511303526 1071250606 /nfs/dbraw/zinc/25/06/06/1071250606.db2.gz CNUKFVAAYWPAJZ-OAHLLOKOSA-N 0 0 438.506 -0.309 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)cn1C ZINC000511303536 1071250355 /nfs/dbraw/zinc/25/03/55/1071250355.db2.gz VDUVZERUDJBZGQ-UHFFFAOYSA-N 0 0 440.526 -0.232 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000511304085 1071250542 /nfs/dbraw/zinc/25/05/42/1071250542.db2.gz DFUHBUPFSWZKCS-GOSISDBHSA-N 0 0 435.477 -0.239 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000511304086 1071250624 /nfs/dbraw/zinc/25/06/24/1071250624.db2.gz DFUHBUPFSWZKCS-SFHVURJKSA-N 0 0 435.477 -0.239 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCOC)C(=O)C2)cc1 ZINC000511304646 1071250373 /nfs/dbraw/zinc/25/03/73/1071250373.db2.gz ZELCHSAXSBROFC-UHFFFAOYSA-N 0 0 441.506 -0.248 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000511305615 1071250563 /nfs/dbraw/zinc/25/05/63/1071250563.db2.gz GAVLDILMMPHJGD-UHFFFAOYSA-N 0 0 441.492 -0.348 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1)NC1CC1 ZINC000511308545 1071250443 /nfs/dbraw/zinc/25/04/43/1071250443.db2.gz NGXFRSBMSHRLBZ-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(CC(N)=O)cc3)CC2)no1 ZINC000511309454 1071251503 /nfs/dbraw/zinc/25/15/03/1071251503.db2.gz PDLRFRGKZUQIOF-UHFFFAOYSA-N 0 0 428.449 -0.268 20 0 IBADRN O=C(Nc1ccccc1C(=O)N1CCOCC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000511310048 1071251423 /nfs/dbraw/zinc/25/14/23/1071251423.db2.gz QXNCZOTUMZSSHR-GOSISDBHSA-N 0 0 444.488 -0.053 20 0 IBADRN O=C(Nc1ccccc1C(=O)N1CCOCC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000511310049 1071251268 /nfs/dbraw/zinc/25/12/68/1071251268.db2.gz QXNCZOTUMZSSHR-SFHVURJKSA-N 0 0 444.488 -0.053 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(N)(=O)=O)c2ccccc2O1 ZINC000511310492 1071251463 /nfs/dbraw/zinc/25/14/63/1071251463.db2.gz VTZXWAPHZOUGOB-HNNXBMFYSA-N 0 0 432.458 -0.510 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(N)(=O)=O)c2ccccc2O1 ZINC000511310493 1071251357 /nfs/dbraw/zinc/25/13/57/1071251357.db2.gz VTZXWAPHZOUGOB-OAHLLOKOSA-N 0 0 432.458 -0.510 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccccc2Cn2cncn2)CC1)N1CCCC1 ZINC000511311635 1071252291 /nfs/dbraw/zinc/25/22/91/1071252291.db2.gz YUBOPVLBIIRANG-UHFFFAOYSA-N 0 0 432.506 -0.218 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccccc2Cn2cncn2)CC1)N1CCOCC1 ZINC000511311836 1071252171 /nfs/dbraw/zinc/25/21/71/1071252171.db2.gz BJCDLUPUOVCMTG-UHFFFAOYSA-N 0 0 434.522 -0.509 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1=O ZINC000511312100 1071251479 /nfs/dbraw/zinc/25/14/79/1071251479.db2.gz ANBDEAGIGSCBBM-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)ccc1C ZINC000511312212 1071251311 /nfs/dbraw/zinc/25/13/11/1071251311.db2.gz FNMDXNFYSLTYPA-UHFFFAOYSA-N 0 0 439.538 -0.490 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000511312497 1071252723 /nfs/dbraw/zinc/25/27/23/1071252723.db2.gz CLBBUIOQTUQTGK-CABCVRRESA-N 0 0 445.629 -0.315 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000511312502 1071252782 /nfs/dbraw/zinc/25/27/82/1071252782.db2.gz CLBBUIOQTUQTGK-GJZGRUSLSA-N 0 0 445.629 -0.315 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000511312503 1071252843 /nfs/dbraw/zinc/25/28/43/1071252843.db2.gz CLBBUIOQTUQTGK-HUUCEWRRSA-N 0 0 445.629 -0.315 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000511312504 1071252822 /nfs/dbraw/zinc/25/28/22/1071252822.db2.gz CLBBUIOQTUQTGK-LSDHHAIUSA-N 0 0 445.629 -0.315 20 0 IBADRN COC(=O)c1cccc(CCNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000511312628 1071252732 /nfs/dbraw/zinc/25/27/32/1071252732.db2.gz DTFKOUQVUFMPOA-UHFFFAOYSA-N 0 0 443.460 -0.174 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)[C@H]2CCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000511313510 1071253578 /nfs/dbraw/zinc/25/35/78/1071253578.db2.gz HWKKXLVDHOOINV-HNNXBMFYSA-N 0 0 431.536 -0.133 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)[C@@H]2CCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000511313511 1071253900 /nfs/dbraw/zinc/25/39/00/1071253900.db2.gz HWKKXLVDHOOINV-OAHLLOKOSA-N 0 0 431.536 -0.133 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000511313753 1071253557 /nfs/dbraw/zinc/25/35/57/1071253557.db2.gz ILCWUXBHNQEYME-CYBMUJFWSA-N 0 0 425.467 -0.708 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000511313762 1071253886 /nfs/dbraw/zinc/25/38/86/1071253886.db2.gz ILCWUXBHNQEYME-ZDUSSCGKSA-N 0 0 425.467 -0.708 20 0 IBADRN COC(=O)c1cccc(CCNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000511314841 1071254458 /nfs/dbraw/zinc/25/44/58/1071254458.db2.gz MAHXPPNXBNOMKO-UHFFFAOYSA-N 0 0 443.460 -0.174 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNS(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000511315661 1071254411 /nfs/dbraw/zinc/25/44/11/1071254411.db2.gz PKFZYNNATOVBLF-AAEUAGOBSA-N 0 0 425.554 -0.129 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNS(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000511315662 1071254621 /nfs/dbraw/zinc/25/46/21/1071254621.db2.gz PKFZYNNATOVBLF-DGCLKSJQSA-N 0 0 425.554 -0.129 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNS(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000511315663 1071254527 /nfs/dbraw/zinc/25/45/27/1071254527.db2.gz PKFZYNNATOVBLF-WCQYABFASA-N 0 0 425.554 -0.129 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNS(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000511315664 1071254340 /nfs/dbraw/zinc/25/43/40/1071254340.db2.gz PKFZYNNATOVBLF-YPMHNXCESA-N 0 0 425.554 -0.129 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1=O ZINC000511315939 1071254573 /nfs/dbraw/zinc/25/45/73/1071254573.db2.gz QMCSYVNPODDRDZ-UHFFFAOYSA-N 0 0 445.520 -0.741 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)n1 ZINC000511316439 1074355715 /nfs/dbraw/zinc/35/57/15/1074355715.db2.gz UEHOCBOBJUYWKE-AWEZNQCLSA-N 0 0 448.505 -0.511 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000511316469 1071255148 /nfs/dbraw/zinc/25/51/48/1071255148.db2.gz UNIUWYWGEZAKII-UHFFFAOYSA-N 0 0 437.522 -0.715 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1=O ZINC000511316673 1071255419 /nfs/dbraw/zinc/25/54/19/1071255419.db2.gz SWTSZASRWURISI-UHFFFAOYSA-N 0 0 430.458 -0.668 20 0 IBADRN O=S(=O)(c1ccccc1Cn1cncn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000511317530 1071255462 /nfs/dbraw/zinc/25/54/62/1071255462.db2.gz YMXYIVXGXBKKDL-INIZCTEOSA-N 0 0 425.536 -0.180 20 0 IBADRN O=S(=O)(c1ccccc1Cn1cncn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000511317533 1071255245 /nfs/dbraw/zinc/25/52/45/1071255245.db2.gz YMXYIVXGXBKKDL-MRXNPFEDSA-N 0 0 425.536 -0.180 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1=O ZINC000511317852 1071255318 /nfs/dbraw/zinc/25/53/18/1071255318.db2.gz YTGDMJHBHPZZDD-UHFFFAOYSA-N 0 0 432.477 -0.149 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1=O ZINC000511319951 1071255948 /nfs/dbraw/zinc/25/59/48/1071255948.db2.gz ILBNEUMYMOULAE-UHFFFAOYSA-N 0 0 442.469 -0.478 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)[C@H]1C(=O)OC ZINC000511320149 1071255790 /nfs/dbraw/zinc/25/57/90/1071255790.db2.gz GRTIVRONIAETTN-JFIYKMOQSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)[C@@H]1C(=O)OC ZINC000511320160 1071256181 /nfs/dbraw/zinc/25/61/81/1071256181.db2.gz GRTIVRONIAETTN-NUJGCVRESA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)[C@@H]1C(=O)OC ZINC000511320162 1071255842 /nfs/dbraw/zinc/25/58/42/1071255842.db2.gz GRTIVRONIAETTN-RYRKJORJSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)[C@H]1C(=O)OC ZINC000511320165 1071256041 /nfs/dbraw/zinc/25/60/41/1071256041.db2.gz GRTIVRONIAETTN-SOLBZPMBSA-N 0 0 439.509 -0.347 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCOC)C(=O)C2)cc1 ZINC000511322338 1071255966 /nfs/dbraw/zinc/25/59/66/1071255966.db2.gz LNYOMQWDAUOYFG-AWEZNQCLSA-N 0 0 448.476 -0.376 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCOC)C(=O)C2)cc1 ZINC000511322347 1071256848 /nfs/dbraw/zinc/25/68/48/1071256848.db2.gz LNYOMQWDAUOYFG-CQSZACIVSA-N 0 0 448.476 -0.376 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)cc1C(N)=O ZINC000511322661 1071256149 /nfs/dbraw/zinc/25/61/49/1071256149.db2.gz HPJOJAYOKUFTEH-LBPRGKRZSA-N 0 0 447.473 -0.293 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4ccco4)CC3)cnc2n(C)c1=O ZINC000511322733 1071255933 /nfs/dbraw/zinc/25/59/33/1071255933.db2.gz GWTBQUAMIHZATN-UHFFFAOYSA-N 0 0 426.433 -0.492 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC000511322947 1071255759 /nfs/dbraw/zinc/25/57/59/1071255759.db2.gz HZNFJDXCNOCUSL-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)CC1=O ZINC000511323719 1071257330 /nfs/dbraw/zinc/25/73/30/1071257330.db2.gz NYIGKZWQPSPONX-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000511326173 1071266017 /nfs/dbraw/zinc/26/60/17/1071266017.db2.gz OPAMJXGALYLIIK-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)cn1 ZINC000511326648 1071266044 /nfs/dbraw/zinc/26/60/44/1071266044.db2.gz PNGWZTBDXVLXFO-AWEZNQCLSA-N 0 0 448.505 -0.511 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC(OC)C1)c(=O)n2Cc1ccccc1 ZINC000511326991 1071265995 /nfs/dbraw/zinc/26/59/95/1071265995.db2.gz PTJDEBYUVZRCQH-UHFFFAOYSA-N 0 0 427.461 -0.088 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000511327379 1071266550 /nfs/dbraw/zinc/26/65/50/1071266550.db2.gz UNDVKXPZEZLFGW-UHFFFAOYSA-N 0 0 435.528 -0.838 20 0 IBADRN COC(=O)c1cccc(CCNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000511327517 1071266570 /nfs/dbraw/zinc/26/65/70/1071266570.db2.gz PPXDPDZKWKAWGZ-UHFFFAOYSA-N 0 0 426.429 -0.014 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H]3CCCC[C@@H]3NS(C)(=O)=O)cnc21 ZINC000511327624 1071265754 /nfs/dbraw/zinc/26/57/54/1071265754.db2.gz URYNQAVFYLWPOA-GWCFXTLKSA-N 0 0 445.523 -0.580 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H]3CCCC[C@H]3NS(C)(=O)=O)cnc21 ZINC000511327628 1071265792 /nfs/dbraw/zinc/26/57/92/1071265792.db2.gz URYNQAVFYLWPOA-GXFFZTMASA-N 0 0 445.523 -0.580 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@H]3CCCC[C@@H]3NS(C)(=O)=O)cnc21 ZINC000511327629 1071265711 /nfs/dbraw/zinc/26/57/11/1071265711.db2.gz URYNQAVFYLWPOA-MFKMUULPSA-N 0 0 445.523 -0.580 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@H]3CCCC[C@H]3NS(C)(=O)=O)cnc21 ZINC000511327630 1071266647 /nfs/dbraw/zinc/26/66/47/1071266647.db2.gz URYNQAVFYLWPOA-ZWNOBZJWSA-N 0 0 445.523 -0.580 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC000511327864 1071265666 /nfs/dbraw/zinc/26/56/66/1071265666.db2.gz VFQMGZCRSAVLKY-UHFFFAOYSA-N 0 0 426.543 -0.088 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000511327905 1071265809 /nfs/dbraw/zinc/26/58/09/1071265809.db2.gz QJRCONNOEPIJNP-UHFFFAOYSA-N 0 0 431.541 -0.253 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000511328029 1071265590 /nfs/dbraw/zinc/26/55/90/1071265590.db2.gz SPPJUIMSDKGITH-UHFFFAOYSA-N 0 0 426.495 -0.571 20 0 IBADRN COC(=O)c1cccc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000511328219 1071265648 /nfs/dbraw/zinc/26/56/48/1071265648.db2.gz WMNLJTCXKCFOCF-UHFFFAOYSA-N 0 0 439.428 -0.284 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000511328736 1071265724 /nfs/dbraw/zinc/26/57/24/1071265724.db2.gz SKMIVQAXROSHJS-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)c2ccccc2)cn1C ZINC000511330816 1071266451 /nfs/dbraw/zinc/26/64/51/1071266451.db2.gz AWUJSKHIFKWTDZ-UHFFFAOYSA-N 0 0 429.524 -0.508 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1=O ZINC000511331798 1071266683 /nfs/dbraw/zinc/26/66/83/1071266683.db2.gz FZBGZUUXNRUIGY-UHFFFAOYSA-N 0 0 426.495 -0.499 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CC(OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000511336259 1071268219 /nfs/dbraw/zinc/26/82/19/1071268219.db2.gz XZRBIODWEAKYRV-UHFFFAOYSA-N 0 0 425.486 -0.196 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1=O ZINC000511338505 1071270740 /nfs/dbraw/zinc/27/07/40/1071270740.db2.gz AHRUGJCUFHWNAJ-UHFFFAOYSA-N 0 0 428.445 -0.052 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000511340890 1071271637 /nfs/dbraw/zinc/27/16/37/1071271637.db2.gz HJFBDWFTJPGJEH-BXUZGUMPSA-N 0 0 425.554 -0.280 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000511340891 1071271547 /nfs/dbraw/zinc/27/15/47/1071271547.db2.gz HJFBDWFTJPGJEH-FZMZJTMJSA-N 0 0 425.554 -0.280 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000511340892 1071271701 /nfs/dbraw/zinc/27/17/01/1071271701.db2.gz HJFBDWFTJPGJEH-RISCZKNCSA-N 0 0 425.554 -0.280 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000511340893 1071271630 /nfs/dbraw/zinc/27/16/30/1071271630.db2.gz HJFBDWFTJPGJEH-SMDDNHRTSA-N 0 0 425.554 -0.280 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1=O ZINC000511342368 1071273606 /nfs/dbraw/zinc/27/36/06/1071273606.db2.gz KXHGOMYJCRRHPA-UHFFFAOYSA-N 0 0 434.449 -0.765 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccccc1Cn1cncn1 ZINC000511343011 1071272909 /nfs/dbraw/zinc/27/29/09/1071272909.db2.gz LFRFQCZJYRQCCK-HNNXBMFYSA-N 0 0 441.535 -0.360 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccccc1Cn1cncn1 ZINC000511343014 1071273025 /nfs/dbraw/zinc/27/30/25/1071273025.db2.gz LFRFQCZJYRQCCK-OAHLLOKOSA-N 0 0 441.535 -0.360 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1=O ZINC000511346121 1071275285 /nfs/dbraw/zinc/27/52/85/1071275285.db2.gz PHMUHMHTVOEXLC-UHFFFAOYSA-N 0 0 442.469 -0.379 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)cc1C ZINC000511346313 1074355698 /nfs/dbraw/zinc/35/56/98/1074355698.db2.gz RJFASPWOKQCOLC-AWEZNQCLSA-N 0 0 448.505 -0.545 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1=O ZINC000511346358 1071275178 /nfs/dbraw/zinc/27/51/78/1071275178.db2.gz UCKHYAUPSIEDGC-UHFFFAOYSA-N 0 0 447.492 -0.787 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1=O ZINC000511346587 1071275910 /nfs/dbraw/zinc/27/59/10/1071275910.db2.gz QVKGABLEFWSFHS-UHFFFAOYSA-N 0 0 442.494 -0.799 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC000511347310 1071276126 /nfs/dbraw/zinc/27/61/26/1071276126.db2.gz TWGQJOUYXZTXEA-UHFFFAOYSA-N 0 0 426.543 -0.090 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCOC)C(=O)C2)cc1 ZINC000511348643 1071276478 /nfs/dbraw/zinc/27/64/78/1071276478.db2.gz XXRYWCDDLBABME-UHFFFAOYSA-N 0 0 440.522 -0.027 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCc2ccccc2S(N)(=O)=O)c1 ZINC000511351603 1071279001 /nfs/dbraw/zinc/27/90/01/1071279001.db2.gz PXDVTZJANNVMEW-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000511352399 1071279761 /nfs/dbraw/zinc/27/97/61/1071279761.db2.gz UPYNBEQSMXEURJ-UHFFFAOYSA-N 0 0 440.522 -0.013 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1=O ZINC000511352476 1071279827 /nfs/dbraw/zinc/27/98/27/1071279827.db2.gz VZFYWCGMXPAKIG-UHFFFAOYSA-N 0 0 442.469 -0.379 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)CC1=O ZINC000511353023 1071278905 /nfs/dbraw/zinc/27/89/05/1071278905.db2.gz XYKBRZSCZZXVAZ-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000511355746 1071282186 /nfs/dbraw/zinc/28/21/86/1071282186.db2.gz SJUGHVLSYDRODW-AWEZNQCLSA-N 0 0 431.536 -0.281 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000511355747 1071282021 /nfs/dbraw/zinc/28/20/21/1071282021.db2.gz SJUGHVLSYDRODW-CQSZACIVSA-N 0 0 431.536 -0.281 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)CC1=O ZINC000511356251 1071281694 /nfs/dbraw/zinc/28/16/94/1071281694.db2.gz CCTLWGRCUSOQOS-UHFFFAOYSA-N 0 0 438.506 -0.309 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000511356314 1071281652 /nfs/dbraw/zinc/28/16/52/1071281652.db2.gz CISXGMDBDCYKAL-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000511356316 1071281617 /nfs/dbraw/zinc/28/16/17/1071281617.db2.gz CISXGMDBDCYKAL-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1=O ZINC000511356675 1071283222 /nfs/dbraw/zinc/28/32/22/1071283222.db2.gz CXPKHBUQXFXJGD-UHFFFAOYSA-N 0 0 442.494 -0.799 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H]3CCCCN3S(C)(=O)=O)cnc21 ZINC000511357467 1071282911 /nfs/dbraw/zinc/28/29/11/1071282911.db2.gz FOSCCOCJRSDZTF-JTQLQIEISA-N 0 0 431.496 -0.874 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@H]3CCCCN3S(C)(=O)=O)cnc21 ZINC000511357469 1071283195 /nfs/dbraw/zinc/28/31/95/1071283195.db2.gz FOSCCOCJRSDZTF-SNVBAGLBSA-N 0 0 431.496 -0.874 20 0 IBADRN Cn1cc(S(=O)(=O)NC2CCN(C(=O)c3cc(Cl)c[nH]3)CC2)c(=O)n(C)c1=O ZINC000511358502 1071283883 /nfs/dbraw/zinc/28/38/83/1071283883.db2.gz FSVSYQUNLSPSLN-UHFFFAOYSA-N 0 0 429.886 -0.351 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)CC1=O ZINC000511359260 1071283129 /nfs/dbraw/zinc/28/31/29/1071283129.db2.gz KQGZGUOEIGUUON-UHFFFAOYSA-N 0 0 438.506 -0.740 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)CC1=O ZINC000511361352 1071284494 /nfs/dbraw/zinc/28/44/94/1071284494.db2.gz QQZMWAWWDUMIBL-UHFFFAOYSA-N 0 0 443.464 -0.196 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1=O ZINC000511361627 1071285200 /nfs/dbraw/zinc/28/52/00/1071285200.db2.gz NPRDQTVPIDWPHL-UHFFFAOYSA-N 0 0 438.506 -0.823 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000511361777 1071285187 /nfs/dbraw/zinc/28/51/87/1071285187.db2.gz RUSCDBLDCDANHP-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000511361778 1071285173 /nfs/dbraw/zinc/28/51/73/1071285173.db2.gz RUSCDBLDCDANHP-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(CCOC)C(=O)C1)CC2 ZINC000511362221 1071284725 /nfs/dbraw/zinc/28/47/25/1071284725.db2.gz SXEOVDCTYZSHNK-UHFFFAOYSA-N 0 0 438.506 -0.809 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)CC1=O ZINC000511362600 1071285296 /nfs/dbraw/zinc/28/52/96/1071285296.db2.gz PPVJSTALGQFXBZ-UHFFFAOYSA-N 0 0 431.493 -0.356 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1=O ZINC000511363052 1071285321 /nfs/dbraw/zinc/28/53/21/1071285321.db2.gz WOSHWWHQCZPIKG-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCOC)C(=O)C2)cc1 ZINC000511363504 1071286016 /nfs/dbraw/zinc/28/60/16/1071286016.db2.gz XVZJMTLRAWPAPS-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)cc2[nH]c(=O)c1=O ZINC000511364456 1071286027 /nfs/dbraw/zinc/28/60/27/1071286027.db2.gz XCWTZXOEJBESGQ-UHFFFAOYSA-N 0 0 443.489 -0.042 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccccc2Cn2cncn2)CC1 ZINC000511365400 1071286752 /nfs/dbraw/zinc/28/67/52/1071286752.db2.gz ZXTPCZYHSTVCJD-UHFFFAOYSA-N 0 0 446.489 -0.692 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1Cn1cncn1)N1CCSCC1 ZINC000511365542 1071286980 /nfs/dbraw/zinc/28/69/80/1071286980.db2.gz WYBCEPVJHUHKLS-UHFFFAOYSA-N 0 0 431.565 -0.017 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000511365668 1071286224 /nfs/dbraw/zinc/28/62/24/1071286224.db2.gz XKRGCMPCDYPZDB-DLBZAZTESA-N 0 0 445.586 -0.625 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000511365669 1071286288 /nfs/dbraw/zinc/28/62/88/1071286288.db2.gz XKRGCMPCDYPZDB-IAGOWNOFSA-N 0 0 445.586 -0.625 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000511365670 1071286896 /nfs/dbraw/zinc/28/68/96/1071286896.db2.gz XKRGCMPCDYPZDB-IRXDYDNUSA-N 0 0 445.586 -0.625 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000511365671 1071286691 /nfs/dbraw/zinc/28/66/91/1071286691.db2.gz XKRGCMPCDYPZDB-SJORKVTESA-N 0 0 445.586 -0.625 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000511366274 1071285969 /nfs/dbraw/zinc/28/59/69/1071285969.db2.gz ZCDXCQFMORYQKJ-UHFFFAOYSA-N 0 0 444.492 -0.007 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000511366878 1071286840 /nfs/dbraw/zinc/28/68/40/1071286840.db2.gz LDQBULHRGMUHOQ-AWEZNQCLSA-N 0 0 439.581 -0.302 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000511366879 1071286882 /nfs/dbraw/zinc/28/68/82/1071286882.db2.gz LDQBULHRGMUHOQ-CQSZACIVSA-N 0 0 439.581 -0.302 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1C ZINC000511367827 1071286854 /nfs/dbraw/zinc/28/68/54/1071286854.db2.gz VEZFHRGYJXFMEG-CYBMUJFWSA-N 0 0 439.581 -0.004 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1C ZINC000511367828 1071286736 /nfs/dbraw/zinc/28/67/36/1071286736.db2.gz VEZFHRGYJXFMEG-ZDUSSCGKSA-N 0 0 439.581 -0.004 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2ccccc2Cn2cncn2)CC1 ZINC000511374426 1071288469 /nfs/dbraw/zinc/28/84/69/1071288469.db2.gz AIXJNNPUOFYMHE-UHFFFAOYSA-N 0 0 434.522 -0.509 20 0 IBADRN CN(CCS(=O)(=O)N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)S(=O)(=O)c1ccc(F)cc1 ZINC000511377112 1071288896 /nfs/dbraw/zinc/28/88/96/1071288896.db2.gz JCAHYJVCYPMJDQ-SWLSCSKDSA-N 0 0 440.540 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cnnn3C)cc2)C[C@H](C)O1 ZINC000511378599 1071290667 /nfs/dbraw/zinc/29/06/67/1071290667.db2.gz KKLIXJUXPWSFPA-BETUJISGSA-N 0 0 436.494 -0.132 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cnnn3C)cc2)C[C@@H](C)O1 ZINC000511378604 1071290724 /nfs/dbraw/zinc/29/07/24/1071290724.db2.gz KKLIXJUXPWSFPA-CHWSQXEVSA-N 0 0 436.494 -0.132 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cnnn3C)cc2)C[C@H](C)O1 ZINC000511378605 1071290549 /nfs/dbraw/zinc/29/05/49/1071290549.db2.gz KKLIXJUXPWSFPA-STQMWFEESA-N 0 0 436.494 -0.132 20 0 IBADRN CO[C@@H](CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000511379388 1071290710 /nfs/dbraw/zinc/29/07/10/1071290710.db2.gz NRKJEWYQRHMXPA-PBHICJAKSA-N 0 0 441.506 -0.089 20 0 IBADRN CO[C@H](CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000511379399 1071290590 /nfs/dbraw/zinc/29/05/90/1071290590.db2.gz NRKJEWYQRHMXPA-RHSMWYFYSA-N 0 0 441.506 -0.089 20 0 IBADRN CO[C@H](CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000511379400 1071290765 /nfs/dbraw/zinc/29/07/65/1071290765.db2.gz NRKJEWYQRHMXPA-WMLDXEAASA-N 0 0 441.506 -0.089 20 0 IBADRN CO[C@@H](CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)C1CC1 ZINC000511379401 1071290785 /nfs/dbraw/zinc/29/07/85/1071290785.db2.gz NRKJEWYQRHMXPA-YOEHRIQHSA-N 0 0 441.506 -0.089 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H]3CCCS(=O)(=O)C3)CC2)cc1 ZINC000511379599 1071289819 /nfs/dbraw/zinc/28/98/19/1071289819.db2.gz OHFGERRNGXZADI-INIZCTEOSA-N 0 0 429.564 -0.005 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H]3CCCS(=O)(=O)C3)CC2)cc1 ZINC000511379600 1071290095 /nfs/dbraw/zinc/29/00/95/1071290095.db2.gz OHFGERRNGXZADI-MRXNPFEDSA-N 0 0 429.564 -0.005 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H]3CCCS(=O)(=O)C3)c2)CC1 ZINC000511380136 1071290655 /nfs/dbraw/zinc/29/06/55/1071290655.db2.gz ONTJSBGLXGXGSJ-INIZCTEOSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H]3CCCS(=O)(=O)C3)c2)CC1 ZINC000511380137 1071290620 /nfs/dbraw/zinc/29/06/20/1071290620.db2.gz ONTJSBGLXGXGSJ-MRXNPFEDSA-N 0 0 443.547 -0.154 20 0 IBADRN COC(=O)c1cccc(CCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000511380288 1071290641 /nfs/dbraw/zinc/29/06/41/1071290641.db2.gz RKAQHMKQFMHDOA-UHFFFAOYSA-N 0 0 440.522 -0.080 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC2C[C@H]3CC[C@@H](C2)N3CC(=O)N(C)C)c1 ZINC000511387119 1071292290 /nfs/dbraw/zinc/29/22/90/1071292290.db2.gz XNXYPTGWTLVXDT-GOOCMWNKSA-N 0 0 444.579 -0.043 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)cn1C ZINC000511389829 1071292277 /nfs/dbraw/zinc/29/22/77/1071292277.db2.gz DVHFDFKBNAVTJT-UHFFFAOYSA-N 0 0 449.489 -0.280 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)cn1C ZINC000511391633 1071292922 /nfs/dbraw/zinc/29/29/22/1071292922.db2.gz PPKVPRJWKFSPPJ-LLVKDONJSA-N 0 0 429.524 -0.078 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)cn1C ZINC000511391634 1071293034 /nfs/dbraw/zinc/29/30/34/1071293034.db2.gz PPKVPRJWKFSPPJ-NSHDSACASA-N 0 0 429.524 -0.078 20 0 IBADRN COC(=O)c1cccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000511394051 1071292963 /nfs/dbraw/zinc/29/29/63/1071292963.db2.gz APMHSSTZTDGQEL-UHFFFAOYSA-N 0 0 432.477 -0.272 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3cnnn3C)c2)CC1 ZINC000511400894 1071294815 /nfs/dbraw/zinc/29/48/15/1071294815.db2.gz MOVWKPYIQKLMRP-UHFFFAOYSA-N 0 0 435.510 -0.604 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000511402647 1071294980 /nfs/dbraw/zinc/29/49/80/1071294980.db2.gz WAESEKAYONNGCM-HNNXBMFYSA-N 0 0 440.588 -0.506 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000511402648 1071294747 /nfs/dbraw/zinc/29/47/47/1071294747.db2.gz WAESEKAYONNGCM-OAHLLOKOSA-N 0 0 440.588 -0.506 20 0 IBADRN COCCN1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CC1=O ZINC000511405271 1071295600 /nfs/dbraw/zinc/29/56/00/1071295600.db2.gz FJLZEGVSJBGLOW-UHFFFAOYSA-N 0 0 439.538 -0.420 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1F ZINC000511406999 1071295793 /nfs/dbraw/zinc/29/57/93/1071295793.db2.gz NIMMVQXGLSICLT-UHFFFAOYSA-N 0 0 442.469 -0.660 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000511409295 1071295422 /nfs/dbraw/zinc/29/54/22/1071295422.db2.gz IHJHKLRWRBSPNC-AWEZNQCLSA-N 0 0 425.452 -0.661 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000511409296 1071295499 /nfs/dbraw/zinc/29/54/99/1071295499.db2.gz IHJHKLRWRBSPNC-CQSZACIVSA-N 0 0 425.452 -0.661 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)C1 ZINC000511409481 1071296549 /nfs/dbraw/zinc/29/65/49/1071296549.db2.gz IYLMLJKYUISWGN-CYBMUJFWSA-N 0 0 430.556 -0.318 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)C1 ZINC000511409482 1071296440 /nfs/dbraw/zinc/29/64/40/1071296440.db2.gz IYLMLJKYUISWGN-ZDUSSCGKSA-N 0 0 430.556 -0.318 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000511412346 1071296558 /nfs/dbraw/zinc/29/65/58/1071296558.db2.gz VPGBCYIFWOHQIA-UHFFFAOYSA-N 0 0 444.525 -0.110 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000511413923 1071297043 /nfs/dbraw/zinc/29/70/43/1071297043.db2.gz ZJZCEXPBYKUJNT-ZDUSSCGKSA-N 0 0 438.535 -0.012 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2C[C@H]3CC[C@@H](C2)N3CC(=O)N(C)C)cc1 ZINC000511414106 1071297003 /nfs/dbraw/zinc/29/70/03/1071297003.db2.gz NBUHDXMLKWFNOU-GOOCMWNKSA-N 0 0 444.579 -0.043 20 0 IBADRN O=C(CN1CCN(C(=O)CCN2C(=O)NC3(CCCC3)C2=O)CC1)Nc1nncs1 ZINC000511415250 1071297238 /nfs/dbraw/zinc/29/72/38/1071297238.db2.gz APEOWIJUXZUOJZ-UHFFFAOYSA-N 0 0 435.510 -0.125 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000511415651 1071297059 /nfs/dbraw/zinc/29/70/59/1071297059.db2.gz PJUXDTAAWLTFSV-IXBGWNDUSA-N 0 0 443.503 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000511415652 1071297072 /nfs/dbraw/zinc/29/70/72/1071297072.db2.gz PJUXDTAAWLTFSV-NCAQKEMTSA-N 0 0 443.503 -0.443 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000511415797 1071297124 /nfs/dbraw/zinc/29/71/24/1071297124.db2.gz QMFFRIIFVVTOIG-UHFFFAOYSA-N 0 0 430.911 -0.004 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCn3cc(Br)cn3)cnc21 ZINC000511415829 1071297103 /nfs/dbraw/zinc/29/71/03/1071297103.db2.gz SRGDFBDIRORREZ-UHFFFAOYSA-N 0 0 429.256 -0.028 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)cnc21 ZINC000511417546 1071297974 /nfs/dbraw/zinc/29/79/74/1071297974.db2.gz INHSDVOJVAOOMS-UHFFFAOYSA-N 0 0 430.450 -0.718 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000511417596 1071298003 /nfs/dbraw/zinc/29/80/03/1071298003.db2.gz IQWIQBFSIRWSJT-UHFFFAOYSA-N 0 0 430.537 -0.048 20 0 IBADRN O=C(NCCOc1ccccc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511418313 1071297266 /nfs/dbraw/zinc/29/72/66/1071297266.db2.gz NPKBCNRBYJYCKS-UHFFFAOYSA-N 0 0 446.485 -0.059 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1)Nc1nncs1 ZINC000511418475 1071297768 /nfs/dbraw/zinc/29/77/68/1071297768.db2.gz PIWIRVQCJNWWOD-UHFFFAOYSA-N 0 0 443.489 -0.014 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(Cc3cn4ccccc4n3)CC2)cn1C ZINC000511421679 1071297751 /nfs/dbraw/zinc/29/77/51/1071297751.db2.gz PEIDZJSSAJUWQZ-UHFFFAOYSA-N 0 0 431.522 -0.001 20 0 IBADRN O=C(COCC(=O)N1CCN(CC(=O)Nc2nncs2)CC1)NCc1cccnc1 ZINC000511422217 1071297891 /nfs/dbraw/zinc/29/78/91/1071297891.db2.gz IPEKLJXREYAMPY-UHFFFAOYSA-N 0 0 433.494 -0.651 20 0 IBADRN O=C(NCCn1ccnc1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000511422515 1071298308 /nfs/dbraw/zinc/29/83/08/1071298308.db2.gz JSEKCAANZSUNGO-UHFFFAOYSA-N 0 0 425.442 -0.202 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)o1 ZINC000511422550 1071298767 /nfs/dbraw/zinc/29/87/67/1071298767.db2.gz KDXDNHNTNDKHEN-UHFFFAOYSA-N 0 0 428.496 -0.222 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000511423260 1071298388 /nfs/dbraw/zinc/29/83/88/1071298388.db2.gz VLQGDAILONSUFW-UHFFFAOYSA-N 0 0 444.492 -0.486 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCc3ncn(C)n3)CC2)c1 ZINC000511423916 1071298785 /nfs/dbraw/zinc/29/87/85/1071298785.db2.gz AVBQSQAQQZHSSF-UHFFFAOYSA-N 0 0 428.496 -0.121 20 0 IBADRN O=C(CN1CCN(C(=O)CCCS(=O)(=O)N2CCCC2)CC1)Nc1nncs1 ZINC000511424208 1071298533 /nfs/dbraw/zinc/29/85/33/1071298533.db2.gz QFQHHSCLACJHTK-UHFFFAOYSA-N 0 0 430.556 -0.173 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN(C[C@@H](O)COc2ccccc2)CC1 ZINC000511425440 1071299463 /nfs/dbraw/zinc/29/94/63/1071299463.db2.gz MFMBHQPWGJONPN-AEFFLSMTSA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN(C[C@H](O)COc2ccccc2)CC1 ZINC000511425441 1071299453 /nfs/dbraw/zinc/29/94/53/1071299453.db2.gz MFMBHQPWGJONPN-FUHWJXTLSA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN(C[C@@H](O)COc2ccccc2)CC1 ZINC000511425442 1071299348 /nfs/dbraw/zinc/29/93/48/1071299348.db2.gz MFMBHQPWGJONPN-SJLPKXTDSA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN(C[C@H](O)COc2ccccc2)CC1 ZINC000511425443 1071299319 /nfs/dbraw/zinc/29/93/19/1071299319.db2.gz MFMBHQPWGJONPN-WMZOPIPTSA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1)Nc1nncs1 ZINC000511425663 1071299431 /nfs/dbraw/zinc/29/94/31/1071299431.db2.gz XPIBXIGHKIQXPD-UHFFFAOYSA-N 0 0 443.489 -0.014 20 0 IBADRN O=C(CN1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1)Nc1nncs1 ZINC000511425664 1071299331 /nfs/dbraw/zinc/29/93/31/1071299331.db2.gz XPMPSCSCFQPBAW-UHFFFAOYSA-N 0 0 439.523 -0.616 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000511426885 1071299375 /nfs/dbraw/zinc/29/93/75/1071299375.db2.gz HAWOTFJOWNMNSI-AWEZNQCLSA-N 0 0 431.602 -0.609 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000511426892 1071299473 /nfs/dbraw/zinc/29/94/73/1071299473.db2.gz HAWOTFJOWNMNSI-CQSZACIVSA-N 0 0 431.602 -0.609 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000511427819 1071299223 /nfs/dbraw/zinc/29/92/23/1071299223.db2.gz VIMVCDPEZDDZRT-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000511427820 1071299368 /nfs/dbraw/zinc/29/93/68/1071299368.db2.gz VIMVCDPEZDDZRT-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C ZINC000511430073 1071300058 /nfs/dbraw/zinc/30/00/58/1071300058.db2.gz NEJNVQXJQRIBDQ-GOOCMWNKSA-N 0 0 444.579 -0.043 20 0 IBADRN O=C(Nc1ccc2c(c1)OCC(=O)N2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000511430704 1071299954 /nfs/dbraw/zinc/29/99/54/1071299954.db2.gz WTNWHLURJFUYCD-UHFFFAOYSA-N 0 0 425.467 -0.256 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000511432435 1071299895 /nfs/dbraw/zinc/29/98/95/1071299895.db2.gz XUVPGIZNFCPJMK-AWEZNQCLSA-N 0 0 425.463 -0.304 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000511432436 1071300773 /nfs/dbraw/zinc/30/07/73/1071300773.db2.gz XUVPGIZNFCPJMK-CQSZACIVSA-N 0 0 425.463 -0.304 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1)Nc1nncs1 ZINC000511433261 1071299872 /nfs/dbraw/zinc/29/98/72/1071299872.db2.gz SOIIQFLPRRWWLQ-UHFFFAOYSA-N 0 0 435.510 -0.125 20 0 IBADRN COc1cc(C)cc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000511434779 1071300612 /nfs/dbraw/zinc/30/06/12/1071300612.db2.gz GJLYPUAFQQVWAZ-UHFFFAOYSA-N 0 0 430.465 -0.891 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000511438012 1071300591 /nfs/dbraw/zinc/30/05/91/1071300591.db2.gz KNUXFIBCILDIIH-UHFFFAOYSA-N 0 0 436.943 -0.125 20 0 IBADRN COC(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000511440662 1071301293 /nfs/dbraw/zinc/30/12/93/1071301293.db2.gz AIRSCLOCPJYFGB-AWEZNQCLSA-N 0 0 439.490 -0.058 20 0 IBADRN COC(=O)c1ccc(N2CCCC2)c(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000511440666 1071301360 /nfs/dbraw/zinc/30/13/60/1071301360.db2.gz AIRSCLOCPJYFGB-CQSZACIVSA-N 0 0 439.490 -0.058 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1 ZINC000511440825 1071301411 /nfs/dbraw/zinc/30/14/11/1071301411.db2.gz BBJUYHQHRZVEOP-AWEZNQCLSA-N 0 0 447.535 -0.415 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1 ZINC000511440829 1071301442 /nfs/dbraw/zinc/30/14/42/1071301442.db2.gz BBJUYHQHRZVEOP-CQSZACIVSA-N 0 0 447.535 -0.415 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000511442234 1071301278 /nfs/dbraw/zinc/30/12/78/1071301278.db2.gz WTWNDLQLYGFWPC-UHFFFAOYSA-N 0 0 439.472 -0.181 20 0 IBADRN COc1cc(C)cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000511442649 1071301393 /nfs/dbraw/zinc/30/13/93/1071301393.db2.gz YZLMSORAUMDHLA-UHFFFAOYSA-N 0 0 432.477 -0.851 20 0 IBADRN O=C(CCCCN1C(=O)c2ccccc2C1=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000511445435 1071301451 /nfs/dbraw/zinc/30/14/51/1071301451.db2.gz MIYAWDIIHBQTLG-UHFFFAOYSA-N 0 0 425.449 -0.190 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc3nnn(OCc4nc(N)nc(N)n4)c3c2)C1 ZINC000511446074 1071301329 /nfs/dbraw/zinc/30/13/29/1071301329.db2.gz SFFBZWFJQQCDSD-GHMZBOCLSA-N 0 0 433.498 -0.263 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc3nnn(OCc4nc(N)nc(N)n4)c3c2)C1 ZINC000511446075 1071301240 /nfs/dbraw/zinc/30/12/40/1071301240.db2.gz SFFBZWFJQQCDSD-PHIMTYICSA-N 0 0 433.498 -0.263 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)c2ccc3nnn(OCc4nc(N)nc(N)n4)c3c2)C1 ZINC000511446076 1071301221 /nfs/dbraw/zinc/30/12/21/1071301221.db2.gz SFFBZWFJQQCDSD-QWRGUYRKSA-N 0 0 433.498 -0.263 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000511447439 1071301978 /nfs/dbraw/zinc/30/19/78/1071301978.db2.gz SSGBYSVDFIOYFK-AWEZNQCLSA-N 0 0 447.535 -0.367 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000511447440 1071302103 /nfs/dbraw/zinc/30/21/03/1071302103.db2.gz SSGBYSVDFIOYFK-CQSZACIVSA-N 0 0 447.535 -0.367 20 0 IBADRN Cn1cncc1[C@H]1[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CCC(=O)N1C1CC1 ZINC000511447840 1071302114 /nfs/dbraw/zinc/30/21/14/1071302114.db2.gz URAPQABXGJCYFD-DNVCBOLYSA-N 0 0 441.496 -0.780 20 0 IBADRN C[C@H]1CN(C(=O)CS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)C[C@H](C)O1 ZINC000511450621 1071302128 /nfs/dbraw/zinc/30/21/28/1071302128.db2.gz TZGBOQHPCRPIKI-KBPBESRZSA-N 0 0 447.535 -0.488 20 0 IBADRN C[C@@H]1CN(C(=O)CS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)C[C@H](C)O1 ZINC000511450622 1071302000 /nfs/dbraw/zinc/30/20/00/1071302000.db2.gz TZGBOQHPCRPIKI-OKILXGFUSA-N 0 0 447.535 -0.488 20 0 IBADRN C[C@@H]1CN(C(=O)CS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)C[C@@H](C)O1 ZINC000511450623 1071302083 /nfs/dbraw/zinc/30/20/83/1071302083.db2.gz TZGBOQHPCRPIKI-ZIAGYGMSSA-N 0 0 447.535 -0.488 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000511451897 1071302483 /nfs/dbraw/zinc/30/24/83/1071302483.db2.gz YAHYPCOFNIJICV-UHFFFAOYSA-N 0 0 438.444 -0.363 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000511452936 1071302726 /nfs/dbraw/zinc/30/27/26/1071302726.db2.gz FIEKFQOYKIJBFM-INIZCTEOSA-N 0 0 441.506 -0.198 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000511452941 1071302448 /nfs/dbraw/zinc/30/24/48/1071302448.db2.gz FIEKFQOYKIJBFM-MRXNPFEDSA-N 0 0 441.506 -0.198 20 0 IBADRN Nc1nc(CN2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)nc2ccccc12 ZINC000511454273 1073361783 /nfs/dbraw/zinc/36/17/83/1073361783.db2.gz NNUYCPGNTIRCLT-AWEZNQCLSA-N 0 0 434.522 -0.147 20 0 IBADRN Nc1nc(CN2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)nc2ccccc12 ZINC000511454274 1071302736 /nfs/dbraw/zinc/30/27/36/1071302736.db2.gz NNUYCPGNTIRCLT-CQSZACIVSA-N 0 0 434.522 -0.147 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c(OC(F)F)c1 ZINC000511454589 1071302608 /nfs/dbraw/zinc/30/26/08/1071302608.db2.gz OKVZSYOQMUOSAU-JTQLQIEISA-N 0 0 436.389 -0.057 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c(OC(F)F)c1 ZINC000511454590 1071302630 /nfs/dbraw/zinc/30/26/30/1071302630.db2.gz OKVZSYOQMUOSAU-SNVBAGLBSA-N 0 0 436.389 -0.057 20 0 IBADRN COC(=O)CCCOc1ccc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1 ZINC000511455686 1071303392 /nfs/dbraw/zinc/30/33/92/1071303392.db2.gz TYIKBJAVJWRJRS-HNNXBMFYSA-N 0 0 428.463 -0.113 20 0 IBADRN COC(=O)CCCOc1ccc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1 ZINC000511455687 1071303103 /nfs/dbraw/zinc/30/31/03/1071303103.db2.gz TYIKBJAVJWRJRS-OAHLLOKOSA-N 0 0 428.463 -0.113 20 0 IBADRN O=C(NCCNc1ccccn1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511456648 1071303232 /nfs/dbraw/zinc/30/32/32/1071303232.db2.gz GAGYGDSQXCMKGB-UHFFFAOYSA-N 0 0 446.489 -0.631 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c2ccccc2O1 ZINC000511456803 1071303261 /nfs/dbraw/zinc/30/32/61/1071303261.db2.gz ZGQSVVSFBGJHCM-BBRMVZONSA-N 0 0 439.490 -0.763 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c2ccccc2O1 ZINC000511456804 1071303452 /nfs/dbraw/zinc/30/34/52/1071303452.db2.gz ZGQSVVSFBGJHCM-CJNGLKHVSA-N 0 0 439.490 -0.763 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c2ccccc2O1 ZINC000511456805 1071303434 /nfs/dbraw/zinc/30/34/34/1071303434.db2.gz ZGQSVVSFBGJHCM-CZUORRHYSA-N 0 0 439.490 -0.763 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c2ccccc2O1 ZINC000511456806 1071303343 /nfs/dbraw/zinc/30/33/43/1071303343.db2.gz ZGQSVVSFBGJHCM-XJKSGUPXSA-N 0 0 439.490 -0.763 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000511456817 1071304078 /nfs/dbraw/zinc/30/40/78/1071304078.db2.gz ZKMWVNHKCYWRBC-CYBMUJFWSA-N 0 0 435.458 -0.439 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000511456818 1071303792 /nfs/dbraw/zinc/30/37/92/1071303792.db2.gz ZKMWVNHKCYWRBC-ZDUSSCGKSA-N 0 0 435.458 -0.439 20 0 IBADRN COC(=O)CSCc1cccc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000511456999 1071303423 /nfs/dbraw/zinc/30/34/23/1071303423.db2.gz ZYJWSUYMAMPYSO-AWEZNQCLSA-N 0 0 430.504 -0.039 20 0 IBADRN COC(=O)CSCc1cccc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000511457000 1071303325 /nfs/dbraw/zinc/30/33/25/1071303325.db2.gz ZYJWSUYMAMPYSO-CQSZACIVSA-N 0 0 430.504 -0.039 20 0 IBADRN O=C(CCNC(=O)NC[C@H]1CS(=O)(=O)CCO1)N1CCN(c2ccccn2)CC1 ZINC000511460215 1071304042 /nfs/dbraw/zinc/30/40/42/1071304042.db2.gz KYIZUHNEOYALQU-HNNXBMFYSA-N 0 0 425.511 -0.767 20 0 IBADRN O=C(CCNC(=O)NC[C@@H]1CS(=O)(=O)CCO1)N1CCN(c2ccccn2)CC1 ZINC000511460216 1071303864 /nfs/dbraw/zinc/30/38/64/1071303864.db2.gz KYIZUHNEOYALQU-OAHLLOKOSA-N 0 0 425.511 -0.767 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)c1OC ZINC000511460239 1071304463 /nfs/dbraw/zinc/30/44/63/1071304463.db2.gz LFAZJMQDQDMJGK-AWEZNQCLSA-N 0 0 441.506 -0.015 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)c1OC ZINC000511460240 1071304738 /nfs/dbraw/zinc/30/47/38/1071304738.db2.gz LFAZJMQDQDMJGK-CQSZACIVSA-N 0 0 441.506 -0.015 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000511461366 1071303807 /nfs/dbraw/zinc/30/38/07/1071303807.db2.gz MCWTVOURICIHLG-UHFFFAOYSA-N 0 0 430.458 -0.573 20 0 IBADRN O=C(NCCN1CCN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CC1)c1ccccc1F ZINC000511461918 1071304448 /nfs/dbraw/zinc/30/44/48/1071304448.db2.gz KPFKZDXJZCROIS-HNNXBMFYSA-N 0 0 442.513 -0.304 20 0 IBADRN O=C(NCCN1CCN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CC1)c1ccccc1F ZINC000511461919 1071304682 /nfs/dbraw/zinc/30/46/82/1071304682.db2.gz KPFKZDXJZCROIS-OAHLLOKOSA-N 0 0 442.513 -0.304 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511463942 1071304640 /nfs/dbraw/zinc/30/46/40/1071304640.db2.gz QPRFLOZJQPZLAB-UHFFFAOYSA-N 0 0 447.473 -0.534 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)NC[C@H]3CS(=O)(=O)CCO3)CC2)CC1 ZINC000511466599 1071304492 /nfs/dbraw/zinc/30/44/92/1071304492.db2.gz VRCYYTWOBGQMOI-KRWDZBQOSA-N 0 0 430.571 -0.224 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)NC[C@@H]3CS(=O)(=O)CCO3)CC2)CC1 ZINC000511466601 1071304570 /nfs/dbraw/zinc/30/45/70/1071304570.db2.gz VRCYYTWOBGQMOI-QGZVFWFLSA-N 0 0 430.571 -0.224 20 0 IBADRN O=C(NCCc1nnnn1-c1ccccc1)NCCS(=O)(=O)N1CCSCC1 ZINC000511466957 1071304478 /nfs/dbraw/zinc/30/44/78/1071304478.db2.gz VXSKDDSPVKHDBS-UHFFFAOYSA-N 0 0 425.540 -0.117 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccc(N4CCCC4)nc3)cnc2n(C)c1=O ZINC000511468405 1071304430 /nfs/dbraw/zinc/30/44/30/1071304430.db2.gz GZLSDTIDBJXQNY-UHFFFAOYSA-N 0 0 437.460 -0.118 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cc1F ZINC000511469434 1071304666 /nfs/dbraw/zinc/30/46/66/1071304666.db2.gz DFKMLQFCLFERJH-UHFFFAOYSA-N 0 0 442.451 -0.050 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)OCCO ZINC000511472171 1071305212 /nfs/dbraw/zinc/30/52/12/1071305212.db2.gz WBTQQLILKXFSCA-UHFFFAOYSA-N 0 0 429.495 -0.450 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000511474439 1071305520 /nfs/dbraw/zinc/30/55/20/1071305520.db2.gz LFPUWQSJVLWTPE-UHFFFAOYSA-N 0 0 435.865 -0.002 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000511478453 1071305186 /nfs/dbraw/zinc/30/51/86/1071305186.db2.gz XCUMLJHUPVONAK-UHFFFAOYSA-N 0 0 428.559 -0.590 20 0 IBADRN CC(C)COCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511488323 1071306072 /nfs/dbraw/zinc/30/60/72/1071306072.db2.gz DOMWSBGAEZKOMW-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC(C)(C)OCCO ZINC000511490482 1071306368 /nfs/dbraw/zinc/30/63/68/1071306368.db2.gz MQSXOZRGRZFGQK-UHFFFAOYSA-N 0 0 436.509 -0.075 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)OCCO ZINC000511493243 1071306646 /nfs/dbraw/zinc/30/66/46/1071306646.db2.gz ZQWMWKOMFQEDPC-KRWDZBQOSA-N 0 0 435.477 -0.457 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)OCCO ZINC000511493244 1071306659 /nfs/dbraw/zinc/30/66/59/1071306659.db2.gz ZQWMWKOMFQEDPC-QGZVFWFLSA-N 0 0 435.477 -0.457 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCC(C)(C)OCCO ZINC000511493517 1071306588 /nfs/dbraw/zinc/30/65/88/1071306588.db2.gz VSXKBBROSQHOBN-UHFFFAOYSA-N 0 0 429.495 -0.022 20 0 IBADRN Cc1csc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000511494089 1071306435 /nfs/dbraw/zinc/30/64/35/1071306435.db2.gz YCQDIEWJNQVMRW-UHFFFAOYSA-N 0 0 437.503 -0.173 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4cccnc4)CC3)cnc2n(C)c1=O ZINC000511497828 1071307163 /nfs/dbraw/zinc/30/71/63/1071307163.db2.gz GMPPETQWBUWMRO-UHFFFAOYSA-N 0 0 437.460 -0.690 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000511499431 1071306971 /nfs/dbraw/zinc/30/69/71/1071306971.db2.gz LVKZUOILTGDPAY-GFCCVEGCSA-N 0 0 429.886 -0.128 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000511499432 1071306889 /nfs/dbraw/zinc/30/68/89/1071306889.db2.gz LVKZUOILTGDPAY-LBPRGKRZSA-N 0 0 429.886 -0.128 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000511501186 1071307090 /nfs/dbraw/zinc/30/70/90/1071307090.db2.gz UIWDSQLVKIIBGX-UHFFFAOYSA-N 0 0 448.505 -0.308 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000511502228 1071307255 /nfs/dbraw/zinc/30/72/55/1071307255.db2.gz AVZINWVWKVGNPL-BQTBQCLESA-N 0 0 438.529 -0.624 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000511502229 1071307124 /nfs/dbraw/zinc/30/71/24/1071307124.db2.gz AVZINWVWKVGNPL-ZUIJCZDSSA-N 0 0 438.529 -0.624 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000511502582 1071307300 /nfs/dbraw/zinc/30/73/00/1071307300.db2.gz BOHQZKHTDCSPAV-UHFFFAOYSA-N 0 0 431.515 -0.799 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000511502585 1071306953 /nfs/dbraw/zinc/30/69/53/1071306953.db2.gz BPADOKBJHFWZRK-BQTBQCLESA-N 0 0 436.513 -0.918 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000511502586 1071306910 /nfs/dbraw/zinc/30/69/10/1071306910.db2.gz BPADOKBJHFWZRK-ZUIJCZDSSA-N 0 0 436.513 -0.918 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CC1 ZINC000511504198 1071307628 /nfs/dbraw/zinc/30/76/28/1071307628.db2.gz BGYBGULQXQJMNA-AWEZNQCLSA-N 0 0 443.464 -0.267 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)CC1 ZINC000511504199 1071307608 /nfs/dbraw/zinc/30/76/08/1071307608.db2.gz BGYBGULQXQJMNA-CQSZACIVSA-N 0 0 443.464 -0.267 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511507165 1071313164 /nfs/dbraw/zinc/31/31/64/1071313164.db2.gz PQUSOQOYCWSFFT-UHFFFAOYSA-N 0 0 430.490 -0.130 20 0 IBADRN CC(C)[C@H](CNC(=O)CCC(=O)N1CCOCC1)NC(=O)CCC(=O)N1CCOCC1 ZINC000511508626 1071315593 /nfs/dbraw/zinc/31/55/93/1071315593.db2.gz SKXILBTVNWTKJN-KRWDZBQOSA-N 0 0 440.541 -0.479 20 0 IBADRN CC(C)[C@@H](CNC(=O)CCC(=O)N1CCOCC1)NC(=O)CCC(=O)N1CCOCC1 ZINC000511508631 1071315610 /nfs/dbraw/zinc/31/56/10/1071315610.db2.gz SKXILBTVNWTKJN-QGZVFWFLSA-N 0 0 440.541 -0.479 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)OCCO ZINC000511511111 1071316091 /nfs/dbraw/zinc/31/60/91/1071316091.db2.gz CNWMJMNVQKVHCM-UHFFFAOYSA-N 0 0 447.485 -0.311 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(-c3nnco3)c2)CC1 ZINC000511511884 1071317640 /nfs/dbraw/zinc/31/76/40/1071317640.db2.gz AKOGXZUJHYQISL-UHFFFAOYSA-N 0 0 428.449 -0.322 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCCCCC3)CC2)cn1 ZINC000511512301 1071316165 /nfs/dbraw/zinc/31/61/65/1071316165.db2.gz BRJONPCTHFYEDJ-UHFFFAOYSA-N 0 0 442.542 -0.267 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCC3=O)c2)CC1 ZINC000511512813 1071319874 /nfs/dbraw/zinc/31/98/74/1071319874.db2.gz IETSJEVWZKKUCU-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3C[C@H](C)O[C@@H](C)C3)nc2)CC1 ZINC000511513122 1071319245 /nfs/dbraw/zinc/31/92/45/1071319245.db2.gz FHXBGTAZLWIELD-HOTGVXAUSA-N 0 0 432.525 -0.086 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@H](C)C3)nc2)CC1 ZINC000511513123 1071319401 /nfs/dbraw/zinc/31/94/01/1071319401.db2.gz FHXBGTAZLWIELD-HZPDHXFCSA-N 0 0 432.525 -0.086 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)CC1 ZINC000511513124 1071319120 /nfs/dbraw/zinc/31/91/20/1071319120.db2.gz FHXBGTAZLWIELD-IYBDPMFKSA-N 0 0 432.525 -0.086 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(C)(C)OCCO ZINC000511513182 1071318847 /nfs/dbraw/zinc/31/88/47/1071318847.db2.gz IXWZBWZBGUWNON-UHFFFAOYSA-N 0 0 430.527 -0.155 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1 ZINC000511513493 1071318784 /nfs/dbraw/zinc/31/87/84/1071318784.db2.gz FZOQFEMIJQBKNG-UHFFFAOYSA-N 0 0 445.520 -0.093 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000511514663 1071322253 /nfs/dbraw/zinc/32/22/53/1071322253.db2.gz IOFOVLIKCFRCRH-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000511515336 1071322663 /nfs/dbraw/zinc/32/26/63/1071322663.db2.gz PBBAFIMCQLRGTK-UHFFFAOYSA-N 0 0 445.542 -0.411 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCCN(CC(F)(F)F)CC3)cnc2n(C)c1=O ZINC000511515512 1071323752 /nfs/dbraw/zinc/32/37/52/1071323752.db2.gz KRKQFUSKGBUZNT-UHFFFAOYSA-N 0 0 442.398 -0.333 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000511517971 1071324988 /nfs/dbraw/zinc/32/49/88/1071324988.db2.gz QCXAPBWDHMEUDP-UHFFFAOYSA-N 0 0 445.520 -0.741 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2-n2cccn2)CC1 ZINC000511518015 1071325516 /nfs/dbraw/zinc/32/55/16/1071325516.db2.gz QOGLLLDBLSIBGI-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000511518555 1071325674 /nfs/dbraw/zinc/32/56/74/1071325674.db2.gz VQDZPLDTCYURKB-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)cc2)CC1 ZINC000511518693 1071328902 /nfs/dbraw/zinc/32/89/02/1071328902.db2.gz XEUFUVYBYAOWJF-UHFFFAOYSA-N 0 0 443.504 -0.023 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000511518697 1071329066 /nfs/dbraw/zinc/32/90/66/1071329066.db2.gz XFSUAOPGCSVHIL-UHFFFAOYSA-N 0 0 435.456 -0.274 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000511518868 1071326894 /nfs/dbraw/zinc/32/68/94/1071326894.db2.gz ZBHKDVWFZSNXQR-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)C1 ZINC000511521657 1071331484 /nfs/dbraw/zinc/33/14/84/1071331484.db2.gz HCWFNBSEGMSGHE-FVRSWCFKSA-N 0 0 445.542 -0.411 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)C1 ZINC000511521659 1071331712 /nfs/dbraw/zinc/33/17/12/1071331712.db2.gz HCWFNBSEGMSGHE-TXKKKEFDSA-N 0 0 445.542 -0.411 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000511522171 1071331044 /nfs/dbraw/zinc/33/10/44/1071331044.db2.gz BUEZHSLKZLSGFW-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000511523171 1071333241 /nfs/dbraw/zinc/33/32/41/1071333241.db2.gz JUDVFNYWSVUGDZ-UHFFFAOYSA-N 0 0 439.538 -0.536 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC3CCC4(CC3)NC(=O)NC4=O)CC2)no1 ZINC000511526865 1073330762 /nfs/dbraw/zinc/33/07/62/1073330762.db2.gz UGPGPVGQAPWWTO-UHFFFAOYSA-N 0 0 433.469 -0.230 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)c3nccn3C)cc2)CC1 ZINC000511527507 1071336183 /nfs/dbraw/zinc/33/61/83/1071336183.db2.gz YBTRDHPNBIQMGG-UHFFFAOYSA-N 0 0 426.477 -0.130 20 0 IBADRN Cn1cc(CCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000511530790 1071337651 /nfs/dbraw/zinc/33/76/51/1071337651.db2.gz GJYWSXUMZMYUSC-GOSISDBHSA-N 0 0 427.461 -0.268 20 0 IBADRN Cn1cc(CCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000511530791 1071337891 /nfs/dbraw/zinc/33/78/91/1071337891.db2.gz GJYWSXUMZMYUSC-SFHVURJKSA-N 0 0 427.461 -0.268 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CCN1c1nccn2cnnc12 ZINC000511532498 1071338677 /nfs/dbraw/zinc/33/86/77/1071338677.db2.gz FCFJKSPRBCOVCJ-BYKITBGFSA-N 0 0 427.469 -0.135 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CCN1c1nccn2cnnc12 ZINC000511532499 1071338631 /nfs/dbraw/zinc/33/86/31/1071338631.db2.gz FCFJKSPRBCOVCJ-GLIABKTBSA-N 0 0 427.469 -0.135 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)cc2C1=O ZINC000511533096 1071342061 /nfs/dbraw/zinc/34/20/61/1071342061.db2.gz HGICCZSSHKLHRA-UHFFFAOYSA-N 0 0 437.460 -0.421 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000511533231 1071340463 /nfs/dbraw/zinc/34/04/63/1071340463.db2.gz PAIOOEFNAMFRBZ-CYBMUJFWSA-N 0 0 446.551 -0.337 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000511533232 1071340567 /nfs/dbraw/zinc/34/05/67/1071340567.db2.gz PAIOOEFNAMFRBZ-ZDUSSCGKSA-N 0 0 446.551 -0.337 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000511533267 1071340580 /nfs/dbraw/zinc/34/05/80/1071340580.db2.gz PKAHXMGLSCMBDF-UHFFFAOYSA-N 0 0 437.522 -0.702 20 0 IBADRN O=C(NC1CCC2(CC1)NC(=O)NC2=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000511533378 1071342198 /nfs/dbraw/zinc/34/21/98/1071342198.db2.gz IGDHSMJYIYTIKR-UHFFFAOYSA-N 0 0 436.494 -0.382 20 0 IBADRN CC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000511534003 1071342797 /nfs/dbraw/zinc/34/27/97/1071342797.db2.gz KXPIRKXIHBTXBC-UHFFFAOYSA-N 0 0 437.522 -0.536 20 0 IBADRN O=C(NC1CCC2(CC1)NC(=O)NC2=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000511534189 1071342862 /nfs/dbraw/zinc/34/28/62/1071342862.db2.gz TYGYSFKTHWQTJT-FVRSWCFKSA-N 0 0 443.526 -0.657 20 0 IBADRN O=C(NC1CCC2(CC1)NC(=O)NC2=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000511534191 1071342553 /nfs/dbraw/zinc/34/25/53/1071342553.db2.gz TYGYSFKTHWQTJT-TXKKKEFDSA-N 0 0 443.526 -0.657 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000511535171 1071345314 /nfs/dbraw/zinc/34/53/14/1071345314.db2.gz PGLWNEYRWHFGLG-UHFFFAOYSA-N 0 0 431.537 -0.220 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N(C)[C@H]2CCN(C)C2=O)c(=O)[nH]c1=O ZINC000511539924 1071348499 /nfs/dbraw/zinc/34/84/99/1071348499.db2.gz GHLZCQMTKIIJNZ-KBPBESRZSA-N 0 0 438.529 -0.138 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N(C)[C@H]2CCN(C)C2=O)c(=O)[nH]c1=O ZINC000511540180 1071348090 /nfs/dbraw/zinc/34/80/90/1071348090.db2.gz GHLZCQMTKIIJNZ-KGLIPLIRSA-N 0 0 438.529 -0.138 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N(C)[C@@H]2CCN(C)C2=O)c(=O)[nH]c1=O ZINC000511540181 1071347818 /nfs/dbraw/zinc/34/78/18/1071347818.db2.gz GHLZCQMTKIIJNZ-UONOGXRCSA-N 0 0 438.529 -0.138 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N(C)[C@@H]2CCN(C)C2=O)c(=O)[nH]c1=O ZINC000511540182 1071347857 /nfs/dbraw/zinc/34/78/57/1071347857.db2.gz GHLZCQMTKIIJNZ-ZIAGYGMSSA-N 0 0 438.529 -0.138 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1S(=O)(=O)N(C)C ZINC000511542544 1071349226 /nfs/dbraw/zinc/34/92/26/1071349226.db2.gz UEZOHEIULKHKFP-UHFFFAOYSA-N 0 0 439.538 -0.536 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCC2CCS(=O)(=O)CC2)CC1 ZINC000511544032 1071349903 /nfs/dbraw/zinc/34/99/03/1071349903.db2.gz AYIIPMRVLCEMAC-UHFFFAOYSA-N 0 0 425.573 -0.105 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000511546466 1071350043 /nfs/dbraw/zinc/35/00/43/1071350043.db2.gz PNALHDLXXXJVLX-KRWDZBQOSA-N 0 0 444.540 -0.419 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000511546470 1071349846 /nfs/dbraw/zinc/34/98/46/1071349846.db2.gz PNALHDLXXXJVLX-QGZVFWFLSA-N 0 0 444.540 -0.419 20 0 IBADRN CN(C[C@@H]1COc2ccccc2O1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000511549534 1071350356 /nfs/dbraw/zinc/35/03/56/1071350356.db2.gz ARJXTNDGTRWWCW-CYBMUJFWSA-N 0 0 439.428 -0.131 20 0 IBADRN CN(C[C@H]1COc2ccccc2O1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000511549535 1071350651 /nfs/dbraw/zinc/35/06/51/1071350651.db2.gz ARJXTNDGTRWWCW-ZDUSSCGKSA-N 0 0 439.428 -0.131 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)n1 ZINC000511552865 1071351361 /nfs/dbraw/zinc/35/13/61/1071351361.db2.gz LQTFSRJOKHQFDX-UHFFFAOYSA-N 0 0 430.490 -0.046 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(NC(=O)c4ccsc4)CC3)c2c(=O)n(C)c1=O ZINC000511553781 1071351124 /nfs/dbraw/zinc/35/11/24/1071351124.db2.gz KEMIMWNXCMXSQO-UHFFFAOYSA-N 0 0 430.490 -0.084 20 0 IBADRN CCOc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000511557552 1071351426 /nfs/dbraw/zinc/35/14/26/1071351426.db2.gz WDDXFDXIXSAYDG-UHFFFAOYSA-N 0 0 439.538 -0.340 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1 ZINC000511558588 1071351157 /nfs/dbraw/zinc/35/11/57/1071351157.db2.gz ISIXBFXNQAUPST-UHFFFAOYSA-N 0 0 432.462 -0.734 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)nn1 ZINC000511558652 1071351332 /nfs/dbraw/zinc/35/13/32/1071351332.db2.gz YFBLBGUFOLZOTO-UHFFFAOYSA-N 0 0 439.450 -0.679 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCC2CCS(=O)(=O)CC2)CC1 ZINC000511566717 1071352961 /nfs/dbraw/zinc/35/29/61/1071352961.db2.gz NSPMEZQQZYEYOO-INIZCTEOSA-N 0 0 430.571 -0.224 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCC2CCS(=O)(=O)CC2)CC1 ZINC000511566719 1071352717 /nfs/dbraw/zinc/35/27/17/1071352717.db2.gz NSPMEZQQZYEYOO-MRXNPFEDSA-N 0 0 430.571 -0.224 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N2CCOCC2)cc1OC ZINC000511566840 1071352774 /nfs/dbraw/zinc/35/27/74/1071352774.db2.gz XKAVCXLGWNPDMA-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(CCO)C1CC1 ZINC000511569326 1071352691 /nfs/dbraw/zinc/35/26/91/1071352691.db2.gz DYQZDQOEUHOKBV-UHFFFAOYSA-N 0 0 427.479 -0.362 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCOC[C@H]1C ZINC000511570357 1071352640 /nfs/dbraw/zinc/35/26/40/1071352640.db2.gz DAUYLLJYAMPMRU-CYBMUJFWSA-N 0 0 427.479 -0.098 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000511574345 1071353384 /nfs/dbraw/zinc/35/33/84/1071353384.db2.gz MPTNZWKVBLURLT-CYBMUJFWSA-N 0 0 425.463 -0.333 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000511574346 1071353612 /nfs/dbraw/zinc/35/36/12/1071353612.db2.gz MPTNZWKVBLURLT-ZDUSSCGKSA-N 0 0 425.463 -0.333 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511577073 1071354304 /nfs/dbraw/zinc/35/43/04/1071354304.db2.gz PMRXDNRTFMUXLL-UHFFFAOYSA-N 0 0 444.473 -0.367 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@H](CS(N)(=O)=O)C2)CC1 ZINC000511578178 1071354188 /nfs/dbraw/zinc/35/41/88/1071354188.db2.gz SPBKHENIWODZCY-AWEZNQCLSA-N 0 0 436.542 -0.988 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@@H](CS(N)(=O)=O)C2)CC1 ZINC000511578179 1071354059 /nfs/dbraw/zinc/35/40/59/1071354059.db2.gz SPBKHENIWODZCY-CQSZACIVSA-N 0 0 436.542 -0.988 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnc4ccccc4c3)CC2)CC1 ZINC000511579870 1071354287 /nfs/dbraw/zinc/35/42/87/1071354287.db2.gz WCINMAPATAVUET-UHFFFAOYSA-N 0 0 446.533 -0.190 20 0 IBADRN C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000511581393 1071354425 /nfs/dbraw/zinc/35/44/25/1071354425.db2.gz YQTQKZSLJAOCMQ-OCCSQVGLSA-N 0 0 445.519 -0.662 20 0 IBADRN C[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000511581394 1071354270 /nfs/dbraw/zinc/35/42/70/1071354270.db2.gz YQTQKZSLJAOCMQ-TZMCWYRMSA-N 0 0 445.519 -0.662 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@H]2CCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000511587918 1071354844 /nfs/dbraw/zinc/35/48/44/1071354844.db2.gz MNDPIUQEGRFEAO-HNNXBMFYSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@@H]2CCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000511587919 1071355688 /nfs/dbraw/zinc/35/56/88/1071355688.db2.gz MNDPIUQEGRFEAO-OAHLLOKOSA-N 0 0 440.522 -0.183 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511589115 1071355575 /nfs/dbraw/zinc/35/55/75/1071355575.db2.gz VMMDSSPJBAAGCZ-KRWDZBQOSA-N 0 0 426.539 -0.438 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511589116 1071355720 /nfs/dbraw/zinc/35/57/20/1071355720.db2.gz VMMDSSPJBAAGCZ-QGZVFWFLSA-N 0 0 426.539 -0.438 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000511594152 1071355651 /nfs/dbraw/zinc/35/56/51/1071355651.db2.gz BTSXXZQVSXPFQX-BFHYXJOUSA-N 0 0 432.568 -0.100 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCC[C@H](CS(N)(=O)=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000511594161 1071355363 /nfs/dbraw/zinc/35/53/63/1071355363.db2.gz BTSXXZQVSXPFQX-IHRRRGAJSA-N 0 0 432.568 -0.100 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCC[C@@H](CS(N)(=O)=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000511594163 1071355743 /nfs/dbraw/zinc/35/57/43/1071355743.db2.gz BTSXXZQVSXPFQX-MELADBBJSA-N 0 0 432.568 -0.100 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000511594165 1071355225 /nfs/dbraw/zinc/35/52/25/1071355225.db2.gz BTSXXZQVSXPFQX-MJBXVCDLSA-N 0 0 432.568 -0.100 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(C)(C)OCCO)c2)CC1 ZINC000511595377 1071355544 /nfs/dbraw/zinc/35/55/44/1071355544.db2.gz SHBOELDTPZIZAI-UHFFFAOYSA-N 0 0 442.538 -0.535 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000511597530 1071355608 /nfs/dbraw/zinc/35/56/08/1071355608.db2.gz XAWLITBSFFSMIY-INIZCTEOSA-N 0 0 444.579 -0.480 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000511597531 1071355295 /nfs/dbraw/zinc/35/52/95/1071355295.db2.gz XAWLITBSFFSMIY-MRXNPFEDSA-N 0 0 444.579 -0.480 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(C)(C)OCCO ZINC000511600336 1071356172 /nfs/dbraw/zinc/35/61/72/1071356172.db2.gz RAXOIUSITRQNFT-UHFFFAOYSA-N 0 0 443.522 -0.142 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(C)(C)OCCO)cc2)CC1 ZINC000511601486 1071355983 /nfs/dbraw/zinc/35/59/83/1071355983.db2.gz SNTOYMJSCAWHFJ-UHFFFAOYSA-N 0 0 442.538 -0.535 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C[C@H](O)COCc1ccccc1 ZINC000511601757 1071356203 /nfs/dbraw/zinc/35/62/03/1071356203.db2.gz UYIZGPHVTMNRQA-PMACEKPBSA-N 0 0 439.578 -0.173 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C[C@H](O)COCc1ccccc1 ZINC000511601758 1071356122 /nfs/dbraw/zinc/35/61/22/1071356122.db2.gz UYIZGPHVTMNRQA-UXHICEINSA-N 0 0 439.578 -0.173 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C[C@@H](O)COCc1ccccc1 ZINC000511601759 1071356107 /nfs/dbraw/zinc/35/61/07/1071356107.db2.gz UYIZGPHVTMNRQA-VQTJNVASSA-N 0 0 439.578 -0.173 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C[C@@H](O)COCc1ccccc1 ZINC000511601760 1071355947 /nfs/dbraw/zinc/35/59/47/1071355947.db2.gz UYIZGPHVTMNRQA-WOJBJXKFSA-N 0 0 439.578 -0.173 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000511606854 1071356726 /nfs/dbraw/zinc/35/67/26/1071356726.db2.gz PXKXYQFUBXDQHL-HNNXBMFYSA-N 0 0 428.511 -0.150 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000511606855 1071356451 /nfs/dbraw/zinc/35/64/51/1071356451.db2.gz PXKXYQFUBXDQHL-OAHLLOKOSA-N 0 0 428.511 -0.150 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000511606868 1071356471 /nfs/dbraw/zinc/35/64/71/1071356471.db2.gz QDNPOBVGUISLPK-KRWDZBQOSA-N 0 0 425.574 -0.386 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000511606869 1071356666 /nfs/dbraw/zinc/35/66/66/1071356666.db2.gz QDNPOBVGUISLPK-QGZVFWFLSA-N 0 0 425.574 -0.386 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000511607964 1071357191 /nfs/dbraw/zinc/35/71/91/1071357191.db2.gz AGFOVZLSFRQDIO-FGTMMUONSA-N 0 0 445.582 -0.250 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000511607965 1071357234 /nfs/dbraw/zinc/35/72/34/1071357234.db2.gz AGFOVZLSFRQDIO-KZNAEPCWSA-N 0 0 445.582 -0.250 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000511607966 1071357046 /nfs/dbraw/zinc/35/70/46/1071357046.db2.gz AGFOVZLSFRQDIO-OKZBNKHCSA-N 0 0 445.582 -0.250 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000511607967 1071357159 /nfs/dbraw/zinc/35/71/59/1071357159.db2.gz AGFOVZLSFRQDIO-RCCFBDPRSA-N 0 0 445.582 -0.250 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(C)(C)OCCO)cc1S(=O)(=O)NC1CC1 ZINC000511608917 1071357246 /nfs/dbraw/zinc/35/72/46/1071357246.db2.gz FZDTYARPULHGJJ-UHFFFAOYSA-N 0 0 429.495 -0.022 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(C)(C)OCCO)cn2)C[C@H](C)O1 ZINC000511609491 1071357348 /nfs/dbraw/zinc/35/73/48/1071357348.db2.gz HRVIHMMYSCGIGX-KBPBESRZSA-N 0 0 425.486 -0.639 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(C)(C)OCCO)cn2)C[C@H](C)O1 ZINC000511609492 1071357278 /nfs/dbraw/zinc/35/72/78/1071357278.db2.gz HRVIHMMYSCGIGX-OKILXGFUSA-N 0 0 425.486 -0.639 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(C)(C)OCCO)cn2)C[C@@H](C)O1 ZINC000511609493 1071356967 /nfs/dbraw/zinc/35/69/67/1071356967.db2.gz HRVIHMMYSCGIGX-ZIAGYGMSSA-N 0 0 425.486 -0.639 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)OCCO ZINC000511610784 1071357859 /nfs/dbraw/zinc/35/78/59/1071357859.db2.gz KMQAEPSMJIGEBF-UHFFFAOYSA-N 0 0 429.495 -0.450 20 0 IBADRN O=C(Nc1cccc(Cn2cncn2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000511613696 1071358458 /nfs/dbraw/zinc/35/84/58/1071358458.db2.gz FQAUINCJDUTKNX-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN O=C(Nc1ccccc1Cn1cncn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000511614787 1071358532 /nfs/dbraw/zinc/35/85/32/1071358532.db2.gz IKMQJHLOWHPHPO-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@H](CS(N)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000511618981 1071359569 /nfs/dbraw/zinc/35/95/69/1071359569.db2.gz VATKIDKKTUWXJO-HNNXBMFYSA-N 0 0 449.533 -0.639 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@H](CS(N)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000511618982 1071359668 /nfs/dbraw/zinc/35/96/68/1071359668.db2.gz VATKIDKKTUWXJO-OAHLLOKOSA-N 0 0 449.533 -0.639 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3CCN(C)C3=O)CC2)c1 ZINC000511621995 1071360540 /nfs/dbraw/zinc/36/05/40/1071360540.db2.gz IZBQOVXBRCPQCA-GOSISDBHSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@H]3CCN(C)C3=O)CC2)c1 ZINC000511621998 1071360356 /nfs/dbraw/zinc/36/03/56/1071360356.db2.gz IZBQOVXBRCPQCA-SFHVURJKSA-N 0 0 436.534 -0.115 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@H](Cc2ccc(F)cc2)C(N)=O)cn1C ZINC000511624571 1071360390 /nfs/dbraw/zinc/36/03/90/1071360390.db2.gz JEMTWVIOCSMLLQ-AWEZNQCLSA-N 0 0 425.486 -0.004 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@H](Cc2ccc(F)cc2)C(N)=O)cn1C ZINC000511624572 1071360307 /nfs/dbraw/zinc/36/03/07/1071360307.db2.gz JEMTWVIOCSMLLQ-CQSZACIVSA-N 0 0 425.486 -0.004 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)[C@H]1CCN(C)C1=O ZINC000511626350 1071361075 /nfs/dbraw/zinc/36/10/75/1071361075.db2.gz UKOIHTJTGFAFNB-HNNXBMFYSA-N 0 0 430.477 -0.040 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)[C@@H]1CCN(C)C1=O ZINC000511626351 1071360769 /nfs/dbraw/zinc/36/07/69/1071360769.db2.gz UKOIHTJTGFAFNB-OAHLLOKOSA-N 0 0 430.477 -0.040 20 0 IBADRN Cc1c(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nnn1-c1ccc(C)cc1 ZINC000511626655 1071361060 /nfs/dbraw/zinc/36/10/60/1071361060.db2.gz JUXPLPYZBSPYTN-UHFFFAOYSA-N 0 0 440.504 -0.044 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CC[C@H](C(F)(F)F)[C@@H](CO)C2)CC1)N1CCOCC1 ZINC000511628750 1073370082 /nfs/dbraw/zinc/37/00/82/1073370082.db2.gz PXENNCPUEGAPDE-CVEARBPZSA-N 0 0 436.475 -0.518 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CC[C@H](C(F)(F)F)[C@H](CO)C2)CC1)N1CCOCC1 ZINC000511628767 1073370180 /nfs/dbraw/zinc/37/01/80/1073370180.db2.gz PXENNCPUEGAPDE-HOTGVXAUSA-N 0 0 436.475 -0.518 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)CC1)N1CCOCC1 ZINC000511628770 1073370134 /nfs/dbraw/zinc/37/01/34/1073370134.db2.gz PXENNCPUEGAPDE-HZPDHXFCSA-N 0 0 436.475 -0.518 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CC[C@@H](C(F)(F)F)[C@H](CO)C2)CC1)N1CCOCC1 ZINC000511628774 1073370200 /nfs/dbraw/zinc/37/02/00/1073370200.db2.gz PXENNCPUEGAPDE-JKSUJKDBSA-N 0 0 436.475 -0.518 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3CCN(C)C3=O)CC2)cc1 ZINC000511628887 1071360787 /nfs/dbraw/zinc/36/07/87/1071360787.db2.gz ZDBVAVDYVADHTF-GOSISDBHSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@H]3CCN(C)C3=O)CC2)cc1 ZINC000511628889 1071361088 /nfs/dbraw/zinc/36/10/88/1071361088.db2.gz ZDBVAVDYVADHTF-SFHVURJKSA-N 0 0 436.534 -0.115 20 0 IBADRN Cc1c(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nnn1-c1ccccc1 ZINC000511629138 1071361043 /nfs/dbraw/zinc/36/10/43/1071361043.db2.gz OPYNRVOYGWOOTP-UHFFFAOYSA-N 0 0 426.477 -0.352 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCCS(C)(=O)=O)cc1 ZINC000511636672 1071362038 /nfs/dbraw/zinc/36/20/38/1071362038.db2.gz XLFJCTLTNFURFS-UHFFFAOYSA-N 0 0 433.552 -0.002 20 0 IBADRN COCCCN(C(=O)CN1CCS(=O)(=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000511640851 1071364963 /nfs/dbraw/zinc/36/49/63/1071364963.db2.gz RUAAZMWDJHDNBK-UHFFFAOYSA-N 0 0 445.542 -0.713 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000511640871 1071364889 /nfs/dbraw/zinc/36/48/89/1071364889.db2.gz RXIDSEIJTTVWRL-CYBMUJFWSA-N 0 0 449.430 -0.748 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000511640872 1071364827 /nfs/dbraw/zinc/36/48/27/1071364827.db2.gz RXIDSEIJTTVWRL-ZDUSSCGKSA-N 0 0 449.430 -0.748 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000511640986 1071364188 /nfs/dbraw/zinc/36/41/88/1071364188.db2.gz ADZJTMIYLPPBSQ-UHFFFAOYSA-N 0 0 429.543 -0.212 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000511643014 1071365780 /nfs/dbraw/zinc/36/57/80/1071365780.db2.gz XQKYJJVHBFPJNG-AWEZNQCLSA-N 0 0 446.492 -0.057 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000511643016 1071365831 /nfs/dbraw/zinc/36/58/31/1071365831.db2.gz XQKYJJVHBFPJNG-CQSZACIVSA-N 0 0 446.492 -0.057 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCc3c(cccc3NS(C)(=O)=O)C2)cn1C ZINC000511647247 1072585857 /nfs/dbraw/zinc/58/58/57/1072585857.db2.gz XWRZRJKUBCSOAU-UHFFFAOYSA-N 0 0 441.535 -0.037 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000511649198 1071366948 /nfs/dbraw/zinc/36/69/48/1071366948.db2.gz WBFQYPDJNHPIOW-LLVKDONJSA-N 0 0 429.524 -0.208 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000511649207 1071366689 /nfs/dbraw/zinc/36/66/89/1071366689.db2.gz WBFQYPDJNHPIOW-NSHDSACASA-N 0 0 429.524 -0.208 20 0 IBADRN CCOC(=O)[C@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)[C@@H]1CCCOC1 ZINC000511651904 1071367759 /nfs/dbraw/zinc/36/77/59/1071367759.db2.gz XGYPVZARGIRQFE-BDJLRTHQSA-N 0 0 441.462 -0.284 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)[C@@H]1CCCOC1 ZINC000511651905 1071368153 /nfs/dbraw/zinc/36/81/53/1071368153.db2.gz XGYPVZARGIRQFE-BZNIZROVSA-N 0 0 441.462 -0.284 20 0 IBADRN CCOC(=O)[C@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)[C@H]1CCCOC1 ZINC000511651906 1071368016 /nfs/dbraw/zinc/36/80/16/1071368016.db2.gz XGYPVZARGIRQFE-MEDUHNTESA-N 0 0 441.462 -0.284 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)[C@H]1CCCOC1 ZINC000511651907 1071367988 /nfs/dbraw/zinc/36/79/88/1071367988.db2.gz XGYPVZARGIRQFE-ZBEGNZNMSA-N 0 0 441.462 -0.284 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000511653007 1071369037 /nfs/dbraw/zinc/36/90/37/1071369037.db2.gz AFDUGLOCDIKKHI-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511653124 1071368656 /nfs/dbraw/zinc/36/86/56/1071368656.db2.gz BHWCGDIHOSRTFO-CQSZACIVSA-N 0 0 440.478 -0.932 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1C ZINC000511657819 1071388985 /nfs/dbraw/zinc/38/89/85/1071388985.db2.gz YSSMYEDEQGDLEZ-GFCCVEGCSA-N 0 0 432.524 -0.570 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(OCC(=O)N(C)C)c1 ZINC000511660554 1071395342 /nfs/dbraw/zinc/39/53/42/1071395342.db2.gz AGXOURQGIBHPNT-CQSZACIVSA-N 0 0 440.522 -0.059 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000511660564 1071395233 /nfs/dbraw/zinc/39/52/33/1071395233.db2.gz AKDQZUDCQUDNHA-CYBMUJFWSA-N 0 0 439.523 -0.137 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000511661029 1071395859 /nfs/dbraw/zinc/39/58/59/1071395859.db2.gz FRQPCDCRIIKYJB-OAHLLOKOSA-N 0 0 436.490 -0.186 20 0 IBADRN COC(=O)c1ccc(CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cc1OC ZINC000511662337 1071396923 /nfs/dbraw/zinc/39/69/23/1071396923.db2.gz RMNMWKTWRSYXFF-UHFFFAOYSA-N 0 0 442.428 -0.048 20 0 IBADRN O=C(CNC(=O)c1cc(F)cc(F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000511666220 1071397525 /nfs/dbraw/zinc/39/75/25/1071397525.db2.gz URZBFUYMDBUEDQ-UHFFFAOYSA-N 0 0 432.449 -0.584 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000511666378 1071397760 /nfs/dbraw/zinc/39/77/60/1071397760.db2.gz STSKISGXLVBKII-OAHLLOKOSA-N 0 0 444.535 -0.295 20 0 IBADRN Cc1ocnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000511667327 1071397443 /nfs/dbraw/zinc/39/74/43/1071397443.db2.gz QOFQKTFLUGGYQK-BETUJISGSA-N 0 0 429.499 -0.903 20 0 IBADRN Cc1ocnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000511667334 1071397711 /nfs/dbraw/zinc/39/77/11/1071397711.db2.gz QOFQKTFLUGGYQK-CHWSQXEVSA-N 0 0 429.499 -0.903 20 0 IBADRN Cc1ocnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000511667335 1071397727 /nfs/dbraw/zinc/39/77/27/1071397727.db2.gz QOFQKTFLUGGYQK-STQMWFEESA-N 0 0 429.499 -0.903 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000511667484 1071397791 /nfs/dbraw/zinc/39/77/91/1071397791.db2.gz XJEMUUCTSPTJHO-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4ncoc4C)CC3)C2=O)n(C)n1 ZINC000511670393 1071397486 /nfs/dbraw/zinc/39/74/86/1071397486.db2.gz HKJJQPVYZQILOW-KRWDZBQOSA-N 0 0 443.508 -0.019 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4ncoc4C)CC3)C2=O)n(C)n1 ZINC000511670394 1071397626 /nfs/dbraw/zinc/39/76/26/1071397626.db2.gz HKJJQPVYZQILOW-QGZVFWFLSA-N 0 0 443.508 -0.019 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000511670772 1071397771 /nfs/dbraw/zinc/39/77/71/1071397771.db2.gz CEQLTCLPTGSZQY-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000511671388 1071397742 /nfs/dbraw/zinc/39/77/42/1071397742.db2.gz GFQZKTVXNSTOQP-CYBMUJFWSA-N 0 0 432.524 -0.584 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@H]1CCCOC1 ZINC000511672007 1071398155 /nfs/dbraw/zinc/39/81/55/1071398155.db2.gz NIZBIRACRWCDRD-DUVNUKRYSA-N 0 0 441.506 -0.073 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@H]1CCCOC1 ZINC000511672008 1071398171 /nfs/dbraw/zinc/39/81/71/1071398171.db2.gz NIZBIRACRWCDRD-IVMMDQJWSA-N 0 0 441.506 -0.073 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@@H]1CCCOC1 ZINC000511672009 1071398392 /nfs/dbraw/zinc/39/83/92/1071398392.db2.gz NIZBIRACRWCDRD-JGGQBBKZSA-N 0 0 441.506 -0.073 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@@H]1CCCOC1 ZINC000511672010 1071398299 /nfs/dbraw/zinc/39/82/99/1071398299.db2.gz NIZBIRACRWCDRD-XNRPHZJLSA-N 0 0 441.506 -0.073 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1=O ZINC000511672417 1071397544 /nfs/dbraw/zinc/39/75/44/1071397544.db2.gz JTEIXEICRHUYMJ-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)C1CCOCC1 ZINC000511674827 1071398316 /nfs/dbraw/zinc/39/83/16/1071398316.db2.gz VGPUDXVKWBRZHX-INIZCTEOSA-N 0 0 432.525 -0.107 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)C1CCOCC1 ZINC000511674830 1071398433 /nfs/dbraw/zinc/39/84/33/1071398433.db2.gz VGPUDXVKWBRZHX-MRXNPFEDSA-N 0 0 432.525 -0.107 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000511675794 1071398505 /nfs/dbraw/zinc/39/85/05/1071398505.db2.gz XEKPNSPEFDPYJC-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000511677054 1071398514 /nfs/dbraw/zinc/39/85/14/1071398514.db2.gz FJGXLCKBCHGIJV-CYBMUJFWSA-N 0 0 427.479 -0.035 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000511677055 1071398495 /nfs/dbraw/zinc/39/84/95/1071398495.db2.gz FJGXLCKBCHGIJV-ZDUSSCGKSA-N 0 0 427.479 -0.035 20 0 IBADRN Cn1c2cccc(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)c2oc1=O ZINC000511677780 1071399111 /nfs/dbraw/zinc/39/91/11/1071399111.db2.gz PYYPSNGHHZUXDJ-UHFFFAOYSA-N 0 0 425.449 -0.202 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)CC1 ZINC000511677866 1071399172 /nfs/dbraw/zinc/39/91/72/1071399172.db2.gz RIFRHVWVVUSPQK-AWEZNQCLSA-N 0 0 447.492 -0.245 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)CC1 ZINC000511677867 1071399157 /nfs/dbraw/zinc/39/91/57/1071399157.db2.gz RIFRHVWVVUSPQK-CQSZACIVSA-N 0 0 447.492 -0.245 20 0 IBADRN Cn1c2cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)c2oc1=O ZINC000511678101 1071399008 /nfs/dbraw/zinc/39/90/08/1071399008.db2.gz VCGGIYXHYBLEAP-GFCCVEGCSA-N 0 0 440.478 -0.325 20 0 IBADRN Cn1c2cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)c2oc1=O ZINC000511678102 1071399086 /nfs/dbraw/zinc/39/90/86/1071399086.db2.gz VCGGIYXHYBLEAP-LBPRGKRZSA-N 0 0 440.478 -0.325 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)CC1 ZINC000511678223 1071398992 /nfs/dbraw/zinc/39/89/92/1071398992.db2.gz WVOIFVVNWKEFFJ-AWEZNQCLSA-N 0 0 445.476 -0.539 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)CC1 ZINC000511678224 1071399195 /nfs/dbraw/zinc/39/91/95/1071399195.db2.gz WVOIFVVNWKEFFJ-CQSZACIVSA-N 0 0 445.476 -0.539 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1C ZINC000511678985 1071399075 /nfs/dbraw/zinc/39/90/75/1071399075.db2.gz GGVVEOHZPRXVFW-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511681550 1071399098 /nfs/dbraw/zinc/39/90/98/1071399098.db2.gz OYBBIPOUELMVBX-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511681608 1071399025 /nfs/dbraw/zinc/39/90/25/1071399025.db2.gz PLJPRVSOWYOMNZ-CQSZACIVSA-N 0 0 432.524 -0.786 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511681917 1071399785 /nfs/dbraw/zinc/39/97/85/1071399785.db2.gz QJBZVXQXTZEAMH-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000511687111 1071399636 /nfs/dbraw/zinc/39/96/36/1071399636.db2.gz NMTWTWMKZOXKAJ-CQSZACIVSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)CC2 ZINC000511688627 1071399851 /nfs/dbraw/zinc/39/98/51/1071399851.db2.gz WHXUPGUFPLMYQW-CYBMUJFWSA-N 0 0 444.535 -0.976 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)cn1C ZINC000511688957 1071399883 /nfs/dbraw/zinc/39/98/83/1071399883.db2.gz XMIFTBRYKRUWQI-UHFFFAOYSA-N 0 0 441.535 -0.120 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCC[C@H](CNS(=O)(=O)C4CC4)C3)cc21 ZINC000511689494 1071399828 /nfs/dbraw/zinc/39/98/28/1071399828.db2.gz DUBJOSBSYYFOKH-LLVKDONJSA-N 0 0 448.505 -0.079 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCC[C@@H](CNS(=O)(=O)C4CC4)C3)cc21 ZINC000511689496 1071399748 /nfs/dbraw/zinc/39/97/48/1071399748.db2.gz DUBJOSBSYYFOKH-NSHDSACASA-N 0 0 448.505 -0.079 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000511689605 1071399864 /nfs/dbraw/zinc/39/98/64/1071399864.db2.gz AYNMHZDZFSXRGI-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000511689948 1071399619 /nfs/dbraw/zinc/39/96/19/1071399619.db2.gz FTVVABZVSLIIAZ-AWEZNQCLSA-N 0 0 427.479 -0.050 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)S(C)(=O)=O ZINC000511691323 1071399706 /nfs/dbraw/zinc/39/97/06/1071399706.db2.gz KSCLKBWNRDMHJQ-GFCCVEGCSA-N 0 0 432.524 -0.439 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000511693320 1071399652 /nfs/dbraw/zinc/39/96/52/1071399652.db2.gz UDWVMXISWZNRPX-GFCCVEGCSA-N 0 0 441.510 -0.144 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000511693324 1071399510 /nfs/dbraw/zinc/39/95/10/1071399510.db2.gz UDWVMXISWZNRPX-LBPRGKRZSA-N 0 0 441.510 -0.144 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000511693348 1071399691 /nfs/dbraw/zinc/39/96/91/1071399691.db2.gz VZKWHBSCICLCIA-CQSZACIVSA-N 0 0 436.490 -0.186 20 0 IBADRN Cn1c2cccc(NC(=O)C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)c2oc1=O ZINC000511697205 1071399183 /nfs/dbraw/zinc/39/91/83/1071399183.db2.gz STFVWBDERXXLCF-UHFFFAOYSA-N 0 0 445.457 -0.002 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc4[nH]cnc4c3)CC2)cn1C ZINC000511698711 1071401152 /nfs/dbraw/zinc/40/11/52/1071401152.db2.gz ZJPVIAZMKVTEIV-UHFFFAOYSA-N 0 0 445.505 -0.132 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511701014 1071401295 /nfs/dbraw/zinc/40/12/95/1071401295.db2.gz OLOCIPAGSSNNPZ-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000511701250 1071401223 /nfs/dbraw/zinc/40/12/23/1071401223.db2.gz GQSFQSKDUOXJSP-KRWDZBQOSA-N 0 0 438.550 -0.103 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000511701251 1071401343 /nfs/dbraw/zinc/40/13/43/1071401343.db2.gz GQSFQSKDUOXJSP-QGZVFWFLSA-N 0 0 438.550 -0.103 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000511701332 1071401140 /nfs/dbraw/zinc/40/11/40/1071401140.db2.gz KBIWHEHZBVFLRK-UHFFFAOYSA-N 0 0 436.538 -0.100 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000511701340 1071401289 /nfs/dbraw/zinc/40/12/89/1071401289.db2.gz OSZLDOHRQLXSMO-UHFFFAOYSA-N 0 0 439.494 -0.825 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c(C)c1 ZINC000511701607 1071401338 /nfs/dbraw/zinc/40/13/38/1071401338.db2.gz IJAJBJVPMSJJLP-CYBMUJFWSA-N 0 0 427.479 -0.117 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c(C)c1 ZINC000511701608 1071401218 /nfs/dbraw/zinc/40/12/18/1071401218.db2.gz IJAJBJVPMSJJLP-ZDUSSCGKSA-N 0 0 427.479 -0.117 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(Cc3nccn3C(F)F)CC2)cn1C ZINC000511702001 1071399813 /nfs/dbraw/zinc/39/98/13/1071399813.db2.gz JZRQVIITVGEYKM-UHFFFAOYSA-N 0 0 431.469 -0.057 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000511702008 1071399804 /nfs/dbraw/zinc/39/98/04/1071399804.db2.gz KAAZXZCFHXWRMU-CYBMUJFWSA-N 0 0 432.524 -0.625 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)cc21 ZINC000511702620 1071400412 /nfs/dbraw/zinc/40/04/12/1071400412.db2.gz MJIJSOIXSHIAJQ-OAHLLOKOSA-N 0 0 435.506 -0.134 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)cn1C ZINC000511702695 1071400556 /nfs/dbraw/zinc/40/05/56/1071400556.db2.gz NBRUDGMKPRZROH-UHFFFAOYSA-N 0 0 429.524 -0.426 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)cn1C ZINC000511704094 1071400405 /nfs/dbraw/zinc/40/04/05/1071400405.db2.gz XBURKLUAWQKQFM-UHFFFAOYSA-N 0 0 443.551 -0.036 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC2(C1)CCCOC2 ZINC000511706290 1071400477 /nfs/dbraw/zinc/40/04/77/1071400477.db2.gz YFSRBAODWRZGFU-UHFFFAOYSA-N 0 0 436.490 -0.615 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000511706427 1071400459 /nfs/dbraw/zinc/40/04/59/1071400459.db2.gz IVDDLBOUUYGDIZ-CYBMUJFWSA-N 0 0 433.494 -0.079 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000511706428 1071400520 /nfs/dbraw/zinc/40/05/20/1071400520.db2.gz IVDDLBOUUYGDIZ-ZDUSSCGKSA-N 0 0 433.494 -0.079 20 0 IBADRN O=C(Nc1cnn(-c2ncccn2)c1)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000511707225 1071400550 /nfs/dbraw/zinc/40/05/50/1071400550.db2.gz MLBCUCINTMOZOC-CYBMUJFWSA-N 0 0 433.494 -0.079 20 0 IBADRN O=C(Nc1cnn(-c2ncccn2)c1)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000511707226 1071400514 /nfs/dbraw/zinc/40/05/14/1071400514.db2.gz MLBCUCINTMOZOC-ZDUSSCGKSA-N 0 0 433.494 -0.079 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000511708966 1071400490 /nfs/dbraw/zinc/40/04/90/1071400490.db2.gz WPBGKEVKGFXXTO-CYBMUJFWSA-N 0 0 433.494 -0.079 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000511708967 1071400449 /nfs/dbraw/zinc/40/04/49/1071400449.db2.gz WPBGKEVKGFXXTO-ZDUSSCGKSA-N 0 0 433.494 -0.079 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000511709106 1071400501 /nfs/dbraw/zinc/40/05/01/1071400501.db2.gz XMIFDXFZBRVNNQ-GFCCVEGCSA-N 0 0 440.526 -0.190 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000511709107 1071400559 /nfs/dbraw/zinc/40/05/59/1071400559.db2.gz XMIFDXFZBRVNNQ-LBPRGKRZSA-N 0 0 440.526 -0.190 20 0 IBADRN CCn1c(CCC(=O)N[C@@H](CO)C(=O)OC)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000511710379 1071400432 /nfs/dbraw/zinc/40/04/32/1071400432.db2.gz OQRYJVHXBBYRBW-AWEZNQCLSA-N 0 0 426.495 -0.111 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000511711346 1071400397 /nfs/dbraw/zinc/40/03/97/1071400397.db2.gz GJXRMJURTKPKSM-AWEZNQCLSA-N 0 0 428.463 -0.491 20 0 IBADRN Cn1c2cccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)c2oc1=O ZINC000511712826 1071401237 /nfs/dbraw/zinc/40/12/37/1071401237.db2.gz MFPJLMKDTNRMCU-UHFFFAOYSA-N 0 0 428.492 -0.435 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)s1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000511713126 1071401167 /nfs/dbraw/zinc/40/11/67/1071401167.db2.gz IJAJPSUEXOEUOU-UHFFFAOYSA-N 0 0 448.954 -0.027 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511714178 1071401280 /nfs/dbraw/zinc/40/12/80/1071401280.db2.gz KXTYKDOCLNYRPO-CQSZACIVSA-N 0 0 447.535 -0.415 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)ccc1C ZINC000511714381 1071401205 /nfs/dbraw/zinc/40/12/05/1071401205.db2.gz STRLYDDNCFVQEB-CYBMUJFWSA-N 0 0 432.524 -0.618 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000511715353 1071402121 /nfs/dbraw/zinc/40/21/21/1071402121.db2.gz ORHSGAMURCIBEP-CYBMUJFWSA-N 0 0 446.551 -0.289 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000511715354 1071401988 /nfs/dbraw/zinc/40/19/88/1071401988.db2.gz ORHSGAMURCIBEP-ZDUSSCGKSA-N 0 0 446.551 -0.289 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000511716752 1071402779 /nfs/dbraw/zinc/40/27/79/1071402779.db2.gz VDSIIEBGLNRDBE-OAHLLOKOSA-N 0 0 440.526 -0.579 20 0 IBADRN Cn1c2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)c2oc1=O ZINC000511716882 1071402800 /nfs/dbraw/zinc/40/28/00/1071402800.db2.gz STYHNQOKLKPHIB-UHFFFAOYSA-N 0 0 429.433 -0.637 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000511717049 1071403010 /nfs/dbraw/zinc/40/30/10/1071403010.db2.gz WZJKUHGUGULGIT-CQSZACIVSA-N 0 0 425.511 -0.295 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](CCSC)C(=O)N(C)C)CC2 ZINC000511717861 1071402921 /nfs/dbraw/zinc/40/29/21/1071402921.db2.gz BGJQFMGUKNNXCW-AWEZNQCLSA-N 0 0 442.563 -0.190 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000511718765 1071402945 /nfs/dbraw/zinc/40/29/45/1071402945.db2.gz JHDZHCSSBBCFCM-KRWDZBQOSA-N 0 0 449.577 -0.075 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cn1 ZINC000511720187 1071402987 /nfs/dbraw/zinc/40/29/87/1071402987.db2.gz KMIQCRHHHPNODB-GFCCVEGCSA-N 0 0 444.535 -0.256 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cn1 ZINC000511720189 1071402976 /nfs/dbraw/zinc/40/29/76/1071402976.db2.gz KMIQCRHHHPNODB-LBPRGKRZSA-N 0 0 444.535 -0.256 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](CCSC)C(=O)NC)CC2 ZINC000511721543 1071402818 /nfs/dbraw/zinc/40/28/18/1071402818.db2.gz GNCZGYQEDFUWRK-AWEZNQCLSA-N 0 0 442.563 -0.142 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c1 ZINC000511722429 1071401185 /nfs/dbraw/zinc/40/11/85/1071401185.db2.gz JJJBOLNXXPIVAT-CYBMUJFWSA-N 0 0 438.506 -0.190 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c1 ZINC000511722430 1071401274 /nfs/dbraw/zinc/40/12/74/1071401274.db2.gz JJJBOLNXXPIVAT-ZDUSSCGKSA-N 0 0 438.506 -0.190 20 0 IBADRN COC(=O)[C@@H]1CCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000511722631 1071401294 /nfs/dbraw/zinc/40/12/94/1071401294.db2.gz WVMNCRUDKBTAMJ-INIZCTEOSA-N 0 0 425.507 -0.039 20 0 IBADRN COC(=O)[C@H]1CCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000511722632 1071401196 /nfs/dbraw/zinc/40/11/96/1071401196.db2.gz WVMNCRUDKBTAMJ-MRXNPFEDSA-N 0 0 425.507 -0.039 20 0 IBADRN NC(=O)Cc1occc1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000511725455 1071401934 /nfs/dbraw/zinc/40/19/34/1071401934.db2.gz BXHNMXFTIIWITA-UHFFFAOYSA-N 0 0 448.457 -0.215 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c1 ZINC000511725860 1071402059 /nfs/dbraw/zinc/40/20/59/1071402059.db2.gz XIINJXBGAHBIMS-GFCCVEGCSA-N 0 0 444.535 -0.407 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c1 ZINC000511725861 1071402030 /nfs/dbraw/zinc/40/20/30/1071402030.db2.gz XIINJXBGAHBIMS-LBPRGKRZSA-N 0 0 444.535 -0.407 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F ZINC000511726405 1071402017 /nfs/dbraw/zinc/40/20/17/1071402017.db2.gz YVBLPAZRQNUUEP-LBPRGKRZSA-N 0 0 434.515 -0.002 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2ccc(C)cc2)cn1C ZINC000511726475 1071402081 /nfs/dbraw/zinc/40/20/81/1071402081.db2.gz FTQGBQJNUSYPON-UHFFFAOYSA-N 0 0 429.524 -0.590 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511726734 1071401962 /nfs/dbraw/zinc/40/19/62/1071401962.db2.gz HTLIUKFRNNXKLV-CQSZACIVSA-N 0 0 446.551 -0.148 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)CC1 ZINC000511726740 1071401924 /nfs/dbraw/zinc/40/19/24/1071401924.db2.gz HXOYYDAOWPXYMF-UHFFFAOYSA-N 0 0 440.478 -0.420 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000511726762 1071402112 /nfs/dbraw/zinc/40/21/12/1071402112.db2.gz IDOYYZTXKVXRQN-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN Cn1c(=O)oc2c1cccc2NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000511727513 1071401912 /nfs/dbraw/zinc/40/19/12/1071401912.db2.gz OQNKOEMBRJHJEM-UHFFFAOYSA-N 0 0 449.445 -0.268 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)c2ccc(C)cc2)cn1C ZINC000511727721 1071402100 /nfs/dbraw/zinc/40/21/00/1071402100.db2.gz OVGOZRMZRXFFJS-UHFFFAOYSA-N 0 0 443.551 -0.200 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCS(=O)(=O)NCC1CCC1 ZINC000511727912 1071402066 /nfs/dbraw/zinc/40/20/66/1071402066.db2.gz UAIRLIAYEODVNJ-UHFFFAOYSA-N 0 0 429.524 -0.323 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000511728290 1071402050 /nfs/dbraw/zinc/40/20/50/1071402050.db2.gz TYQZWJWEOKKNLM-LLVKDONJSA-N 0 0 443.478 -0.173 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000511728291 1071402000 /nfs/dbraw/zinc/40/20/00/1071402000.db2.gz TYQZWJWEOKKNLM-NSHDSACASA-N 0 0 443.478 -0.173 20 0 IBADRN Cn1c2cccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2oc1=O ZINC000511730284 1071402088 /nfs/dbraw/zinc/40/20/88/1071402088.db2.gz HHINEAXHHRKSSG-UHFFFAOYSA-N 0 0 431.449 -0.927 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000511730618 1071401970 /nfs/dbraw/zinc/40/19/70/1071401970.db2.gz QELRIUGHNSUPSA-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511730635 1071402053 /nfs/dbraw/zinc/40/20/53/1071402053.db2.gz QKFWMWSUTVKUFV-CQSZACIVSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCn1c(N)c(C(=O)Cn2cc(S(=O)(=O)N3CCCC3)ccc2=O)c(=O)[nH]c1=O ZINC000511731528 1071403524 /nfs/dbraw/zinc/40/35/24/1071403524.db2.gz ZHRMOXLDISHPBJ-UHFFFAOYSA-N 0 0 437.478 -0.230 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)c2C)no1 ZINC000511736016 1073370126 /nfs/dbraw/zinc/37/01/26/1073370126.db2.gz GHTRXMGBKIZWKG-INIZCTEOSA-N 0 0 431.497 -0.064 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)c2C)no1 ZINC000511736018 1073370230 /nfs/dbraw/zinc/37/02/30/1073370230.db2.gz GHTRXMGBKIZWKG-MRXNPFEDSA-N 0 0 431.497 -0.064 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c1C ZINC000511736535 1071403572 /nfs/dbraw/zinc/40/35/72/1071403572.db2.gz LEZPEJAWOJVJBP-CYBMUJFWSA-N 0 0 441.510 -0.377 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c1C ZINC000511736540 1071403713 /nfs/dbraw/zinc/40/37/13/1071403713.db2.gz LEZPEJAWOJVJBP-ZDUSSCGKSA-N 0 0 441.510 -0.377 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1NCCCn1nc2n(c1=O)CCCC2 ZINC000511736624 1071403640 /nfs/dbraw/zinc/40/36/40/1071403640.db2.gz LMXGNCAWLDQBNA-UHFFFAOYSA-N 0 0 429.524 -0.066 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cn1 ZINC000511738987 1071403735 /nfs/dbraw/zinc/40/37/35/1071403735.db2.gz AULZMGXMTHSZIG-CYBMUJFWSA-N 0 0 429.499 -0.340 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)CC1 ZINC000511739314 1071403689 /nfs/dbraw/zinc/40/36/89/1071403689.db2.gz BUHFKMBISYBMHW-UHFFFAOYSA-N 0 0 432.433 -0.012 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511741592 1071403442 /nfs/dbraw/zinc/40/34/42/1071403442.db2.gz CFGDMLALEDEYLK-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000511743737 1071404534 /nfs/dbraw/zinc/40/45/34/1071404534.db2.gz JCAZCXTZWOUTOA-GFCCVEGCSA-N 0 0 432.524 -0.584 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000511744104 1071404427 /nfs/dbraw/zinc/40/44/27/1071404427.db2.gz JVPWNXCIRPPZSG-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CCCOC1 ZINC000511744312 1071404493 /nfs/dbraw/zinc/40/44/93/1071404493.db2.gz PAHCTTFBSVTHCG-ZDUSSCGKSA-N 0 0 427.479 -0.050 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000511744411 1071404445 /nfs/dbraw/zinc/40/44/45/1071404445.db2.gz YKFAVMOCFUYAIW-ZDUSSCGKSA-N 0 0 428.536 -0.532 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1C(=O)OC ZINC000511744834 1071404556 /nfs/dbraw/zinc/40/45/56/1071404556.db2.gz LSSJPOHAZFMHRD-GFCCVEGCSA-N 0 0 441.462 -0.262 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000511745414 1071404379 /nfs/dbraw/zinc/40/43/79/1071404379.db2.gz NFDBWLSAFLIFDM-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1F ZINC000511745782 1071404413 /nfs/dbraw/zinc/40/44/13/1071404413.db2.gz ONTVQFQANVQQFV-SNVBAGLBSA-N 0 0 439.462 -0.153 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(OC)c(OC)c1 ZINC000511746555 1071404469 /nfs/dbraw/zinc/40/44/69/1071404469.db2.gz SHIYJTPKFPMIMS-GFCCVEGCSA-N 0 0 443.478 -0.031 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000511753614 1071405279 /nfs/dbraw/zinc/40/52/79/1071405279.db2.gz MDAZYNFDBGROIM-OAHLLOKOSA-N 0 0 444.535 -0.295 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1-n1nnnc1C ZINC000511755262 1071405450 /nfs/dbraw/zinc/40/54/50/1071405450.db2.gz RHSZVZIMPYSQLI-CYBMUJFWSA-N 0 0 437.482 -0.542 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000511755300 1071405388 /nfs/dbraw/zinc/40/53/88/1071405388.db2.gz RMIKYWCDABISIY-OAHLLOKOSA-N 0 0 425.511 -0.335 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000511755969 1071404570 /nfs/dbraw/zinc/40/45/70/1071404570.db2.gz QWZSGTOIKXKPQA-CYBMUJFWSA-N 0 0 427.479 -0.035 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000511755971 1071404394 /nfs/dbraw/zinc/40/43/94/1071404394.db2.gz QWZSGTOIKXKPQA-ZDUSSCGKSA-N 0 0 427.479 -0.035 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cc1C(N)=O ZINC000511759049 1071405357 /nfs/dbraw/zinc/40/53/57/1071405357.db2.gz SGQVNTLSBWGGDS-LLVKDONJSA-N 0 0 439.494 -0.552 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cc1C(N)=O ZINC000511759050 1071405377 /nfs/dbraw/zinc/40/53/77/1071405377.db2.gz SGQVNTLSBWGGDS-NSHDSACASA-N 0 0 439.494 -0.552 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)ccc1F ZINC000511759058 1071405317 /nfs/dbraw/zinc/40/53/17/1071405317.db2.gz XUVNZGBXUZXTCC-GFCCVEGCSA-N 0 0 425.446 -0.411 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000511760422 1071406155 /nfs/dbraw/zinc/40/61/55/1071406155.db2.gz ISZJTKMHKPJGOT-GFCCVEGCSA-N 0 0 441.510 -0.144 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000511760426 1071406177 /nfs/dbraw/zinc/40/61/77/1071406177.db2.gz ISZJTKMHKPJGOT-LBPRGKRZSA-N 0 0 441.510 -0.144 20 0 IBADRN CC(=O)NCCN(C(=O)CNS(=O)(=O)c1cnn(C)c1)[C@H](C)C(=O)OC(C)(C)C ZINC000511773426 1071407018 /nfs/dbraw/zinc/40/70/18/1071407018.db2.gz KSIJMVIHTZQENB-GFCCVEGCSA-N 0 0 431.515 -0.607 20 0 IBADRN CC(=O)NCCN(C(=O)CNS(=O)(=O)c1cnn(C)c1)[C@@H](C)C(=O)OC(C)(C)C ZINC000511773427 1071406959 /nfs/dbraw/zinc/40/69/59/1071406959.db2.gz KSIJMVIHTZQENB-LBPRGKRZSA-N 0 0 431.515 -0.607 20 0 IBADRN CC(=O)NCCN(C(=O)CNS(=O)(=O)c1cn(C)cn1)[C@H](C)C(=O)OC(C)(C)C ZINC000511774723 1071406108 /nfs/dbraw/zinc/40/61/08/1071406108.db2.gz JTZNYMKDGZZVRZ-GFCCVEGCSA-N 0 0 431.515 -0.607 20 0 IBADRN CC(=O)NCCN(C(=O)CNS(=O)(=O)c1cn(C)cn1)[C@@H](C)C(=O)OC(C)(C)C ZINC000511774725 1071406141 /nfs/dbraw/zinc/40/61/41/1071406141.db2.gz JTZNYMKDGZZVRZ-LBPRGKRZSA-N 0 0 431.515 -0.607 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cc1 ZINC000511776305 1071407090 /nfs/dbraw/zinc/40/70/90/1071407090.db2.gz NIDHPBOOAJLAGU-CYBMUJFWSA-N 0 0 438.506 -0.190 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cc1 ZINC000511776306 1071407139 /nfs/dbraw/zinc/40/71/39/1071407139.db2.gz NIDHPBOOAJLAGU-ZDUSSCGKSA-N 0 0 438.506 -0.190 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cc1 ZINC000511779003 1071407122 /nfs/dbraw/zinc/40/71/22/1071407122.db2.gz VSSZHBNBRDADRT-GFCCVEGCSA-N 0 0 444.535 -0.407 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cc1 ZINC000511779004 1071407074 /nfs/dbraw/zinc/40/70/74/1071407074.db2.gz VSSZHBNBRDADRT-LBPRGKRZSA-N 0 0 444.535 -0.407 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(C(=O)Nc3nncs3)CC2)cn1C ZINC000511786781 1071407646 /nfs/dbraw/zinc/40/76/46/1071407646.db2.gz VPZZALWEGZDPMU-UHFFFAOYSA-N 0 0 427.512 -0.264 20 0 IBADRN CC(=O)NCCN(C(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H](C)C(=O)OC(C)(C)C ZINC000511789807 1071408507 /nfs/dbraw/zinc/40/85/07/1071408507.db2.gz DCOBENVYZVYZNR-GFCCVEGCSA-N 0 0 447.492 -0.059 20 0 IBADRN CC(=O)NCCN(C(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H](C)C(=O)OC(C)(C)C ZINC000511789879 1071408372 /nfs/dbraw/zinc/40/83/72/1071408372.db2.gz DCOBENVYZVYZNR-LBPRGKRZSA-N 0 0 447.492 -0.059 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000511790476 1071408516 /nfs/dbraw/zinc/40/85/16/1071408516.db2.gz FHEKAFMNJXPDLL-LBPRGKRZSA-N 0 0 434.515 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1CCCOC1 ZINC000511797855 1071408457 /nfs/dbraw/zinc/40/84/57/1071408457.db2.gz ZYCNDPHHYHATQG-AWEZNQCLSA-N 0 0 440.522 -0.135 20 0 IBADRN CC(=O)NCCN(C(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)[C@H](C)C(=O)OC(C)(C)C ZINC000511798147 1071408521 /nfs/dbraw/zinc/40/85/21/1071408521.db2.gz YSHDOIPRHKGXNC-GFCCVEGCSA-N 0 0 447.492 -0.059 20 0 IBADRN CC(=O)NCCN(C(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)[C@@H](C)C(=O)OC(C)(C)C ZINC000511798149 1071408405 /nfs/dbraw/zinc/40/84/05/1071408405.db2.gz YSHDOIPRHKGXNC-LBPRGKRZSA-N 0 0 447.492 -0.059 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)cc1 ZINC000511804502 1071408581 /nfs/dbraw/zinc/40/85/81/1071408581.db2.gz CZEDMCVOFDVMLO-UHFFFAOYSA-N 0 0 428.492 -0.387 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H](CCSC)C(=O)N(C)C)ccc1F ZINC000511806319 1071409492 /nfs/dbraw/zinc/40/94/92/1071409492.db2.gz IVMNQGZOLOJELF-LBPRGKRZSA-N 0 0 434.515 -0.002 20 0 IBADRN CC(=O)NCCN(C(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)[C@H](C)C(=O)OC(C)(C)C ZINC000511807366 1071409483 /nfs/dbraw/zinc/40/94/83/1071409483.db2.gz LKKITBKHQQPEDN-CHWSQXEVSA-N 0 0 445.542 -0.218 20 0 IBADRN CC(=O)NCCN(C(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)[C@@H](C)C(=O)OC(C)(C)C ZINC000511807367 1071409559 /nfs/dbraw/zinc/40/95/59/1071409559.db2.gz LKKITBKHQQPEDN-OLZOCXBDSA-N 0 0 445.542 -0.218 20 0 IBADRN CC(=O)NCCN(C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)[C@H](C)C(=O)OC(C)(C)C ZINC000511807368 1071409427 /nfs/dbraw/zinc/40/94/27/1071409427.db2.gz LKKITBKHQQPEDN-QWHCGFSZSA-N 0 0 445.542 -0.218 20 0 IBADRN CC(=O)NCCN(C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)[C@@H](C)C(=O)OC(C)(C)C ZINC000511807369 1071409553 /nfs/dbraw/zinc/40/95/53/1071409553.db2.gz LKKITBKHQQPEDN-STQMWFEESA-N 0 0 445.542 -0.218 20 0 IBADRN CN(CCN1CCN(Cc2ccccc2)CC1)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000511808266 1071409545 /nfs/dbraw/zinc/40/95/45/1071409545.db2.gz GSBJVDXKGCWBEK-UHFFFAOYSA-N 0 0 428.537 -0.402 20 0 IBADRN CC(=O)NCCN(C(=O)CNS(=O)(=O)c1cn(C)c(C)n1)[C@H](C)C(=O)OC(C)(C)C ZINC000511808494 1071409503 /nfs/dbraw/zinc/40/95/03/1071409503.db2.gz PEAYOXKYLMACEQ-GFCCVEGCSA-N 0 0 445.542 -0.298 20 0 IBADRN CC(=O)NCCN(C(=O)CNS(=O)(=O)c1cn(C)c(C)n1)[C@@H](C)C(=O)OC(C)(C)C ZINC000511808498 1071409390 /nfs/dbraw/zinc/40/93/90/1071409390.db2.gz PEAYOXKYLMACEQ-LBPRGKRZSA-N 0 0 445.542 -0.298 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000511811079 1071409365 /nfs/dbraw/zinc/40/93/65/1071409365.db2.gz SZBNKBHSJLAHDN-LBPRGKRZSA-N 0 0 446.551 -0.132 20 0 IBADRN CC(=O)NCCN(C(=O)CN1CCN(S(C)(=O)=O)CC1)[C@@H](C)C(=O)OC(C)(C)C ZINC000511813744 1071409410 /nfs/dbraw/zinc/40/94/10/1071409410.db2.gz LXXNRLYZIWKZEC-AWEZNQCLSA-N 0 0 434.559 -0.742 20 0 IBADRN CC(=O)NCCN(C(=O)CN1CCN(S(C)(=O)=O)CC1)[C@H](C)C(=O)OC(C)(C)C ZINC000511813745 1071409379 /nfs/dbraw/zinc/40/93/79/1071409379.db2.gz LXXNRLYZIWKZEC-CQSZACIVSA-N 0 0 434.559 -0.742 20 0 IBADRN CC(=O)NCCN(C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)[C@H](C)C(=O)OC(C)(C)C ZINC000511814157 1071409402 /nfs/dbraw/zinc/40/94/02/1071409402.db2.gz OVCXQUCDKBNRBF-CYBMUJFWSA-N 0 0 449.508 -0.266 20 0 IBADRN CC(=O)NCCN(C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)[C@@H](C)C(=O)OC(C)(C)C ZINC000511814158 1071409326 /nfs/dbraw/zinc/40/93/26/1071409326.db2.gz OVCXQUCDKBNRBF-ZDUSSCGKSA-N 0 0 449.508 -0.266 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cnn(Cc4ccccc4)c(=O)c3)CC2)CC1 ZINC000511817411 1071410290 /nfs/dbraw/zinc/41/02/90/1071410290.db2.gz LWMLZFXFORBSRV-UHFFFAOYSA-N 0 0 432.550 -0.094 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000511825055 1071410243 /nfs/dbraw/zinc/41/02/43/1071410243.db2.gz OBSYSTUVVQTQPT-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N(C)CCN1CCN(Cc2ccccc2)CC1 ZINC000511826112 1071410189 /nfs/dbraw/zinc/41/01/89/1071410189.db2.gz QSZVRQHIJMZYHB-KRWDZBQOSA-N 0 0 442.564 -0.013 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N(C)CCN1CCN(Cc2ccccc2)CC1 ZINC000511826127 1071410346 /nfs/dbraw/zinc/41/03/46/1071410346.db2.gz QSZVRQHIJMZYHB-QGZVFWFLSA-N 0 0 442.564 -0.013 20 0 IBADRN COC(=O)CN(Cc1cc(OC)cc(OC)c1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000511829472 1071410330 /nfs/dbraw/zinc/41/03/30/1071410330.db2.gz JGYJLWLOBCYNPA-UHFFFAOYSA-N 0 0 441.462 -0.535 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000511832588 1071410073 /nfs/dbraw/zinc/41/00/73/1071410073.db2.gz BIKDXYGEJPGTET-UHFFFAOYSA-N 0 0 448.501 -0.340 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000511833344 1071410062 /nfs/dbraw/zinc/41/00/62/1071410062.db2.gz GECOKOKVYNTSNU-UHFFFAOYSA-N 0 0 436.490 -0.582 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000511834286 1071410089 /nfs/dbraw/zinc/41/00/89/1071410089.db2.gz KGFKVOOMCYZOTI-UHFFFAOYSA-N 0 0 429.477 -0.380 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000511834448 1071410277 /nfs/dbraw/zinc/41/02/77/1071410277.db2.gz KLMYFNGHUAKUOS-UHFFFAOYSA-N 0 0 431.449 -0.364 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000511835103 1071410216 /nfs/dbraw/zinc/41/02/16/1071410216.db2.gz OVRWREYRZOBJFO-UHFFFAOYSA-N 0 0 446.504 -0.344 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)CC2=O)cc1 ZINC000511835577 1071411241 /nfs/dbraw/zinc/41/12/41/1071411241.db2.gz ROVDAHAFMIHCES-HNNXBMFYSA-N 0 0 442.472 -0.132 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)CC2=O)cc1 ZINC000511835578 1071411215 /nfs/dbraw/zinc/41/12/15/1071411215.db2.gz ROVDAHAFMIHCES-OAHLLOKOSA-N 0 0 442.472 -0.132 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511836010 1071411200 /nfs/dbraw/zinc/41/12/00/1071411200.db2.gz WBWZTUAZOSMXTD-UHFFFAOYSA-N 0 0 427.465 -0.506 20 0 IBADRN Cn1c2ccccc2n(CCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1=O ZINC000511838602 1071411309 /nfs/dbraw/zinc/41/13/09/1071411309.db2.gz OBLCMWDEIRJMRS-UHFFFAOYSA-N 0 0 427.461 -0.450 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511839670 1071411182 /nfs/dbraw/zinc/41/11/82/1071411182.db2.gz ALMOLKLJTXWFNQ-CRAIPNDOSA-N 0 0 440.566 -0.050 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511839671 1071411231 /nfs/dbraw/zinc/41/12/31/1071411231.db2.gz ALMOLKLJTXWFNQ-MAUKXSAKSA-N 0 0 440.566 -0.050 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511839672 1071411318 /nfs/dbraw/zinc/41/13/18/1071411318.db2.gz ALMOLKLJTXWFNQ-QAPCUYQASA-N 0 0 440.566 -0.050 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511839673 1071411163 /nfs/dbraw/zinc/41/11/63/1071411163.db2.gz ALMOLKLJTXWFNQ-YJBOKZPZSA-N 0 0 440.566 -0.050 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)no1 ZINC000511839827 1074355633 /nfs/dbraw/zinc/35/56/33/1074355633.db2.gz TURVFFGEVUMGKZ-UHFFFAOYSA-N 0 0 437.478 -0.525 20 0 IBADRN Cn1ncn(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCCO4)CC2)c1=O ZINC000511840705 1071411092 /nfs/dbraw/zinc/41/10/92/1071411092.db2.gz ARVUDAKTWNLUCO-UHFFFAOYSA-N 0 0 437.478 -0.724 20 0 IBADRN O=C(CCN1C(=O)c2ccccc2C1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511848908 1071411971 /nfs/dbraw/zinc/41/19/71/1071411971.db2.gz FRVLBNDJTVUMIV-UHFFFAOYSA-N 0 0 426.429 -0.508 20 0 IBADRN O=C(CCc1nc(-c2ccccn2)no1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511849840 1071411839 /nfs/dbraw/zinc/41/18/39/1071411839.db2.gz LKNHBNKFKVJOQU-UHFFFAOYSA-N 0 0 426.433 -0.116 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1Cl)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000511852274 1071411945 /nfs/dbraw/zinc/41/19/45/1071411945.db2.gz QWKZAMSPLMLGOA-LLVKDONJSA-N 0 0 443.906 -0.130 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1Cl)NC[C@H]1CS(=O)(=O)CCO1 ZINC000511852275 1071411809 /nfs/dbraw/zinc/41/18/09/1071411809.db2.gz QWKZAMSPLMLGOA-NSHDSACASA-N 0 0 443.906 -0.130 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000511852627 1071411900 /nfs/dbraw/zinc/41/19/00/1071411900.db2.gz UGICMSQATLVHHB-UHFFFAOYSA-N 0 0 440.453 -0.369 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000511852760 1071411959 /nfs/dbraw/zinc/41/19/59/1071411959.db2.gz GVJLDZXEOONGSU-UHFFFAOYSA-N 0 0 437.565 -0.450 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC000511854317 1071412650 /nfs/dbraw/zinc/41/26/50/1071412650.db2.gz PYKOOUJAISSOQS-AWEZNQCLSA-N 0 0 428.445 -0.380 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC000511854318 1071412603 /nfs/dbraw/zinc/41/26/03/1071412603.db2.gz PYKOOUJAISSOQS-CQSZACIVSA-N 0 0 428.445 -0.380 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000511854512 1071411986 /nfs/dbraw/zinc/41/19/86/1071411986.db2.gz QXKZWVQPLPEOLK-UHFFFAOYSA-N 0 0 447.492 -0.693 20 0 IBADRN O=C(CCN1C(=O)COc2ccccc21)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511854684 1071411787 /nfs/dbraw/zinc/41/17/87/1071411787.db2.gz RUYCIEWFNIBYQD-UHFFFAOYSA-N 0 0 428.445 -0.378 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(CC(F)(F)C(F)F)CC3)c2c(=O)n(C)c1=O ZINC000511860255 1071413216 /nfs/dbraw/zinc/41/32/16/1071413216.db2.gz CAMNCBWGKGDLIE-UHFFFAOYSA-N 0 0 434.394 -0.132 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000511861442 1071413378 /nfs/dbraw/zinc/41/33/78/1071413378.db2.gz BZFXSSBMWWGSJN-UHFFFAOYSA-N 0 0 448.501 -0.439 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)cn1C ZINC000511865386 1071414254 /nfs/dbraw/zinc/41/42/54/1071414254.db2.gz OMFAYXRAHXQRQE-UHFFFAOYSA-N 0 0 448.549 -0.038 20 0 IBADRN CCn1c2ccccc2n(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1=O ZINC000511865702 1071413401 /nfs/dbraw/zinc/41/34/01/1071413401.db2.gz RHHAZWGVIJEHOM-UHFFFAOYSA-N 0 0 427.461 -0.357 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000511865869 1071414190 /nfs/dbraw/zinc/41/41/90/1071414190.db2.gz UBVVRBLAUMVBKK-ZIAGYGMSSA-N 0 0 432.433 -0.268 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc21 ZINC000511865892 1071413981 /nfs/dbraw/zinc/41/39/81/1071413981.db2.gz ULOHRISKBQSDGN-UHFFFAOYSA-N 0 0 448.501 -0.558 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000511866540 1071414210 /nfs/dbraw/zinc/41/42/10/1071414210.db2.gz ZUBBKRWMHFGVLI-UHFFFAOYSA-N 0 0 448.501 -0.439 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000511867026 1071414100 /nfs/dbraw/zinc/41/41/00/1071414100.db2.gz HXYBJDPZALYVPU-UHFFFAOYSA-N 0 0 436.490 -0.630 20 0 IBADRN COc1cc(CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)ccc1OCC(N)=O ZINC000511867159 1071414120 /nfs/dbraw/zinc/41/41/20/1071414120.db2.gz CQSWYORGTLXOEO-UHFFFAOYSA-N 0 0 425.467 -0.804 20 0 IBADRN COc1cc(CNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)ccc1OCC(N)=O ZINC000511869474 1071414176 /nfs/dbraw/zinc/41/41/76/1071414176.db2.gz RARISTQFSBNJJO-UHFFFAOYSA-N 0 0 439.494 -0.414 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000511876742 1071415631 /nfs/dbraw/zinc/41/56/31/1071415631.db2.gz CERZGTOEOJQZCQ-UHFFFAOYSA-N 0 0 426.437 -0.495 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000511882875 1071416281 /nfs/dbraw/zinc/41/62/81/1071416281.db2.gz XPJPWHVJWRIGRQ-UHFFFAOYSA-N 0 0 440.522 -0.061 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000511883183 1071416578 /nfs/dbraw/zinc/41/65/78/1071416578.db2.gz BPJGODWLXWVUGJ-ZIAGYGMSSA-N 0 0 434.449 -0.476 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000511883266 1071416493 /nfs/dbraw/zinc/41/64/93/1071416493.db2.gz CVIZTXFTDJJZHW-CHWSQXEVSA-N 0 0 449.464 -0.690 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000511883731 1071415773 /nfs/dbraw/zinc/41/57/73/1071415773.db2.gz GYSRHFFBTHJUPJ-UHFFFAOYSA-N 0 0 429.477 -0.380 20 0 IBADRN O=C(CCn1nnc2ccccc2c1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511884197 1071416354 /nfs/dbraw/zinc/41/63/54/1071416354.db2.gz JVTKJTBKCIKDRJ-UHFFFAOYSA-N 0 0 426.433 -0.999 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511884680 1071416447 /nfs/dbraw/zinc/41/64/47/1071416447.db2.gz MXNJNYPOXVKQHY-UHFFFAOYSA-N 0 0 430.461 -0.365 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000511884811 1071416504 /nfs/dbraw/zinc/41/65/04/1071416504.db2.gz NIQHYLOOLHPJNY-UHFFFAOYSA-N 0 0 446.460 -0.747 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000511885108 1071416458 /nfs/dbraw/zinc/41/64/58/1071416458.db2.gz KPGVGNAQWMCTQJ-ZIAGYGMSSA-N 0 0 432.433 -0.268 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cnc12 ZINC000511886795 1071416564 /nfs/dbraw/zinc/41/65/64/1071416564.db2.gz UTTVQZMPGXTZTD-UHFFFAOYSA-N 0 0 425.445 -0.475 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000511887152 1071418819 /nfs/dbraw/zinc/41/88/19/1071418819.db2.gz PXDJMVFZEYBZQL-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN O=C(CCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1CCN(c2ccccn2)CC1 ZINC000511888465 1071420749 /nfs/dbraw/zinc/42/07/49/1071420749.db2.gz SXZZHVPANBENEN-UHFFFAOYSA-N 0 0 442.520 -0.587 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000511889585 1071421846 /nfs/dbraw/zinc/42/18/46/1071421846.db2.gz VGPUZOSMZMSRMI-UHFFFAOYSA-N 0 0 427.527 -0.074 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnn(C)c3=O)CC2)cc1OC ZINC000511889888 1071423888 /nfs/dbraw/zinc/42/38/88/1071423888.db2.gz TZQYHEUEWXOXIK-UHFFFAOYSA-N 0 0 425.467 -0.868 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC000511890032 1071424076 /nfs/dbraw/zinc/42/40/76/1071424076.db2.gz WLZBRVNMXRWPHH-UHFFFAOYSA-N 0 0 441.488 -0.190 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000511895628 1071426941 /nfs/dbraw/zinc/42/69/41/1071426941.db2.gz YBASSXMTUIPLRA-UHFFFAOYSA-N 0 0 442.519 -0.831 20 0 IBADRN NC(=O)CCN(C(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1ccc(F)cc1 ZINC000511897191 1071428767 /nfs/dbraw/zinc/42/87/67/1071428767.db2.gz FJCXPXFABRYWLC-UHFFFAOYSA-N 0 0 447.467 -0.673 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000511897991 1071429301 /nfs/dbraw/zinc/42/93/01/1071429301.db2.gz IPCKPKNCPQEDAI-INIZCTEOSA-N 0 0 433.461 -0.494 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000511897992 1071429457 /nfs/dbraw/zinc/42/94/57/1071429457.db2.gz IPCKPKNCPQEDAI-MRXNPFEDSA-N 0 0 433.461 -0.494 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000511898913 1071430188 /nfs/dbraw/zinc/43/01/88/1071430188.db2.gz NEHQLGUWZODXLL-UHFFFAOYSA-N 0 0 440.456 -0.231 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000511899091 1071430386 /nfs/dbraw/zinc/43/03/86/1071430386.db2.gz OWCANOGTCLWHPZ-UHFFFAOYSA-N 0 0 445.432 -0.643 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN3CCN(C(=O)CN4C(=O)CCC4=O)CC3)CC2)c1 ZINC000511899598 1071430244 /nfs/dbraw/zinc/43/02/44/1071430244.db2.gz WAKYXUZAVSDDHE-UHFFFAOYSA-N 0 0 441.532 -0.063 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000511901866 1071430897 /nfs/dbraw/zinc/43/08/97/1071430897.db2.gz JYMPIFVEDBQIOS-UHFFFAOYSA-N 0 0 435.525 -0.020 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511902657 1071431745 /nfs/dbraw/zinc/43/17/45/1071431745.db2.gz GACILGJQVVVCAT-UHFFFAOYSA-N 0 0 441.444 -0.688 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000511902692 1071431716 /nfs/dbraw/zinc/43/17/16/1071431716.db2.gz GIRLJLYGOXZUHG-LHSJRXKWSA-N 0 0 447.492 -0.693 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000511902693 1071431664 /nfs/dbraw/zinc/43/16/64/1071431664.db2.gz GIRLJLYGOXZUHG-QKKBWIMNSA-N 0 0 447.492 -0.693 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000511902694 1071431678 /nfs/dbraw/zinc/43/16/78/1071431678.db2.gz GIRLJLYGOXZUHG-SPLOXXLWSA-N 0 0 447.492 -0.693 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000511902696 1071431732 /nfs/dbraw/zinc/43/17/32/1071431732.db2.gz GIRLJLYGOXZUHG-SZNDQCEHSA-N 0 0 447.492 -0.693 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)C1 ZINC000511902698 1071431708 /nfs/dbraw/zinc/43/17/08/1071431708.db2.gz GIWFSJNNGXHKCZ-UHFFFAOYSA-N 0 0 442.922 -0.052 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CC(=O)N2CCN(c3ccccc3F)CC2)CC1 ZINC000511903254 1071431675 /nfs/dbraw/zinc/43/16/75/1071431675.db2.gz PTDUYHMFGHTQKJ-UHFFFAOYSA-N 0 0 445.495 -0.233 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2Cn2nnc(-c3ccc(C(N)=O)cc3)n2)cc1 ZINC000511903470 1071431683 /nfs/dbraw/zinc/43/16/83/1071431683.db2.gz BEAZUYANFMDGDF-UHFFFAOYSA-N 0 0 425.434 -0.134 20 0 IBADRN C[C@@H](C(=O)NC(=O)NCc1ccccc1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511904722 1071431680 /nfs/dbraw/zinc/43/16/80/1071431680.db2.gz NIPUFJJIFHDRGF-HNNXBMFYSA-N 0 0 429.477 -0.306 20 0 IBADRN C[C@H](C(=O)NC(=O)NCc1ccccc1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000511904723 1071431714 /nfs/dbraw/zinc/43/17/14/1071431714.db2.gz NIPUFJJIFHDRGF-OAHLLOKOSA-N 0 0 429.477 -0.306 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000511905451 1071431671 /nfs/dbraw/zinc/43/16/71/1071431671.db2.gz POWKMEQJKWIGBP-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN Cn1c2nnc(CN3CCN(C(=O)CN4C(=O)CCC4=O)CC3)n2c2ccccc2c1=O ZINC000511905489 1071431711 /nfs/dbraw/zinc/43/17/11/1071431711.db2.gz PWRYCEHNBNEBIB-UHFFFAOYSA-N 0 0 437.460 -0.626 20 0 IBADRN COc1cc(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc(OC)c1OC ZINC000511906824 1071431694 /nfs/dbraw/zinc/43/16/94/1071431694.db2.gz WLWIUORBUSYBAI-UHFFFAOYSA-N 0 0 448.476 -0.056 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000511906938 1071431721 /nfs/dbraw/zinc/43/17/21/1071431721.db2.gz XPHUBDGOXPLBDM-UHFFFAOYSA-N 0 0 430.461 -0.365 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CCOC)C3)[C@@H](C)C2)CC1=O ZINC000511909858 1071432526 /nfs/dbraw/zinc/43/25/26/1071432526.db2.gz KYICGEMEUXSLPH-ULQDDVLXSA-N 0 0 438.525 -0.965 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CCOC)C3)C[C@@H]2C)CC1=O ZINC000511909862 1071432486 /nfs/dbraw/zinc/43/24/86/1071432486.db2.gz KYICGEMEUXSLPH-YESZJQIVSA-N 0 0 438.525 -0.965 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000511914243 1071432508 /nfs/dbraw/zinc/43/25/08/1071432508.db2.gz KZCPKCXDXPLSAJ-UHFFFAOYSA-N 0 0 433.509 -0.328 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3sccc3-n3cnnn3)CC2)C[C@H](C)O1 ZINC000511915480 1071432560 /nfs/dbraw/zinc/43/25/60/1071432560.db2.gz HCZHIXNMHPVBPQ-BETUJISGSA-N 0 0 441.539 -0.164 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3sccc3-n3cnnn3)CC2)C[C@@H](C)O1 ZINC000511915486 1071433137 /nfs/dbraw/zinc/43/31/37/1071433137.db2.gz HCZHIXNMHPVBPQ-CHWSQXEVSA-N 0 0 441.539 -0.164 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000511915487 1071433062 /nfs/dbraw/zinc/43/30/62/1071433062.db2.gz VBVVAKVMSGKGRA-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3sccc3-n3cnnn3)CC2)C[C@H](C)O1 ZINC000511915488 1071432941 /nfs/dbraw/zinc/43/29/41/1071432941.db2.gz HCZHIXNMHPVBPQ-STQMWFEESA-N 0 0 441.539 -0.164 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC(Cn4ccnn4)CC3)cnc2n(C)c1=O ZINC000511917048 1071432971 /nfs/dbraw/zinc/43/29/71/1071432971.db2.gz GJMRTYOROPAYKA-UHFFFAOYSA-N 0 0 426.437 -0.899 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000511917364 1071433068 /nfs/dbraw/zinc/43/30/68/1071433068.db2.gz UPILRVGEOUDFHJ-AWEZNQCLSA-N 0 0 445.519 -0.664 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000511917376 1071433122 /nfs/dbraw/zinc/43/31/22/1071433122.db2.gz UPILRVGEOUDFHJ-CQSZACIVSA-N 0 0 445.519 -0.664 20 0 IBADRN CNC(=O)c1ccc(C(=O)NC[C@@](C)(COC)NC(=O)c2ccc(C(=O)NC)nc2)cn1 ZINC000511918086 1071432983 /nfs/dbraw/zinc/43/29/83/1071432983.db2.gz BIABIRYKBZOKQN-NRFANRHFSA-N 0 0 442.476 -0.239 20 0 IBADRN CNC(=O)c1ccc(C(=O)NC[C@](C)(COC)NC(=O)c2ccc(C(=O)NC)nc2)cn1 ZINC000511918089 1071433830 /nfs/dbraw/zinc/43/38/30/1071433830.db2.gz BIABIRYKBZOKQN-OAQYLSRUSA-N 0 0 442.476 -0.239 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000511918756 1071432996 /nfs/dbraw/zinc/43/29/96/1071432996.db2.gz PFUMKYDSZIKDAG-CYBMUJFWSA-N 0 0 428.511 -0.077 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000511918757 1071433145 /nfs/dbraw/zinc/43/31/45/1071433145.db2.gz PFUMKYDSZIKDAG-ZDUSSCGKSA-N 0 0 428.511 -0.077 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC(Cn3ccnn3)CC2)cc1 ZINC000511921353 1071433767 /nfs/dbraw/zinc/43/37/67/1071433767.db2.gz BXQNQHHTIITFDZ-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000511930996 1071434667 /nfs/dbraw/zinc/43/46/67/1071434667.db2.gz TTWRBCOETGQRND-UHFFFAOYSA-N 0 0 444.485 -0.230 20 0 IBADRN CNC(=O)CCCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000511931188 1071434649 /nfs/dbraw/zinc/43/46/49/1071434649.db2.gz URSOJEABNSERRZ-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CC(C)C[C@@](C)(CNC(=O)c1cn(C)c(=O)[nH]c1=O)NC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000511933336 1071434609 /nfs/dbraw/zinc/43/46/09/1071434609.db2.gz XQXDCPLWDQDALQ-IBGZPJMESA-N 0 0 434.453 -0.750 20 0 IBADRN CC(C)C[C@](C)(CNC(=O)c1cn(C)c(=O)[nH]c1=O)NC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000511933337 1071434744 /nfs/dbraw/zinc/43/47/44/1071434744.db2.gz XQXDCPLWDQDALQ-LJQANCHMSA-N 0 0 434.453 -0.750 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)nn1 ZINC000511934386 1071435610 /nfs/dbraw/zinc/43/56/10/1071435610.db2.gz KDJJPJAQLSRJCR-UHFFFAOYSA-N 0 0 432.865 -0.133 20 0 IBADRN CCC(CC)(CO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511938327 1071435517 /nfs/dbraw/zinc/43/55/17/1071435517.db2.gz ADNUYGSVSGROCY-UHFFFAOYSA-N 0 0 440.522 -0.340 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000511941404 1071435432 /nfs/dbraw/zinc/43/54/32/1071435432.db2.gz ITFDOXFGCOPRGZ-CYBMUJFWSA-N 0 0 430.504 -0.275 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000511941410 1071435691 /nfs/dbraw/zinc/43/56/91/1071435691.db2.gz ITFDOXFGCOPRGZ-ZDUSSCGKSA-N 0 0 430.504 -0.275 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000511941465 1071436276 /nfs/dbraw/zinc/43/62/76/1071436276.db2.gz JEUQSPXSQRLKSB-HNNXBMFYSA-N 0 0 427.527 -0.202 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000511941492 1071436300 /nfs/dbraw/zinc/43/63/00/1071436300.db2.gz JEUQSPXSQRLKSB-OAHLLOKOSA-N 0 0 427.527 -0.202 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)C3CCOCC3)CC2)cn1C ZINC000511941612 1071435533 /nfs/dbraw/zinc/43/55/33/1071435533.db2.gz UUBFZKHCFPSHSA-CYBMUJFWSA-N 0 0 427.527 -0.507 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)C3CCOCC3)CC2)cn1C ZINC000511941615 1071435466 /nfs/dbraw/zinc/43/54/66/1071435466.db2.gz UUBFZKHCFPSHSA-ZDUSSCGKSA-N 0 0 427.527 -0.507 20 0 IBADRN CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511942627 1071436332 /nfs/dbraw/zinc/43/63/32/1071436332.db2.gz LWGZGHJNDUFJMQ-GDBMZVCRSA-N 0 0 427.523 -0.305 20 0 IBADRN CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511942638 1071436252 /nfs/dbraw/zinc/43/62/52/1071436252.db2.gz LWGZGHJNDUFJMQ-GOEBONIOSA-N 0 0 427.523 -0.305 20 0 IBADRN CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511942640 1071436336 /nfs/dbraw/zinc/43/63/36/1071436336.db2.gz LWGZGHJNDUFJMQ-HOCLYGCPSA-N 0 0 427.523 -0.305 20 0 IBADRN CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000511942643 1071436242 /nfs/dbraw/zinc/43/62/42/1071436242.db2.gz LWGZGHJNDUFJMQ-ZBFHGGJFSA-N 0 0 427.523 -0.305 20 0 IBADRN COC[C@](C)(CNC(=O)[C@H]1CSCN1C(C)=O)NC(=O)[C@H]1CSCN1C(C)=O ZINC000511943671 1074119417 /nfs/dbraw/zinc/11/94/17/1074119417.db2.gz ZDSOYAJTLOFUHM-CPUCHLNUSA-N 0 0 432.568 -0.533 20 0 IBADRN COC[C@](C)(CNC(=O)[C@H]1CSCN1C(C)=O)NC(=O)[C@@H]1CSCN1C(C)=O ZINC000511943672 1074119497 /nfs/dbraw/zinc/11/94/97/1074119497.db2.gz ZDSOYAJTLOFUHM-KEYYUXOJSA-N 0 0 432.568 -0.533 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1CSCN1C(C)=O)NC(=O)[C@H]1CSCN1C(C)=O ZINC000511943673 1074119529 /nfs/dbraw/zinc/11/95/29/1074119529.db2.gz ZDSOYAJTLOFUHM-VBQJREDUSA-N 0 0 432.568 -0.533 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1CSCN1C(C)=O)NC(=O)[C@@H]1CSCN1C(C)=O ZINC000511943674 1074119846 /nfs/dbraw/zinc/11/98/46/1074119846.db2.gz ZDSOYAJTLOFUHM-ZQIUZPCESA-N 0 0 432.568 -0.533 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)C[C@H]1O ZINC000511944483 1071436291 /nfs/dbraw/zinc/43/62/91/1071436291.db2.gz RQMRPSZDJJIJMK-HZPDHXFCSA-N 0 0 432.477 -0.173 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)C[C@H]1O ZINC000511944484 1071436212 /nfs/dbraw/zinc/43/62/12/1071436212.db2.gz RQMRPSZDJJIJMK-JKSUJKDBSA-N 0 0 432.477 -0.173 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cn1C ZINC000511947925 1071436235 /nfs/dbraw/zinc/43/62/35/1071436235.db2.gz RMYNKABECDLOAK-UHFFFAOYSA-N 0 0 448.549 -0.138 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C1CCOCC1 ZINC000511949499 1071436297 /nfs/dbraw/zinc/43/62/97/1071436297.db2.gz DIOUFLNULHTIFP-GFCCVEGCSA-N 0 0 442.494 -0.857 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C1CCOCC1 ZINC000511949505 1071436308 /nfs/dbraw/zinc/43/63/08/1071436308.db2.gz DIOUFLNULHTIFP-LBPRGKRZSA-N 0 0 442.494 -0.857 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CCC4(CC4)CC3)CC2)CC1 ZINC000511952047 1071437062 /nfs/dbraw/zinc/43/70/62/1071437062.db2.gz WYPNEALPHNZAFP-UHFFFAOYSA-N 0 0 427.571 -0.538 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)C[C@H]1O ZINC000511953841 1071437105 /nfs/dbraw/zinc/43/71/05/1071437105.db2.gz HQYAKRDQFZUKDB-GKDNMFGBSA-N 0 0 435.525 -0.215 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)C[C@H]1O ZINC000511953842 1071437137 /nfs/dbraw/zinc/43/71/37/1071437137.db2.gz HQYAKRDQFZUKDB-NVQRDWNXSA-N 0 0 435.525 -0.215 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)C[C@H]1O ZINC000511953843 1071437012 /nfs/dbraw/zinc/43/70/12/1071437012.db2.gz HQYAKRDQFZUKDB-PSLQGDIJSA-N 0 0 435.525 -0.215 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)C[C@H]1O ZINC000511953844 1071437115 /nfs/dbraw/zinc/43/71/15/1071437115.db2.gz HQYAKRDQFZUKDB-ZIGSKRJUSA-N 0 0 435.525 -0.215 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC000511953986 1071437164 /nfs/dbraw/zinc/43/71/64/1071437164.db2.gz HEBQNJIYEWXFRD-UHFFFAOYSA-N 0 0 438.506 -0.220 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000511956924 1071437087 /nfs/dbraw/zinc/43/70/87/1071437087.db2.gz SEWSHSSPLVRUCD-INIZCTEOSA-N 0 0 447.579 -0.023 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000511956925 1071437163 /nfs/dbraw/zinc/43/71/63/1071437163.db2.gz SEWSHSSPLVRUCD-MRXNPFEDSA-N 0 0 447.579 -0.023 20 0 IBADRN CS(=O)(=O)CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000511960635 1071437117 /nfs/dbraw/zinc/43/71/17/1071437117.db2.gz MTVLXVPGJALJNZ-UHFFFAOYSA-N 0 0 426.538 -0.580 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O ZINC000511963656 1071438457 /nfs/dbraw/zinc/43/84/57/1071438457.db2.gz FLCKRPRRXIMOFU-IBGZPJMESA-N 0 0 438.506 -0.364 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O ZINC000511963657 1071438471 /nfs/dbraw/zinc/43/84/71/1071438471.db2.gz FLCKRPRRXIMOFU-LJQANCHMSA-N 0 0 438.506 -0.364 20 0 IBADRN CN1C[C@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@H]3CC(=O)N(C)C3)CC2)CC1=O ZINC000511964721 1071438199 /nfs/dbraw/zinc/43/81/99/1071438199.db2.gz MNNGZRIKHKMFSL-MSOLQXFVSA-N 0 0 441.532 -0.092 20 0 IBADRN CN1C[C@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@@H]3CC(=O)N(C)C3)CC2)CC1=O ZINC000511964722 1071438317 /nfs/dbraw/zinc/43/83/17/1071438317.db2.gz MNNGZRIKHKMFSL-QZTJIDSGSA-N 0 0 441.532 -0.092 20 0 IBADRN CN1C[C@@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@H]3CC(=O)N(C)C3)CC2)CC1=O ZINC000511964723 1071438467 /nfs/dbraw/zinc/43/84/67/1071438467.db2.gz MNNGZRIKHKMFSL-ROUUACIJSA-N 0 0 441.532 -0.092 20 0 IBADRN CN1C[C@H](C(=O)N2CCN(c3ccccc3CNC(=O)[C@H]3CC(=O)N(C)C3)CC2)CC1=O ZINC000511964724 1071438355 /nfs/dbraw/zinc/43/83/55/1071438355.db2.gz MNNGZRIKHKMFSL-ZWKOTPCHSA-N 0 0 441.532 -0.092 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000511966112 1071439810 /nfs/dbraw/zinc/43/98/10/1071439810.db2.gz WYQMNGXMVLSYJM-UHFFFAOYSA-N 0 0 427.907 -0.396 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4c(cnn4C)c3O)CC2)C[C@H](C)O1 ZINC000511966963 1071440744 /nfs/dbraw/zinc/44/07/44/1071440744.db2.gz FMAKHQCSZFRFED-BETUJISGSA-N 0 0 438.510 -0.214 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4c(cnn4C)c3O)CC2)C[C@@H](C)O1 ZINC000511966964 1071440825 /nfs/dbraw/zinc/44/08/25/1071440825.db2.gz FMAKHQCSZFRFED-CHWSQXEVSA-N 0 0 438.510 -0.214 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4c(cnn4C)c3O)CC2)C[C@H](C)O1 ZINC000511966965 1071440889 /nfs/dbraw/zinc/44/08/89/1071440889.db2.gz FMAKHQCSZFRFED-STQMWFEESA-N 0 0 438.510 -0.214 20 0 IBADRN Cn1ncc2c1ncc(C(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)c2O ZINC000511967099 1071440861 /nfs/dbraw/zinc/44/08/61/1071440861.db2.gz HIAUZBDCRPCAPQ-UHFFFAOYSA-N 0 0 434.434 -0.488 20 0 IBADRN CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000511976878 1071444635 /nfs/dbraw/zinc/44/46/35/1071444635.db2.gz DKZPJWFRHRRYMZ-GDBMZVCRSA-N 0 0 427.523 -0.305 20 0 IBADRN CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000511976879 1071444617 /nfs/dbraw/zinc/44/46/17/1071444617.db2.gz DKZPJWFRHRRYMZ-GOEBONIOSA-N 0 0 427.523 -0.305 20 0 IBADRN CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000511976880 1071444509 /nfs/dbraw/zinc/44/45/09/1071444509.db2.gz DKZPJWFRHRRYMZ-HOCLYGCPSA-N 0 0 427.523 -0.305 20 0 IBADRN CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000511976881 1071444436 /nfs/dbraw/zinc/44/44/36/1071444436.db2.gz DKZPJWFRHRRYMZ-ZBFHGGJFSA-N 0 0 427.523 -0.305 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](CO)C[C@@H](O)C(C)(C)C)c1 ZINC000511978829 1071445309 /nfs/dbraw/zinc/44/53/09/1071445309.db2.gz PGHFJUMOPNJPIW-GDBMZVCRSA-N 0 0 429.539 -0.059 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H](CO)C[C@@H](O)C(C)(C)C)c1 ZINC000511978830 1071445215 /nfs/dbraw/zinc/44/52/15/1071445215.db2.gz PGHFJUMOPNJPIW-GOEBONIOSA-N 0 0 429.539 -0.059 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H](CO)C[C@H](O)C(C)(C)C)c1 ZINC000511978831 1071445341 /nfs/dbraw/zinc/44/53/41/1071445341.db2.gz PGHFJUMOPNJPIW-HOCLYGCPSA-N 0 0 429.539 -0.059 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](CO)C[C@H](O)C(C)(C)C)c1 ZINC000511978832 1071445178 /nfs/dbraw/zinc/44/51/78/1071445178.db2.gz PGHFJUMOPNJPIW-ZBFHGGJFSA-N 0 0 429.539 -0.059 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C1CCOCC1 ZINC000511983967 1071448712 /nfs/dbraw/zinc/44/87/12/1071448712.db2.gz RGZCZORLLPKJGA-BZUAXINKSA-N 0 0 446.570 -0.584 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C1CCOCC1 ZINC000511983968 1071448655 /nfs/dbraw/zinc/44/86/55/1071448655.db2.gz RGZCZORLLPKJGA-OAGGEKHMSA-N 0 0 446.570 -0.584 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C1CCOCC1 ZINC000511983969 1071448559 /nfs/dbraw/zinc/44/85/59/1071448559.db2.gz RGZCZORLLPKJGA-OWCLPIDISA-N 0 0 446.570 -0.584 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C1CCOCC1 ZINC000511983970 1071448689 /nfs/dbraw/zinc/44/86/89/1071448689.db2.gz RGZCZORLLPKJGA-XHSDSOJGSA-N 0 0 446.570 -0.584 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)NC1(CNC(=O)[C@H]2CSCN2C(C)=O)CCOCC1 ZINC000511984187 1074120053 /nfs/dbraw/zinc/12/00/53/1074120053.db2.gz QZWMZRFCSRPTSB-CABCVRRESA-N 0 0 444.579 -0.389 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)NCC1(NC(=O)[C@@H]2CSCN2C(C)=O)CCOCC1 ZINC000511984188 1074120061 /nfs/dbraw/zinc/12/00/61/1074120061.db2.gz QZWMZRFCSRPTSB-GJZGRUSLSA-N 0 0 444.579 -0.389 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)NCC1(NC(=O)[C@H]2CSCN2C(C)=O)CCOCC1 ZINC000511984189 1074119827 /nfs/dbraw/zinc/11/98/27/1074119827.db2.gz QZWMZRFCSRPTSB-HUUCEWRRSA-N 0 0 444.579 -0.389 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)NCC1(NC(=O)[C@H]2CSCN2C(C)=O)CCOCC1 ZINC000511984190 1074119902 /nfs/dbraw/zinc/11/99/02/1074119902.db2.gz QZWMZRFCSRPTSB-LSDHHAIUSA-N 0 0 444.579 -0.389 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)s1 ZINC000512000339 1071453627 /nfs/dbraw/zinc/45/36/27/1071453627.db2.gz FQIQHKWPARRANJ-UHFFFAOYSA-N 0 0 442.523 -0.060 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2nnc(C(C)C)s2)CC1 ZINC000512007084 1071454357 /nfs/dbraw/zinc/45/43/57/1071454357.db2.gz IXJSTLOHGULBHS-AWEZNQCLSA-N 0 0 440.570 -0.037 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2nnc(C(C)C)s2)CC1 ZINC000512007085 1071454487 /nfs/dbraw/zinc/45/44/87/1071454487.db2.gz IXJSTLOHGULBHS-CQSZACIVSA-N 0 0 440.570 -0.037 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000512012696 1071455163 /nfs/dbraw/zinc/45/51/63/1071455163.db2.gz VVRUVTGSWCCXNH-AWEZNQCLSA-N 0 0 438.554 -0.331 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000512012697 1071455302 /nfs/dbraw/zinc/45/53/02/1071455302.db2.gz VVRUVTGSWCCXNH-CQSZACIVSA-N 0 0 438.554 -0.331 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3ccc4c(c3)CCO4)CC2)CC1 ZINC000512019988 1071455181 /nfs/dbraw/zinc/45/51/81/1071455181.db2.gz MKZJMBUYHGIDQI-UHFFFAOYSA-N 0 0 437.566 -0.017 20 0 IBADRN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000512021018 1071456209 /nfs/dbraw/zinc/45/62/09/1071456209.db2.gz VMJFOANGHJCZKM-CYBMUJFWSA-N 0 0 431.501 -0.519 20 0 IBADRN Cc1nn(C(C)(C)C)cc1[C@H](C)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000512021019 1071456169 /nfs/dbraw/zinc/45/61/69/1071456169.db2.gz VMJFOANGHJCZKM-ZDUSSCGKSA-N 0 0 431.501 -0.519 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1=O ZINC000512022722 1071456073 /nfs/dbraw/zinc/45/60/73/1071456073.db2.gz HCSIAPUCUTVDHO-INIZCTEOSA-N 0 0 444.444 -0.304 20 0 IBADRN CC(C)N1C(=O)C(=O)N(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1=O ZINC000512022734 1071456182 /nfs/dbraw/zinc/45/61/82/1071456182.db2.gz HCSIAPUCUTVDHO-MRXNPFEDSA-N 0 0 444.444 -0.304 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000512024222 1071456669 /nfs/dbraw/zinc/45/66/69/1071456669.db2.gz MJMCLZXOJHHNOU-UHFFFAOYSA-N 0 0 431.536 -0.189 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCOCC3)CC2)C[C@@H](C)O1 ZINC000512033720 1071458415 /nfs/dbraw/zinc/45/84/15/1071458415.db2.gz PDXLNCCZZJXGCR-BZUAXINKSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCOCC3)CC2)C[C@@H](C)O1 ZINC000512033723 1071458530 /nfs/dbraw/zinc/45/85/30/1071458530.db2.gz PDXLNCCZZJXGCR-OAGGEKHMSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCOCC3)CC2)C[C@H](C)O1 ZINC000512033724 1071458486 /nfs/dbraw/zinc/45/84/86/1071458486.db2.gz PDXLNCCZZJXGCR-OWCLPIDISA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCOCC3)CC2)C[C@H](C)O1 ZINC000512033726 1071458344 /nfs/dbraw/zinc/45/83/44/1071458344.db2.gz PDXLNCCZZJXGCR-XHSDSOJGSA-N 0 0 432.543 -0.830 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(CCCOCC(F)(F)F)CC2)c(=O)n(C)c1=O ZINC000512037413 1071459805 /nfs/dbraw/zinc/45/98/05/1071459805.db2.gz POMSEDRAYQYPMO-UHFFFAOYSA-N 0 0 428.433 -0.641 20 0 IBADRN CC(=O)NCCN(Cc1ccc(C)s1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000512058021 1071461828 /nfs/dbraw/zinc/46/18/28/1071461828.db2.gz BUXDHQNICSJLBP-UHFFFAOYSA-N 0 0 432.506 -0.031 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCO[C@H](CNc3nccn(C)c3=O)C2)s1 ZINC000512073109 1071462732 /nfs/dbraw/zinc/46/27/32/1071462732.db2.gz XCQWLIXQVJTDAE-GFCCVEGCSA-N 0 0 435.510 -0.289 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCO[C@@H](CNc3nccn(C)c3=O)C2)s1 ZINC000512073110 1071462835 /nfs/dbraw/zinc/46/28/35/1071462835.db2.gz XCQWLIXQVJTDAE-LBPRGKRZSA-N 0 0 435.510 -0.289 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000512075259 1071462804 /nfs/dbraw/zinc/46/28/04/1071462804.db2.gz IWLCHFLJSIYJKG-IYBDPMFKSA-N 0 0 425.511 -0.657 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000512075716 1071462941 /nfs/dbraw/zinc/46/29/41/1071462941.db2.gz KHAZMCZTSYNYLI-UHFFFAOYSA-N 0 0 444.579 -0.274 20 0 IBADRN CC(=O)NCCN(Cc1ccc(C)s1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000512078218 1071462783 /nfs/dbraw/zinc/46/27/83/1071462783.db2.gz SUUDQUVEQMKORT-UHFFFAOYSA-N 0 0 432.506 -0.031 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc2ncsc2c1 ZINC000512079821 1071463656 /nfs/dbraw/zinc/46/36/56/1071463656.db2.gz RUFNQOWRFXPARK-GFCCVEGCSA-N 0 0 428.478 -0.169 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc2ncsc2c1 ZINC000512079822 1071463422 /nfs/dbraw/zinc/46/34/22/1071463422.db2.gz RUFNQOWRFXPARK-LBPRGKRZSA-N 0 0 428.478 -0.169 20 0 IBADRN COc1ccnc(N2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)n1 ZINC000512086017 1071463400 /nfs/dbraw/zinc/46/34/00/1071463400.db2.gz ABLNIYJFQXVJQN-UHFFFAOYSA-N 0 0 425.243 -0.141 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000512087590 1071463502 /nfs/dbraw/zinc/46/35/02/1071463502.db2.gz GAADODKOHMKBSU-MRXNPFEDSA-N 0 0 438.554 -0.157 20 0 IBADRN COc1ccnc(N2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)n1 ZINC000512088011 1071463644 /nfs/dbraw/zinc/46/36/44/1071463644.db2.gz NDIDTBTXKLMEEA-UHFFFAOYSA-N 0 0 448.461 -0.611 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000512088119 1071463438 /nfs/dbraw/zinc/46/34/38/1071463438.db2.gz HRASXUJSTDSARK-INIZCTEOSA-N 0 0 438.554 -0.299 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000512088120 1071463596 /nfs/dbraw/zinc/46/35/96/1071463596.db2.gz HRASXUJSTDSARK-MRXNPFEDSA-N 0 0 438.554 -0.299 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)C[C@@H]1O ZINC000512089914 1071463589 /nfs/dbraw/zinc/46/35/89/1071463589.db2.gz UEIZRQAWLWYDLI-BEFAXECRSA-N 0 0 439.534 -0.003 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)C[C@H]1O ZINC000512089927 1071463371 /nfs/dbraw/zinc/46/33/71/1071463371.db2.gz UEIZRQAWLWYDLI-DNVCBOLYSA-N 0 0 439.534 -0.003 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)C[C@H]1O ZINC000512089928 1071463580 /nfs/dbraw/zinc/46/35/80/1071463580.db2.gz UEIZRQAWLWYDLI-HNAYVOBHSA-N 0 0 439.534 -0.003 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)C[C@@H]1O ZINC000512089931 1071464349 /nfs/dbraw/zinc/46/43/49/1071464349.db2.gz UEIZRQAWLWYDLI-KXBFYZLASA-N 0 0 439.534 -0.003 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000512092546 1071464352 /nfs/dbraw/zinc/46/43/52/1071464352.db2.gz VXGJWWBPHSWFIW-CYBMUJFWSA-N 0 0 445.567 -0.069 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000512092547 1071464361 /nfs/dbraw/zinc/46/43/61/1071464361.db2.gz VXGJWWBPHSWFIW-ZDUSSCGKSA-N 0 0 445.567 -0.069 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCO[C@H](CN(C)c3nccn(C)c3=O)C2)s1 ZINC000512092859 1071464281 /nfs/dbraw/zinc/46/42/81/1071464281.db2.gz WTOUCSSQLIUXML-CYBMUJFWSA-N 0 0 449.537 -0.265 20 0 IBADRN CC(C)c1nnc(CNC(=O)C(=O)N2CCO[C@@H](CN(C)c3nccn(C)c3=O)C2)s1 ZINC000512092860 1071464512 /nfs/dbraw/zinc/46/45/12/1071464512.db2.gz WTOUCSSQLIUXML-ZDUSSCGKSA-N 0 0 449.537 -0.265 20 0 IBADRN CCn1cc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cnn(CC)c3)CC2)cn1 ZINC000512095322 1071464367 /nfs/dbraw/zinc/46/43/67/1071464367.db2.gz FRCVRPURKPMFGB-UHFFFAOYSA-N 0 0 437.526 -0.363 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000512104790 1071464501 /nfs/dbraw/zinc/46/45/01/1071464501.db2.gz JOWDXXVAEMNOON-HNNXBMFYSA-N 0 0 439.542 -0.904 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000512104791 1071464417 /nfs/dbraw/zinc/46/44/17/1071464417.db2.gz JOWDXXVAEMNOON-OAHLLOKOSA-N 0 0 439.542 -0.904 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000512105613 1071464383 /nfs/dbraw/zinc/46/43/83/1071464383.db2.gz MOCSXXJRZPIAQG-OAHLLOKOSA-N 0 0 439.542 -0.762 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000512129236 1071465877 /nfs/dbraw/zinc/46/58/77/1071465877.db2.gz JVPAIWYVHKRWED-LLVKDONJSA-N 0 0 446.425 -0.207 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000512129237 1071465907 /nfs/dbraw/zinc/46/59/07/1071465907.db2.gz JVPAIWYVHKRWED-NSHDSACASA-N 0 0 446.425 -0.207 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000512130472 1071465939 /nfs/dbraw/zinc/46/59/39/1071465939.db2.gz OYBIUXWFSNMIGH-LLVKDONJSA-N 0 0 430.426 -0.087 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000512130476 1071465953 /nfs/dbraw/zinc/46/59/53/1071465953.db2.gz OYBIUXWFSNMIGH-NSHDSACASA-N 0 0 430.426 -0.087 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000512131465 1071466642 /nfs/dbraw/zinc/46/66/42/1071466642.db2.gz RNRWUMOJRVIMPE-CYBMUJFWSA-N 0 0 433.504 -0.059 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@H]1CS(=O)(=O)CCO1 ZINC000512131468 1071466636 /nfs/dbraw/zinc/46/66/36/1071466636.db2.gz RNRWUMOJRVIMPE-ZDUSSCGKSA-N 0 0 433.504 -0.059 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)CCCC1 ZINC000512132588 1071465962 /nfs/dbraw/zinc/46/59/62/1071465962.db2.gz VLAFIECEXJYSBZ-CYBMUJFWSA-N 0 0 435.524 -0.188 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)NC[C@H]2CS(=O)(=O)CCO2)CCCC1 ZINC000512132589 1071465927 /nfs/dbraw/zinc/46/59/27/1071465927.db2.gz VLAFIECEXJYSBZ-ZDUSSCGKSA-N 0 0 435.524 -0.188 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1 ZINC000512132672 1071465806 /nfs/dbraw/zinc/46/58/06/1071465806.db2.gz WLEZETITLXZNOG-CYBMUJFWSA-N 0 0 434.492 -0.929 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1 ZINC000512132673 1071465960 /nfs/dbraw/zinc/46/59/60/1071465960.db2.gz WLEZETITLXZNOG-ZDUSSCGKSA-N 0 0 434.492 -0.929 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000512133236 1071466691 /nfs/dbraw/zinc/46/66/91/1071466691.db2.gz UUOWSIIFGZAVHM-CYBMUJFWSA-N 0 0 448.519 -0.554 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NC[C@H]1CS(=O)(=O)CCO1 ZINC000512133237 1071466621 /nfs/dbraw/zinc/46/66/21/1071466621.db2.gz UUOWSIIFGZAVHM-ZDUSSCGKSA-N 0 0 448.519 -0.554 20 0 IBADRN COCCN1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1=O ZINC000512135140 1071466579 /nfs/dbraw/zinc/46/65/79/1071466579.db2.gz FYLCTJNLGUZXEO-UHFFFAOYSA-N 0 0 431.536 -0.371 20 0 IBADRN COCCN1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1=O ZINC000512135567 1071466650 /nfs/dbraw/zinc/46/66/50/1071466650.db2.gz LZTHAIKZDKJZIS-UHFFFAOYSA-N 0 0 427.527 -0.256 20 0 IBADRN COCCN1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1=O ZINC000512135692 1071466610 /nfs/dbraw/zinc/46/66/10/1071466610.db2.gz NOPPOIYXLZWUFS-UHFFFAOYSA-N 0 0 431.536 -0.237 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cn1C ZINC000512137388 1071466551 /nfs/dbraw/zinc/46/65/51/1071466551.db2.gz FEVVEKIMOBLLQG-HNNXBMFYSA-N 0 0 427.527 -0.506 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cn1C ZINC000512137389 1071466500 /nfs/dbraw/zinc/46/65/00/1071466500.db2.gz FEVVEKIMOBLLQG-OAHLLOKOSA-N 0 0 427.527 -0.506 20 0 IBADRN COCCN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1=O ZINC000512138207 1071466536 /nfs/dbraw/zinc/46/65/36/1071466536.db2.gz YGWKTQYHVTURNC-UHFFFAOYSA-N 0 0 426.495 -0.899 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(CCOC)C(=O)C2)cc1 ZINC000512148479 1071467022 /nfs/dbraw/zinc/46/70/22/1071467022.db2.gz XGLXAFFHOZPTKF-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000512148735 1071467191 /nfs/dbraw/zinc/46/71/91/1071467191.db2.gz YJRNOVOIHJMFNB-MRVPVSSYSA-N 0 0 447.354 -0.281 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000512148747 1071467349 /nfs/dbraw/zinc/46/73/49/1071467349.db2.gz YJRNOVOIHJMFNB-QMMMGPOBSA-N 0 0 447.354 -0.281 20 0 IBADRN COCCN1CCN(C(=O)c2ccc(C(=O)N3CCN(CCOC)C(=O)C3)o2)CC1=O ZINC000512149423 1073370605 /nfs/dbraw/zinc/37/06/05/1073370605.db2.gz RMQXGKJPUNAGFY-UHFFFAOYSA-N 0 0 436.465 -0.859 20 0 IBADRN O=C(CN1CCN(CC(F)(F)C(F)F)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000512153196 1071467388 /nfs/dbraw/zinc/46/73/88/1071467388.db2.gz HZACVAMBDKIOQK-AWEZNQCLSA-N 0 0 444.495 -0.164 20 0 IBADRN O=C(CN1CCN(CC(F)(F)C(F)F)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000512153198 1071467175 /nfs/dbraw/zinc/46/71/75/1071467175.db2.gz HZACVAMBDKIOQK-CQSZACIVSA-N 0 0 444.495 -0.164 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000512156786 1071468301 /nfs/dbraw/zinc/46/83/01/1071468301.db2.gz SMMYVXZKQRRJOV-DZGCQCFKSA-N 0 0 429.543 -0.213 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000512156787 1071468154 /nfs/dbraw/zinc/46/81/54/1071468154.db2.gz SMMYVXZKQRRJOV-HIFRSBDPSA-N 0 0 429.543 -0.213 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000512156788 1071468139 /nfs/dbraw/zinc/46/81/39/1071468139.db2.gz SMMYVXZKQRRJOV-UKRRQHHQSA-N 0 0 429.543 -0.213 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000512156789 1071468366 /nfs/dbraw/zinc/46/83/66/1071468366.db2.gz SMMYVXZKQRRJOV-ZFWWWQNUSA-N 0 0 429.543 -0.213 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2cccc(C(=O)N3CCOCC3)c2)cn1C ZINC000512157865 1071468166 /nfs/dbraw/zinc/46/81/66/1071468166.db2.gz HURWSKXEOYCVJC-UHFFFAOYSA-N 0 0 435.506 -0.204 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(CC(F)(F)C(F)F)CC2)c(=O)[nH]c1=O ZINC000512164778 1071468349 /nfs/dbraw/zinc/46/83/49/1071468349.db2.gz NEIXUFVXUXGISJ-UHFFFAOYSA-N 0 0 425.383 -0.122 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3COC[C@H]3O)CC2)cc1 ZINC000512165662 1071468315 /nfs/dbraw/zinc/46/83/15/1071468315.db2.gz HGWZEBTUNKNTDX-QZTJIDSGSA-N 0 0 427.523 -0.390 20 0 IBADRN COCCN1CCN(C(=O)CCC(C)(C)C(=O)N2CCN(CCOC)C(=O)C2)CC1=O ZINC000512165998 1071468376 /nfs/dbraw/zinc/46/83/76/1071468376.db2.gz SEIZMNLNHUWCQT-UHFFFAOYSA-N 0 0 440.541 -0.573 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000512166366 1071469793 /nfs/dbraw/zinc/46/97/93/1071469793.db2.gz SNJUGEQMNXMNAW-ARFHVFGLSA-N 0 0 429.539 -0.587 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000512166369 1071469032 /nfs/dbraw/zinc/46/90/32/1071469032.db2.gz SNJUGEQMNXMNAW-BZUAXINKSA-N 0 0 429.539 -0.587 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000512166370 1071469080 /nfs/dbraw/zinc/46/90/80/1071469080.db2.gz SNJUGEQMNXMNAW-HRCADAONSA-N 0 0 429.539 -0.587 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000512166371 1071468911 /nfs/dbraw/zinc/46/89/11/1071468911.db2.gz SNJUGEQMNXMNAW-OWCLPIDISA-N 0 0 429.539 -0.587 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H]1COC[C@H]1O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000512168495 1071469813 /nfs/dbraw/zinc/46/98/13/1071469813.db2.gz KPSNHXSPNBJLTH-HZPDHXFCSA-N 0 0 447.492 -0.750 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)c1=O ZINC000512168685 1071469623 /nfs/dbraw/zinc/46/96/23/1071469623.db2.gz DBTVZDCFYLTEGG-UHFFFAOYSA-N 0 0 426.426 -0.654 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cn1C ZINC000512170719 1071469651 /nfs/dbraw/zinc/46/96/51/1071469651.db2.gz DANBKYYHRAAESB-GFCCVEGCSA-N 0 0 426.451 -0.708 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cn1C ZINC000512170723 1071469736 /nfs/dbraw/zinc/46/97/36/1071469736.db2.gz DANBKYYHRAAESB-LBPRGKRZSA-N 0 0 426.451 -0.708 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3COC[C@H]3O)CC2)cc1OC ZINC000512171834 1071470590 /nfs/dbraw/zinc/47/05/90/1071470590.db2.gz ZATJOIWUCDYYCA-HZPDHXFCSA-N 0 0 443.522 -0.772 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cn1C ZINC000512172946 1071470538 /nfs/dbraw/zinc/47/05/38/1071470538.db2.gz QOJXOQFYNQSIPJ-CYBMUJFWSA-N 0 0 440.478 -0.318 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cn1C ZINC000512172947 1071470482 /nfs/dbraw/zinc/47/04/82/1071470482.db2.gz QOJXOQFYNQSIPJ-ZDUSSCGKSA-N 0 0 440.478 -0.318 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N(C)[C@@H]2COC[C@H]2O)c(=O)[nH]c1=O ZINC000512178722 1071471392 /nfs/dbraw/zinc/47/13/92/1071471392.db2.gz JKOFWDCWCFNECH-BFHYXJOUSA-N 0 0 427.502 -0.609 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N(C)[C@@H]2COC[C@H]2O)c(=O)[nH]c1=O ZINC000512178725 1071471228 /nfs/dbraw/zinc/47/12/28/1071471228.db2.gz JKOFWDCWCFNECH-MGPQQGTHSA-N 0 0 427.502 -0.609 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)N1CCN(c2cncc3ncnn32)CC1 ZINC000512180449 1071472391 /nfs/dbraw/zinc/47/23/91/1071472391.db2.gz FFVIOBUHJHGOJO-CQSZACIVSA-N 0 0 434.526 -0.088 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000512180920 1071472414 /nfs/dbraw/zinc/47/24/14/1071472414.db2.gz FZRQNOYYFOTQMJ-OAHLLOKOSA-N 0 0 431.559 -0.967 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000512185417 1071473089 /nfs/dbraw/zinc/47/30/89/1071473089.db2.gz RDQRFYJJOKFLTL-GFCCVEGCSA-N 0 0 449.327 -0.068 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000512185426 1071473107 /nfs/dbraw/zinc/47/31/07/1071473107.db2.gz RDQRFYJJOKFLTL-LBPRGKRZSA-N 0 0 449.327 -0.068 20 0 IBADRN CN(CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)[C@@H]1COC[C@H]1O ZINC000512194198 1071475629 /nfs/dbraw/zinc/47/56/29/1071475629.db2.gz HAEIOCMADIVWET-HZPDHXFCSA-N 0 0 433.914 -0.009 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)N1CCN(c2ccc3nncn3n2)CC1 ZINC000512203313 1071475714 /nfs/dbraw/zinc/47/57/14/1071475714.db2.gz QHGRFDWZDMKLBT-CQSZACIVSA-N 0 0 434.526 -0.088 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000512209665 1071475662 /nfs/dbraw/zinc/47/56/62/1071475662.db2.gz RLFFBNFSHNIBHL-CQSZACIVSA-N 0 0 436.538 -0.031 20 0 IBADRN C[C@@]1(C2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)CC2)NC(=O)NC1=O ZINC000512210328 1071476328 /nfs/dbraw/zinc/47/63/28/1071476328.db2.gz CQBHIJUDWCFWMQ-ACJLOTCBSA-N 0 0 427.527 -0.037 20 0 IBADRN C[C@]1(C2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)CC2)NC(=O)NC1=O ZINC000512210340 1071476404 /nfs/dbraw/zinc/47/64/04/1071476404.db2.gz CQBHIJUDWCFWMQ-FZKQIMNGSA-N 0 0 427.527 -0.037 20 0 IBADRN O=C(CN1C(=O)Cn2c(cc3ccccc32)C1=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000512212323 1071476411 /nfs/dbraw/zinc/47/64/11/1071476411.db2.gz ADWUCMMQIFKDKC-UHFFFAOYSA-N 0 0 437.456 -0.427 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@@H]2COC[C@H]2O)cc1S(=O)(=O)N1CCOCC1 ZINC000512213455 1071476490 /nfs/dbraw/zinc/47/64/90/1071476490.db2.gz RQVIHZQUGKYCAB-HUUCEWRRSA-N 0 0 429.495 -0.654 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3COC[C@H]3O)CC2)c1 ZINC000512217546 1071476510 /nfs/dbraw/zinc/47/65/10/1071476510.db2.gz GATIZAINDMLBMU-HZPDHXFCSA-N 0 0 443.522 -0.772 20 0 IBADRN Cn1cc2c(n1)CCC[C@@H]2NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000512218282 1071476547 /nfs/dbraw/zinc/47/65/47/1071476547.db2.gz UJYCBGFOXRLGIX-INIZCTEOSA-N 0 0 425.559 -0.383 20 0 IBADRN Cn1cc2c(n1)CCC[C@H]2NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000512218283 1071476441 /nfs/dbraw/zinc/47/64/41/1071476441.db2.gz UJYCBGFOXRLGIX-MRXNPFEDSA-N 0 0 425.559 -0.383 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3COC[C@H]3O)CC2)cc1 ZINC000512221551 1071476572 /nfs/dbraw/zinc/47/65/72/1071476572.db2.gz YZDYHGXSDURCDR-QZTJIDSGSA-N 0 0 425.507 -0.586 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)s1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000512221868 1071477460 /nfs/dbraw/zinc/47/74/60/1071477460.db2.gz MDLYEQRMYKLRLL-UHFFFAOYSA-N 0 0 434.927 -0.371 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCN2CCN(c3ncccn3)CC2)cn1C ZINC000512222716 1071477422 /nfs/dbraw/zinc/47/74/22/1071477422.db2.gz WAJQUYZVOQLDKU-UHFFFAOYSA-N 0 0 436.542 -0.875 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCCn2nc3n(c2=O)CCCC3)cn1C ZINC000512223041 1071477355 /nfs/dbraw/zinc/47/73/55/1071477355.db2.gz ZVESOIQHMYXYOC-UHFFFAOYSA-N 0 0 425.515 -0.702 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3COC[C@H]3O)CC2)c1 ZINC000512229591 1071477259 /nfs/dbraw/zinc/47/72/59/1071477259.db2.gz REQNLMCMVAVPTN-QZTJIDSGSA-N 0 0 425.507 -0.586 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000512234278 1071478119 /nfs/dbraw/zinc/47/81/19/1071478119.db2.gz LPUOHVLNJSAAPD-UHFFFAOYSA-N 0 0 448.545 -0.520 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cc(N3CCCS3(=O)=O)ccc2O)cn1C ZINC000512235627 1071479112 /nfs/dbraw/zinc/47/91/12/1071479112.db2.gz RXOHWHBFECMJHL-UHFFFAOYSA-N 0 0 443.507 -0.109 20 0 IBADRN COc1ccc(NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cc1OCC(N)=O ZINC000512236006 1071479249 /nfs/dbraw/zinc/47/92/49/1071479249.db2.gz UUVWQORQBDZLMG-UHFFFAOYSA-N 0 0 425.467 -0.092 20 0 IBADRN CCOC(=O)c1c(NC(=O)Cn2ccc(=O)n(C)c2=O)sc2c1CCS(=O)(=O)C2 ZINC000512242236 1071479169 /nfs/dbraw/zinc/47/91/69/1071479169.db2.gz HIKXSPQTYVGXQZ-UHFFFAOYSA-N 0 0 441.487 -0.105 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@](O)(C(F)(F)F)CC2)CC1)N1CCOCC1 ZINC000512245658 1073370522 /nfs/dbraw/zinc/37/05/22/1073370522.db2.gz RMXXCYMDKZEEDU-GOSISDBHSA-N 0 0 436.475 -0.231 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@@](O)(C(F)(F)F)CC2)CC1)N1CCOCC1 ZINC000512245659 1073370578 /nfs/dbraw/zinc/37/05/78/1073370578.db2.gz RMXXCYMDKZEEDU-SFHVURJKSA-N 0 0 436.475 -0.231 20 0 IBADRN COc1ccccc1OC[C@@H](C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000512251584 1071478992 /nfs/dbraw/zinc/47/89/92/1071478992.db2.gz YBIIWANAEYKWKP-HNNXBMFYSA-N 0 0 446.504 -0.224 20 0 IBADRN COc1ccccc1OC[C@H](C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000512251587 1071479219 /nfs/dbraw/zinc/47/92/19/1071479219.db2.gz YBIIWANAEYKWKP-OAHLLOKOSA-N 0 0 446.504 -0.224 20 0 IBADRN COc1ccccc1OC[C@@H](C)CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000512259714 1071479707 /nfs/dbraw/zinc/47/97/07/1071479707.db2.gz KACKJQLAMOCAER-HNNXBMFYSA-N 0 0 445.480 -0.816 20 0 IBADRN COc1ccccc1OC[C@H](C)CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000512259715 1071479679 /nfs/dbraw/zinc/47/96/79/1071479679.db2.gz KACKJQLAMOCAER-OAHLLOKOSA-N 0 0 445.480 -0.816 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000512264206 1071479728 /nfs/dbraw/zinc/47/97/28/1071479728.db2.gz LPTOXJBJPYYEHS-UHFFFAOYSA-N 0 0 449.476 -0.081 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000512265533 1071479798 /nfs/dbraw/zinc/47/97/98/1071479798.db2.gz PRNFRTYMQJTTPV-GOSISDBHSA-N 0 0 435.477 -0.381 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000512265534 1071479744 /nfs/dbraw/zinc/47/97/44/1071479744.db2.gz PRNFRTYMQJTTPV-SFHVURJKSA-N 0 0 435.477 -0.381 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000512267191 1071479946 /nfs/dbraw/zinc/47/99/46/1071479946.db2.gz WIFWOCSRGDXPGG-UHFFFAOYSA-N 0 0 431.486 -0.220 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000512269954 1071479898 /nfs/dbraw/zinc/47/98/98/1071479898.db2.gz LEOOQNJJTFSUGI-HNNXBMFYSA-N 0 0 430.552 -0.728 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000512269955 1071479967 /nfs/dbraw/zinc/47/99/67/1071479967.db2.gz LEOOQNJJTFSUGI-OAHLLOKOSA-N 0 0 430.552 -0.728 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000512272811 1071480761 /nfs/dbraw/zinc/48/07/61/1071480761.db2.gz VGRGKTPDSIKHDJ-HNNXBMFYSA-N 0 0 448.542 -0.589 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000512272812 1071480676 /nfs/dbraw/zinc/48/06/76/1071480676.db2.gz VGRGKTPDSIKHDJ-OAHLLOKOSA-N 0 0 448.542 -0.589 20 0 IBADRN O=C(CN1CCC[C@H]1C(=O)Nc1nccs1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000512273059 1071480703 /nfs/dbraw/zinc/48/07/03/1071480703.db2.gz XIZIGGFZUQODFC-CABCVRRESA-N 0 0 441.579 -0.123 20 0 IBADRN O=C(CN1CCC[C@H]1C(=O)Nc1nccs1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000512273060 1071480665 /nfs/dbraw/zinc/48/06/65/1071480665.db2.gz XIZIGGFZUQODFC-GJZGRUSLSA-N 0 0 441.579 -0.123 20 0 IBADRN O=C(CN1CCC[C@@H]1C(=O)Nc1nccs1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000512273061 1071480775 /nfs/dbraw/zinc/48/07/75/1071480775.db2.gz XIZIGGFZUQODFC-HUUCEWRRSA-N 0 0 441.579 -0.123 20 0 IBADRN O=C(CN1CCC[C@@H]1C(=O)Nc1nccs1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000512273062 1071480825 /nfs/dbraw/zinc/48/08/25/1071480825.db2.gz XIZIGGFZUQODFC-LSDHHAIUSA-N 0 0 441.579 -0.123 20 0 IBADRN CCN(Cc1ccccc1)C(=O)CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000512277081 1071480645 /nfs/dbraw/zinc/48/06/45/1071480645.db2.gz LEBCWXFDUNIWGJ-UHFFFAOYSA-N 0 0 426.477 -0.011 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000512278506 1071481518 /nfs/dbraw/zinc/48/15/18/1071481518.db2.gz JYSWOPDTKCFJCF-UHFFFAOYSA-N 0 0 431.486 -0.220 20 0 IBADRN Cc1cc(N2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)n2ncnc2n1 ZINC000512280468 1071481313 /nfs/dbraw/zinc/48/13/13/1071481313.db2.gz KPCXCSJFNJLCHB-UHFFFAOYSA-N 0 0 449.269 -0.194 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCC[C@H]1CS(N)(=O)=O ZINC000512281203 1071481446 /nfs/dbraw/zinc/48/14/46/1071481446.db2.gz QNMYYIMATGCYQC-HNNXBMFYSA-N 0 0 444.579 -0.031 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCC[C@@H]1CS(N)(=O)=O ZINC000512281204 1071481485 /nfs/dbraw/zinc/48/14/85/1071481485.db2.gz QNMYYIMATGCYQC-OAHLLOKOSA-N 0 0 444.579 -0.031 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@H]2CS(N)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000512283185 1071481533 /nfs/dbraw/zinc/48/15/33/1071481533.db2.gz ZAEYRKDDGTVCAD-AWEZNQCLSA-N 0 0 435.506 -0.887 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@H]2CS(N)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000512283186 1071481489 /nfs/dbraw/zinc/48/14/89/1071481489.db2.gz ZAEYRKDDGTVCAD-CQSZACIVSA-N 0 0 435.506 -0.887 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000512283270 1071481500 /nfs/dbraw/zinc/48/15/00/1071481500.db2.gz ZWPRFOKEWDGIGA-AWEZNQCLSA-N 0 0 448.542 -0.589 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000512283271 1071481398 /nfs/dbraw/zinc/48/13/98/1071481398.db2.gz ZWPRFOKEWDGIGA-CQSZACIVSA-N 0 0 448.542 -0.589 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000512284603 1071481343 /nfs/dbraw/zinc/48/13/43/1071481343.db2.gz YQUFLJWBXQVHRJ-UHFFFAOYSA-N 0 0 443.522 -0.351 20 0 IBADRN COCCN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000512284704 1071481288 /nfs/dbraw/zinc/48/12/88/1071481288.db2.gz ZJPAWWGYYIYBMO-UHFFFAOYSA-N 0 0 432.499 -0.754 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCC(F)(F)C3)CC2)CC1 ZINC000512287313 1071482127 /nfs/dbraw/zinc/48/21/27/1071482127.db2.gz HGKICMPPGFEQQD-AWEZNQCLSA-N 0 0 437.513 -0.826 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCC(F)(F)C3)CC2)CC1 ZINC000512287317 1071482421 /nfs/dbraw/zinc/48/24/21/1071482421.db2.gz HGKICMPPGFEQQD-CQSZACIVSA-N 0 0 437.513 -0.826 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1C ZINC000512289239 1071482141 /nfs/dbraw/zinc/48/21/41/1071482141.db2.gz KUUDTZQCNWJMPN-UHFFFAOYSA-N 0 0 449.537 -0.743 20 0 IBADRN Cc1cc(N2CCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)n2ncnc2n1 ZINC000512296135 1071482264 /nfs/dbraw/zinc/48/22/64/1071482264.db2.gz UCFOXQQVSIICPD-UHFFFAOYSA-N 0 0 444.477 -0.633 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n2ncnc2n1 ZINC000512297244 1071482338 /nfs/dbraw/zinc/48/23/38/1071482338.db2.gz AHGZLHUTASTEKH-KRWDZBQOSA-N 0 0 442.524 -0.738 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000512300143 1071482369 /nfs/dbraw/zinc/48/23/69/1071482369.db2.gz DEXILZGMTOOEEL-KRWDZBQOSA-N 0 0 447.536 -0.114 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000512300144 1071482209 /nfs/dbraw/zinc/48/22/09/1071482209.db2.gz DEXILZGMTOOEEL-QGZVFWFLSA-N 0 0 447.536 -0.114 20 0 IBADRN O=C(CN1CCN(Cc2ncc[nH]2)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000512301220 1071482179 /nfs/dbraw/zinc/48/21/79/1071482179.db2.gz IQFGMUFJGGLVFL-DLBZAZTESA-N 0 0 425.555 -0.278 20 0 IBADRN O=C(CN1CCN(Cc2ncc[nH]2)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000512301231 1071482327 /nfs/dbraw/zinc/48/23/27/1071482327.db2.gz IQFGMUFJGGLVFL-IAGOWNOFSA-N 0 0 425.555 -0.278 20 0 IBADRN O=C(CN1CCN(Cc2ncc[nH]2)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000512301234 1071482411 /nfs/dbraw/zinc/48/24/11/1071482411.db2.gz IQFGMUFJGGLVFL-IRXDYDNUSA-N 0 0 425.555 -0.278 20 0 IBADRN O=C(CN1CCN(Cc2ncc[nH]2)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000512301236 1071482402 /nfs/dbraw/zinc/48/24/02/1071482402.db2.gz IQFGMUFJGGLVFL-SJORKVTESA-N 0 0 425.555 -0.278 20 0 IBADRN O=C(CN1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1)N1CCCC1 ZINC000512301700 1071482293 /nfs/dbraw/zinc/48/22/93/1071482293.db2.gz VENZFXJVOHPZGJ-UHFFFAOYSA-N 0 0 448.549 -0.002 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H]2CC[C@@H](c3nc(C4CC4)no3)O2)CC1 ZINC000512303353 1071482980 /nfs/dbraw/zinc/48/29/80/1071482980.db2.gz SFLYELZVIVRJJC-KGLIPLIRSA-N 0 0 431.457 -0.292 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000512306191 1071483077 /nfs/dbraw/zinc/48/30/77/1071483077.db2.gz KSTDJCGZUYHNCO-HNNXBMFYSA-N 0 0 434.522 -0.394 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000512306198 1071483240 /nfs/dbraw/zinc/48/32/40/1071483240.db2.gz KSTDJCGZUYHNCO-OAHLLOKOSA-N 0 0 434.522 -0.394 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(Cc3ncc[nH]3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000512307703 1071482107 /nfs/dbraw/zinc/48/21/07/1071482107.db2.gz FFZPTKHKRRCNJG-UHFFFAOYSA-N 0 0 437.504 -0.099 20 0 IBADRN O=C(c1nc[nH]n1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000512308353 1071483226 /nfs/dbraw/zinc/48/32/26/1071483226.db2.gz JFULUYVFPBUQND-UHFFFAOYSA-N 0 0 426.842 -0.064 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000512319525 1071483786 /nfs/dbraw/zinc/48/37/86/1071483786.db2.gz IYIMPZDMQQPYIF-UHFFFAOYSA-N 0 0 448.549 -0.098 20 0 IBADRN CC(C)(CNC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCS(=O)CC1 ZINC000512320253 1071483940 /nfs/dbraw/zinc/48/39/40/1071483940.db2.gz BGUKCRXISNJCMA-UHFFFAOYSA-N 0 0 427.552 -0.200 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCCCc2ccc(F)c(F)c2)CC1 ZINC000512326308 1071484576 /nfs/dbraw/zinc/48/45/76/1071484576.db2.gz ZWLBCDKQHZMZNL-UHFFFAOYSA-N 0 0 435.435 -0.239 20 0 IBADRN C[C@H]1CN(CC(=O)NCC(=O)NC(C)(C)C)CCN1CC(=O)NCC(=O)NC(C)(C)C ZINC000512327776 1071484624 /nfs/dbraw/zinc/48/46/24/1071484624.db2.gz FSYKBFBNTFIESI-HNNXBMFYSA-N 0 0 440.589 -0.946 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCCC(C)(C)O)CC3)C2=O)n(C)n1 ZINC000512337345 1071485362 /nfs/dbraw/zinc/48/53/62/1071485362.db2.gz ROUKHXCMXAUIGJ-INIZCTEOSA-N 0 0 434.541 -0.355 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCCC(C)(C)O)CC3)C2=O)n(C)n1 ZINC000512337351 1071485476 /nfs/dbraw/zinc/48/54/76/1071485476.db2.gz ROUKHXCMXAUIGJ-MRXNPFEDSA-N 0 0 434.541 -0.355 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000512341269 1071485224 /nfs/dbraw/zinc/48/52/24/1071485224.db2.gz OHFZSGBPXQVZBZ-DLBZAZTESA-N 0 0 441.554 -0.310 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000512341271 1071485371 /nfs/dbraw/zinc/48/53/71/1071485371.db2.gz OHFZSGBPXQVZBZ-IAGOWNOFSA-N 0 0 441.554 -0.310 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000512341273 1071485242 /nfs/dbraw/zinc/48/52/42/1071485242.db2.gz OHFZSGBPXQVZBZ-IRXDYDNUSA-N 0 0 441.554 -0.310 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000512341275 1071485400 /nfs/dbraw/zinc/48/54/00/1071485400.db2.gz OHFZSGBPXQVZBZ-SJORKVTESA-N 0 0 441.554 -0.310 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCCC(C)(C)O)CC2)c1 ZINC000512341911 1071485271 /nfs/dbraw/zinc/48/52/71/1071485271.db2.gz RZDJLJHWRHMSEZ-UHFFFAOYSA-N 0 0 443.522 -0.186 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000512342380 1071487731 /nfs/dbraw/zinc/48/77/31/1071487731.db2.gz JBEOZOAINCFUKC-UHFFFAOYSA-N 0 0 446.957 -0.133 20 0 IBADRN Cc1nc(CN2CCN(CC(=O)N3CCN(c4ncnc5c4cnn5C)CC3)CC2)no1 ZINC000512342815 1071486295 /nfs/dbraw/zinc/48/62/95/1071486295.db2.gz XUFGLJQVOSTMRM-UHFFFAOYSA-N 0 0 440.512 -0.479 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccccc2F)CC1 ZINC000512343518 1071487720 /nfs/dbraw/zinc/48/77/20/1071487720.db2.gz PNALJQXITJXUIM-UHFFFAOYSA-N 0 0 430.502 -0.599 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000512350617 1071490267 /nfs/dbraw/zinc/49/02/67/1071490267.db2.gz RUVXKBSOPWHDLG-AWEZNQCLSA-N 0 0 446.957 -0.086 20 0 IBADRN CC(C)(O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000512351182 1071489394 /nfs/dbraw/zinc/48/93/94/1071489394.db2.gz CQQKZJWSDJCLSR-UHFFFAOYSA-N 0 0 441.535 -0.199 20 0 IBADRN C[C@H](Sc1ccccc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(N)=O ZINC000512353127 1071491045 /nfs/dbraw/zinc/49/10/45/1071491045.db2.gz OTUSYUZBCYABFS-AWEZNQCLSA-N 0 0 434.518 -0.204 20 0 IBADRN C[C@@H](Sc1ccccc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(N)=O ZINC000512353129 1071490987 /nfs/dbraw/zinc/49/09/87/1071490987.db2.gz OTUSYUZBCYABFS-CQSZACIVSA-N 0 0 434.518 -0.204 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000512354041 1071491605 /nfs/dbraw/zinc/49/16/05/1071491605.db2.gz WJNJAZXCZWIIHB-UHFFFAOYSA-N 0 0 427.509 -0.200 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C)c1 ZINC000512356940 1071491842 /nfs/dbraw/zinc/49/18/42/1071491842.db2.gz REWFPGUXBZPCHS-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc3c(nc4ccccn4c3=O)n2C)CC1 ZINC000512360170 1071493166 /nfs/dbraw/zinc/49/31/66/1071493166.db2.gz SZEPZEAMPJSBHQ-UHFFFAOYSA-N 0 0 426.477 -0.293 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCC(=O)N2CC(=O)Nc3ccccc32)CC1 ZINC000512360584 1071493961 /nfs/dbraw/zinc/49/39/61/1071493961.db2.gz ZLGZKPVPYDXFCD-UHFFFAOYSA-N 0 0 431.493 -0.341 20 0 IBADRN COCCNC(=O)CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000512361795 1071494026 /nfs/dbraw/zinc/49/40/26/1071494026.db2.gz DZNAATJZLXVCCG-UHFFFAOYSA-N 0 0 429.495 -0.215 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000512362363 1071494032 /nfs/dbraw/zinc/49/40/32/1071494032.db2.gz RKXSMJWOHICHDP-UHFFFAOYSA-N 0 0 428.530 -0.077 20 0 IBADRN CN(CCN1CCOCC1)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000512362770 1071494014 /nfs/dbraw/zinc/49/40/14/1071494014.db2.gz UOUCKUFTBQQYBD-UHFFFAOYSA-N 0 0 440.566 -0.412 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)C2=O)n1 ZINC000512363669 1071494055 /nfs/dbraw/zinc/49/40/55/1071494055.db2.gz YXHKNPFHADKGAN-HNNXBMFYSA-N 0 0 431.497 -0.608 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)C2=O)n1 ZINC000512363670 1071493995 /nfs/dbraw/zinc/49/39/95/1071493995.db2.gz YXHKNPFHADKGAN-OAHLLOKOSA-N 0 0 431.497 -0.608 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNC(=O)c2ccc(Br)o2)CC1 ZINC000512364569 1071493991 /nfs/dbraw/zinc/49/39/91/1071493991.db2.gz INNIEJHYCQJLSK-UHFFFAOYSA-N 0 0 431.287 -0.321 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000512366081 1071494735 /nfs/dbraw/zinc/49/47/35/1071494735.db2.gz HOLGDWFXOTYIQH-UHFFFAOYSA-N 0 0 438.553 -0.961 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)NCCS(=O)(=O)N3CCSCC3)C2=O)n1 ZINC000512366355 1071494794 /nfs/dbraw/zinc/49/47/94/1071494794.db2.gz BUQRBLMNBGMBTH-CYBMUJFWSA-N 0 0 430.556 -0.407 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)NCCS(=O)(=O)N3CCSCC3)C2=O)n1 ZINC000512366357 1071494818 /nfs/dbraw/zinc/49/48/18/1071494818.db2.gz BUQRBLMNBGMBTH-ZDUSSCGKSA-N 0 0 430.556 -0.407 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)CC2)o1 ZINC000512366705 1071494934 /nfs/dbraw/zinc/49/49/34/1071494934.db2.gz MJTQJPXCUBJJPJ-CHWSQXEVSA-N 0 0 439.494 -0.452 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)CC2)o1 ZINC000512366706 1071494772 /nfs/dbraw/zinc/49/47/72/1071494772.db2.gz MJTQJPXCUBJJPJ-OLZOCXBDSA-N 0 0 439.494 -0.452 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)CC2)o1 ZINC000512366707 1071494799 /nfs/dbraw/zinc/49/47/99/1071494799.db2.gz MJTQJPXCUBJJPJ-QWHCGFSZSA-N 0 0 439.494 -0.452 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)CC2)o1 ZINC000512366708 1071494786 /nfs/dbraw/zinc/49/47/86/1071494786.db2.gz MJTQJPXCUBJJPJ-STQMWFEESA-N 0 0 439.494 -0.452 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)NCCNS(=O)(=O)Cc3ccon3)C2=O)n1 ZINC000512366729 1071494926 /nfs/dbraw/zinc/49/49/26/1071494926.db2.gz DSKLUQCFESBCKC-CYBMUJFWSA-N 0 0 425.471 -0.678 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)NCCNS(=O)(=O)Cc3ccon3)C2=O)n1 ZINC000512366730 1071494803 /nfs/dbraw/zinc/49/48/03/1071494803.db2.gz DSKLUQCFESBCKC-ZDUSSCGKSA-N 0 0 425.471 -0.678 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2=O)n1 ZINC000512369647 1071495638 /nfs/dbraw/zinc/49/56/38/1071495638.db2.gz YUJPQGTUGKSYJT-CABCVRRESA-N 0 0 442.542 -0.297 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2=O)n1 ZINC000512369648 1071495527 /nfs/dbraw/zinc/49/55/27/1071495527.db2.gz YUJPQGTUGKSYJT-GJZGRUSLSA-N 0 0 442.542 -0.297 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2=O)n1 ZINC000512369649 1071495670 /nfs/dbraw/zinc/49/56/70/1071495670.db2.gz YUJPQGTUGKSYJT-HUUCEWRRSA-N 0 0 442.542 -0.297 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2=O)n1 ZINC000512369650 1071495496 /nfs/dbraw/zinc/49/54/96/1071495496.db2.gz YUJPQGTUGKSYJT-LSDHHAIUSA-N 0 0 442.542 -0.297 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000512371276 1071495446 /nfs/dbraw/zinc/49/54/46/1071495446.db2.gz GDNBWKQWBQWKIE-UHFFFAOYSA-N 0 0 432.525 -0.838 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000512373574 1071496165 /nfs/dbraw/zinc/49/61/65/1071496165.db2.gz MWOKSTLCOQXNTE-DLBZAZTESA-N 0 0 449.556 -0.216 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000512373575 1071496226 /nfs/dbraw/zinc/49/62/26/1071496226.db2.gz MWOKSTLCOQXNTE-IAGOWNOFSA-N 0 0 449.556 -0.216 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000512373576 1071496335 /nfs/dbraw/zinc/49/63/35/1071496335.db2.gz MWOKSTLCOQXNTE-IRXDYDNUSA-N 0 0 449.556 -0.216 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000512373577 1071496286 /nfs/dbraw/zinc/49/62/86/1071496286.db2.gz MWOKSTLCOQXNTE-SJORKVTESA-N 0 0 449.556 -0.216 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000512373893 1071496998 /nfs/dbraw/zinc/49/69/98/1071496998.db2.gz AYNAFFDPTOCNAY-UHFFFAOYSA-N 0 0 426.539 -0.566 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)CC2)C[C@@H](C)O1 ZINC000512376768 1071496989 /nfs/dbraw/zinc/49/69/89/1071496989.db2.gz LZWOCEPKNGFDER-QBPKDAKJSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)CC2)C[C@H](C)O1 ZINC000512376769 1071497112 /nfs/dbraw/zinc/49/71/12/1071497112.db2.gz LZWOCEPKNGFDER-TWMKSMIVSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)CC2)C[C@@H](C)O1 ZINC000512376770 1071497041 /nfs/dbraw/zinc/49/70/41/1071497041.db2.gz LZWOCEPKNGFDER-VQHPVUNQSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)CC2)C[C@H](C)O1 ZINC000512376771 1071497028 /nfs/dbraw/zinc/49/70/28/1071497028.db2.gz LZWOCEPKNGFDER-YYIAUSFCSA-N 0 0 443.570 -0.179 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000512376817 1071497779 /nfs/dbraw/zinc/49/77/79/1071497779.db2.gz MOBLMPWWMKGIBE-CVEARBPZSA-N 0 0 429.543 -0.520 20 0 IBADRN O=C(N[C@H]1CC(=O)N2CCCC[C@@H]12)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000512376821 1071497821 /nfs/dbraw/zinc/49/78/21/1071497821.db2.gz MOBLMPWWMKGIBE-HOTGVXAUSA-N 0 0 429.543 -0.520 20 0 IBADRN O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000512376823 1071497698 /nfs/dbraw/zinc/49/76/98/1071497698.db2.gz MOBLMPWWMKGIBE-HZPDHXFCSA-N 0 0 429.543 -0.520 20 0 IBADRN O=C(N[C@H]1CC(=O)N2CCCC[C@H]12)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000512376825 1071497730 /nfs/dbraw/zinc/49/77/30/1071497730.db2.gz MOBLMPWWMKGIBE-JKSUJKDBSA-N 0 0 429.543 -0.520 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)n1 ZINC000512377420 1071497107 /nfs/dbraw/zinc/49/71/07/1071497107.db2.gz HWQMBRNHIZLTOZ-INIZCTEOSA-N 0 0 433.513 -0.899 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)n1 ZINC000512377421 1071497077 /nfs/dbraw/zinc/49/70/77/1071497077.db2.gz HWQMBRNHIZLTOZ-MRXNPFEDSA-N 0 0 433.513 -0.899 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)C2=O)n1 ZINC000512377764 1071497012 /nfs/dbraw/zinc/49/70/12/1071497012.db2.gz KMHVMQNJKIQNNV-HNNXBMFYSA-N 0 0 426.481 -0.059 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)C2=O)n1 ZINC000512377770 1071497124 /nfs/dbraw/zinc/49/71/24/1071497124.db2.gz KMHVMQNJKIQNNV-OAHLLOKOSA-N 0 0 426.481 -0.059 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)C2=O)n1 ZINC000512378516 1071497665 /nfs/dbraw/zinc/49/76/65/1071497665.db2.gz QYHRTJUNVYZKJA-INIZCTEOSA-N 0 0 427.513 -0.173 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)C2=O)n1 ZINC000512378517 1071497802 /nfs/dbraw/zinc/49/78/02/1071497802.db2.gz QYHRTJUNVYZKJA-MRXNPFEDSA-N 0 0 427.513 -0.173 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)n1 ZINC000512378533 1071497734 /nfs/dbraw/zinc/49/77/34/1071497734.db2.gz RFAFFOPAZNAFIW-INIZCTEOSA-N 0 0 433.513 -0.899 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)n1 ZINC000512378534 1071497797 /nfs/dbraw/zinc/49/77/97/1071497797.db2.gz RFAFFOPAZNAFIW-MRXNPFEDSA-N 0 0 433.513 -0.899 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000512382184 1071498734 /nfs/dbraw/zinc/49/87/34/1071498734.db2.gz CIZHKYCIMMMOSP-UHFFFAOYSA-N 0 0 431.540 -1.000 20 0 IBADRN O=C(COc1ccccc1CNC(=O)NCCN1CCNC(=O)C1)NC[C@@H]1CCCO1 ZINC000512382359 1071498521 /nfs/dbraw/zinc/49/85/21/1071498521.db2.gz FKFDZCTZFJZCBW-KRWDZBQOSA-N 0 0 433.509 -0.408 20 0 IBADRN O=C(COc1ccccc1CNC(=O)NCCN1CCNC(=O)C1)NC[C@H]1CCCO1 ZINC000512382360 1071498748 /nfs/dbraw/zinc/49/87/48/1071498748.db2.gz FKFDZCTZFJZCBW-QGZVFWFLSA-N 0 0 433.509 -0.408 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)CCN2CCOCC2)CC1 ZINC000512382863 1071498547 /nfs/dbraw/zinc/49/85/47/1071498547.db2.gz PCDNJSXVZLPPCM-UHFFFAOYSA-N 0 0 429.543 -0.612 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2cc(C)ccc2C)CC1 ZINC000512384694 1071498648 /nfs/dbraw/zinc/49/86/48/1071498648.db2.gz UVKKMNWGTZMWQU-UHFFFAOYSA-N 0 0 440.566 -0.121 20 0 IBADRN COc1ccc([C@H](CNC(=O)NCCN2CCNC(=O)C2)N2CCOCC2)cc1OC ZINC000512387342 1071498504 /nfs/dbraw/zinc/49/85/04/1071498504.db2.gz MGUQIUJCHYQETC-KRWDZBQOSA-N 0 0 435.525 -0.192 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NCCN2CCNC(=O)C2)N2CCOCC2)cc1OC ZINC000512387348 1071498532 /nfs/dbraw/zinc/49/85/32/1071498532.db2.gz MGUQIUJCHYQETC-QGZVFWFLSA-N 0 0 435.525 -0.192 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000512388519 1071499299 /nfs/dbraw/zinc/49/92/99/1071499299.db2.gz VVBFBFODPOBAQR-INIZCTEOSA-N 0 0 439.538 -0.625 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000512388520 1071499273 /nfs/dbraw/zinc/49/92/73/1071499273.db2.gz VVBFBFODPOBAQR-MRXNPFEDSA-N 0 0 439.538 -0.625 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000512388641 1071499409 /nfs/dbraw/zinc/49/94/09/1071499409.db2.gz XHLLWOKASOUACQ-UHFFFAOYSA-N 0 0 439.538 -0.920 20 0 IBADRN CN(C)C(=O)COC[C@@H]1CN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC(C)(C)O1 ZINC000512395813 1071499310 /nfs/dbraw/zinc/49/93/10/1071499310.db2.gz BHWXRBCQLLZGCL-BBWFWOEESA-N 0 0 441.525 -0.496 20 0 IBADRN CN(C)C(=O)COC[C@H]1CN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC(C)(C)O1 ZINC000512395814 1071500208 /nfs/dbraw/zinc/50/02/08/1071500208.db2.gz BHWXRBCQLLZGCL-ZACQAIPSSA-N 0 0 441.525 -0.496 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)NCCN2CCNC(=O)C2)c1 ZINC000512396067 1071499213 /nfs/dbraw/zinc/49/92/13/1071499213.db2.gz HGXMXGGGEDJGOL-UHFFFAOYSA-N 0 0 432.525 -0.422 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC[C@H](O)CC3)CC2)cc1 ZINC000512397879 1071500805 /nfs/dbraw/zinc/50/08/05/1071500805.db2.gz JBZPMDMJHWBNBN-HDJSIYSDSA-N 0 0 446.551 -0.347 20 0 IBADRN COC[C@@](C)(NCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000512403806 1071501359 /nfs/dbraw/zinc/50/13/59/1071501359.db2.gz OIVHQBKXTPNTES-GOSISDBHSA-N 0 0 429.495 -0.186 20 0 IBADRN COC[C@](C)(NCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000512403807 1071501440 /nfs/dbraw/zinc/50/14/40/1071501440.db2.gz OIVHQBKXTPNTES-SFHVURJKSA-N 0 0 429.495 -0.186 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000512406220 1071501526 /nfs/dbraw/zinc/50/15/26/1071501526.db2.gz OTDKUHQXJKQBOY-OAHLLOKOSA-N 0 0 440.588 -0.508 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCNC(=O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000512408971 1071502135 /nfs/dbraw/zinc/50/21/35/1071502135.db2.gz BLRMVMJIRCSDSZ-UHFFFAOYSA-N 0 0 444.579 -0.120 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000512409274 1071501960 /nfs/dbraw/zinc/50/19/60/1071501960.db2.gz CBBBQHLMORNHOF-KRWDZBQOSA-N 0 0 443.504 -0.201 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000512409277 1071502075 /nfs/dbraw/zinc/50/20/75/1071502075.db2.gz CBBBQHLMORNHOF-QGZVFWFLSA-N 0 0 443.504 -0.201 20 0 IBADRN O=C1CN(CCNS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)CCN1 ZINC000512409646 1071501980 /nfs/dbraw/zinc/50/19/80/1071501980.db2.gz DVXCCYQZYXPDPN-UHFFFAOYSA-N 0 0 444.457 -0.763 20 0 IBADRN CC(=O)NCCN([C@H](C)C(=O)OC(C)(C)C)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000512409667 1071502008 /nfs/dbraw/zinc/50/20/08/1071502008.db2.gz DZJCOKBUGDPMCT-GFCCVEGCSA-N 0 0 427.545 -0.870 20 0 IBADRN CC(=O)NCCN([C@@H](C)C(=O)OC(C)(C)C)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000512409670 1071502052 /nfs/dbraw/zinc/50/20/52/1071502052.db2.gz DZJCOKBUGDPMCT-LBPRGKRZSA-N 0 0 427.545 -0.870 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC000512411104 1071502881 /nfs/dbraw/zinc/50/28/81/1071502881.db2.gz JGLCGMPQESYFPF-INIZCTEOSA-N 0 0 439.538 -0.718 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC000512411105 1071502806 /nfs/dbraw/zinc/50/28/06/1071502806.db2.gz JGLCGMPQESYFPF-MRXNPFEDSA-N 0 0 439.538 -0.718 20 0 IBADRN O=C1CN(CCNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CCN1 ZINC000512411206 1071502621 /nfs/dbraw/zinc/50/26/21/1071502621.db2.gz NASHAEMVZIHANV-UHFFFAOYSA-N 0 0 430.552 -0.429 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000512411891 1071502597 /nfs/dbraw/zinc/50/25/97/1071502597.db2.gz MIRDWHGWNRWPMB-CQSZACIVSA-N 0 0 426.561 -0.896 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)CC1 ZINC000512417771 1071503469 /nfs/dbraw/zinc/50/34/69/1071503469.db2.gz GKGLDSDWTLTGQD-QGZVFWFLSA-N 0 0 429.587 -0.004 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)NCCOC)CC2)CC1 ZINC000512419208 1071503381 /nfs/dbraw/zinc/50/33/81/1071503381.db2.gz WJOXADWNPUFAJU-UHFFFAOYSA-N 0 0 432.587 -0.265 20 0 IBADRN COC[C@@](C)(NCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000512421315 1071503328 /nfs/dbraw/zinc/50/33/28/1071503328.db2.gz RBXYNPMSAIFLGD-GOSISDBHSA-N 0 0 429.495 -0.186 20 0 IBADRN COC[C@](C)(NCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000512421316 1071503460 /nfs/dbraw/zinc/50/34/60/1071503460.db2.gz RBXYNPMSAIFLGD-SFHVURJKSA-N 0 0 429.495 -0.186 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1S(=O)(=O)NCCN1CCNC(=O)C1 ZINC000512422507 1071503523 /nfs/dbraw/zinc/50/35/23/1071503523.db2.gz UKIUYRYWUWVRGH-UHFFFAOYSA-N 0 0 444.579 -0.120 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2Cn2cncn2)CC1 ZINC000512422751 1071503342 /nfs/dbraw/zinc/50/33/42/1071503342.db2.gz WYPAYGWIYHPRJP-UHFFFAOYSA-N 0 0 443.551 -0.001 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)cn1 ZINC000512423687 1071503448 /nfs/dbraw/zinc/50/34/48/1071503448.db2.gz JDHYETHWKKWNLR-MRXNPFEDSA-N 0 0 426.543 -0.005 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)C(=O)OC ZINC000512427721 1071503931 /nfs/dbraw/zinc/50/39/31/1071503931.db2.gz NIWIJHYYENQSJO-GOSISDBHSA-N 0 0 431.486 -0.174 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)C(=O)OC ZINC000512427722 1071504208 /nfs/dbraw/zinc/50/42/08/1071504208.db2.gz NIWIJHYYENQSJO-SFHVURJKSA-N 0 0 431.486 -0.174 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000512429846 1071504356 /nfs/dbraw/zinc/50/43/56/1071504356.db2.gz JYZFWDWOCMNBMS-OAHLLOKOSA-N 0 0 448.567 -0.477 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000512436568 1071506193 /nfs/dbraw/zinc/50/61/93/1071506193.db2.gz QIXZFVSKSTUWAL-UHFFFAOYSA-N 0 0 444.579 -0.595 20 0 IBADRN CCOC(=O)[C@@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)[C@H]1CCCOC1 ZINC000512436833 1071506128 /nfs/dbraw/zinc/50/61/28/1071506128.db2.gz NHNNYBFXCOYYLW-GWCFXTLKSA-N 0 0 426.451 -0.329 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)[C@H]1CCCOC1 ZINC000512436840 1071506376 /nfs/dbraw/zinc/50/63/76/1071506376.db2.gz NHNNYBFXCOYYLW-GXFFZTMASA-N 0 0 426.451 -0.329 20 0 IBADRN CCOC(=O)[C@@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)[C@@H]1CCCOC1 ZINC000512436842 1071506469 /nfs/dbraw/zinc/50/64/69/1071506469.db2.gz NHNNYBFXCOYYLW-MFKMUULPSA-N 0 0 426.451 -0.329 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)[C@@H]1CCCOC1 ZINC000512436844 1071506353 /nfs/dbraw/zinc/50/63/53/1071506353.db2.gz NHNNYBFXCOYYLW-ZWNOBZJWSA-N 0 0 426.451 -0.329 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCN2CCNC(=O)C2)c(OC)c1 ZINC000512437285 1071507012 /nfs/dbraw/zinc/50/70/12/1071507012.db2.gz RCBOIRRQFTWSRE-UHFFFAOYSA-N 0 0 434.540 -0.443 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000512438650 1071507131 /nfs/dbraw/zinc/50/71/31/1071507131.db2.gz IDCODNUQZWODKX-AKHDSKFASA-N 0 0 429.583 -0.024 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000512438651 1071506877 /nfs/dbraw/zinc/50/68/77/1071506877.db2.gz IDCODNUQZWODKX-XWSJACJDSA-N 0 0 429.583 -0.024 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000512438652 1071506947 /nfs/dbraw/zinc/50/69/47/1071506947.db2.gz IDCODNUQZWODKX-YDZRNGNQSA-N 0 0 429.583 -0.024 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000512438653 1071506829 /nfs/dbraw/zinc/50/68/29/1071506829.db2.gz IDCODNUQZWODKX-YRXWBPOGSA-N 0 0 429.583 -0.024 20 0 IBADRN O=C1CN(CCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CCN1 ZINC000512440587 1071506906 /nfs/dbraw/zinc/50/69/06/1071506906.db2.gz GQTJVDBWTYMJQH-UHFFFAOYSA-N 0 0 430.552 -0.429 20 0 IBADRN CCc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000512442044 1071507714 /nfs/dbraw/zinc/50/77/14/1071507714.db2.gz LXMFUUQMNZILBS-UHFFFAOYSA-N 0 0 445.563 -0.013 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000512442725 1071507804 /nfs/dbraw/zinc/50/78/04/1071507804.db2.gz RTZMWFQIDVAOCE-UHFFFAOYSA-N 0 0 447.535 -0.567 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000512445501 1071508411 /nfs/dbraw/zinc/50/84/11/1071508411.db2.gz AVVJAPPJJKDAKT-AWEZNQCLSA-N 0 0 448.567 -0.620 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000512445502 1071508481 /nfs/dbraw/zinc/50/84/81/1071508481.db2.gz AVVJAPPJJKDAKT-CQSZACIVSA-N 0 0 448.567 -0.620 20 0 IBADRN O=C1COc2cc(S(=O)(=O)NCCN3CCNC(=O)C3)c(Br)cc2N1 ZINC000512446677 1071508850 /nfs/dbraw/zinc/50/88/50/1071508850.db2.gz HAODGZPWFKGHSY-UHFFFAOYSA-N 0 0 433.284 -0.510 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NCCN3CCNC(=O)C3)cc2n(C)c1=O ZINC000512448775 1071508388 /nfs/dbraw/zinc/50/83/88/1071508388.db2.gz XWANIHXRAPGRAZ-UHFFFAOYSA-N 0 0 446.327 -0.650 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000512452114 1071509119 /nfs/dbraw/zinc/50/91/19/1071509119.db2.gz QEOUCYGSQOMYMN-AWEZNQCLSA-N 0 0 434.540 -0.204 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000512452115 1071508833 /nfs/dbraw/zinc/50/88/33/1071508833.db2.gz QEOUCYGSQOMYMN-CQSZACIVSA-N 0 0 434.540 -0.204 20 0 IBADRN O=C(CCC(F)(F)F)N1CCC[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000512454306 1071509521 /nfs/dbraw/zinc/50/95/21/1071509521.db2.gz QVSVEFVLEKMTIT-CYBMUJFWSA-N 0 0 446.434 -0.140 20 0 IBADRN Cc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000512462624 1071510797 /nfs/dbraw/zinc/51/07/97/1071510797.db2.gz KAVZVNYICTVJOA-UHFFFAOYSA-N 0 0 431.536 -0.267 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000512463656 1071510748 /nfs/dbraw/zinc/51/07/48/1071510748.db2.gz QAIKDOIYKDEPOZ-ZDUSSCGKSA-N 0 0 447.535 -0.382 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000512464128 1071510769 /nfs/dbraw/zinc/51/07/69/1071510769.db2.gz UOLMXARJUAEWLR-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000512464129 1071510723 /nfs/dbraw/zinc/51/07/23/1071510723.db2.gz UOLMXARJUAEWLR-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)NCCN1CCNC(=O)C1 ZINC000512464925 1071511372 /nfs/dbraw/zinc/51/13/72/1071511372.db2.gz AELMPVHEAQNUTD-HNNXBMFYSA-N 0 0 444.941 -0.579 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)NCCN1CCNC(=O)C1 ZINC000512464926 1071511383 /nfs/dbraw/zinc/51/13/83/1071511383.db2.gz AELMPVHEAQNUTD-OAHLLOKOSA-N 0 0 444.941 -0.579 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C1CCN(S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000512465216 1071510737 /nfs/dbraw/zinc/51/07/37/1071510737.db2.gz DMDFEVWSSTWCMU-UHFFFAOYSA-N 0 0 430.477 -0.087 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C1CCN(c2ccc3nnc(C(F)(F)F)n3n2)CC1 ZINC000512465461 1071512162 /nfs/dbraw/zinc/51/21/62/1071512162.db2.gz HPZJWIJQMNGRMH-UHFFFAOYSA-N 0 0 440.430 -0.093 20 0 IBADRN CN(CC(=O)NCCN1CCNC(=O)C1)S(=O)(=O)c1ccc(Br)cc1 ZINC000512465507 1071511979 /nfs/dbraw/zinc/51/19/79/1071511979.db2.gz IBTIBHDQJKPUTK-UHFFFAOYSA-N 0 0 433.328 -0.382 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCCN2CCNC(=O)C2)cc1OCC ZINC000512465537 1071512121 /nfs/dbraw/zinc/51/21/21/1071512121.db2.gz IHFVJXUFRICHOM-UHFFFAOYSA-N 0 0 428.511 -0.690 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000512465970 1071512104 /nfs/dbraw/zinc/51/21/04/1071512104.db2.gz NIYSONSMJSLZEZ-GOSISDBHSA-N 0 0 436.534 -0.020 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000512465971 1071512045 /nfs/dbraw/zinc/51/20/45/1071512045.db2.gz NIYSONSMJSLZEZ-SFHVURJKSA-N 0 0 436.534 -0.020 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCCN3CCNC(=O)C3)nc2n(CC)c1=O ZINC000512466226 1074355494 /nfs/dbraw/zinc/35/54/94/1074355494.db2.gz QEOXNCNHBSGLMH-UHFFFAOYSA-N 0 0 431.497 -0.496 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NCCN1CCNC(=O)C1 ZINC000512466680 1071512693 /nfs/dbraw/zinc/51/26/93/1071512693.db2.gz WQKRGKISRLJJOL-UHFFFAOYSA-N 0 0 426.495 -0.936 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1CCNC(=O)C1 ZINC000512471080 1071513275 /nfs/dbraw/zinc/51/32/75/1071513275.db2.gz FERTYFVFFAIDJR-UHFFFAOYSA-N 0 0 426.495 -0.936 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCN1CCNC(=O)C1 ZINC000512471185 1071513061 /nfs/dbraw/zinc/51/30/61/1071513061.db2.gz GHYWNRJSKPLYLX-AWEZNQCLSA-N 0 0 432.543 -0.226 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCN1CCNC(=O)C1 ZINC000512471186 1071513294 /nfs/dbraw/zinc/51/32/94/1071513294.db2.gz GHYWNRJSKPLYLX-CQSZACIVSA-N 0 0 432.543 -0.226 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)NCCN1CCNC(=O)C1 ZINC000512471725 1071513823 /nfs/dbraw/zinc/51/38/23/1071513823.db2.gz MRPOANHTTACPEJ-UHFFFAOYSA-N 0 0 433.328 -0.335 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NCCN1CCNC(=O)C1 ZINC000512471801 1071513858 /nfs/dbraw/zinc/51/38/58/1071513858.db2.gz NKXXTNZIIVBRKU-UHFFFAOYSA-N 0 0 438.428 -0.198 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000512473000 1071513898 /nfs/dbraw/zinc/51/38/98/1071513898.db2.gz BNALJSIKIYBSEI-DZGCQCFKSA-N 0 0 440.522 -0.108 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000512473001 1071513883 /nfs/dbraw/zinc/51/38/83/1071513883.db2.gz BNALJSIKIYBSEI-HIFRSBDPSA-N 0 0 440.522 -0.108 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000512473002 1071513909 /nfs/dbraw/zinc/51/39/09/1071513909.db2.gz BNALJSIKIYBSEI-UKRRQHHQSA-N 0 0 440.522 -0.108 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000512473003 1071513869 /nfs/dbraw/zinc/51/38/69/1071513869.db2.gz BNALJSIKIYBSEI-ZFWWWQNUSA-N 0 0 440.522 -0.108 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000512473077 1071514062 /nfs/dbraw/zinc/51/40/62/1071514062.db2.gz CNCKVDUHXBBDQM-HNNXBMFYSA-N 0 0 440.588 -0.650 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000512473078 1071514542 /nfs/dbraw/zinc/51/45/42/1071514542.db2.gz CNCKVDUHXBBDQM-OAHLLOKOSA-N 0 0 440.588 -0.650 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCN2CCOC(C)(C)C2)c1 ZINC000512474289 1071514493 /nfs/dbraw/zinc/51/44/93/1071514493.db2.gz MTTNIZZPTSUEDG-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN COC(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000512474661 1071514403 /nfs/dbraw/zinc/51/44/03/1071514403.db2.gz PCEZCOMARQRMQC-UHFFFAOYSA-N 0 0 446.460 -0.015 20 0 IBADRN CCNC(=O)NC1(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCCC1 ZINC000512475282 1071514599 /nfs/dbraw/zinc/51/45/99/1071514599.db2.gz REQDMYCBNFZVQQ-UHFFFAOYSA-N 0 0 441.510 -0.157 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NCCN1CCNC(=O)C1)n2C ZINC000512476508 1071515764 /nfs/dbraw/zinc/51/57/64/1071515764.db2.gz CRBZYKZFACULRG-UHFFFAOYSA-N 0 0 436.538 -0.696 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCN1CCNC(=O)C1 ZINC000512476977 1071515728 /nfs/dbraw/zinc/51/57/28/1071515728.db2.gz JJUMUDSKPGMQAB-ZDUSSCGKSA-N 0 0 426.495 -0.937 20 0 IBADRN CCCn1c(CCC(=O)NCCN2CCNC(=O)C2)nc2cc(S(N)(=O)=O)ccc21 ZINC000512477324 1071515111 /nfs/dbraw/zinc/51/51/11/1071515111.db2.gz NYKQUQGOBGSTIT-UHFFFAOYSA-N 0 0 436.538 -0.426 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCN2CCNC(=O)C2)o1 ZINC000512477724 1071515895 /nfs/dbraw/zinc/51/58/95/1071515895.db2.gz QHRUKYSIVHEBGK-CYBMUJFWSA-N 0 0 442.494 -0.843 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCN2CCNC(=O)C2)o1 ZINC000512477725 1071515668 /nfs/dbraw/zinc/51/56/68/1071515668.db2.gz QHRUKYSIVHEBGK-ZDUSSCGKSA-N 0 0 442.494 -0.843 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NCCN1CCNC(=O)C1 ZINC000512479230 1071515787 /nfs/dbraw/zinc/51/57/87/1071515787.db2.gz YETIIBGHVHPXGO-UHFFFAOYSA-N 0 0 425.330 -0.663 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1CCNC(=O)C1 ZINC000512479349 1071515706 /nfs/dbraw/zinc/51/57/06/1071515706.db2.gz ZHPQQRHMBXIDQN-UHFFFAOYSA-N 0 0 440.522 -0.546 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000512480964 1071516291 /nfs/dbraw/zinc/51/62/91/1071516291.db2.gz VINKXSMNIUVVFE-IRXDYDNUSA-N 0 0 426.539 -0.059 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000512480965 1071516564 /nfs/dbraw/zinc/51/65/64/1071516564.db2.gz VINKXSMNIUVVFE-SJORKVTESA-N 0 0 426.539 -0.059 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCN1CCNC(=O)C1 ZINC000512482103 1071516896 /nfs/dbraw/zinc/51/68/96/1071516896.db2.gz BHFGBYBPPOCZBS-SFHVURJKSA-N 0 0 440.522 -0.691 20 0 IBADRN COC(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000512483474 1071517641 /nfs/dbraw/zinc/51/76/41/1071517641.db2.gz JIZWCDVMKXPQCF-UHFFFAOYSA-N 0 0 448.476 -0.306 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCN3CCNC(=O)C3)CC2)cc1 ZINC000512488083 1071518246 /nfs/dbraw/zinc/51/82/46/1071518246.db2.gz CYCPNQUCMJRGBD-UHFFFAOYSA-N 0 0 436.534 -0.162 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCCN2CCNC(=O)C2)cc1Br ZINC000512488143 1071518190 /nfs/dbraw/zinc/51/81/90/1071518190.db2.gz DOLCIRQBPGNNJZ-UHFFFAOYSA-N 0 0 433.328 -0.139 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccc(C)cc1)C(=O)NCCN1CCNC(=O)C1 ZINC000512488334 1071518377 /nfs/dbraw/zinc/51/83/77/1071518377.db2.gz HATPGRLQDZAJOS-INIZCTEOSA-N 0 0 428.580 -0.057 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccc(C)cc1)C(=O)NCCN1CCNC(=O)C1 ZINC000512488335 1071518548 /nfs/dbraw/zinc/51/85/48/1071518548.db2.gz HATPGRLQDZAJOS-MRXNPFEDSA-N 0 0 428.580 -0.057 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)NCCN3CCNC(=O)C3)CC2)c1 ZINC000512488918 1071518414 /nfs/dbraw/zinc/51/84/14/1071518414.db2.gz LYJHMMIQPWSUJI-UHFFFAOYSA-N 0 0 436.534 -0.162 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000512489624 1071518561 /nfs/dbraw/zinc/51/85/61/1071518561.db2.gz QWFJKZPVGXPMPR-UHFFFAOYSA-N 0 0 430.477 -0.087 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)NCCN1CCNC(=O)C1 ZINC000512490244 1071518444 /nfs/dbraw/zinc/51/84/44/1071518444.db2.gz WFCWUVXVEPWVQA-UHFFFAOYSA-N 0 0 433.328 -0.335 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)CC2)CC1 ZINC000512492027 1071519139 /nfs/dbraw/zinc/51/91/39/1071519139.db2.gz YNQHGNPKJJIAKG-KRWDZBQOSA-N 0 0 429.587 -0.146 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)CC2)CC1 ZINC000512492028 1071518916 /nfs/dbraw/zinc/51/89/16/1071518916.db2.gz YNQHGNPKJJIAKG-QGZVFWFLSA-N 0 0 429.587 -0.146 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc3c(n2)CCCC3)CC1)N1CCOCC1 ZINC000512493207 1071519573 /nfs/dbraw/zinc/51/95/73/1071519573.db2.gz FINGTSPCZFKTJL-UHFFFAOYSA-N 0 0 429.521 -0.420 20 0 IBADRN Nc1nc(N)nc(SCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)n1 ZINC000512494088 1071519901 /nfs/dbraw/zinc/51/99/01/1071519901.db2.gz FVWMZZRYLYJTFQ-UHFFFAOYSA-N 0 0 445.477 -0.400 20 0 IBADRN O=C(NCc1ccc2c(n1)CCCC2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512494951 1071519938 /nfs/dbraw/zinc/51/99/38/1071519938.db2.gz UTORZJYUEOWOOV-UHFFFAOYSA-N 0 0 443.504 -0.894 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(C(F)(F)F)n1 ZINC000512497809 1071519846 /nfs/dbraw/zinc/51/98/46/1071519846.db2.gz BDAIDSIOQVGFBP-UHFFFAOYSA-N 0 0 430.387 -0.996 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c(C(F)(F)F)n1 ZINC000512498478 1071520447 /nfs/dbraw/zinc/52/04/47/1071520447.db2.gz IHJBGJWFBOGBRH-INIZCTEOSA-N 0 0 430.387 -0.108 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c(C(F)(F)F)n1 ZINC000512498479 1071520237 /nfs/dbraw/zinc/52/02/37/1071520237.db2.gz IHJBGJWFBOGBRH-MRXNPFEDSA-N 0 0 430.387 -0.108 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000512499907 1071521270 /nfs/dbraw/zinc/52/12/70/1071521270.db2.gz SJYDUZGVVSANCO-UHFFFAOYSA-N 0 0 446.430 -0.942 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3c(n2)CCCC3)CC1 ZINC000512500348 1071520545 /nfs/dbraw/zinc/52/05/45/1071520545.db2.gz VZIXQZIFQQDIOR-UHFFFAOYSA-N 0 0 429.521 -0.420 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cn(C)nc2C(F)(F)F)C1 ZINC000512503594 1071522409 /nfs/dbraw/zinc/52/24/09/1071522409.db2.gz KWAJJYUPCPFVLG-LLVKDONJSA-N 0 0 425.433 -0.016 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cn(C)nc2C(F)(F)F)C1 ZINC000512503604 1071522629 /nfs/dbraw/zinc/52/26/29/1071522629.db2.gz KWAJJYUPCPFVLG-NSHDSACASA-N 0 0 425.433 -0.016 20 0 IBADRN COC(=O)c1ccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1OC ZINC000512504689 1071521606 /nfs/dbraw/zinc/52/16/06/1071521606.db2.gz RJWUOPXFVFAAEK-UHFFFAOYSA-N 0 0 425.419 -0.232 20 0 IBADRN CC[C@H](NC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000512506845 1071521686 /nfs/dbraw/zinc/52/16/86/1071521686.db2.gz QSZIKDBJQXJIFH-INIZCTEOSA-N 0 0 437.522 -0.083 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000512506846 1071521801 /nfs/dbraw/zinc/52/18/01/1071521801.db2.gz QSZIKDBJQXJIFH-MRXNPFEDSA-N 0 0 437.522 -0.083 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c(C(F)(F)F)n1 ZINC000512508033 1071521754 /nfs/dbraw/zinc/52/17/54/1071521754.db2.gz DXVONFOJRQILKK-JTQLQIEISA-N 0 0 425.433 -0.157 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c(C(F)(F)F)n1 ZINC000512508039 1071521704 /nfs/dbraw/zinc/52/17/04/1071521704.db2.gz DXVONFOJRQILKK-SNVBAGLBSA-N 0 0 425.433 -0.157 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c(C(F)(F)F)n1 ZINC000512508542 1071523110 /nfs/dbraw/zinc/52/31/10/1071523110.db2.gz IGLDLTDUMLBVID-UHFFFAOYSA-N 0 0 439.398 -0.102 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1ccc3c(n1)CCCC3)C2 ZINC000512508587 1071523133 /nfs/dbraw/zinc/52/31/33/1071523133.db2.gz IMZPNUPTWYKEGD-UHFFFAOYSA-N 0 0 441.492 -0.935 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cn(C)nc2C(F)(F)F)CC1 ZINC000512509943 1071523048 /nfs/dbraw/zinc/52/30/48/1071523048.db2.gz RRTWMVSOKWGUGN-LLVKDONJSA-N 0 0 430.431 -0.134 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cn(C)nc2C(F)(F)F)CC1 ZINC000512509944 1071523124 /nfs/dbraw/zinc/52/31/24/1071523124.db2.gz RRTWMVSOKWGUGN-NSHDSACASA-N 0 0 430.431 -0.134 20 0 IBADRN O=C(NCCc1ccc(S(=O)(=O)N2CCCCC2)s1)C(=O)N1CCNC(=O)C1 ZINC000512513665 1071525075 /nfs/dbraw/zinc/52/50/75/1071525075.db2.gz IXGAMLVFKDTKJH-UHFFFAOYSA-N 0 0 428.536 -0.460 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCC(F)(F)C3)CC2)o1 ZINC000512514410 1071524995 /nfs/dbraw/zinc/52/49/95/1071524995.db2.gz NLFHYYHRBQBKRJ-LLVKDONJSA-N 0 0 448.448 -0.237 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCC(F)(F)C3)CC2)o1 ZINC000512514411 1071525007 /nfs/dbraw/zinc/52/50/07/1071525007.db2.gz NLFHYYHRBQBKRJ-NSHDSACASA-N 0 0 448.448 -0.237 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c(C(F)(F)F)n1 ZINC000512517935 1071526081 /nfs/dbraw/zinc/52/60/81/1071526081.db2.gz CLTIUTWDCSHLGE-UHFFFAOYSA-N 0 0 439.398 -0.102 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)NCCc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000512519789 1071525692 /nfs/dbraw/zinc/52/56/92/1071525692.db2.gz USLJLPMNMFNDGR-CYBMUJFWSA-N 0 0 442.563 -0.072 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)NCCc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000512519790 1071525611 /nfs/dbraw/zinc/52/56/11/1071525611.db2.gz USLJLPMNMFNDGR-ZDUSSCGKSA-N 0 0 442.563 -0.072 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000512520804 1071525680 /nfs/dbraw/zinc/52/56/80/1071525680.db2.gz UJRRJABMUFWPTH-CHWSQXEVSA-N 0 0 444.535 -0.915 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000512520805 1071525519 /nfs/dbraw/zinc/52/55/19/1071525519.db2.gz UJRRJABMUFWPTH-OLZOCXBDSA-N 0 0 444.535 -0.915 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000512520806 1071525506 /nfs/dbraw/zinc/52/55/06/1071525506.db2.gz UJRRJABMUFWPTH-QWHCGFSZSA-N 0 0 444.535 -0.915 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000512520807 1071525662 /nfs/dbraw/zinc/52/56/62/1071525662.db2.gz UJRRJABMUFWPTH-STQMWFEESA-N 0 0 444.535 -0.915 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000512520865 1071525527 /nfs/dbraw/zinc/52/55/27/1071525527.db2.gz VIZFUYTYGCHRBA-CYBMUJFWSA-N 0 0 447.535 -0.461 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000512520866 1071525571 /nfs/dbraw/zinc/52/55/71/1071525571.db2.gz VIZFUYTYGCHRBA-ZDUSSCGKSA-N 0 0 447.535 -0.461 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3c(n2)CCCC3)CC1 ZINC000512524866 1071526536 /nfs/dbraw/zinc/52/65/36/1071526536.db2.gz WQDNINAJLRPCJK-KRWDZBQOSA-N 0 0 443.548 -0.032 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3c(n2)CCCC3)CC1 ZINC000512524867 1071526786 /nfs/dbraw/zinc/52/67/86/1071526786.db2.gz WQDNINAJLRPCJK-QGZVFWFLSA-N 0 0 443.548 -0.032 20 0 IBADRN CN1CCOC[C@@H]1C(=O)N1CCN(c2ccccc2CNC(=O)[C@@H]2COCCN2C)CC1 ZINC000512526043 1071526626 /nfs/dbraw/zinc/52/66/26/1071526626.db2.gz BQPCBVMFYBTLNI-LEWJYISDSA-N 0 0 445.564 -0.387 20 0 IBADRN CN1CCOC[C@@H]1C(=O)NCc1ccccc1N1CCN(C(=O)[C@H]2COCCN2C)CC1 ZINC000512526044 1071526609 /nfs/dbraw/zinc/52/66/09/1071526609.db2.gz BQPCBVMFYBTLNI-NHCUHLMSSA-N 0 0 445.564 -0.387 20 0 IBADRN CN1CCOC[C@@H]1C(=O)NCc1ccccc1N1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC000512526045 1071526685 /nfs/dbraw/zinc/52/66/85/1071526685.db2.gz BQPCBVMFYBTLNI-RTWAWAEBSA-N 0 0 445.564 -0.387 20 0 IBADRN CN1CCOC[C@H]1C(=O)NCc1ccccc1N1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC000512526046 1071526561 /nfs/dbraw/zinc/52/65/61/1071526561.db2.gz BQPCBVMFYBTLNI-SFTDATJTSA-N 0 0 445.564 -0.387 20 0 IBADRN COCCN(CCCN1C(=O)CNC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000512528314 1071527384 /nfs/dbraw/zinc/52/73/84/1071527384.db2.gz FJHLHYZQJJEMIH-UHFFFAOYSA-N 0 0 430.465 -0.026 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000512534947 1071527503 /nfs/dbraw/zinc/52/75/03/1071527503.db2.gz AHIKFKKWBIZDFD-GXTWGEPZSA-N 0 0 446.551 -0.291 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000512534948 1071527290 /nfs/dbraw/zinc/52/72/90/1071527290.db2.gz AHIKFKKWBIZDFD-JSGCOSHPSA-N 0 0 446.551 -0.291 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c(C(F)(F)F)n1 ZINC000512537993 1071527478 /nfs/dbraw/zinc/52/74/78/1071527478.db2.gz BFCVGGFCSAXTJN-UHFFFAOYSA-N 0 0 430.431 -0.178 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c(C(F)(F)F)n1 ZINC000512538082 1071527491 /nfs/dbraw/zinc/52/74/91/1071527491.db2.gz CISCMTRQFGYXBI-UHFFFAOYSA-N 0 0 427.387 -0.502 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3cn(C)nc3C(F)(F)F)CC2)n1 ZINC000512538227 1071527440 /nfs/dbraw/zinc/52/74/40/1071527440.db2.gz FHAMUNIUHSZSSS-UHFFFAOYSA-N 0 0 445.402 -0.071 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000512538612 1071527964 /nfs/dbraw/zinc/52/79/64/1071527964.db2.gz JEUBQPRAGACYEA-UHFFFAOYSA-N 0 0 446.430 -0.942 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000512539518 1071527271 /nfs/dbraw/zinc/52/72/71/1071527271.db2.gz DRNYNCGWTFDVTB-GXTWGEPZSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000512539519 1071527201 /nfs/dbraw/zinc/52/72/01/1071527201.db2.gz DRNYNCGWTFDVTB-TZMCWYRMSA-N 0 0 444.535 -0.773 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000512544829 1071540923 /nfs/dbraw/zinc/54/09/23/1071540923.db2.gz KFNZKTFAMMWEDN-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN CS(=O)(=O)C1(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CCOCC1 ZINC000512548014 1071548189 /nfs/dbraw/zinc/54/81/89/1071548189.db2.gz WGXYZVXEKVXNBB-UHFFFAOYSA-N 0 0 426.463 -0.047 20 0 IBADRN COCCNC(=O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000512549851 1071548106 /nfs/dbraw/zinc/54/81/06/1071548106.db2.gz ALLKPZCMICUIQK-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC[C@H]3CCCN3S(C)(=O)=O)nc2n(C)c1=O ZINC000512551646 1074356110 /nfs/dbraw/zinc/35/61/10/1074356110.db2.gz WHZVAGOCTFOOKQ-GFCCVEGCSA-N 0 0 438.510 -0.469 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000512554074 1071548913 /nfs/dbraw/zinc/54/89/13/1071548913.db2.gz CVMXJNUHBUXKJD-CYBMUJFWSA-N 0 0 446.551 -0.676 20 0 IBADRN O=C(CNC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21)NC1CC1 ZINC000512554266 1071548675 /nfs/dbraw/zinc/54/86/75/1071548675.db2.gz FGZPRNXQDLIVDK-UHFFFAOYSA-N 0 0 434.474 -0.030 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000512556553 1071548806 /nfs/dbraw/zinc/54/88/06/1071548806.db2.gz YXDNCWHYXGWSMG-SWLSCSKDSA-N 0 0 446.551 -0.148 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)CC(=O)N1CCOCC1 ZINC000512556605 1071548846 /nfs/dbraw/zinc/54/88/46/1071548846.db2.gz ZLDDZXVAKKCQPF-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2nc(-c3ccccc3)ccc2=O)CC1)N1CCOCC1 ZINC000512558975 1071548091 /nfs/dbraw/zinc/54/80/91/1071548091.db2.gz KBZXAXLDZJMFNK-UHFFFAOYSA-N 0 0 425.489 -0.087 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000512559084 1071549954 /nfs/dbraw/zinc/54/99/54/1071549954.db2.gz LCLTZUVMQALKID-KFWWJZLASA-N 0 0 427.523 -0.297 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000512559086 1071549942 /nfs/dbraw/zinc/54/99/42/1071549942.db2.gz LCLTZUVMQALKID-RBSFLKMASA-N 0 0 427.523 -0.297 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000512559104 1071550158 /nfs/dbraw/zinc/55/01/58/1071550158.db2.gz LCLTZUVMQALKID-SOUVJXGZSA-N 0 0 427.523 -0.297 20 0 IBADRN COCCNC(=O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000512561026 1071549450 /nfs/dbraw/zinc/54/94/50/1071549450.db2.gz WKXHYBDNQCLYEQ-UHFFFAOYSA-N 0 0 428.511 -0.734 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)[nH]c(=O)n(CC)c2=O ZINC000512563681 1071549422 /nfs/dbraw/zinc/54/94/22/1071549422.db2.gz FSQKZTXQOOTAEQ-CYBMUJFWSA-N 0 0 436.490 -0.113 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)[nH]c(=O)n(CC)c2=O ZINC000512563684 1071549932 /nfs/dbraw/zinc/54/99/32/1071549932.db2.gz FSQKZTXQOOTAEQ-ZDUSSCGKSA-N 0 0 436.490 -0.113 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000512564066 1071549462 /nfs/dbraw/zinc/54/94/62/1071549462.db2.gz HSZKDOICGAKJCM-UHFFFAOYSA-N 0 0 426.539 -0.105 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000512570072 1071550042 /nfs/dbraw/zinc/55/00/42/1071550042.db2.gz PXWKGOZNZUYOCH-KRWDZBQOSA-N 0 0 429.495 -0.361 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000512570742 1071549985 /nfs/dbraw/zinc/54/99/85/1071549985.db2.gz WBLPOXFXYPYXME-FPCVCCKLSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000512570743 1071550022 /nfs/dbraw/zinc/55/00/22/1071550022.db2.gz WBLPOXFXYPYXME-JJXSEGSLSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000512570744 1071549965 /nfs/dbraw/zinc/54/99/65/1071549965.db2.gz WBLPOXFXYPYXME-KLHDSHLOSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000512570745 1071549896 /nfs/dbraw/zinc/54/98/96/1071549896.db2.gz WBLPOXFXYPYXME-ZJIFWQFVSA-N 0 0 449.595 -0.364 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCN1C(=O)NC2(CCCC2)C1=O ZINC000512571075 1071550031 /nfs/dbraw/zinc/55/00/31/1071550031.db2.gz ZSTAYSUXUZNJBS-CYBMUJFWSA-N 0 0 428.511 -0.607 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCN1C(=O)NC2(CCCC2)C1=O ZINC000512571076 1071549921 /nfs/dbraw/zinc/54/99/21/1071549921.db2.gz ZSTAYSUXUZNJBS-ZDUSSCGKSA-N 0 0 428.511 -0.607 20 0 IBADRN COCCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000512571876 1071551053 /nfs/dbraw/zinc/55/10/53/1071551053.db2.gz DBXKVWDADQLSTF-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000512572412 1071550167 /nfs/dbraw/zinc/55/01/67/1071550167.db2.gz GYCXYIOMCDZNAS-UHFFFAOYSA-N 0 0 426.539 -0.105 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O ZINC000512574777 1071551028 /nfs/dbraw/zinc/55/10/28/1071551028.db2.gz TYSPIGSPQJCKIG-AWEZNQCLSA-N 0 0 442.538 -0.265 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O ZINC000512574778 1071551192 /nfs/dbraw/zinc/55/11/92/1071551192.db2.gz TYSPIGSPQJCKIG-CQSZACIVSA-N 0 0 442.538 -0.265 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000512577366 1071551102 /nfs/dbraw/zinc/55/11/02/1071551102.db2.gz LRYMFOBQMCVPSG-CQSZACIVSA-N 0 0 447.535 -0.318 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000512580061 1071551118 /nfs/dbraw/zinc/55/11/18/1071551118.db2.gz ZTQLUQDPPAEQRR-DOMZBBRYSA-N 0 0 436.490 -0.543 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000512580062 1071551177 /nfs/dbraw/zinc/55/11/77/1071551177.db2.gz ZTQLUQDPPAEQRR-IUODEOHRSA-N 0 0 436.490 -0.543 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)CCN2S(C)(=O)=O ZINC000512584736 1071551263 /nfs/dbraw/zinc/55/12/63/1071551263.db2.gz YGIVVQITTXGNCF-HNNXBMFYSA-N 0 0 443.547 -0.226 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)CCN2S(C)(=O)=O ZINC000512584737 1071551304 /nfs/dbraw/zinc/55/13/04/1071551304.db2.gz YGIVVQITTXGNCF-OAHLLOKOSA-N 0 0 443.547 -0.226 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCNS(C)(=O)=O)cccc1C(=O)N1CCOCC1 ZINC000512592028 1071551821 /nfs/dbraw/zinc/55/18/21/1071551821.db2.gz FTQBUECSACIYAG-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000512592307 1071550995 /nfs/dbraw/zinc/55/09/95/1071550995.db2.gz LMRAEBFLENLIIO-UHFFFAOYSA-N 0 0 426.539 -0.058 20 0 IBADRN O=C(CN1CCN(C(=O)NCCc2nnnn2-c2ccccc2)CC1)N1CCOCC1 ZINC000512596120 1071551631 /nfs/dbraw/zinc/55/16/31/1071551631.db2.gz ATLOSJFBNPMGIO-UHFFFAOYSA-N 0 0 428.497 -0.609 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)NCCc2nnnn2-c2ccccc2)CC1)N1CCCC1 ZINC000512600895 1071551727 /nfs/dbraw/zinc/55/17/27/1071551727.db2.gz BFMDUABVPCHLKX-UHFFFAOYSA-N 0 0 426.481 -0.319 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccc2c(c1)OCO2 ZINC000512601049 1071552292 /nfs/dbraw/zinc/55/22/92/1071552292.db2.gz CKFFUFCELWLPNB-CYBMUJFWSA-N 0 0 425.463 -0.703 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccc2c(c1)OCO2 ZINC000512601050 1071552266 /nfs/dbraw/zinc/55/22/66/1071552266.db2.gz CKFFUFCELWLPNB-ZDUSSCGKSA-N 0 0 425.463 -0.703 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCN3CCc4sccc4C3)cnc2n(C)c1=O ZINC000512601215 1071551690 /nfs/dbraw/zinc/55/16/90/1071551690.db2.gz FEOYDVHMENQTBI-UHFFFAOYSA-N 0 0 442.501 -0.193 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000512601345 1071551588 /nfs/dbraw/zinc/55/15/88/1071551588.db2.gz GCFOUYXKWFKEAR-AWEZNQCLSA-N 0 0 425.507 -0.033 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000512601352 1071551577 /nfs/dbraw/zinc/55/15/77/1071551577.db2.gz GCFOUYXKWFKEAR-CQSZACIVSA-N 0 0 425.507 -0.033 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccc(OC)c(OC)c1 ZINC000512601550 1071551741 /nfs/dbraw/zinc/55/17/41/1071551741.db2.gz HOBZBDOYYWFEBG-AWEZNQCLSA-N 0 0 441.506 -0.415 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccc(OC)c(OC)c1 ZINC000512601553 1071551877 /nfs/dbraw/zinc/55/18/77/1071551877.db2.gz HOBZBDOYYWFEBG-CQSZACIVSA-N 0 0 441.506 -0.415 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000512601976 1071552330 /nfs/dbraw/zinc/55/23/30/1071552330.db2.gz KKRQCUTWUAJNSN-HNNXBMFYSA-N 0 0 425.507 -0.033 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000512601977 1071552285 /nfs/dbraw/zinc/55/22/85/1071552285.db2.gz KKRQCUTWUAJNSN-OAHLLOKOSA-N 0 0 425.507 -0.033 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cn1cc(Br)ccc1=O ZINC000512607174 1071552857 /nfs/dbraw/zinc/55/28/57/1071552857.db2.gz IDPKYNPXJUIILD-GFCCVEGCSA-N 0 0 434.312 -0.237 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cn1cc(Br)ccc1=O ZINC000512607175 1071552911 /nfs/dbraw/zinc/55/29/11/1071552911.db2.gz IDPKYNPXJUIILD-LBPRGKRZSA-N 0 0 434.312 -0.237 20 0 IBADRN COCCNC(=O)CNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000512607618 1071552186 /nfs/dbraw/zinc/55/21/86/1071552186.db2.gz LVHDULOAYVYKCD-UHFFFAOYSA-N 0 0 429.495 -0.863 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCc2nnnn2-c2ccccc2)CC1 ZINC000512612725 1071552810 /nfs/dbraw/zinc/55/28/10/1071552810.db2.gz ICXXOQBCAPHHGP-UHFFFAOYSA-N 0 0 428.497 -0.609 20 0 IBADRN COCCNC(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000512613046 1071552942 /nfs/dbraw/zinc/55/29/42/1071552942.db2.gz KHLOTCNFTQJZQG-UHFFFAOYSA-N 0 0 440.522 -0.822 20 0 IBADRN CC[C@@H](C)[C@@H]1CN(C(=O)c2cn(C)c(=O)[nH]c2=O)CCN1C(=O)c1cn(C)c(=O)[nH]c1=O ZINC000512618053 1071553367 /nfs/dbraw/zinc/55/33/67/1071553367.db2.gz HEUVZLLYSJQTNC-RISCZKNCSA-N 0 0 446.464 -0.702 20 0 IBADRN CC[C@H](C)[C@H]1CN(C(=O)c2cn(C)c(=O)[nH]c2=O)CCN1C(=O)c1cn(C)c(=O)[nH]c1=O ZINC000512618054 1071553394 /nfs/dbraw/zinc/55/33/94/1071553394.db2.gz HEUVZLLYSJQTNC-SMDDNHRTSA-N 0 0 446.464 -0.702 20 0 IBADRN NC(=O)CNC(=O)c1ccc(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)cc1 ZINC000512619644 1071553437 /nfs/dbraw/zinc/55/34/37/1071553437.db2.gz OZBZFXGWXVNYEF-UHFFFAOYSA-N 0 0 435.440 -0.088 20 0 IBADRN O=C(CNC(=O)CNS(=O)(=O)c1ccc2ccccc2c1)NCCN1CCOCC1 ZINC000512619707 1071552905 /nfs/dbraw/zinc/55/29/05/1071552905.db2.gz PKBQEQPWHKIMJY-UHFFFAOYSA-N 0 0 434.518 -0.317 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCCN1CCOCC1 ZINC000512620503 1071552885 /nfs/dbraw/zinc/55/28/85/1071552885.db2.gz VLBODUCJLKVQGJ-UHFFFAOYSA-N 0 0 438.550 -0.252 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@@]2(CCCC[C@@H]2C)C1=O ZINC000512625402 1071554597 /nfs/dbraw/zinc/55/45/97/1071554597.db2.gz PNOXDAZZVUXANF-IQUTYRLHSA-N 0 0 442.538 -0.361 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@]2(CCCC[C@@H]2C)C1=O ZINC000512625407 1071554501 /nfs/dbraw/zinc/55/45/01/1071554501.db2.gz PNOXDAZZVUXANF-KSMMKXTCSA-N 0 0 442.538 -0.361 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@]2(CCCC[C@H]2C)C1=O ZINC000512625408 1071554514 /nfs/dbraw/zinc/55/45/14/1071554514.db2.gz PNOXDAZZVUXANF-LPMFXHHGSA-N 0 0 442.538 -0.361 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@@]2(CCCC[C@H]2C)C1=O ZINC000512625410 1071554536 /nfs/dbraw/zinc/55/45/36/1071554536.db2.gz PNOXDAZZVUXANF-PJIJBLCYSA-N 0 0 442.538 -0.361 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)[C@@H]2CCS(=O)(=O)C2)CCN1C(=O)[C@H]1CCS(=O)(=O)C1 ZINC000512625553 1071554138 /nfs/dbraw/zinc/55/41/38/1071554138.db2.gz QKUAQFLVHSTEAO-FZKCQIBNSA-N 0 0 434.580 -0.059 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)[C@@H]2CCS(=O)(=O)C2)CCN1C(=O)[C@@H]1CCS(=O)(=O)C1 ZINC000512625555 1071554051 /nfs/dbraw/zinc/55/40/51/1071554051.db2.gz QKUAQFLVHSTEAO-JJXSEGSLSA-N 0 0 434.580 -0.059 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)[C@H]2CCS(=O)(=O)C2)CCN1C(=O)[C@@H]1CCS(=O)(=O)C1 ZINC000512625556 1071554044 /nfs/dbraw/zinc/55/40/44/1071554044.db2.gz QKUAQFLVHSTEAO-JONQDZQNSA-N 0 0 434.580 -0.059 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)[C@H]2CCS(=O)(=O)C2)CCN1C(=O)[C@H]1CCS(=O)(=O)C1 ZINC000512625557 1071554014 /nfs/dbraw/zinc/55/40/14/1071554014.db2.gz QKUAQFLVHSTEAO-VGWMRTNUSA-N 0 0 434.580 -0.059 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1Cl ZINC000512625663 1071553940 /nfs/dbraw/zinc/55/39/40/1071553940.db2.gz PKRMKRJDBWLVAL-UHFFFAOYSA-N 0 0 436.896 -0.206 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1ccccc1 ZINC000512625809 1071554136 /nfs/dbraw/zinc/55/41/36/1071554136.db2.gz RVOVZUWWPSZBGR-AWEZNQCLSA-N 0 0 431.536 -0.493 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1ccccc1 ZINC000512625810 1071554000 /nfs/dbraw/zinc/55/40/00/1071554000.db2.gz RVOVZUWWPSZBGR-CQSZACIVSA-N 0 0 431.536 -0.493 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000512630386 1071555146 /nfs/dbraw/zinc/55/51/46/1071555146.db2.gz PXLYRWXNFYMSOZ-UHFFFAOYSA-N 0 0 433.508 -0.770 20 0 IBADRN O=C(NCCc1nnnn1-c1ccccc1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000512630631 1071554567 /nfs/dbraw/zinc/55/45/67/1071554567.db2.gz QQOKBDDITIEBGA-INIZCTEOSA-N 0 0 437.526 -0.008 20 0 IBADRN O=C(NCCc1nnnn1-c1ccccc1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000512630634 1071554623 /nfs/dbraw/zinc/55/46/23/1071554623.db2.gz QQOKBDDITIEBGA-MRXNPFEDSA-N 0 0 437.526 -0.008 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cnc2ccccc2c1O ZINC000512633050 1071555246 /nfs/dbraw/zinc/55/52/46/1071555246.db2.gz AZYFRYWIOAPEGK-CYBMUJFWSA-N 0 0 448.501 -0.590 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cnc2ccccc2c1O ZINC000512633051 1071555061 /nfs/dbraw/zinc/55/50/61/1071555061.db2.gz AZYFRYWIOAPEGK-ZDUSSCGKSA-N 0 0 448.501 -0.590 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000512633056 1071555082 /nfs/dbraw/zinc/55/50/82/1071555082.db2.gz BBXNRJWUOCXOPK-BIWSTMPVSA-N 0 0 442.538 -0.361 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000512633057 1071555206 /nfs/dbraw/zinc/55/52/06/1071555206.db2.gz BBXNRJWUOCXOPK-SHYRYGCCSA-N 0 0 442.538 -0.361 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)NC2(CCCCC2)C1=O ZINC000512635619 1071555052 /nfs/dbraw/zinc/55/50/52/1071555052.db2.gz RWRQUTXSSCZVHG-CYBMUJFWSA-N 0 0 428.511 -0.607 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)NC2(CCCCC2)C1=O ZINC000512635620 1071555156 /nfs/dbraw/zinc/55/51/56/1071555156.db2.gz RWRQUTXSSCZVHG-ZDUSSCGKSA-N 0 0 428.511 -0.607 20 0 IBADRN CN1CCN(c2ncc(CNc3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)cn2)CC1 ZINC000512638661 1071555184 /nfs/dbraw/zinc/55/51/84/1071555184.db2.gz OUVPNFZMQFXASV-UHFFFAOYSA-N 0 0 445.549 -0.039 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000512638918 1071555135 /nfs/dbraw/zinc/55/51/35/1071555135.db2.gz BSMALHGOGSZVTD-HNNXBMFYSA-N 0 0 443.547 -0.107 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000512638921 1071555124 /nfs/dbraw/zinc/55/51/24/1071555124.db2.gz BSMALHGOGSZVTD-OAHLLOKOSA-N 0 0 443.547 -0.107 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000512639098 1071555098 /nfs/dbraw/zinc/55/50/98/1071555098.db2.gz CWKRSLBNFCSQOY-UHFFFAOYSA-N 0 0 438.506 -0.637 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cccc(N3C(=O)CCC3=O)c2)CC1)N1CCOCC1 ZINC000512640406 1071555107 /nfs/dbraw/zinc/55/51/07/1071555107.db2.gz VDOFPPHWIYJFSM-UHFFFAOYSA-N 0 0 428.445 -0.517 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NCc1cn(C)c(=O)n(C)c1=O ZINC000512652425 1071555712 /nfs/dbraw/zinc/55/57/12/1071555712.db2.gz NNLBPTQTGSSDFT-UHFFFAOYSA-N 0 0 437.522 -0.359 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1Cl ZINC000512652894 1071555787 /nfs/dbraw/zinc/55/57/87/1071555787.db2.gz BWELJWFHYCWZRH-UHFFFAOYSA-N 0 0 434.884 -0.247 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1)N1CCOCC1 ZINC000512655992 1071555801 /nfs/dbraw/zinc/55/58/01/1071555801.db2.gz CWZLCXQGJMEGBG-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(CN3C(=O)CCC3=O)cc2)CC1)N1CCOCC1 ZINC000512656467 1071555911 /nfs/dbraw/zinc/55/59/11/1071555911.db2.gz ILTPBZNFDGKAPP-UHFFFAOYSA-N 0 0 428.489 -0.048 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCn1cnc2sccc2c1=O ZINC000512656572 1071555933 /nfs/dbraw/zinc/55/59/33/1071555933.db2.gz KWUJCIIKQIWWHI-GFCCVEGCSA-N 0 0 426.520 0.000 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCn1cnc2sccc2c1=O ZINC000512656573 1071555631 /nfs/dbraw/zinc/55/56/31/1071555631.db2.gz KWUJCIIKQIWWHI-LBPRGKRZSA-N 0 0 426.520 0.000 20 0 IBADRN O=C(CCn1ncc(=O)c2ccccc21)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000512666164 1071556186 /nfs/dbraw/zinc/55/61/86/1071556186.db2.gz SOUVQBIWVJIGKY-UHFFFAOYSA-N 0 0 425.445 -0.394 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)n2)cc1 ZINC000512670379 1071556395 /nfs/dbraw/zinc/55/63/95/1071556395.db2.gz KVMIVBXDJMGGEI-UHFFFAOYSA-N 0 0 425.449 -0.532 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C2(CC(=O)N3CCOCC3)CCCC2)CC1 ZINC000512670409 1071556324 /nfs/dbraw/zinc/55/63/24/1071556324.db2.gz LKXOPXQPSVYUAX-UHFFFAOYSA-N 0 0 448.520 -0.385 20 0 IBADRN Cn1c(CCC(=O)NC(C)(C)C(N)=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000512672145 1071556359 /nfs/dbraw/zinc/55/63/59/1071556359.db2.gz GQXZCPGMOCHPSR-UHFFFAOYSA-N 0 0 437.522 -0.093 20 0 IBADRN CN1CCOC[C@@H]1C(=O)NCc1cccnc1N1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC000512673219 1071556416 /nfs/dbraw/zinc/55/64/16/1071556416.db2.gz NIBSSCIRTNPYEG-MOPGFXCFSA-N 0 0 446.552 -0.992 20 0 IBADRN CN1CCOC[C@H]1C(=O)NCc1cccnc1N1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC000512673221 1071556230 /nfs/dbraw/zinc/55/62/30/1071556230.db2.gz NIBSSCIRTNPYEG-OALUTQOASA-N 0 0 446.552 -0.992 20 0 IBADRN CN1CCOC[C@@H]1C(=O)N1CCN(c2ncccc2CNC(=O)[C@@H]2COCCN2C)CC1 ZINC000512673223 1071556241 /nfs/dbraw/zinc/55/62/41/1071556241.db2.gz NIBSSCIRTNPYEG-RBUKOAKNSA-N 0 0 446.552 -0.992 20 0 IBADRN CN1CCOC[C@@H]1C(=O)NCc1cccnc1N1CCN(C(=O)[C@H]2COCCN2C)CC1 ZINC000512673225 1071556176 /nfs/dbraw/zinc/55/61/76/1071556176.db2.gz NIBSSCIRTNPYEG-RTBURBONSA-N 0 0 446.552 -0.992 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)CN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000512675492 1071556982 /nfs/dbraw/zinc/55/69/82/1071556982.db2.gz MMJKUIJNTJHDJW-UHFFFAOYSA-N 0 0 426.451 -0.451 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)C1CCN(S(=O)(=O)NC)CC1)C(=O)NCCN1CCOCC1 ZINC000512678298 1071556195 /nfs/dbraw/zinc/55/61/95/1071556195.db2.gz PDFWKIUWRCXBDF-DOTOQJQBSA-N 0 0 447.602 -0.858 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)C1CCN(S(=O)(=O)NC)CC1)C(=O)NCCN1CCOCC1 ZINC000512678304 1071556405 /nfs/dbraw/zinc/55/64/05/1071556405.db2.gz PDFWKIUWRCXBDF-NVXWUHKLSA-N 0 0 447.602 -0.858 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)C1CCN(S(=O)(=O)NC)CC1)C(=O)NCCN1CCOCC1 ZINC000512678305 1071556279 /nfs/dbraw/zinc/55/62/79/1071556279.db2.gz PDFWKIUWRCXBDF-RDJZCZTQSA-N 0 0 447.602 -0.858 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)C1CCN(S(=O)(=O)NC)CC1)C(=O)NCCN1CCOCC1 ZINC000512678306 1071556374 /nfs/dbraw/zinc/55/63/74/1071556374.db2.gz PDFWKIUWRCXBDF-WBVHZDCISA-N 0 0 447.602 -0.858 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC000512682566 1071556999 /nfs/dbraw/zinc/55/69/99/1071556999.db2.gz XTBSBDJFOBQDAF-HNNXBMFYSA-N 0 0 425.573 -0.107 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCS(=O)(=O)C2)CC1 ZINC000512682567 1071556965 /nfs/dbraw/zinc/55/69/65/1071556965.db2.gz XTBSBDJFOBQDAF-OAHLLOKOSA-N 0 0 425.573 -0.107 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC(C)(C)C(N)=O)c(=O)n2Cc1ccccc1 ZINC000512683126 1071556855 /nfs/dbraw/zinc/55/68/55/1071556855.db2.gz MWWRCFIZYNUWKC-UHFFFAOYSA-N 0 0 442.476 -0.566 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC000512688071 1071557126 /nfs/dbraw/zinc/55/71/26/1071557126.db2.gz BOIDNXUEUOTJRY-UHFFFAOYSA-N 0 0 429.930 -0.212 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000512688122 1071557068 /nfs/dbraw/zinc/55/70/68/1071557068.db2.gz CPKYOUSJXAAREV-UHFFFAOYSA-N 0 0 435.550 -0.377 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000512688339 1071557088 /nfs/dbraw/zinc/55/70/88/1071557088.db2.gz HKIMWBZYTDMOGW-INIZCTEOSA-N 0 0 432.529 -0.987 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000512688340 1071557110 /nfs/dbraw/zinc/55/71/10/1071557110.db2.gz HKIMWBZYTDMOGW-MRXNPFEDSA-N 0 0 432.529 -0.987 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)C1 ZINC000512688416 1071557078 /nfs/dbraw/zinc/55/70/78/1071557078.db2.gz IFKFJWJDPXSLSO-IGCXYCKISA-N 0 0 430.531 -0.581 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)C1 ZINC000512688417 1071557139 /nfs/dbraw/zinc/55/71/39/1071557139.db2.gz IFKFJWJDPXSLSO-IIYDPXPESA-N 0 0 430.531 -0.581 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)C1 ZINC000512688418 1071556954 /nfs/dbraw/zinc/55/69/54/1071556954.db2.gz IFKFJWJDPXSLSO-PBFPGSCMSA-N 0 0 430.531 -0.581 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)C1 ZINC000512688419 1071557132 /nfs/dbraw/zinc/55/71/32/1071557132.db2.gz IFKFJWJDPXSLSO-XNJGSVPQSA-N 0 0 430.531 -0.581 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@H]2CCCC[C@H]2NS(C)(=O)=O)C1 ZINC000512688779 1071557392 /nfs/dbraw/zinc/55/73/92/1071557392.db2.gz LCCYXMZDXAFVMW-HZSPNIEDSA-N 0 0 425.577 -0.583 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)C1 ZINC000512688780 1071557435 /nfs/dbraw/zinc/55/74/35/1071557435.db2.gz LCCYXMZDXAFVMW-MCIONIFRSA-N 0 0 425.577 -0.583 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCCC[C@H]2NS(C)(=O)=O)C1 ZINC000512688781 1071557445 /nfs/dbraw/zinc/55/74/45/1071557445.db2.gz LCCYXMZDXAFVMW-MGPQQGTHSA-N 0 0 425.577 -0.583 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@H]2CCCC[C@@H]2NS(C)(=O)=O)C1 ZINC000512688782 1071557514 /nfs/dbraw/zinc/55/75/14/1071557514.db2.gz LCCYXMZDXAFVMW-RDBSUJKOSA-N 0 0 425.577 -0.583 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000512688832 1071557406 /nfs/dbraw/zinc/55/74/06/1071557406.db2.gz LYCHTYMFEPDSCJ-UHFFFAOYSA-N 0 0 429.930 -0.212 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000512688845 1071557535 /nfs/dbraw/zinc/55/75/35/1071557535.db2.gz MDWWOBRIEHNAIU-UHFFFAOYSA-N 0 0 435.959 -0.151 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)no1 ZINC000512689282 1071557415 /nfs/dbraw/zinc/55/74/15/1071557415.db2.gz OLBOJIYPLIRHSC-CHWSQXEVSA-N 0 0 432.503 -0.430 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)no1 ZINC000512689283 1071557346 /nfs/dbraw/zinc/55/73/46/1071557346.db2.gz OLBOJIYPLIRHSC-OLZOCXBDSA-N 0 0 432.503 -0.430 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000512689285 1071557486 /nfs/dbraw/zinc/55/74/86/1071557486.db2.gz OMDCLOOASOOXBD-HNNXBMFYSA-N 0 0 427.502 -0.290 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000512689286 1071557455 /nfs/dbraw/zinc/55/74/55/1071557455.db2.gz OMDCLOOASOOXBD-OAHLLOKOSA-N 0 0 427.502 -0.290 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000512689643 1071556836 /nfs/dbraw/zinc/55/68/36/1071556836.db2.gz RJORQRXQLBBKAM-CQSZACIVSA-N 0 0 439.542 -0.717 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCC(NS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000512689672 1071557427 /nfs/dbraw/zinc/55/74/27/1071557427.db2.gz RSIPYSWXJRVYIU-UHFFFAOYSA-N 0 0 427.502 -0.290 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000512689684 1071558062 /nfs/dbraw/zinc/55/80/62/1071558062.db2.gz RWQBZJQYZCHHFV-UHFFFAOYSA-N 0 0 445.929 -0.086 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)C1 ZINC000512689700 1071558032 /nfs/dbraw/zinc/55/80/32/1071558032.db2.gz SDLXUWYYBNHFQO-CABCVRRESA-N 0 0 439.604 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)C1 ZINC000512689702 1071558139 /nfs/dbraw/zinc/55/81/39/1071558139.db2.gz SDLXUWYYBNHFQO-HUUCEWRRSA-N 0 0 439.604 -0.239 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000512690087 1071557467 /nfs/dbraw/zinc/55/74/67/1071557467.db2.gz UYPVJNJHVXQMSE-UHFFFAOYSA-N 0 0 431.465 -0.588 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)NC1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000512690088 1071557381 /nfs/dbraw/zinc/55/73/81/1071557381.db2.gz VAVSVDBWQWWVRI-GOSISDBHSA-N 0 0 431.493 -0.452 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)NC1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000512690089 1071557362 /nfs/dbraw/zinc/55/73/62/1071557362.db2.gz VAVSVDBWQWWVRI-SFHVURJKSA-N 0 0 431.493 -0.452 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000512690138 1071557369 /nfs/dbraw/zinc/55/73/69/1071557369.db2.gz WAAXQVGRZBPVCJ-CXAGYDPISA-N 0 0 430.531 -0.723 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000512690139 1071557475 /nfs/dbraw/zinc/55/74/75/1071557475.db2.gz WAAXQVGRZBPVCJ-DYVFJYSZSA-N 0 0 430.531 -0.723 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)NCCN3CCNC(=O)C3)CC2)c(C)s1 ZINC000512690267 1071557549 /nfs/dbraw/zinc/55/75/49/1071557549.db2.gz WYEGSKRUEQNSDO-UHFFFAOYSA-N 0 0 429.568 -0.187 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000512690767 1071558112 /nfs/dbraw/zinc/55/81/12/1071558112.db2.gz ZXFMEUWOEWEPRA-UHFFFAOYSA-N 0 0 447.920 -0.073 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCCN2CCNC(=O)C2)CC1 ZINC000512692695 1071558051 /nfs/dbraw/zinc/55/80/51/1071558051.db2.gz DGDLMMIHPDHXQE-UHFFFAOYSA-N 0 0 428.515 -0.871 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000512692704 1071558565 /nfs/dbraw/zinc/55/85/65/1071558565.db2.gz DGYLJEGTPMSGSB-UHFFFAOYSA-N 0 0 429.930 -0.212 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000512693081 1071558472 /nfs/dbraw/zinc/55/84/72/1071558472.db2.gz GLIXRFPZORMUNT-UHFFFAOYSA-N 0 0 432.525 -0.087 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCN1CCNC(=O)C1 ZINC000512693110 1071558552 /nfs/dbraw/zinc/55/85/52/1071558552.db2.gz GRABDNOGPWIACP-UHFFFAOYSA-N 0 0 441.510 -0.731 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCN3CCNC(=O)C3)CC2)cc1 ZINC000512693111 1071558484 /nfs/dbraw/zinc/55/84/84/1071558484.db2.gz GROCADFOKVSNBD-UHFFFAOYSA-N 0 0 437.522 -0.663 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000512693120 1071558649 /nfs/dbraw/zinc/55/86/49/1071558649.db2.gz GTZPQGAESWRSSJ-KGLIPLIRSA-N 0 0 444.510 -0.275 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)C1 ZINC000512693121 1071558538 /nfs/dbraw/zinc/55/85/38/1071558538.db2.gz GTZPQGAESWRSSJ-ZIAGYGMSSA-N 0 0 444.510 -0.275 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000512693222 1071558666 /nfs/dbraw/zinc/55/86/66/1071558666.db2.gz HQZMTLQURGKAHX-MRXNPFEDSA-N 0 0 433.575 -0.169 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)NCCN2CCNC(=O)C2)CC1 ZINC000512693254 1071558522 /nfs/dbraw/zinc/55/85/22/1071558522.db2.gz HXIBZMPREGFBDL-UHFFFAOYSA-N 0 0 429.465 -0.584 20 0 IBADRN COc1ccc(CN2CCN(C(=O)NCCN3CCNC(=O)C3)CC2)c(OC)c1OC ZINC000512694164 1071558488 /nfs/dbraw/zinc/55/84/88/1071558488.db2.gz NDTFGFPNLZJDJI-UHFFFAOYSA-N 0 0 435.525 -0.029 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC(CNS(C)(=O)=O)CC2)C1 ZINC000512694246 1071558591 /nfs/dbraw/zinc/55/85/91/1071558591.db2.gz NMMXUMVAPYTFJJ-CQSZACIVSA-N 0 0 425.577 -0.772 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCN3CCNC(=O)C3)CC2)cc1 ZINC000512694289 1071558700 /nfs/dbraw/zinc/55/87/00/1071558700.db2.gz NSNZRZMDLHXYIV-UHFFFAOYSA-N 0 0 425.511 -0.857 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)CN2CCS(=O)(=O)CC2)c1 ZINC000512694961 1071557988 /nfs/dbraw/zinc/55/79/88/1071557988.db2.gz GBLZIZCULUIWLE-UHFFFAOYSA-N 0 0 438.550 -0.306 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCCN3CCNC(=O)C3)CC2)c(OC)c1OC ZINC000512695474 1071558602 /nfs/dbraw/zinc/55/86/02/1071558602.db2.gz UPTJIYKXZQNYFD-UHFFFAOYSA-N 0 0 449.508 -0.388 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000512695489 1071558501 /nfs/dbraw/zinc/55/85/01/1071558501.db2.gz UTYCCNYLWIPNDQ-UHFFFAOYSA-N 0 0 426.543 -0.292 20 0 IBADRN COCCCN(C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000512695832 1071558022 /nfs/dbraw/zinc/55/80/22/1071558022.db2.gz WYERGABSVLNETE-CABCVRRESA-N 0 0 440.588 -0.508 20 0 IBADRN COCCCN(C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000512695833 1071558004 /nfs/dbraw/zinc/55/80/04/1071558004.db2.gz WYERGABSVLNETE-HUUCEWRRSA-N 0 0 440.588 -0.508 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCCC[C@H]2CNS(C)(=O)=O)C1 ZINC000512696124 1071558027 /nfs/dbraw/zinc/55/80/27/1071558027.db2.gz YYFWIKOGBQOWNM-KGLIPLIRSA-N 0 0 425.577 -0.630 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)C1 ZINC000512696125 1071558126 /nfs/dbraw/zinc/55/81/26/1071558126.db2.gz YYFWIKOGBQOWNM-ZIAGYGMSSA-N 0 0 425.577 -0.630 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2CCNC(=O)C2)CC1)N1CCCc2ccccc21 ZINC000512697997 1071558057 /nfs/dbraw/zinc/55/80/57/1071558057.db2.gz FWERKNMPHQQKAI-UHFFFAOYSA-N 0 0 428.537 -0.275 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)NCCN3CCNC(=O)C3)CC2)cc1 ZINC000512698363 1071558047 /nfs/dbraw/zinc/55/80/47/1071558047.db2.gz IVLPXMAAIIBLNI-UHFFFAOYSA-N 0 0 439.538 -0.467 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)C1 ZINC000512698715 1071559092 /nfs/dbraw/zinc/55/90/92/1071559092.db2.gz LCESUKKCPYUSOC-HZPDHXFCSA-N 0 0 431.559 -0.462 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)C1 ZINC000512698716 1071559151 /nfs/dbraw/zinc/55/91/51/1071559151.db2.gz LCESUKKCPYUSOC-JKSUJKDBSA-N 0 0 431.559 -0.462 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000512700224 1071559024 /nfs/dbraw/zinc/55/90/24/1071559024.db2.gz XOUZSWPLZVEWBZ-UHFFFAOYSA-N 0 0 449.455 -0.448 20 0 IBADRN COc1ccc(NC(=O)NCCN2CCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000512700384 1071559085 /nfs/dbraw/zinc/55/90/85/1071559085.db2.gz ZAVZFSBEKOGYES-UHFFFAOYSA-N 0 0 441.510 -0.731 20 0 IBADRN C[C@@H](Sc1ccccc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(N)=O ZINC000512700506 1071559043 /nfs/dbraw/zinc/55/90/43/1071559043.db2.gz XAQSZXHZGQIZQZ-GFCCVEGCSA-N 0 0 432.506 -0.245 20 0 IBADRN C[C@H](Sc1ccccc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(N)=O ZINC000512700507 1071559197 /nfs/dbraw/zinc/55/91/97/1071559197.db2.gz XAQSZXHZGQIZQZ-LBPRGKRZSA-N 0 0 432.506 -0.245 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000512701166 1071559107 /nfs/dbraw/zinc/55/91/07/1071559107.db2.gz JJEMHEPLOMCDAO-SFHVURJKSA-N 0 0 438.550 -0.287 20 0 IBADRN COc1cc(CN2CCN(C(=O)NCCN3CCNC(=O)C3)CC2)cc(OC)c1OC ZINC000512702206 1071559136 /nfs/dbraw/zinc/55/91/36/1071559136.db2.gz CDDUEEKXJFMBDF-UHFFFAOYSA-N 0 0 435.525 -0.029 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)C2=O)c(OC)c1 ZINC000512702220 1071558997 /nfs/dbraw/zinc/55/89/97/1071558997.db2.gz CHAXNJFYNIMAMB-CABCVRRESA-N 0 0 431.449 -0.250 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)C2=O)c(OC)c1 ZINC000512702221 1071559146 /nfs/dbraw/zinc/55/91/46/1071559146.db2.gz CHAXNJFYNIMAMB-GJZGRUSLSA-N 0 0 431.449 -0.250 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)C2=O)c(OC)c1 ZINC000512702222 1071559185 /nfs/dbraw/zinc/55/91/85/1071559185.db2.gz CHAXNJFYNIMAMB-HUUCEWRRSA-N 0 0 431.449 -0.250 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)C2=O)c(OC)c1 ZINC000512702223 1071559031 /nfs/dbraw/zinc/55/90/31/1071559031.db2.gz CHAXNJFYNIMAMB-LSDHHAIUSA-N 0 0 431.449 -0.250 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)C1 ZINC000512702719 1071559056 /nfs/dbraw/zinc/55/90/56/1071559056.db2.gz JSNFGORWSZANOV-CQSZACIVSA-N 0 0 437.588 -0.487 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000512702816 1071559166 /nfs/dbraw/zinc/55/91/66/1071559166.db2.gz LEKKOGQQVYOODR-QGZVFWFLSA-N 0 0 444.602 -0.547 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000512704121 1071559036 /nfs/dbraw/zinc/55/90/36/1071559036.db2.gz XAGZBVLHFBMWOI-UHFFFAOYSA-N 0 0 429.474 -0.600 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N(C)C2CCN(S(C)(=O)=O)CC2)C1 ZINC000512704155 1071559099 /nfs/dbraw/zinc/55/90/99/1071559099.db2.gz XONNODIBUUORBY-CYBMUJFWSA-N 0 0 425.577 -0.677 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@@H]2C[C@H](F)CN2Cc2cnn(C)c2)C1 ZINC000512704166 1071559771 /nfs/dbraw/zinc/55/97/71/1071559771.db2.gz XSDCLPPZWMXFPJ-BBWFWOEESA-N 0 0 445.565 -0.098 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c(=O)[nH]c1=O ZINC000512705086 1071559783 /nfs/dbraw/zinc/55/97/83/1071559783.db2.gz CFQBBROFSZLIHD-QWHCGFSZSA-N 0 0 427.527 -0.197 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000512706639 1071559736 /nfs/dbraw/zinc/55/97/36/1071559736.db2.gz CHQVFNWDNYFILB-ARFHVFGLSA-N 0 0 433.575 -0.170 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000512706640 1071559733 /nfs/dbraw/zinc/55/97/33/1071559733.db2.gz CHQVFNWDNYFILB-BZUAXINKSA-N 0 0 433.575 -0.170 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000512706641 1071560125 /nfs/dbraw/zinc/56/01/25/1071560125.db2.gz CHQVFNWDNYFILB-HRCADAONSA-N 0 0 433.575 -0.170 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000512706642 1071560186 /nfs/dbraw/zinc/56/01/86/1071560186.db2.gz CHQVFNWDNYFILB-OWCLPIDISA-N 0 0 433.575 -0.170 20 0 IBADRN COCCN(C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000512706710 1071560090 /nfs/dbraw/zinc/56/00/90/1071560090.db2.gz DQVVBPFESRZJEF-KGLIPLIRSA-N 0 0 426.561 -0.898 20 0 IBADRN COCCN(C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000512706711 1071560042 /nfs/dbraw/zinc/56/00/42/1071560042.db2.gz DQVVBPFESRZJEF-ZIAGYGMSSA-N 0 0 426.561 -0.898 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000512707473 1071559725 /nfs/dbraw/zinc/55/97/25/1071559725.db2.gz LFZNRLJHHOYWIL-UHFFFAOYSA-N 0 0 435.510 -0.989 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000512707577 1071559767 /nfs/dbraw/zinc/55/97/67/1071559767.db2.gz MCGDWKPYWSFJHJ-QGZVFWFLSA-N 0 0 444.602 -0.403 20 0 IBADRN COc1nc(N2CCN(C(=O)NCCN3CCNC(=O)C3)CC2)ncc1Br ZINC000512708230 1071559690 /nfs/dbraw/zinc/55/96/90/1071559690.db2.gz RLUNHYBGCRGESU-UHFFFAOYSA-N 0 0 442.318 -0.489 20 0 IBADRN O=C(COc1ccccc1CNC(=O)CN1CCS(=O)(=O)CC1)NC[C@@H]1CCCO1 ZINC000512708725 1071559745 /nfs/dbraw/zinc/55/97/45/1071559745.db2.gz SFLULOGKFJOHCI-KRWDZBQOSA-N 0 0 439.534 -0.293 20 0 IBADRN O=C(COc1ccccc1CNC(=O)CN1CCS(=O)(=O)CC1)NC[C@H]1CCCO1 ZINC000512708726 1071559739 /nfs/dbraw/zinc/55/97/39/1071559739.db2.gz SFLULOGKFJOHCI-QGZVFWFLSA-N 0 0 439.534 -0.293 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000512710885 1071560735 /nfs/dbraw/zinc/56/07/35/1071560735.db2.gz BEFBPYOQCZRNHQ-MRXNPFEDSA-N 0 0 432.591 -0.547 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCCN2CCNC(=O)C2)CC1 ZINC000512711024 1071561344 /nfs/dbraw/zinc/56/13/44/1071561344.db2.gz DELRCWGJEPZEOA-UHFFFAOYSA-N 0 0 430.575 -0.745 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000512712981 1071560726 /nfs/dbraw/zinc/56/07/26/1071560726.db2.gz VWOIJPHKGZCRNN-UHFFFAOYSA-N 0 0 427.502 -0.337 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCC[C@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000512712996 1071560691 /nfs/dbraw/zinc/56/06/91/1071560691.db2.gz WAUGMJJVDXYNMH-KRWDZBQOSA-N 0 0 435.550 -0.015 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCC[C@@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC000512713000 1071560836 /nfs/dbraw/zinc/56/08/36/1071560836.db2.gz WAUGMJJVDXYNMH-QGZVFWFLSA-N 0 0 435.550 -0.015 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000512713699 1071560787 /nfs/dbraw/zinc/56/07/87/1071560787.db2.gz FXKVOMXXQCEEKI-XJKSGUPXSA-N 0 0 436.577 -0.171 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000512714182 1071560818 /nfs/dbraw/zinc/56/08/18/1071560818.db2.gz NWQYYACXOKTOJB-WMLDXEAASA-N 0 0 445.538 -0.223 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)NCCN3CCNC(=O)C3)CC2)cc1 ZINC000512716723 1071560741 /nfs/dbraw/zinc/56/07/41/1071560741.db2.gz QMURNVZIXJYBQK-UHFFFAOYSA-N 0 0 432.525 -0.217 20 0 IBADRN C[C@@H]1CN(c2ccccn2)C[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000512727269 1071562028 /nfs/dbraw/zinc/56/20/28/1071562028.db2.gz FKOHPPULEPSUAU-FGTMMUONSA-N 0 0 449.577 -0.399 20 0 IBADRN C[C@@H]1CN(c2ccccn2)C[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000512727270 1071561866 /nfs/dbraw/zinc/56/18/66/1071561866.db2.gz FKOHPPULEPSUAU-KZNAEPCWSA-N 0 0 449.577 -0.399 20 0 IBADRN Cn1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc2ccccc2c1=O ZINC000512728039 1071561938 /nfs/dbraw/zinc/56/19/38/1071561938.db2.gz KSTMFASVHOGTPT-INIZCTEOSA-N 0 0 446.529 -0.400 20 0 IBADRN Cn1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc2ccccc2c1=O ZINC000512728046 1071561928 /nfs/dbraw/zinc/56/19/28/1071561928.db2.gz KSTMFASVHOGTPT-MRXNPFEDSA-N 0 0 446.529 -0.400 20 0 IBADRN COc1ncc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1Cl ZINC000512729034 1071562415 /nfs/dbraw/zinc/56/24/15/1071562415.db2.gz ORCCAGOOFGPAFV-CYBMUJFWSA-N 0 0 430.914 -0.195 20 0 IBADRN COc1ncc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1Cl ZINC000512729038 1071562329 /nfs/dbraw/zinc/56/23/29/1071562329.db2.gz ORCCAGOOFGPAFV-ZDUSSCGKSA-N 0 0 430.914 -0.195 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](CO)c2ccc(Cl)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000512730848 1071562483 /nfs/dbraw/zinc/56/24/83/1071562483.db2.gz WPVMOCGYCCMPPC-DLBZAZTESA-N 0 0 443.953 -0.137 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](CO)c2ccc(Cl)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000512730850 1071562528 /nfs/dbraw/zinc/56/25/28/1071562528.db2.gz WPVMOCGYCCMPPC-IAGOWNOFSA-N 0 0 443.953 -0.137 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](CO)c2ccc(Cl)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000512730852 1071562538 /nfs/dbraw/zinc/56/25/38/1071562538.db2.gz WPVMOCGYCCMPPC-IRXDYDNUSA-N 0 0 443.953 -0.137 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](CO)c2ccc(Cl)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000512730854 1071562321 /nfs/dbraw/zinc/56/23/21/1071562321.db2.gz WPVMOCGYCCMPPC-SJORKVTESA-N 0 0 443.953 -0.137 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnc(OCC3CC3)cn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000512731270 1071562339 /nfs/dbraw/zinc/56/23/39/1071562339.db2.gz YHQKVIULYZEPAQ-HNNXBMFYSA-N 0 0 437.522 -0.674 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnc(OCC3CC3)cn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000512731271 1071562518 /nfs/dbraw/zinc/56/25/18/1071562518.db2.gz YHQKVIULYZEPAQ-OAHLLOKOSA-N 0 0 437.522 -0.674 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnnn2Cc2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000512734430 1071562493 /nfs/dbraw/zinc/56/24/93/1071562493.db2.gz JZSVCOALHFPOSG-KRWDZBQOSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnnn2Cc2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000512734435 1071562371 /nfs/dbraw/zinc/56/23/71/1071562371.db2.gz JZSVCOALHFPOSG-QGZVFWFLSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(NC(=O)C3CC3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000512736345 1071563075 /nfs/dbraw/zinc/56/30/75/1071563075.db2.gz SXGOVFQNMACMDU-INIZCTEOSA-N 0 0 449.533 -0.509 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(NC(=O)C3CC3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000512736348 1071563113 /nfs/dbraw/zinc/56/31/13/1071563113.db2.gz SXGOVFQNMACMDU-MRXNPFEDSA-N 0 0 449.533 -0.509 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000512740722 1071562986 /nfs/dbraw/zinc/56/29/86/1071562986.db2.gz RCQPNDFNHPTKPR-KFKAGJAMSA-N 0 0 449.529 -0.384 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000512740725 1071563128 /nfs/dbraw/zinc/56/31/28/1071563128.db2.gz RCQPNDFNHPTKPR-ZYSHUDEJSA-N 0 0 449.529 -0.384 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000512742101 1071563017 /nfs/dbraw/zinc/56/30/17/1071563017.db2.gz GSVMKRIHYNXWPA-UHFFFAOYSA-N 0 0 431.536 -0.946 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000512742630 1074356130 /nfs/dbraw/zinc/35/61/30/1074356130.db2.gz AUBOKAZJYZCKML-UHFFFAOYSA-N 0 0 447.477 -0.465 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000512744047 1071563152 /nfs/dbraw/zinc/56/31/52/1071563152.db2.gz LLLZVRJXEWLCTN-WMLDXEAASA-N 0 0 445.538 -0.223 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000512744794 1071563527 /nfs/dbraw/zinc/56/35/27/1071563527.db2.gz RVPSSCMWVCYXKF-DOTOQJQBSA-N 0 0 427.548 -0.362 20 0 IBADRN CCn1c(=O)c(C(=O)CN2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000512745277 1071563179 /nfs/dbraw/zinc/56/31/79/1071563179.db2.gz XFBREGFZLCTBNP-DOTOQJQBSA-N 0 0 446.529 -0.038 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1 ZINC000512745329 1071563666 /nfs/dbraw/zinc/56/36/66/1071563666.db2.gz QYTLZJKMBRJRKW-UHFFFAOYSA-N 0 0 444.492 -0.117 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCCn4cccc4)CC3)C2=O)n(C)n1 ZINC000512745338 1071563726 /nfs/dbraw/zinc/56/37/26/1071563726.db2.gz RARKCRPWJYYDQQ-GOSISDBHSA-N 0 0 441.536 -0.014 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCCn4cccc4)CC3)C2=O)n(C)n1 ZINC000512745339 1071563501 /nfs/dbraw/zinc/56/35/01/1071563501.db2.gz RARKCRPWJYYDQQ-SFHVURJKSA-N 0 0 441.536 -0.014 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000512746441 1071563576 /nfs/dbraw/zinc/56/35/76/1071563576.db2.gz ICZVSHCIRJLJHS-UHFFFAOYSA-N 0 0 437.490 -0.472 20 0 IBADRN CN(Cc1cscn1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000512747284 1071563065 /nfs/dbraw/zinc/56/30/65/1071563065.db2.gz BQJFSTKNFMTWMI-UHFFFAOYSA-N 0 0 437.503 -0.139 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000512747647 1071563648 /nfs/dbraw/zinc/56/36/48/1071563648.db2.gz UAIFYCDQZQXCAG-UHFFFAOYSA-N 0 0 437.565 -0.884 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1C(=O)OC ZINC000512749318 1071563518 /nfs/dbraw/zinc/56/35/18/1071563518.db2.gz LNHSSHPDQWHAOM-UHFFFAOYSA-N 0 0 442.494 -0.696 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000512749668 1071562998 /nfs/dbraw/zinc/56/29/98/1071562998.db2.gz NBDKRSYGVOQCLK-UHFFFAOYSA-N 0 0 425.467 -0.342 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000512750942 1071563619 /nfs/dbraw/zinc/56/36/19/1071563619.db2.gz YRYPHQSWAQBUPG-ZWKOTPCHSA-N 0 0 441.575 -0.221 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000512752783 1071563507 /nfs/dbraw/zinc/56/35/07/1071563507.db2.gz RKLKASOZGFFPEX-UHFFFAOYSA-N 0 0 437.490 -0.472 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](CO)C(N)=O)CC2)cc1 ZINC000512753597 1071563597 /nfs/dbraw/zinc/56/35/97/1071563597.db2.gz JTONVIXEDAKZCO-HNNXBMFYSA-N 0 0 427.479 -0.774 20 0 IBADRN O=C(NCCn1cccc1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000512755914 1071563738 /nfs/dbraw/zinc/56/37/38/1071563738.db2.gz MQVMRSAQHVCTHB-UHFFFAOYSA-N 0 0 434.522 -0.733 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)CC1 ZINC000512755916 1071563553 /nfs/dbraw/zinc/56/35/53/1071563553.db2.gz MRTLAPOUEJNHMR-UHFFFAOYSA-N 0 0 436.465 -0.245 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N[C@@H](CO)C(N)=O)CC2=O)cc1 ZINC000512758273 1071564132 /nfs/dbraw/zinc/56/41/32/1071564132.db2.gz BCARLWYBQOTMKT-DOMZBBRYSA-N 0 0 426.495 -0.968 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N[C@@H](CO)C(N)=O)CC2=O)cc1 ZINC000512758274 1071564112 /nfs/dbraw/zinc/56/41/12/1071564112.db2.gz BCARLWYBQOTMKT-WFASDCNBSA-N 0 0 426.495 -0.968 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N[C@H](C(=O)N[C@@H](CO)C(N)=O)C(C)C)c1 ZINC000512758750 1071563678 /nfs/dbraw/zinc/56/36/78/1071563678.db2.gz KXQIMJVHJOLCEG-HOTGVXAUSA-N 0 0 442.538 -0.566 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N[C@@H](C(=O)N[C@@H](CO)C(N)=O)C(C)C)c1 ZINC000512758751 1071563585 /nfs/dbraw/zinc/56/35/85/1071563585.db2.gz KXQIMJVHJOLCEG-JKSUJKDBSA-N 0 0 442.538 -0.566 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCn2cccc2)CC1 ZINC000512760907 1071563484 /nfs/dbraw/zinc/56/34/84/1071563484.db2.gz SZHCOIQEZYSDET-UHFFFAOYSA-N 0 0 433.534 -0.143 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000512762439 1071564272 /nfs/dbraw/zinc/56/42/72/1071564272.db2.gz BKRNQHXEIVFYAD-GWCFXTLKSA-N 0 0 434.312 -0.188 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000512762440 1071564321 /nfs/dbraw/zinc/56/43/21/1071564321.db2.gz BKRNQHXEIVFYAD-MFKMUULPSA-N 0 0 434.312 -0.188 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N[C@@H](CO)C(N)=O)n2C ZINC000512763678 1071564124 /nfs/dbraw/zinc/56/41/24/1071564124.db2.gz SGOFQYHHWWRAIF-AWEZNQCLSA-N 0 0 425.511 -0.501 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCCN1CCN(C(C)=O)CC1 ZINC000512764283 1071566119 /nfs/dbraw/zinc/56/61/19/1071566119.db2.gz CZTKYAWXJQJJFS-UHFFFAOYSA-N 0 0 436.465 -0.291 20 0 IBADRN CN(C)c1nc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2o1 ZINC000512764529 1071566176 /nfs/dbraw/zinc/56/61/76/1071566176.db2.gz HQYUEMXJSJXWIK-UHFFFAOYSA-N 0 0 428.449 -0.218 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000512765005 1071566029 /nfs/dbraw/zinc/56/60/29/1071566029.db2.gz OUGSCOGGOFXCRO-UHFFFAOYSA-N 0 0 432.433 -0.630 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000512765098 1071566187 /nfs/dbraw/zinc/56/61/87/1071566187.db2.gz QJIOLNAYJJVDBI-UHFFFAOYSA-N 0 0 438.387 -0.361 20 0 IBADRN Cn1c(CCC(=O)N[C@@H](CO)C(N)=O)nc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000512766436 1071566167 /nfs/dbraw/zinc/56/61/67/1071566167.db2.gz GMYLCJICUSGOQI-HNNXBMFYSA-N 0 0 437.522 -0.357 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000512766784 1071565408 /nfs/dbraw/zinc/56/54/08/1071565408.db2.gz MAJNLAKUMOJQOY-UHFFFAOYSA-N 0 0 445.563 -0.120 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)s2)C1 ZINC000512767043 1071565606 /nfs/dbraw/zinc/56/56/06/1071565606.db2.gz MQVIQUONWPUPKJ-BDAKNGLRSA-N 0 0 440.341 -0.126 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(Br)s2)C1 ZINC000512767044 1071565527 /nfs/dbraw/zinc/56/55/27/1071565527.db2.gz MQVIQUONWPUPKJ-IUCAKERBSA-N 0 0 440.341 -0.126 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000512769328 1071566131 /nfs/dbraw/zinc/56/61/31/1071566131.db2.gz SFHYMOBXDNRMPH-UHFFFAOYSA-N 0 0 425.467 -0.342 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCCNS(C)(=O)=O ZINC000512769715 1071566051 /nfs/dbraw/zinc/56/60/51/1071566051.db2.gz YNZXLPFVQGFNIG-UHFFFAOYSA-N 0 0 442.494 -0.696 20 0 IBADRN O=C(CN1CCN(CC(F)(F)F)C(=O)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000512770127 1071566199 /nfs/dbraw/zinc/56/61/99/1071566199.db2.gz HFQRMWQTUXFKMD-CYBMUJFWSA-N 0 0 426.461 -0.976 20 0 IBADRN O=C(CN1CCN(CC(F)(F)F)C(=O)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000512770131 1071566071 /nfs/dbraw/zinc/56/60/71/1071566071.db2.gz HFQRMWQTUXFKMD-ZDUSSCGKSA-N 0 0 426.461 -0.976 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)n2)cc1 ZINC000512771526 1071566153 /nfs/dbraw/zinc/56/61/53/1071566153.db2.gz MTEMSIZVBIKLTA-UHFFFAOYSA-N 0 0 435.510 -0.630 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)C1CCN(S(=O)(=O)c2cccc(OC(F)(F)F)c2)CC1 ZINC000512771610 1071566669 /nfs/dbraw/zinc/56/66/69/1071566669.db2.gz XSNCESPLMIKDAI-ZDUSSCGKSA-N 0 0 439.412 -0.052 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccccc2)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000512771931 1071566560 /nfs/dbraw/zinc/56/65/60/1071566560.db2.gz RMZRRHUPFSWKNV-UHFFFAOYSA-N 0 0 433.490 -0.433 20 0 IBADRN O=C(CCn1ncc(=O)c2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000512772155 1071566700 /nfs/dbraw/zinc/56/67/00/1071566700.db2.gz VYUQYRZNPDGZSN-UHFFFAOYSA-N 0 0 435.506 -0.492 20 0 IBADRN COC(=O)c1ccc(Cl)cc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000512772793 1071566654 /nfs/dbraw/zinc/56/66/54/1071566654.db2.gz FHLVZZQLLURAAY-LLVKDONJSA-N 0 0 445.881 -0.125 20 0 IBADRN COC(=O)c1ccc(Cl)cc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000512772794 1071566685 /nfs/dbraw/zinc/56/66/85/1071566685.db2.gz FHLVZZQLLURAAY-NSHDSACASA-N 0 0 445.881 -0.125 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000512772875 1071566642 /nfs/dbraw/zinc/56/66/42/1071566642.db2.gz GHYMAGGAKPMGQF-GFCCVEGCSA-N 0 0 425.463 -0.470 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000512772876 1071566588 /nfs/dbraw/zinc/56/65/88/1071566588.db2.gz GHYMAGGAKPMGQF-LBPRGKRZSA-N 0 0 425.463 -0.470 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000512773058 1071566646 /nfs/dbraw/zinc/56/66/46/1071566646.db2.gz IFKRONVMLSPZLC-GFCCVEGCSA-N 0 0 425.463 -0.389 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000512773059 1071566633 /nfs/dbraw/zinc/56/66/33/1071566633.db2.gz IFKRONVMLSPZLC-LBPRGKRZSA-N 0 0 425.463 -0.389 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCn3cccc3)CC2)C[C@H](C)O1 ZINC000512773183 1071566737 /nfs/dbraw/zinc/56/67/37/1071566737.db2.gz JQDMBVVUDPHISY-HOTGVXAUSA-N 0 0 427.527 -0.898 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCn3cccc3)CC2)C[C@@H](C)O1 ZINC000512773184 1071566603 /nfs/dbraw/zinc/56/66/03/1071566603.db2.gz JQDMBVVUDPHISY-HZPDHXFCSA-N 0 0 427.527 -0.898 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCn3cccc3)CC2)C[C@H](C)O1 ZINC000512773185 1071566707 /nfs/dbraw/zinc/56/67/07/1071566707.db2.gz JQDMBVVUDPHISY-IYBDPMFKSA-N 0 0 427.527 -0.898 20 0 IBADRN COC(=O)c1c(C)cccc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000512773869 1071566678 /nfs/dbraw/zinc/56/66/78/1071566678.db2.gz SKRJRUBZDXMWRZ-GFCCVEGCSA-N 0 0 425.463 -0.470 20 0 IBADRN COC(=O)c1c(C)cccc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000512773870 1071566692 /nfs/dbraw/zinc/56/66/92/1071566692.db2.gz SKRJRUBZDXMWRZ-LBPRGKRZSA-N 0 0 425.463 -0.470 20 0 IBADRN Cc1oc2ncn(C)c(=O)c2c1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000512776335 1071567240 /nfs/dbraw/zinc/56/72/40/1071567240.db2.gz MBDOMYDFHLYLHD-UHFFFAOYSA-N 0 0 425.467 -0.830 20 0 IBADRN COc1ccc([C@H](CNC(=O)CN2CCS(=O)(=O)CC2)N2CCOCC2)cc1OC ZINC000512776475 1071567295 /nfs/dbraw/zinc/56/72/95/1071567295.db2.gz OJKHXDOWTJJHGI-KRWDZBQOSA-N 0 0 441.550 -0.076 20 0 IBADRN COc1ccc([C@@H](CNC(=O)CN2CCS(=O)(=O)CC2)N2CCOCC2)cc1OC ZINC000512776476 1071567249 /nfs/dbraw/zinc/56/72/49/1071567249.db2.gz OJKHXDOWTJJHGI-QGZVFWFLSA-N 0 0 441.550 -0.076 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)c1 ZINC000512776941 1071567230 /nfs/dbraw/zinc/56/72/30/1071567230.db2.gz WSSXUNOBJOLDRW-UHFFFAOYSA-N 0 0 443.547 -0.548 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)COc3ccc(C(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000512777006 1071567179 /nfs/dbraw/zinc/56/71/79/1071567179.db2.gz XVFUZARWVYMDCZ-GASCZTMLSA-N 0 0 440.522 -0.338 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)COc3ccc(C(N)=O)cc3)CC2)C[C@H](C)O1 ZINC000512777007 1071567319 /nfs/dbraw/zinc/56/73/19/1071567319.db2.gz XVFUZARWVYMDCZ-GJZGRUSLSA-N 0 0 440.522 -0.338 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)COc3ccc(C(N)=O)cc3)CC2)C[C@@H](C)O1 ZINC000512777008 1071567205 /nfs/dbraw/zinc/56/72/05/1071567205.db2.gz XVFUZARWVYMDCZ-HUUCEWRRSA-N 0 0 440.522 -0.338 20 0 IBADRN COC(=O)c1cc(Cl)ccc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000512779520 1071567115 /nfs/dbraw/zinc/56/71/15/1071567115.db2.gz UCMVADQVANQZSY-LLVKDONJSA-N 0 0 445.881 -0.125 20 0 IBADRN COC(=O)c1cc(Cl)ccc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000512779522 1071567336 /nfs/dbraw/zinc/56/73/36/1071567336.db2.gz UCMVADQVANQZSY-NSHDSACASA-N 0 0 445.881 -0.125 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCCNS(C)(=O)=O)c2)CC1 ZINC000512779640 1071567146 /nfs/dbraw/zinc/56/71/46/1071567146.db2.gz SFNYEQCEJIFWCO-UHFFFAOYSA-N 0 0 433.556 -0.317 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccc(-n4cnnn4)c3)CC2)C[C@H](C)O1 ZINC000512781386 1071567312 /nfs/dbraw/zinc/56/73/12/1071567312.db2.gz QQWWFQPOVZUBRC-GASCZTMLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccc(-n4cnnn4)c3)CC2)C[C@H](C)O1 ZINC000512781387 1071567215 /nfs/dbraw/zinc/56/72/15/1071567215.db2.gz QQWWFQPOVZUBRC-GJZGRUSLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccc(-n4cnnn4)c3)CC2)C[C@@H](C)O1 ZINC000512781388 1071567259 /nfs/dbraw/zinc/56/72/59/1071567259.db2.gz QQWWFQPOVZUBRC-HUUCEWRRSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)cnc4ccccc43)CC2)C[C@H](C)O1 ZINC000512781804 1071567191 /nfs/dbraw/zinc/56/71/91/1071567191.db2.gz YAVLIVAMLWTREB-HOTGVXAUSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)cnc4ccccc43)CC2)C[C@@H](C)O1 ZINC000512781805 1071567329 /nfs/dbraw/zinc/56/73/29/1071567329.db2.gz YAVLIVAMLWTREB-HZPDHXFCSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)cnc4ccccc43)CC2)C[C@H](C)O1 ZINC000512781806 1071567345 /nfs/dbraw/zinc/56/73/45/1071567345.db2.gz YAVLIVAMLWTREB-IYBDPMFKSA-N 0 0 449.533 -0.105 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000512781814 1071567301 /nfs/dbraw/zinc/56/73/01/1071567301.db2.gz YFARJLCEEADXHB-UHFFFAOYSA-N 0 0 430.552 -0.337 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000512783806 1071567690 /nfs/dbraw/zinc/56/76/90/1071567690.db2.gz OVWCTAOUVZRRPE-UHFFFAOYSA-N 0 0 434.424 -0.156 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000512783889 1071567820 /nfs/dbraw/zinc/56/78/20/1071567820.db2.gz PJRQBQNOAFIMKP-UHFFFAOYSA-N 0 0 446.460 -0.287 20 0 IBADRN COC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000512784139 1071567843 /nfs/dbraw/zinc/56/78/43/1071567843.db2.gz RLFPVDNLXBJXTN-UHFFFAOYSA-N 0 0 434.424 -0.156 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(CC(F)(F)F)C(=O)C2)CC1 ZINC000512784362 1071567757 /nfs/dbraw/zinc/56/77/57/1071567757.db2.gz XCFBFZCNIDFAMJ-UHFFFAOYSA-N 0 0 440.430 -0.282 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4ccccn4c3=O)CC2)C[C@H](C)O1 ZINC000512790327 1071567730 /nfs/dbraw/zinc/56/77/30/1071567730.db2.gz KKNOHQGIEMZHJP-GASCZTMLSA-N 0 0 435.506 -0.194 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4ccccn4c3=O)CC2)C[C@H](C)O1 ZINC000512790328 1071568312 /nfs/dbraw/zinc/56/83/12/1071568312.db2.gz KKNOHQGIEMZHJP-GJZGRUSLSA-N 0 0 435.506 -0.194 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4ccccn4c3=O)CC2)C[C@@H](C)O1 ZINC000512790329 1071568399 /nfs/dbraw/zinc/56/83/99/1071568399.db2.gz KKNOHQGIEMZHJP-HUUCEWRRSA-N 0 0 435.506 -0.194 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000512790438 1071567811 /nfs/dbraw/zinc/56/78/11/1071567811.db2.gz MQELVDGVPQSBTK-HOTGVXAUSA-N 0 0 439.600 -0.055 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000512790439 1071567852 /nfs/dbraw/zinc/56/78/52/1071567852.db2.gz MQELVDGVPQSBTK-HZPDHXFCSA-N 0 0 439.600 -0.055 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000512790440 1071567718 /nfs/dbraw/zinc/56/77/18/1071567718.db2.gz MQELVDGVPQSBTK-IYBDPMFKSA-N 0 0 439.600 -0.055 20 0 IBADRN Cc1ccc(-n2cc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)nn2)cc1 ZINC000512790875 1071568275 /nfs/dbraw/zinc/56/82/75/1071568275.db2.gz UEOOKRMFFUNSGZ-UHFFFAOYSA-N 0 0 433.538 -0.174 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CN2CCS(=O)(=O)CC2)CC1 ZINC000512794405 1071567790 /nfs/dbraw/zinc/56/77/90/1071567790.db2.gz BEBXIAWKIXOLLI-UHFFFAOYSA-N 0 0 434.540 -0.755 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3ccc(=O)c4ccccc43)CC2)CC1 ZINC000512795222 1071568452 /nfs/dbraw/zinc/56/84/52/1071568452.db2.gz PITZYVWILUNBJJ-UHFFFAOYSA-N 0 0 433.534 -0.362 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000512796864 1071568252 /nfs/dbraw/zinc/56/82/52/1071568252.db2.gz HEWOKUWMIVWCJQ-AWEZNQCLSA-N 0 0 441.510 -0.683 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000512796865 1071568466 /nfs/dbraw/zinc/56/84/66/1071568466.db2.gz HEWOKUWMIVWCJQ-CQSZACIVSA-N 0 0 441.510 -0.683 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCn3ncc(=O)c4ccccc43)CC2)CC1 ZINC000512799937 1071568447 /nfs/dbraw/zinc/56/84/47/1071568447.db2.gz RMKVTECKRYKHDI-UHFFFAOYSA-N 0 0 448.549 -0.577 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C1(CO)CC1 ZINC000512802095 1071569176 /nfs/dbraw/zinc/56/91/76/1071569176.db2.gz QMBNJZBEYTWNQC-UHFFFAOYSA-N 0 0 425.507 -0.253 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)C3(CO)CC3)CC2)c1 ZINC000512802890 1071569217 /nfs/dbraw/zinc/56/92/17/1071569217.db2.gz XVNFLEUHDOYELS-UHFFFAOYSA-N 0 0 427.523 -0.007 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)n2)cc1 ZINC000512803943 1071569513 /nfs/dbraw/zinc/56/95/13/1071569513.db2.gz FMDCAFPIBZSMES-UHFFFAOYSA-N 0 0 448.553 -0.715 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)N3CCNC(=O)[C@H]3CC(=O)OC)cc(C3CC3)nc21 ZINC000512806968 1071568925 /nfs/dbraw/zinc/56/89/25/1071568925.db2.gz BRROLAVFDREWPR-CYBMUJFWSA-N 0 0 429.433 -0.102 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)N3CCNC(=O)[C@@H]3CC(=O)OC)cc(C3CC3)nc21 ZINC000512806969 1071569205 /nfs/dbraw/zinc/56/92/05/1071569205.db2.gz BRROLAVFDREWPR-ZDUSSCGKSA-N 0 0 429.433 -0.102 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)NCCN3CCNC(=O)C3)c2)CC1 ZINC000512808020 1071569108 /nfs/dbraw/zinc/56/91/08/1071569108.db2.gz MPPCEYXYBHDKQA-UHFFFAOYSA-N 0 0 438.554 -0.434 20 0 IBADRN Cc1c(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nnn1-c1ccccc1 ZINC000512808364 1071569081 /nfs/dbraw/zinc/56/90/81/1071569081.db2.gz PAGPXCHEMFZXBG-UHFFFAOYSA-N 0 0 447.565 -0.245 20 0 IBADRN CCCc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)cc1 ZINC000512809103 1071569042 /nfs/dbraw/zinc/56/90/42/1071569042.db2.gz INXQXSFLFRTBFN-UHFFFAOYSA-N 0 0 436.490 -0.107 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000512814641 1071570312 /nfs/dbraw/zinc/57/03/12/1071570312.db2.gz HUAOPRFJNPKLEO-UHFFFAOYSA-N 0 0 434.522 -0.095 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000512815045 1071570472 /nfs/dbraw/zinc/57/04/72/1071570472.db2.gz KTIQQROCQPANEE-UHFFFAOYSA-N 0 0 448.549 -0.008 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC3CCCCC3)CC2)c(=O)n(C)c1=O ZINC000512815749 1071571057 /nfs/dbraw/zinc/57/10/57/1071571057.db2.gz SCKFGOHNXWFOEZ-UHFFFAOYSA-N 0 0 427.527 -0.131 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(c3cccnn3)CC2)c1 ZINC000512840490 1071572659 /nfs/dbraw/zinc/57/26/59/1071572659.db2.gz OASWBDGDOSURCT-UHFFFAOYSA-N 0 0 432.506 -0.195 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000512847112 1071573794 /nfs/dbraw/zinc/57/37/94/1071573794.db2.gz SWFLNRUXXKZASQ-UHFFFAOYSA-N 0 0 436.465 -0.291 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1 ZINC000512853528 1071573821 /nfs/dbraw/zinc/57/38/21/1071573821.db2.gz ZDWGGUDWNISJJD-UHFFFAOYSA-N 0 0 444.492 -0.117 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCCN2CCNC(=O)C2)cc1 ZINC000512853634 1071573343 /nfs/dbraw/zinc/57/33/43/1071573343.db2.gz APUMOSRQDJXDEC-ZDUSSCGKSA-N 0 0 425.511 -0.750 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NCCCN2CCNC(=O)C2)CC1 ZINC000512853879 1071573140 /nfs/dbraw/zinc/57/31/40/1071573140.db2.gz DJKHFARTQXQXMJ-UHFFFAOYSA-N 0 0 427.527 -0.370 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CSCC(=O)N3CCOCC3)CC2)cc1 ZINC000512854745 1071573225 /nfs/dbraw/zinc/57/32/25/1071573225.db2.gz CKGDWTACBKFNSY-UHFFFAOYSA-N 0 0 442.563 -0.425 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H](C(=O)Nc1cn[nH]c1)c1ccccc1)c(=O)n2C ZINC000512854959 1071573832 /nfs/dbraw/zinc/57/38/32/1071573832.db2.gz LPZMGOZVMICZHH-HNNXBMFYSA-N 0 0 436.432 -0.347 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H](C(=O)Nc1cn[nH]c1)c1ccccc1)c(=O)n2C ZINC000512854968 1071573847 /nfs/dbraw/zinc/57/38/47/1071573847.db2.gz LPZMGOZVMICZHH-OAHLLOKOSA-N 0 0 436.432 -0.347 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000512855624 1071573857 /nfs/dbraw/zinc/57/38/57/1071573857.db2.gz HGDGZIQSYRPUEJ-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000512856308 1071573749 /nfs/dbraw/zinc/57/37/49/1071573749.db2.gz KHTOMIXHVFTYAM-UHFFFAOYSA-N 0 0 429.451 -0.467 20 0 IBADRN COC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000512856316 1071573879 /nfs/dbraw/zinc/57/38/79/1071573879.db2.gz KIVUQAYOLFVPES-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN COC(=O)c1c(C)cccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000512856359 1071573769 /nfs/dbraw/zinc/57/37/69/1071573769.db2.gz KTVSEPLQZYBXRO-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CN(C)c1nc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2o1 ZINC000512856642 1071573782 /nfs/dbraw/zinc/57/37/82/1071573782.db2.gz MMCQLIJDNAGHSS-UHFFFAOYSA-N 0 0 444.492 -0.165 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000512857194 1071574414 /nfs/dbraw/zinc/57/44/14/1071574414.db2.gz OKCAOUHBAIWXQC-UHFFFAOYSA-N 0 0 448.476 -0.148 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000512857235 1071574403 /nfs/dbraw/zinc/57/44/03/1071574403.db2.gz PAIFJUACRMJWIC-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000512857520 1071574329 /nfs/dbraw/zinc/57/43/29/1071574329.db2.gz QMOWEMXLHAZEIV-UHFFFAOYSA-N 0 0 440.403 -0.115 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1 ZINC000512857573 1071574287 /nfs/dbraw/zinc/57/42/87/1071574287.db2.gz RECWNZBUXMCEKO-UHFFFAOYSA-N 0 0 437.478 -0.293 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000512857840 1071573788 /nfs/dbraw/zinc/57/37/88/1071573788.db2.gz SEKKMRNYVOBPFQ-UHFFFAOYSA-N 0 0 434.449 -0.384 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000512857914 1071574366 /nfs/dbraw/zinc/57/43/66/1071574366.db2.gz TWEZKJGFXSJPOH-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000512858174 1071574385 /nfs/dbraw/zinc/57/43/85/1071574385.db2.gz VAWBVFCRNXNKRY-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc(OC)cc1OC ZINC000512858938 1071574294 /nfs/dbraw/zinc/57/42/94/1071574294.db2.gz ZYMIOZXDOQNJKD-UHFFFAOYSA-N 0 0 443.478 -0.077 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000512859408 1071574314 /nfs/dbraw/zinc/57/43/14/1071574314.db2.gz CETQDRGZLQEQPB-UHFFFAOYSA-N 0 0 443.478 -0.077 20 0 IBADRN COC(=O)c1c(C)cccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512859953 1071574351 /nfs/dbraw/zinc/57/43/51/1071574351.db2.gz FBHUHSAXQZUEMU-UHFFFAOYSA-N 0 0 446.460 -0.750 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512861896 1071573803 /nfs/dbraw/zinc/57/38/03/1071573803.db2.gz OBWSLKIARQTJHT-UHFFFAOYSA-N 0 0 446.460 -0.750 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512862882 1071573892 /nfs/dbraw/zinc/57/38/92/1071573892.db2.gz UPLKFTSVYYDXNN-UHFFFAOYSA-N 0 0 446.460 -0.669 20 0 IBADRN Cc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)OCO2 ZINC000512863230 1071573757 /nfs/dbraw/zinc/57/37/57/1071573757.db2.gz XJKQHYDSSRZGFS-UHFFFAOYSA-N 0 0 432.433 -0.808 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)NCc1ccc(C(=O)NCC(N)=O)cc1 ZINC000512867769 1071575979 /nfs/dbraw/zinc/57/59/79/1071575979.db2.gz USARBIHPPLNDDD-UHFFFAOYSA-N 0 0 442.428 -0.048 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C(=O)OC)CC1 ZINC000512872220 1071575972 /nfs/dbraw/zinc/57/59/72/1071575972.db2.gz LAXZHBPYYRXUPN-UHFFFAOYSA-N 0 0 448.476 -0.435 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)CC1 ZINC000512872516 1071575833 /nfs/dbraw/zinc/57/58/33/1071575833.db2.gz OSVAIOWZWQRZQP-UHFFFAOYSA-N 0 0 436.465 -0.291 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000512873388 1071575927 /nfs/dbraw/zinc/57/59/27/1071575927.db2.gz ZIYBWZJXEWCMET-AWEZNQCLSA-N 0 0 439.490 -0.106 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000512873389 1071575989 /nfs/dbraw/zinc/57/59/89/1071575989.db2.gz ZIYBWZJXEWCMET-CQSZACIVSA-N 0 0 439.490 -0.106 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCN(C(=O)Nc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000512876011 1071576366 /nfs/dbraw/zinc/57/63/66/1071576366.db2.gz MBWLVWRHBCQTMD-AWEZNQCLSA-N 0 0 425.449 -0.144 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCN(C(=O)Nc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000512876012 1071576499 /nfs/dbraw/zinc/57/64/99/1071576499.db2.gz MBWLVWRHBCQTMD-CQSZACIVSA-N 0 0 425.449 -0.144 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCCN2CCNC(=O)C2)cc1OC ZINC000512876059 1071576828 /nfs/dbraw/zinc/57/68/28/1071576828.db2.gz MKVWSVRMTBNPCL-UHFFFAOYSA-N 0 0 428.511 -0.690 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)N2CCN(C(C)=O)CC2)nc1 ZINC000512876734 1071576460 /nfs/dbraw/zinc/57/64/60/1071576460.db2.gz VQAMBDGJJNLWMX-UHFFFAOYSA-N 0 0 446.551 -0.424 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1 ZINC000512877466 1071576390 /nfs/dbraw/zinc/57/63/90/1071576390.db2.gz FSOKJBZEUBDQGC-UHFFFAOYSA-N 0 0 444.492 -0.117 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1 ZINC000512878150 1071576500 /nfs/dbraw/zinc/57/65/00/1071576500.db2.gz NPRDWWNWRTZIKG-UHFFFAOYSA-N 0 0 431.449 -0.080 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)Cc2nc(N)nc(N(C)C)n2)nc1 ZINC000512890175 1073355751 /nfs/dbraw/zinc/35/57/51/1073355751.db2.gz IPQNFPQOVGWFPG-UHFFFAOYSA-N 0 0 429.528 -0.081 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCCN3CCNC(=O)C3)CC2)cc1 ZINC000512890563 1071576867 /nfs/dbraw/zinc/57/68/67/1071576867.db2.gz LRHPYSIMCJOBQJ-UHFFFAOYSA-N 0 0 437.566 -0.761 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc(F)cc1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000512891171 1071576928 /nfs/dbraw/zinc/57/69/28/1071576928.db2.gz SXAAJVPZAMFEHX-UHFFFAOYSA-N 0 0 439.473 -0.758 20 0 IBADRN CCc1ccc(C(=O)NCCCN2CCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000512896947 1071576958 /nfs/dbraw/zinc/57/69/58/1071576958.db2.gz UDRSGMQRAPYMFQ-UHFFFAOYSA-N 0 0 438.550 -0.178 20 0 IBADRN O=C(N[C@@H]1CCCN(c2ccccc2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512898229 1071576947 /nfs/dbraw/zinc/57/69/47/1071576947.db2.gz ZGUBNYDQKZHXMQ-GOSISDBHSA-N 0 0 443.504 -0.105 20 0 IBADRN O=C(N[C@H]1CCCN(c2ccccc2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512898230 1071576840 /nfs/dbraw/zinc/57/68/40/1071576840.db2.gz ZGUBNYDQKZHXMQ-SFHVURJKSA-N 0 0 443.504 -0.105 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000512901176 1071577456 /nfs/dbraw/zinc/57/74/56/1071577456.db2.gz HNAAAMQCSRKVGA-NEPJUHHUSA-N 0 0 427.508 -0.153 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000512901200 1071577467 /nfs/dbraw/zinc/57/74/67/1071577467.db2.gz HNAAAMQCSRKVGA-VXGBXAGGSA-N 0 0 427.508 -0.153 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCN(C(=O)Nc3ccccc3)C1)c(=O)n2C ZINC000512905286 1071580065 /nfs/dbraw/zinc/58/00/65/1071580065.db2.gz MCNBQXQXRWLBGL-AWEZNQCLSA-N 0 0 425.449 -0.144 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCN(C(=O)Nc3ccccc3)C1)c(=O)n2C ZINC000512905287 1071580034 /nfs/dbraw/zinc/58/00/34/1071580034.db2.gz MCNBQXQXRWLBGL-CQSZACIVSA-N 0 0 425.449 -0.144 20 0 IBADRN CC(C)C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1nc(-c2nc[nH]n2)no1 ZINC000512910081 1071580108 /nfs/dbraw/zinc/58/01/08/1071580108.db2.gz LBFVKIYASLYSHN-JTQLQIEISA-N 0 0 442.440 -0.495 20 0 IBADRN CC(C)C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1nc(-c2nc[nH]n2)no1 ZINC000512910082 1071579968 /nfs/dbraw/zinc/57/99/68/1071579968.db2.gz LBFVKIYASLYSHN-SNVBAGLBSA-N 0 0 442.440 -0.495 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC000512911881 1071579978 /nfs/dbraw/zinc/57/99/78/1071579978.db2.gz LBPBYZBZWIHIOD-AAEUAGOBSA-N 0 0 428.898 -0.159 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC000512911882 1071580059 /nfs/dbraw/zinc/58/00/59/1071580059.db2.gz LBPBYZBZWIHIOD-DGCLKSJQSA-N 0 0 428.898 -0.159 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC000512911883 1071580142 /nfs/dbraw/zinc/58/01/42/1071580142.db2.gz LBPBYZBZWIHIOD-WCQYABFASA-N 0 0 428.898 -0.159 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC000512911884 1071580044 /nfs/dbraw/zinc/58/00/44/1071580044.db2.gz LBPBYZBZWIHIOD-YPMHNXCESA-N 0 0 428.898 -0.159 20 0 IBADRN COc1cccc(OC)c1OC[C@@H](O)CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O ZINC000512916796 1071580586 /nfs/dbraw/zinc/58/05/86/1071580586.db2.gz FOKCZUYREFRJGR-KBPBESRZSA-N 0 0 437.449 -0.387 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O ZINC000512916797 1071580490 /nfs/dbraw/zinc/58/04/90/1071580490.db2.gz FOKCZUYREFRJGR-KGLIPLIRSA-N 0 0 437.449 -0.387 20 0 IBADRN COc1cccc(OC)c1OC[C@@H](O)CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O ZINC000512916798 1071580674 /nfs/dbraw/zinc/58/06/74/1071580674.db2.gz FOKCZUYREFRJGR-UONOGXRCSA-N 0 0 437.449 -0.387 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O ZINC000512916799 1071580547 /nfs/dbraw/zinc/58/05/47/1071580547.db2.gz FOKCZUYREFRJGR-ZIAGYGMSSA-N 0 0 437.449 -0.387 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000512922772 1071580647 /nfs/dbraw/zinc/58/06/47/1071580647.db2.gz MKRWZOWJEQTZLN-UHFFFAOYSA-N 0 0 436.490 -0.714 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000512928144 1071580504 /nfs/dbraw/zinc/58/05/04/1071580504.db2.gz WKZVHCZOULXDBQ-UHFFFAOYSA-N 0 0 438.506 -0.468 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC000512928602 1071580633 /nfs/dbraw/zinc/58/06/33/1071580633.db2.gz ZATZFZAPTITOPJ-AWEZNQCLSA-N 0 0 442.925 -0.041 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3Cl)C2=O)C1 ZINC000512928603 1071580520 /nfs/dbraw/zinc/58/05/20/1071580520.db2.gz ZATZFZAPTITOPJ-CQSZACIVSA-N 0 0 442.925 -0.041 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000512929182 1071580661 /nfs/dbraw/zinc/58/06/61/1071580661.db2.gz VDBLZAAZBKHBBG-UHFFFAOYSA-N 0 0 433.527 -0.221 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)NCCN1CCNC(=O)C1 ZINC000512931774 1071580461 /nfs/dbraw/zinc/58/04/61/1071580461.db2.gz NTPQIKHEYKXMGO-UHFFFAOYSA-N 0 0 430.246 -0.431 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC(=O)Nc2ccc(-n3cccn3)cc2)cn1C ZINC000512932280 1071580455 /nfs/dbraw/zinc/58/04/55/1071580455.db2.gz DAPGGOGIPOXTEM-UHFFFAOYSA-N 0 0 431.478 -0.053 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCC(=O)N1CCOCC1 ZINC000512932852 1071580536 /nfs/dbraw/zinc/58/05/36/1071580536.db2.gz VOOUUFOZUSJFED-UHFFFAOYSA-N 0 0 448.476 -0.387 20 0 IBADRN Cn1c2c(ccn2CC(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)c(=O)n(C)c1=O ZINC000512933207 1071580595 /nfs/dbraw/zinc/58/05/95/1071580595.db2.gz IGLYYJNCZBXKLT-HNNXBMFYSA-N 0 0 439.472 -0.165 20 0 IBADRN Cn1c2c(ccn2CC(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)c(=O)n(C)c1=O ZINC000512933208 1071580608 /nfs/dbraw/zinc/58/06/08/1071580608.db2.gz IGLYYJNCZBXKLT-OAHLLOKOSA-N 0 0 439.472 -0.165 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1)N1CCOCC1 ZINC000512933292 1071580619 /nfs/dbraw/zinc/58/06/19/1071580619.db2.gz YSXIIKDEQPRSQN-UHFFFAOYSA-N 0 0 431.449 -0.032 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCN(C(=O)COc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000512933921 1071580446 /nfs/dbraw/zinc/58/04/46/1071580446.db2.gz NKUQCEYDFANGJY-AWEZNQCLSA-N 0 0 440.460 -0.770 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCN(C(=O)COc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000512933922 1071580529 /nfs/dbraw/zinc/58/05/29/1071580529.db2.gz NKUQCEYDFANGJY-CQSZACIVSA-N 0 0 440.460 -0.770 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)NCCN1CCOCC1 ZINC000512937059 1071581136 /nfs/dbraw/zinc/58/11/36/1071581136.db2.gz HQMBVXCEGJFQQN-UHFFFAOYSA-N 0 0 425.438 -0.114 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000512949398 1071581145 /nfs/dbraw/zinc/58/11/45/1071581145.db2.gz WGQYMJPRUTULNV-UHFFFAOYSA-N 0 0 433.439 -0.897 20 0 IBADRN COc1ccc(C(=O)NCCN2CCNC(=O)C2)cc1S(=O)(=O)NCc1ccccn1 ZINC000512953188 1071581728 /nfs/dbraw/zinc/58/17/28/1071581728.db2.gz QRJBGOPFPIVOQN-UHFFFAOYSA-N 0 0 447.517 -0.270 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)CCn2cc(S(N)(=O)=O)cn2)C1 ZINC000512954811 1071581714 /nfs/dbraw/zinc/58/17/14/1071581714.db2.gz KFOLCFALISTCFR-UHFFFAOYSA-N 0 0 427.508 -0.123 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cccc3c2CCN(S(C)(=O)=O)C3)cn1C ZINC000512956036 1071581742 /nfs/dbraw/zinc/58/17/42/1071581742.db2.gz WNVIYAKEFUTTKV-UHFFFAOYSA-N 0 0 441.535 -0.037 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCc2cccc(C(=O)N(C)C)c2)C1 ZINC000512959357 1071582177 /nfs/dbraw/zinc/58/21/77/1071582177.db2.gz YCALRRCLYSRVLY-KRWDZBQOSA-N 0 0 438.550 -0.024 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCc2cccc(C(=O)N(C)C)c2)C1 ZINC000512959358 1071582157 /nfs/dbraw/zinc/58/21/57/1071582157.db2.gz YCALRRCLYSRVLY-QGZVFWFLSA-N 0 0 438.550 -0.024 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCN(C(=O)COc3ccccc3)C1)c(=O)n2C ZINC000512959979 1071582250 /nfs/dbraw/zinc/58/22/50/1071582250.db2.gz HDZHLCYVSCMFHG-AWEZNQCLSA-N 0 0 440.460 -0.770 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCN(C(=O)COc3ccccc3)C1)c(=O)n2C ZINC000512959980 1071582171 /nfs/dbraw/zinc/58/21/71/1071582171.db2.gz HDZHLCYVSCMFHG-CQSZACIVSA-N 0 0 440.460 -0.770 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCCc2cccc(C(=O)N(C)C)c2)CC1 ZINC000512963434 1071581638 /nfs/dbraw/zinc/58/16/38/1071581638.db2.gz DNBMFTCLEYQWSR-UHFFFAOYSA-N 0 0 431.537 -0.330 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)CC1 ZINC000512963664 1071581585 /nfs/dbraw/zinc/58/15/85/1071581585.db2.gz HKRWJMURIGEKMY-UHFFFAOYSA-N 0 0 443.478 -0.077 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000512968414 1071582256 /nfs/dbraw/zinc/58/22/56/1071582256.db2.gz BYFIPDRHUNNJJL-UHFFFAOYSA-N 0 0 440.504 -0.510 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000512969273 1071582226 /nfs/dbraw/zinc/58/22/26/1071582226.db2.gz GPJQKNPIPMLYTM-GOSISDBHSA-N 0 0 430.505 -0.103 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000512969274 1071582216 /nfs/dbraw/zinc/58/22/16/1071582216.db2.gz GPJQKNPIPMLYTM-SFHVURJKSA-N 0 0 430.505 -0.103 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCO[C@H](C(=O)OC)C1 ZINC000512975302 1071583232 /nfs/dbraw/zinc/58/32/32/1071583232.db2.gz KSMMIUMZPJYNEF-INIZCTEOSA-N 0 0 435.433 -0.351 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCO[C@@H](C(=O)OC)C1 ZINC000512975303 1071583166 /nfs/dbraw/zinc/58/31/66/1071583166.db2.gz KSMMIUMZPJYNEF-MRXNPFEDSA-N 0 0 435.433 -0.351 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000512975426 1071583199 /nfs/dbraw/zinc/58/31/99/1071583199.db2.gz MCAIOOLRDOULEL-UHFFFAOYSA-N 0 0 431.522 -0.170 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCO[C@@H](C(=O)OC)C1 ZINC000512975432 1071583189 /nfs/dbraw/zinc/58/31/89/1071583189.db2.gz MFMNVEZATYGJCL-GFCCVEGCSA-N 0 0 440.405 -0.162 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCO[C@H](C(=O)OC)C1 ZINC000512975433 1071583288 /nfs/dbraw/zinc/58/32/88/1071583288.db2.gz MFMNVEZATYGJCL-LBPRGKRZSA-N 0 0 440.405 -0.162 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000512975592 1071583214 /nfs/dbraw/zinc/58/32/14/1071583214.db2.gz NROFAXYEHYBBNH-UHFFFAOYSA-N 0 0 443.548 -0.186 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000512976554 1071583206 /nfs/dbraw/zinc/58/32/06/1071583206.db2.gz XOKPTMJMZMAVOQ-UHFFFAOYSA-N 0 0 426.477 -0.344 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000512981371 1071583279 /nfs/dbraw/zinc/58/32/79/1071583279.db2.gz OYIQWGJKCZQOEX-UHFFFAOYSA-N 0 0 440.403 -0.113 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCCN1C(=O)CNC1=O ZINC000512981467 1071583247 /nfs/dbraw/zinc/58/32/47/1071583247.db2.gz QCBPZQPZBWYTCM-UHFFFAOYSA-N 0 0 447.448 -0.694 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000512982856 1071583224 /nfs/dbraw/zinc/58/32/24/1071583224.db2.gz ZKJICDKHZAKKQM-UHFFFAOYSA-N 0 0 434.449 -0.383 20 0 IBADRN Cc1ccc(NC(=O)CN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000512984368 1071583180 /nfs/dbraw/zinc/58/31/80/1071583180.db2.gz DXTMLPDKMPNECD-UHFFFAOYSA-N 0 0 431.536 -0.315 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512984827 1071583301 /nfs/dbraw/zinc/58/33/01/1071583301.db2.gz KKQJMDGEZZHRRM-UHFFFAOYSA-N 0 0 427.461 -0.765 20 0 IBADRN O=C(CCc1nc(-c2ccccn2)no1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512985005 1071583152 /nfs/dbraw/zinc/58/31/52/1071583152.db2.gz MMEGOVZVDGIARI-UHFFFAOYSA-N 0 0 428.449 -0.406 20 0 IBADRN COC(=O)c1cc(Br)ccc1NC(=O)C(=O)NCCN1C(=O)CNC1=O ZINC000512985093 1071583260 /nfs/dbraw/zinc/58/32/60/1071583260.db2.gz ATJAKKNVMPNPFM-UHFFFAOYSA-N 0 0 427.211 -0.158 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)n1 ZINC000512987210 1071583729 /nfs/dbraw/zinc/58/37/29/1071583729.db2.gz NIPYKTHDIZFCPB-UHFFFAOYSA-N 0 0 432.506 -0.024 20 0 IBADRN Cc1ccc(S(=O)(=O)CCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000512991267 1071584145 /nfs/dbraw/zinc/58/41/45/1071584145.db2.gz ITIKIQHULDZDIX-UHFFFAOYSA-N 0 0 437.518 -0.312 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)c1 ZINC000512991409 1071584229 /nfs/dbraw/zinc/58/42/29/1071584229.db2.gz IVIGKZCTYHWIOG-UHFFFAOYSA-N 0 0 435.440 -0.088 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc(OC)cc1OC ZINC000512992439 1071583663 /nfs/dbraw/zinc/58/36/63/1071583663.db2.gz MFDNFXZWIPLACU-UHFFFAOYSA-N 0 0 443.478 -0.029 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000512992693 1071583695 /nfs/dbraw/zinc/58/36/95/1071583695.db2.gz MVECGOOOSBVUCE-UHFFFAOYSA-N 0 0 437.478 -0.245 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000512993884 1071584239 /nfs/dbraw/zinc/58/42/39/1071584239.db2.gz RRNNVOMLMHSYAN-UHFFFAOYSA-N 0 0 429.521 -0.530 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCCc2cccc(C(=O)N(C)C)c2)CC1 ZINC000512994512 1071584264 /nfs/dbraw/zinc/58/42/64/1071584264.db2.gz VDNJCOVFRXKOOP-UHFFFAOYSA-N 0 0 431.537 -0.284 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000512994675 1071584284 /nfs/dbraw/zinc/58/42/84/1071584284.db2.gz WANIYRDSDDAJCT-UHFFFAOYSA-N 0 0 429.451 -0.419 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cc(Cl)ccc2-n2cnnn2)CC1)N1CCOCC1 ZINC000512996600 1071584160 /nfs/dbraw/zinc/58/41/60/1071584160.db2.gz DNJIVQJOEOXQIS-UHFFFAOYSA-N 0 0 433.856 -0.541 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000512997241 1071584196 /nfs/dbraw/zinc/58/41/96/1071584196.db2.gz GROYQRSXYGWMSB-UHFFFAOYSA-N 0 0 439.432 -0.061 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(=O)n(-c3ccccc3)n2)CC1)N1CCOCC1 ZINC000512997354 1071584075 /nfs/dbraw/zinc/58/40/75/1071584075.db2.gz HOBYWFLPZRAVBG-UHFFFAOYSA-N 0 0 425.445 -0.624 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000512998537 1071584131 /nfs/dbraw/zinc/58/41/31/1071584131.db2.gz KWSICFBKGRHYIR-UHFFFAOYSA-N 0 0 431.405 -0.235 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000512999667 1071584177 /nfs/dbraw/zinc/58/41/77/1071584177.db2.gz PXXIIGWZRLVVTD-UHFFFAOYSA-N 0 0 444.536 -0.707 20 0 IBADRN O=C(CCn1ncc(=O)c2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000512999681 1071584254 /nfs/dbraw/zinc/58/42/54/1071584254.db2.gz TUGNCDDMRACQRX-UHFFFAOYSA-N 0 0 427.461 -0.684 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)n2)cc1 ZINC000513003291 1071585655 /nfs/dbraw/zinc/58/56/55/1071585655.db2.gz HPCQRWWJKJJKLG-UHFFFAOYSA-N 0 0 427.465 -0.822 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)c1 ZINC000513004509 1071585214 /nfs/dbraw/zinc/58/52/14/1071585214.db2.gz KEHMGMHPOGHTBI-UHFFFAOYSA-N 0 0 435.462 -0.497 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000513006029 1071585116 /nfs/dbraw/zinc/58/51/16/1071585116.db2.gz TVIODTOTMPNSGX-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(F)(F)C(F)F)CC2)c1 ZINC000513006210 1071585200 /nfs/dbraw/zinc/58/52/00/1071585200.db2.gz VPFBPIJAQGJJFC-UHFFFAOYSA-N 0 0 447.389 -0.115 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)C ZINC000513010382 1071585697 /nfs/dbraw/zinc/58/56/97/1071585697.db2.gz GMXBBKKDHSJOFC-UHFFFAOYSA-N 0 0 431.467 -0.127 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000513011406 1071585673 /nfs/dbraw/zinc/58/56/73/1071585673.db2.gz OIUYQIHEEUCXPD-UHFFFAOYSA-N 0 0 444.517 -0.001 20 0 IBADRN O=C(NCCC1CCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000513017333 1071585647 /nfs/dbraw/zinc/58/56/47/1071585647.db2.gz JWKRBTDSSBRQTP-UHFFFAOYSA-N 0 0 438.506 -0.322 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)N2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)O1 ZINC000513019327 1071585749 /nfs/dbraw/zinc/58/57/49/1071585749.db2.gz BKZAZSXOXPEZCE-CRWXNKLISA-N 0 0 433.465 -0.466 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)N2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)O1 ZINC000513019328 1071585670 /nfs/dbraw/zinc/58/56/70/1071585670.db2.gz BKZAZSXOXPEZCE-DGAVXFQQSA-N 0 0 433.465 -0.466 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)N2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)O1 ZINC000513019329 1071585726 /nfs/dbraw/zinc/58/57/26/1071585726.db2.gz BKZAZSXOXPEZCE-MQYQWHSLSA-N 0 0 433.465 -0.466 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)N2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)O1 ZINC000513019330 1071585735 /nfs/dbraw/zinc/58/57/35/1071585735.db2.gz BKZAZSXOXPEZCE-SYQHCUMBSA-N 0 0 433.465 -0.466 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000513024384 1071586271 /nfs/dbraw/zinc/58/62/71/1071586271.db2.gz RUPAMZYEBCDMIT-UHFFFAOYSA-N 0 0 445.519 -0.085 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000513034621 1071587256 /nfs/dbraw/zinc/58/72/56/1071587256.db2.gz HTNKPZADNPQEJK-LLVKDONJSA-N 0 0 425.394 -0.850 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000513034625 1071587205 /nfs/dbraw/zinc/58/72/05/1071587205.db2.gz HTNKPZADNPQEJK-NSHDSACASA-N 0 0 425.394 -0.850 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCc2cccc(C(=O)N(C)C)c2)CC1 ZINC000513035111 1071587191 /nfs/dbraw/zinc/58/71/91/1071587191.db2.gz JCCGPFLKGBCFKE-INIZCTEOSA-N 0 0 443.548 -0.142 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCc2cccc(C(=O)N(C)C)c2)CC1 ZINC000513035112 1071587408 /nfs/dbraw/zinc/58/74/08/1071587408.db2.gz JCCGPFLKGBCFKE-MRXNPFEDSA-N 0 0 443.548 -0.142 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc(OC)c(OC)c1OC ZINC000513037320 1071587765 /nfs/dbraw/zinc/58/77/65/1071587765.db2.gz SHINAOKAKRYXQH-GFCCVEGCSA-N 0 0 439.421 -0.460 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc(OC)c(OC)c1OC ZINC000513037321 1071587714 /nfs/dbraw/zinc/58/77/14/1071587714.db2.gz SHINAOKAKRYXQH-LBPRGKRZSA-N 0 0 439.421 -0.460 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)c(=O)n(C)c1=O ZINC000513037824 1071587942 /nfs/dbraw/zinc/58/79/42/1071587942.db2.gz VOKWTABFMAAFOZ-HNNXBMFYSA-N 0 0 436.490 -0.529 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)c(=O)n(C)c1=O ZINC000513037829 1071587769 /nfs/dbraw/zinc/58/77/69/1071587769.db2.gz VOKWTABFMAAFOZ-OAHLLOKOSA-N 0 0 436.490 -0.529 20 0 IBADRN C[C@H](Sc1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)C(N)=O ZINC000513044163 1071587909 /nfs/dbraw/zinc/58/79/09/1071587909.db2.gz NCQCNOFTEQCYCC-AWEZNQCLSA-N 0 0 442.563 -0.013 20 0 IBADRN C[C@@H](Sc1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)C(N)=O ZINC000513044164 1071588559 /nfs/dbraw/zinc/58/85/59/1071588559.db2.gz NCQCNOFTEQCYCC-CQSZACIVSA-N 0 0 442.563 -0.013 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000513046526 1071589992 /nfs/dbraw/zinc/58/99/92/1071589992.db2.gz LXQZCFAKHRXCGH-WMLDXEAASA-N 0 0 443.547 -0.235 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(CC(=O)NC2CC2)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000513046693 1071589486 /nfs/dbraw/zinc/58/94/86/1071589486.db2.gz NETDUADROMLEHD-CABCVRRESA-N 0 0 437.566 -0.204 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(CC(=O)NC2CC2)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000513046694 1071589537 /nfs/dbraw/zinc/58/95/37/1071589537.db2.gz NETDUADROMLEHD-GJZGRUSLSA-N 0 0 437.566 -0.204 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(CC(=O)NC2CC2)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000513046695 1071589615 /nfs/dbraw/zinc/58/96/15/1071589615.db2.gz NETDUADROMLEHD-HUUCEWRRSA-N 0 0 437.566 -0.204 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(CC(=O)NC2CC2)CC1 ZINC000513046696 1071589473 /nfs/dbraw/zinc/58/94/73/1071589473.db2.gz NETDUADROMLEHD-LSDHHAIUSA-N 0 0 437.566 -0.204 20 0 IBADRN O=C1NC[C@@H]2[C@H]1CCCN2c1nc(N2CCOCC2)nc(N2CCC[C@H]3C(=O)NC[C@H]32)n1 ZINC000513051314 1071589662 /nfs/dbraw/zinc/58/96/62/1071589662.db2.gz KNEKTKRPNQSISZ-KLHDSHLOSA-N 0 0 442.524 -0.862 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)n1 ZINC000513061939 1071591223 /nfs/dbraw/zinc/59/12/23/1071591223.db2.gz PPEHTWTZYRGLNM-UHFFFAOYSA-N 0 0 436.494 -0.410 20 0 IBADRN COCCOc1cncc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000513062023 1071591257 /nfs/dbraw/zinc/59/12/57/1071591257.db2.gz QWYOCGZANOOTBD-UHFFFAOYSA-N 0 0 427.527 -0.643 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000513069412 1071592670 /nfs/dbraw/zinc/59/26/70/1071592670.db2.gz OESVQGNWAZHVFX-UHFFFAOYSA-N 0 0 449.576 -0.168 20 0 IBADRN Cn1c(=O)c(C(=O)CN2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000513083191 1071593721 /nfs/dbraw/zinc/59/37/21/1071593721.db2.gz NHEKVSCHUOFZBF-GOEBONIOSA-N 0 0 432.502 -0.521 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNC(=O)c2ccccc2OC(F)F)CC1 ZINC000513091189 1071594997 /nfs/dbraw/zinc/59/49/97/1071594997.db2.gz YLRQFDJACWVIBR-UHFFFAOYSA-N 0 0 428.436 -0.075 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000513094509 1071595427 /nfs/dbraw/zinc/59/54/27/1071595427.db2.gz IOJXMRITFMAGSD-WMLDXEAASA-N 0 0 445.538 -0.223 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000513098377 1071595504 /nfs/dbraw/zinc/59/55/04/1071595504.db2.gz GBIVVFBSVFOJDL-LLVKDONJSA-N 0 0 434.492 -0.180 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000513098378 1071595594 /nfs/dbraw/zinc/59/55/94/1071595594.db2.gz GBIVVFBSVFOJDL-NSHDSACASA-N 0 0 434.492 -0.180 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000513099449 1071595463 /nfs/dbraw/zinc/59/54/63/1071595463.db2.gz VZWQQJCDMRIXIV-AWEZNQCLSA-N 0 0 431.536 -0.281 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000513099450 1071595366 /nfs/dbraw/zinc/59/53/66/1071595366.db2.gz VZWQQJCDMRIXIV-CQSZACIVSA-N 0 0 431.536 -0.281 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H]3CCS(=O)(=O)[C@@H]3C2)CC1 ZINC000513100022 1071595485 /nfs/dbraw/zinc/59/54/85/1071595485.db2.gz FJPPZQCLFNJFRH-LSDHHAIUSA-N 0 0 446.551 -0.757 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)C1 ZINC000513100420 1071595992 /nfs/dbraw/zinc/59/59/92/1071595992.db2.gz AILJCDBYLMNAKR-CYBMUJFWSA-N 0 0 437.478 -0.247 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)C1 ZINC000513100422 1071595909 /nfs/dbraw/zinc/59/59/09/1071595909.db2.gz AILJCDBYLMNAKR-ZDUSSCGKSA-N 0 0 437.478 -0.247 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H](Cc2ccc(F)cc2)n2nnnc2C)CC1 ZINC000513100512 1071595968 /nfs/dbraw/zinc/59/59/68/1071595968.db2.gz BHEQTHHNCVOGRT-GOSISDBHSA-N 0 0 433.488 -0.189 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](Cc2ccc(F)cc2)n2nnnc2C)CC1 ZINC000513100537 1071595923 /nfs/dbraw/zinc/59/59/23/1071595923.db2.gz BHEQTHHNCVOGRT-SFHVURJKSA-N 0 0 433.488 -0.189 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCNS(=O)(=O)N(C)C)C1 ZINC000513100631 1071595962 /nfs/dbraw/zinc/59/59/62/1071595962.db2.gz LJUYUUWDWMODMA-CYBMUJFWSA-N 0 0 437.544 -0.796 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCNS(=O)(=O)N(C)C)C1 ZINC000513100632 1071595982 /nfs/dbraw/zinc/59/59/82/1071595982.db2.gz LJUYUUWDWMODMA-ZDUSSCGKSA-N 0 0 437.544 -0.796 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(C(=O)NCCNS(=O)(=O)N(C)C)c1 ZINC000513100893 1071595472 /nfs/dbraw/zinc/59/54/72/1071595472.db2.gz NMEQZLAYCOFLJH-UHFFFAOYSA-N 0 0 436.556 -0.149 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H](Cc2cccc(F)c2)n2nnnc2C)CC1 ZINC000513100929 1071595443 /nfs/dbraw/zinc/59/54/43/1071595443.db2.gz CZPCAGCKJHGRKI-GOSISDBHSA-N 0 0 433.488 -0.189 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](Cc2cccc(F)c2)n2nnnc2C)CC1 ZINC000513100930 1071595514 /nfs/dbraw/zinc/59/55/14/1071595514.db2.gz CZPCAGCKJHGRKI-SFHVURJKSA-N 0 0 433.488 -0.189 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O ZINC000513101740 1071595959 /nfs/dbraw/zinc/59/59/59/1071595959.db2.gz IALFAYOTIVRECS-GFCCVEGCSA-N 0 0 427.479 -0.202 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000513101742 1071595899 /nfs/dbraw/zinc/59/58/99/1071595899.db2.gz IALFAYOTIVRECS-LBPRGKRZSA-N 0 0 427.479 -0.202 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000513101751 1071596004 /nfs/dbraw/zinc/59/60/04/1071596004.db2.gz ICAKYQBCWDYYCX-KRWDZBQOSA-N 0 0 446.504 -0.073 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000513101752 1071595971 /nfs/dbraw/zinc/59/59/71/1071595971.db2.gz ICAKYQBCWDYYCX-QGZVFWFLSA-N 0 0 446.504 -0.073 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(C(=O)NCCNS(=O)(=O)N(C)C)CC2)cc1 ZINC000513101914 1071595985 /nfs/dbraw/zinc/59/59/85/1071595985.db2.gz VBTFDKQFOUADOL-UHFFFAOYSA-N 0 0 432.568 -0.092 20 0 IBADRN O=C(CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000513102046 1071595975 /nfs/dbraw/zinc/59/59/75/1071595975.db2.gz WCKCNDYKZLGMAP-WMLDXEAASA-N 0 0 443.547 -0.235 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2nnn(-c3ccc4c(c3)OCCO4)c2C)CC1 ZINC000513103528 1071596000 /nfs/dbraw/zinc/59/60/00/1071596000.db2.gz WFQCPKRZQDHAEY-UHFFFAOYSA-N 0 0 444.492 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000513104017 1071595946 /nfs/dbraw/zinc/59/59/46/1071595946.db2.gz BJEIMACYCVIEQK-GFCCVEGCSA-N 0 0 425.554 -0.503 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000513104018 1071595939 /nfs/dbraw/zinc/59/59/39/1071595939.db2.gz BJEIMACYCVIEQK-LBPRGKRZSA-N 0 0 425.554 -0.503 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000513104040 1071596009 /nfs/dbraw/zinc/59/60/09/1071596009.db2.gz BSVGECQEYKGGLL-GFCCVEGCSA-N 0 0 437.565 -0.313 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000513104041 1071595950 /nfs/dbraw/zinc/59/59/50/1071595950.db2.gz BSVGECQEYKGGLL-LBPRGKRZSA-N 0 0 437.565 -0.313 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000513104721 1071596302 /nfs/dbraw/zinc/59/63/02/1071596302.db2.gz MHOSHKHFSYNYIM-CYBMUJFWSA-N 0 0 429.524 -0.046 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000513104722 1071596399 /nfs/dbraw/zinc/59/63/99/1071596399.db2.gz MHOSHKHFSYNYIM-ZDUSSCGKSA-N 0 0 429.524 -0.046 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c(F)c1 ZINC000513104735 1071596331 /nfs/dbraw/zinc/59/63/31/1071596331.db2.gz MKPQSPKQXUTZEY-JTQLQIEISA-N 0 0 429.517 -0.243 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c(F)c1 ZINC000513104736 1071596434 /nfs/dbraw/zinc/59/64/34/1071596434.db2.gz MKPQSPKQXUTZEY-SNVBAGLBSA-N 0 0 429.517 -0.243 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000513105147 1071594956 /nfs/dbraw/zinc/59/49/56/1071594956.db2.gz SEBUHTSCZDMSMB-CYBMUJFWSA-N 0 0 437.565 -0.214 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000513105148 1071595072 /nfs/dbraw/zinc/59/50/72/1071595072.db2.gz SEBUHTSCZDMSMB-ZDUSSCGKSA-N 0 0 437.565 -0.214 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000513105307 1071597252 /nfs/dbraw/zinc/59/72/52/1071597252.db2.gz UWTKZMKNNKESLH-LLVKDONJSA-N 0 0 434.492 -0.180 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000513105308 1071597220 /nfs/dbraw/zinc/59/72/20/1071597220.db2.gz UWTKZMKNNKESLH-NSHDSACASA-N 0 0 434.492 -0.180 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000513105366 1071597183 /nfs/dbraw/zinc/59/71/83/1071597183.db2.gz VRQUQHGCVLLQLW-GFCCVEGCSA-N 0 0 437.565 -0.313 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000513105367 1071597138 /nfs/dbraw/zinc/59/71/38/1071597138.db2.gz VRQUQHGCVLLQLW-LBPRGKRZSA-N 0 0 437.565 -0.313 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)NCCNS(=O)(=O)N(C)C)C(C)C)cc1 ZINC000513105892 1071594990 /nfs/dbraw/zinc/59/49/90/1071594990.db2.gz DCPJYIBXTSERAT-HNNXBMFYSA-N 0 0 436.556 -0.490 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000513106008 1071595918 /nfs/dbraw/zinc/59/59/18/1071595918.db2.gz FVBIIJJYKRECMV-AWEZNQCLSA-N 0 0 434.540 -0.730 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000513106009 1071595996 /nfs/dbraw/zinc/59/59/96/1071595996.db2.gz FVBIIJJYKRECMV-CQSZACIVSA-N 0 0 434.540 -0.730 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCCC(=O)NCCNS(=O)(=O)N(C)C)cc1 ZINC000513106189 1071597506 /nfs/dbraw/zinc/59/75/06/1071597506.db2.gz IFVPYYXLPUAHBW-UHFFFAOYSA-N 0 0 436.556 -0.392 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000513106611 1071597686 /nfs/dbraw/zinc/59/76/86/1071597686.db2.gz ORYCBTCGHAQIAT-UHFFFAOYSA-N 0 0 448.567 -0.848 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(Cl)cc1 ZINC000513106862 1071597480 /nfs/dbraw/zinc/59/74/80/1071597480.db2.gz BAPAFNDSMOJEAV-HNNXBMFYSA-N 0 0 435.872 -0.499 20 0 IBADRN CO[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(Cl)cc1 ZINC000513106863 1071597609 /nfs/dbraw/zinc/59/76/09/1071597609.db2.gz BAPAFNDSMOJEAV-OAHLLOKOSA-N 0 0 435.872 -0.499 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCNS(=O)(=O)N(C)C ZINC000513106949 1071597294 /nfs/dbraw/zinc/59/72/94/1071597294.db2.gz SFFKRRPUZOXURN-AWEZNQCLSA-N 0 0 438.597 -0.401 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCCNS(=O)(=O)N(C)C ZINC000513106950 1071597664 /nfs/dbraw/zinc/59/76/64/1071597664.db2.gz SFFKRRPUZOXURN-CQSZACIVSA-N 0 0 438.597 -0.401 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000513107575 1071597528 /nfs/dbraw/zinc/59/75/28/1071597528.db2.gz GYQCOUOEFARTCM-UHFFFAOYSA-N 0 0 441.488 -0.470 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](Cc2cccc(OC)c2)n2nnnc2C)CC1 ZINC000513107775 1071597576 /nfs/dbraw/zinc/59/75/76/1071597576.db2.gz HYRWCGCIGQHXGQ-IBGZPJMESA-N 0 0 445.524 -0.319 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H](Cc2cccc(OC)c2)n2nnnc2C)CC1 ZINC000513107776 1071597567 /nfs/dbraw/zinc/59/75/67/1071597567.db2.gz HYRWCGCIGQHXGQ-LJQANCHMSA-N 0 0 445.524 -0.319 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(Cl)c(-n3cnnn3)cc2OC)CC1 ZINC000513108508 1071597695 /nfs/dbraw/zinc/59/76/95/1071597695.db2.gz OXGNSZCZTDPULG-UHFFFAOYSA-N 0 0 437.888 -0.155 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNC(=O)c2cc(-c3ccccc3)on2)CC1 ZINC000513109141 1071597584 /nfs/dbraw/zinc/59/75/84/1071597584.db2.gz WSAZTPCDGPFACF-UHFFFAOYSA-N 0 0 429.477 -0.022 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H](Cc2c[nH]c3ccccc23)NC(N)=O)CC1 ZINC000513109170 1071597655 /nfs/dbraw/zinc/59/76/55/1071597655.db2.gz XCOVSTMXXNVNKL-GOSISDBHSA-N 0 0 430.509 -0.346 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](Cc2c[nH]c3ccccc23)NC(N)=O)CC1 ZINC000513109171 1071597550 /nfs/dbraw/zinc/59/75/50/1071597550.db2.gz XCOVSTMXXNVNKL-SFHVURJKSA-N 0 0 430.509 -0.346 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1F ZINC000513109826 1071597490 /nfs/dbraw/zinc/59/74/90/1071597490.db2.gz GHABOEHCACZYGZ-JTQLQIEISA-N 0 0 429.517 -0.243 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1F ZINC000513109827 1071597634 /nfs/dbraw/zinc/59/76/34/1071597634.db2.gz GHABOEHCACZYGZ-SNVBAGLBSA-N 0 0 429.517 -0.243 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c(C(=O)OC)s1 ZINC000513110300 1071596265 /nfs/dbraw/zinc/59/62/65/1071596265.db2.gz MSEVYJMCXVIVSQ-SECBINFHSA-N 0 0 440.521 -0.119 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c(C(=O)OC)s1 ZINC000513110301 1071596314 /nfs/dbraw/zinc/59/63/14/1071596314.db2.gz MSEVYJMCXVIVSQ-VIFPVBQESA-N 0 0 440.521 -0.119 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000513110392 1071596414 /nfs/dbraw/zinc/59/64/14/1071596414.db2.gz NZAFQLLEBORKJV-CYBMUJFWSA-N 0 0 425.554 -0.834 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000513110393 1071596428 /nfs/dbraw/zinc/59/64/28/1071596428.db2.gz NZAFQLLEBORKJV-ZDUSSCGKSA-N 0 0 425.554 -0.834 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000513110944 1071597960 /nfs/dbraw/zinc/59/79/60/1071597960.db2.gz WAYBFNCMROAOBD-GFCCVEGCSA-N 0 0 425.554 -0.503 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000513110945 1071598048 /nfs/dbraw/zinc/59/80/48/1071598048.db2.gz WAYBFNCMROAOBD-LBPRGKRZSA-N 0 0 425.554 -0.503 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)CCCC2 ZINC000513111350 1071596766 /nfs/dbraw/zinc/59/67/66/1071596766.db2.gz CWHGFMHADCUIRF-UHFFFAOYSA-N 0 0 432.568 -0.254 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000513111460 1071596493 /nfs/dbraw/zinc/59/64/93/1071596493.db2.gz FSUHKYGRSHLWCL-UHFFFAOYSA-N 0 0 436.531 -0.261 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)NCCNS(=O)(=O)N(C)C)c2)C[C@H](C)O1 ZINC000513111513 1071596408 /nfs/dbraw/zinc/59/64/08/1071596408.db2.gz GVXMFBUKSNMQEZ-KBPBESRZSA-N 0 0 448.567 -0.390 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NCCNS(=O)(=O)N(C)C)c2)C[C@H](C)O1 ZINC000513111514 1071596392 /nfs/dbraw/zinc/59/63/92/1071596392.db2.gz GVXMFBUKSNMQEZ-OKILXGFUSA-N 0 0 448.567 -0.390 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NCCNS(=O)(=O)N(C)C)c2)C[C@@H](C)O1 ZINC000513111515 1071596381 /nfs/dbraw/zinc/59/63/81/1071596381.db2.gz GVXMFBUKSNMQEZ-ZIAGYGMSSA-N 0 0 448.567 -0.390 20 0 IBADRN COc1ccc(C(=O)NCCNS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCCC1 ZINC000513111662 1071596499 /nfs/dbraw/zinc/59/64/99/1071596499.db2.gz JNPFACRILVVOIW-UHFFFAOYSA-N 0 0 434.540 -0.395 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)CCCC(=O)NCCNS(=O)(=O)N(C)C)cc1 ZINC000513111881 1071596464 /nfs/dbraw/zinc/59/64/64/1071596464.db2.gz OPOOFBNRFUCPTJ-UHFFFAOYSA-N 0 0 448.567 -0.198 20 0 IBADRN COc1cc2ncn(CCCC(=O)NCCNS(=O)(=O)N(C)C)c(=O)c2cc1OC ZINC000513111995 1071596365 /nfs/dbraw/zinc/59/63/65/1071596365.db2.gz QSNDRJDSDPUDLZ-UHFFFAOYSA-N 0 0 441.510 -0.294 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(CC(=O)NCCOC)CC1 ZINC000513112697 1071596784 /nfs/dbraw/zinc/59/67/84/1071596784.db2.gz DFTMNNVITNAZEH-KRWDZBQOSA-N 0 0 430.513 -0.819 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(CC(=O)NCCOC)CC1 ZINC000513112698 1071596745 /nfs/dbraw/zinc/59/67/45/1071596745.db2.gz DFTMNNVITNAZEH-QGZVFWFLSA-N 0 0 430.513 -0.819 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc(OC)cc1OC ZINC000513112771 1071596864 /nfs/dbraw/zinc/59/68/64/1071596864.db2.gz FHIRXMNZNSODML-LLVKDONJSA-N 0 0 443.478 -0.031 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc(OC)cc1OC ZINC000513112772 1071596851 /nfs/dbraw/zinc/59/68/51/1071596851.db2.gz FHIRXMNZNSODML-NSHDSACASA-N 0 0 443.478 -0.031 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000513114954 1071596844 /nfs/dbraw/zinc/59/68/44/1071596844.db2.gz JTEMCGBIEDQBMH-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)NCc2ccco2)cc1 ZINC000513116410 1071596733 /nfs/dbraw/zinc/59/67/33/1071596733.db2.gz DYSHZVLJYALGAS-UHFFFAOYSA-N 0 0 430.508 -0.116 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CCc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000513116777 1071596878 /nfs/dbraw/zinc/59/68/78/1071596878.db2.gz LBJNKMNSKOEIGE-UHFFFAOYSA-N 0 0 432.568 -0.084 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000513119531 1071597128 /nfs/dbraw/zinc/59/71/28/1071597128.db2.gz ZRHBYZXVYUFXCF-JTQLQIEISA-N 0 0 429.451 -0.421 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000513119532 1071597164 /nfs/dbraw/zinc/59/71/64/1071597164.db2.gz ZRHBYZXVYUFXCF-SNVBAGLBSA-N 0 0 429.451 -0.421 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)c(OC)c1 ZINC000513119623 1071597110 /nfs/dbraw/zinc/59/71/10/1071597110.db2.gz AWHYIYKBGCJKHH-UHFFFAOYSA-N 0 0 425.507 -0.281 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000513119624 1071597210 /nfs/dbraw/zinc/59/72/10/1071597210.db2.gz AWIHHOBYSDYNLA-CYBMUJFWSA-N 0 0 434.492 -0.038 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000513119748 1071597281 /nfs/dbraw/zinc/59/72/81/1071597281.db2.gz CUJVSMYOPZNBHG-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(F)c1 ZINC000513120062 1071596868 /nfs/dbraw/zinc/59/68/68/1071596868.db2.gz IOXANKCTUGUBJQ-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000513120369 1071597191 /nfs/dbraw/zinc/59/71/91/1071597191.db2.gz MWUVLJRCHGXRPJ-CQSZACIVSA-N 0 0 437.565 -0.071 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000513120726 1071597288 /nfs/dbraw/zinc/59/72/88/1071597288.db2.gz RYAHVHMDMHKTOP-GFCCVEGCSA-N 0 0 434.492 -0.038 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCCNS(=O)(=O)N(C)C)ccc1OC ZINC000513121282 1071597272 /nfs/dbraw/zinc/59/72/72/1071597272.db2.gz BJWISKBVWCYYQS-UHFFFAOYSA-N 0 0 436.556 -0.149 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)Cn1cnc2ccc(Br)cc2c1=O ZINC000513121623 1071597171 /nfs/dbraw/zinc/59/71/71/1071597171.db2.gz GUQMKCXZLXLTPX-UHFFFAOYSA-N 0 0 432.300 -0.329 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000513121936 1071597153 /nfs/dbraw/zinc/59/71/53/1071597153.db2.gz ATNDRDHCHXLSCB-KRWDZBQOSA-N 0 0 446.504 -0.283 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000513121937 1071597302 /nfs/dbraw/zinc/59/73/02/1071597302.db2.gz ATNDRDHCHXLSCB-QGZVFWFLSA-N 0 0 446.504 -0.283 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CSc2nnnn2CC(F)(F)F)CC1 ZINC000513122990 1071597242 /nfs/dbraw/zinc/59/72/42/1071597242.db2.gz IPHQOXPRNIFZTH-UHFFFAOYSA-N 0 0 425.437 -0.766 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000513123596 1071597259 /nfs/dbraw/zinc/59/72/59/1071597259.db2.gz LVXZKKGBOUBYAN-UHFFFAOYSA-N 0 0 438.959 -0.413 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)NCCNS(=O)(=O)N(C)C)C2)s1 ZINC000513124043 1071597232 /nfs/dbraw/zinc/59/72/32/1071597232.db2.gz YTVUKUOOOSAHAB-CYBMUJFWSA-N 0 0 438.597 -0.031 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)NCCNS(=O)(=O)N(C)C)C2)s1 ZINC000513124049 1071597200 /nfs/dbraw/zinc/59/72/00/1071597200.db2.gz YTVUKUOOOSAHAB-ZDUSSCGKSA-N 0 0 438.597 -0.031 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000513125668 1071598149 /nfs/dbraw/zinc/59/81/49/1071598149.db2.gz IPKORYVMWXPCKL-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CN1C(=O)COc2cc(Br)ccc21 ZINC000513128478 1071598218 /nfs/dbraw/zinc/59/82/18/1071598218.db2.gz QXTAXMREEQZFQP-UHFFFAOYSA-N 0 0 435.300 -0.313 20 0 IBADRN CCc1ccc(C(=O)NCCNS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000513129176 1071597973 /nfs/dbraw/zinc/59/79/73/1071597973.db2.gz WGSQGPZPPYVVAX-UHFFFAOYSA-N 0 0 448.567 -0.604 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000513129880 1071598093 /nfs/dbraw/zinc/59/80/93/1071598093.db2.gz NZCAWOSRUACVQS-CVEARBPZSA-N 0 0 449.595 -0.268 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000513129881 1071598078 /nfs/dbraw/zinc/59/80/78/1071598078.db2.gz NZCAWOSRUACVQS-HOTGVXAUSA-N 0 0 449.595 -0.268 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000513129882 1071598161 /nfs/dbraw/zinc/59/81/61/1071598161.db2.gz NZCAWOSRUACVQS-HZPDHXFCSA-N 0 0 449.595 -0.268 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000513129883 1071598210 /nfs/dbraw/zinc/59/82/10/1071598210.db2.gz NZCAWOSRUACVQS-JKSUJKDBSA-N 0 0 449.595 -0.268 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)NCCNS(=O)(=O)N(C)C)cc2)C[C@H](C)O1 ZINC000513133402 1071598529 /nfs/dbraw/zinc/59/85/29/1071598529.db2.gz HTUDDSDLVFGKRI-KBPBESRZSA-N 0 0 448.567 -0.390 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NCCNS(=O)(=O)N(C)C)cc2)C[C@H](C)O1 ZINC000513133403 1071598537 /nfs/dbraw/zinc/59/85/37/1071598537.db2.gz HTUDDSDLVFGKRI-OKILXGFUSA-N 0 0 448.567 -0.390 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NCCNS(=O)(=O)N(C)C)cc2)C[C@@H](C)O1 ZINC000513133404 1071598478 /nfs/dbraw/zinc/59/84/78/1071598478.db2.gz HTUDDSDLVFGKRI-ZIAGYGMSSA-N 0 0 448.567 -0.390 20 0 IBADRN COc1ccc(C(=O)NCCNS(=O)(=O)N(C)C)cc1S(=O)(=O)NC(C)(C)C ZINC000513133928 1071598631 /nfs/dbraw/zinc/59/86/31/1071598631.db2.gz QROHCESVBRKEAH-UHFFFAOYSA-N 0 0 436.556 -0.102 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CC1 ZINC000513135915 1071598464 /nfs/dbraw/zinc/59/84/64/1071598464.db2.gz PUNOGWFHQCKODV-UHFFFAOYSA-N 0 0 428.555 -0.806 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000513138057 1071598432 /nfs/dbraw/zinc/59/84/32/1071598432.db2.gz QSGSQXYFKZJGRC-UHFFFAOYSA-N 0 0 441.535 -0.874 20 0 IBADRN COc1cc(N2C[C@H](C(=O)NCCNS(=O)(=O)N(C)C)CC2=O)cc(OC)c1OC ZINC000513139515 1071598909 /nfs/dbraw/zinc/59/89/09/1071598909.db2.gz SJKWQVQPWSOEOI-GFCCVEGCSA-N 0 0 444.510 -0.423 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)NCCNS(=O)(=O)N(C)C)CC2=O)cc(OC)c1OC ZINC000513139516 1071599154 /nfs/dbraw/zinc/59/91/54/1071599154.db2.gz SJKWQVQPWSOEOI-LBPRGKRZSA-N 0 0 444.510 -0.423 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC000513139694 1071599009 /nfs/dbraw/zinc/59/90/09/1071599009.db2.gz WCUSEXLIEXQXSZ-CYBMUJFWSA-N 0 0 436.531 -0.261 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC000513139695 1071598923 /nfs/dbraw/zinc/59/89/23/1071598923.db2.gz WCUSEXLIEXQXSZ-ZDUSSCGKSA-N 0 0 436.531 -0.261 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC000513139835 1071598970 /nfs/dbraw/zinc/59/89/70/1071598970.db2.gz YEYYBRYENIJPBU-UHFFFAOYSA-N 0 0 427.508 -0.570 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000513140691 1071599531 /nfs/dbraw/zinc/59/95/31/1071599531.db2.gz IWHBJBKEWWHMHJ-HNNXBMFYSA-N 0 0 444.579 -0.192 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000513140692 1071599465 /nfs/dbraw/zinc/59/94/65/1071599465.db2.gz IWHBJBKEWWHMHJ-OAHLLOKOSA-N 0 0 444.579 -0.192 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(F)c(F)cc1C(=O)OC ZINC000513140763 1071599607 /nfs/dbraw/zinc/59/96/07/1071599607.db2.gz JORABKXYQCMYLK-CYBMUJFWSA-N 0 0 426.376 -0.457 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(F)c(F)cc1C(=O)OC ZINC000513140764 1071599631 /nfs/dbraw/zinc/59/96/31/1071599631.db2.gz JORABKXYQCMYLK-ZDUSSCGKSA-N 0 0 426.376 -0.457 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000513146603 1071599948 /nfs/dbraw/zinc/59/99/48/1071599948.db2.gz DYNZMKZEGCVZCK-UHFFFAOYSA-N 0 0 437.405 -0.297 20 0 IBADRN CCOC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC ZINC000513148319 1071600014 /nfs/dbraw/zinc/60/00/14/1071600014.db2.gz JYNUIZMJLMVSPO-AWEZNQCLSA-N 0 0 440.403 -0.067 20 0 IBADRN CCOC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC ZINC000513148320 1071599926 /nfs/dbraw/zinc/59/99/26/1071599926.db2.gz JYNUIZMJLMVSPO-CQSZACIVSA-N 0 0 440.403 -0.067 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000513151738 1071600653 /nfs/dbraw/zinc/60/06/53/1071600653.db2.gz XNFCFGMXCOMWGI-UHFFFAOYSA-N 0 0 432.433 -0.487 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCCS(C)(=O)=O ZINC000513151865 1071600644 /nfs/dbraw/zinc/60/06/44/1071600644.db2.gz YRYIKJODVXFVLI-UHFFFAOYSA-N 0 0 427.479 -0.201 20 0 IBADRN O=C(CCNS(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC000513153611 1071600583 /nfs/dbraw/zinc/60/05/83/1071600583.db2.gz IFSLXSYQCKTWPL-CVEARBPZSA-N 0 0 449.595 -0.220 20 0 IBADRN O=C(CCNS(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1)N[C@H]1CCS(=O)(=O)C1 ZINC000513153613 1071600523 /nfs/dbraw/zinc/60/05/23/1071600523.db2.gz IFSLXSYQCKTWPL-HOTGVXAUSA-N 0 0 449.595 -0.220 20 0 IBADRN O=C(CCNS(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC000513153615 1071600637 /nfs/dbraw/zinc/60/06/37/1071600637.db2.gz IFSLXSYQCKTWPL-HZPDHXFCSA-N 0 0 449.595 -0.220 20 0 IBADRN O=C(CCNS(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1)N[C@H]1CCS(=O)(=O)C1 ZINC000513153616 1071600591 /nfs/dbraw/zinc/60/05/91/1071600591.db2.gz IFSLXSYQCKTWPL-JKSUJKDBSA-N 0 0 449.595 -0.220 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CC1)N1CCCC1 ZINC000513157124 1071602842 /nfs/dbraw/zinc/60/28/42/1071602842.db2.gz YOACABXUXPVPMS-UHFFFAOYSA-N 0 0 426.539 -0.516 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC000513158207 1071602747 /nfs/dbraw/zinc/60/27/47/1071602747.db2.gz DZXHNBQQTPCAHY-HNNXBMFYSA-N 0 0 442.925 -0.179 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC000513158214 1071602849 /nfs/dbraw/zinc/60/28/49/1071602849.db2.gz DZXHNBQQTPCAHY-OAHLLOKOSA-N 0 0 442.925 -0.179 20 0 IBADRN COC(=O)c1cc(Cl)ccc1S(=O)(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000513160634 1071603800 /nfs/dbraw/zinc/60/38/00/1071603800.db2.gz NOYHAVSMHJXUJZ-UHFFFAOYSA-N 0 0 425.916 -0.279 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCCN(C(=O)C3CCCC3)C2)CC1 ZINC000513161631 1071605858 /nfs/dbraw/zinc/60/58/58/1071605858.db2.gz TUQIXTKCLVITLL-KRWDZBQOSA-N 0 0 440.566 -0.080 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCCN(C(=O)C3CCCC3)C2)CC1 ZINC000513161633 1071605937 /nfs/dbraw/zinc/60/59/37/1071605937.db2.gz TUQIXTKCLVITLL-QGZVFWFLSA-N 0 0 440.566 -0.080 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000513162938 1071606980 /nfs/dbraw/zinc/60/69/80/1071606980.db2.gz YVAXRJJBEKBUEX-CVEARBPZSA-N 0 0 428.555 -0.176 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000513162939 1071607029 /nfs/dbraw/zinc/60/70/29/1071607029.db2.gz YVAXRJJBEKBUEX-HOTGVXAUSA-N 0 0 428.555 -0.176 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000513162940 1071607020 /nfs/dbraw/zinc/60/70/20/1071607020.db2.gz YVAXRJJBEKBUEX-HZPDHXFCSA-N 0 0 428.555 -0.176 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000513162941 1071606960 /nfs/dbraw/zinc/60/69/60/1071606960.db2.gz YVAXRJJBEKBUEX-JKSUJKDBSA-N 0 0 428.555 -0.176 20 0 IBADRN O=C(C1CCCC1)N1CC(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000513166584 1071612531 /nfs/dbraw/zinc/61/25/31/1071612531.db2.gz QHHVDLFIHKPPDG-HNNXBMFYSA-N 0 0 437.584 -0.205 20 0 IBADRN O=C(C1CCCC1)N1CC(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000513166588 1071612536 /nfs/dbraw/zinc/61/25/36/1071612536.db2.gz QHHVDLFIHKPPDG-OAHLLOKOSA-N 0 0 437.584 -0.205 20 0 IBADRN O=C(C1CCCC1)N1CC(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000513170824 1071614151 /nfs/dbraw/zinc/61/41/51/1071614151.db2.gz KLASKXPPRIIPPV-UHFFFAOYSA-N 0 0 425.598 -0.315 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)C1CN(C(=O)C2CCCC2)C1 ZINC000513171268 1071614205 /nfs/dbraw/zinc/61/42/05/1071614205.db2.gz MNCOAEJLNLJMGU-AWEZNQCLSA-N 0 0 435.568 -0.658 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)C1CN(C(=O)C2CCCC2)C1 ZINC000513171271 1071614159 /nfs/dbraw/zinc/61/41/59/1071614159.db2.gz MNCOAEJLNLJMGU-CQSZACIVSA-N 0 0 435.568 -0.658 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)C3(CO)CC3)CC2)cc1OC ZINC000513174761 1071614918 /nfs/dbraw/zinc/61/49/18/1071614918.db2.gz YRUSOONQJYDXJM-UHFFFAOYSA-N 0 0 427.523 -0.007 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CC1 ZINC000513176222 1071615390 /nfs/dbraw/zinc/61/53/90/1071615390.db2.gz DVDNMPROKHBFNH-UHFFFAOYSA-N 0 0 440.522 -0.990 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCCN1C(=O)CCC1=O ZINC000513177348 1071615453 /nfs/dbraw/zinc/61/54/53/1071615453.db2.gz JLTYNGAMAARWNN-UHFFFAOYSA-N 0 0 446.460 -0.096 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000513179183 1071615575 /nfs/dbraw/zinc/61/55/75/1071615575.db2.gz RAAISBYCQBRHGV-UHFFFAOYSA-N 0 0 430.435 -0.306 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000513179382 1071615557 /nfs/dbraw/zinc/61/55/57/1071615557.db2.gz RZZZTVDFTCDLDZ-UHFFFAOYSA-N 0 0 429.433 -0.137 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC3=CCCOC3)CC2)cc1 ZINC000513179912 1071615585 /nfs/dbraw/zinc/61/55/85/1071615585.db2.gz WVWIWJPSDGLUIE-UHFFFAOYSA-N 0 0 444.535 -0.303 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000513181017 1071615596 /nfs/dbraw/zinc/61/55/96/1071615596.db2.gz LADOIFQJLWMGCH-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000513181506 1071615543 /nfs/dbraw/zinc/61/55/43/1071615543.db2.gz QNYKURCAWFBILF-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000513181783 1071615463 /nfs/dbraw/zinc/61/54/63/1071615463.db2.gz RUBGPRHXXFXAEC-GFCCVEGCSA-N 0 0 437.565 -0.077 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000513181785 1071615475 /nfs/dbraw/zinc/61/54/75/1071615475.db2.gz RUBGPRHXXFXAEC-LBPRGKRZSA-N 0 0 437.565 -0.077 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000513182324 1071616095 /nfs/dbraw/zinc/61/60/95/1071616095.db2.gz VERQKOCIYGJFQA-CYBMUJFWSA-N 0 0 425.554 -0.692 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000513182793 1071615606 /nfs/dbraw/zinc/61/56/06/1071615606.db2.gz FZEHRXCDTPXQDH-UHFFFAOYSA-N 0 0 425.463 -0.495 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1F ZINC000513183115 1071615406 /nfs/dbraw/zinc/61/54/06/1071615406.db2.gz ZKICTDLYCQWKGM-SNVBAGLBSA-N 0 0 429.517 -0.101 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CC1 ZINC000513183195 1071616151 /nfs/dbraw/zinc/61/61/51/1071616151.db2.gz HDZXBAPCEGRTQP-INIZCTEOSA-N 0 0 444.598 -0.124 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CC1 ZINC000513183196 1071616030 /nfs/dbraw/zinc/61/60/30/1071616030.db2.gz HDZXBAPCEGRTQP-MRXNPFEDSA-N 0 0 444.598 -0.124 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CC1)N1CCOCC1 ZINC000513183936 1071616188 /nfs/dbraw/zinc/61/61/88/1071616188.db2.gz LIFYOZXKDIAQHR-UHFFFAOYSA-N 0 0 428.555 -0.806 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC000513185362 1071616103 /nfs/dbraw/zinc/61/61/03/1071616103.db2.gz TUYGLCZVDMYQME-AWEZNQCLSA-N 0 0 429.882 -0.142 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC000513185365 1071616144 /nfs/dbraw/zinc/61/61/44/1071616144.db2.gz TUYGLCZVDMYQME-CQSZACIVSA-N 0 0 429.882 -0.142 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000513186737 1071616088 /nfs/dbraw/zinc/61/60/88/1071616088.db2.gz NTZMJPTVXNOJFC-AWEZNQCLSA-N 0 0 431.536 -0.045 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000513186738 1071615945 /nfs/dbraw/zinc/61/59/45/1071615945.db2.gz NTZMJPTVXNOJFC-CQSZACIVSA-N 0 0 431.536 -0.045 20 0 IBADRN CCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)CC(=O)N1CCCCCC1 ZINC000513187935 1073370645 /nfs/dbraw/zinc/37/06/45/1073370645.db2.gz KBQMBFCZTFBYGZ-UHFFFAOYSA-N 0 0 437.585 -0.286 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CCO1 ZINC000513189968 1071614795 /nfs/dbraw/zinc/61/47/95/1071614795.db2.gz OLQGNZVOPPGRCU-HNNXBMFYSA-N 0 0 431.449 -0.034 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CCO1 ZINC000513189969 1071615020 /nfs/dbraw/zinc/61/50/20/1071615020.db2.gz OLQGNZVOPPGRCU-OAHLLOKOSA-N 0 0 431.449 -0.034 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1 ZINC000513190929 1071614886 /nfs/dbraw/zinc/61/48/86/1071614886.db2.gz ZUNGUTMSAZYDCZ-INIZCTEOSA-N 0 0 448.476 -0.388 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1 ZINC000513190930 1071615028 /nfs/dbraw/zinc/61/50/28/1071615028.db2.gz ZUNGUTMSAZYDCZ-MRXNPFEDSA-N 0 0 448.476 -0.388 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000513191149 1071614829 /nfs/dbraw/zinc/61/48/29/1071614829.db2.gz FTCWSZLDOIILGI-GXTWGEPZSA-N 0 0 431.536 -0.046 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000513191150 1071614875 /nfs/dbraw/zinc/61/48/75/1071614875.db2.gz FTCWSZLDOIILGI-JSGCOSHPSA-N 0 0 431.536 -0.046 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000513191420 1071614953 /nfs/dbraw/zinc/61/49/53/1071614953.db2.gz MITGDWYUBHPBSG-CYBMUJFWSA-N 0 0 447.535 -0.334 20 0 IBADRN CC(C)(C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCOCC1 ZINC000513191532 1071614844 /nfs/dbraw/zinc/61/48/44/1071614844.db2.gz OZYWTWPLFVXYOY-UHFFFAOYSA-N 0 0 425.555 -0.240 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000513194002 1071614900 /nfs/dbraw/zinc/61/49/00/1071614900.db2.gz KQYKYPQNMXPKEC-FQEVSTJZSA-N 0 0 439.465 -0.372 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000513194003 1071614720 /nfs/dbraw/zinc/61/47/20/1071614720.db2.gz KQYKYPQNMXPKEC-HXUWFJFHSA-N 0 0 439.465 -0.372 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1)CN1CCOCC1 ZINC000513195203 1071615527 /nfs/dbraw/zinc/61/55/27/1071615527.db2.gz YUIJNPXXGLJSSB-NRFANRHFSA-N 0 0 447.492 -0.198 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1)CN1CCOCC1 ZINC000513195204 1071615423 /nfs/dbraw/zinc/61/54/23/1071615423.db2.gz YUIJNPXXGLJSSB-OAQYLSRUSA-N 0 0 447.492 -0.198 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000513198087 1071615501 /nfs/dbraw/zinc/61/55/01/1071615501.db2.gz ZJKLSMVFEHVJNI-CYBMUJFWSA-N 0 0 447.535 -0.476 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000513198088 1071615442 /nfs/dbraw/zinc/61/54/42/1071615442.db2.gz ZJKLSMVFEHVJNI-ZDUSSCGKSA-N 0 0 447.535 -0.476 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000513213753 1071616161 /nfs/dbraw/zinc/61/61/61/1071616161.db2.gz IIZXFRZUSKHXHX-UHFFFAOYSA-N 0 0 430.552 -0.337 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C1)C(N)=O ZINC000513230816 1071616771 /nfs/dbraw/zinc/61/67/71/1071616771.db2.gz FBURFDKJXAOVJC-UHFFFAOYSA-N 0 0 425.511 -0.635 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C1)C(N)=O ZINC000513232077 1071616672 /nfs/dbraw/zinc/61/66/72/1071616672.db2.gz LMZBTFRMGAKIHT-UHFFFAOYSA-N 0 0 425.511 -0.635 20 0 IBADRN CCC(CC)(NC(=O)C1CN([C@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1)C(N)=O ZINC000513232177 1071616723 /nfs/dbraw/zinc/61/67/23/1071616723.db2.gz MIAQIFBNSXVSGA-GFCCVEGCSA-N 0 0 439.538 -0.247 20 0 IBADRN CCC(CC)(NC(=O)C1CN([C@@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)C1)C(N)=O ZINC000513232179 1071616582 /nfs/dbraw/zinc/61/65/82/1071616582.db2.gz MIAQIFBNSXVSGA-LBPRGKRZSA-N 0 0 439.538 -0.247 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)C1)C(N)=O ZINC000513233135 1071616729 /nfs/dbraw/zinc/61/67/29/1071616729.db2.gz UZMWFSMMHJYMPP-INIZCTEOSA-N 0 0 444.598 -0.250 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)C1)C(N)=O ZINC000513233137 1071616743 /nfs/dbraw/zinc/61/67/43/1071616743.db2.gz UZMWFSMMHJYMPP-MRXNPFEDSA-N 0 0 444.598 -0.250 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)C1)C(N)=O ZINC000513241500 1071618252 /nfs/dbraw/zinc/61/82/52/1071618252.db2.gz JQKZSIDFGCBGRH-UHFFFAOYSA-N 0 0 436.513 -0.538 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)C1)C(N)=O ZINC000513241744 1071618241 /nfs/dbraw/zinc/61/82/41/1071618241.db2.gz LNRLJPXXOZXOKR-UHFFFAOYSA-N 0 0 439.538 -0.327 20 0 IBADRN CCC(CC)(NC(=O)C1CN([C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)C1)C(N)=O ZINC000513242712 1071618278 /nfs/dbraw/zinc/61/82/78/1071618278.db2.gz WXRYRPFWTYRWSG-HNNXBMFYSA-N 0 0 431.541 -0.394 20 0 IBADRN CCC(CC)(NC(=O)C1CN([C@H](C)C(=O)N2CCN(c3ncccn3)CC2)C1)C(N)=O ZINC000513242713 1071618371 /nfs/dbraw/zinc/61/83/71/1071618371.db2.gz WXRYRPFWTYRWSG-OAHLLOKOSA-N 0 0 431.541 -0.394 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)OC)CC1 ZINC000513243214 1071618350 /nfs/dbraw/zinc/61/83/50/1071618350.db2.gz DVMXHUJNQLFVRN-UHFFFAOYSA-N 0 0 427.479 -0.078 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3nc(N(C)C)oc3c2)CC1 ZINC000513243277 1071618342 /nfs/dbraw/zinc/61/83/42/1071618342.db2.gz FMZFHYAKGJSYCA-UHFFFAOYSA-N 0 0 432.481 -0.261 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000513243490 1071618257 /nfs/dbraw/zinc/61/82/57/1071618257.db2.gz HUQLVISOARVSPH-JTQLQIEISA-N 0 0 436.421 -0.327 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000513243491 1071618356 /nfs/dbraw/zinc/61/83/56/1071618356.db2.gz HUQLVISOARVSPH-SNVBAGLBSA-N 0 0 436.421 -0.327 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000513243557 1071618222 /nfs/dbraw/zinc/61/82/22/1071618222.db2.gz IOZMQZOWSXCLMY-AWEZNQCLSA-N 0 0 431.449 -0.516 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000513243558 1071618263 /nfs/dbraw/zinc/61/82/63/1071618263.db2.gz IOZMQZOWSXCLMY-CQSZACIVSA-N 0 0 431.449 -0.516 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CC(=O)N(C(C)C)C1=O ZINC000513244266 1071618271 /nfs/dbraw/zinc/61/82/71/1071618271.db2.gz QFOPRLICQMCXAJ-INIZCTEOSA-N 0 0 446.460 -0.100 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C(C)C)C1=O ZINC000513244267 1071618232 /nfs/dbraw/zinc/61/82/32/1071618232.db2.gz QFOPRLICQMCXAJ-MRXNPFEDSA-N 0 0 446.460 -0.100 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(Cl)ccc2C(=O)OC)CC1 ZINC000513244478 1071618893 /nfs/dbraw/zinc/61/88/93/1071618893.db2.gz SAAFIIRHBFWDLN-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)c(F)cc2C(=O)OC)CC1 ZINC000513244822 1071619038 /nfs/dbraw/zinc/61/90/38/1071619038.db2.gz VLGXQAWJPAJSKA-UHFFFAOYSA-N 0 0 442.419 -0.403 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)cc1 ZINC000513245047 1071618344 /nfs/dbraw/zinc/61/83/44/1071618344.db2.gz DHJYCBUCTQTIPO-UHFFFAOYSA-N 0 0 432.458 -0.037 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Cl)cc2C(=O)OC)CC1 ZINC000513245124 1071618905 /nfs/dbraw/zinc/61/89/05/1071618905.db2.gz WUYUYSLMXZMMKU-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)cc2C(=O)OC)CC1 ZINC000513245446 1071618974 /nfs/dbraw/zinc/61/89/74/1071618974.db2.gz YPUKIFYLRRZHCR-UHFFFAOYSA-N 0 0 436.465 -0.673 20 0 IBADRN O=C(c1cccc(O)c1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000513245494 1071618939 /nfs/dbraw/zinc/61/89/39/1071618939.db2.gz IPDPYVPFPOYXAW-GOSISDBHSA-N 0 0 430.505 -0.143 20 0 IBADRN O=C(c1cccc(O)c1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000513245496 1071618991 /nfs/dbraw/zinc/61/89/91/1071618991.db2.gz IPDPYVPFPOYXAW-SFHVURJKSA-N 0 0 430.505 -0.143 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(C(=O)c4cccc(O)c4)CC3)nc2c(=O)[nH]c1=O ZINC000513246016 1071619027 /nfs/dbraw/zinc/61/90/27/1071619027.db2.gz OVAPKSAWNZOYSW-UHFFFAOYSA-N 0 0 426.433 -0.015 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCNS(=O)(=O)CC)cc1 ZINC000513246307 1071619001 /nfs/dbraw/zinc/61/90/01/1071619001.db2.gz UPHIAYANKHICIE-UHFFFAOYSA-N 0 0 448.567 -0.498 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4cccc(O)c4)CC3)c2c(=O)n(C)c1=O ZINC000513246431 1071618961 /nfs/dbraw/zinc/61/89/61/1071618961.db2.gz WLAMGSUHHZKVPD-UHFFFAOYSA-N 0 0 440.460 -0.486 20 0 IBADRN CCN(C(=O)[C@H](C)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000513246937 1071618861 /nfs/dbraw/zinc/61/88/61/1071618861.db2.gz GNFOPBVGNBLLNV-DZGCQCFKSA-N 0 0 430.571 -0.497 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000513246938 1071618850 /nfs/dbraw/zinc/61/88/50/1071618850.db2.gz GNFOPBVGNBLLNV-HIFRSBDPSA-N 0 0 430.571 -0.497 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000513246939 1071618918 /nfs/dbraw/zinc/61/89/18/1071618918.db2.gz GNFOPBVGNBLLNV-UKRRQHHQSA-N 0 0 430.571 -0.497 20 0 IBADRN CCN(C(=O)[C@H](C)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000513246940 1071618912 /nfs/dbraw/zinc/61/89/12/1071618912.db2.gz GNFOPBVGNBLLNV-ZFWWWQNUSA-N 0 0 430.571 -0.497 20 0 IBADRN CCC(CC)(NC(=O)C1CN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1)C(N)=O ZINC000513247291 1071617755 /nfs/dbraw/zinc/61/77/55/1071617755.db2.gz MKXACRDFXFACRR-UHFFFAOYSA-N 0 0 449.537 -0.342 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@@H]1CCCS1(=O)=O ZINC000513248623 1071617903 /nfs/dbraw/zinc/61/79/03/1071617903.db2.gz HGFNYPWVTKIZAM-AWEZNQCLSA-N 0 0 439.490 -0.058 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@H]1CCCS1(=O)=O ZINC000513248624 1071617822 /nfs/dbraw/zinc/61/78/22/1071617822.db2.gz HGFNYPWVTKIZAM-CQSZACIVSA-N 0 0 439.490 -0.058 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)Cn3cnn(C)c3=O)CC2)c1 ZINC000513252568 1071619548 /nfs/dbraw/zinc/61/95/48/1071619548.db2.gz LVMNQIXCQAUFJD-UHFFFAOYSA-N 0 0 425.467 -0.868 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000513254784 1071619448 /nfs/dbraw/zinc/61/94/48/1071619448.db2.gz MXIIRLQBMRHHNL-BXKDBHETSA-N 0 0 439.421 -0.461 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000513254788 1071619463 /nfs/dbraw/zinc/61/94/63/1071619463.db2.gz MXIIRLQBMRHHNL-SKDRFNHKSA-N 0 0 439.421 -0.461 20 0 IBADRN O=C(c1cccc(O)c1)N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000513255241 1071619490 /nfs/dbraw/zinc/61/94/90/1071619490.db2.gz CWWOMCZEVYLOJW-CVEARBPZSA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1cccc(O)c1)N1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000513255242 1071620124 /nfs/dbraw/zinc/62/01/24/1071620124.db2.gz CWWOMCZEVYLOJW-HOTGVXAUSA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1cccc(O)c1)N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000513255243 1071619989 /nfs/dbraw/zinc/61/99/89/1071619989.db2.gz CWWOMCZEVYLOJW-HZPDHXFCSA-N 0 0 435.502 -0.288 20 0 IBADRN O=C(c1cccc(O)c1)N1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000513255244 1071619963 /nfs/dbraw/zinc/61/99/63/1071619963.db2.gz CWWOMCZEVYLOJW-JKSUJKDBSA-N 0 0 435.502 -0.288 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2C)C1)C(N)=O ZINC000513255995 1071619507 /nfs/dbraw/zinc/61/95/07/1071619507.db2.gz JWXZALXVEXLAQM-UHFFFAOYSA-N 0 0 439.538 -0.327 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCNS(=O)(=O)CC)c1 ZINC000513256196 1071619500 /nfs/dbraw/zinc/61/95/00/1071619500.db2.gz IPWHRKVONKZCSQ-UHFFFAOYSA-N 0 0 448.567 -0.498 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000513259173 1071620107 /nfs/dbraw/zinc/62/01/07/1071620107.db2.gz AIEKECWKACUUOL-MLGOLLRUSA-N 0 0 434.449 -0.651 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000513259174 1071620023 /nfs/dbraw/zinc/62/00/23/1071620023.db2.gz AIEKECWKACUUOL-WBMJQRKESA-N 0 0 434.449 -0.651 20 0 IBADRN COCCN1CCN(C(=O)c2cccc(C(=O)N3CCN(CCOC)C(=O)C3)c2)CC1=O ZINC000513259884 1071618925 /nfs/dbraw/zinc/61/89/25/1071618925.db2.gz DXPYQKQWEIUJNE-UHFFFAOYSA-N 0 0 446.504 -0.452 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1ccc(C(=O)NCCNS(=O)(=O)CC)s1 ZINC000513262575 1071619467 /nfs/dbraw/zinc/61/94/67/1071619467.db2.gz OBBQPAICEJWRAH-UHFFFAOYSA-N 0 0 440.569 -0.914 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3cccc(O)c3)CC1)c(=O)n2C ZINC000513263008 1071619557 /nfs/dbraw/zinc/61/95/57/1071619557.db2.gz QDWOWWRORACDIV-UHFFFAOYSA-N 0 0 426.433 -0.876 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCCS(=O)(=O)CC1 ZINC000513264661 1071619515 /nfs/dbraw/zinc/61/95/15/1071619515.db2.gz YJQHVDWJEKVCQC-UHFFFAOYSA-N 0 0 439.490 -0.105 20 0 IBADRN COC(=O)c1ccccc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000513264878 1071619421 /nfs/dbraw/zinc/61/94/21/1071619421.db2.gz AZJYVCIODSSEKI-HNNXBMFYSA-N 0 0 432.477 -0.197 20 0 IBADRN COC(=O)c1ccccc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000513264879 1071619443 /nfs/dbraw/zinc/61/94/43/1071619443.db2.gz AZJYVCIODSSEKI-OAHLLOKOSA-N 0 0 432.477 -0.197 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(OC)c(OC)cc1C(=O)OC ZINC000513268608 1071620034 /nfs/dbraw/zinc/62/00/34/1071620034.db2.gz QDEIWEZRRZMDTF-LLVKDONJSA-N 0 0 443.478 -0.031 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(OC)c(OC)cc1C(=O)OC ZINC000513268609 1071620043 /nfs/dbraw/zinc/62/00/43/1071620043.db2.gz QDEIWEZRRZMDTF-NSHDSACASA-N 0 0 443.478 -0.031 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4cccc(O)c4)CC3)c2c(=O)n(C)c1=O ZINC000513269342 1071620087 /nfs/dbraw/zinc/62/00/87/1071620087.db2.gz WLCJYDHBLSZDSF-UHFFFAOYSA-N 0 0 426.433 -0.876 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)C1)C(N)=O ZINC000513270345 1071620008 /nfs/dbraw/zinc/62/00/08/1071620008.db2.gz DDNDWZBZUWJROO-UHFFFAOYSA-N 0 0 433.509 -0.344 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)C1)C(N)=O ZINC000513270738 1071619966 /nfs/dbraw/zinc/61/99/66/1071619966.db2.gz GYTSZZPYKVJQQK-UHFFFAOYSA-N 0 0 432.460 -0.168 20 0 IBADRN CCC(CC)(NC(=O)C1CN([C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1)C(N)=O ZINC000513272597 1071620637 /nfs/dbraw/zinc/62/06/37/1071620637.db2.gz RRRYZMUYLZTSQA-GFCCVEGCSA-N 0 0 439.538 -0.247 20 0 IBADRN CCC(CC)(NC(=O)C1CN([C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1)C(N)=O ZINC000513272598 1071620555 /nfs/dbraw/zinc/62/05/55/1071620555.db2.gz RRRYZMUYLZTSQA-LBPRGKRZSA-N 0 0 439.538 -0.247 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)N2CCC(C(=O)N3CCCC3)CC2)C1)C(N)=O ZINC000513272906 1071620616 /nfs/dbraw/zinc/62/06/16/1071620616.db2.gz TXXCNDBPWSYTPZ-UHFFFAOYSA-N 0 0 435.569 -0.061 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000513272936 1071620581 /nfs/dbraw/zinc/62/05/81/1071620581.db2.gz UKHVTXILGCJTFP-GDBMZVCRSA-N 0 0 444.598 -0.107 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000513272937 1071620519 /nfs/dbraw/zinc/62/05/19/1071620519.db2.gz UKHVTXILGCJTFP-GOEBONIOSA-N 0 0 444.598 -0.107 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000513272938 1071620545 /nfs/dbraw/zinc/62/05/45/1071620545.db2.gz UKHVTXILGCJTFP-HOCLYGCPSA-N 0 0 444.598 -0.107 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000513272939 1071620611 /nfs/dbraw/zinc/62/06/11/1071620611.db2.gz UKHVTXILGCJTFP-ZBFHGGJFSA-N 0 0 444.598 -0.107 20 0 IBADRN CCC(CC)(NC(=O)C1CN(CC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)C1)C(N)=O ZINC000513273720 1071620599 /nfs/dbraw/zinc/62/05/99/1071620599.db2.gz ZIICROQECOOIJM-UHFFFAOYSA-N 0 0 429.477 -0.057 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000513274078 1071620606 /nfs/dbraw/zinc/62/06/06/1071620606.db2.gz PCKPPVDJHMVNAX-CYBMUJFWSA-N 0 0 439.490 -0.058 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000513274079 1071620529 /nfs/dbraw/zinc/62/05/29/1071620529.db2.gz PCKPPVDJHMVNAX-ZDUSSCGKSA-N 0 0 439.490 -0.058 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000513276030 1071620540 /nfs/dbraw/zinc/62/05/40/1071620540.db2.gz BANWYSFIIDBKIM-BYNSBNAKSA-N 0 0 444.506 -0.492 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000513276031 1071620507 /nfs/dbraw/zinc/62/05/07/1071620507.db2.gz BANWYSFIIDBKIM-GBJTYRQASA-N 0 0 444.506 -0.492 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000513276032 1071620549 /nfs/dbraw/zinc/62/05/49/1071620549.db2.gz BANWYSFIIDBKIM-KBUPBQIOSA-N 0 0 444.506 -0.492 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000513276033 1071621036 /nfs/dbraw/zinc/62/10/36/1071621036.db2.gz BANWYSFIIDBKIM-LXTVHRRPSA-N 0 0 444.506 -0.492 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)C1)C(N)=O ZINC000513276543 1071621065 /nfs/dbraw/zinc/62/10/65/1071621065.db2.gz QIARQXREDYTJNW-INIZCTEOSA-N 0 0 431.493 -0.044 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)C1)C(N)=O ZINC000513276544 1071621146 /nfs/dbraw/zinc/62/11/46/1071621146.db2.gz QIARQXREDYTJNW-MRXNPFEDSA-N 0 0 431.493 -0.044 20 0 IBADRN COC(=O)c1cc(Cl)ccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000513278559 1071621078 /nfs/dbraw/zinc/62/10/78/1071621078.db2.gz FSBYCEWKLNYASY-UHFFFAOYSA-N 0 0 435.828 -0.577 20 0 IBADRN COC(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000513279537 1071621123 /nfs/dbraw/zinc/62/11/23/1071621123.db2.gz PHHRYCHAVJDXQL-UHFFFAOYSA-N 0 0 435.828 -0.577 20 0 IBADRN CN(C)c1nc2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2o1 ZINC000513279996 1071621135 /nfs/dbraw/zinc/62/11/35/1071621135.db2.gz VCBNTJHRMACLPS-UHFFFAOYSA-N 0 0 427.425 -0.810 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000513280358 1071621674 /nfs/dbraw/zinc/62/16/74/1071621674.db2.gz YSZYSBHLXBSKLB-UHFFFAOYSA-N 0 0 437.363 -0.953 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)CCNS(=O)(=O)c1cn(C)c(C)n1 ZINC000513282899 1071622150 /nfs/dbraw/zinc/62/21/50/1071622150.db2.gz RPIXJZOTKNQVEY-ZIAGYGMSSA-N 0 0 444.510 -0.117 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000513286335 1071621600 /nfs/dbraw/zinc/62/16/00/1071621600.db2.gz FGSKRZSXTCNTCM-CHWSQXEVSA-N 0 0 430.483 -0.507 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000513286952 1071621638 /nfs/dbraw/zinc/62/16/38/1071621638.db2.gz LLJMFGFFAOWNHR-BRWVUGGUSA-N 0 0 439.509 -0.347 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000513286955 1071621667 /nfs/dbraw/zinc/62/16/67/1071621667.db2.gz LLJMFGFFAOWNHR-GVDBMIGSSA-N 0 0 439.509 -0.347 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000513286990 1071621665 /nfs/dbraw/zinc/62/16/65/1071621665.db2.gz LUYUXURRIQULAO-IACUBPJLSA-N 0 0 430.483 -0.427 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000513286992 1071621648 /nfs/dbraw/zinc/62/16/48/1071621648.db2.gz LUYUXURRIQULAO-MRVWCRGKSA-N 0 0 430.483 -0.427 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000513288352 1071621671 /nfs/dbraw/zinc/62/16/71/1071621671.db2.gz BOTGFEVCGUYKJK-UHFFFAOYSA-N 0 0 440.434 -0.356 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000513289161 1071621616 /nfs/dbraw/zinc/62/16/16/1071621616.db2.gz LIBHXRDPJXJGHM-UHFFFAOYSA-N 0 0 428.398 -0.225 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000513289618 1071621589 /nfs/dbraw/zinc/62/15/89/1071621589.db2.gz QJTRISVXQAMIMK-BBRMVZONSA-N 0 0 434.449 -0.603 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000513289619 1071621689 /nfs/dbraw/zinc/62/16/89/1071621689.db2.gz QJTRISVXQAMIMK-CJNGLKHVSA-N 0 0 434.449 -0.603 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000513289620 1071621683 /nfs/dbraw/zinc/62/16/83/1071621683.db2.gz QJTRISVXQAMIMK-CZUORRHYSA-N 0 0 434.449 -0.603 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000513289621 1071621685 /nfs/dbraw/zinc/62/16/85/1071621685.db2.gz QJTRISVXQAMIMK-XJKSGUPXSA-N 0 0 434.449 -0.603 20 0 IBADRN COc1cc([C@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccn1 ZINC000513289650 1071622236 /nfs/dbraw/zinc/62/22/36/1071622236.db2.gz QPSLVGOVDVPLAS-HNNXBMFYSA-N 0 0 426.543 -0.029 20 0 IBADRN COc1cc([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccn1 ZINC000513289651 1071622183 /nfs/dbraw/zinc/62/21/83/1071622183.db2.gz QPSLVGOVDVPLAS-OAHLLOKOSA-N 0 0 426.543 -0.029 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000513289728 1071621580 /nfs/dbraw/zinc/62/15/80/1071621580.db2.gz RLUBMOPVYXYTKG-UHFFFAOYSA-N 0 0 446.388 -0.086 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C2(CC(=O)N3CCOCC3)CCCC2)CC1 ZINC000513290734 1071622203 /nfs/dbraw/zinc/62/22/03/1071622203.db2.gz CYYVDBPAZYZADQ-UHFFFAOYSA-N 0 0 436.553 -0.201 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000513291682 1071622223 /nfs/dbraw/zinc/62/22/23/1071622223.db2.gz KSOJMLYQRROOES-UHFFFAOYSA-N 0 0 429.462 -0.189 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000513293309 1071622142 /nfs/dbraw/zinc/62/21/42/1071622142.db2.gz DZPVWTXHJDIFLP-LLVKDONJSA-N 0 0 443.478 -0.173 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000513293310 1071622080 /nfs/dbraw/zinc/62/20/80/1071622080.db2.gz DZPVWTXHJDIFLP-NSHDSACASA-N 0 0 443.478 -0.173 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000513296541 1071622169 /nfs/dbraw/zinc/62/21/69/1071622169.db2.gz OWQLFPSTYSKAJB-UHFFFAOYSA-N 0 0 447.477 -0.465 20 0 IBADRN COC(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000513299353 1071622781 /nfs/dbraw/zinc/62/27/81/1071622781.db2.gz DNECBTYSBOZDEA-UHFFFAOYSA-N 0 0 432.886 -0.502 20 0 IBADRN CCOC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000513301788 1071622776 /nfs/dbraw/zinc/62/27/76/1071622776.db2.gz ODPNFZABUUNVJX-UHFFFAOYSA-N 0 0 448.448 -0.487 20 0 IBADRN COC(=O)c1cc(Cl)ccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000513302539 1071623180 /nfs/dbraw/zinc/62/31/80/1071623180.db2.gz WKGXSWJCFFHMFV-UHFFFAOYSA-N 0 0 432.886 -0.502 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000513302666 1071623174 /nfs/dbraw/zinc/62/31/74/1071623174.db2.gz XJCGEZBXGSJFQG-UHFFFAOYSA-N 0 0 434.421 -0.877 20 0 IBADRN COC(=O)c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000513306463 1071622770 /nfs/dbraw/zinc/62/27/70/1071622770.db2.gz KJFRBTLNJYQACV-UHFFFAOYSA-N 0 0 449.489 -0.013 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCCN(c4nccs4)CC3)cnc2n(C)c1=O ZINC000513306840 1071622705 /nfs/dbraw/zinc/62/27/05/1071622705.db2.gz OFOUVQHHZJRKSV-UHFFFAOYSA-N 0 0 443.489 -0.234 20 0 IBADRN O=C(CN1CCN(C(=O)C2(CC(=O)N3CCOCC3)CCCC2)CC1)N1CCOCC1 ZINC000513309329 1071622790 /nfs/dbraw/zinc/62/27/90/1071622790.db2.gz AVSQTQKXPPTRFA-UHFFFAOYSA-N 0 0 436.553 -0.201 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000513309785 1071622804 /nfs/dbraw/zinc/62/28/04/1071622804.db2.gz CQDNPWQHVFLKKU-UHFFFAOYSA-N 0 0 436.446 -0.090 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCOCCS(N)(=O)=O)C1 ZINC000513311906 1071622688 /nfs/dbraw/zinc/62/26/88/1071622688.db2.gz KPEUROUKTLZUPL-CYBMUJFWSA-N 0 0 438.528 -0.887 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCOCCS(N)(=O)=O)C1 ZINC000513311907 1071622697 /nfs/dbraw/zinc/62/26/97/1071622697.db2.gz KPEUROUKTLZUPL-ZDUSSCGKSA-N 0 0 438.528 -0.887 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCOCCS(N)(=O)=O ZINC000513312641 1071622785 /nfs/dbraw/zinc/62/27/85/1071622785.db2.gz POKWCWXJDUUXNF-AWEZNQCLSA-N 0 0 425.504 -0.450 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(C(=O)NCCOCCS(N)(=O)=O)CC2)cc1 ZINC000513312718 1071622801 /nfs/dbraw/zinc/62/28/01/1071622801.db2.gz QDEKOFQPURLMIG-UHFFFAOYSA-N 0 0 433.552 -0.183 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000513315902 1071623703 /nfs/dbraw/zinc/62/37/03/1071623703.db2.gz JSUCDFQKPYDTKN-LLVKDONJSA-N 0 0 443.478 -0.031 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000513316304 1071623650 /nfs/dbraw/zinc/62/36/50/1071623650.db2.gz CQJOOPIWZJPZOF-AWEZNQCLSA-N 0 0 435.524 -0.821 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000513316307 1071623721 /nfs/dbraw/zinc/62/37/21/1071623721.db2.gz CQJOOPIWZJPZOF-CQSZACIVSA-N 0 0 435.524 -0.821 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000513316682 1071623690 /nfs/dbraw/zinc/62/36/90/1071623690.db2.gz GEJOTPWYEYQCRX-UHFFFAOYSA-N 0 0 449.551 -0.939 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CCn1cnc2ccc(Br)cc2c1=O ZINC000513317176 1071623657 /nfs/dbraw/zinc/62/36/57/1071623657.db2.gz JXYAXTJWMKUSDG-UHFFFAOYSA-N 0 0 447.311 -0.030 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1sccc1S(=O)(=O)N1CCCCC1 ZINC000513317791 1071623711 /nfs/dbraw/zinc/62/37/11/1071623711.db2.gz OLOHTRRVELRZJK-UHFFFAOYSA-N 0 0 425.554 -0.042 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1cc(S(=O)(=O)Nc2ccccc2F)c[nH]1 ZINC000513319764 1071623239 /nfs/dbraw/zinc/62/32/39/1071623239.db2.gz BLFZJLPQUBWCHG-UHFFFAOYSA-N 0 0 434.471 -0.011 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)NCCOCCS(N)(=O)=O)c2)C[C@H](C)O1 ZINC000513319908 1071623205 /nfs/dbraw/zinc/62/32/05/1071623205.db2.gz FLYMKNFNWYLEBN-KBPBESRZSA-N 0 0 449.551 -0.481 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NCCOCCS(N)(=O)=O)c2)C[C@H](C)O1 ZINC000513319909 1071623148 /nfs/dbraw/zinc/62/31/48/1071623148.db2.gz FLYMKNFNWYLEBN-OKILXGFUSA-N 0 0 449.551 -0.481 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NCCOCCS(N)(=O)=O)c2)C[C@@H](C)O1 ZINC000513319910 1071623270 /nfs/dbraw/zinc/62/32/70/1071623270.db2.gz FLYMKNFNWYLEBN-ZIAGYGMSSA-N 0 0 449.551 -0.481 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000513320446 1071623300 /nfs/dbraw/zinc/62/33/00/1071623300.db2.gz MRZJPLAHOYJJRY-UHFFFAOYSA-N 0 0 437.515 -0.352 20 0 IBADRN COc1ccc(C(=O)NCCOCCS(N)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000513320694 1071623285 /nfs/dbraw/zinc/62/32/85/1071623285.db2.gz NZOFOYWYZCYRDY-UHFFFAOYSA-N 0 0 435.524 -0.485 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1ccc(S(=O)(=O)NCc2ccco2)cc1 ZINC000513321550 1071623211 /nfs/dbraw/zinc/62/32/11/1071623211.db2.gz VREQJGQPDRGIKY-UHFFFAOYSA-N 0 0 431.492 -0.207 20 0 IBADRN O=C(NCCc1nnnn1-c1ccccc1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000513323737 1071623160 /nfs/dbraw/zinc/62/31/60/1071623160.db2.gz PSBRAMAOYKOVDN-UHFFFAOYSA-N 0 0 431.478 -0.016 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CCc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000513324349 1071623190 /nfs/dbraw/zinc/62/31/90/1071623190.db2.gz CMPBEXQMDZFEFR-UHFFFAOYSA-N 0 0 433.552 -0.175 20 0 IBADRN COc1ccc(C(=O)NCCOCCS(N)(=O)=O)cc1S(=O)(=O)NC1CCCC1 ZINC000513325354 1071623715 /nfs/dbraw/zinc/62/37/15/1071623715.db2.gz QCCMOHREXKHLKT-UHFFFAOYSA-N 0 0 449.551 -0.049 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)NCCOCCS(N)(=O)=O)C2)s1 ZINC000513325489 1071623661 /nfs/dbraw/zinc/62/36/61/1071623661.db2.gz RCKJWFKEYQSBPX-CYBMUJFWSA-N 0 0 439.581 -0.121 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)NCCOCCS(N)(=O)=O)C2)s1 ZINC000513325490 1071623699 /nfs/dbraw/zinc/62/36/99/1071623699.db2.gz RCKJWFKEYQSBPX-ZDUSSCGKSA-N 0 0 439.581 -0.121 20 0 IBADRN CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@@H](C)CO ZINC000513326796 1071623647 /nfs/dbraw/zinc/62/36/47/1071623647.db2.gz XBVCAENNCWMTMR-GXTWGEPZSA-N 0 0 429.495 -0.401 20 0 IBADRN CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@@H](C)CO ZINC000513326797 1071623736 /nfs/dbraw/zinc/62/37/36/1071623736.db2.gz XBVCAENNCWMTMR-JSGCOSHPSA-N 0 0 429.495 -0.401 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CN1C(=O)COc2cc(Br)ccc21 ZINC000513328857 1071624213 /nfs/dbraw/zinc/62/42/13/1071624213.db2.gz HDOVWUQJKYGGPZ-UHFFFAOYSA-N 0 0 436.284 -0.404 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCOCCS(N)(=O)=O)s1 ZINC000513329291 1071624193 /nfs/dbraw/zinc/62/41/93/1071624193.db2.gz LRKJMGOBKCMFKI-GFCCVEGCSA-N 0 0 425.554 -0.369 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCOCCS(N)(=O)=O)s1 ZINC000513329292 1071624266 /nfs/dbraw/zinc/62/42/66/1071624266.db2.gz LRKJMGOBKCMFKI-LBPRGKRZSA-N 0 0 425.554 -0.369 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Cn1cnc2ccc(Br)cc2c1=O ZINC000513330297 1071624202 /nfs/dbraw/zinc/62/42/02/1071624202.db2.gz VWEFUWVHONKOND-UHFFFAOYSA-N 0 0 433.284 -0.420 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)NCCOCCS(N)(=O)=O)cc2)C[C@H](C)O1 ZINC000513333170 1071624234 /nfs/dbraw/zinc/62/42/34/1071624234.db2.gz FRRMMUDCFYHHDN-KBPBESRZSA-N 0 0 449.551 -0.481 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NCCOCCS(N)(=O)=O)cc2)C[C@H](C)O1 ZINC000513333171 1071624246 /nfs/dbraw/zinc/62/42/46/1071624246.db2.gz FRRMMUDCFYHHDN-OKILXGFUSA-N 0 0 449.551 -0.481 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NCCOCCS(N)(=O)=O)cc2)C[C@@H](C)O1 ZINC000513333172 1071624229 /nfs/dbraw/zinc/62/42/29/1071624229.db2.gz FRRMMUDCFYHHDN-ZIAGYGMSSA-N 0 0 449.551 -0.481 20 0 IBADRN CCc1ccc(C(=O)NCCOCCS(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000513333636 1071624207 /nfs/dbraw/zinc/62/42/07/1071624207.db2.gz PACNKTXOTUAFAO-UHFFFAOYSA-N 0 0 449.551 -0.695 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CCNS(=O)(=O)c1ccc2ccccc2c1 ZINC000513333690 1071624275 /nfs/dbraw/zinc/62/42/75/1071624275.db2.gz PJJVZVQYKWYGNN-UHFFFAOYSA-N 0 0 429.520 -0.071 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000513335036 1071624257 /nfs/dbraw/zinc/62/42/57/1071624257.db2.gz MDCZAJMDBIXPLC-UHFFFAOYSA-N 0 0 443.507 -0.321 20 0 IBADRN COc1ccc(C(=O)NCCOCCS(N)(=O)=O)cc1S(=O)(=O)NC(C)(C)C ZINC000513337074 1071624197 /nfs/dbraw/zinc/62/41/97/1071624197.db2.gz BCAKXJUYPPFRFV-UHFFFAOYSA-N 0 0 437.540 -0.193 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC000513337849 1071623732 /nfs/dbraw/zinc/62/37/32/1071623732.db2.gz KNVSVYAHXJPNTQ-CYBMUJFWSA-N 0 0 437.515 -0.352 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC000513337852 1071623639 /nfs/dbraw/zinc/62/36/39/1071623639.db2.gz KNVSVYAHXJPNTQ-ZDUSSCGKSA-N 0 0 437.515 -0.352 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)cn1C ZINC000513338639 1071623654 /nfs/dbraw/zinc/62/36/54/1071623654.db2.gz NEZRZKWCKUIMNP-HNNXBMFYSA-N 0 0 435.506 -0.197 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)cn1C ZINC000513338640 1071623642 /nfs/dbraw/zinc/62/36/42/1071623642.db2.gz NEZRZKWCKUIMNP-OAHLLOKOSA-N 0 0 435.506 -0.197 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC000513339035 1071623668 /nfs/dbraw/zinc/62/36/68/1071623668.db2.gz VBRXGEQFLZUDFP-UHFFFAOYSA-N 0 0 428.492 -0.661 20 0 IBADRN COCCN1CN(C(=O)Cc2sccc2C(=O)N2CC(=O)N(CCOC)C2)CC1=O ZINC000513342466 1071624743 /nfs/dbraw/zinc/62/47/43/1071624743.db2.gz FNTFUOJUFIWQJD-UHFFFAOYSA-N 0 0 438.506 -0.546 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000513349210 1071624220 /nfs/dbraw/zinc/62/42/20/1071624220.db2.gz UQQHZRCSVSWRQP-MOPGFXCFSA-N 0 0 444.532 -0.037 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000513349211 1071624237 /nfs/dbraw/zinc/62/42/37/1071624237.db2.gz UQQHZRCSVSWRQP-OALUTQOASA-N 0 0 444.532 -0.037 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000513349212 1071624183 /nfs/dbraw/zinc/62/41/83/1071624183.db2.gz UQQHZRCSVSWRQP-RBUKOAKNSA-N 0 0 444.532 -0.037 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000513349213 1071624242 /nfs/dbraw/zinc/62/42/42/1071624242.db2.gz UQQHZRCSVSWRQP-RTBURBONSA-N 0 0 444.532 -0.037 20 0 IBADRN COC(C)(C)C[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000513349843 1071624720 /nfs/dbraw/zinc/62/47/20/1071624720.db2.gz CSRVFWMKRYYKOJ-CYBMUJFWSA-N 0 0 429.543 -0.119 20 0 IBADRN COC(C)(C)C[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000513349844 1071624730 /nfs/dbraw/zinc/62/47/30/1071624730.db2.gz CSRVFWMKRYYKOJ-ZDUSSCGKSA-N 0 0 429.543 -0.119 20 0 IBADRN COc1ccc(Cl)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000513350133 1071624662 /nfs/dbraw/zinc/62/46/62/1071624662.db2.gz GJRFXOANZVVJED-UHFFFAOYSA-N 0 0 438.868 -0.183 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000513353144 1071624638 /nfs/dbraw/zinc/62/46/38/1071624638.db2.gz HWTISBFWDHAEFF-CABCVRRESA-N 0 0 435.506 -0.117 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000513353150 1071624716 /nfs/dbraw/zinc/62/47/16/1071624716.db2.gz HWTISBFWDHAEFF-GJZGRUSLSA-N 0 0 435.506 -0.117 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000513353151 1071624706 /nfs/dbraw/zinc/62/47/06/1071624706.db2.gz HWTISBFWDHAEFF-HUUCEWRRSA-N 0 0 435.506 -0.117 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000513353152 1071624703 /nfs/dbraw/zinc/62/47/03/1071624703.db2.gz HWTISBFWDHAEFF-LSDHHAIUSA-N 0 0 435.506 -0.117 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000513354454 1071625223 /nfs/dbraw/zinc/62/52/23/1071625223.db2.gz SWTNFEOGZFCOKX-BBWFWOEESA-N 0 0 449.529 -0.182 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000513354455 1071625334 /nfs/dbraw/zinc/62/53/34/1071625334.db2.gz SWTNFEOGZFCOKX-BRWVUGGUSA-N 0 0 449.529 -0.182 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000513354456 1071625249 /nfs/dbraw/zinc/62/52/49/1071625249.db2.gz SWTNFEOGZFCOKX-GVDBMIGSSA-N 0 0 449.529 -0.182 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000513354457 1071625324 /nfs/dbraw/zinc/62/53/24/1071625324.db2.gz SWTNFEOGZFCOKX-ZACQAIPSSA-N 0 0 449.529 -0.182 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)c(=O)n(C)c1=O ZINC000513355369 1071625317 /nfs/dbraw/zinc/62/53/17/1071625317.db2.gz OKGCWQUVBRRDQR-UHFFFAOYSA-N 0 0 439.476 -0.685 20 0 IBADRN Cn1c2[nH]c(CN3CCN(Cc4nc5ccccc5c(=O)[nH]4)CC3)nc2c(=O)n(C)c1=O ZINC000513355795 1071625352 /nfs/dbraw/zinc/62/53/52/1071625352.db2.gz YJUYFGKFPMJPKL-UHFFFAOYSA-N 0 0 436.476 -0.073 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H](C)O1 ZINC000513357610 1071625345 /nfs/dbraw/zinc/62/53/45/1071625345.db2.gz CLDZBRWCAICHDY-IUODEOHRSA-N 0 0 425.463 -0.790 20 0 IBADRN O=C(c1cccc(Cl)c1)N1CC(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)C1 ZINC000513357686 1071625253 /nfs/dbraw/zinc/62/52/53/1071625253.db2.gz NGSDJBNOCLDUKP-CYBMUJFWSA-N 0 0 426.882 -0.863 20 0 IBADRN O=C(c1cccc(Cl)c1)N1CC(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)C1 ZINC000513357687 1071625243 /nfs/dbraw/zinc/62/52/43/1071625243.db2.gz NGSDJBNOCLDUKP-ZDUSSCGKSA-N 0 0 426.882 -0.863 20 0 IBADRN O=C(C1CCCC1)N1CC(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000513357802 1071625287 /nfs/dbraw/zinc/62/52/87/1071625287.db2.gz OARGDNYFCGWCPQ-UHFFFAOYSA-N 0 0 446.551 -0.147 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)[C@H]2CCCN(C(=O)C3CCCC3)C2)CC1 ZINC000513358597 1071624709 /nfs/dbraw/zinc/62/47/09/1071624709.db2.gz RVJQOJKMVZCHRA-INIZCTEOSA-N 0 0 436.600 -0.597 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)[C@@H]2CCCN(C(=O)C3CCCC3)C2)CC1 ZINC000513358598 1071624736 /nfs/dbraw/zinc/62/47/36/1071624736.db2.gz RVJQOJKMVZCHRA-MRXNPFEDSA-N 0 0 436.600 -0.597 20 0 IBADRN COC(C)(C)C[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000513359132 1071625294 /nfs/dbraw/zinc/62/52/94/1071625294.db2.gz ULGRZRBBVNGBQW-GFCCVEGCSA-N 0 0 444.510 -0.469 20 0 IBADRN COC(C)(C)C[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000513359138 1071625311 /nfs/dbraw/zinc/62/53/11/1071625311.db2.gz ULGRZRBBVNGBQW-LBPRGKRZSA-N 0 0 444.510 -0.469 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C[C@@H](C)O1 ZINC000513363888 1071624648 /nfs/dbraw/zinc/62/46/48/1071624648.db2.gz FPGOKUWKOGVEMA-IUODEOHRSA-N 0 0 428.511 -0.082 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@@H](C)O[C@@H](C(=O)OC)C2)c1 ZINC000513363991 1071624732 /nfs/dbraw/zinc/62/47/32/1071624732.db2.gz GDCGJNDWHWKSQI-UKRRQHHQSA-N 0 0 429.495 -0.332 20 0 IBADRN O=C(CN1CCN(CC(F)(F)F)C(=O)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000513368130 1071625232 /nfs/dbraw/zinc/62/52/32/1071625232.db2.gz UHGJLXLOVBCOFB-KBPBESRZSA-N 0 0 441.472 -0.112 20 0 IBADRN O=C(CN1CCN(CC(F)(F)F)C(=O)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000513368131 1071625340 /nfs/dbraw/zinc/62/53/40/1071625340.db2.gz UHGJLXLOVBCOFB-KGLIPLIRSA-N 0 0 441.472 -0.112 20 0 IBADRN O=C(CN1CCN(CC(F)(F)F)C(=O)C1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000513368133 1071625329 /nfs/dbraw/zinc/62/53/29/1071625329.db2.gz UHGJLXLOVBCOFB-UONOGXRCSA-N 0 0 441.472 -0.112 20 0 IBADRN O=C(CN1CCN(CC(F)(F)F)C(=O)C1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000513368135 1071625283 /nfs/dbraw/zinc/62/52/83/1071625283.db2.gz UHGJLXLOVBCOFB-ZIAGYGMSSA-N 0 0 441.472 -0.112 20 0 IBADRN O=C(C1CCCC1)N1CC(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000513371877 1071625798 /nfs/dbraw/zinc/62/57/98/1071625798.db2.gz MOUHZYXVVWRJIY-INIZCTEOSA-N 0 0 449.595 -0.156 20 0 IBADRN O=C(C1CCCC1)N1CC(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000513371878 1071625807 /nfs/dbraw/zinc/62/58/07/1071625807.db2.gz MOUHZYXVVWRJIY-MRXNPFEDSA-N 0 0 449.595 -0.156 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000513373292 1072599646 /nfs/dbraw/zinc/59/96/46/1072599646.db2.gz VBXVOQFOWSGBHF-UHFFFAOYSA-N 0 0 437.565 -0.124 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCC1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000513383375 1071627308 /nfs/dbraw/zinc/62/73/08/1071627308.db2.gz PTWHBRCGFDHQIS-UHFFFAOYSA-N 0 0 429.564 -0.066 20 0 IBADRN O=S(=O)(NC1CCCC1)[C@H]1CCCN(S(=O)(=O)N2CCS(=O)(=O)CC2)C1 ZINC000513384750 1071627299 /nfs/dbraw/zinc/62/72/99/1071627299.db2.gz QOJDXFKZQAGXAD-AWEZNQCLSA-N 0 0 429.586 -0.712 20 0 IBADRN O=S(=O)(NC1CCCC1)[C@@H]1CCCN(S(=O)(=O)N2CCS(=O)(=O)CC2)C1 ZINC000513384751 1071627220 /nfs/dbraw/zinc/62/72/20/1071627220.db2.gz QOJDXFKZQAGXAD-CQSZACIVSA-N 0 0 429.586 -0.712 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000513384903 1071627245 /nfs/dbraw/zinc/62/72/45/1071627245.db2.gz RDAXUMMUUNCUDZ-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN COC(C)(C)C[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000513391010 1071625810 /nfs/dbraw/zinc/62/58/10/1071625810.db2.gz VHCJWHSRPXJCPD-ARFHVFGLSA-N 0 0 448.586 -0.196 20 0 IBADRN COC(C)(C)C[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000513391011 1071625778 /nfs/dbraw/zinc/62/57/78/1071625778.db2.gz VHCJWHSRPXJCPD-HRCADAONSA-N 0 0 448.586 -0.196 20 0 IBADRN COC(C)(C)C[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000513391012 1071626226 /nfs/dbraw/zinc/62/62/26/1071626226.db2.gz VHCJWHSRPXJCPD-JYJNAYRXSA-N 0 0 448.586 -0.196 20 0 IBADRN COC(C)(C)C[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000513391013 1071626285 /nfs/dbraw/zinc/62/62/85/1071626285.db2.gz VHCJWHSRPXJCPD-OAGGEKHMSA-N 0 0 448.586 -0.196 20 0 IBADRN CN(CCCOc1ccc(S(C)(=O)=O)cc1)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000513394467 1071626853 /nfs/dbraw/zinc/62/68/53/1071626853.db2.gz HUGUMLOAFCMYBC-UHFFFAOYSA-N 0 0 438.506 -0.347 20 0 IBADRN COc1nc(N2CCN(Cc3cn(C)c(=O)n(C)c3=O)CC2)ncc1Br ZINC000513397685 1071627317 /nfs/dbraw/zinc/62/73/17/1071627317.db2.gz NBDJFSHTQHBIGH-UHFFFAOYSA-N 0 0 425.287 -0.033 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CC1 ZINC000513400839 1071627420 /nfs/dbraw/zinc/62/74/20/1071627420.db2.gz DJUBBUJODWWYEE-UHFFFAOYSA-N 0 0 437.584 -0.299 20 0 IBADRN CN1CCN(C(=O)Cn2cc(NS(=O)(=O)C3CN(C(=O)C4CCCC4)C3)cn2)CC1 ZINC000513408736 1071627267 /nfs/dbraw/zinc/62/72/67/1071627267.db2.gz PFYRKUPAFXCOFP-UHFFFAOYSA-N 0 0 438.554 -0.200 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1 ZINC000513412957 1071627815 /nfs/dbraw/zinc/62/78/15/1071627815.db2.gz FGSIOUZBABRWBQ-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)CC2)n1 ZINC000513425126 1071627401 /nfs/dbraw/zinc/62/74/01/1071627401.db2.gz PXJRZTVBWQEWCK-UHFFFAOYSA-N 0 0 433.557 -0.334 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(Cc2cnn([C@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000513425253 1071627895 /nfs/dbraw/zinc/62/78/95/1071627895.db2.gz RXKTWIGODPMFBX-FXAWDEMLSA-N 0 0 439.582 -0.218 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(Cc2cnn([C@@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000513425254 1071627851 /nfs/dbraw/zinc/62/78/51/1071627851.db2.gz RXKTWIGODPMFBX-PXNSSMCTSA-N 0 0 439.582 -0.218 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(Cc2cnn([C@@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000513425255 1071627792 /nfs/dbraw/zinc/62/77/92/1071627792.db2.gz RXKTWIGODPMFBX-XLIONFOSSA-N 0 0 439.582 -0.218 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(Cc2cnn([C@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000513425256 1071627827 /nfs/dbraw/zinc/62/78/27/1071627827.db2.gz RXKTWIGODPMFBX-YLJYHZDGSA-N 0 0 439.582 -0.218 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CC2)n1 ZINC000513430724 1071628536 /nfs/dbraw/zinc/62/85/36/1071628536.db2.gz ZFAXTGNHFWHATE-UHFFFAOYSA-N 0 0 428.559 -0.217 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CC(S(=O)(=O)NC4CCCC4)C3)cc2[nH]c1=O ZINC000513436567 1071628436 /nfs/dbraw/zinc/62/84/36/1071628436.db2.gz HASYMUZJCKKASQ-UHFFFAOYSA-N 0 0 428.492 -0.549 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)c(=O)n(C)c1=O ZINC000513447598 1071629778 /nfs/dbraw/zinc/62/97/78/1071629778.db2.gz MYJMNUPYBBSKOX-CYBMUJFWSA-N 0 0 434.540 -0.901 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)c(=O)n(C)c1=O ZINC000513447600 1071629786 /nfs/dbraw/zinc/62/97/86/1071629786.db2.gz MYJMNUPYBBSKOX-ZDUSSCGKSA-N 0 0 434.540 -0.901 20 0 IBADRN Cn1c2[nH]c(CN3CCCN(S(=O)(=O)c4ccccc4)CC3)nc2c(=O)n(C)c1=O ZINC000513448398 1071629831 /nfs/dbraw/zinc/62/98/31/1071629831.db2.gz SEQAMKFWQWQCAT-UHFFFAOYSA-N 0 0 432.506 -0.143 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000513453349 1071629862 /nfs/dbraw/zinc/62/98/62/1071629862.db2.gz URVGBAGTTIIHBZ-AWEZNQCLSA-N 0 0 431.602 -0.418 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000513453350 1071629841 /nfs/dbraw/zinc/62/98/41/1071629841.db2.gz URVGBAGTTIIHBZ-CQSZACIVSA-N 0 0 431.602 -0.418 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CC(S(=O)(=O)NC4CCCC4)C3)cnc21 ZINC000513453575 1071629112 /nfs/dbraw/zinc/62/91/12/1071629112.db2.gz YGTKDPKOFMWRKI-UHFFFAOYSA-N 0 0 443.507 -0.731 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000513454188 1071629078 /nfs/dbraw/zinc/62/90/78/1071629078.db2.gz DEJZOMZNQUUCHL-KBPBESRZSA-N 0 0 442.542 -0.366 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000513454192 1071629026 /nfs/dbraw/zinc/62/90/26/1071629026.db2.gz DEJZOMZNQUUCHL-OKILXGFUSA-N 0 0 442.542 -0.366 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000513454194 1071629089 /nfs/dbraw/zinc/62/90/89/1071629089.db2.gz DEJZOMZNQUUCHL-ZIAGYGMSSA-N 0 0 442.542 -0.366 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000513469493 1071630339 /nfs/dbraw/zinc/63/03/39/1071630339.db2.gz PTIRUKRNNSWZGC-HNNXBMFYSA-N 0 0 425.573 -0.205 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000513469494 1071630332 /nfs/dbraw/zinc/63/03/32/1071630332.db2.gz PTIRUKRNNSWZGC-OAHLLOKOSA-N 0 0 425.573 -0.205 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)C12CCC2 ZINC000513469745 1071630940 /nfs/dbraw/zinc/63/09/40/1071630940.db2.gz RVTUHWCQSJFUDH-FGTMMUONSA-N 0 0 436.553 -0.158 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)C12CCC2 ZINC000513469746 1071630880 /nfs/dbraw/zinc/63/08/80/1071630880.db2.gz RVTUHWCQSJFUDH-KZNAEPCWSA-N 0 0 436.553 -0.158 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)C12CCC2 ZINC000513469747 1071630804 /nfs/dbraw/zinc/63/08/04/1071630804.db2.gz RVTUHWCQSJFUDH-OKZBNKHCSA-N 0 0 436.553 -0.158 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)C12CCC2 ZINC000513469748 1071631004 /nfs/dbraw/zinc/63/10/04/1071631004.db2.gz RVTUHWCQSJFUDH-RCCFBDPRSA-N 0 0 436.553 -0.158 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000513471040 1071630993 /nfs/dbraw/zinc/63/09/93/1071630993.db2.gz YPLZRJBGRMDFKF-HNNXBMFYSA-N 0 0 425.573 -0.205 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000513471041 1071630865 /nfs/dbraw/zinc/63/08/65/1071630865.db2.gz YPLZRJBGRMDFKF-OAHLLOKOSA-N 0 0 425.573 -0.205 20 0 IBADRN C[C@@](O)(CCc1ccccc1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000513471350 1071630769 /nfs/dbraw/zinc/63/07/69/1071630769.db2.gz LGFHLTLASHJNPT-GHTZIAJQSA-N 0 0 437.562 -0.182 20 0 IBADRN C[C@](O)(CCc1ccccc1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000513471351 1071630971 /nfs/dbraw/zinc/63/09/71/1071630971.db2.gz LGFHLTLASHJNPT-NQIIRXRSSA-N 0 0 437.562 -0.182 20 0 IBADRN C[C@](O)(CCc1ccccc1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000513471352 1071630843 /nfs/dbraw/zinc/63/08/43/1071630843.db2.gz LGFHLTLASHJNPT-RXVVDRJESA-N 0 0 437.562 -0.182 20 0 IBADRN C[C@@](O)(CCc1ccccc1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000513471353 1071630857 /nfs/dbraw/zinc/63/08/57/1071630857.db2.gz LGFHLTLASHJNPT-WIYYLYMNSA-N 0 0 437.562 -0.182 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)c1 ZINC000513492528 1071631623 /nfs/dbraw/zinc/63/16/23/1071631623.db2.gz MLTWAZRQGLGXDK-AWEZNQCLSA-N 0 0 433.556 -0.449 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)c1 ZINC000513492529 1071631505 /nfs/dbraw/zinc/63/15/05/1071631505.db2.gz MLTWAZRQGLGXDK-CQSZACIVSA-N 0 0 433.556 -0.449 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000513498062 1071632204 /nfs/dbraw/zinc/63/22/04/1071632204.db2.gz DZINFJDCELOCLP-NSHDSACASA-N 0 0 443.478 -0.079 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000513504179 1071633221 /nfs/dbraw/zinc/63/32/21/1071633221.db2.gz CNCULEVISKHXLM-UHFFFAOYSA-N 0 0 427.421 -0.128 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(F)c(F)cc2C(=O)OC)C1=O ZINC000513505461 1071632141 /nfs/dbraw/zinc/63/21/41/1071632141.db2.gz IUXITDBZEGRZFN-GFCCVEGCSA-N 0 0 427.360 -0.030 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(F)c(F)cc2C(=O)OC)C1=O ZINC000513505464 1071632152 /nfs/dbraw/zinc/63/21/52/1071632152.db2.gz IUXITDBZEGRZFN-LBPRGKRZSA-N 0 0 427.360 -0.030 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000513505874 1071632697 /nfs/dbraw/zinc/63/26/97/1071632697.db2.gz LJFUXAABAYJVKV-UHFFFAOYSA-N 0 0 436.465 -0.163 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000513507540 1071632547 /nfs/dbraw/zinc/63/25/47/1071632547.db2.gz QNDPRBKBJNRCTJ-UHFFFAOYSA-N 0 0 444.448 -0.482 20 0 IBADRN Cc1noc(C2(C)CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)n1 ZINC000513507885 1071632757 /nfs/dbraw/zinc/63/27/57/1071632757.db2.gz RSNKQBNZVQUFSD-UHFFFAOYSA-N 0 0 445.480 -0.048 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000513512659 1071633946 /nfs/dbraw/zinc/63/39/46/1071633946.db2.gz KVIHYXYUVSHKRE-UHFFFAOYSA-N 0 0 446.551 -0.278 20 0 IBADRN COC[C@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)OC ZINC000513515462 1071633894 /nfs/dbraw/zinc/63/38/94/1071633894.db2.gz VLHIVUOHVMHJPY-HNNXBMFYSA-N 0 0 428.511 -0.661 20 0 IBADRN COC[C@@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)OC ZINC000513515463 1071633880 /nfs/dbraw/zinc/63/38/80/1071633880.db2.gz VLHIVUOHVMHJPY-OAHLLOKOSA-N 0 0 428.511 -0.661 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000513517194 1071633178 /nfs/dbraw/zinc/63/31/78/1071633178.db2.gz GEJBCUKALRSVRB-UHFFFAOYSA-N 0 0 435.515 -0.540 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000513518899 1071633196 /nfs/dbraw/zinc/63/31/96/1071633196.db2.gz GISHEMLBHLFSGR-GOSISDBHSA-N 0 0 442.582 -0.228 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000513518902 1071633242 /nfs/dbraw/zinc/63/32/42/1071633242.db2.gz GISHEMLBHLFSGR-SFHVURJKSA-N 0 0 442.582 -0.228 20 0 IBADRN CCNC(=O)NC1(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCCC1 ZINC000513522489 1071633208 /nfs/dbraw/zinc/63/32/08/1071633208.db2.gz RLRZSOCWCCNEOU-UHFFFAOYSA-N 0 0 430.575 -0.745 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)c1 ZINC000513522851 1071633871 /nfs/dbraw/zinc/63/38/71/1071633871.db2.gz UVXDQLIWOLJWPK-UHFFFAOYSA-N 0 0 434.540 -0.374 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3nccc(C(F)F)n3)CC2)CC1 ZINC000513522882 1071633842 /nfs/dbraw/zinc/63/38/42/1071633842.db2.gz VCMPIJJOMGVBDO-UHFFFAOYSA-N 0 0 433.485 -0.266 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC000513523251 1071633168 /nfs/dbraw/zinc/63/31/68/1071633168.db2.gz YSWZDEHRBDOPPX-UHFFFAOYSA-N 0 0 448.432 -0.028 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)NC3CCCCC3)CC2)CC1 ZINC000513527255 1071634331 /nfs/dbraw/zinc/63/43/31/1071634331.db2.gz IVWBXLBFCWHXKE-UHFFFAOYSA-N 0 0 430.575 -0.745 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc4c([nH]c3=O)CCCC4=O)CC2)CC1 ZINC000513528251 1071634360 /nfs/dbraw/zinc/63/43/60/1071634360.db2.gz NASRTMYAERYSJQ-UHFFFAOYSA-N 0 0 437.522 -0.444 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H](COC)OC)c2)CC1 ZINC000513528402 1071634422 /nfs/dbraw/zinc/63/44/22/1071634422.db2.gz NOHNFOYYXKEACV-INIZCTEOSA-N 0 0 442.538 -0.271 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H](COC)OC)c2)CC1 ZINC000513528403 1071634370 /nfs/dbraw/zinc/63/43/70/1071634370.db2.gz NOHNFOYYXKEACV-MRXNPFEDSA-N 0 0 442.538 -0.271 20 0 IBADRN CCC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccccc1 ZINC000513529416 1071634385 /nfs/dbraw/zinc/63/43/85/1071634385.db2.gz WULBISOMTBOYGK-IBGZPJMESA-N 0 0 437.566 -0.110 20 0 IBADRN CCC(=O)N[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccccc1 ZINC000513529417 1071634345 /nfs/dbraw/zinc/63/43/45/1071634345.db2.gz WULBISOMTBOYGK-LJQANCHMSA-N 0 0 437.566 -0.110 20 0 IBADRN COCC(=O)N1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1 ZINC000513530204 1071634959 /nfs/dbraw/zinc/63/49/59/1071634959.db2.gz JLMIPDJEWBKUSK-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN O=C(C1CCN(c2ccc3nnnn3n2)CC1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000513531948 1071634984 /nfs/dbraw/zinc/63/49/84/1071634984.db2.gz ASYYKJQCUCRYNL-UHFFFAOYSA-N 0 0 434.526 -0.192 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)c2=O ZINC000513532892 1071635031 /nfs/dbraw/zinc/63/50/31/1071635031.db2.gz GPCQKNUFBPIRCC-UHFFFAOYSA-N 0 0 434.522 -0.747 20 0 IBADRN Cc1noc(C2(C)CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000513532959 1071634908 /nfs/dbraw/zinc/63/49/08/1071634908.db2.gz HAKSCAYBEZGTNG-UHFFFAOYSA-N 0 0 441.448 -0.158 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc(OC)cc1OC ZINC000513533971 1071634933 /nfs/dbraw/zinc/63/49/33/1071634933.db2.gz MPDANJUDDXOOQY-GFCCVEGCSA-N 0 0 437.449 -0.129 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc(OC)cc1OC ZINC000513533972 1071635020 /nfs/dbraw/zinc/63/50/20/1071635020.db2.gz MPDANJUDDXOOQY-LBPRGKRZSA-N 0 0 437.449 -0.129 20 0 IBADRN COC[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)OC ZINC000513534492 1071635023 /nfs/dbraw/zinc/63/50/23/1071635023.db2.gz ORGRZQOVGBCQDR-HNNXBMFYSA-N 0 0 428.511 -0.661 20 0 IBADRN COC[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)OC ZINC000513534493 1071635068 /nfs/dbraw/zinc/63/50/68/1071635068.db2.gz ORGRZQOVGBCQDR-OAHLLOKOSA-N 0 0 428.511 -0.661 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCC(N)=O)c2)CC1 ZINC000513535046 1071635009 /nfs/dbraw/zinc/63/50/09/1071635009.db2.gz RTNVQNOTIAZNHZ-UHFFFAOYSA-N 0 0 425.511 -0.667 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccccc3N3CCOCC3)CC2)CC1 ZINC000513535158 1071634891 /nfs/dbraw/zinc/63/48/91/1071634891.db2.gz TZOCKSGXLJLLBM-UHFFFAOYSA-N 0 0 437.566 -0.227 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1 ZINC000513535516 1071634949 /nfs/dbraw/zinc/63/49/49/1071634949.db2.gz XNTJMARCZURPMY-CYBMUJFWSA-N 0 0 431.449 -0.346 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1 ZINC000513535517 1071634981 /nfs/dbraw/zinc/63/49/81/1071634981.db2.gz XNTJMARCZURPMY-ZDUSSCGKSA-N 0 0 431.449 -0.346 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@H](C)O)CC1 ZINC000513535623 1071635015 /nfs/dbraw/zinc/63/50/15/1071635015.db2.gz YMSUPWGIYIDZLQ-AWEZNQCLSA-N 0 0 448.476 -0.700 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)[C@@H](C)O)CC1 ZINC000513535624 1071634942 /nfs/dbraw/zinc/63/49/42/1071634942.db2.gz YMSUPWGIYIDZLQ-CQSZACIVSA-N 0 0 448.476 -0.700 20 0 IBADRN COC[C@@H](CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)OC ZINC000513539845 1071636134 /nfs/dbraw/zinc/63/61/34/1071636134.db2.gz IDBCZPLUIZYFGA-CYBMUJFWSA-N 0 0 433.458 -0.437 20 0 IBADRN COC[C@H](CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)OC ZINC000513539846 1071636232 /nfs/dbraw/zinc/63/62/32/1071636232.db2.gz IDBCZPLUIZYFGA-ZDUSSCGKSA-N 0 0 433.458 -0.437 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cn1C ZINC000513540019 1071635333 /nfs/dbraw/zinc/63/53/33/1071635333.db2.gz ISDVXGRZIUCXRZ-UHFFFAOYSA-N 0 0 433.556 -0.530 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)c1 ZINC000513540382 1071635454 /nfs/dbraw/zinc/63/54/54/1071635454.db2.gz WEKHRVOUGFHRBJ-UHFFFAOYSA-N 0 0 443.498 -0.784 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)C2=O ZINC000513545251 1071635059 /nfs/dbraw/zinc/63/50/59/1071635059.db2.gz CEOLTUBMDVEPOK-UHFFFAOYSA-N 0 0 449.533 -0.773 20 0 IBADRN COC[C@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)OC ZINC000513546299 1071635041 /nfs/dbraw/zinc/63/50/41/1071635041.db2.gz IZPGFAFLHHLMKV-INIZCTEOSA-N 0 0 442.538 -0.271 20 0 IBADRN COC[C@@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)OC ZINC000513546300 1071635390 /nfs/dbraw/zinc/63/53/90/1071635390.db2.gz IZPGFAFLHHLMKV-MRXNPFEDSA-N 0 0 442.538 -0.271 20 0 IBADRN Cc1cccc(NC(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000513547014 1071635359 /nfs/dbraw/zinc/63/53/59/1071635359.db2.gz NBMRYWAFEAMVCI-UHFFFAOYSA-N 0 0 438.554 -0.247 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)C1 ZINC000513547147 1071635406 /nfs/dbraw/zinc/63/54/06/1071635406.db2.gz OGWZXMBBMCAAAG-UHFFFAOYSA-N 0 0 431.449 -0.082 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000513547157 1071635414 /nfs/dbraw/zinc/63/54/14/1071635414.db2.gz OJQDJERUKPBIKL-UHFFFAOYSA-N 0 0 439.421 -0.899 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000513548482 1071635515 /nfs/dbraw/zinc/63/55/15/1071635515.db2.gz VKLSGTHXPVALDT-UHFFFAOYSA-N 0 0 448.476 -0.436 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c2c(=O)[nH]n(C)c2n1 ZINC000513548691 1071635370 /nfs/dbraw/zinc/63/53/70/1071635370.db2.gz WQARUQGTXCMJCO-UHFFFAOYSA-N 0 0 437.526 -0.768 20 0 IBADRN COC(=O)c1cc(Br)ccc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000513549237 1071635383 /nfs/dbraw/zinc/63/53/83/1071635383.db2.gz ZTPUPZPXASTGCN-UHFFFAOYSA-N 0 0 428.239 -0.163 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCN(S(C)(=O)=O)CC3)c2)CC1 ZINC000513549310 1071635462 /nfs/dbraw/zinc/63/54/62/1071635462.db2.gz QUXQSHMWWKAPPL-UHFFFAOYSA-N 0 0 445.567 -0.268 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000513550130 1071635427 /nfs/dbraw/zinc/63/54/27/1071635427.db2.gz DDQCKIIORHVWLM-UHFFFAOYSA-N 0 0 449.542 -0.152 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc(OC)cc1OC ZINC000513552886 1071635470 /nfs/dbraw/zinc/63/54/70/1071635470.db2.gz JGSSHZLGVMVEPL-GFCCVEGCSA-N 0 0 444.462 -0.251 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)cc(OC)cc1OC ZINC000513552887 1071635345 /nfs/dbraw/zinc/63/53/45/1071635345.db2.gz JGSSHZLGVMVEPL-LBPRGKRZSA-N 0 0 444.462 -0.251 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000513559886 1071636642 /nfs/dbraw/zinc/63/66/42/1071636642.db2.gz JLWRXUDSGKPDRK-GFCCVEGCSA-N 0 0 447.583 -0.223 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000513559887 1071636759 /nfs/dbraw/zinc/63/67/59/1071636759.db2.gz JLWRXUDSGKPDRK-LBPRGKRZSA-N 0 0 447.583 -0.223 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCC(=O)N1CCOCC1 ZINC000513560262 1071636765 /nfs/dbraw/zinc/63/67/65/1071636765.db2.gz MJBDXZYYMWQRAD-UHFFFAOYSA-N 0 0 434.449 -0.777 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000513560390 1071636690 /nfs/dbraw/zinc/63/66/90/1071636690.db2.gz NMTOKMJRBBBIAF-UHFFFAOYSA-N 0 0 435.300 -0.216 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC(O)(C(=O)OC)CC1 ZINC000513560396 1071636650 /nfs/dbraw/zinc/63/66/50/1071636650.db2.gz NOEWITPNQAVDQN-UHFFFAOYSA-N 0 0 449.460 -0.225 20 0 IBADRN Cc1noc(C2(C)CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)n1 ZINC000513560756 1071636675 /nfs/dbraw/zinc/63/66/75/1071636675.db2.gz QOLCPGJLDURXGR-UHFFFAOYSA-N 0 0 445.480 -0.048 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)NCC(=O)N1CCOCC1 ZINC000513560877 1071636752 /nfs/dbraw/zinc/63/67/52/1071636752.db2.gz RJCZFHLJBWSWNH-UHFFFAOYSA-N 0 0 439.421 -0.588 20 0 IBADRN COC[C@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C)OC ZINC000513561617 1071636756 /nfs/dbraw/zinc/63/67/56/1071636756.db2.gz YELBXQQELKJVDC-AWEZNQCLSA-N 0 0 429.495 -0.268 20 0 IBADRN COC[C@@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C)OC ZINC000513561618 1071636686 /nfs/dbraw/zinc/63/66/86/1071636686.db2.gz YELBXQQELKJVDC-CQSZACIVSA-N 0 0 429.495 -0.268 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1=O ZINC000513564705 1071636629 /nfs/dbraw/zinc/63/66/29/1071636629.db2.gz AALBAUTUWBCSEN-UHFFFAOYSA-N 0 0 431.449 -0.080 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C(=O)OC)CC1=O ZINC000513568146 1071636079 /nfs/dbraw/zinc/63/60/79/1071636079.db2.gz UOZCWDWWCOQNNS-UHFFFAOYSA-N 0 0 448.476 -0.435 20 0 IBADRN COC[C@@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC)OC ZINC000513568425 1071636110 /nfs/dbraw/zinc/63/61/10/1071636110.db2.gz VODULAUCJXUAEF-CYBMUJFWSA-N 0 0 445.494 -0.568 20 0 IBADRN COC[C@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC)OC ZINC000513568428 1071636252 /nfs/dbraw/zinc/63/62/52/1071636252.db2.gz VODULAUCJXUAEF-ZDUSSCGKSA-N 0 0 445.494 -0.568 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)C1 ZINC000513569319 1071636256 /nfs/dbraw/zinc/63/62/56/1071636256.db2.gz XUIGTKLCXMDWQF-LLVKDONJSA-N 0 0 443.478 -0.031 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)C1 ZINC000513569320 1071636120 /nfs/dbraw/zinc/63/61/20/1071636120.db2.gz XUIGTKLCXMDWQF-NSHDSACASA-N 0 0 443.478 -0.031 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1Cl ZINC000513570073 1071636198 /nfs/dbraw/zinc/63/61/98/1071636198.db2.gz AGCDLJDMKXFGKK-UHFFFAOYSA-N 0 0 425.873 -0.797 20 0 IBADRN COc1ccc(NC(=O)c2cccc(NC(=O)C(=O)NCCN3CCNC(=O)C3)c2)cn1 ZINC000513570620 1071637904 /nfs/dbraw/zinc/63/79/04/1071637904.db2.gz CJTZBODIVFEWNG-UHFFFAOYSA-N 0 0 440.460 -0.171 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c2cccnc12 ZINC000513574682 1071636669 /nfs/dbraw/zinc/63/66/69/1071636669.db2.gz QLSURKOTMMNJTH-UHFFFAOYSA-N 0 0 429.433 -0.727 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)CC1 ZINC000513579064 1071637455 /nfs/dbraw/zinc/63/74/55/1071637455.db2.gz GKDCCDMLTYJWHJ-BSDSXHPESA-N 0 0 438.569 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)CC1 ZINC000513579067 1071637304 /nfs/dbraw/zinc/63/73/04/1071637304.db2.gz GKDCCDMLTYJWHJ-FJIDUMEYSA-N 0 0 438.569 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)CC1 ZINC000513579069 1071637449 /nfs/dbraw/zinc/63/74/49/1071637449.db2.gz GKDCCDMLTYJWHJ-MLHJIOFPSA-N 0 0 438.569 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)CC1 ZINC000513579071 1071637397 /nfs/dbraw/zinc/63/73/97/1071637397.db2.gz GKDCCDMLTYJWHJ-WNRNVDISSA-N 0 0 438.569 -0.008 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCNC(=O)C2)cccc1C(=O)N1CCSCC1 ZINC000513579438 1071637424 /nfs/dbraw/zinc/63/74/24/1071637424.db2.gz ICAXARZFCXTCOU-UHFFFAOYSA-N 0 0 433.534 -0.329 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1)N1CCSCC1 ZINC000513580244 1071637317 /nfs/dbraw/zinc/63/73/17/1071637317.db2.gz MFITWLXHSCYWHJ-UHFFFAOYSA-N 0 0 433.534 -0.709 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1OC ZINC000513580320 1071637470 /nfs/dbraw/zinc/63/74/70/1071637470.db2.gz MRHZUQPAVUFTKJ-UHFFFAOYSA-N 0 0 444.492 -0.256 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc(OC)c1Br ZINC000513580780 1071637874 /nfs/dbraw/zinc/63/78/74/1071637874.db2.gz RDMMCKDUEJIQIB-UHFFFAOYSA-N 0 0 429.271 -0.047 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[C@H]2CCCO[C@@H]21 ZINC000513580962 1071637866 /nfs/dbraw/zinc/63/78/66/1071637866.db2.gz SUPRBMOITWQKJS-HZMVEIRTSA-N 0 0 443.566 -0.041 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[C@@H]2CCCO[C@H]21 ZINC000513580963 1071637376 /nfs/dbraw/zinc/63/73/76/1071637376.db2.gz SUPRBMOITWQKJS-MWDXBVQZSA-N 0 0 443.566 -0.041 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[C@@H]2CCCO[C@@H]21 ZINC000513580964 1071637480 /nfs/dbraw/zinc/63/74/80/1071637480.db2.gz SUPRBMOITWQKJS-NXOAAHMSSA-N 0 0 443.566 -0.041 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)[C@H]2CCCO[C@H]21 ZINC000513580965 1071637285 /nfs/dbraw/zinc/63/72/85/1071637285.db2.gz SUPRBMOITWQKJS-YLFCFFPRSA-N 0 0 443.566 -0.041 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCN3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000513581466 1071637323 /nfs/dbraw/zinc/63/73/23/1071637323.db2.gz XUMCCSSHNCNIAR-GASCZTMLSA-N 0 0 431.493 -0.578 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCN3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000513581467 1071637345 /nfs/dbraw/zinc/63/73/45/1071637345.db2.gz XUMCCSSHNCNIAR-GJZGRUSLSA-N 0 0 431.493 -0.578 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCN3CCNC(=O)C3)cc2)C[C@@H](C)O1 ZINC000513581468 1071637383 /nfs/dbraw/zinc/63/73/83/1071637383.db2.gz XUMCCSSHNCNIAR-HUUCEWRRSA-N 0 0 431.493 -0.578 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)CC1 ZINC000513581572 1071637882 /nfs/dbraw/zinc/63/78/82/1071637882.db2.gz YTMJSQWMMSNJAR-BRSBDYLESA-N 0 0 436.553 -0.302 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)CC1 ZINC000513581573 1071637977 /nfs/dbraw/zinc/63/79/77/1071637977.db2.gz YTMJSQWMMSNJAR-TVFCKZIOSA-N 0 0 436.553 -0.302 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)CC1 ZINC000513581574 1071637990 /nfs/dbraw/zinc/63/79/90/1071637990.db2.gz YTMJSQWMMSNJAR-XDNAFOTISA-N 0 0 436.553 -0.302 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)CC1 ZINC000513581575 1071637981 /nfs/dbraw/zinc/63/79/81/1071637981.db2.gz YTMJSQWMMSNJAR-XMTFNYHQSA-N 0 0 436.553 -0.302 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl ZINC000513585053 1071637937 /nfs/dbraw/zinc/63/79/37/1071637937.db2.gz CAHNQAAKQVGUGV-UHFFFAOYSA-N 0 0 443.913 -0.634 20 0 IBADRN CN(C)C(=O)C[C@@H]1CN(C(=O)C(=O)NCCN2CCNC(=O)C2)c2ccc(Cl)cc21 ZINC000513586375 1071637949 /nfs/dbraw/zinc/63/79/49/1071637949.db2.gz NKPRQGIFKDCPLU-CYBMUJFWSA-N 0 0 435.912 -0.204 20 0 IBADRN CN(C)C(=O)C[C@H]1CN(C(=O)C(=O)NCCN2CCNC(=O)C2)c2ccc(Cl)cc21 ZINC000513586376 1071637924 /nfs/dbraw/zinc/63/79/24/1071637924.db2.gz NKPRQGIFKDCPLU-ZDUSSCGKSA-N 0 0 435.912 -0.204 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)ccc1Cl ZINC000513586569 1071637959 /nfs/dbraw/zinc/63/79/59/1071637959.db2.gz PCMGQGXYNMEORP-UHFFFAOYSA-N 0 0 431.902 -0.923 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000513586721 1071637964 /nfs/dbraw/zinc/63/79/64/1071637964.db2.gz QQYXUNZDCNXKGW-UHFFFAOYSA-N 0 0 437.522 -0.652 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)c1 ZINC000513587350 1071637952 /nfs/dbraw/zinc/63/79/52/1071637952.db2.gz WXFKWBUKGXKULA-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN O=C(NC[C@@H]1CCCO1)Nc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000513587461 1071638001 /nfs/dbraw/zinc/63/80/01/1071638001.db2.gz XUTQELZKZJAIAV-INIZCTEOSA-N 0 0 432.481 -0.526 20 0 IBADRN O=C(NC[C@H]1CCCO1)Nc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000513587462 1071637969 /nfs/dbraw/zinc/63/79/69/1071637969.db2.gz XUTQELZKZJAIAV-MRXNPFEDSA-N 0 0 432.481 -0.526 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513590804 1071638495 /nfs/dbraw/zinc/63/84/95/1071638495.db2.gz ADOIMCWNXSCUGI-CYBMUJFWSA-N 0 0 425.511 -0.750 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513590805 1071638490 /nfs/dbraw/zinc/63/84/90/1071638490.db2.gz ADOIMCWNXSCUGI-ZDUSSCGKSA-N 0 0 425.511 -0.750 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000513592904 1071638541 /nfs/dbraw/zinc/63/85/41/1071638541.db2.gz TVPSWOQGTGRCCA-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CCN(CC)C(=O)Cn1ccc2cc(NC(=O)C(=O)NCCN3CCNC(=O)C3)ccc21 ZINC000513597845 1071638497 /nfs/dbraw/zinc/63/84/97/1071638497.db2.gz NCZAMEKZLCJKRP-UHFFFAOYSA-N 0 0 442.520 -0.004 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccnn1Cc1cnn(-c2ccccc2)c1 ZINC000513598164 1071638476 /nfs/dbraw/zinc/63/84/76/1071638476.db2.gz QDOWUPOIQRUCKO-UHFFFAOYSA-N 0 0 436.476 -0.396 20 0 IBADRN COCCOc1ccc(Br)cc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000513598249 1071638519 /nfs/dbraw/zinc/63/85/19/1071638519.db2.gz QSXIWTPJHAGAHV-UHFFFAOYSA-N 0 0 443.298 -0.039 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000513599170 1071638619 /nfs/dbraw/zinc/63/86/19/1071638619.db2.gz FONRFHWYEAYJFW-UHFFFAOYSA-N 0 0 430.552 -0.708 20 0 IBADRN COC(=O)c1cc(Br)ccc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000513599281 1071638626 /nfs/dbraw/zinc/63/86/26/1071638626.db2.gz YAQJXIIJJQYTLD-UHFFFAOYSA-N 0 0 427.255 -0.278 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)ccc1NC(=O)c1cccnc1 ZINC000513602591 1071638503 /nfs/dbraw/zinc/63/85/03/1071638503.db2.gz HTJDQMKXIUPKCI-UHFFFAOYSA-N 0 0 440.460 -0.171 20 0 IBADRN COc1cc(N2CCOC(C)(C)C2)ccc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000513604081 1071638526 /nfs/dbraw/zinc/63/85/26/1071638526.db2.gz UABXESMEISBEOV-UHFFFAOYSA-N 0 0 433.509 -0.203 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1Cl ZINC000513604763 1071638599 /nfs/dbraw/zinc/63/85/99/1071638599.db2.gz XHPDVIUQTXREDK-UHFFFAOYSA-N 0 0 425.873 -0.707 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000513607230 1071639892 /nfs/dbraw/zinc/63/98/92/1071639892.db2.gz BVRVQDKUGIFDHT-UHFFFAOYSA-N 0 0 433.534 -0.329 20 0 IBADRN CNC(=O)c1cc(Oc2ccccc2NC(=O)C(=O)NCCN2CCNC(=O)C2)ccn1 ZINC000513609546 1071639721 /nfs/dbraw/zinc/63/97/21/1071639721.db2.gz PQRDSXFWLDCHLU-UHFFFAOYSA-N 0 0 440.460 -0.280 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1cccc(F)c1I ZINC000513609720 1071639226 /nfs/dbraw/zinc/63/92/26/1071639226.db2.gz QQDUKVYSMMHCIN-UHFFFAOYSA-N 0 0 434.209 -0.083 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000513610784 1071639156 /nfs/dbraw/zinc/63/91/56/1071639156.db2.gz ZGVBRIKGWSNQIR-UHFFFAOYSA-N 0 0 439.538 -0.503 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000513613466 1071639233 /nfs/dbraw/zinc/63/92/33/1071639233.db2.gz BZCAECDEXYJXJA-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c(OCC(F)(F)F)c1 ZINC000513613637 1071639221 /nfs/dbraw/zinc/63/92/21/1071639221.db2.gz CXZDZWJYVUVHGW-UHFFFAOYSA-N 0 0 446.382 -0.099 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCCn2cc(Br)cn2)CC1 ZINC000513614720 1071639190 /nfs/dbraw/zinc/63/91/90/1071639190.db2.gz JQLAGKNCCNWLPB-UHFFFAOYSA-N 0 0 426.279 -0.424 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)NCCN2CCNC(=O)C2)n1 ZINC000513614730 1071639165 /nfs/dbraw/zinc/63/91/65/1071639165.db2.gz JTNDWOTTYAWEIC-UHFFFAOYSA-N 0 0 428.449 -0.465 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000513615348 1071639212 /nfs/dbraw/zinc/63/92/12/1071639212.db2.gz PUGAJKWVBQZACF-GOSISDBHSA-N 0 0 443.504 -0.659 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000513615349 1071639217 /nfs/dbraw/zinc/63/92/17/1071639217.db2.gz PUGAJKWVBQZACF-SFHVURJKSA-N 0 0 443.504 -0.659 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513617930 1071639135 /nfs/dbraw/zinc/63/91/35/1071639135.db2.gz BQKCUDSJESGOMU-UHFFFAOYSA-N 0 0 425.511 -0.749 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513618706 1071639796 /nfs/dbraw/zinc/63/97/96/1071639796.db2.gz IALVVGLGTWROGD-AWEZNQCLSA-N 0 0 439.538 -0.609 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513618707 1071639752 /nfs/dbraw/zinc/63/97/52/1071639752.db2.gz IALVVGLGTWROGD-CQSZACIVSA-N 0 0 439.538 -0.609 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1)n1cccn1 ZINC000513618801 1071639176 /nfs/dbraw/zinc/63/91/76/1071639176.db2.gz IIPKDFPFUJVSAM-AWEZNQCLSA-N 0 0 427.465 -0.431 20 0 IBADRN C[C@H](C(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1)n1cccn1 ZINC000513618802 1071639119 /nfs/dbraw/zinc/63/91/19/1071639119.db2.gz IIPKDFPFUJVSAM-CQSZACIVSA-N 0 0 427.465 -0.431 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@@H]2CCCO[C@H]21 ZINC000513618845 1071639778 /nfs/dbraw/zinc/63/97/78/1071639778.db2.gz INXQRKYDJVVVHO-ARFHVFGLSA-N 0 0 440.522 -0.032 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@H]2CCCO[C@H]21 ZINC000513618846 1071639715 /nfs/dbraw/zinc/63/97/15/1071639715.db2.gz INXQRKYDJVVVHO-BZUAXINKSA-N 0 0 440.522 -0.032 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@H]2CCCO[C@@H]21 ZINC000513618847 1071639882 /nfs/dbraw/zinc/63/98/82/1071639882.db2.gz INXQRKYDJVVVHO-OAGGEKHMSA-N 0 0 440.522 -0.032 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@@H]2CCCO[C@@H]21 ZINC000513618848 1071639728 /nfs/dbraw/zinc/63/97/28/1071639728.db2.gz INXQRKYDJVVVHO-XHSDSOJGSA-N 0 0 440.522 -0.032 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000513619478 1071639128 /nfs/dbraw/zinc/63/91/28/1071639128.db2.gz NAGJTVKWMBHYOM-UHFFFAOYSA-N 0 0 437.522 -0.769 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)ccc1Br ZINC000513620298 1071639668 /nfs/dbraw/zinc/63/96/68/1071639668.db2.gz RGLLISRHYZOSID-UHFFFAOYSA-N 0 0 427.255 -0.278 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000513621268 1071639677 /nfs/dbraw/zinc/63/96/77/1071639677.db2.gz WINNYNNTAVOAQZ-UHFFFAOYSA-N 0 0 437.522 -0.652 20 0 IBADRN CCOC(=O)c1cnn(-c2cccc(NC(=O)C(=O)NCCN3CCNC(=O)C3)c2)c1C ZINC000513621399 1071639705 /nfs/dbraw/zinc/63/97/05/1071639705.db2.gz WPMQCPMQQDLEGO-UHFFFAOYSA-N 0 0 442.476 -0.156 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc(Cl)c(-n2nnnc2C2CC2)c1 ZINC000513621789 1071639654 /nfs/dbraw/zinc/63/96/54/1071639654.db2.gz YTHRBWCPJRFQNM-UHFFFAOYSA-N 0 0 432.872 -0.320 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1S(=O)(=O)NC(C)(C)C ZINC000513621845 1071639832 /nfs/dbraw/zinc/63/98/32/1071639832.db2.gz ZDZQQFMNXXNYJV-UHFFFAOYSA-N 0 0 439.538 -0.442 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1S(N)(=O)=O ZINC000513623952 1071639845 /nfs/dbraw/zinc/63/98/45/1071639845.db2.gz HRJAZINYRLPHRF-UHFFFAOYSA-N 0 0 442.513 -0.209 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1S(N)(=O)=O ZINC000513624807 1071640945 /nfs/dbraw/zinc/64/09/45/1071640945.db2.gz MMCDQQLCKNSFKQ-UHFFFAOYSA-N 0 0 430.502 -0.307 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c(C(=O)N2CCCC2)cc1OC ZINC000513626399 1071640924 /nfs/dbraw/zinc/64/09/24/1071640924.db2.gz XTOMVZXQVIDAAT-UHFFFAOYSA-N 0 0 447.492 -0.574 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc2nc(N3CCOCC3)sc2c1 ZINC000513628676 1071640871 /nfs/dbraw/zinc/64/08/71/1071640871.db2.gz BKIYNUPHPDADBO-UHFFFAOYSA-N 0 0 432.506 -0.381 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513628741 1071640953 /nfs/dbraw/zinc/64/09/53/1071640953.db2.gz CBSIQHGTRPJXKS-UHFFFAOYSA-N 0 0 425.511 -0.750 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1C ZINC000513628848 1071640912 /nfs/dbraw/zinc/64/09/12/1071640912.db2.gz CGNQDDPEQVOHFM-UHFFFAOYSA-N 0 0 425.511 -0.367 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc(N2CCOCC2)c2ccncc12 ZINC000513628916 1071640979 /nfs/dbraw/zinc/64/09/79/1071640979.db2.gz CXEDATYAZPBHMR-UHFFFAOYSA-N 0 0 426.477 -0.442 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1NC(=O)CN1CCCCC1 ZINC000513629044 1071640936 /nfs/dbraw/zinc/64/09/36/1071640936.db2.gz DFAMUSXQIDCUQX-UHFFFAOYSA-N 0 0 444.536 -0.094 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000513629268 1072601932 /nfs/dbraw/zinc/60/19/32/1072601932.db2.gz FSPLSFYCGNUSMB-UHFFFAOYSA-N 0 0 442.520 -0.540 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000513629742 1072602019 /nfs/dbraw/zinc/60/20/19/1072602019.db2.gz IXKVSHLHTIRLIG-UHFFFAOYSA-N 0 0 439.538 -0.490 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NCCN2CCNC(=O)C2)c2ccccc2O1 ZINC000513629821 1071640213 /nfs/dbraw/zinc/64/02/13/1071640213.db2.gz JJSVRNKTSAHEKO-GOSISDBHSA-N 0 0 445.520 -0.367 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NCCN2CCNC(=O)C2)c2ccccc2O1 ZINC000513629822 1071640272 /nfs/dbraw/zinc/64/02/72/1071640272.db2.gz JJSVRNKTSAHEKO-SFHVURJKSA-N 0 0 445.520 -0.367 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1c2ccccc2oc1C(=O)N1CCOCC1 ZINC000513630309 1071640228 /nfs/dbraw/zinc/64/02/28/1071640228.db2.gz NGSVIVNFHRASGR-UHFFFAOYSA-N 0 0 443.460 -0.608 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000513630370 1071639802 /nfs/dbraw/zinc/63/98/02/1071639802.db2.gz NWMVMJSZXKERTH-HNNXBMFYSA-N 0 0 438.506 -0.874 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000513630371 1071640232 /nfs/dbraw/zinc/64/02/32/1071640232.db2.gz NWMVMJSZXKERTH-OAHLLOKOSA-N 0 0 438.506 -0.874 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513630842 1071640237 /nfs/dbraw/zinc/64/02/37/1071640237.db2.gz TXIWADWRXJCSMT-UHFFFAOYSA-N 0 0 439.538 -0.609 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000513630852 1071640234 /nfs/dbraw/zinc/64/02/34/1071640234.db2.gz UCHOXCPDWSRHFN-UHFFFAOYSA-N 0 0 425.511 -0.798 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)ccc1F ZINC000513630909 1071640219 /nfs/dbraw/zinc/64/02/19/1071640219.db2.gz UTIYDJSZRMEEBR-UHFFFAOYSA-N 0 0 443.501 -0.611 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000513631025 1071640256 /nfs/dbraw/zinc/64/02/56/1071640256.db2.gz WHPJLVBDTQRFIB-UHFFFAOYSA-N 0 0 437.460 -0.969 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1-n1nnnc1C1CC1 ZINC000513634428 1071640279 /nfs/dbraw/zinc/64/02/79/1071640279.db2.gz AQHYEMZRDAUISJ-UHFFFAOYSA-N 0 0 428.453 -0.965 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000513634492 1071640216 /nfs/dbraw/zinc/64/02/16/1071640216.db2.gz BQSUERZYBTYJEP-UHFFFAOYSA-N 0 0 433.534 -0.329 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1 ZINC000513635035 1071640289 /nfs/dbraw/zinc/64/02/89/1071640289.db2.gz IXDLZWYAKMLUOW-UHFFFAOYSA-N 0 0 437.884 -0.701 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccn(-c2ncccc2C(F)(F)F)n1 ZINC000513636145 1071640264 /nfs/dbraw/zinc/64/02/64/1071640264.db2.gz WNEDYLOFSJEOIT-UHFFFAOYSA-N 0 0 425.371 -0.227 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N(C)CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000513637361 1071640282 /nfs/dbraw/zinc/64/02/82/1071640282.db2.gz ICMUBLFKUMIYOR-UHFFFAOYSA-N 0 0 432.568 -0.092 20 0 IBADRN CS(=O)(=O)c1cc(Br)ccc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000513640375 1071640276 /nfs/dbraw/zinc/64/02/76/1071640276.db2.gz MWLQWXKUBPLXSN-UHFFFAOYSA-N 0 0 447.311 -0.661 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513640599 1071640261 /nfs/dbraw/zinc/64/02/61/1071640261.db2.gz PSXYSCRFHWBGHB-UHFFFAOYSA-N 0 0 428.449 -0.546 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1cccc(N2C(=O)c3ccccc3C2=O)c1 ZINC000513640650 1071640961 /nfs/dbraw/zinc/64/09/61/1071640961.db2.gz QMGGAGBAZXIESQ-UHFFFAOYSA-N 0 0 435.440 -0.026 20 0 IBADRN COc1cc(Br)c(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1OC ZINC000513640829 1071640966 /nfs/dbraw/zinc/64/09/66/1071640966.db2.gz SQZMZWAMZDADGH-UHFFFAOYSA-N 0 0 429.271 -0.047 20 0 IBADRN CC(C)(C)C(=O)N1CCOc2ccc(NC(=O)C(=O)NCCN3CCNC(=O)C3)cc21 ZINC000513640965 1071640858 /nfs/dbraw/zinc/64/08/58/1071640858.db2.gz UVWPLKYMELEZIX-UHFFFAOYSA-N 0 0 431.493 -0.055 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000513641406 1071640998 /nfs/dbraw/zinc/64/09/98/1071640998.db2.gz ZSTXSNHKOSJKSE-UHFFFAOYSA-N 0 0 446.245 -0.214 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)ccc1C ZINC000513644466 1071641465 /nfs/dbraw/zinc/64/14/65/1071641465.db2.gz BUSXALZTNOQSKS-UHFFFAOYSA-N 0 0 439.538 -0.488 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1cc(Br)cnc1-n1cccn1 ZINC000513644880 1071641457 /nfs/dbraw/zinc/64/14/57/1071641457.db2.gz FLENSNFOSHGLDO-UHFFFAOYSA-N 0 0 436.270 -0.484 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc1 ZINC000513645127 1071641356 /nfs/dbraw/zinc/64/13/56/1071641356.db2.gz IDKLFLIBMATKBL-UHFFFAOYSA-N 0 0 425.511 -0.285 20 0 IBADRN Cc1ccc(C(=O)NCC(F)(F)F)cc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000513645795 1071642062 /nfs/dbraw/zinc/64/20/62/1071642062.db2.gz PXMFUKGBKCVQFM-UHFFFAOYSA-N 0 0 429.399 -0.226 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000513646027 1071642135 /nfs/dbraw/zinc/64/21/35/1071642135.db2.gz SIZQVNGPWPIFGP-UHFFFAOYSA-N 0 0 437.522 -0.652 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCNC(=O)C2)cccc1S(=O)(=O)NC(C)(C)C ZINC000513646121 1071641368 /nfs/dbraw/zinc/64/13/68/1071641368.db2.gz UGQXDFOJADKQTB-UHFFFAOYSA-N 0 0 439.538 -0.442 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc(F)cc1I ZINC000513646162 1071642093 /nfs/dbraw/zinc/64/20/93/1071642093.db2.gz URWSOZARYOYZGK-UHFFFAOYSA-N 0 0 434.209 -0.083 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc2c(c1)CCN2C(=O)c1cccnc1 ZINC000513646240 1071641359 /nfs/dbraw/zinc/64/13/59/1071641359.db2.gz VOPPTAUIMCSKCK-UHFFFAOYSA-N 0 0 436.472 -0.229 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NCCN2CCNC(=O)C2)c1OC ZINC000513646473 1071642022 /nfs/dbraw/zinc/64/20/22/1071642022.db2.gz YOBYWHBFGDWYJT-UHFFFAOYSA-N 0 0 430.465 -0.565 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c2ccccc12 ZINC000513646483 1071642087 /nfs/dbraw/zinc/64/20/87/1071642087.db2.gz YQKSHIMHUKNQPK-UHFFFAOYSA-N 0 0 428.445 -0.122 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000513646902 1071641984 /nfs/dbraw/zinc/64/19/84/1071641984.db2.gz DCBBKRNKMLBSDI-AWEZNQCLSA-N 0 0 449.533 -0.366 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000513646904 1071642101 /nfs/dbraw/zinc/64/21/01/1071642101.db2.gz DCBBKRNKMLBSDI-CQSZACIVSA-N 0 0 449.533 -0.366 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CCO2)n1 ZINC000513647625 1071642027 /nfs/dbraw/zinc/64/20/27/1071642027.db2.gz KYNCAHCZRZETES-AWEZNQCLSA-N 0 0 433.494 -0.023 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CCO2)n1 ZINC000513647626 1071641993 /nfs/dbraw/zinc/64/19/93/1071641993.db2.gz KYNCAHCZRZETES-CQSZACIVSA-N 0 0 433.494 -0.023 20 0 IBADRN Cn1nnc2c1nccc2C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000513648565 1071642069 /nfs/dbraw/zinc/64/20/69/1071642069.db2.gz RONWNQDANSZORT-UHFFFAOYSA-N 0 0 430.446 -0.063 20 0 IBADRN CN(CCCNC(=O)CN1CCCN(S(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000513654302 1071641364 /nfs/dbraw/zinc/64/13/64/1071641364.db2.gz HVIUWIWRBWYRJL-UHFFFAOYSA-N 0 0 446.595 -0.219 20 0 IBADRN Cn1c2ncn(CCN3CCCN(S(=O)(=O)c4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000513654419 1071641440 /nfs/dbraw/zinc/64/14/40/1071641440.db2.gz IJEVMHIZEDTZLC-UHFFFAOYSA-N 0 0 446.533 -0.170 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC000513664141 1071642672 /nfs/dbraw/zinc/64/26/72/1071642672.db2.gz QUYGMKWUGVSAAB-CVEARBPZSA-N 0 0 445.563 -0.106 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC000513664142 1071642684 /nfs/dbraw/zinc/64/26/84/1071642684.db2.gz QUYGMKWUGVSAAB-HOTGVXAUSA-N 0 0 445.563 -0.106 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC000513664143 1071642609 /nfs/dbraw/zinc/64/26/09/1071642609.db2.gz QUYGMKWUGVSAAB-HZPDHXFCSA-N 0 0 445.563 -0.106 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC000513664144 1071642592 /nfs/dbraw/zinc/64/25/92/1071642592.db2.gz QUYGMKWUGVSAAB-JKSUJKDBSA-N 0 0 445.563 -0.106 20 0 IBADRN CCOC(CNC(=O)c1ccnc2c1nnn2C)CNC(=O)c1ccnc2c1nnn2C ZINC000513667086 1071642078 /nfs/dbraw/zinc/64/20/78/1071642078.db2.gz RBFSTUBJAKKYSD-UHFFFAOYSA-N 0 0 438.452 -0.395 20 0 IBADRN CCOC(CNC(=O)C(C)(C)N1CCOCC1)CNC(=O)C(C)(C)N1CCOCC1 ZINC000513667769 1071642106 /nfs/dbraw/zinc/64/21/06/1071642106.db2.gz WHIAKHUZEVWADY-UHFFFAOYSA-N 0 0 428.574 -0.155 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCc2ccccc2S(N)(=O)=O)CC1 ZINC000513669331 1071642039 /nfs/dbraw/zinc/64/20/39/1071642039.db2.gz JWCFLJMSLGXLLB-UHFFFAOYSA-N 0 0 448.567 -0.084 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCCN(S(=O)(=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000513671632 1071642036 /nfs/dbraw/zinc/64/20/36/1071642036.db2.gz DOWWGBCHEFOIMK-UHFFFAOYSA-N 0 0 435.506 -0.755 20 0 IBADRN C[C@](O)(CNC(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1)CN1CCOCC1 ZINC000513674751 1071642554 /nfs/dbraw/zinc/64/25/54/1071642554.db2.gz KFQUFNLSCAKDEB-LPHOPBHVSA-N 0 0 426.539 -0.168 20 0 IBADRN C[C@@](O)(CNC(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1)CN1CCOCC1 ZINC000513674752 1071642639 /nfs/dbraw/zinc/64/26/39/1071642639.db2.gz KFQUFNLSCAKDEB-QFBILLFUSA-N 0 0 426.539 -0.168 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccccc2S(N)(=O)=O)CC1 ZINC000513674893 1071642532 /nfs/dbraw/zinc/64/25/32/1071642532.db2.gz MBIBULYEODLNAU-HNNXBMFYSA-N 0 0 439.538 -0.592 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccccc2S(N)(=O)=O)CC1 ZINC000513674894 1071642619 /nfs/dbraw/zinc/64/26/19/1071642619.db2.gz MBIBULYEODLNAU-OAHLLOKOSA-N 0 0 439.538 -0.592 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCc2ccccc2S(N)(=O)=O)CC1 ZINC000513675791 1071642526 /nfs/dbraw/zinc/64/25/26/1071642526.db2.gz WIMHKXPIZFVZRS-HNNXBMFYSA-N 0 0 441.554 -0.298 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCc2ccccc2S(N)(=O)=O)CC1 ZINC000513675792 1071642549 /nfs/dbraw/zinc/64/25/49/1071642549.db2.gz WIMHKXPIZFVZRS-OAHLLOKOSA-N 0 0 441.554 -0.298 20 0 IBADRN CN1CC(=O)N(CCCC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1=O ZINC000513680095 1071642570 /nfs/dbraw/zinc/64/25/70/1071642570.db2.gz KSWLIEWOZMTQRF-UHFFFAOYSA-N 0 0 437.478 -0.580 20 0 IBADRN CCc1c(C(=O)NCCNS(=O)(=O)c2ccccc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513682884 1071643146 /nfs/dbraw/zinc/64/31/46/1071643146.db2.gz ZSFKXHMRTJLINH-UHFFFAOYSA-N 0 0 445.501 -0.097 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CCCN2C(=O)CNC2=O)CC1 ZINC000513684635 1071643171 /nfs/dbraw/zinc/64/31/71/1071643171.db2.gz TUCWCAUYDSWDJH-UHFFFAOYSA-N 0 0 442.494 -0.069 20 0 IBADRN O=C(N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1)N(CCO)CCN1CCOCC1 ZINC000513684924 1073370510 /nfs/dbraw/zinc/37/05/10/1073370510.db2.gz JWZOIEDLHXPWAM-KRWDZBQOSA-N 0 0 426.539 -0.214 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000513685628 1071643152 /nfs/dbraw/zinc/64/31/52/1071643152.db2.gz PMVPEZMGYBOEIL-UHFFFAOYSA-N 0 0 425.511 -0.980 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2ccccc2S(N)(=O)=O)CC1 ZINC000513685795 1071643229 /nfs/dbraw/zinc/64/32/29/1071643229.db2.gz RRRMNSPRRBZYQB-UHFFFAOYSA-N 0 0 434.540 -0.473 20 0 IBADRN CC(=O)Nc1ccc(OC[C@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000513688368 1071643142 /nfs/dbraw/zinc/64/31/42/1071643142.db2.gz HHPPVCPKANLMLB-GOSISDBHSA-N 0 0 434.493 -0.612 20 0 IBADRN CC(=O)Nc1ccc(OC[C@@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000513688369 1071643137 /nfs/dbraw/zinc/64/31/37/1071643137.db2.gz HHPPVCPKANLMLB-SFHVURJKSA-N 0 0 434.493 -0.612 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000513688807 1071643887 /nfs/dbraw/zinc/64/38/87/1071643887.db2.gz MXUUTHJPMUXSKM-UHFFFAOYSA-N 0 0 425.511 -0.980 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000513688933 1071643735 /nfs/dbraw/zinc/64/37/35/1071643735.db2.gz OEWDQRWWZPLEJL-DLBZAZTESA-N 0 0 426.539 -0.216 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000513688934 1071643842 /nfs/dbraw/zinc/64/38/42/1071643842.db2.gz OEWDQRWWZPLEJL-IRXDYDNUSA-N 0 0 426.539 -0.216 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCc2ccccc2S(N)(=O)=O)CC1 ZINC000513690464 1071643908 /nfs/dbraw/zinc/64/39/08/1071643908.db2.gz YMHMSDQNTJANDK-UHFFFAOYSA-N 0 0 426.495 -0.065 20 0 IBADRN CCc1c(C(=O)N2CCN(CC(=O)N[C@@H](C)CC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513692158 1071643697 /nfs/dbraw/zinc/64/36/97/1071643697.db2.gz JVLFXBLXHPXYAF-AWEZNQCLSA-N 0 0 444.536 -0.133 20 0 IBADRN CCc1c(C(=O)N2CCN(CC(=O)N[C@H](C)CC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513692159 1071643681 /nfs/dbraw/zinc/64/36/81/1071643681.db2.gz JVLFXBLXHPXYAF-CQSZACIVSA-N 0 0 444.536 -0.133 20 0 IBADRN CCc1c(C(=O)NCc2cccc(S(N)(=O)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513692942 1071643865 /nfs/dbraw/zinc/64/38/65/1071643865.db2.gz XIVRENXCCYLWEL-UHFFFAOYSA-N 0 0 431.474 -0.228 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCc2ccccc2S(N)(=O)=O)C1 ZINC000513698617 1071644387 /nfs/dbraw/zinc/64/43/87/1071644387.db2.gz CQBXDOFXTSOACG-HNNXBMFYSA-N 0 0 448.567 -0.084 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCc2ccccc2S(N)(=O)=O)C1 ZINC000513698618 1071644458 /nfs/dbraw/zinc/64/44/58/1071644458.db2.gz CQBXDOFXTSOACG-OAHLLOKOSA-N 0 0 448.567 -0.084 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000513699347 1071644348 /nfs/dbraw/zinc/64/43/48/1071644348.db2.gz MDPFRDNXYSSIHL-HNNXBMFYSA-N 0 0 446.551 -0.330 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000513699348 1071644331 /nfs/dbraw/zinc/64/43/31/1071644331.db2.gz MDPFRDNXYSSIHL-OAHLLOKOSA-N 0 0 446.551 -0.330 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000513699391 1071644312 /nfs/dbraw/zinc/64/43/12/1071644312.db2.gz MSZODMRMWZDSFS-INIZCTEOSA-N 0 0 449.533 -0.418 20 0 IBADRN CCc1c(C(=O)N2CCC(C(=O)N3CCOCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513701710 1071645001 /nfs/dbraw/zinc/64/50/01/1071645001.db2.gz YOFLUWLXLPDEGR-UHFFFAOYSA-N 0 0 443.504 -0.094 20 0 IBADRN O=C(C1CC1)[C@@H]1CN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCO[C@H](C(=O)C4CC4)C3)O2)CCO1 ZINC000513701717 1071644974 /nfs/dbraw/zinc/64/49/74/1071644974.db2.gz YRLRZOVFOVBARX-MHORFTMASA-N 0 0 434.489 -0.053 20 0 IBADRN O=C(C1CC1)[C@H]1CN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCO[C@H](C(=O)C4CC4)C3)O2)CCO1 ZINC000513701718 1071645077 /nfs/dbraw/zinc/64/50/77/1071645077.db2.gz YRLRZOVFOVBARX-USTZCAOPSA-N 0 0 434.489 -0.053 20 0 IBADRN O=C(C1CC1)[C@H]1CN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCO[C@H](C(=O)C4CC4)C3)O2)CCO1 ZINC000513701719 1071644893 /nfs/dbraw/zinc/64/48/93/1071644893.db2.gz YRLRZOVFOVBARX-XLAORIBOSA-N 0 0 434.489 -0.053 20 0 IBADRN O=C(C1CC1)[C@@H]1CN(C(=O)[C@@H]2CC[C@@H](C(=O)N3CCO[C@H](C(=O)C4CC4)C3)O2)CCO1 ZINC000513701720 1072601945 /nfs/dbraw/zinc/60/19/45/1072601945.db2.gz YRLRZOVFOVBARX-XSLAGTTESA-N 0 0 434.489 -0.053 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000513707025 1071650284 /nfs/dbraw/zinc/65/02/84/1071650284.db2.gz YCFOVTUYBBWKNL-MSOLQXFVSA-N 0 0 444.598 -0.028 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000513707026 1071650205 /nfs/dbraw/zinc/65/02/05/1071650205.db2.gz YCFOVTUYBBWKNL-QZTJIDSGSA-N 0 0 444.598 -0.028 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000513707027 1071650276 /nfs/dbraw/zinc/65/02/76/1071650276.db2.gz YCFOVTUYBBWKNL-ROUUACIJSA-N 0 0 444.598 -0.028 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000513707028 1071650236 /nfs/dbraw/zinc/65/02/36/1071650236.db2.gz YCFOVTUYBBWKNL-ZWKOTPCHSA-N 0 0 444.598 -0.028 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000513709942 1071652581 /nfs/dbraw/zinc/65/25/81/1071652581.db2.gz MYLCFKRNARXMIR-UHFFFAOYSA-N 0 0 439.519 -0.055 20 0 IBADRN CCc1c(C(=O)NCc2ccc(S(N)(=O)=O)s2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513711146 1071653868 /nfs/dbraw/zinc/65/38/68/1071653868.db2.gz CLBLTJFFDWIFFQ-UHFFFAOYSA-N 0 0 437.503 -0.167 20 0 IBADRN CCc1c(C(=O)NCCCN(C)S(=O)(=O)CC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513712274 1071654396 /nfs/dbraw/zinc/65/43/96/1071654396.db2.gz VNKZXCVMWYXBMW-UHFFFAOYSA-N 0 0 425.511 -0.404 20 0 IBADRN O=C(C(=O)N1CCN(CCCS(=O)(=O)c2ccc(F)cc2)CC1)N1CCOCC1 ZINC000513713902 1071656610 /nfs/dbraw/zinc/65/66/10/1071656610.db2.gz VILDXOGEIMOVPW-UHFFFAOYSA-N 0 0 427.498 -0.008 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)c2cnc3c(c2CC)c(=O)n(C)c(=O)n3C)CC1 ZINC000513716877 1071662707 /nfs/dbraw/zinc/66/27/07/1071662707.db2.gz AKOKIBGYMCUDSI-UHFFFAOYSA-N 0 0 444.536 -0.085 20 0 IBADRN CCCN(CC(=O)N(C)C)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000513716993 1071662122 /nfs/dbraw/zinc/66/21/22/1071662122.db2.gz KUGIIZVBUUEDHE-UHFFFAOYSA-N 0 0 429.543 -0.075 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513717316 1071661482 /nfs/dbraw/zinc/66/14/82/1071661482.db2.gz CJTOCHAGDGDXRT-GFCCVEGCSA-N 0 0 437.522 -0.404 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513717317 1071661544 /nfs/dbraw/zinc/66/15/44/1071661544.db2.gz CJTOCHAGDGDXRT-LBPRGKRZSA-N 0 0 437.522 -0.404 20 0 IBADRN CCc1c(C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513722101 1071668180 /nfs/dbraw/zinc/66/81/80/1071668180.db2.gz GCDDZNXPJYRRSK-UHFFFAOYSA-N 0 0 437.460 -0.222 20 0 IBADRN CCc1c(C(=O)NCCCn2nc3n(c2=O)CCCC3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513727153 1071673543 /nfs/dbraw/zinc/67/35/43/1071673543.db2.gz GDSIOPMDIQCRHX-UHFFFAOYSA-N 0 0 441.492 -0.291 20 0 IBADRN CCc1c(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513731962 1071684356 /nfs/dbraw/zinc/68/43/56/1071684356.db2.gz CHJDRTQOROJNNR-UHFFFAOYSA-N 0 0 444.492 -0.996 20 0 IBADRN CCc1c(C(=O)N2CCN(c3nccc(OC)n3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513733478 1071684974 /nfs/dbraw/zinc/68/49/74/1071684974.db2.gz PWTFZLNBIWYJPF-UHFFFAOYSA-N 0 0 439.476 -0.044 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1-n1cnnn1 ZINC000513734840 1071684966 /nfs/dbraw/zinc/68/49/66/1071684966.db2.gz GDZGXJBBBKMTCA-UHFFFAOYSA-N 0 0 437.482 -0.994 20 0 IBADRN CCc1c(C(=O)N2CCC(NS(=O)(=O)CC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513738552 1071686805 /nfs/dbraw/zinc/68/68/05/1071686805.db2.gz VQNPAEGCLGAVAB-UHFFFAOYSA-N 0 0 437.522 -0.262 20 0 IBADRN CCc1c(C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513741805 1071691040 /nfs/dbraw/zinc/69/10/40/1071691040.db2.gz DGNLJHIZBTWPLM-CYBMUJFWSA-N 0 0 442.520 -0.381 20 0 IBADRN CCc1c(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513741807 1071691057 /nfs/dbraw/zinc/69/10/57/1071691057.db2.gz DGNLJHIZBTWPLM-ZDUSSCGKSA-N 0 0 442.520 -0.381 20 0 IBADRN CCc1c(C(=O)N2CCN(CC(=O)N(CC)CC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513743529 1071691608 /nfs/dbraw/zinc/69/16/08/1071691608.db2.gz YEEYBQSLXQPJAR-UHFFFAOYSA-N 0 0 444.536 -0.179 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000513746729 1071693366 /nfs/dbraw/zinc/69/33/66/1071693366.db2.gz CGZQWBJUMMDBBC-UHFFFAOYSA-N 0 0 443.530 -0.286 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000513747905 1071697577 /nfs/dbraw/zinc/69/75/77/1071697577.db2.gz XOKWQSTXVGTUBF-UHFFFAOYSA-N 0 0 429.503 -0.722 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000513748746 1071697394 /nfs/dbraw/zinc/69/73/94/1071697394.db2.gz JPYNOWVETBRZSY-AZUAARDMSA-N 0 0 446.548 -0.248 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000513748747 1071697530 /nfs/dbraw/zinc/69/75/30/1071697530.db2.gz JPYNOWVETBRZSY-ICSRJNTNSA-N 0 0 446.548 -0.248 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000513748748 1071697455 /nfs/dbraw/zinc/69/74/55/1071697455.db2.gz JPYNOWVETBRZSY-QUCCMNQESA-N 0 0 446.548 -0.248 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000513748749 1071697568 /nfs/dbraw/zinc/69/75/68/1071697568.db2.gz JPYNOWVETBRZSY-UYAOXDASSA-N 0 0 446.548 -0.248 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000513759659 1071706834 /nfs/dbraw/zinc/70/68/34/1071706834.db2.gz KVQSJCKCHMYLNZ-LLVKDONJSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000513759660 1071706853 /nfs/dbraw/zinc/70/68/53/1071706853.db2.gz KVQSJCKCHMYLNZ-NSHDSACASA-N 0 0 443.478 -0.031 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513764943 1071714026 /nfs/dbraw/zinc/71/40/26/1071714026.db2.gz VYVNZNFINOOCOZ-AWEZNQCLSA-N 0 0 443.504 -0.094 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513764944 1071714016 /nfs/dbraw/zinc/71/40/16/1071714016.db2.gz VYVNZNFINOOCOZ-CQSZACIVSA-N 0 0 443.504 -0.094 20 0 IBADRN CCc1c(C(=O)NCCS(=O)(=O)NCC2CCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513771849 1071715137 /nfs/dbraw/zinc/71/51/37/1071715137.db2.gz QYRUXHUTUUNCIG-UHFFFAOYSA-N 0 0 437.522 -0.356 20 0 IBADRN CCc1c(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513772026 1071715246 /nfs/dbraw/zinc/71/52/46/1071715246.db2.gz UFBJSAGPQWXLED-UHFFFAOYSA-N 0 0 444.492 -0.995 20 0 IBADRN CCc1c(C(=O)N2CCN(Cc3noc(C)n3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513772909 1071715065 /nfs/dbraw/zinc/71/50/65/1071715065.db2.gz FLTDUWSLJWUKFM-UHFFFAOYSA-N 0 0 427.465 -0.156 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cccc(S(N)(=O)=O)c2C)CC1 ZINC000513774889 1071715128 /nfs/dbraw/zinc/71/51/28/1071715128.db2.gz GVGZVIUOWWWLAP-HNNXBMFYSA-N 0 0 426.539 -0.059 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cccc(S(N)(=O)=O)c2C)CC1 ZINC000513774890 1071715240 /nfs/dbraw/zinc/71/52/40/1071715240.db2.gz GVGZVIUOWWWLAP-OAHLLOKOSA-N 0 0 426.539 -0.059 20 0 IBADRN CCc1c(C(=O)NC2CCN(CC(=O)N(C)C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513775460 1071715226 /nfs/dbraw/zinc/71/52/26/1071715226.db2.gz PJJFBALPGIYPMT-UHFFFAOYSA-N 0 0 430.509 -0.523 20 0 IBADRN CCc1c(C(=O)N2CCN(Cc3cnn(C)c3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513776710 1071715258 /nfs/dbraw/zinc/71/52/58/1071715258.db2.gz KDFJMSLGLWMTHR-UHFFFAOYSA-N 0 0 425.493 -0.114 20 0 IBADRN CCc1c(C(=O)NCCS(=O)(=O)N(CC)CC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513777256 1071715719 /nfs/dbraw/zinc/71/57/19/1071715719.db2.gz RWNDFSPBQGUNJI-UHFFFAOYSA-N 0 0 425.511 -0.404 20 0 IBADRN CCc1c(C(=O)N2CCN(Cc3nccn3C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513777525 1071715252 /nfs/dbraw/zinc/71/52/52/1071715252.db2.gz WSDHRVFAQUCDAD-UHFFFAOYSA-N 0 0 425.493 -0.114 20 0 IBADRN CCc1c(C(=O)Nc2cnn(CCN3CCOCC3)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513778545 1071715779 /nfs/dbraw/zinc/71/57/79/1071715779.db2.gz PBHPFGSNTTZWND-UHFFFAOYSA-N 0 0 441.492 -0.024 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cccc(S(N)(=O)=O)c2C)c1 ZINC000513778687 1071715217 /nfs/dbraw/zinc/71/52/17/1071715217.db2.gz RJRGBMZRHPULJF-UHFFFAOYSA-N 0 0 440.503 -0.081 20 0 IBADRN CCc1c(C(=O)NCC(C)(C)N2CCS(=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513779357 1071715550 /nfs/dbraw/zinc/71/55/50/1071715550.db2.gz CPVYXANLNCMHCS-UHFFFAOYSA-N 0 0 435.550 -0.233 20 0 IBADRN CCc1c(C(=O)Nc2ccn(CCN3CCOCC3)n2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513779581 1071715700 /nfs/dbraw/zinc/71/57/00/1071715700.db2.gz HENAHKXKDVQOQL-UHFFFAOYSA-N 0 0 441.492 -0.024 20 0 IBADRN CCc1c(C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513779909 1071715774 /nfs/dbraw/zinc/71/57/74/1071715774.db2.gz NFSFTCAYNPTKEJ-GFCCVEGCSA-N 0 0 438.506 -0.530 20 0 IBADRN CCc1c(C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513779910 1071716129 /nfs/dbraw/zinc/71/61/29/1071716129.db2.gz NFSFTCAYNPTKEJ-LBPRGKRZSA-N 0 0 438.506 -0.530 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513780595 1071715562 /nfs/dbraw/zinc/71/55/62/1071715562.db2.gz ZZVDQIYTBSPNQE-INIZCTEOSA-N 0 0 442.520 -0.427 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513780596 1071715642 /nfs/dbraw/zinc/71/56/42/1071715642.db2.gz ZZVDQIYTBSPNQE-MRXNPFEDSA-N 0 0 442.520 -0.427 20 0 IBADRN CCc1c(C(=O)N(CCN2CCOCC2)CC(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513780692 1071715767 /nfs/dbraw/zinc/71/57/67/1071715767.db2.gz BTMVELJURZRRAB-UHFFFAOYSA-N 0 0 447.492 -0.858 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCCS(=O)(=O)c3ccccc3)CC2)CC1 ZINC000513785365 1071719543 /nfs/dbraw/zinc/71/95/43/1071719543.db2.gz LDEBSEAWNXSKBS-UHFFFAOYSA-N 0 0 430.596 -0.040 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](C(=O)C3CC3)C2)s1 ZINC000513790991 1071724577 /nfs/dbraw/zinc/72/45/77/1071724577.db2.gz HRNPJLIKXMADKD-AWEZNQCLSA-N 0 0 429.520 -0.131 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](C(=O)C3CC3)C2)s1 ZINC000513790992 1071724599 /nfs/dbraw/zinc/72/45/99/1071724599.db2.gz HRNPJLIKXMADKD-CQSZACIVSA-N 0 0 429.520 -0.131 20 0 IBADRN CC(C)n1cnc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000513793664 1071726160 /nfs/dbraw/zinc/72/61/60/1071726160.db2.gz CGYQWKWCNVCBCY-UHFFFAOYSA-N 0 0 431.496 -0.149 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000513794083 1071727229 /nfs/dbraw/zinc/72/72/29/1071727229.db2.gz JZYDJESHBATWDU-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000513794448 1071726711 /nfs/dbraw/zinc/72/67/11/1071726711.db2.gz PWRPYEVYDFIWLO-UHFFFAOYSA-N 0 0 447.535 -0.335 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000513794958 1071728162 /nfs/dbraw/zinc/72/81/62/1071728162.db2.gz ZLLBZILMBUEBKX-UHFFFAOYSA-N 0 0 437.474 -0.236 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000513795688 1071728561 /nfs/dbraw/zinc/72/85/61/1071728561.db2.gz JXIPZMAVZFVGBC-UHFFFAOYSA-N 0 0 439.490 -0.527 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)c1 ZINC000513795743 1071728609 /nfs/dbraw/zinc/72/86/09/1071728609.db2.gz KVKOBKIKNLPHMI-UHFFFAOYSA-N 0 0 439.878 -0.582 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cc(C(=O)OC)co2)c1 ZINC000513796000 1071729645 /nfs/dbraw/zinc/72/96/45/1071729645.db2.gz OFKIYVSWTIKDDA-UHFFFAOYSA-N 0 0 431.448 -0.109 20 0 IBADRN Cc1c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)ccc(F)c1N1C(=O)CNC1=O ZINC000513796003 1071729687 /nfs/dbraw/zinc/72/96/87/1071729687.db2.gz OHDLWJPGLYWSGW-UHFFFAOYSA-N 0 0 443.395 -0.424 20 0 IBADRN COC(=O)c1cc(C)ccc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000513797475 1071730251 /nfs/dbraw/zinc/73/02/51/1071730251.db2.gz LHYUHGYSXNQZBS-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COC(=O)c1coc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000513798479 1071730607 /nfs/dbraw/zinc/73/06/07/1071730607.db2.gz AGKSBGKOQBWHAB-UHFFFAOYSA-N 0 0 437.496 -0.614 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000513799415 1071732106 /nfs/dbraw/zinc/73/21/06/1071732106.db2.gz NOMUPFYANFFYPL-UHFFFAOYSA-N 0 0 445.922 -0.171 20 0 IBADRN COC(=O)c1coc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000513801424 1071733560 /nfs/dbraw/zinc/73/35/60/1071733560.db2.gz VXGPVOFTPYNXII-UHFFFAOYSA-N 0 0 432.480 -0.069 20 0 IBADRN CCc1c(C(=O)NC2CCN(S(=O)(=O)CC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513804017 1071736170 /nfs/dbraw/zinc/73/61/70/1071736170.db2.gz QJMJRJGWKSFYCN-UHFFFAOYSA-N 0 0 437.522 -0.262 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N(CCO)CCN1CCOCC1)N1C(=O)c2ccccc2C1=O ZINC000513804406 1072273925 /nfs/dbraw/zinc/27/39/25/1072273925.db2.gz YEZWSTOIIIGEOQ-KRWDZBQOSA-N 0 0 432.477 -0.930 20 0 IBADRN NC(=O)CC[C@H](C(=O)N(CCO)CCN1CCOCC1)N1C(=O)c2ccccc2C1=O ZINC000513804407 1072273880 /nfs/dbraw/zinc/27/38/80/1072273880.db2.gz YEZWSTOIIIGEOQ-QGZVFWFLSA-N 0 0 432.477 -0.930 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N(CCO)CCN1CCOCC1 ZINC000513804965 1072274016 /nfs/dbraw/zinc/27/40/16/1072274016.db2.gz IXBNKZWVSPPWBV-AEFFLSMTSA-N 0 0 445.513 -0.897 20 0 IBADRN CCc1c(C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513805304 1071737570 /nfs/dbraw/zinc/73/75/70/1071737570.db2.gz OOJXWKIWEUREMX-HNNXBMFYSA-N 0 0 429.477 -0.342 20 0 IBADRN CCc1c(C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513805305 1071737587 /nfs/dbraw/zinc/73/75/87/1071737587.db2.gz OOJXWKIWEUREMX-OAHLLOKOSA-N 0 0 429.477 -0.342 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCCC[C@H]1C(=O)N(CCO)CCN1CCOCC1 ZINC000513806498 1072273946 /nfs/dbraw/zinc/27/39/46/1072273946.db2.gz KBTBVAGDHUBYBU-FGTMMUONSA-N 0 0 445.582 -0.751 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@@H]1C(=O)N(CCO)CCN1CCOCC1 ZINC000513806499 1072274027 /nfs/dbraw/zinc/27/40/27/1072274027.db2.gz KBTBVAGDHUBYBU-KURKYZTESA-N 0 0 445.582 -0.751 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@H]1C(=O)N(CCO)CCN1CCOCC1 ZINC000513806500 1072273867 /nfs/dbraw/zinc/27/38/67/1072273867.db2.gz KBTBVAGDHUBYBU-KZNAEPCWSA-N 0 0 445.582 -0.751 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCCC[C@@H]1C(=O)N(CCO)CCN1CCOCC1 ZINC000513806501 1072273985 /nfs/dbraw/zinc/27/39/85/1072273985.db2.gz KBTBVAGDHUBYBU-SQNIBIBYSA-N 0 0 445.582 -0.751 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N(CCO)CCN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000513806883 1072273955 /nfs/dbraw/zinc/27/39/55/1072273955.db2.gz QMXDXLAMFHFHKJ-FQEVSTJZSA-N 0 0 441.550 -0.406 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N(CCO)CCN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000513806884 1072273853 /nfs/dbraw/zinc/27/38/53/1072273853.db2.gz QMXDXLAMFHFHKJ-HXUWFJFHSA-N 0 0 441.550 -0.406 20 0 IBADRN CCc1c(C(=O)NCc2ccc(S(N)(=O)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513806977 1071738517 /nfs/dbraw/zinc/73/85/17/1071738517.db2.gz SUZBEOKWONAJHY-UHFFFAOYSA-N 0 0 431.474 -0.228 20 0 IBADRN CCc1c(C(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513807154 1071738504 /nfs/dbraw/zinc/73/85/04/1071738504.db2.gz WKRRJWBTZAQRNJ-GFCCVEGCSA-N 0 0 437.522 -0.262 20 0 IBADRN CCc1c(C(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513807155 1071738561 /nfs/dbraw/zinc/73/85/61/1071738561.db2.gz WKRRJWBTZAQRNJ-LBPRGKRZSA-N 0 0 437.522 -0.262 20 0 IBADRN CCc1c(C(=O)N2CCN(CC(=O)NC3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513807461 1071738459 /nfs/dbraw/zinc/73/84/59/1071738459.db2.gz BKJZEKYCSQPPTP-UHFFFAOYSA-N 0 0 428.493 -0.769 20 0 IBADRN CCc1c(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513808204 1071738968 /nfs/dbraw/zinc/73/89/68/1071738968.db2.gz PEYHWSXVNIWSNQ-UHFFFAOYSA-N 0 0 445.501 -0.186 20 0 IBADRN NC(=O)c1ncn2c1nc(-c1ccco1)cc2C(=O)N(CCO)CCN1CCOCC1 ZINC000513808373 1073152037 /nfs/dbraw/zinc/15/20/37/1073152037.db2.gz ROXDIFGTJJOIEB-UHFFFAOYSA-N 0 0 428.449 -0.145 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N(CCO)CCN2CCOCC2)c1 ZINC000513808604 1072273915 /nfs/dbraw/zinc/27/39/15/1072273915.db2.gz VXBJJZCACAFAGF-UHFFFAOYSA-N 0 0 429.539 -0.314 20 0 IBADRN CCc1c(C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513808806 1071739352 /nfs/dbraw/zinc/73/93/52/1071739352.db2.gz ZGJSXFKEEJQQMF-UHFFFAOYSA-N 0 0 438.444 -0.338 20 0 IBADRN CCc1c(C(=O)N2CCN(CC(=O)NC(C)C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513809977 1071740060 /nfs/dbraw/zinc/74/00/60/1071740060.db2.gz TTYUYXNSVSDZSF-UHFFFAOYSA-N 0 0 430.509 -0.523 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2cnc3c(c2CC)c(=O)n(C)c(=O)n3C)CC1 ZINC000513810948 1071740029 /nfs/dbraw/zinc/74/00/29/1071740029.db2.gz KFUHZTPSIZUMIQ-UHFFFAOYSA-N 0 0 430.509 -0.521 20 0 IBADRN CCc1c(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513811729 1071740054 /nfs/dbraw/zinc/74/00/54/1071740054.db2.gz WZILMBSXLIWBIX-UHFFFAOYSA-N 0 0 442.520 -0.425 20 0 IBADRN CCc1c(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513811735 1071739969 /nfs/dbraw/zinc/73/99/69/1071739969.db2.gz XALMQPFXXKLQIW-CYBMUJFWSA-N 0 0 449.533 -0.861 20 0 IBADRN CCc1c(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513811736 1071740094 /nfs/dbraw/zinc/74/00/94/1071740094.db2.gz XALMQPFXXKLQIW-ZDUSSCGKSA-N 0 0 449.533 -0.861 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3ccccc3[nH]2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000513816786 1071773332 /nfs/dbraw/zinc/77/33/32/1071773332.db2.gz UIXPFUAKJXWITR-HNNXBMFYSA-N 0 0 447.517 -0.061 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3ccccc3[nH]2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000513816787 1071773398 /nfs/dbraw/zinc/77/33/98/1071773398.db2.gz UIXPFUAKJXWITR-OAHLLOKOSA-N 0 0 447.517 -0.061 20 0 IBADRN CCc1c(C(=O)N2CCN(Cc3nc(C)no3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513820117 1071773448 /nfs/dbraw/zinc/77/34/48/1071773448.db2.gz JQNBXSYLLOTJAM-UHFFFAOYSA-N 0 0 427.465 -0.156 20 0 IBADRN CCc1c(C(=O)NCC2CCN(S(C)(=O)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513820975 1071773435 /nfs/dbraw/zinc/77/34/35/1071773435.db2.gz ZPQCZAHGVUTVHU-UHFFFAOYSA-N 0 0 437.522 -0.404 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)c2cnc3c(c2CC)c(=O)n(C)c(=O)n3C)CC1 ZINC000513823673 1071773421 /nfs/dbraw/zinc/77/34/21/1071773421.db2.gz YISTVZWKZOQJBQ-UHFFFAOYSA-N 0 0 431.493 -0.094 20 0 IBADRN CCc1c(C(=O)Nc2ccc(C(=O)NCC(N)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513827761 1071773528 /nfs/dbraw/zinc/77/35/28/1071773528.db2.gz WFQPGTYQUBYBDH-UHFFFAOYSA-N 0 0 438.444 -0.338 20 0 IBADRN Cc1c(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cccc1S(N)(=O)=O ZINC000513827994 1071774059 /nfs/dbraw/zinc/77/40/59/1071774059.db2.gz AMDSIRSANDZOAQ-UHFFFAOYSA-N 0 0 432.506 -0.099 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000513836446 1071774024 /nfs/dbraw/zinc/77/40/24/1071774024.db2.gz ICJOJSCPDCBIHE-UHFFFAOYSA-N 0 0 430.508 -0.964 20 0 IBADRN CCc1c(C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513836550 1071774069 /nfs/dbraw/zinc/77/40/69/1071774069.db2.gz JSOJFCQHHPZENG-UHFFFAOYSA-N 0 0 426.481 -0.421 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(S(=O)(=O)CCNS(=O)(=O)CC)C2)no1 ZINC000513837790 1073370493 /nfs/dbraw/zinc/37/04/93/1073370493.db2.gz GQMSAERQUZNKFT-CYBMUJFWSA-N 0 0 437.544 -0.676 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(S(=O)(=O)CCNS(=O)(=O)CC)C2)no1 ZINC000513837791 1073370637 /nfs/dbraw/zinc/37/06/37/1073370637.db2.gz GQMSAERQUZNKFT-ZDUSSCGKSA-N 0 0 437.544 -0.676 20 0 IBADRN Cc1c(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cccc1S(N)(=O)=O ZINC000513839537 1071774146 /nfs/dbraw/zinc/77/41/46/1071774146.db2.gz MEKJGBBNTMVXBU-UHFFFAOYSA-N 0 0 446.551 -0.586 20 0 IBADRN CCc1c(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513839739 1071774092 /nfs/dbraw/zinc/77/40/92/1071774092.db2.gz POOCVTAZIJMFID-AWEZNQCLSA-N 0 0 425.449 -0.537 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513839740 1071774197 /nfs/dbraw/zinc/77/41/97/1071774197.db2.gz POOCVTAZIJMFID-CQSZACIVSA-N 0 0 425.449 -0.537 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(S(=O)(=O)N(CCOC)CCOC)C2)no1 ZINC000513841818 1073370661 /nfs/dbraw/zinc/37/06/61/1073370661.db2.gz WWTVGXXYJODNOJ-HNNXBMFYSA-N 0 0 433.531 -0.106 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(S(=O)(=O)N(CCOC)CCOC)C2)no1 ZINC000513841819 1073370685 /nfs/dbraw/zinc/37/06/85/1073370685.db2.gz WWTVGXXYJODNOJ-OAHLLOKOSA-N 0 0 433.531 -0.106 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(S(=O)(=O)c3cnn(CC(=O)OC)c3)C2)no1 ZINC000513843491 1071774660 /nfs/dbraw/zinc/77/46/60/1071774660.db2.gz AVNIJRUGVJWSEZ-CYBMUJFWSA-N 0 0 440.482 -0.187 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(S(=O)(=O)c3cnn(CC(=O)OC)c3)C2)no1 ZINC000513843492 1071774695 /nfs/dbraw/zinc/77/46/95/1071774695.db2.gz AVNIJRUGVJWSEZ-ZDUSSCGKSA-N 0 0 440.482 -0.187 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000513845854 1071775153 /nfs/dbraw/zinc/77/51/53/1071775153.db2.gz BVNAOSBMGDWLNJ-UHFFFAOYSA-N 0 0 425.554 -0.455 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000513846549 1071774719 /nfs/dbraw/zinc/77/47/19/1071774719.db2.gz QQQKRIDUZWVVLK-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN COC(=O)c1coc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000513847389 1071775198 /nfs/dbraw/zinc/77/51/98/1071775198.db2.gz KAYUZAVVXPDOJP-UHFFFAOYSA-N 0 0 432.480 -0.197 20 0 IBADRN COCCN(C)S(=O)(=O)N(C)[C@H]1CCCN(S(=O)(=O)N(C)CCOC)CC1 ZINC000513848570 1071775239 /nfs/dbraw/zinc/77/52/39/1071775239.db2.gz JPAOOSHVNJOJSQ-HNNXBMFYSA-N 0 0 430.593 -0.581 20 0 IBADRN COCCN(C)S(=O)(=O)N(C)[C@@H]1CCCN(S(=O)(=O)N(C)CCOC)CC1 ZINC000513848571 1071775170 /nfs/dbraw/zinc/77/51/70/1071775170.db2.gz JPAOOSHVNJOJSQ-OAHLLOKOSA-N 0 0 430.593 -0.581 20 0 IBADRN CCN(C[C@H](CC(C)C)NS(=O)(=O)N1CCOCC1)S(=O)(=O)N1CCOCC1 ZINC000513848966 1071775289 /nfs/dbraw/zinc/77/52/89/1071775289.db2.gz QOVXKJAWXRGRKP-INIZCTEOSA-N 0 0 442.604 -0.533 20 0 IBADRN CCN(C[C@@H](CC(C)C)NS(=O)(=O)N1CCOCC1)S(=O)(=O)N1CCOCC1 ZINC000513848967 1071775317 /nfs/dbraw/zinc/77/53/17/1071775317.db2.gz QOVXKJAWXRGRKP-MRXNPFEDSA-N 0 0 442.604 -0.533 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCC2CCN(S(C)(=O)=O)CC2)c1 ZINC000513849946 1071775329 /nfs/dbraw/zinc/77/53/29/1071775329.db2.gz JQTZYPVOMHODTM-UHFFFAOYSA-N 0 0 425.554 -0.455 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCC2CCN(S(C)(=O)=O)CC2)c1 ZINC000513850327 1071775187 /nfs/dbraw/zinc/77/51/87/1071775187.db2.gz RLRWNNCOYYQSRV-UHFFFAOYSA-N 0 0 439.581 -0.113 20 0 IBADRN CCc1c(C(=O)NCCCN(CC)S(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513851169 1071775128 /nfs/dbraw/zinc/77/51/28/1071775128.db2.gz JZRRUHQYQMPDNN-UHFFFAOYSA-N 0 0 425.511 -0.404 20 0 IBADRN CS(=O)(=O)N1CCC(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000513851921 1071775210 /nfs/dbraw/zinc/77/52/10/1071775210.db2.gz ZMNHRESIFZKPDV-UHFFFAOYSA-N 0 0 439.581 -0.444 20 0 IBADRN CCc1c(C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513854542 1071775304 /nfs/dbraw/zinc/77/53/04/1071775304.db2.gz QSTIRCHBNVMBBB-UHFFFAOYSA-N 0 0 437.522 -0.309 20 0 IBADRN CCc1c(C(=O)Nc2cnn(CC(=O)NC3CC3)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513858349 1071775177 /nfs/dbraw/zinc/77/51/77/1071775177.db2.gz YGLRTPRTUWNDJO-UHFFFAOYSA-N 0 0 425.449 -0.078 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCc1nnnn1-c1ccccc1 ZINC000513861332 1071774648 /nfs/dbraw/zinc/77/46/48/1071774648.db2.gz ISOQEWCZEZSSNM-CYBMUJFWSA-N 0 0 443.489 -0.213 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCc1nnnn1-c1ccccc1 ZINC000513861333 1071774796 /nfs/dbraw/zinc/77/47/96/1071774796.db2.gz ISOQEWCZEZSSNM-ZDUSSCGKSA-N 0 0 443.489 -0.213 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCc1nnnn1-c1ccccc1 ZINC000513863048 1071774571 /nfs/dbraw/zinc/77/45/71/1071774571.db2.gz CRHHAMJUGFLXKU-IBGZPJMESA-N 0 0 427.465 -0.063 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCc1nnnn1-c1ccccc1 ZINC000513863049 1071774562 /nfs/dbraw/zinc/77/45/62/1071774562.db2.gz CRHHAMJUGFLXKU-LJQANCHMSA-N 0 0 427.465 -0.063 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000513868777 1071776121 /nfs/dbraw/zinc/77/61/21/1071776121.db2.gz ARTOLMDARWHBQC-UHFFFAOYSA-N 0 0 433.556 -0.317 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)C1 ZINC000513879367 1071776053 /nfs/dbraw/zinc/77/60/53/1071776053.db2.gz CKHCHRPLBQTQOC-CYBMUJFWSA-N 0 0 443.551 -0.144 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)C1 ZINC000513879368 1071776069 /nfs/dbraw/zinc/77/60/69/1071776069.db2.gz CKHCHRPLBQTQOC-ZDUSSCGKSA-N 0 0 443.551 -0.144 20 0 IBADRN CN1CCN(C(=O)CCN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)CC1 ZINC000513880056 1071775995 /nfs/dbraw/zinc/77/59/95/1071775995.db2.gz PVHYTSNVTQRQHP-UHFFFAOYSA-N 0 0 436.538 -0.261 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)c1=O ZINC000513898296 1071775925 /nfs/dbraw/zinc/77/59/25/1071775925.db2.gz IYUJNWZUVCANPZ-UHFFFAOYSA-N 0 0 427.417 -0.082 20 0 IBADRN CS(=O)(=O)N1CCc2c(cccc2NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000513907637 1071777271 /nfs/dbraw/zinc/77/72/71/1071777271.db2.gz OJAYESPDPONPJU-UHFFFAOYSA-N 0 0 449.533 -0.041 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000513910594 1071777247 /nfs/dbraw/zinc/77/72/47/1071777247.db2.gz CXNFCFDPRDPXBJ-UHFFFAOYSA-N 0 0 435.510 -0.027 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000513916395 1071777145 /nfs/dbraw/zinc/77/71/45/1071777145.db2.gz HCQWLORWUPQITO-UHFFFAOYSA-N 0 0 438.444 -0.422 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1=O ZINC000513918847 1071777156 /nfs/dbraw/zinc/77/71/56/1071777156.db2.gz PUFKYJRYDYGTOW-UHFFFAOYSA-N 0 0 430.421 -0.813 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)c1=O ZINC000513919572 1071776540 /nfs/dbraw/zinc/77/65/40/1071776540.db2.gz AYQPFADCSCJSFU-UHFFFAOYSA-N 0 0 427.417 -0.082 20 0 IBADRN COCCn1ccc(NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000513921247 1071776565 /nfs/dbraw/zinc/77/65/65/1071776565.db2.gz ZGDGQJRJQLEZHB-UHFFFAOYSA-N 0 0 426.455 -0.240 20 0 IBADRN CCc1c(C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513921458 1071776554 /nfs/dbraw/zinc/77/65/54/1071776554.db2.gz CBYBMFOXIGNOJF-UHFFFAOYSA-N 0 0 425.449 -0.583 20 0 IBADRN CCCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CC(=O)N(C)C1=O ZINC000513923731 1071776454 /nfs/dbraw/zinc/77/64/54/1071776454.db2.gz IVILOCSZZKSCCP-HNNXBMFYSA-N 0 0 436.490 -0.583 20 0 IBADRN CCCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CC(=O)N(C)C1=O ZINC000513923732 1071776659 /nfs/dbraw/zinc/77/66/59/1071776659.db2.gz IVILOCSZZKSCCP-OAHLLOKOSA-N 0 0 436.490 -0.583 20 0 IBADRN CCCN(C(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C)[C@H]1CC(=O)N(C)C1=O ZINC000513924340 1071777268 /nfs/dbraw/zinc/77/72/68/1071777268.db2.gz RLMPEMMPDIHOFM-AWEZNQCLSA-N 0 0 425.453 -0.140 20 0 IBADRN CCCN(C(=O)Cc1c(C)nn(-c2cncc3nnnn32)c1C)[C@@H]1CC(=O)N(C)C1=O ZINC000513924341 1071777111 /nfs/dbraw/zinc/77/71/11/1071777111.db2.gz RLMPEMMPDIHOFM-CQSZACIVSA-N 0 0 425.453 -0.140 20 0 IBADRN CCc1c(C(=O)Nc2cnn(CC(=O)NCCOC)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513924802 1071777205 /nfs/dbraw/zinc/77/72/05/1071777205.db2.gz YLKPTWKAVZUYEF-UHFFFAOYSA-N 0 0 443.464 -0.594 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000513925860 1071777254 /nfs/dbraw/zinc/77/72/54/1071777254.db2.gz MTUDMTSUGGBNJK-UHFFFAOYSA-N 0 0 427.465 -0.135 20 0 IBADRN CCc1c(C(=O)N2CCN(c3nccn(C)c3=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513926580 1071777167 /nfs/dbraw/zinc/77/71/67/1071777167.db2.gz WCCJFTCBMUKOSI-UHFFFAOYSA-N 0 0 439.476 -0.749 20 0 IBADRN CCCN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@H]1CC(=O)N(C)C1=O ZINC000513931055 1071777834 /nfs/dbraw/zinc/77/78/34/1071777834.db2.gz CRORIKIKLKYBIB-HNNXBMFYSA-N 0 0 438.506 -0.337 20 0 IBADRN CCCN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@@H]1CC(=O)N(C)C1=O ZINC000513931056 1071777702 /nfs/dbraw/zinc/77/77/02/1071777702.db2.gz CRORIKIKLKYBIB-OAHLLOKOSA-N 0 0 438.506 -0.337 20 0 IBADRN CCc1c(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513931435 1071777772 /nfs/dbraw/zinc/77/77/72/1071777772.db2.gz IWRJSWAVSNDCQP-AWEZNQCLSA-N 0 0 439.476 -0.147 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513931436 1071777713 /nfs/dbraw/zinc/77/77/13/1071777713.db2.gz IWRJSWAVSNDCQP-CQSZACIVSA-N 0 0 439.476 -0.147 20 0 IBADRN CCCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@H]1CC(=O)N(C)C1=O ZINC000513933504 1071777809 /nfs/dbraw/zinc/77/78/09/1071777809.db2.gz JVDYKJWBDXCGJS-HNNXBMFYSA-N 0 0 436.490 -0.583 20 0 IBADRN CCCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@@H]1CC(=O)N(C)C1=O ZINC000513933505 1071777721 /nfs/dbraw/zinc/77/77/21/1071777721.db2.gz JVDYKJWBDXCGJS-OAHLLOKOSA-N 0 0 436.490 -0.583 20 0 IBADRN CCc1c(C(=O)N2CCC(OCCC(=O)NC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513934584 1071777815 /nfs/dbraw/zinc/77/78/15/1071777815.db2.gz WRNNKLZTICPCPF-UHFFFAOYSA-N 0 0 431.493 -0.048 20 0 IBADRN CCc1c(C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513934872 1071777691 /nfs/dbraw/zinc/77/76/91/1071777691.db2.gz AAXYMFGKCHJMKV-UHFFFAOYSA-N 0 0 437.522 -0.309 20 0 IBADRN CCc1c(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513935847 1071777675 /nfs/dbraw/zinc/77/76/75/1071777675.db2.gz MKTKSIOBWFBGRZ-HNNXBMFYSA-N 0 0 429.477 -0.296 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513935848 1071777782 /nfs/dbraw/zinc/77/77/82/1071777782.db2.gz MKTKSIOBWFBGRZ-OAHLLOKOSA-N 0 0 429.477 -0.296 20 0 IBADRN CCc1c(C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513939716 1071777843 /nfs/dbraw/zinc/77/78/43/1071777843.db2.gz IJEVDUGBQGYCBC-AWEZNQCLSA-N 0 0 439.476 -0.228 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513939717 1071777797 /nfs/dbraw/zinc/77/77/97/1071777797.db2.gz IJEVDUGBQGYCBC-CQSZACIVSA-N 0 0 439.476 -0.228 20 0 IBADRN CCCN(C(=O)Cn1cc(S(=O)(=O)N(CC)CC)ccc1=O)[C@H]1CC(=O)N(C)C1=O ZINC000513941540 1071778395 /nfs/dbraw/zinc/77/83/95/1071778395.db2.gz DAJLUNXWNQAWEC-HNNXBMFYSA-N 0 0 440.522 -0.125 20 0 IBADRN CCCN(C(=O)Cn1cc(S(=O)(=O)N(CC)CC)ccc1=O)[C@@H]1CC(=O)N(C)C1=O ZINC000513941541 1071778313 /nfs/dbraw/zinc/77/83/13/1071778313.db2.gz DAJLUNXWNQAWEC-OAHLLOKOSA-N 0 0 440.522 -0.125 20 0 IBADRN CCCN(C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)[C@@H]1CC(=O)N(C)C1=O ZINC000513944045 1071778262 /nfs/dbraw/zinc/77/82/62/1071778262.db2.gz JJAQFZXHZUVXCH-CYBMUJFWSA-N 0 0 444.535 -0.342 20 0 IBADRN CCCN(C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)[C@H]1CC(=O)N(C)C1=O ZINC000513944046 1071778274 /nfs/dbraw/zinc/77/82/74/1071778274.db2.gz JJAQFZXHZUVXCH-ZDUSSCGKSA-N 0 0 444.535 -0.342 20 0 IBADRN CCc1c(C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513944846 1071778258 /nfs/dbraw/zinc/77/82/58/1071778258.db2.gz RNYSBCSGZZLRSL-INIZCTEOSA-N 0 0 431.493 -0.192 20 0 IBADRN CCc1c(C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513944847 1071778199 /nfs/dbraw/zinc/77/81/99/1071778199.db2.gz RNYSBCSGZZLRSL-MRXNPFEDSA-N 0 0 431.493 -0.192 20 0 IBADRN CCCN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@H]1CC(=O)N(C)C1=O ZINC000513945930 1071778369 /nfs/dbraw/zinc/77/83/69/1071778369.db2.gz FCKLRSVIFPWBSZ-DOMZBBRYSA-N 0 0 436.490 -0.317 20 0 IBADRN CCCN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@H]1CC(=O)N(C)C1=O ZINC000513945931 1071778286 /nfs/dbraw/zinc/77/82/86/1071778286.db2.gz FCKLRSVIFPWBSZ-IUODEOHRSA-N 0 0 436.490 -0.317 20 0 IBADRN CCCN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@H]1CC(=O)N(C)C1=O ZINC000513945932 1071778985 /nfs/dbraw/zinc/77/89/85/1071778985.db2.gz FCKLRSVIFPWBSZ-SWLSCSKDSA-N 0 0 436.490 -0.317 20 0 IBADRN CCCN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@H]1CC(=O)N(C)C1=O ZINC000513945933 1071778961 /nfs/dbraw/zinc/77/89/61/1071778961.db2.gz FCKLRSVIFPWBSZ-WFASDCNBSA-N 0 0 436.490 -0.317 20 0 IBADRN CCc1c(C(=O)N2CC[C@@H](S(=O)(=O)N3CCCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513948124 1071778838 /nfs/dbraw/zinc/77/88/38/1071778838.db2.gz HAKTXORGNBKQSJ-CYBMUJFWSA-N 0 0 449.533 -0.165 20 0 IBADRN CCc1c(C(=O)N2CC[C@H](S(=O)(=O)N3CCCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000513948125 1071779043 /nfs/dbraw/zinc/77/90/43/1071779043.db2.gz HAKTXORGNBKQSJ-ZDUSSCGKSA-N 0 0 449.533 -0.165 20 0 IBADRN CN(C)CCN(C(=O)CSc1nnnn1CC(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000513955069 1071778771 /nfs/dbraw/zinc/77/87/71/1071778771.db2.gz UCIJPQDKZNPGBQ-JTQLQIEISA-N 0 0 430.478 -0.095 20 0 IBADRN CN(C)CCN(C(=O)CSc1nnnn1CC(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000513955070 1071778993 /nfs/dbraw/zinc/77/89/93/1071778993.db2.gz UCIJPQDKZNPGBQ-SNVBAGLBSA-N 0 0 430.478 -0.095 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000513963523 1071778917 /nfs/dbraw/zinc/77/89/17/1071778917.db2.gz SDMODAVWKSFSCQ-KRWDZBQOSA-N 0 0 436.534 -0.305 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000513963524 1071779034 /nfs/dbraw/zinc/77/90/34/1071779034.db2.gz SDMODAVWKSFSCQ-QGZVFWFLSA-N 0 0 436.534 -0.305 20 0 IBADRN CN1CCN(C(=O)CCN2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1 ZINC000513970133 1071778800 /nfs/dbraw/zinc/77/88/00/1071778800.db2.gz KQCGOQAAEDYMLE-UHFFFAOYSA-N 0 0 438.550 -0.072 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000513980803 1071779502 /nfs/dbraw/zinc/77/95/02/1071779502.db2.gz ZSLGPMCCRUOZNJ-UHFFFAOYSA-N 0 0 438.503 -0.093 20 0 IBADRN CN(C(=O)Cn1ccc(=O)[nH]c1=O)[C@H]1CCCC[C@@H]1N(C)C(=O)Cn1ccc(=O)[nH]c1=O ZINC000513981107 1071779550 /nfs/dbraw/zinc/77/95/50/1071779550.db2.gz FWFHNEAVGMSRJJ-KBPBESRZSA-N 0 0 446.464 -0.861 20 0 IBADRN CN(C(=O)Cn1ccc(=O)[nH]c1=O)[C@@H]1CCCC[C@@H]1N(C)C(=O)Cn1ccc(=O)[nH]c1=O ZINC000513981108 1071779630 /nfs/dbraw/zinc/77/96/30/1071779630.db2.gz FWFHNEAVGMSRJJ-OKILXGFUSA-N 0 0 446.464 -0.861 20 0 IBADRN CN(C(=O)Cn1ccc(=O)[nH]c1=O)[C@@H]1CCCC[C@H]1N(C)C(=O)Cn1ccc(=O)[nH]c1=O ZINC000513981109 1071779480 /nfs/dbraw/zinc/77/94/80/1071779480.db2.gz FWFHNEAVGMSRJJ-ZIAGYGMSSA-N 0 0 446.464 -0.861 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000513982534 1071779393 /nfs/dbraw/zinc/77/93/93/1071779393.db2.gz DYYLGZJLAQLHJE-UHFFFAOYSA-N 0 0 439.586 -0.041 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)NCCNC(=O)c3cnccn3)C2)no1 ZINC000513991781 1073371023 /nfs/dbraw/zinc/37/10/23/1073371023.db2.gz KCDFGWTUVQGBRH-AWEZNQCLSA-N 0 0 430.469 -0.016 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)NCCNC(=O)c3cnccn3)C2)no1 ZINC000513991782 1073370856 /nfs/dbraw/zinc/37/08/56/1073370856.db2.gz KCDFGWTUVQGBRH-CQSZACIVSA-N 0 0 430.469 -0.016 20 0 IBADRN CC(=O)NCCN(Cc1ccsc1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000513993373 1071779373 /nfs/dbraw/zinc/77/93/73/1071779373.db2.gz ARYDCTSVQPBUFY-UHFFFAOYSA-N 0 0 427.508 -0.382 20 0 IBADRN CC(=O)NCCN(Cc1ccsc1)C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000513993491 1071779587 /nfs/dbraw/zinc/77/95/87/1071779587.db2.gz BRCLSYXRBJPMCR-UHFFFAOYSA-N 0 0 428.492 -0.117 20 0 IBADRN CC(=O)NCCN(Cc1ccc(C)s1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000513999529 1071780620 /nfs/dbraw/zinc/78/06/20/1071780620.db2.gz NFCBUZJXAXNTIH-UHFFFAOYSA-N 0 0 441.535 -0.073 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000513999629 1071780600 /nfs/dbraw/zinc/78/06/00/1071780600.db2.gz OJDSAWZBDHFBTF-UHFFFAOYSA-N 0 0 425.559 -0.431 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)NCCN3CCCS3(=O)=O)C2)no1 ZINC000514001183 1073370955 /nfs/dbraw/zinc/37/09/55/1073370955.db2.gz HDAVQWIGLAXGEG-AWEZNQCLSA-N 0 0 428.515 -0.200 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)NCCN3CCCS3(=O)=O)C2)no1 ZINC000514001184 1073370944 /nfs/dbraw/zinc/37/09/44/1073370944.db2.gz HDAVQWIGLAXGEG-CQSZACIVSA-N 0 0 428.515 -0.200 20 0 IBADRN O=C(CN1CCNC(=O)C[C@H]1c1ccccc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000514001186 1071780771 /nfs/dbraw/zinc/78/07/71/1071780771.db2.gz HDINTUWQKPJSCL-MOPGFXCFSA-N 0 0 434.562 -0.119 20 0 IBADRN O=C(CN1CCNC(=O)C[C@H]1c1ccccc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000514001187 1071780817 /nfs/dbraw/zinc/78/08/17/1071780817.db2.gz HDINTUWQKPJSCL-OALUTQOASA-N 0 0 434.562 -0.119 20 0 IBADRN O=C(CN1CCNC(=O)C[C@@H]1c1ccccc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000514001188 1071780670 /nfs/dbraw/zinc/78/06/70/1071780670.db2.gz HDINTUWQKPJSCL-RBUKOAKNSA-N 0 0 434.562 -0.119 20 0 IBADRN O=C(CN1CCNC(=O)C[C@@H]1c1ccccc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000514001189 1071780574 /nfs/dbraw/zinc/78/05/74/1071780574.db2.gz HDINTUWQKPJSCL-RTBURBONSA-N 0 0 434.562 -0.119 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCNC(=O)C[C@H]2c2ccccc2)CC1)N1CCOCC1 ZINC000514001256 1071780637 /nfs/dbraw/zinc/78/06/37/1071780637.db2.gz IAJPACNGCJLYOI-FQEVSTJZSA-N 0 0 443.548 -0.447 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCNC(=O)C[C@@H]2c2ccccc2)CC1)N1CCOCC1 ZINC000514001257 1071780700 /nfs/dbraw/zinc/78/07/00/1071780700.db2.gz IAJPACNGCJLYOI-HXUWFJFHSA-N 0 0 443.548 -0.447 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(Cc3cscn3)CC2)CC1)N1CCOCC1 ZINC000514003283 1071780786 /nfs/dbraw/zinc/78/07/86/1071780786.db2.gz KHAORQOANQPZHH-UHFFFAOYSA-N 0 0 436.582 -0.736 20 0 IBADRN O=C(CN1CCN(Cc2cscn2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000514004161 1071781362 /nfs/dbraw/zinc/78/13/62/1071781362.db2.gz CNQNXDDLCWYHTR-KRWDZBQOSA-N 0 0 427.596 -0.408 20 0 IBADRN CCc1c(C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514004815 1071781197 /nfs/dbraw/zinc/78/11/97/1071781197.db2.gz UETVCMSVGPWGCU-NRFANRHFSA-N 0 0 442.476 -0.355 20 0 IBADRN CCc1c(C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514004816 1071781346 /nfs/dbraw/zinc/78/13/46/1071781346.db2.gz UETVCMSVGPWGCU-OAQYLSRUSA-N 0 0 442.476 -0.355 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cccc1S(N)(=O)=O ZINC000514004891 1071781299 /nfs/dbraw/zinc/78/12/99/1071781299.db2.gz WHTCROGQGGTLFO-UHFFFAOYSA-N 0 0 428.492 -0.082 20 0 IBADRN CCc1c(C(=O)N2CCN(c3nc(N)ns3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514007917 1071781408 /nfs/dbraw/zinc/78/14/08/1071781408.db2.gz VTXAGNFITPDUOS-UHFFFAOYSA-N 0 0 430.494 -0.409 20 0 IBADRN CCc1c(C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514007964 1071781373 /nfs/dbraw/zinc/78/13/73/1071781373.db2.gz WVDBISUVORGLTN-CYBMUJFWSA-N 0 0 431.493 -0.096 20 0 IBADRN CCc1c(C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514007965 1071781269 /nfs/dbraw/zinc/78/12/69/1071781269.db2.gz WVDBISUVORGLTN-ZDUSSCGKSA-N 0 0 431.493 -0.096 20 0 IBADRN CCc1c(C(=O)NCc2cccc(OCC(N)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514008751 1071780135 /nfs/dbraw/zinc/78/01/35/1071780135.db2.gz QLNFVYXSNJGBPK-UHFFFAOYSA-N 0 0 425.445 -0.011 20 0 IBADRN CCc1c(C(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514008754 1071780072 /nfs/dbraw/zinc/78/00/72/1071780072.db2.gz QMVBCSPUKGUWHQ-CFMSYZGJSA-N 0 0 428.449 -0.697 20 0 IBADRN CCc1c(C(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514008755 1071779964 /nfs/dbraw/zinc/77/99/64/1071779964.db2.gz QMVBCSPUKGUWHQ-FVINQWEUSA-N 0 0 428.449 -0.697 20 0 IBADRN CCc1c(C(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514008756 1071780110 /nfs/dbraw/zinc/78/01/10/1071780110.db2.gz QMVBCSPUKGUWHQ-SBKAZYGRSA-N 0 0 428.449 -0.697 20 0 IBADRN CCc1c(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514008757 1071780050 /nfs/dbraw/zinc/78/00/50/1071780050.db2.gz QMVBCSPUKGUWHQ-WVDJIFEKSA-N 0 0 428.449 -0.697 20 0 IBADRN CCc1c(C(=O)N2CCN(CCn3cncn3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514009307 1071780038 /nfs/dbraw/zinc/78/00/38/1071780038.db2.gz CNQMIIYKFURYOM-UHFFFAOYSA-N 0 0 426.481 -0.756 20 0 IBADRN CCc1c(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514009328 1071779920 /nfs/dbraw/zinc/77/99/20/1071779920.db2.gz CXPINXJUMDKIMX-UHFFFAOYSA-N 0 0 449.533 -0.119 20 0 IBADRN Cc1c(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cccc1S(N)(=O)=O ZINC000514011990 1071779976 /nfs/dbraw/zinc/77/99/76/1071779976.db2.gz WFGZJVNJUBAWTE-UHFFFAOYSA-N 0 0 441.535 -0.041 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000514019197 1071782381 /nfs/dbraw/zinc/78/23/81/1071782381.db2.gz BULNUOQAJUYORP-UHFFFAOYSA-N 0 0 429.587 -0.099 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000514019583 1071782329 /nfs/dbraw/zinc/78/23/29/1071782329.db2.gz GBCRNWBRVAOENY-UHFFFAOYSA-N 0 0 429.543 -0.866 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000514020903 1071782490 /nfs/dbraw/zinc/78/24/90/1071782490.db2.gz SXKDGXDIRMLUTL-INIZCTEOSA-N 0 0 429.587 -0.004 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000514020904 1071782468 /nfs/dbraw/zinc/78/24/68/1071782468.db2.gz SXKDGXDIRMLUTL-MRXNPFEDSA-N 0 0 429.587 -0.004 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000514021467 1071782550 /nfs/dbraw/zinc/78/25/50/1071782550.db2.gz ZYKVJCWTRKBDTJ-UHFFFAOYSA-N 0 0 445.567 -0.231 20 0 IBADRN COc1cccc(COCCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000514022160 1071782562 /nfs/dbraw/zinc/78/25/62/1071782562.db2.gz JKLOAWREKCMZHH-UHFFFAOYSA-N 0 0 434.493 -0.076 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000514027849 1071781329 /nfs/dbraw/zinc/78/13/29/1071781329.db2.gz XFLMQZGSYOWBLW-UHFFFAOYSA-N 0 0 449.555 -0.497 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000514029079 1071781921 /nfs/dbraw/zinc/78/19/21/1071781921.db2.gz NDHYUFFMHJOCBM-INIZCTEOSA-N 0 0 447.602 -0.474 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000514029080 1071781858 /nfs/dbraw/zinc/78/18/58/1071781858.db2.gz NDHYUFFMHJOCBM-MRXNPFEDSA-N 0 0 447.602 -0.474 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCC3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC000514029619 1071781869 /nfs/dbraw/zinc/78/18/69/1071781869.db2.gz UHFQTCWSZRZVQR-UHFFFAOYSA-N 0 0 442.542 -0.080 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000514032802 1071781930 /nfs/dbraw/zinc/78/19/30/1071781930.db2.gz LOFITPTYFXHPFE-ZIAGYGMSSA-N 0 0 441.462 -0.242 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000514033294 1071781912 /nfs/dbraw/zinc/78/19/12/1071781912.db2.gz RWKOTRYPXOEVOA-HNNXBMFYSA-N 0 0 440.588 -0.554 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000514033295 1071781936 /nfs/dbraw/zinc/78/19/36/1071781936.db2.gz RWKOTRYPXOEVOA-OAHLLOKOSA-N 0 0 440.588 -0.554 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)[C@H](C)NS(C)(=O)=O)C(=O)[C@H](C)NS(C)(=O)=O ZINC000514034987 1071781990 /nfs/dbraw/zinc/78/19/90/1071781990.db2.gz RVGJOSHYRDKPRE-IHRRRGAJSA-N 0 0 442.604 -0.759 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)[C@@H](C)NS(C)(=O)=O)C(=O)[C@@H](C)NS(C)(=O)=O ZINC000514034988 1071781802 /nfs/dbraw/zinc/78/18/02/1071781802.db2.gz RVGJOSHYRDKPRE-MCIONIFRSA-N 0 0 442.604 -0.759 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)[C@H](C)NS(C)(=O)=O)C(=O)[C@@H](C)NS(C)(=O)=O ZINC000514034989 1071781955 /nfs/dbraw/zinc/78/19/55/1071781955.db2.gz RVGJOSHYRDKPRE-MJBXVCDLSA-N 0 0 442.604 -0.759 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)[C@@H](C)NS(C)(=O)=O)C(=O)[C@H](C)NS(C)(=O)=O ZINC000514034990 1071781836 /nfs/dbraw/zinc/78/18/36/1071781836.db2.gz RVGJOSHYRDKPRE-RDBSUJKOSA-N 0 0 442.604 -0.759 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)CN(C)S(C)(=O)=O)C(=O)CN(C)S(C)(=O)=O ZINC000514041076 1071782419 /nfs/dbraw/zinc/78/24/19/1071782419.db2.gz JVQDGGOMVDXJIO-AWEZNQCLSA-N 0 0 442.604 -0.851 20 0 IBADRN CCN(C[C@@H](CC(C)C)NC(=O)CN(C)S(C)(=O)=O)C(=O)CN(C)S(C)(=O)=O ZINC000514041077 1071782928 /nfs/dbraw/zinc/78/29/28/1071782928.db2.gz JVQDGGOMVDXJIO-CQSZACIVSA-N 0 0 442.604 -0.851 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1C(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O ZINC000514041448 1071782539 /nfs/dbraw/zinc/78/25/39/1071782539.db2.gz PJGCNLPDVTZVPP-GHMZBOCLSA-N 0 0 435.437 -0.219 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000514044577 1071783051 /nfs/dbraw/zinc/78/30/51/1071783051.db2.gz MMWJIGVDRCWXRI-UHFFFAOYSA-N 0 0 447.535 -0.380 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cccc(S(N)(=O)=O)c3C)CC2)cn1C ZINC000514044911 1071783119 /nfs/dbraw/zinc/78/31/19/1071783119.db2.gz RSSSIMANHLVLLJ-UHFFFAOYSA-N 0 0 441.535 -0.169 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NC1CCC(NC(=O)CN(C)S(=O)(=O)CC)CC1 ZINC000514048166 1071783029 /nfs/dbraw/zinc/78/30/29/1071783029.db2.gz URJLMQPCBOKKHD-UHFFFAOYSA-N 0 0 440.588 -0.907 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000514049516 1071782977 /nfs/dbraw/zinc/78/29/77/1071782977.db2.gz QBTVJHIRFJUICU-UHFFFAOYSA-N 0 0 437.565 -0.124 20 0 IBADRN CCc1c(C(=O)N2CCC(C(=O)NCCCO)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514050192 1071783725 /nfs/dbraw/zinc/78/37/25/1071783725.db2.gz AJAKFRWINBMZDF-UHFFFAOYSA-N 0 0 431.493 -0.455 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000514051344 1071783647 /nfs/dbraw/zinc/78/36/47/1071783647.db2.gz ZYQWTACHKMQTRX-GFCCVEGCSA-N 0 0 446.551 -0.760 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000514051345 1071783666 /nfs/dbraw/zinc/78/36/66/1071783666.db2.gz ZYQWTACHKMQTRX-LBPRGKRZSA-N 0 0 446.551 -0.760 20 0 IBADRN CCN(C[C@@H](CC(C)C)NC(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)c1cn(C)c(=O)[nH]c1=O ZINC000514053098 1071783639 /nfs/dbraw/zinc/78/36/39/1071783639.db2.gz MZROCSOWOFWOCA-GFCCVEGCSA-N 0 0 448.480 -0.408 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)c1cn(C)c(=O)[nH]c1=O ZINC000514053099 1071783621 /nfs/dbraw/zinc/78/36/21/1071783621.db2.gz MZROCSOWOFWOCA-LBPRGKRZSA-N 0 0 448.480 -0.408 20 0 IBADRN CCc1c(C(=O)NC2CCN(C(=O)CCOC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514054567 1071783670 /nfs/dbraw/zinc/78/36/70/1071783670.db2.gz RIKZYMCZCROAOC-UHFFFAOYSA-N 0 0 431.493 -0.048 20 0 IBADRN CCc1c(C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514054819 1071783656 /nfs/dbraw/zinc/78/36/56/1071783656.db2.gz WMSCMFCHJOEEDQ-GFCCVEGCSA-N 0 0 437.522 -0.263 20 0 IBADRN CCc1c(C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514054820 1071783701 /nfs/dbraw/zinc/78/37/01/1071783701.db2.gz WMSCMFCHJOEEDQ-LBPRGKRZSA-N 0 0 437.522 -0.263 20 0 IBADRN CCc1c(C(=O)N2CCN(CCC(=O)N(C)C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514054937 1071783745 /nfs/dbraw/zinc/78/37/45/1071783745.db2.gz YMWMQPBUHSSBFS-UHFFFAOYSA-N 0 0 430.509 -0.569 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H](C(=O)OC)[C@H]2C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514057209 1071783587 /nfs/dbraw/zinc/78/35/87/1071783587.db2.gz UUOMPAKFPOCTCC-DOMZBBRYSA-N 0 0 446.460 -0.239 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H](C(=O)OC)[C@@H]2C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514057210 1071783576 /nfs/dbraw/zinc/78/35/76/1071783576.db2.gz UUOMPAKFPOCTCC-IUODEOHRSA-N 0 0 446.460 -0.239 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H](C(=O)OC)[C@@H]2C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514057211 1071784208 /nfs/dbraw/zinc/78/42/08/1071784208.db2.gz UUOMPAKFPOCTCC-SWLSCSKDSA-N 0 0 446.460 -0.239 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H](C(=O)OC)[C@H]2C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514057212 1071784286 /nfs/dbraw/zinc/78/42/86/1071784286.db2.gz UUOMPAKFPOCTCC-WFASDCNBSA-N 0 0 446.460 -0.239 20 0 IBADRN CCc1c(C(=O)NCCNS(=O)(=O)c2cccnc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514058352 1071784199 /nfs/dbraw/zinc/78/41/99/1071784199.db2.gz UGGVNIMTKXYZPC-UHFFFAOYSA-N 0 0 446.489 -0.702 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)Cn1ccc(=O)[nH]c1=O)C(=O)Cn1ccc(=O)[nH]c1=O ZINC000514061955 1071784218 /nfs/dbraw/zinc/78/42/18/1071784218.db2.gz QVTPMABCEAHDET-AWEZNQCLSA-N 0 0 448.480 -0.709 20 0 IBADRN CCN(C[C@@H](CC(C)C)NC(=O)Cn1ccc(=O)[nH]c1=O)C(=O)Cn1ccc(=O)[nH]c1=O ZINC000514061956 1071784296 /nfs/dbraw/zinc/78/42/96/1071784296.db2.gz QVTPMABCEAHDET-CQSZACIVSA-N 0 0 448.480 -0.709 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000514067154 1071784164 /nfs/dbraw/zinc/78/41/64/1071784164.db2.gz IAZZAKXPDKRWSB-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN CN(CC(=O)NCCCN1CCN(c2ncccn2)CC1)S(=O)(=O)c1cccnc1 ZINC000514068847 1071784358 /nfs/dbraw/zinc/78/43/58/1071784358.db2.gz QMCCURVGYDKGKP-UHFFFAOYSA-N 0 0 433.538 -0.179 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CN(CCO)c2c(N)n(Cc3ccccc3)c(=O)[nH]c2=O)C1 ZINC000514077849 1071784190 /nfs/dbraw/zinc/78/41/90/1071784190.db2.gz AJYYITKNEGLFLD-HNNXBMFYSA-N 0 0 437.522 -0.340 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CN(CCO)c2c(N)n(Cc3ccccc3)c(=O)[nH]c2=O)C1 ZINC000514077850 1071784274 /nfs/dbraw/zinc/78/42/74/1071784274.db2.gz AJYYITKNEGLFLD-OAHLLOKOSA-N 0 0 437.522 -0.340 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CCN3C(=O)c4ccccc4C3=O)CC2)o1 ZINC000514078534 1071784910 /nfs/dbraw/zinc/78/49/10/1071784910.db2.gz NHLIYSRXCGPDQB-UHFFFAOYSA-N 0 0 432.458 -0.019 20 0 IBADRN COc1ccc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)nn1 ZINC000514078900 1071784845 /nfs/dbraw/zinc/78/48/45/1071784845.db2.gz UMQGQFIZKKBMSQ-UHFFFAOYSA-N 0 0 427.508 -0.361 20 0 IBADRN CN1CCN(C(=O)CCN(CCO)c2c(N)n(Cc3ccccc3)c(=O)[nH]c2=O)CC1 ZINC000514079161 1071784805 /nfs/dbraw/zinc/78/48/05/1071784805.db2.gz YXZGRFCLIFYTSO-UHFFFAOYSA-N 0 0 430.509 -0.458 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)c3cn(C)c(=O)[nH]c3=O)CC2)c1 ZINC000514080402 1071784699 /nfs/dbraw/zinc/78/46/99/1071784699.db2.gz RIBDOVCUVGYCCH-UHFFFAOYSA-N 0 0 442.475 -0.519 20 0 IBADRN Cc1cc2c(cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)OCO2 ZINC000514081291 1071784715 /nfs/dbraw/zinc/78/47/15/1071784715.db2.gz BJPFSCCLLJBVLU-UHFFFAOYSA-N 0 0 440.478 -0.617 20 0 IBADRN COC(=O)c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514086116 1071784855 /nfs/dbraw/zinc/78/48/55/1071784855.db2.gz ZNZDBURXGOZRHY-UHFFFAOYSA-N 0 0 440.478 -0.867 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1OC ZINC000514088300 1071784763 /nfs/dbraw/zinc/78/47/63/1071784763.db2.gz GLOCEUVQODBLNG-UHFFFAOYSA-N 0 0 425.507 -0.281 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3noc4c3CCCC4)CC2)CC1 ZINC000514106063 1071785512 /nfs/dbraw/zinc/78/55/12/1071785512.db2.gz VOVSBSVMSQZBTF-UHFFFAOYSA-N 0 0 426.543 -0.127 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)(C(=O)OC)CC1 ZINC000514109682 1071785487 /nfs/dbraw/zinc/78/54/87/1071785487.db2.gz SQSCHYAKOUZSPA-LNWMTBOKSA-N 0 0 426.466 -0.216 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCc3cnnn3C)CC2)c1 ZINC000514120115 1071787402 /nfs/dbraw/zinc/78/74/02/1071787402.db2.gz FFXIJSOPBDSBBG-UHFFFAOYSA-N 0 0 428.496 -0.121 20 0 IBADRN Cn1nncc1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000514120491 1071787200 /nfs/dbraw/zinc/78/72/00/1071787200.db2.gz KATUASJBXZUIPK-UHFFFAOYSA-N 0 0 435.466 -0.638 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000514131135 1071786784 /nfs/dbraw/zinc/78/67/84/1071786784.db2.gz UCPBNEVQLSQUOI-UHFFFAOYSA-N 0 0 434.518 -0.154 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000514131218 1071786697 /nfs/dbraw/zinc/78/66/97/1071786697.db2.gz VDUPVYVXVQKMDC-GFCCVEGCSA-N 0 0 435.572 -0.508 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000514131219 1071786573 /nfs/dbraw/zinc/78/65/73/1071786573.db2.gz VDUPVYVXVQKMDC-LBPRGKRZSA-N 0 0 435.572 -0.508 20 0 IBADRN CN(C)C(=O)CCCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000514134271 1071788422 /nfs/dbraw/zinc/78/84/22/1071788422.db2.gz KBXXLLNPWLPJJW-UHFFFAOYSA-N 0 0 426.543 -0.299 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000514134694 1071787133 /nfs/dbraw/zinc/78/71/33/1071787133.db2.gz PVAUQDUOGDNJEG-UHFFFAOYSA-N 0 0 434.540 -0.563 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000514135282 1071788479 /nfs/dbraw/zinc/78/84/79/1071788479.db2.gz YKDMWXWDPGESBQ-UHFFFAOYSA-N 0 0 445.563 -0.050 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)CNS(=O)(=O)CCOC(C)C)C2)no1 ZINC000514138312 1073371049 /nfs/dbraw/zinc/37/10/49/1073371049.db2.gz NEXZXNYVLGVXSX-HNNXBMFYSA-N 0 0 445.542 -0.074 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)CNS(=O)(=O)CCOC(C)C)C2)no1 ZINC000514138313 1073370881 /nfs/dbraw/zinc/37/08/81/1073370881.db2.gz NEXZXNYVLGVXSX-OAHLLOKOSA-N 0 0 445.542 -0.074 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccncc2OC[C@H]2CCOC2)CC1 ZINC000514140194 1071789047 /nfs/dbraw/zinc/78/90/47/1071789047.db2.gz MMHFMJOTEDOEPO-HNNXBMFYSA-N 0 0 430.469 -0.463 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccncc2OC[C@@H]2CCOC2)CC1 ZINC000514140195 1071788880 /nfs/dbraw/zinc/78/88/80/1071788880.db2.gz MMHFMJOTEDOEPO-OAHLLOKOSA-N 0 0 430.469 -0.463 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000514147203 1072870889 /nfs/dbraw/zinc/87/08/89/1072870889.db2.gz ISSCQAXAPNETND-IBGZPJMESA-N 0 0 432.521 -0.637 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000514147204 1071788010 /nfs/dbraw/zinc/78/80/10/1071788010.db2.gz ISSCQAXAPNETND-LJQANCHMSA-N 0 0 432.521 -0.637 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cn2)CCO1 ZINC000514155384 1071791257 /nfs/dbraw/zinc/79/12/57/1071791257.db2.gz GUXBXGSHCLLQHK-CYBMUJFWSA-N 0 0 427.465 -0.630 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cn2)CCO1 ZINC000514155385 1071791411 /nfs/dbraw/zinc/79/14/11/1071791411.db2.gz GUXBXGSHCLLQHK-ZDUSSCGKSA-N 0 0 427.465 -0.630 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)CNS(=O)(=O)CCCOC)C2)no1 ZINC000514161898 1073370905 /nfs/dbraw/zinc/37/09/05/1073370905.db2.gz GQPLYYFDXLITFV-AWEZNQCLSA-N 0 0 431.515 -0.463 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)CNS(=O)(=O)CCCOC)C2)no1 ZINC000514161899 1073370917 /nfs/dbraw/zinc/37/09/17/1073370917.db2.gz GQPLYYFDXLITFV-CQSZACIVSA-N 0 0 431.515 -0.463 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cn2)C[C@H](C)O1 ZINC000514170251 1071791884 /nfs/dbraw/zinc/79/18/84/1071791884.db2.gz PIQNETKRGBGCKC-KBPBESRZSA-N 0 0 441.492 -0.241 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cn2)C[C@H](C)O1 ZINC000514170252 1071791932 /nfs/dbraw/zinc/79/19/32/1071791932.db2.gz PIQNETKRGBGCKC-OKILXGFUSA-N 0 0 441.492 -0.241 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cn2)C[C@@H](C)O1 ZINC000514170253 1071791967 /nfs/dbraw/zinc/79/19/67/1071791967.db2.gz PIQNETKRGBGCKC-ZIAGYGMSSA-N 0 0 441.492 -0.241 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000514173961 1071791891 /nfs/dbraw/zinc/79/18/91/1071791891.db2.gz IHKAWSLSUZOZCN-IBGZPJMESA-N 0 0 432.521 -0.637 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000514173962 1071791872 /nfs/dbraw/zinc/79/18/72/1071791872.db2.gz IHKAWSLSUZOZCN-LJQANCHMSA-N 0 0 432.521 -0.637 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)cc1OC ZINC000514178684 1071792720 /nfs/dbraw/zinc/79/27/20/1071792720.db2.gz ARPDYSQHISOLST-QGZVFWFLSA-N 0 0 446.504 -0.188 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@@H](N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000514181863 1071791986 /nfs/dbraw/zinc/79/19/86/1071791986.db2.gz FXRAJJJCGYSNHB-HXUWFJFHSA-N 0 0 444.532 -0.158 20 0 IBADRN CC(=O)Nc1nc(CS(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)cs1 ZINC000514185268 1071791941 /nfs/dbraw/zinc/79/19/41/1071791941.db2.gz GPBNWGRWIKKNRR-UHFFFAOYSA-N 0 0 440.507 -0.146 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC[C@H](CNC(=O)c3ccco3)C1)c(=O)n2C ZINC000514190821 1071792359 /nfs/dbraw/zinc/79/23/59/1071792359.db2.gz SZUQBOBCMSTAPW-CYBMUJFWSA-N 0 0 428.449 -0.305 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC[C@@H](CNC(=O)c3ccco3)C1)c(=O)n2C ZINC000514190826 1071792731 /nfs/dbraw/zinc/79/27/31/1071792731.db2.gz SZUQBOBCMSTAPW-ZDUSSCGKSA-N 0 0 428.449 -0.305 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1C ZINC000514192024 1071792637 /nfs/dbraw/zinc/79/26/37/1071792637.db2.gz FTHIXPCJKTVJCB-HLLBOEOZSA-N 0 0 425.507 -0.158 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1C ZINC000514192025 1071792532 /nfs/dbraw/zinc/79/25/32/1071792532.db2.gz FTHIXPCJKTVJCB-UXLLHSPISA-N 0 0 425.507 -0.158 20 0 IBADRN COc1cccc(F)c1[C@@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000514195823 1071792584 /nfs/dbraw/zinc/79/25/84/1071792584.db2.gz TYDNSYQLFBINST-GFCCVEGCSA-N 0 0 448.455 -0.500 20 0 IBADRN COc1cccc(F)c1[C@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000514195824 1071792380 /nfs/dbraw/zinc/79/23/80/1071792380.db2.gz TYDNSYQLFBINST-LBPRGKRZSA-N 0 0 448.455 -0.500 20 0 IBADRN COc1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1-n1cnnn1 ZINC000514200396 1071792516 /nfs/dbraw/zinc/79/25/16/1071792516.db2.gz UVGQNOOAKUAMFX-UHFFFAOYSA-N 0 0 437.464 -0.070 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)c(OC)c1 ZINC000514202114 1071792485 /nfs/dbraw/zinc/79/24/85/1071792485.db2.gz SDRXPTYEFWYNSG-GOSISDBHSA-N 0 0 446.504 -0.188 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@@H]3COC[C@H](C2)O3)c1OC ZINC000514206669 1071793261 /nfs/dbraw/zinc/79/32/61/1071793261.db2.gz SBSTWOLJFZVGFL-OKILXGFUSA-N 0 0 442.490 -0.036 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1-n1cnnn1 ZINC000514209281 1071792462 /nfs/dbraw/zinc/79/24/62/1071792462.db2.gz SUKCWRBYUAEMLS-UHFFFAOYSA-N 0 0 433.450 -0.053 20 0 IBADRN COc1ccc(CC(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1S(=O)(=O)N1CCOCC1 ZINC000514215463 1071793247 /nfs/dbraw/zinc/79/32/47/1071793247.db2.gz UJMBUSANUOBYSN-IYBDPMFKSA-N 0 0 426.491 -0.115 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCc3nc(COC)nn3C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514222134 1071793151 /nfs/dbraw/zinc/79/31/51/1071793151.db2.gz MMCMQWNCHBIUFL-LLVKDONJSA-N 0 0 427.465 -0.323 20 0 IBADRN CCc1c(C(=O)N[C@H]2CCc3nc(COC)nn3C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514222135 1071793203 /nfs/dbraw/zinc/79/32/03/1071793203.db2.gz MMCMQWNCHBIUFL-NSHDSACASA-N 0 0 427.465 -0.323 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@H](CNC(=O)c4ccco4)C3)c2c(=O)n(C)c1=O ZINC000514222290 1071793219 /nfs/dbraw/zinc/79/32/19/1071793219.db2.gz NCBKISVJWVRNOW-CYBMUJFWSA-N 0 0 428.449 -0.305 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@@H](CNC(=O)c4ccco4)C3)c2c(=O)n(C)c1=O ZINC000514222291 1071793034 /nfs/dbraw/zinc/79/30/34/1071793034.db2.gz NCBKISVJWVRNOW-ZDUSSCGKSA-N 0 0 428.449 -0.305 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCO[C@H](C(=O)C3CC3)C2)CC1 ZINC000514222669 1071793012 /nfs/dbraw/zinc/79/30/12/1071793012.db2.gz PQMVISOTSSCKGC-INIZCTEOSA-N 0 0 440.522 -0.196 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCO[C@@H](C(=O)C3CC3)C2)CC1 ZINC000514222670 1071793127 /nfs/dbraw/zinc/79/31/27/1071793127.db2.gz PQMVISOTSSCKGC-MRXNPFEDSA-N 0 0 440.522 -0.196 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000514223275 1071793139 /nfs/dbraw/zinc/79/31/39/1071793139.db2.gz PNLOTMQSXIQQHI-HNNXBMFYSA-N 0 0 431.497 -0.030 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000514223279 1071792984 /nfs/dbraw/zinc/79/29/84/1071792984.db2.gz PNLOTMQSXIQQHI-OAHLLOKOSA-N 0 0 431.497 -0.030 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1NCC1CCN(S(C)(=O)=O)CC1 ZINC000514224866 1071793114 /nfs/dbraw/zinc/79/31/14/1071793114.db2.gz IMYYPVWCGYIJEL-UHFFFAOYSA-N 0 0 425.554 -0.179 20 0 IBADRN CCc1c(C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514226084 1071793183 /nfs/dbraw/zinc/79/31/83/1071793183.db2.gz QRSLDXANXIEJNJ-BXUZGUMPSA-N 0 0 437.522 -0.358 20 0 IBADRN CCc1c(C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514226085 1071793886 /nfs/dbraw/zinc/79/38/86/1071793886.db2.gz QRSLDXANXIEJNJ-FZMZJTMJSA-N 0 0 437.522 -0.358 20 0 IBADRN CCc1c(C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514226086 1071793991 /nfs/dbraw/zinc/79/39/91/1071793991.db2.gz QRSLDXANXIEJNJ-RISCZKNCSA-N 0 0 437.522 -0.358 20 0 IBADRN CCc1c(C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514226087 1071793623 /nfs/dbraw/zinc/79/36/23/1071793623.db2.gz QRSLDXANXIEJNJ-SMDDNHRTSA-N 0 0 437.522 -0.358 20 0 IBADRN NC(=O)c1ccc(N2CCN(CCN3CCN(c4ccc(C(N)=O)cn4)CC3)CC2)nc1 ZINC000514227860 1071793761 /nfs/dbraw/zinc/79/37/61/1071793761.db2.gz WIFKQYQDTVJNRL-UHFFFAOYSA-N 0 0 438.536 -0.381 20 0 IBADRN NC(=O)c1cccnc1N1CCN(CCN2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000514230774 1071793631 /nfs/dbraw/zinc/79/36/31/1071793631.db2.gz NHLKKAHPLAGQGZ-UHFFFAOYSA-N 0 0 438.536 -0.381 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000514232590 1071793692 /nfs/dbraw/zinc/79/36/92/1071793692.db2.gz NNBGSTOPQKPTMU-INIZCTEOSA-N 0 0 428.489 -0.078 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000514232591 1071793958 /nfs/dbraw/zinc/79/39/58/1071793958.db2.gz NNBGSTOPQKPTMU-MRXNPFEDSA-N 0 0 428.489 -0.078 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(CC(=O)NCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000514240722 1071794427 /nfs/dbraw/zinc/79/44/27/1071794427.db2.gz FIOYECARSSTDKN-DLBZAZTESA-N 0 0 432.587 -0.819 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(CC(=O)NCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000514240723 1071794456 /nfs/dbraw/zinc/79/44/56/1071794456.db2.gz FIOYECARSSTDKN-IAGOWNOFSA-N 0 0 432.587 -0.819 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(CC(=O)NCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000514240724 1071794463 /nfs/dbraw/zinc/79/44/63/1071794463.db2.gz FIOYECARSSTDKN-IRXDYDNUSA-N 0 0 432.587 -0.819 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(CC(=O)NCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000514240725 1071794285 /nfs/dbraw/zinc/79/42/85/1071794285.db2.gz FIOYECARSSTDKN-SJORKVTESA-N 0 0 432.587 -0.819 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)NCc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000514243161 1071794443 /nfs/dbraw/zinc/79/44/43/1071794443.db2.gz XXWVCICEWFZMJA-UHFFFAOYSA-N 0 0 445.564 -0.081 20 0 IBADRN Cc1csc([C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C2CC2)n1 ZINC000514245901 1071794327 /nfs/dbraw/zinc/79/43/27/1071794327.db2.gz DYZKZPYTGJTISW-HNNXBMFYSA-N 0 0 447.521 -0.492 20 0 IBADRN Cc1csc([C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C2CC2)n1 ZINC000514245902 1071794398 /nfs/dbraw/zinc/79/43/98/1071794398.db2.gz DYZKZPYTGJTISW-OAHLLOKOSA-N 0 0 447.521 -0.492 20 0 IBADRN O=C(CNC(=O)c1cccc(Br)c1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000514245992 1071795152 /nfs/dbraw/zinc/79/51/52/1071795152.db2.gz FZMUQXUODPFXTO-UHFFFAOYSA-N 0 0 436.270 -0.464 20 0 IBADRN Cc1csc([C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C2CC2)n1 ZINC000514250491 1071794357 /nfs/dbraw/zinc/79/43/57/1071794357.db2.gz VCILZOJRWPHEBY-HNNXBMFYSA-N 0 0 449.533 -0.452 20 0 IBADRN Cc1csc([C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C2CC2)n1 ZINC000514250492 1071794370 /nfs/dbraw/zinc/79/43/70/1071794370.db2.gz VCILZOJRWPHEBY-OAHLLOKOSA-N 0 0 449.533 -0.452 20 0 IBADRN Cn1c(C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000514302124 1071797366 /nfs/dbraw/zinc/79/73/66/1071797366.db2.gz XPAHFRXOXNRPMX-UHFFFAOYSA-N 0 0 437.522 -0.394 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000514302145 1071797418 /nfs/dbraw/zinc/79/74/18/1071797418.db2.gz YZJIBRWYXAFUMM-UHFFFAOYSA-N 0 0 444.492 -0.253 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cn3ccsc3n2)C1 ZINC000514302575 1071795583 /nfs/dbraw/zinc/79/55/83/1071795583.db2.gz BEYRKGCCCIGKAJ-AWEZNQCLSA-N 0 0 443.551 -0.089 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cn3ccsc3n2)C1 ZINC000514302578 1071795672 /nfs/dbraw/zinc/79/56/72/1071795672.db2.gz BEYRKGCCCIGKAJ-CQSZACIVSA-N 0 0 443.551 -0.089 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)cn1 ZINC000514302931 1071795541 /nfs/dbraw/zinc/79/55/41/1071795541.db2.gz FQRPBOPZFYKMHZ-AOIWGVFYSA-N 0 0 434.541 -0.188 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)cn1 ZINC000514302937 1071795627 /nfs/dbraw/zinc/79/56/27/1071795627.db2.gz FQRPBOPZFYKMHZ-LMMKCTJWSA-N 0 0 434.541 -0.188 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000514303153 1071795461 /nfs/dbraw/zinc/79/54/61/1071795461.db2.gz FFSAXNRUIAMEOO-CYBMUJFWSA-N 0 0 429.399 -0.186 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000514303160 1071795603 /nfs/dbraw/zinc/79/56/03/1071795603.db2.gz FFSAXNRUIAMEOO-ZDUSSCGKSA-N 0 0 429.399 -0.186 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000514303305 1071796292 /nfs/dbraw/zinc/79/62/92/1071796292.db2.gz HMUKFSYAMWKCQF-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN O=C(NC[C@@H](c1ccco1)N1CCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000514303334 1071796156 /nfs/dbraw/zinc/79/61/56/1071796156.db2.gz HCZSMOQLABSUTR-KRWDZBQOSA-N 0 0 445.520 -0.174 20 0 IBADRN O=C(NC[C@H](c1ccco1)N1CCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000514303335 1071796097 /nfs/dbraw/zinc/79/60/97/1071796097.db2.gz HCZSMOQLABSUTR-QGZVFWFLSA-N 0 0 445.520 -0.174 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CCO1 ZINC000514304081 1071795475 /nfs/dbraw/zinc/79/54/75/1071795475.db2.gz MNBUDXRMUNEBIV-UHFFFAOYSA-N 0 0 433.557 -0.668 20 0 IBADRN COc1cc2c(cc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1)O[C@@H](C)C2 ZINC000514304539 1071796228 /nfs/dbraw/zinc/79/62/28/1071796228.db2.gz QGLRFAOMRSJMJH-AWEZNQCLSA-N 0 0 446.504 -0.170 20 0 IBADRN COc1cc2c(cc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1)O[C@H](C)C2 ZINC000514304540 1071796274 /nfs/dbraw/zinc/79/62/74/1071796274.db2.gz QGLRFAOMRSJMJH-CQSZACIVSA-N 0 0 446.504 -0.170 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)s1 ZINC000514304972 1071796311 /nfs/dbraw/zinc/79/63/11/1071796311.db2.gz WLTWEFCNKCGNNI-UHFFFAOYSA-N 0 0 436.567 -0.005 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000514305146 1071796347 /nfs/dbraw/zinc/79/63/47/1071796347.db2.gz YZAAZQYPCXHCNX-GOSISDBHSA-N 0 0 433.557 -0.716 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000514305149 1071796280 /nfs/dbraw/zinc/79/62/80/1071796280.db2.gz YZAAZQYPCXHCNX-SFHVURJKSA-N 0 0 433.557 -0.716 20 0 IBADRN O=C(CN1CCC(OCCO)CC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000514305293 1071796185 /nfs/dbraw/zinc/79/61/85/1071796185.db2.gz ZLRFWLKMEQEZFN-UHFFFAOYSA-N 0 0 441.550 -0.203 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)C(=O)N1CCN(c2cnccn2)CC1 ZINC000514306883 1071796359 /nfs/dbraw/zinc/79/63/59/1071796359.db2.gz GCFGIJPNTGPZRR-UHFFFAOYSA-N 0 0 429.525 -0.814 20 0 IBADRN O=C(CNC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1)N1CCOCC1 ZINC000514307150 1071796303 /nfs/dbraw/zinc/79/63/03/1071796303.db2.gz HPXDEUJAOLVXNJ-UHFFFAOYSA-N 0 0 432.449 -0.161 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)n1 ZINC000514307653 1071796932 /nfs/dbraw/zinc/79/69/32/1071796932.db2.gz QBLIXEIBGJVBSG-UHFFFAOYSA-N 0 0 445.568 -0.001 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)N2CCCN(Cc3cscn3)CC2)C1 ZINC000514307844 1071796914 /nfs/dbraw/zinc/79/69/14/1071796914.db2.gz QERKNMNNBJAKQN-AWEZNQCLSA-N 0 0 429.568 -0.282 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCCN(Cc3cscn3)CC2)C1 ZINC000514307845 1071796927 /nfs/dbraw/zinc/79/69/27/1071796927.db2.gz QERKNMNNBJAKQN-CQSZACIVSA-N 0 0 429.568 -0.282 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000514307853 1071796909 /nfs/dbraw/zinc/79/69/09/1071796909.db2.gz QMQZOVBHEXFMNR-GFCCVEGCSA-N 0 0 428.415 -0.418 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000514307856 1071796902 /nfs/dbraw/zinc/79/69/02/1071796902.db2.gz QMQZOVBHEXFMNR-LBPRGKRZSA-N 0 0 428.415 -0.418 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2COCC(=O)N2Cc2ccccc2)CC1)N1CCCC1 ZINC000514308650 1071796889 /nfs/dbraw/zinc/79/68/89/1071796889.db2.gz ZWMLAHHDVMNDBC-GOSISDBHSA-N 0 0 428.489 -0.293 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2COCC(=O)N2Cc2ccccc2)CC1)N1CCCC1 ZINC000514308654 1071796937 /nfs/dbraw/zinc/79/69/37/1071796937.db2.gz ZWMLAHHDVMNDBC-SFHVURJKSA-N 0 0 428.489 -0.293 20 0 IBADRN C[C@H]1CN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCN1S(C)(=O)=O ZINC000514309105 1071796918 /nfs/dbraw/zinc/79/69/18/1071796918.db2.gz ZIWVSEDRRKVWGF-INIZCTEOSA-N 0 0 438.554 -0.204 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1 ZINC000514309126 1071796869 /nfs/dbraw/zinc/79/68/69/1071796869.db2.gz ZZSJEEGTWUBHNK-INMHGKMJSA-N 0 0 441.558 -0.207 20 0 IBADRN COc1ccccc1NC(=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514311170 1071797392 /nfs/dbraw/zinc/79/73/92/1071797392.db2.gz LFIJAICWLQWXPW-UHFFFAOYSA-N 0 0 426.495 -0.209 20 0 IBADRN O=C(NCCc1nc2c(s1)CCCC2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000514311407 1071797305 /nfs/dbraw/zinc/79/73/05/1071797305.db2.gz WZSULXCHOOKOSR-UHFFFAOYSA-N 0 0 447.561 -0.026 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(OC)c1 ZINC000514311950 1071797345 /nfs/dbraw/zinc/79/73/45/1071797345.db2.gz OLTQCCCWUUSCEY-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN O=S(=O)(NC[C@H]1COCCO1)c1ccc(S(=O)(=O)NC[C@H]2COCCO2)cc1 ZINC000514312157 1071797480 /nfs/dbraw/zinc/79/74/80/1071797480.db2.gz CKFAUPJVECYVFJ-KBPBESRZSA-N 0 0 436.508 -0.926 20 0 IBADRN O=S(=O)(NC[C@@H]1COCCO1)c1ccc(S(=O)(=O)NC[C@H]2COCCO2)cc1 ZINC000514312158 1071797423 /nfs/dbraw/zinc/79/74/23/1071797423.db2.gz CKFAUPJVECYVFJ-OKILXGFUSA-N 0 0 436.508 -0.926 20 0 IBADRN O=S(=O)(NC[C@@H]1COCCO1)c1ccc(S(=O)(=O)NC[C@@H]2COCCO2)cc1 ZINC000514312159 1071797471 /nfs/dbraw/zinc/79/74/71/1071797471.db2.gz CKFAUPJVECYVFJ-ZIAGYGMSSA-N 0 0 436.508 -0.926 20 0 IBADRN Cn1cc(C[C@@H]2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2)cn1 ZINC000514312267 1071797377 /nfs/dbraw/zinc/79/73/77/1071797377.db2.gz JPYMPNPNVCKHGR-HNNXBMFYSA-N 0 0 429.481 -0.495 20 0 IBADRN Cn1cc(C[C@H]2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2)cn1 ZINC000514312268 1071797476 /nfs/dbraw/zinc/79/74/76/1071797476.db2.gz JPYMPNPNVCKHGR-OAHLLOKOSA-N 0 0 429.481 -0.495 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cnn3c2C[C@@H](CNC(C)=O)CC3)C1)C(N)=O ZINC000514312569 1071798992 /nfs/dbraw/zinc/79/89/92/1071798992.db2.gz UMJOHBATKWQITF-AWEZNQCLSA-N 0 0 432.525 -0.186 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cnn3c2C[C@H](CNC(C)=O)CC3)C1)C(N)=O ZINC000514312570 1071799055 /nfs/dbraw/zinc/79/90/55/1071799055.db2.gz UMJOHBATKWQITF-CQSZACIVSA-N 0 0 432.525 -0.186 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)C[C@H]1N1CCOCC1 ZINC000514313298 1071802353 /nfs/dbraw/zinc/80/23/53/1071802353.db2.gz KWPPYJJVJHSDHJ-DLBZAZTESA-N 0 0 444.598 -0.076 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)C[C@H]1N1CCOCC1 ZINC000514313299 1071799668 /nfs/dbraw/zinc/79/96/68/1071799668.db2.gz KWPPYJJVJHSDHJ-IAGOWNOFSA-N 0 0 444.598 -0.076 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)C[C@@H]1N1CCOCC1 ZINC000514313300 1071799431 /nfs/dbraw/zinc/79/94/31/1071799431.db2.gz KWPPYJJVJHSDHJ-IRXDYDNUSA-N 0 0 444.598 -0.076 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)C[C@@H]1N1CCOCC1 ZINC000514313301 1071799411 /nfs/dbraw/zinc/79/94/11/1071799411.db2.gz KWPPYJJVJHSDHJ-SJORKVTESA-N 0 0 444.598 -0.076 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000514314067 1071799454 /nfs/dbraw/zinc/79/94/54/1071799454.db2.gz XQWVRNBWZXKBQG-UHFFFAOYSA-N 0 0 433.509 -0.865 20 0 IBADRN CC(C)C[C@@H](CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1CCOCC1 ZINC000514314145 1071799709 /nfs/dbraw/zinc/79/97/09/1071799709.db2.gz ZWCUTUHKJLSULQ-KRWDZBQOSA-N 0 0 437.541 -0.264 20 0 IBADRN CC(C)C[C@H](CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1CCOCC1 ZINC000514314146 1071799542 /nfs/dbraw/zinc/79/95/42/1071799542.db2.gz ZWCUTUHKJLSULQ-QGZVFWFLSA-N 0 0 437.541 -0.264 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC2CCN(Cc3ccc(F)c(F)c3)CC2)C1 ZINC000514314230 1071798955 /nfs/dbraw/zinc/79/89/55/1071798955.db2.gz NDHPOBPFLKSQIU-AWEZNQCLSA-N 0 0 430.477 -0.065 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC2CCN(Cc3ccc(F)c(F)c3)CC2)C1 ZINC000514314231 1071798899 /nfs/dbraw/zinc/79/88/99/1071798899.db2.gz NDHPOBPFLKSQIU-CQSZACIVSA-N 0 0 430.477 -0.065 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000514314604 1071799759 /nfs/dbraw/zinc/79/97/59/1071799759.db2.gz ZPCXTKJOKBJXFD-AWEZNQCLSA-N 0 0 434.497 -0.580 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000514314605 1071799564 /nfs/dbraw/zinc/79/95/64/1071799564.db2.gz ZPCXTKJOKBJXFD-CQSZACIVSA-N 0 0 434.497 -0.580 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CSc1nnc2n1c1ccccc1c(=O)n2C ZINC000514315046 1071799686 /nfs/dbraw/zinc/79/96/86/1071799686.db2.gz LFPLARWCJXFGJT-UHFFFAOYSA-N 0 0 430.490 -0.010 20 0 IBADRN COC(=O)c1cc(CN(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)oc1C ZINC000514319022 1071800655 /nfs/dbraw/zinc/80/06/55/1071800655.db2.gz PHPLISKAISLTNP-UHFFFAOYSA-N 0 0 436.509 -0.191 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1 ZINC000514320320 1071801081 /nfs/dbraw/zinc/80/10/81/1071801081.db2.gz FDTJRURBOJQHMQ-UHFFFAOYSA-N 0 0 436.494 -0.214 20 0 IBADRN COC[C@H](CNC(=O)[C@@]12CCC(=O)N1CCS2)NC(=O)[C@@]12CCC(=O)N1CCS2 ZINC000514320501 1071801099 /nfs/dbraw/zinc/80/10/99/1071801099.db2.gz ORASFIMWCSKOEP-IGNZVWTISA-N 0 0 442.563 -0.635 20 0 IBADRN COC[C@H](CNC(=O)[C@]12CCC(=O)N1CCS2)NC(=O)[C@]12CCC(=O)N1CCS2 ZINC000514320507 1071800851 /nfs/dbraw/zinc/80/08/51/1071800851.db2.gz ORASFIMWCSKOEP-JBBXEZCESA-N 0 0 442.563 -0.635 20 0 IBADRN COC[C@H](CNC(=O)[C@]12CCC(=O)N1CCS2)NC(=O)[C@@]12CCC(=O)N1CCS2 ZINC000514320509 1071800827 /nfs/dbraw/zinc/80/08/27/1071800827.db2.gz ORASFIMWCSKOEP-RZAIGCCYSA-N 0 0 442.563 -0.635 20 0 IBADRN COC[C@H](CNC(=O)[C@@]12CCC(=O)N1CCS2)NC(=O)[C@]12CCC(=O)N1CCS2 ZINC000514320510 1071800871 /nfs/dbraw/zinc/80/08/71/1071800871.db2.gz ORASFIMWCSKOEP-UYHISHBKSA-N 0 0 442.563 -0.635 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2[C@@H]3C(=O)N(CC(F)(F)F)C(=O)[C@H]3C=C[C@H]2C)CC1 ZINC000514320896 1071800526 /nfs/dbraw/zinc/80/05/26/1071800526.db2.gz HATDAKZFJXYSMB-AYRXBEOTSA-N 0 0 430.427 -0.138 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2[C@H]3C(=O)N(CC(F)(F)F)C(=O)[C@@H]3C=C[C@H]2C)CC1 ZINC000514320897 1071800038 /nfs/dbraw/zinc/80/00/38/1071800038.db2.gz HATDAKZFJXYSMB-GBOPCIDUSA-N 0 0 430.427 -0.138 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2[C@H]3C(=O)N(CC(F)(F)F)C(=O)[C@H]3C=C[C@H]2C)CC1 ZINC000514320898 1071800624 /nfs/dbraw/zinc/80/06/24/1071800624.db2.gz HATDAKZFJXYSMB-OSRDXIQISA-N 0 0 430.427 -0.138 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2[C@@H]3C(=O)N(CC(F)(F)F)C(=O)[C@@H]3C=C[C@H]2C)CC1 ZINC000514320899 1071800510 /nfs/dbraw/zinc/80/05/10/1071800510.db2.gz HATDAKZFJXYSMB-QHSBEEBCSA-N 0 0 430.427 -0.138 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2cc(F)cc3c2OCOC3)CC1)NC1CC1 ZINC000514321060 1071800385 /nfs/dbraw/zinc/80/03/85/1071800385.db2.gz LMYDDLCREWBWGJ-UHFFFAOYSA-N 0 0 434.468 -0.226 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCC(=O)N2CCOCC2)CC1 ZINC000514321703 1071801178 /nfs/dbraw/zinc/80/11/78/1071801178.db2.gz XZQBYEAZPORQCF-UHFFFAOYSA-N 0 0 431.559 -0.318 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000514322071 1071801225 /nfs/dbraw/zinc/80/12/25/1071801225.db2.gz WEAHNOPPUGLXQU-UHFFFAOYSA-N 0 0 429.525 -0.814 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000514322255 1071800978 /nfs/dbraw/zinc/80/09/78/1071800978.db2.gz KFDMAWHHJSZOCE-UHFFFAOYSA-N 0 0 428.530 -0.077 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccnc2OCC(F)F)CC1)N1CCOCC1 ZINC000514322870 1071801270 /nfs/dbraw/zinc/80/12/70/1071801270.db2.gz JWMJLGWVLFWDOQ-UHFFFAOYSA-N 0 0 441.435 -0.333 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC(C(=O)N4CCCC4)CC3)C2=O)n1 ZINC000514322907 1071801195 /nfs/dbraw/zinc/80/11/95/1071801195.db2.gz LLFQRHHWLAAWIX-INIZCTEOSA-N 0 0 430.509 -0.107 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCC(C(=O)N4CCCC4)CC3)C2=O)n1 ZINC000514322908 1071801015 /nfs/dbraw/zinc/80/10/15/1071801015.db2.gz LLFQRHHWLAAWIX-MRXNPFEDSA-N 0 0 430.509 -0.107 20 0 IBADRN CC(C)(C)n1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514323307 1071801250 /nfs/dbraw/zinc/80/12/50/1071801250.db2.gz DVHIHLOREICNLQ-UHFFFAOYSA-N 0 0 428.515 -0.702 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)S(C)(=O)=O)CC2)cc1 ZINC000514323573 1071801606 /nfs/dbraw/zinc/80/16/06/1071801606.db2.gz DWTCYMCCIXAKOM-UHFFFAOYSA-N 0 0 447.535 -0.412 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CC1=O ZINC000514323902 1071801781 /nfs/dbraw/zinc/80/17/81/1071801781.db2.gz OSBQMFMGRWIKRA-UHFFFAOYSA-N 0 0 440.522 -0.724 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(C)(C)c1ccccc1 ZINC000514323917 1071801806 /nfs/dbraw/zinc/80/18/06/1071801806.db2.gz OXRXSMSBWVYLQM-KRWDZBQOSA-N 0 0 444.532 -0.002 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(C)(C)c1ccccc1 ZINC000514323920 1071801799 /nfs/dbraw/zinc/80/17/99/1071801799.db2.gz OXRXSMSBWVYLQM-QGZVFWFLSA-N 0 0 444.532 -0.002 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)SCC(=O)N4)CC2)CC1 ZINC000514324476 1071801498 /nfs/dbraw/zinc/80/14/98/1071801498.db2.gz KHSADROCPJOVMJ-UHFFFAOYSA-N 0 0 439.563 -0.019 20 0 IBADRN O=C(NCCc1cnc(C2CC2)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000514324607 1071801622 /nfs/dbraw/zinc/80/16/22/1071801622.db2.gz PFRQPNZISHEXGU-UHFFFAOYSA-N 0 0 428.493 -0.694 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000514325109 1071801731 /nfs/dbraw/zinc/80/17/31/1071801731.db2.gz KUQOZBAAURONMP-KRWDZBQOSA-N 0 0 440.522 -0.006 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000514325110 1071801790 /nfs/dbraw/zinc/80/17/90/1071801790.db2.gz KUQOZBAAURONMP-QGZVFWFLSA-N 0 0 440.522 -0.006 20 0 IBADRN CCCCn1c2nc(CN3CCN([C@H]4CCS(=O)(=O)C4)CC3)n(C)c2c(=O)[nH]c1=O ZINC000514325375 1071801810 /nfs/dbraw/zinc/80/18/10/1071801810.db2.gz SDKYLMTUMYERMJ-AWEZNQCLSA-N 0 0 438.554 -0.060 20 0 IBADRN CCCCn1c2nc(CN3CCN([C@@H]4CCS(=O)(=O)C4)CC3)n(C)c2c(=O)[nH]c1=O ZINC000514325377 1071801524 /nfs/dbraw/zinc/80/15/24/1071801524.db2.gz SDKYLMTUMYERMJ-CQSZACIVSA-N 0 0 438.554 -0.060 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000514325977 1071801673 /nfs/dbraw/zinc/80/16/73/1071801673.db2.gz OUKGHGBNKJKKQT-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)Cn3cc(Br)c(=O)[nH]c3=O)cnc2n(C)c1=O ZINC000514331167 1071803415 /nfs/dbraw/zinc/80/34/15/1071803415.db2.gz KJDBFUFWUHVWCP-UHFFFAOYSA-N 0 0 437.210 -0.704 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000514331179 1071803778 /nfs/dbraw/zinc/80/37/78/1071803778.db2.gz KVYVJYWBZWXFJM-UHFFFAOYSA-N 0 0 447.473 -0.191 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000514351506 1071806225 /nfs/dbraw/zinc/80/62/25/1071806225.db2.gz CDXNXSPGMPCYEC-UHFFFAOYSA-N 0 0 435.524 -0.413 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000514351583 1071806355 /nfs/dbraw/zinc/80/63/55/1071806355.db2.gz QHBWEIPQQVKONO-UHFFFAOYSA-N 0 0 425.463 -0.835 20 0 IBADRN COC(=O)CCNC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000514376595 1071808609 /nfs/dbraw/zinc/80/86/09/1071808609.db2.gz RPQHVTNBDUQABB-UHFFFAOYSA-N 0 0 433.508 -0.586 20 0 IBADRN NC(=O)N[C@@H](CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000514391969 1071811112 /nfs/dbraw/zinc/81/11/12/1071811112.db2.gz IEOGKHSNOJGMMG-INIZCTEOSA-N 0 0 425.511 -0.493 20 0 IBADRN NC(=O)N[C@H](CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000514391970 1071811266 /nfs/dbraw/zinc/81/12/66/1071811266.db2.gz IEOGKHSNOJGMMG-MRXNPFEDSA-N 0 0 425.511 -0.493 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000514396340 1071811884 /nfs/dbraw/zinc/81/18/84/1071811884.db2.gz KIXFVPQTBNLAKP-UHFFFAOYSA-N 0 0 435.510 -0.312 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000514396880 1071812548 /nfs/dbraw/zinc/81/25/48/1071812548.db2.gz ZUXDNCWQSNZQNN-UHFFFAOYSA-N 0 0 449.551 -0.405 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc3nc(C)nn3c2)CC1 ZINC000514397473 1071812540 /nfs/dbraw/zinc/81/25/40/1071812540.db2.gz DTVRVIGSQNLWDX-AWEZNQCLSA-N 0 0 431.497 -0.338 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc3nc(C)nn3c2)CC1 ZINC000514397475 1071812496 /nfs/dbraw/zinc/81/24/96/1071812496.db2.gz DTVRVIGSQNLWDX-CQSZACIVSA-N 0 0 431.497 -0.338 20 0 IBADRN COc1ccc(COCCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000514397869 1071812483 /nfs/dbraw/zinc/81/24/83/1071812483.db2.gz VXWZIJKNJDOJKW-UHFFFAOYSA-N 0 0 432.477 -0.723 20 0 IBADRN O=C(CCc1nc2ccccc2oc1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514398364 1071812626 /nfs/dbraw/zinc/81/26/26/1071812626.db2.gz PAVQECHGETZLPA-UHFFFAOYSA-N 0 0 436.490 -0.158 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514398865 1071812592 /nfs/dbraw/zinc/81/25/92/1071812592.db2.gz UQIYAUYVOIWSCO-UHFFFAOYSA-N 0 0 449.327 -0.668 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c1=O ZINC000514406048 1071813715 /nfs/dbraw/zinc/81/37/15/1071813715.db2.gz BEAKSVPMMNRTAH-HNNXBMFYSA-N 0 0 448.476 -0.553 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c1=O ZINC000514406049 1071813579 /nfs/dbraw/zinc/81/35/79/1071813579.db2.gz BEAKSVPMMNRTAH-OAHLLOKOSA-N 0 0 448.476 -0.553 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000514406202 1071813177 /nfs/dbraw/zinc/81/31/77/1071813177.db2.gz CSTAWDYUJZKZMU-UHFFFAOYSA-N 0 0 447.536 -0.160 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1 ZINC000514406281 1071813228 /nfs/dbraw/zinc/81/32/28/1071813228.db2.gz FJFNBGVPDXVACS-INIZCTEOSA-N 0 0 426.543 -0.005 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1 ZINC000514406282 1071813601 /nfs/dbraw/zinc/81/36/01/1071813601.db2.gz FJFNBGVPDXVACS-MRXNPFEDSA-N 0 0 426.543 -0.005 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000514406485 1071813671 /nfs/dbraw/zinc/81/36/71/1071813671.db2.gz IFURQIWPYZKWSV-UHFFFAOYSA-N 0 0 439.494 -0.216 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000514406825 1071813586 /nfs/dbraw/zinc/81/35/86/1071813586.db2.gz LKIGSTDCGYDEOT-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000514407698 1071813607 /nfs/dbraw/zinc/81/36/07/1071813607.db2.gz XAKQOENSCMNARQ-UHFFFAOYSA-N 0 0 431.537 -0.368 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2nc(CN3CCOCC3)cs2)CC1)N1CCOCC1 ZINC000514407794 1071813593 /nfs/dbraw/zinc/81/35/93/1071813593.db2.gz YJZBUJSWRJILPN-UHFFFAOYSA-N 0 0 438.554 -0.016 20 0 IBADRN COc1ccc(OC)c(CCCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000514408065 1071813590 /nfs/dbraw/zinc/81/35/90/1071813590.db2.gz BZTISHPZSMXQQQ-UHFFFAOYSA-N 0 0 446.504 -0.298 20 0 IBADRN O=C(N[C@@H]1CCC[C@H]1c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000514408115 1071813596 /nfs/dbraw/zinc/81/35/96/1071813596.db2.gz CPXKYEUXIXYINS-RBUKOAKNSA-N 0 0 442.516 -0.032 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCc3ccc(S(=O)(=O)N(C)C)cc32)CC1 ZINC000514408979 1071813682 /nfs/dbraw/zinc/81/36/82/1071813682.db2.gz IWPSKBWLRNVTCQ-UHFFFAOYSA-N 0 0 437.566 -0.468 20 0 IBADRN COC(=O)CCN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C[C@H]1CCCO1 ZINC000514409546 1071814344 /nfs/dbraw/zinc/81/43/44/1071814344.db2.gz XZUGZSJVHDCTEC-DLBZAZTESA-N 0 0 431.555 -0.638 20 0 IBADRN COC(=O)CCN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C[C@H]1CCCO1 ZINC000514409547 1071814297 /nfs/dbraw/zinc/81/42/97/1071814297.db2.gz XZUGZSJVHDCTEC-IAGOWNOFSA-N 0 0 431.555 -0.638 20 0 IBADRN COC(=O)CCN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C[C@@H]1CCCO1 ZINC000514409548 1071814218 /nfs/dbraw/zinc/81/42/18/1071814218.db2.gz XZUGZSJVHDCTEC-IRXDYDNUSA-N 0 0 431.555 -0.638 20 0 IBADRN COC(=O)CCN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C[C@@H]1CCCO1 ZINC000514409549 1071814258 /nfs/dbraw/zinc/81/42/58/1071814258.db2.gz XZUGZSJVHDCTEC-SJORKVTESA-N 0 0 431.555 -0.638 20 0 IBADRN C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000514409933 1071814352 /nfs/dbraw/zinc/81/43/52/1071814352.db2.gz LMWJCFAKACEYQA-TYCGWEPCSA-N 0 0 433.538 -0.175 20 0 IBADRN C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000514409934 1071814173 /nfs/dbraw/zinc/81/41/73/1071814173.db2.gz LMWJCFAKACEYQA-ZPFGHLSDSA-N 0 0 433.538 -0.175 20 0 IBADRN C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000514409935 1071814205 /nfs/dbraw/zinc/81/42/05/1071814205.db2.gz LMWJCFAKACEYQA-NABRFWINSA-N 0 0 433.538 -0.175 20 0 IBADRN C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000514409936 1071814288 /nfs/dbraw/zinc/81/42/88/1071814288.db2.gz LMWJCFAKACEYQA-SYMFZKRGSA-N 0 0 433.538 -0.175 20 0 IBADRN CC(C)(C)OCc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)no1 ZINC000514410506 1071814818 /nfs/dbraw/zinc/81/48/18/1071814818.db2.gz XZJNRNNHSPVRQU-UHFFFAOYSA-N 0 0 435.481 -0.445 20 0 IBADRN C[C@]12CCC(=O)N1[C@@H](C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CS2 ZINC000514411350 1071814194 /nfs/dbraw/zinc/81/41/94/1071814194.db2.gz CTPQPSOLNBSDER-PBHICJAKSA-N 0 0 432.568 -0.402 20 0 IBADRN C[C@@]12CCC(=O)N1[C@@H](C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CS2 ZINC000514411354 1071814245 /nfs/dbraw/zinc/81/42/45/1071814245.db2.gz CTPQPSOLNBSDER-RHSMWYFYSA-N 0 0 432.568 -0.402 20 0 IBADRN C[C@@]12CCC(=O)N1[C@H](C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CS2 ZINC000514411356 1071814331 /nfs/dbraw/zinc/81/43/31/1071814331.db2.gz CTPQPSOLNBSDER-WMLDXEAASA-N 0 0 432.568 -0.402 20 0 IBADRN C[C@]12CCC(=O)N1[C@H](C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CS2 ZINC000514411357 1071814186 /nfs/dbraw/zinc/81/41/86/1071814186.db2.gz CTPQPSOLNBSDER-YOEHRIQHSA-N 0 0 432.568 -0.402 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCCO[C@@H]3CCOC3)no2)cn1 ZINC000514411372 1071814785 /nfs/dbraw/zinc/81/47/85/1071814785.db2.gz YYMGMOWEGFNPJH-CABCVRRESA-N 0 0 434.453 -0.318 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCCO[C@H]3CCOC3)no2)cn1 ZINC000514411374 1071814848 /nfs/dbraw/zinc/81/48/48/1071814848.db2.gz YYMGMOWEGFNPJH-GJZGRUSLSA-N 0 0 434.453 -0.318 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCCO[C@@H]3CCOC3)no2)cn1 ZINC000514411376 1071814740 /nfs/dbraw/zinc/81/47/40/1071814740.db2.gz YYMGMOWEGFNPJH-HUUCEWRRSA-N 0 0 434.453 -0.318 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCCO[C@H]3CCOC3)no2)cn1 ZINC000514411378 1071814737 /nfs/dbraw/zinc/81/47/37/1071814737.db2.gz YYMGMOWEGFNPJH-LSDHHAIUSA-N 0 0 434.453 -0.318 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@H](CO)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000514412394 1071814889 /nfs/dbraw/zinc/81/48/89/1071814889.db2.gz PNMDWTZCPSOQHK-GDBMZVCRSA-N 0 0 443.522 -0.670 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@@H](CO)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000514412395 1071815003 /nfs/dbraw/zinc/81/50/03/1071815003.db2.gz PNMDWTZCPSOQHK-GOEBONIOSA-N 0 0 443.522 -0.670 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@@H](CO)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000514412396 1071814717 /nfs/dbraw/zinc/81/47/17/1071814717.db2.gz PNMDWTZCPSOQHK-HOCLYGCPSA-N 0 0 443.522 -0.670 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@H](CO)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000514412397 1071814745 /nfs/dbraw/zinc/81/47/45/1071814745.db2.gz PNMDWTZCPSOQHK-ZBFHGGJFSA-N 0 0 443.522 -0.670 20 0 IBADRN O=C(N[C@@H](CO)Cc1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514412533 1071814772 /nfs/dbraw/zinc/81/47/72/1071814772.db2.gz PLVSKAKKVBFOKX-GOSISDBHSA-N 0 0 426.539 -0.069 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCc4c(ncn(C)c4=O)C3)CC2)ncn1 ZINC000514412687 1071814876 /nfs/dbraw/zinc/81/48/76/1071814876.db2.gz TWJVCKQIQGUQCK-UHFFFAOYSA-N 0 0 425.493 -0.197 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3C)c2)CC1 ZINC000514413495 1071815663 /nfs/dbraw/zinc/81/56/63/1071815663.db2.gz BCYRQKQGXQMTOQ-SUMWQHHRSA-N 0 0 443.547 -0.298 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cnc2onc(CC)c2c1 ZINC000514414551 1071815689 /nfs/dbraw/zinc/81/56/89/1071815689.db2.gz BYFAFCRTGPVVHL-AWEZNQCLSA-N 0 0 426.451 -0.146 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cnc2onc(CC)c2c1 ZINC000514414552 1071815590 /nfs/dbraw/zinc/81/55/90/1071815590.db2.gz BYFAFCRTGPVVHL-CQSZACIVSA-N 0 0 426.451 -0.146 20 0 IBADRN C[C@@H](C(=O)N(C)Cc1ccccc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000514415185 1071815642 /nfs/dbraw/zinc/81/56/42/1071815642.db2.gz ILYHLRFFUMEIEL-HKUYNNGSSA-N 0 0 436.578 -0.046 20 0 IBADRN C[C@H](C(=O)N(C)Cc1ccccc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000514415186 1071815575 /nfs/dbraw/zinc/81/55/75/1071815575.db2.gz ILYHLRFFUMEIEL-IEBWSBKVSA-N 0 0 436.578 -0.046 20 0 IBADRN C[C@H](C(=O)N(C)Cc1ccccc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000514415188 1071815706 /nfs/dbraw/zinc/81/57/06/1071815706.db2.gz ILYHLRFFUMEIEL-MJGOQNOKSA-N 0 0 436.578 -0.046 20 0 IBADRN C[C@@H](C(=O)N(C)Cc1ccccc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000514415190 1071815603 /nfs/dbraw/zinc/81/56/03/1071815603.db2.gz ILYHLRFFUMEIEL-PKOBYXMFSA-N 0 0 436.578 -0.046 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000514415814 1071815648 /nfs/dbraw/zinc/81/56/48/1071815648.db2.gz OQDINYHSRZVMMN-GOSISDBHSA-N 0 0 448.524 -0.606 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000514415816 1071815516 /nfs/dbraw/zinc/81/55/16/1071815516.db2.gz OQDINYHSRZVMMN-SFHVURJKSA-N 0 0 448.524 -0.606 20 0 IBADRN C[C@@H]1CCCC[C@H]1N(C)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000514415848 1071815501 /nfs/dbraw/zinc/81/55/01/1071815501.db2.gz PDIXSAOGHGFEJA-FGTMMUONSA-N 0 0 428.599 -0.056 20 0 IBADRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000514415858 1071815611 /nfs/dbraw/zinc/81/56/11/1071815611.db2.gz PDIXSAOGHGFEJA-KURKYZTESA-N 0 0 428.599 -0.056 20 0 IBADRN C[C@@H]1CCCC[C@H]1N(C)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000514415861 1071815620 /nfs/dbraw/zinc/81/56/20/1071815620.db2.gz PDIXSAOGHGFEJA-KZNAEPCWSA-N 0 0 428.599 -0.056 20 0 IBADRN C[C@@H]1CCCC[C@@H]1N(C)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000514415864 1071815672 /nfs/dbraw/zinc/81/56/72/1071815672.db2.gz PDIXSAOGHGFEJA-SQNIBIBYSA-N 0 0 428.599 -0.056 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(CC(=O)NCCOC)CC2)[C@H]1c1ccccc1 ZINC000514415867 1071815489 /nfs/dbraw/zinc/81/54/89/1071815489.db2.gz PDWNMQICIVFOMA-RTWAWAEBSA-N 0 0 432.521 -0.118 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000514416874 1071815696 /nfs/dbraw/zinc/81/56/96/1071815696.db2.gz AJXCOOFJGYRWEO-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)cc1 ZINC000514416941 1071815681 /nfs/dbraw/zinc/81/56/81/1071815681.db2.gz HTAVWQXKJPWSHK-UHFFFAOYSA-N 0 0 434.474 -0.275 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000514417655 1071813144 /nfs/dbraw/zinc/81/31/44/1071813144.db2.gz OLQNKOSTGHQVCB-UHFFFAOYSA-N 0 0 434.540 -0.337 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000514418497 1071813158 /nfs/dbraw/zinc/81/31/58/1071813158.db2.gz BYEPVAYJSAVOEH-GOSISDBHSA-N 0 0 444.554 -0.220 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000514418498 1071813280 /nfs/dbraw/zinc/81/32/80/1071813280.db2.gz BYEPVAYJSAVOEH-SFHVURJKSA-N 0 0 444.554 -0.220 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000514418772 1071813257 /nfs/dbraw/zinc/81/32/57/1071813257.db2.gz ZWXYPEIBBQHHHO-DOTOQJQBSA-N 0 0 440.522 -0.137 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000514418773 1071813149 /nfs/dbraw/zinc/81/31/49/1071813149.db2.gz ZWXYPEIBBQHHHO-NVXWUHKLSA-N 0 0 440.522 -0.137 20 0 IBADRN CCO[C@H]1C[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000514418774 1071813091 /nfs/dbraw/zinc/81/30/91/1071813091.db2.gz ZWXYPEIBBQHHHO-RDJZCZTQSA-N 0 0 440.522 -0.137 20 0 IBADRN CCO[C@H]1C[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000514418775 1071813135 /nfs/dbraw/zinc/81/31/35/1071813135.db2.gz ZWXYPEIBBQHHHO-WBVHZDCISA-N 0 0 440.522 -0.137 20 0 IBADRN CCc1c(C(=O)N2CCN(S(=O)(=O)CC)C[C@H]2C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000514419091 1071813123 /nfs/dbraw/zinc/81/31/23/1071813123.db2.gz LLBSXXOTHCVUNW-GFCCVEGCSA-N 0 0 437.522 -0.309 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2)CC1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000514419466 1071817118 /nfs/dbraw/zinc/81/71/18/1071817118.db2.gz IULRZQYDQROLNY-NRFANRHFSA-N 0 0 429.565 -0.147 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2)CC1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000514419467 1071816947 /nfs/dbraw/zinc/81/69/47/1071816947.db2.gz IULRZQYDQROLNY-OAQYLSRUSA-N 0 0 429.565 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000514419870 1071816719 /nfs/dbraw/zinc/81/67/19/1071816719.db2.gz IUVBFUUYMSSWLP-UHFFFAOYSA-N 0 0 449.489 -0.175 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H](C)CN1CCOCC1 ZINC000514419933 1071816125 /nfs/dbraw/zinc/81/61/25/1071816125.db2.gz DBTJHUVTTGXLLY-CYBMUJFWSA-N 0 0 440.522 -0.089 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000514422090 1071816968 /nfs/dbraw/zinc/81/69/68/1071816968.db2.gz IBQADFQWXAAZTH-CYBMUJFWSA-N 0 0 435.528 -0.960 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000514422099 1071816788 /nfs/dbraw/zinc/81/67/88/1071816788.db2.gz IBQADFQWXAAZTH-ZDUSSCGKSA-N 0 0 435.528 -0.960 20 0 IBADRN CN1CCO[C@@H](CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC000514422392 1071817072 /nfs/dbraw/zinc/81/70/72/1071817072.db2.gz KGJMFNHIICYRLU-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CN1CCO[C@H](CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC000514422393 1071816734 /nfs/dbraw/zinc/81/67/34/1071816734.db2.gz KGJMFNHIICYRLU-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCCc3nnc4n3CCCCC4)CC2)n1 ZINC000514423239 1071817527 /nfs/dbraw/zinc/81/75/27/1071817527.db2.gz BPQWIENLMAXHLM-UHFFFAOYSA-N 0 0 428.497 -0.189 20 0 IBADRN CCOCc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000514426159 1071817977 /nfs/dbraw/zinc/81/79/77/1071817977.db2.gz LTPJILBHRJBYGB-UHFFFAOYSA-N 0 0 446.504 -0.631 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)CN1CCOCC1 ZINC000514426480 1071818961 /nfs/dbraw/zinc/81/89/61/1071818961.db2.gz RWJDSZCEBMUTHA-GDBMZVCRSA-N 0 0 447.496 -0.546 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)CN1CCOCC1 ZINC000514426485 1071818946 /nfs/dbraw/zinc/81/89/46/1071818946.db2.gz RWJDSZCEBMUTHA-GOEBONIOSA-N 0 0 447.496 -0.546 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)CN1CCOCC1 ZINC000514426487 1071818762 /nfs/dbraw/zinc/81/87/62/1071818762.db2.gz RWJDSZCEBMUTHA-HOCLYGCPSA-N 0 0 447.496 -0.546 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)CN1CCOCC1 ZINC000514426489 1071818877 /nfs/dbraw/zinc/81/88/77/1071818877.db2.gz RWJDSZCEBMUTHA-ZBFHGGJFSA-N 0 0 447.496 -0.546 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)[C@H](C)C1 ZINC000514426804 1071818710 /nfs/dbraw/zinc/81/87/10/1071818710.db2.gz PZARGTFZWMEAEC-UONOGXRCSA-N 0 0 444.579 -0.033 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)[C@H](C)C1 ZINC000514426805 1071818699 /nfs/dbraw/zinc/81/86/99/1071818699.db2.gz PZARGTFZWMEAEC-ZIAGYGMSSA-N 0 0 444.579 -0.033 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000514427068 1071817742 /nfs/dbraw/zinc/81/77/42/1071817742.db2.gz BMZSYGHDUOAUFK-INIZCTEOSA-N 0 0 430.509 -0.990 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000514427069 1071818162 /nfs/dbraw/zinc/81/81/62/1071818162.db2.gz BMZSYGHDUOAUFK-MRXNPFEDSA-N 0 0 430.509 -0.990 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(CCOc3ccccc3)CC2)C1 ZINC000514427164 1071818064 /nfs/dbraw/zinc/81/80/64/1071818064.db2.gz GAVIRHGIYGCYAC-KRWDZBQOSA-N 0 0 438.550 -0.250 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(CCOc3ccccc3)CC2)C1 ZINC000514427165 1071818779 /nfs/dbraw/zinc/81/87/79/1071818779.db2.gz GAVIRHGIYGCYAC-QGZVFWFLSA-N 0 0 438.550 -0.250 20 0 IBADRN O=C(N[C@@H]1CCSC1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000514430030 1071816288 /nfs/dbraw/zinc/81/62/88/1071816288.db2.gz ABYYVHOJHGOCDS-CYBMUJFWSA-N 0 0 441.531 -0.088 20 0 IBADRN O=C(N[C@H]1CCSC1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000514430032 1071816183 /nfs/dbraw/zinc/81/61/83/1071816183.db2.gz ABYYVHOJHGOCDS-ZDUSSCGKSA-N 0 0 441.531 -0.088 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN3C(=O)CN(C)C3=O)cc2)CC1 ZINC000514430194 1071816205 /nfs/dbraw/zinc/81/62/05/1071816205.db2.gz LUOQKQGEIIHVFU-UHFFFAOYSA-N 0 0 445.520 -0.218 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)o1 ZINC000514430282 1071816116 /nfs/dbraw/zinc/81/61/16/1071816116.db2.gz GFUWOPPQKMVCST-GFCCVEGCSA-N 0 0 427.483 -0.547 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)o1 ZINC000514430283 1071816218 /nfs/dbraw/zinc/81/62/18/1071816218.db2.gz GFUWOPPQKMVCST-LBPRGKRZSA-N 0 0 427.483 -0.547 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000514430576 1071816227 /nfs/dbraw/zinc/81/62/27/1071816227.db2.gz MDJCVWJRCUEFOA-KRWDZBQOSA-N 0 0 432.477 -0.263 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000514430577 1071816256 /nfs/dbraw/zinc/81/62/56/1071816256.db2.gz MDJCVWJRCUEFOA-QGZVFWFLSA-N 0 0 432.477 -0.263 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H](CNC(=O)c3ccccc3)C2)CC1 ZINC000514430899 1071816148 /nfs/dbraw/zinc/81/61/48/1071816148.db2.gz PSGJKMQYYPCVPN-KRWDZBQOSA-N 0 0 443.548 -0.018 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H](CNC(=O)c3ccccc3)C2)CC1 ZINC000514430900 1071816265 /nfs/dbraw/zinc/81/62/65/1071816265.db2.gz PSGJKMQYYPCVPN-QGZVFWFLSA-N 0 0 443.548 -0.018 20 0 IBADRN CN(CCN(CCN1CCCC1)C(=O)C(=O)c1cnn(C)c1)C(=O)C(=O)c1cnn(C)c1 ZINC000514430913 1071816132 /nfs/dbraw/zinc/81/61/32/1071816132.db2.gz QMARTEREARCDGZ-UHFFFAOYSA-N 0 0 443.508 -0.398 20 0 IBADRN COc1ncccc1CCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000514432324 1071816240 /nfs/dbraw/zinc/81/62/40/1071816240.db2.gz IHNQFXVOZBXDCK-UHFFFAOYSA-N 0 0 426.543 -0.548 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CCN1C[C@H](C)O ZINC000514432760 1071820080 /nfs/dbraw/zinc/82/00/80/1071820080.db2.gz DHJRYABIRQRJIZ-HKUYNNGSSA-N 0 0 437.585 -0.650 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CCN1C[C@@H](C)O ZINC000514432761 1071820003 /nfs/dbraw/zinc/82/00/03/1071820003.db2.gz DHJRYABIRQRJIZ-IEBWSBKVSA-N 0 0 437.585 -0.650 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CCN1C[C@@H](C)O ZINC000514432762 1071820172 /nfs/dbraw/zinc/82/01/72/1071820172.db2.gz DHJRYABIRQRJIZ-MJGOQNOKSA-N 0 0 437.585 -0.650 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CCN1C[C@H](C)O ZINC000514432763 1071820767 /nfs/dbraw/zinc/82/07/67/1071820767.db2.gz DHJRYABIRQRJIZ-PKOBYXMFSA-N 0 0 437.585 -0.650 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCc2cc(F)cc3c2OCOC3)CC1 ZINC000514432970 1071819963 /nfs/dbraw/zinc/81/99/63/1071819963.db2.gz KAOHFBIEXALAIE-UHFFFAOYSA-N 0 0 429.470 -0.155 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCCN(C(=O)c3ccccc3)CC2)CC1 ZINC000514433084 1071820147 /nfs/dbraw/zinc/82/01/47/1071820147.db2.gz MPAUNHFEDIZGNF-UHFFFAOYSA-N 0 0 429.521 -0.359 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)cnc2n(C)c1=O ZINC000514433273 1071820166 /nfs/dbraw/zinc/82/01/66/1071820166.db2.gz QSUWAVSQLWVWSP-INIZCTEOSA-N 0 0 444.492 -0.716 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)cnc2n(C)c1=O ZINC000514433274 1071820100 /nfs/dbraw/zinc/82/01/00/1071820100.db2.gz QSUWAVSQLWVWSP-MRXNPFEDSA-N 0 0 444.492 -0.716 20 0 IBADRN O=C(CCNC(=O)N1CCC(C(=O)NCCCO)CC1)N1CCN(c2ncccn2)CC1 ZINC000514433436 1073482673 /nfs/dbraw/zinc/48/26/73/1073482673.db2.gz SOACAWGUYITXBS-UHFFFAOYSA-N 0 0 447.540 -0.565 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(O)(CN3CCOCC3)CC2)c1 ZINC000514434903 1071820867 /nfs/dbraw/zinc/82/08/67/1071820867.db2.gz AXZQHPNYIMDOKK-UHFFFAOYSA-N 0 0 433.552 -0.558 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000514435330 1071820793 /nfs/dbraw/zinc/82/07/93/1071820793.db2.gz IGKABMIPTIHDDV-UHFFFAOYSA-N 0 0 436.534 -0.081 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000514435358 1071820634 /nfs/dbraw/zinc/82/06/34/1071820634.db2.gz JOSQNHIESORDGQ-KRWDZBQOSA-N 0 0 431.555 -0.637 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000514435359 1071820800 /nfs/dbraw/zinc/82/08/00/1071820800.db2.gz JOSQNHIESORDGQ-QGZVFWFLSA-N 0 0 431.555 -0.637 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000514435379 1071820832 /nfs/dbraw/zinc/82/08/32/1071820832.db2.gz KIOPCXSIDIOYLS-INIZCTEOSA-N 0 0 439.534 -0.306 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000514435380 1071820840 /nfs/dbraw/zinc/82/08/40/1071820840.db2.gz KIOPCXSIDIOYLS-MRXNPFEDSA-N 0 0 439.534 -0.306 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cccc1-n1cnnn1 ZINC000514435409 1071820882 /nfs/dbraw/zinc/82/08/82/1071820882.db2.gz AFWOJGXGCFOQQK-UHFFFAOYSA-N 0 0 440.508 -0.078 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O ZINC000514435621 1071821425 /nfs/dbraw/zinc/82/14/25/1071821425.db2.gz GWJKMGQHOBUZKU-INIZCTEOSA-N 0 0 432.477 -0.032 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O ZINC000514435622 1071821368 /nfs/dbraw/zinc/82/13/68/1071821368.db2.gz GWJKMGQHOBUZKU-MRXNPFEDSA-N 0 0 432.477 -0.032 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)CN3CCOCC3)CC2)c1 ZINC000514435774 1071821443 /nfs/dbraw/zinc/82/14/43/1071821443.db2.gz RGZAVXRXROMTEW-GOSISDBHSA-N 0 0 446.548 -0.007 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)NC[C@H](C)CN3CCOCC3)CC2)c1 ZINC000514435775 1071821460 /nfs/dbraw/zinc/82/14/60/1071821460.db2.gz RGZAVXRXROMTEW-SFHVURJKSA-N 0 0 446.548 -0.007 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)C1 ZINC000514435848 1071821451 /nfs/dbraw/zinc/82/14/51/1071821451.db2.gz ALPLKVAAAMWINB-BRWVUGGUSA-N 0 0 430.571 -0.325 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)C1 ZINC000514435849 1071821340 /nfs/dbraw/zinc/82/13/40/1071821340.db2.gz ALPLKVAAAMWINB-IKGGRYGDSA-N 0 0 430.571 -0.325 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)C1 ZINC000514435850 1071821429 /nfs/dbraw/zinc/82/14/29/1071821429.db2.gz ALPLKVAAAMWINB-IXDOHACOSA-N 0 0 430.571 -0.325 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)C1 ZINC000514435852 1071821401 /nfs/dbraw/zinc/82/14/01/1071821401.db2.gz ALPLKVAAAMWINB-ZACQAIPSSA-N 0 0 430.571 -0.325 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)c1ccccn1 ZINC000514436109 1071820755 /nfs/dbraw/zinc/82/07/55/1071820755.db2.gz DKJRGHLABKMKAO-JYJNAYRXSA-N 0 0 439.538 -0.243 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1ccccn1 ZINC000514436110 1071821478 /nfs/dbraw/zinc/82/14/78/1071821478.db2.gz DKJRGHLABKMKAO-OAGGEKHMSA-N 0 0 439.538 -0.243 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1ccccn1 ZINC000514436111 1071821456 /nfs/dbraw/zinc/82/14/56/1071821456.db2.gz DKJRGHLABKMKAO-XHSDSOJGSA-N 0 0 439.538 -0.243 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1 ZINC000514436338 1071820788 /nfs/dbraw/zinc/82/07/88/1071820788.db2.gz XRRVFSBDEUECEO-UHFFFAOYSA-N 0 0 445.563 -0.014 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CCO1 ZINC000514436398 1071820861 /nfs/dbraw/zinc/82/08/61/1071820861.db2.gz ZACVJIHFRJNUFG-KRWDZBQOSA-N 0 0 438.506 -0.794 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CCO1 ZINC000514436399 1071820761 /nfs/dbraw/zinc/82/07/61/1071820761.db2.gz ZACVJIHFRJNUFG-QGZVFWFLSA-N 0 0 438.506 -0.794 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000514436799 1071821435 /nfs/dbraw/zinc/82/14/35/1071821435.db2.gz GWUBWDRONBGHQW-LJPSSGMGSA-N 0 0 442.538 -0.505 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)NC2(C[C@H](C)C[C@@H](C)C2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000514436800 1071821413 /nfs/dbraw/zinc/82/14/13/1071821413.db2.gz GWUBWDRONBGHQW-MCIONIFRSA-N 0 0 442.538 -0.505 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)NC2(C[C@H](C)C[C@@H](C)C2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000514436801 1071821465 /nfs/dbraw/zinc/82/14/65/1071821465.db2.gz GWUBWDRONBGHQW-MGPQQGTHSA-N 0 0 442.538 -0.505 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000514436802 1071821449 /nfs/dbraw/zinc/82/14/49/1071821449.db2.gz GWUBWDRONBGHQW-ZYKCCNLVSA-N 0 0 442.538 -0.505 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCn2cnc3ccccc32)CC1 ZINC000514437582 1071821420 /nfs/dbraw/zinc/82/14/20/1071821420.db2.gz XBTJIUYEWZJFHC-UHFFFAOYSA-N 0 0 428.493 -0.845 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)CN2C(=O)N[C@@H](CCS(C)(=O)=O)C2=O)CC1 ZINC000514437610 1071821482 /nfs/dbraw/zinc/82/14/82/1071821482.db2.gz YAYYOSGELFUXMK-KRWDZBQOSA-N 0 0 436.534 -0.006 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)CN2C(=O)N[C@H](CCS(C)(=O)=O)C2=O)CC1 ZINC000514437611 1071821377 /nfs/dbraw/zinc/82/13/77/1071821377.db2.gz YAYYOSGELFUXMK-QGZVFWFLSA-N 0 0 436.534 -0.006 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1)NC1CC1 ZINC000514439213 1071821907 /nfs/dbraw/zinc/82/19/07/1071821907.db2.gz FZQSTZPLFDBROV-KRWDZBQOSA-N 0 0 428.493 -0.884 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1)NC1CC1 ZINC000514439214 1071821944 /nfs/dbraw/zinc/82/19/44/1071821944.db2.gz FZQSTZPLFDBROV-QGZVFWFLSA-N 0 0 428.493 -0.884 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@H](C)C1 ZINC000514440527 1071821959 /nfs/dbraw/zinc/82/19/59/1071821959.db2.gz NJMUPSHKHBNBQB-CQSZACIVSA-N 0 0 428.515 -0.370 20 0 IBADRN COc1ccc(CC(=O)N(C)[C@H]2CCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000514440612 1071821920 /nfs/dbraw/zinc/82/19/20/1071821920.db2.gz BDLMMXHZFYFCRA-HNNXBMFYSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(CC(=O)N(C)[C@@H]2CCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000514440613 1071821876 /nfs/dbraw/zinc/82/18/76/1071821876.db2.gz BDLMMXHZFYFCRA-OAHLLOKOSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(CC(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000514441050 1071821995 /nfs/dbraw/zinc/82/19/95/1071821995.db2.gz UYJGOQDVSXVGQN-UHFFFAOYSA-N 0 0 432.520 -0.484 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000514441717 1071819582 /nfs/dbraw/zinc/81/95/82/1071819582.db2.gz IVVCLONQYZERBT-UHFFFAOYSA-N 0 0 439.490 -0.018 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1 ZINC000514441863 1071818689 /nfs/dbraw/zinc/81/86/89/1071818689.db2.gz LKFVYJAINXUYAN-INIZCTEOSA-N 0 0 449.533 -0.078 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1 ZINC000514441864 1071818801 /nfs/dbraw/zinc/81/88/01/1071818801.db2.gz LKFVYJAINXUYAN-MRXNPFEDSA-N 0 0 449.533 -0.078 20 0 IBADRN COc1ccc(CN(CCNC(=O)[C@H]2COCCO2)C(=O)[C@@H]2COCCO2)c(OC)c1 ZINC000514441919 1071818716 /nfs/dbraw/zinc/81/87/16/1071818716.db2.gz NQANKVZONKTABY-MOPGFXCFSA-N 0 0 438.477 -0.021 20 0 IBADRN COc1ccc(CN(CCNC(=O)[C@@H]2COCCO2)C(=O)[C@@H]2COCCO2)c(OC)c1 ZINC000514441920 1071818681 /nfs/dbraw/zinc/81/86/81/1071818681.db2.gz NQANKVZONKTABY-OALUTQOASA-N 0 0 438.477 -0.021 20 0 IBADRN COc1ccc(CN(CCNC(=O)[C@@H]2COCCO2)C(=O)[C@H]2COCCO2)c(OC)c1 ZINC000514441921 1071818826 /nfs/dbraw/zinc/81/88/26/1071818826.db2.gz NQANKVZONKTABY-RBUKOAKNSA-N 0 0 438.477 -0.021 20 0 IBADRN COc1ccc(CN(CCNC(=O)[C@H]2COCCO2)C(=O)[C@H]2COCCO2)c(OC)c1 ZINC000514441922 1071819304 /nfs/dbraw/zinc/81/93/04/1071819304.db2.gz NQANKVZONKTABY-RTBURBONSA-N 0 0 438.477 -0.021 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCO3)CC2)cc1 ZINC000514442138 1071818843 /nfs/dbraw/zinc/81/88/43/1071818843.db2.gz ODTLDNPKONQVKW-CYBMUJFWSA-N 0 0 432.524 -0.471 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCCO3)CC2)cc1 ZINC000514442139 1071818860 /nfs/dbraw/zinc/81/88/60/1071818860.db2.gz ODTLDNPKONQVKW-ZDUSSCGKSA-N 0 0 432.524 -0.471 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC3(CO)CCOCC3)cc2)CC1 ZINC000514444046 1071819356 /nfs/dbraw/zinc/81/93/56/1071819356.db2.gz VQYGYPKDGLTODK-UHFFFAOYSA-N 0 0 425.507 -0.189 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000514444164 1071819377 /nfs/dbraw/zinc/81/93/77/1071819377.db2.gz UIHGDPJVOMZKMU-ANQUJSFKSA-N 0 0 441.554 -0.035 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000514444165 1071819449 /nfs/dbraw/zinc/81/94/49/1071819449.db2.gz UIHGDPJVOMZKMU-DLTWYDFYSA-N 0 0 441.554 -0.035 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000514444166 1071819537 /nfs/dbraw/zinc/81/95/37/1071819537.db2.gz UIHGDPJVOMZKMU-JYYAWHABSA-N 0 0 441.554 -0.035 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000514444167 1071819490 /nfs/dbraw/zinc/81/94/90/1071819490.db2.gz UIHGDPJVOMZKMU-KCYZZUKISA-N 0 0 441.554 -0.035 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1)NC1CC1 ZINC000514444825 1073371000 /nfs/dbraw/zinc/37/10/00/1073371000.db2.gz JGYPNNCUPHVZIL-UHFFFAOYSA-N 0 0 437.482 -0.171 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCCC2)CC1)NCCOc1ccc2c(c1)OCO2 ZINC000514444826 1071820120 /nfs/dbraw/zinc/82/01/20/1071820120.db2.gz JLFHBHALOXVUIQ-UHFFFAOYSA-N 0 0 432.477 -0.323 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCCCC3=O)CC2)no1 ZINC000514444839 1071820128 /nfs/dbraw/zinc/82/01/28/1071820128.db2.gz KJLHMHWJJQLRHG-UHFFFAOYSA-N 0 0 448.524 -0.025 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CC[C@](C)(O)C2)c1 ZINC000514444971 1071819477 /nfs/dbraw/zinc/81/94/77/1071819477.db2.gz UEPAINDSRFPZNL-IBGZPJMESA-N 0 0 440.522 -0.305 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CC[C@@](C)(O)C2)c1 ZINC000514444972 1071820046 /nfs/dbraw/zinc/82/00/46/1071820046.db2.gz UEPAINDSRFPZNL-LJQANCHMSA-N 0 0 440.522 -0.305 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000514445327 1071819557 /nfs/dbraw/zinc/81/95/57/1071819557.db2.gz HVCJSQXHGJOUBZ-INIZCTEOSA-N 0 0 431.493 -0.589 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000514445328 1071819498 /nfs/dbraw/zinc/81/94/98/1071819498.db2.gz HVCJSQXHGJOUBZ-MRXNPFEDSA-N 0 0 431.493 -0.589 20 0 IBADRN COCCn1cc([C@H]2[C@H](CN3C(=O)[C@@H]4CNC(=O)CN4C3=O)CC(=O)N2C(C)(C)C)cn1 ZINC000514445393 1071819339 /nfs/dbraw/zinc/81/93/39/1071819339.db2.gz KMMOGIICSLGERY-DHSIGJKJSA-N 0 0 446.508 -0.020 20 0 IBADRN COCCn1cc([C@H]2[C@H](CN3C(=O)[C@H]4CNC(=O)CN4C3=O)CC(=O)N2C(C)(C)C)cn1 ZINC000514445394 1071819440 /nfs/dbraw/zinc/81/94/40/1071819440.db2.gz KMMOGIICSLGERY-JCKWVBRZSA-N 0 0 446.508 -0.020 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C)[C@@H](c3nccn3C)C2)cn1 ZINC000514445675 1071820140 /nfs/dbraw/zinc/82/01/40/1071820140.db2.gz UVULQHXEALKYJS-NUJGCVRESA-N 0 0 442.524 -0.412 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C)[C@H](c3nccn3C)C2)cn1 ZINC000514445676 1071820033 /nfs/dbraw/zinc/82/00/33/1071820033.db2.gz UVULQHXEALKYJS-RYRKJORJSA-N 0 0 442.524 -0.412 20 0 IBADRN COCCN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000514447186 1071820210 /nfs/dbraw/zinc/82/02/10/1071820210.db2.gz HTMSGTNTMMHEPC-UHFFFAOYSA-N 0 0 426.539 -0.090 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)ccn2)CC1 ZINC000514447889 1071820194 /nfs/dbraw/zinc/82/01/94/1071820194.db2.gz HGWSTLHPLSKWQJ-UHFFFAOYSA-N 0 0 432.525 -0.677 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000514448045 1071820093 /nfs/dbraw/zinc/82/00/93/1071820093.db2.gz RHJPBEGJAXATNO-MRXNPFEDSA-N 0 0 446.508 -0.567 20 0 IBADRN O=C1C[C@@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1CCc1ccccc1 ZINC000514448431 1071820053 /nfs/dbraw/zinc/82/00/53/1071820053.db2.gz XBVAGVWICZQGIA-GOSISDBHSA-N 0 0 436.534 -0.449 20 0 IBADRN O=C1C[C@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1CCc1ccccc1 ZINC000514448433 1071820060 /nfs/dbraw/zinc/82/00/60/1071820060.db2.gz XBVAGVWICZQGIA-SFHVURJKSA-N 0 0 436.534 -0.449 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000514448515 1071822629 /nfs/dbraw/zinc/82/26/29/1071822629.db2.gz YFVHQKWFNYNJAZ-APWZRJJASA-N 0 0 449.504 -0.211 20 0 IBADRN CC(C)COC[C@@H](O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000514448517 1071822673 /nfs/dbraw/zinc/82/26/73/1071822673.db2.gz YFVHQKWFNYNJAZ-LPHOPBHVSA-N 0 0 449.504 -0.211 20 0 IBADRN CC(C)COC[C@@H](O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000514448518 1071822391 /nfs/dbraw/zinc/82/23/91/1071822391.db2.gz YFVHQKWFNYNJAZ-QFBILLFUSA-N 0 0 449.504 -0.211 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000514448520 1071822691 /nfs/dbraw/zinc/82/26/91/1071822691.db2.gz YFVHQKWFNYNJAZ-VQIMIIECSA-N 0 0 449.504 -0.211 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000514449081 1071822446 /nfs/dbraw/zinc/82/24/46/1071822446.db2.gz JGMBBJCLQMOXSS-UHFFFAOYSA-N 0 0 433.556 -0.469 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000514449125 1071822667 /nfs/dbraw/zinc/82/26/67/1071822667.db2.gz KYEKJZGMODZYAA-KRWDZBQOSA-N 0 0 440.570 -0.292 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000514449126 1071822754 /nfs/dbraw/zinc/82/27/54/1071822754.db2.gz KYEKJZGMODZYAA-QGZVFWFLSA-N 0 0 440.570 -0.292 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1 ZINC000514449451 1071822464 /nfs/dbraw/zinc/82/24/64/1071822464.db2.gz VUPCTDNEYLHVQG-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000514458344 1071821856 /nfs/dbraw/zinc/82/18/56/1071821856.db2.gz MCCUZSWDJFRBNZ-UHFFFAOYSA-N 0 0 434.497 -0.627 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Cc1ccc2c(c1)OCCO2 ZINC000514483688 1071823145 /nfs/dbraw/zinc/82/31/45/1071823145.db2.gz NGRYAUXYWSSEOR-UHFFFAOYSA-N 0 0 432.521 -0.107 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN3CSCC3=O)CC2)c1 ZINC000514484097 1071825092 /nfs/dbraw/zinc/82/50/92/1071825092.db2.gz FHAXXDKFRWKYON-UHFFFAOYSA-N 0 0 433.533 -0.100 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000514484963 1071823890 /nfs/dbraw/zinc/82/38/90/1071823890.db2.gz YDXXXJBETZEAAB-UHFFFAOYSA-N 0 0 433.552 -0.304 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000514486326 1071823759 /nfs/dbraw/zinc/82/37/59/1071823759.db2.gz KISWVVOPECDROB-INIZCTEOSA-N 0 0 438.525 -0.820 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000514486327 1071823839 /nfs/dbraw/zinc/82/38/39/1071823839.db2.gz KISWVVOPECDROB-MRXNPFEDSA-N 0 0 438.525 -0.820 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000514493323 1071825454 /nfs/dbraw/zinc/82/54/54/1071825454.db2.gz NOPCQXVEBKCRFN-UHFFFAOYSA-N 0 0 429.477 -0.645 20 0 IBADRN Cc1cccc([C@H](CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)NC(N)=O)c1 ZINC000514496141 1071826125 /nfs/dbraw/zinc/82/61/25/1071826125.db2.gz CRJFENZAPVGHFO-KRWDZBQOSA-N 0 0 439.538 -0.184 20 0 IBADRN Cc1cccc([C@@H](CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)NC(N)=O)c1 ZINC000514496142 1071826169 /nfs/dbraw/zinc/82/61/69/1071826169.db2.gz CRJFENZAPVGHFO-QGZVFWFLSA-N 0 0 439.538 -0.184 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1 ZINC000514497300 1071826461 /nfs/dbraw/zinc/82/64/61/1071826461.db2.gz FHAFIGZZIYFGKJ-UHFFFAOYSA-N 0 0 446.532 -0.576 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1C ZINC000514499984 1071825010 /nfs/dbraw/zinc/82/50/10/1071825010.db2.gz HLHLLYUSYUFYQF-UHFFFAOYSA-N 0 0 441.535 -0.457 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1ccccc1OC(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC000514501123 1071825432 /nfs/dbraw/zinc/82/54/32/1071825432.db2.gz GUXOBDCONDEHCQ-LLVKDONJSA-N 0 0 433.433 -0.173 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1ccccc1OC(F)F)N[C@H]1CCS(=O)(=O)C1 ZINC000514501124 1071825516 /nfs/dbraw/zinc/82/55/16/1071825516.db2.gz GUXOBDCONDEHCQ-NSHDSACASA-N 0 0 433.433 -0.173 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc(F)cc3)CC2)o1 ZINC000514501212 1071825103 /nfs/dbraw/zinc/82/51/03/1071825103.db2.gz JAJJKJMKBSWMFE-UHFFFAOYSA-N 0 0 438.437 -0.220 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccs3)CC2)o1 ZINC000514501439 1071825036 /nfs/dbraw/zinc/82/50/36/1071825036.db2.gz YADXCHYEYGFPFC-UHFFFAOYSA-N 0 0 426.476 -0.297 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000514502242 1071826771 /nfs/dbraw/zinc/82/67/71/1071826771.db2.gz QFVGZDJEPWDIAH-BETUJISGSA-N 0 0 434.540 -0.093 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000514502243 1071827096 /nfs/dbraw/zinc/82/70/96/1071827096.db2.gz QFVGZDJEPWDIAH-CHWSQXEVSA-N 0 0 434.540 -0.093 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000514502244 1071827061 /nfs/dbraw/zinc/82/70/61/1071827061.db2.gz QFVGZDJEPWDIAH-STQMWFEESA-N 0 0 434.540 -0.093 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)s1 ZINC000514502273 1071826914 /nfs/dbraw/zinc/82/69/14/1071826914.db2.gz USSUKSWXAJJFPE-UHFFFAOYSA-N 0 0 444.560 -0.066 20 0 IBADRN O=C(NCCN1CCOCC1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000514504021 1071826837 /nfs/dbraw/zinc/82/68/37/1071826837.db2.gz CYSQJTIGALCLFS-HNNXBMFYSA-N 0 0 446.551 -0.394 20 0 IBADRN O=C(NCCN1CCOCC1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000514504022 1071826863 /nfs/dbraw/zinc/82/68/63/1071826863.db2.gz CYSQJTIGALCLFS-OAHLLOKOSA-N 0 0 446.551 -0.394 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n(C)n1 ZINC000514507955 1071827472 /nfs/dbraw/zinc/82/74/72/1071827472.db2.gz BULLNNKREQNDEV-UHFFFAOYSA-N 0 0 442.523 -0.086 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000514513194 1071826254 /nfs/dbraw/zinc/82/62/54/1071826254.db2.gz GAGUROQZZRLTIP-UHFFFAOYSA-N 0 0 447.492 -0.264 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)N1CCOCC1 ZINC000514515506 1071827827 /nfs/dbraw/zinc/82/78/27/1071827827.db2.gz BZXQYVFDVXSVFO-KRWDZBQOSA-N 0 0 433.509 -0.297 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000514523378 1071829045 /nfs/dbraw/zinc/82/90/45/1071829045.db2.gz SMSZZXMHVHZKGF-UHFFFAOYSA-N 0 0 449.577 -0.491 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000514523493 1071828777 /nfs/dbraw/zinc/82/87/77/1071828777.db2.gz ILSQVFXXCMQOMJ-UHFFFAOYSA-N 0 0 433.509 -0.960 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)Cn2nc3ccccn3c2=O)c(=O)[nH]c1=O ZINC000514525722 1071827745 /nfs/dbraw/zinc/82/77/45/1071827745.db2.gz BDPSYXLOERMRHP-UHFFFAOYSA-N 0 0 431.453 -0.180 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)s1 ZINC000514526376 1071827614 /nfs/dbraw/zinc/82/76/14/1071827614.db2.gz BBIFXNRAEZAGHG-UHFFFAOYSA-N 0 0 437.297 -0.003 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000514526750 1071829660 /nfs/dbraw/zinc/82/96/60/1071829660.db2.gz UMQKJQJBAHRCHF-UHFFFAOYSA-N 0 0 428.445 -0.879 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)c2c(=O)n(C)c1=O ZINC000514527279 1071829861 /nfs/dbraw/zinc/82/98/61/1071829861.db2.gz SPFJNHHRDMDPJK-UHFFFAOYSA-N 0 0 425.405 -0.876 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)cc1C(N)=O ZINC000514527853 1071829873 /nfs/dbraw/zinc/82/98/73/1071829873.db2.gz NHGHJGUIDOLUON-UHFFFAOYSA-N 0 0 430.483 -0.042 20 0 IBADRN O=C1NC(=O)C2(CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)CC2)N1 ZINC000514529452 1071829678 /nfs/dbraw/zinc/82/96/78/1071829678.db2.gz YFKMIGLBXAVXJE-UHFFFAOYSA-N 0 0 442.519 -0.166 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514530404 1071830580 /nfs/dbraw/zinc/83/05/80/1071830580.db2.gz WJACGBLMCIHLDF-UHFFFAOYSA-N 0 0 440.522 -0.369 20 0 IBADRN O=C(NCCc1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514530684 1071830529 /nfs/dbraw/zinc/83/05/29/1071830529.db2.gz QBSQWPMPWYLYHA-UHFFFAOYSA-N 0 0 426.495 -0.138 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000514533078 1071830353 /nfs/dbraw/zinc/83/03/53/1071830353.db2.gz XSVSKNAQXGRMQV-UHFFFAOYSA-N 0 0 437.565 -0.124 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cc1 ZINC000514534361 1071830570 /nfs/dbraw/zinc/83/05/70/1071830570.db2.gz DTFBZYLDHLGKPZ-UHFFFAOYSA-N 0 0 438.488 -0.019 20 0 IBADRN Cc1cc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n2nc(C(F)(F)F)nc2n1 ZINC000514537700 1071831385 /nfs/dbraw/zinc/83/13/85/1071831385.db2.gz LGFRVOCAMUKRDS-UHFFFAOYSA-N 0 0 427.387 -0.041 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3cnc4ccccc4c3=O)CC2)C[C@H](C)O1 ZINC000514539343 1071831325 /nfs/dbraw/zinc/83/13/25/1071831325.db2.gz KZQBQGCLZDZYOU-HOTGVXAUSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3cnc4ccccc4c3=O)CC2)C[C@@H](C)O1 ZINC000514539344 1071831295 /nfs/dbraw/zinc/83/12/95/1071831295.db2.gz KZQBQGCLZDZYOU-HZPDHXFCSA-N 0 0 449.533 -0.105 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3cnc4ccccc4c3=O)CC2)C[C@H](C)O1 ZINC000514539345 1071831180 /nfs/dbraw/zinc/83/11/80/1071831180.db2.gz KZQBQGCLZDZYOU-IYBDPMFKSA-N 0 0 449.533 -0.105 20 0 IBADRN COc1ccccc1CNC(=O)[C@H](C)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514539480 1071831215 /nfs/dbraw/zinc/83/12/15/1071831215.db2.gz QMDDUSJJQLBXQM-INIZCTEOSA-N 0 0 426.539 -0.106 20 0 IBADRN COc1ccccc1CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000514539481 1071831248 /nfs/dbraw/zinc/83/12/48/1071831248.db2.gz QMDDUSJJQLBXQM-MRXNPFEDSA-N 0 0 426.539 -0.106 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)CC1 ZINC000514542543 1071829943 /nfs/dbraw/zinc/82/99/43/1071829943.db2.gz BXQWQEAQWGEDHQ-UHFFFAOYSA-N 0 0 428.486 -0.209 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000514542624 1071829758 /nfs/dbraw/zinc/82/97/58/1071829758.db2.gz SDAPFWXZRXZRNO-UHFFFAOYSA-N 0 0 431.496 -0.576 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000514543981 1071831189 /nfs/dbraw/zinc/83/11/89/1071831189.db2.gz BXFTZYJRCZBDGB-UHFFFAOYSA-N 0 0 428.486 -0.553 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1S(N)(=O)=O ZINC000514544069 1071831954 /nfs/dbraw/zinc/83/19/54/1071831954.db2.gz PSZXVCPXGDNVHD-AWEZNQCLSA-N 0 0 429.470 -0.126 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1S(N)(=O)=O ZINC000514544070 1071831788 /nfs/dbraw/zinc/83/17/88/1071831788.db2.gz PSZXVCPXGDNVHD-CQSZACIVSA-N 0 0 429.470 -0.126 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](C)CN3CCOCC3)c2)CC1 ZINC000514544188 1071831251 /nfs/dbraw/zinc/83/12/51/1071831251.db2.gz KUBLUJMULACOPT-INIZCTEOSA-N 0 0 438.550 -0.010 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](C)CN3CCOCC3)c2)CC1 ZINC000514544189 1071831322 /nfs/dbraw/zinc/83/13/22/1071831322.db2.gz KUBLUJMULACOPT-MRXNPFEDSA-N 0 0 438.550 -0.010 20 0 IBADRN COCC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000514544905 1071831849 /nfs/dbraw/zinc/83/18/49/1071831849.db2.gz NWYOPFMCIIGWRJ-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000514545955 1071831920 /nfs/dbraw/zinc/83/19/20/1071831920.db2.gz PMLRZCATIYMAFP-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000514547329 1071831944 /nfs/dbraw/zinc/83/19/44/1071831944.db2.gz FTZXDEOULIPOTH-LLVKDONJSA-N 0 0 446.913 -0.060 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cccc(C(N)=O)c2)cc1 ZINC000514548641 1071831794 /nfs/dbraw/zinc/83/17/94/1071831794.db2.gz LWQGDHWLOIKUJE-UHFFFAOYSA-N 0 0 434.474 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCNC(C)=O ZINC000514548960 1072617945 /nfs/dbraw/zinc/61/79/45/1072617945.db2.gz YCRCCFXJBHTTMA-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCOc2ccc3c(c2)OCO3)c1 ZINC000514549132 1071831929 /nfs/dbraw/zinc/83/19/29/1071831929.db2.gz YCLRENPQOOEJHV-UHFFFAOYSA-N 0 0 428.401 -0.236 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCc2ccc3c(c2)OCCO3)c1 ZINC000514549282 1071831974 /nfs/dbraw/zinc/83/19/74/1071831974.db2.gz QBCVONLNTMCSQP-UHFFFAOYSA-N 0 0 426.429 -0.030 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000514549667 1071832667 /nfs/dbraw/zinc/83/26/67/1071832667.db2.gz AHGPWLISJUMLMM-CYBMUJFWSA-N 0 0 425.463 -0.470 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000514549672 1071832703 /nfs/dbraw/zinc/83/27/03/1071832703.db2.gz AHGPWLISJUMLMM-ZDUSSCGKSA-N 0 0 425.463 -0.470 20 0 IBADRN CN(C)C(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000514550383 1071832603 /nfs/dbraw/zinc/83/26/03/1071832603.db2.gz AEEOUQMZMUHLBO-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000514550658 1071832552 /nfs/dbraw/zinc/83/25/52/1071832552.db2.gz ODKISVVPQLOWBZ-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1OCC(N)=O ZINC000514551503 1071832698 /nfs/dbraw/zinc/83/26/98/1071832698.db2.gz IEFPZYKDNSQMDF-UHFFFAOYSA-N 0 0 430.483 -0.668 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000514551747 1071832574 /nfs/dbraw/zinc/83/25/74/1071832574.db2.gz LPOHSTBSJHCZTR-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000514552193 1071832617 /nfs/dbraw/zinc/83/26/17/1071832617.db2.gz PDCKRWUUWUTLKO-UHFFFAOYSA-N 0 0 428.511 -0.026 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000514555344 1071833025 /nfs/dbraw/zinc/83/30/25/1071833025.db2.gz MBBIMDSBWFAROW-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CC1 ZINC000514556220 1071833373 /nfs/dbraw/zinc/83/33/73/1071833373.db2.gz SMSUWBZDMNEMGE-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000514558461 1071833299 /nfs/dbraw/zinc/83/32/99/1071833299.db2.gz QTNZGBIZLAPVMZ-UHFFFAOYSA-N 0 0 430.446 -0.745 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)c(=O)[nH]c1=O ZINC000514562486 1071834014 /nfs/dbraw/zinc/83/40/14/1071834014.db2.gz NELOWQOWVAORHJ-UHFFFAOYSA-N 0 0 436.446 -0.596 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000514565452 1071834026 /nfs/dbraw/zinc/83/40/26/1071834026.db2.gz VCXKONKMFAGJCL-GOSISDBHSA-N 0 0 446.487 -0.261 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000514565453 1071834485 /nfs/dbraw/zinc/83/44/85/1071834485.db2.gz VCXKONKMFAGJCL-SFHVURJKSA-N 0 0 446.487 -0.261 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000514566711 1071834520 /nfs/dbraw/zinc/83/45/20/1071834520.db2.gz JAEBLFGWPPDOLF-UHFFFAOYSA-N 0 0 437.522 -0.647 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000514569321 1071834578 /nfs/dbraw/zinc/83/45/78/1071834578.db2.gz AGMGCYJOMRMPCJ-UHFFFAOYSA-N 0 0 435.524 -0.328 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3ccc4c(c3)OCO4)CC2)CC1 ZINC000514571791 1071833947 /nfs/dbraw/zinc/83/39/47/1071833947.db2.gz PXCHQNJXRDGJGO-UHFFFAOYSA-N 0 0 439.538 -0.223 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)s1 ZINC000514579421 1071835121 /nfs/dbraw/zinc/83/51/21/1071835121.db2.gz QKGDZNYSARZJSD-UHFFFAOYSA-N 0 0 429.524 -0.711 20 0 IBADRN O=C(NCc1nnc2ccccn21)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000514617067 1071835785 /nfs/dbraw/zinc/83/57/85/1071835785.db2.gz HNDQBAGZHCMWFO-UHFFFAOYSA-N 0 0 440.485 -0.051 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)c1 ZINC000514618829 1071836636 /nfs/dbraw/zinc/83/66/36/1071836636.db2.gz LKELVJQDIMFYQN-UHFFFAOYSA-N 0 0 432.502 -0.014 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000514623893 1071836549 /nfs/dbraw/zinc/83/65/49/1071836549.db2.gz IMFOPZFDPDTFGN-GBJTYRQASA-N 0 0 427.523 -0.298 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000514623894 1071836561 /nfs/dbraw/zinc/83/65/61/1071836561.db2.gz IMFOPZFDPDTFGN-LJISPDSOSA-N 0 0 427.523 -0.298 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](C)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000514623895 1071836526 /nfs/dbraw/zinc/83/65/26/1071836526.db2.gz IMFOPZFDPDTFGN-TUVASFSCSA-N 0 0 427.523 -0.298 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000514623896 1071836583 /nfs/dbraw/zinc/83/65/83/1071836583.db2.gz IMFOPZFDPDTFGN-XGUBFFRZSA-N 0 0 427.523 -0.298 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)C2=O)n(C)n1 ZINC000514625653 1071836651 /nfs/dbraw/zinc/83/66/51/1071836651.db2.gz IRJRNNIRGRGZFY-DZGCQCFKSA-N 0 0 429.456 -0.121 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)C2=O)n(C)n1 ZINC000514625654 1071836468 /nfs/dbraw/zinc/83/64/68/1071836468.db2.gz IRJRNNIRGRGZFY-HIFRSBDPSA-N 0 0 429.456 -0.121 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)C2=O)n(C)n1 ZINC000514625655 1071837109 /nfs/dbraw/zinc/83/71/09/1071837109.db2.gz IRJRNNIRGRGZFY-UKRRQHHQSA-N 0 0 429.456 -0.121 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)C2=O)n(C)n1 ZINC000514625656 1071837328 /nfs/dbraw/zinc/83/73/28/1071837328.db2.gz IRJRNNIRGRGZFY-ZFWWWQNUSA-N 0 0 429.456 -0.121 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000514627862 1071837272 /nfs/dbraw/zinc/83/72/72/1071837272.db2.gz GCSQPDVGYNVPST-GOSISDBHSA-N 0 0 447.511 -0.288 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000514627869 1071837127 /nfs/dbraw/zinc/83/71/27/1071837127.db2.gz GCSQPDVGYNVPST-SFHVURJKSA-N 0 0 447.511 -0.288 20 0 IBADRN CS[C@@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(C)(C)C ZINC000514628584 1071836957 /nfs/dbraw/zinc/83/69/57/1071836957.db2.gz QECVDINGXMVKCW-HNNXBMFYSA-N 0 0 449.643 -0.483 20 0 IBADRN CS[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(C)(C)C ZINC000514628585 1071836977 /nfs/dbraw/zinc/83/69/77/1071836977.db2.gz QECVDINGXMVKCW-OAHLLOKOSA-N 0 0 449.643 -0.483 20 0 IBADRN COCCN(C(=O)CN1CCSC[C@H]1CO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000514629010 1071837316 /nfs/dbraw/zinc/83/73/16/1071837316.db2.gz YBCKOBVHQJLLCJ-CYBMUJFWSA-N 0 0 429.543 -0.424 20 0 IBADRN COCCN(C(=O)CN1CCSC[C@@H]1CO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000514629013 1071837208 /nfs/dbraw/zinc/83/72/08/1071837208.db2.gz YBCKOBVHQJLLCJ-ZDUSSCGKSA-N 0 0 429.543 -0.424 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)[C@H](C)C1 ZINC000514629694 1071837992 /nfs/dbraw/zinc/83/79/92/1071837992.db2.gz WHKNIALUQWGINI-CVEARBPZSA-N 0 0 448.524 -0.877 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)[C@@H](C)C1 ZINC000514629698 1071838125 /nfs/dbraw/zinc/83/81/25/1071838125.db2.gz WHKNIALUQWGINI-HOTGVXAUSA-N 0 0 448.524 -0.877 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)[C@H](C)C1 ZINC000514629700 1071838149 /nfs/dbraw/zinc/83/81/49/1071838149.db2.gz WHKNIALUQWGINI-HZPDHXFCSA-N 0 0 448.524 -0.877 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)[C@@H](C)C1 ZINC000514629701 1071838061 /nfs/dbraw/zinc/83/80/61/1071838061.db2.gz WHKNIALUQWGINI-JKSUJKDBSA-N 0 0 448.524 -0.877 20 0 IBADRN CN(Cc1cc(C(C)(C)C)n[nH]1)C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000514630380 1071837899 /nfs/dbraw/zinc/83/78/99/1071837899.db2.gz KBNVKDSTUVSXHI-UHFFFAOYSA-N 0 0 426.499 -0.111 20 0 IBADRN CSCC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCO ZINC000514633491 1071837887 /nfs/dbraw/zinc/83/78/87/1071837887.db2.gz DTTGULAISJXOQG-INIZCTEOSA-N 0 0 445.563 -0.333 20 0 IBADRN CSCC[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCCO ZINC000514633494 1071838137 /nfs/dbraw/zinc/83/81/37/1071838137.db2.gz DTTGULAISJXOQG-MRXNPFEDSA-N 0 0 445.563 -0.333 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H]3CCO)CC2)cn1C ZINC000514633807 1071838808 /nfs/dbraw/zinc/83/88/08/1071838808.db2.gz NWABDMSUILZANV-CABCVRRESA-N 0 0 427.527 -0.771 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCC[C@H]3CCO)CC2)cn1C ZINC000514633808 1071838718 /nfs/dbraw/zinc/83/87/18/1071838718.db2.gz NWABDMSUILZANV-GJZGRUSLSA-N 0 0 427.527 -0.771 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3CCO)CC2)cn1C ZINC000514633809 1071838662 /nfs/dbraw/zinc/83/86/62/1071838662.db2.gz NWABDMSUILZANV-HUUCEWRRSA-N 0 0 427.527 -0.771 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3CCO)CC2)cn1C ZINC000514633810 1071838706 /nfs/dbraw/zinc/83/87/06/1071838706.db2.gz NWABDMSUILZANV-LSDHHAIUSA-N 0 0 427.527 -0.771 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2nnc(SC)s2)CC1 ZINC000514633954 1071838052 /nfs/dbraw/zinc/83/80/52/1071838052.db2.gz STZJIEXNRQSDFV-GFCCVEGCSA-N 0 0 444.583 -0.438 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2nnc(SC)s2)CC1 ZINC000514633956 1071837830 /nfs/dbraw/zinc/83/78/30/1071837830.db2.gz STZJIEXNRQSDFV-LBPRGKRZSA-N 0 0 444.583 -0.438 20 0 IBADRN COC(=O)[C@@H](C)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H](C)C(=O)OC)cc1 ZINC000514635938 1071839451 /nfs/dbraw/zinc/83/94/51/1071839451.db2.gz WVPNSPIMVKISRL-RYUDHWBXSA-N 0 0 436.508 -0.139 20 0 IBADRN COC(=O)[C@H](C)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H](C)C(=O)OC)cc1 ZINC000514635940 1071839442 /nfs/dbraw/zinc/83/94/42/1071839442.db2.gz WVPNSPIMVKISRL-TXEJJXNPSA-N 0 0 436.508 -0.139 20 0 IBADRN COC(=O)[C@H](C)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H](C)C(=O)OC)cc1 ZINC000514635941 1071839378 /nfs/dbraw/zinc/83/93/78/1071839378.db2.gz WVPNSPIMVKISRL-VXGBXAGGSA-N 0 0 436.508 -0.139 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)c(=O)[nH]c1=O ZINC000514650120 1071840540 /nfs/dbraw/zinc/84/05/40/1071840540.db2.gz MQZIEACFDRBRFK-IBGZPJMESA-N 0 0 436.469 -0.359 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)c(=O)[nH]c1=O ZINC000514650131 1071840428 /nfs/dbraw/zinc/84/04/28/1071840428.db2.gz MQZIEACFDRBRFK-LJQANCHMSA-N 0 0 436.469 -0.359 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000514661366 1071840515 /nfs/dbraw/zinc/84/05/15/1071840515.db2.gz LEMKRVMSHNLTDE-UHFFFAOYSA-N 0 0 438.550 -0.415 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000514661456 1071841091 /nfs/dbraw/zinc/84/10/91/1071841091.db2.gz GRORSYWZJGUDLV-UHFFFAOYSA-N 0 0 444.941 -0.379 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000514661461 1071841081 /nfs/dbraw/zinc/84/10/81/1071841081.db2.gz SBZRLMRWAAXCOA-UHFFFAOYSA-N 0 0 428.486 -0.893 20 0 IBADRN C[C@@H](CCc1ccco1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000514661498 1071841183 /nfs/dbraw/zinc/84/11/83/1071841183.db2.gz DQXLKTMMAQFDBA-INIZCTEOSA-N 0 0 441.554 -0.647 20 0 IBADRN C[C@H](CCc1ccco1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000514661499 1071841245 /nfs/dbraw/zinc/84/12/45/1071841245.db2.gz DQXLKTMMAQFDBA-MRXNPFEDSA-N 0 0 441.554 -0.647 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1ccc2c(c1)OCCO2 ZINC000514664223 1071841067 /nfs/dbraw/zinc/84/10/67/1071841067.db2.gz BKXBYNXPJYIWIT-UHFFFAOYSA-N 0 0 425.507 -0.045 20 0 IBADRN CN(CCc1cnccn1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000514677809 1071841284 /nfs/dbraw/zinc/84/12/84/1071841284.db2.gz ZPDKHAXRSNSSIB-UHFFFAOYSA-N 0 0 447.517 -0.185 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000514681089 1071841266 /nfs/dbraw/zinc/84/12/66/1071841266.db2.gz OQINXCGGOAQYRT-UHFFFAOYSA-N 0 0 432.524 -0.834 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)ccc1S(=O)(=O)N(C)C ZINC000514681636 1071841026 /nfs/dbraw/zinc/84/10/26/1071841026.db2.gz VETZZLGMKAWPFL-UHFFFAOYSA-N 0 0 441.510 -0.290 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)nn1 ZINC000514682290 1071841168 /nfs/dbraw/zinc/84/11/68/1071841168.db2.gz JENNOVIPIGONEM-UHFFFAOYSA-N 0 0 436.450 -0.858 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)C1 ZINC000514685547 1071841752 /nfs/dbraw/zinc/84/17/52/1071841752.db2.gz VQEYOXPLYUIVSQ-GDBMZVCRSA-N 0 0 445.563 -0.186 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)C1 ZINC000514685548 1071841883 /nfs/dbraw/zinc/84/18/83/1071841883.db2.gz VQEYOXPLYUIVSQ-GOEBONIOSA-N 0 0 445.563 -0.186 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)C1 ZINC000514685549 1071841810 /nfs/dbraw/zinc/84/18/10/1071841810.db2.gz VQEYOXPLYUIVSQ-HOCLYGCPSA-N 0 0 445.563 -0.186 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)C1 ZINC000514685550 1071841920 /nfs/dbraw/zinc/84/19/20/1071841920.db2.gz VQEYOXPLYUIVSQ-ZBFHGGJFSA-N 0 0 445.563 -0.186 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cnn1-c1ccc(Cl)cc1 ZINC000514690444 1071843177 /nfs/dbraw/zinc/84/31/77/1071843177.db2.gz OCTQRZKYWGMJMK-AWEZNQCLSA-N 0 0 430.852 -0.058 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cnn1-c1ccc(Cl)cc1 ZINC000514690448 1071843202 /nfs/dbraw/zinc/84/32/02/1071843202.db2.gz OCTQRZKYWGMJMK-CQSZACIVSA-N 0 0 430.852 -0.058 20 0 IBADRN CCOC(=O)[C@]1(C(C)C)CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000514690479 1071843273 /nfs/dbraw/zinc/84/32/73/1071843273.db2.gz ROWYFYOPBDQREV-GOSISDBHSA-N 0 0 444.510 -0.352 20 0 IBADRN CCOC(=O)[C@@]1(C(C)C)CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000514690483 1071843083 /nfs/dbraw/zinc/84/30/83/1071843083.db2.gz ROWYFYOPBDQREV-SFHVURJKSA-N 0 0 444.510 -0.352 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)s1 ZINC000514691750 1071843947 /nfs/dbraw/zinc/84/39/47/1071843947.db2.gz ZEKBQSAKHCMKBD-CYBMUJFWSA-N 0 0 443.551 -0.486 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)s1 ZINC000514691754 1071843848 /nfs/dbraw/zinc/84/38/48/1071843848.db2.gz ZEKBQSAKHCMKBD-ZDUSSCGKSA-N 0 0 443.551 -0.486 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000514694991 1071843857 /nfs/dbraw/zinc/84/38/57/1071843857.db2.gz LNJIFVRAGXPVPR-UHFFFAOYSA-N 0 0 433.430 -0.081 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000514701589 1071843933 /nfs/dbraw/zinc/84/39/33/1071843933.db2.gz UEGAGPADMLQZJC-UHFFFAOYSA-N 0 0 435.506 -0.115 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)Cn3ccc(=O)[nH]c3=O)CC2)c1 ZINC000514702761 1071843968 /nfs/dbraw/zinc/84/39/68/1071843968.db2.gz WIZQNTCNZDGJNT-UHFFFAOYSA-N 0 0 442.475 -0.670 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c2ccccc2O1 ZINC000514710658 1071843896 /nfs/dbraw/zinc/84/38/96/1071843896.db2.gz HFPLENFVJAUHKK-AWEZNQCLSA-N 0 0 426.433 -0.636 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c2ccccc2O1 ZINC000514710659 1071844404 /nfs/dbraw/zinc/84/44/04/1071844404.db2.gz HFPLENFVJAUHKK-CQSZACIVSA-N 0 0 426.433 -0.636 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000514710683 1071844391 /nfs/dbraw/zinc/84/43/91/1071844391.db2.gz KVRWYWIVAMKOBQ-CRAIPNDOSA-N 0 0 437.518 -0.384 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000514710684 1071844436 /nfs/dbraw/zinc/84/44/36/1071844436.db2.gz KVRWYWIVAMKOBQ-MAUKXSAKSA-N 0 0 437.518 -0.384 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000514710685 1071844340 /nfs/dbraw/zinc/84/43/40/1071844340.db2.gz KVRWYWIVAMKOBQ-QAPCUYQASA-N 0 0 437.518 -0.384 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000514710686 1071844409 /nfs/dbraw/zinc/84/44/09/1071844409.db2.gz KVRWYWIVAMKOBQ-YJBOKZPZSA-N 0 0 437.518 -0.384 20 0 IBADRN CCN(CC)C(=O)CN1CCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000514714438 1071844377 /nfs/dbraw/zinc/84/43/77/1071844377.db2.gz LQZYUIYHSJJKHW-KRWDZBQOSA-N 0 0 432.587 -0.866 20 0 IBADRN CCN(CC)C(=O)CN1CCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000514714439 1071844397 /nfs/dbraw/zinc/84/43/97/1071844397.db2.gz LQZYUIYHSJJKHW-QGZVFWFLSA-N 0 0 432.587 -0.866 20 0 IBADRN CC(C)NC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000514717208 1071844325 /nfs/dbraw/zinc/84/43/25/1071844325.db2.gz MHZZJSISDNMUEA-UHFFFAOYSA-N 0 0 446.551 -0.625 20 0 IBADRN CCCNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000514727200 1071847808 /nfs/dbraw/zinc/84/78/08/1071847808.db2.gz XEYGJRJCOXMVGI-UHFFFAOYSA-N 0 0 446.551 -0.623 20 0 IBADRN Cc1onc(N)c1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000514731774 1071848129 /nfs/dbraw/zinc/84/81/29/1071848129.db2.gz ZQNGFTYUPYXPCI-UHFFFAOYSA-N 0 0 430.512 -0.609 20 0 IBADRN CC[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)S1(=O)=O ZINC000514736258 1071849838 /nfs/dbraw/zinc/84/98/38/1071849838.db2.gz FHDFBPGKMGJMPW-DZGCQCFKSA-N 0 0 443.547 -0.155 20 0 IBADRN CC[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H](C)S1(=O)=O ZINC000514736265 1071849087 /nfs/dbraw/zinc/84/90/87/1071849087.db2.gz FHDFBPGKMGJMPW-HIFRSBDPSA-N 0 0 443.547 -0.155 20 0 IBADRN CC[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H](C)S1(=O)=O ZINC000514736268 1071849049 /nfs/dbraw/zinc/84/90/49/1071849049.db2.gz FHDFBPGKMGJMPW-UKRRQHHQSA-N 0 0 443.547 -0.155 20 0 IBADRN CC[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)S1(=O)=O ZINC000514736271 1071849184 /nfs/dbraw/zinc/84/91/84/1071849184.db2.gz FHDFBPGKMGJMPW-ZFWWWQNUSA-N 0 0 443.547 -0.155 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000514736365 1071849824 /nfs/dbraw/zinc/84/98/24/1071849824.db2.gz OXRHNGDLUKORBV-UHFFFAOYSA-N 0 0 448.492 -0.460 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3ccc(C(=O)N(C)C)cc3)CC2)n1 ZINC000514742241 1071847097 /nfs/dbraw/zinc/84/70/97/1071847097.db2.gz QBNPOPCDIGJSAC-UHFFFAOYSA-N 0 0 444.492 -0.122 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000514743267 1071847234 /nfs/dbraw/zinc/84/72/34/1071847234.db2.gz WZGBFIRBAVCELL-DYESRHJHSA-N 0 0 432.525 -0.489 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000514743274 1071847178 /nfs/dbraw/zinc/84/71/78/1071847178.db2.gz WZGBFIRBAVCELL-LAUBAEHRSA-N 0 0 432.525 -0.489 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000514743275 1071846911 /nfs/dbraw/zinc/84/69/11/1071846911.db2.gz WZGBFIRBAVCELL-UTKZUKDTSA-N 0 0 432.525 -0.489 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000514743276 1071847189 /nfs/dbraw/zinc/84/71/89/1071847189.db2.gz WZGBFIRBAVCELL-UWJYYQICSA-N 0 0 432.525 -0.489 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000514745009 1071852241 /nfs/dbraw/zinc/85/22/41/1071852241.db2.gz FJXUEDVBZGGUFC-UHFFFAOYSA-N 0 0 437.566 -0.587 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000514748682 1071849717 /nfs/dbraw/zinc/84/97/17/1071849717.db2.gz ZAXWHOKNIXECTJ-UHFFFAOYSA-N 0 0 442.542 -0.529 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000514749187 1071849576 /nfs/dbraw/zinc/84/95/76/1071849576.db2.gz JAGZMBCNHQSSGK-KBPBESRZSA-N 0 0 439.563 -0.435 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000514749188 1071849642 /nfs/dbraw/zinc/84/96/42/1071849642.db2.gz JAGZMBCNHQSSGK-KGLIPLIRSA-N 0 0 439.563 -0.435 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000514749189 1071849705 /nfs/dbraw/zinc/84/97/05/1071849705.db2.gz JAGZMBCNHQSSGK-UONOGXRCSA-N 0 0 439.563 -0.435 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000514749190 1071850467 /nfs/dbraw/zinc/85/04/67/1071850467.db2.gz JAGZMBCNHQSSGK-ZIAGYGMSSA-N 0 0 439.563 -0.435 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000514750510 1071850414 /nfs/dbraw/zinc/85/04/14/1071850414.db2.gz CDYDTOCAQCIDFO-KBPBESRZSA-N 0 0 441.535 -0.334 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000514750511 1071850453 /nfs/dbraw/zinc/85/04/53/1071850453.db2.gz CDYDTOCAQCIDFO-KGLIPLIRSA-N 0 0 441.535 -0.334 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCn2c(Cc3ccccc3)nnc2C1)C(=O)N1CCOCC1 ZINC000514750775 1071850222 /nfs/dbraw/zinc/85/02/22/1071850222.db2.gz KFGDMZFWECMPKL-KRWDZBQOSA-N 0 0 440.504 -0.035 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCn2c(Cc3ccccc3)nnc2C1)C(=O)N1CCOCC1 ZINC000514750776 1071850172 /nfs/dbraw/zinc/85/01/72/1071850172.db2.gz KFGDMZFWECMPKL-QGZVFWFLSA-N 0 0 440.504 -0.035 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)CO[C@@H]2CCOC2)C1 ZINC000514752443 1071850258 /nfs/dbraw/zinc/85/02/58/1071850258.db2.gz SLHVYLNJGDMCPK-ARFHVFGLSA-N 0 0 435.543 -0.804 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)CO[C@@H]2CCOC2)C1 ZINC000514752444 1071850299 /nfs/dbraw/zinc/85/02/99/1071850299.db2.gz SLHVYLNJGDMCPK-BZUAXINKSA-N 0 0 435.543 -0.804 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)CO[C@H]2CCOC2)C1 ZINC000514752445 1071850861 /nfs/dbraw/zinc/85/08/61/1071850861.db2.gz SLHVYLNJGDMCPK-HRCADAONSA-N 0 0 435.543 -0.804 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)CO[C@H]2CCOC2)C1 ZINC000514752446 1071850889 /nfs/dbraw/zinc/85/08/89/1071850889.db2.gz SLHVYLNJGDMCPK-OWCLPIDISA-N 0 0 435.543 -0.804 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000514754872 1071851021 /nfs/dbraw/zinc/85/10/21/1071851021.db2.gz DUNZBSRIOINIQR-INIZCTEOSA-N 0 0 448.520 -0.037 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@H]2CCN(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000514754873 1071851073 /nfs/dbraw/zinc/85/10/73/1071851073.db2.gz DUNZBSRIOINIQR-MRXNPFEDSA-N 0 0 448.520 -0.037 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000514756121 1071851168 /nfs/dbraw/zinc/85/11/68/1071851168.db2.gz AUEGSKXGGKGPSI-UHFFFAOYSA-N 0 0 427.527 -0.506 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C)[C@H](c3nccn3C)C2)C1=O ZINC000514759335 1071851654 /nfs/dbraw/zinc/85/16/54/1071851654.db2.gz ZYSWYLAGFKBZNH-CVEARBPZSA-N 0 0 442.524 -0.237 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C)[C@H](c3nccn3C)C2)C1=O ZINC000514759336 1071851615 /nfs/dbraw/zinc/85/16/15/1071851615.db2.gz ZYSWYLAGFKBZNH-HOTGVXAUSA-N 0 0 442.524 -0.237 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C)[C@@H](c3nccn3C)C2)C1=O ZINC000514759337 1071851894 /nfs/dbraw/zinc/85/18/94/1071851894.db2.gz ZYSWYLAGFKBZNH-HZPDHXFCSA-N 0 0 442.524 -0.237 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C)[C@@H](c3nccn3C)C2)C1=O ZINC000514759338 1071851630 /nfs/dbraw/zinc/85/16/30/1071851630.db2.gz ZYSWYLAGFKBZNH-JKSUJKDBSA-N 0 0 442.524 -0.237 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)N1CCC(C(=O)N2CCCC2)CC1 ZINC000514759569 1071851776 /nfs/dbraw/zinc/85/17/76/1071851776.db2.gz BTZXBRHPEDQVEN-UHFFFAOYSA-N 0 0 438.554 -0.423 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cn1C ZINC000514762800 1071853627 /nfs/dbraw/zinc/85/36/27/1071853627.db2.gz UGVZOFUOSWTCSZ-UHFFFAOYSA-N 0 0 429.499 -0.519 20 0 IBADRN NC(=O)[C@@H]1CN(c2ccc3nc(C(F)F)nc(N4CCO[C@H](C(N)=O)C4)c3c2)CCO1 ZINC000514765053 1071852573 /nfs/dbraw/zinc/85/25/73/1071852573.db2.gz VDJKUQYFBINSLE-KBPBESRZSA-N 0 0 436.419 -0.052 20 0 IBADRN NC(=O)[C@H]1CN(c2ccc3nc(C(F)F)nc(N4CCO[C@H](C(N)=O)C4)c3c2)CCO1 ZINC000514765054 1071852521 /nfs/dbraw/zinc/85/25/21/1071852521.db2.gz VDJKUQYFBINSLE-KGLIPLIRSA-N 0 0 436.419 -0.052 20 0 IBADRN NC(=O)[C@H]1CN(c2nc(C(F)F)nc3ccc(N4CCO[C@H](C(N)=O)C4)cc32)CCO1 ZINC000514765055 1071853337 /nfs/dbraw/zinc/85/33/37/1071853337.db2.gz VDJKUQYFBINSLE-UONOGXRCSA-N 0 0 436.419 -0.052 20 0 IBADRN NC(=O)[C@H]1CN(c2ccc3nc(C(F)F)nc(N4CCO[C@@H](C(N)=O)C4)c3c2)CCO1 ZINC000514765056 1071853267 /nfs/dbraw/zinc/85/32/67/1071853267.db2.gz VDJKUQYFBINSLE-ZIAGYGMSSA-N 0 0 436.419 -0.052 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccc(O)c2OC)c(=O)n1CC(=O)N1CCOCC1 ZINC000514765363 1071852551 /nfs/dbraw/zinc/85/25/51/1071852551.db2.gz CCPDLQOPHWWCML-UHFFFAOYSA-N 0 0 448.497 -0.345 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000514775284 1071853846 /nfs/dbraw/zinc/85/38/46/1071853846.db2.gz RFSRANJPYSKLJV-CYBMUJFWSA-N 0 0 439.487 -0.651 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000514775285 1071853911 /nfs/dbraw/zinc/85/39/11/1071853911.db2.gz RFSRANJPYSKLJV-ZDUSSCGKSA-N 0 0 439.487 -0.651 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccc2O)cn1 ZINC000514790772 1071854535 /nfs/dbraw/zinc/85/45/35/1071854535.db2.gz DRJKIGONVLQFCG-UHFFFAOYSA-N 0 0 443.507 -0.173 20 0 IBADRN NC(=O)COc1ccccc1C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000514794059 1071854522 /nfs/dbraw/zinc/85/45/22/1071854522.db2.gz BZJGDQYKFSHBSB-UHFFFAOYSA-N 0 0 432.458 -0.077 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000514802329 1071855244 /nfs/dbraw/zinc/85/52/44/1071855244.db2.gz LGVACNIBHCQTJS-UHFFFAOYSA-N 0 0 443.430 -0.705 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000514803985 1071855937 /nfs/dbraw/zinc/85/59/37/1071855937.db2.gz QOVNYFRQJCJICU-HNNXBMFYSA-N 0 0 430.513 -0.594 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000514803986 1071855833 /nfs/dbraw/zinc/85/58/33/1071855833.db2.gz QOVNYFRQJCJICU-OAHLLOKOSA-N 0 0 430.513 -0.594 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000514805107 1071855947 /nfs/dbraw/zinc/85/59/47/1071855947.db2.gz KPZZMFUBFLLZHU-UHFFFAOYSA-N 0 0 447.492 -0.984 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1cc(I)ccc1-n1cnnn1 ZINC000514808123 1071856441 /nfs/dbraw/zinc/85/64/41/1071856441.db2.gz IWHLKCOEXGUULG-NSHDSACASA-N 0 0 430.206 -0.161 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000514809103 1071856228 /nfs/dbraw/zinc/85/62/28/1071856228.db2.gz FJMFDVJIHMCXOJ-UHFFFAOYSA-N 0 0 431.540 -0.384 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)C1 ZINC000514809548 1071856212 /nfs/dbraw/zinc/85/62/12/1071856212.db2.gz BMZOCTLMKPFJNK-KBPBESRZSA-N 0 0 427.502 -0.156 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)C1 ZINC000514809549 1071856329 /nfs/dbraw/zinc/85/63/29/1071856329.db2.gz BMZOCTLMKPFJNK-KGLIPLIRSA-N 0 0 427.502 -0.156 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)C1 ZINC000514809550 1071856247 /nfs/dbraw/zinc/85/62/47/1071856247.db2.gz BMZOCTLMKPFJNK-UONOGXRCSA-N 0 0 427.502 -0.156 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)C1 ZINC000514809551 1071856420 /nfs/dbraw/zinc/85/64/20/1071856420.db2.gz BMZOCTLMKPFJNK-ZIAGYGMSSA-N 0 0 427.502 -0.156 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1=O ZINC000514814454 1071857530 /nfs/dbraw/zinc/85/75/30/1071857530.db2.gz RQYUPMFDIZXOEB-KGLIPLIRSA-N 0 0 438.506 -0.543 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1=O ZINC000514814455 1071857587 /nfs/dbraw/zinc/85/75/87/1071857587.db2.gz RQYUPMFDIZXOEB-ZIAGYGMSSA-N 0 0 438.506 -0.543 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3C[C@H](C)O[C@]4(CCOC4)C3)C2)nc1 ZINC000514817023 1071858295 /nfs/dbraw/zinc/85/82/95/1071858295.db2.gz SCYNKJTUKUCZTI-LHSJRXKWSA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3C[C@H](C)O[C@@]4(CCOC4)C3)C2)nc1 ZINC000514817024 1071858585 /nfs/dbraw/zinc/85/85/85/1071858585.db2.gz SCYNKJTUKUCZTI-QKKBWIMNSA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3C[C@@H](C)O[C@]4(CCOC4)C3)C2)nc1 ZINC000514817025 1071859106 /nfs/dbraw/zinc/85/91/06/1071859106.db2.gz SCYNKJTUKUCZTI-SPLOXXLWSA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3C[C@@H](C)O[C@@]4(CCOC4)C3)C2)nc1 ZINC000514817026 1071859233 /nfs/dbraw/zinc/85/92/33/1071859233.db2.gz SCYNKJTUKUCZTI-SZNDQCEHSA-N 0 0 432.477 -0.031 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)CCN1CCN(C(=O)[C@H]3CCCO3)CC1)C2 ZINC000514817640 1071858466 /nfs/dbraw/zinc/85/84/66/1071858466.db2.gz KEGJHQATJFBBPA-FXAWDEMLSA-N 0 0 433.553 -0.129 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)CCN1CCN(C(=O)[C@@H]3CCCO3)CC1)C2 ZINC000514817641 1071858609 /nfs/dbraw/zinc/85/86/09/1071858609.db2.gz KEGJHQATJFBBPA-PXNSSMCTSA-N 0 0 433.553 -0.129 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)CCN1CCN(C(=O)[C@@H]3CCCO3)CC1)C2 ZINC000514817642 1071858310 /nfs/dbraw/zinc/85/83/10/1071858310.db2.gz KEGJHQATJFBBPA-XLIONFOSSA-N 0 0 433.553 -0.129 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)CCN1CCN(C(=O)[C@H]3CCCO3)CC1)C2 ZINC000514817643 1071858398 /nfs/dbraw/zinc/85/83/98/1071858398.db2.gz KEGJHQATJFBBPA-YLJYHZDGSA-N 0 0 433.553 -0.129 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)[C@@H](C)C1 ZINC000514818498 1071859248 /nfs/dbraw/zinc/85/92/48/1071859248.db2.gz KOHVINPCNNXUKC-DLBZAZTESA-N 0 0 434.541 -0.091 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)[C@H](C)C1 ZINC000514818499 1071859306 /nfs/dbraw/zinc/85/93/06/1071859306.db2.gz KOHVINPCNNXUKC-IAGOWNOFSA-N 0 0 434.541 -0.091 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)[C@@H](C)C1 ZINC000514818500 1071859166 /nfs/dbraw/zinc/85/91/66/1071859166.db2.gz KOHVINPCNNXUKC-IRXDYDNUSA-N 0 0 434.541 -0.091 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)[C@H](C)C1 ZINC000514818501 1071858978 /nfs/dbraw/zinc/85/89/78/1071858978.db2.gz KOHVINPCNNXUKC-SJORKVTESA-N 0 0 434.541 -0.091 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1c(C)noc1C ZINC000514818700 1071859035 /nfs/dbraw/zinc/85/90/35/1071859035.db2.gz UXZBOSAYRUKJER-HNNXBMFYSA-N 0 0 435.481 -0.612 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1c(C)noc1C ZINC000514818711 1071859215 /nfs/dbraw/zinc/85/92/15/1071859215.db2.gz UXZBOSAYRUKJER-OAHLLOKOSA-N 0 0 435.481 -0.612 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(c3ncccn3)CC2)(N2CCOCC2)C1 ZINC000514818791 1071859146 /nfs/dbraw/zinc/85/91/46/1071859146.db2.gz WSRNFOIIQURRIF-UHFFFAOYSA-N 0 0 432.525 -0.489 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000514820948 1071857061 /nfs/dbraw/zinc/85/70/61/1071857061.db2.gz VLPIQQVCHDFRQF-UHFFFAOYSA-N 0 0 447.583 -0.311 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000514821654 1071856962 /nfs/dbraw/zinc/85/69/62/1071856962.db2.gz CQGUYEJRQJMESK-UHFFFAOYSA-N 0 0 425.467 -0.390 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2nc(-c3cccc(F)c3)no2)CC1 ZINC000514829562 1071857781 /nfs/dbraw/zinc/85/77/81/1071857781.db2.gz GPMZOJCSVVHDDZ-UHFFFAOYSA-N 0 0 425.442 -0.014 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000514829641 1071857576 /nfs/dbraw/zinc/85/75/76/1071857576.db2.gz QFNCAEKTHBPOPH-UHFFFAOYSA-N 0 0 448.524 -0.283 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)N(C)C)CC2)cc1 ZINC000514832753 1071859964 /nfs/dbraw/zinc/85/99/64/1071859964.db2.gz KLEIZBIQNYHWGI-UHFFFAOYSA-N 0 0 446.551 -0.204 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000514837647 1071859136 /nfs/dbraw/zinc/85/91/36/1071859136.db2.gz ONVWLSIYRBVSFD-INIZCTEOSA-N 0 0 439.494 -0.454 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc(OCc2ncnn2C)cc1 ZINC000514837648 1071859017 /nfs/dbraw/zinc/85/90/17/1071859017.db2.gz ONVWLSIYRBVSFD-MRXNPFEDSA-N 0 0 439.494 -0.454 20 0 IBADRN CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000514844574 1071859943 /nfs/dbraw/zinc/85/99/43/1071859943.db2.gz RLMUNRPTMOCAGA-UHFFFAOYSA-N 0 0 438.506 -0.355 20 0 IBADRN C[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(S(N)(=O)=O)c1 ZINC000514846395 1071861352 /nfs/dbraw/zinc/86/13/52/1071861352.db2.gz OQVDCWAXTFEXGQ-LLVKDONJSA-N 0 0 434.478 -0.651 20 0 IBADRN C[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(S(N)(=O)=O)c1 ZINC000514846396 1071861873 /nfs/dbraw/zinc/86/18/73/1071861873.db2.gz OQVDCWAXTFEXGQ-NSHDSACASA-N 0 0 434.478 -0.651 20 0 IBADRN Cc1ccc([C@H](CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)N2CCOCC2)o1 ZINC000514848987 1071861940 /nfs/dbraw/zinc/86/19/40/1071861940.db2.gz DAJMNRFMDPNYBP-AWEZNQCLSA-N 0 0 430.465 -0.475 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)N2CCOCC2)o1 ZINC000514848988 1071861799 /nfs/dbraw/zinc/86/17/99/1071861799.db2.gz DAJMNRFMDPNYBP-CQSZACIVSA-N 0 0 430.465 -0.475 20 0 IBADRN CCCCN(C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000514849643 1071862117 /nfs/dbraw/zinc/86/21/17/1071862117.db2.gz VMEMUMDPCOFEDN-GOSISDBHSA-N 0 0 444.598 -0.721 20 0 IBADRN CCCCN(C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000514849644 1071861893 /nfs/dbraw/zinc/86/18/93/1071861893.db2.gz VMEMUMDPCOFEDN-SFHVURJKSA-N 0 0 444.598 -0.721 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)OC ZINC000514849699 1071861862 /nfs/dbraw/zinc/86/18/62/1071861862.db2.gz RVDKYEYKKCNVSA-IAGOWNOFSA-N 0 0 430.461 -0.268 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)OC ZINC000514849700 1071861852 /nfs/dbraw/zinc/86/18/52/1071861852.db2.gz RVDKYEYKKCNVSA-SJORKVTESA-N 0 0 430.461 -0.268 20 0 IBADRN COC(=O)c1cccc(CCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000514851346 1071861980 /nfs/dbraw/zinc/86/19/80/1071861980.db2.gz FQLBXPRKKQBBQQ-NVQRDWNXSA-N 0 0 429.433 -0.121 20 0 IBADRN COC(=O)CCCNC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000514857385 1071862729 /nfs/dbraw/zinc/86/27/29/1071862729.db2.gz RNLPYGUORLZIPT-UHFFFAOYSA-N 0 0 447.535 -0.196 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000514859465 1071862621 /nfs/dbraw/zinc/86/26/21/1071862621.db2.gz AXNNFDFBMAJTPC-UHFFFAOYSA-N 0 0 446.551 -0.719 20 0 IBADRN O=C(NCc1ccc(O)cc1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000514867324 1071863304 /nfs/dbraw/zinc/86/33/04/1071863304.db2.gz HYOCNNWZSNJSHF-UHFFFAOYSA-N 0 0 426.477 -0.016 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)C2=O)n1 ZINC000514867327 1071863277 /nfs/dbraw/zinc/86/32/77/1071863277.db2.gz IFTBJUYPPQVACV-CYBMUJFWSA-N 0 0 431.478 -0.114 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)C2=O)n1 ZINC000514867331 1071863462 /nfs/dbraw/zinc/86/34/62/1071863462.db2.gz IFTBJUYPPQVACV-ZDUSSCGKSA-N 0 0 431.478 -0.114 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1 ZINC000514869311 1071863425 /nfs/dbraw/zinc/86/34/25/1071863425.db2.gz LTLWWGPMTNKWCL-UHFFFAOYSA-N 0 0 429.524 -0.470 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000514869716 1071863149 /nfs/dbraw/zinc/86/31/49/1071863149.db2.gz AHJFEXJLZLOQKC-UHFFFAOYSA-N 0 0 444.491 -0.056 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc3c(c2)nc2n(c3=O)CCC2)CC1)N1CCOCC1 ZINC000514874447 1071863116 /nfs/dbraw/zinc/86/31/16/1071863116.db2.gz HWNQEVQVYDOUHT-UHFFFAOYSA-N 0 0 439.472 -0.514 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000514879000 1071862519 /nfs/dbraw/zinc/86/25/19/1071862519.db2.gz IJUDKYJNDNSVRY-UHFFFAOYSA-N 0 0 433.552 -0.340 20 0 IBADRN Cn1c2ncn(CCNc3cccc(S(=O)(=O)N4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000514879155 1071862581 /nfs/dbraw/zinc/86/25/81/1071862581.db2.gz HHGCYQGFQAKKNG-UHFFFAOYSA-N 0 0 448.505 -0.433 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000514879487 1071862685 /nfs/dbraw/zinc/86/26/85/1071862685.db2.gz UUCHRTFINLLNFF-GFCCVEGCSA-N 0 0 447.535 -0.198 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000514879488 1071862783 /nfs/dbraw/zinc/86/27/83/1071862783.db2.gz UUCHRTFINLLNFF-LBPRGKRZSA-N 0 0 447.535 -0.198 20 0 IBADRN Cn1c2ccc(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)cc2n(C)c1=O ZINC000514880232 1071863187 /nfs/dbraw/zinc/86/31/87/1071863187.db2.gz GOJYHSHVVYCCJG-SCFUHWHPSA-N 0 0 427.421 -0.628 20 0 IBADRN CN1CC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1=O ZINC000514882229 1071864224 /nfs/dbraw/zinc/86/42/24/1071864224.db2.gz ABFKMVRSAJIXGM-UHFFFAOYSA-N 0 0 438.462 -0.815 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2nnc(SCC(=O)N3CCNC(=O)C3)n2c1 ZINC000514883658 1071864829 /nfs/dbraw/zinc/86/48/29/1071864829.db2.gz BYWCLUJEVRLNBL-UHFFFAOYSA-N 0 0 426.524 -0.190 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1=O)C(=O)N1CCOCC1 ZINC000514883706 1071864812 /nfs/dbraw/zinc/86/48/12/1071864812.db2.gz BTCUTRLYYHXNLW-MSOLQXFVSA-N 0 0 430.505 -0.034 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1=O)C(=O)N1CCOCC1 ZINC000514883708 1071864885 /nfs/dbraw/zinc/86/48/85/1071864885.db2.gz BTCUTRLYYHXNLW-QZTJIDSGSA-N 0 0 430.505 -0.034 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1=O)C(=O)N1CCOCC1 ZINC000514883710 1071864569 /nfs/dbraw/zinc/86/45/69/1071864569.db2.gz BTCUTRLYYHXNLW-ROUUACIJSA-N 0 0 430.505 -0.034 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1=O)C(=O)N1CCOCC1 ZINC000514883713 1071864676 /nfs/dbraw/zinc/86/46/76/1071864676.db2.gz BTCUTRLYYHXNLW-ZWKOTPCHSA-N 0 0 430.505 -0.034 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)C2)cc(OC)c1 ZINC000514884210 1071864689 /nfs/dbraw/zinc/86/46/89/1071864689.db2.gz KDUPCOLJCZNLLD-MSOLQXFVSA-N 0 0 432.521 -0.063 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)C2)cc(OC)c1 ZINC000514884213 1071864904 /nfs/dbraw/zinc/86/49/04/1071864904.db2.gz KDUPCOLJCZNLLD-QZTJIDSGSA-N 0 0 432.521 -0.063 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)C2)cc(OC)c1 ZINC000514884214 1071864581 /nfs/dbraw/zinc/86/45/81/1071864581.db2.gz KDUPCOLJCZNLLD-ROUUACIJSA-N 0 0 432.521 -0.063 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)C2)cc(OC)c1 ZINC000514884215 1071864942 /nfs/dbraw/zinc/86/49/42/1071864942.db2.gz KDUPCOLJCZNLLD-ZWKOTPCHSA-N 0 0 432.521 -0.063 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)N1CCO[C@@H](CNc3nccn(C)c3=O)C1)CCC2 ZINC000514888186 1071865317 /nfs/dbraw/zinc/86/53/17/1071865317.db2.gz RTWGNJDREHFONW-CVEARBPZSA-N 0 0 443.508 -0.382 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)N1CCO[C@@H](CNc3nccn(C)c3=O)C1)CCC2 ZINC000514888192 1071865569 /nfs/dbraw/zinc/86/55/69/1071865569.db2.gz RTWGNJDREHFONW-HOTGVXAUSA-N 0 0 443.508 -0.382 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)N1CCO[C@H](CNc3nccn(C)c3=O)C1)CCC2 ZINC000514888194 1071865462 /nfs/dbraw/zinc/86/54/62/1071865462.db2.gz RTWGNJDREHFONW-HZPDHXFCSA-N 0 0 443.508 -0.382 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)N1CCO[C@H](CNc3nccn(C)c3=O)C1)CCC2 ZINC000514888196 1071865429 /nfs/dbraw/zinc/86/54/29/1071865429.db2.gz RTWGNJDREHFONW-JKSUJKDBSA-N 0 0 443.508 -0.382 20 0 IBADRN Cc1cc(Br)cn2cc(CNC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)nc12 ZINC000514888207 1071865558 /nfs/dbraw/zinc/86/55/58/1071865558.db2.gz SCTAGRMCNXZFDC-GFCCVEGCSA-N 0 0 444.311 -0.089 20 0 IBADRN Cc1cc(Br)cn2cc(CNC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)nc12 ZINC000514888210 1071865518 /nfs/dbraw/zinc/86/55/18/1071865518.db2.gz SCTAGRMCNXZFDC-LBPRGKRZSA-N 0 0 444.311 -0.089 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC[C@@H]1CN1C[C@H](C)O[C@@H](C)C1)CC2 ZINC000514889147 1071865377 /nfs/dbraw/zinc/86/53/77/1071865377.db2.gz WTRMCOMWDOSDMC-MWDXBVQZSA-N 0 0 434.541 -0.044 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC[C@@H]1CN1C[C@@H](C)O[C@H](C)C1)CC2 ZINC000514889148 1071865507 /nfs/dbraw/zinc/86/55/07/1071865507.db2.gz WTRMCOMWDOSDMC-QBPKDAKJSA-N 0 0 434.541 -0.044 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC[C@H]1CN1C[C@@H](C)O[C@@H](C)C1)CC2 ZINC000514889151 1071865480 /nfs/dbraw/zinc/86/54/80/1071865480.db2.gz WTRMCOMWDOSDMC-TWMKSMIVSA-N 0 0 434.541 -0.044 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC[C@@H]1CN1C[C@@H](C)O[C@@H](C)C1)CC2 ZINC000514889154 1071865323 /nfs/dbraw/zinc/86/53/23/1071865323.db2.gz WTRMCOMWDOSDMC-YYIAUSFCSA-N 0 0 434.541 -0.044 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC(=O)N2CCN(CC)CC2)cc1 ZINC000514892406 1071863214 /nfs/dbraw/zinc/86/32/14/1071863214.db2.gz FQHFPTCJEVVIMV-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c(=O)[nH]c1=O ZINC000514893353 1071864004 /nfs/dbraw/zinc/86/40/04/1071864004.db2.gz OPTVYTOGHZJZBM-UHFFFAOYSA-N 0 0 439.498 -0.901 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000514894289 1071864188 /nfs/dbraw/zinc/86/41/88/1071864188.db2.gz XFRNVQLZLFUJQQ-CABCVRRESA-N 0 0 447.583 -0.470 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000514894290 1071864143 /nfs/dbraw/zinc/86/41/43/1071864143.db2.gz XFRNVQLZLFUJQQ-GJZGRUSLSA-N 0 0 447.583 -0.470 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000514894291 1071864059 /nfs/dbraw/zinc/86/40/59/1071864059.db2.gz XFRNVQLZLFUJQQ-HUUCEWRRSA-N 0 0 447.583 -0.470 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000514894293 1071864123 /nfs/dbraw/zinc/86/41/23/1071864123.db2.gz XFRNVQLZLFUJQQ-LSDHHAIUSA-N 0 0 447.583 -0.470 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cc1 ZINC000514894471 1071864109 /nfs/dbraw/zinc/86/41/09/1071864109.db2.gz OUHHXVPFXJOKBR-GOSISDBHSA-N 0 0 440.522 -0.077 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cc1 ZINC000514894472 1071864218 /nfs/dbraw/zinc/86/42/18/1071864218.db2.gz OUHHXVPFXJOKBR-SFHVURJKSA-N 0 0 440.522 -0.077 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000514894728 1071864045 /nfs/dbraw/zinc/86/40/45/1071864045.db2.gz TULCRXRQOAPHJL-HNNXBMFYSA-N 0 0 433.552 -0.122 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000514894733 1071864215 /nfs/dbraw/zinc/86/42/15/1071864215.db2.gz TULCRXRQOAPHJL-OAHLLOKOSA-N 0 0 433.552 -0.122 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000514898326 1071865474 /nfs/dbraw/zinc/86/54/74/1071865474.db2.gz XLROBNDBPCUOJD-UHFFFAOYSA-N 0 0 442.519 -0.784 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)CN(C)S(C)(=O)=O ZINC000514901557 1071865578 /nfs/dbraw/zinc/86/55/78/1071865578.db2.gz FIRSRFLLDANVKO-UHFFFAOYSA-N 0 0 434.540 -0.539 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)N1CCC2(CC1)NC(=O)NC2=O ZINC000514907443 1071865954 /nfs/dbraw/zinc/86/59/54/1071865954.db2.gz BQDONDYIMHHULX-UHFFFAOYSA-N 0 0 445.295 -0.072 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCCC2)ccc1OCC(=O)N1CCCC[C@H]1C(N)=O ZINC000514908806 1071866414 /nfs/dbraw/zinc/86/64/14/1071866414.db2.gz HYSOMDOQASZGPK-HNNXBMFYSA-N 0 0 438.506 -0.185 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCCC2)ccc1OCC(=O)N1CCCC[C@@H]1C(N)=O ZINC000514908808 1071866247 /nfs/dbraw/zinc/86/62/47/1071866247.db2.gz HYSOMDOQASZGPK-OAHLLOKOSA-N 0 0 438.506 -0.185 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)C[C@H](C)O1 ZINC000514921812 1071866291 /nfs/dbraw/zinc/86/62/91/1071866291.db2.gz WYQDWHDQFAGTBY-BBWFWOEESA-N 0 0 439.600 -0.448 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)C[C@@H](C)O1 ZINC000514921815 1071866032 /nfs/dbraw/zinc/86/60/32/1071866032.db2.gz WYQDWHDQFAGTBY-ZACQAIPSSA-N 0 0 439.600 -0.448 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000514928691 1071867006 /nfs/dbraw/zinc/86/70/06/1071867006.db2.gz FYFSRROWUARNMV-UHFFFAOYSA-N 0 0 448.448 -0.234 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000514929812 1071867079 /nfs/dbraw/zinc/86/70/79/1071867079.db2.gz SXRQDFDKPCMUGE-UHFFFAOYSA-N 0 0 442.432 -0.635 20 0 IBADRN CC(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000514930966 1071866952 /nfs/dbraw/zinc/86/69/52/1071866952.db2.gz HWYPTRIXMABABX-UHFFFAOYSA-N 0 0 430.870 -0.360 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000514943190 1071866201 /nfs/dbraw/zinc/86/62/01/1071866201.db2.gz HLSNKQOEATYKAN-UHFFFAOYSA-N 0 0 430.508 -0.133 20 0 IBADRN COCCOCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000514946390 1071866969 /nfs/dbraw/zinc/86/69/69/1071866969.db2.gz WYEWJVRTBZJQPC-UHFFFAOYSA-N 0 0 428.511 -0.526 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000514947431 1071867679 /nfs/dbraw/zinc/86/76/79/1071867679.db2.gz ZYFITKLXLDXULR-HNNXBMFYSA-N 0 0 433.513 -0.948 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000514947438 1071867771 /nfs/dbraw/zinc/86/77/71/1071867771.db2.gz ZYFITKLXLDXULR-OAHLLOKOSA-N 0 0 433.513 -0.948 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CCO)CC2)c1 ZINC000514948500 1071867824 /nfs/dbraw/zinc/86/78/24/1071867824.db2.gz GJAGGLIIZMOFQH-UHFFFAOYSA-N 0 0 426.539 -0.417 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000514953425 1071867866 /nfs/dbraw/zinc/86/78/66/1071867866.db2.gz YYQNXSXVMOXYLA-LLVKDONJSA-N 0 0 426.538 -0.199 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000514954033 1071867752 /nfs/dbraw/zinc/86/77/52/1071867752.db2.gz NRKKUPYVNNYEDX-KRWDZBQOSA-N 0 0 446.552 -0.407 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000514954035 1071867626 /nfs/dbraw/zinc/86/76/26/1071867626.db2.gz NRKKUPYVNNYEDX-QGZVFWFLSA-N 0 0 446.552 -0.407 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CC1 ZINC000514958453 1071867783 /nfs/dbraw/zinc/86/77/83/1071867783.db2.gz NFAVSNYBZUZRPR-KRWDZBQOSA-N 0 0 432.477 -0.263 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CC1 ZINC000514958455 1071867724 /nfs/dbraw/zinc/86/77/24/1071867724.db2.gz NFAVSNYBZUZRPR-QGZVFWFLSA-N 0 0 432.477 -0.263 20 0 IBADRN O=C(CSc1ccc(S(=O)(=O)N2CCOCC2)cn1)NCC(=O)N1CCCC1 ZINC000514960983 1071867131 /nfs/dbraw/zinc/86/71/31/1071867131.db2.gz DYLJFHKQXGLVLD-UHFFFAOYSA-N 0 0 428.536 -0.067 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCCC2)ccc1OCC(=O)NCC(=O)N1CCCC1 ZINC000514961057 1071867061 /nfs/dbraw/zinc/86/70/61/1071867061.db2.gz KHNJYLLBRJAGPW-UHFFFAOYSA-N 0 0 438.506 -0.313 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)C2=O)n1 ZINC000514963282 1071866744 /nfs/dbraw/zinc/86/67/44/1071866744.db2.gz NXGUQKGXSSPSIT-DZGCQCFKSA-N 0 0 429.456 -0.039 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)C2=O)n1 ZINC000514963283 1071867761 /nfs/dbraw/zinc/86/77/61/1071867761.db2.gz NXGUQKGXSSPSIT-HIFRSBDPSA-N 0 0 429.456 -0.039 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)C2=O)n1 ZINC000514963284 1071867805 /nfs/dbraw/zinc/86/78/05/1071867805.db2.gz NXGUQKGXSSPSIT-UKRRQHHQSA-N 0 0 429.456 -0.039 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)C2=O)n1 ZINC000514963285 1071867793 /nfs/dbraw/zinc/86/77/93/1071867793.db2.gz NXGUQKGXSSPSIT-ZFWWWQNUSA-N 0 0 429.456 -0.039 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)C2=O)n(C)n1 ZINC000514963377 1071867093 /nfs/dbraw/zinc/86/70/93/1071867093.db2.gz KAZSXHLQJFGMSP-IKGGRYGDSA-N 0 0 432.525 -0.290 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)C2=O)n(C)n1 ZINC000514963378 1071867145 /nfs/dbraw/zinc/86/71/45/1071867145.db2.gz KAZSXHLQJFGMSP-IXDOHACOSA-N 0 0 432.525 -0.290 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)C2=O)n(C)n1 ZINC000514963379 1071867155 /nfs/dbraw/zinc/86/71/55/1071867155.db2.gz KAZSXHLQJFGMSP-ULQDDVLXSA-N 0 0 432.525 -0.290 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)C2=O)n(C)n1 ZINC000514963380 1071866854 /nfs/dbraw/zinc/86/68/54/1071866854.db2.gz KAZSXHLQJFGMSP-YESZJQIVSA-N 0 0 432.525 -0.290 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000514964801 1071867857 /nfs/dbraw/zinc/86/78/57/1071867857.db2.gz DIBNLUPWWACNRV-AEFFLSMTSA-N 0 0 444.536 -0.248 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000514964808 1071867816 /nfs/dbraw/zinc/86/78/16/1071867816.db2.gz DIBNLUPWWACNRV-FUHWJXTLSA-N 0 0 444.536 -0.248 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000514964810 1071867705 /nfs/dbraw/zinc/86/77/05/1071867705.db2.gz DIBNLUPWWACNRV-SJLPKXTDSA-N 0 0 444.536 -0.248 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000514964812 1071867742 /nfs/dbraw/zinc/86/77/42/1071867742.db2.gz DIBNLUPWWACNRV-WMZOPIPTSA-N 0 0 444.536 -0.248 20 0 IBADRN O=C(N[C@@H]1CCC[C@H]1CCO)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000514972447 1071868471 /nfs/dbraw/zinc/86/84/71/1071868471.db2.gz OAZZDEOWJWLZNQ-DLBZAZTESA-N 0 0 432.525 -0.371 20 0 IBADRN O=C(N[C@@H]1CCC[C@@H]1CCO)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000514972449 1071868352 /nfs/dbraw/zinc/86/83/52/1071868352.db2.gz OAZZDEOWJWLZNQ-IAGOWNOFSA-N 0 0 432.525 -0.371 20 0 IBADRN O=C(N[C@H]1CCC[C@H]1CCO)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000514972450 1071868174 /nfs/dbraw/zinc/86/81/74/1071868174.db2.gz OAZZDEOWJWLZNQ-IRXDYDNUSA-N 0 0 432.525 -0.371 20 0 IBADRN O=C(N[C@H]1CCC[C@@H]1CCO)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000514972451 1071868289 /nfs/dbraw/zinc/86/82/89/1071868289.db2.gz OAZZDEOWJWLZNQ-SJORKVTESA-N 0 0 432.525 -0.371 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000514974668 1071868509 /nfs/dbraw/zinc/86/85/09/1071868509.db2.gz SDTQOJPZTPTXKI-UHFFFAOYSA-N 0 0 425.467 -0.703 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000514977877 1071868519 /nfs/dbraw/zinc/86/85/19/1071868519.db2.gz BHVOGAADONCQBH-LLVKDONJSA-N 0 0 435.528 -0.888 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000514977878 1071868413 /nfs/dbraw/zinc/86/84/13/1071868413.db2.gz BHVOGAADONCQBH-NSHDSACASA-N 0 0 435.528 -0.888 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)CCNS(=O)(=O)c1cccnc1 ZINC000514979161 1071868237 /nfs/dbraw/zinc/86/82/37/1071868237.db2.gz FKSSVRHJCWVCGJ-AWEZNQCLSA-N 0 0 433.556 -0.473 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)CCNS(=O)(=O)c1cccnc1 ZINC000514979166 1071868551 /nfs/dbraw/zinc/86/85/51/1071868551.db2.gz FKSSVRHJCWVCGJ-CQSZACIVSA-N 0 0 433.556 -0.473 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@@H](CO)[C@H](O)C2)c1 ZINC000514981418 1071868328 /nfs/dbraw/zinc/86/83/28/1071868328.db2.gz ANVXBQCMCOAZFH-KBXCAEBGSA-N 0 0 427.523 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@H](CO)[C@@H](O)C2)c1 ZINC000514981419 1071869134 /nfs/dbraw/zinc/86/91/34/1071869134.db2.gz ANVXBQCMCOAZFH-KDOFPFPSSA-N 0 0 427.523 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@@H](CO)[C@@H](O)C2)c1 ZINC000514981420 1071869184 /nfs/dbraw/zinc/86/91/84/1071869184.db2.gz ANVXBQCMCOAZFH-KSSFIOAISA-N 0 0 427.523 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@H](CO)[C@H](O)C2)c1 ZINC000514981421 1071869244 /nfs/dbraw/zinc/86/92/44/1071869244.db2.gz ANVXBQCMCOAZFH-RDTXWAMCSA-N 0 0 427.523 -0.411 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000514990510 1071869191 /nfs/dbraw/zinc/86/91/91/1071869191.db2.gz XXTZLOLOJSIACU-BZUAXINKSA-N 0 0 434.493 -0.920 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000514990511 1071869052 /nfs/dbraw/zinc/86/90/52/1071869052.db2.gz XXTZLOLOJSIACU-HRCADAONSA-N 0 0 434.493 -0.920 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000514990512 1071869031 /nfs/dbraw/zinc/86/90/31/1071869031.db2.gz XXTZLOLOJSIACU-OAGGEKHMSA-N 0 0 434.493 -0.920 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000514990513 1071869197 /nfs/dbraw/zinc/86/91/97/1071869197.db2.gz XXTZLOLOJSIACU-PMPSAXMXSA-N 0 0 434.493 -0.920 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000514991612 1071869516 /nfs/dbraw/zinc/86/95/16/1071869516.db2.gz CUGIZCANOMYNDG-UHFFFAOYSA-N 0 0 449.551 -0.038 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)Nc2ccn(CC(=O)N(C)C)n2)cc1OC ZINC000514995284 1071869677 /nfs/dbraw/zinc/86/96/77/1071869677.db2.gz FNVFWWRSIZZRPS-UHFFFAOYSA-N 0 0 425.467 -0.095 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2nnc3ccccn32)C1 ZINC000515002660 1071869570 /nfs/dbraw/zinc/86/95/70/1071869570.db2.gz GVTQILCBTPWCQH-AWEZNQCLSA-N 0 0 438.510 -0.756 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2nnc3ccccn32)C1 ZINC000515002663 1071869529 /nfs/dbraw/zinc/86/95/29/1071869529.db2.gz GVTQILCBTPWCQH-CQSZACIVSA-N 0 0 438.510 -0.756 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cc1 ZINC000515003536 1071869626 /nfs/dbraw/zinc/86/96/26/1071869626.db2.gz MNNSWLRQXMZSNY-AWEZNQCLSA-N 0 0 431.536 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cc1 ZINC000515003537 1071869746 /nfs/dbraw/zinc/86/97/46/1071869746.db2.gz MNNSWLRQXMZSNY-CQSZACIVSA-N 0 0 431.536 -0.146 20 0 IBADRN COc1ccc(CNC(=O)NCCS(=O)(=O)NCC2CCC2)cc1S(N)(=O)=O ZINC000515008300 1071871784 /nfs/dbraw/zinc/87/17/84/1071871784.db2.gz YPNMNZLKIBMAIF-UHFFFAOYSA-N 0 0 434.540 -0.139 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)nnn1CCOc1ccc(F)cc1 ZINC000515011368 1071871947 /nfs/dbraw/zinc/87/19/47/1071871947.db2.gz LJCJXWAWAHLKLB-AWEZNQCLSA-N 0 0 440.457 -0.367 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)nnn1CCOc1ccc(F)cc1 ZINC000515011369 1071871870 /nfs/dbraw/zinc/87/18/70/1071871870.db2.gz LJCJXWAWAHLKLB-CQSZACIVSA-N 0 0 440.457 -0.367 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2cccc(-c3cccnc3)c2)CC1 ZINC000515012375 1071871907 /nfs/dbraw/zinc/87/19/07/1071871907.db2.gz ANFGGNXEUGAAAE-UHFFFAOYSA-N 0 0 434.460 -0.278 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000515012936 1071871857 /nfs/dbraw/zinc/87/18/57/1071871857.db2.gz DYVJZQLEOOYKQA-CYBMUJFWSA-N 0 0 433.469 -0.352 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000515012944 1071871967 /nfs/dbraw/zinc/87/19/67/1071871967.db2.gz DYVJZQLEOOYKQA-ZDUSSCGKSA-N 0 0 433.469 -0.352 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000515016385 1071872549 /nfs/dbraw/zinc/87/25/49/1071872549.db2.gz ZYMCDRIMRPFGTO-CVEARBPZSA-N 0 0 440.497 -0.311 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000515016386 1071872598 /nfs/dbraw/zinc/87/25/98/1071872598.db2.gz ZYMCDRIMRPFGTO-HOTGVXAUSA-N 0 0 440.497 -0.311 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000515016387 1071872695 /nfs/dbraw/zinc/87/26/95/1071872695.db2.gz ZYMCDRIMRPFGTO-HZPDHXFCSA-N 0 0 440.497 -0.311 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000515016388 1071872505 /nfs/dbraw/zinc/87/25/05/1071872505.db2.gz ZYMCDRIMRPFGTO-JKSUJKDBSA-N 0 0 440.497 -0.311 20 0 IBADRN CCOCCC1(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000515016549 1071872643 /nfs/dbraw/zinc/87/26/43/1071872643.db2.gz LGRDSVVCYAAMLC-INIZCTEOSA-N 0 0 431.555 -0.038 20 0 IBADRN CCOCCC1(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000515016550 1071872558 /nfs/dbraw/zinc/87/25/58/1071872558.db2.gz LGRDSVVCYAAMLC-MRXNPFEDSA-N 0 0 431.555 -0.038 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N(C)[C@@H]1COC[C@H]1O ZINC000515020224 1071871269 /nfs/dbraw/zinc/87/12/69/1071871269.db2.gz VZSMDUZMCYZKBE-IAGOWNOFSA-N 0 0 427.523 -0.147 20 0 IBADRN C[S@@](=O)CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCC[S@@](C)=O)cc1 ZINC000515020369 1071870565 /nfs/dbraw/zinc/87/05/65/1071870565.db2.gz RRTNCQHEBLZUBR-DNQXCXABSA-N 0 0 444.622 -0.220 20 0 IBADRN C[S@](=O)CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCC[S@@](C)=O)cc1 ZINC000515020370 1071871342 /nfs/dbraw/zinc/87/13/42/1071871342.db2.gz RRTNCQHEBLZUBR-PSWAGMNNSA-N 0 0 444.622 -0.220 20 0 IBADRN C[S@](=O)CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCC[S@](C)=O)cc1 ZINC000515020371 1071871290 /nfs/dbraw/zinc/87/12/90/1071871290.db2.gz RRTNCQHEBLZUBR-ZEQRLZLVSA-N 0 0 444.622 -0.220 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1C ZINC000515021740 1071871179 /nfs/dbraw/zinc/87/11/79/1071871179.db2.gz LABAYXOKTUWKHF-UHFFFAOYSA-N 0 0 430.531 -0.574 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000515022135 1071871214 /nfs/dbraw/zinc/87/12/14/1071871214.db2.gz CHHRJJNPCDMNRB-BETUJISGSA-N 0 0 439.490 -0.509 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)cc1 ZINC000515022136 1071871299 /nfs/dbraw/zinc/87/12/99/1071871299.db2.gz CHHRJJNPCDMNRB-CHWSQXEVSA-N 0 0 439.490 -0.509 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000515022137 1071871251 /nfs/dbraw/zinc/87/12/51/1071871251.db2.gz CHHRJJNPCDMNRB-STQMWFEESA-N 0 0 439.490 -0.509 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1OC ZINC000515023324 1071871094 /nfs/dbraw/zinc/87/10/94/1071871094.db2.gz JHWCOXSGFCBOMN-CYBMUJFWSA-N 0 0 449.551 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1OC ZINC000515023325 1071871199 /nfs/dbraw/zinc/87/11/99/1071871199.db2.gz JHWCOXSGFCBOMN-ZDUSSCGKSA-N 0 0 449.551 -0.088 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN(C)S(=O)(=O)c1ccccc1 ZINC000515027225 1071873320 /nfs/dbraw/zinc/87/33/20/1071873320.db2.gz JEXAUCPAYOQPEQ-AWEZNQCLSA-N 0 0 431.536 -0.541 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN(C)S(=O)(=O)c1ccccc1 ZINC000515027226 1071873316 /nfs/dbraw/zinc/87/33/16/1071873316.db2.gz JEXAUCPAYOQPEQ-CQSZACIVSA-N 0 0 431.536 -0.541 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515028853 1071873238 /nfs/dbraw/zinc/87/32/38/1071873238.db2.gz SUNYKUFOXFDNJR-UHFFFAOYSA-N 0 0 446.551 -0.745 20 0 IBADRN COCCN(C(=O)CN1CCN([C@H](C)C(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515034347 1071872662 /nfs/dbraw/zinc/87/26/62/1071872662.db2.gz IVGZEGWKIOEUAG-MSOLQXFVSA-N 0 0 444.598 -0.723 20 0 IBADRN COCCN(C(=O)CN1CCN([C@H](C)C(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515034348 1071872436 /nfs/dbraw/zinc/87/24/36/1071872436.db2.gz IVGZEGWKIOEUAG-QZTJIDSGSA-N 0 0 444.598 -0.723 20 0 IBADRN COCCN(C(=O)CN1CCN([C@@H](C)C(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515034350 1071872619 /nfs/dbraw/zinc/87/26/19/1071872619.db2.gz IVGZEGWKIOEUAG-ROUUACIJSA-N 0 0 444.598 -0.723 20 0 IBADRN COCCN(C(=O)CN1CCN([C@@H](C)C(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515034352 1071872534 /nfs/dbraw/zinc/87/25/34/1071872534.db2.gz IVGZEGWKIOEUAG-ZWKOTPCHSA-N 0 0 444.598 -0.723 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc([C@]4(C)NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000515039444 1071872628 /nfs/dbraw/zinc/87/26/28/1071872628.db2.gz FKYRWQRXAFFSFR-FQEVSTJZSA-N 0 0 439.432 -0.483 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000515039445 1071872651 /nfs/dbraw/zinc/87/26/51/1071872651.db2.gz FKYRWQRXAFFSFR-HXUWFJFHSA-N 0 0 439.432 -0.483 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)Cn4ccc(=O)n(C)c4=O)CC3)n2)cc1 ZINC000515041612 1071872583 /nfs/dbraw/zinc/87/25/83/1071872583.db2.gz HFNWFFXYVZHLSV-UHFFFAOYSA-N 0 0 440.460 -0.050 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1=O ZINC000515051785 1071873128 /nfs/dbraw/zinc/87/31/28/1071873128.db2.gz CLICPDWAZHJRJS-IBGZPJMESA-N 0 0 449.489 -0.534 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1=O ZINC000515051786 1071873269 /nfs/dbraw/zinc/87/32/69/1071873269.db2.gz CLICPDWAZHJRJS-LJQANCHMSA-N 0 0 449.489 -0.534 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)S(=O)(=O)c1cccnc1 ZINC000515071520 1071875426 /nfs/dbraw/zinc/87/54/26/1071875426.db2.gz ILGQHHQENHNWSA-UHFFFAOYSA-N 0 0 439.519 -0.370 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(C)c(C)c3)CC2)CC1=O ZINC000515072340 1071875391 /nfs/dbraw/zinc/87/53/91/1071875391.db2.gz MCCFOEPLYAIZKF-UHFFFAOYSA-N 0 0 438.550 -0.116 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@@H](CN3CCOCC3)c3ccccc3)CC2)n1 ZINC000515074656 1071873319 /nfs/dbraw/zinc/87/33/19/1071873319.db2.gz JWNSVAOYTGZOQA-INIZCTEOSA-N 0 0 445.549 -0.041 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H](CN3CCOCC3)c3ccccc3)CC2)n1 ZINC000515074660 1071873274 /nfs/dbraw/zinc/87/32/74/1071873274.db2.gz JWNSVAOYTGZOQA-MRXNPFEDSA-N 0 0 445.549 -0.041 20 0 IBADRN COC[C@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000515078140 1071873223 /nfs/dbraw/zinc/87/32/23/1071873223.db2.gz WUYCGVPNVNDEKA-INIZCTEOSA-N 0 0 439.538 -0.856 20 0 IBADRN COC[C@@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000515078141 1071873291 /nfs/dbraw/zinc/87/32/91/1071873291.db2.gz WUYCGVPNVNDEKA-MRXNPFEDSA-N 0 0 439.538 -0.856 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000515079537 1071874038 /nfs/dbraw/zinc/87/40/38/1071874038.db2.gz CXVVQBIAOJROAB-CYBMUJFWSA-N 0 0 445.519 -0.798 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000515079538 1071873949 /nfs/dbraw/zinc/87/39/49/1071873949.db2.gz CXVVQBIAOJROAB-ZDUSSCGKSA-N 0 0 445.519 -0.798 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)O1)N1CCOCC1 ZINC000515079753 1071874026 /nfs/dbraw/zinc/87/40/26/1071874026.db2.gz FKGYWXCBXLVKLV-BBWFWOEESA-N 0 0 445.538 -0.954 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)O1)N1CCOCC1 ZINC000515079754 1071873940 /nfs/dbraw/zinc/87/39/40/1071873940.db2.gz FKGYWXCBXLVKLV-ZACQAIPSSA-N 0 0 445.538 -0.954 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3cccc(-n4cncn4)c3)CC2)nc1 ZINC000515079852 1071873873 /nfs/dbraw/zinc/87/38/73/1071873873.db2.gz HAXOJJNRSFBODU-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000515080332 1071873974 /nfs/dbraw/zinc/87/39/74/1071873974.db2.gz MOQDXLGGWKFCQH-UHFFFAOYSA-N 0 0 432.568 -0.055 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCN(CC(=O)NC)CC2)cc1 ZINC000515080397 1071873793 /nfs/dbraw/zinc/87/37/93/1071873793.db2.gz NLWVIZKKYBEXEA-UHFFFAOYSA-N 0 0 432.568 -0.136 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000515081932 1071873996 /nfs/dbraw/zinc/87/39/96/1071873996.db2.gz WSQYXWYSLHWMOX-UHFFFAOYSA-N 0 0 448.542 -0.036 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000515082798 1071873904 /nfs/dbraw/zinc/87/39/04/1071873904.db2.gz XTJBWUDGPDQBBF-UHFFFAOYSA-N 0 0 425.507 -0.221 20 0 IBADRN CC1(C)C[C@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ccc(F)cc2O1 ZINC000515083954 1071874049 /nfs/dbraw/zinc/87/40/49/1071874049.db2.gz AIEIYUCHNSSOCJ-HNNXBMFYSA-N 0 0 445.455 -0.098 20 0 IBADRN CC1(C)C[C@@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ccc(F)cc2O1 ZINC000515083955 1071873743 /nfs/dbraw/zinc/87/37/43/1071873743.db2.gz AIEIYUCHNSSOCJ-OAHLLOKOSA-N 0 0 445.455 -0.098 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(Cl)c1 ZINC000515086917 1071874482 /nfs/dbraw/zinc/87/44/82/1071874482.db2.gz JIBLIKZIMNDJFM-UHFFFAOYSA-N 0 0 429.886 -0.001 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(N(C)C)n2)C1 ZINC000515087066 1071873770 /nfs/dbraw/zinc/87/37/70/1071873770.db2.gz FDIRUGYFUZXPQA-INIZCTEOSA-N 0 0 441.554 -0.337 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(N(C)C)n2)C1 ZINC000515087069 1071874735 /nfs/dbraw/zinc/87/47/35/1071874735.db2.gz FDIRUGYFUZXPQA-MRXNPFEDSA-N 0 0 441.554 -0.337 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1noc(Cc2ccccc2)n1 ZINC000515087709 1071874522 /nfs/dbraw/zinc/87/45/22/1071874522.db2.gz MLYZDSOAHFQHFZ-DOTOQJQBSA-N 0 0 431.493 -0.011 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1noc(Cc2ccccc2)n1 ZINC000515087711 1071874810 /nfs/dbraw/zinc/87/48/10/1071874810.db2.gz MLYZDSOAHFQHFZ-NVXWUHKLSA-N 0 0 431.493 -0.011 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1noc(Cc2ccccc2)n1 ZINC000515087713 1071874778 /nfs/dbraw/zinc/87/47/78/1071874778.db2.gz MLYZDSOAHFQHFZ-RDJZCZTQSA-N 0 0 431.493 -0.011 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1noc(Cc2ccccc2)n1 ZINC000515087714 1071874456 /nfs/dbraw/zinc/87/44/56/1071874456.db2.gz MLYZDSOAHFQHFZ-WBVHZDCISA-N 0 0 431.493 -0.011 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NC[C@@H]1CCCN(c3nccn4cnnc34)C1)CCO2 ZINC000515087750 1071874558 /nfs/dbraw/zinc/87/45/58/1071874558.db2.gz QDLYXDDZMCPHGJ-CKJXQJPGSA-N 0 0 443.508 -0.137 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NC[C@H]1CCCN(c3nccn4cnnc34)C1)CCO2 ZINC000515087751 1071874794 /nfs/dbraw/zinc/87/47/94/1071874794.db2.gz QDLYXDDZMCPHGJ-GCKMJXCFSA-N 0 0 443.508 -0.137 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NC[C@H]1CCCN(c3nccn4cnnc34)C1)CCO2 ZINC000515087752 1071874508 /nfs/dbraw/zinc/87/45/08/1071874508.db2.gz QDLYXDDZMCPHGJ-MRUHUIDDSA-N 0 0 443.508 -0.137 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NC[C@@H]1CCCN(c3nccn4cnnc34)C1)CCO2 ZINC000515087753 1071874613 /nfs/dbraw/zinc/87/46/13/1071874613.db2.gz QDLYXDDZMCPHGJ-QYWGDWMGSA-N 0 0 443.508 -0.137 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515089597 1071874628 /nfs/dbraw/zinc/87/46/28/1071874628.db2.gz AKTZSLODNFRJIJ-INIZCTEOSA-N 0 0 427.498 -0.006 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515089607 1071874496 /nfs/dbraw/zinc/87/44/96/1071874496.db2.gz AKTZSLODNFRJIJ-MRXNPFEDSA-N 0 0 427.498 -0.006 20 0 IBADRN CCOc1ccncc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515092105 1071875312 /nfs/dbraw/zinc/87/53/12/1071875312.db2.gz XSHOSDKNMMMFHJ-UHFFFAOYSA-N 0 0 433.556 -0.721 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCn4ccnc4C3)CC2)cc1 ZINC000515092647 1071876826 /nfs/dbraw/zinc/87/68/26/1071876826.db2.gz ZOSCJSVOVWZYPA-HNNXBMFYSA-N 0 0 447.517 -0.144 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCn4ccnc4C3)CC2)cc1 ZINC000515092648 1071876657 /nfs/dbraw/zinc/87/66/57/1071876657.db2.gz ZOSCJSVOVWZYPA-OAHLLOKOSA-N 0 0 447.517 -0.144 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)o1 ZINC000515092844 1071876570 /nfs/dbraw/zinc/87/65/70/1071876570.db2.gz QYOQIZUWLWGDRZ-UHFFFAOYSA-N 0 0 428.492 -0.203 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)CC(=O)N1C ZINC000515094705 1071875453 /nfs/dbraw/zinc/87/54/53/1071875453.db2.gz YMHNABIYYOWOQO-CFCCAZDESA-N 0 0 430.509 -0.446 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N2CCC[C@]3(CNC(=O)C3)C2)CC(=O)N1C ZINC000515094706 1071876082 /nfs/dbraw/zinc/87/60/82/1071876082.db2.gz YMHNABIYYOWOQO-IQVOZAENSA-N 0 0 430.509 -0.446 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000515094800 1071876112 /nfs/dbraw/zinc/87/61/12/1071876112.db2.gz MNWIVFJVGSSRDC-GFCCVEGCSA-N 0 0 435.499 -0.696 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000515094801 1071875940 /nfs/dbraw/zinc/87/59/40/1071875940.db2.gz MNWIVFJVGSSRDC-LBPRGKRZSA-N 0 0 435.499 -0.696 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000515094882 1071875463 /nfs/dbraw/zinc/87/54/63/1071875463.db2.gz LWEFRCNSDPBALH-XYEKJYRLSA-N 0 0 428.511 -0.703 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000515094883 1071875354 /nfs/dbraw/zinc/87/53/54/1071875354.db2.gz LWEFRCNSDPBALH-YNAXMUEKSA-N 0 0 428.511 -0.703 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000515095373 1071876002 /nfs/dbraw/zinc/87/60/02/1071876002.db2.gz GYNKNNODHLSNLG-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN COc1cc(F)c(F)cc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000515097563 1071875994 /nfs/dbraw/zinc/87/59/94/1071875994.db2.gz OZBABARBFZSJLY-UHFFFAOYSA-N 0 0 438.391 -0.599 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCC(=O)NC(N)=O)c1 ZINC000515098050 1071876037 /nfs/dbraw/zinc/87/60/37/1071876037.db2.gz ZKLQSWFFWBTQIK-UHFFFAOYSA-N 0 0 427.483 -0.253 20 0 IBADRN Cn1[nH]c(=O)c2c1nc(C1CC1)cc2C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515098452 1071876015 /nfs/dbraw/zinc/87/60/15/1071876015.db2.gz IADKALOWFMSYJP-UHFFFAOYSA-N 0 0 442.476 -0.306 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C[C@H](C)O1 ZINC000515100194 1071876124 /nfs/dbraw/zinc/87/61/24/1071876124.db2.gz VNLVSXOSFRJHDO-RYUDHWBXSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C[C@H](C)O1 ZINC000515100195 1071876148 /nfs/dbraw/zinc/87/61/48/1071876148.db2.gz VNLVSXOSFRJHDO-TXEJJXNPSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C[C@@H](C)O1 ZINC000515100196 1071876624 /nfs/dbraw/zinc/87/66/24/1071876624.db2.gz VNLVSXOSFRJHDO-VXGBXAGGSA-N 0 0 436.512 -0.961 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000515100633 1071875953 /nfs/dbraw/zinc/87/59/53/1071875953.db2.gz HUOHNLAUNTURQL-UHFFFAOYSA-N 0 0 442.542 -0.286 20 0 IBADRN COCC[C@@H](COC)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000515101117 1071876790 /nfs/dbraw/zinc/87/67/90/1071876790.db2.gz RXGYPPMIKOIUES-INIZCTEOSA-N 0 0 429.543 -0.116 20 0 IBADRN COCC[C@H](COC)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000515101119 1071876751 /nfs/dbraw/zinc/87/67/51/1071876751.db2.gz RXGYPPMIKOIUES-MRXNPFEDSA-N 0 0 429.543 -0.116 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000515107968 1071876646 /nfs/dbraw/zinc/87/66/46/1071876646.db2.gz LGZCEAGDWXAXED-UHFFFAOYSA-N 0 0 439.538 -0.007 20 0 IBADRN C[C@@H](C(=O)NCCc1cccs1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515115525 1071876631 /nfs/dbraw/zinc/87/66/31/1071876631.db2.gz AVBJHJVSBZKPJG-INIZCTEOSA-N 0 0 429.612 -0.095 20 0 IBADRN C[C@H](C(=O)NCCc1cccs1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515115526 1071876604 /nfs/dbraw/zinc/87/66/04/1071876604.db2.gz AVBJHJVSBZKPJG-MRXNPFEDSA-N 0 0 429.612 -0.095 20 0 IBADRN CCN(C(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515123663 1071877336 /nfs/dbraw/zinc/87/73/36/1071877336.db2.gz KHPBHWYQYPSUJQ-INIZCTEOSA-N 0 0 444.579 -0.508 20 0 IBADRN CCN(C(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515123665 1071877508 /nfs/dbraw/zinc/87/75/08/1071877508.db2.gz KHPBHWYQYPSUJQ-MRXNPFEDSA-N 0 0 444.579 -0.508 20 0 IBADRN CC(=O)N1CCN(C(=O)CS(=O)(=O)Cc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000515123698 1071877249 /nfs/dbraw/zinc/87/72/49/1071877249.db2.gz NCHSQGRCKNQDRI-UHFFFAOYSA-N 0 0 431.536 -0.458 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cn1 ZINC000515124630 1071877492 /nfs/dbraw/zinc/87/74/92/1071877492.db2.gz ADMDUCMXLVFULI-UHFFFAOYSA-N 0 0 426.543 -0.755 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)cn1 ZINC000515125875 1071877418 /nfs/dbraw/zinc/87/74/18/1071877418.db2.gz UBOLWMRPHHZNER-UHFFFAOYSA-N 0 0 438.485 -0.380 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)cn1 ZINC000515125953 1071877402 /nfs/dbraw/zinc/87/74/02/1071877402.db2.gz NLBCDURHJSXTOM-UHFFFAOYSA-N 0 0 434.522 -0.841 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCCN(S(C)(=O)=O)CC2)CC1 ZINC000515127126 1071877232 /nfs/dbraw/zinc/87/72/32/1071877232.db2.gz RMLUOPBOVXOPJW-UHFFFAOYSA-N 0 0 447.583 -0.079 20 0 IBADRN O=C(C(=O)N1CCN(Cc2ccc(S(=O)(=O)N3CCCC3)o2)CC1)N1CCOCC1 ZINC000515135888 1071878155 /nfs/dbraw/zinc/87/81/55/1071878155.db2.gz YRNTUIQKHJMQKM-UHFFFAOYSA-N 0 0 440.522 -0.433 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000515137613 1071878166 /nfs/dbraw/zinc/87/81/66/1071878166.db2.gz MYDVQDYGPTZOAT-KRWDZBQOSA-N 0 0 440.566 -0.633 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515138035 1071878074 /nfs/dbraw/zinc/87/80/74/1071878074.db2.gz MYDVQDYGPTZOAT-QGZVFWFLSA-N 0 0 440.566 -0.633 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515138235 1071877472 /nfs/dbraw/zinc/87/74/72/1071877472.db2.gz WSRSFUVQSFLGLL-INIZCTEOSA-N 0 0 428.555 -0.919 20 0 IBADRN O=C(Nc1cccc(N2CCNC2=O)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000515138421 1071877427 /nfs/dbraw/zinc/87/74/27/1071877427.db2.gz AQLOLPLXJHQZRZ-UHFFFAOYSA-N 0 0 438.510 -0.057 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H](C)CCNS(=O)(=O)c2ccccc2)cn1 ZINC000515140286 1071877266 /nfs/dbraw/zinc/87/72/66/1071877266.db2.gz OXDURWZLTFWWBJ-CYBMUJFWSA-N 0 0 436.494 -0.559 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H](C)CCNS(=O)(=O)c2ccccc2)cn1 ZINC000515140292 1071877281 /nfs/dbraw/zinc/87/72/81/1071877281.db2.gz OXDURWZLTFWWBJ-ZDUSSCGKSA-N 0 0 436.494 -0.559 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)cc2C1=O ZINC000515145288 1071878104 /nfs/dbraw/zinc/87/81/04/1071878104.db2.gz KVGJKVDRIQEIHE-UHFFFAOYSA-N 0 0 430.442 -0.143 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(=O)NC1CC1 ZINC000515145456 1071877999 /nfs/dbraw/zinc/87/79/99/1071877999.db2.gz LYEVEPULFBJZNG-UHFFFAOYSA-N 0 0 438.506 -0.239 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)C2 ZINC000515146288 1071877957 /nfs/dbraw/zinc/87/79/57/1071877957.db2.gz RXTVRTDGEXKMGW-UHFFFAOYSA-N 0 0 432.568 -0.650 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)c1 ZINC000515146887 1071878117 /nfs/dbraw/zinc/87/81/17/1071878117.db2.gz OZOVYKTYNJHNBA-UHFFFAOYSA-N 0 0 445.520 -0.009 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCS(=O)(=O)Cc1cc(=O)n3ccccc3n1)c(=O)n2C ZINC000515147156 1071877901 /nfs/dbraw/zinc/87/79/01/1071877901.db2.gz XUMZCJFKFXQMQG-UHFFFAOYSA-N 0 0 444.473 -0.553 20 0 IBADRN NC(=O)CN(CCC1CCCCC1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000515147835 1071878148 /nfs/dbraw/zinc/87/81/48/1071878148.db2.gz JNKACFIHTFNUCR-AEVYOOLXSA-N 0 0 434.497 -0.300 20 0 IBADRN Cc1ccc(CN(CCO)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000515147966 1071877876 /nfs/dbraw/zinc/87/78/76/1071877876.db2.gz OPGMPZHUVQRATD-UHFFFAOYSA-N 0 0 429.564 -0.189 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000515150229 1071877985 /nfs/dbraw/zinc/87/79/85/1071877985.db2.gz JSYARQXOKCIMNI-UHFFFAOYSA-N 0 0 426.437 -0.028 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000515153040 1071878693 /nfs/dbraw/zinc/87/86/93/1071878693.db2.gz VEFMYEFQMBNTLI-UHFFFAOYSA-N 0 0 426.495 -0.318 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000515153107 1071878674 /nfs/dbraw/zinc/87/86/74/1071878674.db2.gz QNJZJHIVEVOQBX-HNNXBMFYSA-N 0 0 433.509 -0.061 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000515153108 1071878766 /nfs/dbraw/zinc/87/87/66/1071878766.db2.gz QNJZJHIVEVOQBX-OAHLLOKOSA-N 0 0 433.509 -0.061 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000515153536 1071878798 /nfs/dbraw/zinc/87/87/98/1071878798.db2.gz AKGBTBDGLHHNCA-UHFFFAOYSA-N 0 0 447.492 -0.802 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000515153846 1071878716 /nfs/dbraw/zinc/87/87/16/1071878716.db2.gz HSNSDCRBWHZQEQ-HNNXBMFYSA-N 0 0 440.522 -0.517 20 0 IBADRN CCOC(=O)C(NC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1)C(=O)OCC ZINC000515157074 1071878860 /nfs/dbraw/zinc/87/88/60/1071878860.db2.gz LIFRNYIJLOCMCT-UHFFFAOYSA-N 0 0 434.492 -0.006 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCC1=O ZINC000515157744 1071879506 /nfs/dbraw/zinc/87/95/06/1071879506.db2.gz BBPUPTTXHDKXRU-AWEZNQCLSA-N 0 0 426.470 -0.604 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCC1=O ZINC000515157745 1071879262 /nfs/dbraw/zinc/87/92/62/1071879262.db2.gz BBPUPTTXHDKXRU-CQSZACIVSA-N 0 0 426.470 -0.604 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000515159323 1071879214 /nfs/dbraw/zinc/87/92/14/1071879214.db2.gz AXIJNCKBSMSSQS-GFCCVEGCSA-N 0 0 434.540 -0.291 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000515159328 1071879378 /nfs/dbraw/zinc/87/93/78/1071879378.db2.gz AXIJNCKBSMSSQS-LBPRGKRZSA-N 0 0 434.540 -0.291 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)[C@H](C)C1 ZINC000515169164 1071878759 /nfs/dbraw/zinc/87/87/59/1071878759.db2.gz YJDPCRUQSKVLAI-OAHLLOKOSA-N 0 0 426.605 -0.482 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000515169377 1071878700 /nfs/dbraw/zinc/87/87/00/1071878700.db2.gz DTTLAUKAKVOZRM-TVQRCGJNSA-N 0 0 433.483 -0.246 20 0 IBADRN NC(=O)c1ccc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c(OCC(F)F)c1 ZINC000515183980 1071880146 /nfs/dbraw/zinc/88/01/46/1071880146.db2.gz YPFNMXQWEOBAPF-JTQLQIEISA-N 0 0 434.421 -0.146 20 0 IBADRN NC(=O)c1ccc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c(OCC(F)F)c1 ZINC000515183981 1071879880 /nfs/dbraw/zinc/87/98/80/1071879880.db2.gz YPFNMXQWEOBAPF-SNVBAGLBSA-N 0 0 434.421 -0.146 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCNc3nccc(C(F)(F)F)n3)CC2=O)cn1 ZINC000515186997 1071879357 /nfs/dbraw/zinc/87/93/57/1071879357.db2.gz DRQCENPHKQPJKD-UHFFFAOYSA-N 0 0 440.386 -0.368 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000515190066 1071879442 /nfs/dbraw/zinc/87/94/42/1071879442.db2.gz MECZZSXHYIJIKV-UHFFFAOYSA-N 0 0 446.551 -0.745 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000515191161 1071879242 /nfs/dbraw/zinc/87/92/42/1071879242.db2.gz IOMGNPADKSJIPC-CABCVRRESA-N 0 0 443.547 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000515191162 1071879125 /nfs/dbraw/zinc/87/91/25/1071879125.db2.gz IOMGNPADKSJIPC-GJZGRUSLSA-N 0 0 443.547 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000515191163 1071879520 /nfs/dbraw/zinc/87/95/20/1071879520.db2.gz IOMGNPADKSJIPC-HUUCEWRRSA-N 0 0 443.547 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000515191164 1071879160 /nfs/dbraw/zinc/87/91/60/1071879160.db2.gz IOMGNPADKSJIPC-LSDHHAIUSA-N 0 0 443.547 -0.575 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCS(=O)(=O)NC(C)(C)C)c1 ZINC000515192310 1071879389 /nfs/dbraw/zinc/87/93/89/1071879389.db2.gz KGTGEWRBSMHQRO-UHFFFAOYSA-N 0 0 448.567 -0.499 20 0 IBADRN O=C(CCNC(=O)c1cccc(C(=O)NCCC(=O)N2CCOCC2)n1)N1CCOCC1 ZINC000515194894 1072927178 /nfs/dbraw/zinc/92/71/78/1072927178.db2.gz GEJHJQQCNBPLAK-UHFFFAOYSA-N 0 0 447.492 -0.961 20 0 IBADRN O=C(NC[C@H](O)COc1ccccc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000515198152 1071879969 /nfs/dbraw/zinc/87/99/69/1071879969.db2.gz HDYPCRNLFFKSGI-INIZCTEOSA-N 0 0 433.486 -0.023 20 0 IBADRN O=C(NC[C@@H](O)COc1ccccc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000515198153 1071880095 /nfs/dbraw/zinc/88/00/95/1071880095.db2.gz HDYPCRNLFFKSGI-MRXNPFEDSA-N 0 0 433.486 -0.023 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000515198547 1071880105 /nfs/dbraw/zinc/88/01/05/1071880105.db2.gz ZNCIIVFTELPAPI-UHFFFAOYSA-N 0 0 444.535 -0.583 20 0 IBADRN O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515199098 1071880120 /nfs/dbraw/zinc/88/01/20/1071880120.db2.gz XOTIUCPLYAIEGP-HOTGVXAUSA-N 0 0 448.501 -0.079 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515199099 1071880055 /nfs/dbraw/zinc/88/00/55/1071880055.db2.gz XOTIUCPLYAIEGP-HZPDHXFCSA-N 0 0 448.501 -0.079 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515199100 1071880130 /nfs/dbraw/zinc/88/01/30/1071880130.db2.gz XOTIUCPLYAIEGP-IYBDPMFKSA-N 0 0 448.501 -0.079 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515199202 1071879894 /nfs/dbraw/zinc/87/98/94/1071879894.db2.gz QQMDSSIPOOYEMD-UHFFFAOYSA-N 0 0 449.489 -0.390 20 0 IBADRN COc1ccc(C)cc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515208098 1071880154 /nfs/dbraw/zinc/88/01/54/1071880154.db2.gz UMMQULZRTFVTFX-UHFFFAOYSA-N 0 0 432.568 -0.198 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCCNC2=O)CC1 ZINC000515214820 1071880599 /nfs/dbraw/zinc/88/05/99/1071880599.db2.gz IZMJMMOVBTUJPK-UHFFFAOYSA-N 0 0 442.494 -0.069 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000515219993 1071880642 /nfs/dbraw/zinc/88/06/42/1071880642.db2.gz LIZNREQILZJGAV-IBGZPJMESA-N 0 0 445.564 -0.107 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000515219994 1071880811 /nfs/dbraw/zinc/88/08/11/1071880811.db2.gz LIZNREQILZJGAV-LJQANCHMSA-N 0 0 445.564 -0.107 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000515220988 1071880575 /nfs/dbraw/zinc/88/05/75/1071880575.db2.gz XQWAFLLVXJSWBE-UHFFFAOYSA-N 0 0 432.524 -0.183 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515222015 1071880651 /nfs/dbraw/zinc/88/06/51/1071880651.db2.gz VQYNGMXAJWWUMB-UHFFFAOYSA-N 0 0 438.506 -0.067 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1C ZINC000515222597 1071880552 /nfs/dbraw/zinc/88/05/52/1071880552.db2.gz IAYNJMNIHKQXOP-UHFFFAOYSA-N 0 0 439.538 -0.089 20 0 IBADRN Cc1c(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cccc1-n1cnnn1 ZINC000515229983 1071880853 /nfs/dbraw/zinc/88/08/53/1071880853.db2.gz XRXSOTQCERRNEZ-UHFFFAOYSA-N 0 0 436.498 -0.303 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000515237579 1071881952 /nfs/dbraw/zinc/88/19/52/1071881952.db2.gz CGNNUYDVOMGWSG-UHFFFAOYSA-N 0 0 447.492 -0.976 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(c2cccnn2)CC1 ZINC000515238457 1071882099 /nfs/dbraw/zinc/88/20/99/1071882099.db2.gz TWYCDMLCDZRMDA-UHFFFAOYSA-N 0 0 429.481 -0.504 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cs1 ZINC000515238513 1071881964 /nfs/dbraw/zinc/88/19/64/1071881964.db2.gz VRJYCKLGQNTYPG-UHFFFAOYSA-N 0 0 427.508 -0.228 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000515239416 1071882041 /nfs/dbraw/zinc/88/20/41/1071882041.db2.gz FGCNITKSWFBZAK-UHFFFAOYSA-N 0 0 430.552 -0.019 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)C1=O ZINC000515248995 1071881213 /nfs/dbraw/zinc/88/12/13/1071881213.db2.gz BECKEGQXFYCKSU-CRAIPNDOSA-N 0 0 444.488 -0.234 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)C1=O ZINC000515248996 1071881196 /nfs/dbraw/zinc/88/11/96/1071881196.db2.gz BECKEGQXFYCKSU-MAUKXSAKSA-N 0 0 444.488 -0.234 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000515248997 1071881250 /nfs/dbraw/zinc/88/12/50/1071881250.db2.gz BECKEGQXFYCKSU-QAPCUYQASA-N 0 0 444.488 -0.234 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000515248998 1071881314 /nfs/dbraw/zinc/88/13/14/1071881314.db2.gz BECKEGQXFYCKSU-YJBOKZPZSA-N 0 0 444.488 -0.234 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)C(=O)NC2CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515250809 1071881227 /nfs/dbraw/zinc/88/12/27/1071881227.db2.gz AZEBICZMEDOYLB-GOEBONIOSA-N 0 0 428.555 -0.777 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)C(=O)NC2CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515250810 1071881327 /nfs/dbraw/zinc/88/13/27/1071881327.db2.gz AZEBICZMEDOYLB-HOCLYGCPSA-N 0 0 428.555 -0.777 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC2CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515250811 1071881343 /nfs/dbraw/zinc/88/13/43/1071881343.db2.gz AZEBICZMEDOYLB-ZBFHGGJFSA-N 0 0 428.555 -0.777 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000515250868 1071881280 /nfs/dbraw/zinc/88/12/80/1071881280.db2.gz KRSJFBDBYPUSQZ-UHFFFAOYSA-N 0 0 441.532 -0.038 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CCC1 ZINC000515251505 1071882103 /nfs/dbraw/zinc/88/21/03/1071882103.db2.gz GIYKEXXHXPARMJ-INIZCTEOSA-N 0 0 431.555 -0.038 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CCC1 ZINC000515251506 1071881874 /nfs/dbraw/zinc/88/18/74/1071881874.db2.gz GIYKEXXHXPARMJ-MRXNPFEDSA-N 0 0 431.555 -0.038 20 0 IBADRN COCCN(C(=O)CN1CCC(CC(N)=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000515253284 1071883057 /nfs/dbraw/zinc/88/30/57/1071883057.db2.gz HVGDBFIRGHXBTR-UHFFFAOYSA-N 0 0 438.529 -0.246 20 0 IBADRN Cc1csc(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000515255927 1071883241 /nfs/dbraw/zinc/88/32/41/1071883241.db2.gz GUTPCJCRIUEERU-INIZCTEOSA-N 0 0 427.596 -0.095 20 0 IBADRN Cc1csc(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000515255928 1071883383 /nfs/dbraw/zinc/88/33/83/1071883383.db2.gz GUTPCJCRIUEERU-MRXNPFEDSA-N 0 0 427.596 -0.095 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000515256768 1071883161 /nfs/dbraw/zinc/88/31/61/1071883161.db2.gz LFMGDIPNHNUNCQ-AWEZNQCLSA-N 0 0 431.536 -0.609 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000515256770 1071883314 /nfs/dbraw/zinc/88/33/14/1071883314.db2.gz LFMGDIPNHNUNCQ-CQSZACIVSA-N 0 0 431.536 -0.609 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)[C@H]1c1ccccc1 ZINC000515257447 1071882489 /nfs/dbraw/zinc/88/24/89/1071882489.db2.gz VWDYATRKMBSRQG-IPELMVKDSA-N 0 0 437.518 -0.215 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)[C@H]1c1ccccc1 ZINC000515257449 1071882761 /nfs/dbraw/zinc/88/27/61/1071882761.db2.gz VWDYATRKMBSRQG-LZQZEXGQSA-N 0 0 437.518 -0.215 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)O1 ZINC000515258863 1071882710 /nfs/dbraw/zinc/88/27/10/1071882710.db2.gz GRGRZEVSLKKEBG-LSDHHAIUSA-N 0 0 426.447 -0.333 20 0 IBADRN COc1ccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1S(N)(=O)=O ZINC000515260516 1071882656 /nfs/dbraw/zinc/88/26/56/1071882656.db2.gz ROYZADODXPVABF-HNNXBMFYSA-N 0 0 440.522 -0.861 20 0 IBADRN COc1ccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1S(N)(=O)=O ZINC000515260519 1071882430 /nfs/dbraw/zinc/88/24/30/1071882430.db2.gz ROYZADODXPVABF-OAHLLOKOSA-N 0 0 440.522 -0.861 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)NC1CC1 ZINC000515260847 1071882723 /nfs/dbraw/zinc/88/27/23/1071882723.db2.gz JYGILGYOIQPTCA-UHFFFAOYSA-N 0 0 440.478 -0.951 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NCCNC(C)=O)c1 ZINC000515262867 1071882533 /nfs/dbraw/zinc/88/25/33/1071882533.db2.gz CJNDSMQKYKSOHZ-UHFFFAOYSA-N 0 0 427.527 -0.026 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)cc1-n1cnnn1 ZINC000515262906 1071882621 /nfs/dbraw/zinc/88/26/21/1071882621.db2.gz GTNLMWHVVJRVMB-UHFFFAOYSA-N 0 0 429.462 -0.084 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000515262959 1071883391 /nfs/dbraw/zinc/88/33/91/1071883391.db2.gz IXJCYHMGXXJGAL-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN CC(=O)NCCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000515263181 1071882630 /nfs/dbraw/zinc/88/26/30/1071882630.db2.gz VCXBAEOOCOKPIU-UHFFFAOYSA-N 0 0 432.886 -0.448 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCN1CCOCC1 ZINC000515264888 1071883359 /nfs/dbraw/zinc/88/33/59/1071883359.db2.gz MJWDAWNHOHNAOJ-UHFFFAOYSA-N 0 0 441.554 -0.220 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NCC(=O)N(C)C)c1 ZINC000515266911 1071883090 /nfs/dbraw/zinc/88/30/90/1071883090.db2.gz VOVDBIMJEAKSOY-UHFFFAOYSA-N 0 0 427.527 -0.074 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCCNS(C)(=O)=O ZINC000515268596 1071883325 /nfs/dbraw/zinc/88/33/25/1071883325.db2.gz RPCXCQSIOUIRLS-UHFFFAOYSA-N 0 0 448.523 -0.870 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000515269263 1071883351 /nfs/dbraw/zinc/88/33/51/1071883351.db2.gz NJHGVOZWZVAQQI-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)CC1 ZINC000515269486 1071883129 /nfs/dbraw/zinc/88/31/29/1071883129.db2.gz UAFCPROCWHOCPY-UHFFFAOYSA-N 0 0 426.481 -0.060 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(C)(C)C(N)=O ZINC000515271003 1071884710 /nfs/dbraw/zinc/88/47/10/1071884710.db2.gz ROFZRBMWWLPIOQ-UHFFFAOYSA-N 0 0 426.495 -0.418 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000515271164 1071883873 /nfs/dbraw/zinc/88/38/73/1071883873.db2.gz XWTGUMNXVKORKO-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)c1 ZINC000515273349 1071884829 /nfs/dbraw/zinc/88/48/29/1071884829.db2.gz QWHDHVOGRWNQFV-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)ccc1Cl ZINC000515274072 1071884646 /nfs/dbraw/zinc/88/46/46/1071884646.db2.gz NYHVLHKBJDUODW-UHFFFAOYSA-N 0 0 446.913 -0.106 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCC(=O)N1CCOCC1 ZINC000515274371 1071884849 /nfs/dbraw/zinc/88/48/49/1071884849.db2.gz QYOFUZWTCVBQBW-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)c1)N1CCCC1 ZINC000515274775 1071884636 /nfs/dbraw/zinc/88/46/36/1071884636.db2.gz GLHSCTYGXCSCBZ-UHFFFAOYSA-N 0 0 432.477 -0.009 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1 ZINC000515277622 1071884668 /nfs/dbraw/zinc/88/46/68/1071884668.db2.gz KPKUBHGQVNZLBS-UHFFFAOYSA-N 0 0 445.520 -0.093 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(C(=O)c3nccn3C)cc2)CC1 ZINC000515278455 1071884585 /nfs/dbraw/zinc/88/45/85/1071884585.db2.gz GSDAPBZWYKESOS-UHFFFAOYSA-N 0 0 426.477 -0.130 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1)N1CCOCC1 ZINC000515279986 1071883274 /nfs/dbraw/zinc/88/32/74/1071883274.db2.gz LVPWDYOHVLGGKB-UHFFFAOYSA-N 0 0 439.472 -0.032 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000515280066 1071883861 /nfs/dbraw/zinc/88/38/61/1071883861.db2.gz QQOOEECJHWRUIL-INIZCTEOSA-N 0 0 433.509 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](N3CCNC3=O)C1)CC2 ZINC000515283225 1071883669 /nfs/dbraw/zinc/88/36/69/1071883669.db2.gz NYLAGFCUNDYALG-AWEZNQCLSA-N 0 0 435.506 -0.500 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](N3CCNC3=O)C1)CC2 ZINC000515283226 1071884023 /nfs/dbraw/zinc/88/40/23/1071884023.db2.gz NYLAGFCUNDYALG-CQSZACIVSA-N 0 0 435.506 -0.500 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H](CN1CCOCC1)C(=O)OC ZINC000515285368 1071884063 /nfs/dbraw/zinc/88/40/63/1071884063.db2.gz FUXXXKGGMAWRBZ-CYBMUJFWSA-N 0 0 435.524 -0.863 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H](CN1CCOCC1)C(=O)OC ZINC000515285369 1071884012 /nfs/dbraw/zinc/88/40/12/1071884012.db2.gz FUXXXKGGMAWRBZ-ZDUSSCGKSA-N 0 0 435.524 -0.863 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCNC(=O)[C@H]1c1ccccc1 ZINC000515286887 1071884028 /nfs/dbraw/zinc/88/40/28/1071884028.db2.gz RMNSGRMAYRASKB-GOSISDBHSA-N 0 0 426.477 -0.147 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1 ZINC000515286888 1071884074 /nfs/dbraw/zinc/88/40/74/1071884074.db2.gz RMNSGRMAYRASKB-SFHVURJKSA-N 0 0 426.477 -0.147 20 0 IBADRN CN(C)[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccco1 ZINC000515290341 1071884768 /nfs/dbraw/zinc/88/47/68/1071884768.db2.gz LVDGNRXPLMXNAB-INIZCTEOSA-N 0 0 428.559 -0.298 20 0 IBADRN CN(C)[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccco1 ZINC000515290342 1071884723 /nfs/dbraw/zinc/88/47/23/1071884723.db2.gz LVDGNRXPLMXNAB-MRXNPFEDSA-N 0 0 428.559 -0.298 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000515293027 1071884785 /nfs/dbraw/zinc/88/47/85/1071884785.db2.gz YVGWHUJSQIVIMA-CALCHBBNSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)N3CCOCC3)CC2)C[C@@H](C)O1 ZINC000515293028 1071884844 /nfs/dbraw/zinc/88/48/44/1071884844.db2.gz YVGWHUJSQIVIMA-IAGOWNOFSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000515293029 1071885409 /nfs/dbraw/zinc/88/54/09/1071885409.db2.gz YVGWHUJSQIVIMA-IRXDYDNUSA-N 0 0 447.602 -0.222 20 0 IBADRN CCOCCCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000515295285 1071885346 /nfs/dbraw/zinc/88/53/46/1071885346.db2.gz GDJKKUVORCNSLN-UHFFFAOYSA-N 0 0 434.540 -0.224 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCS(=O)(=O)C3CCCC3)CC2)o1 ZINC000515295894 1071885369 /nfs/dbraw/zinc/88/53/69/1071885369.db2.gz SGKNMASWDHSOTR-UHFFFAOYSA-N 0 0 447.535 -0.041 20 0 IBADRN Cc1cc(C)n2nc(CC(=O)N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)nc2n1 ZINC000515296074 1071885424 /nfs/dbraw/zinc/88/54/24/1071885424.db2.gz WROZYKPDEPFEBH-UHFFFAOYSA-N 0 0 447.477 -0.491 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc(Cl)c3)CC2)CC1 ZINC000515314578 1071886743 /nfs/dbraw/zinc/88/67/43/1071886743.db2.gz FPAYAMWUOYKESD-UHFFFAOYSA-N 0 0 443.957 -0.407 20 0 IBADRN CNc1nc(N2C[C@H](N3CCOCC3)C[C@@H]2C)nc(N2C[C@@H](N3CCOCC3)C[C@@H]2C)n1 ZINC000515319402 1071887288 /nfs/dbraw/zinc/88/72/88/1071887288.db2.gz AHKSTPKPGNNLOK-CADBVGFASA-N 0 0 446.600 -0.066 20 0 IBADRN CNc1nc(N2C[C@H](N3CCOCC3)C[C@H]2C)nc(N2C[C@H](N3CCOCC3)C[C@@H]2C)n1 ZINC000515319403 1071887372 /nfs/dbraw/zinc/88/73/72/1071887372.db2.gz AHKSTPKPGNNLOK-FCGDIQPGSA-N 0 0 446.600 -0.066 20 0 IBADRN CNc1nc(N2C[C@H](N3CCOCC3)C[C@@H]2C)nc(N2C[C@H](N3CCOCC3)C[C@@H]2C)n1 ZINC000515319404 1071887337 /nfs/dbraw/zinc/88/73/37/1071887337.db2.gz AHKSTPKPGNNLOK-INDMIFKZSA-N 0 0 446.600 -0.066 20 0 IBADRN CNc1nc(N2C[C@@H](N3CCOCC3)C[C@H]2C)nc(N2C[C@H](N3CCOCC3)C[C@@H]2C)n1 ZINC000515319405 1071887398 /nfs/dbraw/zinc/88/73/98/1071887398.db2.gz AHKSTPKPGNNLOK-SEXKYXSUSA-N 0 0 446.600 -0.066 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)[C@H](C)N1CCOCC1 ZINC000515319900 1071887314 /nfs/dbraw/zinc/88/73/14/1071887314.db2.gz ADAQZFQPPGAUBP-CABCVRRESA-N 0 0 427.523 -0.190 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)[C@H](C)N1CCOCC1 ZINC000515319901 1071887329 /nfs/dbraw/zinc/88/73/29/1071887329.db2.gz ADAQZFQPPGAUBP-GJZGRUSLSA-N 0 0 427.523 -0.190 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)[C@@H](C)N1CCOCC1 ZINC000515319902 1071887455 /nfs/dbraw/zinc/88/74/55/1071887455.db2.gz ADAQZFQPPGAUBP-HUUCEWRRSA-N 0 0 427.523 -0.190 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)N1CCOCC1 ZINC000515319904 1071887341 /nfs/dbraw/zinc/88/73/41/1071887341.db2.gz ADAQZFQPPGAUBP-LSDHHAIUSA-N 0 0 427.523 -0.190 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC000515321191 1071887201 /nfs/dbraw/zinc/88/72/01/1071887201.db2.gz BIZIOUYUSNAVHP-CVEARBPZSA-N 0 0 445.524 -0.865 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC000515321192 1071887173 /nfs/dbraw/zinc/88/71/73/1071887173.db2.gz BIZIOUYUSNAVHP-HOTGVXAUSA-N 0 0 445.524 -0.865 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC000515321193 1071887437 /nfs/dbraw/zinc/88/74/37/1071887437.db2.gz BIZIOUYUSNAVHP-HZPDHXFCSA-N 0 0 445.524 -0.865 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC000515321194 1071887255 /nfs/dbraw/zinc/88/72/55/1071887255.db2.gz BIZIOUYUSNAVHP-JKSUJKDBSA-N 0 0 445.524 -0.865 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Oc1ccc(F)cc1 ZINC000515323128 1071885338 /nfs/dbraw/zinc/88/53/38/1071885338.db2.gz PPXHMVSSUUZECG-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Oc1ccc(F)cc1 ZINC000515323129 1071885506 /nfs/dbraw/zinc/88/55/06/1071885506.db2.gz PPXHMVSSUUZECG-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000515323300 1071885457 /nfs/dbraw/zinc/88/54/57/1071885457.db2.gz ZSIDCYJGNRGBTN-CYBMUJFWSA-N 0 0 427.509 -0.073 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000515323301 1071885300 /nfs/dbraw/zinc/88/53/00/1071885300.db2.gz ZSIDCYJGNRGBTN-ZDUSSCGKSA-N 0 0 427.509 -0.073 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCOCC1 ZINC000515323652 1071885995 /nfs/dbraw/zinc/88/59/95/1071885995.db2.gz CTDPTGNOMSUUCH-DLBZAZTESA-N 0 0 431.493 -0.558 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCOCC1 ZINC000515323653 1071886008 /nfs/dbraw/zinc/88/60/08/1071886008.db2.gz CTDPTGNOMSUUCH-IAGOWNOFSA-N 0 0 431.493 -0.558 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCOCC1 ZINC000515323654 1071885893 /nfs/dbraw/zinc/88/58/93/1071885893.db2.gz CTDPTGNOMSUUCH-IRXDYDNUSA-N 0 0 431.493 -0.558 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCOCC1 ZINC000515323655 1071885925 /nfs/dbraw/zinc/88/59/25/1071885925.db2.gz CTDPTGNOMSUUCH-SJORKVTESA-N 0 0 431.493 -0.558 20 0 IBADRN CCN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)Cc1cn(C)nn1 ZINC000515324816 1071885907 /nfs/dbraw/zinc/88/59/07/1071885907.db2.gz YVJCWGFNUAPVHG-UHFFFAOYSA-N 0 0 436.538 -0.026 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)C1=O ZINC000515326335 1071886760 /nfs/dbraw/zinc/88/67/60/1071886760.db2.gz MDYJTNGLXCPQNI-IBGZPJMESA-N 0 0 438.485 -0.113 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)C1=O ZINC000515326336 1071886687 /nfs/dbraw/zinc/88/66/87/1071886687.db2.gz MDYJTNGLXCPQNI-LJQANCHMSA-N 0 0 438.485 -0.113 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000515326435 1071886041 /nfs/dbraw/zinc/88/60/41/1071886041.db2.gz DFYJIMFKGGEBGZ-MSOLQXFVSA-N 0 0 438.550 -0.609 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000515326436 1071886114 /nfs/dbraw/zinc/88/61/14/1071886114.db2.gz DFYJIMFKGGEBGZ-QZTJIDSGSA-N 0 0 438.550 -0.609 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000515326437 1071886618 /nfs/dbraw/zinc/88/66/18/1071886618.db2.gz DFYJIMFKGGEBGZ-ROUUACIJSA-N 0 0 438.550 -0.609 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000515326438 1071886607 /nfs/dbraw/zinc/88/66/07/1071886607.db2.gz DFYJIMFKGGEBGZ-ZWKOTPCHSA-N 0 0 438.550 -0.609 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4c(F)cccc4F)C3=O)C2=O)cn1 ZINC000515327232 1071886110 /nfs/dbraw/zinc/88/61/10/1071886110.db2.gz KAYNTYAMBHGNLM-CABCVRRESA-N 0 0 446.414 -0.159 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4c(F)cccc4F)C3=O)C2=O)cn1 ZINC000515327233 1071886085 /nfs/dbraw/zinc/88/60/85/1071886085.db2.gz KAYNTYAMBHGNLM-GJZGRUSLSA-N 0 0 446.414 -0.159 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4c(F)cccc4F)C3=O)C2=O)cn1 ZINC000515327234 1071886060 /nfs/dbraw/zinc/88/60/60/1071886060.db2.gz KAYNTYAMBHGNLM-HUUCEWRRSA-N 0 0 446.414 -0.159 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4c(F)cccc4F)C3=O)C2=O)cn1 ZINC000515327235 1071886075 /nfs/dbraw/zinc/88/60/75/1071886075.db2.gz KAYNTYAMBHGNLM-LSDHHAIUSA-N 0 0 446.414 -0.159 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N(C)C[C@@H]2C[C@H](F)CN2Cc2ccnn2C)n1 ZINC000515328322 1071886709 /nfs/dbraw/zinc/88/67/09/1071886709.db2.gz SJAWTZHJPHWZFZ-YOEHRIQHSA-N 0 0 432.504 -0.037 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)n1 ZINC000515328787 1073337960 /nfs/dbraw/zinc/33/79/60/1073337960.db2.gz LWNRUXNRPMZAIB-KBPBESRZSA-N 0 0 430.531 -0.194 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)n1 ZINC000515328788 1073337109 /nfs/dbraw/zinc/33/71/09/1073337109.db2.gz LWNRUXNRPMZAIB-KGLIPLIRSA-N 0 0 430.531 -0.194 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)n1 ZINC000515328789 1073337998 /nfs/dbraw/zinc/33/79/98/1073337998.db2.gz LWNRUXNRPMZAIB-UONOGXRCSA-N 0 0 430.531 -0.194 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)n1 ZINC000515328790 1073336904 /nfs/dbraw/zinc/33/69/04/1073336904.db2.gz LWNRUXNRPMZAIB-ZIAGYGMSSA-N 0 0 430.531 -0.194 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000515331298 1071886634 /nfs/dbraw/zinc/88/66/34/1071886634.db2.gz ZOJJXXGJWGRHBQ-XJKSGUPXSA-N 0 0 445.563 -0.064 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3cnccn3)CC2)C1=O ZINC000515353405 1071888066 /nfs/dbraw/zinc/88/80/66/1071888066.db2.gz OHYWJOMCFXYLQM-HNNXBMFYSA-N 0 0 426.481 -0.347 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3cnccn3)CC2)C1=O ZINC000515353408 1071888096 /nfs/dbraw/zinc/88/80/96/1071888096.db2.gz OHYWJOMCFXYLQM-OAHLLOKOSA-N 0 0 426.481 -0.347 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000515355720 1071888087 /nfs/dbraw/zinc/88/80/87/1071888087.db2.gz JOQSCGXTGBTMQX-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000515355886 1071887835 /nfs/dbraw/zinc/88/78/35/1071887835.db2.gz WUXQNBVTYXDLMU-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000515360627 1071887918 /nfs/dbraw/zinc/88/79/18/1071887918.db2.gz WILFSPONJHLZGY-UHFFFAOYSA-N 0 0 444.539 -0.530 20 0 IBADRN O=C(CCNC(=O)c1ccc(C(=O)NCCC(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC000515362652 1071888107 /nfs/dbraw/zinc/88/81/07/1071888107.db2.gz MYGDYBQACLAXDL-UHFFFAOYSA-N 0 0 446.504 -0.356 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000515369709 1071887816 /nfs/dbraw/zinc/88/78/16/1071887816.db2.gz GFGVLEICZXKKKP-UHFFFAOYSA-N 0 0 446.551 -0.839 20 0 IBADRN CC[C@H](CO)NC(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000515372881 1071887989 /nfs/dbraw/zinc/88/79/89/1071887989.db2.gz SMUNRYOZLHWBPK-MRXNPFEDSA-N 0 0 429.477 -0.059 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(c2ccc(S(C)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000515374614 1071887881 /nfs/dbraw/zinc/88/78/81/1071887881.db2.gz ZPZYPAQIEKTLMN-UHFFFAOYSA-N 0 0 439.581 -0.142 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000515374802 1071889219 /nfs/dbraw/zinc/88/92/19/1071889219.db2.gz OCHDSGCHTCQOOW-UHFFFAOYSA-N 0 0 442.567 -0.138 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000515389320 1071888469 /nfs/dbraw/zinc/88/84/69/1071888469.db2.gz ARWSWZJYUCTQHO-GASCZTMLSA-N 0 0 425.463 -0.728 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCC(=O)N(C3CC3)[C@H]2c2ccnn2C)cn1 ZINC000515391379 1071888552 /nfs/dbraw/zinc/88/85/52/1071888552.db2.gz NSMVIFGUHVAHKC-RDTXWAMCSA-N 0 0 435.510 -0.557 20 0 IBADRN O=C(Nc1ccc(N2CCNC2=O)cc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000515392327 1071888691 /nfs/dbraw/zinc/88/86/91/1071888691.db2.gz XPTSONIUMXBHLL-UHFFFAOYSA-N 0 0 438.510 -0.057 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NCc3ccccc3)CC1)CC2 ZINC000515392490 1071888668 /nfs/dbraw/zinc/88/86/68/1071888668.db2.gz ZTMXWNCIPNLKBU-GOSISDBHSA-N 0 0 439.520 -0.522 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NCc3ccccc3)CC1)CC2 ZINC000515392491 1071888761 /nfs/dbraw/zinc/88/87/61/1071888761.db2.gz ZTMXWNCIPNLKBU-SFHVURJKSA-N 0 0 439.520 -0.522 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCNC(C)=O)c2)CC1 ZINC000515394383 1071889387 /nfs/dbraw/zinc/88/93/87/1071889387.db2.gz OBQGRNVRNJXXHS-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C(N)=O)cc1F ZINC000515404640 1071889833 /nfs/dbraw/zinc/88/98/33/1071889833.db2.gz TZYFSJWICWNPJE-GFCCVEGCSA-N 0 0 429.474 -0.360 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(C(N)=O)cc1F ZINC000515404641 1071889875 /nfs/dbraw/zinc/88/98/75/1071889875.db2.gz TZYFSJWICWNPJE-LBPRGKRZSA-N 0 0 429.474 -0.360 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000515404848 1071889820 /nfs/dbraw/zinc/88/98/20/1071889820.db2.gz CBVXLFLHZIXXPV-UHFFFAOYSA-N 0 0 428.492 -0.130 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3cc(C)oc3C)CC2)CC1 ZINC000515406232 1071889940 /nfs/dbraw/zinc/88/99/40/1071889940.db2.gz FLKMINHBLAUWAI-UHFFFAOYSA-N 0 0 433.509 -0.493 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000515407250 1071889996 /nfs/dbraw/zinc/88/99/96/1071889996.db2.gz NIBCXPAKWKNVLG-UHFFFAOYSA-N 0 0 431.493 -0.083 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc(F)c(F)c3)CC2)cn1 ZINC000515408581 1071889977 /nfs/dbraw/zinc/88/99/77/1071889977.db2.gz BMTDDSMSOIOSCW-UHFFFAOYSA-N 0 0 427.433 -0.039 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC1 ZINC000515409036 1071889881 /nfs/dbraw/zinc/88/98/81/1071889881.db2.gz RFBWWRHIKKIYEG-AWEZNQCLSA-N 0 0 440.588 -0.953 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC1 ZINC000515409038 1071889862 /nfs/dbraw/zinc/88/98/62/1071889862.db2.gz RFBWWRHIKKIYEG-CQSZACIVSA-N 0 0 440.588 -0.953 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000515409629 1071889990 /nfs/dbraw/zinc/88/99/90/1071889990.db2.gz FAPXHRHLQMIOBB-GFCCVEGCSA-N 0 0 440.341 -0.123 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000515409633 1071889931 /nfs/dbraw/zinc/88/99/31/1071889931.db2.gz FAPXHRHLQMIOBB-LBPRGKRZSA-N 0 0 440.341 -0.123 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCc1ccc(OC(F)F)cc1 ZINC000515411059 1071889894 /nfs/dbraw/zinc/88/98/94/1071889894.db2.gz MGPPQIBHHJQJGY-UHFFFAOYSA-N 0 0 440.447 -0.093 20 0 IBADRN COCC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000515413786 1071889349 /nfs/dbraw/zinc/88/93/49/1071889349.db2.gz ZWMIICTWLZYZSM-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000515416723 1071890598 /nfs/dbraw/zinc/89/05/98/1071890598.db2.gz XEILCGPXHVAWRD-UHFFFAOYSA-N 0 0 427.513 -0.651 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)c4ccccc4C3=O)CC2)o1 ZINC000515420733 1071890541 /nfs/dbraw/zinc/89/05/41/1071890541.db2.gz UHSRAAIDZRNNOP-UHFFFAOYSA-N 0 0 446.441 -0.492 20 0 IBADRN NC(=O)C1(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOCC1 ZINC000515422384 1071890466 /nfs/dbraw/zinc/89/04/66/1071890466.db2.gz STBSKNGVXLLBGE-UHFFFAOYSA-N 0 0 427.479 -0.476 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000515423225 1071890522 /nfs/dbraw/zinc/89/05/22/1071890522.db2.gz FPRIGRZFHVFQAZ-UHFFFAOYSA-N 0 0 448.505 -0.610 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000515423533 1071890506 /nfs/dbraw/zinc/89/05/06/1071890506.db2.gz NHWCPCKXVCNADH-UHFFFAOYSA-N 0 0 431.474 -0.188 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)Cn2cc(S(=O)(=O)N3CCCC3)ccc2=O)cc1 ZINC000515425261 1071890589 /nfs/dbraw/zinc/89/05/89/1071890589.db2.gz YCLMUJWIVNHDTB-UHFFFAOYSA-N 0 0 448.501 -0.187 20 0 IBADRN O=C1C[C@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1c1ccc(F)cc1F ZINC000515431890 1071890976 /nfs/dbraw/zinc/89/09/76/1071890976.db2.gz UQMNQVNVVQBSSN-INIZCTEOSA-N 0 0 444.460 -0.209 20 0 IBADRN O=C1C[C@@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1c1ccc(F)cc1F ZINC000515431891 1071891114 /nfs/dbraw/zinc/89/11/14/1071891114.db2.gz UQMNQVNVVQBSSN-MRXNPFEDSA-N 0 0 444.460 -0.209 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)C(=O)N1CCOCC1 ZINC000515439282 1071891720 /nfs/dbraw/zinc/89/17/20/1071891720.db2.gz QJTLDNAQYPYOAV-HNNXBMFYSA-N 0 0 440.522 -0.693 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)C(=O)N1CCOCC1 ZINC000515439283 1071891763 /nfs/dbraw/zinc/89/17/63/1071891763.db2.gz QJTLDNAQYPYOAV-OAHLLOKOSA-N 0 0 440.522 -0.693 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000515439313 1071891805 /nfs/dbraw/zinc/89/18/05/1071891805.db2.gz RMPDGIBHVFAPBP-UHFFFAOYSA-N 0 0 437.526 -0.727 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNc3ccccc3C(N)=O)CC2)C[C@H](C)O1 ZINC000515439916 1071891026 /nfs/dbraw/zinc/89/10/26/1071891026.db2.gz ODWSHIDCDDHRFQ-GASCZTMLSA-N 0 0 439.538 -0.304 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNc3ccccc3C(N)=O)CC2)C[C@H](C)O1 ZINC000515439917 1071891197 /nfs/dbraw/zinc/89/11/97/1071891197.db2.gz ODWSHIDCDDHRFQ-GJZGRUSLSA-N 0 0 439.538 -0.304 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNc3ccccc3C(N)=O)CC2)C[C@@H](C)O1 ZINC000515439918 1071891148 /nfs/dbraw/zinc/89/11/48/1071891148.db2.gz ODWSHIDCDDHRFQ-HUUCEWRRSA-N 0 0 439.538 -0.304 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)NCCN1C(=O)CNC1=O ZINC000515444521 1071890929 /nfs/dbraw/zinc/89/09/29/1071890929.db2.gz KDODIVIDMSZYHO-UHFFFAOYSA-N 0 0 434.268 -0.005 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)c1=O ZINC000515448140 1071890994 /nfs/dbraw/zinc/89/09/94/1071890994.db2.gz ZWTPPWURYOHGER-UHFFFAOYSA-N 0 0 429.477 -0.476 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)O1)N1CCOCC1 ZINC000515472709 1071892408 /nfs/dbraw/zinc/89/24/08/1071892408.db2.gz CJLJVTUXMMLKPJ-OIISXLGYSA-N 0 0 429.539 -0.287 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)O1)N1CCOCC1 ZINC000515472713 1071892166 /nfs/dbraw/zinc/89/21/66/1071892166.db2.gz CJLJVTUXMMLKPJ-UAGQMJEPSA-N 0 0 429.539 -0.287 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N[C@H]3CCN(S(=O)(=O)c4ccccc4)C3)nc2n(C)c1=O ZINC000515473409 1071892382 /nfs/dbraw/zinc/89/23/82/1071892382.db2.gz PNWBVBVODKFZCJ-ZDUSSCGKSA-N 0 0 443.485 -0.175 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)CC2=O)cn1 ZINC000515474310 1071892536 /nfs/dbraw/zinc/89/25/36/1071892536.db2.gz OQMKOAYHSYFMBO-KRWDZBQOSA-N 0 0 439.476 -0.702 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)CC2=O)cn1 ZINC000515474312 1071892396 /nfs/dbraw/zinc/89/23/96/1071892396.db2.gz OQMKOAYHSYFMBO-QGZVFWFLSA-N 0 0 439.476 -0.702 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(c3cnccn3)CC2)s1 ZINC000515477065 1071893045 /nfs/dbraw/zinc/89/30/45/1071893045.db2.gz RIGCDPNDYPXKPE-UHFFFAOYSA-N 0 0 438.535 -0.410 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000515477142 1071893097 /nfs/dbraw/zinc/89/30/97/1071893097.db2.gz SKPUUTCNBQZPMI-UHFFFAOYSA-N 0 0 438.550 -0.242 20 0 IBADRN COc1cc(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)ccc1OCC(N)=O ZINC000515484978 1071893627 /nfs/dbraw/zinc/89/36/27/1071893627.db2.gz RLRQKTWPJCCDLZ-UHFFFAOYSA-N 0 0 441.238 -0.100 20 0 IBADRN COc1cc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1OCC(N)=O ZINC000515484986 1071893556 /nfs/dbraw/zinc/89/35/56/1071893556.db2.gz RNVCXFJLCIJZDH-UHFFFAOYSA-N 0 0 444.448 -0.987 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)Cn2cnc3c(cnn3C)c2=O)cc1 ZINC000515485446 1071893131 /nfs/dbraw/zinc/89/31/31/1071893131.db2.gz YDGTXWBQIVFMCM-UHFFFAOYSA-N 0 0 434.478 -0.629 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)cc1 ZINC000515485464 1071893140 /nfs/dbraw/zinc/89/31/40/1071893140.db2.gz ZGXKHJHWAGKHLC-IBGZPJMESA-N 0 0 438.506 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)cc1 ZINC000515485465 1071893067 /nfs/dbraw/zinc/89/30/67/1071893067.db2.gz ZGXKHJHWAGKHLC-LJQANCHMSA-N 0 0 438.506 -0.052 20 0 IBADRN COc1cc(CNC(=O)c2ccc3c(n2)n(C)c(=O)n(C)c3=O)ccc1OCC(N)=O ZINC000515487050 1071893684 /nfs/dbraw/zinc/89/36/84/1071893684.db2.gz GXTUPYHATPHLPK-UHFFFAOYSA-N 0 0 427.417 -0.565 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(c3nccs3)CC2)CC1)N1CCCC1 ZINC000515488888 1071893569 /nfs/dbraw/zinc/89/35/69/1071893569.db2.gz BGBSKMCLBVGHIM-UHFFFAOYSA-N 0 0 434.566 -0.005 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N3CCC[C@H]3CO)cc2)CC1 ZINC000515490916 1071893705 /nfs/dbraw/zinc/89/37/05/1071893705.db2.gz URZIWONCNCPKJR-FQEVSTJZSA-N 0 0 446.548 -0.129 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(c3nc(C)cc(C)n3)CC1)CC2 ZINC000515492615 1071893530 /nfs/dbraw/zinc/89/35/30/1071893530.db2.gz RGZSTRDFLDAPII-HNNXBMFYSA-N 0 0 428.497 -0.389 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(c3nc(C)cc(C)n3)CC1)CC2 ZINC000515492616 1071893674 /nfs/dbraw/zinc/89/36/74/1071893674.db2.gz RGZSTRDFLDAPII-OAHLLOKOSA-N 0 0 428.497 -0.389 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000515497839 1071893061 /nfs/dbraw/zinc/89/30/61/1071893061.db2.gz ABJAAWYUJDYUNX-UHFFFAOYSA-N 0 0 430.552 -0.337 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000515498254 1071893012 /nfs/dbraw/zinc/89/30/12/1071893012.db2.gz FBXBRHPSQCHRJW-UHFFFAOYSA-N 0 0 440.522 -0.594 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000515502775 1071894281 /nfs/dbraw/zinc/89/42/81/1071894281.db2.gz IUMGHOKVJPQEGS-UHFFFAOYSA-N 0 0 430.509 -0.352 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000515503496 1071894445 /nfs/dbraw/zinc/89/44/45/1071894445.db2.gz CIIOYVMVNRLHPY-HOTGVXAUSA-N 0 0 438.550 -0.012 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000515503499 1071894200 /nfs/dbraw/zinc/89/42/00/1071894200.db2.gz CIIOYVMVNRLHPY-HZPDHXFCSA-N 0 0 438.550 -0.012 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000515503501 1071894239 /nfs/dbraw/zinc/89/42/39/1071894239.db2.gz CIIOYVMVNRLHPY-IYBDPMFKSA-N 0 0 438.550 -0.012 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000515503687 1071894460 /nfs/dbraw/zinc/89/44/60/1071894460.db2.gz MSNGTGAFQFLYDA-HNNXBMFYSA-N 0 0 427.527 -0.418 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000515503689 1071894408 /nfs/dbraw/zinc/89/44/08/1071894408.db2.gz MSNGTGAFQFLYDA-OAHLLOKOSA-N 0 0 427.527 -0.418 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515513926 1071893504 /nfs/dbraw/zinc/89/35/04/1071893504.db2.gz MDWDCDJXGCHNHH-UHFFFAOYSA-N 0 0 443.547 -0.146 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)cn1C ZINC000515514053 1071893519 /nfs/dbraw/zinc/89/35/19/1071893519.db2.gz PQUWAZUCMGYSFK-UHFFFAOYSA-N 0 0 444.535 -0.212 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000515516364 1071893610 /nfs/dbraw/zinc/89/36/10/1071893610.db2.gz XEUMLURUTAKFMW-GOSISDBHSA-N 0 0 440.478 -0.771 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000515516365 1071893643 /nfs/dbraw/zinc/89/36/43/1071893643.db2.gz XEUMLURUTAKFMW-SFHVURJKSA-N 0 0 440.478 -0.771 20 0 IBADRN CN(Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000515516650 1071893542 /nfs/dbraw/zinc/89/35/42/1071893542.db2.gz HTCGGZQTHBNZDS-UHFFFAOYSA-N 0 0 435.506 -0.358 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000515518535 1071894184 /nfs/dbraw/zinc/89/41/84/1071894184.db2.gz IISVZBWPWXYLPT-LLVKDONJSA-N 0 0 430.508 -0.626 20 0 IBADRN C[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000515518536 1071894312 /nfs/dbraw/zinc/89/43/12/1071894312.db2.gz IISVZBWPWXYLPT-NSHDSACASA-N 0 0 430.508 -0.626 20 0 IBADRN CCN(CCCNS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1)S(C)(=O)=O ZINC000515521926 1071894899 /nfs/dbraw/zinc/89/48/99/1071894899.db2.gz XWTAXXKGHKUJGS-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000515522319 1071894878 /nfs/dbraw/zinc/89/48/78/1071894878.db2.gz AYFBFDGAWHNHQU-UHFFFAOYSA-N 0 0 438.506 -0.522 20 0 IBADRN Cn1cc(S(=O)(=O)NC2CCN(C(=O)CCc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000515523283 1071895264 /nfs/dbraw/zinc/89/52/64/1071895264.db2.gz OJFJAFZKLXYVSP-UHFFFAOYSA-N 0 0 434.518 -0.014 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000515523570 1071895221 /nfs/dbraw/zinc/89/52/21/1071895221.db2.gz VXRLYCNXTGTRHV-UHFFFAOYSA-N 0 0 425.536 -0.019 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCN3CCN(c4ncccn4)CC3)cc2c(=O)[nH]1 ZINC000515523751 1071894234 /nfs/dbraw/zinc/89/42/34/1071894234.db2.gz YUHVQBZXZXTFLF-UHFFFAOYSA-N 0 0 431.478 -0.069 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000515524641 1071894362 /nfs/dbraw/zinc/89/43/62/1071894362.db2.gz MIZDCRKGGHTGLK-INIZCTEOSA-N 0 0 426.543 -0.292 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000515524642 1071894219 /nfs/dbraw/zinc/89/42/19/1071894219.db2.gz MIZDCRKGGHTGLK-MRXNPFEDSA-N 0 0 426.543 -0.292 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCN3CCN(c4ncccn4)CC3)cc2[nH]c1=O ZINC000515526592 1071894383 /nfs/dbraw/zinc/89/43/83/1071894383.db2.gz HNWBWWZXMRIYPY-UHFFFAOYSA-N 0 0 431.478 -0.893 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(C(=O)NCc3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000515526938 1071894396 /nfs/dbraw/zinc/89/43/96/1071894396.db2.gz USCUGGXMRHZMOL-UHFFFAOYSA-N 0 0 438.481 -0.060 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000515527108 1071894252 /nfs/dbraw/zinc/89/42/52/1071894252.db2.gz QCRHFDLOIISKQT-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)cn1 ZINC000515528642 1073362104 /nfs/dbraw/zinc/36/21/04/1073362104.db2.gz HKZCBKYWNNGLCJ-UHFFFAOYSA-N 0 0 444.521 -0.432 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(C(=O)c3ccc(OC)c(OC)c3)CC2)C(=O)C1=O ZINC000515529004 1071894971 /nfs/dbraw/zinc/89/49/71/1071894971.db2.gz DTUXOOAFBXJLJH-UHFFFAOYSA-N 0 0 432.477 -0.321 20 0 IBADRN Cc1nn(C)c2ncc(S(=O)(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)cc12 ZINC000515530226 1071895321 /nfs/dbraw/zinc/89/53/21/1071895321.db2.gz PBECNMCRDKNWTM-UHFFFAOYSA-N 0 0 425.496 -0.305 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000515533010 1071895155 /nfs/dbraw/zinc/89/51/55/1071895155.db2.gz MDFOQKZHANPTNW-UHFFFAOYSA-N 0 0 432.525 -0.843 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000515535661 1071895024 /nfs/dbraw/zinc/89/50/24/1071895024.db2.gz CCOWNHBBUHCDMT-UHFFFAOYSA-N 0 0 427.512 -0.788 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000515536413 1071895248 /nfs/dbraw/zinc/89/52/48/1071895248.db2.gz HEZRYUKODWTNGZ-HNNXBMFYSA-N 0 0 436.498 -0.622 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000515536414 1071894995 /nfs/dbraw/zinc/89/49/95/1071894995.db2.gz HEZRYUKODWTNGZ-OAHLLOKOSA-N 0 0 436.498 -0.622 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCC(=O)N3CCOCC3)CC2)cc1 ZINC000515543175 1071895287 /nfs/dbraw/zinc/89/52/87/1071895287.db2.gz JHXHCOMIEAHXMO-UHFFFAOYSA-N 0 0 438.550 -0.334 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000515544948 1071895936 /nfs/dbraw/zinc/89/59/36/1071895936.db2.gz GQJOMVPSMQCFNF-UHFFFAOYSA-N 0 0 444.529 -0.305 20 0 IBADRN O=C(CN1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000515545070 1071895340 /nfs/dbraw/zinc/89/53/40/1071895340.db2.gz IODFPEZCINBCSN-UHFFFAOYSA-N 0 0 445.519 -0.674 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cc(C(F)(F)F)nc4ncnn43)CC2)CC1 ZINC000515545126 1071895355 /nfs/dbraw/zinc/89/53/55/1071895355.db2.gz HZRXQRLCJMKNRR-UHFFFAOYSA-N 0 0 434.448 -0.243 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515545174 1071895047 /nfs/dbraw/zinc/89/50/47/1071895047.db2.gz OFPYPZAHZLLFMR-KRWDZBQOSA-N 0 0 445.520 -0.281 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515545177 1071895697 /nfs/dbraw/zinc/89/56/97/1071895697.db2.gz OFPYPZAHZLLFMR-QGZVFWFLSA-N 0 0 445.520 -0.281 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515546051 1071895648 /nfs/dbraw/zinc/89/56/48/1071895648.db2.gz LUWSOTAMQATMRN-KRWDZBQOSA-N 0 0 442.582 -0.575 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515546053 1071895880 /nfs/dbraw/zinc/89/58/80/1071895880.db2.gz LUWSOTAMQATMRN-QGZVFWFLSA-N 0 0 442.582 -0.575 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515546820 1071895637 /nfs/dbraw/zinc/89/56/37/1071895637.db2.gz DNPKRWGGBPTVOB-DLBZAZTESA-N 0 0 442.582 -0.433 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000515546821 1071895722 /nfs/dbraw/zinc/89/57/22/1071895722.db2.gz DNPKRWGGBPTVOB-IAGOWNOFSA-N 0 0 442.582 -0.433 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515546822 1071895772 /nfs/dbraw/zinc/89/57/72/1071895772.db2.gz DNPKRWGGBPTVOB-IRXDYDNUSA-N 0 0 442.582 -0.433 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000515546823 1071895617 /nfs/dbraw/zinc/89/56/17/1071895617.db2.gz DNPKRWGGBPTVOB-SJORKVTESA-N 0 0 442.582 -0.433 20 0 IBADRN Cc1ccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1F ZINC000515547084 1071895848 /nfs/dbraw/zinc/89/58/48/1071895848.db2.gz JWHFKIDWKLAGED-UHFFFAOYSA-N 0 0 427.546 -0.140 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000515547505 1071895892 /nfs/dbraw/zinc/89/58/92/1071895892.db2.gz SGHVUHRVHWZVFY-UHFFFAOYSA-N 0 0 426.539 -0.152 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(=O)OC ZINC000515547583 1071895919 /nfs/dbraw/zinc/89/59/19/1071895919.db2.gz ZTFSQHOMMTYOEI-CUSZFKRNSA-N 0 0 448.586 -0.338 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C(=O)OC ZINC000515547584 1071895787 /nfs/dbraw/zinc/89/57/87/1071895787.db2.gz ZTFSQHOMMTYOEI-LHHMISFZSA-N 0 0 448.586 -0.338 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(=O)OC ZINC000515547585 1071895803 /nfs/dbraw/zinc/89/58/03/1071895803.db2.gz ZTFSQHOMMTYOEI-LISAXSMJSA-N 0 0 448.586 -0.338 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)C(=O)OC ZINC000515547586 1071895660 /nfs/dbraw/zinc/89/56/60/1071895660.db2.gz ZTFSQHOMMTYOEI-OVWQWFNUSA-N 0 0 448.586 -0.338 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCCN3C(=O)c4ccccc4C3=O)CC2)CC1 ZINC000515548123 1071896315 /nfs/dbraw/zinc/89/63/15/1071896315.db2.gz JOYVYEQTJRMZSL-UHFFFAOYSA-N 0 0 435.550 -0.218 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000515548217 1071895911 /nfs/dbraw/zinc/89/59/11/1071895911.db2.gz MOZJCURTTXTXBX-CALCHBBNSA-N 0 0 447.602 -0.669 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000515548218 1071895679 /nfs/dbraw/zinc/89/56/79/1071895679.db2.gz MOZJCURTTXTXBX-IAGOWNOFSA-N 0 0 447.602 -0.669 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000515548219 1071896571 /nfs/dbraw/zinc/89/65/71/1071896571.db2.gz MOZJCURTTXTXBX-IRXDYDNUSA-N 0 0 447.602 -0.669 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(F)cc1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515548352 1071895584 /nfs/dbraw/zinc/89/55/84/1071895584.db2.gz RMSNZBFUXXVLQM-KRWDZBQOSA-N 0 0 441.573 -0.017 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(F)cc1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515548353 1071895818 /nfs/dbraw/zinc/89/58/18/1071895818.db2.gz RMSNZBFUXXVLQM-QGZVFWFLSA-N 0 0 441.573 -0.017 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000515548376 1071895943 /nfs/dbraw/zinc/89/59/43/1071895943.db2.gz UOEPEVCELKOYTK-UHFFFAOYSA-N 0 0 439.582 -0.237 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCC(=O)NC(=O)Nc3ccccc3)CC2)CC1 ZINC000515548665 1071896459 /nfs/dbraw/zinc/89/64/59/1071896459.db2.gz TVXKCFDILRMTRA-UHFFFAOYSA-N 0 0 438.554 -0.165 20 0 IBADRN COc1cccc(COC[C@@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000515549553 1071896424 /nfs/dbraw/zinc/89/64/24/1071896424.db2.gz ZDUQMXCABMNNGY-IBGZPJMESA-N 0 0 442.582 -0.318 20 0 IBADRN COc1cccc(COC[C@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000515549554 1071896360 /nfs/dbraw/zinc/89/63/60/1071896360.db2.gz ZDUQMXCABMNNGY-LJQANCHMSA-N 0 0 442.582 -0.318 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCCc3ccc(F)cc3)CC2)CC1 ZINC000515549640 1071896409 /nfs/dbraw/zinc/89/64/09/1071896409.db2.gz JZQYRSRQWPTDBH-UHFFFAOYSA-N 0 0 427.546 -0.406 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515549677 1071896680 /nfs/dbraw/zinc/89/66/80/1071896680.db2.gz OUYKJMGYQVLCNE-DLBZAZTESA-N 0 0 444.602 -0.499 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515549678 1071896271 /nfs/dbraw/zinc/89/62/71/1071896271.db2.gz OUYKJMGYQVLCNE-IAGOWNOFSA-N 0 0 444.602 -0.499 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515549679 1071896532 /nfs/dbraw/zinc/89/65/32/1071896532.db2.gz OUYKJMGYQVLCNE-IRXDYDNUSA-N 0 0 444.602 -0.499 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515549680 1071896656 /nfs/dbraw/zinc/89/66/56/1071896656.db2.gz OUYKJMGYQVLCNE-SJORKVTESA-N 0 0 444.602 -0.499 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cncc(C(=O)OC)c2)c1 ZINC000515549966 1071896387 /nfs/dbraw/zinc/89/63/87/1071896387.db2.gz SIQJQHSONDNMHK-UHFFFAOYSA-N 0 0 442.475 -0.307 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000515552163 1071896513 /nfs/dbraw/zinc/89/65/13/1071896513.db2.gz CZQKZCYIKFZPHC-INIZCTEOSA-N 0 0 441.554 -0.028 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000515552164 1071896632 /nfs/dbraw/zinc/89/66/32/1071896632.db2.gz CZQKZCYIKFZPHC-MRXNPFEDSA-N 0 0 441.554 -0.028 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000515554563 1071896341 /nfs/dbraw/zinc/89/63/41/1071896341.db2.gz QVLAWVZVLREABH-HNNXBMFYSA-N 0 0 426.543 -0.756 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000515554564 1071896585 /nfs/dbraw/zinc/89/65/85/1071896585.db2.gz QVLAWVZVLREABH-OAHLLOKOSA-N 0 0 426.543 -0.756 20 0 IBADRN COCC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000515555318 1071897323 /nfs/dbraw/zinc/89/73/23/1071897323.db2.gz DMMHPYITHBPPRZ-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN COCC(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000515555588 1071896962 /nfs/dbraw/zinc/89/69/62/1071896962.db2.gz OCCIKUDSWCXTNB-UHFFFAOYSA-N 0 0 427.479 -0.557 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)C1=O ZINC000515557176 1071897103 /nfs/dbraw/zinc/89/71/03/1071897103.db2.gz SSMYCSDOQLZVSG-INIZCTEOSA-N 0 0 447.540 -0.817 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)C1=O ZINC000515557177 1071897283 /nfs/dbraw/zinc/89/72/83/1071897283.db2.gz SSMYCSDOQLZVSG-MRXNPFEDSA-N 0 0 447.540 -0.817 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)CCC2 ZINC000515557326 1071897255 /nfs/dbraw/zinc/89/72/55/1071897255.db2.gz WUGTWNRRWWYCHC-CABCVRRESA-N 0 0 427.527 -0.437 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)CCC2 ZINC000515557329 1071897338 /nfs/dbraw/zinc/89/73/38/1071897338.db2.gz WUGTWNRRWWYCHC-HUUCEWRRSA-N 0 0 427.527 -0.437 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CC(=O)NCc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000515559411 1071897920 /nfs/dbraw/zinc/89/79/20/1071897920.db2.gz NNYDWCYJBSKPKN-GOSISDBHSA-N 0 0 425.463 -0.030 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CC(=O)NCc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000515559412 1071897993 /nfs/dbraw/zinc/89/79/93/1071897993.db2.gz NNYDWCYJBSKPKN-SFHVURJKSA-N 0 0 425.463 -0.030 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000515562680 1071897851 /nfs/dbraw/zinc/89/78/51/1071897851.db2.gz NREMCUIZBGZROH-INIZCTEOSA-N 0 0 442.538 -0.271 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000515562681 1071898025 /nfs/dbraw/zinc/89/80/25/1071898025.db2.gz NREMCUIZBGZROH-MRXNPFEDSA-N 0 0 442.538 -0.271 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000515563651 1071897901 /nfs/dbraw/zinc/89/79/01/1071897901.db2.gz DLTDOZUSDBLAGI-UHFFFAOYSA-N 0 0 438.506 -0.826 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NCC3CC3)c2)CC1 ZINC000515563655 1071897980 /nfs/dbraw/zinc/89/79/80/1071897980.db2.gz DSBJHESUTADDKX-UHFFFAOYSA-N 0 0 434.518 -0.062 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000515563818 1071897944 /nfs/dbraw/zinc/89/79/44/1071897944.db2.gz UHPMVNPEJCZVCZ-LBPRGKRZSA-N 0 0 442.925 -0.044 20 0 IBADRN CCCN(CC(=O)NC)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000515565562 1071897067 /nfs/dbraw/zinc/89/70/67/1071897067.db2.gz OMBFBIOQIOBIOB-UHFFFAOYSA-N 0 0 426.539 -0.218 20 0 IBADRN NS(=O)(=O)CCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000515566285 1071897047 /nfs/dbraw/zinc/89/70/47/1071897047.db2.gz CYBAHKGNCMPKCF-UHFFFAOYSA-N 0 0 433.508 -0.737 20 0 IBADRN CCn1c(CCC(=O)NCCS(N)(=O)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000515566551 1071897299 /nfs/dbraw/zinc/89/72/99/1071897299.db2.gz WHIPVZKKYBDOTF-UHFFFAOYSA-N 0 0 431.540 -0.356 20 0 IBADRN CCOc1ccccc1N1C(=O)C(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C1=O ZINC000515567602 1071896971 /nfs/dbraw/zinc/89/69/71/1071896971.db2.gz RGLUKWNZLVNARD-UHFFFAOYSA-N 0 0 440.478 -0.222 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2ccc(S(=O)(=O)N3CCCC3)cc2)cn1 ZINC000515568046 1071897369 /nfs/dbraw/zinc/89/73/69/1071897369.db2.gz WHZLDCWILFSFAV-UHFFFAOYSA-N 0 0 441.535 -0.201 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCS(N)(=O)=O)CC2)cc1 ZINC000515568069 1071897124 /nfs/dbraw/zinc/89/71/24/1071897124.db2.gz XQIXVFYTWFOGDT-UHFFFAOYSA-N 0 0 447.535 -0.331 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc4[nH]c(N5CCOCC5)nc4c3)c2c(=O)n(C)c1=O ZINC000515574022 1071898036 /nfs/dbraw/zinc/89/80/36/1071898036.db2.gz BOWHANAEYQWQQJ-UHFFFAOYSA-N 0 0 438.448 -0.215 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000515574837 1071898458 /nfs/dbraw/zinc/89/84/58/1071898458.db2.gz AWPLLQJAYHZTEX-ZDUSSCGKSA-N 0 0 438.506 -0.689 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515574878 1071898694 /nfs/dbraw/zinc/89/86/94/1071898694.db2.gz HTHGXCFYDFZGMM-UHFFFAOYSA-N 0 0 442.270 -0.995 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2[nH]c(=O)c1=O ZINC000515574891 1071898600 /nfs/dbraw/zinc/89/86/00/1071898600.db2.gz KWQBWYMUHXOCRP-UHFFFAOYSA-N 0 0 427.461 -0.384 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515574911 1071898651 /nfs/dbraw/zinc/89/86/51/1071898651.db2.gz ONKZVVJBNKUJCE-UHFFFAOYSA-N 0 0 439.472 -0.449 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000515575981 1071898566 /nfs/dbraw/zinc/89/85/66/1071898566.db2.gz HQQZVDVHTZSQBT-NRFANRHFSA-N 0 0 427.461 -0.405 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000515575982 1071898585 /nfs/dbraw/zinc/89/85/85/1071898585.db2.gz HQQZVDVHTZSQBT-OAQYLSRUSA-N 0 0 427.461 -0.405 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)S(=O)(=O)c1cccnc1 ZINC000515577705 1071898634 /nfs/dbraw/zinc/89/86/34/1071898634.db2.gz IUGXTTIEKWDYCX-UHFFFAOYSA-N 0 0 445.501 -0.410 20 0 IBADRN Cc1cnc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cnc(C)cn3)CC2)cn1 ZINC000515585792 1071898007 /nfs/dbraw/zinc/89/80/07/1071898007.db2.gz GOIKRZPREKHCEM-UHFFFAOYSA-N 0 0 433.494 -0.599 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Cl)c1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000515595378 1071899092 /nfs/dbraw/zinc/89/90/92/1071899092.db2.gz GFYVLLYDUVPYPZ-UHFFFAOYSA-N 0 0 441.901 -0.634 20 0 IBADRN Cc1oc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000515595657 1071899199 /nfs/dbraw/zinc/89/91/99/1071899199.db2.gz XGWDKNLKFRPLPM-UHFFFAOYSA-N 0 0 437.482 -0.656 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1Cl)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000515595989 1071899058 /nfs/dbraw/zinc/89/90/58/1071899058.db2.gz UZNPLKKDWRVZMW-UHFFFAOYSA-N 0 0 441.901 -0.634 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)S(=O)(=O)c1ccc(Cl)s1 ZINC000515596151 1071899224 /nfs/dbraw/zinc/89/92/24/1071899224.db2.gz MPHQVMOYCGXVJR-UHFFFAOYSA-N 0 0 447.930 -0.621 20 0 IBADRN CCOc1ccccc1N1C[C@@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1=O ZINC000515596177 1071899125 /nfs/dbraw/zinc/89/91/25/1071899125.db2.gz OQEZXZSAYQGKTM-HNNXBMFYSA-N 0 0 427.465 -0.204 20 0 IBADRN CCOc1ccccc1N1C[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1=O ZINC000515596178 1071899248 /nfs/dbraw/zinc/89/92/48/1071899248.db2.gz OQEZXZSAYQGKTM-OAHLLOKOSA-N 0 0 427.465 -0.204 20 0 IBADRN CCC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000515596203 1071899137 /nfs/dbraw/zinc/89/91/37/1071899137.db2.gz QUVKGWSJAKNTTR-CYBMUJFWSA-N 0 0 426.495 -0.140 20 0 IBADRN CCC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000515596204 1071899119 /nfs/dbraw/zinc/89/91/19/1071899119.db2.gz QUVKGWSJAKNTTR-ZDUSSCGKSA-N 0 0 426.495 -0.140 20 0 IBADRN COc1nn(C)c2nc(C)c(CCC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c(C)c12 ZINC000515596260 1071899018 /nfs/dbraw/zinc/89/90/18/1071899018.db2.gz WTUPKOKHVORMQB-UHFFFAOYSA-N 0 0 441.496 -0.116 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1OCC ZINC000515596305 1071899268 /nfs/dbraw/zinc/89/92/68/1071899268.db2.gz ZFCKEDQIRXWNGS-UHFFFAOYSA-N 0 0 445.480 -0.429 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000515596842 1071899145 /nfs/dbraw/zinc/89/91/45/1071899145.db2.gz PPNFQZYJYMNPIE-LLVKDONJSA-N 0 0 430.303 -0.230 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000515596843 1071899068 /nfs/dbraw/zinc/89/90/68/1071899068.db2.gz PPNFQZYJYMNPIE-NSHDSACASA-N 0 0 430.303 -0.230 20 0 IBADRN O=C(CN1CCOCC1)N1CCN([C@H]2CC(=O)N(CCc3ccccc3F)C2=O)CC1 ZINC000515602527 1071899908 /nfs/dbraw/zinc/89/99/08/1071899908.db2.gz GVDTYBVPJNJSHX-IBGZPJMESA-N 0 0 432.496 -0.028 20 0 IBADRN O=C(CN1CCOCC1)N1CCN([C@@H]2CC(=O)N(CCc3ccccc3F)C2=O)CC1 ZINC000515602528 1071899886 /nfs/dbraw/zinc/89/98/86/1071899886.db2.gz GVDTYBVPJNJSHX-LJQANCHMSA-N 0 0 432.496 -0.028 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1OC ZINC000515602892 1071899629 /nfs/dbraw/zinc/89/96/29/1071899629.db2.gz JXJYNRMSWRFGKR-HNNXBMFYSA-N 0 0 430.461 -0.242 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1OC ZINC000515602893 1071899867 /nfs/dbraw/zinc/89/98/67/1071899867.db2.gz JXJYNRMSWRFGKR-OAHLLOKOSA-N 0 0 430.461 -0.242 20 0 IBADRN O=C(CN1CCN([C@H]2CC(=O)N(CCc3ccc(F)cc3)C2=O)CC1)N1CCOCC1 ZINC000515604472 1071899877 /nfs/dbraw/zinc/89/98/77/1071899877.db2.gz FNPLZIFGCZNCSV-IBGZPJMESA-N 0 0 432.496 -0.028 20 0 IBADRN O=C(CN1CCN([C@@H]2CC(=O)N(CCc3ccc(F)cc3)C2=O)CC1)N1CCOCC1 ZINC000515604473 1071899682 /nfs/dbraw/zinc/89/96/82/1071899682.db2.gz FNPLZIFGCZNCSV-LJQANCHMSA-N 0 0 432.496 -0.028 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)cc1F ZINC000515613883 1071900500 /nfs/dbraw/zinc/90/05/00/1071900500.db2.gz ZURMUBSHSMJPDM-UHFFFAOYSA-N 0 0 442.451 -0.134 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(Cl)cc(C(=O)N(C)C)c2OC)CCO1 ZINC000515623348 1071900525 /nfs/dbraw/zinc/90/05/25/1071900525.db2.gz MWVNAKFZDLGBOE-CYBMUJFWSA-N 0 0 426.857 -0.038 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(Cl)cc(C(=O)N(C)C)c2OC)CCO1 ZINC000515623349 1071900267 /nfs/dbraw/zinc/90/02/67/1071900267.db2.gz MWVNAKFZDLGBOE-ZDUSSCGKSA-N 0 0 426.857 -0.038 20 0 IBADRN O=C(CS(=O)(=O)Cc1nnnn1Cc1ccccc1)N1CCN(C(=O)C2CC2)CC1 ZINC000515646645 1071901022 /nfs/dbraw/zinc/90/10/22/1071901022.db2.gz QLMFSRQYECSWNQ-UHFFFAOYSA-N 0 0 432.506 -0.283 20 0 IBADRN C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000515670157 1071901013 /nfs/dbraw/zinc/90/10/13/1071901013.db2.gz JXEOKGYMYCMUGG-GFCCVEGCSA-N 0 0 448.505 -0.439 20 0 IBADRN C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000515670158 1071901073 /nfs/dbraw/zinc/90/10/73/1071901073.db2.gz JXEOKGYMYCMUGG-LBPRGKRZSA-N 0 0 448.505 -0.439 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NC(=O)NCC(F)(F)F ZINC000515674038 1071900901 /nfs/dbraw/zinc/90/09/01/1071900901.db2.gz UQTGFTDEVKCRHR-UHFFFAOYSA-N 0 0 437.400 -0.393 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000515677392 1071901066 /nfs/dbraw/zinc/90/10/66/1071901066.db2.gz YELOKGZJGKMUQY-UHFFFAOYSA-N 0 0 431.536 -0.315 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000515677998 1071902649 /nfs/dbraw/zinc/90/26/49/1071902649.db2.gz JDJYLASWXHQQPO-UHFFFAOYSA-N 0 0 431.541 -0.424 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@H]2CCN(C(=O)CC)C2)cc1OC ZINC000515678031 1071902628 /nfs/dbraw/zinc/90/26/28/1071902628.db2.gz KVLKKUFXEPDCRI-HNNXBMFYSA-N 0 0 434.493 -0.047 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@@H]2CCN(C(=O)CC)C2)cc1OC ZINC000515678032 1071902470 /nfs/dbraw/zinc/90/24/70/1071902470.db2.gz KVLKKUFXEPDCRI-OAHLLOKOSA-N 0 0 434.493 -0.047 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccnc3)CC2)C[C@H](C)O1 ZINC000515678180 1071902066 /nfs/dbraw/zinc/90/20/66/1071902066.db2.gz QLBVZPYTRLURPO-HOTGVXAUSA-N 0 0 439.538 -0.762 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccnc3)CC2)C[C@@H](C)O1 ZINC000515678181 1071902481 /nfs/dbraw/zinc/90/24/81/1071902481.db2.gz QLBVZPYTRLURPO-HZPDHXFCSA-N 0 0 439.538 -0.762 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccnc3)CC2)C[C@H](C)O1 ZINC000515678182 1071902634 /nfs/dbraw/zinc/90/26/34/1071902634.db2.gz QLBVZPYTRLURPO-IYBDPMFKSA-N 0 0 439.538 -0.762 20 0 IBADRN Cn1c2ncn(CC(=O)NCC(=O)Nc3ccc(Br)cc3)c2c(=O)n(C)c1=O ZINC000515687294 1071901628 /nfs/dbraw/zinc/90/16/28/1071901628.db2.gz BWXWEYGCIPPXHH-UHFFFAOYSA-N 0 0 449.265 -0.049 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3Br)C2)CCO1 ZINC000515688367 1071902047 /nfs/dbraw/zinc/90/20/47/1071902047.db2.gz DNVFLBUKZLLNSZ-BXUZGUMPSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3Br)C2)CCO1 ZINC000515688368 1071902114 /nfs/dbraw/zinc/90/21/14/1071902114.db2.gz DNVFLBUKZLLNSZ-FZMZJTMJSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3Br)C2)CCO1 ZINC000515688369 1071902058 /nfs/dbraw/zinc/90/20/58/1071902058.db2.gz DNVFLBUKZLLNSZ-RISCZKNCSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3Br)C2)CCO1 ZINC000515688370 1071902120 /nfs/dbraw/zinc/90/21/20/1071902120.db2.gz DNVFLBUKZLLNSZ-SMDDNHRTSA-N 0 0 425.283 -0.143 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2=O)cc1 ZINC000515690466 1071902101 /nfs/dbraw/zinc/90/21/01/1071902101.db2.gz NFCMGTWDOGRNAK-AWEZNQCLSA-N 0 0 425.507 -0.195 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2=O)cc1 ZINC000515690467 1071902128 /nfs/dbraw/zinc/90/21/28/1071902128.db2.gz NFCMGTWDOGRNAK-CQSZACIVSA-N 0 0 425.507 -0.195 20 0 IBADRN NC(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)nc1 ZINC000515709018 1071903285 /nfs/dbraw/zinc/90/32/85/1071903285.db2.gz JSWONPVMVBVNQP-UHFFFAOYSA-N 0 0 430.446 -0.779 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)cc2C1=O ZINC000515709068 1071903023 /nfs/dbraw/zinc/90/30/23/1071903023.db2.gz MDPKKUVNWVSYGP-UHFFFAOYSA-N 0 0 429.458 -0.083 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000515709279 1071902898 /nfs/dbraw/zinc/90/28/98/1071902898.db2.gz KMDDOPNXVMFLOT-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000515709301 1073097362 /nfs/dbraw/zinc/09/73/62/1073097362.db2.gz MFKVNSCSPGJPFL-UHFFFAOYSA-N 0 0 425.511 -0.178 20 0 IBADRN COCCN(CC(=O)N(C)C)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000515710975 1071903207 /nfs/dbraw/zinc/90/32/07/1071903207.db2.gz LSEANQAQGKYQGJ-GOSISDBHSA-N 0 0 442.494 -0.525 20 0 IBADRN COCCN(CC(=O)N(C)C)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000515710976 1071903169 /nfs/dbraw/zinc/90/31/69/1071903169.db2.gz LSEANQAQGKYQGJ-SFHVURJKSA-N 0 0 442.494 -0.525 20 0 IBADRN CCCNC(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)NCCC)cc1 ZINC000515714891 1071903736 /nfs/dbraw/zinc/90/37/36/1071903736.db2.gz ZNMQKTZVYTUPLR-UHFFFAOYSA-N 0 0 434.540 -0.704 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000515715081 1071903714 /nfs/dbraw/zinc/90/37/14/1071903714.db2.gz FGPYKOGOYQZLLB-CALCHBBNSA-N 0 0 432.587 -0.109 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)C[C@@H](C)O1 ZINC000515715082 1071903760 /nfs/dbraw/zinc/90/37/60/1071903760.db2.gz FGPYKOGOYQZLLB-IAGOWNOFSA-N 0 0 432.587 -0.109 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000515715083 1071903916 /nfs/dbraw/zinc/90/39/16/1071903916.db2.gz FGPYKOGOYQZLLB-IRXDYDNUSA-N 0 0 432.587 -0.109 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000515720380 1071903636 /nfs/dbraw/zinc/90/36/36/1071903636.db2.gz GGIKTKVMZRDAOQ-SECBINFHSA-N 0 0 436.434 -0.492 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000515720383 1071903745 /nfs/dbraw/zinc/90/37/45/1071903745.db2.gz GGIKTKVMZRDAOQ-VIFPVBQESA-N 0 0 436.434 -0.492 20 0 IBADRN Cc1cc(CN2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)no1 ZINC000515723469 1071904570 /nfs/dbraw/zinc/90/45/70/1071904570.db2.gz CNAJXVBCPIUEDF-UHFFFAOYSA-N 0 0 435.462 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000515726691 1071904516 /nfs/dbraw/zinc/90/45/16/1071904516.db2.gz MWVDYLKUOGZXFQ-INIZCTEOSA-N 0 0 446.507 -0.984 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000515726692 1071904351 /nfs/dbraw/zinc/90/43/51/1071904351.db2.gz MWVDYLKUOGZXFQ-MRXNPFEDSA-N 0 0 446.507 -0.984 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000515727697 1071904520 /nfs/dbraw/zinc/90/45/20/1071904520.db2.gz KHKWLWMNYWISKL-AWEZNQCLSA-N 0 0 436.577 -0.108 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000515727699 1071904428 /nfs/dbraw/zinc/90/44/28/1071904428.db2.gz KHKWLWMNYWISKL-CQSZACIVSA-N 0 0 436.577 -0.108 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000515729744 1071903318 /nfs/dbraw/zinc/90/33/18/1071903318.db2.gz ZKMITAQTQSTVNB-GOSISDBHSA-N 0 0 440.478 -0.723 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000515729745 1071903186 /nfs/dbraw/zinc/90/31/86/1071903186.db2.gz ZKMITAQTQSTVNB-SFHVURJKSA-N 0 0 440.478 -0.723 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@]2(C)CCS(=O)(=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000515730018 1071904938 /nfs/dbraw/zinc/90/49/38/1071904938.db2.gz LZCCSNADFRNXIO-CVEARBPZSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@]2(C)CCS(=O)(=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000515730019 1071905125 /nfs/dbraw/zinc/90/51/25/1071905125.db2.gz LZCCSNADFRNXIO-HOTGVXAUSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@]2(C)CCS(=O)(=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000515730020 1071905155 /nfs/dbraw/zinc/90/51/55/1071905155.db2.gz LZCCSNADFRNXIO-HZPDHXFCSA-N 0 0 431.492 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@]2(C)CCS(=O)(=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000515730021 1071905015 /nfs/dbraw/zinc/90/50/15/1071905015.db2.gz LZCCSNADFRNXIO-JKSUJKDBSA-N 0 0 431.492 -0.395 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cccc(C(=O)NC3CC3)c2)CC1)N1CCOCC1 ZINC000515731491 1071904972 /nfs/dbraw/zinc/90/49/72/1071904972.db2.gz CKYDCXYGPTXRLJ-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000515732845 1071905005 /nfs/dbraw/zinc/90/50/05/1071905005.db2.gz MNNBHOWXTBECKB-UHFFFAOYSA-N 0 0 441.506 -0.597 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OCC(=O)OC)cc1 ZINC000515733881 1071905103 /nfs/dbraw/zinc/90/51/03/1071905103.db2.gz XRIQMPJKSSWELI-CYBMUJFWSA-N 0 0 448.519 -0.448 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OCC(=O)OC)cc1 ZINC000515733882 1071904960 /nfs/dbraw/zinc/90/49/60/1071904960.db2.gz XRIQMPJKSSWELI-ZDUSSCGKSA-N 0 0 448.519 -0.448 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000515735476 1071905195 /nfs/dbraw/zinc/90/51/95/1071905195.db2.gz GZYIGTCPVLDHGG-UHFFFAOYSA-N 0 0 446.551 -0.414 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000515735823 1071905754 /nfs/dbraw/zinc/90/57/54/1071905754.db2.gz JSKHDBLVBHDLHO-GFCCVEGCSA-N 0 0 425.554 -0.455 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000515735824 1071905609 /nfs/dbraw/zinc/90/56/09/1071905609.db2.gz JSKHDBLVBHDLHO-LBPRGKRZSA-N 0 0 425.554 -0.455 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000515736297 1071904994 /nfs/dbraw/zinc/90/49/94/1071904994.db2.gz YVTBYSWWIQNVRE-CYBMUJFWSA-N 0 0 448.519 -0.400 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000515736298 1071905019 /nfs/dbraw/zinc/90/50/19/1071905019.db2.gz YVTBYSWWIQNVRE-ZDUSSCGKSA-N 0 0 448.519 -0.400 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000515738175 1071904584 /nfs/dbraw/zinc/90/45/84/1071904584.db2.gz CKLCUPQZMPPQOV-CYBMUJFWSA-N 0 0 439.581 -0.113 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000515738176 1071904469 /nfs/dbraw/zinc/90/44/69/1071904469.db2.gz CKLCUPQZMPPQOV-ZDUSSCGKSA-N 0 0 439.581 -0.113 20 0 IBADRN COc1ccc(S(=O)(=O)NCCNC(=O)c2cccnc2)cc1[C@]1(C)NC(=O)NC1=O ZINC000515738372 1071904386 /nfs/dbraw/zinc/90/43/86/1071904386.db2.gz UTDVTCSNHIXGEG-IBGZPJMESA-N 0 0 447.473 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)NCCNC(=O)c2cccnc2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000515738373 1071904440 /nfs/dbraw/zinc/90/44/40/1071904440.db2.gz UTDVTCSNHIXGEG-LJQANCHMSA-N 0 0 447.473 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2cccnc12 ZINC000515741264 1073098572 /nfs/dbraw/zinc/09/85/72/1073098572.db2.gz VNFVPKCVYLCAGY-UHFFFAOYSA-N 0 0 448.501 -0.065 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000515741542 1071904365 /nfs/dbraw/zinc/90/43/65/1071904365.db2.gz NTGZVRAVZGLYGZ-UHFFFAOYSA-N 0 0 440.497 -0.125 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000515742566 1071904400 /nfs/dbraw/zinc/90/44/00/1071904400.db2.gz HUBOODLUJZWBDS-GOSISDBHSA-N 0 0 426.495 -0.298 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000515742567 1071904986 /nfs/dbraw/zinc/90/49/86/1071904986.db2.gz HUBOODLUJZWBDS-SFHVURJKSA-N 0 0 426.495 -0.298 20 0 IBADRN CCCN(CC(=O)NC)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000515745155 1071905054 /nfs/dbraw/zinc/90/50/54/1071905054.db2.gz XHHNUNBLBBZNKS-UHFFFAOYSA-N 0 0 426.539 -0.014 20 0 IBADRN CCN1CCN(CC(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)C(=O)C1=O ZINC000515745984 1071905668 /nfs/dbraw/zinc/90/56/68/1071905668.db2.gz IHEVONHOZAUMAZ-UHFFFAOYSA-N 0 0 441.510 -0.795 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000515750553 1071905782 /nfs/dbraw/zinc/90/57/82/1071905782.db2.gz RKMKZTLDYSLZEI-UHFFFAOYSA-N 0 0 447.535 -0.813 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515751485 1071905803 /nfs/dbraw/zinc/90/58/03/1071905803.db2.gz LVZCKZWFFFFOSO-UHFFFAOYSA-N 0 0 429.520 -0.455 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000515751538 1071905574 /nfs/dbraw/zinc/90/55/74/1071905574.db2.gz OWPQOSYHYKNEJC-UHFFFAOYSA-N 0 0 429.520 -0.455 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000515751623 1071905766 /nfs/dbraw/zinc/90/57/66/1071905766.db2.gz UYNVQZCDGYLWED-UHFFFAOYSA-N 0 0 429.520 -0.408 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1)N1CCCC1 ZINC000515751744 1071905592 /nfs/dbraw/zinc/90/55/92/1071905592.db2.gz YOIQBWNYAXSMTH-UHFFFAOYSA-N 0 0 435.462 -0.504 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000515752242 1071905717 /nfs/dbraw/zinc/90/57/17/1071905717.db2.gz CVEOMORGKWLXJM-UHFFFAOYSA-N 0 0 425.463 -0.650 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)Cc3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000515752443 1071905686 /nfs/dbraw/zinc/90/56/86/1071905686.db2.gz UFHIIGAIQSJGKZ-UHFFFAOYSA-N 0 0 440.909 -0.187 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000515754076 1071905696 /nfs/dbraw/zinc/90/56/96/1071905696.db2.gz LWTVBDROGALIOC-UHFFFAOYSA-N 0 0 443.547 -0.065 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000515755034 1071905731 /nfs/dbraw/zinc/90/57/31/1071905731.db2.gz HDPFEOCRBSEGKK-UHFFFAOYSA-N 0 0 429.524 -0.342 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000515755400 1071905630 /nfs/dbraw/zinc/90/56/30/1071905630.db2.gz HJGPBFZQBSTEFV-UHFFFAOYSA-N 0 0 427.479 -0.404 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000515755476 1071905652 /nfs/dbraw/zinc/90/56/52/1071905652.db2.gz MICKLIBZZBEGMI-UHFFFAOYSA-N 0 0 431.536 -0.162 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000515755543 1071905726 /nfs/dbraw/zinc/90/57/26/1071905726.db2.gz RHDQCLWOMJJHKQ-UHFFFAOYSA-N 0 0 441.506 -0.014 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NCc2ccccc2)cc1 ZINC000515759757 1071905796 /nfs/dbraw/zinc/90/57/96/1071905796.db2.gz YVVKPBCDSSSNCB-UHFFFAOYSA-N 0 0 433.533 -0.268 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCS(=O)(=O)NCc3ccccc3)cc2[nH]c1=O ZINC000515760291 1071905741 /nfs/dbraw/zinc/90/57/41/1071905741.db2.gz YPAWLBRKKGQMIW-UHFFFAOYSA-N 0 0 438.487 -0.386 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000515760753 1071906330 /nfs/dbraw/zinc/90/63/30/1071906330.db2.gz KYBPMBQUABFTNN-UHFFFAOYSA-N 0 0 426.543 -0.292 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1 ZINC000515761390 1071906239 /nfs/dbraw/zinc/90/62/39/1071906239.db2.gz BBQKYGQMIPBRRN-UHFFFAOYSA-N 0 0 425.511 -0.392 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)Cc3c[nH]c4ccccc34)CC2)c(=O)n(C)c1=O ZINC000515762413 1071906264 /nfs/dbraw/zinc/90/62/64/1071906264.db2.gz BDOATDJYOHBEBR-UHFFFAOYSA-N 0 0 445.501 -0.359 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C(=O)C1=O ZINC000515762696 1071906434 /nfs/dbraw/zinc/90/64/34/1071906434.db2.gz MNDCXGOFEDALLI-UHFFFAOYSA-N 0 0 425.493 -0.453 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000515764485 1071906816 /nfs/dbraw/zinc/90/68/16/1071906816.db2.gz SBXSZQRCDACXRB-UHFFFAOYSA-N 0 0 437.478 -0.043 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)cn1 ZINC000515766613 1071906867 /nfs/dbraw/zinc/90/68/67/1071906867.db2.gz FLMHOVVWHWBOMU-UHFFFAOYSA-N 0 0 440.507 -0.192 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000515770294 1071906305 /nfs/dbraw/zinc/90/63/05/1071906305.db2.gz CJMIWAHWSSLYRJ-UHFFFAOYSA-N 0 0 447.517 -0.369 20 0 IBADRN Cc1nn(C)c(C)c1-c1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[nH]n1 ZINC000515771266 1071906366 /nfs/dbraw/zinc/90/63/66/1071906366.db2.gz KVNXDHNJJYKUFU-UHFFFAOYSA-N 0 0 437.526 -0.238 20 0 IBADRN O=C(C1CCN(C(=O)N2CCCC2)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000515772413 1071906418 /nfs/dbraw/zinc/90/64/18/1071906418.db2.gz VXCXBYGQFLJTAM-UHFFFAOYSA-N 0 0 443.570 -0.365 20 0 IBADRN NC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c2ccccc12 ZINC000515772596 1071906466 /nfs/dbraw/zinc/90/64/66/1071906466.db2.gz NVPIGXDIEPHHHK-UHFFFAOYSA-N 0 0 435.506 -0.539 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nnn1-c1ccccc1 ZINC000515776446 1071906210 /nfs/dbraw/zinc/90/62/10/1071906210.db2.gz HRCKSHPRWCRKLC-UHFFFAOYSA-N 0 0 433.538 -0.174 20 0 IBADRN CC(C)C(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515776808 1071906390 /nfs/dbraw/zinc/90/63/90/1071906390.db2.gz TVZTWXLLOBZTTR-KRWDZBQOSA-N 0 0 429.587 -0.340 20 0 IBADRN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000515776809 1071906451 /nfs/dbraw/zinc/90/64/51/1071906451.db2.gz TVZTWXLLOBZTTR-QGZVFWFLSA-N 0 0 429.587 -0.340 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000515785095 1071906843 /nfs/dbraw/zinc/90/68/43/1071906843.db2.gz UKVQCBNSNMAVHO-IBGZPJMESA-N 0 0 445.499 -0.093 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000515785096 1071906979 /nfs/dbraw/zinc/90/69/79/1071906979.db2.gz UKVQCBNSNMAVHO-LJQANCHMSA-N 0 0 445.499 -0.093 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000515788228 1071906804 /nfs/dbraw/zinc/90/68/04/1071906804.db2.gz WYHSMAFKMPSYFE-GFCCVEGCSA-N 0 0 431.492 -0.727 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000515788233 1071906973 /nfs/dbraw/zinc/90/69/73/1071906973.db2.gz WYHSMAFKMPSYFE-LBPRGKRZSA-N 0 0 431.492 -0.727 20 0 IBADRN COC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC000515788947 1071906946 /nfs/dbraw/zinc/90/69/46/1071906946.db2.gz MFGPBGAXFSBNSP-KBPBESRZSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC000515788948 1071906905 /nfs/dbraw/zinc/90/69/05/1071906905.db2.gz MFGPBGAXFSBNSP-OKILXGFUSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC000515788949 1071906856 /nfs/dbraw/zinc/90/68/56/1071906856.db2.gz MFGPBGAXFSBNSP-ZIAGYGMSSA-N 0 0 426.495 -0.020 20 0 IBADRN C[C@@H](Sc1nncn1C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000515789795 1071906783 /nfs/dbraw/zinc/90/67/83/1071906783.db2.gz XRJGPIQTDVCTFB-HZSPNIEDSA-N 0 0 432.572 -0.206 20 0 IBADRN C[C@H](Sc1nncn1C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000515789796 1071906915 /nfs/dbraw/zinc/90/69/15/1071906915.db2.gz XRJGPIQTDVCTFB-MCIONIFRSA-N 0 0 432.572 -0.206 20 0 IBADRN C[C@@H](Sc1nncn1C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000515789797 1071906832 /nfs/dbraw/zinc/90/68/32/1071906832.db2.gz XRJGPIQTDVCTFB-MGPQQGTHSA-N 0 0 432.572 -0.206 20 0 IBADRN C[C@H](Sc1nncn1C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000515789798 1071907004 /nfs/dbraw/zinc/90/70/04/1071907004.db2.gz XRJGPIQTDVCTFB-MJBXVCDLSA-N 0 0 432.572 -0.206 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000515791580 1071907040 /nfs/dbraw/zinc/90/70/40/1071907040.db2.gz IXPZSJPSESWEAF-UHFFFAOYSA-N 0 0 430.552 -0.291 20 0 IBADRN NS(=O)(=O)CCNC(=O)CCCCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000515795131 1071906996 /nfs/dbraw/zinc/90/69/96/1071906996.db2.gz UYCLKISALSOHIA-UHFFFAOYSA-N 0 0 435.524 -0.299 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(C(=O)c3cc(OC)cc(OC)c3)CC2)C(=O)C1=O ZINC000515797127 1071907510 /nfs/dbraw/zinc/90/75/10/1071907510.db2.gz GOTCBUICPAEMIW-UHFFFAOYSA-N 0 0 432.477 -0.321 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)OCO3)CC1 ZINC000515806356 1071907595 /nfs/dbraw/zinc/90/75/95/1071907595.db2.gz ALFDVEUENGICRS-HNNXBMFYSA-N 0 0 434.493 -0.283 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)OCO3)CC1 ZINC000515806361 1071907562 /nfs/dbraw/zinc/90/75/62/1071907562.db2.gz ALFDVEUENGICRS-OAHLLOKOSA-N 0 0 434.493 -0.283 20 0 IBADRN CCN(CCCNC(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)S(C)(=O)=O ZINC000515809671 1071907455 /nfs/dbraw/zinc/90/74/55/1071907455.db2.gz MTYVOGDZCYCFNC-UHFFFAOYSA-N 0 0 430.531 -0.080 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)CC2)CC1 ZINC000515810200 1071907466 /nfs/dbraw/zinc/90/74/66/1071907466.db2.gz RRQODJSITBGNLJ-UHFFFAOYSA-N 0 0 436.600 -0.630 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515812322 1071907492 /nfs/dbraw/zinc/90/74/92/1071907492.db2.gz XXFOOHPYKFSZHL-CYBMUJFWSA-N 0 0 434.478 -0.853 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515812323 1071907609 /nfs/dbraw/zinc/90/76/09/1071907609.db2.gz XXFOOHPYKFSZHL-ZDUSSCGKSA-N 0 0 434.478 -0.853 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c2ccccc2O1 ZINC000515813327 1071908601 /nfs/dbraw/zinc/90/86/01/1071908601.db2.gz MDCCEHBYJWXSGQ-AWEZNQCLSA-N 0 0 426.495 -0.639 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c2ccccc2O1 ZINC000515813328 1071908749 /nfs/dbraw/zinc/90/87/49/1071908749.db2.gz MDCCEHBYJWXSGQ-CQSZACIVSA-N 0 0 426.495 -0.639 20 0 IBADRN O=C(CCNC(=O)C(=O)N1C[C@@H]2CCCN2c2ccccc21)N[C@@H]1CCS(=O)(=O)C1 ZINC000515813696 1071907390 /nfs/dbraw/zinc/90/73/90/1071907390.db2.gz SXAHWLKSSDHQQO-CABCVRRESA-N 0 0 434.518 -0.188 20 0 IBADRN O=C(CCNC(=O)C(=O)N1C[C@@H]2CCCN2c2ccccc21)N[C@H]1CCS(=O)(=O)C1 ZINC000515813697 1071907550 /nfs/dbraw/zinc/90/75/50/1071907550.db2.gz SXAHWLKSSDHQQO-GJZGRUSLSA-N 0 0 434.518 -0.188 20 0 IBADRN O=C(CCNC(=O)C(=O)N1C[C@H]2CCCN2c2ccccc21)N[C@@H]1CCS(=O)(=O)C1 ZINC000515813698 1071907584 /nfs/dbraw/zinc/90/75/84/1071907584.db2.gz SXAHWLKSSDHQQO-HUUCEWRRSA-N 0 0 434.518 -0.188 20 0 IBADRN O=C(CCNC(=O)C(=O)N1C[C@H]2CCCN2c2ccccc21)N[C@H]1CCS(=O)(=O)C1 ZINC000515813699 1071907405 /nfs/dbraw/zinc/90/74/05/1071907405.db2.gz SXAHWLKSSDHQQO-LSDHHAIUSA-N 0 0 434.518 -0.188 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ncnc2nc[nH]c21 ZINC000515813849 1071908767 /nfs/dbraw/zinc/90/87/67/1071908767.db2.gz AVRRHAVASGYFJY-UHFFFAOYSA-N 0 0 444.477 -0.452 20 0 IBADRN COC(=O)c1cc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)oc1C ZINC000515814022 1071908067 /nfs/dbraw/zinc/90/80/67/1071908067.db2.gz ZZSUTNJNCYUDGM-UHFFFAOYSA-N 0 0 429.389 -0.425 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)o1 ZINC000515814159 1071907984 /nfs/dbraw/zinc/90/79/84/1071907984.db2.gz NRKIXQYWEMOWOZ-UHFFFAOYSA-N 0 0 428.448 -0.700 20 0 IBADRN NC(=O)COc1cccc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000515814241 1071909256 /nfs/dbraw/zinc/90/92/56/1071909256.db2.gz USVVNJGGYNQTAA-UHFFFAOYSA-N 0 0 432.458 -0.077 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC)OC ZINC000515814746 1071908716 /nfs/dbraw/zinc/90/87/16/1071908716.db2.gz TXQLDDMTQGAQEU-INIZCTEOSA-N 0 0 436.465 -0.675 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC)OC ZINC000515814747 1071909408 /nfs/dbraw/zinc/90/94/08/1071909408.db2.gz TXQLDDMTQGAQEU-MRXNPFEDSA-N 0 0 436.465 -0.675 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1)N1CCCC1 ZINC000515818859 1071908159 /nfs/dbraw/zinc/90/81/59/1071908159.db2.gz JYSILEXKGHQSNT-OAHLLOKOSA-N 0 0 441.554 -0.581 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@@H]3CN3CCOCC3)C2)nc1 ZINC000515819233 1071908124 /nfs/dbraw/zinc/90/81/24/1071908124.db2.gz KLRXZDCWRSEXLV-GOSISDBHSA-N 0 0 445.520 -0.114 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@H]3CN3CCOCC3)C2)nc1 ZINC000515819234 1071908177 /nfs/dbraw/zinc/90/81/77/1071908177.db2.gz KLRXZDCWRSEXLV-SFHVURJKSA-N 0 0 445.520 -0.114 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCO[C@@H]2CCOC2)C1 ZINC000515819305 1071908216 /nfs/dbraw/zinc/90/82/16/1071908216.db2.gz NLHAHOQHYCYZDK-CVEARBPZSA-N 0 0 435.543 -0.803 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCO[C@H]2CCOC2)C1 ZINC000515819309 1071908082 /nfs/dbraw/zinc/90/80/82/1071908082.db2.gz NLHAHOQHYCYZDK-HOTGVXAUSA-N 0 0 435.543 -0.803 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCO[C@@H]2CCOC2)C1 ZINC000515819310 1071908031 /nfs/dbraw/zinc/90/80/31/1071908031.db2.gz NLHAHOQHYCYZDK-HZPDHXFCSA-N 0 0 435.543 -0.803 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCO[C@H]2CCOC2)C1 ZINC000515819311 1071908121 /nfs/dbraw/zinc/90/81/21/1071908121.db2.gz NLHAHOQHYCYZDK-JKSUJKDBSA-N 0 0 435.543 -0.803 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000515820022 1071908012 /nfs/dbraw/zinc/90/80/12/1071908012.db2.gz BNIQTEPZQGQAGS-UHFFFAOYSA-N 0 0 438.550 -0.083 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCC2([S@](C)=O)CCC2)cn1 ZINC000515821413 1071907965 /nfs/dbraw/zinc/90/79/65/1071907965.db2.gz BWXWCGBDCYQTCP-ASHKIFAZSA-N 0 0 430.552 -0.382 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCC2([S@@](C)=O)CCC2)cn1 ZINC000515821418 1071907977 /nfs/dbraw/zinc/90/79/77/1071907977.db2.gz BWXWCGBDCYQTCP-LOKFHWFJSA-N 0 0 430.552 -0.382 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCC2([S@@](C)=O)CCC2)cn1 ZINC000515821419 1071908588 /nfs/dbraw/zinc/90/85/88/1071908588.db2.gz BWXWCGBDCYQTCP-PGGUUEOZSA-N 0 0 430.552 -0.382 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCC2([S@](C)=O)CCC2)cn1 ZINC000515821421 1071908804 /nfs/dbraw/zinc/90/88/04/1071908804.db2.gz BWXWCGBDCYQTCP-PWFNWSNSSA-N 0 0 430.552 -0.382 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[S@@](=O)[C@@H](C)C3)CC2)cc1 ZINC000515821860 1071908832 /nfs/dbraw/zinc/90/88/32/1071908832.db2.gz LJCPWKOODPJSTI-KUNJGFBQSA-N 0 0 429.564 -0.019 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[S@](=O)[C@@H](C)C3)CC2)cc1 ZINC000515821863 1071908739 /nfs/dbraw/zinc/90/87/39/1071908739.db2.gz LJCPWKOODPJSTI-QZXCRCNTSA-N 0 0 429.564 -0.019 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[S@](=O)[C@H](C)C3)CC2)cc1 ZINC000515821865 1071908611 /nfs/dbraw/zinc/90/86/11/1071908611.db2.gz LJCPWKOODPJSTI-RXAIFQJESA-N 0 0 429.564 -0.019 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[S@@](=O)[C@H](C)C3)CC2)cc1 ZINC000515821867 1071908705 /nfs/dbraw/zinc/90/87/05/1071908705.db2.gz LJCPWKOODPJSTI-YVORESIASA-N 0 0 429.564 -0.019 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000515824083 1071908674 /nfs/dbraw/zinc/90/86/74/1071908674.db2.gz GFNSNSPSVHVDDP-UHFFFAOYSA-N 0 0 448.549 -0.047 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCN1CCN(c2ncccn2)CC1 ZINC000515825805 1071908622 /nfs/dbraw/zinc/90/86/22/1071908622.db2.gz YINDKDKDNCIYDO-UHFFFAOYSA-N 0 0 438.286 -0.560 20 0 IBADRN CCN(CCCNC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)S(C)(=O)=O ZINC000515828460 1071908661 /nfs/dbraw/zinc/90/86/61/1071908661.db2.gz RTANVUMUDZBFIT-UHFFFAOYSA-N 0 0 433.556 -0.231 20 0 IBADRN O=C(CCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)NC1CCCCC1 ZINC000515830785 1071910125 /nfs/dbraw/zinc/91/01/25/1071910125.db2.gz RGOUNEAKTSULQX-UHFFFAOYSA-N 0 0 431.559 -0.270 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCC(=O)NC3CCCCC3)CC2)CC1 ZINC000515830852 1071909322 /nfs/dbraw/zinc/90/93/22/1071909322.db2.gz WLCQALRQFGQSPC-UHFFFAOYSA-N 0 0 444.602 -0.355 20 0 IBADRN O=C(CNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCC(F)(F)F ZINC000515831024 1071909279 /nfs/dbraw/zinc/90/92/79/1071909279.db2.gz HOBZZNSMJWSJOE-UHFFFAOYSA-N 0 0 425.385 -0.079 20 0 IBADRN O=C(CNC(=O)c1cccc(C(=O)NCC(=O)NCC(F)(F)F)n1)NCC(F)(F)F ZINC000515832643 1071909267 /nfs/dbraw/zinc/90/92/67/1071909267.db2.gz FLKZAHSGQKUGOV-UHFFFAOYSA-N 0 0 443.304 -0.102 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(Cc2ccncc2)CC1 ZINC000515836036 1071909209 /nfs/dbraw/zinc/90/92/09/1071909209.db2.gz BVSRTSVQGIDYFK-UHFFFAOYSA-N 0 0 431.474 -0.019 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000515840210 1071909134 /nfs/dbraw/zinc/90/91/34/1071909134.db2.gz JDEFIAFRZSGLBX-UHFFFAOYSA-N 0 0 432.573 -0.112 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000515847478 1071910023 /nfs/dbraw/zinc/91/00/23/1071910023.db2.gz SACAHCIAUDISJS-KRWDZBQOSA-N 0 0 439.586 -0.041 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000515847479 1071909786 /nfs/dbraw/zinc/90/97/86/1071909786.db2.gz SACAHCIAUDISJS-QGZVFWFLSA-N 0 0 439.586 -0.041 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000515849571 1071910079 /nfs/dbraw/zinc/91/00/79/1071910079.db2.gz OSNAQFZNBYEXBN-UHFFFAOYSA-N 0 0 435.499 -0.485 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000515850101 1071909987 /nfs/dbraw/zinc/90/99/87/1071909987.db2.gz YPINALUPGIVPSI-UHFFFAOYSA-N 0 0 445.563 -0.805 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](Cc1cccc(OC)c1)NC(C)=O ZINC000515852772 1071909888 /nfs/dbraw/zinc/90/98/88/1071909888.db2.gz NHYRBKPXKPFXSI-AEFFLSMTSA-N 0 0 439.534 -0.106 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](Cc1cccc(OC)c1)NC(C)=O ZINC000515852773 1071910010 /nfs/dbraw/zinc/91/00/10/1071910010.db2.gz NHYRBKPXKPFXSI-FUHWJXTLSA-N 0 0 439.534 -0.106 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](Cc1cccc(OC)c1)NC(C)=O ZINC000515852774 1071910042 /nfs/dbraw/zinc/91/00/42/1071910042.db2.gz NHYRBKPXKPFXSI-SJLPKXTDSA-N 0 0 439.534 -0.106 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](Cc1cccc(OC)c1)NC(C)=O ZINC000515852775 1071910001 /nfs/dbraw/zinc/91/00/01/1071910001.db2.gz NHYRBKPXKPFXSI-WMZOPIPTSA-N 0 0 439.534 -0.106 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCCCN3CCCC3=O)cc2)CC1 ZINC000515854055 1071910435 /nfs/dbraw/zinc/91/04/35/1071910435.db2.gz NMQHAVCWUCYATG-UHFFFAOYSA-N 0 0 429.521 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCN3CCCS3(=O)=O)CC2)cc1 ZINC000515857603 1071910647 /nfs/dbraw/zinc/91/06/47/1071910647.db2.gz UACFCMYHMOSPFB-UHFFFAOYSA-N 0 0 431.536 -0.046 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC([C@H](O)C(=O)NC4CC4)CC3)nc2)CC1 ZINC000515859204 1071910457 /nfs/dbraw/zinc/91/04/57/1071910457.db2.gz JAVCLTVCAKUUMO-IBGZPJMESA-N 0 0 437.566 -0.126 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC([C@@H](O)C(=O)NC4CC4)CC3)nc2)CC1 ZINC000515859205 1071910618 /nfs/dbraw/zinc/91/06/18/1071910618.db2.gz JAVCLTVCAKUUMO-LJQANCHMSA-N 0 0 437.566 -0.126 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1)NCC(F)(F)F ZINC000515861438 1071909833 /nfs/dbraw/zinc/90/98/33/1071909833.db2.gz KEHXKGIFKJDEAI-UHFFFAOYSA-N 0 0 431.414 -0.445 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1)NC[C@H]1CCCO1 ZINC000515862409 1071909808 /nfs/dbraw/zinc/90/98/08/1071909808.db2.gz RVKTWNZODKJUCF-CYBMUJFWSA-N 0 0 433.508 -0.829 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1)NC[C@@H]1CCCO1 ZINC000515862412 1071909878 /nfs/dbraw/zinc/90/98/78/1071909878.db2.gz RVKTWNZODKJUCF-ZDUSSCGKSA-N 0 0 433.508 -0.829 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000515863908 1071910058 /nfs/dbraw/zinc/91/00/58/1071910058.db2.gz SGTUIILUYBMYNN-UHFFFAOYSA-N 0 0 444.579 -0.318 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)C2=O)n1 ZINC000515877235 1071911259 /nfs/dbraw/zinc/91/12/59/1071911259.db2.gz CPISQPAUFJRUFP-INIZCTEOSA-N 0 0 441.492 -0.420 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)C2=O)n1 ZINC000515877239 1071911074 /nfs/dbraw/zinc/91/10/74/1071911074.db2.gz CPISQPAUFJRUFP-MRXNPFEDSA-N 0 0 441.492 -0.420 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000515877330 1071911284 /nfs/dbraw/zinc/91/12/84/1071911284.db2.gz QAWGOCOAAVUVMZ-UHFFFAOYSA-N 0 0 447.492 -0.862 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CCN2CCCS2(=O)=O)CC1 ZINC000515878451 1071911208 /nfs/dbraw/zinc/91/12/08/1071911208.db2.gz CZFDYLNEVKVJLF-UHFFFAOYSA-N 0 0 434.540 -0.060 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)nn1 ZINC000515880112 1071911252 /nfs/dbraw/zinc/91/12/52/1071911252.db2.gz CFQBWTSTTCNANR-UHFFFAOYSA-N 0 0 444.448 -0.400 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)N1CCOCC1 ZINC000515881193 1071911858 /nfs/dbraw/zinc/91/18/58/1071911858.db2.gz IAGDHULZDKGCLQ-HNNXBMFYSA-N 0 0 432.481 -0.956 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)N1CCOCC1 ZINC000515881194 1071912328 /nfs/dbraw/zinc/91/23/28/1071912328.db2.gz IAGDHULZDKGCLQ-OAHLLOKOSA-N 0 0 432.481 -0.956 20 0 IBADRN O=C(NCCc1cccnc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515881524 1071911884 /nfs/dbraw/zinc/91/18/84/1071911884.db2.gz WKGXEYMMISQQHG-UHFFFAOYSA-N 0 0 431.474 -0.501 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)N1CCOCC1 ZINC000515882261 1071912545 /nfs/dbraw/zinc/91/25/45/1071912545.db2.gz YCPAONDJXMCRBK-KRWDZBQOSA-N 0 0 432.525 -0.181 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)N1CCOCC1 ZINC000515882262 1071912496 /nfs/dbraw/zinc/91/24/96/1071912496.db2.gz YCPAONDJXMCRBK-QGZVFWFLSA-N 0 0 432.525 -0.181 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000515882836 1071912462 /nfs/dbraw/zinc/91/24/62/1071912462.db2.gz FUNPADYBAAZLKV-UHFFFAOYSA-N 0 0 425.442 -0.165 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C[C@H](C)O1 ZINC000515883173 1071912511 /nfs/dbraw/zinc/91/25/11/1071912511.db2.gz MFSUANRSDMPLMK-GASCZTMLSA-N 0 0 432.525 -0.040 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C[C@H](C)O1 ZINC000515883174 1071912477 /nfs/dbraw/zinc/91/24/77/1071912477.db2.gz MFSUANRSDMPLMK-GJZGRUSLSA-N 0 0 432.525 -0.040 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C[C@@H](C)O1 ZINC000515883175 1071912343 /nfs/dbraw/zinc/91/23/43/1071912343.db2.gz MFSUANRSDMPLMK-HUUCEWRRSA-N 0 0 432.525 -0.040 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H](C)O1 ZINC000515884790 1071912432 /nfs/dbraw/zinc/91/24/32/1071912432.db2.gz XHFUTTHIYOSZMR-BETUJISGSA-N 0 0 446.508 -0.425 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@H](C)O1 ZINC000515884791 1071912415 /nfs/dbraw/zinc/91/24/15/1071912415.db2.gz XHFUTTHIYOSZMR-CHWSQXEVSA-N 0 0 446.508 -0.425 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H](C)O1 ZINC000515884792 1071912403 /nfs/dbraw/zinc/91/24/03/1071912403.db2.gz XHFUTTHIYOSZMR-STQMWFEESA-N 0 0 446.508 -0.425 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CN2CCCC2=O)CC1 ZINC000515885560 1071912452 /nfs/dbraw/zinc/91/24/52/1071912452.db2.gz WITHAUPDKPWQPG-INIZCTEOSA-N 0 0 445.586 -0.376 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)CN2CCCC2=O)CC1 ZINC000515885561 1071912384 /nfs/dbraw/zinc/91/23/84/1071912384.db2.gz WITHAUPDKPWQPG-MRXNPFEDSA-N 0 0 445.586 -0.376 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)NC1CC1 ZINC000515885737 1071912310 /nfs/dbraw/zinc/91/23/10/1071912310.db2.gz AGNXKUNFXZAGAE-UHFFFAOYSA-N 0 0 428.442 -0.820 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000515887110 1071911110 /nfs/dbraw/zinc/91/11/10/1071911110.db2.gz FHHGNOPGUAEGNY-ROUUACIJSA-N 0 0 443.548 -0.020 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000515887111 1071911162 /nfs/dbraw/zinc/91/11/62/1071911162.db2.gz FHHGNOPGUAEGNY-ZWKOTPCHSA-N 0 0 443.548 -0.020 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000515890806 1071911182 /nfs/dbraw/zinc/91/11/82/1071911182.db2.gz HUOOQZDBPDKCLR-UHFFFAOYSA-N 0 0 426.433 -0.333 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000515891979 1071911972 /nfs/dbraw/zinc/91/19/72/1071911972.db2.gz DTLDKYZOMDZCBW-UHFFFAOYSA-N 0 0 440.460 -0.404 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000515893381 1071911942 /nfs/dbraw/zinc/91/19/42/1071911942.db2.gz YIBFUHXUVGTZCS-IBGZPJMESA-N 0 0 444.488 -0.234 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000515893382 1071911934 /nfs/dbraw/zinc/91/19/34/1071911934.db2.gz YIBFUHXUVGTZCS-LJQANCHMSA-N 0 0 444.488 -0.234 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000515894043 1071911904 /nfs/dbraw/zinc/91/19/04/1071911904.db2.gz WULNKBHNVIIURG-UHFFFAOYSA-N 0 0 442.432 -0.693 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)c2ccccc2O1 ZINC000515894150 1071911843 /nfs/dbraw/zinc/91/18/43/1071911843.db2.gz BPWSASMTXZHKOS-KRWDZBQOSA-N 0 0 446.485 -0.249 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)c2ccccc2O1 ZINC000515894151 1071911751 /nfs/dbraw/zinc/91/17/51/1071911751.db2.gz BPWSASMTXZHKOS-QGZVFWFLSA-N 0 0 446.485 -0.249 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc2)C[C@H](C)O1 ZINC000515894461 1071911910 /nfs/dbraw/zinc/91/19/10/1071911910.db2.gz KUFKIAXJRTVLHU-KBPBESRZSA-N 0 0 440.522 -0.070 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc2)C[C@H](C)O1 ZINC000515894462 1071911832 /nfs/dbraw/zinc/91/18/32/1071911832.db2.gz KUFKIAXJRTVLHU-OKILXGFUSA-N 0 0 440.522 -0.070 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc2)C[C@@H](C)O1 ZINC000515894463 1071911766 /nfs/dbraw/zinc/91/17/66/1071911766.db2.gz KUFKIAXJRTVLHU-ZIAGYGMSSA-N 0 0 440.522 -0.070 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCN3CCc4ccccc4C3)cnc2n(C)c1=O ZINC000515894511 1071911956 /nfs/dbraw/zinc/91/19/56/1071911956.db2.gz NFXNJLATMYMREJ-UHFFFAOYSA-N 0 0 436.472 -0.255 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000515895929 1071911691 /nfs/dbraw/zinc/91/16/91/1071911691.db2.gz BQCXJKFKYOQIPS-UHFFFAOYSA-N 0 0 431.537 -0.174 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCNS(C)(=O)=O)c2ccccc2O1 ZINC000515898703 1071912390 /nfs/dbraw/zinc/91/23/90/1071912390.db2.gz UDHMHAPDBKSMCG-HNNXBMFYSA-N 0 0 426.495 -0.638 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCCNS(C)(=O)=O)c2ccccc2O1 ZINC000515898704 1071912472 /nfs/dbraw/zinc/91/24/72/1071912472.db2.gz UDHMHAPDBKSMCG-OAHLLOKOSA-N 0 0 426.495 -0.638 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515900078 1071912356 /nfs/dbraw/zinc/91/23/56/1071912356.db2.gz HMBUOEQRIGMEFP-UHFFFAOYSA-N 0 0 434.478 -0.851 20 0 IBADRN O=C(NCCc1ccccn1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000515902748 1071913002 /nfs/dbraw/zinc/91/30/02/1071913002.db2.gz FBZHWWVLNDJEQT-UHFFFAOYSA-N 0 0 431.474 -0.501 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000515906019 1071913021 /nfs/dbraw/zinc/91/30/21/1071913021.db2.gz ZSSLJKKKRFTOQS-KRWDZBQOSA-N 0 0 433.557 -0.482 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000515906020 1071913157 /nfs/dbraw/zinc/91/31/57/1071913157.db2.gz ZSSLJKKKRFTOQS-QGZVFWFLSA-N 0 0 433.557 -0.482 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1)N1CCCCC1 ZINC000515907703 1071913248 /nfs/dbraw/zinc/91/32/48/1071913248.db2.gz SNYSEALOZZVECA-UHFFFAOYSA-N 0 0 444.584 -0.062 20 0 IBADRN O=C(CNC(=O)c1ccc(C(=O)NCC(=O)NCC(F)(F)F)nc1)NCC(F)(F)F ZINC000515907739 1071912959 /nfs/dbraw/zinc/91/29/59/1071912959.db2.gz UUFQCPQGSAEWNF-UHFFFAOYSA-N 0 0 443.304 -0.102 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)s1 ZINC000515907759 1071913135 /nfs/dbraw/zinc/91/31/35/1071913135.db2.gz NQJDVIJLLUYAFO-CMPLNLGQSA-N 0 0 430.595 -0.059 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1OC ZINC000515916437 1071913622 /nfs/dbraw/zinc/91/36/22/1071913622.db2.gz DPYKIUWXXBOLFT-UHFFFAOYSA-N 0 0 427.417 -0.096 20 0 IBADRN Cc1ccn2cc(CNC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)nc2c1 ZINC000515917178 1071913598 /nfs/dbraw/zinc/91/35/98/1071913598.db2.gz TYFVVNUOJMXYNM-UHFFFAOYSA-N 0 0 435.554 -0.038 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000515917750 1071913726 /nfs/dbraw/zinc/91/37/26/1071913726.db2.gz XCSWLGFQQLRNGE-KDOFPFPSSA-N 0 0 433.527 -0.655 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000515917751 1071913705 /nfs/dbraw/zinc/91/37/05/1071913705.db2.gz XCSWLGFQQLRNGE-RDTXWAMCSA-N 0 0 433.527 -0.655 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC[C@@H]3c4ccccc4NC3=O)cnc2n(C)c1=O ZINC000515917951 1071913650 /nfs/dbraw/zinc/91/36/50/1071913650.db2.gz GPDWNFDJBJGJCB-CYBMUJFWSA-N 0 0 436.428 -0.187 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC[C@H]3c4ccccc4NC3=O)cnc2n(C)c1=O ZINC000515917952 1071913751 /nfs/dbraw/zinc/91/37/51/1071913751.db2.gz GPDWNFDJBJGJCB-ZDUSSCGKSA-N 0 0 436.428 -0.187 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1OC ZINC000515920198 1071913195 /nfs/dbraw/zinc/91/31/95/1071913195.db2.gz DGPGSSYRZCPJAX-UHFFFAOYSA-N 0 0 441.444 -0.053 20 0 IBADRN CNC(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000515920617 1071913123 /nfs/dbraw/zinc/91/31/23/1071913123.db2.gz RQERDEIVOZOJNI-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN Cn1cnc(C(=O)N2CCN(S(=O)(=O)c3cc4c(cc3Cl)NC(=O)CO4)CC2)n1 ZINC000515922635 1071913062 /nfs/dbraw/zinc/91/30/62/1071913062.db2.gz RPMGXYPFFJCPGQ-UHFFFAOYSA-N 0 0 440.869 -0.054 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000515923469 1071913048 /nfs/dbraw/zinc/91/30/48/1071913048.db2.gz NOODSQPSMWKQKP-INIZCTEOSA-N 0 0 440.460 -0.507 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000515923470 1071913147 /nfs/dbraw/zinc/91/31/47/1071913147.db2.gz NOODSQPSMWKQKP-MRXNPFEDSA-N 0 0 440.460 -0.507 20 0 IBADRN COC(=O)c1nc2nc(C)cc(N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)n2n1 ZINC000515924834 1071913548 /nfs/dbraw/zinc/91/35/48/1071913548.db2.gz RJUZXGLFYMCLKB-UHFFFAOYSA-N 0 0 449.449 -0.578 20 0 IBADRN CNC(=O)CCNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000515928156 1071914852 /nfs/dbraw/zinc/91/48/52/1071914852.db2.gz ROTWMBMDPIVIRV-UHFFFAOYSA-N 0 0 437.522 -0.221 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)cn1 ZINC000515928667 1071914362 /nfs/dbraw/zinc/91/43/62/1071914362.db2.gz NCUCFKLPBMCRGV-UHFFFAOYSA-N 0 0 448.505 -0.266 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)cn1 ZINC000515928813 1071914283 /nfs/dbraw/zinc/91/42/83/1071914283.db2.gz QWBUMRRDIFQWDF-UHFFFAOYSA-N 0 0 437.478 -0.089 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000515932189 1071914900 /nfs/dbraw/zinc/91/49/00/1071914900.db2.gz IDDZCWPJDTXQKF-UHFFFAOYSA-N 0 0 447.583 -0.203 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCCN3CCOCC3)CC2)c1 ZINC000515933211 1071914886 /nfs/dbraw/zinc/91/48/86/1071914886.db2.gz XYDRJHXXIICKNC-UHFFFAOYSA-N 0 0 446.551 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCCN(C(C)=O)CC1 ZINC000515938771 1071914873 /nfs/dbraw/zinc/91/48/73/1071914873.db2.gz AACZFKIACHFJQV-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN O=C(Nc1cc(Cl)ccc1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515939044 1071913504 /nfs/dbraw/zinc/91/35/04/1071913504.db2.gz QTQVPFUPEDVBDV-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(OCC(N)=O)cc3)CC2)no1 ZINC000515939807 1074356584 /nfs/dbraw/zinc/35/65/84/1074356584.db2.gz BSNJLLXEUQSIBS-UHFFFAOYSA-N 0 0 444.448 -0.431 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000515940542 1071913519 /nfs/dbraw/zinc/91/35/19/1071913519.db2.gz ICKYFTYIIYXDHK-KRWDZBQOSA-N 0 0 432.477 -0.058 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000515940543 1071913718 /nfs/dbraw/zinc/91/37/18/1071913718.db2.gz ICKYFTYIIYXDHK-QGZVFWFLSA-N 0 0 432.477 -0.058 20 0 IBADRN CCOc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1OC ZINC000515940929 1071914339 /nfs/dbraw/zinc/91/43/39/1071914339.db2.gz DQOSSYGQEWQVBH-UHFFFAOYSA-N 0 0 448.476 -0.438 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCc2cc(F)c(F)cc21 ZINC000515940930 1071914319 /nfs/dbraw/zinc/91/43/19/1071914319.db2.gz DRPAUFPALWLCCC-UHFFFAOYSA-N 0 0 436.415 -0.617 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(C)C)CC2)cc1 ZINC000515941641 1071914311 /nfs/dbraw/zinc/91/43/11/1071914311.db2.gz DORKLVGBSZMSPA-UHFFFAOYSA-N 0 0 432.477 -0.216 20 0 IBADRN O=C(Nc1cccc2cnccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515942346 1071914354 /nfs/dbraw/zinc/91/43/54/1071914354.db2.gz NJTOWULZGYQXSQ-UHFFFAOYSA-N 0 0 425.445 -0.297 20 0 IBADRN O=C(Nc1ccc2nccnc2c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515942373 1071914190 /nfs/dbraw/zinc/91/41/90/1071914190.db2.gz OKQFUWUJSTWOSU-UHFFFAOYSA-N 0 0 426.433 -0.902 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000515942427 1071914351 /nfs/dbraw/zinc/91/43/51/1071914351.db2.gz FGNSBJTZUNXMHH-KRWDZBQOSA-N 0 0 432.477 -0.010 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000515942428 1071914218 /nfs/dbraw/zinc/91/42/18/1071914218.db2.gz FGNSBJTZUNXMHH-QGZVFWFLSA-N 0 0 432.477 -0.010 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000515942691 1071914178 /nfs/dbraw/zinc/91/41/78/1071914178.db2.gz YEWGQTOBSMFOBT-UHFFFAOYSA-N 0 0 433.465 -0.663 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc(OC)c1 ZINC000515943398 1071914208 /nfs/dbraw/zinc/91/42/08/1071914208.db2.gz AAODDCZPATYKKE-UHFFFAOYSA-N 0 0 434.449 -0.828 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000515943606 1071914359 /nfs/dbraw/zinc/91/43/59/1071914359.db2.gz FSDYCRVNKMWYIW-INIZCTEOSA-N 0 0 446.460 -0.622 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000515943607 1071914324 /nfs/dbraw/zinc/91/43/24/1071914324.db2.gz FSDYCRVNKMWYIW-MRXNPFEDSA-N 0 0 446.460 -0.622 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1 ZINC000515943987 1071915279 /nfs/dbraw/zinc/91/52/79/1071915279.db2.gz ROZFBICNBUALLW-UHFFFAOYSA-N 0 0 438.506 -0.270 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)on1 ZINC000515944029 1071915405 /nfs/dbraw/zinc/91/54/05/1071915405.db2.gz VIBZMASHBTXGPZ-UHFFFAOYSA-N 0 0 428.449 -0.519 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000515944080 1071915358 /nfs/dbraw/zinc/91/53/58/1071915358.db2.gz XZSMGLKFKHUNLY-UHFFFAOYSA-N 0 0 430.508 -0.830 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)cn1 ZINC000515944099 1071915351 /nfs/dbraw/zinc/91/53/51/1071915351.db2.gz YYZIYEATLDQGGY-UHFFFAOYSA-N 0 0 445.505 -0.118 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cc(C(N)=O)ccc3F)CC2)no1 ZINC000515944840 1071915371 /nfs/dbraw/zinc/91/53/71/1071915371.db2.gz CCBBKGXVYAZXHE-UHFFFAOYSA-N 0 0 432.412 -0.058 20 0 IBADRN CC(=O)Nc1ccc(F)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000515944943 1071915329 /nfs/dbraw/zinc/91/53/29/1071915329.db2.gz FYWQUUBSFJRHFT-UHFFFAOYSA-N 0 0 449.439 -0.748 20 0 IBADRN O=C(Nc1ccccc1-n1cccn1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515945130 1071915344 /nfs/dbraw/zinc/91/53/44/1071915344.db2.gz KADRYQJTCIOLGM-UHFFFAOYSA-N 0 0 440.460 -0.660 20 0 IBADRN O=C(Nc1cccc(OC(F)F)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515945284 1071915341 /nfs/dbraw/zinc/91/53/41/1071915341.db2.gz OEOZXAIKQZBQNH-UHFFFAOYSA-N 0 0 440.403 -0.244 20 0 IBADRN CCCOc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000515945411 1071915365 /nfs/dbraw/zinc/91/53/65/1071915365.db2.gz PTHBYIKAXGNSMK-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN O=C(Nc1cccc(-n2cccn2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515945433 1071915220 /nfs/dbraw/zinc/91/52/20/1071915220.db2.gz QWGXAAQLPHBOPI-UHFFFAOYSA-N 0 0 440.460 -0.660 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000515945891 1071915233 /nfs/dbraw/zinc/91/52/33/1071915233.db2.gz IPDGZWBFFCXWAD-UHFFFAOYSA-N 0 0 440.478 -0.978 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000515945922 1071915311 /nfs/dbraw/zinc/91/53/11/1071915311.db2.gz KGIGSKHVPMVMQR-UHFFFAOYSA-N 0 0 434.449 -0.828 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000515946210 1071915835 /nfs/dbraw/zinc/91/58/35/1071915835.db2.gz UNJCFOBUZJWFNP-UHFFFAOYSA-N 0 0 448.476 -0.520 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1)N1CCCCC1 ZINC000515946438 1071915873 /nfs/dbraw/zinc/91/58/73/1071915873.db2.gz BTDVAMSYOHPXRD-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN CNC(=O)C1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000515946590 1071915782 /nfs/dbraw/zinc/91/57/82/1071915782.db2.gz JIMPWHKDRCJOJF-UHFFFAOYSA-N 0 0 439.538 -0.074 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000515946688 1071915806 /nfs/dbraw/zinc/91/58/06/1071915806.db2.gz LKGZECSFSYAOLH-UHFFFAOYSA-N 0 0 444.535 -0.487 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1F ZINC000515946795 1071915855 /nfs/dbraw/zinc/91/58/55/1071915855.db2.gz OWRKULMJFYPJQL-UHFFFAOYSA-N 0 0 449.439 -0.748 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)on1 ZINC000515946954 1071915767 /nfs/dbraw/zinc/91/57/67/1071915767.db2.gz RYZDLOGYDPCHAL-UHFFFAOYSA-N 0 0 441.448 -0.788 20 0 IBADRN CC(C)Oc1ncccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515947007 1071915791 /nfs/dbraw/zinc/91/57/91/1071915791.db2.gz VRCIYIYNGXBYJA-UHFFFAOYSA-N 0 0 433.465 -0.663 20 0 IBADRN CCOc1cc(C)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000515947044 1071915774 /nfs/dbraw/zinc/91/57/74/1071915774.db2.gz WCHMREZMFGMNIC-UHFFFAOYSA-N 0 0 432.477 -0.138 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1 ZINC000515947282 1071915828 /nfs/dbraw/zinc/91/58/28/1071915828.db2.gz HIEDYPIHFQSKTD-UHFFFAOYSA-N 0 0 438.506 -0.355 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(C)CC1 ZINC000515948177 1071915897 /nfs/dbraw/zinc/91/58/97/1071915897.db2.gz RNGZPRYLABKRPT-UHFFFAOYSA-N 0 0 439.538 -0.656 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000515948308 1071915863 /nfs/dbraw/zinc/91/58/63/1071915863.db2.gz KHMLIBWTWBPWNY-GOSISDBHSA-N 0 0 430.461 -0.670 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000515948309 1071915844 /nfs/dbraw/zinc/91/58/44/1071915844.db2.gz KHMLIBWTWBPWNY-SFHVURJKSA-N 0 0 430.461 -0.670 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1CCNC(=O)C1 ZINC000515948696 1071915860 /nfs/dbraw/zinc/91/58/60/1071915860.db2.gz DWUGWVXFDOEVDM-UHFFFAOYSA-N 0 0 437.522 -0.176 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000515949192 1071914809 /nfs/dbraw/zinc/91/48/09/1071914809.db2.gz DTGFMNHEBVVLBW-UHFFFAOYSA-N 0 0 428.486 -0.051 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000515949222 1071914864 /nfs/dbraw/zinc/91/48/64/1071914864.db2.gz GRGVXELYEMIEAP-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC1 ZINC000515949371 1071914741 /nfs/dbraw/zinc/91/47/41/1071914741.db2.gz JTJKHZNFLUJXAP-UHFFFAOYSA-N 0 0 445.520 -0.011 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000515949842 1071915868 /nfs/dbraw/zinc/91/58/68/1071915868.db2.gz WQAYZPHEWKRWAR-UHFFFAOYSA-N 0 0 436.487 -0.640 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)cc1 ZINC000515950411 1071914824 /nfs/dbraw/zinc/91/48/24/1071914824.db2.gz LQBIHHWNZWCKNQ-UHFFFAOYSA-N 0 0 440.503 -0.010 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)cn1 ZINC000515950630 1071914869 /nfs/dbraw/zinc/91/48/69/1071914869.db2.gz MPGHXUCCYOCZDE-UHFFFAOYSA-N 0 0 428.405 -0.980 20 0 IBADRN O=C(NCCOc1ccc2c(c1)OCO2)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000515951188 1071914832 /nfs/dbraw/zinc/91/48/32/1071914832.db2.gz MSXSTBQLTKGEJZ-UHFFFAOYSA-N 0 0 427.417 -0.120 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2CCNC(=O)C2)c1 ZINC000515951825 1071914757 /nfs/dbraw/zinc/91/47/57/1071914757.db2.gz MHIYXIHAGNPNAT-UHFFFAOYSA-N 0 0 425.511 -0.320 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000515952535 1071914859 /nfs/dbraw/zinc/91/48/59/1071914859.db2.gz MQQZBUQXMNNANS-UHFFFAOYSA-N 0 0 444.485 -0.184 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000515955087 1071914891 /nfs/dbraw/zinc/91/48/91/1071914891.db2.gz HQRVXJCNLIMBKA-UHFFFAOYSA-N 0 0 439.538 -0.456 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1 ZINC000515956482 1071915321 /nfs/dbraw/zinc/91/53/21/1071915321.db2.gz AHXXNSFLODZGJY-UHFFFAOYSA-N 0 0 447.492 -0.803 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000515957149 1071915247 /nfs/dbraw/zinc/91/52/47/1071915247.db2.gz KOYTUYZJFDTVGO-UHFFFAOYSA-N 0 0 426.481 -0.324 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3Cl)CC2)cn1 ZINC000515957382 1071915301 /nfs/dbraw/zinc/91/53/01/1071915301.db2.gz QLQYJLJFGCMQNL-UHFFFAOYSA-N 0 0 447.883 -0.257 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000515958296 1071917471 /nfs/dbraw/zinc/91/74/71/1071917471.db2.gz DUPDUQGJHFMFRS-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c2ccccc2O1 ZINC000515958440 1071914781 /nfs/dbraw/zinc/91/47/81/1071914781.db2.gz JZUYAMSIRYELEM-KRWDZBQOSA-N 0 0 431.493 -0.805 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c2ccccc2O1 ZINC000515958443 1071914881 /nfs/dbraw/zinc/91/48/81/1071914881.db2.gz JZUYAMSIRYELEM-QGZVFWFLSA-N 0 0 431.493 -0.805 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)cc2C1=O ZINC000515958885 1071915388 /nfs/dbraw/zinc/91/53/88/1071915388.db2.gz NLLIATJVWTWYGL-UHFFFAOYSA-N 0 0 427.461 -0.383 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000515958896 1071915267 /nfs/dbraw/zinc/91/52/67/1071915267.db2.gz NPGMWYCIYNAVSM-UHFFFAOYSA-N 0 0 440.497 -0.066 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000515959177 1071917518 /nfs/dbraw/zinc/91/75/18/1071917518.db2.gz SMCQNFUPSUSMQL-UHFFFAOYSA-N 0 0 441.492 -0.293 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)cn1 ZINC000515959522 1071917505 /nfs/dbraw/zinc/91/75/05/1071917505.db2.gz ZVZRUANZSRNGKL-UHFFFAOYSA-N 0 0 431.428 -0.771 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)no1 ZINC000515959562 1071917514 /nfs/dbraw/zinc/91/75/14/1071917514.db2.gz VRKQSARHNHHNOY-UHFFFAOYSA-N 0 0 428.449 -0.519 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)CC1 ZINC000515960674 1071917499 /nfs/dbraw/zinc/91/74/99/1071917499.db2.gz XSLVIGVYEYJSSM-UHFFFAOYSA-N 0 0 444.492 -0.308 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000515962624 1071916202 /nfs/dbraw/zinc/91/62/02/1071916202.db2.gz HVLNJCIHLZJFQV-UHFFFAOYSA-N 0 0 431.493 -0.485 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000515963097 1071916259 /nfs/dbraw/zinc/91/62/59/1071916259.db2.gz YFSIPUGCKIGJSB-UHFFFAOYSA-N 0 0 439.538 -0.456 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000515963111 1071916291 /nfs/dbraw/zinc/91/62/91/1071916291.db2.gz ZHAGGQMOFKFXMO-UHFFFAOYSA-N 0 0 432.477 -0.010 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)no1 ZINC000515966420 1071916275 /nfs/dbraw/zinc/91/62/75/1071916275.db2.gz BWGIHDSSAIVXAF-UHFFFAOYSA-N 0 0 427.465 -0.403 20 0 IBADRN CN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000515969170 1071916439 /nfs/dbraw/zinc/91/64/39/1071916439.db2.gz NMLRTXLJXUVHHC-UHFFFAOYSA-N 0 0 425.401 -0.042 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2NC1=O ZINC000515969723 1071916382 /nfs/dbraw/zinc/91/63/82/1071916382.db2.gz CTSQMUJIRNHNCS-LLVKDONJSA-N 0 0 429.433 -0.706 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2NC1=O ZINC000515969725 1071916428 /nfs/dbraw/zinc/91/64/28/1071916428.db2.gz CTSQMUJIRNHNCS-NSHDSACASA-N 0 0 429.433 -0.706 20 0 IBADRN CC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)ccc21 ZINC000515969902 1071916351 /nfs/dbraw/zinc/91/63/51/1071916351.db2.gz HBULSBXPHQUYKU-UHFFFAOYSA-N 0 0 441.488 -0.173 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1NC(C)=O ZINC000515970001 1071916883 /nfs/dbraw/zinc/91/68/83/1071916883.db2.gz MOBKCXIJJJCRKB-UHFFFAOYSA-N 0 0 445.476 -0.115 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000515970269 1071916929 /nfs/dbraw/zinc/91/69/29/1071916929.db2.gz YZDKAPLXOOZDIS-UHFFFAOYSA-N 0 0 449.895 -0.069 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cccc1-n1cnnn1 ZINC000515970373 1071917029 /nfs/dbraw/zinc/91/70/29/1071917029.db2.gz NDRCLGZGHBQFBJ-UHFFFAOYSA-N 0 0 440.464 -0.798 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2C1=O ZINC000515970501 1071916973 /nfs/dbraw/zinc/91/69/73/1071916973.db2.gz WORXLBDZYFOGSC-UHFFFAOYSA-N 0 0 441.444 -0.856 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)ccc1C ZINC000515970855 1071917020 /nfs/dbraw/zinc/91/70/20/1071917020.db2.gz GKFGIZQVEWYFJT-UHFFFAOYSA-N 0 0 428.449 -0.391 20 0 IBADRN Cc1nnc(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)o1 ZINC000515971041 1071917012 /nfs/dbraw/zinc/91/70/12/1071917012.db2.gz CCMVIGDJIMAXMC-UHFFFAOYSA-N 0 0 426.433 -0.067 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000515971198 1071917040 /nfs/dbraw/zinc/91/70/40/1071917040.db2.gz MFMSIVUPDDZDGI-UHFFFAOYSA-N 0 0 445.476 -0.959 20 0 IBADRN O=C(Nc1ccc(-n2cccn2)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515971592 1071916905 /nfs/dbraw/zinc/91/69/05/1071916905.db2.gz YKHTUQQKJIRPGJ-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN CC(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000515971618 1071916938 /nfs/dbraw/zinc/91/69/38/1071916938.db2.gz QXJSTGKAPASJJJ-UHFFFAOYSA-N 0 0 429.477 -0.287 20 0 IBADRN O=C(Nc1ccccc1Cn1cncn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515971809 1071917049 /nfs/dbraw/zinc/91/70/49/1071917049.db2.gz CMJDHRWEBNVJMI-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000515971843 1071917054 /nfs/dbraw/zinc/91/70/54/1071917054.db2.gz FIDSOGVEUFDXMV-UHFFFAOYSA-N 0 0 447.485 -0.094 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(F)cc1F ZINC000515971849 1071916851 /nfs/dbraw/zinc/91/68/51/1071916851.db2.gz FPNMWEBXWWQDQO-UHFFFAOYSA-N 0 0 438.387 -0.361 20 0 IBADRN O=C(Nc1cccc(N2CCOCC2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515972019 1071916945 /nfs/dbraw/zinc/91/69/45/1071916945.db2.gz QVZUGZOGLFHVEZ-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN O=C(Nc1ccc(Cn2cncn2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000515972048 1071916918 /nfs/dbraw/zinc/91/69/18/1071916918.db2.gz SQEUXFLRRPYDMG-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN O=C(Nc1ccc(NC(=O)C2CC2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000515972109 1071917521 /nfs/dbraw/zinc/91/75/21/1071917521.db2.gz WPUGOCPLOONAMT-UHFFFAOYSA-N 0 0 427.461 -0.077 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000515972923 1071916869 /nfs/dbraw/zinc/91/68/69/1071916869.db2.gz LVBUHMLVQWRKLW-UHFFFAOYSA-N 0 0 434.424 -0.156 20 0 IBADRN CC(C)n1ncc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cnc21 ZINC000515972971 1071917476 /nfs/dbraw/zinc/91/74/76/1071917476.db2.gz HOKDMDMQYIZARU-UHFFFAOYSA-N 0 0 427.465 -0.100 20 0 IBADRN O=C(Nc1ccc(N2CCNC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000515973006 1071917509 /nfs/dbraw/zinc/91/75/09/1071917509.db2.gz JSXUMDXZYMDBFE-UHFFFAOYSA-N 0 0 428.449 -0.896 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCOCC1 ZINC000515973053 1071917504 /nfs/dbraw/zinc/91/75/04/1071917504.db2.gz URSBWEPDANRYLF-UHFFFAOYSA-N 0 0 426.495 -0.571 20 0 IBADRN NC(=O)C1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000515973368 1071917494 /nfs/dbraw/zinc/91/74/94/1071917494.db2.gz UFYBKPOGICSMRI-KRWDZBQOSA-N 0 0 430.461 -0.637 20 0 IBADRN NC(=O)C1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000515973369 1071917502 /nfs/dbraw/zinc/91/75/02/1071917502.db2.gz UFYBKPOGICSMRI-QGZVFWFLSA-N 0 0 430.461 -0.637 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000515974436 1071918066 /nfs/dbraw/zinc/91/80/66/1071918066.db2.gz TZVPPXFIYMSZBR-UHFFFAOYSA-N 0 0 445.476 -0.828 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000515975204 1071917952 /nfs/dbraw/zinc/91/79/52/1071917952.db2.gz FJLFAFJBSJVKIY-UHFFFAOYSA-N 0 0 439.476 -0.147 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(CC)CCO ZINC000515975260 1071918061 /nfs/dbraw/zinc/91/80/61/1071918061.db2.gz JJMOGKZXZAODEV-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)C(C)=O ZINC000515975353 1071917996 /nfs/dbraw/zinc/91/79/96/1071917996.db2.gz ODWQOSJVIMZVST-UHFFFAOYSA-N 0 0 443.504 -0.057 20 0 IBADRN O=C(Nc1ccc(OCC(F)(F)F)nc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000515975619 1071918030 /nfs/dbraw/zinc/91/80/30/1071918030.db2.gz XKUVZSUAVJBQAM-UHFFFAOYSA-N 0 0 443.382 -0.089 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C)CC1 ZINC000515976325 1071917486 /nfs/dbraw/zinc/91/74/86/1071917486.db2.gz XNIXWTSFDYMYBL-UHFFFAOYSA-N 0 0 426.495 -0.571 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCc4c(cccc4NS(C)(=O)=O)C3)c2c(=O)n(C)c1=O ZINC000515977002 1071917962 /nfs/dbraw/zinc/91/79/62/1071917962.db2.gz XZFOMGZTZRVHCU-UHFFFAOYSA-N 0 0 446.489 -0.610 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)C1=O ZINC000515978199 1071918049 /nfs/dbraw/zinc/91/80/49/1071918049.db2.gz YPGZZOHKVJWOCK-CYBMUJFWSA-N 0 0 428.453 -0.550 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)C1=O ZINC000515978203 1071917926 /nfs/dbraw/zinc/91/79/26/1071917926.db2.gz YPGZZOHKVJWOCK-ZDUSSCGKSA-N 0 0 428.453 -0.550 20 0 IBADRN CCN(CCCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)S(C)(=O)=O ZINC000515990005 1071917940 /nfs/dbraw/zinc/91/79/40/1071917940.db2.gz AREIYIQTPQGDEK-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCN(C(=O)c3ccsc3)CC1)c(=O)n2C ZINC000515993519 1071918610 /nfs/dbraw/zinc/91/86/10/1071918610.db2.gz OCMHPLIEYVBRFT-UHFFFAOYSA-N 0 0 430.490 -0.130 20 0 IBADRN CCN(CCCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)S(C)(=O)=O ZINC000515993810 1071918626 /nfs/dbraw/zinc/91/86/26/1071918626.db2.gz WHNLDNVASUKBLP-UHFFFAOYSA-N 0 0 448.567 -0.546 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000515994464 1071918527 /nfs/dbraw/zinc/91/85/27/1071918527.db2.gz NSZOBVXLHZMTDE-UHFFFAOYSA-N 0 0 436.490 -0.825 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000515994785 1071918634 /nfs/dbraw/zinc/91/86/34/1071918634.db2.gz UWBJWOBABIJERI-UHFFFAOYSA-N 0 0 441.444 -0.828 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(=O)n(-c3ccc(F)cc3)n2)CC1 ZINC000515994847 1071918519 /nfs/dbraw/zinc/91/85/19/1071918519.db2.gz YCOREWLZLRXFAU-UHFFFAOYSA-N 0 0 441.419 -0.195 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2[nH]c(=O)c1=O ZINC000515995029 1071918495 /nfs/dbraw/zinc/91/84/95/1071918495.db2.gz XGIOIHKWRPUCMS-UHFFFAOYSA-N 0 0 441.444 -0.857 20 0 IBADRN O=C(CCn1cnc2sccc2c1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000515995591 1071918513 /nfs/dbraw/zinc/91/85/13/1071918513.db2.gz MIGIUJGTLORERK-UHFFFAOYSA-N 0 0 431.474 -0.332 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000515995746 1071918470 /nfs/dbraw/zinc/91/84/70/1071918470.db2.gz AOADAXSJERSSFS-UHFFFAOYSA-N 0 0 446.460 -0.747 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000515996035 1071918580 /nfs/dbraw/zinc/91/85/80/1071918580.db2.gz WUXGPGOGPSFELV-KRWDZBQOSA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000515996036 1071918593 /nfs/dbraw/zinc/91/85/93/1071918593.db2.gz WUXGPGOGPSFELV-QGZVFWFLSA-N 0 0 426.473 -0.145 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000515996153 1074356559 /nfs/dbraw/zinc/35/65/59/1074356559.db2.gz MIGDYTXJGCCXDB-UHFFFAOYSA-N 0 0 442.480 -0.924 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1cnn(C)c1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000515996179 1071919229 /nfs/dbraw/zinc/91/92/29/1071919229.db2.gz MTQAYIOKNPUPCH-GFCCVEGCSA-N 0 0 429.524 -0.174 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1cnn(C)c1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000515996183 1071919222 /nfs/dbraw/zinc/91/92/22/1071919222.db2.gz MTQAYIOKNPUPCH-LBPRGKRZSA-N 0 0 429.524 -0.174 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2c1=O ZINC000515996217 1071919199 /nfs/dbraw/zinc/91/91/99/1071919199.db2.gz OGAAUIFLOQXGRT-UHFFFAOYSA-N 0 0 441.444 -0.445 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@H]1COCCO1 ZINC000515997505 1071919236 /nfs/dbraw/zinc/91/92/36/1071919236.db2.gz JTUVBJFRDDKYHY-INIZCTEOSA-N 0 0 425.507 -0.169 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@@H]1COCCO1 ZINC000515997506 1071919069 /nfs/dbraw/zinc/91/90/69/1071919069.db2.gz JTUVBJFRDDKYHY-MRXNPFEDSA-N 0 0 425.507 -0.169 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000516009930 1071917909 /nfs/dbraw/zinc/91/79/09/1071917909.db2.gz MEWALTGWEYPZQX-AWEZNQCLSA-N 0 0 440.522 -0.120 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000516009931 1071918561 /nfs/dbraw/zinc/91/85/61/1071918561.db2.gz MEWALTGWEYPZQX-CQSZACIVSA-N 0 0 440.522 -0.120 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)C1 ZINC000516010105 1071918586 /nfs/dbraw/zinc/91/85/86/1071918586.db2.gz OTUPZBMODXKISK-CYBMUJFWSA-N 0 0 426.495 -0.333 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)C1 ZINC000516010106 1071918621 /nfs/dbraw/zinc/91/86/21/1071918621.db2.gz OTUPZBMODXKISK-ZDUSSCGKSA-N 0 0 426.495 -0.333 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccccc3OCCC(N)=O)CC2)CC1 ZINC000516010412 1071918566 /nfs/dbraw/zinc/91/85/66/1071918566.db2.gz HEUWOVSZOTZETE-UHFFFAOYSA-N 0 0 445.520 -0.108 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1OC ZINC000516010586 1071918640 /nfs/dbraw/zinc/91/86/40/1071918640.db2.gz QJSJOBKYBFQJEE-UHFFFAOYSA-N 0 0 441.444 -0.053 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccc(Cn2cncn2)cc1 ZINC000516010908 1071918479 /nfs/dbraw/zinc/91/84/79/1071918479.db2.gz KTKRMCKAQIEUDW-UHFFFAOYSA-N 0 0 435.492 -0.007 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cccc(N2CCNC2=O)c1 ZINC000516011835 1071919681 /nfs/dbraw/zinc/91/96/81/1071919681.db2.gz QWROAOGWJQSYQY-UHFFFAOYSA-N 0 0 438.492 -0.117 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000516012191 1071919811 /nfs/dbraw/zinc/91/98/11/1071919811.db2.gz IIJKMLHLHMPYNB-UHFFFAOYSA-N 0 0 429.481 -0.226 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccccc1Cn1cncn1 ZINC000516012267 1071919784 /nfs/dbraw/zinc/91/97/84/1071919784.db2.gz KLEDMICIFHMNEJ-UHFFFAOYSA-N 0 0 435.492 -0.007 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccc(N2CCNC2=O)cc1 ZINC000516014171 1071919766 /nfs/dbraw/zinc/91/97/66/1071919766.db2.gz POLPLFSTYNAZQA-UHFFFAOYSA-N 0 0 438.492 -0.117 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCC(C(=O)N4CCN(C)CC4)CC3)cc2C1=O ZINC000516014202 1071919795 /nfs/dbraw/zinc/91/97/95/1071919795.db2.gz MJZPHYWLAAMSKA-UHFFFAOYSA-N 0 0 441.488 -0.137 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)C1 ZINC000516014256 1071919737 /nfs/dbraw/zinc/91/97/37/1071919737.db2.gz MMTGYCYJUHNPAC-LLVKDONJSA-N 0 0 435.499 -0.045 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)C1 ZINC000516014257 1071919762 /nfs/dbraw/zinc/91/97/62/1071919762.db2.gz MMTGYCYJUHNPAC-NSHDSACASA-N 0 0 435.499 -0.045 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000516015548 1071919668 /nfs/dbraw/zinc/91/96/68/1071919668.db2.gz PQZHXSUMIBNBHQ-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN CC(C)COC[C@@H](O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000516015719 1071919746 /nfs/dbraw/zinc/91/97/46/1071919746.db2.gz HXVMTLBLPDTOPT-INIZCTEOSA-N 0 0 443.522 -0.204 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000516015722 1071919865 /nfs/dbraw/zinc/91/98/65/1071919865.db2.gz HXVMTLBLPDTOPT-MRXNPFEDSA-N 0 0 443.522 -0.204 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000516016320 1071919836 /nfs/dbraw/zinc/91/98/36/1071919836.db2.gz YFCQYBRGCOAQAU-UHFFFAOYSA-N 0 0 436.490 -0.232 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@H]1CCOC1 ZINC000516018508 1071920235 /nfs/dbraw/zinc/92/02/35/1071920235.db2.gz BNMXCUTVNLWAKG-HNNXBMFYSA-N 0 0 426.485 -0.345 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1CCOC1 ZINC000516018509 1071920396 /nfs/dbraw/zinc/92/03/96/1071920396.db2.gz BNMXCUTVNLWAKG-OAHLLOKOSA-N 0 0 426.485 -0.345 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000516018995 1071920264 /nfs/dbraw/zinc/92/02/64/1071920264.db2.gz DTVAHRCIZKXPGD-UHFFFAOYSA-N 0 0 427.479 -0.362 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(CCO)C1CC1 ZINC000516019499 1071920187 /nfs/dbraw/zinc/92/01/87/1071920187.db2.gz OKDVRNVQTRHIRY-UHFFFAOYSA-N 0 0 440.522 -0.447 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000516020130 1071920325 /nfs/dbraw/zinc/92/03/25/1071920325.db2.gz KXDBLWOVSQCXDP-UHFFFAOYSA-N 0 0 429.477 -0.446 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c2ccccc2O1 ZINC000516020819 1071920351 /nfs/dbraw/zinc/92/03/51/1071920351.db2.gz FIRQULQKBTVLHR-GOSISDBHSA-N 0 0 445.520 -0.413 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c2ccccc2O1 ZINC000516020820 1071920437 /nfs/dbraw/zinc/92/04/37/1071920437.db2.gz FIRQULQKBTVLHR-SFHVURJKSA-N 0 0 445.520 -0.413 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000516021289 1071920248 /nfs/dbraw/zinc/92/02/48/1071920248.db2.gz SBYMAUWQGSWURA-UHFFFAOYSA-N 0 0 437.522 -0.555 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CC1 ZINC000516025040 1071920301 /nfs/dbraw/zinc/92/03/01/1071920301.db2.gz BGGSSDDYUZWLAJ-UHFFFAOYSA-N 0 0 438.448 -0.485 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NC3CC3)c2)CC1 ZINC000516027231 1071918989 /nfs/dbraw/zinc/91/89/89/1071918989.db2.gz ATTFFBYHIRSOHL-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c1 ZINC000516027299 1071919093 /nfs/dbraw/zinc/91/90/93/1071919093.db2.gz CCYFFPXOUMJESZ-UHFFFAOYSA-N 0 0 447.463 -0.065 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC(CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000516027624 1071919036 /nfs/dbraw/zinc/91/90/36/1071919036.db2.gz GUVZYPWJKKYZLC-UHFFFAOYSA-N 0 0 441.506 -0.115 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3C)c2)CC1 ZINC000516028138 1071919019 /nfs/dbraw/zinc/91/90/19/1071919019.db2.gz OSZQYZUWNXMLLZ-AWEZNQCLSA-N 0 0 428.497 -0.032 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3C)c2)CC1 ZINC000516028142 1071919046 /nfs/dbraw/zinc/91/90/46/1071919046.db2.gz OSZQYZUWNXMLLZ-CQSZACIVSA-N 0 0 428.497 -0.032 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1C ZINC000516028332 1071919003 /nfs/dbraw/zinc/91/90/03/1071919003.db2.gz RWJKUTXEDGXWLZ-UHFFFAOYSA-N 0 0 431.493 -0.105 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1 ZINC000516028335 1071919215 /nfs/dbraw/zinc/91/92/15/1071919215.db2.gz RXXKSIPGDFVIDO-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN O=C(CN1CCCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1)N1CCCC1 ZINC000516028527 1071919121 /nfs/dbraw/zinc/91/91/21/1071919121.db2.gz URUMEXQQSNGSEM-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cn1 ZINC000516028851 1071918946 /nfs/dbraw/zinc/91/89/46/1071918946.db2.gz MHWYYIHFOMZJSG-UHFFFAOYSA-N 0 0 437.522 -0.420 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cc1-n1cnnn1 ZINC000516029084 1071919145 /nfs/dbraw/zinc/91/91/45/1071919145.db2.gz YANMTOWVBPZXDB-UHFFFAOYSA-N 0 0 447.463 -0.065 20 0 IBADRN COCCOc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000516029143 1071919754 /nfs/dbraw/zinc/91/97/54/1071919754.db2.gz ALLOWCMURDDRMB-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OC(F)(F)O3)CC1 ZINC000516029154 1071919828 /nfs/dbraw/zinc/91/98/28/1071919828.db2.gz BAXOTFZFKIABRD-UHFFFAOYSA-N 0 0 440.403 -0.050 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3=O)cc2)CC1 ZINC000516029192 1071919721 /nfs/dbraw/zinc/91/97/21/1071919721.db2.gz CYLBGIZWVWKDJK-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cn1 ZINC000516029383 1071919057 /nfs/dbraw/zinc/91/90/57/1071919057.db2.gz HWBHDFUEUSBRQS-KRWDZBQOSA-N 0 0 445.501 -0.206 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cn1 ZINC000516029384 1071919191 /nfs/dbraw/zinc/91/91/91/1071919191.db2.gz HWBHDFUEUSBRQS-QGZVFWFLSA-N 0 0 445.501 -0.206 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCOCC3)c2)CC1 ZINC000516029431 1071919184 /nfs/dbraw/zinc/91/91/84/1071919184.db2.gz JOWQMIBRYWWQHW-UHFFFAOYSA-N 0 0 445.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC(CO)CC1 ZINC000516029742 1071919247 /nfs/dbraw/zinc/91/92/47/1071919247.db2.gz PBSNWVDPEZSKIK-UHFFFAOYSA-N 0 0 441.506 -0.115 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000516029826 1071919728 /nfs/dbraw/zinc/91/97/28/1071919728.db2.gz ULLJYYAEFZNVFW-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C(C)C)cc2)CC1 ZINC000516029858 1071919834 /nfs/dbraw/zinc/91/98/34/1071919834.db2.gz RPFUHSWFIXKNGA-UHFFFAOYSA-N 0 0 440.522 -0.029 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000516030006 1071919816 /nfs/dbraw/zinc/91/98/16/1071919816.db2.gz ZQAOEWISJVPQFE-UHFFFAOYSA-N 0 0 431.493 -0.704 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)cs1 ZINC000516030850 1071920993 /nfs/dbraw/zinc/92/09/93/1071920993.db2.gz MEHCSWNQWGWPEK-UHFFFAOYSA-N 0 0 444.517 -0.050 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)nc1 ZINC000516031447 1071920901 /nfs/dbraw/zinc/92/09/01/1071920901.db2.gz CIDUNDFCMKIOLG-UHFFFAOYSA-N 0 0 429.437 -0.773 20 0 IBADRN CC(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000516031460 1071921009 /nfs/dbraw/zinc/92/10/09/1071921009.db2.gz CVMJRMDOAZZTSZ-UHFFFAOYSA-N 0 0 445.520 -0.234 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(-n3cnnn3)c2)CC1 ZINC000516031635 1071921064 /nfs/dbraw/zinc/92/10/64/1071921064.db2.gz QORQYSVLRFZEPZ-HNNXBMFYSA-N 0 0 428.497 -0.032 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(-n3cnnn3)c2)CC1 ZINC000516031637 1071920890 /nfs/dbraw/zinc/92/08/90/1071920890.db2.gz QORQYSVLRFZEPZ-OAHLLOKOSA-N 0 0 428.497 -0.032 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000516031894 1071921054 /nfs/dbraw/zinc/92/10/54/1071921054.db2.gz WGAIFJFLXDCQPM-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN O=C(CN1CCC[C@H]1C(=O)NCc1ccco1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000516032724 1071920876 /nfs/dbraw/zinc/92/08/76/1071920876.db2.gz BTIBLALXJKGGMI-AEFFLSMTSA-N 0 0 438.550 -0.309 20 0 IBADRN O=C(CN1CCC[C@@H]1C(=O)NCc1ccco1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000516032726 1071920932 /nfs/dbraw/zinc/92/09/32/1071920932.db2.gz BTIBLALXJKGGMI-FUHWJXTLSA-N 0 0 438.550 -0.309 20 0 IBADRN O=C(CN1CCC[C@@H]1C(=O)NCc1ccco1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000516032727 1071920955 /nfs/dbraw/zinc/92/09/55/1071920955.db2.gz BTIBLALXJKGGMI-SJLPKXTDSA-N 0 0 438.550 -0.309 20 0 IBADRN O=C(CN1CCC[C@H]1C(=O)NCc1ccco1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000516032729 1071921021 /nfs/dbraw/zinc/92/10/21/1071921021.db2.gz BTIBLALXJKGGMI-WMZOPIPTSA-N 0 0 438.550 -0.309 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000516033006 1071920911 /nfs/dbraw/zinc/92/09/11/1071920911.db2.gz PHANAAATCVOJHE-UHFFFAOYSA-N 0 0 445.520 -0.741 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(NC(=O)C3CC3)c2)CC1 ZINC000516033703 1071920980 /nfs/dbraw/zinc/92/09/80/1071920980.db2.gz FVEVJRPAYZOLAK-UHFFFAOYSA-N 0 0 443.504 -0.023 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CC1 ZINC000516034056 1071921498 /nfs/dbraw/zinc/92/14/98/1071921498.db2.gz MSUDAWNIPZZJSO-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC000516035640 1071921545 /nfs/dbraw/zinc/92/15/45/1071921545.db2.gz HVWQTBHMJZFQTQ-LLVKDONJSA-N 0 0 445.523 -0.020 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC000516035641 1071921528 /nfs/dbraw/zinc/92/15/28/1071921528.db2.gz HVWQTBHMJZFQTQ-NSHDSACASA-N 0 0 445.523 -0.020 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000516036285 1071921446 /nfs/dbraw/zinc/92/14/46/1071921446.db2.gz XXQDPGFXPRXVEQ-UHFFFAOYSA-N 0 0 426.477 -0.490 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c2ccccc2O1 ZINC000516036761 1071921418 /nfs/dbraw/zinc/92/14/18/1071921418.db2.gz BNVKODDBXSJDBY-INIZCTEOSA-N 0 0 443.460 -0.145 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c2ccccc2O1 ZINC000516036762 1071921338 /nfs/dbraw/zinc/92/13/38/1071921338.db2.gz BNVKODDBXSJDBY-MRXNPFEDSA-N 0 0 443.460 -0.145 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cccc1-n1cnnn1 ZINC000516036987 1071921324 /nfs/dbraw/zinc/92/13/24/1071921324.db2.gz IPOHKZQGTMUAMD-UHFFFAOYSA-N 0 0 425.453 -0.190 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000516037206 1071921554 /nfs/dbraw/zinc/92/15/54/1071921554.db2.gz AZILDOXKQCEFAT-UHFFFAOYSA-N 0 0 430.465 -0.220 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)cc2C1=O ZINC000516038192 1071921352 /nfs/dbraw/zinc/92/13/52/1071921352.db2.gz RURUBNSBURGFPD-UHFFFAOYSA-N 0 0 426.433 -0.248 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@@H]1CCCO1 ZINC000516038689 1071921477 /nfs/dbraw/zinc/92/14/77/1071921477.db2.gz YHTSZNXPAZAWFL-MOPGFXCFSA-N 0 0 432.521 -0.243 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@@H]1CCCO1 ZINC000516038690 1071921398 /nfs/dbraw/zinc/92/13/98/1071921398.db2.gz YHTSZNXPAZAWFL-OALUTQOASA-N 0 0 432.521 -0.243 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@H]1CCCO1 ZINC000516038691 1071921511 /nfs/dbraw/zinc/92/15/11/1071921511.db2.gz YHTSZNXPAZAWFL-RBUKOAKNSA-N 0 0 432.521 -0.243 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@H]1CCCO1 ZINC000516038692 1071921522 /nfs/dbraw/zinc/92/15/22/1071921522.db2.gz YHTSZNXPAZAWFL-RTBURBONSA-N 0 0 432.521 -0.243 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1-n1cnnn1 ZINC000516039191 1071921540 /nfs/dbraw/zinc/92/15/40/1071921540.db2.gz USEJASCHLGHNSR-UHFFFAOYSA-N 0 0 425.453 -0.190 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000516039274 1071921370 /nfs/dbraw/zinc/92/13/70/1071921370.db2.gz ATAHDHVHTPZCLJ-UHFFFAOYSA-N 0 0 444.492 -0.007 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000516039581 1071921488 /nfs/dbraw/zinc/92/14/88/1071921488.db2.gz LZKZOLGQGJXJMP-UHFFFAOYSA-N 0 0 430.465 -0.106 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NCCC(=O)NC)c1 ZINC000516039954 1071921461 /nfs/dbraw/zinc/92/14/61/1071921461.db2.gz ZZCWPJZTAHJGNV-UHFFFAOYSA-N 0 0 427.527 -0.026 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cc(C(=O)NC)ccc2OC)CC1 ZINC000516041007 1071921549 /nfs/dbraw/zinc/92/15/49/1071921549.db2.gz WLYLKGZXTBCQHS-UHFFFAOYSA-N 0 0 426.495 -0.076 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)CC1 ZINC000516041078 1071920276 /nfs/dbraw/zinc/92/02/76/1071920276.db2.gz YPQSTGOAAIZMIH-UHFFFAOYSA-N 0 0 446.551 -0.228 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(=O)NC ZINC000516041484 1071920179 /nfs/dbraw/zinc/92/01/79/1071920179.db2.gz XICSMGFNAPQULA-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1NC(C)=O ZINC000516042334 1071920290 /nfs/dbraw/zinc/92/02/90/1071920290.db2.gz HPSCCIPNGADXHX-UHFFFAOYSA-N 0 0 431.449 -0.459 20 0 IBADRN O=C(c1ccco1)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516043165 1071921042 /nfs/dbraw/zinc/92/10/42/1071921042.db2.gz IKFQKQVRXRMMQD-INIZCTEOSA-N 0 0 440.522 -0.005 20 0 IBADRN O=C(c1ccco1)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516043166 1071921074 /nfs/dbraw/zinc/92/10/74/1071921074.db2.gz IKFQKQVRXRMMQD-MRXNPFEDSA-N 0 0 440.522 -0.005 20 0 IBADRN C[C@@H](Sc1nnnn1C1CC1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516043235 1071920867 /nfs/dbraw/zinc/92/08/67/1071920867.db2.gz MQQMVUJNGLCELC-GFCCVEGCSA-N 0 0 431.544 -0.790 20 0 IBADRN C[C@H](Sc1nnnn1C1CC1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516043236 1071920967 /nfs/dbraw/zinc/92/09/67/1071920967.db2.gz MQQMVUJNGLCELC-LBPRGKRZSA-N 0 0 431.544 -0.790 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CC1 ZINC000516043258 1071920411 /nfs/dbraw/zinc/92/04/11/1071920411.db2.gz TUSNHANNZUDKFB-UHFFFAOYSA-N 0 0 426.495 -0.190 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CC1 ZINC000516043297 1071920424 /nfs/dbraw/zinc/92/04/24/1071920424.db2.gz WHLYYXHLNQRFFA-UHFFFAOYSA-N 0 0 426.495 -0.190 20 0 IBADRN COc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000516043384 1071920455 /nfs/dbraw/zinc/92/04/55/1071920455.db2.gz ZCBURVSMUOXMAZ-UHFFFAOYSA-N 0 0 436.446 -0.141 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000516043394 1071921035 /nfs/dbraw/zinc/92/10/35/1071921035.db2.gz PWXPOIPDLXJIJO-UHFFFAOYSA-N 0 0 449.489 -0.209 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(Cl)cc3)CC2)CC1 ZINC000516044248 1071920943 /nfs/dbraw/zinc/92/09/43/1071920943.db2.gz BWMKHTWJXBJIRH-UHFFFAOYSA-N 0 0 429.930 -0.085 20 0 IBADRN COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000516044302 1071920847 /nfs/dbraw/zinc/92/08/47/1071920847.db2.gz FWBYDCQEUXIXIL-UHFFFAOYSA-N 0 0 425.511 -0.730 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3c[nH]c4ccccc34)CC2)cn1 ZINC000516044309 1071921081 /nfs/dbraw/zinc/92/10/81/1071921081.db2.gz GBTQVRPLJNHFCA-UHFFFAOYSA-N 0 0 437.460 -0.298 20 0 IBADRN CSc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000516049684 1071922049 /nfs/dbraw/zinc/92/20/49/1071922049.db2.gz RAZHGPKVPCQMJW-UHFFFAOYSA-N 0 0 441.579 -0.017 20 0 IBADRN O=C(Nc1cccc(OC(F)F)c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516050506 1071922128 /nfs/dbraw/zinc/92/21/28/1071922128.db2.gz GECTZJKIEOHNJW-UHFFFAOYSA-N 0 0 448.448 -0.052 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1F ZINC000516050585 1071922150 /nfs/dbraw/zinc/92/21/50/1071922150.db2.gz BFRAPYXPVOZVCE-UHFFFAOYSA-N 0 0 444.485 -0.116 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cccc1-n1cnnn1 ZINC000516050749 1071922100 /nfs/dbraw/zinc/92/21/00/1071922100.db2.gz FXFIYXZWLJRYSQ-UHFFFAOYSA-N 0 0 428.453 -0.895 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000516050925 1071922024 /nfs/dbraw/zinc/92/20/24/1071922024.db2.gz LEXQBQGAJQPSDZ-UHFFFAOYSA-N 0 0 431.474 -0.087 20 0 IBADRN COc1ccc(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516050946 1071922162 /nfs/dbraw/zinc/92/21/62/1071922162.db2.gz NNQXRYWDPAWTNO-UHFFFAOYSA-N 0 0 426.495 -0.337 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1 ZINC000516050997 1071922109 /nfs/dbraw/zinc/92/21/09/1071922109.db2.gz OSRNHYJBNGHSIZ-UHFFFAOYSA-N 0 0 447.473 -0.583 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N(C)C)cc2)CC1 ZINC000516051234 1071922156 /nfs/dbraw/zinc/92/21/56/1071922156.db2.gz OLVIHYVTFPBRJF-UHFFFAOYSA-N 0 0 431.493 -0.549 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000516051235 1071922169 /nfs/dbraw/zinc/92/21/69/1071922169.db2.gz UYUYAPXAPVKTCN-UHFFFAOYSA-N 0 0 448.476 -0.238 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000516051386 1071922176 /nfs/dbraw/zinc/92/21/76/1071922176.db2.gz QVWZZHGASQRXLN-UHFFFAOYSA-N 0 0 439.494 -0.695 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1NC(C)=O ZINC000516051397 1071922077 /nfs/dbraw/zinc/92/20/77/1071922077.db2.gz VZQCMBXZTMECQY-UHFFFAOYSA-N 0 0 433.465 -0.213 20 0 IBADRN COCc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000516051452 1071922069 /nfs/dbraw/zinc/92/20/69/1071922069.db2.gz YINDDXKPGVBJFA-UHFFFAOYSA-N 0 0 426.495 -0.507 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000516051597 1071922143 /nfs/dbraw/zinc/92/21/43/1071922143.db2.gz RKHLDIMBOSBXAH-UHFFFAOYSA-N 0 0 447.492 -0.469 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000516052552 1071922092 /nfs/dbraw/zinc/92/20/92/1071922092.db2.gz BZVKNSCFCFBVMT-KBPBESRZSA-N 0 0 441.510 -0.473 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000516052553 1071922181 /nfs/dbraw/zinc/92/21/81/1071922181.db2.gz BZVKNSCFCFBVMT-OKILXGFUSA-N 0 0 441.510 -0.473 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000516052554 1071922117 /nfs/dbraw/zinc/92/21/17/1071922117.db2.gz BZVKNSCFCFBVMT-ZIAGYGMSSA-N 0 0 441.510 -0.473 20 0 IBADRN O=C(Nc1cccc2cccnc21)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516052591 1071922084 /nfs/dbraw/zinc/92/20/84/1071922084.db2.gz FDGHUMYWVMJAST-UHFFFAOYSA-N 0 0 433.490 -0.106 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC(CC(N)=O)CC1 ZINC000516052647 1071922490 /nfs/dbraw/zinc/92/24/90/1071922490.db2.gz HWAFDFDWUJUWRT-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NC3CC3)c2)CC1 ZINC000516052709 1071922671 /nfs/dbraw/zinc/92/26/71/1071922671.db2.gz FZPTUNALHLYUPL-UHFFFAOYSA-N 0 0 429.477 -0.287 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H]3CCN(CC(F)(F)F)C3)cnc2n(C)c1=O ZINC000516052723 1071922462 /nfs/dbraw/zinc/92/24/62/1071922462.db2.gz GSHCVCCVWSTKLC-JTQLQIEISA-N 0 0 442.398 -0.429 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H]3CCN(CC(F)(F)F)C3)cnc2n(C)c1=O ZINC000516052724 1071922512 /nfs/dbraw/zinc/92/25/12/1071922512.db2.gz GSHCVCCVWSTKLC-SNVBAGLBSA-N 0 0 442.398 -0.429 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)CC1 ZINC000516052730 1071922604 /nfs/dbraw/zinc/92/26/04/1071922604.db2.gz GYTQYKPLDBOSSO-UHFFFAOYSA-N 0 0 447.492 -0.713 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1Cl ZINC000516052937 1071922123 /nfs/dbraw/zinc/92/21/23/1071922123.db2.gz LTMCQLVCXIPMDY-UHFFFAOYSA-N 0 0 437.884 -0.167 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000516053014 1071922137 /nfs/dbraw/zinc/92/21/37/1071922137.db2.gz RCQJSTFXRAAYID-UHFFFAOYSA-N 0 0 445.520 -0.034 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1-n1cnnn1 ZINC000516053285 1071922628 /nfs/dbraw/zinc/92/26/28/1071922628.db2.gz CLTRZIXSRCOCBX-UHFFFAOYSA-N 0 0 428.453 -0.895 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)c(F)c3)CC2)CC1 ZINC000516053312 1071922635 /nfs/dbraw/zinc/92/26/35/1071922635.db2.gz DEJVSRQSMJAIQG-UHFFFAOYSA-N 0 0 431.465 -0.460 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC(C)C)cc2)CC1 ZINC000516053319 1071922480 /nfs/dbraw/zinc/92/24/80/1071922480.db2.gz DIYVEDJAZSXRHX-UHFFFAOYSA-N 0 0 431.493 -0.041 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1F ZINC000516053642 1071922645 /nfs/dbraw/zinc/92/26/45/1071922645.db2.gz JKCLSZOZGBHBGV-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000516053821 1071922677 /nfs/dbraw/zinc/92/26/77/1071922677.db2.gz MAYHYCKZPFSDEJ-UHFFFAOYSA-N 0 0 442.494 -0.637 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(F)ccc3F)CC2)CC1 ZINC000516053860 1071922580 /nfs/dbraw/zinc/92/25/80/1071922580.db2.gz ODNLHMDTZOJJMF-UHFFFAOYSA-N 0 0 431.465 -0.460 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc2o1 ZINC000516054142 1071922660 /nfs/dbraw/zinc/92/26/60/1071922660.db2.gz WRFNKRNAJMRBHZ-UHFFFAOYSA-N 0 0 437.478 -0.204 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4cccnc43)CC2)CC1 ZINC000516054739 1071922613 /nfs/dbraw/zinc/92/26/13/1071922613.db2.gz CBJLEXBOOSGYIO-UHFFFAOYSA-N 0 0 446.533 -0.190 20 0 IBADRN COCc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000516055252 1071922523 /nfs/dbraw/zinc/92/25/23/1071922523.db2.gz ROHHODJGVUJENC-UHFFFAOYSA-N 0 0 439.538 -0.592 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000516055317 1071922547 /nfs/dbraw/zinc/92/25/47/1071922547.db2.gz LZUCPJCTENZOTP-UHFFFAOYSA-N 0 0 431.493 -0.169 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000516055514 1071922589 /nfs/dbraw/zinc/92/25/89/1071922589.db2.gz VILFKOLCQRFZJS-UHFFFAOYSA-N 0 0 443.504 -0.057 20 0 IBADRN COc1cc(F)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516055678 1071922505 /nfs/dbraw/zinc/92/25/05/1071922505.db2.gz RVSNDNOHLVBXSM-UHFFFAOYSA-N 0 0 430.458 -0.506 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)Nc1cnn(CC(N)=O)c1 ZINC000516059597 1071922562 /nfs/dbraw/zinc/92/25/62/1071922562.db2.gz HWPFEIVFIPEMJE-UHFFFAOYSA-N 0 0 448.505 -0.073 20 0 IBADRN O=C(NCc1ccc(O)cc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000516060279 1071922470 /nfs/dbraw/zinc/92/24/70/1071922470.db2.gz TUQSWHBFMMRWKP-UHFFFAOYSA-N 0 0 432.458 -0.232 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H]3COCCO3)cc2)CC1 ZINC000516061620 1071923254 /nfs/dbraw/zinc/92/32/54/1071923254.db2.gz GCGNPPQELLJWSJ-HNNXBMFYSA-N 0 0 426.495 -0.907 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H]3COCCO3)cc2)CC1 ZINC000516061622 1071923225 /nfs/dbraw/zinc/92/32/25/1071923225.db2.gz GCGNPPQELLJWSJ-OAHLLOKOSA-N 0 0 426.495 -0.907 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H]1COCCO1 ZINC000516061816 1071923162 /nfs/dbraw/zinc/92/31/62/1071923162.db2.gz KCPQPAAOJSQPKO-CYBMUJFWSA-N 0 0 443.478 -0.814 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H]1COCCO1 ZINC000516061817 1071923175 /nfs/dbraw/zinc/92/31/75/1071923175.db2.gz KCPQPAAOJSQPKO-ZDUSSCGKSA-N 0 0 443.478 -0.814 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)COc3ccc(Cl)cc3)CC2)cn1 ZINC000516061885 1071923278 /nfs/dbraw/zinc/92/32/78/1071923278.db2.gz NNFORIBFSONTIU-UHFFFAOYSA-N 0 0 448.867 -0.290 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1)S(C)(=O)=O ZINC000516062438 1071923269 /nfs/dbraw/zinc/92/32/69/1071923269.db2.gz ZMNSSWIMRKAJGY-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN O=C(NC[C@@H]1COCCO1)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000516063825 1071923288 /nfs/dbraw/zinc/92/32/88/1071923288.db2.gz ASXYKOKULURMNU-CYBMUJFWSA-N 0 0 447.897 -0.169 20 0 IBADRN O=C(NC[C@H]1COCCO1)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000516063829 1071923196 /nfs/dbraw/zinc/92/31/96/1071923196.db2.gz ASXYKOKULURMNU-ZDUSSCGKSA-N 0 0 447.897 -0.169 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000516064597 1071923105 /nfs/dbraw/zinc/92/31/05/1071923105.db2.gz RZAHNUYACNTVAV-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(OCC(=O)N(C)C)cc1)S(C)(=O)=O ZINC000516064771 1071923153 /nfs/dbraw/zinc/92/31/53/1071923153.db2.gz XEFWCCYSCPNDOZ-UHFFFAOYSA-N 0 0 428.511 -0.120 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)S(C)(=O)=O ZINC000516067156 1071921382 /nfs/dbraw/zinc/92/13/82/1071921382.db2.gz LKERMYKJASRECG-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@@H]2CCCN(S(=O)(=O)CC)C2)c(=O)[nH]c1=O ZINC000516074479 1071923212 /nfs/dbraw/zinc/92/32/12/1071923212.db2.gz XHMNZZGRFGAGJG-CYBMUJFWSA-N 0 0 445.542 -0.018 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)[C@H]2CCCN(S(=O)(=O)CC)C2)c(=O)[nH]c1=O ZINC000516074480 1071923291 /nfs/dbraw/zinc/92/32/91/1071923291.db2.gz XHMNZZGRFGAGJG-ZDUSSCGKSA-N 0 0 445.542 -0.018 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000516081774 1071923834 /nfs/dbraw/zinc/92/38/34/1071923834.db2.gz YFPHYHUBZFZWJU-UHFFFAOYSA-N 0 0 444.485 -0.182 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCNC(=O)c2ccc(Br)cc2)cn1 ZINC000516083947 1071923680 /nfs/dbraw/zinc/92/36/80/1071923680.db2.gz FKFBUTLDYVFQOM-UHFFFAOYSA-N 0 0 437.254 -0.384 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000516085875 1071923895 /nfs/dbraw/zinc/92/38/95/1071923895.db2.gz SHWHRAVKPDUBOQ-UHFFFAOYSA-N 0 0 427.527 -0.026 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000516087373 1071923879 /nfs/dbraw/zinc/92/38/79/1071923879.db2.gz LUNIXKNGPWFRIR-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000516094706 1071924299 /nfs/dbraw/zinc/92/42/99/1071924299.db2.gz XFURZCQYRVAMCG-UHFFFAOYSA-N 0 0 431.540 -0.431 20 0 IBADRN CNC(=O)CCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000516095491 1071924216 /nfs/dbraw/zinc/92/42/16/1071924216.db2.gz OBVMEXSAEGPIEW-UHFFFAOYSA-N 0 0 426.495 -0.403 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cn(Cc3ccccc3)nn2)C1 ZINC000516098268 1071924387 /nfs/dbraw/zinc/92/43/87/1071924387.db2.gz NDIFROHGUPSULO-OAHLLOKOSA-N 0 0 435.510 -0.348 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cc1 ZINC000516098459 1071924310 /nfs/dbraw/zinc/92/43/10/1071924310.db2.gz MYVQWZGAUIPCMD-UHFFFAOYSA-N 0 0 436.476 -0.312 20 0 IBADRN Cc1ccnc2nc(C(=O)NCCS(=O)(=O)N3CCN(c4ccccn4)CC3)nn21 ZINC000516100134 1071923863 /nfs/dbraw/zinc/92/38/63/1071923863.db2.gz UOODWPFPBLTEQP-UHFFFAOYSA-N 0 0 430.494 -0.290 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)CC2 ZINC000516102115 1071923886 /nfs/dbraw/zinc/92/38/86/1071923886.db2.gz ROKZEKXBYYUOKY-INIZCTEOSA-N 0 0 427.513 -0.864 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)CC2 ZINC000516102116 1071923740 /nfs/dbraw/zinc/92/37/40/1071923740.db2.gz ROKZEKXBYYUOKY-MRXNPFEDSA-N 0 0 427.513 -0.864 20 0 IBADRN CC(C)n1ccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000516103926 1071923646 /nfs/dbraw/zinc/92/36/46/1071923646.db2.gz KENOJTIRSAFKNY-INIZCTEOSA-N 0 0 427.527 -0.267 20 0 IBADRN CC(C)n1ccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000516103927 1071923875 /nfs/dbraw/zinc/92/38/75/1071923875.db2.gz KENOJTIRSAFKNY-MRXNPFEDSA-N 0 0 427.527 -0.267 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nnc(-c4ccccc4)n3)CC2)o1 ZINC000516105242 1071923812 /nfs/dbraw/zinc/92/38/12/1071923812.db2.gz XDODBDXLOYVDPA-UHFFFAOYSA-N 0 0 445.461 -0.435 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccccc3-n3cnnn3)CC2)o1 ZINC000516105247 1071923827 /nfs/dbraw/zinc/92/38/27/1071923827.db2.gz BPCGRFIXPNCITJ-UHFFFAOYSA-N 0 0 431.434 -0.499 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000516105983 1071923662 /nfs/dbraw/zinc/92/36/62/1071923662.db2.gz YXKKTNLVLMHDSE-UHFFFAOYSA-N 0 0 445.563 -0.110 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@@H](CC)C(=O)N2CCOCC2)(N2CCOCC2)C1 ZINC000516107904 1071923902 /nfs/dbraw/zinc/92/39/02/1071923902.db2.gz MRSSZOQHALPJFM-BATSVXPKSA-N 0 0 440.541 -0.874 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@H](CC)C(=O)N2CCOCC2)(N2CCOCC2)C1 ZINC000516107905 1071923731 /nfs/dbraw/zinc/92/37/31/1071923731.db2.gz MRSSZOQHALPJFM-BQIYRTECSA-N 0 0 440.541 -0.874 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCOc1cccc(C(F)(F)F)n1 ZINC000516108045 1071923697 /nfs/dbraw/zinc/92/36/97/1071923697.db2.gz RLTRDVKGJJLOCR-CYBMUJFWSA-N 0 0 434.415 -0.253 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCOc1cccc(C(F)(F)F)n1 ZINC000516108046 1071923758 /nfs/dbraw/zinc/92/37/58/1071923758.db2.gz RLTRDVKGJJLOCR-ZDUSSCGKSA-N 0 0 434.415 -0.253 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000516108710 1071925087 /nfs/dbraw/zinc/92/50/87/1071925087.db2.gz MJLGYFKJSPMUOJ-UHFFFAOYSA-N 0 0 446.489 -0.416 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)CC1 ZINC000516108882 1071925051 /nfs/dbraw/zinc/92/50/51/1071925051.db2.gz QLILHSKVQMOAQK-AWEZNQCLSA-N 0 0 441.467 -0.242 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)CC1 ZINC000516108883 1071925126 /nfs/dbraw/zinc/92/51/26/1071925126.db2.gz QLILHSKVQMOAQK-CQSZACIVSA-N 0 0 441.467 -0.242 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CCC1 ZINC000516109313 1071925782 /nfs/dbraw/zinc/92/57/82/1071925782.db2.gz AQZNTUPGNZAFCS-ILHIWHGASA-N 0 0 433.538 -0.126 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CCC1 ZINC000516109314 1071925490 /nfs/dbraw/zinc/92/54/90/1071925490.db2.gz AQZNTUPGNZAFCS-KJEZJMDVSA-N 0 0 433.538 -0.126 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CCC1 ZINC000516109315 1071925787 /nfs/dbraw/zinc/92/57/87/1071925787.db2.gz AQZNTUPGNZAFCS-NGFNCXNUSA-N 0 0 433.538 -0.126 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CCC1 ZINC000516109316 1071925748 /nfs/dbraw/zinc/92/57/48/1071925748.db2.gz AQZNTUPGNZAFCS-WYIRRWHOSA-N 0 0 433.538 -0.126 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000516110059 1071925770 /nfs/dbraw/zinc/92/57/70/1071925770.db2.gz FFORGAQLPSOPRH-UHFFFAOYSA-N 0 0 438.487 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)s1 ZINC000516113033 1071925779 /nfs/dbraw/zinc/92/57/79/1071925779.db2.gz NFJATQGMJILRSR-GFCCVEGCSA-N 0 0 437.565 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)s1 ZINC000516113034 1071925539 /nfs/dbraw/zinc/92/55/39/1071925539.db2.gz NFJATQGMJILRSR-LBPRGKRZSA-N 0 0 437.565 -0.513 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)C1=O ZINC000516113799 1071924488 /nfs/dbraw/zinc/92/44/88/1071924488.db2.gz NGHLZDUOPGTPLD-GXSJLCMTSA-N 0 0 431.492 -0.695 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)C1=O ZINC000516113800 1071924188 /nfs/dbraw/zinc/92/41/88/1071924188.db2.gz NGHLZDUOPGTPLD-KOLCDFICSA-N 0 0 431.492 -0.695 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)C1=O ZINC000516113801 1071924978 /nfs/dbraw/zinc/92/49/78/1071924978.db2.gz NGHLZDUOPGTPLD-MWLCHTKSSA-N 0 0 431.492 -0.695 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)C1=O ZINC000516113802 1071924960 /nfs/dbraw/zinc/92/49/60/1071924960.db2.gz NGHLZDUOPGTPLD-ONGXEEELSA-N 0 0 431.492 -0.695 20 0 IBADRN CN(C[C@H]1COc2ccccc2O1)C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000516113874 1071924948 /nfs/dbraw/zinc/92/49/48/1071924948.db2.gz QMMMGWASBYBWRQ-AWEZNQCLSA-N 0 0 438.462 -0.491 20 0 IBADRN CN(C[C@@H]1COc2ccccc2O1)C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000516113875 1071924983 /nfs/dbraw/zinc/92/49/83/1071924983.db2.gz QMMMGWASBYBWRQ-CQSZACIVSA-N 0 0 438.462 -0.491 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1)N1CCCC1 ZINC000516114439 1071924894 /nfs/dbraw/zinc/92/48/94/1071924894.db2.gz LKXDOUYTZSCKEE-UHFFFAOYSA-N 0 0 440.460 -0.261 20 0 IBADRN COCC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000516116957 1071925100 /nfs/dbraw/zinc/92/51/00/1071925100.db2.gz GKUFMWSAJDMJBX-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000516118122 1071924942 /nfs/dbraw/zinc/92/49/42/1071924942.db2.gz UYQDZWZZUCTKOM-INIZCTEOSA-N 0 0 434.541 -0.091 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000516118123 1071924928 /nfs/dbraw/zinc/92/49/28/1071924928.db2.gz UYQDZWZZUCTKOM-MRXNPFEDSA-N 0 0 434.541 -0.091 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000516121715 1071924969 /nfs/dbraw/zinc/92/49/69/1071924969.db2.gz MCZVJUIBZXENEE-UHFFFAOYSA-N 0 0 442.494 -0.482 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1 ZINC000516122798 1071925114 /nfs/dbraw/zinc/92/51/14/1071925114.db2.gz AMLCLZQESLMEQQ-GFCCVEGCSA-N 0 0 425.554 -0.374 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1 ZINC000516122799 1071925022 /nfs/dbraw/zinc/92/50/22/1071925022.db2.gz AMLCLZQESLMEQQ-LBPRGKRZSA-N 0 0 425.554 -0.374 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000516125091 1071925072 /nfs/dbraw/zinc/92/50/72/1071925072.db2.gz ZWMRKUPZANQQOJ-UHFFFAOYSA-N 0 0 430.911 -0.052 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)c(OC)c1 ZINC000516141201 1071926269 /nfs/dbraw/zinc/92/62/69/1071926269.db2.gz AZGVTZFKJALMBG-UHFFFAOYSA-N 0 0 440.522 -0.096 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)[C@@H](C)C1 ZINC000516142575 1071926374 /nfs/dbraw/zinc/92/63/74/1071926374.db2.gz ZBTFIYQGHCSWHE-AWEZNQCLSA-N 0 0 445.476 -0.227 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)[C@H](C)C1 ZINC000516142576 1071926134 /nfs/dbraw/zinc/92/61/34/1071926134.db2.gz ZBTFIYQGHCSWHE-CQSZACIVSA-N 0 0 445.476 -0.227 20 0 IBADRN CCN(CCCNC(=O)NCCCN1CCN(c2ncccn2)CC1)S(C)(=O)=O ZINC000516149723 1071926098 /nfs/dbraw/zinc/92/60/98/1071926098.db2.gz WLRXSZUDTVFOGY-UHFFFAOYSA-N 0 0 427.575 -0.041 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCCS(N)(=O)=O)c1 ZINC000516151262 1071926334 /nfs/dbraw/zinc/92/63/34/1071926334.db2.gz CAAASDBAYQXZCU-UHFFFAOYSA-N 0 0 434.540 -0.758 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000516162715 1071926395 /nfs/dbraw/zinc/92/63/95/1071926395.db2.gz VVSWNQYVJWDDTD-UHFFFAOYSA-N 0 0 433.490 -0.408 20 0 IBADRN O=C(NCCN1C(=O)c2ccccc2C1=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000516163374 1071926862 /nfs/dbraw/zinc/92/68/62/1071926862.db2.gz UMQIQCDZSUPCPG-UHFFFAOYSA-N 0 0 427.461 -0.241 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000516163985 1071926365 /nfs/dbraw/zinc/92/63/65/1071926365.db2.gz LZLLEQOTXPDAKC-UHFFFAOYSA-N 0 0 429.477 -0.531 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000516164119 1071926214 /nfs/dbraw/zinc/92/62/14/1071926214.db2.gz GYKINTOMKYZTGG-HNNXBMFYSA-N 0 0 426.495 -0.641 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000516164120 1071926331 /nfs/dbraw/zinc/92/63/31/1071926331.db2.gz GYKINTOMKYZTGG-OAHLLOKOSA-N 0 0 426.495 -0.641 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)NC[C@@H]1CCCO1 ZINC000516164654 1071926915 /nfs/dbraw/zinc/92/69/15/1071926915.db2.gz TVHXQZWKFSBOMP-KRWDZBQOSA-N 0 0 425.507 -0.240 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)NC[C@H]1CCCO1 ZINC000516164655 1071926952 /nfs/dbraw/zinc/92/69/52/1071926952.db2.gz TVHXQZWKFSBOMP-QGZVFWFLSA-N 0 0 425.507 -0.240 20 0 IBADRN O=C(NCCOCC1CC1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000516168933 1071926770 /nfs/dbraw/zinc/92/67/70/1071926770.db2.gz PCRYJAQOHIKWJT-UHFFFAOYSA-N 0 0 439.538 -0.808 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)nn1 ZINC000516169204 1071927545 /nfs/dbraw/zinc/92/75/45/1071927545.db2.gz HEPITFJNYOVJDN-UHFFFAOYSA-N 0 0 443.399 -0.188 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCCNC(=O)CC2CCCC2)CC1 ZINC000516169493 1071927494 /nfs/dbraw/zinc/92/74/94/1071927494.db2.gz DEWTUAQNVATQHI-UHFFFAOYSA-N 0 0 430.509 -0.358 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000516169979 1071927509 /nfs/dbraw/zinc/92/75/09/1071927509.db2.gz ZWWJTVIFINBNQZ-UHFFFAOYSA-N 0 0 431.536 -0.946 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000516170944 1071927465 /nfs/dbraw/zinc/92/74/65/1071927465.db2.gz PWXWMDFDLGPTCL-UHFFFAOYSA-N 0 0 433.490 -0.244 20 0 IBADRN Cn1c(C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000516170958 1071927486 /nfs/dbraw/zinc/92/74/86/1071927486.db2.gz YXMFVZZSXTUBEQ-UHFFFAOYSA-N 0 0 438.488 -0.028 20 0 IBADRN Cn1c(C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000516171917 1071927607 /nfs/dbraw/zinc/92/76/07/1071927607.db2.gz CTXYZRKMVRKDPC-UHFFFAOYSA-N 0 0 444.536 -0.264 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)c1 ZINC000516173226 1071927571 /nfs/dbraw/zinc/92/75/71/1071927571.db2.gz KOIAPJKBSMBNER-UHFFFAOYSA-N 0 0 427.461 -0.027 20 0 IBADRN Cn1c(C(=O)N2CCN(C(=O)c3ccccc3O)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000516173715 1071927392 /nfs/dbraw/zinc/92/73/92/1071927392.db2.gz OBLFUMARSATFAW-UHFFFAOYSA-N 0 0 425.445 -0.121 20 0 IBADRN CNC(=O)COc1ccc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cc1OC ZINC000516174228 1071927415 /nfs/dbraw/zinc/92/74/15/1071927415.db2.gz SSSKUCMSNYHMAN-UHFFFAOYSA-N 0 0 428.445 -0.035 20 0 IBADRN Cn1c(C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000516176242 1071927552 /nfs/dbraw/zinc/92/75/52/1071927552.db2.gz XBUNZDMQDDFXNH-UHFFFAOYSA-N 0 0 425.449 -0.968 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCCS(N)(=O)=O ZINC000516187439 1071928157 /nfs/dbraw/zinc/92/81/57/1071928157.db2.gz CGWIPHQECRTPCX-INIZCTEOSA-N 0 0 449.551 -0.054 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCCS(N)(=O)=O ZINC000516187440 1071928101 /nfs/dbraw/zinc/92/81/01/1071928101.db2.gz CGWIPHQECRTPCX-MRXNPFEDSA-N 0 0 449.551 -0.054 20 0 IBADRN COc1ccc(C(=O)NCCCS(N)(=O)=O)cc1S(=O)(=O)NCc1ccccn1 ZINC000516187621 1071927957 /nfs/dbraw/zinc/92/79/57/1071927957.db2.gz CYXNGKANPWIYAE-UHFFFAOYSA-N 0 0 442.519 -0.023 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCCS(N)(=O)=O)CC2)cc1OC ZINC000516187727 1071928108 /nfs/dbraw/zinc/92/81/08/1071928108.db2.gz ZFBFBSFKXGIYRD-UHFFFAOYSA-N 0 0 449.551 -0.101 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)c1C ZINC000516197077 1071927580 /nfs/dbraw/zinc/92/75/80/1071927580.db2.gz VZTTYBDRMUCOCZ-UHFFFAOYSA-N 0 0 427.483 -0.670 20 0 IBADRN O=C(NCc1ccc2ncccc2c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000516198937 1071927561 /nfs/dbraw/zinc/92/75/61/1071927561.db2.gz GASFUIDMNXHKLT-UHFFFAOYSA-N 0 0 439.472 -0.619 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)nn1 ZINC000516199804 1071927437 /nfs/dbraw/zinc/92/74/37/1071927437.db2.gz UOHBLCYPXJNYQA-UHFFFAOYSA-N 0 0 445.484 -0.777 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](CO)O2)cc1 ZINC000516199817 1071927481 /nfs/dbraw/zinc/92/74/81/1071927481.db2.gz VEQOBVUAZMYVAL-CFVMTHIKSA-N 0 0 429.495 -0.406 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](CO)O2)cc1 ZINC000516199818 1071927424 /nfs/dbraw/zinc/92/74/24/1071927424.db2.gz VEQOBVUAZMYVAL-YUELXQCFSA-N 0 0 429.495 -0.406 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CCO1 ZINC000516201560 1071928113 /nfs/dbraw/zinc/92/81/13/1071928113.db2.gz OPZQHOORTLJPMT-UHFFFAOYSA-N 0 0 431.537 -0.322 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)CC1 ZINC000516204235 1071928875 /nfs/dbraw/zinc/92/88/75/1071928875.db2.gz PFYYXIOXTAASFS-HNNXBMFYSA-N 0 0 430.571 -0.672 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)CC1 ZINC000516204236 1071928840 /nfs/dbraw/zinc/92/88/40/1071928840.db2.gz PFYYXIOXTAASFS-OAHLLOKOSA-N 0 0 430.571 -0.672 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)Oc1ccc(Cl)cc1 ZINC000516205544 1071928669 /nfs/dbraw/zinc/92/86/69/1071928669.db2.gz IZDDYMXOCNNEJR-CYBMUJFWSA-N 0 0 435.872 -0.419 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)Oc1ccc(Cl)cc1 ZINC000516205545 1071928751 /nfs/dbraw/zinc/92/87/51/1071928751.db2.gz IZDDYMXOCNNEJR-ZDUSSCGKSA-N 0 0 435.872 -0.419 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCOC3(CCCC3)C2)CC1 ZINC000516208269 1071929401 /nfs/dbraw/zinc/92/94/01/1071929401.db2.gz LHOPBQVLCBUMCA-MSOLQXFVSA-N 0 0 436.553 -0.014 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCOC3(CCCC3)C2)CC1 ZINC000516208270 1071929425 /nfs/dbraw/zinc/92/94/25/1071929425.db2.gz LHOPBQVLCBUMCA-QZTJIDSGSA-N 0 0 436.553 -0.014 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCOC3(CCCC3)C2)CC1 ZINC000516208271 1071929407 /nfs/dbraw/zinc/92/94/07/1071929407.db2.gz LHOPBQVLCBUMCA-ROUUACIJSA-N 0 0 436.553 -0.014 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCOC3(CCCC3)C2)CC1 ZINC000516208272 1071929364 /nfs/dbraw/zinc/92/93/64/1071929364.db2.gz LHOPBQVLCBUMCA-ZWKOTPCHSA-N 0 0 436.553 -0.014 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)[C@H](C)C1 ZINC000516209061 1071928883 /nfs/dbraw/zinc/92/88/83/1071928883.db2.gz VKDSQSUTESVULP-CHWSQXEVSA-N 0 0 436.431 -0.248 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)[C@H](C)C1 ZINC000516209062 1071928647 /nfs/dbraw/zinc/92/86/47/1071928647.db2.gz VKDSQSUTESVULP-OLZOCXBDSA-N 0 0 436.431 -0.248 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)[C@@H](C)C1 ZINC000516209063 1071928676 /nfs/dbraw/zinc/92/86/76/1071928676.db2.gz VKDSQSUTESVULP-QWHCGFSZSA-N 0 0 436.431 -0.248 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)[C@@H](C)C1 ZINC000516209064 1071928801 /nfs/dbraw/zinc/92/88/01/1071928801.db2.gz VKDSQSUTESVULP-STQMWFEESA-N 0 0 436.431 -0.248 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)Nc2cn(C)c(=O)n(C)c2=O)CC1 ZINC000516215156 1071929410 /nfs/dbraw/zinc/92/94/10/1071929410.db2.gz IIHFGVUYZDWSOB-UHFFFAOYSA-N 0 0 425.467 -0.272 20 0 IBADRN O=S(=O)(CCOCC(F)(F)F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516216200 1071929266 /nfs/dbraw/zinc/92/92/66/1071929266.db2.gz SVOSLFMGMLAQSU-UHFFFAOYSA-N 0 0 425.451 -0.910 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)NC[C@H]1CS(=O)(=O)CCO1 ZINC000516220465 1071928136 /nfs/dbraw/zinc/92/81/36/1071928136.db2.gz UZIBRVWUXLPQAJ-JTQLQIEISA-N 0 0 430.426 -0.087 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000516220466 1071928182 /nfs/dbraw/zinc/92/81/82/1071928182.db2.gz UZIBRVWUXLPQAJ-SNVBAGLBSA-N 0 0 430.426 -0.087 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC4(CC3)NC(=O)NC4=O)CC2)c1 ZINC000516222788 1071928712 /nfs/dbraw/zinc/92/87/12/1071928712.db2.gz PBYQWFOCNWSZOS-UHFFFAOYSA-N 0 0 449.533 -0.353 20 0 IBADRN CCN(Cc1ccccc1)C(=O)CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000516247490 1071930405 /nfs/dbraw/zinc/93/04/05/1071930405.db2.gz GRNYEXATIUUOGK-UHFFFAOYSA-N 0 0 445.520 -0.252 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)c(C)o1 ZINC000516258655 1071930501 /nfs/dbraw/zinc/93/05/01/1071930501.db2.gz BHSVKPOTTJVRBN-UHFFFAOYSA-N 0 0 428.449 -0.372 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)C(=O)NCc1ccccc1 ZINC000516264231 1071930638 /nfs/dbraw/zinc/93/06/38/1071930638.db2.gz JDTMLSRPBIYTIS-CYBMUJFWSA-N 0 0 425.445 -0.339 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)C(=O)NCc1ccccc1 ZINC000516264233 1071930520 /nfs/dbraw/zinc/93/05/20/1071930520.db2.gz JDTMLSRPBIYTIS-ZDUSSCGKSA-N 0 0 425.445 -0.339 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(CS(C)(=O)=O)cc3)CC2)n1 ZINC000516266183 1071930602 /nfs/dbraw/zinc/93/06/02/1071930602.db2.gz SKTWPIZCLVMZBO-UHFFFAOYSA-N 0 0 447.517 -0.005 20 0 IBADRN Cn1cc(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)cn1 ZINC000516266999 1071930515 /nfs/dbraw/zinc/93/05/15/1071930515.db2.gz DOWDTMHUEPZDGG-UHFFFAOYSA-N 0 0 425.442 -0.165 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)CCO1 ZINC000516274313 1071931035 /nfs/dbraw/zinc/93/10/35/1071931035.db2.gz PFNGMACTHWVYIW-KRWDZBQOSA-N 0 0 442.524 -0.052 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)CCO1 ZINC000516274314 1071931233 /nfs/dbraw/zinc/93/12/33/1071931233.db2.gz PFNGMACTHWVYIW-QGZVFWFLSA-N 0 0 442.524 -0.052 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000516275647 1071931165 /nfs/dbraw/zinc/93/11/65/1071931165.db2.gz YBNJPETWAQZESB-AWEZNQCLSA-N 0 0 449.555 -0.269 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000516275651 1071931020 /nfs/dbraw/zinc/93/10/20/1071931020.db2.gz YBNJPETWAQZESB-CQSZACIVSA-N 0 0 449.555 -0.269 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000516275774 1071931222 /nfs/dbraw/zinc/93/12/22/1071931222.db2.gz ZUYGQKIEWABPCN-INIZCTEOSA-N 0 0 437.522 -0.518 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000516275776 1071931267 /nfs/dbraw/zinc/93/12/67/1071931267.db2.gz ZUYGQKIEWABPCN-MRXNPFEDSA-N 0 0 437.522 -0.518 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000516275939 1071931106 /nfs/dbraw/zinc/93/11/06/1071931106.db2.gz QOIYERZPXMKUCN-UHFFFAOYSA-N 0 0 443.507 -0.153 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)CC2)no1 ZINC000516276442 1073330637 /nfs/dbraw/zinc/33/06/37/1073330637.db2.gz WYMWBWCUZIUBPJ-AWEZNQCLSA-N 0 0 428.515 -0.327 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)CC2)no1 ZINC000516276443 1073330523 /nfs/dbraw/zinc/33/05/23/1073330523.db2.gz WYMWBWCUZIUBPJ-CQSZACIVSA-N 0 0 428.515 -0.327 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2ccc(F)cc2)c1 ZINC000516282879 1071930416 /nfs/dbraw/zinc/93/04/16/1071930416.db2.gz OVJLAAHHYPRMFT-HNNXBMFYSA-N 0 0 432.408 -0.465 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(F)cc2)c1 ZINC000516282880 1071930429 /nfs/dbraw/zinc/93/04/29/1071930429.db2.gz OVJLAAHHYPRMFT-OAHLLOKOSA-N 0 0 432.408 -0.465 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000516284248 1071931954 /nfs/dbraw/zinc/93/19/54/1071931954.db2.gz JZUBABDVMBJABO-UHFFFAOYSA-N 0 0 444.485 -0.230 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCC(=O)N(C)C)cc1 ZINC000516287069 1071931910 /nfs/dbraw/zinc/93/19/10/1071931910.db2.gz HVFHVZNWMGKTSW-CYBMUJFWSA-N 0 0 428.511 -0.077 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCC(=O)N(C)C)cc1 ZINC000516287072 1071931791 /nfs/dbraw/zinc/93/17/91/1071931791.db2.gz HVFHVZNWMGKTSW-ZDUSSCGKSA-N 0 0 428.511 -0.077 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1F ZINC000516293454 1071931024 /nfs/dbraw/zinc/93/10/24/1071931024.db2.gz GFOYUEPGIPEJDS-UHFFFAOYSA-N 0 0 436.440 -0.261 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCN(c2cnccn2)CC1 ZINC000516296827 1071931118 /nfs/dbraw/zinc/93/11/18/1071931118.db2.gz QXGXBDFCGPJQKL-UHFFFAOYSA-N 0 0 444.517 -0.001 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1Cl ZINC000516296896 1071931174 /nfs/dbraw/zinc/93/11/74/1071931174.db2.gz SNASCMMASMIHRK-UHFFFAOYSA-N 0 0 449.895 -0.070 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(=O)NC(C)(C)C)c2)CC1 ZINC000516299715 1071931276 /nfs/dbraw/zinc/93/12/76/1071931276.db2.gz DYVLLGFRDRNFOR-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN Cc1cccc(OC[C@@H](O)CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000516301559 1071931260 /nfs/dbraw/zinc/93/12/60/1071931260.db2.gz YDADTBHLEDANFN-INIZCTEOSA-N 0 0 428.445 -0.295 20 0 IBADRN Cc1cccc(OC[C@H](O)CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000516301562 1071931138 /nfs/dbraw/zinc/93/11/38/1071931138.db2.gz YDADTBHLEDANFN-MRXNPFEDSA-N 0 0 428.445 -0.295 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000516306267 1071931704 /nfs/dbraw/zinc/93/17/04/1071931704.db2.gz KKMHROJXJKDDLQ-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)cc2)CC1 ZINC000516306299 1071931648 /nfs/dbraw/zinc/93/16/48/1071931648.db2.gz KXKFJFCZSPQPSE-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)CC1 ZINC000516307886 1071931824 /nfs/dbraw/zinc/93/18/24/1071931824.db2.gz PVHAIEUIEMTFTR-UHFFFAOYSA-N 0 0 437.522 -0.884 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C)o1 ZINC000516308189 1071931902 /nfs/dbraw/zinc/93/19/02/1071931902.db2.gz PLEIQYGRKRTGGM-AWEZNQCLSA-N 0 0 426.495 -0.333 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C)o1 ZINC000516308190 1071931695 /nfs/dbraw/zinc/93/16/95/1071931695.db2.gz PLEIQYGRKRTGGM-CQSZACIVSA-N 0 0 426.495 -0.333 20 0 IBADRN CN(C)C(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000516309006 1071932642 /nfs/dbraw/zinc/93/26/42/1071932642.db2.gz ZTPHIKUGIHCSMA-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000516309303 1071932311 /nfs/dbraw/zinc/93/23/11/1071932311.db2.gz QWAVDKPNVPMCRS-UHFFFAOYSA-N 0 0 439.538 -0.456 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000516309403 1071932636 /nfs/dbraw/zinc/93/26/36/1071932636.db2.gz XJOSREPYDQOTRI-UHFFFAOYSA-N 0 0 442.538 -0.317 20 0 IBADRN Cn1c(C(=O)NCC(=O)N[C@H]2CCOc3ccccc32)cc2c1n(C)c(=O)n(C)c2=O ZINC000516316798 1071932572 /nfs/dbraw/zinc/93/25/72/1071932572.db2.gz GGSPHFCVDUXFAS-AWEZNQCLSA-N 0 0 425.445 -0.055 20 0 IBADRN Cn1c(C(=O)NCC(=O)N[C@@H]2CCOc3ccccc32)cc2c1n(C)c(=O)n(C)c2=O ZINC000516316799 1071932508 /nfs/dbraw/zinc/93/25/08/1071932508.db2.gz GGSPHFCVDUXFAS-CQSZACIVSA-N 0 0 425.445 -0.055 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1)N1CCCC1 ZINC000516317518 1071932343 /nfs/dbraw/zinc/93/23/43/1071932343.db2.gz QESMWVFODRZDPC-UHFFFAOYSA-N 0 0 427.461 -0.249 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000516323175 1071931969 /nfs/dbraw/zinc/93/19/69/1071931969.db2.gz HBWOFSPCFJYUQN-CYBMUJFWSA-N 0 0 446.551 -0.676 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000516323176 1071931602 /nfs/dbraw/zinc/93/16/02/1071931602.db2.gz HBWOFSPCFJYUQN-ZDUSSCGKSA-N 0 0 446.551 -0.676 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000516324156 1071933795 /nfs/dbraw/zinc/93/37/95/1071933795.db2.gz YVGGMSVEWOEPFY-HNNXBMFYSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000516324157 1071933635 /nfs/dbraw/zinc/93/36/35/1071933635.db2.gz YVGGMSVEWOEPFY-OAHLLOKOSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CSCC(=O)N3CCOCC3)CC2)no1 ZINC000516327608 1071932550 /nfs/dbraw/zinc/93/25/50/1071932550.db2.gz RRVONUWIFGBHGI-UHFFFAOYSA-N 0 0 425.511 -0.342 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000516328817 1071933118 /nfs/dbraw/zinc/93/31/18/1071933118.db2.gz IWVOCSLHHWLVIH-UHFFFAOYSA-N 0 0 440.522 -0.686 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H]2C(C)(C)O)c1 ZINC000516328856 1071932565 /nfs/dbraw/zinc/93/25/65/1071932565.db2.gz IOYLCFZPWVPAGH-INIZCTEOSA-N 0 0 427.523 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H]2C(C)(C)O)c1 ZINC000516328857 1071933128 /nfs/dbraw/zinc/93/31/28/1071933128.db2.gz IOYLCFZPWVPAGH-MRXNPFEDSA-N 0 0 427.523 -0.011 20 0 IBADRN Cn1c2[nH]c(CCC(=O)Nc3cccc([C@]4(C)NC(=O)NC4=O)c3)nc2c(=O)[nH]c1=O ZINC000516330167 1071933173 /nfs/dbraw/zinc/93/31/73/1071933173.db2.gz GQXHRJZLPSKAFI-IBGZPJMESA-N 0 0 425.405 -0.012 20 0 IBADRN Cn1c2[nH]c(CCC(=O)Nc3cccc([C@@]4(C)NC(=O)NC4=O)c3)nc2c(=O)[nH]c1=O ZINC000516330172 1071933143 /nfs/dbraw/zinc/93/31/43/1071933143.db2.gz GQXHRJZLPSKAFI-LJQANCHMSA-N 0 0 425.405 -0.012 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)c3cn(CC(=O)N(C)C)nn3)CC2)cc1 ZINC000516330662 1071932997 /nfs/dbraw/zinc/93/29/97/1071932997.db2.gz ZANIDNZZPQFDKP-UHFFFAOYSA-N 0 0 436.494 -0.478 20 0 IBADRN Cc1cc(C(=O)NCC(=O)NCCN2CCOCC2)cc(S(=O)(=O)N(C)C)c1C ZINC000516333411 1071933027 /nfs/dbraw/zinc/93/30/27/1071933027.db2.gz DBPSYPAMJYMQPU-UHFFFAOYSA-N 0 0 426.539 -0.268 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(Cc4cnn(C)c4)CC3)C2=O)n1 ZINC000516334948 1071933181 /nfs/dbraw/zinc/93/31/81/1071933181.db2.gz VIPFHJWWCDTXIQ-INIZCTEOSA-N 0 0 428.497 -0.890 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(Cc4cnn(C)c4)CC3)C2=O)n1 ZINC000516334949 1071932977 /nfs/dbraw/zinc/93/29/77/1071932977.db2.gz VIPFHJWWCDTXIQ-MRXNPFEDSA-N 0 0 428.497 -0.890 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCCN(c2nc(C)ns2)CC1 ZINC000516335226 1071933167 /nfs/dbraw/zinc/93/31/67/1071933167.db2.gz FJPODHUATVIOSQ-CABCVRRESA-N 0 0 427.527 -0.178 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCCN(c2nc(C)ns2)CC1 ZINC000516335227 1071932945 /nfs/dbraw/zinc/93/29/45/1071932945.db2.gz FJPODHUATVIOSQ-GJZGRUSLSA-N 0 0 427.527 -0.178 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCCN(c2nc(C)ns2)CC1 ZINC000516335228 1071933191 /nfs/dbraw/zinc/93/31/91/1071933191.db2.gz FJPODHUATVIOSQ-HUUCEWRRSA-N 0 0 427.527 -0.178 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCCN(c2nc(C)ns2)CC1 ZINC000516335229 1071932962 /nfs/dbraw/zinc/93/29/62/1071932962.db2.gz FJPODHUATVIOSQ-LSDHHAIUSA-N 0 0 427.527 -0.178 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)nn1 ZINC000516335399 1071933101 /nfs/dbraw/zinc/93/31/01/1071933101.db2.gz CKZMTJRXRRPQOC-UHFFFAOYSA-N 0 0 435.510 -0.445 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)cn1 ZINC000516341166 1071933062 /nfs/dbraw/zinc/93/30/62/1071933062.db2.gz MWKYCIKQAMVRJZ-UHFFFAOYSA-N 0 0 448.568 -0.015 20 0 IBADRN COCCOCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000516345345 1071933749 /nfs/dbraw/zinc/93/37/49/1071933749.db2.gz NHFKFRHRZHEZSM-UHFFFAOYSA-N 0 0 433.458 -0.436 20 0 IBADRN COCCOCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000516346032 1071933544 /nfs/dbraw/zinc/93/35/44/1071933544.db2.gz HASCRHNOPJKRBB-UHFFFAOYSA-N 0 0 445.494 -0.566 20 0 IBADRN COCCOCCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000516346220 1071933806 /nfs/dbraw/zinc/93/38/06/1071933806.db2.gz UUVDGPQTRZEODY-UHFFFAOYSA-N 0 0 445.494 -0.566 20 0 IBADRN COCCOCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000516348837 1071933712 /nfs/dbraw/zinc/93/37/12/1071933712.db2.gz TZQJQJSTLZIQQM-UHFFFAOYSA-N 0 0 428.511 -0.660 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC000516349211 1071933736 /nfs/dbraw/zinc/93/37/36/1071933736.db2.gz HOQGJITXZMPAQQ-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC000516349215 1071933520 /nfs/dbraw/zinc/93/35/20/1071933520.db2.gz HOQGJITXZMPAQQ-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000516349528 1071933700 /nfs/dbraw/zinc/93/37/00/1071933700.db2.gz OXLJPYZYZRGZIX-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000516349529 1071933579 /nfs/dbraw/zinc/93/35/79/1071933579.db2.gz OXLJPYZYZRGZIX-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N(CCOC)Cc2ccnn2C)C1=O ZINC000516350446 1071934241 /nfs/dbraw/zinc/93/42/41/1071934241.db2.gz LFMMQELFXWQVBF-INIZCTEOSA-N 0 0 431.497 -0.077 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N(CCOC)Cc2ccnn2C)C1=O ZINC000516350447 1071934319 /nfs/dbraw/zinc/93/43/19/1071934319.db2.gz LFMMQELFXWQVBF-MRXNPFEDSA-N 0 0 431.497 -0.077 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000516350555 1071934164 /nfs/dbraw/zinc/93/41/64/1071934164.db2.gz JFVCSAUOVRPIRX-UHFFFAOYSA-N 0 0 431.474 -0.454 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000516355190 1071934271 /nfs/dbraw/zinc/93/42/71/1071934271.db2.gz MXWPRTZDWFHBLO-JTQLQIEISA-N 0 0 434.421 -0.353 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000516355191 1071934141 /nfs/dbraw/zinc/93/41/41/1071934141.db2.gz MXWPRTZDWFHBLO-SNVBAGLBSA-N 0 0 434.421 -0.353 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)c2)CCO1 ZINC000516358483 1071934199 /nfs/dbraw/zinc/93/41/99/1071934199.db2.gz YUSXAKZWAOYGJJ-HNNXBMFYSA-N 0 0 440.504 -0.029 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)c2)CCO1 ZINC000516358484 1071934182 /nfs/dbraw/zinc/93/41/82/1071934182.db2.gz YUSXAKZWAOYGJJ-OAHLLOKOSA-N 0 0 440.504 -0.029 20 0 IBADRN O=C1NCCc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)cc21 ZINC000516358550 1071934113 /nfs/dbraw/zinc/93/41/13/1071934113.db2.gz OJGWNSURAKVLDY-UHFFFAOYSA-N 0 0 425.492 -0.609 20 0 IBADRN Cn1c(C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cc2c1n(C)c(=O)n(C)c2=O ZINC000516359718 1071934173 /nfs/dbraw/zinc/93/41/73/1071934173.db2.gz PWECKWHAFLUYNL-LLVKDONJSA-N 0 0 430.417 -0.526 20 0 IBADRN Cn1c(C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cc2c1n(C)c(=O)n(C)c2=O ZINC000516359719 1071934125 /nfs/dbraw/zinc/93/41/25/1071934125.db2.gz PWECKWHAFLUYNL-NSHDSACASA-N 0 0 430.417 -0.526 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000516361076 1071933533 /nfs/dbraw/zinc/93/35/33/1071933533.db2.gz DMXPTTXMUDELLH-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000516361077 1071933761 /nfs/dbraw/zinc/93/37/61/1071933761.db2.gz DMXPTTXMUDELLH-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN Cn1cc(S(=O)(=O)NCC2(c3ccc4c(c3)OCO4)CCOCC2)c(=O)n(C)c1=O ZINC000516361411 1071934776 /nfs/dbraw/zinc/93/47/76/1071934776.db2.gz DKDWKOMKLWXDGV-UHFFFAOYSA-N 0 0 437.474 -0.161 20 0 IBADRN Cc1c(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cccc1C(=O)N1CCOCC1 ZINC000516368200 1071934851 /nfs/dbraw/zinc/93/48/51/1071934851.db2.gz PFXSJOUSWMQNIC-AWEZNQCLSA-N 0 0 438.506 -0.108 20 0 IBADRN Cc1c(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cccc1C(=O)N1CCOCC1 ZINC000516368201 1071934807 /nfs/dbraw/zinc/93/48/07/1071934807.db2.gz PFXSJOUSWMQNIC-CQSZACIVSA-N 0 0 438.506 -0.108 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000516382858 1071934886 /nfs/dbraw/zinc/93/48/86/1071934886.db2.gz MBUPAJBWYHLBJZ-AWEZNQCLSA-N 0 0 430.552 -0.582 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000516382859 1071934975 /nfs/dbraw/zinc/93/49/75/1071934975.db2.gz MBUPAJBWYHLBJZ-CQSZACIVSA-N 0 0 430.552 -0.582 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(=O)n(-c3ccc(F)cc3)n2)CC1)N1CCOCC1 ZINC000516382874 1071934964 /nfs/dbraw/zinc/93/49/64/1071934964.db2.gz MRTBNWASXJUVHG-UHFFFAOYSA-N 0 0 429.452 -0.012 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNC(=O)c2cnc3ccccc3c2O)CC1 ZINC000516386141 1071934984 /nfs/dbraw/zinc/93/49/84/1071934984.db2.gz DMEVKPJBAHPUPG-UHFFFAOYSA-N 0 0 429.477 -0.835 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CSCc2cc(=O)n3ccsc3n2)CC1 ZINC000516386189 1071935001 /nfs/dbraw/zinc/93/50/01/1071935001.db2.gz LBVNIRNGTCFSEF-UHFFFAOYSA-N 0 0 439.563 -0.104 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCCC3)c(C)o2)CC1 ZINC000516386715 1071935495 /nfs/dbraw/zinc/93/54/95/1071935495.db2.gz IVUICOHPBGENFC-UHFFFAOYSA-N 0 0 442.538 -0.107 20 0 IBADRN Cn1c(C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000516386891 1071935451 /nfs/dbraw/zinc/93/54/51/1071935451.db2.gz SEVXSZZOGRNKHI-UHFFFAOYSA-N 0 0 430.509 -0.798 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC000516387563 1071935389 /nfs/dbraw/zinc/93/53/89/1071935389.db2.gz SUBHBUZTXYUODM-UHFFFAOYSA-N 0 0 440.570 -0.292 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000516387993 1071935632 /nfs/dbraw/zinc/93/56/32/1071935632.db2.gz NUFZNUBOGHTLCL-UHFFFAOYSA-N 0 0 425.507 -0.098 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCC(=O)N(C)C)c1OC ZINC000516391303 1071935471 /nfs/dbraw/zinc/93/54/71/1071935471.db2.gz DSVSVBAPXVRBBT-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN CN(CC(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)[C@@H]1CCS(=O)(=O)C1 ZINC000516393700 1071935484 /nfs/dbraw/zinc/93/54/84/1071935484.db2.gz SRGAUHRMVUHXGV-CABCVRRESA-N 0 0 425.507 -0.454 20 0 IBADRN CN(CC(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)[C@H]1CCS(=O)(=O)C1 ZINC000516393701 1071935437 /nfs/dbraw/zinc/93/54/37/1071935437.db2.gz SRGAUHRMVUHXGV-GJZGRUSLSA-N 0 0 425.507 -0.454 20 0 IBADRN CN(CC(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)[C@@H]1CCS(=O)(=O)C1 ZINC000516393702 1071935564 /nfs/dbraw/zinc/93/55/64/1071935564.db2.gz SRGAUHRMVUHXGV-HUUCEWRRSA-N 0 0 425.507 -0.454 20 0 IBADRN CN(CC(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)[C@H]1CCS(=O)(=O)C1 ZINC000516393703 1071935662 /nfs/dbraw/zinc/93/56/62/1071935662.db2.gz SRGAUHRMVUHXGV-LSDHHAIUSA-N 0 0 425.507 -0.454 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000516402255 1071936248 /nfs/dbraw/zinc/93/62/48/1071936248.db2.gz KCBUSAAZVMQHJO-AWEZNQCLSA-N 0 0 440.522 -0.072 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000516402257 1071936066 /nfs/dbraw/zinc/93/60/66/1071936066.db2.gz KCBUSAAZVMQHJO-CQSZACIVSA-N 0 0 440.522 -0.072 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000516402634 1071936196 /nfs/dbraw/zinc/93/61/96/1071936196.db2.gz PMHCBMSLGWCIGZ-UHFFFAOYSA-N 0 0 433.494 -0.848 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)ccc1C ZINC000516402779 1071936178 /nfs/dbraw/zinc/93/61/78/1071936178.db2.gz UGESLQOPPLVLGW-CYBMUJFWSA-N 0 0 446.551 -0.371 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)ccc1C ZINC000516402780 1071935971 /nfs/dbraw/zinc/93/59/71/1071935971.db2.gz UGESLQOPPLVLGW-ZDUSSCGKSA-N 0 0 446.551 -0.371 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1-n1cnnn1 ZINC000516403440 1071936208 /nfs/dbraw/zinc/93/62/08/1071936208.db2.gz BVDSLSWAMRBWNT-UHFFFAOYSA-N 0 0 436.480 -0.362 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c2ccccc2O1 ZINC000516403487 1071936171 /nfs/dbraw/zinc/93/61/71/1071936171.db2.gz FJGHDZIUZVLLGJ-BBRMVZONSA-N 0 0 438.506 -0.686 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c2ccccc2O1 ZINC000516403488 1071936024 /nfs/dbraw/zinc/93/60/24/1071936024.db2.gz FJGHDZIUZVLLGJ-CJNGLKHVSA-N 0 0 438.506 -0.686 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c2ccccc2O1 ZINC000516403489 1071936131 /nfs/dbraw/zinc/93/61/31/1071936131.db2.gz FJGHDZIUZVLLGJ-CZUORRHYSA-N 0 0 438.506 -0.686 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c2ccccc2O1 ZINC000516403490 1071936185 /nfs/dbraw/zinc/93/61/85/1071936185.db2.gz FJGHDZIUZVLLGJ-XJKSGUPXSA-N 0 0 438.506 -0.686 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000516403793 1071936278 /nfs/dbraw/zinc/93/62/78/1071936278.db2.gz KNZSPHCOXDBLQC-UHFFFAOYSA-N 0 0 427.465 -0.782 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)ccc1-n1cnnn1 ZINC000516404019 1071936239 /nfs/dbraw/zinc/93/62/39/1071936239.db2.gz NZAQFQUOFRDOOO-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000516404627 1071936228 /nfs/dbraw/zinc/93/62/28/1071936228.db2.gz PBLHFZYKKJFHQU-UHFFFAOYSA-N 0 0 440.460 -0.164 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000516405022 1071936052 /nfs/dbraw/zinc/93/60/52/1071936052.db2.gz DDUVCSIEDCXREX-UHFFFAOYSA-N 0 0 440.460 -0.278 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000516405207 1071936144 /nfs/dbraw/zinc/93/61/44/1071936144.db2.gz NRVBPPOFPVNYCZ-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN CCOCCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000516405595 1071936739 /nfs/dbraw/zinc/93/67/39/1071936739.db2.gz RZFLFRFRJHMIAJ-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cc1-n1cnnn1 ZINC000516405847 1071936627 /nfs/dbraw/zinc/93/66/27/1071936627.db2.gz IXKYHWOMIPMEIW-UHFFFAOYSA-N 0 0 440.508 -0.078 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cccc(Cn2cncn2)c1 ZINC000516405985 1071936720 /nfs/dbraw/zinc/93/67/20/1071936720.db2.gz NUXLPCXVWBZFPA-UHFFFAOYSA-N 0 0 435.492 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)cc1 ZINC000516406021 1071936755 /nfs/dbraw/zinc/93/67/55/1071936755.db2.gz QEFXJGNRTZYETQ-UHFFFAOYSA-N 0 0 434.478 -0.852 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000516406181 1071936748 /nfs/dbraw/zinc/93/67/48/1071936748.db2.gz ZCFSNOXDTOSVJO-UHFFFAOYSA-N 0 0 426.433 -0.668 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cccc(N4CCNC4=O)c3)CC2)nc1 ZINC000516406824 1071936810 /nfs/dbraw/zinc/93/68/10/1071936810.db2.gz JNPCJEFREBYUOZ-UHFFFAOYSA-N 0 0 437.460 -0.003 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000516407115 1071936595 /nfs/dbraw/zinc/93/65/95/1071936595.db2.gz VBBYDEDJTFJEEX-UHFFFAOYSA-N 0 0 431.474 -0.129 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000516407912 1071936618 /nfs/dbraw/zinc/93/66/18/1071936618.db2.gz HTZSCMGXRIYXTE-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3)CC2)CC1 ZINC000516408055 1071936703 /nfs/dbraw/zinc/93/67/03/1071936703.db2.gz VKJVMUWIEBLTER-UHFFFAOYSA-N 0 0 426.481 -0.387 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000516408065 1071936582 /nfs/dbraw/zinc/93/65/82/1071936582.db2.gz WCOHSSOPSNLRRZ-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000516408071 1071936786 /nfs/dbraw/zinc/93/67/86/1071936786.db2.gz WKDPKOSALJBNMY-UHFFFAOYSA-N 0 0 438.506 -0.409 20 0 IBADRN CN(Cc1ccncc1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000516408611 1071936669 /nfs/dbraw/zinc/93/66/69/1071936669.db2.gz DOPPMSJBBXSGPZ-UHFFFAOYSA-N 0 0 431.474 -0.201 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cccc1-n1cnnn1 ZINC000516409211 1071936793 /nfs/dbraw/zinc/93/67/93/1071936793.db2.gz AZRIUZXCYLYZQF-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN CC(C)COC[C@@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000516409485 1071936762 /nfs/dbraw/zinc/93/67/62/1071936762.db2.gz MSEVAINUCYOEAQ-INIZCTEOSA-N 0 0 443.522 -0.204 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000516409486 1071937367 /nfs/dbraw/zinc/93/73/67/1071937367.db2.gz MSEVAINUCYOEAQ-MRXNPFEDSA-N 0 0 443.522 -0.204 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000516410085 1071936542 /nfs/dbraw/zinc/93/65/42/1071936542.db2.gz SVRJDQMKOBHEGI-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000516411229 1071937346 /nfs/dbraw/zinc/93/73/46/1071937346.db2.gz CWOUWWATXKRLKS-UHFFFAOYSA-N 0 0 443.489 -0.316 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)CC1 ZINC000516416702 1071936258 /nfs/dbraw/zinc/93/62/58/1071936258.db2.gz YXHUQYYREQUPBW-UHFFFAOYSA-N 0 0 430.914 -0.038 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000516418040 1071937742 /nfs/dbraw/zinc/93/77/42/1071937742.db2.gz FFZNKYJPJXWZMD-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN CC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc21 ZINC000516419422 1071937950 /nfs/dbraw/zinc/93/79/50/1071937950.db2.gz LAFZJWIEEMCKPR-UHFFFAOYSA-N 0 0 443.504 -0.463 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)CC1 ZINC000516419619 1071937884 /nfs/dbraw/zinc/93/78/84/1071937884.db2.gz VKZYSXIRIBBZEJ-UHFFFAOYSA-N 0 0 430.465 -0.696 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C)c1 ZINC000516419735 1071937800 /nfs/dbraw/zinc/93/78/00/1071937800.db2.gz ZTQDETGZUDTFRU-UHFFFAOYSA-N 0 0 448.520 -0.038 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1 ZINC000516420290 1071937706 /nfs/dbraw/zinc/93/77/06/1071937706.db2.gz NGRMDQRCTCKVOF-UHFFFAOYSA-N 0 0 447.492 -0.802 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000516420533 1071937957 /nfs/dbraw/zinc/93/79/57/1071937957.db2.gz FVOXWJKJKTUGKE-UHFFFAOYSA-N 0 0 434.493 -0.046 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CC1 ZINC000516420637 1071938445 /nfs/dbraw/zinc/93/84/45/1071938445.db2.gz UJFISVKGMDNDTB-UHFFFAOYSA-N 0 0 433.509 -0.108 20 0 IBADRN O=C(CN1CCCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1)N1CCCC1 ZINC000516420761 1071937767 /nfs/dbraw/zinc/93/77/67/1071937767.db2.gz LGRFMIYVDKNUCM-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CC1 ZINC000516420798 1071937816 /nfs/dbraw/zinc/93/78/16/1071937816.db2.gz MTMYDLGRWHKSPD-UHFFFAOYSA-N 0 0 433.509 -0.108 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000516420878 1071937924 /nfs/dbraw/zinc/93/79/24/1071937924.db2.gz NOHCMTPOHNXMFH-UHFFFAOYSA-N 0 0 433.436 -0.374 20 0 IBADRN COc1cccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1OC ZINC000516421307 1071937942 /nfs/dbraw/zinc/93/79/42/1071937942.db2.gz ICZUBZISNXJNSV-UHFFFAOYSA-N 0 0 427.417 -0.096 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000516421731 1071938383 /nfs/dbraw/zinc/93/83/83/1071938383.db2.gz SQZVOUMIDNXRNB-UHFFFAOYSA-N 0 0 437.522 -0.555 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CC1 ZINC000516421821 1071938482 /nfs/dbraw/zinc/93/84/82/1071938482.db2.gz XCHCKTOXIJMYQV-UHFFFAOYSA-N 0 0 438.448 -0.485 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000516422355 1071938426 /nfs/dbraw/zinc/93/84/26/1071938426.db2.gz TWXUVXRTWBNIJI-UHFFFAOYSA-N 0 0 444.535 -0.341 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000516422377 1071938277 /nfs/dbraw/zinc/93/82/77/1071938277.db2.gz UPDURGHXKKQTSC-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN CCN(CC)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000516422533 1071938411 /nfs/dbraw/zinc/93/84/11/1071938411.db2.gz CZKAOGXXZVDOLB-UHFFFAOYSA-N 0 0 432.525 -0.131 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000516422547 1071938361 /nfs/dbraw/zinc/93/83/61/1071938361.db2.gz DTIKTLMKWPECPB-UHFFFAOYSA-N 0 0 439.538 -0.174 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1 ZINC000516422579 1071938265 /nfs/dbraw/zinc/93/82/65/1071938265.db2.gz GKBJDUAVABFGFC-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1 ZINC000516422600 1071938395 /nfs/dbraw/zinc/93/83/95/1071938395.db2.gz HSUQZCMJJJTILP-GOSISDBHSA-N 0 0 434.460 -0.028 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1 ZINC000516422601 1071938436 /nfs/dbraw/zinc/93/84/36/1071938436.db2.gz HSUQZCMJJJTILP-SFHVURJKSA-N 0 0 434.460 -0.028 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000516422630 1071938469 /nfs/dbraw/zinc/93/84/69/1071938469.db2.gz JSWQVJICSRLFMO-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CC(=O)NCC)CC2)c2ccccc2O1 ZINC000516423041 1071938418 /nfs/dbraw/zinc/93/84/18/1071938418.db2.gz XXFCNAHHCPLQCC-GOSISDBHSA-N 0 0 445.520 -0.413 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CC(=O)NCC)CC2)c2ccccc2O1 ZINC000516423042 1071938248 /nfs/dbraw/zinc/93/82/48/1071938248.db2.gz XXFCNAHHCPLQCC-SFHVURJKSA-N 0 0 445.520 -0.413 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000516423231 1071938495 /nfs/dbraw/zinc/93/84/95/1071938495.db2.gz GVZPYCMUZXYUDJ-UHFFFAOYSA-N 0 0 438.506 -0.968 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000516423424 1071939013 /nfs/dbraw/zinc/93/90/13/1071939013.db2.gz PTXZEIRIQVXSJV-CYBMUJFWSA-N 0 0 429.477 -0.090 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000516423425 1071938973 /nfs/dbraw/zinc/93/89/73/1071938973.db2.gz PTXZEIRIQVXSJV-ZDUSSCGKSA-N 0 0 429.477 -0.090 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000516423448 1071938984 /nfs/dbraw/zinc/93/89/84/1071938984.db2.gz QYYHIHKBJSQQAZ-UHFFFAOYSA-N 0 0 440.522 -0.027 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000516423956 1071938464 /nfs/dbraw/zinc/93/84/64/1071938464.db2.gz JFGRYEPHBOQPCP-CYBMUJFWSA-N 0 0 425.511 -0.518 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000516423957 1071938232 /nfs/dbraw/zinc/93/82/32/1071938232.db2.gz JFGRYEPHBOQPCP-ZDUSSCGKSA-N 0 0 425.511 -0.518 20 0 IBADRN CC(=O)Nc1ccc(F)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000516423989 1071938888 /nfs/dbraw/zinc/93/88/88/1071938888.db2.gz KKOGNGLVRFEXHI-UHFFFAOYSA-N 0 0 435.456 -0.274 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000516424011 1071938981 /nfs/dbraw/zinc/93/89/81/1071938981.db2.gz LWIJWYSEAIXNAD-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000516424048 1071938295 /nfs/dbraw/zinc/93/82/95/1071938295.db2.gz NTZZBVDDSYVZDG-UHFFFAOYSA-N 0 0 444.477 -0.551 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000516424108 1071938400 /nfs/dbraw/zinc/93/84/00/1071938400.db2.gz PUGVBYFVEFOSBW-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)c1 ZINC000516424362 1071938319 /nfs/dbraw/zinc/93/83/19/1071938319.db2.gz CBXCWPHIYDBPNK-UHFFFAOYSA-N 0 0 431.493 -0.354 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1 ZINC000516424506 1071939003 /nfs/dbraw/zinc/93/90/03/1071939003.db2.gz IWDQYAOMKDMNNK-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(C(=O)C(C)(C)C)CC3)cnc2n(C)c1=O ZINC000516424927 1071939009 /nfs/dbraw/zinc/93/90/09/1071939009.db2.gz RTWYBYVQCBHGGQ-UHFFFAOYSA-N 0 0 430.465 -0.712 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000516425043 1071938964 /nfs/dbraw/zinc/93/89/64/1071938964.db2.gz BPQMJMWENCRNLZ-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000516425659 1071938938 /nfs/dbraw/zinc/93/89/38/1071938938.db2.gz NEUFLRJCEQIPKP-UHFFFAOYSA-N 0 0 447.492 -0.787 20 0 IBADRN COc1c(F)cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000516425760 1071938958 /nfs/dbraw/zinc/93/89/58/1071938958.db2.gz OMTAXZFWVZBZNX-UHFFFAOYSA-N 0 0 426.420 -0.085 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)CC1 ZINC000516425834 1071938915 /nfs/dbraw/zinc/93/89/15/1071938915.db2.gz ROBVJCIBEQWFRR-UHFFFAOYSA-N 0 0 434.449 -0.765 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)ccc1-n1cnnn1 ZINC000516426523 1071937333 /nfs/dbraw/zinc/93/73/33/1071937333.db2.gz ZUPPALVXRLTVJS-UHFFFAOYSA-N 0 0 447.463 -0.065 20 0 IBADRN Cn1[nH]c([C@@H]2CCCN2C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)nc1=N ZINC000516426684 1071938947 /nfs/dbraw/zinc/93/89/47/1071938947.db2.gz GXAZBVZBFRMXIU-AWEZNQCLSA-N 0 0 433.494 -0.675 20 0 IBADRN Cn1[nH]c([C@H]2CCCN2C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)nc1=N ZINC000516426685 1071938896 /nfs/dbraw/zinc/93/88/96/1071938896.db2.gz GXAZBVZBFRMXIU-CQSZACIVSA-N 0 0 433.494 -0.675 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cc1 ZINC000516426947 1071938952 /nfs/dbraw/zinc/93/89/52/1071938952.db2.gz KDKSPHWDXRYIDB-IBGZPJMESA-N 0 0 439.472 -0.140 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cc1 ZINC000516426949 1071939018 /nfs/dbraw/zinc/93/90/18/1071939018.db2.gz KDKSPHWDXRYIDB-LJQANCHMSA-N 0 0 439.472 -0.140 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000516427213 1071938906 /nfs/dbraw/zinc/93/89/06/1071938906.db2.gz SYNUOHMFGGJXHH-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1Cl ZINC000516427419 1071937255 /nfs/dbraw/zinc/93/72/55/1071937255.db2.gz YFMURJZGDQQDHN-UHFFFAOYSA-N 0 0 437.884 -0.620 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c1 ZINC000516428491 1071937361 /nfs/dbraw/zinc/93/73/61/1071937361.db2.gz QCRSRZKSBVTMJW-UHFFFAOYSA-N 0 0 449.464 -0.141 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)cn1 ZINC000516428564 1071937269 /nfs/dbraw/zinc/93/72/69/1071937269.db2.gz SRIFVETWABZPRJ-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cc1 ZINC000516428682 1071937351 /nfs/dbraw/zinc/93/73/51/1071937351.db2.gz ZGGXKOHVLFKPCT-UHFFFAOYSA-N 0 0 445.501 -0.019 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000516430245 1071937230 /nfs/dbraw/zinc/93/72/30/1071937230.db2.gz JIMZLRVYDZFCKN-INIZCTEOSA-N 0 0 438.506 -0.543 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000516430246 1071937307 /nfs/dbraw/zinc/93/73/07/1071937307.db2.gz JIMZLRVYDZFCKN-MRXNPFEDSA-N 0 0 438.506 -0.543 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c2ccccc2O1 ZINC000516430566 1071937212 /nfs/dbraw/zinc/93/72/12/1071937212.db2.gz XROPWVYEKZMDQI-INIZCTEOSA-N 0 0 442.476 -0.572 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c2ccccc2O1 ZINC000516430567 1071937311 /nfs/dbraw/zinc/93/73/11/1071937311.db2.gz XROPWVYEKZMDQI-MRXNPFEDSA-N 0 0 442.476 -0.572 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000516431065 1071937327 /nfs/dbraw/zinc/93/73/27/1071937327.db2.gz IJZNTMFFMWPJOU-UHFFFAOYSA-N 0 0 425.453 -0.190 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCC2(C(N)=O)CCOCC2)c2ccccc2O1 ZINC000516433950 1071937962 /nfs/dbraw/zinc/93/79/62/1071937962.db2.gz JHVFNFSFLDPVAZ-INIZCTEOSA-N 0 0 432.477 -0.295 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCC2(C(N)=O)CCOCC2)c2ccccc2O1 ZINC000516433951 1071937730 /nfs/dbraw/zinc/93/77/30/1071937730.db2.gz JHVFNFSFLDPVAZ-MRXNPFEDSA-N 0 0 432.477 -0.295 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2cccc(S(N)(=O)=O)c2)ccc1C ZINC000516434218 1071937873 /nfs/dbraw/zinc/93/78/73/1071937873.db2.gz IYVVKYVNFXMSHM-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CNC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000516434253 1071937318 /nfs/dbraw/zinc/93/73/18/1071937318.db2.gz KRSPYIFZCQTSAW-BETUJISGSA-N 0 0 426.495 -0.325 20 0 IBADRN CNC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000516434254 1071937293 /nfs/dbraw/zinc/93/72/93/1071937293.db2.gz KRSPYIFZCQTSAW-CHWSQXEVSA-N 0 0 426.495 -0.325 20 0 IBADRN CNC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000516434255 1071937356 /nfs/dbraw/zinc/93/73/56/1071937356.db2.gz KRSPYIFZCQTSAW-STQMWFEESA-N 0 0 426.495 -0.325 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000516435289 1071937892 /nfs/dbraw/zinc/93/78/92/1071937892.db2.gz RGDMZAJUHCLHGA-UHFFFAOYSA-N 0 0 432.524 -0.256 20 0 IBADRN O=C(c1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c[nH]1)N1CCCC1 ZINC000516435334 1071937904 /nfs/dbraw/zinc/93/79/04/1071937904.db2.gz USUYIPARFQENIW-UHFFFAOYSA-N 0 0 425.511 -0.415 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@@H]1CCCCO1 ZINC000516435528 1071940344 /nfs/dbraw/zinc/94/03/44/1071940344.db2.gz KYAGAISWNDFORI-INIZCTEOSA-N 0 0 439.538 -0.665 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@H]1CCCCO1 ZINC000516435529 1071940289 /nfs/dbraw/zinc/94/02/89/1071940289.db2.gz KYAGAISWNDFORI-MRXNPFEDSA-N 0 0 439.538 -0.665 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)no1 ZINC000516435734 1073323890 /nfs/dbraw/zinc/32/38/90/1073323890.db2.gz BAEYAJVEUNMCJJ-UHFFFAOYSA-N 0 0 433.446 -0.051 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000516436207 1071937840 /nfs/dbraw/zinc/93/78/40/1071937840.db2.gz UKAJZYSDBQATSO-UHFFFAOYSA-N 0 0 437.434 -0.746 20 0 IBADRN COc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000516436441 1071940964 /nfs/dbraw/zinc/94/09/64/1071940964.db2.gz BNZPMXGVGFMJDS-UHFFFAOYSA-N 0 0 437.434 -0.746 20 0 IBADRN O=C(Nc1ccccc1Cn1cncn1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000516436827 1071941019 /nfs/dbraw/zinc/94/10/19/1071941019.db2.gz MHCKJKUPQRNISM-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN COC(=O)COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000516436858 1071940886 /nfs/dbraw/zinc/94/08/86/1071940886.db2.gz MPCWXYUCKYEUAX-UHFFFAOYSA-N 0 0 427.479 -0.427 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000516437266 1071940985 /nfs/dbraw/zinc/94/09/85/1071940985.db2.gz ZFBCVKBGSJEPBS-UHFFFAOYSA-N 0 0 426.433 -0.466 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000516437369 1071941038 /nfs/dbraw/zinc/94/10/38/1071941038.db2.gz DCXWPUAYEWJMFC-UHFFFAOYSA-N 0 0 428.463 -0.347 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000516438648 1071939564 /nfs/dbraw/zinc/93/95/64/1071939564.db2.gz CKWNTETWGIIHAU-UHFFFAOYSA-N 0 0 435.868 -0.413 20 0 IBADRN COc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000516439138 1071939586 /nfs/dbraw/zinc/93/95/86/1071939586.db2.gz BUQOEQHEJOLRAJ-KBPBESRZSA-N 0 0 441.510 -0.473 20 0 IBADRN COc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000516439139 1071939455 /nfs/dbraw/zinc/93/94/55/1071939455.db2.gz BUQOEQHEJOLRAJ-OKILXGFUSA-N 0 0 441.510 -0.473 20 0 IBADRN COc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000516439140 1071939552 /nfs/dbraw/zinc/93/95/52/1071939552.db2.gz BUQOEQHEJOLRAJ-ZIAGYGMSSA-N 0 0 441.510 -0.473 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccccc3Cl)CC2)CC1 ZINC000516443736 1071939573 /nfs/dbraw/zinc/93/95/73/1071939573.db2.gz LIJKGLKRILRFKY-UHFFFAOYSA-N 0 0 429.930 -0.085 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(Cl)c3)CC2)CC1 ZINC000516444139 1071939484 /nfs/dbraw/zinc/93/94/84/1071939484.db2.gz XCDDWSMGHQMJSI-UHFFFAOYSA-N 0 0 429.930 -0.085 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(F)cc(F)c3)CC2)CC1 ZINC000516444541 1071939973 /nfs/dbraw/zinc/93/99/73/1071939973.db2.gz DXJATQDQAYUWCB-UHFFFAOYSA-N 0 0 431.465 -0.460 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1F ZINC000516444612 1071939921 /nfs/dbraw/zinc/93/99/21/1071939921.db2.gz HSEGMNBUTFISKN-UHFFFAOYSA-N 0 0 443.501 -0.591 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1NC(C)=O ZINC000516445049 1071939567 /nfs/dbraw/zinc/93/95/67/1071939567.db2.gz XDRNURROPUXWJG-UHFFFAOYSA-N 0 0 433.465 -0.213 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc(OC)c1 ZINC000516445151 1071939546 /nfs/dbraw/zinc/93/95/46/1071939546.db2.gz BOUNNJPBPMRIJO-UHFFFAOYSA-N 0 0 442.494 -0.637 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000516445290 1071939469 /nfs/dbraw/zinc/93/94/69/1071939469.db2.gz JCLGLFBOEMSYMK-UHFFFAOYSA-N 0 0 441.510 -0.472 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000516445298 1071939583 /nfs/dbraw/zinc/93/95/83/1071939583.db2.gz JLNWCEMYBSRFSL-UHFFFAOYSA-N 0 0 433.465 -0.811 20 0 IBADRN O=C(Nc1ccc2nccnc2c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516445336 1071939443 /nfs/dbraw/zinc/93/94/43/1071939443.db2.gz AWGCZOKLQUJWNN-UHFFFAOYSA-N 0 0 434.478 -0.711 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CS3)CC1 ZINC000516445539 1071939890 /nfs/dbraw/zinc/93/98/90/1071939890.db2.gz GNBVWEBOTKQJKD-UHFFFAOYSA-N 0 0 433.490 -0.135 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(-c3nncn3C)c2)CC1 ZINC000516445606 1071939875 /nfs/dbraw/zinc/93/98/75/1071939875.db2.gz JWSBHMUZONHGCK-UHFFFAOYSA-N 0 0 427.465 -0.384 20 0 IBADRN COCc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516445750 1071939965 /nfs/dbraw/zinc/93/99/65/1071939965.db2.gz QTNITXGGKXZBRC-UHFFFAOYSA-N 0 0 426.495 -0.507 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc(OC)c1OC ZINC000516445757 1071939959 /nfs/dbraw/zinc/93/99/59/1071939959.db2.gz RCCUWADABAPZEI-UHFFFAOYSA-N 0 0 436.465 -0.154 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1F ZINC000516445877 1071939938 /nfs/dbraw/zinc/93/99/38/1071939938.db2.gz MNXULVDGCUFXMO-UHFFFAOYSA-N 0 0 430.458 -0.506 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCC3=O)c2)CC1 ZINC000516445885 1071939899 /nfs/dbraw/zinc/93/98/99/1071939899.db2.gz NBHXVHAZMNYVKM-UHFFFAOYSA-N 0 0 429.477 -0.053 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCc2cc(F)c(F)cc21 ZINC000516445991 1071939935 /nfs/dbraw/zinc/93/99/35/1071939935.db2.gz VPDNOHCHNFFQSU-UHFFFAOYSA-N 0 0 444.460 -0.425 20 0 IBADRN O=C(Nc1cccc2cnccc21)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516446103 1071939955 /nfs/dbraw/zinc/93/99/55/1071939955.db2.gz ZWPKJPWDVRQMEV-UHFFFAOYSA-N 0 0 433.490 -0.106 20 0 IBADRN O=C(Nc1ccc(-n2cccn2)cc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516446420 1071939870 /nfs/dbraw/zinc/93/98/70/1071939870.db2.gz SHWBZUHKPPRZNI-UHFFFAOYSA-N 0 0 448.505 -0.468 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(Cn3cncn3)cc2)CC1 ZINC000516446505 1071940334 /nfs/dbraw/zinc/94/03/34/1071940334.db2.gz WTAWYHZMSAJNQC-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000516446596 1071940408 /nfs/dbraw/zinc/94/04/08/1071940408.db2.gz QPJSZWOWPHSCKE-UHFFFAOYSA-N 0 0 431.493 -0.040 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000516446792 1071940433 /nfs/dbraw/zinc/94/04/33/1071940433.db2.gz UTOYQZMHXCRKNO-UHFFFAOYSA-N 0 0 447.492 -0.665 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000516446835 1071940248 /nfs/dbraw/zinc/94/02/48/1071940248.db2.gz XLLZADQIMZDUDO-UHFFFAOYSA-N 0 0 428.453 -0.895 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3c(F)cccc3F)CC2)CC1 ZINC000516446912 1071940383 /nfs/dbraw/zinc/94/03/83/1071940383.db2.gz BMXUNSAIUJNGOI-UHFFFAOYSA-N 0 0 431.465 -0.460 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000516446944 1071940367 /nfs/dbraw/zinc/94/03/67/1071940367.db2.gz COYDQEYSJHOXSX-UHFFFAOYSA-N 0 0 438.506 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)cc3F)CC2)CC1 ZINC000516447012 1071940309 /nfs/dbraw/zinc/94/03/09/1071940309.db2.gz FSMQJICKITXNJN-UHFFFAOYSA-N 0 0 431.465 -0.460 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c(F)cc1F ZINC000516447034 1071940320 /nfs/dbraw/zinc/94/03/20/1071940320.db2.gz GGYGDJVAEMUTTC-UHFFFAOYSA-N 0 0 440.403 -0.115 20 0 IBADRN CCOc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516447167 1071939909 /nfs/dbraw/zinc/93/99/09/1071939909.db2.gz IRFQYXDWHVILAO-UHFFFAOYSA-N 0 0 426.495 -0.255 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000516447266 1071939968 /nfs/dbraw/zinc/93/99/68/1071939968.db2.gz FLCNGJBPWHEVTM-UHFFFAOYSA-N 0 0 435.437 -0.209 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1 ZINC000516447355 1071939975 /nfs/dbraw/zinc/93/99/75/1071939975.db2.gz KJYIQKUEUOBVQY-UHFFFAOYSA-N 0 0 430.465 -0.650 20 0 IBADRN CN(C)c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000516447396 1071939952 /nfs/dbraw/zinc/93/99/52/1071939952.db2.gz ITMSSCSJZQBDAZ-UHFFFAOYSA-N 0 0 438.554 -0.673 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1Cl ZINC000516447408 1071939859 /nfs/dbraw/zinc/93/98/59/1071939859.db2.gz LQJBMUQWXYPWJF-UHFFFAOYSA-N 0 0 437.884 -0.167 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000516447455 1071940450 /nfs/dbraw/zinc/94/04/50/1071940450.db2.gz NBSRHVGHBHATEU-UHFFFAOYSA-N 0 0 429.433 -0.954 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCOCC3)c2)CC1 ZINC000516447477 1071940441 /nfs/dbraw/zinc/94/04/41/1071940441.db2.gz ONAXKBHGBCWQOL-UHFFFAOYSA-N 0 0 431.493 -0.343 20 0 IBADRN O=C(Nc1ccc2c(c1)OCCO2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516447543 1071940414 /nfs/dbraw/zinc/94/04/14/1071940414.db2.gz IBEDPVNDWYLHLC-UHFFFAOYSA-N 0 0 440.478 -0.883 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(F)c1 ZINC000516447549 1071940480 /nfs/dbraw/zinc/94/04/80/1071940480.db2.gz IFUZGKJVBVPYEZ-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN CSc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000516447608 1071940462 /nfs/dbraw/zinc/94/04/62/1071940462.db2.gz KJLUGFPGSXSAHQ-UHFFFAOYSA-N 0 0 441.579 -0.017 20 0 IBADRN CN(C)c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516447642 1071940265 /nfs/dbraw/zinc/94/02/65/1071940265.db2.gz PKTJCGRKMBFSDC-UHFFFAOYSA-N 0 0 425.511 -0.588 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)[C@@H](C)O3)CC1 ZINC000516448045 1071940458 /nfs/dbraw/zinc/94/04/58/1071940458.db2.gz VPSQUKPNQXITIU-GFCCVEGCSA-N 0 0 431.449 -0.460 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)[C@H](C)O3)CC1 ZINC000516448047 1071940280 /nfs/dbraw/zinc/94/02/80/1071940280.db2.gz VPSQUKPNQXITIU-LBPRGKRZSA-N 0 0 431.449 -0.460 20 0 IBADRN O=C(Nc1ccc(OC(F)F)cc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000516448166 1071940423 /nfs/dbraw/zinc/94/04/23/1071940423.db2.gz ROOOVHHVCRQILH-UHFFFAOYSA-N 0 0 448.448 -0.052 20 0 IBADRN NC(=O)CC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000516448296 1071940391 /nfs/dbraw/zinc/94/03/91/1071940391.db2.gz QAFACANRVMHSLM-UHFFFAOYSA-N 0 0 438.506 -0.240 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)c2ccccc2O1 ZINC000516452528 1071940917 /nfs/dbraw/zinc/94/09/17/1071940917.db2.gz INYAHEJPWIYFNP-INIZCTEOSA-N 0 0 426.433 -0.360 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)c2ccccc2O1 ZINC000516452529 1071940905 /nfs/dbraw/zinc/94/09/05/1071940905.db2.gz INYAHEJPWIYFNP-MRXNPFEDSA-N 0 0 426.433 -0.360 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1C[C@@H](C(=O)NC)Oc2ccccc21)S(C)(=O)=O ZINC000516452530 1071940977 /nfs/dbraw/zinc/94/09/77/1071940977.db2.gz IQOUTOMZPGWAAL-HNNXBMFYSA-N 0 0 426.495 -0.686 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1C[C@H](C(=O)NC)Oc2ccccc21)S(C)(=O)=O ZINC000516452531 1071941027 /nfs/dbraw/zinc/94/10/27/1071941027.db2.gz IQOUTOMZPGWAAL-OAHLLOKOSA-N 0 0 426.495 -0.686 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H]1COCCO1 ZINC000516454607 1071940817 /nfs/dbraw/zinc/94/08/17/1071940817.db2.gz UUXCHEBTOAQPOH-AWEZNQCLSA-N 0 0 427.479 -0.514 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H]1COCCO1 ZINC000516454608 1071940924 /nfs/dbraw/zinc/94/09/24/1071940924.db2.gz UUXCHEBTOAQPOH-CQSZACIVSA-N 0 0 427.479 -0.514 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H]3COCCO3)cc2)C[C@@H](C)O1 ZINC000516455874 1071941012 /nfs/dbraw/zinc/94/10/12/1071941012.db2.gz VGWZYAXKLWENGM-FMKPAKJESA-N 0 0 441.506 -0.045 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H]3COCCO3)cc2)C[C@@H](C)O1 ZINC000516455875 1071940991 /nfs/dbraw/zinc/94/09/91/1071940991.db2.gz VGWZYAXKLWENGM-IIAWOOMASA-N 0 0 441.506 -0.045 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H]3COCCO3)cc2)C[C@H](C)O1 ZINC000516455876 1071940877 /nfs/dbraw/zinc/94/08/77/1071940877.db2.gz VGWZYAXKLWENGM-IJEWVQPXSA-N 0 0 441.506 -0.045 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H]3COCCO3)cc2)C[C@H](C)O1 ZINC000516455877 1071941043 /nfs/dbraw/zinc/94/10/43/1071941043.db2.gz VGWZYAXKLWENGM-LZWOXQAQSA-N 0 0 441.506 -0.045 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1C[C@@H](C(=O)OC)Oc2ccccc21)S(C)(=O)=O ZINC000516471904 1071941513 /nfs/dbraw/zinc/94/15/13/1071941513.db2.gz NGBBNDWVDFHJCC-HNNXBMFYSA-N 0 0 427.479 -0.259 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1C[C@H](C(=O)OC)Oc2ccccc21)S(C)(=O)=O ZINC000516471905 1071941283 /nfs/dbraw/zinc/94/12/83/1071941283.db2.gz NGBBNDWVDFHJCC-OAHLLOKOSA-N 0 0 427.479 -0.259 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000516472249 1071941367 /nfs/dbraw/zinc/94/13/67/1071941367.db2.gz USHSOEJNEFZDMG-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(C(=O)NCCCOC)CC2)c2ccccc2O1 ZINC000516483751 1071942031 /nfs/dbraw/zinc/94/20/31/1071942031.db2.gz URNJJGAFFDBQTO-GOSISDBHSA-N 0 0 446.504 -0.082 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(C(=O)NCCCOC)CC2)c2ccccc2O1 ZINC000516483752 1071942016 /nfs/dbraw/zinc/94/20/16/1071942016.db2.gz URNJJGAFFDBQTO-SFHVURJKSA-N 0 0 446.504 -0.082 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)Cn1cccn1 ZINC000516483993 1071941995 /nfs/dbraw/zinc/94/19/95/1071941995.db2.gz UMMINLFJGQVULT-AWEZNQCLSA-N 0 0 448.505 -0.605 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)Cn1cccn1 ZINC000516483994 1071942091 /nfs/dbraw/zinc/94/20/91/1071942091.db2.gz UMMINLFJGQVULT-CQSZACIVSA-N 0 0 448.505 -0.605 20 0 IBADRN CNC(=O)CCCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000516485682 1071942177 /nfs/dbraw/zinc/94/21/77/1071942177.db2.gz QQLHMBMIMJLIBE-UHFFFAOYSA-N 0 0 446.913 -0.058 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000516497669 1071942669 /nfs/dbraw/zinc/94/26/69/1071942669.db2.gz WHCBKQIDHPNYLS-UHFFFAOYSA-N 0 0 448.543 -0.528 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)nn1 ZINC000516499158 1074356690 /nfs/dbraw/zinc/35/66/90/1074356690.db2.gz AAZZKRSEVWAWGQ-UHFFFAOYSA-N 0 0 430.425 -0.815 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)on1 ZINC000516501165 1071942487 /nfs/dbraw/zinc/94/24/87/1071942487.db2.gz KDOWKUMHHJPUPL-UHFFFAOYSA-N 0 0 434.497 -0.770 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCc3cnc(N(C)C)n3C)C2)nc1 ZINC000516501344 1071942590 /nfs/dbraw/zinc/94/25/90/1071942590.db2.gz GOEKHYNJJFNRAK-UHFFFAOYSA-N 0 0 429.481 -0.321 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000516501529 1071942751 /nfs/dbraw/zinc/94/27/51/1071942751.db2.gz QDJCWYPTROLYRJ-CYBMUJFWSA-N 0 0 439.581 -0.052 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000516506219 1071942138 /nfs/dbraw/zinc/94/21/38/1071942138.db2.gz BFQQOFDFSCBFEL-GHMZBOCLSA-N 0 0 428.433 -0.804 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000516506220 1071942073 /nfs/dbraw/zinc/94/20/73/1071942073.db2.gz BFQQOFDFSCBFEL-MNOVXSKESA-N 0 0 428.433 -0.804 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000516506221 1071942162 /nfs/dbraw/zinc/94/21/62/1071942162.db2.gz BFQQOFDFSCBFEL-QWRGUYRKSA-N 0 0 428.433 -0.804 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000516506222 1071942169 /nfs/dbraw/zinc/94/21/69/1071942169.db2.gz BFQQOFDFSCBFEL-WDEREUQCSA-N 0 0 428.433 -0.804 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc(C3CC3)nc21 ZINC000516508530 1071942659 /nfs/dbraw/zinc/94/26/59/1071942659.db2.gz ALVIZUSEMFBYSZ-UHFFFAOYSA-N 0 0 440.460 -0.526 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(F)c(F)c1 ZINC000516511665 1071943393 /nfs/dbraw/zinc/94/33/93/1071943393.db2.gz YGJMSJDZTYDLJK-AWEZNQCLSA-N 0 0 430.477 -0.176 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(F)c(F)c1 ZINC000516511666 1071943213 /nfs/dbraw/zinc/94/32/13/1071943213.db2.gz YGJMSJDZTYDLJK-CQSZACIVSA-N 0 0 430.477 -0.176 20 0 IBADRN C[C@@H](COC1CCCC1)CS(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000516511883 1071943310 /nfs/dbraw/zinc/94/33/10/1071943310.db2.gz BTJMIVFYTNDKKK-INIZCTEOSA-N 0 0 431.555 -0.086 20 0 IBADRN C[C@H](COC1CCCC1)CS(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000516511884 1071943278 /nfs/dbraw/zinc/94/32/78/1071943278.db2.gz BTJMIVFYTNDKKK-MRXNPFEDSA-N 0 0 431.555 -0.086 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCc3cnc(N4CCOCC4)c(F)c3)CC2)cn1 ZINC000516513091 1071943183 /nfs/dbraw/zinc/94/31/83/1071943183.db2.gz LVFTUMFNFNMGJY-UHFFFAOYSA-N 0 0 445.499 -0.249 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000516518904 1071943230 /nfs/dbraw/zinc/94/32/30/1071943230.db2.gz RHCDQOXCCMZGOL-DLBZAZTESA-N 0 0 429.495 -0.140 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000516518905 1071943427 /nfs/dbraw/zinc/94/34/27/1071943427.db2.gz RHCDQOXCCMZGOL-IAGOWNOFSA-N 0 0 429.495 -0.140 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000516518906 1071943079 /nfs/dbraw/zinc/94/30/79/1071943079.db2.gz RHCDQOXCCMZGOL-IRXDYDNUSA-N 0 0 429.495 -0.140 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000516518907 1071943329 /nfs/dbraw/zinc/94/33/29/1071943329.db2.gz RHCDQOXCCMZGOL-SJORKVTESA-N 0 0 429.495 -0.140 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000516525320 1071943856 /nfs/dbraw/zinc/94/38/56/1071943856.db2.gz BXNKFHNUGFCGKS-UHFFFAOYSA-N 0 0 426.477 -0.122 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)NCC(F)(F)F)CC2)CC1 ZINC000516534254 1071943947 /nfs/dbraw/zinc/94/39/47/1071943947.db2.gz HYZGECIKNVPTCU-UHFFFAOYSA-N 0 0 436.478 -0.801 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000516537364 1071943737 /nfs/dbraw/zinc/94/37/37/1071943737.db2.gz CXFDCOUBWWXCPM-UHFFFAOYSA-N 0 0 441.535 -0.179 20 0 IBADRN O=C(CCNC(=O)NCCN1CCN(c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000516550678 1071944354 /nfs/dbraw/zinc/94/43/54/1071944354.db2.gz ICQCFYGGKHMVIV-KRWDZBQOSA-N 0 0 437.566 -0.199 20 0 IBADRN O=C(CCNC(=O)NCCN1CCN(c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000516550681 1071944325 /nfs/dbraw/zinc/94/43/25/1071944325.db2.gz ICQCFYGGKHMVIV-QGZVFWFLSA-N 0 0 437.566 -0.199 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@](C)(O)CO)CC2)c1 ZINC000516551243 1071944532 /nfs/dbraw/zinc/94/45/32/1071944532.db2.gz ZGZVPLNKIGWJPH-FQEVSTJZSA-N 0 0 441.550 -0.224 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@](C)(O)CO)CC2)c1 ZINC000516551244 1071944432 /nfs/dbraw/zinc/94/44/32/1071944432.db2.gz ZGZVPLNKIGWJPH-HXUWFJFHSA-N 0 0 441.550 -0.224 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)c(C(=O)OC)c(F)c2)CC1 ZINC000516551255 1071944450 /nfs/dbraw/zinc/94/44/50/1071944450.db2.gz APPBXWVYNLWZJP-UHFFFAOYSA-N 0 0 442.419 -0.403 20 0 IBADRN CCCNC(=O)CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000516551701 1071944396 /nfs/dbraw/zinc/94/43/96/1071944396.db2.gz PMUDSASUGUCPSW-UHFFFAOYSA-N 0 0 426.543 -0.251 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCCCc2nnc3ccccn32)[C@H](O)[C@@H]1O ZINC000516557411 1071945573 /nfs/dbraw/zinc/94/55/73/1071945573.db2.gz NLFOZCUWCBZBEZ-LUTMRVPUSA-N 0 0 426.437 -0.475 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000516558613 1071945024 /nfs/dbraw/zinc/94/50/24/1071945024.db2.gz AFIQUKYETLTWON-CVEARBPZSA-N 0 0 434.518 -0.379 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000516558614 1071945132 /nfs/dbraw/zinc/94/51/32/1071945132.db2.gz AFIQUKYETLTWON-HOTGVXAUSA-N 0 0 434.518 -0.379 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000516558615 1071944995 /nfs/dbraw/zinc/94/49/95/1071944995.db2.gz AFIQUKYETLTWON-HZPDHXFCSA-N 0 0 434.518 -0.379 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000516558616 1071945113 /nfs/dbraw/zinc/94/51/13/1071945113.db2.gz AFIQUKYETLTWON-JKSUJKDBSA-N 0 0 434.518 -0.379 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC000516575767 1071945713 /nfs/dbraw/zinc/94/57/13/1071945713.db2.gz UZKKDEAXRAVYGW-UHFFFAOYSA-N 0 0 435.955 -0.097 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Oc1ccccc1F ZINC000516577810 1071945678 /nfs/dbraw/zinc/94/56/78/1071945678.db2.gz OUGAQJQPTXJXQI-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Oc1ccccc1F ZINC000516577811 1071945610 /nfs/dbraw/zinc/94/56/10/1071945610.db2.gz OUGAQJQPTXJXQI-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccc(Cl)cc1 ZINC000516579625 1071946094 /nfs/dbraw/zinc/94/60/94/1071946094.db2.gz CXKCNMFRMRXNCL-UHFFFAOYSA-N 0 0 437.884 -0.009 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000516585790 1071946232 /nfs/dbraw/zinc/94/62/32/1071946232.db2.gz KEIIRYQJNGQRBG-UHFFFAOYSA-N 0 0 425.511 -0.490 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc(OC)c1OC ZINC000516587822 1071946219 /nfs/dbraw/zinc/94/62/19/1071946219.db2.gz BZFMYHMPSCFKOY-UHFFFAOYSA-N 0 0 445.476 -0.229 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000516588168 1071946192 /nfs/dbraw/zinc/94/61/92/1071946192.db2.gz QDAABFMYOAHQOO-UHFFFAOYSA-N 0 0 445.501 -0.451 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000516590769 1071946730 /nfs/dbraw/zinc/94/67/30/1071946730.db2.gz VAKUZANOPOYCSB-AWEZNQCLSA-N 0 0 434.518 -0.283 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000516590770 1071946655 /nfs/dbraw/zinc/94/66/55/1071946655.db2.gz VAKUZANOPOYCSB-CQSZACIVSA-N 0 0 434.518 -0.283 20 0 IBADRN CCc1ccc(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000516590824 1073372762 /nfs/dbraw/zinc/37/27/62/1073372762.db2.gz GHWVVUNCHMTDOI-UHFFFAOYSA-N 0 0 428.493 -0.604 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@@H](C2CCOCC2)C1)N1CCN(c2ncccn2)CC1 ZINC000516590944 1071946756 /nfs/dbraw/zinc/94/67/56/1071946756.db2.gz JWFXXISSAYYOMP-GOSISDBHSA-N 0 0 444.536 -0.093 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@H](C2CCOCC2)C1)N1CCN(c2ncccn2)CC1 ZINC000516590945 1071946627 /nfs/dbraw/zinc/94/66/27/1071946627.db2.gz JWFXXISSAYYOMP-SFHVURJKSA-N 0 0 444.536 -0.093 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCN(c2cnccn2)CC1 ZINC000516591281 1071946741 /nfs/dbraw/zinc/94/67/41/1071946741.db2.gz XFHNDPFWPZLIBP-UHFFFAOYSA-N 0 0 431.522 -0.473 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000516591625 1071947207 /nfs/dbraw/zinc/94/72/07/1071947207.db2.gz GKURTKOPQBINAL-INIZCTEOSA-N 0 0 438.554 -0.164 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000516591626 1071947349 /nfs/dbraw/zinc/94/73/49/1071947349.db2.gz GKURTKOPQBINAL-MRXNPFEDSA-N 0 0 438.554 -0.164 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCN2C(=O)c3ccccc3C2=O)o1 ZINC000516592148 1071947110 /nfs/dbraw/zinc/94/71/10/1071947110.db2.gz XEGNOGWGQWLJJF-UHFFFAOYSA-N 0 0 434.430 -0.541 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000516592214 1071947304 /nfs/dbraw/zinc/94/73/04/1071947304.db2.gz KDLPWRYVUQYCFM-UHFFFAOYSA-N 0 0 427.483 -0.059 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)no1 ZINC000516593077 1074356531 /nfs/dbraw/zinc/35/65/31/1074356531.db2.gz BJESCHQHXVRWRQ-UHFFFAOYSA-N 0 0 443.464 -0.731 20 0 IBADRN Cn1c(C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000516593679 1071947320 /nfs/dbraw/zinc/94/73/20/1071947320.db2.gz MFGNQWHWGQOKSP-KRWDZBQOSA-N 0 0 438.488 -0.440 20 0 IBADRN Cn1c(C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000516593680 1071947332 /nfs/dbraw/zinc/94/73/32/1071947332.db2.gz MFGNQWHWGQOKSP-QGZVFWFLSA-N 0 0 438.488 -0.440 20 0 IBADRN Cn1c(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000516593737 1071947193 /nfs/dbraw/zinc/94/71/93/1071947193.db2.gz LQVPMLBOCINEKL-UHFFFAOYSA-N 0 0 430.465 -0.440 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000516594207 1071947283 /nfs/dbraw/zinc/94/72/83/1071947283.db2.gz QBVVEDAHJDFFIV-UHFFFAOYSA-N 0 0 433.509 -0.029 20 0 IBADRN COc1cc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)ccc1OCC(N)=O ZINC000516594370 1071947270 /nfs/dbraw/zinc/94/72/70/1071947270.db2.gz AGCOMISHBKBMMF-UHFFFAOYSA-N 0 0 429.433 -0.622 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Oc1ccc(F)cc1 ZINC000516595077 1071947339 /nfs/dbraw/zinc/94/73/39/1071947339.db2.gz RZQPOSJPTQGKNX-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Oc1ccc(F)cc1 ZINC000516595078 1071947215 /nfs/dbraw/zinc/94/72/15/1071947215.db2.gz RZQPOSJPTQGKNX-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN CC(C)(C)Oc1cccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n1 ZINC000516596127 1071947236 /nfs/dbraw/zinc/94/72/36/1071947236.db2.gz UIUTZVIHCQJAKS-UHFFFAOYSA-N 0 0 430.469 -0.767 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000516597180 1071947136 /nfs/dbraw/zinc/94/71/36/1071947136.db2.gz WOLLEVKSZCSKFU-DOTOQJQBSA-N 0 0 431.541 -0.684 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000516597181 1071947184 /nfs/dbraw/zinc/94/71/84/1071947184.db2.gz WOLLEVKSZCSKFU-NVXWUHKLSA-N 0 0 431.541 -0.684 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000516597182 1071947358 /nfs/dbraw/zinc/94/73/58/1071947358.db2.gz WOLLEVKSZCSKFU-RDJZCZTQSA-N 0 0 431.541 -0.684 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000516597183 1071947295 /nfs/dbraw/zinc/94/72/95/1071947295.db2.gz WOLLEVKSZCSKFU-WBVHZDCISA-N 0 0 431.541 -0.684 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2 ZINC000516600248 1072494805 /nfs/dbraw/zinc/49/48/05/1072494805.db2.gz OGXCVZMELSWBOH-KFWWJZLASA-N 0 0 426.495 -0.326 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2 ZINC000516600249 1072494878 /nfs/dbraw/zinc/49/48/78/1072494878.db2.gz OGXCVZMELSWBOH-RBSFLKMASA-N 0 0 426.495 -0.326 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2 ZINC000516600250 1072494655 /nfs/dbraw/zinc/49/46/55/1072494655.db2.gz OGXCVZMELSWBOH-RRFJBIMHSA-N 0 0 426.495 -0.326 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 ZINC000516600251 1072494680 /nfs/dbraw/zinc/49/46/80/1072494680.db2.gz OGXCVZMELSWBOH-ZNMIVQPWSA-N 0 0 426.495 -0.326 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000516601268 1071946161 /nfs/dbraw/zinc/94/61/61/1071946161.db2.gz ZBLWXKUUYVELRU-BODITIBLSA-N 0 0 441.535 -0.721 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000516601269 1071946227 /nfs/dbraw/zinc/94/62/27/1071946227.db2.gz ZBLWXKUUYVELRU-JNFKXCBWSA-N 0 0 441.535 -0.721 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000516601270 1071946172 /nfs/dbraw/zinc/94/61/72/1071946172.db2.gz ZBLWXKUUYVELRU-PSBQPLHCSA-N 0 0 441.535 -0.721 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000516601271 1071946677 /nfs/dbraw/zinc/94/66/77/1071946677.db2.gz ZBLWXKUUYVELRU-YASQENCXSA-N 0 0 441.535 -0.721 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000516601630 1071946705 /nfs/dbraw/zinc/94/67/05/1071946705.db2.gz CIZNNGJDTDNBJS-UHFFFAOYSA-N 0 0 442.523 -0.716 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccnc2OCC(F)F)CC1)NC1CC1 ZINC000516603062 1071946665 /nfs/dbraw/zinc/94/66/65/1071946665.db2.gz LTLARLIIXQWTOO-UHFFFAOYSA-N 0 0 425.436 -0.236 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(CC(=O)N4CCCCC4)CC3)CC2)n[nH]1 ZINC000516603107 1071946715 /nfs/dbraw/zinc/94/67/15/1071946715.db2.gz NELPEHUFWBEDDM-UHFFFAOYSA-N 0 0 445.568 -0.040 20 0 IBADRN Cn1c(C(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000516605300 1071946684 /nfs/dbraw/zinc/94/66/84/1071946684.db2.gz KKBZIYHHDYXEEW-UHFFFAOYSA-N 0 0 425.445 -0.121 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000516608006 1071947751 /nfs/dbraw/zinc/94/77/51/1071947751.db2.gz GPKARWAYEJSRQV-JTQLQIEISA-N 0 0 425.348 -0.870 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000516608007 1071947963 /nfs/dbraw/zinc/94/79/63/1071947963.db2.gz GPKARWAYEJSRQV-SNVBAGLBSA-N 0 0 425.348 -0.870 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCCS(N)(=O)=O)c1 ZINC000516613122 1072539698 /nfs/dbraw/zinc/53/96/98/1072539698.db2.gz OGDBXZXXGUBGBL-UHFFFAOYSA-N 0 0 434.540 -0.818 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCCS(N)(=O)=O)o1 ZINC000516613133 1071948006 /nfs/dbraw/zinc/94/80/06/1071948006.db2.gz WZBVVOUABIVGAN-LLVKDONJSA-N 0 0 437.496 -0.596 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCCS(N)(=O)=O)o1 ZINC000516613134 1071947973 /nfs/dbraw/zinc/94/79/73/1071947973.db2.gz WZBVVOUABIVGAN-NSHDSACASA-N 0 0 437.496 -0.596 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCCC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000516628180 1072596249 /nfs/dbraw/zinc/59/62/49/1072596249.db2.gz CGMSMCQDLCKJAH-UHFFFAOYSA-N 0 0 426.514 -0.289 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000516629906 1071949615 /nfs/dbraw/zinc/94/96/15/1071949615.db2.gz LBWPVAYVKANBGY-INIZCTEOSA-N 0 0 445.563 -0.510 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000516629907 1071949607 /nfs/dbraw/zinc/94/96/07/1071949607.db2.gz LBWPVAYVKANBGY-MRXNPFEDSA-N 0 0 445.563 -0.510 20 0 IBADRN COc1c(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc(Cl)cc1C(=O)N(C)C ZINC000516635926 1071949526 /nfs/dbraw/zinc/94/95/26/1071949526.db2.gz LMBJYWYYTMYRJZ-JTQLQIEISA-N 0 0 432.886 -0.122 20 0 IBADRN COc1c(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc(Cl)cc1C(=O)N(C)C ZINC000516635927 1071950095 /nfs/dbraw/zinc/95/00/95/1071950095.db2.gz LMBJYWYYTMYRJZ-SNVBAGLBSA-N 0 0 432.886 -0.122 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCn4nc(C)nc4C3)C2=O)c1 ZINC000516639884 1071948881 /nfs/dbraw/zinc/94/88/81/1071948881.db2.gz ZJRQDERBHNVSTA-INIZCTEOSA-N 0 0 428.449 -0.132 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCn4nc(C)nc4C3)C2=O)c1 ZINC000516639885 1071949118 /nfs/dbraw/zinc/94/91/18/1071949118.db2.gz ZJRQDERBHNVSTA-MRXNPFEDSA-N 0 0 428.449 -0.132 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCn2cc(C(=O)OC)nn2)cc1C ZINC000516658188 1071950189 /nfs/dbraw/zinc/95/01/89/1071950189.db2.gz BBQFWBUYWICQAJ-UHFFFAOYSA-N 0 0 438.466 -0.110 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000516658255 1071950067 /nfs/dbraw/zinc/95/00/67/1071950067.db2.gz FZWAQIRZCRLIBA-UHFFFAOYSA-N 0 0 435.506 -0.013 20 0 IBADRN CCc1ccnc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000516671472 1071950778 /nfs/dbraw/zinc/95/07/78/1071950778.db2.gz GBCOLLPXKRTGJX-UHFFFAOYSA-N 0 0 425.493 -0.095 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCc2c[nH]nc2C1)N1CCN(c2ccccn2)CC1 ZINC000516671817 1071950613 /nfs/dbraw/zinc/95/06/13/1071950613.db2.gz OZMTWAJDIIAAMZ-INIZCTEOSA-N 0 0 425.493 -0.367 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCc2c[nH]nc2C1)N1CCN(c2ccccn2)CC1 ZINC000516671818 1071950677 /nfs/dbraw/zinc/95/06/77/1071950677.db2.gz OZMTWAJDIIAAMZ-MRXNPFEDSA-N 0 0 425.493 -0.367 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CCC1=O ZINC000516673527 1071950646 /nfs/dbraw/zinc/95/06/46/1071950646.db2.gz YSLYLNFRORKNMX-HNNXBMFYSA-N 0 0 433.484 -0.563 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CCC1=O ZINC000516673533 1071950764 /nfs/dbraw/zinc/95/07/64/1071950764.db2.gz YSLYLNFRORKNMX-OAHLLOKOSA-N 0 0 433.484 -0.563 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(-n2cccn2)cc1 ZINC000516676036 1071951293 /nfs/dbraw/zinc/95/12/93/1071951293.db2.gz CZQHLLUKUUSCFY-HNNXBMFYSA-N 0 0 437.464 -0.593 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(-n2cccn2)cc1 ZINC000516676041 1071951226 /nfs/dbraw/zinc/95/12/26/1071951226.db2.gz CZQHLLUKUUSCFY-OAHLLOKOSA-N 0 0 437.464 -0.593 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000516680756 1071951907 /nfs/dbraw/zinc/95/19/07/1071951907.db2.gz YYAYVYPBCPWRGA-CEXHIMGSSA-N 0 0 430.552 -0.556 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000516680757 1071951979 /nfs/dbraw/zinc/95/19/79/1071951979.db2.gz YYAYVYPBCPWRGA-JGTBNHKDSA-N 0 0 430.552 -0.556 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000516680758 1071952045 /nfs/dbraw/zinc/95/20/45/1071952045.db2.gz YYAYVYPBCPWRGA-PFUSGODGSA-N 0 0 430.552 -0.556 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000516680759 1071951940 /nfs/dbraw/zinc/95/19/40/1071951940.db2.gz YYAYVYPBCPWRGA-QWVSSGJLSA-N 0 0 430.552 -0.556 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)COCc1ccccc1)CC2 ZINC000516682712 1071951824 /nfs/dbraw/zinc/95/18/24/1071951824.db2.gz GFBPWTKWEBRMBU-DLBZAZTESA-N 0 0 431.493 -0.108 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)COCc1ccccc1)CC2 ZINC000516682713 1071951953 /nfs/dbraw/zinc/95/19/53/1071951953.db2.gz GFBPWTKWEBRMBU-IAGOWNOFSA-N 0 0 431.493 -0.108 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N(C)C[C@H](O)COCc1ccccc1)CC2 ZINC000516682714 1071951997 /nfs/dbraw/zinc/95/19/97/1071951997.db2.gz GFBPWTKWEBRMBU-IRXDYDNUSA-N 0 0 431.493 -0.108 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N(C)C[C@H](O)COCc1ccccc1)CC2 ZINC000516682715 1071951956 /nfs/dbraw/zinc/95/19/56/1071951956.db2.gz GFBPWTKWEBRMBU-SJORKVTESA-N 0 0 431.493 -0.108 20 0 IBADRN CCn1cc(N2C(=O)C[C@H](N3CCN(c4cc(N5CCOCC5)ncn4)CC3)C2=O)cn1 ZINC000516685592 1071951872 /nfs/dbraw/zinc/95/18/72/1071951872.db2.gz BXMCLHVEEPAOFR-KRWDZBQOSA-N 0 0 440.508 -0.016 20 0 IBADRN CCn1cc(N2C(=O)C[C@@H](N3CCN(c4cc(N5CCOCC5)ncn4)CC3)C2=O)cn1 ZINC000516685593 1071951966 /nfs/dbraw/zinc/95/19/66/1071951966.db2.gz BXMCLHVEEPAOFR-QGZVFWFLSA-N 0 0 440.508 -0.016 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CC3)CC1)CCC2 ZINC000516685933 1071952644 /nfs/dbraw/zinc/95/26/44/1071952644.db2.gz MXTZGJSBQNTUBH-CRAIPNDOSA-N 0 0 446.552 -0.166 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CC3)CC1)CCC2 ZINC000516685934 1071952691 /nfs/dbraw/zinc/95/26/91/1071952691.db2.gz MXTZGJSBQNTUBH-MAUKXSAKSA-N 0 0 446.552 -0.166 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CC3)CC1)CCC2 ZINC000516685935 1071952675 /nfs/dbraw/zinc/95/26/75/1071952675.db2.gz MXTZGJSBQNTUBH-QAPCUYQASA-N 0 0 446.552 -0.166 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CC3)CC1)CCC2 ZINC000516685936 1071952695 /nfs/dbraw/zinc/95/26/95/1071952695.db2.gz MXTZGJSBQNTUBH-YJBOKZPZSA-N 0 0 446.552 -0.166 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000516690524 1071952686 /nfs/dbraw/zinc/95/26/86/1071952686.db2.gz UEOFUPPKJOBWKH-UHFFFAOYSA-N 0 0 432.506 -0.390 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)c(C)o1 ZINC000516705693 1071953151 /nfs/dbraw/zinc/95/31/51/1071953151.db2.gz OHPSFQHKXREAHY-UHFFFAOYSA-N 0 0 428.449 -0.372 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCc1cnn(C)c1 ZINC000516710768 1071953125 /nfs/dbraw/zinc/95/31/25/1071953125.db2.gz RNLAXHSIBXNCKY-UHFFFAOYSA-N 0 0 437.478 -0.296 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000516711788 1071954279 /nfs/dbraw/zinc/95/42/79/1071954279.db2.gz SDAFYHZZTSZXES-UHFFFAOYSA-N 0 0 441.444 -0.053 20 0 IBADRN O=C(CN1C=Nc2ccccc2S1(=O)=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000516715500 1071954258 /nfs/dbraw/zinc/95/42/58/1071954258.db2.gz OODDCQAUPDUXSD-AWEZNQCLSA-N 0 0 426.520 -0.318 20 0 IBADRN O=C(CN1C=Nc2ccccc2S1(=O)=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000516715501 1071954299 /nfs/dbraw/zinc/95/42/99/1071954299.db2.gz OODDCQAUPDUXSD-CQSZACIVSA-N 0 0 426.520 -0.318 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000516717943 1071953877 /nfs/dbraw/zinc/95/38/77/1071953877.db2.gz HRIRRTUGGMFAQL-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000516717946 1071953841 /nfs/dbraw/zinc/95/38/41/1071953841.db2.gz HRIRRTUGGMFAQL-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)cc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000516720675 1071953790 /nfs/dbraw/zinc/95/37/90/1071953790.db2.gz KMFNPQHQVZZDKJ-HNNXBMFYSA-N 0 0 431.424 -0.349 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(F)cc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000516720676 1071953818 /nfs/dbraw/zinc/95/38/18/1071953818.db2.gz KMFNPQHQVZZDKJ-OAHLLOKOSA-N 0 0 431.424 -0.349 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000516720757 1071953746 /nfs/dbraw/zinc/95/37/46/1071953746.db2.gz NDVLDGDEKWRAOQ-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000516726764 1071954290 /nfs/dbraw/zinc/95/42/90/1071954290.db2.gz GHERTUDLYCTFAA-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000516730014 1071954350 /nfs/dbraw/zinc/95/43/50/1071954350.db2.gz XDKOBDXLZMWQQC-UHFFFAOYSA-N 0 0 429.506 -0.243 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000516731733 1071954210 /nfs/dbraw/zinc/95/42/10/1071954210.db2.gz SLACSRNFPPDTDS-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H]3COCCO3)c2)CC1 ZINC000516733675 1071954199 /nfs/dbraw/zinc/95/41/99/1071954199.db2.gz UEMVPVXRWNQEAH-HNNXBMFYSA-N 0 0 426.495 -0.907 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H]3COCCO3)c2)CC1 ZINC000516733676 1071954372 /nfs/dbraw/zinc/95/43/72/1071954372.db2.gz UEMVPVXRWNQEAH-OAHLLOKOSA-N 0 0 426.495 -0.907 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCN3CCOCC3)c2)CC1 ZINC000516734110 1071954246 /nfs/dbraw/zinc/95/42/46/1071954246.db2.gz CYWLQHIJJXWYFJ-UHFFFAOYSA-N 0 0 439.538 -0.990 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000516735559 1071954268 /nfs/dbraw/zinc/95/42/68/1071954268.db2.gz JOEXGDOCJAHKKG-UHFFFAOYSA-N 0 0 444.536 -0.707 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCn3ccnc3)c2)CC1 ZINC000516737911 1071954322 /nfs/dbraw/zinc/95/43/22/1071954322.db2.gz RMBHMKYYFJHDNX-UHFFFAOYSA-N 0 0 434.522 -0.036 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc2)CC1 ZINC000516744657 1071954356 /nfs/dbraw/zinc/95/43/56/1071954356.db2.gz OFYPMFQVSJWDKG-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN COc1c(Cl)cccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000516745076 1071955470 /nfs/dbraw/zinc/95/54/70/1071955470.db2.gz MERJEQYYEHZBCI-UHFFFAOYSA-N 0 0 438.868 -0.183 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1 ZINC000516745302 1071954800 /nfs/dbraw/zinc/95/48/00/1071954800.db2.gz HEDUPKMJWWMBMB-UHFFFAOYSA-N 0 0 438.510 -0.756 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@@H](c2ccc(OC)c(OC)c2)N2CCOCC2)CC1=O ZINC000516745309 1071955521 /nfs/dbraw/zinc/95/55/21/1071955521.db2.gz HWVDKOVFMVLLJV-KRWDZBQOSA-N 0 0 448.520 -0.116 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@H](c2ccc(OC)c(OC)c2)N2CCOCC2)CC1=O ZINC000516745310 1071955485 /nfs/dbraw/zinc/95/54/85/1071955485.db2.gz HWVDKOVFMVLLJV-QGZVFWFLSA-N 0 0 448.520 -0.116 20 0 IBADRN CCCN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)[C@@H]1CC(=O)N(C)C1=O ZINC000516745461 1071954938 /nfs/dbraw/zinc/95/49/38/1071954938.db2.gz XKPMAFLYJRSRGO-HRCADAONSA-N 0 0 429.539 -0.350 20 0 IBADRN CCCN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)[C@H]1CC(=O)N(C)C1=O ZINC000516745462 1071954893 /nfs/dbraw/zinc/95/48/93/1071954893.db2.gz XKPMAFLYJRSRGO-JYJNAYRXSA-N 0 0 429.539 -0.350 20 0 IBADRN CCCN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)[C@@H]1CC(=O)N(C)C1=O ZINC000516745463 1071954944 /nfs/dbraw/zinc/95/49/44/1071954944.db2.gz XKPMAFLYJRSRGO-OWCLPIDISA-N 0 0 429.539 -0.350 20 0 IBADRN CCCN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)[C@H]1CC(=O)N(C)C1=O ZINC000516745464 1071954935 /nfs/dbraw/zinc/95/49/35/1071954935.db2.gz XKPMAFLYJRSRGO-PMPSAXMXSA-N 0 0 429.539 -0.350 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)cc2)CC1 ZINC000516748023 1071954230 /nfs/dbraw/zinc/95/42/30/1071954230.db2.gz KEKLJCKGUCLEMW-UHFFFAOYSA-N 0 0 429.399 -0.193 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1 ZINC000516748512 1071955476 /nfs/dbraw/zinc/95/54/76/1071955476.db2.gz NSDVMXLONWVELX-UHFFFAOYSA-N 0 0 437.522 -0.748 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(OCC(=O)N(C)C)c1 ZINC000516749191 1071954205 /nfs/dbraw/zinc/95/42/05/1071954205.db2.gz SSXKRCUCIUVONR-UHFFFAOYSA-N 0 0 445.520 -0.177 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1nc2cc(OC)ccc2n1C ZINC000516752231 1071954789 /nfs/dbraw/zinc/95/47/89/1071954789.db2.gz ZQMQNRPGIPCJLC-UHFFFAOYSA-N 0 0 425.511 -0.014 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CS(=O)(=O)CCCc1ccccc1 ZINC000516752475 1071954809 /nfs/dbraw/zinc/95/48/09/1071954809.db2.gz ZGSZCKBEURWLAW-INIZCTEOSA-N 0 0 430.548 -0.204 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CS(=O)(=O)CCCc1ccccc1 ZINC000516752476 1071954869 /nfs/dbraw/zinc/95/48/69/1071954869.db2.gz ZGSZCKBEURWLAW-MRXNPFEDSA-N 0 0 430.548 -0.204 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CSCC(=O)N2CCOCC2)c1 ZINC000516752573 1071954917 /nfs/dbraw/zinc/95/49/17/1071954917.db2.gz HWTJBBCPTOTMSC-UHFFFAOYSA-N 0 0 445.519 -0.332 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cn1cnc2c3ccccc3oc2c1=O ZINC000516761238 1071956020 /nfs/dbraw/zinc/95/60/20/1071956020.db2.gz PBBGPQNERAWYKR-GFCCVEGCSA-N 0 0 432.458 -0.096 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cn1cnc2c3ccccc3oc2c1=O ZINC000516761239 1071955986 /nfs/dbraw/zinc/95/59/86/1071955986.db2.gz PBBGPQNERAWYKR-LBPRGKRZSA-N 0 0 432.458 -0.096 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c2ccccn21 ZINC000516769248 1071955447 /nfs/dbraw/zinc/95/54/47/1071955447.db2.gz BTUOLKQILHOCSG-UHFFFAOYSA-N 0 0 435.506 -0.646 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)c3cn(CC(=O)N(C)C)nn3)CC2)c1 ZINC000516769878 1071955419 /nfs/dbraw/zinc/95/54/19/1071955419.db2.gz OBHBXXLKIXKBDK-UHFFFAOYSA-N 0 0 430.465 -0.018 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)c1cn(CC(=O)N(C)C)nn1 ZINC000516776748 1071957057 /nfs/dbraw/zinc/95/70/57/1071957057.db2.gz UWAVKUQCWSEKCW-UHFFFAOYSA-N 0 0 436.494 -0.052 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000516777936 1071956940 /nfs/dbraw/zinc/95/69/40/1071956940.db2.gz XHJIFQYPKLKGMH-PBHICJAKSA-N 0 0 445.495 -0.142 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000516777937 1071957005 /nfs/dbraw/zinc/95/70/05/1071957005.db2.gz XHJIFQYPKLKGMH-RHSMWYFYSA-N 0 0 445.495 -0.142 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000516777938 1071956945 /nfs/dbraw/zinc/95/69/45/1071956945.db2.gz XHJIFQYPKLKGMH-WMLDXEAASA-N 0 0 445.495 -0.142 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000516777939 1071956918 /nfs/dbraw/zinc/95/69/18/1071956918.db2.gz XHJIFQYPKLKGMH-YOEHRIQHSA-N 0 0 445.495 -0.142 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@@H]1C ZINC000516778863 1071956048 /nfs/dbraw/zinc/95/60/48/1071956048.db2.gz YQEIBSSLYMXJSF-KRWDZBQOSA-N 0 0 446.552 -0.023 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@H]1C ZINC000516778864 1071955903 /nfs/dbraw/zinc/95/59/03/1071955903.db2.gz YQEIBSSLYMXJSF-QGZVFWFLSA-N 0 0 446.552 -0.023 20 0 IBADRN O=C(NC[C@@H](c1ccco1)N1CCCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000516779406 1071956030 /nfs/dbraw/zinc/95/60/30/1071956030.db2.gz ZEPHNDIHYUBRGE-KRWDZBQOSA-N 0 0 445.520 -0.128 20 0 IBADRN O=C(NC[C@H](c1ccco1)N1CCCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000516779407 1071955997 /nfs/dbraw/zinc/95/59/97/1071955997.db2.gz ZEPHNDIHYUBRGE-QGZVFWFLSA-N 0 0 445.520 -0.128 20 0 IBADRN CC(C)(C)c1nc(CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cs1 ZINC000516780622 1071955918 /nfs/dbraw/zinc/95/59/18/1071955918.db2.gz SBAYKEAADNTJLO-UHFFFAOYSA-N 0 0 434.526 -0.543 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cc1 ZINC000516790399 1071956511 /nfs/dbraw/zinc/95/65/11/1071956511.db2.gz MPRYATWZZICRII-CYBMUJFWSA-N 0 0 431.401 -0.226 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cc1 ZINC000516790400 1071956414 /nfs/dbraw/zinc/95/64/14/1071956414.db2.gz MPRYATWZZICRII-ZDUSSCGKSA-N 0 0 431.401 -0.226 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2nnc(C3CC3)n2C[C@@H]2CCOC2)CC1 ZINC000516790708 1071956547 /nfs/dbraw/zinc/95/65/47/1071956547.db2.gz RJTUNAKKUOMQBL-HNNXBMFYSA-N 0 0 426.543 -0.109 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2nnc(C3CC3)n2C[C@H]2CCOC2)CC1 ZINC000516790720 1071956489 /nfs/dbraw/zinc/95/64/89/1071956489.db2.gz RJTUNAKKUOMQBL-OAHLLOKOSA-N 0 0 426.543 -0.109 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000516792007 1071958106 /nfs/dbraw/zinc/95/81/06/1071958106.db2.gz KTICKJTWKWXLNJ-AWEZNQCLSA-N 0 0 446.551 -0.276 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000516792008 1071957943 /nfs/dbraw/zinc/95/79/43/1071957943.db2.gz KTICKJTWKWXLNJ-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1 ZINC000516793100 1071958227 /nfs/dbraw/zinc/95/82/27/1071958227.db2.gz GQAZKQHNEQEYNJ-HNNXBMFYSA-N 0 0 436.490 -0.186 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1 ZINC000516793101 1071958140 /nfs/dbraw/zinc/95/81/40/1071958140.db2.gz GQAZKQHNEQEYNJ-OAHLLOKOSA-N 0 0 436.490 -0.186 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1 ZINC000516793323 1071958238 /nfs/dbraw/zinc/95/82/38/1071958238.db2.gz MYBXIIWHHPEAMQ-AWEZNQCLSA-N 0 0 438.506 -0.362 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1 ZINC000516793324 1071958025 /nfs/dbraw/zinc/95/80/25/1071958025.db2.gz MYBXIIWHHPEAMQ-CQSZACIVSA-N 0 0 438.506 -0.362 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000516794376 1071958587 /nfs/dbraw/zinc/95/85/87/1071958587.db2.gz ANGZEKZKAZBKRS-AWEZNQCLSA-N 0 0 440.522 -0.059 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000516794377 1071958607 /nfs/dbraw/zinc/95/86/07/1071958607.db2.gz ANGZEKZKAZBKRS-CQSZACIVSA-N 0 0 440.522 -0.059 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000516795739 1071958852 /nfs/dbraw/zinc/95/88/52/1071958852.db2.gz XGWFMDNWSCRJHF-AWEZNQCLSA-N 0 0 444.535 -0.394 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000516795740 1071958650 /nfs/dbraw/zinc/95/86/50/1071958650.db2.gz XGWFMDNWSCRJHF-CQSZACIVSA-N 0 0 444.535 -0.394 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000516797442 1071958823 /nfs/dbraw/zinc/95/88/23/1071958823.db2.gz WSWRGZUGQSDYGZ-UHFFFAOYSA-N 0 0 443.551 -0.157 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)Nc1nncs1 ZINC000516799192 1071959245 /nfs/dbraw/zinc/95/92/45/1071959245.db2.gz NARMRQJISPNDSQ-JTQLQIEISA-N 0 0 427.464 -0.140 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)Nc1nncs1 ZINC000516799193 1071959273 /nfs/dbraw/zinc/95/92/73/1071959273.db2.gz NARMRQJISPNDSQ-SNVBAGLBSA-N 0 0 427.464 -0.140 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000516802677 1071959977 /nfs/dbraw/zinc/95/99/77/1071959977.db2.gz JXOKSWJKQQPQFG-IHRRRGAJSA-N 0 0 440.474 -0.693 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000516802898 1071959831 /nfs/dbraw/zinc/95/98/31/1071959831.db2.gz JXOKSWJKQQPQFG-MCIONIFRSA-N 0 0 440.474 -0.693 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000516802899 1071959886 /nfs/dbraw/zinc/95/98/86/1071959886.db2.gz JXOKSWJKQQPQFG-MJBXVCDLSA-N 0 0 440.474 -0.693 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000516802900 1071959962 /nfs/dbraw/zinc/95/99/62/1071959962.db2.gz JXOKSWJKQQPQFG-RDBSUJKOSA-N 0 0 440.474 -0.693 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCN(Cc2nc(COC)no2)CC1)c1ccccc1 ZINC000516804452 1071959904 /nfs/dbraw/zinc/95/99/04/1071959904.db2.gz ZANXXCZVIAPHHI-INIZCTEOSA-N 0 0 444.492 -0.146 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCN(Cc2nc(COC)no2)CC1)c1ccccc1 ZINC000516804453 1071960000 /nfs/dbraw/zinc/96/00/00/1071960000.db2.gz ZANXXCZVIAPHHI-MRXNPFEDSA-N 0 0 444.492 -0.146 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC2)c1 ZINC000516804599 1071957076 /nfs/dbraw/zinc/95/70/76/1071957076.db2.gz OJWKTEUGUQFQBU-JTQLQIEISA-N 0 0 439.537 -0.695 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC2)c1 ZINC000516804600 1071956967 /nfs/dbraw/zinc/95/69/67/1071956967.db2.gz OJWKTEUGUQFQBU-SNVBAGLBSA-N 0 0 439.537 -0.695 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000516804836 1071957392 /nfs/dbraw/zinc/95/73/92/1071957392.db2.gz CNYOJGOGACCTKA-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000516804837 1071957542 /nfs/dbraw/zinc/95/75/42/1071957542.db2.gz CNYOJGOGACCTKA-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H](O)COc3ccc4c(c3)OCO4)c2c(=O)n(C)c1=O ZINC000516804964 1071957525 /nfs/dbraw/zinc/95/75/25/1071957525.db2.gz PPJPZXJKSTUSGG-GFCCVEGCSA-N 0 0 445.432 -0.891 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H](O)COc3ccc4c(c3)OCO4)c2c(=O)n(C)c1=O ZINC000516804966 1071957426 /nfs/dbraw/zinc/95/74/26/1071957426.db2.gz PPJPZXJKSTUSGG-LBPRGKRZSA-N 0 0 445.432 -0.891 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000516805352 1071957505 /nfs/dbraw/zinc/95/75/05/1071957505.db2.gz SXBVBKJZAQXMBJ-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000516805353 1071957486 /nfs/dbraw/zinc/95/74/86/1071957486.db2.gz SXBVBKJZAQXMBJ-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000516805396 1071957029 /nfs/dbraw/zinc/95/70/29/1071957029.db2.gz XHUVDJGMIUDMOV-UHFFFAOYSA-N 0 0 444.535 -0.409 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c(=O)n(C)c1=O ZINC000516805434 1071956955 /nfs/dbraw/zinc/95/69/55/1071956955.db2.gz ITRGDFFYEXXNQJ-CYBMUJFWSA-N 0 0 437.522 -0.641 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c(=O)n(C)c1=O ZINC000516805435 1071957548 /nfs/dbraw/zinc/95/75/48/1071957548.db2.gz ITRGDFFYEXXNQJ-ZDUSSCGKSA-N 0 0 437.522 -0.641 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@@H]3C3CC3)CC2)C[C@@H](C)O1 ZINC000516814112 1071960585 /nfs/dbraw/zinc/96/05/85/1071960585.db2.gz WBURKCAHMZVOLG-MUIFIZLQSA-N 0 0 444.554 -0.832 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@H]3C3CC3)CC2)C[C@@H](C)O1 ZINC000516814117 1071960592 /nfs/dbraw/zinc/96/05/92/1071960592.db2.gz WBURKCAHMZVOLG-SRABZTEZSA-N 0 0 444.554 -0.832 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@H]3C3CC3)CC2)C[C@H](C)O1 ZINC000516814119 1071960485 /nfs/dbraw/zinc/96/04/85/1071960485.db2.gz WBURKCAHMZVOLG-WTTBNOFXSA-N 0 0 444.554 -0.832 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@@H]3C3CC3)CC2)C[C@H](C)O1 ZINC000516814120 1071960579 /nfs/dbraw/zinc/96/05/79/1071960579.db2.gz WBURKCAHMZVOLG-YALNPMBYSA-N 0 0 444.554 -0.832 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000516818497 1071961023 /nfs/dbraw/zinc/96/10/23/1071961023.db2.gz WBYUBPLWHHOKBP-UHFFFAOYSA-N 0 0 441.554 -0.282 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(OC3COC3)cc2)CC1 ZINC000516820952 1071963034 /nfs/dbraw/zinc/96/30/34/1071963034.db2.gz YBTGZCZYKFOCQA-UHFFFAOYSA-N 0 0 426.495 -0.257 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCN(CC)CC2)c(=O)[nH]c1=O ZINC000516827816 1071962819 /nfs/dbraw/zinc/96/28/19/1071962819.db2.gz HRUQKCUYISAKML-UHFFFAOYSA-N 0 0 438.529 -0.135 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)cn1 ZINC000516833845 1071962084 /nfs/dbraw/zinc/96/20/84/1071962084.db2.gz KZWRIYAEUDMWGF-INIZCTEOSA-N 0 0 435.506 -0.076 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)cn1 ZINC000516833846 1071962151 /nfs/dbraw/zinc/96/21/51/1071962151.db2.gz KZWRIYAEUDMWGF-MRXNPFEDSA-N 0 0 435.506 -0.076 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C(=O)C1=O ZINC000516835349 1071962216 /nfs/dbraw/zinc/96/22/16/1071962216.db2.gz JYJPNCYSKSUMLE-UHFFFAOYSA-N 0 0 432.506 -0.909 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000516839559 1071963000 /nfs/dbraw/zinc/96/30/00/1071963000.db2.gz JFDUHZMGQAQNER-UHFFFAOYSA-N 0 0 441.488 -0.739 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000516839803 1071963082 /nfs/dbraw/zinc/96/30/82/1071963082.db2.gz IRVDRFBXHUISBF-AWEZNQCLSA-N 0 0 432.502 -0.411 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000516839804 1071962927 /nfs/dbraw/zinc/96/29/27/1071962927.db2.gz IRVDRFBXHUISBF-CQSZACIVSA-N 0 0 432.502 -0.411 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1)N1CCOCC1 ZINC000516839874 1071963444 /nfs/dbraw/zinc/96/34/44/1071963444.db2.gz RJQOYHYCOFHUHG-UHFFFAOYSA-N 0 0 429.477 -0.539 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000516839988 1071963426 /nfs/dbraw/zinc/96/34/26/1071963426.db2.gz ZXGRMERFGNAJLT-KRWDZBQOSA-N 0 0 430.571 -0.416 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000516839989 1071963558 /nfs/dbraw/zinc/96/35/58/1071963558.db2.gz ZXGRMERFGNAJLT-QGZVFWFLSA-N 0 0 430.571 -0.416 20 0 IBADRN NC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000516840682 1071963388 /nfs/dbraw/zinc/96/33/88/1071963388.db2.gz UXZFIFKPMYYLON-UHFFFAOYSA-N 0 0 445.885 -0.438 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@]34CCC(=O)N3CCS4)CC2)C[C@@H](C)O1 ZINC000516841849 1071963436 /nfs/dbraw/zinc/96/34/36/1071963436.db2.gz PIRIXRRYFYPSMH-CKEIUWERSA-N 0 0 432.568 -0.450 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@]34CCC(=O)N3CCS4)CC2)C[C@@H](C)O1 ZINC000516841850 1071963379 /nfs/dbraw/zinc/96/33/79/1071963379.db2.gz PIRIXRRYFYPSMH-CPUCHLNUSA-N 0 0 432.568 -0.450 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@]34CCC(=O)N3CCS4)CC2)C[C@H](C)O1 ZINC000516841851 1071963345 /nfs/dbraw/zinc/96/33/45/1071963345.db2.gz PIRIXRRYFYPSMH-JKIFEVAISA-N 0 0 432.568 -0.450 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@]34CCC(=O)N3CCS4)CC2)C[C@H](C)O1 ZINC000516841852 1071963450 /nfs/dbraw/zinc/96/34/50/1071963450.db2.gz PIRIXRRYFYPSMH-VBQJREDUSA-N 0 0 432.568 -0.450 20 0 IBADRN O=C(NCCOCCO)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000516843402 1071963418 /nfs/dbraw/zinc/96/34/18/1071963418.db2.gz FQHNUKSDSUPURX-UHFFFAOYSA-N 0 0 443.522 -0.717 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)CC1 ZINC000516844595 1071963521 /nfs/dbraw/zinc/96/35/21/1071963521.db2.gz JZWQHLUPISSWBV-UHFFFAOYSA-N 0 0 444.492 -0.568 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000516845217 1071963408 /nfs/dbraw/zinc/96/34/08/1071963408.db2.gz YSRXIJHAFVKUBL-HNNXBMFYSA-N 0 0 442.538 -0.731 20 0 IBADRN COC(=O)c1sc(NC(=O)CCN2CCN(S(N)(=O)=O)CC2)c(C(=O)OC)c1C ZINC000516858940 1071964091 /nfs/dbraw/zinc/96/40/91/1071964091.db2.gz LNEXGRMEDPAKKA-UHFFFAOYSA-N 0 0 448.523 -0.221 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H]2CCCn3ccnc32)CC1 ZINC000516859536 1071964212 /nfs/dbraw/zinc/96/42/12/1071964212.db2.gz YTEVNIBWVYSKPA-DLBZAZTESA-N 0 0 432.525 -0.732 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H]2CCCn3ccnc32)CC1 ZINC000516859537 1071964069 /nfs/dbraw/zinc/96/40/69/1071964069.db2.gz YTEVNIBWVYSKPA-IAGOWNOFSA-N 0 0 432.525 -0.732 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H]2CCCn3ccnc32)CC1 ZINC000516859538 1071964108 /nfs/dbraw/zinc/96/41/08/1071964108.db2.gz YTEVNIBWVYSKPA-IRXDYDNUSA-N 0 0 432.525 -0.732 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H]2CCCn3ccnc32)CC1 ZINC000516859539 1071964005 /nfs/dbraw/zinc/96/40/05/1071964005.db2.gz YTEVNIBWVYSKPA-SJORKVTESA-N 0 0 432.525 -0.732 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC[C@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000516860426 1071964042 /nfs/dbraw/zinc/96/40/42/1071964042.db2.gz NUIRJMMYDHCGMM-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000516872003 1071964112 /nfs/dbraw/zinc/96/41/12/1071964112.db2.gz LZVDBOXWNLGSNX-INIZCTEOSA-N 0 0 448.549 -0.360 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000516872004 1071964135 /nfs/dbraw/zinc/96/41/35/1071964135.db2.gz LZVDBOXWNLGSNX-MRXNPFEDSA-N 0 0 448.549 -0.360 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000516874340 1071964172 /nfs/dbraw/zinc/96/41/72/1071964172.db2.gz ZJTCPIJMTYOWDN-UHFFFAOYSA-N 0 0 432.568 -0.368 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000516882183 1071964080 /nfs/dbraw/zinc/96/40/80/1071964080.db2.gz OBVVIMJUNPMBNY-LLVKDONJSA-N 0 0 427.425 -0.680 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000516882184 1071964164 /nfs/dbraw/zinc/96/41/64/1071964164.db2.gz OBVVIMJUNPMBNY-NSHDSACASA-N 0 0 427.425 -0.680 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)CCO1 ZINC000516884488 1071964556 /nfs/dbraw/zinc/96/45/56/1071964556.db2.gz AQRLCLNJMILRCE-FQEVSTJZSA-N 0 0 444.532 -0.053 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)CCO1 ZINC000516884489 1071964478 /nfs/dbraw/zinc/96/44/78/1071964478.db2.gz AQRLCLNJMILRCE-HXUWFJFHSA-N 0 0 444.532 -0.053 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(Cc4ccccn4)CC3)C2=O)n(C)n1 ZINC000516887694 1071964565 /nfs/dbraw/zinc/96/45/65/1071964565.db2.gz HKDXHEMASKJYGZ-KRWDZBQOSA-N 0 0 425.493 -0.311 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(Cc4ccccn4)CC3)C2=O)n(C)n1 ZINC000516887695 1071964491 /nfs/dbraw/zinc/96/44/91/1071964491.db2.gz HKDXHEMASKJYGZ-QGZVFWFLSA-N 0 0 425.493 -0.311 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)CC1CCS(=O)(=O)CC1 ZINC000516889296 1071964665 /nfs/dbraw/zinc/96/46/65/1071964665.db2.gz DIHLZWKMJAGCQD-AWEZNQCLSA-N 0 0 431.602 -0.609 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)CC1CCS(=O)(=O)CC1 ZINC000516889297 1071964520 /nfs/dbraw/zinc/96/45/20/1071964520.db2.gz DIHLZWKMJAGCQD-CQSZACIVSA-N 0 0 431.602 -0.609 20 0 IBADRN CC[C@H](C)Oc1cc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccn1 ZINC000516890591 1071964672 /nfs/dbraw/zinc/96/46/72/1071964672.db2.gz HCPOCIYXQQTPBV-KRWDZBQOSA-N 0 0 447.536 -0.122 20 0 IBADRN CC[C@@H](C)Oc1cc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccn1 ZINC000516890592 1071964469 /nfs/dbraw/zinc/96/44/69/1071964469.db2.gz HCPOCIYXQQTPBV-QGZVFWFLSA-N 0 0 447.536 -0.122 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)cn1 ZINC000516892543 1071965198 /nfs/dbraw/zinc/96/51/98/1071965198.db2.gz QKKJPTLWKHEPGZ-KYJSFNMBSA-N 0 0 434.541 -0.358 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)cn1 ZINC000516892544 1071965246 /nfs/dbraw/zinc/96/52/46/1071965246.db2.gz QKKJPTLWKHEPGZ-LESCRADOSA-N 0 0 434.541 -0.358 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCn2c(Cc3ccccc3)nnc2C1 ZINC000516893544 1071965113 /nfs/dbraw/zinc/96/51/13/1071965113.db2.gz PAXXTTDAKYRQDS-MRXNPFEDSA-N 0 0 446.533 -0.249 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)C[C@@H]1c1ncc[nH]1 ZINC000516894935 1071964942 /nfs/dbraw/zinc/96/49/42/1071964942.db2.gz YVDDLENHUVEXIH-NUJGCVRESA-N 0 0 442.524 -0.255 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)C[C@H]1c1ncc[nH]1 ZINC000516894936 1071965285 /nfs/dbraw/zinc/96/52/85/1071965285.db2.gz YVDDLENHUVEXIH-RYRKJORJSA-N 0 0 442.524 -0.255 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000516905984 1071964974 /nfs/dbraw/zinc/96/49/74/1071964974.db2.gz BWZOZGVSPZWDED-AWEZNQCLSA-N 0 0 447.535 -0.721 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000516905985 1071965019 /nfs/dbraw/zinc/96/50/19/1071965019.db2.gz BWZOZGVSPZWDED-CQSZACIVSA-N 0 0 447.535 -0.721 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1nnc2n1CCC2 ZINC000516915542 1071965855 /nfs/dbraw/zinc/96/58/55/1071965855.db2.gz VLBPASZXGYHPEZ-KBPBESRZSA-N 0 0 440.526 -0.946 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1nnc2n1CCC2 ZINC000516915543 1071965783 /nfs/dbraw/zinc/96/57/83/1071965783.db2.gz VLBPASZXGYHPEZ-KGLIPLIRSA-N 0 0 440.526 -0.946 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1nnc2n1CCC2 ZINC000516915544 1071965754 /nfs/dbraw/zinc/96/57/54/1071965754.db2.gz VLBPASZXGYHPEZ-UONOGXRCSA-N 0 0 440.526 -0.946 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1nnc2n1CCC2 ZINC000516915545 1071965873 /nfs/dbraw/zinc/96/58/73/1071965873.db2.gz VLBPASZXGYHPEZ-ZIAGYGMSSA-N 0 0 440.526 -0.946 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(c2cc(C3CC3)nc(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000516918063 1071966289 /nfs/dbraw/zinc/96/62/89/1071966289.db2.gz AJXZZJQRTKSAIO-KBPBESRZSA-N 0 0 444.583 -0.390 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2cc(C3CC3)nc(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000516918064 1071966278 /nfs/dbraw/zinc/96/62/78/1071966278.db2.gz AJXZZJQRTKSAIO-KGLIPLIRSA-N 0 0 444.583 -0.390 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2nc(C3CC3)cc(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000516918065 1071966398 /nfs/dbraw/zinc/96/63/98/1071966398.db2.gz AJXZZJQRTKSAIO-UONOGXRCSA-N 0 0 444.583 -0.390 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2cc(C3CC3)nc(N3CC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000516918066 1071966172 /nfs/dbraw/zinc/96/61/72/1071966172.db2.gz AJXZZJQRTKSAIO-ZIAGYGMSSA-N 0 0 444.583 -0.390 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)CCNC(=O)N(C)C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000516918122 1071966303 /nfs/dbraw/zinc/96/63/03/1071966303.db2.gz DKMBZMUFBSWUQA-CYBMUJFWSA-N 0 0 427.527 -0.156 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)CCNC(=O)N(C)C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000516918123 1071966209 /nfs/dbraw/zinc/96/62/09/1071966209.db2.gz DKMBZMUFBSWUQA-ZDUSSCGKSA-N 0 0 427.527 -0.156 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1)S(C)(=O)=O ZINC000516931180 1071966950 /nfs/dbraw/zinc/96/69/50/1071966950.db2.gz PGJLHZRKVHONCF-UHFFFAOYSA-N 0 0 445.517 -0.539 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCc2cc[nH]c(=O)c2C1)N1CCN(c2ccccn2)CC1 ZINC000516932789 1071966806 /nfs/dbraw/zinc/96/68/06/1071966806.db2.gz CNDAFAIAGDURIL-UHFFFAOYSA-N 0 0 438.488 -0.078 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2ccccc2c1)NCCN1CCS(=O)(=O)CC1 ZINC000516939796 1071966997 /nfs/dbraw/zinc/96/69/97/1071966997.db2.gz LBUBCQJFHKGIBO-UHFFFAOYSA-N 0 0 425.532 -0.035 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F)CN1CCOCC1 ZINC000516940785 1071967400 /nfs/dbraw/zinc/96/74/00/1071967400.db2.gz MMEOUWRCFYYEDD-IBGZPJMESA-N 0 0 444.435 -0.433 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F)CN1CCOCC1 ZINC000516940786 1071967510 /nfs/dbraw/zinc/96/75/10/1071967510.db2.gz MMEOUWRCFYYEDD-LJQANCHMSA-N 0 0 444.435 -0.433 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000516943324 1071966945 /nfs/dbraw/zinc/96/69/45/1071966945.db2.gz SOTZWMKKWYXVIY-KBPBESRZSA-N 0 0 425.577 -0.772 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000516943325 1071966731 /nfs/dbraw/zinc/96/67/31/1071966731.db2.gz SOTZWMKKWYXVIY-KGLIPLIRSA-N 0 0 425.577 -0.772 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000516943326 1071966708 /nfs/dbraw/zinc/96/67/08/1071966708.db2.gz SOTZWMKKWYXVIY-UONOGXRCSA-N 0 0 425.577 -0.772 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000516943327 1071966793 /nfs/dbraw/zinc/96/67/93/1071966793.db2.gz SOTZWMKKWYXVIY-ZIAGYGMSSA-N 0 0 425.577 -0.772 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@H](CO)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000516947500 1071967457 /nfs/dbraw/zinc/96/74/57/1071967457.db2.gz NMVVITLYZRLAGY-CVEARBPZSA-N 0 0 428.507 -0.540 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@H](CO)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000516947501 1071967525 /nfs/dbraw/zinc/96/75/25/1071967525.db2.gz NMVVITLYZRLAGY-HOTGVXAUSA-N 0 0 428.507 -0.540 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@H](CO)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000516947502 1071967353 /nfs/dbraw/zinc/96/73/53/1071967353.db2.gz NMVVITLYZRLAGY-HZPDHXFCSA-N 0 0 428.507 -0.540 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@H](CO)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000516947503 1071967556 /nfs/dbraw/zinc/96/75/56/1071967556.db2.gz NMVVITLYZRLAGY-JKSUJKDBSA-N 0 0 428.507 -0.540 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)CC1)N1CCCCCC1 ZINC000516975294 1071968004 /nfs/dbraw/zinc/96/80/04/1071968004.db2.gz WRQBQPJLPLULTP-AVRDEDQJSA-N 0 0 436.553 -0.013 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)CC1)N1CCCCCC1 ZINC000516975295 1071968074 /nfs/dbraw/zinc/96/80/74/1071968074.db2.gz WRQBQPJLPLULTP-GCJKJVERSA-N 0 0 436.553 -0.013 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)CC1)N1CCCCCC1 ZINC000516975296 1071968485 /nfs/dbraw/zinc/96/84/85/1071968485.db2.gz WRQBQPJLPLULTP-PGRDOPGGSA-N 0 0 436.553 -0.013 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)CC1)N1CCCCCC1 ZINC000516975297 1071968714 /nfs/dbraw/zinc/96/87/14/1071968714.db2.gz WRQBQPJLPLULTP-XMSQKQJNSA-N 0 0 436.553 -0.013 20 0 IBADRN CCN(CCCO)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000516983336 1071968629 /nfs/dbraw/zinc/96/86/29/1071968629.db2.gz ALTFWWAQMOTGRK-UHFFFAOYSA-N 0 0 427.523 -0.304 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)CC1 ZINC000516983971 1071968454 /nfs/dbraw/zinc/96/84/54/1071968454.db2.gz LRSCARSUILRJLO-UHFFFAOYSA-N 0 0 435.569 -0.046 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000516984233 1071968643 /nfs/dbraw/zinc/96/86/43/1071968643.db2.gz PPGPCQGKJVJLJW-AWEZNQCLSA-N 0 0 430.552 -0.659 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000516984234 1071968707 /nfs/dbraw/zinc/96/87/07/1071968707.db2.gz PPGPCQGKJVJLJW-CQSZACIVSA-N 0 0 430.552 -0.659 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCn2ccnc2C)C1 ZINC000516984923 1071968723 /nfs/dbraw/zinc/96/87/23/1071968723.db2.gz UUIKYHSTAHWYFE-INIZCTEOSA-N 0 0 429.543 -0.403 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCn2ccnc2C)C1 ZINC000516984924 1071968517 /nfs/dbraw/zinc/96/85/17/1071968517.db2.gz UUIKYHSTAHWYFE-MRXNPFEDSA-N 0 0 429.543 -0.403 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000516993041 1071969328 /nfs/dbraw/zinc/96/93/28/1071969328.db2.gz XOIPYQNMDCTMGI-DLBZAZTESA-N 0 0 449.533 -0.280 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000516993043 1071969302 /nfs/dbraw/zinc/96/93/02/1071969302.db2.gz XOIPYQNMDCTMGI-IAGOWNOFSA-N 0 0 449.533 -0.280 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000516993182 1071969195 /nfs/dbraw/zinc/96/91/95/1071969195.db2.gz XOIPYQNMDCTMGI-IRXDYDNUSA-N 0 0 449.533 -0.280 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000516993185 1071969184 /nfs/dbraw/zinc/96/91/84/1071969184.db2.gz XOIPYQNMDCTMGI-SJORKVTESA-N 0 0 449.533 -0.280 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000516995194 1071969171 /nfs/dbraw/zinc/96/91/71/1071969171.db2.gz STLSADIQMRTLHW-AWEZNQCLSA-N 0 0 440.522 -0.498 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000516995202 1071969338 /nfs/dbraw/zinc/96/93/38/1071969338.db2.gz STLSADIQMRTLHW-CQSZACIVSA-N 0 0 440.522 -0.498 20 0 IBADRN CC(C)(CO)[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000516996988 1071969164 /nfs/dbraw/zinc/96/91/64/1071969164.db2.gz ZIRXTFMLEVWLCL-GOSISDBHSA-N 0 0 444.532 -0.146 20 0 IBADRN CC(C)(CO)[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000516996989 1071969150 /nfs/dbraw/zinc/96/91/50/1071969150.db2.gz ZIRXTFMLEVWLCL-SFHVURJKSA-N 0 0 444.532 -0.146 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)[C@H]1c1ccccc1 ZINC000516999869 1071969936 /nfs/dbraw/zinc/96/99/36/1071969936.db2.gz DQAHNLUQUBOBFO-IPELMVKDSA-N 0 0 437.518 -0.263 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)[C@H]1c1ccccc1 ZINC000516999870 1071969947 /nfs/dbraw/zinc/96/99/47/1071969947.db2.gz DQAHNLUQUBOBFO-LZQZEXGQSA-N 0 0 437.518 -0.263 20 0 IBADRN O=C(Cn1nc2cnccn2c1=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000517001029 1071969890 /nfs/dbraw/zinc/96/98/90/1071969890.db2.gz RVROKVBBUGNGGE-UHFFFAOYSA-N 0 0 432.462 -0.772 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)Cc1nnc2n1CCC2 ZINC000517006914 1071969849 /nfs/dbraw/zinc/96/98/49/1071969849.db2.gz CBODABQGKOMADC-UHFFFAOYSA-N 0 0 448.549 -0.007 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1)N1CCOCC1 ZINC000517018028 1071970598 /nfs/dbraw/zinc/97/05/98/1071970598.db2.gz CHIKIMYDXLKAHI-PBHICJAKSA-N 0 0 426.539 -0.016 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1)N1CCOCC1 ZINC000517018029 1071970465 /nfs/dbraw/zinc/97/04/65/1071970465.db2.gz CHIKIMYDXLKAHI-RHSMWYFYSA-N 0 0 426.539 -0.016 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1)N1CCOCC1 ZINC000517018030 1071970491 /nfs/dbraw/zinc/97/04/91/1071970491.db2.gz CHIKIMYDXLKAHI-WMLDXEAASA-N 0 0 426.539 -0.016 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1)N1CCOCC1 ZINC000517018031 1071970615 /nfs/dbraw/zinc/97/06/15/1071970615.db2.gz CHIKIMYDXLKAHI-YOEHRIQHSA-N 0 0 426.539 -0.016 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)OC ZINC000517022104 1071970668 /nfs/dbraw/zinc/97/06/68/1071970668.db2.gz XQIXINNTGCISGV-INIZCTEOSA-N 0 0 427.479 -0.543 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)OC ZINC000517022105 1071970458 /nfs/dbraw/zinc/97/04/58/1071970458.db2.gz XQIXINNTGCISGV-MRXNPFEDSA-N 0 0 427.479 -0.543 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)[C@H]2C1 ZINC000517022434 1071969807 /nfs/dbraw/zinc/96/98/07/1071969807.db2.gz MFRIIIBRNQGEML-BZSNNMDCSA-N 0 0 443.570 -0.462 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)[C@@H]2C1 ZINC000517022435 1071969840 /nfs/dbraw/zinc/96/98/40/1071969840.db2.gz MFRIIIBRNQGEML-KSZLIROESA-N 0 0 443.570 -0.462 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)[C@H]2C1 ZINC000517022436 1071970526 /nfs/dbraw/zinc/97/05/26/1071970526.db2.gz MFRIIIBRNQGEML-OKZBNKHCSA-N 0 0 443.570 -0.462 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)[C@@H]2C1 ZINC000517022437 1071970511 /nfs/dbraw/zinc/97/05/11/1071970511.db2.gz MFRIIIBRNQGEML-RCCFBDPRSA-N 0 0 443.570 -0.462 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)C2=O)n(C)n1 ZINC000517035285 1071970634 /nfs/dbraw/zinc/97/06/34/1071970634.db2.gz XXKNCUHKNDJPMD-CVEARBPZSA-N 0 0 442.524 -0.141 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)C2=O)n(C)n1 ZINC000517035286 1071970516 /nfs/dbraw/zinc/97/05/16/1071970516.db2.gz XXKNCUHKNDJPMD-HOTGVXAUSA-N 0 0 442.524 -0.141 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)C2=O)n(C)n1 ZINC000517035287 1071970482 /nfs/dbraw/zinc/97/04/82/1071970482.db2.gz XXKNCUHKNDJPMD-HZPDHXFCSA-N 0 0 442.524 -0.141 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)C2=O)n(C)n1 ZINC000517035288 1071970645 /nfs/dbraw/zinc/97/06/45/1071970645.db2.gz XXKNCUHKNDJPMD-JKSUJKDBSA-N 0 0 442.524 -0.141 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000517045084 1071971807 /nfs/dbraw/zinc/97/18/07/1071971807.db2.gz RTNPNDLVWVNLMI-FPCVCCKLSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N2CCO[C@@H](C(=O)OC)C2)CCO1 ZINC000517045088 1071971732 /nfs/dbraw/zinc/97/17/32/1071971732.db2.gz RTNPNDLVWVNLMI-KLHDSHLOSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000517045089 1071971792 /nfs/dbraw/zinc/97/17/92/1071971792.db2.gz RTNPNDLVWVNLMI-WCVJEAGWSA-N 0 0 426.466 -0.406 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](N3CCNC3=O)C2)ccc1F ZINC000517049315 1071971820 /nfs/dbraw/zinc/97/18/20/1071971820.db2.gz WQSWBYPEIZHCJX-GFCCVEGCSA-N 0 0 427.458 -0.312 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](N3CCNC3=O)C2)ccc1F ZINC000517049316 1071971697 /nfs/dbraw/zinc/97/16/97/1071971697.db2.gz WQSWBYPEIZHCJX-LBPRGKRZSA-N 0 0 427.458 -0.312 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NCCCn2c(=O)ccn(C)c2=O)c1 ZINC000517050750 1071971674 /nfs/dbraw/zinc/97/16/74/1071971674.db2.gz DUTYKOYMDBEJBD-UHFFFAOYSA-N 0 0 436.490 -0.376 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(C)cc1N1CCOCC1 ZINC000517051604 1071971206 /nfs/dbraw/zinc/97/12/06/1071971206.db2.gz LCCDKZHHOLQVIC-KRWDZBQOSA-N 0 0 438.550 -0.012 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(C)cc1N1CCOCC1 ZINC000517051605 1071971213 /nfs/dbraw/zinc/97/12/13/1071971213.db2.gz LCCDKZHHOLQVIC-QGZVFWFLSA-N 0 0 438.550 -0.012 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000517053054 1071971170 /nfs/dbraw/zinc/97/11/70/1071971170.db2.gz ZFUOFJKSUYNICO-KBPBESRZSA-N 0 0 441.572 -0.513 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000517053055 1071971158 /nfs/dbraw/zinc/97/11/58/1071971158.db2.gz ZFUOFJKSUYNICO-OKILXGFUSA-N 0 0 441.572 -0.513 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000517053056 1071971164 /nfs/dbraw/zinc/97/11/64/1071971164.db2.gz ZFUOFJKSUYNICO-ZIAGYGMSSA-N 0 0 441.572 -0.513 20 0 IBADRN COc1cc(CN(C)C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc(OC)c1 ZINC000517055745 1071971083 /nfs/dbraw/zinc/97/10/83/1071971083.db2.gz SRWWYTAKBCFZFT-UHFFFAOYSA-N 0 0 440.478 -0.114 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC000517056040 1071971174 /nfs/dbraw/zinc/97/11/74/1071971174.db2.gz XRRRHRIKTAHZAW-KBPBESRZSA-N 0 0 438.447 -0.539 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC000517056043 1071971152 /nfs/dbraw/zinc/97/11/52/1071971152.db2.gz XRRRHRIKTAHZAW-KGLIPLIRSA-N 0 0 438.447 -0.539 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC000517056044 1071971092 /nfs/dbraw/zinc/97/10/92/1071971092.db2.gz XRRRHRIKTAHZAW-UONOGXRCSA-N 0 0 438.447 -0.539 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC000517056045 1071971179 /nfs/dbraw/zinc/97/11/79/1071971179.db2.gz XRRRHRIKTAHZAW-ZIAGYGMSSA-N 0 0 438.447 -0.539 20 0 IBADRN COC(=O)[C@H]([C@@H](C)O)N(CCn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccccc1 ZINC000517059695 1071972328 /nfs/dbraw/zinc/97/23/28/1071972328.db2.gz VZEAPFSSLPQFTF-ZBFHGGJFSA-N 0 0 429.477 -0.142 20 0 IBADRN COc1ccc(CNC(=O)CCNS(=O)(=O)c2cccnc2)cc1S(N)(=O)=O ZINC000517061988 1071972303 /nfs/dbraw/zinc/97/23/03/1071972303.db2.gz JMXBAGGVQYAHFM-UHFFFAOYSA-N 0 0 428.492 -0.278 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000517062646 1071972383 /nfs/dbraw/zinc/97/23/83/1071972383.db2.gz SUZMWHHWZZASCJ-UHFFFAOYSA-N 0 0 426.495 -0.319 20 0 IBADRN Cn1c(C(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000517079483 1071973437 /nfs/dbraw/zinc/97/34/37/1071973437.db2.gz DZKYDDLHONICSH-CHWSQXEVSA-N 0 0 438.506 -0.616 20 0 IBADRN Cn1c(C(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000517079485 1071973410 /nfs/dbraw/zinc/97/34/10/1071973410.db2.gz DZKYDDLHONICSH-OLZOCXBDSA-N 0 0 438.506 -0.616 20 0 IBADRN Cn1c(C(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000517079486 1071973433 /nfs/dbraw/zinc/97/34/33/1071973433.db2.gz DZKYDDLHONICSH-QWHCGFSZSA-N 0 0 438.506 -0.616 20 0 IBADRN Cn1c(C(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000517079487 1071973451 /nfs/dbraw/zinc/97/34/51/1071973451.db2.gz DZKYDDLHONICSH-STQMWFEESA-N 0 0 438.506 -0.616 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000517082297 1071972975 /nfs/dbraw/zinc/97/29/75/1071972975.db2.gz XJAHKTMGEOVRNX-INIZCTEOSA-N 0 0 431.493 -0.589 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000517082318 1071972870 /nfs/dbraw/zinc/97/28/70/1071972870.db2.gz XJAHKTMGEOVRNX-MRXNPFEDSA-N 0 0 431.493 -0.589 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)cn1 ZINC000517082428 1071972889 /nfs/dbraw/zinc/97/28/89/1071972889.db2.gz OPDMOGDZAZTNFH-CYBMUJFWSA-N 0 0 437.478 -0.861 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)cn1 ZINC000517082436 1071972990 /nfs/dbraw/zinc/97/29/90/1071972990.db2.gz OPDMOGDZAZTNFH-ZDUSSCGKSA-N 0 0 437.478 -0.861 20 0 IBADRN CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000517084223 1071973040 /nfs/dbraw/zinc/97/30/40/1071973040.db2.gz HONKXEMPOVQKLP-HNNXBMFYSA-N 0 0 425.530 -0.362 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1CCCNS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000517084362 1071972921 /nfs/dbraw/zinc/97/29/21/1071972921.db2.gz MJBMJACZDIPCMY-AWEZNQCLSA-N 0 0 438.510 -0.745 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1CCCNS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000517084365 1071973059 /nfs/dbraw/zinc/97/30/59/1071973059.db2.gz MJBMJACZDIPCMY-CQSZACIVSA-N 0 0 438.510 -0.745 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(C)c1 ZINC000517086298 1071972903 /nfs/dbraw/zinc/97/29/03/1071972903.db2.gz BPIYFYQSQUYHJU-UHFFFAOYSA-N 0 0 437.474 -0.236 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)cn1C ZINC000517086403 1071972984 /nfs/dbraw/zinc/97/29/84/1071972984.db2.gz CBPVCNGZLKMDHF-UHFFFAOYSA-N 0 0 434.522 -0.040 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC000517086428 1071973031 /nfs/dbraw/zinc/97/30/31/1071973031.db2.gz FKJNMEANXUWMFX-UHFFFAOYSA-N 0 0 429.476 -0.483 20 0 IBADRN CN1CCO[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1 ZINC000517089855 1071973396 /nfs/dbraw/zinc/97/33/96/1071973396.db2.gz GVINCHCRDJSZKZ-HNNXBMFYSA-N 0 0 440.522 -0.196 20 0 IBADRN CN1CCO[C@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1 ZINC000517089857 1071973376 /nfs/dbraw/zinc/97/33/76/1071973376.db2.gz GVINCHCRDJSZKZ-OAHLLOKOSA-N 0 0 440.522 -0.196 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(C[C@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000517092858 1071973488 /nfs/dbraw/zinc/97/34/88/1071973488.db2.gz DVPZWNFQOMSXLY-HNNXBMFYSA-N 0 0 429.495 -0.650 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000517105855 1071974097 /nfs/dbraw/zinc/97/40/97/1071974097.db2.gz NKEVVEZCPSTDRR-GFCCVEGCSA-N 0 0 426.495 -0.219 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000517105856 1071973976 /nfs/dbraw/zinc/97/39/76/1071973976.db2.gz NKEVVEZCPSTDRR-LBPRGKRZSA-N 0 0 426.495 -0.219 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000517105894 1071974125 /nfs/dbraw/zinc/97/41/25/1071974125.db2.gz PMDOSYXWRXCLOG-AWEZNQCLSA-N 0 0 440.522 -0.072 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000517105895 1071974120 /nfs/dbraw/zinc/97/41/20/1071974120.db2.gz PMDOSYXWRXCLOG-CQSZACIVSA-N 0 0 440.522 -0.072 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C1(S(C)(=O)=O)CC1 ZINC000517107528 1071974035 /nfs/dbraw/zinc/97/40/35/1071974035.db2.gz WZSDSIFTAISUTP-GFCCVEGCSA-N 0 0 431.536 -0.251 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C1(S(C)(=O)=O)CC1 ZINC000517107531 1071974104 /nfs/dbraw/zinc/97/41/04/1071974104.db2.gz WZSDSIFTAISUTP-LBPRGKRZSA-N 0 0 431.536 -0.251 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000517108083 1071974025 /nfs/dbraw/zinc/97/40/25/1071974025.db2.gz PVSMAFNONMVTOK-HNNXBMFYSA-N 0 0 429.481 -0.552 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000517108084 1071974130 /nfs/dbraw/zinc/97/41/30/1071974130.db2.gz PVSMAFNONMVTOK-OAHLLOKOSA-N 0 0 429.481 -0.552 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)c1 ZINC000517109111 1071973990 /nfs/dbraw/zinc/97/39/90/1071973990.db2.gz JMTYUFSEPROLEF-UHFFFAOYSA-N 0 0 440.508 -0.078 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000517109193 1071974072 /nfs/dbraw/zinc/97/40/72/1071974072.db2.gz LVOYXKUEHSLGLX-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c2ccccc2O1 ZINC000517109196 1071974063 /nfs/dbraw/zinc/97/40/63/1071974063.db2.gz LQLHVYFHEMQVNY-BBRMVZONSA-N 0 0 439.490 -0.259 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c2ccccc2O1 ZINC000517109197 1071974106 /nfs/dbraw/zinc/97/41/06/1071974106.db2.gz LQLHVYFHEMQVNY-CJNGLKHVSA-N 0 0 439.490 -0.259 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c2ccccc2O1 ZINC000517109198 1071974045 /nfs/dbraw/zinc/97/40/45/1071974045.db2.gz LQLHVYFHEMQVNY-CZUORRHYSA-N 0 0 439.490 -0.259 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c2ccccc2O1 ZINC000517109199 1071974110 /nfs/dbraw/zinc/97/41/10/1071974110.db2.gz LQLHVYFHEMQVNY-XJKSGUPXSA-N 0 0 439.490 -0.259 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000517109241 1071974080 /nfs/dbraw/zinc/97/40/80/1071974080.db2.gz MNAVDTDZTJWNQB-UHFFFAOYSA-N 0 0 438.506 -0.409 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000517109683 1071974013 /nfs/dbraw/zinc/97/40/13/1071974013.db2.gz FBPCORBAGYQMQH-UHFFFAOYSA-N 0 0 438.550 -0.006 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)C1=O ZINC000517111146 1071974652 /nfs/dbraw/zinc/97/46/52/1071974652.db2.gz HZLYCQVWLICLFY-KRWDZBQOSA-N 0 0 432.525 -0.650 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)C1=O ZINC000517111147 1071974615 /nfs/dbraw/zinc/97/46/15/1071974615.db2.gz HZLYCQVWLICLFY-QGZVFWFLSA-N 0 0 432.525 -0.650 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)ccc1-n1cnnn1 ZINC000517112858 1071974660 /nfs/dbraw/zinc/97/46/60/1071974660.db2.gz GMGULZZZSMUMAB-UHFFFAOYSA-N 0 0 436.480 -0.362 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000517113146 1071975245 /nfs/dbraw/zinc/97/52/45/1071975245.db2.gz JCJZOSOVJISKEX-UHFFFAOYSA-N 0 0 426.433 -0.668 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)C(=O)N1CCSCC1 ZINC000517113504 1071975179 /nfs/dbraw/zinc/97/51/79/1071975179.db2.gz FCOIDFGWLGDBEL-CYBMUJFWSA-N 0 0 447.560 -0.334 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)C(=O)N1CCSCC1 ZINC000517113507 1071975094 /nfs/dbraw/zinc/97/50/94/1071975094.db2.gz FCOIDFGWLGDBEL-ZDUSSCGKSA-N 0 0 447.560 -0.334 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1 ZINC000517113750 1071974115 /nfs/dbraw/zinc/97/41/15/1071974115.db2.gz IPKBVRFIHMCPRU-UHFFFAOYSA-N 0 0 428.449 -0.112 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000517114035 1071973477 /nfs/dbraw/zinc/97/34/77/1071973477.db2.gz RTCXHTDIKKVHGK-UHFFFAOYSA-N 0 0 446.551 -0.322 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000517115927 1071974697 /nfs/dbraw/zinc/97/46/97/1071974697.db2.gz GJKIJRAWTBJFLD-UHFFFAOYSA-N 0 0 444.535 -0.341 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000517116349 1071974510 /nfs/dbraw/zinc/97/45/10/1071974510.db2.gz OZZBJKOJOGPAPJ-UHFFFAOYSA-N 0 0 432.506 -0.243 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000517116412 1071974626 /nfs/dbraw/zinc/97/46/26/1071974626.db2.gz MDPAYMOQNBBZOM-HNNXBMFYSA-N 0 0 425.463 -0.553 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000517116414 1071974606 /nfs/dbraw/zinc/97/46/06/1071974606.db2.gz MDPAYMOQNBBZOM-OAHLLOKOSA-N 0 0 425.463 -0.553 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)ccc1-n1cnnn1 ZINC000517116659 1071974679 /nfs/dbraw/zinc/97/46/79/1071974679.db2.gz VASDJYLBTVFHPG-UHFFFAOYSA-N 0 0 440.508 -0.078 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC[C@H]1COc2ccccc2O1 ZINC000517118166 1071974611 /nfs/dbraw/zinc/97/46/11/1071974611.db2.gz BYLUWAGIFWFSHL-HNNXBMFYSA-N 0 0 430.461 -0.764 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC[C@@H]1COc2ccccc2O1 ZINC000517118167 1071974556 /nfs/dbraw/zinc/97/45/56/1071974556.db2.gz BYLUWAGIFWFSHL-OAHLLOKOSA-N 0 0 430.461 -0.764 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1-n1cnnn1 ZINC000517118295 1071974675 /nfs/dbraw/zinc/97/46/75/1071974675.db2.gz AKSABRBZNXJZTJ-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1 ZINC000517119351 1071974568 /nfs/dbraw/zinc/97/45/68/1071974568.db2.gz NQWOSRQSBGKNOY-UHFFFAOYSA-N 0 0 445.520 -0.093 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000517123794 1071974667 /nfs/dbraw/zinc/97/46/67/1071974667.db2.gz ZDCXUXFCCIEVPC-UHFFFAOYSA-N 0 0 426.477 -0.490 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000517124495 1071975078 /nfs/dbraw/zinc/97/50/78/1071975078.db2.gz CUXKKPHLHONFTQ-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)CC1 ZINC000517124762 1071974636 /nfs/dbraw/zinc/97/46/36/1071974636.db2.gz AIXBJEXCBYNBGD-UHFFFAOYSA-N 0 0 426.481 -0.106 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000517133919 1071975158 /nfs/dbraw/zinc/97/51/58/1071975158.db2.gz MKLWXYRMOKYQOT-UHFFFAOYSA-N 0 0 448.505 -0.543 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccc(Cl)cc3)CC2)cn1 ZINC000517133920 1071975185 /nfs/dbraw/zinc/97/51/85/1071975185.db2.gz LKBWSVZYKWOIKS-UHFFFAOYSA-N 0 0 432.868 -0.126 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(Cc2ccc(S(=O)(=O)N3CCCC3)o2)CC1 ZINC000517136077 1071975042 /nfs/dbraw/zinc/97/50/42/1071975042.db2.gz RVQVVFYCPKRSMD-UHFFFAOYSA-N 0 0 438.506 -0.143 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000517136341 1071975063 /nfs/dbraw/zinc/97/50/63/1071975063.db2.gz MIWSIYJNARTULJ-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000517136546 1071975133 /nfs/dbraw/zinc/97/51/33/1071975133.db2.gz PVLYFIHNPMESKU-UHFFFAOYSA-N 0 0 437.522 -0.555 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000517138406 1071975945 /nfs/dbraw/zinc/97/59/45/1071975945.db2.gz AABLZKCLWHZBCT-UHFFFAOYSA-N 0 0 433.490 -0.225 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CC1 ZINC000517138779 1071975100 /nfs/dbraw/zinc/97/51/00/1071975100.db2.gz IFRLHWRDOLXDJZ-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000517138910 1071975151 /nfs/dbraw/zinc/97/51/51/1071975151.db2.gz OIQQNOWEPOFWMI-UHFFFAOYSA-N 0 0 437.522 -0.555 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3)nc2)CC1 ZINC000517139270 1071975772 /nfs/dbraw/zinc/97/57/72/1071975772.db2.gz FEJOKDHMHIEVFD-UHFFFAOYSA-N 0 0 430.509 -0.377 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000517139471 1071975863 /nfs/dbraw/zinc/97/58/63/1071975863.db2.gz GVAFRICXUGARPM-UHFFFAOYSA-N 0 0 444.492 -0.579 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000517140358 1071975951 /nfs/dbraw/zinc/97/59/51/1071975951.db2.gz MGQOSDWXJXMLLI-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000517140696 1071975933 /nfs/dbraw/zinc/97/59/33/1071975933.db2.gz WCFAKEJQTFZOKR-UHFFFAOYSA-N 0 0 431.497 -0.450 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000517140838 1071975915 /nfs/dbraw/zinc/97/59/15/1071975915.db2.gz PDYNZMWZPDWQMW-UHFFFAOYSA-N 0 0 429.477 -0.487 20 0 IBADRN CCOCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000517140900 1071975752 /nfs/dbraw/zinc/97/57/52/1071975752.db2.gz RYESHRUYOLEFJB-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(Cn3cncn3)cc2)CC1 ZINC000517141361 1071975890 /nfs/dbraw/zinc/97/58/90/1071975890.db2.gz YFADQWGAHZGSAU-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000517141544 1071975855 /nfs/dbraw/zinc/97/58/55/1071975855.db2.gz ZWRJLQVQQPNAAG-UHFFFAOYSA-N 0 0 445.476 -0.113 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)CC1 ZINC000517141673 1071975220 /nfs/dbraw/zinc/97/52/20/1071975220.db2.gz FLFXIWVAMRPRMG-UHFFFAOYSA-N 0 0 448.476 -0.392 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000517142002 1071975798 /nfs/dbraw/zinc/97/57/98/1071975798.db2.gz LRKNCHYBWUIXDD-HNNXBMFYSA-N 0 0 433.509 -0.062 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000517142003 1071975763 /nfs/dbraw/zinc/97/57/63/1071975763.db2.gz LRKNCHYBWUIXDD-OAHLLOKOSA-N 0 0 433.509 -0.062 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2C)CC1 ZINC000517143013 1071976442 /nfs/dbraw/zinc/97/64/42/1071976442.db2.gz WJXNCDVLPIRRAH-AWEZNQCLSA-N 0 0 428.497 -0.032 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2C)CC1 ZINC000517143015 1071976500 /nfs/dbraw/zinc/97/65/00/1071976500.db2.gz WJXNCDVLPIRRAH-CQSZACIVSA-N 0 0 428.497 -0.032 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000517143710 1071976349 /nfs/dbraw/zinc/97/63/49/1071976349.db2.gz GSRDTGFHKQSTQM-HNNXBMFYSA-N 0 0 445.524 -0.985 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000517143711 1071976496 /nfs/dbraw/zinc/97/64/96/1071976496.db2.gz GSRDTGFHKQSTQM-OAHLLOKOSA-N 0 0 445.524 -0.985 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)COC)CC2)c2ccccc2O1 ZINC000517144892 1071976418 /nfs/dbraw/zinc/97/64/18/1071976418.db2.gz SVPLXFCKGHRXTF-KRWDZBQOSA-N 0 0 432.477 -0.376 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)COC)CC2)c2ccccc2O1 ZINC000517144901 1071976364 /nfs/dbraw/zinc/97/63/64/1071976364.db2.gz SVPLXFCKGHRXTF-QGZVFWFLSA-N 0 0 432.477 -0.376 20 0 IBADRN CC(C)n1ncc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cnc21 ZINC000517146275 1071976458 /nfs/dbraw/zinc/97/64/58/1071976458.db2.gz ICKIOLAVGZYDRM-UHFFFAOYSA-N 0 0 443.508 -0.046 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000517146387 1071976467 /nfs/dbraw/zinc/97/64/67/1071976467.db2.gz CVXYITMRDFGFEF-UHFFFAOYSA-N 0 0 431.493 -0.105 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000517146393 1071976376 /nfs/dbraw/zinc/97/63/76/1071976376.db2.gz DFJFUHRCWAQBFY-UHFFFAOYSA-N 0 0 436.494 -0.536 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2Cn2cncn2)CC1 ZINC000517146973 1071976397 /nfs/dbraw/zinc/97/63/97/1071976397.db2.gz RLDWEWLMJTUDSS-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CS3)CC1 ZINC000517147005 1071976426 /nfs/dbraw/zinc/97/64/26/1071976426.db2.gz RVEVBMGEAYDBLO-UHFFFAOYSA-N 0 0 447.517 -0.328 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)CC1 ZINC000517147231 1071977030 /nfs/dbraw/zinc/97/70/30/1071977030.db2.gz MVPOOUBYMICYAS-UHFFFAOYSA-N 0 0 426.481 -0.106 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000517147412 1071976945 /nfs/dbraw/zinc/97/69/45/1071976945.db2.gz ZBINFWBZPRAKJI-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000517147516 1071976899 /nfs/dbraw/zinc/97/68/99/1071976899.db2.gz RLRXDQCRYJASGJ-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000517147704 1071976994 /nfs/dbraw/zinc/97/69/94/1071976994.db2.gz XDBPHSJBGXYALI-UHFFFAOYSA-N 0 0 429.477 -0.446 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)cc2)CC1 ZINC000517147730 1071976904 /nfs/dbraw/zinc/97/69/04/1071976904.db2.gz YBKVWBXZCXJCFO-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000517151933 1071976999 /nfs/dbraw/zinc/97/69/99/1071976999.db2.gz UCJDCIRQLPAFEV-UHFFFAOYSA-N 0 0 435.481 -0.952 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000517151963 1071976889 /nfs/dbraw/zinc/97/68/89/1071976889.db2.gz VDQFFRIQOYMZQI-UHFFFAOYSA-N 0 0 426.477 -0.439 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000517152128 1071976951 /nfs/dbraw/zinc/97/69/51/1071976951.db2.gz RGJFLKXMSXQQCV-UHFFFAOYSA-N 0 0 446.913 -0.154 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2nnn(OCC(=O)N3CCN(C(=O)C4CC4)CC3)c2c1 ZINC000517153503 1071976982 /nfs/dbraw/zinc/97/69/82/1071976982.db2.gz GRZJMZPEWQFZET-UHFFFAOYSA-N 0 0 436.494 -0.809 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000517153522 1071976991 /nfs/dbraw/zinc/97/69/91/1071976991.db2.gz FQXKCEPNIZKBDY-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1Cl ZINC000517154030 1071976975 /nfs/dbraw/zinc/97/69/75/1071976975.db2.gz NHEWGNMIDWAWHW-UHFFFAOYSA-N 0 0 437.884 -0.620 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1 ZINC000517154340 1071975908 /nfs/dbraw/zinc/97/59/08/1071975908.db2.gz PKIVBGNAYPEPRS-UHFFFAOYSA-N 0 0 442.469 -0.379 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)CC1 ZINC000517154783 1071976959 /nfs/dbraw/zinc/97/69/59/1071976959.db2.gz VRMUAINZEISQEU-UHFFFAOYSA-N 0 0 426.412 -0.111 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)CC1 ZINC000517155699 1071975781 /nfs/dbraw/zinc/97/57/81/1071975781.db2.gz JRMWOKSKHHXPPQ-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000517155966 1071975877 /nfs/dbraw/zinc/97/58/77/1071975877.db2.gz DIQIMGKZXJCGAH-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000517156880 1071978092 /nfs/dbraw/zinc/97/80/92/1071978092.db2.gz PVMLRCRRIGCGIS-UHFFFAOYSA-N 0 0 431.493 -0.354 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000517162397 1071976867 /nfs/dbraw/zinc/97/68/67/1071976867.db2.gz MKOFZROXMNINNO-INIZCTEOSA-N 0 0 447.536 -0.652 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000517162398 1071977024 /nfs/dbraw/zinc/97/70/24/1071977024.db2.gz MKOFZROXMNINNO-MRXNPFEDSA-N 0 0 447.536 -0.652 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)cnc2n(C)c1=O ZINC000517163454 1071977515 /nfs/dbraw/zinc/97/75/15/1071977515.db2.gz BSUPLQFXTMMUEH-GFCCVEGCSA-N 0 0 438.448 -0.854 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)cnc2n(C)c1=O ZINC000517163455 1071977666 /nfs/dbraw/zinc/97/76/66/1071977666.db2.gz BSUPLQFXTMMUEH-LBPRGKRZSA-N 0 0 438.448 -0.854 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000517164205 1071977526 /nfs/dbraw/zinc/97/75/26/1071977526.db2.gz CUOIFWVFGVIBOC-CYBMUJFWSA-N 0 0 445.455 -0.383 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000517164208 1071977646 /nfs/dbraw/zinc/97/76/46/1071977646.db2.gz CUOIFWVFGVIBOC-ZDUSSCGKSA-N 0 0 445.455 -0.383 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC(=O)NC)c2)CC1 ZINC000517165239 1071977555 /nfs/dbraw/zinc/97/75/55/1071977555.db2.gz ZOJMYKRMKJYMSZ-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000517165756 1071977641 /nfs/dbraw/zinc/97/76/41/1071977641.db2.gz BVIQYLNWUNJGAD-GHMZBOCLSA-N 0 0 446.503 -0.151 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000517165757 1071977685 /nfs/dbraw/zinc/97/76/85/1071977685.db2.gz BVIQYLNWUNJGAD-PHIMTYICSA-N 0 0 446.503 -0.151 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000517165758 1071977610 /nfs/dbraw/zinc/97/76/10/1071977610.db2.gz BVIQYLNWUNJGAD-QWRGUYRKSA-N 0 0 446.503 -0.151 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(S(N)(=O)=O)c2)c2ccccc2O1 ZINC000517166786 1071978086 /nfs/dbraw/zinc/97/80/86/1071978086.db2.gz JPFKBDDNAKWUHE-INIZCTEOSA-N 0 0 432.458 -0.510 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(S(N)(=O)=O)c2)c2ccccc2O1 ZINC000517166787 1071978075 /nfs/dbraw/zinc/97/80/75/1071978075.db2.gz JPFKBDDNAKWUHE-MRXNPFEDSA-N 0 0 432.458 -0.510 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(S(N)(=O)=O)c2)c2ccccc2O1 ZINC000517167215 1071978039 /nfs/dbraw/zinc/97/80/39/1071978039.db2.gz PTBZGKKAUVSRDQ-INIZCTEOSA-N 0 0 433.442 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(S(N)(=O)=O)c2)c2ccccc2O1 ZINC000517167216 1071978168 /nfs/dbraw/zinc/97/81/68/1071978168.db2.gz PTBZGKKAUVSRDQ-MRXNPFEDSA-N 0 0 433.442 -0.083 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000517167810 1071978155 /nfs/dbraw/zinc/97/81/55/1071978155.db2.gz VZSBVFRTAPRDQQ-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000517170711 1071979964 /nfs/dbraw/zinc/97/99/64/1071979964.db2.gz OMQOOYQRXHJYKF-UHFFFAOYSA-N 0 0 436.446 -0.141 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2)CC1 ZINC000517171474 1071979759 /nfs/dbraw/zinc/97/97/59/1071979759.db2.gz ZOQOBDUAAOEGMC-UHFFFAOYSA-N 0 0 435.462 -0.138 20 0 IBADRN CC(C)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000517176058 1071978582 /nfs/dbraw/zinc/97/85/82/1071978582.db2.gz RPMIAPPAZCDZTQ-UHFFFAOYSA-N 0 0 443.504 -0.040 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)cn1 ZINC000517176205 1071978590 /nfs/dbraw/zinc/97/85/90/1071978590.db2.gz VWPKBFLSQWGSRA-UHFFFAOYSA-N 0 0 440.482 -0.394 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1 ZINC000517176336 1071978645 /nfs/dbraw/zinc/97/86/45/1071978645.db2.gz YHJDBWDWUCXOIX-UHFFFAOYSA-N 0 0 436.446 -0.141 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC000517179133 1071978708 /nfs/dbraw/zinc/97/87/08/1071978708.db2.gz IHCGIKJVJMQIOE-AWEZNQCLSA-N 0 0 437.522 -0.067 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC000517179134 1071978656 /nfs/dbraw/zinc/97/86/56/1071978656.db2.gz IHCGIKJVJMQIOE-CQSZACIVSA-N 0 0 437.522 -0.067 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4cnccc43)CC2)CC1 ZINC000517179354 1071979137 /nfs/dbraw/zinc/97/91/37/1071979137.db2.gz LZJOSABPCBCRSY-UHFFFAOYSA-N 0 0 446.533 -0.190 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cc1 ZINC000517179997 1071978631 /nfs/dbraw/zinc/97/86/31/1071978631.db2.gz VNZFZDBMNALLRC-UHFFFAOYSA-N 0 0 441.444 -0.605 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C[C@H]2CCOC2)CCO1 ZINC000517181595 1071979275 /nfs/dbraw/zinc/97/92/75/1071979275.db2.gz CQRQCMBGKZCDLN-PBHICJAKSA-N 0 0 439.480 -0.092 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C[C@H]2CCOC2)CCO1 ZINC000517181599 1071979159 /nfs/dbraw/zinc/97/91/59/1071979159.db2.gz CQRQCMBGKZCDLN-RHSMWYFYSA-N 0 0 439.480 -0.092 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C[C@@H]2CCOC2)CCO1 ZINC000517181601 1071979267 /nfs/dbraw/zinc/97/92/67/1071979267.db2.gz CQRQCMBGKZCDLN-WMLDXEAASA-N 0 0 439.480 -0.092 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C[C@@H]2CCOC2)CCO1 ZINC000517181602 1071979204 /nfs/dbraw/zinc/97/92/04/1071979204.db2.gz CQRQCMBGKZCDLN-YOEHRIQHSA-N 0 0 439.480 -0.092 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000517183119 1071979145 /nfs/dbraw/zinc/97/91/45/1071979145.db2.gz BLJSOTJFHXLZJJ-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)cc1 ZINC000517183228 1071979233 /nfs/dbraw/zinc/97/92/33/1071979233.db2.gz CSIAACPPRBTLTG-UHFFFAOYSA-N 0 0 448.501 -0.014 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cc1 ZINC000517183673 1071979210 /nfs/dbraw/zinc/97/92/10/1071979210.db2.gz JIOBSJOPUKZIQB-UHFFFAOYSA-N 0 0 440.460 -0.489 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000517183728 1071979394 /nfs/dbraw/zinc/97/93/94/1071979394.db2.gz ACAMJGYPZVPQSJ-DLBZAZTESA-N 0 0 442.524 -0.200 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000517183734 1071979315 /nfs/dbraw/zinc/97/93/15/1071979315.db2.gz ACAMJGYPZVPQSJ-IAGOWNOFSA-N 0 0 442.524 -0.200 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000517183735 1071979284 /nfs/dbraw/zinc/97/92/84/1071979284.db2.gz ACAMJGYPZVPQSJ-IRXDYDNUSA-N 0 0 442.524 -0.200 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000517183736 1071979348 /nfs/dbraw/zinc/97/93/48/1071979348.db2.gz ACAMJGYPZVPQSJ-SJORKVTESA-N 0 0 442.524 -0.200 20 0 IBADRN CNC(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000517185113 1071978665 /nfs/dbraw/zinc/97/86/65/1071978665.db2.gz QZPFLJJCVBSWBE-UHFFFAOYSA-N 0 0 432.886 -0.448 20 0 IBADRN CN1c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2CCC1=O ZINC000517185897 1071980362 /nfs/dbraw/zinc/98/03/62/1071980362.db2.gz NNAMJFJRYVGYSE-UHFFFAOYSA-N 0 0 427.461 -0.517 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000517187068 1071980536 /nfs/dbraw/zinc/98/05/36/1071980536.db2.gz SRYFHJXQMCEXMM-UHFFFAOYSA-N 0 0 441.414 -0.355 20 0 IBADRN C[C@H](CCC(=O)N[C@H](C)C(=O)N1CCOCC1)CC(=O)N[C@H](C)C(=O)N1CCOCC1 ZINC000517188563 1071981105 /nfs/dbraw/zinc/98/11/05/1071981105.db2.gz CCBSEQOXSFVCRP-BRWVUGGUSA-N 0 0 440.541 -0.480 20 0 IBADRN C[C@H](CCC(=O)N[C@@H](C)C(=O)N1CCOCC1)CC(=O)N[C@@H](C)C(=O)N1CCOCC1 ZINC000517188567 1071980907 /nfs/dbraw/zinc/98/09/07/1071980907.db2.gz CCBSEQOXSFVCRP-IKGGRYGDSA-N 0 0 440.541 -0.480 20 0 IBADRN C[C@H](CCC(=O)N[C@@H](C)C(=O)N1CCOCC1)CC(=O)N[C@H](C)C(=O)N1CCOCC1 ZINC000517188570 1071981082 /nfs/dbraw/zinc/98/10/82/1071981082.db2.gz CCBSEQOXSFVCRP-IXDOHACOSA-N 0 0 440.541 -0.480 20 0 IBADRN C[C@H](CCC(=O)N[C@H](C)C(=O)N1CCOCC1)CC(=O)N[C@@H](C)C(=O)N1CCOCC1 ZINC000517188571 1071981037 /nfs/dbraw/zinc/98/10/37/1071981037.db2.gz CCBSEQOXSFVCRP-ZACQAIPSSA-N 0 0 440.541 -0.480 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1-n1cnnn1 ZINC000517188607 1071980997 /nfs/dbraw/zinc/98/09/97/1071980997.db2.gz BLSRZUNVVBQAAY-UHFFFAOYSA-N 0 0 428.453 -0.895 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)C1 ZINC000517188637 1071980435 /nfs/dbraw/zinc/98/04/35/1071980435.db2.gz DYQCGSHGDDPCBT-HOTGVXAUSA-N 0 0 429.587 -0.449 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)C1 ZINC000517188638 1071980497 /nfs/dbraw/zinc/98/04/97/1071980497.db2.gz DYQCGSHGDDPCBT-HZPDHXFCSA-N 0 0 429.587 -0.449 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)C1 ZINC000517188640 1071980544 /nfs/dbraw/zinc/98/05/44/1071980544.db2.gz DYQCGSHGDDPCBT-IYBDPMFKSA-N 0 0 429.587 -0.449 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000517188910 1071980551 /nfs/dbraw/zinc/98/05/51/1071980551.db2.gz BEILCUIAIAIGEI-CYBMUJFWSA-N 0 0 426.495 -0.462 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000517188914 1071980575 /nfs/dbraw/zinc/98/05/75/1071980575.db2.gz BEILCUIAIAIGEI-ZDUSSCGKSA-N 0 0 426.495 -0.462 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)c1nncn1C ZINC000517189298 1071980966 /nfs/dbraw/zinc/98/09/66/1071980966.db2.gz LDESTIWENYACSY-BBRMVZONSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)c1nncn1C ZINC000517189302 1071980985 /nfs/dbraw/zinc/98/09/85/1071980985.db2.gz LDESTIWENYACSY-CJNGLKHVSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)c1nncn1C ZINC000517189303 1071981011 /nfs/dbraw/zinc/98/10/11/1071981011.db2.gz LDESTIWENYACSY-CZUORRHYSA-N 0 0 428.449 -0.355 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)c1nncn1C ZINC000517189305 1071981045 /nfs/dbraw/zinc/98/10/45/1071981045.db2.gz LDESTIWENYACSY-XJKSGUPXSA-N 0 0 428.449 -0.355 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000517189447 1071980952 /nfs/dbraw/zinc/98/09/52/1071980952.db2.gz MWQKTGBIDIRRSF-UHFFFAOYSA-N 0 0 449.489 -0.027 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000517192186 1071981642 /nfs/dbraw/zinc/98/16/42/1071981642.db2.gz QRYPBCUETCTXJO-UHFFFAOYSA-N 0 0 444.536 -0.437 20 0 IBADRN CCOC(=O)CC1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000517192917 1071981631 /nfs/dbraw/zinc/98/16/31/1071981631.db2.gz BCYUTCWQWMFILF-UHFFFAOYSA-N 0 0 431.449 -0.238 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000517193098 1071981821 /nfs/dbraw/zinc/98/18/21/1071981821.db2.gz KGVGAVRSLDSMFN-UHFFFAOYSA-N 0 0 426.495 -0.255 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000517193101 1071981809 /nfs/dbraw/zinc/98/18/09/1071981809.db2.gz KNXQNRNNYONTCV-UHFFFAOYSA-N 0 0 438.506 -0.468 20 0 IBADRN O=C(Nc1ccc(F)c(F)c1F)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000517193172 1071981667 /nfs/dbraw/zinc/98/16/67/1071981667.db2.gz NGNWDTAHHAKUKZ-UHFFFAOYSA-N 0 0 436.412 -0.236 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)C2)cc(OC)c1 ZINC000517194683 1071979944 /nfs/dbraw/zinc/97/99/44/1071979944.db2.gz IYOMEAOADQNNMQ-DZGCQCFKSA-N 0 0 427.523 -0.056 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)C2)cc(OC)c1 ZINC000517194684 1071979922 /nfs/dbraw/zinc/97/99/22/1071979922.db2.gz IYOMEAOADQNNMQ-HIFRSBDPSA-N 0 0 427.523 -0.056 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)C2)cc(OC)c1 ZINC000517194685 1071979936 /nfs/dbraw/zinc/97/99/36/1071979936.db2.gz IYOMEAOADQNNMQ-UKRRQHHQSA-N 0 0 427.523 -0.056 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)C2)cc(OC)c1 ZINC000517194686 1071979990 /nfs/dbraw/zinc/97/99/90/1071979990.db2.gz IYOMEAOADQNNMQ-ZFWWWQNUSA-N 0 0 427.523 -0.056 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000517196225 1071979731 /nfs/dbraw/zinc/97/97/31/1071979731.db2.gz MIWPFNMRXVERGK-AWEZNQCLSA-N 0 0 434.497 -0.873 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000517196226 1071979748 /nfs/dbraw/zinc/97/97/48/1071979748.db2.gz MIWPFNMRXVERGK-CQSZACIVSA-N 0 0 434.497 -0.873 20 0 IBADRN O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000517197344 1071980477 /nfs/dbraw/zinc/98/04/77/1071980477.db2.gz HMHBFUWEJGFEQQ-UWVGGRQHSA-N 0 0 437.337 -0.210 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000517197345 1071980385 /nfs/dbraw/zinc/98/03/85/1071980385.db2.gz HMHBFUWEJGFEQQ-VHSXEESVSA-N 0 0 437.337 -0.210 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CCOCC(F)(F)C1)N1CCOCC(F)(F)C1 ZINC000517198262 1071980561 /nfs/dbraw/zinc/98/05/61/1071980561.db2.gz UKBGWMAYYZXBFS-UHFFFAOYSA-N 0 0 442.453 -0.029 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000517198357 1071980410 /nfs/dbraw/zinc/98/04/10/1071980410.db2.gz YTKMRDQEBDGQIP-UHFFFAOYSA-N 0 0 443.547 -0.018 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N(C)CCNC(=O)N(C)C)cc1 ZINC000517198391 1071980485 /nfs/dbraw/zinc/98/04/85/1071980485.db2.gz AMBGCBOXFMNNOS-UHFFFAOYSA-N 0 0 441.554 -0.033 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NC3CCN(C(=O)CCOC)CC3)CC2)n1 ZINC000517200253 1071981534 /nfs/dbraw/zinc/98/15/34/1071981534.db2.gz OCNHQXLTMKDYCH-UHFFFAOYSA-N 0 0 438.554 -0.107 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)cn1 ZINC000517200844 1071981571 /nfs/dbraw/zinc/98/15/71/1071981571.db2.gz DJEHPLSDBWXZPN-LMMKCTJWSA-N 0 0 433.509 -0.007 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)cn1 ZINC000517200845 1071981855 /nfs/dbraw/zinc/98/18/55/1071981855.db2.gz DJEHPLSDBWXZPN-SCTDSRPQSA-N 0 0 433.509 -0.007 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(CCOC(C)C)CC2)(N2CCOCC2)C1 ZINC000517203873 1071982397 /nfs/dbraw/zinc/98/23/97/1071982397.db2.gz QFYVKFJFMOVNCC-UHFFFAOYSA-N 0 0 440.585 -0.058 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000517206286 1071982284 /nfs/dbraw/zinc/98/22/84/1071982284.db2.gz IGYAUZSRPAETQG-UHFFFAOYSA-N 0 0 429.499 -0.614 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H](C)S(=O)(=O)[C@@H](C)C1)CC2 ZINC000517206663 1071982342 /nfs/dbraw/zinc/98/23/42/1071982342.db2.gz ATUSWXWVUYPNFT-BETUJISGSA-N 0 0 443.547 -0.092 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H](C)S(=O)(=O)[C@H](C)C1)CC2 ZINC000517206664 1071982449 /nfs/dbraw/zinc/98/24/49/1071982449.db2.gz ATUSWXWVUYPNFT-CHWSQXEVSA-N 0 0 443.547 -0.092 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@H](C)S(=O)(=O)[C@@H](C)C1)CC2 ZINC000517206665 1071982228 /nfs/dbraw/zinc/98/22/28/1071982228.db2.gz ATUSWXWVUYPNFT-STQMWFEESA-N 0 0 443.547 -0.092 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CNS(=O)(=O)c3cccnc3)C2)cc1 ZINC000517207131 1071982245 /nfs/dbraw/zinc/98/22/45/1071982245.db2.gz QPSWMSSYSWPXHD-UHFFFAOYSA-N 0 0 440.503 -0.442 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C[C@H](C)S1(=O)=O ZINC000517207494 1071982194 /nfs/dbraw/zinc/98/21/94/1071982194.db2.gz YVXWFLLYHSXWCU-RYUDHWBXSA-N 0 0 429.520 -0.019 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C[C@H](C)S1(=O)=O ZINC000517207495 1071982417 /nfs/dbraw/zinc/98/24/17/1071982417.db2.gz YVXWFLLYHSXWCU-TXEJJXNPSA-N 0 0 429.520 -0.019 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C[C@@H](C)S1(=O)=O ZINC000517207496 1071982410 /nfs/dbraw/zinc/98/24/10/1071982410.db2.gz YVXWFLLYHSXWCU-VXGBXAGGSA-N 0 0 429.520 -0.019 20 0 IBADRN Cc1ccc2nc(CNC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)cn2c1 ZINC000517208012 1071982299 /nfs/dbraw/zinc/98/22/99/1071982299.db2.gz PDDQLCQUGSHTSC-UHFFFAOYSA-N 0 0 425.449 -0.047 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NC[C@H]2COCCO2)N2CCOCC2)cc1OC ZINC000517224131 1071982723 /nfs/dbraw/zinc/98/27/23/1071982723.db2.gz XIIRIUGZTXHPKQ-DLBZAZTESA-N 0 0 437.493 -0.275 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NC[C@@H]2COCCO2)N2CCOCC2)cc1OC ZINC000517224132 1071982766 /nfs/dbraw/zinc/98/27/66/1071982766.db2.gz XIIRIUGZTXHPKQ-IAGOWNOFSA-N 0 0 437.493 -0.275 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NC[C@H]2COCCO2)N2CCOCC2)cc1OC ZINC000517224133 1071982668 /nfs/dbraw/zinc/98/26/68/1071982668.db2.gz XIIRIUGZTXHPKQ-IRXDYDNUSA-N 0 0 437.493 -0.275 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NC[C@@H]2COCCO2)N2CCOCC2)cc1OC ZINC000517224134 1071982873 /nfs/dbraw/zinc/98/28/73/1071982873.db2.gz XIIRIUGZTXHPKQ-SJORKVTESA-N 0 0 437.493 -0.275 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)NC[C@H](CC(C)C)N3CCOCC3)CC(=O)N2C)cnn1C ZINC000517224204 1071983023 /nfs/dbraw/zinc/98/30/23/1071983023.db2.gz DAYYNFPVGSTOBE-HQRMLTQVSA-N 0 0 448.568 -0.020 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)NC[C@@H](CC(C)C)N3CCOCC3)CC(=O)N2C)cnn1C ZINC000517224205 1071982957 /nfs/dbraw/zinc/98/29/57/1071982957.db2.gz DAYYNFPVGSTOBE-POAQFYNOSA-N 0 0 448.568 -0.020 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCCOc1ccccc1)C2 ZINC000517224392 1071982831 /nfs/dbraw/zinc/98/28/31/1071982831.db2.gz WFSDOCCNRDKUMW-UHFFFAOYSA-N 0 0 430.465 -0.940 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2CC1 ZINC000517226634 1071983045 /nfs/dbraw/zinc/98/30/45/1071983045.db2.gz LFUFLDPPVKUWEF-UHFFFAOYSA-N 0 0 444.579 -0.772 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(CN3CCOCC3)cn2)CC1 ZINC000517228249 1071982699 /nfs/dbraw/zinc/98/26/99/1071982699.db2.gz HBJFUDPGRNJTOV-UHFFFAOYSA-N 0 0 429.477 -0.653 20 0 IBADRN Cc1ncc2c(n1)CCN(C(=O)C(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)C2 ZINC000517250109 1071983594 /nfs/dbraw/zinc/98/35/94/1071983594.db2.gz KPZSHEOURHUANJ-UHFFFAOYSA-N 0 0 436.472 -0.442 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)Nc3cn(C)nn3)CC2)ncc1Br ZINC000517250416 1071983614 /nfs/dbraw/zinc/98/36/14/1071983614.db2.gz XQVGAJUFHHOVJQ-UHFFFAOYSA-N 0 0 425.247 -0.337 20 0 IBADRN O=C(NCCc1nnc2n1CCCCC2)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000517250459 1071983443 /nfs/dbraw/zinc/98/34/43/1071983443.db2.gz ZPWSDHKFSICDDF-FQEVSTJZSA-N 0 0 436.582 -0.013 20 0 IBADRN O=C(NCCc1nnc2n1CCCCC2)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000517250460 1071983626 /nfs/dbraw/zinc/98/36/26/1071983626.db2.gz ZPWSDHKFSICDDF-HXUWFJFHSA-N 0 0 436.582 -0.013 20 0 IBADRN Cc1cc(CN2C[C@@H](F)C[C@H]2CN(C)C(=O)C(=O)NCCNC(=O)c2cnccn2)on1 ZINC000517252822 1071983636 /nfs/dbraw/zinc/98/36/36/1071983636.db2.gz UHXWGXSMALPOPH-GJZGRUSLSA-N 0 0 447.471 -0.310 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)Cc2ccccc21 ZINC000517254110 1071983387 /nfs/dbraw/zinc/98/33/87/1071983387.db2.gz YZGQXESVMSFXAE-UHFFFAOYSA-N 0 0 426.564 -0.040 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000517257187 1071984224 /nfs/dbraw/zinc/98/42/24/1071984224.db2.gz CWOSIFZSBWXMRH-HNNXBMFYSA-N 0 0 433.484 -0.155 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000517257188 1071984083 /nfs/dbraw/zinc/98/40/83/1071984083.db2.gz CWOSIFZSBWXMRH-OAHLLOKOSA-N 0 0 433.484 -0.155 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000517257203 1071984254 /nfs/dbraw/zinc/98/42/54/1071984254.db2.gz FAILVULGXABVIW-UHFFFAOYSA-N 0 0 429.499 -0.326 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000517257617 1071984141 /nfs/dbraw/zinc/98/41/41/1071984141.db2.gz XDGRSFSWRHUNMM-CYBMUJFWSA-N 0 0 435.447 -0.675 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000517257618 1071984179 /nfs/dbraw/zinc/98/41/79/1071984179.db2.gz XDGRSFSWRHUNMM-ZDUSSCGKSA-N 0 0 435.447 -0.675 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC2)c(C(=O)NCCOCCS(N)(=O)=O)c1 ZINC000517257673 1071984116 /nfs/dbraw/zinc/98/41/16/1071984116.db2.gz ZSZIRWCOBUKKHZ-UHFFFAOYSA-N 0 0 448.567 -0.428 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1)N1CCCC1 ZINC000517259017 1071984229 /nfs/dbraw/zinc/98/42/29/1071984229.db2.gz UCFNKMGSZMPFCF-AWEZNQCLSA-N 0 0 447.458 -0.578 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1)N1CCCC1 ZINC000517259018 1071984252 /nfs/dbraw/zinc/98/42/52/1071984252.db2.gz UCFNKMGSZMPFCF-CQSZACIVSA-N 0 0 447.458 -0.578 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2csc(-c3ccco3)n2)CC1 ZINC000517259578 1071984126 /nfs/dbraw/zinc/98/41/26/1071984126.db2.gz FNQKIWIPQWJDCY-UHFFFAOYSA-N 0 0 430.450 -0.623 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(-n2ccnn2)c1 ZINC000517260102 1071984107 /nfs/dbraw/zinc/98/41/07/1071984107.db2.gz DFVNMNOYRQUIOP-INIZCTEOSA-N 0 0 435.510 -0.017 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(-n2ccnn2)c1 ZINC000517260103 1071984249 /nfs/dbraw/zinc/98/42/49/1071984249.db2.gz DFVNMNOYRQUIOP-MRXNPFEDSA-N 0 0 435.510 -0.017 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCCS3)CC2)cn1C ZINC000517260523 1071983424 /nfs/dbraw/zinc/98/34/24/1071983424.db2.gz WFUQMWRMHVXBRD-AWEZNQCLSA-N 0 0 429.568 -0.037 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCCS3)CC2)cn1C ZINC000517260524 1071983462 /nfs/dbraw/zinc/98/34/62/1071983462.db2.gz WFUQMWRMHVXBRD-CQSZACIVSA-N 0 0 429.568 -0.037 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(Cc3cc(=O)n(C)c(=O)n3C)CC2)cc1 ZINC000517260730 1071983500 /nfs/dbraw/zinc/98/35/00/1071983500.db2.gz GQGSSBDCHGEYEA-UHFFFAOYSA-N 0 0 435.550 -0.511 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCc3ccc(C(N)=O)nc3)C2)nc1 ZINC000517260929 1071983553 /nfs/dbraw/zinc/98/35/53/1071983553.db2.gz OEWOKMSIEKNQPA-UHFFFAOYSA-N 0 0 426.433 -0.627 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)C1=O ZINC000517263414 1071985235 /nfs/dbraw/zinc/98/52/35/1071985235.db2.gz KLMHXMRZTSRFLC-CVEARBPZSA-N 0 0 434.541 -0.182 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)C1=O ZINC000517263415 1071985880 /nfs/dbraw/zinc/98/58/80/1071985880.db2.gz KLMHXMRZTSRFLC-HOTGVXAUSA-N 0 0 434.541 -0.182 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)C1=O ZINC000517263416 1071986052 /nfs/dbraw/zinc/98/60/52/1071986052.db2.gz KLMHXMRZTSRFLC-HZPDHXFCSA-N 0 0 434.541 -0.182 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)C1=O ZINC000517263417 1071986121 /nfs/dbraw/zinc/98/61/21/1071986121.db2.gz KLMHXMRZTSRFLC-JKSUJKDBSA-N 0 0 434.541 -0.182 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3ccc(NC(C)=O)cc3)C2)nn1 ZINC000517267330 1071986043 /nfs/dbraw/zinc/98/60/43/1071986043.db2.gz NJZIDYNWOQPWPW-UHFFFAOYSA-N 0 0 436.450 -0.615 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000517268123 1071986111 /nfs/dbraw/zinc/98/61/11/1071986111.db2.gz APZKWKAMCRFAHS-UHFFFAOYSA-N 0 0 426.543 -0.058 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000517274686 1071984652 /nfs/dbraw/zinc/98/46/52/1071984652.db2.gz SHMQKMNFWZJIBX-INIZCTEOSA-N 0 0 447.535 -0.721 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000517274687 1071984820 /nfs/dbraw/zinc/98/48/20/1071984820.db2.gz SHMQKMNFWZJIBX-MRXNPFEDSA-N 0 0 447.535 -0.721 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000517275311 1071984837 /nfs/dbraw/zinc/98/48/37/1071984837.db2.gz AHNQIMPDLHNHLH-UHFFFAOYSA-N 0 0 433.440 -0.156 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000517275321 1071984754 /nfs/dbraw/zinc/98/47/54/1071984754.db2.gz AZTUIUNLHUJRNG-UHFFFAOYSA-N 0 0 431.493 -0.040 20 0 IBADRN Cc1cc(N(C)C)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000517275353 1071985561 /nfs/dbraw/zinc/98/55/61/1071985561.db2.gz CPANFKHMWUDHTD-UHFFFAOYSA-N 0 0 439.538 -0.279 20 0 IBADRN O=C(Nc1ccccc1-n1cccn1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000517275399 1071985545 /nfs/dbraw/zinc/98/55/45/1071985545.db2.gz FNLJPFWUKPPJST-UHFFFAOYSA-N 0 0 448.505 -0.468 20 0 IBADRN O=C(Nc1cnc2ccccc2c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000517275554 1071985394 /nfs/dbraw/zinc/98/53/94/1071985394.db2.gz IRIVCQZXABPFFM-UHFFFAOYSA-N 0 0 433.490 -0.106 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000517275587 1071985517 /nfs/dbraw/zinc/98/55/17/1071985517.db2.gz KJSAWXRXTWQMQO-UHFFFAOYSA-N 0 0 434.449 -0.628 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000517275649 1071985329 /nfs/dbraw/zinc/98/53/29/1071985329.db2.gz JBKCSMNXRMEUPG-UHFFFAOYSA-N 0 0 433.465 -0.925 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CC3)cc2)CC1 ZINC000517275751 1071985267 /nfs/dbraw/zinc/98/52/67/1071985267.db2.gz NBHYLXWDVOOOTG-UHFFFAOYSA-N 0 0 429.477 -0.287 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3=O)cc2)CC1 ZINC000517275995 1071985423 /nfs/dbraw/zinc/98/54/23/1071985423.db2.gz SVWKSBAJLNPTIN-UHFFFAOYSA-N 0 0 429.477 -0.053 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000517276213 1071985408 /nfs/dbraw/zinc/98/54/08/1071985408.db2.gz GAMVMFPWKVQQRD-UHFFFAOYSA-N 0 0 447.473 -0.583 20 0 IBADRN O=C(Nc1cccc(-n2cccn2)c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000517276233 1071984634 /nfs/dbraw/zinc/98/46/34/1071984634.db2.gz VCZVAUGSZDGMPR-UHFFFAOYSA-N 0 0 448.505 -0.468 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000517276255 1071984643 /nfs/dbraw/zinc/98/46/43/1071984643.db2.gz WFYGNINYAQTSLZ-UHFFFAOYSA-N 0 0 447.492 -0.713 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000517276282 1071985301 /nfs/dbraw/zinc/98/53/01/1071985301.db2.gz YCEZEMMPWUBNBV-UHFFFAOYSA-N 0 0 439.494 -0.695 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(Cn3cncn3)c2)CC1 ZINC000517276285 1071985343 /nfs/dbraw/zinc/98/53/43/1071985343.db2.gz YHWDEBPJOVBODA-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN NC(=O)CC1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000517276337 1071985311 /nfs/dbraw/zinc/98/53/11/1071985311.db2.gz WPKAPPZFOQJADY-GOSISDBHSA-N 0 0 444.488 -0.247 20 0 IBADRN NC(=O)CC1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000517276338 1071985323 /nfs/dbraw/zinc/98/53/23/1071985323.db2.gz WPKAPPZFOQJADY-SFHVURJKSA-N 0 0 444.488 -0.247 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)c(F)c3F)CC2)CC1 ZINC000517276774 1071985359 /nfs/dbraw/zinc/98/53/59/1071985359.db2.gz NWNLNOMMFLNSDH-UHFFFAOYSA-N 0 0 449.455 -0.321 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000517276813 1071985377 /nfs/dbraw/zinc/98/53/77/1071985377.db2.gz KNERZEQLBQXHSW-UHFFFAOYSA-N 0 0 431.493 -0.437 20 0 IBADRN COc1ccc(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000517276972 1071985466 /nfs/dbraw/zinc/98/54/66/1071985466.db2.gz UJNGHDQCZNYTRK-UHFFFAOYSA-N 0 0 439.538 -0.421 20 0 IBADRN CC(C)(C)c1nnc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000517279073 1074356476 /nfs/dbraw/zinc/35/64/76/1074356476.db2.gz GGQNHLAZQPIWPF-UHFFFAOYSA-N 0 0 446.555 -0.505 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000517279454 1071986794 /nfs/dbraw/zinc/98/67/94/1071986794.db2.gz PQRVVARKWVEOKZ-UHFFFAOYSA-N 0 0 440.478 -0.867 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000517279514 1071986493 /nfs/dbraw/zinc/98/64/93/1071986493.db2.gz RRVSLQOFZLVMEG-UHFFFAOYSA-N 0 0 442.469 -0.637 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4ncccc4c3)CC2)CC1 ZINC000517279612 1071986664 /nfs/dbraw/zinc/98/66/64/1071986664.db2.gz QUOKPXDTZYWZRR-UHFFFAOYSA-N 0 0 446.533 -0.190 20 0 IBADRN Cc1cc(F)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000517279807 1071986510 /nfs/dbraw/zinc/98/65/10/1071986510.db2.gz CICORTRVNZTSCW-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN COc1c(C)ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000517279907 1071986772 /nfs/dbraw/zinc/98/67/72/1071986772.db2.gz WTWHXNLACVBFNB-UHFFFAOYSA-N 0 0 440.522 -0.028 20 0 IBADRN NC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1 ZINC000517280060 1071985790 /nfs/dbraw/zinc/98/57/90/1071985790.db2.gz YZFXJOWMSQZLAE-UHFFFAOYSA-N 0 0 431.474 -0.419 20 0 IBADRN NC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)C1 ZINC000517280206 1071985854 /nfs/dbraw/zinc/98/58/54/1071985854.db2.gz HOQWXSLBFZVTSL-CYBMUJFWSA-N 0 0 435.456 -0.241 20 0 IBADRN NC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)C1 ZINC000517280216 1071986091 /nfs/dbraw/zinc/98/60/91/1071986091.db2.gz HOQWXSLBFZVTSL-ZDUSSCGKSA-N 0 0 435.456 -0.241 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc2)C1 ZINC000517280356 1071986592 /nfs/dbraw/zinc/98/65/92/1071986592.db2.gz LHEGQBPWHHMHPT-ZDUSSCGKSA-N 0 0 446.551 -0.289 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1 ZINC000517281094 1071986534 /nfs/dbraw/zinc/98/65/34/1071986534.db2.gz XDCUPGBQLYOGER-UHFFFAOYSA-N 0 0 431.474 -0.087 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000517281580 1071986756 /nfs/dbraw/zinc/98/67/56/1071986756.db2.gz PZOLZPMQFDRNNC-UHFFFAOYSA-N 0 0 445.519 -0.332 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000517281696 1071986628 /nfs/dbraw/zinc/98/66/28/1071986628.db2.gz QFBNEPIVJRMAID-CABCVRRESA-N 0 0 434.493 -0.318 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000517281697 1071986746 /nfs/dbraw/zinc/98/67/46/1071986746.db2.gz QFBNEPIVJRMAID-GJZGRUSLSA-N 0 0 434.493 -0.318 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000517281699 1071986613 /nfs/dbraw/zinc/98/66/13/1071986613.db2.gz QFBNEPIVJRMAID-HUUCEWRRSA-N 0 0 434.493 -0.318 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000517281701 1071986700 /nfs/dbraw/zinc/98/67/00/1071986700.db2.gz QFBNEPIVJRMAID-LSDHHAIUSA-N 0 0 434.493 -0.318 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000517282515 1071986782 /nfs/dbraw/zinc/98/67/82/1071986782.db2.gz FOELAILHZMUACT-UHFFFAOYSA-N 0 0 440.460 -0.404 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)n1 ZINC000517286116 1071986680 /nfs/dbraw/zinc/98/66/80/1071986680.db2.gz CPUHTEGBRGQTFT-UHFFFAOYSA-N 0 0 432.506 -0.024 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NC)c2)c2ccccc2O1 ZINC000517289072 1071987247 /nfs/dbraw/zinc/98/72/47/1071987247.db2.gz DAZPHLTVIMROAK-INIZCTEOSA-N 0 0 428.449 -0.112 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NC)c2)c2ccccc2O1 ZINC000517289073 1071987182 /nfs/dbraw/zinc/98/71/82/1071987182.db2.gz DAZPHLTVIMROAK-MRXNPFEDSA-N 0 0 428.449 -0.112 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1)S(C)(=O)=O ZINC000517289743 1071987242 /nfs/dbraw/zinc/98/72/42/1071987242.db2.gz GUZYISMNDSYOEQ-UHFFFAOYSA-N 0 0 448.567 -0.028 20 0 IBADRN Cc1ncsc1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000517290954 1071985941 /nfs/dbraw/zinc/98/59/41/1071985941.db2.gz HMNFUIBPBWFAIT-UHFFFAOYSA-N 0 0 437.503 -0.173 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)Nc1cnn(C)c1 ZINC000517292847 1071987251 /nfs/dbraw/zinc/98/72/51/1071987251.db2.gz AZQNINMAMGHXJO-UHFFFAOYSA-N 0 0 436.494 -0.058 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1)S(C)(=O)=O ZINC000517293504 1071987266 /nfs/dbraw/zinc/98/72/66/1071987266.db2.gz MMLIYHQURQXUHR-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)S(C)(=O)=O ZINC000517293543 1071987229 /nfs/dbraw/zinc/98/72/29/1071987229.db2.gz NEAOQHSWRRFYKP-UHFFFAOYSA-N 0 0 446.551 -0.047 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@@H](C)C(=O)OC)c(=O)n2Cc1ccccc1 ZINC000517295333 1071987778 /nfs/dbraw/zinc/98/77/78/1071987778.db2.gz KVTDOXTUNZCGQB-AWEZNQCLSA-N 0 0 443.460 -0.268 20 0 IBADRN CS(=O)(=O)NCCNC(=O)CC1(CC(=O)NCCNS(C)(=O)=O)CCCCC1 ZINC000517295403 1071987817 /nfs/dbraw/zinc/98/78/17/1071987817.db2.gz CTCXLRXDIDHCCP-UHFFFAOYSA-N 0 0 440.588 -0.952 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000517304151 1071987957 /nfs/dbraw/zinc/98/79/57/1071987957.db2.gz DYVRZXDYBJMXNF-INIZCTEOSA-N 0 0 439.534 -0.234 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000517304156 1071987916 /nfs/dbraw/zinc/98/79/16/1071987916.db2.gz DYVRZXDYBJMXNF-MRXNPFEDSA-N 0 0 439.534 -0.234 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCOc2ccc(S(C)(=O)=O)cc2)cn1C ZINC000517304365 1071987941 /nfs/dbraw/zinc/98/79/41/1071987941.db2.gz GOJYXAGUJAXRSX-UHFFFAOYSA-N 0 0 444.535 -0.004 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000517306429 1071987191 /nfs/dbraw/zinc/98/71/91/1071987191.db2.gz QOYSJMDVJACVBJ-CABCVRRESA-N 0 0 437.584 -0.268 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000517306430 1071987203 /nfs/dbraw/zinc/98/72/03/1071987203.db2.gz QOYSJMDVJACVBJ-GJZGRUSLSA-N 0 0 437.584 -0.268 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000517306431 1071987254 /nfs/dbraw/zinc/98/72/54/1071987254.db2.gz QOYSJMDVJACVBJ-HUUCEWRRSA-N 0 0 437.584 -0.268 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000517306432 1071987286 /nfs/dbraw/zinc/98/72/86/1071987286.db2.gz QOYSJMDVJACVBJ-LSDHHAIUSA-N 0 0 437.584 -0.268 20 0 IBADRN CN(C(=O)CCN1CCCS1(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000517307299 1071987132 /nfs/dbraw/zinc/98/71/32/1071987132.db2.gz LEBXVIHRXRQRDK-UHFFFAOYSA-N 0 0 429.587 -0.891 20 0 IBADRN CN(C(=O)c1cccc(-n2cnnn2)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000517307512 1071987210 /nfs/dbraw/zinc/98/72/10/1071987210.db2.gz OCTVNVHLIRTFBN-UHFFFAOYSA-N 0 0 426.525 -0.027 20 0 IBADRN CN(C(=O)c1cccc(OCC(N)=O)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000517307723 1071987257 /nfs/dbraw/zinc/98/72/57/1071987257.db2.gz YVWPOQRQHAUKBB-UHFFFAOYSA-N 0 0 431.537 -0.139 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000517308347 1071987836 /nfs/dbraw/zinc/98/78/36/1071987836.db2.gz DMQXBOJASOHRSA-UHFFFAOYSA-N 0 0 435.432 -0.379 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3nncs3)CC2)cc1 ZINC000517309085 1073318748 /nfs/dbraw/zinc/31/87/48/1073318748.db2.gz BJLMNVIBFDGWIX-UHFFFAOYSA-N 0 0 432.509 -0.276 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000517315492 1071988418 /nfs/dbraw/zinc/98/84/18/1071988418.db2.gz ICECOGVFJJNILC-KRWDZBQOSA-N 0 0 432.477 -0.328 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000517315495 1071988523 /nfs/dbraw/zinc/98/85/23/1071988523.db2.gz ICECOGVFJJNILC-QGZVFWFLSA-N 0 0 432.477 -0.328 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000517316358 1071988461 /nfs/dbraw/zinc/98/84/61/1071988461.db2.gz RQDKFLPBROEUSL-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN COCCN([C@H](C)C(N)=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000517317459 1071988438 /nfs/dbraw/zinc/98/84/38/1071988438.db2.gz HXJJGYHVFORIJQ-CYBMUJFWSA-N 0 0 435.524 -0.782 20 0 IBADRN COCCN([C@@H](C)C(N)=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000517317460 1071988497 /nfs/dbraw/zinc/98/84/97/1071988497.db2.gz HXJJGYHVFORIJQ-ZDUSSCGKSA-N 0 0 435.524 -0.782 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000517317771 1071988491 /nfs/dbraw/zinc/98/84/91/1071988491.db2.gz ZZBWOOYYKPSDPY-JTQLQIEISA-N 0 0 443.507 -0.662 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000517317772 1071988514 /nfs/dbraw/zinc/98/85/14/1071988514.db2.gz ZZBWOOYYKPSDPY-SNVBAGLBSA-N 0 0 443.507 -0.662 20 0 IBADRN O=C(NCCCCn1ccnc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000517318986 1071988393 /nfs/dbraw/zinc/98/83/93/1071988393.db2.gz UOLCGGYHVXTNNK-UHFFFAOYSA-N 0 0 448.505 -0.461 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000517320695 1071988346 /nfs/dbraw/zinc/98/83/46/1071988346.db2.gz HDAKLXPWIWUPRZ-MRXNPFEDSA-N 0 0 437.493 -0.554 20 0 IBADRN Cc1nc(CN2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)oc1C ZINC000517322303 1071988503 /nfs/dbraw/zinc/98/85/03/1071988503.db2.gz UBMGGFIYNBNHGN-UHFFFAOYSA-N 0 0 429.481 -0.227 20 0 IBADRN O=C(NCc1cccc(O)c1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000517325333 1071989188 /nfs/dbraw/zinc/98/91/88/1071989188.db2.gz BBNRBMOJRNXTCQ-UHFFFAOYSA-N 0 0 432.458 -0.232 20 0 IBADRN Cc1cccc(C(=O)NCCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000517326309 1071989122 /nfs/dbraw/zinc/98/91/22/1071989122.db2.gz RZFAHUGDVJKNHR-UHFFFAOYSA-N 0 0 438.444 -0.575 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000517327999 1071989090 /nfs/dbraw/zinc/98/90/90/1071989090.db2.gz WBSKCZOVESDSPD-HNNXBMFYSA-N 0 0 440.566 -0.146 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000517328000 1071989152 /nfs/dbraw/zinc/98/91/52/1071989152.db2.gz WBSKCZOVESDSPD-OAHLLOKOSA-N 0 0 440.566 -0.146 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1 ZINC000517328395 1071989719 /nfs/dbraw/zinc/98/97/19/1071989719.db2.gz FUQPORZGNVZPRK-UHFFFAOYSA-N 0 0 442.476 -0.394 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1OCC(N)=O ZINC000517328396 1071989649 /nfs/dbraw/zinc/98/96/49/1071989649.db2.gz FUTAFZGHSBGOQE-UHFFFAOYSA-N 0 0 430.465 -0.424 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1-n1nnnc1C ZINC000517328697 1071989611 /nfs/dbraw/zinc/98/96/11/1071989611.db2.gz LKLRCIUVHJOTOX-UHFFFAOYSA-N 0 0 439.480 -0.004 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000517328747 1071989758 /nfs/dbraw/zinc/98/97/58/1071989758.db2.gz NSCTZDNSKHXCNC-UHFFFAOYSA-N 0 0 427.527 -0.170 20 0 IBADRN COCCOc1ccccc1CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000517333033 1071989572 /nfs/dbraw/zinc/98/95/72/1071989572.db2.gz WHZOWLJNLGUIIX-UHFFFAOYSA-N 0 0 441.444 -0.088 20 0 IBADRN CN(C[C@@H]1CCCCO1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000517333906 1071989642 /nfs/dbraw/zinc/98/96/42/1071989642.db2.gz ZBYGNXIBZRBZFT-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CN(C[C@H]1CCCCO1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000517333907 1071989713 /nfs/dbraw/zinc/98/97/13/1071989713.db2.gz ZBYGNXIBZRBZFT-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN COc1ccc(CN(C)C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1O ZINC000517333910 1071989593 /nfs/dbraw/zinc/98/95/93/1071989593.db2.gz ZFHSUJUJEDRZGE-UHFFFAOYSA-N 0 0 427.417 -0.057 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccccc1-n1cnnn1 ZINC000517344215 1071990331 /nfs/dbraw/zinc/99/03/31/1071990331.db2.gz VMZLQPAWMRLWTQ-UHFFFAOYSA-N 0 0 442.505 -0.061 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@]12CCC(=O)N1CCS2 ZINC000517344337 1071990195 /nfs/dbraw/zinc/99/01/95/1071990195.db2.gz WKPDGOOUVRBWHM-GOSISDBHSA-N 0 0 439.563 -0.285 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@]12CCC(=O)N1CCS2 ZINC000517344338 1071990360 /nfs/dbraw/zinc/99/03/60/1071990360.db2.gz WKPDGOOUVRBWHM-SFHVURJKSA-N 0 0 439.563 -0.285 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000517344343 1071990247 /nfs/dbraw/zinc/99/02/47/1071990247.db2.gz XYKZMSXJDFJYFH-UHFFFAOYSA-N 0 0 445.567 -0.925 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000517345857 1071990404 /nfs/dbraw/zinc/99/04/04/1071990404.db2.gz RYAUVVRNLMINLW-CQSZACIVSA-N 0 0 428.511 -0.179 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000517347103 1071990379 /nfs/dbraw/zinc/99/03/79/1071990379.db2.gz LVGHBZPVOAIXIG-UHFFFAOYSA-N 0 0 437.565 -0.189 20 0 IBADRN O=C(NCCn1c(=O)oc2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000517349044 1071990217 /nfs/dbraw/zinc/99/02/17/1071990217.db2.gz KCFNQFVNNPXEKT-UHFFFAOYSA-N 0 0 439.494 -0.501 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CSc3nnnn3C3CC3)CC2)o1 ZINC000517356901 1071991006 /nfs/dbraw/zinc/99/10/06/1071991006.db2.gz ULZQUJPHTUTSLX-UHFFFAOYSA-N 0 0 441.495 -0.675 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H](CC)C(=O)N3CCOCC3)C2)ncn1 ZINC000517381090 1071990892 /nfs/dbraw/zinc/99/08/92/1071990892.db2.gz ISZJQEHKSCRYBP-DLBZAZTESA-N 0 0 432.525 -0.122 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H](CC)C(=O)N3CCOCC3)C2)ncn1 ZINC000517381091 1071990863 /nfs/dbraw/zinc/99/08/63/1071990863.db2.gz ISZJQEHKSCRYBP-IAGOWNOFSA-N 0 0 432.525 -0.122 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H](CC)C(=O)N3CCOCC3)C2)ncn1 ZINC000517381092 1071990940 /nfs/dbraw/zinc/99/09/40/1071990940.db2.gz ISZJQEHKSCRYBP-IRXDYDNUSA-N 0 0 432.525 -0.122 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H](CC)C(=O)N3CCOCC3)C2)ncn1 ZINC000517381093 1071990951 /nfs/dbraw/zinc/99/09/51/1071990951.db2.gz ISZJQEHKSCRYBP-SJORKVTESA-N 0 0 432.525 -0.122 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1 ZINC000517382790 1071990874 /nfs/dbraw/zinc/99/08/74/1071990874.db2.gz OVPUABRMDZKIAU-UHFFFAOYSA-N 0 0 426.433 -0.605 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCN2CCCCC2=O)cn1 ZINC000517384878 1071991632 /nfs/dbraw/zinc/99/16/32/1071991632.db2.gz BTXWCZCRSWNJMQ-INIZCTEOSA-N 0 0 439.538 -0.280 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCN2CCCCC2=O)cn1 ZINC000517384879 1071991541 /nfs/dbraw/zinc/99/15/41/1071991541.db2.gz BTXWCZCRSWNJMQ-MRXNPFEDSA-N 0 0 439.538 -0.280 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc2[nH]ccc21 ZINC000517385572 1071991799 /nfs/dbraw/zinc/99/17/99/1071991799.db2.gz ZOYKLYMQUAWMMR-UHFFFAOYSA-N 0 0 425.467 -0.158 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000517386394 1071991697 /nfs/dbraw/zinc/99/16/97/1071991697.db2.gz OFBKFZBLYNWOGS-INIZCTEOSA-N 0 0 428.493 -0.184 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000517386399 1071991663 /nfs/dbraw/zinc/99/16/63/1071991663.db2.gz OFBKFZBLYNWOGS-MRXNPFEDSA-N 0 0 428.493 -0.184 20 0 IBADRN COCC(=O)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000517388251 1071991580 /nfs/dbraw/zinc/99/15/80/1071991580.db2.gz MAQSIRHLCHJPOL-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(C(=O)c3cccs3)CC2)CC1)N1CCOCC1 ZINC000517397400 1071992450 /nfs/dbraw/zinc/99/24/50/1071992450.db2.gz ZDANYZRWLMLCNZ-UHFFFAOYSA-N 0 0 449.577 -0.491 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)CC1 ZINC000517397432 1071992233 /nfs/dbraw/zinc/99/22/33/1071992233.db2.gz CVMBAVOKIKTJPW-UHFFFAOYSA-N 0 0 442.476 -0.278 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000517398956 1071992286 /nfs/dbraw/zinc/99/22/86/1071992286.db2.gz XXCDHAVWTLRKQW-UHFFFAOYSA-N 0 0 441.488 -0.745 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCC(=O)N2CC(=O)Nc3ccccc32)CC1 ZINC000517399039 1071992396 /nfs/dbraw/zinc/99/23/96/1071992396.db2.gz ZXPQXPUGOIURNR-UHFFFAOYSA-N 0 0 429.477 -0.051 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000517399997 1071992296 /nfs/dbraw/zinc/99/22/96/1071992296.db2.gz HAJLTEDTMNAZFA-MSOLQXFVSA-N 0 0 438.550 -0.456 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000517399998 1071992433 /nfs/dbraw/zinc/99/24/33/1071992433.db2.gz HAJLTEDTMNAZFA-QZTJIDSGSA-N 0 0 438.550 -0.456 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000517399999 1071992457 /nfs/dbraw/zinc/99/24/57/1071992457.db2.gz HAJLTEDTMNAZFA-ROUUACIJSA-N 0 0 438.550 -0.456 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000517400000 1071992411 /nfs/dbraw/zinc/99/24/11/1071992411.db2.gz HAJLTEDTMNAZFA-ZWKOTPCHSA-N 0 0 438.550 -0.456 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000517400019 1071992271 /nfs/dbraw/zinc/99/22/71/1071992271.db2.gz HUHGUWIPWFIFQS-KBPBESRZSA-N 0 0 430.914 -0.235 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000517400020 1071993045 /nfs/dbraw/zinc/99/30/45/1071993045.db2.gz HUHGUWIPWFIFQS-KGLIPLIRSA-N 0 0 430.914 -0.235 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000517400021 1071992864 /nfs/dbraw/zinc/99/28/64/1071992864.db2.gz HUHGUWIPWFIFQS-UONOGXRCSA-N 0 0 430.914 -0.235 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000517400022 1071993055 /nfs/dbraw/zinc/99/30/55/1071993055.db2.gz HUHGUWIPWFIFQS-ZIAGYGMSSA-N 0 0 430.914 -0.235 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCN(S(=O)(=O)NC)CC2)ccc1OC ZINC000517400585 1071991050 /nfs/dbraw/zinc/99/10/50/1071991050.db2.gz XNHYQFYZXQFCIR-UHFFFAOYSA-N 0 0 434.540 -0.508 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)CC1 ZINC000517405214 1071992939 /nfs/dbraw/zinc/99/29/39/1071992939.db2.gz DYQWEABJWRJXLG-UHFFFAOYSA-N 0 0 431.493 -0.060 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000517409564 1071993722 /nfs/dbraw/zinc/99/37/22/1071993722.db2.gz AFABOMAOZYWDSM-KRWDZBQOSA-N 0 0 428.497 -0.528 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000517409565 1071993620 /nfs/dbraw/zinc/99/36/20/1071993620.db2.gz AFABOMAOZYWDSM-QGZVFWFLSA-N 0 0 428.497 -0.528 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000517409679 1071993495 /nfs/dbraw/zinc/99/34/95/1071993495.db2.gz CFGSARWCQUZKRB-UHFFFAOYSA-N 0 0 436.943 -0.123 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)c1 ZINC000517414840 1071993593 /nfs/dbraw/zinc/99/35/93/1071993593.db2.gz JEWKNNQENRAKER-HNNXBMFYSA-N 0 0 445.563 -0.314 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)c1 ZINC000517414841 1071993649 /nfs/dbraw/zinc/99/36/49/1071993649.db2.gz JEWKNNQENRAKER-OAHLLOKOSA-N 0 0 445.563 -0.314 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1 ZINC000517418432 1071992977 /nfs/dbraw/zinc/99/29/77/1071992977.db2.gz KSVHHSRNSYRBBZ-UHFFFAOYSA-N 0 0 428.449 -0.359 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000517419878 1071993191 /nfs/dbraw/zinc/99/31/91/1071993191.db2.gz YCCBAVKOADBHBH-UHFFFAOYSA-N 0 0 447.492 -0.279 20 0 IBADRN Cc1ccccc1O[C@@H](C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000517420765 1071992917 /nfs/dbraw/zinc/99/29/17/1071992917.db2.gz LFOHWKUVYBRQAB-KRWDZBQOSA-N 0 0 446.504 -0.592 20 0 IBADRN Cc1ccccc1O[C@H](C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000517420768 1071993178 /nfs/dbraw/zinc/99/31/78/1071993178.db2.gz LFOHWKUVYBRQAB-QGZVFWFLSA-N 0 0 446.504 -0.592 20 0 IBADRN CCCNC(=O)C1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000517422613 1071993751 /nfs/dbraw/zinc/99/37/51/1071993751.db2.gz GHSYYKDGHGHOBN-UHFFFAOYSA-N 0 0 430.465 -0.665 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c(C)c1 ZINC000517424189 1071993566 /nfs/dbraw/zinc/99/35/66/1071993566.db2.gz JAOJVFCGTPNACT-UHFFFAOYSA-N 0 0 431.493 -0.120 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@@H]3CCO[C@H](C)C3)CC2)cn1C ZINC000517425481 1071993820 /nfs/dbraw/zinc/99/38/20/1071993820.db2.gz XEXRZDXRTRHRJP-GDBMZVCRSA-N 0 0 441.554 -0.117 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@@H]3CCO[C@@H](C)C3)CC2)cn1C ZINC000517425482 1071993808 /nfs/dbraw/zinc/99/38/08/1071993808.db2.gz XEXRZDXRTRHRJP-GOEBONIOSA-N 0 0 441.554 -0.117 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@H]3CCO[C@@H](C)C3)CC2)cn1C ZINC000517425484 1071993474 /nfs/dbraw/zinc/99/34/74/1071993474.db2.gz XEXRZDXRTRHRJP-HOCLYGCPSA-N 0 0 441.554 -0.117 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[C@H]3CCO[C@H](C)C3)CC2)cn1C ZINC000517425485 1071993636 /nfs/dbraw/zinc/99/36/36/1071993636.db2.gz XEXRZDXRTRHRJP-ZBFHGGJFSA-N 0 0 441.554 -0.117 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccccc2CN2CCOCC2)CC1 ZINC000517426211 1071993534 /nfs/dbraw/zinc/99/35/34/1071993534.db2.gz OSMQTZUNPBVJNW-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000517426563 1071994339 /nfs/dbraw/zinc/99/43/39/1071994339.db2.gz BJANEUSXYAIKLL-UHFFFAOYSA-N 0 0 437.884 -0.165 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCCN(c2nccs2)CC1 ZINC000517430888 1071994331 /nfs/dbraw/zinc/99/43/31/1071994331.db2.gz CMHUVWHCECBMMP-UHFFFAOYSA-N 0 0 442.523 -0.594 20 0 IBADRN COc1cc(OC)c(CCNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(OC)c1 ZINC000517433891 1071994448 /nfs/dbraw/zinc/99/44/48/1071994448.db2.gz IAJKZVLHZPPKDU-UHFFFAOYSA-N 0 0 431.449 -0.182 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000517440032 1071994372 /nfs/dbraw/zinc/99/43/72/1071994372.db2.gz HIOUNNGQPNZDIA-CVEARBPZSA-N 0 0 432.520 -0.822 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000517440036 1071994463 /nfs/dbraw/zinc/99/44/63/1071994463.db2.gz HIOUNNGQPNZDIA-HOTGVXAUSA-N 0 0 432.520 -0.822 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000517440037 1071994384 /nfs/dbraw/zinc/99/43/84/1071994384.db2.gz HIOUNNGQPNZDIA-HZPDHXFCSA-N 0 0 432.520 -0.822 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000517440038 1071994366 /nfs/dbraw/zinc/99/43/66/1071994366.db2.gz HIOUNNGQPNZDIA-JKSUJKDBSA-N 0 0 432.520 -0.822 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000517443177 1071994317 /nfs/dbraw/zinc/99/43/17/1071994317.db2.gz OXCGDZQUJQSGOJ-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000517443182 1071994457 /nfs/dbraw/zinc/99/44/57/1071994457.db2.gz OXCGDZQUJQSGOJ-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)NCCNS(C)(=O)=O)CC2)c1 ZINC000517444017 1071994900 /nfs/dbraw/zinc/99/49/00/1071994900.db2.gz NCROSYGTOBAFPF-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(Cn3cncn3)c2)CC1 ZINC000517446340 1071994960 /nfs/dbraw/zinc/99/49/60/1071994960.db2.gz XZFBBQCQKAJOBU-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1nnc2n1CCC2 ZINC000517447627 1071995035 /nfs/dbraw/zinc/99/50/35/1071995035.db2.gz TXRGJJCPGZQTPP-KFWWJZLASA-N 0 0 441.558 -0.034 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1nnc2n1CCC2 ZINC000517447630 1071995000 /nfs/dbraw/zinc/99/50/00/1071995000.db2.gz TXRGJJCPGZQTPP-QLFBSQMISA-N 0 0 441.558 -0.034 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1nnc2n1CCC2 ZINC000517447633 1071994977 /nfs/dbraw/zinc/99/49/77/1071994977.db2.gz TXRGJJCPGZQTPP-RBSFLKMASA-N 0 0 441.558 -0.034 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1nnc2n1CCC2 ZINC000517447635 1071995005 /nfs/dbraw/zinc/99/50/05/1071995005.db2.gz TXRGJJCPGZQTPP-ZNMIVQPWSA-N 0 0 441.558 -0.034 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000517451544 1071995008 /nfs/dbraw/zinc/99/50/08/1071995008.db2.gz NBGCMZQIUKJWTK-UHFFFAOYSA-N 0 0 445.448 -0.077 20 0 IBADRN COc1ccc(C(=O)NCCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000517455290 1071995026 /nfs/dbraw/zinc/99/50/26/1071995026.db2.gz ZCEWFWSKIPZHNV-UHFFFAOYSA-N 0 0 440.478 -0.612 20 0 IBADRN COc1cc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1OCCO ZINC000517458352 1071994229 /nfs/dbraw/zinc/99/42/29/1071994229.db2.gz FVXPTRGXHMOSMU-UHFFFAOYSA-N 0 0 431.449 -0.480 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCCNC(=O)CNC(=O)CNC(C)=O)CC2 ZINC000517459773 1071995017 /nfs/dbraw/zinc/99/50/17/1071995017.db2.gz TWEMUKDLNYHKGI-UHFFFAOYSA-N 0 0 434.493 -0.263 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000517461586 1071995490 /nfs/dbraw/zinc/99/54/90/1071995490.db2.gz XUAGSHGCNQFXPE-UHFFFAOYSA-N 0 0 445.523 -0.490 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCCN3C(=O)CNC3=O)cc2)C[C@H](C)O1 ZINC000517462423 1071994992 /nfs/dbraw/zinc/99/49/92/1071994992.db2.gz AVCICGYIPVSHAG-KBPBESRZSA-N 0 0 445.476 -0.067 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCCN3C(=O)CNC3=O)cc2)C[C@H](C)O1 ZINC000517462424 1071994945 /nfs/dbraw/zinc/99/49/45/1071994945.db2.gz AVCICGYIPVSHAG-OKILXGFUSA-N 0 0 445.476 -0.067 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCCN3C(=O)CNC3=O)cc2)C[C@@H](C)O1 ZINC000517462425 1071995503 /nfs/dbraw/zinc/99/55/03/1071995503.db2.gz AVCICGYIPVSHAG-ZIAGYGMSSA-N 0 0 445.476 -0.067 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000517464487 1071995356 /nfs/dbraw/zinc/99/53/56/1071995356.db2.gz UPMURVJLFMBSKU-UHFFFAOYSA-N 0 0 439.494 -0.238 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000517466515 1071995251 /nfs/dbraw/zinc/99/52/51/1071995251.db2.gz CXDOWZUAGIQLTJ-UHFFFAOYSA-N 0 0 425.467 -0.630 20 0 IBADRN Cn1cnc2c1c(=O)n(CCC[S@](=O)Cc1cc(=O)n3ccccc3n1)c(=O)n2C ZINC000517471271 1071995043 /nfs/dbraw/zinc/99/50/43/1071995043.db2.gz VSHCTNYEFMKIHD-PMERELPUSA-N 0 0 428.474 -0.219 20 0 IBADRN Cn1cnc2c1c(=O)n(CCC[S@@](=O)Cc1cc(=O)n3ccccc3n1)c(=O)n2C ZINC000517471272 1071994931 /nfs/dbraw/zinc/99/49/31/1071994931.db2.gz VSHCTNYEFMKIHD-SSEXGKCCSA-N 0 0 428.474 -0.219 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C(=O)C1=O ZINC000517492154 1071995961 /nfs/dbraw/zinc/99/59/61/1071995961.db2.gz UZOCVUJINPZSFW-UHFFFAOYSA-N 0 0 433.484 -0.610 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)CC1 ZINC000517495197 1071996099 /nfs/dbraw/zinc/99/60/99/1071996099.db2.gz POMJPQGYSPBTJF-UHFFFAOYSA-N 0 0 429.525 -0.077 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)CC1 ZINC000517495244 1071995921 /nfs/dbraw/zinc/99/59/21/1071995921.db2.gz RHHUDBVEHVKPIM-UHFFFAOYSA-N 0 0 429.587 -0.305 20 0 IBADRN CCN1CCN(CC(=O)N(Cc2ccc(OC)cc2)[C@H]2CCS(=O)(=O)C2)C(=O)C1=O ZINC000517495374 1071996084 /nfs/dbraw/zinc/99/60/84/1071996084.db2.gz XPZYWKLMVWJAGA-INIZCTEOSA-N 0 0 437.518 -0.098 20 0 IBADRN CCN1CCN(CC(=O)N(Cc2ccc(OC)cc2)[C@@H]2CCS(=O)(=O)C2)C(=O)C1=O ZINC000517495375 1071995973 /nfs/dbraw/zinc/99/59/73/1071995973.db2.gz XPZYWKLMVWJAGA-MRXNPFEDSA-N 0 0 437.518 -0.098 20 0 IBADRN C[C@@H](c1cccnc1)N1C(=O)C[C@@H](N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000517496511 1071995986 /nfs/dbraw/zinc/99/59/86/1071995986.db2.gz KFMXBGWMYXOWLH-DOTOQJQBSA-N 0 0 429.477 -0.727 20 0 IBADRN C[C@H](c1cccnc1)N1C(=O)C[C@@H](N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000517496514 1071995881 /nfs/dbraw/zinc/99/58/81/1071995881.db2.gz KFMXBGWMYXOWLH-NVXWUHKLSA-N 0 0 429.477 -0.727 20 0 IBADRN C[C@@H](c1cccnc1)N1C(=O)C[C@H](N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000517496516 1071995980 /nfs/dbraw/zinc/99/59/80/1071995980.db2.gz KFMXBGWMYXOWLH-RDJZCZTQSA-N 0 0 429.477 -0.727 20 0 IBADRN C[C@H](c1cccnc1)N1C(=O)C[C@H](N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000517496518 1071995833 /nfs/dbraw/zinc/99/58/33/1071995833.db2.gz KFMXBGWMYXOWLH-WBVHZDCISA-N 0 0 429.477 -0.727 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000517496645 1071996051 /nfs/dbraw/zinc/99/60/51/1071996051.db2.gz YWILQWFWHNHIKY-UHFFFAOYSA-N 0 0 444.540 -0.925 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NCCC(=O)N3CCOCC3)CC2)cc1 ZINC000517497597 1071995912 /nfs/dbraw/zinc/99/59/12/1071995912.db2.gz KVSUXZWCQPVCRT-UHFFFAOYSA-N 0 0 425.511 -0.586 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000517503075 1071996600 /nfs/dbraw/zinc/99/66/00/1071996600.db2.gz ZROMGALUFVYGNZ-UHFFFAOYSA-N 0 0 447.535 -0.347 20 0 IBADRN O=C(CN1CSCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000517508121 1071996689 /nfs/dbraw/zinc/99/66/89/1071996689.db2.gz DMDPTAJKQAINQD-UHFFFAOYSA-N 0 0 440.503 -0.617 20 0 IBADRN CCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000517509394 1071996526 /nfs/dbraw/zinc/99/65/26/1071996526.db2.gz QZZAOQVCEXYQEL-UHFFFAOYSA-N 0 0 445.519 -0.325 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)c1 ZINC000517511798 1071997028 /nfs/dbraw/zinc/99/70/28/1071997028.db2.gz RWJDUTHCPIMXEN-UHFFFAOYSA-N 0 0 441.491 -0.554 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)c1nncn1C ZINC000517517310 1071996721 /nfs/dbraw/zinc/99/67/21/1071996721.db2.gz IXMVTGBJMCQAKP-GFCCVEGCSA-N 0 0 449.493 -0.077 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)c1nncn1C ZINC000517517311 1071996703 /nfs/dbraw/zinc/99/67/03/1071996703.db2.gz IXMVTGBJMCQAKP-LBPRGKRZSA-N 0 0 449.493 -0.077 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000517522381 1071996626 /nfs/dbraw/zinc/99/66/26/1071996626.db2.gz RNOCLSIBKOYWDY-UHFFFAOYSA-N 0 0 434.562 -0.160 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)CC1 ZINC000517522614 1071996635 /nfs/dbraw/zinc/99/66/35/1071996635.db2.gz YILPNEOAPKHLAU-UHFFFAOYSA-N 0 0 442.520 -0.352 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)cn1 ZINC000517522759 1071996617 /nfs/dbraw/zinc/99/66/17/1071996617.db2.gz XGONEJNYJOLNLG-UHFFFAOYSA-N 0 0 426.543 -0.899 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)CC1 ZINC000517526851 1071997066 /nfs/dbraw/zinc/99/70/66/1071997066.db2.gz JAYFVIZSBRDKJF-CVEARBPZSA-N 0 0 437.566 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)CC1 ZINC000517526852 1071996937 /nfs/dbraw/zinc/99/69/37/1071996937.db2.gz JAYFVIZSBRDKJF-HOTGVXAUSA-N 0 0 437.566 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)CC1 ZINC000517526853 1071997150 /nfs/dbraw/zinc/99/71/50/1071997150.db2.gz JAYFVIZSBRDKJF-HZPDHXFCSA-N 0 0 437.566 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)CC1 ZINC000517526854 1071996890 /nfs/dbraw/zinc/99/68/90/1071996890.db2.gz JAYFVIZSBRDKJF-JKSUJKDBSA-N 0 0 437.566 -0.171 20 0 IBADRN Cc1ccc(-n2cnnn2)cc1NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000517531037 1071997140 /nfs/dbraw/zinc/99/71/40/1071997140.db2.gz OCASSPMHKKWBOF-UHFFFAOYSA-N 0 0 426.437 -0.204 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000517535187 1071997582 /nfs/dbraw/zinc/99/75/82/1071997582.db2.gz ULBKPDBOSJYQRP-UHFFFAOYSA-N 0 0 437.464 -0.785 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)s1 ZINC000517539104 1071996981 /nfs/dbraw/zinc/99/69/81/1071996981.db2.gz FDPRILHAIHCRCK-UHFFFAOYSA-N 0 0 425.492 -0.525 20 0 IBADRN CCC1(CC)CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC[S@]1=O ZINC000517545460 1071997637 /nfs/dbraw/zinc/99/76/37/1071997637.db2.gz QQGMYROQHKPIHG-ZRLCOYSSSA-N 0 0 425.511 -0.435 20 0 IBADRN CCC1(CC)CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC[S@@]1=O ZINC000517545461 1071997813 /nfs/dbraw/zinc/99/78/13/1071997813.db2.gz QQGMYROQHKPIHG-PMYJAZCJSA-N 0 0 425.511 -0.435 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000517546438 1071997832 /nfs/dbraw/zinc/99/78/32/1071997832.db2.gz ZTWTYXIIDDJKBW-UHFFFAOYSA-N 0 0 441.901 -0.346 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC(NS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000517548113 1071997706 /nfs/dbraw/zinc/99/77/06/1071997706.db2.gz GTXVMKACXCXLPH-UHFFFAOYSA-N 0 0 433.527 -0.175 20 0 IBADRN Cn1c(C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000517548682 1071997819 /nfs/dbraw/zinc/99/78/19/1071997819.db2.gz ILYQEIQTQJCOEU-UHFFFAOYSA-N 0 0 446.489 -0.883 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCN(C)C[C@@H]2C)c(=O)[nH]c1=O ZINC000517557334 1071997605 /nfs/dbraw/zinc/99/76/05/1071997605.db2.gz CDRNRBHXXWECDJ-AWEZNQCLSA-N 0 0 438.529 -0.137 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCN(C)C[C@H]2C)c(=O)[nH]c1=O ZINC000517557339 1071997767 /nfs/dbraw/zinc/99/77/67/1071997767.db2.gz CDRNRBHXXWECDJ-CQSZACIVSA-N 0 0 438.529 -0.137 20 0 IBADRN Cn1c(C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000517559393 1071997757 /nfs/dbraw/zinc/99/77/57/1071997757.db2.gz KUAVBXXSAMKCMR-UHFFFAOYSA-N 0 0 430.509 -0.654 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000517563356 1071998477 /nfs/dbraw/zinc/99/84/77/1071998477.db2.gz DFKWLOALFYSXOG-UHFFFAOYSA-N 0 0 430.483 -0.398 20 0 IBADRN Cc1c(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)nnn1-c1ccc2c(c1)OCCO2 ZINC000517564686 1071998401 /nfs/dbraw/zinc/99/84/01/1071998401.db2.gz TYNZZVAAMWQXSO-GFCCVEGCSA-N 0 0 449.489 -0.278 20 0 IBADRN Cc1c(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)nnn1-c1ccc2c(c1)OCCO2 ZINC000517564693 1071998385 /nfs/dbraw/zinc/99/83/85/1071998385.db2.gz TYNZZVAAMWQXSO-LBPRGKRZSA-N 0 0 449.489 -0.278 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000517567016 1071998359 /nfs/dbraw/zinc/99/83/59/1071998359.db2.gz XRNREOLRGXOJTP-UHFFFAOYSA-N 0 0 447.521 -0.276 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000517568741 1071998440 /nfs/dbraw/zinc/99/84/40/1071998440.db2.gz IBGHXOVBKYEOJT-UHFFFAOYSA-N 0 0 425.511 -0.490 20 0 IBADRN Cn1c(C(=O)NCCS(=O)(=O)NCc2ccccc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000517573841 1071998256 /nfs/dbraw/zinc/99/82/56/1071998256.db2.gz UYTXDAMNQDMITJ-UHFFFAOYSA-N 0 0 433.490 -0.575 20 0 IBADRN Cn1c(C(=O)NCCCN2CCN(c3ncccn3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000517573918 1071998364 /nfs/dbraw/zinc/99/83/64/1071998364.db2.gz NHCURUQCFPKFRI-UHFFFAOYSA-N 0 0 440.508 -0.692 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000517577244 1071998281 /nfs/dbraw/zinc/99/82/81/1071998281.db2.gz ZFXRKBQIROARLG-FGSXEWAUSA-N 0 0 446.552 -0.100 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000517577245 1071998312 /nfs/dbraw/zinc/99/83/12/1071998312.db2.gz ZFXRKBQIROARLG-HMFYCAOWSA-N 0 0 446.552 -0.100 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000517577246 1071998427 /nfs/dbraw/zinc/99/84/27/1071998427.db2.gz ZFXRKBQIROARLG-JBYIUTFZSA-N 0 0 446.552 -0.100 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000517577247 1071998487 /nfs/dbraw/zinc/99/84/87/1071998487.db2.gz ZFXRKBQIROARLG-KGVIQGDOSA-N 0 0 446.552 -0.100 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N(CC(C)C)[C@@H]1CCS(=O)(=O)C1)c2=O ZINC000517587209 1071998875 /nfs/dbraw/zinc/99/88/75/1071998875.db2.gz PIKALFOYSZCKJZ-CYBMUJFWSA-N 0 0 439.494 -0.562 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N(CC(C)C)[C@H]1CCS(=O)(=O)C1)c2=O ZINC000517587210 1071999068 /nfs/dbraw/zinc/99/90/68/1071999068.db2.gz PIKALFOYSZCKJZ-ZDUSSCGKSA-N 0 0 439.494 -0.562 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)c2ccccc2O1 ZINC000517589320 1071999042 /nfs/dbraw/zinc/99/90/42/1071999042.db2.gz TUFSSIPXNRASHM-DYESRHJHSA-N 0 0 448.545 -0.149 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)c2ccccc2O1 ZINC000517589321 1071998886 /nfs/dbraw/zinc/99/88/86/1071998886.db2.gz TUFSSIPXNRASHM-LAUBAEHRSA-N 0 0 448.545 -0.149 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)c2ccccc2O1 ZINC000517589322 1071999003 /nfs/dbraw/zinc/99/90/03/1071999003.db2.gz TUFSSIPXNRASHM-UTKZUKDTSA-N 0 0 448.545 -0.149 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)c2ccccc2O1 ZINC000517589323 1071998857 /nfs/dbraw/zinc/99/88/57/1071998857.db2.gz TUFSSIPXNRASHM-UWJYYQICSA-N 0 0 448.545 -0.149 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000517589413 1071999061 /nfs/dbraw/zinc/99/90/61/1071999061.db2.gz AUPZMASMTSTJST-ARFHVFGLSA-N 0 0 449.595 -0.410 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000517589414 1071998895 /nfs/dbraw/zinc/99/88/95/1071998895.db2.gz AUPZMASMTSTJST-BZUAXINKSA-N 0 0 449.595 -0.410 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000517589415 1071998868 /nfs/dbraw/zinc/99/88/68/1071998868.db2.gz AUPZMASMTSTJST-HRCADAONSA-N 0 0 449.595 -0.410 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000517589416 1071998902 /nfs/dbraw/zinc/99/89/02/1071998902.db2.gz AUPZMASMTSTJST-OWCLPIDISA-N 0 0 449.595 -0.410 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000517593015 1071999615 /nfs/dbraw/zinc/99/96/15/1071999615.db2.gz VSHYCLYYZNUPFM-UHFFFAOYSA-N 0 0 430.571 -0.513 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)S(C)(=O)=O ZINC000517594039 1071998326 /nfs/dbraw/zinc/99/83/26/1071998326.db2.gz SIHLGFNJUGRWDO-UHFFFAOYSA-N 0 0 445.542 -0.855 20 0 IBADRN CN(CC(=O)NCCCS(N)(=O)=O)S(=O)(=O)c1ccc(Br)cc1 ZINC000517598595 1072000059 /nfs/dbraw/zinc/00/00/59/1072000059.db2.gz BDGFADPNJYBEKT-UHFFFAOYSA-N 0 0 428.330 -0.136 20 0 IBADRN NS(=O)(=O)CCCNC(=O)CNC(=O)c1ccccc1I ZINC000517598596 1072000017 /nfs/dbraw/zinc/00/00/17/1072000017.db2.gz CHZYGBCZVHPACC-UHFFFAOYSA-N 0 0 425.248 -0.184 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000517600014 1072000316 /nfs/dbraw/zinc/00/03/16/1072000316.db2.gz NDPZOQZLTFIWDV-UHFFFAOYSA-N 0 0 425.554 -0.408 20 0 IBADRN CCCn1c(CCC(=O)NCCCS(N)(=O)=O)nc2cc(S(N)(=O)=O)ccc21 ZINC000517601353 1072000087 /nfs/dbraw/zinc/00/00/87/1072000087.db2.gz VENHPLUANMJXJF-UHFFFAOYSA-N 0 0 431.540 -0.179 20 0 IBADRN CC(=O)Nc1ccc(O)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000517601357 1072000189 /nfs/dbraw/zinc/00/01/89/1072000189.db2.gz WAKBHHZYCTYSTI-UHFFFAOYSA-N 0 0 425.511 -0.399 20 0 IBADRN CN(C)C(=O)CN1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000517601694 1072000028 /nfs/dbraw/zinc/00/00/28/1072000028.db2.gz VYMPTQTUJRNQLQ-UHFFFAOYSA-N 0 0 444.579 -0.042 20 0 IBADRN CN(C)C(=O)CN1CCC(NS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000517601739 1072000157 /nfs/dbraw/zinc/00/01/57/1072000157.db2.gz ZMXLMDKCHQVMMT-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(C)c1nc(N)nc(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)n1 ZINC000517602029 1072000072 /nfs/dbraw/zinc/00/00/72/1072000072.db2.gz CPJVMFCVGFTXAH-UHFFFAOYSA-N 0 0 431.482 -0.457 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NCC2CCC2)c1 ZINC000517602234 1072000382 /nfs/dbraw/zinc/00/03/82/1072000382.db2.gz QULOQSNMZNIBRD-UHFFFAOYSA-N 0 0 425.554 -0.408 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NCC2CCC2)c1 ZINC000517602268 1072000044 /nfs/dbraw/zinc/00/00/44/1072000044.db2.gz ROPTWTKAUJJBDY-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NC2CCN(CC(=O)N(C)C)CC2)c1 ZINC000517602293 1072000233 /nfs/dbraw/zinc/00/02/33/1072000233.db2.gz SMSKKNNBUKETFX-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nccn2CC(F)(F)F)CC1)N1CCCC1 ZINC000517602409 1072000297 /nfs/dbraw/zinc/00/02/97/1072000297.db2.gz ISYYFADQHCLVNZ-UHFFFAOYSA-N 0 0 430.431 -0.172 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2nnnc2-c2ccccn2)CC1 ZINC000517603826 1071999996 /nfs/dbraw/zinc/99/99/96/1071999996.db2.gz MXZOFKOUPIIMDX-UHFFFAOYSA-N 0 0 432.466 -0.127 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NCCS(=O)(=O)NCC1CCC1 ZINC000517606724 1071999514 /nfs/dbraw/zinc/99/95/14/1071999514.db2.gz OJWGKJSVIBWMGE-UHFFFAOYSA-N 0 0 434.518 -0.106 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000517611226 1071999478 /nfs/dbraw/zinc/99/94/78/1071999478.db2.gz DHMVPQYDNULEEL-UHFFFAOYSA-N 0 0 428.496 -0.455 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000517615407 1072001000 /nfs/dbraw/zinc/00/10/00/1072001000.db2.gz BYOPFWFDVURGDD-NEPJUHHUSA-N 0 0 442.460 -0.556 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000517615408 1072001167 /nfs/dbraw/zinc/00/11/67/1072001167.db2.gz BYOPFWFDVURGDD-NWDGAFQWSA-N 0 0 442.460 -0.556 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000517615409 1072001317 /nfs/dbraw/zinc/00/13/17/1072001317.db2.gz BYOPFWFDVURGDD-RYUDHWBXSA-N 0 0 442.460 -0.556 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000517615410 1072001303 /nfs/dbraw/zinc/00/13/03/1072001303.db2.gz BYOPFWFDVURGDD-VXGBXAGGSA-N 0 0 442.460 -0.556 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000517619330 1072001691 /nfs/dbraw/zinc/00/16/91/1072001691.db2.gz CEASPVCWJKHYCO-AWEZNQCLSA-N 0 0 425.511 -0.190 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000517619333 1072001574 /nfs/dbraw/zinc/00/15/74/1072001574.db2.gz CEASPVCWJKHYCO-CQSZACIVSA-N 0 0 425.511 -0.190 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000517619354 1072001703 /nfs/dbraw/zinc/00/17/03/1072001703.db2.gz BNYWFGTZIOQSLM-UHFFFAOYSA-N 0 0 444.477 -0.388 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)c1cccc(C(=O)NCCCN2C(=O)CCC2=O)n1 ZINC000517620310 1072001760 /nfs/dbraw/zinc/00/17/60/1072001760.db2.gz ATQIZNUQRIZMKV-UHFFFAOYSA-N 0 0 443.460 -0.381 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)cn1 ZINC000517620845 1072001746 /nfs/dbraw/zinc/00/17/46/1072001746.db2.gz ZYZVVNIAKIOOEX-UHFFFAOYSA-N 0 0 447.517 -0.251 20 0 IBADRN C[C@H]1C[C@@H](CO)CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000517621008 1072001661 /nfs/dbraw/zinc/00/16/61/1072001661.db2.gz PASNAAILWVECLL-KBPBESRZSA-N 0 0 438.506 -0.635 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1=O ZINC000517626055 1072000732 /nfs/dbraw/zinc/00/07/32/1072000732.db2.gz VAAOIWOTUJYZBS-UHFFFAOYSA-N 0 0 437.472 -0.619 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000517634143 1073355634 /nfs/dbraw/zinc/35/56/34/1073355634.db2.gz PHUUAPCUGABHAG-UHFFFAOYSA-N 0 0 439.523 -0.294 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000517634910 1072002374 /nfs/dbraw/zinc/00/23/74/1072002374.db2.gz TWIOVSSHBIESLE-UHFFFAOYSA-N 0 0 430.552 -0.175 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)Nc1ccc(-n2cncn2)cc1 ZINC000517638437 1072002383 /nfs/dbraw/zinc/00/23/83/1072002383.db2.gz UKIFNNFHTDMBNU-UHFFFAOYSA-N 0 0 441.429 -0.286 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2cc(N3CCC[C@H](CO)C3)ncn2)CC1 ZINC000517639388 1072002459 /nfs/dbraw/zinc/00/24/59/1072002459.db2.gz UYKISLFAJSTZEK-INIZCTEOSA-N 0 0 426.543 -0.616 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2cc(N3CCC[C@@H](CO)C3)ncn2)CC1 ZINC000517639389 1072002486 /nfs/dbraw/zinc/00/24/86/1072002486.db2.gz UYKISLFAJSTZEK-MRXNPFEDSA-N 0 0 426.543 -0.616 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)nc1 ZINC000517639586 1072002614 /nfs/dbraw/zinc/00/26/14/1072002614.db2.gz GOCFOZLLPYFYQV-KBPBESRZSA-N 0 0 433.556 -0.534 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)nc1 ZINC000517639588 1072002349 /nfs/dbraw/zinc/00/23/49/1072002349.db2.gz GOCFOZLLPYFYQV-OKILXGFUSA-N 0 0 433.556 -0.534 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)nc1 ZINC000517639590 1072002431 /nfs/dbraw/zinc/00/24/31/1072002431.db2.gz GOCFOZLLPYFYQV-ZIAGYGMSSA-N 0 0 433.556 -0.534 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000517641124 1072002424 /nfs/dbraw/zinc/00/24/24/1072002424.db2.gz JINQHFONNNPCAS-UHFFFAOYSA-N 0 0 426.495 -0.627 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(CCNC(=O)c3ccc(OC)cc3)CC2)C(=O)C1=O ZINC000517643933 1072002910 /nfs/dbraw/zinc/00/29/10/1072002910.db2.gz XLJRFCGNAVDUJK-UHFFFAOYSA-N 0 0 445.520 -0.740 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000517643997 1072002943 /nfs/dbraw/zinc/00/29/43/1072002943.db2.gz AKKLUCFJTBFTBL-UHFFFAOYSA-N 0 0 446.476 -0.170 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000517657360 1072003040 /nfs/dbraw/zinc/00/30/40/1072003040.db2.gz RNYXMGWPEOHBON-UHFFFAOYSA-N 0 0 429.433 -0.162 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN(C)C(=O)c1ccc(Br)o1 ZINC000517661667 1072003293 /nfs/dbraw/zinc/00/32/93/1072003293.db2.gz CVJRCZCJIGYULV-JTQLQIEISA-N 0 0 429.271 -0.033 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN(C)C(=O)c1ccc(Br)o1 ZINC000517661668 1072003480 /nfs/dbraw/zinc/00/34/80/1072003480.db2.gz CVJRCZCJIGYULV-SNVBAGLBSA-N 0 0 429.271 -0.033 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000517661988 1072003276 /nfs/dbraw/zinc/00/32/76/1072003276.db2.gz QIPVNJPZULAENZ-AWEZNQCLSA-N 0 0 439.490 -0.525 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000517661989 1072003472 /nfs/dbraw/zinc/00/34/72/1072003472.db2.gz QIPVNJPZULAENZ-CQSZACIVSA-N 0 0 439.490 -0.525 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1nnn(-c2ccc3c(c2)OCCO3)c1C ZINC000517662145 1072003503 /nfs/dbraw/zinc/00/35/03/1072003503.db2.gz URNFPLCDZMLPCH-AWEZNQCLSA-N 0 0 428.449 -0.186 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1nnn(-c2ccc3c(c2)OCCO3)c1C ZINC000517662146 1072003334 /nfs/dbraw/zinc/00/33/34/1072003334.db2.gz URNFPLCDZMLPCH-CQSZACIVSA-N 0 0 428.449 -0.186 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000517663330 1072003462 /nfs/dbraw/zinc/00/34/62/1072003462.db2.gz YJXDOFZFSPNQOP-APWZRJJASA-N 0 0 441.488 -0.685 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000517663331 1072003393 /nfs/dbraw/zinc/00/33/93/1072003393.db2.gz YJXDOFZFSPNQOP-LPHOPBHVSA-N 0 0 441.488 -0.685 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000517663332 1072003443 /nfs/dbraw/zinc/00/34/43/1072003443.db2.gz YJXDOFZFSPNQOP-QFBILLFUSA-N 0 0 441.488 -0.685 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000517663333 1072003403 /nfs/dbraw/zinc/00/34/03/1072003403.db2.gz YJXDOFZFSPNQOP-VQIMIIECSA-N 0 0 441.488 -0.685 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000517664517 1072003246 /nfs/dbraw/zinc/00/32/46/1072003246.db2.gz XZKXBFYLLAYXDJ-MOPGFXCFSA-N 0 0 436.553 -0.203 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000517664518 1072003346 /nfs/dbraw/zinc/00/33/46/1072003346.db2.gz XZKXBFYLLAYXDJ-OALUTQOASA-N 0 0 436.553 -0.203 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000517664519 1072003308 /nfs/dbraw/zinc/00/33/08/1072003308.db2.gz XZKXBFYLLAYXDJ-RBUKOAKNSA-N 0 0 436.553 -0.203 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000517664520 1072003548 /nfs/dbraw/zinc/00/35/48/1072003548.db2.gz XZKXBFYLLAYXDJ-RTBURBONSA-N 0 0 436.553 -0.203 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCNS(=O)(=O)c1ccccc1 ZINC000517664995 1072003371 /nfs/dbraw/zinc/00/33/71/1072003371.db2.gz MRRNNEOXSJCTRU-GOSISDBHSA-N 0 0 438.550 -0.206 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCNS(=O)(=O)c1ccccc1 ZINC000517664996 1072003574 /nfs/dbraw/zinc/00/35/74/1072003574.db2.gz MRRNNEOXSJCTRU-SFHVURJKSA-N 0 0 438.550 -0.206 20 0 IBADRN Cc1cnc(NC(=O)[C@@H]2CSCN2C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000517665242 1072003521 /nfs/dbraw/zinc/00/35/21/1072003521.db2.gz YSGBGHZIGBFTDT-JTQLQIEISA-N 0 0 449.518 -0.261 20 0 IBADRN Cc1cnc(NC(=O)[C@H]2CSCN2C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000517665243 1072003536 /nfs/dbraw/zinc/00/35/36/1072003536.db2.gz YSGBGHZIGBFTDT-SNVBAGLBSA-N 0 0 449.518 -0.261 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(N)(=O)=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000517669726 1072003941 /nfs/dbraw/zinc/00/39/41/1072003941.db2.gz JBOIOGOUAHHTLL-UHFFFAOYSA-N 0 0 432.524 -0.559 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CC[C@H]2NC(=O)N(c3ccc(F)cc3F)C2=O)CC1 ZINC000517669750 1072003988 /nfs/dbraw/zinc/00/39/88/1072003988.db2.gz JQGOZVCBNYKZKS-GFCCVEGCSA-N 0 0 431.421 -0.483 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CC[C@@H]2NC(=O)N(c3ccc(F)cc3F)C2=O)CC1 ZINC000517669751 1072004079 /nfs/dbraw/zinc/00/40/79/1072004079.db2.gz JQGOZVCBNYKZKS-LBPRGKRZSA-N 0 0 431.421 -0.483 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(C(F)(F)F)c2)CC1 ZINC000517669788 1072004520 /nfs/dbraw/zinc/00/45/20/1072004520.db2.gz JQPLRHCNXIICOY-UHFFFAOYSA-N 0 0 430.430 -0.669 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Cn2cnc3ccc(Br)cc3c2=O)CC1 ZINC000517670021 1072004022 /nfs/dbraw/zinc/00/40/22/1072004022.db2.gz OFMRNUFMPSGWGB-UHFFFAOYSA-N 0 0 430.284 -0.493 20 0 IBADRN CN(CC(=O)N1CCN(S(N)(=O)=O)CC1)C(=O)c1ccc(Br)s1 ZINC000517670140 1072003820 /nfs/dbraw/zinc/00/38/20/1072003820.db2.gz UADJEXHYEHSWFN-UHFFFAOYSA-N 0 0 425.330 -0.070 20 0 IBADRN CSCC[C@@H](C(=O)N1CCN(S(N)(=O)=O)CC1)N1C(=O)c2ccccc2C1=O ZINC000517670156 1072003876 /nfs/dbraw/zinc/00/38/76/1072003876.db2.gz UXPJCEJQMIBWML-AWEZNQCLSA-N 0 0 426.520 -0.248 20 0 IBADRN CSCC[C@H](C(=O)N1CCN(S(N)(=O)=O)CC1)N1C(=O)c2ccccc2C1=O ZINC000517670157 1072003907 /nfs/dbraw/zinc/00/39/07/1072003907.db2.gz UXPJCEJQMIBWML-CQSZACIVSA-N 0 0 426.520 -0.248 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CCCCC(=O)N(C)CC(=O)N1CCOCC1 ZINC000517674516 1072004396 /nfs/dbraw/zinc/00/43/96/1072004396.db2.gz UMVVWKGCQFRTSK-UHFFFAOYSA-N 0 0 426.514 -0.819 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1nc2ncc(Br)cn2n1 ZINC000517680298 1072004976 /nfs/dbraw/zinc/00/49/76/1072004976.db2.gz PXQBOIKQJLFXPX-UHFFFAOYSA-N 0 0 427.259 -0.166 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000517680384 1072004988 /nfs/dbraw/zinc/00/49/88/1072004988.db2.gz WJXJUXISGPYSHQ-CYBMUJFWSA-N 0 0 430.552 -0.291 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000517680385 1072004827 /nfs/dbraw/zinc/00/48/27/1072004827.db2.gz WJXJUXISGPYSHQ-ZDUSSCGKSA-N 0 0 430.552 -0.291 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000517681374 1072005393 /nfs/dbraw/zinc/00/53/93/1072005393.db2.gz DWEPBBLSLVUCTL-INIZCTEOSA-N 0 0 437.584 -0.850 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000517681375 1072005425 /nfs/dbraw/zinc/00/54/25/1072005425.db2.gz DWEPBBLSLVUCTL-MRXNPFEDSA-N 0 0 437.584 -0.850 20 0 IBADRN NC(=O)COc1ccc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000517681522 1072005309 /nfs/dbraw/zinc/00/53/09/1072005309.db2.gz HOGVMOGMBNREJU-KRWDZBQOSA-N 0 0 439.534 -0.098 20 0 IBADRN NC(=O)COc1ccc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000517681523 1072005466 /nfs/dbraw/zinc/00/54/66/1072005466.db2.gz HOGVMOGMBNREJU-QGZVFWFLSA-N 0 0 439.534 -0.098 20 0 IBADRN COCCN1C[C@@H](C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000517681528 1072005387 /nfs/dbraw/zinc/00/53/87/1072005387.db2.gz IDYQNFICNCBIRP-DLBZAZTESA-N 0 0 431.555 -0.781 20 0 IBADRN COCCN1C[C@H](C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000517681537 1072005345 /nfs/dbraw/zinc/00/53/45/1072005345.db2.gz IDYQNFICNCBIRP-IAGOWNOFSA-N 0 0 431.555 -0.781 20 0 IBADRN COCCN1C[C@@H](C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000517681539 1072005338 /nfs/dbraw/zinc/00/53/38/1072005338.db2.gz IDYQNFICNCBIRP-IRXDYDNUSA-N 0 0 431.555 -0.781 20 0 IBADRN COCCN1C[C@H](C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000517681541 1072005326 /nfs/dbraw/zinc/00/53/26/1072005326.db2.gz IDYQNFICNCBIRP-SJORKVTESA-N 0 0 431.555 -0.781 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)N1CCN([C@@H](C)C(=O)N3CCOCC3)CC1)C2=O ZINC000517681545 1072005400 /nfs/dbraw/zinc/00/54/00/1072005400.db2.gz YRGRRJDSYBADNX-INIZCTEOSA-N 0 0 428.489 -0.017 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)N1CCN([C@H](C)C(=O)N3CCOCC3)CC1)C2=O ZINC000517681549 1072005417 /nfs/dbraw/zinc/00/54/17/1072005417.db2.gz YRGRRJDSYBADNX-MRXNPFEDSA-N 0 0 428.489 -0.017 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000517681580 1072005412 /nfs/dbraw/zinc/00/54/12/1072005412.db2.gz ZJCSTILSJMRZMT-INIZCTEOSA-N 0 0 435.525 -0.367 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000517681581 1072005405 /nfs/dbraw/zinc/00/54/05/1072005405.db2.gz ZJCSTILSJMRZMT-MRXNPFEDSA-N 0 0 435.525 -0.367 20 0 IBADRN CC[C@@H](CNC(=O)Cn1nc2ccccn2c1=O)NC(=O)Cn1nc2ccccn2c1=O ZINC000517685620 1072005366 /nfs/dbraw/zinc/00/53/66/1072005366.db2.gz VSLQALCQJCGZLB-AWEZNQCLSA-N 0 0 438.448 -0.983 20 0 IBADRN CC[C@H](CNC(=O)Cn1nc2ccccn2c1=O)NC(=O)Cn1nc2ccccn2c1=O ZINC000517685621 1072005332 /nfs/dbraw/zinc/00/53/32/1072005332.db2.gz VSLQALCQJCGZLB-CQSZACIVSA-N 0 0 438.448 -0.983 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)[C@H]2COCCO2)C(=O)[C@@H]2COCCO2)cc1 ZINC000517688780 1072004504 /nfs/dbraw/zinc/00/45/04/1072004504.db2.gz CWPATFCIXBXWKE-MSOLQXFVSA-N 0 0 436.461 -0.251 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)[C@H]2COCCO2)C(=O)[C@H]2COCCO2)cc1 ZINC000517688781 1072005071 /nfs/dbraw/zinc/00/50/71/1072005071.db2.gz CWPATFCIXBXWKE-QZTJIDSGSA-N 0 0 436.461 -0.251 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)[C@@H]2COCCO2)C(=O)[C@@H]2COCCO2)cc1 ZINC000517688782 1072004961 /nfs/dbraw/zinc/00/49/61/1072004961.db2.gz CWPATFCIXBXWKE-ROUUACIJSA-N 0 0 436.461 -0.251 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)[C@@H]2COCCO2)C(=O)[C@H]2COCCO2)cc1 ZINC000517688783 1072004837 /nfs/dbraw/zinc/00/48/37/1072004837.db2.gz CWPATFCIXBXWKE-ZWKOTPCHSA-N 0 0 436.461 -0.251 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)[C@H]2CCC(=O)N2)C(=O)[C@@H]2CCC(=O)N2)cc1 ZINC000517688846 1072004386 /nfs/dbraw/zinc/00/43/86/1072004386.db2.gz HHTQYJYQKVGNBK-CVEARBPZSA-N 0 0 430.461 -0.525 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)[C@@H]2CCC(=O)N2)C(=O)[C@@H]2CCC(=O)N2)cc1 ZINC000517688847 1072004456 /nfs/dbraw/zinc/00/44/56/1072004456.db2.gz HHTQYJYQKVGNBK-HOTGVXAUSA-N 0 0 430.461 -0.525 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)[C@H]2CCC(=O)N2)C(=O)[C@H]2CCC(=O)N2)cc1 ZINC000517688848 1072004543 /nfs/dbraw/zinc/00/45/43/1072004543.db2.gz HHTQYJYQKVGNBK-HZPDHXFCSA-N 0 0 430.461 -0.525 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)[C@@H]2CCC(=O)N2)C(=O)[C@H]2CCC(=O)N2)cc1 ZINC000517688849 1072004445 /nfs/dbraw/zinc/00/44/45/1072004445.db2.gz HHTQYJYQKVGNBK-JKSUJKDBSA-N 0 0 430.461 -0.525 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000517690854 1072004908 /nfs/dbraw/zinc/00/49/08/1072004908.db2.gz SSYKDDVQUYZJSL-UHFFFAOYSA-N 0 0 449.551 -0.023 20 0 IBADRN COc1ccc(-n2ccc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)n2)cc1 ZINC000517690999 1072005005 /nfs/dbraw/zinc/00/50/05/1072005005.db2.gz DCYKINFKYZJZRO-UHFFFAOYSA-N 0 0 425.453 -0.079 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccc(Cn3ccccc3=O)cc2)CC1 ZINC000517691775 1072004887 /nfs/dbraw/zinc/00/48/87/1072004887.db2.gz ZKOGUVPLNJSPGZ-UHFFFAOYSA-N 0 0 436.476 -0.063 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)NC2CC2)cc1)NCC(=O)NCCN1CCOCC1 ZINC000517692431 1072004860 /nfs/dbraw/zinc/00/48/60/1072004860.db2.gz INDJWHWGQGBEED-UHFFFAOYSA-N 0 0 438.550 -0.376 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000517692437 1072004932 /nfs/dbraw/zinc/00/49/32/1072004932.db2.gz ISKDPDMTKFVBHE-GFCCVEGCSA-N 0 0 425.554 -0.369 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000517692440 1072005058 /nfs/dbraw/zinc/00/50/58/1072005058.db2.gz ISKDPDMTKFVBHE-LBPRGKRZSA-N 0 0 425.554 -0.369 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cc1c(C)[nH]c2ccnn2c1=O)C(=O)NCCN1CCOCC1 ZINC000517693248 1072005835 /nfs/dbraw/zinc/00/58/35/1072005835.db2.gz KCEGHRWQDHBBTO-AUUYWEPGSA-N 0 0 432.525 -0.147 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cc1c(C)[nH]c2ccnn2c1=O)C(=O)NCCN1CCOCC1 ZINC000517693249 1072005880 /nfs/dbraw/zinc/00/58/80/1072005880.db2.gz KCEGHRWQDHBBTO-IFXJQAMLSA-N 0 0 432.525 -0.147 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cc1c(C)[nH]c2ccnn2c1=O)C(=O)NCCN1CCOCC1 ZINC000517693250 1072005768 /nfs/dbraw/zinc/00/57/68/1072005768.db2.gz KCEGHRWQDHBBTO-KUHUBIRLSA-N 0 0 432.525 -0.147 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cc1c(C)[nH]c2ccnn2c1=O)C(=O)NCCN1CCOCC1 ZINC000517693251 1072005850 /nfs/dbraw/zinc/00/58/50/1072005850.db2.gz KCEGHRWQDHBBTO-LIRRHRJNSA-N 0 0 432.525 -0.147 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000517694695 1072006236 /nfs/dbraw/zinc/00/62/36/1072006236.db2.gz KQGGUVZCHSCFTB-AWEZNQCLSA-N 0 0 434.540 -0.645 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000517694698 1072006264 /nfs/dbraw/zinc/00/62/64/1072006264.db2.gz KQGGUVZCHSCFTB-CQSZACIVSA-N 0 0 434.540 -0.645 20 0 IBADRN Cc1c(C(=O)NCC(=O)NCCN2CCOCC2)nnn1-c1ccc2c(c1)OCCO2 ZINC000517694741 1072006430 /nfs/dbraw/zinc/00/64/30/1072006430.db2.gz FBNLGUXYCFPKLB-UHFFFAOYSA-N 0 0 430.465 -0.475 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)ccc1OCC(N)=O ZINC000517698391 1072006205 /nfs/dbraw/zinc/00/62/05/1072006205.db2.gz VQVVQBGRGMZJJT-AWEZNQCLSA-N 0 0 429.495 -0.222 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)ccc1OCC(N)=O ZINC000517698392 1072006403 /nfs/dbraw/zinc/00/64/03/1072006403.db2.gz VQVVQBGRGMZJJT-CQSZACIVSA-N 0 0 429.495 -0.222 20 0 IBADRN COCCNC(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000517699030 1072006303 /nfs/dbraw/zinc/00/63/03/1072006303.db2.gz AXEWNFFIYIRCKE-UHFFFAOYSA-N 0 0 445.494 -0.783 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CN3c4ccccc4CCC3=O)CC2)CC1 ZINC000517703771 1072006833 /nfs/dbraw/zinc/00/68/33/1072006833.db2.gz XROHWDMWUAVJFI-UHFFFAOYSA-N 0 0 435.550 -0.398 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000517706557 1072006930 /nfs/dbraw/zinc/00/69/30/1072006930.db2.gz CRZUAPMNIZNDEN-KRWDZBQOSA-N 0 0 441.554 -0.130 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000517706558 1072006968 /nfs/dbraw/zinc/00/69/68/1072006968.db2.gz CRZUAPMNIZNDEN-QGZVFWFLSA-N 0 0 441.554 -0.130 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000517706912 1072005827 /nfs/dbraw/zinc/00/58/27/1072005827.db2.gz HWMXGTDDTICXBC-AWEZNQCLSA-N 0 0 427.545 -0.806 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000517706913 1072005973 /nfs/dbraw/zinc/00/59/73/1072005973.db2.gz HWMXGTDDTICXBC-CQSZACIVSA-N 0 0 427.545 -0.806 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc(-n2cnnn2)cc1)NC[C@@H]1CCCCO1 ZINC000517707529 1072006942 /nfs/dbraw/zinc/00/69/42/1072006942.db2.gz RWHJTOSDDNXTPO-AWEZNQCLSA-N 0 0 430.512 -0.571 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc(-n2cnnn2)cc1)NC[C@H]1CCCCO1 ZINC000517707530 1072006737 /nfs/dbraw/zinc/00/67/37/1072006737.db2.gz RWHJTOSDDNXTPO-CQSZACIVSA-N 0 0 430.512 -0.571 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2N1 ZINC000517708758 1072005782 /nfs/dbraw/zinc/00/57/82/1072005782.db2.gz GOOOZOKUFCYYQH-GFCCVEGCSA-N 0 0 433.508 -0.216 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2N1 ZINC000517708763 1072005981 /nfs/dbraw/zinc/00/59/81/1072005981.db2.gz GOOOZOKUFCYYQH-LBPRGKRZSA-N 0 0 433.508 -0.216 20 0 IBADRN CN1CCN(C)[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000517708853 1072005932 /nfs/dbraw/zinc/00/59/32/1072005932.db2.gz HTOFJTDUPVIWCG-HNNXBMFYSA-N 0 0 432.568 -0.768 20 0 IBADRN CN1CCN(C)[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000517708855 1072614393 /nfs/dbraw/zinc/61/43/93/1072614393.db2.gz HTOFJTDUPVIWCG-OAHLLOKOSA-N 0 0 432.568 -0.768 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000517711624 1072008280 /nfs/dbraw/zinc/00/82/80/1072008280.db2.gz OIINSPHURJLAOI-KBPBESRZSA-N 0 0 426.561 -0.802 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000517711625 1072008262 /nfs/dbraw/zinc/00/82/62/1072008262.db2.gz OIINSPHURJLAOI-KGLIPLIRSA-N 0 0 426.561 -0.802 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000517711626 1072008246 /nfs/dbraw/zinc/00/82/46/1072008246.db2.gz OIINSPHURJLAOI-UONOGXRCSA-N 0 0 426.561 -0.802 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000517711627 1072008158 /nfs/dbraw/zinc/00/81/58/1072008158.db2.gz OIINSPHURJLAOI-ZIAGYGMSSA-N 0 0 426.561 -0.802 20 0 IBADRN Cc1ccc(-n2cnnn2)cc1NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000517715226 1072006861 /nfs/dbraw/zinc/00/68/61/1072006861.db2.gz IIUWVBPZAUSDSB-UHFFFAOYSA-N 0 0 449.541 -0.388 20 0 IBADRN NC(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)nn1-c1ccccc1 ZINC000517716868 1072007196 /nfs/dbraw/zinc/00/71/96/1072007196.db2.gz OSSXVGDGPVLIER-DOTOQJQBSA-N 0 0 433.490 -0.801 20 0 IBADRN NC(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)nn1-c1ccccc1 ZINC000517716878 1072007374 /nfs/dbraw/zinc/00/73/74/1072007374.db2.gz OSSXVGDGPVLIER-NVXWUHKLSA-N 0 0 433.490 -0.801 20 0 IBADRN NC(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)nn1-c1ccccc1 ZINC000517716879 1072007279 /nfs/dbraw/zinc/00/72/79/1072007279.db2.gz OSSXVGDGPVLIER-RDJZCZTQSA-N 0 0 433.490 -0.801 20 0 IBADRN NC(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)nn1-c1ccccc1 ZINC000517716880 1072007363 /nfs/dbraw/zinc/00/73/63/1072007363.db2.gz OSSXVGDGPVLIER-WBVHZDCISA-N 0 0 433.490 -0.801 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2cn3ccccc3n2)CC1 ZINC000517717083 1072007268 /nfs/dbraw/zinc/00/72/68/1072007268.db2.gz KAADNFUOFKTSAW-INIZCTEOSA-N 0 0 430.509 -0.364 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2cn3ccccc3n2)CC1 ZINC000517717085 1072007290 /nfs/dbraw/zinc/00/72/90/1072007290.db2.gz KAADNFUOFKTSAW-MRXNPFEDSA-N 0 0 430.509 -0.364 20 0 IBADRN C[C@H](NC(=O)CCNC(=O)N1CCc2ccccc2C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000517717390 1072007474 /nfs/dbraw/zinc/00/74/74/1072007474.db2.gz KJFMDLAGLDKUDQ-HNNXBMFYSA-N 0 0 436.534 -0.094 20 0 IBADRN C[C@@H](NC(=O)CCNC(=O)N1CCc2ccccc2C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000517717391 1072007330 /nfs/dbraw/zinc/00/73/30/1072007330.db2.gz KJFMDLAGLDKUDQ-OAHLLOKOSA-N 0 0 436.534 -0.094 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000517717393 1072007399 /nfs/dbraw/zinc/00/73/99/1072007399.db2.gz KLODBDUEBXPMGY-UHFFFAOYSA-N 0 0 430.494 -0.394 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)cn1 ZINC000517717642 1072007315 /nfs/dbraw/zinc/00/73/15/1072007315.db2.gz SNRMLKQIBRDZNB-UHFFFAOYSA-N 0 0 434.522 -0.841 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)c2cc(S(N)(=O)=O)cn2C)cc1 ZINC000517720706 1072007891 /nfs/dbraw/zinc/00/78/91/1072007891.db2.gz IYUJCXSYWPAIRD-UHFFFAOYSA-N 0 0 430.508 -0.473 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000517723626 1072007701 /nfs/dbraw/zinc/00/77/01/1072007701.db2.gz KOPJSAIUSGJMPX-UHFFFAOYSA-N 0 0 425.493 -0.306 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCc2ccccc2S(N)(=O)=O)c(S(N)(=O)=O)c1 ZINC000517724956 1072008171 /nfs/dbraw/zinc/00/81/71/1072008171.db2.gz CUZABTIOQLXDMS-UHFFFAOYSA-N 0 0 448.548 -0.156 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000517726604 1072008146 /nfs/dbraw/zinc/00/81/46/1072008146.db2.gz RJFSFNURKXPONV-UHFFFAOYSA-N 0 0 427.483 -0.123 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000517727077 1072008694 /nfs/dbraw/zinc/00/86/94/1072008694.db2.gz GIYUEHARMKIFIM-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC(N)=O ZINC000517727862 1072008648 /nfs/dbraw/zinc/00/86/48/1072008648.db2.gz UWGBPSLKTRFCCG-UHFFFAOYSA-N 0 0 442.494 -0.623 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000517729402 1072008518 /nfs/dbraw/zinc/00/85/18/1072008518.db2.gz HZOBYZSXMPTKRN-UHFFFAOYSA-N 0 0 445.476 -0.714 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000517729541 1072008586 /nfs/dbraw/zinc/00/85/86/1072008586.db2.gz XQQHEDHBETWDLY-UHFFFAOYSA-N 0 0 436.490 -0.678 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccnc2N2CCOCC2)CC1)N1CCCC1 ZINC000517732004 1072008473 /nfs/dbraw/zinc/00/84/73/1072008473.db2.gz KWZYIPSMCNGHKY-UHFFFAOYSA-N 0 0 430.509 -0.377 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O)c1cccc(S(N)(=O)=O)c1 ZINC000517762589 1072009687 /nfs/dbraw/zinc/00/96/87/1072009687.db2.gz CUMANQYEVIZAJQ-BXKDBHETSA-N 0 0 436.412 -0.209 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O)c1cccc(S(N)(=O)=O)c1 ZINC000517762591 1072009789 /nfs/dbraw/zinc/00/97/89/1072009789.db2.gz CUMANQYEVIZAJQ-CABZTGNLSA-N 0 0 436.412 -0.209 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O)c1cccc(S(N)(=O)=O)c1 ZINC000517762593 1072009743 /nfs/dbraw/zinc/00/97/43/1072009743.db2.gz CUMANQYEVIZAJQ-JOYOIKCWSA-N 0 0 436.412 -0.209 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O)c1cccc(S(N)(=O)=O)c1 ZINC000517762594 1072010282 /nfs/dbraw/zinc/01/02/82/1072010282.db2.gz CUMANQYEVIZAJQ-SKDRFNHKSA-N 0 0 436.412 -0.209 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)NC[C@@H](CC(C)C)N2CCOCC2)CC1 ZINC000517762940 1072010248 /nfs/dbraw/zinc/01/02/48/1072010248.db2.gz IPTQMQUEACIDBS-GOSISDBHSA-N 0 0 426.558 -0.007 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)NC[C@H](CC(C)C)N2CCOCC2)CC1 ZINC000517762942 1072010335 /nfs/dbraw/zinc/01/03/35/1072010335.db2.gz IPTQMQUEACIDBS-SFHVURJKSA-N 0 0 426.558 -0.007 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCCN(C(=O)CCC(F)(F)F)C1)c(=O)n2C ZINC000517768293 1072010137 /nfs/dbraw/zinc/01/01/37/1072010137.db2.gz SFIGOHKACXANPE-LLVKDONJSA-N 0 0 444.414 -0.117 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4nc(C)cc(C)n4)CC3)C2=O)n(C)n1 ZINC000517772775 1072010859 /nfs/dbraw/zinc/01/08/59/1072010859.db2.gz ORYNXDCLWHIBSU-INIZCTEOSA-N 0 0 440.508 -0.294 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4nc(C)cc(C)n4)CC3)C2=O)n(C)n1 ZINC000517772782 1072010886 /nfs/dbraw/zinc/01/08/86/1072010886.db2.gz ORYNXDCLWHIBSU-MRXNPFEDSA-N 0 0 440.508 -0.294 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000517774483 1072010722 /nfs/dbraw/zinc/01/07/22/1072010722.db2.gz ALOPWFYBSRHJCB-INIZCTEOSA-N 0 0 430.513 -0.753 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000517774484 1072010920 /nfs/dbraw/zinc/01/09/20/1072010920.db2.gz ALOPWFYBSRHJCB-MRXNPFEDSA-N 0 0 430.513 -0.753 20 0 IBADRN O=S(=O)(C[C@H]1CCCO1)NCCN1CCN(S(=O)(=O)C[C@@H]2CCCO2)CC1 ZINC000517775376 1072010961 /nfs/dbraw/zinc/01/09/61/1072010961.db2.gz SIXBSRSMKIWRRG-CVEARBPZSA-N 0 0 425.573 -0.789 20 0 IBADRN O=S(=O)(C[C@@H]1CCCO1)NCCN1CCN(S(=O)(=O)C[C@@H]2CCCO2)CC1 ZINC000517775377 1072010906 /nfs/dbraw/zinc/01/09/06/1072010906.db2.gz SIXBSRSMKIWRRG-HOTGVXAUSA-N 0 0 425.573 -0.789 20 0 IBADRN O=S(=O)(C[C@H]1CCCO1)NCCN1CCN(S(=O)(=O)C[C@H]2CCCO2)CC1 ZINC000517775378 1072010662 /nfs/dbraw/zinc/01/06/62/1072010662.db2.gz SIXBSRSMKIWRRG-HZPDHXFCSA-N 0 0 425.573 -0.789 20 0 IBADRN O=S(=O)(C[C@@H]1CCCO1)NCCN1CCN(S(=O)(=O)C[C@H]2CCCO2)CC1 ZINC000517775379 1072010848 /nfs/dbraw/zinc/01/08/48/1072010848.db2.gz SIXBSRSMKIWRRG-JKSUJKDBSA-N 0 0 425.573 -0.789 20 0 IBADRN CC(C)c1csc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC000517776326 1072010819 /nfs/dbraw/zinc/01/08/19/1072010819.db2.gz ATQVAIBSOBYDHZ-UHFFFAOYSA-N 0 0 435.510 -0.629 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1nc(C3CC3)cs1)C2 ZINC000517776469 1072010875 /nfs/dbraw/zinc/01/08/75/1072010875.db2.gz HYTPPURXHIGLKR-UHFFFAOYSA-N 0 0 433.494 -0.875 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)CN2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000517785712 1072011368 /nfs/dbraw/zinc/01/13/68/1072011368.db2.gz VXCJOPHIBMRRBS-KPZWWZAWSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)CN2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000517785713 1072011705 /nfs/dbraw/zinc/01/17/05/1072011705.db2.gz VXCJOPHIBMRRBS-KZULUSFZSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)CN2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000517785714 1072011772 /nfs/dbraw/zinc/01/17/72/1072011772.db2.gz VXCJOPHIBMRRBS-SGTLLEGYSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)CN2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000517785715 1072011764 /nfs/dbraw/zinc/01/17/64/1072011764.db2.gz VXCJOPHIBMRRBS-XIKOKIGWSA-N 0 0 426.495 -0.251 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cccc1-n1cnnn1 ZINC000517785898 1072011908 /nfs/dbraw/zinc/01/19/08/1072011908.db2.gz JTMOQAICGCHDPW-UHFFFAOYSA-N 0 0 447.463 -0.065 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCCc2ccccc21 ZINC000517788498 1072011272 /nfs/dbraw/zinc/01/12/72/1072011272.db2.gz XXVVLEBHEDJIJH-UHFFFAOYSA-N 0 0 443.504 -0.322 20 0 IBADRN O=C(CCn1ccnc1)Nc1cccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000517795573 1072011285 /nfs/dbraw/zinc/01/12/85/1072011285.db2.gz BKZLDSWBPDWNME-UHFFFAOYSA-N 0 0 437.464 -0.117 20 0 IBADRN CNC(=O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000517795953 1072011877 /nfs/dbraw/zinc/01/18/77/1072011877.db2.gz JCPMOIUFQITTKQ-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000517797951 1072011837 /nfs/dbraw/zinc/01/18/37/1072011837.db2.gz GWRJHAOCWHMGED-UHFFFAOYSA-N 0 0 430.509 -0.164 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC(CS(N)(=O)=O)CC2)cc1OC ZINC000517807953 1072011720 /nfs/dbraw/zinc/01/17/20/1072011720.db2.gz AYJPPDOTLIHICP-UHFFFAOYSA-N 0 0 449.551 -0.101 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000517808489 1072011750 /nfs/dbraw/zinc/01/17/50/1072011750.db2.gz DDDQBVZZUUJVAV-AWEZNQCLSA-N 0 0 431.540 -0.479 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC000517808498 1072011860 /nfs/dbraw/zinc/01/18/60/1072011860.db2.gz DDDQBVZZUUJVAV-CQSZACIVSA-N 0 0 431.540 -0.479 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000517812012 1072012324 /nfs/dbraw/zinc/01/23/24/1072012324.db2.gz SOZBBSNNIVUTQH-CYBMUJFWSA-N 0 0 429.499 -0.696 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000517812013 1072012153 /nfs/dbraw/zinc/01/21/53/1072012153.db2.gz SOZBBSNNIVUTQH-ZDUSSCGKSA-N 0 0 429.499 -0.696 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4cscn4)CC3)cnc2n(C)c1=O ZINC000517813039 1072012348 /nfs/dbraw/zinc/01/23/48/1072012348.db2.gz MZGMBMWPPXELFS-UHFFFAOYSA-N 0 0 443.489 -0.628 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000517813479 1072012360 /nfs/dbraw/zinc/01/23/60/1072012360.db2.gz YUNJCLHDPPBQNA-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000517814627 1072012240 /nfs/dbraw/zinc/01/22/40/1072012240.db2.gz GALKJGFHFNRYHK-INIZCTEOSA-N 0 0 429.477 -0.142 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000517814628 1072012365 /nfs/dbraw/zinc/01/23/65/1072012365.db2.gz GALKJGFHFNRYHK-MRXNPFEDSA-N 0 0 429.477 -0.142 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H](O)COc3ccc(F)cc3)cnc2n(C)c1=O ZINC000517816316 1072012281 /nfs/dbraw/zinc/01/22/81/1072012281.db2.gz XBKIFBADVMOMLU-CYBMUJFWSA-N 0 0 445.407 -0.734 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H](O)COc3ccc(F)cc3)cnc2n(C)c1=O ZINC000517816317 1072012268 /nfs/dbraw/zinc/01/22/68/1072012268.db2.gz XBKIFBADVMOMLU-ZDUSSCGKSA-N 0 0 445.407 -0.734 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000517817469 1072012575 /nfs/dbraw/zinc/01/25/75/1072012575.db2.gz LOMXZFLRGDVBFK-KRWDZBQOSA-N 0 0 432.477 -0.376 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000517817477 1072012906 /nfs/dbraw/zinc/01/29/06/1072012906.db2.gz LOMXZFLRGDVBFK-QGZVFWFLSA-N 0 0 432.477 -0.376 20 0 IBADRN COc1cc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1OCC(N)=O ZINC000517818685 1072012815 /nfs/dbraw/zinc/01/28/15/1072012815.db2.gz XXQIIJSOEIEECD-INIZCTEOSA-N 0 0 434.493 -0.644 20 0 IBADRN COc1cc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1OCC(N)=O ZINC000517818686 1072012750 /nfs/dbraw/zinc/01/27/50/1072012750.db2.gz XXQIIJSOEIEECD-MRXNPFEDSA-N 0 0 434.493 -0.644 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)s1 ZINC000517819943 1072012916 /nfs/dbraw/zinc/01/29/16/1072012916.db2.gz BDZVUZNDONLVKX-CYBMUJFWSA-N 0 0 430.552 -0.547 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)s1 ZINC000517819951 1072012730 /nfs/dbraw/zinc/01/27/30/1072012730.db2.gz BDZVUZNDONLVKX-ZDUSSCGKSA-N 0 0 430.552 -0.547 20 0 IBADRN O=C(Cc1nc2ncccn2n1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000517820358 1072012661 /nfs/dbraw/zinc/01/26/61/1072012661.db2.gz GERZJEKBMLDXHR-UHFFFAOYSA-N 0 0 442.461 -0.218 20 0 IBADRN NC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)C1 ZINC000517822101 1072012885 /nfs/dbraw/zinc/01/28/85/1072012885.db2.gz ZPKFIJVRTBYGCK-GFCCVEGCSA-N 0 0 436.494 -0.108 20 0 IBADRN NC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)C1 ZINC000517822103 1072012609 /nfs/dbraw/zinc/01/26/09/1072012609.db2.gz ZPKFIJVRTBYGCK-LBPRGKRZSA-N 0 0 436.494 -0.108 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000517826459 1072012865 /nfs/dbraw/zinc/01/28/65/1072012865.db2.gz YTNNKCJJTRKLAF-UHFFFAOYSA-N 0 0 427.527 -0.074 20 0 IBADRN CCN(CC(=O)Nc1ccc(NC(C)=O)cc1)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000517827816 1072013374 /nfs/dbraw/zinc/01/33/74/1072013374.db2.gz JWJSPYTXZACHTR-UHFFFAOYSA-N 0 0 432.524 -0.119 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC[C@@H](C(N)=O)C2)c1OC ZINC000517829932 1072013365 /nfs/dbraw/zinc/01/33/65/1072013365.db2.gz WJSWRFBPXMPJHN-GFCCVEGCSA-N 0 0 427.479 -0.328 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC[C@H](C(N)=O)C2)c1OC ZINC000517829934 1072013333 /nfs/dbraw/zinc/01/33/33/1072013333.db2.gz WJSWRFBPXMPJHN-LBPRGKRZSA-N 0 0 427.479 -0.328 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)CC1 ZINC000517834931 1072013294 /nfs/dbraw/zinc/01/32/94/1072013294.db2.gz FFXGTWHEBAIHPY-UHFFFAOYSA-N 0 0 433.509 -0.319 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c(OCC(=O)N(C)C)c1 ZINC000517836142 1072013381 /nfs/dbraw/zinc/01/33/81/1072013381.db2.gz HZQOMDFGFSEERG-UHFFFAOYSA-N 0 0 440.522 -0.106 20 0 IBADRN CC(C)NC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000517837163 1072013192 /nfs/dbraw/zinc/01/31/92/1072013192.db2.gz ZIICMYJODUYULX-UHFFFAOYSA-N 0 0 425.511 -0.798 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000517838804 1072013323 /nfs/dbraw/zinc/01/33/23/1072013323.db2.gz STZZIXXCVOVEQL-DOTOQJQBSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000517838805 1072013178 /nfs/dbraw/zinc/01/31/78/1072013178.db2.gz STZZIXXCVOVEQL-NVXWUHKLSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000517838806 1072013259 /nfs/dbraw/zinc/01/32/59/1072013259.db2.gz STZZIXXCVOVEQL-RDJZCZTQSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000517838807 1072013102 /nfs/dbraw/zinc/01/31/02/1072013102.db2.gz STZZIXXCVOVEQL-WBVHZDCISA-N 0 0 447.579 -0.395 20 0 IBADRN Cc1cccc(OC[C@@H](O)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c1 ZINC000517845429 1072013144 /nfs/dbraw/zinc/01/31/44/1072013144.db2.gz XCGYPVXMJOEKQA-INIZCTEOSA-N 0 0 427.461 -0.179 20 0 IBADRN Cc1cccc(OC[C@H](O)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c1 ZINC000517845432 1072013283 /nfs/dbraw/zinc/01/32/83/1072013283.db2.gz XCGYPVXMJOEKQA-MRXNPFEDSA-N 0 0 427.461 -0.179 20 0 IBADRN Cc1cccc(OC[C@@H](O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000517845839 1072013165 /nfs/dbraw/zinc/01/31/65/1072013165.db2.gz DFXBPUHIKVPEQF-AWEZNQCLSA-N 0 0 441.444 -0.565 20 0 IBADRN Cc1cccc(OC[C@H](O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000517845840 1072013272 /nfs/dbraw/zinc/01/32/72/1072013272.db2.gz DFXBPUHIKVPEQF-CQSZACIVSA-N 0 0 441.444 -0.565 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCNC(=O)c3cccnc3)cc2)CC1 ZINC000517849585 1072013791 /nfs/dbraw/zinc/01/37/91/1072013791.db2.gz BCXODUBHTHQAOG-UHFFFAOYSA-N 0 0 438.488 -0.046 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCn3cccn3)c2)CC1 ZINC000517850555 1072013892 /nfs/dbraw/zinc/01/38/92/1072013892.db2.gz OPOWALIOMAAGQT-UHFFFAOYSA-N 0 0 434.522 -0.036 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c1 ZINC000517853971 1072013938 /nfs/dbraw/zinc/01/39/38/1072013938.db2.gz MQGZBBSADGVNAJ-UHFFFAOYSA-N 0 0 448.567 -0.102 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000517854383 1072013703 /nfs/dbraw/zinc/01/37/03/1072013703.db2.gz WBLUCASLNUQXRS-HNNXBMFYSA-N 0 0 434.522 -0.037 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000517854384 1072013842 /nfs/dbraw/zinc/01/38/42/1072013842.db2.gz WBLUCASLNUQXRS-OAHLLOKOSA-N 0 0 434.522 -0.037 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000517854477 1072013727 /nfs/dbraw/zinc/01/37/27/1072013727.db2.gz UOUHVYUXRBZEMY-UHFFFAOYSA-N 0 0 444.536 -0.707 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCC(C(N)=O)CC3)c2)CC1 ZINC000517857062 1072013834 /nfs/dbraw/zinc/01/38/34/1072013834.db2.gz VDBVOTRPJVPWHU-UHFFFAOYSA-N 0 0 437.522 -0.715 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CCCN2C(=O)CN(C)C2=O)c1 ZINC000517859010 1072014464 /nfs/dbraw/zinc/01/44/64/1072014464.db2.gz IHGRQGSRMSPJFY-UHFFFAOYSA-N 0 0 425.467 -0.676 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN(C)c2ncnc3nc[nH]c32)c1 ZINC000517859710 1072014375 /nfs/dbraw/zinc/01/43/75/1072014375.db2.gz PPZWBMQRMJQOMZ-UHFFFAOYSA-N 0 0 432.466 -0.548 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(C)(C)C(N)=O)c2)CC1 ZINC000517862394 1072014286 /nfs/dbraw/zinc/01/42/86/1072014286.db2.gz VSINVAJMECPEDD-UHFFFAOYSA-N 0 0 425.511 -0.811 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000517865689 1072014451 /nfs/dbraw/zinc/01/44/51/1072014451.db2.gz ICFVCZKEYALAIK-CVEARBPZSA-N 0 0 425.511 -0.463 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000517865690 1072014934 /nfs/dbraw/zinc/01/49/34/1072014934.db2.gz ICFVCZKEYALAIK-HOTGVXAUSA-N 0 0 425.511 -0.463 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000517865691 1072014881 /nfs/dbraw/zinc/01/48/81/1072014881.db2.gz ICFVCZKEYALAIK-HZPDHXFCSA-N 0 0 425.511 -0.463 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000517865692 1072014871 /nfs/dbraw/zinc/01/48/71/1072014871.db2.gz ICFVCZKEYALAIK-JKSUJKDBSA-N 0 0 425.511 -0.463 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccc2c(c1)C(=O)NC2=O ZINC000517867157 1072014474 /nfs/dbraw/zinc/01/44/74/1072014474.db2.gz NVLPUQDZOVJYFT-UHFFFAOYSA-N 0 0 443.485 -0.153 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)cc1 ZINC000517868054 1072014234 /nfs/dbraw/zinc/01/42/34/1072014234.db2.gz FGPFWHHJDHSTDU-UHFFFAOYSA-N 0 0 440.503 -0.129 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000517869008 1072014432 /nfs/dbraw/zinc/01/44/32/1072014432.db2.gz LRPGIPYSZXHXQM-UHFFFAOYSA-N 0 0 438.506 -0.827 20 0 IBADRN CS(=O)(=O)NCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000517872065 1072014223 /nfs/dbraw/zinc/01/42/23/1072014223.db2.gz AIBSYFGBFFBACL-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000517872267 1072014557 /nfs/dbraw/zinc/01/45/57/1072014557.db2.gz IFYUKVNTGXCUQS-UHFFFAOYSA-N 0 0 439.490 -0.006 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000517877382 1072014849 /nfs/dbraw/zinc/01/48/49/1072014849.db2.gz OSKRFJOBVFEBCL-DOTOQJQBSA-N 0 0 425.507 -0.496 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000517877383 1072014911 /nfs/dbraw/zinc/01/49/11/1072014911.db2.gz OSKRFJOBVFEBCL-NVXWUHKLSA-N 0 0 425.507 -0.496 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000517877384 1072015359 /nfs/dbraw/zinc/01/53/59/1072015359.db2.gz OSKRFJOBVFEBCL-RDJZCZTQSA-N 0 0 425.507 -0.496 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000517877385 1072015513 /nfs/dbraw/zinc/01/55/13/1072015513.db2.gz OSKRFJOBVFEBCL-WBVHZDCISA-N 0 0 425.507 -0.496 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000517880671 1072015544 /nfs/dbraw/zinc/01/55/44/1072015544.db2.gz CNQAVFNVIOPULI-CABCVRRESA-N 0 0 437.522 -0.553 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000517880672 1072015434 /nfs/dbraw/zinc/01/54/34/1072015434.db2.gz CNQAVFNVIOPULI-GJZGRUSLSA-N 0 0 437.522 -0.553 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000517880673 1072015417 /nfs/dbraw/zinc/01/54/17/1072015417.db2.gz CNQAVFNVIOPULI-HUUCEWRRSA-N 0 0 437.522 -0.553 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000517880674 1072015370 /nfs/dbraw/zinc/01/53/70/1072015370.db2.gz CNQAVFNVIOPULI-LSDHHAIUSA-N 0 0 437.522 -0.553 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000517882710 1072015333 /nfs/dbraw/zinc/01/53/33/1072015333.db2.gz SEZGVWRZKBXWKQ-UHFFFAOYSA-N 0 0 442.476 -0.347 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000517884013 1072014981 /nfs/dbraw/zinc/01/49/81/1072014981.db2.gz JHDZQTURLQGGEQ-HNNXBMFYSA-N 0 0 426.539 -0.058 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000517884014 1072014763 /nfs/dbraw/zinc/01/47/63/1072014763.db2.gz JHDZQTURLQGGEQ-OAHLLOKOSA-N 0 0 426.539 -0.058 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1S(=O)(=O)NC(C)C ZINC000517884030 1072014725 /nfs/dbraw/zinc/01/47/25/1072014725.db2.gz JUHHZNTVDYHPAZ-UHFFFAOYSA-N 0 0 442.538 -0.440 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)c2ccccn21 ZINC000517884824 1072014922 /nfs/dbraw/zinc/01/49/22/1072014922.db2.gz WATTYHURUQXVRI-UHFFFAOYSA-N 0 0 429.458 -0.117 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cc1 ZINC000517886218 1072014742 /nfs/dbraw/zinc/01/47/42/1072014742.db2.gz WBHSPVWSTUTMEB-UHFFFAOYSA-N 0 0 428.405 -0.164 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)CC1 ZINC000517891370 1072015470 /nfs/dbraw/zinc/01/54/70/1072015470.db2.gz DLEOVIJBLVFCBL-UHFFFAOYSA-N 0 0 433.513 -0.303 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000517891506 1072015395 /nfs/dbraw/zinc/01/53/95/1072015395.db2.gz OCHXSDJNRWAAII-FQEVSTJZSA-N 0 0 427.505 -0.258 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000517891509 1072015500 /nfs/dbraw/zinc/01/55/00/1072015500.db2.gz OCHXSDJNRWAAII-HXUWFJFHSA-N 0 0 427.505 -0.258 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000517892071 1072015382 /nfs/dbraw/zinc/01/53/82/1072015382.db2.gz KDCKITLBDGBPLP-UHFFFAOYSA-N 0 0 433.465 -0.654 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000517896313 1072015425 /nfs/dbraw/zinc/01/54/25/1072015425.db2.gz BHXPXYUQFCTXMU-UHFFFAOYSA-N 0 0 447.517 -0.504 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000517896387 1072015457 /nfs/dbraw/zinc/01/54/57/1072015457.db2.gz DSVCQLDOXVLVDS-UHFFFAOYSA-N 0 0 432.477 -0.387 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)CC1 ZINC000517896497 1072015346 /nfs/dbraw/zinc/01/53/46/1072015346.db2.gz QPEZTSVLIJQYDL-AWEZNQCLSA-N 0 0 445.524 -0.160 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)CC1 ZINC000517896500 1072015407 /nfs/dbraw/zinc/01/54/07/1072015407.db2.gz QPEZTSVLIJQYDL-CQSZACIVSA-N 0 0 445.524 -0.160 20 0 IBADRN O=C(NCc1coc(-c2cccs2)n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000517897389 1072015445 /nfs/dbraw/zinc/01/54/45/1072015445.db2.gz IBRDPUIIRRAOAO-UHFFFAOYSA-N 0 0 431.474 -0.031 20 0 IBADRN CCOC(=O)CN(C(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1ccccc1 ZINC000517898507 1072015306 /nfs/dbraw/zinc/01/53/06/1072015306.db2.gz LPPZXLRVPOQATJ-UHFFFAOYSA-N 0 0 444.488 -0.124 20 0 IBADRN C[C@@H](Cc1ccsc1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000517905036 1072015768 /nfs/dbraw/zinc/01/57/68/1072015768.db2.gz FSPQUHIWMDYANW-HNNXBMFYSA-N 0 0 443.595 -0.568 20 0 IBADRN C[C@H](Cc1ccsc1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000517905037 1072015979 /nfs/dbraw/zinc/01/59/79/1072015979.db2.gz FSPQUHIWMDYANW-OAHLLOKOSA-N 0 0 443.595 -0.568 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)CC2)NC(=O)NC1=O ZINC000517906623 1072016002 /nfs/dbraw/zinc/01/60/02/1072016002.db2.gz GGOBEBYAUMRWKF-AOMKIAJQSA-N 0 0 441.488 -0.260 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)CC2)NC(=O)NC1=O ZINC000517906733 1072016522 /nfs/dbraw/zinc/01/65/22/1072016522.db2.gz GGOBEBYAUMRWKF-KSFYIVLOSA-N 0 0 441.488 -0.260 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)CC2)NC(=O)NC1=O ZINC000517906734 1072016623 /nfs/dbraw/zinc/01/66/23/1072016623.db2.gz GGOBEBYAUMRWKF-OPAMFIHVSA-N 0 0 441.488 -0.260 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)CC2)NC(=O)NC1=O ZINC000517906735 1072016350 /nfs/dbraw/zinc/01/63/50/1072016350.db2.gz GGOBEBYAUMRWKF-ZHRRBRCNSA-N 0 0 441.488 -0.260 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)[C@@H](C)N1CCOCC1 ZINC000517909874 1072016382 /nfs/dbraw/zinc/01/63/82/1072016382.db2.gz QDXHRCFVQZRCSK-BRSBDYLESA-N 0 0 447.602 -0.223 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)[C@H](C)N1CCOCC1 ZINC000517909876 1072016635 /nfs/dbraw/zinc/01/66/35/1072016635.db2.gz QDXHRCFVQZRCSK-TVFCKZIOSA-N 0 0 447.602 -0.223 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@H](C)N1CCOCC1 ZINC000517909878 1072016423 /nfs/dbraw/zinc/01/64/23/1072016423.db2.gz QDXHRCFVQZRCSK-XDNAFOTISA-N 0 0 447.602 -0.223 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@@H](C)N1CCOCC1 ZINC000517909881 1072016407 /nfs/dbraw/zinc/01/64/07/1072016407.db2.gz QDXHRCFVQZRCSK-XMTFNYHQSA-N 0 0 447.602 -0.223 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000517910247 1072015941 /nfs/dbraw/zinc/01/59/41/1072015941.db2.gz BZDVMUVYJBLHFO-GFCCVEGCSA-N 0 0 442.416 -0.220 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000517910248 1072016081 /nfs/dbraw/zinc/01/60/81/1072016081.db2.gz BZDVMUVYJBLHFO-LBPRGKRZSA-N 0 0 442.416 -0.220 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1 ZINC000517912007 1072016015 /nfs/dbraw/zinc/01/60/15/1072016015.db2.gz LEYPAHINKRHEBB-UHFFFAOYSA-N 0 0 445.476 -0.113 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C[C@H](C)O1 ZINC000517913618 1072015796 /nfs/dbraw/zinc/01/57/96/1072015796.db2.gz MDUXXVDWOIXJQN-HOTGVXAUSA-N 0 0 437.541 -0.123 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C[C@@H](C)O1 ZINC000517913622 1072016141 /nfs/dbraw/zinc/01/61/41/1072016141.db2.gz MDUXXVDWOIXJQN-HZPDHXFCSA-N 0 0 437.541 -0.123 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C[C@H](C)O1 ZINC000517913624 1072015856 /nfs/dbraw/zinc/01/58/56/1072015856.db2.gz MDUXXVDWOIXJQN-IYBDPMFKSA-N 0 0 437.541 -0.123 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000517917405 1072016061 /nfs/dbraw/zinc/01/60/61/1072016061.db2.gz YYFBKRINQADZEW-UHFFFAOYSA-N 0 0 448.552 -0.744 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000517917747 1072015962 /nfs/dbraw/zinc/01/59/62/1072015962.db2.gz MYKBEWQQEYYJFV-UHFFFAOYSA-N 0 0 447.467 -0.513 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cn1 ZINC000517919144 1072017186 /nfs/dbraw/zinc/01/71/86/1072017186.db2.gz FCYHRHUGCMVXHX-GFCCVEGCSA-N 0 0 437.430 -0.292 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cn1 ZINC000517919145 1072016976 /nfs/dbraw/zinc/01/69/76/1072016976.db2.gz FCYHRHUGCMVXHX-LBPRGKRZSA-N 0 0 437.430 -0.292 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2cccc(C(F)(F)F)c2)cn1 ZINC000517919395 1072016340 /nfs/dbraw/zinc/01/63/40/1072016340.db2.gz HNDOGGSBJQDANQ-GFCCVEGCSA-N 0 0 429.355 -0.118 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2cccc(C(F)(F)F)c2)cn1 ZINC000517919396 1072016316 /nfs/dbraw/zinc/01/63/16/1072016316.db2.gz HNDOGGSBJQDANQ-LBPRGKRZSA-N 0 0 429.355 -0.118 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)c1 ZINC000517919434 1072016534 /nfs/dbraw/zinc/01/65/34/1072016534.db2.gz JJJQRMYALKMHGP-CYBMUJFWSA-N 0 0 431.401 -0.226 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)c1 ZINC000517919435 1072017201 /nfs/dbraw/zinc/01/72/01/1072017201.db2.gz JJJQRMYALKMHGP-ZDUSSCGKSA-N 0 0 431.401 -0.226 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000517919695 1072016483 /nfs/dbraw/zinc/01/64/83/1072016483.db2.gz GEXZTYVOQWMGHH-AWEZNQCLSA-N 0 0 440.478 -0.932 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000517919696 1072016444 /nfs/dbraw/zinc/01/64/44/1072016444.db2.gz GEXZTYVOQWMGHH-CQSZACIVSA-N 0 0 440.478 -0.932 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000517920618 1072017155 /nfs/dbraw/zinc/01/71/55/1072017155.db2.gz VWQHXWMTGYWSIU-AWEZNQCLSA-N 0 0 444.535 -0.295 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000517920619 1072016989 /nfs/dbraw/zinc/01/69/89/1072016989.db2.gz VWQHXWMTGYWSIU-CQSZACIVSA-N 0 0 444.535 -0.295 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000517921286 1072017140 /nfs/dbraw/zinc/01/71/40/1072017140.db2.gz CMUCMJJHKICDDB-AWEZNQCLSA-N 0 0 444.535 -0.295 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000517921287 1072017029 /nfs/dbraw/zinc/01/70/29/1072017029.db2.gz CMUCMJJHKICDDB-CQSZACIVSA-N 0 0 444.535 -0.295 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000517921534 1072017096 /nfs/dbraw/zinc/01/70/96/1072017096.db2.gz ITNVKEOTXNXTLV-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000517921536 1072017046 /nfs/dbraw/zinc/01/70/46/1072017046.db2.gz ITNVKEOTXNXTLV-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000517921553 1072017085 /nfs/dbraw/zinc/01/70/85/1072017085.db2.gz JBGAWPZEWDJRPM-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000517921555 1072017002 /nfs/dbraw/zinc/01/70/02/1072017002.db2.gz JBGAWPZEWDJRPM-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000517921827 1072017170 /nfs/dbraw/zinc/01/71/70/1072017170.db2.gz LVSMDOSTWVFRBI-AWEZNQCLSA-N 0 0 426.389 -0.114 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)OCO2)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000517921830 1072016962 /nfs/dbraw/zinc/01/69/62/1072016962.db2.gz LVSMDOSTWVFRBI-CQSZACIVSA-N 0 0 426.389 -0.114 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCOCCOC)c2)CC1 ZINC000517925120 1072017604 /nfs/dbraw/zinc/01/76/04/1072017604.db2.gz BNJHTYZMUSGFPV-UHFFFAOYSA-N 0 0 442.538 -0.270 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000517925594 1072017113 /nfs/dbraw/zinc/01/71/13/1072017113.db2.gz IWVBXCAYCQZQBF-AWEZNQCLSA-N 0 0 446.551 -0.148 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000517925597 1072017628 /nfs/dbraw/zinc/01/76/28/1072017628.db2.gz IWVBXCAYCQZQBF-CQSZACIVSA-N 0 0 446.551 -0.148 20 0 IBADRN COCCOCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000517926252 1072017643 /nfs/dbraw/zinc/01/76/43/1072017643.db2.gz PYJUJXDOLAYPLP-UHFFFAOYSA-N 0 0 428.511 -0.660 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1cccc(NC(=O)[C@@H]3CCCO3)c1)c(=O)n2C ZINC000517933003 1072016470 /nfs/dbraw/zinc/01/64/70/1072016470.db2.gz WHOPEVASERTVQI-HNNXBMFYSA-N 0 0 440.460 -0.132 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1cccc(NC(=O)[C@H]3CCCO3)c1)c(=O)n2C ZINC000517933004 1072016568 /nfs/dbraw/zinc/01/65/68/1072016568.db2.gz WHOPEVASERTVQI-OAHLLOKOSA-N 0 0 440.460 -0.132 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000517939514 1072017657 /nfs/dbraw/zinc/01/76/57/1072017657.db2.gz YFFANFMDGVBPLA-SECBINFHSA-N 0 0 442.222 -0.004 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000517939515 1072017686 /nfs/dbraw/zinc/01/76/86/1072017686.db2.gz YFFANFMDGVBPLA-VIFPVBQESA-N 0 0 442.222 -0.004 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC[C@@H](O)COc3ccc4c(c3)OCO4)cnc2n(C)c1=O ZINC000517942417 1072017771 /nfs/dbraw/zinc/01/77/71/1072017771.db2.gz OZRVBPULOIOZOA-GFCCVEGCSA-N 0 0 428.401 -0.469 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC[C@H](O)COc3ccc4c(c3)OCO4)cnc2n(C)c1=O ZINC000517942423 1072017488 /nfs/dbraw/zinc/01/74/88/1072017488.db2.gz OZRVBPULOIOZOA-LBPRGKRZSA-N 0 0 428.401 -0.469 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCCC(=O)NC)cc2)CC1 ZINC000517943602 1072017571 /nfs/dbraw/zinc/01/75/71/1072017571.db2.gz GDHYDJMCVIKIOR-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCOC[C@@H]1C ZINC000517944089 1072017506 /nfs/dbraw/zinc/01/75/06/1072017506.db2.gz QKVCXIHNFYNIJE-HNNXBMFYSA-N 0 0 434.493 -0.057 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCOC[C@H]1C ZINC000517944090 1072017581 /nfs/dbraw/zinc/01/75/81/1072017581.db2.gz QKVCXIHNFYNIJE-OAHLLOKOSA-N 0 0 434.493 -0.057 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCN1CCOC[C@@H]1C ZINC000517944142 1072017516 /nfs/dbraw/zinc/01/75/16/1072017516.db2.gz JZWSTVXAOJIWBW-INIZCTEOSA-N 0 0 447.536 -0.142 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCN1CCOC[C@H]1C ZINC000517944143 1072017543 /nfs/dbraw/zinc/01/75/43/1072017543.db2.gz JZWSTVXAOJIWBW-MRXNPFEDSA-N 0 0 447.536 -0.142 20 0 IBADRN Cc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000517945371 1072017527 /nfs/dbraw/zinc/01/75/27/1072017527.db2.gz WVYPTALLCKPFHB-GASCZTMLSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000517945375 1072017615 /nfs/dbraw/zinc/01/76/15/1072017615.db2.gz WVYPTALLCKPFHB-GJZGRUSLSA-N 0 0 425.511 -0.173 20 0 IBADRN Cc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000517945377 1072017787 /nfs/dbraw/zinc/01/77/87/1072017787.db2.gz WVYPTALLCKPFHB-HUUCEWRRSA-N 0 0 425.511 -0.173 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000517945803 1072017498 /nfs/dbraw/zinc/01/74/98/1072017498.db2.gz IJPRALPVXZUBEJ-UHFFFAOYSA-N 0 0 442.513 -0.063 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000517946532 1072018149 /nfs/dbraw/zinc/01/81/49/1072018149.db2.gz NEXUKIDCAVSELL-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000517946533 1072018023 /nfs/dbraw/zinc/01/80/23/1072018023.db2.gz NEXUKIDCAVSELL-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000517949361 1072018009 /nfs/dbraw/zinc/01/80/09/1072018009.db2.gz ZCRRSRJVFKXQHP-UHFFFAOYSA-N 0 0 447.492 -0.665 20 0 IBADRN CCOc1ccc(OC)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000517951334 1072018158 /nfs/dbraw/zinc/01/81/58/1072018158.db2.gz IJSITZSCONNDGI-UHFFFAOYSA-N 0 0 448.476 -0.438 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@H](C(N)=O)C3)c2)CC1 ZINC000517954872 1072018229 /nfs/dbraw/zinc/01/82/29/1072018229.db2.gz VNIBWPUNMCFUBT-AWEZNQCLSA-N 0 0 437.522 -0.715 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@H](C(N)=O)C3)c2)CC1 ZINC000517954873 1072018039 /nfs/dbraw/zinc/01/80/39/1072018039.db2.gz VNIBWPUNMCFUBT-CQSZACIVSA-N 0 0 437.522 -0.715 20 0 IBADRN COC(=O)CSCCNS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000517961553 1072018211 /nfs/dbraw/zinc/01/82/11/1072018211.db2.gz LYLJYMAYBMHFRF-INIZCTEOSA-N 0 0 431.492 -0.066 20 0 IBADRN COC(=O)CSCCNS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000517961557 1072018119 /nfs/dbraw/zinc/01/81/19/1072018119.db2.gz LYLJYMAYBMHFRF-MRXNPFEDSA-N 0 0 431.492 -0.066 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000517969964 1072018866 /nfs/dbraw/zinc/01/88/66/1072018866.db2.gz XRQVJCSKEWFCEG-CALCHBBNSA-N 0 0 429.477 -0.295 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000517973156 1072018086 /nfs/dbraw/zinc/01/80/86/1072018086.db2.gz OQAVTFAHSCOYBV-UHFFFAOYSA-N 0 0 429.521 -0.167 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3cccc4c3OCCCO4)CC2)c1=O ZINC000517976195 1072018546 /nfs/dbraw/zinc/01/85/46/1072018546.db2.gz AVTKQFDMSXXMBP-UHFFFAOYSA-N 0 0 427.461 -0.093 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCS1(=O)=O ZINC000517976451 1072018617 /nfs/dbraw/zinc/01/86/17/1072018617.db2.gz PCTVSLIZZJWUNH-AAEUAGOBSA-N 0 0 446.551 -0.367 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CSc3nnnn3CC(F)(F)F)cnc2n(C)c1=O ZINC000517980795 1072019095 /nfs/dbraw/zinc/01/90/95/1072019095.db2.gz GVSFYDVEBSXMRQ-UHFFFAOYSA-N 0 0 430.372 -0.088 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CCC(=O)N2CCOCC2)c1 ZINC000517982168 1072019205 /nfs/dbraw/zinc/01/92/05/1072019205.db2.gz GDHRFMOAAPWGJI-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CCC(=O)N2CCOCC2)c1 ZINC000517982169 1072019231 /nfs/dbraw/zinc/01/92/31/1072019231.db2.gz GDHRFMOAAPWGJI-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)c1 ZINC000517982193 1072019341 /nfs/dbraw/zinc/01/93/41/1072019341.db2.gz HLEIWXPBAAWOAD-JTQLQIEISA-N 0 0 445.457 -0.119 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)c1 ZINC000517982194 1072019753 /nfs/dbraw/zinc/01/97/53/1072019753.db2.gz HLEIWXPBAAWOAD-SNVBAGLBSA-N 0 0 445.457 -0.119 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)cnc2n(C)c1=O ZINC000517983973 1072019659 /nfs/dbraw/zinc/01/96/59/1072019659.db2.gz GQSWRHCSXABFOG-UHFFFAOYSA-N 0 0 432.458 -0.156 20 0 IBADRN Cn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)c1=O ZINC000517984679 1072019574 /nfs/dbraw/zinc/01/95/74/1072019574.db2.gz IGWAXEOHNOORAD-UHFFFAOYSA-N 0 0 432.911 -0.205 20 0 IBADRN CSCC[C@H](NC(N)=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000517986046 1072019910 /nfs/dbraw/zinc/01/99/10/1072019910.db2.gz UZUCUCXVACAQMT-INIZCTEOSA-N 0 0 428.603 -0.527 20 0 IBADRN CSCC[C@@H](NC(N)=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000517986047 1072019675 /nfs/dbraw/zinc/01/96/75/1072019675.db2.gz UZUCUCXVACAQMT-MRXNPFEDSA-N 0 0 428.603 -0.527 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)o1 ZINC000517986052 1072019585 /nfs/dbraw/zinc/01/95/85/1072019585.db2.gz VMDOYDPBRBGJML-UHFFFAOYSA-N 0 0 441.554 -0.502 20 0 IBADRN CCOc1ccc(NC(=O)CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000517986686 1072018564 /nfs/dbraw/zinc/01/85/64/1072018564.db2.gz JZXYTXWBQNCALT-UHFFFAOYSA-N 0 0 447.492 -0.264 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCCN2C(=O)CCC2=O)cc1 ZINC000517994690 1072020234 /nfs/dbraw/zinc/02/02/34/1072020234.db2.gz XDLWOAVLLCOVGD-UHFFFAOYSA-N 0 0 426.495 -0.050 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)CC2)nc(C)n1 ZINC000517998368 1072020810 /nfs/dbraw/zinc/02/08/10/1072020810.db2.gz QUCXYCFVQUBNLH-UHFFFAOYSA-N 0 0 445.553 -0.039 20 0 IBADRN Cc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)n2C ZINC000518000079 1072020936 /nfs/dbraw/zinc/02/09/36/1072020936.db2.gz HZRGBZDQFNQNIP-UHFFFAOYSA-N 0 0 442.520 -0.499 20 0 IBADRN CCN1CCN(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)C(=O)C1=O ZINC000518003230 1072020891 /nfs/dbraw/zinc/02/08/91/1072020891.db2.gz DSWUFHFPYKZSAT-UHFFFAOYSA-N 0 0 440.478 -0.958 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@]4(CNC(=O)C4)C3)C2)nc1 ZINC000518004117 1072019962 /nfs/dbraw/zinc/01/99/62/1072019962.db2.gz MTHWAXHKJQAVNS-NRFANRHFSA-N 0 0 429.477 -0.308 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@@]4(CNC(=O)C4)C3)C2)nc1 ZINC000518004118 1072019872 /nfs/dbraw/zinc/01/98/72/1072019872.db2.gz MTHWAXHKJQAVNS-OAQYLSRUSA-N 0 0 429.477 -0.308 20 0 IBADRN CC(C)(C)C(=O)N[C@@H]1CCCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000518005555 1072019631 /nfs/dbraw/zinc/01/96/31/1072019631.db2.gz RPVDMSRVPJLZEY-GOSISDBHSA-N 0 0 437.585 -0.384 20 0 IBADRN CC(C)(C)C(=O)N[C@H]1CCCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000518005560 1072019712 /nfs/dbraw/zinc/01/97/12/1072019712.db2.gz RPVDMSRVPJLZEY-SFHVURJKSA-N 0 0 437.585 -0.384 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CSCN2C(=O)C2CCCC2)CC1)N1CCOCC1 ZINC000518006217 1072020308 /nfs/dbraw/zinc/02/03/08/1072020308.db2.gz CPTSPLWCGOUJQB-INIZCTEOSA-N 0 0 438.550 -0.392 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CSCN2C(=O)C2CCCC2)CC1)N1CCOCC1 ZINC000518006221 1072020341 /nfs/dbraw/zinc/02/03/41/1072020341.db2.gz CPTSPLWCGOUJQB-MRXNPFEDSA-N 0 0 438.550 -0.392 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1)[C@H]1CCCO1 ZINC000518006869 1072020379 /nfs/dbraw/zinc/02/03/79/1072020379.db2.gz SUCXNZSBOUTJCY-AKHDSKFASA-N 0 0 438.569 -0.055 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1)[C@@H]1CCCO1 ZINC000518006870 1072020332 /nfs/dbraw/zinc/02/03/32/1072020332.db2.gz SUCXNZSBOUTJCY-RDGPPVDQSA-N 0 0 438.569 -0.055 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1)[C@@H]1CCCO1 ZINC000518006871 1072020430 /nfs/dbraw/zinc/02/04/30/1072020430.db2.gz SUCXNZSBOUTJCY-YRXWBPOGSA-N 0 0 438.569 -0.055 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1)[C@H]1CCCO1 ZINC000518006872 1072020225 /nfs/dbraw/zinc/02/02/25/1072020225.db2.gz SUCXNZSBOUTJCY-ZSYWTGECSA-N 0 0 438.569 -0.055 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCCN2C(=O)CCC2=O)CC1 ZINC000518008300 1072020321 /nfs/dbraw/zinc/02/03/21/1072020321.db2.gz UYPAGXWUPUUWEX-UHFFFAOYSA-N 0 0 437.522 -0.035 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000518009449 1072020851 /nfs/dbraw/zinc/02/08/51/1072020851.db2.gz KBOLCUONMIBVIZ-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)[C@H]1c1ccccc1 ZINC000518013906 1072021649 /nfs/dbraw/zinc/02/16/49/1072021649.db2.gz HOUZWVXYJNVCCT-MOPGFXCFSA-N 0 0 428.489 -0.076 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000518016915 1072021632 /nfs/dbraw/zinc/02/16/32/1072021632.db2.gz KMQSYDSAOSJEQU-UHFFFAOYSA-N 0 0 440.504 -0.314 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000518017040 1072021707 /nfs/dbraw/zinc/02/17/07/1072021707.db2.gz ONLNEKDIWDGSET-FQEVSTJZSA-N 0 0 432.521 -0.255 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000518017041 1072021511 /nfs/dbraw/zinc/02/15/11/1072021511.db2.gz ONLNEKDIWDGSET-HXUWFJFHSA-N 0 0 432.521 -0.255 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)o1 ZINC000518017298 1072021562 /nfs/dbraw/zinc/02/15/62/1072021562.db2.gz CQMKREIAJORXSV-UHFFFAOYSA-N 0 0 442.538 -0.027 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000518017343 1072021346 /nfs/dbraw/zinc/02/13/46/1072021346.db2.gz HGHNFKXHCKCRLQ-INIZCTEOSA-N 0 0 445.563 -0.444 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000518017344 1072021372 /nfs/dbraw/zinc/02/13/72/1072021372.db2.gz HGHNFKXHCKCRLQ-MRXNPFEDSA-N 0 0 445.563 -0.444 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)NCC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1C ZINC000518018144 1072021527 /nfs/dbraw/zinc/02/15/27/1072021527.db2.gz QSSBUVBHSVQRKU-UHFFFAOYSA-N 0 0 446.595 -0.369 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)CNS(=O)(=O)c3cn(C)cn3)n2)c1C ZINC000518019031 1072021324 /nfs/dbraw/zinc/02/13/24/1072021324.db2.gz COLDJLZVWXWFNM-UHFFFAOYSA-N 0 0 434.482 -0.003 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000518021855 1072022112 /nfs/dbraw/zinc/02/21/12/1072022112.db2.gz FKURAPMPKFWKPS-CYBMUJFWSA-N 0 0 431.536 -0.187 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000518021858 1072021986 /nfs/dbraw/zinc/02/19/86/1072021986.db2.gz FKURAPMPKFWKPS-ZDUSSCGKSA-N 0 0 431.536 -0.187 20 0 IBADRN CCN(CCO)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000518026337 1072022635 /nfs/dbraw/zinc/02/26/35/1072022635.db2.gz VFAFZHOENHXGPO-UHFFFAOYSA-N 0 0 427.523 -0.002 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000518027767 1072022723 /nfs/dbraw/zinc/02/27/23/1072022723.db2.gz QCSHBKRQHLEPBL-GFCCVEGCSA-N 0 0 426.561 -0.961 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000518027768 1072022607 /nfs/dbraw/zinc/02/26/07/1072022607.db2.gz QCSHBKRQHLEPBL-LBPRGKRZSA-N 0 0 426.561 -0.961 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Cc2c(C)nc(-c3ccncc3)[nH]c2=O)CC1 ZINC000518029913 1072022698 /nfs/dbraw/zinc/02/26/98/1072022698.db2.gz ZEUJVPLZMFWBDW-UHFFFAOYSA-N 0 0 428.493 -0.410 20 0 IBADRN O=C(NCCn1c(=O)oc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000518030946 1072022738 /nfs/dbraw/zinc/02/27/38/1072022738.db2.gz YDEXBUHSPXNENX-UHFFFAOYSA-N 0 0 431.449 -0.693 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NC(C)(C)C)o2)CC1 ZINC000518031437 1072022652 /nfs/dbraw/zinc/02/26/52/1072022652.db2.gz OSHVERCRIVBEQM-UHFFFAOYSA-N 0 0 430.527 -0.123 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000518033134 1072023070 /nfs/dbraw/zinc/02/30/70/1072023070.db2.gz HJBREUMCFVMKDS-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000518060785 1072024103 /nfs/dbraw/zinc/02/41/03/1072024103.db2.gz GSIYVTIFGFYZES-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000518060786 1072024018 /nfs/dbraw/zinc/02/40/18/1072024018.db2.gz GSIYVTIFGFYZES-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000518061064 1072024583 /nfs/dbraw/zinc/02/45/83/1072024583.db2.gz NSIJGBBNQFUIKD-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000518061065 1072024661 /nfs/dbraw/zinc/02/46/61/1072024661.db2.gz NSIJGBBNQFUIKD-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN COc1cc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc(OC)c1O ZINC000518061145 1072024473 /nfs/dbraw/zinc/02/44/73/1072024473.db2.gz RXDNVOOOOPUIDI-UHFFFAOYSA-N 0 0 443.416 -0.390 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000518066245 1072024939 /nfs/dbraw/zinc/02/49/39/1072024939.db2.gz HDHSQTWUNDRTEJ-AWEZNQCLSA-N 0 0 440.522 -0.120 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000518066246 1072024979 /nfs/dbraw/zinc/02/49/79/1072024979.db2.gz HDHSQTWUNDRTEJ-CQSZACIVSA-N 0 0 440.522 -0.120 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000518067664 1072023622 /nfs/dbraw/zinc/02/36/22/1072023622.db2.gz BVLLBDJXHFIMBL-UHFFFAOYSA-N 0 0 441.492 -0.278 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccnn1Cc1ccc(OC)cc1OC ZINC000518067901 1072024851 /nfs/dbraw/zinc/02/48/51/1072024851.db2.gz ITZMDCKBLYGJIW-UHFFFAOYSA-N 0 0 425.467 -0.448 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cccc1-n1cnnn1 ZINC000518068018 1072024925 /nfs/dbraw/zinc/02/49/25/1072024925.db2.gz JXTRIJNRLJJTAX-UHFFFAOYSA-N 0 0 436.480 -0.362 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000518068043 1072024903 /nfs/dbraw/zinc/02/49/03/1072024903.db2.gz KKYNVGDLWHJXJV-UHFFFAOYSA-N 0 0 436.480 -0.362 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000518068061 1072026817 /nfs/dbraw/zinc/02/68/17/1072026817.db2.gz KXQJKUDXKIDTCP-UHFFFAOYSA-N 0 0 427.465 -0.782 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000518068663 1072023606 /nfs/dbraw/zinc/02/36/06/1072023606.db2.gz ZETWULFYHRESMP-CYBMUJFWSA-N 0 0 427.479 -0.035 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000518068664 1072023585 /nfs/dbraw/zinc/02/35/85/1072023585.db2.gz ZETWULFYHRESMP-ZDUSSCGKSA-N 0 0 427.479 -0.035 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)ccc1OC ZINC000518069354 1072026588 /nfs/dbraw/zinc/02/65/88/1072026588.db2.gz OLXUJOMRRJSCLN-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c(C)c1 ZINC000518069402 1072026599 /nfs/dbraw/zinc/02/65/99/1072026599.db2.gz CVUJOGXKMUVJLI-UHFFFAOYSA-N 0 0 427.479 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000518069604 1072026657 /nfs/dbraw/zinc/02/66/57/1072026657.db2.gz RXWIFOGEEPTRTH-AWEZNQCLSA-N 0 0 440.522 -0.441 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)cc1C ZINC000518070092 1072026793 /nfs/dbraw/zinc/02/67/93/1072026793.db2.gz QMLYMWIIWOAHMF-UHFFFAOYSA-N 0 0 448.505 -0.543 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)cc2C1=O ZINC000518071971 1072026779 /nfs/dbraw/zinc/02/67/79/1072026779.db2.gz FSMVDFJMVNWXNF-UHFFFAOYSA-N 0 0 436.428 -0.306 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC4=O)cc3)CC2)nc1 ZINC000518072471 1072025660 /nfs/dbraw/zinc/02/56/60/1072025660.db2.gz NMOZZGHQVYALKT-UHFFFAOYSA-N 0 0 437.460 -0.003 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000518072506 1072024966 /nfs/dbraw/zinc/02/49/66/1072024966.db2.gz PAPCCCYLIWUQGP-UHFFFAOYSA-N 0 0 431.474 -0.129 20 0 IBADRN O=C(c1cc(F)ccc1N1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000518072593 1072025398 /nfs/dbraw/zinc/02/53/98/1072025398.db2.gz HQOKVMAZNAQNLN-UHFFFAOYSA-N 0 0 442.513 -0.003 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000518072761 1072024915 /nfs/dbraw/zinc/02/49/15/1072024915.db2.gz XWICVWAFSDGOAB-UHFFFAOYSA-N 0 0 433.490 -0.276 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000518073054 1072025090 /nfs/dbraw/zinc/02/50/90/1072025090.db2.gz UNDYARHNWQFBMP-UHFFFAOYSA-N 0 0 428.486 -0.309 20 0 IBADRN COCCOc1cccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000518073557 1072025514 /nfs/dbraw/zinc/02/55/14/1072025514.db2.gz UQICQMHYZRMSQY-UHFFFAOYSA-N 0 0 441.444 -0.088 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)cc3)CC2)CC1 ZINC000518074184 1072025547 /nfs/dbraw/zinc/02/55/47/1072025547.db2.gz ATUBDBGJOIDZBY-UHFFFAOYSA-N 0 0 426.481 -0.387 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000518074219 1072025676 /nfs/dbraw/zinc/02/56/76/1072025676.db2.gz CGDBARNBVWXKDH-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000518075610 1072025691 /nfs/dbraw/zinc/02/56/91/1072025691.db2.gz KGCQRXOGCFHIGE-UHFFFAOYSA-N 0 0 439.520 -0.016 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000518075681 1072026026 /nfs/dbraw/zinc/02/60/26/1072026026.db2.gz NBUPCIKHZRSFIS-UHFFFAOYSA-N 0 0 438.506 -0.409 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000518075702 1072026127 /nfs/dbraw/zinc/02/61/27/1072026127.db2.gz NSGAPFOZPGGDPC-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000518076265 1072025902 /nfs/dbraw/zinc/02/59/02/1072025902.db2.gz AXWBKLDGDCABKP-UHFFFAOYSA-N 0 0 442.476 -0.095 20 0 IBADRN COc1cc(CN(C)C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)ccc1O ZINC000518077142 1072025955 /nfs/dbraw/zinc/02/59/55/1072025955.db2.gz GNALUWMQDOYAPG-UHFFFAOYSA-N 0 0 427.417 -0.057 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000518079427 1072025918 /nfs/dbraw/zinc/02/59/18/1072025918.db2.gz SOJFNZRRBXYDJD-UHFFFAOYSA-N 0 0 445.520 -0.693 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000518079704 1072026252 /nfs/dbraw/zinc/02/62/52/1072026252.db2.gz PTMGYCFADWRBQG-UHFFFAOYSA-N 0 0 439.538 -0.347 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CNC(=O)c2ccc(Br)o2)CC1 ZINC000518083825 1072027265 /nfs/dbraw/zinc/02/72/65/1072027265.db2.gz DAVJSIINHPAHGX-UHFFFAOYSA-N 0 0 429.271 -0.031 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000518085070 1072027381 /nfs/dbraw/zinc/02/73/81/1072027381.db2.gz PCJOESPTOPPWDS-UHFFFAOYSA-N 0 0 426.477 -0.439 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)ccc1C ZINC000518085335 1072026884 /nfs/dbraw/zinc/02/68/84/1072026884.db2.gz OQALJUBUCJUQFD-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000518086322 1072026556 /nfs/dbraw/zinc/02/65/56/1072026556.db2.gz ZIFIBXGLCGBYGD-UHFFFAOYSA-N 0 0 431.537 -0.220 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000518087448 1072026646 /nfs/dbraw/zinc/02/66/46/1072026646.db2.gz XYHQUJUNSIXADW-UHFFFAOYSA-N 0 0 446.551 -0.704 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000518088457 1072027203 /nfs/dbraw/zinc/02/72/03/1072027203.db2.gz GMQILKWJYPFTBD-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000518088721 1072027352 /nfs/dbraw/zinc/02/73/52/1072027352.db2.gz MTBKHJZTXLVOSL-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN Cn1ccnc1C(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000518092140 1072027427 /nfs/dbraw/zinc/02/74/27/1072027427.db2.gz HOODPGKRQOPWFB-UHFFFAOYSA-N 0 0 426.477 -0.130 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000518092725 1072027244 /nfs/dbraw/zinc/02/72/44/1072027244.db2.gz OVWFQWOEFOLUTM-UHFFFAOYSA-N 0 0 447.492 -0.802 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000518093060 1072027302 /nfs/dbraw/zinc/02/73/02/1072027302.db2.gz YODQAHLLUHTRFU-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000518096611 1072027317 /nfs/dbraw/zinc/02/73/17/1072027317.db2.gz CAYYUNKKJKZIJG-CRAIPNDOSA-N 0 0 445.520 -0.415 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000518096612 1072027218 /nfs/dbraw/zinc/02/72/18/1072027218.db2.gz CAYYUNKKJKZIJG-MAUKXSAKSA-N 0 0 445.520 -0.415 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000518096613 1072027286 /nfs/dbraw/zinc/02/72/86/1072027286.db2.gz CAYYUNKKJKZIJG-QAPCUYQASA-N 0 0 445.520 -0.415 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000518096614 1072027275 /nfs/dbraw/zinc/02/72/75/1072027275.db2.gz CAYYUNKKJKZIJG-YJBOKZPZSA-N 0 0 445.520 -0.415 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(-n3cccn3)c2)CC1 ZINC000518097370 1072027694 /nfs/dbraw/zinc/02/76/94/1072027694.db2.gz FFQFMEVOENSKLJ-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CC3)cc2)CC1 ZINC000518098265 1072027851 /nfs/dbraw/zinc/02/78/51/1072027851.db2.gz ZPUKMQWCFNPVOI-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000518098616 1072027683 /nfs/dbraw/zinc/02/76/83/1072027683.db2.gz WMXRFSCJMUNAIZ-UHFFFAOYSA-N 0 0 440.478 -0.978 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1 ZINC000518100376 1072027937 /nfs/dbraw/zinc/02/79/37/1072027937.db2.gz IRSCXBCPYXJTBD-UHFFFAOYSA-N 0 0 444.492 -0.842 20 0 IBADRN Cn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000518100941 1072027716 /nfs/dbraw/zinc/02/77/16/1072027716.db2.gz FXYWHIUIPPCGHD-UHFFFAOYSA-N 0 0 441.492 -0.576 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c1 ZINC000518101019 1072027755 /nfs/dbraw/zinc/02/77/55/1072027755.db2.gz IKZTZVCZMZBNSH-UHFFFAOYSA-N 0 0 431.474 -0.280 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000518101233 1072027987 /nfs/dbraw/zinc/02/79/87/1072027987.db2.gz VOSQRCZONYORPJ-UHFFFAOYSA-N 0 0 438.506 -0.968 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000518101457 1072027735 /nfs/dbraw/zinc/02/77/35/1072027735.db2.gz ZEZBIORARRGPFH-UHFFFAOYSA-N 0 0 448.443 -0.124 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2NC1=O ZINC000518101477 1072027974 /nfs/dbraw/zinc/02/79/74/1072027974.db2.gz ZXWIILLWUPUVJV-AWEZNQCLSA-N 0 0 445.476 -0.653 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2NC1=O ZINC000518101478 1072027903 /nfs/dbraw/zinc/02/79/03/1072027903.db2.gz ZXWIILLWUPUVJV-CQSZACIVSA-N 0 0 445.476 -0.653 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(Cn3cncn3)c2)CC1 ZINC000518101860 1072027919 /nfs/dbraw/zinc/02/79/19/1072027919.db2.gz VTQMUIUNFZFFQY-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1 ZINC000518102104 1072027706 /nfs/dbraw/zinc/02/77/06/1072027706.db2.gz ZAFAMZRVFVSWKX-UHFFFAOYSA-N 0 0 442.494 -0.799 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c(F)cc1F ZINC000518102261 1072028280 /nfs/dbraw/zinc/02/82/80/1072028280.db2.gz BOWUCVJFQKQGLP-UHFFFAOYSA-N 0 0 440.403 -0.113 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cc(F)cc(N3CCOCC3)c2)CC1 ZINC000518102330 1072028322 /nfs/dbraw/zinc/02/83/22/1072028322.db2.gz DHJLDIUOPTVIQU-UHFFFAOYSA-N 0 0 449.483 -0.202 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000518104234 1072028327 /nfs/dbraw/zinc/02/83/27/1072028327.db2.gz AASMOFFZNMRYGM-GOSISDBHSA-N 0 0 434.460 -0.028 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000518104235 1072028314 /nfs/dbraw/zinc/02/83/14/1072028314.db2.gz AASMOFFZNMRYGM-SFHVURJKSA-N 0 0 434.460 -0.028 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2c(C)n(C)n(-c3ccccc3)c2=O)CC1 ZINC000518104360 1072028305 /nfs/dbraw/zinc/02/83/05/1072028305.db2.gz BIYAQEAIOQXTFT-UHFFFAOYSA-N 0 0 428.493 -0.297 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1 ZINC000518104431 1072028407 /nfs/dbraw/zinc/02/84/07/1072028407.db2.gz FFOASMXCMYPWJD-UHFFFAOYSA-N 0 0 444.492 -0.842 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000518104705 1072028436 /nfs/dbraw/zinc/02/84/36/1072028436.db2.gz JMRMTLYQBWZMDL-UHFFFAOYSA-N 0 0 426.495 -0.499 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1NC(C)=O ZINC000518104714 1072028388 /nfs/dbraw/zinc/02/83/88/1072028388.db2.gz JXUHNEYUJBNZMW-UHFFFAOYSA-N 0 0 447.492 -0.405 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000518104913 1072028288 /nfs/dbraw/zinc/02/82/88/1072028288.db2.gz LGNGDVWDKYONHP-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000518104964 1072028458 /nfs/dbraw/zinc/02/84/58/1072028458.db2.gz OEIZVEWFYZHULX-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000518105016 1072028271 /nfs/dbraw/zinc/02/82/71/1072028271.db2.gz OZLVUWPLSFSQSR-UHFFFAOYSA-N 0 0 431.493 -0.704 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1NC(C)=O ZINC000518105343 1072028353 /nfs/dbraw/zinc/02/83/53/1072028353.db2.gz CFKFNFFALNLZKV-UHFFFAOYSA-N 0 0 447.492 -0.405 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000518105480 1072028400 /nfs/dbraw/zinc/02/84/00/1072028400.db2.gz AYKVSDGYEOZPIZ-UHFFFAOYSA-N 0 0 444.536 -0.285 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000518105621 1072028342 /nfs/dbraw/zinc/02/83/42/1072028342.db2.gz XGWIGILFJCKRHA-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000518106828 1072028336 /nfs/dbraw/zinc/02/83/36/1072028336.db2.gz VZCIRWGEQHUFMO-UHFFFAOYSA-N 0 0 440.522 -0.151 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c1 ZINC000518107704 1072029054 /nfs/dbraw/zinc/02/90/54/1072029054.db2.gz DAINPZIXLVEORU-UHFFFAOYSA-N 0 0 445.501 -0.019 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000518107865 1072028381 /nfs/dbraw/zinc/02/83/81/1072028381.db2.gz GSCKSIDWVHYPPS-UHFFFAOYSA-N 0 0 447.492 -0.405 20 0 IBADRN Cc1nnc(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)o1 ZINC000518108179 1072028957 /nfs/dbraw/zinc/02/89/57/1072028957.db2.gz LKPMOXGAISMZFH-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN CC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000518108402 1072029070 /nfs/dbraw/zinc/02/90/70/1072029070.db2.gz PXUKOLTWMYINRJ-UHFFFAOYSA-N 0 0 431.493 -0.105 20 0 IBADRN NC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cc1 ZINC000518108731 1072029042 /nfs/dbraw/zinc/02/90/42/1072029042.db2.gz VURONTVQNRJCBC-UHFFFAOYSA-N 0 0 445.501 -0.351 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1Cl ZINC000518108852 1072028930 /nfs/dbraw/zinc/02/89/30/1072028930.db2.gz AYBCMYSPLORKBJ-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000518109596 1072028876 /nfs/dbraw/zinc/02/88/76/1072028876.db2.gz SVAUEKJZYPQFNI-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCCN(CC(F)(F)F)CC2)c1 ZINC000518109861 1072029016 /nfs/dbraw/zinc/02/90/16/1072029016.db2.gz WXEWEHZEEVCGML-UHFFFAOYSA-N 0 0 429.399 -0.063 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)ccc1C ZINC000518109904 1072028884 /nfs/dbraw/zinc/02/88/84/1072028884.db2.gz YKGHBEUCPHFJQF-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)CC1 ZINC000518110022 1072029029 /nfs/dbraw/zinc/02/90/29/1072029029.db2.gz ZHQYOLPSEGKDIP-AWEZNQCLSA-N 0 0 449.508 -0.443 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)CC1 ZINC000518110025 1072028869 /nfs/dbraw/zinc/02/88/69/1072028869.db2.gz ZHQYOLPSEGKDIP-CQSZACIVSA-N 0 0 449.508 -0.443 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000518111056 1072027869 /nfs/dbraw/zinc/02/78/69/1072027869.db2.gz CZNNBYKYOBIFIU-UHFFFAOYSA-N 0 0 445.520 -0.693 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000518112245 1072029408 /nfs/dbraw/zinc/02/94/08/1072029408.db2.gz LRRFVYMHPMMWMY-UHFFFAOYSA-N 0 0 447.467 -0.348 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000518112263 1072029658 /nfs/dbraw/zinc/02/96/58/1072029658.db2.gz PMSSRDLUHVMGEJ-UHFFFAOYSA-N 0 0 433.436 -0.374 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000518114638 1072029564 /nfs/dbraw/zinc/02/95/64/1072029564.db2.gz DJICXJGUVUVFLZ-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN COC(=O)CN(Cc1ccc(F)c(F)c1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000518116728 1072029452 /nfs/dbraw/zinc/02/94/52/1072029452.db2.gz SRPYNLGZUXGZSP-UHFFFAOYSA-N 0 0 435.387 -0.086 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)cn1 ZINC000518121534 1072028926 /nfs/dbraw/zinc/02/89/26/1072028926.db2.gz GXFNUAYXMYDIAU-UHFFFAOYSA-N 0 0 439.476 -0.960 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N(C)CC(N)=O ZINC000518121541 1072028915 /nfs/dbraw/zinc/02/89/15/1072028915.db2.gz HFJADAZVHXEUDB-UHFFFAOYSA-N 0 0 425.511 -0.191 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000518125800 1071004198 /nfs/dbraw/zinc/00/41/98/1071004198.db2.gz KPJLKNIHDWYNKY-UHFFFAOYSA-N 0 0 426.495 -0.190 20 0 IBADRN CN(C)c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000518125811 1072029647 /nfs/dbraw/zinc/02/96/47/1072029647.db2.gz LRPGDTREGYFMIJ-UHFFFAOYSA-N 0 0 449.489 -0.084 20 0 IBADRN CS(=O)(=O)NCCNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000518125968 1072029421 /nfs/dbraw/zinc/02/94/21/1072029421.db2.gz XTRIQBJOGFXPNA-UHFFFAOYSA-N 0 0 436.235 -0.454 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N2C[C@@H]3CCCN3c3ccccc32)CC1 ZINC000518126914 1072030093 /nfs/dbraw/zinc/03/00/93/1072030093.db2.gz JYJHDZIOMPIMIK-INIZCTEOSA-N 0 0 425.489 -0.049 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N2C[C@H]3CCCN3c3ccccc32)CC1 ZINC000518126915 1072030247 /nfs/dbraw/zinc/03/02/47/1072030247.db2.gz JYJHDZIOMPIMIK-MRXNPFEDSA-N 0 0 425.489 -0.049 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000518128656 1072030288 /nfs/dbraw/zinc/03/02/88/1072030288.db2.gz SJDZWKZRYWYYCO-UHFFFAOYSA-N 0 0 429.477 -0.415 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000518128996 1072030281 /nfs/dbraw/zinc/03/02/81/1072030281.db2.gz NPWZMZKQXHIAJT-UHFFFAOYSA-N 0 0 444.492 -0.324 20 0 IBADRN COc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000518129114 1072030253 /nfs/dbraw/zinc/03/02/53/1072030253.db2.gz FKSOXCSQWGKPAI-UHFFFAOYSA-N 0 0 425.511 -0.730 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000518129670 1072030181 /nfs/dbraw/zinc/03/01/81/1072030181.db2.gz QWUCKKUZCZTKCK-UHFFFAOYSA-N 0 0 439.538 -0.340 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCN1C(=O)CNC1=O ZINC000518135691 1072029545 /nfs/dbraw/zinc/02/95/45/1072029545.db2.gz RZMKJGGDQPQIGI-UHFFFAOYSA-N 0 0 440.478 -0.612 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000518137812 1072029438 /nfs/dbraw/zinc/02/94/38/1072029438.db2.gz BCCPJRXZBMKCAR-UHFFFAOYSA-N 0 0 447.492 -0.665 20 0 IBADRN CC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)ccc21 ZINC000518137818 1072030241 /nfs/dbraw/zinc/03/02/41/1072030241.db2.gz BITPZLCDSXCKFQ-UHFFFAOYSA-N 0 0 429.477 -0.271 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1OC ZINC000518138303 1072030074 /nfs/dbraw/zinc/03/00/74/1072030074.db2.gz KVSYSIJGWLHSER-UHFFFAOYSA-N 0 0 442.494 -0.637 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000518138474 1072030123 /nfs/dbraw/zinc/03/01/23/1072030123.db2.gz PFFVZEKXXQGARA-UHFFFAOYSA-N 0 0 437.884 -0.167 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c2ccccc2O1 ZINC000518138719 1072030233 /nfs/dbraw/zinc/03/02/33/1072030233.db2.gz FFPGPAWSEDYLCH-INIZCTEOSA-N 0 0 446.460 -0.851 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c2ccccc2O1 ZINC000518138848 1072030165 /nfs/dbraw/zinc/03/01/65/1072030165.db2.gz FFPGPAWSEDYLCH-MRXNPFEDSA-N 0 0 446.460 -0.851 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4nccnc4c3)CC2)CC1 ZINC000518139305 1072032854 /nfs/dbraw/zinc/03/28/54/1072032854.db2.gz YLNQWTAAHDEOLA-UHFFFAOYSA-N 0 0 447.521 -0.795 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000518141951 1072031307 /nfs/dbraw/zinc/03/13/07/1072031307.db2.gz IQMBKOHAFIABFW-UHFFFAOYSA-N 0 0 442.461 -0.471 20 0 IBADRN CCOc1cc(F)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000518142085 1072031343 /nfs/dbraw/zinc/03/13/43/1072031343.db2.gz GGSKAAMTOGAZQA-UHFFFAOYSA-N 0 0 444.485 -0.116 20 0 IBADRN CSc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000518142304 1072031690 /nfs/dbraw/zinc/03/16/90/1072031690.db2.gz HHYUUIMLRGDOKM-UHFFFAOYSA-N 0 0 441.579 -0.017 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c(C)c1 ZINC000518142451 1072031866 /nfs/dbraw/zinc/03/18/66/1072031866.db2.gz SVMQTEUUMPOANT-UHFFFAOYSA-N 0 0 448.476 -0.319 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1 ZINC000518142551 1072031827 /nfs/dbraw/zinc/03/18/27/1072031827.db2.gz MHDSVANGMSXZLS-UHFFFAOYSA-N 0 0 430.465 -0.650 20 0 IBADRN Cc1ccc(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000518142699 1072031796 /nfs/dbraw/zinc/03/17/96/1072031796.db2.gz QGASKCJZAOOZFJ-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000518142780 1072031335 /nfs/dbraw/zinc/03/13/35/1072031335.db2.gz UCRDGTCPSFHHKT-UHFFFAOYSA-N 0 0 440.478 -0.867 20 0 IBADRN CC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000518142941 1072031224 /nfs/dbraw/zinc/03/12/24/1072031224.db2.gz VVXQBHHQMZGYSE-UHFFFAOYSA-N 0 0 437.522 -0.536 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000518142975 1072031208 /nfs/dbraw/zinc/03/12/08/1072031208.db2.gz XTEYYASURNFJDJ-UHFFFAOYSA-N 0 0 433.465 -0.213 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000518142997 1072031323 /nfs/dbraw/zinc/03/13/23/1072031323.db2.gz YHTWINSYFBNWPP-UHFFFAOYSA-N 0 0 425.511 -0.730 20 0 IBADRN O=C(NCC(F)(F)F)c1cccc(S(=O)(=O)NCCN2CCS(=O)(=O)CC2)c1 ZINC000518144452 1072031786 /nfs/dbraw/zinc/03/17/86/1072031786.db2.gz JILHYNQNWRIIMR-UHFFFAOYSA-N 0 0 443.469 -0.013 20 0 IBADRN COCc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000518144952 1072031848 /nfs/dbraw/zinc/03/18/48/1072031848.db2.gz FPTFOEQQWGTAKK-UHFFFAOYSA-N 0 0 439.538 -0.592 20 0 IBADRN O=C(Nc1cccc(-n2cnnn2)c1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000518145064 1072031838 /nfs/dbraw/zinc/03/18/38/1072031838.db2.gz BNBBZFGOCWVQLG-UHFFFAOYSA-N 0 0 442.461 -0.471 20 0 IBADRN CC(C)NC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000518145065 1072031894 /nfs/dbraw/zinc/03/18/94/1072031894.db2.gz BNQIULABDYWFCB-UHFFFAOYSA-N 0 0 445.520 -0.112 20 0 IBADRN Cc1ccc(F)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000518145089 1072031918 /nfs/dbraw/zinc/03/19/18/1072031918.db2.gz CIZPMMZIDQRTRW-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN COc1cc(F)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000518145234 1072031930 /nfs/dbraw/zinc/03/19/30/1072031930.db2.gz LHBZXSXASWENHV-UHFFFAOYSA-N 0 0 443.501 -0.591 20 0 IBADRN CCOc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000518145349 1072031710 /nfs/dbraw/zinc/03/17/10/1072031710.db2.gz NKEBYQOTRWCZOO-UHFFFAOYSA-N 0 0 440.526 -0.945 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000518145794 1072031772 /nfs/dbraw/zinc/03/17/72/1072031772.db2.gz PQLZETJDLGWDIK-UHFFFAOYSA-N 0 0 431.493 -0.121 20 0 IBADRN CCOc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000518145810 1072031902 /nfs/dbraw/zinc/03/19/02/1072031902.db2.gz QRLUNDVMCOHGMP-UHFFFAOYSA-N 0 0 427.483 -0.860 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)CCC4)CC2)CC1 ZINC000518145880 1072031910 /nfs/dbraw/zinc/03/19/10/1072031910.db2.gz SMEAGKQUOFAVFQ-UHFFFAOYSA-N 0 0 435.550 -0.250 20 0 IBADRN NC(=O)CC1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000518146101 1072031759 /nfs/dbraw/zinc/03/17/59/1072031759.db2.gz UDZXBOZELXBUGI-UHFFFAOYSA-N 0 0 438.506 -0.240 20 0 IBADRN O=C(Nc1ccc2c(c1)OCO2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000518146273 1072032429 /nfs/dbraw/zinc/03/24/29/1072032429.db2.gz YUTFROFXFAQIEV-UHFFFAOYSA-N 0 0 426.451 -0.925 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000518146476 1072032454 /nfs/dbraw/zinc/03/24/54/1072032454.db2.gz ZMJXJFBIDKFDQS-UHFFFAOYSA-N 0 0 426.433 -0.333 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C(=O)Nc2cnn(Cc3ccc(OC)cc3)c2)nn1 ZINC000518146601 1072031727 /nfs/dbraw/zinc/03/17/27/1072031727.db2.gz VVAWOUBOJKCDGY-UHFFFAOYSA-N 0 0 427.421 -0.041 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)CNC2=O)CC1 ZINC000518147403 1072032276 /nfs/dbraw/zinc/03/22/76/1072032276.db2.gz AYHDFJVJHWRMCQ-UHFFFAOYSA-N 0 0 428.471 -0.751 20 0 IBADRN O=C(NCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1F ZINC000518147947 1072032350 /nfs/dbraw/zinc/03/23/50/1072032350.db2.gz KNYASEJTRXNKHN-QEPJRFBGSA-N 0 0 432.412 -0.581 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCCN1C(=O)CNC1=O ZINC000518150478 1072032504 /nfs/dbraw/zinc/03/25/04/1072032504.db2.gz AFDOUKKCNJOXJY-UHFFFAOYSA-N 0 0 440.478 -0.426 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(C)cc2N2CCOCC2)C1 ZINC000518151927 1072032376 /nfs/dbraw/zinc/03/23/76/1072032376.db2.gz UJSASKZNLHTEPZ-KRWDZBQOSA-N 0 0 438.550 -0.012 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(C)cc2N2CCOCC2)C1 ZINC000518151929 1072032367 /nfs/dbraw/zinc/03/23/67/1072032367.db2.gz UJSASKZNLHTEPZ-QGZVFWFLSA-N 0 0 438.550 -0.012 20 0 IBADRN COCCN(CC(C)C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000518153195 1072032387 /nfs/dbraw/zinc/03/23/87/1072032387.db2.gz ILSNHRZHPLYKNM-UHFFFAOYSA-N 0 0 440.522 -0.123 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000518154519 1072033016 /nfs/dbraw/zinc/03/30/16/1072033016.db2.gz BGTZCEBQOSZLTJ-UHFFFAOYSA-N 0 0 439.494 -0.286 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000518155629 1072033032 /nfs/dbraw/zinc/03/30/32/1072033032.db2.gz WHXFEQRPGRRWOZ-UHFFFAOYSA-N 0 0 437.478 -0.532 20 0 IBADRN CC(C)c1nnc(S(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1C ZINC000518157804 1072033042 /nfs/dbraw/zinc/03/30/42/1072033042.db2.gz CQIAEYLJLBPSJF-KBPBESRZSA-N 0 0 448.567 -0.093 20 0 IBADRN CC(C)c1nnc(S(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1C ZINC000518157805 1072033577 /nfs/dbraw/zinc/03/35/77/1072033577.db2.gz CQIAEYLJLBPSJF-KGLIPLIRSA-N 0 0 448.567 -0.093 20 0 IBADRN CC(C)c1nnc(S(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1C ZINC000518157806 1072033412 /nfs/dbraw/zinc/03/34/12/1072033412.db2.gz CQIAEYLJLBPSJF-UONOGXRCSA-N 0 0 448.567 -0.093 20 0 IBADRN CC(C)c1nnc(S(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1C ZINC000518157807 1072033464 /nfs/dbraw/zinc/03/34/64/1072033464.db2.gz CQIAEYLJLBPSJF-ZIAGYGMSSA-N 0 0 448.567 -0.093 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H]3COCCO3)c2)CC1 ZINC000518160742 1072033501 /nfs/dbraw/zinc/03/35/01/1072033501.db2.gz OXKDKUDXKFPDMA-INIZCTEOSA-N 0 0 440.522 -0.517 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H]3COCCO3)c2)CC1 ZINC000518160743 1072033549 /nfs/dbraw/zinc/03/35/49/1072033549.db2.gz OXKDKUDXKFPDMA-MRXNPFEDSA-N 0 0 440.522 -0.517 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1)S(C)(=O)=O ZINC000518162152 1072033090 /nfs/dbraw/zinc/03/30/90/1072033090.db2.gz VGNQOLQKDJBKCC-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)S(C)(=O)=O ZINC000518163551 1072032989 /nfs/dbraw/zinc/03/29/89/1072032989.db2.gz IBFFIYSHXDMBLM-UHFFFAOYSA-N 0 0 446.551 -0.047 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(OCC(=O)N(C)C)c1)S(C)(=O)=O ZINC000518163771 1072032998 /nfs/dbraw/zinc/03/29/98/1072032998.db2.gz NJPKXOVNODMLIG-UHFFFAOYSA-N 0 0 428.511 -0.120 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC000518163966 1072033054 /nfs/dbraw/zinc/03/30/54/1072033054.db2.gz RRPXPDNMXYPRGO-UHFFFAOYSA-N 0 0 432.477 -0.072 20 0 IBADRN COc1cc(CNC(=O)C2CCN(S(N)(=O)=O)CC2)ccc1OCC(=O)N(C)C ZINC000518174512 1072035038 /nfs/dbraw/zinc/03/50/38/1072035038.db2.gz DHEHMWMMJZAJPZ-UHFFFAOYSA-N 0 0 428.511 -0.306 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccco2)CC1)N1CCOCC1 ZINC000518177285 1072034879 /nfs/dbraw/zinc/03/48/79/1072034879.db2.gz PJGRQAYTLQHOEG-INIZCTEOSA-N 0 0 432.477 -0.196 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccco2)CC1)N1CCOCC1 ZINC000518177288 1072035046 /nfs/dbraw/zinc/03/50/46/1072035046.db2.gz PJGRQAYTLQHOEG-MRXNPFEDSA-N 0 0 432.477 -0.196 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000518180694 1072036603 /nfs/dbraw/zinc/03/66/03/1072036603.db2.gz JCEQHIJDEWOTMC-HNNXBMFYSA-N 0 0 434.536 -0.113 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000518180696 1072036508 /nfs/dbraw/zinc/03/65/08/1072036508.db2.gz JCEQHIJDEWOTMC-OAHLLOKOSA-N 0 0 434.536 -0.113 20 0 IBADRN Cn1c(C(=O)N2CCN(Cc3ccc(C(N)=O)cc3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000518181538 1072036526 /nfs/dbraw/zinc/03/65/26/1072036526.db2.gz BWLAQHVPGJLSKX-UHFFFAOYSA-N 0 0 438.488 -0.367 20 0 IBADRN Cc1cccc(C(=O)NCCNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000518187614 1072036057 /nfs/dbraw/zinc/03/60/57/1072036057.db2.gz SKJJLAJVPYPURQ-UHFFFAOYSA-N 0 0 425.445 -0.305 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c(OC)c1 ZINC000518196207 1072037040 /nfs/dbraw/zinc/03/70/40/1072037040.db2.gz IZWDFKHAHWBITR-UHFFFAOYSA-N 0 0 444.448 -0.691 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCC(=O)NC3CCCCC3)cnc2n(C)c1=O ZINC000518198612 1072037680 /nfs/dbraw/zinc/03/76/80/1072037680.db2.gz UEIUPEYQSJMRRK-UHFFFAOYSA-N 0 0 430.465 -0.474 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000518199476 1072037694 /nfs/dbraw/zinc/03/76/94/1072037694.db2.gz CBUISSUBJNDDGQ-UHFFFAOYSA-N 0 0 437.474 -0.236 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000518199623 1072037804 /nfs/dbraw/zinc/03/78/04/1072037804.db2.gz GEGFAUDCMJQUFX-UHFFFAOYSA-N 0 0 447.535 -0.335 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)S(C)(=O)=O ZINC000518203801 1072037726 /nfs/dbraw/zinc/03/77/26/1072037726.db2.gz JVLRDHWATGRZMJ-UHFFFAOYSA-N 0 0 434.540 -0.337 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000518204451 1072037596 /nfs/dbraw/zinc/03/75/96/1072037596.db2.gz DGFUESMCJVOEMN-UHFFFAOYSA-N 0 0 437.497 -0.001 20 0 IBADRN CNC(=O)CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000518209929 1072038693 /nfs/dbraw/zinc/03/86/93/1072038693.db2.gz GNZGSSLHKASSKP-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN O=C(CCNC(=O)Cn1cnc2c3ccccc3oc2c1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000518219249 1072038405 /nfs/dbraw/zinc/03/84/05/1072038405.db2.gz KZSYELNWXKFPNE-GFCCVEGCSA-N 0 0 432.458 -0.048 20 0 IBADRN O=C(CCNC(=O)Cn1cnc2c3ccccc3oc2c1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000518219252 1072038343 /nfs/dbraw/zinc/03/83/43/1072038343.db2.gz KZSYELNWXKFPNE-LBPRGKRZSA-N 0 0 432.458 -0.048 20 0 IBADRN O=C(NCCN1CCN(C(=O)NCCCN2C(=O)CNC2=O)CC1)c1ccccc1F ZINC000518223385 1072040476 /nfs/dbraw/zinc/04/04/76/1072040476.db2.gz GEJFCJBZQQCNRL-UHFFFAOYSA-N 0 0 434.472 -0.175 20 0 IBADRN CCN1CCN(C(=O)CC2CCN([C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000518223458 1072040462 /nfs/dbraw/zinc/04/04/62/1072040462.db2.gz PTWDTDXZJGYQLY-AEFFLSMTSA-N 0 0 428.599 -0.056 20 0 IBADRN CCN1CCN(C(=O)CC2CCN([C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000518223459 1072040442 /nfs/dbraw/zinc/04/04/42/1072040442.db2.gz PTWDTDXZJGYQLY-FUHWJXTLSA-N 0 0 428.599 -0.056 20 0 IBADRN CCN1CCN(C(=O)CC2CCN([C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000518223460 1072040553 /nfs/dbraw/zinc/04/05/53/1072040553.db2.gz PTWDTDXZJGYQLY-SJLPKXTDSA-N 0 0 428.599 -0.056 20 0 IBADRN CCN1CCN(C(=O)CC2CCN([C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000518223462 1072040521 /nfs/dbraw/zinc/04/05/21/1072040521.db2.gz PTWDTDXZJGYQLY-WMZOPIPTSA-N 0 0 428.599 -0.056 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCc3nnc4ccccn43)CC2)CC1 ZINC000518224176 1072040015 /nfs/dbraw/zinc/04/00/15/1072040015.db2.gz NNFCXJVTUXQNOK-UHFFFAOYSA-N 0 0 427.509 -0.252 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000518225213 1072040409 /nfs/dbraw/zinc/04/04/09/1072040409.db2.gz IIVYJZAHIMGZNF-UHFFFAOYSA-N 0 0 438.488 -0.105 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000518225535 1072040436 /nfs/dbraw/zinc/04/04/36/1072040436.db2.gz OHBIKMHBIVHRSO-UHFFFAOYSA-N 0 0 436.450 -0.927 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1 ZINC000518225781 1072040538 /nfs/dbraw/zinc/04/05/38/1072040538.db2.gz YZIYVOCMAQLZBP-DLBZAZTESA-N 0 0 425.457 -0.083 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1 ZINC000518225782 1072040396 /nfs/dbraw/zinc/04/03/96/1072040396.db2.gz YZIYVOCMAQLZBP-IAGOWNOFSA-N 0 0 425.457 -0.083 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1 ZINC000518225783 1072040483 /nfs/dbraw/zinc/04/04/83/1072040483.db2.gz YZIYVOCMAQLZBP-IRXDYDNUSA-N 0 0 425.457 -0.083 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1 ZINC000518225784 1072040423 /nfs/dbraw/zinc/04/04/23/1072040423.db2.gz YZIYVOCMAQLZBP-SJORKVTESA-N 0 0 425.457 -0.083 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCCc1cccc(Cl)c1)C2 ZINC000518230474 1072039257 /nfs/dbraw/zinc/03/92/57/1072039257.db2.gz FYEUTEXTWKYITN-UHFFFAOYSA-N 0 0 448.911 -0.122 20 0 IBADRN Cn1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cc1=O ZINC000518231380 1072039814 /nfs/dbraw/zinc/03/98/14/1072039814.db2.gz BCKGXVDYMGPJMN-UHFFFAOYSA-N 0 0 432.458 -0.137 20 0 IBADRN CN1CCN(C(=O)CC2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)CC1 ZINC000518233441 1072039872 /nfs/dbraw/zinc/03/98/72/1072039872.db2.gz GGOLKDPZVQXTKP-UHFFFAOYSA-N 0 0 449.533 -0.219 20 0 IBADRN COCCOCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518233746 1072039920 /nfs/dbraw/zinc/03/99/20/1072039920.db2.gz NGTRQCCQUYUDMJ-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000518234898 1072039902 /nfs/dbraw/zinc/03/99/02/1072039902.db2.gz HOHSITYVKJWSNS-UHFFFAOYSA-N 0 0 437.316 -0.016 20 0 IBADRN COCCOc1ccc(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)cn1 ZINC000518236178 1072041461 /nfs/dbraw/zinc/04/14/61/1072041461.db2.gz OLPSONFHPUOSDI-UHFFFAOYSA-N 0 0 436.446 -0.022 20 0 IBADRN CCCNC(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518237408 1072041566 /nfs/dbraw/zinc/04/15/66/1072041566.db2.gz NBHQZSKCCNLOSJ-UHFFFAOYSA-N 0 0 439.494 -0.440 20 0 IBADRN CCOCCOCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518238045 1072041601 /nfs/dbraw/zinc/04/16/01/1072041601.db2.gz RWXYNLGZVOKIRF-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN CN1CCN(C(=O)CC2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)CC1 ZINC000518239513 1072042090 /nfs/dbraw/zinc/04/20/90/1072042090.db2.gz GUAIHFWSKFJIJF-UHFFFAOYSA-N 0 0 444.579 -0.101 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cc(Br)cn3)CC2)o1 ZINC000518239836 1072041559 /nfs/dbraw/zinc/04/15/59/1072041559.db2.gz MMBQGOKEHHIDTM-UHFFFAOYSA-N 0 0 446.283 -0.129 20 0 IBADRN CN1CCN(C(=O)CC2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000518239879 1072041483 /nfs/dbraw/zinc/04/14/83/1072041483.db2.gz PTOWKBOLRNSZPH-UHFFFAOYSA-N 0 0 444.579 -0.101 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)cn1C ZINC000518240276 1072042048 /nfs/dbraw/zinc/04/20/48/1072042048.db2.gz VOPDGJLWUGRHTM-UHFFFAOYSA-N 0 0 425.555 -0.051 20 0 IBADRN COCCOCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518240371 1072042012 /nfs/dbraw/zinc/04/20/12/1072042012.db2.gz XNPJEXXYPRYRQC-UHFFFAOYSA-N 0 0 442.494 -0.304 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)C1 ZINC000518243099 1072040936 /nfs/dbraw/zinc/04/09/36/1072040936.db2.gz CFOVZOCQNAGOBL-CYBMUJFWSA-N 0 0 443.551 -0.588 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)C1 ZINC000518243100 1072041009 /nfs/dbraw/zinc/04/10/09/1072041009.db2.gz CFOVZOCQNAGOBL-ZDUSSCGKSA-N 0 0 443.551 -0.588 20 0 IBADRN Cn1c(C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000518245183 1072041024 /nfs/dbraw/zinc/04/10/24/1072041024.db2.gz IOTLXNUDKIUMHX-UHFFFAOYSA-N 0 0 444.536 -0.408 20 0 IBADRN NC(=O)NCCCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518245337 1072041091 /nfs/dbraw/zinc/04/10/91/1072041091.db2.gz XLQAFCGKJDJJGK-UHFFFAOYSA-N 0 0 439.494 -0.311 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)c1 ZINC000518245842 1072041047 /nfs/dbraw/zinc/04/10/47/1072041047.db2.gz PFSNYXCGTZPNTI-UHFFFAOYSA-N 0 0 444.491 -0.031 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000518247127 1072041101 /nfs/dbraw/zinc/04/11/01/1072041101.db2.gz MKCPLXGHSABLNI-DLBZAZTESA-N 0 0 444.540 -0.628 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000518247128 1072041060 /nfs/dbraw/zinc/04/10/60/1072041060.db2.gz MKCPLXGHSABLNI-IAGOWNOFSA-N 0 0 444.540 -0.628 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000518247129 1072040893 /nfs/dbraw/zinc/04/08/93/1072040893.db2.gz MKCPLXGHSABLNI-IRXDYDNUSA-N 0 0 444.540 -0.628 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000518247130 1072041620 /nfs/dbraw/zinc/04/16/20/1072041620.db2.gz MKCPLXGHSABLNI-SJORKVTESA-N 0 0 444.540 -0.628 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1cccc(-c2nnnn2C)c1 ZINC000518247606 1072041528 /nfs/dbraw/zinc/04/15/28/1072041528.db2.gz IEJYQDWMMUCKDK-AWEZNQCLSA-N 0 0 443.555 -0.184 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1cccc(-c2nnnn2C)c1 ZINC000518247607 1072041659 /nfs/dbraw/zinc/04/16/59/1072041659.db2.gz IEJYQDWMMUCKDK-CQSZACIVSA-N 0 0 443.555 -0.184 20 0 IBADRN O=C(NCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000518248034 1072041637 /nfs/dbraw/zinc/04/16/37/1072041637.db2.gz BZSJXNPHEOEWSQ-UHFFFAOYSA-N 0 0 435.456 -0.732 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)n1 ZINC000518251134 1072042935 /nfs/dbraw/zinc/04/29/35/1072042935.db2.gz HTGAPKGOPRUDHP-UHFFFAOYSA-N 0 0 431.541 -0.248 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000518253586 1072042000 /nfs/dbraw/zinc/04/20/00/1072042000.db2.gz FHAYYCRDJLIDHD-GAEBUCLRSA-N 0 0 442.582 -0.815 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000518253587 1072042023 /nfs/dbraw/zinc/04/20/23/1072042023.db2.gz FHAYYCRDJLIDHD-GZKOVBJLSA-N 0 0 442.582 -0.815 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000518253588 1072042033 /nfs/dbraw/zinc/04/20/33/1072042033.db2.gz FHAYYCRDJLIDHD-QRYFSLSHSA-N 0 0 442.582 -0.815 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000518253589 1072042040 /nfs/dbraw/zinc/04/20/40/1072042040.db2.gz FHAYYCRDJLIDHD-WGGUYMNQSA-N 0 0 442.582 -0.815 20 0 IBADRN CN(C)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518256263 1072042476 /nfs/dbraw/zinc/04/24/76/1072042476.db2.gz SHXDMVXBUPYTCO-UHFFFAOYSA-N 0 0 425.467 -0.878 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000518257768 1072042458 /nfs/dbraw/zinc/04/24/58/1072042458.db2.gz RSPSQLIUMZEUHH-UHFFFAOYSA-N 0 0 434.472 -0.089 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)no1 ZINC000518259927 1073323855 /nfs/dbraw/zinc/32/38/55/1073323855.db2.gz TWTRZEQAFXELPP-UHFFFAOYSA-N 0 0 449.445 -0.214 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000518262395 1072043331 /nfs/dbraw/zinc/04/33/31/1072043331.db2.gz FZAHROCNQTWBRB-UHFFFAOYSA-N 0 0 440.505 -0.138 20 0 IBADRN CS(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518262732 1072043316 /nfs/dbraw/zinc/04/33/16/1072043316.db2.gz BJIGFXVGIHCFHV-UHFFFAOYSA-N 0 0 431.492 -0.715 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3cccc4c3OCC(=O)N4)CC2)CC1 ZINC000518264901 1072042856 /nfs/dbraw/zinc/04/28/56/1072042856.db2.gz SJHSBMPVZCCCTC-UHFFFAOYSA-N 0 0 429.477 -0.031 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000518265082 1072042874 /nfs/dbraw/zinc/04/28/74/1072042874.db2.gz OIBQQVACJZFWOO-GFCCVEGCSA-N 0 0 427.435 -0.145 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000518265083 1072042914 /nfs/dbraw/zinc/04/29/14/1072042914.db2.gz OIBQQVACJZFWOO-LBPRGKRZSA-N 0 0 427.435 -0.145 20 0 IBADRN CCN(C(=O)[C@H]1CCc2nnn(C)c2C1)C1CN(C(=O)[C@H]2CCc3nnn(C)c3C2)C1 ZINC000518267086 1072042971 /nfs/dbraw/zinc/04/29/71/1072042971.db2.gz GJHVVDUFYDZIEU-KBPBESRZSA-N 0 0 426.525 -0.087 20 0 IBADRN CCN(C(=O)[C@H]1CCc2nnn(C)c2C1)C1CN(C(=O)[C@@H]2CCc3nnn(C)c3C2)C1 ZINC000518267093 1072043373 /nfs/dbraw/zinc/04/33/73/1072043373.db2.gz GJHVVDUFYDZIEU-KGLIPLIRSA-N 0 0 426.525 -0.087 20 0 IBADRN CCN(C(=O)[C@@H]1CCc2nnn(C)c2C1)C1CN(C(=O)[C@H]2CCc3nnn(C)c3C2)C1 ZINC000518267096 1072043324 /nfs/dbraw/zinc/04/33/24/1072043324.db2.gz GJHVVDUFYDZIEU-UONOGXRCSA-N 0 0 426.525 -0.087 20 0 IBADRN CCN(C(=O)[C@@H]1CCc2nnn(C)c2C1)C1CN(C(=O)[C@@H]2CCc3nnn(C)c3C2)C1 ZINC000518267099 1072043364 /nfs/dbraw/zinc/04/33/64/1072043364.db2.gz GJHVVDUFYDZIEU-ZIAGYGMSSA-N 0 0 426.525 -0.087 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3cccc(Br)c3)C2)nn1 ZINC000518267323 1072043342 /nfs/dbraw/zinc/04/33/42/1072043342.db2.gz DLTTWANLDYCSQI-UHFFFAOYSA-N 0 0 443.283 -0.499 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000518268861 1072043351 /nfs/dbraw/zinc/04/33/51/1072043351.db2.gz OJGZRSZEQFGBBT-AWEZNQCLSA-N 0 0 449.526 -0.243 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000518268862 1072043404 /nfs/dbraw/zinc/04/34/04/1072043404.db2.gz OJGZRSZEQFGBBT-CQSZACIVSA-N 0 0 449.526 -0.243 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000518280612 1072043720 /nfs/dbraw/zinc/04/37/20/1072043720.db2.gz BLUOXRYVDQGEGY-DLBZAZTESA-N 0 0 433.538 -0.394 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000518280613 1072043941 /nfs/dbraw/zinc/04/39/41/1072043941.db2.gz BLUOXRYVDQGEGY-IAGOWNOFSA-N 0 0 433.538 -0.394 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000518280614 1072043703 /nfs/dbraw/zinc/04/37/03/1072043703.db2.gz BLUOXRYVDQGEGY-IRXDYDNUSA-N 0 0 433.538 -0.394 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000518280615 1072043797 /nfs/dbraw/zinc/04/37/97/1072043797.db2.gz BLUOXRYVDQGEGY-SJORKVTESA-N 0 0 433.538 -0.394 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cccc(CN4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000518282977 1072043873 /nfs/dbraw/zinc/04/38/73/1072043873.db2.gz SQOIAPWGVMDMGP-UHFFFAOYSA-N 0 0 426.477 -0.418 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)s1 ZINC000518283895 1072043823 /nfs/dbraw/zinc/04/38/23/1072043823.db2.gz JBJDVGHYQVZWPG-UHFFFAOYSA-N 0 0 436.515 -0.174 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)c1 ZINC000518284265 1072043775 /nfs/dbraw/zinc/04/37/75/1072043775.db2.gz BBBLIZRIUCWSQZ-UHFFFAOYSA-N 0 0 448.486 -0.064 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)CC1 ZINC000518284611 1072043811 /nfs/dbraw/zinc/04/38/11/1072043811.db2.gz YTWVUKINULHPCB-UHFFFAOYSA-N 0 0 442.476 -0.280 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000518286338 1072044335 /nfs/dbraw/zinc/04/43/35/1072044335.db2.gz UGHKNNZJSRYMQU-MSOLQXFVSA-N 0 0 438.550 -0.168 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000518286339 1072044272 /nfs/dbraw/zinc/04/42/72/1072044272.db2.gz UGHKNNZJSRYMQU-QZTJIDSGSA-N 0 0 438.550 -0.168 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000518286340 1072044350 /nfs/dbraw/zinc/04/43/50/1072044350.db2.gz UGHKNNZJSRYMQU-ROUUACIJSA-N 0 0 438.550 -0.168 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000518286341 1072044484 /nfs/dbraw/zinc/04/44/84/1072044484.db2.gz UGHKNNZJSRYMQU-ZWKOTPCHSA-N 0 0 438.550 -0.168 20 0 IBADRN C[C@H](NC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000518288625 1072044322 /nfs/dbraw/zinc/04/43/22/1072044322.db2.gz LUBSKMWGDDUZKO-JTQLQIEISA-N 0 0 435.462 -0.793 20 0 IBADRN C[C@@H](NC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000518288626 1072044361 /nfs/dbraw/zinc/04/43/61/1072044361.db2.gz LUBSKMWGDDUZKO-SNVBAGLBSA-N 0 0 435.462 -0.793 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N(C)CC(=O)Nc1cccc(OC)c1)c2=O ZINC000518304660 1072044757 /nfs/dbraw/zinc/04/47/57/1072044757.db2.gz HDFLNBIWFOLKNF-UHFFFAOYSA-N 0 0 442.432 -0.128 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)NCC(=O)Nc1cc(C)ccc1OC)c2=O ZINC000518305433 1072046118 /nfs/dbraw/zinc/04/61/18/1072046118.db2.gz DMHZKJUNQGSGQE-UHFFFAOYSA-N 0 0 442.432 -0.162 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCCS(=O)(=O)NCC3CCC3)CC2)no1 ZINC000518306450 1073330722 /nfs/dbraw/zinc/33/07/22/1073330722.db2.gz KSDJBRMHNXIUKL-UHFFFAOYSA-N 0 0 442.542 -0.032 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)NCCS(=O)(=O)N1CCSCC1 ZINC000518306908 1072045887 /nfs/dbraw/zinc/04/58/87/1072045887.db2.gz GVQXJXFMWITVMM-UHFFFAOYSA-N 0 0 428.602 -0.616 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000518307567 1072046060 /nfs/dbraw/zinc/04/60/60/1072046060.db2.gz VUVJRJRBOBRRJH-KFWWJZLASA-N 0 0 437.584 -0.460 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000518307568 1072045994 /nfs/dbraw/zinc/04/59/94/1072045994.db2.gz VUVJRJRBOBRRJH-RBSFLKMASA-N 0 0 437.584 -0.460 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000518307569 1072046131 /nfs/dbraw/zinc/04/61/31/1072046131.db2.gz VUVJRJRBOBRRJH-RRFJBIMHSA-N 0 0 437.584 -0.460 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000518307570 1072046047 /nfs/dbraw/zinc/04/60/47/1072046047.db2.gz VUVJRJRBOBRRJH-ZNMIVQPWSA-N 0 0 437.584 -0.460 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NC[C@H]2COc3ccccc3O2)CC1 ZINC000518314295 1072045314 /nfs/dbraw/zinc/04/53/14/1072045314.db2.gz XLAJCIOQJNDVEN-MSOLQXFVSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NC[C@@H]2COc3ccccc3O2)CC1 ZINC000518314296 1072045231 /nfs/dbraw/zinc/04/52/31/1072045231.db2.gz XLAJCIOQJNDVEN-QZTJIDSGSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NC[C@H]2COc3ccccc3O2)CC1 ZINC000518314297 1072045400 /nfs/dbraw/zinc/04/54/00/1072045400.db2.gz XLAJCIOQJNDVEN-ROUUACIJSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NC[C@@H]2COc3ccccc3O2)CC1 ZINC000518314298 1072045352 /nfs/dbraw/zinc/04/53/52/1072045352.db2.gz XLAJCIOQJNDVEN-ZWKOTPCHSA-N 0 0 432.521 -0.193 20 0 IBADRN CN(C)C(=O)CN1CCC(NS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000518317469 1072045419 /nfs/dbraw/zinc/04/54/19/1072045419.db2.gz OXBOLTHXMOGDHJ-UHFFFAOYSA-N 0 0 444.579 -0.042 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c2ccccc2O1 ZINC000518328271 1072046554 /nfs/dbraw/zinc/04/65/54/1072046554.db2.gz ZDUFPTYYPNRXPL-INIZCTEOSA-N 0 0 439.490 -0.211 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c2ccccc2O1 ZINC000518328272 1072046438 /nfs/dbraw/zinc/04/64/38/1072046438.db2.gz ZDUFPTYYPNRXPL-MRXNPFEDSA-N 0 0 439.490 -0.211 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)c1 ZINC000518329363 1072046451 /nfs/dbraw/zinc/04/64/51/1072046451.db2.gz RYHHHUCHPHEZBY-UHFFFAOYSA-N 0 0 434.459 -0.325 20 0 IBADRN CC(C)N(C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000518333727 1072046365 /nfs/dbraw/zinc/04/63/65/1072046365.db2.gz XPYJSIWSALRXAQ-UHFFFAOYSA-N 0 0 445.520 -0.001 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)c1cncc(C(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000518336274 1072047533 /nfs/dbraw/zinc/04/75/33/1072047533.db2.gz LGCXLTMPCXGHMX-UHFFFAOYSA-N 0 0 443.460 -0.381 20 0 IBADRN CCCCNC(=O)C1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000518338357 1072048012 /nfs/dbraw/zinc/04/80/12/1072048012.db2.gz GLQJWVKZUKFUBH-UHFFFAOYSA-N 0 0 444.492 -0.274 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)CC1 ZINC000518341631 1072048089 /nfs/dbraw/zinc/04/80/89/1072048089.db2.gz AWQSADPATFOJCR-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(c2ccc(F)cn2)CC1 ZINC000518341677 1072048148 /nfs/dbraw/zinc/04/81/48/1072048148.db2.gz GDDPURJSOVKCNN-UHFFFAOYSA-N 0 0 435.481 -0.036 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000518344345 1072048582 /nfs/dbraw/zinc/04/85/82/1072048582.db2.gz MISLLBMXRGRZRB-UHFFFAOYSA-N 0 0 426.480 -0.795 20 0 IBADRN O=C(NCc1ccc(-c2nn[nH]n2)cc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000518345347 1072048753 /nfs/dbraw/zinc/04/87/53/1072048753.db2.gz GYVWMZFYSBLOFY-UHFFFAOYSA-N 0 0 441.473 -0.083 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)C1=O ZINC000518348892 1072047633 /nfs/dbraw/zinc/04/76/33/1072047633.db2.gz FQRVELBSCXSNFD-CVEARBPZSA-N 0 0 440.508 -0.398 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)C1=O ZINC000518348894 1072047621 /nfs/dbraw/zinc/04/76/21/1072047621.db2.gz FQRVELBSCXSNFD-HOTGVXAUSA-N 0 0 440.508 -0.398 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)C1=O ZINC000518348895 1072047572 /nfs/dbraw/zinc/04/75/72/1072047572.db2.gz FQRVELBSCXSNFD-HZPDHXFCSA-N 0 0 440.508 -0.398 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)C1=O ZINC000518348896 1072047626 /nfs/dbraw/zinc/04/76/26/1072047626.db2.gz FQRVELBSCXSNFD-JKSUJKDBSA-N 0 0 440.508 -0.398 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cc(C)ccc2C)CC1 ZINC000518349572 1072047674 /nfs/dbraw/zinc/04/76/74/1072047674.db2.gz VFEINROPWUQGFA-UHFFFAOYSA-N 0 0 426.539 -0.511 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)C2=O)cc1 ZINC000518353005 1072049601 /nfs/dbraw/zinc/04/96/01/1072049601.db2.gz CUIORTXCZVBDPT-IBGZPJMESA-N 0 0 443.548 -0.119 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)C2=O)cc1 ZINC000518353006 1072049747 /nfs/dbraw/zinc/04/97/47/1072049747.db2.gz CUIORTXCZVBDPT-LJQANCHMSA-N 0 0 443.548 -0.119 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cc1C(N)=O ZINC000518354126 1072049712 /nfs/dbraw/zinc/04/97/12/1072049712.db2.gz VDVUWRJQSFSROF-GFCCVEGCSA-N 0 0 434.540 -0.267 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cc1C(N)=O ZINC000518354129 1072049636 /nfs/dbraw/zinc/04/96/36/1072049636.db2.gz VDVUWRJQSFSROF-LBPRGKRZSA-N 0 0 434.540 -0.267 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N(CCN2CCOCC2)CC(=O)OC)ccc1OC ZINC000518354941 1072049643 /nfs/dbraw/zinc/04/96/43/1072049643.db2.gz LRYJEBUENDANBH-UHFFFAOYSA-N 0 0 429.495 -0.449 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)Cn3ccc(=O)[nH]c3=O)CC2)cc1 ZINC000518356096 1072049702 /nfs/dbraw/zinc/04/97/02/1072049702.db2.gz FFOCIKJPCCUFAH-UHFFFAOYSA-N 0 0 435.506 -0.620 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)o1 ZINC000518358956 1072050196 /nfs/dbraw/zinc/05/01/96/1072050196.db2.gz LETCKZWAHYEVBB-AWEZNQCLSA-N 0 0 449.551 -0.461 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)o1 ZINC000518358957 1072050045 /nfs/dbraw/zinc/05/00/45/1072050045.db2.gz LETCKZWAHYEVBB-CQSZACIVSA-N 0 0 449.551 -0.461 20 0 IBADRN CN(CC(=O)NCC(=O)NCCN1CCOCC1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000518359097 1072050062 /nfs/dbraw/zinc/05/00/62/1072050062.db2.gz QEOSCVXDMIZQIS-UHFFFAOYSA-N 0 0 432.930 -0.475 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1c[nH]c(C(=O)N2CCCC2)c1 ZINC000518360216 1072048784 /nfs/dbraw/zinc/04/87/84/1072048784.db2.gz MOXVEIDWNGHYAJ-UHFFFAOYSA-N 0 0 428.511 -0.253 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3cccc4c3OCO4)CC2)CC1 ZINC000518363489 1072049096 /nfs/dbraw/zinc/04/90/96/1072049096.db2.gz OWSXWGWDDWDKBE-UHFFFAOYSA-N 0 0 425.511 -0.265 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)c1cccc(CN2C(=O)CNC2=O)c1 ZINC000518364553 1072049223 /nfs/dbraw/zinc/04/92/23/1072049223.db2.gz CPLMYGSOYJOPCA-INIZCTEOSA-N 0 0 438.506 -0.043 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)c1cccc(CN2C(=O)CNC2=O)c1 ZINC000518364560 1072049083 /nfs/dbraw/zinc/04/90/83/1072049083.db2.gz CPLMYGSOYJOPCA-MRXNPFEDSA-N 0 0 438.506 -0.043 20 0 IBADRN COCCOc1ccccc1CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000518366721 1072049619 /nfs/dbraw/zinc/04/96/19/1072049619.db2.gz WRKUFMBQXWDQRX-UHFFFAOYSA-N 0 0 434.493 -0.076 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCNC(=O)c3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000518367670 1072050820 /nfs/dbraw/zinc/05/08/20/1072050820.db2.gz PJNSNBUKJWBREK-UHFFFAOYSA-N 0 0 430.440 -0.101 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000518378432 1072051269 /nfs/dbraw/zinc/05/12/69/1072051269.db2.gz RLIYQGJFPQZORJ-UHFFFAOYSA-N 0 0 429.433 -0.162 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c(Cl)c2)CC1 ZINC000518383631 1072051777 /nfs/dbraw/zinc/05/17/77/1072051777.db2.gz NNNDGQIKFWPORX-UHFFFAOYSA-N 0 0 445.350 -0.120 20 0 IBADRN Cc1c(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cccc1-n1cnnn1 ZINC000518388102 1072053180 /nfs/dbraw/zinc/05/31/80/1072053180.db2.gz WAZRFDLOZVBXCF-UHFFFAOYSA-N 0 0 426.437 -0.204 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC000518388337 1072053357 /nfs/dbraw/zinc/05/33/57/1072053357.db2.gz WOUOMKWIEGQCIH-AWEZNQCLSA-N 0 0 432.449 -0.514 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC000518388338 1072053225 /nfs/dbraw/zinc/05/32/25/1072053225.db2.gz WOUOMKWIEGQCIH-CQSZACIVSA-N 0 0 432.449 -0.514 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC000518388521 1072053795 /nfs/dbraw/zinc/05/37/95/1072053795.db2.gz SWODXVCTAPZXHF-HRCADAONSA-N 0 0 436.509 -0.178 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC000518388531 1072053710 /nfs/dbraw/zinc/05/37/10/1072053710.db2.gz SWODXVCTAPZXHF-JYJNAYRXSA-N 0 0 436.509 -0.178 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC000518388533 1072053788 /nfs/dbraw/zinc/05/37/88/1072053788.db2.gz SWODXVCTAPZXHF-OWCLPIDISA-N 0 0 436.509 -0.178 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC000518388534 1072053754 /nfs/dbraw/zinc/05/37/54/1072053754.db2.gz SWODXVCTAPZXHF-PMPSAXMXSA-N 0 0 436.509 -0.178 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21 ZINC000518389246 1072053313 /nfs/dbraw/zinc/05/33/13/1072053313.db2.gz KZVFXILAUTUDCM-GFCCVEGCSA-N 0 0 439.432 -0.445 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21 ZINC000518389247 1072053294 /nfs/dbraw/zinc/05/32/94/1072053294.db2.gz KZVFXILAUTUDCM-LBPRGKRZSA-N 0 0 439.432 -0.445 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCCn1nc2n(c1=O)CCCC2 ZINC000518390446 1072053740 /nfs/dbraw/zinc/05/37/40/1072053740.db2.gz LQEPINUOFZVVFS-KRWDZBQOSA-N 0 0 434.541 -0.399 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCCn1nc2n(c1=O)CCCC2 ZINC000518390447 1072053671 /nfs/dbraw/zinc/05/36/71/1072053671.db2.gz LQEPINUOFZVVFS-QGZVFWFLSA-N 0 0 434.541 -0.399 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000518391834 1072054155 /nfs/dbraw/zinc/05/41/55/1072054155.db2.gz RSXDSIPXYLJPAE-UHFFFAOYSA-N 0 0 428.536 -0.095 20 0 IBADRN COc1ncnc(OC)c1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000518392353 1072053688 /nfs/dbraw/zinc/05/36/88/1072053688.db2.gz MNOYMUPRKSWHQQ-BETUJISGSA-N 0 0 429.499 -0.394 20 0 IBADRN COc1ncnc(OC)c1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000518392357 1072053773 /nfs/dbraw/zinc/05/37/73/1072053773.db2.gz MNOYMUPRKSWHQQ-CHWSQXEVSA-N 0 0 429.499 -0.394 20 0 IBADRN COc1ncnc(OC)c1C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000518392359 1072054284 /nfs/dbraw/zinc/05/42/84/1072054284.db2.gz MNOYMUPRKSWHQQ-STQMWFEESA-N 0 0 429.499 -0.394 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000518397488 1072052202 /nfs/dbraw/zinc/05/22/02/1072052202.db2.gz RZIYTIRIABUPTP-HNNXBMFYSA-N 0 0 444.536 -0.266 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000518397493 1072052274 /nfs/dbraw/zinc/05/22/74/1072052274.db2.gz RZIYTIRIABUPTP-OAHLLOKOSA-N 0 0 444.536 -0.266 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCC(C(=O)N3CCN(S(N)(=O)=O)CC3)CC2)c1 ZINC000518399116 1072052435 /nfs/dbraw/zinc/05/24/35/1072052435.db2.gz FVUPDMFNOPRNCM-UHFFFAOYSA-N 0 0 440.522 -0.096 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000518399533 1072052713 /nfs/dbraw/zinc/05/27/13/1072052713.db2.gz WJLBQZYQOLDJGA-AWEZNQCLSA-N 0 0 432.524 -0.895 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000518399534 1072052862 /nfs/dbraw/zinc/05/28/62/1072052862.db2.gz WJLBQZYQOLDJGA-CQSZACIVSA-N 0 0 432.524 -0.895 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000518399733 1072052444 /nfs/dbraw/zinc/05/24/44/1072052444.db2.gz INNGXODNPQPEBB-LLVKDONJSA-N 0 0 434.478 -0.699 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000518399734 1072052689 /nfs/dbraw/zinc/05/26/89/1072052689.db2.gz INNGXODNPQPEBB-NSHDSACASA-N 0 0 434.478 -0.699 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C)[C@H](C)c2cccc(S(N)(=O)=O)c2)cn1C ZINC000518399771 1072052731 /nfs/dbraw/zinc/05/27/31/1072052731.db2.gz LKFAYBFULSGJMT-LLVKDONJSA-N 0 0 429.524 -0.126 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C)[C@@H](C)c2cccc(S(N)(=O)=O)c2)cn1C ZINC000518399772 1072052744 /nfs/dbraw/zinc/05/27/44/1072052744.db2.gz LKFAYBFULSGJMT-NSHDSACASA-N 0 0 429.524 -0.126 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2sccc2S(=O)(=O)N2CCSCC2)CC1 ZINC000518399935 1072052392 /nfs/dbraw/zinc/05/23/92/1072052392.db2.gz WIKQZMQUXZWDRR-UHFFFAOYSA-N 0 0 440.594 -0.553 20 0 IBADRN Cn1c(C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000518400077 1072052263 /nfs/dbraw/zinc/05/22/63/1072052263.db2.gz MFDOTCNNUGAIMT-UHFFFAOYSA-N 0 0 444.492 -0.050 20 0 IBADRN Cn1c(C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000518400529 1072052698 /nfs/dbraw/zinc/05/26/98/1072052698.db2.gz WTUTYUJMESXXQU-UHFFFAOYSA-N 0 0 445.501 -0.278 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000518400611 1072052762 /nfs/dbraw/zinc/05/27/62/1072052762.db2.gz FXXWKEGWYOHZMF-UHFFFAOYSA-N 0 0 430.552 -0.249 20 0 IBADRN COCCN(C(=O)CN1[C@@H]2C[C@@H](C(=O)OC)O[C@H]2CC[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000518401027 1072052672 /nfs/dbraw/zinc/05/26/72/1072052672.db2.gz LXVZNTXBAAULKZ-ALYAQQCSSA-N 0 0 432.539 -0.168 20 0 IBADRN COCCN(C(=O)CN1[C@@H]2C[C@@H](C(=O)OC)O[C@H]2CC[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000518401030 1072052869 /nfs/dbraw/zinc/05/28/69/1072052869.db2.gz LXVZNTXBAAULKZ-MTSZKFMLSA-N 0 0 432.539 -0.168 20 0 IBADRN COCCN(C(=O)CN1[C@H]2C[C@@H](C(=O)OC)O[C@H]2CC[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000518401032 1072052803 /nfs/dbraw/zinc/05/28/03/1072052803.db2.gz LXVZNTXBAAULKZ-NRKLIOEPSA-N 0 0 432.539 -0.168 20 0 IBADRN COCCN(C(=O)CN1[C@H]2C[C@@H](C(=O)OC)O[C@H]2CC[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000518401034 1072052876 /nfs/dbraw/zinc/05/28/76/1072052876.db2.gz LXVZNTXBAAULKZ-XAJHFOFHSA-N 0 0 432.539 -0.168 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(OCc3ncnn3C)cc2)CC1 ZINC000518405374 1072054862 /nfs/dbraw/zinc/05/48/62/1072054862.db2.gz GWIBREZLGBBFEW-UHFFFAOYSA-N 0 0 430.512 -0.835 20 0 IBADRN CCOCCN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000518405625 1072054902 /nfs/dbraw/zinc/05/49/02/1072054902.db2.gz PHRINTXBNYVUBI-UHFFFAOYSA-N 0 0 426.539 -0.035 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000518406740 1072054755 /nfs/dbraw/zinc/05/47/55/1072054755.db2.gz XSAKKCZIQBPIMP-UHFFFAOYSA-N 0 0 431.536 -0.237 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCc1nccs1 ZINC000518407786 1072054714 /nfs/dbraw/zinc/05/47/14/1072054714.db2.gz JGDUGJATQIJMRR-UHFFFAOYSA-N 0 0 438.535 -0.578 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000518408398 1072055314 /nfs/dbraw/zinc/05/53/14/1072055314.db2.gz UEPXJECOYOJYAK-KRWDZBQOSA-N 0 0 433.557 -0.982 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000518408399 1072055401 /nfs/dbraw/zinc/05/54/01/1072055401.db2.gz UEPXJECOYOJYAK-QGZVFWFLSA-N 0 0 433.557 -0.982 20 0 IBADRN COc1c(F)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1F ZINC000518408561 1072055263 /nfs/dbraw/zinc/05/52/63/1072055263.db2.gz TWRWOQABWPGGKD-UHFFFAOYSA-N 0 0 448.448 -0.367 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCCS(=O)(=O)N2CCCC2)CC1 ZINC000518413977 1072055215 /nfs/dbraw/zinc/05/52/15/1072055215.db2.gz UCROFUSMSUXOEL-KRWDZBQOSA-N 0 0 430.571 -0.416 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCCS(=O)(=O)N2CCCC2)CC1 ZINC000518413978 1072055855 /nfs/dbraw/zinc/05/58/55/1072055855.db2.gz UCROFUSMSUXOEL-QGZVFWFLSA-N 0 0 430.571 -0.416 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1OC ZINC000518415449 1072055836 /nfs/dbraw/zinc/05/58/36/1072055836.db2.gz FNJQXBMOUYPOAB-INIZCTEOSA-N 0 0 448.520 -0.175 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1OC ZINC000518415451 1072055825 /nfs/dbraw/zinc/05/58/25/1072055825.db2.gz FNJQXBMOUYPOAB-MRXNPFEDSA-N 0 0 448.520 -0.175 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000518415463 1072055812 /nfs/dbraw/zinc/05/58/12/1072055812.db2.gz FZUVMIROIAEMJU-INIZCTEOSA-N 0 0 439.538 -0.859 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000518415466 1072055805 /nfs/dbraw/zinc/05/58/05/1072055805.db2.gz FZUVMIROIAEMJU-MRXNPFEDSA-N 0 0 439.538 -0.859 20 0 IBADRN CCc1nc(CN2CCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)CC2)no1 ZINC000518415683 1072055859 /nfs/dbraw/zinc/05/58/59/1072055859.db2.gz NADXBKDHDCLPHZ-UHFFFAOYSA-N 0 0 425.515 -0.313 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CS(=O)(=O)NC2CCC(C)CC2)CC1 ZINC000518415714 1072055850 /nfs/dbraw/zinc/05/58/50/1072055850.db2.gz NRGHCUCNVHROIJ-ADKAHSJRSA-N 0 0 444.598 -0.124 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CS(=O)(=O)NC2CCC(C)CC2)CC1 ZINC000518415716 1072055820 /nfs/dbraw/zinc/05/58/20/1072055820.db2.gz NRGHCUCNVHROIJ-LXPRWKDFSA-N 0 0 444.598 -0.124 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000518416142 1072055866 /nfs/dbraw/zinc/05/58/66/1072055866.db2.gz ZCEPQESVWWUEMO-UHFFFAOYSA-N 0 0 447.485 -0.642 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCNS(=O)(=O)c1ccc(C)cc1 ZINC000518416178 1072055887 /nfs/dbraw/zinc/05/58/87/1072055887.db2.gz ZNLGETOZYQXENJ-UHFFFAOYSA-N 0 0 427.523 -0.003 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000518416235 1072055879 /nfs/dbraw/zinc/05/58/79/1072055879.db2.gz LWWUKOKNASPKTO-AWEZNQCLSA-N 0 0 430.527 -0.945 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000518416237 1072055795 /nfs/dbraw/zinc/05/57/95/1072055795.db2.gz LWWUKOKNASPKTO-CQSZACIVSA-N 0 0 430.527 -0.945 20 0 IBADRN O=C(N[C@H]1CCc2c[nH]nc2C1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000518418050 1072056338 /nfs/dbraw/zinc/05/63/38/1072056338.db2.gz UKMTXYLVMWLGBF-INIZCTEOSA-N 0 0 440.508 -0.641 20 0 IBADRN O=C(N[C@@H]1CCc2c[nH]nc2C1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000518418051 1072056194 /nfs/dbraw/zinc/05/61/94/1072056194.db2.gz UKMTXYLVMWLGBF-MRXNPFEDSA-N 0 0 440.508 -0.641 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000518418940 1072054252 /nfs/dbraw/zinc/05/42/52/1072054252.db2.gz CJORTUCWGCQZRO-GDBMZVCRSA-N 0 0 428.486 -0.135 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000518418941 1072054243 /nfs/dbraw/zinc/05/42/43/1072054243.db2.gz CJORTUCWGCQZRO-GOEBONIOSA-N 0 0 428.486 -0.135 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000518418942 1072054218 /nfs/dbraw/zinc/05/42/18/1072054218.db2.gz CJORTUCWGCQZRO-HOCLYGCPSA-N 0 0 428.486 -0.135 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000518418943 1072054338 /nfs/dbraw/zinc/05/43/38/1072054338.db2.gz CJORTUCWGCQZRO-ZBFHGGJFSA-N 0 0 428.486 -0.135 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CCNS(C)(=O)=O)CC2)cc1 ZINC000518421755 1072056463 /nfs/dbraw/zinc/05/64/63/1072056463.db2.gz TWNUYJIRICPRIR-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)C1 ZINC000518423290 1072056957 /nfs/dbraw/zinc/05/69/57/1072056957.db2.gz YQOVHMHQPDEPIU-INIZCTEOSA-N 0 0 442.513 -0.181 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)C1 ZINC000518423291 1072056857 /nfs/dbraw/zinc/05/68/57/1072056857.db2.gz YQOVHMHQPDEPIU-MRXNPFEDSA-N 0 0 442.513 -0.181 20 0 IBADRN O=C(CNC(=O)[C@H]1CCCN(C(=O)c2ccc3n[nH]cc3c2)C1)NCCN1CCOCC1 ZINC000518424076 1072057059 /nfs/dbraw/zinc/05/70/59/1072057059.db2.gz AWBJNZVYVQVYML-KRWDZBQOSA-N 0 0 442.520 -0.020 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCN(C(=O)c2ccc3n[nH]cc3c2)C1)NCCN1CCOCC1 ZINC000518424077 1072057085 /nfs/dbraw/zinc/05/70/85/1072057085.db2.gz AWBJNZVYVQVYML-QGZVFWFLSA-N 0 0 442.520 -0.020 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1csc(S(N)(=O)=O)c1)C(=O)NCCN1CCOCC1 ZINC000518424509 1072056879 /nfs/dbraw/zinc/05/68/79/1072056879.db2.gz IQRFQUPEEPKXOO-DOMZBBRYSA-N 0 0 432.568 -0.012 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1csc(S(N)(=O)=O)c1)C(=O)NCCN1CCOCC1 ZINC000518424510 1072057019 /nfs/dbraw/zinc/05/70/19/1072057019.db2.gz IQRFQUPEEPKXOO-IUODEOHRSA-N 0 0 432.568 -0.012 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1csc(S(N)(=O)=O)c1)C(=O)NCCN1CCOCC1 ZINC000518424511 1072056986 /nfs/dbraw/zinc/05/69/86/1072056986.db2.gz IQRFQUPEEPKXOO-SWLSCSKDSA-N 0 0 432.568 -0.012 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1csc(S(N)(=O)=O)c1)C(=O)NCCN1CCOCC1 ZINC000518424512 1072057496 /nfs/dbraw/zinc/05/74/96/1072057496.db2.gz IQRFQUPEEPKXOO-WFASDCNBSA-N 0 0 432.568 -0.012 20 0 IBADRN CSCC[C@@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)c2ccccc2C1=O ZINC000518425204 1072057039 /nfs/dbraw/zinc/05/70/39/1072057039.db2.gz OCZOFHDNIDPVSC-KRWDZBQOSA-N 0 0 448.545 -0.031 20 0 IBADRN CSCC[C@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)c2ccccc2C1=O ZINC000518425205 1072056997 /nfs/dbraw/zinc/05/69/97/1072056997.db2.gz OCZOFHDNIDPVSC-QGZVFWFLSA-N 0 0 448.545 -0.031 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)C(=O)NCCN1CCOCC1 ZINC000518425230 1072057027 /nfs/dbraw/zinc/05/70/27/1072057027.db2.gz QCCSSGNLYJGLJJ-CXAGYDPISA-N 0 0 431.493 -0.191 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)C(=O)NCCN1CCOCC1 ZINC000518425231 1072057010 /nfs/dbraw/zinc/05/70/10/1072057010.db2.gz QCCSSGNLYJGLJJ-DYVFJYSZSA-N 0 0 431.493 -0.191 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)C(=O)NCCN1CCOCC1 ZINC000518425232 1072057049 /nfs/dbraw/zinc/05/70/49/1072057049.db2.gz QCCSSGNLYJGLJJ-GUYCJALGSA-N 0 0 431.493 -0.191 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)C(=O)NCCN1CCOCC1 ZINC000518425233 1072056970 /nfs/dbraw/zinc/05/69/70/1072056970.db2.gz QCCSSGNLYJGLJJ-SUMWQHHRSA-N 0 0 431.493 -0.191 20 0 IBADRN O=C(CNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1)NCCN1CCOCC1 ZINC000518425411 1072057614 /nfs/dbraw/zinc/05/76/14/1072057614.db2.gz QZYFLCUYTWZCSL-KRWDZBQOSA-N 0 0 438.550 -0.348 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1)NCCN1CCOCC1 ZINC000518425591 1072057600 /nfs/dbraw/zinc/05/76/00/1072057600.db2.gz QZYFLCUYTWZCSL-QGZVFWFLSA-N 0 0 438.550 -0.348 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000518425849 1072057583 /nfs/dbraw/zinc/05/75/83/1072057583.db2.gz ZOSKGHTUYXAPQG-BEFAXECRSA-N 0 0 440.566 -0.144 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000518425850 1072057625 /nfs/dbraw/zinc/05/76/25/1072057625.db2.gz ZOSKGHTUYXAPQG-DNVCBOLYSA-N 0 0 440.566 -0.144 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000518425851 1072057340 /nfs/dbraw/zinc/05/73/40/1072057340.db2.gz ZOSKGHTUYXAPQG-HNAYVOBHSA-N 0 0 440.566 -0.144 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000518425852 1072057565 /nfs/dbraw/zinc/05/75/65/1072057565.db2.gz ZOSKGHTUYXAPQG-KXBFYZLASA-N 0 0 440.566 -0.144 20 0 IBADRN O=C(CNC(=O)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1)NCCN1CCOCC1 ZINC000518425853 1072057522 /nfs/dbraw/zinc/05/75/22/1072057522.db2.gz ZOYAAMJFSWVYKA-UHFFFAOYSA-N 0 0 447.517 -0.518 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)NCC(=O)NCCN1CCOCC1 ZINC000518425860 1072057430 /nfs/dbraw/zinc/05/74/30/1072057430.db2.gz ZWIBEMYOJSCRRF-UHFFFAOYSA-N 0 0 434.465 -0.802 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)NCCN1CCOCC1 ZINC000518427717 1072057482 /nfs/dbraw/zinc/05/74/82/1072057482.db2.gz FDTWYIPEMJEDIM-DOTOQJQBSA-N 0 0 432.587 -0.363 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)NCCN1CCOCC1 ZINC000518427718 1072057464 /nfs/dbraw/zinc/05/74/64/1072057464.db2.gz FDTWYIPEMJEDIM-NVXWUHKLSA-N 0 0 432.587 -0.363 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)NCCN1CCOCC1 ZINC000518427719 1072057508 /nfs/dbraw/zinc/05/75/08/1072057508.db2.gz FDTWYIPEMJEDIM-RDJZCZTQSA-N 0 0 432.587 -0.363 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)NCCN1CCOCC1 ZINC000518427720 1072057577 /nfs/dbraw/zinc/05/75/77/1072057577.db2.gz FDTWYIPEMJEDIM-WBVHZDCISA-N 0 0 432.587 -0.363 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)s1)C(=O)NCCN1CCOCC1 ZINC000518428472 1072058058 /nfs/dbraw/zinc/05/80/58/1072058058.db2.gz STCYPFGIAAHYLF-DOMZBBRYSA-N 0 0 432.568 -0.012 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)s1)C(=O)NCCN1CCOCC1 ZINC000518428474 1072058035 /nfs/dbraw/zinc/05/80/35/1072058035.db2.gz STCYPFGIAAHYLF-IUODEOHRSA-N 0 0 432.568 -0.012 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)s1)C(=O)NCCN1CCOCC1 ZINC000518428475 1072058003 /nfs/dbraw/zinc/05/80/03/1072058003.db2.gz STCYPFGIAAHYLF-SWLSCSKDSA-N 0 0 432.568 -0.012 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)s1)C(=O)NCCN1CCOCC1 ZINC000518428477 1072057901 /nfs/dbraw/zinc/05/79/01/1072057901.db2.gz STCYPFGIAAHYLF-WFASDCNBSA-N 0 0 432.568 -0.012 20 0 IBADRN O=C(CNC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)NCCN1CCOCC1 ZINC000518428661 1072058095 /nfs/dbraw/zinc/05/80/95/1072058095.db2.gz VGOHSEKMRGELCS-FQEVSTJZSA-N 0 0 443.504 -0.973 20 0 IBADRN O=C(CNC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)NCCN1CCOCC1 ZINC000518428662 1072057858 /nfs/dbraw/zinc/05/78/58/1072057858.db2.gz VGOHSEKMRGELCS-HXUWFJFHSA-N 0 0 443.504 -0.973 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000518428945 1072057985 /nfs/dbraw/zinc/05/79/85/1072057985.db2.gz QCDLAOSWQMYKLU-AWEZNQCLSA-N 0 0 438.506 -0.045 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000518428946 1072057915 /nfs/dbraw/zinc/05/79/15/1072057915.db2.gz QCDLAOSWQMYKLU-CQSZACIVSA-N 0 0 438.506 -0.045 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccc(F)cc3F)C2=O)C1 ZINC000518429176 1072058047 /nfs/dbraw/zinc/05/80/47/1072058047.db2.gz AKWRYRVUWKGPDZ-DZGCQCFKSA-N 0 0 444.460 -0.539 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccc(F)cc3F)C2=O)C1 ZINC000518429177 1072057941 /nfs/dbraw/zinc/05/79/41/1072057941.db2.gz AKWRYRVUWKGPDZ-HIFRSBDPSA-N 0 0 444.460 -0.539 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccc(F)cc3F)C2=O)C1 ZINC000518429178 1072057955 /nfs/dbraw/zinc/05/79/55/1072057955.db2.gz AKWRYRVUWKGPDZ-UKRRQHHQSA-N 0 0 444.460 -0.539 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccc(F)cc3F)C2=O)C1 ZINC000518429179 1072057886 /nfs/dbraw/zinc/05/78/86/1072057886.db2.gz AKWRYRVUWKGPDZ-ZFWWWQNUSA-N 0 0 444.460 -0.539 20 0 IBADRN Cn1c(C(=O)N[C@@H]2CCCc3[nH]c(=O)c(C(N)=O)cc32)cc2c1n(C)c(=O)n(C)c2=O ZINC000518430160 1072058039 /nfs/dbraw/zinc/05/80/39/1072058039.db2.gz RNHIEVJZCCHGCI-CYBMUJFWSA-N 0 0 426.433 -0.417 20 0 IBADRN Cn1c(C(=O)N[C@H]2CCCc3[nH]c(=O)c(C(N)=O)cc32)cc2c1n(C)c(=O)n(C)c2=O ZINC000518430162 1072058022 /nfs/dbraw/zinc/05/80/22/1072058022.db2.gz RNHIEVJZCCHGCI-ZDUSSCGKSA-N 0 0 426.433 -0.417 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cnn(CC(F)(F)F)c2)CC1)N1CCOCC1 ZINC000518430376 1072058075 /nfs/dbraw/zinc/05/80/75/1072058075.db2.gz JFRANOGGIVMMDG-UHFFFAOYSA-N 0 0 446.430 -0.935 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC2)c1 ZINC000518431008 1071011929 /nfs/dbraw/zinc/01/19/29/1071011929.db2.gz HGTHHDAUOSUFCI-GFCCVEGCSA-N 0 0 435.524 -0.526 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC2)c1 ZINC000518431009 1072057869 /nfs/dbraw/zinc/05/78/69/1072057869.db2.gz HGTHHDAUOSUFCI-LBPRGKRZSA-N 0 0 435.524 -0.526 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)s1 ZINC000518435730 1072058133 /nfs/dbraw/zinc/05/81/33/1072058133.db2.gz UWOYFUNFWWGLSL-LLVKDONJSA-N 0 0 425.554 -0.457 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)s1 ZINC000518435731 1071012361 /nfs/dbraw/zinc/01/23/61/1071012361.db2.gz UWOYFUNFWWGLSL-NSHDSACASA-N 0 0 425.554 -0.457 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCCN(c3nc(C)ns3)CC1)CC2 ZINC000518438371 1072056239 /nfs/dbraw/zinc/05/62/39/1072056239.db2.gz PPTUHYQAXAOPJJ-CYBMUJFWSA-N 0 0 434.526 -0.246 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCCN(c3nc(C)ns3)CC1)CC2 ZINC000518438376 1072056425 /nfs/dbraw/zinc/05/64/25/1072056425.db2.gz PPTUHYQAXAOPJJ-ZDUSSCGKSA-N 0 0 434.526 -0.246 20 0 IBADRN NC(=O)c1ncn2c1nc(-c1ccco1)cc2C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000518439271 1072056509 /nfs/dbraw/zinc/05/65/09/1072056509.db2.gz PCNTVFLBTOMGIT-UHFFFAOYSA-N 0 0 432.462 -0.452 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(C(=O)[C@H]3CCCO3)CC1)CCC2 ZINC000518439714 1072060098 /nfs/dbraw/zinc/06/00/98/1072060098.db2.gz FRCXCPQQJPGQGG-DLBZAZTESA-N 0 0 433.509 -0.127 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(C(=O)[C@H]3CCCO3)CC1)CCC2 ZINC000518439715 1072060084 /nfs/dbraw/zinc/06/00/84/1072060084.db2.gz FRCXCPQQJPGQGG-IAGOWNOFSA-N 0 0 433.509 -0.127 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(C(=O)[C@@H]3CCCO3)CC1)CCC2 ZINC000518439716 1072060011 /nfs/dbraw/zinc/06/00/11/1072060011.db2.gz FRCXCPQQJPGQGG-IRXDYDNUSA-N 0 0 433.509 -0.127 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(C(=O)[C@@H]3CCCO3)CC1)CCC2 ZINC000518439717 1072059980 /nfs/dbraw/zinc/05/99/80/1072059980.db2.gz FRCXCPQQJPGQGG-SJORKVTESA-N 0 0 433.509 -0.127 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000518439776 1072060209 /nfs/dbraw/zinc/06/02/09/1072060209.db2.gz IEYNZOYFKZBRFU-UHFFFAOYSA-N 0 0 429.485 -0.377 20 0 IBADRN CC[C@H]1C(=O)NCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000518440465 1072060118 /nfs/dbraw/zinc/06/01/18/1072060118.db2.gz UVWORNSFZUTLRL-HNNXBMFYSA-N 0 0 440.522 -0.135 20 0 IBADRN CC[C@@H]1C(=O)NCCN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000518440466 1072060001 /nfs/dbraw/zinc/06/00/01/1072060001.db2.gz UVWORNSFZUTLRL-OAHLLOKOSA-N 0 0 440.522 -0.135 20 0 IBADRN Cc1nc(CN2CCC(CNS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)oc1C ZINC000518440752 1072060158 /nfs/dbraw/zinc/06/01/58/1072060158.db2.gz SGOBTXQHRWUDLJ-UHFFFAOYSA-N 0 0 425.511 -0.121 20 0 IBADRN C[C@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C[C@H](C)S1(=O)=O ZINC000518445623 1072058619 /nfs/dbraw/zinc/05/86/19/1072058619.db2.gz SILXHUNVPLUEPV-KBPBESRZSA-N 0 0 434.518 -0.132 20 0 IBADRN C[C@@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C[C@H](C)S1(=O)=O ZINC000518445624 1072058466 /nfs/dbraw/zinc/05/84/66/1072058466.db2.gz SILXHUNVPLUEPV-OKILXGFUSA-N 0 0 434.518 -0.132 20 0 IBADRN C[C@@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C[C@@H](C)S1(=O)=O ZINC000518445625 1072058534 /nfs/dbraw/zinc/05/85/34/1072058534.db2.gz SILXHUNVPLUEPV-ZIAGYGMSSA-N 0 0 434.518 -0.132 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000518446752 1072059142 /nfs/dbraw/zinc/05/91/42/1072059142.db2.gz PNHZNXIEEKHJEX-CYBMUJFWSA-N 0 0 440.565 -0.143 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000518446753 1072059072 /nfs/dbraw/zinc/05/90/72/1072059072.db2.gz PNHZNXIEEKHJEX-ZDUSSCGKSA-N 0 0 440.565 -0.143 20 0 IBADRN CNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000518447139 1072059029 /nfs/dbraw/zinc/05/90/29/1072059029.db2.gz YVOOUELVPZRFQX-AWEZNQCLSA-N 0 0 431.536 -0.146 20 0 IBADRN CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000518447140 1072058922 /nfs/dbraw/zinc/05/89/22/1072058922.db2.gz YVOOUELVPZRFQX-CQSZACIVSA-N 0 0 431.536 -0.146 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000518448054 1072058943 /nfs/dbraw/zinc/05/89/43/1072058943.db2.gz LOAFIAWOWGAMGQ-UHFFFAOYSA-N 0 0 448.448 -0.138 20 0 IBADRN COCCN(C)S(=O)(=O)NC[C@@H]1CCC[C@H](NS(=O)(=O)N(C)CCOC)C1 ZINC000518449566 1072059543 /nfs/dbraw/zinc/05/95/43/1072059543.db2.gz LQQXGTFJJLWDBI-CABCVRRESA-N 0 0 430.593 -0.630 20 0 IBADRN COCCN(C)S(=O)(=O)NC[C@H]1CCC[C@H](NS(=O)(=O)N(C)CCOC)C1 ZINC000518449567 1072059636 /nfs/dbraw/zinc/05/96/36/1072059636.db2.gz LQQXGTFJJLWDBI-GJZGRUSLSA-N 0 0 430.593 -0.630 20 0 IBADRN COCCN(C)S(=O)(=O)NC[C@@H]1CCC[C@@H](NS(=O)(=O)N(C)CCOC)C1 ZINC000518449568 1072059564 /nfs/dbraw/zinc/05/95/64/1072059564.db2.gz LQQXGTFJJLWDBI-HUUCEWRRSA-N 0 0 430.593 -0.630 20 0 IBADRN COCCN(C)S(=O)(=O)NC[C@H]1CCC[C@@H](NS(=O)(=O)N(C)CCOC)C1 ZINC000518449569 1072059532 /nfs/dbraw/zinc/05/95/32/1072059532.db2.gz LQQXGTFJJLWDBI-LSDHHAIUSA-N 0 0 430.593 -0.630 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000518453590 1072061229 /nfs/dbraw/zinc/06/12/29/1072061229.db2.gz KVVMISWVCKRGAM-UHFFFAOYSA-N 0 0 435.553 -0.179 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000518454437 1072061157 /nfs/dbraw/zinc/06/11/57/1072061157.db2.gz FNZRWAUUFAURLI-UHFFFAOYSA-N 0 0 432.525 -0.408 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000518455335 1072061124 /nfs/dbraw/zinc/06/11/24/1072061124.db2.gz TYSAFUOYMXJYFG-UHFFFAOYSA-N 0 0 431.449 -0.528 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000518458995 1072060531 /nfs/dbraw/zinc/06/05/31/1072060531.db2.gz HSIBBLIHCUZYJP-UHFFFAOYSA-N 0 0 434.493 -0.041 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3cccnc3-n3cccn3)cnc2n(C)c1=O ZINC000518459053 1072060803 /nfs/dbraw/zinc/06/08/03/1072060803.db2.gz QIIXIEBJXZCNFF-UHFFFAOYSA-N 0 0 434.416 -0.532 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000518461351 1072060691 /nfs/dbraw/zinc/06/06/91/1072060691.db2.gz BJMUSXUQBDQUMI-FQEVSTJZSA-N 0 0 448.549 -0.720 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000518461352 1072060779 /nfs/dbraw/zinc/06/07/79/1072060779.db2.gz BJMUSXUQBDQUMI-HXUWFJFHSA-N 0 0 448.549 -0.720 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3cc(C(N)=O)c4ccccc43)CC2)CC1 ZINC000518461761 1072061108 /nfs/dbraw/zinc/06/11/08/1072061108.db2.gz CCCSRQYIERLUOB-UHFFFAOYSA-N 0 0 448.549 -0.623 20 0 IBADRN NS(=O)(=O)CCCNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC000518463695 1071015304 /nfs/dbraw/zinc/01/53/04/1071015304.db2.gz RRIHLPOIHYQMCG-UHFFFAOYSA-N 0 0 428.330 -0.088 20 0 IBADRN O=S(=O)(c1c(F)cc(F)cc1F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000518464192 1072061212 /nfs/dbraw/zinc/06/12/12/1072061212.db2.gz UVGKPTXXTKUFIZ-UHFFFAOYSA-N 0 0 429.442 -0.013 20 0 IBADRN NS(=O)(=O)CCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000518465008 1072061057 /nfs/dbraw/zinc/06/10/57/1072061057.db2.gz ZRQNWGBAVCYZBI-UHFFFAOYSA-N 0 0 446.551 -0.906 20 0 IBADRN CNC(=O)c1ccc(CN(C)C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000518465205 1072061283 /nfs/dbraw/zinc/06/12/83/1072061283.db2.gz LZHBJWAXHVBNPP-UHFFFAOYSA-N 0 0 438.444 -0.411 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1-n1cnnn1 ZINC000518465606 1072061074 /nfs/dbraw/zinc/06/10/74/1072061074.db2.gz QVKVSULPDPINHU-UHFFFAOYSA-N 0 0 440.464 -0.798 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000518467805 1072062881 /nfs/dbraw/zinc/06/28/81/1072062881.db2.gz XFGRKRRUVWKDOM-UHFFFAOYSA-N 0 0 430.461 -0.366 20 0 IBADRN CCCN1C(=O)N=NC1S(=O)(=O)CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000518475146 1072061767 /nfs/dbraw/zinc/06/17/67/1072061767.db2.gz GWWQYDCKBLNAMQ-UHFFFAOYSA-N 0 0 429.480 -0.596 20 0 IBADRN O=C(Nc1cc(F)c(F)cc1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000518477418 1072062360 /nfs/dbraw/zinc/06/23/60/1072062360.db2.gz MLYSCHFRJKCKMY-UHFFFAOYSA-N 0 0 428.367 -0.428 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000518478488 1072062310 /nfs/dbraw/zinc/06/23/10/1072062310.db2.gz JHZWWMAIZLBXME-UHFFFAOYSA-N 0 0 432.462 -0.852 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC[C@@H](C(N)=O)C2)c1 ZINC000518478499 1072062455 /nfs/dbraw/zinc/06/24/55/1072062455.db2.gz KGGTXIPJLIHRIR-GFCCVEGCSA-N 0 0 426.495 -0.002 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC[C@H](C(N)=O)C2)c1 ZINC000518478500 1072062370 /nfs/dbraw/zinc/06/23/70/1072062370.db2.gz KGGTXIPJLIHRIR-LBPRGKRZSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOC(=O)c1cnn(-c2cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c2)c1C ZINC000518478637 1072062342 /nfs/dbraw/zinc/06/23/42/1072062342.db2.gz RUHNLCOTHPHGBS-UHFFFAOYSA-N 0 0 437.478 -0.039 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000518480980 1072062949 /nfs/dbraw/zinc/06/29/49/1072062949.db2.gz IDEXEPPRVPRRQQ-UHFFFAOYSA-N 0 0 436.534 -0.036 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCNS(C)(=O)=O ZINC000518490399 1072062923 /nfs/dbraw/zinc/06/29/23/1072062923.db2.gz HMHYHMRWARFEGZ-GFCCVEGCSA-N 0 0 427.545 -0.109 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCNS(C)(=O)=O ZINC000518490400 1072062790 /nfs/dbraw/zinc/06/27/90/1072062790.db2.gz HMHYHMRWARFEGZ-LBPRGKRZSA-N 0 0 427.545 -0.109 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000518491050 1072062834 /nfs/dbraw/zinc/06/28/34/1072062834.db2.gz WBEYKQUXICKVGV-UHFFFAOYSA-N 0 0 444.510 -0.218 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCOc1ccc(S(C)(=O)=O)cc1)c(=O)n2C ZINC000518496266 1072064732 /nfs/dbraw/zinc/06/47/32/1072064732.db2.gz QXDVXTAZZBEAKG-UHFFFAOYSA-N 0 0 435.462 -0.968 20 0 IBADRN CN(C(=O)CSc1nnnn1C1CC1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000518498501 1072064451 /nfs/dbraw/zinc/06/44/51/1072064451.db2.gz JCQBCNGLEYTJCR-UHFFFAOYSA-N 0 0 436.586 -0.203 20 0 IBADRN CN(C(=O)CCCN1C(=O)CN(C)C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000518499509 1072064430 /nfs/dbraw/zinc/06/44/30/1072064430.db2.gz NEFNUPYSDZLWOX-UHFFFAOYSA-N 0 0 436.557 -0.643 20 0 IBADRN CN(C(=O)CNC(=O)NCc1ccco1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000518499583 1072065226 /nfs/dbraw/zinc/06/52/26/1072065226.db2.gz RPFOYFQDABPNPB-UHFFFAOYSA-N 0 0 434.541 -0.224 20 0 IBADRN CN(C(=O)c1ccc(-n2cnnn2)cc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000518499704 1072065119 /nfs/dbraw/zinc/06/51/19/1072065119.db2.gz ZMIMOKOTGBCNNY-UHFFFAOYSA-N 0 0 426.525 -0.027 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)NCc1ccccc1 ZINC000518504927 1072065298 /nfs/dbraw/zinc/06/52/98/1072065298.db2.gz WTFYMAUHLMVEOV-GFCCVEGCSA-N 0 0 438.444 -0.608 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)NCc1ccccc1 ZINC000518504928 1072065156 /nfs/dbraw/zinc/06/51/56/1072065156.db2.gz WTFYMAUHLMVEOV-LBPRGKRZSA-N 0 0 438.444 -0.608 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000518507559 1072065307 /nfs/dbraw/zinc/06/53/07/1072065307.db2.gz FHRDBQRNVCCTAQ-UHFFFAOYSA-N 0 0 436.534 -0.176 20 0 IBADRN COc1ccc(S(=O)(=O)NCCCN2C(=O)CCC2=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000518509706 1072065316 /nfs/dbraw/zinc/06/53/16/1072065316.db2.gz LYAAWKKSRUIGEG-GOSISDBHSA-N 0 0 438.462 -0.433 20 0 IBADRN COc1ccc(S(=O)(=O)NCCCN2C(=O)CCC2=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000518509707 1072065190 /nfs/dbraw/zinc/06/51/90/1072065190.db2.gz LYAAWKKSRUIGEG-SFHVURJKSA-N 0 0 438.462 -0.433 20 0 IBADRN C[C@H]1Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)ccc2O1 ZINC000518509715 1072065256 /nfs/dbraw/zinc/06/52/56/1072065256.db2.gz MLEBROZZTFYXFZ-HNNXBMFYSA-N 0 0 444.579 -0.192 20 0 IBADRN C[C@@H]1Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)ccc2O1 ZINC000518509716 1072065087 /nfs/dbraw/zinc/06/50/87/1072065087.db2.gz MLEBROZZTFYXFZ-OAHLLOKOSA-N 0 0 444.579 -0.192 20 0 IBADRN COCCN([C@H](C)C(N)=O)S(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000518509887 1072065044 /nfs/dbraw/zinc/06/50/44/1072065044.db2.gz VPTXILLMKGKWLJ-GFCCVEGCSA-N 0 0 425.504 -0.402 20 0 IBADRN COCCN([C@@H](C)C(N)=O)S(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000518509888 1072065294 /nfs/dbraw/zinc/06/52/94/1072065294.db2.gz VPTXILLMKGKWLJ-LBPRGKRZSA-N 0 0 425.504 -0.402 20 0 IBADRN CN(CCS(=O)(=O)NCCCN1C(=O)CCC1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000518509911 1072065216 /nfs/dbraw/zinc/06/52/16/1072065216.db2.gz XFTXOVVMVMIRRX-UHFFFAOYSA-N 0 0 435.499 -0.095 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc4[nH]cnc4c3)CC2)cn1 ZINC000518510002 1072065200 /nfs/dbraw/zinc/06/52/00/1072065200.db2.gz GQGRHZDQWNIRID-UHFFFAOYSA-N 0 0 431.478 -0.441 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3C(=O)CCC3=O)CC2)C[C@H](C)O1 ZINC000518511093 1072065302 /nfs/dbraw/zinc/06/53/02/1072065302.db2.gz UZCKDHVGHKCLFO-GASCZTMLSA-N 0 0 445.542 -0.793 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3C(=O)CCC3=O)CC2)C[C@H](C)O1 ZINC000518511094 1072065752 /nfs/dbraw/zinc/06/57/52/1072065752.db2.gz UZCKDHVGHKCLFO-GJZGRUSLSA-N 0 0 445.542 -0.793 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3C(=O)CCC3=O)CC2)C[C@@H](C)O1 ZINC000518511095 1072065572 /nfs/dbraw/zinc/06/55/72/1072065572.db2.gz UZCKDHVGHKCLFO-HUUCEWRRSA-N 0 0 445.542 -0.793 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000518512336 1072065828 /nfs/dbraw/zinc/06/58/28/1072065828.db2.gz UNTUGFHRPAISNZ-UHFFFAOYSA-N 0 0 440.522 -0.153 20 0 IBADRN COCCN(CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)[C@@H](C)C(N)=O ZINC000518513676 1072065823 /nfs/dbraw/zinc/06/58/23/1072065823.db2.gz KRWOWYBBYJWPDI-HNNXBMFYSA-N 0 0 442.538 -0.223 20 0 IBADRN COCCN(CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)[C@H](C)C(N)=O ZINC000518513677 1072065668 /nfs/dbraw/zinc/06/56/68/1072065668.db2.gz KRWOWYBBYJWPDI-OAHLLOKOSA-N 0 0 442.538 -0.223 20 0 IBADRN Cn1cc(CN2CCN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)CC2)cn1 ZINC000518518955 1072066919 /nfs/dbraw/zinc/06/69/19/1072066919.db2.gz NAFUNWPDXSWVII-UHFFFAOYSA-N 0 0 441.540 -0.224 20 0 IBADRN Cn1c2nc(C(C)(C)C)nc(SCc3cc(=O)n4nc(N)[nH]c4n3)c2c(=O)n(C)c1=O ZINC000518521586 1072066695 /nfs/dbraw/zinc/06/66/95/1072066695.db2.gz BMSIZEUFEAABTQ-UHFFFAOYSA-N 0 0 443.493 -0.239 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000518525625 1072066824 /nfs/dbraw/zinc/06/68/24/1072066824.db2.gz XXBXAPGVMIAUSD-INIZCTEOSA-N 0 0 425.559 -0.288 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000518525626 1072066968 /nfs/dbraw/zinc/06/69/68/1072066968.db2.gz XXBXAPGVMIAUSD-MRXNPFEDSA-N 0 0 425.559 -0.288 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CC1 ZINC000518526618 1072066947 /nfs/dbraw/zinc/06/69/47/1072066947.db2.gz KOHANALAYFJUIV-KRWDZBQOSA-N 0 0 442.480 -0.155 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CC1 ZINC000518526619 1072066777 /nfs/dbraw/zinc/06/67/77/1072066777.db2.gz KOHANALAYFJUIV-QGZVFWFLSA-N 0 0 442.480 -0.155 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000518534366 1072066115 /nfs/dbraw/zinc/06/61/15/1072066115.db2.gz CGZWBWKWGDTLES-ARFHVFGLSA-N 0 0 449.595 -0.410 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000518534367 1072066071 /nfs/dbraw/zinc/06/60/71/1072066071.db2.gz CGZWBWKWGDTLES-BZUAXINKSA-N 0 0 449.595 -0.410 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000518534369 1072066368 /nfs/dbraw/zinc/06/63/68/1072066368.db2.gz CGZWBWKWGDTLES-HRCADAONSA-N 0 0 449.595 -0.410 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000518534371 1072066803 /nfs/dbraw/zinc/06/68/03/1072066803.db2.gz CGZWBWKWGDTLES-OWCLPIDISA-N 0 0 449.595 -0.410 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)c1 ZINC000518541363 1072067420 /nfs/dbraw/zinc/06/74/20/1072067420.db2.gz DNZHTCLCDDDBTM-UHFFFAOYSA-N 0 0 430.490 -0.359 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC2(CC1)CCS(=O)(=O)CC2 ZINC000518543133 1072067271 /nfs/dbraw/zinc/06/72/71/1072067271.db2.gz CHSZXVQSJOBMHO-KRWDZBQOSA-N 0 0 427.567 -0.016 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC2(CC1)CCS(=O)(=O)CC2 ZINC000518543134 1072067489 /nfs/dbraw/zinc/06/74/89/1072067489.db2.gz CHSZXVQSJOBMHO-QGZVFWFLSA-N 0 0 427.567 -0.016 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCC(=O)N(C)C ZINC000518544132 1072067408 /nfs/dbraw/zinc/06/74/08/1072067408.db2.gz XEZXTTYCXQXEMJ-UHFFFAOYSA-N 0 0 440.522 -0.061 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000518548249 1072067231 /nfs/dbraw/zinc/06/72/31/1072067231.db2.gz UNDJTXJIBCEMHF-UHFFFAOYSA-N 0 0 434.478 -0.770 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000518552186 1072068447 /nfs/dbraw/zinc/06/84/47/1072068447.db2.gz WJXXYKULNCAMLU-UHFFFAOYSA-N 0 0 441.492 -0.034 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCCN3CCS(=O)(=O)CC3)n(C)c2)C1 ZINC000518553305 1072068474 /nfs/dbraw/zinc/06/84/74/1072068474.db2.gz NLLNXLLPIDRRBK-HNNXBMFYSA-N 0 0 446.595 -0.094 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCCN3CCS(=O)(=O)CC3)n(C)c2)C1 ZINC000518553306 1072068496 /nfs/dbraw/zinc/06/84/96/1072068496.db2.gz NLLNXLLPIDRRBK-OAHLLOKOSA-N 0 0 446.595 -0.094 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)cc1C ZINC000518553928 1074356639 /nfs/dbraw/zinc/35/66/39/1074356639.db2.gz OZEYBYZKTRVRDK-UHFFFAOYSA-N 0 0 448.505 -0.626 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)C1=O ZINC000518555294 1072068592 /nfs/dbraw/zinc/06/85/92/1072068592.db2.gz RYORJVMVEWKXHU-LLVKDONJSA-N 0 0 430.387 -0.295 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)C1=O ZINC000518555298 1072069203 /nfs/dbraw/zinc/06/92/03/1072069203.db2.gz RYORJVMVEWKXHU-NSHDSACASA-N 0 0 430.387 -0.295 20 0 IBADRN COc1ccc(C[C@]2(C)NC(=O)N(CC(=O)N(C)CC(=O)N3CCOCC3)C2=O)cc1 ZINC000518556417 1072069183 /nfs/dbraw/zinc/06/91/83/1072069183.db2.gz HWBIVDGYFCHNKL-NRFANRHFSA-N 0 0 432.477 -0.135 20 0 IBADRN COc1ccc(C[C@@]2(C)NC(=O)N(CC(=O)N(C)CC(=O)N3CCOCC3)C2=O)cc1 ZINC000518556418 1072069114 /nfs/dbraw/zinc/06/91/14/1072069114.db2.gz HWBIVDGYFCHNKL-OAQYLSRUSA-N 0 0 432.477 -0.135 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1F ZINC000518563764 1072067953 /nfs/dbraw/zinc/06/79/53/1072067953.db2.gz QWUJPMBJGBZPFF-UHFFFAOYSA-N 0 0 438.456 -0.015 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000518571196 1072070074 /nfs/dbraw/zinc/07/00/74/1072070074.db2.gz VEKKPPSJQRXIKQ-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C[C@H](C)O1 ZINC000518573434 1072070127 /nfs/dbraw/zinc/07/01/27/1072070127.db2.gz QFSDYMSKQWCWCJ-CALCHBBNSA-N 0 0 425.574 -0.387 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C[C@@H](C)O1 ZINC000518573435 1072070146 /nfs/dbraw/zinc/07/01/46/1072070146.db2.gz QFSDYMSKQWCWCJ-IAGOWNOFSA-N 0 0 425.574 -0.387 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C[C@H](C)O1 ZINC000518573436 1071023129 /nfs/dbraw/zinc/02/31/29/1071023129.db2.gz QFSDYMSKQWCWCJ-IRXDYDNUSA-N 0 0 425.574 -0.387 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCc2cc[nH]c(=O)c2C1 ZINC000518575459 1072070088 /nfs/dbraw/zinc/07/00/88/1072070088.db2.gz XDXSTBGJKUEOGO-UHFFFAOYSA-N 0 0 437.456 -0.440 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000518576119 1071023365 /nfs/dbraw/zinc/02/33/65/1071023365.db2.gz JUDONWPUJCOKIT-UHFFFAOYSA-N 0 0 443.547 -0.182 20 0 IBADRN Cc1c(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)nnn1-c1ccn(C)n1 ZINC000518577269 1072070170 /nfs/dbraw/zinc/07/01/70/1072070170.db2.gz MKMBUPYFWRMSAI-UHFFFAOYSA-N 0 0 438.496 -0.102 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000518578088 1072070640 /nfs/dbraw/zinc/07/06/40/1072070640.db2.gz PTQREGDQKKJSNO-UHFFFAOYSA-N 0 0 438.506 -0.823 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)C2)cn1 ZINC000518578479 1072070769 /nfs/dbraw/zinc/07/07/69/1072070769.db2.gz MOJJLUPOYNMHTA-HNNXBMFYSA-N 0 0 430.513 -0.594 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)C2)cn1 ZINC000518578480 1072070690 /nfs/dbraw/zinc/07/06/90/1072070690.db2.gz MOJJLUPOYNMHTA-OAHLLOKOSA-N 0 0 430.513 -0.594 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c(OCC(=O)N(C)C)c1 ZINC000518579451 1072070728 /nfs/dbraw/zinc/07/07/28/1072070728.db2.gz BTHQJEVMUQHHPB-UHFFFAOYSA-N 0 0 433.509 -0.319 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCc3cnn(C)c3)CC2)cn1C ZINC000518584514 1072071242 /nfs/dbraw/zinc/07/12/42/1072071242.db2.gz DDLFBKYEEJFLEG-UHFFFAOYSA-N 0 0 437.526 -0.956 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1 ZINC000518585600 1072071743 /nfs/dbraw/zinc/07/17/43/1072071743.db2.gz FCGFLRUFUCMLSF-CYBMUJFWSA-N 0 0 429.520 -0.368 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)[C@@H]1CCOC1 ZINC000518586531 1072071352 /nfs/dbraw/zinc/07/13/52/1072071352.db2.gz XVPXBGDJBPBKOE-CYBMUJFWSA-N 0 0 427.479 -0.098 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)[C@H]1CCOC1 ZINC000518586532 1072071277 /nfs/dbraw/zinc/07/12/77/1072071277.db2.gz XVPXBGDJBPBKOE-ZDUSSCGKSA-N 0 0 427.479 -0.098 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(C(F)(F)F)cc2)cn1 ZINC000518586640 1072071697 /nfs/dbraw/zinc/07/16/97/1072071697.db2.gz GVPUVIPARLMNRU-GFCCVEGCSA-N 0 0 429.355 -0.118 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2ccc(C(F)(F)F)cc2)cn1 ZINC000518586641 1072071781 /nfs/dbraw/zinc/07/17/81/1072071781.db2.gz GVPUVIPARLMNRU-LBPRGKRZSA-N 0 0 429.355 -0.118 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000518588120 1072070677 /nfs/dbraw/zinc/07/06/77/1072070677.db2.gz VHXJMUQMAQSHBD-DOMZBBRYSA-N 0 0 426.495 -0.325 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000518588121 1072070749 /nfs/dbraw/zinc/07/07/49/1072070749.db2.gz VHXJMUQMAQSHBD-IUODEOHRSA-N 0 0 426.495 -0.325 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000518588122 1072070703 /nfs/dbraw/zinc/07/07/03/1072070703.db2.gz VHXJMUQMAQSHBD-SWLSCSKDSA-N 0 0 426.495 -0.325 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000518588123 1072070760 /nfs/dbraw/zinc/07/07/60/1072070760.db2.gz VHXJMUQMAQSHBD-WFASDCNBSA-N 0 0 426.495 -0.325 20 0 IBADRN CN(Cc1ccc2c(c1)OCCO2)C(=O)C(=O)NCCCC[NH+]1CCN(CCO)CC1 ZINC000518589129 1072070600 /nfs/dbraw/zinc/07/06/00/1072070600.db2.gz SDXVUPKOEOMWSX-UHFFFAOYSA-N 0 0 434.537 -0.078 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000518590837 1071025140 /nfs/dbraw/zinc/02/51/40/1071025140.db2.gz FERFYYXYHDUXIC-CYBMUJFWSA-N 0 0 425.511 -0.798 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000518590838 1071024884 /nfs/dbraw/zinc/02/48/84/1071024884.db2.gz FERFYYXYHDUXIC-ZDUSSCGKSA-N 0 0 425.511 -0.798 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)CC1 ZINC000518591021 1072070651 /nfs/dbraw/zinc/07/06/51/1072070651.db2.gz NOXKALCFHGNWES-UHFFFAOYSA-N 0 0 433.509 -0.319 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000518592135 1072071268 /nfs/dbraw/zinc/07/12/68/1072071268.db2.gz NZEQRJMDNBVZBT-HNNXBMFYSA-N 0 0 434.522 -0.037 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000518592136 1072071393 /nfs/dbraw/zinc/07/13/93/1072071393.db2.gz NZEQRJMDNBVZBT-OAHLLOKOSA-N 0 0 434.522 -0.037 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)cn1 ZINC000518596296 1072071166 /nfs/dbraw/zinc/07/11/66/1072071166.db2.gz YPINQNRXUFPQPG-UHFFFAOYSA-N 0 0 445.509 -0.982 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c(OCC(=O)N(C)C)c1 ZINC000518596336 1072071233 /nfs/dbraw/zinc/07/12/33/1072071233.db2.gz SCDXXBZTRTVITC-UHFFFAOYSA-N 0 0 426.495 -0.496 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)C1=O ZINC000518596867 1072071206 /nfs/dbraw/zinc/07/12/06/1072071206.db2.gz RFVSYZZJJSABLO-UHFFFAOYSA-N 0 0 426.481 -0.019 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CNC(=O)c2cnc3ccccc3c2O)CC1 ZINC000518599240 1071025566 /nfs/dbraw/zinc/02/55/66/1071025566.db2.gz YCIYZYWSDFJQLF-UHFFFAOYSA-N 0 0 427.461 -0.545 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCCS1 ZINC000518600929 1072071682 /nfs/dbraw/zinc/07/16/82/1072071682.db2.gz BDQRAASXRRRKNK-KRWDZBQOSA-N 0 0 444.535 -0.387 20 0 IBADRN C[C@]1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCCS1 ZINC000518600930 1072071848 /nfs/dbraw/zinc/07/18/48/1072071848.db2.gz BDQRAASXRRRKNK-QGZVFWFLSA-N 0 0 444.535 -0.387 20 0 IBADRN O=C(CN1CCC(NC(=O)c2ccsc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000518601502 1072071795 /nfs/dbraw/zinc/07/17/95/1072071795.db2.gz NBSLXVHMWCIRBI-AWEZNQCLSA-N 0 0 428.536 -0.045 20 0 IBADRN O=C(CN1CCC(NC(=O)c2ccsc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000518601503 1072071814 /nfs/dbraw/zinc/07/18/14/1072071814.db2.gz NBSLXVHMWCIRBI-CQSZACIVSA-N 0 0 428.536 -0.045 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](CO)C1CCOCC1)CC2 ZINC000518602639 1072072232 /nfs/dbraw/zinc/07/22/32/1072072232.db2.gz YBRXSZIVMZMSTR-INIZCTEOSA-N 0 0 425.507 -0.222 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](CO)C1CCOCC1)CC2 ZINC000518602640 1072072178 /nfs/dbraw/zinc/07/21/78/1072072178.db2.gz YBRXSZIVMZMSTR-MRXNPFEDSA-N 0 0 425.507 -0.222 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1=O ZINC000518604252 1072072362 /nfs/dbraw/zinc/07/23/62/1072072362.db2.gz SMGNWOBUAAMTPB-INIZCTEOSA-N 0 0 442.524 -0.072 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1=O ZINC000518604253 1072072238 /nfs/dbraw/zinc/07/22/38/1072072238.db2.gz SMGNWOBUAAMTPB-MRXNPFEDSA-N 0 0 442.524 -0.072 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2cccc(NS(C)(=O)=O)c2)CC1 ZINC000518609671 1072071707 /nfs/dbraw/zinc/07/17/07/1072071707.db2.gz WHORKLSMADCYSS-UHFFFAOYSA-N 0 0 425.511 -0.062 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000518610124 1072071836 /nfs/dbraw/zinc/07/18/36/1072071836.db2.gz DRNNZSMGUXJETE-UHFFFAOYSA-N 0 0 446.551 -0.636 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000518612169 1072071717 /nfs/dbraw/zinc/07/17/17/1072071717.db2.gz FBOTVABDNPPYIF-CVEARBPZSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000518612170 1072071820 /nfs/dbraw/zinc/07/18/20/1072071820.db2.gz FBOTVABDNPPYIF-HOTGVXAUSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000518612171 1072071854 /nfs/dbraw/zinc/07/18/54/1072071854.db2.gz FBOTVABDNPPYIF-HZPDHXFCSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000518612172 1072071790 /nfs/dbraw/zinc/07/17/90/1072071790.db2.gz FBOTVABDNPPYIF-JKSUJKDBSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000518613623 1072072865 /nfs/dbraw/zinc/07/28/65/1072072865.db2.gz SUWPDFSZEYWELZ-CVEARBPZSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000518613624 1072072732 /nfs/dbraw/zinc/07/27/32/1072072732.db2.gz SUWPDFSZEYWELZ-HOTGVXAUSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000518613625 1072072805 /nfs/dbraw/zinc/07/28/05/1072072805.db2.gz SUWPDFSZEYWELZ-HZPDHXFCSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000518613626 1072072830 /nfs/dbraw/zinc/07/28/30/1072072830.db2.gz SUWPDFSZEYWELZ-JKSUJKDBSA-N 0 0 438.485 -0.334 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000518614027 1072072761 /nfs/dbraw/zinc/07/27/61/1072072761.db2.gz BAYLYLIDORNBQL-UHFFFAOYSA-N 0 0 438.506 -0.636 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CSCN1C(=O)c1ccccc1 ZINC000518615086 1072072879 /nfs/dbraw/zinc/07/28/79/1072072879.db2.gz XEWSFLZRKZGGBB-CABCVRRESA-N 0 0 425.532 -0.037 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CSCN1C(=O)c1ccccc1 ZINC000518615087 1072073371 /nfs/dbraw/zinc/07/33/71/1072073371.db2.gz XEWSFLZRKZGGBB-GJZGRUSLSA-N 0 0 425.532 -0.037 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CSCN1C(=O)c1ccccc1 ZINC000518615088 1072073224 /nfs/dbraw/zinc/07/32/24/1072073224.db2.gz XEWSFLZRKZGGBB-HUUCEWRRSA-N 0 0 425.532 -0.037 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CSCN1C(=O)c1ccccc1 ZINC000518615089 1072073365 /nfs/dbraw/zinc/07/33/65/1072073365.db2.gz XEWSFLZRKZGGBB-LSDHHAIUSA-N 0 0 425.532 -0.037 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)C1CCOCC1 ZINC000518616673 1072073305 /nfs/dbraw/zinc/07/33/05/1072073305.db2.gz YAMGQQPZGPMNPD-HNNXBMFYSA-N 0 0 429.495 -0.019 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)C1CCOCC1 ZINC000518616674 1072073259 /nfs/dbraw/zinc/07/32/59/1072073259.db2.gz YAMGQQPZGPMNPD-OAHLLOKOSA-N 0 0 429.495 -0.019 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CCOCC1 ZINC000518618088 1072073430 /nfs/dbraw/zinc/07/34/30/1072073430.db2.gz DPSOUNKGDVROQL-CYBMUJFWSA-N 0 0 441.462 -0.426 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CCOCC1 ZINC000518618089 1072073244 /nfs/dbraw/zinc/07/32/44/1072073244.db2.gz DPSOUNKGDVROQL-ZDUSSCGKSA-N 0 0 441.462 -0.426 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCO[C@@H](Cn3cccn3)C2)C1=O ZINC000518619413 1072073380 /nfs/dbraw/zinc/07/33/80/1072073380.db2.gz UDUJHINYOLOVHJ-CVEARBPZSA-N 0 0 429.481 -0.361 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCO[C@H](Cn3cccn3)C2)C1=O ZINC000518619414 1072073343 /nfs/dbraw/zinc/07/33/43/1072073343.db2.gz UDUJHINYOLOVHJ-HOTGVXAUSA-N 0 0 429.481 -0.361 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCO[C@@H](Cn3cccn3)C2)C1=O ZINC000518619415 1072073419 /nfs/dbraw/zinc/07/34/19/1072073419.db2.gz UDUJHINYOLOVHJ-HZPDHXFCSA-N 0 0 429.481 -0.361 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCO[C@H](Cn3cccn3)C2)C1=O ZINC000518619416 1072073328 /nfs/dbraw/zinc/07/33/28/1072073328.db2.gz UDUJHINYOLOVHJ-JKSUJKDBSA-N 0 0 429.481 -0.361 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H](Cc1ccccc1Cl)C(N)=O)c(=O)n2C ZINC000518620487 1072073437 /nfs/dbraw/zinc/07/34/37/1072073437.db2.gz SMOQJUDYMRIFLU-GFCCVEGCSA-N 0 0 432.868 -0.453 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H](Cc1ccccc1Cl)C(N)=O)c(=O)n2C ZINC000518620488 1072073408 /nfs/dbraw/zinc/07/34/08/1072073408.db2.gz SMOQJUDYMRIFLU-LBPRGKRZSA-N 0 0 432.868 -0.453 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H](Cc3ccccc3Cl)C(N)=O)c2c(=O)n(C)c1=O ZINC000518620841 1072073235 /nfs/dbraw/zinc/07/32/35/1072073235.db2.gz YQHOTJVZYSTXIE-CYBMUJFWSA-N 0 0 446.895 -0.062 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H](Cc3ccccc3Cl)C(N)=O)c2c(=O)n(C)c1=O ZINC000518620845 1072073354 /nfs/dbraw/zinc/07/33/54/1072073354.db2.gz YQHOTJVZYSTXIE-ZDUSSCGKSA-N 0 0 446.895 -0.062 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)s1 ZINC000518621879 1072073858 /nfs/dbraw/zinc/07/38/58/1072073858.db2.gz BEGNFEYNIODHTQ-UHFFFAOYSA-N 0 0 430.552 -0.214 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1 ZINC000518627831 1072072912 /nfs/dbraw/zinc/07/29/12/1072072912.db2.gz KANWARJXRXUWQO-UHFFFAOYSA-N 0 0 429.477 -0.539 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000518629255 1072072789 /nfs/dbraw/zinc/07/27/89/1072072789.db2.gz SCBVONMCEFYZNI-AWEZNQCLSA-N 0 0 431.536 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000518629256 1072072841 /nfs/dbraw/zinc/07/28/41/1072072841.db2.gz SCBVONMCEFYZNI-CQSZACIVSA-N 0 0 431.536 -0.575 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000518632911 1072074854 /nfs/dbraw/zinc/07/48/54/1072074854.db2.gz BKUYIACFAZCXKH-UHFFFAOYSA-N 0 0 448.476 -0.236 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c2ccccc2O1 ZINC000518634741 1072074877 /nfs/dbraw/zinc/07/48/77/1072074877.db2.gz YPDFPOYXYLSXKD-KRWDZBQOSA-N 0 0 446.460 -0.849 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c2ccccc2O1 ZINC000518634745 1072074984 /nfs/dbraw/zinc/07/49/84/1072074984.db2.gz YPDFPOYXYLSXKD-QGZVFWFLSA-N 0 0 446.460 -0.849 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(C(=O)NC(C)C)cc2)CC1 ZINC000518635257 1072074940 /nfs/dbraw/zinc/07/49/40/1072074940.db2.gz DZIPOPUMTRCMFO-UHFFFAOYSA-N 0 0 431.493 -0.040 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000518635771 1072075514 /nfs/dbraw/zinc/07/55/14/1072075514.db2.gz BPXFBSLEULIQGL-KBPBESRZSA-N 0 0 431.536 -0.576 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000518635772 1072075527 /nfs/dbraw/zinc/07/55/27/1072075527.db2.gz BPXFBSLEULIQGL-UONOGXRCSA-N 0 0 431.536 -0.576 20 0 IBADRN Cc1c(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)nnn1-c1ccccc1 ZINC000518635806 1072074867 /nfs/dbraw/zinc/07/48/67/1072074867.db2.gz GMUHJCAZPRYGTH-UHFFFAOYSA-N 0 0 434.522 -0.161 20 0 IBADRN O=C(CNC(=O)C1CCN(C(=O)COc2ccccc2)CC1)NCCN1CCOCC1 ZINC000518640971 1072074327 /nfs/dbraw/zinc/07/43/27/1072074327.db2.gz DOLAIWTUZKWTHC-UHFFFAOYSA-N 0 0 432.521 -0.131 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000518641496 1072074271 /nfs/dbraw/zinc/07/42/71/1072074271.db2.gz ANGAFLRZNLCVPJ-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN O=C(CNC(=O)C1CCN(S(=O)(=O)c2ccccc2)CC1)NCCN1CCOCC1 ZINC000518641558 1072074367 /nfs/dbraw/zinc/07/43/67/1072074367.db2.gz HZIAXJHBIAJNAT-UHFFFAOYSA-N 0 0 438.550 -0.348 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCCCN3CCN(c4ncccn4)CC3)nc2c(=O)[nH]c1=O ZINC000518642639 1072075449 /nfs/dbraw/zinc/07/54/49/1072075449.db2.gz DWKJEMLYTNZMDR-UHFFFAOYSA-N 0 0 441.496 -0.587 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(CC(=O)N4CCCCC4)CC3)nc2c(=O)[nH]c1=O ZINC000518642883 1072075570 /nfs/dbraw/zinc/07/55/70/1072075570.db2.gz VJCPOWCJSIVUIW-UHFFFAOYSA-N 0 0 431.497 -0.549 20 0 IBADRN O=C([C@H]1CCC(=O)N1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000518644593 1072075433 /nfs/dbraw/zinc/07/54/33/1072075433.db2.gz CZQWKYRXYVZYDA-LLVKDONJSA-N 0 0 442.881 -0.218 20 0 IBADRN O=C([C@@H]1CCC(=O)N1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000518644594 1072075556 /nfs/dbraw/zinc/07/55/56/1072075556.db2.gz CZQWKYRXYVZYDA-NSHDSACASA-N 0 0 442.881 -0.218 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCCN3C(=O)CNC3=O)CC2)cc1 ZINC000518656472 1072076383 /nfs/dbraw/zinc/07/63/83/1072076383.db2.gz XNCDXPSQCDGASL-UHFFFAOYSA-N 0 0 439.494 -0.347 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCCN2C(=O)CNC2=O)C1 ZINC000518658026 1072077354 /nfs/dbraw/zinc/07/73/54/1072077354.db2.gz FLOJOUAGZVTGPR-CYBMUJFWSA-N 0 0 427.483 -0.250 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCCN2C(=O)CNC2=O)C1 ZINC000518658027 1072077497 /nfs/dbraw/zinc/07/74/97/1072077497.db2.gz FLOJOUAGZVTGPR-ZDUSSCGKSA-N 0 0 427.483 -0.250 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000518665239 1072078462 /nfs/dbraw/zinc/07/84/62/1072078462.db2.gz HBKZAHNDJXHXGN-AWEZNQCLSA-N 0 0 445.567 -0.410 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000518665240 1072078363 /nfs/dbraw/zinc/07/83/63/1072078363.db2.gz HBKZAHNDJXHXGN-CQSZACIVSA-N 0 0 445.567 -0.410 20 0 IBADRN O=C(CN1CCN(C(=O)NCCCN2C(=O)CNC2=O)CC1)N1CCc2ccccc21 ZINC000518666160 1072078313 /nfs/dbraw/zinc/07/83/13/1072078313.db2.gz ZYVBNJAYUGLIQW-UHFFFAOYSA-N 0 0 428.493 -0.155 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)ccc1C ZINC000518666596 1072078386 /nfs/dbraw/zinc/07/83/86/1072078386.db2.gz NVGCQDZNNLEJPM-CYBMUJFWSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)ccc1C ZINC000518666597 1072078303 /nfs/dbraw/zinc/07/83/03/1072078303.db2.gz NVGCQDZNNLEJPM-ZDUSSCGKSA-N 0 0 432.524 -0.618 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000518667972 1072077041 /nfs/dbraw/zinc/07/70/41/1072077041.db2.gz PCCYMWDAESHXCP-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000518667973 1072076850 /nfs/dbraw/zinc/07/68/50/1072076850.db2.gz PCCYMWDAESHXCP-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN COCCOCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000518668743 1071031400 /nfs/dbraw/zinc/03/14/00/1071031400.db2.gz KOSFZOQBDTWGIQ-UHFFFAOYSA-N 0 0 429.495 -0.266 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000518669618 1072076935 /nfs/dbraw/zinc/07/69/35/1072076935.db2.gz HXOVZBKPODMFJP-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000518669620 1072076861 /nfs/dbraw/zinc/07/68/61/1072076861.db2.gz HXOVZBKPODMFJP-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000518669745 1072077018 /nfs/dbraw/zinc/07/70/18/1072077018.db2.gz KXBRCOJQQARDPD-AWEZNQCLSA-N 0 0 426.389 -0.114 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)OCO2)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000518669748 1072077059 /nfs/dbraw/zinc/07/70/59/1072077059.db2.gz KXBRCOJQQARDPD-CQSZACIVSA-N 0 0 426.389 -0.114 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000518670855 1072076900 /nfs/dbraw/zinc/07/69/00/1072076900.db2.gz MOUFNZLCBRZRND-CYBMUJFWSA-N 0 0 433.490 -0.179 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000518670856 1072077346 /nfs/dbraw/zinc/07/73/46/1072077346.db2.gz MOUFNZLCBRZRND-ZDUSSCGKSA-N 0 0 433.490 -0.179 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCN2C(=O)CNC2=O)c2ccccc2O1 ZINC000518671681 1072077544 /nfs/dbraw/zinc/07/75/44/1072077544.db2.gz FBWGVLDWRBQAOH-HNNXBMFYSA-N 0 0 431.449 -0.635 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCCN2C(=O)CNC2=O)c2ccccc2O1 ZINC000518671682 1072077406 /nfs/dbraw/zinc/07/74/06/1072077406.db2.gz FBWGVLDWRBQAOH-OAHLLOKOSA-N 0 0 431.449 -0.635 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCOCC3CC3)CC2)cc1 ZINC000518673706 1072079321 /nfs/dbraw/zinc/07/93/21/1072079321.db2.gz CRHHJHUTBAVQEO-UHFFFAOYSA-N 0 0 446.551 -0.224 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cccc(NC(=O)[C@@H]4CCCO4)c3)c2c(=O)n(C)c1=O ZINC000518675123 1072079299 /nfs/dbraw/zinc/07/92/99/1072079299.db2.gz FIYLUEABIWZTNX-HNNXBMFYSA-N 0 0 440.460 -0.132 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cccc(NC(=O)[C@H]4CCCO4)c3)c2c(=O)n(C)c1=O ZINC000518675124 1072079368 /nfs/dbraw/zinc/07/93/68/1072079368.db2.gz FIYLUEABIWZTNX-OAHLLOKOSA-N 0 0 440.460 -0.132 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC2)cc1 ZINC000518684236 1072078814 /nfs/dbraw/zinc/07/88/14/1072078814.db2.gz OACZOUYMDMANBH-CYBMUJFWSA-N 0 0 447.535 -0.366 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC2)cc1 ZINC000518684237 1072078966 /nfs/dbraw/zinc/07/89/66/1072078966.db2.gz OACZOUYMDMANBH-ZDUSSCGKSA-N 0 0 447.535 -0.366 20 0 IBADRN Cn1c(C(=O)Nc2cc(C(=O)NCCC(N)=O)ccc2F)cc2c1n(C)c(=O)n(C)c2=O ZINC000518688698 1072079802 /nfs/dbraw/zinc/07/98/02/1072079802.db2.gz LLPVQRWTJAOQPC-UHFFFAOYSA-N 0 0 444.423 -0.428 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H]3CC(=O)N(C)C3)CC2)cc1 ZINC000518693325 1072079883 /nfs/dbraw/zinc/07/98/83/1072079883.db2.gz HVMKYVKAIARUGP-INIZCTEOSA-N 0 0 436.534 -0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3CC(=O)N(C)C3)CC2)cc1 ZINC000518693326 1072080313 /nfs/dbraw/zinc/08/03/13/1072080313.db2.gz HVMKYVKAIARUGP-MRXNPFEDSA-N 0 0 436.534 -0.045 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000518704547 1072081567 /nfs/dbraw/zinc/08/15/67/1072081567.db2.gz QUDXWULJIAMCQL-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN CCOc1ccc(NC(=O)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000518705449 1072081618 /nfs/dbraw/zinc/08/16/18/1072081618.db2.gz CZMJMLTYRHQQAX-UHFFFAOYSA-N 0 0 428.449 -0.023 20 0 IBADRN CN1C[C@H](NC(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)CC1=O ZINC000518706082 1072082068 /nfs/dbraw/zinc/08/20/68/1072082068.db2.gz OGKRJJFNCMIKGW-GFCCVEGCSA-N 0 0 434.474 -0.078 20 0 IBADRN CN1C[C@@H](NC(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)CC1=O ZINC000518706083 1072082126 /nfs/dbraw/zinc/08/21/26/1072082126.db2.gz OGKRJJFNCMIKGW-LBPRGKRZSA-N 0 0 434.474 -0.078 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)C1 ZINC000518707925 1072082032 /nfs/dbraw/zinc/08/20/32/1072082032.db2.gz DAKVBDVYESXCTK-CVEARBPZSA-N 0 0 429.525 -0.031 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)C1 ZINC000518707926 1072082079 /nfs/dbraw/zinc/08/20/79/1072082079.db2.gz DAKVBDVYESXCTK-HOTGVXAUSA-N 0 0 429.525 -0.031 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)C1 ZINC000518707927 1072082001 /nfs/dbraw/zinc/08/20/01/1072082001.db2.gz DAKVBDVYESXCTK-HZPDHXFCSA-N 0 0 429.525 -0.031 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)C1 ZINC000518707928 1072082139 /nfs/dbraw/zinc/08/21/39/1072082139.db2.gz DAKVBDVYESXCTK-JKSUJKDBSA-N 0 0 429.525 -0.031 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000518712982 1072081126 /nfs/dbraw/zinc/08/11/26/1072081126.db2.gz KGAOHAIBJZDBEX-CABCVRRESA-N 0 0 441.554 -0.915 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000518712983 1072081095 /nfs/dbraw/zinc/08/10/95/1072081095.db2.gz KGAOHAIBJZDBEX-GJZGRUSLSA-N 0 0 441.554 -0.915 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000518712984 1072081166 /nfs/dbraw/zinc/08/11/66/1072081166.db2.gz KGAOHAIBJZDBEX-HUUCEWRRSA-N 0 0 441.554 -0.915 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000518712985 1072081078 /nfs/dbraw/zinc/08/10/78/1072081078.db2.gz KGAOHAIBJZDBEX-LSDHHAIUSA-N 0 0 441.554 -0.915 20 0 IBADRN C[C@@H](c1cccnc1)N1C(=O)C[C@@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000518713794 1072081677 /nfs/dbraw/zinc/08/16/77/1072081677.db2.gz GAKYOKJUEVPPFK-DOTOQJQBSA-N 0 0 437.522 -0.535 20 0 IBADRN C[C@H](c1cccnc1)N1C(=O)C[C@@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000518713795 1072081689 /nfs/dbraw/zinc/08/16/89/1072081689.db2.gz GAKYOKJUEVPPFK-NVXWUHKLSA-N 0 0 437.522 -0.535 20 0 IBADRN C[C@@H](c1cccnc1)N1C(=O)C[C@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000518713796 1072081577 /nfs/dbraw/zinc/08/15/77/1072081577.db2.gz GAKYOKJUEVPPFK-RDJZCZTQSA-N 0 0 437.522 -0.535 20 0 IBADRN C[C@H](c1cccnc1)N1C(=O)C[C@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000518713797 1072081652 /nfs/dbraw/zinc/08/16/52/1072081652.db2.gz GAKYOKJUEVPPFK-WBVHZDCISA-N 0 0 437.522 -0.535 20 0 IBADRN COC(=O)c1ccc(OCCNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000518718815 1072082431 /nfs/dbraw/zinc/08/24/31/1072082431.db2.gz JSPJOVKPKJBNNP-UHFFFAOYSA-N 0 0 446.460 -0.145 20 0 IBADRN COC(=O)c1ccc(OCCNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cc1 ZINC000518719788 1072082493 /nfs/dbraw/zinc/08/24/93/1072082493.db2.gz HPPXYFRNMKLVIW-UHFFFAOYSA-N 0 0 441.444 -0.062 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000518720313 1072082480 /nfs/dbraw/zinc/08/24/80/1072082480.db2.gz MMTWWLQIYNVLAX-AWEZNQCLSA-N 0 0 438.462 -0.908 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000518720317 1072082394 /nfs/dbraw/zinc/08/23/94/1072082394.db2.gz MMTWWLQIYNVLAX-CQSZACIVSA-N 0 0 438.462 -0.908 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000518725016 1072083062 /nfs/dbraw/zinc/08/30/62/1072083062.db2.gz XBMAXLKWMGEURA-GOSISDBHSA-N 0 0 428.599 -0.102 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000518725017 1072083028 /nfs/dbraw/zinc/08/30/28/1072083028.db2.gz XBMAXLKWMGEURA-SFHVURJKSA-N 0 0 428.599 -0.102 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000518727026 1072083040 /nfs/dbraw/zinc/08/30/40/1072083040.db2.gz GKWFEFFDRFKYGR-UHFFFAOYSA-N 0 0 445.523 -0.531 20 0 IBADRN O=C(CN1CCCNC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518727040 1072083046 /nfs/dbraw/zinc/08/30/46/1072083046.db2.gz HGIMAQZIPFQMGW-UHFFFAOYSA-N 0 0 437.478 -0.734 20 0 IBADRN CS(=O)(=O)CCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518730307 1072082449 /nfs/dbraw/zinc/08/24/49/1072082449.db2.gz YFRQSPIUXNMTEO-UHFFFAOYSA-N 0 0 445.519 -0.325 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CCN(c2cccnn2)CC1 ZINC000518730570 1072082930 /nfs/dbraw/zinc/08/29/30/1072082930.db2.gz MTTIBKXKCNOABG-UHFFFAOYSA-N 0 0 443.508 -0.114 20 0 IBADRN Cn1cccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)c1=O ZINC000518735020 1072083880 /nfs/dbraw/zinc/08/38/80/1072083880.db2.gz ODAFPSXLMRESJV-UHFFFAOYSA-N 0 0 432.458 -0.137 20 0 IBADRN O=C(NC[C@@H]1COCCO1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518736320 1072083824 /nfs/dbraw/zinc/08/38/24/1072083824.db2.gz MZYNRUHDFCCPQT-CYBMUJFWSA-N 0 0 440.478 -0.551 20 0 IBADRN O=C(NC[C@H]1COCCO1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518736321 1072083901 /nfs/dbraw/zinc/08/39/01/1072083901.db2.gz MZYNRUHDFCCPQT-ZDUSSCGKSA-N 0 0 440.478 -0.551 20 0 IBADRN CCN(C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)S(C)(=O)=O ZINC000518736322 1072083834 /nfs/dbraw/zinc/08/38/34/1072083834.db2.gz NBTCYPOOGKCVST-UHFFFAOYSA-N 0 0 425.554 -0.231 20 0 IBADRN CC(C)NC(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518737326 1072083440 /nfs/dbraw/zinc/08/34/40/1072083440.db2.gz SKEDHOPOKIPDKW-UHFFFAOYSA-N 0 0 439.494 -0.442 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)ccc1=O ZINC000518744546 1072083797 /nfs/dbraw/zinc/08/37/97/1072083797.db2.gz XTMNKGNAVRISPL-UHFFFAOYSA-N 0 0 432.458 -0.137 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCNC(=O)c2ccc(F)cc2)CC1 ZINC000518748015 1072084262 /nfs/dbraw/zinc/08/42/62/1072084262.db2.gz CLGKXBPEKIUKOT-UHFFFAOYSA-N 0 0 433.440 -0.442 20 0 IBADRN O=C(NCCn1cncn1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000518751568 1071203874 /nfs/dbraw/zinc/20/38/74/1071203874.db2.gz YNAVYPXEMMAQPE-UHFFFAOYSA-N 0 0 435.466 -0.675 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000518752572 1072084239 /nfs/dbraw/zinc/08/42/39/1072084239.db2.gz UVCBXGHQYUIGPN-UHFFFAOYSA-N 0 0 438.510 -0.090 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccc(F)cc2)c1 ZINC000518754130 1071275426 /nfs/dbraw/zinc/27/54/26/1071275426.db2.gz FAFNZZHAOFHSMI-UHFFFAOYSA-N 0 0 429.408 -0.475 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)Nc3cccc(OCC(N)=O)c3)CC2)CC1 ZINC000518756509 1072084319 /nfs/dbraw/zinc/08/43/19/1072084319.db2.gz BKRWCTSVMXXDFE-UHFFFAOYSA-N 0 0 445.520 -0.108 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)Nc3ccc(OCC(N)=O)cc3)CC2)CC1 ZINC000518756551 1072084273 /nfs/dbraw/zinc/08/42/73/1072084273.db2.gz BZXKESGKLXMIFA-UHFFFAOYSA-N 0 0 445.520 -0.108 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CCNS(C)(=O)=O)CC2)c1 ZINC000518763710 1072084692 /nfs/dbraw/zinc/08/46/92/1072084692.db2.gz MBBJOFVTVZHCIA-UHFFFAOYSA-N 0 0 439.537 -0.693 20 0 IBADRN Cn1c(C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000518771031 1072085264 /nfs/dbraw/zinc/08/52/64/1072085264.db2.gz NDBCRNSJYVZJQK-UHFFFAOYSA-N 0 0 430.509 -0.654 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)NC[C@@H](O)COc1ccc3c(c1)CCC(=O)N3)c2=O ZINC000518776122 1072085178 /nfs/dbraw/zinc/08/51/78/1072085178.db2.gz CVYBAFCJYDXQBN-CYBMUJFWSA-N 0 0 426.433 -0.429 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)NC[C@H](O)COc1ccc3c(c1)CCC(=O)N3)c2=O ZINC000518776123 1072085220 /nfs/dbraw/zinc/08/52/20/1072085220.db2.gz CVYBAFCJYDXQBN-ZDUSSCGKSA-N 0 0 426.433 -0.429 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@]34CCC(=O)N3CCS4)CC2)o1 ZINC000518777631 1072085341 /nfs/dbraw/zinc/08/53/41/1072085341.db2.gz XAKFZGVKQAKCGY-INIZCTEOSA-N 0 0 428.492 -0.723 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@]34CCC(=O)N3CCS4)CC2)o1 ZINC000518777634 1072085242 /nfs/dbraw/zinc/08/52/42/1072085242.db2.gz XAKFZGVKQAKCGY-MRXNPFEDSA-N 0 0 428.492 -0.723 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCC[C@H]3C(=O)NC)CC2)nc1 ZINC000518780442 1072085563 /nfs/dbraw/zinc/08/55/63/1072085563.db2.gz PBUSHAGTNWPNQL-CVEARBPZSA-N 0 0 431.493 -0.062 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H]3C(=O)NC)CC2)nc1 ZINC000518780443 1072085775 /nfs/dbraw/zinc/08/57/75/1072085775.db2.gz PBUSHAGTNWPNQL-HOTGVXAUSA-N 0 0 431.493 -0.062 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3C(=O)NC)CC2)nc1 ZINC000518780444 1072085540 /nfs/dbraw/zinc/08/55/40/1072085540.db2.gz PBUSHAGTNWPNQL-HZPDHXFCSA-N 0 0 431.493 -0.062 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3C(=O)NC)CC2)nc1 ZINC000518780445 1072085698 /nfs/dbraw/zinc/08/56/98/1072085698.db2.gz PBUSHAGTNWPNQL-JKSUJKDBSA-N 0 0 431.493 -0.062 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)C1=O ZINC000518785803 1072086841 /nfs/dbraw/zinc/08/68/41/1072086841.db2.gz VFUSUTXLEFTUPI-HNNXBMFYSA-N 0 0 426.477 -0.016 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)C1=O ZINC000518785804 1072086858 /nfs/dbraw/zinc/08/68/58/1072086858.db2.gz VFUSUTXLEFTUPI-OAHLLOKOSA-N 0 0 426.477 -0.016 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000518786923 1072086810 /nfs/dbraw/zinc/08/68/10/1072086810.db2.gz GZQGTOIOXLRCTM-GOSISDBHSA-N 0 0 442.524 -0.722 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000518786924 1072086948 /nfs/dbraw/zinc/08/69/48/1072086948.db2.gz GZQGTOIOXLRCTM-SFHVURJKSA-N 0 0 442.524 -0.722 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cc1 ZINC000518798153 1072088081 /nfs/dbraw/zinc/08/80/81/1072088081.db2.gz OGFAOKNSAJSOBX-UHFFFAOYSA-N 0 0 438.531 -0.127 20 0 IBADRN O=C1CNC(=O)N1CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cn1 ZINC000518798898 1072088483 /nfs/dbraw/zinc/08/84/83/1072088483.db2.gz DGKCIVCVCGWDKE-UHFFFAOYSA-N 0 0 430.508 -0.028 20 0 IBADRN COCCn1c(C)c(C)nc1S(=O)(=O)CCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000518799028 1072088173 /nfs/dbraw/zinc/08/81/73/1072088173.db2.gz RTLKNMRFROXHQZ-UHFFFAOYSA-N 0 0 438.510 -0.243 20 0 IBADRN CN(Cc1ccccc1)C(=O)NCCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000518800873 1072088566 /nfs/dbraw/zinc/08/85/66/1072088566.db2.gz JMOMCYRKQAITOC-UHFFFAOYSA-N 0 0 445.520 -0.252 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)CC2=O)cc1 ZINC000518801863 1072088587 /nfs/dbraw/zinc/08/85/87/1072088587.db2.gz BSKAHJFXKQAHOM-GDBMZVCRSA-N 0 0 437.518 -0.195 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)CC2=O)cc1 ZINC000518801864 1072088546 /nfs/dbraw/zinc/08/85/46/1072088546.db2.gz BSKAHJFXKQAHOM-GOEBONIOSA-N 0 0 437.518 -0.195 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)CC2=O)cc1 ZINC000518801865 1072088382 /nfs/dbraw/zinc/08/83/82/1072088382.db2.gz BSKAHJFXKQAHOM-HOCLYGCPSA-N 0 0 437.518 -0.195 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)CC2=O)cc1 ZINC000518801866 1072088606 /nfs/dbraw/zinc/08/86/06/1072088606.db2.gz BSKAHJFXKQAHOM-ZBFHGGJFSA-N 0 0 437.518 -0.195 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000518802060 1072088597 /nfs/dbraw/zinc/08/85/97/1072088597.db2.gz LLEPDFJBJCWYEB-BLLLJJGKSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000518802061 1072088614 /nfs/dbraw/zinc/08/86/14/1072088614.db2.gz LLEPDFJBJCWYEB-LRDDRELGSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000518802062 1072088577 /nfs/dbraw/zinc/08/85/77/1072088577.db2.gz LLEPDFJBJCWYEB-MLGOLLRUSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000518802063 1072088623 /nfs/dbraw/zinc/08/86/23/1072088623.db2.gz LLEPDFJBJCWYEB-WBMJQRKESA-N 0 0 438.485 -0.334 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)CC1 ZINC000518803767 1072087712 /nfs/dbraw/zinc/08/77/12/1072087712.db2.gz YYCJVHZZXKHSQX-UHFFFAOYSA-N 0 0 430.502 -0.305 20 0 IBADRN O=C(C(=O)N1CCN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)CC1)N1CCCC1 ZINC000518810073 1072088454 /nfs/dbraw/zinc/08/84/54/1072088454.db2.gz SAGYICIWDPFEKH-KRWDZBQOSA-N 0 0 429.477 -0.369 20 0 IBADRN O=C(C(=O)N1CCN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CC1)N1CCCC1 ZINC000518810074 1072088992 /nfs/dbraw/zinc/08/89/92/1072088992.db2.gz SAGYICIWDPFEKH-QGZVFWFLSA-N 0 0 429.477 -0.369 20 0 IBADRN CCOC(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000518818160 1072089391 /nfs/dbraw/zinc/08/93/91/1072089391.db2.gz CFZDUNYMRUJCNT-UHFFFAOYSA-N 0 0 441.506 -0.163 20 0 IBADRN CCn1cnc2c(c1=O)CCN(C(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)C2 ZINC000518820951 1072088523 /nfs/dbraw/zinc/08/85/23/1072088523.db2.gz HKPLQYRHVRZWIO-UHFFFAOYSA-N 0 0 445.501 -0.418 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000518825180 1072299662 /nfs/dbraw/zinc/29/96/62/1072299662.db2.gz YDXSSRCAROERPE-GOSISDBHSA-N 0 0 439.534 -0.028 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000518825181 1072300224 /nfs/dbraw/zinc/30/02/24/1072300224.db2.gz YDXSSRCAROERPE-SFHVURJKSA-N 0 0 439.534 -0.028 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N1CCN(C(=O)c3ccco3)CC1)c2=O ZINC000518826663 1072307385 /nfs/dbraw/zinc/30/73/85/1072307385.db2.gz QNJQDJNPZHLQRY-UHFFFAOYSA-N 0 0 428.405 -0.656 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N(CCO)[C@H]1CCc2ccccc21 ZINC000518829809 1072089460 /nfs/dbraw/zinc/08/94/60/1072089460.db2.gz DALFYIYDIXGRLR-KRWDZBQOSA-N 0 0 436.490 -0.281 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N(CCO)[C@@H]1CCc2ccccc21 ZINC000518829810 1072089325 /nfs/dbraw/zinc/08/93/25/1072089325.db2.gz DALFYIYDIXGRLR-QGZVFWFLSA-N 0 0 436.490 -0.281 20 0 IBADRN Cc1cc(NC(=O)CN2CCCC[C@@H]2CNS(C)(=O)=O)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000518833041 1072089756 /nfs/dbraw/zinc/08/97/56/1072089756.db2.gz UXWBGTNONPHYKN-CABCVRRESA-N 0 0 447.583 -0.107 20 0 IBADRN Cc1cc(NC(=O)CN2CCCC[C@H]2CNS(C)(=O)=O)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000518833042 1072089848 /nfs/dbraw/zinc/08/98/48/1072089848.db2.gz UXWBGTNONPHYKN-GJZGRUSLSA-N 0 0 447.583 -0.107 20 0 IBADRN Cc1cc(NC(=O)CN2CCCC[C@@H]2CNS(C)(=O)=O)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000518833043 1072089710 /nfs/dbraw/zinc/08/97/10/1072089710.db2.gz UXWBGTNONPHYKN-HUUCEWRRSA-N 0 0 447.583 -0.107 20 0 IBADRN Cc1cc(NC(=O)CN2CCCC[C@H]2CNS(C)(=O)=O)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000518833044 1072089666 /nfs/dbraw/zinc/08/96/66/1072089666.db2.gz UXWBGTNONPHYKN-LSDHHAIUSA-N 0 0 447.583 -0.107 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000518833324 1072089296 /nfs/dbraw/zinc/08/92/96/1072089296.db2.gz MQQIIPMDBIKRRT-KSZLIROESA-N 0 0 442.582 -0.624 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000518833325 1072089478 /nfs/dbraw/zinc/08/94/78/1072089478.db2.gz MQQIIPMDBIKRRT-KURKYZTESA-N 0 0 442.582 -0.624 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000518833326 1072089261 /nfs/dbraw/zinc/08/92/61/1072089261.db2.gz MQQIIPMDBIKRRT-KZNAEPCWSA-N 0 0 442.582 -0.624 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000518833327 1072089504 /nfs/dbraw/zinc/08/95/04/1072089504.db2.gz MQQIIPMDBIKRRT-RCCFBDPRSA-N 0 0 442.582 -0.624 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCCN(c3nccs3)CC2)CC1)N1CCOCC1 ZINC000518834968 1072089914 /nfs/dbraw/zinc/08/99/14/1072089914.db2.gz OJMXRIOQOXNKRV-UHFFFAOYSA-N 0 0 436.582 -0.342 20 0 IBADRN COCC1(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CCC1 ZINC000518836821 1072431226 /nfs/dbraw/zinc/43/12/26/1072431226.db2.gz QZTHDCYLMVMKGQ-UHFFFAOYSA-N 0 0 432.525 -0.105 20 0 IBADRN CCn1cc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CC(=O)N(C)[C@H]3c3cnn(C)c3C)CCO2)cn1 ZINC000518838189 1072449391 /nfs/dbraw/zinc/44/93/91/1072449391.db2.gz ORAMOOFAFBJZHK-AOIWGVFYSA-N 0 0 443.508 -0.067 20 0 IBADRN CCn1cc([C@H]2CN(C(=O)C(=O)N[C@@H]3CC(=O)N(C)[C@H]3c3cnn(C)c3C)CCO2)cn1 ZINC000518838190 1072449475 /nfs/dbraw/zinc/44/94/75/1072449475.db2.gz ORAMOOFAFBJZHK-LMMKCTJWSA-N 0 0 443.508 -0.067 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000518841140 1072090770 /nfs/dbraw/zinc/09/07/70/1072090770.db2.gz NBDNUEZRDUHWGO-AWEZNQCLSA-N 0 0 446.489 -0.191 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000518841143 1072090731 /nfs/dbraw/zinc/09/07/31/1072090731.db2.gz NBDNUEZRDUHWGO-CQSZACIVSA-N 0 0 446.489 -0.191 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c(OCC(F)F)c1 ZINC000518846445 1072090263 /nfs/dbraw/zinc/09/02/63/1072090263.db2.gz UVQFORKCLHOPGL-JTQLQIEISA-N 0 0 434.421 -0.353 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c(OCC(F)F)c1 ZINC000518846446 1072090219 /nfs/dbraw/zinc/09/02/19/1072090219.db2.gz UVQFORKCLHOPGL-SNVBAGLBSA-N 0 0 434.421 -0.353 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000518846689 1072090122 /nfs/dbraw/zinc/09/01/22/1072090122.db2.gz JHIFIXIXGJHZGH-UHFFFAOYSA-N 0 0 427.527 -0.682 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)CC2)cc1OCC ZINC000518847064 1072090182 /nfs/dbraw/zinc/09/01/82/1072090182.db2.gz KGTPAJVYDYFHJN-UHFFFAOYSA-N 0 0 449.551 -0.134 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000518850256 1072090277 /nfs/dbraw/zinc/09/02/77/1072090277.db2.gz SZXPGNNNEKULQR-UHFFFAOYSA-N 0 0 446.507 -0.922 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000518850765 1072090199 /nfs/dbraw/zinc/09/01/99/1072090199.db2.gz XYVILGJWPLFJKR-KRWDZBQOSA-N 0 0 435.616 -0.282 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000518850766 1072090153 /nfs/dbraw/zinc/09/01/53/1072090153.db2.gz XYVILGJWPLFJKR-QGZVFWFLSA-N 0 0 435.616 -0.282 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1 ZINC000518855339 1072090642 /nfs/dbraw/zinc/09/06/42/1072090642.db2.gz SKPGNZJRURXNEB-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC000518861631 1072091183 /nfs/dbraw/zinc/09/11/83/1072091183.db2.gz RRAMMLNYTOBFMZ-UHFFFAOYSA-N 0 0 442.469 -0.379 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000518862559 1072090611 /nfs/dbraw/zinc/09/06/11/1072090611.db2.gz WDOPFRIYJBQTHS-UHFFFAOYSA-N 0 0 438.554 -0.350 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NCC(=O)NCCN3CCOCC3)cc(C(C)C)nc21 ZINC000518879052 1072091415 /nfs/dbraw/zinc/09/14/15/1072091415.db2.gz KHJJAVBNQJMQTG-UHFFFAOYSA-N 0 0 446.508 -0.181 20 0 IBADRN COc1ccc(-n2cnnc2SCC(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000518880207 1072091834 /nfs/dbraw/zinc/09/18/34/1072091834.db2.gz YFPODUUSOQYXDB-UHFFFAOYSA-N 0 0 434.522 -0.067 20 0 IBADRN COc1cc2nc(N3CCN(C(=O)C(=O)N4CCOCC4)CC3)nc(N)c2cc1OC ZINC000518883179 1072092284 /nfs/dbraw/zinc/09/22/84/1072092284.db2.gz ZDKJXQKFWFRBPI-UHFFFAOYSA-N 0 0 430.465 -0.263 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000518885661 1072092258 /nfs/dbraw/zinc/09/22/58/1072092258.db2.gz MEAJLGTZGNVATC-UHFFFAOYSA-N 0 0 443.504 -0.057 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCn2nc3ccccn3c2=O)c(=O)[nH]c1=O ZINC000518887599 1072092212 /nfs/dbraw/zinc/09/22/12/1072092212.db2.gz HZVUIVYTPAAMKE-UHFFFAOYSA-N 0 0 431.453 -0.180 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)CN1CCC(=O)NC1=O ZINC000518887627 1072092137 /nfs/dbraw/zinc/09/21/37/1072092137.db2.gz KLEBMKYPACDHNS-UHFFFAOYSA-N 0 0 439.494 -0.488 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CN3CSCC3=O)CC2)cc1 ZINC000518888190 1072092774 /nfs/dbraw/zinc/09/27/74/1072092774.db2.gz IAFSKMXQXRVMLY-UHFFFAOYSA-N 0 0 426.564 -0.050 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)CC1 ZINC000518888975 1072091670 /nfs/dbraw/zinc/09/16/70/1072091670.db2.gz QKBOQRNALSXZIF-INIZCTEOSA-N 0 0 430.571 -0.560 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)CC1 ZINC000518888976 1072091906 /nfs/dbraw/zinc/09/19/06/1072091906.db2.gz QKBOQRNALSXZIF-MRXNPFEDSA-N 0 0 430.571 -0.560 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000518889761 1072091883 /nfs/dbraw/zinc/09/18/83/1072091883.db2.gz LLMBKLQOZLIISZ-CABCVRRESA-N 0 0 444.579 -0.194 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000518889762 1072091791 /nfs/dbraw/zinc/09/17/91/1072091791.db2.gz LLMBKLQOZLIISZ-GJZGRUSLSA-N 0 0 444.579 -0.194 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000518889763 1072091645 /nfs/dbraw/zinc/09/16/45/1072091645.db2.gz LLMBKLQOZLIISZ-HUUCEWRRSA-N 0 0 444.579 -0.194 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2cccs2)CC1 ZINC000518889764 1072091806 /nfs/dbraw/zinc/09/18/06/1072091806.db2.gz LLMBKLQOZLIISZ-LSDHHAIUSA-N 0 0 444.579 -0.194 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNC(=O)c1cnc2ccccc2c1O ZINC000518890086 1072091841 /nfs/dbraw/zinc/09/18/41/1072091841.db2.gz ZODCXJFRJIPGOT-UHFFFAOYSA-N 0 0 430.461 -0.408 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000518891326 1072091749 /nfs/dbraw/zinc/09/17/49/1072091749.db2.gz XRPIWAIRSVUAJP-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000518891929 1072091659 /nfs/dbraw/zinc/09/16/59/1072091659.db2.gz RPJIDYFUCLZUAV-UHFFFAOYSA-N 0 0 429.499 -0.843 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cc(N4CCC[C@H](CO)C4)ncn3)CC2)CC1 ZINC000518902990 1072093648 /nfs/dbraw/zinc/09/36/48/1072093648.db2.gz PMLHLBHGRLGMMI-KRWDZBQOSA-N 0 0 439.586 -0.701 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3cc(N4CCC[C@@H](CO)C4)ncn3)CC2)CC1 ZINC000518902991 1072093426 /nfs/dbraw/zinc/09/34/26/1072093426.db2.gz PMLHLBHGRLGMMI-QGZVFWFLSA-N 0 0 439.586 -0.701 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c1 ZINC000518903323 1072093143 /nfs/dbraw/zinc/09/31/43/1072093143.db2.gz FUUHRYIUKJBQRF-UHFFFAOYSA-N 0 0 439.581 -0.019 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1 ZINC000518903327 1072092982 /nfs/dbraw/zinc/09/29/82/1072092982.db2.gz IARFOMYSHUDYRP-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)nc1 ZINC000518905916 1072093335 /nfs/dbraw/zinc/09/33/35/1072093335.db2.gz KSMQNIWSXRWCJL-UHFFFAOYSA-N 0 0 440.551 -0.051 20 0 IBADRN CN(C1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1)S(C)(=O)=O ZINC000518914731 1072093835 /nfs/dbraw/zinc/09/38/35/1072093835.db2.gz FZOLSBCRZNSWKB-KRWDZBQOSA-N 0 0 430.571 -0.418 20 0 IBADRN CN(C1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1)S(C)(=O)=O ZINC000518914732 1072519018 /nfs/dbraw/zinc/51/90/18/1072519018.db2.gz FZOLSBCRZNSWKB-QGZVFWFLSA-N 0 0 430.571 -0.418 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)s1 ZINC000518915548 1072094044 /nfs/dbraw/zinc/09/40/44/1072094044.db2.gz GNUKSAQLLLMTCH-HNNXBMFYSA-N 0 0 444.579 -0.014 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)s1 ZINC000518915549 1072093945 /nfs/dbraw/zinc/09/39/45/1072093945.db2.gz GNUKSAQLLLMTCH-OAHLLOKOSA-N 0 0 444.579 -0.014 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000518915600 1072093863 /nfs/dbraw/zinc/09/38/63/1072093863.db2.gz JKTNDGKOBKQUQV-BBRMVZONSA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000518915601 1072094072 /nfs/dbraw/zinc/09/40/72/1072094072.db2.gz JKTNDGKOBKQUQV-CJNGLKHVSA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000518915602 1072093782 /nfs/dbraw/zinc/09/37/82/1072093782.db2.gz JKTNDGKOBKQUQV-CZUORRHYSA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000518915603 1072094035 /nfs/dbraw/zinc/09/40/35/1072094035.db2.gz JKTNDGKOBKQUQV-XJKSGUPXSA-N 0 0 432.477 -0.090 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000518916836 1072093992 /nfs/dbraw/zinc/09/39/92/1072093992.db2.gz YFMLDKHSAFXBES-IBGZPJMESA-N 0 0 436.553 -0.203 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000518916837 1072094337 /nfs/dbraw/zinc/09/43/37/1072094337.db2.gz YFMLDKHSAFXBES-LJQANCHMSA-N 0 0 436.553 -0.203 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1cccc(Cl)c1 ZINC000518917202 1072094442 /nfs/dbraw/zinc/09/44/42/1072094442.db2.gz GTNCRYHTQVDVOR-AWEZNQCLSA-N 0 0 430.914 -0.138 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1cccc(Cl)c1 ZINC000518917203 1072094473 /nfs/dbraw/zinc/09/44/73/1072094473.db2.gz GTNCRYHTQVDVOR-CQSZACIVSA-N 0 0 430.914 -0.138 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000518917211 1072094315 /nfs/dbraw/zinc/09/43/15/1072094315.db2.gz HJSDWVOOLMPUDB-KRWDZBQOSA-N 0 0 436.534 -0.060 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000518917212 1072094407 /nfs/dbraw/zinc/09/44/07/1072094407.db2.gz HJSDWVOOLMPUDB-QGZVFWFLSA-N 0 0 436.534 -0.060 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000518920335 1072094382 /nfs/dbraw/zinc/09/43/82/1072094382.db2.gz SLAPOUYUYCFALE-IBGZPJMESA-N 0 0 431.537 -0.088 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000518920336 1072094828 /nfs/dbraw/zinc/09/48/28/1072094828.db2.gz SLAPOUYUYCFALE-LJQANCHMSA-N 0 0 431.537 -0.088 20 0 IBADRN Cc1cnc(NC(=O)[C@@H]2CSCN2C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000518921286 1072093560 /nfs/dbraw/zinc/09/35/60/1072093560.db2.gz QFQLIXRTAFCDJU-JTQLQIEISA-N 0 0 449.518 -0.261 20 0 IBADRN Cc1cnc(NC(=O)[C@H]2CSCN2C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000518921287 1072093659 /nfs/dbraw/zinc/09/36/59/1072093659.db2.gz QFQLIXRTAFCDJU-SNVBAGLBSA-N 0 0 449.518 -0.261 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000518924057 1072093379 /nfs/dbraw/zinc/09/33/79/1072093379.db2.gz LTWZXGGWIXLCLJ-GOSISDBHSA-N 0 0 438.550 -0.076 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000518924058 1072093458 /nfs/dbraw/zinc/09/34/58/1072093458.db2.gz LTWZXGGWIXLCLJ-SFHVURJKSA-N 0 0 438.550 -0.076 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000518924977 1072093601 /nfs/dbraw/zinc/09/36/01/1072093601.db2.gz QHKLGHHXGLZIAY-GOSISDBHSA-N 0 0 444.536 -0.458 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000518924978 1072093524 /nfs/dbraw/zinc/09/35/24/1072093524.db2.gz QHKLGHHXGLZIAY-SFHVURJKSA-N 0 0 444.536 -0.458 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)N3CCN(S(N)(=O)=O)CC3)cc(C3CC3)nc21 ZINC000518926638 1072093575 /nfs/dbraw/zinc/09/35/75/1072093575.db2.gz HOXCHJUFCUWVNY-UHFFFAOYSA-N 0 0 436.494 -0.254 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000518926641 1072093358 /nfs/dbraw/zinc/09/33/58/1072093358.db2.gz HUXBKUXVIAGCDP-UHFFFAOYSA-N 0 0 426.314 -0.430 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)N2CCN(S(N)(=O)=O)CC2)c(OC)c1 ZINC000518926751 1072093351 /nfs/dbraw/zinc/09/33/51/1072093351.db2.gz OJDFUOJAZHNNMT-HNNXBMFYSA-N 0 0 426.495 -0.344 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2C(=O)N2CCN(S(N)(=O)=O)CC2)c(OC)c1 ZINC000518926752 1072093370 /nfs/dbraw/zinc/09/33/70/1072093370.db2.gz OJDFUOJAZHNNMT-OAHLLOKOSA-N 0 0 426.495 -0.344 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCSC)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000518926812 1072093449 /nfs/dbraw/zinc/09/34/49/1072093449.db2.gz RGPCLQUIZRITKG-AWEZNQCLSA-N 0 0 430.552 -0.106 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCSC)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000518926813 1072093588 /nfs/dbraw/zinc/09/35/88/1072093588.db2.gz RGPCLQUIZRITKG-CQSZACIVSA-N 0 0 430.552 -0.106 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CS(=O)(=O)Cc2ccc(Br)cc2)CC1 ZINC000518926835 1072093324 /nfs/dbraw/zinc/09/33/24/1072093324.db2.gz SGZIDWRUZMQZNS-UHFFFAOYSA-N 0 0 440.341 -0.288 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CC[C@H]2NC(=O)N(c3ccc(Cl)cc3)C2=O)CC1 ZINC000518927335 1072095629 /nfs/dbraw/zinc/09/56/29/1072095629.db2.gz HUQSLMDVNSIHRT-CYBMUJFWSA-N 0 0 429.886 -0.107 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CC[C@@H]2NC(=O)N(c3ccc(Cl)cc3)C2=O)CC1 ZINC000518927336 1072095779 /nfs/dbraw/zinc/09/57/79/1072095779.db2.gz HUQSLMDVNSIHRT-ZDUSSCGKSA-N 0 0 429.886 -0.107 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CS(=O)(=O)Cc2cccc(Br)c2)CC1 ZINC000518927374 1072095888 /nfs/dbraw/zinc/09/58/88/1072095888.db2.gz KFTYVCDVDGPQGC-UHFFFAOYSA-N 0 0 440.341 -0.288 20 0 IBADRN CS(=O)(=O)NCCC(=O)NC[C@H](NC(=O)CCNS(C)(=O)=O)C1CCCCC1 ZINC000518931471 1072095761 /nfs/dbraw/zinc/09/57/61/1072095761.db2.gz UJEWYWWFFQXIEP-AWEZNQCLSA-N 0 0 440.588 -0.954 20 0 IBADRN CS(=O)(=O)NCCC(=O)NC[C@@H](NC(=O)CCNS(C)(=O)=O)C1CCCCC1 ZINC000518931472 1072095875 /nfs/dbraw/zinc/09/58/75/1072095875.db2.gz UJEWYWWFFQXIEP-CQSZACIVSA-N 0 0 440.588 -0.954 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000518939867 1072094812 /nfs/dbraw/zinc/09/48/12/1072094812.db2.gz DQUNSLFLYXJBDR-INIZCTEOSA-N 0 0 443.504 -0.257 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000518939871 1072094951 /nfs/dbraw/zinc/09/49/51/1072094951.db2.gz DQUNSLFLYXJBDR-MRXNPFEDSA-N 0 0 443.504 -0.257 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000518939930 1072094989 /nfs/dbraw/zinc/09/49/89/1072094989.db2.gz HXXWAWJTTNXGRR-AZUAARDMSA-N 0 0 444.532 -0.195 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000518939931 1072095349 /nfs/dbraw/zinc/09/53/49/1072095349.db2.gz HXXWAWJTTNXGRR-UYAOXDASSA-N 0 0 444.532 -0.195 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)CN3C(=O)NC(C)(C)C3=O)CC2)cc1 ZINC000518940115 1072095224 /nfs/dbraw/zinc/09/52/24/1072095224.db2.gz OTXYXWRYGUBRHY-UHFFFAOYSA-N 0 0 431.493 -0.100 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000518940195 1072095376 /nfs/dbraw/zinc/09/53/76/1072095376.db2.gz UJTHHMNVLMIQHD-CYBMUJFWSA-N 0 0 430.465 -0.961 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000518940196 1072095449 /nfs/dbraw/zinc/09/54/49/1072095449.db2.gz UJTHHMNVLMIQHD-ZDUSSCGKSA-N 0 0 430.465 -0.961 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000518940215 1072095212 /nfs/dbraw/zinc/09/52/12/1072095212.db2.gz VKSUJSXFPKUKHO-MSOLQXFVSA-N 0 0 444.598 -0.028 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000518940216 1072095411 /nfs/dbraw/zinc/09/54/11/1072095411.db2.gz VKSUJSXFPKUKHO-QZTJIDSGSA-N 0 0 444.598 -0.028 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000518940217 1072095437 /nfs/dbraw/zinc/09/54/37/1072095437.db2.gz VKSUJSXFPKUKHO-ROUUACIJSA-N 0 0 444.598 -0.028 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000518940218 1072095338 /nfs/dbraw/zinc/09/53/38/1072095338.db2.gz VKSUJSXFPKUKHO-ZWKOTPCHSA-N 0 0 444.598 -0.028 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCNS(=O)(=O)c2cccs2)CC1 ZINC000518941236 1072095456 /nfs/dbraw/zinc/09/54/56/1072095456.db2.gz LKMQQZGPOXDFDV-HNNXBMFYSA-N 0 0 444.579 -0.192 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCNS(=O)(=O)c2cccs2)CC1 ZINC000518941237 1072095422 /nfs/dbraw/zinc/09/54/22/1072095422.db2.gz LKMQQZGPOXDFDV-OAHLLOKOSA-N 0 0 444.579 -0.192 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000518941285 1072095236 /nfs/dbraw/zinc/09/52/36/1072095236.db2.gz OZGOJPNWZYBJAD-INIZCTEOSA-N 0 0 443.504 -0.257 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000518941286 1072095319 /nfs/dbraw/zinc/09/53/19/1072095319.db2.gz OZGOJPNWZYBJAD-MRXNPFEDSA-N 0 0 443.504 -0.257 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000518942226 1072095751 /nfs/dbraw/zinc/09/57/51/1072095751.db2.gz CFVRGNACRIJFOI-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN CO[C@@H](C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000518943245 1072097722 /nfs/dbraw/zinc/09/77/22/1072097722.db2.gz CPNUDBPYEAMUIR-AWEZNQCLSA-N 0 0 447.492 -0.206 20 0 IBADRN CO[C@H](C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000518943246 1072097456 /nfs/dbraw/zinc/09/74/56/1072097456.db2.gz CPNUDBPYEAMUIR-CQSZACIVSA-N 0 0 447.492 -0.206 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000518943526 1072097544 /nfs/dbraw/zinc/09/75/44/1072097544.db2.gz SZTVCOVOPUWHPV-UHFFFAOYSA-N 0 0 447.536 -0.474 20 0 IBADRN CC[C@@H](CNC(=O)CCC(=O)N1CCOCC1)NC(=O)CCC(=O)N1CCOCC1 ZINC000518943812 1072097731 /nfs/dbraw/zinc/09/77/31/1072097731.db2.gz DHNVHZYZYXTGAZ-INIZCTEOSA-N 0 0 426.514 -0.725 20 0 IBADRN CC[C@H](CNC(=O)CCC(=O)N1CCOCC1)NC(=O)CCC(=O)N1CCOCC1 ZINC000518943813 1072097646 /nfs/dbraw/zinc/09/76/46/1072097646.db2.gz DHNVHZYZYXTGAZ-MRXNPFEDSA-N 0 0 426.514 -0.725 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3Cl)CC2)cn1 ZINC000518944363 1072097628 /nfs/dbraw/zinc/09/76/28/1072097628.db2.gz FQZJZEZRERPGNM-UHFFFAOYSA-N 0 0 432.868 -0.126 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000518945574 1072097742 /nfs/dbraw/zinc/09/77/42/1072097742.db2.gz YKSBITIRELONNX-UHFFFAOYSA-N 0 0 433.509 -0.282 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CN3CCCS3(=O)=O)CC2)cc1 ZINC000518949893 1072096306 /nfs/dbraw/zinc/09/63/06/1072096306.db2.gz BKUKOIMIDXTICC-UHFFFAOYSA-N 0 0 444.579 -0.547 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000518950594 1072096349 /nfs/dbraw/zinc/09/63/49/1072096349.db2.gz UMPAOIVFURPMDP-INIZCTEOSA-N 0 0 429.564 -0.148 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000518950597 1072096227 /nfs/dbraw/zinc/09/62/27/1072096227.db2.gz UMPAOIVFURPMDP-MRXNPFEDSA-N 0 0 429.564 -0.148 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000518952629 1072096782 /nfs/dbraw/zinc/09/67/82/1072096782.db2.gz ZQVOZDGMDZBPFV-INIZCTEOSA-N 0 0 438.506 -0.826 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000518952630 1072096803 /nfs/dbraw/zinc/09/68/03/1072096803.db2.gz ZQVOZDGMDZBPFV-MRXNPFEDSA-N 0 0 438.506 -0.826 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NCC(=O)NCCN3CCOCC3)cc(C3CC3)nc21 ZINC000518954893 1072096818 /nfs/dbraw/zinc/09/68/18/1072096818.db2.gz JAIJGHFCHITRBI-UHFFFAOYSA-N 0 0 430.465 -0.910 20 0 IBADRN C[C@@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCC(=O)NCCN1CCOCC1)C2=O ZINC000518955223 1072096850 /nfs/dbraw/zinc/09/68/50/1072096850.db2.gz USZKMSXBZNUZNJ-BTYIYWSLSA-N 0 0 437.541 -0.312 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCC(=O)NCCN1CCOCC1)C2=O ZINC000518955224 1072096859 /nfs/dbraw/zinc/09/68/59/1072096859.db2.gz USZKMSXBZNUZNJ-QVKFZJNVSA-N 0 0 437.541 -0.312 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCC(=O)NCCN1CCOCC1)C2=O ZINC000518955225 1072096721 /nfs/dbraw/zinc/09/67/21/1072096721.db2.gz USZKMSXBZNUZNJ-VFNWGFHPSA-N 0 0 437.541 -0.312 20 0 IBADRN C[C@@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCC(=O)NCCN1CCOCC1)C2=O ZINC000518955226 1072096795 /nfs/dbraw/zinc/09/67/95/1072096795.db2.gz USZKMSXBZNUZNJ-YCRPNKLZSA-N 0 0 437.541 -0.312 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cc1ccc(S(N)(=O)=O)s1)C(=O)NCCN1CCOCC1 ZINC000518955317 1072096810 /nfs/dbraw/zinc/09/68/10/1072096810.db2.gz XWCUTUWNMNCIMX-CXAGYDPISA-N 0 0 446.595 -0.083 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cc1ccc(S(N)(=O)=O)s1)C(=O)NCCN1CCOCC1 ZINC000518955318 1072096699 /nfs/dbraw/zinc/09/66/99/1072096699.db2.gz XWCUTUWNMNCIMX-DYVFJYSZSA-N 0 0 446.595 -0.083 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cc1ccc(S(N)(=O)=O)s1)C(=O)NCCN1CCOCC1 ZINC000518955319 1072097176 /nfs/dbraw/zinc/09/71/76/1072097176.db2.gz XWCUTUWNMNCIMX-GUYCJALGSA-N 0 0 446.595 -0.083 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cc1ccc(S(N)(=O)=O)s1)C(=O)NCCN1CCOCC1 ZINC000518955320 1072097218 /nfs/dbraw/zinc/09/72/18/1072097218.db2.gz XWCUTUWNMNCIMX-SUMWQHHRSA-N 0 0 446.595 -0.083 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCC(=O)NCCN2CCOCC2)s1 ZINC000518955352 1072097082 /nfs/dbraw/zinc/09/70/82/1072097082.db2.gz ZECMUCCAUKQITE-HNNXBMFYSA-N 0 0 444.579 -0.226 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCC(=O)NCCN2CCOCC2)s1 ZINC000518955353 1072097095 /nfs/dbraw/zinc/09/70/95/1072097095.db2.gz ZECMUCCAUKQITE-OAHLLOKOSA-N 0 0 444.579 -0.226 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)NCCN1CCOCC1 ZINC000518956017 1072097184 /nfs/dbraw/zinc/09/71/84/1072097184.db2.gz NCVGOEDNUMAPSX-BBRMVZONSA-N 0 0 446.508 -0.373 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)NCCN1CCOCC1 ZINC000518956018 1072097231 /nfs/dbraw/zinc/09/72/31/1072097231.db2.gz NCVGOEDNUMAPSX-CJNGLKHVSA-N 0 0 446.508 -0.373 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)NCCN1CCOCC1 ZINC000518956019 1072097241 /nfs/dbraw/zinc/09/72/41/1072097241.db2.gz NCVGOEDNUMAPSX-CZUORRHYSA-N 0 0 446.508 -0.373 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)NCCN1CCOCC1 ZINC000518956020 1072097223 /nfs/dbraw/zinc/09/72/23/1072097223.db2.gz NCVGOEDNUMAPSX-XJKSGUPXSA-N 0 0 446.508 -0.373 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)NCCC(=O)NCC(=O)NCCN2CCOCC2)c(C)c1 ZINC000518956176 1072097151 /nfs/dbraw/zinc/09/71/51/1072097151.db2.gz VSFQFZBFDHMYDX-UHFFFAOYSA-N 0 0 440.566 -0.155 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000518956241 1072097207 /nfs/dbraw/zinc/09/72/07/1072097207.db2.gz YVSDDEJFSUBCEV-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cnc21 ZINC000518956534 1072097109 /nfs/dbraw/zinc/09/71/09/1072097109.db2.gz DOLOMGHVSFAYIV-GFCCVEGCSA-N 0 0 425.467 -0.748 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cnc21 ZINC000518956541 1072097116 /nfs/dbraw/zinc/09/71/16/1072097116.db2.gz DOLOMGHVSFAYIV-LBPRGKRZSA-N 0 0 425.467 -0.748 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)NCCN1CCOCC1 ZINC000518956843 1072097143 /nfs/dbraw/zinc/09/71/43/1072097143.db2.gz MEEGGFQNWXTAEQ-PBHICJAKSA-N 0 0 443.570 -0.142 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)NCCN1CCOCC1 ZINC000518956844 1072097125 /nfs/dbraw/zinc/09/71/25/1072097125.db2.gz MEEGGFQNWXTAEQ-RHSMWYFYSA-N 0 0 443.570 -0.142 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)NCCN1CCOCC1 ZINC000518956845 1072097195 /nfs/dbraw/zinc/09/71/95/1072097195.db2.gz MEEGGFQNWXTAEQ-WMLDXEAASA-N 0 0 443.570 -0.142 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)NCCN1CCOCC1 ZINC000518956846 1072097067 /nfs/dbraw/zinc/09/70/67/1072097067.db2.gz MEEGGFQNWXTAEQ-YOEHRIQHSA-N 0 0 443.570 -0.142 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1)c(=O)n2C ZINC000518957113 1072098423 /nfs/dbraw/zinc/09/84/23/1072098423.db2.gz ZXTNZTRVILZDDC-KRWDZBQOSA-N 0 0 440.504 -0.507 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H](Cc1ccccc1)CN1CCOCC1)c(=O)n2C ZINC000518957114 1072098636 /nfs/dbraw/zinc/09/86/36/1072098636.db2.gz ZXTNZTRVILZDDC-QGZVFWFLSA-N 0 0 440.504 -0.507 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000518957251 1072097055 /nfs/dbraw/zinc/09/70/55/1072097055.db2.gz DPQNFFRAYODBED-UHFFFAOYSA-N 0 0 432.481 -0.547 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Nc3ccc4c(c3)C(=O)NC4=O)CC2)CC1 ZINC000518957409 1072097160 /nfs/dbraw/zinc/09/71/60/1072097160.db2.gz OSHVXBAOKZARQX-UHFFFAOYSA-N 0 0 436.494 -0.788 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NCC(=O)NCCN2CCOCC2)cc1C ZINC000518957754 1072096771 /nfs/dbraw/zinc/09/67/71/1072096771.db2.gz WIZLMVMANRDUOF-UHFFFAOYSA-N 0 0 426.539 -0.511 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC000518957773 1072097253 /nfs/dbraw/zinc/09/72/53/1072097253.db2.gz WZQNEUZFUSUICO-INIZCTEOSA-N 0 0 438.506 -0.043 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC000518957774 1072097134 /nfs/dbraw/zinc/09/71/34/1072097134.db2.gz WZQNEUZFUSUICO-MRXNPFEDSA-N 0 0 438.506 -0.043 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)Nc1ncc(Cc2ccc(S(N)(=O)=O)cc2)s1 ZINC000518970861 1072098508 /nfs/dbraw/zinc/09/85/08/1072098508.db2.gz QJFWKFWXUPOBDH-UHFFFAOYSA-N 0 0 425.492 -0.428 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4ccccn4)CC3)c2c(=O)n(C)c1=O ZINC000518973578 1072098891 /nfs/dbraw/zinc/09/88/91/1072098891.db2.gz HIGWBMYWVUVPLO-UHFFFAOYSA-N 0 0 425.449 -0.797 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000518973798 1072099043 /nfs/dbraw/zinc/09/90/43/1072099043.db2.gz QJNPJHUGWWPCLI-UHFFFAOYSA-N 0 0 445.457 -0.379 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000518975530 1072098916 /nfs/dbraw/zinc/09/89/16/1072098916.db2.gz DCRZIMPTINXORQ-CABCVRRESA-N 0 0 441.572 -0.416 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000518975534 1072098880 /nfs/dbraw/zinc/09/88/80/1072098880.db2.gz DCRZIMPTINXORQ-GJZGRUSLSA-N 0 0 441.572 -0.416 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000518975535 1072524452 /nfs/dbraw/zinc/52/44/52/1072524452.db2.gz DCRZIMPTINXORQ-HUUCEWRRSA-N 0 0 441.572 -0.416 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000518975536 1072524550 /nfs/dbraw/zinc/52/45/50/1072524550.db2.gz DCRZIMPTINXORQ-LSDHHAIUSA-N 0 0 441.572 -0.416 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000518981037 1072099426 /nfs/dbraw/zinc/09/94/26/1072099426.db2.gz DXWPWZOLUKKZCU-AWEZNQCLSA-N 0 0 428.577 -0.554 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000518981038 1072099470 /nfs/dbraw/zinc/09/94/70/1072099470.db2.gz DXWPWZOLUKKZCU-CQSZACIVSA-N 0 0 428.577 -0.554 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000518982539 1072099413 /nfs/dbraw/zinc/09/94/13/1072099413.db2.gz CYIYPRZDYDWVJQ-UHFFFAOYSA-N 0 0 434.540 -0.193 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)CCOC)CC2)cc1 ZINC000518982652 1072099436 /nfs/dbraw/zinc/09/94/36/1072099436.db2.gz NLMASRVXKOOFJA-UHFFFAOYSA-N 0 0 449.551 -0.007 20 0 IBADRN CCOCCN1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000518985642 1072099728 /nfs/dbraw/zinc/09/97/28/1072099728.db2.gz OZLNKFXNNRCHIM-UHFFFAOYSA-N 0 0 426.539 -0.153 20 0 IBADRN O=C(CN1CC(=O)NC1=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000518986977 1072098387 /nfs/dbraw/zinc/09/83/87/1072098387.db2.gz JTAGPTBXHMRQMU-UHFFFAOYSA-N 0 0 438.462 -0.767 20 0 IBADRN CN(CC(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000518987739 1072098605 /nfs/dbraw/zinc/09/86/05/1072098605.db2.gz IMAYGFZIZGQSAP-KRWDZBQOSA-N 0 0 433.538 -0.575 20 0 IBADRN CN(CC(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000518987740 1072098532 /nfs/dbraw/zinc/09/85/32/1072098532.db2.gz IMAYGFZIZGQSAP-QGZVFWFLSA-N 0 0 433.538 -0.575 20 0 IBADRN NC(=O)c1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nn1-c1ccccc1 ZINC000518989057 1072100660 /nfs/dbraw/zinc/10/06/60/1072100660.db2.gz PQMQQNXSTCCRPE-UHFFFAOYSA-N 0 0 438.444 -0.595 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000518991350 1072100706 /nfs/dbraw/zinc/10/07/06/1072100706.db2.gz NRXOKGVZEOUYSN-UHFFFAOYSA-N 0 0 443.551 -0.157 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000518991415 1072100671 /nfs/dbraw/zinc/10/06/71/1072100671.db2.gz JSTWXDWDFYJJTA-KRWDZBQOSA-N 0 0 447.536 -0.124 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000518991416 1072100759 /nfs/dbraw/zinc/10/07/59/1072100759.db2.gz JSTWXDWDFYJJTA-QGZVFWFLSA-N 0 0 447.536 -0.124 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)C2=O)n(C)n1 ZINC000518991525 1072100710 /nfs/dbraw/zinc/10/07/10/1072100710.db2.gz PISPJFNDLHEPOH-INIZCTEOSA-N 0 0 444.467 -0.436 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)C2=O)n(C)n1 ZINC000518991526 1072100737 /nfs/dbraw/zinc/10/07/37/1072100737.db2.gz PISPJFNDLHEPOH-MRXNPFEDSA-N 0 0 444.467 -0.436 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O ZINC000518993903 1072100746 /nfs/dbraw/zinc/10/07/46/1072100746.db2.gz SSCOVIJDAXVPME-LLVKDONJSA-N 0 0 441.514 -0.928 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O ZINC000518993905 1072101352 /nfs/dbraw/zinc/10/13/52/1072101352.db2.gz SSCOVIJDAXVPME-NSHDSACASA-N 0 0 441.514 -0.928 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000518998296 1072100222 /nfs/dbraw/zinc/10/02/22/1072100222.db2.gz FBFNARLCYVXAIW-UHFFFAOYSA-N 0 0 439.538 -0.451 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccc(S(=O)(=O)N3CCCC3)cc2)c(=O)n(C)c1=O ZINC000519002205 1072100226 /nfs/dbraw/zinc/10/02/26/1072100226.db2.gz QIXCAFMTPFTMQK-UHFFFAOYSA-N 0 0 442.519 -0.653 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cc1 ZINC000519002265 1072100239 /nfs/dbraw/zinc/10/02/39/1072100239.db2.gz XQWPMHJZEAQXNY-UHFFFAOYSA-N 0 0 438.487 -0.055 20 0 IBADRN COc1ccc(OC)c(CN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1 ZINC000519004897 1072100242 /nfs/dbraw/zinc/10/02/42/1072100242.db2.gz SWOMRADMOXITOA-UHFFFAOYSA-N 0 0 430.465 -0.332 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cn4ccccc4n3)CC2)cn1C ZINC000519006030 1072101355 /nfs/dbraw/zinc/10/13/55/1072101355.db2.gz DHAWDJFTVJCSNE-UHFFFAOYSA-N 0 0 445.505 -0.474 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3C)C1 ZINC000519007491 1072101349 /nfs/dbraw/zinc/10/13/49/1072101349.db2.gz VFEDFVJLKISWPI-GDBMZVCRSA-N 0 0 441.554 -0.187 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3C)C1 ZINC000519007492 1072101365 /nfs/dbraw/zinc/10/13/65/1072101365.db2.gz VFEDFVJLKISWPI-GOEBONIOSA-N 0 0 441.554 -0.187 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3C)C1 ZINC000519007493 1072101364 /nfs/dbraw/zinc/10/13/64/1072101364.db2.gz VFEDFVJLKISWPI-HOCLYGCPSA-N 0 0 441.554 -0.187 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3C)C1 ZINC000519007494 1072101344 /nfs/dbraw/zinc/10/13/44/1072101344.db2.gz VFEDFVJLKISWPI-ZBFHGGJFSA-N 0 0 441.554 -0.187 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000519007690 1072101322 /nfs/dbraw/zinc/10/13/22/1072101322.db2.gz HITGIVOXFSZHPE-GFCCVEGCSA-N 0 0 427.483 -0.595 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000519007691 1072101347 /nfs/dbraw/zinc/10/13/47/1072101347.db2.gz HITGIVOXFSZHPE-LBPRGKRZSA-N 0 0 427.483 -0.595 20 0 IBADRN Cc1nc(CN2C[C@@H](F)C[C@H]2CN(C)S(=O)(=O)N2CCS(=O)(=O)CC2)no1 ZINC000519008250 1072101769 /nfs/dbraw/zinc/10/17/69/1072101769.db2.gz DTDITDCWKPPNKU-STQMWFEESA-N 0 0 425.508 -0.803 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000519008519 1072101808 /nfs/dbraw/zinc/10/18/08/1072101808.db2.gz QYNVYXXKFYSABT-UHFFFAOYSA-N 0 0 445.476 -0.115 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](CS(C)(=O)=O)C2)cc1 ZINC000519008534 1072101735 /nfs/dbraw/zinc/10/17/35/1072101735.db2.gz JHOMOQBGKKLXNN-CYBMUJFWSA-N 0 0 447.535 -0.557 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](CS(C)(=O)=O)C2)cc1 ZINC000519008537 1072101652 /nfs/dbraw/zinc/10/16/52/1072101652.db2.gz JHOMOQBGKKLXNN-ZDUSSCGKSA-N 0 0 447.535 -0.557 20 0 IBADRN Cc1cccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000519009276 1072101691 /nfs/dbraw/zinc/10/16/91/1072101691.db2.gz BBKOGHNEKHCMDZ-UHFFFAOYSA-N 0 0 431.474 -0.235 20 0 IBADRN CNC(=O)CCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000519009396 1072101720 /nfs/dbraw/zinc/10/17/20/1072101720.db2.gz FOXGZUKXZNKDCW-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN COC(=O)COc1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000519010981 1072101742 /nfs/dbraw/zinc/10/17/42/1072101742.db2.gz CIPCYTRMCZNFEI-UHFFFAOYSA-N 0 0 434.449 -0.227 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000519011841 1072102405 /nfs/dbraw/zinc/10/24/05/1072102405.db2.gz ZYYKMDACTGGHBG-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000519011842 1072102293 /nfs/dbraw/zinc/10/22/93/1072102293.db2.gz ZYYKMDACTGGHBG-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](O)C(C)C ZINC000519013067 1072102361 /nfs/dbraw/zinc/10/23/61/1072102361.db2.gz LYDYYOKFSLMTNP-HNNXBMFYSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](O)C(C)C ZINC000519013068 1072102396 /nfs/dbraw/zinc/10/23/96/1072102396.db2.gz LYDYYOKFSLMTNP-OAHLLOKOSA-N 0 0 429.495 -0.212 20 0 IBADRN Cn1c(C(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000519015059 1072102867 /nfs/dbraw/zinc/10/28/67/1072102867.db2.gz DIHRDICNALEKFU-GFCCVEGCSA-N 0 0 425.511 -0.490 20 0 IBADRN Cn1c(C(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000519015060 1072102701 /nfs/dbraw/zinc/10/27/01/1072102701.db2.gz DIHRDICNALEKFU-LBPRGKRZSA-N 0 0 425.511 -0.490 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N[C@H]3CN(C)NC3=O)CC2=O)cc1 ZINC000519015895 1072102881 /nfs/dbraw/zinc/10/28/81/1072102881.db2.gz WKDLXSBYWFANDF-BBRMVZONSA-N 0 0 437.522 -0.469 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N[C@H]3CN(C)NC3=O)CC2=O)cc1 ZINC000519015898 1072102821 /nfs/dbraw/zinc/10/28/21/1072102821.db2.gz WKDLXSBYWFANDF-CJNGLKHVSA-N 0 0 437.522 -0.469 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N[C@@H]3CN(C)NC3=O)CC2=O)cc1 ZINC000519015899 1072102814 /nfs/dbraw/zinc/10/28/14/1072102814.db2.gz WKDLXSBYWFANDF-CZUORRHYSA-N 0 0 437.522 -0.469 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N[C@@H]3CN(C)NC3=O)CC2=O)cc1 ZINC000519015900 1072102785 /nfs/dbraw/zinc/10/27/85/1072102785.db2.gz WKDLXSBYWFANDF-XJKSGUPXSA-N 0 0 437.522 -0.469 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000519020944 1072101781 /nfs/dbraw/zinc/10/17/81/1072101781.db2.gz ZDZWTDQIBNHAHE-CVEARBPZSA-N 0 0 447.540 -0.909 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000519020945 1072102388 /nfs/dbraw/zinc/10/23/88/1072102388.db2.gz ZDZWTDQIBNHAHE-HOTGVXAUSA-N 0 0 447.540 -0.909 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000519020946 1072102408 /nfs/dbraw/zinc/10/24/08/1072102408.db2.gz ZDZWTDQIBNHAHE-HZPDHXFCSA-N 0 0 447.540 -0.909 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000519020947 1072102398 /nfs/dbraw/zinc/10/23/98/1072102398.db2.gz ZDZWTDQIBNHAHE-JKSUJKDBSA-N 0 0 447.540 -0.909 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC000519025821 1072103359 /nfs/dbraw/zinc/10/33/59/1072103359.db2.gz DIVWDRYTZVMAHR-UHFFFAOYSA-N 0 0 445.563 -0.351 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cc(C)sc3C)CC2)C(=O)C1=O ZINC000519028771 1072103993 /nfs/dbraw/zinc/10/39/93/1072103993.db2.gz VXJRXIBSSLUPFV-UHFFFAOYSA-N 0 0 442.563 -0.111 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000519029488 1072103966 /nfs/dbraw/zinc/10/39/66/1072103966.db2.gz JPEFYDGMQKQQEP-UHFFFAOYSA-N 0 0 435.506 -0.380 20 0 IBADRN CCS[C@H]1CCC[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000519029764 1072103884 /nfs/dbraw/zinc/10/38/84/1072103884.db2.gz LFBKJHNNGFUYQL-KBPBESRZSA-N 0 0 438.554 -0.344 20 0 IBADRN CCS[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000519029767 1072103960 /nfs/dbraw/zinc/10/39/60/1072103960.db2.gz LFBKJHNNGFUYQL-KGLIPLIRSA-N 0 0 438.554 -0.344 20 0 IBADRN CCS[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000519029768 1072103948 /nfs/dbraw/zinc/10/39/48/1072103948.db2.gz LFBKJHNNGFUYQL-UONOGXRCSA-N 0 0 438.554 -0.344 20 0 IBADRN CCS[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000519029769 1072103917 /nfs/dbraw/zinc/10/39/17/1072103917.db2.gz LFBKJHNNGFUYQL-ZIAGYGMSSA-N 0 0 438.554 -0.344 20 0 IBADRN Cc1noc(C)c1[C@H](C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519032726 1072103953 /nfs/dbraw/zinc/10/39/53/1072103953.db2.gz ZIERGNZYEHAVIR-CYBMUJFWSA-N 0 0 435.481 -0.959 20 0 IBADRN Cc1noc(C)c1[C@@H](C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519032727 1072104627 /nfs/dbraw/zinc/10/46/27/1072104627.db2.gz ZIERGNZYEHAVIR-ZDUSSCGKSA-N 0 0 435.481 -0.959 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000519034084 1072104413 /nfs/dbraw/zinc/10/44/13/1072104413.db2.gz HGCQKPMFGMHIKO-UHFFFAOYSA-N 0 0 446.475 -0.091 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC([C@]4(C)NC(=O)NC4=O)CC3)C2)n(C)n1 ZINC000519034492 1072104602 /nfs/dbraw/zinc/10/46/02/1072104602.db2.gz VERRZOYDTQFCKV-BTYIYWSLSA-N 0 0 445.524 -0.350 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)C2)n(C)n1 ZINC000519034493 1072104488 /nfs/dbraw/zinc/10/44/88/1072104488.db2.gz VERRZOYDTQFCKV-QVKFZJNVSA-N 0 0 445.524 -0.350 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC([C@]4(C)NC(=O)NC4=O)CC3)C2)n(C)n1 ZINC000519034494 1072104565 /nfs/dbraw/zinc/10/45/65/1072104565.db2.gz VERRZOYDTQFCKV-VFNWGFHPSA-N 0 0 445.524 -0.350 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC([C@@]4(C)NC(=O)NC4=O)CC3)C2)n(C)n1 ZINC000519034495 1072104619 /nfs/dbraw/zinc/10/46/19/1072104619.db2.gz VERRZOYDTQFCKV-YCRPNKLZSA-N 0 0 445.524 -0.350 20 0 IBADRN CN(CCS(=O)(=O)N1CCN2C(=O)NC(=O)[C@H]2C1)S(=O)(=O)c1ccc(F)cc1 ZINC000519037104 1072105125 /nfs/dbraw/zinc/10/51/25/1072105125.db2.gz PGCROEBFRCIAEW-CYBMUJFWSA-N 0 0 434.471 -0.988 20 0 IBADRN CN(CCS(=O)(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1)S(=O)(=O)c1ccc(F)cc1 ZINC000519037105 1072105131 /nfs/dbraw/zinc/10/51/31/1072105131.db2.gz PGCROEBFRCIAEW-ZDUSSCGKSA-N 0 0 434.471 -0.988 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1C ZINC000519037497 1072104610 /nfs/dbraw/zinc/10/46/10/1072104610.db2.gz DQBSMVACAHNNLD-DLBZAZTESA-N 0 0 445.563 -0.804 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1C ZINC000519037500 1072104644 /nfs/dbraw/zinc/10/46/44/1072104644.db2.gz DQBSMVACAHNNLD-IAGOWNOFSA-N 0 0 445.563 -0.804 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1C ZINC000519037501 1072104550 /nfs/dbraw/zinc/10/45/50/1072104550.db2.gz DQBSMVACAHNNLD-IRXDYDNUSA-N 0 0 445.563 -0.804 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1C ZINC000519037503 1072105075 /nfs/dbraw/zinc/10/50/75/1072105075.db2.gz DQBSMVACAHNNLD-SJORKVTESA-N 0 0 445.563 -0.804 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nc4cnccn4c3=O)CC2)cc1 ZINC000519039008 1072105135 /nfs/dbraw/zinc/10/51/35/1072105135.db2.gz VSZLJRMLJLGPJG-UHFFFAOYSA-N 0 0 446.489 -0.177 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)c2ccccc2O1 ZINC000519042314 1072105080 /nfs/dbraw/zinc/10/50/80/1072105080.db2.gz HLNDCEUAQZUVBI-GOSISDBHSA-N 0 0 437.456 -0.094 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)c2ccccc2O1 ZINC000519042315 1072105119 /nfs/dbraw/zinc/10/51/19/1072105119.db2.gz HLNDCEUAQZUVBI-SFHVURJKSA-N 0 0 437.456 -0.094 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000519048749 1072104430 /nfs/dbraw/zinc/10/44/30/1072104430.db2.gz ALSSHVURBXGYNV-UHFFFAOYSA-N 0 0 446.460 -0.530 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000519049452 1072106058 /nfs/dbraw/zinc/10/60/58/1072106058.db2.gz OKHLAGHSLMVRBR-UHFFFAOYSA-N 0 0 432.433 -0.874 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000519050989 1072106150 /nfs/dbraw/zinc/10/61/50/1072106150.db2.gz KKTCQVLHPQUPSV-ARFHVFGLSA-N 0 0 433.575 -0.028 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000519050990 1072106072 /nfs/dbraw/zinc/10/60/72/1072106072.db2.gz KKTCQVLHPQUPSV-HRCADAONSA-N 0 0 433.575 -0.028 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000519050991 1072106126 /nfs/dbraw/zinc/10/61/26/1072106126.db2.gz KKTCQVLHPQUPSV-JYJNAYRXSA-N 0 0 433.575 -0.028 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000519050992 1072106117 /nfs/dbraw/zinc/10/61/17/1072106117.db2.gz KKTCQVLHPQUPSV-OAGGEKHMSA-N 0 0 433.575 -0.028 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)C1 ZINC000519051840 1072106109 /nfs/dbraw/zinc/10/61/09/1072106109.db2.gz YELWANDODFRXAT-BBWFWOEESA-N 0 0 433.571 -0.488 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000519051841 1072106138 /nfs/dbraw/zinc/10/61/38/1072106138.db2.gz YELWANDODFRXAT-BRWVUGGUSA-N 0 0 433.571 -0.488 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000519051842 1072106097 /nfs/dbraw/zinc/10/60/97/1072106097.db2.gz YELWANDODFRXAT-GVDBMIGSSA-N 0 0 433.571 -0.488 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)C1 ZINC000519051843 1072106106 /nfs/dbraw/zinc/10/61/06/1072106106.db2.gz YELWANDODFRXAT-ZACQAIPSSA-N 0 0 433.571 -0.488 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000519056832 1072105050 /nfs/dbraw/zinc/10/50/50/1072105050.db2.gz PXVRTFLVQAOKPC-UHFFFAOYSA-N 0 0 436.581 -0.788 20 0 IBADRN COc1cccc(CC(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c1 ZINC000519064559 1072107132 /nfs/dbraw/zinc/10/71/32/1072107132.db2.gz DZORGRKFLGJFOF-UHFFFAOYSA-N 0 0 436.490 -0.832 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000519066875 1072107061 /nfs/dbraw/zinc/10/70/61/1072107061.db2.gz ZBIZMLIHCKLCCV-KSZLIROESA-N 0 0 444.598 -0.819 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000519066876 1072107126 /nfs/dbraw/zinc/10/71/26/1072107126.db2.gz ZBIZMLIHCKLCCV-KURKYZTESA-N 0 0 444.598 -0.819 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000519066877 1072107109 /nfs/dbraw/zinc/10/71/09/1072107109.db2.gz ZBIZMLIHCKLCCV-KZNAEPCWSA-N 0 0 444.598 -0.819 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000519066878 1072107137 /nfs/dbraw/zinc/10/71/37/1072107137.db2.gz ZBIZMLIHCKLCCV-RCCFBDPRSA-N 0 0 444.598 -0.819 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)CC1 ZINC000519068696 1072107472 /nfs/dbraw/zinc/10/74/72/1072107472.db2.gz MHRKGEFVPPELQE-UHFFFAOYSA-N 0 0 430.914 -0.038 20 0 IBADRN CCOC1CC(CNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)(N2CCOCC2)C1 ZINC000519070983 1072108055 /nfs/dbraw/zinc/10/80/55/1072108055.db2.gz OFZQRTYZKPHWSQ-UHFFFAOYSA-N 0 0 433.552 -0.118 20 0 IBADRN CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000519082592 1072109380 /nfs/dbraw/zinc/10/93/80/1072109380.db2.gz KZQUMSYLFPDWSY-INIZCTEOSA-N 0 0 446.618 -0.255 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519085162 1072108517 /nfs/dbraw/zinc/10/85/17/1072108517.db2.gz OHOJBOULPCLBSK-IBGZPJMESA-N 0 0 428.489 -0.511 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519085163 1072108435 /nfs/dbraw/zinc/10/84/35/1072108435.db2.gz OHOJBOULPCLBSK-LJQANCHMSA-N 0 0 428.489 -0.511 20 0 IBADRN COC(=O)CCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000519085501 1072108511 /nfs/dbraw/zinc/10/85/11/1072108511.db2.gz MKGRMCPQNCIMCS-UHFFFAOYSA-N 0 0 440.478 -0.795 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000519085978 1072108480 /nfs/dbraw/zinc/10/84/80/1072108480.db2.gz KMHJYFURRBELHA-FZMZJTMJSA-N 0 0 430.914 -0.140 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000519085979 1072108496 /nfs/dbraw/zinc/10/84/96/1072108496.db2.gz KMHJYFURRBELHA-SMDDNHRTSA-N 0 0 430.914 -0.140 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000519088073 1072108506 /nfs/dbraw/zinc/10/85/06/1072108506.db2.gz LMYKVDZIUUBMQX-HNNXBMFYSA-N 0 0 438.506 -0.826 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000519088074 1072108524 /nfs/dbraw/zinc/10/85/24/1072108524.db2.gz LMYKVDZIUUBMQX-OAHLLOKOSA-N 0 0 438.506 -0.826 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)C1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000519093492 1072109399 /nfs/dbraw/zinc/10/93/99/1072109399.db2.gz XNKBJYDZUOBYMZ-UHFFFAOYSA-N 0 0 434.877 -0.321 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000519098435 1072110332 /nfs/dbraw/zinc/11/03/32/1072110332.db2.gz ITPRSPCLQXJJIC-AWEZNQCLSA-N 0 0 435.572 -0.127 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000519098436 1072110428 /nfs/dbraw/zinc/11/04/28/1072110428.db2.gz ITPRSPCLQXJJIC-CQSZACIVSA-N 0 0 435.572 -0.127 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)cn1 ZINC000519099461 1072110415 /nfs/dbraw/zinc/11/04/15/1072110415.db2.gz VFWAHYROQUZQJL-GOSISDBHSA-N 0 0 446.552 -0.431 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)cn1 ZINC000519099462 1072110337 /nfs/dbraw/zinc/11/03/37/1072110337.db2.gz VFWAHYROQUZQJL-SFHVURJKSA-N 0 0 446.552 -0.431 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccnc(-n2cncn2)c1 ZINC000519103602 1072110937 /nfs/dbraw/zinc/11/09/37/1072110937.db2.gz NAJUTZWESHBCLI-UHFFFAOYSA-N 0 0 442.505 -0.061 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000519103846 1072110878 /nfs/dbraw/zinc/11/08/78/1072110878.db2.gz JHJADAMXSXRWBG-HNNXBMFYSA-N 0 0 440.570 -0.246 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000519103847 1072110824 /nfs/dbraw/zinc/11/08/24/1072110824.db2.gz JHJADAMXSXRWBG-OAHLLOKOSA-N 0 0 440.570 -0.246 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1 ZINC000519106514 1072109890 /nfs/dbraw/zinc/10/98/90/1072109890.db2.gz AVPGWUIFVHQDIH-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCn3ccnc3)CC2)cc1 ZINC000519114387 1072111331 /nfs/dbraw/zinc/11/13/31/1072111331.db2.gz MGWLKCOQOZIYBI-UHFFFAOYSA-N 0 0 435.506 -0.069 20 0 IBADRN O=C(CNC(=O)c1cncc(C(=O)NCC(=O)NCC(F)(F)F)c1)NCC(F)(F)F ZINC000519115422 1072111866 /nfs/dbraw/zinc/11/18/66/1072111866.db2.gz JPWULCBJKLZUHC-UHFFFAOYSA-N 0 0 443.304 -0.102 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000519122967 1072112336 /nfs/dbraw/zinc/11/23/36/1072112336.db2.gz LIZWOLGNPHYAEL-HNNXBMFYSA-N 0 0 431.493 -0.757 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000519122968 1072112436 /nfs/dbraw/zinc/11/24/36/1072112436.db2.gz LIZWOLGNPHYAEL-OAHLLOKOSA-N 0 0 431.493 -0.757 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000519123957 1072112472 /nfs/dbraw/zinc/11/24/72/1072112472.db2.gz KQPNKFLGJZUJDM-CRAIPNDOSA-N 0 0 441.554 -0.162 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000519123958 1072112485 /nfs/dbraw/zinc/11/24/85/1072112485.db2.gz KQPNKFLGJZUJDM-MAUKXSAKSA-N 0 0 441.554 -0.162 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CC1 ZINC000519123959 1072112405 /nfs/dbraw/zinc/11/24/05/1072112405.db2.gz KQPNKFLGJZUJDM-QAPCUYQASA-N 0 0 441.554 -0.162 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CC1 ZINC000519123960 1072112380 /nfs/dbraw/zinc/11/23/80/1072112380.db2.gz KQPNKFLGJZUJDM-YJBOKZPZSA-N 0 0 441.554 -0.162 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)n1C ZINC000519125855 1072110911 /nfs/dbraw/zinc/11/09/11/1072110911.db2.gz DSWHLFHDFDTGSM-UHFFFAOYSA-N 0 0 446.483 -0.009 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c2ccccn21 ZINC000519126459 1072110968 /nfs/dbraw/zinc/11/09/68/1072110968.db2.gz RQEGYLGTNBMQJB-UHFFFAOYSA-N 0 0 433.490 -0.355 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccn21 ZINC000519127238 1072112933 /nfs/dbraw/zinc/11/29/33/1072112933.db2.gz AGWMEPUKDYTYET-UHFFFAOYSA-N 0 0 435.506 -0.646 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)CNC(=O)CNC(C)=O)c2)CC1 ZINC000519128723 1072112903 /nfs/dbraw/zinc/11/29/03/1072112903.db2.gz VUBHYAOYFRTNTF-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(COC)nn4C3)CC2)n1 ZINC000519129832 1072113523 /nfs/dbraw/zinc/11/35/23/1072113523.db2.gz PPVQMBJKTHGPTA-GFCCVEGCSA-N 0 0 434.526 -0.382 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCc4nc(COC)nn4C3)CC2)n1 ZINC000519129833 1072113474 /nfs/dbraw/zinc/11/34/74/1072113474.db2.gz PPVQMBJKTHGPTA-LBPRGKRZSA-N 0 0 434.526 -0.382 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)c1 ZINC000519139184 1072112889 /nfs/dbraw/zinc/11/28/89/1072112889.db2.gz RSMIFLYREYLIKW-UHFFFAOYSA-N 0 0 448.457 -0.203 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CNS(=O)(=O)c2cccs2)c1 ZINC000519139476 1072112910 /nfs/dbraw/zinc/11/29/10/1072112910.db2.gz PKDSUNWRABBDKJ-UHFFFAOYSA-N 0 0 446.532 -0.311 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)cn1 ZINC000519140800 1072112962 /nfs/dbraw/zinc/11/29/62/1072112962.db2.gz QNOVFJXDWLCDHV-UHFFFAOYSA-N 0 0 435.915 -0.554 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000519145572 1072113997 /nfs/dbraw/zinc/11/39/97/1072113997.db2.gz VDKBASZHINHMTA-INIZCTEOSA-N 0 0 428.497 -0.530 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000519145573 1072114006 /nfs/dbraw/zinc/11/40/06/1072114006.db2.gz VDKBASZHINHMTA-MRXNPFEDSA-N 0 0 428.497 -0.530 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519146384 1072114420 /nfs/dbraw/zinc/11/44/20/1072114420.db2.gz RNTQBPVLUMCNOF-UHFFFAOYSA-N 0 0 444.492 -0.637 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H](c1cccc(F)c1)N1CCOCC1)c(=O)n2C ZINC000519148159 1072114272 /nfs/dbraw/zinc/11/42/72/1072114272.db2.gz YIJFMRLOOXIJBS-INIZCTEOSA-N 0 0 444.467 -0.238 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H](c1cccc(F)c1)N1CCOCC1)c(=O)n2C ZINC000519148160 1072114387 /nfs/dbraw/zinc/11/43/87/1072114387.db2.gz YIJFMRLOOXIJBS-MRXNPFEDSA-N 0 0 444.467 -0.238 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cccc(C(N)=O)c2)c1 ZINC000519148225 1072114400 /nfs/dbraw/zinc/11/44/00/1072114400.db2.gz CYABSNBPFYLKNH-UHFFFAOYSA-N 0 0 426.476 -0.389 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1 ZINC000519151764 1072114881 /nfs/dbraw/zinc/11/48/81/1072114881.db2.gz FZCVVYCLYFIWCC-UHFFFAOYSA-N 0 0 449.445 -0.977 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc21 ZINC000519151875 1072114908 /nfs/dbraw/zinc/11/49/08/1072114908.db2.gz KIKFCPLPPVQTLO-UHFFFAOYSA-N 0 0 448.501 -0.423 20 0 IBADRN CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000519151952 1072114896 /nfs/dbraw/zinc/11/48/96/1072114896.db2.gz OQVIWCZDNTVLQB-UHFFFAOYSA-N 0 0 436.490 -0.065 20 0 IBADRN Cn1c2ncc(S(=O)(=O)NC[C@@H](Cc3ccc(F)cc3)C(N)=O)cc2c(=O)[nH]c1=O ZINC000519152035 1072114802 /nfs/dbraw/zinc/11/48/02/1072114802.db2.gz UAQAIOXLSYWYLU-LLVKDONJSA-N 0 0 435.437 -0.204 20 0 IBADRN Cn1c2ncc(S(=O)(=O)NC[C@H](Cc3ccc(F)cc3)C(N)=O)cc2c(=O)[nH]c1=O ZINC000519152036 1072114791 /nfs/dbraw/zinc/11/47/91/1072114791.db2.gz UAQAIOXLSYWYLU-NSHDSACASA-N 0 0 435.437 -0.204 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000519152801 1072532208 /nfs/dbraw/zinc/53/22/08/1072532208.db2.gz IAZAOSHHGMYRCM-UHFFFAOYSA-N 0 0 443.453 -0.175 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)c(=O)n(C)c1=O ZINC000519153639 1072113391 /nfs/dbraw/zinc/11/33/91/1072113391.db2.gz JANOATSCCNQILD-HNNXBMFYSA-N 0 0 426.470 -0.425 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)c(=O)n(C)c1=O ZINC000519153640 1072113284 /nfs/dbraw/zinc/11/32/84/1072113284.db2.gz JANOATSCCNQILD-OAHLLOKOSA-N 0 0 426.470 -0.425 20 0 IBADRN COc1ccnc(N2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)CC2)n1 ZINC000519155992 1072113315 /nfs/dbraw/zinc/11/33/15/1072113315.db2.gz ARKCRLZSUXEONU-UHFFFAOYSA-N 0 0 436.494 -0.203 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CCCN3C(=O)NC(C)(C)C3=O)CC2)C[C@H](C)O1 ZINC000519160248 1072113962 /nfs/dbraw/zinc/11/39/62/1072113962.db2.gz BWJHRXIAKKQHJU-GASCZTMLSA-N 0 0 431.559 -0.322 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CCCN3C(=O)NC(C)(C)C3=O)CC2)C[C@H](C)O1 ZINC000519160249 1072113885 /nfs/dbraw/zinc/11/38/85/1072113885.db2.gz BWJHRXIAKKQHJU-GJZGRUSLSA-N 0 0 431.559 -0.322 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CCCN3C(=O)NC(C)(C)C3=O)CC2)C[C@@H](C)O1 ZINC000519160250 1072113941 /nfs/dbraw/zinc/11/39/41/1072113941.db2.gz BWJHRXIAKKQHJU-HUUCEWRRSA-N 0 0 431.559 -0.322 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cccc(CS(N)(=O)=O)c2)c1 ZINC000519162388 1072113936 /nfs/dbraw/zinc/11/39/36/1072113936.db2.gz KAWBNVKBYPUFEO-UHFFFAOYSA-N 0 0 440.503 -0.248 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nccc(OC)n3)CC2)cc1 ZINC000519165477 1072116242 /nfs/dbraw/zinc/11/62/42/1072116242.db2.gz BZMQOAWKQPZWJT-UHFFFAOYSA-N 0 0 427.508 -0.096 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)C(=O)NC4=O)CC2)o1 ZINC000519168826 1072116252 /nfs/dbraw/zinc/11/62/52/1072116252.db2.gz CMVDEKOPXPQNND-UHFFFAOYSA-N 0 0 432.414 -0.591 20 0 IBADRN Cc1nc(C(=O)N(C)C)ccc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000519169234 1072116612 /nfs/dbraw/zinc/11/66/12/1072116612.db2.gz SSIMDTDRYKHSQF-UHFFFAOYSA-N 0 0 438.554 -0.658 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CC[C@H](NC(=O)Nc3ccc(F)cc3)C2)CC1 ZINC000519173174 1072115378 /nfs/dbraw/zinc/11/53/78/1072115378.db2.gz PEFOYDQVVVAWCL-KRWDZBQOSA-N 0 0 448.499 -0.125 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CC[C@@H](NC(=O)Nc3ccc(F)cc3)C2)CC1 ZINC000519173175 1072115356 /nfs/dbraw/zinc/11/53/56/1072115356.db2.gz PEFOYDQVVVAWCL-QGZVFWFLSA-N 0 0 448.499 -0.125 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)C1 ZINC000519174308 1072115410 /nfs/dbraw/zinc/11/54/10/1072115410.db2.gz BMFXFXVEPDDKRE-KBPBESRZSA-N 0 0 436.469 -0.595 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)C1 ZINC000519174309 1072115469 /nfs/dbraw/zinc/11/54/69/1072115469.db2.gz BMFXFXVEPDDKRE-KGLIPLIRSA-N 0 0 436.469 -0.595 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)C1 ZINC000519174310 1072115422 /nfs/dbraw/zinc/11/54/22/1072115422.db2.gz BMFXFXVEPDDKRE-UONOGXRCSA-N 0 0 436.469 -0.595 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)C1 ZINC000519174311 1072115425 /nfs/dbraw/zinc/11/54/25/1072115425.db2.gz BMFXFXVEPDDKRE-ZIAGYGMSSA-N 0 0 436.469 -0.595 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000519175874 1072115472 /nfs/dbraw/zinc/11/54/72/1072115472.db2.gz IGMIMGVERFRPFY-UHFFFAOYSA-N 0 0 429.477 -0.352 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1CCCc2ccccc21 ZINC000519177897 1072115389 /nfs/dbraw/zinc/11/53/89/1072115389.db2.gz QCVGUAPXVYDJDQ-UHFFFAOYSA-N 0 0 441.488 -0.031 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC(=O)NCC(F)(F)F)CC2)o1 ZINC000519182658 1072117037 /nfs/dbraw/zinc/11/70/37/1072117037.db2.gz NKWHGZYXZHPDRP-UHFFFAOYSA-N 0 0 441.388 -0.927 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC[C@H]1CCN(c2ccccc2)C1 ZINC000519187666 1072117007 /nfs/dbraw/zinc/11/70/07/1072117007.db2.gz APEZKBDBGXVGJK-GOSISDBHSA-N 0 0 441.532 -0.078 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000519187667 1072116989 /nfs/dbraw/zinc/11/69/89/1072116989.db2.gz APEZKBDBGXVGJK-SFHVURJKSA-N 0 0 441.532 -0.078 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000519190449 1072116629 /nfs/dbraw/zinc/11/66/29/1072116629.db2.gz PGPRLPQOQQQPNO-UHFFFAOYSA-N 0 0 446.504 -0.127 20 0 IBADRN COc1ccc(NC(=O)NCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000519191550 1072116538 /nfs/dbraw/zinc/11/65/38/1072116538.db2.gz DEXKUOAQPYPFCE-UHFFFAOYSA-N 0 0 436.512 -0.613 20 0 IBADRN NC(=O)CN(CCC1CCCCC1)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000519191709 1072116589 /nfs/dbraw/zinc/11/65/89/1072116589.db2.gz MGCWFQDRSHSNFR-UHFFFAOYSA-N 0 0 437.585 -0.253 20 0 IBADRN Cc1ccccc1C(=O)NC1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000519192857 1072116563 /nfs/dbraw/zinc/11/65/63/1072116563.db2.gz UQWNLAWSUBBXDJ-UHFFFAOYSA-N 0 0 443.548 -0.004 20 0 IBADRN CC(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000519203608 1072119278 /nfs/dbraw/zinc/11/92/78/1072119278.db2.gz JNCDVZJJWURTNI-UHFFFAOYSA-N 0 0 430.575 -0.936 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)CC1)N1CCCC1 ZINC000519216046 1072119829 /nfs/dbraw/zinc/11/98/29/1072119829.db2.gz AJLPMHAFICVVRM-INIZCTEOSA-N 0 0 432.500 -0.318 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)CC1)N1CCCC1 ZINC000519216047 1072119750 /nfs/dbraw/zinc/11/97/50/1072119750.db2.gz AJLPMHAFICVVRM-MRXNPFEDSA-N 0 0 432.500 -0.318 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nc(-c3cccc(F)c3)no2)CC1)NC1CC1 ZINC000519221780 1072120366 /nfs/dbraw/zinc/12/03/66/1072120366.db2.gz ISFNWUMDKYEJMW-UHFFFAOYSA-N 0 0 430.440 -0.085 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000519222580 1072533331 /nfs/dbraw/zinc/53/33/31/1072533331.db2.gz VUBLXXPXORNNOZ-HNNXBMFYSA-N 0 0 437.447 -0.533 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000519222581 1072120413 /nfs/dbraw/zinc/12/04/13/1072120413.db2.gz VUBLXXPXORNNOZ-OAHLLOKOSA-N 0 0 437.447 -0.533 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)C1=O ZINC000519224418 1072120873 /nfs/dbraw/zinc/12/08/73/1072120873.db2.gz IFCRHAVFZCQWJK-CHWSQXEVSA-N 0 0 436.490 -0.118 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)C1=O ZINC000519224419 1072120718 /nfs/dbraw/zinc/12/07/18/1072120718.db2.gz IFCRHAVFZCQWJK-OLZOCXBDSA-N 0 0 436.490 -0.118 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)C1=O ZINC000519224420 1072120776 /nfs/dbraw/zinc/12/07/76/1072120776.db2.gz IFCRHAVFZCQWJK-QWHCGFSZSA-N 0 0 436.490 -0.118 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)C1=O ZINC000519224421 1072120882 /nfs/dbraw/zinc/12/08/82/1072120882.db2.gz IFCRHAVFZCQWJK-STQMWFEESA-N 0 0 436.490 -0.118 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCCS3)CC2)o1 ZINC000519224847 1072120827 /nfs/dbraw/zinc/12/08/27/1072120827.db2.gz ZMJLPJBNRTYTTM-GFCCVEGCSA-N 0 0 444.535 -0.387 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCCS3)CC2)o1 ZINC000519224848 1072120736 /nfs/dbraw/zinc/12/07/36/1072120736.db2.gz ZMJLPJBNRTYTTM-LBPRGKRZSA-N 0 0 444.535 -0.387 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1ccccc1S(=O)(=O)N1CCOCC1)c2=O ZINC000519229546 1072120750 /nfs/dbraw/zinc/12/07/50/1072120750.db2.gz IFQZRGMISJDPQD-UHFFFAOYSA-N 0 0 432.462 -0.210 20 0 IBADRN CCN1CCN(C(=O)CN(CC(=O)N2CCN(CC)C(=O)C2)Cc2ccccc2)CC1=O ZINC000519231582 1072121334 /nfs/dbraw/zinc/12/13/34/1072121334.db2.gz DUBJEQYTIDJWPX-UHFFFAOYSA-N 0 0 443.548 -0.130 20 0 IBADRN COC(=O)CSCCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000519234081 1072121365 /nfs/dbraw/zinc/12/13/65/1072121365.db2.gz OECCOLOCEGKMOL-UHFFFAOYSA-N 0 0 445.567 -0.261 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)NCCC(=O)N2CCOCC2)c1 ZINC000519238862 1072121677 /nfs/dbraw/zinc/12/16/77/1072121677.db2.gz DSXPVNWSKFXNNX-UHFFFAOYSA-N 0 0 428.392 -0.267 20 0 IBADRN O=C(Nc1cc(F)c(F)cc1F)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000519239714 1072121748 /nfs/dbraw/zinc/12/17/48/1072121748.db2.gz SZEBIYQUASQFAX-UHFFFAOYSA-N 0 0 436.412 -0.236 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000519239750 1072121824 /nfs/dbraw/zinc/12/18/24/1072121824.db2.gz VJIJLUVWKBMBGZ-HNNXBMFYSA-N 0 0 440.522 -0.517 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000519239751 1072121667 /nfs/dbraw/zinc/12/16/67/1072121667.db2.gz VJIJLUVWKBMBGZ-OAHLLOKOSA-N 0 0 440.522 -0.517 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000519240648 1072121829 /nfs/dbraw/zinc/12/18/29/1072121829.db2.gz MBVCZOGTGNZEOU-INIZCTEOSA-N 0 0 442.538 -0.271 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000519240656 1072121809 /nfs/dbraw/zinc/12/18/09/1072121809.db2.gz MBVCZOGTGNZEOU-MRXNPFEDSA-N 0 0 442.538 -0.271 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000519241087 1072121737 /nfs/dbraw/zinc/12/17/37/1072121737.db2.gz RZZBODMYOUIUCW-UHFFFAOYSA-N 0 0 440.460 -0.407 20 0 IBADRN Cc1ccncc1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000519241694 1072122232 /nfs/dbraw/zinc/12/22/32/1072122232.db2.gz DDTWIEZCQXKUAW-UHFFFAOYSA-N 0 0 432.506 -0.008 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(F)c(F)cc3F)CC2)CC1 ZINC000519241967 1072122201 /nfs/dbraw/zinc/12/22/01/1072122201.db2.gz KWZLOIDSOCFGTA-UHFFFAOYSA-N 0 0 449.455 -0.321 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000519247632 1072123137 /nfs/dbraw/zinc/12/31/37/1072123137.db2.gz BPJLJBBIHWXUQK-UHFFFAOYSA-N 0 0 445.520 -0.093 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000519248108 1072122597 /nfs/dbraw/zinc/12/25/97/1072122597.db2.gz ZCBZGRWFJBBTAA-UHFFFAOYSA-N 0 0 441.553 -0.842 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000519249363 1072123176 /nfs/dbraw/zinc/12/31/76/1072123176.db2.gz AJDJXYGHAIDHRS-UHFFFAOYSA-N 0 0 441.247 -0.096 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc1 ZINC000519250935 1072123145 /nfs/dbraw/zinc/12/31/45/1072123145.db2.gz YDHYDKMZPUZMOR-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN COc1cccc(C=c2sc(=C(C#N)C(=O)N(C)c3ccccc3)n(CC(N)=O)c2=O)n1 ZINC000519260927 1072122176 /nfs/dbraw/zinc/12/21/76/1072122176.db2.gz GKCZQNQZSXBIKT-UHFFFAOYSA-N 0 0 449.492 -0.035 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000519266214 1072125107 /nfs/dbraw/zinc/12/51/07/1072125107.db2.gz ISVLJULQQGAPFC-HNNXBMFYSA-N 0 0 439.494 -0.766 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2cccc([N+](=O)[O-])c2)CC1 ZINC000519268403 1072123671 /nfs/dbraw/zinc/12/36/71/1072123671.db2.gz MXNZDPIRWQOWEA-UHFFFAOYSA-N 0 0 435.484 -0.840 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@@H](C)C(=O)Nc2cccc(S(=O)(=O)NC)c2)o1 ZINC000519270274 1072124140 /nfs/dbraw/zinc/12/41/40/1072124140.db2.gz DTRJNKUERQITSF-JTQLQIEISA-N 0 0 444.491 -0.147 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@H](C)C(=O)Nc2cccc(S(=O)(=O)NC)c2)o1 ZINC000519270275 1072124074 /nfs/dbraw/zinc/12/40/74/1072124074.db2.gz DTRJNKUERQITSF-SNVBAGLBSA-N 0 0 444.491 -0.147 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)Cn2nc3ccccn3c2=O)c1 ZINC000519270550 1072124058 /nfs/dbraw/zinc/12/40/58/1072124058.db2.gz UAZYYTFHYPCATO-GFCCVEGCSA-N 0 0 432.462 -0.453 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)Cn2nc3ccccn3c2=O)c1 ZINC000519270551 1072124052 /nfs/dbraw/zinc/12/40/52/1072124052.db2.gz UAZYYTFHYPCATO-LBPRGKRZSA-N 0 0 432.462 -0.453 20 0 IBADRN Cn1c(C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000519271103 1072124080 /nfs/dbraw/zinc/12/40/80/1072124080.db2.gz RVNPUDOJDSBQTR-UHFFFAOYSA-N 0 0 434.474 -0.212 20 0 IBADRN Cn1cc(CN2CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2)cn1 ZINC000519276295 1072125526 /nfs/dbraw/zinc/12/55/26/1072125526.db2.gz QTZAYHLWEHLDSH-UHFFFAOYSA-N 0 0 446.533 -0.501 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000519277196 1072124633 /nfs/dbraw/zinc/12/46/33/1072124633.db2.gz RLJREMQGWFHOJV-UHFFFAOYSA-N 0 0 446.533 -0.501 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCCN1C(=O)CCC1=O ZINC000519277284 1072126011 /nfs/dbraw/zinc/12/60/11/1072126011.db2.gz WQHIDODGVVTTPR-UHFFFAOYSA-N 0 0 429.520 -0.033 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000519278144 1072126063 /nfs/dbraw/zinc/12/60/63/1072126063.db2.gz TYWMOJTZOFTBHM-UHFFFAOYSA-N 0 0 448.549 -0.138 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cc1 ZINC000519281737 1072126072 /nfs/dbraw/zinc/12/60/72/1072126072.db2.gz JKRWFMMRLYLQGG-UHFFFAOYSA-N 0 0 439.471 -0.023 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000519281828 1072126069 /nfs/dbraw/zinc/12/60/69/1072126069.db2.gz MTLUEXRAQBSWQR-AVGNSLFASA-N 0 0 434.540 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000519281829 1072126019 /nfs/dbraw/zinc/12/60/19/1072126019.db2.gz MTLUEXRAQBSWQR-FRRDWIJNSA-N 0 0 434.540 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000519281830 1072125989 /nfs/dbraw/zinc/12/59/89/1072125989.db2.gz MTLUEXRAQBSWQR-UPJWGTAASA-N 0 0 434.540 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000519281831 1072126059 /nfs/dbraw/zinc/12/60/59/1072126059.db2.gz MTLUEXRAQBSWQR-XQQFMLRXSA-N 0 0 434.540 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCCN2CCCS2(=O)=O)c1 ZINC000519283738 1072126056 /nfs/dbraw/zinc/12/60/56/1072126056.db2.gz ZHLOXLGPKONXRU-GFCCVEGCSA-N 0 0 447.539 -0.744 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCCN2CCCS2(=O)=O)c1 ZINC000519283739 1072126044 /nfs/dbraw/zinc/12/60/44/1072126044.db2.gz ZHLOXLGPKONXRU-LBPRGKRZSA-N 0 0 447.539 -0.744 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2C#N)CC1)[C@@H](C)C(N)=O ZINC000519288952 1072125151 /nfs/dbraw/zinc/12/51/51/1072125151.db2.gz MGTXDCJFBDZVMJ-HNNXBMFYSA-N 0 0 437.522 -0.787 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2C#N)CC1)[C@H](C)C(N)=O ZINC000519288955 1072125131 /nfs/dbraw/zinc/12/51/31/1072125131.db2.gz MGTXDCJFBDZVMJ-OAHLLOKOSA-N 0 0 437.522 -0.787 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000519289974 1072125505 /nfs/dbraw/zinc/12/55/05/1072125505.db2.gz IPQLWSYJBLMKFO-UHFFFAOYSA-N 0 0 426.495 -0.466 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCNC(=O)C(C)C ZINC000519290635 1072125547 /nfs/dbraw/zinc/12/55/47/1072125547.db2.gz ZTLYEPJIUIKRTH-UHFFFAOYSA-N 0 0 433.509 -0.090 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)cn1 ZINC000519291273 1072125565 /nfs/dbraw/zinc/12/55/65/1072125565.db2.gz RDNZLRLUFJYIHG-UHFFFAOYSA-N 0 0 426.481 -0.966 20 0 IBADRN CC[C@@H](C)C(=O)N1CSC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519291369 1072126593 /nfs/dbraw/zinc/12/65/93/1072126593.db2.gz XBYDLNZLMQNKPL-CABCVRRESA-N 0 0 426.539 -0.536 20 0 IBADRN CC[C@H](C)C(=O)N1CSC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519291370 1072126616 /nfs/dbraw/zinc/12/66/16/1072126616.db2.gz XBYDLNZLMQNKPL-GJZGRUSLSA-N 0 0 426.539 -0.536 20 0 IBADRN CC[C@@H](C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519291371 1072126550 /nfs/dbraw/zinc/12/65/50/1072126550.db2.gz XBYDLNZLMQNKPL-HUUCEWRRSA-N 0 0 426.539 -0.536 20 0 IBADRN CC[C@H](C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519291372 1072126633 /nfs/dbraw/zinc/12/66/33/1072126633.db2.gz XBYDLNZLMQNKPL-LSDHHAIUSA-N 0 0 426.539 -0.536 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)c1 ZINC000519296453 1072126945 /nfs/dbraw/zinc/12/69/45/1072126945.db2.gz XGKCBXDVHFWZJB-UHFFFAOYSA-N 0 0 431.474 -0.087 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000519299847 1072127040 /nfs/dbraw/zinc/12/70/40/1072127040.db2.gz ZYESROISSOGGBQ-PBHICJAKSA-N 0 0 434.522 -0.542 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000519299849 1072127014 /nfs/dbraw/zinc/12/70/14/1072127014.db2.gz ZYESROISSOGGBQ-RHSMWYFYSA-N 0 0 434.522 -0.542 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000519299850 1072126961 /nfs/dbraw/zinc/12/69/61/1072126961.db2.gz ZYESROISSOGGBQ-WMLDXEAASA-N 0 0 434.522 -0.542 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000519299851 1072127546 /nfs/dbraw/zinc/12/75/46/1072127546.db2.gz ZYESROISSOGGBQ-YOEHRIQHSA-N 0 0 434.522 -0.542 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000519303448 1072126635 /nfs/dbraw/zinc/12/66/35/1072126635.db2.gz NFXBHLBKOOGSIO-UHFFFAOYSA-N 0 0 432.524 -0.584 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000519303457 1072126585 /nfs/dbraw/zinc/12/65/85/1072126585.db2.gz NJHZFWJZZXPOTP-UHFFFAOYSA-N 0 0 438.506 -0.870 20 0 IBADRN C[C@@H](COCC(F)(F)F)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000519305305 1072126500 /nfs/dbraw/zinc/12/65/00/1072126500.db2.gz CABCLZSSUFNLKF-ZDUSSCGKSA-N 0 0 431.481 -0.227 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3cccc(-n4cnnn4)c3)CC2)cc1 ZINC000519309184 1072127527 /nfs/dbraw/zinc/12/75/27/1072127527.db2.gz LWWYCUSMNHUHTQ-UHFFFAOYSA-N 0 0 449.518 -0.179 20 0 IBADRN CCCCOCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000519315179 1072127973 /nfs/dbraw/zinc/12/79/73/1072127973.db2.gz VKXNBDAGODLDSD-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCC[C@H](C)C2)cn1C ZINC000519315816 1072127957 /nfs/dbraw/zinc/12/79/57/1072127957.db2.gz WVKVMVINPNONNY-DZGCQCFKSA-N 0 0 440.522 -0.051 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C)C2)cn1C ZINC000519315817 1072127989 /nfs/dbraw/zinc/12/79/89/1072127989.db2.gz WVKVMVINPNONNY-HIFRSBDPSA-N 0 0 440.522 -0.051 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C)C2)cn1C ZINC000519315818 1072128006 /nfs/dbraw/zinc/12/80/06/1072128006.db2.gz WVKVMVINPNONNY-UKRRQHHQSA-N 0 0 440.522 -0.051 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCC[C@H](C)C2)cn1C ZINC000519315819 1072127947 /nfs/dbraw/zinc/12/79/47/1072127947.db2.gz WVKVMVINPNONNY-ZFWWWQNUSA-N 0 0 440.522 -0.051 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000519324821 1072128473 /nfs/dbraw/zinc/12/84/73/1072128473.db2.gz JNFLRMWMCRXVDR-UHFFFAOYSA-N 0 0 438.506 -0.870 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@@H](C)CN2CC[NH+](C)CC2)CC1 ZINC000519325930 1072128382 /nfs/dbraw/zinc/12/83/82/1072128382.db2.gz NEWBGEIAIHOPPP-AWEZNQCLSA-N 0 0 428.559 -0.057 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@H](C)CN2CC[NH+](C)CC2)CC1 ZINC000519325931 1072128416 /nfs/dbraw/zinc/12/84/16/1072128416.db2.gz NEWBGEIAIHOPPP-CQSZACIVSA-N 0 0 428.559 -0.057 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1cccc(C)c1 ZINC000519329557 1072128469 /nfs/dbraw/zinc/12/84/69/1072128469.db2.gz XLGYHNYFGHIFIB-HNNXBMFYSA-N 0 0 427.461 -0.110 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1cccc(C)c1 ZINC000519329558 1072128411 /nfs/dbraw/zinc/12/84/11/1072128411.db2.gz XLGYHNYFGHIFIB-OAHLLOKOSA-N 0 0 427.461 -0.110 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)CCO4)CC2)CC1 ZINC000519337519 1072129443 /nfs/dbraw/zinc/12/94/43/1072129443.db2.gz HEBUTHKPXMHOPW-UHFFFAOYSA-N 0 0 437.522 -0.804 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(C(=O)NCCCOC)CC2)c1 ZINC000519337784 1072129405 /nfs/dbraw/zinc/12/94/05/1072129405.db2.gz UTRKMZXNQRLACR-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)CC1 ZINC000519339557 1072128492 /nfs/dbraw/zinc/12/84/92/1072128492.db2.gz MXFCEUFKVBISBZ-UHFFFAOYSA-N 0 0 432.510 -0.397 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000519339559 1072128888 /nfs/dbraw/zinc/12/88/88/1072128888.db2.gz NBBPLMQTBDELEW-UHFFFAOYSA-N 0 0 439.523 -0.183 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000519339980 1072128436 /nfs/dbraw/zinc/12/84/36/1072128436.db2.gz ZRHHEAGTLKPXNZ-UHFFFAOYSA-N 0 0 447.521 -0.738 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1 ZINC000519341635 1072128919 /nfs/dbraw/zinc/12/89/19/1072128919.db2.gz KNDLVRRVVLELKA-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN CCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000519341821 1072128904 /nfs/dbraw/zinc/12/89/04/1072128904.db2.gz QSNAHPSZIZATEQ-UHFFFAOYSA-N 0 0 445.476 -0.497 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC(CCO)CC1 ZINC000519345807 1072128911 /nfs/dbraw/zinc/12/89/11/1072128911.db2.gz WCSDTRUNLLEHRI-UHFFFAOYSA-N 0 0 438.506 -0.633 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)c1 ZINC000519345990 1072128939 /nfs/dbraw/zinc/12/89/39/1072128939.db2.gz OLWFTPPFXHBJRT-UHFFFAOYSA-N 0 0 432.510 -0.397 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)c2ccccc2O1 ZINC000519353995 1072129892 /nfs/dbraw/zinc/12/98/92/1072129892.db2.gz GPWNFJQHBXNLQN-KRWDZBQOSA-N 0 0 446.485 -0.379 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)c2ccccc2O1 ZINC000519353996 1072129915 /nfs/dbraw/zinc/12/99/15/1072129915.db2.gz GPWNFJQHBXNLQN-QGZVFWFLSA-N 0 0 446.485 -0.379 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cn1 ZINC000519354324 1072129952 /nfs/dbraw/zinc/12/99/52/1072129952.db2.gz QMYBSAOIKMFBGW-UHFFFAOYSA-N 0 0 426.476 -0.482 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNc2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)CC1 ZINC000519354830 1074356570 /nfs/dbraw/zinc/35/65/70/1074356570.db2.gz BRMRCNGEQMCSAG-UHFFFAOYSA-N 0 0 438.554 -0.490 20 0 IBADRN COC(=O)CSCCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000519358135 1072129361 /nfs/dbraw/zinc/12/93/61/1072129361.db2.gz PIDRKVLJMOJWCI-UHFFFAOYSA-N 0 0 430.552 -0.054 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000519359323 1072129282 /nfs/dbraw/zinc/12/92/82/1072129282.db2.gz PPNKPDOYHFNXPF-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000519360081 1072129433 /nfs/dbraw/zinc/12/94/33/1072129433.db2.gz LVQAHICGTSJDRR-UHFFFAOYSA-N 0 0 446.476 -0.170 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)s1 ZINC000519368441 1072130445 /nfs/dbraw/zinc/13/04/45/1072130445.db2.gz PTWRJEDJGQQPRS-UHFFFAOYSA-N 0 0 431.540 -0.464 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000519368565 1072130431 /nfs/dbraw/zinc/13/04/31/1072130431.db2.gz VEMVJKFZLIEHQX-UHFFFAOYSA-N 0 0 431.559 -0.429 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCc3c[nH]nc3C2)CC1 ZINC000519369122 1072130488 /nfs/dbraw/zinc/13/04/88/1072130488.db2.gz XKNGIUZBZYRDEU-INIZCTEOSA-N 0 0 440.570 -0.106 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000519369123 1072130458 /nfs/dbraw/zinc/13/04/58/1072130458.db2.gz XKNGIUZBZYRDEU-MRXNPFEDSA-N 0 0 440.570 -0.106 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cc3c(cc2[N+](=O)[O-])OCCO3)CC1 ZINC000519370506 1072130467 /nfs/dbraw/zinc/13/04/67/1072130467.db2.gz GUMXEQHVYYZGKZ-UHFFFAOYSA-N 0 0 442.450 -0.275 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000519370551 1072130532 /nfs/dbraw/zinc/13/05/32/1072130532.db2.gz JMQLWKSZJQXRCK-UHFFFAOYSA-N 0 0 436.513 -0.379 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000519372994 1072130510 /nfs/dbraw/zinc/13/05/10/1072130510.db2.gz MUKPTNKAQGLALW-UHFFFAOYSA-N 0 0 431.497 -0.907 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H](Cc3ccccc3Cl)C(N)=O)c2c(=O)n(C)c1=O ZINC000519375288 1072130485 /nfs/dbraw/zinc/13/04/85/1072130485.db2.gz JZSPOJFIOGCXOQ-GFCCVEGCSA-N 0 0 432.868 -0.453 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H](Cc3ccccc3Cl)C(N)=O)c2c(=O)n(C)c1=O ZINC000519375289 1072130412 /nfs/dbraw/zinc/13/04/12/1072130412.db2.gz JZSPOJFIOGCXOQ-LBPRGKRZSA-N 0 0 432.868 -0.453 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000519377790 1072131381 /nfs/dbraw/zinc/13/13/81/1072131381.db2.gz SUTCONATCOKPNX-UHFFFAOYSA-N 0 0 431.536 -0.242 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000519381669 1072132389 /nfs/dbraw/zinc/13/23/89/1072132389.db2.gz LOICMEYGAYCTGD-UHFFFAOYSA-N 0 0 440.457 -0.221 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000519386751 1072132905 /nfs/dbraw/zinc/13/29/05/1072132905.db2.gz COKUYAKCVNSZDD-UHFFFAOYSA-N 0 0 431.493 -0.038 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000519389397 1072133329 /nfs/dbraw/zinc/13/33/29/1072133329.db2.gz BDVAGZGOGMTXQX-NRFANRHFSA-N 0 0 444.492 -0.379 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000519389398 1072133241 /nfs/dbraw/zinc/13/32/41/1072133241.db2.gz BDVAGZGOGMTXQX-OAQYLSRUSA-N 0 0 444.492 -0.379 20 0 IBADRN CC1(C)NC(=O)N(CCC[S@@](=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)C1=O ZINC000519393050 1072133715 /nfs/dbraw/zinc/13/37/15/1072133715.db2.gz BKRSGPUBOVGNFY-MUUNZHRXSA-N 0 0 444.535 -0.069 20 0 IBADRN CC1(C)NC(=O)N(CCC[S@](=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)C1=O ZINC000519393051 1072133791 /nfs/dbraw/zinc/13/37/91/1072133791.db2.gz BKRSGPUBOVGNFY-NDEPHWFRSA-N 0 0 444.535 -0.069 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC000519407821 1072135777 /nfs/dbraw/zinc/13/57/77/1072135777.db2.gz BCUWOEZERVGMAD-AEFFLSMTSA-N 0 0 448.545 -0.513 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC000519407822 1072135235 /nfs/dbraw/zinc/13/52/35/1072135235.db2.gz BCUWOEZERVGMAD-FUHWJXTLSA-N 0 0 448.545 -0.513 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC000519407823 1072135248 /nfs/dbraw/zinc/13/52/48/1072135248.db2.gz BCUWOEZERVGMAD-SJLPKXTDSA-N 0 0 448.545 -0.513 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC000519407824 1072135216 /nfs/dbraw/zinc/13/52/16/1072135216.db2.gz BCUWOEZERVGMAD-WMZOPIPTSA-N 0 0 448.545 -0.513 20 0 IBADRN O=C(CCNS(=O)(=O)c1cncc(Br)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000519411278 1072135780 /nfs/dbraw/zinc/13/57/80/1072135780.db2.gz QJURMZXYUIUPRZ-JTQLQIEISA-N 0 0 426.314 -0.184 20 0 IBADRN O=C(CCNS(=O)(=O)c1cncc(Br)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000519411279 1072135784 /nfs/dbraw/zinc/13/57/84/1072135784.db2.gz QJURMZXYUIUPRZ-SNVBAGLBSA-N 0 0 426.314 -0.184 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C[C@H](C)S1(=O)=O ZINC000519411584 1072136207 /nfs/dbraw/zinc/13/62/07/1072136207.db2.gz MXCIQUUCBXFXPF-BETUJISGSA-N 0 0 425.511 -0.086 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C[C@@H](C)S1(=O)=O ZINC000519411585 1072136253 /nfs/dbraw/zinc/13/62/53/1072136253.db2.gz MXCIQUUCBXFXPF-CHWSQXEVSA-N 0 0 425.511 -0.086 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C[C@H](C)S1(=O)=O ZINC000519411586 1072136317 /nfs/dbraw/zinc/13/63/17/1072136317.db2.gz MXCIQUUCBXFXPF-STQMWFEESA-N 0 0 425.511 -0.086 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccccc2OCCN2CCOCC2)c(=O)n(C)c1=O ZINC000519412400 1072136258 /nfs/dbraw/zinc/13/62/58/1072136258.db2.gz LJOLNQCUFSDAOH-UHFFFAOYSA-N 0 0 438.506 -0.727 20 0 IBADRN N#Cc1ccc(S(=O)(=O)N2CCN(C(=O)NCCCN3C(=O)CNC3=O)CC2)cc1 ZINC000519417415 1072137652 /nfs/dbraw/zinc/13/76/52/1072137652.db2.gz YEBBKVGHUVNUAI-UHFFFAOYSA-N 0 0 434.478 -0.484 20 0 IBADRN CC(=O)N1CCN(c2ccc(C(=O)NCCCN3C(=O)CNC3=O)cc2[N+](=O)[O-])CC1 ZINC000519418870 1072136562 /nfs/dbraw/zinc/13/65/62/1072136562.db2.gz GPRGFRHEDICEEW-UHFFFAOYSA-N 0 0 432.437 -0.065 20 0 IBADRN O=C1CNC(=O)N1CCCNc1ccc(S(=O)(=O)N2CCOCC2)cc1[N+](=O)[O-] ZINC000519426368 1072137309 /nfs/dbraw/zinc/13/73/09/1072137309.db2.gz MUNCICSTJRYFIH-UHFFFAOYSA-N 0 0 427.439 -0.031 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCc2ccccc2OCCN2CCOCC2)CC1 ZINC000519427178 1072137157 /nfs/dbraw/zinc/13/71/57/1072137157.db2.gz DXNRYVJMWCGCRS-UHFFFAOYSA-N 0 0 426.539 -0.071 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCCNC(=O)C1CC1 ZINC000519428471 1072137202 /nfs/dbraw/zinc/13/72/02/1072137202.db2.gz DYPYHARLVDTSJL-UHFFFAOYSA-N 0 0 436.534 -0.058 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(Br)cnc1-n1cccn1 ZINC000519436447 1072137562 /nfs/dbraw/zinc/13/75/62/1072137562.db2.gz PFZKXEXGXNITTJ-UHFFFAOYSA-N 0 0 431.272 -0.366 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000519441172 1072138136 /nfs/dbraw/zinc/13/81/36/1072138136.db2.gz DOPLHNNQVGAKHD-UHFFFAOYSA-N 0 0 437.478 -0.532 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1)N1CCSCC1 ZINC000519442939 1072138059 /nfs/dbraw/zinc/13/80/59/1072138059.db2.gz ZZULAGRHZDUSTK-UHFFFAOYSA-N 0 0 447.517 -0.199 20 0 IBADRN COC(=O)CN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1ccc(F)c(F)c1 ZINC000519445449 1072138047 /nfs/dbraw/zinc/13/80/47/1072138047.db2.gz ZQCSJMREZIJSSW-GFCCVEGCSA-N 0 0 433.433 -0.047 20 0 IBADRN COC(=O)CN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1ccc(F)c(F)c1 ZINC000519445450 1072138150 /nfs/dbraw/zinc/13/81/50/1072138150.db2.gz ZQCSJMREZIJSSW-LBPRGKRZSA-N 0 0 433.433 -0.047 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)c1 ZINC000519453818 1072138641 /nfs/dbraw/zinc/13/86/41/1072138641.db2.gz MYZPRQLDGFHCGK-KRWDZBQOSA-N 0 0 437.456 -0.243 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)c1 ZINC000519453819 1072138557 /nfs/dbraw/zinc/13/85/57/1072138557.db2.gz MYZPRQLDGFHCGK-QGZVFWFLSA-N 0 0 437.456 -0.243 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)ccc1-n1cnnn1 ZINC000519455067 1072138512 /nfs/dbraw/zinc/13/85/12/1072138512.db2.gz IDSRKYILUYVHAJ-CQSZACIVSA-N 0 0 436.498 -0.704 20 0 IBADRN Cn1c2ncc(C(=O)Nc3cc(C(=O)NCCC(N)=O)ccc3F)cc2c(=O)n(C)c1=O ZINC000519456684 1072138616 /nfs/dbraw/zinc/13/86/16/1072138616.db2.gz PRZWTQPEAKDGDE-UHFFFAOYSA-N 0 0 442.407 -0.371 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000519460172 1072139164 /nfs/dbraw/zinc/13/91/64/1072139164.db2.gz LBUSCEPXSXKPGS-UHFFFAOYSA-N 0 0 432.506 -0.131 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@H](C)C(=O)NCc2ccco2)cc1 ZINC000519460741 1072139184 /nfs/dbraw/zinc/13/91/84/1072139184.db2.gz URVLNIMPLZMARI-GFCCVEGCSA-N 0 0 430.417 -0.058 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NCc2ccco2)cc1 ZINC000519460747 1072139020 /nfs/dbraw/zinc/13/90/20/1072139020.db2.gz URVLNIMPLZMARI-LBPRGKRZSA-N 0 0 430.417 -0.058 20 0 IBADRN CCCN(C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000519461737 1072139030 /nfs/dbraw/zinc/13/90/30/1072139030.db2.gz ITVHOCTXFJHDFC-LLVKDONJSA-N 0 0 431.492 -0.332 20 0 IBADRN CCCN(C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000519461738 1072139161 /nfs/dbraw/zinc/13/91/61/1072139161.db2.gz ITVHOCTXFJHDFC-NSHDSACASA-N 0 0 431.492 -0.332 20 0 IBADRN COCCN(C(=O)CCNS(=O)(=O)c1ccc([N+](=O)[O-])cc1)[C@H]1CCS(=O)(=O)C1 ZINC000519463427 1072139008 /nfs/dbraw/zinc/13/90/08/1072139008.db2.gz QLPJLKMPGXWUID-AWEZNQCLSA-N 0 0 449.507 -0.075 20 0 IBADRN COCCN(C(=O)CCNS(=O)(=O)c1ccc([N+](=O)[O-])cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000519463428 1072139084 /nfs/dbraw/zinc/13/90/84/1072139084.db2.gz QLPJLKMPGXWUID-CQSZACIVSA-N 0 0 449.507 -0.075 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000519464925 1072139678 /nfs/dbraw/zinc/13/96/78/1072139678.db2.gz GTSSFJNJCQWOGS-KBPBESRZSA-N 0 0 425.577 -0.630 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000519464926 1072139648 /nfs/dbraw/zinc/13/96/48/1072139648.db2.gz GTSSFJNJCQWOGS-KGLIPLIRSA-N 0 0 425.577 -0.630 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000519464927 1072139588 /nfs/dbraw/zinc/13/95/88/1072139588.db2.gz GTSSFJNJCQWOGS-UONOGXRCSA-N 0 0 425.577 -0.630 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000519464928 1072139605 /nfs/dbraw/zinc/13/96/05/1072139605.db2.gz GTSSFJNJCQWOGS-ZIAGYGMSSA-N 0 0 425.577 -0.630 20 0 IBADRN O=C(NCCNC(=O)C1CC1)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000519465354 1072139619 /nfs/dbraw/zinc/13/96/19/1072139619.db2.gz BMWGXXWCLOVNHA-UHFFFAOYSA-N 0 0 445.520 -0.059 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)C2CC2)cc1 ZINC000519465707 1072139628 /nfs/dbraw/zinc/13/96/28/1072139628.db2.gz ZWGUYJNGVLJPGX-GFCCVEGCSA-N 0 0 426.495 -0.419 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)C2CC2)cc1 ZINC000519465708 1072140108 /nfs/dbraw/zinc/14/01/08/1072140108.db2.gz ZWGUYJNGVLJPGX-LBPRGKRZSA-N 0 0 426.495 -0.419 20 0 IBADRN O=C(NCCNC(=O)C1CC1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000519467922 1072140035 /nfs/dbraw/zinc/14/00/35/1072140035.db2.gz JTPWPJDHXIZMLR-UHFFFAOYSA-N 0 0 442.469 -0.573 20 0 IBADRN O=C(NCCNC(=O)C1CC1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000519467939 1072139989 /nfs/dbraw/zinc/13/99/89/1072139989.db2.gz KVOCBFPAAMDRPB-UHFFFAOYSA-N 0 0 431.493 -0.671 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCCS3)CC2)C[C@@H](C)O1 ZINC000519471392 1072140116 /nfs/dbraw/zinc/14/01/16/1072140116.db2.gz FLQOSMNTOSOUGK-BZUAXINKSA-N 0 0 448.611 -0.114 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCCS3)CC2)C[C@@H](C)O1 ZINC000519471393 1072140076 /nfs/dbraw/zinc/14/00/76/1072140076.db2.gz FLQOSMNTOSOUGK-OAGGEKHMSA-N 0 0 448.611 -0.114 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCCS3)CC2)C[C@H](C)O1 ZINC000519471394 1072140141 /nfs/dbraw/zinc/14/01/41/1072140141.db2.gz FLQOSMNTOSOUGK-OWCLPIDISA-N 0 0 448.611 -0.114 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCCS3)CC2)C[C@H](C)O1 ZINC000519471395 1072140065 /nfs/dbraw/zinc/14/00/65/1072140065.db2.gz FLQOSMNTOSOUGK-XHSDSOJGSA-N 0 0 448.611 -0.114 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C)CCN2CCOCC2)C1=O ZINC000519471602 1072140002 /nfs/dbraw/zinc/14/00/02/1072140002.db2.gz OLVSHHBTVMYKKZ-AWEZNQCLSA-N 0 0 438.506 -0.453 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N(C)CCN2CCOCC2)C1=O ZINC000519471603 1072140546 /nfs/dbraw/zinc/14/05/46/1072140546.db2.gz OLVSHHBTVMYKKZ-CQSZACIVSA-N 0 0 438.506 -0.453 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@H](N2CCNC2=O)C1 ZINC000519472383 1072140120 /nfs/dbraw/zinc/14/01/20/1072140120.db2.gz GPNRQBKSSYOCIC-HNNXBMFYSA-N 0 0 435.506 -0.563 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000519472384 1072140128 /nfs/dbraw/zinc/14/01/28/1072140128.db2.gz GPNRQBKSSYOCIC-OAHLLOKOSA-N 0 0 435.506 -0.563 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@H](N3CCNC3=O)C2)c1 ZINC000519472540 1072140570 /nfs/dbraw/zinc/14/05/70/1072140570.db2.gz PEVVYPMWEDYZLG-HNNXBMFYSA-N 0 0 437.522 -0.317 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@@H](N3CCNC3=O)C2)c1 ZINC000519472541 1072140600 /nfs/dbraw/zinc/14/06/00/1072140600.db2.gz PEVVYPMWEDYZLG-OAHLLOKOSA-N 0 0 437.522 -0.317 20 0 IBADRN CCc1ccc(C=c2sc(=C(C#N)C(=O)N3CCOCC3)n(CC(N)=O)c2=O)s1 ZINC000519478214 1072141045 /nfs/dbraw/zinc/14/10/45/1072141045.db2.gz VGFLTAGERNFZHE-UHFFFAOYSA-N 0 0 432.527 -0.619 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(CCNC(=O)c3ccccc3F)CC1)c2=O ZINC000519482156 1072141863 /nfs/dbraw/zinc/14/18/63/1072141863.db2.gz KWMPVOBJPOONGX-UHFFFAOYSA-N 0 0 441.467 -0.157 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000519482528 1072142375 /nfs/dbraw/zinc/14/23/75/1072142375.db2.gz RDZXJOVKLMACAH-CVEARBPZSA-N 0 0 440.570 -0.463 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000519482529 1072142319 /nfs/dbraw/zinc/14/23/19/1072142319.db2.gz RDZXJOVKLMACAH-HOTGVXAUSA-N 0 0 440.570 -0.463 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000519482530 1072142340 /nfs/dbraw/zinc/14/23/40/1072142340.db2.gz RDZXJOVKLMACAH-HZPDHXFCSA-N 0 0 440.570 -0.463 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000519482531 1072142327 /nfs/dbraw/zinc/14/23/27/1072142327.db2.gz RDZXJOVKLMACAH-JKSUJKDBSA-N 0 0 440.570 -0.463 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000519483163 1072142361 /nfs/dbraw/zinc/14/23/61/1072142361.db2.gz QOTZQMAQIPUAIU-UHFFFAOYSA-N 0 0 435.524 -0.478 20 0 IBADRN N#Cc1cc(Cl)ccc1-n1ccc(NC(=O)C(=O)N=c2ccn(CCC(N)=O)[nH]2)n1 ZINC000519487286 1072142527 /nfs/dbraw/zinc/14/25/27/1072142527.db2.gz WTXAYVSRITUBTB-UHFFFAOYSA-N 0 0 426.824 -0.110 20 0 IBADRN COc1ccc(CN(C(=O)CN2CCS(=O)(=O)CC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000519489519 1072140578 /nfs/dbraw/zinc/14/05/78/1072140578.db2.gz UBPVWSALIIPDMJ-INIZCTEOSA-N 0 0 430.548 -0.059 20 0 IBADRN COc1ccc(CN(C(=O)CN2CCS(=O)(=O)CC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000519489520 1072140527 /nfs/dbraw/zinc/14/05/27/1072140527.db2.gz UBPVWSALIIPDMJ-MRXNPFEDSA-N 0 0 430.548 -0.059 20 0 IBADRN COc1cc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)ccc1S(=O)(=O)N(C)C ZINC000519491304 1072143785 /nfs/dbraw/zinc/14/37/85/1072143785.db2.gz MGUNQDKHNTYMPB-UHFFFAOYSA-N 0 0 443.569 -0.031 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000519492075 1072143740 /nfs/dbraw/zinc/14/37/40/1072143740.db2.gz JTGPDBXVTRBFKU-KBPBESRZSA-N 0 0 441.506 -0.025 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000519492076 1072143625 /nfs/dbraw/zinc/14/36/25/1072143625.db2.gz JTGPDBXVTRBFKU-OKILXGFUSA-N 0 0 441.506 -0.025 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000519492077 1072143761 /nfs/dbraw/zinc/14/37/61/1072143761.db2.gz JTGPDBXVTRBFKU-ZIAGYGMSSA-N 0 0 441.506 -0.025 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000519492712 1072144125 /nfs/dbraw/zinc/14/41/25/1072144125.db2.gz VXPDMHFYGLXUNJ-HNNXBMFYSA-N 0 0 441.558 -0.565 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000519492713 1072144163 /nfs/dbraw/zinc/14/41/63/1072144163.db2.gz VXPDMHFYGLXUNJ-OAHLLOKOSA-N 0 0 441.558 -0.565 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000519493680 1072143168 /nfs/dbraw/zinc/14/31/68/1072143168.db2.gz OTPINFHKMYOSOP-UHFFFAOYSA-N 0 0 433.556 -0.600 20 0 IBADRN COC(=O)c1ccc(OCCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000519496770 1072144187 /nfs/dbraw/zinc/14/41/87/1072144187.db2.gz HHZNQBIBAGWEPD-UHFFFAOYSA-N 0 0 448.476 -0.435 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3cccnc3-n3cncn3)CC2)o1 ZINC000519500467 1072145133 /nfs/dbraw/zinc/14/51/33/1072145133.db2.gz GXYUHFWIJGMGAE-UHFFFAOYSA-N 0 0 446.449 -0.107 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000519500765 1072145125 /nfs/dbraw/zinc/14/51/25/1072145125.db2.gz TXYUADIASPRNLZ-UHFFFAOYSA-N 0 0 429.518 -0.178 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000519513502 1072145435 /nfs/dbraw/zinc/14/54/35/1072145435.db2.gz HXCMHPHCHYTLSY-UHFFFAOYSA-N 0 0 425.554 -0.231 20 0 IBADRN CCN(C1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1)S(C)(=O)=O ZINC000519514922 1072145473 /nfs/dbraw/zinc/14/54/73/1072145473.db2.gz WUGGTAGJJPDNFU-UHFFFAOYSA-N 0 0 425.554 -0.231 20 0 IBADRN Cn1nccc1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000519515635 1072145427 /nfs/dbraw/zinc/14/54/27/1072145427.db2.gz OXHKFAOPHXEACW-UHFFFAOYSA-N 0 0 434.478 -0.033 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC000519519808 1072146151 /nfs/dbraw/zinc/14/61/51/1072146151.db2.gz LBVNEDITEMVWPG-INIZCTEOSA-N 0 0 445.925 -0.042 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC000519519809 1072146021 /nfs/dbraw/zinc/14/60/21/1072146021.db2.gz LBVNEDITEMVWPG-MRXNPFEDSA-N 0 0 445.925 -0.042 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000519531783 1072147481 /nfs/dbraw/zinc/14/74/81/1072147481.db2.gz FAXLTMWMLVPPAH-KRWDZBQOSA-N 0 0 445.586 -0.072 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000519531793 1072147304 /nfs/dbraw/zinc/14/73/04/1072147304.db2.gz FAXLTMWMLVPPAH-QGZVFWFLSA-N 0 0 445.586 -0.072 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000519532076 1072147295 /nfs/dbraw/zinc/14/72/95/1072147295.db2.gz LADGVZWMKHRKMP-UHFFFAOYSA-N 0 0 433.509 -0.464 20 0 IBADRN Cc1cccc([C@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ccccn2)c1 ZINC000519532277 1072146964 /nfs/dbraw/zinc/14/69/64/1072146964.db2.gz ISKXOHHYTOKFQL-FQEVSTJZSA-N 0 0 448.487 -0.047 20 0 IBADRN Cc1cccc([C@@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ccccn2)c1 ZINC000519532299 1072146950 /nfs/dbraw/zinc/14/69/50/1072146950.db2.gz ISKXOHHYTOKFQL-HXUWFJFHSA-N 0 0 448.487 -0.047 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)CC1 ZINC000519532329 1072146920 /nfs/dbraw/zinc/14/69/20/1072146920.db2.gz RYBWKRVBWQAOSW-GFCCVEGCSA-N 0 0 436.581 -0.210 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)CC1 ZINC000519532351 1072146972 /nfs/dbraw/zinc/14/69/72/1072146972.db2.gz RYBWKRVBWQAOSW-LBPRGKRZSA-N 0 0 436.581 -0.210 20 0 IBADRN Cn1nccc1COCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000519546051 1072147910 /nfs/dbraw/zinc/14/79/10/1072147910.db2.gz AYBQXIWTOFPWAV-UHFFFAOYSA-N 0 0 449.489 -0.199 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CSCN2C1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000519546806 1072147470 /nfs/dbraw/zinc/14/74/70/1072147470.db2.gz DERCDNOYJBCWSD-AWEZNQCLSA-N 0 0 440.503 -0.017 20 0 IBADRN O=C(CN1C(=O)[C@H]2CSCN2C1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000519546823 1072147765 /nfs/dbraw/zinc/14/77/65/1072147765.db2.gz DERCDNOYJBCWSD-CQSZACIVSA-N 0 0 440.503 -0.017 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000519549296 1072147889 /nfs/dbraw/zinc/14/78/89/1072147889.db2.gz AIPXEHANXAZKHH-BTYIYWSLSA-N 0 0 440.508 -0.414 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000519549320 1072147723 /nfs/dbraw/zinc/14/77/23/1072147723.db2.gz AIPXEHANXAZKHH-QVKFZJNVSA-N 0 0 440.508 -0.414 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000519549325 1072147921 /nfs/dbraw/zinc/14/79/21/1072147921.db2.gz AIPXEHANXAZKHH-VFNWGFHPSA-N 0 0 440.508 -0.414 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000519549337 1072147860 /nfs/dbraw/zinc/14/78/60/1072147860.db2.gz AIPXEHANXAZKHH-YCRPNKLZSA-N 0 0 440.508 -0.414 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2cccs2)c1 ZINC000519549452 1072147901 /nfs/dbraw/zinc/14/79/01/1072147901.db2.gz FDIPETMTQLJDDX-INIZCTEOSA-N 0 0 443.485 -0.056 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2cccs2)c1 ZINC000519549456 1072147820 /nfs/dbraw/zinc/14/78/20/1072147820.db2.gz FDIPETMTQLJDDX-MRXNPFEDSA-N 0 0 443.485 -0.056 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000519549913 1072148861 /nfs/dbraw/zinc/14/88/61/1072148861.db2.gz GLQLDQCMLGWNAC-UHFFFAOYSA-N 0 0 433.552 -0.117 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cn1 ZINC000519550546 1072149834 /nfs/dbraw/zinc/14/98/34/1072149834.db2.gz AREGQACDEPFBKP-HNNXBMFYSA-N 0 0 445.546 -0.572 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cn1 ZINC000519550572 1072149904 /nfs/dbraw/zinc/14/99/04/1072149904.db2.gz AREGQACDEPFBKP-OAHLLOKOSA-N 0 0 445.546 -0.572 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2)CC1 ZINC000519551763 1072153851 /nfs/dbraw/zinc/15/38/51/1072153851.db2.gz BSYOLWKSJSDHCN-AWEZNQCLSA-N 0 0 449.458 -0.391 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2)CC1 ZINC000519551799 1072153773 /nfs/dbraw/zinc/15/37/73/1072153773.db2.gz BSYOLWKSJSDHCN-CQSZACIVSA-N 0 0 449.458 -0.391 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)C1 ZINC000519554462 1072154279 /nfs/dbraw/zinc/15/42/79/1072154279.db2.gz NIAGFXNOPZXRKF-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN COc1ccc(CNC(=O)NCCCn2nc3n(c2=O)CCCC3)cc1S(N)(=O)=O ZINC000519554467 1072154383 /nfs/dbraw/zinc/15/43/83/1072154383.db2.gz HQYDXVHEAWHBJX-UHFFFAOYSA-N 0 0 438.510 -0.073 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)C1 ZINC000519554514 1072154269 /nfs/dbraw/zinc/15/42/69/1072154269.db2.gz NIAGFXNOPZXRKF-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)C1 ZINC000519554521 1072154234 /nfs/dbraw/zinc/15/42/34/1072154234.db2.gz NIAGFXNOPZXRKF-ROUUACIJSA-N 0 0 438.550 -0.284 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)C1 ZINC000519554524 1072154252 /nfs/dbraw/zinc/15/42/52/1072154252.db2.gz NIAGFXNOPZXRKF-ZWKOTPCHSA-N 0 0 438.550 -0.284 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CC[C@@H](Oc2ccncc2)C1 ZINC000519556439 1072154926 /nfs/dbraw/zinc/15/49/26/1072154926.db2.gz OCSVIGMOSGPDCK-GOSISDBHSA-N 0 0 425.493 -0.210 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000519564378 1072160750 /nfs/dbraw/zinc/16/07/50/1072160750.db2.gz DHAGUSNUBKTQKJ-INIZCTEOSA-N 0 0 445.476 -0.227 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000519564421 1072161241 /nfs/dbraw/zinc/16/12/41/1072161241.db2.gz DHAGUSNUBKTQKJ-MRXNPFEDSA-N 0 0 445.476 -0.227 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CCC1 ZINC000519565491 1072161892 /nfs/dbraw/zinc/16/18/92/1072161892.db2.gz FRYJLCZJJVOPGH-UHFFFAOYSA-N 0 0 437.522 -0.663 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000519567093 1072161897 /nfs/dbraw/zinc/16/18/97/1072161897.db2.gz IWSCGAAPPMZGFP-KRWDZBQOSA-N 0 0 446.551 -0.950 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000519567168 1072161910 /nfs/dbraw/zinc/16/19/10/1072161910.db2.gz IWSCGAAPPMZGFP-QGZVFWFLSA-N 0 0 446.551 -0.950 20 0 IBADRN CCc1cnccc1[C@H](C)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519568974 1072164509 /nfs/dbraw/zinc/16/45/09/1072164509.db2.gz WZAOOKGGDHYKKI-HNNXBMFYSA-N 0 0 431.493 -0.649 20 0 IBADRN CCc1cnccc1[C@@H](C)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000519568976 1072164583 /nfs/dbraw/zinc/16/45/83/1072164583.db2.gz WZAOOKGGDHYKKI-OAHLLOKOSA-N 0 0 431.493 -0.649 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CC1 ZINC000519569944 1072164550 /nfs/dbraw/zinc/16/45/50/1072164550.db2.gz YXRKVPIOHPXGNL-PMERELPUSA-N 0 0 438.525 -0.273 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CC1 ZINC000519569945 1072164526 /nfs/dbraw/zinc/16/45/26/1072164526.db2.gz YXRKVPIOHPXGNL-SSEXGKCCSA-N 0 0 438.525 -0.273 20 0 IBADRN CC(CO)(CO)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000519581795 1072172334 /nfs/dbraw/zinc/17/23/34/1072172334.db2.gz WEIDQOQNWKWHOG-UHFFFAOYSA-N 0 0 435.886 -0.841 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c(OC)c1OC ZINC000519591037 1072187934 /nfs/dbraw/zinc/18/79/34/1072187934.db2.gz MCJWIAWIDVFMRX-UHFFFAOYSA-N 0 0 445.476 -0.229 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000519614331 1072219532 /nfs/dbraw/zinc/21/95/32/1072219532.db2.gz QJWDSPVQCWHWDK-LLVKDONJSA-N 0 0 425.554 -0.304 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1S(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000519614361 1072219490 /nfs/dbraw/zinc/21/94/90/1072219490.db2.gz QJWDSPVQCWHWDK-NSHDSACASA-N 0 0 425.554 -0.304 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000519614510 1072219526 /nfs/dbraw/zinc/21/95/26/1072219526.db2.gz POHIWAPHEIHNAB-UHFFFAOYSA-N 0 0 437.551 -0.075 20 0 IBADRN C[C@@H]1CCC[C@@H](CCNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000519616712 1072225768 /nfs/dbraw/zinc/22/57/68/1072225768.db2.gz YEFKORGFSQAPIL-MSOLQXFVSA-N 0 0 443.614 -0.045 20 0 IBADRN C[C@@H]1CCC[C@H](CCNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000519616729 1072225795 /nfs/dbraw/zinc/22/57/95/1072225795.db2.gz YEFKORGFSQAPIL-QZTJIDSGSA-N 0 0 443.614 -0.045 20 0 IBADRN C[C@H]1CCC[C@@H](CCNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000519616742 1072225853 /nfs/dbraw/zinc/22/58/53/1072225853.db2.gz YEFKORGFSQAPIL-ROUUACIJSA-N 0 0 443.614 -0.045 20 0 IBADRN C[C@H]1CCC[C@H](CCNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000519616751 1072225647 /nfs/dbraw/zinc/22/56/47/1072225647.db2.gz YEFKORGFSQAPIL-ZWKOTPCHSA-N 0 0 443.614 -0.045 20 0 IBADRN O=C(CN1CCC(N2CN=NC2=O)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000519621640 1072227086 /nfs/dbraw/zinc/22/70/86/1072227086.db2.gz GCSYOKVIHIDMMJ-CVEARBPZSA-N 0 0 427.527 -0.185 20 0 IBADRN O=C(CN1CCC(N2CN=NC2=O)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000519621654 1072227080 /nfs/dbraw/zinc/22/70/80/1072227080.db2.gz GCSYOKVIHIDMMJ-HOTGVXAUSA-N 0 0 427.527 -0.185 20 0 IBADRN O=C(CN1CCC(N2CN=NC2=O)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000519621657 1072227106 /nfs/dbraw/zinc/22/71/06/1072227106.db2.gz GCSYOKVIHIDMMJ-HZPDHXFCSA-N 0 0 427.527 -0.185 20 0 IBADRN O=C(CN1CCC(N2CN=NC2=O)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000519621716 1072227041 /nfs/dbraw/zinc/22/70/41/1072227041.db2.gz GCSYOKVIHIDMMJ-JKSUJKDBSA-N 0 0 427.527 -0.185 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1C ZINC000519622254 1072227097 /nfs/dbraw/zinc/22/70/97/1072227097.db2.gz GPQYYUHFZHYYBT-NEPJUHHUSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1C ZINC000519622265 1072227032 /nfs/dbraw/zinc/22/70/32/1072227032.db2.gz GPQYYUHFZHYYBT-NWDGAFQWSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1C ZINC000519622268 1072227099 /nfs/dbraw/zinc/22/70/99/1072227099.db2.gz GPQYYUHFZHYYBT-RYUDHWBXSA-N 0 0 427.479 -0.090 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1C ZINC000519622271 1072226911 /nfs/dbraw/zinc/22/69/11/1072226911.db2.gz GPQYYUHFZHYYBT-VXGBXAGGSA-N 0 0 427.479 -0.090 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000519638064 1072227468 /nfs/dbraw/zinc/22/74/68/1072227468.db2.gz FZGWLDBZIBKXGP-AUUYWEPGSA-N 0 0 446.504 -0.115 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000519638110 1072227489 /nfs/dbraw/zinc/22/74/89/1072227489.db2.gz FZGWLDBZIBKXGP-IFXJQAMLSA-N 0 0 446.504 -0.115 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000519638117 1072227387 /nfs/dbraw/zinc/22/73/87/1072227387.db2.gz FZGWLDBZIBKXGP-KUHUBIRLSA-N 0 0 446.504 -0.115 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000519638118 1072227285 /nfs/dbraw/zinc/22/72/85/1072227285.db2.gz FZGWLDBZIBKXGP-LIRRHRJNSA-N 0 0 446.504 -0.115 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)CC2)cn1 ZINC000519648553 1072227944 /nfs/dbraw/zinc/22/79/44/1072227944.db2.gz IGMWTWBUJKWIQQ-UHFFFAOYSA-N 0 0 431.497 -0.560 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCC[C@@H]3CCCCO3)CC2)CC1 ZINC000519654590 1072228018 /nfs/dbraw/zinc/22/80/18/1072228018.db2.gz BJHNNSYYSOXQEY-KRWDZBQOSA-N 0 0 445.586 -0.912 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCC[C@H]3CCCCO3)CC2)CC1 ZINC000519654626 1072227899 /nfs/dbraw/zinc/22/78/99/1072227899.db2.gz BJHNNSYYSOXQEY-QGZVFWFLSA-N 0 0 445.586 -0.912 20 0 IBADRN CNc1ccc(C(=O)NCCN(CCN2CCOCC2)C(=O)c2ccc(NC)nc2)cn1 ZINC000519655327 1072227356 /nfs/dbraw/zinc/22/73/56/1072227356.db2.gz PZAKQLJIVXTDHQ-UHFFFAOYSA-N 0 0 441.536 -0.392 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000519656973 1072227325 /nfs/dbraw/zinc/22/73/25/1072227325.db2.gz CUNKHYITTHEZOY-CYBMUJFWSA-N 0 0 430.431 -0.266 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000519657011 1072227345 /nfs/dbraw/zinc/22/73/45/1072227345.db2.gz CUNKHYITTHEZOY-ZDUSSCGKSA-N 0 0 430.431 -0.266 20 0 IBADRN CCOc1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1OC ZINC000519661522 1072228061 /nfs/dbraw/zinc/22/80/61/1072228061.db2.gz MEVHENDEIWCMDZ-UHFFFAOYSA-N 0 0 448.520 -0.287 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(CC2CC2)CC1)N1CCN(c2ccccn2)CC1 ZINC000519661529 1072228442 /nfs/dbraw/zinc/22/84/42/1072228442.db2.gz MXIYXDQUEQGFCD-UHFFFAOYSA-N 0 0 428.537 -0.209 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)CC2)cn1 ZINC000519663476 1072228501 /nfs/dbraw/zinc/22/85/01/1072228501.db2.gz QUENYSYUMVQDBY-KRWDZBQOSA-N 0 0 442.524 -0.895 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)CC2)cn1 ZINC000519663511 1072228466 /nfs/dbraw/zinc/22/84/66/1072228466.db2.gz QUENYSYUMVQDBY-QGZVFWFLSA-N 0 0 442.524 -0.895 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCO[C@H](C3CC3)C2)C1 ZINC000519665094 1072228423 /nfs/dbraw/zinc/22/84/23/1072228423.db2.gz UYLLIXWSQALHLT-BBWFWOEESA-N 0 0 431.555 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCO[C@@H](C3CC3)C2)C1 ZINC000519665102 1072228579 /nfs/dbraw/zinc/22/85/79/1072228579.db2.gz UYLLIXWSQALHLT-BRWVUGGUSA-N 0 0 431.555 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCO[C@@H](C3CC3)C2)C1 ZINC000519665105 1072228397 /nfs/dbraw/zinc/22/83/97/1072228397.db2.gz UYLLIXWSQALHLT-GVDBMIGSSA-N 0 0 431.555 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCO[C@H](C3CC3)C2)C1 ZINC000519665111 1072228373 /nfs/dbraw/zinc/22/83/73/1072228373.db2.gz UYLLIXWSQALHLT-ZACQAIPSSA-N 0 0 431.555 -0.041 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000519669088 1072228559 /nfs/dbraw/zinc/22/85/59/1072228559.db2.gz KZOUSFJKGROJBT-INIZCTEOSA-N 0 0 433.538 -0.152 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000519669125 1072228350 /nfs/dbraw/zinc/22/83/50/1072228350.db2.gz KZOUSFJKGROJBT-MRXNPFEDSA-N 0 0 433.538 -0.152 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000519669589 1072228431 /nfs/dbraw/zinc/22/84/31/1072228431.db2.gz KIGHHFCOMHUSIE-OALUTQOASA-N 0 0 432.521 -0.441 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000519669593 1072228606 /nfs/dbraw/zinc/22/86/06/1072228606.db2.gz KIGHHFCOMHUSIE-RBUKOAKNSA-N 0 0 432.521 -0.441 20 0 IBADRN Cc1onc(N)c1S(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000519670983 1072228408 /nfs/dbraw/zinc/22/84/08/1072228408.db2.gz PPIDJXZCSCAOEP-UHFFFAOYSA-N 0 0 429.524 -0.020 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000519691802 1072229585 /nfs/dbraw/zinc/22/95/85/1072229585.db2.gz GFSQXAXYZNODAE-UHFFFAOYSA-N 0 0 440.497 -0.213 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1nc3ccccc3s1)C2 ZINC000519693032 1072229643 /nfs/dbraw/zinc/22/96/43/1072229643.db2.gz NTXDFOTURWCLFS-UHFFFAOYSA-N 0 0 443.489 -0.599 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)c1 ZINC000519693309 1072229536 /nfs/dbraw/zinc/22/95/36/1072229536.db2.gz HFKLGQYCNYFQAA-AWEZNQCLSA-N 0 0 426.539 -0.313 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)c1 ZINC000519693311 1072229522 /nfs/dbraw/zinc/22/95/22/1072229522.db2.gz HFKLGQYCNYFQAA-CQSZACIVSA-N 0 0 426.539 -0.313 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)nn1 ZINC000519704146 1072229188 /nfs/dbraw/zinc/22/91/88/1072229188.db2.gz KPPGYGXOSMVZJE-UHFFFAOYSA-N 0 0 437.482 -0.756 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1 ZINC000519718287 1072229681 /nfs/dbraw/zinc/22/96/81/1072229681.db2.gz DZFIATLVEPSWCD-LLVKDONJSA-N 0 0 432.440 -0.429 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC(=O)N2CCN(CC)CC2)c1 ZINC000519721035 1072229656 /nfs/dbraw/zinc/22/96/56/1072229656.db2.gz HFRUXUMUSSNYEN-UHFFFAOYSA-N 0 0 441.554 -0.841 20 0 IBADRN CC(C)(C)n1ncnc1CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000519723805 1072230124 /nfs/dbraw/zinc/23/01/24/1072230124.db2.gz NLGVWEHHOONUMW-AWEZNQCLSA-N 0 0 442.542 -0.698 20 0 IBADRN CC(C)(C)n1ncnc1CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000519723904 1072230294 /nfs/dbraw/zinc/23/02/94/1072230294.db2.gz NLGVWEHHOONUMW-CQSZACIVSA-N 0 0 442.542 -0.698 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000519724485 1072230097 /nfs/dbraw/zinc/23/00/97/1072230097.db2.gz KIHCOQINAJTUHN-INIZCTEOSA-N 0 0 430.513 -0.753 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000519724554 1072230243 /nfs/dbraw/zinc/23/02/43/1072230243.db2.gz KIHCOQINAJTUHN-MRXNPFEDSA-N 0 0 430.513 -0.753 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](O)CCc2ccccc2)cn1)N1CCOCC1 ZINC000519724720 1072230196 /nfs/dbraw/zinc/23/01/96/1072230196.db2.gz LXCCSTFAWIGJNJ-GOSISDBHSA-N 0 0 429.477 -0.210 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](O)CCc2ccccc2)cn1)N1CCOCC1 ZINC000519724818 1072230133 /nfs/dbraw/zinc/23/01/33/1072230133.db2.gz LXCCSTFAWIGJNJ-SFHVURJKSA-N 0 0 429.477 -0.210 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000519727211 1072230276 /nfs/dbraw/zinc/23/02/76/1072230276.db2.gz ALWJBAJSOPWIEN-UHFFFAOYSA-N 0 0 426.495 -0.966 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)s1 ZINC000519729250 1072230328 /nfs/dbraw/zinc/23/03/28/1072230328.db2.gz PPCFYGHDPSAKEB-AWEZNQCLSA-N 0 0 446.537 -0.125 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)s1 ZINC000519729270 1072230077 /nfs/dbraw/zinc/23/00/77/1072230077.db2.gz PPCFYGHDPSAKEB-CQSZACIVSA-N 0 0 446.537 -0.125 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000519729682 1072230068 /nfs/dbraw/zinc/23/00/68/1072230068.db2.gz RJRCQAJSRGXHKP-CHWSQXEVSA-N 0 0 436.508 -0.541 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000519729722 1072231522 /nfs/dbraw/zinc/23/15/22/1072231522.db2.gz RJRCQAJSRGXHKP-OLZOCXBDSA-N 0 0 436.508 -0.541 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000519729725 1072231487 /nfs/dbraw/zinc/23/14/87/1072231487.db2.gz RJRCQAJSRGXHKP-QWHCGFSZSA-N 0 0 436.508 -0.541 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000519729727 1072231533 /nfs/dbraw/zinc/23/15/33/1072231533.db2.gz RJRCQAJSRGXHKP-STQMWFEESA-N 0 0 436.508 -0.541 20 0 IBADRN Cc1ccc(OC(F)F)c(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000519732422 1072230819 /nfs/dbraw/zinc/23/08/19/1072230819.db2.gz XGTSVBBBEIQPNJ-UHFFFAOYSA-N 0 0 437.407 -0.430 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N(C)CCN2CCOC(C)(C)C2)cn1 ZINC000519732591 1072230784 /nfs/dbraw/zinc/23/07/84/1072230784.db2.gz WLPCNVJHHDSOOS-AEFFLSMTSA-N 0 0 434.541 -0.092 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)cn1 ZINC000519741122 1072230699 /nfs/dbraw/zinc/23/06/99/1072230699.db2.gz BZCNXQXVLWLSNB-ZBFHGGJFSA-N 0 0 427.508 -0.151 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](N3CCNC3=O)C1)CC2 ZINC000519742377 1072230684 /nfs/dbraw/zinc/23/06/84/1072230684.db2.gz JLQHEPRKGPZQNL-HNNXBMFYSA-N 0 0 449.533 -0.110 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](N3CCNC3=O)C1)CC2 ZINC000519742378 1072230771 /nfs/dbraw/zinc/23/07/71/1072230771.db2.gz JLQHEPRKGPZQNL-OAHLLOKOSA-N 0 0 449.533 -0.110 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)c2cncc(C(=O)N3CCN(C(=O)N(C)C)CC3)c2)CC1 ZINC000519748792 1072231473 /nfs/dbraw/zinc/23/14/73/1072231473.db2.gz QOSXNWUHSQUTSS-UHFFFAOYSA-N 0 0 445.524 -0.040 20 0 IBADRN COC(=O)C[C@@H](NC(=O)Cn1cc(S(N)(=O)=O)cn1)c1ccc(OC)c(OC)c1 ZINC000519753637 1072231458 /nfs/dbraw/zinc/23/14/58/1072231458.db2.gz IGEFFSSWAHMRLH-CYBMUJFWSA-N 0 0 426.451 -0.032 20 0 IBADRN COC(=O)C[C@H](NC(=O)Cn1cc(S(N)(=O)=O)cn1)c1ccc(OC)c(OC)c1 ZINC000519753662 1072231167 /nfs/dbraw/zinc/23/11/67/1072231167.db2.gz IGEFFSSWAHMRLH-ZDUSSCGKSA-N 0 0 426.451 -0.032 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000519755022 1072231494 /nfs/dbraw/zinc/23/14/94/1072231494.db2.gz LUECHQQIBNYRSV-AWEZNQCLSA-N 0 0 447.517 -0.455 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000519755036 1072231206 /nfs/dbraw/zinc/23/12/06/1072231206.db2.gz LUECHQQIBNYRSV-CQSZACIVSA-N 0 0 447.517 -0.455 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000519773292 1072231312 /nfs/dbraw/zinc/23/13/12/1072231312.db2.gz GIAPVKCNIXFWRS-UHFFFAOYSA-N 0 0 446.552 -0.537 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1)NCc1ccccc1 ZINC000519774270 1072231423 /nfs/dbraw/zinc/23/14/23/1072231423.db2.gz NLOAHBBQXUVFML-UHFFFAOYSA-N 0 0 435.488 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000519776833 1072232079 /nfs/dbraw/zinc/23/20/79/1072232079.db2.gz NKLQTYHNDCDXJO-OAHLLOKOSA-N 0 0 447.583 -0.157 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1)NC1CC1 ZINC000519784401 1072231947 /nfs/dbraw/zinc/23/19/47/1072231947.db2.gz AOMIDGFUVJODPT-CYBMUJFWSA-N 0 0 433.431 -0.921 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1)NC1CC1 ZINC000519784443 1072232011 /nfs/dbraw/zinc/23/20/11/1072232011.db2.gz AOMIDGFUVJODPT-ZDUSSCGKSA-N 0 0 433.431 -0.921 20 0 IBADRN CCn1c(Cn2c(=O)ccn(C)c2=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000519785607 1072231934 /nfs/dbraw/zinc/23/19/34/1072231934.db2.gz SASZGZFBSHHMND-UHFFFAOYSA-N 0 0 433.490 -0.014 20 0 IBADRN CCSCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000519786363 1072232061 /nfs/dbraw/zinc/23/20/61/1072232061.db2.gz VKGBSEDEBBBMQO-GASCZTMLSA-N 0 0 436.600 -0.256 20 0 IBADRN CCSCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000519786431 1072231853 /nfs/dbraw/zinc/23/18/53/1072231853.db2.gz VKGBSEDEBBBMQO-GJZGRUSLSA-N 0 0 436.600 -0.256 20 0 IBADRN CCSCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000519786478 1072231979 /nfs/dbraw/zinc/23/19/79/1072231979.db2.gz VKGBSEDEBBBMQO-HUUCEWRRSA-N 0 0 436.600 -0.256 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)CC2)nc(C)n1 ZINC000519787074 1072232681 /nfs/dbraw/zinc/23/26/81/1072232681.db2.gz PMEMNVZMHOIDQD-UHFFFAOYSA-N 0 0 445.568 -0.199 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000519787682 1072232668 /nfs/dbraw/zinc/23/26/68/1072232668.db2.gz XNUACGKKVJRKEN-UHFFFAOYSA-N 0 0 432.547 -0.963 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCCCCn2cc(Br)cn2)CC1 ZINC000519791737 1072231189 /nfs/dbraw/zinc/23/11/89/1072231189.db2.gz ZYKIJTOABBKRKT-UHFFFAOYSA-N 0 0 430.303 -0.141 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1 ZINC000519793056 1072231864 /nfs/dbraw/zinc/23/18/64/1072231864.db2.gz LRFNQIZDJQJJJW-CABCVRRESA-N 0 0 445.563 -0.363 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1 ZINC000519793063 1072231879 /nfs/dbraw/zinc/23/18/79/1072231879.db2.gz LRFNQIZDJQJJJW-GJZGRUSLSA-N 0 0 445.563 -0.363 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c1 ZINC000519793071 1072231812 /nfs/dbraw/zinc/23/18/12/1072231812.db2.gz LRFNQIZDJQJJJW-HUUCEWRRSA-N 0 0 445.563 -0.363 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c1 ZINC000519793083 1072231869 /nfs/dbraw/zinc/23/18/69/1072231869.db2.gz LRFNQIZDJQJJJW-LSDHHAIUSA-N 0 0 445.563 -0.363 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ncc(-c3ccccc3)cn2)CC1 ZINC000519793388 1072231237 /nfs/dbraw/zinc/23/12/37/1072231237.db2.gz PLXDSSODPWOAND-UHFFFAOYSA-N 0 0 435.448 -0.883 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000519793776 1072231834 /nfs/dbraw/zinc/23/18/34/1072231834.db2.gz WIJBYZXRRNOKRA-UHFFFAOYSA-N 0 0 425.493 -0.352 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000519803310 1072232629 /nfs/dbraw/zinc/23/26/29/1072232629.db2.gz AMWROFJMPHPVPC-UHFFFAOYSA-N 0 0 449.489 -0.390 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCN1CCCCC1=O)N1CCN(c2ncccn2)CC1 ZINC000519814836 1072232564 /nfs/dbraw/zinc/23/25/64/1072232564.db2.gz KVENSAAFZVISPO-UHFFFAOYSA-N 0 0 445.524 -0.850 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cn3cc(Cl)ccc3n2)CC1)N1CCOCC1 ZINC000519828592 1072545128 /nfs/dbraw/zinc/54/51/28/1072545128.db2.gz QIIGAWPJCSTBML-UHFFFAOYSA-N 0 0 448.911 -0.393 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)C2)n1 ZINC000519834955 1072233523 /nfs/dbraw/zinc/23/35/23/1072233523.db2.gz AJKWOCLGHCXPQS-CVEARBPZSA-N 0 0 440.570 -0.354 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)C2)n1 ZINC000519834974 1072233532 /nfs/dbraw/zinc/23/35/32/1072233532.db2.gz AJKWOCLGHCXPQS-HOTGVXAUSA-N 0 0 440.570 -0.354 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)C2)n1 ZINC000519834976 1072233540 /nfs/dbraw/zinc/23/35/40/1072233540.db2.gz AJKWOCLGHCXPQS-HZPDHXFCSA-N 0 0 440.570 -0.354 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)C2)n1 ZINC000519834980 1072233555 /nfs/dbraw/zinc/23/35/55/1072233555.db2.gz AJKWOCLGHCXPQS-JKSUJKDBSA-N 0 0 440.570 -0.354 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)Cc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000519837203 1072233489 /nfs/dbraw/zinc/23/34/89/1072233489.db2.gz UZUHDQCWIIWEGF-UHFFFAOYSA-N 0 0 429.477 -0.253 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CN(C)CC(=O)NC(C)C)CC1 ZINC000519837480 1072233604 /nfs/dbraw/zinc/23/36/04/1072233604.db2.gz BTFNYGFUUJTNBQ-UHFFFAOYSA-N 0 0 425.555 -0.300 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)C1=O ZINC000519837922 1072233970 /nfs/dbraw/zinc/23/39/70/1072233970.db2.gz IKZOFYDUPRWJAS-HRCADAONSA-N 0 0 437.497 -0.549 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)C1=O ZINC000519837974 1072234052 /nfs/dbraw/zinc/23/40/52/1072234052.db2.gz IKZOFYDUPRWJAS-JYJNAYRXSA-N 0 0 437.497 -0.549 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)C1=O ZINC000519837977 1072233996 /nfs/dbraw/zinc/23/39/96/1072233996.db2.gz IKZOFYDUPRWJAS-OWCLPIDISA-N 0 0 437.497 -0.549 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)C1=O ZINC000519837980 1072234138 /nfs/dbraw/zinc/23/41/38/1072234138.db2.gz IKZOFYDUPRWJAS-PMPSAXMXSA-N 0 0 437.497 -0.549 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)CCO2 ZINC000519838319 1072233482 /nfs/dbraw/zinc/23/34/82/1072233482.db2.gz GNLWNKPYKULSEF-AUUYWEPGSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)CCO2 ZINC000519838365 1072234072 /nfs/dbraw/zinc/23/40/72/1072234072.db2.gz GNLWNKPYKULSEF-IFXJQAMLSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)CCO2 ZINC000519838371 1072234020 /nfs/dbraw/zinc/23/40/20/1072234020.db2.gz GNLWNKPYKULSEF-KUHUBIRLSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)CCO2 ZINC000519838376 1072234160 /nfs/dbraw/zinc/23/41/60/1072234160.db2.gz GNLWNKPYKULSEF-LIRRHRJNSA-N 0 0 425.507 -0.041 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)c1 ZINC000519841437 1072234504 /nfs/dbraw/zinc/23/45/04/1072234504.db2.gz OCBDCBZVCYXWED-UHFFFAOYSA-N 0 0 428.493 -0.163 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000519841887 1072234555 /nfs/dbraw/zinc/23/45/55/1072234555.db2.gz NKKQFXVHCKGSFJ-OAHLLOKOSA-N 0 0 446.551 -0.446 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O)S(C)(=O)=O ZINC000519843895 1072234600 /nfs/dbraw/zinc/23/46/00/1072234600.db2.gz JQJGRTVAMQRDSU-AWEZNQCLSA-N 0 0 446.476 -0.026 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O)S(C)(=O)=O ZINC000519843906 1072234552 /nfs/dbraw/zinc/23/45/52/1072234552.db2.gz JQJGRTVAMQRDSU-CQSZACIVSA-N 0 0 446.476 -0.026 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)CC2)cn1 ZINC000519845820 1072234611 /nfs/dbraw/zinc/23/46/11/1072234611.db2.gz YDZXKAHFDAXWRL-UHFFFAOYSA-N 0 0 427.509 -0.388 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000519846935 1072235104 /nfs/dbraw/zinc/23/51/04/1072235104.db2.gz XKTGGIDFBLLZQI-HNNXBMFYSA-N 0 0 426.539 -0.047 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000519846996 1072235200 /nfs/dbraw/zinc/23/52/00/1072235200.db2.gz XKTGGIDFBLLZQI-OAHLLOKOSA-N 0 0 426.539 -0.047 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)CC1 ZINC000519854864 1072235754 /nfs/dbraw/zinc/23/57/54/1072235754.db2.gz MJCYHUZVJCNLFM-UHFFFAOYSA-N 0 0 435.569 -0.630 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000519856173 1072235659 /nfs/dbraw/zinc/23/56/59/1072235659.db2.gz HYKHEHKAOXMPEE-UHFFFAOYSA-N 0 0 441.510 -0.065 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1ccc(S(=O)(=O)N(CC)CC)cc1 ZINC000519868733 1072236769 /nfs/dbraw/zinc/23/67/69/1072236769.db2.gz HIFCAQVCZWFOFK-UHFFFAOYSA-N 0 0 435.524 -0.244 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)NCCCNS(C)(=O)=O ZINC000519870784 1072236521 /nfs/dbraw/zinc/23/65/21/1072236521.db2.gz OQFUXGAPVHOPGF-UHFFFAOYSA-N 0 0 426.495 -0.075 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N[C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000519874304 1072236240 /nfs/dbraw/zinc/23/62/40/1072236240.db2.gz YKQAKMVIFWZDJZ-AWEZNQCLSA-N 0 0 427.527 -0.209 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N[C@H](C)C(=O)N2CCOCC2)CC1 ZINC000519874305 1072236187 /nfs/dbraw/zinc/23/61/87/1072236187.db2.gz YKQAKMVIFWZDJZ-CQSZACIVSA-N 0 0 427.527 -0.209 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000519874679 1072236159 /nfs/dbraw/zinc/23/61/59/1072236159.db2.gz QPLYRPDPRTZQCT-UHFFFAOYSA-N 0 0 437.478 -0.182 20 0 IBADRN C[C@@H](NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)N1CCOCC1 ZINC000519874853 1072236648 /nfs/dbraw/zinc/23/66/48/1072236648.db2.gz PUFBACLOHZXKEV-CYBMUJFWSA-N 0 0 426.495 -0.852 20 0 IBADRN C[C@H](NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)N1CCOCC1 ZINC000519874854 1072236557 /nfs/dbraw/zinc/23/65/57/1072236557.db2.gz PUFBACLOHZXKEV-ZDUSSCGKSA-N 0 0 426.495 -0.852 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)N1CCOCC1 ZINC000519876044 1072236144 /nfs/dbraw/zinc/23/61/44/1072236144.db2.gz MVQKTDKZCMEDCO-CQSZACIVSA-N 0 0 431.536 -0.003 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000519876161 1072236139 /nfs/dbraw/zinc/23/61/39/1072236139.db2.gz YSLMPTVBYKXJPZ-CQSZACIVSA-N 0 0 447.535 -0.767 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000519883625 1072237367 /nfs/dbraw/zinc/23/73/67/1072237367.db2.gz XBKDHZOPNUFEBO-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cc1 ZINC000519896110 1072237782 /nfs/dbraw/zinc/23/77/82/1072237782.db2.gz XNBUURIDYZZZLF-UHFFFAOYSA-N 0 0 434.478 -0.139 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000519897552 1072237793 /nfs/dbraw/zinc/23/77/93/1072237793.db2.gz NATHGPBNSSWXSB-UHFFFAOYSA-N 0 0 449.537 -0.124 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(N4CCOCC4)cc3)CC2)CC1 ZINC000519897736 1072237966 /nfs/dbraw/zinc/23/79/66/1072237966.db2.gz FURQGWPCCZMGMQ-UHFFFAOYSA-N 0 0 437.566 -0.227 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000519898992 1072236729 /nfs/dbraw/zinc/23/67/29/1072236729.db2.gz PLQGMLLPXJTEJR-LJQANCHMSA-N 0 0 445.568 -0.714 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCS(=O)(=O)NC(C)C)cc1OC ZINC000519899457 1072236825 /nfs/dbraw/zinc/23/68/25/1072236825.db2.gz HRUJNGYYSPRVMU-UHFFFAOYSA-N 0 0 437.540 -0.184 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCS(=O)(=O)NC(C)C)CC2)cc1 ZINC000519899466 1072236636 /nfs/dbraw/zinc/23/66/36/1072236636.db2.gz JDQMSEAFGPGMIH-UHFFFAOYSA-N 0 0 446.595 -0.255 20 0 IBADRN CC(C)NS(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000519901588 1072238859 /nfs/dbraw/zinc/23/88/59/1072238859.db2.gz QBMYJRTVLCLCFB-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000519902937 1072239032 /nfs/dbraw/zinc/23/90/32/1072239032.db2.gz FKYDDFFYHHLNEA-UHFFFAOYSA-N 0 0 439.581 -0.245 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCS(=O)(=O)NC(C)C)CC1 ZINC000519903273 1072239129 /nfs/dbraw/zinc/23/91/29/1072239129.db2.gz QACZEGUSVYUVJX-UHFFFAOYSA-N 0 0 435.572 -0.129 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000519903335 1072238918 /nfs/dbraw/zinc/23/89/18/1072238918.db2.gz XDVNHTQOLARQKA-UHFFFAOYSA-N 0 0 425.511 -0.614 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000519913236 1072238381 /nfs/dbraw/zinc/23/83/81/1072238381.db2.gz OBPJNMJXYHLTLV-FQEVSTJZSA-N 0 0 427.505 -0.211 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000519913237 1072238477 /nfs/dbraw/zinc/23/84/77/1072238477.db2.gz OBPJNMJXYHLTLV-HXUWFJFHSA-N 0 0 427.505 -0.211 20 0 IBADRN CCc1cccc2c1N(C(=O)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)CC2 ZINC000519926520 1072240627 /nfs/dbraw/zinc/24/06/27/1072240627.db2.gz NLPNQSWGJUQFPF-UHFFFAOYSA-N 0 0 428.489 -0.332 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000519926981 1072240695 /nfs/dbraw/zinc/24/06/95/1072240695.db2.gz BLKJNAFKGMOFPD-UHFFFAOYSA-N 0 0 428.536 -0.130 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cccc1-n1cnnn1 ZINC000519927091 1072240557 /nfs/dbraw/zinc/24/05/57/1072240557.db2.gz LLCCWMSLROUMHV-UHFFFAOYSA-N 0 0 439.523 -0.596 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000519931964 1072241206 /nfs/dbraw/zinc/24/12/06/1072241206.db2.gz PTBGVOWLSHTJNV-UHFFFAOYSA-N 0 0 435.528 -0.657 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000519932835 1072239643 /nfs/dbraw/zinc/23/96/43/1072239643.db2.gz GDLVYKOMCSVTIR-INIZCTEOSA-N 0 0 432.477 -0.291 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000519932836 1072239615 /nfs/dbraw/zinc/23/96/15/1072239615.db2.gz GDLVYKOMCSVTIR-MRXNPFEDSA-N 0 0 432.477 -0.291 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000519932916 1070806421 /nfs/dbraw/zinc/80/64/21/1070806421.db2.gz XZYAXLXQZVGOOZ-GFCCVEGCSA-N 0 0 443.453 -0.193 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000519932917 1072239701 /nfs/dbraw/zinc/23/97/01/1072239701.db2.gz XZYAXLXQZVGOOZ-LBPRGKRZSA-N 0 0 443.453 -0.193 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000519932933 1072239451 /nfs/dbraw/zinc/23/94/51/1072239451.db2.gz ZRQJKXSKICCPLY-CYBMUJFWSA-N 0 0 425.463 -0.333 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000519932934 1072239668 /nfs/dbraw/zinc/23/96/68/1072239668.db2.gz ZRQJKXSKICCPLY-ZDUSSCGKSA-N 0 0 425.463 -0.333 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1 ZINC000519933084 1072240233 /nfs/dbraw/zinc/24/02/33/1072240233.db2.gz CPFYMFFJKDAIPK-AWEZNQCLSA-N 0 0 438.506 -0.417 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1 ZINC000519933085 1072240243 /nfs/dbraw/zinc/24/02/43/1072240243.db2.gz CPFYMFFJKDAIPK-CQSZACIVSA-N 0 0 438.506 -0.417 20 0 IBADRN CC(C)(C)OCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000519933195 1072240196 /nfs/dbraw/zinc/24/01/96/1072240196.db2.gz CTBLKRABGVPXFI-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cnn(CC(=O)NC3CCCC3)c1)c(=O)n2C ZINC000519936240 1072241176 /nfs/dbraw/zinc/24/11/76/1072241176.db2.gz SSQNZQGJZXDFAH-UHFFFAOYSA-N 0 0 428.453 -0.672 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1Cl ZINC000519937769 1072241582 /nfs/dbraw/zinc/24/15/82/1072241582.db2.gz NIPQAMZUDAGJMS-UHFFFAOYSA-N 0 0 427.874 -0.317 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cc1 ZINC000519941637 1072241527 /nfs/dbraw/zinc/24/15/27/1072241527.db2.gz LSKUNMAMIGGIIY-UHFFFAOYSA-N 0 0 440.460 -0.603 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccc(C(=O)Nc3ccncc3)cc2)c(=O)n(C)c1=O ZINC000519944139 1072241125 /nfs/dbraw/zinc/24/11/25/1072241125.db2.gz NHWBKVAPNNKGNV-UHFFFAOYSA-N 0 0 429.458 -0.368 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000519945293 1072241167 /nfs/dbraw/zinc/24/11/67/1072241167.db2.gz WHXIEBYAZCTWIK-KRWDZBQOSA-N 0 0 430.486 -0.367 20 0 IBADRN NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000519945294 1072241102 /nfs/dbraw/zinc/24/11/02/1072241102.db2.gz WHXIEBYAZCTWIK-QGZVFWFLSA-N 0 0 430.486 -0.367 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC(C)(C)O1 ZINC000519949020 1072241250 /nfs/dbraw/zinc/24/12/50/1072241250.db2.gz GKDDCBGRRZJMBT-CYBMUJFWSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC(C)(C)O1 ZINC000519949021 1072241139 /nfs/dbraw/zinc/24/11/39/1072241139.db2.gz GKDDCBGRRZJMBT-ZDUSSCGKSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000519954601 1072241574 /nfs/dbraw/zinc/24/15/74/1072241574.db2.gz FTYQUVLDNODQLD-KRWDZBQOSA-N 0 0 447.536 -0.476 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000519954602 1072241457 /nfs/dbraw/zinc/24/14/57/1072241457.db2.gz FTYQUVLDNODQLD-QGZVFWFLSA-N 0 0 447.536 -0.476 20 0 IBADRN NC(=O)CC1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000519955270 1072241661 /nfs/dbraw/zinc/24/16/61/1072241661.db2.gz TVZXLZJSVQAGIH-UHFFFAOYSA-N 0 0 445.520 -0.199 20 0 IBADRN CO[C@H](C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000519956018 1072241681 /nfs/dbraw/zinc/24/16/81/1072241681.db2.gz KDOYMGXZCCNMEY-CYBMUJFWSA-N 0 0 445.476 -0.452 20 0 IBADRN CO[C@@H](C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000519956019 1072241691 /nfs/dbraw/zinc/24/16/91/1072241691.db2.gz KDOYMGXZCCNMEY-ZDUSSCGKSA-N 0 0 445.476 -0.452 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000519956797 1072241561 /nfs/dbraw/zinc/24/15/61/1072241561.db2.gz AJCXECZIGQVJCJ-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000519964495 1072241552 /nfs/dbraw/zinc/24/15/52/1072241552.db2.gz IHLIHSPSHMPQMX-GFCCVEGCSA-N 0 0 429.499 -0.301 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000519964496 1072241703 /nfs/dbraw/zinc/24/17/03/1072241703.db2.gz IHLIHSPSHMPQMX-LBPRGKRZSA-N 0 0 429.499 -0.301 20 0 IBADRN O=C(CN1CCCN(C(=O)c2ccccc2F)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000519969312 1072242099 /nfs/dbraw/zinc/24/20/99/1072242099.db2.gz LUBJXQAVNIZJPO-AWEZNQCLSA-N 0 0 440.497 -0.014 20 0 IBADRN O=C(CN1CCCN(C(=O)c2ccccc2F)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000519969313 1072242267 /nfs/dbraw/zinc/24/22/67/1072242267.db2.gz LUBJXQAVNIZJPO-CQSZACIVSA-N 0 0 440.497 -0.014 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000519971490 1072242300 /nfs/dbraw/zinc/24/23/00/1072242300.db2.gz TVFPCBKONOHHHX-INIZCTEOSA-N 0 0 438.554 -0.157 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000519971491 1072242277 /nfs/dbraw/zinc/24/22/77/1072242277.db2.gz TVFPCBKONOHHHX-MRXNPFEDSA-N 0 0 438.554 -0.157 20 0 IBADRN COC(=O)COc1cccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000519971739 1072242215 /nfs/dbraw/zinc/24/22/15/1072242215.db2.gz NGCFSAPYFGOZQD-UHFFFAOYSA-N 0 0 442.494 -0.035 20 0 IBADRN COCCN(C(=O)CCN1CCCS1(=O)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000519973940 1072242236 /nfs/dbraw/zinc/24/22/36/1072242236.db2.gz QFLUKUPCARBOKH-UHFFFAOYSA-N 0 0 431.515 -0.408 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000519978143 1072241501 /nfs/dbraw/zinc/24/15/01/1072241501.db2.gz HBHJFCNLYJKEGA-CYBMUJFWSA-N 0 0 430.508 -0.744 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000519978144 1072242075 /nfs/dbraw/zinc/24/20/75/1072242075.db2.gz HBHJFCNLYJKEGA-ZDUSSCGKSA-N 0 0 430.508 -0.744 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CCN2CCCS2(=O)=O)c(=O)[nH]c1=O ZINC000519980109 1072242148 /nfs/dbraw/zinc/24/21/48/1072242148.db2.gz DHMHEDORXRNGNI-UHFFFAOYSA-N 0 0 431.515 -0.264 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(CC(F)(F)F)CC3)cnc2n(C)c1=O ZINC000519981361 1072242167 /nfs/dbraw/zinc/24/21/67/1072242167.db2.gz NHARLHGLPADSSW-UHFFFAOYSA-N 0 0 428.371 -0.723 20 0 IBADRN COCCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000519982252 1072242652 /nfs/dbraw/zinc/24/26/52/1072242652.db2.gz WNTDLSXKVANXNJ-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000519985441 1072242704 /nfs/dbraw/zinc/24/27/04/1072242704.db2.gz UGFJDCHXAMHSIP-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000519985657 1072242659 /nfs/dbraw/zinc/24/26/59/1072242659.db2.gz KVSFVJPRERBANF-UHFFFAOYSA-N 0 0 442.476 -0.095 20 0 IBADRN COc1cccc(CC(=O)N2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)c1 ZINC000519987653 1072242714 /nfs/dbraw/zinc/24/27/14/1072242714.db2.gz LEJBUKDEPJRSNA-UHFFFAOYSA-N 0 0 445.564 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCO[C@H]4CCCC[C@H]43)CC2)CC1 ZINC000519997656 1072243762 /nfs/dbraw/zinc/24/37/62/1072243762.db2.gz AAMFBKKSRWRFBN-MSOLQXFVSA-N 0 0 429.587 -0.734 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCO[C@@H]4CCCC[C@H]43)CC2)CC1 ZINC000519997657 1072243665 /nfs/dbraw/zinc/24/36/65/1072243665.db2.gz AAMFBKKSRWRFBN-QZTJIDSGSA-N 0 0 429.587 -0.734 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCO[C@H]4CCCC[C@@H]43)CC2)CC1 ZINC000519997658 1072243722 /nfs/dbraw/zinc/24/37/22/1072243722.db2.gz AAMFBKKSRWRFBN-ROUUACIJSA-N 0 0 429.587 -0.734 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCO[C@@H]4CCCC[C@@H]43)CC2)CC1 ZINC000519997659 1072243560 /nfs/dbraw/zinc/24/35/60/1072243560.db2.gz AAMFBKKSRWRFBN-ZWKOTPCHSA-N 0 0 429.587 -0.734 20 0 IBADRN O=C(CN1CSCC1=O)NC[C@H](Cc1ccccc1)NC(=O)CN1CSCC1=O ZINC000519998166 1072243652 /nfs/dbraw/zinc/24/36/52/1072243652.db2.gz IQAODJGJZZGQMV-HNNXBMFYSA-N 0 0 436.559 -0.104 20 0 IBADRN O=C(CN1CSCC1=O)NC[C@@H](Cc1ccccc1)NC(=O)CN1CSCC1=O ZINC000519998167 1072243716 /nfs/dbraw/zinc/24/37/16/1072243716.db2.gz IQAODJGJZZGQMV-OAHLLOKOSA-N 0 0 436.559 -0.104 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)c(C)s1 ZINC000519998317 1072243575 /nfs/dbraw/zinc/24/35/75/1072243575.db2.gz XACVPFDPQSUTJK-UHFFFAOYSA-N 0 0 435.593 -0.072 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccc(C(=O)N3CCOCC3)cc1)c(=O)n2C ZINC000520010918 1072243096 /nfs/dbraw/zinc/24/30/96/1072243096.db2.gz VTSLJGRLWJGFOG-UHFFFAOYSA-N 0 0 440.460 -0.778 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1 ZINC000520013843 1072244130 /nfs/dbraw/zinc/24/41/30/1072244130.db2.gz ZWURITRTJYJENL-UHFFFAOYSA-N 0 0 428.449 -0.771 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)cc1 ZINC000520014744 1072244147 /nfs/dbraw/zinc/24/41/47/1072244147.db2.gz RUPLXMQDVIKOLS-UHFFFAOYSA-N 0 0 442.476 -0.381 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000520021135 1072243670 /nfs/dbraw/zinc/24/36/70/1072243670.db2.gz GVWSACZEVNUROQ-KBPBESRZSA-N 0 0 429.520 -0.883 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000520021136 1072243635 /nfs/dbraw/zinc/24/36/35/1072243635.db2.gz GVWSACZEVNUROQ-KGLIPLIRSA-N 0 0 429.520 -0.883 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000520021137 1072243645 /nfs/dbraw/zinc/24/36/45/1072243645.db2.gz GVWSACZEVNUROQ-UONOGXRCSA-N 0 0 429.520 -0.883 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000520021138 1072244127 /nfs/dbraw/zinc/24/41/27/1072244127.db2.gz GVWSACZEVNUROQ-ZIAGYGMSSA-N 0 0 429.520 -0.883 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000520021273 1072243736 /nfs/dbraw/zinc/24/37/36/1072243736.db2.gz RHWFDQRFEJJFPU-UHFFFAOYSA-N 0 0 442.519 -0.256 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2ccccc2)cc1 ZINC000520028663 1072244692 /nfs/dbraw/zinc/24/46/92/1072244692.db2.gz RXXUIIDMNWPBKH-UHFFFAOYSA-N 0 0 433.533 -0.149 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCC(=O)NCC(F)(F)F)CC1 ZINC000520033182 1072244675 /nfs/dbraw/zinc/24/46/75/1072244675.db2.gz FFSCASRWPIBBLD-UHFFFAOYSA-N 0 0 427.405 -0.014 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(C(=O)C3CCCCC3)CC2)CC1)N1CCOCC1 ZINC000520043721 1072244934 /nfs/dbraw/zinc/24/49/34/1072244934.db2.gz FMJVDUQQDAKUIQ-UHFFFAOYSA-N 0 0 449.596 -0.286 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000520058009 1072245114 /nfs/dbraw/zinc/24/51/14/1072245114.db2.gz KFUQVPXOWOPZAV-GOSISDBHSA-N 0 0 446.487 -0.261 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000520058010 1072245058 /nfs/dbraw/zinc/24/50/58/1072245058.db2.gz KFUQVPXOWOPZAV-SFHVURJKSA-N 0 0 446.487 -0.261 20 0 IBADRN CCN1CCN(CC(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)C(=O)C1=O ZINC000520065959 1072247462 /nfs/dbraw/zinc/24/74/62/1072247462.db2.gz LRIVQDYYSFCSSK-UHFFFAOYSA-N 0 0 431.493 -0.288 20 0 IBADRN CCc1ccc(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1S(N)(=O)=O ZINC000520066433 1072247232 /nfs/dbraw/zinc/24/72/32/1072247232.db2.gz FPAYPVHLXXESRA-GXTWGEPZSA-N 0 0 429.520 -0.130 20 0 IBADRN CCc1ccc(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1S(N)(=O)=O ZINC000520066434 1072247393 /nfs/dbraw/zinc/24/73/93/1072247393.db2.gz FPAYPVHLXXESRA-JSGCOSHPSA-N 0 0 429.520 -0.130 20 0 IBADRN CCc1ccc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1S(N)(=O)=O ZINC000520066435 1072247354 /nfs/dbraw/zinc/24/73/54/1072247354.db2.gz FPAYPVHLXXESRA-OCCSQVGLSA-N 0 0 429.520 -0.130 20 0 IBADRN CCc1ccc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1S(N)(=O)=O ZINC000520066436 1072247361 /nfs/dbraw/zinc/24/73/61/1072247361.db2.gz FPAYPVHLXXESRA-TZMCWYRMSA-N 0 0 429.520 -0.130 20 0 IBADRN O=C(NCCCS(=O)(=O)c1ccccc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000520070434 1072248028 /nfs/dbraw/zinc/24/80/28/1072248028.db2.gz HIQQCOIMPCIKMA-UHFFFAOYSA-N 0 0 445.501 -0.064 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCN1CCOCC1 ZINC000520071115 1072246208 /nfs/dbraw/zinc/24/62/08/1072246208.db2.gz SRLAIEUIGDACPI-UHFFFAOYSA-N 0 0 433.509 -0.530 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000520071271 1072246266 /nfs/dbraw/zinc/24/62/66/1072246266.db2.gz BZKACJCJKICIRB-UHFFFAOYSA-N 0 0 440.522 -0.024 20 0 IBADRN O=C(c1ccc(CNS(=O)(=O)c2cccc(-n3cnnn3)c2)cc1)N1CCNC(=O)C1 ZINC000520073460 1072246572 /nfs/dbraw/zinc/24/65/72/1072246572.db2.gz KCYHXQPPUCDZLJ-UHFFFAOYSA-N 0 0 441.473 -0.287 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)nn1 ZINC000520073945 1072245926 /nfs/dbraw/zinc/24/59/26/1072245926.db2.gz RCZVNXZPEXEPON-UHFFFAOYSA-N 0 0 425.493 -0.287 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCS(=O)(=O)NC(C)C)CC2)c1 ZINC000520075339 1072251937 /nfs/dbraw/zinc/25/19/37/1072251937.db2.gz ZHZZNCXVAGAQGP-UHFFFAOYSA-N 0 0 446.595 -0.109 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000520081181 1072249242 /nfs/dbraw/zinc/24/92/42/1072249242.db2.gz IZZLUOAENUXBGA-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000520083447 1072250347 /nfs/dbraw/zinc/25/03/47/1072250347.db2.gz DHOCLMOUMMQFQC-UHFFFAOYSA-N 0 0 448.476 -0.438 20 0 IBADRN O=C(Nc1ccc2ncsc2c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000520083985 1072249725 /nfs/dbraw/zinc/24/97/25/1072249725.db2.gz HMMDUUNOOUBNHY-UHFFFAOYSA-N 0 0 439.519 -0.044 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000520084468 1072250377 /nfs/dbraw/zinc/25/03/77/1072250377.db2.gz JNUPKCFCHOPFDR-UHFFFAOYSA-N 0 0 432.510 -0.397 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000520084585 1072250355 /nfs/dbraw/zinc/25/03/55/1072250355.db2.gz PGTNJHRGHZOAGV-UHFFFAOYSA-N 0 0 425.496 -0.574 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000520084869 1072250363 /nfs/dbraw/zinc/25/03/63/1072250363.db2.gz GJARHECXOLYDDL-GFCCVEGCSA-N 0 0 432.524 -0.679 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000520084870 1072250370 /nfs/dbraw/zinc/25/03/70/1072250370.db2.gz GJARHECXOLYDDL-LBPRGKRZSA-N 0 0 432.524 -0.679 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000520085061 1072250438 /nfs/dbraw/zinc/25/04/38/1072250438.db2.gz PIHMKYFLVDYPJS-AWEZNQCLSA-N 0 0 439.538 -0.408 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000520085062 1072250396 /nfs/dbraw/zinc/25/03/96/1072250396.db2.gz PIHMKYFLVDYPJS-CQSZACIVSA-N 0 0 439.538 -0.408 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000520085109 1072250445 /nfs/dbraw/zinc/25/04/45/1072250445.db2.gz SIIWTWVCNJDSDJ-UHFFFAOYSA-N 0 0 444.521 -0.255 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)c1 ZINC000520085856 1072250963 /nfs/dbraw/zinc/25/09/63/1072250963.db2.gz HYOQSIPLNXHQNU-UHFFFAOYSA-N 0 0 440.503 -0.242 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)NC3CCCCC3)CC1)c(=O)n2C ZINC000520094108 1072252491 /nfs/dbraw/zinc/25/24/91/1072252491.db2.gz FXJDAZKATCSMNT-UHFFFAOYSA-N 0 0 431.497 -0.380 20 0 IBADRN CCOC(=O)CCN(Cc1cccnc1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000520096338 1072252691 /nfs/dbraw/zinc/25/26/91/1072252691.db2.gz AQTMVVYAVPHZIX-UHFFFAOYSA-N 0 0 428.449 -0.189 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCNC(=O)C2CC2)c1OC ZINC000520100722 1072253130 /nfs/dbraw/zinc/25/31/30/1072253130.db2.gz MUHNYDCJBXUQNY-UHFFFAOYSA-N 0 0 441.506 -0.019 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCC(=O)N3CCN(c4ccccc4)CC3)cnc2n(C)c1=O ZINC000520103001 1072253117 /nfs/dbraw/zinc/25/31/17/1072253117.db2.gz BELGDZLWPGFQCE-UHFFFAOYSA-N 0 0 436.472 -0.289 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccccc3N3CCOCC3)c2c(=O)n(C)c1=O ZINC000520108294 1072254453 /nfs/dbraw/zinc/25/44/53/1072254453.db2.gz TXTPRMOJECFHPC-UHFFFAOYSA-N 0 0 426.477 -0.023 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(CCCOCC(F)(F)F)CC3)c2c(=O)n(C)c1=O ZINC000520109637 1072254349 /nfs/dbraw/zinc/25/43/49/1072254349.db2.gz UIIFKYVZPVSEJV-UHFFFAOYSA-N 0 0 446.430 -0.453 20 0 IBADRN O=C(NCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1)C1CC1 ZINC000520114061 1072253597 /nfs/dbraw/zinc/25/35/97/1072253597.db2.gz KDTIQPFIXRPTBY-UHFFFAOYSA-N 0 0 435.506 -0.277 20 0 IBADRN O=C(NCCNC(=O)C1CC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000520118765 1071283726 /nfs/dbraw/zinc/28/37/26/1071283726.db2.gz RFZVWKRGSUGPPY-KRWDZBQOSA-N 0 0 430.461 -0.718 20 0 IBADRN O=C(NCCNC(=O)C1CC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000520118766 1071283857 /nfs/dbraw/zinc/28/38/57/1071283857.db2.gz RFZVWKRGSUGPPY-QGZVFWFLSA-N 0 0 430.461 -0.718 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCNC(=O)C3CC3)c2)CC1 ZINC000520119152 1072254668 /nfs/dbraw/zinc/25/46/68/1072254668.db2.gz URGDYOQNJPHICP-UHFFFAOYSA-N 0 0 437.522 -0.796 20 0 IBADRN NC(=O)[C@H](CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Cc1ccc(F)cc1 ZINC000520120804 1072254825 /nfs/dbraw/zinc/25/48/25/1072254825.db2.gz DVJWCZMBIUNRTD-DZKIICNBSA-N 0 0 425.482 -0.379 20 0 IBADRN NC(=O)[C@H](CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Cc1ccc(F)cc1 ZINC000520120805 1072254675 /nfs/dbraw/zinc/25/46/75/1072254675.db2.gz DVJWCZMBIUNRTD-LZWOXQAQSA-N 0 0 425.482 -0.379 20 0 IBADRN NC(=O)[C@H](CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Cc1ccc(F)cc1 ZINC000520120806 1072254847 /nfs/dbraw/zinc/25/48/47/1072254847.db2.gz DVJWCZMBIUNRTD-OFQRWUPVSA-N 0 0 425.482 -0.379 20 0 IBADRN NC(=O)[C@H](CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Cc1ccc(F)cc1 ZINC000520120807 1072254817 /nfs/dbraw/zinc/25/48/17/1072254817.db2.gz DVJWCZMBIUNRTD-SQWLQELKSA-N 0 0 425.482 -0.379 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@H](N2CCNC2=O)C1 ZINC000520121280 1072254871 /nfs/dbraw/zinc/25/48/71/1072254871.db2.gz GBVBHPHRHAOJHX-HNNXBMFYSA-N 0 0 435.506 -0.563 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000520121281 1072254772 /nfs/dbraw/zinc/25/47/72/1072254772.db2.gz GBVBHPHRHAOJHX-OAHLLOKOSA-N 0 0 435.506 -0.563 20 0 IBADRN CS(=O)(=O)c1ccc(OCCCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000520122754 1072254758 /nfs/dbraw/zinc/25/47/58/1072254758.db2.gz SRXOSKBUHBQFOA-UHFFFAOYSA-N 0 0 436.494 -0.393 20 0 IBADRN O=C(CCN1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCN(c2ccccn2)CC1 ZINC000520129687 1072307549 /nfs/dbraw/zinc/30/75/49/1072307549.db2.gz IQDOHCYECNQRIF-UHFFFAOYSA-N 0 0 444.536 -0.877 20 0 IBADRN O=C(CCN1CCN(CC(=O)N2CCOCC2)CC1)N1CCN(c2ccccn2)CC1 ZINC000520130249 1072254374 /nfs/dbraw/zinc/25/43/74/1072254374.db2.gz LIRNJHREUKPSRQ-UHFFFAOYSA-N 0 0 430.553 -0.403 20 0 IBADRN COc1ccc(-c2nc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)n[nH]2)c(OC)c1 ZINC000520134634 1072256004 /nfs/dbraw/zinc/25/60/04/1072256004.db2.gz MUERZJYMFAWJQI-UHFFFAOYSA-N 0 0 440.420 -0.125 20 0 IBADRN C[C@@H](NC(=O)CSCCOc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000520140018 1072255979 /nfs/dbraw/zinc/25/59/79/1072255979.db2.gz OPDQUTOVAZQZGQ-CYBMUJFWSA-N 0 0 431.536 -0.191 20 0 IBADRN C[C@H](NC(=O)CSCCOc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000520140019 1072256546 /nfs/dbraw/zinc/25/65/46/1072256546.db2.gz OPDQUTOVAZQZGQ-ZDUSSCGKSA-N 0 0 431.536 -0.191 20 0 IBADRN C[C@H](NS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1)C(=O)N1CCOCC1 ZINC000520140498 1072255346 /nfs/dbraw/zinc/25/53/46/1072255346.db2.gz LWPJIIJONCZPJW-ZDUSSCGKSA-N 0 0 437.515 -0.387 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)C(=O)N2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000520140546 1072318039 /nfs/dbraw/zinc/31/80/39/1072318039.db2.gz QKUDFONJZWHPQA-ADLMAVQZSA-N 0 0 440.478 -0.725 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000520140547 1072255254 /nfs/dbraw/zinc/25/52/54/1072255254.db2.gz QKUDFONJZWHPQA-BBATYDOGSA-N 0 0 440.478 -0.725 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000520140548 1072255296 /nfs/dbraw/zinc/25/52/96/1072255296.db2.gz QKUDFONJZWHPQA-VOJFVSQTSA-N 0 0 440.478 -0.725 20 0 IBADRN C[C@H](NC(=O)c1cccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000520142017 1072255415 /nfs/dbraw/zinc/25/54/15/1072255415.db2.gz ZQARFNYHRTXXKP-HOTGVXAUSA-N 0 0 446.504 -0.359 20 0 IBADRN C[C@@H](NC(=O)c1cccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000520142018 1072255323 /nfs/dbraw/zinc/25/53/23/1072255323.db2.gz ZQARFNYHRTXXKP-IYBDPMFKSA-N 0 0 446.504 -0.359 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CC1 ZINC000520144611 1072255469 /nfs/dbraw/zinc/25/54/69/1072255469.db2.gz QIIBGJVDSJKUHC-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000520146794 1072255355 /nfs/dbraw/zinc/25/53/55/1072255355.db2.gz GQQUCTWTQRPAMF-UHFFFAOYSA-N 0 0 442.538 -0.301 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC000520148874 1072256021 /nfs/dbraw/zinc/25/60/21/1072256021.db2.gz VNHPOAMWQWZKSC-AWEZNQCLSA-N 0 0 449.526 -0.354 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC000520148875 1072255933 /nfs/dbraw/zinc/25/59/33/1072255933.db2.gz VNHPOAMWQWZKSC-CQSZACIVSA-N 0 0 449.526 -0.354 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000520163808 1072256394 /nfs/dbraw/zinc/25/63/94/1072256394.db2.gz BXQZKRBNTISERZ-QGZVFWFLSA-N 0 0 438.550 -0.266 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)N1CCCCC1 ZINC000520163874 1072256360 /nfs/dbraw/zinc/25/63/60/1072256360.db2.gz IGSLIZUBETZYCM-IBGZPJMESA-N 0 0 435.569 -0.676 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000520164876 1072256553 /nfs/dbraw/zinc/25/65/53/1072256553.db2.gz YWNZGZBEEQSBTR-UHFFFAOYSA-N 0 0 435.524 -0.430 20 0 IBADRN CC(C)NS(=O)(=O)CCNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000520166889 1072256901 /nfs/dbraw/zinc/25/69/01/1072256901.db2.gz GYWVXJAVGDXQMD-UHFFFAOYSA-N 0 0 445.560 -0.307 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000520168167 1072256910 /nfs/dbraw/zinc/25/69/10/1072256910.db2.gz SGCGPPVJOJAMAZ-UHFFFAOYSA-N 0 0 449.551 -0.040 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000520177650 1072257548 /nfs/dbraw/zinc/25/75/48/1072257548.db2.gz NVEZJFUVBNJPSH-CRAIPNDOSA-N 0 0 428.445 -0.648 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000520177651 1072257566 /nfs/dbraw/zinc/25/75/66/1072257566.db2.gz NVEZJFUVBNJPSH-MAUKXSAKSA-N 0 0 428.445 -0.648 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000520177652 1072257407 /nfs/dbraw/zinc/25/74/07/1072257407.db2.gz NVEZJFUVBNJPSH-QAPCUYQASA-N 0 0 428.445 -0.648 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000520177653 1072257560 /nfs/dbraw/zinc/25/75/60/1072257560.db2.gz NVEZJFUVBNJPSH-YJBOKZPZSA-N 0 0 428.445 -0.648 20 0 IBADRN COCCN(C(=O)CN1CC[C@@H](C(=O)OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000520182208 1072257493 /nfs/dbraw/zinc/25/74/93/1072257493.db2.gz BEMXDWXCCFELFB-CYBMUJFWSA-N 0 0 425.486 -0.339 20 0 IBADRN COCCN(C(=O)CN1CC[C@H](C(=O)OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000520182209 1072257453 /nfs/dbraw/zinc/25/74/53/1072257453.db2.gz BEMXDWXCCFELFB-ZDUSSCGKSA-N 0 0 425.486 -0.339 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)CC1 ZINC000520187214 1072258188 /nfs/dbraw/zinc/25/81/88/1072258188.db2.gz GJTOFLGFTPLOFC-UHFFFAOYSA-N 0 0 442.520 -0.352 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000520187293 1072258302 /nfs/dbraw/zinc/25/83/02/1072258302.db2.gz HEGISCIYJAIGFA-INIZCTEOSA-N 0 0 430.571 -0.560 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000520187294 1072258258 /nfs/dbraw/zinc/25/82/58/1072258258.db2.gz HEGISCIYJAIGFA-MRXNPFEDSA-N 0 0 430.571 -0.560 20 0 IBADRN Cc1noc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000520193198 1072258166 /nfs/dbraw/zinc/25/81/66/1072258166.db2.gz FMKSEJKBMFQUEA-UHFFFAOYSA-N 0 0 436.450 -0.075 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(NC(=O)C2CC2)nc1 ZINC000520197096 1072258307 /nfs/dbraw/zinc/25/83/07/1072258307.db2.gz UREIWJVRZWDCAE-UHFFFAOYSA-N 0 0 441.535 -0.137 20 0 IBADRN CC(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000520199147 1072258829 /nfs/dbraw/zinc/25/88/29/1072258829.db2.gz USAVIPCRAIJPMT-UHFFFAOYSA-N 0 0 425.467 -0.831 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000520210658 1072259402 /nfs/dbraw/zinc/25/94/02/1072259402.db2.gz ZFTVJPXHGLHUCJ-UHFFFAOYSA-N 0 0 425.555 -0.042 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000520212155 1072259447 /nfs/dbraw/zinc/25/94/47/1072259447.db2.gz OWCYRIOFSGOFGL-UHFFFAOYSA-N 0 0 444.535 -0.992 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CC2)nc1 ZINC000520212509 1072259219 /nfs/dbraw/zinc/25/92/19/1072259219.db2.gz OKJBNYLTHYHDKL-UHFFFAOYSA-N 0 0 443.489 -0.316 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000520213950 1072259264 /nfs/dbraw/zinc/25/92/64/1072259264.db2.gz LUVMPAWDTIARSC-UHFFFAOYSA-N 0 0 449.576 -0.168 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000520225826 1072260952 /nfs/dbraw/zinc/26/09/52/1072260952.db2.gz SSPZYRFZHJDQGD-HNNXBMFYSA-N 0 0 426.477 -0.361 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000520225829 1072260922 /nfs/dbraw/zinc/26/09/22/1072260922.db2.gz SSPZYRFZHJDQGD-OAHLLOKOSA-N 0 0 426.477 -0.361 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000520235633 1072259709 /nfs/dbraw/zinc/25/97/09/1072259709.db2.gz OGZVJZNMRZKWIL-UHFFFAOYSA-N 0 0 443.297 -0.037 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000520235645 1072259783 /nfs/dbraw/zinc/25/97/83/1072259783.db2.gz PIFFKSJDEUECJH-AWEZNQCLSA-N 0 0 428.463 -0.068 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000520235646 1072259890 /nfs/dbraw/zinc/25/98/90/1072259890.db2.gz PIFFKSJDEUECJH-CQSZACIVSA-N 0 0 428.463 -0.068 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000520238606 1072260188 /nfs/dbraw/zinc/26/01/88/1072260188.db2.gz QFPHNWITVRVNAZ-UHFFFAOYSA-N 0 0 432.521 -0.111 20 0 IBADRN Cc1nccn1C[C@@H](C)CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000520239050 1072260256 /nfs/dbraw/zinc/26/02/56/1072260256.db2.gz NADJSMISTGGYOQ-INIZCTEOSA-N 0 0 427.575 -0.353 20 0 IBADRN Cc1nccn1C[C@H](C)CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000520239051 1072260388 /nfs/dbraw/zinc/26/03/88/1072260388.db2.gz NADJSMISTGGYOQ-MRXNPFEDSA-N 0 0 427.575 -0.353 20 0 IBADRN C[C@H](NC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(=O)N1CCOCC1 ZINC000520242363 1072260943 /nfs/dbraw/zinc/26/09/43/1072260943.db2.gz KELAIMZXAGUSKO-HNNXBMFYSA-N 0 0 439.538 -0.009 20 0 IBADRN C[C@@H](NC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(=O)N1CCOCC1 ZINC000520242364 1072260908 /nfs/dbraw/zinc/26/09/08/1072260908.db2.gz KELAIMZXAGUSKO-OAHLLOKOSA-N 0 0 439.538 -0.009 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)NCCN3CCCS3(=O)=O)CC2)C1 ZINC000520245646 1072261772 /nfs/dbraw/zinc/26/17/72/1072261772.db2.gz NPRGQIZOZWQUHY-IAGOWNOFSA-N 0 0 429.587 -0.146 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NCCN3CCCS3(=O)=O)CC2)C1 ZINC000520245647 1072261810 /nfs/dbraw/zinc/26/18/10/1072261810.db2.gz NPRGQIZOZWQUHY-IRXDYDNUSA-N 0 0 429.587 -0.146 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)NC(=O)Nc1ccc3c(c1)OCCO3)c2=O ZINC000520251182 1072262329 /nfs/dbraw/zinc/26/23/29/1072262329.db2.gz RDEQNJGPZDOMDA-UHFFFAOYSA-N 0 0 442.388 -0.115 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N(CCC(N)=O)Cc1ccccc1)c2=O ZINC000520251285 1072262923 /nfs/dbraw/zinc/26/29/23/1072262923.db2.gz ZJRUMFKGPMWJEJ-UHFFFAOYSA-N 0 0 426.433 -0.330 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)no1 ZINC000520259318 1072261338 /nfs/dbraw/zinc/26/13/38/1072261338.db2.gz CFUAUTPABYCXMA-UHFFFAOYSA-N 0 0 428.492 -0.002 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@H](C)N2CCN(c3ccccc3)CC2)CCO1 ZINC000520260565 1072261841 /nfs/dbraw/zinc/26/18/41/1072261841.db2.gz VBYYYPPKFRYNNB-FXAWDEMLSA-N 0 0 431.537 -0.323 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@H](C)N2CCN(c3ccccc3)CC2)CCO1 ZINC000520260566 1072261903 /nfs/dbraw/zinc/26/19/03/1072261903.db2.gz VBYYYPPKFRYNNB-PXNSSMCTSA-N 0 0 431.537 -0.323 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@@H](C)N2CCN(c3ccccc3)CC2)CCO1 ZINC000520260567 1072261872 /nfs/dbraw/zinc/26/18/72/1072261872.db2.gz VBYYYPPKFRYNNB-XLIONFOSSA-N 0 0 431.537 -0.323 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@@H](C)N2CCN(c3ccccc3)CC2)CCO1 ZINC000520260568 1072261951 /nfs/dbraw/zinc/26/19/51/1072261951.db2.gz VBYYYPPKFRYNNB-YLJYHZDGSA-N 0 0 431.537 -0.323 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000520260584 1072261891 /nfs/dbraw/zinc/26/18/91/1072261891.db2.gz VYVMSCMDGCKIAU-UHFFFAOYSA-N 0 0 445.498 -0.956 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cccc(C(N)=O)n3)CC2)C[C@H](C)O1 ZINC000520260925 1072261267 /nfs/dbraw/zinc/26/12/67/1072261267.db2.gz FUZQKFFPELQZEM-BETUJISGSA-N 0 0 426.499 -0.316 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cccc(C(N)=O)n3)CC2)C[C@@H](C)O1 ZINC000520260926 1072261786 /nfs/dbraw/zinc/26/17/86/1072261786.db2.gz FUZQKFFPELQZEM-CHWSQXEVSA-N 0 0 426.499 -0.316 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cccc(C(N)=O)n3)CC2)C[C@H](C)O1 ZINC000520260927 1072261793 /nfs/dbraw/zinc/26/17/93/1072261793.db2.gz FUZQKFFPELQZEM-STQMWFEESA-N 0 0 426.499 -0.316 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000520262310 1072263928 /nfs/dbraw/zinc/26/39/28/1072263928.db2.gz CWISOQVNFVHOGJ-UHFFFAOYSA-N 0 0 434.515 -0.123 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)c2cc(S(N)(=O)=O)cn2C)ccc1OC ZINC000520262834 1072263747 /nfs/dbraw/zinc/26/37/47/1072263747.db2.gz UVAFHRDOXNAKHS-UHFFFAOYSA-N 0 0 446.507 -0.142 20 0 IBADRN Cc1nn(C)c(C)c1CN1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000520263049 1072263918 /nfs/dbraw/zinc/26/39/18/1072263918.db2.gz FASREEUXBBJFAS-UHFFFAOYSA-N 0 0 428.497 -0.983 20 0 IBADRN CCN1CCN(CC(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C(=O)C1=O ZINC000520269583 1072263099 /nfs/dbraw/zinc/26/30/99/1072263099.db2.gz COQOPHJIRZBHQO-UHFFFAOYSA-N 0 0 425.511 -0.368 20 0 IBADRN COc1c(F)cc(CC(=O)NCCS(=O)(=O)NCC2CCC2)cc1S(N)(=O)=O ZINC000520272558 1072263463 /nfs/dbraw/zinc/26/34/63/1072263463.db2.gz RKNRKTNRLNYLEL-UHFFFAOYSA-N 0 0 437.515 -0.140 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000520272672 1072263458 /nfs/dbraw/zinc/26/34/58/1072263458.db2.gz RMFNTJNGTNDALC-UHFFFAOYSA-N 0 0 439.519 -0.060 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000520273570 1072263504 /nfs/dbraw/zinc/26/35/04/1072263504.db2.gz CCQXLXQDDLAGIT-AWEZNQCLSA-N 0 0 438.506 -0.417 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000520273576 1072263493 /nfs/dbraw/zinc/26/34/93/1072263493.db2.gz CCQXLXQDDLAGIT-CQSZACIVSA-N 0 0 438.506 -0.417 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000520273676 1072263497 /nfs/dbraw/zinc/26/34/97/1072263497.db2.gz CNOMZRRJOWFYAR-UHFFFAOYSA-N 0 0 441.473 -0.076 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000520278967 1072263697 /nfs/dbraw/zinc/26/36/97/1072263697.db2.gz RIVUGMUOTWKNNK-UHFFFAOYSA-N 0 0 436.487 -0.640 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CCO1 ZINC000520279209 1072264284 /nfs/dbraw/zinc/26/42/84/1072264284.db2.gz ZRJYAWQAYZWXPB-AWEZNQCLSA-N 0 0 429.426 -0.296 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CCO1 ZINC000520279215 1072264433 /nfs/dbraw/zinc/26/44/33/1072264433.db2.gz ZRJYAWQAYZWXPB-CQSZACIVSA-N 0 0 429.426 -0.296 20 0 IBADRN O=C(CN1CCC2(C1)Oc1ccccc1O2)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000520281363 1073165172 /nfs/dbraw/zinc/16/51/72/1073165172.db2.gz UMYVPAQMBFOWIY-UHFFFAOYSA-N 0 0 430.505 -0.137 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCCN2CCSCC2)C1=O ZINC000520282732 1072264420 /nfs/dbraw/zinc/26/44/20/1072264420.db2.gz QTYARHJGOSZHDS-CYBMUJFWSA-N 0 0 440.547 -0.079 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCCN2CCSCC2)C1=O ZINC000520282733 1072264486 /nfs/dbraw/zinc/26/44/86/1072264486.db2.gz QTYARHJGOSZHDS-ZDUSSCGKSA-N 0 0 440.547 -0.079 20 0 IBADRN CC(C)C[C@H](CCO)CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000520282779 1072264355 /nfs/dbraw/zinc/26/43/55/1072264355.db2.gz STRCBRZZDVQLJY-HNNXBMFYSA-N 0 0 430.527 -0.191 20 0 IBADRN CC(C)C[C@@H](CCO)CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000520282780 1072264319 /nfs/dbraw/zinc/26/43/19/1072264319.db2.gz STRCBRZZDVQLJY-OAHLLOKOSA-N 0 0 430.527 -0.191 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NCCS(=O)(=O)NCC2CCC2)CC1 ZINC000520287107 1072264951 /nfs/dbraw/zinc/26/49/51/1072264951.db2.gz GZFIXNCAHXXDCL-UHFFFAOYSA-N 0 0 436.542 -0.086 20 0 IBADRN O=C(CN1CCC[C@@H]1C(=O)N1CCSCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000520288807 1072265004 /nfs/dbraw/zinc/26/50/04/1072265004.db2.gz CCZRWEBYRZBJOX-DLBZAZTESA-N 0 0 444.623 -0.643 20 0 IBADRN O=C(CN1CCC[C@@H]1C(=O)N1CCSCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000520288808 1072264964 /nfs/dbraw/zinc/26/49/64/1072264964.db2.gz CCZRWEBYRZBJOX-IAGOWNOFSA-N 0 0 444.623 -0.643 20 0 IBADRN O=C(CN1CCC[C@H]1C(=O)N1CCSCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000520288809 1072264907 /nfs/dbraw/zinc/26/49/07/1072264907.db2.gz CCZRWEBYRZBJOX-IRXDYDNUSA-N 0 0 444.623 -0.643 20 0 IBADRN O=C(CN1CCC[C@H]1C(=O)N1CCSCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000520288810 1072264853 /nfs/dbraw/zinc/26/48/53/1072264853.db2.gz CCZRWEBYRZBJOX-SJORKVTESA-N 0 0 444.623 -0.643 20 0 IBADRN CCN(CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)S(C)(=O)=O ZINC000520290434 1072264943 /nfs/dbraw/zinc/26/49/43/1072264943.db2.gz LTMPWABHSPNXIC-UHFFFAOYSA-N 0 0 428.496 -0.501 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1C ZINC000520294508 1072265525 /nfs/dbraw/zinc/26/55/25/1072265525.db2.gz BKIZNMCQMSLTAG-AWEZNQCLSA-N 0 0 431.536 -0.657 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1C ZINC000520294509 1072265470 /nfs/dbraw/zinc/26/54/70/1072265470.db2.gz BKIZNMCQMSLTAG-CQSZACIVSA-N 0 0 431.536 -0.657 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CNS(=O)(=O)c2ccccc2)c1 ZINC000520294951 1072265413 /nfs/dbraw/zinc/26/54/13/1072265413.db2.gz ZVZBTOZDDYERIE-UHFFFAOYSA-N 0 0 440.503 -0.372 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nn1C1CCCCC1 ZINC000520295855 1072265514 /nfs/dbraw/zinc/26/55/14/1072265514.db2.gz ZZNLUFWFGDLWLB-UHFFFAOYSA-N 0 0 429.485 -0.007 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)c2c(=O)n(C)c1=O ZINC000520299759 1072266030 /nfs/dbraw/zinc/26/60/30/1072266030.db2.gz PTYGSZHOJWANNG-UHFFFAOYSA-N 0 0 442.480 -0.282 20 0 IBADRN CC(C)OCc1nc([C@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)no1 ZINC000520300287 1072266038 /nfs/dbraw/zinc/26/60/38/1072266038.db2.gz VIDXXJWKZQLOLQ-AWEZNQCLSA-N 0 0 446.530 -0.040 20 0 IBADRN CC(C)OCc1nc([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)no1 ZINC000520300288 1072266041 /nfs/dbraw/zinc/26/60/41/1072266041.db2.gz VIDXXJWKZQLOLQ-CQSZACIVSA-N 0 0 446.530 -0.040 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc(S(=O)(=O)NC)o1)C(=O)NCCN1CCOCC1 ZINC000520304808 1072265956 /nfs/dbraw/zinc/26/59/56/1072265956.db2.gz AKALUYKXPIVDLD-BBRMVZONSA-N 0 0 430.527 -0.219 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc(S(=O)(=O)NC)o1)C(=O)NCCN1CCOCC1 ZINC000520304809 1072265961 /nfs/dbraw/zinc/26/59/61/1072265961.db2.gz AKALUYKXPIVDLD-CJNGLKHVSA-N 0 0 430.527 -0.219 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc(S(=O)(=O)NC)o1)C(=O)NCCN1CCOCC1 ZINC000520304810 1072265979 /nfs/dbraw/zinc/26/59/79/1072265979.db2.gz AKALUYKXPIVDLD-CZUORRHYSA-N 0 0 430.527 -0.219 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc(S(=O)(=O)NC)o1)C(=O)NCCN1CCOCC1 ZINC000520304811 1072266007 /nfs/dbraw/zinc/26/60/07/1072266007.db2.gz AKALUYKXPIVDLD-XJKSGUPXSA-N 0 0 430.527 -0.219 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000520306213 1072265952 /nfs/dbraw/zinc/26/59/52/1072265952.db2.gz WYSJTINFIOGBQB-ZDUSSCGKSA-N 0 0 432.930 -0.429 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3nn(C)c4ccccc4c3=O)CC2)CC1 ZINC000520309367 1072265452 /nfs/dbraw/zinc/26/54/52/1072265452.db2.gz YRWKKDUKYWWDSW-UHFFFAOYSA-N 0 0 434.522 -0.817 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCn2[nH]c(=O)c3ccccc3c2=O)CC1 ZINC000520312543 1072266521 /nfs/dbraw/zinc/26/65/21/1072266521.db2.gz BVOOXKGNIPMQFY-INIZCTEOSA-N 0 0 443.504 -0.116 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCn2[nH]c(=O)c3ccccc3c2=O)CC1 ZINC000520312544 1072266490 /nfs/dbraw/zinc/26/64/90/1072266490.db2.gz BVOOXKGNIPMQFY-MRXNPFEDSA-N 0 0 443.504 -0.116 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1ccc2c(c1)CCN2S(C)(=O)=O ZINC000520312733 1072266589 /nfs/dbraw/zinc/26/65/89/1072266589.db2.gz PDYSYHOBJSNTHL-UHFFFAOYSA-N 0 0 425.507 -0.044 20 0 IBADRN CCOc1ccc(NC(=O)CN2CC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000520313895 1072266551 /nfs/dbraw/zinc/26/65/51/1072266551.db2.gz UYOCAMXGKOQVPA-UHFFFAOYSA-N 0 0 426.451 -0.404 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000520318494 1072266502 /nfs/dbraw/zinc/26/65/02/1072266502.db2.gz RWLNHEUPFCXZTQ-AWEZNQCLSA-N 0 0 430.527 -0.154 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000520318495 1072266569 /nfs/dbraw/zinc/26/65/69/1072266569.db2.gz RWLNHEUPFCXZTQ-CQSZACIVSA-N 0 0 430.527 -0.154 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O ZINC000520333196 1072267114 /nfs/dbraw/zinc/26/71/14/1072267114.db2.gz KKCFQCFJGSLLOU-HNNXBMFYSA-N 0 0 425.445 -0.523 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O ZINC000520333197 1072267067 /nfs/dbraw/zinc/26/70/67/1072267067.db2.gz KKCFQCFJGSLLOU-OAHLLOKOSA-N 0 0 425.445 -0.523 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC000520333200 1072267118 /nfs/dbraw/zinc/26/71/18/1072267118.db2.gz KQHMENPZMMQMJO-KRWDZBQOSA-N 0 0 445.501 -0.229 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC000520333201 1072267007 /nfs/dbraw/zinc/26/70/07/1072267007.db2.gz KQHMENPZMMQMJO-QGZVFWFLSA-N 0 0 445.501 -0.229 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCN2C(=O)c3ccc(Br)cc3C2=O)CC1 ZINC000520338529 1072267101 /nfs/dbraw/zinc/26/71/01/1072267101.db2.gz KSTYUMVJJQPYFE-UHFFFAOYSA-N 0 0 445.295 -0.217 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CN2C(=O)c3ccc(Br)cc3C2=O)CC1 ZINC000520339578 1072266991 /nfs/dbraw/zinc/26/69/91/1072266991.db2.gz ARLZRUJMGDTVOT-UHFFFAOYSA-N 0 0 431.268 -0.607 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)o2)CC1 ZINC000520353085 1072267843 /nfs/dbraw/zinc/26/78/43/1072267843.db2.gz XVNRFKISZVPGOB-AWEZNQCLSA-N 0 0 428.511 -0.465 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)o2)CC1 ZINC000520353086 1072267953 /nfs/dbraw/zinc/26/79/53/1072267953.db2.gz XVNRFKISZVPGOB-CQSZACIVSA-N 0 0 428.511 -0.465 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000520354494 1072267429 /nfs/dbraw/zinc/26/74/29/1072267429.db2.gz YEHUXBBQHVAQNV-INIZCTEOSA-N 0 0 437.522 -0.766 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000520354495 1072267445 /nfs/dbraw/zinc/26/74/45/1072267445.db2.gz YEHUXBBQHVAQNV-MRXNPFEDSA-N 0 0 437.522 -0.766 20 0 IBADRN CC[C@@H](CNC(=O)c1cnc2n(c1=O)CCS2)NC(=O)c1cnc2n(c1=O)CCS2 ZINC000520356488 1072267527 /nfs/dbraw/zinc/26/75/27/1072267527.db2.gz IOGUFRSVAVZSSF-JTQLQIEISA-N 0 0 448.530 -0.050 20 0 IBADRN CC[C@H](CNC(=O)c1cnc2n(c1=O)CCS2)NC(=O)c1cnc2n(c1=O)CCS2 ZINC000520356489 1072267373 /nfs/dbraw/zinc/26/73/73/1072267373.db2.gz IOGUFRSVAVZSSF-SNVBAGLBSA-N 0 0 448.530 -0.050 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000520360326 1072269498 /nfs/dbraw/zinc/26/94/98/1072269498.db2.gz KMRMKVCVKSMEOC-UHFFFAOYSA-N 0 0 448.549 -0.056 20 0 IBADRN Cc1c(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cnn(C)c3C)CC2)cnn1C ZINC000520362298 1072269411 /nfs/dbraw/zinc/26/94/11/1072269411.db2.gz LVYCIZYZCXMKFS-UHFFFAOYSA-N 0 0 437.526 -0.712 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(CNC(C)=O)ccc1OC ZINC000520363885 1072269369 /nfs/dbraw/zinc/26/93/69/1072269369.db2.gz ZSCBZVIVKPAANQ-AWEZNQCLSA-N 0 0 426.495 -0.653 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(CNC(C)=O)ccc1OC ZINC000520363886 1072269989 /nfs/dbraw/zinc/26/99/89/1072269989.db2.gz ZSCBZVIVKPAANQ-CQSZACIVSA-N 0 0 426.495 -0.653 20 0 IBADRN CN(CC(=O)NCC(=O)NCCN1CCOCC1)S(=O)(=O)c1ccc(Cl)s1 ZINC000520365205 1072269996 /nfs/dbraw/zinc/26/99/96/1072269996.db2.gz AZISVBATOCLXPJ-UHFFFAOYSA-N 0 0 438.959 -0.413 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1)NCCN1CCOCC1 ZINC000520365279 1072269956 /nfs/dbraw/zinc/26/99/56/1072269956.db2.gz FBQJKWMXJVMTKO-AWEZNQCLSA-N 0 0 430.552 -0.534 20 0 IBADRN O=C(CNC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1)NCCN1CCOCC1 ZINC000520365280 1072269896 /nfs/dbraw/zinc/26/98/96/1072269896.db2.gz FBQJKWMXJVMTKO-CQSZACIVSA-N 0 0 430.552 -0.534 20 0 IBADRN CSC[C@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000520365444 1072269967 /nfs/dbraw/zinc/26/99/67/1072269967.db2.gz NQWIJQFHHRCTBP-BZUAXINKSA-N 0 0 440.566 -0.542 20 0 IBADRN CSC[C@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000520365445 1072270426 /nfs/dbraw/zinc/27/04/26/1072270426.db2.gz NQWIJQFHHRCTBP-HRCADAONSA-N 0 0 440.566 -0.542 20 0 IBADRN CSC[C@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000520365446 1072270480 /nfs/dbraw/zinc/27/04/80/1072270480.db2.gz NQWIJQFHHRCTBP-OWCLPIDISA-N 0 0 440.566 -0.542 20 0 IBADRN CSC[C@@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000520365447 1072270456 /nfs/dbraw/zinc/27/04/56/1072270456.db2.gz NQWIJQFHHRCTBP-XHSDSOJGSA-N 0 0 440.566 -0.542 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)NCCN1CCOCC1 ZINC000520366174 1072270418 /nfs/dbraw/zinc/27/04/18/1072270418.db2.gz BLJLPUBFHFZAKZ-INIZCTEOSA-N 0 0 432.477 -0.625 20 0 IBADRN O=C(CNC(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)NCCN1CCOCC1 ZINC000520366175 1072270405 /nfs/dbraw/zinc/27/04/05/1072270405.db2.gz BLJLPUBFHFZAKZ-MRXNPFEDSA-N 0 0 432.477 -0.625 20 0 IBADRN O=C(CNC(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O)NCCN1CCOCC1 ZINC000520366226 1072270442 /nfs/dbraw/zinc/27/04/42/1072270442.db2.gz FBFFFXDYHZLVQG-UHFFFAOYSA-N 0 0 427.461 -0.812 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)C(=O)N(C[C@@H]1CCCO1)C2=O)NCCN1CCOCC1 ZINC000520367035 1072268062 /nfs/dbraw/zinc/26/80/62/1072268062.db2.gz CFKOVWXVZFBGER-INIZCTEOSA-N 0 0 444.488 -0.360 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)C(=O)N(C[C@H]1CCCO1)C2=O)NCCN1CCOCC1 ZINC000520367036 1072267896 /nfs/dbraw/zinc/26/78/96/1072267896.db2.gz CFKOVWXVZFBGER-MRXNPFEDSA-N 0 0 444.488 -0.360 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000520368937 1072267992 /nfs/dbraw/zinc/26/79/92/1072267992.db2.gz RQEKNJXFZKDTIW-DZGCQCFKSA-N 0 0 425.463 -0.790 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000520368938 1072268130 /nfs/dbraw/zinc/26/81/30/1072268130.db2.gz RQEKNJXFZKDTIW-HIFRSBDPSA-N 0 0 425.463 -0.790 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000520368939 1072268108 /nfs/dbraw/zinc/26/81/08/1072268108.db2.gz RQEKNJXFZKDTIW-UKRRQHHQSA-N 0 0 425.463 -0.790 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000520368940 1072268139 /nfs/dbraw/zinc/26/81/39/1072268139.db2.gz RQEKNJXFZKDTIW-ZFWWWQNUSA-N 0 0 425.463 -0.790 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@@H](OC)C[C@@H]2C(=O)OC)c1 ZINC000520369708 1072268338 /nfs/dbraw/zinc/26/83/38/1072268338.db2.gz BQBDUYNKZLTHOG-DZGCQCFKSA-N 0 0 429.495 -0.332 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@H](OC)C[C@H]2C(=O)OC)c1 ZINC000520369709 1072268445 /nfs/dbraw/zinc/26/84/45/1072268445.db2.gz BQBDUYNKZLTHOG-HIFRSBDPSA-N 0 0 429.495 -0.332 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@H](OC)C[C@@H]2C(=O)OC)c1 ZINC000520369710 1072268419 /nfs/dbraw/zinc/26/84/19/1072268419.db2.gz BQBDUYNKZLTHOG-UKRRQHHQSA-N 0 0 429.495 -0.332 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)c1 ZINC000520369711 1072268545 /nfs/dbraw/zinc/26/85/45/1072268545.db2.gz BQBDUYNKZLTHOG-ZFWWWQNUSA-N 0 0 429.495 -0.332 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000520371935 1072268426 /nfs/dbraw/zinc/26/84/26/1072268426.db2.gz FUAWJAJDLYTECQ-HKUYNNGSSA-N 0 0 432.521 -0.118 20 0 IBADRN CO[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000520371936 1072268533 /nfs/dbraw/zinc/26/85/33/1072268533.db2.gz FUAWJAJDLYTECQ-IEBWSBKVSA-N 0 0 432.521 -0.118 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000520371937 1072268489 /nfs/dbraw/zinc/26/84/89/1072268489.db2.gz FUAWJAJDLYTECQ-MJGOQNOKSA-N 0 0 432.521 -0.118 20 0 IBADRN CO[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000520371938 1072268346 /nfs/dbraw/zinc/26/83/46/1072268346.db2.gz FUAWJAJDLYTECQ-PKOBYXMFSA-N 0 0 432.521 -0.118 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000520372280 1072268453 /nfs/dbraw/zinc/26/84/53/1072268453.db2.gz IRCHTKXTTJDPKL-HNNXBMFYSA-N 0 0 433.552 -0.040 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000520372281 1072268400 /nfs/dbraw/zinc/26/84/00/1072268400.db2.gz IRCHTKXTTJDPKL-OAHLLOKOSA-N 0 0 433.552 -0.040 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCC(=O)NCCOC)o1 ZINC000520372375 1072268434 /nfs/dbraw/zinc/26/84/34/1072268434.db2.gz NNAMWUNYFFSTLF-GFCCVEGCSA-N 0 0 431.467 -0.512 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCC(=O)NCCOC)o1 ZINC000520372376 1072268500 /nfs/dbraw/zinc/26/85/00/1072268500.db2.gz NNAMWUNYFFSTLF-LBPRGKRZSA-N 0 0 431.467 -0.512 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2Cl)N2CCOCC2)C1 ZINC000520379410 1072270946 /nfs/dbraw/zinc/27/09/46/1072270946.db2.gz VFWHTOVBNMEBNT-BBRMVZONSA-N 0 0 444.941 -0.281 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2Cl)N2CCOCC2)C1 ZINC000520379411 1072270695 /nfs/dbraw/zinc/27/06/95/1072270695.db2.gz VFWHTOVBNMEBNT-CJNGLKHVSA-N 0 0 444.941 -0.281 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2ccccc2Cl)N2CCOCC2)C1 ZINC000520379412 1072270933 /nfs/dbraw/zinc/27/09/33/1072270933.db2.gz VFWHTOVBNMEBNT-CZUORRHYSA-N 0 0 444.941 -0.281 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](c2ccccc2Cl)N2CCOCC2)C1 ZINC000520379413 1072270886 /nfs/dbraw/zinc/27/08/86/1072270886.db2.gz VFWHTOVBNMEBNT-XJKSGUPXSA-N 0 0 444.941 -0.281 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)NC3=O)CC2)cc1 ZINC000520382211 1072270751 /nfs/dbraw/zinc/27/07/51/1072270751.db2.gz SQPIKSNOSJBJFF-UHFFFAOYSA-N 0 0 438.462 -0.752 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000520382441 1072271537 /nfs/dbraw/zinc/27/15/37/1072271537.db2.gz FNTAVIGDAFAVLM-UHFFFAOYSA-N 0 0 433.556 -0.533 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000520382968 1072270720 /nfs/dbraw/zinc/27/07/20/1072270720.db2.gz VKKTVZYFWYTKPM-UHFFFAOYSA-N 0 0 444.536 -0.274 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1C(N)=O ZINC000520385391 1072271333 /nfs/dbraw/zinc/27/13/33/1072271333.db2.gz BAYVXXRSIXYMHA-GFCCVEGCSA-N 0 0 435.524 -0.439 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1C(N)=O ZINC000520385392 1072271437 /nfs/dbraw/zinc/27/14/37/1072271437.db2.gz BAYVXXRSIXYMHA-LBPRGKRZSA-N 0 0 435.524 -0.439 20 0 IBADRN COC(=O)c1cccc(OCCN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000520386201 1072271269 /nfs/dbraw/zinc/27/12/69/1072271269.db2.gz WBBAEINIMWLBLQ-UHFFFAOYSA-N 0 0 426.539 -0.038 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@@H]1CNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000520386959 1072272037 /nfs/dbraw/zinc/27/20/37/1072272037.db2.gz MZKRLRRXQDQTQD-CABCVRRESA-N 0 0 425.573 -0.948 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@H]1CNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000520386960 1072272055 /nfs/dbraw/zinc/27/20/55/1072272055.db2.gz MZKRLRRXQDQTQD-GJZGRUSLSA-N 0 0 425.573 -0.948 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@@H]1CNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000520386961 1072272002 /nfs/dbraw/zinc/27/20/02/1072272002.db2.gz MZKRLRRXQDQTQD-HUUCEWRRSA-N 0 0 425.573 -0.948 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@H]1CNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000520386962 1072271854 /nfs/dbraw/zinc/27/18/54/1072271854.db2.gz MZKRLRRXQDQTQD-LSDHHAIUSA-N 0 0 425.573 -0.948 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2oc1=O ZINC000520387568 1072271948 /nfs/dbraw/zinc/27/19/48/1072271948.db2.gz WEFMWXHLDZPEKO-GFCCVEGCSA-N 0 0 433.508 -0.102 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2oc1=O ZINC000520387569 1072272072 /nfs/dbraw/zinc/27/20/72/1072272072.db2.gz WEFMWXHLDZPEKO-LBPRGKRZSA-N 0 0 433.508 -0.102 20 0 IBADRN CCN(CCCNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)S(C)(=O)=O ZINC000520390762 1072272534 /nfs/dbraw/zinc/27/25/34/1072272534.db2.gz HGDOCPRXJBQXLB-AWEZNQCLSA-N 0 0 428.577 -0.554 20 0 IBADRN CCN(CCCNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)S(C)(=O)=O ZINC000520390770 1072272327 /nfs/dbraw/zinc/27/23/27/1072272327.db2.gz HGDOCPRXJBQXLB-CQSZACIVSA-N 0 0 428.577 -0.554 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@]21C ZINC000520396550 1072272965 /nfs/dbraw/zinc/27/29/65/1072272965.db2.gz WWIOHOGAHAELCS-AOMKIAJQSA-N 0 0 441.488 -0.161 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C ZINC000520396551 1072273133 /nfs/dbraw/zinc/27/31/33/1072273133.db2.gz WWIOHOGAHAELCS-KSFYIVLOSA-N 0 0 441.488 -0.161 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C ZINC000520396552 1072272980 /nfs/dbraw/zinc/27/29/80/1072272980.db2.gz WWIOHOGAHAELCS-OPAMFIHVSA-N 0 0 441.488 -0.161 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)c2ccccc2N2C(=O)CC[C@]21C ZINC000520396553 1072273102 /nfs/dbraw/zinc/27/31/02/1072273102.db2.gz WWIOHOGAHAELCS-ZHRRBRCNSA-N 0 0 441.488 -0.161 20 0 IBADRN O=C(CN1CC(=O)NC1=O)NC[C@H](O)COc1ccc(I)cc1 ZINC000520397770 1072272433 /nfs/dbraw/zinc/27/24/33/1072272433.db2.gz OKYYJNSVOOAKAH-JTQLQIEISA-N 0 0 433.202 -0.301 20 0 IBADRN O=C(CN1CC(=O)NC1=O)NC[C@@H](O)COc1ccc(I)cc1 ZINC000520397771 1072272512 /nfs/dbraw/zinc/27/25/12/1072272512.db2.gz OKYYJNSVOOAKAH-SNVBAGLBSA-N 0 0 433.202 -0.301 20 0 IBADRN Nc1nccnc1C(=O)NCc1cccnc1N1CCN(C(=O)c2nccnc2N)CC1 ZINC000520398803 1072272611 /nfs/dbraw/zinc/27/26/11/1072272611.db2.gz RFEXDKAYYXOAJF-UHFFFAOYSA-N 0 0 434.464 -0.282 20 0 IBADRN O=C(Nc1ccc2ncccc2c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000520413699 1072273933 /nfs/dbraw/zinc/27/39/33/1072273933.db2.gz CXYMKCLBAWXSDC-UHFFFAOYSA-N 0 0 433.490 -0.106 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000520416292 1072274084 /nfs/dbraw/zinc/27/40/84/1072274084.db2.gz UYFZTZNYUPPKOT-INIZCTEOSA-N 0 0 433.509 -0.226 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000520416293 1072273976 /nfs/dbraw/zinc/27/39/76/1072273976.db2.gz UYFZTZNYUPPKOT-MRXNPFEDSA-N 0 0 433.509 -0.226 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)c1 ZINC000520418014 1072273535 /nfs/dbraw/zinc/27/35/35/1072273535.db2.gz RVLJDUVVCASWAP-GOSISDBHSA-N 0 0 445.520 -0.104 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)c1 ZINC000520418015 1072273393 /nfs/dbraw/zinc/27/33/93/1072273393.db2.gz RVLJDUVVCASWAP-SFHVURJKSA-N 0 0 445.520 -0.104 20 0 IBADRN O=C(Nc1cccc(C(=O)NC2CC2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000520418249 1072273077 /nfs/dbraw/zinc/27/30/77/1072273077.db2.gz GSZJMKVUQGXYCY-UHFFFAOYSA-N 0 0 441.488 -0.190 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)CC(=O)NC)cc1S(=O)(=O)N1CCOCC1 ZINC000520420339 1072273467 /nfs/dbraw/zinc/27/34/67/1072273467.db2.gz UEPKGRUQHXTIRM-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000520420656 1072273576 /nfs/dbraw/zinc/27/35/76/1072273576.db2.gz VMQRFIZXLVFMFJ-CYBMUJFWSA-N 0 0 432.524 -0.584 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000520420657 1072273444 /nfs/dbraw/zinc/27/34/44/1072273444.db2.gz VMQRFIZXLVFMFJ-ZDUSSCGKSA-N 0 0 432.524 -0.584 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000520422890 1072273417 /nfs/dbraw/zinc/27/34/17/1072273417.db2.gz RUUNZWVQFFSIBF-UHFFFAOYSA-N 0 0 428.449 -0.735 20 0 IBADRN CCOc1ccc(NC(=O)CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)cc1 ZINC000520423330 1072273501 /nfs/dbraw/zinc/27/35/01/1072273501.db2.gz KZXNPQMPIKORNJ-UHFFFAOYSA-N 0 0 441.444 -0.006 20 0 IBADRN CNC(=O)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000520432644 1072284562 /nfs/dbraw/zinc/28/45/62/1072284562.db2.gz GLTDHFGUDNVBBR-BETUJISGSA-N 0 0 426.495 -0.373 20 0 IBADRN CNC(=O)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000520432645 1072284736 /nfs/dbraw/zinc/28/47/36/1072284736.db2.gz GLTDHFGUDNVBBR-CHWSQXEVSA-N 0 0 426.495 -0.373 20 0 IBADRN CNC(=O)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000520432646 1072284685 /nfs/dbraw/zinc/28/46/85/1072284685.db2.gz GLTDHFGUDNVBBR-STQMWFEESA-N 0 0 426.495 -0.373 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCCc2c(OC)cc(OC)cc2OC)CC1 ZINC000520437035 1072285227 /nfs/dbraw/zinc/28/52/27/1072285227.db2.gz OKEHYOHLMOALCT-UHFFFAOYSA-N 0 0 436.509 -0.302 20 0 IBADRN CCOCCN(C)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000520437169 1072285176 /nfs/dbraw/zinc/28/51/76/1072285176.db2.gz UZJJNWHOTRKBKJ-UHFFFAOYSA-N 0 0 427.527 -0.856 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)C1 ZINC000520440355 1072285663 /nfs/dbraw/zinc/28/56/63/1072285663.db2.gz SIGGYVWALBYLPC-CYBMUJFWSA-N 0 0 432.524 -0.618 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)C1 ZINC000520440356 1072285816 /nfs/dbraw/zinc/28/58/16/1072285816.db2.gz SIGGYVWALBYLPC-ZDUSSCGKSA-N 0 0 432.524 -0.618 20 0 IBADRN O=C(CCC1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000520441422 1072286387 /nfs/dbraw/zinc/28/63/87/1072286387.db2.gz VAOINKZMJQUMCM-UHFFFAOYSA-N 0 0 430.509 -0.036 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c2)no1 ZINC000520442462 1072286830 /nfs/dbraw/zinc/28/68/30/1072286830.db2.gz KZZQVRYCKRFPBN-UHFFFAOYSA-N 0 0 426.433 -0.067 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000520450123 1072287420 /nfs/dbraw/zinc/28/74/20/1072287420.db2.gz BTCXMFKHYZQITJ-DLBZAZTESA-N 0 0 425.493 -0.371 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000520450124 1072287903 /nfs/dbraw/zinc/28/79/03/1072287903.db2.gz BTCXMFKHYZQITJ-IRXDYDNUSA-N 0 0 425.493 -0.371 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)cc1 ZINC000520473573 1072291603 /nfs/dbraw/zinc/29/16/03/1072291603.db2.gz QRZFEPXZWUFZKH-UHFFFAOYSA-N 0 0 448.457 -0.317 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2Cn2cncn2)CC1 ZINC000520480151 1072292095 /nfs/dbraw/zinc/29/20/95/1072292095.db2.gz WKDQDSQPYZVANE-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(C(=O)c2ccccc2)CC1 ZINC000520480506 1072292690 /nfs/dbraw/zinc/29/26/90/1072292690.db2.gz AYTHTIMIIWAISO-UHFFFAOYSA-N 0 0 436.472 -0.059 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCCC1 ZINC000520482557 1072293139 /nfs/dbraw/zinc/29/31/39/1072293139.db2.gz GADXKSHNDWYPMZ-UHFFFAOYSA-N 0 0 442.469 -0.476 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000520484977 1072293293 /nfs/dbraw/zinc/29/32/93/1072293293.db2.gz FYWIAHVCRRFCNC-UHFFFAOYSA-N 0 0 433.509 -0.282 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000520487450 1072293671 /nfs/dbraw/zinc/29/36/71/1072293671.db2.gz ZSDZYPAMBIZQJQ-UHFFFAOYSA-N 0 0 445.520 -0.184 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000520492561 1072294036 /nfs/dbraw/zinc/29/40/36/1072294036.db2.gz VDSHTJBQOQMYHB-INIZCTEOSA-N 0 0 426.481 -0.776 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000520492562 1072294062 /nfs/dbraw/zinc/29/40/62/1072294062.db2.gz VDSHTJBQOQMYHB-MRXNPFEDSA-N 0 0 426.481 -0.776 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)nc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000520492731 1072294710 /nfs/dbraw/zinc/29/47/10/1072294710.db2.gz KWYVGSPWTLOJJM-UHFFFAOYSA-N 0 0 440.526 -0.355 20 0 IBADRN CCN(C(=O)CN(CC(=O)N(CC)[C@H]1CCNC1=O)Cc1ccccc1)[C@@H]1CCNC1=O ZINC000520494210 1072294906 /nfs/dbraw/zinc/29/49/06/1072294906.db2.gz FVPMXTMMGASHKN-KDURUIRLSA-N 0 0 443.548 -0.037 20 0 IBADRN CCN(C(=O)CN(CC(=O)N(CC)[C@H]1CCNC1=O)Cc1ccccc1)[C@H]1CCNC1=O ZINC000520494211 1072294849 /nfs/dbraw/zinc/29/48/49/1072294849.db2.gz FVPMXTMMGASHKN-OALUTQOASA-N 0 0 443.548 -0.037 20 0 IBADRN CCN(C(=O)CN(CC(=O)N(CC)[C@@H]1CCNC1=O)Cc1ccccc1)[C@@H]1CCNC1=O ZINC000520494212 1072294697 /nfs/dbraw/zinc/29/46/97/1072294697.db2.gz FVPMXTMMGASHKN-RTBURBONSA-N 0 0 443.548 -0.037 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000520494989 1072295285 /nfs/dbraw/zinc/29/52/85/1072295285.db2.gz CVPMPASDXSHAGV-INIZCTEOSA-N 0 0 432.477 -0.291 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000520494990 1072295146 /nfs/dbraw/zinc/29/51/46/1072295146.db2.gz CVPMPASDXSHAGV-MRXNPFEDSA-N 0 0 432.477 -0.291 20 0 IBADRN Cn1c2nc(C(=O)Nc3cc(C(=O)NCCC(N)=O)ccc3F)ccc2c(=O)n(C)c1=O ZINC000520496314 1072294656 /nfs/dbraw/zinc/29/46/56/1072294656.db2.gz CCYMUOUZKQNTBE-UHFFFAOYSA-N 0 0 442.407 -0.371 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2c(=O)onc2-c2ncccn2)CC1 ZINC000520500269 1072295842 /nfs/dbraw/zinc/29/58/42/1072295842.db2.gz FSJYIKHCRTZZSC-UHFFFAOYSA-N 0 0 449.449 -0.569 20 0 IBADRN CN(C)c1nc(N)nc(COc2cccc(NC(=O)CN3CCS(=O)(=O)CC3)c2)n1 ZINC000520501086 1072295950 /nfs/dbraw/zinc/29/59/50/1072295950.db2.gz WKEMLKSPAAVBBK-UHFFFAOYSA-N 0 0 435.510 -0.232 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000520501125 1072295777 /nfs/dbraw/zinc/29/57/77/1072295777.db2.gz XYXCJYOHXKOEMG-CYBMUJFWSA-N 0 0 431.536 -0.711 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000520501126 1072295903 /nfs/dbraw/zinc/29/59/03/1072295903.db2.gz XYXCJYOHXKOEMG-ZDUSSCGKSA-N 0 0 431.536 -0.711 20 0 IBADRN O=C(Cn1c(=O)onc1-c1ncccn1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000520501231 1072295798 /nfs/dbraw/zinc/29/57/98/1072295798.db2.gz JWNFIDXTYZRDKT-UHFFFAOYSA-N 0 0 448.436 -0.035 20 0 IBADRN O=C(Cn1c(=O)onc1-c1ncccn1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000520501308 1072295102 /nfs/dbraw/zinc/29/51/02/1072295102.db2.gz SXJJGOYTFUHKMN-UHFFFAOYSA-N 0 0 448.436 -0.035 20 0 IBADRN Cn1c(=O)c(C(=O)Cn2c(-c3ncccn3)noc2=O)c(N)n(Cc2ccccc2)c1=O ZINC000520501351 1072295819 /nfs/dbraw/zinc/29/58/19/1072295819.db2.gz XLTDLJHWWNEXDW-UHFFFAOYSA-N 0 0 435.400 -0.333 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000520505206 1072295757 /nfs/dbraw/zinc/29/57/57/1072295757.db2.gz OFYYUTDICMEXRQ-INIZCTEOSA-N 0 0 436.534 -0.336 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000520505207 1072296485 /nfs/dbraw/zinc/29/64/85/1072296485.db2.gz OFYYUTDICMEXRQ-MRXNPFEDSA-N 0 0 436.534 -0.336 20 0 IBADRN Cc1nc(C(=O)N(C)C)ccc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000520506044 1072296262 /nfs/dbraw/zinc/29/62/62/1072296262.db2.gz MYTGDSPTIIMVTQ-UHFFFAOYSA-N 0 0 425.511 -0.573 20 0 IBADRN NC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)nc1 ZINC000520513879 1072296871 /nfs/dbraw/zinc/29/68/71/1072296871.db2.gz YFTSXQAGITVHMC-UHFFFAOYSA-N 0 0 430.446 -0.091 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000520515144 1072296997 /nfs/dbraw/zinc/29/69/97/1072296997.db2.gz IZLIVOPFTBHGAC-UHFFFAOYSA-N 0 0 444.579 -0.274 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000520516889 1072296894 /nfs/dbraw/zinc/29/68/94/1072296894.db2.gz YOGLYENNRLUALA-INIZCTEOSA-N 0 0 430.571 -0.513 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000520516890 1072296965 /nfs/dbraw/zinc/29/69/65/1072296965.db2.gz YOGLYENNRLUALA-MRXNPFEDSA-N 0 0 430.571 -0.513 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)c(C)n2n1 ZINC000520517996 1072296754 /nfs/dbraw/zinc/29/67/54/1072296754.db2.gz CWHQUWJFJDUOGB-LLVKDONJSA-N 0 0 440.551 -0.233 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)c(C)n2n1 ZINC000520517997 1072296984 /nfs/dbraw/zinc/29/69/84/1072296984.db2.gz CWHQUWJFJDUOGB-NSHDSACASA-N 0 0 440.551 -0.233 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCN3CCOC[C@@H]3C)cc2)CC1 ZINC000520519604 1072297367 /nfs/dbraw/zinc/29/73/67/1072297367.db2.gz KERNFPMHYOQMJZ-INIZCTEOSA-N 0 0 438.550 -0.010 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCN3CCOC[C@H]3C)cc2)CC1 ZINC000520519605 1072297507 /nfs/dbraw/zinc/29/75/07/1072297507.db2.gz KERNFPMHYOQMJZ-MRXNPFEDSA-N 0 0 438.550 -0.010 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000520536510 1072297972 /nfs/dbraw/zinc/29/79/72/1072297972.db2.gz JGWOUEUJMJINLR-GFCCVEGCSA-N 0 0 429.271 -0.049 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000520536511 1072298414 /nfs/dbraw/zinc/29/84/14/1072298414.db2.gz JGWOUEUJMJINLR-LBPRGKRZSA-N 0 0 429.271 -0.049 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCC(=O)NC23CCC3)s1 ZINC000520541743 1072298435 /nfs/dbraw/zinc/29/84/35/1072298435.db2.gz ZFWGTBDXBUQOQD-CYBMUJFWSA-N 0 0 428.536 -0.020 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCC(=O)NC23CCC3)s1 ZINC000520541745 1072298519 /nfs/dbraw/zinc/29/85/19/1072298519.db2.gz ZFWGTBDXBUQOQD-ZDUSSCGKSA-N 0 0 428.536 -0.020 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Cc2ccc(-n3cnnn3)cc2)c1 ZINC000520544723 1072299075 /nfs/dbraw/zinc/29/90/75/1072299075.db2.gz NJHKHIXKXDFGHX-UHFFFAOYSA-N 0 0 429.462 -0.132 20 0 IBADRN COCCCN(C(=O)CCN1CCCS1(=O)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000520547302 1072298882 /nfs/dbraw/zinc/29/88/82/1072298882.db2.gz XNJZMRSKHUXUOR-UHFFFAOYSA-N 0 0 445.542 -0.018 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CCn2nnc3ccccc3c2=O)c1 ZINC000520547525 1072299088 /nfs/dbraw/zinc/29/90/88/1072299088.db2.gz OCLZXKJZYHVIAV-UHFFFAOYSA-N 0 0 444.473 -0.155 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(S(N)(=O)=O)s2)c1 ZINC000520547604 1072298285 /nfs/dbraw/zinc/29/82/85/1072298285.db2.gz UIJFZFUMCFTJCO-UHFFFAOYSA-N 0 0 432.505 -0.328 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCS(=O)(=O)NC(C)C)c1 ZINC000520550886 1072299027 /nfs/dbraw/zinc/29/90/27/1072299027.db2.gz QVVFLBHEWOQMGP-UHFFFAOYSA-N 0 0 435.528 -0.840 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2ccc(C)c(C(N)=O)c2)c1 ZINC000520558990 1072299403 /nfs/dbraw/zinc/29/94/03/1072299403.db2.gz DFBZXMSMJKOLRK-UHFFFAOYSA-N 0 0 440.503 -0.081 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(CS(N)(=O)=O)cc2)c1 ZINC000520566308 1072299977 /nfs/dbraw/zinc/29/99/77/1072299977.db2.gz QJBYANZPEAYVFE-UHFFFAOYSA-N 0 0 440.503 -0.248 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CNC(=O)COc2ccccc2)c1 ZINC000520566408 1072300195 /nfs/dbraw/zinc/30/01/95/1072300195.db2.gz UCMOKCXAKVELFA-UHFFFAOYSA-N 0 0 434.474 -0.155 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000520568032 1072299964 /nfs/dbraw/zinc/29/99/64/1072299964.db2.gz PZXBRRLIEFKLIC-UHFFFAOYSA-N 0 0 437.460 -0.664 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000520577422 1072301314 /nfs/dbraw/zinc/30/13/14/1072301314.db2.gz ZAJBEAXJKYWJHV-UHFFFAOYSA-N 0 0 427.527 -0.304 20 0 IBADRN CCNC(=O)c1cccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000520578443 1072301289 /nfs/dbraw/zinc/30/12/89/1072301289.db2.gz LUZVZWIZZAYIQV-UHFFFAOYSA-N 0 0 429.477 -0.061 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000520578609 1072301327 /nfs/dbraw/zinc/30/13/27/1072301327.db2.gz UXHFLHRJNYIHEI-UHFFFAOYSA-N 0 0 441.444 -0.715 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000520581098 1072301719 /nfs/dbraw/zinc/30/17/19/1072301719.db2.gz HAMRHBSJWWMCIK-UHFFFAOYSA-N 0 0 445.476 -0.572 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000520590436 1072302910 /nfs/dbraw/zinc/30/29/10/1072302910.db2.gz GGLDCKWBAIAZRO-UHFFFAOYSA-N 0 0 443.504 -0.816 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000520591622 1072302333 /nfs/dbraw/zinc/30/23/33/1072302333.db2.gz AKZLLWCJGREBKG-UHFFFAOYSA-N 0 0 446.504 -0.045 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@@H](O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000520592047 1072303556 /nfs/dbraw/zinc/30/35/56/1072303556.db2.gz QMZCLSOEGUJRSE-KRWDZBQOSA-N 0 0 447.488 -0.069 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000520592048 1072303271 /nfs/dbraw/zinc/30/32/71/1072303271.db2.gz QMZCLSOEGUJRSE-QGZVFWFLSA-N 0 0 447.488 -0.069 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCNS(C)(=O)=O ZINC000520595655 1072303954 /nfs/dbraw/zinc/30/39/54/1072303954.db2.gz PGMDORVGHUPUJT-UHFFFAOYSA-N 0 0 436.512 -0.613 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1CCc2ccccc2C1 ZINC000520613017 1072305589 /nfs/dbraw/zinc/30/55/89/1072305589.db2.gz MFDQPLSYSGXHPT-UHFFFAOYSA-N 0 0 441.488 -0.426 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000520614125 1072305833 /nfs/dbraw/zinc/30/58/33/1072305833.db2.gz UFMHJKKWMULAGM-UHFFFAOYSA-N 0 0 445.476 -0.226 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)cc1Cl ZINC000520615941 1072306017 /nfs/dbraw/zinc/30/60/17/1072306017.db2.gz GGYVRHPOQGCCRY-UHFFFAOYSA-N 0 0 426.857 -0.071 20 0 IBADRN CC(C)Cn1c([C@@H]2COCCO2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000520617641 1072306532 /nfs/dbraw/zinc/30/65/32/1072306532.db2.gz VZJMIMRJHCDNNC-INIZCTEOSA-N 0 0 444.558 -0.279 20 0 IBADRN CC(C)Cn1c([C@H]2COCCO2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000520617642 1072306356 /nfs/dbraw/zinc/30/63/56/1072306356.db2.gz VZJMIMRJHCDNNC-MRXNPFEDSA-N 0 0 444.558 -0.279 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000520618610 1072306373 /nfs/dbraw/zinc/30/63/73/1072306373.db2.gz HBTGJTDSOQGMHJ-UHFFFAOYSA-N 0 0 448.523 -0.977 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000520618776 1072306412 /nfs/dbraw/zinc/30/64/12/1072306412.db2.gz ROOMQTWKLDOXPU-UHFFFAOYSA-N 0 0 438.554 -0.040 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCCOc2cccc(C(F)(F)F)n2)CC1 ZINC000520620476 1072305904 /nfs/dbraw/zinc/30/59/04/1072305904.db2.gz FTXGLQLPIAIBGA-UHFFFAOYSA-N 0 0 431.415 -0.078 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCC[C@@H](CC(N)=O)C3)cc2)CC1 ZINC000520620651 1072307367 /nfs/dbraw/zinc/30/73/67/1072307367.db2.gz IYTQMUQJXONIQH-KRWDZBQOSA-N 0 0 429.521 -0.196 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCC[C@H](CC(N)=O)C3)cc2)CC1 ZINC000520620652 1072307396 /nfs/dbraw/zinc/30/73/96/1072307396.db2.gz IYTQMUQJXONIQH-QGZVFWFLSA-N 0 0 429.521 -0.196 20 0 IBADRN CN(C)S(=O)(=O)CCCOn1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000520626525 1072307357 /nfs/dbraw/zinc/30/73/57/1072307357.db2.gz UTDFINVADQFSLU-UHFFFAOYSA-N 0 0 433.512 -0.838 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCOC)CC1 ZINC000520626865 1072308617 /nfs/dbraw/zinc/30/86/17/1072308617.db2.gz VYRNOSZGMDCLJK-KRWDZBQOSA-N 0 0 426.539 -0.347 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cnn(-c3ccccc3)c2)CC1)N1CCOCC1 ZINC000520627339 1072308475 /nfs/dbraw/zinc/30/84/75/1072308475.db2.gz MPXXRUVQMCNTSZ-UHFFFAOYSA-N 0 0 433.490 -0.436 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@H](C4CC4)C3)CC2)cn1C ZINC000520645406 1072310063 /nfs/dbraw/zinc/31/00/63/1072310063.db2.gz MLRLQAGZDRWMNU-CVEARBPZSA-N 0 0 439.538 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCO[C@H](C4CC4)C3)CC2)cn1C ZINC000520645410 1072309975 /nfs/dbraw/zinc/30/99/75/1072309975.db2.gz MLRLQAGZDRWMNU-HOTGVXAUSA-N 0 0 439.538 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@@H](C4CC4)C3)CC2)cn1C ZINC000520645411 1072310788 /nfs/dbraw/zinc/31/07/88/1072310788.db2.gz MLRLQAGZDRWMNU-HZPDHXFCSA-N 0 0 439.538 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCO[C@@H](C4CC4)C3)CC2)cn1C ZINC000520645412 1072310487 /nfs/dbraw/zinc/31/04/87/1072310487.db2.gz MLRLQAGZDRWMNU-JKSUJKDBSA-N 0 0 439.538 -0.365 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1 ZINC000520647953 1072311877 /nfs/dbraw/zinc/31/18/77/1072311877.db2.gz CVVIJHHWOBYJHS-UHFFFAOYSA-N 0 0 445.520 -0.093 20 0 IBADRN CN(C)c1cccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000520649164 1072311756 /nfs/dbraw/zinc/31/17/56/1072311756.db2.gz YIQIHZYBJSMZBT-INIZCTEOSA-N 0 0 439.538 -0.583 20 0 IBADRN CN(C)c1cccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000520649165 1072311667 /nfs/dbraw/zinc/31/16/67/1072311667.db2.gz YIQIHZYBJSMZBT-MRXNPFEDSA-N 0 0 439.538 -0.583 20 0 IBADRN CCN(C)C(=O)[C@@H]1CSCN1C(=O)COCC(=O)N1CSC[C@H]1C(=O)N(C)CC ZINC000520649553 1072311740 /nfs/dbraw/zinc/31/17/40/1072311740.db2.gz RVXKBXIEQMZWPY-KBPBESRZSA-N 0 0 446.595 -0.237 20 0 IBADRN CCN(C)C(=O)[C@@H]1CSCN1C(=O)COCC(=O)N1CSC[C@@H]1C(=O)N(C)CC ZINC000520649554 1072311772 /nfs/dbraw/zinc/31/17/72/1072311772.db2.gz RVXKBXIEQMZWPY-OKILXGFUSA-N 0 0 446.595 -0.237 20 0 IBADRN CCN(C)C(=O)[C@H]1CSCN1C(=O)COCC(=O)N1CSC[C@@H]1C(=O)N(C)CC ZINC000520649555 1072311964 /nfs/dbraw/zinc/31/19/64/1072311964.db2.gz RVXKBXIEQMZWPY-ZIAGYGMSSA-N 0 0 446.595 -0.237 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000520650115 1072310672 /nfs/dbraw/zinc/31/06/72/1072310672.db2.gz KSGUZPANTLCPGX-AZUAARDMSA-N 0 0 437.562 -0.317 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000520650116 1072311256 /nfs/dbraw/zinc/31/12/56/1072311256.db2.gz KSGUZPANTLCPGX-ICSRJNTNSA-N 0 0 437.562 -0.317 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000520650117 1072311385 /nfs/dbraw/zinc/31/13/85/1072311385.db2.gz KSGUZPANTLCPGX-QUCCMNQESA-N 0 0 437.562 -0.317 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000520650118 1072311223 /nfs/dbraw/zinc/31/12/23/1072311223.db2.gz KSGUZPANTLCPGX-UYAOXDASSA-N 0 0 437.562 -0.317 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@H](O)C1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000520650183 1072310752 /nfs/dbraw/zinc/31/07/52/1072310752.db2.gz MWMGMKRBODBOLO-CXAGYDPISA-N 0 0 447.941 -0.026 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@@H](O)C1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000520650184 1072310779 /nfs/dbraw/zinc/31/07/79/1072310779.db2.gz MWMGMKRBODBOLO-DYVFJYSZSA-N 0 0 447.941 -0.026 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@@H](O)C1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000520650185 1072310657 /nfs/dbraw/zinc/31/06/57/1072310657.db2.gz MWMGMKRBODBOLO-GUYCJALGSA-N 0 0 447.941 -0.026 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@H](O)C1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000520650186 1072310593 /nfs/dbraw/zinc/31/05/93/1072310593.db2.gz MWMGMKRBODBOLO-SUMWQHHRSA-N 0 0 447.941 -0.026 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000520654134 1072312302 /nfs/dbraw/zinc/31/23/02/1072312302.db2.gz CFGMIRNPFAYRLP-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(C)(=O)=O)cccc1C(=O)N1CCSCC1 ZINC000520655861 1072311810 /nfs/dbraw/zinc/31/18/10/1072311810.db2.gz MAYHXWVBVNRLFU-UHFFFAOYSA-N 0 0 428.536 -0.212 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000520655957 1072312417 /nfs/dbraw/zinc/31/24/17/1072312417.db2.gz OTGPZFDTMRKXIJ-UHFFFAOYSA-N 0 0 434.540 -0.492 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCNS(C)(=O)=O ZINC000520656018 1072312380 /nfs/dbraw/zinc/31/23/80/1072312380.db2.gz QLLJJOGVCNXREP-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000520658198 1072312858 /nfs/dbraw/zinc/31/28/58/1072312858.db2.gz AAXOAHNPGPFKME-UHFFFAOYSA-N 0 0 429.520 -0.118 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)Cc3ccccc3F)CC1)c(=O)n2C ZINC000520659245 1072313590 /nfs/dbraw/zinc/31/35/90/1072313590.db2.gz AEPFKRWQQUEDTG-UHFFFAOYSA-N 0 0 442.451 -0.514 20 0 IBADRN COCC[C@@H](Cc1ccco1)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000520660314 1072313422 /nfs/dbraw/zinc/31/34/22/1072313422.db2.gz OCEOJSQKQKFNEJ-KRWDZBQOSA-N 0 0 436.509 -0.654 20 0 IBADRN COCC[C@H](Cc1ccco1)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000520660315 1072313604 /nfs/dbraw/zinc/31/36/04/1072313604.db2.gz OCEOJSQKQKFNEJ-QGZVFWFLSA-N 0 0 436.509 -0.654 20 0 IBADRN O=S(=O)(c1cc(F)c(F)cc1F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000520662429 1072312996 /nfs/dbraw/zinc/31/29/96/1072312996.db2.gz HPHGVRQHEIFVQM-UHFFFAOYSA-N 0 0 429.442 -0.013 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2cccnc2)C1 ZINC000520668230 1072313471 /nfs/dbraw/zinc/31/34/71/1072313471.db2.gz ZVYAPEPEDBHWGL-AWEZNQCLSA-N 0 0 426.495 -0.380 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2cccnc2)C1 ZINC000520668231 1072313453 /nfs/dbraw/zinc/31/34/53/1072313453.db2.gz ZVYAPEPEDBHWGL-CQSZACIVSA-N 0 0 426.495 -0.380 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2)CC1 ZINC000520668766 1072314105 /nfs/dbraw/zinc/31/41/05/1072314105.db2.gz CVCXUQQBWDHKTA-UHFFFAOYSA-N 0 0 433.552 -0.136 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000520669632 1072314063 /nfs/dbraw/zinc/31/40/63/1072314063.db2.gz ZJOAGRNRWXDBDN-UHFFFAOYSA-N 0 0 425.511 -0.052 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000520676820 1072315133 /nfs/dbraw/zinc/31/51/33/1072315133.db2.gz FDZBHXKXVOLZEY-UHFFFAOYSA-N 0 0 428.449 -0.621 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCCOc3ccc(S(C)(=O)=O)cc3)nc2c(=O)[nH]c1=O ZINC000520682686 1072315155 /nfs/dbraw/zinc/31/51/55/1072315155.db2.gz IJGFOTQQSFOPRU-UHFFFAOYSA-N 0 0 435.462 -0.107 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)Cn2cnc3c(cnn3C)c2=O)c1 ZINC000520682716 1072315162 /nfs/dbraw/zinc/31/51/62/1072315162.db2.gz KVLLHWQKNUAGQR-LLVKDONJSA-N 0 0 447.477 -0.819 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)Cn2cnc3c(cnn3C)c2=O)c1 ZINC000520682717 1072315215 /nfs/dbraw/zinc/31/52/15/1072315215.db2.gz KVLLHWQKNUAGQR-NSHDSACASA-N 0 0 447.477 -0.819 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000520682791 1072315150 /nfs/dbraw/zinc/31/51/50/1072315150.db2.gz PPXAQCDKMRJSPT-KBPBESRZSA-N 0 0 444.531 -0.379 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000520682792 1072315141 /nfs/dbraw/zinc/31/51/41/1072315141.db2.gz PPXAQCDKMRJSPT-KGLIPLIRSA-N 0 0 444.531 -0.379 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000520682793 1072315126 /nfs/dbraw/zinc/31/51/26/1072315126.db2.gz PPXAQCDKMRJSPT-UONOGXRCSA-N 0 0 444.531 -0.379 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000520682794 1072315201 /nfs/dbraw/zinc/31/52/01/1072315201.db2.gz PPXAQCDKMRJSPT-ZIAGYGMSSA-N 0 0 444.531 -0.379 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CCN2CCCS2(=O)=O)c1 ZINC000520682863 1072315101 /nfs/dbraw/zinc/31/51/01/1072315101.db2.gz VECJUKUHNKOBGB-GFCCVEGCSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CCN2CCCS2(=O)=O)c1 ZINC000520682864 1072315820 /nfs/dbraw/zinc/31/58/20/1072315820.db2.gz VECJUKUHNKOBGB-LBPRGKRZSA-N 0 0 432.524 -0.537 20 0 IBADRN NC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000520683792 1072315602 /nfs/dbraw/zinc/31/56/02/1072315602.db2.gz OFFVTVUVCPYQKL-LLVKDONJSA-N 0 0 428.442 -0.881 20 0 IBADRN NC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000520683793 1072315945 /nfs/dbraw/zinc/31/59/45/1072315945.db2.gz OFFVTVUVCPYQKL-NSHDSACASA-N 0 0 428.442 -0.881 20 0 IBADRN CN(C(=O)c1ccc(OCC(N)=O)cc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000520684326 1072315679 /nfs/dbraw/zinc/31/56/79/1072315679.db2.gz GBXCBLKLXGYJTD-UHFFFAOYSA-N 0 0 431.537 -0.139 20 0 IBADRN CN(C(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000520684341 1072315572 /nfs/dbraw/zinc/31/55/72/1072315572.db2.gz GVXQSZBPWNXNLD-KDURUIRLSA-N 0 0 447.580 -0.142 20 0 IBADRN CN(C(=O)CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000520684342 1072315789 /nfs/dbraw/zinc/31/57/89/1072315789.db2.gz GVXQSZBPWNXNLD-OALUTQOASA-N 0 0 447.580 -0.142 20 0 IBADRN CN(C(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000520684343 1072315843 /nfs/dbraw/zinc/31/58/43/1072315843.db2.gz GVXQSZBPWNXNLD-RTBURBONSA-N 0 0 447.580 -0.142 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000520686684 1072316353 /nfs/dbraw/zinc/31/63/53/1072316353.db2.gz HCNHTCHTCVFACW-UHFFFAOYSA-N 0 0 437.448 -0.670 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1cccc(F)c1 ZINC000520686986 1072316409 /nfs/dbraw/zinc/31/64/09/1072316409.db2.gz RWGAWAXYTQASGX-CYBMUJFWSA-N 0 0 431.424 -0.279 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1cccc(F)c1 ZINC000520686987 1072316432 /nfs/dbraw/zinc/31/64/32/1072316432.db2.gz RWGAWAXYTQASGX-ZDUSSCGKSA-N 0 0 431.424 -0.279 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000520687879 1072316364 /nfs/dbraw/zinc/31/63/64/1072316364.db2.gz ZLFOOXKGWCMMFO-UHFFFAOYSA-N 0 0 446.533 -0.501 20 0 IBADRN O=C1CCC(=O)N1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000520693222 1072316783 /nfs/dbraw/zinc/31/67/83/1072316783.db2.gz ZMKJKILTBKPHLH-UHFFFAOYSA-N 0 0 445.519 -0.475 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)c2cccc(C(N)=O)c2)c1 ZINC000520693810 1072316315 /nfs/dbraw/zinc/31/63/15/1072316315.db2.gz WBAPBAZCIOJPAV-LLVKDONJSA-N 0 0 440.503 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)c2cccc(C(N)=O)c2)c1 ZINC000520693811 1072316291 /nfs/dbraw/zinc/31/62/91/1072316291.db2.gz WBAPBAZCIOJPAV-NSHDSACASA-N 0 0 440.503 -0.001 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000520695875 1072316813 /nfs/dbraw/zinc/31/68/13/1072316813.db2.gz IXENTDQRHQVKPN-UHFFFAOYSA-N 0 0 426.539 -0.301 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCN(S(=O)(=O)c2cccc3cc(C)cnc32)CC1 ZINC000520706371 1072317879 /nfs/dbraw/zinc/31/78/79/1072317879.db2.gz PFYPPJPAUXPFBQ-UHFFFAOYSA-N 0 0 447.517 -0.372 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)c3nc(S(C)(=O)=O)n4ccccc34)cnc2n(C)c1=O ZINC000520706426 1072317974 /nfs/dbraw/zinc/31/79/74/1072317974.db2.gz VRNMYUVSZHIKPF-UHFFFAOYSA-N 0 0 428.430 -0.064 20 0 IBADRN Cc1nc(CN2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)no1 ZINC000520712789 1072318445 /nfs/dbraw/zinc/31/84/45/1072318445.db2.gz XTTBAECIABHJNF-UHFFFAOYSA-N 0 0 448.505 -0.543 20 0 IBADRN CCOC1CC(O)(CNC(=O)c2cc(S(=O)(=O)NCC3(O)CC(OCC)C3)c[nH]2)C1 ZINC000520714612 1072318467 /nfs/dbraw/zinc/31/84/67/1072318467.db2.gz HEQZGVZJWRNHBB-UHFFFAOYSA-N 0 0 445.538 -0.117 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCCCC3=O)CC2)CC1 ZINC000520715198 1072318988 /nfs/dbraw/zinc/31/89/88/1072318988.db2.gz LQHGOAQWAFQNEG-UHFFFAOYSA-N 0 0 430.575 -0.792 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCN3CCCCC3=O)CC2)o1 ZINC000520715341 1072319013 /nfs/dbraw/zinc/31/90/13/1072319013.db2.gz SQGZRRYQPLQWEJ-UHFFFAOYSA-N 0 0 441.510 -0.203 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1cccc(C)c1 ZINC000520717892 1072318952 /nfs/dbraw/zinc/31/89/52/1072318952.db2.gz XTAGRGWEMUJNDB-HNNXBMFYSA-N 0 0 427.461 -0.110 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1cccc(C)c1 ZINC000520717893 1072319059 /nfs/dbraw/zinc/31/90/59/1072319059.db2.gz XTAGRGWEMUJNDB-OAHLLOKOSA-N 0 0 427.461 -0.110 20 0 IBADRN Cn1c(C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000520718974 1072319625 /nfs/dbraw/zinc/31/96/25/1072319625.db2.gz OSUFWUDLYWKJES-UHFFFAOYSA-N 0 0 439.472 -0.022 20 0 IBADRN CCCCn1nnnc1C[S@@](=O)CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000520719169 1072318917 /nfs/dbraw/zinc/31/89/17/1072318917.db2.gz KPNMBJYXUHOVIV-HHHXNRCGSA-N 0 0 426.524 -0.041 20 0 IBADRN CCCCn1nnnc1C[S@](=O)CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000520719170 1072319432 /nfs/dbraw/zinc/31/94/32/1072319432.db2.gz KPNMBJYXUHOVIV-MHZLTWQESA-N 0 0 426.524 -0.041 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000520721346 1072319613 /nfs/dbraw/zinc/31/96/13/1072319613.db2.gz NUJLELZHVOOJIG-UHFFFAOYSA-N 0 0 446.551 -0.678 20 0 IBADRN CCOc1cccc(N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000520744592 1072321059 /nfs/dbraw/zinc/32/10/59/1072321059.db2.gz KDXCWYXNCOVOCE-AWEZNQCLSA-N 0 0 425.511 -0.385 20 0 IBADRN CCOc1cccc(N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000520744593 1072321439 /nfs/dbraw/zinc/32/14/39/1072321439.db2.gz KDXCWYXNCOVOCE-CQSZACIVSA-N 0 0 425.511 -0.385 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)NCCN1CCN(c2ncccn2)CC1 ZINC000520753953 1072322049 /nfs/dbraw/zinc/32/20/49/1072322049.db2.gz RGXHMCZEGLYUFL-UHFFFAOYSA-N 0 0 433.538 -0.124 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000520755657 1072322094 /nfs/dbraw/zinc/32/20/94/1072322094.db2.gz USFVRPIIYUOXCF-UHFFFAOYSA-N 0 0 425.511 -0.525 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c2ccccn21 ZINC000520757323 1072878239 /nfs/dbraw/zinc/87/82/39/1072878239.db2.gz OJCXVCNADVDJEK-UHFFFAOYSA-N 0 0 447.473 -0.829 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)CC1 ZINC000520757496 1072321992 /nfs/dbraw/zinc/32/19/92/1072321992.db2.gz JTIRZVFACMIMFQ-UHFFFAOYSA-N 0 0 434.526 -0.778 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCNS(=O)(=O)c2ccccc2F)CC1 ZINC000520758570 1072322109 /nfs/dbraw/zinc/32/21/09/1072322109.db2.gz KBAYKMDUKHJTQI-UHFFFAOYSA-N 0 0 428.486 -0.309 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)c1 ZINC000520758865 1072322139 /nfs/dbraw/zinc/32/21/39/1072322139.db2.gz YQZWWWCZJZQDED-UHFFFAOYSA-N 0 0 445.509 -0.759 20 0 IBADRN O=C(CCNC(=O)NCCCS(=O)(=O)c1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC000520765879 1072322753 /nfs/dbraw/zinc/32/27/53/1072322753.db2.gz WXXWITYORMTJOG-AWEZNQCLSA-N 0 0 431.536 -0.157 20 0 IBADRN O=C(CCNC(=O)NCCCS(=O)(=O)c1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000520765880 1072322502 /nfs/dbraw/zinc/32/25/02/1072322502.db2.gz WXXWITYORMTJOG-CQSZACIVSA-N 0 0 431.536 -0.157 20 0 IBADRN Cc1cccc(N2C(=O)N[C@@H](CCC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2=O)c1 ZINC000520770377 1072322691 /nfs/dbraw/zinc/32/26/91/1072322691.db2.gz DJZNQJLNZIYKLC-INIZCTEOSA-N 0 0 440.464 -0.442 20 0 IBADRN Cc1cccc(N2C(=O)N[C@H](CCC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2=O)c1 ZINC000520770379 1072322705 /nfs/dbraw/zinc/32/27/05/1072322705.db2.gz DJZNQJLNZIYKLC-MRXNPFEDSA-N 0 0 440.464 -0.442 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@H]2CC(=O)N(c3cnccn3)C2=O)CC1 ZINC000520778043 1072323653 /nfs/dbraw/zinc/32/36/53/1072323653.db2.gz VBIMBHWRDZSPKQ-HNNXBMFYSA-N 0 0 430.490 -0.161 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@@H]2CC(=O)N(c3cnccn3)C2=O)CC1 ZINC000520778044 1072323743 /nfs/dbraw/zinc/32/37/43/1072323743.db2.gz VBIMBHWRDZSPKQ-OAHLLOKOSA-N 0 0 430.490 -0.161 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000520785570 1072334393 /nfs/dbraw/zinc/33/43/93/1072334393.db2.gz AVBXZQQIHIBKLV-UHFFFAOYSA-N 0 0 433.465 -0.208 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)S(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000520787022 1072341022 /nfs/dbraw/zinc/34/10/22/1072341022.db2.gz KJAFNPUIFARGNC-UHFFFAOYSA-N 0 0 449.532 -0.319 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000520787150 1072340455 /nfs/dbraw/zinc/34/04/55/1072340455.db2.gz SYVUBKAGFITXGY-UHFFFAOYSA-N 0 0 430.465 -0.059 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000520789949 1072346218 /nfs/dbraw/zinc/34/62/18/1072346218.db2.gz KPYGVRWGFDYBBK-UHFFFAOYSA-N 0 0 433.440 -0.154 20 0 IBADRN CN(C)S(=O)(=O)CCC[S@](=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000520793812 1072929814 /nfs/dbraw/zinc/92/98/14/1072929814.db2.gz FQTOIBOVTQJYCP-DEOSSOPVSA-N 0 0 425.554 -0.508 20 0 IBADRN CN(C)S(=O)(=O)CCC[S@@](=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000520793816 1072356976 /nfs/dbraw/zinc/35/69/76/1072356976.db2.gz FQTOIBOVTQJYCP-XMMPIXPASA-N 0 0 425.554 -0.508 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000520793861 1072355471 /nfs/dbraw/zinc/35/54/71/1072355471.db2.gz HPYFLXUTKDFIET-YRPNKDGESA-N 0 0 442.626 -0.109 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000520793865 1072355726 /nfs/dbraw/zinc/35/57/26/1072355726.db2.gz HPYFLXUTKDFIET-YSTOQKLRSA-N 0 0 442.626 -0.109 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000520793866 1072355687 /nfs/dbraw/zinc/35/56/87/1072355687.db2.gz HPYFLXUTKDFIET-ZGXWSNOMSA-N 0 0 442.626 -0.109 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000520793868 1072355623 /nfs/dbraw/zinc/35/56/23/1072355623.db2.gz HPYFLXUTKDFIET-ZRNYENFQSA-N 0 0 442.626 -0.109 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000520802129 1072364992 /nfs/dbraw/zinc/36/49/92/1072364992.db2.gz XDXLIIFYXBOFMO-UHFFFAOYSA-N 0 0 443.504 -0.209 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000520811447 1072365495 /nfs/dbraw/zinc/36/54/95/1072365495.db2.gz PIJMBBOFIOVFJA-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000520818193 1072366495 /nfs/dbraw/zinc/36/64/95/1072366495.db2.gz YAZQWSBPHWFRLB-LLVKDONJSA-N 0 0 440.482 -0.837 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000520818194 1072366377 /nfs/dbraw/zinc/36/63/77/1072366377.db2.gz YAZQWSBPHWFRLB-NSHDSACASA-N 0 0 440.482 -0.837 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NCCCN1C(=O)CNC1=O ZINC000520829649 1072365808 /nfs/dbraw/zinc/36/58/08/1072365808.db2.gz IUXZDPHHZHOJFQ-UHFFFAOYSA-N 0 0 439.313 -0.153 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCS(=O)CC2)c1OC ZINC000520837305 1072606993 /nfs/dbraw/zinc/60/69/93/1072606993.db2.gz QHAWFPAYCMAQAU-UHFFFAOYSA-N 0 0 432.520 -0.071 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000520838770 1072366905 /nfs/dbraw/zinc/36/69/05/1072366905.db2.gz OFRKCRNHRCFSBN-UHFFFAOYSA-N 0 0 425.511 -0.657 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000520838782 1072608101 /nfs/dbraw/zinc/60/81/01/1072608101.db2.gz IOMWARAMHLJXNB-UHFFFAOYSA-N 0 0 439.494 -0.288 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000520848912 1070814053 /nfs/dbraw/zinc/81/40/53/1070814053.db2.gz JASWLBZCBMPUAE-UHFFFAOYSA-N 0 0 435.506 -0.393 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(-n3nc(C)cc3C)nc2)CC1 ZINC000520849021 1072367860 /nfs/dbraw/zinc/36/78/60/1072367860.db2.gz LFQPBWMTYBTPRG-UHFFFAOYSA-N 0 0 434.522 -0.006 20 0 IBADRN CCOC(=O)[C@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccccc1 ZINC000520852747 1072368412 /nfs/dbraw/zinc/36/84/12/1072368412.db2.gz FMHZTUWPQQBMFY-HNNXBMFYSA-N 0 0 427.461 -0.028 20 0 IBADRN CCOC(=O)[C@@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccccc1 ZINC000520852748 1072368227 /nfs/dbraw/zinc/36/82/27/1072368227.db2.gz FMHZTUWPQQBMFY-OAHLLOKOSA-N 0 0 427.461 -0.028 20 0 IBADRN CCCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000520862021 1071000133 /nfs/dbraw/zinc/00/01/33/1071000133.db2.gz OMQICOSYFBRUGU-HNNXBMFYSA-N 0 0 443.547 -0.154 20 0 IBADRN CCCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000520862022 1071000109 /nfs/dbraw/zinc/00/01/09/1071000109.db2.gz OMQICOSYFBRUGU-OAHLLOKOSA-N 0 0 443.547 -0.154 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)Nc1ccccc1 ZINC000520863108 1072369246 /nfs/dbraw/zinc/36/92/46/1072369246.db2.gz YMQQTDALQYTTPW-UHFFFAOYSA-N 0 0 449.489 -0.206 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000520871439 1072370165 /nfs/dbraw/zinc/37/01/65/1072370165.db2.gz KKICSSGBQVDYMP-UHFFFAOYSA-N 0 0 425.536 -0.154 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000520876555 1072369753 /nfs/dbraw/zinc/36/97/53/1072369753.db2.gz JFYMOUXFBGXHGM-HNNXBMFYSA-N 0 0 426.481 -0.834 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000520876556 1072369731 /nfs/dbraw/zinc/36/97/31/1072369731.db2.gz JFYMOUXFBGXHGM-OAHLLOKOSA-N 0 0 426.481 -0.834 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000520883983 1072370516 /nfs/dbraw/zinc/37/05/16/1072370516.db2.gz FXDOMEDVWYKEDR-GFCCVEGCSA-N 0 0 443.530 -0.733 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000520883984 1072370528 /nfs/dbraw/zinc/37/05/28/1072370528.db2.gz FXDOMEDVWYKEDR-LBPRGKRZSA-N 0 0 443.530 -0.733 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)C2=O)n(C)n1 ZINC000520884291 1072370478 /nfs/dbraw/zinc/37/04/78/1072370478.db2.gz RDQHHMWKXPJZER-ULQDDVLXSA-N 0 0 443.508 -0.692 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)C2=O)n(C)n1 ZINC000520884292 1072370540 /nfs/dbraw/zinc/37/05/40/1072370540.db2.gz RDQHHMWKXPJZER-YESZJQIVSA-N 0 0 443.508 -0.692 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cn1 ZINC000520885659 1072370459 /nfs/dbraw/zinc/37/04/59/1072370459.db2.gz CBOYRDRHHOZQMY-NUJGCVRESA-N 0 0 446.508 -0.811 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cn1 ZINC000520885660 1072370549 /nfs/dbraw/zinc/37/05/49/1072370549.db2.gz CBOYRDRHHOZQMY-RYRKJORJSA-N 0 0 446.508 -0.811 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000520885708 1072370492 /nfs/dbraw/zinc/37/04/92/1072370492.db2.gz BDPFAJJCCBTMAE-FGTMMUONSA-N 0 0 428.599 -0.056 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000520885712 1072370667 /nfs/dbraw/zinc/37/06/67/1072370667.db2.gz BDPFAJJCCBTMAE-KURKYZTESA-N 0 0 428.599 -0.056 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000520885713 1072370683 /nfs/dbraw/zinc/37/06/83/1072370683.db2.gz BDPFAJJCCBTMAE-KZNAEPCWSA-N 0 0 428.599 -0.056 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000520885714 1072370660 /nfs/dbraw/zinc/37/06/60/1072370660.db2.gz BDPFAJJCCBTMAE-SQNIBIBYSA-N 0 0 428.599 -0.056 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000520887737 1072370693 /nfs/dbraw/zinc/37/06/93/1072370693.db2.gz BXQRVVLYSVEDLG-UHFFFAOYSA-N 0 0 441.444 -0.605 20 0 IBADRN CN1CCO[C@H]([C@H](NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c2ccc(Cl)cc2)C1 ZINC000520888493 1072370638 /nfs/dbraw/zinc/37/06/38/1072370638.db2.gz LQIUTPSYAKVPBZ-HRCADAONSA-N 0 0 444.941 -0.283 20 0 IBADRN CN1CCO[C@H]([C@@H](NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c2ccc(Cl)cc2)C1 ZINC000520888494 1072370597 /nfs/dbraw/zinc/37/05/97/1072370597.db2.gz LQIUTPSYAKVPBZ-JYJNAYRXSA-N 0 0 444.941 -0.283 20 0 IBADRN CN1CCO[C@H]([C@H](NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c2ccc(Cl)cc2)C1 ZINC000520888495 1072370569 /nfs/dbraw/zinc/37/05/69/1072370569.db2.gz LQIUTPSYAKVPBZ-OWCLPIDISA-N 0 0 444.941 -0.283 20 0 IBADRN CN1CCO[C@H]([C@@H](NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c2ccc(Cl)cc2)C1 ZINC000520888496 1072370620 /nfs/dbraw/zinc/37/06/20/1072370620.db2.gz LQIUTPSYAKVPBZ-PMPSAXMXSA-N 0 0 444.941 -0.283 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000520889161 1072371131 /nfs/dbraw/zinc/37/11/31/1072371131.db2.gz ARIYAJKKKMJRBI-INIZCTEOSA-N 0 0 438.506 -0.589 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000520889162 1072371100 /nfs/dbraw/zinc/37/11/00/1072371100.db2.gz ARIYAJKKKMJRBI-MRXNPFEDSA-N 0 0 438.506 -0.589 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1)n1cncn1 ZINC000520889783 1072370676 /nfs/dbraw/zinc/37/06/76/1072370676.db2.gz SKTICOMUBQAYMJ-CYBMUJFWSA-N 0 0 449.493 -0.480 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1)n1cncn1 ZINC000520889784 1072370558 /nfs/dbraw/zinc/37/05/58/1072370558.db2.gz SKTICOMUBQAYMJ-ZDUSSCGKSA-N 0 0 449.493 -0.480 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000520889988 1072371091 /nfs/dbraw/zinc/37/10/91/1072371091.db2.gz XPJREYGFVSNYES-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000520890229 1072371059 /nfs/dbraw/zinc/37/10/59/1072371059.db2.gz LGBMYNNKKJMFBV-HZMVEIRTSA-N 0 0 429.539 -0.287 20 0 IBADRN O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000520890230 1072370953 /nfs/dbraw/zinc/37/09/53/1072370953.db2.gz LGBMYNNKKJMFBV-NXOAAHMSSA-N 0 0 429.539 -0.287 20 0 IBADRN O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000520890231 1072371085 /nfs/dbraw/zinc/37/10/85/1072371085.db2.gz LGBMYNNKKJMFBV-TWMKSMIVSA-N 0 0 429.539 -0.287 20 0 IBADRN O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000520890232 1072371072 /nfs/dbraw/zinc/37/10/72/1072371072.db2.gz LGBMYNNKKJMFBV-VQHPVUNQSA-N 0 0 429.539 -0.287 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000520890945 1072371036 /nfs/dbraw/zinc/37/10/36/1072371036.db2.gz IOIDYGBLAGGGJN-CVEARBPZSA-N 0 0 440.570 -0.240 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000520890946 1072371080 /nfs/dbraw/zinc/37/10/80/1072371080.db2.gz IOIDYGBLAGGGJN-HOTGVXAUSA-N 0 0 440.570 -0.240 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000520890948 1072371068 /nfs/dbraw/zinc/37/10/68/1072371068.db2.gz IOIDYGBLAGGGJN-HZPDHXFCSA-N 0 0 440.570 -0.240 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000520890950 1072371118 /nfs/dbraw/zinc/37/11/18/1072371118.db2.gz IOIDYGBLAGGGJN-JKSUJKDBSA-N 0 0 440.570 -0.240 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000520891672 1072370982 /nfs/dbraw/zinc/37/09/82/1072370982.db2.gz UMPMPXXVSIGRTG-UHFFFAOYSA-N 0 0 426.514 -0.570 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1 ZINC000520896436 1072372601 /nfs/dbraw/zinc/37/26/01/1072372601.db2.gz VWFJTVWASQCCQJ-UHFFFAOYSA-N 0 0 436.494 -0.683 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c1C ZINC000520897231 1072372477 /nfs/dbraw/zinc/37/24/77/1072372477.db2.gz TZKASBYTHOPEPJ-CHWSQXEVSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1C ZINC000520897232 1072372616 /nfs/dbraw/zinc/37/26/16/1072372616.db2.gz TZKASBYTHOPEPJ-OLZOCXBDSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c1C ZINC000520897233 1072372524 /nfs/dbraw/zinc/37/25/24/1072372524.db2.gz TZKASBYTHOPEPJ-QWHCGFSZSA-N 0 0 432.520 -0.001 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1C ZINC000520897234 1072372588 /nfs/dbraw/zinc/37/25/88/1072372588.db2.gz TZKASBYTHOPEPJ-STQMWFEESA-N 0 0 432.520 -0.001 20 0 IBADRN O=S(=O)(c1cccnc1)N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000520897911 1072372430 /nfs/dbraw/zinc/37/24/30/1072372430.db2.gz XDDVHAKIYRQUAS-UHFFFAOYSA-N 0 0 435.491 -0.248 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)c3cccnc3)CC2)cc1 ZINC000520898809 1072372413 /nfs/dbraw/zinc/37/24/13/1072372413.db2.gz BHXWYTDLYGVTOY-UHFFFAOYSA-N 0 0 439.519 -0.644 20 0 IBADRN CS(=O)(=O)CCCC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000520899489 1072372554 /nfs/dbraw/zinc/37/25/54/1072372554.db2.gz LQDWTOVLTAKWOP-UHFFFAOYSA-N 0 0 430.508 -0.074 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)c3cn(CC(=O)N(C)C)nn3)CC2)cc1OC ZINC000520902079 1072372580 /nfs/dbraw/zinc/37/25/80/1072372580.db2.gz UUAYXDYDSWPXSC-UHFFFAOYSA-N 0 0 430.465 -0.018 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000520902082 1072372547 /nfs/dbraw/zinc/37/25/47/1072372547.db2.gz UHTHEPSGVRLKKA-UHFFFAOYSA-N 0 0 432.433 -0.126 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)C1 ZINC000520905661 1072372140 /nfs/dbraw/zinc/37/21/40/1072372140.db2.gz CQXXASJEVAIYGK-CABCVRRESA-N 0 0 433.513 -0.854 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)C1 ZINC000520905662 1072371995 /nfs/dbraw/zinc/37/19/95/1072371995.db2.gz CQXXASJEVAIYGK-GJZGRUSLSA-N 0 0 433.513 -0.854 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)C1 ZINC000520905663 1072371800 /nfs/dbraw/zinc/37/18/00/1072371800.db2.gz CQXXASJEVAIYGK-HUUCEWRRSA-N 0 0 433.513 -0.854 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)C1 ZINC000520905664 1072372094 /nfs/dbraw/zinc/37/20/94/1072372094.db2.gz CQXXASJEVAIYGK-LSDHHAIUSA-N 0 0 433.513 -0.854 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000520906357 1072372098 /nfs/dbraw/zinc/37/20/98/1072372098.db2.gz IAGKQSMNRANOPK-UHFFFAOYSA-N 0 0 425.554 -0.173 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN(C2CC2)CC1 ZINC000520906829 1072372053 /nfs/dbraw/zinc/37/20/53/1072372053.db2.gz RZJKCLLPOCRKLS-IBGZPJMESA-N 0 0 428.489 -0.054 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN(C2CC2)CC1 ZINC000520906830 1072372149 /nfs/dbraw/zinc/37/21/49/1072372149.db2.gz RZJKCLLPOCRKLS-LJQANCHMSA-N 0 0 428.489 -0.054 20 0 IBADRN CN(C)C(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000520907743 1072372168 /nfs/dbraw/zinc/37/21/68/1072372168.db2.gz FCMZERMQRSZNHQ-UHFFFAOYSA-N 0 0 427.508 -0.193 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCN(C4CC4)CC3)cc2)CC1 ZINC000520911539 1072372082 /nfs/dbraw/zinc/37/20/82/1072372082.db2.gz HLOQPVWJKIJHCV-UHFFFAOYSA-N 0 0 435.550 -0.132 20 0 IBADRN NC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000520912521 1072374193 /nfs/dbraw/zinc/37/41/93/1072374193.db2.gz GZIJOPBMQWGQMP-UHFFFAOYSA-N 0 0 445.457 -0.342 20 0 IBADRN CCN(C1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1)S(C)(=O)=O ZINC000520921212 1072374692 /nfs/dbraw/zinc/37/46/92/1072374692.db2.gz OYAWWSRFBMAAOQ-UHFFFAOYSA-N 0 0 442.629 -0.180 20 0 IBADRN Cn1cc(C(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000520921404 1072374743 /nfs/dbraw/zinc/37/47/43/1072374743.db2.gz XNBJHCGYMGEHGU-UHFFFAOYSA-N 0 0 433.446 -0.533 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000520925630 1072372886 /nfs/dbraw/zinc/37/28/86/1072372886.db2.gz SIAYVAMTHWZPBC-UHFFFAOYSA-N 0 0 436.494 -0.566 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000520926726 1072372854 /nfs/dbraw/zinc/37/28/54/1072372854.db2.gz VWOOAIPYDFIFEK-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000520927417 1072373438 /nfs/dbraw/zinc/37/34/38/1072373438.db2.gz DRBFOVQLUYAXCO-GFCCVEGCSA-N 0 0 439.523 -0.137 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000520927418 1072373581 /nfs/dbraw/zinc/37/35/81/1072373581.db2.gz DRBFOVQLUYAXCO-LBPRGKRZSA-N 0 0 439.523 -0.137 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000520928691 1072373650 /nfs/dbraw/zinc/37/36/50/1072373650.db2.gz FDCJGCFYVLMWHR-INIZCTEOSA-N 0 0 436.490 -0.139 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000520928697 1072373640 /nfs/dbraw/zinc/37/36/40/1072373640.db2.gz FDCJGCFYVLMWHR-MRXNPFEDSA-N 0 0 436.490 -0.139 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(F)c3F)CC2)CC1 ZINC000520929504 1072378583 /nfs/dbraw/zinc/37/85/83/1072378583.db2.gz YRDFJIYNTRWCRZ-UHFFFAOYSA-N 0 0 431.465 -0.460 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000520931738 1072378449 /nfs/dbraw/zinc/37/84/49/1072378449.db2.gz IIQROTMRLBBKMK-UHFFFAOYSA-N 0 0 446.537 -0.009 20 0 IBADRN CCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000520933194 1072379204 /nfs/dbraw/zinc/37/92/04/1072379204.db2.gz WTMSRXLAGFLWDP-UHFFFAOYSA-N 0 0 431.493 -0.023 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000520934042 1072379681 /nfs/dbraw/zinc/37/96/81/1072379681.db2.gz QAFZWPNGVSTYGO-UHFFFAOYSA-N 0 0 446.489 -0.624 20 0 IBADRN O=C(Nc1ccc2ncsc2c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000520934077 1072379707 /nfs/dbraw/zinc/37/97/07/1072379707.db2.gz RQGTYWQVFAQRKZ-UHFFFAOYSA-N 0 0 431.474 -0.236 20 0 IBADRN Cn1ccnc1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000520935997 1072379621 /nfs/dbraw/zinc/37/96/21/1072379621.db2.gz RMTYKNBTIYBWQO-UHFFFAOYSA-N 0 0 434.478 -0.033 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)CCO1 ZINC000520940376 1072381166 /nfs/dbraw/zinc/38/11/66/1072381166.db2.gz PJOYMJZWNXXGPQ-GDBMZVCRSA-N 0 0 440.474 -0.022 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)CCO1 ZINC000520940377 1072381132 /nfs/dbraw/zinc/38/11/32/1072381132.db2.gz PJOYMJZWNXXGPQ-GOEBONIOSA-N 0 0 440.474 -0.022 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)CCO1 ZINC000520940378 1072381231 /nfs/dbraw/zinc/38/12/31/1072381231.db2.gz PJOYMJZWNXXGPQ-HOCLYGCPSA-N 0 0 440.474 -0.022 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)CCO1 ZINC000520940379 1072381158 /nfs/dbraw/zinc/38/11/58/1072381158.db2.gz PJOYMJZWNXXGPQ-ZBFHGGJFSA-N 0 0 440.474 -0.022 20 0 IBADRN O=C(Nc1cccnc1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000520942661 1072375086 /nfs/dbraw/zinc/37/50/86/1072375086.db2.gz JVNFDNVNIBMLLZ-UHFFFAOYSA-N 0 0 445.457 -0.116 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)c1 ZINC000520943315 1071026538 /nfs/dbraw/zinc/02/65/38/1071026538.db2.gz FURBGCDWJXOLRI-UHFFFAOYSA-N 0 0 440.503 -0.372 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000520943946 1072376035 /nfs/dbraw/zinc/37/60/35/1072376035.db2.gz PIEXPGXUMANYDP-AWEZNQCLSA-N 0 0 447.535 -0.367 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000520943947 1071026436 /nfs/dbraw/zinc/02/64/36/1071026436.db2.gz PIEXPGXUMANYDP-CQSZACIVSA-N 0 0 447.535 -0.367 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)cc2C1=O ZINC000520946242 1072377514 /nfs/dbraw/zinc/37/75/14/1072377514.db2.gz NQOFFDQIWLEMPE-UHFFFAOYSA-N 0 0 430.442 -0.054 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000520946500 1072377437 /nfs/dbraw/zinc/37/74/37/1072377437.db2.gz YIJDWZVOAQFTKO-UHFFFAOYSA-N 0 0 434.474 -0.026 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)NC4CCCCC4)CC3)c2c(=O)n(C)c1=O ZINC000520951352 1072384786 /nfs/dbraw/zinc/38/47/86/1072384786.db2.gz KIXKKMGZSVBDSK-UHFFFAOYSA-N 0 0 431.497 -0.380 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(C(=O)CN2CCCC2)CC1 ZINC000520956362 1072386709 /nfs/dbraw/zinc/38/67/09/1072386709.db2.gz TYWYJCQIVLSJPF-UHFFFAOYSA-N 0 0 430.552 -0.518 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2S(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000520958539 1072381669 /nfs/dbraw/zinc/38/16/69/1072381669.db2.gz KATOOLVGGBAKRJ-UHFFFAOYSA-N 0 0 436.471 -0.124 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2S(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000520959258 1072382251 /nfs/dbraw/zinc/38/22/51/1072382251.db2.gz SXRCNTXKJDEWHH-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)c3cn(CC(=O)N(C)C)nn3)c2)CC1 ZINC000520961965 1072388719 /nfs/dbraw/zinc/38/87/19/1072388719.db2.gz NQLHZSOKTZMAEU-UHFFFAOYSA-N 0 0 449.537 -0.055 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCN(C(=O)c4ccc(F)cc4)CC3)c2c(=O)n(C)c1=O ZINC000520975948 1072397442 /nfs/dbraw/zinc/39/74/42/1072397442.db2.gz OBHRNIWBMMBHFT-UHFFFAOYSA-N 0 0 442.451 -0.053 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000520977298 1072398084 /nfs/dbraw/zinc/39/80/84/1072398084.db2.gz HQCZAZBQUWMLTO-UHFFFAOYSA-N 0 0 434.492 -0.514 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000520977486 1072397966 /nfs/dbraw/zinc/39/79/66/1072397966.db2.gz VTPLYXREFCSVOL-AWEZNQCLSA-N 0 0 425.507 -0.033 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000520977487 1072397913 /nfs/dbraw/zinc/39/79/13/1072397913.db2.gz VTPLYXREFCSVOL-CQSZACIVSA-N 0 0 425.507 -0.033 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)nn1 ZINC000520977926 1072398041 /nfs/dbraw/zinc/39/80/41/1072398041.db2.gz XVNLXZRLHPFMDD-UHFFFAOYSA-N 0 0 429.481 -0.319 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)NCc1ccc(Cl)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000520978046 1072398113 /nfs/dbraw/zinc/39/81/13/1072398113.db2.gz GLTHQXSXYMANBQ-GFCCVEGCSA-N 0 0 430.914 -0.099 20 0 IBADRN C[C@H](NC(=O)CNC(=O)NCc1ccc(Cl)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000520978047 1072397987 /nfs/dbraw/zinc/39/79/87/1072397987.db2.gz GLTHQXSXYMANBQ-LBPRGKRZSA-N 0 0 430.914 -0.099 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000520978137 1072398507 /nfs/dbraw/zinc/39/85/07/1072398507.db2.gz MPOUEDUZKYPVDS-UHFFFAOYSA-N 0 0 430.486 -0.235 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)N1CC(=O)Nc2ccccc21)C(=O)N1CCS(=O)(=O)CC1 ZINC000520978179 1072398497 /nfs/dbraw/zinc/39/84/97/1072398497.db2.gz PUHHXVKWBGNWRP-CYBMUJFWSA-N 0 0 436.490 -0.486 20 0 IBADRN C[C@H](NC(=O)CCC(=O)N1CC(=O)Nc2ccccc21)C(=O)N1CCS(=O)(=O)CC1 ZINC000520978180 1072398380 /nfs/dbraw/zinc/39/83/80/1072398380.db2.gz PUHHXVKWBGNWRP-ZDUSSCGKSA-N 0 0 436.490 -0.486 20 0 IBADRN C[C@@H](NC(=O)c1ccc2c(c1)CCCN2S(C)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000520978291 1072398479 /nfs/dbraw/zinc/39/84/79/1072398479.db2.gz ZLIDDAKNGFSRLU-CYBMUJFWSA-N 0 0 443.547 -0.226 20 0 IBADRN C[C@H](NC(=O)c1ccc2c(c1)CCCN2S(C)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000520978292 1072398540 /nfs/dbraw/zinc/39/85/40/1072398540.db2.gz ZLIDDAKNGFSRLU-ZDUSSCGKSA-N 0 0 443.547 -0.226 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)Cc2ccc(S(N)(=O)=O)cc2)c1 ZINC000520978824 1072533243 /nfs/dbraw/zinc/53/32/43/1072533243.db2.gz JUEDBTFXHACBJM-UHFFFAOYSA-N 0 0 441.487 -0.034 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCC(=O)N3CCN(c4ccccc4)CC3)nc2n(C)c1=O ZINC000520979048 1072398516 /nfs/dbraw/zinc/39/85/16/1072398516.db2.gz BBVKIMTYLAEXPN-UHFFFAOYSA-N 0 0 436.472 -0.289 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)cc1 ZINC000520981563 1072399132 /nfs/dbraw/zinc/39/91/32/1072399132.db2.gz ODIGEINTTSOTAW-UHFFFAOYSA-N 0 0 434.459 -0.325 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cncc(Br)c1 ZINC000520983966 1072395726 /nfs/dbraw/zinc/39/57/26/1072395726.db2.gz ZHZJZGLIYLTGBY-JTQLQIEISA-N 0 0 426.314 -0.232 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cncc(Br)c1 ZINC000520983967 1072395832 /nfs/dbraw/zinc/39/58/32/1072395832.db2.gz ZHZJZGLIYLTGBY-SNVBAGLBSA-N 0 0 426.314 -0.232 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000520984764 1072395620 /nfs/dbraw/zinc/39/56/20/1072395620.db2.gz MSMFXJCJZZBRPE-GOSISDBHSA-N 0 0 434.518 -0.044 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000520984765 1072395851 /nfs/dbraw/zinc/39/58/51/1072395851.db2.gz MSMFXJCJZZBRPE-SFHVURJKSA-N 0 0 434.518 -0.044 20 0 IBADRN COc1ccc(NC(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000520985942 1072395740 /nfs/dbraw/zinc/39/57/40/1072395740.db2.gz DHUONBNYOJMPHK-UHFFFAOYSA-N 0 0 433.508 -0.022 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CCS(=O)(=O)CC1 ZINC000520986145 1072396127 /nfs/dbraw/zinc/39/61/27/1072396127.db2.gz OSRAPBWTNSJUCN-UHFFFAOYSA-N 0 0 433.508 -0.022 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1)NC1CC1 ZINC000520986793 1072396334 /nfs/dbraw/zinc/39/63/34/1072396334.db2.gz GLKSOFLAONJMKB-UHFFFAOYSA-N 0 0 431.478 -0.866 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NCc1ccco1 ZINC000520988139 1072397030 /nfs/dbraw/zinc/39/70/30/1072397030.db2.gz XBQQNQZOSBPKTO-CYBMUJFWSA-N 0 0 434.474 -0.165 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NCc1ccco1 ZINC000520988140 1072396929 /nfs/dbraw/zinc/39/69/29/1072396929.db2.gz XBQQNQZOSBPKTO-ZDUSSCGKSA-N 0 0 434.474 -0.165 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)c2=O ZINC000520997641 1072400862 /nfs/dbraw/zinc/40/08/62/1072400862.db2.gz OKRZAQANBXUHBW-UHFFFAOYSA-N 0 0 448.461 -0.345 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2ccccc2OCCN2CCOCC2)cn1 ZINC000520997870 1072400687 /nfs/dbraw/zinc/40/06/87/1072400687.db2.gz LDLCDSWOYAYJJP-UHFFFAOYSA-N 0 0 437.522 -0.274 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CS(=O)(=O)NC1CCC(C)CC1 ZINC000520998995 1072400750 /nfs/dbraw/zinc/40/07/50/1072400750.db2.gz QDODBTZLTPFTGY-NRXISQOPSA-N 0 0 437.584 -0.364 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CS(=O)(=O)NC1CCC(C)CC1 ZINC000520998996 1072400810 /nfs/dbraw/zinc/40/08/10/1072400810.db2.gz QDODBTZLTPFTGY-YMAMQOFZSA-N 0 0 437.584 -0.364 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000521001671 1072399103 /nfs/dbraw/zinc/39/91/03/1072399103.db2.gz HHEQSQQKBYRWFE-IJLUTSLNSA-N 0 0 427.445 -0.109 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000521001673 1072398905 /nfs/dbraw/zinc/39/89/05/1072398905.db2.gz HHEQSQQKBYRWFE-QJPTWQEYSA-N 0 0 427.445 -0.109 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000521001675 1072399123 /nfs/dbraw/zinc/39/91/23/1072399123.db2.gz HHEQSQQKBYRWFE-TUAOUCFPSA-N 0 0 427.445 -0.109 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000521001676 1072399732 /nfs/dbraw/zinc/39/97/32/1072399732.db2.gz HHEQSQQKBYRWFE-UTUOFQBUSA-N 0 0 427.445 -0.109 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000521001749 1072399046 /nfs/dbraw/zinc/39/90/46/1072399046.db2.gz JZXSYRNVUXYMGM-UHFFFAOYSA-N 0 0 444.554 -0.986 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000521011521 1072402134 /nfs/dbraw/zinc/40/21/34/1072402134.db2.gz LNFOMGZQOZQMDC-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(CN2CCOCC2)cc1)N1CCN(S(N)(=O)=O)CC1 ZINC000521012848 1072400787 /nfs/dbraw/zinc/40/07/87/1072400787.db2.gz AHKUWYKYVVBSSS-INIZCTEOSA-N 0 0 425.555 -0.655 20 0 IBADRN C[C@H](C(=O)NCc1ccc(CN2CCOCC2)cc1)N1CCN(S(N)(=O)=O)CC1 ZINC000521012849 1072400894 /nfs/dbraw/zinc/40/08/94/1072400894.db2.gz AHKUWYKYVVBSSS-MRXNPFEDSA-N 0 0 425.555 -0.655 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000521013770 1072400771 /nfs/dbraw/zinc/40/07/71/1072400771.db2.gz YAYJULLAFPEGGZ-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCc2ccc(S(N)(=O)=O)s2)C1 ZINC000521013898 1072533215 /nfs/dbraw/zinc/53/32/15/1072533215.db2.gz BQKXLLMOUUCHIH-SNVBAGLBSA-N 0 0 425.558 -0.535 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NC2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000521014389 1072401349 /nfs/dbraw/zinc/40/13/49/1072401349.db2.gz MACGJYNHVBSZAS-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000521017944 1072401568 /nfs/dbraw/zinc/40/15/68/1072401568.db2.gz JKVKGWHOLXOSLV-IBGZPJMESA-N 0 0 429.521 -0.027 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000521017945 1072401611 /nfs/dbraw/zinc/40/16/11/1072401611.db2.gz JKVKGWHOLXOSLV-LJQANCHMSA-N 0 0 429.521 -0.027 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@H]1CCN(Cc2ccccc2)C1=O ZINC000521022083 1072404520 /nfs/dbraw/zinc/40/45/20/1072404520.db2.gz HRQJKGMXJQJZAH-KRWDZBQOSA-N 0 0 436.472 -0.126 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000521022084 1072404499 /nfs/dbraw/zinc/40/44/99/1072404499.db2.gz HRQJKGMXJQJZAH-QGZVFWFLSA-N 0 0 436.472 -0.126 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c2ccccc2O1 ZINC000521022823 1072402101 /nfs/dbraw/zinc/40/21/01/1072402101.db2.gz RKJIYTSDGCFPJQ-INIZCTEOSA-N 0 0 438.506 -0.638 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c2ccccc2O1 ZINC000521022824 1072402038 /nfs/dbraw/zinc/40/20/38/1072402038.db2.gz RKJIYTSDGCFPJQ-MRXNPFEDSA-N 0 0 438.506 -0.638 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000521023441 1072402145 /nfs/dbraw/zinc/40/21/45/1072402145.db2.gz GINBRXJJGQEMLD-UHFFFAOYSA-N 0 0 440.522 -0.072 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000521028931 1072402743 /nfs/dbraw/zinc/40/27/43/1072402743.db2.gz OUDVBUVCNMRQGI-UHFFFAOYSA-N 0 0 448.486 -0.194 20 0 IBADRN O=C(N[C@H]1CCN(c2ccccc2)C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000521031355 1072403850 /nfs/dbraw/zinc/40/38/50/1072403850.db2.gz BYTOSUZAILNDPA-KRWDZBQOSA-N 0 0 437.522 -0.304 20 0 IBADRN O=C(N[C@@H]1CCN(c2ccccc2)C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000521031356 1072403941 /nfs/dbraw/zinc/40/39/41/1072403941.db2.gz BYTOSUZAILNDPA-QGZVFWFLSA-N 0 0 437.522 -0.304 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCNC(=O)C1CC1 ZINC000521031969 1072403787 /nfs/dbraw/zinc/40/37/87/1072403787.db2.gz FQZKMUVLPCFRCC-UHFFFAOYSA-N 0 0 425.511 -0.416 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCNC(=O)C1CC1 ZINC000521033380 1072403946 /nfs/dbraw/zinc/40/39/46/1072403946.db2.gz VZEWCZZBGJJEKN-UHFFFAOYSA-N 0 0 431.493 -0.336 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H](Cc3ccc(F)cc3)C(N)=O)c2c(=O)n(C)c1=O ZINC000521036841 1072404529 /nfs/dbraw/zinc/40/45/29/1072404529.db2.gz HKYCVCKWQXMZPR-CYBMUJFWSA-N 0 0 430.440 -0.577 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H](Cc3ccc(F)cc3)C(N)=O)c2c(=O)n(C)c1=O ZINC000521036842 1072404602 /nfs/dbraw/zinc/40/46/02/1072404602.db2.gz HKYCVCKWQXMZPR-ZDUSSCGKSA-N 0 0 430.440 -0.577 20 0 IBADRN O=C(CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)NCCN1CCS(=O)(=O)CC1 ZINC000521041029 1072405813 /nfs/dbraw/zinc/40/58/13/1072405813.db2.gz AVBKHWAUZPMCDM-GOSISDBHSA-N 0 0 434.518 -0.691 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)NCCN1CCS(=O)(=O)CC1 ZINC000521041030 1072405895 /nfs/dbraw/zinc/40/58/95/1072405895.db2.gz AVBKHWAUZPMCDM-SFHVURJKSA-N 0 0 434.518 -0.691 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000521041247 1072404394 /nfs/dbraw/zinc/40/43/94/1072404394.db2.gz ULRGSTRZTYVCAA-FQEVSTJZSA-N 0 0 427.505 -0.258 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000521041248 1072404467 /nfs/dbraw/zinc/40/44/67/1072404467.db2.gz ULRGSTRZTYVCAA-HXUWFJFHSA-N 0 0 427.505 -0.258 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)N1CCN(c2ccccc2)CC1 ZINC000521047226 1072405148 /nfs/dbraw/zinc/40/51/48/1072405148.db2.gz UOCQUEXADRSZNO-UHFFFAOYSA-N 0 0 443.485 -0.157 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000521050064 1072405220 /nfs/dbraw/zinc/40/52/20/1072405220.db2.gz RLEBIVNSNZVYJJ-UHFFFAOYSA-N 0 0 428.511 -0.605 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000521051076 1072405128 /nfs/dbraw/zinc/40/51/28/1072405128.db2.gz WGDAFAIDNKQBCE-CYBMUJFWSA-N 0 0 430.483 -0.431 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000521051077 1070995162 /nfs/dbraw/zinc/99/51/62/1070995162.db2.gz WGDAFAIDNKQBCE-ZDUSSCGKSA-N 0 0 430.483 -0.431 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@@H](c2cccs2)C1 ZINC000521052337 1072405159 /nfs/dbraw/zinc/40/51/59/1072405159.db2.gz RUOWZMMYWBLQOA-CYBMUJFWSA-N 0 0 428.492 -0.128 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@H](c2cccs2)C1 ZINC000521052338 1072405305 /nfs/dbraw/zinc/40/53/05/1072405305.db2.gz RUOWZMMYWBLQOA-ZDUSSCGKSA-N 0 0 428.492 -0.128 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)NC[C@H](NC(=O)Cn1ccc(=O)[nH]c1=O)C1CCCCC1 ZINC000521054338 1072405211 /nfs/dbraw/zinc/40/52/11/1072405211.db2.gz VVYNRDWBVWPNEW-AWEZNQCLSA-N 0 0 446.464 -0.907 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)NC[C@@H](NC(=O)Cn1ccc(=O)[nH]c1=O)C1CCCCC1 ZINC000521054339 1072405172 /nfs/dbraw/zinc/40/51/72/1072405172.db2.gz VVYNRDWBVWPNEW-CQSZACIVSA-N 0 0 446.464 -0.907 20 0 IBADRN Cc1oc(C(=O)NCC(=O)NCCN2CCOCC2)cc1S(=O)(=O)N1CCCC1 ZINC000521054353 1072405391 /nfs/dbraw/zinc/40/53/91/1072405391.db2.gz XBKURWLCUJBMEW-UHFFFAOYSA-N 0 0 428.511 -0.449 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)NCCN1CCOCC1 ZINC000521055457 1072405138 /nfs/dbraw/zinc/40/51/38/1072405138.db2.gz RJAZASFMKVILNK-PBHICJAKSA-N 0 0 433.513 -0.891 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)NCCN1CCOCC1 ZINC000521055458 1072405203 /nfs/dbraw/zinc/40/52/03/1072405203.db2.gz RJAZASFMKVILNK-RHSMWYFYSA-N 0 0 433.513 -0.891 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)NCCN1CCOCC1 ZINC000521055459 1072405971 /nfs/dbraw/zinc/40/59/71/1072405971.db2.gz RJAZASFMKVILNK-WMLDXEAASA-N 0 0 433.513 -0.891 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)NCCN1CCOCC1 ZINC000521055460 1072405782 /nfs/dbraw/zinc/40/57/82/1072405782.db2.gz RJAZASFMKVILNK-YOEHRIQHSA-N 0 0 433.513 -0.891 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000521060280 1072406611 /nfs/dbraw/zinc/40/66/11/1072406611.db2.gz FQLIRUDLTVUMKA-UHFFFAOYSA-N 0 0 445.404 -0.482 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000521064908 1072407104 /nfs/dbraw/zinc/40/71/04/1072407104.db2.gz TVKNNZUUTWIOIG-UHFFFAOYSA-N 0 0 425.463 -0.592 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N(CCN2CCOCC2)CC(=O)OC)cc1 ZINC000521066254 1072405788 /nfs/dbraw/zinc/40/57/88/1072405788.db2.gz NUTNJQHFQCHGEJ-UHFFFAOYSA-N 0 0 427.523 -0.139 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000521066342 1072405868 /nfs/dbraw/zinc/40/58/68/1072405868.db2.gz PKNIBMDZJWBPQY-CVEARBPZSA-N 0 0 435.525 -0.369 20 0 IBADRN C[C@@H](C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000521066346 1072405827 /nfs/dbraw/zinc/40/58/27/1072405827.db2.gz PKNIBMDZJWBPQY-HOTGVXAUSA-N 0 0 435.525 -0.369 20 0 IBADRN C[C@H](C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000521066347 1072405925 /nfs/dbraw/zinc/40/59/25/1072405925.db2.gz PKNIBMDZJWBPQY-HZPDHXFCSA-N 0 0 435.525 -0.369 20 0 IBADRN C[C@H](C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000521066348 1072405798 /nfs/dbraw/zinc/40/57/98/1072405798.db2.gz PKNIBMDZJWBPQY-JKSUJKDBSA-N 0 0 435.525 -0.369 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CNS(=O)(=O)c2cccs2)CC1 ZINC000521070145 1072405933 /nfs/dbraw/zinc/40/59/33/1072405933.db2.gz DYZBJJALADVSBN-AWEZNQCLSA-N 0 0 430.552 -0.582 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CNS(=O)(=O)c2cccs2)CC1 ZINC000521070147 1072405951 /nfs/dbraw/zinc/40/59/51/1072405951.db2.gz DYZBJJALADVSBN-CQSZACIVSA-N 0 0 430.552 -0.582 20 0 IBADRN O=C(CSc1nnnn1C1CC1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000521071967 1072406686 /nfs/dbraw/zinc/40/66/86/1072406686.db2.gz HOJRTKZRXKLDLC-HNNXBMFYSA-N 0 0 444.583 -0.162 20 0 IBADRN O=C(CSc1nnnn1C1CC1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000521071968 1072406430 /nfs/dbraw/zinc/40/64/30/1072406430.db2.gz HOJRTKZRXKLDLC-OAHLLOKOSA-N 0 0 444.583 -0.162 20 0 IBADRN O=C(CCn1nc2ccccn2c1=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000521071995 1072406651 /nfs/dbraw/zinc/40/66/51/1072406651.db2.gz ICIZVGHBNHPVGJ-UHFFFAOYSA-N 0 0 444.473 -0.355 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCCN1C(=O)CCC1=O ZINC000521075776 1072407079 /nfs/dbraw/zinc/40/70/79/1072407079.db2.gz PAXZJRRUHILQCF-UHFFFAOYSA-N 0 0 425.463 -0.219 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000521082219 1072407769 /nfs/dbraw/zinc/40/77/69/1072407769.db2.gz RAUQGTNUMYBPLY-CABCVRRESA-N 0 0 425.573 -0.347 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000521082220 1072407801 /nfs/dbraw/zinc/40/78/01/1072407801.db2.gz RAUQGTNUMYBPLY-GJZGRUSLSA-N 0 0 425.573 -0.347 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000521082221 1072407782 /nfs/dbraw/zinc/40/77/82/1072407782.db2.gz RAUQGTNUMYBPLY-HUUCEWRRSA-N 0 0 425.573 -0.347 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000521082222 1072407880 /nfs/dbraw/zinc/40/78/80/1072407880.db2.gz RAUQGTNUMYBPLY-LSDHHAIUSA-N 0 0 425.573 -0.347 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)C2)nn1 ZINC000521083728 1072407214 /nfs/dbraw/zinc/40/72/14/1072407214.db2.gz SMKBFQZXDREICN-LLVKDONJSA-N 0 0 433.450 -0.307 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)C2)nn1 ZINC000521083729 1072406992 /nfs/dbraw/zinc/40/69/92/1072406992.db2.gz SMKBFQZXDREICN-NSHDSACASA-N 0 0 433.450 -0.307 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000521087437 1072407158 /nfs/dbraw/zinc/40/71/58/1072407158.db2.gz FXXGZXVFZKCPRX-UHFFFAOYSA-N 0 0 447.535 -0.100 20 0 IBADRN COc1ncnc(OC)c1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000521088470 1072407138 /nfs/dbraw/zinc/40/71/38/1072407138.db2.gz VMGCHRQCBLQIMP-UHFFFAOYSA-N 0 0 436.494 -0.229 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCN1CCOCC1 ZINC000521093661 1072408441 /nfs/dbraw/zinc/40/84/41/1072408441.db2.gz WJYBMRSUIMPZQC-UHFFFAOYSA-N 0 0 434.493 -0.055 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCNC(=O)c3cccc(F)c3)c2c(=O)n(C)c1=O ZINC000521094655 1072409052 /nfs/dbraw/zinc/40/90/52/1072409052.db2.gz AXOPPZHVRSLURU-UHFFFAOYSA-N 0 0 430.440 -0.101 20 0 IBADRN C[C@H](NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1CCOCC1 ZINC000521097282 1072407753 /nfs/dbraw/zinc/40/77/53/1072407753.db2.gz KZJIJMSBCNYTCG-ZDUSSCGKSA-N 0 0 431.536 -0.325 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000521100792 1072407791 /nfs/dbraw/zinc/40/77/91/1072407791.db2.gz FUYUWHMGDRSZEL-UHFFFAOYSA-N 0 0 427.458 -0.228 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000521101596 1072407884 /nfs/dbraw/zinc/40/78/84/1072407884.db2.gz QNRBRPKSEFURCM-KRWDZBQOSA-N 0 0 438.550 -0.190 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)s1 ZINC000521105071 1072408366 /nfs/dbraw/zinc/40/83/66/1072408366.db2.gz BXHGYBVAOCOAMN-AWEZNQCLSA-N 0 0 430.552 -0.275 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)s1 ZINC000521105072 1072408320 /nfs/dbraw/zinc/40/83/20/1072408320.db2.gz BXHGYBVAOCOAMN-CQSZACIVSA-N 0 0 430.552 -0.275 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN(C)S(=O)(=O)c1ccc(Cl)s1 ZINC000521105137 1072408471 /nfs/dbraw/zinc/40/84/71/1072408471.db2.gz DNEDKCMGVNKCJM-JTQLQIEISA-N 0 0 436.943 -0.125 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN(C)S(=O)(=O)c1ccc(Cl)s1 ZINC000521105138 1072408333 /nfs/dbraw/zinc/40/83/33/1072408333.db2.gz DNEDKCMGVNKCJM-SNVBAGLBSA-N 0 0 436.943 -0.125 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000521105145 1072408457 /nfs/dbraw/zinc/40/84/57/1072408457.db2.gz CVGDSHMMTQCKAL-HNNXBMFYSA-N 0 0 435.912 -0.030 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000521105148 1072408425 /nfs/dbraw/zinc/40/84/25/1072408425.db2.gz CVGDSHMMTQCKAL-OAHLLOKOSA-N 0 0 435.912 -0.030 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000521105775 1072408311 /nfs/dbraw/zinc/40/83/11/1072408311.db2.gz ZCFRUGFDZDILTD-HNNXBMFYSA-N 0 0 430.914 -0.057 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000521105776 1072408431 /nfs/dbraw/zinc/40/84/31/1072408431.db2.gz ZCFRUGFDZDILTD-OAHLLOKOSA-N 0 0 430.914 -0.057 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000521108684 1072409635 /nfs/dbraw/zinc/40/96/35/1072409635.db2.gz QEFALUVZRPAWIA-UHFFFAOYSA-N 0 0 426.499 -0.615 20 0 IBADRN C[C@@H](NC(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)nc1)C(=O)N1CCOCC1 ZINC000521114375 1072409605 /nfs/dbraw/zinc/40/96/05/1072409605.db2.gz ZWXLAVUSTDRSQY-CABCVRRESA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@H](NC(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)nc1)C(=O)N1CCOCC1 ZINC000521114376 1072409502 /nfs/dbraw/zinc/40/95/02/1072409502.db2.gz ZWXLAVUSTDRSQY-GJZGRUSLSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@@H](NC(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCOCC2)nc1)C(=O)N1CCOCC1 ZINC000521114377 1072409669 /nfs/dbraw/zinc/40/96/69/1072409669.db2.gz ZWXLAVUSTDRSQY-HUUCEWRRSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@@H](NC(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)cn1)C(=O)N1CCOCC1 ZINC000521114378 1072409567 /nfs/dbraw/zinc/40/95/67/1072409567.db2.gz ZWXLAVUSTDRSQY-LSDHHAIUSA-N 0 0 447.492 -0.964 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000521115159 1072410062 /nfs/dbraw/zinc/41/00/62/1072410062.db2.gz WCAIDVWIRVMHLZ-IBGZPJMESA-N 0 0 437.585 -0.194 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000521115160 1072410044 /nfs/dbraw/zinc/41/00/44/1072410044.db2.gz WCAIDVWIRVMHLZ-LJQANCHMSA-N 0 0 437.585 -0.194 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2sccc2S(=O)(=O)NCc2ccco2)CC1 ZINC000521117436 1072410015 /nfs/dbraw/zinc/41/00/15/1072410015.db2.gz QEEQZPMXTXXFPG-UHFFFAOYSA-N 0 0 434.521 -0.219 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCN(S(N)(=O)=O)CC3)n(C)c2)C1 ZINC000521117471 1072410118 /nfs/dbraw/zinc/41/01/18/1072410118.db2.gz SEWQKEZJBIPKLI-CYBMUJFWSA-N 0 0 433.556 -0.593 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCN(S(N)(=O)=O)CC3)n(C)c2)C1 ZINC000521117472 1072410000 /nfs/dbraw/zinc/41/00/00/1072410000.db2.gz SEWQKEZJBIPKLI-ZDUSSCGKSA-N 0 0 433.556 -0.593 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N=c3cc[nH]cc3)cc2)CC1 ZINC000521118602 1072408971 /nfs/dbraw/zinc/40/89/71/1072408971.db2.gz DLTGJFSYHZCOMO-UHFFFAOYSA-N 0 0 425.492 -0.734 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000521118604 1072408990 /nfs/dbraw/zinc/40/89/90/1072408990.db2.gz DMVALZMBYYNBND-GOSISDBHSA-N 0 0 445.520 -0.215 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000521118605 1072409024 /nfs/dbraw/zinc/40/90/24/1072409024.db2.gz DMVALZMBYYNBND-SFHVURJKSA-N 0 0 445.520 -0.215 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(N)(=O)=O)CC2)cc1S(=O)(=O)NC1CCCC1 ZINC000521119069 1072410093 /nfs/dbraw/zinc/41/00/93/1072410093.db2.gz VNWPSMSFRJONAL-UHFFFAOYSA-N 0 0 446.551 -0.123 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(C(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000521119144 1072408959 /nfs/dbraw/zinc/40/89/59/1072408959.db2.gz ZOGWNMCZDXYICL-UHFFFAOYSA-N 0 0 434.540 -0.313 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CCCCCC(=O)N(C)CC(=O)N1CCOCC1 ZINC000521124934 1072408949 /nfs/dbraw/zinc/40/89/49/1072408949.db2.gz VFRZWTMKSKCJGN-UHFFFAOYSA-N 0 0 440.541 -0.429 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)cc1 ZINC000521126480 1072411289 /nfs/dbraw/zinc/41/12/89/1072411289.db2.gz XIRILSFPLMEHKM-HNNXBMFYSA-N 0 0 427.479 -0.356 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCNC(=O)[C@H]2CC(=O)OC)cc1 ZINC000521126481 1072411294 /nfs/dbraw/zinc/41/12/94/1072411294.db2.gz XIRILSFPLMEHKM-OAHLLOKOSA-N 0 0 427.479 -0.356 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)COCC(=O)NCc2cccnc2)CC1 ZINC000521135477 1072410793 /nfs/dbraw/zinc/41/07/93/1072410793.db2.gz BKZJAWWLAYMWAD-KRWDZBQOSA-N 0 0 433.509 -0.894 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)COCC(=O)NCc2cccnc2)CC1 ZINC000521135478 1072410767 /nfs/dbraw/zinc/41/07/67/1072410767.db2.gz BKZJAWWLAYMWAD-QGZVFWFLSA-N 0 0 433.509 -0.894 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC000521135562 1072410619 /nfs/dbraw/zinc/41/06/19/1072410619.db2.gz GKZOBINIGZPZKE-UHFFFAOYSA-N 0 0 449.476 -0.033 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000521135680 1072410799 /nfs/dbraw/zinc/41/07/99/1072410799.db2.gz MGNXFWPHDSBXGU-INIZCTEOSA-N 0 0 438.550 -0.092 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000521135681 1072410735 /nfs/dbraw/zinc/41/07/35/1072410735.db2.gz MGNXFWPHDSBXGU-MRXNPFEDSA-N 0 0 438.550 -0.092 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)[C@@H](CCC(N)=O)NC(C)=O)CC2)cc1 ZINC000521135692 1072410729 /nfs/dbraw/zinc/41/07/29/1072410729.db2.gz MZGVIIHTTVPGAI-GOSISDBHSA-N 0 0 433.509 -0.661 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)[C@H](CCC(N)=O)NC(C)=O)CC2)cc1 ZINC000521135693 1072410647 /nfs/dbraw/zinc/41/06/47/1072410647.db2.gz MZGVIIHTTVPGAI-SFHVURJKSA-N 0 0 433.509 -0.661 20 0 IBADRN CCN(C1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1)S(C)(=O)=O ZINC000521136793 1072410758 /nfs/dbraw/zinc/41/07/58/1072410758.db2.gz SLTHQKPZRVHMMM-GOSISDBHSA-N 0 0 444.598 -0.028 20 0 IBADRN CCN(C1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1)S(C)(=O)=O ZINC000521136794 1072410743 /nfs/dbraw/zinc/41/07/43/1072410743.db2.gz SLTHQKPZRVHMMM-SFHVURJKSA-N 0 0 444.598 -0.028 20 0 IBADRN COCCN(C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000521140002 1072410653 /nfs/dbraw/zinc/41/06/53/1072410653.db2.gz GANHSCKMMYMATB-DLBZAZTESA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN(C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000521140003 1072410776 /nfs/dbraw/zinc/41/07/76/1072410776.db2.gz GANHSCKMMYMATB-IAGOWNOFSA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN(C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000521140004 1072410608 /nfs/dbraw/zinc/41/06/08/1072410608.db2.gz GANHSCKMMYMATB-IRXDYDNUSA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN(C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000521140005 1072410640 /nfs/dbraw/zinc/41/06/40/1072410640.db2.gz GANHSCKMMYMATB-SJORKVTESA-N 0 0 431.555 -0.638 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCS(=O)(=O)N1CCSCC1 ZINC000521144407 1072411298 /nfs/dbraw/zinc/41/12/98/1072411298.db2.gz JHLTWZPNRRBYHU-INIZCTEOSA-N 0 0 448.611 -0.806 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCS(=O)(=O)N1CCSCC1 ZINC000521144408 1072411316 /nfs/dbraw/zinc/41/13/16/1072411316.db2.gz JHLTWZPNRRBYHU-MRXNPFEDSA-N 0 0 448.611 -0.806 20 0 IBADRN COc1cc(CNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)ccc1OCC(N)=O ZINC000521145738 1072409986 /nfs/dbraw/zinc/40/99/86/1072409986.db2.gz COZKTRLSCQETSU-UHFFFAOYSA-N 0 0 429.476 -0.315 20 0 IBADRN CN(CC(=O)N1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000521145756 1072410040 /nfs/dbraw/zinc/41/00/40/1072410040.db2.gz DHTDCDTZJCCUES-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000521145876 1072411244 /nfs/dbraw/zinc/41/12/44/1072411244.db2.gz MROJRRQTCYNWPK-AWEZNQCLSA-N 0 0 441.462 -0.725 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000521145877 1072411337 /nfs/dbraw/zinc/41/13/37/1072411337.db2.gz MROJRRQTCYNWPK-CQSZACIVSA-N 0 0 441.462 -0.725 20 0 IBADRN CN(CC(=O)N1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000521146221 1072415670 /nfs/dbraw/zinc/41/56/70/1072415670.db2.gz YNQINKNTTBWSPR-UHFFFAOYSA-N 0 0 447.535 -0.813 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000521146686 1072415624 /nfs/dbraw/zinc/41/56/24/1072415624.db2.gz KAWLJGRACGCZKQ-UHFFFAOYSA-N 0 0 433.508 -0.310 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)NCC(=O)NCCN1CCOCC1 ZINC000521148358 1072411266 /nfs/dbraw/zinc/41/12/66/1072411266.db2.gz CYGQEQRBFJEOBK-UHFFFAOYSA-N 0 0 441.554 -0.622 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000521148951 1072411163 /nfs/dbraw/zinc/41/11/63/1072411163.db2.gz SOCZEZFYPDSCKY-UHFFFAOYSA-N 0 0 428.511 -0.876 20 0 IBADRN O=C(CNC(=O)[C@H]1CCCCN1S(=O)(=O)c1ccccc1)NCCN1CCOCC1 ZINC000521149074 1072411249 /nfs/dbraw/zinc/41/12/49/1072411249.db2.gz ZDVKWBICOHVTIU-GOSISDBHSA-N 0 0 438.550 -0.206 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCCN1S(=O)(=O)c1ccccc1)NCCN1CCOCC1 ZINC000521149075 1072411311 /nfs/dbraw/zinc/41/13/11/1072411311.db2.gz ZDVKWBICOHVTIU-SFHVURJKSA-N 0 0 438.550 -0.206 20 0 IBADRN O=C(CNC(=O)CS(=O)(=O)c1cccc(Br)c1)NCCN1CCOCC1 ZINC000521149504 1072411834 /nfs/dbraw/zinc/41/18/34/1072411834.db2.gz DIRCBNXZNKSJEJ-UHFFFAOYSA-N 0 0 448.339 -0.213 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000521152353 1072412440 /nfs/dbraw/zinc/41/24/40/1072412440.db2.gz BMSHODZHISSRDL-CABCVRRESA-N 0 0 428.511 -0.082 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000521152354 1072412462 /nfs/dbraw/zinc/41/24/62/1072412462.db2.gz BMSHODZHISSRDL-GJZGRUSLSA-N 0 0 428.511 -0.082 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000521152355 1072413147 /nfs/dbraw/zinc/41/31/47/1072413147.db2.gz BMSHODZHISSRDL-HUUCEWRRSA-N 0 0 428.511 -0.082 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000521152356 1072413043 /nfs/dbraw/zinc/41/30/43/1072413043.db2.gz BMSHODZHISSRDL-LSDHHAIUSA-N 0 0 428.511 -0.082 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCC(=O)NCCOC)CC2)o1 ZINC000521156566 1072413768 /nfs/dbraw/zinc/41/37/68/1072413768.db2.gz WHAHQRBPKNTMBC-UHFFFAOYSA-N 0 0 445.494 -0.264 20 0 IBADRN COCCOc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000521164120 1072415646 /nfs/dbraw/zinc/41/56/46/1072415646.db2.gz NYIBTHOWLVNZGC-UHFFFAOYSA-N 0 0 426.539 -0.038 20 0 IBADRN O=C(CN1CC(=O)NC1=O)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000521165097 1072416795 /nfs/dbraw/zinc/41/67/95/1072416795.db2.gz KCBHZRSDZSQNOH-UHFFFAOYSA-N 0 0 445.295 -0.166 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O ZINC000521169454 1072418455 /nfs/dbraw/zinc/41/84/55/1072418455.db2.gz PQOOMQCKHBXGLY-UHFFFAOYSA-N 0 0 434.478 -0.139 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)nc2c(=O)[nH]c1=O ZINC000521169681 1072417925 /nfs/dbraw/zinc/41/79/25/1072417925.db2.gz ULUPISOBVSGDJU-UHFFFAOYSA-N 0 0 446.489 -0.172 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000521174071 1072418925 /nfs/dbraw/zinc/41/89/25/1072418925.db2.gz POTRZXSHHSUXFD-HNNXBMFYSA-N 0 0 444.558 -0.441 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000521174075 1072419027 /nfs/dbraw/zinc/41/90/27/1072419027.db2.gz POTRZXSHHSUXFD-OAHLLOKOSA-N 0 0 444.558 -0.441 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)N1CCCCCC1 ZINC000521176167 1072419685 /nfs/dbraw/zinc/41/96/85/1072419685.db2.gz SHNSAEUALASIBS-FQEVSTJZSA-N 0 0 449.596 -0.286 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000521176522 1072419631 /nfs/dbraw/zinc/41/96/31/1072419631.db2.gz LZJKIGCWOVTZST-UHFFFAOYSA-N 0 0 437.540 -0.167 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000521178633 1073363253 /nfs/dbraw/zinc/36/32/53/1073363253.db2.gz PTNGBZNAFYVECP-AWEZNQCLSA-N 0 0 439.542 -0.139 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000521178634 1073363298 /nfs/dbraw/zinc/36/32/98/1073363298.db2.gz PTNGBZNAFYVECP-CQSZACIVSA-N 0 0 439.542 -0.139 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000521180218 1072421533 /nfs/dbraw/zinc/42/15/33/1072421533.db2.gz PGRLUHWAPCCZEE-DZGCQCFKSA-N 0 0 430.461 -0.336 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000521180219 1072421502 /nfs/dbraw/zinc/42/15/02/1072421502.db2.gz PGRLUHWAPCCZEE-HIFRSBDPSA-N 0 0 430.461 -0.336 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000521180220 1072421487 /nfs/dbraw/zinc/42/14/87/1072421487.db2.gz PGRLUHWAPCCZEE-UKRRQHHQSA-N 0 0 430.461 -0.336 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000521180221 1072421467 /nfs/dbraw/zinc/42/14/67/1072421467.db2.gz PGRLUHWAPCCZEE-ZFWWWQNUSA-N 0 0 430.461 -0.336 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)N1CCc2ccccc2C1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000521180393 1072421477 /nfs/dbraw/zinc/42/14/77/1072421477.db2.gz YTIZROWNDVIDQI-CABCVRRESA-N 0 0 436.534 -0.190 20 0 IBADRN C[C@H](NC(=O)CNC(=O)N1CCc2ccccc2C1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000521180394 1072421513 /nfs/dbraw/zinc/42/15/13/1072421513.db2.gz YTIZROWNDVIDQI-GJZGRUSLSA-N 0 0 436.534 -0.190 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)N1CCc2ccccc2C1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000521180395 1072421442 /nfs/dbraw/zinc/42/14/42/1072421442.db2.gz YTIZROWNDVIDQI-HUUCEWRRSA-N 0 0 436.534 -0.190 20 0 IBADRN C[C@H](NC(=O)CNC(=O)N1CCc2ccccc2C1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000521180396 1072421526 /nfs/dbraw/zinc/42/15/26/1072421526.db2.gz YTIZROWNDVIDQI-LSDHHAIUSA-N 0 0 436.534 -0.190 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000521180728 1072421494 /nfs/dbraw/zinc/42/14/94/1072421494.db2.gz ATBHTCGSZCYORN-UHFFFAOYSA-N 0 0 441.488 -0.426 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCC2(C(N)=O)CCOCC2)cc1OC ZINC000521184389 1072621546 /nfs/dbraw/zinc/62/15/46/1072621546.db2.gz SFBFJJRSPNZENU-UHFFFAOYSA-N 0 0 429.495 -0.230 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCS(C)(=O)=O)CC2)C[C@H](C)O1 ZINC000521184704 1072422492 /nfs/dbraw/zinc/42/24/92/1072422492.db2.gz IZASFBWJDYCKRO-KBPBESRZSA-N 0 0 426.561 -0.898 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCS(C)(=O)=O)CC2)C[C@@H](C)O1 ZINC000521184705 1072422505 /nfs/dbraw/zinc/42/25/05/1072422505.db2.gz IZASFBWJDYCKRO-ZIAGYGMSSA-N 0 0 426.561 -0.898 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000521185446 1072422534 /nfs/dbraw/zinc/42/25/34/1072422534.db2.gz DMKLOXSPDXYUHG-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NCc2ccccc2)cc1 ZINC000521188623 1072422969 /nfs/dbraw/zinc/42/29/69/1072422969.db2.gz FILGBRQHRNVZDI-UHFFFAOYSA-N 0 0 447.560 -0.007 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000521190937 1072420671 /nfs/dbraw/zinc/42/06/71/1072420671.db2.gz FTSJTAQAPAYMQR-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN Cn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000521195130 1072421063 /nfs/dbraw/zinc/42/10/63/1072421063.db2.gz CUNOLUVBMSUNMJ-UHFFFAOYSA-N 0 0 439.476 -0.286 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c(C)c1 ZINC000521199132 1072424920 /nfs/dbraw/zinc/42/49/20/1072424920.db2.gz MMYJRLVKVZBIFA-UHFFFAOYSA-N 0 0 443.504 -0.024 20 0 IBADRN O=C(NCCN1CCc2sccc2C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000521199463 1072424900 /nfs/dbraw/zinc/42/49/00/1072424900.db2.gz JIPDHAHIWGDSNC-UHFFFAOYSA-N 0 0 435.550 -0.181 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000521201786 1072423562 /nfs/dbraw/zinc/42/35/62/1072423562.db2.gz NWRRATXVLXBJBM-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C1 ZINC000521214592 1072426560 /nfs/dbraw/zinc/42/65/60/1072426560.db2.gz HUZFJSJGGWENHS-CVEARBPZSA-N 0 0 431.541 -0.320 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C1 ZINC000521214594 1072426679 /nfs/dbraw/zinc/42/66/79/1072426679.db2.gz HUZFJSJGGWENHS-HOTGVXAUSA-N 0 0 431.541 -0.320 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C1 ZINC000521214595 1072426683 /nfs/dbraw/zinc/42/66/83/1072426683.db2.gz HUZFJSJGGWENHS-HZPDHXFCSA-N 0 0 431.541 -0.320 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C1 ZINC000521214596 1072426636 /nfs/dbraw/zinc/42/66/36/1072426636.db2.gz HUZFJSJGGWENHS-JKSUJKDBSA-N 0 0 431.541 -0.320 20 0 IBADRN O=C(NCCc1cccnc1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000521215059 1072426654 /nfs/dbraw/zinc/42/66/54/1072426654.db2.gz QIJOILKCFFQNHB-UHFFFAOYSA-N 0 0 425.493 -0.284 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCCCO3)CC2)cc1 ZINC000521217484 1072427334 /nfs/dbraw/zinc/42/73/34/1072427334.db2.gz LMTHYCGQVZEWGK-AWEZNQCLSA-N 0 0 446.551 -0.081 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCCO3)CC2)cc1 ZINC000521217487 1072427321 /nfs/dbraw/zinc/42/73/21/1072427321.db2.gz LMTHYCGQVZEWGK-CQSZACIVSA-N 0 0 446.551 -0.081 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000521218869 1072427115 /nfs/dbraw/zinc/42/71/15/1072427115.db2.gz MTBFRHJQDQHYHT-UHFFFAOYSA-N 0 0 431.478 -0.262 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000521219799 1072427737 /nfs/dbraw/zinc/42/77/37/1072427737.db2.gz RAFQPSCWGVXXGT-DZGCQCFKSA-N 0 0 449.599 -0.166 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000521219800 1072427853 /nfs/dbraw/zinc/42/78/53/1072427853.db2.gz RAFQPSCWGVXXGT-HIFRSBDPSA-N 0 0 449.599 -0.166 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000521219801 1072427886 /nfs/dbraw/zinc/42/78/86/1072427886.db2.gz RAFQPSCWGVXXGT-UKRRQHHQSA-N 0 0 449.599 -0.166 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000521219802 1072427760 /nfs/dbraw/zinc/42/77/60/1072427760.db2.gz RAFQPSCWGVXXGT-ZFWWWQNUSA-N 0 0 449.599 -0.166 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)cn1 ZINC000521220625 1072427779 /nfs/dbraw/zinc/42/77/79/1072427779.db2.gz UAUZRURYUPPCPH-UHFFFAOYSA-N 0 0 428.858 -0.945 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCCN(c2ccnn2C)C1=O ZINC000521222048 1072427611 /nfs/dbraw/zinc/42/76/11/1072427611.db2.gz HRGSDUZHQFMQGB-CABCVRRESA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@H]1CCCN(c2ccnn2C)C1=O ZINC000521222049 1072427772 /nfs/dbraw/zinc/42/77/72/1072427772.db2.gz HRGSDUZHQFMQGB-GJZGRUSLSA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCCN(c2ccnn2C)C1=O ZINC000521222050 1072428479 /nfs/dbraw/zinc/42/84/79/1072428479.db2.gz HRGSDUZHQFMQGB-HUUCEWRRSA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CCCN(c2ccnn2C)C1=O ZINC000521222051 1072428245 /nfs/dbraw/zinc/42/82/45/1072428245.db2.gz HRGSDUZHQFMQGB-LSDHHAIUSA-N 0 0 441.558 -0.124 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)s2)CC1 ZINC000521229195 1072425619 /nfs/dbraw/zinc/42/56/19/1072425619.db2.gz TXCZXPDHJPHCOH-CYBMUJFWSA-N 0 0 432.568 -0.377 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)s2)CC1 ZINC000521229196 1072425471 /nfs/dbraw/zinc/42/54/71/1072425471.db2.gz TXCZXPDHJPHCOH-ZDUSSCGKSA-N 0 0 432.568 -0.377 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cncc(Br)c2)CC1)N1CCOCC1 ZINC000521239498 1072429600 /nfs/dbraw/zinc/42/96/00/1072429600.db2.gz SVCMMDQWNWUMTE-UHFFFAOYSA-N 0 0 447.311 -0.464 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000521248609 1072429531 /nfs/dbraw/zinc/42/95/31/1072429531.db2.gz ZDVSSJUMMBZBIO-UHFFFAOYSA-N 0 0 436.490 -0.580 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N(CCCN2CCOCC2)Cc2ccncc2)cn1 ZINC000521251628 1072430064 /nfs/dbraw/zinc/43/00/64/1072430064.db2.gz GNPAJTDFDLSXGA-UHFFFAOYSA-N 0 0 436.538 -0.156 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCCN(C)S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000521251730 1072430028 /nfs/dbraw/zinc/43/00/28/1072430028.db2.gz WJIVQENAPOSAOV-UHFFFAOYSA-N 0 0 426.539 -0.014 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCC(=O)NCc2ccc(OC)cc2)c2ccccc2O1 ZINC000521265061 1072430195 /nfs/dbraw/zinc/43/01/95/1072430195.db2.gz VOYRGCPMRKIFPJ-GOSISDBHSA-N 0 0 440.456 -0.032 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCC(=O)NCc2ccc(OC)cc2)c2ccccc2O1 ZINC000521265062 1072430090 /nfs/dbraw/zinc/43/00/90/1072430090.db2.gz VOYRGCPMRKIFPJ-SFHVURJKSA-N 0 0 440.456 -0.032 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cc(C(=O)NCCC(N)=O)ccc2F)cn1C ZINC000521270559 1072431817 /nfs/dbraw/zinc/43/18/17/1072431817.db2.gz WZIMADBVKKCECW-UHFFFAOYSA-N 0 0 440.457 -0.610 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000521277813 1072430562 /nfs/dbraw/zinc/43/05/62/1072430562.db2.gz ACHFJWSCLSITKO-IBGZPJMESA-N 0 0 431.537 -0.716 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000521277816 1072430539 /nfs/dbraw/zinc/43/05/39/1072430539.db2.gz ACHFJWSCLSITKO-LJQANCHMSA-N 0 0 431.537 -0.716 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000521279793 1072430691 /nfs/dbraw/zinc/43/06/91/1072430691.db2.gz RPJVAQAGHRTQIN-UHFFFAOYSA-N 0 0 429.477 -0.446 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H](C(N)=O)[C@H](C)c2ccccc2)c1 ZINC000521281295 1072430686 /nfs/dbraw/zinc/43/06/86/1072430686.db2.gz NAFOVEPWSDDYHN-ACJLOTCBSA-N 0 0 439.472 -0.125 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H](C(N)=O)[C@H](C)c2ccccc2)c1 ZINC000521281300 1072430575 /nfs/dbraw/zinc/43/05/75/1072430575.db2.gz NAFOVEPWSDDYHN-FZKQIMNGSA-N 0 0 439.472 -0.125 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H](C(N)=O)[C@@H](C)c2ccccc2)c1 ZINC000521281302 1072430650 /nfs/dbraw/zinc/43/06/50/1072430650.db2.gz NAFOVEPWSDDYHN-SCLBCKFNSA-N 0 0 439.472 -0.125 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H](C(N)=O)[C@@H](C)c2ccccc2)c1 ZINC000521281303 1072430813 /nfs/dbraw/zinc/43/08/13/1072430813.db2.gz NAFOVEPWSDDYHN-UGSOOPFHSA-N 0 0 439.472 -0.125 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)nn1 ZINC000521282457 1072431255 /nfs/dbraw/zinc/43/12/55/1072431255.db2.gz LVUXLNWPPKGTLF-UHFFFAOYSA-N 0 0 426.407 -0.384 20 0 IBADRN CC1(C)Oc2ccc(NC(=O)C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2O1 ZINC000521283151 1072431394 /nfs/dbraw/zinc/43/13/94/1072431394.db2.gz HHMLZHGDANHIQK-GFCCVEGCSA-N 0 0 425.463 -0.058 20 0 IBADRN CC1(C)Oc2ccc(NC(=O)C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc2O1 ZINC000521283153 1072431198 /nfs/dbraw/zinc/43/11/98/1072431198.db2.gz HHMLZHGDANHIQK-LBPRGKRZSA-N 0 0 425.463 -0.058 20 0 IBADRN CC1(C)Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2O1 ZINC000521283918 1072431167 /nfs/dbraw/zinc/43/11/67/1072431167.db2.gz DCOHQMWBTJZEOC-UHFFFAOYSA-N 0 0 446.460 -0.338 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)N2CCOCC2)cc1 ZINC000521284321 1072433421 /nfs/dbraw/zinc/43/34/21/1072433421.db2.gz ZYONKEJIQCTOFJ-IBGZPJMESA-N 0 0 445.564 -0.107 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)N2CCOCC2)cc1 ZINC000521284323 1072433376 /nfs/dbraw/zinc/43/33/76/1072433376.db2.gz ZYONKEJIQCTOFJ-LJQANCHMSA-N 0 0 445.564 -0.107 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)CNC2=O)CC1 ZINC000521284828 1072432392 /nfs/dbraw/zinc/43/23/92/1072432392.db2.gz CJYDGJWNERCQGL-UHFFFAOYSA-N 0 0 444.558 -0.235 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1)NC1CC1 ZINC000521284866 1072432315 /nfs/dbraw/zinc/43/23/15/1072432315.db2.gz GXCAGMQPTDXGPP-UHFFFAOYSA-N 0 0 441.488 -0.303 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000521285096 1072432352 /nfs/dbraw/zinc/43/23/52/1072432352.db2.gz NTEUWQNYNOVSJB-UHFFFAOYSA-N 0 0 429.477 -0.446 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000521286097 1072432772 /nfs/dbraw/zinc/43/27/72/1072432772.db2.gz JSQMLBSCWZLVCO-UHFFFAOYSA-N 0 0 445.448 -0.077 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000521286749 1072432974 /nfs/dbraw/zinc/43/29/74/1072432974.db2.gz DYLHNUQWAJSSQI-UHFFFAOYSA-N 0 0 437.522 -0.314 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000521286819 1072432822 /nfs/dbraw/zinc/43/28/22/1072432822.db2.gz HBHHREZZRQYUCT-UHFFFAOYSA-N 0 0 439.490 -0.527 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC2CCN(c3nnnn3C)CC2)cc(OC)c1OC ZINC000521287446 1072432802 /nfs/dbraw/zinc/43/28/02/1072432802.db2.gz SPAWPNYPRSQDLI-UHFFFAOYSA-N 0 0 433.469 -0.249 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@@H]1CCc3ccccc31)C2 ZINC000521292385 1072432920 /nfs/dbraw/zinc/43/29/20/1072432920.db2.gz MFOOJWBULXFXGM-HNNXBMFYSA-N 0 0 426.477 -0.679 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@H]1CCc3ccccc31)C2 ZINC000521292387 1072432931 /nfs/dbraw/zinc/43/29/31/1072432931.db2.gz MFOOJWBULXFXGM-OAHLLOKOSA-N 0 0 426.477 -0.679 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1)N1CCCC1 ZINC000521292544 1072432830 /nfs/dbraw/zinc/43/28/30/1072432830.db2.gz PCNCHUUVBITNBC-CYBMUJFWSA-N 0 0 433.431 -0.968 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1)N1CCCC1 ZINC000521292547 1072432940 /nfs/dbraw/zinc/43/29/40/1072432940.db2.gz PCNCHUUVBITNBC-ZDUSSCGKSA-N 0 0 433.431 -0.968 20 0 IBADRN COC(=O)c1ccc(OCCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000521294507 1072432906 /nfs/dbraw/zinc/43/29/06/1072432906.db2.gz CAYWMQCPFFEZPA-UHFFFAOYSA-N 0 0 429.433 -0.194 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)cc1C(N)=O ZINC000521296876 1072433848 /nfs/dbraw/zinc/43/38/48/1072433848.db2.gz UBYKQMYKNVSRES-UHFFFAOYSA-N 0 0 437.497 -0.001 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1cccc(S(=O)(=O)N(C)C)c1 ZINC000521302509 1072433879 /nfs/dbraw/zinc/43/38/79/1072433879.db2.gz KHFYFHPCBPLVHJ-GFCCVEGCSA-N 0 0 429.524 -0.346 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1cccc(S(=O)(=O)N(C)C)c1 ZINC000521302510 1072433985 /nfs/dbraw/zinc/43/39/85/1072433985.db2.gz KHFYFHPCBPLVHJ-LBPRGKRZSA-N 0 0 429.524 -0.346 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000521306978 1072434591 /nfs/dbraw/zinc/43/45/91/1072434591.db2.gz YREJRJJAIIMKBR-AWEZNQCLSA-N 0 0 427.531 -0.561 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000521306979 1072434655 /nfs/dbraw/zinc/43/46/55/1072434655.db2.gz YREJRJJAIIMKBR-CQSZACIVSA-N 0 0 427.531 -0.561 20 0 IBADRN CC(C)Oc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccn1 ZINC000521309991 1072433439 /nfs/dbraw/zinc/43/34/39/1072433439.db2.gz XAAHAEXDHOESQB-UHFFFAOYSA-N 0 0 447.492 -0.985 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C)S(=O)(=O)C1CCOCC1 ZINC000521313376 1072435618 /nfs/dbraw/zinc/43/56/18/1072435618.db2.gz SFAGPPXVNAXTGK-KBPBESRZSA-N 0 0 425.573 -0.254 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C)S(=O)(=O)C1CCOCC1 ZINC000521313377 1072435626 /nfs/dbraw/zinc/43/56/26/1072435626.db2.gz SFAGPPXVNAXTGK-KGLIPLIRSA-N 0 0 425.573 -0.254 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C)S(=O)(=O)C1CCOCC1 ZINC000521313378 1072435695 /nfs/dbraw/zinc/43/56/95/1072435695.db2.gz SFAGPPXVNAXTGK-UONOGXRCSA-N 0 0 425.573 -0.254 20 0 IBADRN C[C@H](C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C)S(=O)(=O)C1CCOCC1 ZINC000521313379 1072435708 /nfs/dbraw/zinc/43/57/08/1072435708.db2.gz SFAGPPXVNAXTGK-ZIAGYGMSSA-N 0 0 425.573 -0.254 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCO[C@@H](COc2ccccc2)C1 ZINC000521315155 1072435719 /nfs/dbraw/zinc/43/57/19/1072435719.db2.gz FKEGEAAETAOCAP-NVXWUHKLSA-N 0 0 425.507 -0.167 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCO[C@H](COc2ccccc2)C1 ZINC000521315156 1072435656 /nfs/dbraw/zinc/43/56/56/1072435656.db2.gz FKEGEAAETAOCAP-WBVHZDCISA-N 0 0 425.507 -0.167 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)n1 ZINC000521315471 1072436281 /nfs/dbraw/zinc/43/62/81/1072436281.db2.gz MCDPZGJVZIFFET-CYBMUJFWSA-N 0 0 430.556 -0.575 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)CSCC(=O)N3CCOCC3)CC2)CC1 ZINC000521324435 1072437463 /nfs/dbraw/zinc/43/74/63/1072437463.db2.gz SZZSGZSDGJMSIS-UHFFFAOYSA-N 0 0 426.583 -0.019 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000521324530 1072437486 /nfs/dbraw/zinc/43/74/86/1072437486.db2.gz XJJRGIUPPZLHAH-UHFFFAOYSA-N 0 0 445.519 -0.326 20 0 IBADRN CCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000521329757 1072439108 /nfs/dbraw/zinc/43/91/08/1072439108.db2.gz MLTOCFPUABRPRB-UHFFFAOYSA-N 0 0 431.492 -0.715 20 0 IBADRN CCN1C[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1=O ZINC000521329877 1072439124 /nfs/dbraw/zinc/43/91/24/1072439124.db2.gz RHGXUCQJLUEVNT-CYBMUJFWSA-N 0 0 436.490 -0.281 20 0 IBADRN CCN1C[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1=O ZINC000521329878 1072439069 /nfs/dbraw/zinc/43/90/69/1072439069.db2.gz RHGXUCQJLUEVNT-ZDUSSCGKSA-N 0 0 436.490 -0.281 20 0 IBADRN CCN(C1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1)S(C)(=O)=O ZINC000521330289 1072434972 /nfs/dbraw/zinc/43/49/72/1072434972.db2.gz HJLUHYLMXLAKHB-UHFFFAOYSA-N 0 0 425.511 -0.538 20 0 IBADRN O=C(CN1CCCCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000521330451 1072439165 /nfs/dbraw/zinc/43/91/65/1072439165.db2.gz LWRZAUYDOLOWHA-UHFFFAOYSA-N 0 0 436.490 -0.137 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCN(C(=O)c4ccccc4F)CC3)c2c(=O)n(C)c1=O ZINC000521338731 1072438037 /nfs/dbraw/zinc/43/80/37/1072438037.db2.gz QPTRMQKWUKQNES-UHFFFAOYSA-N 0 0 442.451 -0.053 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCN(C(=O)c3ccccc3F)CC1)c(=O)n2C ZINC000521340060 1072438007 /nfs/dbraw/zinc/43/80/07/1072438007.db2.gz BHYRMTFPGJAVED-UHFFFAOYSA-N 0 0 442.451 -0.053 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)NCCOc1ccc3c(c1)OCO3)c2=O ZINC000521345786 1072440861 /nfs/dbraw/zinc/44/08/61/1072440861.db2.gz SUSBVVOHGCIASP-UHFFFAOYSA-N 0 0 429.389 -0.310 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N(CCC(N)=O)c1ccccc1OC)c2=O ZINC000521346207 1072440855 /nfs/dbraw/zinc/44/08/55/1072440855.db2.gz KSHMGVXOQXJVDI-UHFFFAOYSA-N 0 0 442.432 -0.317 20 0 IBADRN O=C(CNc1cc(N2CCCS2(=O)=O)ccc1F)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000521347457 1072440746 /nfs/dbraw/zinc/44/07/46/1072440746.db2.gz QSXUJTFRHRJUDD-LLVKDONJSA-N 0 0 448.498 -0.210 20 0 IBADRN O=C(CNc1cc(N2CCCS2(=O)=O)ccc1F)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000521347460 1072441316 /nfs/dbraw/zinc/44/13/16/1072441316.db2.gz QSXUJTFRHRJUDD-NSHDSACASA-N 0 0 448.498 -0.210 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000521348864 1072441360 /nfs/dbraw/zinc/44/13/60/1072441360.db2.gz VLFMGFLGYIZGRB-GOSISDBHSA-N 0 0 447.536 -0.571 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000521348865 1072441342 /nfs/dbraw/zinc/44/13/42/1072441342.db2.gz VLFMGFLGYIZGRB-SFHVURJKSA-N 0 0 447.536 -0.571 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000521349886 1072441232 /nfs/dbraw/zinc/44/12/32/1072441232.db2.gz BATBWFPUYMSKLQ-UHFFFAOYSA-N 0 0 444.539 -0.347 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000521350215 1072441385 /nfs/dbraw/zinc/44/13/85/1072441385.db2.gz QOSDPBNUZPLVNP-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CCN(c4ccccc4)C3=O)cnc2n(C)c1=O ZINC000521355541 1072439637 /nfs/dbraw/zinc/43/96/37/1072439637.db2.gz STQCFDTZFXDXBV-HNNXBMFYSA-N 0 0 436.428 -0.508 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCN(c4ccccc4)C3=O)cnc2n(C)c1=O ZINC000521355542 1072439679 /nfs/dbraw/zinc/43/96/79/1072439679.db2.gz STQCFDTZFXDXBV-OAHLLOKOSA-N 0 0 436.428 -0.508 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CCN3C(=O)CCC3=O)CC2)cc1 ZINC000521360952 1072440862 /nfs/dbraw/zinc/44/08/62/1072440862.db2.gz QCUYIECECYSXEI-UHFFFAOYSA-N 0 0 436.534 -0.043 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000521372261 1072442865 /nfs/dbraw/zinc/44/28/65/1072442865.db2.gz DNWHABUTOVJISV-GOSISDBHSA-N 0 0 438.550 -0.294 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000521372262 1072442880 /nfs/dbraw/zinc/44/28/80/1072442880.db2.gz DNWHABUTOVJISV-SFHVURJKSA-N 0 0 438.550 -0.294 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000521372517 1072442861 /nfs/dbraw/zinc/44/28/61/1072442861.db2.gz VAWZCCHHLJROGU-CYBMUJFWSA-N 0 0 444.535 -0.764 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000521372518 1072442873 /nfs/dbraw/zinc/44/28/73/1072442873.db2.gz VAWZCCHHLJROGU-ZDUSSCGKSA-N 0 0 444.535 -0.764 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1Cl ZINC000521375626 1072443479 /nfs/dbraw/zinc/44/34/79/1072443479.db2.gz XBRQHPXLSKHLSV-UHFFFAOYSA-N 0 0 445.929 -0.021 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000521377001 1072443500 /nfs/dbraw/zinc/44/35/00/1072443500.db2.gz XLTLXPMNHKOPOO-GFCCVEGCSA-N 0 0 441.553 -0.899 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000521377002 1072443468 /nfs/dbraw/zinc/44/34/68/1072443468.db2.gz XLTLXPMNHKOPOO-LBPRGKRZSA-N 0 0 441.553 -0.899 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H](c3cccc(F)c3)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000521378221 1072443558 /nfs/dbraw/zinc/44/35/58/1072443558.db2.gz WUDASHQLXJJSBG-INIZCTEOSA-N 0 0 444.467 -0.238 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H](c3cccc(F)c3)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000521378222 1072443564 /nfs/dbraw/zinc/44/35/64/1072443564.db2.gz WUDASHQLXJJSBG-MRXNPFEDSA-N 0 0 444.467 -0.238 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000521380503 1072444104 /nfs/dbraw/zinc/44/41/04/1072444104.db2.gz XYRDUJKLYHHRNY-UHFFFAOYSA-N 0 0 431.449 -0.528 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000521383472 1072445217 /nfs/dbraw/zinc/44/52/17/1072445217.db2.gz WWFHRZCEYAHHDG-UHFFFAOYSA-N 0 0 441.510 -0.333 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)CCCC(=O)N2)N[C@@H]1CCS(=O)(=O)C1 ZINC000521385938 1072445191 /nfs/dbraw/zinc/44/51/91/1072445191.db2.gz QUKZELGMMMXHLN-CYBMUJFWSA-N 0 0 429.520 -0.067 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)CCCC(=O)N2)N[C@H]1CCS(=O)(=O)C1 ZINC000521385939 1072445210 /nfs/dbraw/zinc/44/52/10/1072445210.db2.gz QUKZELGMMMXHLN-ZDUSSCGKSA-N 0 0 429.520 -0.067 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)NCC(F)(F)F)CC2)C[C@H](C)O1 ZINC000521405564 1072444614 /nfs/dbraw/zinc/44/46/14/1072444614.db2.gz QHPYIMABBPKHGQ-RYUDHWBXSA-N 0 0 445.464 -0.654 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)NCC(F)(F)F)CC2)C[C@H](C)O1 ZINC000521405570 1072444582 /nfs/dbraw/zinc/44/45/82/1072444582.db2.gz QHPYIMABBPKHGQ-TXEJJXNPSA-N 0 0 445.464 -0.654 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)NCC(F)(F)F)CC2)C[C@@H](C)O1 ZINC000521405572 1072445214 /nfs/dbraw/zinc/44/52/14/1072445214.db2.gz QHPYIMABBPKHGQ-VXGBXAGGSA-N 0 0 445.464 -0.654 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000521416043 1072446335 /nfs/dbraw/zinc/44/63/35/1072446335.db2.gz APXPVOJHMJXCSD-UHFFFAOYSA-N 0 0 434.540 -0.938 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1)N1CCN(c2ccccn2)CC1 ZINC000521416185 1072446402 /nfs/dbraw/zinc/44/64/02/1072446402.db2.gz IOORLNYJTXPOLQ-JOCHJYFZSA-N 0 0 442.520 -0.635 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@]2(CNC(=O)C2)C1)N1CCN(c2ccccn2)CC1 ZINC000521416186 1072446434 /nfs/dbraw/zinc/44/64/34/1072446434.db2.gz IOORLNYJTXPOLQ-QFIPXVFZSA-N 0 0 442.520 -0.635 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)c1cnn(C)c1 ZINC000521417036 1072446376 /nfs/dbraw/zinc/44/63/76/1072446376.db2.gz LFNXUOJWFQKQCY-HNNXBMFYSA-N 0 0 428.497 -0.427 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)c1cnn(C)c1 ZINC000521417037 1072446288 /nfs/dbraw/zinc/44/62/88/1072446288.db2.gz LFNXUOJWFQKQCY-OAHLLOKOSA-N 0 0 428.497 -0.427 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(C(=O)Cc3ccccc3F)CC2)CC1 ZINC000521428579 1072447600 /nfs/dbraw/zinc/44/76/00/1072447600.db2.gz FZPMUMPUXDOTJV-UHFFFAOYSA-N 0 0 433.528 -0.255 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccccc2F)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000521430112 1072447612 /nfs/dbraw/zinc/44/76/12/1072447612.db2.gz OQBIWOYUQWIQEA-HNNXBMFYSA-N 0 0 440.497 -0.475 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccccc2F)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000521430113 1072447584 /nfs/dbraw/zinc/44/75/84/1072447584.db2.gz OQBIWOYUQWIQEA-OAHLLOKOSA-N 0 0 440.497 -0.475 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCNS(=O)(=O)c3ccccc3)cnc2n(C)c1=O ZINC000521432498 1072447623 /nfs/dbraw/zinc/44/76/23/1072447623.db2.gz IBBYSTAXIPKTDA-UHFFFAOYSA-N 0 0 432.462 -0.268 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000521438923 1072447639 /nfs/dbraw/zinc/44/76/39/1072447639.db2.gz QDENYUOWHJQRPY-UHFFFAOYSA-N 0 0 442.480 -0.801 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000521439847 1072446396 /nfs/dbraw/zinc/44/63/96/1072446396.db2.gz XTQGDCAUTKRYAR-CTNGQTDRSA-N 0 0 443.548 -0.462 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000521439848 1072446322 /nfs/dbraw/zinc/44/63/22/1072446322.db2.gz XTQGDCAUTKRYAR-FPOVZHCZSA-N 0 0 443.548 -0.462 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000521439849 1072446444 /nfs/dbraw/zinc/44/64/44/1072446444.db2.gz XTQGDCAUTKRYAR-PZJWPPBQSA-N 0 0 443.548 -0.462 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000521439850 1072446299 /nfs/dbraw/zinc/44/62/99/1072446299.db2.gz XTQGDCAUTKRYAR-TZIWHRDSSA-N 0 0 443.548 -0.462 20 0 IBADRN O=C(CCC(=O)N1CCS(=O)CC1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000521443124 1072447014 /nfs/dbraw/zinc/44/70/14/1072447014.db2.gz JLRPFKRRLBLHQG-UHFFFAOYSA-N 0 0 427.548 -0.109 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(-n3cnnn3)cc2Cl)CC1)N1CCOCC1 ZINC000521445980 1072684056 /nfs/dbraw/zinc/68/40/56/1072684056.db2.gz MKALFRUERXNBAN-UHFFFAOYSA-N 0 0 433.856 -0.541 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NCC(=O)N3CCC[C@H]3C(=O)NC)cc(C3CC3)nc21 ZINC000521450876 1072448426 /nfs/dbraw/zinc/44/84/26/1072448426.db2.gz LXUVBDAISAHFKE-AWEZNQCLSA-N 0 0 442.476 -0.139 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NCC(=O)N3CCC[C@@H]3C(=O)NC)cc(C3CC3)nc21 ZINC000521450877 1072448397 /nfs/dbraw/zinc/44/83/97/1072448397.db2.gz LXUVBDAISAHFKE-CQSZACIVSA-N 0 0 442.476 -0.139 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000521459786 1072448268 /nfs/dbraw/zinc/44/82/68/1072448268.db2.gz NWJSLZMLKLNCNG-CABCVRRESA-N 0 0 430.461 -0.127 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000521459787 1072448433 /nfs/dbraw/zinc/44/84/33/1072448433.db2.gz NWJSLZMLKLNCNG-GJZGRUSLSA-N 0 0 430.461 -0.127 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000521459788 1072448420 /nfs/dbraw/zinc/44/84/20/1072448420.db2.gz NWJSLZMLKLNCNG-HUUCEWRRSA-N 0 0 430.461 -0.127 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000521459789 1072448238 /nfs/dbraw/zinc/44/82/38/1072448238.db2.gz NWJSLZMLKLNCNG-LSDHHAIUSA-N 0 0 430.461 -0.127 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000521463909 1072448925 /nfs/dbraw/zinc/44/89/25/1072448925.db2.gz RYCRIVJWPVILOV-FQEVSTJZSA-N 0 0 444.532 -0.044 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000521463911 1072448931 /nfs/dbraw/zinc/44/89/31/1072448931.db2.gz RYCRIVJWPVILOV-HXUWFJFHSA-N 0 0 444.532 -0.044 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(C(=O)NC4CC4)CCC3)CC2)C[C@H](C)O1 ZINC000521468019 1072448958 /nfs/dbraw/zinc/44/89/58/1072448958.db2.gz CKDGTIVOJXEYNZ-GASCZTMLSA-N 0 0 428.555 -0.067 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(C(=O)NC4CC4)CCC3)CC2)C[C@H](C)O1 ZINC000521468021 1072448939 /nfs/dbraw/zinc/44/89/39/1072448939.db2.gz CKDGTIVOJXEYNZ-GJZGRUSLSA-N 0 0 428.555 -0.067 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(C(=O)NC4CC4)CCC3)CC2)C[C@@H](C)O1 ZINC000521468022 1072448912 /nfs/dbraw/zinc/44/89/12/1072448912.db2.gz CKDGTIVOJXEYNZ-HUUCEWRRSA-N 0 0 428.555 -0.067 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000521468370 1072448893 /nfs/dbraw/zinc/44/88/93/1072448893.db2.gz QDGCRJBOFRMQSH-GFCCVEGCSA-N 0 0 437.396 -0.126 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000521468371 1072448974 /nfs/dbraw/zinc/44/89/74/1072448974.db2.gz QDGCRJBOFRMQSH-LBPRGKRZSA-N 0 0 437.396 -0.126 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000521469288 1072449524 /nfs/dbraw/zinc/44/95/24/1072449524.db2.gz DAJKNMVBWNFRTD-UHFFFAOYSA-N 0 0 437.522 -0.559 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000521473662 1072449575 /nfs/dbraw/zinc/44/95/75/1072449575.db2.gz MBOQYKBYVMRSBQ-UHFFFAOYSA-N 0 0 434.434 -0.936 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000521473714 1072449497 /nfs/dbraw/zinc/44/94/97/1072449497.db2.gz PEMDOEQLOKKENX-LLVKDONJSA-N 0 0 428.492 -0.464 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000521473715 1072449485 /nfs/dbraw/zinc/44/94/85/1072449485.db2.gz PEMDOEQLOKKENX-NSHDSACASA-N 0 0 428.492 -0.464 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)s1 ZINC000521477872 1072448312 /nfs/dbraw/zinc/44/83/12/1072448312.db2.gz HEEGIZNXTWTHCS-UHFFFAOYSA-N 0 0 444.516 -0.232 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1)c1ccccc1 ZINC000521479010 1072448387 /nfs/dbraw/zinc/44/83/87/1072448387.db2.gz NVODIZTYGAKCGY-UHFFFAOYSA-N 0 0 437.565 -0.596 20 0 IBADRN O=S(=O)(Cc1ccccc1)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000521479062 1072448336 /nfs/dbraw/zinc/44/83/36/1072448336.db2.gz QJIQPUCIKCFVQZ-UHFFFAOYSA-N 0 0 437.565 -0.891 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000521479111 1072448413 /nfs/dbraw/zinc/44/84/13/1072448413.db2.gz UZBPFVJCOCIEMW-UHFFFAOYSA-N 0 0 433.508 -0.307 20 0 IBADRN CCOC(=O)[C@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1ccccc1 ZINC000521485436 1072450133 /nfs/dbraw/zinc/45/01/33/1072450133.db2.gz XNIASEVBIRVJFH-HNNXBMFYSA-N 0 0 427.461 -0.028 20 0 IBADRN CCOC(=O)[C@@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1ccccc1 ZINC000521485437 1072450163 /nfs/dbraw/zinc/45/01/63/1072450163.db2.gz XNIASEVBIRVJFH-OAHLLOKOSA-N 0 0 427.461 -0.028 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)no1 ZINC000521493213 1072449408 /nfs/dbraw/zinc/44/94/08/1072449408.db2.gz TVROSJFAZGWLDT-INIZCTEOSA-N 0 0 429.481 -0.327 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)no1 ZINC000521493214 1072449418 /nfs/dbraw/zinc/44/94/18/1072449418.db2.gz TVROSJFAZGWLDT-MRXNPFEDSA-N 0 0 429.481 -0.327 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NCCNS(=O)(=O)N(C)C)cc(C(C)C)nc21 ZINC000521497889 1072624528 /nfs/dbraw/zinc/62/45/28/1072624528.db2.gz SJFCELGIGLDSPM-UHFFFAOYSA-N 0 0 426.499 -0.234 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000521499285 1072450107 /nfs/dbraw/zinc/45/01/07/1072450107.db2.gz IWPZQSUYOKSIPO-UHFFFAOYSA-N 0 0 439.538 -0.063 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)CC2)nc(C)n1 ZINC000521501255 1072450858 /nfs/dbraw/zinc/45/08/58/1072450858.db2.gz CYNWHHVVOJDNRA-GOSISDBHSA-N 0 0 434.541 -0.670 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)CC2)nc(C)n1 ZINC000521501256 1072450660 /nfs/dbraw/zinc/45/06/60/1072450660.db2.gz CYNWHHVVOJDNRA-SFHVURJKSA-N 0 0 434.541 -0.670 20 0 IBADRN O=C(Cn1cnc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)n1)N1CCCCCC1 ZINC000521502395 1072450704 /nfs/dbraw/zinc/45/07/04/1072450704.db2.gz KPWOXZHPGHIQNV-KRWDZBQOSA-N 0 0 447.540 -0.200 20 0 IBADRN O=C(Cn1cnc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)n1)N1CCCCCC1 ZINC000521502396 1072450803 /nfs/dbraw/zinc/45/08/03/1072450803.db2.gz KPWOXZHPGHIQNV-QGZVFWFLSA-N 0 0 447.540 -0.200 20 0 IBADRN CCc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1S(N)(=O)=O ZINC000521505866 1072450167 /nfs/dbraw/zinc/45/01/67/1072450167.db2.gz SFMNBWISYKBDNV-UHFFFAOYSA-N 0 0 434.478 -0.328 20 0 IBADRN O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000521511891 1072450175 /nfs/dbraw/zinc/45/01/75/1072450175.db2.gz FZTLKWCHOYRJIN-ARFHVFGLSA-N 0 0 438.506 -0.278 20 0 IBADRN O=C(N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000521511898 1072450048 /nfs/dbraw/zinc/45/00/48/1072450048.db2.gz FZTLKWCHOYRJIN-BZUAXINKSA-N 0 0 438.506 -0.278 20 0 IBADRN O=C(N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000521511900 1072450127 /nfs/dbraw/zinc/45/01/27/1072450127.db2.gz FZTLKWCHOYRJIN-OAGGEKHMSA-N 0 0 438.506 -0.278 20 0 IBADRN O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000521511911 1072450183 /nfs/dbraw/zinc/45/01/83/1072450183.db2.gz FZTLKWCHOYRJIN-XHSDSOJGSA-N 0 0 438.506 -0.278 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2C(=O)c3ccccc3C2=O)c1 ZINC000521512919 1072450040 /nfs/dbraw/zinc/45/00/40/1072450040.db2.gz RWMZIFPHVDWWFU-UHFFFAOYSA-N 0 0 430.442 -0.054 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)NCc2ccc(OC)cc2)c1 ZINC000521513333 1072450119 /nfs/dbraw/zinc/45/01/19/1072450119.db2.gz OBIRHEJSNDWGPP-UHFFFAOYSA-N 0 0 434.474 -0.026 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN(C)C(=O)[C@@H](C)n2cccn2)c1 ZINC000521515159 1072450067 /nfs/dbraw/zinc/45/00/67/1072450067.db2.gz SQWHYWKIXKLJRX-CYBMUJFWSA-N 0 0 436.494 -0.435 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN(C)C(=O)[C@H](C)n2cccn2)c1 ZINC000521515160 1072450818 /nfs/dbraw/zinc/45/08/18/1072450818.db2.gz SQWHYWKIXKLJRX-ZDUSSCGKSA-N 0 0 436.494 -0.435 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c1 ZINC000521519754 1072450739 /nfs/dbraw/zinc/45/07/39/1072450739.db2.gz GNDKJNRHPALNAR-UHFFFAOYSA-N 0 0 440.460 -0.489 20 0 IBADRN CNC(=O)CCN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000521520126 1072450696 /nfs/dbraw/zinc/45/06/96/1072450696.db2.gz PMIVGNDBPCITRO-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)CN1CCOCC1 ZINC000521522215 1072450677 /nfs/dbraw/zinc/45/06/77/1072450677.db2.gz XQLLQLAYIXVTPG-INIZCTEOSA-N 0 0 447.536 -0.142 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)CN1CCOCC1 ZINC000521522216 1072450733 /nfs/dbraw/zinc/45/07/33/1072450733.db2.gz XQLLQLAYIXVTPG-MRXNPFEDSA-N 0 0 447.536 -0.142 20 0 IBADRN O=C(NCCOC1CCCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000521522624 1072451418 /nfs/dbraw/zinc/45/14/18/1072451418.db2.gz CJLYRLDCFPDZCQ-UHFFFAOYSA-N 0 0 438.506 -0.179 20 0 IBADRN CN(CCN1CCOCC1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000521523132 1072451520 /nfs/dbraw/zinc/45/15/20/1072451520.db2.gz OUJFXKNCUQDCJJ-UHFFFAOYSA-N 0 0 440.570 -0.493 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000521525979 1072451506 /nfs/dbraw/zinc/45/15/06/1072451506.db2.gz SGGGSTLMDKCLHN-UHFFFAOYSA-N 0 0 446.489 -0.949 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CC1)N1CCOCC1 ZINC000521531568 1072450790 /nfs/dbraw/zinc/45/07/90/1072450790.db2.gz DRGQMSMXGBJTAU-GOSISDBHSA-N 0 0 431.493 -0.659 20 0 IBADRN O=C(CN1CCN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)CC1)N1CCOCC1 ZINC000521531569 1072450755 /nfs/dbraw/zinc/45/07/55/1072450755.db2.gz DRGQMSMXGBJTAU-SFHVURJKSA-N 0 0 431.493 -0.659 20 0 IBADRN O=C(COCC(=O)N1CCN(c2cnccn2)CC1)N1CCN(c2cnccn2)CC1 ZINC000521539623 1072451973 /nfs/dbraw/zinc/45/19/73/1072451973.db2.gz PRICCRKCZKKVHO-UHFFFAOYSA-N 0 0 426.481 -0.719 20 0 IBADRN COC(=O)CSCCNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000521540509 1072451450 /nfs/dbraw/zinc/45/14/50/1072451450.db2.gz IQEKUHCMBHXORR-KBPBESRZSA-N 0 0 438.572 -0.426 20 0 IBADRN COC(=O)CSCCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000521540510 1072452071 /nfs/dbraw/zinc/45/20/71/1072452071.db2.gz IQEKUHCMBHXORR-OKILXGFUSA-N 0 0 438.572 -0.426 20 0 IBADRN COC(=O)CSCCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000521540511 1072451997 /nfs/dbraw/zinc/45/19/97/1072451997.db2.gz IQEKUHCMBHXORR-ZIAGYGMSSA-N 0 0 438.572 -0.426 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000521548704 1072451472 /nfs/dbraw/zinc/45/14/72/1072451472.db2.gz YYMGXTOYLLAFNP-AWEZNQCLSA-N 0 0 440.522 -0.072 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000521548705 1072451467 /nfs/dbraw/zinc/45/14/67/1072451467.db2.gz YYMGXTOYLLAFNP-CQSZACIVSA-N 0 0 440.522 -0.072 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](C(N)=O)C3)cc2)C[C@H](C)O1 ZINC000521550627 1072451446 /nfs/dbraw/zinc/45/14/46/1072451446.db2.gz FHYOASGWLHSNDP-HZSPNIEDSA-N 0 0 438.506 -0.243 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](C(N)=O)C3)cc2)C[C@@H](C)O1 ZINC000521550629 1072451485 /nfs/dbraw/zinc/45/14/85/1072451485.db2.gz FHYOASGWLHSNDP-MCIONIFRSA-N 0 0 438.506 -0.243 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](C(N)=O)C3)cc2)C[C@@H](C)O1 ZINC000521550630 1072451542 /nfs/dbraw/zinc/45/15/42/1072451542.db2.gz FHYOASGWLHSNDP-MGPQQGTHSA-N 0 0 438.506 -0.243 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](C(N)=O)C3)cc2)C[C@H](C)O1 ZINC000521550631 1072451457 /nfs/dbraw/zinc/45/14/57/1072451457.db2.gz FHYOASGWLHSNDP-MJBXVCDLSA-N 0 0 438.506 -0.243 20 0 IBADRN COc1ccc(NC(=O)c2cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c2)cn1 ZINC000521551127 1072451439 /nfs/dbraw/zinc/45/14/39/1072451439.db2.gz HOZLNBAKEZQLPX-UHFFFAOYSA-N 0 0 435.462 -0.054 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(F)c(F)c1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000521551744 1072451536 /nfs/dbraw/zinc/45/15/36/1072451536.db2.gz KDYUPULVCDBTHE-CYBMUJFWSA-N 0 0 449.414 -0.209 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)c(F)c1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000521551750 1072451430 /nfs/dbraw/zinc/45/14/30/1072451430.db2.gz KDYUPULVCDBTHE-ZDUSSCGKSA-N 0 0 449.414 -0.209 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)nn1 ZINC000521556507 1072452112 /nfs/dbraw/zinc/45/21/12/1072452112.db2.gz XMJMRCFQFOVENL-KRWDZBQOSA-N 0 0 428.449 -0.367 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)nn1 ZINC000521556512 1072452095 /nfs/dbraw/zinc/45/20/95/1072452095.db2.gz XMJMRCFQFOVENL-QGZVFWFLSA-N 0 0 428.449 -0.367 20 0 IBADRN Cn1c(C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000521565184 1072452600 /nfs/dbraw/zinc/45/26/00/1072452600.db2.gz WHKCDRZCUIWURH-UHFFFAOYSA-N 0 0 438.488 -0.346 20 0 IBADRN Cn1c2ncn(CC(=O)NCCOc3ccc(S(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000521571228 1072453156 /nfs/dbraw/zinc/45/31/56/1072453156.db2.gz KKXPGBPRZQHYLW-UHFFFAOYSA-N 0 0 435.462 -0.968 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CCSc2nnnn2C)c1 ZINC000521572933 1072453231 /nfs/dbraw/zinc/45/32/31/1072453231.db2.gz XPQUQRVKESWHTN-JTQLQIEISA-N 0 0 427.512 -0.256 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CCSc2nnnn2C)c1 ZINC000521572934 1072453323 /nfs/dbraw/zinc/45/33/23/1072453323.db2.gz XPQUQRVKESWHTN-SNVBAGLBSA-N 0 0 427.512 -0.256 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)nc2n(C)c1=O ZINC000521573614 1072453206 /nfs/dbraw/zinc/45/32/06/1072453206.db2.gz JHXGOFNERLCLCM-UHFFFAOYSA-N 0 0 432.458 -0.156 20 0 IBADRN CN(C(=O)CN1C(=O)c2ccccc2C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000521574283 1072453358 /nfs/dbraw/zinc/45/33/58/1072453358.db2.gz DRWIKTRIRUFLNV-UHFFFAOYSA-N 0 0 441.532 -0.021 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000521574543 1072453216 /nfs/dbraw/zinc/45/32/16/1072453216.db2.gz UNKOZPJXSULYLQ-UHFFFAOYSA-N 0 0 428.536 -0.212 20 0 IBADRN CN(C(=O)Cc1ccc(-n2cnnn2)cc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000521575036 1072453274 /nfs/dbraw/zinc/45/32/74/1072453274.db2.gz MMCUGDFUVDMNPX-UHFFFAOYSA-N 0 0 440.552 -0.098 20 0 IBADRN CN(C(=O)c1ccc(S(N)(=O)=O)s1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000521575044 1072453242 /nfs/dbraw/zinc/45/32/42/1072453242.db2.gz MVJUHLOCFLBBJK-UHFFFAOYSA-N 0 0 443.595 -0.294 20 0 IBADRN CN(C(=O)c1csc(S(N)(=O)=O)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000521575727 1072453294 /nfs/dbraw/zinc/45/32/94/1072453294.db2.gz ZDDLRIMMYJFPLV-UHFFFAOYSA-N 0 0 443.595 -0.294 20 0 IBADRN COc1ccc(CC(=O)NCCNC(=O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000521580957 1072453316 /nfs/dbraw/zinc/45/33/16/1072453316.db2.gz PPICZSUNXXHIRM-UHFFFAOYSA-N 0 0 425.507 -0.099 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4nccn4C(F)F)CC3)c2c(=O)n(C)c1=O ZINC000521581333 1072453340 /nfs/dbraw/zinc/45/33/40/1072453340.db2.gz GXDCCVYDVRGAKB-UHFFFAOYSA-N 0 0 436.423 -0.630 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000521582478 1072453137 /nfs/dbraw/zinc/45/31/37/1072453137.db2.gz OKQHRMGNHFHFTM-LLVKDONJSA-N 0 0 440.503 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000521582482 1072453333 /nfs/dbraw/zinc/45/33/33/1072453333.db2.gz OKQHRMGNHFHFTM-NSHDSACASA-N 0 0 440.503 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCC(=O)NCC(F)(F)F)c1 ZINC000521584326 1072453187 /nfs/dbraw/zinc/45/31/87/1072453187.db2.gz JLCGFWVSJRBYRE-SECBINFHSA-N 0 0 439.416 -0.101 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCC(=O)NCC(F)(F)F)c1 ZINC000521584330 1072453307 /nfs/dbraw/zinc/45/33/07/1072453307.db2.gz JLCGFWVSJRBYRE-VIFPVBQESA-N 0 0 439.416 -0.101 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000521584841 1072452787 /nfs/dbraw/zinc/45/27/87/1072452787.db2.gz PAFACHFOVACBED-UHFFFAOYSA-N 0 0 425.467 -0.629 20 0 IBADRN COCCN([C@H](C)C(N)=O)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000521584960 1072452665 /nfs/dbraw/zinc/45/26/65/1072452665.db2.gz URVYBVNVLXVLEP-BMLIUANNSA-N 0 0 428.467 -0.739 20 0 IBADRN COCCN([C@@H](C)C(N)=O)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000521584962 1072452620 /nfs/dbraw/zinc/45/26/20/1072452620.db2.gz URVYBVNVLXVLEP-BTDLBPIBSA-N 0 0 428.467 -0.739 20 0 IBADRN COCCN([C@@H](C)C(N)=O)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000521584964 1072452689 /nfs/dbraw/zinc/45/26/89/1072452689.db2.gz URVYBVNVLXVLEP-DYZYQPBXSA-N 0 0 428.467 -0.739 20 0 IBADRN COCCN([C@H](C)C(N)=O)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000521584965 1072452701 /nfs/dbraw/zinc/45/27/01/1072452701.db2.gz URVYBVNVLXVLEP-QGHHPUGFSA-N 0 0 428.467 -0.739 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000521585908 1072453260 /nfs/dbraw/zinc/45/32/60/1072453260.db2.gz FQAWMAISMYXUQP-MRXNPFEDSA-N 0 0 445.538 -0.362 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@@H](C)C(N)=O ZINC000521586012 1072453350 /nfs/dbraw/zinc/45/33/50/1072453350.db2.gz LFBLWYBHQAIRME-AWEZNQCLSA-N 0 0 430.502 -0.519 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@H](C)C(N)=O ZINC000521586013 1072453378 /nfs/dbraw/zinc/45/33/78/1072453378.db2.gz LFBLWYBHQAIRME-CQSZACIVSA-N 0 0 430.502 -0.519 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000521586281 1072453171 /nfs/dbraw/zinc/45/31/71/1072453171.db2.gz PMDKXJNGXYLZIR-UHFFFAOYSA-N 0 0 438.506 -0.399 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(CCCOCC(F)(F)F)CC2)cn1 ZINC000521587818 1072454308 /nfs/dbraw/zinc/45/43/08/1072454308.db2.gz UMEQGTHALQHELU-UHFFFAOYSA-N 0 0 427.449 -0.188 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)C(C)C)cc1 ZINC000521590281 1072454463 /nfs/dbraw/zinc/45/44/63/1072454463.db2.gz NIETWQBCBIUFLT-CYBMUJFWSA-N 0 0 428.511 -0.173 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000521599440 1072453835 /nfs/dbraw/zinc/45/38/35/1072453835.db2.gz VPCWUKWNYXBBJV-CVEARBPZSA-N 0 0 431.536 -0.785 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000521599441 1072453680 /nfs/dbraw/zinc/45/36/80/1072453680.db2.gz VPCWUKWNYXBBJV-HOTGVXAUSA-N 0 0 431.536 -0.785 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000521599442 1072453781 /nfs/dbraw/zinc/45/37/81/1072453781.db2.gz VPCWUKWNYXBBJV-HZPDHXFCSA-N 0 0 431.536 -0.785 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000521599443 1072453806 /nfs/dbraw/zinc/45/38/06/1072453806.db2.gz VPCWUKWNYXBBJV-JKSUJKDBSA-N 0 0 431.536 -0.785 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000521602440 1072453733 /nfs/dbraw/zinc/45/37/33/1072453733.db2.gz QBCKNRCSCJEJNG-CYBMUJFWSA-N 0 0 444.435 -0.481 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000521602444 1072453688 /nfs/dbraw/zinc/45/36/88/1072453688.db2.gz QBCKNRCSCJEJNG-ZDUSSCGKSA-N 0 0 444.435 -0.481 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000521603005 1072453757 /nfs/dbraw/zinc/45/37/57/1072453757.db2.gz KSNKHIJAKVMCQS-QLFBSQMISA-N 0 0 435.568 -0.800 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000521603007 1072453767 /nfs/dbraw/zinc/45/37/67/1072453767.db2.gz KSNKHIJAKVMCQS-RBSFLKMASA-N 0 0 435.568 -0.800 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000521603008 1072453813 /nfs/dbraw/zinc/45/38/13/1072453813.db2.gz KSNKHIJAKVMCQS-RRFJBIMHSA-N 0 0 435.568 -0.800 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000521603010 1072453819 /nfs/dbraw/zinc/45/38/19/1072453819.db2.gz KSNKHIJAKVMCQS-SOUVJXGZSA-N 0 0 435.568 -0.800 20 0 IBADRN CN(C)c1nc(N)nc(COc2cccc(NC(=O)Cn3ccc(=O)n(C)c3=O)c2)n1 ZINC000521604463 1072453721 /nfs/dbraw/zinc/45/37/21/1072453721.db2.gz YHGRNIJUJMGZIT-UHFFFAOYSA-N 0 0 426.437 -0.402 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCNC(=O)[C@H]2C)c(=O)[nH]c1=O ZINC000521615842 1072455068 /nfs/dbraw/zinc/45/50/68/1072455068.db2.gz PPLMRMLFYKEZPW-GFCCVEGCSA-N 0 0 438.485 -0.952 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCNC(=O)[C@@H]2C)c(=O)[nH]c1=O ZINC000521615861 1072455609 /nfs/dbraw/zinc/45/56/09/1072455609.db2.gz PPLMRMLFYKEZPW-LBPRGKRZSA-N 0 0 438.485 -0.952 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCNC(=O)C2CC2)cc1S(=O)(=O)N(C)C ZINC000521623059 1072454973 /nfs/dbraw/zinc/45/49/73/1072454973.db2.gz GYDHLLONLLGCJO-UHFFFAOYSA-N 0 0 426.495 -0.084 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](N3CCNC3=O)C2)c1 ZINC000521626599 1072455568 /nfs/dbraw/zinc/45/55/68/1072455568.db2.gz USDFZRDLLGSFLX-HNNXBMFYSA-N 0 0 439.538 -0.105 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](N3CCNC3=O)C2)c1 ZINC000521626601 1072455434 /nfs/dbraw/zinc/45/54/34/1072455434.db2.gz USDFZRDLLGSFLX-OAHLLOKOSA-N 0 0 439.538 -0.105 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCC[C@H](C)C3)cn2C)CC1 ZINC000521646047 1072456042 /nfs/dbraw/zinc/45/60/42/1072456042.db2.gz JXDQPYJSOYWRDO-HNNXBMFYSA-N 0 0 425.555 -0.051 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCC[C@@H](C)C3)cn2C)CC1 ZINC000521646048 1072456242 /nfs/dbraw/zinc/45/62/42/1072456242.db2.gz JXDQPYJSOYWRDO-OAHLLOKOSA-N 0 0 425.555 -0.051 20 0 IBADRN NC(=O)c1ccc(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)nc1 ZINC000521646820 1072456192 /nfs/dbraw/zinc/45/61/92/1072456192.db2.gz SLUOUCOSNMQFCI-CYBMUJFWSA-N 0 0 438.487 -0.102 20 0 IBADRN NC(=O)c1ccc(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)nc1 ZINC000521646821 1072456236 /nfs/dbraw/zinc/45/62/36/1072456236.db2.gz SLUOUCOSNMQFCI-ZDUSSCGKSA-N 0 0 438.487 -0.102 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000521648572 1072456211 /nfs/dbraw/zinc/45/62/11/1072456211.db2.gz GOXXBIOKGZWNIE-UHFFFAOYSA-N 0 0 447.511 -0.045 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1 ZINC000521648915 1072456187 /nfs/dbraw/zinc/45/61/87/1072456187.db2.gz UKMFLEQZDOIVKT-JTQLQIEISA-N 0 0 438.432 -0.436 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1 ZINC000521648916 1072456151 /nfs/dbraw/zinc/45/61/51/1072456151.db2.gz UKMFLEQZDOIVKT-SNVBAGLBSA-N 0 0 438.432 -0.436 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000521651150 1072456223 /nfs/dbraw/zinc/45/62/23/1072456223.db2.gz TZFOGCUTJAFCML-UHFFFAOYSA-N 0 0 425.497 -0.279 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000521652165 1072456132 /nfs/dbraw/zinc/45/61/32/1072456132.db2.gz ZHFQPSNAICDYNB-AWEZNQCLSA-N 0 0 435.524 -0.821 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000521652166 1072456002 /nfs/dbraw/zinc/45/60/02/1072456002.db2.gz ZHFQPSNAICDYNB-CQSZACIVSA-N 0 0 435.524 -0.821 20 0 IBADRN CCN1CCN(CC(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2C)C(=O)C1=O ZINC000521653914 1072456772 /nfs/dbraw/zinc/45/67/72/1072456772.db2.gz GJWOQCSSYAFSHR-UHFFFAOYSA-N 0 0 430.914 -0.266 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1cccnc1 ZINC000521656025 1072456687 /nfs/dbraw/zinc/45/66/87/1072456687.db2.gz IQJAWZBLCJLOBZ-KRWDZBQOSA-N 0 0 432.458 -0.154 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1cccnc1 ZINC000521656026 1072456873 /nfs/dbraw/zinc/45/68/73/1072456873.db2.gz IQJAWZBLCJLOBZ-QGZVFWFLSA-N 0 0 432.458 -0.154 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)[C@H]1CCOC1 ZINC000521658969 1072456782 /nfs/dbraw/zinc/45/67/82/1072456782.db2.gz DZUUDRUZCBRJMH-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)[C@@H]1CCOC1 ZINC000521658970 1072456879 /nfs/dbraw/zinc/45/68/79/1072456879.db2.gz DZUUDRUZCBRJMH-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000521659063 1072456877 /nfs/dbraw/zinc/45/68/77/1072456877.db2.gz KESJMHRIDXQSNR-KRWDZBQOSA-N 0 0 431.493 -0.576 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000521659064 1072456659 /nfs/dbraw/zinc/45/66/59/1072456659.db2.gz KESJMHRIDXQSNR-QGZVFWFLSA-N 0 0 431.493 -0.576 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000521662821 1072455576 /nfs/dbraw/zinc/45/55/76/1072455576.db2.gz ZEAVEHPNXVWLLO-IBGZPJMESA-N 0 0 427.571 -0.426 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000521662822 1072456164 /nfs/dbraw/zinc/45/61/64/1072456164.db2.gz ZEAVEHPNXVWLLO-LJQANCHMSA-N 0 0 427.571 -0.426 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000521671970 1072459054 /nfs/dbraw/zinc/45/90/54/1072459054.db2.gz IGXGPDSLDWBPMH-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1 ZINC000521672418 1072458989 /nfs/dbraw/zinc/45/89/89/1072458989.db2.gz QGYSAARNBVKICM-UHFFFAOYSA-N 0 0 428.449 -0.357 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCn2cc(Br)cn2)CC1 ZINC000521673319 1072459180 /nfs/dbraw/zinc/45/91/80/1072459180.db2.gz KVONEDMNOVZHRD-UHFFFAOYSA-N 0 0 430.350 -0.553 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000521673850 1072459868 /nfs/dbraw/zinc/45/98/68/1072459868.db2.gz PJRDLYVRFVFZSJ-INIZCTEOSA-N 0 0 446.574 -0.973 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000521673853 1072460011 /nfs/dbraw/zinc/46/00/11/1072460011.db2.gz PJRDLYVRFVFZSJ-MRXNPFEDSA-N 0 0 446.574 -0.973 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(C3CCOCC3)CC2)s1 ZINC000521675658 1072457543 /nfs/dbraw/zinc/45/75/43/1072457543.db2.gz QXKMJVVNVPXKRP-UHFFFAOYSA-N 0 0 444.579 -0.226 20 0 IBADRN CCOCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000521683492 1072457921 /nfs/dbraw/zinc/45/79/21/1072457921.db2.gz OFZGJMWZDOTBNZ-UHFFFAOYSA-N 0 0 441.554 -0.116 20 0 IBADRN O=C(CCN1CCN(C(=O)C(=O)N2CCCC2)CC1)N1CCN(c2ccccn2)CC1 ZINC000521686012 1072460368 /nfs/dbraw/zinc/46/03/68/1072460368.db2.gz VDWKSLOCZBUGEG-UHFFFAOYSA-N 0 0 428.537 -0.113 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000521688877 1072460537 /nfs/dbraw/zinc/46/05/37/1072460537.db2.gz IAFJNMWHEXFUKS-UHFFFAOYSA-N 0 0 426.468 -0.097 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000521690446 1072460399 /nfs/dbraw/zinc/46/03/99/1072460399.db2.gz KUHHYSOEWFFWSS-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000521692001 1072459660 /nfs/dbraw/zinc/45/96/60/1072459660.db2.gz XQZADAKRUYLAFB-UHFFFAOYSA-N 0 0 439.538 -0.514 20 0 IBADRN CN(C)S(=O)(=O)CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000521693876 1072459915 /nfs/dbraw/zinc/45/99/15/1072459915.db2.gz YFVYIMKVRBGENF-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN CCN(CCCNC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)S(C)(=O)=O ZINC000521695760 1072459930 /nfs/dbraw/zinc/45/99/30/1072459930.db2.gz YLCUGENJKRRCOF-UHFFFAOYSA-N 0 0 437.540 -0.230 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000521703108 1072461123 /nfs/dbraw/zinc/46/11/23/1072461123.db2.gz BDARUKLEKQSIEW-UHFFFAOYSA-N 0 0 438.456 -0.014 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000521705016 1072461256 /nfs/dbraw/zinc/46/12/56/1072461256.db2.gz LJQDMKHKLQQBPL-UHFFFAOYSA-N 0 0 425.511 -0.655 20 0 IBADRN CCOCCN(CC)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000521705069 1072461182 /nfs/dbraw/zinc/46/11/82/1072461182.db2.gz LUQPYBHQCMWPDX-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(N3CCCC3=O)c2)CC1 ZINC000521706252 1072461879 /nfs/dbraw/zinc/46/18/79/1072461879.db2.gz VJFDTWBXSYFSJJ-UHFFFAOYSA-N 0 0 429.477 -0.051 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000521706747 1072461672 /nfs/dbraw/zinc/46/16/72/1072461672.db2.gz AVQASKXTNPUXLW-UHFFFAOYSA-N 0 0 433.508 -0.490 20 0 IBADRN COc1cc(OC)c(CCNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(OC)c1 ZINC000521712883 1072460316 /nfs/dbraw/zinc/46/03/16/1072460316.db2.gz MNWGIEWJKOEUQJ-UHFFFAOYSA-N 0 0 431.449 -0.182 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)CN1CCOCC1 ZINC000521715647 1072461240 /nfs/dbraw/zinc/46/12/40/1072461240.db2.gz XVQWRCNOUNYCJS-OAHLLOKOSA-N 0 0 434.493 -0.057 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000521718942 1072462398 /nfs/dbraw/zinc/46/23/98/1072462398.db2.gz UBCTWARUROYMQB-KRWDZBQOSA-N 0 0 438.550 -0.335 20 0 IBADRN Cc1ccc(C(=O)N[C@H](C)C(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000521718989 1072462287 /nfs/dbraw/zinc/46/22/87/1072462287.db2.gz XDTNBOGSPFDKIB-OAHLLOKOSA-N 0 0 425.507 -0.007 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000521723055 1072462537 /nfs/dbraw/zinc/46/25/37/1072462537.db2.gz SHIKVNJUDKUCDD-UHFFFAOYSA-N 0 0 427.458 -0.217 20 0 IBADRN C[C@H](NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCOCC1 ZINC000521726734 1072463138 /nfs/dbraw/zinc/46/31/38/1072463138.db2.gz KLIFQGXHPSOETG-AWEZNQCLSA-N 0 0 441.506 -0.120 20 0 IBADRN C[C@@H](NC(=O)c1cncc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000521729676 1072463014 /nfs/dbraw/zinc/46/30/14/1072463014.db2.gz MSUQMDQUMIJAEJ-GASCZTMLSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@H](NC(=O)c1cncc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000521729679 1072463132 /nfs/dbraw/zinc/46/31/32/1072463132.db2.gz MSUQMDQUMIJAEJ-GJZGRUSLSA-N 0 0 447.492 -0.964 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)ccc1Cl ZINC000521738969 1072464153 /nfs/dbraw/zinc/46/41/53/1072464153.db2.gz SYCRXPZFKBTEEE-UHFFFAOYSA-N 0 0 445.885 -0.413 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCCN3C(=O)CNC3=O)c2)CC1 ZINC000521743540 1072464275 /nfs/dbraw/zinc/46/42/75/1072464275.db2.gz COQVILBJZHVGDN-UHFFFAOYSA-N 0 0 438.510 -0.314 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)COCC(=O)NCc3cccnc3)CC2)no1 ZINC000521749606 1072463096 /nfs/dbraw/zinc/46/30/96/1072463096.db2.gz WEQWMIFOOFKVTB-UHFFFAOYSA-N 0 0 430.465 -0.206 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(F)c(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000521754543 1072463787 /nfs/dbraw/zinc/46/37/87/1072463787.db2.gz DJUVLDZGXNLJNY-HNNXBMFYSA-N 0 0 449.483 -0.450 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(F)c(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000521754544 1072463590 /nfs/dbraw/zinc/46/35/90/1072463590.db2.gz DJUVLDZGXNLJNY-OAHLLOKOSA-N 0 0 449.483 -0.450 20 0 IBADRN CN1C[C@@H](NC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)CC1=O ZINC000521754836 1072463763 /nfs/dbraw/zinc/46/37/63/1072463763.db2.gz MPQFCOLXVPUIAC-AWEZNQCLSA-N 0 0 449.533 -0.126 20 0 IBADRN CN1C[C@H](NC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)CC1=O ZINC000521754838 1072463717 /nfs/dbraw/zinc/46/37/17/1072463717.db2.gz MPQFCOLXVPUIAC-CQSZACIVSA-N 0 0 449.533 -0.126 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN(Cc4ccc(C(N)=O)cc4)CC3)nc2c(=O)[nH]c1=O ZINC000521755337 1072463749 /nfs/dbraw/zinc/46/37/49/1072463749.db2.gz ZSQWWEPGVSQCOC-UHFFFAOYSA-N 0 0 439.476 -0.262 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000521765217 1072465081 /nfs/dbraw/zinc/46/50/81/1072465081.db2.gz LYLSAXSGKRQDRN-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)nn1 ZINC000521768085 1072465566 /nfs/dbraw/zinc/46/55/66/1072465566.db2.gz LFSVRFIYVHILPP-UHFFFAOYSA-N 0 0 431.472 -0.307 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000521775979 1072466699 /nfs/dbraw/zinc/46/66/99/1072466699.db2.gz VKSJOLRZKCSCSW-UHFFFAOYSA-N 0 0 441.554 -0.961 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CC(=O)N(c3ccccc3Cl)C2)CC1 ZINC000521780968 1072467201 /nfs/dbraw/zinc/46/72/01/1072467201.db2.gz TVOAXSNLQFVBII-CYBMUJFWSA-N 0 0 432.872 -0.014 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CC(=O)N(c3ccccc3Cl)C2)CC1 ZINC000521780969 1072467244 /nfs/dbraw/zinc/46/72/44/1072467244.db2.gz TVOAXSNLQFVBII-ZDUSSCGKSA-N 0 0 432.872 -0.014 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCCS(=O)(=O)NCc3ccccc3)nc2c(=O)[nH]c1=O ZINC000521783322 1072467176 /nfs/dbraw/zinc/46/71/76/1072467176.db2.gz MGFGYIAEVNELET-UHFFFAOYSA-N 0 0 434.478 -0.469 20 0 IBADRN Cn1c2[nH]c(CCC(=O)Nc3ccc([C@]4(C)NC(=O)NC4=O)cc3)nc2c(=O)[nH]c1=O ZINC000521786716 1072467154 /nfs/dbraw/zinc/46/71/54/1072467154.db2.gz RWQROJKAZMQZQG-IBGZPJMESA-N 0 0 425.405 -0.012 20 0 IBADRN Cn1c2[nH]c(CCC(=O)Nc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)nc2c(=O)[nH]c1=O ZINC000521786717 1072467212 /nfs/dbraw/zinc/46/72/12/1072467212.db2.gz RWQROJKAZMQZQG-LJQANCHMSA-N 0 0 425.405 -0.012 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NC[C@H](O)COc3ccc4c(c3)OCO4)nc2c(=O)[nH]c1=O ZINC000521787301 1072467144 /nfs/dbraw/zinc/46/71/44/1072467144.db2.gz PFRPZSITDDLGCU-JTQLQIEISA-N 0 0 431.405 -0.420 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NC[C@@H](O)COc3ccc4c(c3)OCO4)nc2c(=O)[nH]c1=O ZINC000521787302 1072467161 /nfs/dbraw/zinc/46/71/61/1072467161.db2.gz PFRPZSITDDLGCU-SNVBAGLBSA-N 0 0 431.405 -0.420 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000521789308 1072467764 /nfs/dbraw/zinc/46/77/64/1072467764.db2.gz GOKIMNCHAJBXOU-GFCCVEGCSA-N 0 0 443.503 -0.715 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000521789309 1072467832 /nfs/dbraw/zinc/46/78/32/1072467832.db2.gz GOKIMNCHAJBXOU-LBPRGKRZSA-N 0 0 443.503 -0.715 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000521789959 1072467780 /nfs/dbraw/zinc/46/77/80/1072467780.db2.gz PGEOOXPGRURRHI-UHFFFAOYSA-N 0 0 438.510 -0.183 20 0 IBADRN C[C@]1(c2cccc(NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC000521794026 1072466169 /nfs/dbraw/zinc/46/61/69/1072466169.db2.gz DQGLTBNWZHEHNN-LHSJRXKWSA-N 0 0 429.477 -0.140 20 0 IBADRN C[C@@]1(c2cccc(NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC000521794027 1072466256 /nfs/dbraw/zinc/46/62/56/1072466256.db2.gz DQGLTBNWZHEHNN-QKKBWIMNSA-N 0 0 429.477 -0.140 20 0 IBADRN C[C@]1(c2cccc(NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC000521794028 1072466184 /nfs/dbraw/zinc/46/61/84/1072466184.db2.gz DQGLTBNWZHEHNN-SPLOXXLWSA-N 0 0 429.477 -0.140 20 0 IBADRN C[C@@]1(c2cccc(NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC000521794029 1072466144 /nfs/dbraw/zinc/46/61/44/1072466144.db2.gz DQGLTBNWZHEHNN-SZNDQCEHSA-N 0 0 429.477 -0.140 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000521795385 1072466264 /nfs/dbraw/zinc/46/62/64/1072466264.db2.gz KAGKSUXJHAOTLO-CYBMUJFWSA-N 0 0 426.495 -0.538 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000521795386 1072466216 /nfs/dbraw/zinc/46/62/16/1072466216.db2.gz KAGKSUXJHAOTLO-ZDUSSCGKSA-N 0 0 426.495 -0.538 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000521795658 1072466245 /nfs/dbraw/zinc/46/62/45/1072466245.db2.gz IPRJYNQGDXMTFL-UHFFFAOYSA-N 0 0 425.554 -0.173 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000521795793 1072467770 /nfs/dbraw/zinc/46/77/70/1072467770.db2.gz YACFEUZPQJYDRN-UHFFFAOYSA-N 0 0 434.540 -0.889 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000521796122 1072467828 /nfs/dbraw/zinc/46/78/28/1072467828.db2.gz AWGGSBUQEDJFHN-UHFFFAOYSA-N 0 0 434.478 -0.033 20 0 IBADRN CC1CCC(N2C[C@@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2=O)CC1 ZINC000521797530 1072468427 /nfs/dbraw/zinc/46/84/27/1072468427.db2.gz YUHPRDZMFGTNMB-ADKAHSJRSA-N 0 0 434.537 -0.057 20 0 IBADRN CC1CCC(N2C[C@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2=O)CC1 ZINC000521797531 1072468527 /nfs/dbraw/zinc/46/85/27/1072468527.db2.gz YUHPRDZMFGTNMB-LXPRWKDFSA-N 0 0 434.537 -0.057 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)CN3C(=O)N[C@@H](CCS(C)(=O)=O)C3=O)CC2)c1 ZINC000521799135 1072468473 /nfs/dbraw/zinc/46/84/73/1072468473.db2.gz AINVMPDPNNVDLL-KRWDZBQOSA-N 0 0 436.534 -0.006 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)CN3C(=O)N[C@H](CCS(C)(=O)=O)C3=O)CC2)c1 ZINC000521799136 1072468414 /nfs/dbraw/zinc/46/84/14/1072468414.db2.gz AINVMPDPNNVDLL-QGZVFWFLSA-N 0 0 436.534 -0.006 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)c1=O ZINC000521801126 1072468584 /nfs/dbraw/zinc/46/85/84/1072468584.db2.gz VWNNTLGATCUZEZ-UHFFFAOYSA-N 0 0 425.489 -0.329 20 0 IBADRN O=C(Cn1ccccc1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000521801260 1072468479 /nfs/dbraw/zinc/46/84/79/1072468479.db2.gz YVDDHELGPHJCJP-UHFFFAOYSA-N 0 0 432.458 -0.288 20 0 IBADRN CCN(C1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1)S(C)(=O)=O ZINC000521802565 1072468381 /nfs/dbraw/zinc/46/83/81/1072468381.db2.gz UKVBJRBAFMGQLK-UHFFFAOYSA-N 0 0 437.316 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)NC(C)C)cc1[C@]1(C)NC(=O)NC1=O ZINC000521805075 1072467756 /nfs/dbraw/zinc/46/77/56/1072467756.db2.gz MKCBYHZEAADDGM-INIZCTEOSA-N 0 0 448.523 -0.644 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)NC(C)C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000521805076 1072467775 /nfs/dbraw/zinc/46/77/75/1072467775.db2.gz MKCBYHZEAADDGM-MRXNPFEDSA-N 0 0 448.523 -0.644 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000521806749 1072467734 /nfs/dbraw/zinc/46/77/34/1072467734.db2.gz KQZGSFCUUGGRGG-UHFFFAOYSA-N 0 0 436.556 -0.262 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000521809955 1072467856 /nfs/dbraw/zinc/46/78/56/1072467856.db2.gz MPFIRCDDIMVLMS-UHFFFAOYSA-N 0 0 434.540 -0.772 20 0 IBADRN CNC(=O)c1ccc(CS(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000521811141 1072467824 /nfs/dbraw/zinc/46/78/24/1072467824.db2.gz VCZCLRVNTGXDFL-UHFFFAOYSA-N 0 0 433.490 -0.202 20 0 IBADRN O=C(NCCNC(=O)c1ccc(F)cc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000521812833 1072467805 /nfs/dbraw/zinc/46/78/05/1072467805.db2.gz ISERTULRXJXKBF-UHFFFAOYSA-N 0 0 428.424 -0.358 20 0 IBADRN COc1ccnc(N2CCN(c3ccc(S(N)(=O)=O)cc3S(C)(=O)=O)CC2)n1 ZINC000521821061 1072469735 /nfs/dbraw/zinc/46/97/35/1072469735.db2.gz WYYPMQRONBJFNF-UHFFFAOYSA-N 0 0 427.508 -0.137 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1NCCS(=O)(=O)N1CCSCC1 ZINC000521826356 1072468457 /nfs/dbraw/zinc/46/84/57/1072468457.db2.gz ZXGWTZYINSSQSS-UHFFFAOYSA-N 0 0 443.594 -0.472 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000521838816 1072470766 /nfs/dbraw/zinc/47/07/66/1072470766.db2.gz FSOGQSJMLOYARA-AWEZNQCLSA-N 0 0 432.462 -0.439 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000521838819 1072470974 /nfs/dbraw/zinc/47/09/74/1072470974.db2.gz FSOGQSJMLOYARA-CQSZACIVSA-N 0 0 432.462 -0.439 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)nnn1-c1ccc2c(c1)OCCO2 ZINC000521840313 1072470801 /nfs/dbraw/zinc/47/08/01/1072470801.db2.gz MWCYIUBMUWEMQP-UHFFFAOYSA-N 0 0 440.460 -0.090 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCC(=O)NCCc1ccccc1 ZINC000521843884 1072469636 /nfs/dbraw/zinc/46/96/36/1072469636.db2.gz OMILWCBXATUFKC-UHFFFAOYSA-N 0 0 432.458 -0.283 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCN(C(=O)c3ccc(F)cc3)CC1)c(=O)n2C ZINC000521845207 1072470298 /nfs/dbraw/zinc/47/02/98/1072470298.db2.gz JBGDUNKCAKHUEU-UHFFFAOYSA-N 0 0 442.451 -0.053 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)CCCc1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000521845919 1072470291 /nfs/dbraw/zinc/47/02/91/1072470291.db2.gz HMBYBMVOKVYBEM-HNNXBMFYSA-N 0 0 430.548 -0.204 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)CCCc1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000521845920 1072470160 /nfs/dbraw/zinc/47/01/60/1072470160.db2.gz HMBYBMVOKVYBEM-OAHLLOKOSA-N 0 0 430.548 -0.204 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000521846814 1072470359 /nfs/dbraw/zinc/47/03/59/1072470359.db2.gz KYNBNJOOPZLEAQ-UHFFFAOYSA-N 0 0 432.506 -0.478 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000521847412 1072470315 /nfs/dbraw/zinc/47/03/15/1072470315.db2.gz BFYUJUUSTYJGRU-CYBMUJFWSA-N 0 0 430.483 -0.398 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000521847413 1072470174 /nfs/dbraw/zinc/47/01/74/1072470174.db2.gz BFYUJUUSTYJGRU-ZDUSSCGKSA-N 0 0 430.483 -0.398 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000521851693 1072470321 /nfs/dbraw/zinc/47/03/21/1072470321.db2.gz HCDOEAIMVOBKMD-INIZCTEOSA-N 0 0 447.540 -0.644 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000521851694 1072470156 /nfs/dbraw/zinc/47/01/56/1072470156.db2.gz HCDOEAIMVOBKMD-MRXNPFEDSA-N 0 0 447.540 -0.644 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000521852812 1072470958 /nfs/dbraw/zinc/47/09/58/1072470958.db2.gz GYHIZBMDYBPRBC-HNNXBMFYSA-N 0 0 441.558 -0.527 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000521852813 1072470835 /nfs/dbraw/zinc/47/08/35/1072470835.db2.gz GYHIZBMDYBPRBC-OAHLLOKOSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000521852995 1072472805 /nfs/dbraw/zinc/47/28/05/1072472805.db2.gz BOVMFDNFIYZJBY-UHFFFAOYSA-N 0 0 433.494 -0.620 20 0 IBADRN CCCc1nnnn1CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000521865535 1072472100 /nfs/dbraw/zinc/47/21/00/1072472100.db2.gz CNPZYFSRFNVNHC-UHFFFAOYSA-N 0 0 436.494 -0.070 20 0 IBADRN CCCCn1c2nc(Cn3cnc4c(cnn4CC(=O)OC)c3=O)n(C)c2c(=O)[nH]c1=O ZINC000521866055 1072472057 /nfs/dbraw/zinc/47/20/57/1072472057.db2.gz RXWZHDHSEVYYIP-UHFFFAOYSA-N 0 0 442.436 -0.237 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N(CCC(N)=O)c1ccc(F)cc1)c2=O ZINC000521866236 1072472114 /nfs/dbraw/zinc/47/21/14/1072472114.db2.gz BZBJMAXPRKTGAH-UHFFFAOYSA-N 0 0 430.396 -0.186 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)N(CCC(N)=O)c2ccc(F)cc2)CC1 ZINC000521874269 1072472912 /nfs/dbraw/zinc/47/29/12/1072472912.db2.gz ISDKREDQELBQCT-KRWDZBQOSA-N 0 0 449.527 -0.101 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)N(CCC(N)=O)c2ccc(F)cc2)CC1 ZINC000521874270 1072472778 /nfs/dbraw/zinc/47/27/78/1072472778.db2.gz ISDKREDQELBQCT-QGZVFWFLSA-N 0 0 449.527 -0.101 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000521876620 1072472954 /nfs/dbraw/zinc/47/29/54/1072472954.db2.gz NRSZMFPHASYCOC-BZSNNMDCSA-N 0 0 444.598 -0.725 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000521876621 1072473443 /nfs/dbraw/zinc/47/34/43/1072473443.db2.gz NRSZMFPHASYCOC-FGTMMUONSA-N 0 0 444.598 -0.725 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000521876622 1072473474 /nfs/dbraw/zinc/47/34/74/1072473474.db2.gz NRSZMFPHASYCOC-OKZBNKHCSA-N 0 0 444.598 -0.725 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000521876623 1072473472 /nfs/dbraw/zinc/47/34/72/1072473472.db2.gz NRSZMFPHASYCOC-SQNIBIBYSA-N 0 0 444.598 -0.725 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C[C@@H](O)CN2C(=O)c3ccccc3C2=O)CC1 ZINC000521879085 1072473501 /nfs/dbraw/zinc/47/35/01/1072473501.db2.gz VRTWCUFWDILBBC-DLBZAZTESA-N 0 0 430.505 -0.492 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C[C@@H](O)CN2C(=O)c3ccccc3C2=O)CC1 ZINC000521879086 1072473423 /nfs/dbraw/zinc/47/34/23/1072473423.db2.gz VRTWCUFWDILBBC-IAGOWNOFSA-N 0 0 430.505 -0.492 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C[C@H](O)CN2C(=O)c3ccccc3C2=O)CC1 ZINC000521879087 1072473568 /nfs/dbraw/zinc/47/35/68/1072473568.db2.gz VRTWCUFWDILBBC-IRXDYDNUSA-N 0 0 430.505 -0.492 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C[C@H](O)CN2C(=O)c3ccccc3C2=O)CC1 ZINC000521879088 1072473625 /nfs/dbraw/zinc/47/36/25/1072473625.db2.gz VRTWCUFWDILBBC-SJORKVTESA-N 0 0 430.505 -0.492 20 0 IBADRN CCOCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000521881789 1072472922 /nfs/dbraw/zinc/47/29/22/1072472922.db2.gz VNUKHDGRGBOYJA-UHFFFAOYSA-N 0 0 433.508 -0.310 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000521881885 1072472787 /nfs/dbraw/zinc/47/27/87/1072472787.db2.gz BRGFKTRXQKKZLY-UHFFFAOYSA-N 0 0 427.505 -0.273 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000521887579 1072472873 /nfs/dbraw/zinc/47/28/73/1072472873.db2.gz VVDAOCNPBHZHRK-HNNXBMFYSA-N 0 0 425.511 -0.262 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000521887583 1072472733 /nfs/dbraw/zinc/47/27/33/1072472733.db2.gz VVDAOCNPBHZHRK-OAHLLOKOSA-N 0 0 425.511 -0.262 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000521888112 1072474768 /nfs/dbraw/zinc/47/47/68/1072474768.db2.gz FRMDTMPGLNYEHX-UHFFFAOYSA-N 0 0 434.540 -0.515 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000521888181 1072474710 /nfs/dbraw/zinc/47/47/10/1072474710.db2.gz VXYVBQNRHUGTMP-CYBMUJFWSA-N 0 0 435.524 -0.478 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000521888182 1072474695 /nfs/dbraw/zinc/47/46/95/1072474695.db2.gz VXYVBQNRHUGTMP-ZDUSSCGKSA-N 0 0 435.524 -0.478 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1 ZINC000521892423 1072474840 /nfs/dbraw/zinc/47/48/40/1072474840.db2.gz HHKOUXOVETVVGB-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1 ZINC000521893346 1072474822 /nfs/dbraw/zinc/47/48/22/1072474822.db2.gz MODTWPOIXKGGQN-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1 ZINC000521893540 1072474869 /nfs/dbraw/zinc/47/48/69/1072474869.db2.gz SDFBSVIYTHMEHR-UHFFFAOYSA-N 0 0 440.522 -0.072 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000521901670 1072474229 /nfs/dbraw/zinc/47/42/29/1072474229.db2.gz WAHBWMKLTSGSTG-HNNXBMFYSA-N 0 0 447.521 -0.230 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000521901671 1072474200 /nfs/dbraw/zinc/47/42/00/1072474200.db2.gz WAHBWMKLTSGSTG-OAHLLOKOSA-N 0 0 447.521 -0.230 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NCCS(=O)(=O)NCC3CCC3)CC2)CC1 ZINC000521901852 1072474042 /nfs/dbraw/zinc/47/40/42/1072474042.db2.gz ABNAYSLRTFOICW-UHFFFAOYSA-N 0 0 429.587 -0.099 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000521902359 1072474316 /nfs/dbraw/zinc/47/43/16/1072474316.db2.gz XFJIDNFMDVGYDZ-UHFFFAOYSA-N 0 0 429.529 -0.253 20 0 IBADRN CCc1cccc2c1N(C(=O)C(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)CC2 ZINC000521904702 1072474066 /nfs/dbraw/zinc/47/40/66/1072474066.db2.gz WDTCAYKSBPOAEX-UHFFFAOYSA-N 0 0 436.534 -0.141 20 0 IBADRN CCc1cccc2c1N(C(=O)C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CC2 ZINC000521905100 1072474329 /nfs/dbraw/zinc/47/43/29/1072474329.db2.gz BBWNHGYJOZXWKO-UHFFFAOYSA-N 0 0 449.577 -0.226 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000521906658 1072474085 /nfs/dbraw/zinc/47/40/85/1072474085.db2.gz RXNIZRDZCSRWPC-UHFFFAOYSA-N 0 0 442.567 -0.089 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000521907326 1072474276 /nfs/dbraw/zinc/47/42/76/1072474276.db2.gz UYQYNYVDDLBVSA-AWEZNQCLSA-N 0 0 428.511 -0.062 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000521907327 1072474312 /nfs/dbraw/zinc/47/43/12/1072474312.db2.gz UYQYNYVDDLBVSA-CQSZACIVSA-N 0 0 428.511 -0.062 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000521907422 1072474120 /nfs/dbraw/zinc/47/41/20/1072474120.db2.gz ZSIHYBQTATXGJK-UHFFFAOYSA-N 0 0 433.556 -0.507 20 0 IBADRN O=C(CNC(=O)Cc1ccc(S(=O)(=O)N2CCCC2)s1)NCCN1CCOCC1 ZINC000521913275 1072476028 /nfs/dbraw/zinc/47/60/28/1072476028.db2.gz NUKSOYJGXUXQQX-UHFFFAOYSA-N 0 0 444.579 -0.360 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCC(=O)NCCN2CCOCC2)cc1C ZINC000521914893 1072476100 /nfs/dbraw/zinc/47/61/00/1072476100.db2.gz ZVJRXRABVRWPBT-UHFFFAOYSA-N 0 0 426.539 -0.464 20 0 IBADRN Cn1c2ncn(CC(=O)NCCCCN3C(=O)c4ccccc4C3=O)c2c(=O)n(C)c1=O ZINC000521916636 1072476052 /nfs/dbraw/zinc/47/60/52/1072476052.db2.gz NDUAESCZQAVUFQ-UHFFFAOYSA-N 0 0 438.444 -0.374 20 0 IBADRN O=C(C(=O)N1CCN(c2ncc(I)cn2)CC1)N1CCOCC1 ZINC000521917519 1072475521 /nfs/dbraw/zinc/47/55/21/1072475521.db2.gz OMWOIPYHZDKWDE-UHFFFAOYSA-N 0 0 431.234 -0.411 20 0 IBADRN CC(=O)NCCN(Cc1ccsc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000521924397 1072476067 /nfs/dbraw/zinc/47/60/67/1072476067.db2.gz SIQOIXILBBIBRU-NVQRDWNXSA-N 0 0 448.505 -0.358 20 0 IBADRN COc1cc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)ccc1OCC(N)=O ZINC000521924919 1072476040 /nfs/dbraw/zinc/47/60/40/1072476040.db2.gz RGQDXEJIIKKUAA-HNNXBMFYSA-N 0 0 434.493 -0.436 20 0 IBADRN COc1cc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)ccc1OCC(N)=O ZINC000521924920 1072476184 /nfs/dbraw/zinc/47/61/84/1072476184.db2.gz RGQDXEJIIKKUAA-OAHLLOKOSA-N 0 0 434.493 -0.436 20 0 IBADRN NC(=O)c1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)nn1-c1ccccc1 ZINC000521925802 1072476171 /nfs/dbraw/zinc/47/61/71/1072476171.db2.gz JRCJIXIUQGPKGO-UHFFFAOYSA-N 0 0 426.477 -0.412 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000521927012 1072476140 /nfs/dbraw/zinc/47/61/40/1072476140.db2.gz QIOPKOBJGIVUAE-UHFFFAOYSA-N 0 0 444.485 -0.182 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000521927525 1072475996 /nfs/dbraw/zinc/47/59/96/1072475996.db2.gz MVZXETDXWIPZOA-UHFFFAOYSA-N 0 0 445.586 -0.328 20 0 IBADRN O=C(Cc1ccc(-n2cnnn2)cc1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000521927661 1072476150 /nfs/dbraw/zinc/47/61/50/1072476150.db2.gz QCYHMGNSYGFFOJ-IBGZPJMESA-N 0 0 448.549 -0.057 20 0 IBADRN O=C(Cc1ccc(-n2cnnn2)cc1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000521927662 1072475987 /nfs/dbraw/zinc/47/59/87/1072475987.db2.gz QCYHMGNSYGFFOJ-LJQANCHMSA-N 0 0 448.549 -0.057 20 0 IBADRN Cn1cc(CN2CCN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CC2)cn1 ZINC000521928280 1072475964 /nfs/dbraw/zinc/47/59/64/1072475964.db2.gz ZFOXLZUMJZNFKB-UHFFFAOYSA-N 0 0 428.478 -0.211 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000521931619 1072476970 /nfs/dbraw/zinc/47/69/70/1072476970.db2.gz LDKXSTODGVFMBB-AWEZNQCLSA-N 0 0 430.527 -0.154 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000521931620 1072476880 /nfs/dbraw/zinc/47/68/80/1072476880.db2.gz LDKXSTODGVFMBB-CQSZACIVSA-N 0 0 430.527 -0.154 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)Cc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000521936052 1072476667 /nfs/dbraw/zinc/47/66/67/1072476667.db2.gz BQAXXXFSWOXSHA-UHFFFAOYSA-N 0 0 438.597 -0.023 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000521938797 1072477293 /nfs/dbraw/zinc/47/72/93/1072477293.db2.gz QPLWSDKFYDCAQD-UHFFFAOYSA-N 0 0 447.540 -0.760 20 0 IBADRN Cn1nc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc21 ZINC000521940442 1072477482 /nfs/dbraw/zinc/47/74/82/1072477482.db2.gz NXIGOBFWLZFXDU-UHFFFAOYSA-N 0 0 442.520 -0.765 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCOCCS(N)(=O)=O)cc1F ZINC000521940720 1072477360 /nfs/dbraw/zinc/47/73/60/1072477360.db2.gz FUZUIXUAEJDDPH-UHFFFAOYSA-N 0 0 433.505 -0.829 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)[C@@H]1CCCO1 ZINC000521941136 1072477474 /nfs/dbraw/zinc/47/74/74/1072477474.db2.gz ZQWOOBJYUKJNLW-KKUMJFAQSA-N 0 0 434.453 -0.320 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)[C@H]1CCCO1 ZINC000521941137 1072477283 /nfs/dbraw/zinc/47/72/83/1072477283.db2.gz ZQWOOBJYUKJNLW-RRFJBIMHSA-N 0 0 434.453 -0.320 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)[C@H]1CCCO1 ZINC000521941138 1072477339 /nfs/dbraw/zinc/47/73/39/1072477339.db2.gz ZQWOOBJYUKJNLW-SOUVJXGZSA-N 0 0 434.453 -0.320 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)[C@@H]1CCCO1 ZINC000521941139 1072477434 /nfs/dbraw/zinc/47/74/34/1072477434.db2.gz ZQWOOBJYUKJNLW-ZNMIVQPWSA-N 0 0 434.453 -0.320 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCO[C@H](c3cnn(C)c3)C2)C1=O ZINC000521942198 1072477316 /nfs/dbraw/zinc/47/73/16/1072477316.db2.gz BRYCOOWHYMVDTH-CVEARBPZSA-N 0 0 429.481 -0.152 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCO[C@H](c3cnn(C)c3)C2)C1=O ZINC000521942199 1072477465 /nfs/dbraw/zinc/47/74/65/1072477465.db2.gz BRYCOOWHYMVDTH-HOTGVXAUSA-N 0 0 429.481 -0.152 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCO[C@@H](c3cnn(C)c3)C2)C1=O ZINC000521942200 1072477455 /nfs/dbraw/zinc/47/74/55/1072477455.db2.gz BRYCOOWHYMVDTH-HZPDHXFCSA-N 0 0 429.481 -0.152 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCO[C@@H](c3cnn(C)c3)C2)C1=O ZINC000521942201 1072477347 /nfs/dbraw/zinc/47/73/47/1072477347.db2.gz BRYCOOWHYMVDTH-JKSUJKDBSA-N 0 0 429.481 -0.152 20 0 IBADRN CC(C)(C(=O)CN1C(=O)N[C@H](CCS(C)(=O)=O)C1=O)N1C(=O)c2ccccc2C1=O ZINC000521943449 1072478179 /nfs/dbraw/zinc/47/81/79/1072478179.db2.gz APZVQGZKBCALOB-CYBMUJFWSA-N 0 0 435.458 -0.015 20 0 IBADRN CC(C)(C(=O)CN1C(=O)N[C@@H](CCS(C)(=O)=O)C1=O)N1C(=O)c2ccccc2C1=O ZINC000521943450 1072478034 /nfs/dbraw/zinc/47/80/34/1072478034.db2.gz APZVQGZKBCALOB-ZDUSSCGKSA-N 0 0 435.458 -0.015 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000521943462 1072478014 /nfs/dbraw/zinc/47/80/14/1072478014.db2.gz BDPMZXKVLRIPDC-GOSISDBHSA-N 0 0 434.541 -0.514 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000521943463 1072478208 /nfs/dbraw/zinc/47/82/08/1072478208.db2.gz BDPMZXKVLRIPDC-SFHVURJKSA-N 0 0 434.541 -0.514 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC(CS(N)(=O)=O)CC2)cc1OC ZINC000521945537 1072478165 /nfs/dbraw/zinc/47/81/65/1072478165.db2.gz YYQUOUWFXSGNTO-UHFFFAOYSA-N 0 0 435.524 -0.491 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(C2CC2)n(C)n1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000521945557 1073353056 /nfs/dbraw/zinc/35/30/56/1073353056.db2.gz AEPWKZIBOWATQD-UHFFFAOYSA-N 0 0 445.568 -0.067 20 0 IBADRN COc1cc(NC(C)=O)ccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000521945577 1072478106 /nfs/dbraw/zinc/47/81/06/1072478106.db2.gz AWUFYFBCCQEQLB-UHFFFAOYSA-N 0 0 441.510 -0.067 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000521946096 1072476850 /nfs/dbraw/zinc/47/68/50/1072476850.db2.gz XFSDXYGZYYDTMR-UHFFFAOYSA-N 0 0 447.495 -0.768 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000521959267 1072478636 /nfs/dbraw/zinc/47/86/36/1072478636.db2.gz ZGMZGZJQVKZCFH-UHFFFAOYSA-N 0 0 441.510 -0.333 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000521961011 1072479528 /nfs/dbraw/zinc/47/95/28/1072479528.db2.gz UDPHKZSHXKDJIR-UHFFFAOYSA-N 0 0 442.519 -0.568 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000521961378 1072479472 /nfs/dbraw/zinc/47/94/72/1072479472.db2.gz ANXBYXBJLLRMDW-MOPGFXCFSA-N 0 0 437.585 -0.241 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000521961379 1072479399 /nfs/dbraw/zinc/47/93/99/1072479399.db2.gz ANXBYXBJLLRMDW-OALUTQOASA-N 0 0 437.585 -0.241 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000521961380 1072479276 /nfs/dbraw/zinc/47/92/76/1072479276.db2.gz ANXBYXBJLLRMDW-RBUKOAKNSA-N 0 0 437.585 -0.241 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000521961381 1072479331 /nfs/dbraw/zinc/47/93/31/1072479331.db2.gz ANXBYXBJLLRMDW-RTBURBONSA-N 0 0 437.585 -0.241 20 0 IBADRN NS(=O)(=O)Nc1cccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000521961989 1072479225 /nfs/dbraw/zinc/47/92/25/1072479225.db2.gz FQNROEDMTASULD-INIZCTEOSA-N 0 0 425.511 -0.046 20 0 IBADRN NS(=O)(=O)Nc1cccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000521961990 1072479485 /nfs/dbraw/zinc/47/94/85/1072479485.db2.gz FQNROEDMTASULD-MRXNPFEDSA-N 0 0 425.511 -0.046 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000521962413 1072479263 /nfs/dbraw/zinc/47/92/63/1072479263.db2.gz ADCOKIIVATZEKW-UHFFFAOYSA-N 0 0 430.552 -0.385 20 0 IBADRN COc1ccnc(N2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)n1 ZINC000521963231 1072479497 /nfs/dbraw/zinc/47/94/97/1072479497.db2.gz NFUZYLJLEKELDT-KRWDZBQOSA-N 0 0 432.525 -0.153 20 0 IBADRN COc1ccnc(N2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)n1 ZINC000521963232 1072479300 /nfs/dbraw/zinc/47/93/00/1072479300.db2.gz NFUZYLJLEKELDT-QGZVFWFLSA-N 0 0 432.525 -0.153 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C(=O)OC)C2)cc1 ZINC000521964420 1072478193 /nfs/dbraw/zinc/47/81/93/1072478193.db2.gz BKGVFJATPKPDMA-CHWSQXEVSA-N 0 0 427.479 -0.040 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C(=O)OC)C2)cc1 ZINC000521964421 1072478055 /nfs/dbraw/zinc/47/80/55/1072478055.db2.gz BKGVFJATPKPDMA-OLZOCXBDSA-N 0 0 427.479 -0.040 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C(=O)OC)C2)cc1 ZINC000521964422 1072477983 /nfs/dbraw/zinc/47/79/83/1072477983.db2.gz BKGVFJATPKPDMA-QWHCGFSZSA-N 0 0 427.479 -0.040 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C(=O)OC)C2)cc1 ZINC000521964423 1072478062 /nfs/dbraw/zinc/47/80/62/1072478062.db2.gz BKGVFJATPKPDMA-STQMWFEESA-N 0 0 427.479 -0.040 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000521965812 1072478093 /nfs/dbraw/zinc/47/80/93/1072478093.db2.gz VZAPEYGMRZPORW-PBHICJAKSA-N 0 0 431.445 -0.339 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000521965813 1072478125 /nfs/dbraw/zinc/47/81/25/1072478125.db2.gz VZAPEYGMRZPORW-RHSMWYFYSA-N 0 0 431.445 -0.339 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000521965814 1072478185 /nfs/dbraw/zinc/47/81/85/1072478185.db2.gz VZAPEYGMRZPORW-WMLDXEAASA-N 0 0 431.445 -0.339 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000521965815 1072478199 /nfs/dbraw/zinc/47/81/99/1072478199.db2.gz VZAPEYGMRZPORW-YOEHRIQHSA-N 0 0 431.445 -0.339 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000521967190 1072480283 /nfs/dbraw/zinc/48/02/83/1072480283.db2.gz ADQUGYPNVWAYJX-CYBMUJFWSA-N 0 0 429.586 -0.526 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000521967191 1072480298 /nfs/dbraw/zinc/48/02/98/1072480298.db2.gz ADQUGYPNVWAYJX-ZDUSSCGKSA-N 0 0 429.586 -0.526 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)Cc3ccccc3)C2)CC1 ZINC000521970403 1072480669 /nfs/dbraw/zinc/48/06/69/1072480669.db2.gz HLKCCDJHOXPKCP-INIZCTEOSA-N 0 0 430.552 -0.424 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)Cc3ccccc3)C2)CC1 ZINC000521970404 1072480693 /nfs/dbraw/zinc/48/06/93/1072480693.db2.gz HLKCCDJHOXPKCP-MRXNPFEDSA-N 0 0 430.552 -0.424 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(S(N)(=O)=O)CC2)CC1 ZINC000521970713 1072480039 /nfs/dbraw/zinc/48/00/39/1072480039.db2.gz TYFCWMBWXBOCEG-UHFFFAOYSA-N 0 0 435.528 -0.960 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000521970938 1072480175 /nfs/dbraw/zinc/48/01/75/1072480175.db2.gz LSKBGWKGLVCBGC-ZDUSSCGKSA-N 0 0 429.465 -0.473 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(S(N)(=O)=O)CC3)c2)C[C@H](C)O1 ZINC000521971681 1072480756 /nfs/dbraw/zinc/48/07/56/1072480756.db2.gz GSSUYLZLBDIIHG-KBPBESRZSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(S(N)(=O)=O)CC3)c2)C[C@H](C)O1 ZINC000521971682 1072480906 /nfs/dbraw/zinc/48/09/06/1072480906.db2.gz GSSUYLZLBDIIHG-OKILXGFUSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(S(N)(=O)=O)CC3)c2)C[C@@H](C)O1 ZINC000521971683 1072480730 /nfs/dbraw/zinc/48/07/30/1072480730.db2.gz GSSUYLZLBDIIHG-ZIAGYGMSSA-N 0 0 446.551 -0.554 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)N3CCN(S(N)(=O)=O)CC3)C2)cc1 ZINC000521971834 1072480828 /nfs/dbraw/zinc/48/08/28/1072480828.db2.gz LOAJCFRFLIWYOG-HNNXBMFYSA-N 0 0 430.552 -0.257 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)N3CCN(S(N)(=O)=O)CC3)C2)cc1 ZINC000521971835 1072480859 /nfs/dbraw/zinc/48/08/59/1072480859.db2.gz LOAJCFRFLIWYOG-OAHLLOKOSA-N 0 0 430.552 -0.257 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(N)(=O)=O)CC2)cc1S(=O)(=O)NC(C)(C)C ZINC000521972032 1072480837 /nfs/dbraw/zinc/48/08/37/1072480837.db2.gz YPRNGFFNUZZVBM-UHFFFAOYSA-N 0 0 434.540 -0.267 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000521972943 1072481367 /nfs/dbraw/zinc/48/13/67/1072481367.db2.gz WAQKDFQORVCUNQ-AWEZNQCLSA-N 0 0 436.581 -0.566 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000521972944 1072481444 /nfs/dbraw/zinc/48/14/44/1072481444.db2.gz WAQKDFQORVCUNQ-CQSZACIVSA-N 0 0 436.581 -0.566 20 0 IBADRN NC(=O)Cn1cc(C(=O)NCCCNS(=O)(=O)c2ccccc2Br)nn1 ZINC000521987949 1072484985 /nfs/dbraw/zinc/48/49/85/1072484985.db2.gz CSWCOVVOKJAQAP-UHFFFAOYSA-N 0 0 445.299 -0.376 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCc3c[nH]nc3C2)CC1 ZINC000521989610 1072483715 /nfs/dbraw/zinc/48/37/15/1072483715.db2.gz JVUMWPVSZRBTFL-HNNXBMFYSA-N 0 0 427.527 -0.718 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000521989618 1072483783 /nfs/dbraw/zinc/48/37/83/1072483783.db2.gz JVUMWPVSZRBTFL-OAHLLOKOSA-N 0 0 427.527 -0.718 20 0 IBADRN CO[C@]1(C)C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1(C)C ZINC000521989803 1072483758 /nfs/dbraw/zinc/48/37/58/1072483758.db2.gz CCVUWGGHVFTDOR-AUUYWEPGSA-N 0 0 441.554 -0.119 20 0 IBADRN CO[C@]1(C)C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1(C)C ZINC000521989804 1072483746 /nfs/dbraw/zinc/48/37/46/1072483746.db2.gz CCVUWGGHVFTDOR-IFXJQAMLSA-N 0 0 441.554 -0.119 20 0 IBADRN CO[C@@]1(C)C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1(C)C ZINC000521989805 1072483816 /nfs/dbraw/zinc/48/38/16/1072483816.db2.gz CCVUWGGHVFTDOR-KUHUBIRLSA-N 0 0 441.554 -0.119 20 0 IBADRN CO[C@@]1(C)C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1(C)C ZINC000521989806 1072483806 /nfs/dbraw/zinc/48/38/06/1072483806.db2.gz CCVUWGGHVFTDOR-LIRRHRJNSA-N 0 0 441.554 -0.119 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000521990175 1072484357 /nfs/dbraw/zinc/48/43/57/1072484357.db2.gz FOIIYQVFAIGBPE-UHFFFAOYSA-N 0 0 435.524 -0.259 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000521992761 1072484282 /nfs/dbraw/zinc/48/42/82/1072484282.db2.gz PGIPXYXPGUAOOG-GOSISDBHSA-N 0 0 440.541 -0.967 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000521992762 1072484459 /nfs/dbraw/zinc/48/44/59/1072484459.db2.gz PGIPXYXPGUAOOG-SFHVURJKSA-N 0 0 440.541 -0.967 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1 ZINC000521992912 1072484346 /nfs/dbraw/zinc/48/43/46/1072484346.db2.gz ZENKQMKCWPAVOF-INIZCTEOSA-N 0 0 443.504 -0.150 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1 ZINC000521992913 1072484371 /nfs/dbraw/zinc/48/43/71/1072484371.db2.gz ZENKQMKCWPAVOF-MRXNPFEDSA-N 0 0 443.504 -0.150 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)CC1 ZINC000521994098 1072485023 /nfs/dbraw/zinc/48/50/23/1072485023.db2.gz JJDSBROEWSGPPB-AWEZNQCLSA-N 0 0 427.527 -0.730 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)CC1 ZINC000521994099 1072485044 /nfs/dbraw/zinc/48/50/44/1072485044.db2.gz JJDSBROEWSGPPB-CQSZACIVSA-N 0 0 427.527 -0.730 20 0 IBADRN Cn1nnnc1SCCC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000521996904 1072485027 /nfs/dbraw/zinc/48/50/27/1072485027.db2.gz YBVOSPLLRHTLPW-AWEZNQCLSA-N 0 0 432.572 -0.570 20 0 IBADRN Cn1nnnc1SCCC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000521996905 1072485048 /nfs/dbraw/zinc/48/50/48/1072485048.db2.gz YBVOSPLLRHTLPW-CQSZACIVSA-N 0 0 432.572 -0.570 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)c2c(=O)n(C)c1=O ZINC000521997017 1072485447 /nfs/dbraw/zinc/48/54/47/1072485447.db2.gz POKYDZHBCSRRMB-UHFFFAOYSA-N 0 0 428.453 -0.672 20 0 IBADRN COc1ccc([C@H](NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c2nccn2C)cc1 ZINC000522017885 1072488123 /nfs/dbraw/zinc/48/81/23/1072488123.db2.gz LRDYOTWBWVVUFD-IBGZPJMESA-N 0 0 442.520 -0.085 20 0 IBADRN COc1ccc([C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c2nccn2C)cc1 ZINC000522017886 1072488071 /nfs/dbraw/zinc/48/80/71/1072488071.db2.gz LRDYOTWBWVVUFD-LJQANCHMSA-N 0 0 442.520 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)c3cn(C)c(=O)[nH]c3=O)CC2)cc1 ZINC000522018599 1072488148 /nfs/dbraw/zinc/48/81/48/1072488148.db2.gz VBMXZDMOFXKLDS-UHFFFAOYSA-N 0 0 435.506 -0.469 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000522023914 1072488815 /nfs/dbraw/zinc/48/88/15/1072488815.db2.gz ATBXSJSXFDLSDJ-HNNXBMFYSA-N 0 0 444.535 -0.758 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000522023915 1072488852 /nfs/dbraw/zinc/48/88/52/1072488852.db2.gz ATBXSJSXFDLSDJ-OAHLLOKOSA-N 0 0 444.535 -0.758 20 0 IBADRN O=C1C[C@@H](N2CCC(NS(=O)(=O)N3CCOCC3)CC2)C(=O)N1Cc1ccccc1 ZINC000522024995 1072487102 /nfs/dbraw/zinc/48/71/02/1072487102.db2.gz WXLRQRZTMBDUCQ-GOSISDBHSA-N 0 0 436.534 -0.055 20 0 IBADRN O=C1C[C@H](N2CCC(NS(=O)(=O)N3CCOCC3)CC2)C(=O)N1Cc1ccccc1 ZINC000522024996 1072487138 /nfs/dbraw/zinc/48/71/38/1072487138.db2.gz WXLRQRZTMBDUCQ-SFHVURJKSA-N 0 0 436.534 -0.055 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(C)cc(S(C)(=O)=O)c1C ZINC000522025955 1072487618 /nfs/dbraw/zinc/48/76/18/1072487618.db2.gz HFFDHEVJISQKCZ-CYBMUJFWSA-N 0 0 431.536 -0.278 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(C)cc(S(C)(=O)=O)c1C ZINC000522025956 1072487576 /nfs/dbraw/zinc/48/75/76/1072487576.db2.gz HFFDHEVJISQKCZ-ZDUSSCGKSA-N 0 0 431.536 -0.278 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2)c1=O ZINC000522027306 1072488829 /nfs/dbraw/zinc/48/88/29/1072488829.db2.gz SQPCDMNCALDAAK-INIZCTEOSA-N 0 0 440.508 -0.606 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2)c1=O ZINC000522027307 1072488805 /nfs/dbraw/zinc/48/88/05/1072488805.db2.gz SQPCDMNCALDAAK-MRXNPFEDSA-N 0 0 440.508 -0.606 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1sccc1S(=O)(=O)N1CCSCC1 ZINC000522028107 1072488796 /nfs/dbraw/zinc/48/87/96/1072488796.db2.gz OANVTMMDQOOVTK-UHFFFAOYSA-N 0 0 442.610 -0.389 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Cl)c1)NCC(=O)NCCN1CCOCC1 ZINC000522028616 1072488868 /nfs/dbraw/zinc/48/88/68/1072488868.db2.gz IXUFLXSJWVOGLW-UHFFFAOYSA-N 0 0 432.930 -0.427 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)NCC(=O)NCCN3CCOCC3)CC2=O)c(OC)c1 ZINC000522029141 1072488787 /nfs/dbraw/zinc/48/87/87/1072488787.db2.gz PFYPISKDANTAGL-HNNXBMFYSA-N 0 0 434.493 -0.379 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)NCC(=O)NCCN3CCOCC3)CC2=O)c(OC)c1 ZINC000522029145 1072489362 /nfs/dbraw/zinc/48/93/62/1072489362.db2.gz PFYPISKDANTAGL-OAHLLOKOSA-N 0 0 434.493 -0.379 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1=O ZINC000522029849 1072488771 /nfs/dbraw/zinc/48/87/71/1072488771.db2.gz ZRWRPJYTLXOOKL-KRWDZBQOSA-N 0 0 431.493 -0.604 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1=O ZINC000522029850 1072488782 /nfs/dbraw/zinc/48/87/82/1072488782.db2.gz ZRWRPJYTLXOOKL-QGZVFWFLSA-N 0 0 431.493 -0.604 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC2(C1)CCOC2 ZINC000522029979 1072488859 /nfs/dbraw/zinc/48/88/59/1072488859.db2.gz ZSLURTQHIHEIGV-AWEZNQCLSA-N 0 0 439.490 -0.381 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC2(C1)CCOC2 ZINC000522029980 1072488843 /nfs/dbraw/zinc/48/88/43/1072488843.db2.gz ZSLURTQHIHEIGV-CQSZACIVSA-N 0 0 439.490 -0.381 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)ccc1OC ZINC000522031426 1072489400 /nfs/dbraw/zinc/48/94/00/1072489400.db2.gz DEXREMOXHTWJSC-AWEZNQCLSA-N 0 0 449.551 -0.178 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)ccc1OC ZINC000522031427 1072489310 /nfs/dbraw/zinc/48/93/10/1072489310.db2.gz DEXREMOXHTWJSC-CQSZACIVSA-N 0 0 449.551 -0.178 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)C1=O ZINC000522032298 1072489391 /nfs/dbraw/zinc/48/93/91/1072489391.db2.gz WKMVXNBCILQLCF-GVXVVHGQSA-N 0 0 425.463 -0.636 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)C1=O ZINC000522032299 1072489449 /nfs/dbraw/zinc/48/94/49/1072489449.db2.gz WKMVXNBCILQLCF-LOWVWBTDSA-N 0 0 425.463 -0.636 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)C1=O ZINC000522032300 1072489525 /nfs/dbraw/zinc/48/95/25/1072489525.db2.gz WKMVXNBCILQLCF-MDZLAQPJSA-N 0 0 425.463 -0.636 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)C1=O ZINC000522032301 1072489478 /nfs/dbraw/zinc/48/94/78/1072489478.db2.gz WKMVXNBCILQLCF-WZRBSPASSA-N 0 0 425.463 -0.636 20 0 IBADRN Cc1csc([C@H]2COCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)n1 ZINC000522032370 1072489486 /nfs/dbraw/zinc/48/94/86/1072489486.db2.gz YSAQVYSKDMLION-CYBMUJFWSA-N 0 0 443.507 -0.425 20 0 IBADRN Cc1csc([C@@H]2COCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)n1 ZINC000522032371 1072489499 /nfs/dbraw/zinc/48/94/99/1072489499.db2.gz YSAQVYSKDMLION-ZDUSSCGKSA-N 0 0 443.507 -0.425 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCCC(=O)NCC(=O)NCCN2CCOCC2)cc1C ZINC000522034742 1072489515 /nfs/dbraw/zinc/48/95/15/1072489515.db2.gz MZCYYRSPSDNMJR-UHFFFAOYSA-N 0 0 440.566 -0.073 20 0 IBADRN COCCCN(CCO)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000522035423 1072490000 /nfs/dbraw/zinc/49/00/00/1072490000.db2.gz SWPHDQDHAJYLKI-OALUTQOASA-N 0 0 432.521 -0.441 20 0 IBADRN COCCCN(CCO)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000522035424 1072490142 /nfs/dbraw/zinc/49/01/42/1072490142.db2.gz SWPHDQDHAJYLKI-RBUKOAKNSA-N 0 0 432.521 -0.441 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)NCCOc2ccc3c(c2)OCO3)CC1 ZINC000522037385 1072490116 /nfs/dbraw/zinc/49/01/16/1072490116.db2.gz KFOBXXZYIBSRKG-UHFFFAOYSA-N 0 0 428.511 -0.276 20 0 IBADRN CCn1c2ccc(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2[nH]c(=O)c1=O ZINC000522037494 1072490158 /nfs/dbraw/zinc/49/01/58/1072490158.db2.gz KVQUCENUTPKLOO-AWEZNQCLSA-N 0 0 438.506 -0.072 20 0 IBADRN CCn1c2ccc(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2[nH]c(=O)c1=O ZINC000522037495 1072489954 /nfs/dbraw/zinc/48/99/54/1072489954.db2.gz KVQUCENUTPKLOO-CQSZACIVSA-N 0 0 438.506 -0.072 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522038665 1072490136 /nfs/dbraw/zinc/49/01/36/1072490136.db2.gz MDJCLGYFGUIQTE-DZGCQCFKSA-N 0 0 425.463 -0.790 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522038666 1072489978 /nfs/dbraw/zinc/48/99/78/1072489978.db2.gz MDJCLGYFGUIQTE-HIFRSBDPSA-N 0 0 425.463 -0.790 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522038667 1072490593 /nfs/dbraw/zinc/49/05/93/1072490593.db2.gz MDJCLGYFGUIQTE-UKRRQHHQSA-N 0 0 425.463 -0.790 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522038668 1072490605 /nfs/dbraw/zinc/49/06/05/1072490605.db2.gz MDJCLGYFGUIQTE-ZFWWWQNUSA-N 0 0 425.463 -0.790 20 0 IBADRN O=C(CN1CCCC1=O)NCc1cccnc1N1CCN(C(=O)CN2CCCC2=O)CC1 ZINC000522040553 1072489373 /nfs/dbraw/zinc/48/93/73/1072489373.db2.gz BTTNKDWUSHPMRG-UHFFFAOYSA-N 0 0 442.520 -0.409 20 0 IBADRN COCCNC(=O)CNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000522041087 1072490570 /nfs/dbraw/zinc/49/05/70/1072490570.db2.gz NEBURYZEMANAEA-UHFFFAOYSA-N 0 0 430.206 -0.241 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000522049232 1072491437 /nfs/dbraw/zinc/49/14/37/1072491437.db2.gz TVTOGHYLHLBTPY-HNNXBMFYSA-N 0 0 440.588 -0.506 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000522049233 1072491284 /nfs/dbraw/zinc/49/12/84/1072491284.db2.gz TVTOGHYLHLBTPY-OAHLLOKOSA-N 0 0 440.588 -0.506 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)CN3CC(=O)NC3=O)CC2)c1 ZINC000522049565 1072491466 /nfs/dbraw/zinc/49/14/66/1072491466.db2.gz BDOPYGPNWNSZGI-UHFFFAOYSA-N 0 0 431.474 -0.072 20 0 IBADRN O=C(CCNC(=O)N1CCc2ccccc2C1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000522050606 1072491396 /nfs/dbraw/zinc/49/13/96/1072491396.db2.gz YYALJVDRNAQRDB-UHFFFAOYSA-N 0 0 426.481 -0.498 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2c(=O)[nH]1 ZINC000522053773 1072490539 /nfs/dbraw/zinc/49/05/39/1072490539.db2.gz JCGRVCAQRFMVGZ-LLVKDONJSA-N 0 0 446.507 -0.192 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2c(=O)[nH]1 ZINC000522053774 1072490587 /nfs/dbraw/zinc/49/05/87/1072490587.db2.gz JCGRVCAQRFMVGZ-NSHDSACASA-N 0 0 446.507 -0.192 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000522055649 1072491989 /nfs/dbraw/zinc/49/19/89/1072491989.db2.gz APRLYMQKQAEQOD-HNNXBMFYSA-N 0 0 441.572 -0.416 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000522055650 1072491916 /nfs/dbraw/zinc/49/19/16/1072491916.db2.gz APRLYMQKQAEQOD-OAHLLOKOSA-N 0 0 441.572 -0.416 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N[C@@H](CN2CCOCC2)C(=O)OC)c1 ZINC000522058893 1072492665 /nfs/dbraw/zinc/49/26/65/1072492665.db2.gz PUZGCBISLZQKBO-AWEZNQCLSA-N 0 0 444.462 -0.588 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N[C@H](CN2CCOCC2)C(=O)OC)c1 ZINC000522058894 1072492716 /nfs/dbraw/zinc/49/27/16/1072492716.db2.gz PUZGCBISLZQKBO-CQSZACIVSA-N 0 0 444.462 -0.588 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000522063284 1072492561 /nfs/dbraw/zinc/49/25/61/1072492561.db2.gz RMHCAHQSSBUNDK-UHFFFAOYSA-N 0 0 441.553 -0.336 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000522063993 1072492710 /nfs/dbraw/zinc/49/27/10/1072492710.db2.gz CKRQIMOLHFXIEF-UHFFFAOYSA-N 0 0 445.476 -0.138 20 0 IBADRN COc1ccc(CN(CCO)C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c(OC)c1 ZINC000522070443 1072491807 /nfs/dbraw/zinc/49/18/07/1072491807.db2.gz RXXPTJLZYPLWSQ-UHFFFAOYSA-N 0 0 445.476 -0.138 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCC(=O)NCCOC ZINC000522073296 1072494074 /nfs/dbraw/zinc/49/40/74/1072494074.db2.gz CTWACGYBPGUIKX-UHFFFAOYSA-N 0 0 444.510 -0.010 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)n1C ZINC000522074273 1072494225 /nfs/dbraw/zinc/49/42/25/1072494225.db2.gz MZFOSLXZBRMWRS-UHFFFAOYSA-N 0 0 430.538 -0.031 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000522075806 1072493944 /nfs/dbraw/zinc/49/39/44/1072493944.db2.gz CKEVXZBGKMVDML-UHFFFAOYSA-N 0 0 446.551 -0.231 20 0 IBADRN Cc1nnc(CN(C)CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)n1C ZINC000522076714 1072494806 /nfs/dbraw/zinc/49/48/06/1072494806.db2.gz NBZWZYCJQRNLHX-UHFFFAOYSA-N 0 0 438.510 -0.079 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000522076980 1072494023 /nfs/dbraw/zinc/49/40/23/1072494023.db2.gz DCDKVMKRDYSLEA-UHFFFAOYSA-N 0 0 430.508 -0.783 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000522080356 1072494745 /nfs/dbraw/zinc/49/47/45/1072494745.db2.gz AAGHASUOXGOUDK-CYBMUJFWSA-N 0 0 428.577 -0.508 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000522080357 1072494640 /nfs/dbraw/zinc/49/46/40/1072494640.db2.gz AAGHASUOXGOUDK-ZDUSSCGKSA-N 0 0 428.577 -0.508 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522082374 1072494677 /nfs/dbraw/zinc/49/46/77/1072494677.db2.gz UXKFDAMTEPXGEB-CYBMUJFWSA-N 0 0 436.581 -0.915 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522082375 1072494734 /nfs/dbraw/zinc/49/47/34/1072494734.db2.gz UXKFDAMTEPXGEB-ZDUSSCGKSA-N 0 0 436.581 -0.915 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)N2CCN(Cc3c(F)cccc3Cl)CC2)CC1 ZINC000522082607 1072495343 /nfs/dbraw/zinc/49/53/43/1072495343.db2.gz AAQLYIOMTQJPTR-UHFFFAOYSA-N 0 0 433.937 -0.056 20 0 IBADRN COCCN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1ccc2c(c1)OCO2 ZINC000522082979 1072495243 /nfs/dbraw/zinc/49/52/43/1072495243.db2.gz YQGVQBPKFKTCOA-AWEZNQCLSA-N 0 0 427.479 -0.123 20 0 IBADRN COCCN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1ccc2c(c1)OCO2 ZINC000522082980 1072495330 /nfs/dbraw/zinc/49/53/30/1072495330.db2.gz YQGVQBPKFKTCOA-CQSZACIVSA-N 0 0 427.479 -0.123 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)N2CCN(c3ncc(Cl)cc3Cl)CC2)CC1 ZINC000522083368 1072495362 /nfs/dbraw/zinc/49/53/62/1072495362.db2.gz QQYLZSQITJLAFS-UHFFFAOYSA-N 0 0 437.353 -0.142 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H](N4CCCC4=O)C3)cnc2n(C)c1=O ZINC000522099263 1072496047 /nfs/dbraw/zinc/49/60/47/1072496047.db2.gz JXGLNAYAQPRERA-CYBMUJFWSA-N 0 0 428.449 -0.816 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H](N4CCCC4=O)C3)cnc2n(C)c1=O ZINC000522099264 1072495880 /nfs/dbraw/zinc/49/58/80/1072495880.db2.gz JXGLNAYAQPRERA-ZDUSSCGKSA-N 0 0 428.449 -0.816 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000522100165 1072495211 /nfs/dbraw/zinc/49/52/11/1072495211.db2.gz DBZGZLDAKJRWCQ-KRWDZBQOSA-N 0 0 432.477 -0.328 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000522100166 1072495534 /nfs/dbraw/zinc/49/55/34/1072495534.db2.gz DBZGZLDAKJRWCQ-QGZVFWFLSA-N 0 0 432.477 -0.328 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC(=O)NCCOC ZINC000522100957 1072495985 /nfs/dbraw/zinc/49/59/85/1072495985.db2.gz INBGDMSGYQTUQA-UHFFFAOYSA-N 0 0 440.522 -0.515 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000522110325 1072496731 /nfs/dbraw/zinc/49/67/31/1072496731.db2.gz JWCOYHZDFLXROV-UHFFFAOYSA-N 0 0 433.490 -0.225 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000522114385 1072497253 /nfs/dbraw/zinc/49/72/53/1072497253.db2.gz ZLCNELOSANCDEU-UHFFFAOYSA-N 0 0 448.567 -0.212 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)Cn3cc(S(N)(=O)=O)cn3)c2)CC1 ZINC000522123542 1072498081 /nfs/dbraw/zinc/49/80/81/1072498081.db2.gz UHFZMJCZNUBTGQ-UHFFFAOYSA-N 0 0 442.523 -0.895 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c1 ZINC000522124241 1072496782 /nfs/dbraw/zinc/49/67/82/1072496782.db2.gz OCERNDCUEDLHSE-UHFFFAOYSA-N 0 0 437.478 -0.468 20 0 IBADRN CCc1cccc(NC(=O)CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000522126464 1072497374 /nfs/dbraw/zinc/49/73/74/1072497374.db2.gz FCQZDIFDKOSUKL-UHFFFAOYSA-N 0 0 431.493 -0.100 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000522131382 1072498012 /nfs/dbraw/zinc/49/80/12/1072498012.db2.gz PQMYQMUDPIJSDE-UHFFFAOYSA-N 0 0 431.541 -0.370 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000522132641 1072498072 /nfs/dbraw/zinc/49/80/72/1072498072.db2.gz NQMCQQUJKSMEMP-UHFFFAOYSA-N 0 0 426.543 -0.251 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000522133379 1072497821 /nfs/dbraw/zinc/49/78/21/1072497821.db2.gz QNYDJVMZUZZDRH-UHFFFAOYSA-N 0 0 438.554 -0.203 20 0 IBADRN Cn1c(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000522157872 1072498920 /nfs/dbraw/zinc/49/89/20/1072498920.db2.gz XCRWSZRFVWWWPM-UHFFFAOYSA-N 0 0 430.509 -0.750 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cc1 ZINC000522162057 1072499220 /nfs/dbraw/zinc/49/92/20/1072499220.db2.gz KUBVNOLLFBKHAO-UHFFFAOYSA-N 0 0 430.552 -0.443 20 0 IBADRN O=C(C1CCC1)N1CCN(CCNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000522162266 1072499071 /nfs/dbraw/zinc/49/90/71/1072499071.db2.gz ZOOLPKPMFBUIDR-UHFFFAOYSA-N 0 0 435.506 -0.561 20 0 IBADRN COc1cccc(CC(=O)N2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)c1 ZINC000522163812 1072499157 /nfs/dbraw/zinc/49/91/57/1072499157.db2.gz KQNJCGQLVCITQL-UHFFFAOYSA-N 0 0 440.566 -0.220 20 0 IBADRN CN(CCCNC(=O)CN1CCN(C(=O)Cc2ccccc2F)CC1)S(C)(=O)=O ZINC000522164239 1072498997 /nfs/dbraw/zinc/49/89/97/1072498997.db2.gz XGJRPQQGYRNDQP-UHFFFAOYSA-N 0 0 428.530 -0.090 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000522164514 1072499090 /nfs/dbraw/zinc/49/90/90/1072499090.db2.gz NGAAXCLQOXMVBA-UHFFFAOYSA-N 0 0 435.500 -0.330 20 0 IBADRN CN(CCCNC(=O)CN1CCN(Cc2ccc3c(c2)OCCO3)CC1)S(C)(=O)=O ZINC000522165407 1072499133 /nfs/dbraw/zinc/49/91/33/1072499133.db2.gz OOFBIIOXMMZGRD-UHFFFAOYSA-N 0 0 440.566 -0.027 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@@H]3C(=O)N3CCSCC3)c2c(=O)n(C)c1=O ZINC000522168873 1072499253 /nfs/dbraw/zinc/49/92/53/1072499253.db2.gz COSRVSOWHDJVTN-CYBMUJFWSA-N 0 0 434.522 -0.610 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@H]3C(=O)N3CCSCC3)c2c(=O)n(C)c1=O ZINC000522168874 1072498939 /nfs/dbraw/zinc/49/89/39/1072498939.db2.gz COSRVSOWHDJVTN-ZDUSSCGKSA-N 0 0 434.522 -0.610 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H](C(=O)Nc1cc[nH]n1)c1ccccc1)c(=O)n2C ZINC000522188010 1072504875 /nfs/dbraw/zinc/50/48/75/1072504875.db2.gz XJEKLTNWQYCQPF-HNNXBMFYSA-N 0 0 436.432 -0.347 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H](C(=O)Nc1cc[nH]n1)c1ccccc1)c(=O)n2C ZINC000522188011 1073307328 /nfs/dbraw/zinc/30/73/28/1073307328.db2.gz XJEKLTNWQYCQPF-OAHLLOKOSA-N 0 0 436.432 -0.347 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000522188045 1072504866 /nfs/dbraw/zinc/50/48/66/1072504866.db2.gz KJMIXAYLGNEZRH-KBPBESRZSA-N 0 0 441.506 -0.025 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000522188046 1072504802 /nfs/dbraw/zinc/50/48/02/1072504802.db2.gz KJMIXAYLGNEZRH-OKILXGFUSA-N 0 0 441.506 -0.025 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000522188047 1072504739 /nfs/dbraw/zinc/50/47/39/1072504739.db2.gz KJMIXAYLGNEZRH-ZIAGYGMSSA-N 0 0 441.506 -0.025 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(=O)n(Cc3ccccc3)n2)CC1 ZINC000522189402 1072505850 /nfs/dbraw/zinc/50/58/50/1072505850.db2.gz ZWOXZNLBEUEQAS-UHFFFAOYSA-N 0 0 437.456 -0.275 20 0 IBADRN CSc1nc(C)c(CCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(=O)[nH]1 ZINC000522189946 1072505329 /nfs/dbraw/zinc/50/53/29/1072505329.db2.gz LDDSGDRSWGTUCW-UHFFFAOYSA-N 0 0 435.506 -0.035 20 0 IBADRN COCCN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000522190131 1072505358 /nfs/dbraw/zinc/50/53/58/1072505358.db2.gz SXYMUCXXZHOGDI-KRWDZBQOSA-N 0 0 447.579 -0.441 20 0 IBADRN COCCN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000522190132 1072505349 /nfs/dbraw/zinc/50/53/49/1072505349.db2.gz SXYMUCXXZHOGDI-QGZVFWFLSA-N 0 0 447.579 -0.441 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc3c(c2)nc2n(c3=O)CCC2)CC1 ZINC000522190280 1072506836 /nfs/dbraw/zinc/50/68/36/1072506836.db2.gz MRHWGALREHUUPX-UHFFFAOYSA-N 0 0 437.456 -0.224 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000522190818 1072506456 /nfs/dbraw/zinc/50/64/56/1072506456.db2.gz MDMAZRNHSUHVTA-AWEZNQCLSA-N 0 0 427.479 -0.366 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000522190823 1072506420 /nfs/dbraw/zinc/50/64/20/1072506420.db2.gz MDMAZRNHSUHVTA-CQSZACIVSA-N 0 0 427.479 -0.366 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000522191267 1072507490 /nfs/dbraw/zinc/50/74/90/1072507490.db2.gz PSOSYYYPULZKLW-IBGZPJMESA-N 0 0 437.585 -0.430 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000522191268 1072507411 /nfs/dbraw/zinc/50/74/11/1072507411.db2.gz PSOSYYYPULZKLW-LJQANCHMSA-N 0 0 437.585 -0.430 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000522195208 1072506434 /nfs/dbraw/zinc/50/64/34/1072506434.db2.gz NOWQXRJHGWZTBE-UHFFFAOYSA-N 0 0 425.507 -0.433 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1 ZINC000522197403 1072509594 /nfs/dbraw/zinc/50/95/94/1072509594.db2.gz OJFHONOVWOPNFE-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1 ZINC000522197404 1072509396 /nfs/dbraw/zinc/50/93/96/1072509396.db2.gz OJFHONOVWOPNFE-NSHDSACASA-N 0 0 429.517 -0.101 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000522198260 1072509976 /nfs/dbraw/zinc/50/99/76/1072509976.db2.gz GVOAVLDLXXTFBJ-HNNXBMFYSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000522198261 1072509925 /nfs/dbraw/zinc/50/99/25/1072509925.db2.gz GVOAVLDLXXTFBJ-OAHLLOKOSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cc(-c2ccccc2)on1 ZINC000522200318 1072510479 /nfs/dbraw/zinc/51/04/79/1072510479.db2.gz AFUIDABTTCWPJY-AWEZNQCLSA-N 0 0 434.474 -0.167 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cc(-c2ccccc2)on1 ZINC000522200319 1072510606 /nfs/dbraw/zinc/51/06/06/1072510606.db2.gz AFUIDABTTCWPJY-CQSZACIVSA-N 0 0 434.474 -0.167 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000522200561 1072510587 /nfs/dbraw/zinc/51/05/87/1072510587.db2.gz VMTPZWUZWRFAIE-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000522200562 1072510355 /nfs/dbraw/zinc/51/03/55/1072510355.db2.gz VMTPZWUZWRFAIE-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN CCOC(=O)C1(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)Cc2ccccc2C1 ZINC000522211038 1072511978 /nfs/dbraw/zinc/51/19/78/1072511978.db2.gz TXOWZOBRMKTFTJ-UHFFFAOYSA-N 0 0 425.445 -0.349 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000522211170 1072511935 /nfs/dbraw/zinc/51/19/35/1072511935.db2.gz RNOGLYDZLZOQFG-INIZCTEOSA-N 0 0 448.567 -0.166 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000522211171 1072512065 /nfs/dbraw/zinc/51/20/65/1072512065.db2.gz RNOGLYDZLZOQFG-MRXNPFEDSA-N 0 0 448.567 -0.166 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000522212176 1072511950 /nfs/dbraw/zinc/51/19/50/1072511950.db2.gz MIBWAPDIBQBXOK-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000522215415 1072512431 /nfs/dbraw/zinc/51/24/31/1072512431.db2.gz AZFBCURIGUAGMT-UHFFFAOYSA-N 0 0 446.370 -0.107 20 0 IBADRN COCCOCCCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000522217654 1072512348 /nfs/dbraw/zinc/51/23/48/1072512348.db2.gz BIQVSQHWIVHFQZ-UHFFFAOYSA-N 0 0 432.499 -0.162 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000522220646 1072513038 /nfs/dbraw/zinc/51/30/38/1072513038.db2.gz CKKOBSPREANTTB-HNNXBMFYSA-N 0 0 439.542 -0.762 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000522220647 1072513004 /nfs/dbraw/zinc/51/30/04/1072513004.db2.gz CKKOBSPREANTTB-OAHLLOKOSA-N 0 0 439.542 -0.762 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000522220674 1072513001 /nfs/dbraw/zinc/51/30/01/1072513001.db2.gz KRVLMYLYICXJRZ-HNNXBMFYSA-N 0 0 436.513 -0.600 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000522220675 1072512973 /nfs/dbraw/zinc/51/29/73/1072512973.db2.gz KRVLMYLYICXJRZ-OAHLLOKOSA-N 0 0 436.513 -0.600 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1 ZINC000522226562 1072513457 /nfs/dbraw/zinc/51/34/57/1072513457.db2.gz BWNQPDKORXOSAX-AWEZNQCLSA-N 0 0 436.490 -0.186 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1 ZINC000522226563 1072514021 /nfs/dbraw/zinc/51/40/21/1072514021.db2.gz BWNQPDKORXOSAX-CQSZACIVSA-N 0 0 436.490 -0.186 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC000522226720 1072513566 /nfs/dbraw/zinc/51/35/66/1072513566.db2.gz PMVKSOAVUWGKNR-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC000522226721 1072513481 /nfs/dbraw/zinc/51/34/81/1072513481.db2.gz PMVKSOAVUWGKNR-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2OC)C1 ZINC000522227132 1072513472 /nfs/dbraw/zinc/51/34/72/1072513472.db2.gz GZNMTGMFXFGTNO-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2OC)C1 ZINC000522227133 1072513346 /nfs/dbraw/zinc/51/33/46/1072513346.db2.gz GZNMTGMFXFGTNO-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000522231061 1072512867 /nfs/dbraw/zinc/51/28/67/1072512867.db2.gz LNNKDFVVZRSTNG-KBPBESRZSA-N 0 0 426.561 -0.802 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000522231062 1072512842 /nfs/dbraw/zinc/51/28/42/1072512842.db2.gz LNNKDFVVZRSTNG-KGLIPLIRSA-N 0 0 426.561 -0.802 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000522231063 1072512857 /nfs/dbraw/zinc/51/28/57/1072512857.db2.gz LNNKDFVVZRSTNG-UONOGXRCSA-N 0 0 426.561 -0.802 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000522231064 1072513445 /nfs/dbraw/zinc/51/34/45/1072513445.db2.gz LNNKDFVVZRSTNG-ZIAGYGMSSA-N 0 0 426.561 -0.802 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cn1C ZINC000522238325 1072514535 /nfs/dbraw/zinc/51/45/35/1072514535.db2.gz SVFJOUYUVIUWPV-UHFFFAOYSA-N 0 0 440.570 -0.446 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1C ZINC000522239067 1072514350 /nfs/dbraw/zinc/51/43/50/1072514350.db2.gz FYRGHJDRSFMWES-UHFFFAOYSA-N 0 0 426.543 -0.836 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000522240845 1072514568 /nfs/dbraw/zinc/51/45/68/1072514568.db2.gz XOBRXITXLNKEPV-UHFFFAOYSA-N 0 0 428.559 -0.590 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1C ZINC000522241038 1072514592 /nfs/dbraw/zinc/51/45/92/1072514592.db2.gz KLHGFKSXGIGBIQ-UHFFFAOYSA-N 0 0 447.525 -0.578 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000522241350 1072514398 /nfs/dbraw/zinc/51/43/98/1072514398.db2.gz HIFONQLXHQQXIT-UHFFFAOYSA-N 0 0 435.572 -0.283 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)cn1C ZINC000522241417 1072514368 /nfs/dbraw/zinc/51/43/68/1072514368.db2.gz SDCFFBLNHKFOGR-UHFFFAOYSA-N 0 0 434.522 -0.210 20 0 IBADRN CCn1cc(CN(C)C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000522244661 1072515489 /nfs/dbraw/zinc/51/54/89/1072515489.db2.gz PEJUDFDFHFXKDG-UHFFFAOYSA-N 0 0 448.505 -0.379 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)cn1C ZINC000522246994 1072515522 /nfs/dbraw/zinc/51/55/22/1072515522.db2.gz DMALBQGZMXZQLZ-UHFFFAOYSA-N 0 0 448.549 -0.138 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCc3c(C)[nH][nH]c3=O)cc2)CC1 ZINC000522254506 1072515943 /nfs/dbraw/zinc/51/59/43/1072515943.db2.gz QMOROSJMOSHCNP-KRWDZBQOSA-N 0 0 435.506 -0.219 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCc3c(C)[nH][nH]c3=O)cc2)CC1 ZINC000522254507 1072516022 /nfs/dbraw/zinc/51/60/22/1072516022.db2.gz QMOROSJMOSHCNP-QGZVFWFLSA-N 0 0 435.506 -0.219 20 0 IBADRN O=C(COCC(=O)N1CCN(c2cccnn2)CC1)N1CCN(c2cccnn2)CC1 ZINC000522259470 1072516941 /nfs/dbraw/zinc/51/69/41/1072516941.db2.gz CLLPDWHXGUDCCJ-UHFFFAOYSA-N 0 0 426.481 -0.719 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000522272021 1072517556 /nfs/dbraw/zinc/51/75/56/1072517556.db2.gz QVKKDHRSTFZAFE-UHFFFAOYSA-N 0 0 437.254 -0.446 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000522272600 1072518141 /nfs/dbraw/zinc/51/81/41/1072518141.db2.gz DTEWXYVSLIQFOO-FQEVSTJZSA-N 0 0 449.577 -0.404 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000522272601 1072518209 /nfs/dbraw/zinc/51/82/09/1072518209.db2.gz DTEWXYVSLIQFOO-HXUWFJFHSA-N 0 0 449.577 -0.404 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000522275929 1072517573 /nfs/dbraw/zinc/51/75/73/1072517573.db2.gz HSCMVEUUOOLAKJ-INIZCTEOSA-N 0 0 438.554 -0.158 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000522275930 1072517715 /nfs/dbraw/zinc/51/77/15/1072517715.db2.gz HSCMVEUUOOLAKJ-MRXNPFEDSA-N 0 0 438.554 -0.158 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000522279073 1072518169 /nfs/dbraw/zinc/51/81/69/1072518169.db2.gz NTSJKUPRWBVHRK-DLBZAZTESA-N 0 0 438.525 -0.820 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000522279074 1072518198 /nfs/dbraw/zinc/51/81/98/1072518198.db2.gz NTSJKUPRWBVHRK-IAGOWNOFSA-N 0 0 438.525 -0.820 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000522279075 1072518091 /nfs/dbraw/zinc/51/80/91/1072518091.db2.gz NTSJKUPRWBVHRK-IRXDYDNUSA-N 0 0 438.525 -0.820 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000522279076 1072518157 /nfs/dbraw/zinc/51/81/57/1072518157.db2.gz NTSJKUPRWBVHRK-SJORKVTESA-N 0 0 438.525 -0.820 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000522287963 1072517993 /nfs/dbraw/zinc/51/79/93/1072517993.db2.gz ONHUKXBMRXUQGF-UHFFFAOYSA-N 0 0 442.567 -0.609 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522294821 1072518575 /nfs/dbraw/zinc/51/85/75/1072518575.db2.gz RWQQKUHAGOUOGZ-NEPJUHHUSA-N 0 0 430.465 -0.666 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522294822 1072518656 /nfs/dbraw/zinc/51/86/56/1072518656.db2.gz RWQQKUHAGOUOGZ-NWDGAFQWSA-N 0 0 430.465 -0.666 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522294823 1072518527 /nfs/dbraw/zinc/51/85/27/1072518527.db2.gz RWQQKUHAGOUOGZ-RYUDHWBXSA-N 0 0 430.465 -0.666 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522294824 1072518459 /nfs/dbraw/zinc/51/84/59/1072518459.db2.gz RWQQKUHAGOUOGZ-VXGBXAGGSA-N 0 0 430.465 -0.666 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC(CS(N)(=O)=O)CC2)C1 ZINC000522300322 1072518950 /nfs/dbraw/zinc/51/89/50/1072518950.db2.gz PGWZXVYBKZCBOJ-HNNXBMFYSA-N 0 0 447.583 -0.046 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC(CS(N)(=O)=O)CC2)C1 ZINC000522300323 1072519159 /nfs/dbraw/zinc/51/91/59/1072519159.db2.gz PGWZXVYBKZCBOJ-OAHLLOKOSA-N 0 0 447.583 -0.046 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000522308232 1072518939 /nfs/dbraw/zinc/51/89/39/1072518939.db2.gz ADKNPWURUHFBFY-GOSISDBHSA-N 0 0 446.552 -0.007 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000522308233 1072518964 /nfs/dbraw/zinc/51/89/64/1072518964.db2.gz ADKNPWURUHFBFY-SFHVURJKSA-N 0 0 446.552 -0.007 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000522308738 1072519014 /nfs/dbraw/zinc/51/90/14/1072519014.db2.gz DCYNYTRBAGSKGD-GOSISDBHSA-N 0 0 446.552 -0.343 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000522308739 1072519106 /nfs/dbraw/zinc/51/91/06/1072519106.db2.gz DCYNYTRBAGSKGD-SFHVURJKSA-N 0 0 446.552 -0.343 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000522308740 1072519188 /nfs/dbraw/zinc/51/91/88/1072519188.db2.gz DDGBWKBMRRIISS-UHFFFAOYSA-N 0 0 432.506 -0.009 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000522308871 1072519056 /nfs/dbraw/zinc/51/90/56/1072519056.db2.gz KNDNAJKAHQZTHL-UHFFFAOYSA-N 0 0 432.506 -0.098 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)CC1)Nc1nncs1 ZINC000522310860 1072519638 /nfs/dbraw/zinc/51/96/38/1072519638.db2.gz WULNKFJPNYDSFQ-UHFFFAOYSA-N 0 0 432.462 -0.221 20 0 IBADRN Cc1cc(N2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)n2ncnc2n1 ZINC000522312549 1072520197 /nfs/dbraw/zinc/52/01/97/1072520197.db2.gz VLGJMUQVLVVAHS-UHFFFAOYSA-N 0 0 437.464 -0.716 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)CC2)CC1 ZINC000522316668 1072520736 /nfs/dbraw/zinc/52/07/36/1072520736.db2.gz DZXKHSZENNFSFZ-KRWDZBQOSA-N 0 0 429.587 -0.004 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)CC2)CC1 ZINC000522316669 1072520605 /nfs/dbraw/zinc/52/06/05/1072520605.db2.gz DZXKHSZENNFSFZ-QGZVFWFLSA-N 0 0 429.587 -0.004 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000522316945 1072520531 /nfs/dbraw/zinc/52/05/31/1072520531.db2.gz SRFDOXNWRBUCHL-INIZCTEOSA-N 0 0 433.575 -0.768 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000522316946 1072520544 /nfs/dbraw/zinc/52/05/44/1072520544.db2.gz SRFDOXNWRBUCHL-MRXNPFEDSA-N 0 0 433.575 -0.768 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000522317373 1072520539 /nfs/dbraw/zinc/52/05/39/1072520539.db2.gz KMDNNQRJWGTIFU-KRWDZBQOSA-N 0 0 449.556 -0.654 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000522317374 1072520580 /nfs/dbraw/zinc/52/05/80/1072520580.db2.gz KMDNNQRJWGTIFU-QGZVFWFLSA-N 0 0 449.556 -0.654 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC1 ZINC000522317557 1072520242 /nfs/dbraw/zinc/52/02/42/1072520242.db2.gz TYRCIMOKRYBTHE-HNNXBMFYSA-N 0 0 433.575 -0.721 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC1 ZINC000522317558 1072520590 /nfs/dbraw/zinc/52/05/90/1072520590.db2.gz TYRCIMOKRYBTHE-OAHLLOKOSA-N 0 0 433.575 -0.721 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000522317580 1072520567 /nfs/dbraw/zinc/52/05/67/1072520567.db2.gz UOAMEIINJMFGMF-INIZCTEOSA-N 0 0 438.554 -0.299 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000522317581 1072520686 /nfs/dbraw/zinc/52/06/86/1072520686.db2.gz UOAMEIINJMFGMF-MRXNPFEDSA-N 0 0 438.554 -0.299 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000522349845 1072523041 /nfs/dbraw/zinc/52/30/41/1072523041.db2.gz INJGETMRPCSASD-CYBMUJFWSA-N 0 0 447.535 -0.244 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000522349846 1072523157 /nfs/dbraw/zinc/52/31/57/1072523157.db2.gz INJGETMRPCSASD-ZDUSSCGKSA-N 0 0 447.535 -0.244 20 0 IBADRN COc1ccc(S(=O)(=O)N2CSC[C@@H]2C(=O)N(C)C)cc1[C@]1(C)NC(=O)NC1=O ZINC000522349962 1072523076 /nfs/dbraw/zinc/52/30/76/1072523076.db2.gz OHJLCQPWGIUSMX-PXAZEXFGSA-N 0 0 442.519 -0.098 20 0 IBADRN COc1ccc(S(=O)(=O)N2CSC[C@H]2C(=O)N(C)C)cc1[C@]1(C)NC(=O)NC1=O ZINC000522349963 1072523059 /nfs/dbraw/zinc/52/30/59/1072523059.db2.gz OHJLCQPWGIUSMX-SJCJKPOMSA-N 0 0 442.519 -0.098 20 0 IBADRN COc1ccc(S(=O)(=O)N2CSC[C@@H]2C(=O)N(C)C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000522349964 1072523148 /nfs/dbraw/zinc/52/31/48/1072523148.db2.gz OHJLCQPWGIUSMX-SJKOYZFVSA-N 0 0 442.519 -0.098 20 0 IBADRN COc1ccc(S(=O)(=O)N2CSC[C@H]2C(=O)N(C)C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000522349965 1072522997 /nfs/dbraw/zinc/52/29/97/1072522997.db2.gz OHJLCQPWGIUSMX-YVEFUNNKSA-N 0 0 442.519 -0.098 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)CNS(=O)(=O)c3cn(C)c(C)n3)C2=O)cc1 ZINC000522352485 1072523068 /nfs/dbraw/zinc/52/30/68/1072523068.db2.gz ICNMWLNFABOLJY-INIZCTEOSA-N 0 0 435.506 -0.067 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)CNS(=O)(=O)c3cn(C)c(C)n3)C2=O)cc1 ZINC000522352486 1072523122 /nfs/dbraw/zinc/52/31/22/1072523122.db2.gz ICNMWLNFABOLJY-MRXNPFEDSA-N 0 0 435.506 -0.067 20 0 IBADRN CC(=O)N(CCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Cc1ccccc1 ZINC000522357351 1072523567 /nfs/dbraw/zinc/52/35/67/1072523567.db2.gz ISTRHNOUUMZXHD-UHFFFAOYSA-N 0 0 430.505 -0.045 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)c1 ZINC000522360110 1072523476 /nfs/dbraw/zinc/52/34/76/1072523476.db2.gz NKELYNYSXYXZBW-UHFFFAOYSA-N 0 0 436.494 -0.170 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000522360986 1072524150 /nfs/dbraw/zinc/52/41/50/1072524150.db2.gz COKPMSIANQXWEN-UHFFFAOYSA-N 0 0 441.535 -0.238 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)c1 ZINC000522362430 1072524107 /nfs/dbraw/zinc/52/41/07/1072524107.db2.gz MGGNQBSJAWOPQS-UHFFFAOYSA-N 0 0 427.508 -0.546 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)c1 ZINC000522371745 1072524045 /nfs/dbraw/zinc/52/40/45/1072524045.db2.gz YYQVCAXVOHFNOW-UHFFFAOYSA-N 0 0 434.522 -0.619 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)c1 ZINC000522377454 1072524357 /nfs/dbraw/zinc/52/43/57/1072524357.db2.gz XLUBIRHCGGUXTQ-UHFFFAOYSA-N 0 0 439.469 -0.191 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)CC1=O ZINC000522379022 1072524922 /nfs/dbraw/zinc/52/49/22/1072524922.db2.gz SCTJHWNKWOOVLW-INIZCTEOSA-N 0 0 437.518 -0.131 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)CC1=O ZINC000522379023 1072524947 /nfs/dbraw/zinc/52/49/47/1072524947.db2.gz SCTJHWNKWOOVLW-MRXNPFEDSA-N 0 0 437.518 -0.131 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC1CCC(C(=O)N3CCSCC3)CC1)c(=O)n2C ZINC000522379472 1072524935 /nfs/dbraw/zinc/52/49/35/1072524935.db2.gz WEEIGVQJQGSRAL-UHFFFAOYSA-N 0 0 448.549 -0.316 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN([C@H]3CC(=O)N(c4cnccn4)C3=O)CC2)c1 ZINC000522381914 1072525363 /nfs/dbraw/zinc/52/53/63/1072525363.db2.gz RJRJOYUPVJHOIZ-INIZCTEOSA-N 0 0 443.485 -0.030 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN([C@@H]3CC(=O)N(c4cnccn4)C3=O)CC2)c1 ZINC000522381936 1072525380 /nfs/dbraw/zinc/52/53/80/1072525380.db2.gz RJRJOYUPVJHOIZ-MRXNPFEDSA-N 0 0 443.485 -0.030 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)C1=O ZINC000522383723 1072525993 /nfs/dbraw/zinc/52/59/93/1072525993.db2.gz MEDLUKMRFPYJKV-UHFFFAOYSA-N 0 0 436.490 -0.295 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000522386307 1072525821 /nfs/dbraw/zinc/52/58/21/1072525821.db2.gz MWCYAJJFACOIHU-UHFFFAOYSA-N 0 0 435.510 -0.829 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)Cn2c(-c3cnccn3)noc2=O)c(=O)[nH]c1=O ZINC000522391969 1072525842 /nfs/dbraw/zinc/52/58/42/1072525842.db2.gz GOHXWIPFSMZYPH-UHFFFAOYSA-N 0 0 430.425 -0.009 20 0 IBADRN O=C(Cn1c(=O)onc1-c1cnccn1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000522391977 1072525887 /nfs/dbraw/zinc/52/58/87/1072525887.db2.gz GYBZNKPHKRISDQ-UHFFFAOYSA-N 0 0 444.473 -0.033 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CCC(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC000522411162 1072527328 /nfs/dbraw/zinc/52/73/28/1072527328.db2.gz BHTFGDBVOBXCTE-IMFGXOCKSA-N 0 0 446.552 -0.279 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000522411163 1072527314 /nfs/dbraw/zinc/52/73/14/1072527314.db2.gz BHTFGDBVOBXCTE-KPFFTGBYSA-N 0 0 446.552 -0.279 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000522411164 1072527355 /nfs/dbraw/zinc/52/73/55/1072527355.db2.gz BHTFGDBVOBXCTE-POAQFYNOSA-N 0 0 446.552 -0.279 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CCC(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC000522411165 1072527884 /nfs/dbraw/zinc/52/78/84/1072527884.db2.gz BHTFGDBVOBXCTE-YVWKXTFCSA-N 0 0 446.552 -0.279 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1C(=O)N(C)C ZINC000522412316 1072527779 /nfs/dbraw/zinc/52/77/79/1072527779.db2.gz BNVCVHMEBYPTPP-UHFFFAOYSA-N 0 0 438.506 -0.492 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc21 ZINC000522412586 1072527845 /nfs/dbraw/zinc/52/78/45/1072527845.db2.gz RMNNKNVJVIISQU-UHFFFAOYSA-N 0 0 436.534 -0.239 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc21 ZINC000522413485 1072528244 /nfs/dbraw/zinc/52/82/44/1072528244.db2.gz MGHIVELDWIZBPK-UHFFFAOYSA-N 0 0 448.501 -0.423 20 0 IBADRN Cc1nn(C(C)C)c(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000522415507 1072528995 /nfs/dbraw/zinc/52/89/95/1072528995.db2.gz GSOQZGNOWCQWHA-UHFFFAOYSA-N 0 0 427.527 -0.227 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1C(=O)N(C)C ZINC000522416826 1072528800 /nfs/dbraw/zinc/52/88/00/1072528800.db2.gz QEIWKENJIWESAV-UHFFFAOYSA-N 0 0 440.522 -0.246 20 0 IBADRN COc1cc(F)ccc1N1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522417795 1072529478 /nfs/dbraw/zinc/52/94/78/1072529478.db2.gz PQWAUMPDVUYGTG-AWEZNQCLSA-N 0 0 428.486 -0.031 20 0 IBADRN COc1cc(F)ccc1N1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522417796 1072529323 /nfs/dbraw/zinc/52/93/23/1072529323.db2.gz PQWAUMPDVUYGTG-CQSZACIVSA-N 0 0 428.486 -0.031 20 0 IBADRN CC(C)C(=O)NC[C@H]1CCCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000522418255 1072528792 /nfs/dbraw/zinc/52/87/92/1072528792.db2.gz LQNSSPGOGYNIIL-MSOLQXFVSA-N 0 0 428.599 -0.198 20 0 IBADRN CC(C)C(=O)NC[C@H]1CCCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000522418256 1072528778 /nfs/dbraw/zinc/52/87/78/1072528778.db2.gz LQNSSPGOGYNIIL-QZTJIDSGSA-N 0 0 428.599 -0.198 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000522418257 1072529011 /nfs/dbraw/zinc/52/90/11/1072529011.db2.gz LQNSSPGOGYNIIL-ROUUACIJSA-N 0 0 428.599 -0.198 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000522418258 1072528812 /nfs/dbraw/zinc/52/88/12/1072528812.db2.gz LQNSSPGOGYNIIL-ZWKOTPCHSA-N 0 0 428.599 -0.198 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000522418785 1072529461 /nfs/dbraw/zinc/52/94/61/1072529461.db2.gz JMTQKXQGTAYVPF-AWEZNQCLSA-N 0 0 440.522 -0.291 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000522418786 1072529447 /nfs/dbraw/zinc/52/94/47/1072529447.db2.gz JMTQKXQGTAYVPF-CQSZACIVSA-N 0 0 440.522 -0.291 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000522421597 1072530968 /nfs/dbraw/zinc/53/09/68/1072530968.db2.gz IANWRXNCDAXJKF-AWEZNQCLSA-N 0 0 443.526 -0.733 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000522421598 1072531014 /nfs/dbraw/zinc/53/10/14/1072531014.db2.gz IANWRXNCDAXJKF-CQSZACIVSA-N 0 0 443.526 -0.733 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522425006 1072532334 /nfs/dbraw/zinc/53/23/34/1072532334.db2.gz IRSVJKTXVDRXAD-CYBMUJFWSA-N 0 0 445.476 -0.494 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522425007 1072532087 /nfs/dbraw/zinc/53/20/87/1072532087.db2.gz IRSVJKTXVDRXAD-ZDUSSCGKSA-N 0 0 445.476 -0.494 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)CCn2cc(S(N)(=O)=O)cn2)C1 ZINC000522436376 1072534906 /nfs/dbraw/zinc/53/49/06/1072534906.db2.gz FIGAIPCKMYAIRU-CYBMUJFWSA-N 0 0 429.499 -0.819 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)CCn2cc(S(N)(=O)=O)cn2)C1 ZINC000522436377 1072534945 /nfs/dbraw/zinc/53/49/45/1072534945.db2.gz FIGAIPCKMYAIRU-ZDUSSCGKSA-N 0 0 429.499 -0.819 20 0 IBADRN NC(=O)C1(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCCC1 ZINC000522436805 1072535371 /nfs/dbraw/zinc/53/53/71/1072535371.db2.gz CFXODRFDDJACQM-KRWDZBQOSA-N 0 0 444.488 -0.199 20 0 IBADRN NC(=O)C1(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCCC1 ZINC000522436806 1072535417 /nfs/dbraw/zinc/53/54/17/1072535417.db2.gz CFXODRFDDJACQM-QGZVFWFLSA-N 0 0 444.488 -0.199 20 0 IBADRN NC(=O)C1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCCC1 ZINC000522439545 1072535746 /nfs/dbraw/zinc/53/57/46/1072535746.db2.gz XRKUBBKVGHEKPW-UHFFFAOYSA-N 0 0 438.506 -0.192 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000522441158 1072536389 /nfs/dbraw/zinc/53/63/89/1072536389.db2.gz SCQATEPMJKTPQG-GFCCVEGCSA-N 0 0 431.449 -0.092 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1 ZINC000522441159 1072536265 /nfs/dbraw/zinc/53/62/65/1072536265.db2.gz SCQATEPMJKTPQG-LBPRGKRZSA-N 0 0 431.449 -0.092 20 0 IBADRN O=C(Nc1ccc2c(c1)CCCO2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000522450904 1072539823 /nfs/dbraw/zinc/53/98/23/1072539823.db2.gz RCCGALZLZNCABZ-UHFFFAOYSA-N 0 0 430.461 -0.520 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2)NC(=O)NC1=O ZINC000522455631 1072541801 /nfs/dbraw/zinc/54/18/01/1072541801.db2.gz LIZRLLJBOYLEKM-NRFANRHFSA-N 0 0 442.476 -0.626 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2)NC(=O)NC1=O ZINC000522455632 1072541719 /nfs/dbraw/zinc/54/17/19/1072541719.db2.gz LIZRLLJBOYLEKM-OAQYLSRUSA-N 0 0 442.476 -0.626 20 0 IBADRN COCC[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000522463143 1072543439 /nfs/dbraw/zinc/54/34/39/1072543439.db2.gz LABFIECTHQDPEZ-CYBMUJFWSA-N 0 0 434.540 -0.225 20 0 IBADRN COCC[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000522463144 1072543553 /nfs/dbraw/zinc/54/35/53/1072543553.db2.gz LABFIECTHQDPEZ-ZDUSSCGKSA-N 0 0 434.540 -0.225 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOC(C)(C)C3)CC2)C[C@H](C)O1 ZINC000522464985 1072544543 /nfs/dbraw/zinc/54/45/43/1072544543.db2.gz ZPOOXLCJGVXFDM-CALCHBBNSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOC(C)(C)C3)CC2)C[C@@H](C)O1 ZINC000522464986 1072544592 /nfs/dbraw/zinc/54/45/92/1072544592.db2.gz ZPOOXLCJGVXFDM-IAGOWNOFSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOC(C)(C)C3)CC2)C[C@H](C)O1 ZINC000522464987 1072544703 /nfs/dbraw/zinc/54/47/03/1072544703.db2.gz ZPOOXLCJGVXFDM-IRXDYDNUSA-N 0 0 447.602 -0.222 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)cc1 ZINC000522474013 1072547643 /nfs/dbraw/zinc/54/76/43/1072547643.db2.gz BFBZVJLNDHPWTN-UHFFFAOYSA-N 0 0 446.485 -0.473 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000522474513 1072547747 /nfs/dbraw/zinc/54/77/47/1072547747.db2.gz YZVRPULJVDPRMW-HNNXBMFYSA-N 0 0 428.493 -0.316 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000522474514 1072548223 /nfs/dbraw/zinc/54/82/23/1072548223.db2.gz YZVRPULJVDPRMW-OAHLLOKOSA-N 0 0 428.493 -0.316 20 0 IBADRN COc1ccc(OC)c(-n2nnnc2SCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000522475727 1072548732 /nfs/dbraw/zinc/54/87/32/1072548732.db2.gz CARMMRUCFSSINE-UHFFFAOYSA-N 0 0 433.450 -0.366 20 0 IBADRN COc1ccc(-c2noc(=O)n2CC(=O)NCCCN(C)S(C)(=O)=O)cc1OC ZINC000522478586 1072550929 /nfs/dbraw/zinc/55/09/29/1072550929.db2.gz SAZGLGRROZUZPE-UHFFFAOYSA-N 0 0 428.467 -0.082 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1nnnn1-c1ccccc1 ZINC000522480581 1072551480 /nfs/dbraw/zinc/55/14/80/1072551480.db2.gz FDDDFLLAKWISOZ-AWEZNQCLSA-N 0 0 440.464 -0.274 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1nnnn1-c1ccccc1 ZINC000522480582 1072551554 /nfs/dbraw/zinc/55/15/54/1072551554.db2.gz FDDDFLLAKWISOZ-CQSZACIVSA-N 0 0 440.464 -0.274 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1nnnn1-c1ccccc1 ZINC000522480679 1072551751 /nfs/dbraw/zinc/55/17/51/1072551751.db2.gz HWQHNRDYNGJTIO-INIZCTEOSA-N 0 0 428.497 -0.091 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1nnnn1-c1ccccc1 ZINC000522480680 1072552277 /nfs/dbraw/zinc/55/22/77/1072552277.db2.gz HWQHNRDYNGJTIO-MRXNPFEDSA-N 0 0 428.497 -0.091 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1Cl ZINC000522481937 1072552051 /nfs/dbraw/zinc/55/20/51/1072552051.db2.gz NNWXTHKLGHBEOZ-UHFFFAOYSA-N 0 0 439.856 -0.196 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](C)N1C(=O)NC2(CCCC2)C1=O ZINC000522482499 1072552555 /nfs/dbraw/zinc/55/25/55/1072552555.db2.gz PUWPWJAVJGTXQG-CHWSQXEVSA-N 0 0 428.511 -0.609 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](C)N1C(=O)NC2(CCCC2)C1=O ZINC000522482500 1072552652 /nfs/dbraw/zinc/55/26/52/1072552652.db2.gz PUWPWJAVJGTXQG-OLZOCXBDSA-N 0 0 428.511 -0.609 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)N1C(=O)NC2(CCCC2)C1=O ZINC000522482501 1072552533 /nfs/dbraw/zinc/55/25/33/1072552533.db2.gz PUWPWJAVJGTXQG-QWHCGFSZSA-N 0 0 428.511 -0.609 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)N1C(=O)NC2(CCCC2)C1=O ZINC000522482502 1072552725 /nfs/dbraw/zinc/55/27/25/1072552725.db2.gz PUWPWJAVJGTXQG-STQMWFEESA-N 0 0 428.511 -0.609 20 0 IBADRN CCOC(=O)C[C@H](C)S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000522482821 1072552710 /nfs/dbraw/zinc/55/27/10/1072552710.db2.gz IKPRBYIBUMQRGN-KKUMJFAQSA-N 0 0 439.552 -0.062 20 0 IBADRN CCOC(=O)C[C@H](C)S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000522482822 1072552698 /nfs/dbraw/zinc/55/26/98/1072552698.db2.gz IKPRBYIBUMQRGN-RRFJBIMHSA-N 0 0 439.552 -0.062 20 0 IBADRN CCOC(=O)C[C@H](C)S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000522482823 1072552543 /nfs/dbraw/zinc/55/25/43/1072552543.db2.gz IKPRBYIBUMQRGN-SOUVJXGZSA-N 0 0 439.552 -0.062 20 0 IBADRN CCOC(=O)C[C@H](C)S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000522482824 1072552641 /nfs/dbraw/zinc/55/26/41/1072552641.db2.gz IKPRBYIBUMQRGN-ZNMIVQPWSA-N 0 0 439.552 -0.062 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1Cl ZINC000522486118 1072553054 /nfs/dbraw/zinc/55/30/54/1072553054.db2.gz PBMSCOPSOOTZCY-UHFFFAOYSA-N 0 0 434.902 -0.199 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000522488853 1072553321 /nfs/dbraw/zinc/55/33/21/1072553321.db2.gz ZTNNDYGHXGTFLA-APWZRJJASA-N 0 0 447.488 -0.049 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000522488854 1072553216 /nfs/dbraw/zinc/55/32/16/1072553216.db2.gz ZTNNDYGHXGTFLA-LPHOPBHVSA-N 0 0 447.488 -0.049 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000522488855 1072553201 /nfs/dbraw/zinc/55/32/01/1072553201.db2.gz ZTNNDYGHXGTFLA-QFBILLFUSA-N 0 0 447.488 -0.049 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000522488856 1072553268 /nfs/dbraw/zinc/55/32/68/1072553268.db2.gz ZTNNDYGHXGTFLA-VQIMIIECSA-N 0 0 447.488 -0.049 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1Cl ZINC000522489969 1072553141 /nfs/dbraw/zinc/55/31/41/1072553141.db2.gz RTZRBNFFBYSAMT-UHFFFAOYSA-N 0 0 425.829 -0.587 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCNC(=O)CC3CCCC3)cnc2n(C)c1=O ZINC000522497857 1072553824 /nfs/dbraw/zinc/55/38/24/1072553824.db2.gz NGQYVMUEWLTAPM-UHFFFAOYSA-N 0 0 430.465 -0.617 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCc2cc(F)c(F)cc21)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000522498026 1072553796 /nfs/dbraw/zinc/55/37/96/1072553796.db2.gz USCRVUAUNYMHKD-GHMZBOCLSA-N 0 0 429.445 -0.091 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCc2cc(F)c(F)cc21)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000522498027 1072553751 /nfs/dbraw/zinc/55/37/51/1072553751.db2.gz USCRVUAUNYMHKD-MNOVXSKESA-N 0 0 429.445 -0.091 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCc2cc(F)c(F)cc21)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000522498028 1072553859 /nfs/dbraw/zinc/55/38/59/1072553859.db2.gz USCRVUAUNYMHKD-QWRGUYRKSA-N 0 0 429.445 -0.091 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCc2cc(F)c(F)cc21)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000522498029 1072553727 /nfs/dbraw/zinc/55/37/27/1072553727.db2.gz USCRVUAUNYMHKD-WDEREUQCSA-N 0 0 429.445 -0.091 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)C(=O)Nc3ccc(-n4cnnn4)cc3)cc2)NC(=O)NC1=O ZINC000522500868 1072553689 /nfs/dbraw/zinc/55/36/89/1072553689.db2.gz DOXJQCUIJYPKLH-FQEVSTJZSA-N 0 0 434.416 -0.028 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)C(=O)Nc3ccc(-n4cnnn4)cc3)cc2)NC(=O)NC1=O ZINC000522500869 1072553895 /nfs/dbraw/zinc/55/38/95/1072553895.db2.gz DOXJQCUIJYPKLH-HXUWFJFHSA-N 0 0 434.416 -0.028 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC000522504283 1072554363 /nfs/dbraw/zinc/55/43/63/1072554363.db2.gz IOFPXFWGEDBBCO-UHFFFAOYSA-N 0 0 430.552 -0.249 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1F ZINC000522506533 1072554442 /nfs/dbraw/zinc/55/44/42/1072554442.db2.gz JYMSLGJIGFZXHO-UHFFFAOYSA-N 0 0 439.465 -0.249 20 0 IBADRN CC(C)N1C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000522508375 1072652132 /nfs/dbraw/zinc/65/21/32/1072652132.db2.gz AXEMBDHKCFGHPU-AWEZNQCLSA-N 0 0 431.536 -0.005 20 0 IBADRN CC(C)N1C[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000522508376 1072554248 /nfs/dbraw/zinc/55/42/48/1072554248.db2.gz AXEMBDHKCFGHPU-CQSZACIVSA-N 0 0 431.536 -0.005 20 0 IBADRN CCc1ccc(C(=O)N2CCN(S(N)(=O)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000522509504 1072554434 /nfs/dbraw/zinc/55/44/34/1072554434.db2.gz AZOBNIMFVGAGEH-UHFFFAOYSA-N 0 0 446.551 -0.769 20 0 IBADRN Cc1nc(-c2c(C)c(C)nn(C)c2=O)sc1C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000522509902 1072554875 /nfs/dbraw/zinc/55/48/75/1072554875.db2.gz WPLFTCKAKIJNKD-UHFFFAOYSA-N 0 0 426.524 -0.210 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCN2CCC[C@H]2C(=O)N(C)C)cc1 ZINC000522510701 1072554851 /nfs/dbraw/zinc/55/48/51/1072554851.db2.gz ICJNOJDJSCLHLM-INIZCTEOSA-N 0 0 432.568 -0.184 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCN2CCC[C@@H]2C(=O)N(C)C)cc1 ZINC000522510702 1072554889 /nfs/dbraw/zinc/55/48/89/1072554889.db2.gz ICJNOJDJSCLHLM-MRXNPFEDSA-N 0 0 432.568 -0.184 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)CC)c2ccccc2O1 ZINC000522518036 1072555231 /nfs/dbraw/zinc/55/52/31/1072555231.db2.gz ZSRRDQINHQNSHH-HNNXBMFYSA-N 0 0 426.495 -0.638 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)CC)c2ccccc2O1 ZINC000522518037 1072555272 /nfs/dbraw/zinc/55/52/72/1072555272.db2.gz ZSRRDQINHQNSHH-OAHLLOKOSA-N 0 0 426.495 -0.638 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)NCCOC)c(Cl)c1 ZINC000522521354 1072556397 /nfs/dbraw/zinc/55/63/97/1072556397.db2.gz FXMNWLYIVYKGMW-UHFFFAOYSA-N 0 0 434.902 -0.290 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000522525146 1072556336 /nfs/dbraw/zinc/55/63/36/1072556336.db2.gz WAGOZPACOWNIGW-HNNXBMFYSA-N 0 0 440.522 -0.517 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000522525147 1072556371 /nfs/dbraw/zinc/55/63/71/1072556371.db2.gz WAGOZPACOWNIGW-OAHLLOKOSA-N 0 0 440.522 -0.517 20 0 IBADRN CC(C)C(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522528294 1072556809 /nfs/dbraw/zinc/55/68/09/1072556809.db2.gz UMODSZSUOUGLGC-CYBMUJFWSA-N 0 0 444.492 -0.419 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522528295 1072556849 /nfs/dbraw/zinc/55/68/49/1072556849.db2.gz UMODSZSUOUGLGC-ZDUSSCGKSA-N 0 0 444.492 -0.419 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)NCC(=O)NCCN2CCOCC2)cc1F ZINC000522530323 1072557271 /nfs/dbraw/zinc/55/72/71/1072557271.db2.gz YBEIFJZJPQOPBH-UHFFFAOYSA-N 0 0 429.470 -0.633 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000522530371 1072557249 /nfs/dbraw/zinc/55/72/49/1072557249.db2.gz ACSPHJLYWCRANS-CVEARBPZSA-N 0 0 437.518 -0.147 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000522530372 1072557178 /nfs/dbraw/zinc/55/71/78/1072557178.db2.gz ACSPHJLYWCRANS-HOTGVXAUSA-N 0 0 437.518 -0.147 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000522530373 1072557165 /nfs/dbraw/zinc/55/71/65/1072557165.db2.gz ACSPHJLYWCRANS-HZPDHXFCSA-N 0 0 437.518 -0.147 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000522530374 1072557315 /nfs/dbraw/zinc/55/73/15/1072557315.db2.gz ACSPHJLYWCRANS-JKSUJKDBSA-N 0 0 437.518 -0.147 20 0 IBADRN COc1cc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(Cl)c1OCC(N)=O ZINC000522536385 1072557842 /nfs/dbraw/zinc/55/78/42/1072557842.db2.gz OQERNWODKVGZHB-CABCVRRESA-N 0 0 447.941 -0.200 20 0 IBADRN COc1cc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(Cl)c1OCC(N)=O ZINC000522536386 1072557620 /nfs/dbraw/zinc/55/76/20/1072557620.db2.gz OQERNWODKVGZHB-GJZGRUSLSA-N 0 0 447.941 -0.200 20 0 IBADRN COc1cc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(Cl)c1OCC(N)=O ZINC000522536387 1072557578 /nfs/dbraw/zinc/55/75/78/1072557578.db2.gz OQERNWODKVGZHB-HUUCEWRRSA-N 0 0 447.941 -0.200 20 0 IBADRN COc1cc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(Cl)c1OCC(N)=O ZINC000522536388 1072557764 /nfs/dbraw/zinc/55/77/64/1072557764.db2.gz OQERNWODKVGZHB-LSDHHAIUSA-N 0 0 447.941 -0.200 20 0 IBADRN COc1ccc([C@H]2[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC(=O)N2C)cc1 ZINC000522547527 1072558238 /nfs/dbraw/zinc/55/82/38/1072558238.db2.gz JAVGBQATUICEIQ-APWZRJJASA-N 0 0 427.465 -0.428 20 0 IBADRN COc1ccc([C@H]2[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC(=O)N2C)cc1 ZINC000522547528 1072558264 /nfs/dbraw/zinc/55/82/64/1072558264.db2.gz JAVGBQATUICEIQ-LPHOPBHVSA-N 0 0 427.465 -0.428 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC(=O)N2C)cc1 ZINC000522547529 1072558298 /nfs/dbraw/zinc/55/82/98/1072558298.db2.gz JAVGBQATUICEIQ-QFBILLFUSA-N 0 0 427.465 -0.428 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC(=O)N2C)cc1 ZINC000522547530 1072558271 /nfs/dbraw/zinc/55/82/71/1072558271.db2.gz JAVGBQATUICEIQ-VQIMIIECSA-N 0 0 427.465 -0.428 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000522550158 1072558124 /nfs/dbraw/zinc/55/81/24/1072558124.db2.gz KGTANHPLMKWXGQ-UHFFFAOYSA-N 0 0 438.554 -0.307 20 0 IBADRN CCN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000522552776 1072558175 /nfs/dbraw/zinc/55/81/75/1072558175.db2.gz GBEJUXROKMFZLJ-HNNXBMFYSA-N 0 0 440.547 -0.200 20 0 IBADRN CCN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000522552777 1072558229 /nfs/dbraw/zinc/55/82/29/1072558229.db2.gz GBEJUXROKMFZLJ-OAHLLOKOSA-N 0 0 440.547 -0.200 20 0 IBADRN CCN(C)C(=O)[C@@H]1CSCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522553925 1072557717 /nfs/dbraw/zinc/55/77/17/1072557717.db2.gz XFNBRTCFQZNIEA-HNNXBMFYSA-N 0 0 440.547 -0.200 20 0 IBADRN CCN(C)C(=O)[C@H]1CSCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522553926 1072557696 /nfs/dbraw/zinc/55/76/96/1072557696.db2.gz XFNBRTCFQZNIEA-OAHLLOKOSA-N 0 0 440.547 -0.200 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000522555372 1072557805 /nfs/dbraw/zinc/55/78/05/1072557805.db2.gz ZBYNZHSYHAABSZ-BXWFABGCSA-N 0 0 447.488 -0.241 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000522555373 1072557753 /nfs/dbraw/zinc/55/77/53/1072557753.db2.gz ZBYNZHSYHAABSZ-GJYPPUQNSA-N 0 0 447.488 -0.241 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000522555374 1072557601 /nfs/dbraw/zinc/55/76/01/1072557601.db2.gz ZBYNZHSYHAABSZ-JTDSTZFVSA-N 0 0 447.488 -0.241 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000522555375 1072557744 /nfs/dbraw/zinc/55/77/44/1072557744.db2.gz ZBYNZHSYHAABSZ-TXPKVOOTSA-N 0 0 447.488 -0.241 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000522564315 1072558740 /nfs/dbraw/zinc/55/87/40/1072558740.db2.gz ZAMVBIHDKZIFRI-FQEVSTJZSA-N 0 0 429.481 -0.648 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000522564316 1072558777 /nfs/dbraw/zinc/55/87/77/1072558777.db2.gz ZAMVBIHDKZIFRI-HXUWFJFHSA-N 0 0 429.481 -0.648 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000522565319 1072558253 /nfs/dbraw/zinc/55/82/53/1072558253.db2.gz FNPRLCWHQVSUJS-UHFFFAOYSA-N 0 0 429.481 -0.504 20 0 IBADRN CCOC(=O)CCCS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000522566358 1072558283 /nfs/dbraw/zinc/55/82/83/1072558283.db2.gz FIWOYMQRJWXWCV-UHFFFAOYSA-N 0 0 448.567 -0.204 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000522566439 1072558203 /nfs/dbraw/zinc/55/82/03/1072558203.db2.gz MUIDOUXJIYAZAE-UHFFFAOYSA-N 0 0 435.510 -0.476 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000522566480 1072558107 /nfs/dbraw/zinc/55/81/07/1072558107.db2.gz JEVMFPKKZYXPIZ-UHFFFAOYSA-N 0 0 445.563 -0.604 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000522566735 1072558146 /nfs/dbraw/zinc/55/81/46/1072558146.db2.gz VFDHRDGTVTZBSZ-UHFFFAOYSA-N 0 0 447.521 -0.605 20 0 IBADRN CC1(C)NC(=O)N(CCCC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000522567171 1072558214 /nfs/dbraw/zinc/55/82/14/1072558214.db2.gz LBTXHXUXGBSKHS-UHFFFAOYSA-N 0 0 431.497 -0.258 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000522568211 1072558189 /nfs/dbraw/zinc/55/81/89/1072558189.db2.gz ILDHOKLWKQXQBL-UHFFFAOYSA-N 0 0 443.508 -0.114 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c(C(=O)OC)s1 ZINC000522572121 1072558161 /nfs/dbraw/zinc/55/81/61/1072558161.db2.gz JZZHPFGIFXGCFC-MRVPVSSYSA-N 0 0 426.494 -0.367 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)c(C(=O)OC)s1 ZINC000522572123 1072558307 /nfs/dbraw/zinc/55/83/07/1072558307.db2.gz JZZHPFGIFXGCFC-QMMMGPOBSA-N 0 0 426.494 -0.367 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CSc1nnnn1-c1ccc2c(c1)OCCO2 ZINC000522572246 1072558787 /nfs/dbraw/zinc/55/87/87/1072558787.db2.gz LDMLRQWMMFVTNF-UHFFFAOYSA-N 0 0 434.478 -0.157 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCCNC(=O)c1cnccn1 ZINC000522577322 1072558817 /nfs/dbraw/zinc/55/88/17/1072558817.db2.gz HOFOBYMEZSREIX-UHFFFAOYSA-N 0 0 447.517 -0.024 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CCN2CCCS2(=O)=O)CC1 ZINC000522583453 1072559153 /nfs/dbraw/zinc/55/91/53/1072559153.db2.gz AEMGFLDBLLPZQK-UHFFFAOYSA-N 0 0 444.579 -0.336 20 0 IBADRN Cn1nnnc1SCCC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000522583672 1072559119 /nfs/dbraw/zinc/55/91/19/1072559119.db2.gz NMFOGJOGXFZNNH-UHFFFAOYSA-N 0 0 439.567 -0.055 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CN2C(=O)NC(C)(C)C2=O)CC1 ZINC000522585544 1072559333 /nfs/dbraw/zinc/55/93/33/1072559333.db2.gz MKDZLPGZTIXDIV-UHFFFAOYSA-N 0 0 437.522 -0.431 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522591769 1072558797 /nfs/dbraw/zinc/55/87/97/1072558797.db2.gz ADLUZAKXUVUYNB-CHWSQXEVSA-N 0 0 444.492 -0.230 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522591770 1072558659 /nfs/dbraw/zinc/55/86/59/1072558659.db2.gz ADLUZAKXUVUYNB-OLZOCXBDSA-N 0 0 444.492 -0.230 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522591771 1072558678 /nfs/dbraw/zinc/55/86/78/1072558678.db2.gz ADLUZAKXUVUYNB-QWHCGFSZSA-N 0 0 444.492 -0.230 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000522591772 1072558827 /nfs/dbraw/zinc/55/88/27/1072558827.db2.gz ADLUZAKXUVUYNB-STQMWFEESA-N 0 0 444.492 -0.230 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)CCC3CCCC3)CC2)CC1 ZINC000522600779 1072559132 /nfs/dbraw/zinc/55/91/32/1072559132.db2.gz QJEVCICDQLEPTI-UHFFFAOYSA-N 0 0 429.587 -0.291 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000522605086 1072559225 /nfs/dbraw/zinc/55/92/25/1072559225.db2.gz LWKNVJPWUMDXPF-UHFFFAOYSA-N 0 0 432.529 -0.975 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000522607409 1072559096 /nfs/dbraw/zinc/55/90/96/1072559096.db2.gz GFCXYWYKDYKMJD-UHFFFAOYSA-N 0 0 441.558 -0.514 20 0 IBADRN O=C(CN1CCN(C(=O)NCCNC(=O)c2cnccn2)CC1)NCc1ccccc1 ZINC000522612562 1072559037 /nfs/dbraw/zinc/55/90/37/1072559037.db2.gz CHWIXESTQLAAPB-UHFFFAOYSA-N 0 0 425.493 -0.150 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000522613883 1072559275 /nfs/dbraw/zinc/55/92/75/1072559275.db2.gz GOJPSNAGRAYAHW-UHFFFAOYSA-N 0 0 425.511 -0.178 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)c(C(=O)OC)s1 ZINC000522615152 1072559696 /nfs/dbraw/zinc/55/96/96/1072559696.db2.gz GLOLGGQQIJTXDP-UHFFFAOYSA-N 0 0 440.521 -0.023 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000522615194 1072559597 /nfs/dbraw/zinc/55/95/97/1072559597.db2.gz IVARILSUBXZGRK-UHFFFAOYSA-N 0 0 447.535 -0.244 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000522615266 1072559652 /nfs/dbraw/zinc/55/96/52/1072559652.db2.gz MTRBSJWLRAPHEW-UHFFFAOYSA-N 0 0 432.506 -0.147 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000522616263 1072559821 /nfs/dbraw/zinc/55/98/21/1072559821.db2.gz BGBJGYIYRGJDKU-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)CC1 ZINC000522616275 1072559774 /nfs/dbraw/zinc/55/97/74/1072559774.db2.gz BTHPCZDPNOKTGO-UHFFFAOYSA-N 0 0 433.508 -0.236 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)NC(=O)CO2)N1CCN(c2ncccn2)CC1 ZINC000522616378 1072559705 /nfs/dbraw/zinc/55/97/05/1072559705.db2.gz IISJJIBYTHQVFT-UHFFFAOYSA-N 0 0 446.489 -0.175 20 0 IBADRN NC(=O)c1cccnc1N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000522616698 1072559758 /nfs/dbraw/zinc/55/97/58/1072559758.db2.gz YSIOVRKAERVIPM-UHFFFAOYSA-N 0 0 430.446 -0.779 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)c1cnccn1 ZINC000522617521 1072559828 /nfs/dbraw/zinc/55/98/28/1072559828.db2.gz FNYICBDHYHKUQH-UHFFFAOYSA-N 0 0 439.519 -0.031 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N(C)CC(=O)N1CCC(C(=O)OCC)CC1 ZINC000522617635 1072559640 /nfs/dbraw/zinc/55/96/40/1072559640.db2.gz NDGJCKMHBODJOE-HNNXBMFYSA-N 0 0 433.527 -0.008 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N(C)CC(=O)N1CCC(C(=O)OCC)CC1 ZINC000522617636 1072559611 /nfs/dbraw/zinc/55/96/11/1072559611.db2.gz NDGJCKMHBODJOE-OAHLLOKOSA-N 0 0 433.527 -0.008 20 0 IBADRN CN(CCCNC(=O)CSc1nnnn1-c1ccc2c(c1)OCO2)S(C)(=O)=O ZINC000522623649 1072559684 /nfs/dbraw/zinc/55/96/84/1072559684.db2.gz CCUPIXPCLWBYIX-UHFFFAOYSA-N 0 0 428.496 -0.119 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000522625103 1072559669 /nfs/dbraw/zinc/55/96/69/1072559669.db2.gz LFDFIEIHVGQDFY-UHFFFAOYSA-N 0 0 445.476 -0.494 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000522626982 1072559212 /nfs/dbraw/zinc/55/92/12/1072559212.db2.gz HTUWKXYJVUGVNI-UHFFFAOYSA-N 0 0 443.526 -0.733 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCNC(=O)c3cnccn3)CC2)cc1 ZINC000522627900 1072560103 /nfs/dbraw/zinc/56/01/03/1072560103.db2.gz CQAORBQVQKWBKL-UHFFFAOYSA-N 0 0 446.533 -0.362 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@H](N3CC[C@@H](NS(C)(=O)=O)C3)C2=O)cc1OC ZINC000522629876 1072560139 /nfs/dbraw/zinc/56/01/39/1072560139.db2.gz KMVDDKVJJPKLEV-CABCVRRESA-N 0 0 425.507 -0.003 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@H](N3CC[C@H](NS(C)(=O)=O)C3)C2=O)cc1OC ZINC000522629877 1072560198 /nfs/dbraw/zinc/56/01/98/1072560198.db2.gz KMVDDKVJJPKLEV-GJZGRUSLSA-N 0 0 425.507 -0.003 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@@H](N3CC[C@@H](NS(C)(=O)=O)C3)C2=O)cc1OC ZINC000522629878 1072560127 /nfs/dbraw/zinc/56/01/27/1072560127.db2.gz KMVDDKVJJPKLEV-HUUCEWRRSA-N 0 0 425.507 -0.003 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@@H](N3CC[C@H](NS(C)(=O)=O)C3)C2=O)cc1OC ZINC000522629879 1072560275 /nfs/dbraw/zinc/56/02/75/1072560275.db2.gz KMVDDKVJJPKLEV-LSDHHAIUSA-N 0 0 425.507 -0.003 20 0 IBADRN Cn1c(C(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000522632967 1072560225 /nfs/dbraw/zinc/56/02/25/1072560225.db2.gz CIWZTUJXMJTODR-CYBMUJFWSA-N 0 0 437.547 -0.334 20 0 IBADRN Cn1c(C(=O)N2CSC[C@H]2C(=O)N2CCSCC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000522632968 1072560251 /nfs/dbraw/zinc/56/02/51/1072560251.db2.gz CIWZTUJXMJTODR-ZDUSSCGKSA-N 0 0 437.547 -0.334 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000522636116 1072560185 /nfs/dbraw/zinc/56/01/85/1072560185.db2.gz NKZMPADXHTXDCA-UHFFFAOYSA-N 0 0 430.552 -0.437 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC2)cn1C ZINC000522636454 1072559809 /nfs/dbraw/zinc/55/98/09/1072559809.db2.gz YBFAWVSVXOBLIL-UHFFFAOYSA-N 0 0 449.599 -0.037 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cc(C(N)=O)n(-c3ccccc3)n2)CC1 ZINC000522639198 1072560311 /nfs/dbraw/zinc/56/03/11/1072560311.db2.gz ZDQVAFLUKHYBEJ-INIZCTEOSA-N 0 0 440.504 -0.024 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2cc(C(N)=O)n(-c3ccccc3)n2)CC1 ZINC000522639199 1072560299 /nfs/dbraw/zinc/56/02/99/1072560299.db2.gz ZDQVAFLUKHYBEJ-MRXNPFEDSA-N 0 0 440.504 -0.024 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1OCC ZINC000522642223 1072560667 /nfs/dbraw/zinc/56/06/67/1072560667.db2.gz ZULXYPBQZKJHQK-CYBMUJFWSA-N 0 0 449.551 -0.088 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1OCC ZINC000522642224 1072561167 /nfs/dbraw/zinc/56/11/67/1072561167.db2.gz ZULXYPBQZKJHQK-ZDUSSCGKSA-N 0 0 449.551 -0.088 20 0 IBADRN C[C@@H]1CC(C)(C)[C@H](CNC(=O)Cn2cccnc2=O)[C@@H]1NC(=O)Cn1cccnc1=O ZINC000522642461 1072560738 /nfs/dbraw/zinc/56/07/38/1072560738.db2.gz NWFDORWPUUNJFZ-IIDMSEBBSA-N 0 0 428.493 -0.217 20 0 IBADRN C[C@@H]1CC(C)(C)[C@H](CNC(=O)Cn2cccnc2=O)[C@H]1NC(=O)Cn1cccnc1=O ZINC000522642462 1072560693 /nfs/dbraw/zinc/56/06/93/1072560693.db2.gz NWFDORWPUUNJFZ-RKVPGOIHSA-N 0 0 428.493 -0.217 20 0 IBADRN C[C@@H]1CC(C)(C)[C@@H](CNC(=O)Cn2cccnc2=O)[C@@H]1NC(=O)Cn1cccnc1=O ZINC000522642463 1072560708 /nfs/dbraw/zinc/56/07/08/1072560708.db2.gz NWFDORWPUUNJFZ-RVKKMQEKSA-N 0 0 428.493 -0.217 20 0 IBADRN C[C@@H]1CC(C)(C)[C@@H](CNC(=O)Cn2cccnc2=O)[C@H]1NC(=O)Cn1cccnc1=O ZINC000522642464 1072560779 /nfs/dbraw/zinc/56/07/79/1072560779.db2.gz NWFDORWPUUNJFZ-VKJFTORMSA-N 0 0 428.493 -0.217 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000522642729 1072561337 /nfs/dbraw/zinc/56/13/37/1072561337.db2.gz CTSFYITXUHLZLB-AWEZNQCLSA-N 0 0 431.536 -0.220 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000522642730 1072561261 /nfs/dbraw/zinc/56/12/61/1072561261.db2.gz CTSFYITXUHLZLB-CQSZACIVSA-N 0 0 431.536 -0.220 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CC[C@H](NS(C)(=O)=O)C3)CC2)c1 ZINC000522642886 1072561286 /nfs/dbraw/zinc/56/12/86/1072561286.db2.gz OPHWTXHHDRRXOI-INIZCTEOSA-N 0 0 444.579 -0.403 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CC[C@@H](NS(C)(=O)=O)C3)CC2)c1 ZINC000522642887 1072561106 /nfs/dbraw/zinc/56/11/06/1072561106.db2.gz OPHWTXHHDRRXOI-MRXNPFEDSA-N 0 0 444.579 -0.403 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000522643022 1072561418 /nfs/dbraw/zinc/56/14/18/1072561418.db2.gz YKFVMPCGUHSHAN-AWEZNQCLSA-N 0 0 432.524 -0.584 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000522643023 1072561346 /nfs/dbraw/zinc/56/13/46/1072561346.db2.gz YKFVMPCGUHSHAN-CQSZACIVSA-N 0 0 432.524 -0.584 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000522643677 1072560209 /nfs/dbraw/zinc/56/02/09/1072560209.db2.gz JPQXMIKPDMFXTJ-GFCCVEGCSA-N 0 0 437.565 -0.404 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000522643678 1072560263 /nfs/dbraw/zinc/56/02/63/1072560263.db2.gz JPQXMIKPDMFXTJ-LBPRGKRZSA-N 0 0 437.565 -0.404 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000522650627 1072560619 /nfs/dbraw/zinc/56/06/19/1072560619.db2.gz ZDOQOGXRAQGKLZ-KBPBESRZSA-N 0 0 446.547 -0.085 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000522650628 1072560823 /nfs/dbraw/zinc/56/08/23/1072560823.db2.gz ZDOQOGXRAQGKLZ-KGLIPLIRSA-N 0 0 446.547 -0.085 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000522650629 1072560813 /nfs/dbraw/zinc/56/08/13/1072560813.db2.gz ZDOQOGXRAQGKLZ-UONOGXRCSA-N 0 0 446.547 -0.085 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000522650630 1072560831 /nfs/dbraw/zinc/56/08/31/1072560831.db2.gz ZDOQOGXRAQGKLZ-ZIAGYGMSSA-N 0 0 446.547 -0.085 20 0 IBADRN NC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)nn1-c1ccccc1 ZINC000522650965 1072560851 /nfs/dbraw/zinc/56/08/51/1072560851.db2.gz RVELNPVKTDIYGO-UHFFFAOYSA-N 0 0 448.505 -0.694 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000522651099 1072560653 /nfs/dbraw/zinc/56/06/53/1072560653.db2.gz ZXTOMXVHXDQWPF-KBPBESRZSA-N 0 0 446.547 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000522651100 1072560843 /nfs/dbraw/zinc/56/08/43/1072560843.db2.gz ZXTOMXVHXDQWPF-KGLIPLIRSA-N 0 0 446.547 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000522651101 1072560804 /nfs/dbraw/zinc/56/08/04/1072560804.db2.gz ZXTOMXVHXDQWPF-UONOGXRCSA-N 0 0 446.547 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000522651102 1072560682 /nfs/dbraw/zinc/56/06/82/1072560682.db2.gz ZXTOMXVHXDQWPF-ZIAGYGMSSA-N 0 0 446.547 -0.133 20 0 IBADRN CN(C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000522655123 1072560746 /nfs/dbraw/zinc/56/07/46/1072560746.db2.gz XFGHCTRIXDMQFR-INIZCTEOSA-N 0 0 444.579 -0.049 20 0 IBADRN CN(C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000522655124 1072560791 /nfs/dbraw/zinc/56/07/91/1072560791.db2.gz XFGHCTRIXDMQFR-MRXNPFEDSA-N 0 0 444.579 -0.049 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC[S@@](C)=O ZINC000522657654 1072561240 /nfs/dbraw/zinc/56/12/40/1072561240.db2.gz YDWZSHQUQXYGAD-HHHXNRCGSA-N 0 0 431.536 -0.161 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC[S@](C)=O ZINC000522657655 1072561252 /nfs/dbraw/zinc/56/12/52/1072561252.db2.gz YDWZSHQUQXYGAD-MHZLTWQESA-N 0 0 431.536 -0.161 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000522659713 1072561212 /nfs/dbraw/zinc/56/12/12/1072561212.db2.gz HQTYJHGOIZFNBA-HNNXBMFYSA-N 0 0 448.542 -0.718 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000522659714 1072561361 /nfs/dbraw/zinc/56/13/61/1072561361.db2.gz HQTYJHGOIZFNBA-OAHLLOKOSA-N 0 0 448.542 -0.718 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522661752 1072561189 /nfs/dbraw/zinc/56/11/89/1072561189.db2.gz NEQZESMCRJRNAP-HNNXBMFYSA-N 0 0 430.552 -0.391 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522661753 1072561301 /nfs/dbraw/zinc/56/13/01/1072561301.db2.gz NEQZESMCRJRNAP-OAHLLOKOSA-N 0 0 430.552 -0.391 20 0 IBADRN CCCCN(C(=O)CN1CC[C@@H](NS(C)(=O)=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000522662294 1072561177 /nfs/dbraw/zinc/56/11/77/1072561177.db2.gz KSDKRHSYDAZGMT-CYBMUJFWSA-N 0 0 444.558 -0.302 20 0 IBADRN CCCCN(C(=O)CN1CC[C@H](NS(C)(=O)=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000522662295 1072561151 /nfs/dbraw/zinc/56/11/51/1072561151.db2.gz KSDKRHSYDAZGMT-ZDUSSCGKSA-N 0 0 444.558 -0.302 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000522671187 1072561753 /nfs/dbraw/zinc/56/17/53/1072561753.db2.gz ZQLSYCASGYCZQT-INIZCTEOSA-N 0 0 430.509 -0.014 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000522671188 1072561695 /nfs/dbraw/zinc/56/16/95/1072561695.db2.gz ZQLSYCASGYCZQT-MRXNPFEDSA-N 0 0 430.509 -0.014 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000522671646 1073362981 /nfs/dbraw/zinc/36/29/81/1073362981.db2.gz XUEUPLFCIXWMIV-INIZCTEOSA-N 0 0 444.540 -0.353 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000522671647 1073362749 /nfs/dbraw/zinc/36/27/49/1073362749.db2.gz XUEUPLFCIXWMIV-MRXNPFEDSA-N 0 0 444.540 -0.353 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000522671653 1072561918 /nfs/dbraw/zinc/56/19/18/1072561918.db2.gz YBHOGPUFGSLKHM-INIZCTEOSA-N 0 0 435.525 -0.367 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000522671654 1072561796 /nfs/dbraw/zinc/56/17/96/1072561796.db2.gz YBHOGPUFGSLKHM-MRXNPFEDSA-N 0 0 435.525 -0.367 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)CC1 ZINC000522674006 1072561886 /nfs/dbraw/zinc/56/18/86/1072561886.db2.gz IVMFPRBXEAKOQF-UHFFFAOYSA-N 0 0 437.478 -0.483 20 0 IBADRN Cc1c(CS(C)(=O)=O)cccc1NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000522685680 1072562466 /nfs/dbraw/zinc/56/24/66/1072562466.db2.gz QJDAYLIGWUGVMN-UHFFFAOYSA-N 0 0 431.536 -0.028 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000522688295 1072562955 /nfs/dbraw/zinc/56/29/55/1072562955.db2.gz FJKNNJMKSNXFJR-CYBMUJFWSA-N 0 0 447.535 -0.382 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000522688296 1072562930 /nfs/dbraw/zinc/56/29/30/1072562930.db2.gz FJKNNJMKSNXFJR-ZDUSSCGKSA-N 0 0 447.535 -0.382 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)c1=O ZINC000522688403 1072562968 /nfs/dbraw/zinc/56/29/68/1072562968.db2.gz ODMLAHIQQHTJAX-UHFFFAOYSA-N 0 0 425.445 -0.001 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000522690480 1071347892 /nfs/dbraw/zinc/34/78/92/1071347892.db2.gz SGZYQPKAHAVANY-UHFFFAOYSA-N 0 0 425.559 -0.334 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000522694304 1072563066 /nfs/dbraw/zinc/56/30/66/1072563066.db2.gz DCRVPDRSVSUIET-LLVKDONJSA-N 0 0 448.498 -0.546 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000522694305 1072563035 /nfs/dbraw/zinc/56/30/35/1072563035.db2.gz DCRVPDRSVSUIET-NSHDSACASA-N 0 0 448.498 -0.546 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)C1 ZINC000522694480 1072562911 /nfs/dbraw/zinc/56/29/11/1072562911.db2.gz LLGDTIYAOIEXJJ-HNNXBMFYSA-N 0 0 440.547 -0.036 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)C1 ZINC000522694481 1072563056 /nfs/dbraw/zinc/56/30/56/1072563056.db2.gz LLGDTIYAOIEXJJ-OAHLLOKOSA-N 0 0 440.547 -0.036 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000522694609 1072562941 /nfs/dbraw/zinc/56/29/41/1072562941.db2.gz QZOYXWFHXMWMIM-GFCCVEGCSA-N 0 0 448.498 -0.546 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000522694610 1072562982 /nfs/dbraw/zinc/56/29/82/1072562982.db2.gz QZOYXWFHXMWMIM-LBPRGKRZSA-N 0 0 448.498 -0.546 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000522694616 1072563121 /nfs/dbraw/zinc/56/31/21/1072563121.db2.gz RNMFPQIHOKQCQU-CYBMUJFWSA-N 0 0 432.524 -0.538 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000522694617 1072563102 /nfs/dbraw/zinc/56/31/02/1072563102.db2.gz RNMFPQIHOKQCQU-ZDUSSCGKSA-N 0 0 432.524 -0.538 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000522695405 1072563082 /nfs/dbraw/zinc/56/30/82/1072563082.db2.gz RWTRBTPNVOXLQW-CYBMUJFWSA-N 0 0 432.524 -0.666 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000522695406 1072563111 /nfs/dbraw/zinc/56/31/11/1072563111.db2.gz RWTRBTPNVOXLQW-ZDUSSCGKSA-N 0 0 432.524 -0.666 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000522695429 1072563130 /nfs/dbraw/zinc/56/31/30/1072563130.db2.gz SUXAGCVGVISDRB-AWEZNQCLSA-N 0 0 444.535 -0.440 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000522695430 1072563561 /nfs/dbraw/zinc/56/35/61/1072563561.db2.gz SUXAGCVGVISDRB-CQSZACIVSA-N 0 0 444.535 -0.440 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCO1 ZINC000522695499 1072563000 /nfs/dbraw/zinc/56/30/00/1072563000.db2.gz JKXPWNIJNZVKQO-INIZCTEOSA-N 0 0 426.495 -0.756 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCO1 ZINC000522695500 1072563048 /nfs/dbraw/zinc/56/30/48/1072563048.db2.gz JKXPWNIJNZVKQO-MRXNPFEDSA-N 0 0 426.495 -0.756 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1Cl ZINC000522695891 1072563463 /nfs/dbraw/zinc/56/34/63/1072563463.db2.gz COZFVHMYLKHRRX-GFCCVEGCSA-N 0 0 446.913 -0.195 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1Cl ZINC000522695892 1072563540 /nfs/dbraw/zinc/56/35/40/1072563540.db2.gz COZFVHMYLKHRRX-LBPRGKRZSA-N 0 0 446.913 -0.195 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000522696064 1072563548 /nfs/dbraw/zinc/56/35/48/1072563548.db2.gz JVCJYZBBPDPFIS-AWEZNQCLSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000522696065 1072563381 /nfs/dbraw/zinc/56/33/81/1072563381.db2.gz JVCJYZBBPDPFIS-CQSZACIVSA-N 0 0 446.551 -0.146 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N=c1ccn(CC(=O)N2CCOCC2)[nH]1 ZINC000522716658 1073363220 /nfs/dbraw/zinc/36/32/20/1073363220.db2.gz NTXNNCOQBVSHRA-UHFFFAOYSA-N 0 0 427.469 -0.628 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2=O)cn1 ZINC000522717671 1072564209 /nfs/dbraw/zinc/56/42/09/1072564209.db2.gz LBMOWXIFYIQMSQ-KRWDZBQOSA-N 0 0 433.490 -0.024 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2=O)cn1 ZINC000522717672 1072564197 /nfs/dbraw/zinc/56/41/97/1072564197.db2.gz LBMOWXIFYIQMSQ-QGZVFWFLSA-N 0 0 433.490 -0.024 20 0 IBADRN CCN1CCN(CC(=O)NC2CCN(S(=O)(=O)Cc3ccccc3)CC2)C(=O)C1=O ZINC000522718615 1072564184 /nfs/dbraw/zinc/56/41/84/1072564184.db2.gz CBQOBVYUFPQIDX-UHFFFAOYSA-N 0 0 436.534 -0.212 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3ccccc3S(=O)(=O)N3CCOCC3)C2=O)cn1 ZINC000522718860 1072563605 /nfs/dbraw/zinc/56/36/05/1072563605.db2.gz NMVGWBHFSWQVFR-INIZCTEOSA-N 0 0 433.490 -0.024 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3ccccc3S(=O)(=O)N3CCOCC3)C2=O)cn1 ZINC000522718861 1072563508 /nfs/dbraw/zinc/56/35/08/1072563508.db2.gz NMVGWBHFSWQVFR-MRXNPFEDSA-N 0 0 433.490 -0.024 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522720199 1072564160 /nfs/dbraw/zinc/56/41/60/1072564160.db2.gz SWXVWNDZNXPTCT-PMACEKPBSA-N 0 0 444.580 -0.111 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522720200 1072564065 /nfs/dbraw/zinc/56/40/65/1072564065.db2.gz SWXVWNDZNXPTCT-UXHICEINSA-N 0 0 444.580 -0.111 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522720201 1072564089 /nfs/dbraw/zinc/56/40/89/1072564089.db2.gz SWXVWNDZNXPTCT-VQTJNVASSA-N 0 0 444.580 -0.111 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522720202 1072564118 /nfs/dbraw/zinc/56/41/18/1072564118.db2.gz SWXVWNDZNXPTCT-WOJBJXKFSA-N 0 0 444.580 -0.111 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000522720697 1072563408 /nfs/dbraw/zinc/56/34/08/1072563408.db2.gz GODNGJJAYYZHLW-KRWDZBQOSA-N 0 0 448.505 -0.079 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000522720698 1072564010 /nfs/dbraw/zinc/56/40/10/1072564010.db2.gz GODNGJJAYYZHLW-QGZVFWFLSA-N 0 0 448.505 -0.079 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(Cc3nc(-c4cccs4)no3)CC2)c1 ZINC000522720707 1072564654 /nfs/dbraw/zinc/56/46/54/1072564654.db2.gz SHSWPQLSMNUDSV-UHFFFAOYSA-N 0 0 437.507 -0.014 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)c3cnn(C)c3)CC2)c1 ZINC000522721938 1072564637 /nfs/dbraw/zinc/56/46/37/1072564637.db2.gz YAUSKJPMZQEZFR-UHFFFAOYSA-N 0 0 426.476 -0.016 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CSCC(=O)N2CCOCC2)c1 ZINC000522722107 1072564757 /nfs/dbraw/zinc/56/47/57/1072564757.db2.gz JCAKICNHODPYRG-UHFFFAOYSA-N 0 0 445.563 -0.181 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CCO1 ZINC000522724922 1072564745 /nfs/dbraw/zinc/56/47/45/1072564745.db2.gz VNOLAZFAYUBBRD-INIZCTEOSA-N 0 0 426.495 -0.371 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CCO1 ZINC000522724923 1072564774 /nfs/dbraw/zinc/56/47/74/1072564774.db2.gz VNOLAZFAYUBBRD-MRXNPFEDSA-N 0 0 426.495 -0.371 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000522727958 1072564551 /nfs/dbraw/zinc/56/45/51/1072564551.db2.gz BAZLNZCEPUBFAA-KRWDZBQOSA-N 0 0 425.507 -0.007 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000522727959 1072564678 /nfs/dbraw/zinc/56/46/78/1072564678.db2.gz BAZLNZCEPUBFAA-QGZVFWFLSA-N 0 0 425.507 -0.007 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CCO1 ZINC000522728688 1072564595 /nfs/dbraw/zinc/56/45/95/1072564595.db2.gz QKEPJCKRIUVMPP-HNNXBMFYSA-N 0 0 431.536 -0.191 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CCO1 ZINC000522728689 1072564667 /nfs/dbraw/zinc/56/46/67/1072564667.db2.gz QKEPJCKRIUVMPP-OAHLLOKOSA-N 0 0 431.536 -0.191 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000522730749 1072564557 /nfs/dbraw/zinc/56/45/57/1072564557.db2.gz HORUMGVKPZVLMA-HNNXBMFYSA-N 0 0 440.508 -0.844 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000522730750 1072564620 /nfs/dbraw/zinc/56/46/20/1072564620.db2.gz HORUMGVKPZVLMA-OAHLLOKOSA-N 0 0 440.508 -0.844 20 0 IBADRN O=C(CSCC(=O)N1CCOCC1)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000522734618 1072566550 /nfs/dbraw/zinc/56/65/50/1072566550.db2.gz AGYXYXSIISLODL-UHFFFAOYSA-N 0 0 435.550 -0.172 20 0 IBADRN O=C(COC[C@H]1CCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000522735626 1072565186 /nfs/dbraw/zinc/56/51/86/1072565186.db2.gz TUPOZDKYBSQKMA-AWEZNQCLSA-N 0 0 439.490 -0.097 20 0 IBADRN O=C(COC[C@@H]1CCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000522735627 1072565228 /nfs/dbraw/zinc/56/52/28/1072565228.db2.gz TUPOZDKYBSQKMA-CQSZACIVSA-N 0 0 439.490 -0.097 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)cn1C ZINC000522735822 1071351377 /nfs/dbraw/zinc/35/13/77/1071351377.db2.gz CNQITZLJVGLYEK-HNNXBMFYSA-N 0 0 435.554 -0.271 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)cn1C ZINC000522735823 1071351227 /nfs/dbraw/zinc/35/12/27/1071351227.db2.gz CNQITZLJVGLYEK-OAHLLOKOSA-N 0 0 435.554 -0.271 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)CNS(=O)(=O)c3ccc4c(c3)OCCCO4)C2=O)cn1 ZINC000522748902 1072568452 /nfs/dbraw/zinc/56/84/52/1072568452.db2.gz DHKCZGWDZOSPOR-HNNXBMFYSA-N 0 0 449.489 -0.219 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)CNS(=O)(=O)c3ccc4c(c3)OCCCO4)C2=O)cn1 ZINC000522748903 1072568616 /nfs/dbraw/zinc/56/86/16/1072568616.db2.gz DHKCZGWDZOSPOR-OAHLLOKOSA-N 0 0 449.489 -0.219 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CCO1 ZINC000522749831 1072569355 /nfs/dbraw/zinc/56/93/55/1072569355.db2.gz RCFOHQIXADNZPF-INIZCTEOSA-N 0 0 426.495 -0.323 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CCO1 ZINC000522749832 1072569448 /nfs/dbraw/zinc/56/94/48/1072569448.db2.gz RCFOHQIXADNZPF-MRXNPFEDSA-N 0 0 426.495 -0.323 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000522749874 1072569935 /nfs/dbraw/zinc/56/99/35/1072569935.db2.gz TUCSVSJZEZHSEJ-KRWDZBQOSA-N 0 0 448.505 -0.031 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000522749875 1072569777 /nfs/dbraw/zinc/56/97/77/1072569777.db2.gz TUCSVSJZEZHSEJ-QGZVFWFLSA-N 0 0 448.505 -0.031 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)cn1C ZINC000522751392 1072569915 /nfs/dbraw/zinc/56/99/15/1072569915.db2.gz STFXAFILTOKYTC-UHFFFAOYSA-N 0 0 444.535 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCC(=O)N2CCOCC2)c1 ZINC000522751734 1072567008 /nfs/dbraw/zinc/56/70/08/1072567008.db2.gz CJWAQSHGVFEXBU-UHFFFAOYSA-N 0 0 428.511 -0.341 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N(CCN2CCOCC2)CC(=O)OC)c1 ZINC000522752725 1072567174 /nfs/dbraw/zinc/56/71/74/1072567174.db2.gz MYJNPZAKCSLVQS-UHFFFAOYSA-N 0 0 435.524 -0.909 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(OC)c(C(=O)N(C)C)c1 ZINC000522752770 1072570130 /nfs/dbraw/zinc/57/01/30/1072570130.db2.gz PBXKEUNYGJWWSD-UHFFFAOYSA-N 0 0 443.522 -0.107 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCN2CCCS2(=O)=O)c1 ZINC000522752989 1072570533 /nfs/dbraw/zinc/57/05/33/1072570533.db2.gz XIWVETFBDYYIFP-UHFFFAOYSA-N 0 0 434.540 -0.554 20 0 IBADRN Cn1cc(N2CC[C@H](NS(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)C2=O)cn1 ZINC000522753502 1072570520 /nfs/dbraw/zinc/57/05/20/1072570520.db2.gz PCELVKNAJYSRPO-INIZCTEOSA-N 0 0 439.519 -0.055 20 0 IBADRN Cn1cc(N2CC[C@@H](NS(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)C2=O)cn1 ZINC000522753503 1072570596 /nfs/dbraw/zinc/57/05/96/1072570596.db2.gz PCELVKNAJYSRPO-MRXNPFEDSA-N 0 0 439.519 -0.055 20 0 IBADRN Cn1cc(N2CC[C@H](NS(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)C2=O)cn1 ZINC000522753547 1072570542 /nfs/dbraw/zinc/57/05/42/1072570542.db2.gz RTCZRXVGXMQJCM-INIZCTEOSA-N 0 0 439.519 -0.055 20 0 IBADRN Cn1cc(N2CC[C@@H](NS(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)C2=O)cn1 ZINC000522753548 1072570660 /nfs/dbraw/zinc/57/06/60/1072570660.db2.gz RTCZRXVGXMQJCM-MRXNPFEDSA-N 0 0 439.519 -0.055 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC000522753702 1072570011 /nfs/dbraw/zinc/57/00/11/1072570011.db2.gz ZXECEPWUUGAGOY-UHFFFAOYSA-N 0 0 441.554 -0.379 20 0 IBADRN NC(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)cc1 ZINC000522753917 1072570486 /nfs/dbraw/zinc/57/04/86/1072570486.db2.gz FDIGITMQCZEAOZ-UHFFFAOYSA-N 0 0 429.458 -0.174 20 0 IBADRN COC[C@@H](C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000522755055 1072570587 /nfs/dbraw/zinc/57/05/87/1072570587.db2.gz YVKFQRIPOSXTOS-GFCCVEGCSA-N 0 0 433.508 -0.312 20 0 IBADRN COC[C@H](C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000522755056 1072570401 /nfs/dbraw/zinc/57/04/01/1072570401.db2.gz YVKFQRIPOSXTOS-LBPRGKRZSA-N 0 0 433.508 -0.312 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000522755378 1072570376 /nfs/dbraw/zinc/57/03/76/1072570376.db2.gz HROLGBXYWJALHW-GOSISDBHSA-N 0 0 445.568 -0.030 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000522755379 1071443072 /nfs/dbraw/zinc/44/30/72/1071443072.db2.gz HROLGBXYWJALHW-SFHVURJKSA-N 0 0 445.568 -0.030 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000522755410 1071443093 /nfs/dbraw/zinc/44/30/93/1071443093.db2.gz IVIOSFIEENIMHZ-UHFFFAOYSA-N 0 0 427.483 -0.648 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)cc1 ZINC000522756025 1072569805 /nfs/dbraw/zinc/56/98/05/1072569805.db2.gz GWFWVZDKCOVMMX-UHFFFAOYSA-N 0 0 441.579 -0.004 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000522756335 1072570054 /nfs/dbraw/zinc/57/00/54/1072570054.db2.gz ROXHXWCRKYCXTA-GOSISDBHSA-N 0 0 445.568 -0.028 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000522756336 1072569853 /nfs/dbraw/zinc/56/98/53/1072569853.db2.gz ROXHXWCRKYCXTA-SFHVURJKSA-N 0 0 445.568 -0.028 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000522756556 1072570418 /nfs/dbraw/zinc/57/04/18/1072570418.db2.gz ZRDULCBWYFDDMK-KRWDZBQOSA-N 0 0 443.552 -0.276 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000522756557 1072570617 /nfs/dbraw/zinc/57/06/17/1072570617.db2.gz ZRDULCBWYFDDMK-QGZVFWFLSA-N 0 0 443.552 -0.276 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)CC3CCCCC3)CC2)CC1 ZINC000522757085 1072569793 /nfs/dbraw/zinc/56/97/93/1072569793.db2.gz LYPIVCYGRZVUQF-UHFFFAOYSA-N 0 0 429.587 -0.291 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000522764757 1072570565 /nfs/dbraw/zinc/57/05/65/1072570565.db2.gz BUKKJBCRZYHBNH-INIZCTEOSA-N 0 0 441.496 -0.647 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000522764758 1072570467 /nfs/dbraw/zinc/57/04/67/1072570467.db2.gz BUKKJBCRZYHBNH-MRXNPFEDSA-N 0 0 441.496 -0.647 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000522766293 1072570631 /nfs/dbraw/zinc/57/06/31/1072570631.db2.gz JSDDMXIDLAEPGV-UHFFFAOYSA-N 0 0 441.554 -0.425 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000522766651 1072570551 /nfs/dbraw/zinc/57/05/51/1072570551.db2.gz WGJHEHXOXOGODW-INIZCTEOSA-N 0 0 448.567 -0.166 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000522766652 1072570606 /nfs/dbraw/zinc/57/06/06/1072570606.db2.gz WGJHEHXOXOGODW-MRXNPFEDSA-N 0 0 448.567 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(C(=O)COC)CC2)c1 ZINC000522767184 1072571127 /nfs/dbraw/zinc/57/11/27/1072571127.db2.gz LHGIXBSRMATGRO-UHFFFAOYSA-N 0 0 428.511 -0.389 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000522775580 1072571663 /nfs/dbraw/zinc/57/16/63/1072571663.db2.gz DXCNMQRRFATQDA-GOSISDBHSA-N 0 0 445.582 -0.248 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000522775581 1072571779 /nfs/dbraw/zinc/57/17/79/1072571779.db2.gz DXCNMQRRFATQDA-SFHVURJKSA-N 0 0 445.582 -0.248 20 0 IBADRN O=C(CN(CCO)CCCO)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000522775835 1072571691 /nfs/dbraw/zinc/57/16/91/1072571691.db2.gz BJHDSIKHYMRMCF-UHFFFAOYSA-N 0 0 425.960 -0.089 20 0 IBADRN O=C(CN1CCCNC1=O)NC[C@H](Cc1ccccc1)NC(=O)CN1CCCNC1=O ZINC000522780204 1072572309 /nfs/dbraw/zinc/57/23/09/1072572309.db2.gz DPCAZCVIAQNJAY-KRWDZBQOSA-N 0 0 430.509 -0.339 20 0 IBADRN O=C(CN1CCCNC1=O)NC[C@@H](Cc1ccccc1)NC(=O)CN1CCCNC1=O ZINC000522780205 1072572228 /nfs/dbraw/zinc/57/22/28/1072572228.db2.gz DPCAZCVIAQNJAY-QGZVFWFLSA-N 0 0 430.509 -0.339 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000522780768 1072572133 /nfs/dbraw/zinc/57/21/33/1072572133.db2.gz YWPATVVDAHVEJW-HNNXBMFYSA-N 0 0 433.552 -0.654 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000522780769 1072572161 /nfs/dbraw/zinc/57/21/61/1072572161.db2.gz YWPATVVDAHVEJW-OAHLLOKOSA-N 0 0 433.552 -0.654 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000522785090 1072573147 /nfs/dbraw/zinc/57/31/47/1072573147.db2.gz CLHDMBWIJGGFAZ-KRWDZBQOSA-N 0 0 442.513 -0.505 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000522785091 1072573261 /nfs/dbraw/zinc/57/32/61/1072573261.db2.gz CLHDMBWIJGGFAZ-QGZVFWFLSA-N 0 0 442.513 -0.505 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000522788551 1072572744 /nfs/dbraw/zinc/57/27/44/1072572744.db2.gz TUJKWZHWUKQAQJ-CYBMUJFWSA-N 0 0 446.913 -0.108 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000522788552 1072572633 /nfs/dbraw/zinc/57/26/33/1072572633.db2.gz TUJKWZHWUKQAQJ-ZDUSSCGKSA-N 0 0 446.913 -0.108 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000522789113 1072573675 /nfs/dbraw/zinc/57/36/75/1072573675.db2.gz BKJCTMLBOPGMSF-INIZCTEOSA-N 0 0 428.449 -0.205 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000522789114 1072573765 /nfs/dbraw/zinc/57/37/65/1072573765.db2.gz BKJCTMLBOPGMSF-MRXNPFEDSA-N 0 0 428.449 -0.205 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000522789119 1072573706 /nfs/dbraw/zinc/57/37/06/1072573706.db2.gz BRAHYBSWWQOWQP-INIZCTEOSA-N 0 0 428.449 -0.205 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000522789120 1072573789 /nfs/dbraw/zinc/57/37/89/1072573789.db2.gz BRAHYBSWWQOWQP-MRXNPFEDSA-N 0 0 428.449 -0.205 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000522789135 1072573610 /nfs/dbraw/zinc/57/36/10/1072573610.db2.gz CLJIFFOMQYGION-INIZCTEOSA-N 0 0 428.449 -0.253 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000522789136 1072573751 /nfs/dbraw/zinc/57/37/51/1072573751.db2.gz CLJIFFOMQYGION-MRXNPFEDSA-N 0 0 428.449 -0.253 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2cccc(C(N)=O)c2)c1 ZINC000522789480 1072573158 /nfs/dbraw/zinc/57/31/58/1072573158.db2.gz UIEYZTWKZHEXQX-UHFFFAOYSA-N 0 0 434.474 -0.035 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000522790040 1072573586 /nfs/dbraw/zinc/57/35/86/1072573586.db2.gz KFNUSFLCSXYUKU-HNNXBMFYSA-N 0 0 426.495 -0.453 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000522790041 1072573722 /nfs/dbraw/zinc/57/37/22/1072573722.db2.gz KFNUSFLCSXYUKU-OAHLLOKOSA-N 0 0 426.495 -0.453 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)ccc1C ZINC000522790370 1072573658 /nfs/dbraw/zinc/57/36/58/1072573658.db2.gz ZGQGRNRLXDSGSZ-AWEZNQCLSA-N 0 0 434.478 -0.503 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)ccc1C ZINC000522790371 1072573692 /nfs/dbraw/zinc/57/36/92/1072573692.db2.gz ZGQGRNRLXDSGSZ-CQSZACIVSA-N 0 0 434.478 -0.503 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCO1 ZINC000522790592 1072573728 /nfs/dbraw/zinc/57/37/28/1072573728.db2.gz FRHNAATXGKWNQP-GOSISDBHSA-N 0 0 447.492 -0.741 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCO1 ZINC000522790593 1072573567 /nfs/dbraw/zinc/57/35/67/1072573567.db2.gz FRHNAATXGKWNQP-SFHVURJKSA-N 0 0 447.492 -0.741 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CCO1 ZINC000522790594 1072573780 /nfs/dbraw/zinc/57/37/80/1072573780.db2.gz FSKNFBCOKQFLGW-GOSISDBHSA-N 0 0 432.477 -0.010 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CCO1 ZINC000522790595 1072573578 /nfs/dbraw/zinc/57/35/78/1072573578.db2.gz FSKNFBCOKQFLGW-SFHVURJKSA-N 0 0 432.477 -0.010 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522792122 1072573648 /nfs/dbraw/zinc/57/36/48/1072573648.db2.gz ICQYPZRBDJBXCV-UHFFFAOYSA-N 0 0 425.573 -0.395 20 0 IBADRN O=C(CCCNC(=O)c1ccco1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522792217 1072573684 /nfs/dbraw/zinc/57/36/84/1072573684.db2.gz OFTUHIDMVAMQCS-UHFFFAOYSA-N 0 0 428.511 -0.053 20 0 IBADRN O=C(CCn1nc2ccccn2c1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522792592 1072574137 /nfs/dbraw/zinc/57/41/37/1072574137.db2.gz JFMVQRFNPYIYDF-UHFFFAOYSA-N 0 0 438.510 -0.956 20 0 IBADRN CCNC(=O)NC1(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCCC1 ZINC000522792604 1072574226 /nfs/dbraw/zinc/57/42/26/1072574226.db2.gz JVAHPVCWODEIGR-UHFFFAOYSA-N 0 0 431.559 -0.224 20 0 IBADRN O=C(CN1C(=O)COc2ccccc21)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522792658 1072574317 /nfs/dbraw/zinc/57/43/17/1072574317.db2.gz OGHQFJSVSLBWBN-UHFFFAOYSA-N 0 0 438.506 -0.430 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC000522792923 1072574238 /nfs/dbraw/zinc/57/42/38/1072574238.db2.gz AXVDFXZTFLJIOL-HNNXBMFYSA-N 0 0 428.555 -0.065 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC000522792924 1072574126 /nfs/dbraw/zinc/57/41/26/1072574126.db2.gz AXVDFXZTFLJIOL-OAHLLOKOSA-N 0 0 428.555 -0.065 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000522793456 1072574353 /nfs/dbraw/zinc/57/43/53/1072574353.db2.gz LZLZRMVUQWLLNE-UHFFFAOYSA-N 0 0 446.551 -0.634 20 0 IBADRN O=C(CNC(=O)Cc1cccc(F)c1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522793494 1072244650 /nfs/dbraw/zinc/24/46/50/1072244650.db2.gz OSGJAWRAHCJTCT-UHFFFAOYSA-N 0 0 442.513 -0.358 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000522793571 1072258744 /nfs/dbraw/zinc/25/87/44/1072258744.db2.gz TXDVKXCOBZMJRC-GFCCVEGCSA-N 0 0 442.460 -0.445 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000522793572 1072258784 /nfs/dbraw/zinc/25/87/84/1072258784.db2.gz TXDVKXCOBZMJRC-LBPRGKRZSA-N 0 0 442.460 -0.445 20 0 IBADRN O=C(Cn1c(=O)cnc2ccccc21)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522793623 1072573736 /nfs/dbraw/zinc/57/37/36/1072573736.db2.gz XQYXIPLJWYECGW-UHFFFAOYSA-N 0 0 435.506 -0.446 20 0 IBADRN O=C(CSc1nnnn1C1CC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522793643 1072574295 /nfs/dbraw/zinc/57/42/95/1072574295.db2.gz YVHFHRWEDPLRLM-UHFFFAOYSA-N 0 0 431.544 -0.742 20 0 IBADRN NC(=O)c1cn(CC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c2ccccc12 ZINC000522793773 1072574722 /nfs/dbraw/zinc/57/47/22/1072574722.db2.gz BENYDTLXNTVWPA-UHFFFAOYSA-N 0 0 449.533 -0.102 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@H]1CC(=O)N(Cc2ccco2)C1 ZINC000522794016 1072574677 /nfs/dbraw/zinc/57/46/77/1072574677.db2.gz SULHHBJQYUBNRW-HNNXBMFYSA-N 0 0 440.522 -0.214 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@@H]1CC(=O)N(Cc2ccco2)C1 ZINC000522794017 1072574633 /nfs/dbraw/zinc/57/46/33/1072574633.db2.gz SULHHBJQYUBNRW-OAHLLOKOSA-N 0 0 440.522 -0.214 20 0 IBADRN O=C(CNC(=O)COc1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522794533 1072574808 /nfs/dbraw/zinc/57/48/08/1072574808.db2.gz CDNWRPMPUISTRC-UHFFFAOYSA-N 0 0 440.522 -0.661 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000522794682 1072574655 /nfs/dbraw/zinc/57/46/55/1072574655.db2.gz OAPRBTBNWBPPJY-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CN1C(=O)c2ccc(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)cc2C1=O ZINC000522795287 1072574799 /nfs/dbraw/zinc/57/47/99/1072574799.db2.gz AUUNAYPWXRPOBH-UHFFFAOYSA-N 0 0 436.490 -0.316 20 0 IBADRN O=C(CSCC(=O)N1CCCCC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522795547 1072574704 /nfs/dbraw/zinc/57/47/04/1072574704.db2.gz QZKCELSSTAHIJP-UHFFFAOYSA-N 0 0 448.611 -0.110 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000522797261 1072574176 /nfs/dbraw/zinc/57/41/76/1072574176.db2.gz OVSWQBJDEFINRY-GOSISDBHSA-N 0 0 441.492 -0.705 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000522797262 1072574334 /nfs/dbraw/zinc/57/43/34/1072574334.db2.gz OVSWQBJDEFINRY-SFHVURJKSA-N 0 0 441.492 -0.705 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCc2ccccc2C1 ZINC000522797940 1072575293 /nfs/dbraw/zinc/57/52/93/1072575293.db2.gz FVCFRJYITJPDEV-UHFFFAOYSA-N 0 0 444.579 -0.080 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000522797941 1072575111 /nfs/dbraw/zinc/57/51/11/1072575111.db2.gz FVNZOOGIVWKISM-UHFFFAOYSA-N 0 0 447.535 -0.207 20 0 IBADRN CS(=O)(=O)c1ccccc1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522798215 1072575183 /nfs/dbraw/zinc/57/51/83/1072575183.db2.gz WEAKAPMXWHFFSK-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000522798779 1072575118 /nfs/dbraw/zinc/57/51/18/1072575118.db2.gz HJGKWLSWXQGYDA-UHFFFAOYSA-N 0 0 432.524 -0.895 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1C(N)=O ZINC000522798790 1072575223 /nfs/dbraw/zinc/57/52/23/1072575223.db2.gz HRLIZTNCLUDTQR-UHFFFAOYSA-N 0 0 446.551 -0.586 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)[C@@H]4CCOC4)CC3)cc2N1 ZINC000522799872 1072575338 /nfs/dbraw/zinc/57/53/38/1072575338.db2.gz UAPHMQBOWUILHX-CYBMUJFWSA-N 0 0 431.492 -0.558 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)[C@H]4CCOC4)CC3)cc2N1 ZINC000522799873 1072575351 /nfs/dbraw/zinc/57/53/51/1072575351.db2.gz UAPHMQBOWUILHX-ZDUSSCGKSA-N 0 0 431.492 -0.558 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCC(Nc2cc(N3CCC[C@H]3CO)ncn2)CC1 ZINC000522800132 1072575127 /nfs/dbraw/zinc/57/51/27/1072575127.db2.gz IICHUIJDTMZZGY-INIZCTEOSA-N 0 0 426.543 -0.109 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCC(Nc2cc(N3CCC[C@@H]3CO)ncn2)CC1 ZINC000522800133 1072575304 /nfs/dbraw/zinc/57/53/04/1072575304.db2.gz IICHUIJDTMZZGY-MRXNPFEDSA-N 0 0 426.543 -0.109 20 0 IBADRN CN(CCNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)CC(F)(F)F ZINC000522800920 1072575191 /nfs/dbraw/zinc/57/51/91/1072575191.db2.gz WBILICPIQKSTNS-UHFFFAOYSA-N 0 0 431.481 -0.179 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCCC1 ZINC000522801120 1072575235 /nfs/dbraw/zinc/57/52/35/1072575235.db2.gz FLHKVEMWQKMIDZ-UHFFFAOYSA-N 0 0 445.586 -0.024 20 0 IBADRN O=C(NCCCN1CCCCC1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522801152 1072575909 /nfs/dbraw/zinc/57/59/09/1072575909.db2.gz HVFTWKLVWFTAQA-UHFFFAOYSA-N 0 0 431.559 -0.270 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000522802360 1072575733 /nfs/dbraw/zinc/57/57/33/1072575733.db2.gz OBEKRGDQNPZXEM-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCC(Nc2nc3ccccc3c(NCCO)n2)CC1 ZINC000522802478 1072575712 /nfs/dbraw/zinc/57/57/12/1072575712.db2.gz SMSIGAODRQWQOT-UHFFFAOYSA-N 0 0 436.538 -0.669 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000522806915 1072575670 /nfs/dbraw/zinc/57/56/70/1072575670.db2.gz IGXDGQVIEZWVQX-UHFFFAOYSA-N 0 0 428.486 -0.309 20 0 IBADRN COC(=O)CCCS(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000522808991 1072576390 /nfs/dbraw/zinc/57/63/90/1072576390.db2.gz RGPBTIPTVNFRNT-HNNXBMFYSA-N 0 0 426.557 -0.519 20 0 IBADRN COC(=O)CCCS(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000522808992 1072576254 /nfs/dbraw/zinc/57/62/54/1072576254.db2.gz RGPBTIPTVNFRNT-OAHLLOKOSA-N 0 0 426.557 -0.519 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)c1 ZINC000522810199 1072576302 /nfs/dbraw/zinc/57/63/02/1072576302.db2.gz OKIZYEACJVLIQP-UHFFFAOYSA-N 0 0 425.445 -0.063 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)cn1 ZINC000522811560 1072576373 /nfs/dbraw/zinc/57/63/73/1072576373.db2.gz AGLIATMGPKOUEZ-UHFFFAOYSA-N 0 0 431.474 -0.129 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNC(=O)N1CCc2ccccc2C1 ZINC000522811695 1072576317 /nfs/dbraw/zinc/57/63/17/1072576317.db2.gz GKAUADMRKHBXCC-KRWDZBQOSA-N 0 0 436.534 -0.094 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNC(=O)N1CCc2ccccc2C1 ZINC000522811696 1072576369 /nfs/dbraw/zinc/57/63/69/1072576369.db2.gz GKAUADMRKHBXCC-QGZVFWFLSA-N 0 0 436.534 -0.094 20 0 IBADRN CCn1nc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(=O)c2ccccc21 ZINC000522813688 1072576275 /nfs/dbraw/zinc/57/62/75/1072576275.db2.gz APTQYICZIHAJCM-UHFFFAOYSA-N 0 0 425.445 -0.150 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC000522818969 1072576884 /nfs/dbraw/zinc/57/68/84/1072576884.db2.gz XSYORIWMURYVGC-UHFFFAOYSA-N 0 0 446.504 -0.341 20 0 IBADRN COc1ccc(-c2nc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)n[nH]2)c(OC)c1 ZINC000522819739 1072576825 /nfs/dbraw/zinc/57/68/25/1072576825.db2.gz SZZJVTQFUNCYBQ-UHFFFAOYSA-N 0 0 440.420 -0.125 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000522819898 1072576778 /nfs/dbraw/zinc/57/67/78/1072576778.db2.gz HACDQQBMITXJJT-UHFFFAOYSA-N 0 0 427.527 -0.026 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000522822525 1072576939 /nfs/dbraw/zinc/57/69/39/1072576939.db2.gz BQDLDKMBGRTNHZ-UHFFFAOYSA-N 0 0 445.542 -0.745 20 0 IBADRN NC(=O)c1ccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000522824374 1072576917 /nfs/dbraw/zinc/57/69/17/1072576917.db2.gz VSOGVWUJJKNRBP-UHFFFAOYSA-N 0 0 425.511 -0.374 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000522831225 1072577505 /nfs/dbraw/zinc/57/75/05/1072577505.db2.gz PRYQQVLNXCPSJT-KRWDZBQOSA-N 0 0 438.554 -0.017 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000522831226 1072577380 /nfs/dbraw/zinc/57/73/80/1072577380.db2.gz PRYQQVLNXCPSJT-QGZVFWFLSA-N 0 0 438.554 -0.017 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(c2ncccn2)CC1 ZINC000522835252 1072578194 /nfs/dbraw/zinc/57/81/94/1072578194.db2.gz PSHYAWAWHKWHCB-UHFFFAOYSA-N 0 0 439.542 -0.650 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000522835346 1072578022 /nfs/dbraw/zinc/57/80/22/1072578022.db2.gz VQLWYAJNVWUFOD-INIZCTEOSA-N 0 0 431.559 -0.272 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000522835347 1072578070 /nfs/dbraw/zinc/57/80/70/1072578070.db2.gz VQLWYAJNVWUFOD-MRXNPFEDSA-N 0 0 431.559 -0.272 20 0 IBADRN O=C(NC[C@@H](CO)Cc1ccco1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522836055 1072578177 /nfs/dbraw/zinc/57/81/77/1072578177.db2.gz TVFIUUGZJVDLJV-HNNXBMFYSA-N 0 0 430.527 -0.229 20 0 IBADRN O=C(NC[C@H](CO)Cc1ccco1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522836056 1072577986 /nfs/dbraw/zinc/57/79/86/1072577986.db2.gz TVFIUUGZJVDLJV-OAHLLOKOSA-N 0 0 430.527 -0.229 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCO[C@H](Cn2cccn2)C1 ZINC000522836084 1072578034 /nfs/dbraw/zinc/57/80/34/1072578034.db2.gz VCHLSHKJPVOIQW-KRWDZBQOSA-N 0 0 442.542 -0.665 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCO[C@@H](Cn2cccn2)C1 ZINC000522836085 1072578084 /nfs/dbraw/zinc/57/80/84/1072578084.db2.gz VCHLSHKJPVOIQW-QGZVFWFLSA-N 0 0 442.542 -0.665 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCCC1)c(=O)n2C ZINC000522836206 1072578146 /nfs/dbraw/zinc/57/81/46/1072578146.db2.gz DTTITDBNFDYARI-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCCC1)c(=O)n2C ZINC000522836207 1072578202 /nfs/dbraw/zinc/57/82/02/1072578202.db2.gz DTTITDBNFDYARI-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC000522836684 1072578062 /nfs/dbraw/zinc/57/80/62/1072578062.db2.gz OVJQEHHNUSUQTJ-UHFFFAOYSA-N 0 0 429.543 -0.708 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000522839236 1072578075 /nfs/dbraw/zinc/57/80/75/1072578075.db2.gz QRIPEAJBQYZWGH-UHFFFAOYSA-N 0 0 429.474 -0.519 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000522839316 1072577998 /nfs/dbraw/zinc/57/79/98/1072577998.db2.gz UVXZLJIYYRUWKD-UHFFFAOYSA-N 0 0 447.539 -0.503 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000522839798 1072578136 /nfs/dbraw/zinc/57/81/36/1072578136.db2.gz KYNCUEIWRMPYNZ-UHFFFAOYSA-N 0 0 441.441 -0.702 20 0 IBADRN O=C(CNC(=O)c1ccc(O)cc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522840902 1072578447 /nfs/dbraw/zinc/57/84/47/1072578447.db2.gz AJHQYDYVLLQEFB-UHFFFAOYSA-N 0 0 426.495 -0.720 20 0 IBADRN Cc1cc(C)n2nc(NC(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)nc2n1 ZINC000522841228 1073319996 /nfs/dbraw/zinc/31/99/96/1073319996.db2.gz NYIQUKSWLDJAEA-UHFFFAOYSA-N 0 0 438.514 -0.096 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000522841793 1072578116 /nfs/dbraw/zinc/57/81/16/1072578116.db2.gz WMXHKPJGMFHQIK-CYBMUJFWSA-N 0 0 439.523 -0.741 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000522841794 1072578185 /nfs/dbraw/zinc/57/81/85/1072578185.db2.gz WMXHKPJGMFHQIK-ZDUSSCGKSA-N 0 0 439.523 -0.741 20 0 IBADRN O=C(CNC(=O)c1ccc(F)cc1F)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522841878 1072578417 /nfs/dbraw/zinc/57/84/17/1072578417.db2.gz UIUSTCGWJYWMEG-UHFFFAOYSA-N 0 0 446.476 -0.148 20 0 IBADRN COCCOc1ncccc1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522841934 1072578482 /nfs/dbraw/zinc/57/84/82/1072578482.db2.gz XXYSZOWBDBVZMX-UHFFFAOYSA-N 0 0 428.511 -0.122 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000522845023 1072578769 /nfs/dbraw/zinc/57/87/69/1072578769.db2.gz XENHZEQSBINEQV-UHFFFAOYSA-N 0 0 427.527 -0.137 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1 ZINC000522845034 1072578666 /nfs/dbraw/zinc/57/86/66/1072578666.db2.gz XXOZHWBLCBHARC-UHFFFAOYSA-N 0 0 432.481 -0.350 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)CC1 ZINC000522852172 1072578652 /nfs/dbraw/zinc/57/86/52/1072578652.db2.gz JIRQUTOMARDTJZ-UHFFFAOYSA-N 0 0 435.481 -0.499 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522853949 1072579012 /nfs/dbraw/zinc/57/90/12/1072579012.db2.gz MUPRRWXHKZMGMZ-CYBMUJFWSA-N 0 0 449.899 -0.013 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522853950 1072579106 /nfs/dbraw/zinc/57/91/06/1072579106.db2.gz MUPRRWXHKZMGMZ-ZDUSSCGKSA-N 0 0 449.899 -0.013 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522854330 1072579178 /nfs/dbraw/zinc/57/91/78/1072579178.db2.gz AROBTHKSFPWFPH-HNNXBMFYSA-N 0 0 441.488 -0.693 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522854331 1072579062 /nfs/dbraw/zinc/57/90/62/1072579062.db2.gz AROBTHKSFPWFPH-OAHLLOKOSA-N 0 0 441.488 -0.693 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000522854719 1072578742 /nfs/dbraw/zinc/57/87/42/1072578742.db2.gz YRRCOBJIZCICBK-KRWDZBQOSA-N 0 0 436.534 -0.111 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000522854720 1072579171 /nfs/dbraw/zinc/57/91/71/1072579171.db2.gz YRRCOBJIZCICBK-QGZVFWFLSA-N 0 0 436.534 -0.111 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000522855075 1072579866 /nfs/dbraw/zinc/57/98/66/1072579866.db2.gz NSJYAZJOJZNZSB-AWEZNQCLSA-N 0 0 440.522 -0.521 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000522855076 1072579699 /nfs/dbraw/zinc/57/96/99/1072579699.db2.gz NSJYAZJOJZNZSB-CQSZACIVSA-N 0 0 440.522 -0.521 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)CC2)[nH]1 ZINC000522855148 1072579708 /nfs/dbraw/zinc/57/97/08/1072579708.db2.gz RXQYETPVAKYEIG-UHFFFAOYSA-N 0 0 449.537 -0.445 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000522855246 1072579158 /nfs/dbraw/zinc/57/91/58/1072579158.db2.gz XIWZPEYUSMAQOO-JCYILVPMSA-N 0 0 430.571 -0.466 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000522855247 1072579839 /nfs/dbraw/zinc/57/98/39/1072579839.db2.gz XIWZPEYUSMAQOO-OFLPRAFFSA-N 0 0 430.571 -0.466 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522855499 1072579088 /nfs/dbraw/zinc/57/90/88/1072579088.db2.gz FLRXXKKBDXTGEC-DLBZAZTESA-N 0 0 430.571 -0.370 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522855500 1072579144 /nfs/dbraw/zinc/57/91/44/1072579144.db2.gz FLRXXKKBDXTGEC-IAGOWNOFSA-N 0 0 430.571 -0.370 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522855501 1072579135 /nfs/dbraw/zinc/57/91/35/1072579135.db2.gz FLRXXKKBDXTGEC-IRXDYDNUSA-N 0 0 430.571 -0.370 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522855502 1072579183 /nfs/dbraw/zinc/57/91/83/1072579183.db2.gz FLRXXKKBDXTGEC-SJORKVTESA-N 0 0 430.571 -0.370 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522855509 1072579190 /nfs/dbraw/zinc/57/91/90/1072579190.db2.gz GAOHVXPAPDLFTF-MOPGFXCFSA-N 0 0 445.499 -0.046 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522855510 1072579187 /nfs/dbraw/zinc/57/91/87/1072579187.db2.gz GAOHVXPAPDLFTF-OALUTQOASA-N 0 0 445.499 -0.046 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522855511 1072579035 /nfs/dbraw/zinc/57/90/35/1072579035.db2.gz GAOHVXPAPDLFTF-RBUKOAKNSA-N 0 0 445.499 -0.046 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522855512 1072579081 /nfs/dbraw/zinc/57/90/81/1072579081.db2.gz GAOHVXPAPDLFTF-RTBURBONSA-N 0 0 445.499 -0.046 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000522855801 1072579126 /nfs/dbraw/zinc/57/91/26/1072579126.db2.gz VXGDYQNUAUKMCZ-TZQQIIETSA-N 0 0 435.525 -0.464 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000522855802 1072579072 /nfs/dbraw/zinc/57/90/72/1072579072.db2.gz VXGDYQNUAUKMCZ-ZGOJQLDESA-N 0 0 435.525 -0.464 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000522855922 1072579805 /nfs/dbraw/zinc/57/98/05/1072579805.db2.gz GERYALWGHPTTBW-UHFFFAOYSA-N 0 0 427.479 -0.030 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000522856070 1072580813 /nfs/dbraw/zinc/58/08/13/1072580813.db2.gz BZVZCCXXMIVOMU-HNNXBMFYSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000522856071 1072580837 /nfs/dbraw/zinc/58/08/37/1072580837.db2.gz BZVZCCXXMIVOMU-OAHLLOKOSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1ccccc1OCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522856107 1072579921 /nfs/dbraw/zinc/57/99/21/1072579921.db2.gz FJMGOWFZPKIXHP-HNNXBMFYSA-N 0 0 425.507 -0.479 20 0 IBADRN COc1ccccc1OCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522856108 1072579873 /nfs/dbraw/zinc/57/98/73/1072579873.db2.gz FJMGOWFZPKIXHP-OAHLLOKOSA-N 0 0 425.507 -0.479 20 0 IBADRN CC(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522856339 1072579850 /nfs/dbraw/zinc/57/98/50/1072579850.db2.gz UNISMZAZYXWUBT-CVEARBPZSA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522856340 1072579830 /nfs/dbraw/zinc/57/98/30/1072579830.db2.gz UNISMZAZYXWUBT-HOTGVXAUSA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522856341 1072579884 /nfs/dbraw/zinc/57/98/84/1072579884.db2.gz UNISMZAZYXWUBT-HZPDHXFCSA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522856342 1072579857 /nfs/dbraw/zinc/57/98/57/1072579857.db2.gz UNISMZAZYXWUBT-JKSUJKDBSA-N 0 0 428.555 -0.919 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1OC ZINC000522856343 1072579752 /nfs/dbraw/zinc/57/97/52/1072579752.db2.gz UPFFAQFSBPZZAQ-HNNXBMFYSA-N 0 0 440.522 -0.734 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1OC ZINC000522856344 1072579913 /nfs/dbraw/zinc/57/99/13/1072579913.db2.gz UPFFAQFSBPZZAQ-OAHLLOKOSA-N 0 0 440.522 -0.734 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522857561 1072581444 /nfs/dbraw/zinc/58/14/44/1072581444.db2.gz AUTZWSXFHXOTHK-HNNXBMFYSA-N 0 0 429.477 -0.459 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522857562 1072581483 /nfs/dbraw/zinc/58/14/83/1072581483.db2.gz AUTZWSXFHXOTHK-OAHLLOKOSA-N 0 0 429.477 -0.459 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000522857572 1072581365 /nfs/dbraw/zinc/58/13/65/1072581365.db2.gz AZNXIYQTURKFBM-KRWDZBQOSA-N 0 0 436.534 -0.210 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000522857573 1072581341 /nfs/dbraw/zinc/58/13/41/1072581341.db2.gz AZNXIYQTURKFBM-QGZVFWFLSA-N 0 0 436.534 -0.210 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000522857622 1072579927 /nfs/dbraw/zinc/57/99/27/1072579927.db2.gz FGXXBOXHWHIJEW-GOSISDBHSA-N 0 0 425.489 -0.046 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000522857623 1072579813 /nfs/dbraw/zinc/57/98/13/1072579813.db2.gz FGXXBOXHWHIJEW-SFHVURJKSA-N 0 0 425.489 -0.046 20 0 IBADRN Cc1nn(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C)c1Cl ZINC000522857713 1072580870 /nfs/dbraw/zinc/58/08/70/1072580870.db2.gz JWVUFVNDEOXWKJ-AWEZNQCLSA-N 0 0 431.946 -0.399 20 0 IBADRN Cc1nn(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C)c1Cl ZINC000522857714 1072580862 /nfs/dbraw/zinc/58/08/62/1072580862.db2.gz JWVUFVNDEOXWKJ-CQSZACIVSA-N 0 0 431.946 -0.399 20 0 IBADRN CCCC(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000522859648 1072580302 /nfs/dbraw/zinc/58/03/02/1072580302.db2.gz NNQOUOQKJRYYKK-DLBZAZTESA-N 0 0 442.582 -0.527 20 0 IBADRN CCCC(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000522859649 1072580383 /nfs/dbraw/zinc/58/03/83/1072580383.db2.gz NNQOUOQKJRYYKK-IAGOWNOFSA-N 0 0 442.582 -0.527 20 0 IBADRN CCCC(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000522859650 1072580339 /nfs/dbraw/zinc/58/03/39/1072580339.db2.gz NNQOUOQKJRYYKK-IRXDYDNUSA-N 0 0 442.582 -0.527 20 0 IBADRN CCCC(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000522859651 1072580351 /nfs/dbraw/zinc/58/03/51/1072580351.db2.gz NNQOUOQKJRYYKK-SJORKVTESA-N 0 0 442.582 -0.527 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1cccc(N2CCCS2(=O)=O)c1 ZINC000522859806 1072580259 /nfs/dbraw/zinc/58/02/59/1072580259.db2.gz WQWXVMQPJQOSSU-KRWDZBQOSA-N 0 0 436.534 -0.111 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1cccc(N2CCCS2(=O)=O)c1 ZINC000522859807 1072580392 /nfs/dbraw/zinc/58/03/92/1072580392.db2.gz WQWXVMQPJQOSSU-QGZVFWFLSA-N 0 0 436.534 -0.111 20 0 IBADRN COc1cccc2c1OCC(C(=O)N1CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC1)=C2 ZINC000522860040 1072580826 /nfs/dbraw/zinc/58/08/26/1072580826.db2.gz ABQZAGRRZQQOAF-KRWDZBQOSA-N 0 0 449.529 -0.082 20 0 IBADRN COc1cccc2c1OCC(C(=O)N1CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC1)=C2 ZINC000522860041 1072580921 /nfs/dbraw/zinc/58/09/21/1072580921.db2.gz ABQZAGRRZQQOAF-QGZVFWFLSA-N 0 0 449.529 -0.082 20 0 IBADRN Cc1cccn2cc(CC(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)nc12 ZINC000522860101 1072580877 /nfs/dbraw/zinc/58/08/77/1072580877.db2.gz CXWSDAAGRPDPEI-INIZCTEOSA-N 0 0 433.534 -0.367 20 0 IBADRN Cc1cccn2cc(CC(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)nc12 ZINC000522860102 1072580772 /nfs/dbraw/zinc/58/07/72/1072580772.db2.gz CXWSDAAGRPDPEI-MRXNPFEDSA-N 0 0 433.534 -0.367 20 0 IBADRN O=C(CN1CCN(C(=O)CCNC(=O)c2ccsc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522860298 1072580909 /nfs/dbraw/zinc/58/09/09/1072580909.db2.gz NHBHXQWZULECMC-HNNXBMFYSA-N 0 0 442.563 -0.685 20 0 IBADRN O=C(CN1CCN(C(=O)CCNC(=O)c2ccsc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522860299 1072580936 /nfs/dbraw/zinc/58/09/36/1072580936.db2.gz NHBHXQWZULECMC-OAHLLOKOSA-N 0 0 442.563 -0.685 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cccn3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522860312 1072580845 /nfs/dbraw/zinc/58/08/45/1072580845.db2.gz OAXRHRDTTBLBTJ-KRWDZBQOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cccn3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522860313 1072580928 /nfs/dbraw/zinc/58/09/28/1072580928.db2.gz OAXRHRDTTBLBTJ-QGZVFWFLSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccn(-c3ccccc3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522860353 1072580221 /nfs/dbraw/zinc/58/02/21/1072580221.db2.gz QBEYITZCDYBROE-INIZCTEOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccn(-c3ccccc3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522860354 1072580248 /nfs/dbraw/zinc/58/02/48/1072580248.db2.gz QBEYITZCDYBROE-MRXNPFEDSA-N 0 0 431.518 -0.067 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000522860377 1072580791 /nfs/dbraw/zinc/58/07/91/1072580791.db2.gz RAWPLBUFUSOFBM-CRAIPNDOSA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000522860378 1072580888 /nfs/dbraw/zinc/58/08/88/1072580888.db2.gz RAWPLBUFUSOFBM-MAUKXSAKSA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000522860379 1072580944 /nfs/dbraw/zinc/58/09/44/1072580944.db2.gz RAWPLBUFUSOFBM-QAPCUYQASA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000522860380 1072580757 /nfs/dbraw/zinc/58/07/57/1072580757.db2.gz RAWPLBUFUSOFBM-YJBOKZPZSA-N 0 0 428.555 -0.919 20 0 IBADRN C[C@@H](CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000522860562 1072580203 /nfs/dbraw/zinc/58/02/03/1072580203.db2.gz ZRFVYVKJZPXXSB-DOTOQJQBSA-N 0 0 442.582 -0.481 20 0 IBADRN C[C@H](CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000522860563 1072580407 /nfs/dbraw/zinc/58/04/07/1072580407.db2.gz ZRFVYVKJZPXXSB-NVXWUHKLSA-N 0 0 442.582 -0.481 20 0 IBADRN C[C@@H](CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000522860564 1072580293 /nfs/dbraw/zinc/58/02/93/1072580293.db2.gz ZRFVYVKJZPXXSB-RDJZCZTQSA-N 0 0 442.582 -0.481 20 0 IBADRN C[C@H](CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000522860565 1072580449 /nfs/dbraw/zinc/58/04/49/1072580449.db2.gz ZRFVYVKJZPXXSB-WBVHZDCISA-N 0 0 442.582 -0.481 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cnn(-c3ccccc3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522860852 1072580797 /nfs/dbraw/zinc/58/07/97/1072580797.db2.gz GAECGIZNXJTRKQ-GOSISDBHSA-N 0 0 445.545 -0.138 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cnn(-c3ccccc3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522860853 1072580857 /nfs/dbraw/zinc/58/08/57/1072580857.db2.gz GAECGIZNXJTRKQ-SFHVURJKSA-N 0 0 445.545 -0.138 20 0 IBADRN CC(=O)Nc1ccc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522861012 1072581273 /nfs/dbraw/zinc/58/12/73/1072581273.db2.gz OIASZUWESUVARZ-GOSISDBHSA-N 0 0 436.534 -0.365 20 0 IBADRN CC(=O)Nc1ccc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522861013 1072581437 /nfs/dbraw/zinc/58/14/37/1072581437.db2.gz OIASZUWESUVARZ-SFHVURJKSA-N 0 0 436.534 -0.365 20 0 IBADRN COCc1ccccc1NC(=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522862405 1072581530 /nfs/dbraw/zinc/58/15/30/1072581530.db2.gz NPQXIONPJUTHBD-UHFFFAOYSA-N 0 0 440.522 -0.071 20 0 IBADRN O=C(Nc1ccc2c(c1)OCO2)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522863883 1072581467 /nfs/dbraw/zinc/58/14/67/1072581467.db2.gz BGRINRITVFOEFY-UHFFFAOYSA-N 0 0 440.478 -0.489 20 0 IBADRN COCc1cccc(NC(=O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000522864516 1072581256 /nfs/dbraw/zinc/58/12/56/1072581256.db2.gz INQGJIVTHMEQQV-UHFFFAOYSA-N 0 0 440.522 -0.071 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1NC(C)=O ZINC000522866572 1072581539 /nfs/dbraw/zinc/58/15/39/1072581539.db2.gz LPIYSBGVPXQGOO-UHFFFAOYSA-N 0 0 447.492 -0.134 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)[C@@H]1c1cccnc1 ZINC000522871279 1072581358 /nfs/dbraw/zinc/58/13/58/1072581358.db2.gz IQQSEEIGAGBSIZ-AEFFLSMTSA-N 0 0 429.477 -0.869 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)[C@H]1c1cccnc1 ZINC000522871280 1072581332 /nfs/dbraw/zinc/58/13/32/1072581332.db2.gz IQQSEEIGAGBSIZ-FUHWJXTLSA-N 0 0 429.477 -0.869 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)[C@H]1c1cccnc1 ZINC000522871281 1072581551 /nfs/dbraw/zinc/58/15/51/1072581551.db2.gz IQQSEEIGAGBSIZ-SJLPKXTDSA-N 0 0 429.477 -0.869 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)[C@@H]1c1cccnc1 ZINC000522871282 1072581311 /nfs/dbraw/zinc/58/13/11/1072581311.db2.gz IQQSEEIGAGBSIZ-WMZOPIPTSA-N 0 0 429.477 -0.869 20 0 IBADRN O=C(CN1CCN(C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1)NC1CC1 ZINC000522876324 1072581842 /nfs/dbraw/zinc/58/18/42/1072581842.db2.gz XPJYRMSAFLDWIM-UHFFFAOYSA-N 0 0 428.537 -0.059 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000522876726 1072581914 /nfs/dbraw/zinc/58/19/14/1072581914.db2.gz MISJJEDQHBUFGQ-UHFFFAOYSA-N 0 0 444.536 -0.286 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000522876916 1072582443 /nfs/dbraw/zinc/58/24/43/1072582443.db2.gz IFZIPGHDCJNZKR-IRXDYDNUSA-N 0 0 437.541 -0.264 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000522876917 1072582376 /nfs/dbraw/zinc/58/23/76/1072582376.db2.gz IFZIPGHDCJNZKR-SJORKVTESA-N 0 0 437.541 -0.264 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCN(c2ccccc2)CC1 ZINC000522877785 1072582569 /nfs/dbraw/zinc/58/25/69/1072582569.db2.gz OVTTUSFIWYALOA-UHFFFAOYSA-N 0 0 442.520 -0.532 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522879416 1072582671 /nfs/dbraw/zinc/58/26/71/1072582671.db2.gz KHGYRTWBXRLVBF-IRXDYDNUSA-N 0 0 445.586 -0.072 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522879417 1072582581 /nfs/dbraw/zinc/58/25/81/1072582581.db2.gz KHGYRTWBXRLVBF-SJORKVTESA-N 0 0 445.586 -0.072 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1cc(-c2ccccc2)on1)N[C@H]1CCS(=O)(=O)C1 ZINC000522884664 1072582538 /nfs/dbraw/zinc/58/25/38/1072582538.db2.gz OCZFYXONLCNEHY-AWEZNQCLSA-N 0 0 434.474 -0.119 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1cc(-c2ccccc2)on1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522884665 1072582474 /nfs/dbraw/zinc/58/24/74/1072582474.db2.gz OCZFYXONLCNEHY-CQSZACIVSA-N 0 0 434.474 -0.119 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(C)c1 ZINC000522892736 1072583183 /nfs/dbraw/zinc/58/31/83/1072583183.db2.gz AJAYZQXVETWZJO-CABCVRRESA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(C)c1 ZINC000522892737 1072583134 /nfs/dbraw/zinc/58/31/34/1072583134.db2.gz AJAYZQXVETWZJO-GJZGRUSLSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(C)c1 ZINC000522892738 1072583071 /nfs/dbraw/zinc/58/30/71/1072583071.db2.gz AJAYZQXVETWZJO-HUUCEWRRSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(C)c1 ZINC000522892739 1072582971 /nfs/dbraw/zinc/58/29/71/1072582971.db2.gz AJAYZQXVETWZJO-LSDHHAIUSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000522892875 1072661141 /nfs/dbraw/zinc/66/11/41/1072661141.db2.gz KDEOSNHEZLSEFJ-CVEARBPZSA-N 0 0 448.519 -0.986 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000522892876 1072661183 /nfs/dbraw/zinc/66/11/83/1072661183.db2.gz KDEOSNHEZLSEFJ-HOTGVXAUSA-N 0 0 448.519 -0.986 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000522892877 1072583678 /nfs/dbraw/zinc/58/36/78/1072583678.db2.gz KDEOSNHEZLSEFJ-HZPDHXFCSA-N 0 0 448.519 -0.986 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000522892878 1072583638 /nfs/dbraw/zinc/58/36/38/1072583638.db2.gz KDEOSNHEZLSEFJ-JKSUJKDBSA-N 0 0 448.519 -0.986 20 0 IBADRN CC(=O)Nc1ccc(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000522892997 1072583737 /nfs/dbraw/zinc/58/37/37/1072583737.db2.gz SPRSTQCPUPBNSA-CVEARBPZSA-N 0 0 431.536 -0.270 20 0 IBADRN CC(=O)Nc1ccc(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000522892998 1072583625 /nfs/dbraw/zinc/58/36/25/1072583625.db2.gz SPRSTQCPUPBNSA-HOTGVXAUSA-N 0 0 431.536 -0.270 20 0 IBADRN CC(=O)Nc1ccc(C)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000522892999 1072583710 /nfs/dbraw/zinc/58/37/10/1072583710.db2.gz SPRSTQCPUPBNSA-HZPDHXFCSA-N 0 0 431.536 -0.270 20 0 IBADRN CC(=O)Nc1ccc(C)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000522893000 1072583763 /nfs/dbraw/zinc/58/37/63/1072583763.db2.gz SPRSTQCPUPBNSA-JKSUJKDBSA-N 0 0 431.536 -0.270 20 0 IBADRN COc1ccc(O[C@H](C)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522901428 1072584004 /nfs/dbraw/zinc/58/40/04/1072584004.db2.gz MIPMXTGNEZNRSH-CVEARBPZSA-N 0 0 439.534 -0.090 20 0 IBADRN COc1ccc(O[C@@H](C)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522901430 1072584158 /nfs/dbraw/zinc/58/41/58/1072584158.db2.gz MIPMXTGNEZNRSH-HOTGVXAUSA-N 0 0 439.534 -0.090 20 0 IBADRN COc1ccc(O[C@H](C)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522901431 1072584081 /nfs/dbraw/zinc/58/40/81/1072584081.db2.gz MIPMXTGNEZNRSH-HZPDHXFCSA-N 0 0 439.534 -0.090 20 0 IBADRN COc1ccc(O[C@@H](C)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522901433 1072584132 /nfs/dbraw/zinc/58/41/32/1072584132.db2.gz MIPMXTGNEZNRSH-JKSUJKDBSA-N 0 0 439.534 -0.090 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522901493 1072584056 /nfs/dbraw/zinc/58/40/56/1072584056.db2.gz QWUWNVZGKMBFCF-INIZCTEOSA-N 0 0 442.513 -0.457 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522901494 1072583975 /nfs/dbraw/zinc/58/39/75/1072583975.db2.gz QWUWNVZGKMBFCF-MRXNPFEDSA-N 0 0 442.513 -0.457 20 0 IBADRN CC(=O)Nc1ccc(F)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000522901976 1072584072 /nfs/dbraw/zinc/58/40/72/1072584072.db2.gz RYUDFBMPDQZHLL-HNNXBMFYSA-N 0 0 440.497 -0.155 20 0 IBADRN CC(=O)Nc1ccc(F)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000522901977 1072584140 /nfs/dbraw/zinc/58/41/40/1072584140.db2.gz RYUDFBMPDQZHLL-OAHLLOKOSA-N 0 0 440.497 -0.155 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)Nc2nc(CS(C)(=O)=O)no2)cc1 ZINC000522902298 1072584122 /nfs/dbraw/zinc/58/41/22/1072584122.db2.gz ILPQSWOXWMCAJJ-UHFFFAOYSA-N 0 0 432.480 -0.258 20 0 IBADRN CCOC(=O)C1(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)Cc2ccccc2C1 ZINC000522902589 1072584018 /nfs/dbraw/zinc/58/40/18/1072584018.db2.gz PQDGSAKHQRQTAT-UHFFFAOYSA-N 0 0 425.445 -0.349 20 0 IBADRN Cc1[nH]nc2nc(C)cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c12 ZINC000522917805 1072585089 /nfs/dbraw/zinc/58/50/89/1072585089.db2.gz FBIOUSUGUNNLMQ-AWEZNQCLSA-N 0 0 434.522 -0.364 20 0 IBADRN Cc1[nH]nc2nc(C)cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c12 ZINC000522917806 1072585326 /nfs/dbraw/zinc/58/53/26/1072585326.db2.gz FBIOUSUGUNNLMQ-CQSZACIVSA-N 0 0 434.522 -0.364 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522917821 1072585207 /nfs/dbraw/zinc/58/52/07/1072585207.db2.gz FUGKYCWZRGPXPW-CABCVRRESA-N 0 0 436.600 -0.903 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522917822 1072585190 /nfs/dbraw/zinc/58/51/90/1072585190.db2.gz FUGKYCWZRGPXPW-GJZGRUSLSA-N 0 0 436.600 -0.903 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522917823 1072585149 /nfs/dbraw/zinc/58/51/49/1072585149.db2.gz FUGKYCWZRGPXPW-HUUCEWRRSA-N 0 0 436.600 -0.903 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522917824 1072585121 /nfs/dbraw/zinc/58/51/21/1072585121.db2.gz FUGKYCWZRGPXPW-LSDHHAIUSA-N 0 0 436.600 -0.903 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000522918381 1072585167 /nfs/dbraw/zinc/58/51/67/1072585167.db2.gz BFHQMDOZONXPJG-AZUAARDMSA-N 0 0 432.521 -0.208 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000522918382 1072585293 /nfs/dbraw/zinc/58/52/93/1072585293.db2.gz BFHQMDOZONXPJG-ICSRJNTNSA-N 0 0 432.521 -0.208 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000522918383 1072586124 /nfs/dbraw/zinc/58/61/24/1072586124.db2.gz BFHQMDOZONXPJG-QUCCMNQESA-N 0 0 432.521 -0.208 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000522918384 1072585781 /nfs/dbraw/zinc/58/57/81/1072585781.db2.gz BFHQMDOZONXPJG-UYAOXDASSA-N 0 0 432.521 -0.208 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522918682 1072585350 /nfs/dbraw/zinc/58/53/50/1072585350.db2.gz QDOJDQJCIZENBW-KRWDZBQOSA-N 0 0 440.504 -0.268 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522918683 1072585243 /nfs/dbraw/zinc/58/52/43/1072585243.db2.gz QDOJDQJCIZENBW-QGZVFWFLSA-N 0 0 440.504 -0.268 20 0 IBADRN O=C(CCC(=O)N1CC(=O)Nc2ccccc21)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522919285 1072586001 /nfs/dbraw/zinc/58/60/01/1072586001.db2.gz PYTSDNMNYGNWDB-INIZCTEOSA-N 0 0 443.504 -0.199 20 0 IBADRN O=C(CCC(=O)N1CC(=O)Nc2ccccc21)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000522919286 1072585731 /nfs/dbraw/zinc/58/57/31/1072585731.db2.gz PYTSDNMNYGNWDB-MRXNPFEDSA-N 0 0 443.504 -0.199 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC000522919776 1072585765 /nfs/dbraw/zinc/58/57/65/1072585765.db2.gz KDUPULNXDVNXRG-INIZCTEOSA-N 0 0 429.477 -0.493 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC000522919777 1072585855 /nfs/dbraw/zinc/58/58/55/1072585855.db2.gz KDUPULNXDVNXRG-MRXNPFEDSA-N 0 0 429.477 -0.493 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1S(N)(=O)=O ZINC000522920021 1072586041 /nfs/dbraw/zinc/58/60/41/1072586041.db2.gz WGRMVCLOARVZLF-UHFFFAOYSA-N 0 0 426.474 -0.205 20 0 IBADRN CCC(CC)N1C[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000522920799 1072586027 /nfs/dbraw/zinc/58/60/27/1072586027.db2.gz XMIPICGAIBYKGN-CVEARBPZSA-N 0 0 442.582 -0.529 20 0 IBADRN CCC(CC)N1C[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000522920800 1072585745 /nfs/dbraw/zinc/58/57/45/1072585745.db2.gz XMIPICGAIBYKGN-HOTGVXAUSA-N 0 0 442.582 -0.529 20 0 IBADRN CCC(CC)N1C[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000522920801 1072586096 /nfs/dbraw/zinc/58/60/96/1072586096.db2.gz XMIPICGAIBYKGN-HZPDHXFCSA-N 0 0 442.582 -0.529 20 0 IBADRN CCC(CC)N1C[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000522920802 1072586113 /nfs/dbraw/zinc/58/61/13/1072586113.db2.gz XMIPICGAIBYKGN-JKSUJKDBSA-N 0 0 442.582 -0.529 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(F)cc3nccnc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522921696 1072584673 /nfs/dbraw/zinc/58/46/73/1072584673.db2.gz HLHGAIMRIUDJNB-AWEZNQCLSA-N 0 0 435.481 -0.170 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(F)cc3nccnc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522921697 1072584763 /nfs/dbraw/zinc/58/47/63/1072584763.db2.gz HLHGAIMRIUDJNB-CQSZACIVSA-N 0 0 435.481 -0.170 20 0 IBADRN CN1C(=O)N(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C(=O)C12CCCCC2 ZINC000522923395 1072586636 /nfs/dbraw/zinc/58/66/36/1072586636.db2.gz XPCKNLRXSGAABF-INIZCTEOSA-N 0 0 435.525 -0.367 20 0 IBADRN CN1C(=O)N(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C(=O)C12CCCCC2 ZINC000522923396 1072586386 /nfs/dbraw/zinc/58/63/86/1072586386.db2.gz XPCKNLRXSGAABF-MRXNPFEDSA-N 0 0 435.525 -0.367 20 0 IBADRN CCCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522923674 1072586511 /nfs/dbraw/zinc/58/65/11/1072586511.db2.gz BQKPCUAUHWHVOG-DLBZAZTESA-N 0 0 442.582 -0.385 20 0 IBADRN CCCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522923675 1072586594 /nfs/dbraw/zinc/58/65/94/1072586594.db2.gz BQKPCUAUHWHVOG-IAGOWNOFSA-N 0 0 442.582 -0.385 20 0 IBADRN CCCC(=O)N1CCCC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522923676 1072586567 /nfs/dbraw/zinc/58/65/67/1072586567.db2.gz BQKPCUAUHWHVOG-IRXDYDNUSA-N 0 0 442.582 -0.385 20 0 IBADRN CCCC(=O)N1CCCC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522923677 1072586505 /nfs/dbraw/zinc/58/65/05/1072586505.db2.gz BQKPCUAUHWHVOG-SJORKVTESA-N 0 0 442.582 -0.385 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Br)cn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522924010 1072586413 /nfs/dbraw/zinc/58/64/13/1072586413.db2.gz QVYAZULCEGMPKI-CYBMUJFWSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Br)cn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522924011 1072586661 /nfs/dbraw/zinc/58/66/61/1072586661.db2.gz QVYAZULCEGMPKI-ZDUSSCGKSA-N 0 0 445.339 -0.095 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(Cc2cccnc2)[C@H]2CCS(=O)(=O)C2)cn1C ZINC000522925918 1072586667 /nfs/dbraw/zinc/58/66/67/1072586667.db2.gz OZQRWGQYVUWSEU-HNNXBMFYSA-N 0 0 441.535 -0.382 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(Cc2cccnc2)[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000522925919 1072586682 /nfs/dbraw/zinc/58/66/82/1072586682.db2.gz OZQRWGQYVUWSEU-OAHLLOKOSA-N 0 0 441.535 -0.382 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2cc(Br)cn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522927746 1072586077 /nfs/dbraw/zinc/58/60/77/1072586077.db2.gz APRHCVNFPPRKSJ-CYBMUJFWSA-N 0 0 448.343 -0.907 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2cc(Br)cn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522927747 1072585713 /nfs/dbraw/zinc/58/57/13/1072585713.db2.gz APRHCVNFPPRKSJ-ZDUSSCGKSA-N 0 0 448.343 -0.907 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(Cl)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522927755 1072585799 /nfs/dbraw/zinc/58/57/99/1072585799.db2.gz ASPMAINHRBGGQL-HNNXBMFYSA-N 0 0 442.925 -0.274 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(Cl)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522927756 1072585756 /nfs/dbraw/zinc/58/57/56/1072585756.db2.gz ASPMAINHRBGGQL-OAHLLOKOSA-N 0 0 442.925 -0.274 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522927817 1072586440 /nfs/dbraw/zinc/58/64/40/1072586440.db2.gz DREZLBDQWMIYLR-HNNXBMFYSA-N 0 0 438.506 -0.919 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522927818 1072586378 /nfs/dbraw/zinc/58/63/78/1072586378.db2.gz DREZLBDQWMIYLR-OAHLLOKOSA-N 0 0 438.506 -0.919 20 0 IBADRN COc1ccc(OCCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522928028 1072587019 /nfs/dbraw/zinc/58/70/19/1072587019.db2.gz PUYSLISUANWCEY-INIZCTEOSA-N 0 0 439.534 -0.088 20 0 IBADRN COc1ccc(OCCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000522928029 1072587127 /nfs/dbraw/zinc/58/71/27/1072587127.db2.gz PUYSLISUANWCEY-MRXNPFEDSA-N 0 0 439.534 -0.088 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCCC3)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522928046 1072587071 /nfs/dbraw/zinc/58/70/71/1072587071.db2.gz QXOUMEOLBFBJKI-KRWDZBQOSA-N 0 0 435.550 -0.257 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCCC3)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522928047 1072587086 /nfs/dbraw/zinc/58/70/86/1072587086.db2.gz QXOUMEOLBFBJKI-QGZVFWFLSA-N 0 0 435.550 -0.257 20 0 IBADRN O=C(CN1CCN(C(=O)CCNC(=O)C2CCCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522928073 1072587118 /nfs/dbraw/zinc/58/71/18/1072587118.db2.gz SNWNZTIPTZBYRO-KRWDZBQOSA-N 0 0 442.582 -0.480 20 0 IBADRN O=C(CN1CCN(C(=O)CCNC(=O)C2CCCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522928074 1072587033 /nfs/dbraw/zinc/58/70/33/1072587033.db2.gz SNWNZTIPTZBYRO-QGZVFWFLSA-N 0 0 442.582 -0.480 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3noc(C(C)(C)C)n3)CC2)cn1 ZINC000522929235 1072587182 /nfs/dbraw/zinc/58/71/82/1072587182.db2.gz HSAOUPYTHJSALV-UHFFFAOYSA-N 0 0 425.515 -0.277 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)C2CCCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000522930552 1072587108 /nfs/dbraw/zinc/58/71/08/1072587108.db2.gz AJKKQRINAZNESB-INIZCTEOSA-N 0 0 428.555 -0.870 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)C2CCCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000522930553 1072587048 /nfs/dbraw/zinc/58/70/48/1072587048.db2.gz AJKKQRINAZNESB-MRXNPFEDSA-N 0 0 428.555 -0.870 20 0 IBADRN Cc1cc2ncc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c(C)n2n1 ZINC000522930609 1072587096 /nfs/dbraw/zinc/58/70/96/1072587096.db2.gz COHUIBRMDQIZRN-HNNXBMFYSA-N 0 0 434.522 -0.593 20 0 IBADRN Cc1cc2ncc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c(C)n2n1 ZINC000522930610 1072587061 /nfs/dbraw/zinc/58/70/61/1072587061.db2.gz COHUIBRMDQIZRN-OAHLLOKOSA-N 0 0 434.522 -0.593 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000522930847 1072662341 /nfs/dbraw/zinc/66/23/41/1072662341.db2.gz PGLHCRDIWKQARX-HNNXBMFYSA-N 0 0 427.498 -0.176 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000522930848 1072662315 /nfs/dbraw/zinc/66/23/15/1072662315.db2.gz PGLHCRDIWKQARX-OAHLLOKOSA-N 0 0 427.498 -0.176 20 0 IBADRN Cc1nn2c(nc(C)cc2C)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000522930892 1072587135 /nfs/dbraw/zinc/58/71/35/1072587135.db2.gz RDHOFTYHHSGTAY-INIZCTEOSA-N 0 0 448.549 -0.284 20 0 IBADRN Cc1nn2c(nc(C)cc2C)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000522930893 1072587162 /nfs/dbraw/zinc/58/71/62/1072587162.db2.gz RDHOFTYHHSGTAY-MRXNPFEDSA-N 0 0 448.549 -0.284 20 0 IBADRN Cc1cc(C)n([C@@H](C)CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000522930994 1072586363 /nfs/dbraw/zinc/58/63/63/1072586363.db2.gz XVPPNNDNSNAHCF-DLBZAZTESA-N 0 0 425.555 -0.101 20 0 IBADRN Cc1cc(C)n([C@H](C)CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000522930995 1072586652 /nfs/dbraw/zinc/58/66/52/1072586652.db2.gz XVPPNNDNSNAHCF-IAGOWNOFSA-N 0 0 425.555 -0.101 20 0 IBADRN Cc1cc(C)n([C@@H](C)CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000522930996 1072586434 /nfs/dbraw/zinc/58/64/34/1072586434.db2.gz XVPPNNDNSNAHCF-IRXDYDNUSA-N 0 0 425.555 -0.101 20 0 IBADRN Cc1cc(C)n([C@H](C)CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000522930997 1072587006 /nfs/dbraw/zinc/58/70/06/1072587006.db2.gz XVPPNNDNSNAHCF-SJORKVTESA-N 0 0 425.555 -0.101 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(Cc3noc(C(C)(C)C)n3)CC1)c(=O)n2C ZINC000522931631 1072586611 /nfs/dbraw/zinc/58/66/11/1072586611.db2.gz WRYWAIYCEGWRLP-UHFFFAOYSA-N 0 0 444.496 -0.541 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522934824 1072587670 /nfs/dbraw/zinc/58/76/70/1072587670.db2.gz IYYWLJVTUPLJRD-CHWSQXEVSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522934825 1072587638 /nfs/dbraw/zinc/58/76/38/1072587638.db2.gz IYYWLJVTUPLJRD-OLZOCXBDSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC000522934826 1072587621 /nfs/dbraw/zinc/58/76/21/1072587621.db2.gz IYYWLJVTUPLJRD-QWHCGFSZSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000522934827 1072587695 /nfs/dbraw/zinc/58/76/95/1072587695.db2.gz IYYWLJVTUPLJRD-STQMWFEESA-N 0 0 429.520 -0.545 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000522945745 1072588087 /nfs/dbraw/zinc/58/80/87/1072588087.db2.gz UBUHGLMRXBPFME-UHFFFAOYSA-N 0 0 440.460 -0.147 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@@H](O)[C@H]2CCOC2)CC1 ZINC000522961725 1072588096 /nfs/dbraw/zinc/58/80/96/1072588096.db2.gz BDAINLWKKVIWDN-DLBZAZTESA-N 0 0 426.539 -0.181 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@@H](O)[C@@H]2CCOC2)CC1 ZINC000522961726 1072588760 /nfs/dbraw/zinc/58/87/60/1072588760.db2.gz BDAINLWKKVIWDN-IAGOWNOFSA-N 0 0 426.539 -0.181 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@H](O)[C@H]2CCOC2)CC1 ZINC000522961727 1072588826 /nfs/dbraw/zinc/58/88/26/1072588826.db2.gz BDAINLWKKVIWDN-IRXDYDNUSA-N 0 0 426.539 -0.181 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@H](O)[C@@H]2CCOC2)CC1 ZINC000522961728 1072588839 /nfs/dbraw/zinc/58/88/39/1072588839.db2.gz BDAINLWKKVIWDN-SJORKVTESA-N 0 0 426.539 -0.181 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1C ZINC000522965917 1072588892 /nfs/dbraw/zinc/58/88/92/1072588892.db2.gz KZKOYOJVGSMJPT-UHFFFAOYSA-N 0 0 440.570 -0.446 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000522970265 1072588802 /nfs/dbraw/zinc/58/88/02/1072588802.db2.gz BYUMFQFBAUXHMC-UHFFFAOYSA-N 0 0 428.559 -0.496 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)cn1C ZINC000522970930 1072588705 /nfs/dbraw/zinc/58/87/05/1072588705.db2.gz SRZOUUGBTVNBDZ-UHFFFAOYSA-N 0 0 448.549 -0.289 20 0 IBADRN C[C@H]1CN(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C[C@H](C)O1 ZINC000522971032 1072588677 /nfs/dbraw/zinc/58/86/77/1072588677.db2.gz VZXQHOFBKWXAHH-KBPBESRZSA-N 0 0 425.573 -0.097 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C[C@H](C)O1 ZINC000522971033 1072588876 /nfs/dbraw/zinc/58/88/76/1072588876.db2.gz VZXQHOFBKWXAHH-OKILXGFUSA-N 0 0 425.573 -0.097 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C[C@@H](C)O1 ZINC000522971034 1072588903 /nfs/dbraw/zinc/58/89/03/1072588903.db2.gz VZXQHOFBKWXAHH-ZIAGYGMSSA-N 0 0 425.573 -0.097 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H](O)[C@H]2CCOC2)c1 ZINC000522977022 1072588725 /nfs/dbraw/zinc/58/87/25/1072588725.db2.gz SPJRWRSAKJNQFA-KBXCAEBGSA-N 0 0 427.523 -0.099 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H](O)[C@@H]2CCOC2)c1 ZINC000522977023 1072588693 /nfs/dbraw/zinc/58/86/93/1072588693.db2.gz SPJRWRSAKJNQFA-KDOFPFPSSA-N 0 0 427.523 -0.099 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H](O)[C@H]2CCOC2)c1 ZINC000522977024 1072588882 /nfs/dbraw/zinc/58/88/82/1072588882.db2.gz SPJRWRSAKJNQFA-KSSFIOAISA-N 0 0 427.523 -0.099 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H](O)[C@@H]2CCOC2)c1 ZINC000522977025 1072588868 /nfs/dbraw/zinc/58/88/68/1072588868.db2.gz SPJRWRSAKJNQFA-RDTXWAMCSA-N 0 0 427.523 -0.099 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000522979867 1072589378 /nfs/dbraw/zinc/58/93/78/1072589378.db2.gz UICFQFUJYHUKFW-HNNXBMFYSA-N 0 0 438.506 -0.108 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000522979868 1072589428 /nfs/dbraw/zinc/58/94/28/1072589428.db2.gz UICFQFUJYHUKFW-OAHLLOKOSA-N 0 0 438.506 -0.108 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)c4c[nH]cn4)CC3)cc2N1 ZINC000522982006 1072589303 /nfs/dbraw/zinc/58/93/03/1072589303.db2.gz TWSMXYPFKOCUJM-UHFFFAOYSA-N 0 0 427.464 -0.564 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000522982444 1072589331 /nfs/dbraw/zinc/58/93/31/1072589331.db2.gz LTDIEDXCEZAEFB-UHFFFAOYSA-N 0 0 440.453 -0.234 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000522983953 1072589403 /nfs/dbraw/zinc/58/94/03/1072589403.db2.gz VATBQTRCVZKXSV-UHFFFAOYSA-N 0 0 439.557 -0.018 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000522984457 1072589266 /nfs/dbraw/zinc/58/92/66/1072589266.db2.gz VLQUWFSPXZCWQX-INIZCTEOSA-N 0 0 429.587 -0.099 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000522984458 1072589366 /nfs/dbraw/zinc/58/93/66/1072589366.db2.gz VLQUWFSPXZCWQX-MRXNPFEDSA-N 0 0 429.587 -0.099 20 0 IBADRN CC1(C)COCCN1CCNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000522984775 1072589150 /nfs/dbraw/zinc/58/91/50/1072589150.db2.gz MOOZLIVDSONZIB-UHFFFAOYSA-N 0 0 433.575 -0.562 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000522986398 1072589968 /nfs/dbraw/zinc/58/99/68/1072589968.db2.gz VAXRSKLXIDZTAB-UHFFFAOYSA-N 0 0 428.486 -0.051 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)nc1 ZINC000522991609 1073318037 /nfs/dbraw/zinc/31/80/37/1073318037.db2.gz PWVDVEABOBBETJ-UHFFFAOYSA-N 0 0 442.480 -0.410 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1)N1CCCC1 ZINC000522992245 1074356522 /nfs/dbraw/zinc/35/65/22/1074356522.db2.gz RIDUPUPPLVUUPB-UHFFFAOYSA-N 0 0 433.513 -0.486 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000523004070 1072592765 /nfs/dbraw/zinc/59/27/65/1072592765.db2.gz SCFQZLHZGUGALR-UHFFFAOYSA-N 0 0 438.573 -0.347 20 0 IBADRN COC[C@H](C)CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000523004525 1072592745 /nfs/dbraw/zinc/59/27/45/1072592745.db2.gz JXPOMGHEZKCCBD-CYBMUJFWSA-N 0 0 434.540 -0.368 20 0 IBADRN COC[C@@H](C)CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000523004526 1072592728 /nfs/dbraw/zinc/59/27/28/1072592728.db2.gz JXPOMGHEZKCCBD-ZDUSSCGKSA-N 0 0 434.540 -0.368 20 0 IBADRN COC(=O)CNC(=O)CCN1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000523018302 1072605238 /nfs/dbraw/zinc/60/52/38/1072605238.db2.gz PWJYFUKPFSZBQK-UHFFFAOYSA-N 0 0 433.508 -0.480 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(CCC(=O)NCC(=O)OC)CC2)cc1 ZINC000523018515 1072605668 /nfs/dbraw/zinc/60/56/68/1072605668.db2.gz DJFRRSYAPODIPA-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)NC1CC1 ZINC000523025453 1072609359 /nfs/dbraw/zinc/60/93/59/1072609359.db2.gz DSIAKNGHTVDCJY-UHFFFAOYSA-N 0 0 427.461 -0.167 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)n1)N1CCOCC1 ZINC000523031919 1072611972 /nfs/dbraw/zinc/61/19/72/1072611972.db2.gz HKRMCSWHSBHOMK-INIZCTEOSA-N 0 0 434.497 -0.155 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)n1)N1CCOCC1 ZINC000523031920 1072611918 /nfs/dbraw/zinc/61/19/18/1072611918.db2.gz HKRMCSWHSBHOMK-MRXNPFEDSA-N 0 0 434.497 -0.155 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000523034565 1072611800 /nfs/dbraw/zinc/61/18/00/1072611800.db2.gz PUTCZFHDTWSGLB-UHFFFAOYSA-N 0 0 440.460 -0.258 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1OC ZINC000523035286 1072612021 /nfs/dbraw/zinc/61/20/21/1072612021.db2.gz LMDIPUJUDKYKJW-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1OC ZINC000523035287 1072611990 /nfs/dbraw/zinc/61/19/90/1072611990.db2.gz LMDIPUJUDKYKJW-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)CCO1 ZINC000523035327 1072612056 /nfs/dbraw/zinc/61/20/56/1072612056.db2.gz NHUDZVLAGALVEG-KRWDZBQOSA-N 0 0 432.477 -0.231 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)CCO1 ZINC000523035328 1072612006 /nfs/dbraw/zinc/61/20/06/1072612006.db2.gz NHUDZVLAGALVEG-QGZVFWFLSA-N 0 0 432.477 -0.231 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000523035450 1072612046 /nfs/dbraw/zinc/61/20/46/1072612046.db2.gz RVEDYLPLTTZWMJ-HNNXBMFYSA-N 0 0 438.506 -0.444 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000523035451 1072611902 /nfs/dbraw/zinc/61/19/02/1072611902.db2.gz RVEDYLPLTTZWMJ-OAHLLOKOSA-N 0 0 438.506 -0.444 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000523036032 1072611981 /nfs/dbraw/zinc/61/19/81/1072611981.db2.gz MYBXXUCELBJXFG-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1C ZINC000523036175 1072612440 /nfs/dbraw/zinc/61/24/40/1072612440.db2.gz SCXZLZSKASULNK-CYBMUJFWSA-N 0 0 432.524 -0.155 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1C ZINC000523036176 1072612384 /nfs/dbraw/zinc/61/23/84/1072612384.db2.gz SCXZLZSKASULNK-ZDUSSCGKSA-N 0 0 432.524 -0.155 20 0 IBADRN Cc1ncc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000523036770 1072612428 /nfs/dbraw/zinc/61/24/28/1072612428.db2.gz QEIBGTCRBZAMHA-UHFFFAOYSA-N 0 0 432.506 -0.024 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000523036774 1072612562 /nfs/dbraw/zinc/61/25/62/1072612562.db2.gz QEXWGNAQVGPEKS-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000523037532 1072612399 /nfs/dbraw/zinc/61/23/99/1072612399.db2.gz QHJSIBUJTAHGDQ-AWEZNQCLSA-N 0 0 434.478 -0.510 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000523037533 1072612578 /nfs/dbraw/zinc/61/25/78/1072612578.db2.gz QHJSIBUJTAHGDQ-CQSZACIVSA-N 0 0 434.478 -0.510 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)c1 ZINC000523037600 1072612417 /nfs/dbraw/zinc/61/24/17/1072612417.db2.gz UEJFNHLSZRUYMA-UHFFFAOYSA-N 0 0 437.522 -0.667 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1C ZINC000523038355 1072612504 /nfs/dbraw/zinc/61/25/04/1072612504.db2.gz VVHBPQDWDZMOIS-UHFFFAOYSA-N 0 0 425.467 -0.247 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)NCCn3cncn3)ccc21)N1CCOCC1 ZINC000523042827 1072613732 /nfs/dbraw/zinc/61/37/32/1072613732.db2.gz ZEQKURURHUMLKB-UHFFFAOYSA-N 0 0 425.449 -0.154 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc2c(c1)CCCN2C(C)=O ZINC000523042944 1072613713 /nfs/dbraw/zinc/61/37/13/1072613713.db2.gz DOHFOCQFKWNUML-KRWDZBQOSA-N 0 0 429.477 -0.223 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc2c(c1)CCCN2C(C)=O ZINC000523042945 1072613744 /nfs/dbraw/zinc/61/37/44/1072613744.db2.gz DOHFOCQFKWNUML-QGZVFWFLSA-N 0 0 429.477 -0.223 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)cc1OCC(=O)N(C)C ZINC000523043241 1072614194 /nfs/dbraw/zinc/61/41/94/1072614194.db2.gz UHPPUAAVFQZQGD-HNNXBMFYSA-N 0 0 447.492 -0.746 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)cc1OCC(=O)N(C)C ZINC000523043242 1072614385 /nfs/dbraw/zinc/61/43/85/1072614385.db2.gz UHPPUAAVFQZQGD-OAHLLOKOSA-N 0 0 447.492 -0.746 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCc2noc(C)n2)cc1 ZINC000523043265 1072613626 /nfs/dbraw/zinc/61/36/26/1072613626.db2.gz WAMTWYHJOQXMSZ-HNNXBMFYSA-N 0 0 444.448 -0.251 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCc2noc(C)n2)cc1 ZINC000523043266 1072613575 /nfs/dbraw/zinc/61/35/75/1072613575.db2.gz WAMTWYHJOQXMSZ-OAHLLOKOSA-N 0 0 444.448 -0.251 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCCCN2C(=O)CCC2=O)cc1 ZINC000523043838 1072613701 /nfs/dbraw/zinc/61/37/01/1072613701.db2.gz OVVWGOKMGDKABY-UHFFFAOYSA-N 0 0 445.476 -0.449 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3n[nH]cc3Br)cnc2n(C)c1=O ZINC000523043886 1072613612 /nfs/dbraw/zinc/61/36/12/1072613612.db2.gz RLDOKRDFEOBHEK-UHFFFAOYSA-N 0 0 436.226 -0.627 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000523044129 1072613772 /nfs/dbraw/zinc/61/37/72/1072613772.db2.gz CKDPMJBBOCLLHL-GOSISDBHSA-N 0 0 445.520 -0.647 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000523044130 1072613761 /nfs/dbraw/zinc/61/37/61/1072613761.db2.gz CKDPMJBBOCLLHL-SFHVURJKSA-N 0 0 445.520 -0.647 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)NC(C)C)c(C)c1 ZINC000523044428 1072614153 /nfs/dbraw/zinc/61/41/53/1072614153.db2.gz OBYOXAAIGAVRIH-INIZCTEOSA-N 0 0 431.493 -0.075 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)NC(C)C)c(C)c1 ZINC000523044429 1072614391 /nfs/dbraw/zinc/61/43/91/1072614391.db2.gz OBYOXAAIGAVRIH-MRXNPFEDSA-N 0 0 431.493 -0.075 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCn3cncn3)cc2)C[C@H](C)O1 ZINC000523044963 1072614263 /nfs/dbraw/zinc/61/42/63/1072614263.db2.gz PUPUIVQXOHOCRL-KBPBESRZSA-N 0 0 436.494 -0.169 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCn3cncn3)cc2)C[C@H](C)O1 ZINC000523044964 1072614322 /nfs/dbraw/zinc/61/43/22/1072614322.db2.gz PUPUIVQXOHOCRL-OKILXGFUSA-N 0 0 436.494 -0.169 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCn3cncn3)cc2)C[C@@H](C)O1 ZINC000523044965 1072614397 /nfs/dbraw/zinc/61/43/97/1072614397.db2.gz PUPUIVQXOHOCRL-ZIAGYGMSSA-N 0 0 436.494 -0.169 20 0 IBADRN O=C(NCCSCCCO)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000523045531 1072614359 /nfs/dbraw/zinc/61/43/59/1072614359.db2.gz RABZAJNUFYFRED-UHFFFAOYSA-N 0 0 431.536 -0.122 20 0 IBADRN O=C(NCc1cccc(CO)c1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000523045673 1072614409 /nfs/dbraw/zinc/61/44/09/1072614409.db2.gz XYPBAXVIPMPARA-UHFFFAOYSA-N 0 0 446.485 -0.446 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)ccc1Cl ZINC000523047094 1072614736 /nfs/dbraw/zinc/61/47/36/1072614736.db2.gz YYJJSLWRJWINLX-UHFFFAOYSA-N 0 0 430.870 -0.206 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)cc1 ZINC000523047856 1072614313 /nfs/dbraw/zinc/61/43/13/1072614313.db2.gz XBODDWPCPLNKES-GFCCVEGCSA-N 0 0 440.478 -0.797 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)cc1 ZINC000523047857 1072614870 /nfs/dbraw/zinc/61/48/70/1072614870.db2.gz XBODDWPCPLNKES-LBPRGKRZSA-N 0 0 440.478 -0.797 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](O)C3CCOCC3)cc2)CC1 ZINC000523048268 1072615608 /nfs/dbraw/zinc/61/56/08/1072615608.db2.gz KFOYGZFPKXPFAS-IBGZPJMESA-N 0 0 432.521 -0.155 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](O)C3CCOCC3)cc2)CC1 ZINC000523048269 1072615369 /nfs/dbraw/zinc/61/53/69/1072615369.db2.gz KFOYGZFPKXPFAS-LJQANCHMSA-N 0 0 432.521 -0.155 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000523049894 1072615455 /nfs/dbraw/zinc/61/54/55/1072615455.db2.gz ZEBZORKAGYPBOF-UHFFFAOYSA-N 0 0 438.506 -0.490 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2)n[nH]1 ZINC000523050701 1072615582 /nfs/dbraw/zinc/61/55/82/1072615582.db2.gz DAJLVOTXEREAKD-UHFFFAOYSA-N 0 0 441.492 -0.278 20 0 IBADRN CC(C)(C)c1ncc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000523050849 1072615444 /nfs/dbraw/zinc/61/54/44/1072615444.db2.gz MTDXDYXPNWDRQP-UHFFFAOYSA-N 0 0 432.481 -0.758 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)c1 ZINC000523051119 1072616123 /nfs/dbraw/zinc/61/61/23/1072616123.db2.gz ZCCAAJNFZXHQOR-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CC(C)c1noc(CCCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)n1 ZINC000523053096 1072617886 /nfs/dbraw/zinc/61/78/86/1072617886.db2.gz VYLCKSKMUDBMPL-UHFFFAOYSA-N 0 0 429.437 -0.174 20 0 IBADRN CCn1ncc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc21 ZINC000523053839 1072617247 /nfs/dbraw/zinc/61/72/47/1072617247.db2.gz DLMXYXVCNDRPIV-UHFFFAOYSA-N 0 0 428.493 -0.002 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)CC1 ZINC000523053877 1074356728 /nfs/dbraw/zinc/35/67/28/1074356728.db2.gz FZEKIBLRNKKIGT-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)cc1S(N)(=O)=O ZINC000523053926 1072617451 /nfs/dbraw/zinc/61/74/51/1072617451.db2.gz JLMIGRKZEUNFFZ-UHFFFAOYSA-N 0 0 425.511 -0.885 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000523053937 1072617283 /nfs/dbraw/zinc/61/72/83/1072617283.db2.gz JYSABTHLCHJSHD-UHFFFAOYSA-N 0 0 425.511 -0.830 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1)N1CCCCC1 ZINC000523055185 1072617937 /nfs/dbraw/zinc/61/79/37/1072617937.db2.gz FNWYLGRFXLFHJX-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN O=C(NCCc1cccc(O)c1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000523056375 1072618596 /nfs/dbraw/zinc/61/85/96/1072618596.db2.gz PBEXRPGMXIBCGZ-UHFFFAOYSA-N 0 0 446.485 -0.190 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cc1 ZINC000523056401 1072618559 /nfs/dbraw/zinc/61/85/59/1072618559.db2.gz QBONWHYCJJOGRW-UHFFFAOYSA-N 0 0 439.472 -0.046 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1C ZINC000523056810 1072618495 /nfs/dbraw/zinc/61/84/95/1072618495.db2.gz GDZAOQQKRBSPSR-UHFFFAOYSA-N 0 0 439.538 -0.442 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1S(N)(=O)=O ZINC000523057067 1072618429 /nfs/dbraw/zinc/61/84/29/1072618429.db2.gz VRZHHRQRGNZWQS-UHFFFAOYSA-N 0 0 439.538 -0.496 20 0 IBADRN COCCNc1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000523057499 1072618534 /nfs/dbraw/zinc/61/85/34/1072618534.db2.gz LYKCBZBLFRVKRX-UHFFFAOYSA-N 0 0 440.460 -0.055 20 0 IBADRN CC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000523057605 1072618546 /nfs/dbraw/zinc/61/85/46/1072618546.db2.gz STSWJOVGYZBPHU-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000523057606 1072618585 /nfs/dbraw/zinc/61/85/85/1072618585.db2.gz STSWJOVGYZBPHU-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(=O)N1CCOCC1 ZINC000523058248 1072621634 /nfs/dbraw/zinc/62/16/34/1072621634.db2.gz YPQHRFFYDVWKKN-UHFFFAOYSA-N 0 0 432.477 -0.229 20 0 IBADRN C[C@H]1CN(CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC000523058591 1072619243 /nfs/dbraw/zinc/61/92/43/1072619243.db2.gz YAPFBBFVWUKPCF-DOTOQJQBSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@@H]1CN(CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC000523058592 1072619302 /nfs/dbraw/zinc/61/93/02/1072619302.db2.gz YAPFBBFVWUKPCF-NVXWUHKLSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@H]1CN(CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC000523058593 1072619060 /nfs/dbraw/zinc/61/90/60/1072619060.db2.gz YAPFBBFVWUKPCF-RDJZCZTQSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@@H]1CN(CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC000523058594 1072619095 /nfs/dbraw/zinc/61/90/95/1072619095.db2.gz YAPFBBFVWUKPCF-WBVHZDCISA-N 0 0 447.579 -0.395 20 0 IBADRN O=C(Nc1ccc(-n2cncn2)c(F)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000523058741 1072618570 /nfs/dbraw/zinc/61/85/70/1072618570.db2.gz GPGDWVJJIMVKGM-UHFFFAOYSA-N 0 0 443.439 -0.362 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000523058809 1072618359 /nfs/dbraw/zinc/61/83/59/1072618359.db2.gz JRVYQNCPTSKITR-UHFFFAOYSA-N 0 0 434.540 -0.538 20 0 IBADRN O=C(Nc1ccc(-n2cncn2)c(F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000523058864 1072618381 /nfs/dbraw/zinc/61/83/81/1072618381.db2.gz LUMKZQDOHBDKSJ-UHFFFAOYSA-N 0 0 429.412 -0.706 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000523059042 1072621461 /nfs/dbraw/zinc/62/14/61/1072621461.db2.gz VDQQTUJSORXRRU-UHFFFAOYSA-N 0 0 430.465 -0.284 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000523062250 1072619237 /nfs/dbraw/zinc/61/92/37/1072619237.db2.gz RSYVUVLCPGTSGJ-UHFFFAOYSA-N 0 0 434.540 -0.323 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NCCCN(C)S(=O)(=O)CC)cc1S(N)(=O)=O ZINC000523062393 1072619224 /nfs/dbraw/zinc/61/92/24/1072619224.db2.gz ZHHXLLVVKNLIOH-UHFFFAOYSA-N 0 0 434.540 -0.377 20 0 IBADRN CCc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n(C)n1 ZINC000523063423 1072619271 /nfs/dbraw/zinc/61/92/71/1072619271.db2.gz LKYVZICRHPOCBV-UHFFFAOYSA-N 0 0 449.537 -0.416 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)c1 ZINC000523063469 1072619882 /nfs/dbraw/zinc/61/98/82/1072619882.db2.gz NUMYPCPBCVHEGJ-UHFFFAOYSA-N 0 0 444.466 -0.494 20 0 IBADRN COCCOCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000523064009 1072619284 /nfs/dbraw/zinc/61/92/84/1072619284.db2.gz IBMSITYEDKMUII-UHFFFAOYSA-N 0 0 442.538 -0.270 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCCO)cc2)C[C@H](C)O1 ZINC000523065819 1072619876 /nfs/dbraw/zinc/61/98/76/1072619876.db2.gz FIFZFPPSGMNSMO-KBPBESRZSA-N 0 0 429.495 -0.452 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCCO)cc2)C[C@H](C)O1 ZINC000523065820 1072619886 /nfs/dbraw/zinc/61/98/86/1072619886.db2.gz FIFZFPPSGMNSMO-OKILXGFUSA-N 0 0 429.495 -0.452 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCOCCO)cc2)C[C@@H](C)O1 ZINC000523065821 1072619722 /nfs/dbraw/zinc/61/97/22/1072619722.db2.gz FIFZFPPSGMNSMO-ZIAGYGMSSA-N 0 0 429.495 -0.452 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCOCCO ZINC000523067714 1072619818 /nfs/dbraw/zinc/61/98/18/1072619818.db2.gz GXNUWOVYCSDMCN-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCNc3cnccn3)cc2)CC1 ZINC000523067909 1073312411 /nfs/dbraw/zinc/31/24/11/1073312411.db2.gz SEVMFNSHEIYBTC-UHFFFAOYSA-N 0 0 447.521 -0.421 20 0 IBADRN COCCOCCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000523068628 1072619804 /nfs/dbraw/zinc/61/98/04/1072619804.db2.gz YQQMRBUBXKXNDQ-UHFFFAOYSA-N 0 0 447.485 -0.046 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNc2cnccn2)cc1 ZINC000523069058 1073336452 /nfs/dbraw/zinc/33/64/52/1073336452.db2.gz MTVXMKJXMIRIDP-CYBMUJFWSA-N 0 0 436.494 -0.043 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNc2cnccn2)cc1 ZINC000523069059 1072620528 /nfs/dbraw/zinc/62/05/28/1072620528.db2.gz MTVXMKJXMIRIDP-ZDUSSCGKSA-N 0 0 436.494 -0.043 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCNc1cnccn1 ZINC000523069107 1073342156 /nfs/dbraw/zinc/34/21/56/1073342156.db2.gz ORMJLGGESZTGJM-UHFFFAOYSA-N 0 0 448.505 -0.027 20 0 IBADRN C[S@](=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000523069478 1072620561 /nfs/dbraw/zinc/62/05/61/1072620561.db2.gz DNOTUDGGLWNHSA-ASHKIFAZSA-N 0 0 431.536 -0.033 20 0 IBADRN C[S@@](=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000523069479 1072620514 /nfs/dbraw/zinc/62/05/14/1072620514.db2.gz DNOTUDGGLWNHSA-LOKFHWFJSA-N 0 0 431.536 -0.033 20 0 IBADRN C[S@@](=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000523069480 1072620576 /nfs/dbraw/zinc/62/05/76/1072620576.db2.gz DNOTUDGGLWNHSA-PGGUUEOZSA-N 0 0 431.536 -0.033 20 0 IBADRN C[S@](=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000523069481 1072620557 /nfs/dbraw/zinc/62/05/57/1072620557.db2.gz DNOTUDGGLWNHSA-PWFNWSNSSA-N 0 0 431.536 -0.033 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCOCCO ZINC000523069518 1072620546 /nfs/dbraw/zinc/62/05/46/1072620546.db2.gz GNGAWENMPNPTRM-UHFFFAOYSA-N 0 0 442.538 -0.009 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCNc3cnccn3)cc2)CC1 ZINC000523069810 1072620567 /nfs/dbraw/zinc/62/05/67/1072620567.db2.gz XTVSREQYQFFXEE-UHFFFAOYSA-N 0 0 425.493 -0.040 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1C ZINC000523070146 1072620537 /nfs/dbraw/zinc/62/05/37/1072620537.db2.gz JGRZWKNZKAGRJE-UHFFFAOYSA-N 0 0 434.522 -0.033 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCCS(N)(=O)=O)c1 ZINC000523070653 1072620550 /nfs/dbraw/zinc/62/05/50/1072620550.db2.gz FOWUFCLYSPRGGO-UHFFFAOYSA-N 0 0 434.540 -0.362 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000523070739 1072620507 /nfs/dbraw/zinc/62/05/07/1072620507.db2.gz JVWBWBXOMLZJME-UHFFFAOYSA-N 0 0 432.524 -0.406 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)cc1 ZINC000523070810 1072620541 /nfs/dbraw/zinc/62/05/41/1072620541.db2.gz OKUMDGYUXFVOTJ-GFCCVEGCSA-N 0 0 436.412 -0.046 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)cc1 ZINC000523070811 1072620520 /nfs/dbraw/zinc/62/05/20/1072620520.db2.gz OKUMDGYUXFVOTJ-LBPRGKRZSA-N 0 0 436.412 -0.046 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)cc2)CC1 ZINC000523070892 1072620581 /nfs/dbraw/zinc/62/05/81/1072620581.db2.gz SXIJXCWURFMBKA-UHFFFAOYSA-N 0 0 446.551 -0.160 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000523071431 1072620478 /nfs/dbraw/zinc/62/04/78/1072620478.db2.gz WIXCOJOKOHKUAH-UHFFFAOYSA-N 0 0 433.870 -0.021 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCCS(N)(=O)=O)ccc1C ZINC000523071484 1072620543 /nfs/dbraw/zinc/62/05/43/1072620543.db2.gz ZMLMCFKFQVYIFO-UHFFFAOYSA-N 0 0 434.540 -0.241 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(O)CCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000523071667 1072620563 /nfs/dbraw/zinc/62/05/63/1072620563.db2.gz IRDKIPGWMCXXBF-UHFFFAOYSA-N 0 0 427.479 -0.314 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000523072312 1072620960 /nfs/dbraw/zinc/62/09/60/1072620960.db2.gz WGXXSYJNOUZASY-UHFFFAOYSA-N 0 0 431.493 -0.209 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000523072357 1073333510 /nfs/dbraw/zinc/33/35/10/1073333510.db2.gz YGDDLFMCCUAXHO-UHFFFAOYSA-N 0 0 439.270 -0.094 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NCCCS(N)(=O)=O)c2ccccc2O1 ZINC000523072950 1072620886 /nfs/dbraw/zinc/62/08/86/1072620886.db2.gz KEJYDOMPRCEXAK-INIZCTEOSA-N 0 0 440.522 -0.120 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NCCCS(N)(=O)=O)c2ccccc2O1 ZINC000523072951 1072621037 /nfs/dbraw/zinc/62/10/37/1072621037.db2.gz KEJYDOMPRCEXAK-MRXNPFEDSA-N 0 0 440.522 -0.120 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000523074059 1072620998 /nfs/dbraw/zinc/62/09/98/1072620998.db2.gz ZWIYUBDIWJZFFF-UHFFFAOYSA-N 0 0 432.524 -0.406 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000523074476 1072621030 /nfs/dbraw/zinc/62/10/30/1072621030.db2.gz AFDCEEODEFTJBB-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000523074879 1072620992 /nfs/dbraw/zinc/62/09/92/1072620992.db2.gz YCBISJAVROUKCZ-UHFFFAOYSA-N 0 0 445.299 -0.178 20 0 IBADRN CS(=O)(=O)CCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000523075291 1072621528 /nfs/dbraw/zinc/62/15/28/1072621528.db2.gz VZLSWUINBPGLHM-INIZCTEOSA-N 0 0 439.490 -0.810 20 0 IBADRN CS(=O)(=O)CCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000523075292 1072621658 /nfs/dbraw/zinc/62/16/58/1072621658.db2.gz VZLSWUINBPGLHM-MRXNPFEDSA-N 0 0 439.490 -0.810 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCS(N)(=O)=O)cccc1C(=O)N1CCSCC1 ZINC000523075415 1072621025 /nfs/dbraw/zinc/62/10/25/1072621025.db2.gz AFISDBZIEOEOSF-UHFFFAOYSA-N 0 0 428.536 -0.083 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCS(C)(=O)=O ZINC000523075442 1072620948 /nfs/dbraw/zinc/62/09/48/1072620948.db2.gz BTTPFDOYESIUES-UHFFFAOYSA-N 0 0 447.535 -0.495 20 0 IBADRN CCc1cc(NC(=O)C(=O)N[C@H](C)C(=O)Nc2cccc(S(=O)(=O)NC)c2)n(C)n1 ZINC000523075460 1072621021 /nfs/dbraw/zinc/62/10/21/1072621021.db2.gz CLEAHPXUDYFBHY-LLVKDONJSA-N 0 0 436.494 -0.028 20 0 IBADRN CCc1cc(NC(=O)C(=O)N[C@@H](C)C(=O)Nc2cccc(S(=O)(=O)NC)c2)n(C)n1 ZINC000523075461 1072620859 /nfs/dbraw/zinc/62/08/59/1072620859.db2.gz CLEAHPXUDYFBHY-NSHDSACASA-N 0 0 436.494 -0.028 20 0 IBADRN Cc1ccc(C(=O)N2CCSCC2)cc1NC(=O)C(=O)NCCCS(N)(=O)=O ZINC000523075706 1072620904 /nfs/dbraw/zinc/62/09/04/1072620904.db2.gz SKYLNOICETXRDE-UHFFFAOYSA-N 0 0 428.536 -0.083 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)ccc1=O ZINC000523076416 1072621564 /nfs/dbraw/zinc/62/15/64/1072621564.db2.gz AEENWNMOAORENH-UHFFFAOYSA-N 0 0 437.478 -0.055 20 0 IBADRN Cc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000523076534 1072620939 /nfs/dbraw/zinc/62/09/39/1072620939.db2.gz GDKNNEHJQXBUQI-RYUDHWBXSA-N 0 0 429.499 -0.272 20 0 IBADRN Cc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000523076535 1072621015 /nfs/dbraw/zinc/62/10/15/1072621015.db2.gz GDKNNEHJQXBUQI-TXEJJXNPSA-N 0 0 429.499 -0.272 20 0 IBADRN Cc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000523076536 1072620928 /nfs/dbraw/zinc/62/09/28/1072620928.db2.gz GDKNNEHJQXBUQI-VXGBXAGGSA-N 0 0 429.499 -0.272 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cccc(S(N)(=O)=O)c3)CC2)nc1 ZINC000523076768 1072621652 /nfs/dbraw/zinc/62/16/52/1072621652.db2.gz QYHMKEVYCRLWLV-UHFFFAOYSA-N 0 0 432.462 -0.885 20 0 IBADRN C[S@@](=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000523077142 1072621976 /nfs/dbraw/zinc/62/19/76/1072621976.db2.gz GHKPMGAPBKUVSI-MUUNZHRXSA-N 0 0 444.535 -0.916 20 0 IBADRN C[S@](=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000523077143 1072622182 /nfs/dbraw/zinc/62/21/82/1072622182.db2.gz GHKPMGAPBKUVSI-NDEPHWFRSA-N 0 0 444.535 -0.916 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)n(C)n1 ZINC000523077241 1072622017 /nfs/dbraw/zinc/62/20/17/1072622017.db2.gz KNKVJJMVKWUTMT-UHFFFAOYSA-N 0 0 449.489 -0.029 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n(C)n1 ZINC000523077667 1072622007 /nfs/dbraw/zinc/62/20/07/1072622007.db2.gz CWZOLJNOHPMGEH-UHFFFAOYSA-N 0 0 438.466 -0.854 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1C ZINC000523078944 1072622238 /nfs/dbraw/zinc/62/22/38/1072622238.db2.gz HGGOGFIXXABYCP-UHFFFAOYSA-N 0 0 439.538 -0.025 20 0 IBADRN Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000523079115 1072622595 /nfs/dbraw/zinc/62/25/95/1072622595.db2.gz PWRWBOPZOSCTAO-BETUJISGSA-N 0 0 426.499 -0.778 20 0 IBADRN Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000523079116 1072622687 /nfs/dbraw/zinc/62/26/87/1072622687.db2.gz PWRWBOPZOSCTAO-CHWSQXEVSA-N 0 0 426.499 -0.778 20 0 IBADRN Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000523079117 1072622764 /nfs/dbraw/zinc/62/27/64/1072622764.db2.gz PWRWBOPZOSCTAO-STQMWFEESA-N 0 0 426.499 -0.778 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2SCCC(N)=O)CC1 ZINC000523079246 1072622620 /nfs/dbraw/zinc/62/26/20/1072622620.db2.gz SDPJVDRZRMKDJB-UHFFFAOYSA-N 0 0 449.533 -0.212 20 0 IBADRN Cc1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)cn1 ZINC000523079669 1072622740 /nfs/dbraw/zinc/62/27/40/1072622740.db2.gz GWNIEJYQQSNYSG-UHFFFAOYSA-N 0 0 444.473 -0.249 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)cc1S(N)(=O)=O ZINC000523079898 1072622632 /nfs/dbraw/zinc/62/26/32/1072622632.db2.gz QTRQFTIFVCIEBO-GOSISDBHSA-N 0 0 442.563 -0.095 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)cc1S(N)(=O)=O ZINC000523079899 1072622729 /nfs/dbraw/zinc/62/27/29/1072622729.db2.gz QTRQFTIFVCIEBO-SFHVURJKSA-N 0 0 442.563 -0.095 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)c1 ZINC000523079953 1072622211 /nfs/dbraw/zinc/62/22/11/1072622211.db2.gz SQXQCLZLIODRFI-KRWDZBQOSA-N 0 0 428.536 -0.403 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)c1 ZINC000523079954 1072622276 /nfs/dbraw/zinc/62/22/76/1072622276.db2.gz SQXQCLZLIODRFI-QGZVFWFLSA-N 0 0 428.536 -0.403 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000523080870 1072622756 /nfs/dbraw/zinc/62/27/56/1072622756.db2.gz AAYCCYOWTZYDGD-AWEZNQCLSA-N 0 0 432.524 -0.786 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000523080871 1072622637 /nfs/dbraw/zinc/62/26/37/1072622637.db2.gz AAYCCYOWTZYDGD-CQSZACIVSA-N 0 0 432.524 -0.786 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000523081230 1072622694 /nfs/dbraw/zinc/62/26/94/1072622694.db2.gz QXVMJLQFJHREOA-GFCCVEGCSA-N 0 0 446.551 -0.377 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000523081231 1072622611 /nfs/dbraw/zinc/62/26/11/1072622611.db2.gz QXVMJLQFJHREOA-LBPRGKRZSA-N 0 0 446.551 -0.377 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1C ZINC000523082788 1072623304 /nfs/dbraw/zinc/62/33/04/1072623304.db2.gz BELRCXRCEIPOAT-GFCCVEGCSA-N 0 0 432.524 -0.570 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1C ZINC000523082789 1072623272 /nfs/dbraw/zinc/62/32/72/1072623272.db2.gz BELRCXRCEIPOAT-LBPRGKRZSA-N 0 0 432.524 -0.570 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1S(N)(=O)=O ZINC000523083151 1072623244 /nfs/dbraw/zinc/62/32/44/1072623244.db2.gz SZFMVKZCUUJKPZ-UHFFFAOYSA-N 0 0 434.540 -0.331 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1C ZINC000523083188 1072623294 /nfs/dbraw/zinc/62/32/94/1072623294.db2.gz VUIYASNJABTNLD-UHFFFAOYSA-N 0 0 434.540 -0.277 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)c1 ZINC000523084240 1072623390 /nfs/dbraw/zinc/62/33/90/1072623390.db2.gz SPGXODYAYNAFJE-UHFFFAOYSA-N 0 0 434.405 -0.176 20 0 IBADRN CCCCn1c(N)c(N(C)C(=O)c2cc(C)c3c(n2)n(C)c(=O)n(C)c3=O)c(=O)[nH]c1=O ZINC000523102895 1072626318 /nfs/dbraw/zinc/62/63/18/1072626318.db2.gz FDJIHRUSEDHRMF-UHFFFAOYSA-N 0 0 443.464 -0.138 20 0 IBADRN Cn1c(N2CCN(c3cc(N4CCOCC4)ncn3)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000523108256 1072630797 /nfs/dbraw/zinc/63/07/97/1072630797.db2.gz JNDGDUVUDWPRST-UHFFFAOYSA-N 0 0 427.469 -0.674 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c1 ZINC000523110627 1072631307 /nfs/dbraw/zinc/63/13/07/1072631307.db2.gz ZFPYCUPJKPEFCE-UHFFFAOYSA-N 0 0 433.498 -0.841 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000523113796 1072631176 /nfs/dbraw/zinc/63/11/76/1072631176.db2.gz RWRWXUGOQHNNID-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCN3CCO[C@@H](C)C3)cc2)CC1 ZINC000523114675 1072631217 /nfs/dbraw/zinc/63/12/17/1072631217.db2.gz BALJSVAQAFKMDU-INIZCTEOSA-N 0 0 438.550 -0.010 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCN3CCO[C@H](C)C3)cc2)CC1 ZINC000523114676 1072631305 /nfs/dbraw/zinc/63/13/05/1072631305.db2.gz BALJSVAQAFKMDU-MRXNPFEDSA-N 0 0 438.550 -0.010 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000523115750 1072631198 /nfs/dbraw/zinc/63/11/98/1072631198.db2.gz WECKAVDAQYBZPF-GOSISDBHSA-N 0 0 430.527 -0.155 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000523115751 1072631844 /nfs/dbraw/zinc/63/18/44/1072631844.db2.gz WECKAVDAQYBZPF-SFHVURJKSA-N 0 0 430.527 -0.155 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000523115955 1072631188 /nfs/dbraw/zinc/63/11/88/1072631188.db2.gz BMPUWUUZGNWHLM-AWEZNQCLSA-N 0 0 440.522 -0.123 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000523115957 1072631297 /nfs/dbraw/zinc/63/12/97/1072631297.db2.gz BMPUWUUZGNWHLM-CQSZACIVSA-N 0 0 440.522 -0.123 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000523116610 1072631698 /nfs/dbraw/zinc/63/16/98/1072631698.db2.gz ZRKCWDHQYIJFSP-KRWDZBQOSA-N 0 0 433.458 -0.701 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000523116611 1072631747 /nfs/dbraw/zinc/63/17/47/1072631747.db2.gz ZRKCWDHQYIJFSP-QGZVFWFLSA-N 0 0 433.458 -0.701 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000523116983 1072631836 /nfs/dbraw/zinc/63/18/36/1072631836.db2.gz OLURFTVHFXSMSS-GFCCVEGCSA-N 0 0 443.478 -0.420 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000523116984 1072631705 /nfs/dbraw/zinc/63/17/05/1072631705.db2.gz OLURFTVHFXSMSS-LBPRGKRZSA-N 0 0 443.478 -0.420 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)cn1C ZINC000523117251 1072631910 /nfs/dbraw/zinc/63/19/10/1072631910.db2.gz JWLHKTJSOYQWOO-UHFFFAOYSA-N 0 0 435.506 -0.204 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)Nc1cccnc1 ZINC000523117432 1072631852 /nfs/dbraw/zinc/63/18/52/1072631852.db2.gz FUOAASCPNYKNPI-UHFFFAOYSA-N 0 0 447.473 -0.204 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000523117824 1072631826 /nfs/dbraw/zinc/63/18/26/1072631826.db2.gz VPBLSTKVUIUAEA-IBGZPJMESA-N 0 0 442.538 -0.535 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000523117825 1072631876 /nfs/dbraw/zinc/63/18/76/1072631876.db2.gz VPBLSTKVUIUAEA-LJQANCHMSA-N 0 0 442.538 -0.535 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000523118568 1072631775 /nfs/dbraw/zinc/63/17/75/1072631775.db2.gz SSRMKMLYZBIXGP-UHFFFAOYSA-N 0 0 447.517 -0.113 20 0 IBADRN COCCn1cc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000523118598 1072631789 /nfs/dbraw/zinc/63/17/89/1072631789.db2.gz VCGPPCXMJFRGKV-UHFFFAOYSA-N 0 0 429.481 -0.282 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000523119231 1072632482 /nfs/dbraw/zinc/63/24/82/1072632482.db2.gz UHVKSRBLEOCEOC-GOSISDBHSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000523119232 1072632472 /nfs/dbraw/zinc/63/24/72/1072632472.db2.gz UHVKSRBLEOCEOC-SFHVURJKSA-N 0 0 428.511 -0.925 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)cn1 ZINC000523119353 1072632434 /nfs/dbraw/zinc/63/24/34/1072632434.db2.gz YSBJMZJKONPGNJ-UHFFFAOYSA-N 0 0 447.883 -0.575 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000523119479 1072632479 /nfs/dbraw/zinc/63/24/79/1072632479.db2.gz ARTYYXJUJAPVJF-GOSISDBHSA-N 0 0 445.494 -0.832 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000523119480 1072632334 /nfs/dbraw/zinc/63/23/34/1072632334.db2.gz ARTYYXJUJAPVJF-SFHVURJKSA-N 0 0 445.494 -0.832 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H](C(=O)OC)C2CCOCC2)c2ccccc2O1 ZINC000523119525 1072632464 /nfs/dbraw/zinc/63/24/64/1072632464.db2.gz CAOIGAXRJSLBFZ-PBHICJAKSA-N 0 0 433.461 -0.141 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H](C(=O)OC)C2CCOCC2)c2ccccc2O1 ZINC000523119526 1072632522 /nfs/dbraw/zinc/63/25/22/1072632522.db2.gz CAOIGAXRJSLBFZ-RHSMWYFYSA-N 0 0 433.461 -0.141 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H](C(=O)OC)C2CCOCC2)c2ccccc2O1 ZINC000523119527 1072632487 /nfs/dbraw/zinc/63/24/87/1072632487.db2.gz CAOIGAXRJSLBFZ-WMLDXEAASA-N 0 0 433.461 -0.141 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H](C(=O)OC)C2CCOCC2)c2ccccc2O1 ZINC000523119528 1072632383 /nfs/dbraw/zinc/63/23/83/1072632383.db2.gz CAOIGAXRJSLBFZ-YOEHRIQHSA-N 0 0 433.461 -0.141 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000523119583 1072632923 /nfs/dbraw/zinc/63/29/23/1072632923.db2.gz GGURWVBJTSDTAR-IBGZPJMESA-N 0 0 442.538 -0.535 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000523119584 1072633019 /nfs/dbraw/zinc/63/30/19/1072633019.db2.gz GGURWVBJTSDTAR-LJQANCHMSA-N 0 0 442.538 -0.535 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@](C)(O)COC)c2)CC1 ZINC000523119610 1072631717 /nfs/dbraw/zinc/63/17/17/1072631717.db2.gz HQIRYOAKQPSSLZ-IBGZPJMESA-N 0 0 442.538 -0.535 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@](C)(O)COC)c2)CC1 ZINC000523119611 1072632349 /nfs/dbraw/zinc/63/23/49/1072632349.db2.gz HQIRYOAKQPSSLZ-LJQANCHMSA-N 0 0 442.538 -0.535 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)NCC(=O)Nc2cccnc2)cc1 ZINC000523119655 1072632400 /nfs/dbraw/zinc/63/24/00/1072632400.db2.gz JPLIYVDSFWJNHG-UHFFFAOYSA-N 0 0 427.417 -0.393 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H](C(=O)OC)C2CCOCC2)c1 ZINC000523120013 1072632443 /nfs/dbraw/zinc/63/24/43/1072632443.db2.gz YWKDBUZUBMDULN-HNNXBMFYSA-N 0 0 427.479 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H](C(=O)OC)C2CCOCC2)c1 ZINC000523120014 1072632452 /nfs/dbraw/zinc/63/24/52/1072632452.db2.gz YWKDBUZUBMDULN-OAHLLOKOSA-N 0 0 427.479 -0.135 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000523120188 1072632515 /nfs/dbraw/zinc/63/25/15/1072632515.db2.gz CAESEDXJPMVHHG-GOSISDBHSA-N 0 0 429.495 -0.450 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000523120189 1072632408 /nfs/dbraw/zinc/63/24/08/1072632408.db2.gz CAESEDXJPMVHHG-SFHVURJKSA-N 0 0 429.495 -0.450 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000523120475 1072632874 /nfs/dbraw/zinc/63/28/74/1072632874.db2.gz PMNWZUGSRCXKCD-LLVKDONJSA-N 0 0 431.442 -0.290 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000523120476 1072632973 /nfs/dbraw/zinc/63/29/73/1072632973.db2.gz PMNWZUGSRCXKCD-NSHDSACASA-N 0 0 431.442 -0.290 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cccc(-n2cnnn2)c1)N1CCN(c2ccccn2)CC1 ZINC000523120915 1072632960 /nfs/dbraw/zinc/63/29/60/1072632960.db2.gz GLOJVMIEFVAEKH-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN CC(C)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000523120952 1072632880 /nfs/dbraw/zinc/63/28/80/1072632880.db2.gz IHSAKOYPBPPPKZ-GOSISDBHSA-N 0 0 426.495 -0.731 20 0 IBADRN CC(C)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000523120953 1072632918 /nfs/dbraw/zinc/63/29/18/1072632918.db2.gz IHSAKOYPBPPPKZ-SFHVURJKSA-N 0 0 426.495 -0.731 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)N1CCc2ccccc2C1 ZINC000523120978 1072632992 /nfs/dbraw/zinc/63/29/92/1072632992.db2.gz JGKZHCVJWLRJBQ-UHFFFAOYSA-N 0 0 436.472 -0.343 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cccnc1-n1cccn1)N1CCN(c2ncccn2)CC1 ZINC000523121031 1072632890 /nfs/dbraw/zinc/63/28/90/1072632890.db2.gz LEEOTQAOIAHGOX-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@](C)(O)C1CC1 ZINC000523121137 1072632909 /nfs/dbraw/zinc/63/29/09/1072632909.db2.gz RASKPYSFNGMJMU-IBGZPJMESA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@](C)(O)C1CC1 ZINC000523121138 1072632955 /nfs/dbraw/zinc/63/29/55/1072632955.db2.gz RASKPYSFNGMJMU-LJQANCHMSA-N 0 0 441.506 -0.068 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)c2ccccc2O1 ZINC000523121163 1072632926 /nfs/dbraw/zinc/63/29/26/1072632926.db2.gz RVRKUQVMYQHOSD-GOSISDBHSA-N 0 0 439.472 -0.261 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)c2ccccc2O1 ZINC000523121164 1072632886 /nfs/dbraw/zinc/63/28/86/1072632886.db2.gz RVRKUQVMYQHOSD-SFHVURJKSA-N 0 0 439.472 -0.261 20 0 IBADRN NC(=O)Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cc1 ZINC000523121283 1072633004 /nfs/dbraw/zinc/63/30/04/1072633004.db2.gz WABSGWAJNVCAIR-UHFFFAOYSA-N 0 0 438.488 -0.097 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000523121626 1072632998 /nfs/dbraw/zinc/63/29/98/1072632998.db2.gz KBNFZZVCBIGNLG-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN Cc1cc(C(=O)N2CCN(c3ncccc3C(N)=O)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000523122726 1072633737 /nfs/dbraw/zinc/63/37/37/1072633737.db2.gz RTVVQFUTKNGQAE-UHFFFAOYSA-N 0 0 437.460 -0.603 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCN3c4ccccc4CCC3=O)CC2)CC1 ZINC000523122978 1072633538 /nfs/dbraw/zinc/63/35/38/1072633538.db2.gz HLHKFRFBBWEAFL-UHFFFAOYSA-N 0 0 449.577 -0.008 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)c1 ZINC000523123157 1072633579 /nfs/dbraw/zinc/63/35/79/1072633579.db2.gz SCDPCWFLGFKEQJ-UHFFFAOYSA-N 0 0 431.474 -0.221 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000523126177 1072633658 /nfs/dbraw/zinc/63/36/58/1072633658.db2.gz IYUXLBXKKJCLST-KBPBESRZSA-N 0 0 431.493 -0.056 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000523126178 1072633704 /nfs/dbraw/zinc/63/37/04/1072633704.db2.gz IYUXLBXKKJCLST-KGLIPLIRSA-N 0 0 431.493 -0.056 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000523126179 1072633767 /nfs/dbraw/zinc/63/37/67/1072633767.db2.gz IYUXLBXKKJCLST-UONOGXRCSA-N 0 0 431.493 -0.056 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000523126180 1072633595 /nfs/dbraw/zinc/63/35/95/1072633595.db2.gz IYUXLBXKKJCLST-ZIAGYGMSSA-N 0 0 431.493 -0.056 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cc(N3CCNC3=O)ccc2F)CC1)N1CCOCC1 ZINC000523133581 1072634204 /nfs/dbraw/zinc/63/42/04/1072634204.db2.gz KVRNCEDDXKISQU-UHFFFAOYSA-N 0 0 433.440 -0.502 20 0 IBADRN Cc1cc(C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000523133711 1072634210 /nfs/dbraw/zinc/63/42/10/1072634210.db2.gz VIZPUPIYWXNOCI-UHFFFAOYSA-N 0 0 449.475 -0.420 20 0 IBADRN O=C(CCn1nc2ccccn2c1=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523134641 1072633710 /nfs/dbraw/zinc/63/37/10/1072633710.db2.gz ULEVNZOKSPTJDR-UHFFFAOYSA-N 0 0 438.492 -0.139 20 0 IBADRN Cc1cc(C(=O)Nc2ccn(CCN3CCOCC3)n2)nc2c1c(=O)n(C)c(=O)n2C ZINC000523151027 1072634779 /nfs/dbraw/zinc/63/47/79/1072634779.db2.gz LDTPTYIAIOFNFH-UHFFFAOYSA-N 0 0 427.465 -0.278 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)s1 ZINC000523152936 1072634747 /nfs/dbraw/zinc/63/47/47/1072634747.db2.gz HLOQEBKVEXQBKX-UHFFFAOYSA-N 0 0 437.503 -0.160 20 0 IBADRN C[C@@]1(c2ccc(CNS(=O)(=O)c3cccc(S(N)(=O)=O)c3)cc2)NC(=O)NC1=O ZINC000523157465 1072635840 /nfs/dbraw/zinc/63/58/40/1072635840.db2.gz BUVROXQIYMBIJH-KRWDZBQOSA-N 0 0 438.487 -0.133 20 0 IBADRN C[C@]1(c2ccc(CNS(=O)(=O)c3cccc(S(N)(=O)=O)c3)cc2)NC(=O)NC1=O ZINC000523157466 1072635749 /nfs/dbraw/zinc/63/57/49/1072635749.db2.gz BUVROXQIYMBIJH-QGZVFWFLSA-N 0 0 438.487 -0.133 20 0 IBADRN Cc1cc(C(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000523158082 1072635846 /nfs/dbraw/zinc/63/58/46/1072635846.db2.gz ITOBBAUXSXOPDE-CYBMUJFWSA-N 0 0 437.522 -0.125 20 0 IBADRN Cc1cc(C(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000523158083 1072635827 /nfs/dbraw/zinc/63/58/27/1072635827.db2.gz ITOBBAUXSXOPDE-ZDUSSCGKSA-N 0 0 437.522 -0.125 20 0 IBADRN Cc1cc(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000523160796 1072636390 /nfs/dbraw/zinc/63/63/90/1072636390.db2.gz SCZWFUZHRAVCNO-FQEVSTJZSA-N 0 0 433.534 -0.122 20 0 IBADRN Cc1cc(C(=O)NC[C@]2(N3CCOCC3)CCSC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000523160797 1072636442 /nfs/dbraw/zinc/63/64/42/1072636442.db2.gz SCZWFUZHRAVCNO-HXUWFJFHSA-N 0 0 433.534 -0.122 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cc(N3CCNC3=O)ccc2F)CC1 ZINC000523162242 1072636329 /nfs/dbraw/zinc/63/63/29/1072636329.db2.gz NHQUXLSZJRXYQW-UHFFFAOYSA-N 0 0 431.424 -0.211 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1C ZINC000523163227 1072636377 /nfs/dbraw/zinc/63/63/77/1072636377.db2.gz GZVLIDBUUQAFMF-UHFFFAOYSA-N 0 0 435.510 -0.760 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c1 ZINC000523166133 1072636964 /nfs/dbraw/zinc/63/69/64/1072636964.db2.gz DHXLGMHDPOBXOQ-UHFFFAOYSA-N 0 0 441.535 -0.405 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523167057 1072636825 /nfs/dbraw/zinc/63/68/25/1072636825.db2.gz HSZYXZYYQDJULI-UHFFFAOYSA-N 0 0 439.542 -0.820 20 0 IBADRN O=C(NCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)c1cnccn1 ZINC000523168129 1072636865 /nfs/dbraw/zinc/63/68/65/1072636865.db2.gz KRQLTSWYZVNOHK-UHFFFAOYSA-N 0 0 441.496 -0.635 20 0 IBADRN O=C(CNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)NCC(F)(F)F ZINC000523170388 1072638152 /nfs/dbraw/zinc/63/81/52/1072638152.db2.gz KURANBYKBJQCFY-UHFFFAOYSA-N 0 0 431.419 -0.177 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)c1 ZINC000523173099 1072638812 /nfs/dbraw/zinc/63/88/12/1072638812.db2.gz HJBXNAXAOSAESA-UHFFFAOYSA-N 0 0 435.915 -0.331 20 0 IBADRN Nc1nc(CN2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)nc2ccccc12 ZINC000523174005 1072639238 /nfs/dbraw/zinc/63/92/38/1072639238.db2.gz LMAFLGPXLIHVII-UHFFFAOYSA-N 0 0 444.521 -0.210 20 0 IBADRN Cn1c(=O)c(C(=O)Cn2c(-c3cnccn3)noc2=O)c(N)n(Cc2ccccc2)c1=O ZINC000523184201 1072640599 /nfs/dbraw/zinc/64/05/99/1072640599.db2.gz PFXLVYCAQSLWDC-UHFFFAOYSA-N 0 0 435.400 -0.333 20 0 IBADRN O=C(Cn1c(=O)onc1-c1cnccn1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000523184622 1072640464 /nfs/dbraw/zinc/64/04/64/1072640464.db2.gz NWMHYAGDZZNHAY-UHFFFAOYSA-N 0 0 448.436 -0.035 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC[C@H](NC(=O)Nc3ccc(F)cc3)C1)c(=O)n2C ZINC000523196823 1072643330 /nfs/dbraw/zinc/64/33/30/1072643330.db2.gz RGOFXVMYAYLERL-AWEZNQCLSA-N 0 0 443.439 -0.005 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC[C@@H](NC(=O)Nc3ccc(F)cc3)C1)c(=O)n2C ZINC000523196824 1072643191 /nfs/dbraw/zinc/64/31/91/1072643191.db2.gz RGOFXVMYAYLERL-CQSZACIVSA-N 0 0 443.439 -0.005 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1 ZINC000523199903 1072643269 /nfs/dbraw/zinc/64/32/69/1072643269.db2.gz TWODEAGPVXCTTO-CYBMUJFWSA-N 0 0 447.492 -0.551 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1 ZINC000523199904 1072643340 /nfs/dbraw/zinc/64/33/40/1072643340.db2.gz TWODEAGPVXCTTO-ZDUSSCGKSA-N 0 0 447.492 -0.551 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)cn1C ZINC000523205219 1072642276 /nfs/dbraw/zinc/64/22/76/1072642276.db2.gz RATJPUFQUAXOPY-IBGZPJMESA-N 0 0 448.505 -0.232 20 0 IBADRN O=C(Nc1cccc(N2CCCC2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000523212778 1072643318 /nfs/dbraw/zinc/64/33/18/1072643318.db2.gz GDZIIZXGFJUDOP-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN O=C(CC1CCCCC1)NCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000523218430 1072643809 /nfs/dbraw/zinc/64/38/09/1072643809.db2.gz GFJWLNLBHIJWOS-UHFFFAOYSA-N 0 0 445.586 -0.023 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)c1 ZINC000523220703 1072643876 /nfs/dbraw/zinc/64/38/76/1072643876.db2.gz ABGPCVQTGWSUAK-AWEZNQCLSA-N 0 0 428.453 -0.659 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)c1 ZINC000523220704 1072643830 /nfs/dbraw/zinc/64/38/30/1072643830.db2.gz ABGPCVQTGWSUAK-CQSZACIVSA-N 0 0 428.453 -0.659 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000523224082 1072643762 /nfs/dbraw/zinc/64/37/62/1072643762.db2.gz KESCCQLZKLBTOO-CYBMUJFWSA-N 0 0 440.460 -0.499 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000523224083 1072643717 /nfs/dbraw/zinc/64/37/17/1072643717.db2.gz KESCCQLZKLBTOO-ZDUSSCGKSA-N 0 0 440.460 -0.499 20 0 IBADRN COCCn1c(N)c(C(=O)Cn2c(-c3ccc(OC)c(OC)c3)noc2=O)c(=O)[nH]c1=O ZINC000523226969 1072644189 /nfs/dbraw/zinc/64/41/89/1072644189.db2.gz ORVYGWUOXVMBLM-UHFFFAOYSA-N 0 0 447.404 -0.106 20 0 IBADRN O=C(NCc1ccccc1CO)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000523234640 1072646151 /nfs/dbraw/zinc/64/61/51/1072646151.db2.gz QSYPMZLHZXCKRW-UHFFFAOYSA-N 0 0 446.485 -0.446 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCNC(=O)CC3CCCCC3)cnc2n(C)c1=O ZINC000523236784 1072646680 /nfs/dbraw/zinc/64/66/80/1072646680.db2.gz DCWLYVFRMALOBG-UHFFFAOYSA-N 0 0 444.492 -0.227 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)NCCN2CCOC(C)(C)C2)cc1 ZINC000523237434 1072646883 /nfs/dbraw/zinc/64/68/83/1072646883.db2.gz FTEUDYZQVIBJIP-UHFFFAOYSA-N 0 0 434.493 -0.316 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCC(=O)Nc2ccncc2)c1 ZINC000523239461 1072644893 /nfs/dbraw/zinc/64/48/93/1072644893.db2.gz JVBIJSHVHOUGBF-UHFFFAOYSA-N 0 0 435.506 -0.159 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)Nc1ccncc1 ZINC000523239877 1072644992 /nfs/dbraw/zinc/64/49/92/1072644992.db2.gz HSLDGFOCJKQZAX-UHFFFAOYSA-N 0 0 431.474 -0.617 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(S(N)(=O)=O)CC3)cc2)C[C@H](C)O1 ZINC000523240250 1072645028 /nfs/dbraw/zinc/64/50/28/1072645028.db2.gz FWOPDGNFJYEPSM-KBPBESRZSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(S(N)(=O)=O)CC3)cc2)C[C@H](C)O1 ZINC000523240251 1072644904 /nfs/dbraw/zinc/64/49/04/1072644904.db2.gz FWOPDGNFJYEPSM-OKILXGFUSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(S(N)(=O)=O)CC3)cc2)C[C@@H](C)O1 ZINC000523240252 1072644926 /nfs/dbraw/zinc/64/49/26/1072644926.db2.gz FWOPDGNFJYEPSM-ZIAGYGMSSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000523241042 1072645047 /nfs/dbraw/zinc/64/50/47/1072645047.db2.gz VULLSTPDXWPLSQ-AWEZNQCLSA-N 0 0 436.534 -0.194 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000523241043 1072644917 /nfs/dbraw/zinc/64/49/17/1072644917.db2.gz VULLSTPDXWPLSQ-CQSZACIVSA-N 0 0 436.534 -0.194 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(S(N)(=O)=O)CC2)ccc1OC ZINC000523241208 1072644930 /nfs/dbraw/zinc/64/49/30/1072644930.db2.gz GSYJMYJTOAXLEX-UHFFFAOYSA-N 0 0 434.540 -0.313 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCn2cnc3ccc(Br)cc3c2=O)CC1 ZINC000523241268 1072645622 /nfs/dbraw/zinc/64/56/22/1072645622.db2.gz IYFDNXHSVXOMRD-UHFFFAOYSA-N 0 0 444.311 -0.103 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CCN(S(N)(=O)=O)CC3)CC2=O)cc1OC ZINC000523241582 1072645629 /nfs/dbraw/zinc/64/56/29/1072645629.db2.gz ZMXDHZBCPGMAGR-HNNXBMFYSA-N 0 0 440.522 -0.558 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CCN(S(N)(=O)=O)CC3)CC2=O)cc1OC ZINC000523241583 1072645595 /nfs/dbraw/zinc/64/55/95/1072645595.db2.gz ZMXDHZBCPGMAGR-OAHLLOKOSA-N 0 0 440.522 -0.558 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NCc3ccco3)cc2)CC1 ZINC000523241621 1072645476 /nfs/dbraw/zinc/64/54/76/1072645476.db2.gz BKYPZZWJRKMTIQ-UHFFFAOYSA-N 0 0 428.492 -0.281 20 0 IBADRN Cn1cnnc1SCC(=O)Nc1cccc(C(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000523241899 1072645462 /nfs/dbraw/zinc/64/54/62/1072645462.db2.gz RNMWOJNUJWHEBR-UHFFFAOYSA-N 0 0 439.523 -0.493 20 0 IBADRN O=C(CN1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1)N1CCCC1 ZINC000523242116 1072645540 /nfs/dbraw/zinc/64/55/40/1072645540.db2.gz GDPDKIXKKOJCIN-UHFFFAOYSA-N 0 0 436.534 -0.238 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCO1 ZINC000523244192 1072645563 /nfs/dbraw/zinc/64/55/63/1072645563.db2.gz IJBSANSTMSXYHH-KRWDZBQOSA-N 0 0 447.536 -0.476 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCO1 ZINC000523244193 1072645550 /nfs/dbraw/zinc/64/55/50/1072645550.db2.gz IJBSANSTMSXYHH-QGZVFWFLSA-N 0 0 447.536 -0.476 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCN1CCO[C@@H](C)C1 ZINC000523244741 1072646178 /nfs/dbraw/zinc/64/61/78/1072646178.db2.gz DWXCYSCPDPCARI-INIZCTEOSA-N 0 0 447.536 -0.142 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCN1CCO[C@H](C)C1 ZINC000523244742 1072646199 /nfs/dbraw/zinc/64/61/99/1072646199.db2.gz DWXCYSCPDPCARI-MRXNPFEDSA-N 0 0 447.536 -0.142 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000523244777 1072646033 /nfs/dbraw/zinc/64/60/33/1072646033.db2.gz GGQVFBVPUOCRKM-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCN3C(=O)Cc4ccccc43)cnc2n(C)c1=O ZINC000523245960 1072646015 /nfs/dbraw/zinc/64/60/15/1072646015.db2.gz CLFZPTBVJKWVMD-UHFFFAOYSA-N 0 0 436.428 -0.724 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000523247974 1072648441 /nfs/dbraw/zinc/64/84/41/1072648441.db2.gz DZSPVFKMGHMJNG-UHFFFAOYSA-N 0 0 440.460 -0.404 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000523248075 1072648567 /nfs/dbraw/zinc/64/85/67/1072648567.db2.gz IJTAOOFQUZGUJB-HNNXBMFYSA-N 0 0 430.509 -0.033 20 0 IBADRN CC(C)C(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000523248076 1072648528 /nfs/dbraw/zinc/64/85/28/1072648528.db2.gz IJTAOOFQUZGUJB-OAHLLOKOSA-N 0 0 430.509 -0.033 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1 ZINC000523248542 1072649199 /nfs/dbraw/zinc/64/91/99/1072649199.db2.gz COAHKUMSWVZSGP-UHFFFAOYSA-N 0 0 446.913 -0.194 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1C ZINC000523248564 1072649071 /nfs/dbraw/zinc/64/90/71/1072649071.db2.gz DGWOXXWISZCSIH-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)CC)c1 ZINC000523249894 1072666811 /nfs/dbraw/zinc/66/68/11/1072666811.db2.gz GYXXBUGEZKTSJK-UHFFFAOYSA-N 0 0 434.540 -0.241 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCO1 ZINC000523250837 1072647285 /nfs/dbraw/zinc/64/72/85/1072647285.db2.gz XDHJJBHIKFOOEL-INIZCTEOSA-N 0 0 433.509 -0.267 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCO1 ZINC000523250838 1072647133 /nfs/dbraw/zinc/64/71/33/1072647133.db2.gz XDHJJBHIKFOOEL-MRXNPFEDSA-N 0 0 433.509 -0.267 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCN(c2cccnn2)CC1 ZINC000523251780 1072647149 /nfs/dbraw/zinc/64/71/49/1072647149.db2.gz JXHIJGBCBAUZSK-UHFFFAOYSA-N 0 0 444.517 -0.001 20 0 IBADRN C[C@@H](C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000523260229 1072647980 /nfs/dbraw/zinc/64/79/80/1072647980.db2.gz RQULIFSVJHOEOC-HNNXBMFYSA-N 0 0 443.508 -0.115 20 0 IBADRN C[C@H](C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000523260230 1072648092 /nfs/dbraw/zinc/64/80/92/1072648092.db2.gz RQULIFSVJHOEOC-OAHLLOKOSA-N 0 0 443.508 -0.115 20 0 IBADRN NS(=O)(=O)Cc1cccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000523269346 1072649226 /nfs/dbraw/zinc/64/92/26/1072649226.db2.gz TUBUSCVVVKSSPB-UHFFFAOYSA-N 0 0 432.506 -0.266 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000523270883 1072649169 /nfs/dbraw/zinc/64/91/69/1072649169.db2.gz SQWUDKMDIFXWCK-UHFFFAOYSA-N 0 0 432.506 -0.147 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCNC(=O)c2cnccn2)c1 ZINC000523273326 1072649136 /nfs/dbraw/zinc/64/91/36/1072649136.db2.gz CTHOMJCLGOLUCR-UHFFFAOYSA-N 0 0 436.494 -0.785 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000523274276 1072649234 /nfs/dbraw/zinc/64/92/34/1072649234.db2.gz YPHRBOUJXZBGBL-UHFFFAOYSA-N 0 0 425.492 -0.281 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000523275141 1072649212 /nfs/dbraw/zinc/64/92/12/1072649212.db2.gz KNXRWFRCVBHYMS-UHFFFAOYSA-N 0 0 434.478 -0.398 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000523275353 1072649189 /nfs/dbraw/zinc/64/91/89/1072649189.db2.gz TXSNVYJLSFJRTC-UHFFFAOYSA-N 0 0 425.492 -0.281 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCNC(=O)c3cnccn3)CC2)c1 ZINC000523275767 1072649054 /nfs/dbraw/zinc/64/90/54/1072649054.db2.gz LJAIJIUKACMVNX-UHFFFAOYSA-N 0 0 446.533 -0.217 20 0 IBADRN COc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1-n1nnnc1C ZINC000523279195 1072649728 /nfs/dbraw/zinc/64/97/28/1072649728.db2.gz ZJNBNXFGNZHRGL-UHFFFAOYSA-N 0 0 425.409 -0.635 20 0 IBADRN CN(C)CCn1ccc(=NC(=O)c2cncc(C(=O)N=c3ccn(CCN(C)C)[nH]3)c2)[nH]1 ZINC000523279783 1072649089 /nfs/dbraw/zinc/64/90/89/1072649089.db2.gz MIIUYTJCKJYIRI-UHFFFAOYSA-N 0 0 439.524 -0.054 20 0 IBADRN CNc1ccc(S(=O)(=O)NC)cc1NS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000523287816 1072651065 /nfs/dbraw/zinc/65/10/65/1072651065.db2.gz CJUVBVZTMHEOJN-UHFFFAOYSA-N 0 0 439.475 -0.033 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCc3cccc(C(N)=O)c3)CC2)o1 ZINC000523288685 1072649699 /nfs/dbraw/zinc/64/96/99/1072649699.db2.gz GYCHPBWMLYIVSF-UHFFFAOYSA-N 0 0 449.489 -0.264 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000523292031 1072649716 /nfs/dbraw/zinc/64/97/16/1072649716.db2.gz ROQVKMGPIPYUEV-UHFFFAOYSA-N 0 0 441.558 -0.468 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000523293187 1072649589 /nfs/dbraw/zinc/64/95/89/1072649589.db2.gz NIPBQFGHWVJQEO-UHFFFAOYSA-N 0 0 437.482 -0.473 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)N1CCCC[C@@H]1C ZINC000523293915 1072649737 /nfs/dbraw/zinc/64/97/37/1072649737.db2.gz RZIPKIIMWLKCML-DLBZAZTESA-N 0 0 444.602 -0.405 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)N1CCCC[C@H]1C ZINC000523293916 1072649771 /nfs/dbraw/zinc/64/97/71/1072649771.db2.gz RZIPKIIMWLKCML-IAGOWNOFSA-N 0 0 444.602 -0.405 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)N1CCCC[C@@H]1C ZINC000523293917 1072649746 /nfs/dbraw/zinc/64/97/46/1072649746.db2.gz RZIPKIIMWLKCML-IRXDYDNUSA-N 0 0 444.602 -0.405 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)N1CCCC[C@H]1C ZINC000523293918 1072650314 /nfs/dbraw/zinc/65/03/14/1072650314.db2.gz RZIPKIIMWLKCML-SJORKVTESA-N 0 0 444.602 -0.405 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000523294632 1072650309 /nfs/dbraw/zinc/65/03/09/1072650309.db2.gz WBNYKJZNSLLMCF-UHFFFAOYSA-N 0 0 436.494 -0.370 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1)c1cnccn1 ZINC000523294734 1072650445 /nfs/dbraw/zinc/65/04/45/1072650445.db2.gz ASMOYHPPTQIGKR-UHFFFAOYSA-N 0 0 425.492 -0.374 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1C(N)=O ZINC000523294759 1072650464 /nfs/dbraw/zinc/65/04/64/1072650464.db2.gz BXUASCILXSSWMV-UHFFFAOYSA-N 0 0 448.505 -0.399 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000523295096 1072650461 /nfs/dbraw/zinc/65/04/61/1072650461.db2.gz WEESKDIGECRWIL-UHFFFAOYSA-N 0 0 440.526 -0.765 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)c1cnccn1 ZINC000523295195 1072650365 /nfs/dbraw/zinc/65/03/65/1072650365.db2.gz ZTMTWUWTQNZRRC-UHFFFAOYSA-N 0 0 425.492 -0.374 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN(c4ncccc4C(N)=O)CC3)cc2C1=O ZINC000523295454 1072650439 /nfs/dbraw/zinc/65/04/39/1072650439.db2.gz NMPZYPOFDQPXTO-UHFFFAOYSA-N 0 0 429.458 -0.083 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000523295831 1072650358 /nfs/dbraw/zinc/65/03/58/1072650358.db2.gz INDYTTWADNTRHB-UHFFFAOYSA-N 0 0 433.527 -0.150 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000523296544 1072650284 /nfs/dbraw/zinc/65/02/84/1072650284.db2.gz VULXNSVFXOCTCX-AWEZNQCLSA-N 0 0 425.511 -0.178 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000523296545 1072650423 /nfs/dbraw/zinc/65/04/23/1072650423.db2.gz VULXNSVFXOCTCX-CQSZACIVSA-N 0 0 425.511 -0.178 20 0 IBADRN O=C([C@@H]1CSCN1C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCSCC1 ZINC000523301369 1072651117 /nfs/dbraw/zinc/65/11/17/1072651117.db2.gz WHTILIMFXXBCBQ-IHRRRGAJSA-N 0 0 447.604 -0.501 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000523301370 1072651088 /nfs/dbraw/zinc/65/10/88/1072651088.db2.gz WHTILIMFXXBCBQ-MCIONIFRSA-N 0 0 447.604 -0.501 20 0 IBADRN O=C([C@@H]1CSCN1C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCSCC1 ZINC000523301371 1072651114 /nfs/dbraw/zinc/65/11/14/1072651114.db2.gz WHTILIMFXXBCBQ-MJBXVCDLSA-N 0 0 447.604 -0.501 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000523301372 1072650966 /nfs/dbraw/zinc/65/09/66/1072650966.db2.gz WHTILIMFXXBCBQ-RDBSUJKOSA-N 0 0 447.604 -0.501 20 0 IBADRN CN(CC(=O)NCC(=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12)S(=O)(=O)c1cccnc1 ZINC000523304064 1072651673 /nfs/dbraw/zinc/65/16/73/1072651673.db2.gz UHQSXXVNPQCBKC-UHFFFAOYSA-N 0 0 446.445 -0.189 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)c2cc(I)ccc2-n2cnnn2)CCO1 ZINC000523305473 1072651423 /nfs/dbraw/zinc/65/14/23/1072651423.db2.gz NEHRCCDBEODOBL-LLVKDONJSA-N 0 0 428.190 -0.407 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)c2cc(I)ccc2-n2cnnn2)CCO1 ZINC000523305474 1072651597 /nfs/dbraw/zinc/65/15/97/1072651597.db2.gz NEHRCCDBEODOBL-NSHDSACASA-N 0 0 428.190 -0.407 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000523305689 1072651485 /nfs/dbraw/zinc/65/14/85/1072651485.db2.gz WMUOIWAFHVFAAX-HNNXBMFYSA-N 0 0 425.463 -0.553 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000523305690 1072651609 /nfs/dbraw/zinc/65/16/09/1072651609.db2.gz WMUOIWAFHVFAAX-OAHLLOKOSA-N 0 0 425.463 -0.553 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000523305760 1072651515 /nfs/dbraw/zinc/65/15/15/1072651515.db2.gz CZJMIUDWCYDXTN-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000523307748 1072651616 /nfs/dbraw/zinc/65/16/16/1072651616.db2.gz HPKWOJHYJAFFPU-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC000523307762 1072651666 /nfs/dbraw/zinc/65/16/66/1072651666.db2.gz KSEUFBVZSXMOQL-UHFFFAOYSA-N 0 0 447.583 -0.079 20 0 IBADRN CC(=O)NCCNC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000523308614 1072651602 /nfs/dbraw/zinc/65/16/02/1072651602.db2.gz MOUHEAMBDQUVBK-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(CC(=O)Nc3ccccc3Cl)CC2)c1 ZINC000523312134 1072652271 /nfs/dbraw/zinc/65/22/71/1072652271.db2.gz TWYIXMORFDNZBW-UHFFFAOYSA-N 0 0 440.913 -0.033 20 0 IBADRN O=C(CCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCCCCC1 ZINC000523314173 1072652204 /nfs/dbraw/zinc/65/22/04/1072652204.db2.gz RGRRTYVFUFXQGT-UHFFFAOYSA-N 0 0 431.559 -0.317 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000523314886 1072652121 /nfs/dbraw/zinc/65/21/21/1072652121.db2.gz YVVVOEZWMAJZGM-NRFANRHFSA-N 0 0 428.493 -0.043 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000523314887 1072652069 /nfs/dbraw/zinc/65/20/69/1072652069.db2.gz YVVVOEZWMAJZGM-OAQYLSRUSA-N 0 0 428.493 -0.043 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000523316078 1072652184 /nfs/dbraw/zinc/65/21/84/1072652184.db2.gz WOQBFZWUMJTVOO-UHFFFAOYSA-N 0 0 431.541 -0.416 20 0 IBADRN O=C(CN1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1)NC1CC1 ZINC000523316453 1072652817 /nfs/dbraw/zinc/65/28/17/1072652817.db2.gz IONMMJSFPDDQBW-UHFFFAOYSA-N 0 0 443.552 -0.274 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000523316515 1072652831 /nfs/dbraw/zinc/65/28/31/1072652831.db2.gz XTVUUITYIBDYCT-UHFFFAOYSA-N 0 0 438.554 -0.203 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000523345273 1072654414 /nfs/dbraw/zinc/65/44/14/1072654414.db2.gz KMMVIQVDOJUZNU-UHFFFAOYSA-N 0 0 429.499 -0.994 20 0 IBADRN NC(=O)c1cn(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c2ccccc12 ZINC000523346091 1072654368 /nfs/dbraw/zinc/65/43/68/1072654368.db2.gz VMACQNLLHIMPPB-UHFFFAOYSA-N 0 0 425.445 -0.440 20 0 IBADRN O=C(COc1ccc2c(c1)CCC(=O)N2)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000523346415 1072654864 /nfs/dbraw/zinc/65/48/64/1072654864.db2.gz HWUWOZYIDLOHMW-UHFFFAOYSA-N 0 0 428.445 -0.230 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000523346698 1072654931 /nfs/dbraw/zinc/65/49/31/1072654931.db2.gz SFNYHCFHWRVXFF-UHFFFAOYSA-N 0 0 430.483 -0.431 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000523346762 1072654954 /nfs/dbraw/zinc/65/49/54/1072654954.db2.gz ZKZOUGNVFJHZEV-UHFFFAOYSA-N 0 0 446.511 -0.941 20 0 IBADRN O=C(CCc1nc2ccccc2oc1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000523346767 1072654991 /nfs/dbraw/zinc/65/49/91/1072654991.db2.gz ZWJRPZUQDIMFGK-UHFFFAOYSA-N 0 0 426.429 -0.060 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000523348804 1072655625 /nfs/dbraw/zinc/65/56/25/1072655625.db2.gz VHPJUCABWRMEAW-UHFFFAOYSA-N 0 0 438.550 -0.083 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000523352342 1072654048 /nfs/dbraw/zinc/65/40/48/1072654048.db2.gz KQCBNEOTJLNDBK-UHFFFAOYSA-N 0 0 431.474 -0.313 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cn1C ZINC000523377073 1072655659 /nfs/dbraw/zinc/65/56/59/1072655659.db2.gz WCLZJQJGVCEQHE-UHFFFAOYSA-N 0 0 426.499 -0.622 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)cn1C ZINC000523377178 1072655447 /nfs/dbraw/zinc/65/54/47/1072655447.db2.gz PECPRYVUNWCZKI-UHFFFAOYSA-N 0 0 442.523 -0.398 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000523377918 1072657646 /nfs/dbraw/zinc/65/76/46/1072657646.db2.gz FYFBMIASKVWGKY-UHFFFAOYSA-N 0 0 441.535 -0.102 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000523378048 1072657630 /nfs/dbraw/zinc/65/76/30/1072657630.db2.gz QUTXUICGCAWIPE-UHFFFAOYSA-N 0 0 442.586 -0.200 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1C ZINC000523378228 1072657525 /nfs/dbraw/zinc/65/75/25/1072657525.db2.gz XNWFBDYLQUEHOC-UHFFFAOYSA-N 0 0 433.498 -0.968 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1C ZINC000523386622 1072658211 /nfs/dbraw/zinc/65/82/11/1072658211.db2.gz AEGIGUAKUBYECT-UHFFFAOYSA-N 0 0 449.537 -0.478 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523387631 1072658228 /nfs/dbraw/zinc/65/82/28/1072658228.db2.gz DBQFOINTFSARIZ-UHFFFAOYSA-N 0 0 427.531 -0.916 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c1 ZINC000523388485 1072658161 /nfs/dbraw/zinc/65/81/61/1072658161.db2.gz NRTVSZHVJLCYHW-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)o1 ZINC000523396223 1072657454 /nfs/dbraw/zinc/65/74/54/1072657454.db2.gz BGLFNEYXAKVNIZ-UHFFFAOYSA-N 0 0 436.494 -0.223 20 0 IBADRN O=C(c1ccc2[nH]c(=O)c(=O)[nH]c2c1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523406804 1072658129 /nfs/dbraw/zinc/65/81/29/1072658129.db2.gz RNFOSQUCRQWDNS-UHFFFAOYSA-N 0 0 437.460 -0.195 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cs1 ZINC000523406857 1072658138 /nfs/dbraw/zinc/65/81/38/1072658138.db2.gz WCHIJGUWXWIPGO-UHFFFAOYSA-N 0 0 438.535 -0.016 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1=O ZINC000523407777 1072658245 /nfs/dbraw/zinc/65/82/45/1072658245.db2.gz SOONVIOXSHUVIQ-UHFFFAOYSA-N 0 0 431.497 -0.364 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c1 ZINC000523407838 1072658303 /nfs/dbraw/zinc/65/83/03/1072658303.db2.gz VSNBMCDVSLGSHX-UHFFFAOYSA-N 0 0 449.537 -0.146 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccnc3N3CCC(CO)CC3)c2c(=O)n(C)c1=O ZINC000523409317 1072658787 /nfs/dbraw/zinc/65/87/87/1072658787.db2.gz UMNUYBKGOCAIPM-UHFFFAOYSA-N 0 0 427.465 -0.324 20 0 IBADRN O=C(CCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)N1CCOCC1 ZINC000523410385 1072658953 /nfs/dbraw/zinc/65/89/53/1072658953.db2.gz NRUOAOUDLSLEBT-UHFFFAOYSA-N 0 0 433.513 -0.606 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCS(=O)(=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000523410769 1072658802 /nfs/dbraw/zinc/65/88/02/1072658802.db2.gz AFCFYHYSRNMULU-INIZCTEOSA-N 0 0 431.492 -0.441 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCS(=O)(=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000523410770 1072659061 /nfs/dbraw/zinc/65/90/61/1072659061.db2.gz AFCFYHYSRNMULU-MRXNPFEDSA-N 0 0 431.492 -0.441 20 0 IBADRN Cn1c2ncn(CC(=O)N(CCN3CCOCC3)c3ccccc3)c2c(=O)n(C)c1=O ZINC000523411543 1072659174 /nfs/dbraw/zinc/65/91/74/1072659174.db2.gz YGFHLIVLFSWNPG-UHFFFAOYSA-N 0 0 426.477 -0.201 20 0 IBADRN Cc1noc(CN2CCN(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)CC2)n1 ZINC000523413296 1072658900 /nfs/dbraw/zinc/65/89/00/1072658900.db2.gz FQLPARASASJBNZ-UHFFFAOYSA-N 0 0 441.492 -0.023 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000523424334 1072659669 /nfs/dbraw/zinc/65/96/69/1072659669.db2.gz RWAWPYYLPTYOLH-UHFFFAOYSA-N 0 0 439.520 -0.286 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523426512 1072659746 /nfs/dbraw/zinc/65/97/46/1072659746.db2.gz OMSQFVXORJQSOG-UHFFFAOYSA-N 0 0 435.510 -0.739 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCN3CCO[C@@H](C)C3)c2)CC1 ZINC000523435486 1072660296 /nfs/dbraw/zinc/66/02/96/1072660296.db2.gz MEFHFWAACPXQKS-INIZCTEOSA-N 0 0 438.550 -0.010 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCN3CCO[C@H](C)C3)c2)CC1 ZINC000523435487 1072660445 /nfs/dbraw/zinc/66/04/45/1072660445.db2.gz MEFHFWAACPXQKS-MRXNPFEDSA-N 0 0 438.550 -0.010 20 0 IBADRN CS(=O)(=O)c1cccc(OCCN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000523436300 1072660273 /nfs/dbraw/zinc/66/02/73/1072660273.db2.gz LACUPBCYZQNLCD-UHFFFAOYSA-N 0 0 425.507 -0.528 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000523436319 1072660386 /nfs/dbraw/zinc/66/03/86/1072660386.db2.gz MHLYYAGFWLLVGI-UHFFFAOYSA-N 0 0 443.552 -0.044 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCOc3cccc(S(C)(=O)=O)c3)CC2)CC1 ZINC000523437365 1072660285 /nfs/dbraw/zinc/66/02/85/1072660285.db2.gz JUJLVKGXOHNNFB-UHFFFAOYSA-N 0 0 446.595 -0.421 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCCS(=O)(=O)CC3)CC2)cc1 ZINC000523441347 1072660378 /nfs/dbraw/zinc/66/03/78/1072660378.db2.gz NTUCIEJSOCPWBL-UHFFFAOYSA-N 0 0 445.563 -0.351 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000523441367 1072660439 /nfs/dbraw/zinc/66/04/39/1072660439.db2.gz OWKQFPBCYJNQIW-PBHICJAKSA-N 0 0 425.555 -0.104 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000523441368 1072660362 /nfs/dbraw/zinc/66/03/62/1072660362.db2.gz OWKQFPBCYJNQIW-RHSMWYFYSA-N 0 0 425.555 -0.104 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000523441369 1072660400 /nfs/dbraw/zinc/66/04/00/1072660400.db2.gz OWKQFPBCYJNQIW-WMLDXEAASA-N 0 0 425.555 -0.104 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000523441370 1072660449 /nfs/dbraw/zinc/66/04/49/1072660449.db2.gz OWKQFPBCYJNQIW-YOEHRIQHSA-N 0 0 425.555 -0.104 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523448128 1072660350 /nfs/dbraw/zinc/66/03/50/1072660350.db2.gz JLVWYXYZSQXEFO-DLBZAZTESA-N 0 0 431.541 -0.452 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523448130 1072660436 /nfs/dbraw/zinc/66/04/36/1072660436.db2.gz JLVWYXYZSQXEFO-IAGOWNOFSA-N 0 0 431.541 -0.452 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523448131 1072660303 /nfs/dbraw/zinc/66/03/03/1072660303.db2.gz JLVWYXYZSQXEFO-IRXDYDNUSA-N 0 0 431.541 -0.452 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523448132 1072660392 /nfs/dbraw/zinc/66/03/92/1072660392.db2.gz JLVWYXYZSQXEFO-SJORKVTESA-N 0 0 431.541 -0.452 20 0 IBADRN O=C(CN1CCN(Cc2ccc(Cl)cc2)C(=O)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000523450497 1072659818 /nfs/dbraw/zinc/65/98/18/1072659818.db2.gz YIABVSNCGIQIFN-HNNXBMFYSA-N 0 0 442.925 -0.003 20 0 IBADRN O=C(CN1CCN(Cc2ccc(Cl)cc2)C(=O)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000523450498 1072659702 /nfs/dbraw/zinc/65/97/02/1072659702.db2.gz YIABVSNCGIQIFN-OAHLLOKOSA-N 0 0 442.925 -0.003 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(c2cc(N3CCOCC3)ncn2)CC1)C(=O)N1CCCCC1 ZINC000523451786 1072659842 /nfs/dbraw/zinc/65/98/42/1072659842.db2.gz SAHPZRHJIRTBRN-GOSISDBHSA-N 0 0 445.568 -0.048 20 0 IBADRN C[C@H](NC(=O)CN1CCN(c2cc(N3CCOCC3)ncn2)CC1)C(=O)N1CCCCC1 ZINC000523451787 1072659824 /nfs/dbraw/zinc/65/98/24/1072659824.db2.gz SAHPZRHJIRTBRN-SFHVURJKSA-N 0 0 445.568 -0.048 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523452184 1072659759 /nfs/dbraw/zinc/65/97/59/1072659759.db2.gz CQIPKZVAAZWRCE-UHFFFAOYSA-N 0 0 433.557 -0.192 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523452530 1072660407 /nfs/dbraw/zinc/66/04/07/1072660407.db2.gz RICLFGUHWQXLES-INIZCTEOSA-N 0 0 431.541 -0.452 20 0 IBADRN C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000523452531 1072660333 /nfs/dbraw/zinc/66/03/33/1072660333.db2.gz RICLFGUHWQXLES-MRXNPFEDSA-N 0 0 431.541 -0.452 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000523459024 1072661057 /nfs/dbraw/zinc/66/10/57/1072661057.db2.gz JZUWNXGVJNYSIV-GOSISDBHSA-N 0 0 444.579 -0.209 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000523459025 1072660932 /nfs/dbraw/zinc/66/09/32/1072660932.db2.gz JZUWNXGVJNYSIV-SFHVURJKSA-N 0 0 444.579 -0.209 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000523459789 1072660969 /nfs/dbraw/zinc/66/09/69/1072660969.db2.gz XERRHHUBIZQWSJ-KRWDZBQOSA-N 0 0 431.536 -0.124 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000523459790 1072660976 /nfs/dbraw/zinc/66/09/76/1072660976.db2.gz XERRHHUBIZQWSJ-QGZVFWFLSA-N 0 0 431.536 -0.124 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000523460387 1072661024 /nfs/dbraw/zinc/66/10/24/1072661024.db2.gz UKMYFSHSAJLZPC-GOSISDBHSA-N 0 0 444.579 -0.209 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000523460388 1072661011 /nfs/dbraw/zinc/66/10/11/1072661011.db2.gz UKMYFSHSAJLZPC-SFHVURJKSA-N 0 0 444.579 -0.209 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)cc1-n1nnnc1C ZINC000523462131 1072661033 /nfs/dbraw/zinc/66/10/33/1072661033.db2.gz NGIZMLHCIWCICX-UHFFFAOYSA-N 0 0 441.452 -0.046 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)c2ccccc2O1 ZINC000523462320 1072661059 /nfs/dbraw/zinc/66/10/59/1072661059.db2.gz VRRGJYDQEGBRBX-INIZCTEOSA-N 0 0 428.449 -0.438 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)c2ccccc2O1 ZINC000523462321 1072660953 /nfs/dbraw/zinc/66/09/53/1072660953.db2.gz VRRGJYDQEGBRBX-MRXNPFEDSA-N 0 0 428.449 -0.438 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)cc1S(N)(=O)=O ZINC000523462654 1072661005 /nfs/dbraw/zinc/66/10/05/1072661005.db2.gz HOIJZZBGHVOELU-UHFFFAOYSA-N 0 0 436.494 -0.129 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000523464427 1072661017 /nfs/dbraw/zinc/66/10/17/1072661017.db2.gz UQGICDKNNPTYIO-GFCCVEGCSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000523464428 1072661040 /nfs/dbraw/zinc/66/10/40/1072661040.db2.gz UQGICDKNNPTYIO-LBPRGKRZSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@H](C(=O)OC)C1 ZINC000523464551 1072661511 /nfs/dbraw/zinc/66/15/11/1072661511.db2.gz ZDCGJSKSXWHBGH-HNNXBMFYSA-N 0 0 443.478 -0.326 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@@H](C(=O)OC)C1 ZINC000523464552 1072661413 /nfs/dbraw/zinc/66/14/13/1072661413.db2.gz ZDCGJSKSXWHBGH-OAHLLOKOSA-N 0 0 443.478 -0.326 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000523465796 1072661535 /nfs/dbraw/zinc/66/15/35/1072661535.db2.gz PQZVIVDOWMMXBD-UHFFFAOYSA-N 0 0 440.478 -0.461 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000523467021 1072661581 /nfs/dbraw/zinc/66/15/81/1072661581.db2.gz HDVAFGGUCQOFLI-FQEVSTJZSA-N 0 0 438.550 -0.083 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000523467022 1072661480 /nfs/dbraw/zinc/66/14/80/1072661480.db2.gz HDVAFGGUCQOFLI-HXUWFJFHSA-N 0 0 438.550 -0.083 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(C)=O)CC1 ZINC000523468329 1072661432 /nfs/dbraw/zinc/66/14/32/1072661432.db2.gz DXLHXXGKDTUEAF-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCS(C)(=O)=O ZINC000523468616 1072661603 /nfs/dbraw/zinc/66/16/03/1072661603.db2.gz QAFVGDOFWSUDCK-UHFFFAOYSA-N 0 0 435.524 -0.175 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](O)[C@@H]1CCOC1 ZINC000523468720 1072661443 /nfs/dbraw/zinc/66/14/43/1072661443.db2.gz USFZYBKEFQNAAX-DOMZBBRYSA-N 0 0 429.495 -0.212 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](O)[C@@H]1CCOC1 ZINC000523468721 1072661519 /nfs/dbraw/zinc/66/15/19/1072661519.db2.gz USFZYBKEFQNAAX-IUODEOHRSA-N 0 0 429.495 -0.212 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](O)[C@H]1CCOC1 ZINC000523468722 1072661423 /nfs/dbraw/zinc/66/14/23/1072661423.db2.gz USFZYBKEFQNAAX-SWLSCSKDSA-N 0 0 429.495 -0.212 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](O)[C@H]1CCOC1 ZINC000523468723 1072661569 /nfs/dbraw/zinc/66/15/69/1072661569.db2.gz USFZYBKEFQNAAX-WFASDCNBSA-N 0 0 429.495 -0.212 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000523468778 1072661576 /nfs/dbraw/zinc/66/15/76/1072661576.db2.gz WSZHPOUWQZUNLF-JXFKEZNVSA-N 0 0 437.518 -0.130 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000523468779 1072661563 /nfs/dbraw/zinc/66/15/63/1072661563.db2.gz WSZHPOUWQZUNLF-OXJNMPFZSA-N 0 0 437.518 -0.130 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000523468780 1072661487 /nfs/dbraw/zinc/66/14/87/1072661487.db2.gz WSZHPOUWQZUNLF-OXQOHEQNSA-N 0 0 437.518 -0.130 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000523468781 1072661526 /nfs/dbraw/zinc/66/15/26/1072661526.db2.gz WSZHPOUWQZUNLF-UZLBHIALSA-N 0 0 437.518 -0.130 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000523476978 1072661997 /nfs/dbraw/zinc/66/19/97/1072661997.db2.gz CCKRTSFHSFUHLN-GFCCVEGCSA-N 0 0 425.511 -0.322 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000523476979 1072662030 /nfs/dbraw/zinc/66/20/30/1072662030.db2.gz CCKRTSFHSFUHLN-LBPRGKRZSA-N 0 0 425.511 -0.322 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000523478883 1072662707 /nfs/dbraw/zinc/66/27/07/1072662707.db2.gz MGSSIKRRTJELJH-AWEZNQCLSA-N 0 0 438.506 -0.309 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000523478884 1072662724 /nfs/dbraw/zinc/66/27/24/1072662724.db2.gz MGSSIKRRTJELJH-CQSZACIVSA-N 0 0 438.506 -0.309 20 0 IBADRN Cc1noc(CN2CCN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)CC2)n1 ZINC000523483494 1072662047 /nfs/dbraw/zinc/66/20/47/1072662047.db2.gz MIDWMWYNSFWQSZ-UHFFFAOYSA-N 0 0 443.512 -0.266 20 0 IBADRN CC[C@H](COC)NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000523510713 1072662762 /nfs/dbraw/zinc/66/27/62/1072662762.db2.gz YHZCPJFWHNEULV-CYBMUJFWSA-N 0 0 434.540 -0.225 20 0 IBADRN CC[C@@H](COC)NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000523510714 1072662821 /nfs/dbraw/zinc/66/28/21/1072662821.db2.gz YHZCPJFWHNEULV-ZDUSSCGKSA-N 0 0 434.540 -0.225 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(N3CCC(C(N)=O)CC3)c1)c(=O)n2C ZINC000523515135 1072662752 /nfs/dbraw/zinc/66/27/52/1072662752.db2.gz TUEIDEQNRMRPHB-UHFFFAOYSA-N 0 0 439.476 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccccn3)CC2)C[C@H](C)O1 ZINC000523527875 1072663359 /nfs/dbraw/zinc/66/33/59/1072663359.db2.gz WQQJMPIVPAGKRF-GASCZTMLSA-N 0 0 425.511 -0.690 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccccn3)CC2)C[C@H](C)O1 ZINC000523527876 1072663453 /nfs/dbraw/zinc/66/34/53/1072663453.db2.gz WQQJMPIVPAGKRF-GJZGRUSLSA-N 0 0 425.511 -0.690 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccccn3)CC2)C[C@@H](C)O1 ZINC000523527877 1072663422 /nfs/dbraw/zinc/66/34/22/1072663422.db2.gz WQQJMPIVPAGKRF-HUUCEWRRSA-N 0 0 425.511 -0.690 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000523528659 1072663449 /nfs/dbraw/zinc/66/34/49/1072663449.db2.gz KKVNVJOKARZXHU-AWEZNQCLSA-N 0 0 446.551 -0.647 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000523528660 1072663269 /nfs/dbraw/zinc/66/32/69/1072663269.db2.gz KKVNVJOKARZXHU-CQSZACIVSA-N 0 0 446.551 -0.647 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000523528739 1072663403 /nfs/dbraw/zinc/66/34/03/1072663403.db2.gz NOBSFDWCQKRATM-AWEZNQCLSA-N 0 0 448.567 -0.215 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000523528740 1072663286 /nfs/dbraw/zinc/66/32/86/1072663286.db2.gz NOBSFDWCQKRATM-CQSZACIVSA-N 0 0 448.567 -0.215 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000523529120 1072663415 /nfs/dbraw/zinc/66/34/15/1072663415.db2.gz DOELVMOYQUNIIH-AWEZNQCLSA-N 0 0 446.551 -0.647 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000523529121 1072663324 /nfs/dbraw/zinc/66/33/24/1072663324.db2.gz DOELVMOYQUNIIH-CQSZACIVSA-N 0 0 446.551 -0.647 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000523529202 1072663335 /nfs/dbraw/zinc/66/33/35/1072663335.db2.gz HQPKEIKJNCDPGQ-ACJLOTCBSA-N 0 0 449.533 -0.506 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000523529203 1072663314 /nfs/dbraw/zinc/66/33/14/1072663314.db2.gz HQPKEIKJNCDPGQ-FZKQIMNGSA-N 0 0 449.533 -0.506 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000523529204 1072663351 /nfs/dbraw/zinc/66/33/51/1072663351.db2.gz HQPKEIKJNCDPGQ-SCLBCKFNSA-N 0 0 449.533 -0.506 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000523529205 1072663444 /nfs/dbraw/zinc/66/34/44/1072663444.db2.gz HQPKEIKJNCDPGQ-UGSOOPFHSA-N 0 0 449.533 -0.506 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000523529309 1072663429 /nfs/dbraw/zinc/66/34/29/1072663429.db2.gz MPSGKBNPGJIHIH-INIZCTEOSA-N 0 0 435.506 -0.910 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000523529310 1072663306 /nfs/dbraw/zinc/66/33/06/1072663306.db2.gz MPSGKBNPGJIHIH-MRXNPFEDSA-N 0 0 435.506 -0.910 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)C1 ZINC000523529523 1072663435 /nfs/dbraw/zinc/66/34/35/1072663435.db2.gz YLYJFDVPMATACR-SECBINFHSA-N 0 0 430.961 -0.289 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)C1 ZINC000523529524 1072663381 /nfs/dbraw/zinc/66/33/81/1072663381.db2.gz YLYJFDVPMATACR-VIFPVBQESA-N 0 0 430.961 -0.289 20 0 IBADRN COC(=O)CCCc1nnc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000523529708 1072663294 /nfs/dbraw/zinc/66/32/94/1072663294.db2.gz HCKQEVGISDOKPX-UHFFFAOYSA-N 0 0 435.466 -0.190 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000523529803 1072663341 /nfs/dbraw/zinc/66/33/41/1072663341.db2.gz MNSADRVYEAVQQK-AWEZNQCLSA-N 0 0 448.567 -0.435 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000523529804 1072663373 /nfs/dbraw/zinc/66/33/73/1072663373.db2.gz MNSADRVYEAVQQK-CQSZACIVSA-N 0 0 448.567 -0.435 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000523540747 1072663944 /nfs/dbraw/zinc/66/39/44/1072663944.db2.gz JUMWVYBYFMEHNH-CYBMUJFWSA-N 0 0 438.444 -0.332 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000523540748 1072663983 /nfs/dbraw/zinc/66/39/83/1072663983.db2.gz JUMWVYBYFMEHNH-ZDUSSCGKSA-N 0 0 438.444 -0.332 20 0 IBADRN COc1ccc(NC(=O)C2CCN(S(N)(=O)=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000523545877 1072663997 /nfs/dbraw/zinc/66/39/97/1072663997.db2.gz WHADCYXLQWROHK-UHFFFAOYSA-N 0 0 432.524 -0.010 20 0 IBADRN Cn1cc(S(=O)(=O)NC2CCC(C(=O)N3CCSCC3)CC2)c(=O)n(C)c1=O ZINC000523546197 1072663782 /nfs/dbraw/zinc/66/37/82/1072663782.db2.gz MZNZZXMEXVZQSY-UHFFFAOYSA-N 0 0 430.552 -0.504 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC[C@@H](NC(=O)Nc3ccc(F)cc3)C2)c(=O)n(C)c1=O ZINC000523546233 1072676005 /nfs/dbraw/zinc/67/60/05/1072676005.db2.gz ODNSHXDTOGDFPV-CYBMUJFWSA-N 0 0 425.442 -0.192 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC[C@H](NC(=O)Nc3ccc(F)cc3)C2)c(=O)n(C)c1=O ZINC000523546234 1072663965 /nfs/dbraw/zinc/66/39/65/1072663965.db2.gz ODNSHXDTOGDFPV-ZDUSSCGKSA-N 0 0 425.442 -0.192 20 0 IBADRN COc1ccc(NC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1S(=O)(=O)NC1CC1 ZINC000523546772 1072663967 /nfs/dbraw/zinc/66/39/67/1072663967.db2.gz KMBOUXQXXHYZEW-UHFFFAOYSA-N 0 0 443.507 -0.214 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000523550146 1072676163 /nfs/dbraw/zinc/67/61/63/1072676163.db2.gz CMMLVRPMEXEYRX-UHFFFAOYSA-N 0 0 448.483 -0.099 20 0 IBADRN COCCN(C(=O)CN1CCn2c(nnc2C(F)(F)F)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000523553106 1072663953 /nfs/dbraw/zinc/66/39/53/1072663953.db2.gz MOZFSRLZFLJKMI-LLVKDONJSA-N 0 0 425.433 -0.225 20 0 IBADRN COCCN(C(=O)CN1CCn2c(nnc2C(F)(F)F)C1)[C@H]1CCS(=O)(=O)C1 ZINC000523553107 1072663931 /nfs/dbraw/zinc/66/39/31/1072663931.db2.gz MOZFSRLZFLJKMI-NSHDSACASA-N 0 0 425.433 -0.225 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)c1 ZINC000523555238 1072663987 /nfs/dbraw/zinc/66/39/87/1072663987.db2.gz XHNJJJWJUSYJOT-UHFFFAOYSA-N 0 0 435.462 -0.366 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1 ZINC000523567743 1072663803 /nfs/dbraw/zinc/66/38/03/1072663803.db2.gz HBDWUYFNHVBXFE-UHFFFAOYSA-N 0 0 430.479 -0.022 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCc2ccccc2OCCN2CCOCC2)c1 ZINC000523570016 1072663834 /nfs/dbraw/zinc/66/38/34/1072663834.db2.gz HPPJRCNRYNAKLI-UHFFFAOYSA-N 0 0 437.522 -0.052 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(I)c2)CC1 ZINC000523571328 1072663816 /nfs/dbraw/zinc/66/38/16/1072663816.db2.gz ROVMVQAKZXNMHM-UHFFFAOYSA-N 0 0 431.277 -0.199 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)ccc1OC ZINC000523573737 1072663959 /nfs/dbraw/zinc/66/39/59/1072663959.db2.gz AHGVNIZVKLERHG-CYBMUJFWSA-N 0 0 432.520 -0.025 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)ccc1OC ZINC000523573738 1072663977 /nfs/dbraw/zinc/66/39/77/1072663977.db2.gz AHGVNIZVKLERHG-ZDUSSCGKSA-N 0 0 432.520 -0.025 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1 ZINC000523573820 1072663889 /nfs/dbraw/zinc/66/38/89/1072663889.db2.gz FNXPBQWSXAYABE-GFCCVEGCSA-N 0 0 448.519 -0.204 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1 ZINC000523573821 1072663913 /nfs/dbraw/zinc/66/39/13/1072663913.db2.gz FNXPBQWSXAYABE-LBPRGKRZSA-N 0 0 448.519 -0.204 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)c1 ZINC000523577358 1072664636 /nfs/dbraw/zinc/66/46/36/1072664636.db2.gz XTSOIWPHBAJYOJ-UHFFFAOYSA-N 0 0 434.522 -0.224 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000523577780 1072676685 /nfs/dbraw/zinc/67/66/85/1072676685.db2.gz GOHSUMIKIWZASG-UHFFFAOYSA-N 0 0 435.506 -0.021 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CNC(=O)c1ccc(OC(F)F)c(OC)c1 ZINC000523580591 1072664444 /nfs/dbraw/zinc/66/44/44/1072664444.db2.gz XAFGFEVGSPGMMU-GFCCVEGCSA-N 0 0 442.419 -0.120 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CNC(=O)c1ccc(OC(F)F)c(OC)c1 ZINC000523580592 1072664430 /nfs/dbraw/zinc/66/44/30/1072664430.db2.gz XAFGFEVGSPGMMU-LBPRGKRZSA-N 0 0 442.419 -0.120 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN3CCC(=O)NC3=O)cc2)CC1 ZINC000523580886 1072664609 /nfs/dbraw/zinc/66/46/09/1072664609.db2.gz AIERQBBLEIREBT-UHFFFAOYSA-N 0 0 445.520 -0.170 20 0 IBADRN O=C(Cn1c(=O)onc1-c1cnccn1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000523582151 1072664393 /nfs/dbraw/zinc/66/43/93/1072664393.db2.gz WIHZVNJLRUOLRA-UHFFFAOYSA-N 0 0 446.445 -0.047 20 0 IBADRN COc1ccc(CN(CCO)C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(OC)c1 ZINC000523584700 1072664594 /nfs/dbraw/zinc/66/45/94/1072664594.db2.gz OKOVYSGLYCXSSG-UHFFFAOYSA-N 0 0 431.449 -0.528 20 0 IBADRN COc1ccc(CN(CCO)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(OC)c1 ZINC000523584725 1072665101 /nfs/dbraw/zinc/66/51/01/1072665101.db2.gz QFRMKAWBOVGYDX-UHFFFAOYSA-N 0 0 431.449 -0.528 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c1 ZINC000523587026 1072665054 /nfs/dbraw/zinc/66/50/54/1072665054.db2.gz JSZSJCVZFQEDLS-UHFFFAOYSA-N 0 0 445.498 -0.407 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)CCn3cc(S(N)(=O)=O)cn3)cc2)CC1 ZINC000523589454 1072665225 /nfs/dbraw/zinc/66/52/25/1072665225.db2.gz MOTRXNDHOPVXLF-UHFFFAOYSA-N 0 0 434.522 -0.375 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)c1 ZINC000523590522 1072665237 /nfs/dbraw/zinc/66/52/37/1072665237.db2.gz OLMGCXFNDDERDF-UHFFFAOYSA-N 0 0 446.533 -0.346 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)s1 ZINC000523598757 1072665700 /nfs/dbraw/zinc/66/57/00/1072665700.db2.gz BLKYVLGIOMQKOT-LLVKDONJSA-N 0 0 440.569 -0.317 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)s1 ZINC000523598758 1072665693 /nfs/dbraw/zinc/66/56/93/1072665693.db2.gz BLKYVLGIOMQKOT-NSHDSACASA-N 0 0 440.569 -0.317 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000523601141 1072665809 /nfs/dbraw/zinc/66/58/09/1072665809.db2.gz WWGYYTDJPRJPJA-HNNXBMFYSA-N 0 0 448.567 -0.118 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000523601142 1072665791 /nfs/dbraw/zinc/66/57/91/1072665791.db2.gz WWGYYTDJPRJPJA-OAHLLOKOSA-N 0 0 448.567 -0.118 20 0 IBADRN CCCC(=O)NC[C@H]1CCCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000523604025 1072665714 /nfs/dbraw/zinc/66/57/14/1072665714.db2.gz WWTNCBJIAQKUAS-MSOLQXFVSA-N 0 0 428.599 -0.054 20 0 IBADRN CCCC(=O)NC[C@H]1CCCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000523604026 1072665745 /nfs/dbraw/zinc/66/57/45/1072665745.db2.gz WWTNCBJIAQKUAS-QZTJIDSGSA-N 0 0 428.599 -0.054 20 0 IBADRN CCCC(=O)NC[C@@H]1CCCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000523604027 1072665780 /nfs/dbraw/zinc/66/57/80/1072665780.db2.gz WWTNCBJIAQKUAS-ROUUACIJSA-N 0 0 428.599 -0.054 20 0 IBADRN CCCC(=O)NC[C@@H]1CCCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000523604028 1072665776 /nfs/dbraw/zinc/66/57/76/1072665776.db2.gz WWTNCBJIAQKUAS-ZWKOTPCHSA-N 0 0 428.599 -0.054 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)CC(=O)NCC(C)(C)N2CCOCC2)C1 ZINC000523607136 1072665130 /nfs/dbraw/zinc/66/51/30/1072665130.db2.gz NGCNPVDFVQCJQL-KRWDZBQOSA-N 0 0 426.558 -0.053 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)CC(=O)NCC(C)(C)N2CCOCC2)C1 ZINC000523607137 1072665014 /nfs/dbraw/zinc/66/50/14/1072665014.db2.gz NGCNPVDFVQCJQL-QGZVFWFLSA-N 0 0 426.558 -0.053 20 0 IBADRN NC(=O)N1CCCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000523611216 1072665064 /nfs/dbraw/zinc/66/50/64/1072665064.db2.gz QVEHCKPGKKFVNG-GOSISDBHSA-N 0 0 431.493 -0.416 20 0 IBADRN NC(=O)N1CCCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000523611217 1072665188 /nfs/dbraw/zinc/66/51/88/1072665188.db2.gz QVEHCKPGKKFVNG-SFHVURJKSA-N 0 0 431.493 -0.416 20 0 IBADRN NC(=O)N1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000523611869 1072665201 /nfs/dbraw/zinc/66/52/01/1072665201.db2.gz APDTXXUYXFCZSZ-UHFFFAOYSA-N 0 0 427.502 -0.255 20 0 IBADRN NC(=O)N1CCCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000523612814 1072665253 /nfs/dbraw/zinc/66/52/53/1072665253.db2.gz OKNDWESNPJSMIF-UHFFFAOYSA-N 0 0 427.502 -0.255 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N(C)CC(=O)N1CCC[C@@H](C(=O)OCC)C1 ZINC000523623448 1072666705 /nfs/dbraw/zinc/66/67/05/1072666705.db2.gz HYTBKFKWLBWCLW-CABCVRRESA-N 0 0 433.527 -0.008 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N(C)CC(=O)N1CCC[C@H](C(=O)OCC)C1 ZINC000523623449 1072666572 /nfs/dbraw/zinc/66/65/72/1072666572.db2.gz HYTBKFKWLBWCLW-GJZGRUSLSA-N 0 0 433.527 -0.008 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N(C)CC(=O)N1CCC[C@@H](C(=O)OCC)C1 ZINC000523623450 1072666671 /nfs/dbraw/zinc/66/66/71/1072666671.db2.gz HYTBKFKWLBWCLW-HUUCEWRRSA-N 0 0 433.527 -0.008 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N(C)CC(=O)N1CCC[C@H](C(=O)OCC)C1 ZINC000523623451 1072666657 /nfs/dbraw/zinc/66/66/57/1072666657.db2.gz HYTBKFKWLBWCLW-LSDHHAIUSA-N 0 0 433.527 -0.008 20 0 IBADRN O=C(Nc1ccc2scnc2c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000523632348 1072665751 /nfs/dbraw/zinc/66/57/51/1072665751.db2.gz IXBRLFBACBNIJH-UHFFFAOYSA-N 0 0 439.519 -0.044 20 0 IBADRN O=C(Nc1ccc2c(c1)CCCO2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000523632585 1072665722 /nfs/dbraw/zinc/66/57/22/1072665722.db2.gz WFGFBSSBFNKANB-UHFFFAOYSA-N 0 0 438.506 -0.329 20 0 IBADRN O=C(Nc1ccc2scnc2c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000523634328 1072667176 /nfs/dbraw/zinc/66/71/76/1072667176.db2.gz RBRPHLHLASCQTJ-UHFFFAOYSA-N 0 0 431.474 -0.236 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(F)c2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000523635668 1072667791 /nfs/dbraw/zinc/66/77/91/1072667791.db2.gz NMBSYDYVHBLZRI-HNNXBMFYSA-N 0 0 426.470 -0.404 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(F)c2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000523635669 1072667753 /nfs/dbraw/zinc/66/77/53/1072667753.db2.gz NMBSYDYVHBLZRI-OAHLLOKOSA-N 0 0 426.470 -0.404 20 0 IBADRN O=C(Nc1cc(C(F)(F)F)c[nH]c1=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000523636502 1072667856 /nfs/dbraw/zinc/66/78/56/1072667856.db2.gz WDYUSQMBVQIFFB-UHFFFAOYSA-N 0 0 429.355 -0.306 20 0 IBADRN COC(=O)CCCc1nc(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)no1 ZINC000523638797 1072667651 /nfs/dbraw/zinc/66/76/51/1072667651.db2.gz DROCJRZVNLDYEE-UHFFFAOYSA-N 0 0 430.531 -0.825 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000523640029 1072667632 /nfs/dbraw/zinc/66/76/32/1072667632.db2.gz OWIYEBLLFSUZCQ-NRFANRHFSA-N 0 0 444.492 -0.380 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000523640030 1072667645 /nfs/dbraw/zinc/66/76/45/1072667645.db2.gz OWIYEBLLFSUZCQ-OAQYLSRUSA-N 0 0 444.492 -0.380 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000523650843 1072667057 /nfs/dbraw/zinc/66/70/57/1072667057.db2.gz RNKIMMQLNAFTQB-UHFFFAOYSA-N 0 0 425.554 -0.172 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cccc1-n1cnnn1 ZINC000523653768 1072668793 /nfs/dbraw/zinc/66/87/93/1072668793.db2.gz YZMXKTSAXHMSMX-UHFFFAOYSA-N 0 0 440.508 -0.030 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)nc1 ZINC000523654313 1072667119 /nfs/dbraw/zinc/66/71/19/1072667119.db2.gz VDNSTRIRRXNRCB-UHFFFAOYSA-N 0 0 441.554 -0.222 20 0 IBADRN CN(CCCNC(=O)CN1CCN(S(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000523654496 1072666629 /nfs/dbraw/zinc/66/66/29/1072666629.db2.gz CLWHNFBFDKCQBJ-UHFFFAOYSA-N 0 0 432.568 -0.609 20 0 IBADRN CN(CCCNC(=O)CN1CCN(C(=O)COc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000523654646 1072669007 /nfs/dbraw/zinc/66/90/07/1072669007.db2.gz GRUJWIGLVBIVSD-UHFFFAOYSA-N 0 0 444.529 -0.254 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000523655210 1072668926 /nfs/dbraw/zinc/66/89/26/1072668926.db2.gz QKKBZMWGQBNXBH-UHFFFAOYSA-N 0 0 429.543 -0.010 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000523659446 1072669616 /nfs/dbraw/zinc/66/96/16/1072669616.db2.gz WKKGEINHABGWHJ-UHFFFAOYSA-N 0 0 445.568 -0.028 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000523659753 1072669580 /nfs/dbraw/zinc/66/95/80/1072669580.db2.gz ORXCVLQCBCHRTC-INIZCTEOSA-N 0 0 438.554 -0.157 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000523659754 1072669693 /nfs/dbraw/zinc/66/96/93/1072669693.db2.gz ORXCVLQCBCHRTC-MRXNPFEDSA-N 0 0 438.554 -0.157 20 0 IBADRN COCCN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CC1 ZINC000523664472 1072670110 /nfs/dbraw/zinc/67/01/10/1072670110.db2.gz KJOSTQMABINCII-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000523667114 1072667712 /nfs/dbraw/zinc/66/77/12/1072667712.db2.gz GOGZHBMYOWIWOG-CYBMUJFWSA-N 0 0 433.490 -0.179 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000523667115 1072667825 /nfs/dbraw/zinc/66/78/25/1072667825.db2.gz GOGZHBMYOWIWOG-ZDUSSCGKSA-N 0 0 433.490 -0.179 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000523667140 1072667781 /nfs/dbraw/zinc/66/77/81/1072667781.db2.gz PDGSDDSVDIRJSM-HNNXBMFYSA-N 0 0 426.495 -0.320 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000523667141 1072667669 /nfs/dbraw/zinc/66/76/69/1072667669.db2.gz PDGSDDSVDIRJSM-OAHLLOKOSA-N 0 0 426.495 -0.320 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000523667284 1072667707 /nfs/dbraw/zinc/66/77/07/1072667707.db2.gz HIMLPRNPNQHWGO-AWEZNQCLSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000523667288 1072667695 /nfs/dbraw/zinc/66/76/95/1072667695.db2.gz HIMLPRNPNQHWGO-CQSZACIVSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000523667769 1072668376 /nfs/dbraw/zinc/66/83/76/1072668376.db2.gz DEDRKMQPRRIZJH-JTQLQIEISA-N 0 0 439.462 -0.153 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000523667770 1072668294 /nfs/dbraw/zinc/66/82/94/1072668294.db2.gz DEDRKMQPRRIZJH-SNVBAGLBSA-N 0 0 439.462 -0.153 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)C1 ZINC000523668082 1072668336 /nfs/dbraw/zinc/66/83/36/1072668336.db2.gz HVOKVNNYXKMYEQ-AWEZNQCLSA-N 0 0 440.478 -0.932 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)C1 ZINC000523668083 1072668368 /nfs/dbraw/zinc/66/83/68/1072668368.db2.gz HVOKVNNYXKMYEQ-CQSZACIVSA-N 0 0 440.478 -0.932 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000523668362 1072668242 /nfs/dbraw/zinc/66/82/42/1072668242.db2.gz URLGQJOWCFCYOR-HNNXBMFYSA-N 0 0 444.535 -0.295 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000523668363 1072668398 /nfs/dbraw/zinc/66/83/98/1072668398.db2.gz URLGQJOWCFCYOR-OAHLLOKOSA-N 0 0 444.535 -0.295 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1 ZINC000523668374 1072668287 /nfs/dbraw/zinc/66/82/87/1072668287.db2.gz YPACVQMXBFRTHJ-HNNXBMFYSA-N 0 0 436.490 -0.186 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1 ZINC000523668375 1072668275 /nfs/dbraw/zinc/66/82/75/1072668275.db2.gz YPACVQMXBFRTHJ-OAHLLOKOSA-N 0 0 436.490 -0.186 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H](Cc3ccccc3)C(=O)N3CCCC3)c2c(=O)n(C)c1=O ZINC000523668527 1072668321 /nfs/dbraw/zinc/66/83/21/1072668321.db2.gz OOARDIBEKOFHBZ-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H](Cc3ccccc3)C(=O)N3CCCC3)c2c(=O)n(C)c1=O ZINC000523668528 1072668356 /nfs/dbraw/zinc/66/83/56/1072668356.db2.gz OOARDIBEKOFHBZ-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000523668663 1072668329 /nfs/dbraw/zinc/66/83/29/1072668329.db2.gz AYPZHBBIDJNGSK-HNNXBMFYSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000523668664 1072668384 /nfs/dbraw/zinc/66/83/84/1072668384.db2.gz AYPZHBBIDJNGSK-OAHLLOKOSA-N 0 0 426.495 -0.320 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)cn1 ZINC000523669279 1072668344 /nfs/dbraw/zinc/66/83/44/1072668344.db2.gz LXXLUVMXOSNOOH-UHFFFAOYSA-N 0 0 434.522 -0.841 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)s1 ZINC000523693366 1072672152 /nfs/dbraw/zinc/67/21/52/1072672152.db2.gz ZERPRJSCZRVISP-UHFFFAOYSA-N 0 0 430.537 -0.428 20 0 IBADRN Cc1nc(COc2ccc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)cc2)no1 ZINC000523700204 1072672802 /nfs/dbraw/zinc/67/28/02/1072672802.db2.gz MHIHVMARYUMUPN-DLBZAZTESA-N 0 0 436.490 -0.127 20 0 IBADRN Cc1nc(COc2ccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)cc2)no1 ZINC000523700205 1072672852 /nfs/dbraw/zinc/67/28/52/1072672852.db2.gz MHIHVMARYUMUPN-IAGOWNOFSA-N 0 0 436.490 -0.127 20 0 IBADRN Cc1nc(COc2ccc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)cc2)no1 ZINC000523700206 1072673225 /nfs/dbraw/zinc/67/32/25/1072673225.db2.gz MHIHVMARYUMUPN-IRXDYDNUSA-N 0 0 436.490 -0.127 20 0 IBADRN Cc1nc(COc2ccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)cc2)no1 ZINC000523700207 1072673393 /nfs/dbraw/zinc/67/33/93/1072673393.db2.gz MHIHVMARYUMUPN-SJORKVTESA-N 0 0 436.490 -0.127 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cn1C ZINC000523702308 1072673295 /nfs/dbraw/zinc/67/32/95/1072673295.db2.gz CYPAQUGTDACUNL-UHFFFAOYSA-N 0 0 440.526 -0.232 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)cn1C ZINC000523702373 1072673255 /nfs/dbraw/zinc/67/32/55/1072673255.db2.gz SJTHOCPSFZOIQN-HNNXBMFYSA-N 0 0 440.570 -0.448 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)cn1C ZINC000523702374 1072673344 /nfs/dbraw/zinc/67/33/44/1072673344.db2.gz SJTHOCPSFZOIQN-OAHLLOKOSA-N 0 0 440.570 -0.448 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)cn1C ZINC000523702921 1072673214 /nfs/dbraw/zinc/67/32/14/1072673214.db2.gz CMDMXDHJMNIQRZ-UHFFFAOYSA-N 0 0 428.496 -0.788 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cn1C ZINC000523702936 1072673231 /nfs/dbraw/zinc/67/32/31/1072673231.db2.gz GJGDJHJLFMZGGV-CYBMUJFWSA-N 0 0 426.543 -0.792 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cn1C ZINC000523702937 1072673868 /nfs/dbraw/zinc/67/38/68/1072673868.db2.gz GJGDJHJLFMZGGV-ZDUSSCGKSA-N 0 0 426.543 -0.792 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1C ZINC000523703099 1072673351 /nfs/dbraw/zinc/67/33/51/1072673351.db2.gz KPOCHLKXMGLDIP-DLBZAZTESA-N 0 0 448.563 -0.204 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1C ZINC000523703100 1072673312 /nfs/dbraw/zinc/67/33/12/1072673312.db2.gz KPOCHLKXMGLDIP-IAGOWNOFSA-N 0 0 448.563 -0.204 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1C ZINC000523703101 1072673305 /nfs/dbraw/zinc/67/33/05/1072673305.db2.gz KPOCHLKXMGLDIP-IRXDYDNUSA-N 0 0 448.563 -0.204 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1C ZINC000523703102 1072673233 /nfs/dbraw/zinc/67/32/33/1072673233.db2.gz KPOCHLKXMGLDIP-SJORKVTESA-N 0 0 448.563 -0.204 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000523704244 1072674040 /nfs/dbraw/zinc/67/40/40/1072674040.db2.gz AITODYCTGNVIEU-AWEZNQCLSA-N 0 0 428.559 -0.544 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000523704245 1072673851 /nfs/dbraw/zinc/67/38/51/1072673851.db2.gz AITODYCTGNVIEU-CQSZACIVSA-N 0 0 428.559 -0.544 20 0 IBADRN COC(=O)CCCOc1ccc(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000523705404 1072673902 /nfs/dbraw/zinc/67/39/02/1072673902.db2.gz LPXRHAXSMVJIDJ-UHFFFAOYSA-N 0 0 425.463 -0.106 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000523709727 1072674521 /nfs/dbraw/zinc/67/45/21/1072674521.db2.gz GZIZAWJHJHAEJT-UHFFFAOYSA-N 0 0 442.881 -0.218 20 0 IBADRN Cn1c(C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000523722426 1072674026 /nfs/dbraw/zinc/67/40/26/1072674026.db2.gz MKYCOICGHXRAST-UHFFFAOYSA-N 0 0 425.449 -0.968 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(C(=O)Cn1c(=O)c3c(ncn3C)n(C)c1=O)CC2 ZINC000523725552 1072673997 /nfs/dbraw/zinc/67/39/97/1072673997.db2.gz OJGWZIVCUCYCKD-UHFFFAOYSA-N 0 0 446.489 -0.727 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(C(=O)CNS(=O)(=O)c1cnn(C)c1)CC2 ZINC000523725645 1072673887 /nfs/dbraw/zinc/67/38/87/1072673887.db2.gz RXNODJNQGVZHIF-UHFFFAOYSA-N 0 0 427.508 -0.462 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCc3ccc(S(=O)(=O)N(C)C)cc32)cn1C ZINC000523733550 1072675064 /nfs/dbraw/zinc/67/50/64/1072675064.db2.gz CEPKWUFOICPPFW-UHFFFAOYSA-N 0 0 441.535 -0.154 20 0 IBADRN CN(CC1CCC(NS(=O)(=O)N2CCOCC2)CC1)S(=O)(=O)N1CCOCC1 ZINC000523734118 1072675031 /nfs/dbraw/zinc/67/50/31/1072675031.db2.gz CZDUWZQEZREZJE-UHFFFAOYSA-N 0 0 440.588 -0.779 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3CCCS3(=O)=O)CC2)c1 ZINC000523737940 1072675597 /nfs/dbraw/zinc/67/55/97/1072675597.db2.gz VNMNFAMLCLRRNW-UHFFFAOYSA-N 0 0 429.520 -0.590 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC[C@@H]3CCCO3)CC2)C[C@H](C)O1 ZINC000523746625 1072676276 /nfs/dbraw/zinc/67/62/76/1072676276.db2.gz QWPDQAMEHTUGMA-BBWFWOEESA-N 0 0 434.559 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC[C@H]3CCCO3)CC2)C[C@@H](C)O1 ZINC000523746626 1072676195 /nfs/dbraw/zinc/67/61/95/1072676195.db2.gz QWPDQAMEHTUGMA-BRWVUGGUSA-N 0 0 434.559 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC[C@H]3CCCO3)CC2)C[C@H](C)O1 ZINC000523746627 1072676321 /nfs/dbraw/zinc/67/63/21/1072676321.db2.gz QWPDQAMEHTUGMA-IXDOHACOSA-N 0 0 434.559 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC[C@@H]3CCCO3)CC2)C[C@@H](C)O1 ZINC000523746628 1072676264 /nfs/dbraw/zinc/67/62/64/1072676264.db2.gz QWPDQAMEHTUGMA-ZACQAIPSSA-N 0 0 434.559 -0.137 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC1(C(N)=O)CCCC1 ZINC000523754069 1072676908 /nfs/dbraw/zinc/67/69/08/1072676908.db2.gz JCMZYXCCNJJHBI-HNNXBMFYSA-N 0 0 445.563 -0.070 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC1(C(N)=O)CCCC1 ZINC000523754070 1072676915 /nfs/dbraw/zinc/67/69/15/1072676915.db2.gz JCMZYXCCNJJHBI-OAHLLOKOSA-N 0 0 445.563 -0.070 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccc(-n2ccnc2)nn1 ZINC000523754426 1072676927 /nfs/dbraw/zinc/67/69/27/1072676927.db2.gz YZYUDKODZSBCBE-UHFFFAOYSA-N 0 0 442.505 -0.061 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc21 ZINC000523758254 1072677967 /nfs/dbraw/zinc/67/79/67/1072677967.db2.gz WRBUYCVUYLOKKQ-UHFFFAOYSA-N 0 0 436.534 -0.239 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2=O)cc1 ZINC000523768541 1072680634 /nfs/dbraw/zinc/68/06/34/1072680634.db2.gz UJSGLBSNDUSNQG-KRWDZBQOSA-N 0 0 442.480 -0.663 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2=O)cc1 ZINC000523768542 1072680760 /nfs/dbraw/zinc/68/07/60/1072680760.db2.gz UJSGLBSNDUSNQG-QGZVFWFLSA-N 0 0 442.480 -0.663 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NCCN3C(=O)CCC3=O)CC2)c1 ZINC000523776909 1072683516 /nfs/dbraw/zinc/68/35/16/1072683516.db2.gz VVZWRILIZGLSKZ-UHFFFAOYSA-N 0 0 436.490 -0.294 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000523776985 1072683942 /nfs/dbraw/zinc/68/39/42/1072683942.db2.gz ZDWSEZFEEZBNKE-CYBMUJFWSA-N 0 0 431.536 -0.009 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000523776986 1072684049 /nfs/dbraw/zinc/68/40/49/1072684049.db2.gz ZDWSEZFEEZBNKE-ZDUSSCGKSA-N 0 0 431.536 -0.009 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000523780003 1072683962 /nfs/dbraw/zinc/68/39/62/1072683962.db2.gz FBYHWTLVRGWNCW-UHFFFAOYSA-N 0 0 434.493 -0.076 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4ccnc4)nn3)CC2)C[C@H](C)O1 ZINC000523780545 1072683966 /nfs/dbraw/zinc/68/39/66/1072683966.db2.gz ASFWZYSCIDETTG-GASCZTMLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4ccnc4)nn3)CC2)C[C@H](C)O1 ZINC000523780546 1072683957 /nfs/dbraw/zinc/68/39/57/1072683957.db2.gz ASFWZYSCIDETTG-GJZGRUSLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4ccnc4)nn3)CC2)C[C@@H](C)O1 ZINC000523780547 1072683951 /nfs/dbraw/zinc/68/39/51/1072683951.db2.gz ASFWZYSCIDETTG-HUUCEWRRSA-N 0 0 435.510 -0.226 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(C(=O)NCC(F)(F)F)CC2)cn1C ZINC000523780554 1072684077 /nfs/dbraw/zinc/68/40/77/1072684077.db2.gz DKRYWTUTGYTECE-UHFFFAOYSA-N 0 0 425.433 -0.076 20 0 IBADRN Cn1c(C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000523788508 1072680655 /nfs/dbraw/zinc/68/06/55/1072680655.db2.gz OUKYTBQEFIGRDD-UHFFFAOYSA-N 0 0 425.445 -0.121 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000523789382 1072680801 /nfs/dbraw/zinc/68/08/01/1072680801.db2.gz KMADMEFUTXZMEM-UHFFFAOYSA-N 0 0 438.550 -0.168 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000523793719 1072684399 /nfs/dbraw/zinc/68/43/99/1072684399.db2.gz WVRGBVLBDGCFDS-KBPBESRZSA-N 0 0 427.545 -0.759 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000523793720 1072684609 /nfs/dbraw/zinc/68/46/09/1072684609.db2.gz WVRGBVLBDGCFDS-OKILXGFUSA-N 0 0 427.545 -0.759 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000523793721 1072684446 /nfs/dbraw/zinc/68/44/46/1072684446.db2.gz WVRGBVLBDGCFDS-ZIAGYGMSSA-N 0 0 427.545 -0.759 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000523793735 1072684496 /nfs/dbraw/zinc/68/44/96/1072684496.db2.gz XIUZMAWYCIABQM-HNNXBMFYSA-N 0 0 426.557 -0.519 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000523793736 1072684440 /nfs/dbraw/zinc/68/44/40/1072684440.db2.gz XIUZMAWYCIABQM-OAHLLOKOSA-N 0 0 426.557 -0.519 20 0 IBADRN CCOC(=O)CCS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000523794026 1072684502 /nfs/dbraw/zinc/68/45/02/1072684502.db2.gz LXCDLJVOOCNBTM-UHFFFAOYSA-N 0 0 434.540 -0.594 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000523798180 1072684594 /nfs/dbraw/zinc/68/45/94/1072684594.db2.gz FMXMBDDWJXSJHP-CYBMUJFWSA-N 0 0 448.519 -0.130 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000523798181 1072684454 /nfs/dbraw/zinc/68/44/54/1072684454.db2.gz FMXMBDDWJXSJHP-ZDUSSCGKSA-N 0 0 448.519 -0.130 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000523799794 1072684547 /nfs/dbraw/zinc/68/45/47/1072684547.db2.gz ULGUOFWKGYAHOH-HZSPNIEDSA-N 0 0 425.525 -0.595 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000523799795 1072684623 /nfs/dbraw/zinc/68/46/23/1072684623.db2.gz ULGUOFWKGYAHOH-MCIONIFRSA-N 0 0 425.525 -0.595 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000523799796 1072684604 /nfs/dbraw/zinc/68/46/04/1072684604.db2.gz ULGUOFWKGYAHOH-MGPQQGTHSA-N 0 0 425.525 -0.595 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000523799797 1072684600 /nfs/dbraw/zinc/68/46/00/1072684600.db2.gz ULGUOFWKGYAHOH-RDBSUJKOSA-N 0 0 425.525 -0.595 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000523806717 1072684023 /nfs/dbraw/zinc/68/40/23/1072684023.db2.gz ZZCMKEYIBUIYEE-INIZCTEOSA-N 0 0 441.506 -0.042 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000523806718 1072684000 /nfs/dbraw/zinc/68/40/00/1072684000.db2.gz ZZCMKEYIBUIYEE-MRXNPFEDSA-N 0 0 441.506 -0.042 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000523807133 1072683982 /nfs/dbraw/zinc/68/39/82/1072683982.db2.gz PDUNJEJZEKDCKJ-INIZCTEOSA-N 0 0 441.506 -0.042 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000523807134 1072683990 /nfs/dbraw/zinc/68/39/90/1072683990.db2.gz PDUNJEJZEKDCKJ-MRXNPFEDSA-N 0 0 441.506 -0.042 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CS(=O)(=O)c2ccc(C(C)=O)c(F)c2)CC1 ZINC000523818680 1072684535 /nfs/dbraw/zinc/68/45/35/1072684535.db2.gz OOACQSUKWJJVQE-UHFFFAOYSA-N 0 0 443.497 -0.291 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1F ZINC000523818718 1072684415 /nfs/dbraw/zinc/68/44/15/1072684415.db2.gz QKACYOAKVOGSRR-UHFFFAOYSA-N 0 0 441.481 -0.003 20 0 IBADRN C[C@@]1(c2ccc(CNS(=O)(=O)c3ccc(S(N)(=O)=O)cc3)cc2)NC(=O)NC1=O ZINC000523824504 1072684629 /nfs/dbraw/zinc/68/46/29/1072684629.db2.gz FHASSGIYSXWKRV-KRWDZBQOSA-N 0 0 438.487 -0.133 20 0 IBADRN C[C@]1(c2ccc(CNS(=O)(=O)c3ccc(S(N)(=O)=O)cc3)cc2)NC(=O)NC1=O ZINC000523824505 1072685133 /nfs/dbraw/zinc/68/51/33/1072685133.db2.gz FHASSGIYSXWKRV-QGZVFWFLSA-N 0 0 438.487 -0.133 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000523826735 1072685205 /nfs/dbraw/zinc/68/52/05/1072685205.db2.gz MQJWOXFYKSYDSK-HNNXBMFYSA-N 0 0 449.576 -0.141 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000523826736 1072685102 /nfs/dbraw/zinc/68/51/02/1072685102.db2.gz MQJWOXFYKSYDSK-OAHLLOKOSA-N 0 0 449.576 -0.141 20 0 IBADRN C[C@@]1(c2ccc(CNS(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)cc2)NC(=O)NC1=O ZINC000523828114 1072685145 /nfs/dbraw/zinc/68/51/45/1072685145.db2.gz VGMMBXVXSURQMR-IBGZPJMESA-N 0 0 443.441 -0.251 20 0 IBADRN C[C@]1(c2ccc(CNS(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)cc2)NC(=O)NC1=O ZINC000523828115 1072685224 /nfs/dbraw/zinc/68/52/24/1072685224.db2.gz VGMMBXVXSURQMR-LJQANCHMSA-N 0 0 443.441 -0.251 20 0 IBADRN O=C(CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCc2ccccc21 ZINC000523832520 1072682215 /nfs/dbraw/zinc/68/22/15/1072682215.db2.gz ZFCMDBLDWVKLNN-UHFFFAOYSA-N 0 0 437.522 -0.520 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1F ZINC000523835032 1072685250 /nfs/dbraw/zinc/68/52/50/1072685250.db2.gz FJJISGHSNQZQIR-UHFFFAOYSA-N 0 0 438.441 -0.841 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1F ZINC000523835614 1072685262 /nfs/dbraw/zinc/68/52/62/1072685262.db2.gz PAPYTRAAHCPYCZ-UHFFFAOYSA-N 0 0 441.481 -0.001 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCc3ccc([C@]4(C)NC(=O)NC4=O)cc3)cnc2n(C)c1=O ZINC000523839529 1072685120 /nfs/dbraw/zinc/68/51/20/1072685120.db2.gz NVDYQFUZJLPOKX-NRFANRHFSA-N 0 0 436.428 -0.383 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)cnc2n(C)c1=O ZINC000523839530 1072685068 /nfs/dbraw/zinc/68/50/68/1072685068.db2.gz NVDYQFUZJLPOKX-OAQYLSRUSA-N 0 0 436.428 -0.383 20 0 IBADRN Cn1c(C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000523840216 1072685775 /nfs/dbraw/zinc/68/57/75/1072685775.db2.gz AJBIQRZKDLZAKX-NRFANRHFSA-N 0 0 438.444 -0.440 20 0 IBADRN Cn1c(C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000523840217 1072685771 /nfs/dbraw/zinc/68/57/71/1072685771.db2.gz AJBIQRZKDLZAKX-OAQYLSRUSA-N 0 0 438.444 -0.440 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)c1 ZINC000523840661 1072685762 /nfs/dbraw/zinc/68/57/62/1072685762.db2.gz PMHMZGSGFGIRLG-UHFFFAOYSA-N 0 0 441.535 -0.110 20 0 IBADRN CNS(=O)(=O)CCCN1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000523841219 1072685803 /nfs/dbraw/zinc/68/58/03/1072685803.db2.gz VAVUBWBFSXWJFD-UHFFFAOYSA-N 0 0 425.554 -0.220 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)nc1 ZINC000523841873 1072685813 /nfs/dbraw/zinc/68/58/13/1072685813.db2.gz VFITZNBJODKLFK-UHFFFAOYSA-N 0 0 436.494 -0.159 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)c1 ZINC000523842668 1072685783 /nfs/dbraw/zinc/68/57/83/1072685783.db2.gz LQCZRABZYFFQSN-UHFFFAOYSA-N 0 0 435.506 -0.157 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCc3ccc([C@]4(C)NC(=O)NC4=O)cc3)nc2n(C)c1=O ZINC000523845460 1072685797 /nfs/dbraw/zinc/68/57/97/1072685797.db2.gz UMKPKTOTRWMRNL-NRFANRHFSA-N 0 0 436.428 -0.383 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)nc2n(C)c1=O ZINC000523845461 1072685749 /nfs/dbraw/zinc/68/57/49/1072685749.db2.gz UMKPKTOTRWMRNL-OAQYLSRUSA-N 0 0 436.428 -0.383 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)c1 ZINC000523846671 1072685693 /nfs/dbraw/zinc/68/56/93/1072685693.db2.gz RDSHEAUERUDCEJ-UHFFFAOYSA-N 0 0 426.499 -0.318 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)Nc2ncn(CC(=O)NCc3ccccc3)n2)c1 ZINC000523847541 1072685742 /nfs/dbraw/zinc/68/57/42/1072685742.db2.gz FBZRHQCGRQMGMH-UHFFFAOYSA-N 0 0 432.466 -0.533 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCc2cccc(OCCN3CCOCC3)c2)c1 ZINC000523848343 1072685210 /nfs/dbraw/zinc/68/52/10/1072685210.db2.gz VDOADZWRKQZSBL-UHFFFAOYSA-N 0 0 437.522 -0.052 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)c1 ZINC000523852877 1072685195 /nfs/dbraw/zinc/68/51/95/1072685195.db2.gz YJNJWBQSWPBMNL-UHFFFAOYSA-N 0 0 441.535 -0.156 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC2CCC(C(=O)N3CCSCC3)CC2)cn1 ZINC000523856198 1072687477 /nfs/dbraw/zinc/68/74/77/1072687477.db2.gz HSXZCGQJCJESKC-UHFFFAOYSA-N 0 0 429.568 -0.051 20 0 IBADRN Cn1c2ncn(CC(=O)NC3CCC(C(=O)N4CCSCC4)CC3)c2c(=O)n(C)c1=O ZINC000523856798 1072687402 /nfs/dbraw/zinc/68/74/02/1072687402.db2.gz WWYPQYDCGNENGU-UHFFFAOYSA-N 0 0 448.549 -0.316 20 0 IBADRN O=C(Cn1c(=O)onc1-c1cnccn1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000523865824 1072686352 /nfs/dbraw/zinc/68/63/52/1072686352.db2.gz GPICWFRHXJYBIA-UHFFFAOYSA-N 0 0 448.436 -0.035 20 0 IBADRN O=C(Cn1c(=O)onc1-c1cnccn1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000523866878 1072686274 /nfs/dbraw/zinc/68/62/74/1072686274.db2.gz CXTNSTHRMTYJST-UHFFFAOYSA-N 0 0 448.436 -0.035 20 0 IBADRN O=C(Cn1c(=O)onc1-c1cnccn1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000523869213 1072686473 /nfs/dbraw/zinc/68/64/73/1072686473.db2.gz RIZQFOTUAIIKEP-UHFFFAOYSA-N 0 0 446.445 -0.047 20 0 IBADRN CCOC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000523871713 1072687031 /nfs/dbraw/zinc/68/70/31/1072687031.db2.gz IRTVFTJXVJDWLL-UHFFFAOYSA-N 0 0 437.496 -0.642 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000523871975 1072686868 /nfs/dbraw/zinc/68/68/68/1072686868.db2.gz QJEWBQAYZILEHM-UHFFFAOYSA-N 0 0 429.520 -0.657 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000523872943 1072686849 /nfs/dbraw/zinc/68/68/49/1072686849.db2.gz VMLQFNXXOKCRFE-UHFFFAOYSA-N 0 0 443.547 -0.314 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CCn2cc(S(N)(=O)=O)cn2)cc1 ZINC000523873755 1072687058 /nfs/dbraw/zinc/68/70/58/1072687058.db2.gz ZFCDONJLHYUKDU-UHFFFAOYSA-N 0 0 445.523 -0.838 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000523873804 1072686889 /nfs/dbraw/zinc/68/68/89/1072686889.db2.gz SJBNSWDAWLYDTN-UHFFFAOYSA-N 0 0 445.563 -0.604 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000523873869 1072686840 /nfs/dbraw/zinc/68/68/40/1072686840.db2.gz XOCHSSQVRMYZBO-UHFFFAOYSA-N 0 0 431.536 -0.411 20 0 IBADRN Cn1c(COc2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)cc(=O)n(C)c1=O ZINC000523875221 1072686880 /nfs/dbraw/zinc/68/68/80/1072686880.db2.gz OBVPCJIUINQJHH-UHFFFAOYSA-N 0 0 436.490 -0.620 20 0 IBADRN C[C@@H](Sc1nnnn1-c1ccc2c(c1)OCO2)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000523881722 1072687987 /nfs/dbraw/zinc/68/79/87/1072687987.db2.gz PDDHMZSPSDDWNC-MRVPVSSYSA-N 0 0 431.434 -0.266 20 0 IBADRN C[C@H](Sc1nnnn1-c1ccc2c(c1)OCO2)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000523881723 1072688111 /nfs/dbraw/zinc/68/81/11/1072688111.db2.gz PDDHMZSPSDDWNC-QMMMGPOBSA-N 0 0 431.434 -0.266 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@@H](NS(C)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000523882330 1072688052 /nfs/dbraw/zinc/68/80/52/1072688052.db2.gz JLOVFCDSUXBKTI-CYBMUJFWSA-N 0 0 443.544 -0.601 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@H](NS(C)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000523882334 1072688087 /nfs/dbraw/zinc/68/80/87/1072688087.db2.gz JLOVFCDSUXBKTI-ZDUSSCGKSA-N 0 0 443.544 -0.601 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000523882826 1072688066 /nfs/dbraw/zinc/68/80/66/1072688066.db2.gz VHDCVXRGWYYLHJ-UHFFFAOYSA-N 0 0 426.543 -0.253 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCCCS(C)(=O)=O)CC1 ZINC000523883042 1072688026 /nfs/dbraw/zinc/68/80/26/1072688026.db2.gz GADSXARXAUYASV-UHFFFAOYSA-N 0 0 432.568 -0.143 20 0 IBADRN COCCN1C[C@@H](C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CC1=O ZINC000523890581 1072687453 /nfs/dbraw/zinc/68/74/53/1072687453.db2.gz ZGVSYSUTXVJDCQ-KRWDZBQOSA-N 0 0 438.550 -0.266 20 0 IBADRN COCCN1C[C@H](C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CC1=O ZINC000523890582 1072687435 /nfs/dbraw/zinc/68/74/35/1072687435.db2.gz ZGVSYSUTXVJDCQ-QGZVFWFLSA-N 0 0 438.550 -0.266 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@H](NC(=O)Nc4ccc(F)cc4)C3)c2c(=O)n(C)c1=O ZINC000523891485 1072687507 /nfs/dbraw/zinc/68/75/07/1072687507.db2.gz CHXLTFZAGUSSRE-AWEZNQCLSA-N 0 0 443.439 -0.005 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@@H](NC(=O)Nc4ccc(F)cc4)C3)c2c(=O)n(C)c1=O ZINC000523891486 1072687583 /nfs/dbraw/zinc/68/75/83/1072687583.db2.gz CHXLTFZAGUSSRE-CQSZACIVSA-N 0 0 443.439 -0.005 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)CC(=O)N(CC)[C@H]2CCS(=O)(=O)C2)C1 ZINC000523899102 1072687964 /nfs/dbraw/zinc/68/79/64/1072687964.db2.gz IFWQSFJFZJEFDX-CVEARBPZSA-N 0 0 431.555 -0.245 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)CC(=O)N(CC)[C@H]2CCS(=O)(=O)C2)C1 ZINC000523899103 1072687925 /nfs/dbraw/zinc/68/79/25/1072687925.db2.gz IFWQSFJFZJEFDX-HOTGVXAUSA-N 0 0 431.555 -0.245 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)CC(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000523899104 1072687999 /nfs/dbraw/zinc/68/79/99/1072687999.db2.gz IFWQSFJFZJEFDX-HZPDHXFCSA-N 0 0 431.555 -0.245 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)CC(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000523899105 1072688097 /nfs/dbraw/zinc/68/80/97/1072688097.db2.gz IFWQSFJFZJEFDX-JKSUJKDBSA-N 0 0 431.555 -0.245 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)N1CCc2ccccc2C1 ZINC000523900975 1072688104 /nfs/dbraw/zinc/68/81/04/1072688104.db2.gz IDJCUHCXHGTMFI-KRWDZBQOSA-N 0 0 436.534 -0.094 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)N1CCc2ccccc2C1 ZINC000523900976 1072688007 /nfs/dbraw/zinc/68/80/07/1072688007.db2.gz IDJCUHCXHGTMFI-QGZVFWFLSA-N 0 0 436.534 -0.094 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000523902578 1072687969 /nfs/dbraw/zinc/68/79/69/1072687969.db2.gz QXRCWXFEYDMXSZ-HWPZZCPQSA-N 0 0 446.507 -0.938 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000523902579 1072687952 /nfs/dbraw/zinc/68/79/52/1072687952.db2.gz QXRCWXFEYDMXSZ-MGPLVRAMSA-N 0 0 446.507 -0.938 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000523902581 1072688002 /nfs/dbraw/zinc/68/80/02/1072688002.db2.gz QXRCWXFEYDMXSZ-QFYYESIMSA-N 0 0 446.507 -0.938 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000523902582 1072688119 /nfs/dbraw/zinc/68/81/19/1072688119.db2.gz QXRCWXFEYDMXSZ-QLJPJBMISA-N 0 0 446.507 -0.938 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)cn1C ZINC000523907940 1072688560 /nfs/dbraw/zinc/68/85/60/1072688560.db2.gz RRYFFIFJZILINC-GOSISDBHSA-N 0 0 434.478 -0.622 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)cn1C ZINC000523907941 1072688527 /nfs/dbraw/zinc/68/85/27/1072688527.db2.gz RRYFFIFJZILINC-SFHVURJKSA-N 0 0 434.478 -0.622 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2cccc(S(N)(=O)=O)c2)C1 ZINC000523908961 1072688493 /nfs/dbraw/zinc/68/84/93/1072688493.db2.gz YYVDCAYIZJAXRI-CYBMUJFWSA-N 0 0 447.535 -0.244 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2cccc(S(N)(=O)=O)c2)C1 ZINC000523908962 1072688646 /nfs/dbraw/zinc/68/86/46/1072688646.db2.gz YYVDCAYIZJAXRI-ZDUSSCGKSA-N 0 0 447.535 -0.244 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)N2CCC(C(=O)OC)CC2)C1 ZINC000523912215 1072688573 /nfs/dbraw/zinc/68/85/73/1072688573.db2.gz MQGDZWRPUSJQRN-HNNXBMFYSA-N 0 0 433.527 -0.150 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)N2CCC(C(=O)OC)CC2)C1 ZINC000523912216 1072688607 /nfs/dbraw/zinc/68/86/07/1072688607.db2.gz MQGDZWRPUSJQRN-OAHLLOKOSA-N 0 0 433.527 -0.150 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cc(C(F)(F)F)c[nH]c2=O)CC1 ZINC000523926932 1072688669 /nfs/dbraw/zinc/68/86/69/1072688669.db2.gz GHZOAXHCDCMJLS-UHFFFAOYSA-N 0 0 445.398 -0.253 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)N3CCN(CC(=O)NC4CC4)CC3)cc2)NC(=O)NC1=O ZINC000523929755 1072688712 /nfs/dbraw/zinc/68/87/12/1072688712.db2.gz IJLVLMBMJVYCSB-NRFANRHFSA-N 0 0 428.493 -0.153 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)N3CCN(CC(=O)NC4CC4)CC3)cc2)NC(=O)NC1=O ZINC000523929756 1072688501 /nfs/dbraw/zinc/68/85/01/1072688501.db2.gz IJLVLMBMJVYCSB-OAQYLSRUSA-N 0 0 428.493 -0.153 20 0 IBADRN Cn1c2ncn(CCSc3nnnn3-c3ccc4c(c3)OCO4)c2c(=O)n(C)c1=O ZINC000523932816 1072688625 /nfs/dbraw/zinc/68/86/25/1072688625.db2.gz FTRBBBADGSSHBU-UHFFFAOYSA-N 0 0 428.434 -0.070 20 0 IBADRN O=C(CC1CCCCC1)NCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000523937486 1072688535 /nfs/dbraw/zinc/68/85/35/1072688535.db2.gz QXDHXOUMWYTFFB-UHFFFAOYSA-N 0 0 437.541 -0.214 20 0 IBADRN C[S@@](=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000523941129 1072689229 /nfs/dbraw/zinc/68/92/29/1072689229.db2.gz SAARAGDVIRVDFX-MUUNZHRXSA-N 0 0 444.535 -0.198 20 0 IBADRN C[S@](=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000523941130 1072689192 /nfs/dbraw/zinc/68/91/92/1072689192.db2.gz SAARAGDVIRVDFX-NDEPHWFRSA-N 0 0 444.535 -0.198 20 0 IBADRN COc1ccc(-c2noc(=O)n2CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000523950477 1072689148 /nfs/dbraw/zinc/68/91/48/1072689148.db2.gz OTMMXVVQBHGOOK-UHFFFAOYSA-N 0 0 428.405 -0.032 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)CCn1nc2ccccn2c1=O)C(=O)NCCN1CCOCC1 ZINC000523952037 1072689320 /nfs/dbraw/zinc/68/93/20/1072689320.db2.gz OGXZZQBQUXGCBC-APWZRJJASA-N 0 0 432.525 -0.135 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CCn1nc2ccccn2c1=O)C(=O)NCCN1CCOCC1 ZINC000523952038 1072689326 /nfs/dbraw/zinc/68/93/26/1072689326.db2.gz OGXZZQBQUXGCBC-LPHOPBHVSA-N 0 0 432.525 -0.135 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CCn1nc2ccccn2c1=O)C(=O)NCCN1CCOCC1 ZINC000523952039 1072689185 /nfs/dbraw/zinc/68/91/85/1072689185.db2.gz OGXZZQBQUXGCBC-QFBILLFUSA-N 0 0 432.525 -0.135 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)CCn1nc2ccccn2c1=O)C(=O)NCCN1CCOCC1 ZINC000523952040 1072689074 /nfs/dbraw/zinc/68/90/74/1072689074.db2.gz OGXZZQBQUXGCBC-VQIMIIECSA-N 0 0 432.525 -0.135 20 0 IBADRN CN(C(=O)CCn1nc2ccccn2c1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000523954067 1072688996 /nfs/dbraw/zinc/68/89/96/1072688996.db2.gz MNLHNHCSGZVMEG-UHFFFAOYSA-N 0 0 443.552 -0.417 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)c2ccc(S(N)(=O)=O)o2)CC1 ZINC000523969587 1072689829 /nfs/dbraw/zinc/68/98/29/1072689829.db2.gz WDAKKQIFKKWBOU-UHFFFAOYSA-N 0 0 442.519 -0.207 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000523970661 1072690303 /nfs/dbraw/zinc/69/03/03/1072690303.db2.gz VLTAFMAQXSZROF-KBPBESRZSA-N 0 0 446.547 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000523970662 1072690327 /nfs/dbraw/zinc/69/03/27/1072690327.db2.gz VLTAFMAQXSZROF-KGLIPLIRSA-N 0 0 446.547 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000523970663 1072690369 /nfs/dbraw/zinc/69/03/69/1072690369.db2.gz VLTAFMAQXSZROF-UONOGXRCSA-N 0 0 446.547 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000523970664 1072690145 /nfs/dbraw/zinc/69/01/45/1072690145.db2.gz VLTAFMAQXSZROF-ZIAGYGMSSA-N 0 0 446.547 -0.133 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)C(=O)Nc3cccc(-n4cnnn4)c3)cc2)NC(=O)NC1=O ZINC000523970857 1072689600 /nfs/dbraw/zinc/68/96/00/1072689600.db2.gz FQVOZBXVQRFQTJ-FQEVSTJZSA-N 0 0 434.416 -0.028 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)C(=O)Nc3cccc(-n4cnnn4)c3)cc2)NC(=O)NC1=O ZINC000523970858 1072689661 /nfs/dbraw/zinc/68/96/61/1072689661.db2.gz FQVOZBXVQRFQTJ-HXUWFJFHSA-N 0 0 434.416 -0.028 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000523974578 1072690309 /nfs/dbraw/zinc/69/03/09/1072690309.db2.gz CWRVPXINGYISSY-MSOLQXFVSA-N 0 0 436.534 -0.632 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000523974579 1072690340 /nfs/dbraw/zinc/69/03/40/1072690340.db2.gz CWRVPXINGYISSY-QZTJIDSGSA-N 0 0 436.534 -0.632 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000523974580 1072690333 /nfs/dbraw/zinc/69/03/33/1072690333.db2.gz CWRVPXINGYISSY-ROUUACIJSA-N 0 0 436.534 -0.632 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000523974581 1072690231 /nfs/dbraw/zinc/69/02/31/1072690231.db2.gz CWRVPXINGYISSY-ZWKOTPCHSA-N 0 0 436.534 -0.632 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCCCC3)s2)CC1 ZINC000523978991 1072689209 /nfs/dbraw/zinc/68/92/09/1072689209.db2.gz KNBLXXCZIBUOCB-UHFFFAOYSA-N 0 0 436.581 -0.187 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)N3CCN(S(N)(=O)=O)CC3)cc(C(C)C)nc21 ZINC000523979040 1072689263 /nfs/dbraw/zinc/68/92/63/1072689263.db2.gz MMAXLUHYWRFDQW-UHFFFAOYSA-N 0 0 438.510 -0.008 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCCN2CCOCC2)CC1 ZINC000523987107 1072690756 /nfs/dbraw/zinc/69/07/56/1072690756.db2.gz UXAUGDSHPFWWGT-UHFFFAOYSA-N 0 0 425.555 -0.246 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000523987479 1072690765 /nfs/dbraw/zinc/69/07/65/1072690765.db2.gz BFNLHMNVPWRTKE-AWEZNQCLSA-N 0 0 432.568 -0.145 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000523987480 1072690722 /nfs/dbraw/zinc/69/07/22/1072690722.db2.gz BFNLHMNVPWRTKE-CQSZACIVSA-N 0 0 432.568 -0.145 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000523987564 1072690692 /nfs/dbraw/zinc/69/06/92/1072690692.db2.gz BZQIOULJEVRSQI-UHFFFAOYSA-N 0 0 436.487 -0.640 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1OC ZINC000523990804 1072690677 /nfs/dbraw/zinc/69/06/77/1072690677.db2.gz LHVVLDGHUPBRNT-UHFFFAOYSA-N 0 0 442.494 -0.838 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000523991333 1072690670 /nfs/dbraw/zinc/69/06/70/1072690670.db2.gz BNHIZJGPZFWSIV-GFCCVEGCSA-N 0 0 433.508 -0.724 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000523991334 1072690760 /nfs/dbraw/zinc/69/07/60/1072690760.db2.gz BNHIZJGPZFWSIV-LBPRGKRZSA-N 0 0 433.508 -0.724 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCC[S@@](C)=O)cc2)CC1 ZINC000523992410 1072691309 /nfs/dbraw/zinc/69/13/09/1072691309.db2.gz YZZZZNYLIVJAHV-HHHXNRCGSA-N 0 0 430.552 -0.554 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCC[S@](C)=O)cc2)CC1 ZINC000523992411 1072691199 /nfs/dbraw/zinc/69/11/99/1072691199.db2.gz YZZZZNYLIVJAHV-MHZLTWQESA-N 0 0 430.552 -0.554 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC[S@@](C)=O)c2)CC1 ZINC000523992417 1072691395 /nfs/dbraw/zinc/69/13/95/1072691395.db2.gz ZJACRPMJXMWRAW-MUUNZHRXSA-N 0 0 444.579 -0.164 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC[S@](C)=O)c2)CC1 ZINC000523992418 1072691270 /nfs/dbraw/zinc/69/12/70/1072691270.db2.gz ZJACRPMJXMWRAW-NDEPHWFRSA-N 0 0 444.579 -0.164 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CCO1 ZINC000523995829 1072691299 /nfs/dbraw/zinc/69/12/99/1072691299.db2.gz CILNWLSKMQMUMA-INIZCTEOSA-N 0 0 444.536 -0.084 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CCO1 ZINC000523995830 1072691280 /nfs/dbraw/zinc/69/12/80/1072691280.db2.gz CILNWLSKMQMUMA-MRXNPFEDSA-N 0 0 444.536 -0.084 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000523996196 1072691416 /nfs/dbraw/zinc/69/14/16/1072691416.db2.gz JRAHPDPBWIFMKV-KRWDZBQOSA-N 0 0 431.537 -0.222 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000523996197 1072691365 /nfs/dbraw/zinc/69/13/65/1072691365.db2.gz JRAHPDPBWIFMKV-QGZVFWFLSA-N 0 0 431.537 -0.222 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CCO1 ZINC000523996436 1072691382 /nfs/dbraw/zinc/69/13/82/1072691382.db2.gz OFYLAVSHZRYOAJ-AWEZNQCLSA-N 0 0 437.522 -0.067 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CCO1 ZINC000523996437 1072691353 /nfs/dbraw/zinc/69/13/53/1072691353.db2.gz OFYLAVSHZRYOAJ-CQSZACIVSA-N 0 0 437.522 -0.067 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cccc1C(=O)N1CCOCC1 ZINC000523996946 1072691390 /nfs/dbraw/zinc/69/13/90/1072691390.db2.gz DTVRYMNGHWOFDD-AWEZNQCLSA-N 0 0 438.506 -0.444 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cccc1C(=O)N1CCOCC1 ZINC000523996948 1072691325 /nfs/dbraw/zinc/69/13/25/1072691325.db2.gz DTVRYMNGHWOFDD-CQSZACIVSA-N 0 0 438.506 -0.444 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](NS(C)(=O)=O)C3)cc2)CC1 ZINC000523997265 1072691185 /nfs/dbraw/zinc/69/11/85/1072691185.db2.gz KKKDUUNRJHGMNW-INIZCTEOSA-N 0 0 437.522 -0.837 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)cc2)CC1 ZINC000523997266 1072691403 /nfs/dbraw/zinc/69/14/03/1072691403.db2.gz KKKDUUNRJHGMNW-MRXNPFEDSA-N 0 0 437.522 -0.837 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000523997288 1072691316 /nfs/dbraw/zinc/69/13/16/1072691316.db2.gz LIJLIPDNZNRDMD-INIZCTEOSA-N 0 0 438.506 -0.824 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000523997289 1072691172 /nfs/dbraw/zinc/69/11/72/1072691172.db2.gz LIJLIPDNZNRDMD-MRXNPFEDSA-N 0 0 438.506 -0.824 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000523997317 1072691411 /nfs/dbraw/zinc/69/14/11/1072691411.db2.gz MODRWDRYTCKTGZ-INIZCTEOSA-N 0 0 440.522 -0.068 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000523997318 1072691344 /nfs/dbraw/zinc/69/13/44/1072691344.db2.gz MODRWDRYTCKTGZ-MRXNPFEDSA-N 0 0 440.522 -0.068 20 0 IBADRN CC(C)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000523998192 1072692001 /nfs/dbraw/zinc/69/20/01/1072692001.db2.gz BYEVQBIWHKVKHS-UHFFFAOYSA-N 0 0 447.492 -0.416 20 0 IBADRN Cc1c(CS(C)(=O)=O)cccc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000523998632 1072691914 /nfs/dbraw/zinc/69/19/14/1072691914.db2.gz QFVXYOPAUJGULL-UHFFFAOYSA-N 0 0 436.534 -0.099 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000523998902 1072691292 /nfs/dbraw/zinc/69/12/92/1072691292.db2.gz YSQFEVGXSIYQIA-AWEZNQCLSA-N 0 0 440.478 -0.932 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000523998903 1072691398 /nfs/dbraw/zinc/69/13/98/1072691398.db2.gz YSQFEVGXSIYQIA-CQSZACIVSA-N 0 0 440.478 -0.932 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CCn2cc(S(N)(=O)=O)cn2)c1 ZINC000523999869 1072691952 /nfs/dbraw/zinc/69/19/52/1072691952.db2.gz XIYYLDNLOZMASJ-UHFFFAOYSA-N 0 0 445.523 -0.838 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)cc1 ZINC000524001862 1072690318 /nfs/dbraw/zinc/69/03/18/1072690318.db2.gz HXBAKIRLMVUOKV-DOTOQJQBSA-N 0 0 434.518 -0.530 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)cc1 ZINC000524001863 1072690176 /nfs/dbraw/zinc/69/01/76/1072690176.db2.gz HXBAKIRLMVUOKV-NVXWUHKLSA-N 0 0 434.518 -0.530 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)cc1 ZINC000524001864 1072690188 /nfs/dbraw/zinc/69/01/88/1072690188.db2.gz HXBAKIRLMVUOKV-RDJZCZTQSA-N 0 0 434.518 -0.530 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)cc1 ZINC000524001865 1072690261 /nfs/dbraw/zinc/69/02/61/1072690261.db2.gz HXBAKIRLMVUOKV-WBVHZDCISA-N 0 0 434.518 -0.530 20 0 IBADRN CCN(C(=O)CCNS(C)(=O)=O)c1ccc(NC(=O)CCNS(C)(=O)=O)cc1 ZINC000524003947 1072690195 /nfs/dbraw/zinc/69/01/95/1072690195.db2.gz OCESIFNTWIQVRA-UHFFFAOYSA-N 0 0 434.540 -0.143 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCO1 ZINC000524004805 1072690727 /nfs/dbraw/zinc/69/07/27/1072690727.db2.gz DCTGDCZNNATUMB-GOSISDBHSA-N 0 0 438.550 -0.335 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCO1 ZINC000524004806 1072690766 /nfs/dbraw/zinc/69/07/66/1072690766.db2.gz DCTGDCZNNATUMB-SFHVURJKSA-N 0 0 438.550 -0.335 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cccc1C(=O)N1CCOCC1 ZINC000524008568 1072692593 /nfs/dbraw/zinc/69/25/93/1072692593.db2.gz IEUCHTSWHVLHDL-UHFFFAOYSA-N 0 0 440.460 -0.258 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)[C@@H](C)NS(C)(=O)=O)CC2)cc1OC ZINC000524008899 1072692565 /nfs/dbraw/zinc/69/25/65/1072692565.db2.gz NSCRCURULMUQNL-GFCCVEGCSA-N 0 0 449.551 -0.089 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)[C@H](C)NS(C)(=O)=O)CC2)cc1OC ZINC000524008900 1072692656 /nfs/dbraw/zinc/69/26/56/1072692656.db2.gz NSCRCURULMUQNL-LBPRGKRZSA-N 0 0 449.551 -0.089 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1ccc(CCNS(C)(=O)=O)s1 ZINC000524009053 1072692645 /nfs/dbraw/zinc/69/26/45/1072692645.db2.gz QFJAQQOELKNCAV-UHFFFAOYSA-N 0 0 433.552 -0.213 20 0 IBADRN Cc1noc([C@@H]2CCCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)n1 ZINC000524009395 1072692416 /nfs/dbraw/zinc/69/24/16/1072692416.db2.gz ZOQCBHKCRILKCT-LLVKDONJSA-N 0 0 427.421 -0.332 20 0 IBADRN Cc1noc([C@H]2CCCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)n1 ZINC000524009396 1072692585 /nfs/dbraw/zinc/69/25/85/1072692585.db2.gz ZOQCBHKCRILKCT-NSHDSACASA-N 0 0 427.421 -0.332 20 0 IBADRN O=C(NCc1cccc(-n2cncn2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524010502 1072692439 /nfs/dbraw/zinc/69/24/39/1072692439.db2.gz YRMGHDHUDJTQNU-UHFFFAOYSA-N 0 0 435.510 -0.329 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)c(OCC(=O)N(C)C)c1 ZINC000524013319 1072692666 /nfs/dbraw/zinc/69/26/66/1072692666.db2.gz JMARQQDJOLDRHH-UHFFFAOYSA-N 0 0 428.449 -0.263 20 0 IBADRN CC(=O)NC[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CCO1 ZINC000524013664 1072692676 /nfs/dbraw/zinc/69/26/76/1072692676.db2.gz BCFJMIDRYRBUMG-HNNXBMFYSA-N 0 0 431.536 -0.003 20 0 IBADRN CC(=O)NC[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CCO1 ZINC000524013665 1072692659 /nfs/dbraw/zinc/69/26/59/1072692659.db2.gz BCFJMIDRYRBUMG-OAHLLOKOSA-N 0 0 431.536 -0.003 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3cn4cc(Cl)ccc4n3)CC2)cn1 ZINC000524015211 1072691983 /nfs/dbraw/zinc/69/19/83/1072691983.db2.gz UVFMWOXXTLLADW-UHFFFAOYSA-N 0 0 444.883 -0.048 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000524015331 1072691981 /nfs/dbraw/zinc/69/19/81/1072691981.db2.gz CTUZKQWUGOCZNN-KPZWWZAWSA-N 0 0 440.478 -0.725 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@H](CNC(C)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000524015332 1072691921 /nfs/dbraw/zinc/69/19/21/1072691921.db2.gz CTUZKQWUGOCZNN-KZULUSFZSA-N 0 0 440.478 -0.725 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000524015333 1072691927 /nfs/dbraw/zinc/69/19/27/1072691927.db2.gz CTUZKQWUGOCZNN-SGTLLEGYSA-N 0 0 440.478 -0.725 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@H](CNC(C)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000524015335 1072691938 /nfs/dbraw/zinc/69/19/38/1072691938.db2.gz CTUZKQWUGOCZNN-XIKOKIGWSA-N 0 0 440.478 -0.725 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1cc(CNC(C)=O)ccc1OC ZINC000524015801 1072692045 /nfs/dbraw/zinc/69/20/45/1072692045.db2.gz RJPLWMCZSSQXNF-UHFFFAOYSA-N 0 0 443.522 -0.173 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1sccc1-n1cnnn1 ZINC000524017354 1072691933 /nfs/dbraw/zinc/69/19/33/1072691933.db2.gz IHDLPDJAXUYXCM-UHFFFAOYSA-N 0 0 427.512 -0.505 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)n2)cc1 ZINC000524018340 1072691957 /nfs/dbraw/zinc/69/19/57/1072691957.db2.gz UNMRMNKJCFPHFV-UHFFFAOYSA-N 0 0 449.537 -0.194 20 0 IBADRN COc1ccc(C(=O)N2CCC(NC(=O)Cn3ccc(=O)n(C)c3=O)CC2)cc1OC ZINC000524018359 1072691898 /nfs/dbraw/zinc/69/18/98/1072691898.db2.gz VQTFPHPGOJGDJF-UHFFFAOYSA-N 0 0 430.461 -0.015 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524018990 1072691994 /nfs/dbraw/zinc/69/19/94/1072691994.db2.gz GTKQDDFEVXXUBL-GOSISDBHSA-N 0 0 449.537 -0.513 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524018991 1072691972 /nfs/dbraw/zinc/69/19/72/1072691972.db2.gz GTKQDDFEVXXUBL-SFHVURJKSA-N 0 0 449.537 -0.513 20 0 IBADRN CCn1nc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(=O)c2ccccc21 ZINC000524019008 1072692029 /nfs/dbraw/zinc/69/20/29/1072692029.db2.gz HZNPVBONKSYKLT-UHFFFAOYSA-N 0 0 435.506 -0.249 20 0 IBADRN O=C(Cn1cnc2ccccc2c1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524019086 1072692073 /nfs/dbraw/zinc/69/20/73/1072692073.db2.gz NKPMITLBDKUENX-UHFFFAOYSA-N 0 0 435.506 -0.446 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000524019209 1072692090 /nfs/dbraw/zinc/69/20/90/1072692090.db2.gz WKJGDLYNPRPHTF-UHFFFAOYSA-N 0 0 426.495 -0.209 20 0 IBADRN O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524019213 1072691968 /nfs/dbraw/zinc/69/19/68/1072691968.db2.gz WZWCNNCDZPTBOG-HOTGVXAUSA-N 0 0 442.538 -0.681 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524019214 1072691942 /nfs/dbraw/zinc/69/19/42/1072691942.db2.gz WZWCNNCDZPTBOG-HZPDHXFCSA-N 0 0 442.538 -0.681 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524019215 1072692512 /nfs/dbraw/zinc/69/25/12/1072692512.db2.gz WZWCNNCDZPTBOG-IYBDPMFKSA-N 0 0 442.538 -0.681 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000524027129 1072693158 /nfs/dbraw/zinc/69/31/58/1072693158.db2.gz DMXJOZOSWWWFMN-UHFFFAOYSA-N 0 0 439.538 -0.113 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1S(N)(=O)=O ZINC000524027989 1072692984 /nfs/dbraw/zinc/69/29/84/1072692984.db2.gz FUASKRSVTNTSBJ-UHFFFAOYSA-N 0 0 447.539 -0.631 20 0 IBADRN CC(C)C(=O)N[C@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000524035699 1072693198 /nfs/dbraw/zinc/69/31/98/1072693198.db2.gz BGBRZQUJKXZWEV-KRWDZBQOSA-N 0 0 445.586 -0.026 20 0 IBADRN CC(C)C(=O)N[C@@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000524035702 1072693091 /nfs/dbraw/zinc/69/30/91/1072693091.db2.gz BGBRZQUJKXZWEV-QGZVFWFLSA-N 0 0 445.586 -0.026 20 0 IBADRN COCCN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@H]1C ZINC000524036499 1072693040 /nfs/dbraw/zinc/69/30/40/1072693040.db2.gz AEUCIVKQWOMJNC-INIZCTEOSA-N 0 0 433.575 -0.610 20 0 IBADRN COCCN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H]1C ZINC000524036500 1072693181 /nfs/dbraw/zinc/69/31/81/1072693181.db2.gz AEUCIVKQWOMJNC-MRXNPFEDSA-N 0 0 433.575 -0.610 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)[nH]1 ZINC000524040043 1072693875 /nfs/dbraw/zinc/69/38/75/1072693875.db2.gz DKRFDGVLEHXHDB-UHFFFAOYSA-N 0 0 447.521 -0.808 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524041274 1072692637 /nfs/dbraw/zinc/69/26/37/1072692637.db2.gz WXUPVLJRLVBAOQ-HNNXBMFYSA-N 0 0 434.493 -0.096 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524041275 1072692478 /nfs/dbraw/zinc/69/24/78/1072692478.db2.gz WXUPVLJRLVBAOQ-OAHLLOKOSA-N 0 0 434.493 -0.096 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)ccc21 ZINC000524041285 1072692544 /nfs/dbraw/zinc/69/25/44/1072692544.db2.gz XTBRFSJRQXVBPU-KRWDZBQOSA-N 0 0 436.534 -0.328 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)ccc21 ZINC000524041286 1072692522 /nfs/dbraw/zinc/69/25/22/1072692522.db2.gz XTBRFSJRQXVBPU-QGZVFWFLSA-N 0 0 436.534 -0.328 20 0 IBADRN COc1ccc(OCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000524041882 1072693854 /nfs/dbraw/zinc/69/38/54/1072693854.db2.gz HWZKWDNNHOIGAH-HNNXBMFYSA-N 0 0 425.507 -0.479 20 0 IBADRN COc1ccc(OCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000524041885 1072693784 /nfs/dbraw/zinc/69/37/84/1072693784.db2.gz HWZKWDNNHOIGAH-OAHLLOKOSA-N 0 0 425.507 -0.479 20 0 IBADRN O=C(CN1CCN(C(=O)CCS(=O)(=O)C2CCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000524042062 1072692386 /nfs/dbraw/zinc/69/23/86/1072692386.db2.gz QETIHNVUAOZZBR-HNNXBMFYSA-N 0 0 449.595 -0.819 20 0 IBADRN O=C(CN1CCN(C(=O)CCS(=O)(=O)C2CCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000524042063 1072692652 /nfs/dbraw/zinc/69/26/52/1072692652.db2.gz QETIHNVUAOZZBR-OAHLLOKOSA-N 0 0 449.595 -0.819 20 0 IBADRN COC(=O)Cc1nc(NC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)n[nH]1 ZINC000524043646 1072693018 /nfs/dbraw/zinc/69/30/18/1072693018.db2.gz DXRGAWOEMIKITK-UHFFFAOYSA-N 0 0 436.494 -0.226 20 0 IBADRN COC(=O)Cc1nc(NC(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)n[nH]1 ZINC000524048924 1072693759 /nfs/dbraw/zinc/69/37/59/1072693759.db2.gz LBUWSZITZIQQFE-UHFFFAOYSA-N 0 0 439.450 -0.198 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000524051518 1072693773 /nfs/dbraw/zinc/69/37/73/1072693773.db2.gz RLDKHBCSLHPTEN-VWKPWSFCSA-N 0 0 435.525 -0.464 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000524051519 1072693793 /nfs/dbraw/zinc/69/37/93/1072693793.db2.gz RLDKHBCSLHPTEN-WHSLLNHNSA-N 0 0 435.525 -0.464 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000524051520 1072693696 /nfs/dbraw/zinc/69/36/96/1072693696.db2.gz RLDKHBCSLHPTEN-XFQAVAEZSA-N 0 0 435.525 -0.464 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000524051521 1072693748 /nfs/dbraw/zinc/69/37/48/1072693748.db2.gz RLDKHBCSLHPTEN-ZOCZFRKYSA-N 0 0 435.525 -0.464 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)o1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524051537 1072693711 /nfs/dbraw/zinc/69/37/11/1072693711.db2.gz SRUUDDYAOILKHL-GFCCVEGCSA-N 0 0 443.298 -0.179 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)o1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524051538 1072693804 /nfs/dbraw/zinc/69/38/04/1072693804.db2.gz SRUUDDYAOILKHL-LBPRGKRZSA-N 0 0 443.298 -0.179 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)NCc1ccc(Cl)cc1 ZINC000524055085 1072693722 /nfs/dbraw/zinc/69/37/22/1072693722.db2.gz GJSHQYBNZPZDIM-AWEZNQCLSA-N 0 0 430.914 -0.099 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)NCc1ccc(Cl)cc1 ZINC000524055086 1072694230 /nfs/dbraw/zinc/69/42/30/1072694230.db2.gz GJSHQYBNZPZDIM-CQSZACIVSA-N 0 0 430.914 -0.099 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1F ZINC000524069101 1072693844 /nfs/dbraw/zinc/69/38/44/1072693844.db2.gz WHAKYGACUNLUIY-UHFFFAOYSA-N 0 0 442.469 -0.524 20 0 IBADRN C[C@H](NC(=O)[C@H]1COCC(=O)N1Cc1ccccc1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000524071061 1072693872 /nfs/dbraw/zinc/69/38/72/1072693872.db2.gz YUNKEVRMMFIPDY-BHYGNILZSA-N 0 0 437.518 -0.530 20 0 IBADRN C[C@H](NC(=O)[C@@H]1COCC(=O)N1Cc1ccccc1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000524071062 1072693858 /nfs/dbraw/zinc/69/38/58/1072693858.db2.gz YUNKEVRMMFIPDY-UAGQMJEPSA-N 0 0 437.518 -0.530 20 0 IBADRN C[C@H](NC(=O)[C@H]1COCC(=O)N1Cc1ccccc1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000524071063 1072693826 /nfs/dbraw/zinc/69/38/26/1072693826.db2.gz YUNKEVRMMFIPDY-USXIJHARSA-N 0 0 437.518 -0.530 20 0 IBADRN C[C@H](NC(=O)[C@@H]1COCC(=O)N1Cc1ccccc1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000524071064 1072693733 /nfs/dbraw/zinc/69/37/33/1072693733.db2.gz YUNKEVRMMFIPDY-XIRDDKMYSA-N 0 0 437.518 -0.530 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000524072846 1072694208 /nfs/dbraw/zinc/69/42/08/1072694208.db2.gz QIFMSRCNMHLNRO-UHFFFAOYSA-N 0 0 427.451 -0.248 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1F ZINC000524073565 1072694342 /nfs/dbraw/zinc/69/43/42/1072694342.db2.gz QMQYBJMNACXWDZ-UHFFFAOYSA-N 0 0 425.435 -0.589 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCNS(=O)(=O)c2ccccc2)n1)N1CCOCC1 ZINC000524086445 1072694247 /nfs/dbraw/zinc/69/42/47/1072694247.db2.gz VHHOMOPWZQZFIW-UHFFFAOYSA-N 0 0 436.494 -0.158 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCS(=O)(=O)NCC2CCC2)n1)N1CCOCC1 ZINC000524088887 1072694285 /nfs/dbraw/zinc/69/42/85/1072694285.db2.gz DCNQMNHSQBXFKC-UHFFFAOYSA-N 0 0 428.515 -0.417 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n(C)n1 ZINC000524089882 1072694373 /nfs/dbraw/zinc/69/43/73/1072694373.db2.gz FRYHYLSFPCIWPB-UHFFFAOYSA-N 0 0 435.510 -0.670 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000524092594 1072694363 /nfs/dbraw/zinc/69/43/63/1072694363.db2.gz VEQQIFGRHFXRSU-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN O=C(NC[C@H](O)[C@@H]1CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000524093086 1072695429 /nfs/dbraw/zinc/69/54/29/1072695429.db2.gz NQKSAUPMSRKYPD-HFTRVMKXSA-N 0 0 433.461 -0.847 20 0 IBADRN O=C(NC[C@H](O)[C@H]1CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000524093087 1072695550 /nfs/dbraw/zinc/69/55/50/1072695550.db2.gz NQKSAUPMSRKYPD-QILLFSRXSA-N 0 0 433.461 -0.847 20 0 IBADRN O=C(NC[C@H](O)[C@@H]1CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000524093088 1072695583 /nfs/dbraw/zinc/69/55/83/1072695583.db2.gz NQKSAUPMSRKYPD-UWWQBHOKSA-N 0 0 433.461 -0.847 20 0 IBADRN O=C(NC[C@H](O)[C@H]1CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000524093089 1072695563 /nfs/dbraw/zinc/69/55/63/1072695563.db2.gz NQKSAUPMSRKYPD-ZVZYQTTQSA-N 0 0 433.461 -0.847 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCOCCO)c2)CC1 ZINC000524093770 1072695511 /nfs/dbraw/zinc/69/55/11/1072695511.db2.gz WFRGQEQGOSTSMQ-UHFFFAOYSA-N 0 0 428.511 -0.924 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)c1 ZINC000524094032 1072695589 /nfs/dbraw/zinc/69/55/89/1072695589.db2.gz HYMVDQJXEIUBLM-UHFFFAOYSA-N 0 0 445.501 -0.263 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000524095014 1072695586 /nfs/dbraw/zinc/69/55/86/1072695586.db2.gz ZGSXTWXKYPGGCY-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1S(N)(=O)=O ZINC000524095380 1072695567 /nfs/dbraw/zinc/69/55/67/1072695567.db2.gz JHCZYGLZDXLWTL-UHFFFAOYSA-N 0 0 425.511 -0.885 20 0 IBADRN Cn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)n1 ZINC000524096363 1072695540 /nfs/dbraw/zinc/69/55/40/1072695540.db2.gz LTVNEHMAIWVSEM-UHFFFAOYSA-N 0 0 435.462 -0.337 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)CC1 ZINC000524096571 1074356718 /nfs/dbraw/zinc/35/67/18/1074356718.db2.gz QRODPGPGOABALF-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN Cc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000524097160 1072695415 /nfs/dbraw/zinc/69/54/15/1072695415.db2.gz CKVRTAHZELEFDS-UHFFFAOYSA-N 0 0 425.423 -0.545 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000524097180 1072695471 /nfs/dbraw/zinc/69/54/71/1072695471.db2.gz DJPIZYDEASCIAW-UHFFFAOYSA-N 0 0 431.428 -0.460 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000524097361 1072695613 /nfs/dbraw/zinc/69/56/13/1072695613.db2.gz NGRQGCYLIFGEPI-UHFFFAOYSA-N 0 0 432.481 -0.038 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)C ZINC000524097724 1072695503 /nfs/dbraw/zinc/69/55/03/1072695503.db2.gz UHEDHGJKDVEILN-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)cc1 ZINC000524099993 1072694420 /nfs/dbraw/zinc/69/44/20/1072694420.db2.gz UTQKJGCGIUPMGU-UHFFFAOYSA-N 0 0 438.437 -0.034 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000524105302 1072694335 /nfs/dbraw/zinc/69/43/35/1072694335.db2.gz VFJANYXMZCAPRK-UHFFFAOYSA-N 0 0 437.478 -0.062 20 0 IBADRN CC[C@@H](C)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000524106730 1072694293 /nfs/dbraw/zinc/69/42/93/1072694293.db2.gz FVCRRJSFDKTFDW-BFUOFWGJSA-N 0 0 440.522 -0.341 20 0 IBADRN CC[C@H](C)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000524106732 1072694312 /nfs/dbraw/zinc/69/43/12/1072694312.db2.gz FVCRRJSFDKTFDW-DJJJIMSYSA-N 0 0 440.522 -0.341 20 0 IBADRN CC[C@H](C)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000524106734 1072694306 /nfs/dbraw/zinc/69/43/06/1072694306.db2.gz FVCRRJSFDKTFDW-ORAYPTAESA-N 0 0 440.522 -0.341 20 0 IBADRN CC[C@@H](C)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000524106735 1072694414 /nfs/dbraw/zinc/69/44/14/1072694414.db2.gz FVCRRJSFDKTFDW-YJYMSZOUSA-N 0 0 440.522 -0.341 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC(C)(C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000524107886 1072695009 /nfs/dbraw/zinc/69/50/09/1072695009.db2.gz CWTHLEUQUICQEQ-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000524108094 1072694869 /nfs/dbraw/zinc/69/48/69/1072694869.db2.gz MDHAMRHSLFWFQU-IBGZPJMESA-N 0 0 443.522 -0.142 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000524108095 1072694873 /nfs/dbraw/zinc/69/48/73/1072694873.db2.gz MDHAMRHSLFWFQU-LJQANCHMSA-N 0 0 443.522 -0.142 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000524108275 1072694907 /nfs/dbraw/zinc/69/49/07/1072694907.db2.gz SWZXIXPBOKKRSI-GOSISDBHSA-N 0 0 429.495 -0.532 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000524108276 1072694977 /nfs/dbraw/zinc/69/49/77/1072694977.db2.gz SWZXIXPBOKKRSI-SFHVURJKSA-N 0 0 429.495 -0.532 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)c2cc(C(N)=O)n(C)c2)CC1 ZINC000524108420 1072694861 /nfs/dbraw/zinc/69/48/61/1072694861.db2.gz ACVHAMPVTPEPRG-UHFFFAOYSA-N 0 0 441.535 -0.457 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000524108955 1072694777 /nfs/dbraw/zinc/69/47/77/1072694777.db2.gz GIESTVXYMALVFM-GFCCVEGCSA-N 0 0 443.478 -0.420 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000524108956 1072694926 /nfs/dbraw/zinc/69/49/26/1072694926.db2.gz GIESTVXYMALVFM-LBPRGKRZSA-N 0 0 443.478 -0.420 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000524109041 1072694767 /nfs/dbraw/zinc/69/47/67/1072694767.db2.gz IXALEPVZHPJELO-UHFFFAOYSA-N 0 0 439.476 -0.370 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000524110317 1072694824 /nfs/dbraw/zinc/69/48/24/1072694824.db2.gz VFHPIHTVWDTAIV-IBGZPJMESA-N 0 0 442.538 -0.535 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000524110318 1072695599 /nfs/dbraw/zinc/69/55/99/1072695599.db2.gz VFHPIHTVWDTAIV-LJQANCHMSA-N 0 0 442.538 -0.535 20 0 IBADRN Cc1cc(C(=O)N2CCCC[C@H]2C(=O)N2CCOCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000524111864 1072695528 /nfs/dbraw/zinc/69/55/28/1072695528.db2.gz ICTFRMHTMYLPLS-HNNXBMFYSA-N 0 0 429.477 -0.206 20 0 IBADRN Cc1cc(C(=O)N2CCCC[C@@H]2C(=O)N2CCOCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000524111865 1072695577 /nfs/dbraw/zinc/69/55/77/1072695577.db2.gz ICTFRMHTMYLPLS-OAHLLOKOSA-N 0 0 429.477 -0.206 20 0 IBADRN O=C(NCc1nccn1CC(F)(F)F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524117634 1072696074 /nfs/dbraw/zinc/69/60/74/1072696074.db2.gz WLMYGDKBUBXSNK-UHFFFAOYSA-N 0 0 440.448 -0.150 20 0 IBADRN CN(CCS(=O)(=O)N1CCCS(=O)(=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000524120943 1072696129 /nfs/dbraw/zinc/69/61/29/1072696129.db2.gz YSZUQGHXJKZTHF-UHFFFAOYSA-N 0 0 428.529 -0.104 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H](C)CN2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000524121192 1072695970 /nfs/dbraw/zinc/69/59/70/1072695970.db2.gz FPYYKEZUNAUNFV-BFUOFWGJSA-N 0 0 440.522 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](C)CN2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000524121193 1072696009 /nfs/dbraw/zinc/69/60/09/1072696009.db2.gz FPYYKEZUNAUNFV-DJJJIMSYSA-N 0 0 440.522 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H](C)CN2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000524121194 1072696193 /nfs/dbraw/zinc/69/61/93/1072696193.db2.gz FPYYKEZUNAUNFV-ORAYPTAESA-N 0 0 440.522 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H](C)CN2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000524121195 1072696114 /nfs/dbraw/zinc/69/61/14/1072696114.db2.gz FPYYKEZUNAUNFV-YJYMSZOUSA-N 0 0 440.522 -0.004 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000524121456 1072696135 /nfs/dbraw/zinc/69/61/35/1072696135.db2.gz YAUGHYTZYBLQNS-UHFFFAOYSA-N 0 0 426.477 -0.213 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)Cn3ccc(=O)[nH]c3=O)cc2)CC1 ZINC000524127861 1072696519 /nfs/dbraw/zinc/69/65/19/1072696519.db2.gz PVWUCMCBNZZIGI-UHFFFAOYSA-N 0 0 443.504 -0.149 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000524128804 1072696556 /nfs/dbraw/zinc/69/65/56/1072696556.db2.gz MORNEQFAVNOSDM-UHFFFAOYSA-N 0 0 428.555 -0.222 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC(=O)NC(C)(C)C)CC2)C[C@H](C)O1 ZINC000524129765 1072697109 /nfs/dbraw/zinc/69/71/09/1072697109.db2.gz LNHDGSCSDVQIRB-GASCZTMLSA-N 0 0 433.575 -0.028 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC(=O)NC(C)(C)C)CC2)C[C@H](C)O1 ZINC000524129766 1072697001 /nfs/dbraw/zinc/69/70/01/1072697001.db2.gz LNHDGSCSDVQIRB-GJZGRUSLSA-N 0 0 433.575 -0.028 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC(=O)NC(C)(C)C)CC2)C[C@@H](C)O1 ZINC000524129767 1072697149 /nfs/dbraw/zinc/69/71/49/1072697149.db2.gz LNHDGSCSDVQIRB-HUUCEWRRSA-N 0 0 433.575 -0.028 20 0 IBADRN Cc1cc(C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000524132349 1072697182 /nfs/dbraw/zinc/69/71/82/1072697182.db2.gz NJUOQRFJBSOJRM-UHFFFAOYSA-N 0 0 437.460 -0.603 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000524133555 1072697126 /nfs/dbraw/zinc/69/71/26/1072697126.db2.gz SSILECLJXQUJDE-UHFFFAOYSA-N 0 0 440.460 -0.497 20 0 IBADRN Cc1cc(C(=O)NCCCn2nc3n(c2=O)CCCC3)nc2c1c(=O)n(C)c(=O)n2C ZINC000524134681 1072697066 /nfs/dbraw/zinc/69/70/66/1072697066.db2.gz QEKPOQHAYFCFDL-UHFFFAOYSA-N 0 0 427.465 -0.545 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)NC1CCC(C)CC1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000524136161 1072696453 /nfs/dbraw/zinc/69/64/53/1072696453.db2.gz KZEQHDKAMUXYMP-FUUBYGMNSA-N 0 0 437.584 -0.460 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)NC1CCC(C)CC1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000524136162 1072696571 /nfs/dbraw/zinc/69/65/71/1072696571.db2.gz KZEQHDKAMUXYMP-GQKFXUNGSA-N 0 0 437.584 -0.460 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)NC1CCC(C)CC1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000524136163 1072696513 /nfs/dbraw/zinc/69/65/13/1072696513.db2.gz KZEQHDKAMUXYMP-PIOWNMBXSA-N 0 0 437.584 -0.460 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)NC1CCC(C)CC1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000524136164 1072696553 /nfs/dbraw/zinc/69/65/53/1072696553.db2.gz KZEQHDKAMUXYMP-RAFNIBEQSA-N 0 0 437.584 -0.460 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)cn1C ZINC000524136258 1072696481 /nfs/dbraw/zinc/69/64/81/1072696481.db2.gz RDTJGYVFJCCUGJ-UHFFFAOYSA-N 0 0 432.466 -0.658 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2n[nH]cc2Br)CC1 ZINC000524140277 1072696535 /nfs/dbraw/zinc/69/65/35/1072696535.db2.gz UFHLSYHCICPXHZ-UHFFFAOYSA-N 0 0 427.259 -0.325 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1OC ZINC000524149541 1072697598 /nfs/dbraw/zinc/69/75/98/1072697598.db2.gz VZLDRWHUJTUXGW-UHFFFAOYSA-N 0 0 425.463 -0.407 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000524149816 1072697117 /nfs/dbraw/zinc/69/71/17/1072697117.db2.gz IBUNGWWEAGUCKR-NEPJUHHUSA-N 0 0 448.519 -0.301 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000524149817 1072697039 /nfs/dbraw/zinc/69/70/39/1072697039.db2.gz IBUNGWWEAGUCKR-NWDGAFQWSA-N 0 0 448.519 -0.301 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000524149818 1072697030 /nfs/dbraw/zinc/69/70/30/1072697030.db2.gz IBUNGWWEAGUCKR-RYUDHWBXSA-N 0 0 448.519 -0.301 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000524149819 1072697172 /nfs/dbraw/zinc/69/71/72/1072697172.db2.gz IBUNGWWEAGUCKR-VXGBXAGGSA-N 0 0 448.519 -0.301 20 0 IBADRN Cn1c(C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000524150806 1072697469 /nfs/dbraw/zinc/69/74/69/1072697469.db2.gz MZMQIAQVXKHQQY-UHFFFAOYSA-N 0 0 444.536 -0.218 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000524159723 1072697454 /nfs/dbraw/zinc/69/74/54/1072697454.db2.gz SGNHCSVKDLTGQU-UHFFFAOYSA-N 0 0 425.511 -0.768 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2ncn(CC(=O)NCc3ccccc3)n2)cn1C ZINC000524162124 1072697495 /nfs/dbraw/zinc/69/74/95/1072697495.db2.gz IENPYDSWMJUBEO-UHFFFAOYSA-N 0 0 446.493 -0.447 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)[C@H](CCC(N)=O)NC(C)=O)c2)CC1 ZINC000524164562 1072697589 /nfs/dbraw/zinc/69/75/89/1072697589.db2.gz HACNEBREKALUAA-KRWDZBQOSA-N 0 0 439.538 -0.279 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)[C@@H](CCC(N)=O)NC(C)=O)c2)CC1 ZINC000524164563 1072697627 /nfs/dbraw/zinc/69/76/27/1072697627.db2.gz HACNEBREKALUAA-QGZVFWFLSA-N 0 0 439.538 -0.279 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000524170690 1072698291 /nfs/dbraw/zinc/69/82/91/1072698291.db2.gz QJYGRASICBKCIU-LLVKDONJSA-N 0 0 434.478 -0.781 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000524170691 1072698099 /nfs/dbraw/zinc/69/80/99/1072698099.db2.gz QJYGRASICBKCIU-NSHDSACASA-N 0 0 434.478 -0.781 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000524170992 1072698063 /nfs/dbraw/zinc/69/80/63/1072698063.db2.gz FEOWLEZCLWUQAY-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN COCc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000524172496 1072698298 /nfs/dbraw/zinc/69/82/98/1072698298.db2.gz NVNOYGFRAQDGPB-UHFFFAOYSA-N 0 0 432.568 -0.369 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(-c4cccs4)nn3CCO)c2c(=O)n(C)c1=O ZINC000524175342 1072698110 /nfs/dbraw/zinc/69/81/10/1072698110.db2.gz DKBSSCDCGHVOOP-UHFFFAOYSA-N 0 0 429.462 -0.010 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@@H]1C(=O)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000524180313 1072697636 /nfs/dbraw/zinc/69/76/36/1072697636.db2.gz XMPWXEXMFHBVGT-DLBZAZTESA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@@H]1C(=O)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000524180314 1072697485 /nfs/dbraw/zinc/69/74/85/1072697485.db2.gz XMPWXEXMFHBVGT-IAGOWNOFSA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@H]1C(=O)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000524180315 1072697577 /nfs/dbraw/zinc/69/75/77/1072697577.db2.gz XMPWXEXMFHBVGT-IRXDYDNUSA-N 0 0 431.555 -0.638 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@H]1C(=O)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000524180316 1072697538 /nfs/dbraw/zinc/69/75/38/1072697538.db2.gz XMPWXEXMFHBVGT-SJORKVTESA-N 0 0 431.555 -0.638 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1 ZINC000524180574 1072697568 /nfs/dbraw/zinc/69/75/68/1072697568.db2.gz NLRJBJXOCZZUOA-CYBMUJFWSA-N 0 0 439.523 -0.137 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1 ZINC000524180575 1072697634 /nfs/dbraw/zinc/69/76/34/1072697634.db2.gz NLRJBJXOCZZUOA-ZDUSSCGKSA-N 0 0 439.523 -0.137 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000524180607 1072697560 /nfs/dbraw/zinc/69/75/60/1072697560.db2.gz YUIHVKFORIZNSK-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000524180608 1072697632 /nfs/dbraw/zinc/69/76/32/1072697632.db2.gz YUIHVKFORIZNSK-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)CC1 ZINC000524180759 1072697546 /nfs/dbraw/zinc/69/75/46/1072697546.db2.gz BOPSSRUOVHQKFU-UHFFFAOYSA-N 0 0 432.449 -0.413 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000524181541 1072698281 /nfs/dbraw/zinc/69/82/81/1072698281.db2.gz LMWKOLHIVJKQDC-UHFFFAOYSA-N 0 0 430.509 -0.164 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000524182670 1072698209 /nfs/dbraw/zinc/69/82/09/1072698209.db2.gz AFZVJSGLPAOCFH-UHFFFAOYSA-N 0 0 431.467 -0.133 20 0 IBADRN Cc1nc(-c2cnn(C)c2)sc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524185000 1072698178 /nfs/dbraw/zinc/69/81/78/1072698178.db2.gz CZCKKJAVZKXWAA-UHFFFAOYSA-N 0 0 432.506 -0.005 20 0 IBADRN O=C(Cn1c(=O)[nH]c(=O)c2ccccc21)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000524197541 1072698828 /nfs/dbraw/zinc/69/88/28/1072698828.db2.gz PCIJLLGRCDLHMS-UHFFFAOYSA-N 0 0 437.460 -0.253 20 0 IBADRN Cn1c(NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000524199007 1072698839 /nfs/dbraw/zinc/69/88/39/1072698839.db2.gz IIYDARDFJBDIJI-UHFFFAOYSA-N 0 0 447.521 -0.079 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1-n1nnnc1C ZINC000524201998 1072699370 /nfs/dbraw/zinc/69/93/70/1072699370.db2.gz XJGGPVBSAPINKU-UHFFFAOYSA-N 0 0 437.482 -0.588 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCCN2C(=O)CCC2=O)CC1 ZINC000524202408 1072698272 /nfs/dbraw/zinc/69/82/72/1072698272.db2.gz POURFFOKWGVJQV-UHFFFAOYSA-N 0 0 437.522 -0.429 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC[S@@](C)=O)c2)CC1 ZINC000524203583 1072698128 /nfs/dbraw/zinc/69/81/28/1072698128.db2.gz KLKQRJKIQAPHMY-MUUNZHRXSA-N 0 0 444.579 -0.164 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC[S@](C)=O)c2)CC1 ZINC000524203584 1072698857 /nfs/dbraw/zinc/69/88/57/1072698857.db2.gz KLKQRJKIQAPHMY-NDEPHWFRSA-N 0 0 444.579 -0.164 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC[S@@](C)=O)c2)CC1 ZINC000524205115 1072698895 /nfs/dbraw/zinc/69/88/95/1072698895.db2.gz UELVEALTVZQJJY-HHHXNRCGSA-N 0 0 430.552 -0.554 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC[S@](C)=O)c2)CC1 ZINC000524205116 1072698726 /nfs/dbraw/zinc/69/87/26/1072698726.db2.gz UELVEALTVZQJJY-MHZLTWQESA-N 0 0 430.552 -0.554 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000524205621 1072698708 /nfs/dbraw/zinc/69/87/08/1072698708.db2.gz DLKQPYWRGLAMQL-CABCVRRESA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000524205622 1072698808 /nfs/dbraw/zinc/69/88/08/1072698808.db2.gz DLKQPYWRGLAMQL-GJZGRUSLSA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC000524205624 1072698759 /nfs/dbraw/zinc/69/87/59/1072698759.db2.gz DLKQPYWRGLAMQL-HUUCEWRRSA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC000524205625 1072698850 /nfs/dbraw/zinc/69/88/50/1072698850.db2.gz DLKQPYWRGLAMQL-LSDHHAIUSA-N 0 0 431.536 -0.092 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(CS(C)(=O)=O)c2C)CC1 ZINC000524205687 1072698784 /nfs/dbraw/zinc/69/87/84/1072698784.db2.gz FWBGMEPTPUXYLU-UHFFFAOYSA-N 0 0 431.536 -0.028 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000524205717 1072698886 /nfs/dbraw/zinc/69/88/86/1072698886.db2.gz HQNVVRUAERGTQQ-AWEZNQCLSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000524205718 1072698743 /nfs/dbraw/zinc/69/87/43/1072698743.db2.gz HQNVVRUAERGTQQ-CQSZACIVSA-N 0 0 432.524 -0.537 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CN3CCC(=O)NC3=O)CC2)cc1 ZINC000524214620 1072699441 /nfs/dbraw/zinc/69/94/41/1072699441.db2.gz WKKRRRIUDVILBZ-UHFFFAOYSA-N 0 0 437.522 -0.641 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000524223673 1072699272 /nfs/dbraw/zinc/69/92/72/1072699272.db2.gz CFIHMFDYDXBBKB-UHFFFAOYSA-N 0 0 425.554 -0.166 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000524226796 1072699332 /nfs/dbraw/zinc/69/93/32/1072699332.db2.gz SJKRQTZZTQTBGU-HNNXBMFYSA-N 0 0 441.506 -0.120 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000524226856 1072699412 /nfs/dbraw/zinc/69/94/12/1072699412.db2.gz SJKRQTZZTQTBGU-OAHLLOKOSA-N 0 0 441.506 -0.120 20 0 IBADRN CCN(C(=O)CN(C)S(C)(=O)=O)c1ccc(NC(=O)CN(C)S(C)(=O)=O)cc1 ZINC000524227385 1072699429 /nfs/dbraw/zinc/69/94/29/1072699429.db2.gz HOVJGUPLJUUKQP-UHFFFAOYSA-N 0 0 434.540 -0.239 20 0 IBADRN CN(CCS(=O)(=O)N[C@@H]1CCCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000524230572 1072699468 /nfs/dbraw/zinc/69/94/68/1072699468.db2.gz GQDMGMCZVUODLG-CYBMUJFWSA-N 0 0 428.529 -0.057 20 0 IBADRN CN(CCS(=O)(=O)N[C@H]1CCCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000524230573 1072699420 /nfs/dbraw/zinc/69/94/20/1072699420.db2.gz GQDMGMCZVUODLG-ZDUSSCGKSA-N 0 0 428.529 -0.057 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C3CC3)[C@H]3CC(=O)NC3=O)CC2)cc1 ZINC000524234567 1072699949 /nfs/dbraw/zinc/69/99/49/1072699949.db2.gz YRVJDNSYBXKGJQ-KRWDZBQOSA-N 0 0 434.518 -0.293 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C3CC3)[C@@H]3CC(=O)NC3=O)CC2)cc1 ZINC000524234568 1072699979 /nfs/dbraw/zinc/69/99/79/1072699979.db2.gz YRVJDNSYBXKGJQ-QGZVFWFLSA-N 0 0 434.518 -0.293 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc(O)cc3)CC2)C[C@H](C)O1 ZINC000524237551 1072699835 /nfs/dbraw/zinc/69/98/35/1072699835.db2.gz UFSFIXOYLOGSEZ-GASCZTMLSA-N 0 0 440.522 -0.380 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc(O)cc3)CC2)C[C@H](C)O1 ZINC000524237552 1072700011 /nfs/dbraw/zinc/70/00/11/1072700011.db2.gz UFSFIXOYLOGSEZ-GJZGRUSLSA-N 0 0 440.522 -0.380 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc(O)cc3)CC2)C[C@@H](C)O1 ZINC000524237553 1072699897 /nfs/dbraw/zinc/69/98/97/1072699897.db2.gz UFSFIXOYLOGSEZ-HUUCEWRRSA-N 0 0 440.522 -0.380 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1C ZINC000524243525 1072699816 /nfs/dbraw/zinc/69/98/16/1072699816.db2.gz FNNPKGGQOFCUHQ-UHFFFAOYSA-N 0 0 438.506 -0.355 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)cn1 ZINC000524246233 1072699875 /nfs/dbraw/zinc/69/98/75/1072699875.db2.gz HJEDDJBJUYLPQZ-UHFFFAOYSA-N 0 0 441.492 -0.409 20 0 IBADRN Cc1cc(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000524248711 1072699806 /nfs/dbraw/zinc/69/98/06/1072699806.db2.gz CNRBHIJCTPEKMH-UHFFFAOYSA-N 0 0 431.474 -0.439 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)[C@H](C)NS(C)(=O)=O)cc2)CC1 ZINC000524251102 1072700036 /nfs/dbraw/zinc/70/00/36/1072700036.db2.gz CBTNJNWXSLYKTB-INIZCTEOSA-N 0 0 440.566 -0.174 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)[C@@H](C)NS(C)(=O)=O)cc2)CC1 ZINC000524251103 1072699785 /nfs/dbraw/zinc/69/97/85/1072699785.db2.gz CBTNJNWXSLYKTB-MRXNPFEDSA-N 0 0 440.566 -0.174 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000524258463 1072699916 /nfs/dbraw/zinc/69/99/16/1072699916.db2.gz PLUPQOSZWBYNLU-HNNXBMFYSA-N 0 0 439.542 -0.762 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000524258464 1072700049 /nfs/dbraw/zinc/70/00/49/1072700049.db2.gz PLUPQOSZWBYNLU-OAHLLOKOSA-N 0 0 439.542 -0.762 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(C(N)=O)c(F)c3)CC2)no1 ZINC000524258922 1073330168 /nfs/dbraw/zinc/33/01/68/1073330168.db2.gz BXKNBDFFCZJKKH-UHFFFAOYSA-N 0 0 432.412 -0.058 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000524260409 1072700056 /nfs/dbraw/zinc/70/00/56/1072700056.db2.gz ANONVNHITAOFAK-INIZCTEOSA-N 0 0 440.522 -0.021 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000524260410 1072699970 /nfs/dbraw/zinc/69/99/70/1072699970.db2.gz ANONVNHITAOFAK-MRXNPFEDSA-N 0 0 440.522 -0.021 20 0 IBADRN Cn1c(C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)cc2c1n(C)c(=O)n(C)c2=O ZINC000524265425 1072700426 /nfs/dbraw/zinc/70/04/26/1072700426.db2.gz IUWWHIZCNJVXGV-UHFFFAOYSA-N 0 0 442.480 -0.178 20 0 IBADRN CS(=O)(=O)N1CCCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000524267320 1072700461 /nfs/dbraw/zinc/70/04/61/1072700461.db2.gz NSBSMSOTNLQHOD-UHFFFAOYSA-N 0 0 437.565 -0.118 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000524268321 1072700530 /nfs/dbraw/zinc/70/05/30/1072700530.db2.gz HQANRXGEZPQARG-UHFFFAOYSA-N 0 0 434.540 -0.770 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000524271255 1072700439 /nfs/dbraw/zinc/70/04/39/1072700439.db2.gz FMMOFOKDGGUFST-UHFFFAOYSA-N 0 0 427.508 -0.496 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000524272535 1072701040 /nfs/dbraw/zinc/70/10/40/1072701040.db2.gz BPODJKMAZQWJBV-AWEZNQCLSA-N 0 0 431.492 -0.721 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000524272536 1072701076 /nfs/dbraw/zinc/70/10/76/1072701076.db2.gz BPODJKMAZQWJBV-CQSZACIVSA-N 0 0 431.492 -0.721 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C2CC2)[C@@H]2CC(=O)NC2=O)cc1OC ZINC000524275893 1072700885 /nfs/dbraw/zinc/70/08/85/1072700885.db2.gz BIKAWCAKGDFJFM-CYBMUJFWSA-N 0 0 425.463 -0.222 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)cc1OC ZINC000524275894 1072700960 /nfs/dbraw/zinc/70/09/60/1072700960.db2.gz BIKAWCAKGDFJFM-ZDUSSCGKSA-N 0 0 425.463 -0.222 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C3CC3)[C@H]3CC(=O)NC3=O)c2)CC1 ZINC000524276167 1072700986 /nfs/dbraw/zinc/70/09/86/1072700986.db2.gz YSYDZGXZUYNOKP-KRWDZBQOSA-N 0 0 448.501 -0.441 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C3CC3)[C@@H]3CC(=O)NC3=O)c2)CC1 ZINC000524276168 1072700952 /nfs/dbraw/zinc/70/09/52/1072700952.db2.gz YSYDZGXZUYNOKP-QGZVFWFLSA-N 0 0 448.501 -0.441 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000524281877 1072702019 /nfs/dbraw/zinc/70/20/19/1072702019.db2.gz DXYODVOYAQTBIF-GOSISDBHSA-N 0 0 440.478 -0.397 20 0 IBADRN COC[C@]1(C)NC(=O)N(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000524281878 1072701922 /nfs/dbraw/zinc/70/19/22/1072701922.db2.gz DXYODVOYAQTBIF-SFHVURJKSA-N 0 0 440.478 -0.397 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000524289320 1072700354 /nfs/dbraw/zinc/70/03/54/1072700354.db2.gz NABZYWMJJHUBMO-HNNXBMFYSA-N 0 0 434.522 -0.037 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000524289321 1072700515 /nfs/dbraw/zinc/70/05/15/1072700515.db2.gz NABZYWMJJHUBMO-OAHLLOKOSA-N 0 0 434.522 -0.037 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000524299019 1072703030 /nfs/dbraw/zinc/70/30/30/1072703030.db2.gz ILBCXHLZHOEXDM-CVEARBPZSA-N 0 0 447.496 -0.674 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000524299020 1072702940 /nfs/dbraw/zinc/70/29/40/1072702940.db2.gz ILBCXHLZHOEXDM-HOTGVXAUSA-N 0 0 447.496 -0.674 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000524299021 1072703080 /nfs/dbraw/zinc/70/30/80/1072703080.db2.gz ILBCXHLZHOEXDM-HZPDHXFCSA-N 0 0 447.496 -0.674 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000524299022 1072703071 /nfs/dbraw/zinc/70/30/71/1072703071.db2.gz ILBCXHLZHOEXDM-JKSUJKDBSA-N 0 0 447.496 -0.674 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000524299581 1072703060 /nfs/dbraw/zinc/70/30/60/1072703060.db2.gz AQSXGHIIMINAJW-UHFFFAOYSA-N 0 0 434.478 -0.656 20 0 IBADRN O=C(CN1CCCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)N1CCCC1 ZINC000524304487 1072703009 /nfs/dbraw/zinc/70/30/09/1072703009.db2.gz QTKTXAQEAJTOIG-IBGZPJMESA-N 0 0 435.569 -0.676 20 0 IBADRN O=C(CN1CCCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)N1CCCC1 ZINC000524304488 1072702839 /nfs/dbraw/zinc/70/28/39/1072702839.db2.gz QTKTXAQEAJTOIG-LJQANCHMSA-N 0 0 435.569 -0.676 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000524306476 1072703053 /nfs/dbraw/zinc/70/30/53/1072703053.db2.gz KMFRVIOIOPGRTC-UHFFFAOYSA-N 0 0 437.474 -0.616 20 0 IBADRN O=S(=O)(N1CCN(Cc2ccc3c(c2)OCCO3)CC1)N1CCS(=O)(=O)CC1 ZINC000524310439 1072703547 /nfs/dbraw/zinc/70/35/47/1072703547.db2.gz GJICVPXBUIZNPP-UHFFFAOYSA-N 0 0 431.536 -0.449 20 0 IBADRN O=S(=O)(N1CCN(Cc2nc(-c3ccccc3)no2)CC1)N1CCS(=O)(=O)CC1 ZINC000524310457 1072703574 /nfs/dbraw/zinc/70/35/74/1072703574.db2.gz JSWKDLPKOWKGKQ-UHFFFAOYSA-N 0 0 441.535 -0.171 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)C1 ZINC000524311237 1072702996 /nfs/dbraw/zinc/70/29/96/1072702996.db2.gz JBMCVZREHUUKGI-AWEZNQCLSA-N 0 0 446.551 -0.276 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)C1 ZINC000524311239 1072703493 /nfs/dbraw/zinc/70/34/93/1072703493.db2.gz JBMCVZREHUUKGI-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1 ZINC000524312091 1072703518 /nfs/dbraw/zinc/70/35/18/1072703518.db2.gz DIWMVTGDNIYTBI-DOMZBBRYSA-N 0 0 425.463 -0.506 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1 ZINC000524312092 1072703469 /nfs/dbraw/zinc/70/34/69/1072703469.db2.gz DIWMVTGDNIYTBI-IUODEOHRSA-N 0 0 425.463 -0.506 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1 ZINC000524312093 1072703463 /nfs/dbraw/zinc/70/34/63/1072703463.db2.gz DIWMVTGDNIYTBI-SWLSCSKDSA-N 0 0 425.463 -0.506 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1 ZINC000524312094 1072703524 /nfs/dbraw/zinc/70/35/24/1072703524.db2.gz DIWMVTGDNIYTBI-WFASDCNBSA-N 0 0 425.463 -0.506 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1 ZINC000524312142 1072703628 /nfs/dbraw/zinc/70/36/28/1072703628.db2.gz RMSXMNWTRCETBM-AWEZNQCLSA-N 0 0 440.522 -0.059 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1 ZINC000524312143 1072703451 /nfs/dbraw/zinc/70/34/51/1072703451.db2.gz RMSXMNWTRCETBM-CQSZACIVSA-N 0 0 440.522 -0.059 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000524312623 1072704059 /nfs/dbraw/zinc/70/40/59/1072704059.db2.gz VKCTYVVYFZTWQG-HNNXBMFYSA-N 0 0 444.535 -0.394 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000524312624 1072703980 /nfs/dbraw/zinc/70/39/80/1072703980.db2.gz VKCTYVVYFZTWQG-OAHLLOKOSA-N 0 0 444.535 -0.394 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)ccc1C ZINC000524313126 1072704093 /nfs/dbraw/zinc/70/40/93/1072704093.db2.gz WUYZEBHLXOLEBX-CYBMUJFWSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)ccc1C ZINC000524313127 1072704077 /nfs/dbraw/zinc/70/40/77/1072704077.db2.gz WUYZEBHLXOLEBX-ZDUSSCGKSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000524313581 1072704110 /nfs/dbraw/zinc/70/41/10/1072704110.db2.gz XSQSMGAHIOGCNX-HNNXBMFYSA-N 0 0 426.495 -0.368 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000524313582 1072704044 /nfs/dbraw/zinc/70/40/44/1072704044.db2.gz XSQSMGAHIOGCNX-OAHLLOKOSA-N 0 0 426.495 -0.368 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)C1 ZINC000524313583 1072704074 /nfs/dbraw/zinc/70/40/74/1072704074.db2.gz YMJMCMQJTWZERG-HNNXBMFYSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)C1 ZINC000524313584 1072704084 /nfs/dbraw/zinc/70/40/84/1072704084.db2.gz YMJMCMQJTWZERG-OAHLLOKOSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)C1 ZINC000524313993 1072703987 /nfs/dbraw/zinc/70/39/87/1072703987.db2.gz HVFIYPGSCKLRKB-GFCCVEGCSA-N 0 0 428.467 -0.962 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)C1 ZINC000524313994 1072703995 /nfs/dbraw/zinc/70/39/95/1072703995.db2.gz HVFIYPGSCKLRKB-LBPRGKRZSA-N 0 0 428.467 -0.962 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000524314022 1072704018 /nfs/dbraw/zinc/70/40/18/1072704018.db2.gz RBMDRNZVAGSDAR-HNNXBMFYSA-N 0 0 444.535 -0.295 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000524314023 1072704027 /nfs/dbraw/zinc/70/40/27/1072704027.db2.gz RBMDRNZVAGSDAR-OAHLLOKOSA-N 0 0 444.535 -0.295 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CCC2(CC1)NC(=O)NC2=O ZINC000524342723 1072704937 /nfs/dbraw/zinc/70/49/37/1072704937.db2.gz XDSPIVZCIDDMEU-UHFFFAOYSA-N 0 0 449.489 -0.486 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000524345731 1072704977 /nfs/dbraw/zinc/70/49/77/1072704977.db2.gz TXRZBBMQKBIAPU-UHFFFAOYSA-N 0 0 444.462 -0.496 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C2CCN(S(C)(=O)=O)CC2)CC1 ZINC000524349963 1072705407 /nfs/dbraw/zinc/70/54/07/1072705407.db2.gz OMRABTOUOBBPCO-UHFFFAOYSA-N 0 0 444.579 -0.085 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)Cn2cnc3c(cnn3C)c2=O)CC1 ZINC000524350220 1072705624 /nfs/dbraw/zinc/70/56/24/1072705624.db2.gz AVQDNCWGVDDZFT-UHFFFAOYSA-N 0 0 445.505 -0.613 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000524350230 1072705524 /nfs/dbraw/zinc/70/55/24/1072705524.db2.gz BUHUUGCYGDCELN-UHFFFAOYSA-N 0 0 441.535 -0.457 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCC(=O)Nc2ccncc2)c1 ZINC000524356931 1072706140 /nfs/dbraw/zinc/70/61/40/1072706140.db2.gz XMRQSPDMVRTZMD-UHFFFAOYSA-N 0 0 433.490 -0.371 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)CC1 ZINC000524361777 1072705951 /nfs/dbraw/zinc/70/59/51/1072705951.db2.gz RJAKQXUWUYRUMF-UHFFFAOYSA-N 0 0 449.577 -0.203 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1CCN(c2ccccc2S(=O)(=O)NC)CC1 ZINC000524362557 1072704932 /nfs/dbraw/zinc/70/49/32/1072704932.db2.gz XILSJVWYGVBZNZ-UHFFFAOYSA-N 0 0 425.555 -0.299 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000524363748 1072704985 /nfs/dbraw/zinc/70/49/85/1072704985.db2.gz RGHVPURZJWQENZ-CABCVRRESA-N 0 0 444.579 -0.592 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000524363749 1072705068 /nfs/dbraw/zinc/70/50/68/1072705068.db2.gz RGHVPURZJWQENZ-GJZGRUSLSA-N 0 0 444.579 -0.592 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524363750 1072704921 /nfs/dbraw/zinc/70/49/21/1072704921.db2.gz RGHVPURZJWQENZ-HUUCEWRRSA-N 0 0 444.579 -0.592 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524363751 1072705047 /nfs/dbraw/zinc/70/50/47/1072705047.db2.gz RGHVPURZJWQENZ-LSDHHAIUSA-N 0 0 444.579 -0.592 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCS(=O)(=O)NC(C)C)CC1 ZINC000524368441 1072706507 /nfs/dbraw/zinc/70/65/07/1072706507.db2.gz NKAALROIAJBBPU-UHFFFAOYSA-N 0 0 447.583 -0.246 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(c2ccccc2S(=O)(=O)NC)CC1 ZINC000524369776 1072706536 /nfs/dbraw/zinc/70/65/36/1072706536.db2.gz JHICURXJDJPVIP-UHFFFAOYSA-N 0 0 433.556 -0.634 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000524372062 1072706576 /nfs/dbraw/zinc/70/65/76/1072706576.db2.gz HMTKDOSGMPGCHV-UHFFFAOYSA-N 0 0 444.427 -0.065 20 0 IBADRN CCCCC(=O)N1CSC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524383434 1072706460 /nfs/dbraw/zinc/70/64/60/1072706460.db2.gz CQMWIQMVBNUUHK-HNNXBMFYSA-N 0 0 426.539 -0.392 20 0 IBADRN CCCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524383435 1072706550 /nfs/dbraw/zinc/70/65/50/1072706550.db2.gz CQMWIQMVBNUUHK-OAHLLOKOSA-N 0 0 426.539 -0.392 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OC)c1OC ZINC000524393284 1072706979 /nfs/dbraw/zinc/70/69/79/1072706979.db2.gz XOVHTZBHBCGEKD-UHFFFAOYSA-N 0 0 433.469 -0.205 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000524396349 1072707000 /nfs/dbraw/zinc/70/70/00/1072707000.db2.gz GQTTXXVWUFJOSC-UHFFFAOYSA-N 0 0 428.445 -0.052 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)CC1 ZINC000524396651 1072707012 /nfs/dbraw/zinc/70/70/12/1072707012.db2.gz SOKHOQDMDHTGKY-UHFFFAOYSA-N 0 0 439.473 -0.021 20 0 IBADRN CC(C)C(=O)N1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000524396711 1072707081 /nfs/dbraw/zinc/70/70/81/1072707081.db2.gz VZYWTPQYHYLYGK-UHFFFAOYSA-N 0 0 430.465 -0.712 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)ccc1F ZINC000524397369 1072707151 /nfs/dbraw/zinc/70/71/51/1072707151.db2.gz NXTJKSNKSOBVMQ-GFCCVEGCSA-N 0 0 439.473 -0.164 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)ccc1F ZINC000524397370 1072707034 /nfs/dbraw/zinc/70/70/34/1072707034.db2.gz NXTJKSNKSOBVMQ-LBPRGKRZSA-N 0 0 439.473 -0.164 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)ccc1F ZINC000524397756 1072707073 /nfs/dbraw/zinc/70/70/73/1072707073.db2.gz AVOWYIUZUGUYFB-UHFFFAOYSA-N 0 0 432.460 -0.283 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1 ZINC000524398127 1072707141 /nfs/dbraw/zinc/70/71/41/1072707141.db2.gz PQNWYSJVHDSBFV-UHFFFAOYSA-N 0 0 427.462 -0.164 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)ccc1F ZINC000524399608 1072707025 /nfs/dbraw/zinc/70/70/25/1072707025.db2.gz IHQZTXVDLDSSQB-INIZCTEOSA-N 0 0 431.428 -0.102 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)ccc1F ZINC000524399609 1072707134 /nfs/dbraw/zinc/70/71/34/1072707134.db2.gz IHQZTXVDLDSSQB-MRXNPFEDSA-N 0 0 431.428 -0.102 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O)N1CCOCC1 ZINC000524401568 1072707105 /nfs/dbraw/zinc/70/71/05/1072707105.db2.gz RATSOLRAXDLRHT-UHFFFAOYSA-N 0 0 428.445 -0.004 20 0 IBADRN O=C(NCc1nccn1CC(F)(F)F)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524404097 1072707159 /nfs/dbraw/zinc/70/71/59/1072707159.db2.gz XKPLZKQNESAYOA-UHFFFAOYSA-N 0 0 432.403 -0.342 20 0 IBADRN O=C(NCC1(NC(=O)[C@H]2CC(=O)N(C3CC3)C2)CCOCC1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000524404225 1072706964 /nfs/dbraw/zinc/70/69/64/1072706964.db2.gz SGUMLKJTAYOPPF-CABCVRRESA-N 0 0 432.521 -0.210 20 0 IBADRN O=C(NCC1(NC(=O)[C@H]2CC(=O)N(C3CC3)C2)CCOCC1)[C@H]1CC(=O)N(C2CC2)C1 ZINC000524404226 1072706990 /nfs/dbraw/zinc/70/69/90/1072706990.db2.gz SGUMLKJTAYOPPF-GJZGRUSLSA-N 0 0 432.521 -0.210 20 0 IBADRN O=C(NCC1(NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)CCOCC1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000524404227 1072707062 /nfs/dbraw/zinc/70/70/62/1072707062.db2.gz SGUMLKJTAYOPPF-HUUCEWRRSA-N 0 0 432.521 -0.210 20 0 IBADRN O=C(NC1(CNC(=O)[C@H]2CC(=O)N(C3CC3)C2)CCOCC1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000524404228 1072706926 /nfs/dbraw/zinc/70/69/26/1072706926.db2.gz SGUMLKJTAYOPPF-LSDHHAIUSA-N 0 0 432.521 -0.210 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c1 ZINC000524407692 1072707431 /nfs/dbraw/zinc/70/74/31/1072707431.db2.gz ZMZAWBNLVJYIQA-UHFFFAOYSA-N 0 0 427.512 -0.487 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CCNS(C)(=O)=O)cc2)CC1 ZINC000524407930 1072707640 /nfs/dbraw/zinc/70/76/40/1072707640.db2.gz UUOMDHROSCADDX-UHFFFAOYSA-N 0 0 440.566 -0.173 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNS(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000524409366 1072707657 /nfs/dbraw/zinc/70/76/57/1072707657.db2.gz DSCBRDRINJAMQP-UHFFFAOYSA-N 0 0 440.566 -0.061 20 0 IBADRN C[C@@H](NC(=O)CCNC(=O)c1[nH]nc2ccccc21)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000524419583 1072707583 /nfs/dbraw/zinc/70/75/83/1072707583.db2.gz RFLLSAIBRVWYHU-CHWSQXEVSA-N 0 0 435.506 -0.262 20 0 IBADRN C[C@@H](NC(=O)CCNC(=O)c1[nH]nc2ccccc21)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000524419584 1072707474 /nfs/dbraw/zinc/70/74/74/1072707474.db2.gz RFLLSAIBRVWYHU-OLZOCXBDSA-N 0 0 435.506 -0.262 20 0 IBADRN C[C@H](NC(=O)CCNC(=O)c1[nH]nc2ccccc21)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000524419585 1072708198 /nfs/dbraw/zinc/70/81/98/1072708198.db2.gz RFLLSAIBRVWYHU-QWHCGFSZSA-N 0 0 435.506 -0.262 20 0 IBADRN C[C@H](NC(=O)CCNC(=O)c1[nH]nc2ccccc21)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000524419586 1072708024 /nfs/dbraw/zinc/70/80/24/1072708024.db2.gz RFLLSAIBRVWYHU-STQMWFEESA-N 0 0 435.506 -0.262 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)cn1C ZINC000524420057 1072707495 /nfs/dbraw/zinc/70/74/95/1072707495.db2.gz OFDQJBOYOHYLKP-UHFFFAOYSA-N 0 0 446.493 -0.267 20 0 IBADRN CCC[C@H](CNC(=O)CN1C(=O)NC(C)(C)C1=O)NC(=O)CN1C(=O)NC(C)(C)C1=O ZINC000524421507 1072708138 /nfs/dbraw/zinc/70/81/38/1072708138.db2.gz XUUZOUQAXHTYMH-LLVKDONJSA-N 0 0 438.485 -0.952 20 0 IBADRN CCC[C@@H](CNC(=O)CN1C(=O)NC(C)(C)C1=O)NC(=O)CN1C(=O)NC(C)(C)C1=O ZINC000524421508 1072708163 /nfs/dbraw/zinc/70/81/63/1072708163.db2.gz XUUZOUQAXHTYMH-NSHDSACASA-N 0 0 438.485 -0.952 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000524425845 1072708561 /nfs/dbraw/zinc/70/85/61/1072708561.db2.gz FCZWTEWWHQQDSW-UHFFFAOYSA-N 0 0 449.489 -0.027 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000524426835 1072708573 /nfs/dbraw/zinc/70/85/73/1072708573.db2.gz SGNWYGCIMOLDCL-UHFFFAOYSA-N 0 0 429.481 -0.226 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000524427030 1072708630 /nfs/dbraw/zinc/70/86/30/1072708630.db2.gz AIZWMYMAKKCZHO-UHFFFAOYSA-N 0 0 428.449 -0.112 20 0 IBADRN O=C(Nc1cc(F)ccc1Cl)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524427470 1072708551 /nfs/dbraw/zinc/70/85/51/1072708551.db2.gz ULZWLRPBIDVMCL-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)N1CCCCC1 ZINC000524433732 1072708107 /nfs/dbraw/zinc/70/81/07/1072708107.db2.gz LRGXGSDMBNEREP-UHFFFAOYSA-N 0 0 445.520 -0.184 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(N4C(=O)CCC4=O)c3)CC2)CC1 ZINC000524435561 1072708149 /nfs/dbraw/zinc/70/81/49/1072708149.db2.gz RNOUOIQXIARJAB-UHFFFAOYSA-N 0 0 449.533 -0.410 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCc3ccccc3)CC2)CC1 ZINC000524436906 1072708174 /nfs/dbraw/zinc/70/81/74/1072708174.db2.gz MVIHWCAZRLQJPD-UHFFFAOYSA-N 0 0 437.566 -0.628 20 0 IBADRN COCCOc1ncccc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524440572 1072708130 /nfs/dbraw/zinc/70/81/30/1072708130.db2.gz UPUNMTPFRCXCPI-UHFFFAOYSA-N 0 0 429.499 -0.167 20 0 IBADRN O=C(C1CCCC1)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524443349 1072708044 /nfs/dbraw/zinc/70/80/44/1072708044.db2.gz QQHWEOJYAXRDOE-INIZCTEOSA-N 0 0 446.595 -0.201 20 0 IBADRN O=C(C1CCCC1)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524443350 1072708099 /nfs/dbraw/zinc/70/80/99/1072708099.db2.gz QQHWEOJYAXRDOE-MRXNPFEDSA-N 0 0 446.595 -0.201 20 0 IBADRN COC(=O)CCN(C[C@H]1CCCO1)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000524448040 1072708526 /nfs/dbraw/zinc/70/85/26/1072708526.db2.gz DLAOSCUANNITMC-LLVKDONJSA-N 0 0 426.451 -0.233 20 0 IBADRN COC(=O)CCN(C[C@@H]1CCCO1)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000524448041 1072709183 /nfs/dbraw/zinc/70/91/83/1072709183.db2.gz DLAOSCUANNITMC-NSHDSACASA-N 0 0 426.451 -0.233 20 0 IBADRN CC(C)N1CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000524449420 1072709165 /nfs/dbraw/zinc/70/91/65/1072709165.db2.gz TUHDOJSBDFETFG-INIZCTEOSA-N 0 0 431.536 -0.005 20 0 IBADRN CC(C)N1CC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000524449421 1072709037 /nfs/dbraw/zinc/70/90/37/1072709037.db2.gz TUHDOJSBDFETFG-MRXNPFEDSA-N 0 0 431.536 -0.005 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)o1 ZINC000524452636 1072709105 /nfs/dbraw/zinc/70/91/05/1072709105.db2.gz YZJXTPUVKDGVPO-UHFFFAOYSA-N 0 0 439.475 -0.050 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000524462818 1072709025 /nfs/dbraw/zinc/70/90/25/1072709025.db2.gz ISWLRRIIDCTHPV-UHFFFAOYSA-N 0 0 426.437 -0.646 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000524463552 1072709052 /nfs/dbraw/zinc/70/90/52/1072709052.db2.gz JEMORHYXDQCRMZ-UHFFFAOYSA-N 0 0 444.457 -0.331 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000524464157 1072709186 /nfs/dbraw/zinc/70/91/86/1072709186.db2.gz CLSZULRQQJYANV-KBPBESRZSA-N 0 0 435.447 -0.276 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000524464158 1072709060 /nfs/dbraw/zinc/70/90/60/1072709060.db2.gz CLSZULRQQJYANV-KGLIPLIRSA-N 0 0 435.447 -0.276 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000524464159 1072709171 /nfs/dbraw/zinc/70/91/71/1072709171.db2.gz CLSZULRQQJYANV-UONOGXRCSA-N 0 0 435.447 -0.276 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000524464160 1072709236 /nfs/dbraw/zinc/70/92/36/1072709236.db2.gz CLSZULRQQJYANV-ZIAGYGMSSA-N 0 0 435.447 -0.276 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000524464565 1072709080 /nfs/dbraw/zinc/70/90/80/1072709080.db2.gz UXVWBXTVPMRZRV-KRWDZBQOSA-N 0 0 438.573 -0.887 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000524464566 1072709120 /nfs/dbraw/zinc/70/91/20/1072709120.db2.gz UXVWBXTVPMRZRV-QGZVFWFLSA-N 0 0 438.573 -0.887 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000524464627 1072709205 /nfs/dbraw/zinc/70/92/05/1072709205.db2.gz XTHVUCIMIQKXTI-UHFFFAOYSA-N 0 0 449.411 -0.328 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCS(=O)(=O)NCC2CCC2)CC1 ZINC000524465810 1072709227 /nfs/dbraw/zinc/70/92/27/1072709227.db2.gz DGQFMWACTQTUMM-INIZCTEOSA-N 0 0 445.586 -0.720 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCS(=O)(=O)NCC2CCC2)CC1 ZINC000524465811 1072709220 /nfs/dbraw/zinc/70/92/20/1072709220.db2.gz DGQFMWACTQTUMM-MRXNPFEDSA-N 0 0 445.586 -0.720 20 0 IBADRN Cc1[nH][nH]c(=O)c1CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000524469135 1072709070 /nfs/dbraw/zinc/70/90/70/1072709070.db2.gz HLBIBROTFYOZGB-KRWDZBQOSA-N 0 0 449.533 -0.072 20 0 IBADRN Cc1[nH][nH]c(=O)c1CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000524469136 1072709595 /nfs/dbraw/zinc/70/95/95/1072709595.db2.gz HLBIBROTFYOZGB-QGZVFWFLSA-N 0 0 449.533 -0.072 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000524475009 1072709670 /nfs/dbraw/zinc/70/96/70/1072709670.db2.gz DECGFOQNVLSJOL-GFCCVEGCSA-N 0 0 427.483 -0.596 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000524475010 1072709657 /nfs/dbraw/zinc/70/96/57/1072709657.db2.gz DECGFOQNVLSJOL-LBPRGKRZSA-N 0 0 427.483 -0.596 20 0 IBADRN O=C(CSCC(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1)N1CCOCC1 ZINC000524475950 1072709576 /nfs/dbraw/zinc/70/95/76/1072709576.db2.gz UIOVARPWEPCMNP-UHFFFAOYSA-N 0 0 445.549 -0.102 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000524476380 1072709643 /nfs/dbraw/zinc/70/96/43/1072709643.db2.gz KYVFUDGSGWJFAK-DLBZAZTESA-N 0 0 444.602 -0.547 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000524476381 1072709543 /nfs/dbraw/zinc/70/95/43/1072709543.db2.gz KYVFUDGSGWJFAK-IAGOWNOFSA-N 0 0 444.602 -0.547 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000524476382 1072709585 /nfs/dbraw/zinc/70/95/85/1072709585.db2.gz KYVFUDGSGWJFAK-IRXDYDNUSA-N 0 0 444.602 -0.547 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000524476383 1072709633 /nfs/dbraw/zinc/70/96/33/1072709633.db2.gz KYVFUDGSGWJFAK-SJORKVTESA-N 0 0 444.602 -0.547 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000524479714 1072711257 /nfs/dbraw/zinc/71/12/57/1072711257.db2.gz SEWJGPHCIWXTOS-HNNXBMFYSA-N 0 0 427.527 -0.256 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000524479715 1072711240 /nfs/dbraw/zinc/71/12/40/1072711240.db2.gz SEWJGPHCIWXTOS-OAHLLOKOSA-N 0 0 427.527 -0.256 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000524480343 1072710776 /nfs/dbraw/zinc/71/07/76/1072710776.db2.gz VXBMGQVZURJVKJ-INIZCTEOSA-N 0 0 438.506 -0.229 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000524480344 1072710673 /nfs/dbraw/zinc/71/06/73/1072710673.db2.gz VXBMGQVZURJVKJ-MRXNPFEDSA-N 0 0 438.506 -0.229 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N[C@H](C)C(=O)N2CCOCC2)C1 ZINC000524480614 1072711291 /nfs/dbraw/zinc/71/12/91/1072711291.db2.gz HMOHFVDJRSCCMY-CABCVRRESA-N 0 0 427.527 -0.209 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N[C@@H](C)C(=O)N2CCOCC2)C1 ZINC000524480615 1072711303 /nfs/dbraw/zinc/71/13/03/1072711303.db2.gz HMOHFVDJRSCCMY-GJZGRUSLSA-N 0 0 427.527 -0.209 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N[C@H](C)C(=O)N2CCOCC2)C1 ZINC000524480616 1072711145 /nfs/dbraw/zinc/71/11/45/1072711145.db2.gz HMOHFVDJRSCCMY-HUUCEWRRSA-N 0 0 427.527 -0.209 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H](C)C(=O)N2CCOCC2)C1 ZINC000524480617 1072711398 /nfs/dbraw/zinc/71/13/98/1072711398.db2.gz HMOHFVDJRSCCMY-LSDHHAIUSA-N 0 0 427.527 -0.209 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCN2CCCS2(=O)=O)C1 ZINC000524480830 1072711197 /nfs/dbraw/zinc/71/11/97/1072711197.db2.gz VJMZKSXJFRKVIZ-AWEZNQCLSA-N 0 0 433.556 -0.421 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCN2CCCS2(=O)=O)C1 ZINC000524480831 1072711231 /nfs/dbraw/zinc/71/12/31/1072711231.db2.gz VJMZKSXJFRKVIZ-CQSZACIVSA-N 0 0 433.556 -0.421 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)NC(=O)c1[nH]nc2ccccc21)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000524482718 1072711456 /nfs/dbraw/zinc/71/14/56/1072711456.db2.gz KYRZRPQRVCVHBK-AGIUHOORSA-N 0 0 435.506 -0.263 20 0 IBADRN C[C@H](NC(=O)[C@H](C)NC(=O)c1[nH]nc2ccccc21)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000524482719 1072711321 /nfs/dbraw/zinc/71/13/21/1072711321.db2.gz KYRZRPQRVCVHBK-AVGNSLFASA-N 0 0 435.506 -0.263 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)NC(=O)c1[nH]nc2ccccc21)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000524482720 1072711267 /nfs/dbraw/zinc/71/12/67/1072711267.db2.gz KYRZRPQRVCVHBK-FRRDWIJNSA-N 0 0 435.506 -0.263 20 0 IBADRN C[C@H](NC(=O)[C@H](C)NC(=O)c1[nH]nc2ccccc21)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000524482721 1072711439 /nfs/dbraw/zinc/71/14/39/1072711439.db2.gz KYRZRPQRVCVHBK-RWMBFGLXSA-N 0 0 435.506 -0.263 20 0 IBADRN C[C@@H](C(=O)NCc1ccccc1F)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000524485895 1072709996 /nfs/dbraw/zinc/70/99/96/1072709996.db2.gz PXRHOTQNNLRMOZ-INIZCTEOSA-N 0 0 427.546 -0.060 20 0 IBADRN C[C@H](C(=O)NCc1ccccc1F)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000524485896 1072710181 /nfs/dbraw/zinc/71/01/81/1072710181.db2.gz PXRHOTQNNLRMOZ-MRXNPFEDSA-N 0 0 427.546 -0.060 20 0 IBADRN CN(CC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000524486137 1072709616 /nfs/dbraw/zinc/70/96/16/1072709616.db2.gz GMQKWURJIBBQOZ-HNNXBMFYSA-N 0 0 432.550 -0.025 20 0 IBADRN CN(CC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000524486138 1073356525 /nfs/dbraw/zinc/35/65/25/1073356525.db2.gz GMQKWURJIBBQOZ-OAHLLOKOSA-N 0 0 432.550 -0.025 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCC(=O)N2CCOCC2)C1 ZINC000524487846 1072710069 /nfs/dbraw/zinc/71/00/69/1072710069.db2.gz KCOPRTKLANXCQG-HNNXBMFYSA-N 0 0 427.527 -0.208 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCC(=O)N2CCOCC2)C1 ZINC000524487847 1072710223 /nfs/dbraw/zinc/71/02/23/1072710223.db2.gz KCOPRTKLANXCQG-OAHLLOKOSA-N 0 0 427.527 -0.208 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCn3cccn3)CC2)cc1 ZINC000524490465 1072709973 /nfs/dbraw/zinc/70/99/73/1072709973.db2.gz STEQYUHYHUJGCJ-UHFFFAOYSA-N 0 0 442.523 -0.753 20 0 IBADRN O=C(NCCn1cccn1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000524490937 1072710153 /nfs/dbraw/zinc/71/01/53/1072710153.db2.gz IXPHRLGQDRLJQX-UHFFFAOYSA-N 0 0 434.478 -0.070 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000524491947 1072710087 /nfs/dbraw/zinc/71/00/87/1072710087.db2.gz JTLALVQIGUWRQD-UHFFFAOYSA-N 0 0 445.563 -0.524 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NCCN3CCS(=O)(=O)CC3)cc(C3CC3)nc21 ZINC000524492486 1072710765 /nfs/dbraw/zinc/71/07/65/1072710765.db2.gz IRHPOIZAPINAHC-UHFFFAOYSA-N 0 0 435.506 -0.145 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)NCCN1CCS(=O)(=O)CC1 ZINC000524492493 1072710843 /nfs/dbraw/zinc/71/08/43/1072710843.db2.gz JOHYIYDYKHZPTK-UHFFFAOYSA-N 0 0 432.520 -0.532 20 0 IBADRN CSC[C@H](C(=O)NCCN1CCS(=O)(=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000524493734 1072710742 /nfs/dbraw/zinc/71/07/42/1072710742.db2.gz SYOGLDMFRLUWOX-QLFBSQMISA-N 0 0 431.580 -0.260 20 0 IBADRN CSC[C@H](C(=O)NCCN1CCS(=O)(=O)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000524493735 1072710735 /nfs/dbraw/zinc/71/07/35/1072710735.db2.gz SYOGLDMFRLUWOX-RBSFLKMASA-N 0 0 431.580 -0.260 20 0 IBADRN CSC[C@H](C(=O)NCCN1CCS(=O)(=O)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000524493736 1072710781 /nfs/dbraw/zinc/71/07/81/1072710781.db2.gz SYOGLDMFRLUWOX-SOUVJXGZSA-N 0 0 431.580 -0.260 20 0 IBADRN CSC[C@@H](C(=O)NCCN1CCS(=O)(=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000524493737 1072710833 /nfs/dbraw/zinc/71/08/33/1072710833.db2.gz SYOGLDMFRLUWOX-ZNMIVQPWSA-N 0 0 431.580 -0.260 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000524494025 1072710692 /nfs/dbraw/zinc/71/06/92/1072710692.db2.gz PUEFLDTYSFKFKQ-GOSISDBHSA-N 0 0 446.552 -0.007 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000524494026 1072710725 /nfs/dbraw/zinc/71/07/25/1072710725.db2.gz PUEFLDTYSFKFKQ-SFHVURJKSA-N 0 0 446.552 -0.007 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000524494779 1072710753 /nfs/dbraw/zinc/71/07/53/1072710753.db2.gz MSNPFCIXELSBMZ-HNNXBMFYSA-N 0 0 439.538 -0.592 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000524494781 1072710716 /nfs/dbraw/zinc/71/07/16/1072710716.db2.gz MSNPFCIXELSBMZ-OAHLLOKOSA-N 0 0 439.538 -0.592 20 0 IBADRN COC(=O)Cc1cc(=O)n(-c2ccc(C(=O)N3CCN(C(=O)C[NH+](C)C)CC3)cc2)[n-]1 ZINC000524495951 1072711717 /nfs/dbraw/zinc/71/17/17/1072711717.db2.gz BNOJMFVEVRMNAS-UHFFFAOYSA-N 0 0 429.477 -0.273 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000524497532 1072711350 /nfs/dbraw/zinc/71/13/50/1072711350.db2.gz ZMMXRYWCYZSEAO-KRWDZBQOSA-N 0 0 426.562 -0.981 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000524497533 1072711120 /nfs/dbraw/zinc/71/11/20/1072711120.db2.gz ZMMXRYWCYZSEAO-QGZVFWFLSA-N 0 0 426.562 -0.981 20 0 IBADRN CC(C)[C@H](CNC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000524498055 1072711925 /nfs/dbraw/zinc/71/19/25/1072711925.db2.gz DJYUWLMOBRNWAD-MOPGFXCFSA-N 0 0 425.574 -0.082 20 0 IBADRN CC(C)[C@H](CNC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000524498057 1072711784 /nfs/dbraw/zinc/71/17/84/1072711784.db2.gz DJYUWLMOBRNWAD-OALUTQOASA-N 0 0 425.574 -0.082 20 0 IBADRN CC(C)[C@@H](CNC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000524498059 1072711762 /nfs/dbraw/zinc/71/17/62/1072711762.db2.gz DJYUWLMOBRNWAD-RBUKOAKNSA-N 0 0 425.574 -0.082 20 0 IBADRN CC(C)[C@@H](CNC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000524498060 1072711816 /nfs/dbraw/zinc/71/18/16/1072711816.db2.gz DJYUWLMOBRNWAD-RTBURBONSA-N 0 0 425.574 -0.082 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)nn1 ZINC000524502802 1072711866 /nfs/dbraw/zinc/71/18/66/1072711866.db2.gz DHRMIUQJJNKRBE-UHFFFAOYSA-N 0 0 449.537 -0.055 20 0 IBADRN COc1cc(F)ccc1N1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000524512441 1072711739 /nfs/dbraw/zinc/71/17/39/1072711739.db2.gz VAMXTMSUSDVRDB-UHFFFAOYSA-N 0 0 430.440 -0.070 20 0 IBADRN COc1cc(F)ccc1N1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000524513129 1072711708 /nfs/dbraw/zinc/71/17/08/1072711708.db2.gz ZYYXWTJDAUEALI-UHFFFAOYSA-N 0 0 430.440 -0.070 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000524515135 1072711906 /nfs/dbraw/zinc/71/19/06/1072711906.db2.gz HTFDKWILHKWHSQ-UHFFFAOYSA-N 0 0 428.497 -0.203 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000524515913 1072712405 /nfs/dbraw/zinc/71/24/05/1072712405.db2.gz HULXZCVHNGCEFE-KRWDZBQOSA-N 0 0 447.536 -0.001 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000524515914 1072712313 /nfs/dbraw/zinc/71/23/13/1072712313.db2.gz HULXZCVHNGCEFE-QGZVFWFLSA-N 0 0 447.536 -0.001 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cc(I)c[nH]2)CC1)N1CCOCC1 ZINC000524521939 1072712510 /nfs/dbraw/zinc/71/25/10/1072712510.db2.gz MLQZULBAFVDNIM-UHFFFAOYSA-N 0 0 446.245 -0.238 20 0 IBADRN Cc1nnc(SCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1CC(N)=O ZINC000524523057 1072711838 /nfs/dbraw/zinc/71/18/38/1072711838.db2.gz AIUOCCURFZZOMB-UHFFFAOYSA-N 0 0 438.535 -0.303 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C3CC3)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000524537771 1072712347 /nfs/dbraw/zinc/71/23/47/1072712347.db2.gz YEGVSOBAGBYWMQ-IBGZPJMESA-N 0 0 436.490 -0.007 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C3CC3)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000524537772 1072712460 /nfs/dbraw/zinc/71/24/60/1072712460.db2.gz YEGVSOBAGBYWMQ-LJQANCHMSA-N 0 0 436.490 -0.007 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000524538569 1072712283 /nfs/dbraw/zinc/71/22/83/1072712283.db2.gz UOGHKKDDCRYLKD-UHFFFAOYSA-N 0 0 445.563 -0.256 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2=O)cc1 ZINC000524545777 1072712975 /nfs/dbraw/zinc/71/29/75/1072712975.db2.gz IVFFUWBEWRPCIP-HNNXBMFYSA-N 0 0 440.460 -0.640 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2=O)cc1 ZINC000524545778 1072712846 /nfs/dbraw/zinc/71/28/46/1072712846.db2.gz IVFFUWBEWRPCIP-OAHLLOKOSA-N 0 0 440.460 -0.640 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2=O)cc1 ZINC000524546859 1072712830 /nfs/dbraw/zinc/71/28/30/1072712830.db2.gz UAQKLTXYVVWQMI-HNNXBMFYSA-N 0 0 440.460 -0.640 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2=O)cc1 ZINC000524546860 1072713033 /nfs/dbraw/zinc/71/30/33/1072713033.db2.gz UAQKLTXYVVWQMI-OAHLLOKOSA-N 0 0 440.460 -0.640 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2csc(S(N)(=O)=O)c2)c1 ZINC000524554513 1072713393 /nfs/dbraw/zinc/71/33/93/1072713393.db2.gz LAYYXKDCGPZJNQ-UHFFFAOYSA-N 0 0 432.505 -0.328 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)c1 ZINC000524554653 1072713469 /nfs/dbraw/zinc/71/34/69/1072713469.db2.gz UEHQTKIHCYXZSQ-GASCZTMLSA-N 0 0 436.490 -0.175 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2C(=O)[C@H]3CCCC[C@@H]3C2=O)c1 ZINC000524554654 1072713410 /nfs/dbraw/zinc/71/34/10/1072713410.db2.gz UEHQTKIHCYXZSQ-GJZGRUSLSA-N 0 0 436.490 -0.175 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2C(=O)[C@@H]3CCCC[C@H]3C2=O)c1 ZINC000524554655 1072713459 /nfs/dbraw/zinc/71/34/59/1072713459.db2.gz UEHQTKIHCYXZSQ-HUUCEWRRSA-N 0 0 436.490 -0.175 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000524557261 1072713514 /nfs/dbraw/zinc/71/35/14/1072713514.db2.gz HZBQATQXQIKRIN-AWEZNQCLSA-N 0 0 446.551 -0.185 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000524557264 1072713596 /nfs/dbraw/zinc/71/35/96/1072713596.db2.gz HZBQATQXQIKRIN-CQSZACIVSA-N 0 0 446.551 -0.185 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000524558113 1072713579 /nfs/dbraw/zinc/71/35/79/1072713579.db2.gz GIJDUFHZRFUQRX-GOSISDBHSA-N 0 0 431.493 -0.624 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000524558116 1072713612 /nfs/dbraw/zinc/71/36/12/1072713612.db2.gz GIJDUFHZRFUQRX-SFHVURJKSA-N 0 0 431.493 -0.624 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000524558565 1072713558 /nfs/dbraw/zinc/71/35/58/1072713558.db2.gz OXYDZKNUNIUUDN-HNNXBMFYSA-N 0 0 440.522 -0.517 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000524558567 1072713605 /nfs/dbraw/zinc/71/36/05/1072713605.db2.gz OXYDZKNUNIUUDN-OAHLLOKOSA-N 0 0 440.522 -0.517 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CCO1 ZINC000524559244 1072713568 /nfs/dbraw/zinc/71/35/68/1072713568.db2.gz DQEWTQZVFDBVEJ-HNNXBMFYSA-N 0 0 426.495 -0.325 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CCO1 ZINC000524559245 1072713482 /nfs/dbraw/zinc/71/34/82/1072713482.db2.gz DQEWTQZVFDBVEJ-OAHLLOKOSA-N 0 0 426.495 -0.325 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1 ZINC000524559365 1072713504 /nfs/dbraw/zinc/71/35/04/1072713504.db2.gz HZSUNDBAJIZDJR-UHFFFAOYSA-N 0 0 433.509 -0.191 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCO1 ZINC000524559862 1072713978 /nfs/dbraw/zinc/71/39/78/1072713978.db2.gz RWSVSEXQBSXCHL-KRWDZBQOSA-N 0 0 439.538 -0.009 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCO1 ZINC000524559863 1072713989 /nfs/dbraw/zinc/71/39/89/1072713989.db2.gz RWSVSEXQBSXCHL-QGZVFWFLSA-N 0 0 439.538 -0.009 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000524560381 1072713958 /nfs/dbraw/zinc/71/39/58/1072713958.db2.gz HTAWTCNMQIDNPC-UHFFFAOYSA-N 0 0 430.461 -0.320 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000524560585 1072713916 /nfs/dbraw/zinc/71/39/16/1072713916.db2.gz PWNAUKKENWYIOB-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000524560586 1072713908 /nfs/dbraw/zinc/71/39/08/1072713908.db2.gz PWNAUKKENWYIOB-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CC(C)(C)n1cc(C(=O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000524560835 1072713922 /nfs/dbraw/zinc/71/39/22/1072713922.db2.gz ARFSEPGQVVDLQT-UHFFFAOYSA-N 0 0 427.527 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1OCC(=O)NC1CC1 ZINC000524560906 1072713900 /nfs/dbraw/zinc/71/39/00/1072713900.db2.gz GINBODAFHCJNSZ-INIZCTEOSA-N 0 0 448.476 -0.345 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1OCC(=O)NC1CC1 ZINC000524560907 1072713950 /nfs/dbraw/zinc/71/39/50/1072713950.db2.gz GINBODAFHCJNSZ-MRXNPFEDSA-N 0 0 448.476 -0.345 20 0 IBADRN O=C(Cn1nnc(-c2ccccc2)n1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524561070 1072713875 /nfs/dbraw/zinc/71/38/75/1072713875.db2.gz OCPFIEKTHBWLPO-UHFFFAOYSA-N 0 0 435.510 -0.502 20 0 IBADRN Cn1nc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c(=O)c2ccccc21 ZINC000524561149 1072714009 /nfs/dbraw/zinc/71/40/09/1072714009.db2.gz RUQUXCLQSLQJLE-UHFFFAOYSA-N 0 0 435.506 -0.295 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)Nc3cccc(N4CCCS4(=O)=O)c3)C2=O)cn1 ZINC000524561150 1072714143 /nfs/dbraw/zinc/71/41/43/1072714143.db2.gz RVTXBFGJCMPYSW-INIZCTEOSA-N 0 0 446.489 -0.180 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)Nc3cccc(N4CCCS4(=O)=O)c3)C2=O)cn1 ZINC000524561151 1072713969 /nfs/dbraw/zinc/71/39/69/1072713969.db2.gz RVTXBFGJCMPYSW-MRXNPFEDSA-N 0 0 446.489 -0.180 20 0 IBADRN COc1cc(OC)c(CCNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OC)c1 ZINC000524563508 1072714066 /nfs/dbraw/zinc/71/40/66/1072714066.db2.gz SNKQVUSPCQGDIJ-UHFFFAOYSA-N 0 0 433.469 -0.205 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000524568544 1072713369 /nfs/dbraw/zinc/71/33/69/1072713369.db2.gz XPJRATYXHBKGGR-KRWDZBQOSA-N 0 0 438.550 -0.287 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000524568545 1072713439 /nfs/dbraw/zinc/71/34/39/1072713439.db2.gz XPJRATYXHBKGGR-QGZVFWFLSA-N 0 0 438.550 -0.287 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1COCC(=O)N1Cc1ccccc1 ZINC000524569096 1072714442 /nfs/dbraw/zinc/71/44/42/1072714442.db2.gz AFYCWOCCSVIYHA-RBUKOAKNSA-N 0 0 430.505 -0.537 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1COCC(=O)N1Cc1ccccc1 ZINC000524569098 1072714474 /nfs/dbraw/zinc/71/44/74/1072714474.db2.gz AFYCWOCCSVIYHA-RTBURBONSA-N 0 0 430.505 -0.537 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524569202 1072714725 /nfs/dbraw/zinc/71/47/25/1072714725.db2.gz DXWCWVVHIOATCM-DLBZAZTESA-N 0 0 430.571 -0.370 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524569203 1072714575 /nfs/dbraw/zinc/71/45/75/1072714575.db2.gz DXWCWVVHIOATCM-IAGOWNOFSA-N 0 0 430.571 -0.370 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524569204 1072714516 /nfs/dbraw/zinc/71/45/16/1072714516.db2.gz DXWCWVVHIOATCM-IRXDYDNUSA-N 0 0 430.571 -0.370 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524569205 1072714538 /nfs/dbraw/zinc/71/45/38/1072714538.db2.gz DXWCWVVHIOATCM-SJORKVTESA-N 0 0 430.571 -0.370 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524569367 1072713011 /nfs/dbraw/zinc/71/30/11/1072713011.db2.gz HPNBGJMFZJUHPA-CYBMUJFWSA-N 0 0 430.552 -0.339 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000524569368 1072712910 /nfs/dbraw/zinc/71/29/10/1072712910.db2.gz HPNBGJMFZJUHPA-ZDUSSCGKSA-N 0 0 430.552 -0.339 20 0 IBADRN COc1ccccc1OCCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000524570083 1072714645 /nfs/dbraw/zinc/71/46/45/1072714645.db2.gz JPXCEAHZOHXPSS-INIZCTEOSA-N 0 0 439.534 -0.088 20 0 IBADRN COc1ccccc1OCCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524570084 1072714462 /nfs/dbraw/zinc/71/44/62/1072714462.db2.gz JPXCEAHZOHXPSS-MRXNPFEDSA-N 0 0 439.534 -0.088 20 0 IBADRN COc1ccc(OC)c(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000524574067 1072714631 /nfs/dbraw/zinc/71/46/31/1072714631.db2.gz FIGNSVRNXCYUJA-INIZCTEOSA-N 0 0 439.534 -0.306 20 0 IBADRN COc1ccc(OC)c(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000524574069 1072714595 /nfs/dbraw/zinc/71/45/95/1072714595.db2.gz FIGNSVRNXCYUJA-MRXNPFEDSA-N 0 0 439.534 -0.306 20 0 IBADRN COc1cccc(COCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000524574171 1072714560 /nfs/dbraw/zinc/71/45/60/1072714560.db2.gz IQSVJYJBEWTDDQ-KRWDZBQOSA-N 0 0 439.534 -0.341 20 0 IBADRN COc1cccc(COCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000524574172 1072715070 /nfs/dbraw/zinc/71/50/70/1072715070.db2.gz IQSVJYJBEWTDDQ-QGZVFWFLSA-N 0 0 439.534 -0.341 20 0 IBADRN CC(=O)c1cccc(OCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000524574184 1072715048 /nfs/dbraw/zinc/71/50/48/1072715048.db2.gz IYRULERNNIKCRM-KRWDZBQOSA-N 0 0 437.518 -0.285 20 0 IBADRN CC(=O)c1cccc(OCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000524574185 1072715088 /nfs/dbraw/zinc/71/50/88/1072715088.db2.gz IYRULERNNIKCRM-QGZVFWFLSA-N 0 0 437.518 -0.285 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000524574526 1072714685 /nfs/dbraw/zinc/71/46/85/1072714685.db2.gz NWFMGSYOWXWNIC-UHFFFAOYSA-N 0 0 440.570 -0.509 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000524574609 1072714983 /nfs/dbraw/zinc/71/49/83/1072714983.db2.gz VCAMSNGUQGLNOQ-CVEARBPZSA-N 0 0 440.566 -0.775 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N(C3CCCC3)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000524574613 1072715027 /nfs/dbraw/zinc/71/50/27/1072715027.db2.gz VCAMSNGUQGLNOQ-HOTGVXAUSA-N 0 0 440.566 -0.775 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000524574614 1072715001 /nfs/dbraw/zinc/71/50/01/1072715001.db2.gz VCAMSNGUQGLNOQ-HZPDHXFCSA-N 0 0 440.566 -0.775 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N(C3CCCC3)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000524574615 1072714993 /nfs/dbraw/zinc/71/49/93/1072714993.db2.gz VCAMSNGUQGLNOQ-JKSUJKDBSA-N 0 0 440.566 -0.775 20 0 IBADRN O=C(CN1CCN(C(=O)CN2Cc3ccccc3C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000524574749 1072715064 /nfs/dbraw/zinc/71/50/64/1072715064.db2.gz WIHZTWIRQIFTBT-INIZCTEOSA-N 0 0 434.518 -0.910 20 0 IBADRN O=C(CN1CCN(C(=O)CN2Cc3ccccc3C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000524574750 1072714964 /nfs/dbraw/zinc/71/49/64/1072714964.db2.gz WIHZTWIRQIFTBT-MRXNPFEDSA-N 0 0 434.518 -0.910 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000524574751 1072715128 /nfs/dbraw/zinc/71/51/28/1072715128.db2.gz WJMDETMISFWDSS-KRWDZBQOSA-N 0 0 448.545 -0.587 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000524574752 1072715009 /nfs/dbraw/zinc/71/50/09/1072715009.db2.gz WJMDETMISFWDSS-QGZVFWFLSA-N 0 0 448.545 -0.587 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4noc(C(C)(C)C)n4)CC3)c2c(=O)n(C)c1=O ZINC000524576214 1072715119 /nfs/dbraw/zinc/71/51/19/1072715119.db2.gz FFIROMQZHIGBDT-UHFFFAOYSA-N 0 0 444.496 -0.541 20 0 IBADRN CSc1ncc(Cl)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000524577317 1072715058 /nfs/dbraw/zinc/71/50/58/1072715058.db2.gz HYUGKSVEINUXBI-LLVKDONJSA-N 0 0 447.970 -0.087 20 0 IBADRN CSc1ncc(Cl)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000524577319 1072715035 /nfs/dbraw/zinc/71/50/35/1072715035.db2.gz HYUGKSVEINUXBI-NSHDSACASA-N 0 0 447.970 -0.087 20 0 IBADRN C[C@@H](NC(=O)C1CCCC1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524577376 1072715537 /nfs/dbraw/zinc/71/55/37/1072715537.db2.gz JSEZNUSPJPAOBF-GDBMZVCRSA-N 0 0 428.555 -0.871 20 0 IBADRN C[C@H](NC(=O)C1CCCC1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524577379 1072715419 /nfs/dbraw/zinc/71/54/19/1072715419.db2.gz JSEZNUSPJPAOBF-GOEBONIOSA-N 0 0 428.555 -0.871 20 0 IBADRN C[C@H](NC(=O)C1CCCC1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000524577380 1072715584 /nfs/dbraw/zinc/71/55/84/1072715584.db2.gz JSEZNUSPJPAOBF-HOCLYGCPSA-N 0 0 428.555 -0.871 20 0 IBADRN C[C@@H](NC(=O)C1CCCC1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000524577382 1072715429 /nfs/dbraw/zinc/71/54/29/1072715429.db2.gz JSEZNUSPJPAOBF-ZBFHGGJFSA-N 0 0 428.555 -0.871 20 0 IBADRN Cc1noc2nc(C)cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c12 ZINC000524577522 1072714977 /nfs/dbraw/zinc/71/49/77/1072714977.db2.gz OSYQOOGTDVPWAL-AWEZNQCLSA-N 0 0 435.506 -0.099 20 0 IBADRN Cc1noc2nc(C)cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c12 ZINC000524577524 1072715140 /nfs/dbraw/zinc/71/51/40/1072715140.db2.gz OSYQOOGTDVPWAL-CQSZACIVSA-N 0 0 435.506 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(Br)c[nH]2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000524577552 1072715078 /nfs/dbraw/zinc/71/50/78/1072715078.db2.gz PMGVJRXBLDWKKQ-GFCCVEGCSA-N 0 0 433.328 -0.162 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(Br)c[nH]2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000524577555 1072715617 /nfs/dbraw/zinc/71/56/17/1072715617.db2.gz PMGVJRXBLDWKKQ-LBPRGKRZSA-N 0 0 433.328 -0.162 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)CCC(=O)N3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000524577569 1072715653 /nfs/dbraw/zinc/71/56/53/1072715653.db2.gz PUHXCDJMNKWKDP-INIZCTEOSA-N 0 0 434.518 -0.368 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)CCC(=O)N3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000524577570 1072715459 /nfs/dbraw/zinc/71/54/59/1072715459.db2.gz PUHXCDJMNKWKDP-MRXNPFEDSA-N 0 0 434.518 -0.368 20 0 IBADRN C[C@H](NC(=O)C1CCCCC1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524577807 1072715493 /nfs/dbraw/zinc/71/54/93/1072715493.db2.gz WXPPMLBTHXUZDR-DOTOQJQBSA-N 0 0 442.582 -0.481 20 0 IBADRN C[C@@H](NC(=O)C1CCCCC1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524577808 1072715573 /nfs/dbraw/zinc/71/55/73/1072715573.db2.gz WXPPMLBTHXUZDR-NVXWUHKLSA-N 0 0 442.582 -0.481 20 0 IBADRN C[C@H](NC(=O)C1CCCCC1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000524577809 1072715451 /nfs/dbraw/zinc/71/54/51/1072715451.db2.gz WXPPMLBTHXUZDR-RDJZCZTQSA-N 0 0 442.582 -0.481 20 0 IBADRN C[C@@H](NC(=O)C1CCCCC1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000524577810 1072715435 /nfs/dbraw/zinc/71/54/35/1072715435.db2.gz WXPPMLBTHXUZDR-WBVHZDCISA-N 0 0 442.582 -0.481 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000524581476 1072715623 /nfs/dbraw/zinc/71/56/23/1072715623.db2.gz NCYQCTLXAALYQO-CHWSQXEVSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000524581477 1072715578 /nfs/dbraw/zinc/71/55/78/1072715578.db2.gz NCYQCTLXAALYQO-OLZOCXBDSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC000524581479 1072715484 /nfs/dbraw/zinc/71/54/84/1072715484.db2.gz NCYQCTLXAALYQO-QWHCGFSZSA-N 0 0 429.520 -0.545 20 0 IBADRN C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000524581480 1072715406 /nfs/dbraw/zinc/71/54/06/1072715406.db2.gz NCYQCTLXAALYQO-STQMWFEESA-N 0 0 429.520 -0.545 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)[C@@H](C)[C@@H]2C)c1 ZINC000524582400 1072715979 /nfs/dbraw/zinc/71/59/79/1072715979.db2.gz KFTOFTZKJIJDTO-KBPBESRZSA-N 0 0 433.552 -0.087 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)[C@@H](C)[C@H]2C)c1 ZINC000524582401 1072716006 /nfs/dbraw/zinc/71/60/06/1072716006.db2.gz KFTOFTZKJIJDTO-KGLIPLIRSA-N 0 0 433.552 -0.087 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)[C@H](C)[C@@H]2C)c1 ZINC000524582403 1072716127 /nfs/dbraw/zinc/71/61/27/1072716127.db2.gz KFTOFTZKJIJDTO-UONOGXRCSA-N 0 0 433.552 -0.087 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)[C@H](C)[C@H]2C)c1 ZINC000524582405 1072716116 /nfs/dbraw/zinc/71/61/16/1072716116.db2.gz KFTOFTZKJIJDTO-ZIAGYGMSSA-N 0 0 433.552 -0.087 20 0 IBADRN C[C@@H](CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000524587634 1072714129 /nfs/dbraw/zinc/71/41/29/1072714129.db2.gz VAOZHOVXEXKDID-INIZCTEOSA-N 0 0 447.579 -0.046 20 0 IBADRN C[C@H](CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000524587635 1072713928 /nfs/dbraw/zinc/71/39/28/1072713928.db2.gz VAOZHOVXEXKDID-MRXNPFEDSA-N 0 0 447.579 -0.046 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3ccc(Cl)cc3)C(=O)C2)c(=O)n(C)c1=O ZINC000524587752 1072713997 /nfs/dbraw/zinc/71/39/97/1072713997.db2.gz ZYVKLPFWLYPMDX-UHFFFAOYSA-N 0 0 426.882 -0.230 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524590318 1072715098 /nfs/dbraw/zinc/71/50/98/1072715098.db2.gz BZOVQIDAFJJOIJ-UHFFFAOYSA-N 0 0 430.465 -0.876 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1)N1CCCC1 ZINC000524591063 1072715950 /nfs/dbraw/zinc/71/59/50/1072715950.db2.gz ZBXCMWBXYBCRLX-UHFFFAOYSA-N 0 0 434.585 -0.120 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000524592680 1072716824 /nfs/dbraw/zinc/71/68/24/1072716824.db2.gz SVACQXRCNGCANI-AWEZNQCLSA-N 0 0 448.523 -0.605 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000524592681 1072716615 /nfs/dbraw/zinc/71/66/15/1072716615.db2.gz SVACQXRCNGCANI-CQSZACIVSA-N 0 0 448.523 -0.605 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000524593117 1072716506 /nfs/dbraw/zinc/71/65/06/1072716506.db2.gz IMIZMYSNEDKDPS-UHFFFAOYSA-N 0 0 430.552 -0.331 20 0 IBADRN Cn1cc(S(=O)(=O)N2CSC[C@@H]2C(=O)Nc2cccc(F)c2)c(=O)n(C)c1=O ZINC000524593342 1072716594 /nfs/dbraw/zinc/71/65/94/1072716594.db2.gz UNKMMFGSRDQBAX-GFCCVEGCSA-N 0 0 428.467 -0.075 20 0 IBADRN Cn1cc(S(=O)(=O)N2CSC[C@H]2C(=O)Nc2cccc(F)c2)c(=O)n(C)c1=O ZINC000524593343 1072716653 /nfs/dbraw/zinc/71/66/53/1072716653.db2.gz UNKMMFGSRDQBAX-LBPRGKRZSA-N 0 0 428.467 -0.075 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000524595865 1072716570 /nfs/dbraw/zinc/71/65/70/1072716570.db2.gz XOJBXEWOHMOHIA-GOSISDBHSA-N 0 0 437.518 -0.382 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000524595866 1072716559 /nfs/dbraw/zinc/71/65/59/1072716559.db2.gz XOJBXEWOHMOHIA-SFHVURJKSA-N 0 0 437.518 -0.382 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000524596728 1072716754 /nfs/dbraw/zinc/71/67/54/1072716754.db2.gz QXEUPTGIBYJAEO-UHFFFAOYSA-N 0 0 433.527 -0.221 20 0 IBADRN CCN(C(=O)CN1CCC(CNC(=O)c2cnn(C)c2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000524596821 1072716606 /nfs/dbraw/zinc/71/66/06/1072716606.db2.gz VHJXOUGKQAEXBF-KRWDZBQOSA-N 0 0 425.555 -0.103 20 0 IBADRN CCN(C(=O)CN1CCC(CNC(=O)c2cnn(C)c2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000524596822 1072716580 /nfs/dbraw/zinc/71/65/80/1072716580.db2.gz VHJXOUGKQAEXBF-QGZVFWFLSA-N 0 0 425.555 -0.103 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000524601955 1072717201 /nfs/dbraw/zinc/71/72/01/1072717201.db2.gz UGBXDDWHMYHGKC-UHFFFAOYSA-N 0 0 430.461 -0.320 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCC1=O ZINC000524602918 1072716076 /nfs/dbraw/zinc/71/60/76/1072716076.db2.gz PXGZXACHGCBVLP-CYBMUJFWSA-N 0 0 442.469 -0.478 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCC1=O ZINC000524602919 1072716056 /nfs/dbraw/zinc/71/60/56/1072716056.db2.gz PXGZXACHGCBVLP-ZDUSSCGKSA-N 0 0 442.469 -0.478 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(Br)ccc1N1CCOCC1 ZINC000524603288 1072716199 /nfs/dbraw/zinc/71/61/99/1072716199.db2.gz GLMKPVWHHPJIGH-UHFFFAOYSA-N 0 0 449.327 -0.110 20 0 IBADRN O=C(CNC(=O)c1ccccn1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000524606114 1072716231 /nfs/dbraw/zinc/71/62/31/1072716231.db2.gz OSJPAJQSDDIFEX-UHFFFAOYSA-N 0 0 432.506 -0.525 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCCc3nc(C4CC4)no3)CC2)CC1 ZINC000524606758 1072717210 /nfs/dbraw/zinc/71/72/10/1072717210.db2.gz CLQPHQMNWXUPNR-UHFFFAOYSA-N 0 0 426.543 -0.094 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000524614228 1072717339 /nfs/dbraw/zinc/71/73/39/1072717339.db2.gz ZOOPHSUVBYIMKQ-UHFFFAOYSA-N 0 0 439.494 -0.551 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCCCN2C(=O)CNC2=O)CC1 ZINC000524614577 1072717225 /nfs/dbraw/zinc/71/72/25/1072717225.db2.gz GSDOIFMYGUICTR-UHFFFAOYSA-N 0 0 442.498 -0.361 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000524614654 1072717116 /nfs/dbraw/zinc/71/71/16/1072717116.db2.gz PGDQOGCHJYPPFU-UHFFFAOYSA-N 0 0 449.493 -0.479 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCCN2C(=O)CNC2=O)cc1OC ZINC000524614684 1072717729 /nfs/dbraw/zinc/71/77/29/1072717729.db2.gz SMCLVYZFIYTKRH-UHFFFAOYSA-N 0 0 428.467 -0.570 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c(C)c1S(=O)(=O)NC1CC1 ZINC000524625782 1072717142 /nfs/dbraw/zinc/71/71/42/1072717142.db2.gz HDTJVZWMBNTQJE-UHFFFAOYSA-N 0 0 437.478 -0.649 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1C(=O)N(C)C ZINC000524626252 1072717101 /nfs/dbraw/zinc/71/71/01/1072717101.db2.gz UWZRFPNQHJOWJY-UHFFFAOYSA-N 0 0 431.493 -0.169 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1CCS(=O)(=O)CC1 ZINC000524631588 1072718121 /nfs/dbraw/zinc/71/81/21/1072718121.db2.gz NHOWSFMIUXMPHF-UHFFFAOYSA-N 0 0 446.547 -0.142 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cc1 ZINC000524637827 1072717788 /nfs/dbraw/zinc/71/77/88/1072717788.db2.gz WWKZVZLUYZILGS-UHFFFAOYSA-N 0 0 441.535 -0.089 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000524639008 1072717700 /nfs/dbraw/zinc/71/77/00/1072717700.db2.gz BXESJJXNLKYHDO-UHFFFAOYSA-N 0 0 436.560 -0.800 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccccc2S(N)(=O)=O)cn1 ZINC000524640869 1072717821 /nfs/dbraw/zinc/71/78/21/1072717821.db2.gz WMDMVLVUZXGZOZ-UHFFFAOYSA-N 0 0 430.512 -0.991 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000524643412 1072717748 /nfs/dbraw/zinc/71/77/48/1072717748.db2.gz XMQSCNFIUONYRQ-CHWSQXEVSA-N 0 0 437.565 -0.970 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000524643413 1072718066 /nfs/dbraw/zinc/71/80/66/1072718066.db2.gz XMQSCNFIUONYRQ-OLZOCXBDSA-N 0 0 437.565 -0.970 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000524643414 1072718204 /nfs/dbraw/zinc/71/82/04/1072718204.db2.gz XMQSCNFIUONYRQ-QWHCGFSZSA-N 0 0 437.565 -0.970 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000524643415 1072718219 /nfs/dbraw/zinc/71/82/19/1072718219.db2.gz XMQSCNFIUONYRQ-STQMWFEESA-N 0 0 437.565 -0.970 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CC(=O)N(CCOc3ccccc3)C1)c(=O)n2C ZINC000524643629 1072718137 /nfs/dbraw/zinc/71/81/37/1072718137.db2.gz IABBWXRXEWXCNZ-AWEZNQCLSA-N 0 0 440.460 -0.770 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CC(=O)N(CCOc3ccccc3)C1)c(=O)n2C ZINC000524643630 1072718048 /nfs/dbraw/zinc/71/80/48/1072718048.db2.gz IABBWXRXEWXCNZ-CQSZACIVSA-N 0 0 440.460 -0.770 20 0 IBADRN Cc1c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnn1-c1ccccn1 ZINC000524648550 1072719039 /nfs/dbraw/zinc/71/90/39/1072719039.db2.gz CHXDLLJXZWXSOR-UHFFFAOYSA-N 0 0 448.505 -0.733 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC2 ZINC000524648578 1072719083 /nfs/dbraw/zinc/71/90/83/1072719083.db2.gz DMHUHFOJSDLJHH-UHFFFAOYSA-N 0 0 428.492 -0.866 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000524648950 1072719035 /nfs/dbraw/zinc/71/90/35/1072719035.db2.gz NVYBAZZGQWCWJM-GOSISDBHSA-N 0 0 442.524 -0.722 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000524648951 1072719110 /nfs/dbraw/zinc/71/91/10/1072719110.db2.gz NVYBAZZGQWCWJM-SFHVURJKSA-N 0 0 442.524 -0.722 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000524650110 1072719510 /nfs/dbraw/zinc/71/95/10/1072719510.db2.gz FMLGDEDWERXUCX-UHFFFAOYSA-N 0 0 438.444 -0.048 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000524650335 1072719485 /nfs/dbraw/zinc/71/94/85/1072719485.db2.gz UDDHIFFGQYNJOM-UHFFFAOYSA-N 0 0 441.242 -0.595 20 0 IBADRN C[C@@H](NC(=O)c1ccc(N2CCCS2(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000524653909 1072719468 /nfs/dbraw/zinc/71/94/68/1072719468.db2.gz NSJANQCNSDIUNK-CYBMUJFWSA-N 0 0 429.520 -0.398 20 0 IBADRN C[C@H](NC(=O)c1ccc(N2CCCS2(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000524653910 1072719611 /nfs/dbraw/zinc/71/96/11/1072719611.db2.gz NSJANQCNSDIUNK-ZDUSSCGKSA-N 0 0 429.520 -0.398 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000524659962 1072718628 /nfs/dbraw/zinc/71/86/28/1072718628.db2.gz NJQSLYJFSDIQEX-UHFFFAOYSA-N 0 0 447.492 -0.264 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Nc2ccn(CC(=O)N(C)C)n2)c1 ZINC000524665684 1073317990 /nfs/dbraw/zinc/31/79/90/1073317990.db2.gz XWCZYHOXVLRYEJ-UHFFFAOYSA-N 0 0 437.482 -0.360 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000524666767 1072720181 /nfs/dbraw/zinc/72/01/81/1072720181.db2.gz JNWJGRAKKSXQOI-HNNXBMFYSA-N 0 0 436.509 -0.530 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000524666768 1072720127 /nfs/dbraw/zinc/72/01/27/1072720127.db2.gz JNWJGRAKKSXQOI-OAHLLOKOSA-N 0 0 436.509 -0.530 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000524668638 1072719968 /nfs/dbraw/zinc/71/99/68/1072719968.db2.gz QVTOQGNZSBEBMJ-UHFFFAOYSA-N 0 0 437.482 -0.991 20 0 IBADRN COCCN(CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)[C@H](C)C(N)=O ZINC000524669011 1072720051 /nfs/dbraw/zinc/72/00/51/1072720051.db2.gz IYBAQWBRHMSDNA-CRAIPNDOSA-N 0 0 434.493 -0.681 20 0 IBADRN COCCN(CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)[C@@H](C)C(N)=O ZINC000524669012 1072719995 /nfs/dbraw/zinc/71/99/95/1072719995.db2.gz IYBAQWBRHMSDNA-MAUKXSAKSA-N 0 0 434.493 -0.681 20 0 IBADRN COCCN(CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)[C@H](C)C(N)=O ZINC000524669013 1072720170 /nfs/dbraw/zinc/72/01/70/1072720170.db2.gz IYBAQWBRHMSDNA-QAPCUYQASA-N 0 0 434.493 -0.681 20 0 IBADRN COCCN(CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)[C@@H](C)C(N)=O ZINC000524669014 1072719985 /nfs/dbraw/zinc/71/99/85/1072719985.db2.gz IYBAQWBRHMSDNA-YJBOKZPZSA-N 0 0 434.493 -0.681 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1)[C@@H](C)C(N)=O ZINC000524669203 1072720107 /nfs/dbraw/zinc/72/01/07/1072720107.db2.gz UUBRSGMLQOQDDR-HNNXBMFYSA-N 0 0 442.538 -0.650 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1)[C@H](C)C(N)=O ZINC000524669204 1072720174 /nfs/dbraw/zinc/72/01/74/1072720174.db2.gz UUBRSGMLQOQDDR-OAHLLOKOSA-N 0 0 442.538 -0.650 20 0 IBADRN COc1ccc(C[C@]2(C)NC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C2=O)cc1 ZINC000524670214 1072720118 /nfs/dbraw/zinc/72/01/18/1072720118.db2.gz IOGBVTCQLATLDB-IBGZPJMESA-N 0 0 440.522 -0.054 20 0 IBADRN COc1ccc(C[C@@]2(C)NC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C2=O)cc1 ZINC000524670215 1072720013 /nfs/dbraw/zinc/72/00/13/1072720013.db2.gz IOGBVTCQLATLDB-LJQANCHMSA-N 0 0 440.522 -0.054 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000524673312 1072720194 /nfs/dbraw/zinc/72/01/94/1072720194.db2.gz LNBSUMXGNYLLEN-UHFFFAOYSA-N 0 0 439.472 -0.179 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)N2CCOCC2)s1 ZINC000524674733 1072719496 /nfs/dbraw/zinc/71/94/96/1072719496.db2.gz NMNGVWPZEMUBTE-UHFFFAOYSA-N 0 0 432.568 -0.111 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCC(=O)Nc2ccc(F)c(F)c2F)o1 ZINC000524674772 1072719626 /nfs/dbraw/zinc/71/96/26/1072719626.db2.gz VQMCPMGRSMNRIX-UHFFFAOYSA-N 0 0 434.352 -0.171 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000524682164 1072719532 /nfs/dbraw/zinc/71/95/32/1072719532.db2.gz MAPDUSZRPZOTNC-INIZCTEOSA-N 0 0 443.460 -0.843 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000524682165 1072719520 /nfs/dbraw/zinc/71/95/20/1072719520.db2.gz MAPDUSZRPZOTNC-MRXNPFEDSA-N 0 0 443.460 -0.843 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)NCc1cccc(C(=O)NC3CC3)c1)c2=O ZINC000524689823 1072720466 /nfs/dbraw/zinc/72/04/66/1072720466.db2.gz FZMHLOORWNGCDT-UHFFFAOYSA-N 0 0 438.444 -0.025 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)Cn1cnc2c(cnn2CC(=O)OC)c1=O ZINC000524689874 1072720624 /nfs/dbraw/zinc/72/06/24/1072720624.db2.gz JOIPJEGFTRETFR-UHFFFAOYSA-N 0 0 446.489 -0.008 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000524690276 1072720595 /nfs/dbraw/zinc/72/05/95/1072720595.db2.gz NKSYYTVDUGDXAZ-UHFFFAOYSA-N 0 0 445.567 -0.183 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000524690578 1072720455 /nfs/dbraw/zinc/72/04/55/1072720455.db2.gz CPNONXFFFVPSHD-UHFFFAOYSA-N 0 0 429.543 -0.818 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(c1)OCO2)NCCN1CCOCC1 ZINC000524692324 1072720991 /nfs/dbraw/zinc/72/09/91/1072720991.db2.gz BUURKQLIWBVYRV-INIZCTEOSA-N 0 0 432.477 -0.416 20 0 IBADRN O=C(CNC(=O)[C@H]1CCCN1C(=O)c1ccc2c(c1)OCO2)NCCN1CCOCC1 ZINC000524692325 1072721095 /nfs/dbraw/zinc/72/10/95/1072721095.db2.gz BUURKQLIWBVYRV-MRXNPFEDSA-N 0 0 432.477 -0.416 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000524702720 1072720584 /nfs/dbraw/zinc/72/05/84/1072720584.db2.gz PXKVFIWRYCNRFX-AWEZNQCLSA-N 0 0 437.584 -0.410 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000524702721 1072720518 /nfs/dbraw/zinc/72/05/18/1072720518.db2.gz PXKVFIWRYCNRFX-CQSZACIVSA-N 0 0 437.584 -0.410 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000524706231 1072721167 /nfs/dbraw/zinc/72/11/67/1072721167.db2.gz LMPZBBHNFONWBT-UHFFFAOYSA-N 0 0 444.488 -0.276 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)c(=O)[nH]c1=O ZINC000524707352 1072721467 /nfs/dbraw/zinc/72/14/67/1072721467.db2.gz KXOKUUOOZJXPBT-UHFFFAOYSA-N 0 0 434.434 -0.786 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)Cc2c(C)[nH]c3ccnn3c2=O)c1 ZINC000524707999 1072721560 /nfs/dbraw/zinc/72/15/60/1072721560.db2.gz PLMUQKSPIPYYLA-UHFFFAOYSA-N 0 0 433.446 -0.036 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)c(=O)n(C)c1=O ZINC000524709571 1074357069 /nfs/dbraw/zinc/35/70/69/1074357069.db2.gz NEZQXKZYKFQUEE-UHFFFAOYSA-N 0 0 445.505 -0.884 20 0 IBADRN Cn1cc(S(=O)(=O)N(CCCN2CCOCC2)Cc2ccncc2)c(=O)n(C)c1=O ZINC000524709689 1072721449 /nfs/dbraw/zinc/72/14/49/1072721449.db2.gz WTBZGIHIIXUYHE-UHFFFAOYSA-N 0 0 437.522 -0.608 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1OC ZINC000524710206 1072721476 /nfs/dbraw/zinc/72/14/76/1072721476.db2.gz HFOXBPSTFJLETL-GFCCVEGCSA-N 0 0 427.479 -0.805 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1OC ZINC000524710207 1072721524 /nfs/dbraw/zinc/72/15/24/1072721524.db2.gz HFOXBPSTFJLETL-LBPRGKRZSA-N 0 0 427.479 -0.805 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC[C@H](C(N)=O)C3)CC2)cc1 ZINC000524710350 1072721515 /nfs/dbraw/zinc/72/15/15/1072721515.db2.gz SMRCYIFMPLERDG-INIZCTEOSA-N 0 0 436.534 -0.058 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC[C@@H](C(N)=O)C3)CC2)cc1 ZINC000524710351 1072721419 /nfs/dbraw/zinc/72/14/19/1072721419.db2.gz SMRCYIFMPLERDG-MRXNPFEDSA-N 0 0 436.534 -0.058 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)c2cccc(F)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000524713866 1072721938 /nfs/dbraw/zinc/72/19/38/1072721938.db2.gz VUVVQVJOSVPPFB-INIZCTEOSA-N 0 0 440.497 -0.997 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)c2cccc(F)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000524713867 1072721988 /nfs/dbraw/zinc/72/19/88/1072721988.db2.gz VUVVQVJOSVPPFB-MRXNPFEDSA-N 0 0 440.497 -0.997 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1COCC(=O)N1Cc1ccccc1 ZINC000524713896 1072722007 /nfs/dbraw/zinc/72/20/07/1072722007.db2.gz AFYCWOCCSVIYHA-MOPGFXCFSA-N 0 0 430.505 -0.537 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1COCC(=O)N1Cc1ccccc1 ZINC000524713897 1072722017 /nfs/dbraw/zinc/72/20/17/1072722017.db2.gz AFYCWOCCSVIYHA-OALUTQOASA-N 0 0 430.505 -0.537 20 0 IBADRN COC[C@@](C)(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000524715782 1072721925 /nfs/dbraw/zinc/72/19/25/1072721925.db2.gz SLPHMYFNPZOGGC-IBGZPJMESA-N 0 0 427.523 -0.187 20 0 IBADRN COC[C@](C)(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000524715783 1072721996 /nfs/dbraw/zinc/72/19/96/1072721996.db2.gz SLPHMYFNPZOGGC-LJQANCHMSA-N 0 0 427.523 -0.187 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000524725823 1072722406 /nfs/dbraw/zinc/72/24/06/1072722406.db2.gz PGBQYZVNMBKBEY-UHFFFAOYSA-N 0 0 442.469 -0.478 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)CC1 ZINC000524725858 1072722358 /nfs/dbraw/zinc/72/23/58/1072722358.db2.gz RGVHEISLVYMZOH-UHFFFAOYSA-N 0 0 438.506 -0.209 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000524725931 1072721979 /nfs/dbraw/zinc/72/19/79/1072721979.db2.gz VBMFUDZSBJDWOL-UHFFFAOYSA-N 0 0 436.487 -0.740 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)CCO1 ZINC000524725998 1072722439 /nfs/dbraw/zinc/72/24/39/1072722439.db2.gz YAVZDQWREDTODT-HNNXBMFYSA-N 0 0 438.506 -0.262 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)CCO1 ZINC000524725999 1072722413 /nfs/dbraw/zinc/72/24/13/1072722413.db2.gz YAVZDQWREDTODT-OAHLLOKOSA-N 0 0 438.506 -0.262 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CCc2c(C)nc3c(C(N)=O)cnn3c2C)cn1 ZINC000524742295 1072722342 /nfs/dbraw/zinc/72/23/42/1072722342.db2.gz VYEXODXVXZJACV-UHFFFAOYSA-N 0 0 442.480 -0.025 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)cn1 ZINC000524742320 1072722448 /nfs/dbraw/zinc/72/24/48/1072722448.db2.gz AUQRYAIZMBARQC-UHFFFAOYSA-N 0 0 429.231 -0.691 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)C(C)(C)C)CC2)C[C@H](C)O1 ZINC000524743152 1072722470 /nfs/dbraw/zinc/72/24/70/1072722470.db2.gz KAZVJUGEDKMGOM-GASCZTMLSA-N 0 0 433.575 -0.170 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)C(C)(C)C)CC2)C[C@H](C)O1 ZINC000524743153 1072722424 /nfs/dbraw/zinc/72/24/24/1072722424.db2.gz KAZVJUGEDKMGOM-GJZGRUSLSA-N 0 0 433.575 -0.170 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)C(C)(C)C)CC2)C[C@@H](C)O1 ZINC000524743154 1072722378 /nfs/dbraw/zinc/72/23/78/1072722378.db2.gz KAZVJUGEDKMGOM-HUUCEWRRSA-N 0 0 433.575 -0.170 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000524743203 1072722429 /nfs/dbraw/zinc/72/24/29/1072722429.db2.gz VNZBJKMEGMDSFX-UHFFFAOYSA-N 0 0 429.499 -0.443 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524754807 1072722782 /nfs/dbraw/zinc/72/27/82/1072722782.db2.gz QVQRIEQWWAIOQF-UHFFFAOYSA-N 0 0 436.484 -0.334 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524758929 1072722796 /nfs/dbraw/zinc/72/27/96/1072722796.db2.gz HIRRGDNCZVUOOP-UHFFFAOYSA-N 0 0 444.529 -0.143 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NCCOc1ccc(F)cc1 ZINC000524759015 1072722899 /nfs/dbraw/zinc/72/28/99/1072722899.db2.gz UVWODBFWKFYFLJ-UHFFFAOYSA-N 0 0 430.502 -0.485 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCCOc3cccc(F)c3)CC2)CC1 ZINC000524759401 1072722885 /nfs/dbraw/zinc/72/28/85/1072722885.db2.gz ILQOMMAIOWMFGS-UHFFFAOYSA-N 0 0 443.545 -0.570 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCOc3ccc(S(C)(=O)=O)cc3)CC2)CC1 ZINC000524759426 1072722877 /nfs/dbraw/zinc/72/28/77/1072722877.db2.gz OPTZQFUMEZASJC-UHFFFAOYSA-N 0 0 446.595 -0.421 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)CN1CCOCC1 ZINC000524762116 1072722912 /nfs/dbraw/zinc/72/29/12/1072722912.db2.gz FIWLPBIPTPVKTM-IBGZPJMESA-N 0 0 440.522 -0.735 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)CN1CCOCC1 ZINC000524762117 1072722823 /nfs/dbraw/zinc/72/28/23/1072722823.db2.gz FIWLPBIPTPVKTM-LJQANCHMSA-N 0 0 440.522 -0.735 20 0 IBADRN O=C(CN1CCCC[C@@H]1CN1CCOCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000524766672 1072722802 /nfs/dbraw/zinc/72/28/02/1072722802.db2.gz WPIJLMLFYBPENE-MOPGFXCFSA-N 0 0 428.599 -0.496 20 0 IBADRN O=C(CN1CCCC[C@H]1CN1CCOCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000524766673 1072722815 /nfs/dbraw/zinc/72/28/15/1072722815.db2.gz WPIJLMLFYBPENE-OALUTQOASA-N 0 0 428.599 -0.496 20 0 IBADRN O=C(CN1CCCC[C@H]1CN1CCOCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524766674 1072722837 /nfs/dbraw/zinc/72/28/37/1072722837.db2.gz WPIJLMLFYBPENE-RBUKOAKNSA-N 0 0 428.599 -0.496 20 0 IBADRN O=C(CN1CCCC[C@@H]1CN1CCOCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000524766675 1072722941 /nfs/dbraw/zinc/72/29/41/1072722941.db2.gz WPIJLMLFYBPENE-RTBURBONSA-N 0 0 428.599 -0.496 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n1 ZINC000524771804 1072723498 /nfs/dbraw/zinc/72/34/98/1072723498.db2.gz WJSDDEYMZITQLC-KRWDZBQOSA-N 0 0 431.541 -0.628 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n1 ZINC000524771805 1072723453 /nfs/dbraw/zinc/72/34/53/1072723453.db2.gz WJSDDEYMZITQLC-QGZVFWFLSA-N 0 0 431.541 -0.628 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000524777760 1072723441 /nfs/dbraw/zinc/72/34/41/1072723441.db2.gz ZRMMOVBPWXJASN-UHFFFAOYSA-N 0 0 437.544 -0.451 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000524779149 1072723448 /nfs/dbraw/zinc/72/34/48/1072723448.db2.gz CLYBWIFOSMLSQR-AWEZNQCLSA-N 0 0 427.527 -0.515 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000524779150 1072723412 /nfs/dbraw/zinc/72/34/12/1072723412.db2.gz CLYBWIFOSMLSQR-CQSZACIVSA-N 0 0 427.527 -0.515 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000524782185 1072723309 /nfs/dbraw/zinc/72/33/09/1072723309.db2.gz VOOSSNGEJGBPBU-UHFFFAOYSA-N 0 0 447.536 -0.251 20 0 IBADRN COC(C)(C)CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000524789675 1072723348 /nfs/dbraw/zinc/72/33/48/1072723348.db2.gz VLYUOJPETXODIN-UHFFFAOYSA-N 0 0 434.540 -0.225 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCNC(=O)c2ccc(Cl)cc2)o1 ZINC000524794309 1072724093 /nfs/dbraw/zinc/72/40/93/1072724093.db2.gz GBJLZNNVANKHSI-UHFFFAOYSA-N 0 0 428.854 -0.144 20 0 IBADRN CCOC(=O)C1(NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)Cc2ccccc2C1 ZINC000524795127 1072707947 /nfs/dbraw/zinc/70/79/47/1072707947.db2.gz CDZJIPWVERWJMQ-UHFFFAOYSA-N 0 0 435.458 -0.126 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000524800264 1072724151 /nfs/dbraw/zinc/72/41/51/1072724151.db2.gz KKPYFJHFVFSVEQ-HNNXBMFYSA-N 0 0 432.872 -0.014 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000524800265 1072723917 /nfs/dbraw/zinc/72/39/17/1072723917.db2.gz KKPYFJHFVFSVEQ-OAHLLOKOSA-N 0 0 432.872 -0.014 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC000524803885 1072724654 /nfs/dbraw/zinc/72/46/54/1072724654.db2.gz XAKNGAYISDXNLR-KRWDZBQOSA-N 0 0 432.477 -0.012 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC000524803886 1072724541 /nfs/dbraw/zinc/72/45/41/1072724541.db2.gz XAKNGAYISDXNLR-QGZVFWFLSA-N 0 0 432.477 -0.012 20 0 IBADRN O=C(Nc1ccc(O[C@H]2CCOC2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000524803950 1072724476 /nfs/dbraw/zinc/72/44/76/1072724476.db2.gz YHHKTYVONYDSBK-KRWDZBQOSA-N 0 0 430.461 -0.258 20 0 IBADRN O=C(Nc1ccc(O[C@@H]2CCOC2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000524803952 1072724487 /nfs/dbraw/zinc/72/44/87/1072724487.db2.gz YHHKTYVONYDSBK-QGZVFWFLSA-N 0 0 430.461 -0.258 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000524804217 1072724525 /nfs/dbraw/zinc/72/45/25/1072724525.db2.gz PVRYYPIMTIOJKY-UHFFFAOYSA-N 0 0 448.523 -0.870 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNC(=O)C(C)C)cc1S(=O)(=O)NC1CC1 ZINC000524804720 1072724509 /nfs/dbraw/zinc/72/45/09/1072724509.db2.gz LJHSEEGZZMLAHV-UHFFFAOYSA-N 0 0 426.495 -0.037 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000524804865 1072724632 /nfs/dbraw/zinc/72/46/32/1072724632.db2.gz ZJMDDWRJFQLMBM-UHFFFAOYSA-N 0 0 433.508 -0.469 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)cc1S(=O)(=O)NC(C)(C)C ZINC000524804945 1072724645 /nfs/dbraw/zinc/72/46/45/1072724645.db2.gz MSTSIBVLFLQLIH-UHFFFAOYSA-N 0 0 434.540 -0.195 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCOC[C@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000524805443 1072724692 /nfs/dbraw/zinc/72/46/92/1072724692.db2.gz ZVOOPFWPCRFSKH-CYBMUJFWSA-N 0 0 440.522 -0.089 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCOC[C@@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000524805446 1072724710 /nfs/dbraw/zinc/72/47/10/1072724710.db2.gz ZVOOPFWPCRFSKH-ZDUSSCGKSA-N 0 0 440.522 -0.089 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(C)(=O)=O)cccc1S(=O)(=O)NC(C)(C)C ZINC000524805468 1072724532 /nfs/dbraw/zinc/72/45/32/1072724532.db2.gz WTIMSOBJPXEMKU-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC(C)(C)C)c1C ZINC000524805748 1072724501 /nfs/dbraw/zinc/72/45/01/1072724501.db2.gz KCMFFEVDZPQVLZ-UHFFFAOYSA-N 0 0 428.511 -0.111 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1S(=O)(=O)NC(C)(C)C ZINC000524805771 1072724491 /nfs/dbraw/zinc/72/44/91/1072724491.db2.gz LHZAIWMCECUPRU-CYBMUJFWSA-N 0 0 426.495 -0.277 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1S(=O)(=O)NC(C)(C)C ZINC000524805772 1072724700 /nfs/dbraw/zinc/72/47/00/1072724700.db2.gz LHZAIWMCECUPRU-ZDUSSCGKSA-N 0 0 426.495 -0.277 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000524806719 1072724550 /nfs/dbraw/zinc/72/45/50/1072724550.db2.gz AKEPYRBXTHIGSU-GOSISDBHSA-N 0 0 429.495 -0.022 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000524806720 1072724517 /nfs/dbraw/zinc/72/45/17/1072724517.db2.gz AKEPYRBXTHIGSU-SFHVURJKSA-N 0 0 429.495 -0.022 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC000524806820 1072725121 /nfs/dbraw/zinc/72/51/21/1072725121.db2.gz FTNURGWEKKHVGO-GOSISDBHSA-N 0 0 432.477 -0.010 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC000524806821 1072725169 /nfs/dbraw/zinc/72/51/69/1072725169.db2.gz FTNURGWEKKHVGO-SFHVURJKSA-N 0 0 432.477 -0.010 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)CC1 ZINC000524813658 1072725188 /nfs/dbraw/zinc/72/51/88/1072725188.db2.gz KBCKQSQJFWSIDM-UHFFFAOYSA-N 0 0 443.522 -0.205 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCOCC1)N1CCN(CCn2cncn2)CC1 ZINC000524825046 1072725720 /nfs/dbraw/zinc/72/57/20/1072725720.db2.gz POPSJGWGKOFINC-UHFFFAOYSA-N 0 0 440.551 -0.182 20 0 IBADRN CS[C@@H](CO)[C@@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000524832375 1072725094 /nfs/dbraw/zinc/72/50/94/1072725094.db2.gz VFCOQMJDNOWLEE-CABCVRRESA-N 0 0 431.584 -0.055 20 0 IBADRN CS[C@@H](CO)[C@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000524832376 1072725056 /nfs/dbraw/zinc/72/50/56/1072725056.db2.gz VFCOQMJDNOWLEE-GJZGRUSLSA-N 0 0 431.584 -0.055 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000524832377 1072725023 /nfs/dbraw/zinc/72/50/23/1072725023.db2.gz VFCOQMJDNOWLEE-HUUCEWRRSA-N 0 0 431.584 -0.055 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000524832378 1072725041 /nfs/dbraw/zinc/72/50/41/1072725041.db2.gz VFCOQMJDNOWLEE-LSDHHAIUSA-N 0 0 431.584 -0.055 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN(CCn2cncn2)CC1 ZINC000524832745 1072725153 /nfs/dbraw/zinc/72/51/53/1072725153.db2.gz DHNUXVMSHXTCCT-UHFFFAOYSA-N 0 0 434.522 -0.243 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCN(CCn2cncn2)CC1 ZINC000524833036 1072725137 /nfs/dbraw/zinc/72/51/37/1072725137.db2.gz UICNILAZVRJJEG-UHFFFAOYSA-N 0 0 439.567 -0.198 20 0 IBADRN Cn1cc(NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000524835000 1072725699 /nfs/dbraw/zinc/72/56/99/1072725699.db2.gz KIOJVHGMSPSSBF-UHFFFAOYSA-N 0 0 436.490 -0.324 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)Nc3cn(C)c(=O)n(C)c3=O)c2)CC1 ZINC000524835046 1072725627 /nfs/dbraw/zinc/72/56/27/1072725627.db2.gz OYKQITHUIFWYMC-UHFFFAOYSA-N 0 0 449.489 -0.811 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1cn(C)c(=O)n(C)c1=O ZINC000524836526 1072725759 /nfs/dbraw/zinc/72/57/59/1072725759.db2.gz WVHTZUPWFPELDC-UHFFFAOYSA-N 0 0 438.462 -0.634 20 0 IBADRN Cc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1N1CCCS1(=O)=O ZINC000524845122 1072725583 /nfs/dbraw/zinc/72/55/83/1072725583.db2.gz WMZQHNMQHVXMLM-UHFFFAOYSA-N 0 0 446.489 -0.079 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1OC ZINC000524855787 1072725743 /nfs/dbraw/zinc/72/57/43/1072725743.db2.gz UGYLXBDZFIYWOY-CYBMUJFWSA-N 0 0 449.551 -0.101 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1OC ZINC000524855788 1072725709 /nfs/dbraw/zinc/72/57/09/1072725709.db2.gz UGYLXBDZFIYWOY-ZDUSSCGKSA-N 0 0 449.551 -0.101 20 0 IBADRN O=C(C[C@H]1CN(Cc2ccccc2)CCO1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524857900 1072725530 /nfs/dbraw/zinc/72/55/30/1072725530.db2.gz TVJICKUGNASOFP-FQEVSTJZSA-N 0 0 444.532 -0.193 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524857901 1072725667 /nfs/dbraw/zinc/72/56/67/1072725667.db2.gz TVJICKUGNASOFP-HXUWFJFHSA-N 0 0 444.532 -0.193 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN(CCn3cncn3)CC2)cc(OC)c1OC ZINC000524858981 1072726097 /nfs/dbraw/zinc/72/60/97/1072726097.db2.gz MPEUZRFNLIZPDZ-UHFFFAOYSA-N 0 0 432.481 -0.122 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000524862970 1072726082 /nfs/dbraw/zinc/72/60/82/1072726082.db2.gz AGFCZRHURBFDPQ-CABCVRRESA-N 0 0 443.547 -0.107 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000524862971 1072726134 /nfs/dbraw/zinc/72/61/34/1072726134.db2.gz AGFCZRHURBFDPQ-GJZGRUSLSA-N 0 0 443.547 -0.107 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000524862972 1072726641 /nfs/dbraw/zinc/72/66/41/1072726641.db2.gz AGFCZRHURBFDPQ-HUUCEWRRSA-N 0 0 443.547 -0.107 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000524862973 1072726628 /nfs/dbraw/zinc/72/66/28/1072726628.db2.gz AGFCZRHURBFDPQ-LSDHHAIUSA-N 0 0 443.547 -0.107 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1cc(F)ccc1N1CCOCC1)c(=O)n2C ZINC000524864913 1072726650 /nfs/dbraw/zinc/72/66/50/1072726650.db2.gz HWUVGQSZFNNWPF-UHFFFAOYSA-N 0 0 430.440 -0.274 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000524873445 1072726634 /nfs/dbraw/zinc/72/66/34/1072726634.db2.gz LGXQCICXIFAUSC-GDBMZVCRSA-N 0 0 443.547 -0.107 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000524873446 1072726564 /nfs/dbraw/zinc/72/65/64/1072726564.db2.gz LGXQCICXIFAUSC-GOEBONIOSA-N 0 0 443.547 -0.107 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000524873447 1072726580 /nfs/dbraw/zinc/72/65/80/1072726580.db2.gz LGXQCICXIFAUSC-HOCLYGCPSA-N 0 0 443.547 -0.107 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000524873448 1072726605 /nfs/dbraw/zinc/72/66/05/1072726605.db2.gz LGXQCICXIFAUSC-ZBFHGGJFSA-N 0 0 443.547 -0.107 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cc1 ZINC000524874410 1072727032 /nfs/dbraw/zinc/72/70/32/1072727032.db2.gz LZWHIZSHHOFZQS-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cc1 ZINC000524874411 1072727108 /nfs/dbraw/zinc/72/71/08/1072727108.db2.gz LZWHIZSHHOFZQS-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCN(C)C(=O)C2)c1OC ZINC000524874919 1072727097 /nfs/dbraw/zinc/72/70/97/1072727097.db2.gz BVFCNNKHOTZTJG-UHFFFAOYSA-N 0 0 427.479 -0.361 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000524875280 1072727074 /nfs/dbraw/zinc/72/70/74/1072727074.db2.gz PLKOBYZGMVSAMP-AWEZNQCLSA-N 0 0 432.524 -0.407 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000524875283 1072727060 /nfs/dbraw/zinc/72/70/60/1072727060.db2.gz PLKOBYZGMVSAMP-CQSZACIVSA-N 0 0 432.524 -0.407 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cccnc2)CC1 ZINC000524875549 1072727026 /nfs/dbraw/zinc/72/70/26/1072727026.db2.gz ZXVLXEIUSDHYDR-IMFGXOCKSA-N 0 0 429.521 -0.008 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2cccnc2)CC1 ZINC000524875550 1072727070 /nfs/dbraw/zinc/72/70/70/1072727070.db2.gz ZXVLXEIUSDHYDR-KPFFTGBYSA-N 0 0 429.521 -0.008 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cccnc2)CC1 ZINC000524875551 1072727093 /nfs/dbraw/zinc/72/70/93/1072727093.db2.gz ZXVLXEIUSDHYDR-POAQFYNOSA-N 0 0 429.521 -0.008 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cccnc2)CC1 ZINC000524875552 1072727078 /nfs/dbraw/zinc/72/70/78/1072727078.db2.gz ZXVLXEIUSDHYDR-YVWKXTFCSA-N 0 0 429.521 -0.008 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCN(C)C(=O)C3)CC2)cc1 ZINC000524876811 1072727017 /nfs/dbraw/zinc/72/70/17/1072727017.db2.gz KUBAHYZCQUJYKY-UHFFFAOYSA-N 0 0 436.534 -0.091 20 0 IBADRN O=C(NCCCOC[C@@H]1CCOC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524888113 1072727438 /nfs/dbraw/zinc/72/74/38/1072727438.db2.gz JSXDZSSKZNCIQZ-INIZCTEOSA-N 0 0 434.559 -0.230 20 0 IBADRN O=C(NCCCOC[C@H]1CCOC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000524888114 1072727412 /nfs/dbraw/zinc/72/74/12/1072727412.db2.gz JSXDZSSKZNCIQZ-MRXNPFEDSA-N 0 0 434.559 -0.230 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000524890842 1072727400 /nfs/dbraw/zinc/72/74/00/1072727400.db2.gz PHHHYRIQEOLINA-UHFFFAOYSA-N 0 0 428.529 -0.104 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(S(=O)(=O)CCCS(C)(=O)=O)CC1 ZINC000524891108 1072727430 /nfs/dbraw/zinc/72/74/30/1072727430.db2.gz WXBBWLJQIOKUKG-UHFFFAOYSA-N 0 0 445.629 -0.122 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)c1 ZINC000524891364 1072727103 /nfs/dbraw/zinc/72/71/03/1072727103.db2.gz HLDMVMJYDDPWHO-UHFFFAOYSA-N 0 0 432.458 -0.040 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000524891523 1072727047 /nfs/dbraw/zinc/72/70/47/1072727047.db2.gz SFQJGUXCZIMSOG-UHFFFAOYSA-N 0 0 440.565 -0.438 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000524906054 1072727385 /nfs/dbraw/zinc/72/73/85/1072727385.db2.gz CRKUIMWCXSJZBX-UHFFFAOYSA-N 0 0 447.539 -0.215 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)[C@H]1c1cccnc1 ZINC000524907789 1072727852 /nfs/dbraw/zinc/72/78/52/1072727852.db2.gz FGAPGVBNJFGLIN-FOIQADDNSA-N 0 0 427.461 -0.579 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)[C@H]1c1cccnc1 ZINC000524907793 1072727842 /nfs/dbraw/zinc/72/78/42/1072727842.db2.gz FGAPGVBNJFGLIN-MGPUTAFESA-N 0 0 427.461 -0.579 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)[C@@H]1c1cccnc1 ZINC000524907794 1072727908 /nfs/dbraw/zinc/72/79/08/1072727908.db2.gz FGAPGVBNJFGLIN-QRWLVFNGSA-N 0 0 427.461 -0.579 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)[C@@H]1c1cccnc1 ZINC000524907796 1072727869 /nfs/dbraw/zinc/72/78/69/1072727869.db2.gz FGAPGVBNJFGLIN-YWZLYKJASA-N 0 0 427.461 -0.579 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000524908752 1072727831 /nfs/dbraw/zinc/72/78/31/1072727831.db2.gz UVDYOYPXOKTUFC-UHFFFAOYSA-N 0 0 438.444 -0.711 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NCCCS(N)(=O)=O)ccc1F ZINC000524914080 1072727848 /nfs/dbraw/zinc/72/78/48/1072727848.db2.gz BMMRVAIAUCDURH-UHFFFAOYSA-N 0 0 438.503 -0.364 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c1 ZINC000524914204 1072727818 /nfs/dbraw/zinc/72/78/18/1072727818.db2.gz PXQQOXUZHGVEDU-AWEZNQCLSA-N 0 0 428.511 -0.350 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c1 ZINC000524914208 1072727827 /nfs/dbraw/zinc/72/78/27/1072727827.db2.gz PXQQOXUZHGVEDU-CQSZACIVSA-N 0 0 428.511 -0.350 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)ccc1F ZINC000524914583 1072727812 /nfs/dbraw/zinc/72/78/12/1072727812.db2.gz SVVPZKZUGJZEAX-UHFFFAOYSA-N 0 0 443.457 -0.491 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)c(C)c1S(=O)(=O)NC1CC1 ZINC000524914913 1072727886 /nfs/dbraw/zinc/72/78/86/1072727886.db2.gz NTSCXZHQPLHCRQ-UHFFFAOYSA-N 0 0 432.524 -0.523 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000524915646 1072727856 /nfs/dbraw/zinc/72/78/56/1072727856.db2.gz HTTSTIMKAWXKMV-UHFFFAOYSA-N 0 0 432.524 -0.471 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000524916251 1072727823 /nfs/dbraw/zinc/72/78/23/1072727823.db2.gz FIPSUUDEGVELEJ-UHFFFAOYSA-N 0 0 436.556 -0.127 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1N1CCCS1(=O)=O ZINC000524916628 1072727882 /nfs/dbraw/zinc/72/78/82/1072727882.db2.gz NDNPQCKDFXXQRS-UHFFFAOYSA-N 0 0 444.535 -0.375 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cccc1S(=O)(=O)NC1CC1 ZINC000524917039 1072727890 /nfs/dbraw/zinc/72/78/90/1072727890.db2.gz YIJNCRANIDUBNO-UHFFFAOYSA-N 0 0 444.535 -0.474 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)c1 ZINC000524917750 1072727861 /nfs/dbraw/zinc/72/78/61/1072727861.db2.gz ZBFAOKRHQGJKQH-GFCCVEGCSA-N 0 0 441.462 -0.162 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)c1 ZINC000524917751 1072728227 /nfs/dbraw/zinc/72/82/27/1072728227.db2.gz ZBFAOKRHQGJKQH-LBPRGKRZSA-N 0 0 441.462 -0.162 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1S(=O)(=O)NC1CC1 ZINC000524917786 1072728240 /nfs/dbraw/zinc/72/82/40/1072728240.db2.gz BOEDEXVDOZPJPY-UHFFFAOYSA-N 0 0 437.478 -0.568 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000524918163 1072728323 /nfs/dbraw/zinc/72/83/23/1072728323.db2.gz KOBJGTSSSRCQCY-UHFFFAOYSA-N 0 0 436.556 -0.127 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000524918289 1072728232 /nfs/dbraw/zinc/72/82/32/1072728232.db2.gz HOHSTKWNMWODFJ-AWEZNQCLSA-N 0 0 442.469 -0.432 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000524918290 1072728354 /nfs/dbraw/zinc/72/83/54/1072728354.db2.gz HOHSTKWNMWODFJ-CQSZACIVSA-N 0 0 442.469 -0.432 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1 ZINC000524918646 1072728206 /nfs/dbraw/zinc/72/82/06/1072728206.db2.gz UKJDHSIZZCTNNJ-UHFFFAOYSA-N 0 0 430.508 -0.441 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000524919335 1072728342 /nfs/dbraw/zinc/72/83/42/1072728342.db2.gz LNIAWLATRRVINH-GFCCVEGCSA-N 0 0 433.483 -0.150 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000524919336 1072728165 /nfs/dbraw/zinc/72/81/65/1072728165.db2.gz LNIAWLATRRVINH-LBPRGKRZSA-N 0 0 433.483 -0.150 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)c(C)c1S(=O)(=O)NC1CC1 ZINC000524919676 1072728313 /nfs/dbraw/zinc/72/83/13/1072728313.db2.gz VTXWHCKCBLQEOO-UHFFFAOYSA-N 0 0 436.490 -0.052 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)c(C)c1S(=O)(=O)NC1CC1 ZINC000524919915 1072728275 /nfs/dbraw/zinc/72/82/75/1072728275.db2.gz XOYVJQSGYJMWRN-UHFFFAOYSA-N 0 0 429.520 -0.060 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1)N1CCOCC1 ZINC000524920030 1072728178 /nfs/dbraw/zinc/72/81/78/1072728178.db2.gz YWPCCFWENPVNLA-KRWDZBQOSA-N 0 0 442.472 -0.965 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1)N1CCOCC1 ZINC000524920031 1072728199 /nfs/dbraw/zinc/72/81/99/1072728199.db2.gz YWPCCFWENPVNLA-QGZVFWFLSA-N 0 0 442.472 -0.965 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000524920171 1072728296 /nfs/dbraw/zinc/72/82/96/1072728296.db2.gz CPTKGQCHLUPDED-UHFFFAOYSA-N 0 0 446.551 -0.180 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000524920918 1072728304 /nfs/dbraw/zinc/72/83/04/1072728304.db2.gz SYFDTLNYIBGLTB-UHFFFAOYSA-N 0 0 448.498 -0.691 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000524920940 1072728286 /nfs/dbraw/zinc/72/82/86/1072728286.db2.gz UTPZHYLOJVBBRM-UHFFFAOYSA-N 0 0 446.551 -0.180 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000524921050 1072728695 /nfs/dbraw/zinc/72/86/95/1072728695.db2.gz YPSAOEKBUBNBNG-CYBMUJFWSA-N 0 0 429.426 -0.395 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000524921110 1072728333 /nfs/dbraw/zinc/72/83/33/1072728333.db2.gz YPSAOEKBUBNBNG-ZDUSSCGKSA-N 0 0 429.426 -0.395 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)CCO1 ZINC000524921115 1072728257 /nfs/dbraw/zinc/72/82/57/1072728257.db2.gz ZDZUEPGRIUQYDJ-HNNXBMFYSA-N 0 0 425.463 -0.126 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)CCO1 ZINC000524921116 1072728183 /nfs/dbraw/zinc/72/81/83/1072728183.db2.gz ZDZUEPGRIUQYDJ-OAHLLOKOSA-N 0 0 425.463 -0.126 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1S(=O)(=O)NC1CC1 ZINC000524921145 1072728270 /nfs/dbraw/zinc/72/82/70/1072728270.db2.gz ALZZBMXXFHGNGS-UHFFFAOYSA-N 0 0 446.489 -0.290 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cccc1S(=O)(=O)NC1CC1 ZINC000524921220 1072728214 /nfs/dbraw/zinc/72/82/14/1072728214.db2.gz CPSJONZMNDVHFO-UHFFFAOYSA-N 0 0 446.489 -0.290 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000524923748 1072729109 /nfs/dbraw/zinc/72/91/09/1072729109.db2.gz FKLVBTOSUTUCRG-CABCVRRESA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000524923749 1072729099 /nfs/dbraw/zinc/72/90/99/1072729099.db2.gz FKLVBTOSUTUCRG-GJZGRUSLSA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000524923750 1072729229 /nfs/dbraw/zinc/72/92/29/1072729229.db2.gz FKLVBTOSUTUCRG-HUUCEWRRSA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000524923751 1072729118 /nfs/dbraw/zinc/72/91/18/1072729118.db2.gz FKLVBTOSUTUCRG-LSDHHAIUSA-N 0 0 438.572 -0.754 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000524927645 1072728672 /nfs/dbraw/zinc/72/86/72/1072728672.db2.gz BOQNDUPFFUVUFP-KBPBESRZSA-N 0 0 428.511 -0.655 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000524927646 1072728700 /nfs/dbraw/zinc/72/87/00/1072728700.db2.gz BOQNDUPFFUVUFP-KGLIPLIRSA-N 0 0 428.511 -0.655 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000524927647 1072728723 /nfs/dbraw/zinc/72/87/23/1072728723.db2.gz BOQNDUPFFUVUFP-UONOGXRCSA-N 0 0 428.511 -0.655 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000524927648 1072728755 /nfs/dbraw/zinc/72/87/55/1072728755.db2.gz BOQNDUPFFUVUFP-ZIAGYGMSSA-N 0 0 428.511 -0.655 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000524930778 1072728650 /nfs/dbraw/zinc/72/86/50/1072728650.db2.gz YZKOVOJUROAUGF-UHFFFAOYSA-N 0 0 431.493 -0.699 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000524939123 1072728709 /nfs/dbraw/zinc/72/87/09/1072728709.db2.gz LLTUJFZAYGNKBU-GOSISDBHSA-N 0 0 428.489 -0.293 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000524939124 1072728727 /nfs/dbraw/zinc/72/87/27/1072728727.db2.gz LLTUJFZAYGNKBU-SFHVURJKSA-N 0 0 428.489 -0.293 20 0 IBADRN COCC(=O)N[C@H](C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(C)C ZINC000524939429 1072728680 /nfs/dbraw/zinc/72/86/80/1072728680.db2.gz DPTIQIRXZDYVRC-SFHVURJKSA-N 0 0 441.554 -0.563 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000524940015 1072728688 /nfs/dbraw/zinc/72/86/88/1072728688.db2.gz XBPACADBYQKOTM-BBWFWOEESA-N 0 0 430.571 -0.322 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000524940016 1072728758 /nfs/dbraw/zinc/72/87/58/1072728758.db2.gz XBPACADBYQKOTM-GVDBMIGSSA-N 0 0 430.571 -0.322 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000524940017 1072728771 /nfs/dbraw/zinc/72/87/71/1072728771.db2.gz XBPACADBYQKOTM-ULQDDVLXSA-N 0 0 430.571 -0.322 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000524940018 1072728704 /nfs/dbraw/zinc/72/87/04/1072728704.db2.gz XBPACADBYQKOTM-YESZJQIVSA-N 0 0 430.571 -0.322 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000524942545 1072729218 /nfs/dbraw/zinc/72/92/18/1072729218.db2.gz KSYOLNOAORCUEI-UHFFFAOYSA-N 0 0 433.508 -0.641 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000524946347 1072729525 /nfs/dbraw/zinc/72/95/25/1072729525.db2.gz RZLSZZHZVGHAHV-HNNXBMFYSA-N 0 0 449.555 -0.565 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000524946348 1072729531 /nfs/dbraw/zinc/72/95/31/1072729531.db2.gz RZLSZZHZVGHAHV-OAHLLOKOSA-N 0 0 449.555 -0.565 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)c3cccc(C(N)=O)c3)CC2)cn1 ZINC000524947611 1072729542 /nfs/dbraw/zinc/72/95/42/1072729542.db2.gz XMFPWCOCIYYTBN-UHFFFAOYSA-N 0 0 427.508 -0.349 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1 ZINC000524948156 1072729537 /nfs/dbraw/zinc/72/95/37/1072729537.db2.gz WDRVDWHAJRQZPB-AWEZNQCLSA-N 0 0 448.571 -0.704 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1 ZINC000524948157 1072729549 /nfs/dbraw/zinc/72/95/49/1072729549.db2.gz WDRVDWHAJRQZPB-CQSZACIVSA-N 0 0 448.571 -0.704 20 0 IBADRN C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000524949142 1072729986 /nfs/dbraw/zinc/72/99/86/1072729986.db2.gz JTXYFFWYFJJQBP-DOTOQJQBSA-N 0 0 440.504 -0.161 20 0 IBADRN C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000524949143 1072729881 /nfs/dbraw/zinc/72/98/81/1072729881.db2.gz JTXYFFWYFJJQBP-NVXWUHKLSA-N 0 0 440.504 -0.161 20 0 IBADRN C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000524949144 1072729942 /nfs/dbraw/zinc/72/99/42/1072729942.db2.gz JTXYFFWYFJJQBP-RDJZCZTQSA-N 0 0 440.504 -0.161 20 0 IBADRN C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000524949145 1072730104 /nfs/dbraw/zinc/73/01/04/1072730104.db2.gz JTXYFFWYFJJQBP-WBVHZDCISA-N 0 0 440.504 -0.161 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CCCS(=O)(=O)N3CCCC3)CC2)cn1 ZINC000524951308 1072729260 /nfs/dbraw/zinc/72/92/60/1072729260.db2.gz NZEAHDSCTSKOBK-UHFFFAOYSA-N 0 0 447.583 -0.105 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000524952222 1072729240 /nfs/dbraw/zinc/72/92/40/1072729240.db2.gz VUTHMIXKAUWTAY-UHFFFAOYSA-N 0 0 444.539 -0.418 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000524952431 1072729174 /nfs/dbraw/zinc/72/91/74/1072729174.db2.gz CQPFQDOMFOPGRN-AWEZNQCLSA-N 0 0 447.583 -0.249 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000524952432 1072729283 /nfs/dbraw/zinc/72/92/83/1072729283.db2.gz CQPFQDOMFOPGRN-CQSZACIVSA-N 0 0 447.583 -0.249 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)Cn3c(=O)[nH]c4ccccc4c3=O)CC2)cn1 ZINC000524954538 1072729087 /nfs/dbraw/zinc/72/90/87/1072729087.db2.gz VEPWPEFWPDJREI-UHFFFAOYSA-N 0 0 446.489 -0.195 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCC(=O)N2CCN(c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000524958953 1072729154 /nfs/dbraw/zinc/72/91/54/1072729154.db2.gz CWYIKZZBZOLAEQ-UHFFFAOYSA-N 0 0 449.533 -0.509 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCN(C(=O)COc2ccccc2)C1)NCCN1CCOCC1 ZINC000524966159 1072730040 /nfs/dbraw/zinc/73/00/40/1072730040.db2.gz JAFYLVKZMNNHRB-GOSISDBHSA-N 0 0 432.521 -0.131 20 0 IBADRN O=C(CNC(=O)[C@H]1CCCN(C(=O)COc2ccccc2)C1)NCCN1CCOCC1 ZINC000524966160 1072729964 /nfs/dbraw/zinc/72/99/64/1072729964.db2.gz JAFYLVKZMNNHRB-SFHVURJKSA-N 0 0 432.521 -0.131 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000524968414 1072730029 /nfs/dbraw/zinc/73/00/29/1072730029.db2.gz RPKKPKHJLMMEMU-UHFFFAOYSA-N 0 0 434.478 -0.274 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCC(C)(C)N3CCOCC3)CC2)cn1 ZINC000524974706 1072730417 /nfs/dbraw/zinc/73/04/17/1072730417.db2.gz FEEFXTRTYVMNRB-UHFFFAOYSA-N 0 0 428.559 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN(c4cnccn4)CC3)CC2)cn1 ZINC000524975477 1072730463 /nfs/dbraw/zinc/73/04/63/1072730463.db2.gz SOMWVAQZVXDTSK-UHFFFAOYSA-N 0 0 434.526 -0.105 20 0 IBADRN O=C(CCCNS(=O)(=O)N1CCS(=O)(=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000524978848 1072730715 /nfs/dbraw/zinc/73/07/15/1072730715.db2.gz JDCQTOQGJRVYDK-UHFFFAOYSA-N 0 0 444.579 -0.320 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN(c4cccnn4)CC3)CC2)cn1 ZINC000524981036 1072730082 /nfs/dbraw/zinc/73/00/82/1072730082.db2.gz LAGBGDNLUSAIKO-UHFFFAOYSA-N 0 0 434.526 -0.105 20 0 IBADRN CCOCCN1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000524981602 1072729811 /nfs/dbraw/zinc/72/98/11/1072729811.db2.gz AVQDLOAXODEGLD-UHFFFAOYSA-N 0 0 428.559 -0.063 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCN3CCOC(C)(C)C3)CC2)cn1 ZINC000524981816 1072730056 /nfs/dbraw/zinc/73/00/56/1072730056.db2.gz WQKKRVRUROGALS-UHFFFAOYSA-N 0 0 428.559 -0.017 20 0 IBADRN O=C(NCc1nnc(-c2ccc(F)cc2)[nH]1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000524990588 1072731724 /nfs/dbraw/zinc/73/17/24/1072731724.db2.gz UJXJICKRYLIXIW-UHFFFAOYSA-N 0 0 445.455 -0.177 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCC(=O)N3CCN(C)CC3)CC2)cn1 ZINC000524994162 1072731431 /nfs/dbraw/zinc/73/14/31/1072731431.db2.gz PKXAXRAIJMRKKG-UHFFFAOYSA-N 0 0 441.558 -0.964 20 0 IBADRN COc1ccc(-c2nnc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)[nH]2)cc1 ZINC000524996676 1072730677 /nfs/dbraw/zinc/73/06/77/1072730677.db2.gz OPBOUIGYQYYZNK-UHFFFAOYSA-N 0 0 426.441 -0.479 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN(Cc4ncc[nH]4)CC3)CC2)cn1 ZINC000524999710 1072730451 /nfs/dbraw/zinc/73/04/51/1072730451.db2.gz XPLVGJKCDHUYQD-UHFFFAOYSA-N 0 0 436.542 -0.176 20 0 IBADRN O=C(Nc1cc(F)c(F)c(F)c1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000525000334 1072730439 /nfs/dbraw/zinc/73/04/39/1072730439.db2.gz NOSWKCAZDOVESV-UHFFFAOYSA-N 0 0 436.412 -0.236 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)c1cccc(S(N)(=O)=O)c1)CC2 ZINC000525007785 1072731614 /nfs/dbraw/zinc/73/16/14/1072731614.db2.gz PEILTOOTPAUNEX-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)[N-]c2cnn(CC(=O)NCC[NH+](C)C)c2)c1 ZINC000525008431 1072731485 /nfs/dbraw/zinc/73/14/85/1072731485.db2.gz FRAFBIFVNPHRML-UHFFFAOYSA-N 0 0 444.539 -0.730 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)cn1 ZINC000525008956 1072731698 /nfs/dbraw/zinc/73/16/98/1072731698.db2.gz RFUBDHZLGBVMDT-UHFFFAOYSA-N 0 0 437.526 -0.074 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)cn1 ZINC000525010849 1072732285 /nfs/dbraw/zinc/73/22/85/1072732285.db2.gz MHKGXMYJESTSRM-UHFFFAOYSA-N 0 0 430.512 -0.991 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)c1ccccc1S(N)(=O)=O)CC2 ZINC000525012653 1072732113 /nfs/dbraw/zinc/73/21/13/1072732113.db2.gz GMACQTXVIMKJRH-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2cccc(S(C)(=O)=O)c2)cn1 ZINC000525012841 1072732214 /nfs/dbraw/zinc/73/22/14/1072732214.db2.gz JGUTYGMHITYLLF-UHFFFAOYSA-N 0 0 429.524 -0.235 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(c3ncnc4ccsc43)CC1)c(=O)n2C ZINC000525032420 1072732280 /nfs/dbraw/zinc/73/22/80/1072732280.db2.gz ODJUDVSZPRKQIB-UHFFFAOYSA-N 0 0 440.489 -0.213 20 0 IBADRN CS(=O)(=O)C1CCC(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000525040059 1072732801 /nfs/dbraw/zinc/73/28/01/1072732801.db2.gz STRAFHYSODGYQY-UHFFFAOYSA-N 0 0 443.547 -0.107 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000525055164 1072733693 /nfs/dbraw/zinc/73/36/93/1072733693.db2.gz TYPVTFBWRFSMAP-AWEZNQCLSA-N 0 0 443.547 -0.090 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000525055166 1072733677 /nfs/dbraw/zinc/73/36/77/1072733677.db2.gz TYPVTFBWRFSMAP-CQSZACIVSA-N 0 0 443.547 -0.090 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCOc2ccccc2)C1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000525059938 1072733765 /nfs/dbraw/zinc/73/37/65/1072733765.db2.gz NMWTVSPMYPCVFD-MOPGFXCFSA-N 0 0 444.532 -0.037 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCOc2ccccc2)C1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000525059944 1072733705 /nfs/dbraw/zinc/73/37/05/1072733705.db2.gz NMWTVSPMYPCVFD-OALUTQOASA-N 0 0 444.532 -0.037 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCOc2ccccc2)C1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000525059945 1072733803 /nfs/dbraw/zinc/73/38/03/1072733803.db2.gz NMWTVSPMYPCVFD-RBUKOAKNSA-N 0 0 444.532 -0.037 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCOc2ccccc2)C1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000525059948 1072733907 /nfs/dbraw/zinc/73/39/07/1072733907.db2.gz NMWTVSPMYPCVFD-RTBURBONSA-N 0 0 444.532 -0.037 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCn3c(Cc4ccccc4)nnc3C1)c(=O)n2C ZINC000525065405 1072733159 /nfs/dbraw/zinc/73/31/59/1072733159.db2.gz VLELJTFMUOBCHK-UHFFFAOYSA-N 0 0 434.460 -0.342 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000525070348 1072736418 /nfs/dbraw/zinc/73/64/18/1072736418.db2.gz URBNZOLENRKVJS-GOSISDBHSA-N 0 0 438.550 -0.211 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000525070349 1072736451 /nfs/dbraw/zinc/73/64/51/1072736451.db2.gz URBNZOLENRKVJS-SFHVURJKSA-N 0 0 438.550 -0.211 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCN3C(=O)COc4ccccc43)c2c(=O)n(C)c1=O ZINC000525074740 1072736920 /nfs/dbraw/zinc/73/69/20/1072736920.db2.gz QZLGBPFGMOCVHL-UHFFFAOYSA-N 0 0 440.460 -0.244 20 0 IBADRN COC(=O)c1cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(OC)c(OC)c1 ZINC000525077191 1072734844 /nfs/dbraw/zinc/73/48/44/1072734844.db2.gz UEFLYJNOLXBGHE-UHFFFAOYSA-N 0 0 431.405 -0.124 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC000525081986 1072735240 /nfs/dbraw/zinc/73/52/40/1072735240.db2.gz CSDKETIDCKKLQL-UHFFFAOYSA-N 0 0 446.489 -0.679 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2F)nn1 ZINC000525083707 1072735293 /nfs/dbraw/zinc/73/52/93/1072735293.db2.gz RBXUFUDOWIAINT-UHFFFAOYSA-N 0 0 440.457 -0.221 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)CCNS(=O)(=O)c1cn(C)c(C)n1)CC2 ZINC000525084652 1072735687 /nfs/dbraw/zinc/73/56/87/1072735687.db2.gz QEAJFGUZMCNISF-UHFFFAOYSA-N 0 0 441.535 -0.106 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@H]1CC(=O)N(CCN3CCOCC3)C1)CC2 ZINC000525084843 1072735792 /nfs/dbraw/zinc/73/57/92/1072735792.db2.gz CMTLDWBEUCUCTF-INIZCTEOSA-N 0 0 436.534 -0.336 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@@H]1CC(=O)N(CCN3CCOCC3)C1)CC2 ZINC000525084844 1072735860 /nfs/dbraw/zinc/73/58/60/1072735860.db2.gz CMTLDWBEUCUCTF-MRXNPFEDSA-N 0 0 436.534 -0.336 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)CCn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC000525086870 1072735673 /nfs/dbraw/zinc/73/56/73/1072735673.db2.gz FANUGMKJAWGZJA-UHFFFAOYSA-N 0 0 446.489 -0.679 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)c1cc3c(n1C)n(C)c(=O)n(C)c3=O)CC2 ZINC000525086986 1072735828 /nfs/dbraw/zinc/73/58/28/1072735828.db2.gz FSLFHHMIHCCOCW-UHFFFAOYSA-N 0 0 431.474 -0.313 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CNC(=O)c2cnc3ccccc3c2O)cn1 ZINC000525091000 1072736371 /nfs/dbraw/zinc/73/63/71/1072736371.db2.gz HCFLBVVVRQFDQN-UHFFFAOYSA-N 0 0 426.433 -0.144 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)cn1 ZINC000525091876 1072737334 /nfs/dbraw/zinc/73/73/34/1072737334.db2.gz LHTDYBUTFCNMNF-UHFFFAOYSA-N 0 0 440.460 -0.344 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000525093843 1072737457 /nfs/dbraw/zinc/73/74/57/1072737457.db2.gz KXZYACJLNZJILK-KRWDZBQOSA-N 0 0 439.480 -0.031 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000525093847 1072737403 /nfs/dbraw/zinc/73/74/03/1072737403.db2.gz KXZYACJLNZJILK-QGZVFWFLSA-N 0 0 439.480 -0.031 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)C2)cn1 ZINC000525098500 1072737942 /nfs/dbraw/zinc/73/79/42/1072737942.db2.gz UNKBPGXJFBESCN-GFCCVEGCSA-N 0 0 434.478 -0.239 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)C2)cn1 ZINC000525098501 1072738000 /nfs/dbraw/zinc/73/80/00/1072738000.db2.gz UNKBPGXJFBESCN-LBPRGKRZSA-N 0 0 434.478 -0.239 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC(=O)N2CCC[C@@H]2C(N)=O)c1 ZINC000525108809 1072736871 /nfs/dbraw/zinc/73/68/71/1072736871.db2.gz UUQQIQRTMBBRJC-CYBMUJFWSA-N 0 0 427.483 -0.935 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC(=O)N2CCC[C@H]2C(N)=O)c1 ZINC000525108810 1072736912 /nfs/dbraw/zinc/73/69/12/1072736912.db2.gz UUQQIQRTMBBRJC-ZDUSSCGKSA-N 0 0 427.483 -0.935 20 0 IBADRN COC(=O)c1ccc(-c2nnn(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)n2)cc1 ZINC000525113680 1072738387 /nfs/dbraw/zinc/73/83/87/1072738387.db2.gz BTPJJFOJKWMHNY-INIZCTEOSA-N 0 0 448.505 -0.542 20 0 IBADRN COC(=O)c1ccc(-c2nnn(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)n2)cc1 ZINC000525113681 1072738494 /nfs/dbraw/zinc/73/84/94/1072738494.db2.gz BTPJJFOJKWMHNY-MRXNPFEDSA-N 0 0 448.505 -0.542 20 0 IBADRN Cn1cc(N2CCC[C@H](N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)C2=O)cn1 ZINC000525119953 1072738440 /nfs/dbraw/zinc/73/84/40/1072738440.db2.gz FMSMJVLULTYUSY-KRWDZBQOSA-N 0 0 425.559 -0.975 20 0 IBADRN Cn1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)C2=O)cn1 ZINC000525119954 1072738490 /nfs/dbraw/zinc/73/84/90/1072738490.db2.gz FMSMJVLULTYUSY-QGZVFWFLSA-N 0 0 425.559 -0.975 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CCN3C(=O)NC4(CCCC4)C3=O)CC2)C[C@H](C)O1 ZINC000525120271 1072739081 /nfs/dbraw/zinc/73/90/81/1072739081.db2.gz IZHFTBMBWOWDSI-HOTGVXAUSA-N 0 0 443.570 -0.177 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CCN3C(=O)NC4(CCCC4)C3=O)CC2)C[C@@H](C)O1 ZINC000525120272 1072739000 /nfs/dbraw/zinc/73/90/00/1072739000.db2.gz IZHFTBMBWOWDSI-HZPDHXFCSA-N 0 0 443.570 -0.177 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CCN3C(=O)NC4(CCCC4)C3=O)CC2)C[C@H](C)O1 ZINC000525120273 1072738915 /nfs/dbraw/zinc/73/89/15/1072738915.db2.gz IZHFTBMBWOWDSI-IYBDPMFKSA-N 0 0 443.570 -0.177 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000525157277 1072739578 /nfs/dbraw/zinc/73/95/78/1072739578.db2.gz HIDLJYMEQLKOKY-KSZLIROESA-N 0 0 436.534 -0.452 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000525157278 1072739610 /nfs/dbraw/zinc/73/96/10/1072739610.db2.gz HIDLJYMEQLKOKY-KURKYZTESA-N 0 0 436.534 -0.452 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000525157279 1072739589 /nfs/dbraw/zinc/73/95/89/1072739589.db2.gz HIDLJYMEQLKOKY-KZNAEPCWSA-N 0 0 436.534 -0.452 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000525157280 1072739560 /nfs/dbraw/zinc/73/95/60/1072739560.db2.gz HIDLJYMEQLKOKY-RCCFBDPRSA-N 0 0 436.534 -0.452 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cc3)CC2)CC1 ZINC000525162666 1072739574 /nfs/dbraw/zinc/73/95/74/1072739574.db2.gz NIYSJDUVZGJLOD-UHFFFAOYSA-N 0 0 427.502 -0.922 20 0 IBADRN CCS(=O)(=O)NC1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC000525174620 1072712516 /nfs/dbraw/zinc/71/25/16/1072712516.db2.gz BKCAVRDDDJEYGP-HNNXBMFYSA-N 0 0 444.535 -0.630 20 0 IBADRN CCS(=O)(=O)NC1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC000525174621 1072740733 /nfs/dbraw/zinc/74/07/33/1072740733.db2.gz BKCAVRDDDJEYGP-OAHLLOKOSA-N 0 0 444.535 -0.630 20 0 IBADRN C[C@@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)N1CCN(c2ccccc2)CC1 ZINC000525177175 1072739452 /nfs/dbraw/zinc/73/94/52/1072739452.db2.gz TVFBLAZIOULCJO-HNNXBMFYSA-N 0 0 449.533 -0.016 20 0 IBADRN C[C@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)N1CCN(c2ccccc2)CC1 ZINC000525177176 1072739543 /nfs/dbraw/zinc/73/95/43/1072739543.db2.gz TVFBLAZIOULCJO-OAHLLOKOSA-N 0 0 449.533 -0.016 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc([C@@]2(C)NC(=O)NC2=O)c1 ZINC000525177214 1072740004 /nfs/dbraw/zinc/74/00/04/1072740004.db2.gz GGONTRCBJGXBJX-GOSISDBHSA-N 0 0 439.494 -0.486 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc([C@]2(C)NC(=O)NC2=O)c1 ZINC000525177215 1072740166 /nfs/dbraw/zinc/74/01/66/1072740166.db2.gz GGONTRCBJGXBJX-SFHVURJKSA-N 0 0 439.494 -0.486 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000525177498 1072739628 /nfs/dbraw/zinc/73/96/28/1072739628.db2.gz NUHRQTPABUNGAZ-AWEZNQCLSA-N 0 0 447.535 -0.318 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000525177499 1072739481 /nfs/dbraw/zinc/73/94/81/1072739481.db2.gz NUHRQTPABUNGAZ-CQSZACIVSA-N 0 0 447.535 -0.318 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000525177568 1072739646 /nfs/dbraw/zinc/73/96/46/1072739646.db2.gz HWHFYVPQCWQQRL-UHFFFAOYSA-N 0 0 438.428 -0.007 20 0 IBADRN Cc1nc(CN2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)cs1 ZINC000525178220 1072739883 /nfs/dbraw/zinc/73/98/83/1072739883.db2.gz GWLBWWVCDHEJLL-UHFFFAOYSA-N 0 0 431.584 -0.070 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000525178251 1072739930 /nfs/dbraw/zinc/73/99/30/1072739930.db2.gz POYRZUCQGAAHOT-UHFFFAOYSA-N 0 0 433.508 -0.707 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000525178346 1072740083 /nfs/dbraw/zinc/74/00/83/1072740083.db2.gz DLPGPEYNFZHJTG-UHFFFAOYSA-N 0 0 448.567 -0.613 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000525178504 1072740177 /nfs/dbraw/zinc/74/01/77/1072740177.db2.gz VRXIYHNRKSDFNU-UHFFFAOYSA-N 0 0 444.491 -0.777 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1 ZINC000525178791 1072740136 /nfs/dbraw/zinc/74/01/36/1072740136.db2.gz KLPFPDGFDCGXQH-UHFFFAOYSA-N 0 0 448.567 -0.776 20 0 IBADRN COC(=O)c1cc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)ccc1OC ZINC000525178843 1072740015 /nfs/dbraw/zinc/74/00/15/1072740015.db2.gz VOGIPLQLTHHMAT-UHFFFAOYSA-N 0 0 425.419 -0.232 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccccc1CN1CCOCC1 ZINC000525179589 1072740123 /nfs/dbraw/zinc/74/01/23/1072740123.db2.gz UKZRMZWFBJRJSE-UHFFFAOYSA-N 0 0 440.566 -0.031 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3ncccn3)CC2)s1 ZINC000525179852 1072740113 /nfs/dbraw/zinc/74/01/13/1072740113.db2.gz YNXIYRVLZXMEHT-UHFFFAOYSA-N 0 0 438.535 -0.199 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN(c3cnn(-c4ccccc4)c(=O)c3Cl)CC2)nn1 ZINC000525199601 1072740661 /nfs/dbraw/zinc/74/06/61/1072740661.db2.gz MVYMHAKGESCIJG-UHFFFAOYSA-N 0 0 442.867 -0.075 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000525249699 1073363389 /nfs/dbraw/zinc/36/33/89/1073363389.db2.gz KBXHGALEFZKBCP-HNNXBMFYSA-N 0 0 430.513 -0.695 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000525249700 1072742488 /nfs/dbraw/zinc/74/24/88/1072742488.db2.gz KBXHGALEFZKBCP-OAHLLOKOSA-N 0 0 430.513 -0.695 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)CNS(=O)(=O)c1cn(C)c(C)n1)CC2 ZINC000525259960 1072743761 /nfs/dbraw/zinc/74/37/61/1072743761.db2.gz WUPGZTQZHMNIII-UHFFFAOYSA-N 0 0 427.508 -0.496 20 0 IBADRN COC[C@](C)(O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000525265080 1072744135 /nfs/dbraw/zinc/74/41/35/1072744135.db2.gz GAZHGMCMCYOZIZ-GOSISDBHSA-N 0 0 432.495 -0.148 20 0 IBADRN COC[C@@](C)(O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000525265081 1072744308 /nfs/dbraw/zinc/74/43/08/1072744308.db2.gz GAZHGMCMCYOZIZ-SFHVURJKSA-N 0 0 432.495 -0.148 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)CC2 ZINC000525268099 1072744186 /nfs/dbraw/zinc/74/41/86/1072744186.db2.gz NPUVYQDZVOXZHL-UHFFFAOYSA-N 0 0 446.551 -0.682 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c(OC)c1OC ZINC000525269131 1072744284 /nfs/dbraw/zinc/74/42/84/1072744284.db2.gz UUUWEZAIUFOOOO-UHFFFAOYSA-N 0 0 436.465 -0.154 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2n1C ZINC000525269203 1072744194 /nfs/dbraw/zinc/74/41/94/1072744194.db2.gz SEUBFTSVSDRGLP-UHFFFAOYSA-N 0 0 442.476 -0.650 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NCCOc1cccc(F)c1 ZINC000525275538 1072745365 /nfs/dbraw/zinc/74/53/65/1072745365.db2.gz ZRAXYPDHIMWQFB-UHFFFAOYSA-N 0 0 430.502 -0.485 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCc3cc(F)cc(F)c3)CC2)CC1 ZINC000525275960 1072745198 /nfs/dbraw/zinc/74/51/98/1072745198.db2.gz HCZRNLHTACKKIN-UHFFFAOYSA-N 0 0 431.509 -0.309 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCCCOC3CCCCC3)CC2)CC1 ZINC000525276017 1072745358 /nfs/dbraw/zinc/74/53/58/1072745358.db2.gz RDNBLFAXMVXMTN-UHFFFAOYSA-N 0 0 445.630 -0.048 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3)CN1CCOCC1 ZINC000525278491 1072745323 /nfs/dbraw/zinc/74/53/23/1072745323.db2.gz BJYSPQAAMGHDKO-NRFANRHFSA-N 0 0 429.477 -0.519 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3)CN1CCOCC1 ZINC000525278492 1072745147 /nfs/dbraw/zinc/74/51/47/1072745147.db2.gz BJYSPQAAMGHDKO-OAQYLSRUSA-N 0 0 429.477 -0.519 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC000525280495 1072744877 /nfs/dbraw/zinc/74/48/77/1072744877.db2.gz RUBGXYAROAKIDM-GFCCVEGCSA-N 0 0 441.462 -0.262 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC000525280496 1072744798 /nfs/dbraw/zinc/74/47/98/1072744798.db2.gz RUBGXYAROAKIDM-LBPRGKRZSA-N 0 0 441.462 -0.262 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000525287136 1072744883 /nfs/dbraw/zinc/74/48/83/1072744883.db2.gz JLGXGAAMQOYIHJ-UHFFFAOYSA-N 0 0 439.472 -0.173 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCC(C(=O)NCC(F)(F)F)CC2)c(=O)n(C)c1=O ZINC000525290090 1072745373 /nfs/dbraw/zinc/74/53/73/1072745373.db2.gz BQXJYTLRECEWAU-UHFFFAOYSA-N 0 0 429.399 -0.044 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000525295163 1072745287 /nfs/dbraw/zinc/74/52/87/1072745287.db2.gz UTLUDVMQHFIZSO-UHFFFAOYSA-N 0 0 432.569 -0.102 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cccc(NS(C)(=O)=O)c2)CC1 ZINC000525295927 1072745349 /nfs/dbraw/zinc/74/53/49/1072745349.db2.gz VUWSSCCHQKEMQI-UHFFFAOYSA-N 0 0 427.527 -0.352 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000525296711 1072746321 /nfs/dbraw/zinc/74/63/21/1072746321.db2.gz UFJSBDUVKWYFTB-UHFFFAOYSA-N 0 0 441.554 -0.473 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCCc2nnc3n2CCCCC3)CC1 ZINC000525301139 1072746886 /nfs/dbraw/zinc/74/68/86/1072746886.db2.gz ICDWXHBWRVGPDF-UHFFFAOYSA-N 0 0 445.524 -0.060 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cc(N3CCCS3(=O)=O)ccc2O)c(=O)n(C)c1=O ZINC000525309565 1072746294 /nfs/dbraw/zinc/74/62/94/1072746294.db2.gz ZOHZVVPRQOSGDC-UHFFFAOYSA-N 0 0 447.473 -0.077 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000525319107 1072748178 /nfs/dbraw/zinc/74/81/78/1072748178.db2.gz KWVDMCSKZBIHAW-GFCCVEGCSA-N 0 0 433.508 -0.805 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000525319108 1072747996 /nfs/dbraw/zinc/74/79/96/1072747996.db2.gz KWVDMCSKZBIHAW-LBPRGKRZSA-N 0 0 433.508 -0.805 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000525319244 1072748059 /nfs/dbraw/zinc/74/80/59/1072748059.db2.gz QYIXBMFDUBYYRP-UHFFFAOYSA-N 0 0 449.482 -0.958 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000525321369 1072748618 /nfs/dbraw/zinc/74/86/18/1072748618.db2.gz BMTVYRNRXGGIQO-UHFFFAOYSA-N 0 0 431.492 -0.669 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cccc1S(=O)(=O)NC(C)(C)C ZINC000525321784 1072748028 /nfs/dbraw/zinc/74/80/28/1072748028.db2.gz SVLDYHAMIJFJTG-CYBMUJFWSA-N 0 0 426.495 -0.277 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cccc1S(=O)(=O)NC(C)(C)C ZINC000525321785 1072748002 /nfs/dbraw/zinc/74/80/02/1072748002.db2.gz SVLDYHAMIJFJTG-ZDUSSCGKSA-N 0 0 426.495 -0.277 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)NC(C)(C)C)ccc1OC ZINC000525321878 1072748588 /nfs/dbraw/zinc/74/85/88/1072748588.db2.gz YBSMFRQKPQMLIY-UHFFFAOYSA-N 0 0 444.510 -0.411 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC000525322713 1072748740 /nfs/dbraw/zinc/74/87/40/1072748740.db2.gz RXWDOTVCQDYDGE-IBGZPJMESA-N 0 0 446.504 -0.204 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC000525322714 1072748710 /nfs/dbraw/zinc/74/87/10/1072748710.db2.gz RXWDOTVCQDYDGE-LJQANCHMSA-N 0 0 446.504 -0.204 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cccc1S(=O)(=O)NC(C)(C)C ZINC000525323123 1072748676 /nfs/dbraw/zinc/74/86/76/1072748676.db2.gz CEOOKLHBFWINGR-UHFFFAOYSA-N 0 0 439.494 -0.322 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N(C)C ZINC000525323371 1072748757 /nfs/dbraw/zinc/74/87/57/1072748757.db2.gz JUFNQGUQJCKLGT-UHFFFAOYSA-N 0 0 433.508 -0.469 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCO[C@H](C)C2)cc1S(=O)(=O)NC1CC1 ZINC000525323756 1072748578 /nfs/dbraw/zinc/74/85/78/1072748578.db2.gz QPFVIKINDYIQOO-CYBMUJFWSA-N 0 0 440.522 -0.089 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCO[C@@H](C)C2)cc1S(=O)(=O)NC1CC1 ZINC000525323757 1072749187 /nfs/dbraw/zinc/74/91/87/1072749187.db2.gz QPFVIKINDYIQOO-ZDUSSCGKSA-N 0 0 440.522 -0.089 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000525324187 1072748670 /nfs/dbraw/zinc/74/86/70/1072748670.db2.gz YXWONBIIYZSMFA-UHFFFAOYSA-N 0 0 447.535 -0.033 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000525327900 1072746913 /nfs/dbraw/zinc/74/69/13/1072746913.db2.gz NDFSCMMJYSRKIC-GDBMZVCRSA-N 0 0 436.600 -0.550 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000525327901 1072746773 /nfs/dbraw/zinc/74/67/73/1072746773.db2.gz NDFSCMMJYSRKIC-GOEBONIOSA-N 0 0 436.600 -0.550 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000525327902 1072747023 /nfs/dbraw/zinc/74/70/23/1072747023.db2.gz NDFSCMMJYSRKIC-HOCLYGCPSA-N 0 0 436.600 -0.550 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000525327903 1072746800 /nfs/dbraw/zinc/74/68/00/1072746800.db2.gz NDFSCMMJYSRKIC-ZBFHGGJFSA-N 0 0 436.600 -0.550 20 0 IBADRN COc1cc(CNC(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)cc(OC)c1OC ZINC000525329366 1072746920 /nfs/dbraw/zinc/74/69/20/1072746920.db2.gz YSXUKRFRUZYGSG-CYBMUJFWSA-N 0 0 430.527 -0.166 20 0 IBADRN COc1cc(CNC(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)cc(OC)c1OC ZINC000525329367 1072747372 /nfs/dbraw/zinc/74/73/72/1072747372.db2.gz YSXUKRFRUZYGSG-ZDUSSCGKSA-N 0 0 430.527 -0.166 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)CCN2S(=O)(=O)c1ccccc1S(N)(=O)=O ZINC000525331388 1072747465 /nfs/dbraw/zinc/74/74/65/1072747465.db2.gz UCWRSLVXSIAIPD-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000525333978 1072747556 /nfs/dbraw/zinc/74/75/56/1072747556.db2.gz ARLJKPQFHHTUTG-UHFFFAOYSA-N 0 0 439.490 -0.663 20 0 IBADRN O=C(c1cccc(CN2CCS(=O)(=O)CC2)c1)N1CCN(CCn2cncn2)CC1 ZINC000525341161 1072749657 /nfs/dbraw/zinc/74/96/57/1072749657.db2.gz FBPALHPZYWTCFC-UHFFFAOYSA-N 0 0 432.550 -0.034 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCOCC1)N1CCN(CCn2cncn2)CC1 ZINC000525342042 1072749681 /nfs/dbraw/zinc/74/96/81/1072749681.db2.gz QFGOCDWDLNKITO-UHFFFAOYSA-N 0 0 434.522 -0.243 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000525350150 1072749054 /nfs/dbraw/zinc/74/90/54/1072749054.db2.gz IDILRYPZROIYSC-UHFFFAOYSA-N 0 0 438.441 -0.538 20 0 IBADRN CCc1ccc(C(=O)Nc2cn(C)c(=O)n(C)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000525350331 1072749083 /nfs/dbraw/zinc/74/90/83/1072749083.db2.gz NBASKRJEAWMWCB-UHFFFAOYSA-N 0 0 436.490 -0.080 20 0 IBADRN Cn1c2nc(C(F)(F)F)ccc2c(=O)n(CC(=O)NC(=O)N2CCC[C@@H]2C(N)=O)c1=O ZINC000525350362 1072749177 /nfs/dbraw/zinc/74/91/77/1072749177.db2.gz LORBKWHAFMUUGU-SECBINFHSA-N 0 0 442.354 -0.700 20 0 IBADRN Cn1c2nc(C(F)(F)F)ccc2c(=O)n(CC(=O)NC(=O)N2CCC[C@H]2C(N)=O)c1=O ZINC000525350363 1072749010 /nfs/dbraw/zinc/74/90/10/1072749010.db2.gz LORBKWHAFMUUGU-VIFPVBQESA-N 0 0 442.354 -0.700 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)Nc3cn(C)c(=O)n(C)c3=O)cc2)CC1 ZINC000525352988 1072749702 /nfs/dbraw/zinc/74/97/02/1072749702.db2.gz SCPQINHDXBNVGG-UHFFFAOYSA-N 0 0 449.489 -0.811 20 0 IBADRN COc1ccc(C(=O)Nc2cn(C)c(=O)n(C)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000525353074 1072749759 /nfs/dbraw/zinc/74/97/59/1072749759.db2.gz YIGJFEPZOLKYPU-UHFFFAOYSA-N 0 0 438.462 -0.634 20 0 IBADRN Cc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1N1CCCS1(=O)=O ZINC000525357934 1072750352 /nfs/dbraw/zinc/75/03/52/1072750352.db2.gz JNSHIQCRUBVCTB-UHFFFAOYSA-N 0 0 446.489 -0.079 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(CCn3cncn3)CC2)cc1 ZINC000525375599 1072751425 /nfs/dbraw/zinc/75/14/25/1072751425.db2.gz WAEMULAERJOZMG-UHFFFAOYSA-N 0 0 434.522 -0.007 20 0 IBADRN COC(=O)C[C@H]1CSCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000525377222 1072751392 /nfs/dbraw/zinc/75/13/92/1072751392.db2.gz OMLRYFAPUDPERY-JTQLQIEISA-N 0 0 429.476 -0.251 20 0 IBADRN COC(=O)C[C@@H]1CSCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000525377223 1072751380 /nfs/dbraw/zinc/75/13/80/1072751380.db2.gz OMLRYFAPUDPERY-SNVBAGLBSA-N 0 0 429.476 -0.251 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C[C@H](NC(C)=O)C(N)=O)c2)CC1 ZINC000525384946 1072750801 /nfs/dbraw/zinc/75/08/01/1072750801.db2.gz MJXGZAFIORSZDI-INIZCTEOSA-N 0 0 425.511 -0.669 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000525388216 1072751784 /nfs/dbraw/zinc/75/17/84/1072751784.db2.gz PZNBHNGIJZDDQE-UHFFFAOYSA-N 0 0 433.556 -0.800 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000525389355 1072751405 /nfs/dbraw/zinc/75/14/05/1072751405.db2.gz YUJBWACZNOUUPF-UHFFFAOYSA-N 0 0 435.543 -0.062 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)CSCC(=O)N2CCOCC2)CC1 ZINC000525391056 1072751474 /nfs/dbraw/zinc/75/14/74/1072751474.db2.gz SDEQVAKTMJABDP-UHFFFAOYSA-N 0 0 429.539 -0.162 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCOCC3CCOCC3)CC2)CC1 ZINC000525399559 1072751735 /nfs/dbraw/zinc/75/17/35/1072751735.db2.gz UKFALQZWNSLQAB-UHFFFAOYSA-N 0 0 447.602 -0.361 20 0 IBADRN O=C(NCCCOCC1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000525399625 1072751820 /nfs/dbraw/zinc/75/18/20/1072751820.db2.gz WMWCSRLWOAAZED-UHFFFAOYSA-N 0 0 434.559 -0.276 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCOC[C@@H]3CCOC3)CC2)CC1 ZINC000525404595 1072751720 /nfs/dbraw/zinc/75/17/20/1072751720.db2.gz YDNRFJQDNYMPEE-KRWDZBQOSA-N 0 0 433.575 -0.751 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCOC[C@H]3CCOC3)CC2)CC1 ZINC000525404596 1072752342 /nfs/dbraw/zinc/75/23/42/1072752342.db2.gz YDNRFJQDNYMPEE-QGZVFWFLSA-N 0 0 433.575 -0.751 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCOC[C@@H]3CCOC3)CC2)o1 ZINC000525405164 1072751743 /nfs/dbraw/zinc/75/17/43/1072751743.db2.gz YOQXYARJRHHLLO-AWEZNQCLSA-N 0 0 444.510 -0.162 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCOC[C@H]3CCOC3)CC2)o1 ZINC000525405165 1072751872 /nfs/dbraw/zinc/75/18/72/1072751872.db2.gz YOQXYARJRHHLLO-CQSZACIVSA-N 0 0 444.510 -0.162 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cc1 ZINC000525406837 1072752256 /nfs/dbraw/zinc/75/22/56/1072752256.db2.gz GFJCZWHBCGYJPJ-KRWDZBQOSA-N 0 0 444.579 -0.136 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cc1 ZINC000525406838 1072752314 /nfs/dbraw/zinc/75/23/14/1072752314.db2.gz GFJCZWHBCGYJPJ-QGZVFWFLSA-N 0 0 444.579 -0.136 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000525406997 1072752268 /nfs/dbraw/zinc/75/22/68/1072752268.db2.gz MBRZUAJALDRHQD-UHFFFAOYSA-N 0 0 446.594 -0.377 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)c1 ZINC000525407499 1072752294 /nfs/dbraw/zinc/75/22/94/1072752294.db2.gz VTCKZBQEJDUVKT-KRWDZBQOSA-N 0 0 444.579 -0.136 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)c1 ZINC000525407500 1072752219 /nfs/dbraw/zinc/75/22/19/1072752219.db2.gz VTCKZBQEJDUVKT-QGZVFWFLSA-N 0 0 444.579 -0.136 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000525408469 1072752286 /nfs/dbraw/zinc/75/22/86/1072752286.db2.gz NXUWODKHBKESDT-UHFFFAOYSA-N 0 0 425.554 -0.780 20 0 IBADRN O=C(Cn1ccc(NC(=O)Nc2cnn(CCN3CCOCC3)c2)n1)N1CCOCC1 ZINC000525417512 1072753746 /nfs/dbraw/zinc/75/37/46/1072753746.db2.gz KCIVGOLBQCJVSX-UHFFFAOYSA-N 0 0 432.485 -0.085 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000525418508 1072753947 /nfs/dbraw/zinc/75/39/47/1072753947.db2.gz BXEHNYJRXJKRBP-UHFFFAOYSA-N 0 0 437.478 -0.117 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCCOCC3CCOCC3)cnc2n(C)c1=O ZINC000525428694 1072752853 /nfs/dbraw/zinc/75/28/53/1072752853.db2.gz VBAQKTWBVNPILP-UHFFFAOYSA-N 0 0 433.465 -0.480 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c(C)c1S(=O)(=O)NC1CC1 ZINC000525430574 1072752882 /nfs/dbraw/zinc/75/28/82/1072752882.db2.gz NCPCJWMPEDTWIY-UHFFFAOYSA-N 0 0 446.551 -0.262 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000525431933 1072753390 /nfs/dbraw/zinc/75/33/90/1072753390.db2.gz LWZQFMOZCDZNLQ-UHFFFAOYSA-N 0 0 443.570 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCNS(=O)(=O)c2ccc(C)cc2)c1 ZINC000525432283 1072752845 /nfs/dbraw/zinc/75/28/45/1072752845.db2.gz FRSBQYXQZWUKBH-UHFFFAOYSA-N 0 0 444.535 -0.044 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](C(N)=O)C1 ZINC000525432310 1072752795 /nfs/dbraw/zinc/75/27/95/1072752795.db2.gz HPACYQAYNBZIHD-GFCCVEGCSA-N 0 0 426.495 -0.004 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](C(N)=O)C1 ZINC000525432311 1072752806 /nfs/dbraw/zinc/75/28/06/1072752806.db2.gz HPACYQAYNBZIHD-LBPRGKRZSA-N 0 0 426.495 -0.004 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000525432580 1072753220 /nfs/dbraw/zinc/75/32/20/1072753220.db2.gz XBKXEERJAYOCFX-UHFFFAOYSA-N 0 0 441.554 -0.290 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000525432771 1072753337 /nfs/dbraw/zinc/75/33/37/1072753337.db2.gz JALJXVDRMYDMQB-UHFFFAOYSA-N 0 0 432.524 -0.570 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000525433719 1072753303 /nfs/dbraw/zinc/75/33/03/1072753303.db2.gz KAVGOZLLXQBSCP-AWEZNQCLSA-N 0 0 429.543 -0.388 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000525433720 1072753269 /nfs/dbraw/zinc/75/32/69/1072753269.db2.gz KAVGOZLLXQBSCP-CQSZACIVSA-N 0 0 429.543 -0.388 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000525434644 1072753772 /nfs/dbraw/zinc/75/37/72/1072753772.db2.gz MGEWGCLAVSQHGE-UHFFFAOYSA-N 0 0 426.499 -0.546 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NCCNS(C)(=O)=O)ccc1F ZINC000525434833 1072753883 /nfs/dbraw/zinc/75/38/83/1072753883.db2.gz WKOQZOIKPKMOKU-UHFFFAOYSA-N 0 0 438.503 -0.494 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)CCO1 ZINC000525434978 1072753420 /nfs/dbraw/zinc/75/34/20/1072753420.db2.gz CSXBCPSPAYPWRS-INIZCTEOSA-N 0 0 438.506 -0.163 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)CCO1 ZINC000525434979 1072753921 /nfs/dbraw/zinc/75/39/21/1072753921.db2.gz CSXBCPSPAYPWRS-MRXNPFEDSA-N 0 0 438.506 -0.163 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1N1CCCS1(=O)=O ZINC000525435175 1072753287 /nfs/dbraw/zinc/75/32/87/1072753287.db2.gz OYONSTYGFYOMMQ-AWEZNQCLSA-N 0 0 444.535 -0.376 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1N1CCCS1(=O)=O ZINC000525435176 1072753296 /nfs/dbraw/zinc/75/32/96/1072753296.db2.gz OYONSTYGFYOMMQ-CQSZACIVSA-N 0 0 444.535 -0.376 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCNS(C)(=O)=O)cccc1S(=O)(=O)NC1CC1 ZINC000525435273 1072753382 /nfs/dbraw/zinc/75/33/82/1072753382.db2.gz VGIPOHULEKAOQN-UHFFFAOYSA-N 0 0 432.524 -0.570 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000525435324 1072753282 /nfs/dbraw/zinc/75/32/82/1072753282.db2.gz XKSQPCQCSZHKEO-GFCCVEGCSA-N 0 0 448.498 -0.645 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000525435325 1072753236 /nfs/dbraw/zinc/75/32/36/1072753236.db2.gz XKSQPCQCSZHKEO-LBPRGKRZSA-N 0 0 448.498 -0.645 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)N1CCOCC1 ZINC000525435403 1072753328 /nfs/dbraw/zinc/75/33/28/1072753328.db2.gz AIHNRXWRIFTPKK-UHFFFAOYSA-N 0 0 442.469 -0.430 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)CCO1 ZINC000525435505 1072753827 /nfs/dbraw/zinc/75/38/27/1072753827.db2.gz FKNFYYXOMMCPSK-AWEZNQCLSA-N 0 0 425.463 -0.225 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)CCO1 ZINC000525435506 1072753892 /nfs/dbraw/zinc/75/38/92/1072753892.db2.gz FKNFYYXOMMCPSK-CQSZACIVSA-N 0 0 425.463 -0.225 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cccc1S(=O)(=O)NC1CC1 ZINC000525435517 1072753818 /nfs/dbraw/zinc/75/38/18/1072753818.db2.gz FSIPIKPKBGARML-UHFFFAOYSA-N 0 0 438.506 -0.261 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c(C)c1S(=O)(=O)NC1CC1 ZINC000525435613 1072753877 /nfs/dbraw/zinc/75/38/77/1072753877.db2.gz KABUXTFLRCPJJE-UHFFFAOYSA-N 0 0 432.524 -0.652 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC[C@@H](S(C)(=O)=O)C2)CC1 ZINC000525441345 1072754480 /nfs/dbraw/zinc/75/44/80/1072754480.db2.gz JVRHCXZGOYOKAN-BRWVUGGUSA-N 0 0 430.571 -0.083 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCC[C@H](S(C)(=O)=O)C2)CC1 ZINC000525441346 1072754458 /nfs/dbraw/zinc/75/44/58/1072754458.db2.gz JVRHCXZGOYOKAN-IKGGRYGDSA-N 0 0 430.571 -0.083 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCC[C@@H](S(C)(=O)=O)C2)CC1 ZINC000525441347 1070827338 /nfs/dbraw/zinc/82/73/38/1070827338.db2.gz JVRHCXZGOYOKAN-IXDOHACOSA-N 0 0 430.571 -0.083 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCC[C@H](S(C)(=O)=O)C2)CC1 ZINC000525441348 1072754268 /nfs/dbraw/zinc/75/42/68/1072754268.db2.gz JVRHCXZGOYOKAN-ZACQAIPSSA-N 0 0 430.571 -0.083 20 0 IBADRN O=C(COc1ccccc1)N1CCC[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000525459620 1072755491 /nfs/dbraw/zinc/75/54/91/1072755491.db2.gz OXAAJOUZOYPOQP-KRWDZBQOSA-N 0 0 441.492 -0.339 20 0 IBADRN O=C(COc1ccccc1)N1CCC[C@@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000525459621 1072755710 /nfs/dbraw/zinc/75/57/10/1072755710.db2.gz OXAAJOUZOYPOQP-QGZVFWFLSA-N 0 0 441.492 -0.339 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(Nc3nc4ccccc4c(NCCO)n3)CC2)cn1 ZINC000525460447 1072756222 /nfs/dbraw/zinc/75/62/22/1072756222.db2.gz BHBLXJFYHZIMKI-UHFFFAOYSA-N 0 0 431.522 -0.124 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cc1C(N)=O ZINC000525461349 1072756291 /nfs/dbraw/zinc/75/62/91/1072756291.db2.gz QCLOYNIAMUWPSE-UHFFFAOYSA-N 0 0 441.535 -0.041 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)cn1 ZINC000525461474 1072756343 /nfs/dbraw/zinc/75/63/43/1072756343.db2.gz VQGFJGBYXUZJEK-UHFFFAOYSA-N 0 0 427.508 -0.349 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)o1 ZINC000525463075 1072756377 /nfs/dbraw/zinc/75/63/77/1072756377.db2.gz VTHDZAQZBUEBOR-UHFFFAOYSA-N 0 0 432.480 -0.069 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1=O ZINC000525466303 1072756210 /nfs/dbraw/zinc/75/62/10/1072756210.db2.gz RYCZGTMSIJXOOQ-UHFFFAOYSA-N 0 0 440.526 -0.200 20 0 IBADRN CN(CC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)c1ncnc2nc[nH]c21 ZINC000525466671 1072756070 /nfs/dbraw/zinc/75/60/70/1072756070.db2.gz HDYYBFIKHAUVRZ-UHFFFAOYSA-N 0 0 433.498 -0.508 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)Cc3ccc(-n4cnnn4)cc3)CC2)cn1 ZINC000525467122 1072756322 /nfs/dbraw/zinc/75/63/22/1072756322.db2.gz XNLZUGSHOBNZFU-UHFFFAOYSA-N 0 0 430.494 -0.092 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)c4ccccc4C3=O)CC2)cn1 ZINC000525467647 1072756049 /nfs/dbraw/zinc/75/60/49/1072756049.db2.gz SIMZMAVKCFOFAT-UHFFFAOYSA-N 0 0 431.474 -0.014 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000525471037 1070900646 /nfs/dbraw/zinc/90/06/46/1070900646.db2.gz PPTZPHKYXYTNKU-KRWDZBQOSA-N 0 0 437.541 -0.406 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000525471038 1072756137 /nfs/dbraw/zinc/75/61/37/1072756137.db2.gz PPTZPHKYXYTNKU-QGZVFWFLSA-N 0 0 437.541 -0.406 20 0 IBADRN O=C([C@@H]1CN(Cc2ccccc2)CCO1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000525471995 1072756910 /nfs/dbraw/zinc/75/69/10/1072756910.db2.gz SGGGDGMREHRTIR-IBGZPJMESA-N 0 0 438.550 -0.391 20 0 IBADRN O=C([C@H]1CN(Cc2ccccc2)CCO1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000525471996 1072756924 /nfs/dbraw/zinc/75/69/24/1072756924.db2.gz SGGGDGMREHRTIR-LJQANCHMSA-N 0 0 438.550 -0.391 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000525472119 1072756102 /nfs/dbraw/zinc/75/61/02/1072756102.db2.gz YAPQPKZKONMZAJ-AWEZNQCLSA-N 0 0 440.474 -0.098 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000525472120 1072756113 /nfs/dbraw/zinc/75/61/13/1072756113.db2.gz YAPQPKZKONMZAJ-CQSZACIVSA-N 0 0 440.474 -0.098 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N(C3CC3)[C@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC000525475594 1072757424 /nfs/dbraw/zinc/75/74/24/1072757424.db2.gz YBXIEDDWPRWBLL-DOMZBBRYSA-N 0 0 441.531 -0.135 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N(C3CC3)[C@@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC000525475595 1072757548 /nfs/dbraw/zinc/75/75/48/1072757548.db2.gz YBXIEDDWPRWBLL-IUODEOHRSA-N 0 0 441.531 -0.135 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N(C3CC3)[C@@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC000525475596 1072757515 /nfs/dbraw/zinc/75/75/15/1072757515.db2.gz YBXIEDDWPRWBLL-SWLSCSKDSA-N 0 0 441.531 -0.135 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N(C3CC3)[C@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC000525475597 1072757348 /nfs/dbraw/zinc/75/73/48/1072757348.db2.gz YBXIEDDWPRWBLL-WFASDCNBSA-N 0 0 441.531 -0.135 20 0 IBADRN CC(C)(C)OC(=O)CSCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000525480859 1072756892 /nfs/dbraw/zinc/75/68/92/1072756892.db2.gz QGFAKVIWXSJDQO-AWEZNQCLSA-N 0 0 449.595 -0.491 20 0 IBADRN CC(C)(C)OC(=O)CSCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000525480860 1072756704 /nfs/dbraw/zinc/75/67/04/1072756704.db2.gz QGFAKVIWXSJDQO-CQSZACIVSA-N 0 0 449.595 -0.491 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CCn3nc4ccccn4c3=O)CC2)cn1 ZINC000525480973 1072756875 /nfs/dbraw/zinc/75/68/75/1072756875.db2.gz VZWLPRMXJONBGW-UHFFFAOYSA-N 0 0 433.494 -0.411 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1=O ZINC000525482296 1072756675 /nfs/dbraw/zinc/75/66/75/1072756675.db2.gz KTYVWQOFVPIHFO-KRWDZBQOSA-N 0 0 426.499 -0.590 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1=O ZINC000525482297 1072756717 /nfs/dbraw/zinc/75/67/17/1072756717.db2.gz KTYVWQOFVPIHFO-QGZVFWFLSA-N 0 0 426.499 -0.590 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000525484312 1072756931 /nfs/dbraw/zinc/75/69/31/1072756931.db2.gz GBQUJTGQFYUMND-UHFFFAOYSA-N 0 0 440.569 -0.952 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000525487664 1072757680 /nfs/dbraw/zinc/75/76/80/1072757680.db2.gz MPUXZJJPICONLT-GOSISDBHSA-N 0 0 430.505 -0.371 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000525487665 1072757389 /nfs/dbraw/zinc/75/73/89/1072757389.db2.gz MPUXZJJPICONLT-SFHVURJKSA-N 0 0 430.505 -0.371 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cc1 ZINC000525488901 1072757574 /nfs/dbraw/zinc/75/75/74/1072757574.db2.gz MALSNFPXNPMSQZ-UHFFFAOYSA-N 0 0 441.535 -0.089 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CNC(=O)c3ccc4c(c3)OCO4)CC2)cn1 ZINC000525489957 1072757196 /nfs/dbraw/zinc/75/71/96/1072757196.db2.gz XPYZXCPEIXDQAO-UHFFFAOYSA-N 0 0 449.489 -0.152 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000525494122 1072758005 /nfs/dbraw/zinc/75/80/05/1072758005.db2.gz DXABRBHHIONQBI-UHFFFAOYSA-N 0 0 426.481 -0.606 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000525494160 1072758235 /nfs/dbraw/zinc/75/82/35/1072758235.db2.gz GWOGCNNMWSMYRC-CABCVRRESA-N 0 0 436.538 -0.773 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000525494161 1072758022 /nfs/dbraw/zinc/75/80/22/1072758022.db2.gz GWOGCNNMWSMYRC-GJZGRUSLSA-N 0 0 436.538 -0.773 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000525494162 1072758101 /nfs/dbraw/zinc/75/81/01/1072758101.db2.gz GWOGCNNMWSMYRC-HUUCEWRRSA-N 0 0 436.538 -0.773 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)n1 ZINC000525494163 1072758120 /nfs/dbraw/zinc/75/81/20/1072758120.db2.gz GWOGCNNMWSMYRC-LSDHHAIUSA-N 0 0 436.538 -0.773 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000525498117 1072758166 /nfs/dbraw/zinc/75/81/66/1072758166.db2.gz NFWTZPQHBMPZDU-UHFFFAOYSA-N 0 0 441.558 -0.964 20 0 IBADRN O=C(CNC(=O)c1ccnc(C(=O)NCC(=O)NCC(F)(F)F)c1)NCC(F)(F)F ZINC000525503822 1070935324 /nfs/dbraw/zinc/93/53/24/1070935324.db2.gz CFQOXDLEGFIRKP-UHFFFAOYSA-N 0 0 443.304 -0.102 20 0 IBADRN C[C@@H](CNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)CN1CCOCC1 ZINC000525512153 1072757959 /nfs/dbraw/zinc/75/79/59/1072757959.db2.gz STSRJYDVVUGCMJ-HNNXBMFYSA-N 0 0 428.559 -0.159 20 0 IBADRN C[C@H](CNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)CN1CCOCC1 ZINC000525512154 1072758228 /nfs/dbraw/zinc/75/82/28/1072758228.db2.gz STSRJYDVVUGCMJ-OAHLLOKOSA-N 0 0 428.559 -0.159 20 0 IBADRN C[C@@H](O)CN(Cc1ccccc1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000525512960 1072758186 /nfs/dbraw/zinc/75/81/86/1072758186.db2.gz RZSQILJETMQJSF-CYBMUJFWSA-N 0 0 425.445 -0.020 20 0 IBADRN C[C@H](O)CN(Cc1ccccc1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000525512961 1072758142 /nfs/dbraw/zinc/75/81/42/1072758142.db2.gz RZSQILJETMQJSF-ZDUSSCGKSA-N 0 0 425.445 -0.020 20 0 IBADRN CCc1cc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)on1 ZINC000525513127 1072758044 /nfs/dbraw/zinc/75/80/44/1072758044.db2.gz YZMFYMVKTXQXAU-UHFFFAOYSA-N 0 0 435.462 -0.388 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000525515364 1072758616 /nfs/dbraw/zinc/75/86/16/1072758616.db2.gz OSLAQMHWUDLVKV-UHFFFAOYSA-N 0 0 448.571 -0.750 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccccc2S(C)(=O)=O)cn1 ZINC000525521568 1072759050 /nfs/dbraw/zinc/75/90/50/1072759050.db2.gz ILCFZUWWRKOEKQ-UHFFFAOYSA-N 0 0 429.524 -0.235 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)c1ccc3[nH]c(=O)c(=O)[nH]c3c1)CC2 ZINC000525525600 1072759032 /nfs/dbraw/zinc/75/90/32/1072759032.db2.gz TZAOECIMHRBYKI-UHFFFAOYSA-N 0 0 436.471 -0.124 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2)cn1 ZINC000525526062 1072759072 /nfs/dbraw/zinc/75/90/72/1072759072.db2.gz PZCPEXYUTZOHRL-INIZCTEOSA-N 0 0 446.533 -0.061 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2)cn1 ZINC000525526063 1072759064 /nfs/dbraw/zinc/75/90/64/1072759064.db2.gz PZCPEXYUTZOHRL-MRXNPFEDSA-N 0 0 446.533 -0.061 20 0 IBADRN Cn1c2ccc(S(=O)(=O)[N-]c3cnn(CC(=O)NCC[NH+](C)C)c3)cc2n(C)c1=O ZINC000525527858 1072759092 /nfs/dbraw/zinc/75/90/92/1072759092.db2.gz QGPQWBRYRZUPDU-UHFFFAOYSA-N 0 0 435.510 -0.448 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)[N-]c2cnn(CC(=O)NCC[NH+](C)C)c2)cc1 ZINC000525532624 1072759932 /nfs/dbraw/zinc/75/99/32/1072759932.db2.gz YCQDIXDKHOLTMS-UHFFFAOYSA-N 0 0 444.539 -0.730 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)C2=O)cn1 ZINC000525573111 1072760752 /nfs/dbraw/zinc/76/07/52/1072760752.db2.gz WELPJQBUPOMHRD-HNNXBMFYSA-N 0 0 436.494 -0.306 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)C2=O)cn1 ZINC000525573112 1072760669 /nfs/dbraw/zinc/76/06/69/1072760669.db2.gz WELPJQBUPOMHRD-OAHLLOKOSA-N 0 0 436.494 -0.306 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCOc2ccccc2)C1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000525573524 1071027118 /nfs/dbraw/zinc/02/71/18/1071027118.db2.gz OYKZIMZKJLSFJM-BBWFWOEESA-N 0 0 449.529 -0.182 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCOc2ccccc2)C1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000525573525 1072760655 /nfs/dbraw/zinc/76/06/55/1072760655.db2.gz OYKZIMZKJLSFJM-BRWVUGGUSA-N 0 0 449.529 -0.182 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCOc2ccccc2)C1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000525573526 1071026920 /nfs/dbraw/zinc/02/69/20/1071026920.db2.gz OYKZIMZKJLSFJM-GVDBMIGSSA-N 0 0 449.529 -0.182 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCOc2ccccc2)C1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000525573527 1072760702 /nfs/dbraw/zinc/76/07/02/1072760702.db2.gz OYKZIMZKJLSFJM-ZACQAIPSSA-N 0 0 449.529 -0.182 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000525582162 1072760355 /nfs/dbraw/zinc/76/03/55/1072760355.db2.gz JKGRCGGDEUDMSP-UHFFFAOYSA-N 0 0 426.539 -0.354 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000525586530 1072760467 /nfs/dbraw/zinc/76/04/67/1072760467.db2.gz PQTMPZQRTKMKDO-UHFFFAOYSA-N 0 0 443.507 -0.214 20 0 IBADRN Cn1c2ncn(CC(=O)NCCCN3C(=O)COc4ccccc43)c2c(=O)n(C)c1=O ZINC000525589381 1072761455 /nfs/dbraw/zinc/76/14/55/1072761455.db2.gz MTKSHXRGGNSSDK-UHFFFAOYSA-N 0 0 426.433 -0.634 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCCN1C(=O)COc3ccccc31)c(=O)n2C ZINC000525591337 1072761333 /nfs/dbraw/zinc/76/13/33/1072761333.db2.gz AUVRDVKZOLVXPJ-UHFFFAOYSA-N 0 0 426.433 -0.634 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)c1cnc3c(c1)c(=O)n(C)c(=O)n3C)CC2 ZINC000525596360 1072761204 /nfs/dbraw/zinc/76/12/04/1072761204.db2.gz BDSDETRKFHUXGL-UHFFFAOYSA-N 0 0 429.458 -0.257 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@H]1CC(=O)N([C@H]3CCS(=O)(=O)C3)C1)CC2 ZINC000525601424 1072760847 /nfs/dbraw/zinc/76/08/47/1072760847.db2.gz GGXVWWCGMDOFIC-KBPBESRZSA-N 0 0 441.531 -0.481 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@@H]1CC(=O)N([C@H]3CCS(=O)(=O)C3)C1)CC2 ZINC000525601425 1072760814 /nfs/dbraw/zinc/76/08/14/1072760814.db2.gz GGXVWWCGMDOFIC-KGLIPLIRSA-N 0 0 441.531 -0.481 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@H]1CC(=O)N([C@@H]3CCS(=O)(=O)C3)C1)CC2 ZINC000525601426 1072760714 /nfs/dbraw/zinc/76/07/14/1072760714.db2.gz GGXVWWCGMDOFIC-UONOGXRCSA-N 0 0 441.531 -0.481 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@@H]1CC(=O)N([C@@H]3CCS(=O)(=O)C3)C1)CC2 ZINC000525601427 1072760832 /nfs/dbraw/zinc/76/08/32/1072760832.db2.gz GGXVWWCGMDOFIC-ZIAGYGMSSA-N 0 0 441.531 -0.481 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cnn(C)c3)cn2)C[C@H](C)O1 ZINC000525604601 1072764417 /nfs/dbraw/zinc/76/44/17/1072764417.db2.gz WVDWBDHSLUBDPD-BETUJISGSA-N 0 0 439.498 -0.831 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cnn(C)c3)cn2)C[C@@H](C)O1 ZINC000525604602 1072764636 /nfs/dbraw/zinc/76/46/36/1072764636.db2.gz WVDWBDHSLUBDPD-CHWSQXEVSA-N 0 0 439.498 -0.831 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cnn(C)c3)cn2)C[C@H](C)O1 ZINC000525604603 1072764347 /nfs/dbraw/zinc/76/43/47/1072764347.db2.gz WVDWBDHSLUBDPD-STQMWFEESA-N 0 0 439.498 -0.831 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)cn1 ZINC000525605031 1072764426 /nfs/dbraw/zinc/76/44/26/1072764426.db2.gz PMUSBXMZWDQFRN-KRWDZBQOSA-N 0 0 436.513 -0.703 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)cn1 ZINC000525605032 1072764389 /nfs/dbraw/zinc/76/43/89/1072764389.db2.gz PMUSBXMZWDQFRN-QGZVFWFLSA-N 0 0 436.513 -0.703 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000525609276 1072764536 /nfs/dbraw/zinc/76/45/36/1072764536.db2.gz HXGWZQAVONUTEQ-HNNXBMFYSA-N 0 0 437.460 -0.612 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000525609855 1072763550 /nfs/dbraw/zinc/76/35/50/1072763550.db2.gz WQPIVHSLVOIDKX-HNNXBMFYSA-N 0 0 437.460 -0.612 20 0 IBADRN Cc1cc(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000525610519 1072764557 /nfs/dbraw/zinc/76/45/57/1072764557.db2.gz KHZZFLKCVUEESN-UHFFFAOYSA-N 0 0 441.448 -0.752 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C3CCN(S(N)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000525611465 1072763525 /nfs/dbraw/zinc/76/35/25/1072763525.db2.gz QUIGSLPAQMAUKT-BETUJISGSA-N 0 0 428.515 -0.627 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C3CCN(S(N)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000525611471 1072763378 /nfs/dbraw/zinc/76/33/78/1072763378.db2.gz QUIGSLPAQMAUKT-CHWSQXEVSA-N 0 0 428.515 -0.627 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C3CCN(S(N)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000525611472 1072763484 /nfs/dbraw/zinc/76/34/84/1072763484.db2.gz QUIGSLPAQMAUKT-STQMWFEESA-N 0 0 428.515 -0.627 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4cccc(F)c4)C(=O)C3)c2c(=O)n(C)c1=O ZINC000525613719 1072764127 /nfs/dbraw/zinc/76/41/27/1072764127.db2.gz BCMMIHRWPDVDBJ-UHFFFAOYSA-N 0 0 428.424 -0.556 20 0 IBADRN COC(=O)CN(C)C(=O)CCN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000525625401 1071097878 /nfs/dbraw/zinc/09/78/78/1071097878.db2.gz KSGTXKKCMIVCMB-UHFFFAOYSA-N 0 0 425.507 -0.131 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1)c2=O ZINC000525628916 1072765468 /nfs/dbraw/zinc/76/54/68/1072765468.db2.gz QZYMZCRUEIUSBX-GFCCVEGCSA-N 0 0 444.423 -0.101 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1)c2=O ZINC000525628922 1072765846 /nfs/dbraw/zinc/76/58/46/1072765846.db2.gz QZYMZCRUEIUSBX-LBPRGKRZSA-N 0 0 444.423 -0.101 20 0 IBADRN NC(=O)[C@H]1CCCN1C(=O)NC(=O)CSc1nnnn1-c1ccc2c(c1)OCCO2 ZINC000525630342 1072764583 /nfs/dbraw/zinc/76/45/83/1072764583.db2.gz KACMFKCPHMBISV-LLVKDONJSA-N 0 0 433.450 -0.289 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)NC(=O)CSc1nnnn1-c1ccc2c(c1)OCCO2 ZINC000525630343 1072764334 /nfs/dbraw/zinc/76/43/34/1072764334.db2.gz KACMFKCPHMBISV-NSHDSACASA-N 0 0 433.450 -0.289 20 0 IBADRN COC(=O)CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000525633694 1072765074 /nfs/dbraw/zinc/76/50/74/1072765074.db2.gz NXFPAYHQYIHFMI-UHFFFAOYSA-N 0 0 441.506 -0.214 20 0 IBADRN O=C(CN1CCN(c2ncnc3ccsc32)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000525634556 1071145785 /nfs/dbraw/zinc/14/57/85/1071145785.db2.gz BMELKYDFAIRTIG-GFCCVEGCSA-N 0 0 438.535 -0.174 20 0 IBADRN O=C(CN1CCN(c2ncnc3ccsc32)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000525634557 1072765026 /nfs/dbraw/zinc/76/50/26/1072765026.db2.gz BMELKYDFAIRTIG-LBPRGKRZSA-N 0 0 438.535 -0.174 20 0 IBADRN COC[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CCO1 ZINC000525637167 1071148938 /nfs/dbraw/zinc/14/89/38/1071148938.db2.gz AKAPUSZEAZISRX-KRWDZBQOSA-N 0 0 427.523 -0.125 20 0 IBADRN COC[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CCO1 ZINC000525637168 1071148770 /nfs/dbraw/zinc/14/87/70/1071148770.db2.gz AKAPUSZEAZISRX-QGZVFWFLSA-N 0 0 427.523 -0.125 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCn3c(Cc4ccccc4)nnc3C2)CC1 ZINC000525638635 1071150908 /nfs/dbraw/zinc/15/09/08/1071150908.db2.gz VTHTURAOUYCJLU-UHFFFAOYSA-N 0 0 425.537 -0.083 20 0 IBADRN C[C@](O)(CNC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)CN1CCOCC1 ZINC000525641479 1071164451 /nfs/dbraw/zinc/16/44/51/1071164451.db2.gz YOICNUKOGLBIRI-IBGZPJMESA-N 0 0 442.538 -0.088 20 0 IBADRN C[C@@](O)(CNC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)CN1CCOCC1 ZINC000525641480 1071164649 /nfs/dbraw/zinc/16/46/49/1071164649.db2.gz YOICNUKOGLBIRI-LJQANCHMSA-N 0 0 442.538 -0.088 20 0 IBADRN O=C(Nc1nncs1)c1ccc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nc1 ZINC000525641534 1071164691 /nfs/dbraw/zinc/16/46/91/1071164691.db2.gz DYCOTUNYALMDGB-UHFFFAOYSA-N 0 0 431.478 -0.307 20 0 IBADRN CCn1nc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000525642561 1071165511 /nfs/dbraw/zinc/16/55/11/1071165511.db2.gz IQYDEXYQYXPYNX-UHFFFAOYSA-N 0 0 434.588 -0.682 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000525654473 1072765894 /nfs/dbraw/zinc/76/58/94/1072765894.db2.gz IVLFTAHKDCMTQG-UHFFFAOYSA-N 0 0 433.552 -0.004 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCc1c(C)nc2c(C(N)=O)cnn2c1C ZINC000525663583 1072766685 /nfs/dbraw/zinc/76/66/85/1072766685.db2.gz YAQXBZSOEOXSKP-UHFFFAOYSA-N 0 0 446.508 -0.289 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cc3)CC2)C[C@H](C)O1 ZINC000525675909 1071206934 /nfs/dbraw/zinc/20/69/34/1071206934.db2.gz OYYFINJKBKHYET-GASCZTMLSA-N 0 0 442.513 -0.060 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cc3)CC2)C[C@H](C)O1 ZINC000525675910 1072769341 /nfs/dbraw/zinc/76/93/41/1072769341.db2.gz OYYFINJKBKHYET-GJZGRUSLSA-N 0 0 442.513 -0.060 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cc3)CC2)C[C@@H](C)O1 ZINC000525675911 1072769385 /nfs/dbraw/zinc/76/93/85/1072769385.db2.gz OYYFINJKBKHYET-HUUCEWRRSA-N 0 0 442.513 -0.060 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000525690524 1072770294 /nfs/dbraw/zinc/77/02/94/1072770294.db2.gz NLGFGQKAQUATMI-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1 ZINC000525690552 1072770065 /nfs/dbraw/zinc/77/00/65/1072770065.db2.gz USBJMMCDKIIZHT-UHFFFAOYSA-N 0 0 440.588 -0.859 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCNC(=O)c2ccccc2Cl)o1 ZINC000525691042 1072770193 /nfs/dbraw/zinc/77/01/93/1072770193.db2.gz XVADUGKJBXIBBZ-UHFFFAOYSA-N 0 0 428.854 -0.144 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000525691955 1072770960 /nfs/dbraw/zinc/77/09/60/1072770960.db2.gz TXISOUUCGHYPRC-UHFFFAOYSA-N 0 0 439.494 -0.489 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1 ZINC000525692077 1072770832 /nfs/dbraw/zinc/77/08/32/1072770832.db2.gz YEACHZBBLCUNEE-UHFFFAOYSA-N 0 0 440.588 -0.859 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000525692406 1072770303 /nfs/dbraw/zinc/77/03/03/1072770303.db2.gz QBLVDLNLVOJDMN-KRWDZBQOSA-N 0 0 436.600 -0.855 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000525692407 1072770027 /nfs/dbraw/zinc/77/00/27/1072770027.db2.gz QBLVDLNLVOJDMN-QGZVFWFLSA-N 0 0 436.600 -0.855 20 0 IBADRN Cc1cc(NC(=O)C2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)no1 ZINC000525692447 1073327718 /nfs/dbraw/zinc/32/77/18/1073327718.db2.gz YMTIAVCLSKJELB-UHFFFAOYSA-N 0 0 443.526 -0.006 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)N2CCOCC2)o1 ZINC000525692932 1072770899 /nfs/dbraw/zinc/77/08/99/1072770899.db2.gz RHNQFQZHAJBPJZ-CYBMUJFWSA-N 0 0 440.478 -0.252 20 0 IBADRN Cc1ccc([C@H](CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)N2CCOCC2)o1 ZINC000525692933 1072770866 /nfs/dbraw/zinc/77/08/66/1072770866.db2.gz RHNQFQZHAJBPJZ-ZDUSSCGKSA-N 0 0 440.478 -0.252 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCc2ccccc2CN2CCOCC2)o1 ZINC000525693057 1072770818 /nfs/dbraw/zinc/77/08/18/1072770818.db2.gz FPJXWVUGZDLDIT-UHFFFAOYSA-N 0 0 436.490 -0.195 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCC2(N3CCOCC3)CCCCC2)o1 ZINC000525693065 1071218832 /nfs/dbraw/zinc/21/88/32/1071218832.db2.gz JQALKGXEIDVGGU-UHFFFAOYSA-N 0 0 428.511 -0.192 20 0 IBADRN CC1(C)C(=O)N(CC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)C(=O)N1CC(N)=O ZINC000525694752 1072770654 /nfs/dbraw/zinc/77/06/54/1072770654.db2.gz YFYVSSXARWNODV-CYBMUJFWSA-N 0 0 428.511 -0.527 20 0 IBADRN CC1(C)C(=O)N(CC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)C(=O)N1CC(N)=O ZINC000525694753 1072770874 /nfs/dbraw/zinc/77/08/74/1072770874.db2.gz YFYVSSXARWNODV-ZDUSSCGKSA-N 0 0 428.511 -0.527 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)CCO2)n1 ZINC000525703287 1072770116 /nfs/dbraw/zinc/77/01/16/1072770116.db2.gz QATIXCUKHRUVQR-GOSISDBHSA-N 0 0 435.573 -0.345 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)CCO2)n1 ZINC000525703288 1072770102 /nfs/dbraw/zinc/77/01/02/1072770102.db2.gz QATIXCUKHRUVQR-SFHVURJKSA-N 0 0 435.573 -0.345 20 0 IBADRN O=C(COCC(=O)N1CCC[C@H]1CN1CCOCC1)N1CCC[C@@H]1CN1CCOCC1 ZINC000525718902 1071312819 /nfs/dbraw/zinc/31/28/19/1071312819.db2.gz OMTBPQUROIFPHU-BGYRXZFFSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C(COCC(=O)N1CCC[C@H]1CN1CCOCC1)N1CCC[C@H]1CN1CCOCC1 ZINC000525718903 1071312681 /nfs/dbraw/zinc/31/26/81/1071312681.db2.gz OMTBPQUROIFPHU-PMACEKPBSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C(COCC(=O)N1CCC[C@@H]1CN1CCOCC1)N1CCC[C@@H]1CN1CCOCC1 ZINC000525718904 1072771567 /nfs/dbraw/zinc/77/15/67/1072771567.db2.gz OMTBPQUROIFPHU-WOJBJXKFSA-N 0 0 438.569 -0.351 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)CC1 ZINC000525732733 1072771406 /nfs/dbraw/zinc/77/14/06/1072771406.db2.gz KSQFEVFSZWAEQF-UHFFFAOYSA-N 0 0 432.481 -0.003 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCCC(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000525754499 1072772420 /nfs/dbraw/zinc/77/24/20/1072772420.db2.gz BVGFNRXYVHMGMK-UHFFFAOYSA-N 0 0 434.540 -0.556 20 0 IBADRN Cn1c(C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000525757903 1072772028 /nfs/dbraw/zinc/77/20/28/1072772028.db2.gz BEKDGKCXGQADAY-AWEZNQCLSA-N 0 0 439.472 -0.015 20 0 IBADRN Cn1c(C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000525757904 1072771981 /nfs/dbraw/zinc/77/19/81/1072771981.db2.gz BEKDGKCXGQADAY-CQSZACIVSA-N 0 0 439.472 -0.015 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000525758140 1072771922 /nfs/dbraw/zinc/77/19/22/1072771922.db2.gz KOBFWPUTWSWPNP-UHFFFAOYSA-N 0 0 440.570 -0.005 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)CNS(=O)(=O)c1cn(C)c(C)n1)CC2 ZINC000525772357 1072773056 /nfs/dbraw/zinc/77/30/56/1072773056.db2.gz IWLWPVBEPQCFEX-UHFFFAOYSA-N 0 0 441.535 -0.106 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Nc3ccc(S(C)(=O)=O)cc3)CC2)CC1 ZINC000525778235 1072773140 /nfs/dbraw/zinc/77/31/40/1072773140.db2.gz HCLIFLFTPGHCBM-UHFFFAOYSA-N 0 0 445.567 -0.268 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1OC ZINC000525780119 1072773683 /nfs/dbraw/zinc/77/36/83/1072773683.db2.gz ODSNSVIMYRVSNK-UHFFFAOYSA-N 0 0 434.449 -0.400 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1-n1cnnn1 ZINC000525780319 1072773119 /nfs/dbraw/zinc/77/31/19/1072773119.db2.gz HJGIMHCXTSZDTO-UHFFFAOYSA-N 0 0 425.471 -0.557 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c(OC)c1OC ZINC000525780916 1072773709 /nfs/dbraw/zinc/77/37/09/1072773709.db2.gz OUAOOOCTYOJCQC-UHFFFAOYSA-N 0 0 448.476 -0.056 20 0 IBADRN COC(=O)CN(CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C1CCOCC1 ZINC000525781563 1072773771 /nfs/dbraw/zinc/77/37/71/1072773771.db2.gz QHOFXRIIWVMSRO-DLBZAZTESA-N 0 0 432.539 -0.165 20 0 IBADRN COC(=O)CN(CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C1CCOCC1 ZINC000525781564 1072773751 /nfs/dbraw/zinc/77/37/51/1072773751.db2.gz QHOFXRIIWVMSRO-IAGOWNOFSA-N 0 0 432.539 -0.165 20 0 IBADRN COC(=O)CN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C1CCOCC1 ZINC000525781565 1072773777 /nfs/dbraw/zinc/77/37/77/1072773777.db2.gz QHOFXRIIWVMSRO-IRXDYDNUSA-N 0 0 432.539 -0.165 20 0 IBADRN COC(=O)CN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C1CCOCC1 ZINC000525781566 1072773649 /nfs/dbraw/zinc/77/36/49/1072773649.db2.gz QHOFXRIIWVMSRO-SJORKVTESA-N 0 0 432.539 -0.165 20 0 IBADRN CN(CCCOc1ccccc1)C(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000525783487 1072773785 /nfs/dbraw/zinc/77/37/85/1072773785.db2.gz GZLXNDJCEIHRSA-UHFFFAOYSA-N 0 0 432.521 -0.083 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000525783628 1072773675 /nfs/dbraw/zinc/77/36/75/1072773675.db2.gz AXOREOUKOUICQB-IBGZPJMESA-N 0 0 448.495 -0.271 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000525783629 1072773620 /nfs/dbraw/zinc/77/36/20/1072773620.db2.gz AXOREOUKOUICQB-LJQANCHMSA-N 0 0 448.495 -0.271 20 0 IBADRN CS(=O)(=O)c1ccc(OCCN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000525787823 1072772651 /nfs/dbraw/zinc/77/26/51/1072772651.db2.gz PGVJYRPMKHDBOR-UHFFFAOYSA-N 0 0 433.552 -0.337 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NCCc1c(F)cccc1F ZINC000525787940 1072772436 /nfs/dbraw/zinc/77/24/36/1072772436.db2.gz NEQYIQVKWIAFHW-UHFFFAOYSA-N 0 0 432.493 -0.182 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCCc3c(F)cccc3F)CC2)CC1 ZINC000525788366 1072772515 /nfs/dbraw/zinc/77/25/15/1072772515.db2.gz SPYJMXYSGSOWOE-UHFFFAOYSA-N 0 0 445.536 -0.267 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c2ccccc2O1 ZINC000525788852 1072772675 /nfs/dbraw/zinc/77/26/75/1072772675.db2.gz YYMXYFLBFKGREY-BBRMVZONSA-N 0 0 441.444 -0.001 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c2ccccc2O1 ZINC000525788853 1072772533 /nfs/dbraw/zinc/77/25/33/1072772533.db2.gz YYMXYFLBFKGREY-CJNGLKHVSA-N 0 0 441.444 -0.001 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c2ccccc2O1 ZINC000525788854 1072772575 /nfs/dbraw/zinc/77/25/75/1072772575.db2.gz YYMXYFLBFKGREY-CZUORRHYSA-N 0 0 441.444 -0.001 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c2ccccc2O1 ZINC000525788855 1072772740 /nfs/dbraw/zinc/77/27/40/1072772740.db2.gz YYMXYFLBFKGREY-XJKSGUPXSA-N 0 0 441.444 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCC[C@H](S(C)(=O)=O)C1)CC2 ZINC000525790874 1072772565 /nfs/dbraw/zinc/77/25/65/1072772565.db2.gz ZISNNOXWKOBXDW-KBPBESRZSA-N 0 0 443.547 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CCC[C@H](S(C)(=O)=O)C1)CC2 ZINC000525790875 1072772505 /nfs/dbraw/zinc/77/25/05/1072772505.db2.gz ZISNNOXWKOBXDW-KGLIPLIRSA-N 0 0 443.547 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCC[C@@H](S(C)(=O)=O)C1)CC2 ZINC000525790876 1072772454 /nfs/dbraw/zinc/77/24/54/1072772454.db2.gz ZISNNOXWKOBXDW-UONOGXRCSA-N 0 0 443.547 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CCC[C@@H](S(C)(=O)=O)C1)CC2 ZINC000525790877 1072773295 /nfs/dbraw/zinc/77/32/95/1072773295.db2.gz ZISNNOXWKOBXDW-ZIAGYGMSSA-N 0 0 443.547 -0.044 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)C ZINC000525791864 1072774104 /nfs/dbraw/zinc/77/41/04/1072774104.db2.gz VTLRPRMZWOIILM-HNNXBMFYSA-N 0 0 426.495 -0.467 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)C ZINC000525791865 1072774145 /nfs/dbraw/zinc/77/41/45/1072774145.db2.gz VTLRPRMZWOIILM-OAHLLOKOSA-N 0 0 426.495 -0.467 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@H](S(=O)(=O)NC)C3)cc21 ZINC000525792267 1072774856 /nfs/dbraw/zinc/77/48/56/1072774856.db2.gz NSZQEDMBSMOVNZ-HNNXBMFYSA-N 0 0 435.506 -0.134 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@@H](S(=O)(=O)NC)C3)cc21 ZINC000525792268 1072774783 /nfs/dbraw/zinc/77/47/83/1072774783.db2.gz NSZQEDMBSMOVNZ-OAHLLOKOSA-N 0 0 435.506 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC)C1)CC2 ZINC000525792802 1072773591 /nfs/dbraw/zinc/77/35/91/1072773591.db2.gz HQBJOAQBPNQHTR-AWEZNQCLSA-N 0 0 444.535 -0.976 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC)C1)CC2 ZINC000525792803 1072773582 /nfs/dbraw/zinc/77/35/82/1072773582.db2.gz HQBJOAQBPNQHTR-CQSZACIVSA-N 0 0 444.535 -0.976 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c2ccccc2O1 ZINC000525794936 1072773729 /nfs/dbraw/zinc/77/37/29/1072773729.db2.gz PSRVQVBGYIJNCB-BBRMVZONSA-N 0 0 441.444 -0.083 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c2ccccc2O1 ZINC000525794937 1072773744 /nfs/dbraw/zinc/77/37/44/1072773744.db2.gz PSRVQVBGYIJNCB-CJNGLKHVSA-N 0 0 441.444 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c2ccccc2O1 ZINC000525794938 1072773695 /nfs/dbraw/zinc/77/36/95/1072773695.db2.gz PSRVQVBGYIJNCB-CZUORRHYSA-N 0 0 441.444 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c2ccccc2O1 ZINC000525794939 1072773720 /nfs/dbraw/zinc/77/37/20/1072773720.db2.gz PSRVQVBGYIJNCB-XJKSGUPXSA-N 0 0 441.444 -0.083 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(C(=O)C3CC3)CC1)CC2 ZINC000525795241 1072773793 /nfs/dbraw/zinc/77/37/93/1072773793.db2.gz PYYOZOMYMPWGSK-UHFFFAOYSA-N 0 0 434.518 -0.045 20 0 IBADRN O=C(NCCCc1nnc2n1CCCCC2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000525819109 1072774866 /nfs/dbraw/zinc/77/48/66/1072774866.db2.gz GDEXPBDGDAPYJK-UHFFFAOYSA-N 0 0 447.540 -0.350 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000525835843 1072775274 /nfs/dbraw/zinc/77/52/74/1072775274.db2.gz MYGXIDUSKIOLBU-UHFFFAOYSA-N 0 0 436.943 -0.171 20 0 IBADRN O=C(NCCN1CCCOCC1)c1cc(S(=O)(=O)NCCN2CCCOCC2)c[nH]1 ZINC000525836315 1072775231 /nfs/dbraw/zinc/77/52/31/1072775231.db2.gz YVLLFJVNGLRYHI-UHFFFAOYSA-N 0 0 443.570 -0.533 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000525838471 1072776035 /nfs/dbraw/zinc/77/60/35/1072776035.db2.gz VSJBFRYEDNGQBY-UHFFFAOYSA-N 0 0 436.412 -0.859 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCCNC(=O)C(=O)NCC(=O)N(C)C)CC2 ZINC000525838994 1072776008 /nfs/dbraw/zinc/77/60/08/1072776008.db2.gz HMUOVZDKQPAKCJ-UHFFFAOYSA-N 0 0 434.493 -0.311 20 0 IBADRN COCCN(C(=O)C(=O)N1C[C@@H](C(=O)OC)Oc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000525846072 1072776443 /nfs/dbraw/zinc/77/64/43/1072776443.db2.gz BCEMOHNKDJIPJI-BBRMVZONSA-N 0 0 440.474 -0.384 20 0 IBADRN COCCN(C(=O)C(=O)N1C[C@@H](C(=O)OC)Oc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000525846073 1072776696 /nfs/dbraw/zinc/77/66/96/1072776696.db2.gz BCEMOHNKDJIPJI-CJNGLKHVSA-N 0 0 440.474 -0.384 20 0 IBADRN COCCN(C(=O)C(=O)N1C[C@H](C(=O)OC)Oc2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000525846074 1072776675 /nfs/dbraw/zinc/77/66/75/1072776675.db2.gz BCEMOHNKDJIPJI-CZUORRHYSA-N 0 0 440.474 -0.384 20 0 IBADRN COCCN(C(=O)C(=O)N1C[C@H](C(=O)OC)Oc2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000525846075 1072776779 /nfs/dbraw/zinc/77/67/79/1072776779.db2.gz BCEMOHNKDJIPJI-XJKSGUPXSA-N 0 0 440.474 -0.384 20 0 IBADRN Cc1sc2nc(CN3CCN(C(=O)C(=O)NCC(=O)N(C)C)CC3)[nH]c(=O)c2c1C ZINC000525846711 1072775934 /nfs/dbraw/zinc/77/59/34/1072775934.db2.gz FJQVBPWVGQNTCC-UHFFFAOYSA-N 0 0 434.522 -0.138 20 0 IBADRN C[NH+](C)C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)CCO1 ZINC000525853940 1072777289 /nfs/dbraw/zinc/77/72/89/1072777289.db2.gz AMKZDQSGYIVCPJ-CYBMUJFWSA-N 0 0 431.481 -0.181 20 0 IBADRN C[NH+](C)C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)[N-]CC(F)(F)F)CC2)CCO1 ZINC000525853941 1072777257 /nfs/dbraw/zinc/77/72/57/1072777257.db2.gz AMKZDQSGYIVCPJ-ZDUSSCGKSA-N 0 0 431.481 -0.181 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CC(=O)NC(=O)C12CCCCC2 ZINC000525854673 1072777182 /nfs/dbraw/zinc/77/71/82/1072777182.db2.gz AILQHIWSKCSWPB-UHFFFAOYSA-N 0 0 441.444 -0.135 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)c1 ZINC000525855224 1072776992 /nfs/dbraw/zinc/77/69/92/1072776992.db2.gz NSUCKXUYXBSXCE-UHFFFAOYSA-N 0 0 426.426 -0.401 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000525861159 1072777643 /nfs/dbraw/zinc/77/76/43/1072777643.db2.gz HVWZKOWQTNFDPZ-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000525862278 1072777782 /nfs/dbraw/zinc/77/77/82/1072777782.db2.gz KRIGFGSEUFJSLE-AWEZNQCLSA-N 0 0 430.508 -0.655 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000525862279 1072777843 /nfs/dbraw/zinc/77/78/43/1072777843.db2.gz KRIGFGSEUFJSLE-CQSZACIVSA-N 0 0 430.508 -0.655 20 0 IBADRN CN(CCNC(=O)CNC(=O)c1ccc(O)cc1)C(=O)CNC(=O)c1ccc(O)cc1 ZINC000525875946 1072778179 /nfs/dbraw/zinc/77/81/79/1072778179.db2.gz FWZCWMDPKSMWLF-UHFFFAOYSA-N 0 0 428.445 -0.168 20 0 IBADRN O=C(NCCc1ccccc1O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000525876362 1072778262 /nfs/dbraw/zinc/77/82/62/1072778262.db2.gz YKKYQSSWDDLFPK-UHFFFAOYSA-N 0 0 446.485 -0.190 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)C1 ZINC000525880564 1072778271 /nfs/dbraw/zinc/77/82/71/1072778271.db2.gz NAQVMXVJKUCCLA-CYBMUJFWSA-N 0 0 440.540 -0.394 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)C1 ZINC000525880565 1072778318 /nfs/dbraw/zinc/77/83/18/1072778318.db2.gz NAQVMXVJKUCCLA-ZDUSSCGKSA-N 0 0 440.540 -0.394 20 0 IBADRN Cn1c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc2c1nc1ccccn1c2=O ZINC000525886182 1072778661 /nfs/dbraw/zinc/77/86/61/1072778661.db2.gz XNRQGCUKNBZFIS-UHFFFAOYSA-N 0 0 438.488 -0.197 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000525895407 1072780322 /nfs/dbraw/zinc/78/03/22/1072780322.db2.gz VRJNVSJKVGQFMY-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CCN3CCCC3=O)cn2)C[C@H](C)O1 ZINC000525895460 1072780172 /nfs/dbraw/zinc/78/01/72/1072780172.db2.gz XLBIQOGOTQZCBU-GASCZTMLSA-N 0 0 434.497 -0.462 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CCN3CCCC3=O)cn2)C[C@H](C)O1 ZINC000525895461 1072780157 /nfs/dbraw/zinc/78/01/57/1072780157.db2.gz XLBIQOGOTQZCBU-GJZGRUSLSA-N 0 0 434.497 -0.462 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N(C)CCN3CCCC3=O)cn2)C[C@@H](C)O1 ZINC000525895462 1072780042 /nfs/dbraw/zinc/78/00/42/1072780042.db2.gz XLBIQOGOTQZCBU-HUUCEWRRSA-N 0 0 434.497 -0.462 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000525895787 1072624499 /nfs/dbraw/zinc/62/44/99/1072624499.db2.gz JEVOMOXHOVLFJP-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000525896560 1072625533 /nfs/dbraw/zinc/62/55/33/1072625533.db2.gz SDQNUFWHBHQSKO-AWEZNQCLSA-N 0 0 430.508 -0.701 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000525896561 1072625615 /nfs/dbraw/zinc/62/56/15/1072625615.db2.gz SDQNUFWHBHQSKO-CQSZACIVSA-N 0 0 430.508 -0.701 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)CC2)[nH]1 ZINC000525899080 1072780731 /nfs/dbraw/zinc/78/07/31/1072780731.db2.gz WJZHABJWVKLXIK-UHFFFAOYSA-N 0 0 440.464 -0.552 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000525901409 1072780826 /nfs/dbraw/zinc/78/08/26/1072780826.db2.gz GDABSONLGQTQEF-HNNXBMFYSA-N 0 0 429.477 -0.567 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000525901410 1072780763 /nfs/dbraw/zinc/78/07/63/1072780763.db2.gz GDABSONLGQTQEF-OAHLLOKOSA-N 0 0 429.477 -0.567 20 0 IBADRN O=C(Nc1cnn(Cc2ccc(Cl)cc2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000525906055 1072779609 /nfs/dbraw/zinc/77/96/09/1072779609.db2.gz WUNBFQXYWVWADZ-HNNXBMFYSA-N 0 0 430.852 -0.308 20 0 IBADRN O=C(Nc1cnn(Cc2ccc(Cl)cc2)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000525906056 1072780124 /nfs/dbraw/zinc/78/01/24/1072780124.db2.gz WUNBFQXYWVWADZ-OAHLLOKOSA-N 0 0 430.852 -0.308 20 0 IBADRN CC(C)(C)OC(=O)Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000525906188 1072779597 /nfs/dbraw/zinc/77/95/97/1072779597.db2.gz DYVYRBBWUCGEPZ-HNNXBMFYSA-N 0 0 430.461 -0.322 20 0 IBADRN CC(C)(C)OC(=O)Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000525906189 1072779713 /nfs/dbraw/zinc/77/97/13/1072779713.db2.gz DYVYRBBWUCGEPZ-OAHLLOKOSA-N 0 0 430.461 -0.322 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cc(C)nn1[C@H]1CCS(=O)(=O)C1 ZINC000525913482 1072781953 /nfs/dbraw/zinc/78/19/53/1072781953.db2.gz CZVIHAMNCSUIPC-KBPBESRZSA-N 0 0 425.511 -0.041 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cc(C)nn1[C@@H]1CCS(=O)(=O)C1 ZINC000525913483 1072781987 /nfs/dbraw/zinc/78/19/87/1072781987.db2.gz CZVIHAMNCSUIPC-KGLIPLIRSA-N 0 0 425.511 -0.041 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cc(C)nn1[C@H]1CCS(=O)(=O)C1 ZINC000525913484 1072781945 /nfs/dbraw/zinc/78/19/45/1072781945.db2.gz CZVIHAMNCSUIPC-UONOGXRCSA-N 0 0 425.511 -0.041 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cc(C)nn1[C@@H]1CCS(=O)(=O)C1 ZINC000525913485 1072781831 /nfs/dbraw/zinc/78/18/31/1072781831.db2.gz CZVIHAMNCSUIPC-ZIAGYGMSSA-N 0 0 425.511 -0.041 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)C2)nn1 ZINC000525914580 1072781879 /nfs/dbraw/zinc/78/18/79/1072781879.db2.gz YLIFSWYPFDFVSH-UHFFFAOYSA-N 0 0 441.404 -0.862 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000525930130 1072781425 /nfs/dbraw/zinc/78/14/25/1072781425.db2.gz KKMXHAXHFZCYFH-GOSISDBHSA-N 0 0 425.445 -0.212 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000525930131 1072781184 /nfs/dbraw/zinc/78/11/84/1072781184.db2.gz KKMXHAXHFZCYFH-SFHVURJKSA-N 0 0 425.445 -0.212 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncnc3N(C)C)CC2)cs1 ZINC000525932275 1072781200 /nfs/dbraw/zinc/78/12/00/1072781200.db2.gz SUBAWZHQAMVFHV-UHFFFAOYSA-N 0 0 439.523 -0.221 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(C)c(=O)n(C)c3)CC2)cs1 ZINC000525932453 1072781383 /nfs/dbraw/zinc/78/13/83/1072781383.db2.gz HYVRGEYJJNWCPV-UHFFFAOYSA-N 0 0 439.519 -0.070 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000525938732 1072781967 /nfs/dbraw/zinc/78/19/67/1072781967.db2.gz QZCODLYUNGMFHZ-UHFFFAOYSA-N 0 0 431.540 -0.683 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000525941176 1072781754 /nfs/dbraw/zinc/78/17/54/1072781754.db2.gz GSKLOFKKEMZOJN-UHFFFAOYSA-N 0 0 440.569 -0.760 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCCNC(=O)c2ccccc2)CC1 ZINC000525961863 1072782348 /nfs/dbraw/zinc/78/23/48/1072782348.db2.gz LVZFSXFRHDQFHG-UHFFFAOYSA-N 0 0 429.477 -0.191 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)cs1 ZINC000525966444 1072782301 /nfs/dbraw/zinc/78/23/01/1072782301.db2.gz WIAHNHPXCKYEHI-UHFFFAOYSA-N 0 0 433.537 -0.416 20 0 IBADRN Cc1c(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cccc1-n1cnnn1 ZINC000525968398 1072782428 /nfs/dbraw/zinc/78/24/28/1072782428.db2.gz OZTLAHYUYLUSHP-UHFFFAOYSA-N 0 0 426.441 -0.535 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCN(c3ncccc3F)C1)C2 ZINC000525969432 1072782408 /nfs/dbraw/zinc/78/24/08/1072782408.db2.gz FJLZSGSXWNNOFN-CYBMUJFWSA-N 0 0 432.460 -0.529 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCN(c3ncccc3F)C1)C2 ZINC000525969433 1072782369 /nfs/dbraw/zinc/78/23/69/1072782369.db2.gz FJLZSGSXWNNOFN-ZDUSSCGKSA-N 0 0 432.460 -0.529 20 0 IBADRN O=C(NCCn1ccccc1=O)c1cc(S(=O)(=O)NCCn2ccccc2=O)c[nH]1 ZINC000525969920 1072782360 /nfs/dbraw/zinc/78/23/60/1072782360.db2.gz XOWDYBWJNHWXED-UHFFFAOYSA-N 0 0 431.474 -0.253 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1n[nH]cc1Br)C2 ZINC000525970785 1072782901 /nfs/dbraw/zinc/78/29/01/1072782901.db2.gz GVVWNEJWKKADSB-UHFFFAOYSA-N 0 0 427.263 -0.656 20 0 IBADRN COc1cccc(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1OC ZINC000525971043 1072783055 /nfs/dbraw/zinc/78/30/55/1072783055.db2.gz SGYVJERIYURXRH-UHFFFAOYSA-N 0 0 432.481 -0.082 20 0 IBADRN COCCOc1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000525971323 1072783038 /nfs/dbraw/zinc/78/30/38/1072783038.db2.gz UFSORZQIUJVHIX-UHFFFAOYSA-N 0 0 433.469 -0.721 20 0 IBADRN CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000525972844 1072782963 /nfs/dbraw/zinc/78/29/63/1072782963.db2.gz NKQCYVIDPCKJTI-UHFFFAOYSA-N 0 0 436.494 -0.396 20 0 IBADRN COCCN(C(=O)CN1CCS(=O)(=O)C[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000525973193 1072782398 /nfs/dbraw/zinc/78/23/98/1072782398.db2.gz AXGIMTCXEOKHIA-CYBMUJFWSA-N 0 0 445.542 -0.715 20 0 IBADRN COCCN(C(=O)CN1CCS(=O)(=O)C[C@@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000525973194 1072782404 /nfs/dbraw/zinc/78/24/04/1072782404.db2.gz AXGIMTCXEOKHIA-ZDUSSCGKSA-N 0 0 445.542 -0.715 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000525973202 1072782466 /nfs/dbraw/zinc/78/24/66/1072782466.db2.gz DGOMXERETNVKND-HNNXBMFYSA-N 0 0 445.563 -0.557 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000525973203 1072782476 /nfs/dbraw/zinc/78/24/76/1072782476.db2.gz DGOMXERETNVKND-OAHLLOKOSA-N 0 0 445.563 -0.557 20 0 IBADRN O=C(CN1CCCNC1=O)NCc1ccc2c(c1)CN(C(=O)CN1CCCNC1=O)C2 ZINC000526018197 1072782917 /nfs/dbraw/zinc/78/29/17/1072782917.db2.gz QNOAJEILGQMNAG-UHFFFAOYSA-N 0 0 428.493 -0.024 20 0 IBADRN CCn1cnc2c(c1=O)CCN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C2 ZINC000526019169 1072784162 /nfs/dbraw/zinc/78/41/62/1072784162.db2.gz WFOZJIOGXZFOIZ-UHFFFAOYSA-N 0 0 447.517 -0.172 20 0 IBADRN CCN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCCN(CC)C(=O)C3)c[nH]2)CC1=O ZINC000526049976 1072784635 /nfs/dbraw/zinc/78/46/35/1072784635.db2.gz GLCPVKLHVYDAAR-UHFFFAOYSA-N 0 0 439.538 -0.048 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCCn1c3ccccc3oc1=O)C2 ZINC000526061298 1072785752 /nfs/dbraw/zinc/78/57/52/1072785752.db2.gz KPKMLQDNEAEWOC-UHFFFAOYSA-N 0 0 428.449 -0.137 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cn1cc(C(N)=O)c3ccccc31)C2 ZINC000526061479 1072785694 /nfs/dbraw/zinc/78/56/94/1072785694.db2.gz IPVHFDYXULULMA-UHFFFAOYSA-N 0 0 425.449 -0.771 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)CC1=O ZINC000526071418 1072785669 /nfs/dbraw/zinc/78/56/69/1072785669.db2.gz NRJGVDSUVINELD-UHFFFAOYSA-N 0 0 431.449 -0.269 20 0 IBADRN O=C(c1cccn(Cc2ccccc2)c1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000526072158 1072785685 /nfs/dbraw/zinc/78/56/85/1072785685.db2.gz UHIJEIFEJUOWQT-MOPGFXCFSA-N 0 0 431.514 -0.188 20 0 IBADRN O=C(c1cccn(Cc2ccccc2)c1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000526072159 1072785659 /nfs/dbraw/zinc/78/56/59/1072785659.db2.gz UHIJEIFEJUOWQT-OALUTQOASA-N 0 0 431.514 -0.188 20 0 IBADRN O=C(c1cccn(Cc2ccccc2)c1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000526072160 1072785567 /nfs/dbraw/zinc/78/55/67/1072785567.db2.gz UHIJEIFEJUOWQT-RBUKOAKNSA-N 0 0 431.514 -0.188 20 0 IBADRN O=C(c1cccn(Cc2ccccc2)c1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000526072161 1072785599 /nfs/dbraw/zinc/78/55/99/1072785599.db2.gz UHIJEIFEJUOWQT-RTBURBONSA-N 0 0 431.514 -0.188 20 0 IBADRN CNC(=O)c1ccn([C@@H]2CCCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)C2)n1 ZINC000526080002 1072786228 /nfs/dbraw/zinc/78/62/28/1072786228.db2.gz JCEWDDNRRWAFCY-FHLIZLRMSA-N 0 0 429.481 -0.262 20 0 IBADRN CNC(=O)c1ccn([C@H]2CCCN(C(=O)[C@@H]3OCC(=O)N(C)[C@H]3c3cnn(C)c3)C2)n1 ZINC000526080003 1072786348 /nfs/dbraw/zinc/78/63/48/1072786348.db2.gz JCEWDDNRRWAFCY-JCGIZDLHSA-N 0 0 429.481 -0.262 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)C(=O)NCC(=O)N(C)C)CC2)cc1 ZINC000526088254 1072786194 /nfs/dbraw/zinc/78/61/94/1072786194.db2.gz FJEUNQJRUHREAY-UHFFFAOYSA-N 0 0 426.495 -0.877 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC(=O)NC(=O)C13CCCCC3)CC2 ZINC000526102965 1072786881 /nfs/dbraw/zinc/78/68/81/1072786881.db2.gz AROQWNJLYNOSFD-UHFFFAOYSA-N 0 0 448.501 -0.328 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)c1=O ZINC000526102967 1072786894 /nfs/dbraw/zinc/78/68/94/1072786894.db2.gz AWHQOPRYMJWKLH-UHFFFAOYSA-N 0 0 432.433 -0.462 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)cc1-n1cnnn1 ZINC000526103048 1072786865 /nfs/dbraw/zinc/78/68/65/1072786865.db2.gz HZEOSONDGUQDEE-UHFFFAOYSA-N 0 0 427.421 -0.203 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)cn1 ZINC000526105460 1072786907 /nfs/dbraw/zinc/78/69/07/1072786907.db2.gz PKSHYPZBRZURHP-UHFFFAOYSA-N 0 0 437.464 -0.823 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)c1 ZINC000526105625 1072786806 /nfs/dbraw/zinc/78/68/06/1072786806.db2.gz DOCWPUNDDZSDCH-UHFFFAOYSA-N 0 0 429.433 -0.972 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)c2ccccc2O1 ZINC000526105787 1072786840 /nfs/dbraw/zinc/78/68/40/1072786840.db2.gz RVCPVNNBBVTGII-HNNXBMFYSA-N 0 0 428.445 -0.286 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)c2ccccc2O1 ZINC000526105788 1072786850 /nfs/dbraw/zinc/78/68/50/1072786850.db2.gz RVCPVNNBBVTGII-OAHLLOKOSA-N 0 0 428.445 -0.286 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cccc1-n1cnnn1 ZINC000526108051 1072786820 /nfs/dbraw/zinc/78/68/20/1072786820.db2.gz QQCPNCAOQPIFJE-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@@H](O)CO ZINC000526108589 1072787392 /nfs/dbraw/zinc/78/73/92/1072787392.db2.gz GCOYQWJFRJMLGD-CYBMUJFWSA-N 0 0 428.511 -0.665 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@H](O)CO ZINC000526108590 1072787381 /nfs/dbraw/zinc/78/73/81/1072787381.db2.gz GCOYQWJFRJMLGD-ZDUSSCGKSA-N 0 0 428.511 -0.665 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c2ccccc2O1 ZINC000526135433 1072787436 /nfs/dbraw/zinc/78/74/36/1072787436.db2.gz GALAMWZAFJJHOW-HNNXBMFYSA-N 0 0 425.463 -0.519 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c2ccccc2O1 ZINC000526135434 1072787374 /nfs/dbraw/zinc/78/73/74/1072787374.db2.gz GALAMWZAFJJHOW-OAHLLOKOSA-N 0 0 425.463 -0.519 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000526263508 1072787457 /nfs/dbraw/zinc/78/74/57/1072787457.db2.gz JIPZSTGQDNEAEU-UHFFFAOYSA-N 0 0 431.493 -0.023 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CCN2CCCC2=O)ccc1S(=O)(=O)N(C)C ZINC000526265946 1072787513 /nfs/dbraw/zinc/78/75/13/1072787513.db2.gz HYPPMNXYJGLVOG-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000526350151 1072787970 /nfs/dbraw/zinc/78/79/70/1072787970.db2.gz WVWLKBQBCFZICZ-UHFFFAOYSA-N 0 0 436.235 -0.454 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c(OC)c1 ZINC000526424389 1072787919 /nfs/dbraw/zinc/78/79/19/1072787919.db2.gz HHPGUBNKIMGRHW-AWEZNQCLSA-N 0 0 437.478 -0.224 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c(OC)c1 ZINC000526424390 1072787875 /nfs/dbraw/zinc/78/78/75/1072787875.db2.gz HHPGUBNKIMGRHW-CQSZACIVSA-N 0 0 437.478 -0.224 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000526446318 1072787894 /nfs/dbraw/zinc/78/78/94/1072787894.db2.gz SXXKVMIWJFNTCF-CYBMUJFWSA-N 0 0 430.508 -0.655 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000526446322 1072788300 /nfs/dbraw/zinc/78/83/00/1072788300.db2.gz SXXKVMIWJFNTCF-ZDUSSCGKSA-N 0 0 430.508 -0.655 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)CC2)[nH]1 ZINC000526564571 1072788413 /nfs/dbraw/zinc/78/84/13/1072788413.db2.gz DPYBXOQBYSTLCD-UHFFFAOYSA-N 0 0 447.521 -0.163 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCOCC1 ZINC000526596270 1072788897 /nfs/dbraw/zinc/78/88/97/1072788897.db2.gz AVPCNGUKDRGCQP-KRWDZBQOSA-N 0 0 445.476 -0.324 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCOCC1 ZINC000526596271 1072788843 /nfs/dbraw/zinc/78/88/43/1072788843.db2.gz AVPCNGUKDRGCQP-QGZVFWFLSA-N 0 0 445.476 -0.324 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cccc1-n1cnnn1 ZINC000526596775 1072789494 /nfs/dbraw/zinc/78/94/94/1072789494.db2.gz IIIYMBYDKBMXPH-IBGZPJMESA-N 0 0 426.437 -0.254 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cccc1-n1cnnn1 ZINC000526596776 1072789197 /nfs/dbraw/zinc/78/91/97/1072789197.db2.gz IIIYMBYDKBMXPH-LJQANCHMSA-N 0 0 426.437 -0.254 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)N1C[C@@H](C(=O)OC)Oc2ccccc21 ZINC000526596831 1072788908 /nfs/dbraw/zinc/78/89/08/1072788908.db2.gz OEZLCTBHUHGVNH-BBRMVZONSA-N 0 0 449.460 -0.226 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)N1C[C@H](C(=O)OC)Oc2ccccc21 ZINC000526596832 1072788919 /nfs/dbraw/zinc/78/89/19/1072788919.db2.gz OEZLCTBHUHGVNH-XJKSGUPXSA-N 0 0 449.460 -0.226 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1cccc(-n2cnnn2)c1C ZINC000526596833 1072788794 /nfs/dbraw/zinc/78/87/94/1072788794.db2.gz OITXMYMBHOMDFD-AWEZNQCLSA-N 0 0 431.453 -0.271 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000526597203 1072788943 /nfs/dbraw/zinc/78/89/43/1072788943.db2.gz IJAVKTHEJLLZOY-UHFFFAOYSA-N 0 0 445.476 -0.227 20 0 IBADRN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000526695865 1072790013 /nfs/dbraw/zinc/79/00/13/1072790013.db2.gz JIJUGWLLACSCOF-FQEVSTJZSA-N 0 0 434.449 -0.114 20 0 IBADRN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000526695866 1072789975 /nfs/dbraw/zinc/78/99/75/1072789975.db2.gz JIJUGWLLACSCOF-HXUWFJFHSA-N 0 0 434.449 -0.114 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)c2ccccc2O1 ZINC000526759807 1072790314 /nfs/dbraw/zinc/79/03/14/1072790314.db2.gz HTDDICNFEWKECQ-FYYVMTAXSA-N 0 0 430.417 -0.552 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)c2ccccc2O1 ZINC000526759811 1072790383 /nfs/dbraw/zinc/79/03/83/1072790383.db2.gz HTDDICNFEWKECQ-STYXZAMGSA-N 0 0 430.417 -0.552 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)c2ccccc2O1 ZINC000526759813 1072790421 /nfs/dbraw/zinc/79/04/21/1072790421.db2.gz HTDDICNFEWKECQ-UHBVIIIMSA-N 0 0 430.417 -0.552 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)c2ccccc2O1 ZINC000526759815 1072790415 /nfs/dbraw/zinc/79/04/15/1072790415.db2.gz HTDDICNFEWKECQ-YZIJFISFSA-N 0 0 430.417 -0.552 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c(OC)c1 ZINC000526776269 1072790369 /nfs/dbraw/zinc/79/03/69/1072790369.db2.gz QGKNXLGNVARKIU-INIZCTEOSA-N 0 0 445.476 -0.013 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c(OC)c1 ZINC000526776270 1072790451 /nfs/dbraw/zinc/79/04/51/1072790451.db2.gz QGKNXLGNVARKIU-MRXNPFEDSA-N 0 0 445.476 -0.013 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c(OC)c1 ZINC000526801764 1072789505 /nfs/dbraw/zinc/78/95/05/1072789505.db2.gz FYEFCXCGOKGEMD-LLVKDONJSA-N 0 0 425.467 -0.320 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c(OC)c1 ZINC000526801768 1072789233 /nfs/dbraw/zinc/78/92/33/1072789233.db2.gz FYEFCXCGOKGEMD-NSHDSACASA-N 0 0 425.467 -0.320 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)C3(S(C)(=O)=O)CC3)CC2)cc1 ZINC000526881315 1072791727 /nfs/dbraw/zinc/79/17/27/1072791727.db2.gz DLEZXPZNTCYYCB-UHFFFAOYSA-N 0 0 429.564 -0.005 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCc3cnccn3)cc2)CC1 ZINC000526921987 1072792668 /nfs/dbraw/zinc/79/26/68/1072792668.db2.gz XIBFCRICMBBFGE-UHFFFAOYSA-N 0 0 432.506 -0.290 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000526922761 1072792691 /nfs/dbraw/zinc/79/26/91/1072792691.db2.gz ZOZJJGSMMVRWJI-HOCLYGCPSA-N 0 0 446.549 -0.717 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)nn1C ZINC000526924915 1072792744 /nfs/dbraw/zinc/79/27/44/1072792744.db2.gz KHZFPYHBQIHGAA-UHFFFAOYSA-N 0 0 428.496 -0.335 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)nc3)CC2)cs1 ZINC000526932475 1072793641 /nfs/dbraw/zinc/79/36/41/1072793641.db2.gz OWUSGPBBMMTEJG-UHFFFAOYSA-N 0 0 431.521 -0.359 20 0 IBADRN O=C(NCCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000526954686 1072793913 /nfs/dbraw/zinc/79/39/13/1072793913.db2.gz CQINBKWYFAPEGX-UHFFFAOYSA-N 0 0 431.493 -0.481 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC(CO)CO)CC2)cc1 ZINC000526955837 1072793812 /nfs/dbraw/zinc/79/38/12/1072793812.db2.gz ROPJREVTDHWSAQ-UHFFFAOYSA-N 0 0 441.550 -0.108 20 0 IBADRN C[C@@H](O)CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@@H](C)CO ZINC000526956195 1072794238 /nfs/dbraw/zinc/79/42/38/1072794238.db2.gz OXULKIVWIMIDFF-DLBZAZTESA-N 0 0 441.550 -0.110 20 0 IBADRN C[C@@H](O)CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@H](C)CO ZINC000526956196 1072794281 /nfs/dbraw/zinc/79/42/81/1072794281.db2.gz OXULKIVWIMIDFF-IAGOWNOFSA-N 0 0 441.550 -0.110 20 0 IBADRN C[C@H](O)CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@@H](C)CO ZINC000526956197 1072794348 /nfs/dbraw/zinc/79/43/48/1072794348.db2.gz OXULKIVWIMIDFF-IRXDYDNUSA-N 0 0 441.550 -0.110 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000526956198 1072794343 /nfs/dbraw/zinc/79/43/43/1072794343.db2.gz OXULKIVWIMIDFF-SJORKVTESA-N 0 0 441.550 -0.110 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1 ZINC000526956706 1072793770 /nfs/dbraw/zinc/79/37/70/1072793770.db2.gz YAODLRNFZNMCPT-HNNXBMFYSA-N 0 0 425.463 -0.649 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1 ZINC000526956707 1072793841 /nfs/dbraw/zinc/79/38/41/1072793841.db2.gz YAODLRNFZNMCPT-OAHLLOKOSA-N 0 0 425.463 -0.649 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@H]1CC(=O)N(CCc3ccccc3)C1=O)C2 ZINC000526960603 1072794452 /nfs/dbraw/zinc/79/44/52/1072794452.db2.gz NZACNOWSMGRCGZ-INIZCTEOSA-N 0 0 426.477 -0.681 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@@H]1CC(=O)N(CCc3ccccc3)C1=O)C2 ZINC000526960604 1072794393 /nfs/dbraw/zinc/79/43/93/1072794393.db2.gz NZACNOWSMGRCGZ-MRXNPFEDSA-N 0 0 426.477 -0.681 20 0 IBADRN Cc1cc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n2nc(C(F)(F)F)nc2n1 ZINC000526961281 1072794358 /nfs/dbraw/zinc/79/43/58/1072794358.db2.gz QCSLKWKWEGTKFZ-UHFFFAOYSA-N 0 0 425.375 -0.082 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1nnc(-c3ccc(F)cc3)[nH]1)C2 ZINC000526963326 1072794370 /nfs/dbraw/zinc/79/43/70/1072794370.db2.gz CHHCLIKJIKCSLS-UHFFFAOYSA-N 0 0 443.443 -0.217 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000526963935 1072794305 /nfs/dbraw/zinc/79/43/05/1072794305.db2.gz BVMGYERVTFDLIX-UHFFFAOYSA-N 0 0 445.480 -0.557 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000526964197 1072794260 /nfs/dbraw/zinc/79/42/60/1072794260.db2.gz WQQIFVGPKITPFQ-UHFFFAOYSA-N 0 0 448.411 -0.960 20 0 IBADRN CC(C)CCn1nccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000526964228 1072794409 /nfs/dbraw/zinc/79/44/09/1072794409.db2.gz HTLCTIIAYSMXQM-UHFFFAOYSA-N 0 0 432.485 -0.644 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000526965450 1072794249 /nfs/dbraw/zinc/79/42/49/1072794249.db2.gz PZRFQMBKSYRHOW-INIZCTEOSA-N 0 0 429.564 -0.221 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000526965451 1072794427 /nfs/dbraw/zinc/79/44/27/1072794427.db2.gz PZRFQMBKSYRHOW-MRXNPFEDSA-N 0 0 429.564 -0.221 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCS(=O)(=O)C[C@H]2C)c(=O)[nH]c1=O ZINC000526965665 1072794440 /nfs/dbraw/zinc/79/44/40/1072794440.db2.gz LSTLBDHFXTZAEI-CYBMUJFWSA-N 0 0 445.542 -0.571 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCS(=O)(=O)C[C@@H]2C)c(=O)[nH]c1=O ZINC000526965666 1072794471 /nfs/dbraw/zinc/79/44/71/1072794471.db2.gz LSTLBDHFXTZAEI-ZDUSSCGKSA-N 0 0 445.542 -0.571 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)c1C ZINC000527010128 1072794928 /nfs/dbraw/zinc/79/49/28/1072794928.db2.gz BEMFZIRGJUQPAX-UHFFFAOYSA-N 0 0 437.478 -0.017 20 0 IBADRN CCn1cnc2c(c1=O)CCN(C(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)C2 ZINC000527011294 1072794860 /nfs/dbraw/zinc/79/48/60/1072794860.db2.gz JZIODQFTKBXGDT-UHFFFAOYSA-N 0 0 445.501 -0.418 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC1CCN(c2nccn2C)CC1 ZINC000527012676 1072794887 /nfs/dbraw/zinc/79/48/87/1072794887.db2.gz ZDKAWBLAJWRUPR-CYBMUJFWSA-N 0 0 434.522 -0.029 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC1CCN(c2nccn2C)CC1 ZINC000527012677 1072794961 /nfs/dbraw/zinc/79/49/61/1072794961.db2.gz ZDKAWBLAJWRUPR-ZDUSSCGKSA-N 0 0 434.522 -0.029 20 0 IBADRN CCN(C(=O)c1cc(=O)[nH]c(N(C)C)n1)C1CN(C(=O)c2cc(=O)[nH]c(N(C)C)n2)C1 ZINC000527014197 1072794804 /nfs/dbraw/zinc/79/48/04/1072794804.db2.gz QNCSWCHFWOAVME-UHFFFAOYSA-N 0 0 430.469 -0.204 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000527047066 1072798794 /nfs/dbraw/zinc/79/87/94/1072798794.db2.gz UGCODZZDIUCEFD-KRWDZBQOSA-N 0 0 426.477 -0.551 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000527047067 1072798873 /nfs/dbraw/zinc/79/88/73/1072798873.db2.gz UGCODZZDIUCEFD-QGZVFWFLSA-N 0 0 426.477 -0.551 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(N3CCC(O)CC3)cc1)C2 ZINC000527056043 1072798942 /nfs/dbraw/zinc/79/89/42/1072798942.db2.gz BYGKKNXXFXBYQT-UHFFFAOYSA-N 0 0 428.493 -0.250 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1=O ZINC000527062656 1072798173 /nfs/dbraw/zinc/79/81/73/1072798173.db2.gz DQDMSKUQGCNUKS-UHFFFAOYSA-N 0 0 431.449 -0.616 20 0 IBADRN O=C(c1cn(-c2ccc(Cl)cc2)nn1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000527063503 1072798283 /nfs/dbraw/zinc/79/82/83/1072798283.db2.gz KPCDGUWMDZQRQD-CVEARBPZSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2ccc(Cl)cc2)nn1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000527063504 1072798311 /nfs/dbraw/zinc/79/83/11/1072798311.db2.gz KPCDGUWMDZQRQD-HOTGVXAUSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2ccc(Cl)cc2)nn1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000527063507 1072798290 /nfs/dbraw/zinc/79/82/90/1072798290.db2.gz KPCDGUWMDZQRQD-HZPDHXFCSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cn(-c2ccc(Cl)cc2)nn1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000527063509 1072798388 /nfs/dbraw/zinc/79/83/88/1072798388.db2.gz KPCDGUWMDZQRQD-JKSUJKDBSA-N 0 0 425.898 -0.164 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)C(=O)NCC(=O)N(C)C)CC3)n2)cc1 ZINC000527073851 1072799570 /nfs/dbraw/zinc/79/95/70/1072799570.db2.gz NSOFRIVLJSSGFA-UHFFFAOYSA-N 0 0 430.465 -0.406 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(=O)N(C)C)CC2)c1C ZINC000527073915 1072799347 /nfs/dbraw/zinc/79/93/47/1072799347.db2.gz UWOBPAVQVMZCDP-UHFFFAOYSA-N 0 0 438.550 -0.042 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCCN(S(=O)(=O)c2cccc3cnccc32)CC1 ZINC000527077657 1072799928 /nfs/dbraw/zinc/79/99/28/1072799928.db2.gz MIHVMYUWNDBRHS-UHFFFAOYSA-N 0 0 447.517 -0.338 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)NCCCN(C1CC1)S(=O)(=O)c1cccc(F)c1 ZINC000527077758 1072799894 /nfs/dbraw/zinc/79/98/94/1072799894.db2.gz NBINOMDXWKOXGL-UHFFFAOYSA-N 0 0 428.486 -0.311 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCN(c2cnn(-c3ccccc3)c(=O)c2Cl)CC1 ZINC000527077878 1072800019 /nfs/dbraw/zinc/80/00/19/1072800019.db2.gz DYAFDDCFRDHXIJ-UHFFFAOYSA-N 0 0 446.895 -0.261 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCC(=O)N(C)C)CC2)ncc1Br ZINC000527079092 1072799974 /nfs/dbraw/zinc/79/99/74/1072799974.db2.gz IWRJELFDDCAHRU-UHFFFAOYSA-N 0 0 429.275 -0.899 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NCC(=O)N(C)C)CC1 ZINC000527079570 1072799987 /nfs/dbraw/zinc/79/99/87/1072799987.db2.gz MKSBZQZIAJIAFW-UHFFFAOYSA-N 0 0 446.913 -0.614 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)NCC(=O)N(C)C)CC2)c(OC)c1OC ZINC000527079636 1072800093 /nfs/dbraw/zinc/80/00/93/1072800093.db2.gz CEWOJHOUVOEMKW-UHFFFAOYSA-N 0 0 436.465 -0.799 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000527084445 1072799528 /nfs/dbraw/zinc/79/95/28/1072799528.db2.gz YJDDPKNFAHILGD-UHFFFAOYSA-N 0 0 434.877 -0.484 20 0 IBADRN COCCCN(CCO)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000527085855 1072799444 /nfs/dbraw/zinc/79/94/44/1072799444.db2.gz SYJLOFVNCVUAMY-UHFFFAOYSA-N 0 0 429.543 -0.426 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1cccc(-n2cnnn2)c1C)[C@H]1CCS(=O)(=O)C1 ZINC000527087190 1072799617 /nfs/dbraw/zinc/79/96/17/1072799617.db2.gz KXXAXFCXABXEHB-AWEZNQCLSA-N 0 0 436.494 -0.039 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1cccc(-n2cnnn2)c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000527087191 1072799434 /nfs/dbraw/zinc/79/94/34/1072799434.db2.gz KXXAXFCXABXEHB-CQSZACIVSA-N 0 0 436.494 -0.039 20 0 IBADRN CN(Cc1nccn1C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000527096281 1072799964 /nfs/dbraw/zinc/79/99/64/1072799964.db2.gz IGWDTUIDFADBGR-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CC(=O)NC(=O)C12CCCCC2 ZINC000527096900 1072799945 /nfs/dbraw/zinc/79/99/45/1072799945.db2.gz NCKSCXBRTVYEJU-UHFFFAOYSA-N 0 0 432.481 -0.658 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)cc1 ZINC000527097322 1072800009 /nfs/dbraw/zinc/80/00/09/1072800009.db2.gz HUPXUSXJRWSOEA-UHFFFAOYSA-N 0 0 436.490 -0.138 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)cc1 ZINC000527097449 1072800065 /nfs/dbraw/zinc/80/00/65/1072800065.db2.gz RCLCJUPGBRQSOK-UHFFFAOYSA-N 0 0 444.444 -0.284 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CC(=O)NC(=O)C12CCCCC2 ZINC000527097580 1074357187 /nfs/dbraw/zinc/35/71/87/1074357187.db2.gz CBYMQZIYRCXLBA-UHFFFAOYSA-N 0 0 432.481 -0.658 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CC(=O)NC(=O)C12CCCCC2 ZINC000527098109 1072799883 /nfs/dbraw/zinc/79/98/83/1072799883.db2.gz WSQVDVAZARASCZ-UHFFFAOYSA-N 0 0 441.444 -0.135 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)ccc1-n1cnnn1 ZINC000527099869 1072899543 /nfs/dbraw/zinc/89/95/43/1072899543.db2.gz QDVPLCPBTZZTMU-UHFFFAOYSA-N 0 0 435.448 -0.248 20 0 IBADRN O=C(NC[C@H](O)CO)C(=O)Nc1ccc(OCCN2C(=O)c3ccccc3C2=O)cc1 ZINC000527101471 1072800377 /nfs/dbraw/zinc/80/03/77/1072800377.db2.gz RGOICBICWLDFIF-AWEZNQCLSA-N 0 0 427.413 -0.231 20 0 IBADRN O=C(NC[C@@H](O)CO)C(=O)Nc1ccc(OCCN2C(=O)c3ccccc3C2=O)cc1 ZINC000527101472 1072800295 /nfs/dbraw/zinc/80/02/95/1072800295.db2.gz RGOICBICWLDFIF-CQSZACIVSA-N 0 0 427.413 -0.231 20 0 IBADRN O=C(NC[C@H](O)CO)C(=O)Nc1cccc(S(=O)(=O)N2CCc3sccc3C2)c1 ZINC000527101743 1072800548 /nfs/dbraw/zinc/80/05/48/1072800548.db2.gz NUFVSQWCBBMUHA-AWEZNQCLSA-N 0 0 439.515 -0.097 20 0 IBADRN O=C(NC[C@@H](O)CO)C(=O)Nc1cccc(S(=O)(=O)N2CCc3sccc3C2)c1 ZINC000527101744 1072800313 /nfs/dbraw/zinc/80/03/13/1072800313.db2.gz NUFVSQWCBBMUHA-CQSZACIVSA-N 0 0 439.515 -0.097 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000527103941 1072800558 /nfs/dbraw/zinc/80/05/58/1072800558.db2.gz YGDDDRDOGGSYGF-HNNXBMFYSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000527103942 1072800337 /nfs/dbraw/zinc/80/03/37/1072800337.db2.gz YGDDDRDOGGSYGF-OAHLLOKOSA-N 0 0 444.535 -0.311 20 0 IBADRN Cn1c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc2c1nc1ccccn1c2=O ZINC000527135610 1072801013 /nfs/dbraw/zinc/80/10/13/1072801013.db2.gz XRVCHZXEROEIAU-GDBMZVCRSA-N 0 0 445.501 -0.586 20 0 IBADRN Cn1c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc2c1nc1ccccn1c2=O ZINC000527135611 1072800999 /nfs/dbraw/zinc/80/09/99/1072800999.db2.gz XRVCHZXEROEIAU-GOEBONIOSA-N 0 0 445.501 -0.586 20 0 IBADRN Cn1c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc2c1nc1ccccn1c2=O ZINC000527135612 1072800927 /nfs/dbraw/zinc/80/09/27/1072800927.db2.gz XRVCHZXEROEIAU-HOCLYGCPSA-N 0 0 445.501 -0.586 20 0 IBADRN Cn1c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc2c1nc1ccccn1c2=O ZINC000527135613 1072800801 /nfs/dbraw/zinc/80/08/01/1072800801.db2.gz XRVCHZXEROEIAU-ZBFHGGJFSA-N 0 0 445.501 -0.586 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CCN1CCCC1=O ZINC000527137547 1072800779 /nfs/dbraw/zinc/80/07/79/1072800779.db2.gz IONWEZPBIWNPEL-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCCC2=O)cc1 ZINC000527138341 1072800971 /nfs/dbraw/zinc/80/09/71/1072800971.db2.gz AEJLIZICKFTKPQ-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CC(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000527143484 1072801607 /nfs/dbraw/zinc/80/16/07/1072801607.db2.gz FUFZCXHNUMGLEU-HNNXBMFYSA-N 0 0 427.523 -0.041 20 0 IBADRN CC(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000527143485 1072801489 /nfs/dbraw/zinc/80/14/89/1072801489.db2.gz FUFZCXHNUMGLEU-OAHLLOKOSA-N 0 0 427.523 -0.041 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c2ccccc2O1 ZINC000527144388 1072801666 /nfs/dbraw/zinc/80/16/66/1072801666.db2.gz LLYZRJKFHIBSKE-DLBZAZTESA-N 0 0 433.461 -0.047 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)c2ccccc2O1 ZINC000527144389 1072801409 /nfs/dbraw/zinc/80/14/09/1072801409.db2.gz LLYZRJKFHIBSKE-IAGOWNOFSA-N 0 0 433.461 -0.047 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c2ccccc2O1 ZINC000527144390 1072801442 /nfs/dbraw/zinc/80/14/42/1072801442.db2.gz LLYZRJKFHIBSKE-IRXDYDNUSA-N 0 0 433.461 -0.047 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c2ccccc2O1 ZINC000527144391 1072801314 /nfs/dbraw/zinc/80/13/14/1072801314.db2.gz LLYZRJKFHIBSKE-SJORKVTESA-N 0 0 433.461 -0.047 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c2ccccc2O1 ZINC000527144771 1072801336 /nfs/dbraw/zinc/80/13/36/1072801336.db2.gz HYLGJHYWJKJQKE-BTYIYWSLSA-N 0 0 444.444 -0.210 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c2ccccc2O1 ZINC000527144772 1072801426 /nfs/dbraw/zinc/80/14/26/1072801426.db2.gz HYLGJHYWJKJQKE-QVKFZJNVSA-N 0 0 444.444 -0.210 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)c2ccccc2O1 ZINC000527144773 1072801475 /nfs/dbraw/zinc/80/14/75/1072801475.db2.gz HYLGJHYWJKJQKE-VFNWGFHPSA-N 0 0 444.444 -0.210 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)c2ccccc2O1 ZINC000527144774 1072801459 /nfs/dbraw/zinc/80/14/59/1072801459.db2.gz HYLGJHYWJKJQKE-YCRPNKLZSA-N 0 0 444.444 -0.210 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnn(-c2ccccn2)c1 ZINC000527145562 1072800359 /nfs/dbraw/zinc/80/03/59/1072800359.db2.gz TWMNBJGFJPKDRW-KRWDZBQOSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnn(-c2ccccn2)c1 ZINC000527145563 1072800249 /nfs/dbraw/zinc/80/02/49/1072800249.db2.gz TWMNBJGFJPKDRW-QGZVFWFLSA-N 0 0 449.471 -0.075 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(C)C)C(=O)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000527145680 1072800453 /nfs/dbraw/zinc/80/04/53/1072800453.db2.gz GUNCLHOYFHBNIR-AWEZNQCLSA-N 0 0 425.511 -0.184 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(C)C)C(=O)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000527145681 1072800277 /nfs/dbraw/zinc/80/02/77/1072800277.db2.gz GUNCLHOYFHBNIR-CQSZACIVSA-N 0 0 425.511 -0.184 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000527145880 1072800417 /nfs/dbraw/zinc/80/04/17/1072800417.db2.gz SLYFKLWZXMWLIM-GOSISDBHSA-N 0 0 427.479 -0.160 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000527145881 1072800543 /nfs/dbraw/zinc/80/05/43/1072800543.db2.gz SLYFKLWZXMWLIM-SFHVURJKSA-N 0 0 427.479 -0.160 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c(OC)c1 ZINC000527148662 1072800884 /nfs/dbraw/zinc/80/08/84/1072800884.db2.gz QOXJOLIRHMFFSO-AWEZNQCLSA-N 0 0 442.432 -0.350 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c(OC)c1 ZINC000527148663 1072801026 /nfs/dbraw/zinc/80/10/26/1072801026.db2.gz QOXJOLIRHMFFSO-CQSZACIVSA-N 0 0 442.432 -0.350 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000527149597 1072801078 /nfs/dbraw/zinc/80/10/78/1072801078.db2.gz WOBRBTGPKSFJRX-INIZCTEOSA-N 0 0 438.506 -0.491 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000527149604 1072801044 /nfs/dbraw/zinc/80/10/44/1072801044.db2.gz WOBRBTGPKSFJRX-MRXNPFEDSA-N 0 0 438.506 -0.491 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc4nc5n(c(=O)c4c3)CCC5)C2)nn1 ZINC000527155679 1072801128 /nfs/dbraw/zinc/80/11/28/1072801128.db2.gz IGOKXKJKKCTWPX-UHFFFAOYSA-N 0 0 437.416 -0.257 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)N3C[C@@H](C(=O)OC)Oc4ccccc43)C2)nn1 ZINC000527155837 1072800753 /nfs/dbraw/zinc/80/07/53/1072800753.db2.gz UDEXFJXBMIFZDP-HNNXBMFYSA-N 0 0 429.389 -0.585 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)N3C[C@H](C(=O)OC)Oc4ccccc43)C2)nn1 ZINC000527155838 1072800912 /nfs/dbraw/zinc/80/09/12/1072800912.db2.gz UDEXFJXBMIFZDP-OAHLLOKOSA-N 0 0 429.389 -0.585 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N4CCCC4)c3)C2)nn1 ZINC000527155877 1072800732 /nfs/dbraw/zinc/80/07/32/1072800732.db2.gz KUHFODVOGNAQDJ-UHFFFAOYSA-N 0 0 447.477 -0.817 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)C2)nn1 ZINC000527156336 1072801064 /nfs/dbraw/zinc/80/10/64/1072801064.db2.gz MGMZOLBWOLYQNO-UHFFFAOYSA-N 0 0 448.461 -0.473 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cn1 ZINC000527169252 1072801591 /nfs/dbraw/zinc/80/15/91/1072801591.db2.gz NZRQCTDRBWNZLQ-KBPBESRZSA-N 0 0 431.472 -0.348 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000527174328 1072801389 /nfs/dbraw/zinc/80/13/89/1072801389.db2.gz LLGXCFRNUXUMSO-UHFFFAOYSA-N 0 0 426.480 -0.278 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cn(C)c(=O)cc3C)CC2)cs1 ZINC000527174649 1072801567 /nfs/dbraw/zinc/80/15/67/1072801567.db2.gz SWHXQETVPQTTIY-UHFFFAOYSA-N 0 0 439.519 -0.070 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000527188441 1072801249 /nfs/dbraw/zinc/80/12/49/1072801249.db2.gz DROMAZPSAPETMA-ZFWWWQNUSA-N 0 0 445.499 -0.006 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000527188757 1072801647 /nfs/dbraw/zinc/80/16/47/1072801647.db2.gz FBVNMLKQHPHVBK-ZFWWWQNUSA-N 0 0 427.506 -0.497 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(S(N)(=O)=O)CC2)cc1 ZINC000527195670 1072802594 /nfs/dbraw/zinc/80/25/94/1072802594.db2.gz IOTQWFCJGBXAHA-UHFFFAOYSA-N 0 0 433.508 -0.579 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC(S(N)(=O)=O)CC3)CC2)c1 ZINC000527196259 1072802467 /nfs/dbraw/zinc/80/24/67/1072802467.db2.gz ZDLZGQKDKIEFTK-UHFFFAOYSA-N 0 0 444.579 -0.274 20 0 IBADRN O=C(NCCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000527203908 1072801364 /nfs/dbraw/zinc/80/13/64/1072801364.db2.gz GJADYOKOKAZNLC-UHFFFAOYSA-N 0 0 439.538 -0.289 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000527205682 1072801528 /nfs/dbraw/zinc/80/15/28/1072801528.db2.gz VZSFGJFMVVGDRS-UHFFFAOYSA-N 0 0 444.535 -0.537 20 0 IBADRN COc1ccc(CC(=O)N(C[C@H](C)O)[C@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000527206225 1072801915 /nfs/dbraw/zinc/80/19/15/1072801915.db2.gz PLURWBRCEGKUEY-CABCVRRESA-N 0 0 430.523 -0.151 20 0 IBADRN COc1ccc(CC(=O)N(C[C@H](C)O)[C@@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000527206226 1072801852 /nfs/dbraw/zinc/80/18/52/1072801852.db2.gz PLURWBRCEGKUEY-GJZGRUSLSA-N 0 0 430.523 -0.151 20 0 IBADRN COc1ccc(CC(=O)N(C[C@@H](C)O)[C@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000527206227 1072801894 /nfs/dbraw/zinc/80/18/94/1072801894.db2.gz PLURWBRCEGKUEY-HUUCEWRRSA-N 0 0 430.523 -0.151 20 0 IBADRN COc1ccc(CC(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000527206228 1072801827 /nfs/dbraw/zinc/80/18/27/1072801827.db2.gz PLURWBRCEGKUEY-LSDHHAIUSA-N 0 0 430.523 -0.151 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ncc(I)cn1)C2 ZINC000527209483 1072802031 /nfs/dbraw/zinc/80/20/31/1072802031.db2.gz MWGJCJUIKUPMMS-UHFFFAOYSA-N 0 0 429.222 -0.452 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC000527209700 1072802137 /nfs/dbraw/zinc/80/21/37/1072802137.db2.gz OPHDAMOYVDXNKA-UHFFFAOYSA-N 0 0 437.482 -0.257 20 0 IBADRN Cc1cc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1-n1cnnn1 ZINC000527209763 1072802056 /nfs/dbraw/zinc/80/20/56/1072802056.db2.gz LJEDSTDWGSQAES-UHFFFAOYSA-N 0 0 426.441 -0.535 20 0 IBADRN Cc1nn(C(C)C)c(C)c1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000527210808 1072801968 /nfs/dbraw/zinc/80/19/68/1072801968.db2.gz GOFADLALYVXUJI-UHFFFAOYSA-N 0 0 425.515 -0.268 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3c(c1)C(C)(C)C(=O)N3)C2 ZINC000527210866 1072801999 /nfs/dbraw/zinc/80/19/99/1072801999.db2.gz GXUVIAPHWGZHKL-UHFFFAOYSA-N 0 0 448.505 -0.433 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCC[C@@H](S(C)(=O)=O)C1)C2 ZINC000527212325 1072801777 /nfs/dbraw/zinc/80/17/77/1072801777.db2.gz XBZWYVZFPBLEPL-CHWSQXEVSA-N 0 0 428.515 -0.986 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCC[C@H](S(C)(=O)=O)C1)C2 ZINC000527212326 1072802093 /nfs/dbraw/zinc/80/20/93/1072802093.db2.gz XBZWYVZFPBLEPL-OLZOCXBDSA-N 0 0 428.515 -0.986 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCC[C@@H](S(C)(=O)=O)C1)C2 ZINC000527212327 1072801873 /nfs/dbraw/zinc/80/18/73/1072801873.db2.gz XBZWYVZFPBLEPL-QWHCGFSZSA-N 0 0 428.515 -0.986 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCC[C@H](S(C)(=O)=O)C1)C2 ZINC000527212328 1072802042 /nfs/dbraw/zinc/80/20/42/1072802042.db2.gz XBZWYVZFPBLEPL-STQMWFEESA-N 0 0 428.515 -0.986 20 0 IBADRN CSCc1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000527212944 1072801946 /nfs/dbraw/zinc/80/19/46/1072801946.db2.gz KQFSLJMQDAUAAL-UHFFFAOYSA-N 0 0 432.506 -0.023 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n(C(C)(C)C)n1 ZINC000527213171 1072802073 /nfs/dbraw/zinc/80/20/73/1072802073.db2.gz WTTBMMBOJDQADN-UHFFFAOYSA-N 0 0 432.485 -0.626 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000527213857 1072801805 /nfs/dbraw/zinc/80/18/05/1072801805.db2.gz HOQUQKSTZCXSEX-GFCCVEGCSA-N 0 0 428.529 -0.105 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000527213858 1072802119 /nfs/dbraw/zinc/80/21/19/1072802119.db2.gz HOQUQKSTZCXSEX-LBPRGKRZSA-N 0 0 428.529 -0.105 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)C[C@H]2C)CC1 ZINC000527214173 1072801984 /nfs/dbraw/zinc/80/19/84/1072801984.db2.gz CQBCWYRCZYLCAX-GFCCVEGCSA-N 0 0 434.540 -0.757 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)C[C@@H]2C)CC1 ZINC000527214174 1072802103 /nfs/dbraw/zinc/80/21/03/1072802103.db2.gz CQBCWYRCZYLCAX-LBPRGKRZSA-N 0 0 434.540 -0.757 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)C[C@@H]3C)CC2)cc1 ZINC000527214251 1072802581 /nfs/dbraw/zinc/80/25/81/1072802581.db2.gz OVZRRMXOBIPQAE-HNNXBMFYSA-N 0 0 445.563 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)C[C@H]3C)CC2)cc1 ZINC000527214252 1072802450 /nfs/dbraw/zinc/80/24/50/1072802450.db2.gz OVZRRMXOBIPQAE-OAHLLOKOSA-N 0 0 445.563 -0.353 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000527214471 1072802318 /nfs/dbraw/zinc/80/23/18/1072802318.db2.gz WBCKYDXKPXGSLC-AWEZNQCLSA-N 0 0 433.527 -0.223 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000527214472 1072802494 /nfs/dbraw/zinc/80/24/94/1072802494.db2.gz WBCKYDXKPXGSLC-CQSZACIVSA-N 0 0 433.527 -0.223 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000527214538 1072802513 /nfs/dbraw/zinc/80/25/13/1072802513.db2.gz OQDCEVCHEMWYIR-CRAIPNDOSA-N 0 0 437.518 -0.384 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000527214539 1072802282 /nfs/dbraw/zinc/80/22/82/1072802282.db2.gz OQDCEVCHEMWYIR-MAUKXSAKSA-N 0 0 437.518 -0.384 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000527214540 1072802267 /nfs/dbraw/zinc/80/22/67/1072802267.db2.gz OQDCEVCHEMWYIR-QAPCUYQASA-N 0 0 437.518 -0.384 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000527214541 1072802339 /nfs/dbraw/zinc/80/23/39/1072802339.db2.gz OQDCEVCHEMWYIR-YJBOKZPZSA-N 0 0 437.518 -0.384 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC000527216262 1072802398 /nfs/dbraw/zinc/80/23/98/1072802398.db2.gz GRYNBADJGZSKQV-CVEARBPZSA-N 0 0 439.490 -0.271 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC000527216263 1072802301 /nfs/dbraw/zinc/80/23/01/1072802301.db2.gz GRYNBADJGZSKQV-HOTGVXAUSA-N 0 0 439.490 -0.271 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC000527216264 1072802378 /nfs/dbraw/zinc/80/23/78/1072802378.db2.gz GRYNBADJGZSKQV-HZPDHXFCSA-N 0 0 439.490 -0.271 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC000527216265 1072802485 /nfs/dbraw/zinc/80/24/85/1072802485.db2.gz GRYNBADJGZSKQV-JKSUJKDBSA-N 0 0 439.490 -0.271 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)O1 ZINC000527228828 1072803007 /nfs/dbraw/zinc/80/30/07/1072803007.db2.gz BTUJVPAJYLWRSU-CABCVRRESA-N 0 0 425.463 -0.676 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)O1 ZINC000527228829 1072803097 /nfs/dbraw/zinc/80/30/97/1072803097.db2.gz BTUJVPAJYLWRSU-GJZGRUSLSA-N 0 0 425.463 -0.676 20 0 IBADRN NC(=O)[C@H]1CC[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)O1 ZINC000527228830 1072802810 /nfs/dbraw/zinc/80/28/10/1072802810.db2.gz BTUJVPAJYLWRSU-HUUCEWRRSA-N 0 0 425.463 -0.676 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)O1 ZINC000527228831 1072803081 /nfs/dbraw/zinc/80/30/81/1072803081.db2.gz BTUJVPAJYLWRSU-LSDHHAIUSA-N 0 0 425.463 -0.676 20 0 IBADRN Cn1c(C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000527268415 1072803614 /nfs/dbraw/zinc/80/36/14/1072803614.db2.gz WBOOHUMZZCFQRK-UHFFFAOYSA-N 0 0 425.401 -0.282 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)n1 ZINC000527275038 1072803393 /nfs/dbraw/zinc/80/33/93/1072803393.db2.gz NPAXEBBVKJTKAD-GFCCVEGCSA-N 0 0 430.469 -0.321 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)n1 ZINC000527275039 1072803480 /nfs/dbraw/zinc/80/34/80/1072803480.db2.gz NPAXEBBVKJTKAD-LBPRGKRZSA-N 0 0 430.469 -0.321 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC000527275915 1072803539 /nfs/dbraw/zinc/80/35/39/1072803539.db2.gz FFMSGRGQEFUWPL-HZSPNIEDSA-N 0 0 425.511 -0.123 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC000527275916 1072803595 /nfs/dbraw/zinc/80/35/95/1072803595.db2.gz FFMSGRGQEFUWPL-MCIONIFRSA-N 0 0 425.511 -0.123 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC000527275917 1072803230 /nfs/dbraw/zinc/80/32/30/1072803230.db2.gz FFMSGRGQEFUWPL-MGPQQGTHSA-N 0 0 425.511 -0.123 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC000527275918 1072803247 /nfs/dbraw/zinc/80/32/47/1072803247.db2.gz FFMSGRGQEFUWPL-RDBSUJKOSA-N 0 0 425.511 -0.123 20 0 IBADRN COc1ccc(C(=O)N2CCS(=O)(=O)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000527295978 1071206287 /nfs/dbraw/zinc/20/62/87/1071206287.db2.gz DXGMYDBVEQTVHN-CYBMUJFWSA-N 0 0 432.520 -0.025 20 0 IBADRN COc1ccc(C(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000527295979 1072803809 /nfs/dbraw/zinc/80/38/09/1072803809.db2.gz DXGMYDBVEQTVHN-ZDUSSCGKSA-N 0 0 432.520 -0.025 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(F)ccc1N1CCOCC1)C2 ZINC000527302495 1072803867 /nfs/dbraw/zinc/80/38/67/1072803867.db2.gz JKWJOIYWHICZPR-UHFFFAOYSA-N 0 0 432.456 -0.235 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c2ccccc12 ZINC000527305416 1072803987 /nfs/dbraw/zinc/80/39/87/1072803987.db2.gz IIAARDAOUSWMCE-UHFFFAOYSA-N 0 0 440.460 -0.083 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccn(Cc3ccccc3)c1=O)C2 ZINC000527305434 1072803828 /nfs/dbraw/zinc/80/38/28/1072803828.db2.gz PZFMFNVVMFUTFD-UHFFFAOYSA-N 0 0 436.472 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000527306005 1072803846 /nfs/dbraw/zinc/80/38/46/1072803846.db2.gz QLBVZYGIHIDEGC-UHFFFAOYSA-N 0 0 436.494 -0.994 20 0 IBADRN CC(C)S(=O)(=O)c1cccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000527306097 1072803971 /nfs/dbraw/zinc/80/39/71/1072803971.db2.gz GCOFYMGIVNHQQK-UHFFFAOYSA-N 0 0 435.506 -0.029 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCCN(CCOC)C(=O)C2)cc1 ZINC000527307480 1072804234 /nfs/dbraw/zinc/80/42/34/1072804234.db2.gz WKIPAQZYQQDELA-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c2ccccc12 ZINC000527313228 1072804463 /nfs/dbraw/zinc/80/44/63/1072804463.db2.gz DPVBKEDIQMTKOQ-MSOLQXFVSA-N 0 0 435.502 -0.270 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c2ccccc12 ZINC000527313229 1072804202 /nfs/dbraw/zinc/80/42/02/1072804202.db2.gz DPVBKEDIQMTKOQ-QZTJIDSGSA-N 0 0 435.502 -0.270 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c2ccccc12 ZINC000527313230 1072804430 /nfs/dbraw/zinc/80/44/30/1072804430.db2.gz DPVBKEDIQMTKOQ-ROUUACIJSA-N 0 0 435.502 -0.270 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c2ccccc12 ZINC000527313231 1072804305 /nfs/dbraw/zinc/80/43/05/1072804305.db2.gz DPVBKEDIQMTKOQ-ZWKOTPCHSA-N 0 0 435.502 -0.270 20 0 IBADRN O=C(c1cc(F)ccc1N1CCOCC1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000527313244 1072804268 /nfs/dbraw/zinc/80/42/68/1072804268.db2.gz IPKYACXWGJMLCP-MSOLQXFVSA-N 0 0 427.498 -0.422 20 0 IBADRN O=C(c1cc(F)ccc1N1CCOCC1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000527313245 1072804418 /nfs/dbraw/zinc/80/44/18/1072804418.db2.gz IPKYACXWGJMLCP-QZTJIDSGSA-N 0 0 427.498 -0.422 20 0 IBADRN O=C(c1cc(F)ccc1N1CCOCC1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000527313246 1072804318 /nfs/dbraw/zinc/80/43/18/1072804318.db2.gz IPKYACXWGJMLCP-ROUUACIJSA-N 0 0 427.498 -0.422 20 0 IBADRN O=C(c1cc(F)ccc1N1CCOCC1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000527313247 1072804217 /nfs/dbraw/zinc/80/42/17/1072804217.db2.gz IPKYACXWGJMLCP-ZWKOTPCHSA-N 0 0 427.498 -0.422 20 0 IBADRN O=C(CSc1ccc2c(c1)OCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000527313439 1072804335 /nfs/dbraw/zinc/80/43/35/1072804335.db2.gz QRLPGYLLFZZVOF-CABCVRRESA-N 0 0 428.532 -0.148 20 0 IBADRN O=C(CSc1ccc2c(c1)OCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000527313440 1072804447 /nfs/dbraw/zinc/80/44/47/1072804447.db2.gz QRLPGYLLFZZVOF-GJZGRUSLSA-N 0 0 428.532 -0.148 20 0 IBADRN O=C(CSc1ccc2c(c1)OCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000527313441 1072804801 /nfs/dbraw/zinc/80/48/01/1072804801.db2.gz QRLPGYLLFZZVOF-HUUCEWRRSA-N 0 0 428.532 -0.148 20 0 IBADRN O=C(CSc1ccc2c(c1)OCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000527313442 1072804737 /nfs/dbraw/zinc/80/47/37/1072804737.db2.gz QRLPGYLLFZZVOF-LSDHHAIUSA-N 0 0 428.532 -0.148 20 0 IBADRN O=C(c1ccc(=O)n(-c2cccc(F)c2)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000527313447 1072804719 /nfs/dbraw/zinc/80/47/19/1072804719.db2.gz RWRJEELMASOLJK-MSOLQXFVSA-N 0 0 435.477 -0.108 20 0 IBADRN O=C(c1ccc(=O)n(-c2cccc(F)c2)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000527313448 1072804826 /nfs/dbraw/zinc/80/48/26/1072804826.db2.gz RWRJEELMASOLJK-QZTJIDSGSA-N 0 0 435.477 -0.108 20 0 IBADRN O=C(c1ccc(=O)n(-c2cccc(F)c2)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000527313449 1072804628 /nfs/dbraw/zinc/80/46/28/1072804628.db2.gz RWRJEELMASOLJK-ROUUACIJSA-N 0 0 435.477 -0.108 20 0 IBADRN O=C(c1ccc(=O)n(-c2cccc(F)c2)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000527313450 1072804585 /nfs/dbraw/zinc/80/45/85/1072804585.db2.gz RWRJEELMASOLJK-ZWKOTPCHSA-N 0 0 435.477 -0.108 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)CC1 ZINC000527320418 1072804749 /nfs/dbraw/zinc/80/47/49/1072804749.db2.gz PDIDBVBWFZVQQS-DLBZAZTESA-N 0 0 427.527 -0.504 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)C(=O)NCC(=O)N(C)C)CC2)c1 ZINC000527323346 1072804767 /nfs/dbraw/zinc/80/47/67/1072804767.db2.gz BGIPJHZRTNZDMM-UHFFFAOYSA-N 0 0 447.517 -0.419 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCN(C(=O)c2ccc(Br)cc2)CC1 ZINC000527326540 1072804874 /nfs/dbraw/zinc/80/48/74/1072804874.db2.gz FMMIIFXWZVKUTJ-UHFFFAOYSA-N 0 0 425.283 -0.062 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)NCC(=O)N(C)C)cc2)CC1 ZINC000527326671 1072804781 /nfs/dbraw/zinc/80/47/81/1072804781.db2.gz SRCBJCNNVSGJSK-UHFFFAOYSA-N 0 0 447.536 -0.907 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)NC[C@@H](O)COc1ccc(I)cc1 ZINC000527327628 1072804683 /nfs/dbraw/zinc/80/46/83/1072804683.db2.gz XSRBFBNFFIDSOG-LLVKDONJSA-N 0 0 449.245 -0.649 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)NC[C@H](O)COc1ccc(I)cc1 ZINC000527327629 1072805020 /nfs/dbraw/zinc/80/50/20/1072805020.db2.gz XSRBFBNFFIDSOG-NSHDSACASA-N 0 0 449.245 -0.649 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3ccccc3c2)CC1 ZINC000527327896 1072804857 /nfs/dbraw/zinc/80/48/57/1072804857.db2.gz VYFFLXFALFSPPP-UHFFFAOYSA-N 0 0 432.502 -0.123 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2C(F)(F)F)CC1 ZINC000527327965 1072804894 /nfs/dbraw/zinc/80/48/94/1072804894.db2.gz VAJDJUXGTSLLPB-UHFFFAOYSA-N 0 0 443.426 -0.008 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)NCCc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000527329087 1072805186 /nfs/dbraw/zinc/80/51/86/1072805186.db2.gz CLUORMIIJKSBAO-UHFFFAOYSA-N 0 0 430.552 -0.214 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1ccc(-n2cnnn2)c(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000527336913 1072805169 /nfs/dbraw/zinc/80/51/69/1072805169.db2.gz LMHKKRDVQYWCLV-HNNXBMFYSA-N 0 0 436.494 -0.039 20 0 IBADRN COCCCN(C(=O)C(=O)Nc1ccc(-n2cnnn2)c(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000527336914 1072805041 /nfs/dbraw/zinc/80/50/41/1072805041.db2.gz LMHKKRDVQYWCLV-OAHLLOKOSA-N 0 0 436.494 -0.039 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)cc1OCC(N)=O ZINC000527344377 1072805835 /nfs/dbraw/zinc/80/58/35/1072805835.db2.gz BHFWJLGPQUTRPY-UHFFFAOYSA-N 0 0 432.433 -0.314 20 0 IBADRN COCCn1ccc(=NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)[nH]1 ZINC000527344567 1072805854 /nfs/dbraw/zinc/80/58/54/1072805854.db2.gz UALQHPFAYBQHEQ-UHFFFAOYSA-N 0 0 438.492 -0.240 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)n1 ZINC000527347744 1072805119 /nfs/dbraw/zinc/80/51/19/1072805119.db2.gz SFUWSHRMTADBDW-UHFFFAOYSA-N 0 0 434.460 -0.011 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@@H](O)CO ZINC000527350341 1072805239 /nfs/dbraw/zinc/80/52/39/1072805239.db2.gz KCEGVHKEFFTPRF-CYBMUJFWSA-N 0 0 429.495 -0.332 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC[C@H](O)CO ZINC000527350342 1072805203 /nfs/dbraw/zinc/80/52/03/1072805203.db2.gz KCEGVHKEFFTPRF-ZDUSSCGKSA-N 0 0 429.495 -0.332 20 0 IBADRN C[NH+](C)CCCN1C(=O)C[C@@H](N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C1=O ZINC000527368054 1072805901 /nfs/dbraw/zinc/80/59/01/1072805901.db2.gz YAPGMKIUFJITON-CYBMUJFWSA-N 0 0 443.492 -0.484 20 0 IBADRN C[NH+](C)CCCN1C(=O)C[C@H](N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C1=O ZINC000527368055 1072805918 /nfs/dbraw/zinc/80/59/18/1072805918.db2.gz YAPGMKIUFJITON-ZDUSSCGKSA-N 0 0 443.492 -0.484 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000527377006 1072807031 /nfs/dbraw/zinc/80/70/31/1072807031.db2.gz FOEXZUOKVQICRU-UHFFFAOYSA-N 0 0 444.535 -0.407 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000527386731 1072806411 /nfs/dbraw/zinc/80/64/11/1072806411.db2.gz MBMDDAHQJSXPFA-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000527387011 1072806354 /nfs/dbraw/zinc/80/63/54/1072806354.db2.gz VKLWZWKJCPSTOG-GOSISDBHSA-N 0 0 444.488 -0.280 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000527387012 1072806340 /nfs/dbraw/zinc/80/63/40/1072806340.db2.gz VKLWZWKJCPSTOG-SFHVURJKSA-N 0 0 444.488 -0.280 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000527387525 1072807149 /nfs/dbraw/zinc/80/71/49/1072807149.db2.gz QQYDIRGSLLGQLG-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000527387912 1072806306 /nfs/dbraw/zinc/80/63/06/1072806306.db2.gz UHQDNXCNZXKSCL-AWEZNQCLSA-N 0 0 444.535 -0.311 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000527387913 1072806325 /nfs/dbraw/zinc/80/63/25/1072806325.db2.gz UHQDNXCNZXKSCL-CQSZACIVSA-N 0 0 444.535 -0.311 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)CN(C)C4=O)c3)CC2)[nH]1 ZINC000527390420 1072807128 /nfs/dbraw/zinc/80/71/28/1072807128.db2.gz GOXCEZIBMIAIED-UHFFFAOYSA-N 0 0 440.464 -0.206 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c2ccccc2O1 ZINC000527390491 1072806885 /nfs/dbraw/zinc/80/68/85/1072806885.db2.gz RRHXSKOJRUROJZ-INIZCTEOSA-N 0 0 428.449 -0.275 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)c2ccccc2O1 ZINC000527390492 1072807063 /nfs/dbraw/zinc/80/70/63/1072807063.db2.gz RRHXSKOJRUROJZ-MRXNPFEDSA-N 0 0 428.449 -0.275 20 0 IBADRN COc1ccccc1[C@H](CO)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000527393921 1072807046 /nfs/dbraw/zinc/80/70/46/1072807046.db2.gz FGRLFJDFIKCMMZ-AWEZNQCLSA-N 0 0 427.417 -0.571 20 0 IBADRN COc1ccccc1[C@@H](CO)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000527393922 1072806984 /nfs/dbraw/zinc/80/69/84/1072806984.db2.gz FGRLFJDFIKCMMZ-CQSZACIVSA-N 0 0 427.417 -0.571 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)ccc1-n1cnnn1 ZINC000527419159 1072807661 /nfs/dbraw/zinc/80/76/61/1072807661.db2.gz SLGHPWRUWUNXCX-IBGZPJMESA-N 0 0 426.437 -0.254 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)ccc1-n1cnnn1 ZINC000527419160 1072807486 /nfs/dbraw/zinc/80/74/86/1072807486.db2.gz SLGHPWRUWUNXCX-LJQANCHMSA-N 0 0 426.437 -0.254 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000527438457 1072807599 /nfs/dbraw/zinc/80/75/99/1072807599.db2.gz PHEJAYDTXMSCJC-ZDUSSCGKSA-N 0 0 446.382 -0.189 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000527438906 1072807588 /nfs/dbraw/zinc/80/75/88/1072807588.db2.gz ILIHIRGOSDGQBX-INIZCTEOSA-N 0 0 439.490 -0.164 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000527438907 1072807672 /nfs/dbraw/zinc/80/76/72/1072807672.db2.gz ILIHIRGOSDGQBX-MRXNPFEDSA-N 0 0 439.490 -0.164 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000527439707 1072808010 /nfs/dbraw/zinc/80/80/10/1072808010.db2.gz RXYMYVCHOMPAFJ-AWEZNQCLSA-N 0 0 435.462 -0.828 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000527439708 1072808248 /nfs/dbraw/zinc/80/82/48/1072808248.db2.gz RXYMYVCHOMPAFJ-CQSZACIVSA-N 0 0 435.462 -0.828 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000527439765 1072808025 /nfs/dbraw/zinc/80/80/25/1072808025.db2.gz ZFTJLRXFYGEAJX-AWEZNQCLSA-N 0 0 431.493 -0.020 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000527439766 1072808185 /nfs/dbraw/zinc/80/81/85/1072808185.db2.gz ZFTJLRXFYGEAJX-CQSZACIVSA-N 0 0 431.493 -0.020 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)CC1 ZINC000527447772 1072807519 /nfs/dbraw/zinc/80/75/19/1072807519.db2.gz JLPARFHZQLVVBT-UHFFFAOYSA-N 0 0 428.492 -0.321 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCCN3C(=O)CNC3=O)CC2)cs1 ZINC000527451736 1072807561 /nfs/dbraw/zinc/80/75/61/1072807561.db2.gz IPNYJQSVERUGSI-UHFFFAOYSA-N 0 0 430.512 -0.591 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C3(S(C)(=O)=O)CC3)CC2)c1 ZINC000527456581 1072807631 /nfs/dbraw/zinc/80/76/31/1072807631.db2.gz FLAHWLRRYSVSCS-UHFFFAOYSA-N 0 0 436.533 -0.055 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@@H]3C[C@H](F)CN3Cc3ccnn3C)OCC(=O)N2C)cn1 ZINC000527461798 1072808128 /nfs/dbraw/zinc/80/81/28/1072808128.db2.gz ZRKYGYWSUQWSFU-OYSPMZIOSA-N 0 0 433.488 -0.219 20 0 IBADRN Cn1nccc1CN1C[C@@H](F)C[C@H]1CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O ZINC000527462421 1072807934 /nfs/dbraw/zinc/80/79/34/1072807934.db2.gz ANLMGLKSRZNJLO-ZFWWWQNUSA-N 0 0 431.472 -0.499 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCc3cnccn3)c2)CC1 ZINC000527463015 1072807900 /nfs/dbraw/zinc/80/79/00/1072807900.db2.gz AQCASWJPGGFOIP-UHFFFAOYSA-N 0 0 432.506 -0.290 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(=O)n(C)c3)CC2)cs1 ZINC000527465045 1072808291 /nfs/dbraw/zinc/80/82/91/1072808291.db2.gz QBNCFWQBNPHLPI-UHFFFAOYSA-N 0 0 425.492 -0.378 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3c(C)ccn(C)c3=O)CC2)cs1 ZINC000527465358 1072808234 /nfs/dbraw/zinc/80/82/34/1072808234.db2.gz NZPXXHVSDAAECL-UHFFFAOYSA-N 0 0 439.519 -0.070 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000527496286 1072809999 /nfs/dbraw/zinc/80/99/99/1072809999.db2.gz QVKSYMMYGKYQFY-UHFFFAOYSA-N 0 0 433.490 -0.321 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1)C2 ZINC000527501677 1072808760 /nfs/dbraw/zinc/80/87/60/1072808760.db2.gz XPRRNLCSUYIHCG-CVEARBPZSA-N 0 0 435.529 -0.698 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1)C2 ZINC000527501678 1072808949 /nfs/dbraw/zinc/80/89/49/1072808949.db2.gz XPRRNLCSUYIHCG-HOTGVXAUSA-N 0 0 435.529 -0.698 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1)C2 ZINC000527501679 1072808666 /nfs/dbraw/zinc/80/86/66/1072808666.db2.gz XPRRNLCSUYIHCG-HZPDHXFCSA-N 0 0 435.529 -0.698 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1)C2 ZINC000527501680 1072809203 /nfs/dbraw/zinc/80/92/03/1072809203.db2.gz XPRRNLCSUYIHCG-JKSUJKDBSA-N 0 0 435.529 -0.698 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc2n1C ZINC000527504008 1072809304 /nfs/dbraw/zinc/80/93/04/1072809304.db2.gz UMSUJJDIQOTGBC-UHFFFAOYSA-N 0 0 440.464 -0.691 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc3c1ccn3C)C2 ZINC000527504047 1072809345 /nfs/dbraw/zinc/80/93/45/1072809345.db2.gz MHQOOBKKEZTTNA-UHFFFAOYSA-N 0 0 425.449 -0.394 20 0 IBADRN COC(=O)c1ccc(OCCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000527504070 1072809225 /nfs/dbraw/zinc/80/92/25/1072809225.db2.gz IPIGOZGOWBLSDO-UHFFFAOYSA-N 0 0 446.464 -0.476 20 0 IBADRN CCc1cccc2c1N(C(=O)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CC2 ZINC000527504100 1072809245 /nfs/dbraw/zinc/80/92/45/1072809245.db2.gz DRFVNBNHJYMFNL-UHFFFAOYSA-N 0 0 426.477 -0.373 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000527504257 1072809459 /nfs/dbraw/zinc/80/94/59/1072809459.db2.gz ZKVCSDPRPRPVJD-HNNXBMFYSA-N 0 0 444.579 -0.049 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000527504258 1072809270 /nfs/dbraw/zinc/80/92/70/1072809270.db2.gz ZKVCSDPRPRPVJD-OAHLLOKOSA-N 0 0 444.579 -0.049 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000527504322 1072809438 /nfs/dbraw/zinc/80/94/38/1072809438.db2.gz OIMUMFCUWIBOFE-HNNXBMFYSA-N 0 0 445.567 -0.638 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000527504323 1072809310 /nfs/dbraw/zinc/80/93/10/1072809310.db2.gz OIMUMFCUWIBOFE-OAHLLOKOSA-N 0 0 445.567 -0.638 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCC1CCN(S(=O)(=O)CC)CC1 ZINC000527504437 1072809297 /nfs/dbraw/zinc/80/92/97/1072809297.db2.gz HOXHSWWQSCAQSK-UHFFFAOYSA-N 0 0 429.499 -0.287 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000527504896 1072810015 /nfs/dbraw/zinc/81/00/15/1072810015.db2.gz CNURZJZIOFFEBT-HWPZZCPQSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000527504897 1072809920 /nfs/dbraw/zinc/80/99/20/1072809920.db2.gz CNURZJZIOFFEBT-MGPLVRAMSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000527504898 1072809984 /nfs/dbraw/zinc/80/99/84/1072809984.db2.gz CNURZJZIOFFEBT-QFYYESIMSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000527504899 1072809963 /nfs/dbraw/zinc/80/99/63/1072809963.db2.gz CNURZJZIOFFEBT-QLJPJBMISA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c2cccnc12 ZINC000527504959 1072809824 /nfs/dbraw/zinc/80/98/24/1072809824.db2.gz FCAQWBBMLQJMNA-UHFFFAOYSA-N 0 0 446.489 -0.106 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000527505042 1072809799 /nfs/dbraw/zinc/80/97/99/1072809799.db2.gz FCPPMXICQBSLBD-UHFFFAOYSA-N 0 0 437.478 -0.947 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000527505116 1072809257 /nfs/dbraw/zinc/80/92/57/1072809257.db2.gz UBVIWIDOYFONOL-CYBMUJFWSA-N 0 0 438.549 -0.485 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000527505117 1072809197 /nfs/dbraw/zinc/80/91/97/1072809197.db2.gz UBVIWIDOYFONOL-ZDUSSCGKSA-N 0 0 438.549 -0.485 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000527505197 1072809333 /nfs/dbraw/zinc/80/93/33/1072809333.db2.gz WFZAKGULCFIHFQ-AWEZNQCLSA-N 0 0 433.527 -0.223 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000527505198 1072809403 /nfs/dbraw/zinc/80/94/03/1072809403.db2.gz WFZAKGULCFIHFQ-CQSZACIVSA-N 0 0 433.527 -0.223 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000527505209 1072809906 /nfs/dbraw/zinc/80/99/06/1072809906.db2.gz ZDCZIVVKGULPQT-AWEZNQCLSA-N 0 0 431.536 -0.235 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000527505210 1072809811 /nfs/dbraw/zinc/80/98/11/1072809811.db2.gz ZDCZIVVKGULPQT-CQSZACIVSA-N 0 0 431.536 -0.235 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000527505243 1072809418 /nfs/dbraw/zinc/80/94/18/1072809418.db2.gz NMNMTYJUYGONLR-AWEZNQCLSA-N 0 0 433.527 -0.223 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000527505244 1072809184 /nfs/dbraw/zinc/80/91/84/1072809184.db2.gz NMNMTYJUYGONLR-CQSZACIVSA-N 0 0 433.527 -0.223 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCS(=O)(=O)C[C@@H]3C)c2)CC1 ZINC000530334219 1072824674 /nfs/dbraw/zinc/82/46/74/1072824674.db2.gz CHHIMDINDUZCCJ-AWEZNQCLSA-N 0 0 443.547 -0.202 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCS(=O)(=O)C[C@H]3C)c2)CC1 ZINC000530334220 1072824648 /nfs/dbraw/zinc/82/46/48/1072824648.db2.gz CHHIMDINDUZCCJ-CQSZACIVSA-N 0 0 443.547 -0.202 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCS(=O)(=O)C[C@@H]3C)CC2)cc1 ZINC000530334228 1072824638 /nfs/dbraw/zinc/82/46/38/1072824638.db2.gz FCZXYRAHFYSRTD-INIZCTEOSA-N 0 0 429.564 -0.053 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCS(=O)(=O)C[C@H]3C)CC2)cc1 ZINC000530334229 1072824766 /nfs/dbraw/zinc/82/47/66/1072824766.db2.gz FCZXYRAHFYSRTD-MRXNPFEDSA-N 0 0 429.564 -0.053 20 0 IBADRN O=C(CSc1n[nH]c(CO)n1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000530347001 1072825289 /nfs/dbraw/zinc/82/52/89/1072825289.db2.gz WDOZKGOVDXPQPU-UHFFFAOYSA-N 0 0 427.508 -0.274 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1=O ZINC000530349655 1072825138 /nfs/dbraw/zinc/82/51/38/1072825138.db2.gz AHCZUECQIUGDFW-UHFFFAOYSA-N 0 0 427.461 -0.012 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1=O ZINC000530349788 1072825269 /nfs/dbraw/zinc/82/52/69/1072825269.db2.gz XRNSSBCIOCAOIN-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN O=C(Nc1ccc(F)cc1)C1(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC000530350486 1072825281 /nfs/dbraw/zinc/82/52/81/1072825281.db2.gz XZYHIHIBDPGBIL-CVEARBPZSA-N 0 0 425.482 -0.154 20 0 IBADRN O=C(Nc1ccc(F)cc1)C1(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC000530350487 1072825224 /nfs/dbraw/zinc/82/52/24/1072825224.db2.gz XZYHIHIBDPGBIL-HOTGVXAUSA-N 0 0 425.482 -0.154 20 0 IBADRN O=C(Nc1ccc(F)cc1)C1(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC000530350488 1072825275 /nfs/dbraw/zinc/82/52/75/1072825275.db2.gz XZYHIHIBDPGBIL-HZPDHXFCSA-N 0 0 425.482 -0.154 20 0 IBADRN O=C(Nc1ccc(F)cc1)C1(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC000530350489 1072825238 /nfs/dbraw/zinc/82/52/38/1072825238.db2.gz XZYHIHIBDPGBIL-JKSUJKDBSA-N 0 0 425.482 -0.154 20 0 IBADRN CC(C)N(CCOCCNC(=O)c1ccc2nnnn2c1)C(=O)c1ccc2nnnn2c1 ZINC000530364135 1072825148 /nfs/dbraw/zinc/82/51/48/1072825148.db2.gz WGCJORWJIWYYJW-UHFFFAOYSA-N 0 0 438.452 -0.141 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCCCN3CCOCC3)CC2)o1 ZINC000530368916 1072825182 /nfs/dbraw/zinc/82/51/82/1072825182.db2.gz XOWSRWKBHQRQQO-UHFFFAOYSA-N 0 0 428.511 -0.286 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(F)c1 ZINC000530372514 1072825724 /nfs/dbraw/zinc/82/57/24/1072825724.db2.gz MZLURYRTFICMGA-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)OC)cc1F ZINC000530373000 1072825159 /nfs/dbraw/zinc/82/51/59/1072825159.db2.gz ZTWSXOUWZFNNBU-GFCCVEGCSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)OC)cc1F ZINC000530373002 1072825245 /nfs/dbraw/zinc/82/52/45/1072825245.db2.gz ZTWSXOUWZFNNBU-LBPRGKRZSA-N 0 0 436.483 -0.074 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)CCCS(C)(=O)=O)CC2)cs1 ZINC000530373868 1072825596 /nfs/dbraw/zinc/82/55/96/1072825596.db2.gz VYHWSEQVCHSDPM-UHFFFAOYSA-N 0 0 431.583 -0.478 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC[C@@](O)(C(N)=O)C3)CC2)cc1 ZINC000530384958 1072825857 /nfs/dbraw/zinc/82/58/57/1072825857.db2.gz IBTARRIUENNHQX-IBGZPJMESA-N 0 0 425.507 -0.065 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC[C@](O)(C(N)=O)C3)CC2)cc1 ZINC000530384959 1072825776 /nfs/dbraw/zinc/82/57/76/1072825776.db2.gz IBTARRIUENNHQX-LJQANCHMSA-N 0 0 425.507 -0.065 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(F)c1 ZINC000530425270 1072825741 /nfs/dbraw/zinc/82/57/41/1072825741.db2.gz HVOLAEVYQXDKBI-UHFFFAOYSA-N 0 0 443.453 -0.696 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(F)c1 ZINC000530429768 1072825696 /nfs/dbraw/zinc/82/56/96/1072825696.db2.gz VYNKJIXXNQLVSK-KBPBESRZSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(F)c1 ZINC000530429769 1072825818 /nfs/dbraw/zinc/82/58/18/1072825818.db2.gz VYNKJIXXNQLVSK-KGLIPLIRSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(F)c1 ZINC000530429770 1072825534 /nfs/dbraw/zinc/82/55/34/1072825534.db2.gz VYNKJIXXNQLVSK-UONOGXRCSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(F)c1 ZINC000530429771 1072825753 /nfs/dbraw/zinc/82/57/53/1072825753.db2.gz VYNKJIXXNQLVSK-ZIAGYGMSSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000530430140 1072825565 /nfs/dbraw/zinc/82/55/65/1072825565.db2.gz CRYZEEVTVVDICE-BZUAXINKSA-N 0 0 425.507 -0.150 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000530430141 1072825582 /nfs/dbraw/zinc/82/55/82/1072825582.db2.gz CRYZEEVTVVDICE-OAGGEKHMSA-N 0 0 425.507 -0.150 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000530430142 1072825682 /nfs/dbraw/zinc/82/56/82/1072825682.db2.gz CRYZEEVTVVDICE-OWCLPIDISA-N 0 0 425.507 -0.150 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000530430143 1072825622 /nfs/dbraw/zinc/82/56/22/1072825622.db2.gz CRYZEEVTVVDICE-PMPSAXMXSA-N 0 0 425.507 -0.150 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCc3nnc(N)o3)CC2)cc1 ZINC000530437868 1073360592 /nfs/dbraw/zinc/36/05/92/1073360592.db2.gz CWXVFJIBBDTJAT-UHFFFAOYSA-N 0 0 436.494 -0.110 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N[C@H]1CCN([C@@H](C(=O)OC(C)(C)C)c2ccccc2)C1=O ZINC000530444775 1072825667 /nfs/dbraw/zinc/82/56/67/1072825667.db2.gz LVVSZEIBGDRPLH-DOTOQJQBSA-N 0 0 446.504 -0.009 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N[C@@H]1CCN([C@@H](C(=O)OC(C)(C)C)c2ccccc2)C1=O ZINC000530444776 1072825609 /nfs/dbraw/zinc/82/56/09/1072825609.db2.gz LVVSZEIBGDRPLH-NVXWUHKLSA-N 0 0 446.504 -0.009 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N[C@H]1CCN([C@H](C(=O)OC(C)(C)C)c2ccccc2)C1=O ZINC000530444777 1072825806 /nfs/dbraw/zinc/82/58/06/1072825806.db2.gz LVVSZEIBGDRPLH-RDJZCZTQSA-N 0 0 446.504 -0.009 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N[C@@H]1CCN([C@H](C(=O)OC(C)(C)C)c2ccccc2)C1=O ZINC000530444778 1072825510 /nfs/dbraw/zinc/82/55/10/1072825510.db2.gz LVVSZEIBGDRPLH-WBVHZDCISA-N 0 0 446.504 -0.009 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(F)c1 ZINC000530480025 1072826406 /nfs/dbraw/zinc/82/64/06/1072826406.db2.gz MIDLDEXNAATNER-UHFFFAOYSA-N 0 0 441.437 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1C ZINC000530480082 1070808738 /nfs/dbraw/zinc/80/87/38/1070808738.db2.gz QJXMWOUDYAQSOB-CYBMUJFWSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1C ZINC000530480084 1072826255 /nfs/dbraw/zinc/82/62/55/1072826255.db2.gz QJXMWOUDYAQSOB-ZDUSSCGKSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC(C)(C)C)cc1C ZINC000530482280 1072826303 /nfs/dbraw/zinc/82/63/03/1072826303.db2.gz MIXQRZBCBJIRSV-UHFFFAOYSA-N 0 0 427.570 -0.101 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000530483538 1072826164 /nfs/dbraw/zinc/82/61/64/1072826164.db2.gz XZYUSBDEBZQKLA-UHFFFAOYSA-N 0 0 445.629 -0.361 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000530483888 1072826245 /nfs/dbraw/zinc/82/62/45/1072826245.db2.gz OUKFEAPLOGXDSX-UHFFFAOYSA-N 0 0 425.554 -0.175 20 0 IBADRN CCN(C1CN(S(=O)(=O)C[C@@H](C)C(=O)OC)C1)S(=O)(=O)C[C@H](C)C(=O)OC ZINC000530485861 1072826417 /nfs/dbraw/zinc/82/64/17/1072826417.db2.gz APACBTPMOBAYPU-NEPJUHHUSA-N 0 0 428.529 -0.730 20 0 IBADRN CCN(C1CN(S(=O)(=O)C[C@H](C)C(=O)OC)C1)S(=O)(=O)C[C@@H](C)C(=O)OC ZINC000530485862 1072826180 /nfs/dbraw/zinc/82/61/80/1072826180.db2.gz APACBTPMOBAYPU-NWDGAFQWSA-N 0 0 428.529 -0.730 20 0 IBADRN CCN(C1CN(S(=O)(=O)C[C@H](C)C(=O)OC)C1)S(=O)(=O)C[C@H](C)C(=O)OC ZINC000530485863 1072826232 /nfs/dbraw/zinc/82/62/32/1072826232.db2.gz APACBTPMOBAYPU-RYUDHWBXSA-N 0 0 428.529 -0.730 20 0 IBADRN CCN(C1CN(S(=O)(=O)C[C@@H](C)C(=O)OC)C1)S(=O)(=O)C[C@@H](C)C(=O)OC ZINC000530485864 1072826347 /nfs/dbraw/zinc/82/63/47/1072826347.db2.gz APACBTPMOBAYPU-VXGBXAGGSA-N 0 0 428.529 -0.730 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)CCNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)C1 ZINC000530490580 1072826224 /nfs/dbraw/zinc/82/62/24/1072826224.db2.gz XNBBTVBYTMFIJJ-AWEZNQCLSA-N 0 0 425.385 -0.298 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)CCNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)C1 ZINC000530490581 1070809970 /nfs/dbraw/zinc/80/99/70/1070809970.db2.gz XNBBTVBYTMFIJJ-CQSZACIVSA-N 0 0 425.385 -0.298 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc3c1OC(C)(C)C3)C2 ZINC000530496878 1072826883 /nfs/dbraw/zinc/82/68/83/1072826883.db2.gz RDWAABNESTYRBR-UHFFFAOYSA-N 0 0 442.476 -0.173 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CCCC[C@H]1n1cc(CO)nn1 ZINC000530523115 1070837094 /nfs/dbraw/zinc/83/70/94/1070837094.db2.gz LNFMGSPPUBRKFL-LSDHHAIUSA-N 0 0 430.531 -0.796 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000530527001 1072827022 /nfs/dbraw/zinc/82/70/22/1072827022.db2.gz OADFKNSSOONELO-HDICACEKSA-N 0 0 432.587 -0.013 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCCN3CCOCC3)CC2)C[C@@H](C)O1 ZINC000530527002 1072827034 /nfs/dbraw/zinc/82/70/34/1072827034.db2.gz OADFKNSSOONELO-QZTJIDSGSA-N 0 0 432.587 -0.013 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000530527003 1072826789 /nfs/dbraw/zinc/82/67/89/1072826789.db2.gz OADFKNSSOONELO-ROUUACIJSA-N 0 0 432.587 -0.013 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(F)c1 ZINC000530530627 1072827492 /nfs/dbraw/zinc/82/74/92/1072827492.db2.gz JRNPRFLEEQRPHC-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(F)c1 ZINC000530532788 1072827485 /nfs/dbraw/zinc/82/74/85/1072827485.db2.gz DVQSNHXKICGZKC-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c(F)c1 ZINC000530535260 1072827501 /nfs/dbraw/zinc/82/75/01/1072827501.db2.gz HRNVBEUHCVKJKF-GHMZBOCLSA-N 0 0 436.483 -0.170 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c(F)c1 ZINC000530535261 1072827245 /nfs/dbraw/zinc/82/72/45/1072827245.db2.gz HRNVBEUHCVKJKF-MNOVXSKESA-N 0 0 436.483 -0.170 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c(F)c1 ZINC000530535262 1072827605 /nfs/dbraw/zinc/82/76/05/1072827605.db2.gz HRNVBEUHCVKJKF-QWRGUYRKSA-N 0 0 436.483 -0.170 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c(F)c1 ZINC000530535263 1072827302 /nfs/dbraw/zinc/82/73/02/1072827302.db2.gz HRNVBEUHCVKJKF-WDEREUQCSA-N 0 0 436.483 -0.170 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)cc1F ZINC000530539285 1072826895 /nfs/dbraw/zinc/82/68/95/1072826895.db2.gz LWYUJIIMYTWVHR-CYBMUJFWSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)cc1F ZINC000530539289 1072826996 /nfs/dbraw/zinc/82/69/96/1072826996.db2.gz LWYUJIIMYTWVHR-ZDUSSCGKSA-N 0 0 432.426 -0.319 20 0 IBADRN CC1(C)Cc2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2O1 ZINC000530551568 1072827395 /nfs/dbraw/zinc/82/73/95/1072827395.db2.gz XFWJVSOFZUBORM-UHFFFAOYSA-N 0 0 444.488 -0.132 20 0 IBADRN COCC[C@H](NC(=O)c1cc(I)ccc1-n1cnnn1)C(N)=O ZINC000530557211 1070853793 /nfs/dbraw/zinc/85/37/93/1070853793.db2.gz FEUKYTURPPHRRQ-JTQLQIEISA-N 0 0 430.206 -0.113 20 0 IBADRN COCC[C@@H](NC(=O)c1cc(I)ccc1-n1cnnn1)C(N)=O ZINC000530557212 1072827375 /nfs/dbraw/zinc/82/73/75/1072827375.db2.gz FEUKYTURPPHRRQ-SNVBAGLBSA-N 0 0 430.206 -0.113 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c1 ZINC000530571853 1072827969 /nfs/dbraw/zinc/82/79/69/1072827969.db2.gz NHRSJMOCOHWZMG-UHFFFAOYSA-N 0 0 434.540 -0.955 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000530572467 1072827958 /nfs/dbraw/zinc/82/79/58/1072827958.db2.gz MNNNCUVTNPKZJD-GASCZTMLSA-N 0 0 440.588 -0.844 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000530572468 1072828155 /nfs/dbraw/zinc/82/81/55/1072828155.db2.gz MNNNCUVTNPKZJD-GJZGRUSLSA-N 0 0 440.588 -0.844 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000530572469 1072828161 /nfs/dbraw/zinc/82/81/61/1072828161.db2.gz MNNNCUVTNPKZJD-HUUCEWRRSA-N 0 0 440.588 -0.844 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000530572941 1072827979 /nfs/dbraw/zinc/82/79/79/1072827979.db2.gz WCKPDCGGJXBAQD-UHFFFAOYSA-N 0 0 445.520 -0.447 20 0 IBADRN Cc1nc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)sc1SCC(N)=O ZINC000530574298 1072828006 /nfs/dbraw/zinc/82/80/06/1072828006.db2.gz CJOSBPFPPLSJQW-UHFFFAOYSA-N 0 0 437.569 -0.198 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000530574821 1072827581 /nfs/dbraw/zinc/82/75/81/1072827581.db2.gz JHGRWFKTORDVAG-UHFFFAOYSA-N 0 0 439.494 -0.489 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc(S(N)(=O)=O)c1C ZINC000530575329 1072827588 /nfs/dbraw/zinc/82/75/88/1072827588.db2.gz WUEZQEPEEBAVJU-UHFFFAOYSA-N 0 0 438.506 -0.906 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000530575607 1072827279 /nfs/dbraw/zinc/82/72/79/1072827279.db2.gz MJRCVONIUJONOO-HNNXBMFYSA-N 0 0 447.535 -0.318 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000530575608 1072827414 /nfs/dbraw/zinc/82/74/14/1072827414.db2.gz MJRCVONIUJONOO-OAHLLOKOSA-N 0 0 447.535 -0.318 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N[C@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000530579884 1072828056 /nfs/dbraw/zinc/82/80/56/1072828056.db2.gz GCTMDTNUVRJCIP-GFCCVEGCSA-N 0 0 434.540 -0.291 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000530579885 1072828145 /nfs/dbraw/zinc/82/81/45/1072828145.db2.gz GCTMDTNUVRJCIP-LBPRGKRZSA-N 0 0 434.540 -0.291 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)cc1 ZINC000530586207 1072827938 /nfs/dbraw/zinc/82/79/38/1072827938.db2.gz ZWXMTTZMQRPIIP-UHFFFAOYSA-N 0 0 436.475 -0.168 20 0 IBADRN CN(C)c1nccc(N2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)n1 ZINC000530586381 1072828035 /nfs/dbraw/zinc/82/80/35/1072828035.db2.gz TUEFOILRKVIOSH-UHFFFAOYSA-N 0 0 446.493 -0.599 20 0 IBADRN CC(C)c1nnnn1CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000530591566 1072827992 /nfs/dbraw/zinc/82/79/92/1072827992.db2.gz HXEGYNGWVYGAOI-UHFFFAOYSA-N 0 0 449.493 -0.340 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000530600469 1072828020 /nfs/dbraw/zinc/82/80/20/1072828020.db2.gz ZHHPUNUXLXULML-AWEZNQCLSA-N 0 0 426.561 -0.802 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000530600470 1072828067 /nfs/dbraw/zinc/82/80/67/1072828067.db2.gz ZHHPUNUXLXULML-CQSZACIVSA-N 0 0 426.561 -0.802 20 0 IBADRN O=C(NCCNC(=O)c1ccc(O)cc1)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000530603507 1072827854 /nfs/dbraw/zinc/82/78/54/1072827854.db2.gz NVBPFEQPZMMMBU-UHFFFAOYSA-N 0 0 439.428 -0.071 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000530604086 1072828573 /nfs/dbraw/zinc/82/85/73/1072828573.db2.gz FVLUEZPBRKMZEL-INIZCTEOSA-N 0 0 443.460 -0.473 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000530604087 1072828729 /nfs/dbraw/zinc/82/87/29/1072828729.db2.gz FVLUEZPBRKMZEL-MRXNPFEDSA-N 0 0 443.460 -0.473 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000530604309 1072827881 /nfs/dbraw/zinc/82/78/81/1072827881.db2.gz WKRWFZDKTCAWKQ-GFCCVEGCSA-N 0 0 441.366 -0.044 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000530604310 1072827900 /nfs/dbraw/zinc/82/79/00/1072827900.db2.gz WKRWFZDKTCAWKQ-LBPRGKRZSA-N 0 0 441.366 -0.044 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000530606477 1072828645 /nfs/dbraw/zinc/82/86/45/1072828645.db2.gz SDNDIHMNRFKOEP-UHFFFAOYSA-N 0 0 425.467 -0.879 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1)NC1CC1 ZINC000530606673 1072828585 /nfs/dbraw/zinc/82/85/85/1072828585.db2.gz UTMOURAUULQKLB-UHFFFAOYSA-N 0 0 442.476 -0.900 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000530610826 1072828652 /nfs/dbraw/zinc/82/86/52/1072828652.db2.gz VSVZNKOBPUSLJL-LLVKDONJSA-N 0 0 430.508 -0.218 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000530610827 1072828661 /nfs/dbraw/zinc/82/86/61/1072828661.db2.gz VSVZNKOBPUSLJL-NSHDSACASA-N 0 0 430.508 -0.218 20 0 IBADRN O=C(CCNC(=O)Cn1nnc(-c2ccc(Cl)cc2)n1)N[C@@H]1CCS(=O)(=O)C1 ZINC000530614692 1072828554 /nfs/dbraw/zinc/82/85/54/1072828554.db2.gz DPTXPDKCUBTGHR-CYBMUJFWSA-N 0 0 426.886 -0.197 20 0 IBADRN O=C(CCNC(=O)Cn1nnc(-c2ccc(Cl)cc2)n1)N[C@H]1CCS(=O)(=O)C1 ZINC000530614693 1072828618 /nfs/dbraw/zinc/82/86/18/1072828618.db2.gz DPTXPDKCUBTGHR-ZDUSSCGKSA-N 0 0 426.886 -0.197 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1)NC1CC1 ZINC000530616866 1072828449 /nfs/dbraw/zinc/82/84/49/1072828449.db2.gz REMQTUABDDTIHX-UHFFFAOYSA-N 0 0 441.496 -0.188 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000530622789 1072828707 /nfs/dbraw/zinc/82/87/07/1072828707.db2.gz XQGJWSCWPXHVDZ-UHFFFAOYSA-N 0 0 433.900 -0.004 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Nc3ncn(CC(=O)N(C)C)n3)cn2)C[C@H](C)O1 ZINC000530631812 1073355207 /nfs/dbraw/zinc/35/52/07/1073355207.db2.gz IVKBYNCUYNPKSJ-BETUJISGSA-N 0 0 433.473 -0.157 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Nc3ncn(CC(=O)N(C)C)n3)cn2)C[C@@H](C)O1 ZINC000530631818 1073354700 /nfs/dbraw/zinc/35/47/00/1073354700.db2.gz IVKBYNCUYNPKSJ-CHWSQXEVSA-N 0 0 433.473 -0.157 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)Nc3ncn(CC(=O)N(C)C)n3)cn2)C[C@H](C)O1 ZINC000530631819 1073313341 /nfs/dbraw/zinc/31/33/41/1073313341.db2.gz IVKBYNCUYNPKSJ-STQMWFEESA-N 0 0 433.473 -0.157 20 0 IBADRN CN(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)S(=O)(=O)c1ccc(Cl)s1 ZINC000530642772 1072828746 /nfs/dbraw/zinc/82/87/46/1072828746.db2.gz GUCPLSYDIXMJST-UHFFFAOYSA-N 0 0 444.988 -0.545 20 0 IBADRN CCS(=O)(=O)N1CCN(c2ncc(C)c(N3CCN(S(=O)(=O)CC)CC3)n2)CC1 ZINC000530648277 1072828526 /nfs/dbraw/zinc/82/85/26/1072828526.db2.gz FSVMYTSZPMJQIA-UHFFFAOYSA-N 0 0 446.599 -0.272 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(CCc3ccc(OC)c(OC)c3)C2)CC1 ZINC000530680101 1070876056 /nfs/dbraw/zinc/87/60/56/1070876056.db2.gz YPGAAVYMTUALDV-KRWDZBQOSA-N 0 0 432.521 -0.015 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(CCc3ccc(OC)c(OC)c3)C2)CC1 ZINC000530680102 1072829258 /nfs/dbraw/zinc/82/92/58/1072829258.db2.gz YPGAAVYMTUALDV-QGZVFWFLSA-N 0 0 432.521 -0.015 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000530682150 1072829288 /nfs/dbraw/zinc/82/92/88/1072829288.db2.gz JSXULAUSHYKERD-HNNXBMFYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000530682151 1072829146 /nfs/dbraw/zinc/82/91/46/1072829146.db2.gz JSXULAUSHYKERD-OAHLLOKOSA-N 0 0 446.551 -0.146 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000530682302 1072829106 /nfs/dbraw/zinc/82/91/06/1072829106.db2.gz OFVIBNPNZVSOSD-UHFFFAOYSA-N 0 0 438.506 -0.369 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000530682357 1072829312 /nfs/dbraw/zinc/82/93/12/1072829312.db2.gz IZLSDBOMQWRENH-UHFFFAOYSA-N 0 0 440.522 -0.756 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3C)CC1 ZINC000530682867 1072829360 /nfs/dbraw/zinc/82/93/60/1072829360.db2.gz ZEWWKEJNQFNJJD-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)ccc1-n1cnnn1 ZINC000530683941 1072829091 /nfs/dbraw/zinc/82/90/91/1072829091.db2.gz VKZPZIKWJOIMEG-AWEZNQCLSA-N 0 0 426.481 -0.279 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)ccc1-n1cnnn1 ZINC000530683942 1072829214 /nfs/dbraw/zinc/82/92/14/1072829214.db2.gz VKZPZIKWJOIMEG-CQSZACIVSA-N 0 0 426.481 -0.279 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000530684215 1072829342 /nfs/dbraw/zinc/82/93/42/1072829342.db2.gz KFWXYQBLKXHUOF-INIZCTEOSA-N 0 0 445.520 -0.234 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000530684216 1072829195 /nfs/dbraw/zinc/82/91/95/1072829195.db2.gz KFWXYQBLKXHUOF-MRXNPFEDSA-N 0 0 445.520 -0.234 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCN(C)C(=O)C3)cc2)C[C@H](C)O1 ZINC000530689802 1072829049 /nfs/dbraw/zinc/82/90/49/1072829049.db2.gz LEUGLENZLKFWKI-KBPBESRZSA-N 0 0 438.506 -0.276 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCN(C)C(=O)C3)cc2)C[C@H](C)O1 ZINC000530689803 1072829083 /nfs/dbraw/zinc/82/90/83/1072829083.db2.gz LEUGLENZLKFWKI-OKILXGFUSA-N 0 0 438.506 -0.276 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCN(C)C(=O)C3)cc2)C[C@@H](C)O1 ZINC000530689804 1072829272 /nfs/dbraw/zinc/82/92/72/1072829272.db2.gz LEUGLENZLKFWKI-ZIAGYGMSSA-N 0 0 438.506 -0.276 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000530696219 1072829790 /nfs/dbraw/zinc/82/97/90/1072829790.db2.gz CTMODNBORDIQTH-UHFFFAOYSA-N 0 0 428.492 -0.035 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NCCNS(=O)(=O)Cc3ccon3)cc(C3CC3)nc21 ZINC000530697698 1072829677 /nfs/dbraw/zinc/82/96/77/1072829677.db2.gz CVQUZGUPZLGFIY-UHFFFAOYSA-N 0 0 448.461 -0.251 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc4nc5n(c(=O)c4c3)CCC5)CC2=O)cn1 ZINC000530704059 1072829699 /nfs/dbraw/zinc/82/96/99/1072829699.db2.gz RLFORHGKAMFLGM-UHFFFAOYSA-N 0 0 435.444 -0.110 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1OC ZINC000530704095 1072829712 /nfs/dbraw/zinc/82/97/12/1072829712.db2.gz NWDGHXHAOCVRBG-UHFFFAOYSA-N 0 0 426.451 -0.343 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000530720190 1072829907 /nfs/dbraw/zinc/82/99/07/1072829907.db2.gz XDCNHNCCKQSDKX-INIZCTEOSA-N 0 0 443.522 -0.707 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000530720203 1072829752 /nfs/dbraw/zinc/82/97/52/1072829752.db2.gz XDCNHNCCKQSDKX-MRXNPFEDSA-N 0 0 443.522 -0.707 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000530720905 1072829889 /nfs/dbraw/zinc/82/98/89/1072829889.db2.gz YQZSTKWXIAEWAS-IBGZPJMESA-N 0 0 443.522 -0.659 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000530720912 1072829728 /nfs/dbraw/zinc/82/97/28/1072829728.db2.gz YQZSTKWXIAEWAS-LJQANCHMSA-N 0 0 443.522 -0.659 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC000530723315 1072829773 /nfs/dbraw/zinc/82/97/73/1072829773.db2.gz NRODHRILLAXBQP-UHFFFAOYSA-N 0 0 440.341 -0.169 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C(=O)N(C)C ZINC000530733524 1072829684 /nfs/dbraw/zinc/82/96/84/1072829684.db2.gz SGXDZRHDEISODP-CYBMUJFWSA-N 0 0 426.495 -0.453 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000530733675 1072829930 /nfs/dbraw/zinc/82/99/30/1072829930.db2.gz ICASNOMIAGOYNE-CYBMUJFWSA-N 0 0 425.511 -0.798 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N[C@H](C)C(=O)NC)c1 ZINC000530733743 1072829806 /nfs/dbraw/zinc/82/98/06/1072829806.db2.gz VHAJICPOGNTUJU-GFCCVEGCSA-N 0 0 427.527 -0.028 20 0 IBADRN Cc1cccc2c1N(C(=O)C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CC2 ZINC000530733784 1072830671 /nfs/dbraw/zinc/83/06/71/1072830671.db2.gz ZAGWFFOPWHPGIR-UHFFFAOYSA-N 0 0 435.550 -0.479 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000530754082 1072830619 /nfs/dbraw/zinc/83/06/19/1072830619.db2.gz KIJWKXZVLQTQKW-UHFFFAOYSA-N 0 0 435.466 -0.062 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000530756609 1072830315 /nfs/dbraw/zinc/83/03/15/1072830315.db2.gz NSWSIRHMUGZFPX-UHFFFAOYSA-N 0 0 447.536 -0.113 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000530774838 1072830301 /nfs/dbraw/zinc/83/03/01/1072830301.db2.gz MNRPGJKLXJCVCJ-UHFFFAOYSA-N 0 0 446.551 -0.929 20 0 IBADRN C[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000530774925 1072830630 /nfs/dbraw/zinc/83/06/30/1072830630.db2.gz ASFQGNXDMBQTBW-AEFFLSMTSA-N 0 0 446.595 -0.313 20 0 IBADRN C[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000530774926 1072830539 /nfs/dbraw/zinc/83/05/39/1072830539.db2.gz ASFQGNXDMBQTBW-FUHWJXTLSA-N 0 0 446.595 -0.313 20 0 IBADRN C[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000530774927 1070881486 /nfs/dbraw/zinc/88/14/86/1070881486.db2.gz ASFQGNXDMBQTBW-SJLPKXTDSA-N 0 0 446.595 -0.313 20 0 IBADRN C[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000530774928 1072830577 /nfs/dbraw/zinc/83/05/77/1072830577.db2.gz ASFQGNXDMBQTBW-WMZOPIPTSA-N 0 0 446.595 -0.313 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1=O ZINC000530779462 1072830276 /nfs/dbraw/zinc/83/02/76/1072830276.db2.gz RVNQVIPGSUZKPM-UHFFFAOYSA-N 0 0 437.316 -0.080 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cnn(-c2ccccn2)c1 ZINC000530783236 1072830476 /nfs/dbraw/zinc/83/04/76/1072830476.db2.gz UERMUAYPROKOFQ-HNNXBMFYSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cnn(-c2ccccn2)c1 ZINC000530783237 1072830331 /nfs/dbraw/zinc/83/03/31/1072830331.db2.gz UERMUAYPROKOFQ-OAHLLOKOSA-N 0 0 436.494 -0.190 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)o1 ZINC000530804537 1072830591 /nfs/dbraw/zinc/83/05/91/1072830591.db2.gz UOJLIQWNZVNAND-UHFFFAOYSA-N 0 0 449.489 -0.560 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)s1 ZINC000530804867 1072830355 /nfs/dbraw/zinc/83/03/55/1072830355.db2.gz YESIENINBSOHRP-UHFFFAOYSA-N 0 0 440.569 -0.894 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc4nc5n(c(=O)c4c3)CCC5)CC2)c1=O ZINC000530807388 1072831020 /nfs/dbraw/zinc/83/10/20/1072831020.db2.gz RFIFRFUPVTYIIN-UHFFFAOYSA-N 0 0 449.471 -0.276 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCC[C@@H](N4CCNC4=O)C3)CC2=O)c1 ZINC000530810743 1072831321 /nfs/dbraw/zinc/83/13/21/1072831321.db2.gz NJDVRORKVQSIMI-DZGCQCFKSA-N 0 0 435.506 -0.297 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC[C@H](N4CCNC4=O)C3)CC2=O)c1 ZINC000530810744 1072831270 /nfs/dbraw/zinc/83/12/70/1072831270.db2.gz NJDVRORKVQSIMI-HIFRSBDPSA-N 0 0 435.506 -0.297 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC[C@@H](N4CCNC4=O)C3)CC2=O)c1 ZINC000530810745 1072831360 /nfs/dbraw/zinc/83/13/60/1072831360.db2.gz NJDVRORKVQSIMI-UKRRQHHQSA-N 0 0 435.506 -0.297 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCC[C@H](N4CCNC4=O)C3)CC2=O)c1 ZINC000530810746 1072831037 /nfs/dbraw/zinc/83/10/37/1072831037.db2.gz NJDVRORKVQSIMI-ZFWWWQNUSA-N 0 0 435.506 -0.297 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC2(C(N)=O)CCOCC2)cc1 ZINC000530811405 1072831302 /nfs/dbraw/zinc/83/13/02/1072831302.db2.gz ODJGNKXFSLADOG-UHFFFAOYSA-N 0 0 427.479 -0.460 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)cn2)CCO1 ZINC000530811883 1072831223 /nfs/dbraw/zinc/83/12/23/1072831223.db2.gz HTUGKIWHXCYVKJ-GFCCVEGCSA-N 0 0 437.478 -0.407 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)cn2)CCO1 ZINC000530811890 1072830981 /nfs/dbraw/zinc/83/09/81/1072830981.db2.gz HTUGKIWHXCYVKJ-LBPRGKRZSA-N 0 0 437.478 -0.407 20 0 IBADRN CN(CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)CC(N)=O ZINC000530812174 1072831332 /nfs/dbraw/zinc/83/13/32/1072831332.db2.gz TVXOZTOQKIQEIB-UHFFFAOYSA-N 0 0 432.886 -0.367 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000530812594 1072831287 /nfs/dbraw/zinc/83/12/87/1072831287.db2.gz GCYORWKLTUGHAZ-GOSISDBHSA-N 0 0 439.494 -0.486 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000530812595 1072831057 /nfs/dbraw/zinc/83/10/57/1072831057.db2.gz GCYORWKLTUGHAZ-SFHVURJKSA-N 0 0 439.494 -0.486 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1 ZINC000530812878 1072831204 /nfs/dbraw/zinc/83/12/04/1072831204.db2.gz CTZZVWRTGWGHDB-HNNXBMFYSA-N 0 0 441.554 -0.243 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1 ZINC000530812879 1072831256 /nfs/dbraw/zinc/83/12/56/1072831256.db2.gz CTZZVWRTGWGHDB-OAHLLOKOSA-N 0 0 441.554 -0.243 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(C(=O)OCC)cc2)CC1 ZINC000530813283 1072831405 /nfs/dbraw/zinc/83/14/05/1072831405.db2.gz GASBPVLYBCNUTJ-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN CN1CCC(=O)Nc2cc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc21 ZINC000530813294 1072831416 /nfs/dbraw/zinc/83/14/16/1072831416.db2.gz GMDDYKILDZYANY-UHFFFAOYSA-N 0 0 437.522 -0.200 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCNC(=O)c2ccco2)s1 ZINC000530813339 1072831190 /nfs/dbraw/zinc/83/11/90/1072831190.db2.gz LHRVNMIIPLGOTA-UHFFFAOYSA-N 0 0 428.492 -0.198 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc(S(N)(=O)=O)c1C ZINC000530813340 1072831639 /nfs/dbraw/zinc/83/16/39/1072831639.db2.gz LKTLPOOXHOLYTN-UHFFFAOYSA-N 0 0 446.551 -0.714 20 0 IBADRN O=C(c1ccc(N2CCC(O)CC2)cc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000530813418 1072831094 /nfs/dbraw/zinc/83/10/94/1072831094.db2.gz VUNXOFWXZVBWRQ-UHFFFAOYSA-N 0 0 438.550 -0.018 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCN(c2cnn(-c3ccccc3)c(=O)c2Cl)CC1)C(N)=O ZINC000530814462 1072831824 /nfs/dbraw/zinc/83/18/24/1072831824.db2.gz HCJISRRZSDCRND-HNNXBMFYSA-N 0 0 446.895 -0.085 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000530814880 1072831934 /nfs/dbraw/zinc/83/19/34/1072831934.db2.gz WNPILFZXOZJIDR-DOMZBBRYSA-N 0 0 436.490 -0.543 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000530814881 1072831865 /nfs/dbraw/zinc/83/18/65/1072831865.db2.gz WNPILFZXOZJIDR-IUODEOHRSA-N 0 0 436.490 -0.543 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000530814882 1072831757 /nfs/dbraw/zinc/83/17/57/1072831757.db2.gz WNPILFZXOZJIDR-SWLSCSKDSA-N 0 0 436.490 -0.543 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000530814883 1072831740 /nfs/dbraw/zinc/83/17/40/1072831740.db2.gz WNPILFZXOZJIDR-WFASDCNBSA-N 0 0 436.490 -0.543 20 0 IBADRN O=C([C@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000530816046 1072831949 /nfs/dbraw/zinc/83/19/49/1072831949.db2.gz WARIBIXFKYCMER-GOSISDBHSA-N 0 0 439.490 -0.362 20 0 IBADRN O=C([C@@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000530816047 1072831653 /nfs/dbraw/zinc/83/16/53/1072831653.db2.gz WARIBIXFKYCMER-SFHVURJKSA-N 0 0 439.490 -0.362 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCc2cnc(N(C)C)n2C)s1 ZINC000530816525 1072831797 /nfs/dbraw/zinc/83/17/97/1072831797.db2.gz VMLSUJGRYDZSLM-UHFFFAOYSA-N 0 0 428.540 -0.222 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1 ZINC000530818473 1072831667 /nfs/dbraw/zinc/83/16/67/1072831667.db2.gz SCDBZNBPAVEVSL-UHFFFAOYSA-N 0 0 447.602 -0.777 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000530821487 1072831887 /nfs/dbraw/zinc/83/18/87/1072831887.db2.gz BJRPJJMFVZFPPH-DOMZBBRYSA-N 0 0 435.572 -0.347 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000530821488 1072831710 /nfs/dbraw/zinc/83/17/10/1072831710.db2.gz BJRPJJMFVZFPPH-IUODEOHRSA-N 0 0 435.572 -0.347 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000530821489 1072831876 /nfs/dbraw/zinc/83/18/76/1072831876.db2.gz BJRPJJMFVZFPPH-SWLSCSKDSA-N 0 0 435.572 -0.347 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000530821490 1072831726 /nfs/dbraw/zinc/83/17/26/1072831726.db2.gz BJRPJJMFVZFPPH-WFASDCNBSA-N 0 0 435.572 -0.347 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000530823625 1072831919 /nfs/dbraw/zinc/83/19/19/1072831919.db2.gz DCNHPCWCIOKBCR-UHFFFAOYSA-N 0 0 446.595 -0.090 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000530823995 1072832501 /nfs/dbraw/zinc/83/25/01/1072832501.db2.gz YGDKLNSCTLTLGO-CYBMUJFWSA-N 0 0 433.508 -0.708 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000530823996 1072832288 /nfs/dbraw/zinc/83/22/88/1072832288.db2.gz YGDKLNSCTLTLGO-ZDUSSCGKSA-N 0 0 433.508 -0.708 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000530824510 1072832461 /nfs/dbraw/zinc/83/24/61/1072832461.db2.gz OLZMUYBJBDVQAR-HNNXBMFYSA-N 0 0 427.479 -0.495 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000530824513 1072832508 /nfs/dbraw/zinc/83/25/08/1072832508.db2.gz OLZMUYBJBDVQAR-OAHLLOKOSA-N 0 0 427.479 -0.495 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000530824704 1072832372 /nfs/dbraw/zinc/83/23/72/1072832372.db2.gz VEMUBIOQNJUFFW-INIZCTEOSA-N 0 0 449.489 -0.203 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000530824708 1072832409 /nfs/dbraw/zinc/83/24/09/1072832409.db2.gz VEMUBIOQNJUFFW-MRXNPFEDSA-N 0 0 449.489 -0.203 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3ccnc(N(C)C)n3)CC2)cc1 ZINC000530825474 1072832307 /nfs/dbraw/zinc/83/23/07/1072832307.db2.gz JQTHQXXWLQPJHF-UHFFFAOYSA-N 0 0 440.551 -0.038 20 0 IBADRN Cn1cc(N2CCN(C(=O)COCC(=O)N3CCN(c4cnn(C)c4)CC3)CC2)cn1 ZINC000530825724 1072832427 /nfs/dbraw/zinc/83/24/27/1072832427.db2.gz KWSHMEIHYBLIFV-UHFFFAOYSA-N 0 0 430.513 -0.832 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000530829801 1072831956 /nfs/dbraw/zinc/83/19/56/1072831956.db2.gz JRDOVBAAHPDUTJ-UHFFFAOYSA-N 0 0 447.535 -0.318 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC2CCN(S(C)(=O)=O)CC2)C1 ZINC000530829948 1072831900 /nfs/dbraw/zinc/83/19/00/1072831900.db2.gz BTMDBVGGLNXJDD-AWEZNQCLSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC2CCN(S(C)(=O)=O)CC2)C1 ZINC000530829953 1072831771 /nfs/dbraw/zinc/83/17/71/1072831771.db2.gz BTMDBVGGLNXJDD-CQSZACIVSA-N 0 0 447.583 -0.033 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000530830047 1072831972 /nfs/dbraw/zinc/83/19/72/1072831972.db2.gz WNHBPZCENZOLAD-UHFFFAOYSA-N 0 0 449.551 -0.088 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)CC1 ZINC000530839667 1072831840 /nfs/dbraw/zinc/83/18/40/1072831840.db2.gz WQWDJLZVNVKZBQ-UHFFFAOYSA-N 0 0 429.587 -0.004 20 0 IBADRN Cn1ccc2c1cccc2NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000530844295 1072832720 /nfs/dbraw/zinc/83/27/20/1072832720.db2.gz SZSLPMWSYQULEU-UHFFFAOYSA-N 0 0 425.445 -0.063 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2n1C ZINC000530844312 1072832710 /nfs/dbraw/zinc/83/27/10/1072832710.db2.gz WRTZMNJDZJHFER-UHFFFAOYSA-N 0 0 440.460 -0.360 20 0 IBADRN CCc1cccc2c1N(C(=O)C(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)CC2 ZINC000530844889 1072832339 /nfs/dbraw/zinc/83/23/39/1072832339.db2.gz IHEHKQYOZAVRTH-UHFFFAOYSA-N 0 0 426.473 -0.042 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000530845653 1072832839 /nfs/dbraw/zinc/83/28/39/1072832839.db2.gz SSPUEYRUPOKWJM-UHFFFAOYSA-N 0 0 437.478 -0.829 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000530845857 1072832355 /nfs/dbraw/zinc/83/23/55/1072832355.db2.gz LGEVKZWQGNUQDQ-UHFFFAOYSA-N 0 0 439.494 -0.489 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000530845970 1072832194 /nfs/dbraw/zinc/83/21/94/1072832194.db2.gz NCMZRILAZYRHNX-UHFFFAOYSA-N 0 0 439.494 -0.489 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000530846146 1072832483 /nfs/dbraw/zinc/83/24/83/1072832483.db2.gz VHPGXPOFUVDNSN-UHFFFAOYSA-N 0 0 444.492 -0.654 20 0 IBADRN Cn1cc(C[C@H]2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)cn1 ZINC000530852036 1072832998 /nfs/dbraw/zinc/83/29/98/1072832998.db2.gz LIGWGGOVBGNRKZ-GFCCVEGCSA-N 0 0 425.449 -0.605 20 0 IBADRN Cn1cc(C[C@@H]2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)cn1 ZINC000530852037 1072832798 /nfs/dbraw/zinc/83/27/98/1072832798.db2.gz LIGWGGOVBGNRKZ-LBPRGKRZSA-N 0 0 425.449 -0.605 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000530852472 1072832390 /nfs/dbraw/zinc/83/23/90/1072832390.db2.gz RXYMUTNSLQNUIS-UHFFFAOYSA-N 0 0 433.552 -0.225 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000530852793 1072832215 /nfs/dbraw/zinc/83/22/15/1072832215.db2.gz TWMQDMOMVOQQFV-UHFFFAOYSA-N 0 0 433.900 -0.004 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cn1nnc(-c2ccc(Cl)cc2)n1 ZINC000530854296 1072832974 /nfs/dbraw/zinc/83/29/74/1072832974.db2.gz LILLTQYPHPUFRI-CYBMUJFWSA-N 0 0 426.886 -0.245 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cn1nnc(-c2ccc(Cl)cc2)n1 ZINC000530854309 1072833097 /nfs/dbraw/zinc/83/30/97/1072833097.db2.gz LILLTQYPHPUFRI-ZDUSSCGKSA-N 0 0 426.886 -0.245 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000530856385 1072832927 /nfs/dbraw/zinc/83/29/27/1072832927.db2.gz OPTNTETWBIVSTQ-UHFFFAOYSA-N 0 0 427.483 -0.973 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3C(N)=O)CC2)c1 ZINC000530860543 1072833075 /nfs/dbraw/zinc/83/30/75/1072833075.db2.gz BGDYVMTWRZFLAE-LBPRGKRZSA-N 0 0 430.508 -0.089 20 0 IBADRN CCOCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000530870906 1072832853 /nfs/dbraw/zinc/83/28/53/1072832853.db2.gz SVDPMRPZWYFBJD-UHFFFAOYSA-N 0 0 443.570 -0.222 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@](C)(O)CN2CCOCC2)cc(OC)c1OC ZINC000530878234 1072832950 /nfs/dbraw/zinc/83/29/50/1072832950.db2.gz HEPMMNQKJRLNGL-FQEVSTJZSA-N 0 0 425.482 -0.358 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@@](C)(O)CN2CCOCC2)cc(OC)c1OC ZINC000530878235 1072833557 /nfs/dbraw/zinc/83/35/57/1072833557.db2.gz HEPMMNQKJRLNGL-HXUWFJFHSA-N 0 0 425.482 -0.358 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)c3ccc(S(=O)(=O)CC(=O)N(C)C)cc3)CC2)[nH]1 ZINC000530882309 1072833633 /nfs/dbraw/zinc/83/36/33/1072833633.db2.gz ZSHYFZXUVBPWLH-UHFFFAOYSA-N 0 0 434.522 -0.067 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000530885208 1072833548 /nfs/dbraw/zinc/83/35/48/1072833548.db2.gz NGONYDGUBKPLTD-CVEARBPZSA-N 0 0 437.518 -0.147 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000530885212 1072833332 /nfs/dbraw/zinc/83/33/32/1072833332.db2.gz NGONYDGUBKPLTD-HOTGVXAUSA-N 0 0 437.518 -0.147 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000530885213 1072833311 /nfs/dbraw/zinc/83/33/11/1072833311.db2.gz NGONYDGUBKPLTD-HZPDHXFCSA-N 0 0 437.518 -0.147 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000530885214 1072833425 /nfs/dbraw/zinc/83/34/25/1072833425.db2.gz NGONYDGUBKPLTD-JKSUJKDBSA-N 0 0 437.518 -0.147 20 0 IBADRN Cc1cnc(N2CCCN(S(C)(=O)=O)CC2)nc1N1CCCN(S(C)(=O)=O)CC1 ZINC000530886803 1072833662 /nfs/dbraw/zinc/83/36/62/1072833662.db2.gz UZYCMPHHZRGOMC-UHFFFAOYSA-N 0 0 446.599 -0.272 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN(C3CC3)C(=O)C2)c[nH]1)N1CCN(C2CC2)C(=O)C1 ZINC000530891124 1072833378 /nfs/dbraw/zinc/83/33/78/1072833378.db2.gz DNLUKNNVFIMQEB-UHFFFAOYSA-N 0 0 435.506 -0.543 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C2CC2)CC1)N1CCOCC1 ZINC000530899967 1072833348 /nfs/dbraw/zinc/83/33/48/1072833348.db2.gz QBBNKJVIPJYRHT-UHFFFAOYSA-N 0 0 444.488 -0.057 20 0 IBADRN CN(C)c1nccc(N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)n1 ZINC000530904096 1072833401 /nfs/dbraw/zinc/83/34/01/1072833401.db2.gz DZBHAHOFWYICBL-UHFFFAOYSA-N 0 0 431.478 -0.417 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2CS(=O)(=O)NC)cc1 ZINC000530918028 1072833439 /nfs/dbraw/zinc/83/34/39/1072833439.db2.gz VVFRHGDHWSXQCW-AWEZNQCLSA-N 0 0 447.535 -0.318 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2CS(=O)(=O)NC)cc1 ZINC000530918035 1072833454 /nfs/dbraw/zinc/83/34/54/1072833454.db2.gz VVFRHGDHWSXQCW-CQSZACIVSA-N 0 0 447.535 -0.318 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000530921352 1072834038 /nfs/dbraw/zinc/83/40/38/1072834038.db2.gz NYRAQDOPFCAZDX-CYBMUJFWSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)c1 ZINC000530921359 1072833974 /nfs/dbraw/zinc/83/39/74/1072833974.db2.gz NYRAQDOPFCAZDX-ZDUSSCGKSA-N 0 0 432.524 -0.618 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2C)CC1 ZINC000530921494 1072834175 /nfs/dbraw/zinc/83/41/75/1072834175.db2.gz OUJMRCZCRHJPJZ-UHFFFAOYSA-N 0 0 428.497 -0.078 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)N2C[C@@H]3CCCN3c3ccccc32)CC1 ZINC000530921751 1072833994 /nfs/dbraw/zinc/83/39/94/1072833994.db2.gz BPJNFOOVFSEBPD-KRWDZBQOSA-N 0 0 429.521 -0.091 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)N2C[C@H]3CCCN3c3ccccc32)CC1 ZINC000530921752 1072834157 /nfs/dbraw/zinc/83/41/57/1072834157.db2.gz BPJNFOOVFSEBPD-QGZVFWFLSA-N 0 0 429.521 -0.091 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cccc1-n1cnnn1 ZINC000530921828 1072833746 /nfs/dbraw/zinc/83/37/46/1072833746.db2.gz CBNSXWUPRNPUAB-AWEZNQCLSA-N 0 0 426.481 -0.279 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cccc1-n1cnnn1 ZINC000530921829 1072834111 /nfs/dbraw/zinc/83/41/11/1072834111.db2.gz CBNSXWUPRNPUAB-CQSZACIVSA-N 0 0 426.481 -0.279 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000530922067 1072833983 /nfs/dbraw/zinc/83/39/83/1072833983.db2.gz KLTQTFBEIHSBFV-UHFFFAOYSA-N 0 0 432.481 -0.554 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000530927203 1072834562 /nfs/dbraw/zinc/83/45/62/1072834562.db2.gz FXECCGXKLFRKRV-CYBMUJFWSA-N 0 0 433.490 -0.179 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000530927215 1072834462 /nfs/dbraw/zinc/83/44/62/1072834462.db2.gz FXECCGXKLFRKRV-ZDUSSCGKSA-N 0 0 433.490 -0.179 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCN(C)C(=O)C3)c2)CC1 ZINC000530928166 1072834613 /nfs/dbraw/zinc/83/46/13/1072834613.db2.gz GNYLWBITXWXGIH-UHFFFAOYSA-N 0 0 437.522 -0.748 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)CC1=O ZINC000530928648 1072834375 /nfs/dbraw/zinc/83/43/75/1072834375.db2.gz BNCOVDWHWJTBQA-UHFFFAOYSA-N 0 0 437.522 -0.748 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C)C(=O)C1 ZINC000530928853 1072834526 /nfs/dbraw/zinc/83/45/26/1072834526.db2.gz BPKRONLGYHMVJK-UHFFFAOYSA-N 0 0 426.495 -0.037 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(C)C(=O)C2)c1 ZINC000530928864 1072834594 /nfs/dbraw/zinc/83/45/94/1072834594.db2.gz HBUKJGYACJSIGH-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000530928920 1072834650 /nfs/dbraw/zinc/83/46/50/1072834650.db2.gz AUZRUVPPVMQROM-UHFFFAOYSA-N 0 0 428.442 -0.914 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000530929112 1072834537 /nfs/dbraw/zinc/83/45/37/1072834537.db2.gz WWEKIYRCCVUIDR-UHFFFAOYSA-N 0 0 444.897 -0.400 20 0 IBADRN COc1ccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1S(=O)(=O)N(C)C ZINC000530934725 1072834051 /nfs/dbraw/zinc/83/40/51/1072834051.db2.gz NCTJLUYMRSEUJB-UHFFFAOYSA-N 0 0 446.507 -0.217 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C2=O ZINC000530934917 1072834075 /nfs/dbraw/zinc/83/40/75/1072834075.db2.gz IYODOJCPIOELKX-PXAZEXFGSA-N 0 0 427.483 -0.289 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C2=O ZINC000530934922 1072834030 /nfs/dbraw/zinc/83/40/30/1072834030.db2.gz IYODOJCPIOELKX-SJCJKPOMSA-N 0 0 427.483 -0.289 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C2=O ZINC000530934923 1072834087 /nfs/dbraw/zinc/83/40/87/1072834087.db2.gz IYODOJCPIOELKX-SJKOYZFVSA-N 0 0 427.483 -0.289 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C2=O ZINC000530934926 1072833962 /nfs/dbraw/zinc/83/39/62/1072833962.db2.gz IYODOJCPIOELKX-YVEFUNNKSA-N 0 0 427.483 -0.289 20 0 IBADRN CN1C(=O)N(CC(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)C12CCCCC2 ZINC000530935099 1072834096 /nfs/dbraw/zinc/83/40/96/1072834096.db2.gz LCEJLQNSBQTNKX-UHFFFAOYSA-N 0 0 427.483 -0.193 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000530935265 1072833940 /nfs/dbraw/zinc/83/39/40/1072833940.db2.gz PCOSIJKTHJZEJJ-UHFFFAOYSA-N 0 0 431.492 -0.056 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000530936056 1072834018 /nfs/dbraw/zinc/83/40/18/1072834018.db2.gz SEWQPUWNPGODPT-UHFFFAOYSA-N 0 0 447.473 -0.718 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)NCCNS(=O)(=O)Cc2ccon2)c2ccccn21 ZINC000530936320 1072834121 /nfs/dbraw/zinc/83/41/21/1072834121.db2.gz YHTYAMGIIVPUPI-UHFFFAOYSA-N 0 0 427.464 -0.425 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000530936418 1072834008 /nfs/dbraw/zinc/83/40/08/1072834008.db2.gz CPYHYAYTIPCOBF-UHFFFAOYSA-N 0 0 428.492 -0.035 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)CC2=O)cn1 ZINC000530942208 1072834579 /nfs/dbraw/zinc/83/45/79/1072834579.db2.gz LXYNQMCMOLZQSF-UHFFFAOYSA-N 0 0 446.489 -0.325 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c2ccccc2O1 ZINC000530942456 1072834067 /nfs/dbraw/zinc/83/40/67/1072834067.db2.gz NNRAIRSULWCYNJ-INIZCTEOSA-N 0 0 427.417 -0.438 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c2ccccc2O1 ZINC000530942457 1072834508 /nfs/dbraw/zinc/83/45/08/1072834508.db2.gz NNRAIRSULWCYNJ-MRXNPFEDSA-N 0 0 427.417 -0.438 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000530949549 1072834474 /nfs/dbraw/zinc/83/44/74/1072834474.db2.gz IYXIGVCSMWQYMV-UHFFFAOYSA-N 0 0 440.522 -0.450 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C(=O)N(C)C ZINC000530970578 1072834356 /nfs/dbraw/zinc/83/43/56/1072834356.db2.gz PMDLSUKCGUDGBO-GFCCVEGCSA-N 0 0 442.494 -0.753 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)C(=O)NC)c2)CC1 ZINC000530970678 1072834491 /nfs/dbraw/zinc/83/44/91/1072834491.db2.gz WAWZAQVWDJEWGD-CYBMUJFWSA-N 0 0 425.511 -0.798 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)C ZINC000530971042 1072834516 /nfs/dbraw/zinc/83/45/16/1072834516.db2.gz WJZHHMFECJKLLB-LLVKDONJSA-N 0 0 446.913 -0.108 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)C(=O)N(C)C)c2)CC1 ZINC000530971299 1072834573 /nfs/dbraw/zinc/83/45/73/1072834573.db2.gz VNGXVGBPLRWLIX-CQSZACIVSA-N 0 0 439.538 -0.456 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C(=O)NC ZINC000530971352 1072834553 /nfs/dbraw/zinc/83/45/53/1072834553.db2.gz KSVDXWUCHQTILB-GFCCVEGCSA-N 0 0 442.494 -0.705 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000530975318 1072834423 /nfs/dbraw/zinc/83/44/23/1072834423.db2.gz USIQXGKRHKVLHF-AWEZNQCLSA-N 0 0 425.463 -0.144 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000530975319 1072834930 /nfs/dbraw/zinc/83/49/30/1072834930.db2.gz USIQXGKRHKVLHF-CQSZACIVSA-N 0 0 425.463 -0.144 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N1CCOCC1 ZINC000530984477 1072835224 /nfs/dbraw/zinc/83/52/24/1072835224.db2.gz LELMGKVISJXOGF-INIZCTEOSA-N 0 0 438.506 -0.181 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N1CCOCC1 ZINC000530984478 1072835078 /nfs/dbraw/zinc/83/50/78/1072835078.db2.gz LELMGKVISJXOGF-MRXNPFEDSA-N 0 0 438.506 -0.181 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CC(=O)OC)C2)cc1 ZINC000530984618 1072834980 /nfs/dbraw/zinc/83/49/80/1072834980.db2.gz NXOCFVFYXHMNBM-AWEZNQCLSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](CC(=O)OC)C2)cc1 ZINC000530984619 1072835068 /nfs/dbraw/zinc/83/50/68/1072835068.db2.gz NXOCFVFYXHMNBM-CQSZACIVSA-N 0 0 428.463 -0.068 20 0 IBADRN COc1cc(NC(=O)CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc(OC)c1 ZINC000530986881 1072835179 /nfs/dbraw/zinc/83/51/79/1072835179.db2.gz HPKHRGBIQNMMNI-UHFFFAOYSA-N 0 0 429.433 -0.039 20 0 IBADRN COC(=O)CCC1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000530999438 1072835122 /nfs/dbraw/zinc/83/51/22/1072835122.db2.gz ZASPGJOGHDBPOW-UHFFFAOYSA-N 0 0 431.449 -0.238 20 0 IBADRN O=C(CS(=O)(=O)c1cnccn1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000531011082 1072835213 /nfs/dbraw/zinc/83/52/13/1072835213.db2.gz UBJUWOVMDIZPES-UHFFFAOYSA-N 0 0 426.476 -0.090 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000531014295 1072834943 /nfs/dbraw/zinc/83/49/43/1072834943.db2.gz GADWCNLWOOWCRI-UHFFFAOYSA-N 0 0 439.357 -0.321 20 0 IBADRN O=C(Nc1cnn(-c2ccccn2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000531017359 1071050334 /nfs/dbraw/zinc/05/03/34/1071050334.db2.gz KCELKODEUCQCJE-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(Cc4cccc(O)c4)CC3)c2c(=O)n(C)c1=O ZINC000531019398 1072834876 /nfs/dbraw/zinc/83/48/76/1072834876.db2.gz NGPYLOQAODNMKJ-UHFFFAOYSA-N 0 0 426.477 -0.126 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000531030626 1072835141 /nfs/dbraw/zinc/83/51/41/1072835141.db2.gz RKAUWTLTQWHSMF-AWEZNQCLSA-N 0 0 435.506 -0.124 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000531030627 1072835003 /nfs/dbraw/zinc/83/50/03/1072835003.db2.gz RKAUWTLTQWHSMF-CQSZACIVSA-N 0 0 435.506 -0.124 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000531043185 1072835227 /nfs/dbraw/zinc/83/52/27/1072835227.db2.gz MSHZHAPVXPZNNK-UHFFFAOYSA-N 0 0 446.551 -0.100 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c2ccccc2O1 ZINC000531045854 1072835812 /nfs/dbraw/zinc/83/58/12/1072835812.db2.gz LVGZOPCNGGXKDA-INIZCTEOSA-N 0 0 441.444 -0.604 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c2ccccc2O1 ZINC000531045857 1072835698 /nfs/dbraw/zinc/83/56/98/1072835698.db2.gz LVGZOPCNGGXKDA-MRXNPFEDSA-N 0 0 441.444 -0.604 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000531046267 1072835559 /nfs/dbraw/zinc/83/55/59/1072835559.db2.gz IGJVOOIMRGVESO-UHFFFAOYSA-N 0 0 433.490 -0.220 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3cccc(N4CCOCC4)c3)CC2)c1=O ZINC000531046271 1072835624 /nfs/dbraw/zinc/83/56/24/1072835624.db2.gz NKHCTQHPVUFZSS-UHFFFAOYSA-N 0 0 426.477 -0.096 20 0 IBADRN CN1CCC(=O)Nc2cc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc21 ZINC000531046586 1072835771 /nfs/dbraw/zinc/83/57/71/1072835771.db2.gz GZDONVLNPSODIY-UHFFFAOYSA-N 0 0 427.461 -0.102 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000531047212 1072835578 /nfs/dbraw/zinc/83/55/78/1072835578.db2.gz NBHPSEQNFXMLIE-HNNXBMFYSA-N 0 0 425.511 -0.335 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000531047213 1072835786 /nfs/dbraw/zinc/83/57/86/1072835786.db2.gz NBHPSEQNFXMLIE-OAHLLOKOSA-N 0 0 425.511 -0.335 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000531048538 1072835755 /nfs/dbraw/zinc/83/57/55/1072835755.db2.gz OVJWPFPMDADFBE-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000531049346 1072835831 /nfs/dbraw/zinc/83/58/31/1072835831.db2.gz ADYIABJFEYIXLX-AWEZNQCLSA-N 0 0 438.506 -0.249 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000531049352 1072835718 /nfs/dbraw/zinc/83/57/18/1072835718.db2.gz ADYIABJFEYIXLX-CQSZACIVSA-N 0 0 438.506 -0.249 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000531049766 1072835844 /nfs/dbraw/zinc/83/58/44/1072835844.db2.gz KCRNRVMUNKIMGA-UHFFFAOYSA-N 0 0 447.583 -0.679 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000531049794 1071055247 /nfs/dbraw/zinc/05/52/47/1071055247.db2.gz LZCWAXLGFNRAAB-GFCCVEGCSA-N 0 0 446.551 -0.479 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000531049796 1072835636 /nfs/dbraw/zinc/83/56/36/1072835636.db2.gz LZCWAXLGFNRAAB-LBPRGKRZSA-N 0 0 446.551 -0.479 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCN2CCN(c3ccccc3)CC2)o1 ZINC000531049799 1072835660 /nfs/dbraw/zinc/83/56/60/1072835660.db2.gz MCTSHWIQPWDVDK-UHFFFAOYSA-N 0 0 435.506 -0.405 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000531050103 1072835456 /nfs/dbraw/zinc/83/54/56/1072835456.db2.gz WNNIHTRGVJRVGN-UHFFFAOYSA-N 0 0 439.582 -0.241 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3cnccn3)CC2)s1 ZINC000531050110 1072835611 /nfs/dbraw/zinc/83/56/11/1072835611.db2.gz VYNNLMGGOBEZIJ-UHFFFAOYSA-N 0 0 438.535 -0.199 20 0 IBADRN O=C(CC[S@](=O)c1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000531050206 1072835648 /nfs/dbraw/zinc/83/56/48/1072835648.db2.gz HQHNBBSWIJBQMD-PMERELPUSA-N 0 0 435.502 -0.095 20 0 IBADRN O=C(CC[S@@](=O)c1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000531050207 1072835822 /nfs/dbraw/zinc/83/58/22/1072835822.db2.gz HQHNBBSWIJBQMD-SSEXGKCCSA-N 0 0 435.502 -0.095 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000531051388 1072836225 /nfs/dbraw/zinc/83/62/25/1072836225.db2.gz KSMTZRLBEVKKLR-UHFFFAOYSA-N 0 0 435.524 -0.414 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000531052004 1072836428 /nfs/dbraw/zinc/83/64/28/1072836428.db2.gz XPWBFCUELAQKEU-UHFFFAOYSA-N 0 0 427.479 -0.493 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(N3CCC(O)CC3)cc2)CC1)N1CCOCC1 ZINC000531052445 1072836319 /nfs/dbraw/zinc/83/63/19/1072836319.db2.gz WVFDLRHABRVESD-UHFFFAOYSA-N 0 0 430.505 -0.209 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N1CCN(c2ccccc2S(=O)(=O)NC)CC1 ZINC000531053406 1072836239 /nfs/dbraw/zinc/83/62/39/1072836239.db2.gz WWKFHIXNRWTKTG-UHFFFAOYSA-N 0 0 432.568 -0.085 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2CCN(C(=O)COC)CC2)cc1 ZINC000531055967 1072836396 /nfs/dbraw/zinc/83/63/96/1072836396.db2.gz XBOYNODJFSITHB-UHFFFAOYSA-N 0 0 441.506 -0.105 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)CC1 ZINC000531056158 1072836135 /nfs/dbraw/zinc/83/61/35/1072836135.db2.gz TYXSRXQIVVRODQ-UHFFFAOYSA-N 0 0 443.526 -0.941 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CCNS(C)(=O)=O ZINC000531058037 1072836378 /nfs/dbraw/zinc/83/63/78/1072836378.db2.gz ZSUZCHZGFPZOTR-UHFFFAOYSA-N 0 0 435.524 -0.016 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000531060737 1072835150 /nfs/dbraw/zinc/83/51/50/1072835150.db2.gz RKLTVMKXSFQTKV-CRAIPNDOSA-N 0 0 440.570 -0.074 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000531060738 1072835022 /nfs/dbraw/zinc/83/50/22/1072835022.db2.gz RKLTVMKXSFQTKV-MAUKXSAKSA-N 0 0 440.570 -0.074 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000531060739 1072835188 /nfs/dbraw/zinc/83/51/88/1072835188.db2.gz RKLTVMKXSFQTKV-QAPCUYQASA-N 0 0 440.570 -0.074 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000531060740 1072835519 /nfs/dbraw/zinc/83/55/19/1072835519.db2.gz RKLTVMKXSFQTKV-YJBOKZPZSA-N 0 0 440.570 -0.074 20 0 IBADRN CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000531062301 1072836416 /nfs/dbraw/zinc/83/64/16/1072836416.db2.gz NOHWKLLMJMJPQP-DZGCQCFKSA-N 0 0 440.588 -0.892 20 0 IBADRN CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000531062307 1072836365 /nfs/dbraw/zinc/83/63/65/1072836365.db2.gz NOHWKLLMJMJPQP-HIFRSBDPSA-N 0 0 440.588 -0.892 20 0 IBADRN CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000531062309 1072836334 /nfs/dbraw/zinc/83/63/34/1072836334.db2.gz NOHWKLLMJMJPQP-UKRRQHHQSA-N 0 0 440.588 -0.892 20 0 IBADRN CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000531062311 1072836440 /nfs/dbraw/zinc/83/64/40/1072836440.db2.gz NOHWKLLMJMJPQP-ZFWWWQNUSA-N 0 0 440.588 -0.892 20 0 IBADRN CN(C)c1nccc(N2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)n1 ZINC000531063770 1072836251 /nfs/dbraw/zinc/83/62/51/1072836251.db2.gz PHWLHLKDRKWWRF-UHFFFAOYSA-N 0 0 426.524 -0.299 20 0 IBADRN CN(C)c1nccc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000531064348 1072836062 /nfs/dbraw/zinc/83/60/62/1072836062.db2.gz LITJNDQDNUPSEA-UHFFFAOYSA-N 0 0 426.524 -0.299 20 0 IBADRN O=C(CCC(=O)N1CCn2cnnc2C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000531068792 1072836404 /nfs/dbraw/zinc/83/64/04/1072836404.db2.gz CDLPAFUXEBDWOD-UHFFFAOYSA-N 0 0 432.506 -0.066 20 0 IBADRN CC(C)c1nnnn1CC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000531069979 1072836113 /nfs/dbraw/zinc/83/61/13/1072836113.db2.gz MMDJTRIJLHVOEW-CYBMUJFWSA-N 0 0 442.523 -0.099 20 0 IBADRN CC(C)c1nnnn1CC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000531069981 1072837066 /nfs/dbraw/zinc/83/70/66/1072837066.db2.gz MMDJTRIJLHVOEW-ZDUSSCGKSA-N 0 0 442.523 -0.099 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC2CCN(S(C)(=O)=O)CC2)c1 ZINC000531076928 1072836353 /nfs/dbraw/zinc/83/63/53/1072836353.db2.gz FFOSEBHSVKQQDY-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)CC2)cn1 ZINC000531080534 1072836938 /nfs/dbraw/zinc/83/69/38/1072836938.db2.gz KXOAQOUALFVYIR-UHFFFAOYSA-N 0 0 425.449 -0.241 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000531080782 1072836884 /nfs/dbraw/zinc/83/68/84/1072836884.db2.gz YEWDSHXJFMHJNS-AWEZNQCLSA-N 0 0 437.478 -0.783 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000531080783 1072836809 /nfs/dbraw/zinc/83/68/09/1072836809.db2.gz YEWDSHXJFMHJNS-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000531082455 1072836981 /nfs/dbraw/zinc/83/69/81/1072836981.db2.gz QFVCPIXAQIVPBU-UHFFFAOYSA-N 0 0 439.476 -0.245 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)CC2)cn1 ZINC000531082461 1072836989 /nfs/dbraw/zinc/83/69/89/1072836989.db2.gz VHWKMVOFWOZHMP-UHFFFAOYSA-N 0 0 439.476 -0.245 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1)S(C)(=O)=O ZINC000531083597 1072837102 /nfs/dbraw/zinc/83/71/02/1072837102.db2.gz ZOTTZPLHESTHJT-UHFFFAOYSA-N 0 0 439.494 -0.535 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccs2)CC1 ZINC000531090185 1072836670 /nfs/dbraw/zinc/83/66/70/1072836670.db2.gz XHFJGKXRKJFTDU-UHFFFAOYSA-N 0 0 439.581 -0.075 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)CCN2CCCC2=O)CC1 ZINC000531101109 1072836757 /nfs/dbraw/zinc/83/67/57/1072836757.db2.gz CXJPYQZPYWTMEF-UHFFFAOYSA-N 0 0 427.527 -0.321 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@H](C(C)C)C2=O)CC1 ZINC000531110596 1072837523 /nfs/dbraw/zinc/83/75/23/1072837523.db2.gz UARLKRVCVYOGPE-AWEZNQCLSA-N 0 0 427.483 -0.299 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@H](C(C)C)C2=O)CC1 ZINC000531110597 1072837401 /nfs/dbraw/zinc/83/74/01/1072837401.db2.gz UARLKRVCVYOGPE-CQSZACIVSA-N 0 0 427.483 -0.299 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)c1 ZINC000531110735 1072837415 /nfs/dbraw/zinc/83/74/15/1072837415.db2.gz LEIPVDOUXKPSKP-UHFFFAOYSA-N 0 0 438.462 -0.227 20 0 IBADRN CCC[C@H]1NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1=O ZINC000531110790 1072837646 /nfs/dbraw/zinc/83/76/46/1072837646.db2.gz GZBXSKZJYGNLFJ-CYBMUJFWSA-N 0 0 427.483 -0.155 20 0 IBADRN CCC[C@@H]1NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1=O ZINC000531110791 1072837378 /nfs/dbraw/zinc/83/73/78/1072837378.db2.gz GZBXSKZJYGNLFJ-ZDUSSCGKSA-N 0 0 427.483 -0.155 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000531122675 1072837479 /nfs/dbraw/zinc/83/74/79/1072837479.db2.gz YUNDVZNARMZPBB-UHFFFAOYSA-N 0 0 442.476 -0.345 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000531122901 1071166973 /nfs/dbraw/zinc/16/69/73/1071166973.db2.gz XSTFLOFATBJENA-GOSISDBHSA-N 0 0 432.521 -0.002 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000531122902 1072837505 /nfs/dbraw/zinc/83/75/05/1072837505.db2.gz XSTFLOFATBJENA-SFHVURJKSA-N 0 0 432.521 -0.002 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000531124097 1072837588 /nfs/dbraw/zinc/83/75/88/1072837588.db2.gz ZVANOEQGGGAMLG-UHFFFAOYSA-N 0 0 428.449 -0.735 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CN1C(=O)[C@@H]3CN(C(N)=O)CCN3C1=O)CC2 ZINC000531131536 1072837426 /nfs/dbraw/zinc/83/74/26/1072837426.db2.gz JWTXLFRMIUSENN-AWEZNQCLSA-N 0 0 431.449 -0.384 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CN1C(=O)[C@H]3CN(C(N)=O)CCN3C1=O)CC2 ZINC000531131537 1071169326 /nfs/dbraw/zinc/16/93/26/1071169326.db2.gz JWTXLFRMIUSENN-CQSZACIVSA-N 0 0 431.449 -0.384 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)cc1 ZINC000531131708 1072837537 /nfs/dbraw/zinc/83/75/37/1072837537.db2.gz JIJFFLYGTLVDGW-AWEZNQCLSA-N 0 0 425.467 -0.657 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)cc1 ZINC000531131709 1071169250 /nfs/dbraw/zinc/16/92/50/1071169250.db2.gz JIJFFLYGTLVDGW-CQSZACIVSA-N 0 0 425.467 -0.657 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)NC3CC3)c1)CC2 ZINC000531143827 1072837895 /nfs/dbraw/zinc/83/78/95/1072837895.db2.gz HMQVDNRRKLURAC-UHFFFAOYSA-N 0 0 431.474 -0.256 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC000531144893 1072837986 /nfs/dbraw/zinc/83/79/86/1072837986.db2.gz LLQBMZYUFMWWJS-UHFFFAOYSA-N 0 0 434.474 -0.180 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000531157831 1072838702 /nfs/dbraw/zinc/83/87/02/1072838702.db2.gz LIEQPUPPVRDAPE-UHFFFAOYSA-N 0 0 440.522 -0.594 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(F)c1 ZINC000531158327 1072838625 /nfs/dbraw/zinc/83/86/25/1072838625.db2.gz JPECUQLCYPCKPP-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1 ZINC000531159807 1072838531 /nfs/dbraw/zinc/83/85/31/1072838531.db2.gz ISIWKXNBHVGTNH-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000531161004 1072838035 /nfs/dbraw/zinc/83/80/35/1072838035.db2.gz SDKKNCTVOGWKHY-UHFFFAOYSA-N 0 0 445.480 -0.823 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000531161686 1072838015 /nfs/dbraw/zinc/83/80/15/1072838015.db2.gz ZWCBZLWOCQVSSN-INIZCTEOSA-N 0 0 439.490 -0.164 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000531161687 1072837915 /nfs/dbraw/zinc/83/79/15/1072837915.db2.gz ZWCBZLWOCQVSSN-MRXNPFEDSA-N 0 0 439.490 -0.164 20 0 IBADRN Cc1noc(-c2ccc(C)c(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)n1 ZINC000531165313 1072838124 /nfs/dbraw/zinc/83/81/24/1072838124.db2.gz XWPQWHWLCYSTEN-UHFFFAOYSA-N 0 0 436.494 -0.275 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(N2C(=O)CN(C)C2=O)c1 ZINC000531165424 1072837957 /nfs/dbraw/zinc/83/79/57/1072837957.db2.gz BIBLEQCTIUEFGE-AWEZNQCLSA-N 0 0 437.478 -0.436 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(N2C(=O)CN(C)C2=O)c1 ZINC000531165425 1072837925 /nfs/dbraw/zinc/83/79/25/1072837925.db2.gz BIBLEQCTIUEFGE-CQSZACIVSA-N 0 0 437.478 -0.436 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)CC1=O ZINC000531167296 1072837940 /nfs/dbraw/zinc/83/79/40/1072837940.db2.gz DVNVHMGAFGLXSJ-UHFFFAOYSA-N 0 0 435.456 -0.274 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCO[C@@H](C)C2)cc1 ZINC000531167473 1072837885 /nfs/dbraw/zinc/83/78/85/1072837885.db2.gz NZBMJVVGVWDRCR-AWEZNQCLSA-N 0 0 428.511 -0.613 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCO[C@H](C)C2)cc1 ZINC000531167474 1072837906 /nfs/dbraw/zinc/83/79/06/1072837906.db2.gz NZBMJVVGVWDRCR-CQSZACIVSA-N 0 0 428.511 -0.613 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000531167692 1072838005 /nfs/dbraw/zinc/83/80/05/1072838005.db2.gz UKYMHRLESOLCCW-UHFFFAOYSA-N 0 0 432.433 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000531173204 1072838470 /nfs/dbraw/zinc/83/84/70/1072838470.db2.gz XGAROWBTUBCJLP-UHFFFAOYSA-N 0 0 430.508 -0.249 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)NCCNS(=O)(=O)Cc3ccon3)ccc21 ZINC000531173625 1072838657 /nfs/dbraw/zinc/83/86/57/1072838657.db2.gz JTNPHIXKDLENOT-UHFFFAOYSA-N 0 0 428.492 -0.154 20 0 IBADRN O=C(CCC(=O)N1CC(=O)Nc2ccccc21)NCCNS(=O)(=O)Cc1ccon1 ZINC000531173957 1072837869 /nfs/dbraw/zinc/83/78/69/1072837869.db2.gz KIJGHLCPYHTIHH-UHFFFAOYSA-N 0 0 435.462 -0.024 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)c1nc2ncc(Br)cn2n1 ZINC000531174036 1072837876 /nfs/dbraw/zinc/83/78/76/1072837876.db2.gz DXQGTDQMPJREJY-UHFFFAOYSA-N 0 0 430.244 -0.276 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000531174101 1072838021 /nfs/dbraw/zinc/83/80/21/1072838021.db2.gz OPSWUFOQEOUESQ-UHFFFAOYSA-N 0 0 434.434 -0.331 20 0 IBADRN COc1cccc(C[C@@H](C(=O)NCCNS(=O)(=O)Cc2ccon2)n2nnnc2C)c1 ZINC000531174139 1072838109 /nfs/dbraw/zinc/83/81/09/1072838109.db2.gz GLSKRQQYAHGZON-KRWDZBQOSA-N 0 0 449.493 -0.002 20 0 IBADRN COc1cccc(C[C@H](C(=O)NCCNS(=O)(=O)Cc2ccon2)n2nnnc2C)c1 ZINC000531174146 1072838691 /nfs/dbraw/zinc/83/86/91/1072838691.db2.gz GLSKRQQYAHGZON-QGZVFWFLSA-N 0 0 449.493 -0.002 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000531174322 1072838439 /nfs/dbraw/zinc/83/84/39/1072838439.db2.gz SIZWLWFPHWAWCC-UHFFFAOYSA-N 0 0 449.489 -0.250 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C2=O ZINC000531175101 1072838515 /nfs/dbraw/zinc/83/85/15/1072838515.db2.gz QCHNRLUNTIPRHT-UHFFFAOYSA-N 0 0 427.483 -0.289 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000531178100 1072838642 /nfs/dbraw/zinc/83/86/42/1072838642.db2.gz ORSPMCYSVOHZHA-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN CN(CC(=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1)S(C)(=O)=O ZINC000531189772 1072838563 /nfs/dbraw/zinc/83/85/63/1072838563.db2.gz BMNFOTSRMDSSAF-KRWDZBQOSA-N 0 0 436.534 -0.214 20 0 IBADRN CN(CC(=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1)S(C)(=O)=O ZINC000531189773 1072838453 /nfs/dbraw/zinc/83/84/53/1072838453.db2.gz BMNFOTSRMDSSAF-QGZVFWFLSA-N 0 0 436.534 -0.214 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC(NS(=O)(=O)c2cccs2)CC1 ZINC000531193407 1072838486 /nfs/dbraw/zinc/83/84/86/1072838486.db2.gz TUUPQMGNRQFUPK-UHFFFAOYSA-N 0 0 445.610 -0.240 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CC2)C1)c1cc(S(=O)(=O)N[C@H]2CC(=O)N(C3CC3)C2)c[nH]1 ZINC000531206691 1072838555 /nfs/dbraw/zinc/83/85/55/1072838555.db2.gz AXRBXGKFCLKADN-NEPJUHHUSA-N 0 0 435.506 -0.451 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CC2)C1)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N(C3CC3)C2)c[nH]1 ZINC000531206692 1072838503 /nfs/dbraw/zinc/83/85/03/1072838503.db2.gz AXRBXGKFCLKADN-NWDGAFQWSA-N 0 0 435.506 -0.451 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CC2)C1)c1cc(S(=O)(=O)N[C@H]2CC(=O)N(C3CC3)C2)c[nH]1 ZINC000531206693 1072838668 /nfs/dbraw/zinc/83/86/68/1072838668.db2.gz AXRBXGKFCLKADN-RYUDHWBXSA-N 0 0 435.506 -0.451 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CC2)C1)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N(C3CC3)C2)c[nH]1 ZINC000531206694 1072838679 /nfs/dbraw/zinc/83/86/79/1072838679.db2.gz AXRBXGKFCLKADN-VXGBXAGGSA-N 0 0 435.506 -0.451 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000531210020 1072838587 /nfs/dbraw/zinc/83/85/87/1072838587.db2.gz XLJNYEZZXIDJBC-SNVBAGLBSA-N 0 0 432.886 -0.450 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)C ZINC000531210241 1072838546 /nfs/dbraw/zinc/83/85/46/1072838546.db2.gz IGWDFHWZYDQMDU-LLVKDONJSA-N 0 0 430.458 -0.622 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)C(=O)N(C)C ZINC000531210974 1072838720 /nfs/dbraw/zinc/83/87/20/1072838720.db2.gz RFRFPCNBYRSUQK-CYBMUJFWSA-N 0 0 425.511 -0.846 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000531215023 1072839090 /nfs/dbraw/zinc/83/90/90/1072839090.db2.gz YIMNREMACGJYRD-CQSZACIVSA-N 0 0 442.476 -0.695 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000531215031 1072839137 /nfs/dbraw/zinc/83/91/37/1072839137.db2.gz BVRFVAQNFGOKTO-CQSZACIVSA-N 0 0 440.522 -0.592 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1OCC ZINC000531226772 1072839109 /nfs/dbraw/zinc/83/91/09/1072839109.db2.gz DQOFRVXXAODNLB-CYBMUJFWSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN3C(=O)NC[C@H]3C2)cc1OCC ZINC000531226777 1072839011 /nfs/dbraw/zinc/83/90/11/1072839011.db2.gz DQOFRVXXAODNLB-ZDUSSCGKSA-N 0 0 426.495 -0.002 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000531233295 1072839024 /nfs/dbraw/zinc/83/90/24/1072839024.db2.gz NOYKGGKWUBQPFV-UHFFFAOYSA-N 0 0 430.469 -0.758 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2cc3c(cc2Cl)CCC(=O)N3)CC1 ZINC000531251678 1072838933 /nfs/dbraw/zinc/83/89/33/1072838933.db2.gz NSQZOPAABHVBOX-UHFFFAOYSA-N 0 0 436.943 -0.181 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000531252775 1072839058 /nfs/dbraw/zinc/83/90/58/1072839058.db2.gz YMUKPKIKXLXRIR-UHFFFAOYSA-N 0 0 434.478 -0.191 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000531252833 1072839118 /nfs/dbraw/zinc/83/91/18/1072839118.db2.gz RROYMMBRALGCIN-UHFFFAOYSA-N 0 0 425.507 -0.098 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC)CC2)cc1OCC ZINC000531252841 1072839035 /nfs/dbraw/zinc/83/90/35/1072839035.db2.gz USNLECYBMZEKLU-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2nc(N3CCC[C@H](S(=O)(=O)NC)C3)ncc2C)C1 ZINC000531253339 1072839068 /nfs/dbraw/zinc/83/90/68/1072839068.db2.gz CVVKDGQIPBUTFP-CABCVRRESA-N 0 0 446.599 -0.179 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(c2ncc(C)c(N3CCC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000531253341 1072839581 /nfs/dbraw/zinc/83/95/81/1072839581.db2.gz CVVKDGQIPBUTFP-GJZGRUSLSA-N 0 0 446.599 -0.179 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2ncc(C)c(N3CCC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000531253343 1072839436 /nfs/dbraw/zinc/83/94/36/1072839436.db2.gz CVVKDGQIPBUTFP-HUUCEWRRSA-N 0 0 446.599 -0.179 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2ncc(C)c(N3CCC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000531253346 1072839564 /nfs/dbraw/zinc/83/95/64/1072839564.db2.gz CVVKDGQIPBUTFP-LSDHHAIUSA-N 0 0 446.599 -0.179 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1 ZINC000531255864 1072839540 /nfs/dbraw/zinc/83/95/40/1072839540.db2.gz REVKONCHKYPRCM-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(-c2ccccn2)c1)N1CCN(c2ncccn2)CC1 ZINC000531258947 1072839495 /nfs/dbraw/zinc/83/94/95/1072839495.db2.gz GBOQHMNAPBMTFB-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN COC[C@](C)(O)C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000531260043 1072839504 /nfs/dbraw/zinc/83/95/04/1072839504.db2.gz WNIDRDPNNOTLJJ-KRWDZBQOSA-N 0 0 447.897 -0.099 20 0 IBADRN COC[C@@](C)(O)C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000531260044 1072839471 /nfs/dbraw/zinc/83/94/71/1072839471.db2.gz WNIDRDPNNOTLJJ-QGZVFWFLSA-N 0 0 447.897 -0.099 20 0 IBADRN O=C(N[C@H]1CCN(c2ncccc2F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000531269809 1072839552 /nfs/dbraw/zinc/83/95/52/1072839552.db2.gz BQSRIQBCOOOOHH-HNNXBMFYSA-N 0 0 442.517 -0.296 20 0 IBADRN O=C(N[C@@H]1CCN(c2ncccc2F)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000531269810 1072839604 /nfs/dbraw/zinc/83/96/04/1072839604.db2.gz BQSRIQBCOOOOHH-OAHLLOKOSA-N 0 0 442.517 -0.296 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCN(c4c(F)cccc4F)C3=O)c2c(=O)n(C)c1=O ZINC000531277052 1072839511 /nfs/dbraw/zinc/83/95/11/1072839511.db2.gz CAGKPIWCPAEHHU-GFCCVEGCSA-N 0 0 432.387 -0.366 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCN(c4c(F)cccc4F)C3=O)c2c(=O)n(C)c1=O ZINC000531277062 1072839715 /nfs/dbraw/zinc/83/97/15/1072839715.db2.gz CAGKPIWCPAEHHU-LBPRGKRZSA-N 0 0 432.387 -0.366 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCN(c3c(F)cccc3F)C1=O)c(=O)n2C ZINC000531279303 1072839617 /nfs/dbraw/zinc/83/96/17/1072839617.db2.gz UURBLPKAGYBEIN-GFCCVEGCSA-N 0 0 432.387 -0.366 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCN(c3c(F)cccc3F)C1=O)c(=O)n2C ZINC000531279304 1072839485 /nfs/dbraw/zinc/83/94/85/1072839485.db2.gz UURBLPKAGYBEIN-LBPRGKRZSA-N 0 0 432.387 -0.366 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000531280127 1072839697 /nfs/dbraw/zinc/83/96/97/1072839697.db2.gz GKPIDPJHVBPUON-AWEZNQCLSA-N 0 0 430.509 -0.656 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000531280129 1072839458 /nfs/dbraw/zinc/83/94/58/1072839458.db2.gz GKPIDPJHVBPUON-CQSZACIVSA-N 0 0 430.509 -0.656 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC(=O)NCC(F)(F)F)cc1 ZINC000531286844 1072840846 /nfs/dbraw/zinc/84/08/46/1072840846.db2.gz FNXOSVMYGNQTHJ-UHFFFAOYSA-N 0 0 425.385 -0.064 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000531288156 1072840118 /nfs/dbraw/zinc/84/01/18/1072840118.db2.gz QTBUCIAUUOPPDG-UHFFFAOYSA-N 0 0 449.551 -0.024 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCN2CCN(c3ccccc3)CC2)c[nH]1 ZINC000531288625 1072840304 /nfs/dbraw/zinc/84/03/04/1072840304.db2.gz RRIXGKRKOSTTOU-UHFFFAOYSA-N 0 0 434.522 -0.670 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000531289016 1072840258 /nfs/dbraw/zinc/84/02/58/1072840258.db2.gz AUTJRYKKPYSNOZ-UHFFFAOYSA-N 0 0 444.491 -0.777 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000531289318 1072840006 /nfs/dbraw/zinc/84/00/06/1072840006.db2.gz LJTQTEWZZGIPJH-UHFFFAOYSA-N 0 0 448.567 -0.613 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@@H]1c1cccnc1 ZINC000531290385 1072839972 /nfs/dbraw/zinc/83/99/72/1072839972.db2.gz GUWPJRPDFJMRSY-AEFFLSMTSA-N 0 0 437.522 -0.678 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@H]1c1cccnc1 ZINC000531290389 1072840238 /nfs/dbraw/zinc/84/02/38/1072840238.db2.gz GUWPJRPDFJMRSY-FUHWJXTLSA-N 0 0 437.522 -0.678 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@H]1c1cccnc1 ZINC000531290394 1072840062 /nfs/dbraw/zinc/84/00/62/1072840062.db2.gz GUWPJRPDFJMRSY-SJLPKXTDSA-N 0 0 437.522 -0.678 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@@H]1c1cccnc1 ZINC000531290395 1072840291 /nfs/dbraw/zinc/84/02/91/1072840291.db2.gz GUWPJRPDFJMRSY-WMZOPIPTSA-N 0 0 437.522 -0.678 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c2ccccc2O1 ZINC000531290604 1072840183 /nfs/dbraw/zinc/84/01/83/1072840183.db2.gz FEHZEIBYMPLZRH-HNNXBMFYSA-N 0 0 427.479 -0.212 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c2ccccc2O1 ZINC000531290605 1072840017 /nfs/dbraw/zinc/84/00/17/1072840017.db2.gz FEHZEIBYMPLZRH-OAHLLOKOSA-N 0 0 427.479 -0.212 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@H](c2cccs2)N2CCOCC2)o1 ZINC000531291566 1072840033 /nfs/dbraw/zinc/84/00/33/1072840033.db2.gz ZOTLFDURTHQKED-GFCCVEGCSA-N 0 0 442.519 -0.092 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@@H](c2cccs2)N2CCOCC2)o1 ZINC000531291567 1072840147 /nfs/dbraw/zinc/84/01/47/1072840147.db2.gz ZOTLFDURTHQKED-LBPRGKRZSA-N 0 0 442.519 -0.092 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C3CC3)CC2)s1 ZINC000531292452 1072840960 /nfs/dbraw/zinc/84/09/60/1072840960.db2.gz RRGXJUBZRITQNM-UHFFFAOYSA-N 0 0 428.536 -0.257 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000531292713 1072840100 /nfs/dbraw/zinc/84/01/00/1072840100.db2.gz WNDJUCULQPTRTA-DOMZBBRYSA-N 0 0 444.535 -0.773 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000531292719 1072840075 /nfs/dbraw/zinc/84/00/75/1072840075.db2.gz WNDJUCULQPTRTA-IUODEOHRSA-N 0 0 444.535 -0.773 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000531292722 1072840917 /nfs/dbraw/zinc/84/09/17/1072840917.db2.gz WNDJUCULQPTRTA-SWLSCSKDSA-N 0 0 444.535 -0.773 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000531292724 1072840709 /nfs/dbraw/zinc/84/07/09/1072840709.db2.gz WNDJUCULQPTRTA-WFASDCNBSA-N 0 0 444.535 -0.773 20 0 IBADRN COC(=O)c1ccc(OCCNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000531293010 1072840193 /nfs/dbraw/zinc/84/01/93/1072840193.db2.gz ZCEUWHXGHFJIKL-UHFFFAOYSA-N 0 0 425.419 -0.361 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000531293062 1072840131 /nfs/dbraw/zinc/84/01/31/1072840131.db2.gz OXEOBMAMZMOFLU-UHFFFAOYSA-N 0 0 426.451 -0.800 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCN2C(=O)CCCC2=O)cc1 ZINC000531299034 1072840724 /nfs/dbraw/zinc/84/07/24/1072840724.db2.gz CPQRUBDRSWMAPR-UHFFFAOYSA-N 0 0 425.463 -0.203 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000531299669 1072840813 /nfs/dbraw/zinc/84/08/13/1072840813.db2.gz ZKIHAKNNWKLLPA-KBXCAEBGSA-N 0 0 449.533 -0.202 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000531299670 1072840740 /nfs/dbraw/zinc/84/07/40/1072840740.db2.gz ZKIHAKNNWKLLPA-KDOFPFPSSA-N 0 0 449.533 -0.202 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000531299671 1072840783 /nfs/dbraw/zinc/84/07/83/1072840783.db2.gz ZKIHAKNNWKLLPA-KSSFIOAISA-N 0 0 449.533 -0.202 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000531299672 1072840926 /nfs/dbraw/zinc/84/09/26/1072840926.db2.gz ZKIHAKNNWKLLPA-RDTXWAMCSA-N 0 0 449.533 -0.202 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H](c3nnc4ccccn43)C2)c[nH]1 ZINC000531299875 1072840628 /nfs/dbraw/zinc/84/06/28/1072840628.db2.gz LBDKDTLFSUZTKQ-GFCCVEGCSA-N 0 0 431.478 -0.159 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC[C@H](c3nnc4ccccn43)C2)c[nH]1 ZINC000531299876 1072840694 /nfs/dbraw/zinc/84/06/94/1072840694.db2.gz LBDKDTLFSUZTKQ-LBPRGKRZSA-N 0 0 431.478 -0.159 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000531300863 1072840648 /nfs/dbraw/zinc/84/06/48/1072840648.db2.gz VYPQRCWXARROJZ-UHFFFAOYSA-N 0 0 426.543 -0.367 20 0 IBADRN COC(=O)CN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CCOCC1 ZINC000531303784 1072840890 /nfs/dbraw/zinc/84/08/90/1072840890.db2.gz IGEIDXOYTHJNNU-CYBMUJFWSA-N 0 0 439.490 -0.133 20 0 IBADRN COC(=O)CN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CCOCC1 ZINC000531303785 1072840905 /nfs/dbraw/zinc/84/09/05/1072840905.db2.gz IGEIDXOYTHJNNU-ZDUSSCGKSA-N 0 0 439.490 -0.133 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000531308822 1072840580 /nfs/dbraw/zinc/84/05/80/1072840580.db2.gz ZINTUVKEHCLSQT-HNNXBMFYSA-N 0 0 428.493 -0.316 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000531308823 1072840872 /nfs/dbraw/zinc/84/08/72/1072840872.db2.gz ZINTUVKEHCLSQT-OAHLLOKOSA-N 0 0 428.493 -0.316 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000531316054 1072841286 /nfs/dbraw/zinc/84/12/86/1072841286.db2.gz DTZOYRXDEMPYBT-UHFFFAOYSA-N 0 0 438.554 -0.157 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)CC2)n1 ZINC000531319676 1072840950 /nfs/dbraw/zinc/84/09/50/1072840950.db2.gz CHILYXVVLCAOMT-UHFFFAOYSA-N 0 0 441.448 -0.287 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000531319744 1072840615 /nfs/dbraw/zinc/84/06/15/1072840615.db2.gz SBTOIVWUMMELHJ-AWEZNQCLSA-N 0 0 437.478 -0.783 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000531319745 1072840862 /nfs/dbraw/zinc/84/08/62/1072840862.db2.gz SBTOIVWUMMELHJ-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000531319902 1072841393 /nfs/dbraw/zinc/84/13/93/1072841393.db2.gz UEJJXNIQQPMUTF-UHFFFAOYSA-N 0 0 437.478 -0.829 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000531319925 1072841385 /nfs/dbraw/zinc/84/13/85/1072841385.db2.gz XSBIECPKMWBLJC-UHFFFAOYSA-N 0 0 425.449 -0.256 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000531321059 1072841262 /nfs/dbraw/zinc/84/12/62/1072841262.db2.gz RYNFOYVFVAYBBN-UHFFFAOYSA-N 0 0 443.460 -0.805 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)n(C(C)(C)C)n1 ZINC000531321376 1072841198 /nfs/dbraw/zinc/84/11/98/1072841198.db2.gz IYTQTWJVXJJHFF-UHFFFAOYSA-N 0 0 432.481 -0.295 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)CC1 ZINC000531324333 1072841419 /nfs/dbraw/zinc/84/14/19/1072841419.db2.gz OGPLGSJLHUUVEW-UHFFFAOYSA-N 0 0 436.556 -0.222 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)s2)CC1 ZINC000531329830 1072841247 /nfs/dbraw/zinc/84/12/47/1072841247.db2.gz XHLRVAPGZCBZMV-UHFFFAOYSA-N 0 0 439.581 -0.163 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)c(F)c1 ZINC000531333106 1072841407 /nfs/dbraw/zinc/84/14/07/1072841407.db2.gz MTWBWOFOLCCPML-UHFFFAOYSA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000531333379 1072841330 /nfs/dbraw/zinc/84/13/30/1072841330.db2.gz PAAVJWFJABYXOY-UHFFFAOYSA-N 0 0 437.565 -0.071 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC2)c(OC)c1OC ZINC000531335472 1072841356 /nfs/dbraw/zinc/84/13/56/1072841356.db2.gz ONQUYIHDCXGQCQ-GFCCVEGCSA-N 0 0 429.495 -0.066 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC2)c(OC)c1OC ZINC000531335473 1072841399 /nfs/dbraw/zinc/84/13/99/1072841399.db2.gz ONQUYIHDCXGQCQ-LBPRGKRZSA-N 0 0 429.495 -0.066 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CCNS(C)(=O)=O)CC2)c(OC)c1OC ZINC000531335498 1072841230 /nfs/dbraw/zinc/84/12/30/1072841230.db2.gz IEJBFKRHRMGNBT-UHFFFAOYSA-N 0 0 429.495 -0.064 20 0 IBADRN CN(C[C@H](O)COCc1ccccc1)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000531336981 1072841212 /nfs/dbraw/zinc/84/12/12/1072841212.db2.gz IKNRCXCBTMMJRS-INIZCTEOSA-N 0 0 429.477 -0.140 20 0 IBADRN CN(C[C@@H](O)COCc1ccccc1)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000531336982 1072841341 /nfs/dbraw/zinc/84/13/41/1072841341.db2.gz IKNRCXCBTMMJRS-MRXNPFEDSA-N 0 0 429.477 -0.140 20 0 IBADRN CC(C)OCCOC[C@@H](O)CN(C)C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000531337583 1072841278 /nfs/dbraw/zinc/84/12/78/1072841278.db2.gz UTNDKCCTYHTRDZ-AWEZNQCLSA-N 0 0 439.494 -0.028 20 0 IBADRN CC(C)OCCOC[C@H](O)CN(C)C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000531337584 1072841363 /nfs/dbraw/zinc/84/13/63/1072841363.db2.gz UTNDKCCTYHTRDZ-CQSZACIVSA-N 0 0 439.494 -0.028 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000531339982 1072841929 /nfs/dbraw/zinc/84/19/29/1072841929.db2.gz MRKJRGODBXLVBV-CABCVRRESA-N 0 0 444.510 -0.613 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000531339984 1072841780 /nfs/dbraw/zinc/84/17/80/1072841780.db2.gz MRKJRGODBXLVBV-GJZGRUSLSA-N 0 0 444.510 -0.613 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000531339985 1072841974 /nfs/dbraw/zinc/84/19/74/1072841974.db2.gz MRKJRGODBXLVBV-HUUCEWRRSA-N 0 0 444.510 -0.613 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000531339986 1072841922 /nfs/dbraw/zinc/84/19/22/1072841922.db2.gz MRKJRGODBXLVBV-LSDHHAIUSA-N 0 0 444.510 -0.613 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCCS(=O)(=O)CC2)CC1 ZINC000531340473 1072841711 /nfs/dbraw/zinc/84/17/11/1072841711.db2.gz HHALFVQKEXDBLR-UHFFFAOYSA-N 0 0 434.540 -0.755 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC1 ZINC000531346905 1072841725 /nfs/dbraw/zinc/84/17/25/1072841725.db2.gz XFZGBBVXHQPQNK-UHFFFAOYSA-N 0 0 442.604 -0.214 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)n1 ZINC000531347408 1072841895 /nfs/dbraw/zinc/84/18/95/1072841895.db2.gz STIHGKJNDLZCKY-CYBMUJFWSA-N 0 0 431.453 -0.188 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)n1 ZINC000531347409 1073306211 /nfs/dbraw/zinc/30/62/11/1073306211.db2.gz STIHGKJNDLZCKY-ZDUSSCGKSA-N 0 0 431.453 -0.188 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)o1 ZINC000531355826 1072841794 /nfs/dbraw/zinc/84/17/94/1072841794.db2.gz UIGSCTRULZSSDL-UHFFFAOYSA-N 0 0 427.435 -0.562 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)o1 ZINC000531355836 1072841947 /nfs/dbraw/zinc/84/19/47/1072841947.db2.gz YMGWJOGTOQEJFK-UHFFFAOYSA-N 0 0 437.496 -0.660 20 0 IBADRN Cc1nc2ccccc2n1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000531362445 1072841884 /nfs/dbraw/zinc/84/18/84/1072841884.db2.gz UABDAIJSKVOYOV-INIZCTEOSA-N 0 0 433.534 -0.208 20 0 IBADRN Cc1nc2ccccc2n1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000531362446 1072841941 /nfs/dbraw/zinc/84/19/41/1072841941.db2.gz UABDAIJSKVOYOV-MRXNPFEDSA-N 0 0 433.534 -0.208 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000531362598 1072841761 /nfs/dbraw/zinc/84/17/61/1072841761.db2.gz ZAGLGHPSCUUVCY-UHFFFAOYSA-N 0 0 428.449 -0.735 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000531364385 1072841832 /nfs/dbraw/zinc/84/18/32/1072841832.db2.gz XRBFCHJELWMQHR-UHFFFAOYSA-N 0 0 440.570 -0.647 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCO1 ZINC000531377152 1072841905 /nfs/dbraw/zinc/84/19/05/1072841905.db2.gz PFFLMIMQLNIOEP-INIZCTEOSA-N 0 0 434.493 -0.300 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCO1 ZINC000531377154 1072841956 /nfs/dbraw/zinc/84/19/56/1072841956.db2.gz PFFLMIMQLNIOEP-MRXNPFEDSA-N 0 0 434.493 -0.300 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3ccnc(N(C)C)n3)CC2)c1 ZINC000531381339 1072841807 /nfs/dbraw/zinc/84/18/07/1072841807.db2.gz NXSSEHNXLBQPOM-UHFFFAOYSA-N 0 0 440.551 -0.038 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c(F)c1 ZINC000531397181 1072842307 /nfs/dbraw/zinc/84/23/07/1072842307.db2.gz JDOUJPXUNKQLDE-UHFFFAOYSA-N 0 0 434.424 -0.156 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000531397784 1072842232 /nfs/dbraw/zinc/84/22/32/1072842232.db2.gz QSMGXIVOCJCPMU-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000531397785 1072842277 /nfs/dbraw/zinc/84/22/77/1072842277.db2.gz QSMGXIVOCJCPMU-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)c(C)c2)CC1 ZINC000531398439 1071427870 /nfs/dbraw/zinc/42/78/70/1071427870.db2.gz WQTTYZQRIDYEFU-UHFFFAOYSA-N 0 0 428.497 -0.078 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)CC1 ZINC000531398567 1074360085 /nfs/dbraw/zinc/36/00/85/1074360085.db2.gz NCDZPWSDEVQYGH-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnc3c(cnn3C(C)C)c2)CC1 ZINC000531398615 1072842214 /nfs/dbraw/zinc/84/22/14/1072842214.db2.gz YIFWZLYBPJKOQB-UHFFFAOYSA-N 0 0 431.497 -0.143 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000531398668 1072842294 /nfs/dbraw/zinc/84/22/94/1072842294.db2.gz SWWFTKIHQYLDQN-GOSISDBHSA-N 0 0 446.504 -0.034 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000531398669 1072842335 /nfs/dbraw/zinc/84/23/35/1072842335.db2.gz SWWFTKIHQYLDQN-SFHVURJKSA-N 0 0 446.504 -0.034 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCOCC3)c2)CC1 ZINC000531398724 1072842168 /nfs/dbraw/zinc/84/21/68/1072842168.db2.gz PLEXVRUFDLGBHC-UHFFFAOYSA-N 0 0 433.509 -0.632 20 0 IBADRN CC(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000531399471 1072842185 /nfs/dbraw/zinc/84/21/85/1072842185.db2.gz VWUFCYQKXBJZEN-UHFFFAOYSA-N 0 0 449.493 -0.835 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000531399744 1071427833 /nfs/dbraw/zinc/42/78/33/1071427833.db2.gz GGPLIOXXIFOFON-UHFFFAOYSA-N 0 0 431.497 -0.438 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000531399769 1072842138 /nfs/dbraw/zinc/84/21/38/1072842138.db2.gz MSQPDNBCIWKZQN-KBXCAEBGSA-N 0 0 444.488 -0.235 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000531399770 1072842242 /nfs/dbraw/zinc/84/22/42/1072842242.db2.gz MSQPDNBCIWKZQN-KDOFPFPSSA-N 0 0 444.488 -0.235 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000531399771 1072842761 /nfs/dbraw/zinc/84/27/61/1072842761.db2.gz MSQPDNBCIWKZQN-KSSFIOAISA-N 0 0 444.488 -0.235 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000531399772 1072842533 /nfs/dbraw/zinc/84/25/33/1072842533.db2.gz MSQPDNBCIWKZQN-RDTXWAMCSA-N 0 0 444.488 -0.235 20 0 IBADRN Cc1noc(-c2ccc(C)c(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)n1 ZINC000531399872 1072842261 /nfs/dbraw/zinc/84/22/61/1072842261.db2.gz XVHIYVUBVJTFFU-UHFFFAOYSA-N 0 0 439.436 -0.351 20 0 IBADRN CC(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000531403975 1072842121 /nfs/dbraw/zinc/84/21/21/1072842121.db2.gz MBBFECMUAMMFIX-UHFFFAOYSA-N 0 0 446.551 -0.760 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000531405449 1072842287 /nfs/dbraw/zinc/84/22/87/1072842287.db2.gz XECRBPWKERTICR-AWEZNQCLSA-N 0 0 444.535 -0.394 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000531405450 1072842271 /nfs/dbraw/zinc/84/22/71/1072842271.db2.gz XECRBPWKERTICR-CQSZACIVSA-N 0 0 444.535 -0.394 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)CC1=O ZINC000531405635 1072842197 /nfs/dbraw/zinc/84/21/97/1072842197.db2.gz MJEIPUYMOUMRGK-UHFFFAOYSA-N 0 0 427.461 -0.261 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC1=O ZINC000531406009 1072842282 /nfs/dbraw/zinc/84/22/82/1072842282.db2.gz ZOPYCMJBRKFZAR-UHFFFAOYSA-N 0 0 431.493 -0.401 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(N4C(=O)CN(C)C4=O)c3)CC2=O)cn1 ZINC000531419330 1072842832 /nfs/dbraw/zinc/84/28/32/1072842832.db2.gz ZDOYIKHFBBQUJO-UHFFFAOYSA-N 0 0 439.432 -0.368 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)CC2=O)cn1 ZINC000531419429 1072842743 /nfs/dbraw/zinc/84/27/43/1072842743.db2.gz DPKXLXVCDSIITH-UHFFFAOYSA-N 0 0 439.432 -0.714 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000531428399 1072842775 /nfs/dbraw/zinc/84/27/75/1072842775.db2.gz BGUALKVICABUSR-GOSISDBHSA-N 0 0 443.504 -0.853 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000531428400 1072842587 /nfs/dbraw/zinc/84/25/87/1072842587.db2.gz BGUALKVICABUSR-SFHVURJKSA-N 0 0 443.504 -0.853 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)C(=O)N(C)C ZINC000531440977 1072842678 /nfs/dbraw/zinc/84/26/78/1072842678.db2.gz PBGTYTAZLQWEDG-GFCCVEGCSA-N 0 0 439.494 -0.490 20 0 IBADRN O=C1NC[C@@H]2CN(c3cc(C(F)(F)F)nc(N4CCN5C(=O)NC[C@@H]5C4)n3)CCN12 ZINC000531440993 1073377985 /nfs/dbraw/zinc/37/79/85/1073377985.db2.gz HADDHHRRTFPMBS-GHMZBOCLSA-N 0 0 426.403 -0.077 20 0 IBADRN O=C1NC[C@@H]2CN(c3cc(C(F)(F)F)nc(N4CCN5C(=O)NC[C@H]5C4)n3)CCN12 ZINC000531441004 1073377812 /nfs/dbraw/zinc/37/78/12/1073377812.db2.gz HADDHHRRTFPMBS-MNOVXSKESA-N 0 0 426.403 -0.077 20 0 IBADRN O=C1NC[C@H]2CN(c3cc(C(F)(F)F)nc(N4CCN5C(=O)NC[C@H]5C4)n3)CCN12 ZINC000531441005 1073377912 /nfs/dbraw/zinc/37/79/12/1073377912.db2.gz HADDHHRRTFPMBS-QWRGUYRKSA-N 0 0 426.403 -0.077 20 0 IBADRN O=C1NC[C@@H]2CN(c3nc(N4CCN5C(=O)NC[C@H]5C4)cc(C(F)(F)F)n3)CCN12 ZINC000531441006 1073378067 /nfs/dbraw/zinc/37/80/67/1073378067.db2.gz HADDHHRRTFPMBS-WDEREUQCSA-N 0 0 426.403 -0.077 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000531441263 1072842813 /nfs/dbraw/zinc/84/28/13/1072842813.db2.gz GJWUZBBJUPFRJH-UHFFFAOYSA-N 0 0 431.493 -0.166 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)C(=O)N(C)C ZINC000531447778 1072842853 /nfs/dbraw/zinc/84/28/53/1072842853.db2.gz RQJHINCQIHPJJC-CYBMUJFWSA-N 0 0 425.511 -0.846 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1)C(=O)N(C)C ZINC000531448121 1072842626 /nfs/dbraw/zinc/84/26/26/1072842626.db2.gz MUVZVJNZEQIZKL-CQSZACIVSA-N 0 0 439.538 -0.456 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2OC)C1 ZINC000531449465 1072842806 /nfs/dbraw/zinc/84/28/06/1072842806.db2.gz IYCGZLUJZXKBOO-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2OC)C1 ZINC000531449467 1072842723 /nfs/dbraw/zinc/84/27/23/1072842723.db2.gz IYCGZLUJZXKBOO-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000531453687 1071442321 /nfs/dbraw/zinc/44/23/21/1071442321.db2.gz QCVBMJAJCVEQSM-CYBMUJFWSA-N 0 0 437.522 -0.223 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCOCC1 ZINC000531461478 1072842551 /nfs/dbraw/zinc/84/25/51/1072842551.db2.gz BJEWJDSSGFUXQR-HNNXBMFYSA-N 0 0 431.449 -0.570 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCOCC1 ZINC000531461485 1072843295 /nfs/dbraw/zinc/84/32/95/1072843295.db2.gz BJEWJDSSGFUXQR-OAHLLOKOSA-N 0 0 431.449 -0.570 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000531462277 1072842792 /nfs/dbraw/zinc/84/27/92/1072842792.db2.gz NZZGJFAEFOYFOL-GXTWGEPZSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000531462284 1072842841 /nfs/dbraw/zinc/84/28/41/1072842841.db2.gz NZZGJFAEFOYFOL-JSGCOSHPSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000531462285 1072842849 /nfs/dbraw/zinc/84/28/49/1072842849.db2.gz NZZGJFAEFOYFOL-OCCSQVGLSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000531462288 1072842876 /nfs/dbraw/zinc/84/28/76/1072842876.db2.gz NZZGJFAEFOYFOL-TZMCWYRMSA-N 0 0 429.520 -0.041 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H](C)C(=O)N(C)C)c1OC ZINC000531466817 1072843252 /nfs/dbraw/zinc/84/32/52/1072843252.db2.gz ZBGOTOKRXAEFSU-GFCCVEGCSA-N 0 0 429.495 -0.069 20 0 IBADRN CN(C)c1nccc(N2CCN(c3ccc(S(N)(=O)=O)cc3S(C)(=O)=O)CC2)n1 ZINC000531485766 1072843766 /nfs/dbraw/zinc/84/37/66/1072843766.db2.gz QOQWEBLEBURLNA-UHFFFAOYSA-N 0 0 440.551 -0.080 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000531488596 1072843360 /nfs/dbraw/zinc/84/33/60/1072843360.db2.gz HGAUOYNKSIGDDZ-UHFFFAOYSA-N 0 0 432.446 -0.066 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000531488790 1072843313 /nfs/dbraw/zinc/84/33/13/1072843313.db2.gz PMKWPDIBJOECAE-UHFFFAOYSA-N 0 0 431.584 -0.780 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCn3c(Cc4ccccc4)nnc3C2)CC1 ZINC000531489701 1072843329 /nfs/dbraw/zinc/84/33/29/1072843329.db2.gz ZQXTWDFYWPSKTK-UHFFFAOYSA-N 0 0 440.551 -0.494 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000531491370 1072843353 /nfs/dbraw/zinc/84/33/53/1072843353.db2.gz KQEVZRGBQAEGGL-AWEZNQCLSA-N 0 0 425.463 -0.206 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000531491371 1072843216 /nfs/dbraw/zinc/84/32/16/1072843216.db2.gz KQEVZRGBQAEGGL-CQSZACIVSA-N 0 0 425.463 -0.206 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000531493074 1072843338 /nfs/dbraw/zinc/84/33/38/1072843338.db2.gz YHNXVSJTFZQKTA-CYBMUJFWSA-N 0 0 430.508 -0.542 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000531493075 1072843303 /nfs/dbraw/zinc/84/33/03/1072843303.db2.gz YHNXVSJTFZQKTA-ZDUSSCGKSA-N 0 0 430.508 -0.542 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)Cc2nnc3n2CCC3)CC1 ZINC000531493950 1072843198 /nfs/dbraw/zinc/84/31/98/1072843198.db2.gz JWWBRMGGVYWKAS-UHFFFAOYSA-N 0 0 437.526 -0.206 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1 ZINC000531494668 1072843374 /nfs/dbraw/zinc/84/33/74/1072843374.db2.gz FVMHTVBJSUZNFS-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1 ZINC000531494672 1072843113 /nfs/dbraw/zinc/84/31/13/1072843113.db2.gz FVMHTVBJSUZNFS-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1 ZINC000531494817 1072843090 /nfs/dbraw/zinc/84/30/90/1072843090.db2.gz WJNYKLYCVQCAIC-INIZCTEOSA-N 0 0 441.492 -0.403 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1 ZINC000531494818 1072843284 /nfs/dbraw/zinc/84/32/84/1072843284.db2.gz WJNYKLYCVQCAIC-MRXNPFEDSA-N 0 0 441.492 -0.403 20 0 IBADRN O=C(Nc1cnn(-c2ccccn2)c1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000531494890 1072843368 /nfs/dbraw/zinc/84/33/68/1072843368.db2.gz HTAAHZUDOALPJU-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1 ZINC000531494918 1072843169 /nfs/dbraw/zinc/84/31/69/1072843169.db2.gz MHYYFSUDSCNQHD-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)n1 ZINC000531496376 1073303456 /nfs/dbraw/zinc/30/34/56/1073303456.db2.gz ACQNBVVIBLYYMR-UHFFFAOYSA-N 0 0 427.509 -0.152 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)C1 ZINC000531497966 1072843145 /nfs/dbraw/zinc/84/31/45/1072843145.db2.gz BKWLNTYNMNGNQN-JTQLQIEISA-N 0 0 435.300 -0.374 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)C1 ZINC000531497967 1072843610 /nfs/dbraw/zinc/84/36/10/1072843610.db2.gz BKWLNTYNMNGNQN-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOC1 ZINC000531517628 1072843641 /nfs/dbraw/zinc/84/36/41/1072843641.db2.gz AQAAXFCOCNFGJZ-GOSISDBHSA-N 0 0 428.463 -0.035 20 0 IBADRN COC(=O)[C@]1(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOC1 ZINC000531517629 1072843833 /nfs/dbraw/zinc/84/38/33/1072843833.db2.gz AQAAXFCOCNFGJZ-SFHVURJKSA-N 0 0 428.463 -0.035 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1O ZINC000531519009 1072843674 /nfs/dbraw/zinc/84/36/74/1072843674.db2.gz PLWHOYHPFYVARA-LLVKDONJSA-N 0 0 443.507 -0.029 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1O ZINC000531519010 1072843732 /nfs/dbraw/zinc/84/37/32/1072843732.db2.gz PLWHOYHPFYVARA-NSHDSACASA-N 0 0 443.507 -0.029 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)c1 ZINC000531523585 1072843756 /nfs/dbraw/zinc/84/37/56/1072843756.db2.gz BEBIDJOYQCCKAF-UHFFFAOYSA-N 0 0 440.507 -0.192 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)c1 ZINC000531523662 1072843838 /nfs/dbraw/zinc/84/38/38/1072843838.db2.gz PGMVSBZTQHXYNS-UHFFFAOYSA-N 0 0 434.522 -0.082 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)c1 ZINC000531523835 1072843621 /nfs/dbraw/zinc/84/36/21/1072843621.db2.gz XRMCDSXCXFKYBP-UHFFFAOYSA-N 0 0 438.485 -0.380 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cccc(CC(=O)N3CCN(C)CC3)c2)c1 ZINC000531524155 1072843804 /nfs/dbraw/zinc/84/38/04/1072843804.db2.gz SEDOSITVUQKEDC-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN CN(CC(=O)Nc1ccc(Br)cn1)C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000531524289 1072843825 /nfs/dbraw/zinc/84/38/25/1072843825.db2.gz DLTALNHNZRCNEX-UHFFFAOYSA-N 0 0 445.299 -0.047 20 0 IBADRN C[C@@]1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCCO1 ZINC000531527016 1072843740 /nfs/dbraw/zinc/84/37/40/1072843740.db2.gz FOCOGGQMBPJQIG-KRWDZBQOSA-N 0 0 446.551 -0.081 20 0 IBADRN C[C@]1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCCO1 ZINC000531527018 1072843655 /nfs/dbraw/zinc/84/36/55/1072843655.db2.gz FOCOGGQMBPJQIG-QGZVFWFLSA-N 0 0 446.551 -0.081 20 0 IBADRN Cn1cnc(CCNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)n1 ZINC000531527530 1072843696 /nfs/dbraw/zinc/84/36/96/1072843696.db2.gz TUDSRTCMPAWOPA-UHFFFAOYSA-N 0 0 433.494 -0.432 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CCn1nc2ccccn2c1=O ZINC000531530089 1072843721 /nfs/dbraw/zinc/84/37/21/1072843721.db2.gz CGSOCKRVCXDDIM-UHFFFAOYSA-N 0 0 433.490 -0.185 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)cnc2n(C)c1=O ZINC000531530696 1072844401 /nfs/dbraw/zinc/84/44/01/1072844401.db2.gz CTBVFUFRQLHKNG-LLVKDONJSA-N 0 0 441.423 -0.500 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)cnc2n(C)c1=O ZINC000531530698 1072844199 /nfs/dbraw/zinc/84/41/99/1072844199.db2.gz CTBVFUFRQLHKNG-NSHDSACASA-N 0 0 441.423 -0.500 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CC1 ZINC000531530787 1072844152 /nfs/dbraw/zinc/84/41/52/1072844152.db2.gz IIQSPZHLSGNCOS-HNNXBMFYSA-N 0 0 431.493 -0.356 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CC1 ZINC000531530792 1072843856 /nfs/dbraw/zinc/84/38/56/1072843856.db2.gz IIQSPZHLSGNCOS-OAHLLOKOSA-N 0 0 431.493 -0.356 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)c1 ZINC000531531403 1072843788 /nfs/dbraw/zinc/84/37/88/1072843788.db2.gz PNBVHXWQMAEVIF-UHFFFAOYSA-N 0 0 439.469 -0.413 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2ccc(S(=O)(=O)N3CCCC3)cc2)c1 ZINC000531531514 1072844212 /nfs/dbraw/zinc/84/42/12/1072844212.db2.gz OPLGQFUSDAOFGE-UHFFFAOYSA-N 0 0 441.535 -0.201 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@@H](C(N)=O)c2cccc(Br)c2)c1 ZINC000531531914 1072844122 /nfs/dbraw/zinc/84/41/22/1072844122.db2.gz BYUBMNXJNDETEK-CYBMUJFWSA-N 0 0 430.284 -0.196 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@H](C(N)=O)c2cccc(Br)c2)c1 ZINC000531531915 1072844136 /nfs/dbraw/zinc/84/41/36/1072844136.db2.gz BYUBMNXJNDETEK-ZDUSSCGKSA-N 0 0 430.284 -0.196 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)c1 ZINC000531532360 1072844232 /nfs/dbraw/zinc/84/42/32/1072844232.db2.gz XUFYGJCBZGVGDN-UHFFFAOYSA-N 0 0 427.508 -0.428 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)cc1 ZINC000531534080 1072844311 /nfs/dbraw/zinc/84/43/11/1072844311.db2.gz IGRTYZMOSWQVOV-UHFFFAOYSA-N 0 0 435.506 -0.243 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)c1 ZINC000531534090 1072844394 /nfs/dbraw/zinc/84/43/94/1072844394.db2.gz JYEGOKVAGTUBOL-UHFFFAOYSA-N 0 0 445.509 -0.982 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)sc1S(N)(=O)=O ZINC000531534193 1072844273 /nfs/dbraw/zinc/84/42/73/1072844273.db2.gz HCMDNJWXWMDUGS-JTQLQIEISA-N 0 0 441.553 -0.378 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)sc1S(N)(=O)=O ZINC000531534194 1072844362 /nfs/dbraw/zinc/84/43/62/1072844362.db2.gz HCMDNJWXWMDUGS-SNVBAGLBSA-N 0 0 441.553 -0.378 20 0 IBADRN COC(=O)CN(Cc1cc(OC)cc(OC)c1)C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000531534484 1072844257 /nfs/dbraw/zinc/84/42/57/1072844257.db2.gz MYKROSISXFRFDK-UHFFFAOYSA-N 0 0 440.478 -0.083 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1)C1CCCCC1 ZINC000531534566 1072844099 /nfs/dbraw/zinc/84/40/99/1072844099.db2.gz RUXYIGBRPXPNKW-UHFFFAOYSA-N 0 0 440.570 -0.366 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000531555327 1072844323 /nfs/dbraw/zinc/84/43/23/1072844323.db2.gz JSSXSUCNCQCZAF-UHFFFAOYSA-N 0 0 433.552 -0.384 20 0 IBADRN O=C(c1ccc2nnnn2c1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000531556337 1072844292 /nfs/dbraw/zinc/84/42/92/1072844292.db2.gz JJOAREMXBDWSFI-UHFFFAOYSA-N 0 0 428.434 -0.147 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H](C)C2)CC1 ZINC000531557430 1072844409 /nfs/dbraw/zinc/84/44/09/1072844409.db2.gz LYIOJJGKFHXCTQ-DLBZAZTESA-N 0 0 432.587 -0.219 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000531557434 1072844373 /nfs/dbraw/zinc/84/43/73/1072844373.db2.gz LYIOJJGKFHXCTQ-IAGOWNOFSA-N 0 0 432.587 -0.219 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H](C)C2)CC1 ZINC000531557435 1072844345 /nfs/dbraw/zinc/84/43/45/1072844345.db2.gz LYIOJJGKFHXCTQ-IRXDYDNUSA-N 0 0 432.587 -0.219 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000531557437 1072844710 /nfs/dbraw/zinc/84/47/10/1072844710.db2.gz LYIOJJGKFHXCTQ-SJORKVTESA-N 0 0 432.587 -0.219 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2cc(OC)cc(OC)c2)CC1 ZINC000531557559 1072844725 /nfs/dbraw/zinc/84/47/25/1072844725.db2.gz UQZCMCZLFHJALB-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000531558584 1072844760 /nfs/dbraw/zinc/84/47/60/1072844760.db2.gz JCBYZKYCUQSOOO-UHFFFAOYSA-N 0 0 426.539 -0.103 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000531559525 1072844875 /nfs/dbraw/zinc/84/48/75/1072844875.db2.gz UKEAFKSWDSHRJO-GOSISDBHSA-N 0 0 438.550 -0.108 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000531559526 1072844747 /nfs/dbraw/zinc/84/47/47/1072844747.db2.gz UKEAFKSWDSHRJO-SFHVURJKSA-N 0 0 438.550 -0.108 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000531562456 1072844838 /nfs/dbraw/zinc/84/48/38/1072844838.db2.gz YNVDOIOZBSRKQD-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)c1ccc2nnnn2c1 ZINC000531563432 1072844867 /nfs/dbraw/zinc/84/48/67/1072844867.db2.gz JIEZUZSZHQFIKB-CYBMUJFWSA-N 0 0 436.475 -0.158 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)c1ccc2nnnn2c1 ZINC000531563433 1072844813 /nfs/dbraw/zinc/84/48/13/1072844813.db2.gz JIEZUZSZHQFIKB-ZDUSSCGKSA-N 0 0 436.475 -0.158 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000531563630 1072844858 /nfs/dbraw/zinc/84/48/58/1072844858.db2.gz GNHIBJUMZYCNHU-UHFFFAOYSA-N 0 0 432.587 -0.219 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1ccc2c(c1)CCS2(=O)=O ZINC000531568039 1072844666 /nfs/dbraw/zinc/84/46/66/1072844666.db2.gz SMGGVASDYPPCQE-UHFFFAOYSA-N 0 0 431.536 -0.533 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000531570693 1072844822 /nfs/dbraw/zinc/84/48/22/1072844822.db2.gz NJJLOWGQTVWFKI-GFCCVEGCSA-N 0 0 433.508 -0.288 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000531570694 1072844833 /nfs/dbraw/zinc/84/48/33/1072844833.db2.gz NJJLOWGQTVWFKI-LBPRGKRZSA-N 0 0 433.508 -0.288 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCCN(Cc2nc([C@H](C)OC)no2)CC1 ZINC000531570719 1072844828 /nfs/dbraw/zinc/84/48/28/1072844828.db2.gz MSLHUJBSPAFPOH-AWEZNQCLSA-N 0 0 433.531 -0.233 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCCN(Cc2nc([C@@H](C)OC)no2)CC1 ZINC000531570725 1072844650 /nfs/dbraw/zinc/84/46/50/1072844650.db2.gz MSLHUJBSPAFPOH-CQSZACIVSA-N 0 0 433.531 -0.233 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000531575791 1072844630 /nfs/dbraw/zinc/84/46/30/1072844630.db2.gz WQCXZWSYQZPDHQ-UHFFFAOYSA-N 0 0 431.449 -0.116 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccn(Cc3ccccc3)n2)CC1 ZINC000531576682 1073333650 /nfs/dbraw/zinc/33/36/50/1073333650.db2.gz QVUJPYFNTSLRTB-UHFFFAOYSA-N 0 0 428.493 -0.223 20 0 IBADRN Cn1cc(C[C@@H]2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)cn1 ZINC000531577092 1074360393 /nfs/dbraw/zinc/36/03/93/1074360393.db2.gz MDWRBQBWBXZKOV-HNNXBMFYSA-N 0 0 429.481 -0.495 20 0 IBADRN Cn1cc(C[C@H]2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)cn1 ZINC000531577093 1074360460 /nfs/dbraw/zinc/36/04/60/1074360460.db2.gz MDWRBQBWBXZKOV-OAHLLOKOSA-N 0 0 429.481 -0.495 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(CC(N)=O)CC2)cc1Br ZINC000531585099 1072845384 /nfs/dbraw/zinc/84/53/84/1072845384.db2.gz AAUCPUMCGONQBR-UHFFFAOYSA-N 0 0 433.328 -0.058 20 0 IBADRN CN(CC(=O)N1CCN(CC(N)=O)CC1)S(=O)(=O)c1ccc(Br)cc1 ZINC000531585355 1072845306 /nfs/dbraw/zinc/84/53/06/1072845306.db2.gz WLHQTQUHTOXDSJ-UHFFFAOYSA-N 0 0 433.328 -0.301 20 0 IBADRN NC(=O)CN1CCN(C(=O)CNC(=O)c2ccccc2I)CC1 ZINC000531585395 1072845267 /nfs/dbraw/zinc/84/52/67/1072845267.db2.gz BXZJRFUVBSMQFO-UHFFFAOYSA-N 0 0 430.246 -0.350 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(CC(N)=O)CC2)c1 ZINC000531585471 1072845231 /nfs/dbraw/zinc/84/52/31/1072845231.db2.gz QMIJEUVPGIXXHV-UHFFFAOYSA-N 0 0 439.538 -0.983 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000531587433 1072845197 /nfs/dbraw/zinc/84/51/97/1072845197.db2.gz LBFSMNKGRPCPEH-HNNXBMFYSA-N 0 0 431.536 -0.075 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000531587434 1072845185 /nfs/dbraw/zinc/84/51/85/1072845185.db2.gz LBFSMNKGRPCPEH-OAHLLOKOSA-N 0 0 431.536 -0.075 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)[C@H]1CCS(=O)(=O)C1 ZINC000531587956 1072844693 /nfs/dbraw/zinc/84/46/93/1072844693.db2.gz BZHQNRLQTWXBPM-HNNXBMFYSA-N 0 0 432.520 -0.343 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)[C@@H]1CCS(=O)(=O)C1 ZINC000531587963 1072844794 /nfs/dbraw/zinc/84/47/94/1072844794.db2.gz BZHQNRLQTWXBPM-OAHLLOKOSA-N 0 0 432.520 -0.343 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000531588449 1072844803 /nfs/dbraw/zinc/84/48/03/1072844803.db2.gz PEBXCAQHCGRLJJ-UHFFFAOYSA-N 0 0 445.501 -0.162 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000531588666 1072845417 /nfs/dbraw/zinc/84/54/17/1072845417.db2.gz BGHLDSUIMLGBKF-UHFFFAOYSA-N 0 0 447.583 -0.481 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000531589118 1072845429 /nfs/dbraw/zinc/84/54/29/1072845429.db2.gz WSHVCHJMZQWBHM-UHFFFAOYSA-N 0 0 441.506 -0.671 20 0 IBADRN CN1CCN([C@@H](CNC(=O)CN2CCN(S(C)(=O)=O)CC2)c2cccs2)CC1 ZINC000531589236 1072845442 /nfs/dbraw/zinc/84/54/42/1072845442.db2.gz RLZLQGXVOMADHJ-INIZCTEOSA-N 0 0 429.612 -0.270 20 0 IBADRN CN1CCN([C@H](CNC(=O)CN2CCN(S(C)(=O)=O)CC2)c2cccs2)CC1 ZINC000531589237 1072845333 /nfs/dbraw/zinc/84/53/33/1072845333.db2.gz RLZLQGXVOMADHJ-MRXNPFEDSA-N 0 0 429.612 -0.270 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)NCCS(=O)(=O)NCC1CCC1 ZINC000531589317 1072845294 /nfs/dbraw/zinc/84/52/94/1072845294.db2.gz KODSVWPRCIDMED-UHFFFAOYSA-N 0 0 431.536 -0.169 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000531589382 1073320808 /nfs/dbraw/zinc/32/08/08/1073320808.db2.gz ZARFXVYXVHDMJA-UHFFFAOYSA-N 0 0 449.489 -0.311 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000531592270 1072845212 /nfs/dbraw/zinc/84/52/12/1072845212.db2.gz GMGJLHMDHNGEKI-KRWDZBQOSA-N 0 0 429.587 -0.891 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000531592271 1072845152 /nfs/dbraw/zinc/84/51/52/1072845152.db2.gz GMGJLHMDHNGEKI-QGZVFWFLSA-N 0 0 429.587 -0.891 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CC1 ZINC000531592577 1072845366 /nfs/dbraw/zinc/84/53/66/1072845366.db2.gz BHLVVYGXSVZHHY-UHFFFAOYSA-N 0 0 429.587 -0.890 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(N)=O)CC2)cc1OCC ZINC000531592847 1072845401 /nfs/dbraw/zinc/84/54/01/1072845401.db2.gz AKQHHUGHSNIWLC-UHFFFAOYSA-N 0 0 428.511 -0.608 20 0 IBADRN NC(=O)CN1CCN(C(=O)C2CCN(c3ccc4nnc(C(F)(F)F)n4n3)CC2)CC1 ZINC000531593175 1072845320 /nfs/dbraw/zinc/84/53/20/1072845320.db2.gz WHVXLMMVNFCELM-UHFFFAOYSA-N 0 0 440.430 -0.011 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1OCC ZINC000531595477 1072845815 /nfs/dbraw/zinc/84/58/15/1072845815.db2.gz IRWUSIPCRGJCDA-DOMZBBRYSA-N 0 0 429.495 -0.089 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1OCC ZINC000531595484 1072846022 /nfs/dbraw/zinc/84/60/22/1072846022.db2.gz IRWUSIPCRGJCDA-IUODEOHRSA-N 0 0 429.495 -0.089 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1OCC ZINC000531595486 1072845989 /nfs/dbraw/zinc/84/59/89/1072845989.db2.gz IRWUSIPCRGJCDA-SWLSCSKDSA-N 0 0 429.495 -0.089 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1OCC ZINC000531595490 1072845840 /nfs/dbraw/zinc/84/58/40/1072845840.db2.gz IRWUSIPCRGJCDA-WFASDCNBSA-N 0 0 429.495 -0.089 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2cccc(O)c2)CC1 ZINC000531601421 1072845808 /nfs/dbraw/zinc/84/58/08/1072845808.db2.gz BCVMCVCPSZZBSP-UHFFFAOYSA-N 0 0 440.522 -0.489 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1cccs1 ZINC000531605376 1072845473 /nfs/dbraw/zinc/84/54/73/1072845473.db2.gz DXALKQULVXASNB-AWEZNQCLSA-N 0 0 438.531 -0.255 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1cccs1 ZINC000531605377 1072845243 /nfs/dbraw/zinc/84/52/43/1072845243.db2.gz DXALKQULVXASNB-CQSZACIVSA-N 0 0 438.531 -0.255 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC000531618532 1072845874 /nfs/dbraw/zinc/84/58/74/1072845874.db2.gz BHVOOISVSRKXOC-UHFFFAOYSA-N 0 0 438.550 -0.215 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000531618665 1072845907 /nfs/dbraw/zinc/84/59/07/1072845907.db2.gz UCXRTZPNQRVANC-UHFFFAOYSA-N 0 0 444.492 -0.701 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2cccc(N3C(=O)CNC3=O)c2)CC1)N1CCOCC1 ZINC000531629011 1072845894 /nfs/dbraw/zinc/84/58/94/1072845894.db2.gz JQCOJPCBIXBYHD-UHFFFAOYSA-N 0 0 430.465 -0.249 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000531632444 1072845862 /nfs/dbraw/zinc/84/58/62/1072845862.db2.gz KZABOUPLWBKQGP-UHFFFAOYSA-N 0 0 433.509 -0.043 20 0 IBADRN C[C@H](NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1nnnn1-c1ccccc1 ZINC000531632533 1072845757 /nfs/dbraw/zinc/84/57/57/1072845757.db2.gz DMYKUZANXYFVER-INIZCTEOSA-N 0 0 428.497 -0.091 20 0 IBADRN C[C@@H](NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1nnnn1-c1ccccc1 ZINC000531632534 1072845798 /nfs/dbraw/zinc/84/57/98/1072845798.db2.gz DMYKUZANXYFVER-MRXNPFEDSA-N 0 0 428.497 -0.091 20 0 IBADRN Nc1ccnc(CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000531635193 1073362602 /nfs/dbraw/zinc/36/26/02/1073362602.db2.gz OKDFMSUCSDYOQS-UHFFFAOYSA-N 0 0 432.506 -0.012 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000531637836 1072845938 /nfs/dbraw/zinc/84/59/38/1072845938.db2.gz VTOQQLTUAHNFIP-CVEARBPZSA-N 0 0 435.477 -0.548 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000531637837 1072846470 /nfs/dbraw/zinc/84/64/70/1072846470.db2.gz VTOQQLTUAHNFIP-HOTGVXAUSA-N 0 0 435.477 -0.548 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)OCO2)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000531637838 1072846208 /nfs/dbraw/zinc/84/62/08/1072846208.db2.gz VTOQQLTUAHNFIP-HZPDHXFCSA-N 0 0 435.477 -0.548 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)OCO2)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000531637839 1072846289 /nfs/dbraw/zinc/84/62/89/1072846289.db2.gz VTOQQLTUAHNFIP-JKSUJKDBSA-N 0 0 435.477 -0.548 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)cn1 ZINC000531638413 1072846228 /nfs/dbraw/zinc/84/62/28/1072846228.db2.gz CTHFULZBAWAYBQ-UHFFFAOYSA-N 0 0 435.491 -0.104 20 0 IBADRN CCCN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000531646977 1072846457 /nfs/dbraw/zinc/84/64/57/1072846457.db2.gz JKCRHOQXXXMLQU-GXTWGEPZSA-N 0 0 431.536 -0.122 20 0 IBADRN CCCN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000531647001 1072846197 /nfs/dbraw/zinc/84/61/97/1072846197.db2.gz JKCRHOQXXXMLQU-JSGCOSHPSA-N 0 0 431.536 -0.122 20 0 IBADRN CCCN(C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000531647003 1072846540 /nfs/dbraw/zinc/84/65/40/1072846540.db2.gz JKCRHOQXXXMLQU-OCCSQVGLSA-N 0 0 431.536 -0.122 20 0 IBADRN CCCN(C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000531647004 1072846496 /nfs/dbraw/zinc/84/64/96/1072846496.db2.gz JKCRHOQXXXMLQU-TZMCWYRMSA-N 0 0 431.536 -0.122 20 0 IBADRN CCOc1cccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000531647291 1072846333 /nfs/dbraw/zinc/84/63/33/1072846333.db2.gz AZGDFBPJIBNEJQ-UHFFFAOYSA-N 0 0 439.582 -0.189 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NCCCOc1ccccc1F ZINC000531647452 1072846245 /nfs/dbraw/zinc/84/62/45/1072846245.db2.gz FEFLHEUIQKFBQC-UHFFFAOYSA-N 0 0 444.529 -0.095 20 0 IBADRN COCc1cccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000531647633 1072846264 /nfs/dbraw/zinc/84/62/64/1072846264.db2.gz IPMDJUVKFUWGMA-UHFFFAOYSA-N 0 0 439.582 -0.441 20 0 IBADRN COCc1cccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000531647913 1072846425 /nfs/dbraw/zinc/84/64/25/1072846425.db2.gz MYIIRPAXBFEEFL-UHFFFAOYSA-N 0 0 426.539 -0.356 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000531648820 1072846394 /nfs/dbraw/zinc/84/63/94/1072846394.db2.gz DZIHJDIQFGRJPY-UHFFFAOYSA-N 0 0 432.521 -0.199 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(CC(=O)N2CCCC2)CC1)S(C)(=O)=O ZINC000531649047 1072846413 /nfs/dbraw/zinc/84/64/13/1072846413.db2.gz KJYQJGWJYYMDCU-UHFFFAOYSA-N 0 0 431.603 -0.597 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000531649736 1072846379 /nfs/dbraw/zinc/84/63/79/1072846379.db2.gz QJLKGGPJWGMVBX-UHFFFAOYSA-N 0 0 434.537 -0.196 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000531656072 1072846748 /nfs/dbraw/zinc/84/67/48/1072846748.db2.gz ITBDETDVOUSCJQ-AWEZNQCLSA-N 0 0 425.554 -0.692 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000531656073 1072846816 /nfs/dbraw/zinc/84/68/16/1072846816.db2.gz ITBDETDVOUSCJQ-CQSZACIVSA-N 0 0 425.554 -0.692 20 0 IBADRN C[C@H](NC(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000531663499 1072846971 /nfs/dbraw/zinc/84/69/71/1072846971.db2.gz SVJOLZBMCUJIHF-HZMVEIRTSA-N 0 0 430.571 -0.132 20 0 IBADRN C[C@H](NC(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000531663512 1072846824 /nfs/dbraw/zinc/84/68/24/1072846824.db2.gz SVJOLZBMCUJIHF-MWDXBVQZSA-N 0 0 430.571 -0.132 20 0 IBADRN C[C@H](NC(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000531663516 1072846951 /nfs/dbraw/zinc/84/69/51/1072846951.db2.gz SVJOLZBMCUJIHF-NXOAAHMSSA-N 0 0 430.571 -0.132 20 0 IBADRN C[C@H](NC(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000531663517 1072846921 /nfs/dbraw/zinc/84/69/21/1072846921.db2.gz SVJOLZBMCUJIHF-YLFCFFPRSA-N 0 0 430.571 -0.132 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN(C)S(C)(=O)=O ZINC000531666948 1072846850 /nfs/dbraw/zinc/84/68/50/1072846850.db2.gz MSGYWTBJWTULIH-UHFFFAOYSA-N 0 0 434.540 -0.031 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)cnc2n(C)c1=O ZINC000531668508 1072846886 /nfs/dbraw/zinc/84/68/86/1072846886.db2.gz KQKUQNSMTHOUEC-CVEARBPZSA-N 0 0 444.492 -0.670 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)cnc2n(C)c1=O ZINC000531668509 1072846995 /nfs/dbraw/zinc/84/69/95/1072846995.db2.gz KQKUQNSMTHOUEC-HOTGVXAUSA-N 0 0 444.492 -0.670 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)cnc2n(C)c1=O ZINC000531668510 1072846781 /nfs/dbraw/zinc/84/67/81/1072846781.db2.gz KQKUQNSMTHOUEC-HZPDHXFCSA-N 0 0 444.492 -0.670 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)cnc2n(C)c1=O ZINC000531668511 1072846905 /nfs/dbraw/zinc/84/69/05/1072846905.db2.gz KQKUQNSMTHOUEC-JKSUJKDBSA-N 0 0 444.492 -0.670 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2cnn(CC(F)(F)F)c2)CC1 ZINC000531678741 1072846936 /nfs/dbraw/zinc/84/69/36/1072846936.db2.gz YAEOBXXUNHDFTC-UHFFFAOYSA-N 0 0 430.387 -0.052 20 0 IBADRN COC[C@@](C)(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000531703858 1072846806 /nfs/dbraw/zinc/84/68/06/1072846806.db2.gz FPXFWMQAJWBVTB-IBGZPJMESA-N 0 0 445.513 -0.048 20 0 IBADRN COC[C@](C)(O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000531703863 1072846857 /nfs/dbraw/zinc/84/68/57/1072846857.db2.gz FPXFWMQAJWBVTB-LJQANCHMSA-N 0 0 445.513 -0.048 20 0 IBADRN CN1CCN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1=O ZINC000531707604 1072846768 /nfs/dbraw/zinc/84/67/68/1072846768.db2.gz NDAFIKADDQPMMG-UHFFFAOYSA-N 0 0 440.497 -0.261 20 0 IBADRN NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000531722058 1072847416 /nfs/dbraw/zinc/84/74/16/1072847416.db2.gz BOEYIJLMPWZLGT-AEFFLSMTSA-N 0 0 445.520 -0.153 20 0 IBADRN NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000531722059 1072847502 /nfs/dbraw/zinc/84/75/02/1072847502.db2.gz BOEYIJLMPWZLGT-FUHWJXTLSA-N 0 0 445.520 -0.153 20 0 IBADRN NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000531722060 1072847615 /nfs/dbraw/zinc/84/76/15/1072847615.db2.gz BOEYIJLMPWZLGT-SJLPKXTDSA-N 0 0 445.520 -0.153 20 0 IBADRN NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000531722061 1072847328 /nfs/dbraw/zinc/84/73/28/1072847328.db2.gz BOEYIJLMPWZLGT-WMZOPIPTSA-N 0 0 445.520 -0.153 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1C ZINC000531729107 1072847402 /nfs/dbraw/zinc/84/74/02/1072847402.db2.gz YKTSCEHHJWOYMB-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000531764517 1072847932 /nfs/dbraw/zinc/84/79/32/1072847932.db2.gz BQKXMGJEMTUDDS-UHFFFAOYSA-N 0 0 442.542 -0.286 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000531775698 1072848520 /nfs/dbraw/zinc/84/85/20/1072848520.db2.gz FASYGPRBKQVAMT-UHFFFAOYSA-N 0 0 446.508 -0.700 20 0 IBADRN COc1ccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1-n1nnnc1C ZINC000531776192 1072847981 /nfs/dbraw/zinc/84/79/81/1072847981.db2.gz KTPWXJDBPQEPPB-UHFFFAOYSA-N 0 0 444.496 -0.012 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)c1 ZINC000531777170 1072848317 /nfs/dbraw/zinc/84/83/17/1072848317.db2.gz OOUSPRSLZWXURX-UHFFFAOYSA-N 0 0 435.915 -0.554 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc4[nH]cnc4c3)CC2)c1 ZINC000531777180 1072848218 /nfs/dbraw/zinc/84/82/18/1072848218.db2.gz UQXXVULWVHAFTD-UHFFFAOYSA-N 0 0 431.478 -0.441 20 0 IBADRN O=C(Nc1cccc2ccncc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000531783801 1072848196 /nfs/dbraw/zinc/84/81/96/1072848196.db2.gz YNLVYYDYNMXEEZ-UHFFFAOYSA-N 0 0 425.445 -0.297 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc(F)c(F)c3)CC2)c1 ZINC000531785998 1072848274 /nfs/dbraw/zinc/84/82/74/1072848274.db2.gz QADKQBPVGNTMOK-UHFFFAOYSA-N 0 0 427.433 -0.039 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCC1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000531786499 1072848533 /nfs/dbraw/zinc/84/85/33/1072848533.db2.gz CHNMJNHHLVRFTC-UHFFFAOYSA-N 0 0 426.605 -0.528 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C1 ZINC000531787841 1072848461 /nfs/dbraw/zinc/84/84/61/1072848461.db2.gz ZUOZJKYQEHJSQR-CVEARBPZSA-N 0 0 440.570 -0.463 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C1 ZINC000531787842 1072848441 /nfs/dbraw/zinc/84/84/41/1072848441.db2.gz ZUOZJKYQEHJSQR-HOTGVXAUSA-N 0 0 440.570 -0.463 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C1 ZINC000531787843 1072848401 /nfs/dbraw/zinc/84/84/01/1072848401.db2.gz ZUOZJKYQEHJSQR-HZPDHXFCSA-N 0 0 440.570 -0.463 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C1 ZINC000531787844 1072848418 /nfs/dbraw/zinc/84/84/18/1072848418.db2.gz ZUOZJKYQEHJSQR-JKSUJKDBSA-N 0 0 440.570 -0.463 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@@H](c2cccs2)N2CCN(C)CC2)c1 ZINC000531787896 1072848237 /nfs/dbraw/zinc/84/82/37/1072848237.db2.gz HTKHCHCQSRRMNU-AWEZNQCLSA-N 0 0 426.568 -0.135 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@H](c2cccs2)N2CCN(C)CC2)c1 ZINC000531787897 1072848349 /nfs/dbraw/zinc/84/83/49/1072848349.db2.gz HTKHCHCQSRRMNU-CQSZACIVSA-N 0 0 426.568 -0.135 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cn(C)cn3)cn2)C[C@H](C)O1 ZINC000531787942 1072848334 /nfs/dbraw/zinc/84/83/34/1072848334.db2.gz QAUFEZZIQSVFLE-BETUJISGSA-N 0 0 439.498 -0.831 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cn(C)cn3)cn2)C[C@@H](C)O1 ZINC000531787943 1072848571 /nfs/dbraw/zinc/84/85/71/1072848571.db2.gz QAUFEZZIQSVFLE-CHWSQXEVSA-N 0 0 439.498 -0.831 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cn(C)cn3)cn2)C[C@H](C)O1 ZINC000531787944 1072848374 /nfs/dbraw/zinc/84/83/74/1072848374.db2.gz QAUFEZZIQSVFLE-STQMWFEESA-N 0 0 439.498 -0.831 20 0 IBADRN COc1cc(C[C@H](CO)CNC(=O)CNS(=O)(=O)c2cn(C)cn2)cc(OC)c1 ZINC000531788047 1072848501 /nfs/dbraw/zinc/84/85/01/1072848501.db2.gz MLFVKCYERZWUTD-AWEZNQCLSA-N 0 0 426.495 -0.317 20 0 IBADRN COc1cc(C[C@@H](CO)CNC(=O)CNS(=O)(=O)c2cn(C)cn2)cc(OC)c1 ZINC000531788048 1072848430 /nfs/dbraw/zinc/84/84/30/1072848430.db2.gz MLFVKCYERZWUTD-CQSZACIVSA-N 0 0 426.495 -0.317 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccc2O)c1 ZINC000531788218 1072848474 /nfs/dbraw/zinc/84/84/74/1072848474.db2.gz GFPJMMFDMWOUHU-UHFFFAOYSA-N 0 0 443.507 -0.173 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1cn(C)cn1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000531788320 1072848389 /nfs/dbraw/zinc/84/83/89/1072848389.db2.gz XPIBMIUWHMKLPI-GFCCVEGCSA-N 0 0 429.524 -0.174 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1cn(C)cn1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000531788329 1072848292 /nfs/dbraw/zinc/84/82/92/1072848292.db2.gz XPIBMIUWHMKLPI-LBPRGKRZSA-N 0 0 429.524 -0.174 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c2ccccc2O1 ZINC000531802621 1072848870 /nfs/dbraw/zinc/84/88/70/1072848870.db2.gz JHWIUVSDLYSXRT-IOASZLSFSA-N 0 0 439.490 -0.212 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c2ccccc2O1 ZINC000531802622 1072848938 /nfs/dbraw/zinc/84/89/38/1072848938.db2.gz JHWIUVSDLYSXRT-WWGRRREGSA-N 0 0 439.490 -0.212 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c2ccccc2O1 ZINC000531802623 1072849007 /nfs/dbraw/zinc/84/90/07/1072849007.db2.gz JHWIUVSDLYSXRT-XEZPLFJOSA-N 0 0 439.490 -0.212 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c2ccccc2O1 ZINC000531802624 1072849018 /nfs/dbraw/zinc/84/90/18/1072849018.db2.gz JHWIUVSDLYSXRT-ZENOOKHLSA-N 0 0 439.490 -0.212 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000531808544 1072848995 /nfs/dbraw/zinc/84/89/95/1072848995.db2.gz ORUJFYBJIUJUIX-UHFFFAOYSA-N 0 0 438.528 -0.920 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)C[C@H](C)C(=O)OC)C1 ZINC000531809893 1072848857 /nfs/dbraw/zinc/84/88/57/1072848857.db2.gz UJGHDXJETMMVAX-IHRRRGAJSA-N 0 0 442.556 -0.434 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)C[C@@H](C)C(=O)OC)C1 ZINC000531809894 1072848986 /nfs/dbraw/zinc/84/89/86/1072848986.db2.gz UJGHDXJETMMVAX-MCIONIFRSA-N 0 0 442.556 -0.434 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)C[C@@H](C)C(=O)OC)C1 ZINC000531809895 1072848969 /nfs/dbraw/zinc/84/89/69/1072848969.db2.gz UJGHDXJETMMVAX-MJBXVCDLSA-N 0 0 442.556 -0.434 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CCC[C@@H](CNS(=O)(=O)C[C@@H](C)C(=O)OC)C1 ZINC000531809896 1072848911 /nfs/dbraw/zinc/84/89/11/1072848911.db2.gz UJGHDXJETMMVAX-RDBSUJKOSA-N 0 0 442.556 -0.434 20 0 IBADRN O=C(c1ccc2nnnn2c1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000531810355 1072848768 /nfs/dbraw/zinc/84/87/68/1072848768.db2.gz PJVOTHCMKWZZLH-UHFFFAOYSA-N 0 0 443.445 -0.398 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)S(C)(=O)=O ZINC000531813309 1072848733 /nfs/dbraw/zinc/84/87/33/1072848733.db2.gz SSBGVPRDTQFBPP-UHFFFAOYSA-N 0 0 434.540 -0.145 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(c2ccccc2S(=O)(=O)NC)CC1 ZINC000531817483 1072848958 /nfs/dbraw/zinc/84/89/58/1072848958.db2.gz CJIQRSGGAFRZJQ-UHFFFAOYSA-N 0 0 448.567 -0.801 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000531817497 1072848819 /nfs/dbraw/zinc/84/88/19/1072848819.db2.gz GTVXVYCLOAPGSH-UHFFFAOYSA-N 0 0 437.526 -0.738 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000531820018 1072848925 /nfs/dbraw/zinc/84/89/25/1072848925.db2.gz LVOQUDXEXYYGTC-UHFFFAOYSA-N 0 0 429.178 -0.418 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000531824004 1072848888 /nfs/dbraw/zinc/84/88/88/1072848888.db2.gz FSBOISRMEBPCNI-INIZCTEOSA-N 0 0 429.495 -0.119 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000531824005 1072849407 /nfs/dbraw/zinc/84/94/07/1072849407.db2.gz FSBOISRMEBPCNI-MRXNPFEDSA-N 0 0 429.495 -0.119 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1cccc(CC(=O)N2CCN(C)CC2)c1 ZINC000531824308 1072848792 /nfs/dbraw/zinc/84/87/92/1072848792.db2.gz YNZDQIRIYJSDJQ-UHFFFAOYSA-N 0 0 426.539 -0.103 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCC2(O)Cc3ccccc3C2)n1)N1CCOCC1 ZINC000531831062 1074360355 /nfs/dbraw/zinc/36/03/55/1074360355.db2.gz WPLUKWDDSMZDBS-UHFFFAOYSA-N 0 0 427.461 -0.674 20 0 IBADRN COC(C)(C)CN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000531833107 1072849237 /nfs/dbraw/zinc/84/92/37/1072849237.db2.gz DWKPACMEIMNSPX-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2nccn3ccnc23)CCN1C(=O)c1nccn2ccnc12 ZINC000531833699 1072849353 /nfs/dbraw/zinc/84/93/53/1072849353.db2.gz KMHNUVZIJKHRPW-AWEZNQCLSA-N 0 0 447.459 -0.173 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2nccn3ccnc23)CCN1C(=O)c1nccn2ccnc12 ZINC000531833700 1072849329 /nfs/dbraw/zinc/84/93/29/1072849329.db2.gz KMHNUVZIJKHRPW-CQSZACIVSA-N 0 0 447.459 -0.173 20 0 IBADRN COC(=O)CCC1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000531833920 1072849254 /nfs/dbraw/zinc/84/92/54/1072849254.db2.gz UJRRTCGMIMTJOW-UHFFFAOYSA-N 0 0 435.481 -0.128 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(CC(N)=O)CC1 ZINC000531838863 1072849617 /nfs/dbraw/zinc/84/96/17/1072849617.db2.gz RGXIBMMEIDTERQ-AWEZNQCLSA-N 0 0 432.543 -0.145 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(CC(N)=O)CC1 ZINC000531838864 1072849555 /nfs/dbraw/zinc/84/95/55/1072849555.db2.gz RGXIBMMEIDTERQ-CQSZACIVSA-N 0 0 432.543 -0.145 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(N)=O)CC2)cc1S(=O)(=O)NCc1ccccn1 ZINC000531839308 1072849586 /nfs/dbraw/zinc/84/95/86/1072849586.db2.gz NWMUBZLOOUGDLW-UHFFFAOYSA-N 0 0 447.517 -0.188 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(CC(N)=O)CC2)cc1 ZINC000531839326 1072849516 /nfs/dbraw/zinc/84/95/16/1072849516.db2.gz TXEHCAWDXZMCJV-UHFFFAOYSA-N 0 0 439.538 -0.924 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)C1 ZINC000531840409 1072849305 /nfs/dbraw/zinc/84/93/05/1072849305.db2.gz XKSDXLQNKZZBGO-CYBMUJFWSA-N 0 0 435.499 -0.151 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)C1 ZINC000531840410 1072849494 /nfs/dbraw/zinc/84/94/94/1072849494.db2.gz XKSDXLQNKZZBGO-ZDUSSCGKSA-N 0 0 435.499 -0.151 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)cn1 ZINC000531842521 1072849447 /nfs/dbraw/zinc/84/94/47/1072849447.db2.gz CECPEHBXFQAKIY-UHFFFAOYSA-N 0 0 437.478 -0.407 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000531845691 1072849218 /nfs/dbraw/zinc/84/92/18/1072849218.db2.gz YUAMVIPUVUZHKT-UHFFFAOYSA-N 0 0 426.495 -0.854 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000531846721 1072849382 /nfs/dbraw/zinc/84/93/82/1072849382.db2.gz MBLMZHLFHLIZPN-UHFFFAOYSA-N 0 0 426.495 -0.854 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CCN(CC(N)=O)CC1)n2C ZINC000531846766 1072849573 /nfs/dbraw/zinc/84/95/73/1072849573.db2.gz QHAXSJBLLBPISK-UHFFFAOYSA-N 0 0 436.538 -0.614 20 0 IBADRN CC(C)[C@@H](NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(=O)N1CCOCC1 ZINC000531848623 1072849473 /nfs/dbraw/zinc/84/94/73/1072849473.db2.gz OQURVBAFODOQBU-GOSISDBHSA-N 0 0 425.507 -0.005 20 0 IBADRN CC(C)[C@H](NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(=O)N1CCOCC1 ZINC000531848624 1072850091 /nfs/dbraw/zinc/85/00/91/1072850091.db2.gz OQURVBAFODOQBU-SFHVURJKSA-N 0 0 425.507 -0.005 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)CC2)c1=O ZINC000531850873 1072849970 /nfs/dbraw/zinc/84/99/70/1072849970.db2.gz CSUBWDWKANIEST-UHFFFAOYSA-N 0 0 433.490 -0.562 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)C2=O)n(C)n1 ZINC000531850951 1072849800 /nfs/dbraw/zinc/84/98/00/1072849800.db2.gz VBSKLAXHCVBEPD-INIZCTEOSA-N 0 0 433.490 -0.041 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)C2=O)n(C)n1 ZINC000531850952 1072849911 /nfs/dbraw/zinc/84/99/11/1072849911.db2.gz VBSKLAXHCVBEPD-MRXNPFEDSA-N 0 0 433.490 -0.041 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NCCCN1C(=O)CNC1=O ZINC000531857705 1072849897 /nfs/dbraw/zinc/84/98/97/1072849897.db2.gz AWBNTIVASUGSLX-UHFFFAOYSA-N 0 0 438.384 -0.078 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000531871640 1072849998 /nfs/dbraw/zinc/84/99/98/1072849998.db2.gz FLYKEGCBEMOYOA-INIZCTEOSA-N 0 0 446.485 -0.101 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000531871644 1072850044 /nfs/dbraw/zinc/85/00/44/1072850044.db2.gz FLYKEGCBEMOYOA-MRXNPFEDSA-N 0 0 446.485 -0.101 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)c1 ZINC000531872125 1072849812 /nfs/dbraw/zinc/84/98/12/1072849812.db2.gz IWSAWXONCJBDAJ-UHFFFAOYSA-N 0 0 444.535 -0.192 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000531872483 1072850009 /nfs/dbraw/zinc/85/00/09/1072850009.db2.gz MTEAPFUYNIIIOD-UHFFFAOYSA-N 0 0 430.502 -0.353 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000531872553 1072850103 /nfs/dbraw/zinc/85/01/03/1072850103.db2.gz FFDULEBACRZBLB-UHFFFAOYSA-N 0 0 440.504 -0.096 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(CCC(=O)N(C)C)CC3)ccc21 ZINC000531872791 1072849924 /nfs/dbraw/zinc/84/99/24/1072849924.db2.gz ZYGSANJZYBFGSX-UHFFFAOYSA-N 0 0 429.521 -0.052 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCC2(O)Cc3ccccc3C2)cn1)N1CCOCC1 ZINC000531885002 1072849982 /nfs/dbraw/zinc/84/99/82/1072849982.db2.gz DJEJPGHWCWZHHI-UHFFFAOYSA-N 0 0 427.461 -0.674 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000531887026 1072850032 /nfs/dbraw/zinc/85/00/32/1072850032.db2.gz CUOUAHYRDFLRQC-UHFFFAOYSA-N 0 0 434.493 -0.076 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1O ZINC000531889285 1072849956 /nfs/dbraw/zinc/84/99/56/1072849956.db2.gz AXLSFEVNGNDQOG-CYBMUJFWSA-N 0 0 430.461 -0.129 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1O ZINC000531889286 1072850344 /nfs/dbraw/zinc/85/03/44/1072850344.db2.gz AXLSFEVNGNDQOG-ZDUSSCGKSA-N 0 0 430.461 -0.129 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCOC[C@H]3CCCO3)cn2)C[C@@H](C)O1 ZINC000531891001 1072850323 /nfs/dbraw/zinc/85/03/23/1072850323.db2.gz YRXYSNWMIKNRGY-BFYDXBDKSA-N 0 0 437.497 -0.231 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000531891003 1072850649 /nfs/dbraw/zinc/85/06/49/1072850649.db2.gz VYMRQFSYAVDSKU-UHFFFAOYSA-N 0 0 448.461 -0.777 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCOC[C@H]3CCCO3)cn2)C[C@H](C)O1 ZINC000531891004 1072850395 /nfs/dbraw/zinc/85/03/95/1072850395.db2.gz YRXYSNWMIKNRGY-HLLBOEOZSA-N 0 0 437.497 -0.231 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCOC[C@@H]3CCCO3)cn2)C[C@@H](C)O1 ZINC000531891005 1072850224 /nfs/dbraw/zinc/85/02/24/1072850224.db2.gz YRXYSNWMIKNRGY-INMHGKMJSA-N 0 0 437.497 -0.231 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCOC[C@@H]3CCCO3)cn2)C[C@H](C)O1 ZINC000531891006 1072850407 /nfs/dbraw/zinc/85/04/07/1072850407.db2.gz YRXYSNWMIKNRGY-UXLLHSPISA-N 0 0 437.497 -0.231 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000531891096 1072850381 /nfs/dbraw/zinc/85/03/81/1072850381.db2.gz JCNNXBGFMLNLPZ-UHFFFAOYSA-N 0 0 447.536 -0.113 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)cn1)N1CCOCC1 ZINC000531891136 1072850529 /nfs/dbraw/zinc/85/05/29/1072850529.db2.gz QDTVHYJIKQDGMJ-GFCCVEGCSA-N 0 0 432.403 -0.567 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)cn1)N1CCOCC1 ZINC000531891137 1072850633 /nfs/dbraw/zinc/85/06/33/1072850633.db2.gz QDTVHYJIKQDGMJ-LBPRGKRZSA-N 0 0 432.403 -0.567 20 0 IBADRN O=C(CN1CCN(C(=O)NCc2ccc3c(c2)CCS3(=O)=O)CC1)N1CCOCC1 ZINC000531891152 1072850360 /nfs/dbraw/zinc/85/03/60/1072850360.db2.gz FCPIKULBTASWGE-UHFFFAOYSA-N 0 0 436.534 -0.298 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000531891499 1072850421 /nfs/dbraw/zinc/85/04/21/1072850421.db2.gz BVEYWROIPJWHOD-UHFFFAOYSA-N 0 0 431.449 -0.116 20 0 IBADRN COC(=O)c1ccc(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000531893063 1072850439 /nfs/dbraw/zinc/85/04/39/1072850439.db2.gz YHXKAUPEXTURSF-UHFFFAOYSA-N 0 0 429.433 -0.217 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000531896667 1072850486 /nfs/dbraw/zinc/85/04/86/1072850486.db2.gz DNJURVWHZAKCLN-UHFFFAOYSA-N 0 0 435.569 -0.190 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)NCc1cccc(C(=O)NC2CC2)c1 ZINC000531896734 1072850519 /nfs/dbraw/zinc/85/05/19/1072850519.db2.gz PAFONJDOGGCAGA-UHFFFAOYSA-N 0 0 427.505 -0.382 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCCC[C@H]1CCNS(C)(=O)=O)S(C)(=O)=O ZINC000531898097 1072850595 /nfs/dbraw/zinc/85/05/95/1072850595.db2.gz ZAKBROIGEJVGEO-INIZCTEOSA-N 0 0 440.632 -0.044 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCCC[C@@H]1CCNS(C)(=O)=O)S(C)(=O)=O ZINC000531898098 1072850542 /nfs/dbraw/zinc/85/05/42/1072850542.db2.gz ZAKBROIGEJVGEO-MRXNPFEDSA-N 0 0 440.632 -0.044 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000531901827 1072850577 /nfs/dbraw/zinc/85/05/77/1072850577.db2.gz BBNRJQVWWBIZOM-UHFFFAOYSA-N 0 0 440.566 -0.007 20 0 IBADRN COCc1ccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000531901980 1072850856 /nfs/dbraw/zinc/85/08/56/1072850856.db2.gz KUHDQTFFRIYDOU-UHFFFAOYSA-N 0 0 439.582 -0.441 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1nnnn1Cc1ccccc1)S(C)(=O)=O ZINC000531901986 1072851062 /nfs/dbraw/zinc/85/10/62/1072851062.db2.gz KWGFIZMNWNOLNZ-UHFFFAOYSA-N 0 0 444.539 -0.966 20 0 IBADRN CCOc1cccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000531902030 1072850974 /nfs/dbraw/zinc/85/09/74/1072850974.db2.gz SDSJJTFWYJQIMT-UHFFFAOYSA-N 0 0 426.539 -0.104 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NC[C@H]3Cc4ccccc4O3)CC2)CC1 ZINC000531902189 1072851212 /nfs/dbraw/zinc/85/12/12/1072851212.db2.gz RCJMWDIYXSCCML-GOSISDBHSA-N 0 0 437.566 -0.784 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NC[C@@H]3Cc4ccccc4O3)CC2)CC1 ZINC000531902190 1072850823 /nfs/dbraw/zinc/85/08/23/1072850823.db2.gz RCJMWDIYXSCCML-SFHVURJKSA-N 0 0 437.566 -0.784 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCCCOc1ccccc1F ZINC000531903249 1072851616 /nfs/dbraw/zinc/85/16/16/1072851616.db2.gz ITLSSRDHLGKYQB-UHFFFAOYSA-N 0 0 436.484 -0.286 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000531903367 1072851364 /nfs/dbraw/zinc/85/13/64/1072851364.db2.gz IWKSSFRAQQYGFK-INIZCTEOSA-N 0 0 427.527 -0.275 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000531903368 1072851468 /nfs/dbraw/zinc/85/14/68/1072851468.db2.gz IWKSSFRAQQYGFK-MRXNPFEDSA-N 0 0 427.527 -0.275 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000531903529 1072850845 /nfs/dbraw/zinc/85/08/45/1072850845.db2.gz FNKNLUMFQUCCOL-UHFFFAOYSA-N 0 0 434.537 -0.196 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)NCCOc1ccc2c(c1)OCO2 ZINC000531903572 1072851428 /nfs/dbraw/zinc/85/14/28/1072851428.db2.gz MEYSPLRFSYFEJW-UHFFFAOYSA-N 0 0 434.493 -0.613 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000531903672 1072851558 /nfs/dbraw/zinc/85/15/58/1072851558.db2.gz VXBQJTIEOFTUOK-UHFFFAOYSA-N 0 0 434.537 -0.196 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000531904079 1072850495 /nfs/dbraw/zinc/85/04/95/1072850495.db2.gz OCKHFKYKPWFFGY-UHFFFAOYSA-N 0 0 432.506 -0.497 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NCCOc2ccc3c(c2)OCO3)CC1 ZINC000531905694 1072851026 /nfs/dbraw/zinc/85/10/26/1072851026.db2.gz RPGHHVXLNTXFIF-KRWDZBQOSA-N 0 0 448.520 -0.225 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NCCOc2ccc3c(c2)OCO3)CC1 ZINC000531905784 1072851010 /nfs/dbraw/zinc/85/10/10/1072851010.db2.gz RPGHHVXLNTXFIF-QGZVFWFLSA-N 0 0 448.520 -0.225 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1nncn1-c1ccccc1F)S(C)(=O)=O ZINC000531906877 1072850906 /nfs/dbraw/zinc/85/09/06/1072850906.db2.gz UNOAMVKXFXZYRZ-UHFFFAOYSA-N 0 0 433.487 -0.422 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)c1 ZINC000531921759 1072851134 /nfs/dbraw/zinc/85/11/34/1072851134.db2.gz WZHXIWBZRHOTOD-DLBZAZTESA-N 0 0 431.493 -0.400 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)c1 ZINC000531921772 1072851075 /nfs/dbraw/zinc/85/10/75/1072851075.db2.gz WZHXIWBZRHOTOD-IAGOWNOFSA-N 0 0 431.493 -0.400 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)c1 ZINC000531921774 1072851039 /nfs/dbraw/zinc/85/10/39/1072851039.db2.gz WZHXIWBZRHOTOD-IRXDYDNUSA-N 0 0 431.493 -0.400 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)c1 ZINC000531921776 1072850924 /nfs/dbraw/zinc/85/09/24/1072850924.db2.gz WZHXIWBZRHOTOD-SJORKVTESA-N 0 0 431.493 -0.400 20 0 IBADRN O=C(NCc1cnn(CC(F)(F)F)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000531933351 1072851702 /nfs/dbraw/zinc/85/17/02/1072851702.db2.gz WNAXTMLKQIHFJM-UHFFFAOYSA-N 0 0 432.403 -0.342 20 0 IBADRN O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000531935350 1072851382 /nfs/dbraw/zinc/85/13/82/1072851382.db2.gz CJQAYDAJVQNLAE-MSOLQXFVSA-N 0 0 437.541 -0.658 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000531935353 1072851448 /nfs/dbraw/zinc/85/14/48/1072851448.db2.gz CJQAYDAJVQNLAE-QZTJIDSGSA-N 0 0 437.541 -0.658 20 0 IBADRN O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000531935354 1072851638 /nfs/dbraw/zinc/85/16/38/1072851638.db2.gz CJQAYDAJVQNLAE-ROUUACIJSA-N 0 0 437.541 -0.658 20 0 IBADRN O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000531935355 1072851743 /nfs/dbraw/zinc/85/17/43/1072851743.db2.gz CJQAYDAJVQNLAE-ZWKOTPCHSA-N 0 0 437.541 -0.658 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000531970797 1072851541 /nfs/dbraw/zinc/85/15/41/1072851541.db2.gz NYXWOPWHWSQMRH-CQSZACIVSA-N 0 0 440.522 -0.441 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000531970890 1072851436 /nfs/dbraw/zinc/85/14/36/1072851436.db2.gz XPXJGHYZMZSREE-CYBMUJFWSA-N 0 0 428.486 -0.311 20 0 IBADRN NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000531977233 1072851415 /nfs/dbraw/zinc/85/14/15/1072851415.db2.gz BCRYSVLGOPKALW-KBPBESRZSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000531977234 1072852076 /nfs/dbraw/zinc/85/20/76/1072852076.db2.gz BCRYSVLGOPKALW-KGLIPLIRSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000531977235 1072852046 /nfs/dbraw/zinc/85/20/46/1072852046.db2.gz BCRYSVLGOPKALW-UONOGXRCSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000531977236 1072852028 /nfs/dbraw/zinc/85/20/28/1072852028.db2.gz BCRYSVLGOPKALW-ZIAGYGMSSA-N 0 0 438.506 -0.194 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cn1 ZINC000531984222 1072851580 /nfs/dbraw/zinc/85/15/80/1072851580.db2.gz OEDCEHJANCBXPV-UHFFFAOYSA-N 0 0 431.515 -0.046 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CNS(=O)(=O)c3cccs3)CC2)cn1C ZINC000531988943 1072851722 /nfs/dbraw/zinc/85/17/22/1072851722.db2.gz BNQDLPWCHMXMJC-UHFFFAOYSA-N 0 0 447.564 -0.399 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000531989243 1072851501 /nfs/dbraw/zinc/85/15/01/1072851501.db2.gz MLMLAXQKCMDBNW-UHFFFAOYSA-N 0 0 426.543 -0.261 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)c1C ZINC000531992516 1072852124 /nfs/dbraw/zinc/85/21/24/1072852124.db2.gz FNEOXXOPQYHEGX-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)c1C ZINC000531992519 1072852200 /nfs/dbraw/zinc/85/22/00/1072852200.db2.gz FNEOXXOPQYHEGX-LBPRGKRZSA-N 0 0 432.524 -0.618 20 0 IBADRN NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000531995606 1072852061 /nfs/dbraw/zinc/85/20/61/1072852061.db2.gz NIBLLNPFSGVVFM-IIDMSEBBSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000531995607 1072851878 /nfs/dbraw/zinc/85/18/78/1072851878.db2.gz NIBLLNPFSGVVFM-RKVPGOIHSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000531995608 1072852182 /nfs/dbraw/zinc/85/21/82/1072852182.db2.gz NIBLLNPFSGVVFM-RVKKMQEKSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000531995609 1072852163 /nfs/dbraw/zinc/85/21/63/1072852163.db2.gz NIBLLNPFSGVVFM-VKJFTORMSA-N 0 0 444.488 -0.200 20 0 IBADRN NC(=O)[C@@H]1CCC[C@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000531998163 1072852261 /nfs/dbraw/zinc/85/22/61/1072852261.db2.gz WTZQVXIWUWSLMX-CVEARBPZSA-N 0 0 438.554 -0.123 20 0 IBADRN NC(=O)[C@H]1CCC[C@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000531998164 1072851891 /nfs/dbraw/zinc/85/18/91/1072851891.db2.gz WTZQVXIWUWSLMX-HOTGVXAUSA-N 0 0 438.554 -0.123 20 0 IBADRN NC(=O)[C@@H]1CCC[C@@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000531998165 1072851977 /nfs/dbraw/zinc/85/19/77/1072851977.db2.gz WTZQVXIWUWSLMX-HZPDHXFCSA-N 0 0 438.554 -0.123 20 0 IBADRN NC(=O)[C@H]1CCC[C@@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000531998166 1072852092 /nfs/dbraw/zinc/85/20/92/1072852092.db2.gz WTZQVXIWUWSLMX-JKSUJKDBSA-N 0 0 438.554 -0.123 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NC1=NCC(=O)N1 ZINC000532011233 1072852677 /nfs/dbraw/zinc/85/26/77/1072852677.db2.gz NRGZREVZRFXRBY-UHFFFAOYSA-N 0 0 425.442 -0.959 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC[C@@H](C)O ZINC000532019839 1072852406 /nfs/dbraw/zinc/85/24/06/1072852406.db2.gz KFTSHOUQROEEBL-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000532033936 1072853194 /nfs/dbraw/zinc/85/31/94/1072853194.db2.gz YIWDUKUHICYSNE-UHFFFAOYSA-N 0 0 443.551 -0.157 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCCC(=O)N2CCN(c3ccccc3)CC2)c1 ZINC000532034135 1072852562 /nfs/dbraw/zinc/85/25/62/1072852562.db2.gz PIVUECSNWXIYNV-UHFFFAOYSA-N 0 0 448.549 -0.056 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)c1 ZINC000532034227 1072852389 /nfs/dbraw/zinc/85/23/89/1072852389.db2.gz RTKNTLIQGWVYGA-UHFFFAOYSA-N 0 0 427.433 -0.039 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c1 ZINC000532034343 1072852505 /nfs/dbraw/zinc/85/25/05/1072852505.db2.gz RQUJTPRAXBWZJO-UHFFFAOYSA-N 0 0 445.498 -0.629 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c1 ZINC000532034562 1072852658 /nfs/dbraw/zinc/85/26/58/1072852658.db2.gz RPYVJMJQOBIJSN-UHFFFAOYSA-N 0 0 441.535 -0.627 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)CNS(=O)(=O)c3cn(C)cn3)C2=O)c1 ZINC000532035251 1072852597 /nfs/dbraw/zinc/85/25/97/1072852597.db2.gz RSJUSIUIHDLAKB-HNNXBMFYSA-N 0 0 437.478 -0.363 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)CNS(=O)(=O)c3cn(C)cn3)C2=O)c1 ZINC000532035252 1072852629 /nfs/dbraw/zinc/85/26/29/1072852629.db2.gz RSJUSIUIHDLAKB-OAHLLOKOSA-N 0 0 437.478 -0.363 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCCc3ncn(C)n3)CC2)c1 ZINC000532036288 1072852526 /nfs/dbraw/zinc/85/25/26/1072852526.db2.gz MVTHNOHFNNSCCN-UHFFFAOYSA-N 0 0 442.523 -0.078 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4ccncc43)CC2)CC1 ZINC000532040440 1072852541 /nfs/dbraw/zinc/85/25/41/1072852541.db2.gz HOTYPMRRDYTLIK-UHFFFAOYSA-N 0 0 446.533 -0.190 20 0 IBADRN O=C(Nc1cccc2ccncc21)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532040672 1072852715 /nfs/dbraw/zinc/85/27/15/1072852715.db2.gz JENZWZOBPOCGBN-UHFFFAOYSA-N 0 0 433.490 -0.106 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000532041223 1072852697 /nfs/dbraw/zinc/85/26/97/1072852697.db2.gz MXPNNGITSAHQHK-AWEZNQCLSA-N 0 0 437.522 -0.421 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000532041224 1072852448 /nfs/dbraw/zinc/85/24/48/1072852448.db2.gz MXPNNGITSAHQHK-CQSZACIVSA-N 0 0 437.522 -0.421 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@H]1CCN(c2ncccc2F)C1 ZINC000532041451 1072852483 /nfs/dbraw/zinc/85/24/83/1072852483.db2.gz NMSXIKHQYCHBHV-AWEZNQCLSA-N 0 0 427.440 -0.115 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@@H]1CCN(c2ncccc2F)C1 ZINC000532041452 1072852614 /nfs/dbraw/zinc/85/26/14/1072852614.db2.gz NMSXIKHQYCHBHV-CQSZACIVSA-N 0 0 427.440 -0.115 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)c1 ZINC000532042142 1072853080 /nfs/dbraw/zinc/85/30/80/1072853080.db2.gz VSNUAKSNJSYEKA-INIZCTEOSA-N 0 0 435.506 -0.076 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)c1 ZINC000532042143 1072852870 /nfs/dbraw/zinc/85/28/70/1072852870.db2.gz VSNUAKSNJSYEKA-MRXNPFEDSA-N 0 0 435.506 -0.076 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)c1 ZINC000532042149 1072853064 /nfs/dbraw/zinc/85/30/64/1072853064.db2.gz WAXSYFVBKNPBGZ-UHFFFAOYSA-N 0 0 447.521 -0.209 20 0 IBADRN C[C@@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000532042655 1072852467 /nfs/dbraw/zinc/85/24/67/1072852467.db2.gz XMQNSKVSUYMAHW-CYBMUJFWSA-N 0 0 448.505 -0.079 20 0 IBADRN C[C@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000532042659 1072852843 /nfs/dbraw/zinc/85/28/43/1072852843.db2.gz XMQNSKVSUYMAHW-ZDUSSCGKSA-N 0 0 448.505 -0.079 20 0 IBADRN CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(C)C(=O)C3(C)C)c[nH]2)C(C)(C)C1=O ZINC000532043522 1072852857 /nfs/dbraw/zinc/85/28/57/1072852857.db2.gz RHTIXFFCPFFUMI-UHFFFAOYSA-N 0 0 439.538 -0.051 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)s1 ZINC000532044548 1072852831 /nfs/dbraw/zinc/85/28/31/1072852831.db2.gz OTTNOXBXVWMSNB-UHFFFAOYSA-N 0 0 442.523 -0.320 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)CC1 ZINC000532044560 1072853149 /nfs/dbraw/zinc/85/31/49/1072853149.db2.gz RYCXHTIKHQVQDF-UHFFFAOYSA-N 0 0 440.570 -0.463 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(Cc3noc(C(C)(C)C)n3)CC2)c1 ZINC000532045112 1072852980 /nfs/dbraw/zinc/85/29/80/1072852980.db2.gz NNSSXQAFERITJQ-UHFFFAOYSA-N 0 0 425.515 -0.277 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccc3c(c2)CCS3(=O)=O)CC1 ZINC000532063003 1072852884 /nfs/dbraw/zinc/85/28/84/1072852884.db2.gz WMPPVMMRPQUKOV-UHFFFAOYSA-N 0 0 448.501 -0.481 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000532064849 1072852938 /nfs/dbraw/zinc/85/29/38/1072852938.db2.gz LLWSLMOSLLYPNR-UHFFFAOYSA-N 0 0 449.551 -0.516 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC(NS(=O)(=O)c2cccs2)CC1 ZINC000532065433 1072853169 /nfs/dbraw/zinc/85/31/69/1072853169.db2.gz IHZFCVLEMZHKJC-UHFFFAOYSA-N 0 0 439.581 -0.027 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ccc4c(c3)CCS4(=O)=O)cnc21 ZINC000532066638 1072853109 /nfs/dbraw/zinc/85/31/09/1072853109.db2.gz VSUIYSGINKPXBH-UHFFFAOYSA-N 0 0 436.471 -0.158 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC(CC(=O)N2CCN(CC)CC2)CC1 ZINC000532067422 1072852902 /nfs/dbraw/zinc/85/29/02/1072852902.db2.gz UXTXRCVTHGKDFU-UHFFFAOYSA-N 0 0 432.587 -0.265 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1 ZINC000532067662 1072852999 /nfs/dbraw/zinc/85/29/99/1072852999.db2.gz XGZJNOFJZNXWLO-GFCCVEGCSA-N 0 0 432.524 -0.439 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1 ZINC000532067663 1072853095 /nfs/dbraw/zinc/85/30/95/1072853095.db2.gz XGZJNOFJZNXWLO-LBPRGKRZSA-N 0 0 432.524 -0.439 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000532069577 1072853044 /nfs/dbraw/zinc/85/30/44/1072853044.db2.gz AMZWNPUVGMCGRJ-UHFFFAOYSA-N 0 0 432.587 -0.217 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000532072512 1072853435 /nfs/dbraw/zinc/85/34/35/1072853435.db2.gz PNRGBLGSQNNEIV-UHFFFAOYSA-N 0 0 433.552 -0.088 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2cccc(OC)c2OC)CC1 ZINC000532072697 1072853349 /nfs/dbraw/zinc/85/33/49/1072853349.db2.gz GSIOXSHCAINHHA-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000532072906 1072853705 /nfs/dbraw/zinc/85/37/05/1072853705.db2.gz DPHOQMASQFJQND-UHFFFAOYSA-N 0 0 437.515 -0.386 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000532073721 1072853811 /nfs/dbraw/zinc/85/38/11/1072853811.db2.gz RAWUHQPEZXPFKQ-UHFFFAOYSA-N 0 0 443.551 -0.644 20 0 IBADRN CN(C)CCN(C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000532081017 1072853550 /nfs/dbraw/zinc/85/35/50/1072853550.db2.gz QCHRTIKHILUFBL-GOSISDBHSA-N 0 0 448.545 -0.364 20 0 IBADRN CN(C)CCN(C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000532081018 1072853788 /nfs/dbraw/zinc/85/37/88/1072853788.db2.gz QCHRTIKHILUFBL-SFHVURJKSA-N 0 0 448.545 -0.364 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H](O)COc2ccccc2)n1)N1CCOCC1 ZINC000532085898 1074360318 /nfs/dbraw/zinc/36/03/18/1074360318.db2.gz PCKJFLZADGPXQF-HNNXBMFYSA-N 0 0 431.449 -0.764 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H](O)COc2ccccc2)n1)N1CCOCC1 ZINC000532085899 1074360521 /nfs/dbraw/zinc/36/05/21/1074360521.db2.gz PCKJFLZADGPXQF-OAHLLOKOSA-N 0 0 431.449 -0.764 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)n1)N1CCOCC1 ZINC000532087395 1074360470 /nfs/dbraw/zinc/36/04/70/1074360470.db2.gz MKAHYZYDKUANJP-GFCCVEGCSA-N 0 0 432.403 -0.567 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)n1)N1CCOCC1 ZINC000532087396 1074360384 /nfs/dbraw/zinc/36/03/84/1074360384.db2.gz MKAHYZYDKUANJP-LBPRGKRZSA-N 0 0 432.403 -0.567 20 0 IBADRN NC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cc(F)ccc3F)CC2)CC1 ZINC000532094987 1072853763 /nfs/dbraw/zinc/85/37/63/1072853763.db2.gz GSAITKFAMRDYKV-UHFFFAOYSA-N 0 0 430.477 -0.005 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(CC(N)=O)CC2)o1 ZINC000532095653 1072853531 /nfs/dbraw/zinc/85/35/31/1072853531.db2.gz MUADLAKDTSSJAY-CYBMUJFWSA-N 0 0 442.494 -0.761 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(CC(N)=O)CC2)o1 ZINC000532095654 1072853592 /nfs/dbraw/zinc/85/35/92/1072853592.db2.gz MUADLAKDTSSJAY-ZDUSSCGKSA-N 0 0 442.494 -0.761 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000532097691 1072854323 /nfs/dbraw/zinc/85/43/23/1072854323.db2.gz HTBYFYDLWAFQGQ-UHFFFAOYSA-N 0 0 431.536 -0.075 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000532097793 1072853665 /nfs/dbraw/zinc/85/36/65/1072853665.db2.gz QJDOQINBWXIBGA-UHFFFAOYSA-N 0 0 438.506 -0.810 20 0 IBADRN NC(=O)CNC(=O)c1ccc(NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)cc1 ZINC000532097913 1072853470 /nfs/dbraw/zinc/85/34/70/1072853470.db2.gz YQMKADUAPVNXCP-UHFFFAOYSA-N 0 0 432.458 -0.151 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)NCCS(=O)(=O)N1CCSCC1 ZINC000532098530 1072854136 /nfs/dbraw/zinc/85/41/36/1072854136.db2.gz HTJMOUNWIPOSQB-UHFFFAOYSA-N 0 0 449.576 -0.510 20 0 IBADRN CC(C)(CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCS(=O)CC1 ZINC000532099359 1072854338 /nfs/dbraw/zinc/85/43/38/1072854338.db2.gz JTWFZKXZNCLEOZ-UHFFFAOYSA-N 0 0 429.564 -0.046 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000532099478 1072854228 /nfs/dbraw/zinc/85/42/28/1072854228.db2.gz BBYYTYRHVGKLFJ-UHFFFAOYSA-N 0 0 449.489 -0.311 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000532099800 1072854208 /nfs/dbraw/zinc/85/42/08/1072854208.db2.gz FXUUUVRFANOQKD-UHFFFAOYSA-N 0 0 438.506 -0.808 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)C1 ZINC000532101852 1072853970 /nfs/dbraw/zinc/85/39/70/1072853970.db2.gz HLOCEWVDVWTQIE-CYBMUJFWSA-N 0 0 435.499 -0.151 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)C1 ZINC000532101853 1072853948 /nfs/dbraw/zinc/85/39/48/1072853948.db2.gz HLOCEWVDVWTQIE-ZDUSSCGKSA-N 0 0 435.499 -0.151 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000532102331 1072854280 /nfs/dbraw/zinc/85/42/80/1072854280.db2.gz ICGJPJDVKGYWDP-UHFFFAOYSA-N 0 0 431.474 -0.035 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000532103806 1072854159 /nfs/dbraw/zinc/85/41/59/1072854159.db2.gz UKQKYLAVRWTGSI-UHFFFAOYSA-N 0 0 426.495 -0.854 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN(CC(N)=O)CC2)cc1 ZINC000532103876 1072854104 /nfs/dbraw/zinc/85/41/04/1072854104.db2.gz FEZVUCPVZHFWFX-UHFFFAOYSA-N 0 0 442.538 -0.787 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)N3CCN(CC(N)=O)CC3)CC2)c1 ZINC000532104118 1072854249 /nfs/dbraw/zinc/85/42/49/1072854249.db2.gz NMQOOXDKXWXJSE-UHFFFAOYSA-N 0 0 436.534 -0.081 20 0 IBADRN NC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000532104375 1072853990 /nfs/dbraw/zinc/85/39/90/1072853990.db2.gz WYFHMJUAVDHDAQ-UHFFFAOYSA-N 0 0 425.330 -0.582 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000532104405 1072854311 /nfs/dbraw/zinc/85/43/11/1072854311.db2.gz YHFIIGKDLLJBEN-UHFFFAOYSA-N 0 0 440.522 -0.464 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)CNS(=O)(=O)c2ccc(Br)s2)O1 ZINC000532106468 1072854078 /nfs/dbraw/zinc/85/40/78/1072854078.db2.gz GQABHTUQXZKJNK-HTQZYQBOSA-N 0 0 426.314 -0.062 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)CNS(=O)(=O)c2ccc(Br)s2)O1 ZINC000532106469 1072854177 /nfs/dbraw/zinc/85/41/77/1072854177.db2.gz GQABHTUQXZKJNK-JGVFFNPUSA-N 0 0 426.314 -0.062 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)CNS(=O)(=O)c2ccc(Br)s2)O1 ZINC000532106470 1072545551 /nfs/dbraw/zinc/54/55/51/1072545551.db2.gz GQABHTUQXZKJNK-SFYZADRCSA-N 0 0 426.314 -0.062 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)CNS(=O)(=O)c2ccc(Br)s2)O1 ZINC000532106471 1072854047 /nfs/dbraw/zinc/85/40/47/1072854047.db2.gz GQABHTUQXZKJNK-YUMQZZPRSA-N 0 0 426.314 -0.062 20 0 IBADRN CC1(C)c2cc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2NC1=O ZINC000532109133 1072854595 /nfs/dbraw/zinc/85/45/95/1072854595.db2.gz XRZUBZLAZOISGD-LLVKDONJSA-N 0 0 429.520 -0.112 20 0 IBADRN CC1(C)c2cc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2NC1=O ZINC000532109137 1072854696 /nfs/dbraw/zinc/85/46/96/1072854696.db2.gz XRZUBZLAZOISGD-NSHDSACASA-N 0 0 429.520 -0.112 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000532109261 1072854476 /nfs/dbraw/zinc/85/44/76/1072854476.db2.gz VNZLWLCSZZUJOQ-LLVKDONJSA-N 0 0 429.520 -0.160 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000532109262 1072854732 /nfs/dbraw/zinc/85/47/32/1072854732.db2.gz VNZLWLCSZZUJOQ-NSHDSACASA-N 0 0 429.520 -0.160 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)o1 ZINC000532111819 1072854649 /nfs/dbraw/zinc/85/46/49/1072854649.db2.gz FCVULPXCWHXXRP-UHFFFAOYSA-N 0 0 436.446 -0.653 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2CCN(C(N)=O)C2)c1 ZINC000532122484 1072854631 /nfs/dbraw/zinc/85/46/31/1072854631.db2.gz OGYVDTLNIGLMHA-AWEZNQCLSA-N 0 0 425.511 -0.284 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2CCN(C(N)=O)C2)c1 ZINC000532122490 1072854558 /nfs/dbraw/zinc/85/45/58/1072854558.db2.gz OGYVDTLNIGLMHA-CQSZACIVSA-N 0 0 425.511 -0.284 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)c1cc(S(N)(=O)=O)cn1C ZINC000532128103 1072854575 /nfs/dbraw/zinc/85/45/75/1072854575.db2.gz XFOCYJOKBHZZTN-UHFFFAOYSA-N 0 0 430.508 -0.785 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000532128523 1072854811 /nfs/dbraw/zinc/85/48/11/1072854811.db2.gz ABVCNNXYILKLOT-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c2ccccc2O1 ZINC000532128674 1072854498 /nfs/dbraw/zinc/85/44/98/1072854498.db2.gz OYTSKWXWGPDDLN-KRWDZBQOSA-N 0 0 432.477 -0.424 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c2ccccc2O1 ZINC000532128675 1072854792 /nfs/dbraw/zinc/85/47/92/1072854792.db2.gz OYTSKWXWGPDDLN-QGZVFWFLSA-N 0 0 432.477 -0.424 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000532128977 1072854766 /nfs/dbraw/zinc/85/47/66/1072854766.db2.gz FDHJVCUOPZULSB-UHFFFAOYSA-N 0 0 427.505 -0.319 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1)N1CCOCC1 ZINC000532138921 1072856005 /nfs/dbraw/zinc/85/60/05/1072856005.db2.gz RXBROKQQRZMRDE-UHFFFAOYSA-N 0 0 444.492 -0.253 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000532142027 1072855859 /nfs/dbraw/zinc/85/58/59/1072855859.db2.gz CFMFUDZOFYMHOP-UHFFFAOYSA-N 0 0 438.550 -0.083 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000532144181 1072854612 /nfs/dbraw/zinc/85/46/12/1072854612.db2.gz DBCPMHYQSKVXSW-UHFFFAOYSA-N 0 0 439.538 -0.938 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C(=O)C4CC4)CC3)cn2)C[C@H](C)O1 ZINC000532146239 1072854452 /nfs/dbraw/zinc/85/44/52/1072854452.db2.gz XIVXRPLGUBYIIC-GASCZTMLSA-N 0 0 446.508 -0.462 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C(=O)C4CC4)CC3)cn2)C[C@H](C)O1 ZINC000532146240 1072854718 /nfs/dbraw/zinc/85/47/18/1072854718.db2.gz XIVXRPLGUBYIIC-GJZGRUSLSA-N 0 0 446.508 -0.462 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C(=O)C4CC4)CC3)cn2)C[C@@H](C)O1 ZINC000532146241 1072854748 /nfs/dbraw/zinc/85/47/48/1072854748.db2.gz XIVXRPLGUBYIIC-HUUCEWRRSA-N 0 0 446.508 -0.462 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000532148680 1072854829 /nfs/dbraw/zinc/85/48/29/1072854829.db2.gz LAUAQPQTWKBPRQ-HOTGVXAUSA-N 0 0 448.524 -0.072 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000532148684 1072855281 /nfs/dbraw/zinc/85/52/81/1072855281.db2.gz LAUAQPQTWKBPRQ-HZPDHXFCSA-N 0 0 448.524 -0.072 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000532148685 1072855216 /nfs/dbraw/zinc/85/52/16/1072855216.db2.gz LAUAQPQTWKBPRQ-IYBDPMFKSA-N 0 0 448.524 -0.072 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2ccccc2)cn1)N1CCOCC1 ZINC000532148807 1072854676 /nfs/dbraw/zinc/85/46/76/1072854676.db2.gz AKQOZYMPBMLRJL-INIZCTEOSA-N 0 0 431.449 -0.764 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2ccccc2)cn1)N1CCOCC1 ZINC000532148811 1072854538 /nfs/dbraw/zinc/85/45/38/1072854538.db2.gz AKQOZYMPBMLRJL-MRXNPFEDSA-N 0 0 431.449 -0.764 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(C)c3)CC2)c1 ZINC000532149354 1072854953 /nfs/dbraw/zinc/85/49/53/1072854953.db2.gz RYMOOLVRSUHNSY-UHFFFAOYSA-N 0 0 441.491 -0.260 20 0 IBADRN CCN(CC)S(=O)(=O)c1c(C)nn(CC(=O)NCCCN(C)S(C)(=O)=O)c1C ZINC000532150779 1072855404 /nfs/dbraw/zinc/85/54/04/1072855404.db2.gz JECCIEWXRPIDKC-UHFFFAOYSA-N 0 0 437.588 -0.072 20 0 IBADRN CCCCn1c2nc(CO)n(CCC)c2c(=O)n(CC(=O)N[C@H](C)C(=O)N(C)C)c1=O ZINC000532150964 1072855444 /nfs/dbraw/zinc/85/54/44/1072855444.db2.gz NYNMJSJZPBUPLR-CYBMUJFWSA-N 0 0 436.513 -0.345 20 0 IBADRN CCCCn1c2nc(CO)n(CCC)c2c(=O)n(CC(=O)N[C@@H](C)C(=O)N(C)C)c1=O ZINC000532150965 1072854936 /nfs/dbraw/zinc/85/49/36/1072854936.db2.gz NYNMJSJZPBUPLR-ZDUSSCGKSA-N 0 0 436.513 -0.345 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)cn1 ZINC000532151016 1072854984 /nfs/dbraw/zinc/85/49/84/1072854984.db2.gz JPYNUDXFROCCTJ-INIZCTEOSA-N 0 0 445.432 -0.449 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)cn1 ZINC000532151017 1072855423 /nfs/dbraw/zinc/85/54/23/1072855423.db2.gz JPYNUDXFROCCTJ-MRXNPFEDSA-N 0 0 445.432 -0.449 20 0 IBADRN CCCS(=O)(=O)NC1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CC1 ZINC000532153065 1072855110 /nfs/dbraw/zinc/85/51/10/1072855110.db2.gz QAHXWQMKXYYPFY-UHFFFAOYSA-N 0 0 440.632 -0.044 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCCC[C@H]1C(=O)N1CCOCC1)S(C)(=O)=O ZINC000532153977 1072855164 /nfs/dbraw/zinc/85/51/64/1072855164.db2.gz CDLQGLIMIAFZDF-KRWDZBQOSA-N 0 0 432.587 -0.124 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCCC[C@@H]1C(=O)N1CCOCC1)S(C)(=O)=O ZINC000532153984 1072855235 /nfs/dbraw/zinc/85/52/35/1072855235.db2.gz CDLQGLIMIAFZDF-QGZVFWFLSA-N 0 0 432.587 -0.124 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000532154907 1072855140 /nfs/dbraw/zinc/85/51/40/1072855140.db2.gz SCJHOLSRCWWNNH-CORIIIEPSA-N 0 0 431.536 -0.076 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCC[C@H](S(C)(=O)=O)C1 ZINC000532154908 1072855298 /nfs/dbraw/zinc/85/52/98/1072855298.db2.gz SCJHOLSRCWWNNH-LNSITVRQSA-N 0 0 431.536 -0.076 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCC[C@@H](S(C)(=O)=O)C1 ZINC000532154909 1072855350 /nfs/dbraw/zinc/85/53/50/1072855350.db2.gz SCJHOLSRCWWNNH-NJZAAPMLSA-N 0 0 431.536 -0.076 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCC[C@H](S(C)(=O)=O)C1 ZINC000532154910 1072855003 /nfs/dbraw/zinc/85/50/03/1072855003.db2.gz SCJHOLSRCWWNNH-WHOFXGATSA-N 0 0 431.536 -0.076 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)N2CCC[C@H](NC(C)=O)C2)CC1 ZINC000532156146 1072854966 /nfs/dbraw/zinc/85/49/66/1072854966.db2.gz KLHZBLFRBCFAEC-KRWDZBQOSA-N 0 0 437.566 -0.156 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)N2CCC[C@@H](NC(C)=O)C2)CC1 ZINC000532156147 1072855471 /nfs/dbraw/zinc/85/54/71/1072855471.db2.gz KLHZBLFRBCFAEC-QGZVFWFLSA-N 0 0 437.566 -0.156 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000532156648 1072855368 /nfs/dbraw/zinc/85/53/68/1072855368.db2.gz NVYSDLRZASQSRC-UHFFFAOYSA-N 0 0 432.506 -0.497 20 0 IBADRN C[C@@H]1CCCC[C@@H]1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532157762 1072855315 /nfs/dbraw/zinc/85/53/15/1072855315.db2.gz QOVPIPNUPGFWPK-MOPGFXCFSA-N 0 0 445.630 -0.192 20 0 IBADRN C[C@H]1CCCC[C@@H]1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532157763 1072855871 /nfs/dbraw/zinc/85/58/71/1072855871.db2.gz QOVPIPNUPGFWPK-OALUTQOASA-N 0 0 445.630 -0.192 20 0 IBADRN C[C@H]1CCCC[C@H]1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532157764 1072855802 /nfs/dbraw/zinc/85/58/02/1072855802.db2.gz QOVPIPNUPGFWPK-RBUKOAKNSA-N 0 0 445.630 -0.192 20 0 IBADRN C[C@@H]1CCCC[C@H]1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532157765 1072855653 /nfs/dbraw/zinc/85/56/53/1072855653.db2.gz QOVPIPNUPGFWPK-RTBURBONSA-N 0 0 445.630 -0.192 20 0 IBADRN C[C@@H]1CCCC[C@@H]1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532157822 1072855836 /nfs/dbraw/zinc/85/58/36/1072855836.db2.gz WGPJKLDFKSBQQC-MSOLQXFVSA-N 0 0 432.587 -0.108 20 0 IBADRN C[C@@H]1CCCC[C@H]1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532157823 1072855756 /nfs/dbraw/zinc/85/57/56/1072855756.db2.gz WGPJKLDFKSBQQC-QZTJIDSGSA-N 0 0 432.587 -0.108 20 0 IBADRN C[C@H]1CCCC[C@@H]1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532157824 1072855917 /nfs/dbraw/zinc/85/59/17/1072855917.db2.gz WGPJKLDFKSBQQC-ROUUACIJSA-N 0 0 432.587 -0.108 20 0 IBADRN C[C@H]1CCCC[C@H]1OCCNC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532157825 1072855988 /nfs/dbraw/zinc/85/59/88/1072855988.db2.gz WGPJKLDFKSBQQC-ZWKOTPCHSA-N 0 0 432.587 -0.108 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCCN(CC(=O)N2CCCC2)CC1)S(C)(=O)=O ZINC000532157873 1072855024 /nfs/dbraw/zinc/85/50/24/1072855024.db2.gz YZXNPHUWKRANIG-UHFFFAOYSA-N 0 0 445.630 -0.207 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532158654 1072855849 /nfs/dbraw/zinc/85/58/49/1072855849.db2.gz UVNSDPIPXXSAFQ-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN CCOCc1ccc(CNC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000532158969 1072855638 /nfs/dbraw/zinc/85/56/38/1072855638.db2.gz ZSANIBXVHBHTBO-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)NCCOc1ccc2c(c1)OCO2 ZINC000532159051 1072855971 /nfs/dbraw/zinc/85/59/71/1072855971.db2.gz MBFSOCQOVNPVST-UHFFFAOYSA-N 0 0 434.493 -0.613 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(CC(=O)N2CCCCC2)CC1)S(C)(=O)=O ZINC000532159278 1072855780 /nfs/dbraw/zinc/85/57/80/1072855780.db2.gz CKAWVUYNFMBKAR-UHFFFAOYSA-N 0 0 445.630 -0.207 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000532161058 1072855900 /nfs/dbraw/zinc/85/59/00/1072855900.db2.gz AGKZVWNXKNHUDI-FXAWDEMLSA-N 0 0 431.537 -0.545 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000532161059 1072855703 /nfs/dbraw/zinc/85/57/03/1072855703.db2.gz AGKZVWNXKNHUDI-PXNSSMCTSA-N 0 0 431.537 -0.545 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000532161060 1072855957 /nfs/dbraw/zinc/85/59/57/1072855957.db2.gz AGKZVWNXKNHUDI-XLIONFOSSA-N 0 0 431.537 -0.545 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000532161061 1072855937 /nfs/dbraw/zinc/85/59/37/1072855937.db2.gz AGKZVWNXKNHUDI-YLJYHZDGSA-N 0 0 431.537 -0.545 20 0 IBADRN COC(=O)c1ccccc1NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000532164574 1072856465 /nfs/dbraw/zinc/85/64/65/1072856465.db2.gz YHNAWZQCFAWJSY-UHFFFAOYSA-N 0 0 432.433 -0.075 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)c1 ZINC000532164727 1072856544 /nfs/dbraw/zinc/85/65/44/1072856544.db2.gz RDUKKOVRWIQENB-UHFFFAOYSA-N 0 0 438.506 -0.050 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000532165409 1072856526 /nfs/dbraw/zinc/85/65/26/1072856526.db2.gz FDFKEPYZSLGQOL-UHFFFAOYSA-N 0 0 427.570 -0.398 20 0 IBADRN O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532172613 1072855596 /nfs/dbraw/zinc/85/55/96/1072855596.db2.gz OZAKBQOMJXYNCT-MSOLQXFVSA-N 0 0 445.586 -0.466 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532172617 1072856420 /nfs/dbraw/zinc/85/64/20/1072856420.db2.gz OZAKBQOMJXYNCT-QZTJIDSGSA-N 0 0 445.586 -0.466 20 0 IBADRN O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532172618 1072856178 /nfs/dbraw/zinc/85/61/78/1072856178.db2.gz OZAKBQOMJXYNCT-ROUUACIJSA-N 0 0 445.586 -0.466 20 0 IBADRN O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532172619 1072856115 /nfs/dbraw/zinc/85/61/15/1072856115.db2.gz OZAKBQOMJXYNCT-ZWKOTPCHSA-N 0 0 445.586 -0.466 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)n1)N1CCOCC1 ZINC000532178358 1074360789 /nfs/dbraw/zinc/36/07/89/1074360789.db2.gz TYBILLOIRABUJC-DLBZAZTESA-N 0 0 448.524 -0.560 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)n1)N1CCOCC1 ZINC000532178359 1074360773 /nfs/dbraw/zinc/36/07/73/1074360773.db2.gz TYBILLOIRABUJC-IAGOWNOFSA-N 0 0 448.524 -0.560 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)n1)N1CCOCC1 ZINC000532178360 1074360798 /nfs/dbraw/zinc/36/07/98/1074360798.db2.gz TYBILLOIRABUJC-IRXDYDNUSA-N 0 0 448.524 -0.560 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)n1)N1CCOCC1 ZINC000532178361 1074360902 /nfs/dbraw/zinc/36/09/02/1074360902.db2.gz TYBILLOIRABUJC-SJORKVTESA-N 0 0 448.524 -0.560 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)cn1)N1CCOCC1 ZINC000532178977 1072856638 /nfs/dbraw/zinc/85/66/38/1072856638.db2.gz FXSPUZZWJRWLCG-MSOLQXFVSA-N 0 0 448.524 -0.560 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)cn1)N1CCOCC1 ZINC000532178978 1072856683 /nfs/dbraw/zinc/85/66/83/1072856683.db2.gz FXSPUZZWJRWLCG-QZTJIDSGSA-N 0 0 448.524 -0.560 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)cn1)N1CCOCC1 ZINC000532178979 1072856317 /nfs/dbraw/zinc/85/63/17/1072856317.db2.gz FXSPUZZWJRWLCG-ROUUACIJSA-N 0 0 448.524 -0.560 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)cn1)N1CCOCC1 ZINC000532178980 1072856346 /nfs/dbraw/zinc/85/63/46/1072856346.db2.gz FXSPUZZWJRWLCG-ZWKOTPCHSA-N 0 0 448.524 -0.560 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccccc3O)CC2)c1 ZINC000532185151 1072856667 /nfs/dbraw/zinc/85/66/67/1072856667.db2.gz LFXUISFFAHPKTC-UHFFFAOYSA-N 0 0 425.445 -0.105 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000532222271 1072856145 /nfs/dbraw/zinc/85/61/45/1072856145.db2.gz HJWDQEWTPGZNLQ-UHFFFAOYSA-N 0 0 431.541 -0.472 20 0 IBADRN NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000532233418 1072856960 /nfs/dbraw/zinc/85/69/60/1072856960.db2.gz XJEMNTQSHGSGOH-DZGCQCFKSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000532233419 1072856890 /nfs/dbraw/zinc/85/68/90/1072856890.db2.gz XJEMNTQSHGSGOH-HIFRSBDPSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000532233420 1072856990 /nfs/dbraw/zinc/85/69/90/1072856990.db2.gz XJEMNTQSHGSGOH-UKRRQHHQSA-N 0 0 438.506 -0.194 20 0 IBADRN NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000532233421 1072856916 /nfs/dbraw/zinc/85/69/16/1072856916.db2.gz XJEMNTQSHGSGOH-ZFWWWQNUSA-N 0 0 438.506 -0.194 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cc3ccc(S(N)(=O)=O)cc3)CC2)cn1C ZINC000532244469 1072857020 /nfs/dbraw/zinc/85/70/20/1072857020.db2.gz GTZXLVONNXFPRW-UHFFFAOYSA-N 0 0 441.535 -0.548 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cc(S(=O)(=O)N(C)C)c[nH]3)CC2)cn1C ZINC000532244638 1072856926 /nfs/dbraw/zinc/85/69/26/1072856926.db2.gz PSLNWXVPLNMQBX-UHFFFAOYSA-N 0 0 444.539 -0.546 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cc3ccc(S(N)(=O)=O)s3)CC2)cn1C ZINC000532244723 1072856899 /nfs/dbraw/zinc/85/68/99/1072856899.db2.gz NAHMHPCQQSIUDX-UHFFFAOYSA-N 0 0 447.564 -0.487 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC([C@@H](O)C(F)(F)F)CC3)cnc2n(C)c1=O ZINC000532248540 1072856872 /nfs/dbraw/zinc/85/68/72/1072856872.db2.gz XKNDXZVPFHWCES-GFCCVEGCSA-N 0 0 443.382 -0.268 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC([C@H](O)C(F)(F)F)CC3)cnc2n(C)c1=O ZINC000532248541 1072856972 /nfs/dbraw/zinc/85/69/72/1072856972.db2.gz XKNDXZVPFHWCES-LBPRGKRZSA-N 0 0 443.382 -0.268 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC[C@@H](C)O ZINC000532274146 1072856933 /nfs/dbraw/zinc/85/69/33/1072856933.db2.gz KOFSDKTXVOPMGR-CYBMUJFWSA-N 0 0 428.511 -0.543 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC[C@@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000532274163 1072857138 /nfs/dbraw/zinc/85/71/38/1072857138.db2.gz NUIRJMMYDHCGMM-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000532287440 1072857407 /nfs/dbraw/zinc/85/74/07/1072857407.db2.gz WAOJPCZPTQINCB-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)c1 ZINC000532288113 1072857394 /nfs/dbraw/zinc/85/73/94/1072857394.db2.gz QXHAULVFDREBSA-UHFFFAOYSA-N 0 0 444.521 -0.432 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000532288116 1072857468 /nfs/dbraw/zinc/85/74/68/1072857468.db2.gz SFOXTNSDNMGAKN-UHFFFAOYSA-N 0 0 437.478 -0.043 20 0 IBADRN COc1cc(C(=O)NCCS(=O)(=O)N2CCSCC2)sc1S(N)(=O)=O ZINC000532288291 1072857570 /nfs/dbraw/zinc/85/75/70/1072857570.db2.gz IUOJYDNQQHAAFC-UHFFFAOYSA-N 0 0 429.567 -0.488 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)CNS(=O)(=O)c2cn(C)cn2)C1=O ZINC000532288777 1072857494 /nfs/dbraw/zinc/85/74/94/1072857494.db2.gz GXETVHIZFODDGS-LLVKDONJSA-N 0 0 441.491 -0.532 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)CNS(=O)(=O)c2cn(C)cn2)C1=O ZINC000532288780 1072857554 /nfs/dbraw/zinc/85/75/54/1072857554.db2.gz GXETVHIZFODDGS-NSHDSACASA-N 0 0 441.491 -0.532 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCN(c3ncccc3F)C2)CC1 ZINC000532290238 1072857517 /nfs/dbraw/zinc/85/75/17/1072857517.db2.gz STFFHJYZAVDLEC-AWEZNQCLSA-N 0 0 432.456 -0.198 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCN(c3ncccc3F)C2)CC1 ZINC000532290239 1072857636 /nfs/dbraw/zinc/85/76/36/1072857636.db2.gz STFFHJYZAVDLEC-CQSZACIVSA-N 0 0 432.456 -0.198 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)nn2)cc1 ZINC000532291590 1072857451 /nfs/dbraw/zinc/85/74/51/1072857451.db2.gz KMMXLDZUTGAMNI-UHFFFAOYSA-N 0 0 426.441 -0.684 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cn(-c3ccc(OC)cc3)nn2)CC1 ZINC000532291809 1072857606 /nfs/dbraw/zinc/85/76/06/1072857606.db2.gz VYTODCXSOFIIGN-UHFFFAOYSA-N 0 0 431.497 -0.134 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000532295007 1072857988 /nfs/dbraw/zinc/85/79/88/1072857988.db2.gz SOPLKGSMPCCYCD-HNNXBMFYSA-N 0 0 426.481 -0.244 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000532295012 1072857957 /nfs/dbraw/zinc/85/79/57/1072857957.db2.gz SOPLKGSMPCCYCD-OAHLLOKOSA-N 0 0 426.481 -0.244 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000532295982 1072858141 /nfs/dbraw/zinc/85/81/41/1072858141.db2.gz ASUOKQXULHJAOL-UHFFFAOYSA-N 0 0 434.522 -0.317 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000532296435 1072858119 /nfs/dbraw/zinc/85/81/19/1072858119.db2.gz ZABSELKPYXLSED-UHFFFAOYSA-N 0 0 443.551 -0.157 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)c1 ZINC000532296545 1072858043 /nfs/dbraw/zinc/85/80/43/1072858043.db2.gz APLGWPHEQCEIBX-UHFFFAOYSA-N 0 0 435.462 -0.588 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)c1 ZINC000532296557 1072857949 /nfs/dbraw/zinc/85/79/49/1072857949.db2.gz CIWWZVIWOPRUGQ-UHFFFAOYSA-N 0 0 435.506 -0.186 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)cc1 ZINC000532296778 1072858154 /nfs/dbraw/zinc/85/81/54/1072858154.db2.gz XIGAYJMCCKRFMK-UHFFFAOYSA-N 0 0 441.535 -0.460 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)c1 ZINC000532296803 1072858068 /nfs/dbraw/zinc/85/80/68/1072858068.db2.gz KEVJQFNRJHBIPO-UHFFFAOYSA-N 0 0 441.535 -0.332 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000532298492 1072857925 /nfs/dbraw/zinc/85/79/25/1072857925.db2.gz FNUMJDGCHXTUCH-UHFFFAOYSA-N 0 0 433.552 -0.156 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000532298626 1072857914 /nfs/dbraw/zinc/85/79/14/1072857914.db2.gz KWCOVJAFDHFCKR-UHFFFAOYSA-N 0 0 429.524 -0.556 20 0 IBADRN Cc1oc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cc1S(N)(=O)=O ZINC000532298919 1072857870 /nfs/dbraw/zinc/85/78/70/1072857870.db2.gz NXANKNLIMLEAOM-UHFFFAOYSA-N 0 0 436.494 -0.176 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)c1 ZINC000532299098 1072857997 /nfs/dbraw/zinc/85/79/97/1072857997.db2.gz OZMLEFMZLGUFBO-UHFFFAOYSA-N 0 0 431.471 -0.083 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)c1 ZINC000532299112 1072857937 /nfs/dbraw/zinc/85/79/37/1072857937.db2.gz WLSNMSFAPYRGNN-UHFFFAOYSA-N 0 0 426.543 -0.709 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000532299150 1072857904 /nfs/dbraw/zinc/85/79/04/1072857904.db2.gz VPRBJOZXSAYETE-UHFFFAOYSA-N 0 0 444.539 -0.347 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccn(-c3ccccc3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000532300164 1072858407 /nfs/dbraw/zinc/85/84/07/1072858407.db2.gz ACXLLOPAQWAZDX-GOSISDBHSA-N 0 0 445.545 -0.138 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccn(-c3ccccc3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000532300166 1072858361 /nfs/dbraw/zinc/85/83/61/1072858361.db2.gz ACXLLOPAQWAZDX-SFHVURJKSA-N 0 0 445.545 -0.138 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)cn1 ZINC000532310048 1072858371 /nfs/dbraw/zinc/85/83/71/1072858371.db2.gz QNTIPTBGVFSDAT-UHFFFAOYSA-N 0 0 427.509 -0.152 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCc2ccc3c(c2)CCS3(=O)=O)CC1 ZINC000532316735 1072858503 /nfs/dbraw/zinc/85/85/03/1072858503.db2.gz NDJRFHXOVHZHNT-UHFFFAOYSA-N 0 0 436.534 -0.298 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccc4c(c3)CCS4(=O)=O)c2c(=O)n(C)c1=O ZINC000532317188 1072858488 /nfs/dbraw/zinc/85/84/88/1072858488.db2.gz KMRLDNGVOWPYFY-UHFFFAOYSA-N 0 0 431.474 -0.530 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000532318800 1072858434 /nfs/dbraw/zinc/85/84/34/1072858434.db2.gz AGCZJEFHGPLEBY-UHFFFAOYSA-N 0 0 444.529 -0.064 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532319049 1072858641 /nfs/dbraw/zinc/85/86/41/1072858641.db2.gz XFSVEWBGBSVSSM-UHFFFAOYSA-N 0 0 449.551 -0.720 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000532319157 1072858465 /nfs/dbraw/zinc/85/84/65/1072858465.db2.gz NQCBUSRPLONOBT-UHFFFAOYSA-N 0 0 427.932 -0.310 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000532320794 1072858935 /nfs/dbraw/zinc/85/89/35/1072858935.db2.gz URJRHRVTQJZUKX-UHFFFAOYSA-N 0 0 427.523 -0.136 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000532321110 1072858421 /nfs/dbraw/zinc/85/84/21/1072858421.db2.gz ZFBYKBFSDDUFGV-UHFFFAOYSA-N 0 0 433.552 -0.135 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000532321323 1072859060 /nfs/dbraw/zinc/85/90/60/1072859060.db2.gz JBFYKHUUMMNBFG-UHFFFAOYSA-N 0 0 444.529 -0.344 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000532321675 1072858945 /nfs/dbraw/zinc/85/89/45/1072858945.db2.gz XETHVYZZEGRLTB-UHFFFAOYSA-N 0 0 431.486 -0.169 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2ccc(OC)cc2OC)CC1 ZINC000532322913 1072858958 /nfs/dbraw/zinc/85/89/58/1072858958.db2.gz ANIJKALOBYDUIQ-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000532326966 1072858983 /nfs/dbraw/zinc/85/89/83/1072858983.db2.gz HDTXBUCYEOYPPE-UHFFFAOYSA-N 0 0 427.479 -0.344 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000532328208 1072859103 /nfs/dbraw/zinc/85/91/03/1072859103.db2.gz PZLSEQMGWYFWPU-UHFFFAOYSA-N 0 0 432.587 -0.122 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(c2ncc(C(=O)OCC)s2)CC1 ZINC000532331996 1072859071 /nfs/dbraw/zinc/85/90/71/1072859071.db2.gz MFICSXDJVJAAEU-UHFFFAOYSA-N 0 0 434.540 -0.076 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000532335445 1072858824 /nfs/dbraw/zinc/85/88/24/1072858824.db2.gz NUKZVUAWQRILPE-KBPBESRZSA-N 0 0 431.515 -0.587 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000532335446 1072859028 /nfs/dbraw/zinc/85/90/28/1072859028.db2.gz NUKZVUAWQRILPE-OKILXGFUSA-N 0 0 431.515 -0.587 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000532335447 1072859122 /nfs/dbraw/zinc/85/91/22/1072859122.db2.gz NUKZVUAWQRILPE-ZIAGYGMSSA-N 0 0 431.515 -0.587 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)n1)N1CCOCC1 ZINC000532336119 1073322325 /nfs/dbraw/zinc/32/23/25/1073322325.db2.gz OUFRKIZUEVFEDY-AWEZNQCLSA-N 0 0 445.455 -0.390 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)n1)N1CCOCC1 ZINC000532336120 1072858904 /nfs/dbraw/zinc/85/89/04/1072858904.db2.gz OUFRKIZUEVFEDY-CQSZACIVSA-N 0 0 445.455 -0.390 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCc2ccc3c(c2)OCO3)n1)N1CCOCC1 ZINC000532339735 1073322031 /nfs/dbraw/zinc/32/20/31/1073322031.db2.gz AVWHNNOVXPNUAN-UHFFFAOYSA-N 0 0 429.433 -0.232 20 0 IBADRN COC(=O)c1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000532339792 1073328091 /nfs/dbraw/zinc/32/80/91/1073328091.db2.gz SQZQQVPGKKZHEQ-UHFFFAOYSA-N 0 0 429.433 -0.217 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccccc3)n2)CC1 ZINC000532339995 1073320468 /nfs/dbraw/zinc/32/04/68/1073320468.db2.gz ZRQIGHZTQFIODL-UHFFFAOYSA-N 0 0 440.504 -0.127 20 0 IBADRN CCCn1c2ccc(S(N)(=O)=O)cc2nc1CCC(=O)N1CCN(CC(N)=O)CC1 ZINC000532349414 1072859671 /nfs/dbraw/zinc/85/96/71/1072859671.db2.gz GLYKLHWTOFDXSK-UHFFFAOYSA-N 0 0 436.538 -0.344 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000532349447 1072859324 /nfs/dbraw/zinc/85/93/24/1072859324.db2.gz OYSPZINWQLNCOG-UHFFFAOYSA-N 0 0 433.328 -0.253 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CC(N)=O)CC2)c1 ZINC000532349667 1072859509 /nfs/dbraw/zinc/85/95/09/1072859509.db2.gz ASUNCAUOMYAMHO-UHFFFAOYSA-N 0 0 439.538 -0.924 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000532349987 1072859340 /nfs/dbraw/zinc/85/93/40/1072859340.db2.gz ZTJUAZUKLLLTGY-UHFFFAOYSA-N 0 0 438.428 -0.117 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)cc1 ZINC000532352695 1072859564 /nfs/dbraw/zinc/85/95/64/1072859564.db2.gz ZYSSXPMAQBAJDD-UHFFFAOYSA-N 0 0 425.488 -0.041 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCN(CC(N)=O)CC1 ZINC000532356615 1072859087 /nfs/dbraw/zinc/85/90/87/1072859087.db2.gz IOCCVDWHUMARBR-HNNXBMFYSA-N 0 0 444.941 -0.497 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCN(CC(N)=O)CC1 ZINC000532356616 1072858884 /nfs/dbraw/zinc/85/88/84/1072858884.db2.gz IOCCVDWHUMARBR-OAHLLOKOSA-N 0 0 444.941 -0.497 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2cc(I)ccc2-n2cnnn2)CC1 ZINC000532356650 1072858971 /nfs/dbraw/zinc/85/89/71/1072858971.db2.gz JZKFHPQAJTWRRV-UHFFFAOYSA-N 0 0 441.233 -0.490 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000532356710 1072859450 /nfs/dbraw/zinc/85/94/50/1072859450.db2.gz MMQSWXJZQDJNHG-UHFFFAOYSA-N 0 0 433.328 -0.253 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(CC(N)=O)CC3)CC2)cc1 ZINC000532356968 1072858994 /nfs/dbraw/zinc/85/89/94/1072858994.db2.gz QUZKCDGBXCJPRF-UHFFFAOYSA-N 0 0 436.534 -0.081 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000532359215 1072859653 /nfs/dbraw/zinc/85/96/53/1072859653.db2.gz FVCULUDJFIAQKF-BLLLJJGKSA-N 0 0 431.536 -0.171 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000532359216 1072859595 /nfs/dbraw/zinc/85/95/95/1072859595.db2.gz FVCULUDJFIAQKF-LRDDRELGSA-N 0 0 431.536 -0.171 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000532359217 1072859547 /nfs/dbraw/zinc/85/95/47/1072859547.db2.gz FVCULUDJFIAQKF-MLGOLLRUSA-N 0 0 431.536 -0.171 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000532359218 1072859685 /nfs/dbraw/zinc/85/96/85/1072859685.db2.gz FVCULUDJFIAQKF-WBMJQRKESA-N 0 0 431.536 -0.171 20 0 IBADRN CC1(C)c2cc(S(=O)(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2NC1=O ZINC000532362961 1072859358 /nfs/dbraw/zinc/85/93/58/1072859358.db2.gz RLEBTXYTJHUXGJ-UHFFFAOYSA-N 0 0 448.501 -0.102 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000532367829 1072860434 /nfs/dbraw/zinc/86/04/34/1072860434.db2.gz PVBWOTHMKUIPEJ-UHFFFAOYSA-N 0 0 446.429 -0.789 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)CC1 ZINC000532369768 1072860703 /nfs/dbraw/zinc/86/07/03/1072860703.db2.gz VTNFNHOOSYTBFQ-KRWDZBQOSA-N 0 0 438.550 -0.233 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)CC1 ZINC000532369769 1072860619 /nfs/dbraw/zinc/86/06/19/1072860619.db2.gz VTNFNHOOSYTBFQ-QGZVFWFLSA-N 0 0 438.550 -0.233 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCN(CCC(=O)N(C)C)CC2)CC1 ZINC000532382490 1072868221 /nfs/dbraw/zinc/86/82/21/1072868221.db2.gz WMSUOMFCTIGMOO-UHFFFAOYSA-N 0 0 426.558 -0.053 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)CC1 ZINC000532382801 1072867980 /nfs/dbraw/zinc/86/79/80/1072867980.db2.gz KZUNFXPVNCNGJG-UHFFFAOYSA-N 0 0 444.492 -0.354 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000532382911 1072866924 /nfs/dbraw/zinc/86/69/24/1072866924.db2.gz CWIRYSIXDJMANZ-AWEZNQCLSA-N 0 0 428.559 -0.674 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000532382912 1072867457 /nfs/dbraw/zinc/86/74/57/1072867457.db2.gz CWIRYSIXDJMANZ-CQSZACIVSA-N 0 0 428.559 -0.674 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000532382983 1072867488 /nfs/dbraw/zinc/86/74/88/1072867488.db2.gz PQCFAGBMBYUMIP-UHFFFAOYSA-N 0 0 433.494 -0.666 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1)N1CCOCC1 ZINC000532393035 1072870960 /nfs/dbraw/zinc/87/09/60/1072870960.db2.gz CBDRQZGMZQCKCB-UHFFFAOYSA-N 0 0 444.492 -0.253 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1)N1CCOCC1 ZINC000532393359 1072590543 /nfs/dbraw/zinc/59/05/43/1072590543.db2.gz OASFEGNKWMCKOC-UHFFFAOYSA-N 0 0 444.492 -0.253 20 0 IBADRN O=S(=O)(c1ccc(N2CCOCC2)c(NCC2(CO)COC2)c1)N1CCOCC1 ZINC000532393545 1072871004 /nfs/dbraw/zinc/87/10/04/1072871004.db2.gz IZKPVBIUALVQCU-UHFFFAOYSA-N 0 0 427.523 -0.035 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2CCN(c3ccccc3)CC2)CC1)N1CCOCC1 ZINC000532396258 1072871541 /nfs/dbraw/zinc/87/15/41/1072871541.db2.gz MHPIDGDCWDODGS-UHFFFAOYSA-N 0 0 444.580 -0.005 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000532398355 1072871471 /nfs/dbraw/zinc/87/14/71/1072871471.db2.gz UKGVOTYLWFNLKQ-UHFFFAOYSA-N 0 0 425.511 -0.980 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCc2nnc(-c3ccc(F)cc3)[nH]2)cn1 ZINC000532400642 1072872019 /nfs/dbraw/zinc/87/20/19/1072872019.db2.gz QTMKUCUAYKTOKB-UHFFFAOYSA-N 0 0 444.427 -0.175 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CCO1 ZINC000532400877 1072872278 /nfs/dbraw/zinc/87/22/78/1072872278.db2.gz ZOACBJQVGOUOAP-BZUAXINKSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCN3CCO[C@@H](C)C3)cn2)C[C@H](C)O1 ZINC000532400878 1072872246 /nfs/dbraw/zinc/87/22/46/1072872246.db2.gz ZOACBJQVGOUOAP-HRCADAONSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CCO1 ZINC000532400879 1072871989 /nfs/dbraw/zinc/87/19/89/1072871989.db2.gz ZOACBJQVGOUOAP-OAGGEKHMSA-N 0 0 436.513 -0.706 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CCO1 ZINC000532400880 1072872165 /nfs/dbraw/zinc/87/21/65/1072872165.db2.gz ZOACBJQVGOUOAP-PMPSAXMXSA-N 0 0 436.513 -0.706 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)c1 ZINC000532401854 1072872069 /nfs/dbraw/zinc/87/20/69/1072872069.db2.gz NGGIONTWTYUPOU-CYBMUJFWSA-N 0 0 437.478 -0.861 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)c1 ZINC000532401856 1072872706 /nfs/dbraw/zinc/87/27/06/1072872706.db2.gz NGGIONTWTYUPOU-ZDUSSCGKSA-N 0 0 437.478 -0.861 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(C)c3)CC2)c1 ZINC000532402649 1072872503 /nfs/dbraw/zinc/87/25/03/1072872503.db2.gz BDCDDRODKIJUSE-UHFFFAOYSA-N 0 0 437.478 -0.091 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)cn1 ZINC000532402952 1072872714 /nfs/dbraw/zinc/87/27/14/1072872714.db2.gz XTVKPQXDXGYTAA-UHFFFAOYSA-N 0 0 435.462 -0.337 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCc2ccc3c(c2)OCO3)cn1)N1CCOCC1 ZINC000532403148 1072872823 /nfs/dbraw/zinc/87/28/23/1072872823.db2.gz CBNIJIWEDIQYKJ-UHFFFAOYSA-N 0 0 429.433 -0.232 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)cn1 ZINC000532403445 1072872625 /nfs/dbraw/zinc/87/26/25/1072872625.db2.gz QIJQNXRYOJAUGX-UHFFFAOYSA-N 0 0 432.462 -0.614 20 0 IBADRN CN(CCCNC(=O)C[S@](=O)Cc1nnnn1Cc1ccccc1)S(C)(=O)=O ZINC000532404404 1072872543 /nfs/dbraw/zinc/87/25/43/1072872543.db2.gz SESPPFBIRKZAQF-HHHXNRCGSA-N 0 0 428.540 -0.632 20 0 IBADRN CN(CCCNC(=O)C[S@@](=O)Cc1nnnn1Cc1ccccc1)S(C)(=O)=O ZINC000532404407 1072872762 /nfs/dbraw/zinc/87/27/62/1072872762.db2.gz SESPPFBIRKZAQF-MHZLTWQESA-N 0 0 428.540 -0.632 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)cn1)N1CCOCC1 ZINC000532405259 1072872512 /nfs/dbraw/zinc/87/25/12/1072872512.db2.gz HPAHYUFIIRJWPD-AWEZNQCLSA-N 0 0 445.455 -0.390 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)cn1)N1CCOCC1 ZINC000532405260 1072872616 /nfs/dbraw/zinc/87/26/16/1072872616.db2.gz HPAHYUFIIRJWPD-CQSZACIVSA-N 0 0 445.455 -0.390 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCCC2)CC1)NCc1cccc(C(=O)NC2CC2)c1 ZINC000532407256 1072873240 /nfs/dbraw/zinc/87/32/40/1072873240.db2.gz PRUAORSWCLGFPA-UHFFFAOYSA-N 0 0 441.532 -0.038 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCCC[C@H]1CNS(C)(=O)=O)S(C)(=O)=O ZINC000532407513 1072873347 /nfs/dbraw/zinc/87/33/47/1072873347.db2.gz GIKAWZLYSLASOY-HNNXBMFYSA-N 0 0 426.605 -0.434 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCCC[C@@H]1CNS(C)(=O)=O)S(C)(=O)=O ZINC000532407514 1072873385 /nfs/dbraw/zinc/87/33/85/1072873385.db2.gz GIKAWZLYSLASOY-OAHLLOKOSA-N 0 0 426.605 -0.434 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)NCc2cccc(C(=O)NC3CC3)c2)CC1 ZINC000532407583 1072873458 /nfs/dbraw/zinc/87/34/58/1072873458.db2.gz NZEBZCILZXLDAR-UHFFFAOYSA-N 0 0 429.521 -0.136 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CCO2)n1 ZINC000532408244 1072873128 /nfs/dbraw/zinc/87/31/28/1072873128.db2.gz BIRRCNICXRFCFH-KRWDZBQOSA-N 0 0 430.575 -0.228 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CCO2)n1 ZINC000532408247 1072873049 /nfs/dbraw/zinc/87/30/49/1072873049.db2.gz BIRRCNICXRFCFH-QGZVFWFLSA-N 0 0 430.575 -0.228 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000532410537 1072873781 /nfs/dbraw/zinc/87/37/81/1072873781.db2.gz SAQNASFFUKSZAJ-UHFFFAOYSA-N 0 0 434.522 -0.134 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000532410796 1072873703 /nfs/dbraw/zinc/87/37/03/1072873703.db2.gz GUDGBDYAOCQRPD-AWEZNQCLSA-N 0 0 439.538 -0.925 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000532410797 1072874485 /nfs/dbraw/zinc/87/44/85/1072874485.db2.gz GUDGBDYAOCQRPD-CQSZACIVSA-N 0 0 439.538 -0.925 20 0 IBADRN COC(=O)CN(C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000532410816 1072874507 /nfs/dbraw/zinc/87/45/07/1072874507.db2.gz RHBSFUSEWJXYCH-GFCCVEGCSA-N 0 0 427.479 -0.367 20 0 IBADRN COC(=O)CN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000532410818 1072874309 /nfs/dbraw/zinc/87/43/09/1072874309.db2.gz RHBSFUSEWJXYCH-LBPRGKRZSA-N 0 0 427.479 -0.367 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000532411072 1072873833 /nfs/dbraw/zinc/87/38/33/1072873833.db2.gz FUABCDVJANHTIE-LLVKDONJSA-N 0 0 434.540 -0.714 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000532411073 1072873985 /nfs/dbraw/zinc/87/39/85/1072873985.db2.gz FUABCDVJANHTIE-NSHDSACASA-N 0 0 434.540 -0.714 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC(C(=O)N2CCOCC2)CC1)S(C)(=O)=O ZINC000532411798 1072873953 /nfs/dbraw/zinc/87/39/53/1072873953.db2.gz CQIJAXKPWMJUQG-UHFFFAOYSA-N 0 0 432.587 -0.267 20 0 IBADRN COCc1ccccc1CNC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532411834 1072873898 /nfs/dbraw/zinc/87/38/98/1072873898.db2.gz KCBUCTGTGGPBHX-UHFFFAOYSA-N 0 0 439.582 -0.441 20 0 IBADRN COCc1ccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000532411892 1072874014 /nfs/dbraw/zinc/87/40/14/1072874014.db2.gz MGPXZVVMENQAIE-UHFFFAOYSA-N 0 0 426.539 -0.356 20 0 IBADRN COCc1ccccc1CNC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532412076 1072873658 /nfs/dbraw/zinc/87/36/58/1072873658.db2.gz WGYQLUCNJGGOCQ-UHFFFAOYSA-N 0 0 426.539 -0.356 20 0 IBADRN O=C(CN1CCN([C@H]2CCS(=O)(=O)C2)CC1)NCCOc1ccc2c(c1)OCO2 ZINC000532412086 1072873751 /nfs/dbraw/zinc/87/37/51/1072873751.db2.gz YAOUHPDSNYAPJQ-HNNXBMFYSA-N 0 0 425.507 -0.285 20 0 IBADRN O=C(CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1)NCCOc1ccc2c(c1)OCO2 ZINC000532412087 1072873740 /nfs/dbraw/zinc/87/37/40/1072873740.db2.gz YAOUHPDSNYAPJQ-OAHLLOKOSA-N 0 0 425.507 -0.285 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000532412900 1072874380 /nfs/dbraw/zinc/87/43/80/1072874380.db2.gz JJUFPBTWMSUOJO-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)NCc1cccc(C(=O)NC2CC2)c1 ZINC000532413141 1072874597 /nfs/dbraw/zinc/87/45/97/1072874597.db2.gz HSXYJCUDGXIFCS-UHFFFAOYSA-N 0 0 443.548 -0.329 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000532413264 1072874577 /nfs/dbraw/zinc/87/45/77/1072874577.db2.gz IVEZXKAMZZJXHD-UHFFFAOYSA-N 0 0 434.537 -0.196 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC000532415674 1072874835 /nfs/dbraw/zinc/87/48/35/1072874835.db2.gz HQUVJTIBUHCFJK-GOSISDBHSA-N 0 0 431.541 -0.438 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC000532415678 1072875045 /nfs/dbraw/zinc/87/50/45/1072875045.db2.gz HQUVJTIBUHCFJK-SFHVURJKSA-N 0 0 431.541 -0.438 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)c1ccc2c(c1)NC(=O)CO2 ZINC000532418977 1072875609 /nfs/dbraw/zinc/87/56/09/1072875609.db2.gz KXMXTRKDXSWDBJ-UHFFFAOYSA-N 0 0 430.417 -0.287 20 0 IBADRN CC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(C(C)=O)C[C@@H]3C)c[nH]2)[C@@H](C)C1 ZINC000532420892 1072876109 /nfs/dbraw/zinc/87/61/09/1072876109.db2.gz AMBXQOZQJWKKKF-KBPBESRZSA-N 0 0 439.538 -0.051 20 0 IBADRN CC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(C(C)=O)C[C@@H]3C)c[nH]2)[C@H](C)C1 ZINC000532420894 1072876180 /nfs/dbraw/zinc/87/61/80/1072876180.db2.gz AMBXQOZQJWKKKF-KGLIPLIRSA-N 0 0 439.538 -0.051 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCN(C(C)=O)C[C@@H]3C)c2)[C@H](C)C1 ZINC000532420895 1072876004 /nfs/dbraw/zinc/87/60/04/1072876004.db2.gz AMBXQOZQJWKKKF-UONOGXRCSA-N 0 0 439.538 -0.051 20 0 IBADRN CC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(C(C)=O)C[C@H]3C)c[nH]2)[C@H](C)C1 ZINC000532420896 1072876124 /nfs/dbraw/zinc/87/61/24/1072876124.db2.gz AMBXQOZQJWKKKF-ZIAGYGMSSA-N 0 0 439.538 -0.051 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000532423290 1072876072 /nfs/dbraw/zinc/87/60/72/1072876072.db2.gz VMSAHLKIGALKNL-UHFFFAOYSA-N 0 0 433.490 -0.196 20 0 IBADRN O=C(NCc1cnn(CC(F)(F)F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000532426506 1072876716 /nfs/dbraw/zinc/87/67/16/1072876716.db2.gz SYQFIAKXOVPMSE-UHFFFAOYSA-N 0 0 440.448 -0.150 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(c4ccccc4O)CC3)cnc2n(C)c1=O ZINC000532438716 1072877098 /nfs/dbraw/zinc/87/70/98/1072877098.db2.gz MKXHDRUAYVGKKQ-UHFFFAOYSA-N 0 0 438.444 -0.375 20 0 IBADRN CC(=O)N1CCN(CCNc2cc(NCCN3CCN(C(C)=O)CC3)nc(C)n2)CC1 ZINC000532444806 1073306708 /nfs/dbraw/zinc/30/67/08/1073306708.db2.gz VYROUGDCBQORIQ-UHFFFAOYSA-N 0 0 432.573 -0.063 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000532445421 1072877331 /nfs/dbraw/zinc/87/73/31/1072877331.db2.gz VNSKKWWZRVUALX-DLBZAZTESA-N 0 0 435.525 -0.367 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000532445422 1072877132 /nfs/dbraw/zinc/87/71/32/1072877132.db2.gz VNSKKWWZRVUALX-IAGOWNOFSA-N 0 0 435.525 -0.367 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000532445423 1072877083 /nfs/dbraw/zinc/87/70/83/1072877083.db2.gz VNSKKWWZRVUALX-IRXDYDNUSA-N 0 0 435.525 -0.367 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000532445424 1072877040 /nfs/dbraw/zinc/87/70/40/1072877040.db2.gz VNSKKWWZRVUALX-SJORKVTESA-N 0 0 435.525 -0.367 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000532460704 1072877717 /nfs/dbraw/zinc/87/77/17/1072877717.db2.gz HQKDFFHJPBEAIZ-HNNXBMFYSA-N 0 0 425.463 -0.552 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000532460705 1072877961 /nfs/dbraw/zinc/87/79/61/1072877961.db2.gz HQKDFFHJPBEAIZ-OAHLLOKOSA-N 0 0 425.463 -0.552 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CCN1c1nccn2cnnc12 ZINC000532466842 1072877746 /nfs/dbraw/zinc/87/77/46/1072877746.db2.gz KVBLUTJXVCIETB-GFCCVEGCSA-N 0 0 437.464 -0.636 20 0 IBADRN C[C@H]1CN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CCN1c1nccn2cnnc12 ZINC000532466843 1072877883 /nfs/dbraw/zinc/87/78/83/1072877883.db2.gz KVBLUTJXVCIETB-LBPRGKRZSA-N 0 0 437.464 -0.636 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC(C(=O)N3CCOCC3)CC2)c(=O)n(C)c1=O ZINC000532469176 1072878357 /nfs/dbraw/zinc/87/83/57/1072878357.db2.gz SKCGODBJBRQRSF-UHFFFAOYSA-N 0 0 435.525 -0.461 20 0 IBADRN Cc1csc2nc(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc(=O)n12 ZINC000532469852 1072878394 /nfs/dbraw/zinc/87/83/94/1072878394.db2.gz ODLBUSIJQWCZED-UHFFFAOYSA-N 0 0 426.568 -0.326 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3cccc4nsnc43)CC2)CC1 ZINC000532470162 1072878330 /nfs/dbraw/zinc/87/83/30/1072878330.db2.gz ALMKUJYANLENAI-UHFFFAOYSA-N 0 0 439.567 -0.260 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cc3cc(=O)n4cc(Cl)ccc4n3)CC2)CC1 ZINC000532470725 1072878186 /nfs/dbraw/zinc/87/81/86/1072878186.db2.gz SJKWLYAYMOXLOL-UHFFFAOYSA-N 0 0 440.957 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NC3CCCCC3)CC2)CC1 ZINC000532470741 1072878451 /nfs/dbraw/zinc/87/84/51/1072878451.db2.gz PFLXEAMQSARBGC-UHFFFAOYSA-N 0 0 430.575 -0.745 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c(=O)[nH]c1=O ZINC000532470787 1072878421 /nfs/dbraw/zinc/87/84/21/1072878421.db2.gz VMIRVIGTQDITBO-UHFFFAOYSA-N 0 0 436.494 -0.797 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCc3ccc4c(c3)OCO4)CC2)CC1 ZINC000532470918 1072878477 /nfs/dbraw/zinc/87/84/77/1072878477.db2.gz DPZCQFXOOKAALL-UHFFFAOYSA-N 0 0 439.538 -0.859 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000532472404 1072878345 /nfs/dbraw/zinc/87/83/45/1072878345.db2.gz FTZDNKNATQENRI-UHFFFAOYSA-N 0 0 433.509 -0.239 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000532472638 1072878154 /nfs/dbraw/zinc/87/81/54/1072878154.db2.gz LCHPQTVEWNQWEO-CYBMUJFWSA-N 0 0 425.511 -0.670 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000532472641 1072878466 /nfs/dbraw/zinc/87/84/66/1072878466.db2.gz LCHPQTVEWNQWEO-ZDUSSCGKSA-N 0 0 425.511 -0.670 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000532472762 1072878176 /nfs/dbraw/zinc/87/81/76/1072878176.db2.gz QCFGIYJHVRUWGK-UHFFFAOYSA-N 0 0 435.550 -0.299 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC(N3CCNC3=O)CC2)CC1 ZINC000532473873 1072878209 /nfs/dbraw/zinc/87/82/09/1072878209.db2.gz AGUWOWPITJOFGS-UHFFFAOYSA-N 0 0 427.513 -0.499 20 0 IBADRN COc1ccccc1Nc1nc(N)nc(CN2CCN(C(=O)C(=O)NC(C)C)CC2)n1 ZINC000532473989 1072878306 /nfs/dbraw/zinc/87/83/06/1072878306.db2.gz BYBYCVGZJJLHSN-UHFFFAOYSA-N 0 0 428.497 -0.203 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC(N3CCNC3=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000532474171 1072878320 /nfs/dbraw/zinc/87/83/20/1072878320.db2.gz BGMVLFVDAJNZKF-UHFFFAOYSA-N 0 0 440.504 -0.150 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(C(=O)Cc3c[nH]c4ccccc34)CC2)c(=O)n(C)c1=O ZINC000532474248 1072878434 /nfs/dbraw/zinc/87/84/34/1072878434.db2.gz JTBNJNKSXMVVCC-UHFFFAOYSA-N 0 0 438.488 -0.283 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000532475595 1072878947 /nfs/dbraw/zinc/87/89/47/1072878947.db2.gz XFAGLCOLVSCZDY-UHFFFAOYSA-N 0 0 437.522 -0.702 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c1C ZINC000532475606 1072878795 /nfs/dbraw/zinc/87/87/95/1072878795.db2.gz XQBIMEOUJGAJBA-UHFFFAOYSA-N 0 0 437.522 -0.688 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCN(C(=O)c2cccs2)CC1 ZINC000532475872 1072878974 /nfs/dbraw/zinc/87/89/74/1072878974.db2.gz YKMQQLDAZJJVEA-UHFFFAOYSA-N 0 0 433.534 -0.545 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000532476969 1072878718 /nfs/dbraw/zinc/87/87/18/1072878718.db2.gz WMCAEXJMRYVYBR-KRWDZBQOSA-N 0 0 429.525 -0.720 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000532476970 1072878778 /nfs/dbraw/zinc/87/87/78/1072878778.db2.gz WMCAEXJMRYVYBR-QGZVFWFLSA-N 0 0 429.525 -0.720 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000532477252 1072878899 /nfs/dbraw/zinc/87/88/99/1072878899.db2.gz DQOUWSJMMZBHAB-AWEZNQCLSA-N 0 0 437.522 -0.572 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000532477265 1072878690 /nfs/dbraw/zinc/87/86/90/1072878690.db2.gz DQOUWSJMMZBHAB-CQSZACIVSA-N 0 0 437.522 -0.572 20 0 IBADRN O=C(CN(CCO)C1CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000532477966 1072878846 /nfs/dbraw/zinc/87/88/46/1072878846.db2.gz UCHSWLCGHCCCMS-UHFFFAOYSA-N 0 0 425.507 -0.253 20 0 IBADRN Cn1c2nnc(CN3CCN(C(=O)C(=O)N4CCCC4)CC3)n2c2ccsc2c1=O ZINC000532478029 1072878729 /nfs/dbraw/zinc/87/87/29/1072878729.db2.gz NPGKOVMKYNQIGF-UHFFFAOYSA-N 0 0 429.506 -0.091 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2O1 ZINC000532480160 1072878763 /nfs/dbraw/zinc/87/87/63/1072878763.db2.gz GYBMOPILPITXDG-CRAIPNDOSA-N 0 0 437.518 -0.242 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2O1 ZINC000532480161 1072878742 /nfs/dbraw/zinc/87/87/42/1072878742.db2.gz GYBMOPILPITXDG-MAUKXSAKSA-N 0 0 437.518 -0.242 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2O1 ZINC000532480162 1072878889 /nfs/dbraw/zinc/87/88/89/1072878889.db2.gz GYBMOPILPITXDG-QAPCUYQASA-N 0 0 437.518 -0.242 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2O1 ZINC000532480163 1072878921 /nfs/dbraw/zinc/87/89/21/1072878921.db2.gz GYBMOPILPITXDG-YJBOKZPZSA-N 0 0 437.518 -0.242 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC[C@H](O)C3)CC2)cc1 ZINC000532483068 1072879446 /nfs/dbraw/zinc/87/94/46/1072879446.db2.gz XRVMIYYQMDQTGN-HNNXBMFYSA-N 0 0 425.507 -0.099 20 0 IBADRN COC(=O)CN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)Cc1cc(OC)cc(OC)c1 ZINC000532483485 1072879405 /nfs/dbraw/zinc/87/94/05/1072879405.db2.gz KTJYKJRJMVDMRI-UHFFFAOYSA-N 0 0 434.449 -0.459 20 0 IBADRN CCCN(CC(=O)NC)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532484302 1072879412 /nfs/dbraw/zinc/87/94/12/1072879412.db2.gz PJPWIUNDBLLGGU-UHFFFAOYSA-N 0 0 426.539 -0.218 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCN(C[C@H](O)CN4CCOCC4)CC3)cc2[nH]1 ZINC000532488812 1072879234 /nfs/dbraw/zinc/87/92/34/1072879234.db2.gz CTERRHAPTSHUGG-AWEZNQCLSA-N 0 0 425.511 -0.732 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCN(C[C@@H](O)CN4CCOCC4)CC3)cc2[nH]1 ZINC000532488813 1072879330 /nfs/dbraw/zinc/87/93/30/1072879330.db2.gz CTERRHAPTSHUGG-CQSZACIVSA-N 0 0 425.511 -0.732 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000532488901 1072879280 /nfs/dbraw/zinc/87/92/80/1072879280.db2.gz OLKKRTLDIWUDET-UHFFFAOYSA-N 0 0 447.521 -0.033 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(C(=O)Cc3ccccc3F)CC2)c(=O)[nH]c1=O ZINC000532489112 1072879392 /nfs/dbraw/zinc/87/93/92/1072879392.db2.gz LVINLUJGTUBHRT-UHFFFAOYSA-N 0 0 447.467 -0.114 20 0 IBADRN COc1cccc(CC(=O)N2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000532489580 1072878932 /nfs/dbraw/zinc/87/89/32/1072878932.db2.gz DRIKPBDHNPCKGO-AWEZNQCLSA-N 0 0 443.504 -0.367 20 0 IBADRN COc1cccc(CC(=O)N2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000532489589 1072879209 /nfs/dbraw/zinc/87/92/09/1072879209.db2.gz DRIKPBDHNPCKGO-CQSZACIVSA-N 0 0 443.504 -0.367 20 0 IBADRN COC(=O)CCNC(=O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000532489875 1072879324 /nfs/dbraw/zinc/87/93/24/1072879324.db2.gz SGPQKPXEDITJTP-UHFFFAOYSA-N 0 0 425.467 -0.746 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c(OC)c1 ZINC000532493222 1072879289 /nfs/dbraw/zinc/87/92/89/1072879289.db2.gz CPRHFVPVBMLPIY-UHFFFAOYSA-N 0 0 445.476 -0.676 20 0 IBADRN COC(=O)c1nc2nc(C)cc(N3CCN(S(=O)(=O)c4cn(C)c(C)n4)CC3)n2n1 ZINC000532498998 1072879371 /nfs/dbraw/zinc/87/93/71/1072879371.db2.gz WFISDGHHQKJBIY-UHFFFAOYSA-N 0 0 434.482 -0.228 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2C)CC1 ZINC000532516427 1072879944 /nfs/dbraw/zinc/87/99/44/1072879944.db2.gz KNRIMZQLUCDKDW-UHFFFAOYSA-N 0 0 443.464 -0.173 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)s1 ZINC000532525489 1072879305 /nfs/dbraw/zinc/87/93/05/1072879305.db2.gz MKUMQKXAIAGFNH-UHFFFAOYSA-N 0 0 437.503 -0.290 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000532526464 1072879361 /nfs/dbraw/zinc/87/93/61/1072879361.db2.gz SDRZADMDCXMXDG-HNNXBMFYSA-N 0 0 432.520 -0.170 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000532526465 1072879198 /nfs/dbraw/zinc/87/91/98/1072879198.db2.gz SDRZADMDCXMXDG-OAHLLOKOSA-N 0 0 432.520 -0.170 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000532528477 1072879258 /nfs/dbraw/zinc/87/92/58/1072879258.db2.gz ZYBYMALVISFMNG-LLVKDONJSA-N 0 0 435.431 -0.026 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000532528478 1072879348 /nfs/dbraw/zinc/87/93/48/1072879348.db2.gz ZYBYMALVISFMNG-NSHDSACASA-N 0 0 435.431 -0.026 20 0 IBADRN CCCn1c(N)c(C(=O)CN(C)CC(=O)N2CCC(C(=O)OCC)CC2)c(=O)[nH]c1=O ZINC000532528610 1072879756 /nfs/dbraw/zinc/87/97/56/1072879756.db2.gz JFINWUIBKLPHLX-UHFFFAOYSA-N 0 0 437.497 -0.143 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)C1 ZINC000532529613 1072879783 /nfs/dbraw/zinc/87/97/83/1072879783.db2.gz BXJIHZWIUFUJAF-GFCCVEGCSA-N 0 0 435.481 -0.218 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)C1 ZINC000532529614 1072879887 /nfs/dbraw/zinc/87/98/87/1072879887.db2.gz BXJIHZWIUFUJAF-LBPRGKRZSA-N 0 0 435.481 -0.218 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)s1 ZINC000532530715 1072879218 /nfs/dbraw/zinc/87/92/18/1072879218.db2.gz JCJKUFLNFFJZSO-UHFFFAOYSA-N 0 0 439.519 -0.346 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@H](C(C)C)N2CCCS2(=O)=O)c1 ZINC000532532328 1072880336 /nfs/dbraw/zinc/88/03/36/1072880336.db2.gz OBHXLAWDXINPLK-INIZCTEOSA-N 0 0 446.551 -0.291 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H](C(C)C)N2CCCS2(=O)=O)c1 ZINC000532532329 1072880393 /nfs/dbraw/zinc/88/03/93/1072880393.db2.gz OBHXLAWDXINPLK-MRXNPFEDSA-N 0 0 446.551 -0.291 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cn1 ZINC000532543428 1072880010 /nfs/dbraw/zinc/88/00/10/1072880010.db2.gz WEFVFLBRFTYFDI-CYBMUJFWSA-N 0 0 429.499 -0.340 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cn1 ZINC000532543429 1072879771 /nfs/dbraw/zinc/87/97/71/1072879771.db2.gz WEFVFLBRFTYFDI-ZDUSSCGKSA-N 0 0 429.499 -0.340 20 0 IBADRN COCCN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000532544470 1072880512 /nfs/dbraw/zinc/88/05/12/1072880512.db2.gz RBIKXTFPHZXWNE-UHFFFAOYSA-N 0 0 425.551 -0.051 20 0 IBADRN COCCN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000532545606 1072880607 /nfs/dbraw/zinc/88/06/07/1072880607.db2.gz LKEPWMAPSKEAFA-UHFFFAOYSA-N 0 0 445.538 -0.523 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)CC3)CC2)c1 ZINC000532551199 1072880370 /nfs/dbraw/zinc/88/03/70/1072880370.db2.gz FQKLKYKHPVTIPU-UHFFFAOYSA-N 0 0 445.563 -0.399 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000532551337 1072880363 /nfs/dbraw/zinc/88/03/63/1072880363.db2.gz VFHAQEDRNXGUKI-INIZCTEOSA-N 0 0 438.550 -0.107 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000532551338 1072880278 /nfs/dbraw/zinc/88/02/78/1072880278.db2.gz VFHAQEDRNXGUKI-MRXNPFEDSA-N 0 0 438.550 -0.107 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000532551634 1072881125 /nfs/dbraw/zinc/88/11/25/1072881125.db2.gz CPVKQSPOVJQPLZ-HNNXBMFYSA-N 0 0 439.538 -0.863 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000532551635 1072881013 /nfs/dbraw/zinc/88/10/13/1072881013.db2.gz CPVKQSPOVJQPLZ-OAHLLOKOSA-N 0 0 439.538 -0.863 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)CCCO)CC2)cc1OC ZINC000532551640 1072880861 /nfs/dbraw/zinc/88/08/61/1072880861.db2.gz DZJBYVKORQBTLM-UHFFFAOYSA-N 0 0 445.538 -0.787 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)N2CCN(Cc3ccco3)CC2)CC1 ZINC000532551692 1072880989 /nfs/dbraw/zinc/88/09/89/1072880989.db2.gz MZQMZIULEIQUJF-IBGZPJMESA-N 0 0 433.553 -0.211 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)N2CCN(Cc3ccco3)CC2)CC1 ZINC000532551693 1072881073 /nfs/dbraw/zinc/88/10/73/1072881073.db2.gz MZQMZIULEIQUJF-LJQANCHMSA-N 0 0 433.553 -0.211 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(Cc2nnc3n2c2ccccc2c(=O)n3C)CC1 ZINC000532551804 1072880437 /nfs/dbraw/zinc/88/04/37/1072880437.db2.gz UBNXBVRFLMWORO-INIZCTEOSA-N 0 0 439.520 -0.054 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(Cc2nnc3n2c2ccccc2c(=O)n3C)CC1 ZINC000532551806 1072880380 /nfs/dbraw/zinc/88/03/80/1072880380.db2.gz UBNXBVRFLMWORO-MRXNPFEDSA-N 0 0 439.520 -0.054 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)CCCO)CC2)cc1 ZINC000532552426 1072880471 /nfs/dbraw/zinc/88/04/71/1072880471.db2.gz MYKZGWZVFGQMHZ-UHFFFAOYSA-N 0 0 427.523 -0.601 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)c1C ZINC000532556685 1072881496 /nfs/dbraw/zinc/88/14/96/1072881496.db2.gz WDJQDVWPADZSJP-CYBMUJFWSA-N 0 0 433.556 -0.606 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)c1C ZINC000532556686 1072881451 /nfs/dbraw/zinc/88/14/51/1072881451.db2.gz WDJQDVWPADZSJP-ZDUSSCGKSA-N 0 0 433.556 -0.606 20 0 IBADRN O=C(CCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC(=O)NCc1ccccc1 ZINC000532556935 1072881668 /nfs/dbraw/zinc/88/16/68/1072881668.db2.gz OEBGAGANUBFXRZ-UHFFFAOYSA-N 0 0 429.477 -0.304 20 0 IBADRN CN(CCCNC(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1ccccc1 ZINC000532556962 1072881617 /nfs/dbraw/zinc/88/16/17/1072881617.db2.gz USLWCPJKRXRUFP-UHFFFAOYSA-N 0 0 429.521 -0.078 20 0 IBADRN COc1ccc(NC(=O)CN(CCO)CCCO)cc1S(=O)(=O)N1CCOCC1 ZINC000532557197 1072881093 /nfs/dbraw/zinc/88/10/93/1072881093.db2.gz AAGVLTYPABNWKJ-UHFFFAOYSA-N 0 0 431.511 -0.669 20 0 IBADRN O=C(CN(CCO)CCCO)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000532559071 1072881025 /nfs/dbraw/zinc/88/10/25/1072881025.db2.gz QZYQULYOMNTATR-UHFFFAOYSA-N 0 0 425.551 -0.315 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@@H](C)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000532559767 1072880941 /nfs/dbraw/zinc/88/09/41/1072880941.db2.gz PYPVZEOEWOOHBB-LLVKDONJSA-N 0 0 428.467 -0.592 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCn4cnnc4C3)CC2)c1 ZINC000532559777 1072880954 /nfs/dbraw/zinc/88/09/54/1072880954.db2.gz QIQMEHRODKBZOD-UHFFFAOYSA-N 0 0 432.506 -0.171 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@H](C)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000532559833 1072880978 /nfs/dbraw/zinc/88/09/78/1072880978.db2.gz PYPVZEOEWOOHBB-NSHDSACASA-N 0 0 428.467 -0.592 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)CN2CCN(S(N)(=O)=O)CC2)cc1 ZINC000532559878 1072881082 /nfs/dbraw/zinc/88/10/82/1072881082.db2.gz RBORCBGQCVTVHU-UHFFFAOYSA-N 0 0 433.556 -0.523 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CCS(=O)CC2)c(=O)[nH]c1=O ZINC000532559911 1072880964 /nfs/dbraw/zinc/88/09/64/1072880964.db2.gz XHWTZBYRBHBGPA-CYBMUJFWSA-N 0 0 429.543 -0.237 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CCS(=O)CC2)c(=O)[nH]c1=O ZINC000532559935 1072880910 /nfs/dbraw/zinc/88/09/10/1072880910.db2.gz XHWTZBYRBHBGPA-ZDUSSCGKSA-N 0 0 429.543 -0.237 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN(S(N)(=O)=O)CC1 ZINC000532560289 1072881142 /nfs/dbraw/zinc/88/11/42/1072881142.db2.gz YYFCMPKOPWRFBH-GFCCVEGCSA-N 0 0 449.555 -0.906 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN(S(N)(=O)=O)CC1 ZINC000532560295 1072881136 /nfs/dbraw/zinc/88/11/36/1072881136.db2.gz YYFCMPKOPWRFBH-LBPRGKRZSA-N 0 0 449.555 -0.906 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)CN2CCN(S(N)(=O)=O)CC2)ccc1C ZINC000532560596 1072880998 /nfs/dbraw/zinc/88/09/98/1072880998.db2.gz UQICTSSARNMIJL-UHFFFAOYSA-N 0 0 447.583 -0.215 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000532560905 1072880921 /nfs/dbraw/zinc/88/09/21/1072880921.db2.gz WUMFEZNMQXFAOY-UHFFFAOYSA-N 0 0 431.540 -0.769 20 0 IBADRN O=C(CN1CCn2cnnc2C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000532561294 1072880846 /nfs/dbraw/zinc/88/08/46/1072880846.db2.gz XWQZRUFZMNIXPD-UHFFFAOYSA-N 0 0 448.505 -0.602 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NCCNS(=O)(=O)c1cccnc1 ZINC000532566624 1072881712 /nfs/dbraw/zinc/88/17/12/1072881712.db2.gz NUSGVEULCAMFEX-UHFFFAOYSA-N 0 0 443.485 -0.452 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000532567775 1072881389 /nfs/dbraw/zinc/88/13/89/1072881389.db2.gz YKMRZQGLXPZVRO-MOPGFXCFSA-N 0 0 434.541 -0.087 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000532567810 1072881730 /nfs/dbraw/zinc/88/17/30/1072881730.db2.gz YKMRZQGLXPZVRO-OALUTQOASA-N 0 0 434.541 -0.087 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000532567811 1072881429 /nfs/dbraw/zinc/88/14/29/1072881429.db2.gz YKMRZQGLXPZVRO-RBUKOAKNSA-N 0 0 434.541 -0.087 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000532567812 1072881537 /nfs/dbraw/zinc/88/15/37/1072881537.db2.gz YKMRZQGLXPZVRO-RTBURBONSA-N 0 0 434.541 -0.087 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000532568203 1072881638 /nfs/dbraw/zinc/88/16/38/1072881638.db2.gz MZMZEGWHHHAHBB-INIZCTEOSA-N 0 0 432.477 -0.472 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000532568268 1072881416 /nfs/dbraw/zinc/88/14/16/1072881416.db2.gz MZMZEGWHHHAHBB-MRXNPFEDSA-N 0 0 432.477 -0.472 20 0 IBADRN COC[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000532570203 1072881372 /nfs/dbraw/zinc/88/13/72/1072881372.db2.gz DQQANVVGAYQXGQ-KRWDZBQOSA-N 0 0 427.523 -0.329 20 0 IBADRN COC[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000532570234 1072881487 /nfs/dbraw/zinc/88/14/87/1072881487.db2.gz DQQANVVGAYQXGQ-QGZVFWFLSA-N 0 0 427.523 -0.329 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000532570400 1072881522 /nfs/dbraw/zinc/88/15/22/1072881522.db2.gz ZUWPPFMHJIYWOW-UHFFFAOYSA-N 0 0 449.474 -0.799 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)CCO2)n1 ZINC000532570476 1072881626 /nfs/dbraw/zinc/88/16/26/1072881626.db2.gz PGZQDNXQOBAEOW-HNNXBMFYSA-N 0 0 433.513 -0.423 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)CCO2)n1 ZINC000532570487 1072881508 /nfs/dbraw/zinc/88/15/08/1072881508.db2.gz PGZQDNXQOBAEOW-OAHLLOKOSA-N 0 0 433.513 -0.423 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCO1 ZINC000532572070 1072881469 /nfs/dbraw/zinc/88/14/69/1072881469.db2.gz STSLXIUZGJVCKG-IBGZPJMESA-N 0 0 438.550 -0.503 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCO1 ZINC000532572088 1072881972 /nfs/dbraw/zinc/88/19/72/1072881972.db2.gz STSLXIUZGJVCKG-LJQANCHMSA-N 0 0 438.550 -0.503 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000532572218 1072881649 /nfs/dbraw/zinc/88/16/49/1072881649.db2.gz BQPTVRIXLPIOIX-GFCCVEGCSA-N 0 0 431.497 -0.887 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000532572269 1072882146 /nfs/dbraw/zinc/88/21/46/1072882146.db2.gz BQPTVRIXLPIOIX-LBPRGKRZSA-N 0 0 431.497 -0.887 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CCO2)n1 ZINC000532573276 1072882243 /nfs/dbraw/zinc/88/22/43/1072882243.db2.gz CYRNZAQWCHDKRV-KRWDZBQOSA-N 0 0 436.538 -0.398 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CCO2)n1 ZINC000532573282 1072882248 /nfs/dbraw/zinc/88/22/48/1072882248.db2.gz CYRNZAQWCHDKRV-QGZVFWFLSA-N 0 0 436.538 -0.398 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(CCNC(=O)c3ccccc3F)CC2)c(=O)n(C)c1=O ZINC000532574335 1072882021 /nfs/dbraw/zinc/88/20/21/1072882021.db2.gz ABNNOUXPRLEKTB-UHFFFAOYSA-N 0 0 446.483 -0.964 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)C3(C)C)CC2)c1 ZINC000532574818 1072882108 /nfs/dbraw/zinc/88/21/08/1072882108.db2.gz GVMIYHROZQIDJH-UHFFFAOYSA-N 0 0 436.534 -0.068 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCO1 ZINC000532575499 1072882065 /nfs/dbraw/zinc/88/20/65/1072882065.db2.gz GHBAPHQDNBVMPZ-INIZCTEOSA-N 0 0 429.477 -0.803 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2C[C@H](OC)C[C@@H]2C(=O)OC)c(=O)[nH]c1=O ZINC000532575508 1072882198 /nfs/dbraw/zinc/88/21/98/1072882198.db2.gz FCLGSSOYPQHVBU-CHWSQXEVSA-N 0 0 425.486 -0.054 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCO1 ZINC000532575512 1072881939 /nfs/dbraw/zinc/88/19/39/1072881939.db2.gz GHBAPHQDNBVMPZ-MRXNPFEDSA-N 0 0 429.477 -0.803 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000532575599 1072882186 /nfs/dbraw/zinc/88/21/86/1072882186.db2.gz GHLUBKCACVTRDM-UHFFFAOYSA-N 0 0 438.550 -0.121 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(Cc3cccc(F)c3)C(=O)C2)c(=O)[nH]c1=O ZINC000532575693 1072882121 /nfs/dbraw/zinc/88/21/21/1072882121.db2.gz CJCUEVIFWABQNL-UHFFFAOYSA-N 0 0 433.440 -0.156 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2C[C@H](OC)C[C@H]2C(=O)OC)c(=O)[nH]c1=O ZINC000532575756 1072882040 /nfs/dbraw/zinc/88/20/40/1072882040.db2.gz FCLGSSOYPQHVBU-OLZOCXBDSA-N 0 0 425.486 -0.054 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2C[C@@H](OC)C[C@@H]2C(=O)OC)c(=O)[nH]c1=O ZINC000532575757 1072881984 /nfs/dbraw/zinc/88/19/84/1072881984.db2.gz FCLGSSOYPQHVBU-QWHCGFSZSA-N 0 0 425.486 -0.054 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2C[C@@H](OC)C[C@H]2C(=O)OC)c(=O)[nH]c1=O ZINC000532575760 1072882134 /nfs/dbraw/zinc/88/21/34/1072882134.db2.gz FCLGSSOYPQHVBU-STQMWFEESA-N 0 0 425.486 -0.054 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000532576358 1072882165 /nfs/dbraw/zinc/88/21/65/1072882165.db2.gz PWUGWTBXJNUKFB-UHFFFAOYSA-N 0 0 442.538 -0.317 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000532577411 1072882209 /nfs/dbraw/zinc/88/22/09/1072882209.db2.gz MELPWQGQEUJUFS-AWEZNQCLSA-N 0 0 448.542 -0.718 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000532577523 1072882076 /nfs/dbraw/zinc/88/20/76/1072882076.db2.gz MELPWQGQEUJUFS-CQSZACIVSA-N 0 0 448.542 -0.718 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532578268 1072882003 /nfs/dbraw/zinc/88/20/03/1072882003.db2.gz INPUFWDLTGHUQR-UHFFFAOYSA-N 0 0 445.563 -0.556 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@H](NS(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000532579023 1072882047 /nfs/dbraw/zinc/88/20/47/1072882047.db2.gz PFFHKOZWBSDCOG-HNNXBMFYSA-N 0 0 449.533 -0.533 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@@H](NS(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000532579054 1072882031 /nfs/dbraw/zinc/88/20/31/1072882031.db2.gz PFFHKOZWBSDCOG-OAHLLOKOSA-N 0 0 449.533 -0.533 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(c3ccc(C(N)=O)cc3)CC2)c(=O)n(C)c1=O ZINC000532582178 1072882460 /nfs/dbraw/zinc/88/24/60/1072882460.db2.gz UVXFDGUOTJNCDW-UHFFFAOYSA-N 0 0 442.520 -0.111 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000532583506 1072882663 /nfs/dbraw/zinc/88/26/63/1072882663.db2.gz YEFUUXMMYDTMHO-CABCVRRESA-N 0 0 430.461 -0.378 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000532583529 1072882495 /nfs/dbraw/zinc/88/24/95/1072882495.db2.gz YEFUUXMMYDTMHO-GJZGRUSLSA-N 0 0 430.461 -0.378 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000532583536 1072882430 /nfs/dbraw/zinc/88/24/30/1072882430.db2.gz YEFUUXMMYDTMHO-HUUCEWRRSA-N 0 0 430.461 -0.378 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000532583544 1072882556 /nfs/dbraw/zinc/88/25/56/1072882556.db2.gz YEFUUXMMYDTMHO-LSDHHAIUSA-N 0 0 430.461 -0.378 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N(C)CCN1CCN(c2ccccc2)CC1 ZINC000532584280 1072882409 /nfs/dbraw/zinc/88/24/09/1072882409.db2.gz REKGICGUUFNBPT-INIZCTEOSA-N 0 0 428.537 -0.009 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N(C)CCN1CCN(c2ccccc2)CC1 ZINC000532584304 1072882697 /nfs/dbraw/zinc/88/26/97/1072882697.db2.gz REKGICGUUFNBPT-MRXNPFEDSA-N 0 0 428.537 -0.009 20 0 IBADRN C[C@H]1[C@H](C)S(=O)(=O)CCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000532585165 1072882589 /nfs/dbraw/zinc/88/25/89/1072882589.db2.gz WRMKAUWPGRLTNG-KBPBESRZSA-N 0 0 434.518 -0.132 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000532585203 1072882478 /nfs/dbraw/zinc/88/24/78/1072882478.db2.gz WRMKAUWPGRLTNG-KGLIPLIRSA-N 0 0 434.518 -0.132 20 0 IBADRN C[C@@H]1[C@H](C)N(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCS1(=O)=O ZINC000532585206 1072882577 /nfs/dbraw/zinc/88/25/77/1072882577.db2.gz WRMKAUWPGRLTNG-UONOGXRCSA-N 0 0 434.518 -0.132 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000532585209 1072882648 /nfs/dbraw/zinc/88/26/48/1072882648.db2.gz WRMKAUWPGRLTNG-ZIAGYGMSSA-N 0 0 434.518 -0.132 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000532595480 1072883247 /nfs/dbraw/zinc/88/32/47/1072883247.db2.gz SAAOPLHWSPJQTQ-UHFFFAOYSA-N 0 0 430.513 -0.768 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccnn1Cc1ccccn1 ZINC000532595918 1072883171 /nfs/dbraw/zinc/88/31/71/1072883171.db2.gz WHABPGBBQOEUBK-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000532595937 1072883374 /nfs/dbraw/zinc/88/33/74/1072883374.db2.gz YEPQGQZMIQOBOL-UHFFFAOYSA-N 0 0 446.483 -0.232 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)N1CCc2ccccc21 ZINC000532596286 1072883140 /nfs/dbraw/zinc/88/31/40/1072883140.db2.gz VYAXUOKUJBMWAZ-UHFFFAOYSA-N 0 0 426.477 -0.141 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)cn1 ZINC000532596844 1072883198 /nfs/dbraw/zinc/88/31/98/1072883198.db2.gz CJCBIINJCQBLFN-UHFFFAOYSA-N 0 0 442.476 -0.317 20 0 IBADRN Cn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000532597433 1072882979 /nfs/dbraw/zinc/88/29/79/1072882979.db2.gz YXWZFWZDFQIXHQ-UHFFFAOYSA-N 0 0 448.461 -0.777 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)COc3ccc(C)cc3)CC2)cn1 ZINC000532598322 1072883294 /nfs/dbraw/zinc/88/32/94/1072883294.db2.gz MQQCPAUBMAIYEV-UHFFFAOYSA-N 0 0 442.476 -0.374 20 0 IBADRN CC(C)CCn1nccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532598671 1072883124 /nfs/dbraw/zinc/88/31/24/1072883124.db2.gz RCVPRDLDVFKWLT-UHFFFAOYSA-N 0 0 434.497 -0.603 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)c1nc2ncc(Br)cn2n1 ZINC000532602967 1072883682 /nfs/dbraw/zinc/88/36/82/1072883682.db2.gz FHTKENLPELBHBH-UHFFFAOYSA-N 0 0 426.256 -0.010 20 0 IBADRN Cn1cnnc1-c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000532604624 1072884222 /nfs/dbraw/zinc/88/42/22/1072884222.db2.gz GLUJGRNDXNBVHB-UHFFFAOYSA-N 0 0 429.462 -0.090 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)ccc1Cl ZINC000532604635 1072884294 /nfs/dbraw/zinc/88/42/94/1072884294.db2.gz IPUYSIUTFIIRLU-UHFFFAOYSA-N 0 0 425.854 -0.133 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000532605572 1072884382 /nfs/dbraw/zinc/88/43/82/1072884382.db2.gz OSUDPZHWENNYCU-UHFFFAOYSA-N 0 0 447.536 -0.034 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000532606736 1072884786 /nfs/dbraw/zinc/88/47/86/1072884786.db2.gz LFXNQOAZGDKXSO-UHFFFAOYSA-N 0 0 436.446 -0.333 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000532606777 1072884738 /nfs/dbraw/zinc/88/47/38/1072884738.db2.gz HSDVXBNSKDXPPY-GFCCVEGCSA-N 0 0 442.398 -0.374 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000532606784 1072884710 /nfs/dbraw/zinc/88/47/10/1072884710.db2.gz HKRSDSWXPFSJLQ-UHFFFAOYSA-N 0 0 444.466 -0.343 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000532606793 1072884575 /nfs/dbraw/zinc/88/45/75/1072884575.db2.gz HSDVXBNSKDXPPY-LBPRGKRZSA-N 0 0 442.398 -0.374 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000532606896 1072595763 /nfs/dbraw/zinc/59/57/63/1072595763.db2.gz JIHIEUGCNSXURB-UHFFFAOYSA-N 0 0 449.489 -0.418 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)cn1)NC1CC1 ZINC000532607029 1072883812 /nfs/dbraw/zinc/88/38/12/1072883812.db2.gz MKOHFLOPKYBMIE-GFCCVEGCSA-N 0 0 430.387 -0.230 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)cn1)NC1CC1 ZINC000532607036 1072883574 /nfs/dbraw/zinc/88/35/74/1072883574.db2.gz MKOHFLOPKYBMIE-LBPRGKRZSA-N 0 0 430.387 -0.230 20 0 IBADRN CC(C)(C(N)=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000532607551 1072883562 /nfs/dbraw/zinc/88/35/62/1072883562.db2.gz RHMKQPJDRKLCNO-UHFFFAOYSA-N 0 0 433.490 -0.122 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000532607622 1072884596 /nfs/dbraw/zinc/88/45/96/1072884596.db2.gz RRFZUVLEKHMSBC-AWEZNQCLSA-N 0 0 446.430 -0.177 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000532607630 1072884810 /nfs/dbraw/zinc/88/48/10/1072884810.db2.gz RRFZUVLEKHMSBC-CQSZACIVSA-N 0 0 446.430 -0.177 20 0 IBADRN COC(=O)Cn1cc(CCN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)nn1 ZINC000532607785 1072884727 /nfs/dbraw/zinc/88/47/27/1072884727.db2.gz SJCBWLGAQKHSPW-UHFFFAOYSA-N 0 0 449.493 -0.418 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cccc(N2CCOCC2)c1 ZINC000532608974 1072884621 /nfs/dbraw/zinc/88/46/21/1072884621.db2.gz RKCZKTVCQAXDFA-UHFFFAOYSA-N 0 0 433.490 -0.049 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000532609225 1073325581 /nfs/dbraw/zinc/32/55/81/1073325581.db2.gz IXJOVPDBWUUJGW-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000532609343 1072884801 /nfs/dbraw/zinc/88/48/01/1072884801.db2.gz QLPOGJCBDMSCFC-UHFFFAOYSA-N 0 0 430.450 -0.601 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000532609395 1072884777 /nfs/dbraw/zinc/88/47/77/1072884777.db2.gz SMJTVOYBHRSQIW-UHFFFAOYSA-N 0 0 444.477 -0.212 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCN(CCN2CCCS2(=O)=O)CC1 ZINC000532609430 1072884674 /nfs/dbraw/zinc/88/46/74/1072884674.db2.gz VEAWLWNQYRBFOP-UHFFFAOYSA-N 0 0 431.536 -0.200 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc(NC(=O)C2CC2)nc1 ZINC000532609431 1072884658 /nfs/dbraw/zinc/88/46/58/1072884658.db2.gz VFZCCPGKTKVZHP-UHFFFAOYSA-N 0 0 432.462 -0.142 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccs2)cc1 ZINC000532619351 1072885415 /nfs/dbraw/zinc/88/54/15/1072885415.db2.gz ARBJPGWHYVBCQF-UHFFFAOYSA-N 0 0 439.562 -0.087 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)C1 ZINC000532633828 1072885111 /nfs/dbraw/zinc/88/51/11/1072885111.db2.gz IVGIHJXCRJKAGZ-HNNXBMFYSA-N 0 0 431.536 -0.248 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)C1 ZINC000532633838 1072885405 /nfs/dbraw/zinc/88/54/05/1072885405.db2.gz IVGIHJXCRJKAGZ-OAHLLOKOSA-N 0 0 431.536 -0.248 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000532637939 1072885687 /nfs/dbraw/zinc/88/56/87/1072885687.db2.gz FLBGJWGMXGVEMT-HNNXBMFYSA-N 0 0 440.526 -0.579 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000532637941 1072885909 /nfs/dbraw/zinc/88/59/09/1072885909.db2.gz FLBGJWGMXGVEMT-OAHLLOKOSA-N 0 0 440.526 -0.579 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000532638746 1072885456 /nfs/dbraw/zinc/88/54/56/1072885456.db2.gz GRFPRRQJKDMTRN-INIZCTEOSA-N 0 0 432.433 -0.551 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000532638747 1072885238 /nfs/dbraw/zinc/88/52/38/1072885238.db2.gz GRFPRRQJKDMTRN-MRXNPFEDSA-N 0 0 432.433 -0.551 20 0 IBADRN CNC(=O)[C@H]1CCCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532649494 1072886409 /nfs/dbraw/zinc/88/64/09/1072886409.db2.gz UBMGRFHTUFKOPE-GOSISDBHSA-N 0 0 438.550 -0.076 20 0 IBADRN CNC(=O)[C@@H]1CCCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532649502 1072886712 /nfs/dbraw/zinc/88/67/12/1072886712.db2.gz UBMGRFHTUFKOPE-SFHVURJKSA-N 0 0 438.550 -0.076 20 0 IBADRN CN(CCCNC(=O)CN1CCC(NS(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000532657027 1072888392 /nfs/dbraw/zinc/88/83/92/1072888392.db2.gz BBAYRRSZMMUDQG-UHFFFAOYSA-N 0 0 446.595 -0.173 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000532657371 1072887409 /nfs/dbraw/zinc/88/74/09/1072887409.db2.gz AWSCNLUJKQZCON-GDBMZVCRSA-N 0 0 446.595 -0.358 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000532657372 1072888125 /nfs/dbraw/zinc/88/81/25/1072888125.db2.gz AWSCNLUJKQZCON-GOEBONIOSA-N 0 0 446.595 -0.358 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000532657373 1072888184 /nfs/dbraw/zinc/88/81/84/1072888184.db2.gz AWSCNLUJKQZCON-HOCLYGCPSA-N 0 0 446.595 -0.358 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000532657374 1072888346 /nfs/dbraw/zinc/88/83/46/1072888346.db2.gz AWSCNLUJKQZCON-ZBFHGGJFSA-N 0 0 446.595 -0.358 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c(OC)c1 ZINC000532657570 1072887535 /nfs/dbraw/zinc/88/75/35/1072887535.db2.gz DTXCDMXERJHPGE-CYBMUJFWSA-N 0 0 443.522 -0.436 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c(OC)c1 ZINC000532657571 1072887453 /nfs/dbraw/zinc/88/74/53/1072887453.db2.gz DTXCDMXERJHPGE-ZDUSSCGKSA-N 0 0 443.522 -0.436 20 0 IBADRN Cn1cc(N2CCC[C@H](N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)C2=O)cn1 ZINC000532657624 1072887807 /nfs/dbraw/zinc/88/78/07/1072887807.db2.gz MOLYUNITTGYYOQ-AWEZNQCLSA-N 0 0 436.494 -0.386 20 0 IBADRN Cn1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)C2=O)cn1 ZINC000532657625 1072889031 /nfs/dbraw/zinc/88/90/31/1072889031.db2.gz MOLYUNITTGYYOQ-CQSZACIVSA-N 0 0 436.494 -0.386 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC(=O)NC3CCCCC3)CC2)o1 ZINC000532658204 1072888439 /nfs/dbraw/zinc/88/84/39/1072888439.db2.gz RVFPGOUBQTUAPQ-UHFFFAOYSA-N 0 0 441.510 -0.157 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000532659188 1072888831 /nfs/dbraw/zinc/88/88/31/1072888831.db2.gz SRZWEEWQOKAGPJ-KBPBESRZSA-N 0 0 444.579 -0.127 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000532659189 1072888759 /nfs/dbraw/zinc/88/87/59/1072888759.db2.gz SRZWEEWQOKAGPJ-KGLIPLIRSA-N 0 0 444.579 -0.127 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000532659190 1072888973 /nfs/dbraw/zinc/88/89/73/1072888973.db2.gz SRZWEEWQOKAGPJ-UONOGXRCSA-N 0 0 444.579 -0.127 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000532659191 1072888890 /nfs/dbraw/zinc/88/88/90/1072888890.db2.gz SRZWEEWQOKAGPJ-ZIAGYGMSSA-N 0 0 444.579 -0.127 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCC[C@@H](CNS(C)(=O)=O)C2)CC1 ZINC000532659537 1072888933 /nfs/dbraw/zinc/88/89/33/1072888933.db2.gz MITRKLHDIBSCFJ-INIZCTEOSA-N 0 0 431.603 -0.694 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCC[C@H](CNS(C)(=O)=O)C2)CC1 ZINC000532659538 1072888796 /nfs/dbraw/zinc/88/87/96/1072888796.db2.gz MITRKLHDIBSCFJ-MRXNPFEDSA-N 0 0 431.603 -0.694 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000532659763 1072889082 /nfs/dbraw/zinc/88/90/82/1072889082.db2.gz AUEQMYKHGQRIAQ-CMPLNLGQSA-N 0 0 430.508 -0.902 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000532659764 1072888861 /nfs/dbraw/zinc/88/88/61/1072888861.db2.gz AUEQMYKHGQRIAQ-JQWIXIFHSA-N 0 0 430.508 -0.902 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000532659765 1072889013 /nfs/dbraw/zinc/88/90/13/1072889013.db2.gz AUEQMYKHGQRIAQ-PWSUYJOCSA-N 0 0 430.508 -0.902 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000532659766 1072889007 /nfs/dbraw/zinc/88/90/07/1072889007.db2.gz AUEQMYKHGQRIAQ-ZYHUDNBSSA-N 0 0 430.508 -0.902 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCCN3CCCC3=O)CC2)cc1 ZINC000532660093 1072889020 /nfs/dbraw/zinc/88/90/20/1072889020.db2.gz PLOYQJDTSGJOCK-UHFFFAOYSA-N 0 0 430.552 -0.347 20 0 IBADRN CN(CC1CC1)C(=O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532660517 1072888873 /nfs/dbraw/zinc/88/88/73/1072888873.db2.gz GNYRAEZUMNXJEI-UHFFFAOYSA-N 0 0 430.552 -0.491 20 0 IBADRN CCN(CC)C(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532660537 1072889043 /nfs/dbraw/zinc/88/90/43/1072889043.db2.gz ISTZWNQKYMFYQN-AWEZNQCLSA-N 0 0 432.568 -0.103 20 0 IBADRN CCN(CC)C(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532660538 1072889070 /nfs/dbraw/zinc/88/90/70/1072889070.db2.gz ISTZWNQKYMFYQN-CQSZACIVSA-N 0 0 432.568 -0.103 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCOC[C@@H]3CCCO3)CC2)cc1 ZINC000532660711 1072888737 /nfs/dbraw/zinc/88/87/37/1072888737.db2.gz NVYSICYZYXLHNL-HNNXBMFYSA-N 0 0 433.552 -0.164 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCOC[C@H]3CCCO3)CC2)cc1 ZINC000532660712 1072889403 /nfs/dbraw/zinc/88/94/03/1072889403.db2.gz NVYSICYZYXLHNL-OAHLLOKOSA-N 0 0 433.552 -0.164 20 0 IBADRN CC(C)COC[C@@H](O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532660719 1072889673 /nfs/dbraw/zinc/88/96/73/1072889673.db2.gz PCGQAKNWCKVVCT-HNNXBMFYSA-N 0 0 435.568 -0.326 20 0 IBADRN CC(C)COC[C@H](O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532660720 1072889291 /nfs/dbraw/zinc/88/92/91/1072889291.db2.gz PCGQAKNWCKVVCT-OAHLLOKOSA-N 0 0 435.568 -0.326 20 0 IBADRN O=C(CN1CCC[C@H](N2CCNC2=O)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000532664012 1072889542 /nfs/dbraw/zinc/88/95/42/1072889542.db2.gz WXMURHIWWLAPGK-BBWFWOEESA-N 0 0 428.555 -0.329 20 0 IBADRN O=C(CN1CCC[C@H](N2CCNC2=O)C1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000532664013 1072889660 /nfs/dbraw/zinc/88/96/60/1072889660.db2.gz WXMURHIWWLAPGK-GVDBMIGSSA-N 0 0 428.555 -0.329 20 0 IBADRN O=C(CN1CCC[C@H](N2CCNC2=O)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000532664014 1072889651 /nfs/dbraw/zinc/88/96/51/1072889651.db2.gz WXMURHIWWLAPGK-ULQDDVLXSA-N 0 0 428.555 -0.329 20 0 IBADRN O=C(CN1CCC[C@H](N2CCNC2=O)C1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000532664015 1072889639 /nfs/dbraw/zinc/88/96/39/1072889639.db2.gz WXMURHIWWLAPGK-YESZJQIVSA-N 0 0 428.555 -0.329 20 0 IBADRN COCCN(CC(=O)N1CCC[C@H](NC(C)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000532664091 1072889980 /nfs/dbraw/zinc/88/99/80/1072889980.db2.gz JZEPYMWFUHQZMU-HNNXBMFYSA-N 0 0 438.529 -0.233 20 0 IBADRN COCCN(CC(=O)N1CCC[C@@H](NC(C)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000532664092 1072890094 /nfs/dbraw/zinc/89/00/94/1072890094.db2.gz JZEPYMWFUHQZMU-OAHLLOKOSA-N 0 0 438.529 -0.233 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CCc3nnnn3-c3ccccc3)CC2)o1 ZINC000532664808 1072890434 /nfs/dbraw/zinc/89/04/34/1072890434.db2.gz HSYBEQIKWNASLT-UHFFFAOYSA-N 0 0 431.478 -0.097 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000532664924 1072890137 /nfs/dbraw/zinc/89/01/37/1072890137.db2.gz LQVHMGVUOKUFCY-CYBMUJFWSA-N 0 0 444.941 -0.028 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N(C)CC(=O)Nc1ccccc1Cl ZINC000532664935 1072890020 /nfs/dbraw/zinc/89/00/20/1072890020.db2.gz LQVHMGVUOKUFCY-ZDUSSCGKSA-N 0 0 444.941 -0.028 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000532665007 1072890163 /nfs/dbraw/zinc/89/01/63/1072890163.db2.gz OZEYUXQIUROJGB-HNNXBMFYSA-N 0 0 432.568 -0.746 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000532665008 1072889858 /nfs/dbraw/zinc/88/98/58/1072889858.db2.gz OZEYUXQIUROJGB-OAHLLOKOSA-N 0 0 432.568 -0.746 20 0 IBADRN CCN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000532665153 1072889433 /nfs/dbraw/zinc/88/94/33/1072889433.db2.gz SYKVDUHEAJPVPK-HNNXBMFYSA-N 0 0 434.518 -0.131 20 0 IBADRN CCN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000532665154 1072889346 /nfs/dbraw/zinc/88/93/46/1072889346.db2.gz SYKVDUHEAJPVPK-OAHLLOKOSA-N 0 0 434.518 -0.131 20 0 IBADRN Nc1c(N(CCO)CCn2nc3ccccn3c2=O)c(=O)[nH]c(=O)n1Cc1ccccc1 ZINC000532665265 1072889997 /nfs/dbraw/zinc/88/99/97/1072889997.db2.gz WHEMRQGDWVPCLD-UHFFFAOYSA-N 0 0 437.460 -0.112 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C[C@H](O)CN2CCOCC2)CC1 ZINC000532665853 1072889911 /nfs/dbraw/zinc/88/99/11/1072889911.db2.gz YSTREJXWRQSVPA-INIZCTEOSA-N 0 0 445.538 -0.318 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C[C@@H](O)CN2CCOCC2)CC1 ZINC000532665854 1072890076 /nfs/dbraw/zinc/89/00/76/1072890076.db2.gz YSTREJXWRQSVPA-MRXNPFEDSA-N 0 0 445.538 -0.318 20 0 IBADRN COC(=O)CCNC(=O)CN1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000532666205 1072890474 /nfs/dbraw/zinc/89/04/74/1072890474.db2.gz JNMORVQISSHBGO-UHFFFAOYSA-N 0 0 445.494 -0.331 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CCCC(=O)NC(N)=O)CC1 ZINC000532666281 1072890575 /nfs/dbraw/zinc/89/05/75/1072890575.db2.gz VOLMMVLOQMZECZ-UHFFFAOYSA-N 0 0 430.483 -0.036 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000532666283 1072890404 /nfs/dbraw/zinc/89/04/04/1072890404.db2.gz WARXIMNTNJKZOV-JTQLQIEISA-N 0 0 430.483 -0.167 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000532666284 1072890558 /nfs/dbraw/zinc/89/05/58/1072890558.db2.gz WARXIMNTNJKZOV-SNVBAGLBSA-N 0 0 430.483 -0.167 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)CC2 ZINC000532666302 1072890645 /nfs/dbraw/zinc/89/06/45/1072890645.db2.gz XYICMVKUTHKQOK-INIZCTEOSA-N 0 0 439.534 -0.176 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000532666303 1072890518 /nfs/dbraw/zinc/89/05/18/1072890518.db2.gz XYICMVKUTHKQOK-MRXNPFEDSA-N 0 0 439.534 -0.176 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC(=O)Nc4ccccc43)CC2)o1 ZINC000532666589 1072890542 /nfs/dbraw/zinc/89/05/42/1072890542.db2.gz KGTZTURTKHXWBA-UHFFFAOYSA-N 0 0 447.473 -0.330 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000532667425 1072890125 /nfs/dbraw/zinc/89/01/25/1072890125.db2.gz DVRBUHLSTMFFRF-AWEZNQCLSA-N 0 0 446.551 -0.890 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000532667429 1072890105 /nfs/dbraw/zinc/89/01/05/1072890105.db2.gz DVRBUHLSTMFFRF-CQSZACIVSA-N 0 0 446.551 -0.890 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c(C)o1 ZINC000532667969 1072890649 /nfs/dbraw/zinc/89/06/49/1072890649.db2.gz FCXCXOOSTOZELO-HNNXBMFYSA-N 0 0 436.490 -0.171 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c(C)o1 ZINC000532667970 1072890629 /nfs/dbraw/zinc/89/06/29/1072890629.db2.gz FCXCXOOSTOZELO-OAHLLOKOSA-N 0 0 436.490 -0.171 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC000532668065 1072890532 /nfs/dbraw/zinc/89/05/32/1072890532.db2.gz SDOAMULZSGUAQP-HNNXBMFYSA-N 0 0 429.477 -0.430 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC000532668066 1072890991 /nfs/dbraw/zinc/89/09/91/1072890991.db2.gz SDOAMULZSGUAQP-OAHLLOKOSA-N 0 0 429.477 -0.430 20 0 IBADRN CN(CC(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O)S(=O)(=O)c1cccnc1 ZINC000532668151 1072890494 /nfs/dbraw/zinc/89/04/94/1072890494.db2.gz DFHZWGKINUYWNF-KRWDZBQOSA-N 0 0 447.517 -0.579 20 0 IBADRN CN(CC(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O)S(=O)(=O)c1cccnc1 ZINC000532668152 1072890619 /nfs/dbraw/zinc/89/06/19/1072890619.db2.gz DFHZWGKINUYWNF-QGZVFWFLSA-N 0 0 447.517 -0.579 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc(CS(N)(=O)=O)cc1 ZINC000532668260 1072890426 /nfs/dbraw/zinc/89/04/26/1072890426.db2.gz LPFFMBMVIQAQDQ-KRWDZBQOSA-N 0 0 432.502 -0.192 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc(CS(N)(=O)=O)cc1 ZINC000532668262 1072890392 /nfs/dbraw/zinc/89/03/92/1072890392.db2.gz LPFFMBMVIQAQDQ-QGZVFWFLSA-N 0 0 432.502 -0.192 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(c3ncccc3C(N)=O)CC2)cc1S(N)(=O)=O ZINC000532669056 1072891066 /nfs/dbraw/zinc/89/10/66/1072891066.db2.gz MAVNNEUUVATOQG-UHFFFAOYSA-N 0 0 432.506 -0.103 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(c2ncccc2C(N)=O)CC1)S(C)(=O)=O ZINC000532669194 1072891032 /nfs/dbraw/zinc/89/10/32/1072891032.db2.gz QNUQXQQBXIIXTF-UHFFFAOYSA-N 0 0 440.570 -0.521 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000532669197 1072891003 /nfs/dbraw/zinc/89/10/03/1072891003.db2.gz QYZJDBJFGWTWBQ-UHFFFAOYSA-N 0 0 445.568 -0.639 20 0 IBADRN Cc1c(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000532673661 1072891803 /nfs/dbraw/zinc/89/18/03/1072891803.db2.gz UILNISKIJLRFQV-UHFFFAOYSA-N 0 0 438.444 -0.914 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N(CC[NH+](C)C)CC(=O)[O-])CC2)cc1 ZINC000532674332 1072891843 /nfs/dbraw/zinc/89/18/43/1072891843.db2.gz CADBPZKWVFJMLU-UHFFFAOYSA-N 0 0 426.539 -0.224 20 0 IBADRN C[C@@]1(n2cc(CNCCS(=O)(=O)NC[C@@H]3CCCCO3)cn2)CCS(=O)(=O)C1 ZINC000532675159 1072891755 /nfs/dbraw/zinc/89/17/55/1072891755.db2.gz XRCHYWTXUSALJE-DLBZAZTESA-N 0 0 434.584 -0.005 20 0 IBADRN C[C@@]1(n2cc(CNCCS(=O)(=O)NC[C@H]3CCCCO3)cn2)CCS(=O)(=O)C1 ZINC000532675160 1072891696 /nfs/dbraw/zinc/89/16/96/1072891696.db2.gz XRCHYWTXUSALJE-IAGOWNOFSA-N 0 0 434.584 -0.005 20 0 IBADRN C[C@]1(n2cc(CNCCS(=O)(=O)NC[C@@H]3CCCCO3)cn2)CCS(=O)(=O)C1 ZINC000532675161 1072891962 /nfs/dbraw/zinc/89/19/62/1072891962.db2.gz XRCHYWTXUSALJE-IRXDYDNUSA-N 0 0 434.584 -0.005 20 0 IBADRN C[C@]1(n2cc(CNCCS(=O)(=O)NC[C@H]3CCCCO3)cn2)CCS(=O)(=O)C1 ZINC000532675162 1072891946 /nfs/dbraw/zinc/89/19/46/1072891946.db2.gz XRCHYWTXUSALJE-SJORKVTESA-N 0 0 434.584 -0.005 20 0 IBADRN Cc1c(C(=O)NCCNS(=O)(=O)c2ccccc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000532677703 1072891830 /nfs/dbraw/zinc/89/18/30/1072891830.db2.gz GWJXXMZULNKDCS-UHFFFAOYSA-N 0 0 431.474 -0.351 20 0 IBADRN COC(=O)CN(CC(=O)OC)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000532690707 1072892736 /nfs/dbraw/zinc/89/27/36/1072892736.db2.gz PWQHRXZONGUFHM-KRWDZBQOSA-N 0 0 449.460 -0.855 20 0 IBADRN COC(=O)CN(CC(=O)OC)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000532690716 1072892748 /nfs/dbraw/zinc/89/27/48/1072892748.db2.gz PWQHRXZONGUFHM-QGZVFWFLSA-N 0 0 449.460 -0.855 20 0 IBADRN COC(=O)CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)CC(=O)OC ZINC000532692548 1072892843 /nfs/dbraw/zinc/89/28/43/1072892843.db2.gz RNMPMKYCKMXCAY-UHFFFAOYSA-N 0 0 443.478 -0.706 20 0 IBADRN Cc1noc(C)c1CN1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000532692810 1072893340 /nfs/dbraw/zinc/89/33/40/1072893340.db2.gz JMBWKBWNMDJHIC-GOSISDBHSA-N 0 0 439.582 -0.260 20 0 IBADRN Cc1noc(C)c1CN1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000532692811 1072893254 /nfs/dbraw/zinc/89/32/54/1072893254.db2.gz JMBWKBWNMDJHIC-SFHVURJKSA-N 0 0 439.582 -0.260 20 0 IBADRN COC(=O)CN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000532693393 1072893276 /nfs/dbraw/zinc/89/32/76/1072893276.db2.gz FCRQKMRMMWYTDM-UHFFFAOYSA-N 0 0 445.469 -0.693 20 0 IBADRN COC(=O)CN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000532694257 1072893225 /nfs/dbraw/zinc/89/32/25/1072893225.db2.gz UMPQTYGVTUZWSC-UHFFFAOYSA-N 0 0 445.469 -0.693 20 0 IBADRN CNC(=O)NC(=O)CCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000532701994 1072893775 /nfs/dbraw/zinc/89/37/75/1072893775.db2.gz LMBRLFOFNCMCLL-UHFFFAOYSA-N 0 0 425.467 -0.831 20 0 IBADRN O=C(CN1CCN(Cc2nnnn2-c2ccccc2)CC1)NCCCN1CCCC1=O ZINC000532702103 1072893681 /nfs/dbraw/zinc/89/36/81/1072893681.db2.gz YDSJIHNRFSIWKJ-UHFFFAOYSA-N 0 0 426.525 -0.091 20 0 IBADRN CN(C)c1nc(N)nc(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000532702132 1072894224 /nfs/dbraw/zinc/89/42/24/1072894224.db2.gz BETACCXBOJVEST-UHFFFAOYSA-N 0 0 448.509 -0.643 20 0 IBADRN CNS(=O)(=O)CCCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000532702583 1072893745 /nfs/dbraw/zinc/89/37/45/1072893745.db2.gz UQIOOVQQVGIXCH-UHFFFAOYSA-N 0 0 432.524 -0.737 20 0 IBADRN CCS(=O)(=O)NCCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000532702625 1072893784 /nfs/dbraw/zinc/89/37/84/1072893784.db2.gz ZIXBVMXUNWIKMQ-UHFFFAOYSA-N 0 0 432.524 -0.737 20 0 IBADRN CCN(C(=O)CN1CCC(CC(=O)N2CCN(C)CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000532702744 1072894719 /nfs/dbraw/zinc/89/47/19/1072894719.db2.gz LOUZUHUVVINNNJ-GOSISDBHSA-N 0 0 428.599 -0.102 20 0 IBADRN CCN(C(=O)CN1CCC(CC(=O)N2CCN(C)CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000532702745 1072894811 /nfs/dbraw/zinc/89/48/11/1072894811.db2.gz LOUZUHUVVINNNJ-SFHVURJKSA-N 0 0 428.599 -0.102 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000532703168 1072894103 /nfs/dbraw/zinc/89/41/03/1072894103.db2.gz IHQAVIBLFNGKDX-AEFFLSMTSA-N 0 0 428.599 -0.104 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000532703169 1072894186 /nfs/dbraw/zinc/89/41/86/1072894186.db2.gz IHQAVIBLFNGKDX-FUHWJXTLSA-N 0 0 428.599 -0.104 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000532703170 1072894141 /nfs/dbraw/zinc/89/41/41/1072894141.db2.gz IHQAVIBLFNGKDX-SJLPKXTDSA-N 0 0 428.599 -0.104 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000532703171 1072894302 /nfs/dbraw/zinc/89/43/02/1072894302.db2.gz IHQAVIBLFNGKDX-WMZOPIPTSA-N 0 0 428.599 -0.104 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)Nc1ccncc1 ZINC000532703445 1072894663 /nfs/dbraw/zinc/89/46/63/1072894663.db2.gz PCXTVKNTLSSGKW-UHFFFAOYSA-N 0 0 431.474 -0.221 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000532703521 1072894553 /nfs/dbraw/zinc/89/45/53/1072894553.db2.gz XHMMYTIXLXHMFP-INIZCTEOSA-N 0 0 426.525 -0.095 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000532703522 1072894707 /nfs/dbraw/zinc/89/47/07/1072894707.db2.gz XHMMYTIXLXHMFP-MRXNPFEDSA-N 0 0 426.525 -0.095 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCc1cc(F)cc(F)c1 ZINC000532703602 1072894621 /nfs/dbraw/zinc/89/46/21/1072894621.db2.gz DLZDPNMWLGQDKL-KRWDZBQOSA-N 0 0 444.504 -0.498 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCc1cc(F)cc(F)c1 ZINC000532703603 1072894681 /nfs/dbraw/zinc/89/46/81/1072894681.db2.gz DLZDPNMWLGQDKL-QGZVFWFLSA-N 0 0 444.504 -0.498 20 0 IBADRN CN(CCCNC(=O)CN1CCC(CC(=O)N2CCN(C)CC2)CC1)S(C)(=O)=O ZINC000532704080 1072895222 /nfs/dbraw/zinc/89/52/22/1072895222.db2.gz XQNADYCTBHKAEW-UHFFFAOYSA-N 0 0 431.603 -0.740 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC2)cc1 ZINC000532704231 1072894651 /nfs/dbraw/zinc/89/46/51/1072894651.db2.gz JPCUUAAVGXYXTN-KRWDZBQOSA-N 0 0 439.582 -0.516 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(CC(=O)N[C@H](C)C(=O)N(C)C)CC2)cc1 ZINC000532704232 1072895072 /nfs/dbraw/zinc/89/50/72/1072895072.db2.gz JPCUUAAVGXYXTN-QGZVFWFLSA-N 0 0 439.582 -0.516 20 0 IBADRN C[C@H](c1nnnn1-c1ccccc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000532704419 1072894130 /nfs/dbraw/zinc/89/41/30/1072894130.db2.gz KWTUNLMCBHFNJE-CVEARBPZSA-N 0 0 433.538 -0.356 20 0 IBADRN C[C@@H](c1nnnn1-c1ccccc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000532704420 1072894284 /nfs/dbraw/zinc/89/42/84/1072894284.db2.gz KWTUNLMCBHFNJE-HOTGVXAUSA-N 0 0 433.538 -0.356 20 0 IBADRN C[C@H](c1nnnn1-c1ccccc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532704421 1072894234 /nfs/dbraw/zinc/89/42/34/1072894234.db2.gz KWTUNLMCBHFNJE-HZPDHXFCSA-N 0 0 433.538 -0.356 20 0 IBADRN C[C@@H](c1nnnn1-c1ccccc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532704422 1072894202 /nfs/dbraw/zinc/89/42/02/1072894202.db2.gz KWTUNLMCBHFNJE-JKSUJKDBSA-N 0 0 433.538 -0.356 20 0 IBADRN Cn1c(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nc2ccccc2c1=O ZINC000532704530 1072894084 /nfs/dbraw/zinc/89/40/84/1072894084.db2.gz SVUUHEULAPDLLS-HNNXBMFYSA-N 0 0 433.534 -0.646 20 0 IBADRN Cn1c(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nc2ccccc2c1=O ZINC000532704532 1072894289 /nfs/dbraw/zinc/89/42/89/1072894289.db2.gz SVUUHEULAPDLLS-OAHLLOKOSA-N 0 0 433.534 -0.646 20 0 IBADRN C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000532704672 1072895087 /nfs/dbraw/zinc/89/50/87/1072895087.db2.gz VWFZHNCXOGWSRH-MHORFTMASA-N 0 0 428.599 -0.200 20 0 IBADRN C[C@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000532704674 1072895332 /nfs/dbraw/zinc/89/53/32/1072895332.db2.gz VWFZHNCXOGWSRH-XDNAFOTISA-N 0 0 428.599 -0.200 20 0 IBADRN C[C@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532704676 1072895291 /nfs/dbraw/zinc/89/52/91/1072895291.db2.gz VWFZHNCXOGWSRH-XMTFNYHQSA-N 0 0 428.599 -0.200 20 0 IBADRN C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532704678 1072895212 /nfs/dbraw/zinc/89/52/12/1072895212.db2.gz VWFZHNCXOGWSRH-XWTMOSNGSA-N 0 0 428.599 -0.200 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)[C@@H]1C ZINC000532704779 1072895310 /nfs/dbraw/zinc/89/53/10/1072895310.db2.gz YEMJVLKYRDVINN-BDXSIMOUSA-N 0 0 428.599 -0.152 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)[C@H]1C ZINC000532704781 1072895867 /nfs/dbraw/zinc/89/58/67/1072895867.db2.gz YEMJVLKYRDVINN-OWSLCNJRSA-N 0 0 428.599 -0.152 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)[C@@H]1C ZINC000532704783 1072895610 /nfs/dbraw/zinc/89/56/10/1072895610.db2.gz YEMJVLKYRDVINN-TVFCKZIOSA-N 0 0 428.599 -0.152 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)[C@H]1C ZINC000532704784 1072895647 /nfs/dbraw/zinc/89/56/47/1072895647.db2.gz YEMJVLKYRDVINN-XDNAFOTISA-N 0 0 428.599 -0.152 20 0 IBADRN Cc1cccc(C)c1-n1nnnc1CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000532705274 1072895297 /nfs/dbraw/zinc/89/52/97/1072895297.db2.gz HPWFANQESYTDGV-KRWDZBQOSA-N 0 0 447.565 -0.300 20 0 IBADRN Cc1cccc(C)c1-n1nnnc1CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532705275 1072895346 /nfs/dbraw/zinc/89/53/46/1072895346.db2.gz HPWFANQESYTDGV-QGZVFWFLSA-N 0 0 447.565 -0.300 20 0 IBADRN Cc1ccc2nc(CN3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc(=O)n2c1 ZINC000532705379 1072895321 /nfs/dbraw/zinc/89/53/21/1072895321.db2.gz GMKUENFZAJTKJM-INIZCTEOSA-N 0 0 433.534 -0.576 20 0 IBADRN Cc1ccc2nc(CN3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc(=O)n2c1 ZINC000532705380 1072895193 /nfs/dbraw/zinc/89/51/93/1072895193.db2.gz GMKUENFZAJTKJM-MRXNPFEDSA-N 0 0 433.534 -0.576 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccccc1N1CCCC1=O ZINC000532705686 1072895790 /nfs/dbraw/zinc/89/57/90/1072895790.db2.gz JAGHTALQKQKCNG-AEFFLSMTSA-N 0 0 436.534 -0.161 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccccc1N1CCCC1=O ZINC000532705688 1072895730 /nfs/dbraw/zinc/89/57/30/1072895730.db2.gz JAGHTALQKQKCNG-FUHWJXTLSA-N 0 0 436.534 -0.161 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccccc1N1CCCC1=O ZINC000532705689 1072895757 /nfs/dbraw/zinc/89/57/57/1072895757.db2.gz JAGHTALQKQKCNG-SJLPKXTDSA-N 0 0 436.534 -0.161 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccccc1N1CCCC1=O ZINC000532705692 1072895579 /nfs/dbraw/zinc/89/55/79/1072895579.db2.gz JAGHTALQKQKCNG-WMZOPIPTSA-N 0 0 436.534 -0.161 20 0 IBADRN O=C(CN1CCN(Cc2nnnn2Cc2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000532706039 1072896167 /nfs/dbraw/zinc/89/61/67/1072896167.db2.gz NPAUCQYDONAQFN-KRWDZBQOSA-N 0 0 433.538 -0.858 20 0 IBADRN O=C(CN1CCN(Cc2nnnn2Cc2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000532706040 1072896281 /nfs/dbraw/zinc/89/62/81/1072896281.db2.gz NPAUCQYDONAQFN-QGZVFWFLSA-N 0 0 433.538 -0.858 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCc1cccc2cccnc21 ZINC000532706471 1072895550 /nfs/dbraw/zinc/89/55/50/1072895550.db2.gz URUMQEPONYQVJO-MOPGFXCFSA-N 0 0 432.546 -0.019 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCc1cccc2cccnc21 ZINC000532706472 1072895625 /nfs/dbraw/zinc/89/56/25/1072895625.db2.gz URUMQEPONYQVJO-OALUTQOASA-N 0 0 432.546 -0.019 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCc1cccc2cccnc21 ZINC000532706473 1072895923 /nfs/dbraw/zinc/89/59/23/1072895923.db2.gz URUMQEPONYQVJO-RBUKOAKNSA-N 0 0 432.546 -0.019 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCc1cccc2cccnc21 ZINC000532706474 1072895819 /nfs/dbraw/zinc/89/58/19/1072895819.db2.gz URUMQEPONYQVJO-RTBURBONSA-N 0 0 432.546 -0.019 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCc1cnn(-c2ccccc2)c1 ZINC000532706480 1072895881 /nfs/dbraw/zinc/89/58/81/1072895881.db2.gz QVXGHIPXBMZKPG-PMACEKPBSA-N 0 0 447.561 -0.382 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCc1cnn(-c2ccccc2)c1 ZINC000532706481 1072895850 /nfs/dbraw/zinc/89/58/50/1072895850.db2.gz QVXGHIPXBMZKPG-UXHICEINSA-N 0 0 447.561 -0.382 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCc1cnn(-c2ccccc2)c1 ZINC000532706482 1072895502 /nfs/dbraw/zinc/89/55/02/1072895502.db2.gz QVXGHIPXBMZKPG-VQTJNVASSA-N 0 0 447.561 -0.382 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCc1cnn(-c2ccccc2)c1 ZINC000532706483 1072895903 /nfs/dbraw/zinc/89/59/03/1072895903.db2.gz QVXGHIPXBMZKPG-WOJBJXKFSA-N 0 0 447.561 -0.382 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCOc1cccc(Cl)c1 ZINC000532706494 1072895687 /nfs/dbraw/zinc/89/56/87/1072895687.db2.gz RNHYCQSBJJAIBD-DLBZAZTESA-N 0 0 431.942 -0.078 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCOc1cccc(Cl)c1 ZINC000532706495 1072896333 /nfs/dbraw/zinc/89/63/33/1072896333.db2.gz RNHYCQSBJJAIBD-IAGOWNOFSA-N 0 0 431.942 -0.078 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCOc1cccc(Cl)c1 ZINC000532706496 1072896346 /nfs/dbraw/zinc/89/63/46/1072896346.db2.gz RNHYCQSBJJAIBD-IRXDYDNUSA-N 0 0 431.942 -0.078 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCOc1cccc(Cl)c1 ZINC000532706497 1072896290 /nfs/dbraw/zinc/89/62/90/1072896290.db2.gz RNHYCQSBJJAIBD-SJORKVTESA-N 0 0 431.942 -0.078 20 0 IBADRN O=C(CN1CCN(CC(=O)N(C2CC2)C2CCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000532706586 1072896379 /nfs/dbraw/zinc/89/63/79/1072896379.db2.gz YDEJVGRWEKVTRJ-INIZCTEOSA-N 0 0 426.583 -0.159 20 0 IBADRN O=C(CN1CCN(CC(=O)N(C2CC2)C2CCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000532706587 1072896254 /nfs/dbraw/zinc/89/62/54/1072896254.db2.gz YDEJVGRWEKVTRJ-MRXNPFEDSA-N 0 0 426.583 -0.159 20 0 IBADRN COc1ccc(CN(C)C(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000532706595 1072896306 /nfs/dbraw/zinc/89/63/06/1072896306.db2.gz YLPADXFKWVRGST-DLBZAZTESA-N 0 0 441.550 -0.250 20 0 IBADRN COc1ccc(CN(C)C(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000532706596 1072895659 /nfs/dbraw/zinc/89/56/59/1072895659.db2.gz YLPADXFKWVRGST-IAGOWNOFSA-N 0 0 441.550 -0.250 20 0 IBADRN COc1ccc(CN(C)C(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000532706597 1072895526 /nfs/dbraw/zinc/89/55/26/1072895526.db2.gz YLPADXFKWVRGST-IRXDYDNUSA-N 0 0 441.550 -0.250 20 0 IBADRN COc1ccc(CN(C)C(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000532706598 1072895941 /nfs/dbraw/zinc/89/59/41/1072895941.db2.gz YLPADXFKWVRGST-SJORKVTESA-N 0 0 441.550 -0.250 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC000532706721 1072896826 /nfs/dbraw/zinc/89/68/26/1072896826.db2.gz IPTZLHSAIYZZCS-CRAIPNDOSA-N 0 0 440.541 -0.076 20 0 IBADRN C[C@H](NC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC000532706729 1072896650 /nfs/dbraw/zinc/89/66/50/1072896650.db2.gz IPTZLHSAIYZZCS-MAUKXSAKSA-N 0 0 440.541 -0.076 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC000532706730 1072896917 /nfs/dbraw/zinc/89/69/17/1072896917.db2.gz IPTZLHSAIYZZCS-QAPCUYQASA-N 0 0 440.541 -0.076 20 0 IBADRN C[C@H](NC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC000532706731 1072896799 /nfs/dbraw/zinc/89/67/99/1072896799.db2.gz IPTZLHSAIYZZCS-YJBOKZPZSA-N 0 0 440.541 -0.076 20 0 IBADRN C[C@H](C(=O)NCc1ccc(F)cc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532706763 1072896674 /nfs/dbraw/zinc/89/66/74/1072896674.db2.gz JPMAGJNGUXKARQ-CRAIPNDOSA-N 0 0 440.541 -0.249 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(F)cc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532706764 1072896761 /nfs/dbraw/zinc/89/67/61/1072896761.db2.gz JPMAGJNGUXKARQ-MAUKXSAKSA-N 0 0 440.541 -0.249 20 0 IBADRN C[C@H](C(=O)NCc1ccc(F)cc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000532706765 1072896893 /nfs/dbraw/zinc/89/68/93/1072896893.db2.gz JPMAGJNGUXKARQ-QAPCUYQASA-N 0 0 440.541 -0.249 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(F)cc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000532706768 1072896748 /nfs/dbraw/zinc/89/67/48/1072896748.db2.gz JPMAGJNGUXKARQ-YJBOKZPZSA-N 0 0 440.541 -0.249 20 0 IBADRN C[C@@H](C1CC1)N(C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC000532706938 1072896327 /nfs/dbraw/zinc/89/63/27/1072896327.db2.gz GUWXUOJUEIJIKG-DOTOQJQBSA-N 0 0 426.583 -0.303 20 0 IBADRN C[C@H](C1CC1)N(C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC000532706939 1072896270 /nfs/dbraw/zinc/89/62/70/1072896270.db2.gz GUWXUOJUEIJIKG-NVXWUHKLSA-N 0 0 426.583 -0.303 20 0 IBADRN C[C@@H](C1CC1)N(C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC000532706940 1072896856 /nfs/dbraw/zinc/89/68/56/1072896856.db2.gz GUWXUOJUEIJIKG-RDJZCZTQSA-N 0 0 426.583 -0.303 20 0 IBADRN C[C@H](C1CC1)N(C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC000532706941 1072896845 /nfs/dbraw/zinc/89/68/45/1072896845.db2.gz GUWXUOJUEIJIKG-WBVHZDCISA-N 0 0 426.583 -0.303 20 0 IBADRN CCOC(=O)c1nc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000532707042 1072896246 /nfs/dbraw/zinc/89/62/46/1072896246.db2.gz QHBNCKRAOALKOO-CYBMUJFWSA-N 0 0 430.552 -0.259 20 0 IBADRN CCOC(=O)c1nc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000532707043 1072896213 /nfs/dbraw/zinc/89/62/13/1072896213.db2.gz QHBNCKRAOALKOO-ZDUSSCGKSA-N 0 0 430.552 -0.259 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCc1ccccc1Cn1cccn1 ZINC000532708273 1072897357 /nfs/dbraw/zinc/89/73/57/1072897357.db2.gz UGVWWTPJULRAMW-PMACEKPBSA-N 0 0 447.561 -0.365 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCc1ccccc1Cn1cccn1 ZINC000532708277 1072897167 /nfs/dbraw/zinc/89/71/67/1072897167.db2.gz UGVWWTPJULRAMW-UXHICEINSA-N 0 0 447.561 -0.365 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCc1ccccc1Cn1cccn1 ZINC000532708278 1072897199 /nfs/dbraw/zinc/89/71/99/1072897199.db2.gz UGVWWTPJULRAMW-VQTJNVASSA-N 0 0 447.561 -0.365 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCc1ccccc1Cn1cccn1 ZINC000532708279 1072897280 /nfs/dbraw/zinc/89/72/80/1072897280.db2.gz UGVWWTPJULRAMW-WOJBJXKFSA-N 0 0 447.561 -0.365 20 0 IBADRN CCOCc1ccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000532708436 1072896811 /nfs/dbraw/zinc/89/68/11/1072896811.db2.gz ALQOTDMZZBCGRY-MOPGFXCFSA-N 0 0 425.551 -0.073 20 0 IBADRN CCOCc1ccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000532708438 1072896717 /nfs/dbraw/zinc/89/67/17/1072896717.db2.gz ALQOTDMZZBCGRY-OALUTQOASA-N 0 0 425.551 -0.073 20 0 IBADRN CCOCc1ccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000532708440 1072897315 /nfs/dbraw/zinc/89/73/15/1072897315.db2.gz ALQOTDMZZBCGRY-RBUKOAKNSA-N 0 0 425.551 -0.073 20 0 IBADRN CCOCc1ccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000532708442 1072897217 /nfs/dbraw/zinc/89/72/17/1072897217.db2.gz ALQOTDMZZBCGRY-RTBURBONSA-N 0 0 425.551 -0.073 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000532708871 1072897841 /nfs/dbraw/zinc/89/78/41/1072897841.db2.gz IYQIWDRZXPDYLK-BPUTZDHNSA-N 0 0 425.507 -0.128 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000532708872 1072897892 /nfs/dbraw/zinc/89/78/92/1072897892.db2.gz IYQIWDRZXPDYLK-CWRNSKLLSA-N 0 0 425.507 -0.128 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000532708873 1072897921 /nfs/dbraw/zinc/89/79/21/1072897921.db2.gz IYQIWDRZXPDYLK-IMJJTQAJSA-N 0 0 425.507 -0.128 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000532708874 1072897909 /nfs/dbraw/zinc/89/79/09/1072897909.db2.gz IYQIWDRZXPDYLK-NUEKZKHPSA-N 0 0 425.507 -0.128 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C1 ZINC000532709236 1072897734 /nfs/dbraw/zinc/89/77/34/1072897734.db2.gz VRGOLLGXGUBYNE-HNNXBMFYSA-N 0 0 449.533 -0.626 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C1 ZINC000532709237 1072897887 /nfs/dbraw/zinc/89/78/87/1072897887.db2.gz VRGOLLGXGUBYNE-OAHLLOKOSA-N 0 0 449.533 -0.626 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(Cc2ccccc2)CC1 ZINC000532710044 1072899195 /nfs/dbraw/zinc/89/91/95/1072899195.db2.gz QYESMCBASHXXGH-PMACEKPBSA-N 0 0 436.578 -0.582 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(Cc2ccccc2)CC1 ZINC000532710045 1072899056 /nfs/dbraw/zinc/89/90/56/1072899056.db2.gz QYESMCBASHXXGH-UXHICEINSA-N 0 0 436.578 -0.582 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(Cc2ccccc2)CC1 ZINC000532710046 1072899149 /nfs/dbraw/zinc/89/91/49/1072899149.db2.gz QYESMCBASHXXGH-VQTJNVASSA-N 0 0 436.578 -0.582 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(Cc2ccccc2)CC1 ZINC000532710047 1072898944 /nfs/dbraw/zinc/89/89/44/1072898944.db2.gz QYESMCBASHXXGH-WOJBJXKFSA-N 0 0 436.578 -0.582 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000532710131 1072898537 /nfs/dbraw/zinc/89/85/37/1072898537.db2.gz XWNUDBZLNHRVEB-QXAKKESOSA-N 0 0 425.551 -0.034 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000532710132 1072898607 /nfs/dbraw/zinc/89/86/07/1072898607.db2.gz XWNUDBZLNHRVEB-UHOSZYNNSA-N 0 0 425.551 -0.034 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000532710134 1072898461 /nfs/dbraw/zinc/89/84/61/1072898461.db2.gz XWNUDBZLNHRVEB-WDSOQIARSA-N 0 0 425.551 -0.034 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000532710136 1072898255 /nfs/dbraw/zinc/89/82/55/1072898255.db2.gz XWNUDBZLNHRVEB-YTQUADARSA-N 0 0 425.551 -0.034 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000532710233 1072898243 /nfs/dbraw/zinc/89/82/43/1072898243.db2.gz AAMSUOHSICYQPE-DOTOQJQBSA-N 0 0 436.534 -0.162 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000532710234 1072898277 /nfs/dbraw/zinc/89/82/77/1072898277.db2.gz AAMSUOHSICYQPE-NVXWUHKLSA-N 0 0 436.534 -0.162 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000532710235 1072898518 /nfs/dbraw/zinc/89/85/18/1072898518.db2.gz AAMSUOHSICYQPE-RDJZCZTQSA-N 0 0 436.534 -0.162 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000532710236 1072898438 /nfs/dbraw/zinc/89/84/38/1072898438.db2.gz AAMSUOHSICYQPE-WBVHZDCISA-N 0 0 436.534 -0.162 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(c2ccccc2F)CC1 ZINC000532710239 1072898475 /nfs/dbraw/zinc/89/84/75/1072898475.db2.gz ADCSIVCQPFIJAG-HKUYNNGSSA-N 0 0 440.541 -0.438 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(c2ccccc2F)CC1 ZINC000532710240 1072899210 /nfs/dbraw/zinc/89/92/10/1072899210.db2.gz ADCSIVCQPFIJAG-IEBWSBKVSA-N 0 0 440.541 -0.438 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(c2ccccc2F)CC1 ZINC000532710241 1072899140 /nfs/dbraw/zinc/89/91/40/1072899140.db2.gz ADCSIVCQPFIJAG-MJGOQNOKSA-N 0 0 440.541 -0.438 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(c2ccccc2F)CC1 ZINC000532710242 1072898961 /nfs/dbraw/zinc/89/89/61/1072898961.db2.gz ADCSIVCQPFIJAG-PKOBYXMFSA-N 0 0 440.541 -0.438 20 0 IBADRN COc1cccc(CN(C)C(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1OC ZINC000532710253 1072898427 /nfs/dbraw/zinc/89/84/27/1072898427.db2.gz AKIWZTAADFTFJU-DLBZAZTESA-N 0 0 441.550 -0.250 20 0 IBADRN COc1cccc(CN(C)C(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1OC ZINC000532710254 1072898368 /nfs/dbraw/zinc/89/83/68/1072898368.db2.gz AKIWZTAADFTFJU-IAGOWNOFSA-N 0 0 441.550 -0.250 20 0 IBADRN COc1cccc(CN(C)C(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1OC ZINC000532710255 1072898319 /nfs/dbraw/zinc/89/83/19/1072898319.db2.gz AKIWZTAADFTFJU-IRXDYDNUSA-N 0 0 441.550 -0.250 20 0 IBADRN COc1cccc(CN(C)C(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1OC ZINC000532710256 1072898400 /nfs/dbraw/zinc/89/84/00/1072898400.db2.gz AKIWZTAADFTFJU-SJORKVTESA-N 0 0 441.550 -0.250 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000532710385 1072898494 /nfs/dbraw/zinc/89/84/94/1072898494.db2.gz RVLRGHAFEIMASR-BHYGNILZSA-N 0 0 441.550 -0.204 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000532710386 1072898563 /nfs/dbraw/zinc/89/85/63/1072898563.db2.gz RVLRGHAFEIMASR-UAGQMJEPSA-N 0 0 441.550 -0.204 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000532710387 1072898227 /nfs/dbraw/zinc/89/82/27/1072898227.db2.gz RVLRGHAFEIMASR-USXIJHARSA-N 0 0 441.550 -0.204 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000532710388 1072898302 /nfs/dbraw/zinc/89/83/02/1072898302.db2.gz RVLRGHAFEIMASR-XIRDDKMYSA-N 0 0 441.550 -0.204 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000532710396 1072897940 /nfs/dbraw/zinc/89/79/40/1072897940.db2.gz UIMXOOVIKUZWTP-MOPGFXCFSA-N 0 0 440.541 -0.438 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000532710397 1072898585 /nfs/dbraw/zinc/89/85/85/1072898585.db2.gz UIMXOOVIKUZWTP-OALUTQOASA-N 0 0 440.541 -0.438 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000532710398 1072898416 /nfs/dbraw/zinc/89/84/16/1072898416.db2.gz UIMXOOVIKUZWTP-RBUKOAKNSA-N 0 0 440.541 -0.438 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000532710399 1072898341 /nfs/dbraw/zinc/89/83/41/1072898341.db2.gz UIMXOOVIKUZWTP-RTBURBONSA-N 0 0 440.541 -0.438 20 0 IBADRN COc1ccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000532710824 1072899514 /nfs/dbraw/zinc/89/95/14/1072899514.db2.gz PSOHYKITLJZLPS-CVEARBPZSA-N 0 0 427.523 -0.593 20 0 IBADRN COc1ccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000532710825 1072899691 /nfs/dbraw/zinc/89/96/91/1072899691.db2.gz PSOHYKITLJZLPS-HOTGVXAUSA-N 0 0 427.523 -0.593 20 0 IBADRN COc1ccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000532710826 1072899654 /nfs/dbraw/zinc/89/96/54/1072899654.db2.gz PSOHYKITLJZLPS-HZPDHXFCSA-N 0 0 427.523 -0.593 20 0 IBADRN COc1ccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000532710827 1072899731 /nfs/dbraw/zinc/89/97/31/1072899731.db2.gz PSOHYKITLJZLPS-JKSUJKDBSA-N 0 0 427.523 -0.593 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000532710995 1072897961 /nfs/dbraw/zinc/89/79/61/1072897961.db2.gz CJYZAFCZUCLUBL-MSOLQXFVSA-N 0 0 438.550 -0.521 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000532710997 1072897618 /nfs/dbraw/zinc/89/76/18/1072897618.db2.gz CJYZAFCZUCLUBL-QZTJIDSGSA-N 0 0 438.550 -0.521 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000532711000 1072897685 /nfs/dbraw/zinc/89/76/85/1072897685.db2.gz CJYZAFCZUCLUBL-ROUUACIJSA-N 0 0 438.550 -0.521 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000532711002 1072897709 /nfs/dbraw/zinc/89/77/09/1072897709.db2.gz CJYZAFCZUCLUBL-ZWKOTPCHSA-N 0 0 438.550 -0.521 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000532711105 1072897825 /nfs/dbraw/zinc/89/78/25/1072897825.db2.gz FPPWBWWURQVPLR-BMGDILEWSA-N 0 0 439.534 -0.435 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000532711108 1072897654 /nfs/dbraw/zinc/89/76/54/1072897654.db2.gz FPPWBWWURQVPLR-JCGIZDLHSA-N 0 0 439.534 -0.435 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000532711113 1072897808 /nfs/dbraw/zinc/89/78/08/1072897808.db2.gz FPPWBWWURQVPLR-QGTPRVQTSA-N 0 0 439.534 -0.435 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000532711116 1072897857 /nfs/dbraw/zinc/89/78/57/1072897857.db2.gz FPPWBWWURQVPLR-WBAXXEDZSA-N 0 0 439.534 -0.435 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000532711316 1072897324 /nfs/dbraw/zinc/89/73/24/1072897324.db2.gz KMUIALUUCNOXAN-MOPGFXCFSA-N 0 0 441.550 -0.332 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000532711317 1072897266 /nfs/dbraw/zinc/89/72/66/1072897266.db2.gz KMUIALUUCNOXAN-OALUTQOASA-N 0 0 441.550 -0.332 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000532711318 1072897299 /nfs/dbraw/zinc/89/72/99/1072897299.db2.gz KMUIALUUCNOXAN-RBUKOAKNSA-N 0 0 441.550 -0.332 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000532711319 1072897138 /nfs/dbraw/zinc/89/71/38/1072897138.db2.gz KMUIALUUCNOXAN-RTBURBONSA-N 0 0 441.550 -0.332 20 0 IBADRN COC(=O)c1c2c(sc1NC(=O)CN1CCN(CCS(N)(=O)=O)CC1)CCC2 ZINC000532716154 1072899473 /nfs/dbraw/zinc/89/94/73/1072899473.db2.gz DBLCJDPFOXTIEW-UHFFFAOYSA-N 0 0 430.552 -0.132 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN(C2CC2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000532719248 1072900239 /nfs/dbraw/zinc/90/02/39/1072900239.db2.gz WEXDRQXGTAIOHH-HNNXBMFYSA-N 0 0 433.538 -0.337 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN(C2CC2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532719249 1072900255 /nfs/dbraw/zinc/90/02/55/1072900255.db2.gz WEXDRQXGTAIOHH-OAHLLOKOSA-N 0 0 433.538 -0.337 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1 ZINC000532720305 1072900231 /nfs/dbraw/zinc/90/02/31/1072900231.db2.gz FLDFVZSLIAIOGG-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000532722525 1072900113 /nfs/dbraw/zinc/90/01/13/1072900113.db2.gz XTLKOJYBLYAURV-CYBMUJFWSA-N 0 0 438.506 -0.135 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000532722532 1072900154 /nfs/dbraw/zinc/90/01/54/1072900154.db2.gz XTLKOJYBLYAURV-ZDUSSCGKSA-N 0 0 438.506 -0.135 20 0 IBADRN CCOc1ccc(NC(=O)CNS(=O)(=O)CC)cc1S(=O)(=O)N1CCOCC1 ZINC000532724947 1072901014 /nfs/dbraw/zinc/90/10/14/1072901014.db2.gz XEEDSHNAACDXPB-UHFFFAOYSA-N 0 0 435.524 -0.016 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC[C@H]2CS(N)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000532726903 1072901225 /nfs/dbraw/zinc/90/12/25/1072901225.db2.gz WWKDDLKFZCWODD-HNNXBMFYSA-N 0 0 449.533 -0.404 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC[C@@H]2CS(N)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000532726904 1072901194 /nfs/dbraw/zinc/90/11/94/1072901194.db2.gz WWKDDLKFZCWODD-OAHLLOKOSA-N 0 0 449.533 -0.404 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(c2ccnc(N(C)C)n2)CC1)S(C)(=O)=O ZINC000532731772 1072901713 /nfs/dbraw/zinc/90/17/13/1072901713.db2.gz XOMQNJLRNJWVQN-UHFFFAOYSA-N 0 0 441.602 -0.159 20 0 IBADRN CN1c2ccccc2N(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCC1=O ZINC000532732440 1073377847 /nfs/dbraw/zinc/37/78/47/1073377847.db2.gz JGMSURGAVVVYAC-UHFFFAOYSA-N 0 0 429.521 -0.138 20 0 IBADRN CN(C)c1nccc(N2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000532733723 1072901242 /nfs/dbraw/zinc/90/12/42/1072901242.db2.gz AIQWMZAHFOHFNV-UHFFFAOYSA-N 0 0 447.565 -0.329 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N2CCSCC2)cc1 ZINC000532733871 1072901046 /nfs/dbraw/zinc/90/10/46/1072901046.db2.gz UOTYAFIJCLAWEK-AWEZNQCLSA-N 0 0 426.520 -0.161 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)cc1 ZINC000532733872 1072901183 /nfs/dbraw/zinc/90/11/83/1072901183.db2.gz UOTYAFIJCLAWEK-CQSZACIVSA-N 0 0 426.520 -0.161 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(CCCn1c(=O)c3c(ncn3C)n(C)c1=O)CC2 ZINC000532735079 1072901151 /nfs/dbraw/zinc/90/11/51/1072901151.db2.gz FWOYRRBHPXASQB-UHFFFAOYSA-N 0 0 432.506 -0.205 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(CC(=O)c1c(N)n(CCOC)c(=O)[nH]c1=O)CC2 ZINC000532735249 1072901119 /nfs/dbraw/zinc/90/11/19/1072901119.db2.gz ZFZVCCMMUGKVSR-UHFFFAOYSA-N 0 0 437.478 -0.669 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532735386 1072901211 /nfs/dbraw/zinc/90/12/11/1072901211.db2.gz TUEVCANFNLIVKI-UHFFFAOYSA-N 0 0 448.567 -0.430 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000532738582 1072903064 /nfs/dbraw/zinc/90/30/64/1072903064.db2.gz ZOJDTDBSCSGMHW-CMPLNLGQSA-N 0 0 430.508 -0.027 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000532738583 1072903031 /nfs/dbraw/zinc/90/30/31/1072903031.db2.gz ZOJDTDBSCSGMHW-JQWIXIFHSA-N 0 0 430.508 -0.027 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000532738584 1072902880 /nfs/dbraw/zinc/90/28/80/1072902880.db2.gz ZOJDTDBSCSGMHW-PWSUYJOCSA-N 0 0 430.508 -0.027 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000532738585 1072903159 /nfs/dbraw/zinc/90/31/59/1072903159.db2.gz ZOJDTDBSCSGMHW-ZYHUDNBSSA-N 0 0 430.508 -0.027 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)Nc2ccccc2OC)CC1 ZINC000532738664 1072903196 /nfs/dbraw/zinc/90/31/96/1072903196.db2.gz BRQDBFYEDAMOTA-UHFFFAOYSA-N 0 0 442.538 -0.266 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)nn1 ZINC000532739182 1072903076 /nfs/dbraw/zinc/90/30/76/1072903076.db2.gz XXWNBRUSTGTNKS-UHFFFAOYSA-N 0 0 443.507 -0.608 20 0 IBADRN CC1(C)C(=O)Nc2ccccc2N1C(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532739991 1072902981 /nfs/dbraw/zinc/90/29/81/1072902981.db2.gz QRIXRKLHWZUGNW-UHFFFAOYSA-N 0 0 443.504 -0.247 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000532740267 1072903131 /nfs/dbraw/zinc/90/31/31/1072903131.db2.gz HKWIBZJBNCOBSV-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccccc1F)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532740303 1072903008 /nfs/dbraw/zinc/90/30/08/1072903008.db2.gz RFSYDSDQMBPARO-AWEZNQCLSA-N 0 0 435.456 -0.135 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccccc1F)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532740304 1072903184 /nfs/dbraw/zinc/90/31/84/1072903184.db2.gz RFSYDSDQMBPARO-CQSZACIVSA-N 0 0 435.456 -0.135 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2cccc(CN3CCN(S(C)(=O)=O)CC3)c2)CC1 ZINC000532740715 1072903148 /nfs/dbraw/zinc/90/31/48/1072903148.db2.gz YPDPBJVTUSXJSM-UHFFFAOYSA-N 0 0 430.596 -0.159 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532740728 1072903170 /nfs/dbraw/zinc/90/31/70/1072903170.db2.gz CHPONTDBURKDPL-DLBZAZTESA-N 0 0 446.504 -0.666 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532740729 1072902851 /nfs/dbraw/zinc/90/28/51/1072902851.db2.gz CHPONTDBURKDPL-IAGOWNOFSA-N 0 0 446.504 -0.666 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532740730 1072902930 /nfs/dbraw/zinc/90/29/30/1072902930.db2.gz CHPONTDBURKDPL-IRXDYDNUSA-N 0 0 446.504 -0.666 20 0 IBADRN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532740731 1072902869 /nfs/dbraw/zinc/90/28/69/1072902869.db2.gz CHPONTDBURKDPL-SJORKVTESA-N 0 0 446.504 -0.666 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccc(Br)cn1 ZINC000532740827 1072902904 /nfs/dbraw/zinc/90/29/04/1072902904.db2.gz XHUPGGHTCOVAFQ-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN Cc1ccc(NC(=O)NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000532740857 1072903049 /nfs/dbraw/zinc/90/30/49/1072903049.db2.gz YLCAPFCDOHYCJJ-UHFFFAOYSA-N 0 0 431.493 -0.045 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)c(=O)[nH]c1=O ZINC000532741303 1072902834 /nfs/dbraw/zinc/90/28/34/1072902834.db2.gz AQNGZEXDTZOQIM-UHFFFAOYSA-N 0 0 429.433 -0.080 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCN(c2ccccc2F)CC1 ZINC000532741600 1072902952 /nfs/dbraw/zinc/90/29/52/1072902952.db2.gz SRPSOHMAUHOVAT-UHFFFAOYSA-N 0 0 447.511 -0.523 20 0 IBADRN Cc1cccc(O[C@@H](C)C(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000532742753 1072903545 /nfs/dbraw/zinc/90/35/45/1072903545.db2.gz NWTVTXJVOAGKCS-HNNXBMFYSA-N 0 0 443.504 -0.231 20 0 IBADRN Cc1cccc(O[C@H](C)C(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000532742755 1072903710 /nfs/dbraw/zinc/90/37/10/1072903710.db2.gz NWTVTXJVOAGKCS-OAHLLOKOSA-N 0 0 443.504 -0.231 20 0 IBADRN Cc1ccccc1O[C@@H](C)C(=O)N1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000532742997 1072903786 /nfs/dbraw/zinc/90/37/86/1072903786.db2.gz XFBATXFUPLFHTK-HNNXBMFYSA-N 0 0 443.504 -0.231 20 0 IBADRN Cc1ccccc1O[C@H](C)C(=O)N1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000532742998 1072903754 /nfs/dbraw/zinc/90/37/54/1072903754.db2.gz XFBATXFUPLFHTK-OAHLLOKOSA-N 0 0 443.504 -0.231 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN(CC(N)=O)CC1 ZINC000532743137 1072903529 /nfs/dbraw/zinc/90/35/29/1072903529.db2.gz NPQIEZMJDFXLAU-HNNXBMFYSA-N 0 0 439.538 -0.863 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN(CC(N)=O)CC1 ZINC000532743138 1072903806 /nfs/dbraw/zinc/90/38/06/1072903806.db2.gz NPQIEZMJDFXLAU-OAHLLOKOSA-N 0 0 439.538 -0.863 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CC1 ZINC000532743253 1072903683 /nfs/dbraw/zinc/90/36/83/1072903683.db2.gz PGOAAIYJCDJJRN-UHFFFAOYSA-N 0 0 443.957 -0.724 20 0 IBADRN CCCCN(C(=O)CN1CCN(CC(N)=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000532743662 1072903794 /nfs/dbraw/zinc/90/37/94/1072903794.db2.gz BKMHZBYGUHQFOK-UHFFFAOYSA-N 0 0 437.545 -0.577 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)N(C)C)sc1NC(=O)CN1CCN(CC(N)=O)CC1 ZINC000532743683 1072903734 /nfs/dbraw/zinc/90/37/34/1072903734.db2.gz DPAWMFCRPBCJAW-UHFFFAOYSA-N 0 0 439.538 -0.024 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2CCc3cc(S(=O)(=O)N4CCCCC4)ccc32)CC1 ZINC000532743891 1072901580 /nfs/dbraw/zinc/90/15/80/1072901580.db2.gz GAUHIGWUDXUYNJ-UHFFFAOYSA-N 0 0 449.577 -0.147 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CCN(CC(N)=O)CC1 ZINC000532743893 1072901565 /nfs/dbraw/zinc/90/15/65/1072901565.db2.gz IQUFYIWSJOIOQR-UHFFFAOYSA-N 0 0 425.511 -0.366 20 0 IBADRN COCCNC(=O)CN1CCN([C@H](C)C(=O)N2CCN(c3ccccc3O)CC2)CC1 ZINC000532745032 1072901699 /nfs/dbraw/zinc/90/16/99/1072901699.db2.gz QHEYTOWCQQQBMK-GOSISDBHSA-N 0 0 433.553 -0.191 20 0 IBADRN COCCNC(=O)CN1CCN([C@@H](C)C(=O)N2CCN(c3ccccc3O)CC2)CC1 ZINC000532745033 1072901548 /nfs/dbraw/zinc/90/15/48/1072901548.db2.gz QHEYTOWCQQQBMK-SFHVURJKSA-N 0 0 433.553 -0.191 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000532745495 1072901673 /nfs/dbraw/zinc/90/16/73/1072901673.db2.gz AEUJKKVDJQVADQ-CYBMUJFWSA-N 0 0 447.467 -0.400 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000532745496 1072901655 /nfs/dbraw/zinc/90/16/55/1072901655.db2.gz AEUJKKVDJQVADQ-ZDUSSCGKSA-N 0 0 447.467 -0.400 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2CCC(NC(=O)c3ccccc3)CC2)CC1 ZINC000532745519 1072901610 /nfs/dbraw/zinc/90/16/10/1072901610.db2.gz IQRXILNKRNLNBA-UHFFFAOYSA-N 0 0 445.564 -0.212 20 0 IBADRN COCC(=O)N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000532745655 1072901663 /nfs/dbraw/zinc/90/16/63/1072901663.db2.gz MEOATWIUKRVWCJ-UHFFFAOYSA-N 0 0 429.477 -0.849 20 0 IBADRN COCC(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000532746145 1072901684 /nfs/dbraw/zinc/90/16/84/1072901684.db2.gz YVKJTWCOZOIZFU-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000532746447 1072901679 /nfs/dbraw/zinc/90/16/79/1072901679.db2.gz IAPJJPRFVXNCGL-UHFFFAOYSA-N 0 0 445.476 -0.676 20 0 IBADRN COCC(=O)N1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000532746657 1072901534 /nfs/dbraw/zinc/90/15/34/1072901534.db2.gz XEMMJNJESISALY-UHFFFAOYSA-N 0 0 435.500 -0.301 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)Cc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000532750115 1072902166 /nfs/dbraw/zinc/90/21/66/1072902166.db2.gz CQFNKFWOLIHLMV-UHFFFAOYSA-N 0 0 435.506 -0.119 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000532750441 1072901651 /nfs/dbraw/zinc/90/16/51/1072901651.db2.gz OBGYXNCARKUWPZ-AWEZNQCLSA-N 0 0 433.508 -0.708 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000532750442 1072901725 /nfs/dbraw/zinc/90/17/25/1072901725.db2.gz OBGYXNCARKUWPZ-CQSZACIVSA-N 0 0 433.508 -0.708 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000532750476 1072901734 /nfs/dbraw/zinc/90/17/34/1072901734.db2.gz SQDOLPABCXMOER-HNNXBMFYSA-N 0 0 444.535 -0.440 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000532750477 1072902133 /nfs/dbraw/zinc/90/21/33/1072902133.db2.gz SQDOLPABCXMOER-OAHLLOKOSA-N 0 0 444.535 -0.440 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)C1 ZINC000532750496 1072902226 /nfs/dbraw/zinc/90/22/26/1072902226.db2.gz VPBFIVYJQSCRKA-AWEZNQCLSA-N 0 0 440.478 -0.932 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCC(=O)OC)cc2)C1 ZINC000532750497 1072902231 /nfs/dbraw/zinc/90/22/31/1072902231.db2.gz VPBFIVYJQSCRKA-CQSZACIVSA-N 0 0 440.478 -0.932 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)c2ccccc2O1 ZINC000532750673 1072901690 /nfs/dbraw/zinc/90/16/90/1072901690.db2.gz FLMYKULULQXBDC-BBRMVZONSA-N 0 0 438.506 -0.543 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c2ccccc2O1 ZINC000532750674 1072901642 /nfs/dbraw/zinc/90/16/42/1072901642.db2.gz FLMYKULULQXBDC-CJNGLKHVSA-N 0 0 438.506 -0.543 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c2ccccc2O1 ZINC000532750675 1072901694 /nfs/dbraw/zinc/90/16/94/1072901694.db2.gz FLMYKULULQXBDC-CZUORRHYSA-N 0 0 438.506 -0.543 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)c2ccccc2O1 ZINC000532750676 1072901707 /nfs/dbraw/zinc/90/17/07/1072901707.db2.gz FLMYKULULQXBDC-XJKSGUPXSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000532750752 1072902173 /nfs/dbraw/zinc/90/21/73/1072902173.db2.gz KZETYAVUKDYUNZ-AWEZNQCLSA-N 0 0 444.535 -0.476 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000532750753 1072902147 /nfs/dbraw/zinc/90/21/47/1072902147.db2.gz KZETYAVUKDYUNZ-CQSZACIVSA-N 0 0 444.535 -0.476 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000532750822 1072902209 /nfs/dbraw/zinc/90/22/09/1072902209.db2.gz NTRKJVUIOSDGSB-HNNXBMFYSA-N 0 0 431.536 -0.220 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000532750823 1072902184 /nfs/dbraw/zinc/90/21/84/1072902184.db2.gz NTRKJVUIOSDGSB-OAHLLOKOSA-N 0 0 431.536 -0.220 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000532750936 1072902596 /nfs/dbraw/zinc/90/25/96/1072902596.db2.gz OIVDGHAGNLGHJG-INIZCTEOSA-N 0 0 437.522 -0.837 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000532750937 1072902525 /nfs/dbraw/zinc/90/25/25/1072902525.db2.gz OIVDGHAGNLGHJG-MRXNPFEDSA-N 0 0 437.522 -0.837 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)C1 ZINC000532750956 1072902623 /nfs/dbraw/zinc/90/26/23/1072902623.db2.gz XWQIHMLBWQWIGZ-KRWDZBQOSA-N 0 0 444.579 -0.403 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)C1 ZINC000532750965 1072902477 /nfs/dbraw/zinc/90/24/77/1072902477.db2.gz XWQIHMLBWQWIGZ-QGZVFWFLSA-N 0 0 444.579 -0.403 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)C1 ZINC000532751127 1072902189 /nfs/dbraw/zinc/90/21/89/1072902189.db2.gz RZQSLZHCBZHPBT-AWEZNQCLSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)C1 ZINC000532751128 1072902160 /nfs/dbraw/zinc/90/21/60/1072902160.db2.gz RZQSLZHCBZHPBT-CQSZACIVSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)C1 ZINC000532751131 1072902235 /nfs/dbraw/zinc/90/22/35/1072902235.db2.gz SFPSGORTPICJAT-CYBMUJFWSA-N 0 0 449.493 -0.363 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)C1 ZINC000532751132 1072902224 /nfs/dbraw/zinc/90/22/24/1072902224.db2.gz SFPSGORTPICJAT-ZDUSSCGKSA-N 0 0 449.493 -0.363 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000532751163 1072902063 /nfs/dbraw/zinc/90/20/63/1072902063.db2.gz VWLBFVDCSXTTFF-HNNXBMFYSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000532751164 1072902124 /nfs/dbraw/zinc/90/21/24/1072902124.db2.gz VWLBFVDCSXTTFF-OAHLLOKOSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)C1 ZINC000532751175 1072902156 /nfs/dbraw/zinc/90/21/56/1072902156.db2.gz WFGMJQYCAPBNLW-CYBMUJFWSA-N 0 0 432.524 -0.666 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)C1 ZINC000532751176 1072902094 /nfs/dbraw/zinc/90/20/94/1072902094.db2.gz WFGMJQYCAPBNLW-ZDUSSCGKSA-N 0 0 432.524 -0.666 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000532751219 1072902443 /nfs/dbraw/zinc/90/24/43/1072902443.db2.gz YYBIBLHYWRPXGA-AWEZNQCLSA-N 0 0 430.508 -0.685 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000532751220 1072902456 /nfs/dbraw/zinc/90/24/56/1072902456.db2.gz YYBIBLHYWRPXGA-CQSZACIVSA-N 0 0 430.508 -0.685 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000532752211 1072902654 /nfs/dbraw/zinc/90/26/54/1072902654.db2.gz KYRZTXMHBXZAIM-GUTXKFCHSA-N 0 0 428.515 -0.326 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000532752212 1072902430 /nfs/dbraw/zinc/90/24/30/1072902430.db2.gz KYRZTXMHBXZAIM-KCQAQPDRSA-N 0 0 428.515 -0.326 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000532752213 1072902579 /nfs/dbraw/zinc/90/25/79/1072902579.db2.gz KYRZTXMHBXZAIM-UMVBOHGHSA-N 0 0 428.515 -0.326 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000532752214 1072902493 /nfs/dbraw/zinc/90/24/93/1072902493.db2.gz KYRZTXMHBXZAIM-VNHYZAJKSA-N 0 0 428.515 -0.326 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000532752284 1072902515 /nfs/dbraw/zinc/90/25/15/1072902515.db2.gz LWCCZPNXTYCXHY-BBRMVZONSA-N 0 0 435.506 -0.314 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000532752285 1072902462 /nfs/dbraw/zinc/90/24/62/1072902462.db2.gz LWCCZPNXTYCXHY-CJNGLKHVSA-N 0 0 435.506 -0.314 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000532752286 1072902668 /nfs/dbraw/zinc/90/26/68/1072902668.db2.gz LWCCZPNXTYCXHY-CZUORRHYSA-N 0 0 435.506 -0.314 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000532752287 1072902419 /nfs/dbraw/zinc/90/24/19/1072902419.db2.gz LWCCZPNXTYCXHY-XJKSGUPXSA-N 0 0 435.506 -0.314 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000532752591 1072902537 /nfs/dbraw/zinc/90/25/37/1072902537.db2.gz XMHQUMXCQDOYJQ-KRWDZBQOSA-N 0 0 445.563 -0.210 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000532752594 1072902503 /nfs/dbraw/zinc/90/25/03/1072902503.db2.gz XMHQUMXCQDOYJQ-QGZVFWFLSA-N 0 0 445.563 -0.210 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)CC2)[nH]1 ZINC000532754718 1072903585 /nfs/dbraw/zinc/90/35/85/1072903585.db2.gz CBBPMDJWFSHGNX-UHFFFAOYSA-N 0 0 436.484 -0.365 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000532755102 1072903572 /nfs/dbraw/zinc/90/35/72/1072903572.db2.gz JDTNBWJXLULRGU-HNNXBMFYSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000532755103 1072904323 /nfs/dbraw/zinc/90/43/23/1072904323.db2.gz JDTNBWJXLULRGU-OAHLLOKOSA-N 0 0 437.565 -0.217 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000532756346 1072904262 /nfs/dbraw/zinc/90/42/62/1072904262.db2.gz WRJSFGRSWMFPJF-AWEZNQCLSA-N 0 0 435.550 -0.492 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000532756347 1072904413 /nfs/dbraw/zinc/90/44/13/1072904413.db2.gz WRJSFGRSWMFPJF-CQSZACIVSA-N 0 0 435.550 -0.492 20 0 IBADRN Cn1c2nnc(CN3CCN(C(=O)CN4CCOCC4)CC3)n2c2ccsc2c1=O ZINC000532756731 1072904400 /nfs/dbraw/zinc/90/44/00/1072904400.db2.gz RNQZTOHVDVCNQY-UHFFFAOYSA-N 0 0 431.522 -0.381 20 0 IBADRN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000532756847 1072904314 /nfs/dbraw/zinc/90/43/14/1072904314.db2.gz DRTDBGANYHQHOT-GOSISDBHSA-N 0 0 446.548 -0.019 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000532756848 1072904189 /nfs/dbraw/zinc/90/41/89/1072904189.db2.gz DRTDBGANYHQHOT-SFHVURJKSA-N 0 0 446.548 -0.019 20 0 IBADRN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000532756913 1072904228 /nfs/dbraw/zinc/90/42/28/1072904228.db2.gz UHNYZFTZTGLRIJ-MSOLQXFVSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000532756914 1072904301 /nfs/dbraw/zinc/90/43/01/1072904301.db2.gz UHNYZFTZTGLRIJ-QZTJIDSGSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000532756915 1072904280 /nfs/dbraw/zinc/90/42/80/1072904280.db2.gz UHNYZFTZTGLRIJ-ROUUACIJSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000532756916 1072904372 /nfs/dbraw/zinc/90/43/72/1072904372.db2.gz UHNYZFTZTGLRIJ-ZWKOTPCHSA-N 0 0 432.521 -0.193 20 0 IBADRN CCCCN(C(=O)CN1CC[C@@H](S(=O)(=O)NC)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000532757048 1072904363 /nfs/dbraw/zinc/90/43/63/1072904363.db2.gz NSKGXAHZXQKNAN-CYBMUJFWSA-N 0 0 444.558 -0.302 20 0 IBADRN CCCCN(C(=O)CN1CC[C@H](S(=O)(=O)NC)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000532757050 1072904173 /nfs/dbraw/zinc/90/41/73/1072904173.db2.gz NSKGXAHZXQKNAN-ZDUSSCGKSA-N 0 0 444.558 -0.302 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC000532757703 1072904216 /nfs/dbraw/zinc/90/42/16/1072904216.db2.gz JRNVTYYAOFXFHX-CYBMUJFWSA-N 0 0 434.540 -0.493 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC000532757704 1072904270 /nfs/dbraw/zinc/90/42/70/1072904270.db2.gz JRNVTYYAOFXFHX-ZDUSSCGKSA-N 0 0 434.540 -0.493 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000532757773 1072904250 /nfs/dbraw/zinc/90/42/50/1072904250.db2.gz BNBYZQUMZNTWGK-DZGCQCFKSA-N 0 0 435.593 -0.113 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000532757774 1072904202 /nfs/dbraw/zinc/90/42/02/1072904202.db2.gz BNBYZQUMZNTWGK-HIFRSBDPSA-N 0 0 435.593 -0.113 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000532757775 1072904350 /nfs/dbraw/zinc/90/43/50/1072904350.db2.gz BNBYZQUMZNTWGK-UKRRQHHQSA-N 0 0 435.593 -0.113 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000532757776 1072904725 /nfs/dbraw/zinc/90/47/25/1072904725.db2.gz BNBYZQUMZNTWGK-ZFWWWQNUSA-N 0 0 435.593 -0.113 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1CCCN(CC(=O)NCC(=O)NC(C)(C)C)CC1 ZINC000532758267 1072904236 /nfs/dbraw/zinc/90/42/36/1072904236.db2.gz CARYUHICPGQVKE-UHFFFAOYSA-N 0 0 440.589 -0.944 20 0 IBADRN CCN(CC(=O)NCCCN1CCCCCC1=O)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000532760948 1072904942 /nfs/dbraw/zinc/90/49/42/1072904942.db2.gz VXBLOXQZCYNEBN-INIZCTEOSA-N 0 0 430.571 -0.480 20 0 IBADRN CCN(CC(=O)NCCCN1CCCCCC1=O)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000532760953 1072904972 /nfs/dbraw/zinc/90/49/72/1072904972.db2.gz VXBLOXQZCYNEBN-MRXNPFEDSA-N 0 0 430.571 -0.480 20 0 IBADRN CCN(CC(=O)NCCOc1ccc2c(c1)OCO2)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000532760983 1072904705 /nfs/dbraw/zinc/90/47/05/1072904705.db2.gz YCQNYQVFXVRMCI-AWEZNQCLSA-N 0 0 441.506 -0.465 20 0 IBADRN CCN(CC(=O)NCCOc1ccc2c(c1)OCO2)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000532760984 1072904910 /nfs/dbraw/zinc/90/49/10/1072904910.db2.gz YCQNYQVFXVRMCI-CQSZACIVSA-N 0 0 441.506 -0.465 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)NCCn1ncnn1 ZINC000532763381 1072904768 /nfs/dbraw/zinc/90/47/68/1072904768.db2.gz MPQCBZJEURPKMN-UHFFFAOYSA-N 0 0 435.466 -0.428 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)NCCn2ncnn2)c(=O)n(-c2ccccc2)n1C ZINC000532763887 1072904690 /nfs/dbraw/zinc/90/46/90/1072904690.db2.gz ACFJLVMUZQODRW-UHFFFAOYSA-N 0 0 430.494 -0.041 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@H](C(=O)N3CCOCC3)C2)c(=O)n(C)c1=O ZINC000532764262 1072904950 /nfs/dbraw/zinc/90/49/50/1072904950.db2.gz QCVOYMYFBXRGRH-HNNXBMFYSA-N 0 0 435.525 -0.461 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000532764264 1072904881 /nfs/dbraw/zinc/90/48/81/1072904881.db2.gz PUGVGXTUYYHXDE-INIZCTEOSA-N 0 0 436.534 -0.206 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000532764265 1072904651 /nfs/dbraw/zinc/90/46/51/1072904651.db2.gz PUGVGXTUYYHXDE-MRXNPFEDSA-N 0 0 436.534 -0.206 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCC[C@@H](C(=O)N3CCOCC3)C2)c(=O)n(C)c1=O ZINC000532764266 1072904838 /nfs/dbraw/zinc/90/48/38/1072904838.db2.gz QCVOYMYFBXRGRH-OAHLLOKOSA-N 0 0 435.525 -0.461 20 0 IBADRN O=C1COc2cc(S(=O)(=O)N3CCN(CC4(CO)COC4)CC3)c(Cl)cc2N1 ZINC000532768178 1072903623 /nfs/dbraw/zinc/90/36/23/1072903623.db2.gz DBUYUCUBBWOZNA-UHFFFAOYSA-N 0 0 431.898 -0.014 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC000532769965 1072903777 /nfs/dbraw/zinc/90/37/77/1072903777.db2.gz CQEUSDCKZXPUQH-HNNXBMFYSA-N 0 0 428.486 -0.263 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC000532769966 1072903642 /nfs/dbraw/zinc/90/36/42/1072903642.db2.gz CQEUSDCKZXPUQH-OAHLLOKOSA-N 0 0 428.486 -0.263 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2cn3cccnc3n2)CCN1C(=O)c1cn2cccnc2n1 ZINC000532771600 1072903674 /nfs/dbraw/zinc/90/36/74/1072903674.db2.gz PQEUOTRVJXYAJS-INIZCTEOSA-N 0 0 447.459 -0.173 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2cn3cccnc3n2)CCN1C(=O)c1cn2cccnc2n1 ZINC000532771602 1072903496 /nfs/dbraw/zinc/90/34/96/1072903496.db2.gz PQEUOTRVJXYAJS-MRXNPFEDSA-N 0 0 447.459 -0.173 20 0 IBADRN COc1ccc(NC(=O)CN(C)CC(=O)NC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000532772021 1072904752 /nfs/dbraw/zinc/90/47/52/1072904752.db2.gz CUXZGAKULMRYIL-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)cc1 ZINC000532772448 1072903721 /nfs/dbraw/zinc/90/37/21/1072903721.db2.gz GZTJEYJGEMNAMH-LLVKDONJSA-N 0 0 430.460 -0.219 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)cc1 ZINC000532772450 1072903698 /nfs/dbraw/zinc/90/36/98/1072903698.db2.gz GZTJEYJGEMNAMH-NSHDSACASA-N 0 0 430.460 -0.219 20 0 IBADRN CCNC(=O)CN1CCN(c2cc(N3CCN(CC(=O)NCC)CC3)nc(C)n2)CC1 ZINC000532780586 1072904790 /nfs/dbraw/zinc/90/47/90/1072904790.db2.gz VGJWYVRFOPTRRO-UHFFFAOYSA-N 0 0 432.573 -0.699 20 0 IBADRN Cc1nc(N2CCN(C(C)(C)C(N)=O)CC2)cc(N2CCN(C(C)(C)C(N)=O)CC2)n1 ZINC000532781380 1072904978 /nfs/dbraw/zinc/90/49/78/1072904978.db2.gz YLRLBAHCURTHPW-UHFFFAOYSA-N 0 0 432.573 -0.443 20 0 IBADRN Cn1c(C(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cc2c1n(C)c(=O)n(C)c2=O ZINC000532788267 1072904828 /nfs/dbraw/zinc/90/48/28/1072904828.db2.gz QHPOJGVCLHNOBO-JTQLQIEISA-N 0 0 440.503 -0.798 20 0 IBADRN Cn1c(C(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cc2c1n(C)c(=O)n(C)c2=O ZINC000532788268 1072904736 /nfs/dbraw/zinc/90/47/36/1072904736.db2.gz QHPOJGVCLHNOBO-SNVBAGLBSA-N 0 0 440.503 -0.798 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000532795952 1072905475 /nfs/dbraw/zinc/90/54/75/1072905475.db2.gz IJKKTQOGUYDRMQ-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000532796072 1072905393 /nfs/dbraw/zinc/90/53/93/1072905393.db2.gz VIZFZVVSIBHJRR-DOMZBBRYSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000532796073 1072905386 /nfs/dbraw/zinc/90/53/86/1072905386.db2.gz VIZFZVVSIBHJRR-IUODEOHRSA-N 0 0 425.463 -0.506 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000532804524 1072905489 /nfs/dbraw/zinc/90/54/89/1072905489.db2.gz KJTGPGZQJWWKNC-UHFFFAOYSA-N 0 0 440.507 -0.501 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000532804744 1072905483 /nfs/dbraw/zinc/90/54/83/1072905483.db2.gz LEWYTVUODYFBJD-UHFFFAOYSA-N 0 0 431.536 -0.217 20 0 IBADRN Cc1nc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)sc1C ZINC000532804982 1072905436 /nfs/dbraw/zinc/90/54/36/1072905436.db2.gz PKLAYBITIORTFB-UHFFFAOYSA-N 0 0 426.524 -0.186 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000532805244 1072905363 /nfs/dbraw/zinc/90/53/63/1072905363.db2.gz XRBWGWCWICDHSN-UHFFFAOYSA-N 0 0 443.441 -0.049 20 0 IBADRN C[C@@H](CNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)S(C)(=O)=O ZINC000532807517 1072905353 /nfs/dbraw/zinc/90/53/53/1072905353.db2.gz QGXJMJPFLJBZMY-AWEZNQCLSA-N 0 0 432.568 -0.145 20 0 IBADRN C[C@H](CNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)S(C)(=O)=O ZINC000532807518 1072905337 /nfs/dbraw/zinc/90/53/37/1072905337.db2.gz QGXJMJPFLJBZMY-CQSZACIVSA-N 0 0 432.568 -0.145 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1=O ZINC000532813088 1072905851 /nfs/dbraw/zinc/90/58/51/1072905851.db2.gz NRUNAIPFUIGOBG-UHFFFAOYSA-N 0 0 446.464 -0.749 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000532813520 1072906058 /nfs/dbraw/zinc/90/60/58/1072906058.db2.gz AZENYWWATAVDOW-UHFFFAOYSA-N 0 0 442.432 -0.036 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1=O ZINC000532813721 1072905959 /nfs/dbraw/zinc/90/59/59/1072905959.db2.gz IOJSSMWEYMCGBS-UHFFFAOYSA-N 0 0 430.465 -0.572 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(C(=O)OC)ccc1-n1cc(C)cn1 ZINC000532814712 1072906033 /nfs/dbraw/zinc/90/60/33/1072906033.db2.gz ZQTJSXQACCZTGO-UHFFFAOYSA-N 0 0 437.478 -0.039 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@@H](C)S(C)(=O)=O)cc1 ZINC000532815355 1072905977 /nfs/dbraw/zinc/90/59/77/1072905977.db2.gz TZJZVNWERHEQGN-CYBMUJFWSA-N 0 0 433.552 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@H](C)S(C)(=O)=O)cc1 ZINC000532815365 1072906071 /nfs/dbraw/zinc/90/60/71/1072906071.db2.gz TZJZVNWERHEQGN-ZDUSSCGKSA-N 0 0 433.552 -0.004 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)c1=O ZINC000532815768 1072905981 /nfs/dbraw/zinc/90/59/81/1072905981.db2.gz SULNBTRHPCAEGN-UHFFFAOYSA-N 0 0 435.458 -0.060 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000532816153 1072906436 /nfs/dbraw/zinc/90/64/36/1072906436.db2.gz QKTMPZNZZJCIJP-UHFFFAOYSA-N 0 0 438.466 -0.800 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000532817059 1072906537 /nfs/dbraw/zinc/90/65/37/1072906537.db2.gz TZGUACNUTHYAKI-UHFFFAOYSA-N 0 0 449.537 -0.361 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000532822088 1072905500 /nfs/dbraw/zinc/90/55/00/1072905500.db2.gz NBQVITKAXPSGFB-INIZCTEOSA-N 0 0 448.545 -0.454 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000532822090 1072905446 /nfs/dbraw/zinc/90/54/46/1072905446.db2.gz NBQVITKAXPSGFB-MRXNPFEDSA-N 0 0 448.545 -0.454 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H](C)S(C)(=O)=O)cc2)CC1 ZINC000532823836 1072604741 /nfs/dbraw/zinc/60/47/41/1072604741.db2.gz FJABLIIXHAOSRQ-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H](C)S(C)(=O)=O)cc2)CC1 ZINC000532823837 1072906044 /nfs/dbraw/zinc/90/60/44/1072906044.db2.gz FJABLIIXHAOSRQ-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN COCCN(C(=O)CN(C)CCN1C(=O)c2ccccc2C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000532824320 1072905421 /nfs/dbraw/zinc/90/54/21/1072905421.db2.gz PYZUUUQCSNNXIM-HNNXBMFYSA-N 0 0 437.518 -0.124 20 0 IBADRN COCCN(C(=O)CN(C)CCN1C(=O)c2ccccc2C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000532824321 1072905431 /nfs/dbraw/zinc/90/54/31/1072905431.db2.gz PYZUUUQCSNNXIM-OAHLLOKOSA-N 0 0 437.518 -0.124 20 0 IBADRN C[C@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)S(C)(=O)=O ZINC000532824337 1072905319 /nfs/dbraw/zinc/90/53/19/1072905319.db2.gz QQZZXWAXKXRLNQ-CYBMUJFWSA-N 0 0 433.552 -0.064 20 0 IBADRN C[C@@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)S(C)(=O)=O ZINC000532824341 1072905839 /nfs/dbraw/zinc/90/58/39/1072905839.db2.gz QQZZXWAXKXRLNQ-ZDUSSCGKSA-N 0 0 433.552 -0.064 20 0 IBADRN C[C@@H](CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000532824729 1072905400 /nfs/dbraw/zinc/90/54/00/1072905400.db2.gz OJJNZJGHVNUDDK-HNNXBMFYSA-N 0 0 445.563 -0.151 20 0 IBADRN C[C@H](CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000532824737 1072905509 /nfs/dbraw/zinc/90/55/09/1072905509.db2.gz OJJNZJGHVNUDDK-OAHLLOKOSA-N 0 0 445.563 -0.151 20 0 IBADRN COC(=O)c1cccc([C@@H](C(=O)OC)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000532825212 1072905874 /nfs/dbraw/zinc/90/58/74/1072905874.db2.gz KJPXRZWYCAHORJ-KRWDZBQOSA-N 0 0 433.461 -0.310 20 0 IBADRN COC(=O)c1cccc([C@H](C(=O)OC)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000532825218 1072905967 /nfs/dbraw/zinc/90/59/67/1072905967.db2.gz KJPXRZWYCAHORJ-QGZVFWFLSA-N 0 0 433.461 -0.310 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000532829806 1072906582 /nfs/dbraw/zinc/90/65/82/1072906582.db2.gz QXXOQOCBALAJJI-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)c1 ZINC000532830755 1072906595 /nfs/dbraw/zinc/90/65/95/1072906595.db2.gz CAGOSYKNSPGPAZ-UHFFFAOYSA-N 0 0 446.551 -0.371 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000532831034 1072906485 /nfs/dbraw/zinc/90/64/85/1072906485.db2.gz CDODTLCMCPTKIA-UHFFFAOYSA-N 0 0 428.405 -0.426 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1=O ZINC000532833865 1072907103 /nfs/dbraw/zinc/90/71/03/1072907103.db2.gz RVYIDLOICQLPSV-AWEZNQCLSA-N 0 0 447.492 -0.839 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1=O ZINC000532833869 1072907004 /nfs/dbraw/zinc/90/70/04/1072907004.db2.gz RVYIDLOICQLPSV-CQSZACIVSA-N 0 0 447.492 -0.839 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCCN(c3cccnn3)CC2)c1=O ZINC000532833887 1072907094 /nfs/dbraw/zinc/90/70/94/1072907094.db2.gz SWEXEFBLIJGWTF-UHFFFAOYSA-N 0 0 428.449 -0.121 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1=O ZINC000532834184 1072907168 /nfs/dbraw/zinc/90/71/68/1072907168.db2.gz UBUFBJLQXKLPGN-CHWSQXEVSA-N 0 0 442.494 -0.816 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1=O ZINC000532834185 1072907020 /nfs/dbraw/zinc/90/70/20/1072907020.db2.gz UBUFBJLQXKLPGN-OLZOCXBDSA-N 0 0 442.494 -0.816 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1=O ZINC000532834186 1072907117 /nfs/dbraw/zinc/90/71/17/1072907117.db2.gz UBUFBJLQXKLPGN-QWHCGFSZSA-N 0 0 442.494 -0.816 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1=O ZINC000532834187 1072907062 /nfs/dbraw/zinc/90/70/62/1072907062.db2.gz UBUFBJLQXKLPGN-STQMWFEESA-N 0 0 442.494 -0.816 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)c1=O ZINC000532835295 1072906937 /nfs/dbraw/zinc/90/69/37/1072906937.db2.gz NDDLBKCAQXPBOH-UHFFFAOYSA-N 0 0 444.448 -0.503 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1=O ZINC000532837858 1072906530 /nfs/dbraw/zinc/90/65/30/1072906530.db2.gz IEIBDNSTUFFXBR-INIZCTEOSA-N 0 0 449.508 -0.192 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1=O ZINC000532837879 1072906574 /nfs/dbraw/zinc/90/65/74/1072906574.db2.gz IEIBDNSTUFFXBR-MRXNPFEDSA-N 0 0 449.508 -0.192 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)S(C)(=O)=O ZINC000532837946 1072906456 /nfs/dbraw/zinc/90/64/56/1072906456.db2.gz ACOWHFDMMNJQMT-BBRMVZONSA-N 0 0 439.490 -0.811 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)S(C)(=O)=O ZINC000532837967 1072906447 /nfs/dbraw/zinc/90/64/47/1072906447.db2.gz ACOWHFDMMNJQMT-CJNGLKHVSA-N 0 0 439.490 -0.811 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)S(C)(=O)=O ZINC000532837971 1072906407 /nfs/dbraw/zinc/90/64/07/1072906407.db2.gz ACOWHFDMMNJQMT-CZUORRHYSA-N 0 0 439.490 -0.811 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)S(C)(=O)=O ZINC000532837974 1072906392 /nfs/dbraw/zinc/90/63/92/1072906392.db2.gz ACOWHFDMMNJQMT-XJKSGUPXSA-N 0 0 439.490 -0.811 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)NCCC(=O)N3CCN(C)CC3)C2)no1 ZINC000532840746 1073377995 /nfs/dbraw/zinc/37/79/95/1073377995.db2.gz WZTAUEFUCXQVBP-INIZCTEOSA-N 0 0 435.529 -0.072 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)NCCC(=O)N3CCN(C)CC3)C2)no1 ZINC000532840753 1073378028 /nfs/dbraw/zinc/37/80/28/1073378028.db2.gz WZTAUEFUCXQVBP-MRXNPFEDSA-N 0 0 435.529 -0.072 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N(C)CCN2C(=O)c3ccccc3C2=O)cn1 ZINC000532841102 1072906424 /nfs/dbraw/zinc/90/64/24/1072906424.db2.gz WPXZHBWUVKUYBE-UHFFFAOYSA-N 0 0 426.433 -0.336 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)ccc1S(=O)(=O)N1CCCC1 ZINC000532841463 1072906473 /nfs/dbraw/zinc/90/64/73/1072906473.db2.gz NDDGNXNOBYPQNC-GFCCVEGCSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)ccc1S(=O)(=O)N1CCCC1 ZINC000532841464 1072906416 /nfs/dbraw/zinc/90/64/16/1072906416.db2.gz NDDGNXNOBYPQNC-LBPRGKRZSA-N 0 0 447.535 -0.033 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1=O ZINC000532841865 1072906613 /nfs/dbraw/zinc/90/66/13/1072906613.db2.gz CJWNPKCDZCUVCV-UHFFFAOYSA-N 0 0 447.448 -0.764 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC000532842418 1072906506 /nfs/dbraw/zinc/90/65/06/1072906506.db2.gz MZMHFMPPUVUTRC-CYBMUJFWSA-N 0 0 447.535 -0.496 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O ZINC000532842419 1072906607 /nfs/dbraw/zinc/90/66/07/1072906607.db2.gz MZMHFMPPUVUTRC-ZDUSSCGKSA-N 0 0 447.535 -0.496 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NS(=O)(=O)N2CCOCC2)c1 ZINC000532843710 1072906623 /nfs/dbraw/zinc/90/66/23/1072906623.db2.gz JVULYOIWAYMDLE-UHFFFAOYSA-N 0 0 434.540 -0.238 20 0 IBADRN CCc1ccc([C@H](CO)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000532846142 1072906562 /nfs/dbraw/zinc/90/65/62/1072906562.db2.gz ZCHSTTIXTSNSHM-INIZCTEOSA-N 0 0 425.445 -0.017 20 0 IBADRN CCc1ccc([C@@H](CO)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000532846145 1072906638 /nfs/dbraw/zinc/90/66/38/1072906638.db2.gz ZCHSTTIXTSNSHM-MRXNPFEDSA-N 0 0 425.445 -0.017 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)o1 ZINC000532853340 1072907128 /nfs/dbraw/zinc/90/71/28/1072907128.db2.gz DNJLSIWWLOMPLQ-UHFFFAOYSA-N 0 0 429.451 -0.934 20 0 IBADRN C[C@H](NC(=O)CNc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(=O)N(C)C ZINC000532856350 1072907035 /nfs/dbraw/zinc/90/70/35/1072907035.db2.gz HYXZTUIFVXTHAA-AWEZNQCLSA-N 0 0 441.554 -0.222 20 0 IBADRN C[C@@H](NC(=O)CNc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(=O)N(C)C ZINC000532856354 1072907158 /nfs/dbraw/zinc/90/71/58/1072907158.db2.gz HYXZTUIFVXTHAA-CQSZACIVSA-N 0 0 441.554 -0.222 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000532861999 1072906926 /nfs/dbraw/zinc/90/69/26/1072906926.db2.gz KAMCKIOAGVMLLV-AWEZNQCLSA-N 0 0 438.531 -0.011 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000532862000 1072907531 /nfs/dbraw/zinc/90/75/31/1072907531.db2.gz KAMCKIOAGVMLLV-CQSZACIVSA-N 0 0 438.531 -0.011 20 0 IBADRN C[C@H](C(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O)N1C(=O)NC2(CCCC2)C1=O ZINC000532862514 1072907069 /nfs/dbraw/zinc/90/70/69/1072907069.db2.gz DLOACCYMQWGMTH-GDBMZVCRSA-N 0 0 443.504 -0.041 20 0 IBADRN C[C@@H](C(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O)N1C(=O)NC2(CCCC2)C1=O ZINC000532862515 1072907143 /nfs/dbraw/zinc/90/71/43/1072907143.db2.gz DLOACCYMQWGMTH-GOEBONIOSA-N 0 0 443.504 -0.041 20 0 IBADRN C[C@@H](C(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O)N1C(=O)NC2(CCCC2)C1=O ZINC000532862517 1072906949 /nfs/dbraw/zinc/90/69/49/1072906949.db2.gz DLOACCYMQWGMTH-HOCLYGCPSA-N 0 0 443.504 -0.041 20 0 IBADRN C[C@H](C(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O)N1C(=O)NC2(CCCC2)C1=O ZINC000532862518 1072907048 /nfs/dbraw/zinc/90/70/48/1072907048.db2.gz DLOACCYMQWGMTH-ZBFHGGJFSA-N 0 0 443.504 -0.041 20 0 IBADRN CC[C@@H](CO)NC(=O)Cc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000532868993 1072907417 /nfs/dbraw/zinc/90/74/17/1072907417.db2.gz NCEWDDDMYCBGGB-AWEZNQCLSA-N 0 0 442.476 -0.498 20 0 IBADRN CC[C@H](CO)NC(=O)Cc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000532869000 1072907485 /nfs/dbraw/zinc/90/74/85/1072907485.db2.gz NCEWDDDMYCBGGB-CQSZACIVSA-N 0 0 442.476 -0.498 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N(CC[NH+](C)C)CC(=O)[O-])CC2)c1 ZINC000532871991 1072907445 /nfs/dbraw/zinc/90/74/45/1072907445.db2.gz CSYVOCKPFBKQLT-UHFFFAOYSA-N 0 0 426.539 -0.078 20 0 IBADRN Cc1ccc(S(=O)(=O)N2Cc3ccccc3C[C@H]2C(=O)NC(C(N)=O)C(N)=O)cc1 ZINC000532878220 1072907584 /nfs/dbraw/zinc/90/75/84/1072907584.db2.gz TWGUKXASETZDMD-INIZCTEOSA-N 0 0 430.486 -0.434 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)CCCOC)CC2)C1 ZINC000532878859 1072907467 /nfs/dbraw/zinc/90/74/67/1072907467.db2.gz ZOKQKSONQOKDAH-HNNXBMFYSA-N 0 0 441.572 -0.510 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)CCCOC)CC2)C1 ZINC000532878860 1072907697 /nfs/dbraw/zinc/90/76/97/1072907697.db2.gz ZOKQKSONQOKDAH-OAHLLOKOSA-N 0 0 441.572 -0.510 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000532881501 1072907611 /nfs/dbraw/zinc/90/76/11/1072907611.db2.gz AXBGAEGQHAGEKK-UHFFFAOYSA-N 0 0 446.507 -0.806 20 0 IBADRN O=C(NCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1F ZINC000532882842 1072907432 /nfs/dbraw/zinc/90/74/32/1072907432.db2.gz NWMFXIPORLIIJV-UHFFFAOYSA-N 0 0 435.456 -0.732 20 0 IBADRN O=C(NCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1F ZINC000532883022 1072907668 /nfs/dbraw/zinc/90/76/68/1072907668.db2.gz RVGRCPQYFCZWPO-UHFFFAOYSA-N 0 0 443.501 -0.540 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC1 ZINC000532891628 1072907538 /nfs/dbraw/zinc/90/75/38/1072907538.db2.gz HYORWJVDDMQESF-UHFFFAOYSA-N 0 0 428.577 -0.602 20 0 IBADRN O=C(NCCNC(=O)c1ccccc1F)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000532892417 1072907653 /nfs/dbraw/zinc/90/76/53/1072907653.db2.gz MIDULGOANLZJFP-UHFFFAOYSA-N 0 0 428.424 -0.358 20 0 IBADRN C[C@@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532894002 1072908108 /nfs/dbraw/zinc/90/81/08/1072908108.db2.gz WGGRFUBFOMADJW-HNNXBMFYSA-N 0 0 429.477 -0.637 20 0 IBADRN C[C@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532894003 1072823629 /nfs/dbraw/zinc/82/36/29/1072823629.db2.gz WGGRFUBFOMADJW-OAHLLOKOSA-N 0 0 429.477 -0.637 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532894010 1072908004 /nfs/dbraw/zinc/90/80/04/1072908004.db2.gz ZGYIYPRLHMASMO-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000532894054 1072908153 /nfs/dbraw/zinc/90/81/53/1072908153.db2.gz NWVXOQWYYGMLCN-HNNXBMFYSA-N 0 0 431.493 -0.624 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000532894055 1072908194 /nfs/dbraw/zinc/90/81/94/1072908194.db2.gz NWVXOQWYYGMLCN-OAHLLOKOSA-N 0 0 431.493 -0.624 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)c(=O)n(C)c1=O ZINC000532894065 1072908020 /nfs/dbraw/zinc/90/80/20/1072908020.db2.gz PYWCHMYRGQGUPN-UHFFFAOYSA-N 0 0 429.433 -0.964 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000532894165 1072908068 /nfs/dbraw/zinc/90/80/68/1072908068.db2.gz XVIDVHXKLNYHHG-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN C[C@@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532894338 1072908049 /nfs/dbraw/zinc/90/80/49/1072908049.db2.gz HTCXQLPOHKIIAY-HNNXBMFYSA-N 0 0 440.508 -0.317 20 0 IBADRN C[C@H](c1nc(N)nc(Nc2ccccc2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532894339 1072907956 /nfs/dbraw/zinc/90/79/56/1072907956.db2.gz HTCXQLPOHKIIAY-OAHLLOKOSA-N 0 0 440.508 -0.317 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000532894383 1072908080 /nfs/dbraw/zinc/90/80/80/1072908080.db2.gz UJLFPKZSQULWAC-UHFFFAOYSA-N 0 0 447.448 -0.934 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000532894400 1072908135 /nfs/dbraw/zinc/90/81/35/1072908135.db2.gz ZZXOGSSTRZMGMZ-UHFFFAOYSA-N 0 0 438.506 -0.968 20 0 IBADRN NC(=O)[C@H](c1ccc(Br)cc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532894585 1072907599 /nfs/dbraw/zinc/90/75/99/1072907599.db2.gz ZPIHDABXMOWINK-HNNXBMFYSA-N 0 0 439.310 -0.022 20 0 IBADRN NC(=O)[C@@H](c1ccc(Br)cc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000532894586 1072907626 /nfs/dbraw/zinc/90/76/26/1072907626.db2.gz ZPIHDABXMOWINK-OAHLLOKOSA-N 0 0 439.310 -0.022 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(CC(=O)NCCOC)CC2)ccc1C ZINC000532896755 1072907572 /nfs/dbraw/zinc/90/75/72/1072907572.db2.gz KIEMHMXNWNKGJN-UHFFFAOYSA-N 0 0 441.554 -0.778 20 0 IBADRN CCn1c2nnc(CN3CCN(CC(=O)NCCOC)CC3)n2c2ccccc2c1=O ZINC000532896848 1072907424 /nfs/dbraw/zinc/90/74/24/1072907424.db2.gz HBERIYZZMHTWTB-UHFFFAOYSA-N 0 0 427.509 -0.056 20 0 IBADRN COCCNC(=O)CN1CCN([C@@H](C)C(=O)Nc2ccccc2S(C)(=O)=O)CC1 ZINC000532896981 1072909437 /nfs/dbraw/zinc/90/94/37/1072909437.db2.gz ULQXUXCDUOPTIL-HNNXBMFYSA-N 0 0 426.539 -0.203 20 0 IBADRN COCCNC(=O)CN1CCN([C@H](C)C(=O)Nc2ccccc2S(C)(=O)=O)CC1 ZINC000532896985 1072909162 /nfs/dbraw/zinc/90/91/62/1072909162.db2.gz ULQXUXCDUOPTIL-OAHLLOKOSA-N 0 0 426.539 -0.203 20 0 IBADRN COCC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000532897117 1072909432 /nfs/dbraw/zinc/90/94/32/1072909432.db2.gz ZKWPARFMXWKEKZ-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCCO3)CC1 ZINC000532897838 1072909351 /nfs/dbraw/zinc/90/93/51/1072909351.db2.gz KNRWCHVXDVXDFD-UHFFFAOYSA-N 0 0 449.508 -0.124 20 0 IBADRN COc1cccc(C(=O)N2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)c1 ZINC000532898649 1072909403 /nfs/dbraw/zinc/90/94/03/1072909403.db2.gz FCQGYTCPENRCHW-UHFFFAOYSA-N 0 0 426.477 -0.100 20 0 IBADRN COc1cccc(C(=O)N2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000532898913 1072909286 /nfs/dbraw/zinc/90/92/86/1072909286.db2.gz BXKPUYXINMFOJV-CYBMUJFWSA-N 0 0 429.477 -0.296 20 0 IBADRN COc1cccc(C(=O)N2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000532898914 1072909958 /nfs/dbraw/zinc/90/99/58/1072909958.db2.gz BXKPUYXINMFOJV-ZDUSSCGKSA-N 0 0 429.477 -0.296 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1OC ZINC000532899732 1072909189 /nfs/dbraw/zinc/90/91/89/1072909189.db2.gz YSAHGYZCVCRRLX-UHFFFAOYSA-N 0 0 445.476 -0.676 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1Cc1cccc(CN2CCNC(=O)[C@@H]2CC(=O)OC)c1 ZINC000532900465 1072910023 /nfs/dbraw/zinc/91/00/23/1072910023.db2.gz RFTQJNCJUGPGAD-HDICACEKSA-N 0 0 446.504 -0.586 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1Cc1cccc(CN2CCNC(=O)[C@H]2CC(=O)OC)c1 ZINC000532900469 1072909947 /nfs/dbraw/zinc/90/99/47/1072909947.db2.gz RFTQJNCJUGPGAD-QZTJIDSGSA-N 0 0 446.504 -0.586 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1Cc1cccc(CN2CCNC(=O)[C@@H]2CC(=O)OC)c1 ZINC000532900470 1072909788 /nfs/dbraw/zinc/90/97/88/1072909788.db2.gz RFTQJNCJUGPGAD-ROUUACIJSA-N 0 0 446.504 -0.586 20 0 IBADRN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000532900791 1072909909 /nfs/dbraw/zinc/90/99/09/1072909909.db2.gz DKOBHWZKKTYFQN-GOSISDBHSA-N 0 0 446.548 -0.019 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000532900792 1072910004 /nfs/dbraw/zinc/91/00/04/1072910004.db2.gz DKOBHWZKKTYFQN-SFHVURJKSA-N 0 0 446.548 -0.019 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN3CCN(C(=O)CN4CCOCC4)CC3)n12 ZINC000532901462 1072909772 /nfs/dbraw/zinc/90/97/72/1072909772.db2.gz MGFIWFSHXJMASR-UHFFFAOYSA-N 0 0 439.520 -0.134 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c2ccccc2O1 ZINC000532902747 1072908063 /nfs/dbraw/zinc/90/80/63/1072908063.db2.gz KFQPRQPTBQWUMK-BBRMVZONSA-N 0 0 439.490 -0.118 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c2ccccc2O1 ZINC000532902748 1072908031 /nfs/dbraw/zinc/90/80/31/1072908031.db2.gz KFQPRQPTBQWUMK-CJNGLKHVSA-N 0 0 439.490 -0.118 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c2ccccc2O1 ZINC000532902749 1072908115 /nfs/dbraw/zinc/90/81/15/1072908115.db2.gz KFQPRQPTBQWUMK-CZUORRHYSA-N 0 0 439.490 -0.118 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c2ccccc2O1 ZINC000532902750 1072908632 /nfs/dbraw/zinc/90/86/32/1072908632.db2.gz KFQPRQPTBQWUMK-XJKSGUPXSA-N 0 0 439.490 -0.118 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCn3ncnn3)CC2)cc1 ZINC000532904119 1072908584 /nfs/dbraw/zinc/90/85/84/1072908584.db2.gz FVNZWVHSNMLPJV-UHFFFAOYSA-N 0 0 435.510 -0.589 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCn2ncnn2)c1OC ZINC000532904563 1072908690 /nfs/dbraw/zinc/90/86/90/1072908690.db2.gz IVDAQRQEYNFFSU-UHFFFAOYSA-N 0 0 426.455 -0.859 20 0 IBADRN O=C(CC12C[C@H]3C[C@@H](C1)CC(C(=O)NCCn1ncnn1)(C3)C2)NCCn1ncnn1 ZINC000532904620 1072908877 /nfs/dbraw/zinc/90/88/77/1072908877.db2.gz UTVWVFFCDNYSRZ-MYMYQCDVSA-N 0 0 428.501 -0.431 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCCn1ncnn1 ZINC000532904759 1072908849 /nfs/dbraw/zinc/90/88/49/1072908849.db2.gz CIGYXEMJCNJFNZ-UHFFFAOYSA-N 0 0 439.473 -0.758 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CCN1c1nccn2cnnc12 ZINC000532905789 1072908823 /nfs/dbraw/zinc/90/88/23/1072908823.db2.gz YXUBRKQSOASOKO-GFCCVEGCSA-N 0 0 437.507 -0.329 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CCN1c1nccn2cnnc12 ZINC000532905790 1072908864 /nfs/dbraw/zinc/90/88/64/1072908864.db2.gz YXUBRKQSOASOKO-LBPRGKRZSA-N 0 0 437.507 -0.329 20 0 IBADRN CCN(CC)C(=O)CN1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000532907234 1072908765 /nfs/dbraw/zinc/90/87/65/1072908765.db2.gz WGIHVYJKXXFTKD-UHFFFAOYSA-N 0 0 437.566 -0.291 20 0 IBADRN CCN(CC)C(=O)CN1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CC1 ZINC000532907337 1072908724 /nfs/dbraw/zinc/90/87/24/1072908724.db2.gz ARSYVFOWTAROSA-UHFFFAOYSA-N 0 0 436.557 -0.546 20 0 IBADRN CCN(CC)C(=O)CN1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532907406 1072908781 /nfs/dbraw/zinc/90/87/81/1072908781.db2.gz ROWUZVSKEALCEV-UHFFFAOYSA-N 0 0 439.582 -0.521 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1 ZINC000532907609 1072908551 /nfs/dbraw/zinc/90/85/51/1072908551.db2.gz KKPRBHVMUGVJAC-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1 ZINC000532907610 1072908739 /nfs/dbraw/zinc/90/87/39/1072908739.db2.gz KKPRBHVMUGVJAC-NSHDSACASA-N 0 0 429.517 -0.101 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@H](C(F)(F)F)C1 ZINC000532908304 1072908623 /nfs/dbraw/zinc/90/86/23/1072908623.db2.gz QUAUNLGZXBQAKG-AWEZNQCLSA-N 0 0 428.477 -0.018 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@@H](C(F)(F)F)C1 ZINC000532908305 1072908596 /nfs/dbraw/zinc/90/85/96/1072908596.db2.gz QUAUNLGZXBQAKG-CQSZACIVSA-N 0 0 428.477 -0.018 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(Cc2ccco2)CC1 ZINC000532908354 1072908610 /nfs/dbraw/zinc/90/86/10/1072908610.db2.gz KSHVPUSENCTZEC-UHFFFAOYSA-N 0 0 441.554 -0.882 20 0 IBADRN CC(C)NC(=O)CN1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532909701 1072908715 /nfs/dbraw/zinc/90/87/15/1072908715.db2.gz ZROPTLIVXYMRIM-INIZCTEOSA-N 0 0 439.582 -0.477 20 0 IBADRN CC(C)NC(=O)CN1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532909702 1072908539 /nfs/dbraw/zinc/90/85/39/1072908539.db2.gz ZROPTLIVXYMRIM-MRXNPFEDSA-N 0 0 439.582 -0.477 20 0 IBADRN COc1ccc(NC(=O)CN2CCCC[C@H]2C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000532910272 1072909445 /nfs/dbraw/zinc/90/94/45/1072909445.db2.gz OKYFHZAZSYZRIM-HNNXBMFYSA-N 0 0 440.522 -0.006 20 0 IBADRN COc1ccc(NC(=O)CN2CCCC[C@@H]2C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000532910273 1072909175 /nfs/dbraw/zinc/90/91/75/1072909175.db2.gz OKYFHZAZSYZRIM-OAHLLOKOSA-N 0 0 440.522 -0.006 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(CCO)CC3)CC2)c1 ZINC000532913483 1072909195 /nfs/dbraw/zinc/90/91/95/1072909195.db2.gz XZSQIKXXPKKTPY-UHFFFAOYSA-N 0 0 438.550 -0.668 20 0 IBADRN CCCN(C(=O)CN1CCN(CCO)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000532913510 1072909371 /nfs/dbraw/zinc/90/93/71/1072909371.db2.gz KQVFKPGKTCWDEC-UHFFFAOYSA-N 0 0 444.536 -0.068 20 0 IBADRN COCCN1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000532913976 1072909421 /nfs/dbraw/zinc/90/94/21/1072909421.db2.gz DUBLZULKXOJUAT-UHFFFAOYSA-N 0 0 440.566 -0.412 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000532914214 1072909183 /nfs/dbraw/zinc/90/91/83/1072909183.db2.gz UPRLDLQFUDBXME-AWEZNQCLSA-N 0 0 432.550 -0.001 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000532914215 1072909136 /nfs/dbraw/zinc/90/91/36/1072909136.db2.gz UPRLDLQFUDBXME-CQSZACIVSA-N 0 0 432.550 -0.001 20 0 IBADRN COCCN1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000532914327 1072909141 /nfs/dbraw/zinc/90/91/41/1072909141.db2.gz RYGVHCUETGHKDI-UHFFFAOYSA-N 0 0 426.539 -0.090 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000532914391 1072909260 /nfs/dbraw/zinc/90/92/60/1072909260.db2.gz BUUIDXSTIOESMP-CABCVRRESA-N 0 0 432.550 -0.014 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000532914395 1072909386 /nfs/dbraw/zinc/90/93/86/1072909386.db2.gz BUUIDXSTIOESMP-GJZGRUSLSA-N 0 0 432.550 -0.014 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000532914396 1072909336 /nfs/dbraw/zinc/90/93/36/1072909336.db2.gz BUUIDXSTIOESMP-HUUCEWRRSA-N 0 0 432.550 -0.014 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000532914397 1072909240 /nfs/dbraw/zinc/90/92/40/1072909240.db2.gz BUUIDXSTIOESMP-LSDHHAIUSA-N 0 0 432.550 -0.014 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000532915158 1072910433 /nfs/dbraw/zinc/91/04/33/1072910433.db2.gz JXBJXZVBANQCAF-AWEZNQCLSA-N 0 0 425.515 -0.587 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000532915159 1072910474 /nfs/dbraw/zinc/91/04/74/1072910474.db2.gz JXBJXZVBANQCAF-CQSZACIVSA-N 0 0 425.515 -0.587 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532917440 1072910417 /nfs/dbraw/zinc/91/04/17/1072910417.db2.gz DZEZCAASPOLJQQ-HNNXBMFYSA-N 0 0 439.538 -0.148 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532917441 1072910351 /nfs/dbraw/zinc/91/03/51/1072910351.db2.gz DZEZCAASPOLJQQ-OAHLLOKOSA-N 0 0 439.538 -0.148 20 0 IBADRN C[C@@H](C(=O)NC(=O)NC1CCCCC1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532917452 1072910318 /nfs/dbraw/zinc/91/03/18/1072910318.db2.gz ICXZYYDJKHDZAY-INIZCTEOSA-N 0 0 444.602 -0.357 20 0 IBADRN C[C@H](C(=O)NC(=O)NC1CCCCC1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532917453 1072910547 /nfs/dbraw/zinc/91/05/47/1072910547.db2.gz ICXZYYDJKHDZAY-MRXNPFEDSA-N 0 0 444.602 -0.357 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000532917582 1072910488 /nfs/dbraw/zinc/91/04/88/1072910488.db2.gz AHQCLLMTPQLAES-INIZCTEOSA-N 0 0 431.536 -0.076 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000532917583 1072910406 /nfs/dbraw/zinc/91/04/06/1072910406.db2.gz AHQCLLMTPQLAES-MRXNPFEDSA-N 0 0 431.536 -0.076 20 0 IBADRN CNC(=O)CN(C)CC(=O)N(CCOC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000532917624 1072910523 /nfs/dbraw/zinc/91/05/23/1072910523.db2.gz DMGFXSFKSKKEMB-UHFFFAOYSA-N 0 0 432.481 -0.763 20 0 IBADRN COC(=O)C1(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCCCC1 ZINC000532917677 1072910451 /nfs/dbraw/zinc/91/04/51/1072910451.db2.gz KPVSOLLPTVIMON-UHFFFAOYSA-N 0 0 445.586 -0.912 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCC(=O)Nc3ccc(C(N)=O)cc3)CC2)CC1 ZINC000532917678 1072910509 /nfs/dbraw/zinc/91/05/09/1072910509.db2.gz KRTVNCHXAOMBMD-UHFFFAOYSA-N 0 0 438.554 -0.776 20 0 IBADRN Nc1c(C(=O)CN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c(=O)[nH]c(=O)n1C1CC1 ZINC000532917881 1072910369 /nfs/dbraw/zinc/91/03/69/1072910369.db2.gz BITSCCZWIMRZNH-UHFFFAOYSA-N 0 0 448.505 -0.482 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@H](C(F)(F)F)C3)CC2)CC1 ZINC000532917967 1072910492 /nfs/dbraw/zinc/91/04/92/1072910492.db2.gz MKJZNIKHMFPBJW-HNNXBMFYSA-N 0 0 441.520 -0.103 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@@H](C(F)(F)F)C3)CC2)CC1 ZINC000532917968 1072910570 /nfs/dbraw/zinc/91/05/70/1072910570.db2.gz MKJZNIKHMFPBJW-OAHLLOKOSA-N 0 0 441.520 -0.103 20 0 IBADRN COC(=O)c1ccccc1NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000532918039 1072911050 /nfs/dbraw/zinc/91/10/50/1072911050.db2.gz UWGVLPPMRVIRQO-UHFFFAOYSA-N 0 0 439.538 -0.479 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3ccc4c(c3)OCO4)CC2)CC1 ZINC000532918220 1072911135 /nfs/dbraw/zinc/91/11/35/1072911135.db2.gz HUDSURVVAZNQNU-UHFFFAOYSA-N 0 0 425.511 -0.536 20 0 IBADRN COC(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000532918439 1072911190 /nfs/dbraw/zinc/91/11/90/1072911190.db2.gz XMVYVBSXOSVKRO-UHFFFAOYSA-N 0 0 439.538 -0.479 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000532919236 1072872145 /nfs/dbraw/zinc/87/21/45/1072872145.db2.gz QXKPKPPPHYVTOV-UHFFFAOYSA-N 0 0 433.465 -0.225 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000532919487 1072911012 /nfs/dbraw/zinc/91/10/12/1072911012.db2.gz DFBQWSTUDDACOH-UHFFFAOYSA-N 0 0 439.538 -0.456 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000532919521 1072911187 /nfs/dbraw/zinc/91/11/87/1072911187.db2.gz IDJCJAMEHZOKJS-CYBMUJFWSA-N 0 0 445.476 -0.083 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000532919522 1072872297 /nfs/dbraw/zinc/87/22/97/1072872297.db2.gz IDJCJAMEHZOKJS-ZDUSSCGKSA-N 0 0 445.476 -0.083 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532919803 1072911166 /nfs/dbraw/zinc/91/11/66/1072911166.db2.gz QTNGQUONQSISQT-CYBMUJFWSA-N 0 0 425.511 -0.670 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000532919804 1072911066 /nfs/dbraw/zinc/91/10/66/1072911066.db2.gz QTNGQUONQSISQT-ZDUSSCGKSA-N 0 0 425.511 -0.670 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1CC(=O)NCc1ccc(OCC(N)=O)cc1 ZINC000532920234 1072911207 /nfs/dbraw/zinc/91/12/07/1072911207.db2.gz SFQOGDSRBCQNKJ-INIZCTEOSA-N 0 0 426.539 -0.039 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1CC(=O)NCc1ccc(OCC(N)=O)cc1 ZINC000532920235 1072911195 /nfs/dbraw/zinc/91/11/95/1072911195.db2.gz SFQOGDSRBCQNKJ-MRXNPFEDSA-N 0 0 426.539 -0.039 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000532920868 1072911023 /nfs/dbraw/zinc/91/10/23/1072911023.db2.gz NRNJDSGYKZQOTF-INIZCTEOSA-N 0 0 429.521 -0.148 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000532920869 1072911034 /nfs/dbraw/zinc/91/10/34/1072911034.db2.gz NRNJDSGYKZQOTF-MRXNPFEDSA-N 0 0 429.521 -0.148 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)cc(C(=O)OC)c1 ZINC000532921151 1072911556 /nfs/dbraw/zinc/91/15/56/1072911556.db2.gz XRPIRENSKCNOCZ-UHFFFAOYSA-N 0 0 446.460 -0.379 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)s2)CC1 ZINC000532921313 1072911710 /nfs/dbraw/zinc/91/17/10/1072911710.db2.gz VNBJBUXWEJGVGN-UHFFFAOYSA-N 0 0 425.554 -0.552 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000532921409 1072911691 /nfs/dbraw/zinc/91/16/91/1072911691.db2.gz IYBHEFJPNXVNQN-UHFFFAOYSA-N 0 0 445.520 -0.141 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC000532921457 1072911716 /nfs/dbraw/zinc/91/17/16/1072911716.db2.gz ODPRAACBQQJLTH-UHFFFAOYSA-N 0 0 442.520 -0.215 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CCN2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000532921544 1072911079 /nfs/dbraw/zinc/91/10/79/1072911079.db2.gz YBINDWMOWULDHJ-UHFFFAOYSA-N 0 0 437.522 -0.571 20 0 IBADRN COCCN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)CC(=O)N(C)C ZINC000532921652 1072911160 /nfs/dbraw/zinc/91/11/60/1072911160.db2.gz FLEIYQCBAQWDPX-UHFFFAOYSA-N 0 0 442.538 -0.317 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000532921656 1072911043 /nfs/dbraw/zinc/91/10/43/1072911043.db2.gz FLEQQUMWZNHTMI-UHFFFAOYSA-N 0 0 440.566 -0.303 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(CCO)C3CC3)CC2)c1 ZINC000532921675 1072911145 /nfs/dbraw/zinc/91/11/45/1072911145.db2.gz HIDNHHCVQZOIEB-UHFFFAOYSA-N 0 0 427.523 -0.007 20 0 IBADRN CCCCN(CC(N)=O)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532921969 1072911740 /nfs/dbraw/zinc/91/17/40/1072911740.db2.gz ZIBIASBXKQNKKY-UHFFFAOYSA-N 0 0 426.539 -0.089 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000532924532 1072910013 /nfs/dbraw/zinc/91/00/13/1072910013.db2.gz UFQSGCAHMFQLED-AWEZNQCLSA-N 0 0 439.490 -0.534 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000532924533 1072909868 /nfs/dbraw/zinc/90/98/68/1072909868.db2.gz UFQSGCAHMFQLED-CQSZACIVSA-N 0 0 439.490 -0.534 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CCCOCC(F)(F)F)CC1 ZINC000532925889 1072909883 /nfs/dbraw/zinc/90/98/83/1072909883.db2.gz XMUBUEVIBHAPHV-GFCCVEGCSA-N 0 0 435.447 -0.176 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CCCOCC(F)(F)F)CC1 ZINC000532925890 1072909935 /nfs/dbraw/zinc/90/99/35/1072909935.db2.gz XMUBUEVIBHAPHV-LBPRGKRZSA-N 0 0 435.447 -0.176 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1)C(=O)N(C)C ZINC000532927394 1072909981 /nfs/dbraw/zinc/90/99/81/1072909981.db2.gz FDRPTLWATSYIBL-GFCCVEGCSA-N 0 0 438.510 -0.832 20 0 IBADRN C[C@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1)C(=O)N(C)C ZINC000532927395 1072909803 /nfs/dbraw/zinc/90/98/03/1072909803.db2.gz FDRPTLWATSYIBL-LBPRGKRZSA-N 0 0 438.510 -0.832 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000532927630 1072909972 /nfs/dbraw/zinc/90/99/72/1072909972.db2.gz KBNAACKEAFSQKA-LLVKDONJSA-N 0 0 425.467 -0.748 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000532927634 1072910031 /nfs/dbraw/zinc/91/00/31/1072910031.db2.gz KBNAACKEAFSQKA-NSHDSACASA-N 0 0 425.467 -0.748 20 0 IBADRN Cn1c(CN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)cc(=O)n(C)c1=O ZINC000532927646 1072909924 /nfs/dbraw/zinc/90/99/24/1072909924.db2.gz KXFFFCAYHSNSPI-UHFFFAOYSA-N 0 0 434.478 -0.828 20 0 IBADRN C[C@H](C(=O)NC(=O)NC1CC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000532927710 1072909821 /nfs/dbraw/zinc/90/98/21/1072909821.db2.gz QECFRLXXCNMWOR-LLVKDONJSA-N 0 0 436.494 -0.049 20 0 IBADRN C[C@@H](C(=O)NC(=O)NC1CC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000532927711 1072909853 /nfs/dbraw/zinc/90/98/53/1072909853.db2.gz QECFRLXXCNMWOR-NSHDSACASA-N 0 0 436.494 -0.049 20 0 IBADRN Cn1c2ncn(CCN3CCN(C(=O)Cc4ccccc4F)CC3)c2c(=O)n(C)c1=O ZINC000532927795 1072909991 /nfs/dbraw/zinc/90/99/91/1072909991.db2.gz NRMONUZLPVUCOQ-UHFFFAOYSA-N 0 0 428.468 -0.040 20 0 IBADRN COC(=O)CNC(=O)CCN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000532927876 1072909836 /nfs/dbraw/zinc/90/98/36/1072909836.db2.gz VNBCMYMRCTWUKL-UHFFFAOYSA-N 0 0 425.467 -0.746 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000532928014 1072910384 /nfs/dbraw/zinc/91/03/84/1072910384.db2.gz CKONKJUKJVEPLP-CYBMUJFWSA-N 0 0 431.468 -0.237 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000532928020 1072910342 /nfs/dbraw/zinc/91/03/42/1072910342.db2.gz CKONKJUKJVEPLP-ZDUSSCGKSA-N 0 0 431.468 -0.237 20 0 IBADRN COc1cccc(CC(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)c1 ZINC000532928140 1072910390 /nfs/dbraw/zinc/91/03/90/1072910390.db2.gz CMRZMNDHRRCNLC-UHFFFAOYSA-N 0 0 429.477 -0.756 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)s1 ZINC000532931729 1072910559 /nfs/dbraw/zinc/91/05/59/1072910559.db2.gz XQDZRHCHZASRGJ-UHFFFAOYSA-N 0 0 439.562 -0.039 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000532939189 1072911749 /nfs/dbraw/zinc/91/17/49/1072911749.db2.gz UMWOJGYXJOHYAD-INIZCTEOSA-N 0 0 425.507 -0.181 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000532939190 1072911567 /nfs/dbraw/zinc/91/15/67/1072911567.db2.gz UMWOJGYXJOHYAD-MRXNPFEDSA-N 0 0 425.507 -0.181 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000532942826 1072911474 /nfs/dbraw/zinc/91/14/74/1072911474.db2.gz NZGSQLCWFOJKAZ-GOSISDBHSA-N 0 0 436.534 -0.064 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000532942827 1072911702 /nfs/dbraw/zinc/91/17/02/1072911702.db2.gz NZGSQLCWFOJKAZ-SFHVURJKSA-N 0 0 436.534 -0.064 20 0 IBADRN NC(=O)N1CCCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000532942989 1072911682 /nfs/dbraw/zinc/91/16/82/1072911682.db2.gz ORXLIVVRWQZXID-UHFFFAOYSA-N 0 0 425.511 -0.268 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(Cc3cn4cc(Cl)ccc4n3)CC2)c(=O)n(C)c1=O ZINC000532943015 1072911590 /nfs/dbraw/zinc/91/15/90/1072911590.db2.gz SZCDYJAZMXWXKW-UHFFFAOYSA-N 0 0 445.911 -0.032 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)s1 ZINC000532944866 1072911494 /nfs/dbraw/zinc/91/14/94/1072911494.db2.gz UBRSFINSLSAPAK-UHFFFAOYSA-N 0 0 439.519 -0.497 20 0 IBADRN CCCn1c(N)c(C(=O)CN(C)CC(=O)N2CCC[C@@H](C(=O)OCC)C2)c(=O)[nH]c1=O ZINC000532946241 1072911737 /nfs/dbraw/zinc/91/17/37/1072911737.db2.gz MUEAGHADKRDOCB-CYBMUJFWSA-N 0 0 437.497 -0.143 20 0 IBADRN CCCn1c(N)c(C(=O)CN(C)CC(=O)N2CCC[C@H](C(=O)OCC)C2)c(=O)[nH]c1=O ZINC000532946246 1072911600 /nfs/dbraw/zinc/91/16/00/1072911600.db2.gz MUEAGHADKRDOCB-ZDUSSCGKSA-N 0 0 437.497 -0.143 20 0 IBADRN CN(CCNC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)S(=O)(=O)c1cccs1 ZINC000532946809 1072911608 /nfs/dbraw/zinc/91/16/08/1072911608.db2.gz ZHWVFJJAKJJOMI-UHFFFAOYSA-N 0 0 439.519 -0.312 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN(CCO)CCO ZINC000532949604 1072911722 /nfs/dbraw/zinc/91/17/22/1072911722.db2.gz IXXVJODDQXCIDH-UHFFFAOYSA-N 0 0 445.538 -0.280 20 0 IBADRN COc1ccc(NC(=O)CN2CCNC(=O)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000532953174 1073000180 /nfs/dbraw/zinc/00/01/80/1073000180.db2.gz ABNRENLYRZMBLI-CYBMUJFWSA-N 0 0 426.495 -0.525 20 0 IBADRN COc1ccc(NC(=O)CN2CCNC(=O)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000532953175 1072911625 /nfs/dbraw/zinc/91/16/25/1072911625.db2.gz ABNRENLYRZMBLI-ZDUSSCGKSA-N 0 0 426.495 -0.525 20 0 IBADRN COCCN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000532954217 1072912806 /nfs/dbraw/zinc/91/28/06/1072912806.db2.gz POEFQQMEPKWATL-UHFFFAOYSA-N 0 0 443.522 -0.769 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(CC(C)(C)O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000532955905 1072912716 /nfs/dbraw/zinc/91/27/16/1072912716.db2.gz ZCJBFHYUTMNTTH-UHFFFAOYSA-N 0 0 429.521 -0.251 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(C(=O)c3ccc(F)cc3F)CC2)CC1 ZINC000532957732 1072912707 /nfs/dbraw/zinc/91/27/07/1072912707.db2.gz UIAVPDRZTKFULN-UHFFFAOYSA-N 0 0 437.491 -0.045 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)N2CCC(NC(=O)CCc3ccccc3)CC2)CC1 ZINC000532958727 1072912679 /nfs/dbraw/zinc/91/26/79/1072912679.db2.gz PBAKNLUCQLRVOS-UHFFFAOYSA-N 0 0 437.566 -0.453 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000532958762 1072912742 /nfs/dbraw/zinc/91/27/42/1072912742.db2.gz ZQULKBHUSLKSRE-MOPGFXCFSA-N 0 0 445.568 -0.621 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN([C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000532958765 1072912627 /nfs/dbraw/zinc/91/26/27/1072912627.db2.gz ZQULKBHUSLKSRE-OALUTQOASA-N 0 0 445.568 -0.621 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN([C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000532958766 1072912664 /nfs/dbraw/zinc/91/26/64/1072912664.db2.gz ZQULKBHUSLKSRE-RBUKOAKNSA-N 0 0 445.568 -0.621 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN([C@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000532958767 1072912676 /nfs/dbraw/zinc/91/26/76/1072912676.db2.gz ZQULKBHUSLKSRE-RTBURBONSA-N 0 0 445.568 -0.621 20 0 IBADRN Cc1ccc(NC(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000532960718 1072913223 /nfs/dbraw/zinc/91/32/23/1072913223.db2.gz ZJKBQCVUMPBVIA-AWEZNQCLSA-N 0 0 447.583 -0.311 20 0 IBADRN Cc1ccc(NC(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000532960719 1072913194 /nfs/dbraw/zinc/91/31/94/1072913194.db2.gz ZJKBQCVUMPBVIA-CQSZACIVSA-N 0 0 447.583 -0.311 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000532960851 1072912785 /nfs/dbraw/zinc/91/27/85/1072912785.db2.gz IJNSQBQYSBUFMT-KBPBESRZSA-N 0 0 447.583 -0.231 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000532960852 1072912694 /nfs/dbraw/zinc/91/26/94/1072912694.db2.gz IJNSQBQYSBUFMT-KGLIPLIRSA-N 0 0 447.583 -0.231 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000532960853 1072912763 /nfs/dbraw/zinc/91/27/63/1072912763.db2.gz IJNSQBQYSBUFMT-UONOGXRCSA-N 0 0 447.583 -0.231 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000532960854 1072913250 /nfs/dbraw/zinc/91/32/50/1072913250.db2.gz IJNSQBQYSBUFMT-ZIAGYGMSSA-N 0 0 447.583 -0.231 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000532961676 1072913230 /nfs/dbraw/zinc/91/32/30/1072913230.db2.gz TYQANWNJCALXQI-UHFFFAOYSA-N 0 0 434.541 -0.885 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)CCCO)CC2)c1 ZINC000532964256 1072912190 /nfs/dbraw/zinc/91/21/90/1072912190.db2.gz LDJFTZVMEZICGE-UHFFFAOYSA-N 0 0 427.523 -0.601 20 0 IBADRN COc1ccc(NC(=O)CN2CCS(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000532965325 1072912219 /nfs/dbraw/zinc/91/22/19/1072912219.db2.gz MTZYLSLHINKPHP-UHFFFAOYSA-N 0 0 431.536 -0.281 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)CN2CCN(S(N)(=O)=O)CC2)ccc1Cl ZINC000532965442 1072912213 /nfs/dbraw/zinc/91/22/13/1072912213.db2.gz ZHYIUXTXSOONJL-UHFFFAOYSA-N 0 0 439.947 -0.650 20 0 IBADRN COCCN(C(=O)CN1CCS(=O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000532965614 1072912146 /nfs/dbraw/zinc/91/21/46/1072912146.db2.gz HUXIWADYLBCGLV-UHFFFAOYSA-N 0 0 449.533 -0.377 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(CCO)CCCO)CC2)c1 ZINC000532965618 1072912051 /nfs/dbraw/zinc/91/20/51/1072912051.db2.gz IAAVJFKBRAPCLR-UHFFFAOYSA-N 0 0 445.538 -0.787 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)CC3)CC2)cc1OC ZINC000532965739 1072912087 /nfs/dbraw/zinc/91/20/87/1072912087.db2.gz QLBRJQLUXGMKHP-UHFFFAOYSA-N 0 0 445.563 -0.399 20 0 IBADRN O=C(CN(CCO)CCCO)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000532965897 1073000514 /nfs/dbraw/zinc/00/05/14/1073000514.db2.gz VMQGCKKWLQZRFN-UHFFFAOYSA-N 0 0 435.930 -0.024 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CC(=O)N2CCN(Cc3cccs3)CC2)CC1 ZINC000532966310 1072912154 /nfs/dbraw/zinc/91/21/54/1072912154.db2.gz BXNKYIGOXPYIKQ-UHFFFAOYSA-N 0 0 447.561 -0.315 20 0 IBADRN CCCCNC(=O)C1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000532966635 1072912208 /nfs/dbraw/zinc/91/22/08/1072912208.db2.gz HVACFSFTBVSXOP-GOSISDBHSA-N 0 0 428.599 -0.054 20 0 IBADRN CCCCNC(=O)C1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000532966636 1072912122 /nfs/dbraw/zinc/91/21/22/1072912122.db2.gz HVACFSFTBVSXOP-SFHVURJKSA-N 0 0 428.599 -0.054 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[S@@](=O)[C@@H](C)C2)c(=O)[nH]c1=O ZINC000532966736 1072912227 /nfs/dbraw/zinc/91/22/27/1072912227.db2.gz POFVUZBFENPKCY-CAMPQQCRSA-N 0 0 429.543 -0.237 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[S@@](=O)[C@H](C)C2)c(=O)[nH]c1=O ZINC000532966737 1072912232 /nfs/dbraw/zinc/91/22/32/1072912232.db2.gz POFVUZBFENPKCY-JYCIKRDWSA-N 0 0 429.543 -0.237 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[S@](=O)[C@H](C)C2)c(=O)[nH]c1=O ZINC000532966738 1072912135 /nfs/dbraw/zinc/91/21/35/1072912135.db2.gz POFVUZBFENPKCY-MUWSIPGASA-N 0 0 429.543 -0.237 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[S@](=O)[C@@H](C)C2)c(=O)[nH]c1=O ZINC000532966739 1072912169 /nfs/dbraw/zinc/91/21/69/1072912169.db2.gz POFVUZBFENPKCY-YBKRRLQSSA-N 0 0 429.543 -0.237 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[S@@]1=O ZINC000532967262 1072912118 /nfs/dbraw/zinc/91/21/18/1072912118.db2.gz PSGVWLPFWOUPGL-QZXCRCNTSA-N 0 0 429.564 -0.224 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[S@]1=O ZINC000532967263 1072912101 /nfs/dbraw/zinc/91/21/01/1072912101.db2.gz PSGVWLPFWOUPGL-KUNJGFBQSA-N 0 0 429.564 -0.224 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[S@]1=O ZINC000532967264 1072912080 /nfs/dbraw/zinc/91/20/80/1072912080.db2.gz PSGVWLPFWOUPGL-YVORESIASA-N 0 0 429.564 -0.224 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[S@@]1=O ZINC000532967265 1072912606 /nfs/dbraw/zinc/91/26/06/1072912606.db2.gz PSGVWLPFWOUPGL-RXAIFQJESA-N 0 0 429.564 -0.224 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN(CCO)Cc2cnn(Cc3ccccc3)c2)CC1 ZINC000532968715 1072912636 /nfs/dbraw/zinc/91/26/36/1072912636.db2.gz QNPXITNZPDJTKQ-UHFFFAOYSA-N 0 0 442.564 -0.042 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2CCN(C)C(=O)C2)c1C(=O)OC ZINC000532969017 1072912684 /nfs/dbraw/zinc/91/26/84/1072912684.db2.gz TUYYLTPYAUVYIG-UHFFFAOYSA-N 0 0 441.462 -0.251 20 0 IBADRN CC[C@@H]1C(=O)NCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000532969891 1072912829 /nfs/dbraw/zinc/91/28/29/1072912829.db2.gz JFLJQELJJUXCJN-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CC[C@H]1C(=O)NCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000532969892 1072912670 /nfs/dbraw/zinc/91/26/70/1072912670.db2.gz JFLJQELJJUXCJN-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(CNC(=O)c3cnn(C)c3)CC2)c(=O)[nH]c1=O ZINC000532970264 1072912654 /nfs/dbraw/zinc/91/26/54/1072912654.db2.gz FFNMYNKSIUDWBG-UHFFFAOYSA-N 0 0 447.496 -0.764 20 0 IBADRN CN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)C[C@@H]1CCS(=O)(=O)C1 ZINC000532973651 1072913891 /nfs/dbraw/zinc/91/38/91/1072913891.db2.gz NHMZENFQRYDXGB-HNNXBMFYSA-N 0 0 434.518 -0.273 20 0 IBADRN CN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)C[C@H]1CCS(=O)(=O)C1 ZINC000532973652 1072913835 /nfs/dbraw/zinc/91/38/35/1072913835.db2.gz NHMZENFQRYDXGB-OAHLLOKOSA-N 0 0 434.518 -0.273 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)C(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000532974118 1072913899 /nfs/dbraw/zinc/91/38/99/1072913899.db2.gz RMCFPXVCJMCZRA-UHFFFAOYSA-N 0 0 426.495 -0.571 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CCO1 ZINC000532974440 1072913719 /nfs/dbraw/zinc/91/37/19/1072913719.db2.gz KYVUFIQDFLSCEP-IBGZPJMESA-N 0 0 435.500 -0.255 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CCO1 ZINC000532974441 1072913693 /nfs/dbraw/zinc/91/36/93/1072913693.db2.gz KYVUFIQDFLSCEP-LJQANCHMSA-N 0 0 435.500 -0.255 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCO[C@@H](CNC(C)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000532974506 1072913787 /nfs/dbraw/zinc/91/37/87/1072913787.db2.gz QCNHRPICMZLMJK-KRWDZBQOSA-N 0 0 443.504 -0.320 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCO[C@H](CNC(C)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000532974507 1072913802 /nfs/dbraw/zinc/91/38/02/1072913802.db2.gz QCNHRPICMZLMJK-QGZVFWFLSA-N 0 0 443.504 -0.320 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000532974529 1072913948 /nfs/dbraw/zinc/91/39/48/1072913948.db2.gz SSBXLOMZPMTNSH-UHFFFAOYSA-N 0 0 440.522 -0.970 20 0 IBADRN COc1ccc(NC(=O)CN2CCO[C@@H](CNC(C)=O)C2)cc1S(=O)(=O)N(C)C ZINC000532974652 1072913729 /nfs/dbraw/zinc/91/37/29/1072913729.db2.gz UITZXZWDWLEVDI-HNNXBMFYSA-N 0 0 428.511 -0.279 20 0 IBADRN COc1ccc(NC(=O)CN2CCO[C@H](CNC(C)=O)C2)cc1S(=O)(=O)N(C)C ZINC000532974653 1072913708 /nfs/dbraw/zinc/91/37/08/1072913708.db2.gz UITZXZWDWLEVDI-OAHLLOKOSA-N 0 0 428.511 -0.279 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CC[C@H](NS(C)(=O)=O)C1 ZINC000532975081 1072913271 /nfs/dbraw/zinc/91/32/71/1072913271.db2.gz BSKGYEGFOKHGPC-HNNXBMFYSA-N 0 0 444.579 -0.160 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CC[C@@H](NS(C)(=O)=O)C1 ZINC000532975082 1072913202 /nfs/dbraw/zinc/91/32/02/1072913202.db2.gz BSKGYEGFOKHGPC-OAHLLOKOSA-N 0 0 444.579 -0.160 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000532975369 1072913941 /nfs/dbraw/zinc/91/39/41/1072913941.db2.gz PXDYXFDQVZYWSP-KRWDZBQOSA-N 0 0 440.522 -0.517 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000532975376 1072913682 /nfs/dbraw/zinc/91/36/82/1072913682.db2.gz PXDYXFDQVZYWSP-QGZVFWFLSA-N 0 0 440.522 -0.517 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000532976511 1072913214 /nfs/dbraw/zinc/91/32/14/1072913214.db2.gz FIQOWBXHHPDVJA-UHFFFAOYSA-N 0 0 429.564 -0.219 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000532981250 1072913278 /nfs/dbraw/zinc/91/32/78/1072913278.db2.gz DQRSMUAGDOKMEY-DOTOQJQBSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000532981251 1072913297 /nfs/dbraw/zinc/91/32/97/1072913297.db2.gz DQRSMUAGDOKMEY-NVXWUHKLSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000532981253 1072913260 /nfs/dbraw/zinc/91/32/60/1072913260.db2.gz DQRSMUAGDOKMEY-RDJZCZTQSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000532981255 1072913266 /nfs/dbraw/zinc/91/32/66/1072913266.db2.gz DQRSMUAGDOKMEY-WBVHZDCISA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000532982081 1072913182 /nfs/dbraw/zinc/91/31/82/1072913182.db2.gz PSIPZXZYGMDMFX-MSOLQXFVSA-N 0 0 439.534 -0.077 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000532982082 1072913170 /nfs/dbraw/zinc/91/31/70/1072913170.db2.gz PSIPZXZYGMDMFX-QZTJIDSGSA-N 0 0 439.534 -0.077 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000532982083 1072913188 /nfs/dbraw/zinc/91/31/88/1072913188.db2.gz PSIPZXZYGMDMFX-ROUUACIJSA-N 0 0 439.534 -0.077 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000532982085 1072913796 /nfs/dbraw/zinc/91/37/96/1072913796.db2.gz PSIPZXZYGMDMFX-ZWKOTPCHSA-N 0 0 439.534 -0.077 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532982748 1072913269 /nfs/dbraw/zinc/91/32/69/1072913269.db2.gz NHONJXRIHPUOBP-DOTOQJQBSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532982750 1072913155 /nfs/dbraw/zinc/91/31/55/1072913155.db2.gz NHONJXRIHPUOBP-NVXWUHKLSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532982752 1072913275 /nfs/dbraw/zinc/91/32/75/1072913275.db2.gz NHONJXRIHPUOBP-RDJZCZTQSA-N 0 0 441.506 -0.092 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000532982754 1072913240 /nfs/dbraw/zinc/91/32/40/1072913240.db2.gz NHONJXRIHPUOBP-WBVHZDCISA-N 0 0 441.506 -0.092 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)COCC(=O)N2CCN(c3nccn3C)CC2)CC1 ZINC000532992418 1072914957 /nfs/dbraw/zinc/91/49/57/1072914957.db2.gz JOECKXFIXORVCI-UHFFFAOYSA-N 0 0 430.513 -0.832 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)N1CCc2ccccc21 ZINC000532995323 1073320331 /nfs/dbraw/zinc/32/03/31/1073320331.db2.gz CWOKATUJKHIEPT-UHFFFAOYSA-N 0 0 426.477 -0.141 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000532995712 1073321122 /nfs/dbraw/zinc/32/11/22/1073321122.db2.gz NSRSKUUZKJREGS-UHFFFAOYSA-N 0 0 446.483 -0.232 20 0 IBADRN O=C(NCCn1c(=O)oc2ccccc21)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000532996282 1072913917 /nfs/dbraw/zinc/91/39/17/1072913917.db2.gz XXABMGSCDOZCCJ-UHFFFAOYSA-N 0 0 428.449 -0.122 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccc(C)cc3)CC2)cn1 ZINC000532996405 1072913772 /nfs/dbraw/zinc/91/37/72/1072913772.db2.gz RFRZZTXYTLZUNR-UHFFFAOYSA-N 0 0 426.477 -0.210 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)NCCc1ccccc1 ZINC000532997866 1073320272 /nfs/dbraw/zinc/32/02/72/1073320272.db2.gz CPSIIBDXKQWENC-UHFFFAOYSA-N 0 0 428.493 -0.371 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cn1 ZINC000532997965 1072913931 /nfs/dbraw/zinc/91/39/31/1072913931.db2.gz IUBCIQSHYHDMIT-UHFFFAOYSA-N 0 0 433.513 -0.839 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CCN3CCCS3(=O)=O)CC2)c1 ZINC000532998048 1072913740 /nfs/dbraw/zinc/91/37/40/1072913740.db2.gz TYAWLVYQKJSREV-UHFFFAOYSA-N 0 0 437.565 -0.124 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CC2)no1 ZINC000532998485 1072913846 /nfs/dbraw/zinc/91/38/46/1072913846.db2.gz ITNBTMDVCGDLNI-UHFFFAOYSA-N 0 0 431.497 -0.205 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CC2)cn1 ZINC000532998888 1072913809 /nfs/dbraw/zinc/91/38/09/1072913809.db2.gz ITWXMMZUAQNZLN-UHFFFAOYSA-N 0 0 430.513 -0.768 20 0 IBADRN CC(C)(C)C[C@@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000532999804 1072914309 /nfs/dbraw/zinc/91/43/09/1072914309.db2.gz HYVKCTDXKLJZIF-AWEZNQCLSA-N 0 0 440.522 -0.341 20 0 IBADRN CC(C)(C)C[C@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000532999805 1072914391 /nfs/dbraw/zinc/91/43/91/1072914391.db2.gz HYVKCTDXKLJZIF-CQSZACIVSA-N 0 0 440.522 -0.341 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccc3)CC2)cn1 ZINC000533000116 1072914331 /nfs/dbraw/zinc/91/43/31/1072914331.db2.gz ZOFUUWSJDCBVNF-INIZCTEOSA-N 0 0 427.509 -0.106 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccc3)CC2)cn1 ZINC000533000117 1072914371 /nfs/dbraw/zinc/91/43/71/1072914371.db2.gz ZOFUUWSJDCBVNF-MRXNPFEDSA-N 0 0 427.509 -0.106 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000533000273 1072914273 /nfs/dbraw/zinc/91/42/73/1072914273.db2.gz PBBJAZIGQKWRMU-UHFFFAOYSA-N 0 0 440.503 -0.173 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2)cn1 ZINC000533000816 1072914433 /nfs/dbraw/zinc/91/44/33/1072914433.db2.gz FYKGNYYGDGOAMH-CYBMUJFWSA-N 0 0 430.465 -0.020 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2)cn1 ZINC000533000819 1072914294 /nfs/dbraw/zinc/91/42/94/1072914294.db2.gz FYKGNYYGDGOAMH-ZDUSSCGKSA-N 0 0 430.465 -0.020 20 0 IBADRN CN1C(=O)COc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cc21 ZINC000533000933 1072914448 /nfs/dbraw/zinc/91/44/48/1072914448.db2.gz KESXOZBLNPYKIP-UHFFFAOYSA-N 0 0 433.446 -0.530 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N(C)CCNS(=O)(=O)c2ccc(C)cc2)cn1 ZINC000533001144 1072914410 /nfs/dbraw/zinc/91/44/10/1072914410.db2.gz QDCIKSWRKIDBNC-UHFFFAOYSA-N 0 0 436.494 -0.687 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000533001166 1072914320 /nfs/dbraw/zinc/91/43/20/1072914320.db2.gz QOZPCGYLDDUTCK-UHFFFAOYSA-N 0 0 441.491 -0.977 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c2ccccc2O1 ZINC000533001405 1072914441 /nfs/dbraw/zinc/91/44/41/1072914441.db2.gz GQJXSXQZIBLJOX-INIZCTEOSA-N 0 0 447.473 -0.984 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cc2C1=O ZINC000533001413 1072914418 /nfs/dbraw/zinc/91/44/18/1072914418.db2.gz XTHUXAAOIJEEIC-UHFFFAOYSA-N 0 0 431.474 -0.257 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c2ccccc2O1 ZINC000533001416 1072914426 /nfs/dbraw/zinc/91/44/26/1072914426.db2.gz GQJXSXQZIBLJOX-MRXNPFEDSA-N 0 0 447.473 -0.984 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cc2NC1=O ZINC000533001855 1072914350 /nfs/dbraw/zinc/91/43/50/1072914350.db2.gz LZCZLQYHTZXDSX-LLVKDONJSA-N 0 0 433.446 -0.166 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cc2NC1=O ZINC000533001859 1072914356 /nfs/dbraw/zinc/91/43/56/1072914356.db2.gz LZCZLQYHTZXDSX-NSHDSACASA-N 0 0 433.446 -0.166 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccnn1Cc1ccccn1 ZINC000533002373 1072914950 /nfs/dbraw/zinc/91/49/50/1072914950.db2.gz JAWBFUMQHMDJNL-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN([C@H]3CCCN(CC(F)(F)F)C3=O)CC2)CC1 ZINC000533002454 1072914404 /nfs/dbraw/zinc/91/44/04/1072914404.db2.gz OCVFLHJSKWULMV-AWEZNQCLSA-N 0 0 427.493 -0.351 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN([C@@H]3CCCN(CC(F)(F)F)C3=O)CC2)CC1 ZINC000533002459 1072914387 /nfs/dbraw/zinc/91/43/87/1072914387.db2.gz OCVFLHJSKWULMV-CQSZACIVSA-N 0 0 427.493 -0.351 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000533002501 1072914260 /nfs/dbraw/zinc/91/42/60/1072914260.db2.gz JMHMACGZBNOKEL-KBPBESRZSA-N 0 0 436.513 -0.264 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000533002502 1072914396 /nfs/dbraw/zinc/91/43/96/1072914396.db2.gz JMHMACGZBNOKEL-OKILXGFUSA-N 0 0 436.513 -0.264 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000533002503 1072914845 /nfs/dbraw/zinc/91/48/45/1072914845.db2.gz JMHMACGZBNOKEL-ZIAGYGMSSA-N 0 0 436.513 -0.264 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccccc1CN1CCOCC1 ZINC000533002510 1072914856 /nfs/dbraw/zinc/91/48/56/1072914856.db2.gz JQFCFTYREPUEED-UHFFFAOYSA-N 0 0 447.517 -0.053 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000533002803 1072914363 /nfs/dbraw/zinc/91/43/63/1072914363.db2.gz NYXMSKVPGIFTBH-UHFFFAOYSA-N 0 0 449.489 -0.370 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000533003154 1072914943 /nfs/dbraw/zinc/91/49/43/1072914943.db2.gz CDGLOPAYEKLZRH-UHFFFAOYSA-N 0 0 435.462 -0.631 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)NCCNS(=O)(=O)c1cccnc1 ZINC000533005247 1072914869 /nfs/dbraw/zinc/91/48/69/1072914869.db2.gz SIEHKDMHWYLNLO-UHFFFAOYSA-N 0 0 425.418 -0.183 20 0 IBADRN Cn1c(C(=O)NCCNS(=O)(=O)c2cccs2)cc2c1n(C)c(=O)n(C)c2=O ZINC000533006629 1072915001 /nfs/dbraw/zinc/91/50/01/1072915001.db2.gz RUGPRRNWTXAZNS-UHFFFAOYSA-N 0 0 425.492 -0.655 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2cccs2)cc1 ZINC000533008117 1072914905 /nfs/dbraw/zinc/91/49/05/1072914905.db2.gz QOVFVMKCENJXDS-UHFFFAOYSA-N 0 0 425.535 -0.348 20 0 IBADRN CCCCn1c(N)c(N(CCOC)CC(=O)N2CCC[C@H](NC(C)=O)C2)c(=O)[nH]c1=O ZINC000533020721 1072914982 /nfs/dbraw/zinc/91/49/82/1072914982.db2.gz WBRSPRILVJLURU-HNNXBMFYSA-N 0 0 438.529 -0.089 20 0 IBADRN CCCCn1c(N)c(N(CCOC)CC(=O)N2CCC[C@@H](NC(C)=O)C2)c(=O)[nH]c1=O ZINC000533020726 1072914883 /nfs/dbraw/zinc/91/48/83/1072914883.db2.gz WBRSPRILVJLURU-OAHLLOKOSA-N 0 0 438.529 -0.089 20 0 IBADRN CC(C)(CNC(=O)CN1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCOCC1 ZINC000533022040 1072915029 /nfs/dbraw/zinc/91/50/29/1072915029.db2.gz BGTVYNLSCXOOSG-UHFFFAOYSA-N 0 0 425.555 -0.385 20 0 IBADRN CN(CCCNC(=O)CN1CCN(Cc2nc(N)c3ccccc3n2)CC1)S(C)(=O)=O ZINC000533022182 1073356574 /nfs/dbraw/zinc/35/65/74/1073356574.db2.gz IZTIBFYUXMCPDO-UHFFFAOYSA-N 0 0 449.581 -0.273 20 0 IBADRN O=C(CN1CCC([C@@H](O)C(F)(F)F)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533024927 1072914811 /nfs/dbraw/zinc/91/48/11/1072914811.db2.gz PRMAYFGETBRSCJ-GDBMZVCRSA-N 0 0 427.489 -0.047 20 0 IBADRN O=C(CN1CCC([C@@H](O)C(F)(F)F)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000533024928 1072914919 /nfs/dbraw/zinc/91/49/19/1072914919.db2.gz PRMAYFGETBRSCJ-GOEBONIOSA-N 0 0 427.489 -0.047 20 0 IBADRN O=C(CN1CCC([C@H](O)C(F)(F)F)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000533024929 1072915024 /nfs/dbraw/zinc/91/50/24/1072915024.db2.gz PRMAYFGETBRSCJ-HOCLYGCPSA-N 0 0 427.489 -0.047 20 0 IBADRN O=C(CN1CCC([C@H](O)C(F)(F)F)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533024930 1072914933 /nfs/dbraw/zinc/91/49/33/1072914933.db2.gz PRMAYFGETBRSCJ-ZBFHGGJFSA-N 0 0 427.489 -0.047 20 0 IBADRN CN(CC(=O)Nc1ccc(CN2CCOCC2)cc1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533029611 1072916505 /nfs/dbraw/zinc/91/65/05/1072916505.db2.gz LLDTYPZKMWMEBD-GOSISDBHSA-N 0 0 438.550 -0.308 20 0 IBADRN CN(CC(=O)Nc1ccc(CN2CCOCC2)cc1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533029612 1072916472 /nfs/dbraw/zinc/91/64/72/1072916472.db2.gz LLDTYPZKMWMEBD-SFHVURJKSA-N 0 0 438.550 -0.308 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCC(=O)c3cccs3)CC2)o1 ZINC000533029727 1072916516 /nfs/dbraw/zinc/91/65/16/1072916516.db2.gz FRIYPISIYQWBFX-UHFFFAOYSA-N 0 0 440.503 -0.255 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000533029805 1072916567 /nfs/dbraw/zinc/91/65/67/1072916567.db2.gz PLGZLMPLYVROIM-CYBMUJFWSA-N 0 0 444.510 -0.611 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000533029806 1072916488 /nfs/dbraw/zinc/91/64/88/1072916488.db2.gz PLGZLMPLYVROIM-ZDUSSCGKSA-N 0 0 444.510 -0.611 20 0 IBADRN CCCCn1c(N)c(N(CC)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)c(=O)[nH]c1=O ZINC000533029972 1072916491 /nfs/dbraw/zinc/91/64/91/1072916491.db2.gz NUTJXIZPAZAKNW-UHFFFAOYSA-N 0 0 446.512 -0.005 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCc3ccco3)CC2)o1 ZINC000533030074 1072914896 /nfs/dbraw/zinc/91/48/96/1072914896.db2.gz DPOWAAWZRNZKQM-UHFFFAOYSA-N 0 0 439.450 -0.696 20 0 IBADRN CCCCn1c(N)c(N(C)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)c(=O)[nH]c1=O ZINC000533030498 1072914834 /nfs/dbraw/zinc/91/48/34/1072914834.db2.gz DQYAVPBFQPURCX-UHFFFAOYSA-N 0 0 432.485 -0.395 20 0 IBADRN COC(=O)CNC(=O)CCN1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000533030909 1072916574 /nfs/dbraw/zinc/91/65/74/1072916574.db2.gz RTXCHWQSMPBQDW-UHFFFAOYSA-N 0 0 445.494 -0.331 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(c2ccc(C(N)=O)cn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533031184 1072915581 /nfs/dbraw/zinc/91/55/81/1072915581.db2.gz VOQUEBCIDMVOOM-KRWDZBQOSA-N 0 0 437.566 -0.026 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(c2ccc(C(N)=O)cn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533031187 1072915443 /nfs/dbraw/zinc/91/54/43/1072915443.db2.gz VOQUEBCIDMVOOM-QGZVFWFLSA-N 0 0 437.566 -0.026 20 0 IBADRN CCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533031387 1072915490 /nfs/dbraw/zinc/91/54/90/1072915490.db2.gz GXPBOOWNVAJMGF-AWEZNQCLSA-N 0 0 432.568 -0.055 20 0 IBADRN CCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533031388 1072915527 /nfs/dbraw/zinc/91/55/27/1072915527.db2.gz GXPBOOWNVAJMGF-CQSZACIVSA-N 0 0 432.568 -0.055 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)CN(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000533031493 1072915386 /nfs/dbraw/zinc/91/53/86/1072915386.db2.gz SQQWBFUAXLUPPV-IBGZPJMESA-N 0 0 436.578 -0.126 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)CN(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000533031494 1072915549 /nfs/dbraw/zinc/91/55/49/1072915549.db2.gz SQQWBFUAXLUPPV-LJQANCHMSA-N 0 0 436.578 -0.126 20 0 IBADRN COCCN(C(=O)CN1CCc2cc(S(N)(=O)=O)ccc21)[C@H]1CCS(=O)(=O)C1 ZINC000533031666 1072915539 /nfs/dbraw/zinc/91/55/39/1072915539.db2.gz LKQFDAPCZNVEIT-AWEZNQCLSA-N 0 0 431.536 -0.641 20 0 IBADRN COCCN(C(=O)CN1CCc2cc(S(N)(=O)=O)ccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000533031667 1072915309 /nfs/dbraw/zinc/91/53/09/1072915309.db2.gz LKQFDAPCZNVEIT-CQSZACIVSA-N 0 0 431.536 -0.641 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCCN3CCOCC3)CC2)cc1 ZINC000533031928 1072915010 /nfs/dbraw/zinc/91/50/10/1072915010.db2.gz NWMAHKSUZBLOMZ-UHFFFAOYSA-N 0 0 432.568 -0.637 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCC3CCC3)CC2)cc1 ZINC000533031960 1072915041 /nfs/dbraw/zinc/91/50/41/1072915041.db2.gz RTFBUMDNTNGEBV-UHFFFAOYSA-N 0 0 430.552 -0.443 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@H](N3CCNC3=O)C2)CC1 ZINC000533034515 1072915591 /nfs/dbraw/zinc/91/55/91/1072915591.db2.gz SEGVDUMLCUQGBG-HNNXBMFYSA-N 0 0 427.513 -0.499 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@@H](N3CCNC3=O)C2)CC1 ZINC000533034516 1072915319 /nfs/dbraw/zinc/91/53/19/1072915319.db2.gz SEGVDUMLCUQGBG-OAHLLOKOSA-N 0 0 427.513 -0.499 20 0 IBADRN Cc1cc(C)c(NC(=O)CNC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000533034768 1072915287 /nfs/dbraw/zinc/91/52/87/1072915287.db2.gz DPIZVMOXHDOERX-INIZCTEOSA-N 0 0 438.550 -0.098 20 0 IBADRN Cc1cc(C)c(NC(=O)CNC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c(C)c1 ZINC000533034769 1072915259 /nfs/dbraw/zinc/91/52/59/1072915259.db2.gz DPIZVMOXHDOERX-MRXNPFEDSA-N 0 0 438.550 -0.098 20 0 IBADRN Nc1c(N(CCO)CC(=O)NCC(=O)N2CCCC2)c(=O)[nH]c(=O)n1Cc1ccccc1 ZINC000533035268 1072916060 /nfs/dbraw/zinc/91/60/60/1072916060.db2.gz YMCUCBVVVCCWDR-UHFFFAOYSA-N 0 0 444.492 -0.883 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCc3ccccc3)CC2)o1 ZINC000533035508 1072915978 /nfs/dbraw/zinc/91/59/78/1072915978.db2.gz KQKRXVDAEXIEEU-UHFFFAOYSA-N 0 0 449.489 -0.289 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCCOc3ccccc3)CC2)o1 ZINC000533035524 1072916038 /nfs/dbraw/zinc/91/60/38/1072916038.db2.gz NFDMMPGNPMHPJN-UHFFFAOYSA-N 0 0 436.490 -0.120 20 0 IBADRN CCS(=O)(=O)NCCN1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000533035859 1072915371 /nfs/dbraw/zinc/91/53/71/1072915371.db2.gz NKXDKTQVISLNHW-UHFFFAOYSA-N 0 0 437.540 -0.071 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CC(=O)NC(=O)NC2CC2)CC1 ZINC000533035879 1072915277 /nfs/dbraw/zinc/91/52/77/1072915277.db2.gz RMANWJNUMKOHJI-UHFFFAOYSA-N 0 0 442.494 -0.022 20 0 IBADRN COCCN(CC(=O)N[C@@H](C)C(=O)N(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533036015 1072916071 /nfs/dbraw/zinc/91/60/71/1072916071.db2.gz LKQLZXISSFYHEM-AWEZNQCLSA-N 0 0 446.508 -0.375 20 0 IBADRN COCCN(CC(=O)N[C@H](C)C(=O)N(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533036016 1072916168 /nfs/dbraw/zinc/91/61/68/1072916168.db2.gz LKQLZXISSFYHEM-CQSZACIVSA-N 0 0 446.508 -0.375 20 0 IBADRN C[C@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000533036137 1072916135 /nfs/dbraw/zinc/91/61/35/1072916135.db2.gz CQCQDHPCKBZTFK-LLVKDONJSA-N 0 0 429.499 -0.302 20 0 IBADRN C[C@@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000533036138 1072916084 /nfs/dbraw/zinc/91/60/84/1072916084.db2.gz CQCQDHPCKBZTFK-NSHDSACASA-N 0 0 429.499 -0.302 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC(=O)NC3CCCC3)CC2)o1 ZINC000533036198 1072915965 /nfs/dbraw/zinc/91/59/65/1072915965.db2.gz JJGSDXQHADOIAY-UHFFFAOYSA-N 0 0 427.483 -0.547 20 0 IBADRN CC(C)CNC(=O)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000533036225 1072915993 /nfs/dbraw/zinc/91/59/93/1072915993.db2.gz LHURTEZFLVAXPI-GFCCVEGCSA-N 0 0 429.499 -0.445 20 0 IBADRN CC(C)CNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000533036226 1072916148 /nfs/dbraw/zinc/91/61/48/1072916148.db2.gz LHURTEZFLVAXPI-LBPRGKRZSA-N 0 0 429.499 -0.445 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@@H]3Cc4ccccc4O3)CC2)o1 ZINC000533036351 1072916028 /nfs/dbraw/zinc/91/60/28/1072916028.db2.gz YZNRFMPQWKBCFJ-HNNXBMFYSA-N 0 0 448.501 -0.195 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@H]3Cc4ccccc4O3)CC2)o1 ZINC000533036352 1072916154 /nfs/dbraw/zinc/91/61/54/1072916154.db2.gz YZNRFMPQWKBCFJ-OAHLLOKOSA-N 0 0 448.501 -0.195 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533036457 1072916049 /nfs/dbraw/zinc/91/60/49/1072916049.db2.gz GMSRXDDZQUSAAK-AWEZNQCLSA-N 0 0 443.522 -0.436 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533036464 1072915948 /nfs/dbraw/zinc/91/59/48/1072915948.db2.gz GMSRXDDZQUSAAK-CQSZACIVSA-N 0 0 443.522 -0.436 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000533036739 1072915940 /nfs/dbraw/zinc/91/59/40/1072915940.db2.gz IAYXYQVJZBZIGF-DLBZAZTESA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000533036744 1072915931 /nfs/dbraw/zinc/91/59/31/1072915931.db2.gz IAYXYQVJZBZIGF-IAGOWNOFSA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000533036746 1072916095 /nfs/dbraw/zinc/91/60/95/1072916095.db2.gz IAYXYQVJZBZIGF-IRXDYDNUSA-N 0 0 427.498 -0.039 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000533036747 1072916161 /nfs/dbraw/zinc/91/61/61/1072916161.db2.gz IAYXYQVJZBZIGF-SJORKVTESA-N 0 0 427.498 -0.039 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)N1CCOCC1 ZINC000533054519 1072916444 /nfs/dbraw/zinc/91/64/44/1072916444.db2.gz HOWKFRCSWJHCCC-UHFFFAOYSA-N 0 0 438.506 -0.427 20 0 IBADRN NC(=O)NC(=O)CCCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533055041 1072916420 /nfs/dbraw/zinc/91/64/20/1072916420.db2.gz FOGZIUACRZBEAH-UHFFFAOYSA-N 0 0 425.467 -0.701 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(Cc3nnnn3-c3ccccc3)CC2)c(=O)[nH]c1=O ZINC000533055083 1072916601 /nfs/dbraw/zinc/91/66/01/1072916601.db2.gz AXJXHWLTJGFWHH-UHFFFAOYSA-N 0 0 439.480 -0.473 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)NC1CCOCC1 ZINC000533055127 1072917053 /nfs/dbraw/zinc/91/70/53/1072917053.db2.gz DNQXIAXHPRXXCU-UHFFFAOYSA-N 0 0 438.506 -0.381 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533055143 1072917019 /nfs/dbraw/zinc/91/70/19/1072917019.db2.gz GJEDBIFMCJOKOH-INIZCTEOSA-N 0 0 426.525 -0.108 20 0 IBADRN C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533055144 1072917035 /nfs/dbraw/zinc/91/70/35/1072917035.db2.gz GJEDBIFMCJOKOH-MRXNPFEDSA-N 0 0 426.525 -0.108 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533055353 1072916499 /nfs/dbraw/zinc/91/64/99/1072916499.db2.gz NQDMWRTZRAZLQC-AEFFLSMTSA-N 0 0 447.565 -0.186 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533055359 1072916560 /nfs/dbraw/zinc/91/65/60/1072916560.db2.gz NQDMWRTZRAZLQC-FUHWJXTLSA-N 0 0 447.565 -0.186 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533055360 1072916582 /nfs/dbraw/zinc/91/65/82/1072916582.db2.gz NQDMWRTZRAZLQC-SJLPKXTDSA-N 0 0 447.565 -0.186 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533055361 1072916588 /nfs/dbraw/zinc/91/65/88/1072916588.db2.gz NQDMWRTZRAZLQC-WMZOPIPTSA-N 0 0 447.565 -0.186 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533055378 1072916594 /nfs/dbraw/zinc/91/65/94/1072916594.db2.gz GRZKIPQJDATBNL-GFCCVEGCSA-N 0 0 439.494 -0.442 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533055389 1072916961 /nfs/dbraw/zinc/91/69/61/1072916961.db2.gz GRZKIPQJDATBNL-LBPRGKRZSA-N 0 0 439.494 -0.442 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(C[C@H](O)CN4CCOCC4)CC3)cc2N1 ZINC000533055437 1072916551 /nfs/dbraw/zinc/91/65/51/1072916551.db2.gz GOQFIKMOQFWZBF-HNNXBMFYSA-N 0 0 440.522 -0.983 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(C[C@@H](O)CN4CCOCC4)CC3)cc2N1 ZINC000533055439 1072916525 /nfs/dbraw/zinc/91/65/25/1072916525.db2.gz GOQFIKMOQFWZBF-OAHLLOKOSA-N 0 0 440.522 -0.983 20 0 IBADRN CCNC(=O)NC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533055726 1072916434 /nfs/dbraw/zinc/91/64/34/1072916434.db2.gz ZJYDRQZRHPITMQ-UHFFFAOYSA-N 0 0 425.467 -0.831 20 0 IBADRN CCN(C(=O)CN1CCN(Cc2nnnn2-c2ccccc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533055787 1072916461 /nfs/dbraw/zinc/91/64/61/1072916461.db2.gz UVQUXSOTOQPHHX-GOSISDBHSA-N 0 0 447.565 -0.185 20 0 IBADRN CCN(C(=O)CN1CCN(Cc2nnnn2-c2ccccc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533055788 1072916605 /nfs/dbraw/zinc/91/66/05/1072916605.db2.gz UVQUXSOTOQPHHX-SFHVURJKSA-N 0 0 447.565 -0.185 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533056112 1072917060 /nfs/dbraw/zinc/91/70/60/1072917060.db2.gz NTEVSNQTUHBYEO-BDXSIMOUSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533056113 1072917094 /nfs/dbraw/zinc/91/70/94/1072917094.db2.gz NTEVSNQTUHBYEO-OWSLCNJRSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533056114 1072916993 /nfs/dbraw/zinc/91/69/93/1072916993.db2.gz NTEVSNQTUHBYEO-TVFCKZIOSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533056115 1072917072 /nfs/dbraw/zinc/91/70/72/1072917072.db2.gz NTEVSNQTUHBYEO-XDNAFOTISA-N 0 0 428.599 -0.009 20 0 IBADRN COc1ccc(CN(C)C(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1F ZINC000533056383 1072917606 /nfs/dbraw/zinc/91/76/06/1072917606.db2.gz LIJDTHUQKDNIDD-DLBZAZTESA-N 0 0 429.514 -0.120 20 0 IBADRN COc1ccc(CN(C)C(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1F ZINC000533056384 1072917544 /nfs/dbraw/zinc/91/75/44/1072917544.db2.gz LIJDTHUQKDNIDD-IAGOWNOFSA-N 0 0 429.514 -0.120 20 0 IBADRN COc1ccc(CN(C)C(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1F ZINC000533056385 1072917666 /nfs/dbraw/zinc/91/76/66/1072917666.db2.gz LIJDTHUQKDNIDD-IRXDYDNUSA-N 0 0 429.514 -0.120 20 0 IBADRN COc1ccc(CN(C)C(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1F ZINC000533056386 1072917645 /nfs/dbraw/zinc/91/76/45/1072917645.db2.gz LIJDTHUQKDNIDD-SJORKVTESA-N 0 0 429.514 -0.120 20 0 IBADRN COC(=O)CCNC(=O)CN1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000533056498 1072917575 /nfs/dbraw/zinc/91/75/75/1072917575.db2.gz VMSXQZKBGGLZSP-UHFFFAOYSA-N 0 0 426.539 -0.430 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCc1cccc(Cl)c1 ZINC000533056680 1072917674 /nfs/dbraw/zinc/91/76/74/1072917674.db2.gz ALENQJADHRLSOP-KRWDZBQOSA-N 0 0 442.969 -0.123 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCc1cccc(Cl)c1 ZINC000533056683 1072917627 /nfs/dbraw/zinc/91/76/27/1072917627.db2.gz ALENQJADHRLSOP-QGZVFWFLSA-N 0 0 442.969 -0.123 20 0 IBADRN COC(=O)[C@@H](c1ccccc1F)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533056711 1072917113 /nfs/dbraw/zinc/91/71/13/1072917113.db2.gz BTNKDTKMOHUFJZ-KBXCAEBGSA-N 0 0 427.498 -0.039 20 0 IBADRN COC(=O)[C@H](c1ccccc1F)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533056712 1072917164 /nfs/dbraw/zinc/91/71/64/1072917164.db2.gz BTNKDTKMOHUFJZ-KDOFPFPSSA-N 0 0 427.498 -0.039 20 0 IBADRN COC(=O)[C@H](c1ccccc1F)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533056714 1072917142 /nfs/dbraw/zinc/91/71/42/1072917142.db2.gz BTNKDTKMOHUFJZ-KSSFIOAISA-N 0 0 427.498 -0.039 20 0 IBADRN COC(=O)[C@@H](c1ccccc1F)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533056716 1072917068 /nfs/dbraw/zinc/91/70/68/1072917068.db2.gz BTNKDTKMOHUFJZ-RDTXWAMCSA-N 0 0 427.498 -0.039 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000533056736 1072917079 /nfs/dbraw/zinc/91/70/79/1072917079.db2.gz CDWBHOZYQRABTN-BMGDILEWSA-N 0 0 441.550 -0.032 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000533056739 1072917121 /nfs/dbraw/zinc/91/71/21/1072917121.db2.gz CDWBHOZYQRABTN-JCGIZDLHSA-N 0 0 441.550 -0.032 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000533056740 1072916973 /nfs/dbraw/zinc/91/69/73/1072916973.db2.gz CDWBHOZYQRABTN-QGTPRVQTSA-N 0 0 441.550 -0.032 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000533056742 1072917130 /nfs/dbraw/zinc/91/71/30/1072917130.db2.gz CDWBHOZYQRABTN-WBAXXEDZSA-N 0 0 441.550 -0.032 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000533056837 1072917043 /nfs/dbraw/zinc/91/70/43/1072917043.db2.gz HKAMDPAWXCTCGL-BPUTZDHNSA-N 0 0 445.563 -0.496 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000533056840 1072917148 /nfs/dbraw/zinc/91/71/48/1072917148.db2.gz HKAMDPAWXCTCGL-CWRNSKLLSA-N 0 0 445.563 -0.496 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000533056842 1072917002 /nfs/dbraw/zinc/91/70/02/1072917002.db2.gz HKAMDPAWXCTCGL-IMJJTQAJSA-N 0 0 445.563 -0.496 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000533056843 1072917102 /nfs/dbraw/zinc/91/71/02/1072917102.db2.gz HKAMDPAWXCTCGL-NUEKZKHPSA-N 0 0 445.563 -0.496 20 0 IBADRN COC(=O)c1cccc(OCCN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000533057126 1072917564 /nfs/dbraw/zinc/91/75/64/1072917564.db2.gz VVLMLZZOWHNCRO-KRWDZBQOSA-N 0 0 439.534 -0.227 20 0 IBADRN COC(=O)c1cccc(OCCN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000533057129 1072917690 /nfs/dbraw/zinc/91/76/90/1072917690.db2.gz VVLMLZZOWHNCRO-QGZVFWFLSA-N 0 0 439.534 -0.227 20 0 IBADRN Cc1ccccc1CNC(=O)[C@H](C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533057337 1072918113 /nfs/dbraw/zinc/91/81/13/1072918113.db2.gz JFNKHXLSWYHMDE-HKUYNNGSSA-N 0 0 436.578 -0.079 20 0 IBADRN Cc1ccccc1CNC(=O)[C@@H](C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533057338 1072918117 /nfs/dbraw/zinc/91/81/17/1072918117.db2.gz JFNKHXLSWYHMDE-IEBWSBKVSA-N 0 0 436.578 -0.079 20 0 IBADRN Cc1ccccc1CNC(=O)[C@@H](C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533057339 1072918093 /nfs/dbraw/zinc/91/80/93/1072918093.db2.gz JFNKHXLSWYHMDE-MJGOQNOKSA-N 0 0 436.578 -0.079 20 0 IBADRN Cc1ccccc1CNC(=O)[C@H](C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533057340 1072918081 /nfs/dbraw/zinc/91/80/81/1072918081.db2.gz JFNKHXLSWYHMDE-PKOBYXMFSA-N 0 0 436.578 -0.079 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCc1cnn(-c2ccccc2)c1 ZINC000533057362 1072918016 /nfs/dbraw/zinc/91/80/16/1072918016.db2.gz KJMBLYJSNQFREH-MOPGFXCFSA-N 0 0 433.534 -0.424 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCc1cnn(-c2ccccc2)c1 ZINC000533057363 1072917996 /nfs/dbraw/zinc/91/79/96/1072917996.db2.gz KJMBLYJSNQFREH-OALUTQOASA-N 0 0 433.534 -0.424 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCc1cnn(-c2ccccc2)c1 ZINC000533057364 1072918103 /nfs/dbraw/zinc/91/81/03/1072918103.db2.gz KJMBLYJSNQFREH-RBUKOAKNSA-N 0 0 433.534 -0.424 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCc1cnn(-c2ccccc2)c1 ZINC000533057365 1072918042 /nfs/dbraw/zinc/91/80/42/1072918042.db2.gz KJMBLYJSNQFREH-RTBURBONSA-N 0 0 433.534 -0.424 20 0 IBADRN COc1cc2nc(CN[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)[nH]c(=O)c2cc1OC ZINC000533057600 1072917624 /nfs/dbraw/zinc/91/76/24/1072917624.db2.gz OQLWWPGKLZQHNW-CABCVRRESA-N 0 0 438.506 -0.060 20 0 IBADRN COc1cc2nc(CN[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)[nH]c(=O)c2cc1OC ZINC000533057602 1072917618 /nfs/dbraw/zinc/91/76/18/1072917618.db2.gz OQLWWPGKLZQHNW-GJZGRUSLSA-N 0 0 438.506 -0.060 20 0 IBADRN COc1cc2nc(CN[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)[nH]c(=O)c2cc1OC ZINC000533057605 1072917523 /nfs/dbraw/zinc/91/75/23/1072917523.db2.gz OQLWWPGKLZQHNW-HUUCEWRRSA-N 0 0 438.506 -0.060 20 0 IBADRN COc1cc2nc(CN[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)[nH]c(=O)c2cc1OC ZINC000533057607 1072917680 /nfs/dbraw/zinc/91/76/80/1072917680.db2.gz OQLWWPGKLZQHNW-LSDHHAIUSA-N 0 0 438.506 -0.060 20 0 IBADRN C[C@H](C(=O)N1CCc2ccccc21)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533057624 1072917658 /nfs/dbraw/zinc/91/76/58/1072917658.db2.gz ZIRMYDMVAPBIND-AEFFLSMTSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@@H](C(=O)N1CCc2ccccc21)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533057625 1072918077 /nfs/dbraw/zinc/91/80/77/1072918077.db2.gz ZIRMYDMVAPBIND-FUHWJXTLSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@H](C(=O)N1CCc2ccccc21)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533057626 1072918086 /nfs/dbraw/zinc/91/80/86/1072918086.db2.gz ZIRMYDMVAPBIND-SJLPKXTDSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@@H](C(=O)N1CCc2ccccc21)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533057628 1072918025 /nfs/dbraw/zinc/91/80/25/1072918025.db2.gz ZIRMYDMVAPBIND-WMZOPIPTSA-N 0 0 434.562 -0.115 20 0 IBADRN Cc1csc2nc(CN3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc(=O)n12 ZINC000533057930 1072917594 /nfs/dbraw/zinc/91/75/94/1072917594.db2.gz VEBYIRSTGSEUEW-AWEZNQCLSA-N 0 0 439.563 -0.515 20 0 IBADRN Cc1csc2nc(CN3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc(=O)n12 ZINC000533057931 1072917637 /nfs/dbraw/zinc/91/76/37/1072917637.db2.gz VEBYIRSTGSEUEW-CQSZACIVSA-N 0 0 439.563 -0.515 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)COc2ccc(F)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000533058013 1072917586 /nfs/dbraw/zinc/91/75/86/1072917586.db2.gz BQCXQNWOLWEGRF-DLBZAZTESA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)COc2ccc(F)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000533058015 1072917602 /nfs/dbraw/zinc/91/76/02/1072917602.db2.gz BQCXQNWOLWEGRF-IAGOWNOFSA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN(C[C@H](O)COc2ccc(F)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000533058016 1072917534 /nfs/dbraw/zinc/91/75/34/1072917534.db2.gz BQCXQNWOLWEGRF-IRXDYDNUSA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN(C[C@H](O)COc2ccc(F)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000533058017 1072917684 /nfs/dbraw/zinc/91/76/84/1072917684.db2.gz BQCXQNWOLWEGRF-SJORKVTESA-N 0 0 429.514 -0.514 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000533058064 1072918052 /nfs/dbraw/zinc/91/80/52/1072918052.db2.gz CLVRDVRLKMJPLA-INIZCTEOSA-N 0 0 426.539 -0.431 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000533058065 1072918090 /nfs/dbraw/zinc/91/80/90/1072918090.db2.gz CLVRDVRLKMJPLA-MRXNPFEDSA-N 0 0 426.539 -0.431 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000533058095 1072918031 /nfs/dbraw/zinc/91/80/31/1072918031.db2.gz BTZSDKRMVORCQW-AWEZNQCLSA-N 0 0 436.542 -0.975 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000533058108 1072918125 /nfs/dbraw/zinc/91/81/25/1072918125.db2.gz BTZSDKRMVORCQW-CQSZACIVSA-N 0 0 436.542 -0.975 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(Cc3nc(N)nc(N(C)C)n3)CC2)cc1 ZINC000533058204 1072918954 /nfs/dbraw/zinc/91/89/54/1072918954.db2.gz GVGFYHFCJDDXKV-UHFFFAOYSA-N 0 0 434.570 -0.076 20 0 IBADRN Cc1ccc(CNC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000533058346 1072918377 /nfs/dbraw/zinc/91/83/77/1072918377.db2.gz CPKYMXXFLMEMBO-KRWDZBQOSA-N 0 0 440.541 -0.329 20 0 IBADRN Cc1ccc(CNC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000533058347 1072918506 /nfs/dbraw/zinc/91/85/06/1072918506.db2.gz CPKYMXXFLMEMBO-QGZVFWFLSA-N 0 0 440.541 -0.329 20 0 IBADRN C[C@@H](C(=O)NCCc1ccccc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533058369 1072918551 /nfs/dbraw/zinc/91/85/51/1072918551.db2.gz FFEMCLAACVCQBY-HKUYNNGSSA-N 0 0 436.578 -0.345 20 0 IBADRN C[C@H](C(=O)NCCc1ccccc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533058370 1072918561 /nfs/dbraw/zinc/91/85/61/1072918561.db2.gz FFEMCLAACVCQBY-IEBWSBKVSA-N 0 0 436.578 -0.345 20 0 IBADRN C[C@H](C(=O)NCCc1ccccc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533058371 1072918545 /nfs/dbraw/zinc/91/85/45/1072918545.db2.gz FFEMCLAACVCQBY-MJGOQNOKSA-N 0 0 436.578 -0.345 20 0 IBADRN C[C@@H](C(=O)NCCc1ccccc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533058372 1072918498 /nfs/dbraw/zinc/91/84/98/1072918498.db2.gz FFEMCLAACVCQBY-PKOBYXMFSA-N 0 0 436.578 -0.345 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCCC1=CCCCC1 ZINC000533058389 1072918963 /nfs/dbraw/zinc/91/89/63/1072918963.db2.gz GDDARBDFYJSKKA-GOSISDBHSA-N 0 0 426.583 -0.086 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCCC1=CCCCC1 ZINC000533058390 1072919063 /nfs/dbraw/zinc/91/90/63/1072919063.db2.gz GDDARBDFYJSKKA-SFHVURJKSA-N 0 0 426.583 -0.086 20 0 IBADRN CCc1nc(NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)sc1C ZINC000533058458 1072918520 /nfs/dbraw/zinc/91/85/20/1072918520.db2.gz LVBINIMOWSWUFC-AWEZNQCLSA-N 0 0 443.595 -0.127 20 0 IBADRN CCc1nc(NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)sc1C ZINC000533058459 1072918513 /nfs/dbraw/zinc/91/85/13/1072918513.db2.gz LVBINIMOWSWUFC-CQSZACIVSA-N 0 0 443.595 -0.127 20 0 IBADRN Cc1ccccc1OC[C@@H](O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533058474 1072918390 /nfs/dbraw/zinc/91/83/90/1072918390.db2.gz MDRBWXPDAUWMFV-MSOLQXFVSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1ccccc1OC[C@H](O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533058486 1072918532 /nfs/dbraw/zinc/91/85/32/1072918532.db2.gz MDRBWXPDAUWMFV-QZTJIDSGSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1ccccc1OC[C@@H](O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533058488 1072918528 /nfs/dbraw/zinc/91/85/28/1072918528.db2.gz MDRBWXPDAUWMFV-ROUUACIJSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1ccccc1OC[C@H](O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533058490 1072918366 /nfs/dbraw/zinc/91/83/66/1072918366.db2.gz MDRBWXPDAUWMFV-ZWKOTPCHSA-N 0 0 425.551 -0.344 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCC1(c2ccccc2)CC1 ZINC000533058643 1072918538 /nfs/dbraw/zinc/91/85/38/1072918538.db2.gz PXQDVDHULCLAJE-IBGZPJMESA-N 0 0 448.589 -0.245 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCC1(c2ccccc2)CC1 ZINC000533058644 1072918353 /nfs/dbraw/zinc/91/83/53/1072918353.db2.gz PXQDVDHULCLAJE-LJQANCHMSA-N 0 0 448.589 -0.245 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533058779 1072918128 /nfs/dbraw/zinc/91/81/28/1072918128.db2.gz MZGYGXPJAWKZNS-MSOLQXFVSA-N 0 0 425.551 -0.114 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533058780 1072918109 /nfs/dbraw/zinc/91/81/09/1072918109.db2.gz MZGYGXPJAWKZNS-QZTJIDSGSA-N 0 0 425.551 -0.114 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533058781 1072918062 /nfs/dbraw/zinc/91/80/62/1072918062.db2.gz MZGYGXPJAWKZNS-ROUUACIJSA-N 0 0 425.551 -0.114 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533058782 1072918332 /nfs/dbraw/zinc/91/83/32/1072918332.db2.gz MZGYGXPJAWKZNS-ZWKOTPCHSA-N 0 0 425.551 -0.114 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000533058810 1072918470 /nfs/dbraw/zinc/91/84/70/1072918470.db2.gz PJGCQCNBFFFSEM-UHFFFAOYSA-N 0 0 440.566 -0.088 20 0 IBADRN CN(Cc1ccccc1F)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533059051 1072919055 /nfs/dbraw/zinc/91/90/55/1072919055.db2.gz XGQVCCXEDJZXGF-KRWDZBQOSA-N 0 0 440.541 -0.295 20 0 IBADRN CN(Cc1ccccc1F)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533059056 1072919604 /nfs/dbraw/zinc/91/96/04/1072919604.db2.gz XGQVCCXEDJZXGF-QGZVFWFLSA-N 0 0 440.541 -0.295 20 0 IBADRN Cc1cccc(C)c1OC[C@@H](O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533059099 1072919494 /nfs/dbraw/zinc/91/94/94/1072919494.db2.gz YHYUHOKQKBYIRM-MOPGFXCFSA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1cccc(C)c1OC[C@@H](O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533059104 1072919659 /nfs/dbraw/zinc/91/96/59/1072919659.db2.gz YHYUHOKQKBYIRM-OALUTQOASA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1cccc(C)c1OC[C@H](O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533059106 1072919558 /nfs/dbraw/zinc/91/95/58/1072919558.db2.gz YHYUHOKQKBYIRM-RBUKOAKNSA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1cccc(C)c1OC[C@H](O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533059107 1072919455 /nfs/dbraw/zinc/91/94/55/1072919455.db2.gz YHYUHOKQKBYIRM-RTBURBONSA-N 0 0 439.578 -0.036 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCCc1ccc(F)cc1 ZINC000533059163 1072919463 /nfs/dbraw/zinc/91/94/63/1072919463.db2.gz TXBDUTHIXOPFAV-GOSISDBHSA-N 0 0 440.541 -0.595 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCCc1ccc(F)cc1 ZINC000533059164 1072919665 /nfs/dbraw/zinc/91/96/65/1072919665.db2.gz TXBDUTHIXOPFAV-SFHVURJKSA-N 0 0 440.541 -0.595 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533059196 1072919033 /nfs/dbraw/zinc/91/90/33/1072919033.db2.gz VJNYJVOCTJTVLM-DLBZAZTESA-N 0 0 429.514 -0.250 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533059198 1072919078 /nfs/dbraw/zinc/91/90/78/1072919078.db2.gz VJNYJVOCTJTVLM-IAGOWNOFSA-N 0 0 429.514 -0.250 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533059200 1072918930 /nfs/dbraw/zinc/91/89/30/1072918930.db2.gz VJNYJVOCTJTVLM-IRXDYDNUSA-N 0 0 429.514 -0.250 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533059202 1072918968 /nfs/dbraw/zinc/91/89/68/1072918968.db2.gz VJNYJVOCTJTVLM-SJORKVTESA-N 0 0 429.514 -0.250 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000533059337 1072919122 /nfs/dbraw/zinc/91/91/22/1072919122.db2.gz APFZUZLYVFHNEB-ARFHVFGLSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000533059338 1072919004 /nfs/dbraw/zinc/91/90/04/1072919004.db2.gz APFZUZLYVFHNEB-BZUAXINKSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000533059339 1072919048 /nfs/dbraw/zinc/91/90/48/1072919048.db2.gz APFZUZLYVFHNEB-HRCADAONSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000533059340 1072918980 /nfs/dbraw/zinc/91/89/80/1072918980.db2.gz APFZUZLYVFHNEB-OWCLPIDISA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000533059475 1072919018 /nfs/dbraw/zinc/91/90/18/1072919018.db2.gz JHIKUZWBXUWGMN-KRWDZBQOSA-N 0 0 444.579 -0.467 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000533059476 1072919094 /nfs/dbraw/zinc/91/90/94/1072919094.db2.gz JHIKUZWBXUWGMN-QGZVFWFLSA-N 0 0 444.579 -0.467 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000533059529 1072919638 /nfs/dbraw/zinc/91/96/38/1072919638.db2.gz MBTBUTWWSUITJS-BBRMVZONSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000533059530 1072919504 /nfs/dbraw/zinc/91/95/04/1072919504.db2.gz MBTBUTWWSUITJS-CJNGLKHVSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000533059531 1072919582 /nfs/dbraw/zinc/91/95/82/1072919582.db2.gz MBTBUTWWSUITJS-CZUORRHYSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000533059532 1072919467 /nfs/dbraw/zinc/91/94/67/1072919467.db2.gz MBTBUTWWSUITJS-XJKSGUPXSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)C1 ZINC000533059777 1072919628 /nfs/dbraw/zinc/91/96/28/1072919628.db2.gz KCKVDOWHBWMBQP-DOMZBBRYSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)C1 ZINC000533059778 1072919441 /nfs/dbraw/zinc/91/94/41/1072919441.db2.gz KCKVDOWHBWMBQP-IUODEOHRSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)C1 ZINC000533059779 1072919670 /nfs/dbraw/zinc/91/96/70/1072919670.db2.gz KCKVDOWHBWMBQP-SWLSCSKDSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)C1 ZINC000533059780 1072919686 /nfs/dbraw/zinc/91/96/86/1072919686.db2.gz KCKVDOWHBWMBQP-WFASDCNBSA-N 0 0 430.552 -0.375 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000533059900 1072919530 /nfs/dbraw/zinc/91/95/30/1072919530.db2.gz WRGXGWNXRQVVBR-CVEARBPZSA-N 0 0 446.476 -0.893 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000533059901 1072919567 /nfs/dbraw/zinc/91/95/67/1072919567.db2.gz WRGXGWNXRQVVBR-HOTGVXAUSA-N 0 0 446.476 -0.893 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000533059902 1072919691 /nfs/dbraw/zinc/91/96/91/1072919691.db2.gz WRGXGWNXRQVVBR-HZPDHXFCSA-N 0 0 446.476 -0.893 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000533059903 1072919613 /nfs/dbraw/zinc/91/96/13/1072919613.db2.gz WRGXGWNXRQVVBR-JKSUJKDBSA-N 0 0 446.476 -0.893 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCCOc1ccccc1F ZINC000533060217 1072920037 /nfs/dbraw/zinc/92/00/37/1072920037.db2.gz BUNHZBTUCDOJLE-DLBZAZTESA-N 0 0 429.514 -0.202 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCCOc1ccccc1F ZINC000533060226 1072920136 /nfs/dbraw/zinc/92/01/36/1072920136.db2.gz BUNHZBTUCDOJLE-IAGOWNOFSA-N 0 0 429.514 -0.202 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCCOc1ccccc1F ZINC000533060228 1072920162 /nfs/dbraw/zinc/92/01/62/1072920162.db2.gz BUNHZBTUCDOJLE-IRXDYDNUSA-N 0 0 429.514 -0.202 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCCOc1ccccc1F ZINC000533060230 1072919977 /nfs/dbraw/zinc/91/99/77/1072919977.db2.gz BUNHZBTUCDOJLE-SJORKVTESA-N 0 0 429.514 -0.202 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000533060268 1072920057 /nfs/dbraw/zinc/92/00/57/1072920057.db2.gz DGKUAUIYJBGZIS-CEXWTWQISA-N 0 0 441.525 -0.187 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000533060269 1072920120 /nfs/dbraw/zinc/92/01/20/1072920120.db2.gz DGKUAUIYJBGZIS-GUDVDZBRSA-N 0 0 441.525 -0.187 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000533060270 1072920150 /nfs/dbraw/zinc/92/01/50/1072920150.db2.gz DGKUAUIYJBGZIS-QRVBRYPASA-N 0 0 441.525 -0.187 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000533060271 1072920640 /nfs/dbraw/zinc/92/06/40/1072920640.db2.gz DGKUAUIYJBGZIS-QYZOEREBSA-N 0 0 441.525 -0.187 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(Cc2ccsc2)CC1 ZINC000533060315 1072920597 /nfs/dbraw/zinc/92/05/97/1072920597.db2.gz FZGMHWINPMYPDO-MSOLQXFVSA-N 0 0 442.607 -0.520 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(Cc2ccsc2)CC1 ZINC000533060325 1072920560 /nfs/dbraw/zinc/92/05/60/1072920560.db2.gz FZGMHWINPMYPDO-QZTJIDSGSA-N 0 0 442.607 -0.520 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(Cc2ccsc2)CC1 ZINC000533060326 1072920725 /nfs/dbraw/zinc/92/07/25/1072920725.db2.gz FZGMHWINPMYPDO-ROUUACIJSA-N 0 0 442.607 -0.520 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(Cc2ccsc2)CC1 ZINC000533060328 1072920673 /nfs/dbraw/zinc/92/06/73/1072920673.db2.gz FZGMHWINPMYPDO-ZWKOTPCHSA-N 0 0 442.607 -0.520 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1cccc(N2CCCC2=O)c1 ZINC000533060359 1072919954 /nfs/dbraw/zinc/91/99/54/1072919954.db2.gz FYDLIVCQISVDGT-MSOLQXFVSA-N 0 0 436.534 -0.161 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1cccc(N2CCCC2=O)c1 ZINC000533060368 1072919963 /nfs/dbraw/zinc/91/99/63/1072919963.db2.gz FYDLIVCQISVDGT-QZTJIDSGSA-N 0 0 436.534 -0.161 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1cccc(N2CCCC2=O)c1 ZINC000533060370 1072919987 /nfs/dbraw/zinc/91/99/87/1072919987.db2.gz FYDLIVCQISVDGT-ROUUACIJSA-N 0 0 436.534 -0.161 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1cccc(N2CCCC2=O)c1 ZINC000533060373 1072920101 /nfs/dbraw/zinc/92/01/01/1072920101.db2.gz FYDLIVCQISVDGT-ZWKOTPCHSA-N 0 0 436.534 -0.161 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000533060393 1072920008 /nfs/dbraw/zinc/92/00/08/1072920008.db2.gz HFXMCQLOCVYMMT-BHYGNILZSA-N 0 0 431.555 -0.736 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000533060396 1072920021 /nfs/dbraw/zinc/92/00/21/1072920021.db2.gz HFXMCQLOCVYMMT-UAGQMJEPSA-N 0 0 431.555 -0.736 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000533060397 1072920088 /nfs/dbraw/zinc/92/00/88/1072920088.db2.gz HFXMCQLOCVYMMT-USXIJHARSA-N 0 0 431.555 -0.736 20 0 IBADRN CCN(C1CCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CC1)S(C)(=O)=O ZINC000533060470 1072919933 /nfs/dbraw/zinc/91/99/33/1072919933.db2.gz GHCWMHLECJOBTD-UHFFFAOYSA-N 0 0 426.539 -0.087 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000533060739 1072920187 /nfs/dbraw/zinc/92/01/87/1072920187.db2.gz HFXMCQLOCVYMMT-XIRDDKMYSA-N 0 0 431.555 -0.736 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000533060780 1072919947 /nfs/dbraw/zinc/91/99/47/1072919947.db2.gz KAHZCBLRBJPUNS-GOSISDBHSA-N 0 0 438.550 -0.211 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000533060782 1072920206 /nfs/dbraw/zinc/92/02/06/1072920206.db2.gz KAHZCBLRBJPUNS-SFHVURJKSA-N 0 0 438.550 -0.211 20 0 IBADRN CCN(C1CCN(CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)CC1)S(C)(=O)=O ZINC000533060801 1072919676 /nfs/dbraw/zinc/91/96/76/1072919676.db2.gz KHTUICIOUJWLGL-UHFFFAOYSA-N 0 0 431.515 -0.894 20 0 IBADRN COCCCN(CC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533061007 1072920075 /nfs/dbraw/zinc/92/00/75/1072920075.db2.gz MNNNKEYZNZVBPR-KRWDZBQOSA-N 0 0 446.614 -0.429 20 0 IBADRN COCCCN(CC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533061010 1072920179 /nfs/dbraw/zinc/92/01/79/1072920179.db2.gz MNNNKEYZNZVBPR-QGZVFWFLSA-N 0 0 446.614 -0.429 20 0 IBADRN COCCOc1ccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000533061343 1072921163 /nfs/dbraw/zinc/92/11/63/1072921163.db2.gz PMCFZOUWWUGZPY-MOPGFXCFSA-N 0 0 441.550 -0.585 20 0 IBADRN COCCOc1ccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000533061344 1072921107 /nfs/dbraw/zinc/92/11/07/1072921107.db2.gz PMCFZOUWWUGZPY-OALUTQOASA-N 0 0 441.550 -0.585 20 0 IBADRN COCCOc1ccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000533061345 1072921002 /nfs/dbraw/zinc/92/10/02/1072921002.db2.gz PMCFZOUWWUGZPY-RBUKOAKNSA-N 0 0 441.550 -0.585 20 0 IBADRN COCCOc1ccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000533061346 1072921228 /nfs/dbraw/zinc/92/12/28/1072921228.db2.gz PMCFZOUWWUGZPY-RTBURBONSA-N 0 0 441.550 -0.585 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NC[C@H]1COc2ccccc2O1 ZINC000533061351 1072921180 /nfs/dbraw/zinc/92/11/80/1072921180.db2.gz QDFBMSZWYZVNEK-ARFHVFGLSA-N 0 0 425.507 -0.970 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NC[C@@H]1COc2ccccc2O1 ZINC000533061352 1072921039 /nfs/dbraw/zinc/92/10/39/1072921039.db2.gz QDFBMSZWYZVNEK-BZUAXINKSA-N 0 0 425.507 -0.970 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NC[C@@H]1COc2ccccc2O1 ZINC000533061353 1072921080 /nfs/dbraw/zinc/92/10/80/1072921080.db2.gz QDFBMSZWYZVNEK-OAGGEKHMSA-N 0 0 425.507 -0.970 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NC[C@H]1COc2ccccc2O1 ZINC000533061354 1072921278 /nfs/dbraw/zinc/92/12/78/1072921278.db2.gz QDFBMSZWYZVNEK-XHSDSOJGSA-N 0 0 425.507 -0.970 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@@H](S(=O)(=O)NC)C2)c(=O)[nH]c1=O ZINC000533061413 1072920707 /nfs/dbraw/zinc/92/07/07/1072920707.db2.gz VHXWLKNOJYUDGU-CYBMUJFWSA-N 0 0 444.558 -0.302 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@H](S(=O)(=O)NC)C2)c(=O)[nH]c1=O ZINC000533061421 1072920699 /nfs/dbraw/zinc/92/06/99/1072920699.db2.gz VHXWLKNOJYUDGU-ZDUSSCGKSA-N 0 0 444.558 -0.302 20 0 IBADRN CCCCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533061508 1073378055 /nfs/dbraw/zinc/37/80/55/1073378055.db2.gz QQFZSAGABOVKAR-GOSISDBHSA-N 0 0 444.598 -0.721 20 0 IBADRN CCCCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533061510 1073378476 /nfs/dbraw/zinc/37/84/76/1073378476.db2.gz QQFZSAGABOVKAR-SFHVURJKSA-N 0 0 444.598 -0.721 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(CC(=O)N3CCN(C(C)=O)CC3)CC2)cc1 ZINC000533061529 1072920613 /nfs/dbraw/zinc/92/06/13/1072920613.db2.gz RGWMLOIFXHLXTI-UHFFFAOYSA-N 0 0 431.537 -0.267 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(CC(=O)NCCCN(C)S(C)(=O)=O)CC2 ZINC000533061575 1072920588 /nfs/dbraw/zinc/92/05/88/1072920588.db2.gz XPWSVWIKNHKLDA-UHFFFAOYSA-N 0 0 432.568 -0.303 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC000533061658 1072920661 /nfs/dbraw/zinc/92/06/61/1072920661.db2.gz NTQWGBSEKRGZGT-MSOLQXFVSA-N 0 0 436.534 -0.395 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC000533061659 1072921146 /nfs/dbraw/zinc/92/11/46/1072921146.db2.gz NTQWGBSEKRGZGT-QZTJIDSGSA-N 0 0 436.534 -0.395 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC000533061660 1072921022 /nfs/dbraw/zinc/92/10/22/1072921022.db2.gz NTQWGBSEKRGZGT-ROUUACIJSA-N 0 0 436.534 -0.395 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC000533061661 1072921296 /nfs/dbraw/zinc/92/12/96/1072921296.db2.gz NTQWGBSEKRGZGT-ZWKOTPCHSA-N 0 0 436.534 -0.395 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000533061759 1072920713 /nfs/dbraw/zinc/92/07/13/1072920713.db2.gz UZKLVJPSPHEXKR-DFQSSKMNSA-N 0 0 436.578 -0.189 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000533061760 1072920649 /nfs/dbraw/zinc/92/06/49/1072920649.db2.gz UZKLVJPSPHEXKR-IHPCNDPISA-N 0 0 436.578 -0.189 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000533061761 1072920573 /nfs/dbraw/zinc/92/05/73/1072920573.db2.gz UZKLVJPSPHEXKR-SXLOBPIMSA-N 0 0 436.578 -0.189 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000533061762 1072920693 /nfs/dbraw/zinc/92/06/93/1072920693.db2.gz UZKLVJPSPHEXKR-YSIASYRMSA-N 0 0 436.578 -0.189 20 0 IBADRN COCCCN(CC(=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000533061893 1072920632 /nfs/dbraw/zinc/92/06/32/1072920632.db2.gz FPMQQOKBKLJSCS-CYBMUJFWSA-N 0 0 430.527 -0.105 20 0 IBADRN COCCCN(CC(=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000533061894 1072920704 /nfs/dbraw/zinc/92/07/04/1072920704.db2.gz FPMQQOKBKLJSCS-ZDUSSCGKSA-N 0 0 430.527 -0.105 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000533061938 1072920711 /nfs/dbraw/zinc/92/07/11/1072920711.db2.gz JLTORLMGRCYRQQ-BZUAXINKSA-N 0 0 448.568 -0.450 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000533061939 1072920683 /nfs/dbraw/zinc/92/06/83/1072920683.db2.gz JLTORLMGRCYRQQ-OAGGEKHMSA-N 0 0 448.568 -0.450 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000533061940 1072920626 /nfs/dbraw/zinc/92/06/26/1072920626.db2.gz JLTORLMGRCYRQQ-OWCLPIDISA-N 0 0 448.568 -0.450 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000533061941 1072920689 /nfs/dbraw/zinc/92/06/89/1072920689.db2.gz JLTORLMGRCYRQQ-PMPSAXMXSA-N 0 0 448.568 -0.450 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000533062302 1072921196 /nfs/dbraw/zinc/92/11/96/1072921196.db2.gz FTLFEJNOIFJGOS-UHFFFAOYSA-N 0 0 444.579 -0.031 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)c2c(N)n(CCOC)c(=O)[nH]c2=O)CC1 ZINC000533063117 1072921268 /nfs/dbraw/zinc/92/12/68/1072921268.db2.gz ZMSUWJPHLOWWQZ-UHFFFAOYSA-N 0 0 431.515 -0.894 20 0 IBADRN NS(=O)(=O)CCN1CCN(Cc2cc(=O)n3cc(Br)ccc3n2)CC1 ZINC000533064643 1072921075 /nfs/dbraw/zinc/92/10/75/1072921075.db2.gz AZGPJTAHMKFSLS-UHFFFAOYSA-N 0 0 430.328 -0.137 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(c3ccnc(N(C)C)n3)CC2)c(=O)[nH]c1=O ZINC000533070963 1072921123 /nfs/dbraw/zinc/92/11/23/1072921123.db2.gz NXEAMKLOCCHJDS-UHFFFAOYSA-N 0 0 432.485 -0.962 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN([C@H]2CC(=O)N(CCc3ccc(OC)c(OC)c3)C2=O)C1 ZINC000533075525 1072921511 /nfs/dbraw/zinc/92/15/11/1072921511.db2.gz BMLAUIIJRBCVPJ-CABCVRRESA-N 0 0 425.507 -0.003 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN([C@H]2CC(=O)N(CCc3ccc(OC)c(OC)c3)C2=O)C1 ZINC000533075526 1072921618 /nfs/dbraw/zinc/92/16/18/1072921618.db2.gz BMLAUIIJRBCVPJ-GJZGRUSLSA-N 0 0 425.507 -0.003 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN([C@@H]2CC(=O)N(CCc3ccc(OC)c(OC)c3)C2=O)C1 ZINC000533075527 1072921501 /nfs/dbraw/zinc/92/15/01/1072921501.db2.gz BMLAUIIJRBCVPJ-HUUCEWRRSA-N 0 0 425.507 -0.003 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN([C@@H]2CC(=O)N(CCc3ccc(OC)c(OC)c3)C2=O)C1 ZINC000533075528 1072921598 /nfs/dbraw/zinc/92/15/98/1072921598.db2.gz BMLAUIIJRBCVPJ-LSDHHAIUSA-N 0 0 425.507 -0.003 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(CC(N)=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000533078716 1072921643 /nfs/dbraw/zinc/92/16/43/1072921643.db2.gz FTFFVTAKHWZTFB-UHFFFAOYSA-N 0 0 437.545 -0.721 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN(CC(N)=O)CC1 ZINC000533078771 1073001445 /nfs/dbraw/zinc/00/14/45/1073001445.db2.gz RZXLZCQJNFLKJR-HNNXBMFYSA-N 0 0 439.538 -0.863 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN(CC(N)=O)CC1 ZINC000533078772 1072921571 /nfs/dbraw/zinc/92/15/71/1072921571.db2.gz RZXLZCQJNFLKJR-OAHLLOKOSA-N 0 0 439.538 -0.863 20 0 IBADRN CCCCn1c(N)c(N(C(=O)CN2CCN(CC(N)=O)CC2)C2CCCC2)c(=O)[nH]c1=O ZINC000533079172 1072921518 /nfs/dbraw/zinc/92/15/18/1072921518.db2.gz BCQPSZAWQDXMCK-UHFFFAOYSA-N 0 0 449.556 -0.290 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2CCN(CC(N)=O)CC2)CC1 ZINC000533079256 1072921657 /nfs/dbraw/zinc/92/16/57/1072921657.db2.gz SLXYRKMEOOFYIA-UHFFFAOYSA-N 0 0 430.553 -0.511 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1C ZINC000533082266 1072922622 /nfs/dbraw/zinc/92/26/22/1072922622.db2.gz KDVAPYUWTKEKFW-CYBMUJFWSA-N 0 0 432.524 -0.155 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1C ZINC000533082267 1072922663 /nfs/dbraw/zinc/92/26/63/1072922663.db2.gz KDVAPYUWTKEKFW-ZDUSSCGKSA-N 0 0 432.524 -0.155 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000533082331 1072922472 /nfs/dbraw/zinc/92/24/72/1072922472.db2.gz PLKNECKFJQVIMH-AWEZNQCLSA-N 0 0 447.535 -0.334 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000533082332 1072922479 /nfs/dbraw/zinc/92/24/79/1072922479.db2.gz PLKNECKFJQVIMH-CQSZACIVSA-N 0 0 447.535 -0.334 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)c1 ZINC000533082501 1072922034 /nfs/dbraw/zinc/92/20/34/1072922034.db2.gz HNPNFDLNCOQVMG-DOMZBBRYSA-N 0 0 446.551 -0.148 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000533082502 1072922081 /nfs/dbraw/zinc/92/20/81/1072922081.db2.gz HNPNFDLNCOQVMG-IUODEOHRSA-N 0 0 446.551 -0.148 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000533082503 1072922001 /nfs/dbraw/zinc/92/20/01/1072922001.db2.gz HNPNFDLNCOQVMG-SWLSCSKDSA-N 0 0 446.551 -0.148 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)c1 ZINC000533082504 1072922068 /nfs/dbraw/zinc/92/20/68/1072922068.db2.gz HNPNFDLNCOQVMG-WFASDCNBSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000533082505 1072922045 /nfs/dbraw/zinc/92/20/45/1072922045.db2.gz HODPTPTYHCJURO-AWEZNQCLSA-N 0 0 430.508 -0.685 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000533082506 1072921935 /nfs/dbraw/zinc/92/19/35/1072921935.db2.gz HODPTPTYHCJURO-CQSZACIVSA-N 0 0 430.508 -0.685 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)C1 ZINC000533082517 1072922056 /nfs/dbraw/zinc/92/20/56/1072922056.db2.gz ILMIHKKKSUNJGB-HNNXBMFYSA-N 0 0 444.535 -0.442 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)C1 ZINC000533082518 1072922560 /nfs/dbraw/zinc/92/25/60/1072922560.db2.gz ILMIHKKKSUNJGB-OAHLLOKOSA-N 0 0 444.535 -0.442 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000533082585 1072922014 /nfs/dbraw/zinc/92/20/14/1072922014.db2.gz PLYOYBJLXJIYTR-AWEZNQCLSA-N 0 0 430.508 -0.784 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000533082586 1072922050 /nfs/dbraw/zinc/92/20/50/1072922050.db2.gz PLYOYBJLXJIYTR-CQSZACIVSA-N 0 0 430.508 -0.784 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)C1 ZINC000533082595 1072922025 /nfs/dbraw/zinc/92/20/25/1072922025.db2.gz QAHKGMLKEOSTSQ-AWEZNQCLSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)C1 ZINC000533082596 1072921981 /nfs/dbraw/zinc/92/19/81/1072921981.db2.gz QAHKGMLKEOSTSQ-CQSZACIVSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)OC)c(Cl)c2)C1 ZINC000533082603 1072921920 /nfs/dbraw/zinc/92/19/20/1072921920.db2.gz QSSVHGNVCRBKDG-LLVKDONJSA-N 0 0 433.870 -0.020 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)OC)c(Cl)c2)C1 ZINC000533082604 1072921943 /nfs/dbraw/zinc/92/19/43/1072921943.db2.gz QSSVHGNVCRBKDG-NSHDSACASA-N 0 0 433.870 -0.020 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCOC)c(Cl)c2)C1 ZINC000533082621 1072922091 /nfs/dbraw/zinc/92/20/91/1072922091.db2.gz RTXBMIBJDZIGRU-GFCCVEGCSA-N 0 0 446.913 -0.195 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCOC)c(Cl)c2)C1 ZINC000533082622 1072921974 /nfs/dbraw/zinc/92/19/74/1072921974.db2.gz RTXBMIBJDZIGRU-LBPRGKRZSA-N 0 0 446.913 -0.195 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000533082646 1072921951 /nfs/dbraw/zinc/92/19/51/1072921951.db2.gz UZLFNBWZWFVYPB-GFCCVEGCSA-N 0 0 437.457 -0.233 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000533082647 1072922009 /nfs/dbraw/zinc/92/20/09/1072922009.db2.gz UZLFNBWZWFVYPB-LBPRGKRZSA-N 0 0 437.457 -0.233 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)C1 ZINC000533082665 1072921962 /nfs/dbraw/zinc/92/19/62/1072921962.db2.gz WDXPWVZEKLAGTF-AWEZNQCLSA-N 0 0 438.506 -0.444 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)C1 ZINC000533082666 1072921990 /nfs/dbraw/zinc/92/19/90/1072921990.db2.gz WDXPWVZEKLAGTF-CQSZACIVSA-N 0 0 438.506 -0.444 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000533082833 1072921628 /nfs/dbraw/zinc/92/16/28/1072921628.db2.gz IGMBMGWQHVEKHN-HNNXBMFYSA-N 0 0 446.551 -0.194 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000533082834 1072921611 /nfs/dbraw/zinc/92/16/11/1072921611.db2.gz IGMBMGWQHVEKHN-OAHLLOKOSA-N 0 0 446.551 -0.194 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)C1 ZINC000533082835 1072921545 /nfs/dbraw/zinc/92/15/45/1072921545.db2.gz IKHKODYCFBXGFC-LLVKDONJSA-N 0 0 429.451 -0.421 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)C1 ZINC000533082836 1072922040 /nfs/dbraw/zinc/92/20/40/1072922040.db2.gz IKHKODYCFBXGFC-NSHDSACASA-N 0 0 429.451 -0.421 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000533082851 1072921566 /nfs/dbraw/zinc/92/15/66/1072921566.db2.gz JJORAPVGPUDZMY-GFCCVEGCSA-N 0 0 448.523 -0.966 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000533082852 1072921531 /nfs/dbraw/zinc/92/15/31/1072921531.db2.gz JJORAPVGPUDZMY-LBPRGKRZSA-N 0 0 448.523 -0.966 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000533082955 1072921667 /nfs/dbraw/zinc/92/16/67/1072921667.db2.gz WDLFTIMYFJJSPC-GDBMZVCRSA-N 0 0 431.536 -0.092 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000533082956 1072921552 /nfs/dbraw/zinc/92/15/52/1072921552.db2.gz WDLFTIMYFJJSPC-GOEBONIOSA-N 0 0 431.536 -0.092 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000533082957 1072921636 /nfs/dbraw/zinc/92/16/36/1072921636.db2.gz WDLFTIMYFJJSPC-HOCLYGCPSA-N 0 0 431.536 -0.092 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000533082958 1072921605 /nfs/dbraw/zinc/92/16/05/1072921605.db2.gz WDLFTIMYFJJSPC-ZBFHGGJFSA-N 0 0 431.536 -0.092 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000533083921 1072922428 /nfs/dbraw/zinc/92/24/28/1072922428.db2.gz MQECXBFQIKURHV-INIZCTEOSA-N 0 0 445.567 -0.222 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000533083922 1072922463 /nfs/dbraw/zinc/92/24/63/1072922463.db2.gz MQECXBFQIKURHV-MRXNPFEDSA-N 0 0 445.567 -0.222 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000533083929 1072922570 /nfs/dbraw/zinc/92/25/70/1072922570.db2.gz MXBWERJKNSVQOO-AWEZNQCLSA-N 0 0 447.535 -0.334 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000533083930 1072922534 /nfs/dbraw/zinc/92/25/34/1072922534.db2.gz MXBWERJKNSVQOO-CQSZACIVSA-N 0 0 447.535 -0.334 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000533084040 1072922657 /nfs/dbraw/zinc/92/26/57/1072922657.db2.gz ZICSLWBXWQAFIS-KBPBESRZSA-N 0 0 433.556 -0.423 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000533084041 1072922613 /nfs/dbraw/zinc/92/26/13/1072922613.db2.gz ZICSLWBXWQAFIS-KGLIPLIRSA-N 0 0 433.556 -0.423 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000533084042 1072922446 /nfs/dbraw/zinc/92/24/46/1072922446.db2.gz ZICSLWBXWQAFIS-UONOGXRCSA-N 0 0 433.556 -0.423 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000533084043 1072922599 /nfs/dbraw/zinc/92/25/99/1072922599.db2.gz ZICSLWBXWQAFIS-ZIAGYGMSSA-N 0 0 433.556 -0.423 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000533084084 1072922454 /nfs/dbraw/zinc/92/24/54/1072922454.db2.gz HOGAKLXWNYFTJP-HNNXBMFYSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000533084085 1072922642 /nfs/dbraw/zinc/92/26/42/1072922642.db2.gz HOGAKLXWNYFTJP-OAHLLOKOSA-N 0 0 432.524 -0.537 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1C[C@H](C)N(S(=O)(=O)C[C@H](C)C(=O)OC)C[C@H]1C ZINC000533085536 1072923748 /nfs/dbraw/zinc/92/37/48/1072923748.db2.gz PNKSUCMTNUKOMW-XDQVBPFNSA-N 0 0 442.556 -0.341 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1C[C@H](C)N(S(=O)(=O)CCCC(=O)OC)C[C@H]1C ZINC000533086058 1072923760 /nfs/dbraw/zinc/92/37/60/1072923760.db2.gz MYJSEXOHVIDXJK-OKILXGFUSA-N 0 0 442.556 -0.053 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@H](S(=O)(=O)NC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000533086638 1072923546 /nfs/dbraw/zinc/92/35/46/1072923546.db2.gz KVQUZNMTWORYDQ-HNNXBMFYSA-N 0 0 449.533 -0.533 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@@H](S(=O)(=O)NC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000533086639 1072923701 /nfs/dbraw/zinc/92/37/01/1072923701.db2.gz KVQUZNMTWORYDQ-OAHLLOKOSA-N 0 0 449.533 -0.533 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000533086767 1072923578 /nfs/dbraw/zinc/92/35/78/1072923578.db2.gz MSWNTBQORKMRTI-INIZCTEOSA-N 0 0 446.551 -0.731 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000533086768 1072923712 /nfs/dbraw/zinc/92/37/12/1072923712.db2.gz MSWNTBQORKMRTI-MRXNPFEDSA-N 0 0 446.551 -0.731 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000533086779 1072923626 /nfs/dbraw/zinc/92/36/26/1072923626.db2.gz PDDHWIMXKKKFKA-HNNXBMFYSA-N 0 0 432.524 -0.137 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000533086780 1072923752 /nfs/dbraw/zinc/92/37/52/1072923752.db2.gz PDDHWIMXKKKFKA-OAHLLOKOSA-N 0 0 432.524 -0.137 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000533086796 1072923686 /nfs/dbraw/zinc/92/36/86/1072923686.db2.gz UAXWCYJRDABNLY-KRWDZBQOSA-N 0 0 444.579 -0.716 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000533086797 1072923594 /nfs/dbraw/zinc/92/35/94/1072923594.db2.gz UAXWCYJRDABNLY-QGZVFWFLSA-N 0 0 444.579 -0.716 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCCc3cc(S(=O)(=O)N(C)C)ccc32)C1 ZINC000533086830 1072923663 /nfs/dbraw/zinc/92/36/63/1072923663.db2.gz BFXYSEWALVZFIJ-INIZCTEOSA-N 0 0 444.579 -0.160 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCCc3cc(S(=O)(=O)N(C)C)ccc32)C1 ZINC000533086831 1072923564 /nfs/dbraw/zinc/92/35/64/1072923564.db2.gz BFXYSEWALVZFIJ-MRXNPFEDSA-N 0 0 444.579 -0.160 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000533088790 1072923722 /nfs/dbraw/zinc/92/37/22/1072923722.db2.gz NSJXINOSCOJDAN-UHFFFAOYSA-N 0 0 429.476 -0.483 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cc1 ZINC000533096447 1072922633 /nfs/dbraw/zinc/92/26/33/1072922633.db2.gz HCEUOSGIVDAGOE-JTQLQIEISA-N 0 0 440.546 -0.491 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cc1 ZINC000533096448 1072922546 /nfs/dbraw/zinc/92/25/46/1072922546.db2.gz HCEUOSGIVDAGOE-SNVBAGLBSA-N 0 0 440.546 -0.491 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000533096809 1072922503 /nfs/dbraw/zinc/92/25/03/1072922503.db2.gz WOEYGCDSVDKTFC-KRWDZBQOSA-N 0 0 441.531 -0.014 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)c1nc(-c2nc[nH]n2)no1 ZINC000533108495 1072923671 /nfs/dbraw/zinc/92/36/71/1072923671.db2.gz MCCFPMNLQMEPCN-LLVKDONJSA-N 0 0 427.425 -0.129 20 0 IBADRN CC(C)[C@H](NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)c1nc(-c2nc[nH]n2)no1 ZINC000533108496 1072923740 /nfs/dbraw/zinc/92/37/40/1072923740.db2.gz MCCFPMNLQMEPCN-NSHDSACASA-N 0 0 427.425 -0.129 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000533108972 1072923754 /nfs/dbraw/zinc/92/37/54/1072923754.db2.gz WYWDIFBFOCPEOC-CYBMUJFWSA-N 0 0 443.501 -0.130 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000533108973 1072923639 /nfs/dbraw/zinc/92/36/39/1072923639.db2.gz WYWDIFBFOCPEOC-ZDUSSCGKSA-N 0 0 443.501 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000533116323 1072924261 /nfs/dbraw/zinc/92/42/61/1072924261.db2.gz WCOCWFLOHPPMMU-CQSZACIVSA-N 0 0 444.535 -0.394 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000533116524 1072924214 /nfs/dbraw/zinc/92/42/14/1072924214.db2.gz VNXVUBFVGLAYQZ-CYBMUJFWSA-N 0 0 433.490 -0.179 20 0 IBADRN Cc1nnccc1C(=O)NCCS(=O)(=O)N1CCN(C(=O)c2ccnnc2C)CC1 ZINC000533118594 1072924192 /nfs/dbraw/zinc/92/41/92/1072924192.db2.gz KIJCIXFWZAIOAI-UHFFFAOYSA-N 0 0 433.494 -0.599 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)NCCCNS(C)(=O)=O)c1 ZINC000533132754 1072924138 /nfs/dbraw/zinc/92/41/38/1072924138.db2.gz DPTYPFZWYVRPSL-UHFFFAOYSA-N 0 0 437.478 -0.039 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1=O ZINC000533133483 1072924271 /nfs/dbraw/zinc/92/42/71/1072924271.db2.gz QYLPWIRNPYLGAD-UHFFFAOYSA-N 0 0 430.483 -0.816 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000533133588 1072924094 /nfs/dbraw/zinc/92/40/94/1072924094.db2.gz XUAOOJMIRITNMX-UHFFFAOYSA-N 0 0 436.542 -0.134 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1C ZINC000533134031 1072924281 /nfs/dbraw/zinc/92/42/81/1072924281.db2.gz ZNWFQUQGTSNPCA-UHFFFAOYSA-N 0 0 433.556 -0.420 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1C ZINC000533134272 1072924232 /nfs/dbraw/zinc/92/42/32/1072924232.db2.gz NKOWTTTUNYPRTB-UHFFFAOYSA-N 0 0 434.497 -0.622 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1F ZINC000533134400 1072924258 /nfs/dbraw/zinc/92/42/58/1072924258.db2.gz UYDJGHPWYOKVSN-GHMZBOCLSA-N 0 0 445.469 -0.005 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1F ZINC000533134401 1072924290 /nfs/dbraw/zinc/92/42/90/1072924290.db2.gz UYDJGHPWYOKVSN-MNOVXSKESA-N 0 0 445.469 -0.005 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1F ZINC000533134402 1072924245 /nfs/dbraw/zinc/92/42/45/1072924245.db2.gz UYDJGHPWYOKVSN-QWRGUYRKSA-N 0 0 445.469 -0.005 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1F ZINC000533134403 1072924266 /nfs/dbraw/zinc/92/42/66/1072924266.db2.gz UYDJGHPWYOKVSN-WDEREUQCSA-N 0 0 445.469 -0.005 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000533134856 1072924223 /nfs/dbraw/zinc/92/42/23/1072924223.db2.gz RHZDBJMVTWIOSJ-UHFFFAOYSA-N 0 0 436.513 -0.912 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O ZINC000533135062 1072924275 /nfs/dbraw/zinc/92/42/75/1072924275.db2.gz CVFCGTPCMCDXNG-JTQLQIEISA-N 0 0 433.508 -0.376 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC000533135063 1072924167 /nfs/dbraw/zinc/92/41/67/1072924167.db2.gz CVFCGTPCMCDXNG-SNVBAGLBSA-N 0 0 433.508 -0.376 20 0 IBADRN O=C(NCCn1ncnn1)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000533135616 1072924152 /nfs/dbraw/zinc/92/41/52/1072924152.db2.gz DGQRKWFORYYIGR-UHFFFAOYSA-N 0 0 433.450 -0.622 20 0 IBADRN CCc1c(C(=O)NC2CCC(C(=O)NC(N)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000533135833 1072924206 /nfs/dbraw/zinc/92/42/06/1072924206.db2.gz TWJKDBVWXKVJQX-UHFFFAOYSA-N 0 0 430.465 -0.322 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(Cc3cc(C)no3)CC2)c1=O ZINC000533136041 1072924181 /nfs/dbraw/zinc/92/41/81/1072924181.db2.gz HYBLFZJFDINGHM-UHFFFAOYSA-N 0 0 431.449 -0.009 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)c1=O ZINC000533136121 1072924249 /nfs/dbraw/zinc/92/42/49/1072924249.db2.gz MYIIHUPEMLLZIS-UHFFFAOYSA-N 0 0 441.444 -0.271 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1=O ZINC000533136132 1072924683 /nfs/dbraw/zinc/92/46/83/1072924683.db2.gz NLWOFLJMBLWTPQ-UHFFFAOYSA-N 0 0 435.481 -0.981 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1C ZINC000533136560 1072924707 /nfs/dbraw/zinc/92/47/07/1072924707.db2.gz PZTIAKOTRWHHNL-UHFFFAOYSA-N 0 0 429.481 -0.072 20 0 IBADRN COC(=O)c1cccc([C@H](N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C(=O)OC)c1 ZINC000533148597 1073005779 /nfs/dbraw/zinc/00/57/79/1073005779.db2.gz WWANEVUQMRDFTN-BBWFWOEESA-N 0 0 426.491 -0.225 20 0 IBADRN COC(=O)c1cccc([C@H](N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C(=O)OC)c1 ZINC000533148598 1073005716 /nfs/dbraw/zinc/00/57/16/1073005716.db2.gz WWANEVUQMRDFTN-IKGGRYGDSA-N 0 0 426.491 -0.225 20 0 IBADRN COC(=O)c1cccc([C@H](N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C(=O)OC)c1 ZINC000533148599 1073005793 /nfs/dbraw/zinc/00/57/93/1073005793.db2.gz WWANEVUQMRDFTN-ULQDDVLXSA-N 0 0 426.491 -0.225 20 0 IBADRN COC(=O)c1cccc([C@H](N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C(=O)OC)c1 ZINC000533148600 1072924629 /nfs/dbraw/zinc/92/46/29/1072924629.db2.gz WWANEVUQMRDFTN-ZACQAIPSSA-N 0 0 426.491 -0.225 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000533149207 1072924700 /nfs/dbraw/zinc/92/47/00/1072924700.db2.gz HSWBNINCRYZIAN-KBPBESRZSA-N 0 0 433.486 -0.223 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000533149208 1072924722 /nfs/dbraw/zinc/92/47/22/1072924722.db2.gz HSWBNINCRYZIAN-KGLIPLIRSA-N 0 0 433.486 -0.223 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000533149209 1072924686 /nfs/dbraw/zinc/92/46/86/1072924686.db2.gz HSWBNINCRYZIAN-UONOGXRCSA-N 0 0 433.486 -0.223 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000533149210 1072924644 /nfs/dbraw/zinc/92/46/44/1072924644.db2.gz HSWBNINCRYZIAN-ZIAGYGMSSA-N 0 0 433.486 -0.223 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)c1=O ZINC000533152629 1072924677 /nfs/dbraw/zinc/92/46/77/1072924677.db2.gz LBNCNHXCWIPMJI-AWEZNQCLSA-N 0 0 430.465 -0.131 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)c1=O ZINC000533152632 1072925128 /nfs/dbraw/zinc/92/51/28/1072925128.db2.gz LBNCNHXCWIPMJI-CQSZACIVSA-N 0 0 430.465 -0.131 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)c1 ZINC000533153067 1072924711 /nfs/dbraw/zinc/92/47/11/1072924711.db2.gz OUARTPNSRVUMIS-UHFFFAOYSA-N 0 0 427.479 -0.035 20 0 IBADRN COc1cccc(COCCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000533153165 1072925243 /nfs/dbraw/zinc/92/52/43/1072925243.db2.gz QXGJPTQEFQPSFS-UHFFFAOYSA-N 0 0 441.444 -0.088 20 0 IBADRN CCc1n[nH]c(=O)c(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1CC ZINC000533153495 1072925162 /nfs/dbraw/zinc/92/51/62/1072925162.db2.gz XVDFKZGFDMVDNB-UHFFFAOYSA-N 0 0 442.542 -0.289 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000533153536 1072925171 /nfs/dbraw/zinc/92/51/71/1072925171.db2.gz YESPSTTYUAQDCF-INIZCTEOSA-N 0 0 439.490 -0.259 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000533153554 1072925148 /nfs/dbraw/zinc/92/51/48/1072925148.db2.gz YESPSTTYUAQDCF-MRXNPFEDSA-N 0 0 439.490 -0.259 20 0 IBADRN CCc1n[nH]c(=O)c(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1CC ZINC000533153589 1072925237 /nfs/dbraw/zinc/92/52/37/1072925237.db2.gz LQLIRPOQDGHXHK-UHFFFAOYSA-N 0 0 434.497 -0.480 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1C ZINC000533153768 1072925199 /nfs/dbraw/zinc/92/51/99/1072925199.db2.gz SMICESZIVAOSPJ-HNNXBMFYSA-N 0 0 445.542 -0.310 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1C ZINC000533153776 1072925177 /nfs/dbraw/zinc/92/51/77/1072925177.db2.gz SMICESZIVAOSPJ-OAHLLOKOSA-N 0 0 445.542 -0.310 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2c(C)nn(CCOC)c2C)CC1 ZINC000533154977 1072925140 /nfs/dbraw/zinc/92/51/40/1072925140.db2.gz BBRSVFVIHXJSQR-UHFFFAOYSA-N 0 0 445.542 -0.405 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N(C)CCN2C(=O)c3ccccc3C2=O)n1 ZINC000533157525 1073302853 /nfs/dbraw/zinc/30/28/53/1073302853.db2.gz MPJSXFJIVFWPNQ-UHFFFAOYSA-N 0 0 426.433 -0.336 20 0 IBADRN COc1ncc(NC(=O)C(=O)N(C)CCN2C(=O)c3ccccc3C2=O)cc1C(N)=O ZINC000533158359 1072924690 /nfs/dbraw/zinc/92/46/90/1072924690.db2.gz UZCPBXXZBYMKMG-UHFFFAOYSA-N 0 0 425.401 -0.118 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1=O ZINC000533161231 1072924669 /nfs/dbraw/zinc/92/46/69/1072924669.db2.gz SIZJNOWPGPORNJ-UHFFFAOYSA-N 0 0 435.481 -0.580 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1=O ZINC000533161475 1072924601 /nfs/dbraw/zinc/92/46/01/1072924601.db2.gz DQHWEJWOVMSLGC-UHFFFAOYSA-N 0 0 444.510 -0.073 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1 ZINC000533162962 1072924665 /nfs/dbraw/zinc/92/46/65/1072924665.db2.gz SFLQJIYQBDWWIV-NEPJUHHUSA-N 0 0 427.479 -0.144 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1 ZINC000533162963 1072924651 /nfs/dbraw/zinc/92/46/51/1072924651.db2.gz SFLQJIYQBDWWIV-NWDGAFQWSA-N 0 0 427.479 -0.144 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1 ZINC000533162964 1072924607 /nfs/dbraw/zinc/92/46/07/1072924607.db2.gz SFLQJIYQBDWWIV-RYUDHWBXSA-N 0 0 427.479 -0.144 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1 ZINC000533162965 1072924636 /nfs/dbraw/zinc/92/46/36/1072924636.db2.gz SFLQJIYQBDWWIV-VXGBXAGGSA-N 0 0 427.479 -0.144 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1=O ZINC000533164084 1072924655 /nfs/dbraw/zinc/92/46/55/1072924655.db2.gz LJIWEBIDWIRMTN-UHFFFAOYSA-N 0 0 435.481 -0.580 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)c1=O ZINC000533165005 1072925112 /nfs/dbraw/zinc/92/51/12/1072925112.db2.gz YZQUXADJYFOZHC-UHFFFAOYSA-N 0 0 429.433 -0.052 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C)o1 ZINC000533175272 1072925301 /nfs/dbraw/zinc/92/53/01/1072925301.db2.gz NBPXFQYDZKSYDM-UHFFFAOYSA-N 0 0 437.496 -0.742 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN1CCN(c2ccccn2)CC1 ZINC000533183179 1072925734 /nfs/dbraw/zinc/92/57/34/1072925734.db2.gz NLEFMMIRCNSBBM-IBGZPJMESA-N 0 0 438.532 -0.077 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1CCN(c2ccccn2)CC1 ZINC000533183180 1072925783 /nfs/dbraw/zinc/92/57/83/1072925783.db2.gz NLEFMMIRCNSBBM-LJQANCHMSA-N 0 0 438.532 -0.077 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)C1 ZINC000533183194 1072925779 /nfs/dbraw/zinc/92/57/79/1072925779.db2.gz OYFGGUKCMCCGIU-DLBZAZTESA-N 0 0 438.550 -0.233 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)C1 ZINC000533183195 1072925718 /nfs/dbraw/zinc/92/57/18/1072925718.db2.gz OYFGGUKCMCCGIU-IAGOWNOFSA-N 0 0 438.550 -0.233 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)C1 ZINC000533183196 1072925755 /nfs/dbraw/zinc/92/57/55/1072925755.db2.gz OYFGGUKCMCCGIU-IRXDYDNUSA-N 0 0 438.550 -0.233 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)C1 ZINC000533183197 1072925763 /nfs/dbraw/zinc/92/57/63/1072925763.db2.gz OYFGGUKCMCCGIU-SJORKVTESA-N 0 0 438.550 -0.233 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cccc(CS(N)(=O)=O)c1 ZINC000533183199 1072925699 /nfs/dbraw/zinc/92/56/99/1072925699.db2.gz PAWGEOFTAFVORE-KRWDZBQOSA-N 0 0 432.502 -0.192 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cccc(CS(N)(=O)=O)c1 ZINC000533183200 1072925788 /nfs/dbraw/zinc/92/57/88/1072925788.db2.gz PAWGEOFTAFVORE-QGZVFWFLSA-N 0 0 432.502 -0.192 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000533183208 1072925674 /nfs/dbraw/zinc/92/56/74/1072925674.db2.gz PYFYBHOHPZGVHJ-INIZCTEOSA-N 0 0 435.506 -0.402 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000533183209 1072925709 /nfs/dbraw/zinc/92/57/09/1072925709.db2.gz PYFYBHOHPZGVHJ-MRXNPFEDSA-N 0 0 435.506 -0.402 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN1C(=O)NC2(CCCCC2)C1=O ZINC000533183626 1072925770 /nfs/dbraw/zinc/92/57/70/1072925770.db2.gz KEDHIJZJPPHVQV-INIZCTEOSA-N 0 0 443.504 -0.040 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1C(=O)NC2(CCCCC2)C1=O ZINC000533183627 1072925787 /nfs/dbraw/zinc/92/57/87/1072925787.db2.gz KEDHIJZJPPHVQV-MRXNPFEDSA-N 0 0 443.504 -0.040 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)o1 ZINC000533183853 1072925728 /nfs/dbraw/zinc/92/57/28/1072925728.db2.gz XLIYTLCRRGLSAR-AWEZNQCLSA-N 0 0 436.490 -0.137 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)o1 ZINC000533183854 1072925743 /nfs/dbraw/zinc/92/57/43/1072925743.db2.gz XLIYTLCRRGLSAR-CQSZACIVSA-N 0 0 436.490 -0.137 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC[C@@H](C)O)c(=O)n2Cc1ccccc1 ZINC000533185609 1072926113 /nfs/dbraw/zinc/92/61/13/1072926113.db2.gz PZHWDSCUGUJTMD-OAHLLOKOSA-N 0 0 429.477 -0.059 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000533192850 1072925207 /nfs/dbraw/zinc/92/52/07/1072925207.db2.gz ZGCBKFGLWXCETP-AEGPPILISA-N 0 0 438.593 -0.003 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000533192851 1072925251 /nfs/dbraw/zinc/92/52/51/1072925251.db2.gz ZGCBKFGLWXCETP-BPLDGKMQSA-N 0 0 438.593 -0.003 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000533192852 1072925316 /nfs/dbraw/zinc/92/53/16/1072925316.db2.gz ZGCBKFGLWXCETP-NWANDNLSSA-N 0 0 438.593 -0.003 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000533192853 1072925225 /nfs/dbraw/zinc/92/52/25/1072925225.db2.gz ZGCBKFGLWXCETP-VHDGCEQUSA-N 0 0 438.593 -0.003 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000533204053 1072926209 /nfs/dbraw/zinc/92/62/09/1072926209.db2.gz WANZDVWZYHAZOF-UHFFFAOYSA-N 0 0 436.446 -0.707 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCNC(=O)c2ccccc2F)CC1 ZINC000533204668 1072926171 /nfs/dbraw/zinc/92/61/71/1072926171.db2.gz KQCJJQMEQTWDLB-UHFFFAOYSA-N 0 0 433.440 -0.442 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000533207188 1072926251 /nfs/dbraw/zinc/92/62/51/1072926251.db2.gz UWFCNFWXPLHCMK-UHFFFAOYSA-N 0 0 438.503 -0.011 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000533208292 1072926302 /nfs/dbraw/zinc/92/63/02/1072926302.db2.gz IVTPXHPAWWCYOD-UHFFFAOYSA-N 0 0 435.524 -0.524 20 0 IBADRN CNC(=O)[C@@H]1CCCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000533208494 1072926192 /nfs/dbraw/zinc/92/61/92/1072926192.db2.gz LDRMOFBDRDJQGP-HNNXBMFYSA-N 0 0 427.527 -0.275 20 0 IBADRN CNC(=O)[C@H]1CCCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000533208495 1072926130 /nfs/dbraw/zinc/92/61/30/1072926130.db2.gz LDRMOFBDRDJQGP-OAHLLOKOSA-N 0 0 427.527 -0.275 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000533212510 1072927246 /nfs/dbraw/zinc/92/72/46/1072927246.db2.gz DTGMREAKXUXRAD-HNNXBMFYSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000533212511 1072927174 /nfs/dbraw/zinc/92/71/74/1072927174.db2.gz DTGMREAKXUXRAD-OAHLLOKOSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@@H](C(=O)NC(=O)NCc1ccccc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000533215660 1072926260 /nfs/dbraw/zinc/92/62/60/1072926260.db2.gz OFWQTOQSCYYFSJ-INIZCTEOSA-N 0 0 431.493 -0.596 20 0 IBADRN C[C@H](C(=O)NC(=O)NCc1ccccc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000533215661 1072926098 /nfs/dbraw/zinc/92/60/98/1072926098.db2.gz OFWQTOQSCYYFSJ-MRXNPFEDSA-N 0 0 431.493 -0.596 20 0 IBADRN COC(=O)c1ccc2c(c1)nc(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)[nH]c2=O ZINC000533215687 1072926268 /nfs/dbraw/zinc/92/62/68/1072926268.db2.gz ACXSDHVSAMASIT-UHFFFAOYSA-N 0 0 443.460 -0.375 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCN(CC(=O)N3CCCCC3)CC2)cc1 ZINC000533215717 1072926670 /nfs/dbraw/zinc/92/66/70/1072926670.db2.gz HOTKDQZHKPHHBG-UHFFFAOYSA-N 0 0 431.537 -0.203 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000533215766 1072926784 /nfs/dbraw/zinc/92/67/84/1072926784.db2.gz ZEPLNJZRJNSCNY-HNNXBMFYSA-N 0 0 434.493 -0.008 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000533215767 1072926812 /nfs/dbraw/zinc/92/68/12/1072926812.db2.gz ZEPLNJZRJNSCNY-OAHLLOKOSA-N 0 0 434.493 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC000533215856 1072926285 /nfs/dbraw/zinc/92/62/85/1072926285.db2.gz CDVIBBHAKYQCTC-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000533215874 1072926313 /nfs/dbraw/zinc/92/63/13/1072926313.db2.gz HKAUMIBDTPBBRX-UHFFFAOYSA-N 0 0 434.493 -0.006 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCc1cnn(-c2ccccc2)c1 ZINC000533215893 1072926278 /nfs/dbraw/zinc/92/62/78/1072926278.db2.gz LZLCICBAAJQGLW-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN Cc1cccc(NC(=O)NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000533215897 1072926235 /nfs/dbraw/zinc/92/62/35/1072926235.db2.gz NAVYWHUZCPODEF-UHFFFAOYSA-N 0 0 431.493 -0.045 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000533215976 1072926183 /nfs/dbraw/zinc/92/61/83/1072926183.db2.gz KNBWNKHRNHQCEU-INIZCTEOSA-N 0 0 446.504 -0.519 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000533215977 1072926243 /nfs/dbraw/zinc/92/62/43/1072926243.db2.gz KNBWNKHRNHQCEU-MRXNPFEDSA-N 0 0 446.504 -0.519 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCCc1cccc2cccnc21 ZINC000533216043 1072926753 /nfs/dbraw/zinc/92/67/53/1072926753.db2.gz HFWLOHYQTHSEBL-UHFFFAOYSA-N 0 0 439.516 -0.104 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000533216135 1072926827 /nfs/dbraw/zinc/92/68/27/1072926827.db2.gz VDMDSOKUMPWUHA-HNNXBMFYSA-N 0 0 431.493 -0.025 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000533216136 1072926158 /nfs/dbraw/zinc/92/61/58/1072926158.db2.gz VDMDSOKUMPWUHA-OAHLLOKOSA-N 0 0 431.493 -0.025 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000533216245 1072926803 /nfs/dbraw/zinc/92/68/03/1072926803.db2.gz APXBNQWRFFYZJT-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCCc1nc2ccccc2s1 ZINC000533216267 1072926733 /nfs/dbraw/zinc/92/67/33/1072926733.db2.gz KCEPOYVATYQZAZ-UHFFFAOYSA-N 0 0 445.545 -0.042 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)cc1 ZINC000533216806 1072926765 /nfs/dbraw/zinc/92/67/65/1072926765.db2.gz DJSSFJZWUJXECP-UHFFFAOYSA-N 0 0 445.564 -0.250 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(C(=O)COc3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000533216901 1072926658 /nfs/dbraw/zinc/92/66/58/1072926658.db2.gz SILTUPLLXMLJSF-UHFFFAOYSA-N 0 0 449.895 -0.275 20 0 IBADRN Cn1c2ncn(CCN3CCN(C(=O)COc4ccc(F)cc4)CC3)c2c(=O)n(C)c1=O ZINC000533219016 1072926619 /nfs/dbraw/zinc/92/66/19/1072926619.db2.gz RDPJASQKEJXMRA-UHFFFAOYSA-N 0 0 444.467 -0.204 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(CC(=O)N2CCN(Cc3ccsc3)CC2)CC1 ZINC000533220448 1072926716 /nfs/dbraw/zinc/92/67/16/1072926716.db2.gz FRIOFCFNCFBIJP-UHFFFAOYSA-N 0 0 435.594 -0.131 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)NCc3ccc(OCC(N)=O)cc3)CC2)no1 ZINC000533220988 1072926831 /nfs/dbraw/zinc/92/68/31/1072926831.db2.gz HRNLSMWNLLJCAF-UHFFFAOYSA-N 0 0 444.492 -0.280 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(S(=O)(=O)c3c(C)noc3C)CC2)c(=O)[nH]c1=O ZINC000533221097 1072926701 /nfs/dbraw/zinc/92/67/01/1072926701.db2.gz ZVWDINDPHORGTC-UHFFFAOYSA-N 0 0 440.482 -0.655 20 0 IBADRN Cn1c2nnc(CN3CCN(CC(=O)N4CCOCC4)CC3)n2c2ccsc2c1=O ZINC000533222302 1072927196 /nfs/dbraw/zinc/92/71/96/1072927196.db2.gz SPDKFIOBODJZSQ-UHFFFAOYSA-N 0 0 431.522 -0.381 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000533222449 1072927161 /nfs/dbraw/zinc/92/71/61/1072927161.db2.gz CORVVYSDQFJEPV-IBGZPJMESA-N 0 0 445.564 -0.094 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000533222450 1072927232 /nfs/dbraw/zinc/92/72/32/1072927232.db2.gz CORVVYSDQFJEPV-LJQANCHMSA-N 0 0 445.564 -0.094 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCN(Cc3ccsc3)CC2)CC1)N1CCOCC1 ZINC000533222497 1072927265 /nfs/dbraw/zinc/92/72/65/1072927265.db2.gz VFXXWTWCDGHPCI-UHFFFAOYSA-N 0 0 435.594 -0.131 20 0 IBADRN C[C@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000533222518 1072927257 /nfs/dbraw/zinc/92/72/57/1072927257.db2.gz ARVVGCFITOMWGT-MSOLQXFVSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000533222519 1072927120 /nfs/dbraw/zinc/92/71/20/1072927120.db2.gz ARVVGCFITOMWGT-QZTJIDSGSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1COc2ccccc2O1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000533222520 1072927284 /nfs/dbraw/zinc/92/72/84/1072927284.db2.gz ARVVGCFITOMWGT-ROUUACIJSA-N 0 0 432.521 -0.193 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1COc2ccccc2O1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000533222521 1072927294 /nfs/dbraw/zinc/92/72/94/1072927294.db2.gz ARVVGCFITOMWGT-ZWKOTPCHSA-N 0 0 432.521 -0.193 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN3CCN(CC(=O)N4CCOCC4)CC3)n12 ZINC000533222586 1072927107 /nfs/dbraw/zinc/92/71/07/1072927107.db2.gz YCNWHHOOEHLNCW-UHFFFAOYSA-N 0 0 439.520 -0.134 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000533222865 1072927217 /nfs/dbraw/zinc/92/72/17/1072927217.db2.gz DAEPTFWUGDJJCN-IBGZPJMESA-N 0 0 445.564 -0.094 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000533222866 1072927056 /nfs/dbraw/zinc/92/70/56/1072927056.db2.gz DAEPTFWUGDJJCN-LJQANCHMSA-N 0 0 445.564 -0.094 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000533224548 1072927237 /nfs/dbraw/zinc/92/72/37/1072927237.db2.gz IJETUACMZKDFQP-UHFFFAOYSA-N 0 0 444.529 -0.209 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(Cc3cn4ccccc4n3)CC2)c(=O)[nH]c1=O ZINC000533224575 1072927132 /nfs/dbraw/zinc/92/71/32/1072927132.db2.gz QEPGZGZOWQGZON-UHFFFAOYSA-N 0 0 441.492 -0.174 20 0 IBADRN CCN(CCC(=O)N1CCN(c2ccccn2)CC1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533225170 1072927209 /nfs/dbraw/zinc/92/72/09/1072927209.db2.gz OEOLLESXIAEUQW-KRWDZBQOSA-N 0 0 437.566 -0.255 20 0 IBADRN CCN(CCC(=O)N1CCN(c2ccccn2)CC1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533225171 1072927097 /nfs/dbraw/zinc/92/70/97/1072927097.db2.gz OEOLLESXIAEUQW-QGZVFWFLSA-N 0 0 437.566 -0.255 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533225444 1072927084 /nfs/dbraw/zinc/92/70/84/1072927084.db2.gz RXXSNSBWBVDMFJ-GFCCVEGCSA-N 0 0 429.499 -0.840 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533225445 1072927225 /nfs/dbraw/zinc/92/72/25/1072927225.db2.gz RXXSNSBWBVDMFJ-LBPRGKRZSA-N 0 0 429.499 -0.840 20 0 IBADRN COC(=O)C1(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)CCCCC1 ZINC000533230019 1072928297 /nfs/dbraw/zinc/92/82/97/1072928297.db2.gz BAONTMZHSDLOCU-UHFFFAOYSA-N 0 0 432.543 -0.827 20 0 IBADRN COC(=O)c1ccccc1NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000533230046 1072928323 /nfs/dbraw/zinc/92/83/23/1072928323.db2.gz LKRFMLBSYPCTPV-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN Cc1ccccc1Nc1nc(N)nc(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000533230292 1073361373 /nfs/dbraw/zinc/36/13/73/1073361373.db2.gz QEVQPDZIHONNCW-UHFFFAOYSA-N 0 0 448.553 -0.378 20 0 IBADRN C[C@@H](NC(=O)CCCNS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000533234265 1072928452 /nfs/dbraw/zinc/92/84/52/1072928452.db2.gz IAJPNOAEIFNNGR-CYBMUJFWSA-N 0 0 449.526 -0.354 20 0 IBADRN C[C@H](NC(=O)CCCNS(=O)(=O)c1ccc(F)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000533234266 1072928310 /nfs/dbraw/zinc/92/83/10/1072928310.db2.gz IAJPNOAEIFNNGR-ZDUSSCGKSA-N 0 0 449.526 -0.354 20 0 IBADRN O=C(CN1CCN(CCO)CC1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000533234754 1072928335 /nfs/dbraw/zinc/92/83/35/1072928335.db2.gz DOPGWOPVMRZOKU-UHFFFAOYSA-N 0 0 446.957 -0.091 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc(F)cc1)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533234808 1072928547 /nfs/dbraw/zinc/92/85/47/1072928547.db2.gz WNRPKVFPKNHQGL-AWEZNQCLSA-N 0 0 449.526 -0.306 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc(F)cc1)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533234809 1072928460 /nfs/dbraw/zinc/92/84/60/1072928460.db2.gz WNRPKVFPKNHQGL-CQSZACIVSA-N 0 0 449.526 -0.306 20 0 IBADRN O=C(CN1CCN(CCO)CC1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000533234855 1072928500 /nfs/dbraw/zinc/92/85/00/1072928500.db2.gz MILUSWZYBVCTEN-UHFFFAOYSA-N 0 0 430.958 -0.217 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000533235532 1072929236 /nfs/dbraw/zinc/92/92/36/1072929236.db2.gz ZWZKQUUJADSSQQ-GFCCVEGCSA-N 0 0 432.458 -0.190 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000533235533 1072929272 /nfs/dbraw/zinc/92/92/72/1072929272.db2.gz ZWZKQUUJADSSQQ-LBPRGKRZSA-N 0 0 432.458 -0.190 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000533236593 1072929244 /nfs/dbraw/zinc/92/92/44/1072929244.db2.gz ZEVMMTSCPNUKOM-KRWDZBQOSA-N 0 0 434.518 -0.013 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000533236594 1072929038 /nfs/dbraw/zinc/92/90/38/1072929038.db2.gz ZEVMMTSCPNUKOM-QGZVFWFLSA-N 0 0 434.518 -0.013 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(Cc3nc(N)nc(N(C)C)n3)CC2)c1 ZINC000533236963 1072929248 /nfs/dbraw/zinc/92/92/48/1072929248.db2.gz REXGAXFRQYNYII-UHFFFAOYSA-N 0 0 441.539 -0.126 20 0 IBADRN Cc1ccc(NC(=O)CN(C)CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000533238339 1072929308 /nfs/dbraw/zinc/92/93/08/1072929308.db2.gz VEPKFVMWZGYJTD-UHFFFAOYSA-N 0 0 431.537 -0.132 20 0 IBADRN CN(C)c1nc(N)nc(CN2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)n1 ZINC000533238952 1072929196 /nfs/dbraw/zinc/92/91/96/1072929196.db2.gz YCQFOZSPVNAHLV-UHFFFAOYSA-N 0 0 435.510 -0.203 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCc3ccccc3)CC2)CC1 ZINC000533239425 1072927710 /nfs/dbraw/zinc/92/77/10/1072927710.db2.gz GQLGJGATQHHXQJ-UHFFFAOYSA-N 0 0 438.554 -0.878 20 0 IBADRN CNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000533239451 1072927737 /nfs/dbraw/zinc/92/77/37/1072927737.db2.gz KRZSEUBYSOLEJA-UHFFFAOYSA-N 0 0 428.511 -0.786 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1OC ZINC000533239598 1072927965 /nfs/dbraw/zinc/92/79/65/1072927965.db2.gz JFEROHXLUZIDMR-UHFFFAOYSA-N 0 0 441.554 -0.248 20 0 IBADRN Cn1nc(C(C)(C)C)cc1NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000533239644 1072927854 /nfs/dbraw/zinc/92/78/54/1072927854.db2.gz RQLCUGDHQMNKTJ-UHFFFAOYSA-N 0 0 441.602 -0.234 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000533240056 1072929228 /nfs/dbraw/zinc/92/92/28/1072929228.db2.gz FZMHKIFAEKAAIX-UHFFFAOYSA-N 0 0 431.493 -0.519 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCC(NC(=O)c2ccccc2)CC1 ZINC000533240156 1072929313 /nfs/dbraw/zinc/92/93/13/1072929313.db2.gz QLFDMMOKGYTISN-UHFFFAOYSA-N 0 0 441.532 -0.170 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000533240230 1072927810 /nfs/dbraw/zinc/92/78/10/1072927810.db2.gz BLOBTMNNUPXRKM-UHFFFAOYSA-N 0 0 425.436 -0.312 20 0 IBADRN COc1cc(C(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)ccc1C ZINC000533240264 1072927791 /nfs/dbraw/zinc/92/77/91/1072927791.db2.gz FPWZPBDTNPZJMZ-UHFFFAOYSA-N 0 0 429.477 -0.376 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3CCN(C(=O)C(=O)NC4CC4)CC3)CC2)cc1 ZINC000533240551 1072927982 /nfs/dbraw/zinc/92/79/82/1072927982.db2.gz ZCQZVLZTGINEJX-UHFFFAOYSA-N 0 0 443.548 -0.238 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)c1C ZINC000533240684 1072927749 /nfs/dbraw/zinc/92/77/49/1072927749.db2.gz JWXAPVRRKJRELG-UHFFFAOYSA-N 0 0 439.538 -0.442 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)cc(C(=O)OC)c1 ZINC000533240827 1072927724 /nfs/dbraw/zinc/92/77/24/1072927724.db2.gz FUDJUZHEHQJGLX-UHFFFAOYSA-N 0 0 448.476 -0.133 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1CC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000533241238 1072927944 /nfs/dbraw/zinc/92/79/44/1072927944.db2.gz PEAQTSDWTXNHDX-INIZCTEOSA-N 0 0 446.595 -0.214 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1CC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000533241243 1072927822 /nfs/dbraw/zinc/92/78/22/1072927822.db2.gz PEAQTSDWTXNHDX-MRXNPFEDSA-N 0 0 446.595 -0.214 20 0 IBADRN CCCCn1c2nc(CN3CCN(C(=O)C(=O)NC(C)C)CC3)n(C)c2c(=O)[nH]c1=O ZINC000533241395 1072927932 /nfs/dbraw/zinc/92/79/32/1072927932.db2.gz IEMLODLJEMXQHW-UHFFFAOYSA-N 0 0 433.513 -0.196 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000533241517 1072927773 /nfs/dbraw/zinc/92/77/73/1072927773.db2.gz ZNDDMXUJWDEYKL-UHFFFAOYSA-N 0 0 429.477 -0.294 20 0 IBADRN COc1ccccc1Nc1nc(N)nc(CN2CCN(C(=O)C(=O)NC3CC3)CC2)n1 ZINC000533242044 1072927899 /nfs/dbraw/zinc/92/78/99/1072927899.db2.gz GZAYZZZBVDBMDN-UHFFFAOYSA-N 0 0 426.481 -0.449 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCCC2)CC1)N1CCN(C(=O)c2cccs2)CC1 ZINC000533242379 1072928526 /nfs/dbraw/zinc/92/85/26/1072928526.db2.gz WSUHSUSMCYQEDD-UHFFFAOYSA-N 0 0 447.561 -0.201 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)CN3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2C1=O ZINC000533242471 1072928612 /nfs/dbraw/zinc/92/86/12/1072928612.db2.gz GIEIQCVDDHJIMI-UHFFFAOYSA-N 0 0 427.461 -0.383 20 0 IBADRN COCCN(CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1)CC(=O)N(C)C ZINC000533242603 1072928429 /nfs/dbraw/zinc/92/84/29/1072928429.db2.gz VFBGMXQAWAMCKJ-UHFFFAOYSA-N 0 0 430.527 -0.079 20 0 IBADRN COCCN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)CC(=O)N(C)C ZINC000533242707 1072928540 /nfs/dbraw/zinc/92/85/40/1072928540.db2.gz GYQVECIFGCJRLT-UHFFFAOYSA-N 0 0 442.538 -0.317 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c(=O)[nH]c1=O ZINC000533242723 1072928559 /nfs/dbraw/zinc/92/85/59/1072928559.db2.gz IWKOOEVQBHZHSP-UHFFFAOYSA-N 0 0 434.497 -0.864 20 0 IBADRN O=C(CNC(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1)Nc1ccc(F)c(F)c1 ZINC000533242868 1072927884 /nfs/dbraw/zinc/92/78/84/1072927884.db2.gz YDQLEAUFKWRKQJ-UHFFFAOYSA-N 0 0 437.447 -0.214 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000533243085 1072927916 /nfs/dbraw/zinc/92/79/16/1072927916.db2.gz ZXWPTIJYHLIPBZ-UHFFFAOYSA-N 0 0 445.520 -0.175 20 0 IBADRN CCCCn1c2nc(CN3CCN(C(=O)C(=O)N4CCCC4)CC3)n(C)c2c(=O)[nH]c1=O ZINC000533243290 1073010982 /nfs/dbraw/zinc/01/09/82/1073010982.db2.gz UDRSNCDMOZOXEF-UHFFFAOYSA-N 0 0 445.524 -0.098 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000533243570 1072928490 /nfs/dbraw/zinc/92/84/90/1072928490.db2.gz XSOHTKVXTVPRCM-AWEZNQCLSA-N 0 0 437.522 -0.572 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000533243571 1072928441 /nfs/dbraw/zinc/92/84/41/1072928441.db2.gz XSOHTKVXTVPRCM-CQSZACIVSA-N 0 0 437.522 -0.572 20 0 IBADRN CCCS(=O)(=O)NC1CCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CC1 ZINC000533243670 1072928469 /nfs/dbraw/zinc/92/84/69/1072928469.db2.gz XATQDZNUDAFSID-UHFFFAOYSA-N 0 0 426.539 -0.039 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000533245514 1072929571 /nfs/dbraw/zinc/92/95/71/1072929571.db2.gz OVCHLIXENACSPU-IBGZPJMESA-N 0 0 446.504 -0.570 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc2O1 ZINC000533245517 1072929782 /nfs/dbraw/zinc/92/97/82/1072929782.db2.gz OVCHLIXENACSPU-LJQANCHMSA-N 0 0 446.504 -0.570 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccs2)CC1 ZINC000533245716 1072929767 /nfs/dbraw/zinc/92/97/67/1072929767.db2.gz QXBBLJMOSRHQIK-UHFFFAOYSA-N 0 0 425.554 -0.463 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)c1 ZINC000533245772 1072929808 /nfs/dbraw/zinc/92/98/08/1072929808.db2.gz GXLXQOMZQXEIRS-CYBMUJFWSA-N 0 0 447.473 -0.778 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)c1 ZINC000533245773 1072929646 /nfs/dbraw/zinc/92/96/46/1072929646.db2.gz GXLXQOMZQXEIRS-ZDUSSCGKSA-N 0 0 447.473 -0.778 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000533249620 1072929176 /nfs/dbraw/zinc/92/91/76/1072929176.db2.gz IFLDOIJFEJUDBL-UHFFFAOYSA-N 0 0 443.504 -0.366 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000533251286 1072929294 /nfs/dbraw/zinc/92/92/94/1072929294.db2.gz BXDHNDSHXRPBEW-UHFFFAOYSA-N 0 0 431.537 -0.203 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCN(CC(=O)N3CCCC3)CC2)c(=O)[nH]c1=O ZINC000533251383 1072928964 /nfs/dbraw/zinc/92/89/64/1072928964.db2.gz OOTQIAQYZIYIOR-UHFFFAOYSA-N 0 0 434.541 0.000 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCc4ccccc43)CC2)c(=O)[nH]c1=O ZINC000533252043 1072929284 /nfs/dbraw/zinc/92/92/84/1072929284.db2.gz ZMENKPDLODRICV-UHFFFAOYSA-N 0 0 440.504 -0.059 20 0 IBADRN CCCCNC(=O)[C@@H]1CCCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000533259847 1072929745 /nfs/dbraw/zinc/92/97/45/1072929745.db2.gz FNRKGIBUXXLBQN-MSOLQXFVSA-N 0 0 428.599 -0.054 20 0 IBADRN CCCCNC(=O)[C@H]1CCCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000533259848 1072929873 /nfs/dbraw/zinc/92/98/73/1072929873.db2.gz FNRKGIBUXXLBQN-ROUUACIJSA-N 0 0 428.599 -0.054 20 0 IBADRN CCCCNC(=O)[C@H]1CCCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000533259849 1072929786 /nfs/dbraw/zinc/92/97/86/1072929786.db2.gz FNRKGIBUXXLBQN-ZWKOTPCHSA-N 0 0 428.599 -0.054 20 0 IBADRN NC(=O)N1CCCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000533264352 1072930296 /nfs/dbraw/zinc/93/02/96/1072930296.db2.gz IFSSSGIJOAYUQK-UHFFFAOYSA-N 0 0 425.511 -0.268 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533268766 1072930852 /nfs/dbraw/zinc/93/08/52/1072930852.db2.gz LZFGQTQDMHVCAC-UHFFFAOYSA-N 0 0 440.522 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)s1 ZINC000533268807 1072930836 /nfs/dbraw/zinc/93/08/36/1072930836.db2.gz VGEINCCTCHCWSG-UHFFFAOYSA-N 0 0 437.503 -0.290 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(CC(=O)Nc2nncs2)CC1)N1CCCS1(=O)=O ZINC000533269057 1072930303 /nfs/dbraw/zinc/93/03/03/1072930303.db2.gz XPHIFSVEXNFVNP-AWEZNQCLSA-N 0 0 430.556 -0.319 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(CC(=O)Nc2nncs2)CC1)N1CCCS1(=O)=O ZINC000533269058 1072930358 /nfs/dbraw/zinc/93/03/58/1072930358.db2.gz XPHIFSVEXNFVNP-CQSZACIVSA-N 0 0 430.556 -0.319 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(C[C@H]3CN(C(C)C)CCO3)CC2)c(=O)[nH]c1=O ZINC000533270707 1072929844 /nfs/dbraw/zinc/92/98/44/1072929844.db2.gz LGDZYERWYOHXIZ-INIZCTEOSA-N 0 0 436.557 -0.149 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(C[C@@H]3CN(C(C)C)CCO3)CC2)c(=O)[nH]c1=O ZINC000533270712 1072929614 /nfs/dbraw/zinc/92/96/14/1072929614.db2.gz LGDZYERWYOHXIZ-MRXNPFEDSA-N 0 0 436.557 -0.149 20 0 IBADRN COCCN(CCO)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000533275131 1072929825 /nfs/dbraw/zinc/92/98/25/1072929825.db2.gz ALHHEHUBHOGWBL-UHFFFAOYSA-N 0 0 445.538 -0.523 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)[C@@H]3C)CC2)c1 ZINC000533275348 1072929658 /nfs/dbraw/zinc/92/96/58/1072929658.db2.gz IBKRXHMSQOLZAJ-AWEZNQCLSA-N 0 0 440.522 -0.643 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)[C@H]3C)CC2)c1 ZINC000533275349 1072929868 /nfs/dbraw/zinc/92/98/68/1072929868.db2.gz IBKRXHMSQOLZAJ-CQSZACIVSA-N 0 0 440.522 -0.643 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)[C@@H]3C)CC2)cc1OC ZINC000533275883 1072929802 /nfs/dbraw/zinc/92/98/02/1072929802.db2.gz GSCACCKDRCNBJF-AWEZNQCLSA-N 0 0 440.522 -0.643 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)[C@H]3C)CC2)cc1OC ZINC000533275884 1072929775 /nfs/dbraw/zinc/92/97/75/1072929775.db2.gz GSCACCKDRCNBJF-CQSZACIVSA-N 0 0 440.522 -0.643 20 0 IBADRN COCCN(CCO)CC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000533275925 1072929688 /nfs/dbraw/zinc/92/96/88/1072929688.db2.gz HTRMPJAXTZKGCM-UHFFFAOYSA-N 0 0 427.523 -0.337 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)NCC(=O)NC(C)(C)C)CC1 ZINC000533276314 1072930312 /nfs/dbraw/zinc/93/03/12/1072930312.db2.gz XJBZQQLSSXMKPB-UHFFFAOYSA-N 0 0 425.555 -0.252 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)NC2CCN(C(C)=O)CC2)CC1 ZINC000533277344 1072930319 /nfs/dbraw/zinc/93/03/19/1072930319.db2.gz GPTRHZRGMFZFBV-UHFFFAOYSA-N 0 0 437.566 -0.156 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC1=O)c1cc(I)ccc1-n1cnnn1 ZINC000533278265 1072930395 /nfs/dbraw/zinc/93/03/95/1072930395.db2.gz GGAVYYYHFMTPJX-SECBINFHSA-N 0 0 426.174 -0.198 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC1=O)c1cc(I)ccc1-n1cnnn1 ZINC000533278266 1072930390 /nfs/dbraw/zinc/93/03/90/1072930390.db2.gz GGAVYYYHFMTPJX-VIFPVBQESA-N 0 0 426.174 -0.198 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000533278826 1072930329 /nfs/dbraw/zinc/93/03/29/1072930329.db2.gz PVWAZZCBFSGADT-LLVKDONJSA-N 0 0 435.431 -0.026 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000533278827 1072930270 /nfs/dbraw/zinc/93/02/70/1072930270.db2.gz PVWAZZCBFSGADT-NSHDSACASA-N 0 0 435.431 -0.026 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000533279749 1072932000 /nfs/dbraw/zinc/93/20/00/1072932000.db2.gz GYBPKHFJZSAEHG-HNNXBMFYSA-N 0 0 447.492 -0.072 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000533279750 1072932010 /nfs/dbraw/zinc/93/20/10/1072932010.db2.gz GYBPKHFJZSAEHG-OAHLLOKOSA-N 0 0 447.492 -0.072 20 0 IBADRN CCOc1cccc(N2CCN(CC(=O)c3c(N)n(CCOC)c(=O)[nH]c3=O)CC2)n1 ZINC000533279986 1072932126 /nfs/dbraw/zinc/93/21/26/1072932126.db2.gz CIJDKUBOABJQBA-UHFFFAOYSA-N 0 0 432.481 -0.024 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCN(c3nc(C)nc4c3cnn4C)CC2)c(=O)[nH]c1=O ZINC000533280584 1072932017 /nfs/dbraw/zinc/93/20/17/1072932017.db2.gz HIILCDDLAQXIOI-UHFFFAOYSA-N 0 0 441.496 -0.069 20 0 IBADRN CCCCn1c2nc(CN3CCC[C@H](CNS(N)(=O)=O)C3)n(C)c2c(=O)[nH]c1=O ZINC000533283800 1072930916 /nfs/dbraw/zinc/93/09/16/1072930916.db2.gz OQDQAHHAQWKNIH-GFCCVEGCSA-N 0 0 427.531 -0.359 20 0 IBADRN CCCCn1c2nc(CN3CCC[C@@H](CNS(N)(=O)=O)C3)n(C)c2c(=O)[nH]c1=O ZINC000533283801 1072930748 /nfs/dbraw/zinc/93/07/48/1072930748.db2.gz OQDQAHHAQWKNIH-LBPRGKRZSA-N 0 0 427.531 -0.359 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@@H](C)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000533286339 1072930876 /nfs/dbraw/zinc/93/08/76/1072930876.db2.gz MWONMYBYASMIOO-CYBMUJFWSA-N 0 0 433.556 -0.479 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@H](C)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000533286340 1072930912 /nfs/dbraw/zinc/93/09/12/1072930912.db2.gz MWONMYBYASMIOO-ZDUSSCGKSA-N 0 0 433.556 -0.479 20 0 IBADRN O=C(CN1CCS(=O)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000533286701 1072931318 /nfs/dbraw/zinc/93/13/18/1072931318.db2.gz SNCGTFUJVNCWQH-UHFFFAOYSA-N 0 0 443.547 -0.645 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)CC3)CC2)cc1 ZINC000533286914 1072930855 /nfs/dbraw/zinc/93/08/55/1072930855.db2.gz BPFPUIMYOJWGLF-UHFFFAOYSA-N 0 0 427.548 -0.214 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)C[N@@H+]2CCC[C@H](C(=O)NC)C2)c(=O)[nH]c1=O ZINC000533286997 1072930785 /nfs/dbraw/zinc/93/07/85/1072930785.db2.gz AVCDWKNQWKZSBH-AWEZNQCLSA-N 0 0 438.529 -0.231 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)C[N@@H+]2CCC[C@@H](C(=O)NC)C2)c(=O)[nH]c1=O ZINC000533286998 1072930805 /nfs/dbraw/zinc/93/08/05/1072930805.db2.gz AVCDWKNQWKZSBH-CQSZACIVSA-N 0 0 438.529 -0.231 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCN(S(N)(=O)=O)CC1 ZINC000533287130 1072930828 /nfs/dbraw/zinc/93/08/28/1072930828.db2.gz KYDRIFATNSCPKM-UHFFFAOYSA-N 0 0 445.567 -0.963 20 0 IBADRN NS(=O)(=O)N1CCN(CC(=O)NCC(=O)Nc2ccccc2Br)CC1 ZINC000533287295 1072931516 /nfs/dbraw/zinc/93/15/16/1072931516.db2.gz USGFYSJYHATIEC-UHFFFAOYSA-N 0 0 434.316 -0.675 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C(=O)C3)CC2)cc1OC ZINC000533289151 1072931455 /nfs/dbraw/zinc/93/14/55/1072931455.db2.gz VZAZWFNLAWDULT-UHFFFAOYSA-N 0 0 440.522 -0.689 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)c(=O)[nH]c1=O ZINC000533290048 1072931409 /nfs/dbraw/zinc/93/14/09/1072931409.db2.gz NCSPYUAWJPFMLE-UHFFFAOYSA-N 0 0 444.496 -0.818 20 0 IBADRN Cn1c(N)c(C(=O)CN(CCO)Cc2cnn(Cc3ccccc3)c2)c(=O)n(C)c1=O ZINC000533291217 1072931568 /nfs/dbraw/zinc/93/15/68/1072931568.db2.gz QCIORWMEWQJRPS-UHFFFAOYSA-N 0 0 426.477 -0.412 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC(CNC(=O)c3cnn(C)c3)CC2)c(=O)[nH]c1=O ZINC000533291364 1072931443 /nfs/dbraw/zinc/93/14/43/1072931443.db2.gz LPNLIEFEQBATIY-UHFFFAOYSA-N 0 0 431.497 -0.001 20 0 IBADRN CC[C@@H]1C(=O)NCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000533291420 1072931479 /nfs/dbraw/zinc/93/14/79/1072931479.db2.gz PDYLAOWITRCIIA-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CC[C@H]1C(=O)NCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000533291421 1072931391 /nfs/dbraw/zinc/93/13/91/1072931391.db2.gz PDYLAOWITRCIIA-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CC[C@H](NS(C)(=O)=O)C1 ZINC000533295501 1072932088 /nfs/dbraw/zinc/93/20/88/1072932088.db2.gz GBESXMIWQVVTNK-JTQLQIEISA-N 0 0 432.524 -0.106 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CC[C@@H](NS(C)(=O)=O)C1 ZINC000533295504 1072932080 /nfs/dbraw/zinc/93/20/80/1072932080.db2.gz GBESXMIWQVVTNK-SNVBAGLBSA-N 0 0 432.524 -0.106 20 0 IBADRN CN(CCN1CCCC1=O)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000533295872 1072932099 /nfs/dbraw/zinc/93/20/99/1072932099.db2.gz WZIZCUOZBZSOLB-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN NC(=O)c1ccc(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)cc1 ZINC000533296332 1072932072 /nfs/dbraw/zinc/93/20/72/1072932072.db2.gz NZVPCSOEEODTNW-IBGZPJMESA-N 0 0 449.577 -0.761 20 0 IBADRN NC(=O)c1ccc(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)cc1 ZINC000533296333 1072931927 /nfs/dbraw/zinc/93/19/27/1072931927.db2.gz NZVPCSOEEODTNW-LJQANCHMSA-N 0 0 449.577 -0.761 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C ZINC000533296732 1072931977 /nfs/dbraw/zinc/93/19/77/1072931977.db2.gz WXJATENARLXTGC-UHFFFAOYSA-N 0 0 442.538 -0.303 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000533297017 1072932053 /nfs/dbraw/zinc/93/20/53/1072932053.db2.gz WEZKWIQEECBGOV-INIZCTEOSA-N 0 0 442.513 -0.505 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000533297018 1072931990 /nfs/dbraw/zinc/93/19/90/1072931990.db2.gz WEZKWIQEECBGOV-MRXNPFEDSA-N 0 0 442.513 -0.505 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(c3nccn3C)CC2)c1 ZINC000533297157 1072931944 /nfs/dbraw/zinc/93/19/44/1072931944.db2.gz GIQNWQAGBDJAMF-UHFFFAOYSA-N 0 0 436.538 -0.039 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN(CCN2CCOCC2)CC(=O)OC)ccc1C ZINC000533297547 1072932588 /nfs/dbraw/zinc/93/25/88/1072932588.db2.gz UAYZAPXGRJVGKV-UHFFFAOYSA-N 0 0 442.538 -0.351 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000533297780 1072932158 /nfs/dbraw/zinc/93/21/58/1072932158.db2.gz BXUDIVPOAJMDEW-UHFFFAOYSA-N 0 0 431.536 -0.234 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000533298390 1072932624 /nfs/dbraw/zinc/93/26/24/1072932624.db2.gz YFSNPWUNCGTVNC-KRWDZBQOSA-N 0 0 441.529 -0.468 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000533298391 1072932678 /nfs/dbraw/zinc/93/26/78/1072932678.db2.gz YFSNPWUNCGTVNC-QGZVFWFLSA-N 0 0 441.529 -0.468 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000533299678 1072932514 /nfs/dbraw/zinc/93/25/14/1072932514.db2.gz JOYMGWNBUOWUDK-UHFFFAOYSA-N 0 0 433.527 -0.221 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000533299898 1072932740 /nfs/dbraw/zinc/93/27/40/1072932740.db2.gz PPOZATGPXLKVQB-UHFFFAOYSA-N 0 0 431.536 -0.234 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCCS(=O)(=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000533299967 1072932652 /nfs/dbraw/zinc/93/26/52/1072932652.db2.gz UEQOAFQGXMKDND-UHFFFAOYSA-N 0 0 434.518 -0.036 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000533304391 1072932602 /nfs/dbraw/zinc/93/26/02/1072932602.db2.gz PCCPSKLFFWPORC-GDBMZVCRSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000533304392 1072932527 /nfs/dbraw/zinc/93/25/27/1072932527.db2.gz PCCPSKLFFWPORC-GOEBONIOSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000533304393 1072932610 /nfs/dbraw/zinc/93/26/10/1072932610.db2.gz PCCPSKLFFWPORC-HOCLYGCPSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000533304394 1072932491 /nfs/dbraw/zinc/93/24/91/1072932491.db2.gz PCCPSKLFFWPORC-ZBFHGGJFSA-N 0 0 443.497 -0.079 20 0 IBADRN O=C(CN1CCC[C@@H](C(=O)N2CCCC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000533304877 1072932783 /nfs/dbraw/zinc/93/27/83/1072932783.db2.gz PKXLDERNXXSVAJ-MSOLQXFVSA-N 0 0 426.583 -0.348 20 0 IBADRN O=C(CN1CCC[C@@H](C(=O)N2CCCC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533304878 1072932746 /nfs/dbraw/zinc/93/27/46/1072932746.db2.gz PKXLDERNXXSVAJ-QZTJIDSGSA-N 0 0 426.583 -0.348 20 0 IBADRN O=C(CN1CCC[C@H](C(=O)N2CCCC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000533304879 1072932554 /nfs/dbraw/zinc/93/25/54/1072932554.db2.gz PKXLDERNXXSVAJ-ROUUACIJSA-N 0 0 426.583 -0.348 20 0 IBADRN O=C(CN1CCC[C@H](C(=O)N2CCCC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533304880 1072932763 /nfs/dbraw/zinc/93/27/63/1072932763.db2.gz PKXLDERNXXSVAJ-ZWKOTPCHSA-N 0 0 426.583 -0.348 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000533312781 1072932142 /nfs/dbraw/zinc/93/21/42/1072932142.db2.gz NPGZWORMSBSACC-UHFFFAOYSA-N 0 0 443.507 -0.861 20 0 IBADRN Cc1cc(C(=O)NCCNS(=O)(=O)c2cccnc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000533313734 1072932203 /nfs/dbraw/zinc/93/22/03/1072932203.db2.gz GSIIFNXGBIDCQM-UHFFFAOYSA-N 0 0 432.462 -0.956 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000533315845 1073334639 /nfs/dbraw/zinc/33/46/39/1073334639.db2.gz IDJIFHAIVBZQNT-MSOLQXFVSA-N 0 0 434.541 -0.087 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000533315846 1073334396 /nfs/dbraw/zinc/33/43/96/1073334396.db2.gz IDJIFHAIVBZQNT-QZTJIDSGSA-N 0 0 434.541 -0.087 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000533315847 1073334546 /nfs/dbraw/zinc/33/45/46/1073334546.db2.gz IDJIFHAIVBZQNT-ROUUACIJSA-N 0 0 434.541 -0.087 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000533315848 1073334623 /nfs/dbraw/zinc/33/46/23/1073334623.db2.gz IDJIFHAIVBZQNT-ZWKOTPCHSA-N 0 0 434.541 -0.087 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000533316452 1072933663 /nfs/dbraw/zinc/93/36/63/1072933663.db2.gz PXELNBJFLAFAED-AWEZNQCLSA-N 0 0 440.478 -0.798 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000533316453 1072933717 /nfs/dbraw/zinc/93/37/17/1072933717.db2.gz PXELNBJFLAFAED-CQSZACIVSA-N 0 0 440.478 -0.798 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cnn(Cc2ccncc2)c1 ZINC000533317350 1072933739 /nfs/dbraw/zinc/93/37/39/1072933739.db2.gz DLEIVCYSXFCPTQ-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n(C2CCOCC2)n1 ZINC000533317676 1072933862 /nfs/dbraw/zinc/93/38/62/1072933862.db2.gz SVYNSOVIRYAKDT-UHFFFAOYSA-N 0 0 445.567 -0.024 20 0 IBADRN O=C(NCCN1C(=O)Cc2ccccc21)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000533318569 1072933872 /nfs/dbraw/zinc/93/38/72/1072933872.db2.gz RHTAMNDMYJVSHP-UHFFFAOYSA-N 0 0 426.477 -0.141 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)cn1 ZINC000533319719 1072932537 /nfs/dbraw/zinc/93/25/37/1072932537.db2.gz LBKGELAICRZCTA-UHFFFAOYSA-N 0 0 447.540 -0.593 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)cn1 ZINC000533319806 1072933796 /nfs/dbraw/zinc/93/37/96/1072933796.db2.gz SUPCSTDFUVWBBH-UHFFFAOYSA-N 0 0 434.403 -0.170 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)cn1 ZINC000533320686 1074363180 /nfs/dbraw/zinc/36/31/80/1074363180.db2.gz OBYPQMMMKJWYPI-UHFFFAOYSA-N 0 0 430.513 -0.768 20 0 IBADRN O=C(NCCNC(=O)c1ccc(F)cc1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000533320739 1072933165 /nfs/dbraw/zinc/93/31/65/1072933165.db2.gz QVLGMISKXWHSMN-UHFFFAOYSA-N 0 0 432.456 -0.161 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)no1 ZINC000533320829 1072932685 /nfs/dbraw/zinc/93/26/85/1072932685.db2.gz WOCZWAVKXBCZGT-UHFFFAOYSA-N 0 0 431.497 -0.205 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H](C)[C@H]2CN(Cc3ccccc3)CCO2)cn1 ZINC000533321751 1072933413 /nfs/dbraw/zinc/93/34/13/1072933413.db2.gz QMPPKABLWTXUSD-CRAIPNDOSA-N 0 0 428.493 -0.027 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H](C)[C@H]2CN(Cc3ccccc3)CCO2)cn1 ZINC000533321752 1072933348 /nfs/dbraw/zinc/93/33/48/1072933348.db2.gz QMPPKABLWTXUSD-MAUKXSAKSA-N 0 0 428.493 -0.027 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)cn1 ZINC000533321753 1072933395 /nfs/dbraw/zinc/93/33/95/1072933395.db2.gz QMPPKABLWTXUSD-QAPCUYQASA-N 0 0 428.493 -0.027 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)cn1 ZINC000533321754 1072933444 /nfs/dbraw/zinc/93/34/44/1072933444.db2.gz QMPPKABLWTXUSD-YJBOKZPZSA-N 0 0 428.493 -0.027 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c2ccccc2O1 ZINC000533321939 1072933336 /nfs/dbraw/zinc/93/33/36/1072933336.db2.gz WKXGCXQBFUWACK-INIZCTEOSA-N 0 0 448.457 -0.557 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c2ccccc2O1 ZINC000533321940 1072933387 /nfs/dbraw/zinc/93/33/87/1072933387.db2.gz WKXGCXQBFUWACK-MRXNPFEDSA-N 0 0 448.457 -0.557 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000533322443 1072933303 /nfs/dbraw/zinc/93/33/03/1072933303.db2.gz RQIMTUMWVKHSFU-UHFFFAOYSA-N 0 0 435.462 -0.631 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000533322500 1072933423 /nfs/dbraw/zinc/93/34/23/1072933423.db2.gz WQXOGSVTVDFKRC-UHFFFAOYSA-N 0 0 449.489 -0.418 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000533322661 1072933269 /nfs/dbraw/zinc/93/32/69/1072933269.db2.gz QZAMEMNDQLNLTE-KRWDZBQOSA-N 0 0 446.504 -0.082 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000533322662 1072933455 /nfs/dbraw/zinc/93/34/55/1072933455.db2.gz QZAMEMNDQLNLTE-QGZVFWFLSA-N 0 0 446.504 -0.082 20 0 IBADRN Cn1ccnc1-c1csc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)n1 ZINC000533322735 1072933210 /nfs/dbraw/zinc/93/32/10/1072933210.db2.gz DIVSDEITWJRZTG-UHFFFAOYSA-N 0 0 435.491 -0.028 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1-n1cnnn1 ZINC000533323603 1072933191 /nfs/dbraw/zinc/93/31/91/1072933191.db2.gz VVRKTCYXBGQTOO-UHFFFAOYSA-N 0 0 430.450 -0.601 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc(N2CCNC2=O)cc1 ZINC000533324144 1072933437 /nfs/dbraw/zinc/93/34/37/1072933437.db2.gz NOGVNPKFYGIPCP-UHFFFAOYSA-N 0 0 432.462 -0.356 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cccc(Cn2cncn2)c1 ZINC000533324539 1072933428 /nfs/dbraw/zinc/93/34/28/1072933428.db2.gz QTIBZPHNVZIYST-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc(Cn2cncn2)cc1 ZINC000533324586 1072933699 /nfs/dbraw/zinc/93/36/99/1072933699.db2.gz URYXFFJUKAIWIL-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000533324918 1072933378 /nfs/dbraw/zinc/93/33/78/1072933378.db2.gz CGJNANUEUYVYHP-UHFFFAOYSA-N 0 0 435.462 -0.517 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000533325147 1072933321 /nfs/dbraw/zinc/93/33/21/1072933321.db2.gz YFVOTJYMWIKOGC-LLVKDONJSA-N 0 0 441.432 -0.011 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000533325149 1073326875 /nfs/dbraw/zinc/32/68/75/1073326875.db2.gz YFVOTJYMWIKOGC-NSHDSACASA-N 0 0 441.432 -0.011 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000533325982 1072933889 /nfs/dbraw/zinc/93/38/89/1072933889.db2.gz UTXIRDJFOPPXTH-UHFFFAOYSA-N 0 0 449.489 -0.175 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCNS(=O)(=O)c1cccnc1 ZINC000533326842 1072933766 /nfs/dbraw/zinc/93/37/66/1072933766.db2.gz LTKFNIGSNVIXFG-KRWDZBQOSA-N 0 0 439.538 -0.811 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCNS(=O)(=O)c1cccnc1 ZINC000533326843 1072933839 /nfs/dbraw/zinc/93/38/39/1072933839.db2.gz LTKFNIGSNVIXFG-QGZVFWFLSA-N 0 0 439.538 -0.811 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)NCCNS(=O)(=O)c1cccnc1 ZINC000533327132 1072933817 /nfs/dbraw/zinc/93/38/17/1072933817.db2.gz VLDNEEZGZQLIQU-UHFFFAOYSA-N 0 0 440.503 -0.515 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000533341012 1072933894 /nfs/dbraw/zinc/93/38/94/1072933894.db2.gz WHWCXJOKSBAZJR-INIZCTEOSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000533341013 1072933828 /nfs/dbraw/zinc/93/38/28/1072933828.db2.gz WHWCXJOKSBAZJR-MRXNPFEDSA-N 0 0 439.490 -0.162 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCCC[C@H]2C(=O)NC)c(=O)[nH]c1=O ZINC000533342155 1072933883 /nfs/dbraw/zinc/93/38/83/1072933883.db2.gz XHCFAQSMOPBDDK-AWEZNQCLSA-N 0 0 438.529 -0.089 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCCC[C@@H]2C(=O)NC)c(=O)[nH]c1=O ZINC000533342156 1072934244 /nfs/dbraw/zinc/93/42/44/1072934244.db2.gz XHCFAQSMOPBDDK-CQSZACIVSA-N 0 0 438.529 -0.089 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2cccnc2)CC1)c1ccccc1 ZINC000533343817 1072934352 /nfs/dbraw/zinc/93/43/52/1072934352.db2.gz PAEVRKBWLDUETP-IBGZPJMESA-N 0 0 431.518 -0.009 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1CCN(S(=O)(=O)c2cccnc2)CC1)c1ccccc1 ZINC000533343818 1072934339 /nfs/dbraw/zinc/93/43/39/1072934339.db2.gz PAEVRKBWLDUETP-LJQANCHMSA-N 0 0 431.518 -0.009 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC([C@@H](O)C(F)(F)F)CC2)CC1)N1CCOCC1 ZINC000533346689 1073217992 /nfs/dbraw/zinc/21/79/92/1073217992.db2.gz MZVXKINPNJIBLT-GOSISDBHSA-N 0 0 436.475 -0.375 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC([C@H](O)C(F)(F)F)CC2)CC1)N1CCOCC1 ZINC000533346690 1073378382 /nfs/dbraw/zinc/37/83/82/1073378382.db2.gz MZVXKINPNJIBLT-SFHVURJKSA-N 0 0 436.475 -0.375 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC(N(C)S(C)(=O)=O)CC1 ZINC000533350494 1072934740 /nfs/dbraw/zinc/93/47/40/1072934740.db2.gz UPXGXRINRRBRKU-CYBMUJFWSA-N 0 0 444.579 -0.033 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC(N(C)S(C)(=O)=O)CC1 ZINC000533350495 1072934668 /nfs/dbraw/zinc/93/46/68/1072934668.db2.gz UPXGXRINRRBRKU-ZDUSSCGKSA-N 0 0 444.579 -0.033 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(=O)OC ZINC000533351821 1072934701 /nfs/dbraw/zinc/93/47/01/1072934701.db2.gz OZQIRUXZGNHQOX-BLLLJJGKSA-N 0 0 444.510 -0.611 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(=O)OC ZINC000533351822 1072934832 /nfs/dbraw/zinc/93/48/32/1072934832.db2.gz OZQIRUXZGNHQOX-LRDDRELGSA-N 0 0 444.510 -0.611 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(=O)OC ZINC000533351823 1072934687 /nfs/dbraw/zinc/93/46/87/1072934687.db2.gz OZQIRUXZGNHQOX-MLGOLLRUSA-N 0 0 444.510 -0.611 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(=O)OC ZINC000533351824 1072934712 /nfs/dbraw/zinc/93/47/12/1072934712.db2.gz OZQIRUXZGNHQOX-WBMJQRKESA-N 0 0 444.510 -0.611 20 0 IBADRN COCCn1c(N)c(C(=O)CN2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)c(=O)[nH]c1=O ZINC000533351861 1072934844 /nfs/dbraw/zinc/93/48/44/1072934844.db2.gz UUVVTLSVUAQSJG-JTQLQIEISA-N 0 0 437.478 -0.541 20 0 IBADRN COCCn1c(N)c(C(=O)CN2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)c(=O)[nH]c1=O ZINC000533351862 1072934786 /nfs/dbraw/zinc/93/47/86/1072934786.db2.gz UUVVTLSVUAQSJG-SNVBAGLBSA-N 0 0 437.478 -0.541 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(c3ccc(C(N)=O)cn3)CC2)c(=O)[nH]c1=O ZINC000533352089 1072934804 /nfs/dbraw/zinc/93/48/04/1072934804.db2.gz DPPJZPAZOWHKFQ-UHFFFAOYSA-N 0 0 429.481 -0.314 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000533352381 1072935279 /nfs/dbraw/zinc/93/52/79/1072935279.db2.gz DTQKLZBDDBFLDN-CYBMUJFWSA-N 0 0 432.506 -0.023 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000533352382 1072935288 /nfs/dbraw/zinc/93/52/88/1072935288.db2.gz DTQKLZBDDBFLDN-ZDUSSCGKSA-N 0 0 432.506 -0.023 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000533352980 1072935362 /nfs/dbraw/zinc/93/53/62/1072935362.db2.gz XOBIBABEUDPFEP-AWEZNQCLSA-N 0 0 427.527 -0.763 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000533352981 1072935333 /nfs/dbraw/zinc/93/53/33/1072935333.db2.gz XOBIBABEUDPFEP-CQSZACIVSA-N 0 0 427.527 -0.763 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)Nc3ccncc3)CC2)cc1 ZINC000533353413 1072935271 /nfs/dbraw/zinc/93/52/71/1072935271.db2.gz DQAINDRTELOBJY-UHFFFAOYSA-N 0 0 439.519 -0.904 20 0 IBADRN Cn1cccc1C(=O)CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533353427 1072935314 /nfs/dbraw/zinc/93/53/14/1072935314.db2.gz FUOQFUMNWWHRLS-UHFFFAOYSA-N 0 0 426.520 -0.138 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCc2cc(S(N)(=O)=O)ccc21)S(C)(=O)=O ZINC000533353690 1072935383 /nfs/dbraw/zinc/93/53/83/1072935383.db2.gz NOVVPLWMEWLHCV-UHFFFAOYSA-N 0 0 432.568 -0.127 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3cc(=O)c(O)co3)CC2)cc1 ZINC000533353785 1072935389 /nfs/dbraw/zinc/93/53/89/1072935389.db2.gz AVEJTPSLDSWFDA-UHFFFAOYSA-N 0 0 429.476 -0.501 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@H](N3CCNC3=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000533355638 1072935440 /nfs/dbraw/zinc/93/54/40/1072935440.db2.gz AVPLOLVMJUXQDU-INIZCTEOSA-N 0 0 440.504 -0.150 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@H](N3CCNC3=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000533355639 1072935413 /nfs/dbraw/zinc/93/54/13/1072935413.db2.gz AVPLOLVMJUXQDU-MRXNPFEDSA-N 0 0 440.504 -0.150 20 0 IBADRN CCCCn1c(N)c(N(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC(C)C)c(=O)[nH]c1=O ZINC000533356578 1072935304 /nfs/dbraw/zinc/93/53/04/1072935304.db2.gz SJCUPFYCUWQRHT-UHFFFAOYSA-N 0 0 449.512 -0.344 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)CN(CCO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533356711 1072935454 /nfs/dbraw/zinc/93/54/54/1072935454.db2.gz IYDRELPIPQEEAY-UHFFFAOYSA-N 0 0 441.492 -0.230 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000533356830 1072935324 /nfs/dbraw/zinc/93/53/24/1072935324.db2.gz IFAJCNYAUONKQY-CYBMUJFWSA-N 0 0 432.506 -0.023 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000533356831 1072935318 /nfs/dbraw/zinc/93/53/18/1072935318.db2.gz IFAJCNYAUONKQY-ZDUSSCGKSA-N 0 0 432.506 -0.023 20 0 IBADRN NC(=O)c1ccc(N2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)nc1 ZINC000533356862 1072935292 /nfs/dbraw/zinc/93/52/92/1072935292.db2.gz MKNXRTJLYNPBCW-UHFFFAOYSA-N 0 0 446.533 -0.691 20 0 IBADRN CN(CC(=O)NC(=O)Nc1ccc2c(c1)OCO2)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533356953 1072935377 /nfs/dbraw/zinc/93/53/77/1072935377.db2.gz YHVSIBBLNLXSPR-GFCCVEGCSA-N 0 0 426.451 -0.702 20 0 IBADRN CN(CC(=O)NC(=O)Nc1ccc2c(c1)OCO2)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533356954 1072935351 /nfs/dbraw/zinc/93/53/51/1072935351.db2.gz YHVSIBBLNLXSPR-LBPRGKRZSA-N 0 0 426.451 -0.702 20 0 IBADRN CC(C)CNC(=O)NC(=O)CN(CCO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533357038 1072935432 /nfs/dbraw/zinc/93/54/32/1072935432.db2.gz LOVFJLKXQVRBDA-UHFFFAOYSA-N 0 0 432.481 -0.140 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCC(F)(F)F)CC2)o1 ZINC000533357584 1072935738 /nfs/dbraw/zinc/93/57/38/1072935738.db2.gz XQYNRTMEVYPIAC-UHFFFAOYSA-N 0 0 441.388 -0.927 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000533357639 1072935919 /nfs/dbraw/zinc/93/59/19/1072935919.db2.gz GHXSTSQGMFFFRN-UHFFFAOYSA-N 0 0 426.477 -0.517 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000533357836 1072935799 /nfs/dbraw/zinc/93/57/99/1072935799.db2.gz HVGWXDLFAPYRCP-AWEZNQCLSA-N 0 0 442.563 -0.732 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000533357837 1072935664 /nfs/dbraw/zinc/93/56/64/1072935664.db2.gz HVGWXDLFAPYRCP-CQSZACIVSA-N 0 0 442.563 -0.732 20 0 IBADRN COCCn1c(N)c(N(CC(=O)N[C@@H](C)C(=O)N(C)C)Cc2ccccc2)c(=O)[nH]c1=O ZINC000533357992 1072934199 /nfs/dbraw/zinc/93/41/99/1072934199.db2.gz DFFGHNMONQNCQB-AWEZNQCLSA-N 0 0 446.508 -0.223 20 0 IBADRN COCCn1c(N)c(N(CC(=O)N[C@H](C)C(=O)N(C)C)Cc2ccccc2)c(=O)[nH]c1=O ZINC000533357993 1072934368 /nfs/dbraw/zinc/93/43/68/1072934368.db2.gz DFFGHNMONQNCQB-CQSZACIVSA-N 0 0 446.508 -0.223 20 0 IBADRN CN(CC(=O)NCC(=O)Nc1ccc(F)c(F)c1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533358013 1072934289 /nfs/dbraw/zinc/93/42/89/1072934289.db2.gz GBOJEQPQYQDKBI-GFCCVEGCSA-N 0 0 432.449 -0.745 20 0 IBADRN CN(CC(=O)NCC(=O)Nc1ccc(F)c(F)c1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533358014 1072934278 /nfs/dbraw/zinc/93/42/78/1072934278.db2.gz GBOJEQPQYQDKBI-LBPRGKRZSA-N 0 0 432.449 -0.745 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@@H](O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533358075 1072935899 /nfs/dbraw/zinc/93/58/99/1072935899.db2.gz MXSUKYOIHQHMRH-CVEARBPZSA-N 0 0 428.507 -0.127 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@@H](O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533358076 1072935930 /nfs/dbraw/zinc/93/59/30/1072935930.db2.gz MXSUKYOIHQHMRH-HOTGVXAUSA-N 0 0 428.507 -0.127 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533358077 1072935657 /nfs/dbraw/zinc/93/56/57/1072935657.db2.gz MXSUKYOIHQHMRH-HZPDHXFCSA-N 0 0 428.507 -0.127 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533358078 1072935688 /nfs/dbraw/zinc/93/56/88/1072935688.db2.gz MXSUKYOIHQHMRH-JKSUJKDBSA-N 0 0 428.507 -0.127 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CCN3C(=O)NC4(CCCC4)C3=O)CC2)o1 ZINC000533358182 1072934227 /nfs/dbraw/zinc/93/42/27/1072934227.db2.gz FYXKSPOACHSPGZ-UHFFFAOYSA-N 0 0 439.494 -0.451 20 0 IBADRN CN(CC(=O)NCCOc1ccc2c(c1)OCO2)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533358290 1072935718 /nfs/dbraw/zinc/93/57/18/1072935718.db2.gz VIYWIKSZHMULNW-CYBMUJFWSA-N 0 0 427.479 -0.855 20 0 IBADRN CN(CC(=O)NCCOc1ccc2c(c1)OCO2)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533358291 1072935910 /nfs/dbraw/zinc/93/59/10/1072935910.db2.gz VIYWIKSZHMULNW-ZDUSSCGKSA-N 0 0 427.479 -0.855 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000533358304 1072935708 /nfs/dbraw/zinc/93/57/08/1072935708.db2.gz XQNPIHHTXBWGBP-LLVKDONJSA-N 0 0 445.494 -0.333 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000533358305 1072935697 /nfs/dbraw/zinc/93/56/97/1072935697.db2.gz XQNPIHHTXBWGBP-NSHDSACASA-N 0 0 445.494 -0.333 20 0 IBADRN CC(C)CCOCCNC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000533358327 1072934255 /nfs/dbraw/zinc/93/42/55/1072934255.db2.gz AMZGZORJCPOJTD-UHFFFAOYSA-N 0 0 430.527 -0.136 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000533358556 1072934389 /nfs/dbraw/zinc/93/43/89/1072934389.db2.gz ZMYSHKJBKJIMQO-CYBMUJFWSA-N 0 0 430.552 -0.516 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1 ZINC000533358557 1072934301 /nfs/dbraw/zinc/93/43/01/1072934301.db2.gz ZMYSHKJBKJIMQO-ZDUSSCGKSA-N 0 0 430.552 -0.516 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000533358562 1072934182 /nfs/dbraw/zinc/93/41/82/1072934182.db2.gz ZXAAXCNTLGOTPP-HNNXBMFYSA-N 0 0 426.514 -0.291 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(c2ccccc2F)CC1 ZINC000533358563 1072934329 /nfs/dbraw/zinc/93/43/29/1072934329.db2.gz ZXAAXCNTLGOTPP-OAHLLOKOSA-N 0 0 426.514 -0.291 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000533358611 1072934763 /nfs/dbraw/zinc/93/47/63/1072934763.db2.gz FRLWYIHATXTGEL-INIZCTEOSA-N 0 0 428.580 -0.373 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(Cc2ccsc2)CC1 ZINC000533358612 1072934815 /nfs/dbraw/zinc/93/48/15/1072934815.db2.gz FRLWYIHATXTGEL-MRXNPFEDSA-N 0 0 428.580 -0.373 20 0 IBADRN COc1ccc(CCNC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000533358661 1072934235 /nfs/dbraw/zinc/93/42/35/1072934235.db2.gz JSPGLBSSKYKESW-HNNXBMFYSA-N 0 0 427.523 -0.402 20 0 IBADRN COc1ccc(CCNC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000533358662 1072934269 /nfs/dbraw/zinc/93/42/69/1072934269.db2.gz JSPGLBSSKYKESW-OAHLLOKOSA-N 0 0 427.523 -0.402 20 0 IBADRN NC(=O)c1ccc(N2CCN(CC(=O)N3CCN(C(=O)c4ccco4)CC3)CC2)nc1 ZINC000533358676 1072934169 /nfs/dbraw/zinc/93/41/69/1072934169.db2.gz LQNKGAXMMLILSG-UHFFFAOYSA-N 0 0 426.477 -0.120 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CC(=O)N2CCNC2=O)CC1 ZINC000533358759 1072934375 /nfs/dbraw/zinc/93/43/75/1072934375.db2.gz USIZYVRKMOMDOD-UHFFFAOYSA-N 0 0 428.467 -0.459 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000533359535 1072934856 /nfs/dbraw/zinc/93/48/56/1072934856.db2.gz HECJPOHJRVDXRY-UHFFFAOYSA-N 0 0 426.477 -0.517 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(c3ncccc3C(N)=O)CC2)c(=O)[nH]c1=O ZINC000533359686 1072934758 /nfs/dbraw/zinc/93/47/58/1072934758.db2.gz DPSLYJIWAFAHBG-UHFFFAOYSA-N 0 0 429.481 -0.314 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CN2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000533359706 1072934798 /nfs/dbraw/zinc/93/47/98/1072934798.db2.gz KDTODYLYTGLZTA-UHFFFAOYSA-N 0 0 442.505 -0.118 20 0 IBADRN NC(=O)c1cccnc1N1CCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000533359747 1072934873 /nfs/dbraw/zinc/93/48/73/1072934873.db2.gz VDGAJRMUCTYGQO-UHFFFAOYSA-N 0 0 426.477 -0.120 20 0 IBADRN Cc1c(C(=O)NCCNS(=O)(=O)c2cccnc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000533363357 1072934724 /nfs/dbraw/zinc/93/47/24/1072934724.db2.gz DTHVNBFFRURSCU-UHFFFAOYSA-N 0 0 432.462 -0.956 20 0 IBADRN COC(=O)CN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000533372066 1072939094 /nfs/dbraw/zinc/93/90/94/1072939094.db2.gz YSNJVUNKTXBZCL-UHFFFAOYSA-N 0 0 441.506 -0.691 20 0 IBADRN CCCNC(=O)NC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533377085 1072935677 /nfs/dbraw/zinc/93/56/77/1072935677.db2.gz CMXXJKLHLWFCEP-UHFFFAOYSA-N 0 0 439.494 -0.440 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533377135 1072935843 /nfs/dbraw/zinc/93/58/43/1072935843.db2.gz KIDVXYJIPDPPPC-DLBZAZTESA-N 0 0 426.525 -0.108 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533377136 1072935869 /nfs/dbraw/zinc/93/58/69/1072935869.db2.gz KIDVXYJIPDPPPC-IAGOWNOFSA-N 0 0 426.525 -0.108 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533377137 1072936394 /nfs/dbraw/zinc/93/63/94/1072936394.db2.gz KIDVXYJIPDPPPC-IRXDYDNUSA-N 0 0 426.525 -0.108 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000533377138 1072936325 /nfs/dbraw/zinc/93/63/25/1072936325.db2.gz KIDVXYJIPDPPPC-SJORKVTESA-N 0 0 426.525 -0.108 20 0 IBADRN O=C(CN1CCN(Cc2nnnn2-c2ccccc2)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC000533377247 1072935888 /nfs/dbraw/zinc/93/58/88/1072935888.db2.gz INBFOLPBPGFHJY-UHFFFAOYSA-N 0 0 438.536 -0.139 20 0 IBADRN COC(=O)CNC(=O)CCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533377274 1072935815 /nfs/dbraw/zinc/93/58/15/1072935815.db2.gz OYORVPLSKNWXHY-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN COCCCNC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533377812 1072936265 /nfs/dbraw/zinc/93/62/65/1072936265.db2.gz SHTZSYKLPBGWFZ-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533377896 1072936271 /nfs/dbraw/zinc/93/62/71/1072936271.db2.gz FTWSNHICHZKMKZ-LLVKDONJSA-N 0 0 425.467 -0.832 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533377897 1072936206 /nfs/dbraw/zinc/93/62/06/1072936206.db2.gz FTWSNHICHZKMKZ-NSHDSACASA-N 0 0 425.467 -0.832 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)Nc1nncs1 ZINC000533377969 1072936156 /nfs/dbraw/zinc/93/61/56/1072936156.db2.gz LZOPQNVICGNYOO-UHFFFAOYSA-N 0 0 438.491 -0.186 20 0 IBADRN CN(C)S(=O)(=O)CCCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533378006 1070819820 /nfs/dbraw/zinc/81/98/20/1070819820.db2.gz PNMYSTMMDLOFQG-UHFFFAOYSA-N 0 0 446.551 -0.395 20 0 IBADRN COC(=O)CCNC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533378065 1072936384 /nfs/dbraw/zinc/93/63/84/1072936384.db2.gz XAXAXPSTOUSAQP-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCCc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000533378140 1072936245 /nfs/dbraw/zinc/93/62/45/1072936245.db2.gz BAVFRVZHLHBPBO-GOSISDBHSA-N 0 0 434.562 -0.113 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCCc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000533378148 1072936290 /nfs/dbraw/zinc/93/62/90/1072936290.db2.gz BAVFRVZHLHBPBO-SFHVURJKSA-N 0 0 434.562 -0.113 20 0 IBADRN CC1CCC(N(C)C(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000533378209 1072936277 /nfs/dbraw/zinc/93/62/77/1072936277.db2.gz CKEVHTDNZDTNGI-ADKAHSJRSA-N 0 0 428.599 -0.056 20 0 IBADRN CC1CCC(N(C)C(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000533378219 1072936407 /nfs/dbraw/zinc/93/64/07/1072936407.db2.gz CKEVHTDNZDTNGI-LXPRWKDFSA-N 0 0 428.599 -0.056 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCCC1CCCCC1 ZINC000533378306 1072936345 /nfs/dbraw/zinc/93/63/45/1072936345.db2.gz FWRZJZUJWDDNQC-GOSISDBHSA-N 0 0 428.599 -0.006 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCCC1CCCCC1 ZINC000533378311 1072936221 /nfs/dbraw/zinc/93/62/21/1072936221.db2.gz FWRZJZUJWDDNQC-SFHVURJKSA-N 0 0 428.599 -0.006 20 0 IBADRN Cn1c2ncn(CCN3CCN(Cc4noc(C(C)(C)C)n4)CC3)c2c(=O)n(C)c1=O ZINC000533378375 1072936414 /nfs/dbraw/zinc/93/64/14/1072936414.db2.gz IJXBNJRUMPBADB-UHFFFAOYSA-N 0 0 430.513 -0.068 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(Cc2cccs2)CC1 ZINC000533379302 1072936170 /nfs/dbraw/zinc/93/61/70/1072936170.db2.gz BEZAAEONVDEBAC-MSOLQXFVSA-N 0 0 442.607 -0.520 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(Cc2cccs2)CC1 ZINC000533379303 1072936356 /nfs/dbraw/zinc/93/63/56/1072936356.db2.gz BEZAAEONVDEBAC-QZTJIDSGSA-N 0 0 442.607 -0.520 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(Cc2cccs2)CC1 ZINC000533379304 1072936302 /nfs/dbraw/zinc/93/63/02/1072936302.db2.gz BEZAAEONVDEBAC-ROUUACIJSA-N 0 0 442.607 -0.520 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(Cc2cccs2)CC1 ZINC000533379305 1072936194 /nfs/dbraw/zinc/93/61/94/1072936194.db2.gz BEZAAEONVDEBAC-ZWKOTPCHSA-N 0 0 442.607 -0.520 20 0 IBADRN O=C(CN1CCN([C@@H](C(=O)NC2CC2)c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000533379374 1072937254 /nfs/dbraw/zinc/93/72/54/1072937254.db2.gz GWOAQSJLOAXVGZ-AZUAARDMSA-N 0 0 434.562 -0.073 20 0 IBADRN O=C(CN1CCN([C@H](C(=O)NC2CC2)c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000533379375 1072937294 /nfs/dbraw/zinc/93/72/94/1072937294.db2.gz GWOAQSJLOAXVGZ-ICSRJNTNSA-N 0 0 434.562 -0.073 20 0 IBADRN O=C(CN1CCN([C@H](C(=O)NC2CC2)c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000533379376 1072937273 /nfs/dbraw/zinc/93/72/73/1072937273.db2.gz GWOAQSJLOAXVGZ-QUCCMNQESA-N 0 0 434.562 -0.073 20 0 IBADRN O=C(CN1CCN([C@@H](C(=O)NC2CC2)c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000533379377 1072937280 /nfs/dbraw/zinc/93/72/80/1072937280.db2.gz GWOAQSJLOAXVGZ-UYAOXDASSA-N 0 0 434.562 -0.073 20 0 IBADRN Cc1ccccc1CCNC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533379457 1072937246 /nfs/dbraw/zinc/93/72/46/1072937246.db2.gz LGQITLRZMAIXKX-IBGZPJMESA-N 0 0 436.578 -0.425 20 0 IBADRN Cc1ccccc1CCNC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533379458 1072937418 /nfs/dbraw/zinc/93/74/18/1072937418.db2.gz LGQITLRZMAIXKX-LJQANCHMSA-N 0 0 436.578 -0.425 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCOc1ccc(Cl)cc1 ZINC000533379634 1072937346 /nfs/dbraw/zinc/93/73/46/1072937346.db2.gz XDGKNSZGCBCBLA-DLBZAZTESA-N 0 0 431.942 -0.078 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCCOc1ccc(Cl)cc1 ZINC000533379635 1072937327 /nfs/dbraw/zinc/93/73/27/1072937327.db2.gz XDGKNSZGCBCBLA-IAGOWNOFSA-N 0 0 431.942 -0.078 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCOc1ccc(Cl)cc1 ZINC000533379636 1072937394 /nfs/dbraw/zinc/93/73/94/1072937394.db2.gz XDGKNSZGCBCBLA-IRXDYDNUSA-N 0 0 431.942 -0.078 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCCOc1ccc(Cl)cc1 ZINC000533379637 1072937423 /nfs/dbraw/zinc/93/74/23/1072937423.db2.gz XDGKNSZGCBCBLA-SJORKVTESA-N 0 0 431.942 -0.078 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NC(=O)NC1CCCCC1 ZINC000533379748 1072936943 /nfs/dbraw/zinc/93/69/43/1072936943.db2.gz DQNDLTVOXIWWIS-INIZCTEOSA-N 0 0 443.570 -0.934 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)NC1CCCCC1 ZINC000533379749 1072937283 /nfs/dbraw/zinc/93/72/83/1072937283.db2.gz DQNDLTVOXIWWIS-MRXNPFEDSA-N 0 0 443.570 -0.934 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NC(=O)Nc1ccccc1 ZINC000533379811 1072936806 /nfs/dbraw/zinc/93/68/06/1072936806.db2.gz BGVOYRZLKOKUAE-INIZCTEOSA-N 0 0 437.522 -0.744 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)Nc1ccccc1 ZINC000533379813 1070819903 /nfs/dbraw/zinc/81/99/03/1070819903.db2.gz BGVOYRZLKOKUAE-MRXNPFEDSA-N 0 0 437.522 -0.744 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCCOc1ccccc1 ZINC000533379855 1072936734 /nfs/dbraw/zinc/93/67/34/1072936734.db2.gz CGPBPOVHSZREQI-KRWDZBQOSA-N 0 0 438.550 -0.898 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCCOc1ccccc1 ZINC000533379856 1072937004 /nfs/dbraw/zinc/93/70/04/1072937004.db2.gz CGPBPOVHSZREQI-QGZVFWFLSA-N 0 0 438.550 -0.898 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(Cc3noc(C(C)(C)C)n3)CC2)c(=O)[nH]c1=O ZINC000533379945 1072936844 /nfs/dbraw/zinc/93/68/44/1072936844.db2.gz GTXOQSVYNNSGHW-UHFFFAOYSA-N 0 0 449.512 -0.142 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533379979 1072936777 /nfs/dbraw/zinc/93/67/77/1072936777.db2.gz IFSCPWHVSOSDCL-KBPBESRZSA-N 0 0 431.536 -0.049 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533379981 1072936926 /nfs/dbraw/zinc/93/69/26/1072936926.db2.gz IFSCPWHVSOSDCL-KGLIPLIRSA-N 0 0 431.536 -0.049 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533379982 1072936974 /nfs/dbraw/zinc/93/69/74/1072936974.db2.gz IFSCPWHVSOSDCL-UONOGXRCSA-N 0 0 431.536 -0.049 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533379983 1072936954 /nfs/dbraw/zinc/93/69/54/1072936954.db2.gz IFSCPWHVSOSDCL-ZIAGYGMSSA-N 0 0 431.536 -0.049 20 0 IBADRN COCCOc1cccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000533380228 1072936909 /nfs/dbraw/zinc/93/69/09/1072936909.db2.gz HNFTYDBBVVKBNP-MOPGFXCFSA-N 0 0 441.550 -0.585 20 0 IBADRN COCCOc1cccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000533380230 1070819659 /nfs/dbraw/zinc/81/96/59/1070819659.db2.gz HNFTYDBBVVKBNP-OALUTQOASA-N 0 0 441.550 -0.585 20 0 IBADRN COCCOc1cccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000533380232 1072937035 /nfs/dbraw/zinc/93/70/35/1072937035.db2.gz HNFTYDBBVVKBNP-RBUKOAKNSA-N 0 0 441.550 -0.585 20 0 IBADRN COCCOc1cccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000533380234 1072936880 /nfs/dbraw/zinc/93/68/80/1072936880.db2.gz HNFTYDBBVVKBNP-RTBURBONSA-N 0 0 441.550 -0.585 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000533380249 1072936822 /nfs/dbraw/zinc/93/68/22/1072936822.db2.gz LKBMCQXPNROOQJ-MOPGFXCFSA-N 0 0 425.551 -0.032 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000533380250 1072936760 /nfs/dbraw/zinc/93/67/60/1072936760.db2.gz LKBMCQXPNROOQJ-OALUTQOASA-N 0 0 425.551 -0.032 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000533380251 1072937021 /nfs/dbraw/zinc/93/70/21/1072937021.db2.gz LKBMCQXPNROOQJ-RBUKOAKNSA-N 0 0 425.551 -0.032 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000533380252 1072936854 /nfs/dbraw/zinc/93/68/54/1072936854.db2.gz LKBMCQXPNROOQJ-RTBURBONSA-N 0 0 425.551 -0.032 20 0 IBADRN C[C@@H](C(=O)NCc1ccccc1F)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533380323 1072936753 /nfs/dbraw/zinc/93/67/53/1072936753.db2.gz IIGWCUBSNQAZCO-DOTOQJQBSA-N 0 0 440.541 -0.249 20 0 IBADRN C[C@H](C(=O)NCc1ccccc1F)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533380324 1072936965 /nfs/dbraw/zinc/93/69/65/1072936965.db2.gz IIGWCUBSNQAZCO-NVXWUHKLSA-N 0 0 440.541 -0.249 20 0 IBADRN C[C@@H](C(=O)NCc1ccccc1F)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533380325 1072936994 /nfs/dbraw/zinc/93/69/94/1072936994.db2.gz IIGWCUBSNQAZCO-RDJZCZTQSA-N 0 0 440.541 -0.249 20 0 IBADRN C[C@H](C(=O)NCc1ccccc1F)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533380326 1072937013 /nfs/dbraw/zinc/93/70/13/1072937013.db2.gz IIGWCUBSNQAZCO-WBVHZDCISA-N 0 0 440.541 -0.249 20 0 IBADRN Cc1ccc(CNC(=O)[C@H](C)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533380467 1072937808 /nfs/dbraw/zinc/93/78/08/1072937808.db2.gz LNXIIXKMGZRLBD-HKUYNNGSSA-N 0 0 436.578 -0.079 20 0 IBADRN Cc1ccc(CNC(=O)[C@@H](C)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533380470 1072937917 /nfs/dbraw/zinc/93/79/17/1072937917.db2.gz LNXIIXKMGZRLBD-IEBWSBKVSA-N 0 0 436.578 -0.079 20 0 IBADRN Cc1ccc(CNC(=O)[C@@H](C)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533380471 1072938023 /nfs/dbraw/zinc/93/80/23/1072938023.db2.gz LNXIIXKMGZRLBD-MJGOQNOKSA-N 0 0 436.578 -0.079 20 0 IBADRN Cc1ccc(CNC(=O)[C@H](C)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533380473 1072937883 /nfs/dbraw/zinc/93/78/83/1072937883.db2.gz LNXIIXKMGZRLBD-PKOBYXMFSA-N 0 0 436.578 -0.079 20 0 IBADRN O=C(CN1CCN(CCC(=O)N2CCc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000533380478 1072937815 /nfs/dbraw/zinc/93/78/15/1072937815.db2.gz LQDJIIQELJQSNR-GOSISDBHSA-N 0 0 434.562 -0.113 20 0 IBADRN O=C(CN1CCN(CCC(=O)N2CCc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000533380480 1072937997 /nfs/dbraw/zinc/93/79/97/1072937997.db2.gz LQDJIIQELJQSNR-SFHVURJKSA-N 0 0 434.562 -0.113 20 0 IBADRN O=C(CCN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCC1CCCCC1 ZINC000533380749 1072938052 /nfs/dbraw/zinc/93/80/52/1072938052.db2.gz VYSFWXSREJOLGH-GOSISDBHSA-N 0 0 428.599 -0.006 20 0 IBADRN O=C(CCN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCC1CCCCC1 ZINC000533380750 1072937911 /nfs/dbraw/zinc/93/79/11/1072937911.db2.gz VYSFWXSREJOLGH-SFHVURJKSA-N 0 0 428.599 -0.006 20 0 IBADRN CN(CC1CCCCC1)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533380768 1070820686 /nfs/dbraw/zinc/82/06/86/1070820686.db2.gz WUOZQOOTNZSOQV-GOSISDBHSA-N 0 0 428.599 -0.054 20 0 IBADRN CN(CC1CCCCC1)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533380769 1072937885 /nfs/dbraw/zinc/93/78/85/1072937885.db2.gz WUOZQOOTNZSOQV-SFHVURJKSA-N 0 0 428.599 -0.054 20 0 IBADRN Cc1ccc(OC[C@@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533380876 1072937407 /nfs/dbraw/zinc/93/74/07/1072937407.db2.gz XSDHHTDQQIWPLL-MSOLQXFVSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1ccc(OC[C@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533380884 1072937902 /nfs/dbraw/zinc/93/79/02/1072937902.db2.gz XSDHHTDQQIWPLL-QZTJIDSGSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1ccc(OC[C@@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533380885 1072938041 /nfs/dbraw/zinc/93/80/41/1072938041.db2.gz XSDHHTDQQIWPLL-ROUUACIJSA-N 0 0 425.551 -0.344 20 0 IBADRN Cc1ccc(OC[C@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533380887 1072938007 /nfs/dbraw/zinc/93/80/07/1072938007.db2.gz XSDHHTDQQIWPLL-ZWKOTPCHSA-N 0 0 425.551 -0.344 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000533381264 1072937311 /nfs/dbraw/zinc/93/73/11/1072937311.db2.gz OJHODHURFODCSM-HZMVEIRTSA-N 0 0 439.534 -0.582 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000533381269 1072937220 /nfs/dbraw/zinc/93/72/20/1072937220.db2.gz OJHODHURFODCSM-MWDXBVQZSA-N 0 0 439.534 -0.582 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000533381270 1072937379 /nfs/dbraw/zinc/93/73/79/1072937379.db2.gz OJHODHURFODCSM-NXOAAHMSSA-N 0 0 439.534 -0.582 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000533381271 1072937361 /nfs/dbraw/zinc/93/73/61/1072937361.db2.gz OJHODHURFODCSM-YLFCFFPRSA-N 0 0 439.534 -0.582 20 0 IBADRN C[C@H](C(=O)NC1CCC(C)CC1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533381470 1072938540 /nfs/dbraw/zinc/93/85/40/1072938540.db2.gz UTXLJSZTXVRXNS-FPQWYMTMSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@H](C(=O)NC1CCC(C)CC1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533381471 1072938660 /nfs/dbraw/zinc/93/86/60/1072938660.db2.gz UTXLJSZTXVRXNS-GPQLOAJWSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@@H](C(=O)NC1CCC(C)CC1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533381472 1072938531 /nfs/dbraw/zinc/93/85/31/1072938531.db2.gz UTXLJSZTXVRXNS-IKJBURDNSA-N 0 0 428.599 -0.009 20 0 IBADRN C[C@@H](C(=O)NC1CCC(C)CC1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533381473 1072938453 /nfs/dbraw/zinc/93/84/53/1072938453.db2.gz UTXLJSZTXVRXNS-JYSRZZCRSA-N 0 0 428.599 -0.009 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000533381598 1072938562 /nfs/dbraw/zinc/93/85/62/1072938562.db2.gz YWEQPPNMCFMSCM-CVEARBPZSA-N 0 0 431.536 -0.884 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000533381601 1072938423 /nfs/dbraw/zinc/93/84/23/1072938423.db2.gz YWEQPPNMCFMSCM-HOTGVXAUSA-N 0 0 431.536 -0.884 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000533381602 1072938442 /nfs/dbraw/zinc/93/84/42/1072938442.db2.gz YWEQPPNMCFMSCM-HZPDHXFCSA-N 0 0 431.536 -0.884 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000533381603 1072938670 /nfs/dbraw/zinc/93/86/70/1072938670.db2.gz YWEQPPNMCFMSCM-JKSUJKDBSA-N 0 0 431.536 -0.884 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000533381623 1072938589 /nfs/dbraw/zinc/93/85/89/1072938589.db2.gz ULDDCOAGBCHJQF-DLBZAZTESA-N 0 0 425.507 -0.192 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000533381626 1072938577 /nfs/dbraw/zinc/93/85/77/1072938577.db2.gz ULDDCOAGBCHJQF-IAGOWNOFSA-N 0 0 425.507 -0.192 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000533381627 1072938514 /nfs/dbraw/zinc/93/85/14/1072938514.db2.gz ULDDCOAGBCHJQF-IRXDYDNUSA-N 0 0 425.507 -0.192 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000533381628 1072938607 /nfs/dbraw/zinc/93/86/07/1072938607.db2.gz ULDDCOAGBCHJQF-SJORKVTESA-N 0 0 425.507 -0.192 20 0 IBADRN CCn1c2nnc(CN[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)n2c2ccccc2c1=O ZINC000533382080 1072937950 /nfs/dbraw/zinc/93/79/50/1072937950.db2.gz YVENQDFNFCJFCZ-DOTOQJQBSA-N 0 0 446.533 -0.349 20 0 IBADRN CCn1c2nnc(CN[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)n2c2ccccc2c1=O ZINC000533382081 1072937924 /nfs/dbraw/zinc/93/79/24/1072937924.db2.gz YVENQDFNFCJFCZ-NVXWUHKLSA-N 0 0 446.533 -0.349 20 0 IBADRN CCn1c2nnc(CN[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)n2c2ccccc2c1=O ZINC000533382082 1072937821 /nfs/dbraw/zinc/93/78/21/1072937821.db2.gz YVENQDFNFCJFCZ-RDJZCZTQSA-N 0 0 446.533 -0.349 20 0 IBADRN CCn1c2nnc(CN[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)n2c2ccccc2c1=O ZINC000533382083 1072937940 /nfs/dbraw/zinc/93/79/40/1072937940.db2.gz YVENQDFNFCJFCZ-WBVHZDCISA-N 0 0 446.533 -0.349 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533382348 1072937789 /nfs/dbraw/zinc/93/77/89/1072937789.db2.gz JUJGMUTVKYMBAJ-MOPGFXCFSA-N 0 0 425.551 -0.073 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533382349 1072938401 /nfs/dbraw/zinc/93/84/01/1072938401.db2.gz JUJGMUTVKYMBAJ-OALUTQOASA-N 0 0 425.551 -0.073 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533382350 1072938626 /nfs/dbraw/zinc/93/86/26/1072938626.db2.gz JUJGMUTVKYMBAJ-RBUKOAKNSA-N 0 0 425.551 -0.073 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533382351 1072938475 /nfs/dbraw/zinc/93/84/75/1072938475.db2.gz JUJGMUTVKYMBAJ-RTBURBONSA-N 0 0 425.551 -0.073 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000533382465 1072939159 /nfs/dbraw/zinc/93/91/59/1072939159.db2.gz OWHXMHDTXFEJGR-CVEARBPZSA-N 0 0 426.495 -0.569 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000533382466 1072938953 /nfs/dbraw/zinc/93/89/53/1072938953.db2.gz OWHXMHDTXFEJGR-HOTGVXAUSA-N 0 0 426.495 -0.569 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000533382467 1072939114 /nfs/dbraw/zinc/93/91/14/1072939114.db2.gz OWHXMHDTXFEJGR-HZPDHXFCSA-N 0 0 426.495 -0.569 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000533382468 1072939172 /nfs/dbraw/zinc/93/91/72/1072939172.db2.gz OWHXMHDTXFEJGR-JKSUJKDBSA-N 0 0 426.495 -0.569 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000533382895 1072938687 /nfs/dbraw/zinc/93/86/87/1072938687.db2.gz RDXJKZKEBSMZEH-KBPBESRZSA-N 0 0 440.478 -0.849 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000533382896 1072938644 /nfs/dbraw/zinc/93/86/44/1072938644.db2.gz RDXJKZKEBSMZEH-KGLIPLIRSA-N 0 0 440.478 -0.849 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000533382897 1072938547 /nfs/dbraw/zinc/93/85/47/1072938547.db2.gz RDXJKZKEBSMZEH-UONOGXRCSA-N 0 0 440.478 -0.849 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000533382898 1072938494 /nfs/dbraw/zinc/93/84/94/1072938494.db2.gz RDXJKZKEBSMZEH-ZIAGYGMSSA-N 0 0 440.478 -0.849 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)CC2)c1 ZINC000533383553 1072939617 /nfs/dbraw/zinc/93/96/17/1072939617.db2.gz QIGHLVKCLNXTIL-PMACEKPBSA-N 0 0 436.578 -0.269 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)CC2)c1 ZINC000533383554 1072939548 /nfs/dbraw/zinc/93/95/48/1072939548.db2.gz QIGHLVKCLNXTIL-UXHICEINSA-N 0 0 436.578 -0.269 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)CC2)c1 ZINC000533383555 1072939579 /nfs/dbraw/zinc/93/95/79/1072939579.db2.gz QIGHLVKCLNXTIL-VQTJNVASSA-N 0 0 436.578 -0.269 20 0 IBADRN Cc1cccc(N2CCN(C(=O)CN[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)CC2)c1 ZINC000533383556 1072939504 /nfs/dbraw/zinc/93/95/04/1072939504.db2.gz QIGHLVKCLNXTIL-WOJBJXKFSA-N 0 0 436.578 -0.269 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)n12 ZINC000533383804 1072939598 /nfs/dbraw/zinc/93/95/98/1072939598.db2.gz ZVBAPYFYOYRJBD-DOTOQJQBSA-N 0 0 446.533 -0.523 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)n12 ZINC000533383805 1072939686 /nfs/dbraw/zinc/93/96/86/1072939686.db2.gz ZVBAPYFYOYRJBD-NVXWUHKLSA-N 0 0 446.533 -0.523 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)n12 ZINC000533383806 1072939612 /nfs/dbraw/zinc/93/96/12/1072939612.db2.gz ZVBAPYFYOYRJBD-RDJZCZTQSA-N 0 0 446.533 -0.523 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)n12 ZINC000533383807 1072939650 /nfs/dbraw/zinc/93/96/50/1072939650.db2.gz ZVBAPYFYOYRJBD-WBVHZDCISA-N 0 0 446.533 -0.523 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC000533383808 1072939654 /nfs/dbraw/zinc/93/96/54/1072939654.db2.gz ZZOMBNZEMWJUAD-AWEZNQCLSA-N 0 0 448.567 -0.102 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC000533383809 1072939679 /nfs/dbraw/zinc/93/96/79/1072939679.db2.gz ZZOMBNZEMWJUAD-CQSZACIVSA-N 0 0 448.567 -0.102 20 0 IBADRN CCN(C1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1)S(C)(=O)=O ZINC000533384080 1072939052 /nfs/dbraw/zinc/93/90/52/1072939052.db2.gz FLFCYAWGMOKIGH-UHFFFAOYSA-N 0 0 445.630 -0.209 20 0 IBADRN COCCCN(CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21)[C@H]1CCS(=O)(=O)C1 ZINC000533384995 1072939627 /nfs/dbraw/zinc/93/96/27/1072939627.db2.gz SRLUTBLFYSOCNB-HNNXBMFYSA-N 0 0 445.563 -0.251 20 0 IBADRN COCCCN(CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000533385000 1072939638 /nfs/dbraw/zinc/93/96/38/1072939638.db2.gz SRLUTBLFYSOCNB-OAHLLOKOSA-N 0 0 445.563 -0.251 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)C1 ZINC000533385330 1072939591 /nfs/dbraw/zinc/93/95/91/1072939591.db2.gz CWGAWDMYNWVADU-CABCVRRESA-N 0 0 434.541 -0.839 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)C1 ZINC000533385331 1072939644 /nfs/dbraw/zinc/93/96/44/1072939644.db2.gz CWGAWDMYNWVADU-GJZGRUSLSA-N 0 0 434.541 -0.839 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)C1 ZINC000533385332 1072939527 /nfs/dbraw/zinc/93/95/27/1072939527.db2.gz CWGAWDMYNWVADU-HUUCEWRRSA-N 0 0 434.541 -0.839 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)C1 ZINC000533385333 1070820947 /nfs/dbraw/zinc/82/09/47/1070820947.db2.gz CWGAWDMYNWVADU-LSDHHAIUSA-N 0 0 434.541 -0.839 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CC1 ZINC000533385441 1072939666 /nfs/dbraw/zinc/93/96/66/1072939666.db2.gz MDTBZMIRFVIOQZ-UHFFFAOYSA-N 0 0 440.632 -0.091 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1CCN(CCNC(=O)c2ccc(OC)cc2)CC1 ZINC000533386160 1072939539 /nfs/dbraw/zinc/93/95/39/1072939539.db2.gz ZHICMNZZGHXBIQ-INIZCTEOSA-N 0 0 435.525 -0.097 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1CCN(CCNC(=O)c2ccc(OC)cc2)CC1 ZINC000533386174 1072939574 /nfs/dbraw/zinc/93/95/74/1072939574.db2.gz ZHICMNZZGHXBIQ-MRXNPFEDSA-N 0 0 435.525 -0.097 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000533392661 1072940099 /nfs/dbraw/zinc/94/00/99/1072940099.db2.gz TZMXYKLQTRROOV-UHFFFAOYSA-N 0 0 435.514 -0.302 20 0 IBADRN COCCN(C(=O)CN1CCN(c2ccnc(N(C)C)n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533393498 1072940221 /nfs/dbraw/zinc/94/02/21/1072940221.db2.gz DBEWRCBXSBLOKG-INIZCTEOSA-N 0 0 440.570 -0.673 20 0 IBADRN COCCN(C(=O)CN1CCN(c2ccnc(N(C)C)n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533393499 1072940253 /nfs/dbraw/zinc/94/02/53/1072940253.db2.gz DBEWRCBXSBLOKG-MRXNPFEDSA-N 0 0 440.570 -0.673 20 0 IBADRN CN(C)c1nccc(N2CCN(CCCn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)n1 ZINC000533395367 1072940057 /nfs/dbraw/zinc/94/00/57/1072940057.db2.gz UDAFNIIDQPDFDE-UHFFFAOYSA-N 0 0 427.513 -0.498 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000533399243 1070823222 /nfs/dbraw/zinc/82/32/22/1070823222.db2.gz UYPOZLIWPWXOMC-UHFFFAOYSA-N 0 0 433.465 -0.893 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)c(C)c2)CC1 ZINC000533399306 1072939104 /nfs/dbraw/zinc/93/91/04/1072939104.db2.gz VHDWNQQPSLKPDN-UHFFFAOYSA-N 0 0 443.464 -0.173 20 0 IBADRN O=C(CN1CCCC[C@H]1C(=O)N1CCOCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533400238 1072939028 /nfs/dbraw/zinc/93/90/28/1072939028.db2.gz MBVRUPHYMORNJI-MSOLQXFVSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CN1CCCC[C@@H]1C(=O)N1CCOCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533400243 1072939076 /nfs/dbraw/zinc/93/90/76/1072939076.db2.gz MBVRUPHYMORNJI-QZTJIDSGSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CN1CCCC[C@H]1C(=O)N1CCOCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000533400244 1072939069 /nfs/dbraw/zinc/93/90/69/1072939069.db2.gz MBVRUPHYMORNJI-ROUUACIJSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CN1CCCC[C@@H]1C(=O)N1CCOCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000533400245 1072939088 /nfs/dbraw/zinc/93/90/88/1072939088.db2.gz MBVRUPHYMORNJI-ZWKOTPCHSA-N 0 0 442.582 -0.969 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCCN(C(N)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000533401504 1072939604 /nfs/dbraw/zinc/93/96/04/1072939604.db2.gz LYRAMWSDYGWIBP-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c2cn(C)cn2)c(C)s1 ZINC000533402045 1072939146 /nfs/dbraw/zinc/93/91/46/1072939146.db2.gz SCVCNZRLVVMRQM-UHFFFAOYSA-N 0 0 435.553 -0.529 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CC1 ZINC000533405060 1072940189 /nfs/dbraw/zinc/94/01/89/1072940189.db2.gz FTKRTRDONZJOQY-UHFFFAOYSA-N 0 0 435.481 -0.218 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)N1CCCS1(=O)=O ZINC000533407212 1072940321 /nfs/dbraw/zinc/94/03/21/1072940321.db2.gz UFXQOIZPTMSYND-DLBZAZTESA-N 0 0 430.571 -0.562 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)N1CCCS1(=O)=O ZINC000533407217 1072940338 /nfs/dbraw/zinc/94/03/38/1072940338.db2.gz UFXQOIZPTMSYND-IAGOWNOFSA-N 0 0 430.571 -0.562 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)N1CCCS1(=O)=O ZINC000533407218 1072940045 /nfs/dbraw/zinc/94/00/45/1072940045.db2.gz UFXQOIZPTMSYND-IRXDYDNUSA-N 0 0 430.571 -0.562 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)N1CCCS1(=O)=O ZINC000533407219 1072940179 /nfs/dbraw/zinc/94/01/79/1072940179.db2.gz UFXQOIZPTMSYND-SJORKVTESA-N 0 0 430.571 -0.562 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCCS1(=O)=O ZINC000533407453 1072940247 /nfs/dbraw/zinc/94/02/47/1072940247.db2.gz WXVARGSURSYBPD-INIZCTEOSA-N 0 0 430.552 -0.025 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCCS1(=O)=O ZINC000533407455 1072940239 /nfs/dbraw/zinc/94/02/39/1072940239.db2.gz WXVARGSURSYBPD-MRXNPFEDSA-N 0 0 430.552 -0.025 20 0 IBADRN CCN(C(=O)CN1CCS(=O)(=O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533408786 1072940292 /nfs/dbraw/zinc/94/02/92/1072940292.db2.gz CSVXIOHEEWPVPH-UHFFFAOYSA-N 0 0 435.506 -0.337 20 0 IBADRN COCCN(CCO)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000533412140 1072940715 /nfs/dbraw/zinc/94/07/15/1072940715.db2.gz DGBUHXYDICYPQV-UHFFFAOYSA-N 0 0 431.511 -0.405 20 0 IBADRN COCCN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000533412749 1072940763 /nfs/dbraw/zinc/94/07/63/1072940763.db2.gz IHJIZXGDMZXSKV-UHFFFAOYSA-N 0 0 427.523 -0.337 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(Cc2nccn2C)CC1 ZINC000533415007 1072940706 /nfs/dbraw/zinc/94/07/06/1072940706.db2.gz ULLRWBHQNCNSBS-INIZCTEOSA-N 0 0 434.566 -0.068 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(Cc2nccn2C)CC1 ZINC000533415009 1072940675 /nfs/dbraw/zinc/94/06/75/1072940675.db2.gz ULLRWBHQNCNSBS-MRXNPFEDSA-N 0 0 434.566 -0.068 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000533416986 1072940638 /nfs/dbraw/zinc/94/06/38/1072940638.db2.gz JHTPRINDZOETFB-HNNXBMFYSA-N 0 0 443.504 -0.284 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000533416987 1072940785 /nfs/dbraw/zinc/94/07/85/1072940785.db2.gz JHTPRINDZOETFB-OAHLLOKOSA-N 0 0 443.504 -0.284 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533417324 1072940659 /nfs/dbraw/zinc/94/06/59/1072940659.db2.gz JDMSZDITROVVMF-HNNXBMFYSA-N 0 0 439.538 -0.863 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533417325 1072940795 /nfs/dbraw/zinc/94/07/95/1072940795.db2.gz JDMSZDITROVVMF-OAHLLOKOSA-N 0 0 439.538 -0.863 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(CC(=O)c3c(N)n(CC)c(=O)[nH]c3=O)CC2)CC1 ZINC000533418149 1072940843 /nfs/dbraw/zinc/94/08/43/1072940843.db2.gz BJPRFWPILRTWGI-UHFFFAOYSA-N 0 0 434.541 0.000 20 0 IBADRN CCN1CCN(C(=O)CC2CCN([C@@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000533418641 1072940805 /nfs/dbraw/zinc/94/08/05/1072940805.db2.gz LXEDRWGDIMGVFE-HNNXBMFYSA-N 0 0 448.568 -0.496 20 0 IBADRN CCN1CCN(C(=O)CC2CCN([C@H](C)C(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000533418646 1072940687 /nfs/dbraw/zinc/94/06/87/1072940687.db2.gz LXEDRWGDIMGVFE-OAHLLOKOSA-N 0 0 448.568 -0.496 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCC[C@H](CNS(N)(=O)=O)C2)cc(C(=O)OC)c1 ZINC000533418686 1072940755 /nfs/dbraw/zinc/94/07/55/1072940755.db2.gz VGKJCYSSTZNGHP-GFCCVEGCSA-N 0 0 442.494 -0.297 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCC[C@@H](CNS(N)(=O)=O)C2)cc(C(=O)OC)c1 ZINC000533418688 1072940736 /nfs/dbraw/zinc/94/07/36/1072940736.db2.gz VGKJCYSSTZNGHP-LBPRGKRZSA-N 0 0 442.494 -0.297 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC000533420426 1072941389 /nfs/dbraw/zinc/94/13/89/1072941389.db2.gz JIOHCIOASOZXIN-GFCCVEGCSA-N 0 0 427.483 -0.389 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC000533420436 1070826499 /nfs/dbraw/zinc/82/64/99/1070826499.db2.gz JIOHCIOASOZXIN-LBPRGKRZSA-N 0 0 427.483 -0.389 20 0 IBADRN CCN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000533421038 1072940326 /nfs/dbraw/zinc/94/03/26/1072940326.db2.gz JGXNRYDYQBKHIG-UHFFFAOYSA-N 0 0 441.506 -0.214 20 0 IBADRN O=C(CN1CCn2cnnc2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000533422768 1072941422 /nfs/dbraw/zinc/94/14/22/1072941422.db2.gz VNWVIVHJBUOTAC-UHFFFAOYSA-N 0 0 426.449 -0.095 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCn4cnnc4C3)CC2)cc1 ZINC000533422952 1072941190 /nfs/dbraw/zinc/94/11/90/1072941190.db2.gz MMVGIUFNRZOEKX-UHFFFAOYSA-N 0 0 432.506 -0.171 20 0 IBADRN O=C(CN(CCO)CCCO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000533423201 1072941209 /nfs/dbraw/zinc/94/12/09/1072941209.db2.gz FAVOKJGHOSWGIX-UHFFFAOYSA-N 0 0 435.930 -0.024 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2nc1CN1CCN(S(N)(=O)=O)CC1 ZINC000533423321 1072941452 /nfs/dbraw/zinc/94/14/52/1072941452.db2.gz CXIIZIALRJWFAU-UHFFFAOYSA-N 0 0 430.556 -0.372 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CCN(S(N)(=O)=O)CC1 ZINC000533423868 1072941274 /nfs/dbraw/zinc/94/12/74/1072941274.db2.gz YDPHFURILBLXBA-UHFFFAOYSA-N 0 0 447.539 -0.648 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCN(S(N)(=O)=O)CC1 ZINC000533424135 1072941289 /nfs/dbraw/zinc/94/12/89/1072941289.db2.gz CQJZDHRERWKNMV-UHFFFAOYSA-N 0 0 433.512 -0.908 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CCN(S(N)(=O)=O)CC2)c1 ZINC000533424399 1072941499 /nfs/dbraw/zinc/94/14/99/1072941499.db2.gz OVVKHUWQQBGOJS-UHFFFAOYSA-N 0 0 433.556 -0.523 20 0 IBADRN O=C(CN(CCO)CCCO)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000533424418 1072941301 /nfs/dbraw/zinc/94/13/01/1072941301.db2.gz RQSKJVOVSAKZIO-UHFFFAOYSA-N 0 0 437.921 -0.011 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)CC3)CC2)c1 ZINC000533424459 1072941331 /nfs/dbraw/zinc/94/13/31/1072941331.db2.gz XJPJBMWBOMBYOH-UHFFFAOYSA-N 0 0 427.548 -0.214 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCn3c(nnc3C(F)(F)F)C2)CC1)N1CCOCC1 ZINC000533425574 1072941244 /nfs/dbraw/zinc/94/12/44/1072941244.db2.gz CFEMNQTVHDGQNM-UHFFFAOYSA-N 0 0 445.446 -0.885 20 0 IBADRN COCCN(C(=O)CN1CCN(C)C(=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533425615 1072941905 /nfs/dbraw/zinc/94/19/05/1072941905.db2.gz DJYDUUWYWVQJFO-UHFFFAOYSA-N 0 0 444.492 -0.667 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1=O ZINC000533426132 1072941260 /nfs/dbraw/zinc/94/12/60/1072941260.db2.gz YSVADRGZJBXUJG-UHFFFAOYSA-N 0 0 438.506 -0.935 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(CC(=O)c3c(N)n(C4CC4)c(=O)[nH]c3=O)CC2)cn1 ZINC000533426646 1072941774 /nfs/dbraw/zinc/94/17/74/1072941774.db2.gz CJKCCJCWVISJIW-UHFFFAOYSA-N 0 0 429.481 -0.076 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(CCNC(=O)c3ccccc3F)CC2)c(=O)[nH]c1=O ZINC000533428334 1072941800 /nfs/dbraw/zinc/94/18/00/1072941800.db2.gz KHZJRPFYHFRJAV-UHFFFAOYSA-N 0 0 446.483 -0.080 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C(=O)C3)CC2)c1 ZINC000533431547 1072941987 /nfs/dbraw/zinc/94/19/87/1072941987.db2.gz QFWWOWGIGAJBPM-UHFFFAOYSA-N 0 0 440.522 -0.689 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C[C@@H]1CCS(=O)(=O)C1 ZINC000533431822 1072941929 /nfs/dbraw/zinc/94/19/29/1072941929.db2.gz QJBYYJZCZAGFPZ-INIZCTEOSA-N 0 0 429.564 -0.114 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C[C@H]1CCS(=O)(=O)C1 ZINC000533431830 1072942018 /nfs/dbraw/zinc/94/20/18/1072942018.db2.gz QJBYYJZCZAGFPZ-MRXNPFEDSA-N 0 0 429.564 -0.114 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000533433684 1072942564 /nfs/dbraw/zinc/94/25/64/1072942564.db2.gz UBSLLXWPRHAKKS-KRWDZBQOSA-N 0 0 440.522 -0.517 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000533433685 1072942429 /nfs/dbraw/zinc/94/24/29/1072942429.db2.gz UBSLLXWPRHAKKS-QGZVFWFLSA-N 0 0 440.522 -0.517 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000533433921 1072942313 /nfs/dbraw/zinc/94/23/13/1072942313.db2.gz LKUFYFOEVMADMU-CYBMUJFWSA-N 0 0 434.540 -0.493 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000533433922 1072942462 /nfs/dbraw/zinc/94/24/62/1072942462.db2.gz LKUFYFOEVMADMU-ZDUSSCGKSA-N 0 0 434.540 -0.493 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000533434248 1072942254 /nfs/dbraw/zinc/94/22/54/1072942254.db2.gz CORKIQNSVAOAPR-HNNXBMFYSA-N 0 0 446.551 -0.731 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000533434250 1072942405 /nfs/dbraw/zinc/94/24/05/1072942405.db2.gz CORKIQNSVAOAPR-OAHLLOKOSA-N 0 0 446.551 -0.731 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000533434474 1072942490 /nfs/dbraw/zinc/94/24/90/1072942490.db2.gz RUDBAHIHWFMZDL-CHWSQXEVSA-N 0 0 448.567 -0.104 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000533434475 1072942358 /nfs/dbraw/zinc/94/23/58/1072942358.db2.gz RUDBAHIHWFMZDL-OLZOCXBDSA-N 0 0 448.567 -0.104 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000533434476 1072942342 /nfs/dbraw/zinc/94/23/42/1072942342.db2.gz RUDBAHIHWFMZDL-QWHCGFSZSA-N 0 0 448.567 -0.104 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000533434477 1072942384 /nfs/dbraw/zinc/94/23/84/1072942384.db2.gz RUDBAHIHWFMZDL-STQMWFEESA-N 0 0 448.567 -0.104 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000533435524 1072942281 /nfs/dbraw/zinc/94/22/81/1072942281.db2.gz HULGZYXDNWXSQH-HNNXBMFYSA-N 0 0 430.552 -0.857 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000533435525 1072942470 /nfs/dbraw/zinc/94/24/70/1072942470.db2.gz HULGZYXDNWXSQH-OAHLLOKOSA-N 0 0 430.552 -0.857 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CC[C@@H](NS(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000533435598 1072942417 /nfs/dbraw/zinc/94/24/17/1072942417.db2.gz MZWUOTBYUMQXDR-GFCCVEGCSA-N 0 0 430.531 -0.692 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CC[C@H](NS(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000533435599 1072942557 /nfs/dbraw/zinc/94/25/57/1072942557.db2.gz MZWUOTBYUMQXDR-LBPRGKRZSA-N 0 0 430.531 -0.692 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000533436498 1072941467 /nfs/dbraw/zinc/94/14/67/1072941467.db2.gz JZFCRZLAISJVOM-HNNXBMFYSA-N 0 0 446.551 -0.731 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000533436499 1072941318 /nfs/dbraw/zinc/94/13/18/1072941318.db2.gz JZFCRZLAISJVOM-OAHLLOKOSA-N 0 0 446.551 -0.731 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000533436537 1072941336 /nfs/dbraw/zinc/94/13/36/1072941336.db2.gz MCWBHOVLCNEGLK-KRWDZBQOSA-N 0 0 444.579 -0.716 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000533436538 1072941362 /nfs/dbraw/zinc/94/13/62/1072941362.db2.gz MCWBHOVLCNEGLK-QGZVFWFLSA-N 0 0 444.579 -0.716 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CCO2)n1 ZINC000533442187 1072942970 /nfs/dbraw/zinc/94/29/70/1072942970.db2.gz VTADFPJWALXWBF-INIZCTEOSA-N 0 0 434.522 -0.168 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CCO2)n1 ZINC000533442188 1072943500 /nfs/dbraw/zinc/94/35/00/1072943500.db2.gz VTADFPJWALXWBF-MRXNPFEDSA-N 0 0 434.522 -0.168 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000533442584 1072943495 /nfs/dbraw/zinc/94/34/95/1072943495.db2.gz UEKAKVIWOUOXTI-DOTOQJQBSA-N 0 0 425.507 -0.218 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000533442585 1072943416 /nfs/dbraw/zinc/94/34/16/1072943416.db2.gz UEKAKVIWOUOXTI-NVXWUHKLSA-N 0 0 425.507 -0.218 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000533442586 1072943389 /nfs/dbraw/zinc/94/33/89/1072943389.db2.gz UEKAKVIWOUOXTI-RDJZCZTQSA-N 0 0 425.507 -0.218 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000533442587 1072943399 /nfs/dbraw/zinc/94/33/99/1072943399.db2.gz UEKAKVIWOUOXTI-WBVHZDCISA-N 0 0 425.507 -0.218 20 0 IBADRN COC(=O)CN(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)C1CCOCC1 ZINC000533448633 1072942495 /nfs/dbraw/zinc/94/24/95/1072942495.db2.gz SIKQSVRPHTUWBY-UHFFFAOYSA-N 0 0 431.497 -0.334 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C1CCN(c2ccc3nncn3n2)CC1 ZINC000533449884 1072943032 /nfs/dbraw/zinc/94/30/32/1072943032.db2.gz KUNGTWCGJFWIKF-UHFFFAOYSA-N 0 0 430.494 -0.170 20 0 IBADRN COc1cc(C(=O)NCCNS(=O)(=O)c2cccnc2)cc(OC)c1OCC(N)=O ZINC000533449904 1072943055 /nfs/dbraw/zinc/94/30/55/1072943055.db2.gz OTMKLSIAHCBVBD-UHFFFAOYSA-N 0 0 438.462 -0.329 20 0 IBADRN COC(=O)Cn1cc(CCN2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000533450883 1072942852 /nfs/dbraw/zinc/94/28/52/1072942852.db2.gz CWRSNAXLRJSCPK-UHFFFAOYSA-N 0 0 426.499 -0.005 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCNS(=O)(=O)c2cccnc2)s1 ZINC000533451027 1072942960 /nfs/dbraw/zinc/94/29/60/1072942960.db2.gz PTELOZWNPYNIQK-UHFFFAOYSA-N 0 0 432.549 -0.057 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NCCNS(=O)(=O)c3cccnc3)ccc21 ZINC000533451156 1072942929 /nfs/dbraw/zinc/94/29/29/1072942929.db2.gz ITFFJQCVZMSSNO-UHFFFAOYSA-N 0 0 445.501 -0.185 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)cn1 ZINC000533454433 1072942914 /nfs/dbraw/zinc/94/29/14/1072942914.db2.gz IURXJPQSSACLKW-UHFFFAOYSA-N 0 0 434.403 -0.170 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000533454799 1072943070 /nfs/dbraw/zinc/94/30/70/1072943070.db2.gz GGKVWNBOBYRQRM-UHFFFAOYSA-N 0 0 440.522 -0.157 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000533454802 1072942951 /nfs/dbraw/zinc/94/29/51/1072942951.db2.gz SDBHCWSWGWUZTA-UHFFFAOYSA-N 0 0 429.446 -0.121 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000533454943 1074363130 /nfs/dbraw/zinc/36/31/30/1074363130.db2.gz WYGVMDDPZMPQIW-UHFFFAOYSA-N 0 0 430.513 -0.768 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000533455055 1072942841 /nfs/dbraw/zinc/94/28/41/1072942841.db2.gz TVBVTCIYOVSBJM-UHFFFAOYSA-N 0 0 433.509 -0.425 20 0 IBADRN O=C(NCCn1c(=O)oc2ccccc21)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000533455191 1072942867 /nfs/dbraw/zinc/94/28/67/1072942867.db2.gz PQJOWQPSNCFSPI-UHFFFAOYSA-N 0 0 428.449 -0.122 20 0 IBADRN CC(C)CCn1nccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000533455772 1072943008 /nfs/dbraw/zinc/94/30/08/1072943008.db2.gz JUWQPHOAAWJKQS-UHFFFAOYSA-N 0 0 442.542 -0.411 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)cn1 ZINC000533455808 1072942905 /nfs/dbraw/zinc/94/29/05/1072942905.db2.gz MXWKYCLIEVQBHC-CVEARBPZSA-N 0 0 447.540 -0.593 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC2)cn1 ZINC000533455809 1072942941 /nfs/dbraw/zinc/94/29/41/1072942941.db2.gz MXWKYCLIEVQBHC-HOTGVXAUSA-N 0 0 447.540 -0.593 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)cn1 ZINC000533455810 1072943042 /nfs/dbraw/zinc/94/30/42/1072943042.db2.gz MXWKYCLIEVQBHC-HZPDHXFCSA-N 0 0 447.540 -0.593 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC2)cn1 ZINC000533455812 1072943050 /nfs/dbraw/zinc/94/30/50/1072943050.db2.gz MXWKYCLIEVQBHC-JKSUJKDBSA-N 0 0 447.540 -0.593 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCNC(=O)[C@H]1c1ccccc1 ZINC000533456496 1073378403 /nfs/dbraw/zinc/37/84/03/1073378403.db2.gz ATPFCZNRKUDAQR-GOSISDBHSA-N 0 0 426.477 -0.147 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1 ZINC000533456497 1073378500 /nfs/dbraw/zinc/37/85/00/1073378500.db2.gz ATPFCZNRKUDAQR-SFHVURJKSA-N 0 0 426.477 -0.147 20 0 IBADRN O=C(NCCNC(=O)c1ccc(F)cc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000533457989 1072942986 /nfs/dbraw/zinc/94/29/86/1072942986.db2.gz ZHCXZDYNEABLSY-UHFFFAOYSA-N 0 0 432.456 -0.161 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)NCCc1ccccc1 ZINC000533457999 1072943018 /nfs/dbraw/zinc/94/30/18/1072943018.db2.gz AFUDVEBNXDLSAI-UHFFFAOYSA-N 0 0 428.493 -0.371 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000533459693 1072943545 /nfs/dbraw/zinc/94/35/45/1072943545.db2.gz CLAFXHCHQWWXAE-UHFFFAOYSA-N 0 0 435.462 -0.760 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cccc1-n1cnnn1 ZINC000533459812 1072943537 /nfs/dbraw/zinc/94/35/37/1072943537.db2.gz FDPJEPMIARZVDK-UHFFFAOYSA-N 0 0 430.450 -0.601 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)ccc1-n1cnnn1 ZINC000533461154 1072943426 /nfs/dbraw/zinc/94/34/26/1072943426.db2.gz VHCFFAZOQZWTLR-UHFFFAOYSA-N 0 0 430.450 -0.601 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000533461265 1072943464 /nfs/dbraw/zinc/94/34/64/1072943464.db2.gz DDKKGVSUOBEEDU-UHFFFAOYSA-N 0 0 449.489 -0.370 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000533461365 1072943457 /nfs/dbraw/zinc/94/34/57/1072943457.db2.gz KSBMTGYIODZGQU-UHFFFAOYSA-N 0 0 438.487 -0.555 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccn(CCc2ccncc2)n1 ZINC000533461399 1073325643 /nfs/dbraw/zinc/32/56/43/1073325643.db2.gz NQWHVSBPAHIUBF-UHFFFAOYSA-N 0 0 443.489 -0.051 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cc2C1=O ZINC000533461687 1072943531 /nfs/dbraw/zinc/94/35/31/1072943531.db2.gz CWVNVMTVDJAVNY-UHFFFAOYSA-N 0 0 431.430 -0.659 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000533461700 1072943553 /nfs/dbraw/zinc/94/35/53/1072943553.db2.gz DOVIQVIKHVLDSC-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000533461921 1072943483 /nfs/dbraw/zinc/94/34/83/1072943483.db2.gz KVXAQYQPYMTREE-UHFFFAOYSA-N 0 0 448.505 -0.345 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000533462009 1072943408 /nfs/dbraw/zinc/94/34/08/1072943408.db2.gz NWTHNJCJNIUFFV-UHFFFAOYSA-N 0 0 436.446 -0.333 20 0 IBADRN CCN(C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)[C@@H](C)CS(=O)(=O)CC ZINC000533462703 1070828778 /nfs/dbraw/zinc/82/87/78/1070828778.db2.gz VAPJSBRQEHFTFN-HNNXBMFYSA-N 0 0 429.543 -0.175 20 0 IBADRN CCN(C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)[C@H](C)CS(=O)(=O)CC ZINC000533462704 1070828985 /nfs/dbraw/zinc/82/89/85/1070828985.db2.gz VAPJSBRQEHFTFN-OAHLLOKOSA-N 0 0 429.543 -0.175 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000533464150 1072943524 /nfs/dbraw/zinc/94/35/24/1072943524.db2.gz DRHSKCGPKFEFSE-UHFFFAOYSA-N 0 0 430.446 -0.065 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](c2cccs2)N2CCN(C)CC2)cn1 ZINC000533466527 1072943514 /nfs/dbraw/zinc/94/35/14/1072943514.db2.gz PVBRKWBJWGCGJI-HNNXBMFYSA-N 0 0 433.538 -0.266 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](c2cccs2)N2CCN(C)CC2)cn1 ZINC000533466529 1072943441 /nfs/dbraw/zinc/94/34/41/1072943441.db2.gz PVBRKWBJWGCGJI-OAHLLOKOSA-N 0 0 433.538 -0.266 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCN(S(=O)(=O)c3cccnc3)CC2)cc1 ZINC000533480463 1072944965 /nfs/dbraw/zinc/94/49/65/1072944965.db2.gz DIHQOYOENMUQCX-UHFFFAOYSA-N 0 0 447.517 -0.432 20 0 IBADRN C[C@H](O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000533484061 1072945049 /nfs/dbraw/zinc/94/50/49/1072945049.db2.gz USYNVABLEBSSFJ-KBPBESRZSA-N 0 0 438.506 -0.635 20 0 IBADRN C[C@@H](O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000533484062 1072944958 /nfs/dbraw/zinc/94/49/58/1072944958.db2.gz USYNVABLEBSSFJ-KGLIPLIRSA-N 0 0 438.506 -0.635 20 0 IBADRN C[C@H](O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000533484063 1072944908 /nfs/dbraw/zinc/94/49/08/1072944908.db2.gz USYNVABLEBSSFJ-UONOGXRCSA-N 0 0 438.506 -0.635 20 0 IBADRN C[C@@H](O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000533484064 1072945004 /nfs/dbraw/zinc/94/50/04/1072945004.db2.gz USYNVABLEBSSFJ-ZIAGYGMSSA-N 0 0 438.506 -0.635 20 0 IBADRN CN(C1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1)S(C)(=O)=O ZINC000533487522 1072945012 /nfs/dbraw/zinc/94/50/12/1072945012.db2.gz FIHIZRVQSYKNNQ-UHFFFAOYSA-N 0 0 430.552 -0.421 20 0 IBADRN CN(Cc1ccccc1)C(=O)N1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000533487664 1070830576 /nfs/dbraw/zinc/83/05/76/1070830576.db2.gz OEXGPRNVPWTOBH-UHFFFAOYSA-N 0 0 428.493 -0.282 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1CCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000533488479 1072943508 /nfs/dbraw/zinc/94/35/08/1072943508.db2.gz NZTJSWJEAAQLPD-GFCCVEGCSA-N 0 0 432.506 -0.078 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1CCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000533488480 1072943558 /nfs/dbraw/zinc/94/35/58/1072943558.db2.gz NZTJSWJEAAQLPD-LBPRGKRZSA-N 0 0 432.506 -0.078 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(c2ccc(C(N)=O)cn2)CC1)S(C)(=O)=O ZINC000533488648 1072943471 /nfs/dbraw/zinc/94/34/71/1072943471.db2.gz LVPIIOVXCWZUKD-UHFFFAOYSA-N 0 0 440.570 -0.521 20 0 IBADRN COCCN(C(=O)CN1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000533489263 1070830555 /nfs/dbraw/zinc/83/05/55/1070830555.db2.gz WWYHMCVASASUGU-DZGCQCFKSA-N 0 0 445.563 -0.253 20 0 IBADRN COCCN(C(=O)CN1c2ccc(S(N)(=O)=O)cc2C[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000533489264 1070830983 /nfs/dbraw/zinc/83/09/83/1070830983.db2.gz WWYHMCVASASUGU-HIFRSBDPSA-N 0 0 445.563 -0.253 20 0 IBADRN COCCN(C(=O)CN1c2ccc(S(N)(=O)=O)cc2C[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000533489265 1070830608 /nfs/dbraw/zinc/83/06/08/1070830608.db2.gz WWYHMCVASASUGU-UKRRQHHQSA-N 0 0 445.563 -0.253 20 0 IBADRN COCCN(C(=O)CN1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000533489266 1070830637 /nfs/dbraw/zinc/83/06/37/1070830637.db2.gz WWYHMCVASASUGU-ZFWWWQNUSA-N 0 0 445.563 -0.253 20 0 IBADRN CN(CC(=O)NCc1ccccc1Cn1cccn1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533489496 1072944026 /nfs/dbraw/zinc/94/40/26/1072944026.db2.gz YNJNXFQTNNIMNR-GOSISDBHSA-N 0 0 433.534 -0.217 20 0 IBADRN CN(CC(=O)NCc1ccccc1Cn1cccn1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533489497 1072943997 /nfs/dbraw/zinc/94/39/97/1072943997.db2.gz YNJNXFQTNNIMNR-SFHVURJKSA-N 0 0 433.534 -0.217 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c2ccccc2O1 ZINC000533490487 1072943985 /nfs/dbraw/zinc/94/39/85/1072943985.db2.gz CDWVOUAFHBRODF-PBHICJAKSA-N 0 0 425.507 -0.338 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c2ccccc2O1 ZINC000533490488 1072943950 /nfs/dbraw/zinc/94/39/50/1072943950.db2.gz CDWVOUAFHBRODF-RHSMWYFYSA-N 0 0 425.507 -0.338 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c2ccccc2O1 ZINC000533490489 1072943955 /nfs/dbraw/zinc/94/39/55/1072943955.db2.gz CDWVOUAFHBRODF-WMLDXEAASA-N 0 0 425.507 -0.338 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c2ccccc2O1 ZINC000533490490 1072943930 /nfs/dbraw/zinc/94/39/30/1072943930.db2.gz CDWVOUAFHBRODF-YOEHRIQHSA-N 0 0 425.507 -0.338 20 0 IBADRN CC[C@H](C)NC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533491007 1072943902 /nfs/dbraw/zinc/94/39/02/1072943902.db2.gz LKIKQBWQILDXTM-KBPBESRZSA-N 0 0 432.568 -0.057 20 0 IBADRN CC[C@@H](C)NC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533491008 1072943920 /nfs/dbraw/zinc/94/39/20/1072943920.db2.gz LKIKQBWQILDXTM-KGLIPLIRSA-N 0 0 432.568 -0.057 20 0 IBADRN CC[C@H](C)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533491009 1072943993 /nfs/dbraw/zinc/94/39/93/1072943993.db2.gz LKIKQBWQILDXTM-UONOGXRCSA-N 0 0 432.568 -0.057 20 0 IBADRN CC[C@@H](C)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533491010 1072943871 /nfs/dbraw/zinc/94/38/71/1072943871.db2.gz LKIKQBWQILDXTM-ZIAGYGMSSA-N 0 0 432.568 -0.057 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000533491534 1072943878 /nfs/dbraw/zinc/94/38/78/1072943878.db2.gz DJKXCDGGEWVCLM-CYBMUJFWSA-N 0 0 446.483 -0.367 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000533491535 1072943853 /nfs/dbraw/zinc/94/38/53/1072943853.db2.gz DJKXCDGGEWVCLM-ZDUSSCGKSA-N 0 0 446.483 -0.367 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCc3cc(S(N)(=O)=O)ccc32)c(=O)n(C)c1=O ZINC000533491847 1072943888 /nfs/dbraw/zinc/94/38/88/1072943888.db2.gz LUACIIDHMQWUHQ-UHFFFAOYSA-N 0 0 435.506 -0.322 20 0 IBADRN COCCN(Cc1cc(=O)n(C)c(=O)n1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533493239 1072944013 /nfs/dbraw/zinc/94/40/13/1072944013.db2.gz AHCIILVTNDLTBL-UHFFFAOYSA-N 0 0 442.476 -0.370 20 0 IBADRN CCOC(=O)CCCNC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000533493256 1072944020 /nfs/dbraw/zinc/94/40/20/1072944020.db2.gz DCSBIPLZTAEPFQ-UHFFFAOYSA-N 0 0 430.483 -0.856 20 0 IBADRN COCCCN(CCN1C(=O)CNC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533493644 1072944506 /nfs/dbraw/zinc/94/45/06/1072944506.db2.gz OVBQQLPFZJWPMN-UHFFFAOYSA-N 0 0 430.465 -0.026 20 0 IBADRN CNS(=O)(=O)CCCN(CCOC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533493850 1072944449 /nfs/dbraw/zinc/94/44/49/1072944449.db2.gz BNYQOUSSLMPLFH-UHFFFAOYSA-N 0 0 425.511 -0.029 20 0 IBADRN CCS(=O)(=O)NCCN(CCOC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533493885 1070831212 /nfs/dbraw/zinc/83/12/12/1070831212.db2.gz HNEQWMWYZRWHJI-UHFFFAOYSA-N 0 0 425.511 -0.029 20 0 IBADRN CC1(C)NC(=O)N(CCCN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000533493927 1072944489 /nfs/dbraw/zinc/94/44/89/1072944489.db2.gz NVMXSXLSZFFHBC-UHFFFAOYSA-N 0 0 427.483 -0.595 20 0 IBADRN COCCCN(CC(=O)N1CCN(C(C)=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000533494015 1072944440 /nfs/dbraw/zinc/94/44/40/1072944440.db2.gz IMBPYKWATOEARI-UHFFFAOYSA-N 0 0 438.529 -0.279 20 0 IBADRN COC(=O)CCCc1nc(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)no1 ZINC000533494121 1072944388 /nfs/dbraw/zinc/94/43/88/1072944388.db2.gz ATLZIALOYYICRI-UHFFFAOYSA-N 0 0 441.466 -0.236 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(Cc3nnnn3Cc3ccccc3)CC2)o1 ZINC000533494138 1072944473 /nfs/dbraw/zinc/94/44/73/1072944473.db2.gz DAROTNXGLKTZBE-UHFFFAOYSA-N 0 0 431.478 -0.080 20 0 IBADRN COc1cccc(CN(C)C(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1OC ZINC000533494419 1072943911 /nfs/dbraw/zinc/94/39/11/1072943911.db2.gz HGPGXPRLXWFQPM-HNNXBMFYSA-N 0 0 427.523 -0.103 20 0 IBADRN COc1cccc(CN(C)C(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1OC ZINC000533494420 1072943963 /nfs/dbraw/zinc/94/39/63/1072943963.db2.gz HGPGXPRLXWFQPM-OAHLLOKOSA-N 0 0 427.523 -0.103 20 0 IBADRN CN(CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533494454 1070831463 /nfs/dbraw/zinc/83/14/63/1070831463.db2.gz LPGGZPDKRGGEMD-CYBMUJFWSA-N 0 0 440.478 -0.659 20 0 IBADRN CN(CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533494455 1072943966 /nfs/dbraw/zinc/94/39/66/1072943966.db2.gz LPGGZPDKRGGEMD-ZDUSSCGKSA-N 0 0 440.478 -0.659 20 0 IBADRN CCN(CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533494497 1072944421 /nfs/dbraw/zinc/94/44/21/1072944421.db2.gz QZIUFQQLLSIYAR-HNNXBMFYSA-N 0 0 448.567 -0.367 20 0 IBADRN CCN(CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533494498 1072944379 /nfs/dbraw/zinc/94/43/79/1072944379.db2.gz QZIUFQQLLSIYAR-OAHLLOKOSA-N 0 0 448.567 -0.367 20 0 IBADRN CNS(=O)(=O)CCCN1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000533494557 1072944500 /nfs/dbraw/zinc/94/45/00/1072944500.db2.gz ATNOXHZONHMZFO-UHFFFAOYSA-N 0 0 437.540 -0.071 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CCN2C(=O)CNC2=O)CC1 ZINC000533494645 1072944425 /nfs/dbraw/zinc/94/44/25/1072944425.db2.gz LUGNEMWMIKYFNI-UHFFFAOYSA-N 0 0 428.467 -0.459 20 0 IBADRN CN(C)S(=O)(=O)CCCN(CCO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533494671 1070831496 /nfs/dbraw/zinc/83/14/96/1070831496.db2.gz CVQVIYWSTSEIFJ-UHFFFAOYSA-N 0 0 425.511 -0.340 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCO[C@H]4CCCC[C@H]43)CC2)o1 ZINC000533494735 1070831600 /nfs/dbraw/zinc/83/16/00/1070831600.db2.gz HDJXGSOQPRXBOI-CABCVRRESA-N 0 0 440.522 -0.145 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCO[C@H]4CCCC[C@@H]43)CC2)o1 ZINC000533494736 1072944401 /nfs/dbraw/zinc/94/44/01/1072944401.db2.gz HDJXGSOQPRXBOI-GJZGRUSLSA-N 0 0 440.522 -0.145 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCO[C@@H]4CCCC[C@H]43)CC2)o1 ZINC000533494737 1072944385 /nfs/dbraw/zinc/94/43/85/1072944385.db2.gz HDJXGSOQPRXBOI-HUUCEWRRSA-N 0 0 440.522 -0.145 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCO[C@@H]4CCCC[C@@H]43)CC2)o1 ZINC000533494739 1070831647 /nfs/dbraw/zinc/83/16/47/1070831647.db2.gz HDJXGSOQPRXBOI-LSDHHAIUSA-N 0 0 440.522 -0.145 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000533494787 1072944397 /nfs/dbraw/zinc/94/43/97/1072944397.db2.gz GQTFMSANFJBIDQ-UHFFFAOYSA-N 0 0 445.568 -0.639 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC[C@@H](CNS(C)(=O)=O)C1)S(C)(=O)=O ZINC000533494828 1070831672 /nfs/dbraw/zinc/83/16/72/1070831672.db2.gz KSSBQVDHXBCHHM-HNNXBMFYSA-N 0 0 426.605 -0.576 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCC[C@H](CNS(C)(=O)=O)C1)S(C)(=O)=O ZINC000533494829 1070831770 /nfs/dbraw/zinc/83/17/70/1070831770.db2.gz KSSBQVDHXBCHHM-OAHLLOKOSA-N 0 0 426.605 -0.576 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000533494971 1072944373 /nfs/dbraw/zinc/94/43/73/1072944373.db2.gz MMVNWJFJCAHKHS-UHFFFAOYSA-N 0 0 442.494 -0.904 20 0 IBADRN CN(CC(=O)NCCc1cnn(-c2ccccc2)c1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533495035 1072944415 /nfs/dbraw/zinc/94/44/15/1072944415.db2.gz SDJGKYGZUJFGCW-KRWDZBQOSA-N 0 0 433.534 -0.234 20 0 IBADRN CN(CC(=O)NCCc1cnn(-c2ccccc2)c1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533495041 1072944482 /nfs/dbraw/zinc/94/44/82/1072944482.db2.gz SDJGKYGZUJFGCW-QGZVFWFLSA-N 0 0 433.534 -0.234 20 0 IBADRN CCN(C[C@H]1COc2ccccc2O1)C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533495207 1070832616 /nfs/dbraw/zinc/83/26/16/1070832616.db2.gz CYIOCYJYGBUMOA-CVEARBPZSA-N 0 0 439.534 -0.090 20 0 IBADRN CCN(C[C@H]1COc2ccccc2O1)C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533495208 1070832488 /nfs/dbraw/zinc/83/24/88/1070832488.db2.gz CYIOCYJYGBUMOA-HOTGVXAUSA-N 0 0 439.534 -0.090 20 0 IBADRN CCN(C[C@@H]1COc2ccccc2O1)C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000533495209 1072944496 /nfs/dbraw/zinc/94/44/96/1072944496.db2.gz CYIOCYJYGBUMOA-HZPDHXFCSA-N 0 0 439.534 -0.090 20 0 IBADRN CCN(C[C@@H]1COc2ccccc2O1)C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000533495210 1072944465 /nfs/dbraw/zinc/94/44/65/1072944465.db2.gz CYIOCYJYGBUMOA-JKSUJKDBSA-N 0 0 439.534 -0.090 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(c3ccc(C(N)=O)cn3)CC2)cc1S(N)(=O)=O ZINC000533495279 1070832101 /nfs/dbraw/zinc/83/21/01/1070832101.db2.gz KGGWTQGCAYUXJC-UHFFFAOYSA-N 0 0 432.506 -0.103 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@H](N3CCC(N4CCCNC4=O)CC3)C2=O)c1 ZINC000533495764 1072945036 /nfs/dbraw/zinc/94/50/36/1072945036.db2.gz OZLCAZDILXRLSG-INIZCTEOSA-N 0 0 435.506 -0.154 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@@H](N3CCC(N4CCCNC4=O)CC3)C2=O)c1 ZINC000533495765 1072944925 /nfs/dbraw/zinc/94/49/25/1072944925.db2.gz OZLCAZDILXRLSG-MRXNPFEDSA-N 0 0 435.506 -0.154 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(c2ncccc2C(N)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533496015 1072945056 /nfs/dbraw/zinc/94/50/56/1072945056.db2.gz GLDMWPLPVLJMIZ-INIZCTEOSA-N 0 0 437.566 -0.026 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(c2ncccc2C(N)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533496016 1072944900 /nfs/dbraw/zinc/94/49/00/1072944900.db2.gz GLDMWPLPVLJMIZ-MRXNPFEDSA-N 0 0 437.566 -0.026 20 0 IBADRN COC(=O)CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)CC(=O)OC ZINC000533506394 1072945084 /nfs/dbraw/zinc/94/50/84/1072945084.db2.gz FCLNJTLOZDASMD-UHFFFAOYSA-N 0 0 443.478 -0.706 20 0 IBADRN COC(=O)CN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000533508184 1072944920 /nfs/dbraw/zinc/94/49/20/1072944920.db2.gz PZBGBSPAGRVPJL-UHFFFAOYSA-N 0 0 445.469 -0.693 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@@H]1CS(=O)(=O)c2ccccc21 ZINC000533509794 1072944870 /nfs/dbraw/zinc/94/48/70/1072944870.db2.gz UOFIHVUUHQJHOE-GFCCVEGCSA-N 0 0 437.455 -0.113 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@H]1CS(=O)(=O)c2ccccc21 ZINC000533509797 1070836914 /nfs/dbraw/zinc/83/69/14/1070836914.db2.gz UOFIHVUUHQJHOE-LBPRGKRZSA-N 0 0 437.455 -0.113 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(Cc3nnnn3-c3ccccc3)CC2)CC1 ZINC000533513918 1072945462 /nfs/dbraw/zinc/94/54/62/1072945462.db2.gz GPBNUJBJSIUPDZ-UHFFFAOYSA-N 0 0 426.525 -0.093 20 0 IBADRN O=C1CNC(=O)N1CCCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533513927 1072945394 /nfs/dbraw/zinc/94/53/94/1072945394.db2.gz JDDDKOHWQVYOFL-UHFFFAOYSA-N 0 0 437.478 -0.734 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533514071 1072945589 /nfs/dbraw/zinc/94/55/89/1072945589.db2.gz YSAKQPYGQZFUMS-GFCCVEGCSA-N 0 0 440.478 -0.999 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000533514072 1072945572 /nfs/dbraw/zinc/94/55/72/1072945572.db2.gz YSAKQPYGQZFUMS-LBPRGKRZSA-N 0 0 440.478 -0.999 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(Cc3nnnn3-c3ccccc3)CC2)CC1 ZINC000533514251 1072944948 /nfs/dbraw/zinc/94/49/48/1072944948.db2.gz ZXFSHETWKBQUBV-KRWDZBQOSA-N 0 0 426.525 -0.141 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(Cc3nnnn3-c3ccccc3)CC2)CC1 ZINC000533514252 1072944939 /nfs/dbraw/zinc/94/49/39/1072944939.db2.gz ZXFSHETWKBQUBV-QGZVFWFLSA-N 0 0 426.525 -0.141 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000533514322 1070838664 /nfs/dbraw/zinc/83/86/64/1070838664.db2.gz JIXGPALFMPELDG-AWEZNQCLSA-N 0 0 434.541 -0.887 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000533514323 1072945066 /nfs/dbraw/zinc/94/50/66/1072945066.db2.gz JIXGPALFMPELDG-CQSZACIVSA-N 0 0 434.541 -0.887 20 0 IBADRN O=c1cc(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)[nH]c2ccnn21 ZINC000533514422 1072944976 /nfs/dbraw/zinc/94/49/76/1072944976.db2.gz UBTIECIUIJBZIT-UHFFFAOYSA-N 0 0 444.473 -0.140 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC(CC(=O)N3CCN(C)CC3)CC2)c(=O)[nH]c1=O ZINC000533514459 1072944886 /nfs/dbraw/zinc/94/48/86/1072944886.db2.gz YOAWKWKKVUZUCO-UHFFFAOYSA-N 0 0 434.541 0.000 20 0 IBADRN Cc1ccc(C)c(OC[C@@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000533514724 1072945355 /nfs/dbraw/zinc/94/53/55/1072945355.db2.gz LOEKTTHFTPMJPP-MOPGFXCFSA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1ccc(C)c(OC[C@@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000533514725 1072945343 /nfs/dbraw/zinc/94/53/43/1072945343.db2.gz LOEKTTHFTPMJPP-OALUTQOASA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1ccc(C)c(OC[C@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000533514726 1072945434 /nfs/dbraw/zinc/94/54/34/1072945434.db2.gz LOEKTTHFTPMJPP-RBUKOAKNSA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1ccc(C)c(OC[C@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000533514727 1072945511 /nfs/dbraw/zinc/94/55/11/1072945511.db2.gz LOEKTTHFTPMJPP-RTBURBONSA-N 0 0 439.578 -0.036 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCCCc1ccccc1 ZINC000533514971 1072945598 /nfs/dbraw/zinc/94/55/98/1072945598.db2.gz HVAPZYBQTIEQKR-IBGZPJMESA-N 0 0 436.578 -0.344 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCCCc1ccccc1 ZINC000533514972 1072945450 /nfs/dbraw/zinc/94/54/50/1072945450.db2.gz HVAPZYBQTIEQKR-LJQANCHMSA-N 0 0 436.578 -0.344 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(Cc3nnnn3-c3ccccc3)CC2)C1 ZINC000533515298 1072945386 /nfs/dbraw/zinc/94/53/86/1072945386.db2.gz VZZUMHCEQTYZCP-GOSISDBHSA-N 0 0 426.525 -0.093 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(Cc3nnnn3-c3ccccc3)CC2)C1 ZINC000533515299 1072945362 /nfs/dbraw/zinc/94/53/62/1072945362.db2.gz VZZUMHCEQTYZCP-SFHVURJKSA-N 0 0 426.525 -0.093 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(Cl)cn1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533516002 1072945903 /nfs/dbraw/zinc/94/59/03/1072945903.db2.gz AIUVYXXZIZIPMA-DZGCQCFKSA-N 0 0 443.957 -0.017 20 0 IBADRN C[C@H](C(=O)Nc1ccc(Cl)cn1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533516003 1072945952 /nfs/dbraw/zinc/94/59/52/1072945952.db2.gz AIUVYXXZIZIPMA-HIFRSBDPSA-N 0 0 443.957 -0.017 20 0 IBADRN C[C@H](C(=O)Nc1ccc(Cl)cn1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533516004 1070839418 /nfs/dbraw/zinc/83/94/18/1070839418.db2.gz AIUVYXXZIZIPMA-UKRRQHHQSA-N 0 0 443.957 -0.017 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(Cl)cn1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533516005 1072945980 /nfs/dbraw/zinc/94/59/80/1072945980.db2.gz AIUVYXXZIZIPMA-ZFWWWQNUSA-N 0 0 443.957 -0.017 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)NC(=O)Nc1ccccc1F ZINC000533516017 1072946058 /nfs/dbraw/zinc/94/60/58/1072946058.db2.gz CDPHTBZWBFJUGW-APHBMKBZSA-N 0 0 428.486 -0.050 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)NC(=O)Nc1ccccc1F ZINC000533516019 1072946321 /nfs/dbraw/zinc/94/63/21/1072946321.db2.gz CDPHTBZWBFJUGW-MAZHCROVSA-N 0 0 428.486 -0.050 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)NC(=O)Nc1ccccc1F ZINC000533516021 1072946374 /nfs/dbraw/zinc/94/63/74/1072946374.db2.gz CDPHTBZWBFJUGW-RCBQFDQVSA-N 0 0 428.486 -0.050 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)NC(=O)Nc1ccccc1F ZINC000533516022 1072946585 /nfs/dbraw/zinc/94/65/85/1072946585.db2.gz CDPHTBZWBFJUGW-VBNZEHGJSA-N 0 0 428.486 -0.050 20 0 IBADRN Cc1ccc(OC[C@@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C)c1 ZINC000533516086 1072946559 /nfs/dbraw/zinc/94/65/59/1072946559.db2.gz CENTVMGRFPMPCD-MOPGFXCFSA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1ccc(OC[C@@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C)c1 ZINC000533516087 1072946505 /nfs/dbraw/zinc/94/65/05/1072946505.db2.gz CENTVMGRFPMPCD-OALUTQOASA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1ccc(OC[C@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C)c1 ZINC000533516088 1072946443 /nfs/dbraw/zinc/94/64/43/1072946443.db2.gz CENTVMGRFPMPCD-RBUKOAKNSA-N 0 0 439.578 -0.036 20 0 IBADRN Cc1ccc(OC[C@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C)c1 ZINC000533516090 1072946509 /nfs/dbraw/zinc/94/65/09/1072946509.db2.gz CENTVMGRFPMPCD-RTBURBONSA-N 0 0 439.578 -0.036 20 0 IBADRN COc1cc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(OC)c1OC ZINC000533516102 1072946487 /nfs/dbraw/zinc/94/64/87/1072946487.db2.gz FVTQJKMDKGRXSL-CABCVRRESA-N 0 0 443.522 -0.262 20 0 IBADRN COc1cc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(OC)c1OC ZINC000533516103 1070839715 /nfs/dbraw/zinc/83/97/15/1070839715.db2.gz FVTQJKMDKGRXSL-GJZGRUSLSA-N 0 0 443.522 -0.262 20 0 IBADRN COc1cc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(OC)c1OC ZINC000533516104 1072946524 /nfs/dbraw/zinc/94/65/24/1072946524.db2.gz FVTQJKMDKGRXSL-HUUCEWRRSA-N 0 0 443.522 -0.262 20 0 IBADRN COc1cc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(OC)c1OC ZINC000533516105 1072946595 /nfs/dbraw/zinc/94/65/95/1072946595.db2.gz FVTQJKMDKGRXSL-LSDHHAIUSA-N 0 0 443.522 -0.262 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCc1ccc(OC(F)F)cc1 ZINC000533516208 1072945931 /nfs/dbraw/zinc/94/59/31/1072945931.db2.gz GGTRPWOJJHCURA-CVEARBPZSA-N 0 0 433.477 -0.008 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NCc1ccc(OC(F)F)cc1 ZINC000533516209 1072946050 /nfs/dbraw/zinc/94/60/50/1072946050.db2.gz GGTRPWOJJHCURA-HOTGVXAUSA-N 0 0 433.477 -0.008 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCc1ccc(OC(F)F)cc1 ZINC000533516210 1072946035 /nfs/dbraw/zinc/94/60/35/1072946035.db2.gz GGTRPWOJJHCURA-HZPDHXFCSA-N 0 0 433.477 -0.008 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NCc1ccc(OC(F)F)cc1 ZINC000533516211 1072946072 /nfs/dbraw/zinc/94/60/72/1072946072.db2.gz GGTRPWOJJHCURA-JKSUJKDBSA-N 0 0 433.477 -0.008 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533516261 1072946022 /nfs/dbraw/zinc/94/60/22/1072946022.db2.gz KBLBUXUPJRIUCL-DOTOQJQBSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccc(NC(=O)[C@@H](C)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533516264 1072946030 /nfs/dbraw/zinc/94/60/30/1072946030.db2.gz KBLBUXUPJRIUCL-NVXWUHKLSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533516265 1072945922 /nfs/dbraw/zinc/94/59/22/1072945922.db2.gz KBLBUXUPJRIUCL-RDJZCZTQSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccc(NC(=O)[C@@H](C)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000533516266 1072945987 /nfs/dbraw/zinc/94/59/87/1072945987.db2.gz KBLBUXUPJRIUCL-WBVHZDCISA-N 0 0 438.550 -0.057 20 0 IBADRN CC(C)(C)c1cc(NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)on1 ZINC000533516321 1072945959 /nfs/dbraw/zinc/94/59/59/1072945959.db2.gz LOUYNHUGFFDEER-AWEZNQCLSA-N 0 0 441.554 -0.169 20 0 IBADRN CC(C)(C)c1cc(NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)on1 ZINC000533516324 1072946043 /nfs/dbraw/zinc/94/60/43/1072946043.db2.gz LOUYNHUGFFDEER-CQSZACIVSA-N 0 0 441.554 -0.169 20 0 IBADRN O=S(=O)(c1ccc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)o1)N1CCCC1 ZINC000533516372 1072946002 /nfs/dbraw/zinc/94/60/02/1072946002.db2.gz NIVXNCDVJNLBCI-CVEARBPZSA-N 0 0 433.552 -0.349 20 0 IBADRN O=S(=O)(c1ccc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)o1)N1CCCC1 ZINC000533516373 1072945967 /nfs/dbraw/zinc/94/59/67/1072945967.db2.gz NIVXNCDVJNLBCI-HOTGVXAUSA-N 0 0 433.552 -0.349 20 0 IBADRN O=S(=O)(c1ccc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)o1)N1CCCC1 ZINC000533516374 1072946013 /nfs/dbraw/zinc/94/60/13/1072946013.db2.gz NIVXNCDVJNLBCI-HZPDHXFCSA-N 0 0 433.552 -0.349 20 0 IBADRN O=S(=O)(c1ccc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)o1)N1CCCC1 ZINC000533516375 1072945998 /nfs/dbraw/zinc/94/59/98/1072945998.db2.gz NIVXNCDVJNLBCI-JKSUJKDBSA-N 0 0 433.552 -0.349 20 0 IBADRN CC(=O)c1ccccc1NC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533516376 1072945993 /nfs/dbraw/zinc/94/59/93/1072945993.db2.gz NJEHSIUQXNEIID-INIZCTEOSA-N 0 0 436.534 -0.251 20 0 IBADRN CC(=O)c1ccccc1NC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533516377 1072945975 /nfs/dbraw/zinc/94/59/75/1072945975.db2.gz NJEHSIUQXNEIID-MRXNPFEDSA-N 0 0 436.534 -0.251 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCc1ccc(Cl)s1 ZINC000533516405 1072945518 /nfs/dbraw/zinc/94/55/18/1072945518.db2.gz NZHUMHIXQHBUOT-CYBMUJFWSA-N 0 0 448.998 -0.061 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCc1ccc(Cl)s1 ZINC000533516406 1070838209 /nfs/dbraw/zinc/83/82/09/1070838209.db2.gz NZHUMHIXQHBUOT-ZDUSSCGKSA-N 0 0 448.998 -0.061 20 0 IBADRN CCc1ccc(CNC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000533516438 1072945532 /nfs/dbraw/zinc/94/55/32/1072945532.db2.gz RDFPUPZXEOQTNS-HNNXBMFYSA-N 0 0 442.607 -0.152 20 0 IBADRN CCc1ccc(CNC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000533516439 1072945374 /nfs/dbraw/zinc/94/53/74/1072945374.db2.gz RDFPUPZXEOQTNS-OAHLLOKOSA-N 0 0 442.607 -0.152 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000533516496 1072946394 /nfs/dbraw/zinc/94/63/94/1072946394.db2.gz VRQQDJTVFWSHCD-HNNXBMFYSA-N 0 0 426.514 -0.006 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000533516497 1070838438 /nfs/dbraw/zinc/83/84/38/1070838438.db2.gz VRQQDJTVFWSHCD-OAHLLOKOSA-N 0 0 426.514 -0.006 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCc1ccc(Cl)cc1 ZINC000533516530 1072946308 /nfs/dbraw/zinc/94/63/08/1072946308.db2.gz RLBINTQIAJXTNK-KRWDZBQOSA-N 0 0 442.969 -0.123 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCc1ccc(Cl)cc1 ZINC000533516532 1072946365 /nfs/dbraw/zinc/94/63/65/1072946365.db2.gz RLBINTQIAJXTNK-QGZVFWFLSA-N 0 0 442.969 -0.123 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)Nc1cc(F)cc(F)c1 ZINC000533516714 1072946919 /nfs/dbraw/zinc/94/69/19/1072946919.db2.gz FXXRDRQVGQEHMU-HNNXBMFYSA-N 0 0 430.477 -0.176 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)Nc1cc(F)cc(F)c1 ZINC000533516715 1072947042 /nfs/dbraw/zinc/94/70/42/1072947042.db2.gz FXXRDRQVGQEHMU-OAHLLOKOSA-N 0 0 430.477 -0.176 20 0 IBADRN CN(Cc1ccc(F)cc1)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533516870 1072946403 /nfs/dbraw/zinc/94/64/03/1072946403.db2.gz QUNUZVQTFQFBFC-GOSISDBHSA-N 0 0 440.541 -0.295 20 0 IBADRN CN(Cc1ccc(F)cc1)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533516871 1072947141 /nfs/dbraw/zinc/94/71/41/1072947141.db2.gz QUNUZVQTFQFBFC-SFHVURJKSA-N 0 0 440.541 -0.295 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN([C@@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000533517189 1072946416 /nfs/dbraw/zinc/94/64/16/1072946416.db2.gz CCOYBKLZXOAFOP-DVOMOZLQSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000533517190 1070838126 /nfs/dbraw/zinc/83/81/26/1070838126.db2.gz CCOYBKLZXOAFOP-HEHGZKQESA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN([C@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000533517194 1072946541 /nfs/dbraw/zinc/94/65/41/1072946541.db2.gz CCOYBKLZXOAFOP-WOSRLPQWSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN([C@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000533517196 1072946563 /nfs/dbraw/zinc/94/65/63/1072946563.db2.gz CCOYBKLZXOAFOP-XJKCOSOUSA-N 0 0 432.568 -0.087 20 0 IBADRN Cc1ccccc1CN(C)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533517565 1072947088 /nfs/dbraw/zinc/94/70/88/1072947088.db2.gz JQWXXXVDDMJBJM-IBGZPJMESA-N 0 0 436.578 -0.126 20 0 IBADRN Cc1ccccc1CN(C)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533517566 1072946980 /nfs/dbraw/zinc/94/69/80/1072946980.db2.gz JQWXXXVDDMJBJM-LJQANCHMSA-N 0 0 436.578 -0.126 20 0 IBADRN CCN(Cc1ccccc1)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000533518008 1072947829 /nfs/dbraw/zinc/94/78/29/1072947829.db2.gz USBKHYMZKKTMFN-IBGZPJMESA-N 0 0 436.578 -0.044 20 0 IBADRN CCN(Cc1ccccc1)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000533518189 1070838632 /nfs/dbraw/zinc/83/86/32/1070838632.db2.gz USBKHYMZKKTMFN-LJQANCHMSA-N 0 0 436.578 -0.044 20 0 IBADRN O=C(CN1CCN([C@@H]2CCN(c3ccccc3F)C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000533518213 1072947058 /nfs/dbraw/zinc/94/70/58/1072947058.db2.gz XSMWWNWMCZNQRD-CRAIPNDOSA-N 0 0 438.525 -0.148 20 0 IBADRN O=C(CN1CCN([C@@H]2CCN(c3ccccc3F)C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000533518214 1072947071 /nfs/dbraw/zinc/94/70/71/1072947071.db2.gz XSMWWNWMCZNQRD-MAUKXSAKSA-N 0 0 438.525 -0.148 20 0 IBADRN O=C(CN1CCN([C@H]2CCN(c3ccccc3F)C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000533518215 1072947025 /nfs/dbraw/zinc/94/70/25/1072947025.db2.gz XSMWWNWMCZNQRD-QAPCUYQASA-N 0 0 438.525 -0.148 20 0 IBADRN O=C(CN1CCN([C@H]2CCN(c3ccccc3F)C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000533518216 1072947834 /nfs/dbraw/zinc/94/78/34/1072947834.db2.gz XSMWWNWMCZNQRD-YJBOKZPZSA-N 0 0 438.525 -0.148 20 0 IBADRN NC(=O)CCN(C(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(F)cc1 ZINC000533518387 1072946930 /nfs/dbraw/zinc/94/69/30/1072946930.db2.gz PKJNNKYSRUDXAG-DLBZAZTESA-N 0 0 442.513 -0.879 20 0 IBADRN NC(=O)CCN(C(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(F)cc1 ZINC000533518391 1072946863 /nfs/dbraw/zinc/94/68/63/1072946863.db2.gz PKJNNKYSRUDXAG-IAGOWNOFSA-N 0 0 442.513 -0.879 20 0 IBADRN NC(=O)CCN(C(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(F)cc1 ZINC000533518393 1072946993 /nfs/dbraw/zinc/94/69/93/1072946993.db2.gz PKJNNKYSRUDXAG-IRXDYDNUSA-N 0 0 442.513 -0.879 20 0 IBADRN NC(=O)CCN(C(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(F)cc1 ZINC000533518396 1070838470 /nfs/dbraw/zinc/83/84/70/1070838470.db2.gz PKJNNKYSRUDXAG-SJORKVTESA-N 0 0 442.513 -0.879 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000533518451 1070839601 /nfs/dbraw/zinc/83/96/01/1070839601.db2.gz RCCCTMNHXHAKRK-DLBZAZTESA-N 0 0 425.507 -0.111 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000533518453 1070839134 /nfs/dbraw/zinc/83/91/34/1070839134.db2.gz RCCCTMNHXHAKRK-IAGOWNOFSA-N 0 0 425.507 -0.111 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000533518454 1072946962 /nfs/dbraw/zinc/94/69/62/1072946962.db2.gz RCCCTMNHXHAKRK-IRXDYDNUSA-N 0 0 425.507 -0.111 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000533518460 1070839657 /nfs/dbraw/zinc/83/96/57/1070839657.db2.gz RCCCTMNHXHAKRK-SJORKVTESA-N 0 0 425.507 -0.111 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533518529 1072947607 /nfs/dbraw/zinc/94/76/07/1072947607.db2.gz LKUVONKLRPPZHC-DLBZAZTESA-N 0 0 425.507 -0.111 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000533518530 1072947680 /nfs/dbraw/zinc/94/76/80/1072947680.db2.gz TZZXHXRNFUQKKE-DFQSSKMNSA-N 0 0 436.578 -0.284 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000533518531 1072947851 /nfs/dbraw/zinc/94/78/51/1072947851.db2.gz LKUVONKLRPPZHC-IAGOWNOFSA-N 0 0 425.507 -0.111 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533518532 1072947880 /nfs/dbraw/zinc/94/78/80/1072947880.db2.gz LKUVONKLRPPZHC-IRXDYDNUSA-N 0 0 425.507 -0.111 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)NC[C@H]1CCN(c2ccccc2)C1 ZINC000533518533 1072947574 /nfs/dbraw/zinc/94/75/74/1072947574.db2.gz TZZXHXRNFUQKKE-MISYRCLQSA-N 0 0 436.578 -0.284 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000533518534 1072947541 /nfs/dbraw/zinc/94/75/41/1072947541.db2.gz LKUVONKLRPPZHC-SJORKVTESA-N 0 0 425.507 -0.111 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NC[C@H]1CCN(c2ccccc2)C1 ZINC000533518535 1072947781 /nfs/dbraw/zinc/94/77/81/1072947781.db2.gz TZZXHXRNFUQKKE-RLLQIKCJSA-N 0 0 436.578 -0.284 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000533518537 1072947696 /nfs/dbraw/zinc/94/76/96/1072947696.db2.gz TZZXHXRNFUQKKE-SXLOBPIMSA-N 0 0 436.578 -0.284 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000533518634 1072947766 /nfs/dbraw/zinc/94/77/66/1072947766.db2.gz ZLFNLHDOZMKNCJ-INIZCTEOSA-N 0 0 426.514 -0.006 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000533518635 1072948431 /nfs/dbraw/zinc/94/84/31/1072948431.db2.gz ZLFNLHDOZMKNCJ-MRXNPFEDSA-N 0 0 426.514 -0.006 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccc(Br)cn1 ZINC000533518755 1072947632 /nfs/dbraw/zinc/94/76/32/1072947632.db2.gz WRSAFQABSZPVPX-CHWSQXEVSA-N 0 0 433.328 -0.130 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccc(Br)cn1 ZINC000533518758 1070839041 /nfs/dbraw/zinc/83/90/41/1070839041.db2.gz WRSAFQABSZPVPX-OLZOCXBDSA-N 0 0 433.328 -0.130 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccc(Br)cn1 ZINC000533518759 1072947716 /nfs/dbraw/zinc/94/77/16/1072947716.db2.gz WRSAFQABSZPVPX-QWHCGFSZSA-N 0 0 433.328 -0.130 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccc(Br)cn1 ZINC000533518763 1070839359 /nfs/dbraw/zinc/83/93/59/1070839359.db2.gz WRSAFQABSZPVPX-STQMWFEESA-N 0 0 433.328 -0.130 20 0 IBADRN COc1ccc(CCNC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000533518982 1072947742 /nfs/dbraw/zinc/94/77/42/1072947742.db2.gz GSHBESSXOQTOIQ-DLBZAZTESA-N 0 0 441.550 -0.550 20 0 IBADRN COc1ccc(CCNC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000533518983 1070839540 /nfs/dbraw/zinc/83/95/40/1070839540.db2.gz GSHBESSXOQTOIQ-IAGOWNOFSA-N 0 0 441.550 -0.550 20 0 IBADRN COc1ccc(CCNC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000533518984 1072947657 /nfs/dbraw/zinc/94/76/57/1072947657.db2.gz GSHBESSXOQTOIQ-IRXDYDNUSA-N 0 0 441.550 -0.550 20 0 IBADRN COc1ccc(CCNC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000533518985 1072947800 /nfs/dbraw/zinc/94/78/00/1072947800.db2.gz GSHBESSXOQTOIQ-SJORKVTESA-N 0 0 441.550 -0.550 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccc2c(c1)OCCCO2 ZINC000533519772 1072948504 /nfs/dbraw/zinc/94/85/04/1072948504.db2.gz GDCMLJHPSFEDGH-CVEARBPZSA-N 0 0 425.507 -0.126 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccc2c(c1)OCCCO2 ZINC000533519773 1072948342 /nfs/dbraw/zinc/94/83/42/1072948342.db2.gz GDCMLJHPSFEDGH-HOTGVXAUSA-N 0 0 425.507 -0.126 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccc2c(c1)OCCCO2 ZINC000533519774 1072948457 /nfs/dbraw/zinc/94/84/57/1072948457.db2.gz GDCMLJHPSFEDGH-HZPDHXFCSA-N 0 0 425.507 -0.126 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccc2c(c1)OCCCO2 ZINC000533519775 1072948194 /nfs/dbraw/zinc/94/81/94/1072948194.db2.gz GDCMLJHPSFEDGH-JKSUJKDBSA-N 0 0 425.507 -0.126 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nc(N)nc(Nc2ccccc2)n1 ZINC000533520168 1072948479 /nfs/dbraw/zinc/94/84/79/1072948479.db2.gz WFXYXOJRDSFRBL-BPUTZDHNSA-N 0 0 433.538 -0.232 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nc(N)nc(Nc2ccccc2)n1 ZINC000533520169 1072948227 /nfs/dbraw/zinc/94/82/27/1072948227.db2.gz WFXYXOJRDSFRBL-CWRNSKLLSA-N 0 0 433.538 -0.232 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nc(N)nc(Nc2ccccc2)n1 ZINC000533520170 1072948419 /nfs/dbraw/zinc/94/84/19/1072948419.db2.gz WFXYXOJRDSFRBL-IMJJTQAJSA-N 0 0 433.538 -0.232 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nc(N)nc(Nc2ccccc2)n1 ZINC000533520171 1072948250 /nfs/dbraw/zinc/94/82/50/1072948250.db2.gz WFXYXOJRDSFRBL-NUEKZKHPSA-N 0 0 433.538 -0.232 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CC1 ZINC000533520321 1072948213 /nfs/dbraw/zinc/94/82/13/1072948213.db2.gz KVNVCQBZERKJKW-UHFFFAOYSA-N 0 0 426.539 -0.087 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000533520546 1072948304 /nfs/dbraw/zinc/94/83/04/1072948304.db2.gz DRUBEHINIKVVQV-DLBZAZTESA-N 0 0 445.568 -0.254 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000533520547 1072948487 /nfs/dbraw/zinc/94/84/87/1072948487.db2.gz DRUBEHINIKVVQV-IAGOWNOFSA-N 0 0 445.568 -0.254 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000533520548 1072948235 /nfs/dbraw/zinc/94/82/35/1072948235.db2.gz DRUBEHINIKVVQV-IRXDYDNUSA-N 0 0 445.568 -0.254 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000533520549 1072948363 /nfs/dbraw/zinc/94/83/63/1072948363.db2.gz DRUBEHINIKVVQV-SJORKVTESA-N 0 0 445.568 -0.254 20 0 IBADRN CCN(C1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CC1)S(C)(=O)=O ZINC000533520554 1072948285 /nfs/dbraw/zinc/94/82/85/1072948285.db2.gz DWRURISGYTXDQA-UHFFFAOYSA-N 0 0 440.632 -0.091 20 0 IBADRN CCCCN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533520603 1072948324 /nfs/dbraw/zinc/94/83/24/1072948324.db2.gz IYVXATBVEBLFDH-DLBZAZTESA-N 0 0 435.612 -0.393 20 0 IBADRN CCCCN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000533520604 1072948440 /nfs/dbraw/zinc/94/84/40/1072948440.db2.gz IYVXATBVEBLFDH-IAGOWNOFSA-N 0 0 435.612 -0.393 20 0 IBADRN CCCCN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533520605 1072948847 /nfs/dbraw/zinc/94/88/47/1072948847.db2.gz IYVXATBVEBLFDH-IRXDYDNUSA-N 0 0 435.612 -0.393 20 0 IBADRN CCCCN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000533520606 1072948907 /nfs/dbraw/zinc/94/89/07/1072948907.db2.gz IYVXATBVEBLFDH-SJORKVTESA-N 0 0 435.612 -0.393 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(CC(=O)NCC(=O)N3CCCC3)CC2)cc1 ZINC000533520773 1072948450 /nfs/dbraw/zinc/94/84/50/1072948450.db2.gz VTXSVOIOWMSEJC-UHFFFAOYSA-N 0 0 431.537 -0.219 20 0 IBADRN CCN(C1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1)S(C)(=O)=O ZINC000533521096 1072948263 /nfs/dbraw/zinc/94/82/63/1072948263.db2.gz UVWFWROHGVJSLH-UHFFFAOYSA-N 0 0 446.595 -0.261 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000533521316 1072948385 /nfs/dbraw/zinc/94/83/85/1072948385.db2.gz ALQZSCSNLYHBLZ-UHFFFAOYSA-N 0 0 445.630 -0.209 20 0 IBADRN CCN(C1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1)S(C)(=O)=O ZINC000533521953 1072949050 /nfs/dbraw/zinc/94/90/50/1072949050.db2.gz ZZCOXJMVBHNIFR-UHFFFAOYSA-N 0 0 444.579 -0.031 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CC1 ZINC000533522473 1072948857 /nfs/dbraw/zinc/94/88/57/1072948857.db2.gz WGANKONOMYFIND-UHFFFAOYSA-N 0 0 443.570 -0.286 20 0 IBADRN CCN(C1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CC1)S(C)(=O)=O ZINC000533523031 1070841530 /nfs/dbraw/zinc/84/15/30/1070841530.db2.gz IQLDNLLMMQHZOL-UHFFFAOYSA-N 0 0 443.570 -0.286 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(OCC(=O)N(C)C)c(OC)c2)C1 ZINC000533527818 1072947079 /nfs/dbraw/zinc/94/70/79/1072947079.db2.gz YZAZHHXEZIKNND-AWEZNQCLSA-N 0 0 428.511 -0.005 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(OCC(=O)N(C)C)c(OC)c2)C1 ZINC000533527819 1070844305 /nfs/dbraw/zinc/84/43/05/1070844305.db2.gz YZAZHHXEZIKNND-CQSZACIVSA-N 0 0 428.511 -0.005 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000533528093 1072947051 /nfs/dbraw/zinc/94/70/51/1072947051.db2.gz SQWFVILQYSFFRX-HNNXBMFYSA-N 0 0 434.540 -0.556 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000533528094 1072947006 /nfs/dbraw/zinc/94/70/06/1072947006.db2.gz SQWFVILQYSFFRX-OAHLLOKOSA-N 0 0 434.540 -0.556 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(c3ccnc(N(C)C)n3)CC2)c(=O)n(C)c1=O ZINC000533530512 1072947097 /nfs/dbraw/zinc/94/70/97/1072947097.db2.gz MRHQRYUOLRPIPE-UHFFFAOYSA-N 0 0 444.540 -0.354 20 0 IBADRN CN(C)c1nccc(N2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)n1 ZINC000533530670 1072946945 /nfs/dbraw/zinc/94/69/45/1072946945.db2.gz UGNIVDLEQBZJHP-UHFFFAOYSA-N 0 0 445.549 -0.099 20 0 IBADRN CN(C)c1nccc(N2CCN(CC(=O)NCc3ccc(OCC(N)=O)cc3)CC2)n1 ZINC000533531594 1072947116 /nfs/dbraw/zinc/94/71/16/1072947116.db2.gz SRPHFEJLWQHTIP-UHFFFAOYSA-N 0 0 427.509 -0.155 20 0 IBADRN CN(C)c1nccc(N2CCN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)CC2)n1 ZINC000533532691 1072946889 /nfs/dbraw/zinc/94/68/89/1072946889.db2.gz YGNDWCABDHRGIK-UHFFFAOYSA-N 0 0 446.600 -0.277 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCc3ccc(S(=O)(=O)N4CCCC4)cc32)c(=O)n(C)c1=O ZINC000533532956 1072946847 /nfs/dbraw/zinc/94/68/47/1072946847.db2.gz KVAZTDYDCHIPBU-UHFFFAOYSA-N 0 0 447.517 -0.304 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000533535021 1072950679 /nfs/dbraw/zinc/95/06/79/1072950679.db2.gz PZZZUIMOQLLTAK-HNNXBMFYSA-N 0 0 434.540 -0.556 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000533535022 1072950712 /nfs/dbraw/zinc/95/07/12/1072950712.db2.gz PZZZUIMOQLLTAK-OAHLLOKOSA-N 0 0 434.540 -0.556 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)CC1 ZINC000533537920 1072948987 /nfs/dbraw/zinc/94/89/87/1072948987.db2.gz KLGLTUDMPQIWLE-UHFFFAOYSA-N 0 0 449.577 -0.889 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000533540915 1072949500 /nfs/dbraw/zinc/94/95/00/1072949500.db2.gz FHWRNCBRESMKEE-CYBMUJFWSA-N 0 0 434.540 -0.971 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@H](S(=O)(=O)NC)C2)c1 ZINC000533540916 1072949522 /nfs/dbraw/zinc/94/95/22/1072949522.db2.gz FHWRNCBRESMKEE-ZDUSSCGKSA-N 0 0 434.540 -0.971 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000533541062 1072948932 /nfs/dbraw/zinc/94/89/32/1072948932.db2.gz QDOCFXVIBQUVCK-SECBINFHSA-N 0 0 425.435 -0.543 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000533541063 1072949433 /nfs/dbraw/zinc/94/94/33/1072949433.db2.gz QDOCFXVIBQUVCK-VIFPVBQESA-N 0 0 425.435 -0.543 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)C1 ZINC000533541103 1072949030 /nfs/dbraw/zinc/94/90/30/1072949030.db2.gz CPYSGASBPRLWNR-LLVKDONJSA-N 0 0 436.487 -0.835 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)C1 ZINC000533541104 1072949046 /nfs/dbraw/zinc/94/90/46/1072949046.db2.gz CPYSGASBPRLWNR-NSHDSACASA-N 0 0 436.487 -0.835 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000533541132 1072948941 /nfs/dbraw/zinc/94/89/41/1072948941.db2.gz GCDZMRYWFZPAER-AWEZNQCLSA-N 0 0 426.499 -0.970 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000533541133 1072949012 /nfs/dbraw/zinc/94/90/12/1072949012.db2.gz GCDZMRYWFZPAER-CQSZACIVSA-N 0 0 426.499 -0.970 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)c1 ZINC000533541300 1072948876 /nfs/dbraw/zinc/94/88/76/1072948876.db2.gz KXLVWWCONLPUAZ-INIZCTEOSA-N 0 0 440.522 -0.068 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000533541301 1072948947 /nfs/dbraw/zinc/94/89/47/1072948947.db2.gz KXLVWWCONLPUAZ-MRXNPFEDSA-N 0 0 440.522 -0.068 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1OCC ZINC000533541314 1072948972 /nfs/dbraw/zinc/94/89/72/1072948972.db2.gz LBRODFJZPUWKOT-AWEZNQCLSA-N 0 0 449.551 -0.088 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1OCC ZINC000533541315 1072948918 /nfs/dbraw/zinc/94/89/18/1072948918.db2.gz LBRODFJZPUWKOT-CQSZACIVSA-N 0 0 449.551 -0.088 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C(C)C)cc2)C1 ZINC000533541388 1072948893 /nfs/dbraw/zinc/94/88/93/1072948893.db2.gz SZQZOONCKUCNRT-HNNXBMFYSA-N 0 0 446.551 -0.196 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C(C)C)cc2)C1 ZINC000533541389 1072948944 /nfs/dbraw/zinc/94/89/44/1072948944.db2.gz SZQZOONCKUCNRT-OAHLLOKOSA-N 0 0 446.551 -0.196 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000533541519 1070846632 /nfs/dbraw/zinc/84/66/32/1070846632.db2.gz GUZAAAYNZDXQSP-AWEZNQCLSA-N 0 0 447.535 -0.520 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000533541523 1072949976 /nfs/dbraw/zinc/94/99/76/1072949976.db2.gz GUZAAAYNZDXQSP-CQSZACIVSA-N 0 0 447.535 -0.520 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)C1 ZINC000533541760 1072950029 /nfs/dbraw/zinc/95/00/29/1072950029.db2.gz NLBVEUCNPKBPSY-GFCCVEGCSA-N 0 0 446.913 -0.104 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)C1 ZINC000533541761 1072950101 /nfs/dbraw/zinc/95/01/01/1072950101.db2.gz NLBVEUCNPKBPSY-LBPRGKRZSA-N 0 0 446.913 -0.104 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)C1 ZINC000533541883 1072949861 /nfs/dbraw/zinc/94/98/61/1072949861.db2.gz ZWEOEXHVCSCWRM-INIZCTEOSA-N 0 0 438.506 -0.224 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)C1 ZINC000533541885 1072950117 /nfs/dbraw/zinc/95/01/17/1072950117.db2.gz ZWEOEXHVCSCWRM-MRXNPFEDSA-N 0 0 438.506 -0.224 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000533541891 1072949968 /nfs/dbraw/zinc/94/99/68/1072949968.db2.gz RJPPLVQYZJABBF-CYBMUJFWSA-N 0 0 437.565 -0.538 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000533541892 1072950145 /nfs/dbraw/zinc/95/01/45/1072950145.db2.gz RJPPLVQYZJABBF-ZDUSSCGKSA-N 0 0 437.565 -0.538 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](S(=O)(=O)NC)C1)CC2 ZINC000533542110 1072949537 /nfs/dbraw/zinc/94/95/37/1072949537.db2.gz LYBVYFOATMPVFK-AWEZNQCLSA-N 0 0 444.535 -0.976 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](S(=O)(=O)NC)C1)CC2 ZINC000533542111 1072949507 /nfs/dbraw/zinc/94/95/07/1072949507.db2.gz LYBVYFOATMPVFK-CQSZACIVSA-N 0 0 444.535 -0.976 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000533542123 1072949443 /nfs/dbraw/zinc/94/94/43/1072949443.db2.gz NKCARGKWHQIKEB-GFCCVEGCSA-N 0 0 448.498 -0.546 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000533542124 1072949402 /nfs/dbraw/zinc/94/94/02/1072949402.db2.gz NKCARGKWHQIKEB-LBPRGKRZSA-N 0 0 448.498 -0.546 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000533542180 1072949514 /nfs/dbraw/zinc/94/95/14/1072949514.db2.gz RZRYKUCZNDIQIY-GFCCVEGCSA-N 0 0 448.498 -0.645 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000533542181 1072949530 /nfs/dbraw/zinc/94/95/30/1072949530.db2.gz RZRYKUCZNDIQIY-LBPRGKRZSA-N 0 0 448.498 -0.645 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)C1 ZINC000533542193 1072949473 /nfs/dbraw/zinc/94/94/73/1072949473.db2.gz ARHZNLGMWRFHJM-HNNXBMFYSA-N 0 0 438.506 -0.444 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)C1 ZINC000533542194 1072949416 /nfs/dbraw/zinc/94/94/16/1072949416.db2.gz ARHZNLGMWRFHJM-OAHLLOKOSA-N 0 0 438.506 -0.444 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)C1 ZINC000533542217 1072949547 /nfs/dbraw/zinc/94/95/47/1072949547.db2.gz ITCUBTGFEKUCKK-AWEZNQCLSA-N 0 0 444.535 -0.376 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)C1 ZINC000533542218 1072949385 /nfs/dbraw/zinc/94/93/85/1072949385.db2.gz ITCUBTGFEKUCKK-CQSZACIVSA-N 0 0 444.535 -0.376 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000533542292 1072949484 /nfs/dbraw/zinc/94/94/84/1072949484.db2.gz OBPNBSYDYCHMRC-AWEZNQCLSA-N 0 0 432.524 -0.537 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000533542296 1072949323 /nfs/dbraw/zinc/94/93/23/1072949323.db2.gz OBPNBSYDYCHMRC-CQSZACIVSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000533542320 1072949362 /nfs/dbraw/zinc/94/93/62/1072949362.db2.gz QCZNUDCGXLGKQL-CYBMUJFWSA-N 0 0 434.474 -0.219 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000533542322 1072949460 /nfs/dbraw/zinc/94/94/60/1072949460.db2.gz QCZNUDCGXLGKQL-ZDUSSCGKSA-N 0 0 434.474 -0.219 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000533542397 1072949348 /nfs/dbraw/zinc/94/93/48/1072949348.db2.gz ZJLQFDQPRGWBIW-GFCCVEGCSA-N 0 0 448.498 -0.546 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000533542398 1072949337 /nfs/dbraw/zinc/94/93/37/1072949337.db2.gz ZJLQFDQPRGWBIW-LBPRGKRZSA-N 0 0 448.498 -0.546 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000533542525 1072949945 /nfs/dbraw/zinc/94/99/45/1072949945.db2.gz KIXCEMZQMZYQBU-CYBMUJFWSA-N 0 0 435.524 -0.478 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000533542526 1072950114 /nfs/dbraw/zinc/95/01/14/1072950114.db2.gz KIXCEMZQMZYQBU-ZDUSSCGKSA-N 0 0 435.524 -0.478 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC000533543596 1070847998 /nfs/dbraw/zinc/84/79/98/1070847998.db2.gz SGCBJDAXEXLXFK-GDBMZVCRSA-N 0 0 431.536 -0.092 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000533543597 1072950108 /nfs/dbraw/zinc/95/01/08/1072950108.db2.gz SGCBJDAXEXLXFK-GOEBONIOSA-N 0 0 431.536 -0.092 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000533543598 1072949994 /nfs/dbraw/zinc/94/99/94/1072949994.db2.gz SGCBJDAXEXLXFK-HOCLYGCPSA-N 0 0 431.536 -0.092 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC000533543599 1072950121 /nfs/dbraw/zinc/95/01/21/1072950121.db2.gz SGCBJDAXEXLXFK-ZBFHGGJFSA-N 0 0 431.536 -0.092 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2ncc(Cl)c(N3CC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000533544736 1072950673 /nfs/dbraw/zinc/95/06/73/1072950673.db2.gz XIPQFCBNJVSTFG-GHMZBOCLSA-N 0 0 438.963 -0.614 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2nc(N3CC[C@H](S(=O)(=O)NC)C3)ncc2Cl)C1 ZINC000533544737 1072950497 /nfs/dbraw/zinc/95/04/97/1072950497.db2.gz XIPQFCBNJVSTFG-MNOVXSKESA-N 0 0 438.963 -0.614 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(c2ncc(Cl)c(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000533544745 1072950694 /nfs/dbraw/zinc/95/06/94/1072950694.db2.gz XIPQFCBNJVSTFG-QWRGUYRKSA-N 0 0 438.963 -0.614 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2ncc(Cl)c(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000533544748 1072950608 /nfs/dbraw/zinc/95/06/08/1072950608.db2.gz XIPQFCBNJVSTFG-WDEREUQCSA-N 0 0 438.963 -0.614 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN([C@@H](C)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000533545167 1072950692 /nfs/dbraw/zinc/95/06/92/1072950692.db2.gz FXERDLGXGKZKSH-GXTWGEPZSA-N 0 0 448.567 -0.104 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN([C@@H](C)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000533545168 1072950564 /nfs/dbraw/zinc/95/05/64/1072950564.db2.gz FXERDLGXGKZKSH-JSGCOSHPSA-N 0 0 448.567 -0.104 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN([C@H](C)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000533545169 1072950690 /nfs/dbraw/zinc/95/06/90/1072950690.db2.gz FXERDLGXGKZKSH-OCCSQVGLSA-N 0 0 448.567 -0.104 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN([C@H](C)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000533545170 1072950580 /nfs/dbraw/zinc/95/05/80/1072950580.db2.gz FXERDLGXGKZKSH-TZMCWYRMSA-N 0 0 448.567 -0.104 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000533545349 1072950005 /nfs/dbraw/zinc/95/00/05/1072950005.db2.gz MWDNULCDPDOQBB-INIZCTEOSA-N 0 0 448.542 -0.718 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000533545350 1072950126 /nfs/dbraw/zinc/95/01/26/1072950126.db2.gz MWDNULCDPDOQBB-MRXNPFEDSA-N 0 0 448.542 -0.718 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000533545781 1072950460 /nfs/dbraw/zinc/95/04/60/1072950460.db2.gz BBGMJSCDPPFXJF-AWEZNQCLSA-N 0 0 448.542 -0.718 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000533545782 1072950588 /nfs/dbraw/zinc/95/05/88/1072950588.db2.gz BBGMJSCDPPFXJF-CQSZACIVSA-N 0 0 448.542 -0.718 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000533545817 1072950508 /nfs/dbraw/zinc/95/05/08/1072950508.db2.gz KXZDUEKPESANRE-INIZCTEOSA-N 0 0 430.552 -0.857 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000533545818 1072950652 /nfs/dbraw/zinc/95/06/52/1072950652.db2.gz KXZDUEKPESANRE-MRXNPFEDSA-N 0 0 430.552 -0.857 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000533545889 1072950553 /nfs/dbraw/zinc/95/05/53/1072950553.db2.gz FMGZMHFIBWKCNU-INIZCTEOSA-N 0 0 446.551 -0.731 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000533545891 1072950645 /nfs/dbraw/zinc/95/06/45/1072950645.db2.gz FMGZMHFIBWKCNU-MRXNPFEDSA-N 0 0 446.551 -0.731 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000533562056 1072950630 /nfs/dbraw/zinc/95/06/30/1072950630.db2.gz FPZRUJOOQJLWCX-AWEZNQCLSA-N 0 0 425.511 -0.118 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000533562057 1072951260 /nfs/dbraw/zinc/95/12/60/1072951260.db2.gz FPZRUJOOQJLWCX-CQSZACIVSA-N 0 0 425.511 -0.118 20 0 IBADRN Cn1cc([C@H](CNC(=O)[C@H]2CC(=O)N(C3CC3)C2)NC(=O)[C@H]2CC(=O)N(C3CC3)C2)cn1 ZINC000533564439 1072951216 /nfs/dbraw/zinc/95/12/16/1072951216.db2.gz XMJGFIPFYDYZRR-DEYYWGMASA-N 0 0 442.520 -0.285 20 0 IBADRN Cn1cc([C@H](CNC(=O)[C@@H]2CC(=O)N(C3CC3)C2)NC(=O)[C@H]2CC(=O)N(C3CC3)C2)cn1 ZINC000533564440 1072951132 /nfs/dbraw/zinc/95/11/32/1072951132.db2.gz XMJGFIPFYDYZRR-GLJUWKHASA-N 0 0 442.520 -0.285 20 0 IBADRN Cn1cc([C@H](CNC(=O)[C@H]2CC(=O)N(C3CC3)C2)NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)cn1 ZINC000533564441 1072951212 /nfs/dbraw/zinc/95/12/12/1072951212.db2.gz XMJGFIPFYDYZRR-IYOUNJFTSA-N 0 0 442.520 -0.285 20 0 IBADRN Cn1cc([C@H](CNC(=O)[C@@H]2CC(=O)N(C3CC3)C2)NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)cn1 ZINC000533564442 1072951195 /nfs/dbraw/zinc/95/11/95/1072951195.db2.gz XMJGFIPFYDYZRR-LBTNJELSSA-N 0 0 442.520 -0.285 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)c1 ZINC000533567338 1072951252 /nfs/dbraw/zinc/95/12/52/1072951252.db2.gz MBABSDHGNMRQAB-UHFFFAOYSA-N 0 0 441.944 -0.492 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000533571690 1072951263 /nfs/dbraw/zinc/95/12/63/1072951263.db2.gz PQYAWKPGLNDCFB-LLVKDONJSA-N 0 0 427.570 -0.100 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000533571691 1072951160 /nfs/dbraw/zinc/95/11/60/1072951160.db2.gz PQYAWKPGLNDCFB-NSHDSACASA-N 0 0 427.570 -0.100 20 0 IBADRN CCc1c(C(=O)NCCS(=O)(=O)NC(C)(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000533582052 1072951222 /nfs/dbraw/zinc/95/12/22/1072951222.db2.gz OQFGNHXOMTXSIA-UHFFFAOYSA-N 0 0 425.511 -0.358 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)C2)no1 ZINC000533584582 1073378460 /nfs/dbraw/zinc/37/84/60/1073378460.db2.gz AUJJXYVWIRXEMV-CYBMUJFWSA-N 0 0 439.498 -0.707 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)C2)no1 ZINC000533584583 1073378300 /nfs/dbraw/zinc/37/83/00/1073378300.db2.gz AUJJXYVWIRXEMV-ZDUSSCGKSA-N 0 0 439.498 -0.707 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000533584686 1072951204 /nfs/dbraw/zinc/95/12/04/1072951204.db2.gz CRHKXJKSAHFTBU-UHFFFAOYSA-N 0 0 438.554 -0.307 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CC[C@H](N(Cc2noc(CC)n2)C(C)=O)C1 ZINC000533584921 1073378469 /nfs/dbraw/zinc/37/84/69/1073378469.db2.gz COFPJGAMBXZCFQ-AWEZNQCLSA-N 0 0 431.515 -0.463 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CC[C@@H](N(Cc2noc(CC)n2)C(C)=O)C1 ZINC000533584922 1073378370 /nfs/dbraw/zinc/37/83/70/1073378370.db2.gz COFPJGAMBXZCFQ-CQSZACIVSA-N 0 0 431.515 -0.463 20 0 IBADRN C[C@@H](CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)S(C)(=O)=O ZINC000533584966 1072951237 /nfs/dbraw/zinc/95/12/37/1072951237.db2.gz JTEZTCSCSKCSPV-AWEZNQCLSA-N 0 0 433.556 -0.734 20 0 IBADRN C[C@H](CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)S(C)(=O)=O ZINC000533584967 1070853869 /nfs/dbraw/zinc/85/38/69/1070853869.db2.gz JTEZTCSCSKCSPV-CQSZACIVSA-N 0 0 433.556 -0.734 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)S(C)(=O)=O ZINC000533588827 1072951186 /nfs/dbraw/zinc/95/11/86/1072951186.db2.gz GIAWIGIHYYDHJK-CYBMUJFWSA-N 0 0 447.535 -0.093 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)S(C)(=O)=O ZINC000533588828 1072951580 /nfs/dbraw/zinc/95/15/80/1072951580.db2.gz GIAWIGIHYYDHJK-ZDUSSCGKSA-N 0 0 447.535 -0.093 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000533588956 1072951705 /nfs/dbraw/zinc/95/17/05/1072951705.db2.gz JGOJLALVMRBJAU-HZSPNIEDSA-N 0 0 426.561 -0.899 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)S(C)(=O)=O ZINC000533588957 1072951717 /nfs/dbraw/zinc/95/17/17/1072951717.db2.gz JGOJLALVMRBJAU-MCIONIFRSA-N 0 0 426.561 -0.899 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)S(C)(=O)=O ZINC000533588958 1072951710 /nfs/dbraw/zinc/95/17/10/1072951710.db2.gz JGOJLALVMRBJAU-MGPQQGTHSA-N 0 0 426.561 -0.899 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000533588959 1072951730 /nfs/dbraw/zinc/95/17/30/1072951730.db2.gz JGOJLALVMRBJAU-MJBXVCDLSA-N 0 0 426.561 -0.899 20 0 IBADRN COC(=O)c1cccc([C@@H](C(=O)OC)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000533590644 1072951702 /nfs/dbraw/zinc/95/17/02/1072951702.db2.gz PBEBFYFTTYWLAV-KRWDZBQOSA-N 0 0 441.506 -0.118 20 0 IBADRN COC(=O)c1cccc([C@H](C(=O)OC)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000533590645 1072951652 /nfs/dbraw/zinc/95/16/52/1072951652.db2.gz PBEBFYFTTYWLAV-QGZVFWFLSA-N 0 0 441.506 -0.118 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)S(C)(=O)=O ZINC000533591254 1072951690 /nfs/dbraw/zinc/95/16/90/1072951690.db2.gz JDZFPDIZHPWYSE-CYBMUJFWSA-N 0 0 446.551 -0.890 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1)S(C)(=O)=O ZINC000533591258 1072951660 /nfs/dbraw/zinc/95/16/60/1072951660.db2.gz JDZFPDIZHPWYSE-ZDUSSCGKSA-N 0 0 446.551 -0.890 20 0 IBADRN O=C(Nc1cccc(-c2ncco2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000533592000 1072951712 /nfs/dbraw/zinc/95/17/12/1072951712.db2.gz QJFBQROQPKHBEL-UHFFFAOYSA-N 0 0 441.444 -0.190 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N[C@H]1CC[C@H](NC(=O)CN(C)S(=O)(=O)CC)CC1 ZINC000533593074 1072951535 /nfs/dbraw/zinc/95/15/35/1072951535.db2.gz URJLMQPCBOKKHD-HDJSIYSDSA-N 0 0 440.588 -0.907 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H](C)CC)CC2)c1=O ZINC000533593139 1072951615 /nfs/dbraw/zinc/95/16/15/1072951615.db2.gz HRATYPWSVODUEI-HNNXBMFYSA-N 0 0 449.508 -0.591 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CC(=O)N[C@H](C)CC)CC2)c1=O ZINC000533593140 1072951566 /nfs/dbraw/zinc/95/15/66/1072951566.db2.gz HRATYPWSVODUEI-OAHLLOKOSA-N 0 0 449.508 -0.591 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)c1=O ZINC000533593212 1072951517 /nfs/dbraw/zinc/95/15/17/1072951517.db2.gz YIGVSJHCZPNWPU-UHFFFAOYSA-N 0 0 430.417 -0.073 20 0 IBADRN CCn1nc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000533593348 1072951629 /nfs/dbraw/zinc/95/16/29/1072951629.db2.gz OYEBKSBXHSVIHS-UHFFFAOYSA-N 0 0 441.558 -0.905 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)S(C)(=O)=O ZINC000533593499 1070855369 /nfs/dbraw/zinc/85/53/69/1070855369.db2.gz BBCIQVDDFFSFMM-LLVKDONJSA-N 0 0 434.540 -0.509 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)S(C)(=O)=O ZINC000533593504 1070855823 /nfs/dbraw/zinc/85/58/23/1070855823.db2.gz BBCIQVDDFFSFMM-NSHDSACASA-N 0 0 434.540 -0.509 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(Cc3cc(C)on3)CC2)c1=O ZINC000533594046 1072951695 /nfs/dbraw/zinc/95/16/95/1072951695.db2.gz UXLZQOYMDAFSDF-UHFFFAOYSA-N 0 0 431.449 -0.009 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)c1=O ZINC000533594644 1072951550 /nfs/dbraw/zinc/95/15/50/1072951550.db2.gz FFAAOECOJBNCKH-UHFFFAOYSA-N 0 0 440.412 -0.238 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)CCN2C(=O)c3ccccc3C2=O)c(=O)[nH]c1=O ZINC000533599705 1072951052 /nfs/dbraw/zinc/95/10/52/1072951052.db2.gz ZHJFALVQRVYJQY-UHFFFAOYSA-N 0 0 429.433 -0.412 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H](C)S(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000533601521 1072951114 /nfs/dbraw/zinc/95/11/14/1072951114.db2.gz FVLGBSJADCMSFC-CYBMUJFWSA-N 0 0 434.536 -0.192 20 0 IBADRN COc1ccc(CC(=O)NC[C@H](C)S(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000533601524 1072951147 /nfs/dbraw/zinc/95/11/47/1072951147.db2.gz FVLGBSJADCMSFC-ZDUSSCGKSA-N 0 0 434.536 -0.192 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H](C)S(C)(=O)=O)c2)CC1 ZINC000533605305 1072951989 /nfs/dbraw/zinc/95/19/89/1072951989.db2.gz YQOMZERPVNVZJZ-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H](C)S(C)(=O)=O)c2)CC1 ZINC000533605306 1072952189 /nfs/dbraw/zinc/95/21/89/1072952189.db2.gz YQOMZERPVNVZJZ-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN C[C@H](CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000533607847 1070862472 /nfs/dbraw/zinc/86/24/72/1070862472.db2.gz JDBDEOFMCLPYRA-GFCCVEGCSA-N 0 0 426.538 -0.581 20 0 IBADRN C[C@@H](CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000533607853 1072952088 /nfs/dbraw/zinc/95/20/88/1072952088.db2.gz JDBDEOFMCLPYRA-LBPRGKRZSA-N 0 0 426.538 -0.581 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000533608165 1072952132 /nfs/dbraw/zinc/95/21/32/1072952132.db2.gz LEYITQHBZZXDRN-UHFFFAOYSA-N 0 0 433.446 -0.854 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)CCN1C(=O)c2ccccc2C1=O ZINC000533608842 1070863392 /nfs/dbraw/zinc/86/33/92/1070863392.db2.gz KKAIWGVTZONFRH-UHFFFAOYSA-N 0 0 438.506 -0.425 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000533609824 1072952156 /nfs/dbraw/zinc/95/21/56/1072952156.db2.gz DJNBXHXKFPYRGA-UHFFFAOYSA-N 0 0 428.497 -0.049 20 0 IBADRN CCc1n[nH]c(=O)c(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1CC ZINC000533610815 1072951977 /nfs/dbraw/zinc/95/19/77/1072951977.db2.gz QIAURMTZNAWQFY-UHFFFAOYSA-N 0 0 432.481 -0.190 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CC(=O)N(CC)CC)CC2)c1=O ZINC000533613130 1072951931 /nfs/dbraw/zinc/95/19/31/1072951931.db2.gz ODAOLHXDCZZMEK-UHFFFAOYSA-N 0 0 449.508 -0.638 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)c1=O ZINC000533613588 1072952217 /nfs/dbraw/zinc/95/22/17/1072952217.db2.gz ZPUARTOWOMUUJU-AWEZNQCLSA-N 0 0 436.465 -0.554 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)c1=O ZINC000533613589 1072952001 /nfs/dbraw/zinc/95/20/01/1072952001.db2.gz ZPUARTOWOMUUJU-CQSZACIVSA-N 0 0 436.465 -0.554 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)c1C ZINC000533613937 1072952119 /nfs/dbraw/zinc/95/21/19/1072952119.db2.gz QWSHBFZIKBDSRH-UHFFFAOYSA-N 0 0 446.551 -0.371 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2c(C)nn([C@H]3CCS(=O)(=O)C3)c2C)CC1 ZINC000533614440 1072952018 /nfs/dbraw/zinc/95/20/18/1072952018.db2.gz NUJVSJNPAVLDII-INIZCTEOSA-N 0 0 441.554 -0.021 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2c(C)nn([C@@H]3CCS(=O)(=O)C3)c2C)CC1 ZINC000533614441 1072951948 /nfs/dbraw/zinc/95/19/48/1072951948.db2.gz NUJVSJNPAVLDII-MRXNPFEDSA-N 0 0 441.554 -0.021 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(C(=O)N(CC)CC)CC2)c1=O ZINC000533615138 1072952045 /nfs/dbraw/zinc/95/20/45/1072952045.db2.gz BMUXNNHLDUPSFE-UHFFFAOYSA-N 0 0 435.481 -0.044 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)ccc1C ZINC000533615159 1072952657 /nfs/dbraw/zinc/95/26/57/1072952657.db2.gz YUXIOYPFJFYKJT-UHFFFAOYSA-N 0 0 446.551 -0.371 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000533615705 1070864314 /nfs/dbraw/zinc/86/43/14/1070864314.db2.gz GCNZIYXJANUXOX-UHFFFAOYSA-N 0 0 427.479 -0.035 20 0 IBADRN O=C(NC1CC1)[C@@H]1CCCN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000533621196 1072952077 /nfs/dbraw/zinc/95/20/77/1072952077.db2.gz BNRJILFVUFEZKL-INIZCTEOSA-N 0 0 429.543 -0.520 20 0 IBADRN O=C(NC1CC1)[C@H]1CCCN1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000533621197 1072952209 /nfs/dbraw/zinc/95/22/09/1072952209.db2.gz BNRJILFVUFEZKL-MRXNPFEDSA-N 0 0 429.543 -0.520 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)NCCS(=O)(=O)N2CCSCC2)c1=O ZINC000533622343 1072952700 /nfs/dbraw/zinc/95/27/00/1072952700.db2.gz SULXDSJGGJDFKT-UHFFFAOYSA-N 0 0 432.524 -0.089 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)S(C)(=O)=O ZINC000533622622 1072952823 /nfs/dbraw/zinc/95/28/23/1072952823.db2.gz KTKSHUNPIASZNV-CYBMUJFWSA-N 0 0 446.551 -0.890 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1)S(C)(=O)=O ZINC000533622623 1072952850 /nfs/dbraw/zinc/95/28/50/1072952850.db2.gz KTKSHUNPIASZNV-ZDUSSCGKSA-N 0 0 446.551 -0.890 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)NCCNS(=O)(=O)Cc2ccon2)c1=O ZINC000533623602 1072952836 /nfs/dbraw/zinc/95/28/36/1072952836.db2.gz XENHIPPHEVDZKN-UHFFFAOYSA-N 0 0 427.439 -0.360 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(C)o1 ZINC000533632030 1070885950 /nfs/dbraw/zinc/88/59/50/1070885950.db2.gz DUABCZRYQSWAHE-UHFFFAOYSA-N 0 0 427.435 -0.643 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NCC(=O)N2CCNC(=O)C2)c1 ZINC000533635640 1072952570 /nfs/dbraw/zinc/95/25/70/1072952570.db2.gz MDMABOWGDWBJMZ-UHFFFAOYSA-N 0 0 425.511 -0.856 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1)S(C)(=O)=O ZINC000533641120 1072952746 /nfs/dbraw/zinc/95/27/46/1072952746.db2.gz KRLBMSHFWQLKKF-GFCCVEGCSA-N 0 0 444.535 -0.370 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1)S(C)(=O)=O ZINC000533641121 1070887079 /nfs/dbraw/zinc/88/70/79/1070887079.db2.gz KRLBMSHFWQLKKF-LBPRGKRZSA-N 0 0 444.535 -0.370 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NC[C@@]2(O)CCOC2)c1 ZINC000533641298 1072952755 /nfs/dbraw/zinc/95/27/55/1072952755.db2.gz DDHULDOFZGUYKH-IBGZPJMESA-N 0 0 442.538 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NC[C@]2(O)CCOC2)c1 ZINC000533641304 1072952590 /nfs/dbraw/zinc/95/25/90/1072952590.db2.gz DDHULDOFZGUYKH-LJQANCHMSA-N 0 0 442.538 -0.011 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)NC[C@@]3(O)CCOC3)ccc21)N1CCOCC1 ZINC000533642103 1072952775 /nfs/dbraw/zinc/95/27/75/1072952775.db2.gz GGKJPZRXWSBDMS-NRFANRHFSA-N 0 0 430.461 -0.294 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)NC[C@]3(O)CCOC3)ccc21)N1CCOCC1 ZINC000533642106 1072952738 /nfs/dbraw/zinc/95/27/38/1072952738.db2.gz GGKJPZRXWSBDMS-OAQYLSRUSA-N 0 0 430.461 -0.294 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@]2(O)CCOC2)cc1F ZINC000533644051 1072952600 /nfs/dbraw/zinc/95/26/00/1072952600.db2.gz PHENQDYVCITFJS-JEOXALJRSA-N 0 0 425.413 -0.287 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@]2(O)CCOC2)cc1F ZINC000533644060 1072952563 /nfs/dbraw/zinc/95/25/63/1072952563.db2.gz PHENQDYVCITFJS-NSPYISDASA-N 0 0 425.413 -0.287 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(O)CCOC2)cc1F ZINC000533644062 1072952667 /nfs/dbraw/zinc/95/26/67/1072952667.db2.gz PHENQDYVCITFJS-WLRWDXFRSA-N 0 0 425.413 -0.287 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(O)CCOC2)cc1F ZINC000533644064 1072952546 /nfs/dbraw/zinc/95/25/46/1072952546.db2.gz PHENQDYVCITFJS-WYRIXSBYSA-N 0 0 425.413 -0.287 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@]1(O)CCOC1 ZINC000533644915 1072953140 /nfs/dbraw/zinc/95/31/40/1072953140.db2.gz SCVYNFWMUOJWNM-GOSISDBHSA-N 0 0 429.495 -0.070 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@]1(O)CCOC1 ZINC000533644916 1072953303 /nfs/dbraw/zinc/95/33/03/1072953303.db2.gz SCVYNFWMUOJWNM-SFHVURJKSA-N 0 0 429.495 -0.070 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N(CCO)CCCO)c1 ZINC000533662934 1072953383 /nfs/dbraw/zinc/95/33/83/1072953383.db2.gz PFOOQBBSMWOILO-UHFFFAOYSA-N 0 0 430.527 -0.018 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000533663641 1072953210 /nfs/dbraw/zinc/95/32/10/1072953210.db2.gz STFRYHHUPOELBJ-INIZCTEOSA-N 0 0 441.510 -0.509 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000533663648 1072953391 /nfs/dbraw/zinc/95/33/91/1072953391.db2.gz STFRYHHUPOELBJ-MRXNPFEDSA-N 0 0 441.510 -0.509 20 0 IBADRN CN(C)c1cccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000533665990 1072953281 /nfs/dbraw/zinc/95/32/81/1072953281.db2.gz MEUOPLXMKQDZQK-UHFFFAOYSA-N 0 0 426.543 -0.012 20 0 IBADRN CCc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000533666731 1072953269 /nfs/dbraw/zinc/95/32/69/1072953269.db2.gz BTOUMXIWFHQBBF-BETUJISGSA-N 0 0 443.526 -0.018 20 0 IBADRN CCc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000533666734 1072953337 /nfs/dbraw/zinc/95/33/37/1072953337.db2.gz BTOUMXIWFHQBBF-CHWSQXEVSA-N 0 0 443.526 -0.018 20 0 IBADRN CCc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000533666736 1072953264 /nfs/dbraw/zinc/95/32/64/1072953264.db2.gz BTOUMXIWFHQBBF-STQMWFEESA-N 0 0 443.526 -0.018 20 0 IBADRN C[C@H](O)CCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000533667078 1072953378 /nfs/dbraw/zinc/95/33/78/1072953378.db2.gz JWJQZCAVGZBTKZ-AWEZNQCLSA-N 0 0 442.538 -0.059 20 0 IBADRN C[C@@H](O)CCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000533667080 1072953234 /nfs/dbraw/zinc/95/32/34/1072953234.db2.gz JWJQZCAVGZBTKZ-CQSZACIVSA-N 0 0 442.538 -0.059 20 0 IBADRN CCN(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000533667312 1072953274 /nfs/dbraw/zinc/95/32/74/1072953274.db2.gz ROCIZXHIYMVSOP-UHFFFAOYSA-N 0 0 428.511 -0.447 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CCCOCC2)c1 ZINC000533667746 1073378263 /nfs/dbraw/zinc/37/82/63/1073378263.db2.gz YDUQFHPHMLOYED-UHFFFAOYSA-N 0 0 440.522 -0.039 20 0 IBADRN COCCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000533668344 1072953813 /nfs/dbraw/zinc/95/38/13/1072953813.db2.gz DZJLGCOWLYFWAO-UHFFFAOYSA-N 0 0 428.511 -0.183 20 0 IBADRN C[C@@H](CO)[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000533668745 1072953919 /nfs/dbraw/zinc/95/39/19/1072953919.db2.gz PJEMRBUGQOEPDN-KBPBESRZSA-N 0 0 442.538 -0.155 20 0 IBADRN C[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000533668746 1072953893 /nfs/dbraw/zinc/95/38/93/1072953893.db2.gz PJEMRBUGQOEPDN-KGLIPLIRSA-N 0 0 442.538 -0.155 20 0 IBADRN C[C@@H](CO)[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000533668747 1072953739 /nfs/dbraw/zinc/95/37/39/1072953739.db2.gz PJEMRBUGQOEPDN-UONOGXRCSA-N 0 0 442.538 -0.155 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)[C@H](C)CO ZINC000533668748 1072953799 /nfs/dbraw/zinc/95/37/99/1072953799.db2.gz PJEMRBUGQOEPDN-ZIAGYGMSSA-N 0 0 442.538 -0.155 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000533675539 1072953913 /nfs/dbraw/zinc/95/39/13/1072953913.db2.gz RBIMJBXHTYBLJP-HRAATJIYSA-N 0 0 444.444 -0.792 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000533675542 1072953845 /nfs/dbraw/zinc/95/38/45/1072953845.db2.gz RBIMJBXHTYBLJP-IERDGZPVSA-N 0 0 444.444 -0.792 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000533675543 1072953868 /nfs/dbraw/zinc/95/38/68/1072953868.db2.gz RBIMJBXHTYBLJP-IIBYNOLFSA-N 0 0 444.444 -0.792 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000533675544 1072953772 /nfs/dbraw/zinc/95/37/72/1072953772.db2.gz RBIMJBXHTYBLJP-KKSFZXQISA-N 0 0 444.444 -0.792 20 0 IBADRN O=C(CCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NC1CCCC1 ZINC000533685513 1072953873 /nfs/dbraw/zinc/95/38/73/1072953873.db2.gz BASGMUICUAWYDX-INIZCTEOSA-N 0 0 428.555 -0.727 20 0 IBADRN O=C(CCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NC1CCCC1 ZINC000533685517 1072953780 /nfs/dbraw/zinc/95/37/80/1072953780.db2.gz BASGMUICUAWYDX-MRXNPFEDSA-N 0 0 428.555 -0.727 20 0 IBADRN Cc1cnn(Cc2cc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)no2)c1 ZINC000533691843 1072953902 /nfs/dbraw/zinc/95/39/02/1072953902.db2.gz GRLZPKCOCACEAC-UHFFFAOYSA-N 0 0 437.526 -0.522 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)co1 ZINC000533694912 1072954399 /nfs/dbraw/zinc/95/43/99/1072954399.db2.gz OAALSIYKJMJOJG-HNNXBMFYSA-N 0 0 436.490 -0.137 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)co1 ZINC000533694913 1072954469 /nfs/dbraw/zinc/95/44/69/1072954469.db2.gz OAALSIYKJMJOJG-OAHLLOKOSA-N 0 0 436.490 -0.137 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)c(=O)n(C)c1=O ZINC000533701360 1072954360 /nfs/dbraw/zinc/95/43/60/1072954360.db2.gz BOYQACLEADKZIT-UHFFFAOYSA-N 0 0 439.469 -0.832 20 0 IBADRN COC[C@H](CCO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000533701928 1072954441 /nfs/dbraw/zinc/95/44/41/1072954441.db2.gz PBRVVMNMVQBRDK-INIZCTEOSA-N 0 0 445.513 -0.048 20 0 IBADRN COC[C@@H](CCO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000533701929 1072954367 /nfs/dbraw/zinc/95/43/67/1072954367.db2.gz PBRVVMNMVQBRDK-MRXNPFEDSA-N 0 0 445.513 -0.048 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2CC(=O)c2ccco2)o1 ZINC000533704096 1072954342 /nfs/dbraw/zinc/95/43/42/1072954342.db2.gz HUBNZZZWHYRBKX-LLVKDONJSA-N 0 0 425.419 -0.250 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2CC(=O)c2ccco2)o1 ZINC000533704105 1072954453 /nfs/dbraw/zinc/95/44/53/1072954453.db2.gz HUBNZZZWHYRBKX-NSHDSACASA-N 0 0 425.419 -0.250 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)co1 ZINC000533708185 1072954250 /nfs/dbraw/zinc/95/42/50/1072954250.db2.gz QRKIAIXWUJGXBC-UHFFFAOYSA-N 0 0 441.554 -0.502 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)co1 ZINC000533709523 1072954869 /nfs/dbraw/zinc/95/48/69/1072954869.db2.gz ABANRZRNFJXFIE-UHFFFAOYSA-N 0 0 428.496 -0.222 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)co1 ZINC000533710793 1072954888 /nfs/dbraw/zinc/95/48/88/1072954888.db2.gz XIXNKEIGRGZKMI-UHFFFAOYSA-N 0 0 445.523 -0.154 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000533717266 1072956679 /nfs/dbraw/zinc/95/66/79/1072956679.db2.gz YLVKREPHJCPHGR-GOSISDBHSA-N 0 0 440.453 -0.631 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000533717276 1072956593 /nfs/dbraw/zinc/95/65/93/1072956593.db2.gz YLVKREPHJCPHGR-SFHVURJKSA-N 0 0 440.453 -0.631 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccnn1Cc1ccc(OC)cc1OC ZINC000533721306 1072956579 /nfs/dbraw/zinc/95/65/79/1072956579.db2.gz DGBSNTJUIPDZSP-UHFFFAOYSA-N 0 0 439.494 -0.057 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1OC ZINC000533722587 1072957011 /nfs/dbraw/zinc/95/70/11/1072957011.db2.gz PXEQPWQBBIXARO-UHFFFAOYSA-N 0 0 430.421 -0.445 20 0 IBADRN O=C(Nc1ccnn1Cc1ccccc1F)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000533723037 1070892413 /nfs/dbraw/zinc/89/24/13/1070892413.db2.gz SUUHQFVCBXQGBB-UHFFFAOYSA-N 0 0 441.427 -0.634 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)CC1 ZINC000533737528 1072959404 /nfs/dbraw/zinc/95/94/04/1072959404.db2.gz UQNMAMKDHXWCKG-UHFFFAOYSA-N 0 0 441.529 -0.514 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000533739338 1072959472 /nfs/dbraw/zinc/95/94/72/1072959472.db2.gz TXCQHTQVCJLVMY-UHFFFAOYSA-N 0 0 440.570 -0.005 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(CC(=O)Nc3ccccc3F)CC2)c(=O)n(C)c1=O ZINC000533763423 1072960653 /nfs/dbraw/zinc/96/06/53/1072960653.db2.gz LXYIJPDGLBMVCF-UHFFFAOYSA-N 0 0 432.456 -0.756 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000533770954 1072960451 /nfs/dbraw/zinc/96/04/51/1072960451.db2.gz VACFRWWWQILZPK-INIZCTEOSA-N 0 0 430.527 -0.057 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@@]2(C)CCOC2)c(=O)[nH]c1=O ZINC000533778291 1072961003 /nfs/dbraw/zinc/96/10/03/1072961003.db2.gz VIMKTUNCPUJWMQ-IBGZPJMESA-N 0 0 425.486 -0.004 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@]2(C)CCOC2)c(=O)[nH]c1=O ZINC000533778297 1072960980 /nfs/dbraw/zinc/96/09/80/1072960980.db2.gz VIMKTUNCPUJWMQ-LJQANCHMSA-N 0 0 425.486 -0.004 20 0 IBADRN Cc1cccc(Cn2nccc2NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000533779545 1072961010 /nfs/dbraw/zinc/96/10/10/1072961010.db2.gz XRWVWJKAMSMUBB-INIZCTEOSA-N 0 0 447.517 -0.012 20 0 IBADRN Cc1cccc(Cn2nccc2NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000533779546 1072961089 /nfs/dbraw/zinc/96/10/89/1072961089.db2.gz XRWVWJKAMSMUBB-MRXNPFEDSA-N 0 0 447.517 -0.012 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC[C@@H](S(N)(=O)=O)C2)o1 ZINC000533780894 1072961034 /nfs/dbraw/zinc/96/10/34/1072961034.db2.gz LYJXZVBWBBZTLT-NEPJUHHUSA-N 0 0 449.507 -0.501 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@H](S(N)(=O)=O)C2)o1 ZINC000533780898 1072961076 /nfs/dbraw/zinc/96/10/76/1072961076.db2.gz LYJXZVBWBBZTLT-NWDGAFQWSA-N 0 0 449.507 -0.501 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC[C@H](S(N)(=O)=O)C2)o1 ZINC000533780900 1072960958 /nfs/dbraw/zinc/96/09/58/1072960958.db2.gz LYJXZVBWBBZTLT-RYUDHWBXSA-N 0 0 449.507 -0.501 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@@H](S(N)(=O)=O)C2)o1 ZINC000533780902 1072961048 /nfs/dbraw/zinc/96/10/48/1072961048.db2.gz LYJXZVBWBBZTLT-VXGBXAGGSA-N 0 0 449.507 -0.501 20 0 IBADRN CCCn1c2ccc(S(N)(=O)=O)cc2nc1CCC(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000533781329 1072960997 /nfs/dbraw/zinc/96/09/97/1072960997.db2.gz LWZVDSCIARNERK-CYBMUJFWSA-N 0 0 443.551 -0.084 20 0 IBADRN CCCn1c2ccc(S(N)(=O)=O)cc2nc1CCC(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000533781330 1072960967 /nfs/dbraw/zinc/96/09/67/1072960967.db2.gz LWZVDSCIARNERK-ZDUSSCGKSA-N 0 0 443.551 -0.084 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000533781612 1072961060 /nfs/dbraw/zinc/96/10/60/1072961060.db2.gz VGHJMCFIIIUVOH-INIZCTEOSA-N 0 0 430.552 -0.809 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000533781615 1072961041 /nfs/dbraw/zinc/96/10/41/1072961041.db2.gz PZHYEOACGCEFQT-HNNXBMFYSA-N 0 0 446.551 -0.664 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000533781616 1072960994 /nfs/dbraw/zinc/96/09/94/1072960994.db2.gz VGHJMCFIIIUVOH-MRXNPFEDSA-N 0 0 430.552 -0.809 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000533781617 1072960973 /nfs/dbraw/zinc/96/09/73/1072960973.db2.gz PZHYEOACGCEFQT-OAHLLOKOSA-N 0 0 446.551 -0.664 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000533781855 1072961055 /nfs/dbraw/zinc/96/10/55/1072961055.db2.gz YBQDXNXYCZYICE-HNNXBMFYSA-N 0 0 446.551 -0.664 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000533781856 1072961461 /nfs/dbraw/zinc/96/14/61/1072961461.db2.gz YBQDXNXYCZYICE-OAHLLOKOSA-N 0 0 446.551 -0.664 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccc(Cl)cc3)CC2)cn1 ZINC000533790804 1072961447 /nfs/dbraw/zinc/96/14/47/1072961447.db2.gz ZIEYIKUVUQRLDY-UHFFFAOYSA-N 0 0 447.883 -0.257 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)cn1 ZINC000533792662 1072961619 /nfs/dbraw/zinc/96/16/19/1072961619.db2.gz VSDJHKFEDWMRMF-UHFFFAOYSA-N 0 0 431.428 -0.771 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000533793169 1072961578 /nfs/dbraw/zinc/96/15/78/1072961578.db2.gz NYXWOPWHWSQMRH-AWEZNQCLSA-N 0 0 440.522 -0.441 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C3CC3)CC2)ccc1S(=O)(=O)N(C)C ZINC000533800193 1072962026 /nfs/dbraw/zinc/96/20/26/1072962026.db2.gz LCYJSALKXHENPA-UHFFFAOYSA-N 0 0 438.506 -0.035 20 0 IBADRN CS(=O)(=O)C[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000533800333 1072962146 /nfs/dbraw/zinc/96/21/46/1072962146.db2.gz HZYFUPBDGCZXIN-AWEZNQCLSA-N 0 0 425.554 -0.816 20 0 IBADRN CS(=O)(=O)C[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000533800334 1072962011 /nfs/dbraw/zinc/96/20/11/1072962011.db2.gz HZYFUPBDGCZXIN-CQSZACIVSA-N 0 0 425.554 -0.816 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N(CCO)CCOCC(F)(F)F ZINC000533800974 1072962092 /nfs/dbraw/zinc/96/20/92/1072962092.db2.gz DECDJJTZBNGTHV-UHFFFAOYSA-N 0 0 441.384 -0.358 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(OCCO)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000533801676 1072962052 /nfs/dbraw/zinc/96/20/52/1072962052.db2.gz IRRFZGFNMDCRNI-GOSISDBHSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(OCCO)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000533801688 1072962073 /nfs/dbraw/zinc/96/20/73/1072962073.db2.gz IRRFZGFNMDCRNI-SFHVURJKSA-N 0 0 427.479 -0.088 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cncc(Br)c2)CC1 ZINC000533803363 1072962551 /nfs/dbraw/zinc/96/25/51/1072962551.db2.gz SOSBDKUMHDHPSL-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000533808122 1072962665 /nfs/dbraw/zinc/96/26/65/1072962665.db2.gz BVAJTAHFRSIPAU-GFCCVEGCSA-N 0 0 446.551 -0.617 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000533808127 1072962527 /nfs/dbraw/zinc/96/25/27/1072962527.db2.gz BVAJTAHFRSIPAU-LBPRGKRZSA-N 0 0 446.551 -0.617 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3nc(C(F)(F)F)nc4nc[nH]c43)CC2)CC1 ZINC000533809139 1072962121 /nfs/dbraw/zinc/96/21/21/1072962121.db2.gz MSHWRNKRHCBTDD-UHFFFAOYSA-N 0 0 434.448 -0.014 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCc2ccco2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000533809962 1072963207 /nfs/dbraw/zinc/96/32/07/1072963207.db2.gz WVZCNQSEEHOIML-GOSISDBHSA-N 0 0 436.446 -0.063 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCc2ccco2)cc1[C@]1(C)NC(=O)NC1=O ZINC000533809963 1072963183 /nfs/dbraw/zinc/96/31/83/1072963183.db2.gz WVZCNQSEEHOIML-SFHVURJKSA-N 0 0 436.446 -0.063 20 0 IBADRN O=C(CSCC(=O)N1CCN(c2cccnn2)CC1)N1CCN(c2cccnn2)CC1 ZINC000533811097 1072963162 /nfs/dbraw/zinc/96/31/62/1072963162.db2.gz OIDRNRZPKMLAJI-UHFFFAOYSA-N 0 0 442.549 -0.003 20 0 IBADRN O=C(CSCC(=O)N1CCN(c2cnccn2)CC1)N1CCN(c2cnccn2)CC1 ZINC000533811717 1072963060 /nfs/dbraw/zinc/96/30/60/1072963060.db2.gz HNOAIYBJWSJROL-UHFFFAOYSA-N 0 0 442.549 -0.003 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000533811907 1072963177 /nfs/dbraw/zinc/96/31/77/1072963177.db2.gz MMRHRCDCXZPPDY-UHFFFAOYSA-N 0 0 432.506 -0.243 20 0 IBADRN O=C(CSCC(=O)NCCN1C(=O)CCCC1=O)NCCN1C(=O)CCCC1=O ZINC000533815175 1072962568 /nfs/dbraw/zinc/96/25/68/1072962568.db2.gz GUDJENYMBOUCMK-UHFFFAOYSA-N 0 0 426.495 -0.970 20 0 IBADRN Cn1cc(N2CCN(C(=O)CSCC(=O)N3CCN(c4cnn(C)c4)CC3)CC2)cn1 ZINC000533816095 1072963217 /nfs/dbraw/zinc/96/32/17/1072963217.db2.gz NSCIJPJVSQKVJY-UHFFFAOYSA-N 0 0 446.581 -0.116 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)CSCC(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000533817088 1072963186 /nfs/dbraw/zinc/96/31/86/1072963186.db2.gz MDRCZQNQLDHPGZ-RYUDHWBXSA-N 0 0 426.495 -0.976 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)CSCC(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000533817089 1070979133 /nfs/dbraw/zinc/97/91/33/1070979133.db2.gz MDRCZQNQLDHPGZ-TXEJJXNPSA-N 0 0 426.495 -0.976 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)CSCC(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)C1=O ZINC000533817090 1072963171 /nfs/dbraw/zinc/96/31/71/1072963171.db2.gz MDRCZQNQLDHPGZ-VXGBXAGGSA-N 0 0 426.495 -0.976 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)NCCN1CCN(c2ncccn2)CC1 ZINC000533817475 1072963191 /nfs/dbraw/zinc/96/31/91/1072963191.db2.gz URLCPBSAKAVNIT-UHFFFAOYSA-N 0 0 446.533 -0.277 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000533818187 1072963137 /nfs/dbraw/zinc/96/31/37/1072963137.db2.gz BVRFVAQNFGOKTO-AWEZNQCLSA-N 0 0 440.522 -0.592 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000533818303 1072963073 /nfs/dbraw/zinc/96/30/73/1072963073.db2.gz JQZMJANAFQPAAF-UHFFFAOYSA-N 0 0 438.554 -0.164 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000533818600 1072963230 /nfs/dbraw/zinc/96/32/30/1072963230.db2.gz UBNZTOVUIMJMIJ-UHFFFAOYSA-N 0 0 440.507 -0.358 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)n(Cc2ccccc2)n1 ZINC000533819823 1072963712 /nfs/dbraw/zinc/96/37/12/1072963712.db2.gz CVQMZJCBASQLGD-UHFFFAOYSA-N 0 0 434.522 -0.389 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccc2F)CC1 ZINC000533820887 1072963679 /nfs/dbraw/zinc/96/36/79/1072963679.db2.gz OFSMLSPCWVJAKV-UHFFFAOYSA-N 0 0 438.485 -0.558 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000533821562 1071049868 /nfs/dbraw/zinc/04/98/68/1071049868.db2.gz YIMNREMACGJYRD-AWEZNQCLSA-N 0 0 442.476 -0.695 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCC(OCCO)CC1 ZINC000533825276 1072963152 /nfs/dbraw/zinc/96/31/52/1072963152.db2.gz PUQLRROXDFZHOT-UHFFFAOYSA-N 0 0 441.554 -0.284 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](CO)c1cnn(C)c1 ZINC000533827385 1072963167 /nfs/dbraw/zinc/96/31/67/1072963167.db2.gz BOIMYVGNDZYOQC-HNNXBMFYSA-N 0 0 439.494 -0.143 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](CO)c1cnn(C)c1 ZINC000533827407 1072963106 /nfs/dbraw/zinc/96/31/06/1072963106.db2.gz BOIMYVGNDZYOQC-OAHLLOKOSA-N 0 0 439.494 -0.143 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC(OCCO)CC1 ZINC000533828078 1072963596 /nfs/dbraw/zinc/96/35/96/1072963596.db2.gz GOOKXBKABAWYIL-UHFFFAOYSA-N 0 0 442.538 -0.059 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCC(OCCO)CC3)cc2)CC1 ZINC000533830073 1072963690 /nfs/dbraw/zinc/96/36/90/1072963690.db2.gz SAFOIYKHEXXPMF-UHFFFAOYSA-N 0 0 432.521 -0.059 20 0 IBADRN COC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000533833660 1072963775 /nfs/dbraw/zinc/96/37/75/1072963775.db2.gz VPOYUMJNLNFPAP-UHFFFAOYSA-N 0 0 427.479 -0.605 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C2=O ZINC000533835784 1072964242 /nfs/dbraw/zinc/96/42/42/1072964242.db2.gz NXBZDHLXBRVHRI-CHWSQXEVSA-N 0 0 441.510 -0.043 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C2=O ZINC000533835790 1071051313 /nfs/dbraw/zinc/05/13/13/1071051313.db2.gz NXBZDHLXBRVHRI-IVWMJMPLSA-N 0 0 441.510 -0.043 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C2=O ZINC000533835791 1072964201 /nfs/dbraw/zinc/96/42/01/1072964201.db2.gz NXBZDHLXBRVHRI-STQMWFEESA-N 0 0 441.510 -0.043 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H](C)CN2CCOCC2)ccc1S(=O)(=O)N(C)C ZINC000533838437 1072964321 /nfs/dbraw/zinc/96/43/21/1072964321.db2.gz UPZFXVWSRYZLQG-AWEZNQCLSA-N 0 0 442.538 -0.032 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)ccc1S(=O)(=O)N(C)C ZINC000533838439 1072964299 /nfs/dbraw/zinc/96/42/99/1072964299.db2.gz UPZFXVWSRYZLQG-CQSZACIVSA-N 0 0 442.538 -0.032 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)ccc1S(=O)(=O)N(C)C ZINC000533839079 1072963608 /nfs/dbraw/zinc/96/36/08/1072963608.db2.gz IJXFAGVJPVSFRO-GFCCVEGCSA-N 0 0 440.478 -0.464 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)ccc1S(=O)(=O)N(C)C ZINC000533839081 1072963757 /nfs/dbraw/zinc/96/37/57/1072963757.db2.gz IJXFAGVJPVSFRO-LBPRGKRZSA-N 0 0 440.478 -0.464 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000533842173 1072964139 /nfs/dbraw/zinc/96/41/39/1072964139.db2.gz JFQJKAWNXHUKBM-HNNXBMFYSA-N 0 0 432.524 -0.991 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000533842176 1071051329 /nfs/dbraw/zinc/05/13/29/1071051329.db2.gz JFQJKAWNXHUKBM-OAHLLOKOSA-N 0 0 432.524 -0.991 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000533844751 1071051926 /nfs/dbraw/zinc/05/19/26/1071051926.db2.gz GHXPKSBFWDGYRW-HNNXBMFYSA-N 0 0 434.515 -0.979 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000533844752 1071051998 /nfs/dbraw/zinc/05/19/98/1071051998.db2.gz GHXPKSBFWDGYRW-OAHLLOKOSA-N 0 0 434.515 -0.979 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)NC3(C[C@@H](C)C[C@H](C)C3)C2=O)CC1 ZINC000533852536 1072964614 /nfs/dbraw/zinc/96/46/14/1072964614.db2.gz OQIJFTBKACKLKS-GJZGRUSLSA-N 0 0 435.525 -0.070 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)NC3(C[C@H](C)C[C@@H](C)C3)C2=O)CC1 ZINC000533852537 1071052612 /nfs/dbraw/zinc/05/26/12/1071052612.db2.gz OQIJFTBKACKLKS-HUUCEWRRSA-N 0 0 435.525 -0.070 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@@]3(C[C@H](C)C[C@H](C)C3)C2=O)CC1 ZINC000533852538 1072964795 /nfs/dbraw/zinc/96/47/95/1072964795.db2.gz OQIJFTBKACKLKS-USGNIVHTSA-N 0 0 435.525 -0.070 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)NC3(C[C@@H](C)C[C@H](C)C3)C2=O)CC1 ZINC000533858465 1072964592 /nfs/dbraw/zinc/96/45/92/1072964592.db2.gz POLOKBMUPXHPCY-GJZGRUSLSA-N 0 0 435.525 -0.072 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)NC3(C[C@H](C)C[C@@H](C)C3)C2=O)CC1 ZINC000533858467 1071052968 /nfs/dbraw/zinc/05/29/68/1071052968.db2.gz POLOKBMUPXHPCY-HUUCEWRRSA-N 0 0 435.525 -0.072 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(C[C@H](C)C[C@H](C)C3)C2=O)CC1 ZINC000533858468 1072965017 /nfs/dbraw/zinc/96/50/17/1072965017.db2.gz POLOKBMUPXHPCY-USGNIVHTSA-N 0 0 435.525 -0.072 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCCCN(C1CC1)S(=O)(=O)c1cccc(F)c1 ZINC000533859472 1072965143 /nfs/dbraw/zinc/96/51/43/1072965143.db2.gz QIEOTDCBIRLNFF-UHFFFAOYSA-N 0 0 428.486 -0.263 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCCCN(C2CC2)S(=O)(=O)c2cccc(F)c2)C1=O ZINC000533863028 1072964788 /nfs/dbraw/zinc/96/47/88/1072964788.db2.gz BNSAXHCLXDMYKE-UHFFFAOYSA-N 0 0 440.453 -0.094 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000533870275 1072964763 /nfs/dbraw/zinc/96/47/63/1072964763.db2.gz FGXQGIVLEULYSU-UHFFFAOYSA-N 0 0 443.445 -0.799 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)co1 ZINC000533870714 1072964722 /nfs/dbraw/zinc/96/47/22/1072964722.db2.gz QBDPCOCOAQDNBJ-UHFFFAOYSA-N 0 0 429.476 -0.122 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3nnc(-c4ccco4)o3)CC2)CC1 ZINC000533872673 1072966245 /nfs/dbraw/zinc/96/62/45/1072966245.db2.gz WKCHIINXEKABOI-UHFFFAOYSA-N 0 0 439.498 -0.622 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000533882802 1072966131 /nfs/dbraw/zinc/96/61/31/1072966131.db2.gz XSOXYBUVDWVOPQ-UHFFFAOYSA-N 0 0 429.543 -0.723 20 0 IBADRN CNC(=O)c1cccc(OCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000533884230 1072966216 /nfs/dbraw/zinc/96/62/16/1072966216.db2.gz IFMFOCUTJAZKLJ-UHFFFAOYSA-N 0 0 426.495 -0.854 20 0 IBADRN O=C(NCCn1ccccc1=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000533890182 1072966291 /nfs/dbraw/zinc/96/62/91/1072966291.db2.gz XHDSLBPOCRUMFJ-UHFFFAOYSA-N 0 0 434.522 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)CC1 ZINC000533899652 1071310404 /nfs/dbraw/zinc/31/04/04/1071310404.db2.gz DCSBAKRHXNLGDC-UHFFFAOYSA-N 0 0 429.462 -0.142 20 0 IBADRN CC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1C ZINC000533900727 1071311505 /nfs/dbraw/zinc/31/15/05/1071311505.db2.gz PZBWOGIJCSNYBS-UHFFFAOYSA-N 0 0 428.445 -0.044 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cnn(-c2cnc3ccccc3n2)c1)CN1CCOCC1 ZINC000533901042 1072979675 /nfs/dbraw/zinc/97/96/75/1072979675.db2.gz KFBGKVLBWIJWFX-NRFANRHFSA-N 0 0 439.476 -0.047 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cnn(-c2cnc3ccccc3n2)c1)CN1CCOCC1 ZINC000533901043 1072979689 /nfs/dbraw/zinc/97/96/89/1072979689.db2.gz KFBGKVLBWIJWFX-OAQYLSRUSA-N 0 0 439.476 -0.047 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccnn1Cc1cnn(-c2ccccc2)c1 ZINC000533902545 1072980637 /nfs/dbraw/zinc/98/06/37/1072980637.db2.gz PPOPIHRUNDIEBU-UHFFFAOYSA-N 0 0 431.478 -0.150 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000533910565 1072998170 /nfs/dbraw/zinc/99/81/70/1072998170.db2.gz HXYKNRWXMMLUQH-UHFFFAOYSA-N 0 0 441.437 -0.406 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Oc1ccc(F)cc1 ZINC000533911463 1071313394 /nfs/dbraw/zinc/31/33/94/1071313394.db2.gz FIODOHCEKLWMEN-AWEZNQCLSA-N 0 0 439.487 -0.842 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)Oc1ccc(F)cc1 ZINC000533911464 1072998596 /nfs/dbraw/zinc/99/85/96/1072998596.db2.gz FIODOHCEKLWMEN-CQSZACIVSA-N 0 0 439.487 -0.842 20 0 IBADRN CNC(=O)c1cccc(OCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000533911475 1071313364 /nfs/dbraw/zinc/31/33/64/1071313364.db2.gz FRIKORHPQDLRMT-UHFFFAOYSA-N 0 0 439.538 -0.939 20 0 IBADRN COCCCN(C(=O)Cn1ncn(C)c1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533915372 1073000115 /nfs/dbraw/zinc/00/01/15/1073000115.db2.gz POIIKAWTWDJMMQ-UHFFFAOYSA-N 0 0 443.464 -0.456 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)C(=O)COC)CC2)cc1 ZINC000533923063 1071315129 /nfs/dbraw/zinc/31/51/29/1071315129.db2.gz UVSVJJGDIWYWSL-UHFFFAOYSA-N 0 0 441.506 -0.199 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000533923450 1073019260 /nfs/dbraw/zinc/01/92/60/1073019260.db2.gz OQMBWSOCARBNKR-UHFFFAOYSA-N 0 0 433.508 -0.528 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000533924571 1071317452 /nfs/dbraw/zinc/31/74/52/1071317452.db2.gz CIHIUCHPDIISRW-UHFFFAOYSA-N 0 0 437.518 -0.150 20 0 IBADRN CC(C)C[C@H](NS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)C(=O)NCC[NH+](C)C ZINC000533925949 1073075780 /nfs/dbraw/zinc/07/57/80/1073075780.db2.gz WZEVAWOZKSZQFH-ZDUSSCGKSA-N 0 0 426.499 -0.193 20 0 IBADRN NC(=O)[C@@H]1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc21 ZINC000533932706 1073378253 /nfs/dbraw/zinc/37/82/53/1073378253.db2.gz JLZDNNBGIYABRX-GOSISDBHSA-N 0 0 429.521 -0.531 20 0 IBADRN NC(=O)[C@H]1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc21 ZINC000533932709 1073378482 /nfs/dbraw/zinc/37/84/82/1073378482.db2.gz JLZDNNBGIYABRX-SFHVURJKSA-N 0 0 429.521 -0.531 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@H]3CCNC3=O)CC2)cc1OC ZINC000533933644 1073105968 /nfs/dbraw/zinc/10/59/68/1073105968.db2.gz UIYXSRZBSMXKRN-HNNXBMFYSA-N 0 0 440.522 -0.643 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3CCNC3=O)CC2)cc1OC ZINC000533933657 1073106116 /nfs/dbraw/zinc/10/61/16/1073106116.db2.gz UIYXSRZBSMXKRN-OAHLLOKOSA-N 0 0 440.522 -0.643 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(C)(CO)CO)CC2)cc1 ZINC000533936216 1073117972 /nfs/dbraw/zinc/11/79/72/1073117972.db2.gz BSRQFNHRVATAGO-UHFFFAOYSA-N 0 0 443.566 -0.159 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(C(=O)CN(C)C(=O)COC)C2(CCCCC2)C1 ZINC000533937469 1073119047 /nfs/dbraw/zinc/11/90/47/1073119047.db2.gz ZMWLMVAAIPFJFI-UHFFFAOYSA-N 0 0 440.541 -0.430 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1)CC(C)(CO)CO ZINC000533938923 1073123183 /nfs/dbraw/zinc/12/31/83/1073123183.db2.gz MXDHIAPNNBGYPQ-UHFFFAOYSA-N 0 0 439.578 -0.069 20 0 IBADRN Cc1ccc(NC(=O)CN(C)CC(C)(CO)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000533939620 1073123261 /nfs/dbraw/zinc/12/32/61/1073123261.db2.gz RERLQWRTETXMPS-UHFFFAOYSA-N 0 0 429.539 -0.123 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCO3)CC1 ZINC000533941924 1071473956 /nfs/dbraw/zinc/47/39/56/1071473956.db2.gz ONUPDZZBEHYGKN-UHFFFAOYSA-N 0 0 427.479 -0.093 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1S(C)(=O)=O ZINC000533942365 1073131057 /nfs/dbraw/zinc/13/10/57/1073131057.db2.gz BOWIGCORTPRSAM-UHFFFAOYSA-N 0 0 440.503 -0.173 20 0 IBADRN Cc1c(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)cnn1C ZINC000533944166 1073166564 /nfs/dbraw/zinc/16/65/64/1073166564.db2.gz CGMPTZXELDOUOV-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN Cc1c(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)cnn1C ZINC000533944201 1073166635 /nfs/dbraw/zinc/16/66/35/1073166635.db2.gz CYIXFQGEMAKGCF-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000533954027 1072328554 /nfs/dbraw/zinc/32/85/54/1072328554.db2.gz OZQPGQKKWUPHMF-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000533954221 1072321485 /nfs/dbraw/zinc/32/14/85/1072321485.db2.gz RUNVQQPRFCWTCI-UHFFFAOYSA-N 0 0 448.520 -0.313 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000533954458 1072299054 /nfs/dbraw/zinc/29/90/54/1072299054.db2.gz IRMNZDMPMQAMJP-UHFFFAOYSA-N 0 0 432.521 -0.059 20 0 IBADRN COCC1(O)CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000533957430 1072377731 /nfs/dbraw/zinc/37/77/31/1072377731.db2.gz FSLASZNAYPMHOO-UHFFFAOYSA-N 0 0 441.554 -0.284 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000533963295 1072386189 /nfs/dbraw/zinc/38/61/89/1072386189.db2.gz COYUXCMFJLUUEL-DLBZAZTESA-N 0 0 430.548 -0.065 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000533963298 1072386290 /nfs/dbraw/zinc/38/62/90/1072386290.db2.gz COYUXCMFJLUUEL-IAGOWNOFSA-N 0 0 430.548 -0.065 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000533963299 1072386278 /nfs/dbraw/zinc/38/62/78/1072386278.db2.gz COYUXCMFJLUUEL-IRXDYDNUSA-N 0 0 430.548 -0.065 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000533963300 1072386068 /nfs/dbraw/zinc/38/60/68/1072386068.db2.gz COYUXCMFJLUUEL-SJORKVTESA-N 0 0 430.548 -0.065 20 0 IBADRN O=C(Nc1cncc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000533963559 1072386121 /nfs/dbraw/zinc/38/61/21/1072386121.db2.gz IPIPSCNBRXZJCF-UHFFFAOYSA-N 0 0 425.445 -0.297 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cc(N3CCCS3(=O)=O)ccc2O)c1 ZINC000533965094 1072390613 /nfs/dbraw/zinc/39/06/13/1072390613.db2.gz LZNRQZMZHBVYPG-UHFFFAOYSA-N 0 0 429.480 -0.417 20 0 IBADRN COCCN(C(=O)CN(C)C(=O)COC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000533965493 1072390664 /nfs/dbraw/zinc/39/06/64/1072390664.db2.gz XSUNHGANRIADPM-UHFFFAOYSA-N 0 0 433.465 -0.336 20 0 IBADRN C[C@H](CO)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533973756 1072396043 /nfs/dbraw/zinc/39/60/43/1072396043.db2.gz YIONTMCUHWWSPL-AWEZNQCLSA-N 0 0 448.567 -0.242 20 0 IBADRN C[C@@H](CO)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000533973757 1072396133 /nfs/dbraw/zinc/39/61/33/1072396133.db2.gz YIONTMCUHWWSPL-CQSZACIVSA-N 0 0 448.567 -0.242 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)CSCC(=O)N2CCN([C@@H](CC)CO)CC2)CC1 ZINC000533978394 1072400877 /nfs/dbraw/zinc/40/08/77/1072400877.db2.gz QMIFLPQSJBXBSO-HDICACEKSA-N 0 0 430.615 -0.450 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)CSCC(=O)N2CCN([C@H](CC)CO)CC2)CC1 ZINC000533978395 1072400857 /nfs/dbraw/zinc/40/08/57/1072400857.db2.gz QMIFLPQSJBXBSO-QZTJIDSGSA-N 0 0 430.615 -0.450 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)CSCC(=O)N2CCN([C@@H](CC)CO)CC2)CC1 ZINC000533978396 1072400975 /nfs/dbraw/zinc/40/09/75/1072400975.db2.gz QMIFLPQSJBXBSO-ROUUACIJSA-N 0 0 430.615 -0.450 20 0 IBADRN COCC1(O)CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000533995861 1072409357 /nfs/dbraw/zinc/40/93/57/1072409357.db2.gz DBCYIPPEWPTNHP-UHFFFAOYSA-N 0 0 441.550 -0.203 20 0 IBADRN COCC(=O)N(C)CC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534000198 1072409312 /nfs/dbraw/zinc/40/93/12/1072409312.db2.gz XTDHOTILXXCJOR-UHFFFAOYSA-N 0 0 428.511 -0.183 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCCN(C(=O)CN(C)C(=O)COC)c2ccccc21 ZINC000534001958 1072409400 /nfs/dbraw/zinc/40/94/00/1072409400.db2.gz ZFDPXRYMZGQZFF-UHFFFAOYSA-N 0 0 434.493 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NS(=O)(=O)CCCS(C)(=O)=O)C2)cc1 ZINC000534005436 1072409902 /nfs/dbraw/zinc/40/99/02/1072409902.db2.gz GHVZJNRAIORSBT-UHFFFAOYSA-N 0 0 426.538 -0.578 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC000534008870 1072409825 /nfs/dbraw/zinc/40/98/25/1072409825.db2.gz DAHNMSGRWWJACV-HNNXBMFYSA-N 0 0 437.565 -0.087 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC000534008871 1072409878 /nfs/dbraw/zinc/40/98/78/1072409878.db2.gz DAHNMSGRWWJACV-OAHLLOKOSA-N 0 0 437.565 -0.087 20 0 IBADRN COC(=O)[C@]1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCSC1 ZINC000534015611 1072409407 /nfs/dbraw/zinc/40/94/07/1072409407.db2.gz STGZVDXOOHOTDS-KRWDZBQOSA-N 0 0 427.504 -0.414 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCSC1 ZINC000534015614 1072409279 /nfs/dbraw/zinc/40/92/79/1072409279.db2.gz STGZVDXOOHOTDS-QGZVFWFLSA-N 0 0 427.504 -0.414 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000534021518 1072409256 /nfs/dbraw/zinc/40/92/56/1072409256.db2.gz GUPNJZDAOVHEDA-BLLLJJGKSA-N 0 0 441.506 -0.073 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000534021520 1072409919 /nfs/dbraw/zinc/40/99/19/1072409919.db2.gz GUPNJZDAOVHEDA-LRDDRELGSA-N 0 0 441.506 -0.073 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000534021521 1072409837 /nfs/dbraw/zinc/40/98/37/1072409837.db2.gz GUPNJZDAOVHEDA-MLGOLLRUSA-N 0 0 441.506 -0.073 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C1CCOCC1 ZINC000534021523 1072409872 /nfs/dbraw/zinc/40/98/72/1072409872.db2.gz GUPNJZDAOVHEDA-WBMJQRKESA-N 0 0 441.506 -0.073 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)[C@H]3CCNC3=O)CC2)cc1 ZINC000534026275 1072409895 /nfs/dbraw/zinc/40/98/95/1072409895.db2.gz IIOFVCSGRQOZGN-KRWDZBQOSA-N 0 0 436.534 -0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)[C@@H]3CCNC3=O)CC2)cc1 ZINC000534026279 1072409934 /nfs/dbraw/zinc/40/99/34/1072409934.db2.gz IIOFVCSGRQOZGN-QGZVFWFLSA-N 0 0 436.534 -0.045 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000534033187 1072409930 /nfs/dbraw/zinc/40/99/30/1072409930.db2.gz AXJGMEOEMMSQLS-UHFFFAOYSA-N 0 0 443.551 -0.051 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000534033719 1072409867 /nfs/dbraw/zinc/40/98/67/1072409867.db2.gz GRNVTXZHFUQXDF-UHFFFAOYSA-N 0 0 443.551 -0.051 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1cn(C)c(C)n1)Oc1ccc(F)cc1 ZINC000534037892 1072409883 /nfs/dbraw/zinc/40/98/83/1072409883.db2.gz UBKQDFVDCMJOGH-AWEZNQCLSA-N 0 0 428.442 -0.117 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1cn(C)c(C)n1)Oc1ccc(F)cc1 ZINC000534037895 1072409842 /nfs/dbraw/zinc/40/98/42/1072409842.db2.gz UBKQDFVDCMJOGH-CQSZACIVSA-N 0 0 428.442 -0.117 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCC(=O)NCCO ZINC000534040000 1072409888 /nfs/dbraw/zinc/40/98/88/1072409888.db2.gz RQLATOYEQDOBGY-UHFFFAOYSA-N 0 0 430.483 -0.664 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Oc1ccc(F)cc1 ZINC000534041880 1072409801 /nfs/dbraw/zinc/40/98/01/1072409801.db2.gz QXJXDGWXJRBKJM-CYBMUJFWSA-N 0 0 433.396 -0.690 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Oc1ccc(F)cc1 ZINC000534041881 1072409916 /nfs/dbraw/zinc/40/99/16/1072409916.db2.gz QXJXDGWXJRBKJM-ZDUSSCGKSA-N 0 0 433.396 -0.690 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccnc3OCC(F)F)CC2)CC1 ZINC000534054358 1072409815 /nfs/dbraw/zinc/40/98/15/1072409815.db2.gz WGQGFTXZLRXBCT-UHFFFAOYSA-N 0 0 433.481 -0.025 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000534069496 1072410407 /nfs/dbraw/zinc/41/04/07/1072410407.db2.gz WWFNNPGWIZKAIS-UHFFFAOYSA-N 0 0 442.494 -0.616 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C3CCN(S(C)(=O)=O)CC3)C2)cc1 ZINC000534091587 1072410353 /nfs/dbraw/zinc/41/03/53/1072410353.db2.gz JLCIQCSHNHXJHU-UHFFFAOYSA-N 0 0 431.536 -0.144 20 0 IBADRN Cn1cc([C@@H](CO)NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000534091667 1072410437 /nfs/dbraw/zinc/41/04/37/1072410437.db2.gz MENBSSHIXJNJRD-GOSISDBHSA-N 0 0 449.533 -0.117 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000534091668 1072410208 /nfs/dbraw/zinc/41/02/08/1072410208.db2.gz MENBSSHIXJNJRD-SFHVURJKSA-N 0 0 449.533 -0.117 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000534092482 1072410389 /nfs/dbraw/zinc/41/03/89/1072410389.db2.gz UTKRWKSMZDNSSJ-UHFFFAOYSA-N 0 0 441.550 -0.251 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000534095882 1072410270 /nfs/dbraw/zinc/41/02/70/1072410270.db2.gz YYVLPNOFYIUPIJ-DOMZBBRYSA-N 0 0 426.495 -0.444 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000534095883 1072410363 /nfs/dbraw/zinc/41/03/63/1072410363.db2.gz YYVLPNOFYIUPIJ-IUODEOHRSA-N 0 0 426.495 -0.444 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000534095884 1072410397 /nfs/dbraw/zinc/41/03/97/1072410397.db2.gz YYVLPNOFYIUPIJ-SWLSCSKDSA-N 0 0 426.495 -0.444 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000534095885 1072410229 /nfs/dbraw/zinc/41/02/29/1072410229.db2.gz YYVLPNOFYIUPIJ-WFASDCNBSA-N 0 0 426.495 -0.444 20 0 IBADRN CN(CCCNC(=O)CN(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000534096340 1072410369 /nfs/dbraw/zinc/41/03/69/1072410369.db2.gz BOKQSIVPCIXNFR-CABCVRRESA-N 0 0 425.573 -0.948 20 0 IBADRN CN(CCCNC(=O)CN(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000534096341 1072410342 /nfs/dbraw/zinc/41/03/42/1072410342.db2.gz BOKQSIVPCIXNFR-GJZGRUSLSA-N 0 0 425.573 -0.948 20 0 IBADRN CN(CCCNC(=O)CN(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000534096342 1072410263 /nfs/dbraw/zinc/41/02/63/1072410263.db2.gz BOKQSIVPCIXNFR-HUUCEWRRSA-N 0 0 425.573 -0.948 20 0 IBADRN CN(CCCNC(=O)CN(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000534096343 1072410198 /nfs/dbraw/zinc/41/01/98/1072410198.db2.gz BOKQSIVPCIXNFR-LSDHHAIUSA-N 0 0 425.573 -0.948 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)cc21 ZINC000534096911 1072410294 /nfs/dbraw/zinc/41/02/94/1072410294.db2.gz ORMVODQJPAMFEX-IBGZPJMESA-N 0 0 432.506 -0.076 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)cc21 ZINC000534096918 1072410426 /nfs/dbraw/zinc/41/04/26/1072410426.db2.gz ORMVODQJPAMFEX-LJQANCHMSA-N 0 0 432.506 -0.076 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CCC2(CC1)NC(=O)NC2=O ZINC000534097276 1072410897 /nfs/dbraw/zinc/41/08/97/1072410897.db2.gz QOIIAXUIFUPQKJ-UHFFFAOYSA-N 0 0 437.478 -0.055 20 0 IBADRN COCCN(CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000534097552 1072410942 /nfs/dbraw/zinc/41/09/42/1072410942.db2.gz VAQQPTTWANVKFY-DZGCQCFKSA-N 0 0 445.563 -0.253 20 0 IBADRN COCCN(CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000534097560 1072411024 /nfs/dbraw/zinc/41/10/24/1072411024.db2.gz VAQQPTTWANVKFY-HIFRSBDPSA-N 0 0 445.563 -0.253 20 0 IBADRN COCCN(CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC000534097561 1072410910 /nfs/dbraw/zinc/41/09/10/1072410910.db2.gz VAQQPTTWANVKFY-UKRRQHHQSA-N 0 0 445.563 -0.253 20 0 IBADRN COCCN(CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC000534097562 1072410936 /nfs/dbraw/zinc/41/09/36/1072410936.db2.gz VAQQPTTWANVKFY-ZFWWWQNUSA-N 0 0 445.563 -0.253 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)cc21 ZINC000534098059 1072410882 /nfs/dbraw/zinc/41/08/82/1072410882.db2.gz XVCZAJWTIVZSRT-UHFFFAOYSA-N 0 0 427.465 -0.633 20 0 IBADRN CCCn1c(N)c(C(=O)CN(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000534098174 1072410918 /nfs/dbraw/zinc/41/09/18/1072410918.db2.gz HKTAASYYLCSLBB-CHWSQXEVSA-N 0 0 428.511 -0.208 20 0 IBADRN CCCn1c(N)c(C(=O)CN(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000534098182 1072411003 /nfs/dbraw/zinc/41/10/03/1072411003.db2.gz HKTAASYYLCSLBB-OLZOCXBDSA-N 0 0 428.511 -0.208 20 0 IBADRN CCCn1c(N)c(C(=O)CN(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000534098185 1072410969 /nfs/dbraw/zinc/41/09/69/1072410969.db2.gz HKTAASYYLCSLBB-QWHCGFSZSA-N 0 0 428.511 -0.208 20 0 IBADRN CCCn1c(N)c(C(=O)CN(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000534098188 1072411015 /nfs/dbraw/zinc/41/10/15/1072411015.db2.gz HKTAASYYLCSLBB-STQMWFEESA-N 0 0 428.511 -0.208 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCCCC4)CC3)cc21 ZINC000534099166 1072410950 /nfs/dbraw/zinc/41/09/50/1072410950.db2.gz SVBQXBIQFGGDBY-UHFFFAOYSA-N 0 0 441.492 -0.243 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)CC)c1 ZINC000534099307 1072410964 /nfs/dbraw/zinc/41/09/64/1072410964.db2.gz WGHOGSUBEJESDI-CYBMUJFWSA-N 0 0 448.567 -0.102 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCNS(=O)(=O)CC)c1 ZINC000534099308 1072410928 /nfs/dbraw/zinc/41/09/28/1072410928.db2.gz WGHOGSUBEJESDI-ZDUSSCGKSA-N 0 0 448.567 -0.102 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc4n[nH]c(C(N)=O)c4c3)CC2)CC1 ZINC000534099554 1072411030 /nfs/dbraw/zinc/41/10/30/1072411030.db2.gz QQKKROHYBDRKEF-UHFFFAOYSA-N 0 0 441.492 -0.387 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN(CCOC)[C@H]2CCS(=O)(=O)C2)ccc1C ZINC000534099847 1072411020 /nfs/dbraw/zinc/41/10/20/1072411020.db2.gz HAEMIJPQXVQSLC-HNNXBMFYSA-N 0 0 433.552 -0.023 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN(CCOC)[C@@H]2CCS(=O)(=O)C2)ccc1C ZINC000534099848 1072410906 /nfs/dbraw/zinc/41/09/06/1072410906.db2.gz HAEMIJPQXVQSLC-OAHLLOKOSA-N 0 0 433.552 -0.023 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC3(CC2)NC(=O)NC3=O)c(N)n(Cc2ccccc2)c1=O ZINC000534100433 1072410974 /nfs/dbraw/zinc/41/09/74/1072410974.db2.gz KJWYAMPTENKORY-UHFFFAOYSA-N 0 0 440.460 -0.966 20 0 IBADRN COCCN(CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21)[C@H]1CCS(=O)(=O)C1 ZINC000534100554 1072410981 /nfs/dbraw/zinc/41/09/81/1072410981.db2.gz JWBRUISWZRYVSZ-AWEZNQCLSA-N 0 0 431.536 -0.641 20 0 IBADRN COCCN(CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000534100555 1072411009 /nfs/dbraw/zinc/41/10/09/1072411009.db2.gz JWBRUISWZRYVSZ-CQSZACIVSA-N 0 0 431.536 -0.641 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000534116160 1072411608 /nfs/dbraw/zinc/41/16/08/1072411608.db2.gz JJJVYCHDXQLSFZ-CYBMUJFWSA-N 0 0 437.565 -0.409 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000534116161 1072411427 /nfs/dbraw/zinc/41/14/27/1072411427.db2.gz JJJVYCHDXQLSFZ-ZDUSSCGKSA-N 0 0 437.565 -0.409 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000534116859 1072411612 /nfs/dbraw/zinc/41/16/12/1072411612.db2.gz TZBXNHNKPFKRTP-AWEZNQCLSA-N 0 0 447.535 -0.204 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000534116860 1072411618 /nfs/dbraw/zinc/41/16/18/1072411618.db2.gz TZBXNHNKPFKRTP-CQSZACIVSA-N 0 0 447.535 -0.204 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000534117924 1072411478 /nfs/dbraw/zinc/41/14/78/1072411478.db2.gz HNFGFRWVDONHTI-APHBMKBZSA-N 0 0 440.522 -0.008 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000534117928 1072411412 /nfs/dbraw/zinc/41/14/12/1072411412.db2.gz HNFGFRWVDONHTI-MAZHCROVSA-N 0 0 440.522 -0.008 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000534117930 1072411559 /nfs/dbraw/zinc/41/15/59/1072411559.db2.gz HNFGFRWVDONHTI-RCBQFDQVSA-N 0 0 440.522 -0.008 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000534117932 1072411491 /nfs/dbraw/zinc/41/14/91/1072411491.db2.gz HNFGFRWVDONHTI-VBNZEHGJSA-N 0 0 440.522 -0.008 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c1 ZINC000534118683 1072411438 /nfs/dbraw/zinc/41/14/38/1072411438.db2.gz OPTCQXABPVXUQS-IOASZLSFSA-N 0 0 440.522 -0.056 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c1 ZINC000534118697 1072411580 /nfs/dbraw/zinc/41/15/80/1072411580.db2.gz OPTCQXABPVXUQS-WOSRLPQWSA-N 0 0 440.522 -0.056 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c1 ZINC000534118699 1072411551 /nfs/dbraw/zinc/41/15/51/1072411551.db2.gz OPTCQXABPVXUQS-XJKCOSOUSA-N 0 0 440.522 -0.056 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c1 ZINC000534118701 1072411617 /nfs/dbraw/zinc/41/16/17/1072411617.db2.gz OPTCQXABPVXUQS-ZENOOKHLSA-N 0 0 440.522 -0.056 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3ccc4n[nH]c(C(N)=O)c4c3)CCO2)n1 ZINC000534118918 1072411528 /nfs/dbraw/zinc/41/15/28/1072411528.db2.gz OPMKQBBZKOOQOM-CYBMUJFWSA-N 0 0 426.437 -0.264 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3ccc4n[nH]c(C(N)=O)c4c3)CCO2)n1 ZINC000534118923 1072411567 /nfs/dbraw/zinc/41/15/67/1072411567.db2.gz OPMKQBBZKOOQOM-ZDUSSCGKSA-N 0 0 426.437 -0.264 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCO[C@@H](CNC(C)=O)C2)c(OC)c1 ZINC000534134165 1072412542 /nfs/dbraw/zinc/41/25/42/1072412542.db2.gz RUELGGIXLAPMLM-AWEZNQCLSA-N 0 0 435.524 -0.018 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCO[C@H](CNC(C)=O)C2)c(OC)c1 ZINC000534134180 1072412588 /nfs/dbraw/zinc/41/25/88/1072412588.db2.gz RUELGGIXLAPMLM-CQSZACIVSA-N 0 0 435.524 -0.018 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c(OC)c1 ZINC000534135848 1072412774 /nfs/dbraw/zinc/41/27/74/1072412774.db2.gz GGXCQWFSRUYJEW-GFCCVEGCSA-N 0 0 441.553 -0.231 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)c(OC)c1 ZINC000534135849 1072412662 /nfs/dbraw/zinc/41/26/62/1072412662.db2.gz GGXCQWFSRUYJEW-LBPRGKRZSA-N 0 0 441.553 -0.231 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC(CS(N)(=O)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000534140812 1072412307 /nfs/dbraw/zinc/41/23/07/1072412307.db2.gz HCCRPXHRMDZTKB-UHFFFAOYSA-N 0 0 449.533 -0.639 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC(CS(N)(=O)=O)CC2)CC1 ZINC000534140984 1072412193 /nfs/dbraw/zinc/41/21/93/1072412193.db2.gz CVRFIYCFRVRYSN-UHFFFAOYSA-N 0 0 436.542 -0.988 20 0 IBADRN NS(=O)(=O)C1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000534141274 1072412031 /nfs/dbraw/zinc/41/20/31/1072412031.db2.gz ZAESSOPMNORVTM-UHFFFAOYSA-N 0 0 448.542 -0.589 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC(S(N)(=O)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000534141381 1072412290 /nfs/dbraw/zinc/41/22/90/1072412290.db2.gz HACAKRCTQGGYFS-UHFFFAOYSA-N 0 0 435.506 -0.887 20 0 IBADRN COc1ccc(NC(=O)CN2CCC(CS(N)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000534141761 1072412283 /nfs/dbraw/zinc/41/22/83/1072412283.db2.gz PSXYFMMSBOFGAM-UHFFFAOYSA-N 0 0 448.567 -0.116 20 0 IBADRN NS(=O)(=O)C1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000534141811 1072412020 /nfs/dbraw/zinc/41/20/20/1072412020.db2.gz RIZQPNQTTNUHOX-UHFFFAOYSA-N 0 0 446.551 -0.601 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC(CS(N)(=O)=O)CC2)c(=O)[nH]c1=O ZINC000534142392 1072412075 /nfs/dbraw/zinc/41/20/75/1072412075.db2.gz MUAUUIIAVIHINQ-UHFFFAOYSA-N 0 0 444.558 -0.315 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)c(OC)c1 ZINC000534146076 1072412227 /nfs/dbraw/zinc/41/22/27/1072412227.db2.gz KXMPQTSUUPUBTQ-GFCCVEGCSA-N 0 0 449.507 -0.491 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)c(OC)c1 ZINC000534146077 1072412093 /nfs/dbraw/zinc/41/20/93/1072412093.db2.gz KXMPQTSUUPUBTQ-LBPRGKRZSA-N 0 0 449.507 -0.491 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)NC)CC2)c(OC)c1 ZINC000534147115 1072413571 /nfs/dbraw/zinc/41/35/71/1072413571.db2.gz KZTUJIDUDYCYIN-UHFFFAOYSA-N 0 0 434.540 -0.491 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000534148259 1072413485 /nfs/dbraw/zinc/41/34/85/1072413485.db2.gz OEWXHWYAYQWYMA-UHFFFAOYSA-N 0 0 426.543 -0.319 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(OCC(F)F)nc3)CC2)CC1 ZINC000534165597 1072413617 /nfs/dbraw/zinc/41/36/17/1072413617.db2.gz DWSSGXKMHQZDNM-UHFFFAOYSA-N 0 0 433.481 -0.025 20 0 IBADRN COc1cc(NC(=O)C2CC2)ccc1S(=O)(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000534167362 1072414197 /nfs/dbraw/zinc/41/41/97/1072414197.db2.gz ARFDUTYAZVFWLR-UHFFFAOYSA-N 0 0 446.551 -0.362 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000534168630 1072414059 /nfs/dbraw/zinc/41/40/59/1072414059.db2.gz OUMCHJKLWIRTFV-AWEZNQCLSA-N 0 0 434.515 -0.267 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000534168631 1072413954 /nfs/dbraw/zinc/41/39/54/1072413954.db2.gz OUMCHJKLWIRTFV-CQSZACIVSA-N 0 0 434.515 -0.267 20 0 IBADRN CC(=O)N(CCc1ccccc1)CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000534177509 1072413552 /nfs/dbraw/zinc/41/35/52/1072413552.db2.gz XCYQIUHYEXDGNL-UHFFFAOYSA-N 0 0 428.489 -0.103 20 0 IBADRN CC(C)C[C@H](CN(C)C(=O)c1cn(C)c(=O)[nH]c1=O)NC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000534182317 1072413522 /nfs/dbraw/zinc/41/35/22/1072413522.db2.gz USDLUIRHDMTWHW-LLVKDONJSA-N 0 0 434.453 -0.798 20 0 IBADRN CC(C)C[C@@H](CN(C)C(=O)c1cn(C)c(=O)[nH]c1=O)NC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000534182318 1072413451 /nfs/dbraw/zinc/41/34/51/1072413451.db2.gz USDLUIRHDMTWHW-NSHDSACASA-N 0 0 434.453 -0.798 20 0 IBADRN Cn1nc2c(c1NC(=O)C(=O)N1CCN(c3cc(N4CCOCC4)ncn3)CC1)CCC2 ZINC000534189422 1072414233 /nfs/dbraw/zinc/41/42/33/1072414233.db2.gz KHUIZLHFNWQRBL-UHFFFAOYSA-N 0 0 440.508 -0.177 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)cn1 ZINC000534194861 1072414066 /nfs/dbraw/zinc/41/40/66/1072414066.db2.gz YGDZAHPMVCXCGF-UHFFFAOYSA-N 0 0 433.444 -0.533 20 0 IBADRN CC(C)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(C(F)F)n1 ZINC000534195949 1072414217 /nfs/dbraw/zinc/41/42/17/1072414217.db2.gz PUMOAWZPSLZLIZ-UHFFFAOYSA-N 0 0 439.427 -0.227 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)c1 ZINC000534198666 1072414075 /nfs/dbraw/zinc/41/40/75/1072414075.db2.gz XJXHGYGWHKIMFB-UHFFFAOYSA-N 0 0 428.424 -0.277 20 0 IBADRN Cn1nc2c(c1NC(=O)C(=O)N1CCN(c3ccc(S(N)(=O)=O)cc3)CC1)CCC2 ZINC000534200151 1072414205 /nfs/dbraw/zinc/41/42/05/1072414205.db2.gz OBZJDSSRZDLAKD-UHFFFAOYSA-N 0 0 432.506 -0.157 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(Cc3nc4ccccc4[nH]3)CC2)o1 ZINC000534203240 1072414145 /nfs/dbraw/zinc/41/41/45/1072414145.db2.gz MCCHALKPKYJFHR-UHFFFAOYSA-N 0 0 446.489 -0.123 20 0 IBADRN Cn1c2[nH]c(CN3CCN(CC(=O)NCc4ccccc4)CC3)nc2c(=O)n(C)c1=O ZINC000534215643 1072414825 /nfs/dbraw/zinc/41/48/25/1072414825.db2.gz GNCWFAZPRBZKBO-UHFFFAOYSA-N 0 0 425.493 -0.606 20 0 IBADRN Cn1c2[nH]c(CN3CCN(CC(=O)N4CCc5ccccc54)CC3)nc2c(=O)n(C)c1=O ZINC000534215894 1072415090 /nfs/dbraw/zinc/41/50/90/1072415090.db2.gz YDJMPXHMXQEDSW-UHFFFAOYSA-N 0 0 437.504 -0.333 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1 ZINC000534216615 1072414777 /nfs/dbraw/zinc/41/47/77/1072414777.db2.gz XSINWPVBGGWQKJ-UHFFFAOYSA-N 0 0 437.482 -0.928 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000534216708 1072414802 /nfs/dbraw/zinc/41/48/02/1072414802.db2.gz CDFGNIDTIVHZHZ-AWEZNQCLSA-N 0 0 440.460 -0.921 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000534216713 1072415010 /nfs/dbraw/zinc/41/50/10/1072415010.db2.gz CDFGNIDTIVHZHZ-CQSZACIVSA-N 0 0 440.460 -0.921 20 0 IBADRN CCCCN(C(=O)CN1CCN2C(=O)NC(=O)[C@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000534217375 1072415078 /nfs/dbraw/zinc/41/50/78/1072415078.db2.gz UQRBAFDILPJACY-CYBMUJFWSA-N 0 0 449.512 -0.444 20 0 IBADRN CCCCN(C(=O)CN1CCN2C(=O)NC(=O)[C@@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000534217377 1072414933 /nfs/dbraw/zinc/41/49/33/1072414933.db2.gz UQRBAFDILPJACY-ZDUSSCGKSA-N 0 0 449.512 -0.444 20 0 IBADRN COC(=O)[C@H](CC(F)F)NC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000534218380 1072414979 /nfs/dbraw/zinc/41/49/79/1072414979.db2.gz QAQNFUFURWGXGT-JTQLQIEISA-N 0 0 427.451 -0.025 20 0 IBADRN COC(=O)[C@@H](CC(F)F)NC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000534218381 1072414965 /nfs/dbraw/zinc/41/49/65/1072414965.db2.gz QAQNFUFURWGXGT-SNVBAGLBSA-N 0 0 427.451 -0.025 20 0 IBADRN CN(c1cccnn1)C1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000534220754 1073378316 /nfs/dbraw/zinc/37/83/16/1073378316.db2.gz RJPKNGOSOSXTLZ-UHFFFAOYSA-N 0 0 445.568 -0.620 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@@H](NC(N)=O)C1 ZINC000534228004 1072414986 /nfs/dbraw/zinc/41/49/86/1072414986.db2.gz QDGGNZOYPAWTBX-DZGCQCFKSA-N 0 0 425.511 -0.223 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H](NC(N)=O)C1 ZINC000534228005 1072414869 /nfs/dbraw/zinc/41/48/69/1072414869.db2.gz QDGGNZOYPAWTBX-HIFRSBDPSA-N 0 0 425.511 -0.223 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@@H](NC(N)=O)C1 ZINC000534228006 1072414852 /nfs/dbraw/zinc/41/48/52/1072414852.db2.gz QDGGNZOYPAWTBX-UKRRQHHQSA-N 0 0 425.511 -0.223 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H](NC(N)=O)C1 ZINC000534228007 1072414834 /nfs/dbraw/zinc/41/48/34/1072414834.db2.gz QDGGNZOYPAWTBX-ZFWWWQNUSA-N 0 0 425.511 -0.223 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](NC(N)=O)C3)CC2)cc1 ZINC000534228780 1072414184 /nfs/dbraw/zinc/41/41/84/1072414184.db2.gz HGVKUXWZTQWSHI-HNNXBMFYSA-N 0 0 439.538 -0.339 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](NC(N)=O)C3)CC2)cc1 ZINC000534228781 1072414167 /nfs/dbraw/zinc/41/41/67/1072414167.db2.gz HGVKUXWZTQWSHI-OAHLLOKOSA-N 0 0 439.538 -0.339 20 0 IBADRN NC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1 ZINC000534228887 1072414083 /nfs/dbraw/zinc/41/40/83/1072414083.db2.gz HMAKRCCVCQYPMH-GFCCVEGCSA-N 0 0 431.465 -0.460 20 0 IBADRN NC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1 ZINC000534228888 1072413966 /nfs/dbraw/zinc/41/39/66/1072413966.db2.gz HMAKRCCVCQYPMH-LBPRGKRZSA-N 0 0 431.465 -0.460 20 0 IBADRN CCN(C(=O)CN1CC[C@H](NC(N)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000534232227 1072414910 /nfs/dbraw/zinc/41/49/10/1072414910.db2.gz YHMPWMPPGAEBQA-AWEZNQCLSA-N 0 0 429.481 -0.325 20 0 IBADRN CCN(C(=O)CN1CC[C@@H](NC(N)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000534232228 1072415583 /nfs/dbraw/zinc/41/55/83/1072415583.db2.gz YHMPWMPPGAEBQA-CQSZACIVSA-N 0 0 429.481 -0.325 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccc2n[nH]c(=O)n2c1 ZINC000534253222 1072415597 /nfs/dbraw/zinc/41/55/97/1072415597.db2.gz WLROWIUCZHIAQG-UHFFFAOYSA-N 0 0 431.478 -0.288 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)c2cccnc2)CC1 ZINC000534255398 1072415558 /nfs/dbraw/zinc/41/55/58/1072415558.db2.gz FTNUQRKKKWLIDR-AWEZNQCLSA-N 0 0 445.501 -0.168 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)c2cccnc2)CC1 ZINC000534255399 1072415567 /nfs/dbraw/zinc/41/55/67/1072415567.db2.gz FTNUQRKKKWLIDR-CQSZACIVSA-N 0 0 445.501 -0.168 20 0 IBADRN NC(=O)N[C@H]1CCN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000534255804 1072415594 /nfs/dbraw/zinc/41/55/94/1072415594.db2.gz BETPPRSPKIGESI-INIZCTEOSA-N 0 0 425.511 -0.199 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000534255805 1072415562 /nfs/dbraw/zinc/41/55/62/1072415562.db2.gz BETPPRSPKIGESI-MRXNPFEDSA-N 0 0 425.511 -0.199 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000534261245 1072415579 /nfs/dbraw/zinc/41/55/79/1072415579.db2.gz USTJCYRZGOWXPU-AWEZNQCLSA-N 0 0 426.495 -0.472 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000534261246 1072415550 /nfs/dbraw/zinc/41/55/50/1072415550.db2.gz USTJCYRZGOWXPU-CQSZACIVSA-N 0 0 426.495 -0.472 20 0 IBADRN Cc1cc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3cc(C)ncn3)CC2)ncn1 ZINC000534266444 1072415457 /nfs/dbraw/zinc/41/54/57/1072415457.db2.gz GHWTXBONKMHRFO-UHFFFAOYSA-N 0 0 433.494 -0.599 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCCN3C(=O)CNC3=O)nc2n(CC)c1=O ZINC000534269965 1072415528 /nfs/dbraw/zinc/41/55/28/1072415528.db2.gz JWLVHBFPOYGCAZ-UHFFFAOYSA-N 0 0 431.453 -0.376 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CC[C@@H](S(N)(=O)=O)C3)nc2n(CC)c1=O ZINC000534275726 1073352108 /nfs/dbraw/zinc/35/21/08/1073352108.db2.gz ATJPHDCXGKFGHQ-GFCCVEGCSA-N 0 0 438.510 -0.154 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CC[C@H](S(N)(=O)=O)C3)nc2n(CC)c1=O ZINC000534275727 1073351975 /nfs/dbraw/zinc/35/19/75/1073351975.db2.gz ATJPHDCXGKFGHQ-LBPRGKRZSA-N 0 0 438.510 -0.154 20 0 IBADRN CCN1CCN(CC(=O)N2CCN([C@H](C(N)=O)c3ccc(Cl)cc3)CC2)C(=O)C1=O ZINC000534279979 1072415535 /nfs/dbraw/zinc/41/55/35/1072415535.db2.gz AOKSZPJQNHCVML-KRWDZBQOSA-N 0 0 435.912 -0.299 20 0 IBADRN CCN1CCN(CC(=O)N2CCN([C@@H](C(N)=O)c3ccc(Cl)cc3)CC2)C(=O)C1=O ZINC000534279980 1072415543 /nfs/dbraw/zinc/41/55/43/1072415543.db2.gz AOKSZPJQNHCVML-QGZVFWFLSA-N 0 0 435.912 -0.299 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)c3cc(S(N)(=O)=O)cn3C)C2)cc1 ZINC000534280345 1072415486 /nfs/dbraw/zinc/41/54/86/1072415486.db2.gz CVEXAGXUHDFSRN-UHFFFAOYSA-N 0 0 428.492 -0.516 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)nc3)CC2)cc1 ZINC000534289736 1072415607 /nfs/dbraw/zinc/41/56/07/1072415607.db2.gz QHPUPFMBKCTXDH-UHFFFAOYSA-N 0 0 440.503 -0.116 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c(OC)c1 ZINC000534292805 1072416210 /nfs/dbraw/zinc/41/62/10/1072416210.db2.gz QNPCXBGZMXQEFY-AWEZNQCLSA-N 0 0 445.476 -0.061 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c(OC)c1 ZINC000534292806 1072416118 /nfs/dbraw/zinc/41/61/18/1072416118.db2.gz QNPCXBGZMXQEFY-CQSZACIVSA-N 0 0 445.476 -0.061 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000534292928 1072416132 /nfs/dbraw/zinc/41/61/32/1072416132.db2.gz IUFWZCILFOOBQW-AWEZNQCLSA-N 0 0 438.506 -0.539 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000534292929 1072416199 /nfs/dbraw/zinc/41/61/99/1072416199.db2.gz IUFWZCILFOOBQW-CQSZACIVSA-N 0 0 438.506 -0.539 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1=O ZINC000534299061 1072416195 /nfs/dbraw/zinc/41/61/95/1072416195.db2.gz OMAGPAREXPBLLG-DOMZBBRYSA-N 0 0 433.417 -0.766 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1=O ZINC000534299062 1072416155 /nfs/dbraw/zinc/41/61/55/1072416155.db2.gz OMAGPAREXPBLLG-IUODEOHRSA-N 0 0 433.417 -0.766 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1=O ZINC000534299063 1072416216 /nfs/dbraw/zinc/41/62/16/1072416216.db2.gz OMAGPAREXPBLLG-SWLSCSKDSA-N 0 0 433.417 -0.766 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1=O ZINC000534299064 1072416148 /nfs/dbraw/zinc/41/61/48/1072416148.db2.gz OMAGPAREXPBLLG-WFASDCNBSA-N 0 0 433.417 -0.766 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2C)C1=O ZINC000534299609 1072416164 /nfs/dbraw/zinc/41/61/64/1072416164.db2.gz UPJBHBNAQBQTQR-CYBMUJFWSA-N 0 0 425.463 -0.383 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2C)C1=O ZINC000534299610 1072416139 /nfs/dbraw/zinc/41/61/39/1072416139.db2.gz UPJBHBNAQBQTQR-ZDUSSCGKSA-N 0 0 425.463 -0.383 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccc(F)cc3F)CC2)CC1 ZINC000534307076 1072416176 /nfs/dbraw/zinc/41/61/76/1072416176.db2.gz UENPLQGWJFDGJI-UHFFFAOYSA-N 0 0 438.522 -0.096 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCCOC)cc2)C1 ZINC000534327580 1072416219 /nfs/dbraw/zinc/41/62/19/1072416219.db2.gz VCMLAMHTBCGJLT-HNNXBMFYSA-N 0 0 447.535 -0.415 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCCOC)cc2)C1 ZINC000534327581 1072416166 /nfs/dbraw/zinc/41/61/66/1072416166.db2.gz VCMLAMHTBCGJLT-OAHLLOKOSA-N 0 0 447.535 -0.415 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1=O ZINC000534342639 1072416186 /nfs/dbraw/zinc/41/61/86/1072416186.db2.gz SZAOANJSUWYHQO-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)NC[C@]1(O)CCOC1 ZINC000534353017 1072416223 /nfs/dbraw/zinc/41/62/23/1072416223.db2.gz HSGNLGYXOUXTGD-GOSISDBHSA-N 0 0 429.495 -0.022 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)NC[C@@]1(O)CCOC1 ZINC000534353020 1072416696 /nfs/dbraw/zinc/41/66/96/1072416696.db2.gz HSGNLGYXOUXTGD-SFHVURJKSA-N 0 0 429.495 -0.022 20 0 IBADRN C[C@H](CNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1)S(C)(=O)=O ZINC000534354471 1072416819 /nfs/dbraw/zinc/41/68/19/1072416819.db2.gz SRNUZXFADXCPGM-CYBMUJFWSA-N 0 0 431.536 -0.009 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1)S(C)(=O)=O ZINC000534354476 1072416752 /nfs/dbraw/zinc/41/67/52/1072416752.db2.gz SRNUZXFADXCPGM-ZDUSSCGKSA-N 0 0 431.536 -0.009 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@]3(O)CCOC3)c2)CC1 ZINC000534355802 1072416675 /nfs/dbraw/zinc/41/66/75/1072416675.db2.gz XZJUCKWGBPDGKS-IBGZPJMESA-N 0 0 440.522 -0.781 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@]3(O)CCOC3)c2)CC1 ZINC000534355803 1072416767 /nfs/dbraw/zinc/41/67/67/1072416767.db2.gz XZJUCKWGBPDGKS-LJQANCHMSA-N 0 0 440.522 -0.781 20 0 IBADRN CSc1ccccc1NC(=O)CN(C)C(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000534356700 1072416710 /nfs/dbraw/zinc/41/67/10/1072416710.db2.gz QIEOLQWJPDZERK-UHFFFAOYSA-N 0 0 443.595 -0.289 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCc1ccccc1CS(=O)(=O)N1CCCC1 ZINC000534368596 1072416691 /nfs/dbraw/zinc/41/66/91/1072416691.db2.gz UYHLFHRIVWBCHB-UHFFFAOYSA-N 0 0 429.564 -0.041 20 0 IBADRN COCCNC(=O)CNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534375272 1072416649 /nfs/dbraw/zinc/41/66/49/1072416649.db2.gz QBZRQBOZGYTWLZ-UHFFFAOYSA-N 0 0 443.526 -0.342 20 0 IBADRN CC(C)[C@@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534376706 1072416783 /nfs/dbraw/zinc/41/67/83/1072416783.db2.gz JCLAITZWFOCJNP-KRWDZBQOSA-N 0 0 442.538 -0.155 20 0 IBADRN CC(C)[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534376707 1072416658 /nfs/dbraw/zinc/41/66/58/1072416658.db2.gz JCLAITZWFOCJNP-QGZVFWFLSA-N 0 0 442.538 -0.155 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CCN(C)CC2)c1 ZINC000534378118 1072416720 /nfs/dbraw/zinc/41/67/20/1072416720.db2.gz NIFWLIYRXRVWIY-UHFFFAOYSA-N 0 0 439.538 -0.514 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534378496 1072416663 /nfs/dbraw/zinc/41/66/63/1072416663.db2.gz QOQCNYXWTPZHHX-UHFFFAOYSA-N 0 0 442.494 -0.609 20 0 IBADRN CCN(CCCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534379160 1072416801 /nfs/dbraw/zinc/41/68/01/1072416801.db2.gz XSKDYQSNALPANT-UHFFFAOYSA-N 0 0 442.538 -0.057 20 0 IBADRN CCOCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534379522 1072417323 /nfs/dbraw/zinc/41/73/23/1072417323.db2.gz DFXZEVLMNMXJKZ-UHFFFAOYSA-N 0 0 428.511 -0.135 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NCC(C)(C)O)c1 ZINC000534379795 1072416701 /nfs/dbraw/zinc/41/67/01/1072416701.db2.gz JYYOJDBRYWULEE-UHFFFAOYSA-N 0 0 428.511 -0.401 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CCOCC2)c1 ZINC000534379817 1072416670 /nfs/dbraw/zinc/41/66/70/1072416670.db2.gz KKOPGDYEXRBTPM-UHFFFAOYSA-N 0 0 426.495 -0.429 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NCCN2CCC2)c1 ZINC000534379886 1072417396 /nfs/dbraw/zinc/41/73/96/1072417396.db2.gz LUFQNSWTFHXRGJ-UHFFFAOYSA-N 0 0 439.538 -0.466 20 0 IBADRN CC(C)[C@@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534380533 1072417315 /nfs/dbraw/zinc/41/73/15/1072417315.db2.gz RRCRIMBYASZZJE-MRXNPFEDSA-N 0 0 442.538 -0.155 20 0 IBADRN CC[C@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000534382391 1071544810 /nfs/dbraw/zinc/54/48/10/1071544810.db2.gz VMPYVQWFCYFXOJ-CYBMUJFWSA-N 0 0 428.511 -0.401 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000534383897 1072417402 /nfs/dbraw/zinc/41/74/02/1072417402.db2.gz GBFAHMBMGHSJQY-UHFFFAOYSA-N 0 0 427.527 -0.728 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC000534384607 1072417384 /nfs/dbraw/zinc/41/73/84/1072417384.db2.gz KWHLZWZKEYCZMZ-UHFFFAOYSA-N 0 0 445.524 -0.387 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000534391110 1072416760 /nfs/dbraw/zinc/41/67/60/1072416760.db2.gz XEIFHIOJBVYJME-GOSISDBHSA-N 0 0 438.462 -0.643 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000534391114 1072416636 /nfs/dbraw/zinc/41/66/36/1072416636.db2.gz XEIFHIOJBVYJME-SFHVURJKSA-N 0 0 438.462 -0.643 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)co1 ZINC000534401206 1072417357 /nfs/dbraw/zinc/41/73/57/1072417357.db2.gz LDOAHLGIONTNSX-UHFFFAOYSA-N 0 0 442.475 -0.440 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)co1 ZINC000534403123 1072417339 /nfs/dbraw/zinc/41/73/39/1072417339.db2.gz GKASZOTZWDKMGC-UHFFFAOYSA-N 0 0 428.492 -0.203 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)Nc2cccc(S(=O)(=O)NCC(=O)OC)c2)co1 ZINC000534403164 1072417414 /nfs/dbraw/zinc/41/74/14/1072417414.db2.gz UEPIESOWLGATFZ-UHFFFAOYSA-N 0 0 431.448 -0.109 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC[C@@H]1CC(=O)c1ccco1 ZINC000534405163 1072417409 /nfs/dbraw/zinc/41/74/09/1072417409.db2.gz IUXCGMOBQPSRAH-AWEZNQCLSA-N 0 0 429.495 -0.086 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC[C@H]1CC(=O)c1ccco1 ZINC000534405164 1072417427 /nfs/dbraw/zinc/41/74/27/1072417427.db2.gz IUXCGMOBQPSRAH-CQSZACIVSA-N 0 0 429.495 -0.086 20 0 IBADRN O=S(=O)(NCCOCCOCCNS(=O)(=O)N1CCCCC1)N1CCCCC1 ZINC000534406906 1072417367 /nfs/dbraw/zinc/41/73/67/1072417367.db2.gz YCGYSQLRTWEOIJ-UHFFFAOYSA-N 0 0 442.604 -0.340 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCOC[C@H]3CC(=O)c3ccco3)c2c(=O)n(C)c1=O ZINC000534413441 1072417421 /nfs/dbraw/zinc/41/74/21/1072417421.db2.gz ZXLFHZOUFOPREY-CYBMUJFWSA-N 0 0 429.433 -0.083 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCOC[C@@H]3CC(=O)c3ccco3)c2c(=O)n(C)c1=O ZINC000534413445 1072417303 /nfs/dbraw/zinc/41/73/03/1072417303.db2.gz ZXLFHZOUFOPREY-ZDUSSCGKSA-N 0 0 429.433 -0.083 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)co1 ZINC000534419691 1072417807 /nfs/dbraw/zinc/41/78/07/1072417807.db2.gz YRMWAXUAVUNNEV-UHFFFAOYSA-N 0 0 436.494 -0.223 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1coc(S(=O)(=O)NC)c1)C(=O)NCCN1CCOCC1 ZINC000534424834 1072417881 /nfs/dbraw/zinc/41/78/81/1072417881.db2.gz NPBQGRVDZWOBOF-BBRMVZONSA-N 0 0 430.527 -0.219 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1coc(S(=O)(=O)NC)c1)C(=O)NCCN1CCOCC1 ZINC000534424835 1072418647 /nfs/dbraw/zinc/41/86/47/1072418647.db2.gz NPBQGRVDZWOBOF-CJNGLKHVSA-N 0 0 430.527 -0.219 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1coc(S(=O)(=O)NC)c1)C(=O)NCCN1CCOCC1 ZINC000534424836 1072418584 /nfs/dbraw/zinc/41/85/84/1072418584.db2.gz NPBQGRVDZWOBOF-CZUORRHYSA-N 0 0 430.527 -0.219 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1coc(S(=O)(=O)NC)c1)C(=O)NCCN1CCOCC1 ZINC000534424837 1072418551 /nfs/dbraw/zinc/41/85/51/1072418551.db2.gz NPBQGRVDZWOBOF-XJKSGUPXSA-N 0 0 430.527 -0.219 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c1OC ZINC000534431554 1072418002 /nfs/dbraw/zinc/41/80/02/1072418002.db2.gz KRUDGWOROBUODH-UHFFFAOYSA-N 0 0 444.448 -0.055 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1OC ZINC000534431645 1072417858 /nfs/dbraw/zinc/41/78/58/1072417858.db2.gz NHIRZLUFTFSCNU-INIZCTEOSA-N 0 0 431.449 -0.092 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1OC ZINC000534431649 1072417950 /nfs/dbraw/zinc/41/79/50/1072417950.db2.gz NHIRZLUFTFSCNU-MRXNPFEDSA-N 0 0 431.449 -0.092 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCCS(N)(=O)=O)c(OC)c1 ZINC000534432191 1072417995 /nfs/dbraw/zinc/41/79/95/1072417995.db2.gz VTWPFOYGEFBLAN-UHFFFAOYSA-N 0 0 425.467 -0.318 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NCCNS(C)(=O)=O)c1OC ZINC000534433955 1072417987 /nfs/dbraw/zinc/41/79/87/1072417987.db2.gz SQMMZPKNZXOWHN-UHFFFAOYSA-N 0 0 425.467 -0.448 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1OC ZINC000534434299 1072417966 /nfs/dbraw/zinc/41/79/66/1072417966.db2.gz VZZZCKPCCCEAPR-UHFFFAOYSA-N 0 0 444.448 -0.136 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c(OC)c1OC ZINC000534434662 1072418500 /nfs/dbraw/zinc/41/85/00/1072418500.db2.gz YUFKJEWMXNPIAK-AWEZNQCLSA-N 0 0 447.448 -0.392 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c(OC)c1OC ZINC000534434663 1072418536 /nfs/dbraw/zinc/41/85/36/1072418536.db2.gz YUFKJEWMXNPIAK-CQSZACIVSA-N 0 0 447.448 -0.392 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccc2F)CC1 ZINC000534435076 1072417330 /nfs/dbraw/zinc/41/73/30/1072417330.db2.gz LPTXCDKEZVLOLX-UHFFFAOYSA-N 0 0 446.483 -0.084 20 0 IBADRN O=C(Nc1ccnn1Cc1ccccc1F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000534439783 1072418655 /nfs/dbraw/zinc/41/86/55/1072418655.db2.gz RJRYZJNIDWZDAR-UHFFFAOYSA-N 0 0 442.451 -0.042 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)cn1 ZINC000534448345 1072418489 /nfs/dbraw/zinc/41/84/89/1072418489.db2.gz RZLLEQZCRVEHFM-UHFFFAOYSA-N 0 0 438.485 -0.380 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)c1 ZINC000534449722 1072418616 /nfs/dbraw/zinc/41/86/16/1072418616.db2.gz BLMJLVSCMZHCQU-UHFFFAOYSA-N 0 0 438.485 -0.157 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000534450508 1072418569 /nfs/dbraw/zinc/41/85/69/1072418569.db2.gz OHJZDTNVLMAKAA-UHFFFAOYSA-N 0 0 430.502 -0.136 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)CC1 ZINC000534450841 1072418541 /nfs/dbraw/zinc/41/85/41/1072418541.db2.gz UVSCNUHVXMVEDA-UHFFFAOYSA-N 0 0 427.502 -0.176 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3coc(S(=O)(=O)N(C)C)c3)CC2)cn1C ZINC000534453096 1072418561 /nfs/dbraw/zinc/41/85/61/1072418561.db2.gz WERATBFEKICDJN-UHFFFAOYSA-N 0 0 445.523 -0.281 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3cnc(C4CC4)nc3)CC2)CC1 ZINC000534455267 1072418472 /nfs/dbraw/zinc/41/84/72/1072418472.db2.gz LGDMLIUWDCNESP-UHFFFAOYSA-N 0 0 437.570 -0.284 20 0 IBADRN Cn1c2ncn(CCN3CCN(CC(=O)Nc4ccccc4F)CC3)c2c(=O)n(C)c1=O ZINC000534474696 1072419111 /nfs/dbraw/zinc/41/91/11/1072419111.db2.gz MPGOXNCABQGLAZ-UHFFFAOYSA-N 0 0 443.483 -0.171 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000534479000 1072418638 /nfs/dbraw/zinc/41/86/38/1072418638.db2.gz HQDNLFHMLSXFCH-ZDUSSCGKSA-N 0 0 442.494 -0.465 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCC2CCS(=O)(=O)CC2)CC1 ZINC000534488849 1072419009 /nfs/dbraw/zinc/41/90/09/1072419009.db2.gz KQWXNYPOTCMKHB-UHFFFAOYSA-N 0 0 425.573 -0.107 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCCNS(C)(=O)=O)c(OC)c1 ZINC000534491471 1072419026 /nfs/dbraw/zinc/41/90/26/1072419026.db2.gz ATMGLKPJUWZOOQ-UHFFFAOYSA-N 0 0 439.494 -0.057 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000534493694 1072419103 /nfs/dbraw/zinc/41/91/03/1072419103.db2.gz NGLSZBGYUXCTLL-INIZCTEOSA-N 0 0 449.551 -0.527 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000534493703 1072419145 /nfs/dbraw/zinc/41/91/45/1072419145.db2.gz NGLSZBGYUXCTLL-MRXNPFEDSA-N 0 0 449.551 -0.527 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000534503609 1072419129 /nfs/dbraw/zinc/41/91/29/1072419129.db2.gz VWNHFRCTWBEVPK-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000534505170 1072419176 /nfs/dbraw/zinc/41/91/76/1072419176.db2.gz QCVBMJAJCVEQSM-ZDUSSCGKSA-N 0 0 437.522 -0.223 20 0 IBADRN COc1cc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1S(=O)(=O)N(C)C ZINC000534505687 1072419726 /nfs/dbraw/zinc/41/97/26/1072419726.db2.gz AARYHWFDGWYSBF-GFCCVEGCSA-N 0 0 448.523 -0.630 20 0 IBADRN COc1cc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1S(=O)(=O)N(C)C ZINC000534505690 1072419786 /nfs/dbraw/zinc/41/97/86/1072419786.db2.gz AARYHWFDGWYSBF-LBPRGKRZSA-N 0 0 448.523 -0.630 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000534506253 1072419189 /nfs/dbraw/zinc/41/91/89/1072419189.db2.gz XPXJGHYZMZSREE-ZDUSSCGKSA-N 0 0 428.486 -0.311 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000534507407 1072419755 /nfs/dbraw/zinc/41/97/55/1072419755.db2.gz USYZPOSOHNCXLU-LJPSSGMGSA-N 0 0 442.538 -0.505 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000534507413 1072419735 /nfs/dbraw/zinc/41/97/35/1072419735.db2.gz USYZPOSOHNCXLU-MCIONIFRSA-N 0 0 442.538 -0.505 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000534507415 1072419781 /nfs/dbraw/zinc/41/97/81/1072419781.db2.gz USYZPOSOHNCXLU-MGPQQGTHSA-N 0 0 442.538 -0.505 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000534507418 1072419776 /nfs/dbraw/zinc/41/97/76/1072419776.db2.gz USYZPOSOHNCXLU-ZYKCCNLVSA-N 0 0 442.538 -0.505 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)C2=O ZINC000534507609 1072419787 /nfs/dbraw/zinc/41/97/87/1072419787.db2.gz XDELIFSOBXNTEG-GFENJXEQSA-N 0 0 433.509 -0.318 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)C2=O ZINC000534507616 1072419742 /nfs/dbraw/zinc/41/97/42/1072419742.db2.gz XDELIFSOBXNTEG-KBPBESRZSA-N 0 0 433.509 -0.318 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)C2=O ZINC000534507619 1072419772 /nfs/dbraw/zinc/41/97/72/1072419772.db2.gz XDELIFSOBXNTEG-ZIAGYGMSSA-N 0 0 433.509 -0.318 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1nc(C(F)(F)F)cc(=O)[nH]1)S(C)(=O)=O ZINC000534508030 1072419747 /nfs/dbraw/zinc/41/97/47/1072419747.db2.gz QBDNBMVCLTYBDR-UHFFFAOYSA-N 0 0 448.445 -0.487 20 0 IBADRN COc1cc(NCCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1S(=O)(=O)N(C)C ZINC000534508095 1072419806 /nfs/dbraw/zinc/41/98/06/1072419806.db2.gz VJMKOLIANORWEA-UHFFFAOYSA-N 0 0 436.494 -0.195 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(C(=O)NC4CC4)cc3)CC2)CC1 ZINC000534508293 1072419784 /nfs/dbraw/zinc/41/97/84/1072419784.db2.gz BJSYEFPTDFMYGM-UHFFFAOYSA-N 0 0 435.550 -0.171 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)ccc1S(=O)(=O)N(C)C ZINC000534509762 1072419794 /nfs/dbraw/zinc/41/97/94/1072419794.db2.gz CYEOSKNUEMOWJE-UHFFFAOYSA-N 0 0 449.489 -0.175 20 0 IBADRN O=C(CN1CCC(OCCO)CC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000534510673 1072419790 /nfs/dbraw/zinc/41/97/90/1072419790.db2.gz KEBXCOZHBWWMTM-UHFFFAOYSA-N 0 0 441.550 -0.203 20 0 IBADRN C[C@H](CS(C)(=O)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000534514175 1072419043 /nfs/dbraw/zinc/41/90/43/1072419043.db2.gz DNTBIFYKDCVFOZ-GFCCVEGCSA-N 0 0 426.538 -0.581 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000534514176 1072419195 /nfs/dbraw/zinc/41/91/95/1072419195.db2.gz DNTBIFYKDCVFOZ-LBPRGKRZSA-N 0 0 426.538 -0.581 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000534520296 1072419096 /nfs/dbraw/zinc/41/90/96/1072419096.db2.gz MMKDSRHHAIBAIX-UHFFFAOYSA-N 0 0 434.540 -0.421 20 0 IBADRN O=C(CSCC(=O)N1CCN(c2ncccn2)CC1)N1CCN(c2ncccn2)CC1 ZINC000534521166 1072419158 /nfs/dbraw/zinc/41/91/58/1072419158.db2.gz VFZWYUHUAGDYLP-UHFFFAOYSA-N 0 0 442.549 -0.003 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4ccsc4)CC3)cnc2n(C)c1=O ZINC000534524000 1072419802 /nfs/dbraw/zinc/41/98/02/1072419802.db2.gz XQTUKNVJSLCHNL-UHFFFAOYSA-N 0 0 442.501 -0.023 20 0 IBADRN O=C(CSCC(=O)N1CCN(Cc2ncc[nH]2)CC1)N1CCN(Cc2ncc[nH]2)CC1 ZINC000534524841 1072420257 /nfs/dbraw/zinc/42/02/57/1072420257.db2.gz DJBHEWZIKQHCNN-UHFFFAOYSA-N 0 0 446.581 -0.145 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)CSCC(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000534524894 1072420288 /nfs/dbraw/zinc/42/02/88/1072420288.db2.gz PFEXSIHAHOKSEB-CRWXNKLISA-N 0 0 432.495 -0.703 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)CSCC(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000534524897 1072420267 /nfs/dbraw/zinc/42/02/67/1072420267.db2.gz PFEXSIHAHOKSEB-KPWCQOOUSA-N 0 0 432.495 -0.703 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1C(=O)CSCC(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000534524899 1072420254 /nfs/dbraw/zinc/42/02/54/1072420254.db2.gz PFEXSIHAHOKSEB-XDQVBPFNSA-N 0 0 432.495 -0.703 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)CSCC(=O)N1C[C@@H](OC)C[C@H]1C(=O)OC ZINC000534524900 1072420232 /nfs/dbraw/zinc/42/02/32/1072420232.db2.gz PFEXSIHAHOKSEB-XUXIUFHCSA-N 0 0 432.495 -0.703 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCN1CCN(c2ncccn2)CC1 ZINC000534524973 1072420155 /nfs/dbraw/zinc/42/01/55/1072420155.db2.gz QXQSYDDFRMEGOI-IBGZPJMESA-N 0 0 445.568 -0.572 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCN1CCN(c2ncccn2)CC1 ZINC000534524977 1072420183 /nfs/dbraw/zinc/42/01/83/1072420183.db2.gz QXQSYDDFRMEGOI-LJQANCHMSA-N 0 0 445.568 -0.572 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)Nc1ccn(CCN2CCOCC2)n1 ZINC000534525920 1072420879 /nfs/dbraw/zinc/42/08/79/1072420879.db2.gz GFFZBLKZTXKIGS-UHFFFAOYSA-N 0 0 429.529 -0.051 20 0 IBADRN Cc1cc(C(=O)NCCN2CCN(c3ncccn3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000534526990 1072420789 /nfs/dbraw/zinc/42/07/89/1072420789.db2.gz RKRFJLJSPKPDSL-UHFFFAOYSA-N 0 0 438.492 -0.717 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)CSCC(=O)N2CCN(c3nccn3C)CC2)CC1 ZINC000534530079 1072420858 /nfs/dbraw/zinc/42/08/58/1072420858.db2.gz JPIITEYTWVPBAS-UHFFFAOYSA-N 0 0 446.581 -0.116 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000534530401 1072420804 /nfs/dbraw/zinc/42/08/04/1072420804.db2.gz CYBFFYMJOQZGRF-GFCCVEGCSA-N 0 0 435.572 -0.366 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000534530402 1072420812 /nfs/dbraw/zinc/42/08/12/1072420812.db2.gz CYBFFYMJOQZGRF-LBPRGKRZSA-N 0 0 435.572 -0.366 20 0 IBADRN Cc1cccc(Cn2nccc2NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000534532051 1072419799 /nfs/dbraw/zinc/41/97/99/1072419799.db2.gz ILHZYSJQAYOXJX-UHFFFAOYSA-N 0 0 434.522 -0.389 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c(OC)c1 ZINC000534534248 1072419761 /nfs/dbraw/zinc/41/97/61/1072419761.db2.gz NGLYLNWRBOUVLL-MLGOLLRUSA-N 0 0 431.449 -0.012 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c(OC)c1 ZINC000534534259 1072419796 /nfs/dbraw/zinc/41/97/96/1072419796.db2.gz NGLYLNWRBOUVLL-WBMJQRKESA-N 0 0 431.449 -0.012 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(CO)c(F)c3)CC2)CC1 ZINC000534537482 1072419764 /nfs/dbraw/zinc/41/97/64/1072419764.db2.gz KPOZPACCVGQFMV-UHFFFAOYSA-N 0 0 429.518 -0.363 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCC(OCCO)CC2)cc1 ZINC000534539937 1072420198 /nfs/dbraw/zinc/42/01/98/1072420198.db2.gz IDNFAZOKEJYMOD-UHFFFAOYSA-N 0 0 434.493 -0.104 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCC(OCCO)CC1)N1CCOCC1 ZINC000534540622 1072420130 /nfs/dbraw/zinc/42/01/30/1072420130.db2.gz OKEVVCZKCGSCJJ-UHFFFAOYSA-N 0 0 435.477 -0.137 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H](CO)CC(F)(F)F)cn2)C[C@H](C)O1 ZINC000534541109 1072420222 /nfs/dbraw/zinc/42/02/22/1072420222.db2.gz NEJJSLBRFUEUAS-GRYCIOLGSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H](CO)CC(F)(F)F)cn2)C[C@@H](C)O1 ZINC000534541110 1072420297 /nfs/dbraw/zinc/42/02/97/1072420297.db2.gz NEJJSLBRFUEUAS-IJLUTSLNSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@H](CO)CC(F)(F)F)cn2)C[C@H](C)O1 ZINC000534541111 1072420273 /nfs/dbraw/zinc/42/02/73/1072420273.db2.gz NEJJSLBRFUEUAS-TUAOUCFPSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@H](CO)CC(F)(F)F)cn2)C[C@@H](C)O1 ZINC000534541112 1072420293 /nfs/dbraw/zinc/42/02/93/1072420293.db2.gz NEJJSLBRFUEUAS-UTUOFQBUSA-N 0 0 435.403 -0.113 20 0 IBADRN COC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000534544244 1072420314 /nfs/dbraw/zinc/42/03/14/1072420314.db2.gz BVRMGPLNQAYIEA-UHFFFAOYSA-N 0 0 429.495 -0.359 20 0 IBADRN COCCN(CCO)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000534546407 1072420850 /nfs/dbraw/zinc/42/08/50/1072420850.db2.gz QAZJKZHDBOBFFP-UHFFFAOYSA-N 0 0 427.523 -0.233 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000534549980 1072420924 /nfs/dbraw/zinc/42/09/24/1072420924.db2.gz WGHSXIYRECNYET-CYBMUJFWSA-N 0 0 441.510 -0.196 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000534549982 1072420798 /nfs/dbraw/zinc/42/07/98/1072420798.db2.gz WGHSXIYRECNYET-ZDUSSCGKSA-N 0 0 441.510 -0.196 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CCO1 ZINC000534550034 1072420823 /nfs/dbraw/zinc/42/08/23/1072420823.db2.gz MRKPDTBVSBTTHE-CYBMUJFWSA-N 0 0 443.478 -0.326 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CCO1 ZINC000534550041 1072420877 /nfs/dbraw/zinc/42/08/77/1072420877.db2.gz MRKPDTBVSBTTHE-ZDUSSCGKSA-N 0 0 443.478 -0.326 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cncc(Br)c2)CC1 ZINC000534550276 1072420815 /nfs/dbraw/zinc/42/08/15/1072420815.db2.gz YXCVNFIPBBXJNO-UHFFFAOYSA-N 0 0 426.271 -0.020 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cncc(Br)c2)CC1 ZINC000534551229 1072421436 /nfs/dbraw/zinc/42/14/36/1072421436.db2.gz JISLGQBBYZNGFY-UHFFFAOYSA-N 0 0 428.287 -0.311 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N(C)C)ccc1S(=O)(=O)N(C)C ZINC000534551289 1072420832 /nfs/dbraw/zinc/42/08/32/1072420832.db2.gz LIYASYAUVDPDQA-CYBMUJFWSA-N 0 0 426.495 -0.037 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N(C)C)ccc1S(=O)(=O)N(C)C ZINC000534551298 1072420894 /nfs/dbraw/zinc/42/08/94/1072420894.db2.gz LIYASYAUVDPDQA-ZDUSSCGKSA-N 0 0 426.495 -0.037 20 0 IBADRN CCCCN(C(=O)CN1CC[C@@H](S(N)(=O)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000534556887 1072421397 /nfs/dbraw/zinc/42/13/97/1072421397.db2.gz SQNSHXBQZWHARS-CYBMUJFWSA-N 0 0 444.558 -0.317 20 0 IBADRN CCCCN(C(=O)CN1CC[C@H](S(N)(=O)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000534556888 1072421449 /nfs/dbraw/zinc/42/14/49/1072421449.db2.gz SQNSHXBQZWHARS-ZDUSSCGKSA-N 0 0 444.558 -0.317 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCCO1)N1CCN([C@@H](C)C(=O)NC[C@H]2CCCO2)C[C@@H](O)C1 ZINC000534579268 1072421285 /nfs/dbraw/zinc/42/12/85/1072421285.db2.gz JBPPRIGZNXSFPC-FEPLZDSPSA-N 0 0 426.558 -0.668 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCCO1)N1CCN([C@H](C)C(=O)NC[C@@H]2CCCO2)CC(O)C1 ZINC000534579269 1072148319 /nfs/dbraw/zinc/14/83/19/1072148319.db2.gz JBPPRIGZNXSFPC-FPAYPSAMSA-N 0 0 426.558 -0.668 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCCO1)N1CCN([C@@H](C)C(=O)NC[C@@H]2CCCO2)C[C@@H](O)C1 ZINC000534579270 1072421253 /nfs/dbraw/zinc/42/12/53/1072421253.db2.gz JBPPRIGZNXSFPC-JKEDJMADSA-N 0 0 426.558 -0.668 20 0 IBADRN C[C@H](C(=O)NC[C@H]1CCCO1)N1CCN([C@H](C)C(=O)NC[C@@H]2CCCO2)C[C@H](O)C1 ZINC000534579271 1072421356 /nfs/dbraw/zinc/42/13/56/1072421356.db2.gz JBPPRIGZNXSFPC-NNIGNNQHSA-N 0 0 426.558 -0.668 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)N1CCN([C@@H](C)C(=O)NC(=O)NC(C)C)CC(O)C1 ZINC000534580051 1072421336 /nfs/dbraw/zinc/42/13/36/1072421336.db2.gz NUSTXCJGISGITF-KBPBESRZSA-N 0 0 428.534 -0.790 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)N1CCN([C@@H](C)C(=O)NC(=O)NC(C)C)C[C@H](O)C1 ZINC000534580052 1072421374 /nfs/dbraw/zinc/42/13/74/1072421374.db2.gz NUSTXCJGISGITF-QDMKHBRRSA-N 0 0 428.534 -0.790 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)N1CCN([C@H](C)C(=O)NC(=O)NC(C)C)CC(O)C1 ZINC000534580053 1072421301 /nfs/dbraw/zinc/42/13/01/1072421301.db2.gz NUSTXCJGISGITF-ZIAGYGMSSA-N 0 0 428.534 -0.790 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC(OCCO)CC1 ZINC000534583654 1072421966 /nfs/dbraw/zinc/42/19/66/1072421966.db2.gz IEAHDFQBRRJLDK-IBGZPJMESA-N 0 0 447.488 -0.361 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC(OCCO)CC1 ZINC000534583655 1072422112 /nfs/dbraw/zinc/42/21/12/1072422112.db2.gz IEAHDFQBRRJLDK-LJQANCHMSA-N 0 0 447.488 -0.361 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(OCCO)CC2)cc1 ZINC000534584279 1072421443 /nfs/dbraw/zinc/42/14/43/1072421443.db2.gz BQLLIIYWTVJSSJ-AWEZNQCLSA-N 0 0 443.522 -0.062 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(OCCO)CC2)cc1 ZINC000534584280 1072421270 /nfs/dbraw/zinc/42/12/70/1072421270.db2.gz BQLLIIYWTVJSSJ-CQSZACIVSA-N 0 0 443.522 -0.062 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000534585051 1072422102 /nfs/dbraw/zinc/42/21/02/1072422102.db2.gz AICQYAWRYKIYEP-UHFFFAOYSA-N 0 0 432.506 -0.099 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000534585103 1072422082 /nfs/dbraw/zinc/42/20/82/1072422082.db2.gz GDMFPPZUMRAIAX-UHFFFAOYSA-N 0 0 430.465 -0.477 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](CO)CC(F)(F)F)cc1 ZINC000534585151 1072422078 /nfs/dbraw/zinc/42/20/78/1072422078.db2.gz ISCHXHOUJGZKSA-LLVKDONJSA-N 0 0 427.401 -0.021 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](CO)CC(F)(F)F)cc1 ZINC000534585152 1072422023 /nfs/dbraw/zinc/42/20/23/1072422023.db2.gz ISCHXHOUJGZKSA-NSHDSACASA-N 0 0 427.401 -0.021 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)co1 ZINC000534586588 1072422088 /nfs/dbraw/zinc/42/20/88/1072422088.db2.gz RUPRDBRQCYMBEM-UHFFFAOYSA-N 0 0 439.475 -0.050 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000534587465 1072422013 /nfs/dbraw/zinc/42/20/13/1072422013.db2.gz GMSBFHOUBPAFID-UHFFFAOYSA-N 0 0 430.465 -0.477 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000534601606 1072422117 /nfs/dbraw/zinc/42/21/17/1072422117.db2.gz LTFXQMSCPOIDRG-INIZCTEOSA-N 0 0 447.602 -0.331 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000534601607 1072422095 /nfs/dbraw/zinc/42/20/95/1072422095.db2.gz LTFXQMSCPOIDRG-MRXNPFEDSA-N 0 0 447.602 -0.331 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCOc2cccc(C(F)(F)F)n2)CC1 ZINC000534603833 1072422043 /nfs/dbraw/zinc/42/20/43/1072422043.db2.gz WLLZGSBDEOTSOD-UHFFFAOYSA-N 0 0 432.446 -0.109 20 0 IBADRN CCS(=O)(=O)N1CCC(Nc2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000534609394 1072422435 /nfs/dbraw/zinc/42/24/35/1072422435.db2.gz JPZCOSAYAZIREV-UHFFFAOYSA-N 0 0 425.554 -0.037 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000534612521 1072422425 /nfs/dbraw/zinc/42/24/25/1072422425.db2.gz UEJQKBABBBLAAC-UHFFFAOYSA-N 0 0 441.506 -0.214 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)Oc1ccc(F)cc1 ZINC000534614935 1073327231 /nfs/dbraw/zinc/32/72/31/1073327231.db2.gz ZJMZWEYKKNAROS-AWEZNQCLSA-N 0 0 435.412 -0.214 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)Oc1ccc(F)cc1 ZINC000534614936 1073326294 /nfs/dbraw/zinc/32/62/94/1073326294.db2.gz ZJMZWEYKKNAROS-CQSZACIVSA-N 0 0 435.412 -0.214 20 0 IBADRN C[C@@H](CO)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000534617735 1072422491 /nfs/dbraw/zinc/42/24/91/1072422491.db2.gz CACQXXAYROPXSX-CYBMUJFWSA-N 0 0 426.495 -0.730 20 0 IBADRN C[C@H](CO)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000534617736 1072422457 /nfs/dbraw/zinc/42/24/57/1072422457.db2.gz CACQXXAYROPXSX-ZDUSSCGKSA-N 0 0 426.495 -0.730 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccnn1Cc1cnn(-c2ccccc2)c1 ZINC000534618125 1072422441 /nfs/dbraw/zinc/42/24/41/1072422441.db2.gz VMZIWMUAYJTQIM-UHFFFAOYSA-N 0 0 436.432 -0.276 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1S(C)(=O)=O ZINC000534618169 1072422540 /nfs/dbraw/zinc/42/25/40/1072422540.db2.gz XWBMPARWRHPJJX-UHFFFAOYSA-N 0 0 433.490 -0.220 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1S(C)(=O)=O ZINC000534620437 1072422509 /nfs/dbraw/zinc/42/25/09/1072422509.db2.gz CIZHTKROSXHEKP-UHFFFAOYSA-N 0 0 438.506 -0.468 20 0 IBADRN CCC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000534623700 1072422058 /nfs/dbraw/zinc/42/20/58/1072422058.db2.gz JAZSYIKURZOBJH-BBRMVZONSA-N 0 0 440.522 -0.054 20 0 IBADRN CCC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000534623701 1072422528 /nfs/dbraw/zinc/42/25/28/1072422528.db2.gz JAZSYIKURZOBJH-CJNGLKHVSA-N 0 0 440.522 -0.054 20 0 IBADRN CCC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000534623702 1072422522 /nfs/dbraw/zinc/42/25/22/1072422522.db2.gz JAZSYIKURZOBJH-CZUORRHYSA-N 0 0 440.522 -0.054 20 0 IBADRN CCC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000534623703 1072422531 /nfs/dbraw/zinc/42/25/31/1072422531.db2.gz JAZSYIKURZOBJH-XJKSGUPXSA-N 0 0 440.522 -0.054 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cnn1CCO[C@@H]1CCCCO1 ZINC000534626595 1072422517 /nfs/dbraw/zinc/42/25/17/1072422517.db2.gz ZAAYMCOVFAIGAE-GOSISDBHSA-N 0 0 432.485 -0.294 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cnn1CCO[C@H]1CCCCO1 ZINC000534626596 1072422483 /nfs/dbraw/zinc/42/24/83/1072422483.db2.gz ZAAYMCOVFAIGAE-SFHVURJKSA-N 0 0 432.485 -0.294 20 0 IBADRN CCC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000534626649 1072422503 /nfs/dbraw/zinc/42/25/03/1072422503.db2.gz DBGNJEOEBGOUCZ-CYBMUJFWSA-N 0 0 448.567 -0.102 20 0 IBADRN CCC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000534626650 1072422394 /nfs/dbraw/zinc/42/23/94/1072422394.db2.gz DBGNJEOEBGOUCZ-ZDUSSCGKSA-N 0 0 448.567 -0.102 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000534630457 1072422405 /nfs/dbraw/zinc/42/24/05/1072422405.db2.gz YRAXQVUHRUGAGK-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccnc(OCC(F)F)c3)CC2)CC1 ZINC000534632550 1072422536 /nfs/dbraw/zinc/42/25/36/1072422536.db2.gz DGEBJNDWGABVGI-UHFFFAOYSA-N 0 0 433.481 -0.025 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)CCC(=O)N4C)CC2)CC1 ZINC000534632682 1072423146 /nfs/dbraw/zinc/42/31/46/1072423146.db2.gz LKYFHMOBBPGXJX-UHFFFAOYSA-N 0 0 435.550 -0.154 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000534636059 1072422929 /nfs/dbraw/zinc/42/29/29/1072422929.db2.gz UFSYIJFEABDYMQ-INIZCTEOSA-N 0 0 430.552 -0.553 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000534636060 1072423212 /nfs/dbraw/zinc/42/32/12/1072423212.db2.gz UFSYIJFEABDYMQ-MRXNPFEDSA-N 0 0 430.552 -0.553 20 0 IBADRN COCC1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000534638981 1072422415 /nfs/dbraw/zinc/42/24/15/1072422415.db2.gz QPQYDQSBZSIWLI-UHFFFAOYSA-N 0 0 434.536 -0.131 20 0 IBADRN COCC1(O)CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000534639085 1072422497 /nfs/dbraw/zinc/42/24/97/1072422497.db2.gz VXQUYZPGKXKZJD-KRWDZBQOSA-N 0 0 427.479 -0.088 20 0 IBADRN COCC1(O)CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000534639086 1072422466 /nfs/dbraw/zinc/42/24/66/1072422466.db2.gz VXQUYZPGKXKZJD-QGZVFWFLSA-N 0 0 427.479 -0.088 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N(C)CC(C)(CO)CO ZINC000534661798 1072423221 /nfs/dbraw/zinc/42/32/21/1072423221.db2.gz YZZPKWZNVIWBHH-HNNXBMFYSA-N 0 0 429.539 -0.043 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N(C)CC(C)(CO)CO ZINC000534661799 1072423166 /nfs/dbraw/zinc/42/31/66/1072423166.db2.gz YZZPKWZNVIWBHH-OAHLLOKOSA-N 0 0 429.539 -0.043 20 0 IBADRN COCCN(C(=O)CN(C)[C@H]1CCNC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000534667474 1072423198 /nfs/dbraw/zinc/42/31/98/1072423198.db2.gz PVJPTSIFIQSSNQ-HNNXBMFYSA-N 0 0 444.492 -0.621 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H]1CCNC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000534667475 1072423209 /nfs/dbraw/zinc/42/32/09/1072423209.db2.gz PVJPTSIFIQSSNQ-OAHLLOKOSA-N 0 0 444.492 -0.621 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(C)(CO)CO)CC2)cc1 ZINC000534668976 1072423062 /nfs/dbraw/zinc/42/30/62/1072423062.db2.gz QBAJWKGCLSSPGY-UHFFFAOYSA-N 0 0 441.550 -0.355 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(C)(CO)CO)CC2)c1 ZINC000534669488 1072422946 /nfs/dbraw/zinc/42/29/46/1072422946.db2.gz FJTCQFMLULKKEJ-UHFFFAOYSA-N 0 0 441.550 -0.355 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)CC(C)(CO)CO ZINC000534674313 1072423646 /nfs/dbraw/zinc/42/36/46/1072423646.db2.gz RMFYNDWWTXJOSE-UHFFFAOYSA-N 0 0 429.539 -0.754 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000534678353 1072423605 /nfs/dbraw/zinc/42/36/05/1072423605.db2.gz XAKLQHCQIFMCBH-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN CCn1cc(C(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000534678785 1072423689 /nfs/dbraw/zinc/42/36/89/1072423689.db2.gz SEUHMQPGGZJOFS-UHFFFAOYSA-N 0 0 447.473 -0.050 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(O)(COC)CC2)cc1 ZINC000534686696 1072423207 /nfs/dbraw/zinc/42/32/07/1072423207.db2.gz BLDILCLOJQFRJP-AWEZNQCLSA-N 0 0 443.522 -0.062 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(O)(COC)CC2)cc1 ZINC000534686697 1072423190 /nfs/dbraw/zinc/42/31/90/1072423190.db2.gz BLDILCLOJQFRJP-CQSZACIVSA-N 0 0 443.522 -0.062 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000534687068 1072423216 /nfs/dbraw/zinc/42/32/16/1072423216.db2.gz RJEFBSROBHYHKK-UHFFFAOYSA-N 0 0 435.477 -0.137 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCO3)CC1 ZINC000534687417 1072423231 /nfs/dbraw/zinc/42/32/31/1072423231.db2.gz HWZDGNKYPGQGJF-HNNXBMFYSA-N 0 0 432.477 -0.212 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCO3)CC1 ZINC000534687418 1072423027 /nfs/dbraw/zinc/42/30/27/1072423027.db2.gz HWZDGNKYPGQGJF-OAHLLOKOSA-N 0 0 432.477 -0.212 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1 ZINC000534688863 1072423181 /nfs/dbraw/zinc/42/31/81/1072423181.db2.gz DSKYGWIWLGTGOL-UHFFFAOYSA-N 0 0 429.495 -0.116 20 0 IBADRN COC(=O)c1cccc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000534689697 1072423618 /nfs/dbraw/zinc/42/36/18/1072423618.db2.gz BMNUWWXFQSLKMK-KRWDZBQOSA-N 0 0 437.518 -0.537 20 0 IBADRN COC(=O)c1cccc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000534689715 1072423695 /nfs/dbraw/zinc/42/36/95/1072423695.db2.gz BMNUWWXFQSLKMK-QGZVFWFLSA-N 0 0 437.518 -0.537 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000534690127 1072423046 /nfs/dbraw/zinc/42/30/46/1072423046.db2.gz FIQPHDZCKSFOOU-UHFFFAOYSA-N 0 0 442.538 -0.059 20 0 IBADRN COCC(=O)N(C)CC(=O)NC1C(C)(C)C(NC(=O)CN(C)C(=O)COC)C1(C)C ZINC000534690739 1072423590 /nfs/dbraw/zinc/42/35/90/1072423590.db2.gz JUNRTNGXXSMKOI-UHFFFAOYSA-N 0 0 428.530 -0.768 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000534690860 1072423571 /nfs/dbraw/zinc/42/35/71/1072423571.db2.gz KUDYTQAIWWKLFS-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000534691938 1072423680 /nfs/dbraw/zinc/42/36/80/1072423680.db2.gz ORGMNEVUJOGFAV-UHFFFAOYSA-N 0 0 434.493 -0.104 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4c3OCCO4)CC2)cn1C ZINC000534692073 1072423672 /nfs/dbraw/zinc/42/36/72/1072423672.db2.gz QBTAHGMYXUAPHF-UHFFFAOYSA-N 0 0 449.489 -0.029 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncc4ccccc43)CC2)CC1 ZINC000534693958 1072424400 /nfs/dbraw/zinc/42/44/00/1072424400.db2.gz ACKJEBKYOIDARS-UHFFFAOYSA-N 0 0 446.533 -0.190 20 0 IBADRN O=C(Nc1cccc2c1OCCO2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000534694459 1072424463 /nfs/dbraw/zinc/42/44/63/1072424463.db2.gz CBJZGLHURPJNNV-UHFFFAOYSA-N 0 0 440.478 -0.883 20 0 IBADRN COCC(=O)N(C)CC(=O)N(C(C)C)C1CCN(C(=O)CN(C)C(=O)COC)CC1 ZINC000534697378 1072423582 /nfs/dbraw/zinc/42/35/82/1072423582.db2.gz UOCZCBZCPQCZNI-UHFFFAOYSA-N 0 0 428.530 -0.576 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000534698741 1072424331 /nfs/dbraw/zinc/42/43/31/1072424331.db2.gz ACTNWUGQVCTPSZ-UHFFFAOYSA-N 0 0 441.462 -0.305 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cc(N3CCCS3(=O)=O)ccc2O)CC1 ZINC000534699030 1072423554 /nfs/dbraw/zinc/42/35/54/1072423554.db2.gz CVTPSZVUYILQNJ-UHFFFAOYSA-N 0 0 432.524 -0.552 20 0 IBADRN CNC(=O)c1cccc(OCC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000534701414 1072424200 /nfs/dbraw/zinc/42/42/00/1072424200.db2.gz PJULYTIKNQUNEU-UHFFFAOYSA-N 0 0 440.522 -0.417 20 0 IBADRN COc1cc([C@@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1OCCO ZINC000534711155 1072424220 /nfs/dbraw/zinc/42/42/20/1072424220.db2.gz OXSGLQZOIGTUCJ-GFCCVEGCSA-N 0 0 431.449 -0.309 20 0 IBADRN COc1cc([C@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1OCCO ZINC000534711158 1072424236 /nfs/dbraw/zinc/42/42/36/1072424236.db2.gz OXSGLQZOIGTUCJ-LBPRGKRZSA-N 0 0 431.449 -0.309 20 0 IBADRN CCS(=O)(=O)NCC(=O)Nc1cc(NC(=O)CNS(=O)(=O)CC)c(F)cc1F ZINC000534720427 1072426198 /nfs/dbraw/zinc/42/61/98/1072426198.db2.gz GYSVSJPFMQURAU-UHFFFAOYSA-N 0 0 442.466 -0.280 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)CN2CSCC2=O)NC(=O)CN2CSCC2=O)o1 ZINC000534723751 1072426266 /nfs/dbraw/zinc/42/62/66/1072426266.db2.gz NWOAHUGZOJCLBW-GFCCVEGCSA-N 0 0 426.520 -0.073 20 0 IBADRN Cc1ccc([C@H](CNC(=O)CN2CSCC2=O)NC(=O)CN2CSCC2=O)o1 ZINC000534723757 1072426276 /nfs/dbraw/zinc/42/62/76/1072426276.db2.gz NWOAHUGZOJCLBW-LBPRGKRZSA-N 0 0 426.520 -0.073 20 0 IBADRN COCC(=O)N(C)CC(=O)Nc1ccc(N(C)C(=O)CN(C)C(=O)COC)c(F)c1 ZINC000534734910 1072426225 /nfs/dbraw/zinc/42/62/25/1072426225.db2.gz FVZBOEAFAFHFNF-UHFFFAOYSA-N 0 0 426.445 -0.063 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CCN(C(=O)CO)CC1 ZINC000534753800 1072426229 /nfs/dbraw/zinc/42/62/29/1072426229.db2.gz DIINIPDJQIKAPX-UHFFFAOYSA-N 0 0 426.495 -0.332 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(C(=O)CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000534757399 1072426842 /nfs/dbraw/zinc/42/68/42/1072426842.db2.gz HQNXZZBOGOUSPD-UHFFFAOYSA-N 0 0 440.522 -0.909 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N(C)[C@H]2CCNC2=O)c1OC ZINC000534765078 1072426872 /nfs/dbraw/zinc/42/68/72/1072426872.db2.gz QGBLBACRLUQSKX-AWEZNQCLSA-N 0 0 427.479 -0.315 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N(C)[C@@H]2CCNC2=O)c1OC ZINC000534765080 1072426774 /nfs/dbraw/zinc/42/67/74/1072426774.db2.gz QGBLBACRLUQSKX-CQSZACIVSA-N 0 0 427.479 -0.315 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000534769117 1072426811 /nfs/dbraw/zinc/42/68/11/1072426811.db2.gz HWQNRNOSFLIVHJ-UHFFFAOYSA-N 0 0 446.595 -0.186 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)Oc1ccc(F)cc1 ZINC000534769979 1072156469 /nfs/dbraw/zinc/15/64/69/1072156469.db2.gz SXFKSGJVBYCSOI-CYBMUJFWSA-N 0 0 443.409 -0.467 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)Oc1ccc(F)cc1 ZINC000534769983 1072426765 /nfs/dbraw/zinc/42/67/65/1072426765.db2.gz SXFKSGJVBYCSOI-ZDUSSCGKSA-N 0 0 443.409 -0.467 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)Oc1ccc(F)cc1 ZINC000534770129 1072426740 /nfs/dbraw/zinc/42/67/40/1072426740.db2.gz CSUPZODVYLTLNK-AWEZNQCLSA-N 0 0 442.425 -0.732 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)Oc1ccc(F)cc1 ZINC000534770134 1072426868 /nfs/dbraw/zinc/42/68/68/1072426868.db2.gz CSUPZODVYLTLNK-CQSZACIVSA-N 0 0 442.425 -0.732 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)Oc1ccc(F)cc1 ZINC000534774901 1072426746 /nfs/dbraw/zinc/42/67/46/1072426746.db2.gz DEJVMLRJGPSAPY-HNNXBMFYSA-N 0 0 432.408 -0.085 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1ccc2c1n(C)c(=O)n(C)c2=O)Oc1ccc(F)cc1 ZINC000534774913 1072426830 /nfs/dbraw/zinc/42/68/30/1072426830.db2.gz DEJVMLRJGPSAPY-OAHLLOKOSA-N 0 0 432.408 -0.085 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1ccc(F)cc1 ZINC000534775550 1072426876 /nfs/dbraw/zinc/42/68/76/1072426876.db2.gz IUHYQRITZCUXOF-CYBMUJFWSA-N 0 0 433.396 -0.690 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1ccc(F)cc1 ZINC000534775561 1072426806 /nfs/dbraw/zinc/42/68/06/1072426806.db2.gz IUHYQRITZCUXOF-ZDUSSCGKSA-N 0 0 433.396 -0.690 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)NCC(=O)NCCO)cc2)C1 ZINC000534776471 1072426797 /nfs/dbraw/zinc/42/67/97/1072426797.db2.gz KDAJFPGSMDFRHL-CYBMUJFWSA-N 0 0 442.494 -0.510 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)NCC(=O)NCCO)cc2)C1 ZINC000534776476 1072426880 /nfs/dbraw/zinc/42/68/80/1072426880.db2.gz KDAJFPGSMDFRHL-ZDUSSCGKSA-N 0 0 442.494 -0.510 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@H]1CCNC1=O ZINC000534782664 1072426821 /nfs/dbraw/zinc/42/68/21/1072426821.db2.gz BBEZCSXCNKPJDK-INIZCTEOSA-N 0 0 440.497 -0.214 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@@H]1CCNC1=O ZINC000534782667 1072427495 /nfs/dbraw/zinc/42/74/95/1072427495.db2.gz BBEZCSXCNKPJDK-MRXNPFEDSA-N 0 0 440.497 -0.214 20 0 IBADRN COC(=O)[C@]1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCSC1 ZINC000534788168 1072427374 /nfs/dbraw/zinc/42/73/74/1072427374.db2.gz VYMXLTBWHIMVIT-KRWDZBQOSA-N 0 0 427.504 -0.414 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCSC1 ZINC000534788169 1072427506 /nfs/dbraw/zinc/42/75/06/1072427506.db2.gz VYMXLTBWHIMVIT-QGZVFWFLSA-N 0 0 427.504 -0.414 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CCN(C(=O)CO)CC1)n2C ZINC000534797646 1072427409 /nfs/dbraw/zinc/42/74/09/1072427409.db2.gz UPDWGGOEVIGWBR-UHFFFAOYSA-N 0 0 437.522 -0.581 20 0 IBADRN COCC(=O)N(C)CC(=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000534799401 1072427499 /nfs/dbraw/zinc/42/74/99/1072427499.db2.gz YWCLSVPXQDBPDC-GOSISDBHSA-N 0 0 430.505 -0.001 20 0 IBADRN COCC(=O)N(C)CC(=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000534799402 1072427418 /nfs/dbraw/zinc/42/74/18/1072427418.db2.gz YWCLSVPXQDBPDC-SFHVURJKSA-N 0 0 430.505 -0.001 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)nn1 ZINC000534804159 1072427429 /nfs/dbraw/zinc/42/74/29/1072427429.db2.gz PFRHBVJUEVBSQD-UHFFFAOYSA-N 0 0 438.466 -0.655 20 0 IBADRN CC(C)C[C@@H](CN(C)S(=O)(=O)N1CCOCC1)NS(=O)(=O)N1CCOCC1 ZINC000534804460 1072427470 /nfs/dbraw/zinc/42/74/70/1072427470.db2.gz NESXIRQYLLWWHN-HNNXBMFYSA-N 0 0 428.577 -0.924 20 0 IBADRN CC(C)C[C@H](CN(C)S(=O)(=O)N1CCOCC1)NS(=O)(=O)N1CCOCC1 ZINC000534804461 1072427388 /nfs/dbraw/zinc/42/73/88/1072427388.db2.gz NESXIRQYLLWWHN-OAHLLOKOSA-N 0 0 428.577 -0.924 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)[C@@H]2CCCC[C@H]2C(=O)N=c2ccn(CC(=O)OC)[nH]2)[nH]1 ZINC000534805927 1072427956 /nfs/dbraw/zinc/42/79/56/1072427956.db2.gz GOGPNKZHIDUIOM-ZIAGYGMSSA-N 0 0 446.464 -0.347 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000534812724 1072427475 /nfs/dbraw/zinc/42/74/75/1072427475.db2.gz YJKJJYSQADWWQJ-UHFFFAOYSA-N 0 0 431.603 -0.597 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000534827848 1072428026 /nfs/dbraw/zinc/42/80/26/1072428026.db2.gz QFKDAWXZLQJZHH-AWEZNQCLSA-N 0 0 427.508 -0.011 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)Cn3cnc4c(cnn4C)c3=O)C2)cc1 ZINC000534828467 1072428109 /nfs/dbraw/zinc/42/81/09/1072428109.db2.gz XWOJAQBSBLSHII-UHFFFAOYSA-N 0 0 432.462 -0.672 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000534828494 1072428091 /nfs/dbraw/zinc/42/80/91/1072428091.db2.gz ZHWLCWKRSDRUOK-SFHVURJKSA-N 0 0 449.533 -0.075 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CCC(=O)NCCNC(C)=O)C2)cc1 ZINC000534828778 1072428087 /nfs/dbraw/zinc/42/80/87/1072428087.db2.gz BEOFUBWJIIAZLA-UHFFFAOYSA-N 0 0 426.495 -0.783 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c1 ZINC000534831966 1072428065 /nfs/dbraw/zinc/42/80/65/1072428065.db2.gz LESDEEBOBBFAGQ-CYBMUJFWSA-N 0 0 448.567 -0.102 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c1 ZINC000534831967 1072428146 /nfs/dbraw/zinc/42/81/46/1072428146.db2.gz LESDEEBOBBFAGQ-ZDUSSCGKSA-N 0 0 448.567 -0.102 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000534832489 1072428127 /nfs/dbraw/zinc/42/81/27/1072428127.db2.gz TZVBTZPBOIHHRV-UHFFFAOYSA-N 0 0 430.465 -0.008 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCCN(CC(=O)N4CCCC4)CC3)cc21 ZINC000534834480 1072428069 /nfs/dbraw/zinc/42/80/69/1072428069.db2.gz QRBXKWINMVNIQH-UHFFFAOYSA-N 0 0 441.492 -0.243 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)cc21 ZINC000534834575 1072428013 /nfs/dbraw/zinc/42/80/13/1072428013.db2.gz UOPFWLHCYLBTCN-UHFFFAOYSA-N 0 0 437.464 -0.671 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000534834775 1072428124 /nfs/dbraw/zinc/42/81/24/1072428124.db2.gz VMAWUULNGKETLK-CABCVRRESA-N 0 0 431.536 -0.059 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000534834776 1072428148 /nfs/dbraw/zinc/42/81/48/1072428148.db2.gz VMAWUULNGKETLK-GJZGRUSLSA-N 0 0 431.536 -0.059 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000534834777 1072427930 /nfs/dbraw/zinc/42/79/30/1072427930.db2.gz VMAWUULNGKETLK-HUUCEWRRSA-N 0 0 431.536 -0.059 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000534834778 1072427948 /nfs/dbraw/zinc/42/79/48/1072427948.db2.gz VMAWUULNGKETLK-LSDHHAIUSA-N 0 0 431.536 -0.059 20 0 IBADRN COCCN(CC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000534835338 1072427974 /nfs/dbraw/zinc/42/79/74/1072427974.db2.gz RYTYRXHQSRZSCB-INIZCTEOSA-N 0 0 432.587 -0.819 20 0 IBADRN COCCN(CC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000534835344 1072428617 /nfs/dbraw/zinc/42/86/17/1072428617.db2.gz RYTYRXHQSRZSCB-MRXNPFEDSA-N 0 0 432.587 -0.819 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000534850543 1072428647 /nfs/dbraw/zinc/42/86/47/1072428647.db2.gz BXCPFMUAVGSXQS-AWEZNQCLSA-N 0 0 447.535 -0.391 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000534850544 1072428631 /nfs/dbraw/zinc/42/86/31/1072428631.db2.gz BXCPFMUAVGSXQS-CQSZACIVSA-N 0 0 447.535 -0.391 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000534851175 1072428663 /nfs/dbraw/zinc/42/86/63/1072428663.db2.gz OCGREXOMFBBBFW-KRWDZBQOSA-N 0 0 444.579 -0.419 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC[C@@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000534851176 1072428682 /nfs/dbraw/zinc/42/86/82/1072428682.db2.gz OCGREXOMFBBBFW-QGZVFWFLSA-N 0 0 444.579 -0.419 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1OC ZINC000534851221 1072428658 /nfs/dbraw/zinc/42/86/58/1072428658.db2.gz KMEXXLXAKUFIRL-CYBMUJFWSA-N 0 0 435.524 -0.348 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1OC ZINC000534851226 1072428655 /nfs/dbraw/zinc/42/86/55/1072428655.db2.gz KMEXXLXAKUFIRL-ZDUSSCGKSA-N 0 0 435.524 -0.348 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000534852284 1072428642 /nfs/dbraw/zinc/42/86/42/1072428642.db2.gz BIGFDQOZMOHZRI-AWEZNQCLSA-N 0 0 447.535 -0.204 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000534852286 1072428666 /nfs/dbraw/zinc/42/86/66/1072428666.db2.gz BIGFDQOZMOHZRI-CQSZACIVSA-N 0 0 447.535 -0.204 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC000534852835 1072428672 /nfs/dbraw/zinc/42/86/72/1072428672.db2.gz QCBJLTQBVBROPK-KBPBESRZSA-N 0 0 433.556 -0.293 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC000534852836 1072428651 /nfs/dbraw/zinc/42/86/51/1072428651.db2.gz QCBJLTQBVBROPK-KGLIPLIRSA-N 0 0 433.556 -0.293 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC000534852837 1072428639 /nfs/dbraw/zinc/42/86/39/1072428639.db2.gz QCBJLTQBVBROPK-UONOGXRCSA-N 0 0 433.556 -0.293 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC000534852838 1072428600 /nfs/dbraw/zinc/42/86/00/1072428600.db2.gz QCBJLTQBVBROPK-ZIAGYGMSSA-N 0 0 433.556 -0.293 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000534852988 1072428675 /nfs/dbraw/zinc/42/86/75/1072428675.db2.gz UVWQFRGGCNXAIF-BBRMVZONSA-N 0 0 435.506 -0.184 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000534852991 1072428678 /nfs/dbraw/zinc/42/86/78/1072428678.db2.gz UVWQFRGGCNXAIF-CJNGLKHVSA-N 0 0 435.506 -0.184 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000534852992 1072428623 /nfs/dbraw/zinc/42/86/23/1072428623.db2.gz UVWQFRGGCNXAIF-CZUORRHYSA-N 0 0 435.506 -0.184 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000534852993 1072428685 /nfs/dbraw/zinc/42/86/85/1072428685.db2.gz UVWQFRGGCNXAIF-XJKSGUPXSA-N 0 0 435.506 -0.184 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2nc1C1CC1 ZINC000534854689 1072429161 /nfs/dbraw/zinc/42/91/61/1072429161.db2.gz MUFRTZTXUKVOFW-UHFFFAOYSA-N 0 0 437.464 -0.253 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000534856852 1072429092 /nfs/dbraw/zinc/42/90/92/1072429092.db2.gz UIUAFHBNRSKCKI-GFCCVEGCSA-N 0 0 439.494 -0.489 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000534856853 1072429134 /nfs/dbraw/zinc/42/91/34/1072429134.db2.gz UIUAFHBNRSKCKI-LBPRGKRZSA-N 0 0 439.494 -0.489 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC(Nc3ccccn3)CC2)CC1)N1CCOCC1 ZINC000534861775 1073378544 /nfs/dbraw/zinc/37/85/44/1073378544.db2.gz AUWFGVQRSMUCKD-UHFFFAOYSA-N 0 0 430.553 -0.039 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@H](N3CCCC3=O)C2)CC1 ZINC000534862183 1072429224 /nfs/dbraw/zinc/42/92/24/1072429224.db2.gz DLJWUEXZCQDSGI-INIZCTEOSA-N 0 0 439.538 -0.179 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[C@@H](N3CCCC3=O)C2)CC1 ZINC000534862214 1072429110 /nfs/dbraw/zinc/42/91/10/1072429110.db2.gz DLJWUEXZCQDSGI-MRXNPFEDSA-N 0 0 439.538 -0.179 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c(OC)c1 ZINC000534868810 1072429186 /nfs/dbraw/zinc/42/91/86/1072429186.db2.gz YELSQXMOZAMVHO-GFCCVEGCSA-N 0 0 441.553 -0.231 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC)C2)c(OC)c1 ZINC000534868821 1072429126 /nfs/dbraw/zinc/42/91/26/1072429126.db2.gz YELSQXMOZAMVHO-LBPRGKRZSA-N 0 0 441.553 -0.231 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCN2C(=O)CNC2=O)c(OC)c1 ZINC000534870579 1072429213 /nfs/dbraw/zinc/42/92/13/1072429213.db2.gz SLTAMVBHJIWJKK-UHFFFAOYSA-N 0 0 434.496 -0.323 20 0 IBADRN CC(=O)N(CCc1ccccc1)CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000534875705 1072429177 /nfs/dbraw/zinc/42/91/77/1072429177.db2.gz BRCQGTLHFIJGMV-UHFFFAOYSA-N 0 0 430.505 -0.393 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC(CS(N)(=O)=O)CC1 ZINC000534876802 1072429192 /nfs/dbraw/zinc/42/91/92/1072429192.db2.gz ZKNNDVRAFROAIN-GFCCVEGCSA-N 0 0 430.552 -0.388 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC(CS(N)(=O)=O)CC1 ZINC000534876803 1072429207 /nfs/dbraw/zinc/42/92/07/1072429207.db2.gz ZKNNDVRAFROAIN-LBPRGKRZSA-N 0 0 430.552 -0.388 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC(CS(N)(=O)=O)CC1 ZINC000534877118 1072429145 /nfs/dbraw/zinc/42/91/45/1072429145.db2.gz OUEOJHHDYYTDKY-CYBMUJFWSA-N 0 0 432.568 -0.618 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC(CS(N)(=O)=O)CC1 ZINC000534877119 1072429154 /nfs/dbraw/zinc/42/91/54/1072429154.db2.gz OUEOJHHDYYTDKY-ZDUSSCGKSA-N 0 0 432.568 -0.618 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCC(CS(N)(=O)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000534877474 1072429079 /nfs/dbraw/zinc/42/90/79/1072429079.db2.gz WNTRLZSUDWSZEX-CHWSQXEVSA-N 0 0 432.568 -0.100 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCC(CS(N)(=O)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000534877475 1072429660 /nfs/dbraw/zinc/42/96/60/1072429660.db2.gz WNTRLZSUDWSZEX-OLZOCXBDSA-N 0 0 432.568 -0.100 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCC(CS(N)(=O)=O)CC1 ZINC000534877476 1072429689 /nfs/dbraw/zinc/42/96/89/1072429689.db2.gz WNTRLZSUDWSZEX-QWHCGFSZSA-N 0 0 432.568 -0.100 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCC(CS(N)(=O)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000534877477 1072429589 /nfs/dbraw/zinc/42/95/89/1072429589.db2.gz WNTRLZSUDWSZEX-STQMWFEESA-N 0 0 432.568 -0.100 20 0 IBADRN Cc1ccncc1N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000534878517 1073378453 /nfs/dbraw/zinc/37/84/53/1073378453.db2.gz HELGAVGKYHNHKR-UHFFFAOYSA-N 0 0 430.553 -0.485 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)COC)CC2)c(OC)c1 ZINC000534879603 1072429401 /nfs/dbraw/zinc/42/94/01/1072429401.db2.gz OOKURGGTLIMSMA-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCOCC2)c(OC)c1 ZINC000534881025 1072429671 /nfs/dbraw/zinc/42/96/71/1072429671.db2.gz WMAFIYHQSIJBDD-UHFFFAOYSA-N 0 0 435.524 -0.016 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)c(OC)c1 ZINC000534881874 1072429751 /nfs/dbraw/zinc/42/97/51/1072429751.db2.gz XMWNJMIRJIJAPI-UHFFFAOYSA-N 0 0 441.553 -0.183 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCNC(=O)c2cnccn2)c(OC)c1 ZINC000534882392 1072429763 /nfs/dbraw/zinc/42/97/63/1072429763.db2.gz CGXGTUISHCRJCM-UHFFFAOYSA-N 0 0 443.507 -0.045 20 0 IBADRN NC(=O)c1cccc(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000534887496 1072429465 /nfs/dbraw/zinc/42/94/65/1072429465.db2.gz VMWAWBKYLZTCAP-UHFFFAOYSA-N 0 0 433.494 -0.151 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(OCC(=O)N(C)C)C2)c(OC)c1 ZINC000534900721 1072430181 /nfs/dbraw/zinc/43/01/81/1072430181.db2.gz GLUJQRUHWPIMNN-UHFFFAOYSA-N 0 0 435.524 -0.066 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCNS(C)(=O)=O)c(OC)c1 ZINC000534915231 1072429429 /nfs/dbraw/zinc/42/94/29/1072429429.db2.gz LECMVWPASBCFSH-UHFFFAOYSA-N 0 0 429.542 -0.326 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@H](O)[C@@H](CC)C2)c(=O)[nH]c1=O ZINC000534916509 1072430279 /nfs/dbraw/zinc/43/02/79/1072430279.db2.gz KXMPPYMNTFOFPB-KBPBESRZSA-N 0 0 439.513 -0.070 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@H](O)[C@H](CC)C2)c(=O)[nH]c1=O ZINC000534916518 1072430252 /nfs/dbraw/zinc/43/02/52/1072430252.db2.gz KXMPPYMNTFOFPB-KGLIPLIRSA-N 0 0 439.513 -0.070 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@@H](O)[C@@H](CC)C2)c(=O)[nH]c1=O ZINC000534916520 1072430356 /nfs/dbraw/zinc/43/03/56/1072430356.db2.gz KXMPPYMNTFOFPB-UONOGXRCSA-N 0 0 439.513 -0.070 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@@H](CC)[C@H](O)C2)c(=O)[nH]c1=O ZINC000534916522 1072430314 /nfs/dbraw/zinc/43/03/14/1072430314.db2.gz KXMPPYMNTFOFPB-ZIAGYGMSSA-N 0 0 439.513 -0.070 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H]1CC(=O)c1cnn(C)c1 ZINC000534920506 1072430237 /nfs/dbraw/zinc/43/02/37/1072430237.db2.gz MMEIDASYBMFEOD-HNNXBMFYSA-N 0 0 427.527 -0.182 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@@H]1CC(=O)c1cnn(C)c1 ZINC000534920507 1072430373 /nfs/dbraw/zinc/43/03/73/1072430373.db2.gz MMEIDASYBMFEOD-OAHLLOKOSA-N 0 0 427.527 -0.182 20 0 IBADRN Cn1cc(C(=O)C[C@@H]2CCCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC000534921670 1072430260 /nfs/dbraw/zinc/43/02/60/1072430260.db2.gz OGVWUGNHUNTVQX-AWEZNQCLSA-N 0 0 427.465 -0.179 20 0 IBADRN Cn1cc(C(=O)C[C@H]2CCCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC000534921673 1072430163 /nfs/dbraw/zinc/43/01/63/1072430163.db2.gz OGVWUGNHUNTVQX-CQSZACIVSA-N 0 0 427.465 -0.179 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCCN(c4ccccc4F)C3=O)c2c(=O)n(C)c1=O ZINC000534923833 1072430199 /nfs/dbraw/zinc/43/01/99/1072430199.db2.gz ZFWVCDMDZTYGRS-CYBMUJFWSA-N 0 0 428.424 -0.115 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCCN(c4ccccc4F)C3=O)c2c(=O)n(C)c1=O ZINC000534923834 1072430308 /nfs/dbraw/zinc/43/03/08/1072430308.db2.gz ZFWVCDMDZTYGRS-ZDUSSCGKSA-N 0 0 428.424 -0.115 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(c2ncccc2F)CC1 ZINC000534931087 1072430827 /nfs/dbraw/zinc/43/08/27/1072430827.db2.gz JOMDWCACQAVBAA-UHFFFAOYSA-N 0 0 427.440 -0.161 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n(-c2ccc(F)cc2)n1 ZINC000534931283 1072430836 /nfs/dbraw/zinc/43/08/36/1072430836.db2.gz PCBLWDPIXXPPBS-UHFFFAOYSA-N 0 0 441.427 -0.384 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3c4c(nn3C)CCC4)CC2)cn1C ZINC000534931619 1072430783 /nfs/dbraw/zinc/43/07/83/1072430783.db2.gz NNCRLAOOBXZGFY-UHFFFAOYSA-N 0 0 435.510 -0.578 20 0 IBADRN COC(=O)c1sccc1N1C(=O)C[C@H](N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000534949889 1072430871 /nfs/dbraw/zinc/43/08/71/1072430871.db2.gz FOLNNNPFAJYEKN-AWEZNQCLSA-N 0 0 448.501 -0.067 20 0 IBADRN COC(=O)c1sccc1N1C(=O)C[C@@H](N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000534949890 1072430718 /nfs/dbraw/zinc/43/07/18/1072430718.db2.gz FOLNNNPFAJYEKN-CQSZACIVSA-N 0 0 448.501 -0.067 20 0 IBADRN Cn1c2[nH]c(CN3CCN(S(=O)(=O)c4ccc(F)cc4)CC3)nc2c(=O)n(C)c1=O ZINC000534952266 1072430814 /nfs/dbraw/zinc/43/08/14/1072430814.db2.gz ZNGXASJPCUQDGB-UHFFFAOYSA-N 0 0 436.469 -0.394 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)c[nH]1 ZINC000534953827 1072430844 /nfs/dbraw/zinc/43/08/44/1072430844.db2.gz WVYHFLXWOQUQHL-CYBMUJFWSA-N 0 0 437.453 -0.157 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)c[nH]1 ZINC000534953830 1072430858 /nfs/dbraw/zinc/43/08/58/1072430858.db2.gz WVYHFLXWOQUQHL-ZDUSSCGKSA-N 0 0 437.453 -0.157 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000534954450 1072430888 /nfs/dbraw/zinc/43/08/88/1072430888.db2.gz BSLVQFOQTRVFJV-JTQLQIEISA-N 0 0 437.478 -0.233 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000534954454 1072430884 /nfs/dbraw/zinc/43/08/84/1072430884.db2.gz BSLVQFOQTRVFJV-SNVBAGLBSA-N 0 0 437.478 -0.233 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCCNC(=O)NC1CCCCC1 ZINC000534960828 1072430802 /nfs/dbraw/zinc/43/08/02/1072430802.db2.gz RVNPXQMYJORRMO-UHFFFAOYSA-N 0 0 439.494 -0.010 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CC[C@@H](NC(N)=O)C2)c(=O)[nH]c1=O ZINC000534963852 1072431308 /nfs/dbraw/zinc/43/13/08/1072431308.db2.gz NVDAUDHIGXUALN-CHWSQXEVSA-N 0 0 439.517 -0.558 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CC[C@H](NC(N)=O)C2)c(=O)[nH]c1=O ZINC000534963853 1072431551 /nfs/dbraw/zinc/43/15/51/1072431551.db2.gz NVDAUDHIGXUALN-OLZOCXBDSA-N 0 0 439.517 -0.558 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC[C@@H](NC(N)=O)C2)c(=O)[nH]c1=O ZINC000534963855 1072431396 /nfs/dbraw/zinc/43/13/96/1072431396.db2.gz NVDAUDHIGXUALN-QWHCGFSZSA-N 0 0 439.517 -0.558 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC[C@H](NC(N)=O)C2)c(=O)[nH]c1=O ZINC000534963857 1072431602 /nfs/dbraw/zinc/43/16/02/1072431602.db2.gz NVDAUDHIGXUALN-STQMWFEESA-N 0 0 439.517 -0.558 20 0 IBADRN NC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)C1 ZINC000534963976 1072431271 /nfs/dbraw/zinc/43/12/71/1072431271.db2.gz QXWCUKHWQAUDJH-KRWDZBQOSA-N 0 0 435.550 -0.249 20 0 IBADRN NC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)C1 ZINC000534963977 1072431331 /nfs/dbraw/zinc/43/13/31/1072431331.db2.gz QXWCUKHWQAUDJH-QGZVFWFLSA-N 0 0 435.550 -0.249 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCN1CC(F)(F)F ZINC000534964627 1073378953 /nfs/dbraw/zinc/37/89/53/1073378953.db2.gz UXXOIERUSFHVEO-INIZCTEOSA-N 0 0 435.491 -0.442 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCN1CC(F)(F)F ZINC000534964628 1073378966 /nfs/dbraw/zinc/37/89/66/1073378966.db2.gz UXXOIERUSFHVEO-MRXNPFEDSA-N 0 0 435.491 -0.442 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](NC(N)=O)C3)CC2)cc1 ZINC000534966690 1072431415 /nfs/dbraw/zinc/43/14/15/1072431415.db2.gz HRIYUQKVTDUFPC-INIZCTEOSA-N 0 0 437.522 -0.535 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](NC(N)=O)C3)CC2)cc1 ZINC000534966693 1072431575 /nfs/dbraw/zinc/43/15/75/1072431575.db2.gz HRIYUQKVTDUFPC-MRXNPFEDSA-N 0 0 437.522 -0.535 20 0 IBADRN CNC(=O)CN(C)C(=O)COc1cn(-c2ccccc2)nc1C(=O)N(C)CC(=O)NC ZINC000534984008 1072431536 /nfs/dbraw/zinc/43/15/36/1072431536.db2.gz TVZZXZPHJGSNNB-UHFFFAOYSA-N 0 0 430.465 -0.727 20 0 IBADRN CCS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000534984266 1072431458 /nfs/dbraw/zinc/43/14/58/1072431458.db2.gz CTJQDZJTROHICP-UHFFFAOYSA-N 0 0 444.579 -0.270 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3scnc3C3CC3)CC2)CC1 ZINC000534989929 1072431590 /nfs/dbraw/zinc/43/15/90/1072431590.db2.gz DRUHRJFGMCSQNP-UHFFFAOYSA-N 0 0 442.567 -0.405 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)COc1cn(-c2ccccc2)nc1C(=O)N[C@H](C)C(=O)NC ZINC000534995538 1072431358 /nfs/dbraw/zinc/43/13/58/1072431358.db2.gz UOAXVPZPUHFYQA-CHWSQXEVSA-N 0 0 430.465 -0.634 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)COc1cn(-c2ccccc2)nc1C(=O)N[C@@H](C)C(=O)NC ZINC000534995540 1072432013 /nfs/dbraw/zinc/43/20/13/1072432013.db2.gz UOAXVPZPUHFYQA-OLZOCXBDSA-N 0 0 430.465 -0.634 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)c1nn(-c2ccccc2)cc1OCC(=O)N[C@@H](C)C(=O)NC ZINC000534995541 1072432069 /nfs/dbraw/zinc/43/20/69/1072432069.db2.gz UOAXVPZPUHFYQA-QWHCGFSZSA-N 0 0 430.465 -0.634 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)COc1cn(-c2ccccc2)nc1C(=O)N[C@@H](C)C(=O)NC ZINC000534995542 1072432020 /nfs/dbraw/zinc/43/20/20/1072432020.db2.gz UOAXVPZPUHFYQA-STQMWFEESA-N 0 0 430.465 -0.634 20 0 IBADRN CC(=O)N1CCC(NC(=O)Cn2c(=O)c(I)cn(C)c2=O)CC1 ZINC000534999694 1072431441 /nfs/dbraw/zinc/43/14/41/1072431441.db2.gz QZOYGLNTKIEEEL-UHFFFAOYSA-N 0 0 434.234 -0.721 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N=c3ccn(CC(=O)OC)[nH]3)nc2n(CC)c1=O ZINC000535008585 1072432073 /nfs/dbraw/zinc/43/20/73/1072432073.db2.gz VWIIBQIHSVDSNT-UHFFFAOYSA-N 0 0 443.464 -0.192 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCCNS(C)(=O)=O)nc2n(CC)c1=O ZINC000535010886 1072432130 /nfs/dbraw/zinc/43/21/30/1072432130.db2.gz LVWSDIFWXFYZPF-UHFFFAOYSA-N 0 0 426.499 -0.378 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N=c3ccn(CCC(N)=O)[nH]3)nc2n(CC)c1=O ZINC000535011165 1072432017 /nfs/dbraw/zinc/43/20/17/1072432017.db2.gz GTEBAGWLWIWZMT-UHFFFAOYSA-N 0 0 442.480 -0.489 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(CC(N)=O)CC3)nc2n(CC)c1=O ZINC000535014638 1073352125 /nfs/dbraw/zinc/35/21/25/1073352125.db2.gz GWLOFLCGHCKMNM-UHFFFAOYSA-N 0 0 431.497 -0.414 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(=O)(=O)NC)ccc1C ZINC000535017355 1072432031 /nfs/dbraw/zinc/43/20/31/1072432031.db2.gz NDQHIAULGPTNHD-CYBMUJFWSA-N 0 0 431.536 -0.331 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(=O)(=O)NC)ccc1C ZINC000535017356 1072432169 /nfs/dbraw/zinc/43/21/69/1072432169.db2.gz NDQHIAULGPTNHD-ZDUSSCGKSA-N 0 0 431.536 -0.331 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)nn1 ZINC000535017672 1072432057 /nfs/dbraw/zinc/43/20/57/1072432057.db2.gz NFVQJWHEDUYFBI-UHFFFAOYSA-N 0 0 441.448 -0.885 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)c3ccc(S(N)(=O)=O)nc3)c2)CC1 ZINC000535019381 1072431981 /nfs/dbraw/zinc/43/19/81/1072431981.db2.gz BBUIBIODFAKWDG-UHFFFAOYSA-N 0 0 439.519 -0.083 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000535019747 1072432061 /nfs/dbraw/zinc/43/20/61/1072432061.db2.gz HCIATLWPRRVDIJ-UHFFFAOYSA-N 0 0 439.475 -0.898 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC000535025552 1072432677 /nfs/dbraw/zinc/43/26/77/1072432677.db2.gz FVEIWOGUNLVCLV-UHFFFAOYSA-N 0 0 429.480 -0.519 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000535026694 1072432770 /nfs/dbraw/zinc/43/27/70/1072432770.db2.gz WJODNFHETREFIX-UHFFFAOYSA-N 0 0 440.503 -0.320 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)c(=O)[nH]c1=O ZINC000535028051 1072432711 /nfs/dbraw/zinc/43/27/11/1072432711.db2.gz KRYOLLTVWFCIBK-UHFFFAOYSA-N 0 0 430.465 -0.072 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000535042011 1072432668 /nfs/dbraw/zinc/43/26/68/1072432668.db2.gz VBGZPCHQMRZYAZ-LLVKDONJSA-N 0 0 425.916 -0.094 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000535042052 1072432733 /nfs/dbraw/zinc/43/27/33/1072432733.db2.gz VBGZPCHQMRZYAZ-NSHDSACASA-N 0 0 425.916 -0.094 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1=O ZINC000535043980 1072432694 /nfs/dbraw/zinc/43/26/94/1072432694.db2.gz MYOBVLRHDGUJOX-HNNXBMFYSA-N 0 0 425.463 -0.725 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1=O ZINC000535043981 1072432767 /nfs/dbraw/zinc/43/27/67/1072432767.db2.gz MYOBVLRHDGUJOX-OAHLLOKOSA-N 0 0 425.463 -0.725 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000535055765 1072432728 /nfs/dbraw/zinc/43/27/28/1072432728.db2.gz MOOXLCDMYPVBMX-AGGWBTHJSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@@H]1CC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H](C)O1 ZINC000535055766 1072432757 /nfs/dbraw/zinc/43/27/57/1072432757.db2.gz MOOXLCDMYPVBMX-CHWSQXEVSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@H]1CC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000535055767 1072432749 /nfs/dbraw/zinc/43/27/49/1072432749.db2.gz MOOXLCDMYPVBMX-STQMWFEESA-N 0 0 438.506 -0.182 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000535086474 1072432776 /nfs/dbraw/zinc/43/27/76/1072432776.db2.gz AOPXWQRMNNBXKR-UHFFFAOYSA-N 0 0 427.465 -0.255 20 0 IBADRN CN(C[C@@H]1CCC[C@@H]1O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000535087646 1072432763 /nfs/dbraw/zinc/43/27/63/1072432763.db2.gz HHLDBGFRTDVVPA-BBRMVZONSA-N 0 0 438.506 -0.635 20 0 IBADRN CN(C[C@H]1CCC[C@@H]1O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000535087657 1072432723 /nfs/dbraw/zinc/43/27/23/1072432723.db2.gz HHLDBGFRTDVVPA-CJNGLKHVSA-N 0 0 438.506 -0.635 20 0 IBADRN CN(C[C@H]1CCC[C@H]1O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000535087659 1072432725 /nfs/dbraw/zinc/43/27/25/1072432725.db2.gz HHLDBGFRTDVVPA-CZUORRHYSA-N 0 0 438.506 -0.635 20 0 IBADRN CN(C[C@@H]1CCC[C@H]1O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000535087660 1072432645 /nfs/dbraw/zinc/43/26/45/1072432645.db2.gz HHLDBGFRTDVVPA-XJKSGUPXSA-N 0 0 438.506 -0.635 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000535095688 1072433292 /nfs/dbraw/zinc/43/32/92/1072433292.db2.gz PBXKATMBVAFULN-UHFFFAOYSA-N 0 0 431.497 -0.145 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N(C)Cc2nnnn2C)c1 ZINC000535108575 1072432659 /nfs/dbraw/zinc/43/26/59/1072432659.db2.gz APIMYFXJNVKEHA-UHFFFAOYSA-N 0 0 438.514 -0.039 20 0 IBADRN CC[C@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535112246 1072433366 /nfs/dbraw/zinc/43/33/66/1072433366.db2.gz RTKXCZKBXZSQET-AWEZNQCLSA-N 0 0 442.538 -0.011 20 0 IBADRN CC[C@@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535112251 1072433251 /nfs/dbraw/zinc/43/32/51/1072433251.db2.gz RTKXCZKBXZSQET-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NCCNS(C)(=O)=O)c1 ZINC000535112350 1072433262 /nfs/dbraw/zinc/43/32/62/1072433262.db2.gz ONJCJQVEWVXBCV-UHFFFAOYSA-N 0 0 449.555 -0.556 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N(CCO)C2CC2)c1 ZINC000535113068 1072433346 /nfs/dbraw/zinc/43/33/46/1072433346.db2.gz WCDBGVPLROVTKN-UHFFFAOYSA-N 0 0 440.522 -0.305 20 0 IBADRN CC[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535115079 1072433459 /nfs/dbraw/zinc/43/34/59/1072433459.db2.gz DAROEPZWOAOXFM-IBGZPJMESA-N 0 0 442.538 -0.011 20 0 IBADRN CC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535115080 1072433333 /nfs/dbraw/zinc/43/33/33/1072433333.db2.gz DAROEPZWOAOXFM-LJQANCHMSA-N 0 0 442.538 -0.011 20 0 IBADRN C[C@@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535117236 1072433389 /nfs/dbraw/zinc/43/33/89/1072433389.db2.gz LZARHNCJWGLQOB-CYBMUJFWSA-N 0 0 428.511 -0.401 20 0 IBADRN CO[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC000535118185 1072433410 /nfs/dbraw/zinc/43/34/10/1072433410.db2.gz OMKOMQSGWIRILZ-AWEZNQCLSA-N 0 0 440.522 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NCC2(O)CCC2)c1 ZINC000535118522 1072433468 /nfs/dbraw/zinc/43/34/68/1072433468.db2.gz RRRORGVQPGGLJN-UHFFFAOYSA-N 0 0 440.522 -0.257 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)co1 ZINC000535125518 1072433428 /nfs/dbraw/zinc/43/34/28/1072433428.db2.gz NYNIZBVZTRPHMG-UHFFFAOYSA-N 0 0 436.494 -0.211 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(c2nc(N3CC[C@H](S(N)(=O)=O)C3)nc3ccccc32)C1 ZINC000535130443 1072433282 /nfs/dbraw/zinc/43/32/82/1072433282.db2.gz RYVRVBAKNYDDBV-NEPJUHHUSA-N 0 0 426.524 -0.636 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(c2nc3ccccc3c(N3CC[C@H](S(N)(=O)=O)C3)n2)C1 ZINC000535130452 1072433270 /nfs/dbraw/zinc/43/32/70/1072433270.db2.gz RYVRVBAKNYDDBV-NWDGAFQWSA-N 0 0 426.524 -0.636 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(c2nc3ccccc3c(N3CC[C@H](S(N)(=O)=O)C3)n2)C1 ZINC000535130456 1072433438 /nfs/dbraw/zinc/43/34/38/1072433438.db2.gz RYVRVBAKNYDDBV-RYUDHWBXSA-N 0 0 426.524 -0.636 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(c2nc3ccccc3c(N3CC[C@@H](S(N)(=O)=O)C3)n2)C1 ZINC000535130459 1072433373 /nfs/dbraw/zinc/43/33/73/1072433373.db2.gz RYVRVBAKNYDDBV-VXGBXAGGSA-N 0 0 426.524 -0.636 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535132724 1072166502 /nfs/dbraw/zinc/16/65/02/1072166502.db2.gz CFYREZXUMLGEFQ-UHFFFAOYSA-N 0 0 448.567 -0.007 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-n3ccnn3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000535133391 1072434020 /nfs/dbraw/zinc/43/40/20/1072434020.db2.gz JCGDTPGPIVMSLV-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-n3ccnn3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000535133402 1072433939 /nfs/dbraw/zinc/43/39/39/1072433939.db2.gz JCGDTPGPIVMSLV-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)c2cn(C)c(=O)[nH]c2=O)c1 ZINC000535135219 1072433830 /nfs/dbraw/zinc/43/38/30/1072433830.db2.gz PXZWJYBSSSQVQJ-UHFFFAOYSA-N 0 0 437.478 -0.175 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(O)c(F)c2)c(=O)n1CC(=O)N1CCOCC1 ZINC000535146407 1072433868 /nfs/dbraw/zinc/43/38/68/1072433868.db2.gz DNDIJKXJKGBMLV-UHFFFAOYSA-N 0 0 436.461 -0.214 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000535150325 1072433984 /nfs/dbraw/zinc/43/39/84/1072433984.db2.gz WWWPZPAUOWXUPT-UHFFFAOYSA-N 0 0 436.531 -0.349 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)co1 ZINC000535153828 1072434700 /nfs/dbraw/zinc/43/47/00/1072434700.db2.gz YWZCOPDMXXUTQE-UHFFFAOYSA-N 0 0 430.464 -0.536 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2coc(S(=O)(=O)N(C)C)c2)CC1 ZINC000535157834 1072433960 /nfs/dbraw/zinc/43/39/60/1072433960.db2.gz RDQPTLWUKFUDRA-AWEZNQCLSA-N 0 0 428.511 -0.465 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2coc(S(=O)(=O)N(C)C)c2)CC1 ZINC000535157837 1072433975 /nfs/dbraw/zinc/43/39/75/1072433975.db2.gz RDQPTLWUKFUDRA-CQSZACIVSA-N 0 0 428.511 -0.465 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)co1 ZINC000535159655 1072433807 /nfs/dbraw/zinc/43/38/07/1072433807.db2.gz YVLAZYMFKKZAQY-HNNXBMFYSA-N 0 0 449.551 -0.461 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)co1 ZINC000535159656 1072433852 /nfs/dbraw/zinc/43/38/52/1072433852.db2.gz YVLAZYMFKKZAQY-OAHLLOKOSA-N 0 0 449.551 -0.461 20 0 IBADRN O=C(NC[C@@]1(O)CCOC1)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000535161329 1072433926 /nfs/dbraw/zinc/43/39/26/1072433926.db2.gz HKXFNDXHXJFAAM-IBGZPJMESA-N 0 0 437.474 -0.158 20 0 IBADRN O=C(NC[C@]1(O)CCOC1)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000535161353 1072433909 /nfs/dbraw/zinc/43/39/09/1072433909.db2.gz HKXFNDXHXJFAAM-LJQANCHMSA-N 0 0 437.474 -0.158 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@@]1(O)CCOC1 ZINC000535163917 1072433889 /nfs/dbraw/zinc/43/38/89/1072433889.db2.gz WZBDHMCOZIFEHJ-IBGZPJMESA-N 0 0 425.507 -0.433 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@]1(O)CCOC1 ZINC000535163922 1072433785 /nfs/dbraw/zinc/43/37/85/1072433785.db2.gz WZBDHMCOZIFEHJ-LJQANCHMSA-N 0 0 425.507 -0.433 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@]2(O)CCOC2)c1OC ZINC000535164006 1072433860 /nfs/dbraw/zinc/43/38/60/1072433860.db2.gz VGEDUCJNFYBMQC-GOSISDBHSA-N 0 0 430.479 -0.394 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@@]2(O)CCOC2)c1OC ZINC000535164011 1072434008 /nfs/dbraw/zinc/43/40/08/1072434008.db2.gz VGEDUCJNFYBMQC-SFHVURJKSA-N 0 0 430.479 -0.394 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NC[C@@]1(O)CCOC1 ZINC000535164169 1072434595 /nfs/dbraw/zinc/43/45/95/1072434595.db2.gz ZUBYRSWPCGWYSC-IBGZPJMESA-N 0 0 443.497 -0.294 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NC[C@]1(O)CCOC1 ZINC000535164170 1072434576 /nfs/dbraw/zinc/43/45/76/1072434576.db2.gz ZUBYRSWPCGWYSC-LJQANCHMSA-N 0 0 443.497 -0.294 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnn1Cc1ccccc1F ZINC000535164854 1072434675 /nfs/dbraw/zinc/43/46/75/1072434675.db2.gz CDZKSPCJXMGQRF-HNNXBMFYSA-N 0 0 430.440 -0.138 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnn1Cc1ccccc1F ZINC000535164866 1072434697 /nfs/dbraw/zinc/43/46/97/1072434697.db2.gz CDZKSPCJXMGQRF-OAHLLOKOSA-N 0 0 430.440 -0.138 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000535165362 1072434669 /nfs/dbraw/zinc/43/46/69/1072434669.db2.gz GULHHFPOPDHTAW-IBGZPJMESA-N 0 0 436.490 -0.629 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000535165382 1072434537 /nfs/dbraw/zinc/43/45/37/1072434537.db2.gz GULHHFPOPDHTAW-LJQANCHMSA-N 0 0 436.490 -0.629 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCN2C(=O)CNC2=O)c(OC)c1 ZINC000535165645 1072434569 /nfs/dbraw/zinc/43/45/69/1072434569.db2.gz GTKVEYRVKSTMJX-UHFFFAOYSA-N 0 0 430.421 -0.445 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n(Cc2ccccc2)n1 ZINC000535166598 1072434683 /nfs/dbraw/zinc/43/46/83/1072434683.db2.gz JYRZQESKWPBVKZ-UHFFFAOYSA-N 0 0 437.464 -0.464 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCNS(C)(=O)=O)c(OC)c1 ZINC000535167614 1072434650 /nfs/dbraw/zinc/43/46/50/1072434650.db2.gz MEQHKCMZGGJGMM-UHFFFAOYSA-N 0 0 425.467 -0.448 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)NCCNS(C)(=O)=O)cc1OC ZINC000535167800 1072434614 /nfs/dbraw/zinc/43/46/14/1072434614.db2.gz NFWIHXXDCMWFKU-UHFFFAOYSA-N 0 0 439.494 -0.139 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)NCCCS(N)(=O)=O)cc1OC ZINC000535168683 1072434628 /nfs/dbraw/zinc/43/46/28/1072434628.db2.gz RCVAKYNBGVGZJM-UHFFFAOYSA-N 0 0 439.494 -0.010 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccc2)CC1 ZINC000535168759 1072434586 /nfs/dbraw/zinc/43/45/86/1072434586.db2.gz RGMWLNUWBIMHQU-UHFFFAOYSA-N 0 0 428.493 -0.223 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c(OC)c1 ZINC000535170122 1072434690 /nfs/dbraw/zinc/43/46/90/1072434690.db2.gz ZEYMMKQPGDKRSH-UHFFFAOYSA-N 0 0 444.448 -0.055 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccc2)CC1 ZINC000535171386 1072434634 /nfs/dbraw/zinc/43/46/34/1072434634.db2.gz DUMCMHYYCMXPPF-UHFFFAOYSA-N 0 0 440.504 -0.127 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000535192276 1072435101 /nfs/dbraw/zinc/43/51/01/1072435101.db2.gz QBGOOUADYMZTED-UHFFFAOYSA-N 0 0 429.499 -0.443 20 0 IBADRN O=C(NCC1CCS(=O)(=O)CC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000535201949 1072435006 /nfs/dbraw/zinc/43/50/06/1072435006.db2.gz QDTOUGYSVKJJOB-UHFFFAOYSA-N 0 0 438.554 -0.030 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NCCCNS(C)(=O)=O)c1OC ZINC000535225294 1072435836 /nfs/dbraw/zinc/43/58/36/1072435836.db2.gz MSONYSGQKNAJPT-UHFFFAOYSA-N 0 0 439.494 -0.057 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)co1 ZINC000535228299 1072435212 /nfs/dbraw/zinc/43/52/12/1072435212.db2.gz APOYWPCYILSOEJ-UHFFFAOYSA-N 0 0 431.492 -0.270 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)NC3(C[C@@H](C)C[C@H](C)C3)C2=O)CC1 ZINC000535229748 1072435048 /nfs/dbraw/zinc/43/50/48/1072435048.db2.gz JQIBHJVSGLAMKX-HOTGVXAUSA-N 0 0 437.541 -0.360 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)NC3(C[C@H](C)C[C@@H](C)C3)C2=O)CC1 ZINC000535229769 1072435163 /nfs/dbraw/zinc/43/51/63/1072435163.db2.gz JQIBHJVSGLAMKX-HZPDHXFCSA-N 0 0 437.541 -0.360 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@]3(C[C@H](C)C[C@H](C)C3)C2=O)CC1 ZINC000535229777 1072435245 /nfs/dbraw/zinc/43/52/45/1072435245.db2.gz JQIBHJVSGLAMKX-VPTLXERWSA-N 0 0 437.541 -0.360 20 0 IBADRN CN(CCCNC(=O)C[S@](=O)Cc1nc(C(F)(F)F)cc(=O)[nH]1)S(C)(=O)=O ZINC000535232770 1072435071 /nfs/dbraw/zinc/43/50/71/1072435071.db2.gz DYJGOMJBPFOFNT-AREMUKBSSA-N 0 0 432.446 -0.153 20 0 IBADRN CN(CCCNC(=O)C[S@@](=O)Cc1nc(C(F)(F)F)cc(=O)[nH]1)S(C)(=O)=O ZINC000535232779 1072435221 /nfs/dbraw/zinc/43/52/21/1072435221.db2.gz DYJGOMJBPFOFNT-SANMLTNESA-N 0 0 432.446 -0.153 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000535235515 1072435789 /nfs/dbraw/zinc/43/57/89/1072435789.db2.gz ZZOQETYNENKRHV-FAQVEIGNSA-N 0 0 449.552 -0.264 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000535235519 1072435773 /nfs/dbraw/zinc/43/57/73/1072435773.db2.gz ZZOQETYNENKRHV-IAGOWNOFSA-N 0 0 449.552 -0.264 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000535235520 1072435737 /nfs/dbraw/zinc/43/57/37/1072435737.db2.gz ZZOQETYNENKRHV-IRXDYDNUSA-N 0 0 449.552 -0.264 20 0 IBADRN O=C(c1cncc(N2CCOCC2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000535238227 1072435903 /nfs/dbraw/zinc/43/59/03/1072435903.db2.gz POIIQCYYGSUJNM-UHFFFAOYSA-N 0 0 425.511 -0.747 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)cn1 ZINC000535240962 1072435706 /nfs/dbraw/zinc/43/57/06/1072435706.db2.gz YYGZBQHYDBBKQE-UHFFFAOYSA-N 0 0 445.455 -0.510 20 0 IBADRN COc1cc(NCC(=O)NCCCN(C)S(C)(=O)=O)ccc1S(=O)(=O)N(C)C ZINC000535243895 1072435873 /nfs/dbraw/zinc/43/58/73/1072435873.db2.gz ZTHIGNMVWHCSQJ-UHFFFAOYSA-N 0 0 436.556 -0.245 20 0 IBADRN Cn1c2cccc(C(=O)N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)c2oc1=O ZINC000535244530 1072435863 /nfs/dbraw/zinc/43/58/63/1072435863.db2.gz YYMYSTCOVWOGHY-UHFFFAOYSA-N 0 0 434.430 -0.030 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCO[C@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000535245389 1072435906 /nfs/dbraw/zinc/43/59/06/1072435906.db2.gz GFFCYDHEBLXJEA-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCO[C@@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000535245402 1072435846 /nfs/dbraw/zinc/43/58/46/1072435846.db2.gz GFFCYDHEBLXJEA-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCC(OCCO)CC2)cc1)N1CCOCC1 ZINC000535245615 1072435750 /nfs/dbraw/zinc/43/57/50/1072435750.db2.gz FDOMOGPCHROKAX-UHFFFAOYSA-N 0 0 434.536 -0.131 20 0 IBADRN Cn1cc([C@H](CO)NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000535246471 1072435880 /nfs/dbraw/zinc/43/58/80/1072435880.db2.gz JNVXPSKFEMWAIT-INIZCTEOSA-N 0 0 430.508 -0.547 20 0 IBADRN Cn1cc([C@@H](CO)NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000535246492 1072435778 /nfs/dbraw/zinc/43/57/78/1072435778.db2.gz JNVXPSKFEMWAIT-MRXNPFEDSA-N 0 0 430.508 -0.547 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000535248883 1072169649 /nfs/dbraw/zinc/16/96/49/1072169649.db2.gz UTRHAWASGVPRDD-HWPZZCPQSA-N 0 0 433.508 -0.149 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000535248887 1072435817 /nfs/dbraw/zinc/43/58/17/1072435817.db2.gz UTRHAWASGVPRDD-MGPLVRAMSA-N 0 0 433.508 -0.149 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000535248889 1072436314 /nfs/dbraw/zinc/43/63/14/1072436314.db2.gz UTRHAWASGVPRDD-QFYYESIMSA-N 0 0 433.508 -0.149 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000535248891 1072436365 /nfs/dbraw/zinc/43/63/65/1072436365.db2.gz UTRHAWASGVPRDD-QLJPJBMISA-N 0 0 433.508 -0.149 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cncc(Br)c2)CC1 ZINC000535251499 1072436346 /nfs/dbraw/zinc/43/63/46/1072436346.db2.gz PNMOSTZYSWBREL-UHFFFAOYSA-N 0 0 426.271 -0.022 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(Cc3ccsc3)CC2)CC1)N1CCOCC1 ZINC000535254927 1072436913 /nfs/dbraw/zinc/43/69/13/1072436913.db2.gz OCJIENVFBFUFGG-UHFFFAOYSA-N 0 0 435.594 -0.131 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(=O)NCc2ccco2)s1 ZINC000535259201 1072436352 /nfs/dbraw/zinc/43/63/52/1072436352.db2.gz ATEWVYQRRPOBFK-UHFFFAOYSA-N 0 0 428.492 -0.312 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCN2CCN(c3ncccn3)CC2)n1)N1CCOCC1 ZINC000535260036 1074363277 /nfs/dbraw/zinc/36/32/77/1074363277.db2.gz FTKRMNWAPHWNSZ-UHFFFAOYSA-N 0 0 443.512 -0.524 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1S(N)(=O)=O ZINC000535261459 1072436334 /nfs/dbraw/zinc/43/63/34/1072436334.db2.gz PAARCDOXPAJVCP-UHFFFAOYSA-N 0 0 447.521 -0.691 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000535263020 1073325521 /nfs/dbraw/zinc/32/55/21/1073325521.db2.gz YYINGWKUZMGKPP-UHFFFAOYSA-N 0 0 435.492 -0.007 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)CSCC(=O)N2CCO[C@@H](CC(=O)OC)C2)CCO1 ZINC000535265079 1072436338 /nfs/dbraw/zinc/43/63/38/1072436338.db2.gz WEHJBHIKOLHQAK-KBPBESRZSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)CSCC(=O)N2CCO[C@@H](CC(=O)OC)C2)CCO1 ZINC000535265096 1072436317 /nfs/dbraw/zinc/43/63/17/1072436317.db2.gz WEHJBHIKOLHQAK-OKILXGFUSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)CSCC(=O)N2CCO[C@H](CC(=O)OC)C2)CCO1 ZINC000535265097 1072436297 /nfs/dbraw/zinc/43/62/97/1072436297.db2.gz WEHJBHIKOLHQAK-ZIAGYGMSSA-N 0 0 432.495 -0.699 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccsc3)CC2)cn1 ZINC000535265138 1072436330 /nfs/dbraw/zinc/43/63/30/1072436330.db2.gz UTFROUOFMHYBEP-UHFFFAOYSA-N 0 0 434.522 -0.010 20 0 IBADRN CC1(C)CN(C(=O)CSCC(=O)N2CCS(=O)(=O)C(C)(C)C2)CCS1(=O)=O ZINC000535265349 1072437036 /nfs/dbraw/zinc/43/70/36/1072437036.db2.gz SPFPEBPKRAWBGW-UHFFFAOYSA-N 0 0 440.609 -0.209 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1C ZINC000535266658 1072437075 /nfs/dbraw/zinc/43/70/75/1072437075.db2.gz ZXZVLAAYWAUNNI-UHFFFAOYSA-N 0 0 430.513 -0.187 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000535266754 1072437120 /nfs/dbraw/zinc/43/71/20/1072437120.db2.gz XWYOSHFCVUKHMV-UHFFFAOYSA-N 0 0 431.536 -0.075 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000535272291 1072436833 /nfs/dbraw/zinc/43/68/33/1072436833.db2.gz SZVOVRFIEKXLTE-INIZCTEOSA-N 0 0 437.526 -0.700 20 0 IBADRN Cn1cc([C@@H](CO)NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000535272295 1072437023 /nfs/dbraw/zinc/43/70/23/1072437023.db2.gz SZVOVRFIEKXLTE-MRXNPFEDSA-N 0 0 437.526 -0.700 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(CC3(O)CCS(=O)(=O)CC3)CC2)c1 ZINC000535274971 1072437526 /nfs/dbraw/zinc/43/75/26/1072437526.db2.gz HZSKYXFZMFUVSS-UHFFFAOYSA-N 0 0 448.563 -0.050 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC(OCCO)CC1 ZINC000535275115 1072437512 /nfs/dbraw/zinc/43/75/12/1072437512.db2.gz DUMHHDDVHOOHNH-UHFFFAOYSA-N 0 0 429.495 -0.116 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC(OCCO)CC1 ZINC000535277506 1072437717 /nfs/dbraw/zinc/43/77/17/1072437717.db2.gz NXTIZHIVTPYGPR-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN CN1Cc2ccccc2[C@@H](NC(=O)C(=O)N=c2ccn(CC(=O)N3CCOCC3)[nH]2)C1 ZINC000535278048 1073378832 /nfs/dbraw/zinc/37/88/32/1073378832.db2.gz PAKFIPQZMHGTDH-KRWDZBQOSA-N 0 0 426.477 -0.595 20 0 IBADRN CN1Cc2ccccc2[C@H](NC(=O)C(=O)N=c2ccn(CC(=O)N3CCOCC3)[nH]2)C1 ZINC000535278052 1073378734 /nfs/dbraw/zinc/37/87/34/1073378734.db2.gz PAKFIPQZMHGTDH-QGZVFWFLSA-N 0 0 426.477 -0.595 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC(OCCO)CC1)CC2 ZINC000535278275 1072437622 /nfs/dbraw/zinc/43/76/22/1072437622.db2.gz SLUDDGJZAOXPQJ-UHFFFAOYSA-N 0 0 425.507 -0.126 20 0 IBADRN Cn1cc([C@@H](CO)NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000535278599 1072437709 /nfs/dbraw/zinc/43/77/09/1072437709.db2.gz SUPUUXIHKSAURG-CRAIPNDOSA-N 0 0 443.460 -0.777 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000535278605 1072437534 /nfs/dbraw/zinc/43/75/34/1072437534.db2.gz SUPUUXIHKSAURG-MAUKXSAKSA-N 0 0 443.460 -0.777 20 0 IBADRN Cn1cc([C@@H](CO)NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000535278608 1072437579 /nfs/dbraw/zinc/43/75/79/1072437579.db2.gz SUPUUXIHKSAURG-QAPCUYQASA-N 0 0 443.460 -0.777 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000535278610 1072437565 /nfs/dbraw/zinc/43/75/65/1072437565.db2.gz SUPUUXIHKSAURG-YJBOKZPZSA-N 0 0 443.460 -0.777 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H](CO)c3cnn(C)c3)cc2)CC1 ZINC000535278812 1072437589 /nfs/dbraw/zinc/43/75/89/1072437589.db2.gz XCSVVXVZGIWAJH-IBGZPJMESA-N 0 0 442.520 -0.085 20 0 IBADRN CN1Cc2ccccc2[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000535278814 1073378815 /nfs/dbraw/zinc/37/88/15/1073378815.db2.gz YZJCZPUZKYHOCQ-GOSISDBHSA-N 0 0 426.477 -0.017 20 0 IBADRN CN1Cc2ccccc2[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000535278815 1073378986 /nfs/dbraw/zinc/37/89/86/1073378986.db2.gz YZJCZPUZKYHOCQ-SFHVURJKSA-N 0 0 426.477 -0.017 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H](CO)c3cnn(C)c3)cc2)CC1 ZINC000535278817 1072437601 /nfs/dbraw/zinc/43/76/01/1072437601.db2.gz XCSVVXVZGIWAJH-LJQANCHMSA-N 0 0 442.520 -0.085 20 0 IBADRN COC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000535281788 1072437008 /nfs/dbraw/zinc/43/70/08/1072437008.db2.gz VRDAOUOCTAGGKA-UHFFFAOYSA-N 0 0 441.506 -0.214 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N(CCO)Cc2cnn(Cc3ccccc3)c2)c1 ZINC000535283559 1072436963 /nfs/dbraw/zinc/43/69/63/1072436963.db2.gz JHVVLNYSHDBHBI-UHFFFAOYSA-N 0 0 432.506 -0.036 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000535284627 1072437095 /nfs/dbraw/zinc/43/70/95/1072437095.db2.gz KLCVRXDREWFAMR-AWEZNQCLSA-N 0 0 442.494 -0.753 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000535284641 1072436937 /nfs/dbraw/zinc/43/69/37/1072436937.db2.gz KLCVRXDREWFAMR-CQSZACIVSA-N 0 0 442.494 -0.753 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCS(=O)(=O)C(C)(C)C2)ccc1S(=O)(=O)N(C)C ZINC000535284960 1072436979 /nfs/dbraw/zinc/43/69/79/1072436979.db2.gz RNYNOVBAJKDWKQ-UHFFFAOYSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000535285172 1072436987 /nfs/dbraw/zinc/43/69/87/1072436987.db2.gz WBWWSLOLZWFOHC-GFCCVEGCSA-N 0 0 448.523 -0.966 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000535285177 1072437064 /nfs/dbraw/zinc/43/70/64/1072437064.db2.gz WBWWSLOLZWFOHC-LBPRGKRZSA-N 0 0 448.523 -0.966 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000535285494 1072436887 /nfs/dbraw/zinc/43/68/87/1072436887.db2.gz ZUUDRLAPKBJPFW-GFCCVEGCSA-N 0 0 426.495 -0.002 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000535285504 1072436810 /nfs/dbraw/zinc/43/68/10/1072436810.db2.gz ZUUDRLAPKBJPFW-LBPRGKRZSA-N 0 0 426.495 -0.002 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1 ZINC000535285699 1072436897 /nfs/dbraw/zinc/43/68/97/1072436897.db2.gz XWOSFOUQZVFKJG-HNNXBMFYSA-N 0 0 442.538 -0.201 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1 ZINC000535285700 1072437106 /nfs/dbraw/zinc/43/71/06/1072437106.db2.gz XWOSFOUQZVFKJG-OAHLLOKOSA-N 0 0 442.538 -0.201 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000535285962 1072436953 /nfs/dbraw/zinc/43/69/53/1072436953.db2.gz OEBFAWZZMLGETI-GFCCVEGCSA-N 0 0 426.495 -0.131 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000535285963 1072437001 /nfs/dbraw/zinc/43/70/01/1072437001.db2.gz OEBFAWZZMLGETI-LBPRGKRZSA-N 0 0 426.495 -0.131 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(C(=O)NC3CC3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000535290744 1072436865 /nfs/dbraw/zinc/43/68/65/1072436865.db2.gz XJKGVTSWFRDUMG-GOSISDBHSA-N 0 0 448.545 -0.360 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(C(=O)NC3CC3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000535290746 1072437573 /nfs/dbraw/zinc/43/75/73/1072437573.db2.gz XJKGVTSWFRDUMG-SFHVURJKSA-N 0 0 448.545 -0.360 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cncc(N2CCOCC2)c1 ZINC000535293610 1072437552 /nfs/dbraw/zinc/43/75/52/1072437552.db2.gz KKIREYAKSTWRPO-UHFFFAOYSA-N 0 0 439.538 -0.311 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000535294816 1072437541 /nfs/dbraw/zinc/43/75/41/1072437541.db2.gz QBTLRGYJMIDKRT-BRWVUGGUSA-N 0 0 449.552 -0.218 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000535294818 1072437640 /nfs/dbraw/zinc/43/76/40/1072437640.db2.gz QBTLRGYJMIDKRT-GEYWLOKFSA-N 0 0 449.552 -0.218 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000535294819 1072437729 /nfs/dbraw/zinc/43/77/29/1072437729.db2.gz QBTLRGYJMIDKRT-MPWIWIEXSA-N 0 0 449.552 -0.218 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000535294820 1072438191 /nfs/dbraw/zinc/43/81/91/1072438191.db2.gz QBTLRGYJMIDKRT-ZACQAIPSSA-N 0 0 449.552 -0.218 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@H](S(N)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000535295687 1072438282 /nfs/dbraw/zinc/43/82/82/1072438282.db2.gz WKTJUXAMTVJKAB-AWEZNQCLSA-N 0 0 435.506 -0.794 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC[C@@H](S(N)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000535295688 1072438173 /nfs/dbraw/zinc/43/81/73/1072438173.db2.gz WKTJUXAMTVJKAB-CQSZACIVSA-N 0 0 435.506 -0.794 20 0 IBADRN Cc1ccc(NC(=O)CN2CC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000535295886 1072438231 /nfs/dbraw/zinc/43/82/31/1072438231.db2.gz YEXVDLCJTYYQOJ-HNNXBMFYSA-N 0 0 446.551 -0.683 20 0 IBADRN Cc1ccc(NC(=O)CN2CC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000535295899 1072438301 /nfs/dbraw/zinc/43/83/01/1072438301.db2.gz YEXVDLCJTYYQOJ-OAHLLOKOSA-N 0 0 446.551 -0.683 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(O)c1 ZINC000535297258 1072438325 /nfs/dbraw/zinc/43/83/25/1072438325.db2.gz FGUYMEVJYSHKME-HNNXBMFYSA-N 0 0 429.433 -0.050 20 0 IBADRN CCOC(=O)[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(O)c1 ZINC000535297302 1072438268 /nfs/dbraw/zinc/43/82/68/1072438268.db2.gz FGUYMEVJYSHKME-OAHLLOKOSA-N 0 0 429.433 -0.050 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000535297941 1072438223 /nfs/dbraw/zinc/43/82/23/1072438223.db2.gz HTVIDXACYUEIEF-HBCWATKFSA-N 0 0 432.485 -0.909 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000535297952 1072438201 /nfs/dbraw/zinc/43/82/01/1072438201.db2.gz HTVIDXACYUEIEF-KBPBESRZSA-N 0 0 432.485 -0.909 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000535297955 1072438288 /nfs/dbraw/zinc/43/82/88/1072438288.db2.gz HTVIDXACYUEIEF-ZIAGYGMSSA-N 0 0 432.485 -0.909 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCCCN(C1CC1)S(=O)(=O)c1cccc(F)c1)C(N)=O ZINC000535313258 1072437658 /nfs/dbraw/zinc/43/76/58/1072437658.db2.gz LGNLTRUOAWZBTJ-INIZCTEOSA-N 0 0 428.486 -0.135 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)CN1CCN(CC(=O)NC(=O)NC(C)(C)C)CC(O)C1 ZINC000535316081 1072437609 /nfs/dbraw/zinc/43/76/09/1072437609.db2.gz UCZBPTGPUBJQFE-UHFFFAOYSA-N 0 0 428.534 -0.787 20 0 IBADRN CCOc1ccc(NC(=O)C[C@@H]2NC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000535317017 1072438832 /nfs/dbraw/zinc/43/88/32/1072438832.db2.gz BVXMEUOHFFZELB-LBPRGKRZSA-N 0 0 426.451 -0.357 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)c3ccnc4c(C(N)=O)cnn43)CC2)cc1 ZINC000535318059 1072438962 /nfs/dbraw/zinc/43/89/62/1072438962.db2.gz OBMYURDVKGGVLN-UHFFFAOYSA-N 0 0 444.473 -0.017 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000535319065 1072438760 /nfs/dbraw/zinc/43/87/60/1072438760.db2.gz WXSVSUVDXWYCJO-UHFFFAOYSA-N 0 0 444.473 -0.221 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1nnc(-c2ccco2)o1 ZINC000535322930 1072438155 /nfs/dbraw/zinc/43/81/55/1072438155.db2.gz XFDMMVCPZJYYBH-UHFFFAOYSA-N 0 0 426.455 -0.537 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000535324774 1072438239 /nfs/dbraw/zinc/43/82/39/1072438239.db2.gz GNWBANBZDLRESB-UHFFFAOYSA-N 0 0 440.588 -0.506 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000535330520 1072438884 /nfs/dbraw/zinc/43/88/84/1072438884.db2.gz WBXIXMBLVJVMGA-UHFFFAOYSA-N 0 0 425.559 -0.288 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000535330867 1072438185 /nfs/dbraw/zinc/43/81/85/1072438185.db2.gz ZDHWPAOHYUAXBH-HNNXBMFYSA-N 0 0 440.588 -0.412 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000535330872 1072438295 /nfs/dbraw/zinc/43/82/95/1072438295.db2.gz ZDHWPAOHYUAXBH-OAHLLOKOSA-N 0 0 440.588 -0.412 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@H](c3nnc4ccccn43)C2)CC1 ZINC000535331542 1072438822 /nfs/dbraw/zinc/43/88/22/1072438822.db2.gz GNBSLHZVXVVSSX-AWEZNQCLSA-N 0 0 428.540 -0.269 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](c3nnc4ccccn43)C2)CC1 ZINC000535331551 1072438723 /nfs/dbraw/zinc/43/87/23/1072438723.db2.gz GNBSLHZVXVVSSX-CQSZACIVSA-N 0 0 428.540 -0.269 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000535331791 1072438846 /nfs/dbraw/zinc/43/88/46/1072438846.db2.gz FLKHZUONXCPYJK-UHFFFAOYSA-N 0 0 445.563 -0.013 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000535337736 1072438943 /nfs/dbraw/zinc/43/89/43/1072438943.db2.gz VGUIVHAEJPUXJL-UHFFFAOYSA-N 0 0 433.508 -0.770 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)C1 ZINC000535343903 1072438911 /nfs/dbraw/zinc/43/89/11/1072438911.db2.gz HFVFPKWFGZIQKS-GFCCVEGCSA-N 0 0 429.462 -0.096 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)C1 ZINC000535343922 1072438677 /nfs/dbraw/zinc/43/86/77/1072438677.db2.gz HFVFPKWFGZIQKS-LBPRGKRZSA-N 0 0 429.462 -0.096 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc3c(cc2Cl)OCO3)CC1 ZINC000535347609 1072438735 /nfs/dbraw/zinc/43/87/35/1072438735.db2.gz QPNFVQAUDAVCQM-UHFFFAOYSA-N 0 0 426.857 -0.086 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(C)(=O)=O)c2)CC1 ZINC000535347930 1072438877 /nfs/dbraw/zinc/43/88/77/1072438877.db2.gz XSFYRYQMEITJIO-UHFFFAOYSA-N 0 0 440.522 -0.756 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N(C)C)c1)Oc1ccc(F)cc1 ZINC000535348060 1072438747 /nfs/dbraw/zinc/43/87/47/1072438747.db2.gz ALADDAMOFXVAKM-HNNXBMFYSA-N 0 0 435.412 -0.214 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N(C)C)c1)Oc1ccc(F)cc1 ZINC000535348084 1072438929 /nfs/dbraw/zinc/43/89/29/1072438929.db2.gz ALADDAMOFXVAKM-OAHLLOKOSA-N 0 0 435.412 -0.214 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc3c2OCCO3)CC1 ZINC000535351275 1072438774 /nfs/dbraw/zinc/43/87/74/1072438774.db2.gz TYCSXNQAHZBVAD-UHFFFAOYSA-N 0 0 430.417 -0.784 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccnn1Cc1cnn(-c2ccccc2)c1 ZINC000535351998 1072438862 /nfs/dbraw/zinc/43/88/62/1072438862.db2.gz XRSSHONXLCAXBA-UHFFFAOYSA-N 0 0 425.449 -0.066 20 0 IBADRN CCS(=O)(=O)NCC(=O)NCCc1ccc(OCCN2CCN(C(C)=O)CC2)cc1 ZINC000535354931 1072439474 /nfs/dbraw/zinc/43/94/74/1072439474.db2.gz PCVMQPABTDPGND-UHFFFAOYSA-N 0 0 440.566 -0.173 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1S(C)(=O)=O ZINC000535355298 1072439485 /nfs/dbraw/zinc/43/94/85/1072439485.db2.gz QBXIPLZXYYJRTC-UHFFFAOYSA-N 0 0 449.576 -0.168 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1C(=O)OC ZINC000535358159 1072439404 /nfs/dbraw/zinc/43/94/04/1072439404.db2.gz IOAWTODHWRGKBS-GFCCVEGCSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1C(=O)OC ZINC000535358160 1072439323 /nfs/dbraw/zinc/43/93/23/1072439323.db2.gz IOAWTODHWRGKBS-LBPRGKRZSA-N 0 0 436.483 -0.074 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNS(=O)(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000535363334 1072439441 /nfs/dbraw/zinc/43/94/41/1072439441.db2.gz PHVDNVCRGCMDNO-UHFFFAOYSA-N 0 0 439.581 -0.314 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000535371259 1072439393 /nfs/dbraw/zinc/43/93/93/1072439393.db2.gz QJJLBRHKXRIRAQ-UHFFFAOYSA-N 0 0 431.496 -0.959 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000535372865 1072439526 /nfs/dbraw/zinc/43/95/26/1072439526.db2.gz RJAWUVQRQKNFCL-UHFFFAOYSA-N 0 0 437.518 -0.204 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)CC(C)(CO)CO ZINC000535383588 1072439491 /nfs/dbraw/zinc/43/94/91/1072439491.db2.gz GRDQZHFEHFNACS-UHFFFAOYSA-N 0 0 435.493 -0.280 20 0 IBADRN CCN(C(=O)CN(C)CC(C)(CO)CO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000535386829 1072439410 /nfs/dbraw/zinc/43/94/10/1072439410.db2.gz LNHDSTDBMJZGFU-UHFFFAOYSA-N 0 0 433.509 -0.145 20 0 IBADRN COc1ccc(NC(=O)CN(C)CC(C)(CO)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000535386870 1072439911 /nfs/dbraw/zinc/43/99/11/1072439911.db2.gz ODERCPAJERKMAU-UHFFFAOYSA-N 0 0 445.538 -0.423 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)cnn1C ZINC000535393271 1072439548 /nfs/dbraw/zinc/43/95/48/1072439548.db2.gz XRVCRLWFJZRDAI-GOSISDBHSA-N 0 0 427.461 -0.002 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)cnn1C ZINC000535393272 1072439353 /nfs/dbraw/zinc/43/93/53/1072439353.db2.gz XRVCRLWFJZRDAI-SFHVURJKSA-N 0 0 427.461 -0.002 20 0 IBADRN CCS(=O)(=O)NCC(=O)Nc1ccc(NC(=O)CNS(=O)(=O)CC)c(OC)c1 ZINC000535397803 1072439974 /nfs/dbraw/zinc/43/99/74/1072439974.db2.gz NVPJWIVJEYGLQF-UHFFFAOYSA-N 0 0 436.512 -0.549 20 0 IBADRN CCS(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000535404092 1072440031 /nfs/dbraw/zinc/44/00/31/1072440031.db2.gz UEMGLFQBZDFKCC-UHFFFAOYSA-N 0 0 449.551 -0.088 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(OCC(F)F)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000535404664 1072439951 /nfs/dbraw/zinc/43/99/51/1072439951.db2.gz AOWVXPVXCYLYSC-AWEZNQCLSA-N 0 0 446.476 -0.213 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(OCC(F)F)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000535404665 1072440039 /nfs/dbraw/zinc/44/00/39/1072440039.db2.gz AOWVXPVXCYLYSC-CQSZACIVSA-N 0 0 446.476 -0.213 20 0 IBADRN CCc1onc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000535407604 1072440001 /nfs/dbraw/zinc/44/00/01/1072440001.db2.gz CGUOQEIDUVCIKW-UHFFFAOYSA-N 0 0 439.450 -0.291 20 0 IBADRN CC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1C ZINC000535408977 1072440062 /nfs/dbraw/zinc/44/00/62/1072440062.db2.gz ZIKCGJIDSXMXHN-UHFFFAOYSA-N 0 0 438.506 -0.143 20 0 IBADRN CCS(=O)(=O)NCC(=O)N(C(C)C)C1CCN(C(=O)CNS(=O)(=O)CC)CC1 ZINC000535409158 1072439993 /nfs/dbraw/zinc/43/99/93/1072439993.db2.gz JTRXWNNEPFCADL-UHFFFAOYSA-N 0 0 440.588 -0.907 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cc2c(cc1Cl)OCO2)N[C@@H]1CCS(=O)(=O)C1 ZINC000535411198 1072440026 /nfs/dbraw/zinc/44/00/26/1072440026.db2.gz QKFWCCKFVZBITD-SECBINFHSA-N 0 0 431.854 -0.183 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cc2c(cc1Cl)OCO2)N[C@H]1CCS(=O)(=O)C1 ZINC000535411199 1072439981 /nfs/dbraw/zinc/43/99/81/1072439981.db2.gz QKFWCCKFVZBITD-VIFPVBQESA-N 0 0 431.854 -0.183 20 0 IBADRN CCc1onc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000535411304 1072439941 /nfs/dbraw/zinc/43/99/41/1072439941.db2.gz XLENEAHGKANJAJ-BETUJISGSA-N 0 0 443.526 -0.018 20 0 IBADRN CCc1onc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000535411305 1072440010 /nfs/dbraw/zinc/44/00/10/1072440010.db2.gz XLENEAHGKANJAJ-CHWSQXEVSA-N 0 0 443.526 -0.018 20 0 IBADRN CCc1onc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000535411306 1072439964 /nfs/dbraw/zinc/43/99/64/1072439964.db2.gz XLENEAHGKANJAJ-STQMWFEESA-N 0 0 443.526 -0.018 20 0 IBADRN CC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000535413241 1072440738 /nfs/dbraw/zinc/44/07/38/1072440738.db2.gz ZNMDMYFKPXKVQR-UHFFFAOYSA-N 0 0 430.461 -0.334 20 0 IBADRN COc1ccc(CN(CCO)C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(OC)c1 ZINC000535414126 1072440791 /nfs/dbraw/zinc/44/07/91/1072440791.db2.gz WQQHAQIVMKAXHW-UHFFFAOYSA-N 0 0 440.478 -0.570 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)CN(C)C(=O)COC)c(=O)[nH]c1=O ZINC000535414247 1072440689 /nfs/dbraw/zinc/44/06/89/1072440689.db2.gz FEPYACWYNQSNEX-UHFFFAOYSA-N 0 0 433.465 -0.185 20 0 IBADRN Cc1ccc([C@H](CNC(=O)CCN2C(=O)CCC2=O)NC(=O)CCN2C(=O)CCC2=O)o1 ZINC000535433223 1072440730 /nfs/dbraw/zinc/44/07/30/1072440730.db2.gz DTWJQZRRHCPADH-AWEZNQCLSA-N 0 0 446.460 -0.060 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)CCN2C(=O)CCC2=O)NC(=O)CCN2C(=O)CCC2=O)o1 ZINC000535433224 1072440831 /nfs/dbraw/zinc/44/08/31/1072440831.db2.gz DTWJQZRRHCPADH-CQSZACIVSA-N 0 0 446.460 -0.060 20 0 IBADRN CC[C@@H](C)[C@@H]1CN(C(=O)CN(C)C(=O)COC)CCN1C(=O)CN(C)C(=O)COC ZINC000535433349 1072440696 /nfs/dbraw/zinc/44/06/96/1072440696.db2.gz JIUOSXCGXATSCZ-CVEARBPZSA-N 0 0 428.530 -0.719 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)CN(C)C(=O)COC)CCN1C(=O)CN(C)C(=O)COC ZINC000535433350 1072440670 /nfs/dbraw/zinc/44/06/70/1072440670.db2.gz JIUOSXCGXATSCZ-HOTGVXAUSA-N 0 0 428.530 -0.719 20 0 IBADRN CC[C@@H](C)[C@H]1CN(C(=O)CN(C)C(=O)COC)CCN1C(=O)CN(C)C(=O)COC ZINC000535433351 1072440854 /nfs/dbraw/zinc/44/08/54/1072440854.db2.gz JIUOSXCGXATSCZ-HZPDHXFCSA-N 0 0 428.530 -0.719 20 0 IBADRN CC[C@H](C)[C@H]1CN(C(=O)CN(C)C(=O)COC)CCN1C(=O)CN(C)C(=O)COC ZINC000535433352 1072440717 /nfs/dbraw/zinc/44/07/17/1072440717.db2.gz JIUOSXCGXATSCZ-JKSUJKDBSA-N 0 0 428.530 -0.719 20 0 IBADRN COCC1(O)CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000535442758 1072440700 /nfs/dbraw/zinc/44/07/00/1072440700.db2.gz PPQJSDGSZAFABQ-IBGZPJMESA-N 0 0 433.505 -0.030 20 0 IBADRN COCC1(O)CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000535442802 1072440655 /nfs/dbraw/zinc/44/06/55/1072440655.db2.gz PPQJSDGSZAFABQ-LJQANCHMSA-N 0 0 433.505 -0.030 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1S(C)(=O)=O ZINC000535442922 1072440723 /nfs/dbraw/zinc/44/07/23/1072440723.db2.gz RWFYDIFJWYYEIU-UHFFFAOYSA-N 0 0 438.506 -0.067 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)C1 ZINC000535455647 1072441246 /nfs/dbraw/zinc/44/12/46/1072441246.db2.gz XNTUDKJJQJNBOZ-SSDOTTSWSA-N 0 0 440.297 -0.169 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)C1 ZINC000535455653 1072441155 /nfs/dbraw/zinc/44/11/55/1072441155.db2.gz XNTUDKJJQJNBOZ-ZETCQYMHSA-N 0 0 440.297 -0.169 20 0 IBADRN O=S(=O)(NC[C@]1(CCO)CCOC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000535457147 1072441328 /nfs/dbraw/zinc/44/13/28/1072441328.db2.gz GAXKBSUJPVDGRB-KRWDZBQOSA-N 0 0 434.536 -0.225 20 0 IBADRN O=S(=O)(NC[C@@]1(CCO)CCOC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000535457148 1072441386 /nfs/dbraw/zinc/44/13/86/1072441386.db2.gz GAXKBSUJPVDGRB-QGZVFWFLSA-N 0 0 434.536 -0.225 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NCC(=O)NCCO)c1 ZINC000535458015 1072441226 /nfs/dbraw/zinc/44/12/26/1072441226.db2.gz CAPLUCHPGAQCFN-UHFFFAOYSA-N 0 0 429.499 -0.997 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NS(=O)(=O)c3cc(C(N)=O)n(C)c3)C2)cc1 ZINC000535460966 1072441362 /nfs/dbraw/zinc/44/13/62/1072441362.db2.gz UKUUMRTZUYLDQC-UHFFFAOYSA-N 0 0 428.492 -0.516 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CCOCC1 ZINC000535470796 1072441196 /nfs/dbraw/zinc/44/11/96/1072441196.db2.gz XSYXSSCDEKRQRN-INIZCTEOSA-N 0 0 441.462 -0.284 20 0 IBADRN CCOC(=O)[C@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CCOCC1 ZINC000535470802 1072441380 /nfs/dbraw/zinc/44/13/80/1072441380.db2.gz XSYXSSCDEKRQRN-MRXNPFEDSA-N 0 0 441.462 -0.284 20 0 IBADRN CCN(C(=O)CN1CCN(C(=O)CO)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000535472899 1072441344 /nfs/dbraw/zinc/44/13/44/1072441344.db2.gz WRCFEBCVYSKVCC-UHFFFAOYSA-N 0 0 444.492 -0.931 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(OCC(F)F)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000535479497 1072441090 /nfs/dbraw/zinc/44/10/90/1072441090.db2.gz KKBIUTHMSQSLAI-CYBMUJFWSA-N 0 0 446.476 -0.213 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(OCC(F)F)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000535479506 1072441377 /nfs/dbraw/zinc/44/13/77/1072441377.db2.gz KKBIUTHMSQSLAI-ZDUSSCGKSA-N 0 0 446.476 -0.213 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3ccnn3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000535479548 1072441390 /nfs/dbraw/zinc/44/13/90/1072441390.db2.gz LPGFUQYBAINPHN-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3ccnn3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000535479554 1072441274 /nfs/dbraw/zinc/44/12/74/1072441274.db2.gz LPGFUQYBAINPHN-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)[C@@H]3CCCCN3C2=O)CC1 ZINC000535480410 1072441104 /nfs/dbraw/zinc/44/11/04/1072441104.db2.gz XJBVVQKXSNHDLA-AWEZNQCLSA-N 0 0 439.494 -0.059 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)[C@H]3CCCCN3C2=O)CC1 ZINC000535480415 1072441261 /nfs/dbraw/zinc/44/12/61/1072441261.db2.gz XJBVVQKXSNHDLA-CQSZACIVSA-N 0 0 439.494 -0.059 20 0 IBADRN COC(=O)[C@H](CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1ccc(F)cc1 ZINC000535486216 1072441931 /nfs/dbraw/zinc/44/19/31/1072441931.db2.gz JKRJMGMIGOKWBH-AWEZNQCLSA-N 0 0 447.423 -0.300 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1ccc(F)cc1 ZINC000535486217 1072441813 /nfs/dbraw/zinc/44/18/13/1072441813.db2.gz JKRJMGMIGOKWBH-CQSZACIVSA-N 0 0 447.423 -0.300 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Oc1ccc(F)cc1 ZINC000535491622 1072441932 /nfs/dbraw/zinc/44/19/32/1072441932.db2.gz SGJXWNCXSBQBCE-BJJXKVORSA-N 0 0 442.465 -0.102 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Oc1ccc(F)cc1 ZINC000535491628 1072441920 /nfs/dbraw/zinc/44/19/20/1072441920.db2.gz SGJXWNCXSBQBCE-INWMFGNUSA-N 0 0 442.465 -0.102 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Oc1ccc(F)cc1 ZINC000535491632 1072441927 /nfs/dbraw/zinc/44/19/27/1072441927.db2.gz SGJXWNCXSBQBCE-NOLJZWGESA-N 0 0 442.465 -0.102 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Oc1ccc(F)cc1 ZINC000535491634 1072441904 /nfs/dbraw/zinc/44/19/04/1072441904.db2.gz SGJXWNCXSBQBCE-XPKDYRNWSA-N 0 0 442.465 -0.102 20 0 IBADRN CN(C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C)[C@H]1CCNC1=O ZINC000535496591 1072441893 /nfs/dbraw/zinc/44/18/93/1072441893.db2.gz GJTUGPXLZMPXFP-KRWDZBQOSA-N 0 0 449.533 -0.126 20 0 IBADRN CN(C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C)[C@@H]1CCNC1=O ZINC000535496592 1072441937 /nfs/dbraw/zinc/44/19/37/1072441937.db2.gz GJTUGPXLZMPXFP-QGZVFWFLSA-N 0 0 449.533 -0.126 20 0 IBADRN COC(=O)[C@]1(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCSC1 ZINC000535500393 1072441882 /nfs/dbraw/zinc/44/18/82/1072441882.db2.gz ZYRGJAZQQKVFFW-HNNXBMFYSA-N 0 0 435.549 -0.173 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCSC1 ZINC000535500394 1072441773 /nfs/dbraw/zinc/44/17/73/1072441773.db2.gz ZYRGJAZQQKVFFW-OAHLLOKOSA-N 0 0 435.549 -0.173 20 0 IBADRN O=C(CO)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000535509268 1072441857 /nfs/dbraw/zinc/44/18/57/1072441857.db2.gz YLJBFIGEVSVKDG-UHFFFAOYSA-N 0 0 427.479 -0.821 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(C(=O)CO)CC3)CC2)cc1 ZINC000535510188 1072441830 /nfs/dbraw/zinc/44/18/30/1072441830.db2.gz HRXOAFBDFMDQAK-UHFFFAOYSA-N 0 0 425.507 -0.241 20 0 IBADRN Cc1c(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cnn1CCO[C@H]1CCCCO1 ZINC000535513131 1072441911 /nfs/dbraw/zinc/44/19/11/1072441911.db2.gz FDIWMKUUMZRTPY-KRWDZBQOSA-N 0 0 429.543 -0.219 20 0 IBADRN Cc1c(C(=O)N2CCN(CCS(N)(=O)=O)CC2)cnn1CCO[C@@H]1CCCCO1 ZINC000535513150 1072441923 /nfs/dbraw/zinc/44/19/23/1072441923.db2.gz FDIWMKUUMZRTPY-QGZVFWFLSA-N 0 0 429.543 -0.219 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000535515269 1072441787 /nfs/dbraw/zinc/44/17/87/1072441787.db2.gz BMQAGGHAJJXGAU-UHFFFAOYSA-N 0 0 433.446 -0.139 20 0 IBADRN O=C(CN1C(=O)NC2(CCC(=O)CC2)C1=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000535515273 1072441935 /nfs/dbraw/zinc/44/19/35/1072441935.db2.gz BISRYAGIDGTETD-UHFFFAOYSA-N 0 0 448.501 -0.047 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@H]3C[C@H](O)CN3Cc3ccccc3)cnc21 ZINC000535521243 1072442484 /nfs/dbraw/zinc/44/24/84/1072442484.db2.gz WRQZKTXJNNWOON-CABCVRRESA-N 0 0 445.501 -0.052 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H]3C[C@H](O)CN3Cc3ccccc3)cnc21 ZINC000535521244 1072442364 /nfs/dbraw/zinc/44/23/64/1072442364.db2.gz WRQZKTXJNNWOON-GJZGRUSLSA-N 0 0 445.501 -0.052 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@H]3C[C@@H](O)CN3Cc3ccccc3)cnc21 ZINC000535521245 1072442624 /nfs/dbraw/zinc/44/26/24/1072442624.db2.gz WRQZKTXJNNWOON-HUUCEWRRSA-N 0 0 445.501 -0.052 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NC[C@@H]3C[C@@H](O)CN3Cc3ccccc3)cnc21 ZINC000535521247 1072442524 /nfs/dbraw/zinc/44/25/24/1072442524.db2.gz WRQZKTXJNNWOON-LSDHHAIUSA-N 0 0 445.501 -0.052 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)o1 ZINC000535539671 1072443167 /nfs/dbraw/zinc/44/31/67/1072443167.db2.gz VHYOBEGVKOCROX-UHFFFAOYSA-N 0 0 429.476 -0.001 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)cc21 ZINC000535543413 1072444444 /nfs/dbraw/zinc/44/44/44/1072444444.db2.gz LURXDYIUYFWVLU-UHFFFAOYSA-N 0 0 426.437 -0.499 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000535545472 1072443254 /nfs/dbraw/zinc/44/32/54/1072443254.db2.gz IPRINSKBPGXMTM-CABCVRRESA-N 0 0 431.536 -0.059 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000535545475 1072443140 /nfs/dbraw/zinc/44/31/40/1072443140.db2.gz IPRINSKBPGXMTM-GJZGRUSLSA-N 0 0 431.536 -0.059 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000535545476 1072443189 /nfs/dbraw/zinc/44/31/89/1072443189.db2.gz IPRINSKBPGXMTM-HUUCEWRRSA-N 0 0 431.536 -0.059 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000535545477 1072443272 /nfs/dbraw/zinc/44/32/72/1072443272.db2.gz IPRINSKBPGXMTM-LSDHHAIUSA-N 0 0 431.536 -0.059 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000535545641 1072443212 /nfs/dbraw/zinc/44/32/12/1072443212.db2.gz KRWAKZUAMNAJQF-AEFFLSMTSA-N 0 0 439.534 -0.165 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000535545642 1072443208 /nfs/dbraw/zinc/44/32/08/1072443208.db2.gz KRWAKZUAMNAJQF-FUHWJXTLSA-N 0 0 439.534 -0.165 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000535545643 1072443051 /nfs/dbraw/zinc/44/30/51/1072443051.db2.gz KRWAKZUAMNAJQF-SJLPKXTDSA-N 0 0 439.534 -0.165 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000535545644 1072443275 /nfs/dbraw/zinc/44/32/75/1072443275.db2.gz KRWAKZUAMNAJQF-WMZOPIPTSA-N 0 0 439.534 -0.165 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCN([C@@H](C(N)=O)c4ccccc4)CC3)cc21 ZINC000535547581 1072443721 /nfs/dbraw/zinc/44/37/21/1072443721.db2.gz GDJFGVZPSJVGKK-GOSISDBHSA-N 0 0 449.471 -0.029 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCN([C@H](C(N)=O)c4ccccc4)CC3)cc21 ZINC000535547587 1072443772 /nfs/dbraw/zinc/44/37/72/1072443772.db2.gz GDJFGVZPSJVGKK-SFHVURJKSA-N 0 0 449.471 -0.029 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000535549527 1072443850 /nfs/dbraw/zinc/44/38/50/1072443850.db2.gz WBWPOVJDULEAIL-GFCCVEGCSA-N 0 0 434.540 -0.492 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000535549534 1072443653 /nfs/dbraw/zinc/44/36/53/1072443653.db2.gz WBWPOVJDULEAIL-LBPRGKRZSA-N 0 0 434.540 -0.492 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000535550439 1072443637 /nfs/dbraw/zinc/44/36/37/1072443637.db2.gz TXISGBAWDRITHU-UHFFFAOYSA-N 0 0 448.447 -0.374 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000535565395 1072445059 /nfs/dbraw/zinc/44/50/59/1072445059.db2.gz ZNRJDHKYSUXFGP-KRWDZBQOSA-N 0 0 445.563 -0.080 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000535565396 1072499762 /nfs/dbraw/zinc/49/97/62/1072499762.db2.gz ZNRJDHKYSUXFGP-QGZVFWFLSA-N 0 0 445.563 -0.080 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC2(CC1)NC(=O)N(C)C2=O ZINC000535565739 1072499845 /nfs/dbraw/zinc/49/98/45/1072499845.db2.gz CXMWAPKMBDLVOW-GFCCVEGCSA-N 0 0 435.506 -0.372 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC2(CC1)NC(=O)N(C)C2=O ZINC000535565752 1072499775 /nfs/dbraw/zinc/49/97/75/1072499775.db2.gz CXMWAPKMBDLVOW-LBPRGKRZSA-N 0 0 435.506 -0.372 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CCN1c1nccn2cnnc12 ZINC000535565796 1072444303 /nfs/dbraw/zinc/44/43/03/1072444303.db2.gz CSEZHXQQYRVWJG-LLVKDONJSA-N 0 0 448.447 -0.225 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CCN1c1nccn2cnnc12 ZINC000535565803 1072444476 /nfs/dbraw/zinc/44/44/76/1072444476.db2.gz CSEZHXQQYRVWJG-NSHDSACASA-N 0 0 448.447 -0.225 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000535566701 1072444488 /nfs/dbraw/zinc/44/44/88/1072444488.db2.gz PDKXKSSWSMUAQZ-CYBMUJFWSA-N 0 0 434.540 -0.842 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000535566712 1072444235 /nfs/dbraw/zinc/44/42/35/1072444235.db2.gz PDKXKSSWSMUAQZ-ZDUSSCGKSA-N 0 0 434.540 -0.842 20 0 IBADRN CN1C(=O)NC2(CCN(CC(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)CC2)C1=O ZINC000535567186 1072444394 /nfs/dbraw/zinc/44/43/94/1072444394.db2.gz UNXSOOXQIMLUNZ-UHFFFAOYSA-N 0 0 449.533 -0.464 20 0 IBADRN CC(C)Cn1ncc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C(F)F ZINC000535567709 1072445033 /nfs/dbraw/zinc/44/50/33/1072445033.db2.gz UFFZJJXEBYQKGT-UHFFFAOYSA-N 0 0 439.427 -0.227 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCC[C@@H](C(=O)N4CCOCC4)C3)cc21 ZINC000535568871 1072445116 /nfs/dbraw/zinc/44/51/16/1072445116.db2.gz CLVCLXAHERTEAR-GFCCVEGCSA-N 0 0 428.449 -0.302 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCC[C@H](C(=O)N4CCOCC4)C3)cc21 ZINC000535568872 1072444975 /nfs/dbraw/zinc/44/49/75/1072444975.db2.gz CLVCLXAHERTEAR-LBPRGKRZSA-N 0 0 428.449 -0.302 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000535570225 1072445124 /nfs/dbraw/zinc/44/51/24/1072445124.db2.gz QWLCCZQQYFLWLC-UHFFFAOYSA-N 0 0 429.481 -0.387 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2nc1C1CC1 ZINC000535570457 1072444999 /nfs/dbraw/zinc/44/49/99/1072444999.db2.gz VUNFXSBKNRKWCF-UHFFFAOYSA-N 0 0 434.522 -0.178 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)cc21 ZINC000535571047 1072444958 /nfs/dbraw/zinc/44/49/58/1072444958.db2.gz WFRGGKIKONYBNQ-UHFFFAOYSA-N 0 0 441.492 -0.339 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000535571621 1072445105 /nfs/dbraw/zinc/44/51/05/1072445105.db2.gz SVBWWXZXEHKXTQ-CYBMUJFWSA-N 0 0 441.492 -0.245 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000535571622 1072445014 /nfs/dbraw/zinc/44/50/14/1072445014.db2.gz SVBWWXZXEHKXTQ-ZDUSSCGKSA-N 0 0 441.492 -0.245 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCc2cn(CC(=O)OC)nn2)c(OC)c1 ZINC000535578614 1072445068 /nfs/dbraw/zinc/44/50/68/1072445068.db2.gz FKCBENGQYUKEJZ-UHFFFAOYSA-N 0 0 447.495 -0.300 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(c3nccn3C)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000535580697 1072445139 /nfs/dbraw/zinc/44/51/39/1072445139.db2.gz UDYSKGFEPMJNTP-IBGZPJMESA-N 0 0 448.505 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(c3nccn3C)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000535580722 1072445149 /nfs/dbraw/zinc/44/51/49/1072445149.db2.gz UDYSKGFEPMJNTP-LJQANCHMSA-N 0 0 448.505 -0.006 20 0 IBADRN NS(=O)(=O)C1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000535589149 1072445431 /nfs/dbraw/zinc/44/54/31/1072445431.db2.gz BSMUFIHWTSUTBO-UHFFFAOYSA-N 0 0 441.529 -0.339 20 0 IBADRN CCCCN(C(=O)CN1CCC(S(N)(=O)=O)CC1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000535589460 1072445617 /nfs/dbraw/zinc/44/56/17/1072445617.db2.gz LBLQMAXGZHBIAQ-UHFFFAOYSA-N 0 0 444.558 -0.173 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCC(S(N)(=O)=O)CC1 ZINC000535589714 1072445519 /nfs/dbraw/zinc/44/55/19/1072445519.db2.gz SCVBXLQLUUZLCH-UHFFFAOYSA-N 0 0 444.579 -0.031 20 0 IBADRN NS(=O)(=O)C1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000535589735 1072445578 /nfs/dbraw/zinc/44/55/78/1072445578.db2.gz SVQZSJIDLUJMCB-UHFFFAOYSA-N 0 0 448.542 -0.589 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC(S(N)(=O)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000535590023 1072445381 /nfs/dbraw/zinc/44/53/81/1072445381.db2.gz WTFJXJFZGUXLOJ-UHFFFAOYSA-N 0 0 449.533 -0.404 20 0 IBADRN NS(=O)(=O)C1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000535590175 1072445567 /nfs/dbraw/zinc/44/55/67/1072445567.db2.gz KWROOYWPIBBUOE-UHFFFAOYSA-N 0 0 446.551 -0.601 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000535590868 1072445603 /nfs/dbraw/zinc/44/56/03/1072445603.db2.gz GMFUFXYCRZMCEH-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN NS(=O)(=O)C1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000535591017 1072445402 /nfs/dbraw/zinc/44/54/02/1072445402.db2.gz XCTQIRBAFCTDPP-UHFFFAOYSA-N 0 0 448.542 -0.589 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000535591114 1072445628 /nfs/dbraw/zinc/44/56/28/1072445628.db2.gz KQUGZEOLEVNWQR-UHFFFAOYSA-N 0 0 447.583 -0.631 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)c(OC)c1 ZINC000535594293 1072444894 /nfs/dbraw/zinc/44/48/94/1072444894.db2.gz QSPFVBPLDHFZGC-UHFFFAOYSA-N 0 0 441.553 -0.229 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1ccc(NS(=O)(=O)CC)cc1OC ZINC000535594462 1072445045 /nfs/dbraw/zinc/44/50/45/1072445045.db2.gz USHWXIXGKYVBEF-UHFFFAOYSA-N 0 0 437.496 -0.504 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000535595018 1072445589 /nfs/dbraw/zinc/44/55/89/1072445589.db2.gz QSOHRMMPIQBLOK-UHFFFAOYSA-N 0 0 441.553 -0.277 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)c(OC)c1 ZINC000535595583 1072445543 /nfs/dbraw/zinc/44/55/43/1072445543.db2.gz FTCCCFXXRQZJML-UHFFFAOYSA-N 0 0 448.567 -0.101 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCNS(C)(=O)=O)c(OC)c1 ZINC000535597293 1072445503 /nfs/dbraw/zinc/44/55/03/1072445503.db2.gz HBEOOBVFMYWIPQ-UHFFFAOYSA-N 0 0 429.542 -0.326 20 0 IBADRN COc1cccc(OC)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000535597711 1072445534 /nfs/dbraw/zinc/44/55/34/1072445534.db2.gz GNFRTQXPPTVVOA-AWEZNQCLSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1cccc(OC)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000535597716 1072445613 /nfs/dbraw/zinc/44/56/13/1072445613.db2.gz GNFRTQXPPTVVOA-CQSZACIVSA-N 0 0 425.507 -0.235 20 0 IBADRN Cc1ccccc1-n1nccc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000535605949 1070817385 /nfs/dbraw/zinc/81/73/85/1070817385.db2.gz GMBMUNYGARRMFU-AWEZNQCLSA-N 0 0 433.490 -0.071 20 0 IBADRN Cc1ccccc1-n1nccc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000535605956 1070814728 /nfs/dbraw/zinc/81/47/28/1070814728.db2.gz GMBMUNYGARRMFU-CQSZACIVSA-N 0 0 433.490 -0.071 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)C(=O)Nc2c3c(nn2C)CCC3)c1 ZINC000535628081 1070836218 /nfs/dbraw/zinc/83/62/18/1070836218.db2.gz ZYJITNHFXNSTIW-LLVKDONJSA-N 0 0 448.505 -0.101 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)C(=O)Nc2c3c(nn2C)CCC3)c1 ZINC000535628082 1070835649 /nfs/dbraw/zinc/83/56/49/1070835649.db2.gz ZYJITNHFXNSTIW-NSHDSACASA-N 0 0 448.505 -0.101 20 0 IBADRN CC(=O)N(CCc1ccccc1)CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000535629358 1070836579 /nfs/dbraw/zinc/83/65/79/1070836579.db2.gz YETVFACUTXZTSC-UHFFFAOYSA-N 0 0 438.550 -0.201 20 0 IBADRN CC(C)Cn1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(C(F)F)n1 ZINC000535643517 1070940474 /nfs/dbraw/zinc/94/04/74/1070940474.db2.gz YDUYFVFMLHOLIT-UHFFFAOYSA-N 0 0 436.485 -0.152 20 0 IBADRN COC(=O)c1sccc1N1C(=O)C[C@@H](N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000535665958 1071034381 /nfs/dbraw/zinc/03/43/81/1071034381.db2.gz NETZVZSQVSIUEO-CYBMUJFWSA-N 0 0 434.474 -0.411 20 0 IBADRN COC(=O)c1sccc1N1C(=O)C[C@H](N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000535665963 1071035421 /nfs/dbraw/zinc/03/54/21/1071035421.db2.gz NETZVZSQVSIUEO-ZDUSSCGKSA-N 0 0 434.474 -0.411 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(Cc2nc3ccccc3[nH]2)CC1 ZINC000535668578 1071037977 /nfs/dbraw/zinc/03/79/77/1071037977.db2.gz WGDOQGKKOWPCBP-CYBMUJFWSA-N 0 0 425.493 -0.069 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(Cc2nc3ccccc3[nH]2)CC1 ZINC000535668582 1071038553 /nfs/dbraw/zinc/03/85/53/1071038553.db2.gz WGDOQGKKOWPCBP-ZDUSSCGKSA-N 0 0 425.493 -0.069 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000535674534 1071038763 /nfs/dbraw/zinc/03/87/63/1071038763.db2.gz GWPXAKIEIYBVMU-GFCCVEGCSA-N 0 0 427.483 -0.009 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC[C@H](NC(N)=O)C1 ZINC000535674540 1071038498 /nfs/dbraw/zinc/03/84/98/1071038498.db2.gz GWPXAKIEIYBVMU-LBPRGKRZSA-N 0 0 427.483 -0.009 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CCN1CC(F)(F)F ZINC000535677419 1071038742 /nfs/dbraw/zinc/03/87/42/1071038742.db2.gz TUWRNALRURDGNZ-CABCVRRESA-N 0 0 426.505 -0.114 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CCN1CC(F)(F)F ZINC000535677423 1071038615 /nfs/dbraw/zinc/03/86/15/1071038615.db2.gz TUWRNALRURDGNZ-GJZGRUSLSA-N 0 0 426.505 -0.114 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CCN1CC(F)(F)F ZINC000535677426 1071038635 /nfs/dbraw/zinc/03/86/35/1071038635.db2.gz TUWRNALRURDGNZ-HUUCEWRRSA-N 0 0 426.505 -0.114 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CCN1CC(F)(F)F ZINC000535677428 1071038646 /nfs/dbraw/zinc/03/86/46/1071038646.db2.gz TUWRNALRURDGNZ-LSDHHAIUSA-N 0 0 426.505 -0.114 20 0 IBADRN NC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C1 ZINC000535678403 1071038778 /nfs/dbraw/zinc/03/87/78/1071038778.db2.gz APQDBZFWSNBSAJ-AWEZNQCLSA-N 0 0 429.930 -0.085 20 0 IBADRN NC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C1 ZINC000535678443 1071038517 /nfs/dbraw/zinc/03/85/17/1071038517.db2.gz APQDBZFWSNBSAJ-CQSZACIVSA-N 0 0 429.930 -0.085 20 0 IBADRN NC(=O)N[C@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000535680268 1071039287 /nfs/dbraw/zinc/03/92/87/1071039287.db2.gz KRILEGONRWYNLM-HNNXBMFYSA-N 0 0 425.511 -0.934 20 0 IBADRN NC(=O)N[C@@H]1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000535680273 1071038704 /nfs/dbraw/zinc/03/87/04/1071038704.db2.gz KRILEGONRWYNLM-OAHLLOKOSA-N 0 0 425.511 -0.934 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](NC(N)=O)C3)CC2)cc1 ZINC000535681522 1071039396 /nfs/dbraw/zinc/03/93/96/1071039396.db2.gz SRESOPXBHUADMF-AWEZNQCLSA-N 0 0 425.511 -0.729 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](NC(N)=O)C3)CC2)cc1 ZINC000535681542 1071039192 /nfs/dbraw/zinc/03/91/92/1071039192.db2.gz SRESOPXBHUADMF-CQSZACIVSA-N 0 0 425.511 -0.729 20 0 IBADRN NC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC000535693083 1071038592 /nfs/dbraw/zinc/03/85/92/1071038592.db2.gz DUVFFMGFTQCDIQ-SECBINFHSA-N 0 0 430.430 -0.042 20 0 IBADRN NC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC000535693092 1071039162 /nfs/dbraw/zinc/03/91/62/1071039162.db2.gz DUVFFMGFTQCDIQ-VIFPVBQESA-N 0 0 430.430 -0.042 20 0 IBADRN CN(C)C(=O)CNC(=O)COc1cn(-c2ccccc2)nc1C(=O)NCC(=O)N(C)C ZINC000535696364 1071039435 /nfs/dbraw/zinc/03/94/35/1071039435.db2.gz LBBVZRNWOGBIIX-UHFFFAOYSA-N 0 0 430.465 -0.727 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2cccnc2)CC1 ZINC000535698570 1071039379 /nfs/dbraw/zinc/03/93/79/1071039379.db2.gz IPOBYEKZPWGBKH-UHFFFAOYSA-N 0 0 425.511 -0.800 20 0 IBADRN CCS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000535704116 1071039217 /nfs/dbraw/zinc/03/92/17/1071039217.db2.gz FGOOUFNXIQIEOZ-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(C(=O)c2cccnc2)CC1 ZINC000535705862 1071039242 /nfs/dbraw/zinc/03/92/42/1071039242.db2.gz SVEVGXQLLBNPKE-UHFFFAOYSA-N 0 0 445.457 -0.379 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)Cn2c(=O)c(I)cn(C)c2=O)C1 ZINC000535711565 1071039231 /nfs/dbraw/zinc/03/92/31/1071039231.db2.gz JUWKIPJVIHTFCT-JTQLQIEISA-N 0 0 434.234 -0.721 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)Cn2c(=O)c(I)cn(C)c2=O)C1 ZINC000535711579 1071039757 /nfs/dbraw/zinc/03/97/57/1071039757.db2.gz JUWKIPJVIHTFCT-SNVBAGLBSA-N 0 0 434.234 -0.721 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCCNc3cnccn3)nc2n(CC)c1=O ZINC000535715422 1071039319 /nfs/dbraw/zinc/03/93/19/1071039319.db2.gz ZAVJFWBPRKHOCZ-UHFFFAOYSA-N 0 0 426.481 -0.181 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N=c3ccn(CC(N)=O)[nH]3)nc2n(CC)c1=O ZINC000535715435 1071039332 /nfs/dbraw/zinc/03/93/32/1071039332.db2.gz XMIJRHSZOWWRPA-UHFFFAOYSA-N 0 0 428.453 -0.879 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCC[C@@H]1C(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000535718436 1071039873 /nfs/dbraw/zinc/03/98/73/1071039873.db2.gz VZGFYCSLILVIJS-LUKYLMHMSA-N 0 0 438.525 -0.870 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCC[C@H]1C(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000535718468 1071039716 /nfs/dbraw/zinc/03/97/16/1071039716.db2.gz VZGFYCSLILVIJS-MWDXBVQZSA-N 0 0 438.525 -0.870 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CCC[C@H]1C(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000535718472 1071039775 /nfs/dbraw/zinc/03/97/75/1071039775.db2.gz VZGFYCSLILVIJS-YYIAUSFCSA-N 0 0 438.525 -0.870 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CCC[C@H]1C(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000535718474 1071039844 /nfs/dbraw/zinc/03/98/44/1071039844.db2.gz VZGFYCSLILVIJS-ZYGGUILKSA-N 0 0 438.525 -0.870 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)[C@H](C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000535721252 1071039864 /nfs/dbraw/zinc/03/98/64/1071039864.db2.gz OVPPTSNGGMRXJV-GFCCVEGCSA-N 0 0 445.476 -0.031 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)[C@@H](C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000535721253 1071039951 /nfs/dbraw/zinc/03/99/51/1071039951.db2.gz OVPPTSNGGMRXJV-LBPRGKRZSA-N 0 0 445.476 -0.031 20 0 IBADRN Cn1c(C(=O)N(CC(=O)N2CCCC2)C[C@@H]2CCCO2)cc2c1n(C)c(=O)n(C)c2=O ZINC000535728729 1071039883 /nfs/dbraw/zinc/03/98/83/1071039883.db2.gz RKQZJOJODVMUSN-AWEZNQCLSA-N 0 0 431.493 -0.181 20 0 IBADRN Cn1c(C(=O)N(CC(=O)N2CCCC2)C[C@H]2CCCO2)cc2c1n(C)c(=O)n(C)c2=O ZINC000535728736 1071039764 /nfs/dbraw/zinc/03/97/64/1071039764.db2.gz RKQZJOJODVMUSN-CQSZACIVSA-N 0 0 431.493 -0.181 20 0 IBADRN O=C(CCNC(=O)c1cn2cccnc2n1)N1CCN(C(=O)c2cn3cccnc3n2)CC1 ZINC000535732798 1071040616 /nfs/dbraw/zinc/04/06/16/1071040616.db2.gz RFAGNMVTHODBEH-UHFFFAOYSA-N 0 0 447.459 -0.124 20 0 IBADRN Cn1c(C(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000535733894 1071040600 /nfs/dbraw/zinc/04/06/00/1071040600.db2.gz LYXLRRVQLPNXKC-UHFFFAOYSA-N 0 0 445.501 -0.002 20 0 IBADRN CN(CCN(C)C(=O)CCC(=O)N1CCOCC1)C(=O)CCC(=O)N1CCOCC1 ZINC000535734410 1071040433 /nfs/dbraw/zinc/04/04/33/1071040433.db2.gz SJRMCPYDOHLBPH-UHFFFAOYSA-N 0 0 426.514 -0.819 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NS(=O)(=O)c1c[nH]c(C(=O)N[C@H]2CCC[C@H]2C(=O)NC)c1 ZINC000535737650 1071039739 /nfs/dbraw/zinc/03/97/39/1071039739.db2.gz OTCIWGAVIPQQFR-APIJFGDWSA-N 0 0 439.538 -0.148 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H]2C(=O)NC)c[nH]1 ZINC000535737656 1071039931 /nfs/dbraw/zinc/03/99/31/1071039931.db2.gz OTCIWGAVIPQQFR-CBBWQLFWSA-N 0 0 439.538 -0.148 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@H]2C(=O)NC)c[nH]1 ZINC000535737657 1071039723 /nfs/dbraw/zinc/03/97/23/1071039723.db2.gz OTCIWGAVIPQQFR-KBXIAJHMSA-N 0 0 439.538 -0.148 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@@H]2C(=O)NC)c[nH]1 ZINC000535737659 1071039939 /nfs/dbraw/zinc/03/99/39/1071039939.db2.gz OTCIWGAVIPQQFR-QPSCCSFWSA-N 0 0 439.538 -0.148 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)cc1C ZINC000535770134 1073314841 /nfs/dbraw/zinc/31/48/41/1073314841.db2.gz GUIPYFFJLAQGHD-UHFFFAOYSA-N 0 0 427.509 -0.186 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)C1 ZINC000535776360 1071040467 /nfs/dbraw/zinc/04/04/67/1071040467.db2.gz YPGLDTHYJBEGQV-HNNXBMFYSA-N 0 0 438.506 -0.444 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)C1 ZINC000535776361 1071040729 /nfs/dbraw/zinc/04/07/29/1071040729.db2.gz YPGLDTHYJBEGQV-OAHLLOKOSA-N 0 0 438.506 -0.444 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c(OC)c1 ZINC000535778712 1071040382 /nfs/dbraw/zinc/04/03/82/1071040382.db2.gz YFSVTRGRJCWLFE-UHFFFAOYSA-N 0 0 431.449 -0.450 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000535792283 1071040633 /nfs/dbraw/zinc/04/06/33/1071040633.db2.gz NQTDBJIIKKOHOM-UHFFFAOYSA-N 0 0 443.497 -0.342 20 0 IBADRN COc1ccc(CCNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1S(N)(=O)=O ZINC000535808515 1071041296 /nfs/dbraw/zinc/04/12/96/1071041296.db2.gz JWPODOFMWIIUQO-UHFFFAOYSA-N 0 0 447.473 -0.739 20 0 IBADRN COCCCS(=O)(=O)N1CCN(Cc2cnn([C@@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000535808657 1071041219 /nfs/dbraw/zinc/04/12/19/1071041219.db2.gz QJYGLMOOOQXWJY-KRWDZBQOSA-N 0 0 434.584 -0.099 20 0 IBADRN COCCCS(=O)(=O)N1CCN(Cc2cnn([C@]3(C)CCS(=O)(=O)C3)c2)CC1 ZINC000535808658 1071041311 /nfs/dbraw/zinc/04/13/11/1071041311.db2.gz QJYGLMOOOQXWJY-QGZVFWFLSA-N 0 0 434.584 -0.099 20 0 IBADRN COc1ccc(CCNC(=O)CN(C)S(=O)(=O)c2cccnc2)cc1S(N)(=O)=O ZINC000535811639 1071040410 /nfs/dbraw/zinc/04/04/10/1071040410.db2.gz IGRZFIODYPAQAZ-UHFFFAOYSA-N 0 0 442.519 -0.283 20 0 IBADRN COC(=O)C1CCC(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)(C(=O)OC)CC1 ZINC000535813420 1071040662 /nfs/dbraw/zinc/04/06/62/1071040662.db2.gz PSCRBKUXKBQYKV-UHFFFAOYSA-N 0 0 434.449 -0.570 20 0 IBADRN COC(=O)C1CCC(NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)(C(=O)OC)CC1 ZINC000535814285 1071041338 /nfs/dbraw/zinc/04/13/38/1071041338.db2.gz FJEWADLQBNTRTR-UHFFFAOYSA-N 0 0 432.433 -0.363 20 0 IBADRN COC(=O)C1CCC(NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)(C(=O)OC)CC1 ZINC000535815919 1071041244 /nfs/dbraw/zinc/04/12/44/1071041244.db2.gz LPAZCNNBWWNHFM-UHFFFAOYSA-N 0 0 432.433 -0.363 20 0 IBADRN COc1ccc(CCNC(=O)CSCC(=O)N2CCOCC2)cc1S(N)(=O)=O ZINC000535817197 1071040963 /nfs/dbraw/zinc/04/09/63/1071040963.db2.gz DZYDLYTXZTTYIZ-UHFFFAOYSA-N 0 0 431.536 -0.407 20 0 IBADRN COC(=O)C1CCC(NC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)(C(=O)OC)CC1 ZINC000535817263 1071041174 /nfs/dbraw/zinc/04/11/74/1071041174.db2.gz IMOCPUMWCSZRMJ-UHFFFAOYSA-N 0 0 440.474 -0.050 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC2(C(=O)OC)CCC(C(=O)OC)CC2)c1 ZINC000535817961 1071041193 /nfs/dbraw/zinc/04/11/93/1071041193.db2.gz QZDIVFFLFPZUDS-UHFFFAOYSA-N 0 0 445.494 -0.056 20 0 IBADRN COc1ccc(CCNC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)cc1S(N)(=O)=O ZINC000535818118 1071041230 /nfs/dbraw/zinc/04/12/30/1071041230.db2.gz ZHGDLIUCJHYJSL-UHFFFAOYSA-N 0 0 433.446 -0.337 20 0 IBADRN COC(=O)C1CCC(NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)(C(=O)OC)CC1 ZINC000535818791 1071042307 /nfs/dbraw/zinc/04/23/07/1071042307.db2.gz FRVMKCBQGUOZEZ-UHFFFAOYSA-N 0 0 444.510 -0.212 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000535818864 1071042219 /nfs/dbraw/zinc/04/22/19/1071042219.db2.gz JSRGMZCHAQPGJX-UHFFFAOYSA-N 0 0 443.503 -0.029 20 0 IBADRN COC(=O)C1CCC(NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)(C(=O)OC)CC1 ZINC000535818906 1071042279 /nfs/dbraw/zinc/04/22/79/1071042279.db2.gz LVGQGXLROQMONF-UHFFFAOYSA-N 0 0 430.483 -0.602 20 0 IBADRN COC(=O)C1CCC(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)(C(=O)OC)CC1 ZINC000535819024 1071042405 /nfs/dbraw/zinc/04/24/05/1071042405.db2.gz RTLMLJLDXZUYAS-UHFFFAOYSA-N 0 0 434.449 -0.420 20 0 IBADRN COc1ccc(CCNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1S(N)(=O)=O ZINC000535819062 1071042255 /nfs/dbraw/zinc/04/22/55/1071042255.db2.gz URLZHUHRVIVKHU-LLVKDONJSA-N 0 0 445.523 -0.898 20 0 IBADRN COc1ccc(CCNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1S(N)(=O)=O ZINC000535819063 1071042206 /nfs/dbraw/zinc/04/22/06/1071042206.db2.gz URLZHUHRVIVKHU-NSHDSACASA-N 0 0 445.523 -0.898 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CC1 ZINC000535820822 1071042959 /nfs/dbraw/zinc/04/29/59/1071042959.db2.gz UDTFNNRKXWKOQC-UHFFFAOYSA-N 0 0 439.534 -0.027 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000535824499 1071043440 /nfs/dbraw/zinc/04/34/40/1071043440.db2.gz GVMCELGVLAQDDI-UHFFFAOYSA-N 0 0 427.527 -0.151 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000535827348 1071043639 /nfs/dbraw/zinc/04/36/39/1071043639.db2.gz DSLVFRRTWWJXLC-UHFFFAOYSA-N 0 0 432.520 -0.162 20 0 IBADRN O=C(Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000535829772 1071043467 /nfs/dbraw/zinc/04/34/67/1071043467.db2.gz ZRJQKVZSQLVHEK-DOTOQJQBSA-N 0 0 429.477 -0.444 20 0 IBADRN O=C(Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000535829773 1071043392 /nfs/dbraw/zinc/04/33/92/1071043392.db2.gz ZRJQKVZSQLVHEK-NVXWUHKLSA-N 0 0 429.477 -0.444 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000535829774 1071043512 /nfs/dbraw/zinc/04/35/12/1071043512.db2.gz ZRJQKVZSQLVHEK-RDJZCZTQSA-N 0 0 429.477 -0.444 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000535829775 1071043548 /nfs/dbraw/zinc/04/35/48/1071043548.db2.gz ZRJQKVZSQLVHEK-WBVHZDCISA-N 0 0 429.477 -0.444 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1 ZINC000535829908 1071043531 /nfs/dbraw/zinc/04/35/31/1071043531.db2.gz FPTLEAHCOIOICU-UHFFFAOYSA-N 0 0 439.534 -0.172 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(O)(C(=O)OC)CC2)c1 ZINC000535832379 1071044182 /nfs/dbraw/zinc/04/41/82/1071044182.db2.gz WZZOYHBBTMREDP-UHFFFAOYSA-N 0 0 429.495 -0.595 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000535834819 1071044073 /nfs/dbraw/zinc/04/40/73/1071044073.db2.gz VNFUJXBOUZMILV-UHFFFAOYSA-N 0 0 435.506 -0.897 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000535836067 1071044046 /nfs/dbraw/zinc/04/40/46/1071044046.db2.gz BNECKDWDECOZIE-CYBMUJFWSA-N 0 0 438.506 -0.145 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000535836068 1071044064 /nfs/dbraw/zinc/04/40/64/1071044064.db2.gz BNECKDWDECOZIE-ZDUSSCGKSA-N 0 0 438.506 -0.145 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000535836353 1071044153 /nfs/dbraw/zinc/04/41/53/1071044153.db2.gz RZKICMIADSBIEC-CYBMUJFWSA-N 0 0 436.581 -0.054 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000535836354 1071044167 /nfs/dbraw/zinc/04/41/67/1071044167.db2.gz RZKICMIADSBIEC-ZDUSSCGKSA-N 0 0 436.581 -0.054 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000535837476 1071044085 /nfs/dbraw/zinc/04/40/85/1071044085.db2.gz CPXQZXZXOJADHC-UHFFFAOYSA-N 0 0 449.489 -0.390 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000535841048 1071044134 /nfs/dbraw/zinc/04/41/34/1071044134.db2.gz XKAUKTDPEKARPO-UHFFFAOYSA-N 0 0 433.433 -0.229 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000535842581 1071044021 /nfs/dbraw/zinc/04/40/21/1071044021.db2.gz AWRAWUZARIOSMT-UHFFFAOYSA-N 0 0 439.494 -0.838 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@]2(O)CCOC2)ccc1S(=O)(=O)N1CCCC1 ZINC000535843115 1071044036 /nfs/dbraw/zinc/04/40/36/1071044036.db2.gz GEJNGISBUCYROO-GOSISDBHSA-N 0 0 427.479 -0.314 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@]2(O)CCOC2)ccc1S(=O)(=O)N1CCCC1 ZINC000535843117 1071044591 /nfs/dbraw/zinc/04/45/91/1071044591.db2.gz GEJNGISBUCYROO-SFHVURJKSA-N 0 0 427.479 -0.314 20 0 IBADRN O=C(NC[C@@]1(O)CCOC1)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000535843133 1071044632 /nfs/dbraw/zinc/04/46/32/1071044632.db2.gz GUIGXSGQYKTIRT-KRWDZBQOSA-N 0 0 447.897 -0.433 20 0 IBADRN O=C(NC[C@]1(O)CCOC1)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000535843137 1071044688 /nfs/dbraw/zinc/04/46/88/1071044688.db2.gz GUIGXSGQYKTIRT-QGZVFWFLSA-N 0 0 447.897 -0.433 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NC[C@]2(O)CCOC2)c1 ZINC000535843327 1071044233 /nfs/dbraw/zinc/04/42/33/1071044233.db2.gz LNRHZAHOICZSRC-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NC[C@@]2(O)CCOC2)c1 ZINC000535843329 1071044224 /nfs/dbraw/zinc/04/42/24/1071044224.db2.gz LNRHZAHOICZSRC-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000535844208 1071044577 /nfs/dbraw/zinc/04/45/77/1071044577.db2.gz JCNJESYZDZVICF-UHFFFAOYSA-N 0 0 431.497 -0.145 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@]3(O)CCOC3)c2)CC1 ZINC000535844447 1071044653 /nfs/dbraw/zinc/04/46/53/1071044653.db2.gz OYCPPBGZSRMMLQ-IBGZPJMESA-N 0 0 440.522 -0.781 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@]3(O)CCOC3)c2)CC1 ZINC000535844448 1071044567 /nfs/dbraw/zinc/04/45/67/1071044567.db2.gz OYCPPBGZSRMMLQ-LJQANCHMSA-N 0 0 440.522 -0.781 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@]1(O)CCOC1 ZINC000535845747 1071044697 /nfs/dbraw/zinc/04/46/97/1071044697.db2.gz GNLGIUPEGZYDEU-IBGZPJMESA-N 0 0 425.507 -0.126 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@]1(O)CCOC1 ZINC000535845748 1071044658 /nfs/dbraw/zinc/04/46/58/1071044658.db2.gz GNLGIUPEGZYDEU-LJQANCHMSA-N 0 0 425.507 -0.126 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@]1(O)CCOC1 ZINC000535847283 1071044608 /nfs/dbraw/zinc/04/46/08/1071044608.db2.gz ZQYMJQLHLRXLQZ-GOSISDBHSA-N 0 0 427.479 -0.778 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@]1(O)CCOC1 ZINC000535847284 1071044618 /nfs/dbraw/zinc/04/46/18/1071044618.db2.gz ZQYMJQLHLRXLQZ-SFHVURJKSA-N 0 0 427.479 -0.778 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@]3(O)CCOC3)cc2)C[C@H](C)O1 ZINC000535847707 1071044714 /nfs/dbraw/zinc/04/47/14/1071044714.db2.gz FMOWOQQGSDOXLJ-BIENJYKASA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@]3(O)CCOC3)cc2)C[C@H](C)O1 ZINC000535847708 1071044679 /nfs/dbraw/zinc/04/46/79/1071044679.db2.gz FMOWOQQGSDOXLJ-KSMMKXTCSA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@]3(O)CCOC3)cc2)C[C@@H](C)O1 ZINC000535847709 1071044555 /nfs/dbraw/zinc/04/45/55/1071044555.db2.gz FMOWOQQGSDOXLJ-LPMFXHHGSA-N 0 0 441.506 -0.309 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@]3(O)CCOC3)cc2)C[C@@H](C)O1 ZINC000535847710 1071044626 /nfs/dbraw/zinc/04/46/26/1071044626.db2.gz FMOWOQQGSDOXLJ-PJIJBLCYSA-N 0 0 441.506 -0.309 20 0 IBADRN CCc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000535869963 1071046218 /nfs/dbraw/zinc/04/62/18/1071046218.db2.gz HDOIVEUOEQXVRH-UHFFFAOYSA-N 0 0 428.515 -0.880 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2CCN(CCO)CC2)c1 ZINC000535870704 1071046308 /nfs/dbraw/zinc/04/63/08/1071046308.db2.gz IKSXQABVPOXNKZ-UHFFFAOYSA-N 0 0 441.554 -0.085 20 0 IBADRN COCCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535870838 1071046243 /nfs/dbraw/zinc/04/62/43/1071046243.db2.gz LOGNFJLAFWJXBG-UHFFFAOYSA-N 0 0 428.511 -0.135 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NC[C@H](O)C2CC2)c1 ZINC000535871278 1071046055 /nfs/dbraw/zinc/04/60/55/1071046055.db2.gz PICVYGPBDYSYNE-KRWDZBQOSA-N 0 0 440.522 -0.401 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NC[C@@H](O)C2CC2)c1 ZINC000535871290 1071046754 /nfs/dbraw/zinc/04/67/54/1071046754.db2.gz PICVYGPBDYSYNE-QGZVFWFLSA-N 0 0 440.522 -0.401 20 0 IBADRN CCc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000535871340 1071046765 /nfs/dbraw/zinc/04/67/65/1071046765.db2.gz PJPDBYMXEJWHAA-UHFFFAOYSA-N 0 0 439.450 -0.291 20 0 IBADRN CC[C@@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535871588 1071046212 /nfs/dbraw/zinc/04/62/12/1071046212.db2.gz RFRDAZOHEQFTSS-AWEZNQCLSA-N 0 0 442.538 -0.011 20 0 IBADRN CC[C@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535871596 1071046121 /nfs/dbraw/zinc/04/61/21/1071046121.db2.gz RFRDAZOHEQFTSS-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN CCC[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535873188 1071046236 /nfs/dbraw/zinc/04/62/36/1071046236.db2.gz YIMXWJUETSVYQM-AWEZNQCLSA-N 0 0 442.538 -0.011 20 0 IBADRN CCC[C@@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000535873189 1071046293 /nfs/dbraw/zinc/04/62/93/1071046293.db2.gz YIMXWJUETSVYQM-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NCCC(=O)NC(N)=O)c1 ZINC000535873398 1071046712 /nfs/dbraw/zinc/04/67/12/1071046712.db2.gz RQWZFEGCZNPICV-UHFFFAOYSA-N 0 0 442.498 -0.520 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000535878868 1071047112 /nfs/dbraw/zinc/04/71/12/1071047112.db2.gz ATUSWVSCPGCEQD-GOSISDBHSA-N 0 0 438.462 -0.643 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000535878873 1071047065 /nfs/dbraw/zinc/04/70/65/1071047065.db2.gz ATUSWVSCPGCEQD-SFHVURJKSA-N 0 0 438.462 -0.643 20 0 IBADRN O=C1N[C@@]2(CCOC2)C(=O)N1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000535881488 1071047119 /nfs/dbraw/zinc/04/71/19/1071047119.db2.gz DCEMHONZVXUIMP-GOSISDBHSA-N 0 0 425.463 -0.203 20 0 IBADRN O=C1N[C@]2(CCOC2)C(=O)N1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000535881489 1071047195 /nfs/dbraw/zinc/04/71/95/1071047195.db2.gz DCEMHONZVXUIMP-SFHVURJKSA-N 0 0 425.463 -0.203 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccccc2CS(=O)(=O)N2CCCC2)C(=O)C1=O ZINC000535888822 1071047155 /nfs/dbraw/zinc/04/71/55/1071047155.db2.gz PVXWPEZISQECDU-UHFFFAOYSA-N 0 0 436.534 -0.081 20 0 IBADRN Cc1cnn(Cc2cc(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)no2)c1 ZINC000535890117 1071047206 /nfs/dbraw/zinc/04/72/06/1071047206.db2.gz YAOOLPDANXKIKC-UHFFFAOYSA-N 0 0 438.510 -0.001 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)CN(C)S(C)(=O)=O)c1 ZINC000535893326 1071047761 /nfs/dbraw/zinc/04/77/61/1071047761.db2.gz UQIDGQTXRYGUFI-UHFFFAOYSA-N 0 0 434.540 -0.397 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)co1 ZINC000535895272 1071047635 /nfs/dbraw/zinc/04/76/35/1071047635.db2.gz BISOAHLGVCHQEG-UHFFFAOYSA-N 0 0 432.480 -0.061 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1)Nc1ccccc1F ZINC000535903369 1071048130 /nfs/dbraw/zinc/04/81/30/1071048130.db2.gz NMAVUMZXMYBWIP-UHFFFAOYSA-N 0 0 434.515 -0.643 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000535903438 1071048281 /nfs/dbraw/zinc/04/82/81/1071048281.db2.gz RPOIXXKCKHBNKP-ZDUSSCGKSA-N 0 0 427.483 -0.510 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2coc(S(=O)(=O)N(C)C)c2)CC1 ZINC000535909513 1071048666 /nfs/dbraw/zinc/04/86/66/1071048666.db2.gz JCAYAEYUPYGRQW-AWEZNQCLSA-N 0 0 430.527 -0.171 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2coc(S(=O)(=O)N(C)C)c2)CC1 ZINC000535909514 1071048786 /nfs/dbraw/zinc/04/87/86/1071048786.db2.gz JCAYAEYUPYGRQW-CQSZACIVSA-N 0 0 430.527 -0.171 20 0 IBADRN COc1nc(NCC2CCS(=O)(=O)CC2)nc(NCC2CCS(=O)(=O)CC2)n1 ZINC000535909801 1071048814 /nfs/dbraw/zinc/04/88/14/1071048814.db2.gz KWZGAWCQEBFFBT-UHFFFAOYSA-N 0 0 433.556 -0.803 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@@H](C)C(=O)Nc2cccc(S(=O)(=O)NC)c2)co1 ZINC000535910846 1071048861 /nfs/dbraw/zinc/04/88/61/1071048861.db2.gz UOHAHYGWQNBNAI-JTQLQIEISA-N 0 0 444.491 -0.147 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@H](C)C(=O)Nc2cccc(S(=O)(=O)NC)c2)co1 ZINC000535910863 1071048744 /nfs/dbraw/zinc/04/87/44/1071048744.db2.gz UOHAHYGWQNBNAI-SNVBAGLBSA-N 0 0 444.491 -0.147 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2coc(S(=O)(=O)N(C)C)c2)c1 ZINC000535911304 1071049375 /nfs/dbraw/zinc/04/93/75/1071049375.db2.gz XFZNNPOXBPKAGQ-UHFFFAOYSA-N 0 0 444.491 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@]3(O)CCOC3)CC2)cc1 ZINC000535917612 1071093663 /nfs/dbraw/zinc/09/36/63/1071093663.db2.gz FKQLDMWFVJMURX-FQEVSTJZSA-N 0 0 439.534 -0.124 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@]3(O)CCOC3)CC2)cc1 ZINC000535917616 1071093582 /nfs/dbraw/zinc/09/35/82/1071093582.db2.gz FKQLDMWFVJMURX-HXUWFJFHSA-N 0 0 439.534 -0.124 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)N[C@]3(CCOC3)C2=O)cc1S(=O)(=O)N(C)C ZINC000535919574 1071147458 /nfs/dbraw/zinc/14/74/58/1071147458.db2.gz UVOOYIOBWDGQHF-KRWDZBQOSA-N 0 0 426.451 -0.405 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)N[C@@]3(CCOC3)C2=O)cc1S(=O)(=O)N(C)C ZINC000535919577 1071147435 /nfs/dbraw/zinc/14/74/35/1071147435.db2.gz UVOOYIOBWDGQHF-QGZVFWFLSA-N 0 0 426.451 -0.405 20 0 IBADRN Cc1cccc(Cn2nccc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000535926644 1071148788 /nfs/dbraw/zinc/14/87/88/1071148788.db2.gz WZFDZTDTODRIAJ-UHFFFAOYSA-N 0 0 437.464 -0.464 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)co1 ZINC000535941570 1071150839 /nfs/dbraw/zinc/15/08/39/1071150839.db2.gz BQVROBQQLFYSRI-UHFFFAOYSA-N 0 0 431.496 -0.624 20 0 IBADRN COC[C@@H](CCO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000535946894 1071151886 /nfs/dbraw/zinc/15/18/86/1071151886.db2.gz WFHVGGPBWMSGES-CYBMUJFWSA-N 0 0 432.495 -0.148 20 0 IBADRN COC[C@H](CCO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000535946900 1071151677 /nfs/dbraw/zinc/15/16/77/1071151677.db2.gz WFHVGGPBWMSGES-ZDUSSCGKSA-N 0 0 432.495 -0.148 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000535948354 1071151702 /nfs/dbraw/zinc/15/17/02/1071151702.db2.gz ILZOJISVXXKWHN-HOTGVXAUSA-N 0 0 433.575 -0.170 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000535948365 1071151639 /nfs/dbraw/zinc/15/16/39/1071151639.db2.gz ILZOJISVXXKWHN-HZPDHXFCSA-N 0 0 433.575 -0.170 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000535948366 1071151839 /nfs/dbraw/zinc/15/18/39/1071151839.db2.gz ILZOJISVXXKWHN-IYBDPMFKSA-N 0 0 433.575 -0.170 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(CC(=O)Nc3ccccc3F)CC2)CC1 ZINC000535968666 1071155975 /nfs/dbraw/zinc/15/59/75/1071155975.db2.gz JJJFEZHBWCZWMN-UHFFFAOYSA-N 0 0 448.543 -0.386 20 0 IBADRN CN(CCCNC(=O)CN1CCN(CC(=O)Nc2ccccc2F)CC1)S(C)(=O)=O ZINC000535970367 1071155859 /nfs/dbraw/zinc/15/58/59/1071155859.db2.gz VTVCXNCISOOEQN-UHFFFAOYSA-N 0 0 443.545 -0.221 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000535972992 1071156541 /nfs/dbraw/zinc/15/65/41/1071156541.db2.gz IOZRFPMCLPCPOP-HIFRSBDPSA-N 0 0 431.559 -0.003 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000535972993 1071156571 /nfs/dbraw/zinc/15/65/71/1071156571.db2.gz IOZRFPMCLPCPOP-ZFWWWQNUSA-N 0 0 431.559 -0.003 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC[C@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000535985474 1071157537 /nfs/dbraw/zinc/15/75/37/1071157537.db2.gz UHDYXJLOAYFHBG-INIZCTEOSA-N 0 0 431.536 -0.015 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC[C@@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000535985476 1071157358 /nfs/dbraw/zinc/15/73/58/1071157358.db2.gz UHDYXJLOAYFHBG-MRXNPFEDSA-N 0 0 431.536 -0.015 20 0 IBADRN Cc1c(C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc(Br)cc1S(N)(=O)=O ZINC000535985837 1071158147 /nfs/dbraw/zinc/15/81/47/1071158147.db2.gz RXIDODNAYXCZDL-SECBINFHSA-N 0 0 426.314 -0.092 20 0 IBADRN Cc1c(C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc(Br)cc1S(N)(=O)=O ZINC000535985838 1071158040 /nfs/dbraw/zinc/15/80/40/1071158040.db2.gz RXIDODNAYXCZDL-VIFPVBQESA-N 0 0 426.314 -0.092 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000535989360 1071159035 /nfs/dbraw/zinc/15/90/35/1071159035.db2.gz VDIQXZDQGRMYIE-FAQVEIGNSA-N 0 0 449.552 -0.264 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000535989361 1071158965 /nfs/dbraw/zinc/15/89/65/1071158965.db2.gz VDIQXZDQGRMYIE-IAGOWNOFSA-N 0 0 449.552 -0.264 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000535989362 1071158941 /nfs/dbraw/zinc/15/89/41/1071158941.db2.gz VDIQXZDQGRMYIE-IRXDYDNUSA-N 0 0 449.552 -0.264 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1CCS(=O)(=O)CC1)CC2 ZINC000535995697 1071159896 /nfs/dbraw/zinc/15/98/96/1071159896.db2.gz NTRWGOFTGJGVGD-UHFFFAOYSA-N 0 0 443.547 -0.185 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000535996366 1071159788 /nfs/dbraw/zinc/15/97/88/1071159788.db2.gz LOJLTTLCYDUQKW-GASCZTMLSA-N 0 0 436.513 -0.264 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000535996367 1071159717 /nfs/dbraw/zinc/15/97/17/1071159717.db2.gz LOJLTTLCYDUQKW-GJZGRUSLSA-N 0 0 436.513 -0.264 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000535996368 1071159860 /nfs/dbraw/zinc/15/98/60/1071159860.db2.gz LOJLTTLCYDUQKW-HUUCEWRRSA-N 0 0 436.513 -0.264 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCCO1 ZINC000535997024 1071159774 /nfs/dbraw/zinc/15/97/74/1071159774.db2.gz NSCSWWGVUUZQPG-CVEARBPZSA-N 0 0 438.506 -0.181 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCCO1 ZINC000535997025 1071159795 /nfs/dbraw/zinc/15/97/95/1071159795.db2.gz NSCSWWGVUUZQPG-HOTGVXAUSA-N 0 0 438.506 -0.181 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCCO1 ZINC000535997026 1071159891 /nfs/dbraw/zinc/15/98/91/1071159891.db2.gz NSCSWWGVUUZQPG-HZPDHXFCSA-N 0 0 438.506 -0.181 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCCO1 ZINC000535997027 1071159916 /nfs/dbraw/zinc/15/99/16/1071159916.db2.gz NSCSWWGVUUZQPG-JKSUJKDBSA-N 0 0 438.506 -0.181 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cncc(N4CCOCC4)c3)CC2)o1 ZINC000535999287 1071160602 /nfs/dbraw/zinc/16/06/02/1071160602.db2.gz QWAXBYUPINEDOG-UHFFFAOYSA-N 0 0 449.489 -0.243 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)C2=O ZINC000536000189 1071161226 /nfs/dbraw/zinc/16/12/26/1071161226.db2.gz APFVUAMVYKHKSP-AHXJXLJNSA-N 0 0 429.543 -0.235 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)C2=O ZINC000536000190 1071161349 /nfs/dbraw/zinc/16/13/49/1071161349.db2.gz APFVUAMVYKHKSP-KYZVSKTDSA-N 0 0 429.543 -0.235 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)C2=O ZINC000536000191 1071161373 /nfs/dbraw/zinc/16/13/73/1071161373.db2.gz APFVUAMVYKHKSP-MCIONIFRSA-N 0 0 429.543 -0.235 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)C2=O ZINC000536000192 1071161381 /nfs/dbraw/zinc/16/13/81/1071161381.db2.gz APFVUAMVYKHKSP-MGPQQGTHSA-N 0 0 429.543 -0.235 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cncc(N4CCOCC4)c3)CC2)CC1 ZINC000536000356 1071161460 /nfs/dbraw/zinc/16/14/60/1071161460.db2.gz MLBMGGJMWGRNTK-UHFFFAOYSA-N 0 0 438.554 -0.832 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C)c(OC)c1 ZINC000536000843 1071161412 /nfs/dbraw/zinc/16/14/12/1071161412.db2.gz QKENYUZUANUWEH-UHFFFAOYSA-N 0 0 433.508 -0.094 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000536002546 1071161398 /nfs/dbraw/zinc/16/13/98/1071161398.db2.gz NJBZALXRUFLILI-LJPSSGMGSA-N 0 0 442.538 -0.457 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000536002549 1071161445 /nfs/dbraw/zinc/16/14/45/1071161445.db2.gz NJBZALXRUFLILI-MCIONIFRSA-N 0 0 442.538 -0.457 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000536002550 1071161437 /nfs/dbraw/zinc/16/14/37/1071161437.db2.gz NJBZALXRUFLILI-MGPQQGTHSA-N 0 0 442.538 -0.457 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000536002553 1071161290 /nfs/dbraw/zinc/16/12/90/1071161290.db2.gz NJBZALXRUFLILI-ZYKCCNLVSA-N 0 0 442.538 -0.457 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000536002644 1071161332 /nfs/dbraw/zinc/16/13/32/1071161332.db2.gz IOKHJNRBGSZPEC-CYBMUJFWSA-N 0 0 440.565 -0.191 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000536002650 1071161452 /nfs/dbraw/zinc/16/14/52/1071161452.db2.gz IOKHJNRBGSZPEC-ZDUSSCGKSA-N 0 0 440.565 -0.191 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC(CC(N)=O)CC2)ccc1S(=O)(=O)N(C)C ZINC000536003541 1071162061 /nfs/dbraw/zinc/16/20/61/1071162061.db2.gz NSEOHQNVVPOONL-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H](O)COCC2CC2)ccc1S(=O)(=O)N(C)C ZINC000536004033 1071161871 /nfs/dbraw/zinc/16/18/71/1071161871.db2.gz RSINTZUGBHNRCF-AWEZNQCLSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H](O)COCC2CC2)ccc1S(=O)(=O)N(C)C ZINC000536004042 1071161934 /nfs/dbraw/zinc/16/19/34/1071161934.db2.gz RSINTZUGBHNRCF-CQSZACIVSA-N 0 0 429.495 -0.212 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CCO1 ZINC000536005182 1071162205 /nfs/dbraw/zinc/16/22/05/1071162205.db2.gz SGWHYNSSVKXLTO-CYBMUJFWSA-N 0 0 429.451 -0.716 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CCO1 ZINC000536005190 1071162257 /nfs/dbraw/zinc/16/22/57/1071162257.db2.gz SGWHYNSSVKXLTO-ZDUSSCGKSA-N 0 0 429.451 -0.716 20 0 IBADRN O=C(CN1C[C@@H](O)C[C@H]1c1ccc(F)cc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000536005784 1073378860 /nfs/dbraw/zinc/37/88/60/1073378860.db2.gz MFXDTGOVCQPDIH-PMACEKPBSA-N 0 0 434.512 -0.064 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H]1c1ccc(F)cc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000536005785 1073378795 /nfs/dbraw/zinc/37/87/95/1073378795.db2.gz MFXDTGOVCQPDIH-UXHICEINSA-N 0 0 434.512 -0.064 20 0 IBADRN O=C(CN1C[C@@H](O)C[C@@H]1c1ccc(F)cc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000536005786 1073378885 /nfs/dbraw/zinc/37/88/85/1073378885.db2.gz MFXDTGOVCQPDIH-VQTJNVASSA-N 0 0 434.512 -0.064 20 0 IBADRN O=C(CN1C[C@H](O)C[C@@H]1c1ccc(F)cc1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000536005787 1073378769 /nfs/dbraw/zinc/37/87/69/1073378769.db2.gz MFXDTGOVCQPDIH-WOJBJXKFSA-N 0 0 434.512 -0.064 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1 ZINC000536008896 1071162123 /nfs/dbraw/zinc/16/21/23/1071162123.db2.gz WDRBKRRLYWACMN-UHFFFAOYSA-N 0 0 442.494 -0.799 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000536015711 1071164625 /nfs/dbraw/zinc/16/46/25/1071164625.db2.gz HUFGRCSGLFMBKK-UHFFFAOYSA-N 0 0 432.506 -0.243 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000536016081 1071164684 /nfs/dbraw/zinc/16/46/84/1071164684.db2.gz BBSJIOVDDUPABV-UHFFFAOYSA-N 0 0 441.492 -0.522 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)CSCC(=O)N1CSC[C@H]1C(=O)N(C)C ZINC000536016100 1071163983 /nfs/dbraw/zinc/16/39/83/1071163983.db2.gz BGBDOBFBALXHKC-RYUDHWBXSA-N 0 0 434.609 -0.301 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)CSCC(=O)N1CSC[C@@H]1C(=O)N(C)C ZINC000536016111 1071164599 /nfs/dbraw/zinc/16/45/99/1071164599.db2.gz BGBDOBFBALXHKC-TXEJJXNPSA-N 0 0 434.609 -0.301 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)CSCC(=O)N1CSC[C@@H]1C(=O)N(C)C ZINC000536016112 1071164565 /nfs/dbraw/zinc/16/45/65/1071164565.db2.gz BGBDOBFBALXHKC-VXGBXAGGSA-N 0 0 434.609 -0.301 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000536017042 1071165480 /nfs/dbraw/zinc/16/54/80/1071165480.db2.gz HCAYTZQULWWELW-UHFFFAOYSA-N 0 0 439.524 -0.376 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)NCCS(=O)(=O)NCC1CCC1 ZINC000536017589 1071165338 /nfs/dbraw/zinc/16/53/38/1071165338.db2.gz NWJJEFIJPXMXCD-UHFFFAOYSA-N 0 0 425.559 -0.383 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)CSCC(=O)N1CCS(=O)(=O)[C@@H](C)[C@@H]1C ZINC000536018381 1071164660 /nfs/dbraw/zinc/16/46/60/1071164660.db2.gz QEXVYOLNWVYUOM-CRWXNKLISA-N 0 0 440.609 -0.212 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)CSCC(=O)N1CCS(=O)(=O)[C@H](C)[C@@H]1C ZINC000536018395 1071164489 /nfs/dbraw/zinc/16/44/89/1071164489.db2.gz QEXVYOLNWVYUOM-LVEBTZEWSA-N 0 0 440.609 -0.212 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)CSCC(=O)N1CCS(=O)(=O)[C@@H](C)[C@H]1C ZINC000536018398 1071164667 /nfs/dbraw/zinc/16/46/67/1071164667.db2.gz QEXVYOLNWVYUOM-MQYQWHSLSA-N 0 0 440.609 -0.212 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)CSCC(=O)N1CCS(=O)(=O)[C@@H](C)[C@H]1C ZINC000536018401 1071164574 /nfs/dbraw/zinc/16/45/74/1071164574.db2.gz QEXVYOLNWVYUOM-SYQHCUMBSA-N 0 0 440.609 -0.212 20 0 IBADRN CCNC(=O)N1CCN(C(=O)CSCC(=O)N2CCN(C(=O)NCC)CC2)CC1 ZINC000536018546 1071164675 /nfs/dbraw/zinc/16/46/75/1071164675.db2.gz UKSASZFIWKYXPG-UHFFFAOYSA-N 0 0 428.559 -0.533 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)CSCC(=O)N2CCN(CC(C)(C)O)CC2)CC1 ZINC000536018567 1071164519 /nfs/dbraw/zinc/16/45/19/1071164519.db2.gz QXDNHCMBXSFTDB-UHFFFAOYSA-N 0 0 430.615 -0.450 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000536018700 1071164703 /nfs/dbraw/zinc/16/47/03/1071164703.db2.gz PGLLVAOHQYQVMJ-UHFFFAOYSA-N 0 0 437.526 -0.719 20 0 IBADRN O=C(CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)NCc1ccco1 ZINC000536019091 1071165347 /nfs/dbraw/zinc/16/53/47/1071165347.db2.gz UDWKGPZRCKAEEQ-UHFFFAOYSA-N 0 0 429.499 -0.764 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCN(c2ccc3nncn3n2)CC1 ZINC000536019217 1071166173 /nfs/dbraw/zinc/16/61/73/1071166173.db2.gz UOXIXSWQBLDELG-UHFFFAOYSA-N 0 0 437.512 -0.432 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)CSCC(=O)N[C@H]2CCN(C(=O)NCC)C2)C1 ZINC000536020511 1071165459 /nfs/dbraw/zinc/16/54/59/1071165459.db2.gz HNEBMERFLWGCTQ-KBPBESRZSA-N 0 0 428.559 -0.440 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)CSCC(=O)N[C@H]2CCN(C(=O)NCC)C2)C1 ZINC000536020515 1071165474 /nfs/dbraw/zinc/16/54/74/1071165474.db2.gz HNEBMERFLWGCTQ-OKILXGFUSA-N 0 0 428.559 -0.440 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)CSCC(=O)N[C@@H]2CCN(C(=O)NCC)C2)C1 ZINC000536020516 1071165298 /nfs/dbraw/zinc/16/52/98/1071165298.db2.gz HNEBMERFLWGCTQ-ZIAGYGMSSA-N 0 0 428.559 -0.440 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)c1OC ZINC000536025189 1071166332 /nfs/dbraw/zinc/16/63/32/1071166332.db2.gz MCVHLGNRFPOLAT-DOMZBBRYSA-N 0 0 431.449 -0.012 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)c1OC ZINC000536025190 1071166133 /nfs/dbraw/zinc/16/61/33/1071166133.db2.gz MCVHLGNRFPOLAT-IUODEOHRSA-N 0 0 431.449 -0.012 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCC(OCCO)CC1 ZINC000536032506 1071167194 /nfs/dbraw/zinc/16/71/94/1071167194.db2.gz MKQXGDJGLXZIOK-UHFFFAOYSA-N 0 0 448.520 -0.313 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC(OCCO)CC1 ZINC000536033414 1071167171 /nfs/dbraw/zinc/16/71/71/1071167171.db2.gz WBWYUKYBJLSIDO-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N(CCO)Cc2cnn(Cc3ccccc3)c2)cn1 ZINC000536035025 1071167123 /nfs/dbraw/zinc/16/71/23/1071167123.db2.gz KLGKJIPVYGJRKJ-UHFFFAOYSA-N 0 0 432.506 -0.036 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N(CCO)Cc2cnn(Cc3ccccc3)c2)CC1 ZINC000536040145 1071168730 /nfs/dbraw/zinc/16/87/30/1071168730.db2.gz SBUABHRNOUYQMW-UHFFFAOYSA-N 0 0 435.550 -0.171 20 0 IBADRN COC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000536040249 1071168717 /nfs/dbraw/zinc/16/87/17/1071168717.db2.gz XDYWWDPSNMBXRC-UHFFFAOYSA-N 0 0 429.495 -0.359 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CC[C@H](S(N)(=O)=O)C1 ZINC000536042249 1071168502 /nfs/dbraw/zinc/16/85/02/1071168502.db2.gz QEHQQRBVUZNLGW-JTQLQIEISA-N 0 0 432.524 -0.106 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CC[C@@H](S(N)(=O)=O)C1 ZINC000536042251 1071168801 /nfs/dbraw/zinc/16/88/01/1071168801.db2.gz QEHQQRBVUZNLGW-SNVBAGLBSA-N 0 0 432.524 -0.106 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000536043524 1071168546 /nfs/dbraw/zinc/16/85/46/1071168546.db2.gz KQHJQAWLYQSPSJ-HNNXBMFYSA-N 0 0 432.524 -0.991 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000536043527 1071168614 /nfs/dbraw/zinc/16/86/14/1071168614.db2.gz KQHJQAWLYQSPSJ-OAHLLOKOSA-N 0 0 432.524 -0.991 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CN(C)Cc4ccccc43)CC2)CC1 ZINC000536049442 1073379039 /nfs/dbraw/zinc/37/90/39/1073379039.db2.gz HOSCGFUWSHECIR-IBGZPJMESA-N 0 0 436.582 -0.008 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CN(C)Cc4ccccc43)CC2)CC1 ZINC000536049454 1073379077 /nfs/dbraw/zinc/37/90/77/1073379077.db2.gz HOSCGFUWSHECIR-LJQANCHMSA-N 0 0 436.582 -0.008 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cncc(I)c2)CC1 ZINC000536054037 1071170367 /nfs/dbraw/zinc/17/03/67/1071170367.db2.gz RFKUZMZJHCTHFN-UHFFFAOYSA-N 0 0 427.206 -0.343 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000536055530 1071170315 /nfs/dbraw/zinc/17/03/15/1071170315.db2.gz LJGDIJICMDBVSX-KBPBESRZSA-N 0 0 429.543 -0.834 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000536055533 1071170362 /nfs/dbraw/zinc/17/03/62/1071170362.db2.gz LJGDIJICMDBVSX-ZIAGYGMSSA-N 0 0 429.543 -0.834 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C2=O ZINC000536055534 1071170116 /nfs/dbraw/zinc/17/01/16/1071170116.db2.gz LJGDIJICMDBVSX-ZSBFGKGUSA-N 0 0 429.543 -0.834 20 0 IBADRN Cn1c(=O)cccc1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000536055579 1071170384 /nfs/dbraw/zinc/17/03/84/1071170384.db2.gz OLBIXFAVHAOILN-UHFFFAOYSA-N 0 0 432.458 -0.137 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000536062652 1071172922 /nfs/dbraw/zinc/17/29/22/1071172922.db2.gz OMSFSIYIEZLEDB-KRWDZBQOSA-N 0 0 436.538 -0.111 20 0 IBADRN Cn1cc([C@@H](CO)NC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000536062655 1071172863 /nfs/dbraw/zinc/17/28/63/1071172863.db2.gz OMSFSIYIEZLEDB-QGZVFWFLSA-N 0 0 436.538 -0.111 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3C[C@H](C)O[C@@H](C)C3)C[C@@H](O)C2)C[C@@H](C)O1 ZINC000536069129 1071172822 /nfs/dbraw/zinc/17/28/22/1071172822.db2.gz UNUPGJQKRUMSCQ-KWCOHSTJSA-N 0 0 426.558 -0.764 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3C[C@H](C)O[C@@H](C)C3)C[C@@H](O)C2)C[C@H](C)O1 ZINC000536069130 1071172673 /nfs/dbraw/zinc/17/26/73/1071172673.db2.gz UNUPGJQKRUMSCQ-LTFXXXRZSA-N 0 0 426.558 -0.764 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)C[C@@H](O)C2)C[C@@H](C)O1 ZINC000536069131 1071172687 /nfs/dbraw/zinc/17/26/87/1071172687.db2.gz UNUPGJQKRUMSCQ-QQXKLLMISA-N 0 0 426.558 -0.764 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)CC(O)C2)C[C@H](C)O1 ZINC000536069132 1071172597 /nfs/dbraw/zinc/17/25/97/1071172597.db2.gz UNUPGJQKRUMSCQ-USTZCAOPSA-N 0 0 426.558 -0.764 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)co1 ZINC000536072117 1071173558 /nfs/dbraw/zinc/17/35/58/1071173558.db2.gz GIMOCWZTABAJEH-UHFFFAOYSA-N 0 0 428.448 -0.700 20 0 IBADRN COc1cc(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)ccc1S(=O)(=O)N(C)C ZINC000536074071 1071173393 /nfs/dbraw/zinc/17/33/93/1071173393.db2.gz KGEJJAGXILLWQM-UHFFFAOYSA-N 0 0 449.489 -0.064 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)Cn1c2ccccc2c(=O)[nH]c1=O ZINC000536078914 1071174361 /nfs/dbraw/zinc/17/43/61/1071174361.db2.gz ZTFFFIABOUVEPS-INIZCTEOSA-N 0 0 437.456 -0.179 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)Cn1c2ccccc2c(=O)[nH]c1=O ZINC000536078918 1071174573 /nfs/dbraw/zinc/17/45/73/1071174573.db2.gz ZTFFFIABOUVEPS-MRXNPFEDSA-N 0 0 437.456 -0.179 20 0 IBADRN COc1cc(NC(=O)Nc2cnn(CC(=O)NCCO)c2)ccc1S(=O)(=O)N(C)C ZINC000536082132 1071176924 /nfs/dbraw/zinc/17/69/24/1071176924.db2.gz JLXYCHYVPJTEDG-UHFFFAOYSA-N 0 0 440.482 -0.106 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000536083148 1071176293 /nfs/dbraw/zinc/17/62/93/1071176293.db2.gz LZQTUYVPZVEOGJ-UHFFFAOYSA-N 0 0 449.555 -0.354 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)CC1 ZINC000536084591 1071176940 /nfs/dbraw/zinc/17/69/40/1071176940.db2.gz ACQDUPMHAUYPPL-INIZCTEOSA-N 0 0 445.586 -0.625 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)CC1 ZINC000536084592 1071176856 /nfs/dbraw/zinc/17/68/56/1071176856.db2.gz ACQDUPMHAUYPPL-MRXNPFEDSA-N 0 0 445.586 -0.625 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]c2ccccc12)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000536086099 1071178982 /nfs/dbraw/zinc/17/89/82/1071178982.db2.gz UOXRPOXKUJSJMC-MRXNPFEDSA-N 0 0 444.535 -0.336 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)Nc3ccccc3O)CC2)CC1 ZINC000536088018 1071182113 /nfs/dbraw/zinc/18/21/13/1071182113.db2.gz MEZQKRUXMKVCPW-UHFFFAOYSA-N 0 0 446.551 -0.135 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000536091680 1071189008 /nfs/dbraw/zinc/18/90/08/1071189008.db2.gz DDAUUPGZRNJFDN-UHFFFAOYSA-N 0 0 440.503 -0.116 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCn3ccccc3=O)CC2)C[C@H](C)O1 ZINC000536092575 1071187331 /nfs/dbraw/zinc/18/73/31/1071187331.db2.gz VUHWAFUIDQZIAY-HOTGVXAUSA-N 0 0 427.527 -0.471 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCn3ccccc3=O)CC2)C[C@@H](C)O1 ZINC000536092576 1071187175 /nfs/dbraw/zinc/18/71/75/1071187175.db2.gz VUHWAFUIDQZIAY-HZPDHXFCSA-N 0 0 427.527 -0.471 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCn3ccccc3=O)CC2)C[C@H](C)O1 ZINC000536092577 1071187134 /nfs/dbraw/zinc/18/71/34/1071187134.db2.gz VUHWAFUIDQZIAY-IYBDPMFKSA-N 0 0 427.527 -0.471 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)c3nc(C)n(-c4nc[nH]n4)n3)CC2)cc1 ZINC000536097339 1071196022 /nfs/dbraw/zinc/19/60/22/1071196022.db2.gz AWZQOFROYGGDIT-UHFFFAOYSA-N 0 0 432.466 -0.151 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000536100207 1071195995 /nfs/dbraw/zinc/19/59/95/1071195995.db2.gz YZUAGEGBGSSPON-UHFFFAOYSA-N 0 0 447.535 -0.380 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2nccc3c2cccc3S(N)(=O)=O)CC1 ZINC000536103860 1071197042 /nfs/dbraw/zinc/19/70/42/1071197042.db2.gz WOWJOXIBYRQXPY-UHFFFAOYSA-N 0 0 433.490 -0.307 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000536106652 1071197029 /nfs/dbraw/zinc/19/70/29/1071197029.db2.gz JJGYOCBLYIYSDF-UHFFFAOYSA-N 0 0 444.560 -0.009 20 0 IBADRN COc1ccc(CC(=O)N(C)CCNC(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000536108082 1071197451 /nfs/dbraw/zinc/19/74/51/1071197451.db2.gz YOLCNOBIBZZJSG-UHFFFAOYSA-N 0 0 442.538 -0.012 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)c3ccc(S(N)(=O)=O)cn3)c2)CC1 ZINC000536110436 1071198554 /nfs/dbraw/zinc/19/85/54/1071198554.db2.gz PZCTZVFUTSRUCM-UHFFFAOYSA-N 0 0 439.519 -0.083 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1S(C)(=O)=O ZINC000536119444 1071200022 /nfs/dbraw/zinc/20/00/22/1071200022.db2.gz JFEMIBUCORDSMG-UHFFFAOYSA-N 0 0 436.490 -0.714 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1cnn(-c2cnc3ccccc3n2)c1 ZINC000536123112 1071200736 /nfs/dbraw/zinc/20/07/36/1071200736.db2.gz NSYFRZIONBCAGT-UHFFFAOYSA-N 0 0 429.462 -0.094 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000536123172 1071200684 /nfs/dbraw/zinc/20/06/84/1071200684.db2.gz NAVPKTXXTAQLOU-INIZCTEOSA-N 0 0 448.567 -0.166 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000536123175 1071200638 /nfs/dbraw/zinc/20/06/38/1071200638.db2.gz NAVPKTXXTAQLOU-MRXNPFEDSA-N 0 0 448.567 -0.166 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000536130461 1071202433 /nfs/dbraw/zinc/20/24/33/1071202433.db2.gz OXMXYTXQEDMCKC-AWEZNQCLSA-N 0 0 425.554 -0.692 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000536130462 1071202517 /nfs/dbraw/zinc/20/25/17/1071202517.db2.gz OXMXYTXQEDMCKC-CQSZACIVSA-N 0 0 425.554 -0.692 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000536130926 1071201647 /nfs/dbraw/zinc/20/16/47/1071201647.db2.gz AGWWSVSALYOXRC-HNNXBMFYSA-N 0 0 437.565 -0.170 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000536130939 1071201349 /nfs/dbraw/zinc/20/13/49/1071201349.db2.gz AGWWSVSALYOXRC-OAHLLOKOSA-N 0 0 437.565 -0.170 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000536132105 1071202205 /nfs/dbraw/zinc/20/22/05/1071202205.db2.gz XMPCXFIOLQCXKX-APHBMKBZSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000536132106 1071202191 /nfs/dbraw/zinc/20/21/91/1071202191.db2.gz XMPCXFIOLQCXKX-DAXOMENPSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000536132108 1071202289 /nfs/dbraw/zinc/20/22/89/1071202289.db2.gz XMPCXFIOLQCXKX-MAZHCROVSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000536132110 1071202383 /nfs/dbraw/zinc/20/23/83/1071202383.db2.gz XMPCXFIOLQCXKX-WQVCFCJDSA-N 0 0 443.472 -0.068 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccnn1Cc1cnn(-c2ccccc2)c1 ZINC000536133697 1071202501 /nfs/dbraw/zinc/20/25/01/1071202501.db2.gz WNKYXHKLVVPOLZ-UHFFFAOYSA-N 0 0 431.478 -0.279 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000536134054 1071202414 /nfs/dbraw/zinc/20/24/14/1071202414.db2.gz PVGKKPDUUMESFE-INIZCTEOSA-N 0 0 425.559 -0.288 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000536134055 1071202214 /nfs/dbraw/zinc/20/22/14/1071202214.db2.gz PVGKKPDUUMESFE-MRXNPFEDSA-N 0 0 425.559 -0.288 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000536134317 1071202486 /nfs/dbraw/zinc/20/24/86/1071202486.db2.gz AJIQRGQGKAHELV-AWEZNQCLSA-N 0 0 425.554 -0.361 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000536134328 1071202279 /nfs/dbraw/zinc/20/22/79/1071202279.db2.gz AJIQRGQGKAHELV-CQSZACIVSA-N 0 0 425.554 -0.361 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000536137195 1071203049 /nfs/dbraw/zinc/20/30/49/1071203049.db2.gz SBPYGPPFUYHTGF-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cnc4c(c3)NC(=O)CO4)CC2)o1 ZINC000536144283 1071204725 /nfs/dbraw/zinc/20/47/25/1071204725.db2.gz ZBTRQKANTNVHCW-UHFFFAOYSA-N 0 0 435.418 -0.749 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cnc2c(c1)NC(=O)CO2 ZINC000536145103 1071204595 /nfs/dbraw/zinc/20/45/95/1071204595.db2.gz ACAKQGKZQKKUAT-UHFFFAOYSA-N 0 0 431.430 -0.215 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cnc2c(c1)NC(=O)CO2 ZINC000536146973 1071204811 /nfs/dbraw/zinc/20/48/11/1071204811.db2.gz JMMGZGFMTKIHEC-UHFFFAOYSA-N 0 0 446.489 -0.311 20 0 IBADRN COC(=O)c1cc(F)ccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000536154375 1071205326 /nfs/dbraw/zinc/20/53/26/1071205326.db2.gz GEWLMOZKWCDMSF-UHFFFAOYSA-N 0 0 443.453 -0.696 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCNC(=O)[C@H]2c2ccc(C(F)(F)F)cc2)c(=O)n(C)c1=O ZINC000536154728 1071205665 /nfs/dbraw/zinc/20/56/65/1071205665.db2.gz ISTXNYWRGYDROM-CYBMUJFWSA-N 0 0 446.407 -0.035 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2c2ccc(C(F)(F)F)cc2)c(=O)n(C)c1=O ZINC000536154733 1071205651 /nfs/dbraw/zinc/20/56/51/1071205651.db2.gz ISTXNYWRGYDROM-ZDUSSCGKSA-N 0 0 446.407 -0.035 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000536154784 1071205597 /nfs/dbraw/zinc/20/55/97/1071205597.db2.gz HRAUXVQOEDRHOH-UHFFFAOYSA-N 0 0 444.535 -0.503 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCc1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC000536154923 1071205683 /nfs/dbraw/zinc/20/56/83/1071205683.db2.gz JGMZFOOEZNDTID-UHFFFAOYSA-N 0 0 441.597 -0.020 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000536154934 1071205465 /nfs/dbraw/zinc/20/54/65/1071205465.db2.gz MMIJRSVXKLUTTA-UHFFFAOYSA-N 0 0 445.494 -0.379 20 0 IBADRN COCCn1cnc2c1c(=O)n(CCCN1C(=O)CNC1=O)c(=O)n2Cc1ccccc1 ZINC000536155816 1071206420 /nfs/dbraw/zinc/20/64/20/1071206420.db2.gz VLKRPEGOIXFYMV-UHFFFAOYSA-N 0 0 440.460 -0.004 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C1CCOCC1 ZINC000536158773 1071206954 /nfs/dbraw/zinc/20/69/54/1071206954.db2.gz VXWOSZXPHZPFSN-CYBMUJFWSA-N 0 0 426.451 -0.329 20 0 IBADRN CCOC(=O)[C@@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C1CCOCC1 ZINC000536158774 1071207061 /nfs/dbraw/zinc/20/70/61/1071207061.db2.gz VXWOSZXPHZPFSN-ZDUSSCGKSA-N 0 0 426.451 -0.329 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000536165196 1071207026 /nfs/dbraw/zinc/20/70/26/1071207026.db2.gz IVGORRMUGKIOIZ-UHFFFAOYSA-N 0 0 439.537 -0.693 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000536166045 1071206986 /nfs/dbraw/zinc/20/69/86/1071206986.db2.gz RFRHKZMSODAZTE-UHFFFAOYSA-N 0 0 427.508 -0.371 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000536166074 1071207120 /nfs/dbraw/zinc/20/71/20/1071207120.db2.gz SSWZJVQFELYSKV-UHFFFAOYSA-N 0 0 425.467 -0.794 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000536166253 1071207847 /nfs/dbraw/zinc/20/78/47/1071207847.db2.gz UVOOEMFTOZSGGJ-UHFFFAOYSA-N 0 0 429.495 -0.359 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNS(=O)(=O)CC)CC2)cc1 ZINC000536166500 1071206850 /nfs/dbraw/zinc/20/68/50/1071206850.db2.gz VSGLHWKPVKNAER-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCN(C(=O)c2ccc(OC)c(OC)c2OC)CC1 ZINC000536170167 1071208459 /nfs/dbraw/zinc/20/84/59/1071208459.db2.gz RJJTYBZYSVMFQP-UHFFFAOYSA-N 0 0 429.495 -0.064 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CNS(=O)(=O)CC ZINC000536171093 1071209263 /nfs/dbraw/zinc/20/92/63/1071209263.db2.gz JNORBJRHTJSOGE-UHFFFAOYSA-N 0 0 435.524 -0.016 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@H]2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000536175261 1071210183 /nfs/dbraw/zinc/21/01/83/1071210183.db2.gz XEFRXEFUSVXQRR-AWEZNQCLSA-N 0 0 426.495 -0.525 20 0 IBADRN COc1ccc(NC(=O)CN(C)[C@@H]2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000536175262 1071210114 /nfs/dbraw/zinc/21/01/14/1071210114.db2.gz XEFRXEFUSVXQRR-CQSZACIVSA-N 0 0 426.495 -0.525 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)[C@H]1CCNC1=O ZINC000536175278 1071210097 /nfs/dbraw/zinc/21/00/97/1071210097.db2.gz QCMAYARGIRNYDF-HNNXBMFYSA-N 0 0 438.506 -0.889 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)[C@@H]1CCNC1=O ZINC000536175284 1071209461 /nfs/dbraw/zinc/20/94/61/1071209461.db2.gz QCMAYARGIRNYDF-OAHLLOKOSA-N 0 0 438.506 -0.889 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N(C)CC(C)(CO)CO ZINC000536178975 1071210221 /nfs/dbraw/zinc/21/02/21/1071210221.db2.gz LWBUSMPPRUPMCF-HNNXBMFYSA-N 0 0 429.539 -0.043 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N(C)CC(C)(CO)CO ZINC000536178982 1071210373 /nfs/dbraw/zinc/21/03/73/1071210373.db2.gz LWBUSMPPRUPMCF-OAHLLOKOSA-N 0 0 429.539 -0.043 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)[C@H]3CCNC3=O)CC2)c1 ZINC000536180108 1071210041 /nfs/dbraw/zinc/21/00/41/1071210041.db2.gz MWZVVRKSVMWNCC-HNNXBMFYSA-N 0 0 440.522 -0.643 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3CCNC3=O)CC2)c1 ZINC000536180114 1071210126 /nfs/dbraw/zinc/21/01/26/1071210126.db2.gz MWZVVRKSVMWNCC-OAHLLOKOSA-N 0 0 440.522 -0.643 20 0 IBADRN COCCN(C(=O)CN1CCC(O)(COC)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000536180983 1071210135 /nfs/dbraw/zinc/21/01/35/1071210135.db2.gz QIMDAALNTJQOOS-UHFFFAOYSA-N 0 0 441.529 -0.360 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CC(C)(CO)CO)CC2)cc1 ZINC000536186215 1071211011 /nfs/dbraw/zinc/21/10/11/1071211011.db2.gz ZOPDUKIAXMQKAR-UHFFFAOYSA-N 0 0 429.539 -0.549 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000536186422 1071211049 /nfs/dbraw/zinc/21/10/49/1071211049.db2.gz CBCZOHIKYVIBJV-UHFFFAOYSA-N 0 0 426.539 -0.478 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)C1 ZINC000536199314 1071213688 /nfs/dbraw/zinc/21/36/88/1071213688.db2.gz TYJLCLXMEDKXOC-CYBMUJFWSA-N 0 0 429.462 -0.096 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)C1 ZINC000536199327 1071213384 /nfs/dbraw/zinc/21/33/84/1071213384.db2.gz TYJLCLXMEDKXOC-ZDUSSCGKSA-N 0 0 429.462 -0.096 20 0 IBADRN O=C(Nc1cncc2ccccc21)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000536207090 1071214379 /nfs/dbraw/zinc/21/43/79/1071214379.db2.gz KGFBFRBRXGYRHD-UHFFFAOYSA-N 0 0 433.490 -0.106 20 0 IBADRN CCc1onc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000536210214 1071215308 /nfs/dbraw/zinc/21/53/08/1071215308.db2.gz NPIRERDRQSDBEM-UHFFFAOYSA-N 0 0 428.515 -0.880 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000536210507 1071215182 /nfs/dbraw/zinc/21/51/82/1071215182.db2.gz QOSSGJCVMNKXFJ-UHFFFAOYSA-N 0 0 426.451 -0.318 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)C1=O ZINC000536222845 1071342026 /nfs/dbraw/zinc/34/20/26/1071342026.db2.gz XKCPOIPLPSSESC-UHFFFAOYSA-N 0 0 426.451 -0.318 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2O)c1 ZINC000536227171 1071475768 /nfs/dbraw/zinc/47/57/68/1071475768.db2.gz OFKMWCOUTYZRRS-UHFFFAOYSA-N 0 0 429.480 -0.517 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000536230117 1071509040 /nfs/dbraw/zinc/50/90/40/1071509040.db2.gz BWXVZEXQKAGVFW-AWEZNQCLSA-N 0 0 448.571 -0.704 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000536230152 1071508961 /nfs/dbraw/zinc/50/89/61/1071508961.db2.gz BWXVZEXQKAGVFW-CQSZACIVSA-N 0 0 448.571 -0.704 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000536236259 1071527412 /nfs/dbraw/zinc/52/74/12/1071527412.db2.gz ZTDDOMJTYXWRCQ-KRWDZBQOSA-N 0 0 426.481 -0.424 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000536236261 1071528002 /nfs/dbraw/zinc/52/80/02/1071528002.db2.gz ZTDDOMJTYXWRCQ-QGZVFWFLSA-N 0 0 426.481 -0.424 20 0 IBADRN COCC1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000536236804 1071527840 /nfs/dbraw/zinc/52/78/40/1071527840.db2.gz CGKIZHUFTZKTHI-UHFFFAOYSA-N 0 0 430.527 -0.402 20 0 IBADRN COCC(=O)N(C)CC(=O)N(C)C1CCC(N(C)C(=O)CN(C)C(=O)COC)CC1 ZINC000536243774 1071567871 /nfs/dbraw/zinc/56/78/71/1071567871.db2.gz MBDHKRLNNWYBCV-UHFFFAOYSA-N 0 0 428.530 -0.576 20 0 IBADRN COCC(=O)N(C)CC(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000536252545 1071693861 /nfs/dbraw/zinc/69/38/61/1071693861.db2.gz HDNVUWQDENBIJM-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H]3CC(=O)N(Cc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000536258250 1071781882 /nfs/dbraw/zinc/78/18/82/1071781882.db2.gz PJAQZAQZVPIDCI-INIZCTEOSA-N 0 0 438.488 -0.011 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H]3CC(=O)N(Cc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000536258251 1071784310 /nfs/dbraw/zinc/78/43/10/1071784310.db2.gz PJAQZAQZVPIDCI-MRXNPFEDSA-N 0 0 438.488 -0.011 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000536259647 1072149859 /nfs/dbraw/zinc/14/98/59/1072149859.db2.gz ZZHDRMVDRHCDJH-KRWDZBQOSA-N 0 0 438.550 -0.015 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000536259656 1072151321 /nfs/dbraw/zinc/15/13/21/1072151321.db2.gz ZZHDRMVDRHCDJH-QGZVFWFLSA-N 0 0 438.550 -0.015 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)CO)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000536263879 1072274020 /nfs/dbraw/zinc/27/40/20/1072274020.db2.gz OYIACBMTYUSYIC-UHFFFAOYSA-N 0 0 438.529 -0.543 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000536267938 1072275009 /nfs/dbraw/zinc/27/50/09/1072275009.db2.gz GZWNNZDZRGEGCS-UHFFFAOYSA-N 0 0 427.479 -0.605 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccnc2OCC(F)F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000536274361 1072278682 /nfs/dbraw/zinc/27/86/82/1072278682.db2.gz GKZCINXUOYUAFO-CYBMUJFWSA-N 0 0 446.476 -0.213 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccnc2OCC(F)F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000536274365 1072278666 /nfs/dbraw/zinc/27/86/66/1072278666.db2.gz GKZCINXUOYUAFO-ZDUSSCGKSA-N 0 0 446.476 -0.213 20 0 IBADRN CCOC(=O)C[C@@H](C)CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000536277178 1070908390 /nfs/dbraw/zinc/90/83/90/1070908390.db2.gz VPVXTXGCJPNBQO-GFCCVEGCSA-N 0 0 430.483 -0.016 20 0 IBADRN CCOC(=O)C[C@H](C)CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000536277189 1070908471 /nfs/dbraw/zinc/90/84/71/1070908471.db2.gz VPVXTXGCJPNBQO-LBPRGKRZSA-N 0 0 430.483 -0.016 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)Oc1ccc(F)cc1 ZINC000536278621 1072282440 /nfs/dbraw/zinc/28/24/40/1072282440.db2.gz ZADMPFRQTLSWST-ABAIWWIYSA-N 0 0 428.442 -0.037 20 0 IBADRN COC(=O)[C@@H](CNC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)Oc1ccc(F)cc1 ZINC000536278622 1072282266 /nfs/dbraw/zinc/28/22/66/1072282266.db2.gz ZADMPFRQTLSWST-IAQYHMDHSA-N 0 0 428.442 -0.037 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)Oc1ccc(F)cc1 ZINC000536278623 1072282311 /nfs/dbraw/zinc/28/23/11/1072282311.db2.gz ZADMPFRQTLSWST-NHYWBVRUSA-N 0 0 428.442 -0.037 20 0 IBADRN COC(=O)[C@@H](CNC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)Oc1ccc(F)cc1 ZINC000536278624 1072282252 /nfs/dbraw/zinc/28/22/52/1072282252.db2.gz ZADMPFRQTLSWST-XHDPSFHLSA-N 0 0 428.442 -0.037 20 0 IBADRN CCOc1ccc(NC(=O)NCC(=O)NCCO)cc1S(=O)(=O)N1CCOCC1 ZINC000536284590 1070908501 /nfs/dbraw/zinc/90/85/01/1070908501.db2.gz DZPMIJQIXLDCQD-UHFFFAOYSA-N 0 0 430.483 -0.664 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCSC1 ZINC000536295261 1072285127 /nfs/dbraw/zinc/28/51/27/1072285127.db2.gz PIZGSGXCZUCHGC-APPDUMDISA-N 0 0 427.504 -0.148 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCSC1 ZINC000536295263 1072285194 /nfs/dbraw/zinc/28/51/94/1072285194.db2.gz PIZGSGXCZUCHGC-DIFFPNOSSA-N 0 0 427.504 -0.148 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCSC1 ZINC000536295265 1072285289 /nfs/dbraw/zinc/28/52/89/1072285289.db2.gz PIZGSGXCZUCHGC-GTNSWQLSSA-N 0 0 427.504 -0.148 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCSC1 ZINC000536295266 1072285297 /nfs/dbraw/zinc/28/52/97/1072285297.db2.gz PIZGSGXCZUCHGC-PIGZYNQJSA-N 0 0 427.504 -0.148 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(OCC(F)F)n3)CC2)CC1 ZINC000536299180 1072285212 /nfs/dbraw/zinc/28/52/12/1072285212.db2.gz ZQRAFEJHHNEMDQ-UHFFFAOYSA-N 0 0 433.481 -0.025 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000536302645 1072285854 /nfs/dbraw/zinc/28/58/54/1072285854.db2.gz PSYHLYJHPLHAMT-UHFFFAOYSA-N 0 0 425.507 -0.453 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000536312171 1072286486 /nfs/dbraw/zinc/28/64/86/1072286486.db2.gz LATWLMIDPAUKPM-UHFFFAOYSA-N 0 0 426.451 -0.316 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N[C@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000536316732 1072287003 /nfs/dbraw/zinc/28/70/03/1072287003.db2.gz DMTUWXMLQBQCRJ-GFCCVEGCSA-N 0 0 434.540 -0.243 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000536316735 1072286912 /nfs/dbraw/zinc/28/69/12/1072286912.db2.gz DMTUWXMLQBQCRJ-LBPRGKRZSA-N 0 0 434.540 -0.243 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N1CCN(c2ccccc2S(=O)(=O)NC)CC1 ZINC000536320564 1070909139 /nfs/dbraw/zinc/90/91/39/1070909139.db2.gz PYXXRZIFWXGFKE-UHFFFAOYSA-N 0 0 432.568 -0.037 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CSCC(=O)N3CCOCC3)C2)cc1 ZINC000536335375 1072288295 /nfs/dbraw/zinc/28/82/95/1072288295.db2.gz BPEAMMSUXHCWDO-UHFFFAOYSA-N 0 0 443.547 -0.224 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000536336066 1072288374 /nfs/dbraw/zinc/28/83/74/1072288374.db2.gz WLGVLYGCJCUBBQ-HNNXBMFYSA-N 0 0 435.462 -0.956 20 0 IBADRN COc1ccc(CC(=O)N(C)CC(C)(CO)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000536338792 1072288332 /nfs/dbraw/zinc/28/83/32/1072288332.db2.gz QLUVMMRPXNMSLR-UHFFFAOYSA-N 0 0 430.523 -0.292 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc21 ZINC000536340882 1072288892 /nfs/dbraw/zinc/28/88/92/1072288892.db2.gz KIPJWSVDHNTIAL-UHFFFAOYSA-N 0 0 440.507 -0.905 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2CCNC(=O)C2)c1 ZINC000536341235 1072288966 /nfs/dbraw/zinc/28/89/66/1072288966.db2.gz OWZRDHKNVNIYOE-UHFFFAOYSA-N 0 0 431.186 -0.025 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000536342888 1070909656 /nfs/dbraw/zinc/90/96/56/1070909656.db2.gz ROGQQWBSGLXOTP-UHFFFAOYSA-N 0 0 436.494 -0.079 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H]1CCO[C@@H]1C1CC1 ZINC000536344972 1070909645 /nfs/dbraw/zinc/90/96/45/1070909645.db2.gz CZGHTQJZASJCIK-DOTOQJQBSA-N 0 0 436.490 -0.571 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000536344974 1072288916 /nfs/dbraw/zinc/28/89/16/1072288916.db2.gz CZGHTQJZASJCIK-NVXWUHKLSA-N 0 0 436.490 -0.571 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H]1CCO[C@H]1C1CC1 ZINC000536344976 1072289067 /nfs/dbraw/zinc/28/90/67/1072289067.db2.gz CZGHTQJZASJCIK-RDJZCZTQSA-N 0 0 436.490 -0.571 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1CCO[C@H]1C1CC1 ZINC000536344977 1072288928 /nfs/dbraw/zinc/28/89/28/1072288928.db2.gz CZGHTQJZASJCIK-WBVHZDCISA-N 0 0 436.490 -0.571 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@](C)(O)C(F)(F)F)cc1 ZINC000536351362 1072289842 /nfs/dbraw/zinc/28/98/42/1072289842.db2.gz ZRYLCHIIRINXCC-AWEZNQCLSA-N 0 0 427.401 -0.021 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@](C)(O)C(F)(F)F)cc1 ZINC000536351363 1072290087 /nfs/dbraw/zinc/29/00/87/1072290087.db2.gz ZRYLCHIIRINXCC-CQSZACIVSA-N 0 0 427.401 -0.021 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@@](C)(O)C(F)(F)F)cn2)C[C@@H](C)O1 ZINC000536351890 1072290012 /nfs/dbraw/zinc/29/00/12/1072290012.db2.gz ZCRMNIXQYCWISA-GLKRBJQHSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@@](C)(O)C(F)(F)F)cn2)C[C@H](C)O1 ZINC000536351891 1072290098 /nfs/dbraw/zinc/29/00/98/1072290098.db2.gz ZCRMNIXQYCWISA-OHUAYANFSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@](C)(O)C(F)(F)F)cn2)C[C@H](C)O1 ZINC000536351892 1072290032 /nfs/dbraw/zinc/29/00/32/1072290032.db2.gz ZCRMNIXQYCWISA-USBNGQNGSA-N 0 0 435.403 -0.113 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NC[C@](C)(O)C(F)(F)F)cn2)C[C@@H](C)O1 ZINC000536351893 1072290022 /nfs/dbraw/zinc/29/00/22/1072290022.db2.gz ZCRMNIXQYCWISA-UVWXRNBGSA-N 0 0 435.403 -0.113 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC[C@H](S(N)(=O)=O)C3)CC2)c1 ZINC000536358802 1072290488 /nfs/dbraw/zinc/29/04/88/1072290488.db2.gz IAQLMTSJZGHYRG-KRWDZBQOSA-N 0 0 444.579 -0.274 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC[C@@H](S(N)(=O)=O)C3)CC2)c1 ZINC000536358806 1072290544 /nfs/dbraw/zinc/29/05/44/1072290544.db2.gz IAQLMTSJZGHYRG-QGZVFWFLSA-N 0 0 444.579 -0.274 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC000536359122 1072289826 /nfs/dbraw/zinc/28/98/26/1072289826.db2.gz IPYSKXAXRNIBAZ-KBPBESRZSA-N 0 0 434.540 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC000536359128 1072289860 /nfs/dbraw/zinc/28/98/60/1072289860.db2.gz IPYSKXAXRNIBAZ-KGLIPLIRSA-N 0 0 434.540 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC000536359130 1072290572 /nfs/dbraw/zinc/29/05/72/1072290572.db2.gz IPYSKXAXRNIBAZ-UONOGXRCSA-N 0 0 434.540 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC000536359132 1072290577 /nfs/dbraw/zinc/29/05/77/1072290577.db2.gz IPYSKXAXRNIBAZ-ZIAGYGMSSA-N 0 0 434.540 -0.028 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000536359512 1072291095 /nfs/dbraw/zinc/29/10/95/1072291095.db2.gz KJBDCUDDKCDANY-HNNXBMFYSA-N 0 0 432.524 -0.455 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000536359517 1071055876 /nfs/dbraw/zinc/05/58/76/1071055876.db2.gz KJBDCUDDKCDANY-OAHLLOKOSA-N 0 0 432.524 -0.455 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000536360004 1072291068 /nfs/dbraw/zinc/29/10/68/1072291068.db2.gz UVEUUGKRIBEDBM-AWEZNQCLSA-N 0 0 447.535 -0.391 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000536360006 1072290974 /nfs/dbraw/zinc/29/09/74/1072290974.db2.gz UVEUUGKRIBEDBM-CQSZACIVSA-N 0 0 447.535 -0.391 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000536360260 1072290538 /nfs/dbraw/zinc/29/05/38/1072290538.db2.gz YHAOYVAKRYYLHF-AWEZNQCLSA-N 0 0 433.508 -0.579 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000536360261 1072290567 /nfs/dbraw/zinc/29/05/67/1072290567.db2.gz YHAOYVAKRYYLHF-CQSZACIVSA-N 0 0 433.508 -0.579 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000536360473 1072290549 /nfs/dbraw/zinc/29/05/49/1072290549.db2.gz CBOKZBRQMKYBOC-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000536360474 1072290410 /nfs/dbraw/zinc/29/04/10/1072290410.db2.gz CBOKZBRQMKYBOC-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000536360559 1071055161 /nfs/dbraw/zinc/05/51/61/1071055161.db2.gz HMSUIGDMNQYBTB-AWEZNQCLSA-N 0 0 437.565 -0.275 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000536360560 1071055122 /nfs/dbraw/zinc/05/51/22/1071055122.db2.gz HMSUIGDMNQYBTB-CQSZACIVSA-N 0 0 437.565 -0.275 20 0 IBADRN CN1C(=O)NC2(CCN(CC(=O)N(C3CCCC3)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000536361219 1072290913 /nfs/dbraw/zinc/29/09/13/1072290913.db2.gz RUCPZWFJUQZJGY-HNNXBMFYSA-N 0 0 426.539 -0.039 20 0 IBADRN CN1C(=O)NC2(CCN(CC(=O)N(C3CCCC3)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000536361220 1072291051 /nfs/dbraw/zinc/29/10/51/1072291051.db2.gz RUCPZWFJUQZJGY-OAHLLOKOSA-N 0 0 426.539 -0.039 20 0 IBADRN CCN(C1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1)S(C)(=O)=O ZINC000536361771 1072290383 /nfs/dbraw/zinc/29/03/83/1072290383.db2.gz RTMNLPNPGLQJRH-UHFFFAOYSA-N 0 0 436.494 -0.127 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCCS(N)(=O)=O)c1 ZINC000536362666 1071055737 /nfs/dbraw/zinc/05/57/37/1071055737.db2.gz HNZMFUCHEYTCQS-GFCCVEGCSA-N 0 0 434.540 -0.362 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCCS(N)(=O)=O)c1 ZINC000536362667 1072290860 /nfs/dbraw/zinc/29/08/60/1072290860.db2.gz HNZMFUCHEYTCQS-LBPRGKRZSA-N 0 0 434.540 -0.362 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000536364095 1072290934 /nfs/dbraw/zinc/29/09/34/1072290934.db2.gz WWKQBOTUFDNWNZ-UHFFFAOYSA-N 0 0 436.494 -0.127 20 0 IBADRN Cc1cncc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000536365471 1072291088 /nfs/dbraw/zinc/29/10/88/1072291088.db2.gz MRNAJGMAZIXLGI-UHFFFAOYSA-N 0 0 431.474 -0.235 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000536366993 1072291529 /nfs/dbraw/zinc/29/15/29/1072291529.db2.gz JKHYUFGGBPNARN-LLVKDONJSA-N 0 0 427.465 -0.588 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000536366994 1072291427 /nfs/dbraw/zinc/29/14/27/1072291427.db2.gz JKHYUFGGBPNARN-NSHDSACASA-N 0 0 427.465 -0.588 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000536374100 1072291481 /nfs/dbraw/zinc/29/14/81/1072291481.db2.gz HEMNUPXNHGJDCG-INIZCTEOSA-N 0 0 439.600 -0.556 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000536374142 1071057384 /nfs/dbraw/zinc/05/73/84/1071057384.db2.gz HEMNUPXNHGJDCG-MRXNPFEDSA-N 0 0 439.600 -0.556 20 0 IBADRN Cc1nc2ccnn2c(C)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000536376449 1072292184 /nfs/dbraw/zinc/29/21/84/1072292184.db2.gz YGXAWVDZOGVFHE-HNNXBMFYSA-N 0 0 434.522 -0.593 20 0 IBADRN Cc1nc2ccnn2c(C)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000536376450 1071056293 /nfs/dbraw/zinc/05/62/93/1071056293.db2.gz YGXAWVDZOGVFHE-OAHLLOKOSA-N 0 0 434.522 -0.593 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCOCC2)c(OC)c1 ZINC000536379117 1072292076 /nfs/dbraw/zinc/29/20/76/1072292076.db2.gz RUMAWGUZMDCXQD-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000536385453 1072292664 /nfs/dbraw/zinc/29/26/64/1072292664.db2.gz DWLIXAKLTSSBKC-GASCZTMLSA-N 0 0 440.588 -0.796 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000536385461 1072292592 /nfs/dbraw/zinc/29/25/92/1072292592.db2.gz DWLIXAKLTSSBKC-GJZGRUSLSA-N 0 0 440.588 -0.796 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000536385464 1072292622 /nfs/dbraw/zinc/29/26/22/1072292622.db2.gz DWLIXAKLTSSBKC-HUUCEWRRSA-N 0 0 440.588 -0.796 20 0 IBADRN NS(=O)(=O)C1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000536385561 1072292602 /nfs/dbraw/zinc/29/26/02/1072292602.db2.gz GJIPGYDPPREJEU-UHFFFAOYSA-N 0 0 444.579 -0.587 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2CCCC[C@H]2C(=O)Nc2cnn(CC(=O)NC)c2)cn1 ZINC000536385621 1072292499 /nfs/dbraw/zinc/29/24/99/1072292499.db2.gz GDENXBZWSBLYFW-HZPDHXFCSA-N 0 0 444.496 -0.045 20 0 IBADRN NS(=O)(=O)C1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000536386059 1072291935 /nfs/dbraw/zinc/29/19/35/1072291935.db2.gz NUVMTXJJSMCVLP-UHFFFAOYSA-N 0 0 430.552 -0.728 20 0 IBADRN CNC(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000536387372 1071058070 /nfs/dbraw/zinc/05/80/70/1071058070.db2.gz QHHDRSWSAPZSDE-UHFFFAOYSA-N 0 0 445.885 -0.567 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC2CCN(CC(=O)NC)CC2)c(OC)c1 ZINC000536389034 1072292996 /nfs/dbraw/zinc/29/29/96/1072292996.db2.gz TUUWKOKTYGIWIF-UHFFFAOYSA-N 0 0 448.567 -0.055 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)c1ccc(NS(=O)(=O)CC)cc1OC ZINC000536389121 1072292552 /nfs/dbraw/zinc/29/25/52/1072292552.db2.gz PRTVSQKUUNBYCU-UHFFFAOYSA-N 0 0 429.542 -0.326 20 0 IBADRN CCS(=O)(=O)[N-]c1cc(OC)ccc1S(=O)(=O)N1CCN(C(=O)C[NH+](C)C)CC1 ZINC000536389989 1072293052 /nfs/dbraw/zinc/29/30/52/1072293052.db2.gz GQGONLXXVZXZHN-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)NC)CC2)c(OC)c1 ZINC000536390276 1072293032 /nfs/dbraw/zinc/29/30/32/1072293032.db2.gz GZDKJYUIIAMRLZ-UHFFFAOYSA-N 0 0 448.567 -0.101 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000536390386 1071057928 /nfs/dbraw/zinc/05/79/28/1071057928.db2.gz JLMILAKJNNQDME-UHFFFAOYSA-N 0 0 432.587 -0.217 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(CC(=O)OC)CC(=O)OC)c(OC)c1 ZINC000536391500 1072293205 /nfs/dbraw/zinc/29/32/05/1072293205.db2.gz SBPVBIPRAOOODH-UHFFFAOYSA-N 0 0 438.480 -0.207 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccc(NS(=O)(=O)CC)cc2OC)CC1 ZINC000536393138 1071058624 /nfs/dbraw/zinc/05/86/24/1071058624.db2.gz NXJCAEPBQRYMQJ-UHFFFAOYSA-N 0 0 448.567 -0.101 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2cnc(-c3ccccc3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000536395149 1072293083 /nfs/dbraw/zinc/29/30/83/1072293083.db2.gz OAPKUNCHTAUMMF-KRWDZBQOSA-N 0 0 446.533 -0.607 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2cnc(-c3ccccc3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000536395151 1072293110 /nfs/dbraw/zinc/29/31/10/1072293110.db2.gz OAPKUNCHTAUMMF-QGZVFWFLSA-N 0 0 446.533 -0.607 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(OCC(F)F)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000536395775 1072293155 /nfs/dbraw/zinc/29/31/55/1072293155.db2.gz QGMAKDJSTWBMAL-AWEZNQCLSA-N 0 0 446.476 -0.213 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(OCC(F)F)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000536395786 1072293285 /nfs/dbraw/zinc/29/32/85/1072293285.db2.gz QGMAKDJSTWBMAL-CQSZACIVSA-N 0 0 446.476 -0.213 20 0 IBADRN O=C(CN1CCN(c2ncccc2F)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000536396691 1072293097 /nfs/dbraw/zinc/29/30/97/1072293097.db2.gz ZEDOEDJXAAGCLD-INIZCTEOSA-N 0 0 425.530 -0.326 20 0 IBADRN O=C(CN1CCN(c2ncccc2F)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000536396693 1072293244 /nfs/dbraw/zinc/29/32/44/1072293244.db2.gz ZEDOEDJXAAGCLD-MRXNPFEDSA-N 0 0 425.530 -0.326 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(C(F)(F)F)nc2)CC1 ZINC000536403845 1072293187 /nfs/dbraw/zinc/29/31/87/1072293187.db2.gz VKXZNIRAUPWNMI-UHFFFAOYSA-N 0 0 441.366 -0.141 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3cnc(-c4ccccc4)n3)CC2)CC1 ZINC000536409414 1072293791 /nfs/dbraw/zinc/29/37/91/1072293791.db2.gz ICBGIFFKYRBPRL-UHFFFAOYSA-N 0 0 433.538 -0.419 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3ncccc3F)CC2)CC1)N1CCOCC1 ZINC000536417093 1073378721 /nfs/dbraw/zinc/37/87/21/1073378721.db2.gz GMIAIPAPBIRDLZ-UHFFFAOYSA-N 0 0 434.516 -0.654 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2O)cn1C ZINC000536441492 1072296921 /nfs/dbraw/zinc/29/69/21/1072296921.db2.gz XKQACNTULAYRII-UHFFFAOYSA-N 0 0 443.507 -0.208 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCCN(c3ccccc3F)C1=O)c(=O)n2C ZINC000536444454 1072304387 /nfs/dbraw/zinc/30/43/87/1072304387.db2.gz ABBRFZOFRLXEHE-CYBMUJFWSA-N 0 0 428.424 -0.115 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCCN(c3ccccc3F)C1=O)c(=O)n2C ZINC000536444455 1072304407 /nfs/dbraw/zinc/30/44/07/1072304407.db2.gz ABBRFZOFRLXEHE-ZDUSSCGKSA-N 0 0 428.424 -0.115 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)cn1)N1CCOCC1 ZINC000536445597 1072308441 /nfs/dbraw/zinc/30/84/41/1072308441.db2.gz QRFBCXAADQWPAJ-UHFFFAOYSA-N 0 0 445.455 -0.437 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)n1)N1CCOCC1 ZINC000536447797 1074363206 /nfs/dbraw/zinc/36/32/06/1074363206.db2.gz GHAPHHKXEHGAHD-UHFFFAOYSA-N 0 0 445.455 -0.437 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n(-c2ccccc2C)n1 ZINC000536450181 1072311352 /nfs/dbraw/zinc/31/13/52/1072311352.db2.gz PYYDMFHVQGNBIC-UHFFFAOYSA-N 0 0 437.464 -0.215 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(c4ncccc4F)CC3)cnc2n(C)c1=O ZINC000536451569 1071061810 /nfs/dbraw/zinc/06/18/10/1071061810.db2.gz ZSCPNCDNKVMIMY-UHFFFAOYSA-N 0 0 441.423 -0.546 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2c3c(nn2C)CCC3)CC1 ZINC000536452118 1071061627 /nfs/dbraw/zinc/06/16/27/1071061627.db2.gz ZSAKCZTXTMJXNB-UHFFFAOYSA-N 0 0 427.527 -0.254 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2c3c(nn2C)CCC3)CC1 ZINC000536452156 1072317504 /nfs/dbraw/zinc/31/75/04/1072317504.db2.gz AFVUFPQAUWIHFG-UHFFFAOYSA-N 0 0 436.494 -0.015 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4nc5ccccc5[nH]4)CC3)c2c(=O)n(C)c1=O ZINC000536456356 1072318515 /nfs/dbraw/zinc/31/85/15/1072318515.db2.gz UVSYUUXBSCMPAN-UHFFFAOYSA-N 0 0 436.476 -0.346 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3nc4ccccc4[nH]3)CC2)c[nH]1 ZINC000536456545 1071061759 /nfs/dbraw/zinc/06/17/59/1071061759.db2.gz VCRDMEIBDDNKNT-UHFFFAOYSA-N 0 0 445.505 -0.387 20 0 IBADRN Cn1c2[nH]c(CN3CCN(C(=O)c4ccc5c(c4)OCO5)CC3)nc2c(=O)n(C)c1=O ZINC000536471064 1072319975 /nfs/dbraw/zinc/31/99/75/1072319975.db2.gz GFCDOFYHPFNRNT-UHFFFAOYSA-N 0 0 426.433 -0.353 20 0 IBADRN Cn1c2[nH]c(CN3CCN([C@H]4CCN(c5ccccc5)C4=O)CC3)nc2c(=O)n(C)c1=O ZINC000536471706 1072319443 /nfs/dbraw/zinc/31/94/43/1072319443.db2.gz LEPMTQCPOFQRLD-INIZCTEOSA-N 0 0 437.504 -0.117 20 0 IBADRN Cn1c2[nH]c(CN3CCN([C@@H]4CCN(c5ccccc5)C4=O)CC3)nc2c(=O)n(C)c1=O ZINC000536471728 1072319493 /nfs/dbraw/zinc/31/94/93/1072319493.db2.gz LEPMTQCPOFQRLD-MRXNPFEDSA-N 0 0 437.504 -0.117 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)C1 ZINC000536473084 1072319653 /nfs/dbraw/zinc/31/96/53/1072319653.db2.gz XRNVPOBULXQBLA-GASCZTMLSA-N 0 0 431.541 -0.418 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)C1 ZINC000536473087 1072319586 /nfs/dbraw/zinc/31/95/86/1072319586.db2.gz XRNVPOBULXQBLA-GJZGRUSLSA-N 0 0 431.541 -0.418 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)C1 ZINC000536473088 1072319562 /nfs/dbraw/zinc/31/95/62/1072319562.db2.gz XRNVPOBULXQBLA-HUUCEWRRSA-N 0 0 431.541 -0.418 20 0 IBADRN Cn1c2[nH]c(CN3CCN(CC(=O)Nc4ccc(F)cc4)CC3)nc2c(=O)n(C)c1=O ZINC000536473119 1072319577 /nfs/dbraw/zinc/31/95/77/1072319577.db2.gz XWISTOOAIIKQCJ-UHFFFAOYSA-N 0 0 429.456 -0.144 20 0 IBADRN Cc1ccc(NC(=O)CN2CC[C@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000536484296 1072320607 /nfs/dbraw/zinc/32/06/07/1072320607.db2.gz BEHIWWDFWXFXII-HNNXBMFYSA-N 0 0 425.511 -0.303 20 0 IBADRN Cc1ccc(NC(=O)CN2CC[C@@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000536484312 1072320348 /nfs/dbraw/zinc/32/03/48/1072320348.db2.gz BEHIWWDFWXFXII-OAHLLOKOSA-N 0 0 425.511 -0.303 20 0 IBADRN NC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)C1 ZINC000536486776 1072320543 /nfs/dbraw/zinc/32/05/43/1072320543.db2.gz UHEXWJKSUPVXLA-LLVKDONJSA-N 0 0 435.959 -0.023 20 0 IBADRN NC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)C1 ZINC000536486781 1072320360 /nfs/dbraw/zinc/32/03/60/1072320360.db2.gz UHEXWJKSUPVXLA-NSHDSACASA-N 0 0 435.959 -0.023 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000536487259 1072320649 /nfs/dbraw/zinc/32/06/49/1072320649.db2.gz ZRTYHCPCOYYSIV-AWEZNQCLSA-N 0 0 441.510 -0.603 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000536487260 1071062306 /nfs/dbraw/zinc/06/23/06/1071062306.db2.gz ZRTYHCPCOYYSIV-CQSZACIVSA-N 0 0 441.510 -0.603 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](NC(N)=O)C3)CC2)c1 ZINC000536487637 1072320394 /nfs/dbraw/zinc/32/03/94/1072320394.db2.gz FGLDIXYAGWITCM-INIZCTEOSA-N 0 0 437.522 -0.535 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](NC(N)=O)C3)CC2)c1 ZINC000536487645 1071062476 /nfs/dbraw/zinc/06/24/76/1071062476.db2.gz FGLDIXYAGWITCM-MRXNPFEDSA-N 0 0 437.522 -0.535 20 0 IBADRN COc1ccc(NC(=O)N2CC[C@@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000536487912 1071062219 /nfs/dbraw/zinc/06/22/19/1071062219.db2.gz JVBJVZBKSUDUAI-CYBMUJFWSA-N 0 0 427.483 -0.009 20 0 IBADRN COc1ccc(NC(=O)N2CC[C@H](NC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000536487927 1071062776 /nfs/dbraw/zinc/06/27/76/1071062776.db2.gz JVBJVZBKSUDUAI-ZDUSSCGKSA-N 0 0 427.483 -0.009 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2O)cn1 ZINC000536495062 1072321960 /nfs/dbraw/zinc/32/19/60/1072321960.db2.gz RNTRMICWSSARRD-UHFFFAOYSA-N 0 0 429.480 -0.517 20 0 IBADRN O=C(NCCC(=O)N1CCCCC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000536495442 1072322153 /nfs/dbraw/zinc/32/21/53/1072322153.db2.gz BCGDXTBHQSXJTF-UHFFFAOYSA-N 0 0 431.559 -0.270 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCCCC2)cc1 ZINC000536519850 1072886014 /nfs/dbraw/zinc/88/60/14/1072886014.db2.gz VDJPQDMEJDSATO-UHFFFAOYSA-N 0 0 432.477 -0.024 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](NC(N)=O)C2)c1 ZINC000536522571 1072885730 /nfs/dbraw/zinc/88/57/30/1072885730.db2.gz NYJCLNDYBZAIKL-AWEZNQCLSA-N 0 0 425.511 -0.284 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](NC(N)=O)C2)c1 ZINC000536522572 1071063555 /nfs/dbraw/zinc/06/35/55/1071063555.db2.gz NYJCLNDYBZAIKL-CQSZACIVSA-N 0 0 425.511 -0.284 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@H](NC(N)=O)C2)cc1 ZINC000536522579 1072885718 /nfs/dbraw/zinc/88/57/18/1072885718.db2.gz OLRKVJSARVUWPQ-HNNXBMFYSA-N 0 0 428.511 -0.147 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](NC(N)=O)C2)cc1 ZINC000536522582 1072885659 /nfs/dbraw/zinc/88/56/59/1072885659.db2.gz OLRKVJSARVUWPQ-OAHLLOKOSA-N 0 0 428.511 -0.147 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)cc2)c1=O ZINC000536522641 1072885855 /nfs/dbraw/zinc/88/58/55/1072885855.db2.gz TZQQBYGWIZJBBL-UHFFFAOYSA-N 0 0 444.429 -0.235 20 0 IBADRN COC(=O)C1CCN(C(=O)Cn2c(=O)c(I)cn(C)c2=O)CC1 ZINC000536527520 1072885958 /nfs/dbraw/zinc/88/59/58/1072885958.db2.gz WEWGAFRRDUUENI-UHFFFAOYSA-N 0 0 435.218 -0.437 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC[C@H](NC(N)=O)C2)cc1 ZINC000536527959 1071084325 /nfs/dbraw/zinc/08/43/25/1071084325.db2.gz SSZTYOSRHAQATB-AWEZNQCLSA-N 0 0 425.511 -0.284 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC[C@@H](NC(N)=O)C2)cc1 ZINC000536527960 1071084171 /nfs/dbraw/zinc/08/41/71/1071084171.db2.gz SSZTYOSRHAQATB-CQSZACIVSA-N 0 0 425.511 -0.284 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCCCS(N)(=O)=O)nc2n(CC)c1=O ZINC000536532892 1072885672 /nfs/dbraw/zinc/88/56/72/1072885672.db2.gz GZNGXQVSWFYDGI-UHFFFAOYSA-N 0 0 426.499 -0.249 20 0 IBADRN Cc1ccc(C)c(-n2cnc(=O)n2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000536534719 1072886035 /nfs/dbraw/zinc/88/60/35/1072886035.db2.gz IMOSRZLPZHTERL-KRWDZBQOSA-N 0 0 433.534 -0.018 20 0 IBADRN Cc1ccc(C)c(-n2cnc(=O)n2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000536534720 1072885831 /nfs/dbraw/zinc/88/58/31/1072885831.db2.gz IMOSRZLPZHTERL-QGZVFWFLSA-N 0 0 433.534 -0.018 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2ccccc2CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000536537404 1072886422 /nfs/dbraw/zinc/88/64/22/1072886422.db2.gz VUFRRXUOTRXQDJ-UHFFFAOYSA-N 0 0 430.596 -0.159 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1Cc1ccccc1CN1CCNC(=O)[C@@H]1CC(=O)OC ZINC000536538838 1072886459 /nfs/dbraw/zinc/88/64/59/1072886459.db2.gz KWAQUMTUIAJXCI-HDICACEKSA-N 0 0 446.504 -0.586 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1Cc1ccccc1CN1CCNC(=O)[C@H]1CC(=O)OC ZINC000536538843 1072886467 /nfs/dbraw/zinc/88/64/67/1072886467.db2.gz KWAQUMTUIAJXCI-QZTJIDSGSA-N 0 0 446.504 -0.586 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1Cc1ccccc1CN1CCNC(=O)[C@@H]1CC(=O)OC ZINC000536538844 1072886308 /nfs/dbraw/zinc/88/63/08/1072886308.db2.gz KWAQUMTUIAJXCI-ROUUACIJSA-N 0 0 446.504 -0.586 20 0 IBADRN CC(C)(C)C(=O)NCCc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)s1 ZINC000536540601 1071316249 /nfs/dbraw/zinc/31/62/49/1071316249.db2.gz WOVZPEOHWDNJFI-GFCCVEGCSA-N 0 0 442.563 -0.216 20 0 IBADRN CC(C)(C)C(=O)NCCc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)s1 ZINC000536540602 1072886337 /nfs/dbraw/zinc/88/63/37/1072886337.db2.gz WOVZPEOHWDNJFI-LBPRGKRZSA-N 0 0 442.563 -0.216 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CC(=O)N(c3c(F)cccc3F)C1)c(=O)n2C ZINC000536560123 1072887088 /nfs/dbraw/zinc/88/70/88/1072887088.db2.gz KWXVTNCOLQLWPA-JTQLQIEISA-N 0 0 432.387 -0.366 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CC(=O)N(c3c(F)cccc3F)C1)c(=O)n2C ZINC000536560124 1072886948 /nfs/dbraw/zinc/88/69/48/1072886948.db2.gz KWXVTNCOLQLWPA-SNVBAGLBSA-N 0 0 432.387 -0.366 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000536565179 1072888314 /nfs/dbraw/zinc/88/83/14/1072888314.db2.gz CEZNLYPUZVTKQJ-ARFHVFGLSA-N 0 0 437.584 -0.805 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000536565185 1072888158 /nfs/dbraw/zinc/88/81/58/1072888158.db2.gz CEZNLYPUZVTKQJ-BZUAXINKSA-N 0 0 437.584 -0.805 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000536565186 1072888229 /nfs/dbraw/zinc/88/82/29/1072888229.db2.gz CEZNLYPUZVTKQJ-HRCADAONSA-N 0 0 437.584 -0.805 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000536565187 1072888378 /nfs/dbraw/zinc/88/83/78/1072888378.db2.gz CEZNLYPUZVTKQJ-OWCLPIDISA-N 0 0 437.584 -0.805 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CC(=O)N(c4c(F)cccc4F)C3)c2c(=O)n(C)c1=O ZINC000536565495 1072888482 /nfs/dbraw/zinc/88/84/82/1072888482.db2.gz MAFHAOPSLLCJFG-JTQLQIEISA-N 0 0 432.387 -0.366 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CC(=O)N(c4c(F)cccc4F)C3)c2c(=O)n(C)c1=O ZINC000536565496 1072888495 /nfs/dbraw/zinc/88/84/95/1072888495.db2.gz MAFHAOPSLLCJFG-SNVBAGLBSA-N 0 0 432.387 -0.366 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000536567320 1072887677 /nfs/dbraw/zinc/88/76/77/1072887677.db2.gz ASVDDFSNSBJIJI-INIZCTEOSA-N 0 0 443.508 -0.032 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000536567321 1072887652 /nfs/dbraw/zinc/88/76/52/1072887652.db2.gz ASVDDFSNSBJIJI-MRXNPFEDSA-N 0 0 443.508 -0.032 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000536567373 1072887504 /nfs/dbraw/zinc/88/75/04/1072887504.db2.gz CKSJVOVTEWLQFB-KRWDZBQOSA-N 0 0 444.579 -0.467 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000536567374 1072887477 /nfs/dbraw/zinc/88/74/77/1072887477.db2.gz CKSJVOVTEWLQFB-QGZVFWFLSA-N 0 0 444.579 -0.467 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2)c1=O ZINC000536571140 1072889051 /nfs/dbraw/zinc/88/90/51/1072889051.db2.gz OZXYYVBTXGVIRZ-UHFFFAOYSA-N 0 0 429.481 -0.421 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000536571371 1072889065 /nfs/dbraw/zinc/88/90/65/1072889065.db2.gz WSKCYJODGVVZFL-AWEZNQCLSA-N 0 0 436.542 -0.975 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000536571372 1072888721 /nfs/dbraw/zinc/88/87/21/1072888721.db2.gz WSKCYJODGVVZFL-CQSZACIVSA-N 0 0 436.542 -0.975 20 0 IBADRN CN(CCN1CCCC1=O)c1nc(N(C)CCN2CCCC2=O)nc(N2CCOCC2)n1 ZINC000536575245 1072889556 /nfs/dbraw/zinc/88/95/56/1072889556.db2.gz RHXBDNIWJFAUHV-UHFFFAOYSA-N 0 0 446.556 -0.175 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN([C@@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000536575758 1072889475 /nfs/dbraw/zinc/88/94/75/1072889475.db2.gz FIRLHJFVKMROAA-DVOMOZLQSA-N 0 0 432.568 -0.087 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000536575759 1072889302 /nfs/dbraw/zinc/88/93/02/1072889302.db2.gz FIRLHJFVKMROAA-HEHGZKQESA-N 0 0 432.568 -0.087 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN([C@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000536575760 1072889612 /nfs/dbraw/zinc/88/96/12/1072889612.db2.gz FIRLHJFVKMROAA-WOSRLPQWSA-N 0 0 432.568 -0.087 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN([C@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)C1 ZINC000536575761 1072889591 /nfs/dbraw/zinc/88/95/91/1072889591.db2.gz FIRLHJFVKMROAA-XJKCOSOUSA-N 0 0 432.568 -0.087 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000536587348 1072889531 /nfs/dbraw/zinc/88/95/31/1072889531.db2.gz YCIVLZOECJFVKY-AWEZNQCLSA-N 0 0 447.535 -0.334 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000536587349 1072889456 /nfs/dbraw/zinc/88/94/56/1072889456.db2.gz YCIVLZOECJFVKY-CQSZACIVSA-N 0 0 447.535 -0.334 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000536588434 1072890117 /nfs/dbraw/zinc/89/01/17/1072890117.db2.gz UBHYSTXRLWTUDE-INIZCTEOSA-N 0 0 446.551 -0.194 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000536588435 1072890066 /nfs/dbraw/zinc/89/00/66/1072890066.db2.gz UBHYSTXRLWTUDE-MRXNPFEDSA-N 0 0 446.551 -0.194 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000536589594 1072890032 /nfs/dbraw/zinc/89/00/32/1072890032.db2.gz OLPCVGWIXZCBFH-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000536589595 1072890058 /nfs/dbraw/zinc/89/00/58/1072890058.db2.gz OLPCVGWIXZCBFH-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000536589788 1072890151 /nfs/dbraw/zinc/89/01/51/1072890151.db2.gz UXAPCVIIJHFEES-AWEZNQCLSA-N 0 0 449.551 -0.088 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000536589789 1072890043 /nfs/dbraw/zinc/89/00/43/1072890043.db2.gz UXAPCVIIJHFEES-CQSZACIVSA-N 0 0 449.551 -0.088 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)C1=O ZINC000536591576 1072889951 /nfs/dbraw/zinc/88/99/51/1072889951.db2.gz BSXBELWSOPDFON-GFCCVEGCSA-N 0 0 429.433 -0.195 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)C1=O ZINC000536591580 1072889975 /nfs/dbraw/zinc/88/99/75/1072889975.db2.gz BSXBELWSOPDFON-LBPRGKRZSA-N 0 0 429.433 -0.195 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000536593525 1072890590 /nfs/dbraw/zinc/89/05/90/1072890590.db2.gz NQQJMWQFGNGXTE-INIZCTEOSA-N 0 0 438.554 -0.157 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000536593526 1072890447 /nfs/dbraw/zinc/89/04/47/1072890447.db2.gz NQQJMWQFGNGXTE-MRXNPFEDSA-N 0 0 438.554 -0.157 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C1 ZINC000536598362 1072891093 /nfs/dbraw/zinc/89/10/93/1072891093.db2.gz WMUBZBGOSJKWLT-AWEZNQCLSA-N 0 0 432.524 -0.786 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C1 ZINC000536598363 1072891041 /nfs/dbraw/zinc/89/10/41/1072891041.db2.gz WMUBZBGOSJKWLT-CQSZACIVSA-N 0 0 432.524 -0.786 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(C(=O)OCC)cc2)C1 ZINC000536599374 1072891108 /nfs/dbraw/zinc/89/11/08/1072891108.db2.gz CBEZQOOIJZDJRU-HNNXBMFYSA-N 0 0 447.535 -0.318 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(C(=O)OCC)cc2)C1 ZINC000536599375 1072891101 /nfs/dbraw/zinc/89/11/01/1072891101.db2.gz CBEZQOOIJZDJRU-OAHLLOKOSA-N 0 0 447.535 -0.318 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000536599524 1072891020 /nfs/dbraw/zinc/89/10/20/1072891020.db2.gz FKOCZMPOBGWYLX-AWEZNQCLSA-N 0 0 437.478 -0.783 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000536599525 1072891077 /nfs/dbraw/zinc/89/10/77/1072891077.db2.gz FKOCZMPOBGWYLX-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1 ZINC000536599770 1072890971 /nfs/dbraw/zinc/89/09/71/1072890971.db2.gz JVHDJCKECZCFGT-AWEZNQCLSA-N 0 0 436.490 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1 ZINC000536599771 1072891030 /nfs/dbraw/zinc/89/10/30/1072891030.db2.gz JVHDJCKECZCFGT-CQSZACIVSA-N 0 0 436.490 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)NC)c3c2)C1 ZINC000536601160 1072891060 /nfs/dbraw/zinc/89/10/60/1072891060.db2.gz CURABSNELVQRPF-HNNXBMFYSA-N 0 0 435.506 -0.134 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)NC)c3c2)C1 ZINC000536601170 1072891049 /nfs/dbraw/zinc/89/10/49/1072891049.db2.gz CURABSNELVQRPF-OAHLLOKOSA-N 0 0 435.506 -0.134 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000536603296 1072890984 /nfs/dbraw/zinc/89/09/84/1072890984.db2.gz MPDUKLBNIREISQ-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000536603297 1072890902 /nfs/dbraw/zinc/89/09/02/1072890902.db2.gz MPDUKLBNIREISQ-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)C1 ZINC000536603763 1072891085 /nfs/dbraw/zinc/89/10/85/1072891085.db2.gz XXIGOGYTXLQTPI-JTQLQIEISA-N 0 0 435.300 -0.374 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)C1 ZINC000536603764 1072891405 /nfs/dbraw/zinc/89/14/05/1072891405.db2.gz XXIGOGYTXLQTPI-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000536604847 1072891439 /nfs/dbraw/zinc/89/14/39/1072891439.db2.gz MBUNFLNXTVEWFN-AWEZNQCLSA-N 0 0 446.551 -0.676 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000536604848 1072891418 /nfs/dbraw/zinc/89/14/18/1072891418.db2.gz MBUNFLNXTVEWFN-CQSZACIVSA-N 0 0 446.551 -0.676 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000536606648 1072891364 /nfs/dbraw/zinc/89/13/64/1072891364.db2.gz SPZZEJDKSVCGHK-GFCCVEGCSA-N 0 0 425.446 -0.411 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000536606649 1072891304 /nfs/dbraw/zinc/89/13/04/1072891304.db2.gz SPZZEJDKSVCGHK-LBPRGKRZSA-N 0 0 425.446 -0.411 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000536607899 1072891290 /nfs/dbraw/zinc/89/12/90/1072891290.db2.gz BWDHJLUXNJZMBP-AWEZNQCLSA-N 0 0 437.478 -0.783 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000536607900 1072891277 /nfs/dbraw/zinc/89/12/77/1072891277.db2.gz BWDHJLUXNJZMBP-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000536608717 1072891320 /nfs/dbraw/zinc/89/13/20/1072891320.db2.gz OITPACCMXZJZMO-CYBMUJFWSA-N 0 0 437.565 -0.077 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000536608718 1072891376 /nfs/dbraw/zinc/89/13/76/1072891376.db2.gz OITPACCMXZJZMO-ZDUSSCGKSA-N 0 0 437.565 -0.077 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OCCC(=O)NC)cc2)C1 ZINC000536609993 1072891818 /nfs/dbraw/zinc/89/18/18/1072891818.db2.gz ATYDIEWTPAVJBW-HNNXBMFYSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OCCC(=O)NC)cc2)C1 ZINC000536609994 1072891788 /nfs/dbraw/zinc/89/17/88/1072891788.db2.gz ATYDIEWTPAVJBW-OAHLLOKOSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000536612363 1072891724 /nfs/dbraw/zinc/89/17/24/1072891724.db2.gz PDYBTASYAQCUAU-LLVKDONJSA-N 0 0 426.480 -0.748 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000536612364 1072891652 /nfs/dbraw/zinc/89/16/52/1072891652.db2.gz PDYBTASYAQCUAU-NSHDSACASA-N 0 0 426.480 -0.748 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCc3ccc(C(=O)OC)cc3C2)cn1 ZINC000536625744 1072892270 /nfs/dbraw/zinc/89/22/70/1072892270.db2.gz ROAHPWPMMOXGLE-UHFFFAOYSA-N 0 0 443.460 -0.044 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C2=O)n1 ZINC000536638155 1072900281 /nfs/dbraw/zinc/90/02/81/1072900281.db2.gz GLEMRGNOUUYQFW-HNNXBMFYSA-N 0 0 438.448 -0.445 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C2=O)n1 ZINC000536638156 1072900251 /nfs/dbraw/zinc/90/02/51/1072900251.db2.gz GLEMRGNOUUYQFW-OAHLLOKOSA-N 0 0 438.448 -0.445 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000536638438 1072900170 /nfs/dbraw/zinc/90/01/70/1072900170.db2.gz WWIWCJAKKGHEFY-UHFFFAOYSA-N 0 0 429.481 -0.868 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@H]3CCC[C@@H]3NS(C)(=O)=O)cc2)c1=O ZINC000536639458 1072900700 /nfs/dbraw/zinc/90/07/00/1072900700.db2.gz KCEZRTLFZMYFBM-DOMZBBRYSA-N 0 0 436.494 -0.656 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@H]3CCC[C@H]3NS(C)(=O)=O)cc2)c1=O ZINC000536639459 1072900738 /nfs/dbraw/zinc/90/07/38/1072900738.db2.gz KCEZRTLFZMYFBM-IUODEOHRSA-N 0 0 436.494 -0.656 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@@H]3CCC[C@H]3NS(C)(=O)=O)cc2)c1=O ZINC000536639460 1072900680 /nfs/dbraw/zinc/90/06/80/1072900680.db2.gz KCEZRTLFZMYFBM-SWLSCSKDSA-N 0 0 436.494 -0.656 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@@H]3CCC[C@@H]3NS(C)(=O)=O)cc2)c1=O ZINC000536639461 1072900765 /nfs/dbraw/zinc/90/07/65/1072900765.db2.gz KCEZRTLFZMYFBM-WFASDCNBSA-N 0 0 436.494 -0.656 20 0 IBADRN CC[NH+]1CCN([C@@H]2CCN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C2)CC1 ZINC000536639464 1072900753 /nfs/dbraw/zinc/90/07/53/1072900753.db2.gz KHELKHLNZRZEQL-GOSISDBHSA-N 0 0 427.509 -0.252 20 0 IBADRN CC[NH+]1CCN([C@H]2CCN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C2)CC1 ZINC000536639465 1072900628 /nfs/dbraw/zinc/90/06/28/1072900628.db2.gz KHELKHLNZRZEQL-SFHVURJKSA-N 0 0 427.509 -0.252 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000536639623 1072905864 /nfs/dbraw/zinc/90/58/64/1072905864.db2.gz QZXYCAFLFHEOPP-HNNXBMFYSA-N 0 0 436.494 -0.562 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C1 ZINC000536639624 1072905887 /nfs/dbraw/zinc/90/58/87/1072905887.db2.gz QZXYCAFLFHEOPP-OAHLLOKOSA-N 0 0 436.494 -0.562 20 0 IBADRN COCCn1ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc21 ZINC000536641808 1072954970 /nfs/dbraw/zinc/95/49/70/1072954970.db2.gz DJWLVNIVLRJSEH-UHFFFAOYSA-N 0 0 440.464 -0.416 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)cc2)c1=O ZINC000536641813 1072954802 /nfs/dbraw/zinc/95/48/02/1072954802.db2.gz FCHFAXKWAGBLTQ-UHFFFAOYSA-N 0 0 435.444 -0.111 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)CC2)n1 ZINC000536641902 1072954318 /nfs/dbraw/zinc/95/43/18/1072954318.db2.gz KSXYHXCRUHBKHZ-UHFFFAOYSA-N 0 0 438.448 -0.343 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000536643887 1072956446 /nfs/dbraw/zinc/95/64/46/1072956446.db2.gz YGWJAYHFUZYCQC-UHFFFAOYSA-N 0 0 429.481 -0.822 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)cc2)c1=O ZINC000536645547 1072958445 /nfs/dbraw/zinc/95/84/45/1072958445.db2.gz GXJNCXVQBPOOGT-UHFFFAOYSA-N 0 0 444.473 -0.396 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000536645710 1072966314 /nfs/dbraw/zinc/96/63/14/1072966314.db2.gz OZLIIZRWWQWZLE-UHFFFAOYSA-N 0 0 437.460 -0.278 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC3CCC3)cc2)c1=O ZINC000536646737 1072966200 /nfs/dbraw/zinc/96/62/00/1072966200.db2.gz OUROTPUIBNIGDB-UHFFFAOYSA-N 0 0 436.494 -0.655 20 0 IBADRN CN(C)C(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000536651361 1072966307 /nfs/dbraw/zinc/96/63/07/1072966307.db2.gz KDIOZRZBKKUUFR-UHFFFAOYSA-N 0 0 439.538 -0.313 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(-c2ccncc2)n1 ZINC000536661644 1072966171 /nfs/dbraw/zinc/96/61/71/1072966171.db2.gz AAHNPMVSYQZOJX-GOSISDBHSA-N 0 0 432.550 -0.097 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(-c2ccncc2)n1 ZINC000536661645 1072966354 /nfs/dbraw/zinc/96/63/54/1072966354.db2.gz AAHNPMVSYQZOJX-SFHVURJKSA-N 0 0 432.550 -0.097 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)sc1Br ZINC000536679349 1072966231 /nfs/dbraw/zinc/96/62/31/1072966231.db2.gz RDJSQFRCSUICDB-UHFFFAOYSA-N 0 0 433.375 -0.191 20 0 IBADRN O=C(CN1CCN(Cc2cnc(N3CCOCC3)s2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000536680792 1072966335 /nfs/dbraw/zinc/96/63/35/1072966335.db2.gz DOSKTORNIONWNQ-HNNXBMFYSA-N 0 0 443.595 -0.599 20 0 IBADRN O=C(CN1CCN(Cc2cnc(N3CCOCC3)s2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000536680794 1072966252 /nfs/dbraw/zinc/96/62/52/1072966252.db2.gz DOSKTORNIONWNQ-OAHLLOKOSA-N 0 0 443.595 -0.599 20 0 IBADRN CS(=O)(=O)c1ccc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000536681308 1072966187 /nfs/dbraw/zinc/96/61/87/1072966187.db2.gz PGUNQORPSSPMJM-INIZCTEOSA-N 0 0 429.564 -0.489 20 0 IBADRN CS(=O)(=O)c1ccc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000536681310 1072966322 /nfs/dbraw/zinc/96/63/22/1072966322.db2.gz PGUNQORPSSPMJM-MRXNPFEDSA-N 0 0 429.564 -0.489 20 0 IBADRN COC(=O)CCN1CC[C@@H](NS(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)C1=O ZINC000536684145 1072966800 /nfs/dbraw/zinc/96/68/00/1072966800.db2.gz LJICERWMHXHJQA-LLVKDONJSA-N 0 0 444.462 -0.067 20 0 IBADRN COC(=O)CCN1CC[C@H](NS(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)C1=O ZINC000536684146 1072966709 /nfs/dbraw/zinc/96/67/09/1072966709.db2.gz LJICERWMHXHJQA-NSHDSACASA-N 0 0 444.462 -0.067 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn2cc(Br)cnc12 ZINC000536686884 1072966775 /nfs/dbraw/zinc/96/67/75/1072966775.db2.gz PWTCHGOQQYIFJU-CHWSQXEVSA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn2cc(Br)cnc12 ZINC000536686891 1072966854 /nfs/dbraw/zinc/96/68/54/1072966854.db2.gz PWTCHGOQQYIFJU-OLZOCXBDSA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn2cc(Br)cnc12 ZINC000536686894 1072966889 /nfs/dbraw/zinc/96/68/89/1072966889.db2.gz PWTCHGOQQYIFJU-QWHCGFSZSA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn2cc(Br)cnc12 ZINC000536686897 1072966779 /nfs/dbraw/zinc/96/67/79/1072966779.db2.gz PWTCHGOQQYIFJU-STQMWFEESA-N 0 0 444.311 -0.281 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1F ZINC000536691118 1072966684 /nfs/dbraw/zinc/96/66/84/1072966684.db2.gz LLIQVZJEIBIBGT-UHFFFAOYSA-N 0 0 429.517 -0.147 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1F ZINC000536697366 1072966741 /nfs/dbraw/zinc/96/67/41/1072966741.db2.gz KQJUXMPKSZMERL-UHFFFAOYSA-N 0 0 429.517 -0.099 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)cc1F ZINC000536699532 1072966815 /nfs/dbraw/zinc/96/68/15/1072966815.db2.gz DRLUECCONHZYSL-UHFFFAOYSA-N 0 0 429.517 -0.053 20 0 IBADRN NC(=O)CN(CC(N)=O)S(=O)(=O)c1c(Br)cc2[nH]c(=O)oc2c1Cl ZINC000536701763 1072966719 /nfs/dbraw/zinc/96/67/19/1072966719.db2.gz RSRQUQLSETVYLK-UHFFFAOYSA-N 0 0 441.647 -0.089 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)Cn1c(=O)c(I)cn(C)c1=O ZINC000536701953 1072966761 /nfs/dbraw/zinc/96/67/61/1072966761.db2.gz YYAGCXVEYGFUJL-UHFFFAOYSA-N 0 0 436.250 -0.475 20 0 IBADRN COc1ccc(S(=O)(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)cc1C(N)=O ZINC000536704053 1072966844 /nfs/dbraw/zinc/96/68/44/1072966844.db2.gz OGZCPEPZNTWVLL-UHFFFAOYSA-N 0 0 443.503 -0.029 20 0 IBADRN COC(=O)C1CCC(NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)(C(=O)OC)CC1 ZINC000536704447 1072966752 /nfs/dbraw/zinc/96/67/52/1072966752.db2.gz ASVAEKYYEUNESI-UHFFFAOYSA-N 0 0 434.492 -0.113 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)cn1 ZINC000536705375 1072966728 /nfs/dbraw/zinc/96/67/28/1072966728.db2.gz QKBOEXQXMLLJGU-UHFFFAOYSA-N 0 0 432.480 -0.767 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000536706135 1072966864 /nfs/dbraw/zinc/96/68/64/1072966864.db2.gz ZREYXPWKCJWPPO-UHFFFAOYSA-N 0 0 449.551 -0.005 20 0 IBADRN COC(=O)C1CCC(NS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)(C(=O)OC)CC1 ZINC000536706886 1072967356 /nfs/dbraw/zinc/96/73/56/1072967356.db2.gz DNPGBHBHLMEPQI-UHFFFAOYSA-N 0 0 434.492 -0.113 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)CC1 ZINC000536713916 1072966767 /nfs/dbraw/zinc/96/67/67/1072966767.db2.gz CDCHYAWYGGINCW-UHFFFAOYSA-N 0 0 433.461 -0.068 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC(O)(C(=O)OC)CC2)cc1 ZINC000536714531 1072966876 /nfs/dbraw/zinc/96/68/76/1072966876.db2.gz COCIPGGUEGDVNU-UHFFFAOYSA-N 0 0 435.433 -0.556 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C)c(N3CCCS3(=O)=O)c2)CC1 ZINC000536716301 1072967410 /nfs/dbraw/zinc/96/74/10/1072967410.db2.gz FRDLEKVWKYGHGF-UHFFFAOYSA-N 0 0 439.490 0.000 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCC(O)(C(=O)OC)CC2)cc1 ZINC000536717817 1072967336 /nfs/dbraw/zinc/96/73/36/1072967336.db2.gz RCODJCGQDAHZLB-CYBMUJFWSA-N 0 0 449.460 -0.167 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCC(O)(C(=O)OC)CC2)cc1 ZINC000536717818 1072967215 /nfs/dbraw/zinc/96/72/15/1072967215.db2.gz RCODJCGQDAHZLB-ZDUSSCGKSA-N 0 0 449.460 -0.167 20 0 IBADRN CN(CCc1cnccn1)CC(=O)N1CCN(C(=O)CN(C)CCc2cnccn2)CC1 ZINC000536719631 1072967378 /nfs/dbraw/zinc/96/73/78/1072967378.db2.gz WDMULKOEFMVEDF-UHFFFAOYSA-N 0 0 440.552 -0.414 20 0 IBADRN CCCS(=O)(=O)CC(=O)NC[C@@H]1CSCCN1C(=O)CS(=O)(=O)CCC ZINC000536739296 1072967402 /nfs/dbraw/zinc/96/74/02/1072967402.db2.gz FFSONDCOLRIVBU-CYBMUJFWSA-N 0 0 428.598 -0.304 20 0 IBADRN CCCS(=O)(=O)CC(=O)NC[C@H]1CSCCN1C(=O)CS(=O)(=O)CCC ZINC000536739297 1072967269 /nfs/dbraw/zinc/96/72/69/1072967269.db2.gz FFSONDCOLRIVBU-ZDUSSCGKSA-N 0 0 428.598 -0.304 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)C1 ZINC000536740597 1072967399 /nfs/dbraw/zinc/96/73/99/1072967399.db2.gz COTUTNUOEOCOEX-CYBMUJFWSA-N 0 0 429.495 -0.018 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)C1 ZINC000536740598 1072967291 /nfs/dbraw/zinc/96/72/91/1072967291.db2.gz COTUTNUOEOCOEX-ZDUSSCGKSA-N 0 0 429.495 -0.018 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000536741008 1072967319 /nfs/dbraw/zinc/96/73/19/1072967319.db2.gz DDFUBFOAGPNZGU-INIZCTEOSA-N 0 0 446.551 -0.146 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000536741009 1072967412 /nfs/dbraw/zinc/96/74/12/1072967412.db2.gz DDFUBFOAGPNZGU-MRXNPFEDSA-N 0 0 446.551 -0.146 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000536741148 1072967232 /nfs/dbraw/zinc/96/72/32/1072967232.db2.gz LJJPUDSVYDOYHB-HNNXBMFYSA-N 0 0 446.551 -0.793 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000536741149 1072967327 /nfs/dbraw/zinc/96/73/27/1072967327.db2.gz LJJPUDSVYDOYHB-OAHLLOKOSA-N 0 0 446.551 -0.793 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000536745070 1072967277 /nfs/dbraw/zinc/96/72/77/1072967277.db2.gz DAVOWDCBPZBENJ-MSOLQXFVSA-N 0 0 439.582 -0.258 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000536745071 1072967348 /nfs/dbraw/zinc/96/73/48/1072967348.db2.gz DAVOWDCBPZBENJ-QZTJIDSGSA-N 0 0 439.582 -0.258 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000536745072 1072967287 /nfs/dbraw/zinc/96/72/87/1072967287.db2.gz DAVOWDCBPZBENJ-ROUUACIJSA-N 0 0 439.582 -0.258 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000536745073 1072967254 /nfs/dbraw/zinc/96/72/54/1072967254.db2.gz DAVOWDCBPZBENJ-ZWKOTPCHSA-N 0 0 439.582 -0.258 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H](S(N)(=O)=O)C1 ZINC000536749422 1072967306 /nfs/dbraw/zinc/96/73/06/1072967306.db2.gz ZJYRDQUGSPZXMM-BBRMVZONSA-N 0 0 446.551 -0.603 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H](S(N)(=O)=O)C1 ZINC000536749423 1072967915 /nfs/dbraw/zinc/96/79/15/1072967915.db2.gz ZJYRDQUGSPZXMM-CJNGLKHVSA-N 0 0 446.551 -0.603 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000536749424 1072967706 /nfs/dbraw/zinc/96/77/06/1072967706.db2.gz ZJYRDQUGSPZXMM-CZUORRHYSA-N 0 0 446.551 -0.603 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000536749425 1072967898 /nfs/dbraw/zinc/96/78/98/1072967898.db2.gz ZJYRDQUGSPZXMM-XJKSGUPXSA-N 0 0 446.551 -0.603 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000536750167 1072967811 /nfs/dbraw/zinc/96/78/11/1072967811.db2.gz SYWOLBGVLMPIHE-INIZCTEOSA-N 0 0 427.502 -0.729 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000536750168 1072967761 /nfs/dbraw/zinc/96/77/61/1072967761.db2.gz SYWOLBGVLMPIHE-MRXNPFEDSA-N 0 0 427.502 -0.729 20 0 IBADRN Cn1c2[nH]c(CN3CCN(Cc4nnnn4-c4ccccc4)CC3)nc2c(=O)n(C)c1=O ZINC000536751562 1072967920 /nfs/dbraw/zinc/96/79/20/1072967920.db2.gz LNJZXXUNUVCSDL-UHFFFAOYSA-N 0 0 436.480 -0.746 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1 ZINC000536754371 1072967927 /nfs/dbraw/zinc/96/79/27/1072967927.db2.gz YXOPVDNELOFWQQ-UHFFFAOYSA-N 0 0 447.521 -0.809 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000536755522 1072967688 /nfs/dbraw/zinc/96/76/88/1072967688.db2.gz ICQDYABSCCQMBA-GFCCVEGCSA-N 0 0 432.485 -0.241 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000536755547 1072967737 /nfs/dbraw/zinc/96/77/37/1072967737.db2.gz ICQDYABSCCQMBA-LBPRGKRZSA-N 0 0 432.485 -0.241 20 0 IBADRN CN1CCN(C(=O)CN2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1 ZINC000536756050 1072967883 /nfs/dbraw/zinc/96/78/83/1072967883.db2.gz JXWBAXORRDUDPS-UHFFFAOYSA-N 0 0 438.550 -0.072 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)CC1 ZINC000536756212 1072967863 /nfs/dbraw/zinc/96/78/63/1072967863.db2.gz NQRIPQYCVYUZMW-UHFFFAOYSA-N 0 0 431.541 -0.274 20 0 IBADRN Cn1c2[nH]c(CN3CCN(Cc4cc(=O)n5ccsc5n4)CC3)nc2c(=O)n(C)c1=O ZINC000536759411 1072967783 /nfs/dbraw/zinc/96/77/83/1072967783.db2.gz BWETVJBEMNDRAX-UHFFFAOYSA-N 0 0 442.505 -0.653 20 0 IBADRN COc1ccc(C(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)c(OC)c1 ZINC000536760934 1072967404 /nfs/dbraw/zinc/96/74/04/1072967404.db2.gz QPLRZCIICOJVFI-UHFFFAOYSA-N 0 0 442.476 -0.065 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccnn3C(C)(C)C)CC2)CC1 ZINC000536764013 1072968510 /nfs/dbraw/zinc/96/85/10/1072968510.db2.gz SNXFGQZCHCTKAQ-UHFFFAOYSA-N 0 0 441.558 -0.787 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000536768571 1072967826 /nfs/dbraw/zinc/96/78/26/1072967826.db2.gz QYSCINKYDPFGIU-UHFFFAOYSA-N 0 0 439.538 -0.089 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(CC(=O)N3CCN(C)CC3)CC2)c1 ZINC000536769256 1072967836 /nfs/dbraw/zinc/96/78/36/1072967836.db2.gz YSIRXDLZQTURLO-UHFFFAOYSA-N 0 0 426.539 -0.216 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CCCCC3)C2)n1)N1CCOCC1 ZINC000536770078 1072967936 /nfs/dbraw/zinc/96/79/36/1072967936.db2.gz CTSXVCIHLIAAGO-HNNXBMFYSA-N 0 0 446.508 -0.270 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CCCCC3)C2)n1)N1CCOCC1 ZINC000536770101 1072967941 /nfs/dbraw/zinc/96/79/41/1072967941.db2.gz CTSXVCIHLIAAGO-OAHLLOKOSA-N 0 0 446.508 -0.270 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CCCCC3)C2)cn1 ZINC000536779722 1072968468 /nfs/dbraw/zinc/96/84/68/1072968468.db2.gz SENRPJLKWKDNTJ-AWEZNQCLSA-N 0 0 434.497 -0.366 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CCCCC3)C2)cn1 ZINC000536779734 1072968444 /nfs/dbraw/zinc/96/84/44/1072968444.db2.gz SENRPJLKWKDNTJ-CQSZACIVSA-N 0 0 434.497 -0.366 20 0 IBADRN Cc1cn2c(ncc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)c2=O)s1 ZINC000536823327 1072968433 /nfs/dbraw/zinc/96/84/33/1072968433.db2.gz XHHSBTIGGHEPQF-UHFFFAOYSA-N 0 0 427.508 -0.601 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCCN2C(=O)CNC2=O)s1 ZINC000536891896 1072968425 /nfs/dbraw/zinc/96/84/25/1072968425.db2.gz AGOKRQHRDKCKOC-UHFFFAOYSA-N 0 0 438.553 -0.550 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCO[C@H](CNC(C)=O)C2)s1 ZINC000536892291 1072968494 /nfs/dbraw/zinc/96/84/94/1072968494.db2.gz DMRHQZMBGPRWLN-CYBMUJFWSA-N 0 0 439.581 -0.245 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCO[C@@H](CNC(C)=O)C2)s1 ZINC000536892307 1072968226 /nfs/dbraw/zinc/96/82/26/1072968226.db2.gz DMRHQZMBGPRWLN-ZDUSSCGKSA-N 0 0 439.581 -0.245 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCCN(CCO)CC2)s1 ZINC000536892423 1072968364 /nfs/dbraw/zinc/96/83/64/1072968364.db2.gz GPYUBHVKTKLJTG-UHFFFAOYSA-N 0 0 425.598 -0.081 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N(CCOC)[C@H](C)C(N)=O)s1 ZINC000536892658 1072968266 /nfs/dbraw/zinc/96/82/66/1072968266.db2.gz GSHFPYRPYZEYDM-LLVKDONJSA-N 0 0 427.570 -0.259 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N(CCOC)[C@@H](C)C(N)=O)s1 ZINC000536892667 1072968419 /nfs/dbraw/zinc/96/84/19/1072968419.db2.gz GSHFPYRPYZEYDM-NSHDSACASA-N 0 0 427.570 -0.259 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)s1 ZINC000536893212 1072968806 /nfs/dbraw/zinc/96/88/06/1072968806.db2.gz LZYCQZSBIHVCGW-UHFFFAOYSA-N 0 0 445.610 -0.456 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)s1 ZINC000536895056 1072968906 /nfs/dbraw/zinc/96/89/06/1072968906.db2.gz XEUXYWBPDGAOEG-UHFFFAOYSA-N 0 0 445.610 -0.410 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC(OCC(=O)N(C)C)C2)s1 ZINC000536899040 1072968457 /nfs/dbraw/zinc/96/84/57/1072968457.db2.gz BEUAGONMNXOSQX-UHFFFAOYSA-N 0 0 439.581 -0.292 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC)C2)s1 ZINC000536899905 1072968400 /nfs/dbraw/zinc/96/84/00/1072968400.db2.gz HAPVFXOUPVDGKY-GFCCVEGCSA-N 0 0 445.610 -0.458 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC)C2)s1 ZINC000536899908 1072968392 /nfs/dbraw/zinc/96/83/92/1072968392.db2.gz HAPVFXOUPVDGKY-LBPRGKRZSA-N 0 0 445.610 -0.458 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)s1 ZINC000536903006 1072968912 /nfs/dbraw/zinc/96/89/12/1072968912.db2.gz BEGYLLYHWCUUDJ-LLVKDONJSA-N 0 0 431.583 -0.719 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)s1 ZINC000536903027 1072968854 /nfs/dbraw/zinc/96/88/54/1072968854.db2.gz BEGYLLYHWCUUDJ-NSHDSACASA-N 0 0 431.583 -0.719 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000536903491 1072968917 /nfs/dbraw/zinc/96/89/17/1072968917.db2.gz FACCQISXJHZGBC-UHFFFAOYSA-N 0 0 429.542 -0.704 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)cn1 ZINC000536905162 1072968815 /nfs/dbraw/zinc/96/88/15/1072968815.db2.gz MRUONQBCUCWJGJ-UHFFFAOYSA-N 0 0 432.480 -0.215 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC(O)(C(=O)OC)CC2)s1 ZINC000536906498 1072968961 /nfs/dbraw/zinc/96/89/61/1072968961.db2.gz UQAUNMQBTIMZHO-UHFFFAOYSA-N 0 0 440.565 -0.082 20 0 IBADRN CS(=O)(=O)Nc1ccc(OCCNC(=O)CCn2cc(S(N)(=O)=O)cn2)cc1 ZINC000536908227 1072968847 /nfs/dbraw/zinc/96/88/47/1072968847.db2.gz DGXVNFPOZJFENV-UHFFFAOYSA-N 0 0 431.496 -0.513 20 0 IBADRN C[C@H]1CN(CCOC2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C[C@H](C)O1 ZINC000536913748 1072968932 /nfs/dbraw/zinc/96/89/32/1072968932.db2.gz CWTIUZUESZAIMB-HOTGVXAUSA-N 0 0 443.570 -0.185 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C[C@@H](C)O1 ZINC000536913761 1072968773 /nfs/dbraw/zinc/96/87/73/1072968773.db2.gz CWTIUZUESZAIMB-HZPDHXFCSA-N 0 0 443.570 -0.185 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)C[C@H](C)O1 ZINC000536913763 1072968862 /nfs/dbraw/zinc/96/88/62/1072968862.db2.gz CWTIUZUESZAIMB-IYBDPMFKSA-N 0 0 443.570 -0.185 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)c1 ZINC000536916358 1072968825 /nfs/dbraw/zinc/96/88/25/1072968825.db2.gz RBIYOISVQORQCU-UHFFFAOYSA-N 0 0 431.496 -0.735 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)N=c2nc[nH]n2C)CC1 ZINC000536917167 1072968881 /nfs/dbraw/zinc/96/88/81/1072968881.db2.gz VDVVALBOXSJESJ-UHFFFAOYSA-N 0 0 426.455 -0.228 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1NS(C)(=O)=O ZINC000536920557 1072969391 /nfs/dbraw/zinc/96/93/91/1072969391.db2.gz JJBXUXOYGVWOJU-UHFFFAOYSA-N 0 0 434.496 -0.891 20 0 IBADRN C[S@](=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1F ZINC000536921369 1072969501 /nfs/dbraw/zinc/96/95/01/1072969501.db2.gz RFDMXMNOVSONBQ-PMERELPUSA-N 0 0 438.481 -0.205 20 0 IBADRN C[S@@](=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1F ZINC000536921385 1072969348 /nfs/dbraw/zinc/96/93/48/1072969348.db2.gz RFDMXMNOVSONBQ-SSEXGKCCSA-N 0 0 438.481 -0.205 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1NS(C)(=O)=O ZINC000536922535 1072969510 /nfs/dbraw/zinc/96/95/10/1072969510.db2.gz WITAZTSAHKFMCY-UHFFFAOYSA-N 0 0 437.522 -0.272 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000536923115 1072969304 /nfs/dbraw/zinc/96/93/04/1072969304.db2.gz ATGMPNBNQOLFFE-HNNXBMFYSA-N 0 0 430.527 -0.439 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000536923140 1072969314 /nfs/dbraw/zinc/96/93/14/1072969314.db2.gz ATGMPNBNQOLFFE-OAHLLOKOSA-N 0 0 430.527 -0.439 20 0 IBADRN C[S@](=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000536923382 1072969286 /nfs/dbraw/zinc/96/92/86/1072969286.db2.gz CGUNHGSYZWZINP-PMERELPUSA-N 0 0 440.497 -0.495 20 0 IBADRN C[S@@](=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000536923402 1072969429 /nfs/dbraw/zinc/96/94/29/1072969429.db2.gz CGUNHGSYZWZINP-SSEXGKCCSA-N 0 0 440.497 -0.495 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)ccc1NS(C)(=O)=O ZINC000536923597 1072969360 /nfs/dbraw/zinc/96/93/60/1072969360.db2.gz CBFNFOUOKWHDMD-UHFFFAOYSA-N 0 0 434.496 -0.891 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000536923792 1072969449 /nfs/dbraw/zinc/96/94/49/1072969449.db2.gz FJJWQSODHWRSIQ-AWEZNQCLSA-N 0 0 436.490 -0.676 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000536923806 1072969422 /nfs/dbraw/zinc/96/94/22/1072969422.db2.gz FJJWQSODHWRSIQ-CQSZACIVSA-N 0 0 436.490 -0.676 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc(Br)c1=O ZINC000536924326 1072969919 /nfs/dbraw/zinc/96/99/19/1072969919.db2.gz IJAQEEZPMYNPDZ-UHFFFAOYSA-N 0 0 435.300 -0.420 20 0 IBADRN Cc1cn2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2n1 ZINC000536924572 1072969407 /nfs/dbraw/zinc/96/94/07/1072969407.db2.gz HCSWZMMBOKYIKF-UHFFFAOYSA-N 0 0 428.449 -0.889 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000536926296 1072968792 /nfs/dbraw/zinc/96/87/92/1072968792.db2.gz OZRBVWOATLICEC-HNNXBMFYSA-N 0 0 428.536 -0.451 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000536926297 1072968926 /nfs/dbraw/zinc/96/89/26/1072968926.db2.gz OZRBVWOATLICEC-OAHLLOKOSA-N 0 0 428.536 -0.451 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000536926773 1072968836 /nfs/dbraw/zinc/96/88/36/1072968836.db2.gz RBMMMILIDHUHGS-HNNXBMFYSA-N 0 0 430.527 -0.439 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000536926780 1072968843 /nfs/dbraw/zinc/96/88/43/1072968843.db2.gz RBMMMILIDHUHGS-OAHLLOKOSA-N 0 0 430.527 -0.439 20 0 IBADRN Cc1ccc(NC(=O)CN2CCSC[C@H]2C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000536927096 1072969324 /nfs/dbraw/zinc/96/93/24/1072969324.db2.gz WXTRCLSENRPHDS-HNNXBMFYSA-N 0 0 442.563 -0.143 20 0 IBADRN Cc1ccc(NC(=O)CN2CCSC[C@@H]2C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000536927104 1072969455 /nfs/dbraw/zinc/96/94/55/1072969455.db2.gz WXTRCLSENRPHDS-OAHLLOKOSA-N 0 0 442.563 -0.143 20 0 IBADRN CCN(C(=O)CN1CCSC[C@H]1C(N)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000536927306 1072968899 /nfs/dbraw/zinc/96/88/99/1072968899.db2.gz UOXKOFZEAHFHIL-AWEZNQCLSA-N 0 0 446.533 -0.165 20 0 IBADRN CCN(C(=O)CN1CCSC[C@@H]1C(N)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000536927307 1072969380 /nfs/dbraw/zinc/96/93/80/1072969380.db2.gz UOXKOFZEAHFHIL-CQSZACIVSA-N 0 0 446.533 -0.165 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000536927881 1072968890 /nfs/dbraw/zinc/96/88/90/1072968890.db2.gz ZZXNHNIANBCOHW-UHFFFAOYSA-N 0 0 448.523 -0.501 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1N1CCCS1(=O)=O ZINC000536934811 1072969486 /nfs/dbraw/zinc/96/94/86/1072969486.db2.gz AHKRTPYRSWEDRY-UHFFFAOYSA-N 0 0 445.567 -0.027 20 0 IBADRN COC(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1C(=O)OC ZINC000536935553 1072969263 /nfs/dbraw/zinc/96/92/63/1072969263.db2.gz GNBPWFJZTVNNLU-UHFFFAOYSA-N 0 0 428.467 -0.302 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(S(=O)(=O)NCC3CC3)cc2)CC1 ZINC000536935899 1072969335 /nfs/dbraw/zinc/96/93/35/1072969335.db2.gz XOODVTXFICDNPF-UHFFFAOYSA-N 0 0 445.567 -0.187 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)cn1 ZINC000536938263 1072969439 /nfs/dbraw/zinc/96/94/39/1072969439.db2.gz DKTXINHIBJTPRJ-UHFFFAOYSA-N 0 0 431.496 -0.735 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(F)cc3NS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000536939045 1072969464 /nfs/dbraw/zinc/96/94/64/1072969464.db2.gz SGWOTWXNABRGTJ-UHFFFAOYSA-N 0 0 438.441 -0.027 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H](CS(C)(=O)=O)c2ccccc2)CC1 ZINC000536944809 1072970436 /nfs/dbraw/zinc/97/04/36/1072970436.db2.gz FLHVRDFFYRVRRE-KRWDZBQOSA-N 0 0 426.539 -0.138 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H](CS(C)(=O)=O)c2ccccc2)CC1 ZINC000536944810 1072970360 /nfs/dbraw/zinc/97/03/60/1072970360.db2.gz FLHVRDFFYRVRRE-QGZVFWFLSA-N 0 0 426.539 -0.138 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)N1CCSCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000536945399 1072970319 /nfs/dbraw/zinc/97/03/19/1072970319.db2.gz ZLYITUSHXUDZIM-CYBMUJFWSA-N 0 0 429.586 -0.790 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)N1CCSCC1)[C@H]1CCS(=O)(=O)C1 ZINC000536945400 1072970479 /nfs/dbraw/zinc/97/04/79/1072970479.db2.gz ZLYITUSHXUDZIM-ZDUSSCGKSA-N 0 0 429.586 -0.790 20 0 IBADRN COCCCN(C(=O)NCCS(=O)(=O)N1CCSCC1)[C@H]1CCS(=O)(=O)C1 ZINC000536946889 1072970349 /nfs/dbraw/zinc/97/03/49/1072970349.db2.gz ZKEBUIKZUBMHQD-AWEZNQCLSA-N 0 0 443.613 -0.400 20 0 IBADRN COCCCN(C(=O)NCCS(=O)(=O)N1CCSCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000536946890 1072970305 /nfs/dbraw/zinc/97/03/05/1072970305.db2.gz ZKEBUIKZUBMHQD-CQSZACIVSA-N 0 0 443.613 -0.400 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)N[C@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000536947739 1072970336 /nfs/dbraw/zinc/97/03/36/1072970336.db2.gz NSYATRDOFWMHJX-LLVKDONJSA-N 0 0 436.494 -0.028 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)N[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000536947740 1072970393 /nfs/dbraw/zinc/97/03/93/1072970393.db2.gz NSYATRDOFWMHJX-NSHDSACASA-N 0 0 436.494 -0.028 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000536947839 1072970442 /nfs/dbraw/zinc/97/04/42/1072970442.db2.gz RLOKVEWYCPAIAN-DZGCQCFKSA-N 0 0 436.490 -0.630 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000536947840 1072970428 /nfs/dbraw/zinc/97/04/28/1072970428.db2.gz RLOKVEWYCPAIAN-HIFRSBDPSA-N 0 0 436.490 -0.630 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000536947841 1072970485 /nfs/dbraw/zinc/97/04/85/1072970485.db2.gz RLOKVEWYCPAIAN-UKRRQHHQSA-N 0 0 436.490 -0.630 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000536947842 1072970488 /nfs/dbraw/zinc/97/04/88/1072970488.db2.gz RLOKVEWYCPAIAN-ZFWWWQNUSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1Cl ZINC000536951567 1072970416 /nfs/dbraw/zinc/97/04/16/1072970416.db2.gz RODAEBLUQWTNKH-JTQLQIEISA-N 0 0 438.915 -0.200 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1Cl ZINC000536951575 1072970451 /nfs/dbraw/zinc/97/04/51/1072970451.db2.gz RODAEBLUQWTNKH-SNVBAGLBSA-N 0 0 438.915 -0.200 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cn1C ZINC000536951956 1072971029 /nfs/dbraw/zinc/97/10/29/1072971029.db2.gz XXFJJOPAKDBKMY-AWEZNQCLSA-N 0 0 444.510 -0.255 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cn1C ZINC000536951957 1072970876 /nfs/dbraw/zinc/97/08/76/1072970876.db2.gz XXFJJOPAKDBKMY-CQSZACIVSA-N 0 0 444.510 -0.255 20 0 IBADRN CS(=O)(=O)C[C@@H](NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000536963596 1072969908 /nfs/dbraw/zinc/96/99/08/1072969908.db2.gz KFSBUICTOQXQTE-GOSISDBHSA-N 0 0 438.550 -0.042 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000536963597 1072969811 /nfs/dbraw/zinc/96/98/11/1072969811.db2.gz KFSBUICTOQXQTE-SFHVURJKSA-N 0 0 438.550 -0.042 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1C ZINC000536964369 1072969693 /nfs/dbraw/zinc/96/96/93/1072969693.db2.gz LDORZHMCRKTOAR-UHFFFAOYSA-N 0 0 439.450 -0.588 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1)CN1CCOCC1 ZINC000536964598 1072969712 /nfs/dbraw/zinc/96/97/12/1072969712.db2.gz UINUISMYSYFCGW-KRWDZBQOSA-N 0 0 448.929 -0.151 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1)CN1CCOCC1 ZINC000536964599 1072969947 /nfs/dbraw/zinc/96/99/47/1072969947.db2.gz UINUISMYSYFCGW-QGZVFWFLSA-N 0 0 448.929 -0.151 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc3[nH]c(C(F)F)nc3c2)CC1 ZINC000536965949 1072969739 /nfs/dbraw/zinc/96/97/39/1072969739.db2.gz VBGKOQOLWGHAOZ-UHFFFAOYSA-N 0 0 433.379 -0.204 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc([S@@](C)=O)c(F)c2)CC1 ZINC000536966062 1072969834 /nfs/dbraw/zinc/96/98/34/1072969834.db2.gz YCHITHRHPIAJIX-GDLZYMKVSA-N 0 0 428.486 -0.592 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc([S@](C)=O)c(F)c2)CC1 ZINC000536966063 1072969935 /nfs/dbraw/zinc/96/99/35/1072969935.db2.gz YCHITHRHPIAJIX-LJAQVGFWSA-N 0 0 428.486 -0.592 20 0 IBADRN CCc1nnc(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2)o1 ZINC000536966735 1072969893 /nfs/dbraw/zinc/96/98/93/1072969893.db2.gz YFDVVBNHEMUUMN-UHFFFAOYSA-N 0 0 439.436 -0.405 20 0 IBADRN COCCCN(C(=O)NCCS(=O)(=O)NCC1CCC1)[C@H]1CCS(=O)(=O)C1 ZINC000536967154 1072969764 /nfs/dbraw/zinc/96/97/64/1072969764.db2.gz ODUWKSGLNJIJTH-HNNXBMFYSA-N 0 0 425.573 -0.059 20 0 IBADRN COCCCN(C(=O)NCCS(=O)(=O)NCC1CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000536967155 1072969856 /nfs/dbraw/zinc/96/98/56/1072969856.db2.gz ODUWKSGLNJIJTH-OAHLLOKOSA-N 0 0 425.573 -0.059 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc(Br)c1=O ZINC000536967841 1072969985 /nfs/dbraw/zinc/96/99/85/1072969985.db2.gz NEXPISSNTZTWFA-UHFFFAOYSA-N 0 0 449.327 -0.078 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000536968430 1072970003 /nfs/dbraw/zinc/97/00/03/1072970003.db2.gz NGKDTOUCRHZRIG-UHFFFAOYSA-N 0 0 448.523 -0.501 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1Cl ZINC000536969039 1072969872 /nfs/dbraw/zinc/96/98/72/1072969872.db2.gz RDPWCBKRMOJDTF-UHFFFAOYSA-N 0 0 440.869 -0.014 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1 ZINC000536969082 1072970020 /nfs/dbraw/zinc/97/00/20/1072970020.db2.gz SVUDGOZIBYHGRS-UHFFFAOYSA-N 0 0 426.904 -0.295 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc(S(C)(=O)=O)c1 ZINC000536969538 1072969676 /nfs/dbraw/zinc/96/96/76/1072969676.db2.gz OEZFLQULOWJDGL-UHFFFAOYSA-N 0 0 449.507 -0.741 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)CC1 ZINC000536970114 1072970382 /nfs/dbraw/zinc/97/03/82/1072970382.db2.gz ROZGHCOOZNBNJG-UHFFFAOYSA-N 0 0 432.524 -0.201 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCO[C@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000536970555 1072969971 /nfs/dbraw/zinc/96/99/71/1072969971.db2.gz PEZBGIJJCXFICZ-GFCCVEGCSA-N 0 0 427.479 -0.348 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCO[C@@H](C)C2)cc(S(C)(=O)=O)c1 ZINC000536970556 1072970401 /nfs/dbraw/zinc/97/04/01/1072970401.db2.gz PEZBGIJJCXFICZ-LBPRGKRZSA-N 0 0 427.479 -0.348 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)NCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000536970978 1072970277 /nfs/dbraw/zinc/97/02/77/1072970277.db2.gz NLQCQROJPAHLNP-INIZCTEOSA-N 0 0 433.552 -0.049 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)NCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000536970979 1072970293 /nfs/dbraw/zinc/97/02/93/1072970293.db2.gz NLQCQROJPAHLNP-MRXNPFEDSA-N 0 0 433.552 -0.049 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)CC1 ZINC000536971482 1072970459 /nfs/dbraw/zinc/97/04/59/1072970459.db2.gz OBACYRNFOIIDDV-UHFFFAOYSA-N 0 0 445.929 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1NS(C)(=O)=O ZINC000536972548 1072970238 /nfs/dbraw/zinc/97/02/38/1072970238.db2.gz BYMBPUUCAXDUOT-UHFFFAOYSA-N 0 0 435.462 -0.054 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000536975156 1072971675 /nfs/dbraw/zinc/97/16/75/1072971675.db2.gz PLFAQEPZBSOOOF-CYBMUJFWSA-N 0 0 432.524 -0.155 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000536975157 1072971464 /nfs/dbraw/zinc/97/14/64/1072971464.db2.gz PLFAQEPZBSOOOF-ZDUSSCGKSA-N 0 0 432.524 -0.155 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000536975225 1072971655 /nfs/dbraw/zinc/97/16/55/1072971655.db2.gz SOYYIDVJPUNRFU-DZGCQCFKSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000536975226 1072971480 /nfs/dbraw/zinc/97/14/80/1072971480.db2.gz SOYYIDVJPUNRFU-HIFRSBDPSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000536975227 1072971616 /nfs/dbraw/zinc/97/16/16/1072971616.db2.gz SOYYIDVJPUNRFU-UKRRQHHQSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000536975228 1072971445 /nfs/dbraw/zinc/97/14/45/1072971445.db2.gz SOYYIDVJPUNRFU-ZFWWWQNUSA-N 0 0 436.490 -0.630 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)CC1 ZINC000536976868 1072971551 /nfs/dbraw/zinc/97/15/51/1072971551.db2.gz SITALQCXOCXIIN-UHFFFAOYSA-N 0 0 445.929 -0.070 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000536976997 1072971723 /nfs/dbraw/zinc/97/17/23/1072971723.db2.gz YQYDHMSVZMZTNK-UHFFFAOYSA-N 0 0 441.510 -0.715 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c(F)c1 ZINC000536977217 1072971605 /nfs/dbraw/zinc/97/16/05/1072971605.db2.gz ITRVDZUYOYWDLN-UHFFFAOYSA-N 0 0 443.439 -0.492 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2o1 ZINC000536977249 1072971663 /nfs/dbraw/zinc/97/16/63/1072971663.db2.gz KEKZOIADFPWTCN-UHFFFAOYSA-N 0 0 427.417 -0.105 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1NS(C)(=O)=O ZINC000536977617 1072971495 /nfs/dbraw/zinc/97/14/95/1072971495.db2.gz BKAPSWCVBSBAMC-UHFFFAOYSA-N 0 0 425.511 -0.415 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)S(C)(=O)=O ZINC000536978595 1072971986 /nfs/dbraw/zinc/97/19/86/1072971986.db2.gz UETNQDVKVRUMJU-AWEZNQCLSA-N 0 0 438.506 -0.382 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)S(C)(=O)=O ZINC000536978596 1072972253 /nfs/dbraw/zinc/97/22/53/1072972253.db2.gz UETNQDVKVRUMJU-CQSZACIVSA-N 0 0 438.506 -0.382 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1C ZINC000536978710 1072972191 /nfs/dbraw/zinc/97/21/91/1072972191.db2.gz ABHUQJKEJYWFGZ-UHFFFAOYSA-N 0 0 435.462 -0.322 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000536979261 1072972049 /nfs/dbraw/zinc/97/20/49/1072972049.db2.gz BHTYDZUACYLKEE-UHFFFAOYSA-N 0 0 441.510 -0.715 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn1C ZINC000536979318 1072972099 /nfs/dbraw/zinc/97/20/99/1072972099.db2.gz DQOFSPYCRXKUTF-UHFFFAOYSA-N 0 0 449.489 -0.254 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)ccc1NS(C)(=O)=O ZINC000536979348 1072972076 /nfs/dbraw/zinc/97/20/76/1072972076.db2.gz GSPHTKVRKHTGNG-UHFFFAOYSA-N 0 0 441.510 -0.715 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c(F)c1 ZINC000536979360 1072972167 /nfs/dbraw/zinc/97/21/67/1072972167.db2.gz HQPJBZUBXMDMGS-UHFFFAOYSA-N 0 0 449.464 -0.141 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1NS(C)(=O)=O ZINC000536979648 1072972010 /nfs/dbraw/zinc/97/20/10/1072972010.db2.gz WLKKMRBDTOENOO-UHFFFAOYSA-N 0 0 441.510 -0.715 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H](C)CN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000536981845 1072972260 /nfs/dbraw/zinc/97/22/60/1072972260.db2.gz CYCVKIPPBPHONU-GFCCVEGCSA-N 0 0 427.479 -0.348 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H](C)CN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000536981846 1072972000 /nfs/dbraw/zinc/97/20/00/1072972000.db2.gz CYCVKIPPBPHONU-LBPRGKRZSA-N 0 0 427.479 -0.348 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000536981924 1072972040 /nfs/dbraw/zinc/97/20/40/1072972040.db2.gz HVQFZLHWTQLWFI-UHFFFAOYSA-N 0 0 425.511 -0.403 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000536982249 1072972228 /nfs/dbraw/zinc/97/22/28/1072972228.db2.gz XIYNFBOSUFNKDL-UHFFFAOYSA-N 0 0 435.462 -0.054 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000536988503 1072970813 /nfs/dbraw/zinc/97/08/13/1072970813.db2.gz CZHIOJURMHEDDN-UHFFFAOYSA-N 0 0 431.493 -0.494 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000536988518 1072971088 /nfs/dbraw/zinc/97/10/88/1072971088.db2.gz DPVPZGHOFKKABC-UHFFFAOYSA-N 0 0 437.522 -0.427 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000536989019 1072971038 /nfs/dbraw/zinc/97/10/38/1072971038.db2.gz WYTFAWDYYCYCSN-UHFFFAOYSA-N 0 0 428.445 -0.053 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)ccc1C ZINC000536989031 1072970897 /nfs/dbraw/zinc/97/08/97/1072970897.db2.gz XZSQOULSTWHXNI-UHFFFAOYSA-N 0 0 425.511 -0.750 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cccc1C(=O)N1CCOCC1 ZINC000536989809 1072970758 /nfs/dbraw/zinc/97/07/58/1072970758.db2.gz ZBIIALSKGAZNOR-UHFFFAOYSA-N 0 0 445.520 -0.186 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)ccc1NS(C)(=O)=O ZINC000536989835 1072970968 /nfs/dbraw/zinc/97/09/68/1072970968.db2.gz ZULHQINXYAQPHB-UHFFFAOYSA-N 0 0 441.510 -0.587 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000536989906 1072971052 /nfs/dbraw/zinc/97/10/52/1072971052.db2.gz CILIYGVUPJDYGG-UHFFFAOYSA-N 0 0 431.537 -0.092 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000536990154 1072970797 /nfs/dbraw/zinc/97/07/97/1072970797.db2.gz OIJRVMFOEYMBKP-UHFFFAOYSA-N 0 0 432.477 -0.278 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000536990375 1072970829 /nfs/dbraw/zinc/97/08/29/1072970829.db2.gz XZTQMLRTPJMBEM-UHFFFAOYSA-N 0 0 438.506 -0.275 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000536990452 1072971070 /nfs/dbraw/zinc/97/10/70/1072971070.db2.gz AYRPQRDXLCOXFI-UHFFFAOYSA-N 0 0 431.493 -0.494 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000536990937 1072970860 /nfs/dbraw/zinc/97/08/60/1072970860.db2.gz VBEHRLWXYKTTNW-UHFFFAOYSA-N 0 0 429.477 -0.318 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000536991295 1072970741 /nfs/dbraw/zinc/97/07/41/1072970741.db2.gz IHPMETAYKPZEMD-CYBMUJFWSA-N 0 0 448.476 -0.378 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000536991296 1072970920 /nfs/dbraw/zinc/97/09/20/1072970920.db2.gz IHPMETAYKPZEMD-ZDUSSCGKSA-N 0 0 448.476 -0.378 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000536991500 1072970842 /nfs/dbraw/zinc/97/08/42/1072970842.db2.gz QLUZPYQSZGQOAK-UHFFFAOYSA-N 0 0 443.501 -0.577 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000536992804 1072970935 /nfs/dbraw/zinc/97/09/35/1072970935.db2.gz XLDWAOKZJHLBGQ-UHFFFAOYSA-N 0 0 425.511 -0.464 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)C1 ZINC000536993041 1072971697 /nfs/dbraw/zinc/97/16/97/1072971697.db2.gz GHUNFSFUTHHONE-UHFFFAOYSA-N 0 0 449.489 -0.470 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)c2)C1=O ZINC000536993400 1072970779 /nfs/dbraw/zinc/97/07/79/1072970779.db2.gz SDZPHAVAROCELR-UHFFFAOYSA-N 0 0 430.465 -0.568 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000536993448 1072971000 /nfs/dbraw/zinc/97/10/00/1072971000.db2.gz UWLPHMVMWVZSGL-UHFFFAOYSA-N 0 0 445.520 -0.566 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000536993665 1072971016 /nfs/dbraw/zinc/97/10/16/1072971016.db2.gz DOXNFLFIZSPYOM-UHFFFAOYSA-N 0 0 442.469 -0.480 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1OCC(N)=O ZINC000536994582 1072971543 /nfs/dbraw/zinc/97/15/43/1072971543.db2.gz HBRYRRCOMKFONZ-UHFFFAOYSA-N 0 0 435.466 -0.720 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000536994591 1072971564 /nfs/dbraw/zinc/97/15/64/1072971564.db2.gz HVWISPQDBIJGDV-INIZCTEOSA-N 0 0 446.551 -0.601 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000536994592 1072971715 /nfs/dbraw/zinc/97/17/15/1072971715.db2.gz HVWISPQDBIJGDV-MRXNPFEDSA-N 0 0 446.551 -0.601 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000536994890 1072971521 /nfs/dbraw/zinc/97/15/21/1072971521.db2.gz ZDLMEWBIFRFNQB-KRWDZBQOSA-N 0 0 433.552 -0.161 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000536994891 1072971630 /nfs/dbraw/zinc/97/16/30/1072971630.db2.gz ZDLMEWBIFRFNQB-QGZVFWFLSA-N 0 0 433.552 -0.161 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3ccc(C(=O)N4CCOCC4)cc3)CC2)n1 ZINC000536995689 1073364124 /nfs/dbraw/zinc/36/41/24/1073364124.db2.gz CPZXEONVAYGQQO-UHFFFAOYSA-N 0 0 445.505 -0.120 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N=c3ccn(CCc4ccncc4)[nH]3)CC2)n1 ZINC000536995860 1073363538 /nfs/dbraw/zinc/36/35/38/1073363538.db2.gz NCLMTIGRHPYGEM-UHFFFAOYSA-N 0 0 427.494 -0.336 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)C1 ZINC000536995897 1072971707 /nfs/dbraw/zinc/97/17/07/1072971707.db2.gz PJKHHRGTQOJTSB-UHFFFAOYSA-N 0 0 446.913 -0.156 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1NS(C)(=O)=O ZINC000536995972 1072972240 /nfs/dbraw/zinc/97/22/40/1072972240.db2.gz WMDMRAVYEXMBOK-UHFFFAOYSA-N 0 0 428.467 -0.679 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000536996230 1072972584 /nfs/dbraw/zinc/97/25/84/1072972584.db2.gz NIXFDODBVJNLQO-UHFFFAOYSA-N 0 0 438.506 -0.451 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1 ZINC000536996468 1072972693 /nfs/dbraw/zinc/97/26/93/1072972693.db2.gz HFATUWYFRGEFBC-GFCCVEGCSA-N 0 0 426.495 -0.467 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1 ZINC000536996469 1072972733 /nfs/dbraw/zinc/97/27/33/1072972733.db2.gz HFATUWYFRGEFBC-LBPRGKRZSA-N 0 0 426.495 -0.467 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1 ZINC000536996859 1072972773 /nfs/dbraw/zinc/97/27/73/1072972773.db2.gz KGEAUPLIFSYMFF-UHFFFAOYSA-N 0 0 445.885 -0.236 20 0 IBADRN CS(=O)(=O)CCc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000536996865 1073357406 /nfs/dbraw/zinc/35/74/06/1073357406.db2.gz KQPZIYZDSQTAEM-UHFFFAOYSA-N 0 0 438.535 -0.005 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)S(C)(=O)=O ZINC000536996930 1072972214 /nfs/dbraw/zinc/97/22/14/1072972214.db2.gz OXERGQRFHCWPHX-UHFFFAOYSA-N 0 0 439.523 -0.197 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1-n1cnnn1 ZINC000536996950 1072972302 /nfs/dbraw/zinc/97/23/02/1072972302.db2.gz PVPSIVCNXROVGS-UHFFFAOYSA-N 0 0 430.454 -0.608 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000536996970 1073359513 /nfs/dbraw/zinc/35/95/13/1073359513.db2.gz RNUBQWYOTAEBEQ-UHFFFAOYSA-N 0 0 425.496 -0.684 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000536997051 1072972719 /nfs/dbraw/zinc/97/27/19/1072972719.db2.gz WWULNXVHLBFUDI-UHFFFAOYSA-N 0 0 448.476 -0.822 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000536997109 1073359654 /nfs/dbraw/zinc/35/96/54/1073359654.db2.gz ACOFWGFEYVKRIQ-UHFFFAOYSA-N 0 0 433.494 -0.125 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000536997277 1072972796 /nfs/dbraw/zinc/97/27/96/1072972796.db2.gz MERATRWJPPOFPM-UHFFFAOYSA-N 0 0 440.522 -0.029 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1OCC(=O)NC1CC1 ZINC000536997743 1072972803 /nfs/dbraw/zinc/97/28/03/1072972803.db2.gz NISSSJQTSYYKAH-UHFFFAOYSA-N 0 0 448.476 -0.393 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000536997811 1072972823 /nfs/dbraw/zinc/97/28/23/1072972823.db2.gz QCKRRLFRUZUXQN-UHFFFAOYSA-N 0 0 432.477 -0.658 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000536997922 1072972636 /nfs/dbraw/zinc/97/26/36/1072972636.db2.gz XFDSMYBJWGMOJJ-UHFFFAOYSA-N 0 0 440.522 -0.030 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(F)cc2OCC(F)F)CC1 ZINC000536998110 1072972781 /nfs/dbraw/zinc/97/27/81/1072972781.db2.gz FRFLQOQRTREAQE-UHFFFAOYSA-N 0 0 438.428 -0.159 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)n(C)c2)CC1 ZINC000537000829 1072972789 /nfs/dbraw/zinc/97/27/89/1072972789.db2.gz TVMGVWMKSTXMSX-AWEZNQCLSA-N 0 0 437.497 -0.564 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)n(C)c2)CC1 ZINC000537000830 1072972670 /nfs/dbraw/zinc/97/26/70/1072972670.db2.gz TVMGVWMKSTXMSX-CQSZACIVSA-N 0 0 437.497 -0.564 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)C1 ZINC000537001061 1072972710 /nfs/dbraw/zinc/97/27/10/1072972710.db2.gz CBROJXSMIDQFBM-GFCCVEGCSA-N 0 0 427.483 -0.075 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)C1 ZINC000537001062 1072972766 /nfs/dbraw/zinc/97/27/66/1072972766.db2.gz CBROJXSMIDQFBM-LBPRGKRZSA-N 0 0 427.483 -0.075 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)C1 ZINC000537001247 1072972612 /nfs/dbraw/zinc/97/26/12/1072972612.db2.gz ISDCCODQDCMDKF-GFCCVEGCSA-N 0 0 427.483 -0.075 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)C1 ZINC000537001248 1072972679 /nfs/dbraw/zinc/97/26/79/1072972679.db2.gz ISDCCODQDCMDKF-LBPRGKRZSA-N 0 0 427.483 -0.075 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1NS(C)(=O)=O ZINC000537001419 1072972751 /nfs/dbraw/zinc/97/27/51/1072972751.db2.gz PWMYJRKHKXYEMO-UHFFFAOYSA-N 0 0 428.511 -0.080 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)C1 ZINC000537002315 1072973122 /nfs/dbraw/zinc/97/31/22/1072973122.db2.gz AKRJOPKEYYKIQC-LLVKDONJSA-N 0 0 434.496 -0.845 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)C1 ZINC000537002316 1072973271 /nfs/dbraw/zinc/97/32/71/1072973271.db2.gz AKRJOPKEYYKIQC-NSHDSACASA-N 0 0 434.496 -0.845 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3c(C)ccn(C)c3=O)CC2)cn1C ZINC000537002514 1072973246 /nfs/dbraw/zinc/97/32/46/1072973246.db2.gz IFLLDOUAZKAUKN-UHFFFAOYSA-N 0 0 436.494 -0.793 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CC1 ZINC000537002518 1072973230 /nfs/dbraw/zinc/97/32/30/1072973230.db2.gz IJCQATXYSUVDBR-GFCCVEGCSA-N 0 0 430.255 -0.141 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CC1 ZINC000537002519 1072973142 /nfs/dbraw/zinc/97/31/42/1072973142.db2.gz IJCQATXYSUVDBR-LBPRGKRZSA-N 0 0 430.255 -0.141 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)C1 ZINC000537002954 1072972813 /nfs/dbraw/zinc/97/28/13/1072972813.db2.gz ZKYZMCHMSCRNBI-LLVKDONJSA-N 0 0 434.496 -0.845 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)C1 ZINC000537002955 1072973277 /nfs/dbraw/zinc/97/32/77/1072973277.db2.gz ZKYZMCHMSCRNBI-NSHDSACASA-N 0 0 434.496 -0.845 20 0 IBADRN Cn1cc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc(Br)c1=O ZINC000537003304 1072973102 /nfs/dbraw/zinc/97/31/02/1072973102.db2.gz MPKMTFDNTFONTM-BXKDBHETSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc(Br)c1=O ZINC000537003305 1072973200 /nfs/dbraw/zinc/97/32/00/1072973200.db2.gz MPKMTFDNTFONTM-CABZTGNLSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc(Br)c1=O ZINC000537003306 1072973180 /nfs/dbraw/zinc/97/31/80/1072973180.db2.gz MPKMTFDNTFONTM-JOYOIKCWSA-N 0 0 449.327 -0.080 20 0 IBADRN Cn1cc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc(Br)c1=O ZINC000537003307 1072973157 /nfs/dbraw/zinc/97/31/57/1072973157.db2.gz MPKMTFDNTFONTM-SKDRFNHKSA-N 0 0 449.327 -0.080 20 0 IBADRN C[S@@](=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1F ZINC000537003601 1072973237 /nfs/dbraw/zinc/97/32/37/1072973237.db2.gz YWTMQTDGBGGIJP-HHHXNRCGSA-N 0 0 428.467 -0.009 20 0 IBADRN C[S@](=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1F ZINC000537003602 1072973287 /nfs/dbraw/zinc/97/32/87/1072973287.db2.gz YWTMQTDGBGGIJP-MHZLTWQESA-N 0 0 428.467 -0.009 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H]2C(=O)N(C)C)cc(S(C)(=O)=O)c1 ZINC000537004292 1072973085 /nfs/dbraw/zinc/97/30/85/1072973085.db2.gz AJOIYPKTPBGDNP-AWEZNQCLSA-N 0 0 425.463 -0.106 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)N(C)C)cc(S(C)(=O)=O)c1 ZINC000537004293 1072973170 /nfs/dbraw/zinc/97/31/70/1072973170.db2.gz AJOIYPKTPBGDNP-CQSZACIVSA-N 0 0 425.463 -0.106 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000537004442 1072973219 /nfs/dbraw/zinc/97/32/19/1072973219.db2.gz GNCPFFQFOQWGDF-PBHICJAKSA-N 0 0 431.445 -0.397 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000537004443 1072973264 /nfs/dbraw/zinc/97/32/64/1072973264.db2.gz GNCPFFQFOQWGDF-RHSMWYFYSA-N 0 0 431.445 -0.397 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000537004444 1072973209 /nfs/dbraw/zinc/97/32/09/1072973209.db2.gz GNCPFFQFOQWGDF-WMLDXEAASA-N 0 0 431.445 -0.397 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000537004445 1072973193 /nfs/dbraw/zinc/97/31/93/1072973193.db2.gz GNCPFFQFOQWGDF-YOEHRIQHSA-N 0 0 431.445 -0.397 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)CC1 ZINC000537004644 1072973274 /nfs/dbraw/zinc/97/32/74/1072973274.db2.gz PSZBGLMPOUTFDZ-UHFFFAOYSA-N 0 0 430.458 -0.626 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000537004988 1072973248 /nfs/dbraw/zinc/97/32/48/1072973248.db2.gz DZTRXFIQMGAWTJ-CYBMUJFWSA-N 0 0 442.494 -0.241 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000537004989 1072973698 /nfs/dbraw/zinc/97/36/98/1072973698.db2.gz DZTRXFIQMGAWTJ-ZDUSSCGKSA-N 0 0 442.494 -0.241 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CCO1 ZINC000537005247 1072973718 /nfs/dbraw/zinc/97/37/18/1072973718.db2.gz OGZJSAYVPZWVRE-GFCCVEGCSA-N 0 0 429.451 -0.204 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CCO1 ZINC000537005248 1072973669 /nfs/dbraw/zinc/97/36/69/1072973669.db2.gz OGZJSAYVPZWVRE-LBPRGKRZSA-N 0 0 429.451 -0.204 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)cc1OC)C(=O)N1CCOCC1 ZINC000537005279 1072973786 /nfs/dbraw/zinc/97/37/86/1072973786.db2.gz PIYWRSGWHKTNDU-CYBMUJFWSA-N 0 0 442.494 -0.241 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)cc1OC)C(=O)N1CCOCC1 ZINC000537005280 1072973756 /nfs/dbraw/zinc/97/37/56/1072973756.db2.gz PIYWRSGWHKTNDU-ZDUSSCGKSA-N 0 0 442.494 -0.241 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000537005355 1072973736 /nfs/dbraw/zinc/97/37/36/1072973736.db2.gz SKPFGHOPYRQFDA-AWEZNQCLSA-N 0 0 428.511 -0.080 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000537005356 1072973590 /nfs/dbraw/zinc/97/35/90/1072973590.db2.gz SKPFGHOPYRQFDA-CQSZACIVSA-N 0 0 428.511 -0.080 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)NCCn1ncnn1 ZINC000537005549 1072973726 /nfs/dbraw/zinc/97/37/26/1072973726.db2.gz AUTWYHMGWXTVQA-UHFFFAOYSA-N 0 0 439.498 -0.143 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1C(=O)OC ZINC000537005557 1072973781 /nfs/dbraw/zinc/97/37/81/1072973781.db2.gz BEPVUDQFWNHZQP-UHFFFAOYSA-N 0 0 434.449 -0.394 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)NCCn3ncnn3)ccc21)N1CCOCC1 ZINC000537006413 1072973644 /nfs/dbraw/zinc/97/36/44/1072973644.db2.gz KEGLSLUSMFYWDX-UHFFFAOYSA-N 0 0 426.437 -0.759 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3cncnc3N)c2)CC1 ZINC000537006578 1073356008 /nfs/dbraw/zinc/35/60/08/1073356008.db2.gz QKXPETFSVTZWLQ-UHFFFAOYSA-N 0 0 447.521 -0.360 20 0 IBADRN C[C@H](CC[S@@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000537006957 1072973629 /nfs/dbraw/zinc/97/36/29/1072973629.db2.gz DSMPWHZKKFTKQN-GMYLUUGSSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](CC[S@@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000537006958 1072973578 /nfs/dbraw/zinc/97/35/78/1072973578.db2.gz DSMPWHZKKFTKQN-HJFSHJIFSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](CC[S@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000537006959 1072973637 /nfs/dbraw/zinc/97/36/37/1072973637.db2.gz DSMPWHZKKFTKQN-POXGOYDTSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@H](CC[S@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000537006960 1072973660 /nfs/dbraw/zinc/97/36/60/1072973660.db2.gz DSMPWHZKKFTKQN-SUMNFNSASA-N 0 0 444.579 -0.166 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NCCn2ncnn2)c1 ZINC000537007810 1072973812 /nfs/dbraw/zinc/97/38/12/1072973812.db2.gz ICPGHWMZRSKEAD-UHFFFAOYSA-N 0 0 425.471 -0.533 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NCCn2ncnn2)c1 ZINC000537007908 1072973773 /nfs/dbraw/zinc/97/37/73/1072973773.db2.gz LCISZYGGHYYIBD-UHFFFAOYSA-N 0 0 438.514 -0.476 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCn3ncnn3)cc2)C[C@H](C)O1 ZINC000537008651 1072973818 /nfs/dbraw/zinc/97/38/18/1072973818.db2.gz MPBPXWSEDMOWGI-BETUJISGSA-N 0 0 437.482 -0.774 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCn3ncnn3)cc2)C[C@@H](C)O1 ZINC000537008652 1072973682 /nfs/dbraw/zinc/97/36/82/1072973682.db2.gz MPBPXWSEDMOWGI-CHWSQXEVSA-N 0 0 437.482 -0.774 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCn3ncnn3)cc2)C[C@H](C)O1 ZINC000537008653 1072973767 /nfs/dbraw/zinc/97/37/67/1072973767.db2.gz MPBPXWSEDMOWGI-STQMWFEESA-N 0 0 437.482 -0.774 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)C1 ZINC000537009640 1072973795 /nfs/dbraw/zinc/97/37/95/1072973795.db2.gz BOGHPOBUGGYVHO-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)C1 ZINC000537009641 1072974325 /nfs/dbraw/zinc/97/43/25/1072974325.db2.gz BOGHPOBUGGYVHO-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)C1 ZINC000537010024 1072974138 /nfs/dbraw/zinc/97/41/38/1072974138.db2.gz BOYIKJLNSDTEIU-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)C1 ZINC000537010025 1072974167 /nfs/dbraw/zinc/97/41/67/1072974167.db2.gz BOYIKJLNSDTEIU-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN O=C(CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)NC1CC1 ZINC000537010287 1072974345 /nfs/dbraw/zinc/97/43/45/1072974345.db2.gz XAWMFDQMYKYUFO-UHFFFAOYSA-N 0 0 438.506 -0.179 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1=O ZINC000537010997 1072974103 /nfs/dbraw/zinc/97/41/03/1072974103.db2.gz TVVNYXYLLZVZER-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN COc1ccc(CCNS(=O)(=O)c2cc(C(=O)N(C)C)n(C)c2)cc1S(N)(=O)=O ZINC000537018448 1072972172 /nfs/dbraw/zinc/97/21/72/1072972172.db2.gz AIUYWRDGDHTHLC-UHFFFAOYSA-N 0 0 444.535 -0.096 20 0 IBADRN COC(=O)CCN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@@H](C)C1 ZINC000537021235 1072974311 /nfs/dbraw/zinc/97/43/11/1072974311.db2.gz MMUFNJPDHPLIFL-HNNXBMFYSA-N 0 0 433.552 -0.136 20 0 IBADRN COC(=O)CCN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@H](C)C1 ZINC000537021236 1072974258 /nfs/dbraw/zinc/97/42/58/1072974258.db2.gz MMUFNJPDHPLIFL-OAHLLOKOSA-N 0 0 433.552 -0.136 20 0 IBADRN COC(=O)CCN1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@H](C)C1 ZINC000537021404 1072974089 /nfs/dbraw/zinc/97/40/89/1072974089.db2.gz VOLKKEQDNMPFOF-LLVKDONJSA-N 0 0 425.467 -0.708 20 0 IBADRN COC(=O)CCN1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@@H](C)C1 ZINC000537021405 1072974158 /nfs/dbraw/zinc/97/41/58/1072974158.db2.gz VOLKKEQDNMPFOF-NSHDSACASA-N 0 0 425.467 -0.708 20 0 IBADRN COCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000537030705 1072974118 /nfs/dbraw/zinc/97/41/18/1072974118.db2.gz CPFNVOMZWIGNHR-UHFFFAOYSA-N 0 0 432.568 -0.369 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)c1 ZINC000537034941 1072974196 /nfs/dbraw/zinc/97/41/96/1072974196.db2.gz HAQRZDUKJBKUTO-UHFFFAOYSA-N 0 0 439.446 -0.035 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)C)n(C)c2)CC1 ZINC000537035084 1072974307 /nfs/dbraw/zinc/97/43/07/1072974307.db2.gz MGRRUVDVWSUDLO-UHFFFAOYSA-N 0 0 436.556 -0.601 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)C1=O ZINC000537036297 1072974354 /nfs/dbraw/zinc/97/43/54/1072974354.db2.gz UVCUXWDAJYDFAN-UHFFFAOYSA-N 0 0 430.508 -0.689 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000537040641 1072974245 /nfs/dbraw/zinc/97/42/45/1072974245.db2.gz LHGFCJWLHYBFKK-UHFFFAOYSA-N 0 0 428.559 -0.126 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)c3ccc(NS(C)(=O)=O)c(F)c3)CC2)C1=O ZINC000537041475 1072974846 /nfs/dbraw/zinc/97/48/46/1072974846.db2.gz KOHCXTHWPNOUBC-UHFFFAOYSA-N 0 0 434.471 -0.098 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cn1C ZINC000537041827 1072974835 /nfs/dbraw/zinc/97/48/35/1072974835.db2.gz GHBUJNAAYRKJLB-CYBMUJFWSA-N 0 0 436.556 -0.506 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cn1C ZINC000537041828 1072974723 /nfs/dbraw/zinc/97/47/23/1072974723.db2.gz GHBUJNAAYRKJLB-ZDUSSCGKSA-N 0 0 436.556 -0.506 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cn1C ZINC000537041958 1072974209 /nfs/dbraw/zinc/97/42/09/1072974209.db2.gz NLWYPNSACXAAGO-UHFFFAOYSA-N 0 0 425.555 -0.051 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCCCN3CCN(c4ncccn4)CC3)CC2)C1=O ZINC000537059241 1072974289 /nfs/dbraw/zinc/97/42/89/1072974289.db2.gz WOUVKMSTLFXXDF-UHFFFAOYSA-N 0 0 430.513 -0.286 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)n1)N1CCOCC1 ZINC000537065336 1074363255 /nfs/dbraw/zinc/36/32/55/1074363255.db2.gz JONSJWXDDQNLKV-UHFFFAOYSA-N 0 0 445.455 -0.437 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)cn1)N1CCOCC1 ZINC000537065627 1072974857 /nfs/dbraw/zinc/97/48/57/1072974857.db2.gz UQTHZOIEFOWRAY-UHFFFAOYSA-N 0 0 445.455 -0.437 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1S(N)(=O)=O ZINC000537065929 1072974843 /nfs/dbraw/zinc/97/48/43/1072974843.db2.gz IGMMOHNQMQPFLV-KBPBESRZSA-N 0 0 426.495 -0.413 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1S(N)(=O)=O ZINC000537065930 1072974742 /nfs/dbraw/zinc/97/47/42/1072974742.db2.gz IGMMOHNQMQPFLV-KGLIPLIRSA-N 0 0 426.495 -0.413 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1S(N)(=O)=O ZINC000537065931 1072974815 /nfs/dbraw/zinc/97/48/15/1072974815.db2.gz IGMMOHNQMQPFLV-UONOGXRCSA-N 0 0 426.495 -0.413 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1S(N)(=O)=O ZINC000537065932 1072974786 /nfs/dbraw/zinc/97/47/86/1072974786.db2.gz IGMMOHNQMQPFLV-ZIAGYGMSSA-N 0 0 426.495 -0.413 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000537066140 1072975257 /nfs/dbraw/zinc/97/52/57/1072975257.db2.gz QHVMGMLKXKGRQC-UHFFFAOYSA-N 0 0 434.478 -0.320 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1C(=O)OC ZINC000537066365 1072975130 /nfs/dbraw/zinc/97/51/30/1072975130.db2.gz AQMSHPZMJRSDLN-DOMZBBRYSA-N 0 0 435.433 -0.050 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1C(=O)OC ZINC000537066366 1072975307 /nfs/dbraw/zinc/97/53/07/1072975307.db2.gz AQMSHPZMJRSDLN-IUODEOHRSA-N 0 0 435.433 -0.050 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1C(=O)OC ZINC000537066367 1072975146 /nfs/dbraw/zinc/97/51/46/1072975146.db2.gz AQMSHPZMJRSDLN-SWLSCSKDSA-N 0 0 435.433 -0.050 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1C(=O)OC ZINC000537066368 1072975283 /nfs/dbraw/zinc/97/52/83/1072975283.db2.gz AQMSHPZMJRSDLN-WFASDCNBSA-N 0 0 435.433 -0.050 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)c1 ZINC000537066463 1072975293 /nfs/dbraw/zinc/97/52/93/1072975293.db2.gz DJUGMRGCGKYQMT-DOMZBBRYSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)c1 ZINC000537066464 1072975217 /nfs/dbraw/zinc/97/52/17/1072975217.db2.gz DJUGMRGCGKYQMT-IUODEOHRSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)c1 ZINC000537066465 1072975313 /nfs/dbraw/zinc/97/53/13/1072975313.db2.gz DJUGMRGCGKYQMT-SWLSCSKDSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)c1 ZINC000537066466 1072975188 /nfs/dbraw/zinc/97/51/88/1072975188.db2.gz DJUGMRGCGKYQMT-WFASDCNBSA-N 0 0 426.495 -0.406 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)O1 ZINC000537066613 1072975104 /nfs/dbraw/zinc/97/51/04/1072975104.db2.gz JQCCVXKJUZJOPO-DOMZBBRYSA-N 0 0 426.495 -0.227 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)O1 ZINC000537066614 1072975091 /nfs/dbraw/zinc/97/50/91/1072975091.db2.gz JQCCVXKJUZJOPO-IUODEOHRSA-N 0 0 426.495 -0.227 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)O1 ZINC000537066615 1072975076 /nfs/dbraw/zinc/97/50/76/1072975076.db2.gz JQCCVXKJUZJOPO-SWLSCSKDSA-N 0 0 426.495 -0.227 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)O1 ZINC000537066616 1072975272 /nfs/dbraw/zinc/97/52/72/1072975272.db2.gz JQCCVXKJUZJOPO-WFASDCNBSA-N 0 0 426.495 -0.227 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)c1C ZINC000537066823 1072975176 /nfs/dbraw/zinc/97/51/76/1072975176.db2.gz PZUOITJZJZVMQW-DOMZBBRYSA-N 0 0 426.495 -0.359 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)c1C ZINC000537066824 1072975164 /nfs/dbraw/zinc/97/51/64/1072975164.db2.gz PZUOITJZJZVMQW-IUODEOHRSA-N 0 0 426.495 -0.359 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)c1C ZINC000537066825 1072975114 /nfs/dbraw/zinc/97/51/14/1072975114.db2.gz PZUOITJZJZVMQW-SWLSCSKDSA-N 0 0 426.495 -0.359 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)c1C ZINC000537066826 1072975322 /nfs/dbraw/zinc/97/53/22/1072975322.db2.gz PZUOITJZJZVMQW-WFASDCNBSA-N 0 0 426.495 -0.359 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)ccc1C ZINC000537066928 1072974811 /nfs/dbraw/zinc/97/48/11/1072974811.db2.gz TUTAGPKVCQUTGK-KBPBESRZSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)ccc1C ZINC000537066929 1072974706 /nfs/dbraw/zinc/97/47/06/1072974706.db2.gz TUTAGPKVCQUTGK-KGLIPLIRSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)ccc1C ZINC000537066930 1072974765 /nfs/dbraw/zinc/97/47/65/1072974765.db2.gz TUTAGPKVCQUTGK-UONOGXRCSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)ccc1C ZINC000537066931 1072974759 /nfs/dbraw/zinc/97/47/59/1072974759.db2.gz TUTAGPKVCQUTGK-ZIAGYGMSSA-N 0 0 426.495 -0.406 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000537068266 1072975340 /nfs/dbraw/zinc/97/53/40/1072975340.db2.gz WPKYDAHSBODKLO-GXTWGEPZSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NC[C@@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC000537068267 1072975683 /nfs/dbraw/zinc/97/56/83/1072975683.db2.gz WPKYDAHSBODKLO-JSGCOSHPSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NC[C@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC000537068268 1072975728 /nfs/dbraw/zinc/97/57/28/1072975728.db2.gz WPKYDAHSBODKLO-OCCSQVGLSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NC[C@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000537068269 1072975805 /nfs/dbraw/zinc/97/58/05/1072975805.db2.gz WPKYDAHSBODKLO-TZMCWYRMSA-N 0 0 442.494 -0.243 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(c2ccc(F)cn2)CC1 ZINC000537068931 1072975238 /nfs/dbraw/zinc/97/52/38/1072975238.db2.gz XCDRTBBWQNCJQJ-UHFFFAOYSA-N 0 0 427.440 -0.161 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000537069825 1072975743 /nfs/dbraw/zinc/97/57/43/1072975743.db2.gz HQAPZVJRZRLNDK-CVEARBPZSA-N 0 0 448.476 -0.330 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000537069826 1072975848 /nfs/dbraw/zinc/97/58/48/1072975848.db2.gz HQAPZVJRZRLNDK-HOTGVXAUSA-N 0 0 448.476 -0.330 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000537069827 1072975830 /nfs/dbraw/zinc/97/58/30/1072975830.db2.gz HQAPZVJRZRLNDK-HZPDHXFCSA-N 0 0 448.476 -0.330 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000537069828 1072975784 /nfs/dbraw/zinc/97/57/84/1072975784.db2.gz HQAPZVJRZRLNDK-JKSUJKDBSA-N 0 0 448.476 -0.330 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H]1CC[C@@H](C(=O)N(C)C)O1)CC2 ZINC000537070065 1072975752 /nfs/dbraw/zinc/97/57/52/1072975752.db2.gz PRDPRRZNRYQFGJ-BBRMVZONSA-N 0 0 438.506 -0.764 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H]1CC[C@@H](C(=O)N(C)C)O1)CC2 ZINC000537070066 1072975843 /nfs/dbraw/zinc/97/58/43/1072975843.db2.gz PRDPRRZNRYQFGJ-CJNGLKHVSA-N 0 0 438.506 -0.764 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H]1CC[C@H](C(=O)N(C)C)O1)CC2 ZINC000537070067 1072975696 /nfs/dbraw/zinc/97/56/96/1072975696.db2.gz PRDPRRZNRYQFGJ-CZUORRHYSA-N 0 0 438.506 -0.764 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1)CC2 ZINC000537070068 1072975733 /nfs/dbraw/zinc/97/57/33/1072975733.db2.gz PRDPRRZNRYQFGJ-XJKSGUPXSA-N 0 0 438.506 -0.764 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000537070301 1072975818 /nfs/dbraw/zinc/97/58/18/1072975818.db2.gz XHYCPEDREMSJFC-UHFFFAOYSA-N 0 0 427.465 -0.063 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000537071536 1072975672 /nfs/dbraw/zinc/97/56/72/1072975672.db2.gz XLOJSBMIQGAFNO-UHFFFAOYSA-N 0 0 433.513 -0.450 20 0 IBADRN CC(C)(C)OC(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000537071564 1072975717 /nfs/dbraw/zinc/97/57/17/1072975717.db2.gz YCICDSDXQREGBB-UHFFFAOYSA-N 0 0 443.464 -0.062 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)C2=O)n1 ZINC000537071613 1072975705 /nfs/dbraw/zinc/97/57/05/1072975705.db2.gz ZQYLXANORLHGHB-CYBMUJFWSA-N 0 0 427.400 -0.215 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)C2=O)n1 ZINC000537071614 1072975631 /nfs/dbraw/zinc/97/56/31/1072975631.db2.gz ZQYLXANORLHGHB-ZDUSSCGKSA-N 0 0 427.400 -0.215 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000537071938 1072975838 /nfs/dbraw/zinc/97/58/38/1072975838.db2.gz MCEXXQVBNSHEES-AWEZNQCLSA-N 0 0 433.513 -0.355 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000537071939 1072975811 /nfs/dbraw/zinc/97/58/11/1072975811.db2.gz MCEXXQVBNSHEES-CQSZACIVSA-N 0 0 433.513 -0.355 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000537072019 1072975794 /nfs/dbraw/zinc/97/57/94/1072975794.db2.gz PIAQWSZAXYWLEZ-UHFFFAOYSA-N 0 0 447.427 -0.342 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000537072695 1072976286 /nfs/dbraw/zinc/97/62/86/1072976286.db2.gz SDOHWJQJSQOMMD-UHFFFAOYSA-N 0 0 426.499 -0.438 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000537073762 1072976201 /nfs/dbraw/zinc/97/62/01/1072976201.db2.gz ISASEUIRLVBATA-UHFFFAOYSA-N 0 0 443.486 -0.765 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1cccs1 ZINC000537076248 1072976182 /nfs/dbraw/zinc/97/61/82/1072976182.db2.gz XFYWQTSFHPWHOQ-UHFFFAOYSA-N 0 0 428.496 -0.354 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC000537079821 1072976135 /nfs/dbraw/zinc/97/61/35/1072976135.db2.gz HANLRHLZOSVLJE-UHFFFAOYSA-N 0 0 433.513 -0.498 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)CC1 ZINC000537080018 1072976257 /nfs/dbraw/zinc/97/62/57/1072976257.db2.gz NHKHJIXPFMJJFZ-UHFFFAOYSA-N 0 0 444.471 -0.248 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1)N1CCCCC1 ZINC000537080283 1072976156 /nfs/dbraw/zinc/97/61/56/1072976156.db2.gz YQUOHVNAEOTTHD-UHFFFAOYSA-N 0 0 444.471 -0.103 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000537080468 1072976297 /nfs/dbraw/zinc/97/62/97/1072976297.db2.gz GQLSSRUYFCJVBP-UHFFFAOYSA-N 0 0 429.416 -0.359 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)cc1 ZINC000537081842 1072974838 /nfs/dbraw/zinc/97/48/38/1072974838.db2.gz JGOSWHJBYZXEBA-UHFFFAOYSA-N 0 0 433.425 -0.254 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000537082302 1072974827 /nfs/dbraw/zinc/97/48/27/1072974827.db2.gz ZVLCHPSJGDECLU-UHFFFAOYSA-N 0 0 433.425 -0.165 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1 ZINC000537083031 1072974771 /nfs/dbraw/zinc/97/47/71/1072974771.db2.gz ARCXDYNRHZDJJI-UHFFFAOYSA-N 0 0 428.515 -0.190 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000537084402 1072974854 /nfs/dbraw/zinc/97/48/54/1072974854.db2.gz GKVMYBUUJCIVSU-UHFFFAOYSA-N 0 0 428.453 -0.668 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)O1 ZINC000537088183 1072976273 /nfs/dbraw/zinc/97/62/73/1072976273.db2.gz XIEISGIPFBSOPV-CVEARBPZSA-N 0 0 442.538 -0.266 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)O1 ZINC000537088184 1072976974 /nfs/dbraw/zinc/97/69/74/1072976974.db2.gz XIEISGIPFBSOPV-HOTGVXAUSA-N 0 0 442.538 -0.266 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)O1 ZINC000537088185 1072976696 /nfs/dbraw/zinc/97/66/96/1072976696.db2.gz XIEISGIPFBSOPV-HZPDHXFCSA-N 0 0 442.538 -0.266 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)O1 ZINC000537088186 1072976832 /nfs/dbraw/zinc/97/68/32/1072976832.db2.gz XIEISGIPFBSOPV-JKSUJKDBSA-N 0 0 442.538 -0.266 20 0 IBADRN C[C@H](NCC(=O)NCCCN(C)S(C)(=O)=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000537101767 1072976336 /nfs/dbraw/zinc/97/63/36/1072976336.db2.gz AMZYWMRCWAVGKA-AWEZNQCLSA-N 0 0 434.584 -0.015 20 0 IBADRN C[C@@H](NCC(=O)NCCCN(C)S(C)(=O)=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000537101768 1072976346 /nfs/dbraw/zinc/97/63/46/1072976346.db2.gz AMZYWMRCWAVGKA-CQSZACIVSA-N 0 0 434.584 -0.015 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000537103357 1072976359 /nfs/dbraw/zinc/97/63/59/1072976359.db2.gz VFBZDQOABVCGKC-HNNXBMFYSA-N 0 0 425.555 -0.522 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000537103358 1072976310 /nfs/dbraw/zinc/97/63/10/1072976310.db2.gz VFBZDQOABVCGKC-OAHLLOKOSA-N 0 0 425.555 -0.522 20 0 IBADRN Cc1ocnc1CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000537111987 1072977036 /nfs/dbraw/zinc/97/70/36/1072977036.db2.gz ABFHRWYUWQJELJ-UHFFFAOYSA-N 0 0 443.507 -0.153 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3ccc(F)cn3)CC2)CC1)N1CCOCC1 ZINC000537118810 1072976901 /nfs/dbraw/zinc/97/69/01/1072976901.db2.gz DTKXQBRVEMXVHJ-UHFFFAOYSA-N 0 0 434.516 -0.654 20 0 IBADRN O=C(CN1CCN(c2ccc(F)cn2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000537119744 1072977027 /nfs/dbraw/zinc/97/70/27/1072977027.db2.gz DKMMNKMJGOWSBY-KRWDZBQOSA-N 0 0 425.530 -0.326 20 0 IBADRN O=C(CN1CCN(c2ccc(F)cn2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000537119745 1072976762 /nfs/dbraw/zinc/97/67/62/1072976762.db2.gz DKMMNKMJGOWSBY-QGZVFWFLSA-N 0 0 425.530 -0.326 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@@H](C)C1 ZINC000537119780 1072976876 /nfs/dbraw/zinc/97/68/76/1072976876.db2.gz GTHGOIPDENWZHJ-HNNXBMFYSA-N 0 0 426.539 -0.438 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@H](C)C1 ZINC000537119781 1072976787 /nfs/dbraw/zinc/97/67/87/1072976787.db2.gz GTHGOIPDENWZHJ-OAHLLOKOSA-N 0 0 426.539 -0.438 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000537129993 1072976704 /nfs/dbraw/zinc/97/67/04/1072976704.db2.gz QXHCCYHTELPDGP-CORIIIEPSA-N 0 0 426.495 -0.796 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC000537129994 1072976937 /nfs/dbraw/zinc/97/69/37/1072976937.db2.gz QXHCCYHTELPDGP-LNSITVRQSA-N 0 0 426.495 -0.796 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000537129995 1072976844 /nfs/dbraw/zinc/97/68/44/1072976844.db2.gz QXHCCYHTELPDGP-NJZAAPMLSA-N 0 0 426.495 -0.796 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC000537129996 1072976757 /nfs/dbraw/zinc/97/67/57/1072976757.db2.gz QXHCCYHTELPDGP-WHOFXGATSA-N 0 0 426.495 -0.796 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000537130274 1072976957 /nfs/dbraw/zinc/97/69/57/1072976957.db2.gz CJYZZNZDWKGDJM-UHFFFAOYSA-N 0 0 440.461 -0.472 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)O1 ZINC000537131360 1072976982 /nfs/dbraw/zinc/97/69/82/1072976982.db2.gz CRQPAWORCCZMRQ-BIVLZKPYSA-N 0 0 443.566 -0.152 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)O1 ZINC000537131361 1072976920 /nfs/dbraw/zinc/97/69/20/1072976920.db2.gz CRQPAWORCCZMRQ-HHARLNAUSA-N 0 0 443.566 -0.152 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)O1 ZINC000537131362 1072976816 /nfs/dbraw/zinc/97/68/16/1072976816.db2.gz CRQPAWORCCZMRQ-MTSZKFMLSA-N 0 0 443.566 -0.152 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)O1 ZINC000537131363 1072976992 /nfs/dbraw/zinc/97/69/92/1072976992.db2.gz CRQPAWORCCZMRQ-UTSKFRMZSA-N 0 0 443.566 -0.152 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)s1 ZINC000537132704 1072976853 /nfs/dbraw/zinc/97/68/53/1072976853.db2.gz MYFOVEJOHNDHKM-GXTWGEPZSA-N 0 0 446.551 -0.586 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)s1 ZINC000537132705 1072977558 /nfs/dbraw/zinc/97/75/58/1072977558.db2.gz MYFOVEJOHNDHKM-JSGCOSHPSA-N 0 0 446.551 -0.586 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)s1 ZINC000537132706 1072977473 /nfs/dbraw/zinc/97/74/73/1072977473.db2.gz MYFOVEJOHNDHKM-OCCSQVGLSA-N 0 0 446.551 -0.586 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)s1 ZINC000537132707 1072977552 /nfs/dbraw/zinc/97/75/52/1072977552.db2.gz MYFOVEJOHNDHKM-TZMCWYRMSA-N 0 0 446.551 -0.586 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3)CC1)N1CCOCC1 ZINC000537134743 1072977406 /nfs/dbraw/zinc/97/74/06/1072977406.db2.gz FSFYEHGBPKDQHT-UHFFFAOYSA-N 0 0 429.477 -0.487 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000537134902 1072977360 /nfs/dbraw/zinc/97/73/60/1072977360.db2.gz QGKGZOXKQGDXQE-UHFFFAOYSA-N 0 0 438.456 -0.216 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(-c3nnco3)c2)CC1)N1CCOCC1 ZINC000537135511 1072977385 /nfs/dbraw/zinc/97/73/85/1072977385.db2.gz FRJFGAHVQLAOQO-UHFFFAOYSA-N 0 0 428.449 -0.322 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)c2)CC1)N1CCOCC1 ZINC000537135512 1072977532 /nfs/dbraw/zinc/97/75/32/1072977532.db2.gz FYMZDKPTPZCVGM-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1)N1CCOCC1 ZINC000537137924 1072977523 /nfs/dbraw/zinc/97/75/23/1072977523.db2.gz ODKLVIZNKPMPKN-UHFFFAOYSA-N 0 0 444.492 -0.842 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000537138025 1072977294 /nfs/dbraw/zinc/97/72/94/1072977294.db2.gz SMEYEMYTJVJSHM-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN COC(=O)CCN1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)[C@H](C)C1 ZINC000537142032 1072977437 /nfs/dbraw/zinc/97/74/37/1072977437.db2.gz WHIUHSHKIAKQGK-CYBMUJFWSA-N 0 0 441.496 -0.040 20 0 IBADRN COC(=O)CCN1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)[C@@H](C)C1 ZINC000537142033 1072977574 /nfs/dbraw/zinc/97/75/74/1072977574.db2.gz WHIUHSHKIAKQGK-ZDUSSCGKSA-N 0 0 441.496 -0.040 20 0 IBADRN O=C(CCNC(=O)c1cccc(C(=O)NCCC(=O)N2CCOCC2)c1)N1CCOCC1 ZINC000537145509 1072977954 /nfs/dbraw/zinc/97/79/54/1072977954.db2.gz HLPCYDKLSPDLPY-UHFFFAOYSA-N 0 0 446.504 -0.356 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000537152150 1072977313 /nfs/dbraw/zinc/97/73/13/1072977313.db2.gz FBYQILDEBKPCCB-JQWIXIFHSA-N 0 0 441.428 -0.414 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000537152151 1072977548 /nfs/dbraw/zinc/97/75/48/1072977548.db2.gz FBYQILDEBKPCCB-PWSUYJOCSA-N 0 0 441.428 -0.414 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCCO)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000537163463 1072977924 /nfs/dbraw/zinc/97/79/24/1072977924.db2.gz ZCVHIZIRERBHDB-GOSISDBHSA-N 0 0 426.495 -0.562 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCCO)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000537163464 1072978067 /nfs/dbraw/zinc/97/80/67/1072978067.db2.gz ZCVHIZIRERBHDB-SFHVURJKSA-N 0 0 426.495 -0.562 20 0 IBADRN Cc1sc2ncc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)c(=O)n2c1C ZINC000537163920 1072977942 /nfs/dbraw/zinc/97/79/42/1072977942.db2.gz KGLGVZLBVWZKQV-UHFFFAOYSA-N 0 0 441.535 -0.292 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1cccc(C(=O)NCCNS(=O)(=O)CC)c1 ZINC000537168612 1072978003 /nfs/dbraw/zinc/97/80/03/1072978003.db2.gz DYTHPJSKYAMFCN-UHFFFAOYSA-N 0 0 434.540 -0.975 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c(OC)c1 ZINC000537169615 1072977868 /nfs/dbraw/zinc/97/78/68/1072977868.db2.gz QSJHVPNGTIGMRX-GFCCVEGCSA-N 0 0 441.553 -0.102 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)c(OC)c1 ZINC000537169616 1072978050 /nfs/dbraw/zinc/97/80/50/1072978050.db2.gz QSJHVPNGTIGMRX-LBPRGKRZSA-N 0 0 441.553 -0.102 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000537170205 1072978012 /nfs/dbraw/zinc/97/80/12/1072978012.db2.gz DFHYLRORFRULHR-GFCCVEGCSA-N 0 0 425.554 -0.326 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000537170206 1072978073 /nfs/dbraw/zinc/97/80/73/1072978073.db2.gz DFHYLRORFRULHR-LBPRGKRZSA-N 0 0 425.554 -0.326 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCSC[C@@H]2C(N)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000537170634 1072977915 /nfs/dbraw/zinc/97/79/15/1072977915.db2.gz JIBBVIIKQNQINA-BDJLRTHQSA-N 0 0 428.492 -0.659 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCSC[C@@H]2C(N)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000537170635 1072977888 /nfs/dbraw/zinc/97/78/88/1072977888.db2.gz JIBBVIIKQNQINA-BZNIZROVSA-N 0 0 428.492 -0.659 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCSC[C@H]2C(N)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000537170636 1072977970 /nfs/dbraw/zinc/97/79/70/1072977970.db2.gz JIBBVIIKQNQINA-MEDUHNTESA-N 0 0 428.492 -0.659 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCSC[C@H]2C(N)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000537170637 1072978036 /nfs/dbraw/zinc/97/80/36/1072978036.db2.gz JIBBVIIKQNQINA-ZBEGNZNMSA-N 0 0 428.492 -0.659 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1F ZINC000537170897 1072977898 /nfs/dbraw/zinc/97/78/98/1072977898.db2.gz DDNJSPFXQHJDBV-JTQLQIEISA-N 0 0 444.532 -0.609 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1F ZINC000537170898 1072977989 /nfs/dbraw/zinc/97/79/89/1072977989.db2.gz DDNJSPFXQHJDBV-SNVBAGLBSA-N 0 0 444.532 -0.609 20 0 IBADRN O=C(NCCCO)C1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000537171043 1072978028 /nfs/dbraw/zinc/97/80/28/1072978028.db2.gz MIXPLRVCSPMEEX-UHFFFAOYSA-N 0 0 433.552 -0.495 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)s1 ZINC000537171383 1072978585 /nfs/dbraw/zinc/97/85/85/1072978585.db2.gz DDXPJQLLGMLPAI-GFCCVEGCSA-N 0 0 445.610 -0.329 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)s1 ZINC000537171384 1072978414 /nfs/dbraw/zinc/97/84/14/1072978414.db2.gz DDXPJQLLGMLPAI-LBPRGKRZSA-N 0 0 445.610 -0.329 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)c(OC)c1 ZINC000537171492 1072978511 /nfs/dbraw/zinc/97/85/11/1072978511.db2.gz KHJMTCCCRARXIH-GFCCVEGCSA-N 0 0 441.553 -0.102 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)c(OC)c1 ZINC000537171493 1072978533 /nfs/dbraw/zinc/97/85/33/1072978533.db2.gz KHJMTCCCRARXIH-LBPRGKRZSA-N 0 0 441.553 -0.102 20 0 IBADRN O=C(CO)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CC1 ZINC000537171524 1072978526 /nfs/dbraw/zinc/97/85/26/1072978526.db2.gz MAVJUDCLIFFTNH-UHFFFAOYSA-N 0 0 431.536 -0.314 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000537171608 1072978421 /nfs/dbraw/zinc/97/84/21/1072978421.db2.gz QNWJXLNMSBIILZ-ZDUSSCGKSA-N 0 0 426.451 -0.801 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCCO)CC2)cc1 ZINC000537171876 1072977979 /nfs/dbraw/zinc/97/79/79/1072977979.db2.gz GZDDCJRUEKNNEK-UHFFFAOYSA-N 0 0 433.552 -0.164 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000537171999 1072977934 /nfs/dbraw/zinc/97/79/34/1072977934.db2.gz PPMFTAQIQPRTKL-GFCCVEGCSA-N 0 0 440.569 -0.869 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000537172000 1072978083 /nfs/dbraw/zinc/97/80/83/1072978083.db2.gz PPMFTAQIQPRTKL-LBPRGKRZSA-N 0 0 440.569 -0.869 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000537174246 1072978542 /nfs/dbraw/zinc/97/85/42/1072978542.db2.gz BYJIXCVEPBUHEA-HNNXBMFYSA-N 0 0 437.565 -0.087 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000537174247 1072978405 /nfs/dbraw/zinc/97/84/05/1072978405.db2.gz BYJIXCVEPBUHEA-OAHLLOKOSA-N 0 0 437.565 -0.087 20 0 IBADRN COC(=O)CCN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@H](C)C1 ZINC000537176430 1072978572 /nfs/dbraw/zinc/97/85/72/1072978572.db2.gz HLHIFWJTMDCHBE-GFCCVEGCSA-N 0 0 434.478 -0.193 20 0 IBADRN COC(=O)CCN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@@H](C)C1 ZINC000537176431 1072978467 /nfs/dbraw/zinc/97/84/67/1072978467.db2.gz HLHIFWJTMDCHBE-LBPRGKRZSA-N 0 0 434.478 -0.193 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000537178203 1072978546 /nfs/dbraw/zinc/97/85/46/1072978546.db2.gz UMWYMLHTNZEWEY-CYBMUJFWSA-N 0 0 437.565 -0.087 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000537178204 1072978394 /nfs/dbraw/zinc/97/83/94/1072978394.db2.gz UMWYMLHTNZEWEY-ZDUSSCGKSA-N 0 0 437.565 -0.087 20 0 IBADRN CCc1c(C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000537178505 1072978553 /nfs/dbraw/zinc/97/85/53/1072978553.db2.gz LHHRFTOWOUYBAU-CYBMUJFWSA-N 0 0 431.493 -0.096 20 0 IBADRN CCc1c(C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000537178506 1072978430 /nfs/dbraw/zinc/97/84/30/1072978430.db2.gz LHHRFTOWOUYBAU-ZDUSSCGKSA-N 0 0 431.493 -0.096 20 0 IBADRN CCS(=O)(=O)NCC(=O)N(C)[C@H]1CCCC[C@@H]1N(C)C(=O)CNS(=O)(=O)CC ZINC000537180384 1072979115 /nfs/dbraw/zinc/97/91/15/1072979115.db2.gz SZWGJBKKXHYVQV-KBPBESRZSA-N 0 0 440.588 -0.907 20 0 IBADRN CCS(=O)(=O)NCC(=O)N(C)[C@@H]1CCCC[C@@H]1N(C)C(=O)CNS(=O)(=O)CC ZINC000537180385 1072979198 /nfs/dbraw/zinc/97/91/98/1072979198.db2.gz SZWGJBKKXHYVQV-OKILXGFUSA-N 0 0 440.588 -0.907 20 0 IBADRN CCS(=O)(=O)NCC(=O)N(C)[C@@H]1CCCC[C@H]1N(C)C(=O)CNS(=O)(=O)CC ZINC000537180386 1072979099 /nfs/dbraw/zinc/97/90/99/1072979099.db2.gz SZWGJBKKXHYVQV-ZIAGYGMSSA-N 0 0 440.588 -0.907 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N(C)[C@@H]1CCCC[C@H]1N(C)C(=O)[C@H](C)NS(C)(=O)=O ZINC000537180756 1072978489 /nfs/dbraw/zinc/97/84/89/1072978489.db2.gz SPCKWDMHNUQBQF-IGQOVBAYSA-N 0 0 440.588 -0.910 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N(C)[C@H]1CCCC[C@H]1N(C)C(=O)[C@H](C)NS(C)(=O)=O ZINC000537180757 1072978477 /nfs/dbraw/zinc/97/84/77/1072978477.db2.gz SPCKWDMHNUQBQF-LVEBTZEWSA-N 0 0 440.588 -0.910 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N(C)[C@@H]1CCCC[C@@H]1N(C)C(=O)[C@H](C)NS(C)(=O)=O ZINC000537180758 1072978504 /nfs/dbraw/zinc/97/85/04/1072978504.db2.gz SPCKWDMHNUQBQF-XDQVBPFNSA-N 0 0 440.588 -0.910 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N(C)[C@@H]1CCCC[C@H]1N(C)C(=O)[C@H](C)NS(C)(=O)=O ZINC000537180759 1072978500 /nfs/dbraw/zinc/97/85/00/1072978500.db2.gz SPCKWDMHNUQBQF-XJFOESAGSA-N 0 0 440.588 -0.910 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)nn1 ZINC000537182398 1072979022 /nfs/dbraw/zinc/97/90/22/1072979022.db2.gz LBTYOJAVIHHDCV-UHFFFAOYSA-N 0 0 438.388 -0.440 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000537183260 1072979143 /nfs/dbraw/zinc/97/91/43/1072979143.db2.gz WJLMSMKFALGKOX-UHFFFAOYSA-N 0 0 439.460 -0.240 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC000537183731 1072979076 /nfs/dbraw/zinc/97/90/76/1072979076.db2.gz NTSZJPYDGQOQDC-JTQLQIEISA-N 0 0 446.473 -0.123 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC000537183732 1072979176 /nfs/dbraw/zinc/97/91/76/1072979176.db2.gz NTSZJPYDGQOQDC-SNVBAGLBSA-N 0 0 446.473 -0.123 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1C(=O)NCC(F)(F)F ZINC000537184528 1072979156 /nfs/dbraw/zinc/97/91/56/1072979156.db2.gz UJHHIIBIFBBFSS-UHFFFAOYSA-N 0 0 432.446 -0.417 20 0 IBADRN CC(=O)NC[C@@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CCO1 ZINC000537184585 1072978925 /nfs/dbraw/zinc/97/89/25/1072978925.db2.gz WQNSCABTUUKQSR-LLVKDONJSA-N 0 0 426.417 -0.157 20 0 IBADRN CC(=O)NC[C@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CCO1 ZINC000537184586 1072978940 /nfs/dbraw/zinc/97/89/40/1072978940.db2.gz WQNSCABTUUKQSR-NSHDSACASA-N 0 0 426.417 -0.157 20 0 IBADRN Cn1cc(S(=O)(=O)NCCC(=O)N2CCOCC2)cc1C(=O)NCC(F)(F)F ZINC000537185201 1072979204 /nfs/dbraw/zinc/97/92/04/1072979204.db2.gz WBTDNJMCDVXDKZ-UHFFFAOYSA-N 0 0 426.417 -0.156 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)c1ccc(S(C)(=O)=O)cc1S(C)(=O)=O ZINC000537191132 1072979043 /nfs/dbraw/zinc/97/90/43/1072979043.db2.gz PNNQUMZPGYYBHJ-UHFFFAOYSA-N 0 0 434.536 -0.195 20 0 IBADRN CN(C)c1nccc(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000537191737 1072978960 /nfs/dbraw/zinc/97/89/60/1072978960.db2.gz XSLZSLGDUPJLIV-UHFFFAOYSA-N 0 0 448.553 -0.111 20 0 IBADRN Cc1sc2ncc(C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c(=O)n2c1C ZINC000537194094 1072978912 /nfs/dbraw/zinc/97/89/12/1072978912.db2.gz UMFUDPLQOYFGTA-KBPBESRZSA-N 0 0 426.520 -0.400 20 0 IBADRN Cc1sc2ncc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c(=O)n2c1C ZINC000537194095 1072979128 /nfs/dbraw/zinc/97/91/28/1072979128.db2.gz UMFUDPLQOYFGTA-KGLIPLIRSA-N 0 0 426.520 -0.400 20 0 IBADRN Cc1sc2ncc(C(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c(=O)n2c1C ZINC000537194096 1072979169 /nfs/dbraw/zinc/97/91/69/1072979169.db2.gz UMFUDPLQOYFGTA-UONOGXRCSA-N 0 0 426.520 -0.400 20 0 IBADRN Cc1sc2ncc(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c(=O)n2c1C ZINC000537194097 1072979193 /nfs/dbraw/zinc/97/91/93/1072979193.db2.gz UMFUDPLQOYFGTA-ZIAGYGMSSA-N 0 0 426.520 -0.400 20 0 IBADRN COC(=O)c1ccccc1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000537199142 1072979065 /nfs/dbraw/zinc/97/90/65/1072979065.db2.gz ZVRLSDPRPUQTBB-INIZCTEOSA-N 0 0 437.518 -0.537 20 0 IBADRN COC(=O)c1ccccc1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000537199143 1072978996 /nfs/dbraw/zinc/97/89/96/1072978996.db2.gz ZVRLSDPRPUQTBB-MRXNPFEDSA-N 0 0 437.518 -0.537 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C)CCNC(=O)N(C)C)cc2)CC1 ZINC000537213813 1072979539 /nfs/dbraw/zinc/97/95/39/1072979539.db2.gz UGZGORSGHKBRKG-UHFFFAOYSA-N 0 0 439.538 -0.117 20 0 IBADRN CC(C)NS(=O)(=O)CCNS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000537216907 1072979495 /nfs/dbraw/zinc/97/94/95/1072979495.db2.gz LVKCAJMYJAZGAB-UHFFFAOYSA-N 0 0 434.462 -0.077 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1=O ZINC000537218768 1072979554 /nfs/dbraw/zinc/97/95/54/1072979554.db2.gz HLDNDACHWUCSLJ-UHFFFAOYSA-N 0 0 426.417 -0.204 20 0 IBADRN CC(C)[C@@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000537223403 1072979403 /nfs/dbraw/zinc/97/94/03/1072979403.db2.gz HYOZGCBPJMREPJ-LJQANCHMSA-N 0 0 426.558 -0.055 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NCC(C)(C)N3CCOCC3)ccn2)CC1 ZINC000537230483 1072979574 /nfs/dbraw/zinc/97/95/74/1072979574.db2.gz LINSKHUHHWVXDB-UHFFFAOYSA-N 0 0 432.525 -0.365 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCN3C[C@H]4CC[C@@H](C3)O4)CC2)cc1 ZINC000537247575 1072979698 /nfs/dbraw/zinc/97/96/98/1072979698.db2.gz FHTHNSYLDQZFID-IYBDPMFKSA-N 0 0 444.579 -0.497 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC000537267557 1072979438 /nfs/dbraw/zinc/97/94/38/1072979438.db2.gz OASDIUDXNHMXCD-APWZRJJASA-N 0 0 430.571 -0.082 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC000537267562 1072979668 /nfs/dbraw/zinc/97/96/68/1072979668.db2.gz OASDIUDXNHMXCD-LPHOPBHVSA-N 0 0 430.571 -0.082 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC000537267563 1072979602 /nfs/dbraw/zinc/97/96/02/1072979602.db2.gz OASDIUDXNHMXCD-QFBILLFUSA-N 0 0 430.571 -0.082 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC000537267564 1072979458 /nfs/dbraw/zinc/97/94/58/1072979458.db2.gz OASDIUDXNHMXCD-VQIMIIECSA-N 0 0 430.571 -0.082 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCN(C[C@@H]4CCOC4)CC3)ccn2)CC1 ZINC000537275248 1072980129 /nfs/dbraw/zinc/98/01/29/1072980129.db2.gz FJNDUGMSAWNJNA-KRWDZBQOSA-N 0 0 444.536 -0.412 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCN(C[C@H]4CCOC4)CC3)ccn2)CC1 ZINC000537275259 1072980202 /nfs/dbraw/zinc/98/02/02/1072980202.db2.gz FJNDUGMSAWNJNA-QGZVFWFLSA-N 0 0 444.536 -0.412 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCO[C@H](Cn4cccn4)C3)ccn2)CC1 ZINC000537276739 1072980681 /nfs/dbraw/zinc/98/06/81/1072980681.db2.gz WGNYXPRJMZHEBC-KRWDZBQOSA-N 0 0 441.492 -0.468 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCO[C@@H](Cn4cccn4)C3)ccn2)CC1 ZINC000537276740 1072980872 /nfs/dbraw/zinc/98/08/72/1072980872.db2.gz WGNYXPRJMZHEBC-QGZVFWFLSA-N 0 0 441.492 -0.468 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCC[C@H]3C(=O)NC3CC3)ccn2)CC1 ZINC000537276923 1072980648 /nfs/dbraw/zinc/98/06/48/1072980648.db2.gz BNTYHXCWDKFMOZ-KRWDZBQOSA-N 0 0 428.493 -0.323 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)NC3CC3)ccn2)CC1 ZINC000537276924 1072980712 /nfs/dbraw/zinc/98/07/12/1072980712.db2.gz BNTYHXCWDKFMOZ-QGZVFWFLSA-N 0 0 428.493 -0.323 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nn1-c1ccc(C)cc1 ZINC000537279612 1073323995 /nfs/dbraw/zinc/32/39/95/1073323995.db2.gz RQHNDXPXYGGJNL-UHFFFAOYSA-N 0 0 437.464 -0.215 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCN(c4cnccn4)CC3)ccn2)CC1 ZINC000537281551 1072980895 /nfs/dbraw/zinc/98/08/95/1072980895.db2.gz JSUUGJIOKDOSSV-UHFFFAOYSA-N 0 0 438.492 -0.454 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000537282557 1072980750 /nfs/dbraw/zinc/98/07/50/1072980750.db2.gz DOPYFGYHWGQZMG-UHFFFAOYSA-N 0 0 432.525 -0.412 20 0 IBADRN CN1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@H](c2ccccc2)C1 ZINC000537282826 1072980604 /nfs/dbraw/zinc/98/06/04/1072980604.db2.gz FQSXXBKXLGTREC-NRFANRHFSA-N 0 0 429.565 -0.022 20 0 IBADRN CN1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@@H](c2ccccc2)C1 ZINC000537282827 1072980804 /nfs/dbraw/zinc/98/08/04/1072980804.db2.gz FQSXXBKXLGTREC-OAQYLSRUSA-N 0 0 429.565 -0.022 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000537282928 1072980934 /nfs/dbraw/zinc/98/09/34/1072980934.db2.gz UFNBVGQGSUUASB-ACRUOGEOSA-N 0 0 445.564 -0.080 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000537282929 1072980773 /nfs/dbraw/zinc/98/07/73/1072980773.db2.gz UFNBVGQGSUUASB-HBMCJLEFSA-N 0 0 445.564 -0.080 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000537282930 1072980945 /nfs/dbraw/zinc/98/09/45/1072980945.db2.gz UFNBVGQGSUUASB-PCCBWWKXSA-N 0 0 445.564 -0.080 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000537282931 1072980662 /nfs/dbraw/zinc/98/06/62/1072980662.db2.gz UFNBVGQGSUUASB-PWRODBHTSA-N 0 0 445.564 -0.080 20 0 IBADRN CC[C@H](C)n1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1C ZINC000537284666 1072980957 /nfs/dbraw/zinc/98/09/57/1072980957.db2.gz JHGZMYZWGXRWGK-AWEZNQCLSA-N 0 0 442.542 -0.178 20 0 IBADRN CC[C@@H](C)n1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1C ZINC000537284678 1073347255 /nfs/dbraw/zinc/34/72/55/1073347255.db2.gz JHGZMYZWGXRWGK-CQSZACIVSA-N 0 0 442.542 -0.178 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)Cn2cc(S(N)(=O)=O)cn2)s1 ZINC000537294755 1072981258 /nfs/dbraw/zinc/98/12/58/1072981258.db2.gz LLJUBQGVBTYUTD-UHFFFAOYSA-N 0 0 449.580 -0.019 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1OCCO ZINC000537297254 1072981484 /nfs/dbraw/zinc/98/14/84/1072981484.db2.gz SEZZCAPCZDTLEK-UHFFFAOYSA-N 0 0 442.538 -0.684 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)c1 ZINC000537297366 1072981376 /nfs/dbraw/zinc/98/13/76/1072981376.db2.gz DMHDMJDKDFOFBO-UHFFFAOYSA-N 0 0 445.498 -0.407 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000537297870 1072981294 /nfs/dbraw/zinc/98/12/94/1072981294.db2.gz FSFVTTPEVUQESP-UHFFFAOYSA-N 0 0 440.566 -0.074 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c1 ZINC000537297959 1072981440 /nfs/dbraw/zinc/98/14/40/1072981440.db2.gz OIBGKJISNSOGTA-UHFFFAOYSA-N 0 0 431.471 -0.797 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000537298455 1072981306 /nfs/dbraw/zinc/98/13/06/1072981306.db2.gz HYGIGPYZOHONCF-UHFFFAOYSA-N 0 0 442.475 -0.392 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)c1 ZINC000537298549 1072981500 /nfs/dbraw/zinc/98/15/00/1072981500.db2.gz PLYVZTSFGFIILU-UHFFFAOYSA-N 0 0 449.461 -0.658 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000537298862 1072981205 /nfs/dbraw/zinc/98/12/05/1072981205.db2.gz ROOTYQPHJANIEF-UHFFFAOYSA-N 0 0 427.508 -0.628 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000537298951 1072981150 /nfs/dbraw/zinc/98/11/50/1072981150.db2.gz SKEWMWRAJFDHIC-UHFFFAOYSA-N 0 0 428.492 -0.155 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000537307560 1072982053 /nfs/dbraw/zinc/98/20/53/1072982053.db2.gz AXFCXWUSSVHOBV-UHFFFAOYSA-N 0 0 427.504 -0.123 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000537307944 1072981681 /nfs/dbraw/zinc/98/16/81/1072981681.db2.gz OTAOISLJKLGRFV-KRWDZBQOSA-N 0 0 425.507 -0.237 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000537307966 1072981950 /nfs/dbraw/zinc/98/19/50/1072981950.db2.gz OTAOISLJKLGRFV-QGZVFWFLSA-N 0 0 425.507 -0.237 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NCc3ccco3)c2)CC1 ZINC000537309126 1072982046 /nfs/dbraw/zinc/98/20/46/1072982046.db2.gz YBQMTRLPKJDEAX-UHFFFAOYSA-N 0 0 428.492 -0.281 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000537309876 1072981956 /nfs/dbraw/zinc/98/19/56/1072981956.db2.gz AUZGHTBSWODEMX-INIZCTEOSA-N 0 0 445.563 -0.314 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000537309888 1072981731 /nfs/dbraw/zinc/98/17/31/1072981731.db2.gz AUZGHTBSWODEMX-MRXNPFEDSA-N 0 0 445.563 -0.314 20 0 IBADRN CN(CC(=O)Nc1ccc(Br)cn1)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000537310972 1072981892 /nfs/dbraw/zinc/98/18/92/1072981892.db2.gz KRRCDAFXZYLBMU-UHFFFAOYSA-N 0 0 431.272 -0.215 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)c2ccc(S(N)(=O)=O)cc2)c1 ZINC000537311086 1072981918 /nfs/dbraw/zinc/98/19/18/1072981918.db2.gz KKFMIHZLSPMYGD-LLVKDONJSA-N 0 0 440.503 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)c2ccc(S(N)(=O)=O)cc2)c1 ZINC000537311091 1072981933 /nfs/dbraw/zinc/98/19/33/1072981933.db2.gz KKFMIHZLSPMYGD-NSHDSACASA-N 0 0 440.503 -0.001 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)c1 ZINC000537311321 1072982030 /nfs/dbraw/zinc/98/20/30/1072982030.db2.gz KUFOSPRFNZOFNG-UHFFFAOYSA-N 0 0 438.485 -0.756 20 0 IBADRN CN(C(=O)c1ccc(S(N)(=O)=O)cc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000537311378 1072981815 /nfs/dbraw/zinc/98/18/15/1072981815.db2.gz MNKMKLMXRGNRKU-UHFFFAOYSA-N 0 0 437.566 -0.356 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC000537311506 1072981712 /nfs/dbraw/zinc/98/17/12/1072981712.db2.gz MTBWMTJTNQLWBE-LLVKDONJSA-N 0 0 447.311 -0.437 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC000537311513 1072982011 /nfs/dbraw/zinc/98/20/11/1072982011.db2.gz MTBWMTJTNQLWBE-NSHDSACASA-N 0 0 447.311 -0.437 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)nc1 ZINC000537312566 1072982519 /nfs/dbraw/zinc/98/25/19/1072982519.db2.gz WVBDFNJTDCSSLS-UHFFFAOYSA-N 0 0 428.478 -0.337 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1 ZINC000537313399 1072982501 /nfs/dbraw/zinc/98/25/01/1072982501.db2.gz CGVVAZDNOSVWNP-UHFFFAOYSA-N 0 0 429.524 -0.325 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)cc1 ZINC000537316885 1072982406 /nfs/dbraw/zinc/98/24/06/1072982406.db2.gz DYPDVFAERWOUSR-KRWDZBQOSA-N 0 0 449.533 -0.046 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)cc1 ZINC000537316896 1072982511 /nfs/dbraw/zinc/98/25/11/1072982511.db2.gz DYPDVFAERWOUSR-QGZVFWFLSA-N 0 0 449.533 -0.046 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NC[C@H](O)COc2ccccc2Br)c1 ZINC000537316918 1072982297 /nfs/dbraw/zinc/98/22/97/1072982297.db2.gz DYGONADOAVCCDO-JTQLQIEISA-N 0 0 433.284 -0.151 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NC[C@@H](O)COc2ccccc2Br)c1 ZINC000537316936 1072982452 /nfs/dbraw/zinc/98/24/52/1072982452.db2.gz DYGONADOAVCCDO-SNVBAGLBSA-N 0 0 433.284 -0.151 20 0 IBADRN CCNc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000537318188 1072982462 /nfs/dbraw/zinc/98/24/62/1072982462.db2.gz MMQAVCOLXGOCBP-UHFFFAOYSA-N 0 0 430.512 -0.149 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCc2ccccc2CS(=O)(=O)N2CCCC2)c1 ZINC000537319057 1072982379 /nfs/dbraw/zinc/98/23/79/1072982379.db2.gz RVCOYDBALUPRPZ-UHFFFAOYSA-N 0 0 441.535 -0.228 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)c1 ZINC000537320303 1072982437 /nfs/dbraw/zinc/98/24/37/1072982437.db2.gz GUOXOWAVDHHTMX-UHFFFAOYSA-N 0 0 425.492 -0.866 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C[C@H](C)O1 ZINC000537321083 1072982312 /nfs/dbraw/zinc/98/23/12/1072982312.db2.gz MIVBUDNOSWDSGG-GASCZTMLSA-N 0 0 429.543 -0.353 20 0 IBADRN C[C@H]1CN(CCOC2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C[C@H](C)O1 ZINC000537321085 1072982250 /nfs/dbraw/zinc/98/22/50/1072982250.db2.gz MIVBUDNOSWDSGG-GJZGRUSLSA-N 0 0 429.543 -0.353 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C[C@@H](C)O1 ZINC000537321086 1072982488 /nfs/dbraw/zinc/98/24/88/1072982488.db2.gz MIVBUDNOSWDSGG-HUUCEWRRSA-N 0 0 429.543 -0.353 20 0 IBADRN CN(C(=O)Cn1cc(S(N)(=O)=O)cn1)[C@@H](C(N)=O)c1cccc(Br)c1 ZINC000537321704 1072982528 /nfs/dbraw/zinc/98/25/28/1072982528.db2.gz SQSRXDOZMRQBMK-CYBMUJFWSA-N 0 0 430.284 -0.022 20 0 IBADRN CN(C(=O)Cn1cc(S(N)(=O)=O)cn1)[C@H](C(N)=O)c1cccc(Br)c1 ZINC000537321705 1072982393 /nfs/dbraw/zinc/98/23/93/1072982393.db2.gz SQSRXDOZMRQBMK-ZDUSSCGKSA-N 0 0 430.284 -0.022 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)c3ccc(S(=O)(=O)N(C)CCO)cc3)C2)nn1 ZINC000537321925 1072982482 /nfs/dbraw/zinc/98/24/82/1072982482.db2.gz MBAJTERANRCYPU-AWEZNQCLSA-N 0 0 437.478 -0.235 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)c3ccc(S(=O)(=O)N(C)CCO)cc3)C2)nn1 ZINC000537321926 1072982411 /nfs/dbraw/zinc/98/24/11/1072982411.db2.gz MBAJTERANRCYPU-CQSZACIVSA-N 0 0 437.478 -0.235 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NC2CCN(S(=O)(=O)Cc3ccccc3)CC2)c1 ZINC000537322234 1072982533 /nfs/dbraw/zinc/98/25/33/1072982533.db2.gz LNPFWQZTMKZSQZ-UHFFFAOYSA-N 0 0 441.535 -0.359 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)ccn2)CC1 ZINC000537323744 1072982869 /nfs/dbraw/zinc/98/28/69/1072982869.db2.gz YBYQDZNPRDQFFW-UHFFFAOYSA-N 0 0 437.460 -0.333 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)c2ccc(S(N)(=O)=O)cn2)c1 ZINC000537323750 1072982952 /nfs/dbraw/zinc/98/29/52/1072982952.db2.gz LUEHGOFAEVPWKJ-UHFFFAOYSA-N 0 0 428.492 -0.416 20 0 IBADRN COc1cc(NC(=O)Cn2cc(S(N)(=O)=O)cn2)ccc1S(=O)(=O)N1CCCC1 ZINC000537324154 1072982902 /nfs/dbraw/zinc/98/29/02/1072982902.db2.gz QXKWQJANTWSOBO-UHFFFAOYSA-N 0 0 443.507 -0.038 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000537325069 1072982827 /nfs/dbraw/zinc/98/28/27/1072982827.db2.gz IWCUGGJTGBIVBG-UHFFFAOYSA-N 0 0 431.496 -0.182 20 0 IBADRN Cc1ccccc1O[C@@H](C)C(=O)N1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000537325560 1072982961 /nfs/dbraw/zinc/98/29/61/1072982961.db2.gz LJIGYWHWJXNAGY-HNNXBMFYSA-N 0 0 435.506 -0.023 20 0 IBADRN Cc1ccccc1O[C@H](C)C(=O)N1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000537325561 1072982974 /nfs/dbraw/zinc/98/29/74/1072982974.db2.gz LJIGYWHWJXNAGY-OAHLLOKOSA-N 0 0 435.506 -0.023 20 0 IBADRN Cc1cccc(O[C@@H](C)C(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c1 ZINC000537326391 1072982805 /nfs/dbraw/zinc/98/28/05/1072982805.db2.gz WEFXUIRVYSJNKG-HNNXBMFYSA-N 0 0 435.506 -0.023 20 0 IBADRN Cc1cccc(O[C@H](C)C(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c1 ZINC000537326393 1072982912 /nfs/dbraw/zinc/98/29/12/1072982912.db2.gz WEFXUIRVYSJNKG-OAHLLOKOSA-N 0 0 435.506 -0.023 20 0 IBADRN CCOC(=O)c1cc(NC(=O)Cn2cc(S(N)(=O)=O)cn2)cc(S(C)(=O)=O)c1 ZINC000537328144 1072983013 /nfs/dbraw/zinc/98/30/13/1072983013.db2.gz XIQSXJSGNXRZLB-UHFFFAOYSA-N 0 0 430.464 -0.251 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)cn1C ZINC000537328513 1072983034 /nfs/dbraw/zinc/98/30/34/1072983034.db2.gz CVVMRICUZKYJRI-UHFFFAOYSA-N 0 0 442.523 -0.086 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000537328582 1072982944 /nfs/dbraw/zinc/98/29/44/1072982944.db2.gz CIHKUAGROIAXDG-PBHICJAKSA-N 0 0 426.539 -0.073 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000537328595 1072982923 /nfs/dbraw/zinc/98/29/23/1072982923.db2.gz CIHKUAGROIAXDG-RHSMWYFYSA-N 0 0 426.539 -0.073 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000537328598 1072983007 /nfs/dbraw/zinc/98/30/07/1072983007.db2.gz CIHKUAGROIAXDG-WMLDXEAASA-N 0 0 426.539 -0.073 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000537328600 1072983063 /nfs/dbraw/zinc/98/30/63/1072983063.db2.gz CIHKUAGROIAXDG-YOEHRIQHSA-N 0 0 426.539 -0.073 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000537329348 1072982981 /nfs/dbraw/zinc/98/29/81/1072982981.db2.gz KJWAZLXZQBMPON-UHFFFAOYSA-N 0 0 426.539 -0.418 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1cc(S(N)(=O)=O)oc1C)C(=O)NCCN1CCOCC1 ZINC000537330476 1072983024 /nfs/dbraw/zinc/98/30/24/1072983024.db2.gz RAJPCEHIRNORPD-BLLLJJGKSA-N 0 0 430.527 -0.172 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1cc(S(N)(=O)=O)oc1C)C(=O)NCCN1CCOCC1 ZINC000537330483 1072982845 /nfs/dbraw/zinc/98/28/45/1072982845.db2.gz RAJPCEHIRNORPD-LRDDRELGSA-N 0 0 430.527 -0.172 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1cc(S(N)(=O)=O)oc1C)C(=O)NCCN1CCOCC1 ZINC000537330487 1072982897 /nfs/dbraw/zinc/98/28/97/1072982897.db2.gz RAJPCEHIRNORPD-MLGOLLRUSA-N 0 0 430.527 -0.172 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1cc(S(N)(=O)=O)oc1C)C(=O)NCCN1CCOCC1 ZINC000537330490 1072983537 /nfs/dbraw/zinc/98/35/37/1072983537.db2.gz RAJPCEHIRNORPD-WBMJQRKESA-N 0 0 430.527 -0.172 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)NCC(=O)N3CCOCC3)c2)CC1 ZINC000537334266 1072983044 /nfs/dbraw/zinc/98/30/44/1072983044.db2.gz SGQOUVYXRSYPFK-UHFFFAOYSA-N 0 0 439.538 -0.007 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(S(C)(=O)=O)[C@@H](C)C3)CC2)cn1C ZINC000537334463 1072983544 /nfs/dbraw/zinc/98/35/44/1072983544.db2.gz PJMQDMJGGKICOC-ZDUSSCGKSA-N 0 0 447.583 -0.378 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000537335617 1072983496 /nfs/dbraw/zinc/98/34/96/1072983496.db2.gz NMSAHWXMAHGYDJ-NSHDSACASA-N 0 0 433.498 -0.643 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CCN1S(C)(=O)=O ZINC000537336140 1072983480 /nfs/dbraw/zinc/98/34/80/1072983480.db2.gz CBSNKUCATURCFT-ZDUSSCGKSA-N 0 0 431.536 -0.346 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCC(=O)N3CCOCC3)c2)CC1 ZINC000537337005 1072983415 /nfs/dbraw/zinc/98/34/15/1072983415.db2.gz XLPPVIKHSIVMRQ-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN Cc1cnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)nc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000537346443 1072983445 /nfs/dbraw/zinc/98/34/45/1072983445.db2.gz RFQWYLDYWOTNEI-GJZGRUSLSA-N 0 0 446.599 -0.275 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCN1S(C)(=O)=O ZINC000537352084 1072983509 /nfs/dbraw/zinc/98/35/09/1072983509.db2.gz FHWBTLFKOIOUND-LBPRGKRZSA-N 0 0 425.554 -0.409 20 0 IBADRN O=C(NCC(=O)N1CCOCC1)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000537352992 1072983489 /nfs/dbraw/zinc/98/34/89/1072983489.db2.gz KLEWORKSBPDJRZ-INIZCTEOSA-N 0 0 440.522 -0.198 20 0 IBADRN O=C(NCC(=O)N1CCOCC1)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000537352995 1072983402 /nfs/dbraw/zinc/98/34/02/1072983402.db2.gz KLEWORKSBPDJRZ-MRXNPFEDSA-N 0 0 440.522 -0.198 20 0 IBADRN COC(=O)C1(c2ccc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000537353769 1072983465 /nfs/dbraw/zinc/98/34/65/1072983465.db2.gz NTFSCIGSXOPHAC-AWEZNQCLSA-N 0 0 444.531 -0.185 20 0 IBADRN COC(=O)C1(c2ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000537353777 1072983588 /nfs/dbraw/zinc/98/35/88/1072983588.db2.gz NTFSCIGSXOPHAC-CQSZACIVSA-N 0 0 444.531 -0.185 20 0 IBADRN O=C(NCC(=O)N1CCOCC1)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000537353959 1072983568 /nfs/dbraw/zinc/98/35/68/1072983568.db2.gz QNBFFVZSSNNPAR-UHFFFAOYSA-N 0 0 432.524 -0.573 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CCN1S(C)(=O)=O ZINC000537357106 1072983579 /nfs/dbraw/zinc/98/35/79/1072983579.db2.gz IPGDKKRGISWDIZ-ZDUSSCGKSA-N 0 0 437.565 -0.119 20 0 IBADRN C[C@H]1CN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CCN1S(C)(=O)=O ZINC000537357587 1072984649 /nfs/dbraw/zinc/98/46/49/1072984649.db2.gz MHRSIDBBISOBEJ-AWEZNQCLSA-N 0 0 437.522 -0.566 20 0 IBADRN CCOC(=O)[C@@H](CCOC(C)(C)C)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000537359439 1072984630 /nfs/dbraw/zinc/98/46/30/1072984630.db2.gz XAJIMVCPPRXQMP-CYBMUJFWSA-N 0 0 429.561 -0.465 20 0 IBADRN CCOC(=O)[C@H](CCOC(C)(C)C)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000537359457 1072985175 /nfs/dbraw/zinc/98/51/75/1072985175.db2.gz XAJIMVCPPRXQMP-ZDUSSCGKSA-N 0 0 429.561 -0.465 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(c3nnc(C(F)(F)F)s3)CC2)c1 ZINC000537361134 1072985193 /nfs/dbraw/zinc/98/51/93/1072985193.db2.gz RFFIWDHFLRNDFB-UHFFFAOYSA-N 0 0 425.418 -0.250 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCC(=O)N2CCOCC2)s1 ZINC000537361264 1072985088 /nfs/dbraw/zinc/98/50/88/1072985088.db2.gz TWMQUONPTWFYNK-UHFFFAOYSA-N 0 0 425.554 -0.633 20 0 IBADRN O=C(CNC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCOCC1 ZINC000537362801 1072984234 /nfs/dbraw/zinc/98/42/34/1072984234.db2.gz GRUMQRUELQKMSE-INIZCTEOSA-N 0 0 433.513 -0.560 20 0 IBADRN O=C(CNC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCOCC1 ZINC000537362804 1072984139 /nfs/dbraw/zinc/98/41/39/1072984139.db2.gz GRUMQRUELQKMSE-MRXNPFEDSA-N 0 0 433.513 -0.560 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CCN1S(C)(=O)=O ZINC000537368094 1072984030 /nfs/dbraw/zinc/98/40/30/1072984030.db2.gz JRGMWIDTRFAPLI-INIZCTEOSA-N 0 0 438.550 -0.259 20 0 IBADRN C[C@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCN1S(C)(=O)=O ZINC000537371620 1072983977 /nfs/dbraw/zinc/98/39/77/1072983977.db2.gz NPHQTJIKTLBTBU-AWEZNQCLSA-N 0 0 449.533 -0.674 20 0 IBADRN C[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCN1S(C)(=O)=O ZINC000537372119 1072984307 /nfs/dbraw/zinc/98/43/07/1072984307.db2.gz WREFPOSDNZWXNW-AWEZNQCLSA-N 0 0 448.567 -0.525 20 0 IBADRN C[C@H]1CN(CCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CCN1S(C)(=O)=O ZINC000537372223 1072984105 /nfs/dbraw/zinc/98/41/05/1072984105.db2.gz CKYBZOXEFQPIFS-AWEZNQCLSA-N 0 0 432.568 -0.652 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(S(C)(=O)=O)[C@@H](C)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000537372684 1072984063 /nfs/dbraw/zinc/98/40/63/1072984063.db2.gz HWNQQLWDBJUMPV-BFHYXJOUSA-N 0 0 432.568 -0.135 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(S(C)(=O)=O)[C@@H](C)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000537372685 1072984074 /nfs/dbraw/zinc/98/40/74/1072984074.db2.gz HWNQQLWDBJUMPV-IHRRRGAJSA-N 0 0 432.568 -0.135 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000537372686 1072984009 /nfs/dbraw/zinc/98/40/09/1072984009.db2.gz HWNQQLWDBJUMPV-MELADBBJSA-N 0 0 432.568 -0.135 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(S(C)(=O)=O)[C@@H](C)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000537372687 1072984745 /nfs/dbraw/zinc/98/47/45/1072984745.db2.gz HWNQQLWDBJUMPV-MJBXVCDLSA-N 0 0 432.568 -0.135 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(S(C)(=O)=O)[C@@H](C)C2)c(=O)[nH]c1=O ZINC000537374089 1072984715 /nfs/dbraw/zinc/98/47/15/1072984715.db2.gz JKQWCDAEISXSRT-ZDUSSCGKSA-N 0 0 444.558 -0.350 20 0 IBADRN C[C@H]1CN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC000537374772 1072984757 /nfs/dbraw/zinc/98/47/57/1072984757.db2.gz JWXNWEXMBISSSN-ARFHVFGLSA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@H]1CN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC000537374779 1072984708 /nfs/dbraw/zinc/98/47/08/1072984708.db2.gz JWXNWEXMBISSSN-HRCADAONSA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@H]1CN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC000537374783 1072984701 /nfs/dbraw/zinc/98/47/01/1072984701.db2.gz JWXNWEXMBISSSN-JYJNAYRXSA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@H]1CN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC000537374786 1072984733 /nfs/dbraw/zinc/98/47/33/1072984733.db2.gz JWXNWEXMBISSSN-XHSDSOJGSA-N 0 0 437.584 -0.853 20 0 IBADRN CCc1ccc(C(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000537375407 1072984682 /nfs/dbraw/zinc/98/46/82/1072984682.db2.gz YPSMVWMOMMTCPS-UHFFFAOYSA-N 0 0 425.507 -0.142 20 0 IBADRN O=C(CCNC(=O)N(CCC(F)(F)F)C[C@H](O)CO)N1CCN(c2ncccn2)CC1 ZINC000537376027 1072984617 /nfs/dbraw/zinc/98/46/17/1072984617.db2.gz WSTVAFISWZZGEL-AWEZNQCLSA-N 0 0 448.446 -0.168 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCO[C@@H](Cc3ccccc3)C2)CC1)N1CCOCC1 ZINC000537376044 1072984690 /nfs/dbraw/zinc/98/46/90/1072984690.db2.gz XKDHRWBFTIJDFJ-NRFANRHFSA-N 0 0 430.549 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCO[C@H](Cc3ccccc3)C2)CC1)N1CCOCC1 ZINC000537376045 1072984721 /nfs/dbraw/zinc/98/47/21/1072984721.db2.gz XKDHRWBFTIJDFJ-OAQYLSRUSA-N 0 0 430.549 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCC(=O)N2CCOCC2)c1 ZINC000537376344 1072984747 /nfs/dbraw/zinc/98/47/47/1072984747.db2.gz SCMDWXHFLWVHSH-UHFFFAOYSA-N 0 0 440.522 -0.638 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC(=O)N2CCOCC2)c1 ZINC000537377650 1072984738 /nfs/dbraw/zinc/98/47/38/1072984738.db2.gz VULXROLAWYAGGC-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CCN1S(C)(=O)=O ZINC000537378419 1072986278 /nfs/dbraw/zinc/98/62/78/1072986278.db2.gz SNJYKEAVNHTNTG-LBPRGKRZSA-N 0 0 436.581 -0.142 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCC(=O)N2CCOCC2)ccc1Cl ZINC000537378984 1072984755 /nfs/dbraw/zinc/98/47/55/1072984755.db2.gz BDSGYFXRYFJKGM-UHFFFAOYSA-N 0 0 432.886 -0.496 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000537379080 1072983991 /nfs/dbraw/zinc/98/39/91/1072983991.db2.gz CPTCWGADUUPBRF-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000537379084 1072984177 /nfs/dbraw/zinc/98/41/77/1072984177.db2.gz CPTCWGADUUPBRF-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(C(=O)NCc2ccccn2)c1)N1CCOCC1 ZINC000537379738 1072986346 /nfs/dbraw/zinc/98/63/46/1072986346.db2.gz AGWDDIZRXKAEBO-UHFFFAOYSA-N 0 0 425.445 -0.075 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21)N1CCOCC1 ZINC000537379890 1072986317 /nfs/dbraw/zinc/98/63/17/1072986317.db2.gz DAQIYPPXYAWPCD-GOSISDBHSA-N 0 0 444.488 -0.232 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21)N1CCOCC1 ZINC000537379891 1072986338 /nfs/dbraw/zinc/98/63/38/1072986338.db2.gz DAQIYPPXYAWPCD-SFHVURJKSA-N 0 0 444.488 -0.232 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1C(=O)OC ZINC000537380387 1072986264 /nfs/dbraw/zinc/98/62/64/1072986264.db2.gz LJNZKLFIAYRVQI-NSHDSACASA-N 0 0 441.462 -0.309 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c(OC)c(OC)c1 ZINC000537380559 1072986286 /nfs/dbraw/zinc/98/62/86/1072986286.db2.gz NXRVGGIFADYXCN-NSHDSACASA-N 0 0 443.478 -0.079 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1 ZINC000537380708 1072986304 /nfs/dbraw/zinc/98/63/04/1072986304.db2.gz QUXLCFGSIRBBTH-CYBMUJFWSA-N 0 0 434.449 -0.719 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1 ZINC000537380711 1072986271 /nfs/dbraw/zinc/98/62/71/1072986271.db2.gz QUXLCFGSIRBBTH-ZDUSSCGKSA-N 0 0 434.449 -0.719 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CCN1S(C)(=O)=O ZINC000537380827 1072986294 /nfs/dbraw/zinc/98/62/94/1072986294.db2.gz TYSXWWMSXXHCLY-AWEZNQCLSA-N 0 0 438.506 -0.410 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1NS(C)(=O)=O ZINC000537380929 1072986361 /nfs/dbraw/zinc/98/63/61/1072986361.db2.gz GTAHZOUYLWRVPU-LBPRGKRZSA-N 0 0 432.524 -0.203 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccnc(OCC(F)(F)F)c2)CC1 ZINC000537381037 1072986354 /nfs/dbraw/zinc/98/63/54/1072986354.db2.gz IMBPOLRPFQHAGW-UHFFFAOYSA-N 0 0 447.414 -0.132 20 0 IBADRN O=C(NC[C@@H]1CCCO1)Nc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1 ZINC000537381347 1072986327 /nfs/dbraw/zinc/98/63/27/1072986327.db2.gz WPKJQFNVVLIBPP-INIZCTEOSA-N 0 0 433.465 -0.099 20 0 IBADRN O=C(NC[C@H]1CCCO1)Nc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1 ZINC000537381348 1072986367 /nfs/dbraw/zinc/98/63/67/1072986367.db2.gz WPKJQFNVVLIBPP-MRXNPFEDSA-N 0 0 433.465 -0.099 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl)N1CCOCC1 ZINC000537381486 1072985074 /nfs/dbraw/zinc/98/50/74/1072985074.db2.gz BTUUQOJBZQNXAN-UHFFFAOYSA-N 0 0 444.897 -0.207 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CCN1S(C)(=O)=O ZINC000537381654 1072985036 /nfs/dbraw/zinc/98/50/36/1072985036.db2.gz JHKLLQNUNDRKSP-LBPRGKRZSA-N 0 0 432.524 -0.833 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1C ZINC000537381724 1072985127 /nfs/dbraw/zinc/98/51/27/1072985127.db2.gz LRZSUIYNKVOZHA-NSHDSACASA-N 0 0 432.524 -0.618 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)CCN1S(C)(=O)=O ZINC000537381933 1072986245 /nfs/dbraw/zinc/98/62/45/1072986245.db2.gz AIQQCYKRQVYRKA-NSHDSACASA-N 0 0 435.499 -0.199 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000537381979 1072985221 /nfs/dbraw/zinc/98/52/21/1072985221.db2.gz UBTQIROMRDGCKM-UHFFFAOYSA-N 0 0 429.433 -0.119 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)CCN1S(C)(=O)=O ZINC000537382027 1072985104 /nfs/dbraw/zinc/98/51/04/1072985104.db2.gz AZQRNACBGFYCRB-VIFPVBQESA-N 0 0 439.462 -0.201 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)NC(C)(C)C ZINC000537382108 1072986259 /nfs/dbraw/zinc/98/62/59/1072986259.db2.gz BNQMYYDMUMIFPK-UHFFFAOYSA-N 0 0 440.522 -0.015 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c2ccccc2O1 ZINC000537382584 1072985145 /nfs/dbraw/zinc/98/51/45/1072985145.db2.gz DZRNZTUCCLRTFH-SWLSCSKDSA-N 0 0 425.463 -0.554 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c2ccccc2O1 ZINC000537382597 1072985137 /nfs/dbraw/zinc/98/51/37/1072985137.db2.gz DZRNZTUCCLRTFH-WFASDCNBSA-N 0 0 425.463 -0.554 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1)n1cccn1 ZINC000537382797 1072985115 /nfs/dbraw/zinc/98/51/15/1072985115.db2.gz GBSHZMXQUSEQKK-AWEZNQCLSA-N 0 0 428.449 -0.004 20 0 IBADRN C[C@H](C(=O)Nc1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1)n1cccn1 ZINC000537382815 1072985206 /nfs/dbraw/zinc/98/52/06/1072985206.db2.gz GBSHZMXQUSEQKK-CQSZACIVSA-N 0 0 428.449 -0.004 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000537383312 1072985082 /nfs/dbraw/zinc/98/50/82/1072985082.db2.gz JBRQVTCJKZDYNT-ZDUSSCGKSA-N 0 0 426.495 -0.368 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)CCN1S(C)(=O)=O ZINC000537383400 1072985122 /nfs/dbraw/zinc/98/51/22/1072985122.db2.gz LRDMFTPLFKMCKC-NSHDSACASA-N 0 0 435.499 -0.199 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000537383943 1072985228 /nfs/dbraw/zinc/98/52/28/1072985228.db2.gz ORCZTBHNXOZHBH-NSHDSACASA-N 0 0 439.523 -0.185 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000537384053 1072985061 /nfs/dbraw/zinc/98/50/61/1072985061.db2.gz QVWINYQMFHXAOY-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CCN1S(C)(=O)=O ZINC000537384250 1072985095 /nfs/dbraw/zinc/98/50/95/1072985095.db2.gz NFWRSMGRJUOATJ-ZDUSSCGKSA-N 0 0 436.490 -0.234 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(N2CCOCC2)c2ccncc12)N1CCOCC1 ZINC000537384848 1072985156 /nfs/dbraw/zinc/98/51/56/1072985156.db2.gz UIZFCPSKUJIQHY-UHFFFAOYSA-N 0 0 427.461 -0.015 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NCC(=O)N1CCOCC1 ZINC000537384903 1072985208 /nfs/dbraw/zinc/98/52/08/1072985208.db2.gz RVIOWTMHNZZOGL-UHFFFAOYSA-N 0 0 440.522 -0.063 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)CCN1S(C)(=O)=O ZINC000537384959 1072985820 /nfs/dbraw/zinc/98/58/20/1072985820.db2.gz SPOSTJLNDXSVMI-ZDUSSCGKSA-N 0 0 426.495 -0.416 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000537385592 1072985925 /nfs/dbraw/zinc/98/59/25/1072985925.db2.gz YZZACSOONYVHRW-UHFFFAOYSA-N 0 0 440.522 -0.182 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c(C)c1 ZINC000537385925 1072985875 /nfs/dbraw/zinc/98/58/75/1072985875.db2.gz YKADXVDRAIGKBJ-ZDUSSCGKSA-N 0 0 427.479 -0.022 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCC(=O)N2CCOCC2)c1 ZINC000537387217 1072985647 /nfs/dbraw/zinc/98/56/47/1072985647.db2.gz GOIOSCLMXXFLAT-GFCCVEGCSA-N 0 0 427.483 -0.920 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCC(=O)N2CCOCC2)c1 ZINC000537387218 1072985615 /nfs/dbraw/zinc/98/56/15/1072985615.db2.gz GOIOSCLMXXFLAT-LBPRGKRZSA-N 0 0 427.483 -0.920 20 0 IBADRN O=C(CN1CSCC1=O)NCCN(Cc1ccccn1)C(=O)CN1CSCC1=O ZINC000537393571 1072985668 /nfs/dbraw/zinc/98/56/68/1072985668.db2.gz DKTODLRAMNCRLQ-UHFFFAOYSA-N 0 0 437.547 -0.408 20 0 IBADRN Cn1cc(S(=O)(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)c(=O)n(C)c1=O ZINC000537393792 1072985887 /nfs/dbraw/zinc/98/58/87/1072985887.db2.gz GQBFEHFGVQTIHR-UHFFFAOYSA-N 0 0 448.505 -0.662 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)cc2)CC1 ZINC000537394994 1072985704 /nfs/dbraw/zinc/98/57/04/1072985704.db2.gz VGLXUOCQYMCSJJ-UHFFFAOYSA-N 0 0 449.533 -0.409 20 0 IBADRN CC[NH+](CC)CCNC(=O)c1ccc([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000537396256 1072985834 /nfs/dbraw/zinc/98/58/34/1072985834.db2.gz CDVWSBZTFYASMF-UHFFFAOYSA-N 0 0 437.522 -0.044 20 0 IBADRN Cc1csc2ncc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)c(=O)n12 ZINC000537406396 1072986332 /nfs/dbraw/zinc/98/63/32/1072986332.db2.gz HYCYWKWXLZXMFY-UHFFFAOYSA-N 0 0 427.508 -0.601 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nccn(C4CC4)c3=O)CC2)cc1 ZINC000537406852 1072986339 /nfs/dbraw/zinc/98/63/39/1072986339.db2.gz JLPZBMINXHSXBC-UHFFFAOYSA-N 0 0 439.519 -0.264 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC(=O)N3CCOCC3)c2)CC1 ZINC000537415189 1072986872 /nfs/dbraw/zinc/98/68/72/1072986872.db2.gz KVNJGQGDXMUGGY-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCC(=O)N1CCOCC1 ZINC000537415635 1072986835 /nfs/dbraw/zinc/98/68/35/1072986835.db2.gz CWCGPXXGROWFTP-UHFFFAOYSA-N 0 0 441.506 -0.119 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCC(=O)N1CCOCC1 ZINC000537415705 1072986971 /nfs/dbraw/zinc/98/69/71/1072986971.db2.gz QVBXVQDDFPXCGS-UHFFFAOYSA-N 0 0 427.479 -0.509 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NCC(=O)N1CCOCC1 ZINC000537417289 1072986929 /nfs/dbraw/zinc/98/69/29/1072986929.db2.gz TYWDBOBDXJIBKI-UHFFFAOYSA-N 0 0 425.385 -0.162 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NCCOc1ccc(F)cc1 ZINC000537427618 1072986808 /nfs/dbraw/zinc/98/68/08/1072986808.db2.gz VKWNTTUVZQMRDK-UHFFFAOYSA-N 0 0 436.440 -0.166 20 0 IBADRN COc1ccccc1CCNC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000537428983 1072986983 /nfs/dbraw/zinc/98/69/83/1072986983.db2.gz NECJORVTYPDMDK-UHFFFAOYSA-N 0 0 432.477 -0.133 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCN1S(C)(=O)=O ZINC000537430391 1072986826 /nfs/dbraw/zinc/98/68/26/1072986826.db2.gz JERHFZQOZDOESM-QWHCGFSZSA-N 0 0 444.535 -0.821 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCN1S(C)(=O)=O ZINC000537430396 1072986863 /nfs/dbraw/zinc/98/68/63/1072986863.db2.gz JERHFZQOZDOESM-STQMWFEESA-N 0 0 444.535 -0.821 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CCN1S(C)(=O)=O ZINC000537434554 1072987386 /nfs/dbraw/zinc/98/73/86/1072987386.db2.gz PMSKAYGZOREMAG-SWLSCSKDSA-N 0 0 436.490 -0.591 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CCN1S(C)(=O)=O ZINC000537434558 1072987359 /nfs/dbraw/zinc/98/73/59/1072987359.db2.gz PMSKAYGZOREMAG-WFASDCNBSA-N 0 0 436.490 -0.591 20 0 IBADRN CC1(C)CN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCO1 ZINC000537435959 1072987395 /nfs/dbraw/zinc/98/73/95/1072987395.db2.gz WSWISZKEKVCCJO-UHFFFAOYSA-N 0 0 447.535 -0.224 20 0 IBADRN CC1(C)CN(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)CCO1 ZINC000537436258 1072987365 /nfs/dbraw/zinc/98/73/65/1072987365.db2.gz ZNRKJDQAUCCQKR-UHFFFAOYSA-N 0 0 432.587 -0.108 20 0 IBADRN CC1(C)CN(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000537437633 1072986941 /nfs/dbraw/zinc/98/69/41/1072986941.db2.gz TWBXLBLUARUMLZ-INIZCTEOSA-N 0 0 439.600 -0.447 20 0 IBADRN CC1(C)CN(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000537437634 1072986821 /nfs/dbraw/zinc/98/68/21/1072986821.db2.gz TWBXLBLUARUMLZ-MRXNPFEDSA-N 0 0 439.600 -0.447 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CC1=O ZINC000537438138 1072986852 /nfs/dbraw/zinc/98/68/52/1072986852.db2.gz MGMCDNKQQQUXRG-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CCO1 ZINC000537439244 1072986719 /nfs/dbraw/zinc/98/67/19/1072986719.db2.gz HZOYXTWBQFWYAK-FQEVSTJZSA-N 0 0 445.520 -0.347 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CCO1 ZINC000537439252 1072986917 /nfs/dbraw/zinc/98/69/17/1072986917.db2.gz HZOYXTWBQFWYAK-HXUWFJFHSA-N 0 0 445.520 -0.347 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)[C@H](C)O)CC3)cc2)CC1 ZINC000537439738 1072986757 /nfs/dbraw/zinc/98/67/57/1072986757.db2.gz UPPAKHUTGFOQLW-INIZCTEOSA-N 0 0 445.520 -0.659 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)cc2)CC1 ZINC000537439739 1072986744 /nfs/dbraw/zinc/98/67/44/1072986744.db2.gz UPPAKHUTGFOQLW-MRXNPFEDSA-N 0 0 445.520 -0.659 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@H](C(N)=O)C3)cc2)CC1 ZINC000537442206 1072986774 /nfs/dbraw/zinc/98/67/74/1072986774.db2.gz YXHOSTHXTOZMGT-KDOFPFPSSA-N 0 0 431.493 -0.610 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@@H](C(N)=O)C3)cc2)CC1 ZINC000537442209 1072986956 /nfs/dbraw/zinc/98/69/56/1072986956.db2.gz YXHOSTHXTOZMGT-RDTXWAMCSA-N 0 0 431.493 -0.610 20 0 IBADRN O=C(C[C@H]1CCS(=O)(=O)C1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000537492153 1073000132 /nfs/dbraw/zinc/00/01/32/1073000132.db2.gz FJUOPJNIWHAVMV-GFCCVEGCSA-N 0 0 430.504 -0.228 20 0 IBADRN O=C(C[C@@H]1CCS(=O)(=O)C1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000537492155 1073000101 /nfs/dbraw/zinc/00/01/01/1073000101.db2.gz FJUOPJNIWHAVMV-LBPRGKRZSA-N 0 0 430.504 -0.228 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000537492265 1073000237 /nfs/dbraw/zinc/00/02/37/1073000237.db2.gz GIWHUPKMQQNTLU-UHFFFAOYSA-N 0 0 438.462 -0.723 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000537492490 1073000084 /nfs/dbraw/zinc/00/00/84/1073000084.db2.gz IWNIJEFBLCQTEC-UHFFFAOYSA-N 0 0 447.535 -0.334 20 0 IBADRN COC(=O)c1cn(CC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)nn1 ZINC000537493663 1073000316 /nfs/dbraw/zinc/00/03/16/1073000316.db2.gz VCTHCTUFWHHCQH-UHFFFAOYSA-N 0 0 437.434 -0.975 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000537499098 1073000825 /nfs/dbraw/zinc/00/08/25/1073000825.db2.gz PTNJRZDFFADKNW-CYBMUJFWSA-N 0 0 435.572 -0.347 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000537499099 1073000983 /nfs/dbraw/zinc/00/09/83/1073000983.db2.gz PTNJRZDFFADKNW-ZDUSSCGKSA-N 0 0 435.572 -0.347 20 0 IBADRN CCc1c(C(=O)Nc2cc(S(N)(=O)=O)ccc2O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000537502327 1073001004 /nfs/dbraw/zinc/00/10/04/1073001004.db2.gz YXMWXHMEILPAGY-UHFFFAOYSA-N 0 0 433.446 -0.200 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)COCC(=O)NCc3cccnc3)C2)cc1 ZINC000537502446 1073000854 /nfs/dbraw/zinc/00/08/54/1073000854.db2.gz NTTWGTBFSPBPIA-UHFFFAOYSA-N 0 0 448.501 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2)cc1 ZINC000537504894 1073001312 /nfs/dbraw/zinc/00/13/12/1073001312.db2.gz ZHWQWJGXEPUHJH-UHFFFAOYSA-N 0 0 445.457 -0.154 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCNC(=O)N(C)C)c1OC ZINC000537511144 1073001765 /nfs/dbraw/zinc/00/17/65/1073001765.db2.gz KDEWZAQYBHTJNY-UHFFFAOYSA-N 0 0 444.510 -0.274 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(CCn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC000537512671 1073001911 /nfs/dbraw/zinc/00/19/11/1073001911.db2.gz SQRMKRABBLQEMX-UHFFFAOYSA-N 0 0 432.506 -0.253 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)C2)c1=O ZINC000537512974 1073001879 /nfs/dbraw/zinc/00/18/79/1073001879.db2.gz ASGWAOWZZAYTRM-KRWDZBQOSA-N 0 0 441.540 -0.340 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)NCCN3CCN(c4ncccn4)CC3)C2)c1=O ZINC000537512975 1073001854 /nfs/dbraw/zinc/00/18/54/1073001854.db2.gz ASGWAOWZZAYTRM-QGZVFWFLSA-N 0 0 441.540 -0.340 20 0 IBADRN CCCCn1c(N)c(N(CC)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c(=O)[nH]c1=O ZINC000537518624 1073002290 /nfs/dbraw/zinc/00/22/90/1073002290.db2.gz LGEGOKCGGNLQSY-UHFFFAOYSA-N 0 0 432.485 -0.395 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000537524094 1073002833 /nfs/dbraw/zinc/00/28/33/1073002833.db2.gz DXVTZJYUSOSNPT-UHFFFAOYSA-N 0 0 441.554 -0.473 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000537525600 1073002890 /nfs/dbraw/zinc/00/28/90/1073002890.db2.gz YRIAKJHSTVYCQM-UHFFFAOYSA-N 0 0 425.555 -0.136 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)[C@H]2CC[C@H](C(=O)N=c3ccn(CC(=O)OC)[nH]3)CC2)[nH]1 ZINC000537551309 1073004374 /nfs/dbraw/zinc/00/43/74/1073004374.db2.gz UCQVVCUHRVTJRW-HDJSIYSDSA-N 0 0 446.464 -0.347 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(OCC(F)(F)F)nc2)CC1 ZINC000537557726 1073004894 /nfs/dbraw/zinc/00/48/94/1073004894.db2.gz QFJVMXZXDITKFH-UHFFFAOYSA-N 0 0 432.446 -0.383 20 0 IBADRN COC(=O)CN(CCc1ccccc1)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000537562194 1073004882 /nfs/dbraw/zinc/00/48/82/1073004882.db2.gz LJDNBWFWOCPEAC-UHFFFAOYSA-N 0 0 446.548 -0.293 20 0 IBADRN C[C@@H]1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1 ZINC000537571856 1073006089 /nfs/dbraw/zinc/00/60/89/1073006089.db2.gz GWFLRFCMDNQTMQ-CYBMUJFWSA-N 0 0 444.535 -0.090 20 0 IBADRN C[C@H]1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1 ZINC000537571862 1073006201 /nfs/dbraw/zinc/00/62/01/1073006201.db2.gz GWFLRFCMDNQTMQ-ZDUSSCGKSA-N 0 0 444.535 -0.090 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000537573569 1073006042 /nfs/dbraw/zinc/00/60/42/1073006042.db2.gz WBTGYKANGIYKRC-JTQLQIEISA-N 0 0 433.259 -0.469 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCO4)CC2)CC1 ZINC000537583992 1073007463 /nfs/dbraw/zinc/00/74/63/1073007463.db2.gz AVZKRVCSBLVWJI-UHFFFAOYSA-N 0 0 432.524 -0.786 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCO4)CC2)o1 ZINC000537584040 1073007741 /nfs/dbraw/zinc/00/77/41/1073007741.db2.gz CMFFBWNWEXGXMO-UHFFFAOYSA-N 0 0 443.459 -0.198 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)[C@H]1CC[C@H](C(=O)N2CCOC[C@@H]2C(=O)OC)CC1 ZINC000537595808 1073017330 /nfs/dbraw/zinc/01/73/30/1073017330.db2.gz KDCOATLLLDYSJG-KLHDSHLOSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)[C@H]1CC[C@H](C(=O)N2CCOC[C@H]2C(=O)OC)CC1 ZINC000537595809 1073017269 /nfs/dbraw/zinc/01/72/69/1073017269.db2.gz KDCOATLLLDYSJG-VGWMRTNUSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)[C@@H]1CC[C@@H](C(=O)N2CCOC[C@H]2C(=O)OC)CC1 ZINC000537595810 1073017250 /nfs/dbraw/zinc/01/72/50/1073017250.db2.gz KDCOATLLLDYSJG-ZNNBLSDJSA-N 0 0 426.466 -0.406 20 0 IBADRN O=C(CO)N1CCN(C(=O)c2cc(I)ccc2-n2cnnn2)CC1 ZINC000537599907 1073018162 /nfs/dbraw/zinc/01/81/62/1073018162.db2.gz BDONERAUKFWTIN-UHFFFAOYSA-N 0 0 442.217 -0.456 20 0 IBADRN Cc1cccc2nc(CNC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cn21 ZINC000537605478 1073018776 /nfs/dbraw/zinc/01/87/76/1073018776.db2.gz PACJGRYTPONIEK-UHFFFAOYSA-N 0 0 435.554 -0.038 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2N2CCOCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000537617528 1073021982 /nfs/dbraw/zinc/02/19/82/1073021982.db2.gz RXKNOTXPGSSZTR-IBGZPJMESA-N 0 0 436.578 -0.056 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2N2CCOCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000537617529 1073022247 /nfs/dbraw/zinc/02/22/47/1073022247.db2.gz RXKNOTXPGSSZTR-LJQANCHMSA-N 0 0 436.578 -0.056 20 0 IBADRN Cc1nn2c(CN3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c(C)nc2s1 ZINC000537617535 1073022164 /nfs/dbraw/zinc/02/21/64/1073022164.db2.gz SOHUQLLPFMRIQE-AWEZNQCLSA-N 0 0 426.568 -0.171 20 0 IBADRN Cc1nn2c(CN3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c(C)nc2s1 ZINC000537617536 1073022202 /nfs/dbraw/zinc/02/22/02/1073022202.db2.gz SOHUQLLPFMRIQE-CQSZACIVSA-N 0 0 426.568 -0.171 20 0 IBADRN COc1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc2c1OCO2 ZINC000537634276 1073028838 /nfs/dbraw/zinc/02/88/38/1073028838.db2.gz VTYIXDNZZQAOBO-HNNXBMFYSA-N 0 0 425.507 -0.155 20 0 IBADRN COc1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc2c1OCO2 ZINC000537634277 1073029318 /nfs/dbraw/zinc/02/93/18/1073029318.db2.gz VTYIXDNZZQAOBO-OAHLLOKOSA-N 0 0 425.507 -0.155 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cnn3cc(Br)cnc23)CC1 ZINC000537639666 1073035449 /nfs/dbraw/zinc/03/54/49/1073035449.db2.gz DRMAMCSUVWQDKI-UHFFFAOYSA-N 0 0 449.265 -0.075 20 0 IBADRN CC(C)CC(=O)NCCc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)s1 ZINC000537645513 1073036085 /nfs/dbraw/zinc/03/60/85/1073036085.db2.gz BMOZBEDHDFEVTM-CYBMUJFWSA-N 0 0 442.563 -0.216 20 0 IBADRN CC(C)CC(=O)NCCc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)s1 ZINC000537645514 1073036308 /nfs/dbraw/zinc/03/63/08/1073036308.db2.gz BMOZBEDHDFEVTM-ZDUSSCGKSA-N 0 0 442.563 -0.216 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cn1 ZINC000537645542 1073036185 /nfs/dbraw/zinc/03/61/85/1073036185.db2.gz DNZVKCMJJJSJGC-UHFFFAOYSA-N 0 0 443.507 -0.267 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1F ZINC000537651538 1073044435 /nfs/dbraw/zinc/04/44/35/1073044435.db2.gz IZTALXLXSZRLTM-UHFFFAOYSA-N 0 0 444.532 -0.513 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccc3nccn32)c(=O)n1CC(=O)N1CCOCC1 ZINC000537652716 1073048245 /nfs/dbraw/zinc/04/82/45/1073048245.db2.gz TWEXQSVZJUSQAQ-UHFFFAOYSA-N 0 0 442.497 -0.411 20 0 IBADRN CCOC(=O)C1CCN(C(=O)Cn2c(=O)c(I)cn(C)c2=O)CC1 ZINC000537652944 1073049274 /nfs/dbraw/zinc/04/92/74/1073049274.db2.gz ZRPGYYAZDYSDDM-UHFFFAOYSA-N 0 0 449.245 -0.047 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)Cn2c(=O)c(I)cn(C)c2=O)C1 ZINC000537653781 1073050813 /nfs/dbraw/zinc/05/08/13/1073050813.db2.gz ZOUYDAZDHVQUKO-JTQLQIEISA-N 0 0 449.245 -0.047 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)Cn2c(=O)c(I)cn(C)c2=O)C1 ZINC000537653782 1073050778 /nfs/dbraw/zinc/05/07/78/1073050778.db2.gz ZOUYDAZDHVQUKO-SNVBAGLBSA-N 0 0 449.245 -0.047 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000537654735 1073051011 /nfs/dbraw/zinc/05/10/11/1073051011.db2.gz GCACVBOFYIPTPL-AWEZNQCLSA-N 0 0 449.551 -0.005 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000537654736 1073051689 /nfs/dbraw/zinc/05/16/89/1073051689.db2.gz GCACVBOFYIPTPL-CQSZACIVSA-N 0 0 449.551 -0.005 20 0 IBADRN COC(=O)C1(O)CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000537656179 1073051626 /nfs/dbraw/zinc/05/16/26/1073051626.db2.gz BSAZILHJIATSRS-KRWDZBQOSA-N 0 0 441.462 -0.562 20 0 IBADRN COC(=O)C1(O)CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000537656186 1073051811 /nfs/dbraw/zinc/05/18/11/1073051811.db2.gz BSAZILHJIATSRS-QGZVFWFLSA-N 0 0 441.462 -0.562 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)cn1C ZINC000537658056 1073051308 /nfs/dbraw/zinc/05/13/08/1073051308.db2.gz WVMBQWNKUOEMPM-UHFFFAOYSA-N 0 0 431.492 -0.011 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(Cc3cnc(N4CCN(C)CC4)nc3)CC2)cn1C ZINC000537661090 1073052632 /nfs/dbraw/zinc/05/26/32/1073052632.db2.gz NEZFQHRQMMBTFF-UHFFFAOYSA-N 0 0 434.570 -0.223 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000537663888 1073052112 /nfs/dbraw/zinc/05/21/12/1073052112.db2.gz AYUGEWVQBSUYPT-UHFFFAOYSA-N 0 0 439.490 -0.064 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000537663907 1073052034 /nfs/dbraw/zinc/05/20/34/1073052034.db2.gz BDROIQYUNKTQFY-UHFFFAOYSA-N 0 0 425.463 -0.309 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000537664531 1073052158 /nfs/dbraw/zinc/05/21/58/1073052158.db2.gz NVLPBMUQZXNZMG-UHFFFAOYSA-N 0 0 443.453 -0.269 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(O)(C(=O)OC)CC2)c2ccccc2O1 ZINC000537664739 1073052169 /nfs/dbraw/zinc/05/21/69/1073052169.db2.gz HCAOPKGJLLFVCD-INIZCTEOSA-N 0 0 433.461 -0.167 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(O)(C(=O)OC)CC2)c2ccccc2O1 ZINC000537664748 1073052210 /nfs/dbraw/zinc/05/22/10/1073052210.db2.gz HCAOPKGJLLFVCD-MRXNPFEDSA-N 0 0 433.461 -0.167 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000537665374 1073052067 /nfs/dbraw/zinc/05/20/67/1073052067.db2.gz MSCJTLVFSLZJSZ-UHFFFAOYSA-N 0 0 439.490 -0.099 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000537665954 1073052121 /nfs/dbraw/zinc/05/21/21/1073052121.db2.gz QUJVOBFIVUHFQT-UHFFFAOYSA-N 0 0 448.476 -0.578 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000537665976 1073052093 /nfs/dbraw/zinc/05/20/93/1073052093.db2.gz JWVULTJERSHWKQ-UHFFFAOYSA-N 0 0 446.504 -0.532 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)CC1 ZINC000537666066 1073052137 /nfs/dbraw/zinc/05/21/37/1073052137.db2.gz KPTBXYUMBSIGDY-UHFFFAOYSA-N 0 0 449.460 -0.182 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC(O)(C(=O)OC)CC2)cc1OC ZINC000537666416 1073052146 /nfs/dbraw/zinc/05/21/46/1073052146.db2.gz MPLIPOXJCQEEDZ-UHFFFAOYSA-N 0 0 443.478 -0.078 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000537666743 1073052079 /nfs/dbraw/zinc/05/20/79/1073052079.db2.gz XSTXDIBTAXRRAA-UHFFFAOYSA-N 0 0 439.490 -0.064 20 0 IBADRN COC[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000537668401 1073053521 /nfs/dbraw/zinc/05/35/21/1073053521.db2.gz IPCWSXCGVXYCOF-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN O=C(CC(O)CC(=O)N1CCN(c2ncccn2)CC1)N1CCN(c2ncccn2)CC1 ZINC000537671753 1073053457 /nfs/dbraw/zinc/05/34/57/1073053457.db2.gz DZALCCDYRNIBQB-UHFFFAOYSA-N 0 0 440.508 -0.595 20 0 IBADRN CCCCNC(=O)NC(=O)CN1CCN(CC(=O)NC(=O)NCCCC)CC(O)C1 ZINC000537678732 1073054432 /nfs/dbraw/zinc/05/44/32/1073054432.db2.gz UJDWKVVNFZROBU-UHFFFAOYSA-N 0 0 428.534 -0.783 20 0 IBADRN Cn1cc(N2CC[C@@H](N3CCN([C@H]4CCN(c5cnn(C)c5)C4=O)C[C@H](O)C3)C2=O)cn1 ZINC000537681623 1073054497 /nfs/dbraw/zinc/05/44/97/1073054497.db2.gz PMXCXXSWQMLVPT-LDLYASANSA-N 0 0 442.524 -0.957 20 0 IBADRN Cn1cc(N2CC[C@H](N3CCN([C@H]4CCN(c5cnn(C)c5)C4=O)CC(O)C3)C2=O)cn1 ZINC000537681624 1073054600 /nfs/dbraw/zinc/05/46/00/1073054600.db2.gz PMXCXXSWQMLVPT-OALUTQOASA-N 0 0 442.524 -0.957 20 0 IBADRN Cn1cc(N2CC[C@@H](N3CCN([C@@H]4CCN(c5cnn(C)c5)C4=O)CC(O)C3)C2=O)cn1 ZINC000537681625 1073054534 /nfs/dbraw/zinc/05/45/34/1073054534.db2.gz PMXCXXSWQMLVPT-RTBURBONSA-N 0 0 442.524 -0.957 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC(O)(C(=O)OC)CC2)c(=O)[nH]c1=O ZINC000537683199 1073054858 /nfs/dbraw/zinc/05/48/58/1073054858.db2.gz GNCURYNQTHMQFX-UHFFFAOYSA-N 0 0 425.486 -0.316 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000537683302 1073054402 /nfs/dbraw/zinc/05/44/02/1073054402.db2.gz JPQXQHVCALXVQK-UHFFFAOYSA-N 0 0 439.534 -0.340 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000537683451 1073054509 /nfs/dbraw/zinc/05/45/09/1073054509.db2.gz IIXLYXQLEPDODF-UHFFFAOYSA-N 0 0 444.510 -0.876 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000537685210 1073055021 /nfs/dbraw/zinc/05/50/21/1073055021.db2.gz XSMAFKNCMZDPHH-UHFFFAOYSA-N 0 0 443.497 -0.342 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000537685509 1073054981 /nfs/dbraw/zinc/05/49/81/1073054981.db2.gz MGBIKUOUEVBCIP-UHFFFAOYSA-N 0 0 443.497 -0.342 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCO1 ZINC000537692119 1073054875 /nfs/dbraw/zinc/05/48/75/1073054875.db2.gz WLVWJKLQOSWUJU-KBPBESRZSA-N 0 0 446.551 -0.083 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCO1 ZINC000537692126 1073055483 /nfs/dbraw/zinc/05/54/83/1073055483.db2.gz WLVWJKLQOSWUJU-KGLIPLIRSA-N 0 0 446.551 -0.083 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCO1 ZINC000537692127 1073055371 /nfs/dbraw/zinc/05/53/71/1073055371.db2.gz WLVWJKLQOSWUJU-UONOGXRCSA-N 0 0 446.551 -0.083 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCO1 ZINC000537692128 1073055359 /nfs/dbraw/zinc/05/53/59/1073055359.db2.gz WLVWJKLQOSWUJU-ZIAGYGMSSA-N 0 0 446.551 -0.083 20 0 IBADRN COC(=O)C1CCC(NC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)(C(=O)OC)CC1 ZINC000537696188 1073068495 /nfs/dbraw/zinc/06/84/95/1073068495.db2.gz CWBKKPVFQPFPGR-UHFFFAOYSA-N 0 0 446.460 -0.055 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000537700216 1073081595 /nfs/dbraw/zinc/08/15/95/1073081595.db2.gz ABSMOXKHAQYXIJ-INIZCTEOSA-N 0 0 442.476 -0.264 20 0 IBADRN O=C(Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000537700222 1073081588 /nfs/dbraw/zinc/08/15/88/1073081588.db2.gz ABSMOXKHAQYXIJ-MRXNPFEDSA-N 0 0 442.476 -0.264 20 0 IBADRN COC(=O)C1CCC(NC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)(C(=O)OC)CC1 ZINC000537702210 1073087550 /nfs/dbraw/zinc/08/75/50/1073087550.db2.gz RZDKQCILWFUQBT-UHFFFAOYSA-N 0 0 446.460 -0.055 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC000537705291 1073104844 /nfs/dbraw/zinc/10/48/44/1073104844.db2.gz HNAWPZIFWQTTBR-UHFFFAOYSA-N 0 0 442.490 -0.144 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000537708075 1073118026 /nfs/dbraw/zinc/11/80/26/1073118026.db2.gz RJCWSROJHBGCIU-UHFFFAOYSA-N 0 0 427.527 -0.151 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(C[C@H]4NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000537709388 1073118080 /nfs/dbraw/zinc/11/80/80/1073118080.db2.gz BUMDEAUDFBPCMQ-CYBMUJFWSA-N 0 0 439.432 -0.787 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(C[C@@H]4NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000537709396 1073117988 /nfs/dbraw/zinc/11/79/88/1073117988.db2.gz BUMDEAUDFBPCMQ-ZDUSSCGKSA-N 0 0 439.432 -0.787 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000537716287 1073118578 /nfs/dbraw/zinc/11/85/78/1073118578.db2.gz PUKLFGVZSHTXCS-UHFFFAOYSA-N 0 0 447.535 -0.334 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000537716348 1073118604 /nfs/dbraw/zinc/11/86/04/1073118604.db2.gz RAYBDTLTNGEBDF-AWEZNQCLSA-N 0 0 428.511 -0.046 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000537716352 1073118663 /nfs/dbraw/zinc/11/86/63/1073118663.db2.gz RAYBDTLTNGEBDF-CQSZACIVSA-N 0 0 428.511 -0.046 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cc(Cl)c(OCC(N)=O)c(OC)c2)CC1 ZINC000537718072 1073118614 /nfs/dbraw/zinc/11/86/14/1073118614.db2.gz HDXPXIGLYKBWCV-UHFFFAOYSA-N 0 0 434.902 -0.129 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](Cc2cccc(F)c2)n2nnnc2C)CC1 ZINC000537720731 1073118624 /nfs/dbraw/zinc/11/86/24/1073118624.db2.gz PYZBMNQEGUDKKC-INIZCTEOSA-N 0 0 425.490 -0.051 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H](Cc2cccc(F)c2)n2nnnc2C)CC1 ZINC000537720734 1073119176 /nfs/dbraw/zinc/11/91/76/1073119176.db2.gz PYZBMNQEGUDKKC-MRXNPFEDSA-N 0 0 425.490 -0.051 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CCn1cnc2ccc(Br)cc2c1=O ZINC000537721773 1073119209 /nfs/dbraw/zinc/11/92/09/1073119209.db2.gz OFOCQZSNQWUCNL-UHFFFAOYSA-N 0 0 425.239 -0.046 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](Cc2ccc(F)cc2)n2nnnc2C)CC1 ZINC000537723970 1073118120 /nfs/dbraw/zinc/11/81/20/1073118120.db2.gz SMRYFBVPLHEIOH-INIZCTEOSA-N 0 0 425.490 -0.051 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H](Cc2ccc(F)cc2)n2nnnc2C)CC1 ZINC000537723971 1073118052 /nfs/dbraw/zinc/11/80/52/1073118052.db2.gz SMRYFBVPLHEIOH-MRXNPFEDSA-N 0 0 425.490 -0.051 20 0 IBADRN Cn1cnn(CC(=O)Nc2ccc(CC(=O)N3CCN(c4ncccn4)CC3)cc2)c1=O ZINC000537726874 1073118652 /nfs/dbraw/zinc/11/86/52/1073118652.db2.gz MHVLMSRWIUVUFQ-UHFFFAOYSA-N 0 0 436.476 -0.098 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2nccc3c2cccc3S(N)(=O)=O)CC1 ZINC000537726932 1073118527 /nfs/dbraw/zinc/11/85/27/1073118527.db2.gz KRLKCGHSXPCJES-UHFFFAOYSA-N 0 0 433.490 -0.309 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)nc1 ZINC000537727352 1073118505 /nfs/dbraw/zinc/11/85/05/1073118505.db2.gz VLVJNIOUJDCYNN-UHFFFAOYSA-N 0 0 440.503 -0.320 20 0 IBADRN Cn1cnn(CC(=O)N2CCN(S(=O)(=O)c3ccc(Br)cc3)CC2)c1=O ZINC000537727608 1073118094 /nfs/dbraw/zinc/11/80/94/1073118094.db2.gz AOIYIIDOEDCWCU-UHFFFAOYSA-N 0 0 444.311 -0.123 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c(F)c1 ZINC000537733634 1073119040 /nfs/dbraw/zinc/11/90/40/1073119040.db2.gz NQCUBNKDNQEPFP-UHFFFAOYSA-N 0 0 436.469 -0.268 20 0 IBADRN CN(C(=O)c1cnc2c(c1)NC(=O)CO2)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000537737295 1073119268 /nfs/dbraw/zinc/11/92/68/1073119268.db2.gz NKZBVVIKIKXZBO-UHFFFAOYSA-N 0 0 430.509 -0.277 20 0 IBADRN O=C(c1cnc2c(c1)NC(=O)CO2)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000537740661 1073119846 /nfs/dbraw/zinc/11/98/46/1073119846.db2.gz WWLQDKJAEKSZRQ-HNNXBMFYSA-N 0 0 438.506 -0.236 20 0 IBADRN O=C(c1cnc2c(c1)NC(=O)CO2)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000537740666 1073119803 /nfs/dbraw/zinc/11/98/03/1073119803.db2.gz WWLQDKJAEKSZRQ-OAHLLOKOSA-N 0 0 438.506 -0.236 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000537746598 1073119086 /nfs/dbraw/zinc/11/90/86/1073119086.db2.gz ILNGIQDYSWKEFW-LLVKDONJSA-N 0 0 425.916 -0.094 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000537746607 1073119145 /nfs/dbraw/zinc/11/91/45/1073119145.db2.gz ILNGIQDYSWKEFW-NSHDSACASA-N 0 0 425.916 -0.094 20 0 IBADRN Cc1nc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1-c1nc[nH]n1 ZINC000537747182 1073119029 /nfs/dbraw/zinc/11/90/29/1073119029.db2.gz OWSULVVZCABOFN-UHFFFAOYSA-N 0 0 432.466 -0.355 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000537748083 1073119076 /nfs/dbraw/zinc/11/90/76/1073119076.db2.gz IJQXHOCWCVTRGV-HNNXBMFYSA-N 0 0 437.565 -0.170 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000537748085 1073119054 /nfs/dbraw/zinc/11/90/54/1073119054.db2.gz IJQXHOCWCVTRGV-OAHLLOKOSA-N 0 0 437.565 -0.170 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1 ZINC000537749210 1073119130 /nfs/dbraw/zinc/11/91/30/1073119130.db2.gz YMTDSWICLLHBQS-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1 ZINC000537749219 1073120098 /nfs/dbraw/zinc/12/00/98/1073120098.db2.gz YMTDSWICLLHBQS-NSHDSACASA-N 0 0 429.517 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2coc(S(N)(=O)=O)c2)CC1 ZINC000537749723 1073120285 /nfs/dbraw/zinc/12/02/85/1073120285.db2.gz ZNQKMJNLMNJYOX-UHFFFAOYSA-N 0 0 428.492 -0.203 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1ncn(C)c1=O ZINC000537753362 1073119666 /nfs/dbraw/zinc/11/96/66/1073119666.db2.gz ZAVZXYMZHAIZAN-UHFFFAOYSA-N 0 0 425.467 -0.360 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(Cl)c1 ZINC000537760974 1073120232 /nfs/dbraw/zinc/12/02/32/1073120232.db2.gz ZAQJDZZXSFIJNE-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2O)CC1 ZINC000537767321 1073120081 /nfs/dbraw/zinc/12/00/81/1073120081.db2.gz HXYKGFZKUPLILY-UHFFFAOYSA-N 0 0 432.524 -0.651 20 0 IBADRN COC(=O)c1cn(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)nn1 ZINC000537769610 1073120159 /nfs/dbraw/zinc/12/01/59/1073120159.db2.gz KEEYHWBDERUGMH-UHFFFAOYSA-N 0 0 425.423 -0.570 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)CC1 ZINC000537770288 1073120297 /nfs/dbraw/zinc/12/02/97/1073120297.db2.gz NZZXJAADWICOGD-UHFFFAOYSA-N 0 0 448.523 -0.733 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccc2O)CC1 ZINC000537772682 1073120212 /nfs/dbraw/zinc/12/02/12/1073120212.db2.gz VCIGBJSMCJZJCA-UHFFFAOYSA-N 0 0 446.551 -0.308 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CNc2ccc(-n3ncn(C)c3=O)cc2)c(=O)n(C)c1=O ZINC000537774777 1073120781 /nfs/dbraw/zinc/12/07/81/1073120781.db2.gz RLVWNDOYQDRYAK-UHFFFAOYSA-N 0 0 427.465 -0.036 20 0 IBADRN Cn1c(C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2O)cc2c1n(C)c(=O)n(C)c2=O ZINC000537788681 1073121007 /nfs/dbraw/zinc/12/10/07/1073121007.db2.gz VSOBRDQFPQFHHH-UHFFFAOYSA-N 0 0 447.473 -0.026 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCC3CC3)CC2)cc1 ZINC000537798257 1073121047 /nfs/dbraw/zinc/12/10/47/1073121047.db2.gz SNIFAUYGDWDTIQ-UHFFFAOYSA-N 0 0 437.565 -0.230 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1 ZINC000537810030 1073120848 /nfs/dbraw/zinc/12/08/48/1073120848.db2.gz IHXIXAREUMJFLF-HNNXBMFYSA-N 0 0 431.536 -0.298 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1 ZINC000537810033 1073121040 /nfs/dbraw/zinc/12/10/40/1073121040.db2.gz IHXIXAREUMJFLF-OAHLLOKOSA-N 0 0 431.536 -0.298 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000537810650 1073120922 /nfs/dbraw/zinc/12/09/22/1073120922.db2.gz TVJQVEQXCBYTNN-KBPBESRZSA-N 0 0 426.561 -0.802 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000537810658 1073120759 /nfs/dbraw/zinc/12/07/59/1073120759.db2.gz TVJQVEQXCBYTNN-KGLIPLIRSA-N 0 0 426.561 -0.802 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000537810662 1073121079 /nfs/dbraw/zinc/12/10/79/1073121079.db2.gz TVJQVEQXCBYTNN-UONOGXRCSA-N 0 0 426.561 -0.802 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000537810666 1073121029 /nfs/dbraw/zinc/12/10/29/1073121029.db2.gz TVJQVEQXCBYTNN-ZIAGYGMSSA-N 0 0 426.561 -0.802 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CC)c(S(N)(=O)=O)c2)C1 ZINC000537814278 1073121377 /nfs/dbraw/zinc/12/13/77/1073121377.db2.gz BCJHISWQCJRTMC-CYBMUJFWSA-N 0 0 432.524 -0.625 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CC)c(S(N)(=O)=O)c2)C1 ZINC000537814281 1073121467 /nfs/dbraw/zinc/12/14/67/1073121467.db2.gz BCJHISWQCJRTMC-ZDUSSCGKSA-N 0 0 432.524 -0.625 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000537815038 1073121485 /nfs/dbraw/zinc/12/14/85/1073121485.db2.gz GJIVWGYGMYMFSI-HNNXBMFYSA-N 0 0 425.511 -0.335 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)C1 ZINC000537815039 1073121407 /nfs/dbraw/zinc/12/14/07/1073121407.db2.gz GJIVWGYGMYMFSI-OAHLLOKOSA-N 0 0 425.511 -0.335 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1 ZINC000537815259 1073121399 /nfs/dbraw/zinc/12/13/99/1073121399.db2.gz HSSMUVQXAFULJF-AWEZNQCLSA-N 0 0 440.522 -0.059 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1 ZINC000537815263 1073121330 /nfs/dbraw/zinc/12/13/30/1073121330.db2.gz HSSMUVQXAFULJF-CQSZACIVSA-N 0 0 440.522 -0.059 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000537816339 1073121292 /nfs/dbraw/zinc/12/12/92/1073121292.db2.gz LQGYONPORZPFAB-HNNXBMFYSA-N 0 0 444.535 -0.295 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000537816340 1073121370 /nfs/dbraw/zinc/12/13/70/1073121370.db2.gz LQGYONPORZPFAB-OAHLLOKOSA-N 0 0 444.535 -0.295 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)C1 ZINC000537817235 1073121457 /nfs/dbraw/zinc/12/14/57/1073121457.db2.gz DLRDGHILSQLKQI-HNNXBMFYSA-N 0 0 447.583 -0.033 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)C1 ZINC000537817237 1073121442 /nfs/dbraw/zinc/12/14/42/1073121442.db2.gz DLRDGHILSQLKQI-OAHLLOKOSA-N 0 0 447.583 -0.033 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000537818744 1073121389 /nfs/dbraw/zinc/12/13/89/1073121389.db2.gz UDXLPKBDAWOLGH-GFCCVEGCSA-N 0 0 436.581 -0.094 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000537818751 1073121306 /nfs/dbraw/zinc/12/13/06/1073121306.db2.gz UDXLPKBDAWOLGH-LBPRGKRZSA-N 0 0 436.581 -0.094 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000537818773 1073121360 /nfs/dbraw/zinc/12/13/60/1073121360.db2.gz HMKPBYNEWZGNEI-GFCCVEGCSA-N 0 0 437.565 -0.077 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000537818776 1073121337 /nfs/dbraw/zinc/12/13/37/1073121337.db2.gz HMKPBYNEWZGNEI-LBPRGKRZSA-N 0 0 437.565 -0.077 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000537819632 1073121318 /nfs/dbraw/zinc/12/13/18/1073121318.db2.gz WGTFECPPDRKBRC-JTQLQIEISA-N 0 0 439.462 -0.153 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000537819634 1073121433 /nfs/dbraw/zinc/12/14/33/1073121433.db2.gz WGTFECPPDRKBRC-SNVBAGLBSA-N 0 0 439.462 -0.153 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000537820678 1073121473 /nfs/dbraw/zinc/12/14/73/1073121473.db2.gz SOUJZAKCEBTIAN-HNNXBMFYSA-N 0 0 426.495 -0.368 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000537820679 1073121424 /nfs/dbraw/zinc/12/14/24/1073121424.db2.gz SOUJZAKCEBTIAN-OAHLLOKOSA-N 0 0 426.495 -0.368 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1 ZINC000537820691 1073121480 /nfs/dbraw/zinc/12/14/80/1073121480.db2.gz SUVJYZIXCCBXDX-CYBMUJFWSA-N 0 0 439.523 -0.137 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1 ZINC000537820692 1073121347 /nfs/dbraw/zinc/12/13/47/1073121347.db2.gz SUVJYZIXCCBXDX-ZDUSSCGKSA-N 0 0 439.523 -0.137 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NCC)C2)c1 ZINC000537821530 1073122006 /nfs/dbraw/zinc/12/20/06/1073122006.db2.gz NQMHAYBFXWKHJB-HNNXBMFYSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NCC)C2)c1 ZINC000537821531 1073122082 /nfs/dbraw/zinc/12/20/82/1073122082.db2.gz NQMHAYBFXWKHJB-OAHLLOKOSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1 ZINC000537822295 1073122110 /nfs/dbraw/zinc/12/21/10/1073122110.db2.gz FHWGOJVATQVIIQ-AWEZNQCLSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1 ZINC000537822302 1073122126 /nfs/dbraw/zinc/12/21/26/1073122126.db2.gz FHWGOJVATQVIIQ-CQSZACIVSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC000537823066 1073122120 /nfs/dbraw/zinc/12/21/20/1073122120.db2.gz IJLLPWUCECBIBE-GFCCVEGCSA-N 0 0 441.462 -0.262 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC000537823072 1073122046 /nfs/dbraw/zinc/12/20/46/1073122046.db2.gz IJLLPWUCECBIBE-LBPRGKRZSA-N 0 0 441.462 -0.262 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(C(C)(C)C(=O)OCC)c2)C1 ZINC000537824019 1073122074 /nfs/dbraw/zinc/12/20/74/1073122074.db2.gz OWTSXPMIZYPMQQ-CYBMUJFWSA-N 0 0 429.499 -0.340 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cnn(C(C)(C)C(=O)OCC)c2)C1 ZINC000537824031 1073122067 /nfs/dbraw/zinc/12/20/67/1073122067.db2.gz OWTSXPMIZYPMQQ-ZDUSSCGKSA-N 0 0 429.499 -0.340 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000537828853 1073123832 /nfs/dbraw/zinc/12/38/32/1073123832.db2.gz CDBHRQKVMOBIBY-UHFFFAOYSA-N 0 0 443.508 -0.384 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1)C1CCOCC1 ZINC000537830211 1073123792 /nfs/dbraw/zinc/12/37/92/1073123792.db2.gz PFJDUNLCGKEEDD-INIZCTEOSA-N 0 0 431.449 -0.159 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1)C1CCOCC1 ZINC000537830212 1073123730 /nfs/dbraw/zinc/12/37/30/1073123730.db2.gz PFJDUNLCGKEEDD-MRXNPFEDSA-N 0 0 431.449 -0.159 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@H](C(=O)N4CCOCC4)C3)cc2)c1=O ZINC000537831126 1073123876 /nfs/dbraw/zinc/12/38/76/1073123876.db2.gz NFYIBCJPAUWNIE-HNNXBMFYSA-N 0 0 442.476 -0.393 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@@H](C(=O)N4CCOCC4)C3)cc2)c1=O ZINC000537831134 1073123812 /nfs/dbraw/zinc/12/38/12/1073123812.db2.gz NFYIBCJPAUWNIE-OAHLLOKOSA-N 0 0 442.476 -0.393 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)cc2)c1=O ZINC000537831884 1073122054 /nfs/dbraw/zinc/12/20/54/1073122054.db2.gz SZCYTCVLURRMSW-UHFFFAOYSA-N 0 0 440.464 -0.590 20 0 IBADRN COCCn1ccc2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc21 ZINC000537833339 1073122099 /nfs/dbraw/zinc/12/20/99/1073122099.db2.gz BRVVQTMCDQIUSR-UHFFFAOYSA-N 0 0 437.522 -0.341 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)cc2)c1=O ZINC000537833357 1073122132 /nfs/dbraw/zinc/12/21/32/1073122132.db2.gz BXCZFWWCKWSVRK-UHFFFAOYSA-N 0 0 441.492 -0.724 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)cc1 ZINC000537833802 1073122427 /nfs/dbraw/zinc/12/24/27/1073122427.db2.gz RUPFLIWKIFBEMG-UHFFFAOYSA-N 0 0 438.444 -0.049 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@@]3(N4CCOCC4)CCSC3)cc2)c1=O ZINC000537833865 1073122481 /nfs/dbraw/zinc/12/24/81/1073122481.db2.gz HTENGEHBHJQTQU-FQEVSTJZSA-N 0 0 446.533 -0.166 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NC[C@]3(N4CCOCC4)CCSC3)cc2)c1=O ZINC000537833876 1073122676 /nfs/dbraw/zinc/12/26/76/1073122676.db2.gz HTENGEHBHJQTQU-HXUWFJFHSA-N 0 0 446.533 -0.166 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC(C(=O)N4CCOCC4)CC3)cc2)c1=O ZINC000537834171 1073122598 /nfs/dbraw/zinc/12/25/98/1073122598.db2.gz LXYJCUVOPFNIOV-UHFFFAOYSA-N 0 0 442.476 -0.393 20 0 IBADRN COc1ccc(CC(=O)NCCNC(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000537834640 1073122405 /nfs/dbraw/zinc/12/24/05/1073122405.db2.gz LPZDCJWKNJYWNL-UHFFFAOYSA-N 0 0 428.511 -0.354 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)c1 ZINC000537834682 1073122462 /nfs/dbraw/zinc/12/24/62/1073122462.db2.gz QCNXFCNSSXPQER-UHFFFAOYSA-N 0 0 444.473 -0.266 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000537834697 1073122416 /nfs/dbraw/zinc/12/24/16/1073122416.db2.gz QQEXXNWPIVGGLS-UHFFFAOYSA-N 0 0 436.494 -0.560 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C2=O)n(C)n1 ZINC000537834838 1073122491 /nfs/dbraw/zinc/12/24/91/1073122491.db2.gz URTJVHCSCCVQQJ-HNNXBMFYSA-N 0 0 438.448 -0.527 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C2=O)n(C)n1 ZINC000537834843 1073122470 /nfs/dbraw/zinc/12/24/70/1073122470.db2.gz URTJVHCSCCVQQJ-OAHLLOKOSA-N 0 0 438.448 -0.527 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)c1OC ZINC000537836131 1073122440 /nfs/dbraw/zinc/12/24/40/1073122440.db2.gz FOQKBMKUSPZZRP-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)CC1 ZINC000537838799 1073122374 /nfs/dbraw/zinc/12/23/74/1073122374.db2.gz WMFYMHLFKQZUNY-UHFFFAOYSA-N 0 0 429.495 -0.021 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)CC1 ZINC000537839908 1073122351 /nfs/dbraw/zinc/12/23/51/1073122351.db2.gz VGBLIGMJLRRHPS-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN O=S(=O)(N1CCN(Cc2cnn(-c3ccccc3)c2)CC1)N1CCS(=O)(=O)CC1 ZINC000537840425 1073122393 /nfs/dbraw/zinc/12/23/93/1073122393.db2.gz CGYUENOMMAGCRM-UHFFFAOYSA-N 0 0 439.563 -0.035 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N(C)C[C@@H](O)CN2CCOCC2)c1OC ZINC000537841427 1073123160 /nfs/dbraw/zinc/12/31/60/1073123160.db2.gz OTOWJWDULYEURX-CYBMUJFWSA-N 0 0 432.495 -0.196 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N(C)C[C@H](O)CN2CCOCC2)c1OC ZINC000537841443 1073123277 /nfs/dbraw/zinc/12/32/77/1073123277.db2.gz OTOWJWDULYEURX-ZDUSSCGKSA-N 0 0 432.495 -0.196 20 0 IBADRN COC(=O)c1ccc2c(c1)CN(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CC2 ZINC000537844696 1073123311 /nfs/dbraw/zinc/12/33/11/1073123311.db2.gz DAWZHGVDWJCDGJ-UHFFFAOYSA-N 0 0 444.532 -0.166 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(C(=O)Cn3cc(C(=O)OC)nc3C)CC2)c(C)n1 ZINC000537845886 1073123216 /nfs/dbraw/zinc/12/32/16/1073123216.db2.gz HKWCCBAPPPPMGK-UHFFFAOYSA-N 0 0 446.464 -0.359 20 0 IBADRN Cn1c(SCC(=O)N2CCN(C)CC2)nnc1SCC(=O)N1CCN(C)CC1 ZINC000537849360 1073123227 /nfs/dbraw/zinc/12/32/27/1073123227.db2.gz YMZNLSTXXUSCNO-UHFFFAOYSA-N 0 0 427.600 -0.453 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2CC[C@H](S(N)(=O)=O)C2)CC1 ZINC000537860088 1073124341 /nfs/dbraw/zinc/12/43/41/1073124341.db2.gz ZJROBQOHEBQNOD-KRWDZBQOSA-N 0 0 437.566 -0.251 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN2CC[C@@H](S(N)(=O)=O)C2)CC1 ZINC000537860089 1073124278 /nfs/dbraw/zinc/12/42/78/1073124278.db2.gz ZJROBQOHEBQNOD-QGZVFWFLSA-N 0 0 437.566 -0.251 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)cc1 ZINC000537861267 1073124402 /nfs/dbraw/zinc/12/44/02/1073124402.db2.gz GSSWLXZTVDJJSW-UHFFFAOYSA-N 0 0 426.477 -0.008 20 0 IBADRN COCCN(Cc1nc2c([nH]1)n(C)c(=O)n(C)c2=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000537861820 1073124371 /nfs/dbraw/zinc/12/43/71/1073124371.db2.gz QCGTXDZMJZAFFU-UHFFFAOYSA-N 0 0 448.484 -0.886 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000537862369 1073124426 /nfs/dbraw/zinc/12/44/26/1073124426.db2.gz BTQBEZRAAMJIMK-GFCCVEGCSA-N 0 0 432.485 -0.241 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000537862370 1073124362 /nfs/dbraw/zinc/12/43/62/1073124362.db2.gz BTQBEZRAAMJIMK-LBPRGKRZSA-N 0 0 432.485 -0.241 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CC[C@H](S(N)(=O)=O)C1 ZINC000537862706 1073124409 /nfs/dbraw/zinc/12/44/09/1073124409.db2.gz QQTCHLKDWITSSR-AAEUAGOBSA-N 0 0 434.540 -0.365 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000537862708 1073124329 /nfs/dbraw/zinc/12/43/29/1073124329.db2.gz QQTCHLKDWITSSR-DGCLKSJQSA-N 0 0 434.540 -0.365 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000537862710 1073124430 /nfs/dbraw/zinc/12/44/30/1073124430.db2.gz QQTCHLKDWITSSR-WCQYABFASA-N 0 0 434.540 -0.365 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CC[C@H](S(N)(=O)=O)C1 ZINC000537862711 1073124369 /nfs/dbraw/zinc/12/43/69/1073124369.db2.gz QQTCHLKDWITSSR-YPMHNXCESA-N 0 0 434.540 -0.365 20 0 IBADRN CN1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1 ZINC000537863080 1073124309 /nfs/dbraw/zinc/12/43/09/1073124309.db2.gz NPWNKSIRQVUKQJ-UHFFFAOYSA-N 0 0 437.522 -0.902 20 0 IBADRN CCCCn1c(N)c(N(CCOC)Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c(=O)[nH]c1=O ZINC000537863904 1073124415 /nfs/dbraw/zinc/12/44/15/1073124415.db2.gz LVYSHOWBNAUTNU-UHFFFAOYSA-N 0 0 448.484 -0.742 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CC[C@@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000537863968 1073124387 /nfs/dbraw/zinc/12/43/87/1073124387.db2.gz XMKZSVNBXBJTOQ-DOTOQJQBSA-N 0 0 444.579 -0.421 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CC[C@@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000537863972 1073124419 /nfs/dbraw/zinc/12/44/19/1073124419.db2.gz XMKZSVNBXBJTOQ-NVXWUHKLSA-N 0 0 444.579 -0.421 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CC[C@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000537863975 1073125000 /nfs/dbraw/zinc/12/50/00/1073125000.db2.gz XMKZSVNBXBJTOQ-RDJZCZTQSA-N 0 0 444.579 -0.421 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CC[C@H](S(N)(=O)=O)C3)CC2)cc1 ZINC000537863977 1073125018 /nfs/dbraw/zinc/12/50/18/1073125018.db2.gz XMKZSVNBXBJTOQ-WBVHZDCISA-N 0 0 444.579 -0.421 20 0 IBADRN COCCN(C(=O)CN(C)C1(C(N)=O)CCCC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000537864403 1073124252 /nfs/dbraw/zinc/12/42/52/1073124252.db2.gz VYOOPPMSIKEOBH-UHFFFAOYSA-N 0 0 438.529 -0.104 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCCCC2)C1 ZINC000537865435 1073124870 /nfs/dbraw/zinc/12/48/70/1073124870.db2.gz QJLGAASRGFBOJT-INIZCTEOSA-N 0 0 444.579 -0.160 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCCCC2)C1 ZINC000537865436 1073124857 /nfs/dbraw/zinc/12/48/57/1073124857.db2.gz QJLGAASRGFBOJT-MRXNPFEDSA-N 0 0 444.579 -0.160 20 0 IBADRN CCCc1cc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n(C)n1 ZINC000537865710 1073124900 /nfs/dbraw/zinc/12/49/00/1073124900.db2.gz ZFBJDCUYWVSWHT-UHFFFAOYSA-N 0 0 428.497 -0.044 20 0 IBADRN CN([C@@H](C(N)=O)c1cccc(Br)c1)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000537867548 1073124846 /nfs/dbraw/zinc/12/48/46/1073124846.db2.gz MAZFZHNXWHWUEC-GFCCVEGCSA-N 0 0 440.341 -0.118 20 0 IBADRN CN([C@H](C(N)=O)c1cccc(Br)c1)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000537867552 1073124764 /nfs/dbraw/zinc/12/47/64/1073124764.db2.gz MAZFZHNXWHWUEC-LBPRGKRZSA-N 0 0 440.341 -0.118 20 0 IBADRN COc1cccc(C(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)c1OC ZINC000537867614 1073124989 /nfs/dbraw/zinc/12/49/89/1073124989.db2.gz BWABIKBHRIKIFH-UHFFFAOYSA-N 0 0 442.476 -0.065 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000537870584 1073124931 /nfs/dbraw/zinc/12/49/31/1073124931.db2.gz VRBAHTHJCWKASZ-UHFFFAOYSA-N 0 0 444.558 -0.168 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000537870654 1073124876 /nfs/dbraw/zinc/12/48/76/1073124876.db2.gz NWVCNKSFKFABCX-UHFFFAOYSA-N 0 0 430.531 -0.605 20 0 IBADRN CCCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n(C)n1 ZINC000537874338 1073126661 /nfs/dbraw/zinc/12/66/61/1073126661.db2.gz MSNYNPAHKRJEPT-UHFFFAOYSA-N 0 0 428.515 -0.968 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CC(=O)N3CCN(C)CC3)CC2)c1 ZINC000537877862 1073125556 /nfs/dbraw/zinc/12/55/56/1073125556.db2.gz YGFSUOWDZZIXJE-UHFFFAOYSA-N 0 0 430.552 -0.385 20 0 IBADRN O=C(Nc1ccnn1Cc1ccccn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000537878162 1073125648 /nfs/dbraw/zinc/12/56/48/1073125648.db2.gz CNUXCSRRMOBFNO-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN Cn1c2[nH]c(CN3C[C@@H](C(=O)N4CCOCC4)Oc4ccccc43)nc2c(=O)n(C)c1=O ZINC000537878772 1073125614 /nfs/dbraw/zinc/12/56/14/1073125614.db2.gz QQOVEPGLLLCKIV-HNNXBMFYSA-N 0 0 440.460 -0.413 20 0 IBADRN Cn1c2[nH]c(CN3C[C@H](C(=O)N4CCOCC4)Oc4ccccc43)nc2c(=O)n(C)c1=O ZINC000537878773 1073125625 /nfs/dbraw/zinc/12/56/25/1073125625.db2.gz QQOVEPGLLLCKIV-OAHLLOKOSA-N 0 0 440.460 -0.413 20 0 IBADRN Cn1c2[nH]c(CN3CCC(NS(=O)(=O)c4cccs4)CC3)nc2c(=O)n(C)c1=O ZINC000537879157 1073125528 /nfs/dbraw/zinc/12/55/28/1073125528.db2.gz VXSAHPXILFPPBH-UHFFFAOYSA-N 0 0 438.535 -0.035 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)c1OC ZINC000537879190 1073125574 /nfs/dbraw/zinc/12/55/74/1073125574.db2.gz XSOPQDTXGXZJRY-GOSISDBHSA-N 0 0 432.495 -0.148 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)c1OC ZINC000537879203 1073125514 /nfs/dbraw/zinc/12/55/14/1073125514.db2.gz XSOPQDTXGXZJRY-SFHVURJKSA-N 0 0 432.495 -0.148 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000537881243 1073126084 /nfs/dbraw/zinc/12/60/84/1073126084.db2.gz LCPWDKXEFCXDDW-UHFFFAOYSA-N 0 0 439.538 -0.089 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N[C@H](C)C(=O)Nc2cccc(S(=O)(=O)NC)c2)cn1 ZINC000537885486 1073126257 /nfs/dbraw/zinc/12/62/57/1073126257.db2.gz IJMIUNHUSRYINM-LLVKDONJSA-N 0 0 437.482 -0.314 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N[C@@H](C)C(=O)Nc2cccc(S(=O)(=O)NC)c2)cn1 ZINC000537885490 1073126119 /nfs/dbraw/zinc/12/61/19/1073126119.db2.gz IJMIUNHUSRYINM-NSHDSACASA-N 0 0 437.482 -0.314 20 0 IBADRN CC(C)(CCCO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000537912462 1073127182 /nfs/dbraw/zinc/12/71/82/1073127182.db2.gz WSVCHWOZQYUCJP-UHFFFAOYSA-N 0 0 440.522 -0.340 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000537917760 1073126708 /nfs/dbraw/zinc/12/67/08/1073126708.db2.gz GDWZXGVYZBPTLZ-HNNXBMFYSA-N 0 0 429.543 -0.229 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000537917761 1073126673 /nfs/dbraw/zinc/12/66/73/1073126673.db2.gz GDWZXGVYZBPTLZ-OAHLLOKOSA-N 0 0 429.543 -0.229 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCC(CNC(=O)c3ccccn3)CC2)o1 ZINC000537932291 1073126994 /nfs/dbraw/zinc/12/69/94/1073126994.db2.gz CSPQLODQOKPGLZ-UHFFFAOYSA-N 0 0 449.489 -0.280 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000537935731 1073127158 /nfs/dbraw/zinc/12/71/58/1073127158.db2.gz DOLIEJDXISRKBZ-UHFFFAOYSA-N 0 0 449.537 -0.113 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000537936471 1073126908 /nfs/dbraw/zinc/12/69/08/1073126908.db2.gz SVBJJDQGLSXQIE-UHFFFAOYSA-N 0 0 436.494 -0.028 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(Cl)c1 ZINC000537991125 1073129021 /nfs/dbraw/zinc/12/90/21/1073129021.db2.gz OUMWIBSKLUSUBF-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN COCCn1c(=O)[nH]c(=O)c(NCc2ccccc2)c1NC(=O)C[C@@H]1NC(=O)NC1=O ZINC000538004218 1073129107 /nfs/dbraw/zinc/12/91/07/1073129107.db2.gz LGZZRMCILIKXEF-LBPRGKRZSA-N 0 0 430.421 -0.256 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N(C)CC(=O)N2CCOCC2)s1 ZINC000538005151 1073129615 /nfs/dbraw/zinc/12/96/15/1073129615.db2.gz XTTRQZSZTWBNPV-UHFFFAOYSA-N 0 0 439.581 -0.291 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCO[C@@H](C(=O)OC)C2)s1 ZINC000538005495 1073128850 /nfs/dbraw/zinc/12/88/50/1073128850.db2.gz XQNHKQBRGXVFQS-GFCCVEGCSA-N 0 0 426.538 -0.208 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCO[C@H](C(=O)OC)C2)s1 ZINC000538005497 1073129119 /nfs/dbraw/zinc/12/91/19/1073129119.db2.gz XQNHKQBRGXVFQS-LBPRGKRZSA-N 0 0 426.538 -0.208 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N(CCOC)CC(=O)N(C)C)s1 ZINC000538005619 1073129010 /nfs/dbraw/zinc/12/90/10/1073129010.db2.gz AXGPZRVMINOKGZ-UHFFFAOYSA-N 0 0 441.597 -0.045 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@H](C)C(=O)N2CCOCC2)s1 ZINC000538006776 1073129709 /nfs/dbraw/zinc/12/97/09/1073129709.db2.gz FTOZTJUAWGDTRR-GFCCVEGCSA-N 0 0 439.581 -0.245 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCOCC2)s1 ZINC000538006777 1073129686 /nfs/dbraw/zinc/12/96/86/1073129686.db2.gz FTOZTJUAWGDTRR-LBPRGKRZSA-N 0 0 439.581 -0.245 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)OC)s1 ZINC000538007256 1073129747 /nfs/dbraw/zinc/12/97/47/1073129747.db2.gz ZNBPYZSCOSLBID-PWSUYJOCSA-N 0 0 426.538 -0.473 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C[C@H](C)O1 ZINC000538012231 1073129731 /nfs/dbraw/zinc/12/97/31/1073129731.db2.gz OBUPVVIPDMILPO-GASCZTMLSA-N 0 0 444.554 -0.637 20 0 IBADRN C[C@H]1CN(CCOC2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C[C@H](C)O1 ZINC000538012233 1073129765 /nfs/dbraw/zinc/12/97/65/1073129765.db2.gz OBUPVVIPDMILPO-GJZGRUSLSA-N 0 0 444.554 -0.637 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C[C@@H](C)O1 ZINC000538012234 1073129669 /nfs/dbraw/zinc/12/96/69/1073129669.db2.gz OBUPVVIPDMILPO-HUUCEWRRSA-N 0 0 444.554 -0.637 20 0 IBADRN C[C@H]1CN(CCOC2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C[C@H](C)O1 ZINC000538012995 1073129781 /nfs/dbraw/zinc/12/97/81/1073129781.db2.gz JSJWFMJPSOZPBE-HOTGVXAUSA-N 0 0 439.600 -0.448 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C[C@@H](C)O1 ZINC000538012998 1073129658 /nfs/dbraw/zinc/12/96/58/1073129658.db2.gz JSJWFMJPSOZPBE-HZPDHXFCSA-N 0 0 439.600 -0.448 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)C[C@H](C)O1 ZINC000538012999 1073129696 /nfs/dbraw/zinc/12/96/96/1073129696.db2.gz JSJWFMJPSOZPBE-IYBDPMFKSA-N 0 0 439.600 -0.448 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)c(OC)c1 ZINC000538013003 1073129592 /nfs/dbraw/zinc/12/95/92/1073129592.db2.gz XSMZVUVXFOKMPG-GFCCVEGCSA-N 0 0 441.553 -0.102 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)c(OC)c1 ZINC000538013005 1073129791 /nfs/dbraw/zinc/12/97/91/1073129791.db2.gz XSMZVUVXFOKMPG-LBPRGKRZSA-N 0 0 441.553 -0.102 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000538016517 1073130905 /nfs/dbraw/zinc/13/09/05/1073130905.db2.gz MMGQDBZWMJXRQY-UHFFFAOYSA-N 0 0 427.527 -0.054 20 0 IBADRN Cc1cccn2c(=O)c(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)cnc12 ZINC000538019420 1073131165 /nfs/dbraw/zinc/13/11/65/1073131165.db2.gz PGHMTQBHWIGSFI-UHFFFAOYSA-N 0 0 435.506 -0.226 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C[C@@H]1NC(=O)NC1=O ZINC000538020872 1073131189 /nfs/dbraw/zinc/13/11/89/1073131189.db2.gz JOASXYWSCVMJPX-ZDUSSCGKSA-N 0 0 426.451 -0.357 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1C ZINC000538023520 1073316398 /nfs/dbraw/zinc/31/63/98/1073316398.db2.gz FWHTUNXJTRNKKM-HNNXBMFYSA-N 0 0 429.481 -0.338 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1C ZINC000538023521 1073316410 /nfs/dbraw/zinc/31/64/10/1073316410.db2.gz FWHTUNXJTRNKKM-OAHLLOKOSA-N 0 0 429.481 -0.338 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)c(OCC(=O)N(C)C)c1 ZINC000538023921 1073131018 /nfs/dbraw/zinc/13/10/18/1073131018.db2.gz AWOMLVHGOOUCFM-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN C[C@H]1CN(CCOC2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000538024326 1073131128 /nfs/dbraw/zinc/13/11/28/1073131128.db2.gz FNECNQKSFDRZPM-HOTGVXAUSA-N 0 0 443.570 -0.185 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C[C@@H](C)O1 ZINC000538024329 1073131107 /nfs/dbraw/zinc/13/11/07/1073131107.db2.gz FNECNQKSFDRZPM-HZPDHXFCSA-N 0 0 443.570 -0.185 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000538024330 1073131140 /nfs/dbraw/zinc/13/11/40/1073131140.db2.gz FNECNQKSFDRZPM-IYBDPMFKSA-N 0 0 443.570 -0.185 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000538024909 1073131069 /nfs/dbraw/zinc/13/10/69/1073131069.db2.gz QDSXJPLSCIYQKC-UHFFFAOYSA-N 0 0 431.540 -0.336 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1 ZINC000538025286 1073130966 /nfs/dbraw/zinc/13/09/66/1073130966.db2.gz WBEYVMHCBNENTB-UHFFFAOYSA-N 0 0 449.530 -0.196 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000538026878 1073131030 /nfs/dbraw/zinc/13/10/30/1073131030.db2.gz CHVIFCQPJWYJNC-UHFFFAOYSA-N 0 0 448.567 -0.065 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C[C@H](C)O1 ZINC000538028692 1073130435 /nfs/dbraw/zinc/13/04/35/1073130435.db2.gz LHYCBPPPINQBTM-HDICACEKSA-N 0 0 446.614 -0.320 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C[C@@H](C)O1 ZINC000538028697 1073130389 /nfs/dbraw/zinc/13/03/89/1073130389.db2.gz LHYCBPPPINQBTM-QZTJIDSGSA-N 0 0 446.614 -0.320 20 0 IBADRN C[C@H]1CN(CCOC2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)C[C@H](C)O1 ZINC000538028698 1073130333 /nfs/dbraw/zinc/13/03/33/1073130333.db2.gz LHYCBPPPINQBTM-ROUUACIJSA-N 0 0 446.614 -0.320 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1C ZINC000538031034 1073130303 /nfs/dbraw/zinc/13/03/03/1073130303.db2.gz FBWPXEHCMHOUFI-UHFFFAOYSA-N 0 0 441.444 -0.361 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1NS(C)(=O)=O ZINC000538034207 1073130348 /nfs/dbraw/zinc/13/03/48/1073130348.db2.gz BRNMJEKMFAMIAD-UHFFFAOYSA-N 0 0 448.523 -0.501 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(C(N)=O)cc3F)CC2)no1 ZINC000538035700 1073330215 /nfs/dbraw/zinc/33/02/15/1073330215.db2.gz DXEFWGNOEKTTKS-UHFFFAOYSA-N 0 0 432.412 -0.058 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCSC[C@@H]2C(N)=O)c(=O)[nH]c1=O ZINC000538036601 1073130283 /nfs/dbraw/zinc/13/02/83/1073130283.db2.gz BUCFMGJRCAGARI-GFCCVEGCSA-N 0 0 442.542 -0.787 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCSC[C@H]2C(N)=O)c(=O)[nH]c1=O ZINC000538036603 1073130326 /nfs/dbraw/zinc/13/03/26/1073130326.db2.gz BUCFMGJRCAGARI-LBPRGKRZSA-N 0 0 442.542 -0.787 20 0 IBADRN COCCN(C(=O)CN1CCSC[C@@H]1C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000538036785 1073130446 /nfs/dbraw/zinc/13/04/46/1073130446.db2.gz BIVOGULRXJMMRL-GFCCVEGCSA-N 0 0 442.542 -0.931 20 0 IBADRN COCCN(C(=O)CN1CCSC[C@H]1C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000538036795 1073130414 /nfs/dbraw/zinc/13/04/14/1073130414.db2.gz BIVOGULRXJMMRL-LBPRGKRZSA-N 0 0 442.542 -0.931 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)nn1 ZINC000538037192 1073131099 /nfs/dbraw/zinc/13/10/99/1073131099.db2.gz DSOGBPMZMDGFRR-UHFFFAOYSA-N 0 0 426.455 -0.299 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)C1=O ZINC000538038433 1073131124 /nfs/dbraw/zinc/13/11/24/1073131124.db2.gz NYDOCMQLXHJHKN-HNNXBMFYSA-N 0 0 442.494 -0.100 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)C1=O ZINC000538038435 1073131043 /nfs/dbraw/zinc/13/10/43/1073131043.db2.gz NYDOCMQLXHJHKN-OAHLLOKOSA-N 0 0 442.494 -0.100 20 0 IBADRN COc1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1NS(C)(=O)=O ZINC000538046307 1073131819 /nfs/dbraw/zinc/13/18/19/1073131819.db2.gz VQTOYJOXFPNHLP-UHFFFAOYSA-N 0 0 435.528 -0.495 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)C[C@H](C)O1 ZINC000538046422 1073131780 /nfs/dbraw/zinc/13/17/80/1073131780.db2.gz ZQOIPCKZFDECLF-GASCZTMLSA-N 0 0 437.541 -0.561 20 0 IBADRN C[C@H]1CN(CCOC2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)C[C@H](C)O1 ZINC000538046423 1073131739 /nfs/dbraw/zinc/13/17/39/1073131739.db2.gz ZQOIPCKZFDECLF-GJZGRUSLSA-N 0 0 437.541 -0.561 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(CC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2)C[C@@H](C)O1 ZINC000538046424 1073131859 /nfs/dbraw/zinc/13/18/59/1073131859.db2.gz ZQOIPCKZFDECLF-HUUCEWRRSA-N 0 0 437.541 -0.561 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC000538046463 1073131877 /nfs/dbraw/zinc/13/18/77/1073131877.db2.gz YFXNYELSAKQTNB-UHFFFAOYSA-N 0 0 449.530 -0.196 20 0 IBADRN COC(=O)c1cc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)c(OC)c(OC)c1 ZINC000538046970 1073131826 /nfs/dbraw/zinc/13/18/26/1073131826.db2.gz JGQJKDGDXJHHBD-UHFFFAOYSA-N 0 0 430.483 -0.072 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(F)cc1NS(C)(=O)=O ZINC000538048853 1073132256 /nfs/dbraw/zinc/13/22/56/1073132256.db2.gz RYRLEYRVKRFKPK-UHFFFAOYSA-N 0 0 438.503 -0.030 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCOc3ccc(NS(C)(=O)=O)cc3)cnc2n(C)c1=O ZINC000538049707 1073132155 /nfs/dbraw/zinc/13/21/55/1073132155.db2.gz QDZHQJDNBFTQRL-UHFFFAOYSA-N 0 0 447.473 -0.188 20 0 IBADRN COCCN(C(=O)NCCN1CCN(C(=O)C2CCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000538054959 1073132228 /nfs/dbraw/zinc/13/22/28/1073132228.db2.gz DKJGJEFDKUSMJK-KRWDZBQOSA-N 0 0 430.571 -0.224 20 0 IBADRN COCCN(C(=O)NCCN1CCN(C(=O)C2CCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000538054965 1073132165 /nfs/dbraw/zinc/13/21/65/1073132165.db2.gz DKJGJEFDKUSMJK-QGZVFWFLSA-N 0 0 430.571 -0.224 20 0 IBADRN COC(=O)c1cncc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1 ZINC000538055369 1073132352 /nfs/dbraw/zinc/13/23/52/1073132352.db2.gz IXXAJLWVZHOXPV-KBPBESRZSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1cncc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1 ZINC000538055377 1073132368 /nfs/dbraw/zinc/13/23/68/1073132368.db2.gz IXXAJLWVZHOXPV-OKILXGFUSA-N 0 0 426.495 -0.020 20 0 IBADRN COC(=O)c1cncc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1 ZINC000538055379 1073132395 /nfs/dbraw/zinc/13/23/95/1073132395.db2.gz IXXAJLWVZHOXPV-ZIAGYGMSSA-N 0 0 426.495 -0.020 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000538055800 1073132382 /nfs/dbraw/zinc/13/23/82/1073132382.db2.gz NAVVCRDWEVQQFC-CABCVRRESA-N 0 0 441.572 -0.680 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000538055805 1073132243 /nfs/dbraw/zinc/13/22/43/1073132243.db2.gz NAVVCRDWEVQQFC-GJZGRUSLSA-N 0 0 441.572 -0.680 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000538055806 1073132442 /nfs/dbraw/zinc/13/24/42/1073132442.db2.gz NAVVCRDWEVQQFC-HUUCEWRRSA-N 0 0 441.572 -0.680 20 0 IBADRN COCCN(C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000538055807 1073132306 /nfs/dbraw/zinc/13/23/06/1073132306.db2.gz NAVVCRDWEVQQFC-LSDHHAIUSA-N 0 0 441.572 -0.680 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)ccc1NS(C)(=O)=O ZINC000538059289 1073131078 /nfs/dbraw/zinc/13/10/78/1073131078.db2.gz AHWYGVRKJLVAMA-LLVKDONJSA-N 0 0 434.496 -0.845 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)ccc1NS(C)(=O)=O ZINC000538059293 1073131155 /nfs/dbraw/zinc/13/11/55/1073131155.db2.gz AHWYGVRKJLVAMA-NSHDSACASA-N 0 0 434.496 -0.845 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000538059440 1073132142 /nfs/dbraw/zinc/13/21/42/1073132142.db2.gz DNYSAWWCHCEDHQ-LLVKDONJSA-N 0 0 434.496 -0.845 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000538059441 1073132275 /nfs/dbraw/zinc/13/22/75/1073132275.db2.gz DNYSAWWCHCEDHQ-NSHDSACASA-N 0 0 434.496 -0.845 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c(F)c1 ZINC000538059936 1073132183 /nfs/dbraw/zinc/13/21/83/1073132183.db2.gz IRIOBCHEPCDCIM-GFCCVEGCSA-N 0 0 430.458 -0.532 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c(F)c1 ZINC000538059937 1073132206 /nfs/dbraw/zinc/13/22/06/1073132206.db2.gz IRIOBCHEPCDCIM-LBPRGKRZSA-N 0 0 430.458 -0.532 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000538060887 1073132919 /nfs/dbraw/zinc/13/29/19/1073132919.db2.gz VAGLFRPIXAVXDV-LLVKDONJSA-N 0 0 434.496 -0.845 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000538060888 1073133070 /nfs/dbraw/zinc/13/30/70/1073133070.db2.gz VAGLFRPIXAVXDV-NSHDSACASA-N 0 0 434.496 -0.845 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCOC[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000538062146 1073133051 /nfs/dbraw/zinc/13/30/51/1073133051.db2.gz FOHDEJYOSNYLPX-GFCCVEGCSA-N 0 0 427.479 -0.348 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCOC[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000538062149 1073133459 /nfs/dbraw/zinc/13/34/59/1073133459.db2.gz FOHDEJYOSNYLPX-LBPRGKRZSA-N 0 0 427.479 -0.348 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cc(COC)ncn2)CC1 ZINC000538062241 1073133664 /nfs/dbraw/zinc/13/36/64/1073133664.db2.gz HFFYJPCNSOXZHO-UHFFFAOYSA-N 0 0 448.505 -0.182 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)cc(S(C)(=O)=O)c1 ZINC000538062573 1073132982 /nfs/dbraw/zinc/13/29/82/1073132982.db2.gz MWRPZYGRYAKXOA-AWEZNQCLSA-N 0 0 425.463 -0.059 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)cc(S(C)(=O)=O)c1 ZINC000538062575 1073133020 /nfs/dbraw/zinc/13/30/20/1073133020.db2.gz MWRPZYGRYAKXOA-CQSZACIVSA-N 0 0 425.463 -0.059 20 0 IBADRN CS(=O)(=O)C[C@@H](NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000538063589 1073133474 /nfs/dbraw/zinc/13/34/74/1073133474.db2.gz IDMPDSPDWURJKP-GOSISDBHSA-N 0 0 438.550 -0.042 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000538063591 1073133480 /nfs/dbraw/zinc/13/34/80/1073133480.db2.gz IDMPDSPDWURJKP-SFHVURJKSA-N 0 0 438.550 -0.042 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000538067091 1073133622 /nfs/dbraw/zinc/13/36/22/1073133622.db2.gz CUMNNJFNJHJIAV-UHFFFAOYSA-N 0 0 428.497 -0.104 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000538067386 1073133588 /nfs/dbraw/zinc/13/35/88/1073133588.db2.gz CEZYUTHISKHGPD-LLVKDONJSA-N 0 0 425.463 -0.200 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000538067387 1073133643 /nfs/dbraw/zinc/13/36/43/1073133643.db2.gz CEZYUTHISKHGPD-NSHDSACASA-N 0 0 425.463 -0.200 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(F)cc2OCC(F)F)CC1 ZINC000538067607 1073133485 /nfs/dbraw/zinc/13/34/85/1073133485.db2.gz VWQDLXAMEGDYLT-UHFFFAOYSA-N 0 0 441.370 -0.234 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1C ZINC000538067923 1073133511 /nfs/dbraw/zinc/13/35/11/1073133511.db2.gz YFASILVWPMNYNI-UHFFFAOYSA-N 0 0 432.524 -0.365 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)C ZINC000538069113 1073133491 /nfs/dbraw/zinc/13/34/91/1073133491.db2.gz GJAOKHUPKXPQLB-UHFFFAOYSA-N 0 0 436.512 -0.551 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)ccc1NS(C)(=O)=O ZINC000538069132 1073134024 /nfs/dbraw/zinc/13/40/24/1073134024.db2.gz HPQZMDBDBUQILX-UHFFFAOYSA-N 0 0 436.512 -0.551 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)cc(S(C)(=O)=O)c1 ZINC000538069257 1073134006 /nfs/dbraw/zinc/13/40/06/1073134006.db2.gz YESSDOGWAWIUFL-LLVKDONJSA-N 0 0 425.463 -0.071 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)cc(S(C)(=O)=O)c1 ZINC000538069258 1073134001 /nfs/dbraw/zinc/13/40/01/1073134001.db2.gz YESSDOGWAWIUFL-NSHDSACASA-N 0 0 425.463 -0.071 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1 ZINC000538069873 1073133612 /nfs/dbraw/zinc/13/36/12/1073133612.db2.gz TYHNASNTVGKBPJ-UHFFFAOYSA-N 0 0 436.512 -0.549 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000538069875 1073133545 /nfs/dbraw/zinc/13/35/45/1073133545.db2.gz TZMQUPSTVZYQRP-AWEZNQCLSA-N 0 0 436.490 -0.676 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000538069876 1073133986 /nfs/dbraw/zinc/13/39/86/1073133986.db2.gz TZMQUPSTVZYQRP-CQSZACIVSA-N 0 0 436.490 -0.676 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CC1 ZINC000538069886 1073134071 /nfs/dbraw/zinc/13/40/71/1073134071.db2.gz URMAUJSDNILVPP-UHFFFAOYSA-N 0 0 435.300 -0.420 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)cc1OC ZINC000538069892 1073133980 /nfs/dbraw/zinc/13/39/80/1073133980.db2.gz UXLPKSYWPFUXQL-UHFFFAOYSA-N 0 0 436.512 -0.549 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000538070271 1073134032 /nfs/dbraw/zinc/13/40/32/1073134032.db2.gz HDCKSZXTSULVDU-UHFFFAOYSA-N 0 0 448.523 -0.501 20 0 IBADRN COCCCOC1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000538071349 1073134059 /nfs/dbraw/zinc/13/40/59/1073134059.db2.gz QPSUNYQMVCQUCV-UHFFFAOYSA-N 0 0 441.554 -0.020 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc(Br)c1=O ZINC000538072157 1073134113 /nfs/dbraw/zinc/13/41/13/1073134113.db2.gz OFKQSYWTLHFZFP-UHFFFAOYSA-N 0 0 437.316 -0.080 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc(S(C)(=O)=O)c1 ZINC000538076662 1073134100 /nfs/dbraw/zinc/13/41/00/1073134100.db2.gz FMOLKJUILCSCBO-UHFFFAOYSA-N 0 0 447.473 -0.046 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c(F)c1 ZINC000538076905 1073134047 /nfs/dbraw/zinc/13/40/47/1073134047.db2.gz KWMUJGGNMZSZKZ-UHFFFAOYSA-N 0 0 435.437 -0.209 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000538077357 1073133044 /nfs/dbraw/zinc/13/30/44/1073133044.db2.gz WHICWWSHMXOWMX-KBPBESRZSA-N 0 0 442.542 -0.581 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000538077359 1073133094 /nfs/dbraw/zinc/13/30/94/1073133094.db2.gz WHICWWSHMXOWMX-OKILXGFUSA-N 0 0 442.542 -0.581 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000538077360 1073132995 /nfs/dbraw/zinc/13/29/95/1073132995.db2.gz WHICWWSHMXOWMX-ZIAGYGMSSA-N 0 0 442.542 -0.581 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)CC2)n1 ZINC000538077665 1073132334 /nfs/dbraw/zinc/13/23/34/1073132334.db2.gz DWHFSYVJOLVUEL-HNNXBMFYSA-N 0 0 440.460 -0.134 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)CC2)n1 ZINC000538077666 1073132946 /nfs/dbraw/zinc/13/29/46/1073132946.db2.gz DWHFSYVJOLVUEL-OAHLLOKOSA-N 0 0 440.460 -0.134 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2c(C)ccn(C)c2=O)CC1 ZINC000538077901 1073134078 /nfs/dbraw/zinc/13/40/78/1073134078.db2.gz GJQASVBHIYVWKF-UHFFFAOYSA-N 0 0 437.478 -0.230 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000538078353 1073133002 /nfs/dbraw/zinc/13/30/02/1073133002.db2.gz STLAPVXQETWBPN-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000538080136 1073133034 /nfs/dbraw/zinc/13/30/34/1073133034.db2.gz DFGKJQKQURQVCL-HNNXBMFYSA-N 0 0 429.477 -0.889 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000538080137 1073132968 /nfs/dbraw/zinc/13/29/68/1073132968.db2.gz DFGKJQKQURQVCL-OAHLLOKOSA-N 0 0 429.477 -0.889 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc([S@@](C)=O)c(F)c2)CC1 ZINC000538080302 1073133078 /nfs/dbraw/zinc/13/30/78/1073133078.db2.gz HZAJPBBBLINDGL-GDLZYMKVSA-N 0 0 426.470 -0.303 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc([S@](C)=O)c(F)c2)CC1 ZINC000538080303 1073132952 /nfs/dbraw/zinc/13/29/52/1073132952.db2.gz HZAJPBBBLINDGL-LJAQVGFWSA-N 0 0 426.470 -0.303 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc(Br)c1=O ZINC000538080489 1073133026 /nfs/dbraw/zinc/13/30/26/1073133026.db2.gz LJHGGADSUMRNBK-UHFFFAOYSA-N 0 0 428.287 -0.633 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1 ZINC000538081241 1073134559 /nfs/dbraw/zinc/13/45/59/1073134559.db2.gz VTMBOWRBVATESG-UHFFFAOYSA-N 0 0 437.316 -0.126 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCN(C)CC2)c(NC(=O)NC[C@H](O)CO)c1 ZINC000538082088 1073134584 /nfs/dbraw/zinc/13/45/84/1073134584.db2.gz NLDDQXNFUWQQNP-HNNXBMFYSA-N 0 0 443.570 -0.056 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCN(C)CC2)c(NC(=O)NC[C@@H](O)CO)c1 ZINC000538082089 1073134712 /nfs/dbraw/zinc/13/47/12/1073134712.db2.gz NLDDQXNFUWQQNP-OAHLLOKOSA-N 0 0 443.570 -0.056 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cn1C ZINC000538082827 1073134682 /nfs/dbraw/zinc/13/46/82/1073134682.db2.gz XMEGZFJQIXSFAL-UHFFFAOYSA-N 0 0 429.481 -0.131 20 0 IBADRN O=C(NC[C@H](O)CO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000538082988 1073134591 /nfs/dbraw/zinc/13/45/91/1073134591.db2.gz LBCTUCVKBUANBL-AWEZNQCLSA-N 0 0 428.511 -0.218 20 0 IBADRN O=C(NC[C@@H](O)CO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000538082989 1073134575 /nfs/dbraw/zinc/13/45/75/1073134575.db2.gz LBCTUCVKBUANBL-CQSZACIVSA-N 0 0 428.511 -0.218 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)ccc1NS(C)(=O)=O ZINC000538083087 1073134693 /nfs/dbraw/zinc/13/46/93/1073134693.db2.gz POVMTLXGBQQYFR-UHFFFAOYSA-N 0 0 435.462 -0.054 20 0 IBADRN COCCN(C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H]1CCS(=O)(=O)C1 ZINC000538083106 1073134603 /nfs/dbraw/zinc/13/46/03/1073134603.db2.gz QQHAWBJFSILNDG-GFCCVEGCSA-N 0 0 425.467 -0.700 20 0 IBADRN COCCN(C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H]1CCS(=O)(=O)C1 ZINC000538083107 1073134743 /nfs/dbraw/zinc/13/47/43/1073134743.db2.gz QQHAWBJFSILNDG-LBPRGKRZSA-N 0 0 425.467 -0.700 20 0 IBADRN NC(=O)C1(CNc2nc3ccccc3c(NCC3(C(N)=O)CCOCC3)n2)CCOCC1 ZINC000538086540 1073135313 /nfs/dbraw/zinc/13/53/13/1073135313.db2.gz KMTCBKQSFDXDCF-UHFFFAOYSA-N 0 0 442.520 -0.138 20 0 IBADRN C[C@@H](Nc1nc(=N[C@@H](C)C(=O)N2CCOCC2)[nH]c2ccccc21)C(=O)N1CCOCC1 ZINC000538087291 1073135249 /nfs/dbraw/zinc/13/52/49/1073135249.db2.gz VDLVAGFQULRDJE-CVEARBPZSA-N 0 0 442.520 -0.208 20 0 IBADRN C[C@H](N=c1nc(N[C@@H](C)C(=O)N2CCOCC2)c2ccccc2[nH]1)C(=O)N1CCOCC1 ZINC000538087295 1073135301 /nfs/dbraw/zinc/13/53/01/1073135301.db2.gz VDLVAGFQULRDJE-HOTGVXAUSA-N 0 0 442.520 -0.208 20 0 IBADRN C[C@@H](N=c1nc(N[C@H](C)C(=O)N2CCOCC2)c2ccccc2[nH]1)C(=O)N1CCOCC1 ZINC000538087296 1073135170 /nfs/dbraw/zinc/13/51/70/1073135170.db2.gz VDLVAGFQULRDJE-HZPDHXFCSA-N 0 0 442.520 -0.208 20 0 IBADRN C[C@@H](N=c1nc(N[C@@H](C)C(=O)N2CCOCC2)c2ccccc2[nH]1)C(=O)N1CCOCC1 ZINC000538087297 1073135289 /nfs/dbraw/zinc/13/52/89/1073135289.db2.gz VDLVAGFQULRDJE-JKSUJKDBSA-N 0 0 442.520 -0.208 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)S(C)(=O)=O ZINC000538087344 1073135244 /nfs/dbraw/zinc/13/52/44/1073135244.db2.gz IWSNWLJTYBKSKC-UHFFFAOYSA-N 0 0 425.511 -0.571 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1NS(C)(=O)=O ZINC000538087441 1073135348 /nfs/dbraw/zinc/13/53/48/1073135348.db2.gz KCVJUDKMBDXZGQ-UHFFFAOYSA-N 0 0 441.510 -0.587 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000538087505 1073135282 /nfs/dbraw/zinc/13/52/82/1073135282.db2.gz LPYQHHGTCXZNAT-UHFFFAOYSA-N 0 0 447.492 -0.674 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000538087794 1073135240 /nfs/dbraw/zinc/13/52/40/1073135240.db2.gz QCNLILCUALFENG-UHFFFAOYSA-N 0 0 429.477 -0.318 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1S(=O)(=O)NC1CC1 ZINC000538087970 1073135152 /nfs/dbraw/zinc/13/51/52/1073135152.db2.gz QYVWVDXLAMOIOT-UHFFFAOYSA-N 0 0 438.506 -0.310 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c(OC)c(OC)c1 ZINC000538088206 1073135189 /nfs/dbraw/zinc/13/51/89/1073135189.db2.gz VAKWPRLJUALKGJ-UHFFFAOYSA-N 0 0 436.465 -0.163 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1Cl ZINC000538089952 1073135845 /nfs/dbraw/zinc/13/58/45/1073135845.db2.gz MPOOMNKVDVBKPD-UHFFFAOYSA-N 0 0 440.884 -0.030 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000538090163 1073135837 /nfs/dbraw/zinc/13/58/37/1073135837.db2.gz HREKEMDRAFOLRO-UHFFFAOYSA-N 0 0 437.522 -0.427 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000538090349 1073135877 /nfs/dbraw/zinc/13/58/77/1073135877.db2.gz LAAZGWZUKBHJQD-UHFFFAOYSA-N 0 0 432.510 -0.269 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000538090401 1073135699 /nfs/dbraw/zinc/13/56/99/1073135699.db2.gz MIPXICPWVSOHBN-UHFFFAOYSA-N 0 0 447.492 -0.789 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1)n1cncn1 ZINC000538090626 1073135851 /nfs/dbraw/zinc/13/58/51/1073135851.db2.gz ZATGEGZPWZTRBT-CYBMUJFWSA-N 0 0 443.464 -0.268 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1)n1cncn1 ZINC000538090627 1073135749 /nfs/dbraw/zinc/13/57/49/1073135749.db2.gz ZATGEGZPWZTRBT-ZDUSSCGKSA-N 0 0 443.464 -0.268 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000538090710 1073135901 /nfs/dbraw/zinc/13/59/01/1073135901.db2.gz PITTVAUXVXZKRJ-UHFFFAOYSA-N 0 0 442.494 -0.800 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000538090803 1073135690 /nfs/dbraw/zinc/13/56/90/1073135690.db2.gz FWPBIPDHBCPZHO-UHFFFAOYSA-N 0 0 426.477 -0.311 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000538090829 1073135790 /nfs/dbraw/zinc/13/57/90/1073135790.db2.gz HHJPHHXYZJPSAI-UHFFFAOYSA-N 0 0 425.511 -0.717 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)CC1 ZINC000538090924 1073135783 /nfs/dbraw/zinc/13/57/83/1073135783.db2.gz TWYWZOYGBWJCRM-UHFFFAOYSA-N 0 0 428.486 -0.283 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1S(N)(=O)=O ZINC000538091123 1073135767 /nfs/dbraw/zinc/13/57/67/1073135767.db2.gz XOUYHHZMSBTUJD-UHFFFAOYSA-N 0 0 425.511 -0.757 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1-n1nnnc1C1CC1 ZINC000538091648 1073135737 /nfs/dbraw/zinc/13/57/37/1073135737.db2.gz XHKVTFLXLSHZMW-UHFFFAOYSA-N 0 0 443.464 -0.198 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)NC(N)=O)cc1 ZINC000538092026 1073135795 /nfs/dbraw/zinc/13/57/95/1073135795.db2.gz DQOXDNRLRMASHK-UHFFFAOYSA-N 0 0 427.483 -0.253 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000538092714 1073136364 /nfs/dbraw/zinc/13/63/64/1073136364.db2.gz AVMZRGIPMPSQEI-UHFFFAOYSA-N 0 0 426.495 -0.373 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000538093336 1073136468 /nfs/dbraw/zinc/13/64/68/1073136468.db2.gz YFSMQVZTDSKULX-UHFFFAOYSA-N 0 0 438.506 -0.275 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCC(=O)NC(N)=O)cc2)CC1 ZINC000538093455 1073136304 /nfs/dbraw/zinc/13/63/04/1073136304.db2.gz CFOGJWOXJJBMIG-UHFFFAOYSA-N 0 0 439.494 -0.253 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000538093673 1073136371 /nfs/dbraw/zinc/13/63/71/1073136371.db2.gz HHWNJBLMXCLTBI-UHFFFAOYSA-N 0 0 445.520 -0.186 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)O1 ZINC000538094371 1073136311 /nfs/dbraw/zinc/13/63/11/1073136311.db2.gz UBVSZEGDNAHITE-DLBZAZTESA-N 0 0 431.493 -0.306 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)O1 ZINC000538094372 1073136381 /nfs/dbraw/zinc/13/63/81/1073136381.db2.gz UBVSZEGDNAHITE-IAGOWNOFSA-N 0 0 431.493 -0.306 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)O1 ZINC000538094373 1073136296 /nfs/dbraw/zinc/13/62/96/1073136296.db2.gz UBVSZEGDNAHITE-IRXDYDNUSA-N 0 0 431.493 -0.306 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)O1 ZINC000538094374 1073136449 /nfs/dbraw/zinc/13/64/49/1073136449.db2.gz UBVSZEGDNAHITE-SJORKVTESA-N 0 0 431.493 -0.306 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000538095108 1073136386 /nfs/dbraw/zinc/13/63/86/1073136386.db2.gz CVEVYHRYFDGVSB-UHFFFAOYSA-N 0 0 425.467 -0.499 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000538095309 1073359632 /nfs/dbraw/zinc/35/96/32/1073359632.db2.gz IWCYAYONWFSAIB-UHFFFAOYSA-N 0 0 433.494 -0.125 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)ccc1C ZINC000538095877 1073359663 /nfs/dbraw/zinc/35/96/63/1073359663.db2.gz GURVDPDARZQQQA-UHFFFAOYSA-N 0 0 439.523 -0.376 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3cccc(C(=O)N4CCOCC4)c3)CC2)n1 ZINC000538096085 1073136334 /nfs/dbraw/zinc/13/63/34/1073136334.db2.gz UDQVVUOHACRUIH-UHFFFAOYSA-N 0 0 445.505 -0.120 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000538096375 1073136342 /nfs/dbraw/zinc/13/63/42/1073136342.db2.gz FDZMKDDRJQYMLM-UHFFFAOYSA-N 0 0 437.522 -0.844 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OCC)C1 ZINC000538096801 1073136347 /nfs/dbraw/zinc/13/63/47/1073136347.db2.gz UBQGFYOMEBSWFA-UHFFFAOYSA-N 0 0 426.495 -0.131 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cn1 ZINC000538096814 1073136327 /nfs/dbraw/zinc/13/63/27/1073136327.db2.gz UEVQODKZSJRTPP-UHFFFAOYSA-N 0 0 436.498 -0.098 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C1 ZINC000538096947 1073136459 /nfs/dbraw/zinc/13/64/59/1073136459.db2.gz FJRXKFBNFVHPPR-UHFFFAOYSA-N 0 0 445.520 -0.107 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1=O ZINC000538096984 1073362194 /nfs/dbraw/zinc/36/21/94/1073362194.db2.gz JDRQSKCOGLATGJ-UHFFFAOYSA-N 0 0 442.299 -0.131 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000538097073 1073136477 /nfs/dbraw/zinc/13/64/77/1073136477.db2.gz PFKZKBIIAPNZPT-INIZCTEOSA-N 0 0 448.542 -0.589 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000538097074 1073137051 /nfs/dbraw/zinc/13/70/51/1073137051.db2.gz PFKZKBIIAPNZPT-MRXNPFEDSA-N 0 0 448.542 -0.589 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000538097169 1073362424 /nfs/dbraw/zinc/36/24/24/1073362424.db2.gz WMDYJAXFHHLDMA-UHFFFAOYSA-N 0 0 432.510 -0.052 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCC(=O)NC(N)=O ZINC000538097235 1073137069 /nfs/dbraw/zinc/13/70/69/1073137069.db2.gz BRRBXRPESOVIJK-UHFFFAOYSA-N 0 0 439.494 -0.191 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1C ZINC000538097247 1073136991 /nfs/dbraw/zinc/13/69/91/1073136991.db2.gz CKBUWLLCWONJGS-UHFFFAOYSA-N 0 0 439.523 -0.376 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)cc2)c1=O ZINC000538097337 1073362172 /nfs/dbraw/zinc/36/21/72/1073362172.db2.gz CYDJSYYITRUSHT-UHFFFAOYSA-N 0 0 429.466 -0.708 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000538097412 1073136976 /nfs/dbraw/zinc/13/69/76/1073136976.db2.gz GTNWXGZUVXOBTB-UHFFFAOYSA-N 0 0 442.469 -0.620 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)C1 ZINC000538097483 1073137160 /nfs/dbraw/zinc/13/71/60/1073137160.db2.gz HWQZTULRITXHMH-UHFFFAOYSA-N 0 0 429.477 -0.016 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000538097550 1073137040 /nfs/dbraw/zinc/13/70/40/1073137040.db2.gz OATQHSMWPSVZDS-UHFFFAOYSA-N 0 0 433.494 -0.078 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000538097583 1073357428 /nfs/dbraw/zinc/35/74/28/1073357428.db2.gz LDGWBNCZPWPSMJ-UHFFFAOYSA-N 0 0 428.471 -0.050 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)CC2)n1 ZINC000538097617 1073137174 /nfs/dbraw/zinc/13/71/74/1073137174.db2.gz PRVULSHHMYPJRL-UHFFFAOYSA-N 0 0 447.525 -0.337 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000538097627 1073317680 /nfs/dbraw/zinc/31/76/80/1073317680.db2.gz PZVQNKVRTRIQOP-UHFFFAOYSA-N 0 0 438.242 -0.271 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1N1CCCS1(=O)=O ZINC000538097705 1073137112 /nfs/dbraw/zinc/13/71/12/1073137112.db2.gz SNBGEHRLLFAZAQ-UHFFFAOYSA-N 0 0 438.506 -0.211 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000538097964 1073355323 /nfs/dbraw/zinc/35/53/23/1073355323.db2.gz WZUXMFGZBPGHMB-UHFFFAOYSA-N 0 0 439.523 -0.342 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)C1 ZINC000538098287 1073137629 /nfs/dbraw/zinc/13/76/29/1073137629.db2.gz CPRSMHHEBFJQAU-UHFFFAOYSA-N 0 0 431.493 -0.358 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(CC)CC3)cc2)C1 ZINC000538098292 1073137689 /nfs/dbraw/zinc/13/76/89/1073137689.db2.gz CRCHAABIGRMXHQ-UHFFFAOYSA-N 0 0 429.521 -0.074 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1cc(Br)ccc1N1CCOCC1 ZINC000538098300 1073137652 /nfs/dbraw/zinc/13/76/52/1073137652.db2.gz DAQUCHDSQGBHPG-UHFFFAOYSA-N 0 0 442.270 -0.075 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)[C@H](C)C2)ccc1NS(C)(=O)=O ZINC000538098424 1073137005 /nfs/dbraw/zinc/13/70/05/1073137005.db2.gz IDFMIGPWQLNDJI-CHWSQXEVSA-N 0 0 428.511 -0.081 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C[C@H](C)O)[C@H](C)C2)ccc1NS(C)(=O)=O ZINC000538098425 1073137140 /nfs/dbraw/zinc/13/71/40/1073137140.db2.gz IDFMIGPWQLNDJI-OLZOCXBDSA-N 0 0 428.511 -0.081 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C[C@@H](C)O)[C@@H](C)C2)ccc1NS(C)(=O)=O ZINC000538098426 1073136942 /nfs/dbraw/zinc/13/69/42/1073136942.db2.gz IDFMIGPWQLNDJI-QWHCGFSZSA-N 0 0 428.511 -0.081 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C[C@H](C)O)[C@@H](C)C2)ccc1NS(C)(=O)=O ZINC000538098427 1073137118 /nfs/dbraw/zinc/13/71/18/1073137118.db2.gz IDFMIGPWQLNDJI-STQMWFEESA-N 0 0 428.511 -0.081 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1C(=O)OC ZINC000538098573 1073137106 /nfs/dbraw/zinc/13/71/06/1073137106.db2.gz JKJJFQVKHQYHGG-UHFFFAOYSA-N 0 0 448.461 -0.019 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)CC2)n1 ZINC000538098579 1073137088 /nfs/dbraw/zinc/13/70/88/1073137088.db2.gz JMAQHVBZXAUPAQ-UHFFFAOYSA-N 0 0 444.477 -0.541 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3ccccc3C(=O)N3CCOCC3)CC2)n1 ZINC000538099276 1073134106 /nfs/dbraw/zinc/13/41/06/1073134106.db2.gz RVWILFREAPMWSL-UHFFFAOYSA-N 0 0 445.505 -0.120 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000538099503 1073137673 /nfs/dbraw/zinc/13/76/73/1073137673.db2.gz UCKPWVJWALHADQ-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1-n1nnnc1C ZINC000538099524 1073133991 /nfs/dbraw/zinc/13/39/91/1073133991.db2.gz UVKRVQTWHOCTOG-UHFFFAOYSA-N 0 0 444.481 -0.300 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000538099541 1073137574 /nfs/dbraw/zinc/13/75/74/1073137574.db2.gz VHUDAKUBZPGFAI-UHFFFAOYSA-N 0 0 431.493 -0.672 20 0 IBADRN Cc1cn2cc(NC(=O)C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)ccc2n1 ZINC000538100152 1073134014 /nfs/dbraw/zinc/13/40/14/1073134014.db2.gz BUEYVBNIPKIWND-INIZCTEOSA-N 0 0 428.493 -0.027 20 0 IBADRN Cc1cn2cc(NC(=O)C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)ccc2n1 ZINC000538100156 1073134041 /nfs/dbraw/zinc/13/40/41/1073134041.db2.gz BUEYVBNIPKIWND-MRXNPFEDSA-N 0 0 428.493 -0.027 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc(S(C)(=O)=O)c1 ZINC000538101984 1073134129 /nfs/dbraw/zinc/13/41/29/1073134129.db2.gz FFWJMVQYMIHELA-CYBMUJFWSA-N 0 0 439.446 -0.533 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc(S(C)(=O)=O)c1 ZINC000538101994 1073134093 /nfs/dbraw/zinc/13/40/93/1073134093.db2.gz FFWJMVQYMIHELA-ZDUSSCGKSA-N 0 0 439.446 -0.533 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1NS(C)(=O)=O ZINC000538102509 1073137549 /nfs/dbraw/zinc/13/75/49/1073137549.db2.gz KYIBHBQCZJFQEQ-GFCCVEGCSA-N 0 0 426.451 -0.343 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1NS(C)(=O)=O ZINC000538102510 1073137641 /nfs/dbraw/zinc/13/76/41/1073137641.db2.gz KYIBHBQCZJFQEQ-LBPRGKRZSA-N 0 0 426.451 -0.343 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)C1 ZINC000538102629 1073135721 /nfs/dbraw/zinc/13/57/21/1073135721.db2.gz MPBUASQAJWQOPN-GFCCVEGCSA-N 0 0 427.483 -0.075 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)C1 ZINC000538102631 1073135821 /nfs/dbraw/zinc/13/58/21/1073135821.db2.gz MPBUASQAJWQOPN-LBPRGKRZSA-N 0 0 427.483 -0.075 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C(C)C)C1=O ZINC000538102888 1073134710 /nfs/dbraw/zinc/13/47/10/1073134710.db2.gz PKLHLMGSIFWXDK-GFCCVEGCSA-N 0 0 426.451 -0.343 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N[C@H]1CC(=O)N(C(C)C)C1=O ZINC000538102889 1073134615 /nfs/dbraw/zinc/13/46/15/1073134615.db2.gz PKLHLMGSIFWXDK-LBPRGKRZSA-N 0 0 426.451 -0.343 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)C1 ZINC000538103576 1073137615 /nfs/dbraw/zinc/13/76/15/1073137615.db2.gz ZBXKVOCFRFEBKQ-LLVKDONJSA-N 0 0 434.496 -0.845 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)C1 ZINC000538103578 1073137680 /nfs/dbraw/zinc/13/76/80/1073137680.db2.gz ZBXKVOCFRFEBKQ-NSHDSACASA-N 0 0 434.496 -0.845 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000538104935 1073137598 /nfs/dbraw/zinc/13/75/98/1073137598.db2.gz BJQGBQFMBQJQPO-UHFFFAOYSA-N 0 0 443.478 -0.078 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1NS(C)(=O)=O ZINC000538104995 1073137658 /nfs/dbraw/zinc/13/76/58/1073137658.db2.gz DOEQGVHOOHSERT-CYBMUJFWSA-N 0 0 432.524 -0.155 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1=O ZINC000538105146 1073137604 /nfs/dbraw/zinc/13/76/04/1073137604.db2.gz HLALVXXXJFZCLU-DZGCQCFKSA-N 0 0 444.444 -0.890 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1=O ZINC000538105147 1073137625 /nfs/dbraw/zinc/13/76/25/1073137625.db2.gz HLALVXXXJFZCLU-HIFRSBDPSA-N 0 0 444.444 -0.890 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1=O ZINC000538105148 1073138180 /nfs/dbraw/zinc/13/81/80/1073138180.db2.gz HLALVXXXJFZCLU-UKRRQHHQSA-N 0 0 444.444 -0.890 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1=O ZINC000538105149 1073138145 /nfs/dbraw/zinc/13/81/45/1073138145.db2.gz HLALVXXXJFZCLU-ZFWWWQNUSA-N 0 0 444.444 -0.890 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CCO1 ZINC000538105331 1073138299 /nfs/dbraw/zinc/13/82/99/1073138299.db2.gz MENQKXSRNHYKNJ-GFCCVEGCSA-N 0 0 429.451 -0.204 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CCO1 ZINC000538105346 1073138169 /nfs/dbraw/zinc/13/81/69/1073138169.db2.gz MENQKXSRNHYKNJ-LBPRGKRZSA-N 0 0 429.451 -0.204 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)NCCn1ncnn1 ZINC000538106117 1073138227 /nfs/dbraw/zinc/13/82/27/1073138227.db2.gz JQQINPRKMVCEGV-UHFFFAOYSA-N 0 0 425.471 -0.487 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)c1 ZINC000538106124 1073138294 /nfs/dbraw/zinc/13/82/94/1073138294.db2.gz JCLMRXNWDGZAPM-UHFFFAOYSA-N 0 0 444.477 -0.135 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)C1=O ZINC000538106288 1073138205 /nfs/dbraw/zinc/13/82/05/1073138205.db2.gz VUWXELAGMPIRDV-LLVKDONJSA-N 0 0 443.254 -0.634 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)C1=O ZINC000538106290 1073138289 /nfs/dbraw/zinc/13/82/89/1073138289.db2.gz VUWXELAGMPIRDV-NSHDSACASA-N 0 0 443.254 -0.634 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000538106305 1073138282 /nfs/dbraw/zinc/13/82/82/1073138282.db2.gz KUXUEACOWMIIQY-UHFFFAOYSA-N 0 0 443.478 -0.078 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCc1nc(N)no1 ZINC000538106372 1073355698 /nfs/dbraw/zinc/35/56/98/1073355698.db2.gz LZFWXPFEEKUMCI-UHFFFAOYSA-N 0 0 436.494 -0.070 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cc(Br)c(=O)n(C)c3)CC2=O)cn1 ZINC000538106504 1073138248 /nfs/dbraw/zinc/13/82/48/1073138248.db2.gz XRORIGWZZCHQAA-UHFFFAOYSA-N 0 0 437.254 -0.305 20 0 IBADRN O=C(NCCn1ncnn1)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000538106880 1073138160 /nfs/dbraw/zinc/13/81/60/1073138160.db2.gz RBNXACVLQLFITE-UHFFFAOYSA-N 0 0 433.494 -0.447 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000538107138 1073138220 /nfs/dbraw/zinc/13/82/20/1073138220.db2.gz VJNMDDACNGKZKZ-DZGCQCFKSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000538107140 1073138309 /nfs/dbraw/zinc/13/83/09/1073138309.db2.gz VJNMDDACNGKZKZ-UKRRQHHQSA-N 0 0 436.490 -0.630 20 0 IBADRN O=C(NCCn1ncnn1)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1 ZINC000538107166 1073138201 /nfs/dbraw/zinc/13/82/01/1073138201.db2.gz VDHVULZMWGKVSE-UHFFFAOYSA-N 0 0 427.874 -0.134 20 0 IBADRN Cn1cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc(Br)c1=O ZINC000538107405 1073138235 /nfs/dbraw/zinc/13/82/35/1073138235.db2.gz ZDKNVFMFUNCLHP-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)c1 ZINC000538108561 1073138151 /nfs/dbraw/zinc/13/81/51/1073138151.db2.gz JKOSFDKZQUEDOE-UHFFFAOYSA-N 0 0 426.437 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)C1 ZINC000538109389 1073138804 /nfs/dbraw/zinc/13/88/04/1073138804.db2.gz NHNMHVRGRBCSHZ-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)C1 ZINC000538109390 1073138752 /nfs/dbraw/zinc/13/87/52/1073138752.db2.gz NHNMHVRGRBCSHZ-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCNC(=O)c3cccs3)cnc2n(C)c1=O ZINC000538109507 1073138794 /nfs/dbraw/zinc/13/87/94/1073138794.db2.gz OYKGJDFTZNYYCU-UHFFFAOYSA-N 0 0 430.446 -0.822 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1=O ZINC000538110246 1073138761 /nfs/dbraw/zinc/13/87/61/1073138761.db2.gz IFGGRNDFDVUUIK-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)cn1C ZINC000538110275 1073138748 /nfs/dbraw/zinc/13/87/48/1073138748.db2.gz JDVOMIWMGSGJRI-UHFFFAOYSA-N 0 0 438.462 -0.235 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000538110409 1073138743 /nfs/dbraw/zinc/13/87/43/1073138743.db2.gz NYXJZCGQRWMWFF-AWEZNQCLSA-N 0 0 427.479 -0.362 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NCCc2nc(N)no2)c1 ZINC000538110435 1073138797 /nfs/dbraw/zinc/13/87/97/1073138797.db2.gz FXRULKNPFMLVAH-UHFFFAOYSA-N 0 0 440.482 -0.012 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@H](O)C1 ZINC000538111382 1073138816 /nfs/dbraw/zinc/13/88/16/1073138816.db2.gz QIGDBZBNIIBAIQ-ZDUSSCGKSA-N 0 0 426.495 -0.837 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N2CC[C@H](O)C2)cc1)N1CCN(c2ncccn2)CC1 ZINC000538111385 1073138784 /nfs/dbraw/zinc/13/87/84/1073138784.db2.gz QLLFEWURYIEKET-SFHVURJKSA-N 0 0 438.488 -0.100 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1=O ZINC000538111612 1073138806 /nfs/dbraw/zinc/13/88/06/1073138806.db2.gz IINVNUNNWCCFAV-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN Cc1cnccc1CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000538112373 1073138738 /nfs/dbraw/zinc/13/87/38/1073138738.db2.gz JMMIXMHFRFDXPX-UHFFFAOYSA-N 0 0 445.501 -0.192 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(Cc3nc4ccccc4[nH]3)CC2)CC1 ZINC000538119069 1073137584 /nfs/dbraw/zinc/13/75/84/1073137584.db2.gz SRJDDJMOYWDTCD-UHFFFAOYSA-N 0 0 427.553 -0.087 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1C ZINC000538131350 1073139343 /nfs/dbraw/zinc/13/93/43/1073139343.db2.gz GJJVVQQQVGSYGH-UHFFFAOYSA-N 0 0 441.535 -0.183 20 0 IBADRN CS(=O)(=O)Cc1ccc(Cl)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000538134795 1073138731 /nfs/dbraw/zinc/13/87/31/1073138731.db2.gz KXWJVBLSPCOZOZ-GFCCVEGCSA-N 0 0 435.911 -0.784 20 0 IBADRN CS(=O)(=O)Cc1ccc(Cl)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000538134798 1073138839 /nfs/dbraw/zinc/13/88/39/1073138839.db2.gz KXWJVBLSPCOZOZ-LBPRGKRZSA-N 0 0 435.911 -0.784 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)C)n(C)c2)CC1 ZINC000538135632 1073138801 /nfs/dbraw/zinc/13/88/01/1073138801.db2.gz MLYIYROFSLZNAA-HNNXBMFYSA-N 0 0 443.570 -0.426 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)C)n(C)c2)CC1 ZINC000538135634 1073138789 /nfs/dbraw/zinc/13/87/89/1073138789.db2.gz MLYIYROFSLZNAA-OAHLLOKOSA-N 0 0 443.570 -0.426 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)CC2)C1=O ZINC000538142237 1073139362 /nfs/dbraw/zinc/13/93/62/1073139362.db2.gz ZEHXPFDFFSVXEV-UHFFFAOYSA-N 0 0 442.519 -0.069 20 0 IBADRN C[C@@H](CN1CCOCC1)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000538149002 1073140019 /nfs/dbraw/zinc/14/00/19/1073140019.db2.gz FQXCITWYCHCFCK-HNNXBMFYSA-N 0 0 432.568 -0.639 20 0 IBADRN C[C@H](CN1CCOCC1)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000538149005 1073140042 /nfs/dbraw/zinc/14/00/42/1073140042.db2.gz FQXCITWYCHCFCK-OAHLLOKOSA-N 0 0 432.568 -0.639 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)O1 ZINC000538165276 1073140626 /nfs/dbraw/zinc/14/06/26/1073140626.db2.gz DUKHWYPGXRSCCJ-MSOLQXFVSA-N 0 0 446.504 -0.222 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)O1 ZINC000538165277 1073140668 /nfs/dbraw/zinc/14/06/68/1073140668.db2.gz DUKHWYPGXRSCCJ-QZTJIDSGSA-N 0 0 446.504 -0.222 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)O1 ZINC000538165278 1073140656 /nfs/dbraw/zinc/14/06/56/1073140656.db2.gz DUKHWYPGXRSCCJ-ROUUACIJSA-N 0 0 446.504 -0.222 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)O1 ZINC000538165279 1073140678 /nfs/dbraw/zinc/14/06/78/1073140678.db2.gz DUKHWYPGXRSCCJ-ZWKOTPCHSA-N 0 0 446.504 -0.222 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)O1 ZINC000538165607 1073140128 /nfs/dbraw/zinc/14/01/28/1073140128.db2.gz PYKNZUCNBVWDAD-CVEARBPZSA-N 0 0 438.506 -0.083 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)O1 ZINC000538165608 1073140087 /nfs/dbraw/zinc/14/00/87/1073140087.db2.gz PYKNZUCNBVWDAD-HOTGVXAUSA-N 0 0 438.506 -0.083 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)O1 ZINC000538165609 1073140010 /nfs/dbraw/zinc/14/00/10/1073140010.db2.gz PYKNZUCNBVWDAD-HZPDHXFCSA-N 0 0 438.506 -0.083 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)O1 ZINC000538165610 1073140126 /nfs/dbraw/zinc/14/01/26/1073140126.db2.gz PYKNZUCNBVWDAD-JKSUJKDBSA-N 0 0 438.506 -0.083 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)O1 ZINC000538166512 1073140742 /nfs/dbraw/zinc/14/07/42/1073140742.db2.gz AILRDRLISPXPQM-CVEARBPZSA-N 0 0 438.506 -0.083 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)O1 ZINC000538166513 1073140778 /nfs/dbraw/zinc/14/07/78/1073140778.db2.gz AILRDRLISPXPQM-HOTGVXAUSA-N 0 0 438.506 -0.083 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)O1 ZINC000538166516 1073140710 /nfs/dbraw/zinc/14/07/10/1073140710.db2.gz AILRDRLISPXPQM-HZPDHXFCSA-N 0 0 438.506 -0.083 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)O1 ZINC000538166518 1073140737 /nfs/dbraw/zinc/14/07/37/1073140737.db2.gz AILRDRLISPXPQM-JKSUJKDBSA-N 0 0 438.506 -0.083 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1NS(C)(=O)=O ZINC000538167733 1073141227 /nfs/dbraw/zinc/14/12/27/1073141227.db2.gz GJQFJSPQUFRJNW-DOMZBBRYSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1NS(C)(=O)=O ZINC000538167734 1073141231 /nfs/dbraw/zinc/14/12/31/1073141231.db2.gz GJQFJSPQUFRJNW-IUODEOHRSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1NS(C)(=O)=O ZINC000538167735 1073141241 /nfs/dbraw/zinc/14/12/41/1073141241.db2.gz GJQFJSPQUFRJNW-SWLSCSKDSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1NS(C)(=O)=O ZINC000538167737 1073141219 /nfs/dbraw/zinc/14/12/19/1073141219.db2.gz GJQFJSPQUFRJNW-WFASDCNBSA-N 0 0 442.494 -0.243 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)c1C ZINC000538168556 1073141236 /nfs/dbraw/zinc/14/12/36/1073141236.db2.gz UTQALFBQFCOQIZ-GXTWGEPZSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)c1C ZINC000538168561 1073141247 /nfs/dbraw/zinc/14/12/47/1073141247.db2.gz UTQALFBQFCOQIZ-JSGCOSHPSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)c1C ZINC000538168562 1073141255 /nfs/dbraw/zinc/14/12/55/1073141255.db2.gz UTQALFBQFCOQIZ-OCCSQVGLSA-N 0 0 426.495 -0.406 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)c1C ZINC000538168564 1073141209 /nfs/dbraw/zinc/14/12/09/1073141209.db2.gz UTQALFBQFCOQIZ-TZMCWYRMSA-N 0 0 426.495 -0.406 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1-n1nnnc1C ZINC000538169473 1073141614 /nfs/dbraw/zinc/14/16/14/1073141614.db2.gz RJCJKEWORISJQI-BBRMVZONSA-N 0 0 431.453 -0.330 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1-n1nnnc1C ZINC000538169474 1073141695 /nfs/dbraw/zinc/14/16/95/1073141695.db2.gz RJCJKEWORISJQI-CJNGLKHVSA-N 0 0 431.453 -0.330 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1-n1nnnc1C ZINC000538169475 1073141633 /nfs/dbraw/zinc/14/16/33/1073141633.db2.gz RJCJKEWORISJQI-CZUORRHYSA-N 0 0 431.453 -0.330 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1-n1nnnc1C ZINC000538169476 1073141642 /nfs/dbraw/zinc/14/16/42/1073141642.db2.gz RJCJKEWORISJQI-XJKSGUPXSA-N 0 0 431.453 -0.330 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000538171224 1073141622 /nfs/dbraw/zinc/14/16/22/1073141622.db2.gz KOOJIGAKOLABGP-UHFFFAOYSA-N 0 0 444.496 -0.108 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000538171228 1073141710 /nfs/dbraw/zinc/14/17/10/1073141710.db2.gz KQHJXZPIEPVHRE-GFCCVEGCSA-N 0 0 430.444 -0.449 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000538171229 1073141720 /nfs/dbraw/zinc/14/17/20/1073141720.db2.gz KQHJXZPIEPVHRE-LBPRGKRZSA-N 0 0 430.444 -0.449 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000538171744 1073141715 /nfs/dbraw/zinc/14/17/15/1073141715.db2.gz PXMVVDQJPADOSR-UHFFFAOYSA-N 0 0 444.471 -0.200 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCN(C)S(=O)(=O)c1cccs1 ZINC000538172131 1073141725 /nfs/dbraw/zinc/14/17/25/1073141725.db2.gz UCISUHKUWFHRNT-UHFFFAOYSA-N 0 0 442.523 -0.012 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000538172306 1073141677 /nfs/dbraw/zinc/14/16/77/1073141677.db2.gz DAJHDFKCDKXVJX-UHFFFAOYSA-N 0 0 441.492 -0.045 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000538172388 1073141663 /nfs/dbraw/zinc/14/16/63/1073141663.db2.gz VRUDLKVHOAOGIQ-UHFFFAOYSA-N 0 0 425.446 -0.424 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000538173746 1073141769 /nfs/dbraw/zinc/14/17/69/1073141769.db2.gz IOCBGJJFXLAAQR-GFCCVEGCSA-N 0 0 440.468 -0.335 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000538173747 1073141761 /nfs/dbraw/zinc/14/17/61/1073141761.db2.gz IOCBGJJFXLAAQR-LBPRGKRZSA-N 0 0 440.468 -0.335 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000538176273 1073141685 /nfs/dbraw/zinc/14/16/85/1073141685.db2.gz XLUKNZUCVKXNFL-GFCCVEGCSA-N 0 0 425.446 -0.331 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000538176281 1073142269 /nfs/dbraw/zinc/14/22/69/1073142269.db2.gz XLUKNZUCVKXNFL-LBPRGKRZSA-N 0 0 425.446 -0.331 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000538179591 1073142376 /nfs/dbraw/zinc/14/23/76/1073142376.db2.gz OFPFDPDBRZJQGP-GWCFXTLKSA-N 0 0 425.446 -0.426 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000538179593 1073142385 /nfs/dbraw/zinc/14/23/85/1073142385.db2.gz OFPFDPDBRZJQGP-GXFFZTMASA-N 0 0 425.446 -0.426 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000538179596 1073142390 /nfs/dbraw/zinc/14/23/90/1073142390.db2.gz OFPFDPDBRZJQGP-MFKMUULPSA-N 0 0 425.446 -0.426 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000538179599 1073142275 /nfs/dbraw/zinc/14/22/75/1073142275.db2.gz OFPFDPDBRZJQGP-ZWNOBZJWSA-N 0 0 425.446 -0.426 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000538180476 1073140110 /nfs/dbraw/zinc/14/01/10/1073140110.db2.gz NAAVOYPGHMWHNR-UHFFFAOYSA-N 0 0 440.468 -0.485 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000538180940 1073142368 /nfs/dbraw/zinc/14/23/68/1073142368.db2.gz QIJBJLFEXITWPD-CABCVRRESA-N 0 0 443.526 -0.057 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000538180949 1073142281 /nfs/dbraw/zinc/14/22/81/1073142281.db2.gz QIJBJLFEXITWPD-GJZGRUSLSA-N 0 0 443.526 -0.057 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000538180950 1073142258 /nfs/dbraw/zinc/14/22/58/1073142258.db2.gz QIJBJLFEXITWPD-HUUCEWRRSA-N 0 0 443.526 -0.057 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000538180951 1073142323 /nfs/dbraw/zinc/14/23/23/1073142323.db2.gz QIJBJLFEXITWPD-LSDHHAIUSA-N 0 0 443.526 -0.057 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000538181297 1073140105 /nfs/dbraw/zinc/14/01/05/1073140105.db2.gz USYDAYKWWGKVMG-UHFFFAOYSA-N 0 0 433.513 -0.354 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000538181325 1073140068 /nfs/dbraw/zinc/14/00/68/1073140068.db2.gz CYSDFBXSGAAKBS-LLVKDONJSA-N 0 0 433.421 -0.226 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000538181338 1073140098 /nfs/dbraw/zinc/14/00/98/1073140098.db2.gz CYSDFBXSGAAKBS-NSHDSACASA-N 0 0 433.421 -0.226 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000538182595 1074364159 /nfs/dbraw/zinc/36/41/59/1074364159.db2.gz MFYDVYOENQIQMA-UHFFFAOYSA-N 0 0 446.468 -0.431 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000538182686 1073140080 /nfs/dbraw/zinc/14/00/80/1073140080.db2.gz AEHZJZVZURNVAT-UHFFFAOYSA-N 0 0 449.493 -0.582 20 0 IBADRN O=C(CN1CCCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1)N1CCCC1 ZINC000538183216 1073140721 /nfs/dbraw/zinc/14/07/21/1073140721.db2.gz FPCZHJQXRHEERD-UHFFFAOYSA-N 0 0 444.471 -0.103 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000538183885 1073139994 /nfs/dbraw/zinc/13/99/94/1073139994.db2.gz JRQNOMYIGFSAIH-INIZCTEOSA-N 0 0 441.492 -0.140 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000538183897 1073140770 /nfs/dbraw/zinc/14/07/70/1073140770.db2.gz JRQNOMYIGFSAIH-MRXNPFEDSA-N 0 0 441.492 -0.140 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)cc1 ZINC000538184243 1073142327 /nfs/dbraw/zinc/14/23/27/1073142327.db2.gz MGCRKNWFPYCFMS-UHFFFAOYSA-N 0 0 436.494 -0.107 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000538184655 1073140604 /nfs/dbraw/zinc/14/06/04/1073140604.db2.gz OLVVGFSMUOYZHB-UHFFFAOYSA-N 0 0 425.446 -0.330 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)O1 ZINC000538185030 1073140714 /nfs/dbraw/zinc/14/07/14/1073140714.db2.gz RGRQRLNONWCXDS-CABCVRRESA-N 0 0 429.499 -0.533 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)O1 ZINC000538185035 1073140765 /nfs/dbraw/zinc/14/07/65/1073140765.db2.gz RGRQRLNONWCXDS-GJZGRUSLSA-N 0 0 429.499 -0.533 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)O1 ZINC000538185038 1073140761 /nfs/dbraw/zinc/14/07/61/1073140761.db2.gz RGRQRLNONWCXDS-HUUCEWRRSA-N 0 0 429.499 -0.533 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)O1 ZINC000538185040 1073140733 /nfs/dbraw/zinc/14/07/33/1073140733.db2.gz RGRQRLNONWCXDS-LSDHHAIUSA-N 0 0 429.499 -0.533 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)nc1 ZINC000538185717 1073142311 /nfs/dbraw/zinc/14/23/11/1073142311.db2.gz VTUAWSFPAZTWAB-UHFFFAOYSA-N 0 0 439.411 -0.417 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NCC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000538199901 1073142815 /nfs/dbraw/zinc/14/28/15/1073142815.db2.gz KWILJUHDDXXHDD-GDBMZVCRSA-N 0 0 447.579 -0.093 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NCC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000538199902 1073142693 /nfs/dbraw/zinc/14/26/93/1073142693.db2.gz KWILJUHDDXXHDD-GOEBONIOSA-N 0 0 447.579 -0.093 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NCC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000538199903 1073142835 /nfs/dbraw/zinc/14/28/35/1073142835.db2.gz KWILJUHDDXXHDD-HOCLYGCPSA-N 0 0 447.579 -0.093 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NCC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000538199904 1073142767 /nfs/dbraw/zinc/14/27/67/1073142767.db2.gz KWILJUHDDXXHDD-ZBFHGGJFSA-N 0 0 447.579 -0.093 20 0 IBADRN C[C@@H](N[C@@H](C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000538199939 1073142825 /nfs/dbraw/zinc/14/28/25/1073142825.db2.gz MLHFXOUJZWMPQZ-NEPJUHHUSA-N 0 0 437.522 -0.162 20 0 IBADRN C[C@@H](N[C@@H](C)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000538199940 1073142798 /nfs/dbraw/zinc/14/27/98/1073142798.db2.gz MLHFXOUJZWMPQZ-NWDGAFQWSA-N 0 0 437.522 -0.162 20 0 IBADRN C[C@H](N[C@@H](C)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000538199941 1073142733 /nfs/dbraw/zinc/14/27/33/1073142733.db2.gz MLHFXOUJZWMPQZ-RYUDHWBXSA-N 0 0 437.522 -0.162 20 0 IBADRN C[C@@H](N[C@H](C)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000538199942 1073142660 /nfs/dbraw/zinc/14/26/60/1073142660.db2.gz MLHFXOUJZWMPQZ-VXGBXAGGSA-N 0 0 437.522 -0.162 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)CC2)C1=O ZINC000538209592 1073143424 /nfs/dbraw/zinc/14/34/24/1073143424.db2.gz LRXRNRNLFVMIHV-UHFFFAOYSA-N 0 0 443.508 -0.154 20 0 IBADRN COCCN(CCO)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000538228279 1073143500 /nfs/dbraw/zinc/14/35/00/1073143500.db2.gz RUGPTJUVWGTHRJ-UHFFFAOYSA-N 0 0 445.513 -0.094 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)c1 ZINC000538228739 1073143354 /nfs/dbraw/zinc/14/33/54/1073143354.db2.gz BPNCKQTWMBVBEB-GDBMZVCRSA-N 0 0 442.538 -0.369 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)c1 ZINC000538228743 1073143550 /nfs/dbraw/zinc/14/35/50/1073143550.db2.gz BPNCKQTWMBVBEB-GOEBONIOSA-N 0 0 442.538 -0.369 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)c1 ZINC000538228744 1073143472 /nfs/dbraw/zinc/14/34/72/1073143472.db2.gz BPNCKQTWMBVBEB-HOCLYGCPSA-N 0 0 442.538 -0.369 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)c1 ZINC000538228745 1073143415 /nfs/dbraw/zinc/14/34/15/1073143415.db2.gz BPNCKQTWMBVBEB-ZBFHGGJFSA-N 0 0 442.538 -0.369 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)O1 ZINC000538229510 1073143390 /nfs/dbraw/zinc/14/33/90/1073143390.db2.gz NRUPKQRFKCVBKK-GDBMZVCRSA-N 0 0 438.506 -0.827 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)O1 ZINC000538229513 1073143523 /nfs/dbraw/zinc/14/35/23/1073143523.db2.gz NRUPKQRFKCVBKK-GOEBONIOSA-N 0 0 438.506 -0.827 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)O1 ZINC000538229514 1073143972 /nfs/dbraw/zinc/14/39/72/1073143972.db2.gz NRUPKQRFKCVBKK-HOCLYGCPSA-N 0 0 438.506 -0.827 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)O1 ZINC000538229516 1073143788 /nfs/dbraw/zinc/14/37/88/1073143788.db2.gz NRUPKQRFKCVBKK-ZBFHGGJFSA-N 0 0 438.506 -0.827 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)O1 ZINC000538234207 1073143797 /nfs/dbraw/zinc/14/37/97/1073143797.db2.gz FWQIBOGTFXICJW-KCXAZCMYSA-N 0 0 430.461 -0.331 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)O1 ZINC000538234208 1073143782 /nfs/dbraw/zinc/14/37/82/1073143782.db2.gz FWQIBOGTFXICJW-MAZHCROVSA-N 0 0 430.461 -0.331 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)O1 ZINC000538234209 1073143774 /nfs/dbraw/zinc/14/37/74/1073143774.db2.gz FWQIBOGTFXICJW-RCBQFDQVSA-N 0 0 430.461 -0.331 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)O1 ZINC000538234210 1073143938 /nfs/dbraw/zinc/14/39/38/1073143938.db2.gz FWQIBOGTFXICJW-WQVCFCJDSA-N 0 0 430.461 -0.331 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000538235989 1073143804 /nfs/dbraw/zinc/14/38/04/1073143804.db2.gz BLPJJBMAWFPWJT-UHFFFAOYSA-N 0 0 438.506 -0.134 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1)N1CCOCC1 ZINC000538236600 1073143865 /nfs/dbraw/zinc/14/38/65/1073143865.db2.gz UAGPZYAAHUCXIB-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccc2)CC1)N1CCOCC1 ZINC000538236619 1073143930 /nfs/dbraw/zinc/14/39/30/1073143930.db2.gz VQCNABROSHMOGN-UHFFFAOYSA-N 0 0 440.504 -0.127 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cc(C(F)(F)F)c[nH]c2=O)CC1)N1CCOCC1 ZINC000538239335 1073143534 /nfs/dbraw/zinc/14/35/34/1073143534.db2.gz SQNBKJFHUAVNGE-UHFFFAOYSA-N 0 0 445.398 -0.253 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)c1cccc(C(=O)NCCCNS(C)(=O)=O)c1 ZINC000538249695 1073144508 /nfs/dbraw/zinc/14/45/08/1073144508.db2.gz XMANAWOWMXHLJD-UHFFFAOYSA-N 0 0 434.540 -0.975 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000538253583 1073144403 /nfs/dbraw/zinc/14/44/03/1073144403.db2.gz CFHXVRAZHGDPET-JQWIXIFHSA-N 0 0 430.449 -0.162 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000538253586 1073144568 /nfs/dbraw/zinc/14/45/68/1073144568.db2.gz CFHXVRAZHGDPET-PWSUYJOCSA-N 0 0 430.449 -0.162 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N(CCC(F)(F)F)C[C@H](O)CO ZINC000538258421 1073144480 /nfs/dbraw/zinc/14/44/80/1073144480.db2.gz XZHXNIYMYHCWOQ-GJZGRUSLSA-N 0 0 425.448 -0.166 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N(CCC(F)(F)F)C[C@H](O)CO ZINC000538258422 1073144563 /nfs/dbraw/zinc/14/45/63/1073144563.db2.gz XZHXNIYMYHCWOQ-LSDHHAIUSA-N 0 0 425.448 -0.166 20 0 IBADRN CCN1CCOC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000538261756 1073143909 /nfs/dbraw/zinc/14/39/09/1073143909.db2.gz XSRPFZXEGOJGIJ-INIZCTEOSA-N 0 0 438.506 -0.429 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000538261759 1073143839 /nfs/dbraw/zinc/14/38/39/1073143839.db2.gz XSRPFZXEGOJGIJ-MRXNPFEDSA-N 0 0 438.506 -0.429 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)c2cccc(C(=O)N3CC(OCC(=O)N(C)C)C3)c2)C1 ZINC000538264020 1073144528 /nfs/dbraw/zinc/14/45/28/1073144528.db2.gz NXRISQCZYFXOEC-UHFFFAOYSA-N 0 0 446.504 -0.455 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCN(CCCO)CC2)cc1)N1CCOCC1 ZINC000538264023 1073144441 /nfs/dbraw/zinc/14/44/41/1073144441.db2.gz OBZDOWZEBZAJKY-UHFFFAOYSA-N 0 0 433.552 -0.604 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(O)(CN3CCOCC3)CC2)cc1 ZINC000538265423 1073144572 /nfs/dbraw/zinc/14/45/72/1073144572.db2.gz HMNAUUCQGZJYQE-UHFFFAOYSA-N 0 0 433.552 -0.558 20 0 IBADRN O=S(=O)(c1ccc2c(c1)S(=O)(=O)CC2)N1CCC(O)(CN2CCOCC2)CC1 ZINC000538265667 1073144419 /nfs/dbraw/zinc/14/44/19/1073144419.db2.gz KGZWQOUCUARLHX-UHFFFAOYSA-N 0 0 430.548 -0.136 20 0 IBADRN Cc1sc2ncc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c(=O)n2c1C ZINC000538266187 1073144536 /nfs/dbraw/zinc/14/45/36/1073144536.db2.gz VYOFVUPFFWEZQE-UHFFFAOYSA-N 0 0 433.490 -0.484 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC(O)(CN3CCOCC3)CC2)cc1 ZINC000538266512 1073145081 /nfs/dbraw/zinc/14/50/81/1073145081.db2.gz TZNWUHJQJYEEDQ-UHFFFAOYSA-N 0 0 447.579 -0.215 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000538270831 1073145641 /nfs/dbraw/zinc/14/56/41/1073145641.db2.gz DWLROOHWXSAIPT-AWEZNQCLSA-N 0 0 446.551 -0.647 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000538270837 1073145592 /nfs/dbraw/zinc/14/55/92/1073145592.db2.gz DWLROOHWXSAIPT-CQSZACIVSA-N 0 0 446.551 -0.647 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)O1 ZINC000538270964 1073144973 /nfs/dbraw/zinc/14/49/73/1073144973.db2.gz ICWDHYYMVXPNOF-BBRMVZONSA-N 0 0 441.506 -0.122 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)O1 ZINC000538270966 1073145053 /nfs/dbraw/zinc/14/50/53/1073145053.db2.gz ICWDHYYMVXPNOF-CJNGLKHVSA-N 0 0 441.506 -0.122 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)O1 ZINC000538270967 1073145184 /nfs/dbraw/zinc/14/51/84/1073145184.db2.gz ICWDHYYMVXPNOF-CZUORRHYSA-N 0 0 441.506 -0.122 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)O1 ZINC000538270968 1073145164 /nfs/dbraw/zinc/14/51/64/1073145164.db2.gz ICWDHYYMVXPNOF-XJKSGUPXSA-N 0 0 441.506 -0.122 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1F ZINC000538271117 1073145129 /nfs/dbraw/zinc/14/51/29/1073145129.db2.gz KKGDDBOBIDAANP-JTQLQIEISA-N 0 0 429.517 -0.577 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1F ZINC000538271120 1073145170 /nfs/dbraw/zinc/14/51/70/1073145170.db2.gz KKGDDBOBIDAANP-SNVBAGLBSA-N 0 0 429.517 -0.577 20 0 IBADRN O=C(CO)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)CC1 ZINC000538272237 1073145460 /nfs/dbraw/zinc/14/54/60/1073145460.db2.gz DOYXVIVXRDKBHM-UHFFFAOYSA-N 0 0 445.441 -0.648 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCSC[C@@H]2C(N)=O)s1 ZINC000538272774 1073145603 /nfs/dbraw/zinc/14/56/03/1073145603.db2.gz NRHFFJNJBCWCDR-LLVKDONJSA-N 0 0 427.595 -0.179 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCSC[C@H]2C(N)=O)s1 ZINC000538272775 1073145425 /nfs/dbraw/zinc/14/54/25/1073145425.db2.gz NRHFFJNJBCWCDR-NSHDSACASA-N 0 0 427.595 -0.179 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)s1 ZINC000538272892 1073145416 /nfs/dbraw/zinc/14/54/16/1073145416.db2.gz URFOSEHIXOWXCL-LLVKDONJSA-N 0 0 445.610 -0.329 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)s1 ZINC000538272893 1073145648 /nfs/dbraw/zinc/14/56/48/1073145648.db2.gz URFOSEHIXOWXCL-NSHDSACASA-N 0 0 445.610 -0.329 20 0 IBADRN CC(C)Oc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000538272945 1073145510 /nfs/dbraw/zinc/14/55/10/1073145510.db2.gz XNGQECDOVDWGNY-UHFFFAOYSA-N 0 0 436.494 -0.152 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)[C@@H](C)C1 ZINC000538276277 1073145628 /nfs/dbraw/zinc/14/56/28/1073145628.db2.gz FJQJZBGRPNFUHV-KBPBESRZSA-N 0 0 440.522 -0.452 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)[C@H](C)C1 ZINC000538276284 1073145533 /nfs/dbraw/zinc/14/55/33/1073145533.db2.gz FJQJZBGRPNFUHV-KGLIPLIRSA-N 0 0 440.522 -0.452 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)[C@@H](C)C1 ZINC000538276287 1073145653 /nfs/dbraw/zinc/14/56/53/1073145653.db2.gz FJQJZBGRPNFUHV-UONOGXRCSA-N 0 0 440.522 -0.452 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)[C@H](C)C1 ZINC000538276291 1073145480 /nfs/dbraw/zinc/14/54/80/1073145480.db2.gz FJQJZBGRPNFUHV-ZIAGYGMSSA-N 0 0 440.522 -0.452 20 0 IBADRN COC(=O)CCN1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)[C@H](C)C1 ZINC000538276908 1073145566 /nfs/dbraw/zinc/14/55/66/1073145566.db2.gz USUJWVNUGKOJBC-GFCCVEGCSA-N 0 0 440.478 -0.662 20 0 IBADRN COC(=O)CCN1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)[C@@H](C)C1 ZINC000538276911 1073146079 /nfs/dbraw/zinc/14/60/79/1073146079.db2.gz USUJWVNUGKOJBC-LBPRGKRZSA-N 0 0 440.478 -0.662 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c(F)c1 ZINC000538279915 1073146063 /nfs/dbraw/zinc/14/60/63/1073146063.db2.gz NQJUSTJXXWEOHE-JTQLQIEISA-N 0 0 444.532 -0.609 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c(F)c1 ZINC000538279923 1073146104 /nfs/dbraw/zinc/14/61/04/1073146104.db2.gz NQJUSTJXXWEOHE-SNVBAGLBSA-N 0 0 444.532 -0.609 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000538280321 1073146077 /nfs/dbraw/zinc/14/60/77/1073146077.db2.gz ROKPDPXUFLGOPF-CYBMUJFWSA-N 0 0 437.565 -0.087 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000538280331 1073146006 /nfs/dbraw/zinc/14/60/06/1073146006.db2.gz ROKPDPXUFLGOPF-ZDUSSCGKSA-N 0 0 437.565 -0.087 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC000538281911 1073146073 /nfs/dbraw/zinc/14/60/73/1073146073.db2.gz GFKYTLUMJXUJFM-SECBINFHSA-N 0 0 432.446 -0.371 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC000538281913 1073146013 /nfs/dbraw/zinc/14/60/13/1073146013.db2.gz GFKYTLUMJXUJFM-VIFPVBQESA-N 0 0 432.446 -0.371 20 0 IBADRN COCC[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)C(N)=O ZINC000538281957 1073145992 /nfs/dbraw/zinc/14/59/92/1073145992.db2.gz ASQYNWACXNYYBU-LLVKDONJSA-N 0 0 433.430 -0.304 20 0 IBADRN COCC[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)C(N)=O ZINC000538281959 1073146037 /nfs/dbraw/zinc/14/60/37/1073146037.db2.gz ASQYNWACXNYYBU-NSHDSACASA-N 0 0 433.430 -0.304 20 0 IBADRN CN(C(=O)CCNS(C)(=O)=O)[C@H]1CCCC[C@@H]1N(C)C(=O)CCNS(C)(=O)=O ZINC000538283695 1073146593 /nfs/dbraw/zinc/14/65/93/1073146593.db2.gz RXXNDURXCPUKLB-KBPBESRZSA-N 0 0 440.588 -0.907 20 0 IBADRN CN(C(=O)CCNS(C)(=O)=O)[C@@H]1CCCC[C@@H]1N(C)C(=O)CCNS(C)(=O)=O ZINC000538283850 1073146496 /nfs/dbraw/zinc/14/64/96/1073146496.db2.gz RXXNDURXCPUKLB-OKILXGFUSA-N 0 0 440.588 -0.907 20 0 IBADRN CN(C(=O)CCNS(C)(=O)=O)[C@@H]1CCCC[C@H]1N(C)C(=O)CCNS(C)(=O)=O ZINC000538283851 1073146581 /nfs/dbraw/zinc/14/65/81/1073146581.db2.gz RXXNDURXCPUKLB-ZIAGYGMSSA-N 0 0 440.588 -0.907 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)cc1C(=O)NCC(F)(F)F ZINC000538284596 1073145058 /nfs/dbraw/zinc/14/50/58/1073145058.db2.gz YNSMUZAQAWFKHR-UHFFFAOYSA-N 0 0 446.473 -0.027 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000538284931 1073145067 /nfs/dbraw/zinc/14/50/67/1073145067.db2.gz LRCMSZMEPIBREU-UHFFFAOYSA-N 0 0 434.462 -0.075 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCN2CCC[C@@H]2C(N)=O)cc1C(=O)NCC(F)(F)F ZINC000538285117 1073145012 /nfs/dbraw/zinc/14/50/12/1073145012.db2.gz RPSSUAYQUCUXQI-GFCCVEGCSA-N 0 0 439.460 -0.065 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCN2CCC[C@H]2C(N)=O)cc1C(=O)NCC(F)(F)F ZINC000538285118 1073144988 /nfs/dbraw/zinc/14/49/88/1073144988.db2.gz RPSSUAYQUCUXQI-LBPRGKRZSA-N 0 0 439.460 -0.065 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000538286359 1073145148 /nfs/dbraw/zinc/14/51/48/1073145148.db2.gz DBPLYCPJRHNWPT-GFCCVEGCSA-N 0 0 442.460 -0.369 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000538286361 1073145157 /nfs/dbraw/zinc/14/51/57/1073145157.db2.gz DBPLYCPJRHNWPT-LBPRGKRZSA-N 0 0 442.460 -0.369 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCN2C(=O)CNC2=O)cc1C(=O)NCC(F)(F)F ZINC000538286385 1073145117 /nfs/dbraw/zinc/14/51/17/1073145117.db2.gz DRGJTPOKSAZTBO-UHFFFAOYSA-N 0 0 425.389 -0.463 20 0 IBADRN CN(C)C(=O)COC1CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)C1 ZINC000538287767 1073145176 /nfs/dbraw/zinc/14/51/76/1073145176.db2.gz PERHHUCVMDQISR-UHFFFAOYSA-N 0 0 426.417 -0.205 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Cc2csc(NC(=O)c3cccc(O)c3)n2)CC1 ZINC000538292265 1073146575 /nfs/dbraw/zinc/14/65/75/1073146575.db2.gz JTPPSBBUOLVCHC-UHFFFAOYSA-N 0 0 425.492 -0.009 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccnc(N(C)C)n3)CC2)C[C@H](C)O1 ZINC000538305941 1073146922 /nfs/dbraw/zinc/14/69/22/1073146922.db2.gz VSXQYUYDDPZNSN-GASCZTMLSA-N 0 0 441.558 -0.276 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccnc(N(C)C)n3)CC2)C[C@H](C)O1 ZINC000538305944 1073147024 /nfs/dbraw/zinc/14/70/24/1073147024.db2.gz VSXQYUYDDPZNSN-GJZGRUSLSA-N 0 0 441.558 -0.276 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3ccnc(N(C)C)n3)CC2)C[C@@H](C)O1 ZINC000538305947 1073146937 /nfs/dbraw/zinc/14/69/37/1073146937.db2.gz VSXQYUYDDPZNSN-HUUCEWRRSA-N 0 0 441.558 -0.276 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC(CCO)C1)c(=O)n2Cc1ccccc1 ZINC000538317325 1073147042 /nfs/dbraw/zinc/14/70/42/1073147042.db2.gz BASRDLHLNZWBKN-UHFFFAOYSA-N 0 0 441.488 -0.105 20 0 IBADRN CN(CC(=O)N1CCOCC1)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000538319090 1073146948 /nfs/dbraw/zinc/14/69/48/1073146948.db2.gz AVVIULVPKBOKDU-UHFFFAOYSA-N 0 0 426.417 -0.204 20 0 IBADRN C[C@H](NS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1)C(=O)N1CCOCC1 ZINC000538319687 1073146984 /nfs/dbraw/zinc/14/69/84/1073146984.db2.gz QGOVVXWGTIJRGX-JTQLQIEISA-N 0 0 426.417 -0.157 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1)C(=O)N1CCOCC1 ZINC000538319688 1073146915 /nfs/dbraw/zinc/14/69/15/1073146915.db2.gz QGOVVXWGTIJRGX-SNVBAGLBSA-N 0 0 426.417 -0.157 20 0 IBADRN CC(C)(C)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C(C)(C)C1 ZINC000538332703 1073147032 /nfs/dbraw/zinc/14/70/32/1073147032.db2.gz NDLZSIKQRGSKLH-UHFFFAOYSA-N 0 0 427.527 -0.113 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)NCCS(N)(=O)=O)cc2)C1 ZINC000538338953 1073146943 /nfs/dbraw/zinc/14/69/43/1073146943.db2.gz KXUBWPKIJFOUJY-GFCCVEGCSA-N 0 0 448.523 -0.330 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)NCCS(N)(=O)=O)cc2)C1 ZINC000538338954 1073147580 /nfs/dbraw/zinc/14/75/80/1073147580.db2.gz KXUBWPKIJFOUJY-LBPRGKRZSA-N 0 0 448.523 -0.330 20 0 IBADRN COCC[C@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(N)=O ZINC000538342782 1073146928 /nfs/dbraw/zinc/14/69/28/1073146928.db2.gz RNGAEOHPWWQLHU-HNNXBMFYSA-N 0 0 441.506 -0.135 20 0 IBADRN COCC[C@@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(N)=O ZINC000538342783 1073146996 /nfs/dbraw/zinc/14/69/96/1073146996.db2.gz RNGAEOHPWWQLHU-OAHLLOKOSA-N 0 0 441.506 -0.135 20 0 IBADRN C[C@@H](NC(=O)C[C@H](O)CC(=O)N[C@@H](C)[C@@H](C)N1CCOCC1)[C@H](C)N1CCOCC1 ZINC000538346418 1073147623 /nfs/dbraw/zinc/14/76/23/1073147623.db2.gz PWCHTSLVAFZYAA-FFHOCLHESA-N 0 0 428.574 -0.422 20 0 IBADRN C[C@@H](NC(=O)C[C@H](O)CC(=O)N[C@@H](C)[C@H](C)N1CCOCC1)[C@@H](C)N1CCOCC1 ZINC000538346419 1073147665 /nfs/dbraw/zinc/14/76/65/1073147665.db2.gz PWCHTSLVAFZYAA-QZKQXFQVSA-N 0 0 428.574 -0.422 20 0 IBADRN C[C@@H](NC(=O)C[C@H](O)CC(=O)N[C@@H](C)[C@H](C)N1CCOCC1)[C@H](C)N1CCOCC1 ZINC000538346420 1073147635 /nfs/dbraw/zinc/14/76/35/1073147635.db2.gz PWCHTSLVAFZYAA-XCDZQEORSA-N 0 0 428.574 -0.422 20 0 IBADRN C[C@@H](NC(=O)C[C@H](O)CC(=O)N[C@@H](C)[C@@H](C)N1CCOCC1)[C@@H](C)N1CCOCC1 ZINC000538346421 1073147691 /nfs/dbraw/zinc/14/76/91/1073147691.db2.gz PWCHTSLVAFZYAA-ZRSRNVLSSA-N 0 0 428.574 -0.422 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)c1 ZINC000538365389 1073148189 /nfs/dbraw/zinc/14/81/89/1073148189.db2.gz AWDOFHRJUAHEBM-UHFFFAOYSA-N 0 0 442.505 -0.118 20 0 IBADRN CN1CCN(C(=O)c2cc(NS(=O)(=O)c3ccc(-n4cnnn4)cc3)ccn2)CC1 ZINC000538367037 1073148083 /nfs/dbraw/zinc/14/80/83/1073148083.db2.gz WONUJOYBJJINRZ-UHFFFAOYSA-N 0 0 428.478 -0.332 20 0 IBADRN Cn1c2ccc(S(=O)(=O)Nc3ccnc(C(=O)N4CCN(C)CC4)c3)cc2n(C)c1=O ZINC000538373144 1073148481 /nfs/dbraw/zinc/14/84/81/1073148481.db2.gz OFHVXJUMGZYQJE-UHFFFAOYSA-N 0 0 444.517 -0.118 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)nn1-c1ccc(C)cc1 ZINC000538375417 1073323870 /nfs/dbraw/zinc/32/38/70/1073323870.db2.gz HRFWRYKCFDMLOT-UHFFFAOYSA-N 0 0 434.522 -0.140 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000538375679 1073148659 /nfs/dbraw/zinc/14/86/59/1073148659.db2.gz NAGMXUBQOYCEMC-KRWDZBQOSA-N 0 0 432.525 -0.677 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000538375680 1073148687 /nfs/dbraw/zinc/14/86/87/1073148687.db2.gz NAGMXUBQOYCEMC-QGZVFWFLSA-N 0 0 432.525 -0.677 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC[C@@](O)(C(F)(F)F)C3)ccn2)CC1 ZINC000538376825 1073148564 /nfs/dbraw/zinc/14/85/64/1073148564.db2.gz QXLSKSHDPJBQSJ-KRWDZBQOSA-N 0 0 429.399 -0.067 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC[C@](O)(C(F)(F)F)C3)ccn2)CC1 ZINC000538376826 1073148713 /nfs/dbraw/zinc/14/87/13/1073148713.db2.gz QXLSKSHDPJBQSJ-QGZVFWFLSA-N 0 0 429.399 -0.067 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NCC(=O)NC3CCCCC3)ccn2)CC1 ZINC000538376937 1073148636 /nfs/dbraw/zinc/14/86/36/1073148636.db2.gz CTFVGOWBSBTCNG-UHFFFAOYSA-N 0 0 430.509 -0.027 20 0 IBADRN COCC[N@@H+]1CCC[C@H]1CNC(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000538379313 1073148597 /nfs/dbraw/zinc/14/85/97/1073148597.db2.gz CHPBZZVXQWQUKI-KRWDZBQOSA-N 0 0 432.525 -0.365 20 0 IBADRN COCC[N@@H+]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1 ZINC000538379315 1073148691 /nfs/dbraw/zinc/14/86/91/1073148691.db2.gz CHPBZZVXQWQUKI-QGZVFWFLSA-N 0 0 432.525 -0.365 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCO1 ZINC000538379880 1073147992 /nfs/dbraw/zinc/14/79/92/1073147992.db2.gz FFAHBGUZMIUUEG-HNNXBMFYSA-N 0 0 433.465 -0.802 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCO1 ZINC000538379884 1073147923 /nfs/dbraw/zinc/14/79/23/1073147923.db2.gz FFAHBGUZMIUUEG-OAHLLOKOSA-N 0 0 433.465 -0.802 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@@H]1C ZINC000538380785 1073148116 /nfs/dbraw/zinc/14/81/16/1073148116.db2.gz QRFXGKHRIGSEGJ-INIZCTEOSA-N 0 0 432.525 -0.413 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@H]1C ZINC000538380786 1073148182 /nfs/dbraw/zinc/14/81/82/1073148182.db2.gz QRFXGKHRIGSEGJ-MRXNPFEDSA-N 0 0 432.525 -0.413 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C1=O ZINC000538381098 1073148028 /nfs/dbraw/zinc/14/80/28/1073148028.db2.gz YGSXWMXNSLDACZ-HNNXBMFYSA-N 0 0 430.465 -0.940 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C1=O ZINC000538381099 1073148130 /nfs/dbraw/zinc/14/81/30/1073148130.db2.gz YGSXWMXNSLDACZ-OAHLLOKOSA-N 0 0 430.465 -0.940 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NCCN3CCOC(C)(C)C3)ccn2)CC1 ZINC000538382059 1073147946 /nfs/dbraw/zinc/14/79/46/1073147946.db2.gz IRVGGFKCWYXFSE-UHFFFAOYSA-N 0 0 432.525 -0.365 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCN(c4ncccn4)CC3)ccn2)CC1 ZINC000538384236 1073147967 /nfs/dbraw/zinc/14/79/67/1073147967.db2.gz SLIWEAAEVDBIQT-UHFFFAOYSA-N 0 0 438.492 -0.454 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc21 ZINC000538387166 1073149168 /nfs/dbraw/zinc/14/91/68/1073149168.db2.gz NQRNDMRLIUDXBV-UHFFFAOYSA-N 0 0 429.477 -0.853 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)CC(O)CC(=O)N2CCN(c3nccn3C)CC2)CC1 ZINC000538387513 1073149625 /nfs/dbraw/zinc/14/96/25/1073149625.db2.gz PDGGKUFFURNSNP-UHFFFAOYSA-N 0 0 444.540 -0.708 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cccc1N(C)C ZINC000538387524 1073149639 /nfs/dbraw/zinc/14/96/39/1073149639.db2.gz ONFILISZQFDBDN-UHFFFAOYSA-N 0 0 439.538 -0.279 20 0 IBADRN COc1ccc(NC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1S(=O)(=O)N1CCCC1 ZINC000538395308 1073149551 /nfs/dbraw/zinc/14/95/51/1073149551.db2.gz JREPWJSNAYRWFW-UHFFFAOYSA-N 0 0 443.507 -0.038 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(S(N)(=O)=O)cc2)c1 ZINC000538395854 1073149618 /nfs/dbraw/zinc/14/96/18/1073149618.db2.gz FPDWFMUQQOXOAO-UHFFFAOYSA-N 0 0 426.476 -0.389 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)c2ccc(S(N)(=O)=O)cn2)CC1 ZINC000538398152 1073149453 /nfs/dbraw/zinc/14/94/53/1073149453.db2.gz BIXMZNFDTSNCFN-UHFFFAOYSA-N 0 0 443.507 -0.129 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000538398535 1073148647 /nfs/dbraw/zinc/14/86/47/1073148647.db2.gz NBVZFVQXXQVAJD-UHFFFAOYSA-N 0 0 441.535 -0.192 20 0 IBADRN Nc1nc(CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)nc2ccccc12 ZINC000538399050 1073361651 /nfs/dbraw/zinc/36/16/51/1073361651.db2.gz BYQBPWIIDKLZMP-UHFFFAOYSA-N 0 0 430.494 -0.600 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)c1 ZINC000538399377 1073149050 /nfs/dbraw/zinc/14/90/50/1073149050.db2.gz UQSPIXXOUJZUAW-UHFFFAOYSA-N 0 0 447.926 -0.283 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000538399437 1073149196 /nfs/dbraw/zinc/14/91/96/1073149196.db2.gz BQHUNDJTWGUICP-UHFFFAOYSA-N 0 0 438.550 -0.320 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000538399508 1073149147 /nfs/dbraw/zinc/14/91/47/1073149147.db2.gz INLMGKLQGPNQBI-UHFFFAOYSA-N 0 0 443.507 -0.928 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CC1 ZINC000538399610 1073148628 /nfs/dbraw/zinc/14/86/28/1073148628.db2.gz RRWVSRUNILPOFE-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c1C ZINC000538399612 1073149105 /nfs/dbraw/zinc/14/91/05/1073149105.db2.gz RVPBIFABPZYUDM-UHFFFAOYSA-N 0 0 434.522 -0.070 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000538399678 1073148707 /nfs/dbraw/zinc/14/87/07/1073148707.db2.gz ZDEINRGGWZAHOF-UHFFFAOYSA-N 0 0 426.539 -0.418 20 0 IBADRN C[C@@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000538399774 1073148509 /nfs/dbraw/zinc/14/85/09/1073148509.db2.gz JATYNKFDDBVHRJ-GFCCVEGCSA-N 0 0 434.478 -0.247 20 0 IBADRN C[C@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000538399775 1073148528 /nfs/dbraw/zinc/14/85/28/1073148528.db2.gz JATYNKFDDBVHRJ-LBPRGKRZSA-N 0 0 434.478 -0.247 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCNC(=O)c2ccc(Br)cc2)c1 ZINC000538400739 1073149154 /nfs/dbraw/zinc/14/91/54/1073149154.db2.gz FZRUFZGKIQGSFP-UHFFFAOYSA-N 0 0 430.284 -0.161 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000538400844 1073149063 /nfs/dbraw/zinc/14/90/63/1073149063.db2.gz IGNWMQHNEDHVKZ-UHFFFAOYSA-N 0 0 438.487 -0.293 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000538400927 1073149143 /nfs/dbraw/zinc/14/91/43/1073149143.db2.gz RFLIFCYLLYLBMU-HNNXBMFYSA-N 0 0 433.552 -0.157 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000538400928 1073149165 /nfs/dbraw/zinc/14/91/65/1073149165.db2.gz RFLIFCYLLYLBMU-OAHLLOKOSA-N 0 0 433.552 -0.157 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)c1 ZINC000538401105 1073149122 /nfs/dbraw/zinc/14/91/22/1073149122.db2.gz YMFCIPJAJFHQHD-UHFFFAOYSA-N 0 0 432.506 -0.736 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)c3ccc(S(N)(=O)=O)nc3)CC2)cc1 ZINC000538401331 1073149181 /nfs/dbraw/zinc/14/91/81/1073149181.db2.gz VFVCUYQVDXDZQL-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)c2ccc(S(N)(=O)=O)nc2)cc1 ZINC000538401647 1073149135 /nfs/dbraw/zinc/14/91/35/1073149135.db2.gz SLRDKFVGLRMTDW-UHFFFAOYSA-N 0 0 428.492 -0.416 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000538401658 1073149205 /nfs/dbraw/zinc/14/92/05/1073149205.db2.gz UFPLPHHGCOPXGE-UHFFFAOYSA-N 0 0 440.522 -0.892 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCc2ccc(S(=O)(=O)N3CCCC3)cc2)c1 ZINC000538401714 1073149191 /nfs/dbraw/zinc/14/91/91/1073149191.db2.gz ZNAVAZRBQNJTKR-UHFFFAOYSA-N 0 0 427.508 -0.369 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000538412038 1073150070 /nfs/dbraw/zinc/15/00/70/1073150070.db2.gz YKOGKGCSYGWJLC-UHFFFAOYSA-N 0 0 441.554 -0.454 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCC(=O)N2CCN(c3cccc(Cl)c3)CC2)c1 ZINC000538413025 1073150529 /nfs/dbraw/zinc/15/05/29/1073150529.db2.gz REIFAOFLFKIXEM-UHFFFAOYSA-N 0 0 440.913 -0.351 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c(C)s1 ZINC000538414279 1073150553 /nfs/dbraw/zinc/15/05/53/1073150553.db2.gz CQVKKEWIYODUQC-UHFFFAOYSA-N 0 0 447.564 -0.258 20 0 IBADRN COC(=O)CN(Cc1cc(OC)cc(OC)c1)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000538416399 1073149522 /nfs/dbraw/zinc/14/95/22/1073149522.db2.gz AJDMCGNBMQPWJB-UHFFFAOYSA-N 0 0 426.451 -0.251 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)c1 ZINC000538417049 1073149650 /nfs/dbraw/zinc/14/96/50/1073149650.db2.gz LUJQSIZTPLTEIU-UHFFFAOYSA-N 0 0 446.489 -0.017 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)c1 ZINC000538418232 1073149559 /nfs/dbraw/zinc/14/95/59/1073149559.db2.gz DSFOLHBNBYTHKP-UHFFFAOYSA-N 0 0 440.913 -0.351 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC000538419805 1073149611 /nfs/dbraw/zinc/14/96/11/1073149611.db2.gz BBOFDKCJFBQOCW-INIZCTEOSA-N 0 0 433.552 -0.158 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC000538419809 1073149604 /nfs/dbraw/zinc/14/96/04/1073149604.db2.gz BBOFDKCJFBQOCW-MRXNPFEDSA-N 0 0 433.552 -0.158 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)c1 ZINC000538420529 1073150139 /nfs/dbraw/zinc/15/01/39/1073150139.db2.gz PRAKTCMJDUSJOO-GFCCVEGCSA-N 0 0 433.446 -0.946 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)c1 ZINC000538420534 1073150033 /nfs/dbraw/zinc/15/00/33/1073150033.db2.gz PRAKTCMJDUSJOO-LBPRGKRZSA-N 0 0 433.446 -0.946 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)c2ccc(S(N)(=O)=O)cn2)c1 ZINC000538420719 1073149588 /nfs/dbraw/zinc/14/95/88/1073149588.db2.gz UPOSKZMTEVJHSM-UHFFFAOYSA-N 0 0 443.507 -0.052 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)nn1 ZINC000538420748 1073150010 /nfs/dbraw/zinc/15/00/10/1073150010.db2.gz VDUXRBNFKMJAMV-UHFFFAOYSA-N 0 0 432.256 -0.209 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000538420890 1073149568 /nfs/dbraw/zinc/14/95/68/1073149568.db2.gz XVGWDDKBVSOVTE-UHFFFAOYSA-N 0 0 433.552 -0.299 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2O)cc1 ZINC000538423737 1073150129 /nfs/dbraw/zinc/15/01/29/1073150129.db2.gz LENKPBQIHRWEFN-UHFFFAOYSA-N 0 0 429.476 -0.095 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1=O ZINC000538423868 1073150051 /nfs/dbraw/zinc/15/00/51/1073150051.db2.gz VRPHOKFCIQQFBU-LLVKDONJSA-N 0 0 448.295 -0.010 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1=O ZINC000538423869 1073150167 /nfs/dbraw/zinc/15/01/67/1073150167.db2.gz VRPHOKFCIQQFBU-NSHDSACASA-N 0 0 448.295 -0.010 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1 ZINC000538424347 1073150087 /nfs/dbraw/zinc/15/00/87/1073150087.db2.gz IJHRHAIFTXVZSR-UHFFFAOYSA-N 0 0 429.524 -0.219 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(CC(=O)Nc3ccc(Cl)cc3)CC2)c1 ZINC000538424388 1073150160 /nfs/dbraw/zinc/15/01/60/1073150160.db2.gz JZDWDNLWIYZRIL-UHFFFAOYSA-N 0 0 440.913 -0.033 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)ccn2)CC1 ZINC000538425333 1073149961 /nfs/dbraw/zinc/14/99/61/1073149961.db2.gz WCLIOMJJLAHXEP-UHFFFAOYSA-N 0 0 437.460 -0.333 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)c1 ZINC000538425424 1073151460 /nfs/dbraw/zinc/15/14/60/1073151460.db2.gz ANLXHKVSRMBWNK-KRWDZBQOSA-N 0 0 432.506 -0.520 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)c1 ZINC000538425425 1073151466 /nfs/dbraw/zinc/15/14/66/1073151466.db2.gz ANLXHKVSRMBWNK-QGZVFWFLSA-N 0 0 432.506 -0.520 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)c2ccc(S(N)(=O)=O)nc2)c1 ZINC000538425437 1073151304 /nfs/dbraw/zinc/15/13/04/1073151304.db2.gz BLSTZUNOPREWLD-UHFFFAOYSA-N 0 0 428.492 -0.416 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCC(C)(C)N2CCS(=O)CC2)cc1 ZINC000538426067 1073151505 /nfs/dbraw/zinc/15/15/05/1073151505.db2.gz YISQDOKXEBGKQM-UHFFFAOYSA-N 0 0 431.580 -0.128 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000538426544 1073150451 /nfs/dbraw/zinc/15/04/51/1073150451.db2.gz NRMZOEKEMQPYBA-UHFFFAOYSA-N 0 0 436.494 -0.176 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)cn1 ZINC000538427156 1073150404 /nfs/dbraw/zinc/15/04/04/1073150404.db2.gz ZHPPUWGLLHMQPF-UHFFFAOYSA-N 0 0 439.494 -0.489 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)nc1 ZINC000538427472 1073150479 /nfs/dbraw/zinc/15/04/79/1073150479.db2.gz VSDRZAFIPLBNOW-UHFFFAOYSA-N 0 0 433.494 -0.682 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000538428190 1073150390 /nfs/dbraw/zinc/15/03/90/1073150390.db2.gz NBOUXJQTPAUZIZ-UHFFFAOYSA-N 0 0 435.506 -0.645 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)Cn2cc(S(N)(=O)=O)cn2)c1 ZINC000538428593 1073150431 /nfs/dbraw/zinc/15/04/31/1073150431.db2.gz ZGNRCCVXKXMNHL-UHFFFAOYSA-N 0 0 436.454 -0.818 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)N(C)CCO)cc3)C2=O)n(C)n1 ZINC000538429116 1073150538 /nfs/dbraw/zinc/15/05/38/1073150538.db2.gz JZQJSNJOIJTJPX-INIZCTEOSA-N 0 0 435.506 -0.123 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)N(C)CCO)cc3)C2=O)n(C)n1 ZINC000538429117 1073150443 /nfs/dbraw/zinc/15/04/43/1073150443.db2.gz JZQJSNJOIJTJPX-MRXNPFEDSA-N 0 0 435.506 -0.123 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000538429163 1073150331 /nfs/dbraw/zinc/15/03/31/1073150331.db2.gz NJTMSLXLRBYKBB-UHFFFAOYSA-N 0 0 426.539 -0.465 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)NCCN1CCOCC1 ZINC000538429321 1073150524 /nfs/dbraw/zinc/15/05/24/1073150524.db2.gz AEOFURJZGPDPBZ-BBRMVZONSA-N 0 0 427.527 -0.678 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)NCCN1CCOCC1 ZINC000538429322 1073150473 /nfs/dbraw/zinc/15/04/73/1073150473.db2.gz AEOFURJZGPDPBZ-CJNGLKHVSA-N 0 0 427.527 -0.678 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)NCCN1CCOCC1 ZINC000538429323 1073150467 /nfs/dbraw/zinc/15/04/67/1073150467.db2.gz AEOFURJZGPDPBZ-CZUORRHYSA-N 0 0 427.527 -0.678 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)NCCN1CCOCC1 ZINC000538429324 1073150518 /nfs/dbraw/zinc/15/05/18/1073150518.db2.gz AEOFURJZGPDPBZ-XJKSGUPXSA-N 0 0 427.527 -0.678 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)cn1)C(=O)NCCN1CCOCC1 ZINC000538429546 1073151012 /nfs/dbraw/zinc/15/10/12/1073151012.db2.gz RKAJTLOIEIXBPU-BBRMVZONSA-N 0 0 427.527 -0.678 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)cn1)C(=O)NCCN1CCOCC1 ZINC000538429547 1073150900 /nfs/dbraw/zinc/15/09/00/1073150900.db2.gz RKAJTLOIEIXBPU-CJNGLKHVSA-N 0 0 427.527 -0.678 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)cn1)C(=O)NCCN1CCOCC1 ZINC000538429548 1073150944 /nfs/dbraw/zinc/15/09/44/1073150944.db2.gz RKAJTLOIEIXBPU-CZUORRHYSA-N 0 0 427.527 -0.678 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)cn1)C(=O)NCCN1CCOCC1 ZINC000538429549 1073151009 /nfs/dbraw/zinc/15/10/09/1073151009.db2.gz RKAJTLOIEIXBPU-XJKSGUPXSA-N 0 0 427.527 -0.678 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000538429770 1073150379 /nfs/dbraw/zinc/15/03/79/1073150379.db2.gz IJUWVHKHTJSUJJ-UHFFFAOYSA-N 0 0 433.552 -0.251 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)c3ccc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000538429882 1073150501 /nfs/dbraw/zinc/15/05/01/1073150501.db2.gz JNELQNQFZRMMDL-UHFFFAOYSA-N 0 0 447.517 -0.075 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CCN1S(C)(=O)=O ZINC000538434023 1073150951 /nfs/dbraw/zinc/15/09/51/1073150951.db2.gz BUIDTAIIUXABQS-JTQLQIEISA-N 0 0 426.480 -0.796 20 0 IBADRN C[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1S(C)(=O)=O ZINC000538435132 1073150935 /nfs/dbraw/zinc/15/09/35/1073150935.db2.gz ZLWOPKFACGXSQF-AWEZNQCLSA-N 0 0 431.536 -0.187 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000538435274 1073150987 /nfs/dbraw/zinc/15/09/87/1073150987.db2.gz ISXFBCZYRTVDQH-AWEZNQCLSA-N 0 0 448.567 -0.629 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000538436559 1073151032 /nfs/dbraw/zinc/15/10/32/1073151032.db2.gz MVBYJXOHAHMSQT-UHFFFAOYSA-N 0 0 433.494 -0.016 20 0 IBADRN C[C@H]1CN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CCN1S(C)(=O)=O ZINC000538438366 1073150926 /nfs/dbraw/zinc/15/09/26/1073150926.db2.gz BPUVPKSHJUGWAF-AWEZNQCLSA-N 0 0 431.536 -0.187 20 0 IBADRN C[C@H]1CN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CCN1S(C)(=O)=O ZINC000538438462 1073150910 /nfs/dbraw/zinc/15/09/10/1073150910.db2.gz FIGAKNGWZXTZJX-LBPRGKRZSA-N 0 0 437.565 -0.125 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000538439548 1073150967 /nfs/dbraw/zinc/15/09/67/1073150967.db2.gz OZMPWCKEHXVWBM-UHFFFAOYSA-N 0 0 447.539 -0.503 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000538454432 1073151936 /nfs/dbraw/zinc/15/19/36/1073151936.db2.gz UWSPNXHBYUUSTL-AWEZNQCLSA-N 0 0 448.567 -0.213 20 0 IBADRN Cn1cc(S(=O)(=O)[N-]c2ccc(S(=O)(=O)NCC[NH+](C)C)cc2)cc1C(N)=O ZINC000538454497 1073151908 /nfs/dbraw/zinc/15/19/08/1073151908.db2.gz AYGXXDQQOSFWJX-UHFFFAOYSA-N 0 0 429.524 -0.235 20 0 IBADRN C[C@H]1CN(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CCN1S(C)(=O)=O ZINC000538454591 1073151523 /nfs/dbraw/zinc/15/15/23/1073151523.db2.gz YYQBYTQTQNGBPS-AWEZNQCLSA-N 0 0 438.554 -0.041 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCOCC2)c(OC)c1 ZINC000538455115 1073151405 /nfs/dbraw/zinc/15/14/05/1073151405.db2.gz FQPXRHSQEPIGMT-UHFFFAOYSA-N 0 0 435.524 -0.016 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NCC(=O)N3CCOCC3)cc2n(C)c1=O ZINC000538455181 1073151535 /nfs/dbraw/zinc/15/15/35/1073151535.db2.gz JHFQSNFZNPFOCS-UHFFFAOYSA-N 0 0 447.311 -0.223 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CCN1S(C)(=O)=O ZINC000538455324 1073151445 /nfs/dbraw/zinc/15/14/45/1073151445.db2.gz PMKAHWLZVZBFOI-JTQLQIEISA-N 0 0 425.916 -0.142 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000538455383 1073151473 /nfs/dbraw/zinc/15/14/73/1073151473.db2.gz TURAEYPFPCCKOA-NSHDSACASA-N 0 0 434.492 -0.086 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000538455626 1073151928 /nfs/dbraw/zinc/15/19/28/1073151928.db2.gz HFKIHCQTFUJVST-NSHDSACASA-N 0 0 434.492 -0.086 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CCN1S(C)(=O)=O ZINC000538455639 1073151945 /nfs/dbraw/zinc/15/19/45/1073151945.db2.gz IJCQJOSFVDNITG-LBPRGKRZSA-N 0 0 437.565 -0.218 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CCN1S(C)(=O)=O ZINC000538456484 1073151793 /nfs/dbraw/zinc/15/17/93/1073151793.db2.gz ABCOLWRSFWANQA-JTQLQIEISA-N 0 0 446.473 -0.028 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)cn2)CC1 ZINC000538458644 1073151888 /nfs/dbraw/zinc/15/18/88/1073151888.db2.gz LQVJLJDVGIXSAH-UHFFFAOYSA-N 0 0 433.556 -0.146 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1)N1CCOCC1 ZINC000538459737 1073151874 /nfs/dbraw/zinc/15/18/74/1073151874.db2.gz LJPFJTMFKMRRST-UHFFFAOYSA-N 0 0 445.441 -0.336 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1)N1CCOCC1 ZINC000538460046 1073151827 /nfs/dbraw/zinc/15/18/27/1073151827.db2.gz RSYVWBPJXXRZAA-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN Cc1csc2ncc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c(=O)n12 ZINC000538462320 1073151343 /nfs/dbraw/zinc/15/13/43/1073151343.db2.gz JQGADRJNNOHVCA-UHFFFAOYSA-N 0 0 440.551 -0.686 20 0 IBADRN C[C@H]1CN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CCN1S(C)(=O)=O ZINC000538463313 1073152446 /nfs/dbraw/zinc/15/24/46/1073152446.db2.gz NVCNMKGLPDAKAN-ZDUSSCGKSA-N 0 0 425.554 -0.740 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000538463825 1073152440 /nfs/dbraw/zinc/15/24/40/1073152440.db2.gz HBYSSDPLXYDTMK-UHFFFAOYSA-N 0 0 438.506 -0.106 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCC(=O)N2CCOCC2)CC1 ZINC000538466185 1073152299 /nfs/dbraw/zinc/15/22/99/1073152299.db2.gz DGOIHIFKUPRVMW-UHFFFAOYSA-N 0 0 429.499 -0.444 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NCC(=O)N3CCOCC3)CC2)c1 ZINC000538466206 1073152348 /nfs/dbraw/zinc/15/23/48/1073152348.db2.gz FZXFTDZBPSUEOF-UHFFFAOYSA-N 0 0 438.506 -0.584 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC(=O)N3CCOCC3)CC2)cc1 ZINC000538467734 1073152844 /nfs/dbraw/zinc/15/28/44/1073152844.db2.gz LUPYYTPZEMIZBL-UHFFFAOYSA-N 0 0 438.506 -0.236 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000538470211 1073152756 /nfs/dbraw/zinc/15/27/56/1073152756.db2.gz KPIXTKWNAMMYNP-KBPBESRZSA-N 0 0 432.568 -0.653 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000538470213 1073152772 /nfs/dbraw/zinc/15/27/72/1073152772.db2.gz KPIXTKWNAMMYNP-UONOGXRCSA-N 0 0 432.568 -0.653 20 0 IBADRN COc1ccc(CC(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000538477709 1073151925 /nfs/dbraw/zinc/15/19/25/1073151925.db2.gz LMZITKXQEXNKHC-UHFFFAOYSA-N 0 0 441.506 -0.766 20 0 IBADRN O=C(CC1(CC(=O)NCC(=O)N2CCOCC2)CCCC1)NCC(=O)N1CCOCC1 ZINC000538477812 1073151848 /nfs/dbraw/zinc/15/18/48/1073151848.db2.gz RSIVIXYVGZUFAD-UHFFFAOYSA-N 0 0 438.525 -0.723 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)NCC(=O)N1CCOCC1 ZINC000538478057 1073151901 /nfs/dbraw/zinc/15/19/01/1073151901.db2.gz FZDYQOWIHPVFTA-UHFFFAOYSA-N 0 0 431.230 -0.004 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000538478660 1073151802 /nfs/dbraw/zinc/15/18/02/1073151802.db2.gz CKBUVBPEXSCUGR-UHFFFAOYSA-N 0 0 427.479 -0.695 20 0 IBADRN C[C@H]1CN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CCN1S(C)(=O)=O ZINC000538478926 1073151951 /nfs/dbraw/zinc/15/19/51/1073151951.db2.gz XVKVEQHMVOZWAC-SCLBCKFNSA-N 0 0 434.518 -0.046 20 0 IBADRN C[C@H]1CN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CCN1S(C)(=O)=O ZINC000538478927 1073151815 /nfs/dbraw/zinc/15/18/15/1073151815.db2.gz XVKVEQHMVOZWAC-UGSOOPFHSA-N 0 0 434.518 -0.046 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc(OC)c1OC ZINC000538479500 1073151838 /nfs/dbraw/zinc/15/18/38/1073151838.db2.gz RKPJWELZEDOUES-LBPRGKRZSA-N 0 0 429.495 -0.066 20 0 IBADRN CS(=O)(=O)c1cc(Br)ccc1NC(=O)C(=O)NCC(=O)N1CCOCC1 ZINC000538481579 1073152312 /nfs/dbraw/zinc/15/23/12/1073152312.db2.gz HDOPSVRRXPILFE-UHFFFAOYSA-N 0 0 448.295 -0.234 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1)N1CCOCC1 ZINC000538481876 1073152334 /nfs/dbraw/zinc/15/23/34/1073152334.db2.gz UXXLZMISDGURSQ-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000538482672 1073152325 /nfs/dbraw/zinc/15/23/25/1073152325.db2.gz HOQWXAJFBIKZMB-ZDUSSCGKSA-N 0 0 446.551 -0.196 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NCC(=O)N2CCOCC2)c1OC ZINC000538482707 1073152418 /nfs/dbraw/zinc/15/24/18/1073152418.db2.gz IXJCUXSMRSVFIT-UHFFFAOYSA-N 0 0 431.449 -0.138 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)NCC(=O)N2CCOCC2)n1 ZINC000538482802 1073152426 /nfs/dbraw/zinc/15/24/26/1073152426.db2.gz MABAOTWUOYUMDK-UHFFFAOYSA-N 0 0 429.433 -0.038 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1NS(C)(=O)=O ZINC000538482880 1073152387 /nfs/dbraw/zinc/15/23/87/1073152387.db2.gz PUSUFNFLPGFVGT-NSHDSACASA-N 0 0 448.523 -0.503 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000538483151 1073152411 /nfs/dbraw/zinc/15/24/11/1073152411.db2.gz BCTMHBGTZLHKOE-LBPRGKRZSA-N 0 0 426.495 -0.172 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CCN1S(C)(=O)=O ZINC000538483365 1073152354 /nfs/dbraw/zinc/15/23/54/1073152354.db2.gz KILTYKYMAMSCIK-VIFPVBQESA-N 0 0 435.300 -0.421 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1)N1CCOCC1 ZINC000538483400 1073152288 /nfs/dbraw/zinc/15/22/88/1073152288.db2.gz LPWTYGXAAKAJIS-UHFFFAOYSA-N 0 0 438.444 -0.542 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CCN1S(C)(=O)=O ZINC000538483766 1073152375 /nfs/dbraw/zinc/15/23/75/1073152375.db2.gz BMCMXZRGOQIMHV-VIFPVBQESA-N 0 0 435.300 -0.421 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CCN1S(C)(=O)=O ZINC000538483777 1073152403 /nfs/dbraw/zinc/15/24/03/1073152403.db2.gz BZGQOMBNHXMCAE-ZDUSSCGKSA-N 0 0 444.535 -0.343 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCC(=O)N2CCOCC2)c(OC)c1 ZINC000538484530 1073153765 /nfs/dbraw/zinc/15/37/65/1073153765.db2.gz JOOQCZSVOURXOV-UHFFFAOYSA-N 0 0 431.449 -0.138 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccnc(OCC(F)(F)F)c2)CC1 ZINC000538484707 1073153678 /nfs/dbraw/zinc/15/36/78/1073153678.db2.gz QBVRLUFAQAAVNS-UHFFFAOYSA-N 0 0 442.358 -0.681 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000538484837 1073153641 /nfs/dbraw/zinc/15/36/41/1073153641.db2.gz VRMRPDPGCNYKGU-ZDUSSCGKSA-N 0 0 426.495 -0.368 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c(=O)n(-c2ccccc2)n1C ZINC000538485666 1073154061 /nfs/dbraw/zinc/15/40/61/1073154061.db2.gz DDHURRXGZNRJBA-ZDUSSCGKSA-N 0 0 435.506 -0.085 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CCN1S(C)(=O)=O ZINC000538485830 1073153780 /nfs/dbraw/zinc/15/37/80/1073153780.db2.gz KTMMQCBZNYJVEG-LBPRGKRZSA-N 0 0 437.478 -0.831 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000538485906 1073153770 /nfs/dbraw/zinc/15/37/70/1073153770.db2.gz NYNUYHPKQQTKIH-LBPRGKRZSA-N 0 0 432.524 -0.666 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1)N1CCOCC1 ZINC000538486202 1073153652 /nfs/dbraw/zinc/15/36/52/1073153652.db2.gz AMNNBYOJWOJZOQ-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CCN1S(C)(=O)=O ZINC000538486573 1073154083 /nfs/dbraw/zinc/15/40/83/1073154083.db2.gz QFGUODUELKKOBL-LBPRGKRZSA-N 0 0 432.524 -0.632 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc2)CC1 ZINC000538493611 1073153283 /nfs/dbraw/zinc/15/32/83/1073153283.db2.gz VLTLIPIGRLJZCX-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CC1 ZINC000538494105 1073153196 /nfs/dbraw/zinc/15/31/96/1073153196.db2.gz ZVDNZZKFPDHYSW-UHFFFAOYSA-N 0 0 445.520 -0.394 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)cc2)CC1 ZINC000538495281 1073153305 /nfs/dbraw/zinc/15/33/05/1073153305.db2.gz VOFRAYVGPNMMGU-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN O=C(CN1CCCNC1=O)NCCN(Cc1ccccn1)C(=O)CN1CCCNC1=O ZINC000538496715 1073153208 /nfs/dbraw/zinc/15/32/08/1073153208.db2.gz ZZXLNWJEBVMTCJ-UHFFFAOYSA-N 0 0 431.497 -0.643 20 0 IBADRN Cn1cc(S(=O)(=O)Nc2cccc(CC(=O)N3CCN(C)CC3)c2)c(=O)n(C)c1=O ZINC000538497081 1073153235 /nfs/dbraw/zinc/15/32/35/1073153235.db2.gz YFSIHZKOOCFRFD-UHFFFAOYSA-N 0 0 435.506 -0.799 20 0 IBADRN Cn1c2ncn(CCC(=O)NC3CCN(C(=O)NC(C)(C)C)CC3)c2c(=O)n(C)c1=O ZINC000538499332 1073153754 /nfs/dbraw/zinc/15/37/54/1073153754.db2.gz JHQVLRWSHDTDCC-UHFFFAOYSA-N 0 0 433.513 -0.088 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)NCC(=O)N1CCOCC1 ZINC000538515551 1073155078 /nfs/dbraw/zinc/15/50/78/1073155078.db2.gz DBHLVLFQWIKRAK-HNNXBMFYSA-N 0 0 445.925 -0.152 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)NCC(=O)N1CCOCC1 ZINC000538515552 1073155005 /nfs/dbraw/zinc/15/50/05/1073155005.db2.gz DBHLVLFQWIKRAK-OAHLLOKOSA-N 0 0 445.925 -0.152 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NCC(=O)N1CCOCC1 ZINC000538517032 1073154133 /nfs/dbraw/zinc/15/41/33/1073154133.db2.gz CUCBVECYIVTKLX-UHFFFAOYSA-N 0 0 427.479 -0.509 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NCC(=O)N1CCOCC1 ZINC000538518145 1073154150 /nfs/dbraw/zinc/15/41/50/1073154150.db2.gz LSZCPAXNPKAGTH-UHFFFAOYSA-N 0 0 426.314 -0.236 20 0 IBADRN O=C(CNC(=O)c1cc(I)ccc1-n1cnnn1)N1CCOCC1 ZINC000538518191 1073154575 /nfs/dbraw/zinc/15/45/75/1073154575.db2.gz NVYQNHFRMFTLJV-UHFFFAOYSA-N 0 0 442.217 -0.145 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000538527590 1073155557 /nfs/dbraw/zinc/15/55/57/1073155557.db2.gz RBDHZECYOKJARW-UHFFFAOYSA-N 0 0 444.535 -0.055 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)cc1 ZINC000538529671 1073155918 /nfs/dbraw/zinc/15/59/18/1073155918.db2.gz XYIIKYKCEVXAFS-UHFFFAOYSA-N 0 0 438.506 -0.050 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)cc1 ZINC000538530091 1073155912 /nfs/dbraw/zinc/15/59/12/1073155912.db2.gz HOSXBLUJMNTPKB-UHFFFAOYSA-N 0 0 447.448 -0.144 20 0 IBADRN O=C(CN1C(=O)c2ccccc2C1=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000538530263 1073156078 /nfs/dbraw/zinc/15/60/78/1073156078.db2.gz UYROKZGSVKGXGT-UHFFFAOYSA-N 0 0 442.428 -0.635 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NC[C@H]1COc2ccccc2O1 ZINC000538530286 1073155924 /nfs/dbraw/zinc/15/59/24/1073155924.db2.gz VXRQHOYTRINPSV-HNNXBMFYSA-N 0 0 446.460 -0.544 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NC[C@@H]1COc2ccccc2O1 ZINC000538530292 1073155966 /nfs/dbraw/zinc/15/59/66/1073155966.db2.gz VXRQHOYTRINPSV-OAHLLOKOSA-N 0 0 446.460 -0.544 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCN1S(C)(=O)=O ZINC000538530336 1073155543 /nfs/dbraw/zinc/15/55/43/1073155543.db2.gz AGXROFBXADDICW-ZDUSSCGKSA-N 0 0 446.551 -0.724 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NCCOc1cccc(F)c1 ZINC000538530515 1073156016 /nfs/dbraw/zinc/15/60/16/1073156016.db2.gz BNKFBZXCOAPCAW-UHFFFAOYSA-N 0 0 436.440 -0.166 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NC[C@@H]1Cc2ccccc2O1 ZINC000538531390 1073156086 /nfs/dbraw/zinc/15/60/86/1073156086.db2.gz MTTFVIGLAIHELV-INIZCTEOSA-N 0 0 430.461 -0.381 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NC[C@H]1Cc2ccccc2O1 ZINC000538531403 1073156040 /nfs/dbraw/zinc/15/60/40/1073156040.db2.gz MTTFVIGLAIHELV-MRXNPFEDSA-N 0 0 430.461 -0.381 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)CC1 ZINC000538534421 1073156590 /nfs/dbraw/zinc/15/65/90/1073156590.db2.gz VBGOFOFMDFZVNJ-LBPRGKRZSA-N 0 0 447.583 -0.080 20 0 IBADRN CC1(C)CN(S(=O)(=O)NCCCCNS(=O)(=O)N2CCOC(C)(C)C2)CCO1 ZINC000538538016 1073156440 /nfs/dbraw/zinc/15/64/40/1073156440.db2.gz YWPVCKFLZWLIPL-UHFFFAOYSA-N 0 0 442.604 -0.343 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)cc2)CC1 ZINC000538538611 1073155519 /nfs/dbraw/zinc/15/55/19/1073155519.db2.gz ASKUEUQEVYJFNZ-GOSISDBHSA-N 0 0 443.504 -0.059 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)cc2)CC1 ZINC000538538613 1073155356 /nfs/dbraw/zinc/15/53/56/1073155356.db2.gz ASKUEUQEVYJFNZ-SFHVURJKSA-N 0 0 443.504 -0.059 20 0 IBADRN CC1(C)CN(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CCO1 ZINC000538539783 1073155401 /nfs/dbraw/zinc/15/54/01/1073155401.db2.gz YNHBFMVCPSMNDA-UHFFFAOYSA-N 0 0 425.573 -0.095 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CC(OCC(=O)N(C)C)C3)cc2)CC1 ZINC000538540461 1073155485 /nfs/dbraw/zinc/15/54/85/1073155485.db2.gz OODQZENHEKDBIR-UHFFFAOYSA-N 0 0 445.520 -0.395 20 0 IBADRN COCC(=O)N(C)CC(=O)N1C[C@H](C)N(C(=O)CN(C)C(=O)COC)c2ccccc21 ZINC000538555000 1073157071 /nfs/dbraw/zinc/15/70/71/1073157071.db2.gz GHUHHFMNVLEPKK-HNNXBMFYSA-N 0 0 434.493 -0.036 20 0 IBADRN COCC(=O)N(C)CC(=O)N1C[C@@H](C)N(C(=O)CN(C)C(=O)COC)c2ccccc21 ZINC000538555001 1073156979 /nfs/dbraw/zinc/15/69/79/1073156979.db2.gz GHUHHFMNVLEPKK-OAHLLOKOSA-N 0 0 434.493 -0.036 20 0 IBADRN COCCOc1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccn1 ZINC000538589098 1073157613 /nfs/dbraw/zinc/15/76/13/1073157613.db2.gz PATMMZKHIGVIAW-UHFFFAOYSA-N 0 0 427.527 -0.643 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000538594495 1073157010 /nfs/dbraw/zinc/15/70/10/1073157010.db2.gz BILGGVWQEVSBKI-UHFFFAOYSA-N 0 0 433.508 -0.772 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000538594905 1073157504 /nfs/dbraw/zinc/15/75/04/1073157504.db2.gz JCDJCECVMPFBRN-UHFFFAOYSA-N 0 0 439.490 -0.414 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000538595152 1073157590 /nfs/dbraw/zinc/15/75/90/1073157590.db2.gz PSEHPFZOBNUTJO-UHFFFAOYSA-N 0 0 440.526 -1.000 20 0 IBADRN Cn1nnnc1SCCC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000538595396 1073156990 /nfs/dbraw/zinc/15/69/90/1073156990.db2.gz UCCMOFFAFUHPFO-UHFFFAOYSA-N 0 0 440.507 -0.347 20 0 IBADRN O=C(Cc1nc2ncccn2n1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000538595982 1073157594 /nfs/dbraw/zinc/15/75/94/1073157594.db2.gz GLXPFARJQXEIMZ-UHFFFAOYSA-N 0 0 430.446 -0.373 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000538596959 1073157532 /nfs/dbraw/zinc/15/75/32/1073157532.db2.gz ZOEFVJRZULAIJJ-UHFFFAOYSA-N 0 0 438.462 -0.721 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)CNS(=O)(=O)CCOC(C)C)CC1 ZINC000538599595 1073157632 /nfs/dbraw/zinc/15/76/32/1073157632.db2.gz NWESDSPZOLZAJW-UHFFFAOYSA-N 0 0 427.589 -0.103 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)cn1C ZINC000538599665 1073157552 /nfs/dbraw/zinc/15/75/52/1073157552.db2.gz QEBPFRPBGRTWEC-UHFFFAOYSA-N 0 0 449.599 -0.037 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000538599889 1073157600 /nfs/dbraw/zinc/15/76/00/1073157600.db2.gz XACXMCJHAXSCQP-UHFFFAOYSA-N 0 0 433.508 -0.725 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000538600959 1073157510 /nfs/dbraw/zinc/15/75/10/1073157510.db2.gz CSGLJHFLPPCKJP-UHFFFAOYSA-N 0 0 437.522 -0.188 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C(C)(C)C1 ZINC000538601306 1073157628 /nfs/dbraw/zinc/15/76/28/1073157628.db2.gz RVGRPCVUQYILGG-UHFFFAOYSA-N 0 0 439.494 -0.154 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)cc2)CC1 ZINC000538602146 1073157583 /nfs/dbraw/zinc/15/75/83/1073157583.db2.gz IWRISRRXPDJCPD-UHFFFAOYSA-N 0 0 437.566 -0.134 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000538606814 1073157970 /nfs/dbraw/zinc/15/79/70/1073157970.db2.gz MLDRRHXJARWMJL-UHFFFAOYSA-N 0 0 435.524 -0.860 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C3CCN(S(N)(=O)=O)CC3)C2)cc1 ZINC000538606890 1073158034 /nfs/dbraw/zinc/15/80/34/1073158034.db2.gz JWISMHLWZISXTI-UHFFFAOYSA-N 0 0 432.524 -0.900 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC(C)(OC)C2)c(=O)[nH]c1=O ZINC000538606953 1073158081 /nfs/dbraw/zinc/15/80/81/1073158081.db2.gz OMWFOCLSQYCPQZ-UHFFFAOYSA-N 0 0 425.486 -0.052 20 0 IBADRN C[C@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N1CCCC1 ZINC000538613798 1073157483 /nfs/dbraw/zinc/15/74/83/1073157483.db2.gz GAVLKVBVJXHBPW-INIZCTEOSA-N 0 0 438.554 -0.157 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000538627241 1073158119 /nfs/dbraw/zinc/15/81/19/1073158119.db2.gz JCEXTAHREAESAO-UHFFFAOYSA-N 0 0 429.518 -0.138 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC(=O)N(C)C1)c(=O)n2Cc1ccccc1 ZINC000538646611 1073158658 /nfs/dbraw/zinc/15/86/58/1073158658.db2.gz MSRDMLYDACIRHF-UHFFFAOYSA-N 0 0 440.460 -0.688 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000538652544 1073158516 /nfs/dbraw/zinc/15/85/16/1073158516.db2.gz MZONOEMGTXLNIK-UHFFFAOYSA-N 0 0 443.570 -0.431 20 0 IBADRN CCOCCOC1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000538666707 1073158450 /nfs/dbraw/zinc/15/84/50/1073158450.db2.gz BBDWMOQBLRVSOE-UHFFFAOYSA-N 0 0 441.554 -0.020 20 0 IBADRN Cn1c2ncn(CCN(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC000538668080 1073158560 /nfs/dbraw/zinc/15/85/60/1073158560.db2.gz PUPASZZXABVEQR-KBPBESRZSA-N 0 0 425.511 -0.898 20 0 IBADRN Cn1c2ncn(CCN(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC000538668081 1073158609 /nfs/dbraw/zinc/15/86/09/1073158609.db2.gz PUPASZZXABVEQR-KGLIPLIRSA-N 0 0 425.511 -0.898 20 0 IBADRN Cn1c2ncn(CCN(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC000538668082 1073158642 /nfs/dbraw/zinc/15/86/42/1073158642.db2.gz PUPASZZXABVEQR-UONOGXRCSA-N 0 0 425.511 -0.898 20 0 IBADRN Cn1c2ncn(CCN(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC000538668083 1073159131 /nfs/dbraw/zinc/15/91/31/1073159131.db2.gz PUPASZZXABVEQR-ZIAGYGMSSA-N 0 0 425.511 -0.898 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccccc2Cn2cncn2)CC1)N1CCOCC1 ZINC000538677289 1073159159 /nfs/dbraw/zinc/15/91/59/1073159159.db2.gz VRRAIWNPMJOYGZ-UHFFFAOYSA-N 0 0 448.505 -0.982 20 0 IBADRN CC(=O)N[C@@H](C(=O)NCCC(=O)N1CCN(C(=O)[C@@H](NC(C)=O)C(C)C)CC1)C(C)C ZINC000538708979 1073160375 /nfs/dbraw/zinc/16/03/75/1073160375.db2.gz CTXWIIRTXFSWBJ-MOPGFXCFSA-N 0 0 439.557 -0.515 20 0 IBADRN CC(=O)N[C@H](C(=O)NCCC(=O)N1CCN(C(=O)[C@@H](NC(C)=O)C(C)C)CC1)C(C)C ZINC000538708989 1073160330 /nfs/dbraw/zinc/16/03/30/1073160330.db2.gz CTXWIIRTXFSWBJ-OALUTQOASA-N 0 0 439.557 -0.515 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCN(C(=O)CCNC(=O)[C@@H](NC(C)=O)C(C)C)CC1)C(C)C ZINC000538708990 1073160239 /nfs/dbraw/zinc/16/02/39/1073160239.db2.gz CTXWIIRTXFSWBJ-RBUKOAKNSA-N 0 0 439.557 -0.515 20 0 IBADRN CC(=O)N[C@@H](C(=O)NCCC(=O)N1CCN(C(=O)[C@H](NC(C)=O)C(C)C)CC1)C(C)C ZINC000538708991 1073160338 /nfs/dbraw/zinc/16/03/38/1073160338.db2.gz CTXWIIRTXFSWBJ-RTBURBONSA-N 0 0 439.557 -0.515 20 0 IBADRN O=C(CN1CCN(Cc2ccc(-n3cncn3)c(F)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000538736391 1073161710 /nfs/dbraw/zinc/16/17/10/1073161710.db2.gz AGPKEFIXVGKUSU-INIZCTEOSA-N 0 0 436.513 -0.173 20 0 IBADRN O=C(CN1CCN(Cc2ccc(-n3cncn3)c(F)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000538736392 1073161622 /nfs/dbraw/zinc/16/16/22/1073161622.db2.gz AGPKEFIXVGKUSU-MRXNPFEDSA-N 0 0 436.513 -0.173 20 0 IBADRN COc1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc2c1OCCO2 ZINC000538737031 1073161748 /nfs/dbraw/zinc/16/17/48/1073161748.db2.gz YNKGFXPHVQOPGL-INIZCTEOSA-N 0 0 439.534 -0.113 20 0 IBADRN COc1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc2c1OCCO2 ZINC000538737032 1073161792 /nfs/dbraw/zinc/16/17/92/1073161792.db2.gz YNKGFXPHVQOPGL-MRXNPFEDSA-N 0 0 439.534 -0.113 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000538747086 1073162111 /nfs/dbraw/zinc/16/21/11/1073162111.db2.gz AKKBVUHXTSDXSH-UHFFFAOYSA-N 0 0 426.476 -0.042 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1F ZINC000538747540 1073161633 /nfs/dbraw/zinc/16/16/33/1073161633.db2.gz JHSWLNLZQHSMIU-CYBMUJFWSA-N 0 0 439.531 -0.099 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(C)C[C@H](O)CN2CCOCC2)cc1F ZINC000538747541 1073161694 /nfs/dbraw/zinc/16/16/94/1073161694.db2.gz JHSWLNLZQHSMIU-ZDUSSCGKSA-N 0 0 439.531 -0.099 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000538747582 1073161707 /nfs/dbraw/zinc/16/17/07/1073161707.db2.gz LQNKXRMTMLSWNR-UHFFFAOYSA-N 0 0 434.478 -0.325 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)cc1F ZINC000538747827 1073162289 /nfs/dbraw/zinc/16/22/89/1073162289.db2.gz AUHHZHCBMDIKDC-INIZCTEOSA-N 0 0 439.531 -0.051 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)cc1F ZINC000538747828 1073162105 /nfs/dbraw/zinc/16/21/05/1073162105.db2.gz AUHHZHCBMDIKDC-MRXNPFEDSA-N 0 0 439.531 -0.051 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1F ZINC000538748068 1073162137 /nfs/dbraw/zinc/16/21/37/1073162137.db2.gz PQEVMJSNIOIEMY-LLVKDONJSA-N 0 0 448.498 -0.691 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1F ZINC000538748069 1073162215 /nfs/dbraw/zinc/16/22/15/1073162215.db2.gz PQEVMJSNIOIEMY-NSHDSACASA-N 0 0 448.498 -0.691 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1ccc(NS(=O)(=O)CC)c(F)c1 ZINC000538749038 1073162266 /nfs/dbraw/zinc/16/22/66/1073162266.db2.gz SQTYKIYTSSQOMY-UHFFFAOYSA-N 0 0 425.460 -0.374 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(CC(=O)OC)CC(=O)OC)cc1F ZINC000538749132 1073162270 /nfs/dbraw/zinc/16/22/70/1073162270.db2.gz XKGRLFMZYSLWTJ-UHFFFAOYSA-N 0 0 426.444 -0.076 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000538749218 1073162228 /nfs/dbraw/zinc/16/22/28/1073162228.db2.gz BXHBBHWBMYSPTC-UHFFFAOYSA-N 0 0 432.458 -0.081 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000538749612 1073162242 /nfs/dbraw/zinc/16/22/42/1073162242.db2.gz XBMUCJSIUSKFLI-INIZCTEOSA-N 0 0 434.474 -0.251 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000538749613 1073162094 /nfs/dbraw/zinc/16/20/94/1073162094.db2.gz XBMUCJSIUSKFLI-MRXNPFEDSA-N 0 0 434.474 -0.251 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1F ZINC000538754982 1073162293 /nfs/dbraw/zinc/16/22/93/1073162293.db2.gz RVOVUFSTJSPBTN-UHFFFAOYSA-N 0 0 430.505 -0.903 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)Cn1c(=O)c(I)cn(C)c1=O ZINC000538755790 1073162190 /nfs/dbraw/zinc/16/21/90/1073162190.db2.gz QKEMTNNTOVWAPF-JTQLQIEISA-N 0 0 437.234 -0.145 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)Cn1c(=O)c(I)cn(C)c1=O ZINC000538755791 1073162182 /nfs/dbraw/zinc/16/21/82/1073162182.db2.gz QKEMTNNTOVWAPF-SNVBAGLBSA-N 0 0 437.234 -0.145 20 0 IBADRN COC(=O)C1(O)CCN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000538757354 1073162081 /nfs/dbraw/zinc/16/20/81/1073162081.db2.gz IFKAPJMEHOWNOQ-UHFFFAOYSA-N 0 0 438.499 -0.224 20 0 IBADRN COC(=O)C1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000538757458 1073162067 /nfs/dbraw/zinc/16/20/67/1073162067.db2.gz NTUJNPRYPBEHSZ-UHFFFAOYSA-N 0 0 448.519 -0.604 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)cn1C ZINC000538757549 1073162156 /nfs/dbraw/zinc/16/21/56/1073162156.db2.gz SGOSTCWXCDRNPD-UHFFFAOYSA-N 0 0 430.508 -0.438 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCc2cn(CC(=O)OC)nn2)cc1F ZINC000538758626 1073162550 /nfs/dbraw/zinc/16/25/50/1073162550.db2.gz AGTLZULSCOMVNQ-UHFFFAOYSA-N 0 0 435.459 -0.170 20 0 IBADRN COc1ccc(CCNS(=O)(=O)c2cccc(-n3cnnn3)c2)cc1S(N)(=O)=O ZINC000538758687 1073162197 /nfs/dbraw/zinc/16/21/97/1073162197.db2.gz FHVDHVKTPJMXPM-UHFFFAOYSA-N 0 0 438.491 -0.161 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1F ZINC000538758758 1073162592 /nfs/dbraw/zinc/16/25/92/1073162592.db2.gz JUCBEIKZMLKJRA-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1F ZINC000538758759 1073162539 /nfs/dbraw/zinc/16/25/39/1073162539.db2.gz JUCBEIKZMLKJRA-NSHDSACASA-N 0 0 429.517 -0.101 20 0 IBADRN COc1ccc(CCNS(=O)(=O)c2ccc(-n3cnnn3)cc2)cc1S(N)(=O)=O ZINC000538759966 1073161766 /nfs/dbraw/zinc/16/17/66/1073161766.db2.gz IADCQQNMSGAFFU-UHFFFAOYSA-N 0 0 438.491 -0.161 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)CC1 ZINC000538766872 1073162529 /nfs/dbraw/zinc/16/25/29/1073162529.db2.gz LLJVKBGXOVOISA-UHFFFAOYSA-N 0 0 439.490 -0.099 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(O)(C(=O)OC)CC2)cc1 ZINC000538768467 1073162649 /nfs/dbraw/zinc/16/26/49/1073162649.db2.gz INNRZKVXMZQIEE-UHFFFAOYSA-N 0 0 427.479 -0.160 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000538768620 1073162659 /nfs/dbraw/zinc/16/26/59/1073162659.db2.gz PNVJJUFJZPCXST-UHFFFAOYSA-N 0 0 432.477 -0.461 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2C)CC1 ZINC000538770311 1073162544 /nfs/dbraw/zinc/16/25/44/1073162544.db2.gz SHQZZBZXESABIS-UHFFFAOYSA-N 0 0 433.461 -0.068 20 0 IBADRN CC(=O)[C@H](NC(=O)CN1CCN(CC(=O)N[C@@H](C(C)=O)C(C)C)CC(O)C1)C(C)C ZINC000538783263 1073162976 /nfs/dbraw/zinc/16/29/76/1073162976.db2.gz HPVGCHXYSMAYFN-NHCUHLMSSA-N 0 0 426.558 -0.576 20 0 IBADRN CC(=O)[C@@H](NC(=O)CN1CCN(CC(=O)N[C@H](C(C)=O)C(C)C)CC(O)C1)C(C)C ZINC000538783264 1073163123 /nfs/dbraw/zinc/16/31/23/1073163123.db2.gz HPVGCHXYSMAYFN-SFTDATJTSA-N 0 0 426.558 -0.576 20 0 IBADRN CC(=O)[C@H](NC(=O)CN1CCN(CC(=O)N[C@H](C(C)=O)C(C)C)C[C@@H](O)C1)C(C)C ZINC000538783265 1073163043 /nfs/dbraw/zinc/16/30/43/1073163043.db2.gz HPVGCHXYSMAYFN-ZHPFXUNLSA-N 0 0 426.558 -0.576 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC(O)(C(=O)OC)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000538785753 1073163080 /nfs/dbraw/zinc/16/30/80/1073163080.db2.gz IXDRDUUJQJHKOW-UHFFFAOYSA-N 0 0 444.488 -0.157 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000538785807 1073163148 /nfs/dbraw/zinc/16/31/48/1073163148.db2.gz LDSNKVYXHCUURW-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000538785897 1073163049 /nfs/dbraw/zinc/16/30/49/1073163049.db2.gz QSYZPZOGCHJLBV-UHFFFAOYSA-N 0 0 430.461 -0.640 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000538785912 1073163014 /nfs/dbraw/zinc/16/30/14/1073163014.db2.gz RMFYRNAQQJELRO-UHFFFAOYSA-N 0 0 436.484 -0.092 20 0 IBADRN COC(=O)C1CCC(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)(C(=O)OC)CC1 ZINC000538803900 1073163514 /nfs/dbraw/zinc/16/35/14/1073163514.db2.gz GNUCHMCAQMKWCK-UHFFFAOYSA-N 0 0 449.464 -0.785 20 0 IBADRN COc1ccc(CCNC(=O)CCNS(=O)(=O)c2cccnc2)cc1S(N)(=O)=O ZINC000538805943 1073163420 /nfs/dbraw/zinc/16/34/20/1073163420.db2.gz ARRREPHLFCFPFD-UHFFFAOYSA-N 0 0 442.519 -0.235 20 0 IBADRN COc1ccc(CCNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1S(N)(=O)=O ZINC000538806019 1073163260 /nfs/dbraw/zinc/16/32/60/1073163260.db2.gz FCJCMGHTVLHLRB-UHFFFAOYSA-N 0 0 445.523 -0.978 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)(C(=O)OC)CC1 ZINC000538806576 1073163393 /nfs/dbraw/zinc/16/33/93/1073163393.db2.gz IHLYAUGKNWRJTN-AWTNTWDUSA-N 0 0 444.506 -0.587 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)(C(=O)OC)CC1 ZINC000538806577 1073163328 /nfs/dbraw/zinc/16/33/28/1073163328.db2.gz IHLYAUGKNWRJTN-IXVUQVCVSA-N 0 0 444.506 -0.587 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)(C(=O)OC)CC1 ZINC000538806578 1073163451 /nfs/dbraw/zinc/16/34/51/1073163451.db2.gz IHLYAUGKNWRJTN-SGJMOYSZSA-N 0 0 444.506 -0.587 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)(C(=O)OC)CC1 ZINC000538806579 1073163501 /nfs/dbraw/zinc/16/35/01/1073163501.db2.gz IHLYAUGKNWRJTN-UWCWSIQASA-N 0 0 444.506 -0.587 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)(C(=O)OC)CC1 ZINC000538806860 1073163456 /nfs/dbraw/zinc/16/34/56/1073163456.db2.gz VVKLYRQNGJJROJ-DLGFLZQMSA-N 0 0 430.483 -0.522 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)(C(=O)OC)CC1 ZINC000538806861 1073163475 /nfs/dbraw/zinc/16/34/75/1073163475.db2.gz VVKLYRQNGJJROJ-ZBFVHZJFSA-N 0 0 430.483 -0.522 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)(C(=O)OC)CC1 ZINC000538806930 1073163283 /nfs/dbraw/zinc/16/32/83/1073163283.db2.gz ZOJYUYZXJKNGLH-TZQQIIETSA-N 0 0 439.509 -0.442 20 0 IBADRN COC(=O)C1CCC(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)(C(=O)OC)CC1 ZINC000538806931 1073163402 /nfs/dbraw/zinc/16/34/02/1073163402.db2.gz ZOJYUYZXJKNGLH-ZGOJQLDESA-N 0 0 439.509 -0.442 20 0 IBADRN COc1ccc(CCNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1S(N)(=O)=O ZINC000538807008 1073163378 /nfs/dbraw/zinc/16/33/78/1073163378.db2.gz FNZRTDJPJVKYQQ-UHFFFAOYSA-N 0 0 449.489 -0.796 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)c1 ZINC000538816552 1073163965 /nfs/dbraw/zinc/16/39/65/1073163965.db2.gz RTEDRPNQAYQZTK-HNNXBMFYSA-N 0 0 435.462 -0.058 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)c1 ZINC000538816553 1073163942 /nfs/dbraw/zinc/16/39/42/1073163942.db2.gz RTEDRPNQAYQZTK-OAHLLOKOSA-N 0 0 435.462 -0.058 20 0 IBADRN Cc1c(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000538816758 1073163915 /nfs/dbraw/zinc/16/39/15/1073163915.db2.gz ATZVGLUSTMWLHL-AWEZNQCLSA-N 0 0 436.428 -0.057 20 0 IBADRN Cc1c(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000538816759 1073163967 /nfs/dbraw/zinc/16/39/67/1073163967.db2.gz ATZVGLUSTMWLHL-CQSZACIVSA-N 0 0 436.428 -0.057 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)cn1C ZINC000538817389 1073164009 /nfs/dbraw/zinc/16/40/09/1073164009.db2.gz FDCKOPKGISJXQB-AWEZNQCLSA-N 0 0 434.478 -0.214 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)cn1C ZINC000538817390 1073163986 /nfs/dbraw/zinc/16/39/86/1073163986.db2.gz FDCKOPKGISJXQB-CQSZACIVSA-N 0 0 434.478 -0.214 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000538818286 1073163961 /nfs/dbraw/zinc/16/39/61/1073163961.db2.gz QJGRMHVJGFSKRS-GFCCVEGCSA-N 0 0 425.463 -0.787 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000538818287 1073163951 /nfs/dbraw/zinc/16/39/51/1073163951.db2.gz QJGRMHVJGFSKRS-LBPRGKRZSA-N 0 0 425.463 -0.787 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000538819891 1073164537 /nfs/dbraw/zinc/16/45/37/1073164537.db2.gz CZQLNFOWZKFOBT-UHFFFAOYSA-N 0 0 430.479 -0.101 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000538820141 1073164485 /nfs/dbraw/zinc/16/44/85/1073164485.db2.gz ULSTXLYQNFSRSP-UHFFFAOYSA-N 0 0 427.479 -0.160 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000538821889 1073164551 /nfs/dbraw/zinc/16/45/51/1073164551.db2.gz IRUAKFSDXNHIJL-UHFFFAOYSA-N 0 0 434.540 -0.730 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](Cc2cccc(OC)c2)n2nnnc2C)CC1 ZINC000538821986 1073164432 /nfs/dbraw/zinc/16/44/32/1073164432.db2.gz OXVMGZTZQIZBFE-KRWDZBQOSA-N 0 0 437.526 -0.181 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H](Cc2cccc(OC)c2)n2nnnc2C)CC1 ZINC000538821987 1073164374 /nfs/dbraw/zinc/16/43/74/1073164374.db2.gz OXVMGZTZQIZBFE-QGZVFWFLSA-N 0 0 437.526 -0.181 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCC(NS(=O)(=O)NC)CC1)C2=O ZINC000538822042 1073164443 /nfs/dbraw/zinc/16/44/43/1073164443.db2.gz STGGTHLVZKYQEK-UHFFFAOYSA-N 0 0 429.543 -0.078 20 0 IBADRN CCOc1ccc(NC(=O)Cn2ncn(C)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000538829163 1073165060 /nfs/dbraw/zinc/16/50/60/1073165060.db2.gz NCPOILLBPZNHMQ-UHFFFAOYSA-N 0 0 425.467 -0.360 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000538829668 1073164877 /nfs/dbraw/zinc/16/48/77/1073164877.db2.gz JTFJJZKDRIAINM-UHFFFAOYSA-N 0 0 447.517 -0.501 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)Cn3ncn(C)c3=O)CC2)c1 ZINC000538829835 1073165068 /nfs/dbraw/zinc/16/50/68/1073165068.db2.gz CDQSQCJOXXBJHP-UHFFFAOYSA-N 0 0 425.467 -0.868 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)c3nc(C)n(-c4nc[nH]n4)n3)CC2)cc1 ZINC000538834464 1073165048 /nfs/dbraw/zinc/16/50/48/1073165048.db2.gz WCXXJPRSAXFOLX-UHFFFAOYSA-N 0 0 439.480 -0.110 20 0 IBADRN Cn1cnn(CC(=O)Nc2ccc(CN(C(=O)Cn3ncn(C)c3=O)C3CC3)cc2)c1=O ZINC000538835846 1073164909 /nfs/dbraw/zinc/16/49/09/1073164909.db2.gz USTBLXCNMSAMGC-UHFFFAOYSA-N 0 0 440.464 -0.693 20 0 IBADRN C[C@@H](NC(=O)c1nccc2c1cccc2S(N)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000538837616 1073164803 /nfs/dbraw/zinc/16/48/03/1073164803.db2.gz LZEAGYVODSQNDO-LLVKDONJSA-N 0 0 440.503 -0.743 20 0 IBADRN C[C@H](NC(=O)c1nccc2c1cccc2S(N)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000538837617 1073165023 /nfs/dbraw/zinc/16/50/23/1073165023.db2.gz LZEAGYVODSQNDO-NSHDSACASA-N 0 0 440.503 -0.743 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)co1 ZINC000538839481 1073165351 /nfs/dbraw/zinc/16/53/51/1073165351.db2.gz HUGIQVAJCITFGK-AWEZNQCLSA-N 0 0 436.494 -0.048 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)co1 ZINC000538839482 1073165384 /nfs/dbraw/zinc/16/53/84/1073165384.db2.gz HUGIQVAJCITFGK-CQSZACIVSA-N 0 0 436.494 -0.048 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)c2coc(S(N)(=O)=O)c2)CC1 ZINC000538839816 1073165246 /nfs/dbraw/zinc/16/52/46/1073165246.db2.gz AFAXIZHLEUURMW-UHFFFAOYSA-N 0 0 442.519 -0.207 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000538849421 1073165272 /nfs/dbraw/zinc/16/52/72/1073165272.db2.gz CAGGNLMWYYEBFP-HNNXBMFYSA-N 0 0 437.565 -0.071 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000538849422 1073165401 /nfs/dbraw/zinc/16/54/01/1073165401.db2.gz CAGGNLMWYYEBFP-OAHLLOKOSA-N 0 0 437.565 -0.071 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000538849775 1073165311 /nfs/dbraw/zinc/16/53/11/1073165311.db2.gz TVYJXZIBEKPVRU-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000538849776 1073165370 /nfs/dbraw/zinc/16/53/70/1073165370.db2.gz TVYJXZIBEKPVRU-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1 ZINC000538851860 1073165289 /nfs/dbraw/zinc/16/52/89/1073165289.db2.gz FXUXODPUPBSPKG-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1 ZINC000538851861 1073165327 /nfs/dbraw/zinc/16/53/27/1073165327.db2.gz FXUXODPUPBSPKG-NSHDSACASA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC3(C[C@H]3C(=O)N3CCCCC3)CC2)CC1 ZINC000538851891 1073165261 /nfs/dbraw/zinc/16/52/61/1073165261.db2.gz HUKKDYGJXVKQOH-INIZCTEOSA-N 0 0 448.611 -0.077 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC3(C[C@@H]3C(=O)N3CCCCC3)CC2)CC1 ZINC000538851892 1073165306 /nfs/dbraw/zinc/16/53/06/1073165306.db2.gz HUKKDYGJXVKQOH-MRXNPFEDSA-N 0 0 448.611 -0.077 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000538852581 1073165335 /nfs/dbraw/zinc/16/53/35/1073165335.db2.gz SFELFJVDDFKHPW-AWEZNQCLSA-N 0 0 425.554 -0.361 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000538852582 1073165236 /nfs/dbraw/zinc/16/52/36/1073165236.db2.gz SFELFJVDDFKHPW-CQSZACIVSA-N 0 0 425.554 -0.361 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)C1 ZINC000538852674 1073165340 /nfs/dbraw/zinc/16/53/40/1073165340.db2.gz XLYKPXWAXLEGCJ-GFCCVEGCSA-N 0 0 434.492 -0.038 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)C1 ZINC000538852675 1073165377 /nfs/dbraw/zinc/16/53/77/1073165377.db2.gz XLYKPXWAXLEGCJ-LBPRGKRZSA-N 0 0 434.492 -0.038 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000538854616 1073165740 /nfs/dbraw/zinc/16/57/40/1073165740.db2.gz PGXPJRAGQZZVLA-INIZCTEOSA-N 0 0 437.522 -0.518 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000538854617 1073165749 /nfs/dbraw/zinc/16/57/49/1073165749.db2.gz PGXPJRAGQZZVLA-MRXNPFEDSA-N 0 0 437.522 -0.518 20 0 IBADRN COCCN(C(=O)Cn1ncn(C)c1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000538854961 1073165719 /nfs/dbraw/zinc/16/57/19/1073165719.db2.gz CWKZSJVSICITMI-UHFFFAOYSA-N 0 0 429.437 -0.846 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2ncn(C)c2=O)CC1 ZINC000538857065 1073165842 /nfs/dbraw/zinc/16/58/42/1073165842.db2.gz LWIQMFUVKDCJMO-UHFFFAOYSA-N 0 0 441.466 -0.889 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)co1 ZINC000538860657 1073166169 /nfs/dbraw/zinc/16/61/69/1073166169.db2.gz KNDGVSFHTHVFMD-UHFFFAOYSA-N 0 0 435.505 -0.061 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000538870205 1073166273 /nfs/dbraw/zinc/16/62/73/1073166273.db2.gz MDBCJWWVIPXZPP-UHFFFAOYSA-N 0 0 433.508 -0.918 20 0 IBADRN CN(CCN(C)C(=O)c1cnc2c(c1)NC(=O)CO2)C(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000538871556 1073166214 /nfs/dbraw/zinc/16/62/14/1073166214.db2.gz MCXFGAGCBFKNLN-UHFFFAOYSA-N 0 0 440.416 -0.017 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(O)c(NC(=O)CN2CCN(S(C)(=O)=O)CC2)c1 ZINC000538873600 1073166180 /nfs/dbraw/zinc/16/61/80/1073166180.db2.gz YMUKRESLGJCMPU-UHFFFAOYSA-N 0 0 448.567 -0.062 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1ncn(-c2ccccc2)n1)S(C)(=O)=O ZINC000538875242 1073166320 /nfs/dbraw/zinc/16/63/20/1073166320.db2.gz XBKXIFXDLVCYQW-UHFFFAOYSA-N 0 0 429.524 -0.420 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cc(S(=O)(=O)NC3CC3)ccc1O)c(=O)n2C ZINC000538879654 1073166570 /nfs/dbraw/zinc/16/65/70/1073166570.db2.gz FSPQHBFTZAUFQJ-UHFFFAOYSA-N 0 0 448.461 -0.781 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000538894451 1073166626 /nfs/dbraw/zinc/16/66/26/1073166626.db2.gz ZZBZZKFTUYNNNT-GFCCVEGCSA-N 0 0 444.473 -0.025 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000538894452 1073166587 /nfs/dbraw/zinc/16/65/87/1073166587.db2.gz ZZBZZKFTUYNNNT-LBPRGKRZSA-N 0 0 444.473 -0.025 20 0 IBADRN CC(C)(C)C(=O)NCCc1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)s1 ZINC000538896068 1073166607 /nfs/dbraw/zinc/16/66/07/1073166607.db2.gz AZDUMOFISYAUGZ-UHFFFAOYSA-N 0 0 438.597 -0.037 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2)c1=O ZINC000538901479 1073167854 /nfs/dbraw/zinc/16/78/54/1073167854.db2.gz OBMPOGFHKHIHPD-UHFFFAOYSA-N 0 0 427.465 -0.131 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000538905135 1073167835 /nfs/dbraw/zinc/16/78/35/1073167835.db2.gz JODTWGXIHIXPLB-GOSISDBHSA-N 0 0 438.550 -0.211 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000538905136 1073167915 /nfs/dbraw/zinc/16/79/15/1073167915.db2.gz JODTWGXIHIXPLB-SFHVURJKSA-N 0 0 438.550 -0.211 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2)c1=O ZINC000538907163 1073167699 /nfs/dbraw/zinc/16/76/99/1073167699.db2.gz NNGCECHLMMPBNJ-UHFFFAOYSA-N 0 0 429.481 -0.421 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000538910860 1074364737 /nfs/dbraw/zinc/36/47/37/1074364737.db2.gz NUWXPPIVEIRZDG-GFCCVEGCSA-N 0 0 438.510 -0.469 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000538910861 1074364458 /nfs/dbraw/zinc/36/44/58/1074364458.db2.gz NUWXPPIVEIRZDG-LBPRGKRZSA-N 0 0 438.510 -0.469 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000538912277 1073167030 /nfs/dbraw/zinc/16/70/30/1073167030.db2.gz KCOABEGKKPLYJR-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000538912278 1073167025 /nfs/dbraw/zinc/16/70/25/1073167025.db2.gz KCOABEGKKPLYJR-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000538917561 1073166986 /nfs/dbraw/zinc/16/69/86/1073166986.db2.gz BKQYJAXIABWGLG-KLHDSHLOSA-N 0 0 449.595 -0.364 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000538917562 1073167022 /nfs/dbraw/zinc/16/70/22/1073167022.db2.gz BKQYJAXIABWGLG-LVQVYYBASA-N 0 0 449.595 -0.364 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000538917563 1073167018 /nfs/dbraw/zinc/16/70/18/1073167018.db2.gz BKQYJAXIABWGLG-XUWVNRHRSA-N 0 0 449.595 -0.364 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000538917564 1073167401 /nfs/dbraw/zinc/16/74/01/1073167401.db2.gz BKQYJAXIABWGLG-ZJIFWQFVSA-N 0 0 449.595 -0.364 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CC(=O)N(c3c(F)cccc3F)C2)CC1 ZINC000538917940 1073167484 /nfs/dbraw/zinc/16/74/84/1073167484.db2.gz NYAFVAFLMRZGCM-GFCCVEGCSA-N 0 0 434.407 -0.389 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CC(=O)N(c3c(F)cccc3F)C2)CC1 ZINC000538917941 1073167439 /nfs/dbraw/zinc/16/74/39/1073167439.db2.gz NYAFVAFLMRZGCM-LBPRGKRZSA-N 0 0 434.407 -0.389 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000538919122 1073167383 /nfs/dbraw/zinc/16/73/83/1073167383.db2.gz XVIYBKXFSOJGRA-AWEZNQCLSA-N 0 0 431.536 -0.139 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000538919123 1073167392 /nfs/dbraw/zinc/16/73/92/1073167392.db2.gz XVIYBKXFSOJGRA-CQSZACIVSA-N 0 0 431.536 -0.139 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)C1 ZINC000538919773 1073167478 /nfs/dbraw/zinc/16/74/78/1073167478.db2.gz RUZHLXPKJULHSH-HNNXBMFYSA-N 0 0 446.551 -0.148 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)C1 ZINC000538919774 1073167444 /nfs/dbraw/zinc/16/74/44/1073167444.db2.gz RUZHLXPKJULHSH-OAHLLOKOSA-N 0 0 446.551 -0.148 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)C1 ZINC000538919914 1073167408 /nfs/dbraw/zinc/16/74/08/1073167408.db2.gz WBWUXPULIPQTAS-GFCCVEGCSA-N 0 0 443.478 -0.031 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)C1 ZINC000538919915 1073167485 /nfs/dbraw/zinc/16/74/85/1073167485.db2.gz WBWUXPULIPQTAS-LBPRGKRZSA-N 0 0 443.478 -0.031 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)C1 ZINC000538919928 1073167474 /nfs/dbraw/zinc/16/74/74/1073167474.db2.gz WMAAVRAZKOEJPG-AWEZNQCLSA-N 0 0 448.567 -0.581 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)C1 ZINC000538919929 1073167365 /nfs/dbraw/zinc/16/73/65/1073167365.db2.gz WMAAVRAZKOEJPG-CQSZACIVSA-N 0 0 448.567 -0.581 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NCC)C2)cc1 ZINC000538920474 1073169529 /nfs/dbraw/zinc/16/95/29/1073169529.db2.gz LSQMMTXVYUVGKM-HNNXBMFYSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NCC)C2)cc1 ZINC000538920475 1073169560 /nfs/dbraw/zinc/16/95/60/1073169560.db2.gz LSQMMTXVYUVGKM-OAHLLOKOSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000538920649 1073169520 /nfs/dbraw/zinc/16/95/20/1073169520.db2.gz QJUROVMFNOETIB-DOMZBBRYSA-N 0 0 444.535 -0.773 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000538920650 1073169525 /nfs/dbraw/zinc/16/95/25/1073169525.db2.gz QJUROVMFNOETIB-IUODEOHRSA-N 0 0 444.535 -0.773 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000538920651 1073169558 /nfs/dbraw/zinc/16/95/58/1073169558.db2.gz QJUROVMFNOETIB-SWLSCSKDSA-N 0 0 444.535 -0.773 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000538920652 1073169552 /nfs/dbraw/zinc/16/95/52/1073169552.db2.gz QJUROVMFNOETIB-WFASDCNBSA-N 0 0 444.535 -0.773 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)C1 ZINC000538921169 1073169906 /nfs/dbraw/zinc/16/99/06/1073169906.db2.gz IRAWNCBEUPASQO-CYBMUJFWSA-N 0 0 435.499 -0.151 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)C1 ZINC000538921170 1073169880 /nfs/dbraw/zinc/16/98/80/1073169880.db2.gz IRAWNCBEUPASQO-ZDUSSCGKSA-N 0 0 435.499 -0.151 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000538921215 1073169576 /nfs/dbraw/zinc/16/95/76/1073169576.db2.gz JUGJLKGBQDZNQI-HNNXBMFYSA-N 0 0 440.526 -0.579 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000538921216 1073169911 /nfs/dbraw/zinc/16/99/11/1073169911.db2.gz JUGJLKGBQDZNQI-OAHLLOKOSA-N 0 0 440.526 -0.579 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)C1 ZINC000538921455 1073169599 /nfs/dbraw/zinc/16/95/99/1073169599.db2.gz QUJXJLPWEQAIKM-CYBMUJFWSA-N 0 0 432.524 -0.618 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC)ccc2C)C1 ZINC000538921456 1073169608 /nfs/dbraw/zinc/16/96/08/1073169608.db2.gz QUJXJLPWEQAIKM-ZDUSSCGKSA-N 0 0 432.524 -0.618 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000538921569 1073169591 /nfs/dbraw/zinc/16/95/91/1073169591.db2.gz UTBGOQPBFHTVKW-AWEZNQCLSA-N 0 0 432.524 -0.584 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000538921570 1073169571 /nfs/dbraw/zinc/16/95/71/1073169571.db2.gz UTBGOQPBFHTVKW-CQSZACIVSA-N 0 0 432.524 -0.584 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)C1 ZINC000538921577 1073169612 /nfs/dbraw/zinc/16/96/12/1073169612.db2.gz UYKLXFFDDJFTGR-GFCCVEGCSA-N 0 0 428.467 -0.962 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(OCC(N)=O)c2)C1 ZINC000538921578 1073169500 /nfs/dbraw/zinc/16/95/00/1073169500.db2.gz UYKLXFFDDJFTGR-LBPRGKRZSA-N 0 0 428.467 -0.962 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000538921878 1073169567 /nfs/dbraw/zinc/16/95/67/1073169567.db2.gz CSOUUVQHJIIDPK-DOMZBBRYSA-N 0 0 436.490 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000538921879 1073169509 /nfs/dbraw/zinc/16/95/09/1073169509.db2.gz CSOUUVQHJIIDPK-IUODEOHRSA-N 0 0 436.490 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000538921880 1073169589 /nfs/dbraw/zinc/16/95/89/1073169589.db2.gz CSOUUVQHJIIDPK-SWLSCSKDSA-N 0 0 436.490 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000538921881 1073169515 /nfs/dbraw/zinc/16/95/15/1073169515.db2.gz CSOUUVQHJIIDPK-WFASDCNBSA-N 0 0 436.490 -0.543 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(c3c(F)cccc3F)C2)c[nH]1 ZINC000538922312 1073169927 /nfs/dbraw/zinc/16/99/27/1073169927.db2.gz QJGCTSIRYAAMSQ-SECBINFHSA-N 0 0 441.416 -0.408 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(c3c(F)cccc3F)C2)c[nH]1 ZINC000538922313 1073169871 /nfs/dbraw/zinc/16/98/71/1073169871.db2.gz QJGCTSIRYAAMSQ-VIFPVBQESA-N 0 0 441.416 -0.408 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2OC)C1 ZINC000538922574 1073169893 /nfs/dbraw/zinc/16/98/93/1073169893.db2.gz ZRTOMFQFGUUMMK-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C(=O)N(C)C)ccc2OC)C1 ZINC000538922575 1073169932 /nfs/dbraw/zinc/16/99/32/1073169932.db2.gz ZRTOMFQFGUUMMK-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000538924321 1073169861 /nfs/dbraw/zinc/16/98/61/1073169861.db2.gz GPYRZDGIQGQDPE-HNNXBMFYSA-N 0 0 444.535 -0.295 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000538924322 1073169888 /nfs/dbraw/zinc/16/98/88/1073169888.db2.gz GPYRZDGIQGQDPE-OAHLLOKOSA-N 0 0 444.535 -0.295 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000538924476 1073169912 /nfs/dbraw/zinc/16/99/12/1073169912.db2.gz LLTSPHVUQLXJGA-CYBMUJFWSA-N 0 0 437.482 -0.542 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000538924477 1073169884 /nfs/dbraw/zinc/16/98/84/1073169884.db2.gz LLTSPHVUQLXJGA-ZDUSSCGKSA-N 0 0 437.482 -0.542 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1 ZINC000538924596 1073169916 /nfs/dbraw/zinc/16/99/16/1073169916.db2.gz PCGPUVIYPRYVKY-DOMZBBRYSA-N 0 0 425.463 -0.506 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1 ZINC000538924597 1073169867 /nfs/dbraw/zinc/16/98/67/1073169867.db2.gz PCGPUVIYPRYVKY-IUODEOHRSA-N 0 0 425.463 -0.506 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1 ZINC000538924598 1073169923 /nfs/dbraw/zinc/16/99/23/1073169923.db2.gz PCGPUVIYPRYVKY-SWLSCSKDSA-N 0 0 425.463 -0.506 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1 ZINC000538924599 1073169882 /nfs/dbraw/zinc/16/98/82/1073169882.db2.gz PCGPUVIYPRYVKY-WFASDCNBSA-N 0 0 425.463 -0.506 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)C1 ZINC000538924689 1073169920 /nfs/dbraw/zinc/16/99/20/1073169920.db2.gz RSPQQLQURHWAEH-AWEZNQCLSA-N 0 0 437.478 -0.436 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)C1 ZINC000538924690 1073169935 /nfs/dbraw/zinc/16/99/35/1073169935.db2.gz RSPQQLQURHWAEH-CQSZACIVSA-N 0 0 437.478 -0.436 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000538924956 1073169890 /nfs/dbraw/zinc/16/98/90/1073169890.db2.gz ZYNCUJBMFQBJMQ-HNNXBMFYSA-N 0 0 444.535 -0.394 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000538924957 1073169875 /nfs/dbraw/zinc/16/98/75/1073169875.db2.gz ZYNCUJBMFQBJMQ-OAHLLOKOSA-N 0 0 444.535 -0.394 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)CCO2)n1 ZINC000538931813 1073168255 /nfs/dbraw/zinc/16/82/55/1073168255.db2.gz MQMHOPQRCFUUQE-KRWDZBQOSA-N 0 0 440.464 -0.355 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)CCO2)n1 ZINC000538931819 1073168249 /nfs/dbraw/zinc/16/82/49/1073168249.db2.gz MQMHOPQRCFUUQE-QGZVFWFLSA-N 0 0 440.464 -0.355 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(c4ccc(C(N)=O)cc4)CC3)cc2)c1=O ZINC000538931858 1073168207 /nfs/dbraw/zinc/16/82/07/1073168207.db2.gz JGUHOHVRRNGZSC-UHFFFAOYSA-N 0 0 449.471 -0.043 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000538932489 1073168294 /nfs/dbraw/zinc/16/82/94/1073168294.db2.gz QRNXZNIDLADPSI-UHFFFAOYSA-N 0 0 430.465 -0.347 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)cc2)c1=O ZINC000538932646 1073168253 /nfs/dbraw/zinc/16/82/53/1073168253.db2.gz PUDXZIUNPSKODX-UHFFFAOYSA-N 0 0 444.473 -0.484 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000538933649 1073168214 /nfs/dbraw/zinc/16/82/14/1073168214.db2.gz DVWZEJQSUPKTTN-UHFFFAOYSA-N 0 0 443.508 -0.478 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000538933658 1073168756 /nfs/dbraw/zinc/16/87/56/1073168756.db2.gz FEUIULPGGQWADE-UHFFFAOYSA-N 0 0 444.492 -0.099 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000538933963 1073168644 /nfs/dbraw/zinc/16/86/44/1073168644.db2.gz VNMMLZPQEXHSHC-HNNXBMFYSA-N 0 0 443.508 -0.432 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000538933967 1073168751 /nfs/dbraw/zinc/16/87/51/1073168751.db2.gz VNMMLZPQEXHSHC-OAHLLOKOSA-N 0 0 443.508 -0.432 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)cc2)c1=O ZINC000538936516 1073169159 /nfs/dbraw/zinc/16/91/59/1073169159.db2.gz HGUWZBLVGSNITA-GFCCVEGCSA-N 0 0 426.355 -0.211 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)cc2)c1=O ZINC000538936517 1073169071 /nfs/dbraw/zinc/16/90/71/1073169071.db2.gz HGUWZBLVGSNITA-LBPRGKRZSA-N 0 0 426.355 -0.211 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCCO4)CC2)CC1 ZINC000538939360 1073169115 /nfs/dbraw/zinc/16/91/15/1073169115.db2.gz ROKPBHYWBPNJPQ-UHFFFAOYSA-N 0 0 444.579 -0.190 20 0 IBADRN CC(C)C[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000538944214 1073170371 /nfs/dbraw/zinc/17/03/71/1073170371.db2.gz XMSVEJREURCUDA-LLVKDONJSA-N 0 0 448.531 -0.647 20 0 IBADRN CC(C)C[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000538944215 1073170390 /nfs/dbraw/zinc/17/03/90/1073170390.db2.gz XMSVEJREURCUDA-NSHDSACASA-N 0 0 448.531 -0.647 20 0 IBADRN NC(=O)c1ccc(C(=O)NC[C@@H]2CSCCN2C(=O)c2ccc(C(N)=O)nc2)cn1 ZINC000538952178 1073170820 /nfs/dbraw/zinc/17/08/20/1073170820.db2.gz XRVBEPIYAFEJRK-CYBMUJFWSA-N 0 0 428.474 -0.338 20 0 IBADRN NC(=O)c1ccc(C(=O)NC[C@H]2CSCCN2C(=O)c2ccc(C(N)=O)nc2)cn1 ZINC000538952179 1073170945 /nfs/dbraw/zinc/17/09/45/1073170945.db2.gz XRVBEPIYAFEJRK-ZDUSSCGKSA-N 0 0 428.474 -0.338 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@@H]1CSCCN1C(=O)CCN1C(=O)CCC1=O ZINC000538952233 1073170876 /nfs/dbraw/zinc/17/08/76/1073170876.db2.gz CRJHSSBPWZQWSI-CYBMUJFWSA-N 0 0 438.506 -0.875 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@H]1CSCCN1C(=O)CCN1C(=O)CCC1=O ZINC000538952234 1073170870 /nfs/dbraw/zinc/17/08/70/1073170870.db2.gz CRJHSSBPWZQWSI-ZDUSSCGKSA-N 0 0 438.506 -0.875 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CC[C@@H](S(=O)(=O)NCC)C2)c(=O)[nH]c1=O ZINC000538953460 1073170888 /nfs/dbraw/zinc/17/08/88/1073170888.db2.gz HIQRDHDGNSTOMK-CYBMUJFWSA-N 0 0 444.558 -0.302 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CC[C@H](S(=O)(=O)NCC)C2)c(=O)[nH]c1=O ZINC000538953462 1073170952 /nfs/dbraw/zinc/17/09/52/1073170952.db2.gz HIQRDHDGNSTOMK-ZDUSSCGKSA-N 0 0 444.558 -0.302 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C1 ZINC000538953759 1073170967 /nfs/dbraw/zinc/17/09/67/1073170967.db2.gz OFEIDPYTCGIUBJ-HNNXBMFYSA-N 0 0 449.533 -0.626 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C1 ZINC000538953761 1073170948 /nfs/dbraw/zinc/17/09/48/1073170948.db2.gz OFEIDPYTCGIUBJ-OAHLLOKOSA-N 0 0 449.533 -0.626 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000538957520 1073171323 /nfs/dbraw/zinc/17/13/23/1073171323.db2.gz HPZFMRWOKABNLZ-IBGZPJMESA-N 0 0 448.568 -0.587 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000538957521 1073171334 /nfs/dbraw/zinc/17/13/34/1073171334.db2.gz HPZFMRWOKABNLZ-LJQANCHMSA-N 0 0 448.568 -0.587 20 0 IBADRN CN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)[C@@H](C(N)=O)c1cccc(Br)c1 ZINC000538957845 1073170916 /nfs/dbraw/zinc/17/09/16/1073170916.db2.gz DHXPPGCPAYKZEB-CYBMUJFWSA-N 0 0 438.282 -0.230 20 0 IBADRN CN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)[C@H](C(N)=O)c1cccc(Br)c1 ZINC000538957847 1073171366 /nfs/dbraw/zinc/17/13/66/1073171366.db2.gz DHXPPGCPAYKZEB-ZDUSSCGKSA-N 0 0 438.282 -0.230 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)C2(C(N)=O)CCCC2)CC1 ZINC000538961342 1073171343 /nfs/dbraw/zinc/17/13/43/1073171343.db2.gz CNDUFJYBRCLXMT-UHFFFAOYSA-N 0 0 427.527 -0.146 20 0 IBADRN CCCCN(C(=O)CN1CC[C@@H](S(N)(=O)=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000538961373 1073171270 /nfs/dbraw/zinc/17/12/70/1073171270.db2.gz FVYLOLSYELECIA-GFCCVEGCSA-N 0 0 430.531 -0.563 20 0 IBADRN CCCCN(C(=O)CN1CC[C@H](S(N)(=O)=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000538961374 1073171320 /nfs/dbraw/zinc/17/13/20/1073171320.db2.gz FVYLOLSYELECIA-LBPRGKRZSA-N 0 0 430.531 -0.563 20 0 IBADRN Cn1c2[nH]c(CN3CCN(CC(=O)Nc4ccccc4F)CC3)nc2c(=O)n(C)c1=O ZINC000538961547 1073171335 /nfs/dbraw/zinc/17/13/35/1073171335.db2.gz QDTLJSUIGJYCRC-UHFFFAOYSA-N 0 0 429.456 -0.144 20 0 IBADRN Cn1c2[nH]c(CN3CCO[C@@H](CN4C(=O)c5ccccc5C4=O)C3)nc2c(=O)n(C)c1=O ZINC000538961793 1073171249 /nfs/dbraw/zinc/17/12/49/1073171249.db2.gz DRUWYHGRYVXDGP-GFCCVEGCSA-N 0 0 438.444 -0.543 20 0 IBADRN Cn1c2[nH]c(CN3CCO[C@H](CN4C(=O)c5ccccc5C4=O)C3)nc2c(=O)n(C)c1=O ZINC000538961794 1073171359 /nfs/dbraw/zinc/17/13/59/1073171359.db2.gz DRUWYHGRYVXDGP-LBPRGKRZSA-N 0 0 438.444 -0.543 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CC[C@H](S(N)(=O)=O)C2)CC1 ZINC000538962009 1073171687 /nfs/dbraw/zinc/17/16/87/1073171687.db2.gz QGBRRHMRQLFCRF-KRWDZBQOSA-N 0 0 437.566 -0.306 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CC[C@@H](S(N)(=O)=O)C2)CC1 ZINC000538962010 1073171756 /nfs/dbraw/zinc/17/17/56/1073171756.db2.gz QGBRRHMRQLFCRF-QGZVFWFLSA-N 0 0 437.566 -0.306 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000538962337 1073171811 /nfs/dbraw/zinc/17/18/11/1073171811.db2.gz BMIYIRJJIFAMJT-HNNXBMFYSA-N 0 0 434.515 -0.979 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000538962338 1073171634 /nfs/dbraw/zinc/17/16/34/1073171634.db2.gz BMIYIRJJIFAMJT-OAHLLOKOSA-N 0 0 434.515 -0.979 20 0 IBADRN CC(C)CN(C(=O)CN1CC[C@@H](S(N)(=O)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000538962855 1073171566 /nfs/dbraw/zinc/17/15/66/1073171566.db2.gz OUQGTLWVVWTZCK-CYBMUJFWSA-N 0 0 444.558 -0.461 20 0 IBADRN CC(C)CN(C(=O)CN1CC[C@H](S(N)(=O)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000538962857 1073171792 /nfs/dbraw/zinc/17/17/92/1073171792.db2.gz OUQGTLWVVWTZCK-ZDUSSCGKSA-N 0 0 444.558 -0.461 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)C1 ZINC000538963916 1073171561 /nfs/dbraw/zinc/17/15/61/1073171561.db2.gz OZHQGVQVWGDJLH-CABCVRRESA-N 0 0 431.541 -0.227 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)C1 ZINC000538963927 1073171596 /nfs/dbraw/zinc/17/15/96/1073171596.db2.gz OZHQGVQVWGDJLH-GJZGRUSLSA-N 0 0 431.541 -0.227 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)C1 ZINC000538963930 1073171535 /nfs/dbraw/zinc/17/15/35/1073171535.db2.gz OZHQGVQVWGDJLH-HUUCEWRRSA-N 0 0 431.541 -0.227 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)C1 ZINC000538963932 1073171808 /nfs/dbraw/zinc/17/18/08/1073171808.db2.gz OZHQGVQVWGDJLH-LSDHHAIUSA-N 0 0 431.541 -0.227 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](S(N)(=O)=O)C3)CC2)cc1C ZINC000538964111 1073171738 /nfs/dbraw/zinc/17/17/38/1073171738.db2.gz RVFJOSSRGKZDBS-KRWDZBQOSA-N 0 0 444.579 -0.501 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](S(N)(=O)=O)C3)CC2)cc1C ZINC000538964114 1073171604 /nfs/dbraw/zinc/17/16/04/1073171604.db2.gz RVFJOSSRGKZDBS-QGZVFWFLSA-N 0 0 444.579 -0.501 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000538966625 1073171279 /nfs/dbraw/zinc/17/12/79/1073171279.db2.gz VGCSOMWXJIEVOX-UHFFFAOYSA-N 0 0 441.452 -0.415 20 0 IBADRN Cn1c2[nH]c(CN3CCN(CCNC(=O)c4ccccc4F)CC3)nc2c(=O)n(C)c1=O ZINC000538966758 1073171353 /nfs/dbraw/zinc/17/13/53/1073171353.db2.gz CPFXBAZIPIRKJV-UHFFFAOYSA-N 0 0 443.483 -0.353 20 0 IBADRN COCCN(CC(=O)N1CCN(C)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000538967490 1073171337 /nfs/dbraw/zinc/17/13/37/1073171337.db2.gz ADEOWVVEIQVQIW-UHFFFAOYSA-N 0 0 430.509 -0.194 20 0 IBADRN COCCn1c(N)c(N(CC(=O)N2CCN(C)CC2)Cc2ccccc2)c(=O)[nH]c1=O ZINC000538969399 1073171258 /nfs/dbraw/zinc/17/12/58/1073171258.db2.gz XWOYSXSBHHNRIE-UHFFFAOYSA-N 0 0 430.509 -0.042 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OC)c(C(=O)OC)c1OC ZINC000538978998 1073171361 /nfs/dbraw/zinc/17/13/61/1073171361.db2.gz PHRKOUZXEQEBPO-LLVKDONJSA-N 0 0 443.478 -0.494 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OC)c(C(=O)OC)c1OC ZINC000538979000 1073171675 /nfs/dbraw/zinc/17/16/75/1073171675.db2.gz PHRKOUZXEQEBPO-NSHDSACASA-N 0 0 443.478 -0.494 20 0 IBADRN Cn1c2[nH]c(CN3CCN(C(=O)COc4ccc(F)cc4)CC3)nc2c(=O)n(C)c1=O ZINC000538979094 1073171544 /nfs/dbraw/zinc/17/15/44/1073171544.db2.gz HAYYNIICJHHAAJ-UHFFFAOYSA-N 0 0 430.440 -0.177 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(CC(=O)NCCOC)CC2)c1C ZINC000538980494 1073171830 /nfs/dbraw/zinc/17/18/30/1073171830.db2.gz QHZQQXQKPIGCMN-UHFFFAOYSA-N 0 0 427.527 -0.185 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CCCCC4)C3)cnc2n(C)c1=O ZINC000538985895 1073172081 /nfs/dbraw/zinc/17/20/81/1073172081.db2.gz MPUFWRLJSAPCBP-CYBMUJFWSA-N 0 0 442.476 -0.380 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CCCCC4)C3)cnc2n(C)c1=O ZINC000538985897 1073172024 /nfs/dbraw/zinc/17/20/24/1073172024.db2.gz MPUFWRLJSAPCBP-ZDUSSCGKSA-N 0 0 442.476 -0.380 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000538986717 1073172107 /nfs/dbraw/zinc/17/21/07/1073172107.db2.gz GAUOBXMGAZLHPF-KBPBESRZSA-N 0 0 443.530 -0.867 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000538986720 1073171997 /nfs/dbraw/zinc/17/19/97/1073171997.db2.gz GAUOBXMGAZLHPF-OKILXGFUSA-N 0 0 443.530 -0.867 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000538986721 1073172076 /nfs/dbraw/zinc/17/20/76/1073172076.db2.gz GAUOBXMGAZLHPF-ZIAGYGMSSA-N 0 0 443.530 -0.867 20 0 IBADRN COc1ccc(COCCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000538995117 1073172048 /nfs/dbraw/zinc/17/20/48/1073172048.db2.gz IHTNJIBACKZALZ-UHFFFAOYSA-N 0 0 434.493 -0.076 20 0 IBADRN CCn1cnnc1C1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000538997701 1073171979 /nfs/dbraw/zinc/17/19/79/1073171979.db2.gz NECCYYXCSBHPDS-UHFFFAOYSA-N 0 0 433.557 -0.520 20 0 IBADRN Cc1cn2c(ncc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c2=O)s1 ZINC000539000119 1073172006 /nfs/dbraw/zinc/17/20/06/1073172006.db2.gz RLJRWZCFCGUKAX-UHFFFAOYSA-N 0 0 440.551 -0.686 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000539018347 1073172579 /nfs/dbraw/zinc/17/25/79/1073172579.db2.gz IEOOEDMPADEOBI-AWEZNQCLSA-N 0 0 429.481 -0.226 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000539018350 1073172556 /nfs/dbraw/zinc/17/25/56/1073172556.db2.gz IEOOEDMPADEOBI-CQSZACIVSA-N 0 0 429.481 -0.226 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CCCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000539027411 1073172521 /nfs/dbraw/zinc/17/25/21/1073172521.db2.gz BYIUHKGKLBBHHL-INIZCTEOSA-N 0 0 443.570 -0.176 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CCCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000539027414 1073172846 /nfs/dbraw/zinc/17/28/46/1073172846.db2.gz BYIUHKGKLBBHHL-MRXNPFEDSA-N 0 0 443.570 -0.176 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(CNC(=O)c3ccccn3)CC2)c[nH]1 ZINC000539033996 1073172917 /nfs/dbraw/zinc/17/29/17/1073172917.db2.gz BMQUARCFXYEMHF-UHFFFAOYSA-N 0 0 448.505 -0.545 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1nnc(-c2ccccc2)n1C)S(C)(=O)=O ZINC000539103350 1073174031 /nfs/dbraw/zinc/17/40/31/1073174031.db2.gz FFWQPGYAIKXMFV-UHFFFAOYSA-N 0 0 443.551 -0.205 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(CC(=O)NC)CC2)s1 ZINC000539106630 1073173751 /nfs/dbraw/zinc/17/37/51/1073173751.db2.gz BMRDWAAISURMTP-UHFFFAOYSA-N 0 0 438.597 -0.718 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)o1 ZINC000539106638 1073173659 /nfs/dbraw/zinc/17/36/59/1073173659.db2.gz BSUMDRJVPAOZGO-UHFFFAOYSA-N 0 0 449.532 -0.069 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCS(=O)(=O)NC(C)C)s1 ZINC000539107069 1073173592 /nfs/dbraw/zinc/17/35/92/1073173592.db2.gz LVBDVCMWQIDTML-UHFFFAOYSA-N 0 0 447.626 -0.164 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)s1 ZINC000539107149 1073173743 /nfs/dbraw/zinc/17/37/43/1073173743.db2.gz NNQNTVKWOIYFMM-UHFFFAOYSA-N 0 0 445.610 -0.504 20 0 IBADRN CCn1ccnc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)c1=O ZINC000539109007 1073173621 /nfs/dbraw/zinc/17/36/21/1073173621.db2.gz QFTTTYDDUMHLRK-UHFFFAOYSA-N 0 0 427.508 -0.579 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)c1ccc(CCNS(=O)(=O)CC)s1 ZINC000539109591 1073174837 /nfs/dbraw/zinc/17/48/37/1073174837.db2.gz RQSCOTHBVYGMEA-UHFFFAOYSA-N 0 0 433.599 -0.553 20 0 IBADRN Cc1cccn2c(=O)c(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cnc12 ZINC000539111943 1073174041 /nfs/dbraw/zinc/17/40/41/1073174041.db2.gz FAZVCHHQDFFTMI-UHFFFAOYSA-N 0 0 434.522 -0.747 20 0 IBADRN Cc1cccn2c1ncc(C(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)c2=O ZINC000539113514 1073174177 /nfs/dbraw/zinc/17/41/77/1073174177.db2.gz YOQNPSNDYJEXDI-UHFFFAOYSA-N 0 0 445.457 -0.158 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000539113740 1073174161 /nfs/dbraw/zinc/17/41/61/1073174161.db2.gz JDKMNVWXLVZCQZ-AWEZNQCLSA-N 0 0 425.554 -0.231 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000539113741 1073174051 /nfs/dbraw/zinc/17/40/51/1073174051.db2.gz JDKMNVWXLVZCQZ-CQSZACIVSA-N 0 0 425.554 -0.231 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)c(OC)c1 ZINC000539113988 1073174022 /nfs/dbraw/zinc/17/40/22/1073174022.db2.gz QXLUQHHFSFCZCK-LLVKDONJSA-N 0 0 427.526 -0.492 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)c(OC)c1 ZINC000539113989 1073174016 /nfs/dbraw/zinc/17/40/16/1073174016.db2.gz QXLUQHHFSFCZCK-NSHDSACASA-N 0 0 427.526 -0.492 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)s1 ZINC000539114042 1073174092 /nfs/dbraw/zinc/17/40/92/1073174092.db2.gz APJMCAKZLGJBJP-LLVKDONJSA-N 0 0 446.594 -0.678 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)s1 ZINC000539114055 1073174150 /nfs/dbraw/zinc/17/41/50/1073174150.db2.gz APJMCAKZLGJBJP-NSHDSACASA-N 0 0 446.594 -0.678 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(CCOC)C(=O)C2)s1 ZINC000539114238 1073174138 /nfs/dbraw/zinc/17/41/38/1073174138.db2.gz GFEMCLQPXCQHFE-UHFFFAOYSA-N 0 0 439.581 -0.291 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)s1 ZINC000539116646 1073174155 /nfs/dbraw/zinc/17/41/55/1073174155.db2.gz CEEPXCIOIQCBOV-CMPLNLGQSA-N 0 0 425.554 -0.459 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)s1 ZINC000539116647 1073174099 /nfs/dbraw/zinc/17/40/99/1073174099.db2.gz CEEPXCIOIQCBOV-JQWIXIFHSA-N 0 0 425.554 -0.459 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)s1 ZINC000539116648 1073174061 /nfs/dbraw/zinc/17/40/61/1073174061.db2.gz CEEPXCIOIQCBOV-PWSUYJOCSA-N 0 0 425.554 -0.459 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@H]2CC[C@H](C(N)=O)O2)s1 ZINC000539116649 1073174576 /nfs/dbraw/zinc/17/45/76/1073174576.db2.gz CEEPXCIOIQCBOV-ZYHUDNBSSA-N 0 0 425.554 -0.459 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)s1 ZINC000539117969 1073174570 /nfs/dbraw/zinc/17/45/70/1073174570.db2.gz QJIDTDOUILWMLF-PWSUYJOCSA-N 0 0 425.554 -0.507 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)s1 ZINC000539117972 1073174477 /nfs/dbraw/zinc/17/44/77/1073174477.db2.gz QJIDTDOUILWMLF-ZYHUDNBSSA-N 0 0 425.554 -0.507 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCOC[C@@H]2C(=O)OC)s1 ZINC000539118195 1073174492 /nfs/dbraw/zinc/17/44/92/1073174492.db2.gz ZPEMFAWAPMMDMK-GFCCVEGCSA-N 0 0 426.538 -0.208 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCOC[C@H]2C(=O)OC)s1 ZINC000539118196 1073174560 /nfs/dbraw/zinc/17/45/60/1073174560.db2.gz ZPEMFAWAPMMDMK-LBPRGKRZSA-N 0 0 426.538 -0.208 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000539124131 1073174527 /nfs/dbraw/zinc/17/45/27/1073174527.db2.gz GWOFXAYXIDUGTH-UHFFFAOYSA-N 0 0 445.567 -0.093 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)n1 ZINC000539127091 1073304909 /nfs/dbraw/zinc/30/49/09/1073304909.db2.gz VRALXLRCXATVHO-HNNXBMFYSA-N 0 0 429.481 -0.304 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)n1 ZINC000539127103 1073304814 /nfs/dbraw/zinc/30/48/14/1073304814.db2.gz VRALXLRCXATVHO-OAHLLOKOSA-N 0 0 429.481 -0.304 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000539129117 1073174826 /nfs/dbraw/zinc/17/48/26/1073174826.db2.gz JZRAXDWJFACFNG-UHFFFAOYSA-N 0 0 426.528 -0.178 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000539129215 1073174855 /nfs/dbraw/zinc/17/48/55/1073174855.db2.gz NUFDCHYJWZOVRF-GFCCVEGCSA-N 0 0 445.523 -0.346 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000539129216 1073175314 /nfs/dbraw/zinc/17/53/14/1073175314.db2.gz NUFDCHYJWZOVRF-LBPRGKRZSA-N 0 0 445.523 -0.346 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1NS(C)(=O)=O ZINC000539131745 1073175335 /nfs/dbraw/zinc/17/53/35/1073175335.db2.gz TWBILAYCTWKZMC-UHFFFAOYSA-N 0 0 439.538 -0.026 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc(Br)c1=O ZINC000539134239 1073175636 /nfs/dbraw/zinc/17/56/36/1073175636.db2.gz AQNMQPLASGLBFS-CYBMUJFWSA-N 0 0 441.282 -0.064 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc(Br)c1=O ZINC000539134247 1073175566 /nfs/dbraw/zinc/17/55/66/1073175566.db2.gz AQNMQPLASGLBFS-ZDUSSCGKSA-N 0 0 441.282 -0.064 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1C ZINC000539134618 1073175297 /nfs/dbraw/zinc/17/52/97/1073175297.db2.gz XQYHOWQDGBBTMS-UHFFFAOYSA-N 0 0 426.437 -0.224 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)CC1 ZINC000539134802 1073175321 /nfs/dbraw/zinc/17/53/21/1073175321.db2.gz DVHKPUNMESUALO-UHFFFAOYSA-N 0 0 426.412 -0.111 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1C ZINC000539135472 1073175553 /nfs/dbraw/zinc/17/55/53/1073175553.db2.gz URVLDABNPNEKGC-UHFFFAOYSA-N 0 0 435.481 -0.857 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2o1 ZINC000539137628 1073175695 /nfs/dbraw/zinc/17/56/95/1073175695.db2.gz WUEWEWWYCBPHGV-UHFFFAOYSA-N 0 0 429.433 -0.396 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cn1C ZINC000539138493 1073175687 /nfs/dbraw/zinc/17/56/87/1073175687.db2.gz YWYVTDVAWSBXRQ-UHFFFAOYSA-N 0 0 432.437 -0.170 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000539139065 1073175562 /nfs/dbraw/zinc/17/55/62/1073175562.db2.gz CCQIKCYIJGWVTL-INIZCTEOSA-N 0 0 426.564 -0.437 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000539139066 1073175603 /nfs/dbraw/zinc/17/56/03/1073175603.db2.gz CCQIKCYIJGWVTL-MRXNPFEDSA-N 0 0 426.564 -0.437 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCSC[C@H]2C(N)=O)c(N)n(Cc2ccccc2)c1=O ZINC000539140114 1073176096 /nfs/dbraw/zinc/17/60/96/1073176096.db2.gz ITIBZPSORYHWPU-AWEZNQCLSA-N 0 0 431.518 -0.254 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCSC[C@@H]2C(N)=O)c(N)n(Cc2ccccc2)c1=O ZINC000539140120 1073176179 /nfs/dbraw/zinc/17/61/79/1073176179.db2.gz ITIBZPSORYHWPU-CQSZACIVSA-N 0 0 431.518 -0.254 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000539141252 1073176137 /nfs/dbraw/zinc/17/61/37/1073176137.db2.gz OJACRGCWWRQSOU-AWEZNQCLSA-N 0 0 430.527 -0.439 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000539141263 1073176154 /nfs/dbraw/zinc/17/61/54/1073176154.db2.gz OJACRGCWWRQSOU-CQSZACIVSA-N 0 0 430.527 -0.439 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000539142945 1073176159 /nfs/dbraw/zinc/17/61/59/1073176159.db2.gz SWTFAPVTSYDVRV-HNNXBMFYSA-N 0 0 428.536 -0.451 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000539142946 1073176107 /nfs/dbraw/zinc/17/61/07/1073176107.db2.gz SWTFAPVTSYDVRV-OAHLLOKOSA-N 0 0 428.536 -0.451 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCSC[C@H]3C(N)=O)CC2)cc1 ZINC000539144035 1073176171 /nfs/dbraw/zinc/17/61/71/1073176171.db2.gz RBBABRRFNPBYHN-INIZCTEOSA-N 0 0 442.563 -0.569 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCSC[C@@H]3C(N)=O)CC2)cc1 ZINC000539144036 1073176178 /nfs/dbraw/zinc/17/61/78/1073176178.db2.gz RBBABRRFNPBYHN-MRXNPFEDSA-N 0 0 442.563 -0.569 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000539146797 1073174787 /nfs/dbraw/zinc/17/47/87/1073174787.db2.gz MAZRNYUWHXCCBY-UHFFFAOYSA-N 0 0 427.531 -0.620 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000539147498 1073174895 /nfs/dbraw/zinc/17/48/95/1073174895.db2.gz JZFYQFTVTFXMCE-INIZCTEOSA-N 0 0 429.481 -0.304 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1 ZINC000539147507 1073174845 /nfs/dbraw/zinc/17/48/45/1073174845.db2.gz JZFYQFTVTFXMCE-MRXNPFEDSA-N 0 0 429.481 -0.304 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(Cc3cccnc3)CC2)CC1)N1CCOCC1 ZINC000539148643 1073177029 /nfs/dbraw/zinc/17/70/29/1073177029.db2.gz PCFCNSWPFHAWCZ-UHFFFAOYSA-N 0 0 430.553 -0.798 20 0 IBADRN Cc1c(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cccc1S(=O)(=O)NC1CC1 ZINC000539150896 1073177149 /nfs/dbraw/zinc/17/71/49/1073177149.db2.gz DKVYONPENRNYID-UHFFFAOYSA-N 0 0 445.567 -0.126 20 0 IBADRN Cn1c(C(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000539152533 1073177578 /nfs/dbraw/zinc/17/75/78/1073177578.db2.gz YYIAVZUCPMEKJJ-UHFFFAOYSA-N 0 0 449.489 -0.244 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)n1 ZINC000539153222 1073305752 /nfs/dbraw/zinc/30/57/52/1073305752.db2.gz NMQWSKZTSLNRPN-UHFFFAOYSA-N 0 0 425.471 -0.062 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)C1 ZINC000539159017 1073177657 /nfs/dbraw/zinc/17/76/57/1073177657.db2.gz DBKOEPWHCYUEMW-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)C1 ZINC000539159018 1073177677 /nfs/dbraw/zinc/17/76/77/1073177677.db2.gz DBKOEPWHCYUEMW-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCC2(C(N)=O)CCCC2)cc(S(C)(=O)=O)c1 ZINC000539159230 1073176631 /nfs/dbraw/zinc/17/66/31/1073176631.db2.gz AVKAKMSBFHSTRN-UHFFFAOYSA-N 0 0 425.463 -0.023 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cc(S(C)(=O)=O)c1 ZINC000539159258 1073176593 /nfs/dbraw/zinc/17/65/93/1073176593.db2.gz CATFYKRPFBCQDC-UHFFFAOYSA-N 0 0 449.489 -0.117 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)C1 ZINC000539159431 1073176608 /nfs/dbraw/zinc/17/66/08/1073176608.db2.gz QJYVIOZDTNLALH-JTQLQIEISA-N 0 0 435.300 -0.374 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)C1 ZINC000539159432 1073176663 /nfs/dbraw/zinc/17/66/63/1073176663.db2.gz QJYVIOZDTNLALH-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cn1C ZINC000539159592 1073176600 /nfs/dbraw/zinc/17/66/00/1073176600.db2.gz FROAZSZQXBEAQO-CYBMUJFWSA-N 0 0 430.483 -0.645 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cn1C ZINC000539159596 1073176495 /nfs/dbraw/zinc/17/64/95/1073176495.db2.gz FROAZSZQXBEAQO-ZDUSSCGKSA-N 0 0 430.483 -0.645 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)C1 ZINC000539160423 1073176578 /nfs/dbraw/zinc/17/65/78/1073176578.db2.gz GRDBICOAZXPCSO-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)C1 ZINC000539160425 1073176651 /nfs/dbraw/zinc/17/66/51/1073176651.db2.gz GRDBICOAZXPCSO-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2c(C)ccn(C)c2=O)CC1 ZINC000539163304 1073176568 /nfs/dbraw/zinc/17/65/68/1073176568.db2.gz NHQQBWXCOIFHCS-UHFFFAOYSA-N 0 0 447.517 -0.111 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc(S(C)(=O)=O)c1 ZINC000539164934 1073177170 /nfs/dbraw/zinc/17/71/70/1073177170.db2.gz GJAXFLSCOSZBBD-CYBMUJFWSA-N 0 0 428.419 -0.784 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc(S(C)(=O)=O)c1 ZINC000539164935 1073177132 /nfs/dbraw/zinc/17/71/32/1073177132.db2.gz GJAXFLSCOSZBBD-ZDUSSCGKSA-N 0 0 428.419 -0.784 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1C ZINC000539165541 1073177122 /nfs/dbraw/zinc/17/71/22/1073177122.db2.gz DUBXNDATTGUCIJ-UHFFFAOYSA-N 0 0 444.510 -0.351 20 0 IBADRN COCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)ncn1 ZINC000539166786 1073177085 /nfs/dbraw/zinc/17/70/85/1073177085.db2.gz OZKCEQQTOKYVKK-UHFFFAOYSA-N 0 0 429.499 -0.540 20 0 IBADRN CC(C)n1cc2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc2n1 ZINC000539168776 1073178113 /nfs/dbraw/zinc/17/81/13/1073178113.db2.gz XAFHDSPNGAVJHQ-UHFFFAOYSA-N 0 0 425.453 -0.087 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C3CC3)CC2)cc(S(C)(=O)=O)c1 ZINC000539169472 1073178150 /nfs/dbraw/zinc/17/81/50/1073178150.db2.gz NXMLAYISIRLOML-UHFFFAOYSA-N 0 0 437.474 -0.104 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000539169820 1073178100 /nfs/dbraw/zinc/17/81/00/1073178100.db2.gz SICGKUACVZRRQG-GDBMZVCRSA-N 0 0 444.488 -0.074 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000539169823 1073178073 /nfs/dbraw/zinc/17/80/73/1073178073.db2.gz SICGKUACVZRRQG-GOEBONIOSA-N 0 0 444.488 -0.074 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000539169825 1073178140 /nfs/dbraw/zinc/17/81/40/1073178140.db2.gz SICGKUACVZRRQG-HOCLYGCPSA-N 0 0 444.488 -0.074 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000539169943 1073178186 /nfs/dbraw/zinc/17/81/86/1073178186.db2.gz SICGKUACVZRRQG-ZBFHGGJFSA-N 0 0 444.488 -0.074 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c(F)c1 ZINC000539170363 1073178210 /nfs/dbraw/zinc/17/82/10/1073178210.db2.gz YRJAQANTQFREOJ-UHFFFAOYSA-N 0 0 439.425 -0.475 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc(S(C)(=O)=O)c1 ZINC000539171238 1073178122 /nfs/dbraw/zinc/17/81/22/1073178122.db2.gz AOCPNEGRHGXWTA-UHFFFAOYSA-N 0 0 447.473 -0.046 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000539172952 1073178640 /nfs/dbraw/zinc/17/86/40/1073178640.db2.gz NNPSBSNZFFOEAE-CYBMUJFWSA-N 0 0 438.506 -0.336 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000539172954 1073178533 /nfs/dbraw/zinc/17/85/33/1073178533.db2.gz NNPSBSNZFFOEAE-ZDUSSCGKSA-N 0 0 438.506 -0.336 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000539173050 1073178194 /nfs/dbraw/zinc/17/81/94/1073178194.db2.gz PEZKLPCYSLGLPC-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN COCCN(C(=O)NCCN1CCN(c2ncccn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000539173492 1073178557 /nfs/dbraw/zinc/17/85/57/1073178557.db2.gz RGELWGKNBIAZPB-INIZCTEOSA-N 0 0 426.543 -0.556 20 0 IBADRN COCCN(C(=O)NCCN1CCN(c2ncccn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000539173494 1073178543 /nfs/dbraw/zinc/17/85/43/1073178543.db2.gz RGELWGKNBIAZPB-MRXNPFEDSA-N 0 0 426.543 -0.556 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCCN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000539174989 1073178584 /nfs/dbraw/zinc/17/85/84/1073178584.db2.gz VAOUWOGIUHGTMJ-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1 ZINC000539175360 1073178514 /nfs/dbraw/zinc/17/85/14/1073178514.db2.gz HRIARJZOESOJQX-UHFFFAOYSA-N 0 0 426.904 -0.295 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000539176738 1073179162 /nfs/dbraw/zinc/17/91/62/1073179162.db2.gz DAKUMLKZRJPIOX-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)CC1 ZINC000539177207 1073179196 /nfs/dbraw/zinc/17/91/96/1073179196.db2.gz HUJVTCOBVIJJHW-UHFFFAOYSA-N 0 0 441.462 -0.868 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000539177539 1073179105 /nfs/dbraw/zinc/17/91/05/1073179105.db2.gz IKGSQALFLFGFHS-UHFFFAOYSA-N 0 0 426.477 -0.118 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc(Br)c1=O ZINC000539177920 1073179250 /nfs/dbraw/zinc/17/92/50/1073179250.db2.gz JZHGWLNAQOYSAW-JTQLQIEISA-N 0 0 449.327 -0.126 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc(Br)c1=O ZINC000539177922 1073179216 /nfs/dbraw/zinc/17/92/16/1073179216.db2.gz JZHGWLNAQOYSAW-SNVBAGLBSA-N 0 0 449.327 -0.126 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)CC1 ZINC000539178211 1073179238 /nfs/dbraw/zinc/17/92/38/1073179238.db2.gz OAHONPLTPGOGQG-UHFFFAOYSA-N 0 0 425.511 -0.415 20 0 IBADRN Cn1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc(Br)c1=O ZINC000539178461 1073179714 /nfs/dbraw/zinc/17/97/14/1073179714.db2.gz OQQCTQRXJUMTGE-SECBINFHSA-N 0 0 435.300 -0.374 20 0 IBADRN Cn1cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc(Br)c1=O ZINC000539178462 1073179737 /nfs/dbraw/zinc/17/97/37/1073179737.db2.gz OQQCTQRXJUMTGE-VIFPVBQESA-N 0 0 435.300 -0.374 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1C ZINC000539178656 1073179639 /nfs/dbraw/zinc/17/96/39/1073179639.db2.gz REWMRDGELULDGE-UHFFFAOYSA-N 0 0 428.449 -0.017 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000539178814 1073179261 /nfs/dbraw/zinc/17/92/61/1073179261.db2.gz SXOGAIIXZVHZSP-UHFFFAOYSA-N 0 0 438.466 -0.854 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)CC1 ZINC000539179343 1073179684 /nfs/dbraw/zinc/17/96/84/1073179684.db2.gz XPZWMBOUVOIHSV-UHFFFAOYSA-N 0 0 432.886 -0.033 20 0 IBADRN Cc1cn2cc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2n1 ZINC000539179369 1073179704 /nfs/dbraw/zinc/17/97/04/1073179704.db2.gz XSZPLPUTAGVDJV-UHFFFAOYSA-N 0 0 426.433 -0.599 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000539179579 1073179691 /nfs/dbraw/zinc/17/96/91/1073179691.db2.gz ZLYHLCFMHOWRNT-HNNXBMFYSA-N 0 0 429.477 -0.889 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000539179582 1073179729 /nfs/dbraw/zinc/17/97/29/1073179729.db2.gz ZLYHLCFMHOWRNT-OAHLLOKOSA-N 0 0 429.477 -0.889 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000539180253 1073179615 /nfs/dbraw/zinc/17/96/15/1073179615.db2.gz ZOBGMUVGZDNVJJ-CYBMUJFWSA-N 0 0 441.506 -0.100 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@H](C)CN2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000539180254 1073179598 /nfs/dbraw/zinc/17/95/98/1073179598.db2.gz ZOBGMUVGZDNVJJ-ZDUSSCGKSA-N 0 0 441.506 -0.100 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cn1C ZINC000539181531 1073179675 /nfs/dbraw/zinc/17/96/75/1073179675.db2.gz MGCFDEMSYGCIOI-UHFFFAOYSA-N 0 0 429.481 -0.131 20 0 IBADRN Cc1cn2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2n1 ZINC000539181685 1073179656 /nfs/dbraw/zinc/17/96/56/1073179656.db2.gz OEKNITIPAHUYRP-UHFFFAOYSA-N 0 0 436.494 -0.698 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000539182459 1073180226 /nfs/dbraw/zinc/18/02/26/1073180226.db2.gz XFJFXFPHPARYEJ-UHFFFAOYSA-N 0 0 431.540 -0.336 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)c(F)c1 ZINC000539183122 1073180119 /nfs/dbraw/zinc/18/01/19/1073180119.db2.gz JBGXUSQDFONZQE-UHFFFAOYSA-N 0 0 429.456 -0.018 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000539183171 1073180209 /nfs/dbraw/zinc/18/02/09/1073180209.db2.gz GVHMFUYIKRACRQ-UHFFFAOYSA-N 0 0 448.501 -0.030 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000539189700 1073182952 /nfs/dbraw/zinc/18/29/52/1073182952.db2.gz BBLUXVWETKVZFU-UHFFFAOYSA-N 0 0 437.522 -0.526 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1 ZINC000539189746 1073182887 /nfs/dbraw/zinc/18/28/87/1073182887.db2.gz DPOXREPIZLMEKL-UHFFFAOYSA-N 0 0 445.520 -0.058 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000539189763 1073182914 /nfs/dbraw/zinc/18/29/14/1073182914.db2.gz DYCGDZCXJLZHOB-UHFFFAOYSA-N 0 0 425.511 -0.918 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NCCC(=O)NC(N)=O ZINC000539189812 1073182814 /nfs/dbraw/zinc/18/28/14/1073182814.db2.gz FXFFZGHDISSFPE-UHFFFAOYSA-N 0 0 427.483 -0.336 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1-n1nnnc1C ZINC000539190070 1073182937 /nfs/dbraw/zinc/18/29/37/1073182937.db2.gz LAWOIZGEBXFYGC-UHFFFAOYSA-N 0 0 430.469 -0.674 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)CC1 ZINC000539190269 1073183344 /nfs/dbraw/zinc/18/33/44/1073183344.db2.gz PIKTXDIAILAGTN-UHFFFAOYSA-N 0 0 432.449 -0.285 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000539190458 1073183218 /nfs/dbraw/zinc/18/32/18/1073183218.db2.gz UPFYZYZRTFSSRV-UHFFFAOYSA-N 0 0 445.520 -0.186 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2cc(C(=O)OCC)cc(S(C)(=O)=O)c2)C1 ZINC000539190541 1073183201 /nfs/dbraw/zinc/18/32/01/1073183201.db2.gz DVCVHCUUFJQRSM-UHFFFAOYSA-N 0 0 425.463 -0.200 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)c2ccccc2O1 ZINC000539191146 1073182943 /nfs/dbraw/zinc/18/29/43/1073182943.db2.gz IXHOYXBJLISNSI-KRWDZBQOSA-N 0 0 432.477 -0.378 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)c2ccccc2O1 ZINC000539191147 1073183192 /nfs/dbraw/zinc/18/31/92/1073183192.db2.gz IXHOYXBJLISNSI-QGZVFWFLSA-N 0 0 432.477 -0.378 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c(=O)n(-c2ccccc2)n1C ZINC000539191160 1073183352 /nfs/dbraw/zinc/18/33/52/1073183352.db2.gz JAVMPTSFOCJIRS-UHFFFAOYSA-N 0 0 428.493 -0.169 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000539191183 1073183388 /nfs/dbraw/zinc/18/33/88/1073183388.db2.gz QOAOOZIWZHUZOG-UHFFFAOYSA-N 0 0 425.467 -0.499 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)CC2)n1 ZINC000539191860 1073363915 /nfs/dbraw/zinc/36/39/15/1073363915.db2.gz GLGKABSJXPSFHW-GFCCVEGCSA-N 0 0 443.489 -0.387 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)CC2)n1 ZINC000539191861 1073363994 /nfs/dbraw/zinc/36/39/94/1073363994.db2.gz GLGKABSJXPSFHW-LBPRGKRZSA-N 0 0 443.489 -0.387 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000539192056 1073183322 /nfs/dbraw/zinc/18/33/22/1073183322.db2.gz YOKYNTAHTNXOLH-UHFFFAOYSA-N 0 0 431.493 -0.494 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)NCCC(=O)NC(N)=O)c1 ZINC000539192904 1073183368 /nfs/dbraw/zinc/18/33/68/1073183368.db2.gz INEHUOQODMZKFF-UHFFFAOYSA-N 0 0 441.510 -0.026 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCC(=O)NC(N)=O)cc2)C1 ZINC000539193170 1073183374 /nfs/dbraw/zinc/18/33/74/1073183374.db2.gz FQKOOJCEBVAULM-GFCCVEGCSA-N 0 0 439.494 -0.253 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCC(=O)NC(N)=O)cc2)C1 ZINC000539193175 1073183180 /nfs/dbraw/zinc/18/31/80/1073183180.db2.gz FQKOOJCEBVAULM-LBPRGKRZSA-N 0 0 439.494 -0.253 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000539193188 1073183805 /nfs/dbraw/zinc/18/38/05/1073183805.db2.gz LVUJDJOXLCYSFR-UHFFFAOYSA-N 0 0 431.493 -0.718 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c(OCC(=O)N(C)C)c1 ZINC000539194578 1073183756 /nfs/dbraw/zinc/18/37/56/1073183756.db2.gz UYJIIYFZMZTASD-UHFFFAOYSA-N 0 0 433.509 -0.191 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000539195058 1073183728 /nfs/dbraw/zinc/18/37/28/1073183728.db2.gz YNTPBEBFLCWVPQ-UHFFFAOYSA-N 0 0 439.538 -0.280 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cc2)CC1 ZINC000539195131 1073183792 /nfs/dbraw/zinc/18/37/92/1073183792.db2.gz RLOODAHEJBLPGY-UHFFFAOYSA-N 0 0 444.536 -0.579 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC[C@H](S(N)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000539195681 1073180673 /nfs/dbraw/zinc/18/06/73/1073180673.db2.gz DSYGRSLXVZJORJ-HNNXBMFYSA-N 0 0 449.533 -0.404 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC[C@@H](S(N)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000539195683 1073180635 /nfs/dbraw/zinc/18/06/35/1073180635.db2.gz DSYGRSLXVZJORJ-OAHLLOKOSA-N 0 0 449.533 -0.404 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(OCC)c(S(=O)(=O)N(C)C)c2)C1 ZINC000539195807 1073180205 /nfs/dbraw/zinc/18/02/05/1073180205.db2.gz ZYYGDKWQBYDMIL-UHFFFAOYSA-N 0 0 426.495 -0.131 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)ccc1NS(C)(=O)=O ZINC000539195808 1073180181 /nfs/dbraw/zinc/18/01/81/1073180181.db2.gz ZZDCMJUEYHRQGR-UHFFFAOYSA-N 0 0 428.467 -0.679 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000539195860 1073180704 /nfs/dbraw/zinc/18/07/04/1073180704.db2.gz ZAGPTBKTMIEAQH-UHFFFAOYSA-N 0 0 430.465 -0.387 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000539196016 1073183735 /nfs/dbraw/zinc/18/37/35/1073183735.db2.gz KTHNNTOBDJALCN-INIZCTEOSA-N 0 0 446.551 -0.601 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000539196017 1073180236 /nfs/dbraw/zinc/18/02/36/1073180236.db2.gz KTHNNTOBDJALCN-MRXNPFEDSA-N 0 0 446.551 -0.601 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)NC(N)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000539196341 1073180647 /nfs/dbraw/zinc/18/06/47/1073180647.db2.gz QOMBNBKWZRXNMD-UHFFFAOYSA-N 0 0 439.494 -0.191 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cccc1S(=O)(=O)NC1CC1 ZINC000539197701 1073180831 /nfs/dbraw/zinc/18/08/31/1073180831.db2.gz AVGLXILYPBBLNX-UHFFFAOYSA-N 0 0 438.506 -0.310 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)C1 ZINC000539197797 1073180660 /nfs/dbraw/zinc/18/06/60/1073180660.db2.gz FFNSUBAFTMTPAN-UHFFFAOYSA-N 0 0 430.458 -0.670 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1S(N)(=O)=O ZINC000539197817 1073358764 /nfs/dbraw/zinc/35/87/64/1073358764.db2.gz GTYYPSZYDYHYFO-UHFFFAOYSA-N 0 0 439.523 -0.383 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)C1 ZINC000539197885 1073180835 /nfs/dbraw/zinc/18/08/35/1073180835.db2.gz CXLDDRGCBVYAJK-UHFFFAOYSA-N 0 0 432.477 -0.151 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C1 ZINC000539197933 1073180710 /nfs/dbraw/zinc/18/07/10/1073180710.db2.gz IKVNBJAQXLMXEZ-UHFFFAOYSA-N 0 0 437.884 -0.309 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000539198178 1073180615 /nfs/dbraw/zinc/18/06/15/1073180615.db2.gz FAPBFVYJDMWMIJ-UHFFFAOYSA-N 0 0 425.467 -0.499 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000539198324 1073359089 /nfs/dbraw/zinc/35/90/89/1073359089.db2.gz PWSDMMPFSGSAQE-UHFFFAOYSA-N 0 0 433.494 -0.078 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1OC ZINC000539198530 1073181186 /nfs/dbraw/zinc/18/11/86/1073181186.db2.gz QRWLXPQXRXHYER-UHFFFAOYSA-N 0 0 442.494 -0.289 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000539198722 1073360097 /nfs/dbraw/zinc/36/00/97/1073360097.db2.gz YTEPVZHJUBTLQN-UHFFFAOYSA-N 0 0 432.510 -0.092 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000539198828 1073181299 /nfs/dbraw/zinc/18/12/99/1073181299.db2.gz UTNWXXNUGQQZPG-UHFFFAOYSA-N 0 0 442.469 -0.380 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000539199366 1073181273 /nfs/dbraw/zinc/18/12/73/1073181273.db2.gz FSAUKUXNKDKTBH-UHFFFAOYSA-N 0 0 442.469 -0.380 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)c2)C1=O ZINC000539199409 1073358580 /nfs/dbraw/zinc/35/85/80/1073358580.db2.gz WNUQXRFDSGYBSB-UHFFFAOYSA-N 0 0 444.477 -0.194 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000539199522 1073359620 /nfs/dbraw/zinc/35/96/20/1073359620.db2.gz HEJYCDCLLDGMRT-UHFFFAOYSA-N 0 0 439.523 -0.376 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000539199948 1073360082 /nfs/dbraw/zinc/36/00/82/1073360082.db2.gz PSZHTJSCEKKUFW-UHFFFAOYSA-N 0 0 447.477 -0.690 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cn1C ZINC000539201310 1073181243 /nfs/dbraw/zinc/18/12/43/1073181243.db2.gz VWZSTTRTIQGAEC-HNNXBMFYSA-N 0 0 449.508 -0.468 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cn1C ZINC000539201311 1073181223 /nfs/dbraw/zinc/18/12/23/1073181223.db2.gz VWZSTTRTIQGAEC-OAHLLOKOSA-N 0 0 449.508 -0.468 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cn1C ZINC000539202412 1073181248 /nfs/dbraw/zinc/18/12/48/1073181248.db2.gz HBSBCYZTQXSWJQ-GFCCVEGCSA-N 0 0 426.437 -0.075 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cn1C ZINC000539202418 1073181310 /nfs/dbraw/zinc/18/13/10/1073181310.db2.gz HBSBCYZTQXSWJQ-LBPRGKRZSA-N 0 0 426.437 -0.075 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2)cc(S(C)(=O)=O)c1 ZINC000539203240 1073181795 /nfs/dbraw/zinc/18/17/95/1073181795.db2.gz PSPYUKHZSRULPM-CYBMUJFWSA-N 0 0 425.463 -0.059 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2)cc(S(C)(=O)=O)c1 ZINC000539203243 1073181787 /nfs/dbraw/zinc/18/17/87/1073181787.db2.gz PSPYUKHZSRULPM-ZDUSSCGKSA-N 0 0 425.463 -0.059 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cn1C ZINC000539204133 1073181823 /nfs/dbraw/zinc/18/18/23/1073181823.db2.gz QVVWIXSWMLEHAQ-AWEZNQCLSA-N 0 0 435.481 -0.858 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cn1C ZINC000539204138 1073181874 /nfs/dbraw/zinc/18/18/74/1073181874.db2.gz QVVWIXSWMLEHAQ-CQSZACIVSA-N 0 0 435.481 -0.858 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000539204273 1073181897 /nfs/dbraw/zinc/18/18/97/1073181897.db2.gz RZIMHSLKCDHCOY-HNNXBMFYSA-N 0 0 443.478 -0.222 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000539204276 1073181883 /nfs/dbraw/zinc/18/18/83/1073181883.db2.gz RZIMHSLKCDHCOY-OAHLLOKOSA-N 0 0 443.478 -0.222 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000539204375 1073181849 /nfs/dbraw/zinc/18/18/49/1073181849.db2.gz NALUAXIIXPGNLK-HNNXBMFYSA-N 0 0 443.478 -0.222 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000539204376 1073181830 /nfs/dbraw/zinc/18/18/30/1073181830.db2.gz NALUAXIIXPGNLK-OAHLLOKOSA-N 0 0 443.478 -0.222 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)CC1 ZINC000539204378 1073181880 /nfs/dbraw/zinc/18/18/80/1073181880.db2.gz NFTOVHVUALTLBP-JTQLQIEISA-N 0 0 432.886 -0.298 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)CC1 ZINC000539204379 1073181799 /nfs/dbraw/zinc/18/17/99/1073181799.db2.gz NFTOVHVUALTLBP-SNVBAGLBSA-N 0 0 432.886 -0.298 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CC1 ZINC000539205376 1073182351 /nfs/dbraw/zinc/18/23/51/1073182351.db2.gz HEULDMYLQKVHJI-UHFFFAOYSA-N 0 0 442.314 -0.291 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)CC2=O)cn1 ZINC000539205838 1073182237 /nfs/dbraw/zinc/18/22/37/1073182237.db2.gz CMSWPBFKOVAJGY-AWEZNQCLSA-N 0 0 438.444 -0.561 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)CC2=O)cn1 ZINC000539205839 1073182221 /nfs/dbraw/zinc/18/22/21/1073182221.db2.gz CMSWPBFKOVAJGY-CQSZACIVSA-N 0 0 438.444 -0.561 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)C1=O ZINC000539206525 1073182396 /nfs/dbraw/zinc/18/23/96/1073182396.db2.gz WKBCWPZFSCOWMG-CYBMUJFWSA-N 0 0 440.478 -0.415 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)C1=O ZINC000539206526 1073182295 /nfs/dbraw/zinc/18/22/95/1073182295.db2.gz WKBCWPZFSCOWMG-ZDUSSCGKSA-N 0 0 440.478 -0.415 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1NS(C)(=O)=O ZINC000539206881 1073182316 /nfs/dbraw/zinc/18/23/16/1073182316.db2.gz SGWZJWPHMNJYOQ-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1 ZINC000539207173 1073182339 /nfs/dbraw/zinc/18/23/39/1073182339.db2.gz DPRDUNNFBYPRLL-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1 ZINC000539207174 1073182402 /nfs/dbraw/zinc/18/24/02/1073182402.db2.gz DPRDUNNFBYPRLL-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCn1ncnn1 ZINC000539207361 1073182306 /nfs/dbraw/zinc/18/23/06/1073182306.db2.gz CYAMSJQWGGCWQR-UHFFFAOYSA-N 0 0 437.482 -0.389 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)ccc1NS(C)(=O)=O ZINC000539207473 1073182411 /nfs/dbraw/zinc/18/24/11/1073182411.db2.gz IZIXZTBUKPXNMP-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000539207765 1073182243 /nfs/dbraw/zinc/18/22/43/1073182243.db2.gz MUJNKZGZWPEUNT-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCn2ncnn2)cc1S(=O)(=O)N(CC)CC ZINC000539207924 1073182326 /nfs/dbraw/zinc/18/23/26/1073182326.db2.gz NYJIOSRRJRUVOY-UHFFFAOYSA-N 0 0 439.498 -0.143 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1)C(=O)N1CCOCC1 ZINC000539208476 1073182947 /nfs/dbraw/zinc/18/29/47/1073182947.db2.gz USOQQKMNNRMVNG-CYBMUJFWSA-N 0 0 442.494 -0.241 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1)C(=O)N1CCOCC1 ZINC000539208477 1073182837 /nfs/dbraw/zinc/18/28/37/1073182837.db2.gz USOQQKMNNRMVNG-ZDUSSCGKSA-N 0 0 442.494 -0.241 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000539210099 1073182803 /nfs/dbraw/zinc/18/28/03/1073182803.db2.gz BXVIEYJIWXBHFA-DZGCQCFKSA-N 0 0 436.490 -0.630 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000539210100 1073182882 /nfs/dbraw/zinc/18/28/82/1073182882.db2.gz BXVIEYJIWXBHFA-HIFRSBDPSA-N 0 0 436.490 -0.630 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000539210101 1073182927 /nfs/dbraw/zinc/18/29/27/1073182927.db2.gz BXVIEYJIWXBHFA-UKRRQHHQSA-N 0 0 436.490 -0.630 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000539210102 1073182932 /nfs/dbraw/zinc/18/29/32/1073182932.db2.gz BXVIEYJIWXBHFA-ZFWWWQNUSA-N 0 0 436.490 -0.630 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)C1 ZINC000539210149 1073182858 /nfs/dbraw/zinc/18/28/58/1073182858.db2.gz CQKQUTDXGFLMOD-JTQLQIEISA-N 0 0 435.300 -0.374 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)C1 ZINC000539210151 1073182930 /nfs/dbraw/zinc/18/29/30/1073182930.db2.gz CQKQUTDXGFLMOD-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)NCCn2ncnn2)c1 ZINC000539210784 1073183713 /nfs/dbraw/zinc/18/37/13/1073183713.db2.gz ODEANKKJMJLUON-HNNXBMFYSA-N 0 0 429.437 -0.404 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)NCCn2ncnn2)c1 ZINC000539210793 1073183785 /nfs/dbraw/zinc/18/37/85/1073183785.db2.gz ODEANKKJMJLUON-OAHLLOKOSA-N 0 0 429.437 -0.404 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCn2ncnn2)cc1S(=O)(=O)N1CCCC1 ZINC000539210840 1073183721 /nfs/dbraw/zinc/18/37/21/1073183721.db2.gz ORTUUIVIKKHPLU-UHFFFAOYSA-N 0 0 437.482 -0.389 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCn1ncnn1 ZINC000539211974 1073184255 /nfs/dbraw/zinc/18/42/55/1073184255.db2.gz VLXZJMXUDHPHMN-UHFFFAOYSA-N 0 0 425.471 -0.535 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)C1 ZINC000539212053 1073184248 /nfs/dbraw/zinc/18/42/48/1073184248.db2.gz SHLDALBFLMGSMV-CYBMUJFWSA-N 0 0 432.524 -0.155 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)C1 ZINC000539212057 1073184069 /nfs/dbraw/zinc/18/40/69/1073184069.db2.gz SHLDALBFLMGSMV-ZDUSSCGKSA-N 0 0 432.524 -0.155 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCC(=O)NC3CC3)cn2)C[C@H](C)O1 ZINC000539213485 1073184151 /nfs/dbraw/zinc/18/41/51/1073184151.db2.gz QJHGWZQPSHQKCZ-KBPBESRZSA-N 0 0 434.497 -0.368 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCC(=O)NC3CC3)cn2)C[C@H](C)O1 ZINC000539213487 1073184278 /nfs/dbraw/zinc/18/42/78/1073184278.db2.gz QJHGWZQPSHQKCZ-OKILXGFUSA-N 0 0 434.497 -0.368 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCC(=O)NC3CC3)cn2)C[C@@H](C)O1 ZINC000539213488 1073184189 /nfs/dbraw/zinc/18/41/89/1073184189.db2.gz QJHGWZQPSHQKCZ-ZIAGYGMSSA-N 0 0 434.497 -0.368 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC(F)F)cc1 ZINC000539219058 1073184693 /nfs/dbraw/zinc/18/46/93/1073184693.db2.gz BZYNEEXTEULQMM-GFCCVEGCSA-N 0 0 433.389 -0.122 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OC(F)F)cc1 ZINC000539219059 1073184754 /nfs/dbraw/zinc/18/47/54/1073184754.db2.gz BZYNEEXTEULQMM-LBPRGKRZSA-N 0 0 433.389 -0.122 20 0 IBADRN O=C(CC(O)CC(=O)N1CCN(c2cnccn2)CC1)N1CCN(c2cnccn2)CC1 ZINC000539221580 1073184768 /nfs/dbraw/zinc/18/47/68/1073184768.db2.gz ZOZXDRUBRLQCJL-UHFFFAOYSA-N 0 0 440.508 -0.595 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1C ZINC000539232983 1073183689 /nfs/dbraw/zinc/18/36/89/1073183689.db2.gz NUKFKPNYCVFRNF-UHFFFAOYSA-N 0 0 449.537 -0.466 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC000539234074 1073185348 /nfs/dbraw/zinc/18/53/48/1073185348.db2.gz OIUZUWSEHXGNMI-UHFFFAOYSA-N 0 0 426.900 -0.076 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)c3ccc(NS(C)(=O)=O)cc3F)CC2)C1=O ZINC000539237277 1073185621 /nfs/dbraw/zinc/18/56/21/1073185621.db2.gz AJLXCBMJOAWBRH-UHFFFAOYSA-N 0 0 434.471 -0.098 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000539239596 1073185747 /nfs/dbraw/zinc/18/57/47/1073185747.db2.gz IHRDGUXEHWJHLL-UHFFFAOYSA-N 0 0 441.602 -0.211 20 0 IBADRN CO[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000539264575 1073187155 /nfs/dbraw/zinc/18/71/55/1073187155.db2.gz AITJOCSDXXFCLK-BBRMVZONSA-N 0 0 438.506 -0.323 20 0 IBADRN CO[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000539264579 1073187673 /nfs/dbraw/zinc/18/76/73/1073187673.db2.gz AITJOCSDXXFCLK-CJNGLKHVSA-N 0 0 438.506 -0.323 20 0 IBADRN CO[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000539264581 1073187700 /nfs/dbraw/zinc/18/77/00/1073187700.db2.gz AITJOCSDXXFCLK-CZUORRHYSA-N 0 0 438.506 -0.323 20 0 IBADRN CO[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000539264583 1073187680 /nfs/dbraw/zinc/18/76/80/1073187680.db2.gz AITJOCSDXXFCLK-XJKSGUPXSA-N 0 0 438.506 -0.323 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000539264891 1073187208 /nfs/dbraw/zinc/18/72/08/1073187208.db2.gz FUUZVYQXNFTNLA-UHFFFAOYSA-N 0 0 427.465 -0.330 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)O1 ZINC000539265308 1073185762 /nfs/dbraw/zinc/18/57/62/1073185762.db2.gz HMWJCURCCXDFLR-DZGCQCFKSA-N 0 0 426.495 -0.373 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)O1 ZINC000539265309 1073185781 /nfs/dbraw/zinc/18/57/81/1073185781.db2.gz HMWJCURCCXDFLR-HIFRSBDPSA-N 0 0 426.495 -0.373 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)O1 ZINC000539265310 1073185718 /nfs/dbraw/zinc/18/57/18/1073185718.db2.gz HMWJCURCCXDFLR-UKRRQHHQSA-N 0 0 426.495 -0.373 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)O1 ZINC000539265311 1073186055 /nfs/dbraw/zinc/18/60/55/1073186055.db2.gz HMWJCURCCXDFLR-ZFWWWQNUSA-N 0 0 426.495 -0.373 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(c4ccc(F)cn4)CC3)cnc2n(C)c1=O ZINC000539267470 1073186207 /nfs/dbraw/zinc/18/62/07/1073186207.db2.gz IPBURKWXTCEGFX-UHFFFAOYSA-N 0 0 441.423 -0.546 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)c1 ZINC000539268710 1073186119 /nfs/dbraw/zinc/18/61/19/1073186119.db2.gz WIWIDEAJQZOIHS-UHFFFAOYSA-N 0 0 428.424 -0.277 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2c(OC)ncnc2N(C)C)s1 ZINC000539269502 1073186183 /nfs/dbraw/zinc/18/61/83/1073186183.db2.gz AHBNXFLDKNAOTR-UHFFFAOYSA-N 0 0 428.496 -0.224 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)cn1 ZINC000539269850 1073186255 /nfs/dbraw/zinc/18/62/55/1073186255.db2.gz LDEJRGKWULFBBO-UHFFFAOYSA-N 0 0 433.444 -0.533 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)O1 ZINC000539270668 1073186060 /nfs/dbraw/zinc/18/60/60/1073186060.db2.gz URUWQJOEALYMLL-MSOLQXFVSA-N 0 0 445.520 -0.235 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)O1 ZINC000539270675 1073186198 /nfs/dbraw/zinc/18/61/98/1073186198.db2.gz URUWQJOEALYMLL-QZTJIDSGSA-N 0 0 445.520 -0.235 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)O1 ZINC000539270677 1073186250 /nfs/dbraw/zinc/18/62/50/1073186250.db2.gz URUWQJOEALYMLL-ROUUACIJSA-N 0 0 445.520 -0.235 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)O1 ZINC000539270679 1073186228 /nfs/dbraw/zinc/18/62/28/1073186228.db2.gz URUWQJOEALYMLL-ZWKOTPCHSA-N 0 0 445.520 -0.235 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)O1 ZINC000539271832 1073186237 /nfs/dbraw/zinc/18/62/37/1073186237.db2.gz JIVFSZZDNXAFLS-GDBMZVCRSA-N 0 0 438.506 -0.182 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)O1 ZINC000539271833 1073186105 /nfs/dbraw/zinc/18/61/05/1073186105.db2.gz JIVFSZZDNXAFLS-GOEBONIOSA-N 0 0 438.506 -0.182 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)O1 ZINC000539271835 1073186193 /nfs/dbraw/zinc/18/61/93/1073186193.db2.gz JIVFSZZDNXAFLS-HOCLYGCPSA-N 0 0 438.506 -0.182 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)O1 ZINC000539271837 1073186115 /nfs/dbraw/zinc/18/61/15/1073186115.db2.gz JIVFSZZDNXAFLS-ZBFHGGJFSA-N 0 0 438.506 -0.182 20 0 IBADRN CN(C1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1)S(C)(=O)=O ZINC000539272015 1073186220 /nfs/dbraw/zinc/18/62/20/1073186220.db2.gz ADETWWPKRFRALU-UHFFFAOYSA-N 0 0 425.446 -0.378 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000539272180 1073186038 /nfs/dbraw/zinc/18/60/38/1073186038.db2.gz DGUWQKVEVUSQKO-GFCCVEGCSA-N 0 0 444.514 -0.906 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000539272189 1073186129 /nfs/dbraw/zinc/18/61/29/1073186129.db2.gz DGUWQKVEVUSQKO-LBPRGKRZSA-N 0 0 444.514 -0.906 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000539272713 1073186679 /nfs/dbraw/zinc/18/66/79/1073186679.db2.gz LXFZZWFPLRRXRB-CYBMUJFWSA-N 0 0 431.428 -0.163 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000539272714 1073186668 /nfs/dbraw/zinc/18/66/68/1073186668.db2.gz LXFZZWFPLRRXRB-ZDUSSCGKSA-N 0 0 431.428 -0.163 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)c1=O ZINC000539272934 1073186245 /nfs/dbraw/zinc/18/62/45/1073186245.db2.gz OVPJKRVKHGDCNR-UHFFFAOYSA-N 0 0 427.400 -0.818 20 0 IBADRN CCN(C1CCN(C(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1)S(C)(=O)=O ZINC000539273740 1073186747 /nfs/dbraw/zinc/18/67/47/1073186747.db2.gz OUQAXAVQXOJFKX-UHFFFAOYSA-N 0 0 428.515 -0.238 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1 ZINC000539273769 1073186697 /nfs/dbraw/zinc/18/66/97/1073186697.db2.gz PCNQOZITOBITEI-UHFFFAOYSA-N 0 0 428.515 -0.238 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)C2=O)n(C)n1 ZINC000539273780 1073186821 /nfs/dbraw/zinc/18/68/21/1073186821.db2.gz PGHJHHIPNOSYMR-CYBMUJFWSA-N 0 0 427.400 -0.296 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)C2=O)n(C)n1 ZINC000539273787 1073186688 /nfs/dbraw/zinc/18/66/88/1073186688.db2.gz PGHJHHIPNOSYMR-ZDUSSCGKSA-N 0 0 427.400 -0.296 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000539273933 1073186718 /nfs/dbraw/zinc/18/67/18/1073186718.db2.gz LKWMMMFLXOXCHC-AWEZNQCLSA-N 0 0 444.471 -0.105 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000539273938 1073186619 /nfs/dbraw/zinc/18/66/19/1073186619.db2.gz LKWMMMFLXOXCHC-CQSZACIVSA-N 0 0 444.471 -0.105 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000539274241 1073186753 /nfs/dbraw/zinc/18/67/53/1073186753.db2.gz YKMIFWDDNYWZAU-UHFFFAOYSA-N 0 0 447.540 -0.108 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000539277912 1073186635 /nfs/dbraw/zinc/18/66/35/1073186635.db2.gz VZPJJVONDBIKKH-UHFFFAOYSA-N 0 0 439.454 -0.104 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000539280689 1073187667 /nfs/dbraw/zinc/18/76/67/1073187667.db2.gz FXAVREKWZMLSGO-LLVKDONJSA-N 0 0 425.446 -0.472 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000539280703 1073187655 /nfs/dbraw/zinc/18/76/55/1073187655.db2.gz FXAVREKWZMLSGO-NSHDSACASA-N 0 0 425.446 -0.472 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000539281524 1073187726 /nfs/dbraw/zinc/18/77/26/1073187726.db2.gz AQODWJXZNWOMHK-UHFFFAOYSA-N 0 0 433.469 -0.139 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000539282912 1073187631 /nfs/dbraw/zinc/18/76/31/1073187631.db2.gz QWJXRRAYRTZEDO-UHFFFAOYSA-N 0 0 432.460 -0.153 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)O1 ZINC000539283214 1073187720 /nfs/dbraw/zinc/18/77/20/1073187720.db2.gz OTNBCLRBDOKJFK-GDBMZVCRSA-N 0 0 442.542 -0.492 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)O1 ZINC000539283226 1073187693 /nfs/dbraw/zinc/18/76/93/1073187693.db2.gz OTNBCLRBDOKJFK-GOEBONIOSA-N 0 0 442.542 -0.492 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)O1 ZINC000539283230 1073187646 /nfs/dbraw/zinc/18/76/46/1073187646.db2.gz OTNBCLRBDOKJFK-HOCLYGCPSA-N 0 0 442.542 -0.492 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)O1 ZINC000539283234 1073187704 /nfs/dbraw/zinc/18/77/04/1073187704.db2.gz OTNBCLRBDOKJFK-ZBFHGGJFSA-N 0 0 442.542 -0.492 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)O1 ZINC000539283935 1073187605 /nfs/dbraw/zinc/18/76/05/1073187605.db2.gz PVOLBMDMHFJIFP-GDBMZVCRSA-N 0 0 425.511 -0.267 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)O1 ZINC000539283936 1073187561 /nfs/dbraw/zinc/18/75/61/1073187561.db2.gz PVOLBMDMHFJIFP-GOEBONIOSA-N 0 0 425.511 -0.267 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)O1 ZINC000539283937 1073187641 /nfs/dbraw/zinc/18/76/41/1073187641.db2.gz PVOLBMDMHFJIFP-HOCLYGCPSA-N 0 0 425.511 -0.267 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)O1 ZINC000539283938 1073188086 /nfs/dbraw/zinc/18/80/86/1073188086.db2.gz PVOLBMDMHFJIFP-ZBFHGGJFSA-N 0 0 425.511 -0.267 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000539285843 1073188039 /nfs/dbraw/zinc/18/80/39/1073188039.db2.gz SOJPVDMOXWDDPG-UHFFFAOYSA-N 0 0 429.485 -0.782 20 0 IBADRN CC(C)(C)[C@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(N)=O ZINC000539286547 1073188089 /nfs/dbraw/zinc/18/80/89/1073188089.db2.gz LQEVRVBDDBQWED-OAHLLOKOSA-N 0 0 426.543 -0.267 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000539287417 1073188143 /nfs/dbraw/zinc/18/81/43/1073188143.db2.gz BAZVFBHZSDDTNS-UHFFFAOYSA-N 0 0 433.513 -0.354 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)c1 ZINC000539290206 1073188135 /nfs/dbraw/zinc/18/81/35/1073188135.db2.gz SUDWSVLEOMABGR-DZGCQCFKSA-N 0 0 441.510 -0.532 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)c1 ZINC000539290207 1073188172 /nfs/dbraw/zinc/18/81/72/1073188172.db2.gz SUDWSVLEOMABGR-HIFRSBDPSA-N 0 0 441.510 -0.532 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)c1 ZINC000539290208 1073188132 /nfs/dbraw/zinc/18/81/32/1073188132.db2.gz SUDWSVLEOMABGR-UKRRQHHQSA-N 0 0 441.510 -0.532 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)c1 ZINC000539290209 1073188106 /nfs/dbraw/zinc/18/81/06/1073188106.db2.gz SUDWSVLEOMABGR-ZFWWWQNUSA-N 0 0 441.510 -0.532 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)O1 ZINC000539297739 1073188631 /nfs/dbraw/zinc/18/86/31/1073188631.db2.gz MQWICUSQVNEQAI-CVEARBPZSA-N 0 0 433.513 -0.550 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)O1 ZINC000539297749 1073188807 /nfs/dbraw/zinc/18/88/07/1073188807.db2.gz MQWICUSQVNEQAI-HOTGVXAUSA-N 0 0 433.513 -0.550 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)O1 ZINC000539297752 1073188743 /nfs/dbraw/zinc/18/87/43/1073188743.db2.gz MQWICUSQVNEQAI-HZPDHXFCSA-N 0 0 433.513 -0.550 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)O1 ZINC000539297755 1073188837 /nfs/dbraw/zinc/18/88/37/1073188837.db2.gz MQWICUSQVNEQAI-JKSUJKDBSA-N 0 0 433.513 -0.550 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@@H](C)C1 ZINC000539313302 1073188672 /nfs/dbraw/zinc/18/86/72/1073188672.db2.gz PMTCKXJOLAMQQV-KRWDZBQOSA-N 0 0 447.540 -0.206 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@H](C)C1 ZINC000539313314 1073188592 /nfs/dbraw/zinc/18/85/92/1073188592.db2.gz PMTCKXJOLAMQQV-QGZVFWFLSA-N 0 0 447.540 -0.206 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@@H](C)C1 ZINC000539321560 1073189758 /nfs/dbraw/zinc/18/97/58/1073189758.db2.gz LYCFPWKIKHQFIJ-KRWDZBQOSA-N 0 0 425.574 -0.386 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@H](C)C1 ZINC000539321561 1073190239 /nfs/dbraw/zinc/19/02/39/1073190239.db2.gz LYCFPWKIKHQFIJ-QGZVFWFLSA-N 0 0 425.574 -0.386 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)[C@@H](C)C1 ZINC000539321788 1073189795 /nfs/dbraw/zinc/18/97/95/1073189795.db2.gz DYGJWPQOFYMAIW-BZSNNMDCSA-N 0 0 445.582 -0.250 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)[C@@H](C)C1 ZINC000539321792 1073189816 /nfs/dbraw/zinc/18/98/16/1073189816.db2.gz DYGJWPQOFYMAIW-KSZLIROESA-N 0 0 445.582 -0.250 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)[C@H](C)C1 ZINC000539321793 1073189704 /nfs/dbraw/zinc/18/97/04/1073189704.db2.gz DYGJWPQOFYMAIW-KURKYZTESA-N 0 0 445.582 -0.250 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)[C@H](C)C1 ZINC000539321794 1073189680 /nfs/dbraw/zinc/18/96/80/1073189680.db2.gz DYGJWPQOFYMAIW-SQNIBIBYSA-N 0 0 445.582 -0.250 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2cccc(C(=O)N3CCN(C(=O)[C@H](C)O)CC3)c2)CC1 ZINC000539322510 1073190162 /nfs/dbraw/zinc/19/01/62/1073190162.db2.gz YCLYFROUICSLIK-HOTGVXAUSA-N 0 0 446.504 -0.983 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2cccc(C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)c2)CC1 ZINC000539322511 1073190177 /nfs/dbraw/zinc/19/01/77/1073190177.db2.gz YCLYFROUICSLIK-HZPDHXFCSA-N 0 0 446.504 -0.983 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2cccc(C(=O)N3CCN(C(=O)[C@H](C)O)CC3)c2)CC1 ZINC000539322512 1073190309 /nfs/dbraw/zinc/19/03/09/1073190309.db2.gz YCLYFROUICSLIK-IYBDPMFKSA-N 0 0 446.504 -0.983 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)[C@@H](C)C1 ZINC000539323862 1073190142 /nfs/dbraw/zinc/19/01/42/1073190142.db2.gz VOUULGGEYQFUON-INIZCTEOSA-N 0 0 444.540 -0.419 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)[C@H](C)C1 ZINC000539323863 1073190272 /nfs/dbraw/zinc/19/02/72/1073190272.db2.gz VOUULGGEYQFUON-MRXNPFEDSA-N 0 0 444.540 -0.419 20 0 IBADRN CC1(C)CN(CC(F)(F)F)CCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000539327797 1073190227 /nfs/dbraw/zinc/19/02/27/1073190227.db2.gz FHCZUUKGBUNECT-UHFFFAOYSA-N 0 0 449.518 -0.052 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)O1 ZINC000539329416 1073189186 /nfs/dbraw/zinc/18/91/86/1073189186.db2.gz IIMZMEYPLYPMJR-BJJXKVORSA-N 0 0 438.506 -0.561 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)O1 ZINC000539329419 1073189309 /nfs/dbraw/zinc/18/93/09/1073189309.db2.gz IIMZMEYPLYPMJR-INWMFGNUSA-N 0 0 438.506 -0.561 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)O1 ZINC000539329420 1073189295 /nfs/dbraw/zinc/18/92/95/1073189295.db2.gz IIMZMEYPLYPMJR-NOLJZWGESA-N 0 0 438.506 -0.561 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)O1 ZINC000539329422 1073189304 /nfs/dbraw/zinc/18/93/04/1073189304.db2.gz IIMZMEYPLYPMJR-XPKDYRNWSA-N 0 0 438.506 -0.561 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000539329541 1073189305 /nfs/dbraw/zinc/18/93/05/1073189305.db2.gz DFQORHZSHLOCPP-GDBMZVCRSA-N 0 0 425.507 -0.086 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000539329542 1073189293 /nfs/dbraw/zinc/18/92/93/1073189293.db2.gz DFQORHZSHLOCPP-GOEBONIOSA-N 0 0 425.507 -0.086 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000539329543 1073189275 /nfs/dbraw/zinc/18/92/75/1073189275.db2.gz DFQORHZSHLOCPP-HOCLYGCPSA-N 0 0 425.507 -0.086 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000539329544 1073189298 /nfs/dbraw/zinc/18/92/98/1073189298.db2.gz DFQORHZSHLOCPP-ZBFHGGJFSA-N 0 0 425.507 -0.086 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000539333277 1073189269 /nfs/dbraw/zinc/18/92/69/1073189269.db2.gz YKMLVNBQCKHPDM-UHFFFAOYSA-N 0 0 430.400 -0.374 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2oc1=O ZINC000539337008 1073189629 /nfs/dbraw/zinc/18/96/29/1073189629.db2.gz HACKHQVWHKJDSP-UHFFFAOYSA-N 0 0 431.449 -0.927 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000539337255 1073189807 /nfs/dbraw/zinc/18/98/07/1073189807.db2.gz OIGDYLHIUHKUFP-UHFFFAOYSA-N 0 0 436.513 -0.912 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccnn2-c2ccccc2)CC1)N1CCOCC1 ZINC000539337521 1073189727 /nfs/dbraw/zinc/18/97/27/1073189727.db2.gz BJYYEDVFYLVEFB-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(Cc3ccccc3)c2)CC1)N1CCOCC1 ZINC000539338651 1073189785 /nfs/dbraw/zinc/18/97/85/1073189785.db2.gz YUFZIMHHZQNOHL-UHFFFAOYSA-N 0 0 440.504 -0.127 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(Cc3ccncc3)n2)CC1)N1CCOCC1 ZINC000539340015 1074364655 /nfs/dbraw/zinc/36/46/55/1074364655.db2.gz LWNMNKVSKCWOGX-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1 ZINC000539348185 1073190302 /nfs/dbraw/zinc/19/03/02/1073190302.db2.gz MNJLAOJNPCNFDC-UHFFFAOYSA-N 0 0 440.551 -0.684 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000539353258 1073190765 /nfs/dbraw/zinc/19/07/65/1073190765.db2.gz XQHJNYRHHUTXGY-AWEZNQCLSA-N 0 0 432.524 -0.008 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000539353259 1073190808 /nfs/dbraw/zinc/19/08/08/1073190808.db2.gz XQHJNYRHHUTXGY-CQSZACIVSA-N 0 0 432.524 -0.008 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000539356703 1073190254 /nfs/dbraw/zinc/19/02/54/1073190254.db2.gz IPXHGFOARXLSAL-LBPRGKRZSA-N 0 0 442.402 -0.001 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)N1CCC(O)(CN2CCOCC2)CC1 ZINC000539366762 1073190741 /nfs/dbraw/zinc/19/07/41/1073190741.db2.gz FKJYJEBLNNSLIY-UHFFFAOYSA-N 0 0 447.579 -0.546 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)O1 ZINC000539371544 1073190805 /nfs/dbraw/zinc/19/08/05/1073190805.db2.gz AMWWPDFXFZMUAP-GDBMZVCRSA-N 0 0 440.522 -0.581 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)O1 ZINC000539371545 1073190698 /nfs/dbraw/zinc/19/06/98/1073190698.db2.gz AMWWPDFXFZMUAP-GOEBONIOSA-N 0 0 440.522 -0.581 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)O1 ZINC000539371546 1073190755 /nfs/dbraw/zinc/19/07/55/1073190755.db2.gz AMWWPDFXFZMUAP-HOCLYGCPSA-N 0 0 440.522 -0.581 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)O1 ZINC000539371547 1073190708 /nfs/dbraw/zinc/19/07/08/1073190708.db2.gz AMWWPDFXFZMUAP-ZBFHGGJFSA-N 0 0 440.522 -0.581 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CO)CC2)cc1S(=O)(=O)N1CCCCC1 ZINC000539371694 1073190778 /nfs/dbraw/zinc/19/07/78/1073190778.db2.gz KUUDPRDFTNEPCW-UHFFFAOYSA-N 0 0 445.563 -0.005 20 0 IBADRN NC(=O)[C@@H]1CSCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000539371750 1073190736 /nfs/dbraw/zinc/19/07/36/1073190736.db2.gz AVDCLKUYKPMEPF-AWEZNQCLSA-N 0 0 435.549 -0.701 20 0 IBADRN NC(=O)[C@H]1CSCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000539371751 1073190774 /nfs/dbraw/zinc/19/07/74/1073190774.db2.gz AVDCLKUYKPMEPF-CQSZACIVSA-N 0 0 435.549 -0.701 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)O1 ZINC000539371851 1073306206 /nfs/dbraw/zinc/30/62/06/1073306206.db2.gz NIYTXIMDFURFOS-GXTWGEPZSA-N 0 0 432.481 -0.257 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)O1 ZINC000539371852 1073190749 /nfs/dbraw/zinc/19/07/49/1073190749.db2.gz NIYTXIMDFURFOS-JSGCOSHPSA-N 0 0 432.481 -0.257 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)O1 ZINC000539371853 1073306131 /nfs/dbraw/zinc/30/61/31/1073306131.db2.gz NIYTXIMDFURFOS-OCCSQVGLSA-N 0 0 432.481 -0.257 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)O1 ZINC000539371854 1073190690 /nfs/dbraw/zinc/19/06/90/1073190690.db2.gz NIYTXIMDFURFOS-TZMCWYRMSA-N 0 0 432.481 -0.257 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccc2c(c1)S(=O)(=O)CC2 ZINC000539371873 1073190728 /nfs/dbraw/zinc/19/07/28/1073190728.db2.gz OJKYALMDUAXJPF-AWEZNQCLSA-N 0 0 432.520 -0.001 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000539372776 1073191309 /nfs/dbraw/zinc/19/13/09/1073191309.db2.gz IABGNSKQVQYXBH-CYBMUJFWSA-N 0 0 444.539 -0.412 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000539372782 1073191245 /nfs/dbraw/zinc/19/12/45/1073191245.db2.gz IABGNSKQVQYXBH-ZDUSSCGKSA-N 0 0 444.539 -0.412 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)CO)CC2)c(OC)c1 ZINC000539372952 1073191212 /nfs/dbraw/zinc/19/12/12/1073191212.db2.gz ATNBBDRKQPRAEQ-UHFFFAOYSA-N 0 0 435.524 -0.328 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1C(=O)N(C)C ZINC000539373033 1073191226 /nfs/dbraw/zinc/19/12/26/1073191226.db2.gz DMOFHNVGFUGSLV-GFCCVEGCSA-N 0 0 434.540 -0.409 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1C(=O)N(C)C ZINC000539373036 1073191294 /nfs/dbraw/zinc/19/12/94/1073191294.db2.gz DMOFHNVGFUGSLV-LBPRGKRZSA-N 0 0 434.540 -0.409 20 0 IBADRN O=C(CO)N1CCN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)CC1 ZINC000539373556 1073191270 /nfs/dbraw/zinc/19/12/70/1073191270.db2.gz JCTIXDSDNNHHPZ-UHFFFAOYSA-N 0 0 434.268 -0.395 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)s1 ZINC000539373559 1073191189 /nfs/dbraw/zinc/19/11/89/1073191189.db2.gz JFTAAPNVYKXNPT-SECBINFHSA-N 0 0 427.526 -0.263 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)s1 ZINC000539373561 1073191286 /nfs/dbraw/zinc/19/12/86/1073191286.db2.gz JFTAAPNVYKXNPT-VIFPVBQESA-N 0 0 427.526 -0.263 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)CO)CC2)s1 ZINC000539374569 1073191266 /nfs/dbraw/zinc/19/12/66/1073191266.db2.gz STXDOHUJMLZMIM-UHFFFAOYSA-N 0 0 425.554 -0.945 20 0 IBADRN COC(=O)[C@H](NCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1ccc(OC(F)F)cc1 ZINC000539379360 1073191201 /nfs/dbraw/zinc/19/12/01/1073191201.db2.gz QPVAVZVXPCUINC-CYBMUJFWSA-N 0 0 426.376 -0.046 20 0 IBADRN COC(=O)[C@@H](NCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1ccc(OC(F)F)cc1 ZINC000539379363 1073191306 /nfs/dbraw/zinc/19/13/06/1073191306.db2.gz QPVAVZVXPCUINC-ZDUSSCGKSA-N 0 0 426.376 -0.046 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000539383646 1073191768 /nfs/dbraw/zinc/19/17/68/1073191768.db2.gz DSTPMGWPHBACNY-UHFFFAOYSA-N 0 0 425.433 -0.631 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000539384087 1073191800 /nfs/dbraw/zinc/19/18/00/1073191800.db2.gz DEQNIWHBYMNOKU-UHFFFAOYSA-N 0 0 426.417 -0.204 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1C(=O)NCC(F)(F)F ZINC000539385440 1073191671 /nfs/dbraw/zinc/19/16/71/1073191671.db2.gz RSPGMJWUCXTXCR-UHFFFAOYSA-N 0 0 432.446 -0.369 20 0 IBADRN COCC[C@@H](NS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C)C(N)=O ZINC000539385807 1073191746 /nfs/dbraw/zinc/19/17/46/1073191746.db2.gz XSIWBYYVDDXMFU-SECBINFHSA-N 0 0 435.300 -0.192 20 0 IBADRN COCC[C@H](NS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C)C(N)=O ZINC000539385810 1073191725 /nfs/dbraw/zinc/19/17/25/1073191725.db2.gz XSIWBYYVDDXMFU-VIFPVBQESA-N 0 0 435.300 -0.192 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)c2ccc3[nH]cnc3c2)CC1 ZINC000539386794 1073191817 /nfs/dbraw/zinc/19/18/17/1073191817.db2.gz SPLSYWOGOOFOMB-UHFFFAOYSA-N 0 0 429.524 -0.410 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000539388429 1073191778 /nfs/dbraw/zinc/19/17/78/1073191778.db2.gz UEMUQVFYOVKKIO-UHFFFAOYSA-N 0 0 439.460 -0.194 20 0 IBADRN COCC(=O)N(C)CC(=O)N(C)[C@H]1CCCC[C@@H]1N(C)C(=O)CN(C)C(=O)COC ZINC000539389960 1073191548 /nfs/dbraw/zinc/19/15/48/1073191548.db2.gz WIYJLMBCMWOJEB-HOTGVXAUSA-N 0 0 428.530 -0.576 20 0 IBADRN COCC(=O)N(C)CC(=O)N(C)[C@@H]1CCCC[C@H]1N(C)C(=O)CN(C)C(=O)COC ZINC000539389962 1073191710 /nfs/dbraw/zinc/19/17/10/1073191710.db2.gz WIYJLMBCMWOJEB-HZPDHXFCSA-N 0 0 428.530 -0.576 20 0 IBADRN COCC(=O)N(C)CC(=O)N(C)[C@@H]1CCCC[C@@H]1N(C)C(=O)CN(C)C(=O)COC ZINC000539389964 1073191752 /nfs/dbraw/zinc/19/17/52/1073191752.db2.gz WIYJLMBCMWOJEB-IYBDPMFKSA-N 0 0 428.530 -0.576 20 0 IBADRN COCCCOCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000539396302 1073191233 /nfs/dbraw/zinc/19/12/33/1073191233.db2.gz WRNRKYZKEPTFMG-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN CN(C)c1nccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000539403296 1073191563 /nfs/dbraw/zinc/19/15/63/1073191563.db2.gz IGGXPHHYWNCMHZ-UHFFFAOYSA-N 0 0 437.482 -0.549 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)c1cccc(O)c1 ZINC000539410855 1072446260 /nfs/dbraw/zinc/44/62/60/1072446260.db2.gz ZRGLHMUENLQQEB-INIZCTEOSA-N 0 0 435.414 -0.022 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)c1cccc(O)c1 ZINC000539410856 1072446220 /nfs/dbraw/zinc/44/62/20/1072446220.db2.gz ZRGLHMUENLQQEB-MRXNPFEDSA-N 0 0 435.414 -0.022 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1C(=O)NCC(F)(F)F ZINC000539419270 1072446216 /nfs/dbraw/zinc/44/62/16/1072446216.db2.gz HKTGOOXLOKUHII-SECBINFHSA-N 0 0 433.430 -0.591 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1C(=O)NCC(F)(F)F ZINC000539419273 1072446242 /nfs/dbraw/zinc/44/62/42/1072446242.db2.gz HKTGOOXLOKUHII-VIFPVBQESA-N 0 0 433.430 -0.591 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000539419324 1072446244 /nfs/dbraw/zinc/44/62/44/1072446244.db2.gz CLSIMJZJYNZLEJ-LLVKDONJSA-N 0 0 440.400 -0.631 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000539419325 1072446180 /nfs/dbraw/zinc/44/61/80/1072446180.db2.gz CLSIMJZJYNZLEJ-NSHDSACASA-N 0 0 440.400 -0.631 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1C(=O)NCC(F)(F)F ZINC000539419566 1072446264 /nfs/dbraw/zinc/44/62/64/1072446264.db2.gz IRQAXCMYMSYQMN-UHFFFAOYSA-N 0 0 439.460 -0.113 20 0 IBADRN Cn1cc(S(=O)(=O)NCC2(C(N)=O)CCOCC2)cc1C(=O)NCC(F)(F)F ZINC000539419599 1072446195 /nfs/dbraw/zinc/44/61/95/1072446195.db2.gz LDFWKAACLYNTIE-UHFFFAOYSA-N 0 0 426.417 -0.122 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1)N1CCOCC1 ZINC000539432400 1072447321 /nfs/dbraw/zinc/44/73/21/1072447321.db2.gz ASMPBETUTUFYIN-IBGZPJMESA-N 0 0 446.552 -0.119 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1)N1CCOCC1 ZINC000539432401 1072447373 /nfs/dbraw/zinc/44/73/73/1072447373.db2.gz ASMPBETUTUFYIN-LJQANCHMSA-N 0 0 446.552 -0.119 20 0 IBADRN COCC[C@@H](NC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC)C(N)=O ZINC000539442951 1072446653 /nfs/dbraw/zinc/44/66/53/1072446653.db2.gz KFURRZJKWUNWHH-CYBMUJFWSA-N 0 0 430.483 -0.272 20 0 IBADRN COCC[C@H](NC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC)C(N)=O ZINC000539442955 1072446752 /nfs/dbraw/zinc/44/67/52/1072446752.db2.gz KFURRZJKWUNWHH-ZDUSSCGKSA-N 0 0 430.483 -0.272 20 0 IBADRN COCC[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(N)=O ZINC000539444363 1072448729 /nfs/dbraw/zinc/44/87/29/1072448729.db2.gz IJEHNRCDDQQKAI-INIZCTEOSA-N 0 0 440.522 -0.694 20 0 IBADRN COCC[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(N)=O ZINC000539444364 1072449218 /nfs/dbraw/zinc/44/92/18/1072449218.db2.gz IJEHNRCDDQQKAI-MRXNPFEDSA-N 0 0 440.522 -0.694 20 0 IBADRN Cc1nc(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)nn1-c1nc[nH]n1 ZINC000539448402 1072447964 /nfs/dbraw/zinc/44/79/64/1072447964.db2.gz KMFPRCOTDOGAKZ-UHFFFAOYSA-N 0 0 431.482 -0.118 20 0 IBADRN COc1ccc(NC(=O)Cn2cc(S(N)(=O)=O)cn2)c(N2CCN(C(C)=O)CC2)n1 ZINC000539471313 1072449847 /nfs/dbraw/zinc/44/98/47/1072449847.db2.gz ACCVGZOJPVKJEB-UHFFFAOYSA-N 0 0 437.482 -0.759 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCC2CCN(S(=O)(=O)c3ccccc3)CC2)c1 ZINC000539473115 1072449856 /nfs/dbraw/zinc/44/98/56/1072449856.db2.gz BPRMSNKWRBSBFT-UHFFFAOYSA-N 0 0 441.535 -0.252 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000539474189 1072449890 /nfs/dbraw/zinc/44/98/90/1072449890.db2.gz VMKIGFJQWWWBHY-UHFFFAOYSA-N 0 0 447.517 -0.244 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCS(=O)(=O)C(C)(C)C3)ccn2)CC1 ZINC000539480965 1072450328 /nfs/dbraw/zinc/45/03/28/1072450328.db2.gz PMHFZMBCYSGSFF-UHFFFAOYSA-N 0 0 437.522 -0.557 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C1 ZINC000539481793 1072450292 /nfs/dbraw/zinc/45/02/92/1072450292.db2.gz LVCPPWRRAPKVNO-INIZCTEOSA-N 0 0 430.509 -0.075 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C1 ZINC000539481813 1072450314 /nfs/dbraw/zinc/45/03/14/1072450314.db2.gz LVCPPWRRAPKVNO-MRXNPFEDSA-N 0 0 430.509 -0.075 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCC[C@H](Cn4ccnn4)C3)ccn2)CC1 ZINC000539482254 1072450378 /nfs/dbraw/zinc/45/03/78/1072450378.db2.gz BNLXWOQBXYXJMG-INIZCTEOSA-N 0 0 440.508 -0.062 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H](Cn4ccnn4)C3)ccn2)CC1 ZINC000539482255 1072450419 /nfs/dbraw/zinc/45/04/19/1072450419.db2.gz BNLXWOQBXYXJMG-MRXNPFEDSA-N 0 0 440.508 -0.062 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)ccn2)CC1 ZINC000539483218 1072450362 /nfs/dbraw/zinc/45/03/62/1072450362.db2.gz PZACQEBQLPFNLN-UHFFFAOYSA-N 0 0 440.508 -0.525 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCN(C(=O)C4CC4)CC3)ccn2)CC1 ZINC000539484044 1072449834 /nfs/dbraw/zinc/44/98/34/1072449834.db2.gz UJITUUAKODNTDE-UHFFFAOYSA-N 0 0 428.493 -0.512 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)CCO1)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000539484267 1072449771 /nfs/dbraw/zinc/44/97/71/1072449771.db2.gz BVIXTLOTPUNOCV-BZSNNMDCSA-N 0 0 436.534 -0.302 20 0 IBADRN O=C(NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)NC[C@H]1CS(=O)(=O)CCO1 ZINC000539484268 1072449732 /nfs/dbraw/zinc/44/97/32/1072449732.db2.gz BVIXTLOTPUNOCV-KSZLIROESA-N 0 0 436.534 -0.302 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000539484269 1072449799 /nfs/dbraw/zinc/44/97/99/1072449799.db2.gz BVIXTLOTPUNOCV-OKZBNKHCSA-N 0 0 436.534 -0.302 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)CCO1)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000539484270 1072449781 /nfs/dbraw/zinc/44/97/81/1072449781.db2.gz BVIXTLOTPUNOCV-RCCFBDPRSA-N 0 0 436.534 -0.302 20 0 IBADRN CC[C@@H](C)n1nc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1C ZINC000539486580 1072450953 /nfs/dbraw/zinc/45/09/53/1072450953.db2.gz LTEFMSXKWFWJMO-CYBMUJFWSA-N 0 0 432.481 -0.079 20 0 IBADRN CC[C@H](C)n1nc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1C ZINC000539486597 1072450969 /nfs/dbraw/zinc/45/09/69/1072450969.db2.gz LTEFMSXKWFWJMO-ZDUSSCGKSA-N 0 0 432.481 -0.079 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc21 ZINC000539486932 1072450433 /nfs/dbraw/zinc/45/04/33/1072450433.db2.gz YGJAWHOISRAFMF-UHFFFAOYSA-N 0 0 427.461 -0.563 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1F ZINC000539487523 1072450946 /nfs/dbraw/zinc/45/09/46/1072450946.db2.gz VKHPXWPLXRIGLA-UHFFFAOYSA-N 0 0 427.502 -0.291 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000539487549 1072451048 /nfs/dbraw/zinc/45/10/48/1072451048.db2.gz WKSLLQZQQBZEHV-UHFFFAOYSA-N 0 0 448.505 -0.182 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCc2ccnc(N(C)C)n2)cc1 ZINC000539487605 1072450933 /nfs/dbraw/zinc/45/09/33/1072450933.db2.gz XVJKQKWXCCAILS-UHFFFAOYSA-N 0 0 436.494 -0.278 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc21 ZINC000539488154 1072450873 /nfs/dbraw/zinc/45/08/73/1072450873.db2.gz IUOZBBIMDDGERF-UHFFFAOYSA-N 0 0 437.522 -0.661 20 0 IBADRN CC[C@H](C)n1nc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000539488549 1072451066 /nfs/dbraw/zinc/45/10/66/1072451066.db2.gz LMKHQPFEWMMOIS-AWEZNQCLSA-N 0 0 434.497 -0.369 20 0 IBADRN CC[C@@H](C)n1nc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000539488558 1072451139 /nfs/dbraw/zinc/45/11/39/1072451139.db2.gz LMKHQPFEWMMOIS-CQSZACIVSA-N 0 0 434.497 -0.369 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC000539495967 1072452280 /nfs/dbraw/zinc/45/22/80/1072452280.db2.gz BMXIHLKFAIWBAO-CHWSQXEVSA-N 0 0 429.495 -0.515 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC000539495969 1072452251 /nfs/dbraw/zinc/45/22/51/1072452251.db2.gz BMXIHLKFAIWBAO-OLZOCXBDSA-N 0 0 429.495 -0.515 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC000539495970 1072452276 /nfs/dbraw/zinc/45/22/76/1072452276.db2.gz BMXIHLKFAIWBAO-QWHCGFSZSA-N 0 0 429.495 -0.515 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC000539495971 1072452258 /nfs/dbraw/zinc/45/22/58/1072452258.db2.gz BMXIHLKFAIWBAO-STQMWFEESA-N 0 0 429.495 -0.515 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3cccc(Cl)c3)CC2)c1 ZINC000539499144 1072452262 /nfs/dbraw/zinc/45/22/62/1072452262.db2.gz CWNFMJPSTQEOSR-UHFFFAOYSA-N 0 0 447.926 -0.283 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)cc1OC ZINC000539499583 1072451666 /nfs/dbraw/zinc/45/16/66/1072451666.db2.gz WDZJHCFHMGIZMA-UHFFFAOYSA-N 0 0 437.478 -0.468 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC000539500634 1072451746 /nfs/dbraw/zinc/45/17/46/1072451746.db2.gz MNIKHISTMOKANF-UHFFFAOYSA-N 0 0 443.507 -0.129 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c1 ZINC000539501168 1072451711 /nfs/dbraw/zinc/45/17/11/1072451711.db2.gz WKUKORCLNJOJMF-UHFFFAOYSA-N 0 0 427.508 -0.795 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)c3ccc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000539501874 1072451634 /nfs/dbraw/zinc/45/16/34/1072451634.db2.gz CKLSQCBLZBKCEQ-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)c1 ZINC000539501933 1072451595 /nfs/dbraw/zinc/45/15/95/1072451595.db2.gz IOJFJPAHOVCJOX-UHFFFAOYSA-N 0 0 425.442 -0.581 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000539502548 1072451607 /nfs/dbraw/zinc/45/16/07/1072451607.db2.gz SDZLSZPXTYYDCV-UHFFFAOYSA-N 0 0 437.522 -0.186 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)c1 ZINC000539513256 1072452832 /nfs/dbraw/zinc/45/28/32/1072452832.db2.gz SQOBBBAGTLDJIT-CYBMUJFWSA-N 0 0 445.498 -0.361 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)c1 ZINC000539513257 1072452812 /nfs/dbraw/zinc/45/28/12/1072452812.db2.gz SQOBBBAGTLDJIT-ZDUSSCGKSA-N 0 0 445.498 -0.361 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000539513680 1072452822 /nfs/dbraw/zinc/45/28/22/1072452822.db2.gz XZGPQIPCMJEUBR-CABCVRRESA-N 0 0 426.543 -0.630 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000539513681 1072452868 /nfs/dbraw/zinc/45/28/68/1072452868.db2.gz XZGPQIPCMJEUBR-GJZGRUSLSA-N 0 0 426.543 -0.630 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000539513682 1072452855 /nfs/dbraw/zinc/45/28/55/1072452855.db2.gz XZGPQIPCMJEUBR-HUUCEWRRSA-N 0 0 426.543 -0.630 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000539513683 1072452860 /nfs/dbraw/zinc/45/28/60/1072452860.db2.gz XZGPQIPCMJEUBR-LSDHHAIUSA-N 0 0 426.543 -0.630 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC000539514382 1072452872 /nfs/dbraw/zinc/45/28/72/1072452872.db2.gz RQHCQCLZLWTGFT-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN CCOC(=O)c1ccc(N2CCCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)nc1 ZINC000539515511 1072453306 /nfs/dbraw/zinc/45/33/06/1072453306.db2.gz NCEAZAVBZYOQCR-UHFFFAOYSA-N 0 0 436.494 -0.159 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000539516012 1072453392 /nfs/dbraw/zinc/45/33/92/1072453392.db2.gz UGERWNAWJMZLAR-UHFFFAOYSA-N 0 0 435.506 -0.157 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000539516050 1072453354 /nfs/dbraw/zinc/45/33/54/1072453354.db2.gz SKOOXUMHEHWEOA-UHFFFAOYSA-N 0 0 429.524 -0.325 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000539516665 1072453320 /nfs/dbraw/zinc/45/33/20/1072453320.db2.gz RKFARWQRVDEZFU-UHFFFAOYSA-N 0 0 429.524 -0.076 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2ccc3[nH]c(CCN4CCOCC4)nc3c2)c1 ZINC000539519337 1072455563 /nfs/dbraw/zinc/45/55/63/1072455563.db2.gz WEYDWQMHGOLZLC-UHFFFAOYSA-N 0 0 433.494 -0.080 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)c1 ZINC000539519906 1072453809 /nfs/dbraw/zinc/45/38/09/1072453809.db2.gz DBRIDUPSROGUOW-UHFFFAOYSA-N 0 0 427.508 -0.546 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cn1C ZINC000539520498 1072453765 /nfs/dbraw/zinc/45/37/65/1072453765.db2.gz MTYMRQUWUBBHEL-UHFFFAOYSA-N 0 0 427.508 -0.477 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000539520977 1072453802 /nfs/dbraw/zinc/45/38/02/1072453802.db2.gz YECLGXADZWBIKM-CYBMUJFWSA-N 0 0 430.442 -0.134 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)cn1 ZINC000539520979 1072453794 /nfs/dbraw/zinc/45/37/94/1072453794.db2.gz YECLGXADZWBIKM-ZDUSSCGKSA-N 0 0 430.442 -0.134 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1 ZINC000539521810 1072453683 /nfs/dbraw/zinc/45/36/83/1072453683.db2.gz SLMZFMLYNMBRCF-SECBINFHSA-N 0 0 426.314 -0.140 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1 ZINC000539521814 1072454468 /nfs/dbraw/zinc/45/44/68/1072454468.db2.gz SLMZFMLYNMBRCF-VIFPVBQESA-N 0 0 426.314 -0.140 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)[C@@H](C)O ZINC000539522135 1072453823 /nfs/dbraw/zinc/45/38/23/1072453823.db2.gz JBIKPIYUEKRWQQ-PXAZEXFGSA-N 0 0 430.479 -0.055 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)[C@H](C)O ZINC000539522136 1072453716 /nfs/dbraw/zinc/45/37/16/1072453716.db2.gz JBIKPIYUEKRWQQ-SJCJKPOMSA-N 0 0 430.479 -0.055 20 0 IBADRN COC(=O)[C@H](NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)[C@@H](C)O ZINC000539522137 1072453626 /nfs/dbraw/zinc/45/36/26/1072453626.db2.gz JBIKPIYUEKRWQQ-SJKOYZFVSA-N 0 0 430.479 -0.055 20 0 IBADRN COC(=O)[C@H](NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)[C@H](C)O ZINC000539522138 1072453891 /nfs/dbraw/zinc/45/38/91/1072453891.db2.gz JBIKPIYUEKRWQQ-YVEFUNNKSA-N 0 0 430.479 -0.055 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1=O ZINC000539522889 1072454385 /nfs/dbraw/zinc/45/43/85/1072454385.db2.gz DGOVDUUNCKGWIO-HNNXBMFYSA-N 0 0 427.479 -0.807 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1=O ZINC000539522893 1072454469 /nfs/dbraw/zinc/45/44/69/1072454469.db2.gz DGOVDUUNCKGWIO-OAHLLOKOSA-N 0 0 427.479 -0.807 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(O)c(NC(=O)Cn2cc(S(N)(=O)=O)cn2)c1 ZINC000539523613 1072454539 /nfs/dbraw/zinc/45/45/39/1072454539.db2.gz QYGIGXJURHYSOM-UHFFFAOYSA-N 0 0 431.496 -0.095 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)[C@@H](C(=O)OC)C1 ZINC000539526007 1072454429 /nfs/dbraw/zinc/45/44/29/1072454429.db2.gz UWIBTUAFAGWSSR-GDBMZVCRSA-N 0 0 442.490 -0.134 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC000539526008 1072454561 /nfs/dbraw/zinc/45/45/61/1072454561.db2.gz UWIBTUAFAGWSSR-GOEBONIOSA-N 0 0 442.490 -0.134 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)[C@H](C(=O)OC)C1 ZINC000539526009 1072454261 /nfs/dbraw/zinc/45/42/61/1072454261.db2.gz UWIBTUAFAGWSSR-HOCLYGCPSA-N 0 0 442.490 -0.134 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)[C@H](C(=O)OC)C1 ZINC000539526010 1072455064 /nfs/dbraw/zinc/45/50/64/1072455064.db2.gz UWIBTUAFAGWSSR-ZBFHGGJFSA-N 0 0 442.490 -0.134 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000539526150 1072454440 /nfs/dbraw/zinc/45/44/40/1072454440.db2.gz HLKNUNIFWKZFGH-UHFFFAOYSA-N 0 0 433.494 -0.682 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000539527291 1072455022 /nfs/dbraw/zinc/45/50/22/1072455022.db2.gz GRGGOENDGJIXCY-INIZCTEOSA-N 0 0 435.506 -0.042 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000539527292 1072455007 /nfs/dbraw/zinc/45/50/07/1072455007.db2.gz GRGGOENDGJIXCY-MRXNPFEDSA-N 0 0 435.506 -0.042 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)c3ccc(S(N)(=O)=O)cn3)CC2)cn1 ZINC000539527642 1072455624 /nfs/dbraw/zinc/45/56/24/1072455624.db2.gz RIKDAJYUACDULB-UHFFFAOYSA-N 0 0 428.496 -0.954 20 0 IBADRN COc1ccc(NC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1S(=O)(=O)NC1CC1 ZINC000539527998 1072454968 /nfs/dbraw/zinc/45/49/68/1072454968.db2.gz HGIPANTVFWRKQH-UHFFFAOYSA-N 0 0 429.480 -0.382 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)Cn2cc(S(N)(=O)=O)cn2)C1=O ZINC000539528111 1072454879 /nfs/dbraw/zinc/45/48/79/1072454879.db2.gz AYVXVUPWQREMNH-LLVKDONJSA-N 0 0 427.464 -0.700 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)Cn2cc(S(N)(=O)=O)cn2)C1=O ZINC000539528112 1072455072 /nfs/dbraw/zinc/45/50/72/1072455072.db2.gz AYVXVUPWQREMNH-NSHDSACASA-N 0 0 427.464 -0.700 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000539528749 1072455471 /nfs/dbraw/zinc/45/54/71/1072455471.db2.gz CDRXOHNHKDLNSG-UHFFFAOYSA-N 0 0 430.512 -0.515 20 0 IBADRN CN(C)CCN(C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000539529207 1072455644 /nfs/dbraw/zinc/45/56/44/1072455644.db2.gz PQHFPUNONUNOLA-INIZCTEOSA-N 0 0 447.579 -0.510 20 0 IBADRN CN(C)CCN(C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000539529211 1072455368 /nfs/dbraw/zinc/45/53/68/1072455368.db2.gz PQHFPUNONUNOLA-MRXNPFEDSA-N 0 0 447.579 -0.510 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)c1 ZINC000539529216 1072455483 /nfs/dbraw/zinc/45/54/83/1072455483.db2.gz IDMFVABVDQVKOM-UHFFFAOYSA-N 0 0 446.533 -0.346 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C(=O)N1CCOCC1 ZINC000539530809 1072456604 /nfs/dbraw/zinc/45/66/04/1072456604.db2.gz YJPIBZXMAWASBP-KRWDZBQOSA-N 0 0 427.523 -0.087 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C(=O)N1CCOCC1 ZINC000539530810 1072456784 /nfs/dbraw/zinc/45/67/84/1072456784.db2.gz YJPIBZXMAWASBP-QGZVFWFLSA-N 0 0 427.523 -0.087 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)cn1 ZINC000539531139 1072456700 /nfs/dbraw/zinc/45/67/00/1072456700.db2.gz PCPNFQQSKOZGII-HNNXBMFYSA-N 0 0 447.521 -0.246 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)cn1 ZINC000539531143 1072456727 /nfs/dbraw/zinc/45/67/27/1072456727.db2.gz PCPNFQQSKOZGII-OAHLLOKOSA-N 0 0 447.521 -0.246 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCCC(=O)N2CCN(c3ccccc3)CC2)c1 ZINC000539531397 1072456208 /nfs/dbraw/zinc/45/62/08/1072456208.db2.gz ZJHQULPPXZPTDJ-UHFFFAOYSA-N 0 0 434.522 -0.224 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000539531505 1072456746 /nfs/dbraw/zinc/45/67/46/1072456746.db2.gz WRQOCYZSMBKMFT-UHFFFAOYSA-N 0 0 440.522 -0.890 20 0 IBADRN Cc1csc2ncc(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)c(=O)n12 ZINC000539532580 1072456847 /nfs/dbraw/zinc/45/68/47/1072456847.db2.gz GWSBXHITCOVOQB-UHFFFAOYSA-N 0 0 441.535 -0.164 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000539535693 1072456238 /nfs/dbraw/zinc/45/62/38/1072456238.db2.gz QUCXJYUCYUKJHT-UHFFFAOYSA-N 0 0 433.512 -0.940 20 0 IBADRN C[C@H]1CN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CCN1S(C)(=O)=O ZINC000539535934 1072456155 /nfs/dbraw/zinc/45/61/55/1072456155.db2.gz SOHUDARUYHHBJF-LBPRGKRZSA-N 0 0 437.565 -0.125 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000539536487 1072456044 /nfs/dbraw/zinc/45/60/44/1072456044.db2.gz CKQWBEPFOSLFMU-ZDUSSCGKSA-N 0 0 446.551 -0.242 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1S(C)(=O)=O ZINC000539537286 1072456030 /nfs/dbraw/zinc/45/60/30/1072456030.db2.gz MUXVQMLWHGUEEW-AWEZNQCLSA-N 0 0 431.536 -0.187 20 0 IBADRN O=C(NCC(=O)N1CCOCC1)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000539540079 1072456220 /nfs/dbraw/zinc/45/62/20/1072456220.db2.gz XTHPPYRPMYBBBG-UHFFFAOYSA-N 0 0 430.458 -0.173 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCC(=O)N1CCOCC1 ZINC000539543842 1072456865 /nfs/dbraw/zinc/45/68/65/1072456865.db2.gz SAKZEFLZGGXWKZ-UHFFFAOYSA-N 0 0 442.494 -0.304 20 0 IBADRN COC(=O)C1(c2ccc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2)CC1 ZINC000539548184 1072456637 /nfs/dbraw/zinc/45/66/37/1072456637.db2.gz JOQPMDNAMUQLNM-UHFFFAOYSA-N 0 0 431.536 -0.514 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CCN1S(C)(=O)=O ZINC000539554200 1072457515 /nfs/dbraw/zinc/45/75/15/1072457515.db2.gz NAXQSLLTDSYKEE-LBPRGKRZSA-N 0 0 425.554 -0.409 20 0 IBADRN C[C@H]1CN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CCN1S(C)(=O)=O ZINC000539555860 1072456821 /nfs/dbraw/zinc/45/68/21/1072456821.db2.gz OILHQFRNLQCMQL-INIZCTEOSA-N 0 0 425.559 -0.336 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCN1S(C)(=O)=O ZINC000539556021 1072456656 /nfs/dbraw/zinc/45/66/56/1072456656.db2.gz NLGHCDBVIZPCNU-ZDUSSCGKSA-N 0 0 448.571 -0.752 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CCN1S(C)(=O)=O ZINC000539556116 1072456837 /nfs/dbraw/zinc/45/68/37/1072456837.db2.gz UQFRXMONSGKDQB-JTQLQIEISA-N 0 0 429.517 -0.148 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000539556226 1072456591 /nfs/dbraw/zinc/45/65/91/1072456591.db2.gz QPSMSNRHRUFREM-AWEZNQCLSA-N 0 0 448.567 -0.213 20 0 IBADRN COC(=O)C1(c2ccc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000539556293 1072457943 /nfs/dbraw/zinc/45/79/43/1072457943.db2.gz UFLFBNKCPMSPBS-AWEZNQCLSA-N 0 0 444.531 -0.137 20 0 IBADRN COC(=O)C1(c2ccc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000539556294 1072457804 /nfs/dbraw/zinc/45/78/04/1072457804.db2.gz UFLFBNKCPMSPBS-CQSZACIVSA-N 0 0 444.531 -0.137 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000539559613 1072457380 /nfs/dbraw/zinc/45/73/80/1072457380.db2.gz ZYJPXRLAACTGNB-UHFFFAOYSA-N 0 0 436.560 -0.959 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CCN1S(C)(=O)=O ZINC000539561715 1072457396 /nfs/dbraw/zinc/45/73/96/1072457396.db2.gz YJMZPKITYYUGOI-AWEZNQCLSA-N 0 0 431.536 -0.187 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1)N1CCOCC1 ZINC000539563545 1072457888 /nfs/dbraw/zinc/45/78/88/1072457888.db2.gz ORPUREQLPOZKRZ-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)NCC(=O)N3CCOCC3)CC2)cc1 ZINC000539566282 1072457951 /nfs/dbraw/zinc/45/79/51/1072457951.db2.gz WZSCMWWCIPWLOX-UHFFFAOYSA-N 0 0 440.522 -0.040 20 0 IBADRN O=C(CNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC000539566793 1072457792 /nfs/dbraw/zinc/45/77/92/1072457792.db2.gz WDIUBJRVTRFOPO-UHFFFAOYSA-N 0 0 426.495 -0.635 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)NCC(=O)N2CCOCC2)CC1 ZINC000539567371 1072457762 /nfs/dbraw/zinc/45/77/62/1072457762.db2.gz MXWJLGSOZCEIBQ-UHFFFAOYSA-N 0 0 430.449 -0.157 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCCOCC3)CC2)cc1 ZINC000539567932 1072457812 /nfs/dbraw/zinc/45/78/12/1072457812.db2.gz SIDJUJKNWDNZKR-AWEZNQCLSA-N 0 0 446.551 -0.081 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCCOCC3)CC2)cc1 ZINC000539567935 1072457857 /nfs/dbraw/zinc/45/78/57/1072457857.db2.gz SIDJUJKNWDNZKR-CQSZACIVSA-N 0 0 446.551 -0.081 20 0 IBADRN O=C(CNC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)N1CCOCC1 ZINC000539568390 1072457901 /nfs/dbraw/zinc/45/79/01/1072457901.db2.gz HLDOCNKRTJCGPP-UHFFFAOYSA-N 0 0 432.449 -0.161 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCC(=O)N2CCOCC2)CC1 ZINC000539569413 1072457912 /nfs/dbraw/zinc/45/79/12/1072457912.db2.gz RVSRFHTYNQDCNA-UHFFFAOYSA-N 0 0 425.511 -0.715 20 0 IBADRN O=C(CNC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)N1CCOCC1 ZINC000539571132 1072457821 /nfs/dbraw/zinc/45/78/21/1072457821.db2.gz ZCSWGFQGICCJDN-UHFFFAOYSA-N 0 0 436.494 -0.562 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCC(=O)N3CCOCC3)CC2)cc1 ZINC000539571142 1072457861 /nfs/dbraw/zinc/45/78/61/1072457861.db2.gz ZNILKXCTFLULAI-UHFFFAOYSA-N 0 0 426.495 -0.430 20 0 IBADRN C[C@H]1CN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CCN1S(C)(=O)=O ZINC000539575574 1072459089 /nfs/dbraw/zinc/45/90/89/1072459089.db2.gz KSHCGFXUPSIKRE-KBPBESRZSA-N 0 0 426.561 -0.850 20 0 IBADRN C[C@H]1CN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CCN1S(C)(=O)=O ZINC000539575577 1072458976 /nfs/dbraw/zinc/45/89/76/1072458976.db2.gz KSHCGFXUPSIKRE-UONOGXRCSA-N 0 0 426.561 -0.850 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCOCC2)cc1 ZINC000539577990 1072459157 /nfs/dbraw/zinc/45/91/57/1072459157.db2.gz DZTRAKFGJMNCRY-UHFFFAOYSA-N 0 0 443.522 -0.441 20 0 IBADRN C[C@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCN1S(C)(=O)=O ZINC000539578945 1072458948 /nfs/dbraw/zinc/45/89/48/1072458948.db2.gz RDFHMMYLWBPXAL-ZDUSSCGKSA-N 0 0 446.551 -0.841 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000539579129 1072459098 /nfs/dbraw/zinc/45/90/98/1072459098.db2.gz VRXAXHVWWXEXRK-OLZOCXBDSA-N 0 0 430.552 -0.423 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000539579130 1072459006 /nfs/dbraw/zinc/45/90/06/1072459006.db2.gz VRXAXHVWWXEXRK-STQMWFEESA-N 0 0 430.552 -0.423 20 0 IBADRN CC(C)C[C@H](CCC(=O)NCC(=O)N1CCOCC1)C(=O)NCC(=O)N1CCOCC1 ZINC000539579266 1072459148 /nfs/dbraw/zinc/45/91/48/1072459148.db2.gz CHCRPMLYPQNJNV-KRWDZBQOSA-N 0 0 440.541 -0.621 20 0 IBADRN CC(C)C[C@@H](CCC(=O)NCC(=O)N1CCOCC1)C(=O)NCC(=O)N1CCOCC1 ZINC000539579267 1072459106 /nfs/dbraw/zinc/45/91/06/1072459106.db2.gz CHCRPMLYPQNJNV-QGZVFWFLSA-N 0 0 440.541 -0.621 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCC(=O)N1CCOCC1 ZINC000539579351 1072458957 /nfs/dbraw/zinc/45/89/57/1072458957.db2.gz IQSIZYKQHHLEED-UHFFFAOYSA-N 0 0 425.507 -0.385 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC(=O)N1CCOCC1 ZINC000539579615 1072459132 /nfs/dbraw/zinc/45/91/32/1072459132.db2.gz AKMLRUXGTXFRIU-UHFFFAOYSA-N 0 0 427.479 -0.695 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1OC ZINC000539579661 1072459167 /nfs/dbraw/zinc/45/91/67/1072459167.db2.gz DIHFSVVEOZIXIA-ZDUSSCGKSA-N 0 0 449.551 -0.136 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCC(=O)N2CCOCC2)cc1 ZINC000539579715 1072459939 /nfs/dbraw/zinc/45/99/39/1072459939.db2.gz IHUWHNRZKZCDBI-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)C1 ZINC000539580385 1072458997 /nfs/dbraw/zinc/45/89/97/1072458997.db2.gz RPJIGCZAOKWDSX-SWLSCSKDSA-N 0 0 447.583 -0.080 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)C1 ZINC000539580386 1072459024 /nfs/dbraw/zinc/45/90/24/1072459024.db2.gz RPJIGCZAOKWDSX-WFASDCNBSA-N 0 0 447.583 -0.080 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000539581989 1072459872 /nfs/dbraw/zinc/45/98/72/1072459872.db2.gz DLPDGRKJXQYIPI-LBPRGKRZSA-N 0 0 426.495 -0.172 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000539582020 1072458683 /nfs/dbraw/zinc/45/86/83/1072458683.db2.gz FOMQPHHCOCWXBK-NSHDSACASA-N 0 0 448.523 -0.503 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnc(OCC(F)(F)F)c1 ZINC000539582905 1072458675 /nfs/dbraw/zinc/45/86/75/1072458675.db2.gz QPLDFKQQZMJPOV-LLVKDONJSA-N 0 0 431.371 -0.186 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnc(OCC(F)(F)F)c1 ZINC000539582909 1072458529 /nfs/dbraw/zinc/45/85/29/1072458529.db2.gz QPLDFKQQZMJPOV-NSHDSACASA-N 0 0 431.371 -0.186 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN(C)C)cc2)CCN1S(C)(=O)=O ZINC000539582973 1072458538 /nfs/dbraw/zinc/45/85/38/1072458538.db2.gz SFVNKMNGMAWIRX-ZDUSSCGKSA-N 0 0 425.511 -0.383 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1c2ccccc2oc1C(=O)N1CCOCC1)N1CCOCC1 ZINC000539583624 1072458566 /nfs/dbraw/zinc/45/85/66/1072458566.db2.gz AQSFYRJOBOEHNO-UHFFFAOYSA-N 0 0 444.444 -0.181 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cc(Br)cnc1-n1cccn1)N1CCOCC1 ZINC000539583648 1072458457 /nfs/dbraw/zinc/45/84/57/1072458457.db2.gz BIPLPNSPSGHCCR-UHFFFAOYSA-N 0 0 437.254 -0.057 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cn1 ZINC000539584052 1072458480 /nfs/dbraw/zinc/45/84/80/1072458480.db2.gz LEZQTNIWOOFLSJ-LBPRGKRZSA-N 0 0 429.499 -0.388 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c(C)c1S(=O)(=O)NC1CC1 ZINC000539584082 1072458690 /nfs/dbraw/zinc/45/86/90/1072458690.db2.gz DOPFJJRNTGZQRV-UHFFFAOYSA-N 0 0 438.506 -0.342 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)CCN1S(C)(=O)=O ZINC000539584172 1072458606 /nfs/dbraw/zinc/45/86/06/1072458606.db2.gz IWFAGIZZZLIPTI-ZDUSSCGKSA-N 0 0 426.495 -0.416 20 0 IBADRN O=C(Nc1ccnc(OCC(F)(F)F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000539584343 1072458557 /nfs/dbraw/zinc/45/85/57/1072458557.db2.gz OTQLPTANXDIQAD-UHFFFAOYSA-N 0 0 443.382 -0.089 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1 ZINC000539584406 1072458618 /nfs/dbraw/zinc/45/86/18/1072458618.db2.gz JXDCTPQSDWJAAQ-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CCN1S(C)(=O)=O ZINC000539584432 1072458469 /nfs/dbraw/zinc/45/84/69/1072458469.db2.gz KUURGSWLWIWOFT-LBPRGKRZSA-N 0 0 444.535 -0.442 20 0 IBADRN O=C(NCC(=O)N1CCOCC1)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000539584624 1072459075 /nfs/dbraw/zinc/45/90/75/1072459075.db2.gz SIOXJTWGACYFJB-UHFFFAOYSA-N 0 0 443.504 -0.113 20 0 IBADRN Cc1c(CS(C)(=O)=O)cccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000539584698 1072459048 /nfs/dbraw/zinc/45/90/48/1072459048.db2.gz NSGITHPDLNPLTD-LBPRGKRZSA-N 0 0 431.536 -0.030 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccnc(OCC(F)(F)F)c2)CC1 ZINC000539584800 1072458966 /nfs/dbraw/zinc/45/89/66/1072458966.db2.gz CTNZZCNXEAXIMI-UHFFFAOYSA-N 0 0 439.416 -0.606 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000539585211 1072458591 /nfs/dbraw/zinc/45/85/91/1072458591.db2.gz IUBZAJWAMFZAFQ-AWEZNQCLSA-N 0 0 440.522 -0.182 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000539585212 1072459115 /nfs/dbraw/zinc/45/91/15/1072459115.db2.gz IUBZAJWAMFZAFQ-CQSZACIVSA-N 0 0 440.522 -0.182 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CCN1S(C)(=O)=O ZINC000539585562 1072459031 /nfs/dbraw/zinc/45/90/31/1072459031.db2.gz MUZVDRNVQMESPB-LBPRGKRZSA-N 0 0 433.490 -0.227 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F)N1CCOCC1 ZINC000539585657 1072460560 /nfs/dbraw/zinc/46/05/60/1072460560.db2.gz RDGMYTUPTZHPQN-UHFFFAOYSA-N 0 0 428.442 -0.721 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)CCN1S(C)(=O)=O ZINC000539586040 1072460348 /nfs/dbraw/zinc/46/03/48/1072460348.db2.gz CQFKZHQGAWSZCW-LBPRGKRZSA-N 0 0 437.478 -0.484 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCC(=O)N2CCOCC2)ccc1C ZINC000539586253 1072460472 /nfs/dbraw/zinc/46/04/72/1072460472.db2.gz BGOMWDQKIBMWCG-UHFFFAOYSA-N 0 0 440.522 -0.061 20 0 IBADRN Cc1c(NC(=O)C(=O)NCC(=O)N2CCOCC2)cccc1S(=O)(=O)NC(C)(C)C ZINC000539586491 1072460536 /nfs/dbraw/zinc/46/05/36/1072460536.db2.gz HNQSOYNMYIBACU-UHFFFAOYSA-N 0 0 440.522 -0.015 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)NCC(=O)N2CCOCC2)ccc1F ZINC000539586498 1072460450 /nfs/dbraw/zinc/46/04/50/1072460450.db2.gz HSMATSRBMAILFD-UHFFFAOYSA-N 0 0 444.485 -0.184 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000539586632 1072460415 /nfs/dbraw/zinc/46/04/15/1072460415.db2.gz MSFUWHNUJSSGTD-ZDUSSCGKSA-N 0 0 425.511 -0.343 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1Cl ZINC000539586662 1072461111 /nfs/dbraw/zinc/46/11/11/1072461111.db2.gz KEVZITSKXUMDTA-UHFFFAOYSA-N 0 0 426.857 -0.280 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1)N1CCOCC1 ZINC000539586746 1072460973 /nfs/dbraw/zinc/46/09/73/1072460973.db2.gz NGWCHVNSJSRXCS-UHFFFAOYSA-N 0 0 434.518 -0.282 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CCN1S(C)(=O)=O ZINC000539587029 1072460566 /nfs/dbraw/zinc/46/05/66/1072460566.db2.gz VOKGKCPMSXZDQC-ZDUSSCGKSA-N 0 0 444.535 -0.343 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CCN1S(C)(=O)=O ZINC000539587040 1072461149 /nfs/dbraw/zinc/46/11/49/1072461149.db2.gz RBMCFIOGIQDSTI-AWEZNQCLSA-N 0 0 438.506 -0.410 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCC(=O)N1CCOCC1 ZINC000539587085 1072460492 /nfs/dbraw/zinc/46/04/92/1072460492.db2.gz SGCYTXDZWALERF-UHFFFAOYSA-N 0 0 440.478 -0.951 20 0 IBADRN C[C@H]1CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CCN1S(C)(=O)=O ZINC000539587192 1074364562 /nfs/dbraw/zinc/36/45/62/1074364562.db2.gz ZFHJZKRPJCYODJ-LBPRGKRZSA-N 0 0 438.510 -0.517 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1 ZINC000539587501 1072460575 /nfs/dbraw/zinc/46/05/75/1072460575.db2.gz JGHZWSLZNVLLDU-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCNC(=O)[C@@H]2c2ccc(Br)cc2)c1 ZINC000539589059 1072461059 /nfs/dbraw/zinc/46/10/59/1072461059.db2.gz XXQHKPWIGCTUCN-AWEZNQCLSA-N 0 0 442.295 -0.007 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCNC(=O)[C@H]2c2ccc(Br)cc2)c1 ZINC000539589063 1072460989 /nfs/dbraw/zinc/46/09/89/1072460989.db2.gz XXQHKPWIGCTUCN-CQSZACIVSA-N 0 0 442.295 -0.007 20 0 IBADRN Cn1cc(S(=O)(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000539596829 1072459881 /nfs/dbraw/zinc/45/98/81/1072459881.db2.gz NSQIBFBICPICGE-UHFFFAOYSA-N 0 0 437.478 -0.845 20 0 IBADRN CC1(C)CN(C(=O)C2CC2)CCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000539613286 1072462175 /nfs/dbraw/zinc/46/21/75/1072462175.db2.gz LHZRCHBPRMRBSL-KRWDZBQOSA-N 0 0 426.583 -0.350 20 0 IBADRN CC1(C)CN(C(=O)C2CC2)CCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000539613287 1072462184 /nfs/dbraw/zinc/46/21/84/1072462184.db2.gz LHZRCHBPRMRBSL-QGZVFWFLSA-N 0 0 426.583 -0.350 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)CC1 ZINC000539619146 1072462862 /nfs/dbraw/zinc/46/28/62/1072462862.db2.gz FLQIIHWMTGNUCM-UHFFFAOYSA-N 0 0 445.586 -0.183 20 0 IBADRN COCc1cccc(CNC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)c1 ZINC000539628927 1072463337 /nfs/dbraw/zinc/46/33/37/1072463337.db2.gz JBUHNNLSNRRULS-UHFFFAOYSA-N 0 0 432.477 -0.038 20 0 IBADRN COCc1ccccc1CNC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000539629075 1072463430 /nfs/dbraw/zinc/46/34/30/1072463430.db2.gz QIPMYSYHZWCZAS-UHFFFAOYSA-N 0 0 432.477 -0.038 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)cc2)CC1 ZINC000539629327 1072463375 /nfs/dbraw/zinc/46/33/75/1072463375.db2.gz CREGTIWGJPRWOO-UHFFFAOYSA-N 0 0 435.506 -0.451 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)CCCCC1 ZINC000539629571 1072463469 /nfs/dbraw/zinc/46/34/69/1072463469.db2.gz CDIHMHKOPDBHTM-UHFFFAOYSA-N 0 0 438.481 -0.508 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000539630352 1072463452 /nfs/dbraw/zinc/46/34/52/1072463452.db2.gz QWMJXOSTFDYLEL-GOSISDBHSA-N 0 0 444.488 -0.184 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000539630353 1072463916 /nfs/dbraw/zinc/46/39/16/1072463916.db2.gz QWMJXOSTFDYLEL-SFHVURJKSA-N 0 0 444.488 -0.184 20 0 IBADRN CS(=O)(=O)c1ccc(OCCN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)cc1 ZINC000539630470 1072463278 /nfs/dbraw/zinc/46/32/78/1072463278.db2.gz UDDWIZHWVKOCTP-UHFFFAOYSA-N 0 0 439.490 -0.018 20 0 IBADRN CS(=O)(=O)c1cccc(OCCN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)c1 ZINC000539630533 1072463410 /nfs/dbraw/zinc/46/34/10/1072463410.db2.gz QFUMLTHJHXUIRO-UHFFFAOYSA-N 0 0 439.490 -0.018 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)CC1 ZINC000539631247 1072463953 /nfs/dbraw/zinc/46/39/53/1072463953.db2.gz BBCXRMPHXPMQPE-UHFFFAOYSA-N 0 0 438.481 -0.699 20 0 IBADRN COCc1ccc(CNC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)cc1 ZINC000539632396 1072463346 /nfs/dbraw/zinc/46/33/46/1072463346.db2.gz VNPXKBWDGPTGPI-UHFFFAOYSA-N 0 0 432.477 -0.038 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOC(C)(C)C3)CC2)C[C@H](C)O1 ZINC000539636404 1072463957 /nfs/dbraw/zinc/46/39/57/1072463957.db2.gz RHJYOTYHIBPSDE-GASCZTMLSA-N 0 0 440.588 -0.686 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOC(C)(C)C3)CC2)C[C@H](C)O1 ZINC000539636405 1072463894 /nfs/dbraw/zinc/46/38/94/1072463894.db2.gz RHJYOTYHIBPSDE-GJZGRUSLSA-N 0 0 440.588 -0.686 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOC(C)(C)C3)CC2)C[C@@H](C)O1 ZINC000539636406 1072463926 /nfs/dbraw/zinc/46/39/26/1072463926.db2.gz RHJYOTYHIBPSDE-HUUCEWRRSA-N 0 0 440.588 -0.686 20 0 IBADRN CN(CCCNS(=O)(=O)N1CCOC(C)(C)C1)S(=O)(=O)N1CCOC(C)(C)C1 ZINC000539639368 1072463962 /nfs/dbraw/zinc/46/39/62/1072463962.db2.gz WFLRRWOIWACVOU-UHFFFAOYSA-N 0 0 442.604 -0.391 20 0 IBADRN CC1(C)CN(S(=O)(=O)NCCCNS(=O)(=O)N2CCOC(C)(C)C2)CCO1 ZINC000539639955 1072463967 /nfs/dbraw/zinc/46/39/67/1072463967.db2.gz RKEZCPXGYWCRHF-UHFFFAOYSA-N 0 0 428.577 -0.733 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)NCCN3C(=O)CCC3=O)cc2)CC1 ZINC000539642138 1072464346 /nfs/dbraw/zinc/46/43/46/1072464346.db2.gz MAPDPRBRTJIAGN-UHFFFAOYSA-N 0 0 429.477 -0.446 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CC1 ZINC000539643719 1072463934 /nfs/dbraw/zinc/46/39/34/1072463934.db2.gz SWPIQPVVVAAGRG-UHFFFAOYSA-N 0 0 446.504 -0.184 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CCO1 ZINC000539663311 1072465072 /nfs/dbraw/zinc/46/50/72/1072465072.db2.gz MBPQIVCSYQLQRD-GOSISDBHSA-N 0 0 432.477 -0.310 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CCO1 ZINC000539663316 1072465174 /nfs/dbraw/zinc/46/51/74/1072465174.db2.gz MBPQIVCSYQLQRD-SFHVURJKSA-N 0 0 432.477 -0.310 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CN2CCN(C(C)=O)CC2)cc1 ZINC000539665862 1072465138 /nfs/dbraw/zinc/46/51/38/1072465138.db2.gz ABAIXPIGXQTBOR-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000539693897 1072465598 /nfs/dbraw/zinc/46/55/98/1072465598.db2.gz BVRYBYHDGWZZGK-UHFFFAOYSA-N 0 0 435.458 -0.177 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)c1cnc2c(c1)NC(=O)CO2 ZINC000539695081 1072465632 /nfs/dbraw/zinc/46/56/32/1072465632.db2.gz WJEREIJGZCMHBP-UHFFFAOYSA-N 0 0 446.441 -0.013 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1=O ZINC000539695707 1072465626 /nfs/dbraw/zinc/46/56/26/1072465626.db2.gz WZPSXDOIEBBRMY-UHFFFAOYSA-N 0 0 438.462 -0.723 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)c1C ZINC000539695955 1072466257 /nfs/dbraw/zinc/46/62/57/1072466257.db2.gz HPEQWQQZRNPYRN-UHFFFAOYSA-N 0 0 434.474 -0.029 20 0 IBADRN COCCN1C[C@H](C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC000539696387 1072465536 /nfs/dbraw/zinc/46/55/36/1072465536.db2.gz LEJDHPGDGFCLGU-CYBMUJFWSA-N 0 0 439.490 -0.558 20 0 IBADRN COCCN1C[C@@H](C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC000539696388 1072466246 /nfs/dbraw/zinc/46/62/46/1072466246.db2.gz LEJDHPGDGFCLGU-ZDUSSCGKSA-N 0 0 439.490 -0.558 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000539697899 1072466249 /nfs/dbraw/zinc/46/62/49/1072466249.db2.gz HDAVDSXPAHLANF-UHFFFAOYSA-N 0 0 437.522 -0.188 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)C1CCS(=O)(=O)CC1 ZINC000539699438 1072466114 /nfs/dbraw/zinc/46/61/14/1072466114.db2.gz LSQCHYDGIKHYPT-UHFFFAOYSA-N 0 0 430.504 -0.228 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000539701802 1072466271 /nfs/dbraw/zinc/46/62/71/1072466271.db2.gz CVYJOFQDRSSHJV-UHFFFAOYSA-N 0 0 439.538 -0.244 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000539702549 1072466236 /nfs/dbraw/zinc/46/62/36/1072466236.db2.gz UCGOHLVFEXDZJD-UHFFFAOYSA-N 0 0 440.526 -1.000 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000539702875 1072466648 /nfs/dbraw/zinc/46/66/48/1072466648.db2.gz URNAIFJKGXPWBT-KRWDZBQOSA-N 0 0 444.598 -0.267 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000539702877 1072466797 /nfs/dbraw/zinc/46/67/97/1072466797.db2.gz URNAIFJKGXPWBT-QGZVFWFLSA-N 0 0 444.598 -0.267 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)CCC(=O)N3CCC(O)CC3)C2)cc1 ZINC000539707382 1072466180 /nfs/dbraw/zinc/46/61/80/1072466180.db2.gz HFUWGJQRVLDQFI-UHFFFAOYSA-N 0 0 425.507 -0.052 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCNC(=O)N(C)C)o1 ZINC000539714007 1072466703 /nfs/dbraw/zinc/46/67/03/1072466703.db2.gz XBHFWWSEFPPSHL-GFCCVEGCSA-N 0 0 430.483 -0.003 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCNC(=O)N(C)C)o1 ZINC000539714008 1072466772 /nfs/dbraw/zinc/46/67/72/1072466772.db2.gz XBHFWWSEFPPSHL-LBPRGKRZSA-N 0 0 430.483 -0.003 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000539714188 1072466677 /nfs/dbraw/zinc/46/66/77/1072466677.db2.gz SQBHUCDOBQZMQD-HZPDHXFCSA-N 0 0 436.538 -0.547 20 0 IBADRN O=C(CNC(=O)c1ccccn1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000539714425 1072466637 /nfs/dbraw/zinc/46/66/37/1072466637.db2.gz TYOREIYTRYBKGZ-UHFFFAOYSA-N 0 0 432.458 -0.228 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(CCn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC000539716472 1072466758 /nfs/dbraw/zinc/46/67/58/1072466758.db2.gz MGPGOADZBJDHNK-UHFFFAOYSA-N 0 0 432.506 -0.205 20 0 IBADRN COCc1noc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000539721550 1072466825 /nfs/dbraw/zinc/46/68/25/1072466825.db2.gz VAYSMDFFQXTBCU-UHFFFAOYSA-N 0 0 431.496 -0.630 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCNC(=O)N(C)C)CC2)cc1 ZINC000539727498 1072466744 /nfs/dbraw/zinc/46/67/44/1072466744.db2.gz ZASJYJSWESLTMK-UHFFFAOYSA-N 0 0 441.554 -0.269 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C(C)(C)C1 ZINC000539728285 1072466768 /nfs/dbraw/zinc/46/67/68/1072466768.db2.gz SRELIOYTOLIUHC-INIZCTEOSA-N 0 0 429.587 -0.605 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C(C)(C)C1 ZINC000539728291 1072466735 /nfs/dbraw/zinc/46/67/35/1072466735.db2.gz SRELIOYTOLIUHC-MRXNPFEDSA-N 0 0 429.587 -0.605 20 0 IBADRN CN(C)C(=O)N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C(C)(C)C1 ZINC000539728457 1072466792 /nfs/dbraw/zinc/46/67/92/1072466792.db2.gz WJVVIELDXNEFAJ-UHFFFAOYSA-N 0 0 438.573 -0.933 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)CCNC(=O)N(C)C)CC1 ZINC000539728646 1072466841 /nfs/dbraw/zinc/46/68/41/1072466841.db2.gz QIFCZAQWPXWJAF-UHFFFAOYSA-N 0 0 430.531 -0.673 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000539748919 1072467985 /nfs/dbraw/zinc/46/79/85/1072467985.db2.gz ANXDDLXOFLXVRD-AWEZNQCLSA-N 0 0 432.485 -0.444 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000539758386 1072468055 /nfs/dbraw/zinc/46/80/55/1072468055.db2.gz JTASLCYCHVSHFQ-UHFFFAOYSA-N 0 0 446.460 -0.051 20 0 IBADRN COC(=O)[C@]1(NC(=O)[C@H]2CC[C@H](C(=O)N[C@@]3(C(=O)OC)CCOC3)CC2)CCOC1 ZINC000539761872 1072467841 /nfs/dbraw/zinc/46/78/41/1072467841.db2.gz OZLFVSKNYQFVJL-FEBSWUBLSA-N 0 0 426.466 -0.311 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@H]2CC[C@H](C(=O)N[C@]3(C(=O)OC)CCOC3)CC2)CCOC1 ZINC000539761886 1072468023 /nfs/dbraw/zinc/46/80/23/1072468023.db2.gz OZLFVSKNYQFVJL-UYVJDWJCSA-N 0 0 426.466 -0.311 20 0 IBADRN COC(=O)[C@@]1(NC(=O)[C@@H]2CC[C@@H](C(=O)N[C@@]3(C(=O)OC)CCOC3)CC2)CCOC1 ZINC000539762068 1072467917 /nfs/dbraw/zinc/46/79/17/1072467917.db2.gz OZLFVSKNYQFVJL-XRMHBOPGSA-N 0 0 426.466 -0.311 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000539772400 1072468505 /nfs/dbraw/zinc/46/85/05/1072468505.db2.gz UTHOEUGXQJDBOL-UHFFFAOYSA-N 0 0 429.481 -0.524 20 0 IBADRN O=C(N[C@H](CO)Cc1ccc(O)cc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000539772629 1072468723 /nfs/dbraw/zinc/46/87/23/1072468723.db2.gz ADQNAXGPTLJQMW-INIZCTEOSA-N 0 0 433.486 -0.154 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccccc2Cn2ccnc2)CC1)N1CCOCC1 ZINC000539775592 1072468560 /nfs/dbraw/zinc/46/85/60/1072468560.db2.gz AAFVBDBIQRXQHF-UHFFFAOYSA-N 0 0 447.517 -0.377 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc2c(c1)OCO2 ZINC000539776826 1072468743 /nfs/dbraw/zinc/46/87/43/1072468743.db2.gz FZJSEXXMFYDHDC-UHFFFAOYSA-N 0 0 433.508 -0.265 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OCC(F)(F)F)nc1 ZINC000539786587 1072468747 /nfs/dbraw/zinc/46/87/47/1072468747.db2.gz KMGKLVNWMXELHR-JTQLQIEISA-N 0 0 445.441 -0.054 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OCC(F)(F)F)nc1 ZINC000539786588 1072468629 /nfs/dbraw/zinc/46/86/29/1072468629.db2.gz KMGKLVNWMXELHR-SNVBAGLBSA-N 0 0 445.441 -0.054 20 0 IBADRN Cc1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)ccc1-n1cncn1 ZINC000539836485 1072469973 /nfs/dbraw/zinc/46/99/73/1072469973.db2.gz IHCRTWBUHVRNQG-GOSISDBHSA-N 0 0 432.550 -0.003 20 0 IBADRN Cc1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)ccc1-n1cncn1 ZINC000539836486 1072469766 /nfs/dbraw/zinc/46/97/66/1072469766.db2.gz IHCRTWBUHVRNQG-SFHVURJKSA-N 0 0 432.550 -0.003 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(-c2cccnc2)n1 ZINC000539838094 1072469953 /nfs/dbraw/zinc/46/99/53/1072469953.db2.gz HXOKCECADRIOJR-GOSISDBHSA-N 0 0 432.550 -0.097 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(-c2cccnc2)n1 ZINC000539838095 1072469917 /nfs/dbraw/zinc/46/99/17/1072469917.db2.gz HXOKCECADRIOJR-SFHVURJKSA-N 0 0 432.550 -0.097 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCN(S(N)(=O)=O)CC2)cc1Br ZINC000539840553 1072470474 /nfs/dbraw/zinc/47/04/74/1072470474.db2.gz FBPDBPMFBGBMER-UHFFFAOYSA-N 0 0 426.314 -0.186 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1 ZINC000539847708 1072470454 /nfs/dbraw/zinc/47/04/54/1072470454.db2.gz ODVBXDVMPAQDKH-UHFFFAOYSA-N 0 0 430.464 -0.308 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc(C(=O)OC)nc2)CC1 ZINC000539848160 1072470444 /nfs/dbraw/zinc/47/04/44/1072470444.db2.gz YURRAFQTFKSXHL-AWEZNQCLSA-N 0 0 428.511 -0.284 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc(C(=O)OC)nc2)CC1 ZINC000539848161 1072470488 /nfs/dbraw/zinc/47/04/88/1072470488.db2.gz YURRAFQTFKSXHL-CQSZACIVSA-N 0 0 428.511 -0.284 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1 ZINC000539848515 1072470377 /nfs/dbraw/zinc/47/03/77/1072470377.db2.gz QDVDWEDDRQIFAN-UHFFFAOYSA-N 0 0 435.524 -0.071 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000539849117 1072470280 /nfs/dbraw/zinc/47/02/80/1072470280.db2.gz MGVVDTLIERQKCF-UHFFFAOYSA-N 0 0 448.523 -0.812 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2ccc(C(=O)OC)nc2)c1 ZINC000539849279 1072470369 /nfs/dbraw/zinc/47/03/69/1072470369.db2.gz RBSGAWGIAQGHCR-UHFFFAOYSA-N 0 0 442.475 -0.307 20 0 IBADRN Cn1cc(I)c(=O)n(CC(=O)NC(=O)NCc2ccco2)c1=O ZINC000539855122 1072471183 /nfs/dbraw/zinc/47/11/83/1072471183.db2.gz WDHREGFDAGLRLE-UHFFFAOYSA-N 0 0 432.174 -0.229 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)cc1F ZINC000539855536 1072471075 /nfs/dbraw/zinc/47/10/75/1072471075.db2.gz FMERBCQWLKXOFP-CYBMUJFWSA-N 0 0 437.471 -0.361 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)cc1F ZINC000539855541 1072471003 /nfs/dbraw/zinc/47/10/03/1072471003.db2.gz FMERBCQWLKXOFP-ZDUSSCGKSA-N 0 0 437.471 -0.361 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccc3nccn32)c(=O)n1CC(=O)NCCOC ZINC000539855815 1072471158 /nfs/dbraw/zinc/47/11/58/1072471158.db2.gz USFHUVGTBOYAPU-UHFFFAOYSA-N 0 0 430.486 -0.508 20 0 IBADRN CC(C)CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)s1 ZINC000539856075 1072471174 /nfs/dbraw/zinc/47/11/74/1072471174.db2.gz LUYHIPUTEJNPAN-UHFFFAOYSA-N 0 0 438.597 -0.037 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1F ZINC000539856516 1072471016 /nfs/dbraw/zinc/47/10/16/1072471016.db2.gz WHVFDRONBLEPKL-JTQLQIEISA-N 0 0 429.517 -0.101 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1F ZINC000539856520 1072471070 /nfs/dbraw/zinc/47/10/70/1072471070.db2.gz WHVFDRONBLEPKL-SNVBAGLBSA-N 0 0 429.517 -0.101 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cn1c(=O)c(I)cn(C)c1=O)C(=O)OC ZINC000539856760 1072471133 /nfs/dbraw/zinc/47/11/33/1072471133.db2.gz ZTKBUXNFYYDOOM-GZMMTYOYSA-N 0 0 437.234 -0.145 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cn1c(=O)c(I)cn(C)c1=O)C(=O)OC ZINC000539856761 1072470976 /nfs/dbraw/zinc/47/09/76/1072470976.db2.gz ZTKBUXNFYYDOOM-KCJUWKMLSA-N 0 0 437.234 -0.145 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cn1c(=O)c(I)cn(C)c1=O)C(=O)OC ZINC000539856762 1072471096 /nfs/dbraw/zinc/47/10/96/1072471096.db2.gz ZTKBUXNFYYDOOM-KWQFWETISA-N 0 0 437.234 -0.145 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cn1c(=O)c(I)cn(C)c1=O)C(=O)OC ZINC000539856763 1072471123 /nfs/dbraw/zinc/47/11/23/1072471123.db2.gz ZTKBUXNFYYDOOM-LDYMZIIASA-N 0 0 437.234 -0.145 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1 ZINC000539856991 1072471444 /nfs/dbraw/zinc/47/14/44/1072471444.db2.gz AIEOWMXXPYUBOV-UHFFFAOYSA-N 0 0 443.507 -0.395 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000539857994 1072471472 /nfs/dbraw/zinc/47/14/72/1072471472.db2.gz HCEBNNYTIOORDQ-CYBMUJFWSA-N 0 0 435.524 -0.253 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000539857999 1072471534 /nfs/dbraw/zinc/47/15/34/1072471534.db2.gz HCEBNNYTIOORDQ-ZDUSSCGKSA-N 0 0 435.524 -0.253 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000539860226 1072471439 /nfs/dbraw/zinc/47/14/39/1072471439.db2.gz KILUZWJKUCIREN-UHFFFAOYSA-N 0 0 435.524 -0.396 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000539866410 1072472130 /nfs/dbraw/zinc/47/21/30/1072472130.db2.gz KSDLCGJWBZDJGU-UHFFFAOYSA-N 0 0 449.460 -0.611 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(O)(C(=O)OC)CC2)cc1 ZINC000539866451 1072472156 /nfs/dbraw/zinc/47/21/56/1072472156.db2.gz LNSVVXSRUFMBID-UHFFFAOYSA-N 0 0 443.478 -0.924 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000539867562 1072472295 /nfs/dbraw/zinc/47/22/95/1072472295.db2.gz BWKLPJHUCHOKJX-UHFFFAOYSA-N 0 0 425.463 -0.309 20 0 IBADRN COC[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000539867646 1072472146 /nfs/dbraw/zinc/47/21/46/1072472146.db2.gz FBDZCIKPSPIQRY-CYBMUJFWSA-N 0 0 428.511 -0.137 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000539868027 1072472256 /nfs/dbraw/zinc/47/22/56/1072472256.db2.gz PZFXNKUWABHGSM-UHFFFAOYSA-N 0 0 425.463 -0.408 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000539868233 1072472285 /nfs/dbraw/zinc/47/22/85/1072472285.db2.gz ZUWANQCMNZFKOS-UHFFFAOYSA-N 0 0 427.479 -0.290 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC(O)(C(=O)OC)CC1)CC2 ZINC000539868580 1072472190 /nfs/dbraw/zinc/47/21/90/1072472190.db2.gz SEKUSIGGARWEPT-UHFFFAOYSA-N 0 0 425.463 -0.990 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC(O)(C(=O)OC)CC1)CC2 ZINC000539869364 1072472066 /nfs/dbraw/zinc/47/20/66/1072472066.db2.gz ONALONBSIHVKCN-UHFFFAOYSA-N 0 0 439.490 -0.600 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CC1 ZINC000539869406 1072472043 /nfs/dbraw/zinc/47/20/43/1072472043.db2.gz PMTJOYPKNRCSPT-UHFFFAOYSA-N 0 0 439.490 -0.066 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1 ZINC000539870165 1072472089 /nfs/dbraw/zinc/47/20/89/1072472089.db2.gz UFWYSZGBTKEBBS-UHFFFAOYSA-N 0 0 443.453 -0.169 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000539870360 1072472058 /nfs/dbraw/zinc/47/20/58/1072472058.db2.gz XXDWPHMJXIHOKK-UHFFFAOYSA-N 0 0 433.461 -0.447 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000539885144 1072472741 /nfs/dbraw/zinc/47/27/41/1072472741.db2.gz KNVHMNYHTPUBNB-UHFFFAOYSA-N 0 0 425.507 -0.481 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000539887038 1072472801 /nfs/dbraw/zinc/47/28/01/1072472801.db2.gz ZXVWULVOQFKMHI-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000539887873 1072472951 /nfs/dbraw/zinc/47/29/51/1072472951.db2.gz ZWADYWYZOAFMHH-UHFFFAOYSA-N 0 0 429.495 -0.116 20 0 IBADRN C[C@@H](NC(=O)c1cnn2cc(Br)cnc12)C(=O)N1CCS(=O)(=O)CC1 ZINC000539889762 1072472812 /nfs/dbraw/zinc/47/28/12/1072472812.db2.gz MFNPHWNWYIIYRA-SECBINFHSA-N 0 0 430.284 -0.133 20 0 IBADRN C[C@H](NC(=O)c1cnn2cc(Br)cnc12)C(=O)N1CCS(=O)(=O)CC1 ZINC000539889763 1072472781 /nfs/dbraw/zinc/47/27/81/1072472781.db2.gz MFNPHWNWYIIYRA-VIFPVBQESA-N 0 0 430.284 -0.133 20 0 IBADRN COc1ccc(CCNC(=O)c2ccc3c(n2)n(C)c(=O)n(C)c3=O)cc1S(N)(=O)=O ZINC000539896918 1072473649 /nfs/dbraw/zinc/47/36/49/1072473649.db2.gz UIXRHMDVDOJHFP-UHFFFAOYSA-N 0 0 447.473 -0.739 20 0 IBADRN COc1ccc(CCNC(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)cc1S(N)(=O)=O ZINC000539906065 1072473471 /nfs/dbraw/zinc/47/34/71/1072473471.db2.gz HIEUREPQUGOBME-UHFFFAOYSA-N 0 0 430.508 -0.107 20 0 IBADRN COc1ccc(CCNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1S(N)(=O)=O ZINC000539907650 1072472818 /nfs/dbraw/zinc/47/28/18/1072472818.db2.gz VIZDLAGDYCBJCI-UHFFFAOYSA-N 0 0 449.489 -0.946 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000539911300 1072474321 /nfs/dbraw/zinc/47/43/21/1072474321.db2.gz CRLNWOXBLAABCT-UHFFFAOYSA-N 0 0 429.518 -0.138 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000539913954 1072474124 /nfs/dbraw/zinc/47/41/24/1072474124.db2.gz RHURUBANGSMDEX-UHFFFAOYSA-N 0 0 427.479 -0.208 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(O)(C(=O)OC)CC2)cc1 ZINC000539917085 1072473478 /nfs/dbraw/zinc/47/34/78/1072473478.db2.gz PCJABRNEPYAUJO-UHFFFAOYSA-N 0 0 428.463 -0.332 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000539923402 1072475002 /nfs/dbraw/zinc/47/50/02/1072475002.db2.gz MEFGBXKQXAENPQ-UHFFFAOYSA-N 0 0 427.479 -0.499 20 0 IBADRN CCc1ccc(C(=O)NCC(=O)NCC(=O)OC)cc1S(=O)(=O)N1CCOCC1 ZINC000539923668 1072474808 /nfs/dbraw/zinc/47/48/08/1072474808.db2.gz HSBVGGZXQDAWQE-UHFFFAOYSA-N 0 0 427.479 -0.711 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCCC1 ZINC000539926086 1072474102 /nfs/dbraw/zinc/47/41/02/1072474102.db2.gz LOHBELCKAKFZFV-UHFFFAOYSA-N 0 0 426.495 -0.444 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)cn2)CC1 ZINC000539927605 1072474140 /nfs/dbraw/zinc/47/41/40/1072474140.db2.gz IPVLHDCOJFGELS-UHFFFAOYSA-N 0 0 429.480 -0.519 20 0 IBADRN Cn1c(CCC(=O)NC[C@](C)(O)CO)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000539928093 1072474848 /nfs/dbraw/zinc/47/48/48/1072474848.db2.gz ACRMJFGTOGCBOH-IBGZPJMESA-N 0 0 440.522 -0.614 20 0 IBADRN Cn1c(CCC(=O)NC[C@@](C)(O)CO)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000539928098 1072474949 /nfs/dbraw/zinc/47/49/49/1072474949.db2.gz ACRMJFGTOGCBOH-LJQANCHMSA-N 0 0 440.522 -0.614 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)cn2)CC1 ZINC000539928311 1072474740 /nfs/dbraw/zinc/47/47/40/1072474740.db2.gz BXMYRKJPFZKARZ-UHFFFAOYSA-N 0 0 445.567 -0.004 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)nc1 ZINC000539930149 1072474880 /nfs/dbraw/zinc/47/48/80/1072474880.db2.gz CXSBOHBHHFHCSP-UHFFFAOYSA-N 0 0 439.475 -0.898 20 0 IBADRN CC(C)(CNC(=O)CC(O)CC(=O)NCC(C)(C)N1CCOCC1)N1CCOCC1 ZINC000539934338 1072474829 /nfs/dbraw/zinc/47/48/29/1072474829.db2.gz HTGBWNXRBGITDH-UHFFFAOYSA-N 0 0 428.574 -0.419 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(N2CN=NC2=O)CC1 ZINC000539935996 1072475666 /nfs/dbraw/zinc/47/56/66/1072475666.db2.gz QWRTZHUCIRVTEQ-UHFFFAOYSA-N 0 0 434.478 -0.419 20 0 IBADRN CN(C[C@H](O)CO)C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000539938904 1072475493 /nfs/dbraw/zinc/47/54/93/1072475493.db2.gz VAZCZFQTVAYUGH-AWEZNQCLSA-N 0 0 440.522 -0.662 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cnc2c(c1)NC(=O)CO2 ZINC000539942546 1072475659 /nfs/dbraw/zinc/47/56/59/1072475659.db2.gz SMVDOLCTKGQLBC-UHFFFAOYSA-N 0 0 425.467 -0.816 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CC(=O)N(c3c(F)cccc3F)C2)CC1 ZINC000539953713 1072475641 /nfs/dbraw/zinc/47/56/41/1072475641.db2.gz QFSVDAYJAGXWHT-LLVKDONJSA-N 0 0 438.478 -0.518 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CC(=O)N(c3c(F)cccc3F)C2)CC1 ZINC000539953714 1072475635 /nfs/dbraw/zinc/47/56/35/1072475635.db2.gz QFSVDAYJAGXWHT-NSHDSACASA-N 0 0 438.478 -0.518 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000539954272 1072475656 /nfs/dbraw/zinc/47/56/56/1072475656.db2.gz BHGWJJPWNICSOF-INIZCTEOSA-N 0 0 448.567 -0.166 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000539954280 1072475650 /nfs/dbraw/zinc/47/56/50/1072475650.db2.gz BHGWJJPWNICSOF-MRXNPFEDSA-N 0 0 448.567 -0.166 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)Cn2ncn(C)c2=O)c(=O)[nH]c1=O ZINC000539956862 1072475629 /nfs/dbraw/zinc/47/56/29/1072475629.db2.gz PZDVLXIVDOFVCH-UHFFFAOYSA-N 0 0 429.437 -0.694 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)cn1 ZINC000539971828 1072476176 /nfs/dbraw/zinc/47/61/76/1072476176.db2.gz AHUBMTXQIHOLOB-UHFFFAOYSA-N 0 0 442.475 -0.292 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000539972870 1072476060 /nfs/dbraw/zinc/47/60/60/1072476060.db2.gz SHBIUZGFFOMFDV-UHFFFAOYSA-N 0 0 433.508 -0.223 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC000540001871 1072476203 /nfs/dbraw/zinc/47/62/03/1072476203.db2.gz IMFSVVDKXZZLFW-AWEZNQCLSA-N 0 0 448.567 -0.102 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC000540001873 1072476130 /nfs/dbraw/zinc/47/61/30/1072476130.db2.gz IMFSVVDKXZZLFW-CQSZACIVSA-N 0 0 448.567 -0.102 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)C1 ZINC000540002048 1072476049 /nfs/dbraw/zinc/47/60/49/1072476049.db2.gz RKHFSAHFXKUFTD-DOMZBBRYSA-N 0 0 430.552 -0.375 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)C1 ZINC000540002051 1072476207 /nfs/dbraw/zinc/47/62/07/1072476207.db2.gz RKHFSAHFXKUFTD-IUODEOHRSA-N 0 0 430.552 -0.375 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)C1 ZINC000540002053 1072476105 /nfs/dbraw/zinc/47/61/05/1072476105.db2.gz RKHFSAHFXKUFTD-SWLSCSKDSA-N 0 0 430.552 -0.375 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)C1 ZINC000540002055 1072476863 /nfs/dbraw/zinc/47/68/63/1072476863.db2.gz RKHFSAHFXKUFTD-WFASDCNBSA-N 0 0 430.552 -0.375 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000540002984 1072476686 /nfs/dbraw/zinc/47/66/86/1072476686.db2.gz RXVZOANONZVWDQ-HNNXBMFYSA-N 0 0 439.542 -0.762 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000540002989 1072476944 /nfs/dbraw/zinc/47/69/44/1072476944.db2.gz RXVZOANONZVWDQ-OAHLLOKOSA-N 0 0 439.542 -0.762 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2)c1=O ZINC000540005217 1072476012 /nfs/dbraw/zinc/47/60/12/1072476012.db2.gz PAUXPFNEDRJENM-UHFFFAOYSA-N 0 0 443.464 -0.894 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2)c1=O ZINC000540005734 1072476219 /nfs/dbraw/zinc/47/62/19/1072476219.db2.gz ZMVQDMXXJUYUAV-UHFFFAOYSA-N 0 0 441.448 -0.604 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)C1 ZINC000540017681 1072476873 /nfs/dbraw/zinc/47/68/73/1072476873.db2.gz CLSQSLIDFVTGOJ-CYBMUJFWSA-N 0 0 433.498 -0.595 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)C1 ZINC000540017682 1072476828 /nfs/dbraw/zinc/47/68/28/1072476828.db2.gz CLSQSLIDFVTGOJ-ZDUSSCGKSA-N 0 0 433.498 -0.595 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N(c3c(F)cccc3F)C2)o1 ZINC000540017881 1072476962 /nfs/dbraw/zinc/47/69/62/1072476962.db2.gz JZCZEPOZUIKFBZ-SECBINFHSA-N 0 0 442.400 -0.143 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CC(=O)N(c3c(F)cccc3F)C2)o1 ZINC000540017883 1072476885 /nfs/dbraw/zinc/47/68/85/1072476885.db2.gz JZCZEPOZUIKFBZ-VIFPVBQESA-N 0 0 442.400 -0.143 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1 ZINC000540019215 1072476847 /nfs/dbraw/zinc/47/68/47/1072476847.db2.gz RAJAYELPVKFQPQ-HNNXBMFYSA-N 0 0 436.490 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1 ZINC000540019216 1072476904 /nfs/dbraw/zinc/47/69/04/1072476904.db2.gz RAJAYELPVKFQPQ-OAHLLOKOSA-N 0 0 436.490 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1 ZINC000540019617 1072476958 /nfs/dbraw/zinc/47/69/58/1072476958.db2.gz AVFJFJPHJNELDY-GFCCVEGCSA-N 0 0 432.524 -0.439 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)C1 ZINC000540019621 1072476734 /nfs/dbraw/zinc/47/67/34/1072476734.db2.gz AVFJFJPHJNELDY-LBPRGKRZSA-N 0 0 432.524 -0.439 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000540020104 1072476933 /nfs/dbraw/zinc/47/69/33/1072476933.db2.gz HDHKSSNAWBBUJO-GFCCVEGCSA-N 0 0 432.524 -0.570 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000540020105 1072476810 /nfs/dbraw/zinc/47/68/10/1072476810.db2.gz HDHKSSNAWBBUJO-LBPRGKRZSA-N 0 0 432.524 -0.570 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)C1 ZINC000540020242 1072477298 /nfs/dbraw/zinc/47/72/98/1072477298.db2.gz HEBWUBDMJPDWSE-AWEZNQCLSA-N 0 0 446.551 -0.276 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)C1 ZINC000540020246 1072477288 /nfs/dbraw/zinc/47/72/88/1072477288.db2.gz HEBWUBDMJPDWSE-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000540020420 1072476798 /nfs/dbraw/zinc/47/67/98/1072476798.db2.gz KIMLFIIXPZVOAM-CYBMUJFWSA-N 0 0 433.490 -0.179 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000540020421 1072476977 /nfs/dbraw/zinc/47/69/77/1072476977.db2.gz KIMLFIIXPZVOAM-ZDUSSCGKSA-N 0 0 433.490 -0.179 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)C1 ZINC000540020445 1072477239 /nfs/dbraw/zinc/47/72/39/1072477239.db2.gz KVRMPKPZWPDEBR-HNNXBMFYSA-N 0 0 431.536 -0.248 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)C1 ZINC000540020446 1072477242 /nfs/dbraw/zinc/47/72/42/1072477242.db2.gz KVRMPKPZWPDEBR-OAHLLOKOSA-N 0 0 431.536 -0.248 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)C1 ZINC000540020690 1072476772 /nfs/dbraw/zinc/47/67/72/1072476772.db2.gz MHOREZJWFGPGJY-AWEZNQCLSA-N 0 0 444.535 -0.976 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)C1 ZINC000540020691 1072476705 /nfs/dbraw/zinc/47/67/05/1072476705.db2.gz MHOREZJWFGPGJY-CQSZACIVSA-N 0 0 444.535 -0.976 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)C1 ZINC000540020723 1072476782 /nfs/dbraw/zinc/47/67/82/1072476782.db2.gz AXZQNAAIDWGIBR-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2C)C1 ZINC000540020724 1072476918 /nfs/dbraw/zinc/47/69/18/1072476918.db2.gz AXZQNAAIDWGIBR-LBPRGKRZSA-N 0 0 432.524 -0.618 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NCC)C2)cc1 ZINC000540021020 1072477262 /nfs/dbraw/zinc/47/72/62/1072477262.db2.gz NWKRDKDNXNGGAK-HNNXBMFYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NCC)C2)cc1 ZINC000540021021 1072477241 /nfs/dbraw/zinc/47/72/41/1072477241.db2.gz NWKRDKDNXNGGAK-OAHLLOKOSA-N 0 0 446.551 -0.146 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC000540022545 1072477275 /nfs/dbraw/zinc/47/72/75/1072477275.db2.gz YZCNRIPTWCWDNS-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC000540022548 1072477270 /nfs/dbraw/zinc/47/72/70/1072477270.db2.gz YZCNRIPTWCWDNS-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000540022690 1072477258 /nfs/dbraw/zinc/47/72/58/1072477258.db2.gz AWOBUBZJZYPDMF-HNNXBMFYSA-N 0 0 426.495 -0.368 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)C1 ZINC000540022695 1072477238 /nfs/dbraw/zinc/47/72/38/1072477238.db2.gz AWOBUBZJZYPDMF-OAHLLOKOSA-N 0 0 426.495 -0.368 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)C1 ZINC000540023920 1072477965 /nfs/dbraw/zinc/47/79/65/1072477965.db2.gz RYYWKLGLRJJJAT-INIZCTEOSA-N 0 0 433.490 -0.230 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)C1 ZINC000540023927 1072477982 /nfs/dbraw/zinc/47/79/82/1072477982.db2.gz RYYWKLGLRJJJAT-MRXNPFEDSA-N 0 0 433.490 -0.230 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NS(=O)(=O)CC)cc2)C1 ZINC000540024137 1072478028 /nfs/dbraw/zinc/47/80/28/1072478028.db2.gz QUTBUSSOKBYVMW-AWEZNQCLSA-N 0 0 432.524 -0.073 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NS(=O)(=O)CC)cc2)C1 ZINC000540024139 1072477972 /nfs/dbraw/zinc/47/79/72/1072477972.db2.gz QUTBUSSOKBYVMW-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2C)C1 ZINC000540024824 1072478126 /nfs/dbraw/zinc/47/81/26/1072478126.db2.gz VNHLUGAAKVGKBU-AWEZNQCLSA-N 0 0 425.511 -0.295 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2C)C1 ZINC000540024826 1072478047 /nfs/dbraw/zinc/47/80/47/1072478047.db2.gz VNHLUGAAKVGKBU-CQSZACIVSA-N 0 0 425.511 -0.295 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000540025563 1072478109 /nfs/dbraw/zinc/47/81/09/1072478109.db2.gz ORAZWVJHWVRSNW-CABCVRRESA-N 0 0 447.583 -0.033 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000540025566 1072478038 /nfs/dbraw/zinc/47/80/38/1072478038.db2.gz ORAZWVJHWVRSNW-GJZGRUSLSA-N 0 0 447.583 -0.033 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000540025568 1072478117 /nfs/dbraw/zinc/47/81/17/1072478117.db2.gz ORAZWVJHWVRSNW-HUUCEWRRSA-N 0 0 447.583 -0.033 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000540025570 1072478084 /nfs/dbraw/zinc/47/80/84/1072478084.db2.gz ORAZWVJHWVRSNW-LSDHHAIUSA-N 0 0 447.583 -0.033 20 0 IBADRN C[C@H]1C[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000540026563 1072478020 /nfs/dbraw/zinc/47/80/20/1072478020.db2.gz JFQNLYSYSVUFJL-KBPBESRZSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@@H]1C[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000540026564 1072477988 /nfs/dbraw/zinc/47/79/88/1072477988.db2.gz JFQNLYSYSVUFJL-KGLIPLIRSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@H]1C[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000540026565 1072477937 /nfs/dbraw/zinc/47/79/37/1072477937.db2.gz JFQNLYSYSVUFJL-UONOGXRCSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@@H]1C[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000540026566 1072478032 /nfs/dbraw/zinc/47/80/32/1072478032.db2.gz JFQNLYSYSVUFJL-ZIAGYGMSSA-N 0 0 438.506 -0.323 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nc(N3CC[C@H](S(=O)(=O)NCC)C3)ncc2C)C1 ZINC000540028142 1072478067 /nfs/dbraw/zinc/47/80/67/1072478067.db2.gz LXHBYMHFLFDEQU-CABCVRRESA-N 0 0 446.599 -0.179 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2ncc(C)c(N3CC[C@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000540028144 1072478095 /nfs/dbraw/zinc/47/80/95/1072478095.db2.gz LXHBYMHFLFDEQU-GJZGRUSLSA-N 0 0 446.599 -0.179 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2ncc(C)c(N3CC[C@@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000540028148 1072478696 /nfs/dbraw/zinc/47/86/96/1072478696.db2.gz LXHBYMHFLFDEQU-HUUCEWRRSA-N 0 0 446.599 -0.179 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2ncc(C)c(N3CC[C@H](S(=O)(=O)NCC)C3)n2)C1 ZINC000540028151 1072478009 /nfs/dbraw/zinc/47/80/09/1072478009.db2.gz LXHBYMHFLFDEQU-LSDHHAIUSA-N 0 0 446.599 -0.179 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)CC2)n1 ZINC000540031789 1072478531 /nfs/dbraw/zinc/47/85/31/1072478531.db2.gz BMFSEAPTVGSQGR-UHFFFAOYSA-N 0 0 426.437 -0.455 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000540033762 1072477254 /nfs/dbraw/zinc/47/72/54/1072477254.db2.gz MIVOOYIMJTWCKA-AWEZNQCLSA-N 0 0 441.492 -0.679 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000540033768 1072477252 /nfs/dbraw/zinc/47/72/52/1072477252.db2.gz MIVOOYIMJTWCKA-CQSZACIVSA-N 0 0 441.492 -0.679 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@H](CNS(C)(=O)=O)C3)cc2)c1=O ZINC000540034569 1072478577 /nfs/dbraw/zinc/47/85/77/1072478577.db2.gz SFCWJEAPIDHYAU-CYBMUJFWSA-N 0 0 436.494 -0.703 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC[C@@H](CNS(C)(=O)=O)C3)cc2)c1=O ZINC000540034570 1072478664 /nfs/dbraw/zinc/47/86/64/1072478664.db2.gz SFCWJEAPIDHYAU-ZDUSSCGKSA-N 0 0 436.494 -0.703 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)cc2)c1=O ZINC000540034571 1072478572 /nfs/dbraw/zinc/47/85/72/1072478572.db2.gz SFYOIFMPUSUAHD-INIZCTEOSA-N 0 0 428.449 -0.641 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)cc2)c1=O ZINC000540034572 1072478649 /nfs/dbraw/zinc/47/86/49/1072478649.db2.gz SFYOIFMPUSUAHD-MRXNPFEDSA-N 0 0 428.449 -0.641 20 0 IBADRN CN(C1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1)S(C)(=O)=O ZINC000540035027 1072478603 /nfs/dbraw/zinc/47/86/03/1072478603.db2.gz UQIYQOHGCQRJCG-UHFFFAOYSA-N 0 0 436.494 -0.608 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1OC ZINC000540038970 1072478534 /nfs/dbraw/zinc/47/85/34/1072478534.db2.gz WEYXHTRGIGIANO-JTQLQIEISA-N 0 0 430.435 -0.457 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1OC ZINC000540038971 1072478623 /nfs/dbraw/zinc/47/86/23/1072478623.db2.gz WEYXHTRGIGIANO-SNVBAGLBSA-N 0 0 430.435 -0.457 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CC3CCC3)CC2)cc1 ZINC000540039952 1072478524 /nfs/dbraw/zinc/47/85/24/1072478524.db2.gz BCISMGNDZZZIHP-UHFFFAOYSA-N 0 0 437.565 -0.230 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)NCCN2CCN(C(C)=O)CC2)c1OC ZINC000540040116 1072478597 /nfs/dbraw/zinc/47/85/97/1072478597.db2.gz JKEHLJGJSZLBPX-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN COC(=O)Cn1cc(CNS(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)nn1 ZINC000540043138 1072478674 /nfs/dbraw/zinc/47/86/74/1072478674.db2.gz RWYQGJIBDOMKDP-UHFFFAOYSA-N 0 0 428.423 -0.267 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN3CCOc4cccc2c43)CC1)N1CCOCC1 ZINC000540050086 1072479410 /nfs/dbraw/zinc/47/94/10/1072479410.db2.gz JVIOXUZRDKVJGP-UHFFFAOYSA-N 0 0 429.521 -0.292 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000540052391 1072479172 /nfs/dbraw/zinc/47/91/72/1072479172.db2.gz BMTDEZVFEJVJNF-BBRMVZONSA-N 0 0 432.568 -0.605 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000540052392 1072479525 /nfs/dbraw/zinc/47/95/25/1072479525.db2.gz BMTDEZVFEJVJNF-CJNGLKHVSA-N 0 0 432.568 -0.605 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000540052394 1072479212 /nfs/dbraw/zinc/47/92/12/1072479212.db2.gz BMTDEZVFEJVJNF-CZUORRHYSA-N 0 0 432.568 -0.605 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000540052396 1072479232 /nfs/dbraw/zinc/47/92/32/1072479232.db2.gz BMTDEZVFEJVJNF-XJKSGUPXSA-N 0 0 432.568 -0.605 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000540060425 1072479385 /nfs/dbraw/zinc/47/93/85/1072479385.db2.gz CTTJAZVRHXMZAN-CYBMUJFWSA-N 0 0 434.515 -0.979 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000540060426 1072479508 /nfs/dbraw/zinc/47/95/08/1072479508.db2.gz CTTJAZVRHXMZAN-ZDUSSCGKSA-N 0 0 434.515 -0.979 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000540060427 1072479310 /nfs/dbraw/zinc/47/93/10/1072479310.db2.gz CULCESMSKUDGTO-INIZCTEOSA-N 0 0 430.552 -0.977 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000540060428 1072479354 /nfs/dbraw/zinc/47/93/54/1072479354.db2.gz CULCESMSKUDGTO-MRXNPFEDSA-N 0 0 430.552 -0.977 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@H](S(N)(=O)=O)C1 ZINC000540060679 1072479296 /nfs/dbraw/zinc/47/92/96/1072479296.db2.gz BLWZPNSMMYNDHE-BBRMVZONSA-N 0 0 446.551 -0.603 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@H](S(N)(=O)=O)C1 ZINC000540060693 1072479227 /nfs/dbraw/zinc/47/92/27/1072479227.db2.gz BLWZPNSMMYNDHE-CJNGLKHVSA-N 0 0 446.551 -0.603 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000540060697 1072479253 /nfs/dbraw/zinc/47/92/53/1072479253.db2.gz BLWZPNSMMYNDHE-CZUORRHYSA-N 0 0 446.551 -0.603 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000540060699 1072479200 /nfs/dbraw/zinc/47/92/00/1072479200.db2.gz BLWZPNSMMYNDHE-XJKSGUPXSA-N 0 0 446.551 -0.603 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1 ZINC000540062806 1072479462 /nfs/dbraw/zinc/47/94/62/1072479462.db2.gz CPQCSECZQKSNOQ-UHFFFAOYSA-N 0 0 441.492 -0.275 20 0 IBADRN CCn1cnnc1[C@@H]1CCCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000540063372 1072479483 /nfs/dbraw/zinc/47/94/83/1072479483.db2.gz VBSSHJANUYQUPY-GOSISDBHSA-N 0 0 433.557 -0.520 20 0 IBADRN CCn1cnnc1[C@H]1CCCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000540063373 1072480235 /nfs/dbraw/zinc/48/02/35/1072480235.db2.gz VBSSHJANUYQUPY-SFHVURJKSA-N 0 0 433.557 -0.520 20 0 IBADRN Cn1c2[nH]c(CN3CCC(NS(=O)(=O)c4ccccc4)CC3)nc2c(=O)n(C)c1=O ZINC000540066123 1072479262 /nfs/dbraw/zinc/47/92/62/1072479262.db2.gz PYSRTWGYLOODAD-UHFFFAOYSA-N 0 0 432.506 -0.097 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000540066132 1072479279 /nfs/dbraw/zinc/47/92/79/1072479279.db2.gz QDSVUWKPJUSYSL-UHFFFAOYSA-N 0 0 442.538 -0.237 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN(C)CC3)CC2)cc1 ZINC000540066507 1072478540 /nfs/dbraw/zinc/47/85/40/1072478540.db2.gz KAMKYWDHVMSNNM-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN COc1cccc(CC(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)c1 ZINC000540066615 1072478585 /nfs/dbraw/zinc/47/85/85/1072478585.db2.gz VEOQTHPINXIKEC-UHFFFAOYSA-N 0 0 426.477 -0.144 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)c1 ZINC000540067886 1072479337 /nfs/dbraw/zinc/47/93/37/1072479337.db2.gz CJSPPXFUBASNPJ-UHFFFAOYSA-N 0 0 442.476 -0.065 20 0 IBADRN CN([C@@H](C(N)=O)c1cccc(Br)c1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000540070262 1072480208 /nfs/dbraw/zinc/48/02/08/1072480208.db2.gz CORAVDLYCHEDTK-GFCCVEGCSA-N 0 0 445.295 -0.306 20 0 IBADRN CN([C@H](C(N)=O)c1cccc(Br)c1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000540070269 1072480062 /nfs/dbraw/zinc/48/00/62/1072480062.db2.gz CORAVDLYCHEDTK-LBPRGKRZSA-N 0 0 445.295 -0.306 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000540071564 1072479963 /nfs/dbraw/zinc/47/99/63/1072479963.db2.gz LEBYHJKLEMBIGR-UHFFFAOYSA-N 0 0 443.574 -0.690 20 0 IBADRN COc1ccc(C(=O)N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)cc1OC ZINC000540078928 1072479931 /nfs/dbraw/zinc/47/99/31/1072479931.db2.gz DKMGNSQGJAITLP-UHFFFAOYSA-N 0 0 442.476 -0.065 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000540083697 1072480030 /nfs/dbraw/zinc/48/00/30/1072480030.db2.gz CLYHRHRPKCTUTE-UHFFFAOYSA-N 0 0 429.437 -0.808 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CCCCC3)C2)cn1)N1CCOCC1 ZINC000540085543 1072480012 /nfs/dbraw/zinc/48/00/12/1072480012.db2.gz OAAAFCZXWWNBSS-HNNXBMFYSA-N 0 0 446.508 -0.270 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CCCCC3)C2)cn1)N1CCOCC1 ZINC000540085552 1072480227 /nfs/dbraw/zinc/48/02/27/1072480227.db2.gz OAAAFCZXWWNBSS-OAHLLOKOSA-N 0 0 446.508 -0.270 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N2CCN(c3ccccc3S(=O)(=O)NC)CC2)cn1 ZINC000540087277 1072480079 /nfs/dbraw/zinc/48/00/79/1072480079.db2.gz WEJSZPIORNPDRC-UHFFFAOYSA-N 0 0 435.510 -0.109 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CCCCC3)C2)c1 ZINC000540091779 1072480288 /nfs/dbraw/zinc/48/02/88/1072480288.db2.gz WIHYHOJGGJGXQF-HNNXBMFYSA-N 0 0 429.477 -0.110 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CCCCC3)C2)c1 ZINC000540091955 1072480270 /nfs/dbraw/zinc/48/02/70/1072480270.db2.gz WIHYHOJGGJGXQF-OAHLLOKOSA-N 0 0 429.477 -0.110 20 0 IBADRN COc1ccc(COCCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000540095132 1072480921 /nfs/dbraw/zinc/48/09/21/1072480921.db2.gz ZEMULTCPQLXFTO-UHFFFAOYSA-N 0 0 441.444 -0.088 20 0 IBADRN COCCn1nc(C)c(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000540113847 1072481534 /nfs/dbraw/zinc/48/15/34/1072481534.db2.gz IGWALBRADWFKDZ-UHFFFAOYSA-N 0 0 430.531 -0.127 20 0 IBADRN Cc1cn2c(ncc(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)c2=O)s1 ZINC000540125657 1072481917 /nfs/dbraw/zinc/48/19/17/1072481917.db2.gz CLQCSZLBXFPASE-UHFFFAOYSA-N 0 0 441.535 -0.164 20 0 IBADRN O=C(N[C@H]1CC(=O)N(C2CCCCC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000540128913 1072482051 /nfs/dbraw/zinc/48/20/51/1072482051.db2.gz UORZSHUFYZSBDB-INIZCTEOSA-N 0 0 435.525 -0.367 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(C2CCCCC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000540128914 1072481933 /nfs/dbraw/zinc/48/19/33/1072481933.db2.gz UORZSHUFYZSBDB-MRXNPFEDSA-N 0 0 435.525 -0.367 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CC(=O)N(C3CCCCC3)C2)CC1 ZINC000540137424 1072482799 /nfs/dbraw/zinc/48/27/99/1072482799.db2.gz DWTLVCMNIUOEER-HNNXBMFYSA-N 0 0 433.509 -0.077 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CC(=O)N(C3CCCCC3)C2)CC1 ZINC000540137425 1072482771 /nfs/dbraw/zinc/48/27/71/1072482771.db2.gz DWTLVCMNIUOEER-OAHLLOKOSA-N 0 0 433.509 -0.077 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1ccc(CCNS(=O)(=O)CC)s1 ZINC000540206155 1072483274 /nfs/dbraw/zinc/48/32/74/1072483274.db2.gz MNUKUEXTHZVJQQ-UHFFFAOYSA-N 0 0 447.626 -0.162 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCC2(C(N)=O)CCOCC2)s1 ZINC000540206350 1072483296 /nfs/dbraw/zinc/48/32/96/1072483296.db2.gz UPEYASXHROOLLZ-UHFFFAOYSA-N 0 0 439.581 -0.210 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)s1 ZINC000540207888 1072483257 /nfs/dbraw/zinc/48/32/57/1072483257.db2.gz OAQFOZQVEGEXDI-LLVKDONJSA-N 0 0 445.610 -0.458 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)s1 ZINC000540207890 1072483483 /nfs/dbraw/zinc/48/34/83/1072483483.db2.gz OAQFOZQVEGEXDI-NSHDSACASA-N 0 0 445.610 -0.458 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCC(=O)N2CCOCC2)s1 ZINC000540208539 1072483431 /nfs/dbraw/zinc/48/34/31/1072483431.db2.gz VAVDHTQMLHWYEM-UHFFFAOYSA-N 0 0 439.581 -0.243 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)C1 ZINC000540214021 1072483232 /nfs/dbraw/zinc/48/32/32/1072483232.db2.gz SQFRCUNIBGXSQH-HNNXBMFYSA-N 0 0 437.565 -0.087 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)C1 ZINC000540214022 1072483411 /nfs/dbraw/zinc/48/34/11/1072483411.db2.gz SQFRCUNIBGXSQH-OAHLLOKOSA-N 0 0 437.565 -0.087 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@@]2(C(=O)OC)CCOC2)s1 ZINC000540216047 1072483449 /nfs/dbraw/zinc/48/34/49/1072483449.db2.gz NYOPLRUCRIFZRN-AWEZNQCLSA-N 0 0 426.538 -0.160 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@]2(C(=O)OC)CCOC2)s1 ZINC000540216053 1072483486 /nfs/dbraw/zinc/48/34/86/1072483486.db2.gz NYOPLRUCRIFZRN-CQSZACIVSA-N 0 0 426.538 -0.160 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1OC ZINC000540224480 1072483323 /nfs/dbraw/zinc/48/33/23/1072483323.db2.gz DOMXZBMHBUEUAT-UHFFFAOYSA-N 0 0 449.555 -0.105 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)cn1C ZINC000540226612 1072483343 /nfs/dbraw/zinc/48/33/43/1072483343.db2.gz WBDPMXNXFBTCRX-UHFFFAOYSA-N 0 0 445.523 -0.426 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc(Br)c1=O ZINC000540232257 1072483934 /nfs/dbraw/zinc/48/39/34/1072483934.db2.gz QJDSPHUCIXMESI-UHFFFAOYSA-N 0 0 440.298 -0.491 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1)NC1CC1 ZINC000540232339 1072483971 /nfs/dbraw/zinc/48/39/71/1072483971.db2.gz SETDLEVOBWWDOV-HNNXBMFYSA-N 0 0 441.488 -0.747 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1)NC1CC1 ZINC000540232340 1072483907 /nfs/dbraw/zinc/48/39/07/1072483907.db2.gz SETDLEVOBWWDOV-OAHLLOKOSA-N 0 0 441.488 -0.747 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000540232351 1072483966 /nfs/dbraw/zinc/48/39/66/1072483966.db2.gz SUGOWCVADOFMQV-INIZCTEOSA-N 0 0 443.504 -0.501 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000540232352 1072483882 /nfs/dbraw/zinc/48/38/82/1072483882.db2.gz SUGOWCVADOFMQV-MRXNPFEDSA-N 0 0 443.504 -0.501 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc(S(C)(=O)=O)c1 ZINC000540232398 1072483918 /nfs/dbraw/zinc/48/39/18/1072483918.db2.gz IJXPACGIIONSHO-UHFFFAOYSA-N 0 0 447.473 -0.046 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000540233282 1072483923 /nfs/dbraw/zinc/48/39/23/1072483923.db2.gz ILWJIUMGMZMFCX-DOTOQJQBSA-N 0 0 442.472 -0.320 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000540233283 1072483958 /nfs/dbraw/zinc/48/39/58/1072483958.db2.gz ILWJIUMGMZMFCX-NVXWUHKLSA-N 0 0 442.472 -0.320 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000540233284 1072483913 /nfs/dbraw/zinc/48/39/13/1072483913.db2.gz ILWJIUMGMZMFCX-RDJZCZTQSA-N 0 0 442.472 -0.320 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000540233285 1072483888 /nfs/dbraw/zinc/48/38/88/1072483888.db2.gz ILWJIUMGMZMFCX-WBVHZDCISA-N 0 0 442.472 -0.320 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)ccc1NS(C)(=O)=O ZINC000540236354 1072484480 /nfs/dbraw/zinc/48/44/80/1072484480.db2.gz LPLAQMYYUAUQJU-UHFFFAOYSA-N 0 0 448.523 -0.501 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000540236939 1072483929 /nfs/dbraw/zinc/48/39/29/1072483929.db2.gz OXUQHYZZQNISKS-UHFFFAOYSA-N 0 0 434.496 -0.891 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000540236967 1072484629 /nfs/dbraw/zinc/48/46/29/1072484629.db2.gz QDDUBRBGWWPUMO-UHFFFAOYSA-N 0 0 438.915 -0.246 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCSC[C@@H]2C(N)=O)CC1 ZINC000540237666 1072484412 /nfs/dbraw/zinc/48/44/12/1072484412.db2.gz JPPUBQMPOIGMPH-CYBMUJFWSA-N 0 0 431.540 -0.973 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCSC[C@H]2C(N)=O)CC1 ZINC000540237667 1072484500 /nfs/dbraw/zinc/48/45/00/1072484500.db2.gz JPPUBQMPOIGMPH-ZDUSSCGKSA-N 0 0 431.540 -0.973 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000540238283 1072484641 /nfs/dbraw/zinc/48/46/41/1072484641.db2.gz IRWVGAMYZNQBSE-PBHICJAKSA-N 0 0 434.518 -0.600 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000540238284 1072484622 /nfs/dbraw/zinc/48/46/22/1072484622.db2.gz IRWVGAMYZNQBSE-RHSMWYFYSA-N 0 0 434.518 -0.600 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000540238285 1072484553 /nfs/dbraw/zinc/48/45/53/1072484553.db2.gz IRWVGAMYZNQBSE-WMLDXEAASA-N 0 0 434.518 -0.600 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000540238286 1072484529 /nfs/dbraw/zinc/48/45/29/1072484529.db2.gz IRWVGAMYZNQBSE-YOEHRIQHSA-N 0 0 434.518 -0.600 20 0 IBADRN CCCCN(C(=O)CN1CCSC[C@@H]1C(N)=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000540238607 1072484731 /nfs/dbraw/zinc/48/47/31/1072484731.db2.gz VEZGQSHXJTUXES-GFCCVEGCSA-N 0 0 426.543 -0.023 20 0 IBADRN CCCCN(C(=O)CN1CCSC[C@H]1C(N)=O)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000540238612 1072484683 /nfs/dbraw/zinc/48/46/83/1072484683.db2.gz VEZGQSHXJTUXES-LBPRGKRZSA-N 0 0 426.543 -0.023 20 0 IBADRN O=C(NCCNC(=O)c1cccs1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000540242587 1072484709 /nfs/dbraw/zinc/48/47/09/1072484709.db2.gz JAVWRZFQADQBIL-UHFFFAOYSA-N 0 0 445.567 -0.182 20 0 IBADRN COc1cc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1S(=O)(=O)N(C)C ZINC000540246641 1072484440 /nfs/dbraw/zinc/48/44/40/1072484440.db2.gz CJVXMVMWFHVXKX-UHFFFAOYSA-N 0 0 449.555 -0.617 20 0 IBADRN CS(=O)(=O)Nc1ccc(OCCNC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000540246728 1072484736 /nfs/dbraw/zinc/48/47/36/1072484736.db2.gz FAQVAUZXFDQQDQ-UHFFFAOYSA-N 0 0 434.540 -0.870 20 0 IBADRN Cn1c2c(ccn2CC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000540249764 1072483894 /nfs/dbraw/zinc/48/38/94/1072483894.db2.gz YKCBAQLHSSCLBR-UHFFFAOYSA-N 0 0 449.489 -0.395 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCCOc3ccc(NS(C)(=O)=O)cc3)nc2n(C)c1=O ZINC000540249931 1072483900 /nfs/dbraw/zinc/48/39/00/1072483900.db2.gz BDXZRRGUFRLADJ-UHFFFAOYSA-N 0 0 447.473 -0.188 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000540252546 1072485669 /nfs/dbraw/zinc/48/56/69/1072485669.db2.gz UTHDTGKYBKJBHY-UHFFFAOYSA-N 0 0 439.538 -0.095 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000540253261 1072485720 /nfs/dbraw/zinc/48/57/20/1072485720.db2.gz ZJRKFPWBWURNMB-UHFFFAOYSA-N 0 0 431.540 -0.435 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)C1 ZINC000540259398 1072485115 /nfs/dbraw/zinc/48/51/15/1072485115.db2.gz RXLHRYOAVPHVAM-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)C1 ZINC000540259399 1072485253 /nfs/dbraw/zinc/48/52/53/1072485253.db2.gz RXLHRYOAVPHVAM-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCC(=O)N2CCCCC2)cc(S(C)(=O)=O)c1 ZINC000540259609 1072485097 /nfs/dbraw/zinc/48/50/97/1072485097.db2.gz SIJKQMZFDWXWSP-UHFFFAOYSA-N 0 0 425.463 -0.056 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)C1 ZINC000540260390 1072485197 /nfs/dbraw/zinc/48/51/97/1072485197.db2.gz BVWLXRWMNKIPPP-CYBMUJFWSA-N 0 0 432.524 -0.155 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)C1 ZINC000540260392 1072485104 /nfs/dbraw/zinc/48/51/04/1072485104.db2.gz BVWLXRWMNKIPPP-ZDUSSCGKSA-N 0 0 432.524 -0.155 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)CC2)cn1 ZINC000540260819 1072485127 /nfs/dbraw/zinc/48/51/27/1072485127.db2.gz NYESAZDSCKWGJA-KRWDZBQOSA-N 0 0 438.488 -0.092 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)CC2)cn1 ZINC000540260820 1072485172 /nfs/dbraw/zinc/48/51/72/1072485172.db2.gz NYESAZDSCKWGJA-QGZVFWFLSA-N 0 0 438.488 -0.092 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000540261143 1072485223 /nfs/dbraw/zinc/48/52/23/1072485223.db2.gz TUDKBFGJVDCIAW-INIZCTEOSA-N 0 0 438.488 -0.092 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000540261144 1072485183 /nfs/dbraw/zinc/48/51/83/1072485183.db2.gz TUDKBFGJVDCIAW-MRXNPFEDSA-N 0 0 438.488 -0.092 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@]3(CNC(=O)C3)C2)cc(S(C)(=O)=O)c1 ZINC000540263884 1072485075 /nfs/dbraw/zinc/48/50/75/1072485075.db2.gz BDEMXYYIZFUTJX-IBGZPJMESA-N 0 0 437.474 -0.056 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)cc(S(C)(=O)=O)c1 ZINC000540263885 1072485088 /nfs/dbraw/zinc/48/50/88/1072485088.db2.gz BDEMXYYIZFUTJX-LJQANCHMSA-N 0 0 437.474 -0.056 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCSCC2)cc(S(C)(=O)=O)c1 ZINC000540264133 1072485176 /nfs/dbraw/zinc/48/51/76/1072485176.db2.gz CQJOBAVKVXKDAL-UHFFFAOYSA-N 0 0 429.520 -0.020 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000540264974 1072485214 /nfs/dbraw/zinc/48/52/14/1072485214.db2.gz HKQBZMFQECHSQD-UHFFFAOYSA-N 0 0 428.511 -0.469 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)CC1 ZINC000540265384 1072485229 /nfs/dbraw/zinc/48/52/29/1072485229.db2.gz LVUGPRQQBDKUEX-UHFFFAOYSA-N 0 0 444.485 -0.238 20 0 IBADRN C[S@@](=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1F ZINC000540267654 1072485550 /nfs/dbraw/zinc/48/55/50/1072485550.db2.gz DQGXROFGUPQPNQ-AREMUKBSSA-N 0 0 437.540 -0.004 20 0 IBADRN C[S@](=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1F ZINC000540267655 1072485735 /nfs/dbraw/zinc/48/57/35/1072485735.db2.gz DQGXROFGUPQPNQ-SANMLTNESA-N 0 0 437.540 -0.004 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cc(S(C)(=O)=O)c1 ZINC000540267684 1072485747 /nfs/dbraw/zinc/48/57/47/1072485747.db2.gz SJNOBWKHPATLMS-UHFFFAOYSA-N 0 0 449.489 -0.103 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000540268443 1072486993 /nfs/dbraw/zinc/48/69/93/1072486993.db2.gz ALSCVRDGBFMUAO-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000540268795 1072485580 /nfs/dbraw/zinc/48/55/80/1072485580.db2.gz FUXRCTTWONBUGA-UHFFFAOYSA-N 0 0 448.523 -0.501 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1Cl ZINC000540269576 1072486928 /nfs/dbraw/zinc/48/69/28/1072486928.db2.gz PWNUOHWFXGEZCT-UHFFFAOYSA-N 0 0 438.915 -0.198 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1NS(C)(=O)=O ZINC000540269866 1072486983 /nfs/dbraw/zinc/48/69/83/1072486983.db2.gz AGFXTUWDHVHUCC-UHFFFAOYSA-N 0 0 436.512 -0.551 20 0 IBADRN COCCCN(C(=O)NCCN1CCN(c2ncccn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000540270516 1072486968 /nfs/dbraw/zinc/48/69/68/1072486968.db2.gz CAJLFOBSFHBRHP-KRWDZBQOSA-N 0 0 440.570 -0.166 20 0 IBADRN COCCCN(C(=O)NCCN1CCN(c2ncccn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000540270518 1072486917 /nfs/dbraw/zinc/48/69/17/1072486917.db2.gz CAJLFOBSFHBRHP-QGZVFWFLSA-N 0 0 440.570 -0.166 20 0 IBADRN COCCN(C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000540272131 1072486977 /nfs/dbraw/zinc/48/69/77/1072486977.db2.gz WOOCFGYXYCEMTP-KRWDZBQOSA-N 0 0 440.570 -0.166 20 0 IBADRN COCCN(C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000540272132 1072487007 /nfs/dbraw/zinc/48/70/07/1072487007.db2.gz WOOCFGYXYCEMTP-QGZVFWFLSA-N 0 0 440.570 -0.166 20 0 IBADRN CCc1nn(C)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000540272644 1072486934 /nfs/dbraw/zinc/48/69/34/1072486934.db2.gz KQSIASLLOVFDGF-UHFFFAOYSA-N 0 0 449.537 -0.416 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000540276391 1072485681 /nfs/dbraw/zinc/48/56/81/1072485681.db2.gz JLKNBWNCXPPBCD-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000540276393 1072485575 /nfs/dbraw/zinc/48/55/75/1072485575.db2.gz JLKNBWNCXPPBCD-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000540276418 1072485585 /nfs/dbraw/zinc/48/55/85/1072485585.db2.gz KFJLKQHMHZZYMS-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000540276419 1072485565 /nfs/dbraw/zinc/48/55/65/1072485565.db2.gz KFJLKQHMHZZYMS-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000540277793 1072485753 /nfs/dbraw/zinc/48/57/53/1072485753.db2.gz QHKBGVKQVHJMHW-UHFFFAOYSA-N 0 0 441.510 -0.715 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CC1 ZINC000540277941 1072485739 /nfs/dbraw/zinc/48/57/39/1072485739.db2.gz UTSRBCWOLGVLIA-UHFFFAOYSA-N 0 0 428.287 -0.633 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000540278056 1072485703 /nfs/dbraw/zinc/48/57/03/1072485703.db2.gz ARABAYQPDIHBRS-UHFFFAOYSA-N 0 0 428.467 -0.678 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2o1 ZINC000540279124 1072486243 /nfs/dbraw/zinc/48/62/43/1072486243.db2.gz AUYFSDCQGXRRBC-UHFFFAOYSA-N 0 0 437.478 -0.204 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)CC1 ZINC000540279161 1072486323 /nfs/dbraw/zinc/48/63/23/1072486323.db2.gz BMXQFXLDBQAPTN-UHFFFAOYSA-N 0 0 445.929 -0.070 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCC(=O)Nc2cccnc2)cc(S(C)(=O)=O)c1 ZINC000540279664 1072486207 /nfs/dbraw/zinc/48/62/07/1072486207.db2.gz NUSSSVSKXVPUIC-UHFFFAOYSA-N 0 0 434.430 -0.035 20 0 IBADRN COCCN(C(=O)NCCNS(=O)(=O)c1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000540279884 1072486309 /nfs/dbraw/zinc/48/63/09/1072486309.db2.gz BQGJVLUGOYZXHB-GFCCVEGCSA-N 0 0 425.554 -0.129 20 0 IBADRN COCCN(C(=O)NCCNS(=O)(=O)c1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000540279886 1072486352 /nfs/dbraw/zinc/48/63/52/1072486352.db2.gz BQGJVLUGOYZXHB-LBPRGKRZSA-N 0 0 425.554 -0.129 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000540280921 1072486220 /nfs/dbraw/zinc/48/62/20/1072486220.db2.gz BVMRRPREIXYPAM-AWEZNQCLSA-N 0 0 438.506 -0.382 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000540280925 1072486339 /nfs/dbraw/zinc/48/63/39/1072486339.db2.gz BVMRRPREIXYPAM-CQSZACIVSA-N 0 0 438.506 -0.382 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000540281377 1072486871 /nfs/dbraw/zinc/48/68/71/1072486871.db2.gz OSUCVFMYDUQCOX-UHFFFAOYSA-N 0 0 433.490 -0.372 20 0 IBADRN COCCCN(C(=O)NCCNS(=O)(=O)c1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC000540281485 1072486333 /nfs/dbraw/zinc/48/63/33/1072486333.db2.gz YNMPISQCQIKBHJ-AWEZNQCLSA-N 0 0 434.540 -0.405 20 0 IBADRN COCCCN(C(=O)NCCNS(=O)(=O)c1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC000540281486 1072486316 /nfs/dbraw/zinc/48/63/16/1072486316.db2.gz YNMPISQCQIKBHJ-CQSZACIVSA-N 0 0 434.540 -0.405 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000540281741 1072486195 /nfs/dbraw/zinc/48/61/95/1072486195.db2.gz SZADIKNGOBILFX-UHFFFAOYSA-N 0 0 439.538 -0.474 20 0 IBADRN Cc1cn2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)ccc2n1 ZINC000540282123 1072486277 /nfs/dbraw/zinc/48/62/77/1072486277.db2.gz SUTKXQLQKJAMJV-UHFFFAOYSA-N 0 0 449.537 -0.782 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1C ZINC000540282209 1072486345 /nfs/dbraw/zinc/48/63/45/1072486345.db2.gz UHPAEKWTJQOXEB-UHFFFAOYSA-N 0 0 443.464 -0.605 20 0 IBADRN O=C(NC[C@H](O)CO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000540282974 1072487011 /nfs/dbraw/zinc/48/70/11/1072487011.db2.gz VDVHELJIJPCTDL-AWEZNQCLSA-N 0 0 444.510 -0.981 20 0 IBADRN O=C(NC[C@@H](O)CO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000540282975 1072486971 /nfs/dbraw/zinc/48/69/71/1072486971.db2.gz VDVHELJIJPCTDL-CQSZACIVSA-N 0 0 444.510 -0.981 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000540283796 1072486883 /nfs/dbraw/zinc/48/68/83/1072486883.db2.gz PMDHIJWMNUGVBY-UHFFFAOYSA-N 0 0 433.556 -0.317 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)CC1 ZINC000540289415 1072489146 /nfs/dbraw/zinc/48/91/46/1072489146.db2.gz CFIZYNGBFUVOFH-UHFFFAOYSA-N 0 0 444.536 -0.265 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1C ZINC000540289474 1072489179 /nfs/dbraw/zinc/48/91/79/1072489179.db2.gz FBOCHIOSAINQIO-UHFFFAOYSA-N 0 0 425.511 -0.750 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)CC1 ZINC000540289521 1072488733 /nfs/dbraw/zinc/48/87/33/1072488733.db2.gz GODRXIYXMGLPOF-UHFFFAOYSA-N 0 0 428.486 -0.283 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000540289636 1072488742 /nfs/dbraw/zinc/48/87/42/1072488742.db2.gz LVLSMAAZLPQOST-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)C1 ZINC000540289787 1072488632 /nfs/dbraw/zinc/48/86/32/1072488632.db2.gz SUXLHMYCOQATJY-UHFFFAOYSA-N 0 0 438.506 -0.276 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1NS(C)(=O)=O ZINC000540289893 1072488697 /nfs/dbraw/zinc/48/86/97/1072488697.db2.gz YEJJHQYJMVEQDZ-UHFFFAOYSA-N 0 0 425.511 -0.287 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000540290107 1072488602 /nfs/dbraw/zinc/48/86/02/1072488602.db2.gz CYOQEOBNXMSTQI-UHFFFAOYSA-N 0 0 426.477 -0.362 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1 ZINC000540290231 1072488684 /nfs/dbraw/zinc/48/86/84/1072488684.db2.gz DUOHAVHWKOLOEF-UHFFFAOYSA-N 0 0 438.506 -0.825 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000540291021 1072489207 /nfs/dbraw/zinc/48/92/07/1072489207.db2.gz GCELUFJYXPCPTE-UHFFFAOYSA-N 0 0 432.477 -0.278 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000540291033 1072489170 /nfs/dbraw/zinc/48/91/70/1072489170.db2.gz IZMBCVJZKCHGHM-UHFFFAOYSA-N 0 0 433.513 -0.712 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C[C@H]1O ZINC000540291497 1072489154 /nfs/dbraw/zinc/48/91/54/1072489154.db2.gz MSYLUSYWANUENN-DZGCQCFKSA-N 0 0 441.506 -0.116 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C[C@@H]1O ZINC000540291498 1072489212 /nfs/dbraw/zinc/48/92/12/1072489212.db2.gz MSYLUSYWANUENN-HIFRSBDPSA-N 0 0 441.506 -0.116 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C[C@H]1O ZINC000540291500 1072489162 /nfs/dbraw/zinc/48/91/62/1072489162.db2.gz MSYLUSYWANUENN-UKRRQHHQSA-N 0 0 441.506 -0.116 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C[C@@H]1O ZINC000540291501 1072489126 /nfs/dbraw/zinc/48/91/26/1072489126.db2.gz MSYLUSYWANUENN-ZFWWWQNUSA-N 0 0 441.506 -0.116 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000540292334 1072489735 /nfs/dbraw/zinc/48/97/35/1072489735.db2.gz NNRAECLQURZSGE-UHFFFAOYSA-N 0 0 444.448 -0.860 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)C1 ZINC000540292485 1072489090 /nfs/dbraw/zinc/48/90/90/1072489090.db2.gz WDAMGIYJHWSZDO-UHFFFAOYSA-N 0 0 432.477 -0.058 20 0 IBADRN Cn1ccnc1C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000540292550 1072489106 /nfs/dbraw/zinc/48/91/06/1072489106.db2.gz OKQXQBXRQIPNHR-UHFFFAOYSA-N 0 0 426.477 -0.002 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1 ZINC000540292790 1072489139 /nfs/dbraw/zinc/48/91/39/1072489139.db2.gz NGFQJHXVBSOPBR-UHFFFAOYSA-N 0 0 439.494 -0.109 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1=O ZINC000540293054 1072489119 /nfs/dbraw/zinc/48/91/19/1072489119.db2.gz RJNYRZDVTRCACP-UHFFFAOYSA-N 0 0 428.287 -0.506 20 0 IBADRN NC(=O)NC(=O)CCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl ZINC000540293107 1072489218 /nfs/dbraw/zinc/48/92/18/1072489218.db2.gz LHHOEAQNKGEZMM-UHFFFAOYSA-N 0 0 431.858 -0.480 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1C ZINC000540293593 1072489687 /nfs/dbraw/zinc/48/96/87/1072489687.db2.gz AGUCLAMNJRXLNZ-UHFFFAOYSA-N 0 0 425.511 -0.703 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1S(=O)(=O)N(C)C ZINC000540293635 1072489723 /nfs/dbraw/zinc/48/97/23/1072489723.db2.gz BIVNCYMLDYQTCI-UHFFFAOYSA-N 0 0 426.495 -0.501 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1 ZINC000540294130 1072489707 /nfs/dbraw/zinc/48/97/07/1072489707.db2.gz FNJYHWFSYYNJNW-UHFFFAOYSA-N 0 0 428.493 -0.327 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C[C@@H]1O ZINC000540294856 1072489717 /nfs/dbraw/zinc/48/97/17/1072489717.db2.gz FXAUAEWACRVRTC-BBRMVZONSA-N 0 0 441.506 -0.116 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C[C@@H]1O ZINC000540294858 1072489681 /nfs/dbraw/zinc/48/96/81/1072489681.db2.gz FXAUAEWACRVRTC-CJNGLKHVSA-N 0 0 441.506 -0.116 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C[C@H]1O ZINC000540294859 1072489667 /nfs/dbraw/zinc/48/96/67/1072489667.db2.gz FXAUAEWACRVRTC-CZUORRHYSA-N 0 0 441.506 -0.116 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C[C@H]1O ZINC000540294860 1072490348 /nfs/dbraw/zinc/49/03/48/1072490348.db2.gz FXAUAEWACRVRTC-XJKSGUPXSA-N 0 0 441.506 -0.116 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCC(=O)NC(N)=O)ccc1C ZINC000540295034 1072489673 /nfs/dbraw/zinc/48/96/73/1072489673.db2.gz IUPYUEMPCGWHPK-UHFFFAOYSA-N 0 0 427.483 -0.335 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC(OCC(=O)N(C)C)C1)CC2 ZINC000540295196 1072489698 /nfs/dbraw/zinc/48/96/98/1072489698.db2.gz PMCNHLMLBPPFEK-UHFFFAOYSA-N 0 0 438.506 -0.811 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000540296446 1072488054 /nfs/dbraw/zinc/48/80/54/1072488054.db2.gz QMDOOHXEMCLYKZ-KRWDZBQOSA-N 0 0 430.461 -0.766 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000540296448 1072487942 /nfs/dbraw/zinc/48/79/42/1072487942.db2.gz QMDOOHXEMCLYKZ-QGZVFWFLSA-N 0 0 430.461 -0.766 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc(Br)c1=O ZINC000540296599 1073362216 /nfs/dbraw/zinc/36/22/16/1073362216.db2.gz GNFXTNCQACCVBJ-UHFFFAOYSA-N 0 0 442.299 -0.131 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)ccc1S(=O)(=O)N(C)C ZINC000540296713 1072487290 /nfs/dbraw/zinc/48/72/90/1072487290.db2.gz BHIYCYSDGQYHKA-UHFFFAOYSA-N 0 0 442.494 -0.800 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1=O ZINC000540297363 1074365051 /nfs/dbraw/zinc/36/50/51/1074365051.db2.gz IZHDQMRYCFXKDX-UHFFFAOYSA-N 0 0 435.466 -0.868 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)CC2)n1 ZINC000540297366 1072487878 /nfs/dbraw/zinc/48/78/78/1072487878.db2.gz NAFHJELEMLFCTG-UHFFFAOYSA-N 0 0 444.477 -0.541 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c2ccccc2O1 ZINC000540297753 1073355193 /nfs/dbraw/zinc/35/51/93/1073355193.db2.gz SZJXZVFOBOCIEG-CYBMUJFWSA-N 0 0 432.462 -0.264 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c2ccccc2O1 ZINC000540297758 1073360444 /nfs/dbraw/zinc/36/04/44/1073360444.db2.gz SZJXZVFOBOCIEG-ZDUSSCGKSA-N 0 0 432.462 -0.264 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000540297820 1072488062 /nfs/dbraw/zinc/48/80/62/1072488062.db2.gz JXHDOZDOONZNJM-UHFFFAOYSA-N 0 0 441.506 -0.249 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)ccc1F ZINC000540298081 1073360838 /nfs/dbraw/zinc/36/08/38/1073360838.db2.gz WPXLFAYVEQUFOU-UHFFFAOYSA-N 0 0 432.445 -0.169 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)C1 ZINC000540298133 1072488016 /nfs/dbraw/zinc/48/80/16/1072488016.db2.gz DEPHAEOJZNFHBA-UHFFFAOYSA-N 0 0 431.493 -0.384 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CC2)n1 ZINC000540298672 1072487914 /nfs/dbraw/zinc/48/79/14/1072487914.db2.gz VQBSZBREBWHJJU-UHFFFAOYSA-N 0 0 429.459 -0.806 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1C ZINC000540298684 1073362504 /nfs/dbraw/zinc/36/25/04/1073362504.db2.gz WFYDNFMLXCRNGW-UHFFFAOYSA-N 0 0 439.523 -0.328 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000540298773 1073356176 /nfs/dbraw/zinc/35/61/76/1073356176.db2.gz PNPGRTAUGSKLAU-UHFFFAOYSA-N 0 0 433.494 -0.078 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)C1 ZINC000540299095 1072487950 /nfs/dbraw/zinc/48/79/50/1072487950.db2.gz KDYLZHWXWFHULC-UHFFFAOYSA-N 0 0 432.886 -0.034 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cccc1C(=O)N1CCOCC1 ZINC000540299189 1072487994 /nfs/dbraw/zinc/48/79/94/1072487994.db2.gz RADRONCIHDMDKU-UHFFFAOYSA-N 0 0 432.477 -0.278 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000540299409 1072488036 /nfs/dbraw/zinc/48/80/36/1072488036.db2.gz DQYBHUPOZBGLCE-UHFFFAOYSA-N 0 0 434.449 -0.766 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)NCCC(=O)NC(N)=O)c1 ZINC000540299486 1072487918 /nfs/dbraw/zinc/48/79/18/1072487918.db2.gz IQJYHUINKQXZEF-UHFFFAOYSA-N 0 0 434.190 -0.061 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000540299869 1073357318 /nfs/dbraw/zinc/35/73/18/1073357318.db2.gz UBWRQQOFSPTVBU-UHFFFAOYSA-N 0 0 439.523 -0.543 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000540300140 1072488043 /nfs/dbraw/zinc/48/80/43/1072488043.db2.gz ZUZGLXWRYVLWEC-UHFFFAOYSA-N 0 0 428.467 -0.679 20 0 IBADRN CCc1nnc(-c2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2)o1 ZINC000540300423 1072487963 /nfs/dbraw/zinc/48/79/63/1072487963.db2.gz CDFFFRXIKSFXGA-UHFFFAOYSA-N 0 0 436.494 -0.330 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)CC1 ZINC000540301688 1072488002 /nfs/dbraw/zinc/48/80/02/1072488002.db2.gz KQOOSKGAIVWZCG-CYBMUJFWSA-N 0 0 437.472 -0.452 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)CC1 ZINC000540301689 1072488591 /nfs/dbraw/zinc/48/85/91/1072488591.db2.gz KQOOSKGAIVWZCG-ZDUSSCGKSA-N 0 0 437.472 -0.452 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)CC1 ZINC000540301837 1072487954 /nfs/dbraw/zinc/48/79/54/1072487954.db2.gz KEZLTXBKLSCYNW-CYBMUJFWSA-N 0 0 435.456 -0.745 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)CC1 ZINC000540301840 1072488047 /nfs/dbraw/zinc/48/80/47/1072488047.db2.gz KEZLTXBKLSCYNW-ZDUSSCGKSA-N 0 0 435.456 -0.745 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000540301859 1072487891 /nfs/dbraw/zinc/48/78/91/1072487891.db2.gz GZHXZGVNXGLLGQ-HNNXBMFYSA-N 0 0 443.478 -0.222 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)cc2OC)CC1 ZINC000540301862 1072487988 /nfs/dbraw/zinc/48/79/88/1072487988.db2.gz GZHXZGVNXGLLGQ-OAHLLOKOSA-N 0 0 443.478 -0.222 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)n(C)c2)CC1 ZINC000540302439 1072488647 /nfs/dbraw/zinc/48/86/47/1072488647.db2.gz AWMKVZKDIGBQIU-UHFFFAOYSA-N 0 0 430.483 -0.739 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000540303673 1072488658 /nfs/dbraw/zinc/48/86/58/1072488658.db2.gz IWCQOLZVOYKCHQ-GFCCVEGCSA-N 0 0 440.478 -0.265 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)C1 ZINC000540303675 1072488664 /nfs/dbraw/zinc/48/86/64/1072488664.db2.gz IWCQOLZVOYKCHQ-LBPRGKRZSA-N 0 0 440.478 -0.265 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CCO1 ZINC000540303767 1072488673 /nfs/dbraw/zinc/48/86/73/1072488673.db2.gz WKTITXTVTASZSO-GFCCVEGCSA-N 0 0 429.451 -0.204 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CCO1 ZINC000540303774 1072488748 /nfs/dbraw/zinc/48/87/48/1072488748.db2.gz WKTITXTVTASZSO-LBPRGKRZSA-N 0 0 429.451 -0.204 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)C1 ZINC000540304349 1072488711 /nfs/dbraw/zinc/48/87/11/1072488711.db2.gz JXXIMXYEKPPKID-JTQLQIEISA-N 0 0 438.915 -0.200 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)C1 ZINC000540304350 1072488732 /nfs/dbraw/zinc/48/87/32/1072488732.db2.gz JXXIMXYEKPPKID-SNVBAGLBSA-N 0 0 438.915 -0.200 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)ccc1NS(C)(=O)=O ZINC000540304383 1072490325 /nfs/dbraw/zinc/49/03/25/1072490325.db2.gz LPAKFYXBLHEDFB-GFCCVEGCSA-N 0 0 426.451 -0.343 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)ccc1NS(C)(=O)=O ZINC000540304384 1072490285 /nfs/dbraw/zinc/49/02/85/1072490285.db2.gz LPAKFYXBLHEDFB-LBPRGKRZSA-N 0 0 426.451 -0.343 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cn1C ZINC000540304576 1072490293 /nfs/dbraw/zinc/49/02/93/1072490293.db2.gz OYZKTWJABDWXDQ-LLVKDONJSA-N 0 0 429.476 -0.237 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cn1C ZINC000540304577 1072490315 /nfs/dbraw/zinc/49/03/15/1072490315.db2.gz OYZKTWJABDWXDQ-NSHDSACASA-N 0 0 429.476 -0.237 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1NS(C)(=O)=O ZINC000540304608 1072490363 /nfs/dbraw/zinc/49/03/63/1072490363.db2.gz PLWPBCLMKGQKCC-LLVKDONJSA-N 0 0 428.467 -0.943 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1NS(C)(=O)=O ZINC000540304611 1072490341 /nfs/dbraw/zinc/49/03/41/1072490341.db2.gz PLWPBCLMKGQKCC-NSHDSACASA-N 0 0 428.467 -0.943 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)ccc1NS(C)(=O)=O ZINC000540304699 1072490263 /nfs/dbraw/zinc/49/02/63/1072490263.db2.gz WPOQNAJEXPXMRL-UHFFFAOYSA-N 0 0 428.511 -0.080 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCS(=O)(=O)C(C)(C)C2)cc(S(C)(=O)=O)c1 ZINC000540304752 1072490274 /nfs/dbraw/zinc/49/02/74/1072490274.db2.gz ZLKLFSSTSGXBSV-UHFFFAOYSA-N 0 0 446.503 -0.149 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)ccc1NS(C)(=O)=O ZINC000540304786 1072490336 /nfs/dbraw/zinc/49/03/36/1072490336.db2.gz RQUJHRJXPHPQAD-LLVKDONJSA-N 0 0 428.467 -0.943 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)ccc1NS(C)(=O)=O ZINC000540304787 1072490319 /nfs/dbraw/zinc/49/03/19/1072490319.db2.gz RQUJHRJXPHPQAD-NSHDSACASA-N 0 0 428.467 -0.943 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000540304890 1072487296 /nfs/dbraw/zinc/48/72/96/1072487296.db2.gz WIEBEUCXECDSGZ-INIZCTEOSA-N 0 0 443.504 -0.547 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000540304891 1072487317 /nfs/dbraw/zinc/48/73/17/1072487317.db2.gz WIEBEUCXECDSGZ-MRXNPFEDSA-N 0 0 443.504 -0.547 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)cc(S(C)(=O)=O)c1 ZINC000540305198 1072488730 /nfs/dbraw/zinc/48/87/30/1072488730.db2.gz IECAYMPJCAGIHD-PSOPSSQASA-N 0 0 437.474 -0.059 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)cc(S(C)(=O)=O)c1 ZINC000540305199 1072488568 /nfs/dbraw/zinc/48/85/68/1072488568.db2.gz IECAYMPJCAGIHD-WDBKCZKBSA-N 0 0 437.474 -0.059 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)cc(S(C)(=O)=O)c1 ZINC000540305200 1072488583 /nfs/dbraw/zinc/48/85/83/1072488583.db2.gz IECAYMPJCAGIHD-YVLXSGLVSA-N 0 0 437.474 -0.059 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)cc(S(C)(=O)=O)c1 ZINC000540305201 1072488706 /nfs/dbraw/zinc/48/87/06/1072488706.db2.gz IECAYMPJCAGIHD-ZBINZKHDSA-N 0 0 437.474 -0.059 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1NS(C)(=O)=O ZINC000540305671 1072490300 /nfs/dbraw/zinc/49/03/00/1072490300.db2.gz ZLARTGBKTOSAPZ-UHFFFAOYSA-N 0 0 439.538 -0.072 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)c(OC)c(OC)c1 ZINC000540305834 1072490277 /nfs/dbraw/zinc/49/02/77/1072490277.db2.gz BCPRIJSWHPQRQY-UHFFFAOYSA-N 0 0 448.432 -0.028 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C)c(NS(C)(=O)=O)c1 ZINC000540306439 1072490332 /nfs/dbraw/zinc/49/03/32/1072490332.db2.gz IEGRFUIYLHOBNY-CYBMUJFWSA-N 0 0 432.524 -0.155 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C)c(NS(C)(=O)=O)c1 ZINC000540306440 1072490297 /nfs/dbraw/zinc/49/02/97/1072490297.db2.gz IEGRFUIYLHOBNY-ZDUSSCGKSA-N 0 0 432.524 -0.155 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000540306525 1072490310 /nfs/dbraw/zinc/49/03/10/1072490310.db2.gz GBEIPFCAOCHGOC-AWEZNQCLSA-N 0 0 431.445 -0.253 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000540306526 1072490352 /nfs/dbraw/zinc/49/03/52/1072490352.db2.gz GBEIPFCAOCHGOC-CQSZACIVSA-N 0 0 431.445 -0.253 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000540306594 1072490355 /nfs/dbraw/zinc/49/03/55/1072490355.db2.gz INOJSSVQPBKSKY-AWEZNQCLSA-N 0 0 428.511 -0.080 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000540306595 1072490305 /nfs/dbraw/zinc/49/03/05/1072490305.db2.gz INOJSSVQPBKSKY-CQSZACIVSA-N 0 0 428.511 -0.080 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(OC)c(NS(C)(=O)=O)c2)CC1 ZINC000540306732 1072490289 /nfs/dbraw/zinc/49/02/89/1072490289.db2.gz MHJJOCAMKIRDIL-UHFFFAOYSA-N 0 0 443.478 -0.078 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)NCCn2ncnn2)c1 ZINC000540307431 1072490960 /nfs/dbraw/zinc/49/09/60/1072490960.db2.gz INNCULDJXLPMCB-UHFFFAOYSA-N 0 0 444.189 -0.181 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCc1nc(N)no1 ZINC000540307546 1072490924 /nfs/dbraw/zinc/49/09/24/1072490924.db2.gz JQYFLZDDTYXZGL-UHFFFAOYSA-N 0 0 440.482 -0.013 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1NS(C)(=O)=O ZINC000540307601 1072490867 /nfs/dbraw/zinc/49/08/67/1072490867.db2.gz NEQGNOIXKZWQNK-UHFFFAOYSA-N 0 0 434.478 -0.086 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1)C(=O)N1CCOCC1 ZINC000540307669 1072490836 /nfs/dbraw/zinc/49/08/36/1072490836.db2.gz OXYGYCOAIVPPDJ-GFCCVEGCSA-N 0 0 429.271 -0.160 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1)C(=O)N1CCOCC1 ZINC000540307673 1072490819 /nfs/dbraw/zinc/49/08/19/1072490819.db2.gz OXYGYCOAIVPPDJ-LBPRGKRZSA-N 0 0 429.271 -0.160 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1 ZINC000540307849 1072490937 /nfs/dbraw/zinc/49/09/37/1072490937.db2.gz PFWOWMLWXZEEPG-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(OC)c1 ZINC000540307854 1072490883 /nfs/dbraw/zinc/49/08/83/1072490883.db2.gz PFWOWMLWXZEEPG-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cn1C ZINC000540308645 1072490914 /nfs/dbraw/zinc/49/09/14/1072490914.db2.gz YWSADHABQXEYOR-UHFFFAOYSA-N 0 0 444.510 -0.349 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)CCO1 ZINC000540308713 1072490853 /nfs/dbraw/zinc/49/08/53/1072490853.db2.gz ZNMBDAOTKARMBI-CYBMUJFWSA-N 0 0 442.446 -0.394 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)CCO1 ZINC000540308725 1072490894 /nfs/dbraw/zinc/49/08/94/1072490894.db2.gz ZNMBDAOTKARMBI-ZDUSSCGKSA-N 0 0 442.446 -0.394 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N1CCOCC1 ZINC000540308926 1072490875 /nfs/dbraw/zinc/49/08/75/1072490875.db2.gz VSCQMSVJOASMHE-GDBMZVCRSA-N 0 0 430.461 -0.416 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N1CCOCC1 ZINC000540308927 1072490947 /nfs/dbraw/zinc/49/09/47/1072490947.db2.gz VSCQMSVJOASMHE-GOEBONIOSA-N 0 0 430.461 -0.416 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N1CCOCC1 ZINC000540308928 1072490784 /nfs/dbraw/zinc/49/07/84/1072490784.db2.gz VSCQMSVJOASMHE-HOCLYGCPSA-N 0 0 430.461 -0.416 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N1CCOCC1 ZINC000540308929 1072490810 /nfs/dbraw/zinc/49/08/10/1072490810.db2.gz VSCQMSVJOASMHE-ZBFHGGJFSA-N 0 0 430.461 -0.416 20 0 IBADRN C[C@H](CC[S@@](C)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000540310067 1072490798 /nfs/dbraw/zinc/49/07/98/1072490798.db2.gz NLOKJWOFGVWNCB-GMYLUUGSSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](CC[S@@](C)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000540310068 1072490846 /nfs/dbraw/zinc/49/08/46/1072490846.db2.gz NLOKJWOFGVWNCB-HJFSHJIFSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](CC[S@](C)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000540310069 1072490953 /nfs/dbraw/zinc/49/09/53/1072490953.db2.gz NLOKJWOFGVWNCB-POXGOYDTSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@H](CC[S@](C)=O)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000540310070 1072490859 /nfs/dbraw/zinc/49/08/59/1072490859.db2.gz NLOKJWOFGVWNCB-SUMNFNSASA-N 0 0 444.579 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCn2ncnn2)cc1S(=O)(=O)N1CCCCC1 ZINC000540310112 1072490906 /nfs/dbraw/zinc/49/09/06/1072490906.db2.gz OGTVCNTXZDSEHI-UHFFFAOYSA-N 0 0 437.482 -0.389 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)c(OCC(=O)N(C)C)c1 ZINC000540310582 1072491576 /nfs/dbraw/zinc/49/15/76/1072491576.db2.gz WEGVOCPHTZQQRU-UHFFFAOYSA-N 0 0 445.476 -0.057 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCn2ncnn2)c(OC)c1OC ZINC000540310757 1072491551 /nfs/dbraw/zinc/49/15/51/1072491551.db2.gz YJJZTMCTGIRLNV-UHFFFAOYSA-N 0 0 430.425 -0.301 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCc3nc(N)no3)cc2)CC1 ZINC000540311369 1073355735 /nfs/dbraw/zinc/35/57/35/1073355735.db2.gz QWVRNARVTXVOQB-UHFFFAOYSA-N 0 0 429.481 -0.344 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CC[C@H](O)C2)c1 ZINC000540311969 1072491569 /nfs/dbraw/zinc/49/15/69/1072491569.db2.gz VYWYTOIMDPZQLP-ZDUSSCGKSA-N 0 0 426.495 -0.695 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)s1 ZINC000540312118 1072491565 /nfs/dbraw/zinc/49/15/65/1072491565.db2.gz FDMOZIYJUVXKID-UHFFFAOYSA-N 0 0 446.532 -0.181 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](O)C3)cc2)C1 ZINC000540312566 1072491579 /nfs/dbraw/zinc/49/15/79/1072491579.db2.gz ARXBVLQIJDFSMD-HIFRSBDPSA-N 0 0 439.490 -0.208 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](O)C3)cc2)C1 ZINC000540312567 1072491584 /nfs/dbraw/zinc/49/15/84/1072491584.db2.gz ARXBVLQIJDFSMD-ZFWWWQNUSA-N 0 0 439.490 -0.208 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](O)C1 ZINC000540312715 1072491556 /nfs/dbraw/zinc/49/15/56/1072491556.db2.gz HMGIFUMVJCGKHQ-ZDUSSCGKSA-N 0 0 427.479 -0.362 20 0 IBADRN O=C(CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC1CC1 ZINC000540313212 1072491542 /nfs/dbraw/zinc/49/15/42/1072491542.db2.gz MGXJDSPHWKFHCA-UHFFFAOYSA-N 0 0 438.506 -0.179 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)CC1=O ZINC000540313808 1072491573 /nfs/dbraw/zinc/49/15/73/1072491573.db2.gz NDHGSPGBVRIFNT-UHFFFAOYSA-N 0 0 432.886 -0.033 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)CC1=O ZINC000540314264 1072491559 /nfs/dbraw/zinc/49/15/59/1072491559.db2.gz UPQWQQJMBVYGKE-UHFFFAOYSA-N 0 0 441.462 -0.868 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)C[C@@H](O)C(F)(F)F)CC1 ZINC000540323460 1072492061 /nfs/dbraw/zinc/49/20/61/1072492061.db2.gz GCMVJCLUPHMNPG-GFCCVEGCSA-N 0 0 428.433 -0.021 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)C[C@H](O)C(F)(F)F)CC1 ZINC000540323461 1072492100 /nfs/dbraw/zinc/49/21/00/1072492100.db2.gz GCMVJCLUPHMNPG-LBPRGKRZSA-N 0 0 428.433 -0.021 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1C ZINC000540330227 1072492677 /nfs/dbraw/zinc/49/26/77/1072492677.db2.gz FWXRZQDQHXPSJG-UHFFFAOYSA-N 0 0 432.510 -0.086 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)c3cc(C(=O)N(C)C)n(C)c3)CC2)n2ncnc2n1 ZINC000540330651 1072492766 /nfs/dbraw/zinc/49/27/66/1072492766.db2.gz FRTMPRKBGXGICP-UHFFFAOYSA-N 0 0 432.510 -0.016 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1C ZINC000540333622 1072491563 /nfs/dbraw/zinc/49/15/63/1072491563.db2.gz OOOXCCTUCKFPPN-UHFFFAOYSA-N 0 0 447.517 -0.223 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1C ZINC000540334029 1072491530 /nfs/dbraw/zinc/49/15/30/1072491530.db2.gz WGWYYJSRXNOOSX-INIZCTEOSA-N 0 0 449.533 -0.392 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cn1C ZINC000540334035 1072491540 /nfs/dbraw/zinc/49/15/40/1072491540.db2.gz WGWYYJSRXNOOSX-MRXNPFEDSA-N 0 0 449.533 -0.392 20 0 IBADRN CS(=O)(=O)Cc1ccc(Cl)cc1S(=O)(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000540335778 1072491961 /nfs/dbraw/zinc/49/19/61/1072491961.db2.gz GECVMBXXZNIGNP-UHFFFAOYSA-N 0 0 431.945 -0.606 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cn1C ZINC000540335929 1072492006 /nfs/dbraw/zinc/49/20/06/1072492006.db2.gz JHNKARIOQNZADM-LLVKDONJSA-N 0 0 435.549 -0.099 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cn1C ZINC000540335934 1072491953 /nfs/dbraw/zinc/49/19/53/1072491953.db2.gz JHNKARIOQNZADM-NSHDSACASA-N 0 0 435.549 -0.099 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC000540336213 1072491922 /nfs/dbraw/zinc/49/19/22/1072491922.db2.gz RKBXZLVRMCZQDI-GFCCVEGCSA-N 0 0 438.911 -0.063 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC000540336219 1072492049 /nfs/dbraw/zinc/49/20/49/1072492049.db2.gz RKBXZLVRMCZQDI-LBPRGKRZSA-N 0 0 438.911 -0.063 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1C ZINC000540337204 1072492081 /nfs/dbraw/zinc/49/20/81/1072492081.db2.gz WLONZAIGWQIAPJ-UHFFFAOYSA-N 0 0 425.511 -0.818 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@@H](c3nncn3C3CC3)C2)CC1)N1CCOCC1 ZINC000540338333 1072492839 /nfs/dbraw/zinc/49/28/39/1072492839.db2.gz NURLDELVXXJYBO-GOSISDBHSA-N 0 0 445.568 -0.205 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCC[C@H](c3nncn3C3CC3)C2)CC1)N1CCOCC1 ZINC000540338336 1072492782 /nfs/dbraw/zinc/49/27/82/1072492782.db2.gz NURLDELVXXJYBO-SFHVURJKSA-N 0 0 445.568 -0.205 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)c3cc(Cl)ccc3OCC(N)=O)CC2)C1=O ZINC000540339277 1072492750 /nfs/dbraw/zinc/49/27/50/1072492750.db2.gz XQVOZJCCDVBLHE-UHFFFAOYSA-N 0 0 430.870 -0.091 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)c1 ZINC000540342388 1072492651 /nfs/dbraw/zinc/49/26/51/1072492651.db2.gz KHQHAKSRGBMFAB-UHFFFAOYSA-N 0 0 430.508 -0.358 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)O1 ZINC000540367472 1072493304 /nfs/dbraw/zinc/49/33/04/1072493304.db2.gz SPGSKEGQIZVCPU-ABAIWWIYSA-N 0 0 444.485 -0.234 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)O1 ZINC000540367477 1072493317 /nfs/dbraw/zinc/49/33/17/1072493317.db2.gz SPGSKEGQIZVCPU-IAQYHMDHSA-N 0 0 444.485 -0.234 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)O1 ZINC000540367479 1072493231 /nfs/dbraw/zinc/49/32/31/1072493231.db2.gz SPGSKEGQIZVCPU-NHYWBVRUSA-N 0 0 444.485 -0.234 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)O1 ZINC000540367481 1072493211 /nfs/dbraw/zinc/49/32/11/1072493211.db2.gz SPGSKEGQIZVCPU-XHDPSFHLSA-N 0 0 444.485 -0.234 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1S(=O)(=O)N(C)C ZINC000540369709 1072493297 /nfs/dbraw/zinc/49/32/97/1072493297.db2.gz LSHGKZKPJKRSOA-CABCVRRESA-N 0 0 440.522 -0.064 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1S(=O)(=O)N(C)C ZINC000540369710 1072493245 /nfs/dbraw/zinc/49/32/45/1072493245.db2.gz LSHGKZKPJKRSOA-GJZGRUSLSA-N 0 0 440.522 -0.064 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1S(=O)(=O)N(C)C ZINC000540369711 1072493237 /nfs/dbraw/zinc/49/32/37/1072493237.db2.gz LSHGKZKPJKRSOA-HUUCEWRRSA-N 0 0 440.522 -0.064 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1S(=O)(=O)N(C)C ZINC000540369712 1072493376 /nfs/dbraw/zinc/49/33/76/1072493376.db2.gz LSHGKZKPJKRSOA-LSDHHAIUSA-N 0 0 440.522 -0.064 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)ccc1NS(C)(=O)=O ZINC000540370809 1072493472 /nfs/dbraw/zinc/49/34/72/1072493472.db2.gz XWVFOXDDDFRDOF-GXTWGEPZSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)ccc1NS(C)(=O)=O ZINC000540370812 1072493328 /nfs/dbraw/zinc/49/33/28/1072493328.db2.gz XWVFOXDDDFRDOF-JSGCOSHPSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)ccc1NS(C)(=O)=O ZINC000540370814 1072493278 /nfs/dbraw/zinc/49/32/78/1072493278.db2.gz XWVFOXDDDFRDOF-OCCSQVGLSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1cc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)ccc1NS(C)(=O)=O ZINC000540370816 1072493355 /nfs/dbraw/zinc/49/33/55/1072493355.db2.gz XWVFOXDDDFRDOF-TZMCWYRMSA-N 0 0 442.494 -0.243 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000540371222 1072493427 /nfs/dbraw/zinc/49/34/27/1072493427.db2.gz BRUSXEPFGWTHTA-UHFFFAOYSA-N 0 0 442.523 -0.046 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000540371279 1072493438 /nfs/dbraw/zinc/49/34/38/1072493438.db2.gz DVVUYYJODUWKTI-UHFFFAOYSA-N 0 0 432.460 -0.248 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC000540371922 1072493998 /nfs/dbraw/zinc/49/39/98/1072493998.db2.gz JCCNLNWOTNEYHG-UHFFFAOYSA-N 0 0 431.428 -0.163 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)n1 ZINC000540372039 1072494147 /nfs/dbraw/zinc/49/41/47/1072494147.db2.gz KTAYMFZSSQJAPN-UHFFFAOYSA-N 0 0 427.400 -0.113 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CCO2)n1 ZINC000540372050 1072494224 /nfs/dbraw/zinc/49/42/24/1072494224.db2.gz DZHVQBHYHHDWAM-AWEZNQCLSA-N 0 0 429.416 -0.124 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CCO2)n1 ZINC000540372058 1072494102 /nfs/dbraw/zinc/49/41/02/1072494102.db2.gz DZHVQBHYHHDWAM-CQSZACIVSA-N 0 0 429.416 -0.124 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000540372915 1072494135 /nfs/dbraw/zinc/49/41/35/1072494135.db2.gz WSECPUDJQMMPQB-JTQLQIEISA-N 0 0 443.507 -0.498 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000540372918 1072493908 /nfs/dbraw/zinc/49/39/08/1072493908.db2.gz WSECPUDJQMMPQB-SNVBAGLBSA-N 0 0 443.507 -0.498 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1 ZINC000540373076 1072494119 /nfs/dbraw/zinc/49/41/19/1072494119.db2.gz UVIYFXFLXPVBOY-UHFFFAOYSA-N 0 0 444.514 -1.000 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000540374275 1072494262 /nfs/dbraw/zinc/49/42/62/1072494262.db2.gz RHXIAZJWJGYRMZ-UHFFFAOYSA-N 0 0 434.413 -0.770 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1 ZINC000540379532 1072497299 /nfs/dbraw/zinc/49/72/99/1072497299.db2.gz XKZOPYZDJTVZER-UHFFFAOYSA-N 0 0 428.515 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000540379542 1072497092 /nfs/dbraw/zinc/49/70/92/1072497092.db2.gz YKGUCZOLSSZRLL-UHFFFAOYSA-N 0 0 447.452 -0.024 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000540379569 1072497133 /nfs/dbraw/zinc/49/71/33/1072497133.db2.gz ZGLRBAMEZLJUKL-UHFFFAOYSA-N 0 0 436.494 -0.275 20 0 IBADRN COc1cc(CNC(=O)C(=O)Nc2c(OC)ncnc2N(C)C)ccc1OCC(N)=O ZINC000540381033 1072497115 /nfs/dbraw/zinc/49/71/15/1072497115.db2.gz QPQNZFZWQLNXQN-UHFFFAOYSA-N 0 0 432.437 -0.321 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)c1 ZINC000540381291 1072497308 /nfs/dbraw/zinc/49/73/08/1072497308.db2.gz UUHKVXXCSRSEII-UHFFFAOYSA-N 0 0 433.425 -0.036 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000540382285 1072497322 /nfs/dbraw/zinc/49/73/22/1072497322.db2.gz BFNARNQVMUBTGC-UHFFFAOYSA-N 0 0 443.512 -0.392 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000540382586 1072494837 /nfs/dbraw/zinc/49/48/37/1072494837.db2.gz CTOZGKMFNSYYGF-CYBMUJFWSA-N 0 0 432.460 -0.201 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000540382588 1072494847 /nfs/dbraw/zinc/49/48/47/1072494847.db2.gz CTOZGKMFNSYYGF-ZDUSSCGKSA-N 0 0 432.460 -0.201 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1)N1CCCC1 ZINC000540382693 1072494747 /nfs/dbraw/zinc/49/47/47/1072494747.db2.gz FZAHJHANUWSQCA-UHFFFAOYSA-N 0 0 430.444 -0.494 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)O1 ZINC000540382966 1072494688 /nfs/dbraw/zinc/49/46/88/1072494688.db2.gz ICRINVGAWVJIMD-DOTOQJQBSA-N 0 0 439.538 -0.199 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)O1 ZINC000540382968 1072494764 /nfs/dbraw/zinc/49/47/64/1072494764.db2.gz ICRINVGAWVJIMD-NVXWUHKLSA-N 0 0 439.538 -0.199 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)O1 ZINC000540382969 1072494643 /nfs/dbraw/zinc/49/46/43/1072494643.db2.gz ICRINVGAWVJIMD-RDJZCZTQSA-N 0 0 439.538 -0.199 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)O1 ZINC000540382972 1072494832 /nfs/dbraw/zinc/49/48/32/1072494832.db2.gz ICRINVGAWVJIMD-WBVHZDCISA-N 0 0 439.538 -0.199 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000540383321 1072494697 /nfs/dbraw/zinc/49/46/97/1072494697.db2.gz RSGAAPXECXDQBO-UHFFFAOYSA-N 0 0 444.427 -0.967 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC[C@@H](C(=O)N(C)C)O3)CC2)cn1C ZINC000540383478 1072495387 /nfs/dbraw/zinc/49/53/87/1072495387.db2.gz NMODSSYYIVKMSD-CABCVRRESA-N 0 0 442.542 -0.620 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC[C@@H](C(=O)N(C)C)O3)CC2)cn1C ZINC000540383487 1072495341 /nfs/dbraw/zinc/49/53/41/1072495341.db2.gz NMODSSYYIVKMSD-GJZGRUSLSA-N 0 0 442.542 -0.620 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC[C@H](C(=O)N(C)C)O3)CC2)cn1C ZINC000540383490 1072495545 /nfs/dbraw/zinc/49/55/45/1072495545.db2.gz NMODSSYYIVKMSD-HUUCEWRRSA-N 0 0 442.542 -0.620 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC[C@H](C(=O)N(C)C)O3)CC2)cn1C ZINC000540383492 1072495398 /nfs/dbraw/zinc/49/53/98/1072495398.db2.gz NMODSSYYIVKMSD-LSDHHAIUSA-N 0 0 442.542 -0.620 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000540384075 1072495238 /nfs/dbraw/zinc/49/52/38/1072495238.db2.gz YVERWIHDCITZHZ-BBWFWOEESA-N 0 0 427.546 -0.509 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000540384076 1072495408 /nfs/dbraw/zinc/49/54/08/1072495408.db2.gz YVERWIHDCITZHZ-GVDBMIGSSA-N 0 0 427.546 -0.509 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000540384077 1072495454 /nfs/dbraw/zinc/49/54/54/1072495454.db2.gz YVERWIHDCITZHZ-ULQDDVLXSA-N 0 0 427.546 -0.509 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000540384078 1072495539 /nfs/dbraw/zinc/49/55/39/1072495539.db2.gz YVERWIHDCITZHZ-YESZJQIVSA-N 0 0 427.546 -0.509 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000540384805 1072495533 /nfs/dbraw/zinc/49/55/33/1072495533.db2.gz HNTFBQYRWOHULP-FQEVSTJZSA-N 0 0 441.448 -0.139 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000540384806 1072495299 /nfs/dbraw/zinc/49/52/99/1072495299.db2.gz HNTFBQYRWOHULP-HXUWFJFHSA-N 0 0 441.448 -0.139 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)O1 ZINC000540385608 1072496044 /nfs/dbraw/zinc/49/60/44/1072496044.db2.gz QPZPJSKXILLDAX-DLBZAZTESA-N 0 0 447.540 -0.219 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)O1 ZINC000540385609 1072495955 /nfs/dbraw/zinc/49/59/55/1072495955.db2.gz QPZPJSKXILLDAX-IAGOWNOFSA-N 0 0 447.540 -0.219 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)O1 ZINC000540385610 1072496077 /nfs/dbraw/zinc/49/60/77/1072496077.db2.gz QPZPJSKXILLDAX-IRXDYDNUSA-N 0 0 447.540 -0.219 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)O1 ZINC000540385611 1072496145 /nfs/dbraw/zinc/49/61/45/1072496145.db2.gz QPZPJSKXILLDAX-SJORKVTESA-N 0 0 447.540 -0.219 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000540385969 1072496018 /nfs/dbraw/zinc/49/60/18/1072496018.db2.gz UDZRZWNMTJZWEB-UHFFFAOYSA-N 0 0 440.443 -0.532 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000540399362 1072497213 /nfs/dbraw/zinc/49/72/13/1072497213.db2.gz YQPSIDAHZKSDRS-CVEARBPZSA-N 0 0 434.559 -0.296 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000540399376 1072497352 /nfs/dbraw/zinc/49/73/52/1072497352.db2.gz YQPSIDAHZKSDRS-HOTGVXAUSA-N 0 0 434.559 -0.296 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000540399380 1072497275 /nfs/dbraw/zinc/49/72/75/1072497275.db2.gz YQPSIDAHZKSDRS-HZPDHXFCSA-N 0 0 434.559 -0.296 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000540399383 1072497064 /nfs/dbraw/zinc/49/70/64/1072497064.db2.gz YQPSIDAHZKSDRS-JKSUJKDBSA-N 0 0 434.559 -0.296 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000540399710 1072497226 /nfs/dbraw/zinc/49/72/26/1072497226.db2.gz YMFRDGANWBLWNM-BRWVUGGUSA-N 0 0 425.530 -0.803 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000540399711 1072497151 /nfs/dbraw/zinc/49/71/51/1072497151.db2.gz YMFRDGANWBLWNM-IKGGRYGDSA-N 0 0 425.530 -0.803 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000540399712 1072497345 /nfs/dbraw/zinc/49/73/45/1072497345.db2.gz YMFRDGANWBLWNM-IXDOHACOSA-N 0 0 425.530 -0.803 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000540399713 1072497971 /nfs/dbraw/zinc/49/79/71/1072497971.db2.gz YMFRDGANWBLWNM-ZACQAIPSSA-N 0 0 425.530 -0.803 20 0 IBADRN C[C@H](NCC(=O)N1CCN(CC(=O)N(C)C)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000540405176 1072497858 /nfs/dbraw/zinc/49/78/58/1072497858.db2.gz WQCSPZXFOXZCRK-INIZCTEOSA-N 0 0 439.582 -0.180 20 0 IBADRN C[C@@H](NCC(=O)N1CCN(CC(=O)N(C)C)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000540405178 1072497929 /nfs/dbraw/zinc/49/79/29/1072497929.db2.gz WQCSPZXFOXZCRK-MRXNPFEDSA-N 0 0 439.582 -0.180 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)C1=O ZINC000540410785 1072497885 /nfs/dbraw/zinc/49/78/85/1072497885.db2.gz AVSHVMVCLQAUKS-CYBMUJFWSA-N 0 0 431.515 -0.799 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)C1=O ZINC000540410788 1072498048 /nfs/dbraw/zinc/49/80/48/1072498048.db2.gz AVSHVMVCLQAUKS-ZDUSSCGKSA-N 0 0 431.515 -0.799 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)[C@H](C)C1 ZINC000540411424 1072497785 /nfs/dbraw/zinc/49/77/85/1072497785.db2.gz MRILNHXDCDJIRV-CVEARBPZSA-N 0 0 434.559 -0.246 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)[C@@H](C)C1 ZINC000540411429 1072497838 /nfs/dbraw/zinc/49/78/38/1072497838.db2.gz MRILNHXDCDJIRV-HOTGVXAUSA-N 0 0 434.559 -0.246 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)[C@H](C)C1 ZINC000540411430 1072497992 /nfs/dbraw/zinc/49/79/92/1072497992.db2.gz MRILNHXDCDJIRV-HZPDHXFCSA-N 0 0 434.559 -0.246 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)[C@@H](C)C1 ZINC000540411625 1072498053 /nfs/dbraw/zinc/49/80/53/1072498053.db2.gz MRILNHXDCDJIRV-JKSUJKDBSA-N 0 0 434.559 -0.246 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@H](C)C1 ZINC000540426142 1072498661 /nfs/dbraw/zinc/49/86/61/1072498661.db2.gz DRAZNNXJZMAHSB-CYBMUJFWSA-N 0 0 428.511 -0.150 20 0 IBADRN COC(=O)CCN1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@@H](C)C1 ZINC000540426143 1072498693 /nfs/dbraw/zinc/49/86/93/1072498693.db2.gz DRAZNNXJZMAHSB-ZDUSSCGKSA-N 0 0 428.511 -0.150 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)CCCC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)O1 ZINC000540426997 1072498525 /nfs/dbraw/zinc/49/85/25/1072498525.db2.gz PEOZRZRZMDZNSB-NCOADZHNSA-N 0 0 440.541 -0.339 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)CCCC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)O1 ZINC000540426998 1072498492 /nfs/dbraw/zinc/49/84/92/1072498492.db2.gz PEOZRZRZMDZNSB-VQHPVUNQSA-N 0 0 440.541 -0.339 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)CCCC(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)O1 ZINC000540426999 1072498709 /nfs/dbraw/zinc/49/87/09/1072498709.db2.gz PEOZRZRZMDZNSB-YVSFHVDLSA-N 0 0 440.541 -0.339 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)CCCC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)O1 ZINC000540427000 1072498561 /nfs/dbraw/zinc/49/85/61/1072498561.db2.gz PEOZRZRZMDZNSB-ZYGGUILKSA-N 0 0 440.541 -0.339 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000540429461 1072498651 /nfs/dbraw/zinc/49/86/51/1072498651.db2.gz IDMMVQWXVKFSOK-UHFFFAOYSA-N 0 0 432.416 -0.664 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000540430770 1072497980 /nfs/dbraw/zinc/49/79/80/1072497980.db2.gz RUBJAUPHTHXOBA-GDBMZVCRSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000540430771 1072498624 /nfs/dbraw/zinc/49/86/24/1072498624.db2.gz RUBJAUPHTHXOBA-GOEBONIOSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000540430772 1072498711 /nfs/dbraw/zinc/49/87/11/1072498711.db2.gz RUBJAUPHTHXOBA-HOCLYGCPSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000540430774 1072498646 /nfs/dbraw/zinc/49/86/46/1072498646.db2.gz RUBJAUPHTHXOBA-ZBFHGGJFSA-N 0 0 441.506 -0.106 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)O1 ZINC000540433861 1072498923 /nfs/dbraw/zinc/49/89/23/1072498923.db2.gz ZSWCTNMLBFTQDB-CXAGYDPISA-N 0 0 440.522 -0.464 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)O1 ZINC000540433862 1072499093 /nfs/dbraw/zinc/49/90/93/1072499093.db2.gz ZSWCTNMLBFTQDB-DYVFJYSZSA-N 0 0 440.522 -0.464 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)O1 ZINC000540433863 1072499193 /nfs/dbraw/zinc/49/91/93/1072499193.db2.gz ZSWCTNMLBFTQDB-GUYCJALGSA-N 0 0 440.522 -0.464 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)O1 ZINC000540433864 1072499064 /nfs/dbraw/zinc/49/90/64/1072499064.db2.gz ZSWCTNMLBFTQDB-SUMWQHHRSA-N 0 0 440.522 -0.464 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000540435163 1072499005 /nfs/dbraw/zinc/49/90/05/1072499005.db2.gz FNCHZCFZFIJESG-UHFFFAOYSA-N 0 0 434.493 -0.046 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2-n2cccn2)CC1)N1CCOCC1 ZINC000540437644 1072498705 /nfs/dbraw/zinc/49/87/05/1072498705.db2.gz RRDAWHILDHETTA-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)CC1)N1CCOCC1 ZINC000540438677 1072498536 /nfs/dbraw/zinc/49/85/36/1072498536.db2.gz NFGVBEZOBPLNPR-UHFFFAOYSA-N 0 0 445.455 -0.652 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000540451972 1072499824 /nfs/dbraw/zinc/49/98/24/1072499824.db2.gz UAQYIETWKBRMNP-HNNXBMFYSA-N 0 0 432.524 -0.008 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000540451973 1072499858 /nfs/dbraw/zinc/49/98/58/1072499858.db2.gz UAQYIETWKBRMNP-OAHLLOKOSA-N 0 0 432.524 -0.008 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000540452125 1072499914 /nfs/dbraw/zinc/49/99/14/1072499914.db2.gz TWIJUPVDYCFWLC-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCc1c(C(=O)N(CCC(F)(F)F)C[C@H](O)CO)cnc2c1c(=O)n(C)c(=O)n2C ZINC000540453446 1072499703 /nfs/dbraw/zinc/49/97/03/1072499703.db2.gz CYOAGEOWPNVYDQ-JTQLQIEISA-N 0 0 432.399 -0.058 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)c1cccc(C(=O)N(C)CC(=O)N2CCOCC2)c1 ZINC000540453581 1072499688 /nfs/dbraw/zinc/49/96/88/1072499688.db2.gz WXUNQLBGTOWZKF-UHFFFAOYSA-N 0 0 446.504 -0.452 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N(CCC(F)(F)F)C[C@H](O)CO ZINC000540454524 1072499957 /nfs/dbraw/zinc/49/99/57/1072499957.db2.gz IXCMWSGYZSHKGA-NSHDSACASA-N 0 0 429.417 -0.217 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(CCCO)CC2)s1 ZINC000540464459 1072499144 /nfs/dbraw/zinc/49/91/44/1072499144.db2.gz LNPQGZCOVIFEHT-UHFFFAOYSA-N 0 0 425.598 -0.081 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000540470729 1072500839 /nfs/dbraw/zinc/50/08/39/1072500839.db2.gz FDWSLDCMGSCHMA-LLVKDONJSA-N 0 0 449.507 -0.546 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000540470730 1072500854 /nfs/dbraw/zinc/50/08/54/1072500854.db2.gz FDWSLDCMGSCHMA-NSHDSACASA-N 0 0 449.507 -0.546 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000540470860 1072500865 /nfs/dbraw/zinc/50/08/65/1072500865.db2.gz JVBKTEOLQDZTGG-HNNXBMFYSA-N 0 0 449.551 -0.081 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000540470906 1072500694 /nfs/dbraw/zinc/50/06/94/1072500694.db2.gz KYODNXMVFZVBHG-LBPRGKRZSA-N 0 0 441.466 -0.984 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000540471391 1072500707 /nfs/dbraw/zinc/50/07/07/1072500707.db2.gz FYRPHKZGJJHQBE-UHFFFAOYSA-N 0 0 436.432 -0.335 20 0 IBADRN CC1(C)CN(CC(F)(F)F)CCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000540471591 1072501358 /nfs/dbraw/zinc/50/13/58/1072501358.db2.gz MXUGSSWBSAUXQD-UHFFFAOYSA-N 0 0 425.433 -0.123 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000540472112 1072501342 /nfs/dbraw/zinc/50/13/42/1072501342.db2.gz SPEDRVZQGJLTEE-AWEZNQCLSA-N 0 0 425.463 -0.105 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000540472362 1072500742 /nfs/dbraw/zinc/50/07/42/1072500742.db2.gz ZDTDVLPLTJIUBN-CYBMUJFWSA-N 0 0 435.524 -0.178 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000540472364 1072501499 /nfs/dbraw/zinc/50/14/99/1072501499.db2.gz ZDTDVLPLTJIUBN-ZDUSSCGKSA-N 0 0 435.524 -0.178 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000540472501 1072501236 /nfs/dbraw/zinc/50/12/36/1072501236.db2.gz ZEDZNTUKSDEGNP-ZDUSSCGKSA-N 0 0 426.495 -0.141 20 0 IBADRN O=C(CO)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC000540472572 1072501396 /nfs/dbraw/zinc/50/13/96/1072501396.db2.gz HTMUADFFMCVQNE-UHFFFAOYSA-N 0 0 431.536 -0.314 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000540473337 1072501204 /nfs/dbraw/zinc/50/12/04/1072501204.db2.gz TZLPJTWGFPLXJZ-GFCCVEGCSA-N 0 0 425.554 -0.326 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000540473338 1072501301 /nfs/dbraw/zinc/50/13/01/1072501301.db2.gz TZLPJTWGFPLXJZ-LBPRGKRZSA-N 0 0 425.554 -0.326 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1S(=O)(=O)N1CCN(C(=O)CO)CC1 ZINC000540473739 1072501227 /nfs/dbraw/zinc/50/12/27/1072501227.db2.gz PULCEIQXOMJUMF-UHFFFAOYSA-N 0 0 445.563 -0.005 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCN(C(=O)CO)CC3)cc2n(C)c1=O ZINC000540473811 1072501370 /nfs/dbraw/zinc/50/13/70/1072501370.db2.gz UBSMPASJFIUTBJ-UHFFFAOYSA-N 0 0 447.311 -0.535 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)cc1 ZINC000540473864 1072501508 /nfs/dbraw/zinc/50/15/08/1072501508.db2.gz WEWCEAGCPHLXPI-AWEZNQCLSA-N 0 0 435.524 -0.423 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000540474237 1072501386 /nfs/dbraw/zinc/50/13/86/1072501386.db2.gz AUKZUXMURKAIJX-UHFFFAOYSA-N 0 0 435.462 -0.030 20 0 IBADRN CC(C)Oc1ccc(S(C)(=O)=O)cc1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000540474450 1072499931 /nfs/dbraw/zinc/49/99/31/1072499931.db2.gz SMBTZLFSCPTTPC-UHFFFAOYSA-N 0 0 433.552 -0.076 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000540479402 1072500213 /nfs/dbraw/zinc/50/02/13/1072500213.db2.gz GXAXWKYCQRQBBV-JTQLQIEISA-N 0 0 440.931 -0.602 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000540479403 1072500311 /nfs/dbraw/zinc/50/03/11/1072500311.db2.gz GXAXWKYCQRQBBV-SNVBAGLBSA-N 0 0 440.931 -0.602 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000540479500 1072500183 /nfs/dbraw/zinc/50/01/83/1072500183.db2.gz LUMVEVFZGYSWSN-LLVKDONJSA-N 0 0 449.507 -0.546 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000540479501 1072500271 /nfs/dbraw/zinc/50/02/71/1072500271.db2.gz LUMVEVFZGYSWSN-NSHDSACASA-N 0 0 449.507 -0.546 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@@H](C)C1 ZINC000540479926 1072500230 /nfs/dbraw/zinc/50/02/30/1072500230.db2.gz DKVGYBISNDMITN-GXTWGEPZSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@@H](C)C1 ZINC000540479938 1072500490 /nfs/dbraw/zinc/50/04/90/1072500490.db2.gz DKVGYBISNDMITN-JSGCOSHPSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@H](C)C1 ZINC000540479941 1072500435 /nfs/dbraw/zinc/50/04/35/1072500435.db2.gz DKVGYBISNDMITN-OCCSQVGLSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@H](C)C1 ZINC000540479946 1072500397 /nfs/dbraw/zinc/50/03/97/1072500397.db2.gz DKVGYBISNDMITN-TZMCWYRMSA-N 0 0 429.543 -0.200 20 0 IBADRN Cn1cc(S(=O)(=O)NCCNC(=O)c2cnccn2)cc1C(=O)NCC(F)(F)F ZINC000540483727 1072500931 /nfs/dbraw/zinc/50/09/31/1072500931.db2.gz SQJAZCKDOMKLHT-UHFFFAOYSA-N 0 0 434.400 -0.185 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000540484766 1072500724 /nfs/dbraw/zinc/50/07/24/1072500724.db2.gz CXSSOQHDPHBBBC-UHFFFAOYSA-N 0 0 446.473 -0.027 20 0 IBADRN C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000540485263 1072500947 /nfs/dbraw/zinc/50/09/47/1072500947.db2.gz DAIBRCQIYURDEW-JTQLQIEISA-N 0 0 426.417 -0.469 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000540485266 1072500756 /nfs/dbraw/zinc/50/07/56/1072500756.db2.gz DAIBRCQIYURDEW-SNVBAGLBSA-N 0 0 426.417 -0.469 20 0 IBADRN Cn1cc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)cc1C(=O)NCC(F)(F)F ZINC000540485305 1072500821 /nfs/dbraw/zinc/50/08/21/1072500821.db2.gz ZWEBYMPBIVIOJI-UHFFFAOYSA-N 0 0 432.446 -0.323 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000540485778 1072501472 /nfs/dbraw/zinc/50/14/72/1072501472.db2.gz PUUOICMXGNTBRR-UHFFFAOYSA-N 0 0 439.460 -0.240 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000540486058 1072500163 /nfs/dbraw/zinc/50/01/63/1072500163.db2.gz VARDYJDQGFSGMN-UHFFFAOYSA-N 0 0 428.389 -0.644 20 0 IBADRN COC(=O)CN(CC(=O)OC)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000540486285 1072500201 /nfs/dbraw/zinc/50/02/01/1072500201.db2.gz NVBSUPAPOGKXCE-UHFFFAOYSA-N 0 0 429.373 -0.346 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)C1 ZINC000540486898 1072501461 /nfs/dbraw/zinc/50/14/61/1072501461.db2.gz XUKIVYJMEWQAHL-SECBINFHSA-N 0 0 432.446 -0.371 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)C1 ZINC000540486899 1072501315 /nfs/dbraw/zinc/50/13/15/1072501315.db2.gz XUKIVYJMEWQAHL-VIFPVBQESA-N 0 0 432.446 -0.371 20 0 IBADRN CN(C)c1nccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000540500188 1072502340 /nfs/dbraw/zinc/50/23/40/1072502340.db2.gz HEKCSJOWYSUZLI-UHFFFAOYSA-N 0 0 427.531 -0.617 20 0 IBADRN Cc1sc2ncc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)c(=O)n2c1C ZINC000540507463 1072502371 /nfs/dbraw/zinc/50/23/71/1072502371.db2.gz AFGKSMRESKWCST-UHFFFAOYSA-N 0 0 431.474 -0.194 20 0 IBADRN Cn1cc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)cc1C(=O)NCC(F)(F)F ZINC000540517391 1072502773 /nfs/dbraw/zinc/50/27/73/1072502773.db2.gz OQWZEPQMIGOSOF-UHFFFAOYSA-N 0 0 439.460 -0.240 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCc2ccnc(N(C)C)n2)s1 ZINC000540517852 1072504379 /nfs/dbraw/zinc/50/43/79/1072504379.db2.gz LQBLZKKILGCKDD-UHFFFAOYSA-N 0 0 426.524 -0.165 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C)CCNC(=O)N(C)C)c2)CC1 ZINC000540517934 1072504372 /nfs/dbraw/zinc/50/43/72/1072504372.db2.gz ZPQGOTQOZRYUQQ-UHFFFAOYSA-N 0 0 439.538 -0.117 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)cc1C(=O)NCC(F)(F)F ZINC000540518437 1072502709 /nfs/dbraw/zinc/50/27/09/1072502709.db2.gz ZAZPIIDEPRWNFT-HNNXBMFYSA-N 0 0 442.460 -0.321 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)cc1C(=O)NCC(F)(F)F ZINC000540518440 1072503170 /nfs/dbraw/zinc/50/31/70/1072503170.db2.gz ZAZPIIDEPRWNFT-OAHLLOKOSA-N 0 0 442.460 -0.321 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NCCS(N)(=O)=O)c1 ZINC000540528255 1072503608 /nfs/dbraw/zinc/50/36/08/1072503608.db2.gz JOTYHTUMNPXQOS-UHFFFAOYSA-N 0 0 435.528 -0.817 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NCCNC(=O)c3ccccc3)ccn2)CC1 ZINC000540538455 1072504324 /nfs/dbraw/zinc/50/43/24/1072504324.db2.gz SKXCKCOJIZTRGV-UHFFFAOYSA-N 0 0 438.488 -0.046 20 0 IBADRN COCC[C@H](NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(N)=O ZINC000540541105 1072504398 /nfs/dbraw/zinc/50/43/98/1072504398.db2.gz INFDQYXCLJBCEZ-AWEZNQCLSA-N 0 0 429.495 -0.087 20 0 IBADRN COCC[C@@H](NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(N)=O ZINC000540541108 1072504763 /nfs/dbraw/zinc/50/47/63/1072504763.db2.gz INFDQYXCLJBCEZ-CQSZACIVSA-N 0 0 429.495 -0.087 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)Nc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000540571770 1072505880 /nfs/dbraw/zinc/50/58/80/1072505880.db2.gz TWZSITFGMUBUFY-UHFFFAOYSA-N 0 0 434.474 -0.233 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c1OC ZINC000540571795 1072505847 /nfs/dbraw/zinc/50/58/47/1072505847.db2.gz GPHYKMJKSVDNHG-UHFFFAOYSA-N 0 0 437.478 -0.468 20 0 IBADRN CN(CCCOc1cccc(S(C)(=O)=O)c1)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000540572258 1072505913 /nfs/dbraw/zinc/50/59/13/1072505913.db2.gz WGUTXWHIJNTFAH-UHFFFAOYSA-N 0 0 430.508 -0.139 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000540575782 1072506485 /nfs/dbraw/zinc/50/64/85/1072506485.db2.gz PBTMSXFHKAOCLM-UHFFFAOYSA-N 0 0 446.552 -0.023 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NCCN3CCOCC3(C)C)ccn2)CC1 ZINC000540576064 1072506607 /nfs/dbraw/zinc/50/66/07/1072506607.db2.gz SNVSJTIIRQIUPE-UHFFFAOYSA-N 0 0 432.525 -0.365 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)(C)C)C3)ccn2)CC1 ZINC000540576199 1072506311 /nfs/dbraw/zinc/50/63/11/1072506311.db2.gz XNYVXUIUQYRUKJ-HNNXBMFYSA-N 0 0 430.509 -0.077 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)(C)C)C3)ccn2)CC1 ZINC000540576200 1072506516 /nfs/dbraw/zinc/50/65/16/1072506516.db2.gz XNYVXUIUQYRUKJ-OAHLLOKOSA-N 0 0 430.509 -0.077 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CCN(c4cccnn4)CC3)ccn2)CC1 ZINC000540577530 1072506735 /nfs/dbraw/zinc/50/67/35/1072506735.db2.gz GFGAQRBRFKZSQK-UHFFFAOYSA-N 0 0 438.492 -0.454 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccnc(C(=O)N4CCN(C)CC4)c3)CC2)cn1 ZINC000540578166 1072506425 /nfs/dbraw/zinc/50/64/25/1072506425.db2.gz IBQGDVWZNFUGPA-UHFFFAOYSA-N 0 0 440.508 -0.510 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1=O ZINC000540580164 1072506680 /nfs/dbraw/zinc/50/66/80/1072506680.db2.gz ZCQFNGWOEYIKCN-FRFSOERESA-N 0 0 428.493 -0.467 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1=O ZINC000540580165 1072506773 /nfs/dbraw/zinc/50/67/73/1072506773.db2.gz ZCQFNGWOEYIKCN-KMFMINBZSA-N 0 0 428.493 -0.467 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1=O ZINC000540580166 1072506663 /nfs/dbraw/zinc/50/66/63/1072506663.db2.gz ZCQFNGWOEYIKCN-UKPHBRMFSA-N 0 0 428.493 -0.467 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1=O ZINC000540580167 1072506267 /nfs/dbraw/zinc/50/62/67/1072506267.db2.gz ZCQFNGWOEYIKCN-UNEWFSDZSA-N 0 0 428.493 -0.467 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000540581230 1072506412 /nfs/dbraw/zinc/50/64/12/1072506412.db2.gz ARUSDBCEFCUIIY-UHFFFAOYSA-N 0 0 448.505 -0.182 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@@H]1N1CCOCC1 ZINC000540581770 1072507047 /nfs/dbraw/zinc/50/70/47/1072507047.db2.gz KUVCOXODVMSDLF-APWZRJJASA-N 0 0 444.536 -0.413 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@@H]1N1CCOCC1 ZINC000540581775 1072507294 /nfs/dbraw/zinc/50/72/94/1072507294.db2.gz KUVCOXODVMSDLF-LPHOPBHVSA-N 0 0 444.536 -0.413 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@H]1N1CCOCC1 ZINC000540581777 1072507185 /nfs/dbraw/zinc/50/71/85/1072507185.db2.gz KUVCOXODVMSDLF-QFBILLFUSA-N 0 0 444.536 -0.413 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@H]1N1CCOCC1 ZINC000540581778 1072507261 /nfs/dbraw/zinc/50/72/61/1072507261.db2.gz KUVCOXODVMSDLF-VQIMIIECSA-N 0 0 444.536 -0.413 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@H]1C ZINC000540582451 1072506629 /nfs/dbraw/zinc/50/66/29/1072506629.db2.gz TWKMQANJQWLKOB-CVEARBPZSA-N 0 0 432.525 -0.679 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@@H]1C ZINC000540582452 1072506447 /nfs/dbraw/zinc/50/64/47/1072506447.db2.gz TWKMQANJQWLKOB-HOTGVXAUSA-N 0 0 432.525 -0.679 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@H]1C ZINC000540582453 1072506751 /nfs/dbraw/zinc/50/67/51/1072506751.db2.gz TWKMQANJQWLKOB-HZPDHXFCSA-N 0 0 432.525 -0.679 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C[C@@H]1C ZINC000540582454 1072507110 /nfs/dbraw/zinc/50/71/10/1072507110.db2.gz TWKMQANJQWLKOB-JKSUJKDBSA-N 0 0 432.525 -0.679 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCN1C[C@@H](C)O ZINC000540582525 1072506700 /nfs/dbraw/zinc/50/67/00/1072506700.db2.gz VBXODJGSOIZNNH-AEFFLSMTSA-N 0 0 446.552 -0.289 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCN1C[C@H](C)O ZINC000540582527 1072506280 /nfs/dbraw/zinc/50/62/80/1072506280.db2.gz VBXODJGSOIZNNH-FUHWJXTLSA-N 0 0 446.552 -0.289 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCN1C[C@@H](C)O ZINC000540582530 1072506362 /nfs/dbraw/zinc/50/63/62/1072506362.db2.gz VBXODJGSOIZNNH-SJLPKXTDSA-N 0 0 446.552 -0.289 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCN1C[C@H](C)O ZINC000540582531 1072506544 /nfs/dbraw/zinc/50/65/44/1072506544.db2.gz VBXODJGSOIZNNH-WMZOPIPTSA-N 0 0 446.552 -0.289 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000540583132 1072507239 /nfs/dbraw/zinc/50/72/39/1072507239.db2.gz DVNZVSMHTJGAAY-UHFFFAOYSA-N 0 0 430.509 -0.266 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cccc1N(C)C ZINC000540583848 1072507030 /nfs/dbraw/zinc/50/70/30/1072507030.db2.gz KJKOMDYMSJQLAZ-UHFFFAOYSA-N 0 0 429.477 -0.181 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NC[C@H](O)COc3ccccc3)ccn2)CC1 ZINC000540586888 1072508550 /nfs/dbraw/zinc/50/85/50/1072508550.db2.gz ZDTSYMPHSWSHDM-KRWDZBQOSA-N 0 0 441.488 -0.036 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)NC[C@@H](O)COc3ccccc3)ccn2)CC1 ZINC000540586895 1072508630 /nfs/dbraw/zinc/50/86/30/1072508630.db2.gz ZDTSYMPHSWSHDM-QGZVFWFLSA-N 0 0 441.488 -0.036 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC000540595782 1072507483 /nfs/dbraw/zinc/50/74/83/1072507483.db2.gz FDTCJKJEGUXJJU-INIZCTEOSA-N 0 0 445.563 -0.061 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC000540595783 1072507629 /nfs/dbraw/zinc/50/76/29/1072507629.db2.gz FDTCJKJEGUXJJU-MRXNPFEDSA-N 0 0 445.563 -0.061 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)c2ccc(S(N)(=O)=O)cn2)ccc1OC ZINC000540596308 1072507581 /nfs/dbraw/zinc/50/75/81/1072507581.db2.gz RUPXOLLCEFJXQL-UHFFFAOYSA-N 0 0 444.491 -0.085 20 0 IBADRN COc1ccc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1OCCO ZINC000540597665 1072507550 /nfs/dbraw/zinc/50/75/50/1072507550.db2.gz RUFODDKICXBPJZ-UHFFFAOYSA-N 0 0 443.522 -0.163 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000540598050 1072508154 /nfs/dbraw/zinc/50/81/54/1072508154.db2.gz GAWAGCOYPBXEKF-HNNXBMFYSA-N 0 0 438.550 -0.276 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000540598051 1072508229 /nfs/dbraw/zinc/50/82/29/1072508229.db2.gz GAWAGCOYPBXEKF-OAHLLOKOSA-N 0 0 438.550 -0.276 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000540598183 1072507653 /nfs/dbraw/zinc/50/76/53/1072507653.db2.gz QQJLBFZADXDLDM-UHFFFAOYSA-N 0 0 429.480 -0.382 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC000540598413 1072507731 /nfs/dbraw/zinc/50/77/31/1072507731.db2.gz IYUNSQNBEQCNOW-UHFFFAOYSA-N 0 0 443.345 -0.341 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)Cn2cc(S(N)(=O)=O)cn2)s1 ZINC000540598483 1072507566 /nfs/dbraw/zinc/50/75/66/1072507566.db2.gz MRYZKZYCOADTPX-UHFFFAOYSA-N 0 0 435.553 -0.061 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000540598656 1072507979 /nfs/dbraw/zinc/50/79/79/1072507979.db2.gz IVZLKAGPPURYJB-GASCZTMLSA-N 0 0 426.543 -0.821 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000540598657 1072508183 /nfs/dbraw/zinc/50/81/83/1072508183.db2.gz IVZLKAGPPURYJB-GJZGRUSLSA-N 0 0 426.543 -0.821 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000540598658 1072508169 /nfs/dbraw/zinc/50/81/69/1072508169.db2.gz IVZLKAGPPURYJB-HUUCEWRRSA-N 0 0 426.543 -0.821 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1 ZINC000540599250 1072508113 /nfs/dbraw/zinc/50/81/13/1072508113.db2.gz WDCCGODPRJCKHC-UHFFFAOYSA-N 0 0 429.524 -0.123 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000540599283 1072508196 /nfs/dbraw/zinc/50/81/96/1072508196.db2.gz YKIGQGBXDVQWRI-INIZCTEOSA-N 0 0 440.566 -0.028 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000540599285 1072508018 /nfs/dbraw/zinc/50/80/18/1072508018.db2.gz YKIGQGBXDVQWRI-MRXNPFEDSA-N 0 0 440.566 -0.028 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CC1 ZINC000540599286 1072508220 /nfs/dbraw/zinc/50/82/20/1072508220.db2.gz YMGNHOXIRDAAAI-UHFFFAOYSA-N 0 0 426.314 -0.186 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)oc2C)CC1 ZINC000540599290 1072508248 /nfs/dbraw/zinc/50/82/48/1072508248.db2.gz YUMYVXKYHWZJFL-UHFFFAOYSA-N 0 0 432.480 -0.013 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C[C@H](C)O1 ZINC000540599678 1072508460 /nfs/dbraw/zinc/50/84/60/1072508460.db2.gz NUYOLEXTFCDAGO-KBPBESRZSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C[C@H](C)O1 ZINC000540599683 1072508695 /nfs/dbraw/zinc/50/86/95/1072508695.db2.gz NUYOLEXTFCDAGO-OKILXGFUSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C[C@@H](C)O1 ZINC000540599686 1072508513 /nfs/dbraw/zinc/50/85/13/1072508513.db2.gz NUYOLEXTFCDAGO-ZIAGYGMSSA-N 0 0 446.551 -0.554 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c(OC)c1 ZINC000540599865 1072508410 /nfs/dbraw/zinc/50/84/10/1072508410.db2.gz QUQJUXODSWUNRM-UHFFFAOYSA-N 0 0 437.478 -0.468 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000540600017 1072508599 /nfs/dbraw/zinc/50/85/99/1072508599.db2.gz AXGDMHYUEZSHQS-AWEZNQCLSA-N 0 0 433.552 -0.299 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000540600018 1072508679 /nfs/dbraw/zinc/50/86/79/1072508679.db2.gz AXGDMHYUEZSHQS-CQSZACIVSA-N 0 0 433.552 -0.299 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCC(=O)N2CCN(c3ccc(F)cc3)CC2)c1 ZINC000540600033 1072508088 /nfs/dbraw/zinc/50/80/88/1072508088.db2.gz BYGSATKNZVTFBY-UHFFFAOYSA-N 0 0 438.485 -0.475 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000540600320 1072508105 /nfs/dbraw/zinc/50/81/05/1072508105.db2.gz KPDDBCZVQJKEOU-CYBMUJFWSA-N 0 0 438.485 -0.159 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000540600324 1072508001 /nfs/dbraw/zinc/50/80/01/1072508001.db2.gz KPDDBCZVQJKEOU-ZDUSSCGKSA-N 0 0 438.485 -0.159 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC000540600433 1072507953 /nfs/dbraw/zinc/50/79/53/1072507953.db2.gz QXQNTQKGNIVSDW-JTQLQIEISA-N 0 0 434.268 -0.400 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC000540600434 1072508208 /nfs/dbraw/zinc/50/82/08/1072508208.db2.gz QXQNTQKGNIVSDW-SNVBAGLBSA-N 0 0 434.268 -0.400 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)c2ccc(S(N)(=O)=O)cn2)cc1 ZINC000540600536 1072507967 /nfs/dbraw/zinc/50/79/67/1072507967.db2.gz RYVNLKQFLAAJFP-UHFFFAOYSA-N 0 0 428.492 -0.416 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(C(=O)Cc3c[nH]c4ccccc34)CC2)c1 ZINC000540600659 1072508528 /nfs/dbraw/zinc/50/85/28/1072508528.db2.gz VDTIZDMHCOXHBB-UHFFFAOYSA-N 0 0 430.490 -0.075 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCC(NS(=O)(=O)c3ccc(F)cc3)CC2)c1 ZINC000540601025 1072508762 /nfs/dbraw/zinc/50/87/62/1072508762.db2.gz NIGVFRRTJWXQSU-UHFFFAOYSA-N 0 0 445.498 -0.361 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c1 ZINC000540606011 1072509316 /nfs/dbraw/zinc/50/93/16/1072509316.db2.gz JZHZBXAPBPSFTL-UHFFFAOYSA-N 0 0 429.480 -0.810 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC000540611160 1072509276 /nfs/dbraw/zinc/50/92/76/1072509276.db2.gz CAEUZTKWDPMUST-UHFFFAOYSA-N 0 0 443.522 -0.753 20 0 IBADRN CN(C(=O)c1ccc(S(N)(=O)=O)nc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000540612046 1072509092 /nfs/dbraw/zinc/50/90/92/1072509092.db2.gz GZJNEWNHXKIODJ-UHFFFAOYSA-N 0 0 438.554 -0.961 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCCN2CCS(=O)(=O)CC2)cc1Br ZINC000540612187 1072509265 /nfs/dbraw/zinc/50/92/65/1072509265.db2.gz TYZINEMPGOGGEW-UHFFFAOYSA-N 0 0 440.341 -0.443 20 0 IBADRN COc1ccc(CN(C(=O)Cn2cc(S(N)(=O)=O)cn2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000540612235 1072509218 /nfs/dbraw/zinc/50/92/18/1072509218.db2.gz IDNOWBYASJZFGC-AWEZNQCLSA-N 0 0 442.519 -0.245 20 0 IBADRN COc1ccc(CN(C(=O)Cn2cc(S(N)(=O)=O)cn2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000540612236 1072509257 /nfs/dbraw/zinc/50/92/57/1072509257.db2.gz IDNOWBYASJZFGC-CQSZACIVSA-N 0 0 442.519 -0.245 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(Cc3noc(-c4cccs4)n3)CC2)c1 ZINC000540612353 1072509182 /nfs/dbraw/zinc/50/91/82/1072509182.db2.gz KFRSSLXOYQUMRT-UHFFFAOYSA-N 0 0 437.507 -0.014 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2ccc(S(N)(=O)=O)cn2)CC1 ZINC000540613192 1072509553 /nfs/dbraw/zinc/50/95/53/1072509553.db2.gz DYOLVPFQFLJLIN-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)c1 ZINC000540613426 1072509499 /nfs/dbraw/zinc/50/94/99/1072509499.db2.gz UNHPKVBAHBKHQV-UHFFFAOYSA-N 0 0 436.494 -0.769 20 0 IBADRN CN(CCCOc1ccc(S(C)(=O)=O)cc1)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000540614076 1072509482 /nfs/dbraw/zinc/50/94/82/1072509482.db2.gz BIYSDGVKEDAWQU-UHFFFAOYSA-N 0 0 430.508 -0.139 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1 ZINC000540614951 1072509568 /nfs/dbraw/zinc/50/95/68/1072509568.db2.gz MHWHEEDFGYURNU-UHFFFAOYSA-N 0 0 429.524 -0.124 20 0 IBADRN COCCCN(C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000540615108 1072509665 /nfs/dbraw/zinc/50/96/65/1072509665.db2.gz IPAWHYNSNUHPLG-INIZCTEOSA-N 0 0 448.563 -0.035 20 0 IBADRN COCCCN(C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000540615113 1072509589 /nfs/dbraw/zinc/50/95/89/1072509589.db2.gz IPAWHYNSNUHPLG-MRXNPFEDSA-N 0 0 448.563 -0.035 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCNC(=O)c2cccc(Br)c2)c1 ZINC000540616617 1072509643 /nfs/dbraw/zinc/50/96/43/1072509643.db2.gz DVRUGJVCIGYVEN-UHFFFAOYSA-N 0 0 430.284 -0.161 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)c1 ZINC000540616720 1072509467 /nfs/dbraw/zinc/50/94/67/1072509467.db2.gz IHWCMXDBWHMLKR-UHFFFAOYSA-N 0 0 433.494 -0.377 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cc(S(N)(=O)=O)oc3C)CC2)cn1C ZINC000540618935 1072509611 /nfs/dbraw/zinc/50/96/11/1072509611.db2.gz IINSXMVPECAILG-UHFFFAOYSA-N 0 0 431.496 -0.576 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000540619644 1072510116 /nfs/dbraw/zinc/51/01/16/1072510116.db2.gz LFNUDFMXQXEJSQ-INIZCTEOSA-N 0 0 440.913 -0.445 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000540619645 1072509957 /nfs/dbraw/zinc/50/99/57/1072509957.db2.gz LFNUDFMXQXEJSQ-MRXNPFEDSA-N 0 0 440.913 -0.445 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000540620037 1072509679 /nfs/dbraw/zinc/50/96/79/1072509679.db2.gz YVUKMLZYOKBDOX-UHFFFAOYSA-N 0 0 436.494 -0.678 20 0 IBADRN Cc1cc(C)cc(OCC(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c1 ZINC000540620149 1072509538 /nfs/dbraw/zinc/50/95/38/1072509538.db2.gz BDPZHEVXMIFPGE-UHFFFAOYSA-N 0 0 435.506 -0.103 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)nc1 ZINC000540620435 1072509628 /nfs/dbraw/zinc/50/96/28/1072509628.db2.gz VJWGIVGDSAWOHA-GFCCVEGCSA-N 0 0 430.442 -0.134 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)nc1 ZINC000540620436 1072509689 /nfs/dbraw/zinc/50/96/89/1072509689.db2.gz VJWGIVGDSAWOHA-LBPRGKRZSA-N 0 0 430.442 -0.134 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)c3ccc(S(N)(=O)=O)cn3)C2)cc1 ZINC000540621654 1072509246 /nfs/dbraw/zinc/50/92/46/1072509246.db2.gz DAPAZGYMPGVDRG-UHFFFAOYSA-N 0 0 426.476 -0.460 20 0 IBADRN O=C(Cn1cc(NC(=O)CCCNS(=O)(=O)c2ccc(F)cc2)cn1)NCCO ZINC000540622016 1072509300 /nfs/dbraw/zinc/50/93/00/1072509300.db2.gz AHCXOKYORHOJIC-UHFFFAOYSA-N 0 0 427.458 -0.172 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccc2O)c1 ZINC000540622843 1072511225 /nfs/dbraw/zinc/51/12/25/1072511225.db2.gz RJNYMFHKZBUALI-UHFFFAOYSA-N 0 0 429.480 -0.341 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)c1 ZINC000540624908 1072510067 /nfs/dbraw/zinc/51/00/67/1072510067.db2.gz CJBRFXALYKGZEP-UHFFFAOYSA-N 0 0 426.543 -0.629 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)ccn2)CC1 ZINC000540625096 1072510000 /nfs/dbraw/zinc/51/00/00/1072510000.db2.gz OZUIOICQCUEZRZ-UHFFFAOYSA-N 0 0 439.476 -0.540 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CC1 ZINC000540625163 1072509914 /nfs/dbraw/zinc/50/99/14/1072509914.db2.gz IOCFJHHZSSWLAL-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1 ZINC000540625583 1072510028 /nfs/dbraw/zinc/51/00/28/1072510028.db2.gz SJDLKDPAMHDUKR-SECBINFHSA-N 0 0 426.314 -0.140 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1 ZINC000540625584 1072510059 /nfs/dbraw/zinc/51/00/59/1072510059.db2.gz SJDLKDPAMHDUKR-VIFPVBQESA-N 0 0 426.314 -0.140 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)s1 ZINC000540625650 1072510097 /nfs/dbraw/zinc/51/00/97/1072510097.db2.gz CFSGTSZXOLJMQZ-UHFFFAOYSA-N 0 0 428.496 -0.488 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000540625787 1072509942 /nfs/dbraw/zinc/50/99/42/1072509942.db2.gz FYWLDNOADBNMRA-UHFFFAOYSA-N 0 0 427.508 -0.349 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)Cn3cc(S(N)(=O)=O)cn3)cn2)C[C@H](C)O1 ZINC000540626594 1072509972 /nfs/dbraw/zinc/50/99/72/1072509972.db2.gz NOOGGKZZNZFMGN-RYUDHWBXSA-N 0 0 425.471 -0.999 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Cn3cc(S(N)(=O)=O)cn3)cn2)C[C@H](C)O1 ZINC000540626600 1072509986 /nfs/dbraw/zinc/50/99/86/1072509986.db2.gz NOOGGKZZNZFMGN-TXEJJXNPSA-N 0 0 425.471 -0.999 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Cn3cc(S(N)(=O)=O)cn3)cn2)C[C@@H](C)O1 ZINC000540626601 1072510044 /nfs/dbraw/zinc/51/00/44/1072510044.db2.gz NOOGGKZZNZFMGN-VXGBXAGGSA-N 0 0 425.471 -0.999 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000540627357 1072510084 /nfs/dbraw/zinc/51/00/84/1072510084.db2.gz NSJNDDXVUCTLCB-UHFFFAOYSA-N 0 0 445.563 -0.014 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000540627426 1072509898 /nfs/dbraw/zinc/50/98/98/1072509898.db2.gz SFAYNESAPRSJEI-UHFFFAOYSA-N 0 0 426.524 -0.305 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)c2ccc(S(N)(=O)=O)cn2)c1 ZINC000540627658 1072510710 /nfs/dbraw/zinc/51/07/10/1072510710.db2.gz CSQBNHKBEKHVTQ-UHFFFAOYSA-N 0 0 433.450 -0.006 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)Cn3cc(S(N)(=O)=O)cn3)cc2)CC1 ZINC000540627728 1072510482 /nfs/dbraw/zinc/51/04/82/1072510482.db2.gz HZSIZRIRDKTQEH-UHFFFAOYSA-N 0 0 434.522 -0.124 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)Cn3cc(S(N)(=O)=O)cn3)C2=O)c1 ZINC000540627778 1072510663 /nfs/dbraw/zinc/51/06/63/1072510663.db2.gz JWLPMISJNSBDMX-INIZCTEOSA-N 0 0 437.478 -0.188 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)Cn3cc(S(N)(=O)=O)cn3)C2=O)c1 ZINC000540627779 1072510673 /nfs/dbraw/zinc/51/06/73/1072510673.db2.gz JWLPMISJNSBDMX-MRXNPFEDSA-N 0 0 437.478 -0.188 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000540627900 1072510436 /nfs/dbraw/zinc/51/04/36/1072510436.db2.gz NOOPPAWPOMBUQT-GDBMZVCRSA-N 0 0 433.552 -0.253 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000540627901 1072510498 /nfs/dbraw/zinc/51/04/98/1072510498.db2.gz NOOPPAWPOMBUQT-GOEBONIOSA-N 0 0 433.552 -0.253 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000540627902 1072510629 /nfs/dbraw/zinc/51/06/29/1072510629.db2.gz NOOPPAWPOMBUQT-HOCLYGCPSA-N 0 0 433.552 -0.253 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000540627903 1072510653 /nfs/dbraw/zinc/51/06/53/1072510653.db2.gz NOOPPAWPOMBUQT-ZBFHGGJFSA-N 0 0 433.552 -0.253 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000540628555 1072509874 /nfs/dbraw/zinc/50/98/74/1072509874.db2.gz QXCWAFVKZRTGLD-UHFFFAOYSA-N 0 0 446.507 -0.863 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nc1 ZINC000540629006 1072510456 /nfs/dbraw/zinc/51/04/56/1072510456.db2.gz QTWZIXGTLIWFBC-AWEZNQCLSA-N 0 0 447.521 -0.246 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nc1 ZINC000540629007 1072510347 /nfs/dbraw/zinc/51/03/47/1072510347.db2.gz QTWZIXGTLIWFBC-CQSZACIVSA-N 0 0 447.521 -0.246 20 0 IBADRN C[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CCN1S(C)(=O)=O ZINC000540633480 1072510693 /nfs/dbraw/zinc/51/06/93/1072510693.db2.gz CPXQVBPBWBRRPY-AWEZNQCLSA-N 0 0 430.552 -0.025 20 0 IBADRN COc1ccc(NC(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000540643982 1072511214 /nfs/dbraw/zinc/51/12/14/1072511214.db2.gz YSUIVPMCMZQJNE-UHFFFAOYSA-N 0 0 442.494 -0.304 20 0 IBADRN CN(CCNC(=O)c1ccc(S(N)(=O)=O)cc1)C(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000540651413 1072511191 /nfs/dbraw/zinc/51/11/91/1072511191.db2.gz ABAZGLJLVRYXGR-UHFFFAOYSA-N 0 0 440.503 -0.517 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)[N-]c2ccc(S(=O)(=O)NCC[NH+](C)C)cc2)cn1 ZINC000540653146 1072511163 /nfs/dbraw/zinc/51/11/63/1072511163.db2.gz IPSYUVDPOLXNLZ-UHFFFAOYSA-N 0 0 445.523 -0.303 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)Cn2cc(S(N)(=O)=O)cn2)C1=O ZINC000540653822 1072511262 /nfs/dbraw/zinc/51/12/62/1072511262.db2.gz OMBGRLDBRWSRBV-DZGCQCFKSA-N 0 0 443.526 -0.386 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)Cn2cc(S(N)(=O)=O)cn2)C1=O ZINC000540653825 1072511105 /nfs/dbraw/zinc/51/11/05/1072511105.db2.gz OMBGRLDBRWSRBV-HIFRSBDPSA-N 0 0 443.526 -0.386 20 0 IBADRN CC(C)[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](NC(=O)Cn2cc(S(N)(=O)=O)cn2)C1=O ZINC000540653827 1072511205 /nfs/dbraw/zinc/51/12/05/1072511205.db2.gz OMBGRLDBRWSRBV-UKRRQHHQSA-N 0 0 443.526 -0.386 20 0 IBADRN CC(C)[C@@H](C(=O)OC(C)(C)C)N1CC[C@H](NC(=O)Cn2cc(S(N)(=O)=O)cn2)C1=O ZINC000540653828 1072511044 /nfs/dbraw/zinc/51/10/44/1072511044.db2.gz OMBGRLDBRWSRBV-ZFWWWQNUSA-N 0 0 443.526 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCC(=O)N2CCOCC2)CC1 ZINC000540654300 1072511270 /nfs/dbraw/zinc/51/12/70/1072511270.db2.gz HQTBSEBSEWLETM-UHFFFAOYSA-N 0 0 439.538 -0.719 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CCN1S(C)(=O)=O ZINC000540654332 1072511182 /nfs/dbraw/zinc/51/11/82/1072511182.db2.gz JKRFUTRIOWCIKS-LBPRGKRZSA-N 0 0 437.565 -0.218 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CCN1S(C)(=O)=O ZINC000540654803 1072511238 /nfs/dbraw/zinc/51/12/38/1072511238.db2.gz ZIMPHGBVADUEJF-JTQLQIEISA-N 0 0 429.517 -0.148 20 0 IBADRN O=C(CNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1CCOCC1 ZINC000540667778 1072511651 /nfs/dbraw/zinc/51/16/51/1072511651.db2.gz NWQCNNAIUSIDBW-UHFFFAOYSA-N 0 0 440.522 -0.493 20 0 IBADRN COc1nc(N2CCN(C(=O)NCC(=O)N3CCOCC3)CC2)ncc1Br ZINC000540668617 1072511674 /nfs/dbraw/zinc/51/16/74/1072511674.db2.gz UMMBAAOUVWJRNE-UHFFFAOYSA-N 0 0 443.302 -0.062 20 0 IBADRN CC(C)N(C[C@H](O)COc1ccc(CC(N)=O)cc1)C(=O)NCC(=O)N1CCOCC1 ZINC000540669358 1072512123 /nfs/dbraw/zinc/51/21/23/1072512123.db2.gz ZZPZVRKVZSAFQC-KRWDZBQOSA-N 0 0 436.509 -0.267 20 0 IBADRN CC(C)N(C[C@@H](O)COc1ccc(CC(N)=O)cc1)C(=O)NCC(=O)N1CCOCC1 ZINC000540669361 1072512129 /nfs/dbraw/zinc/51/21/29/1072512129.db2.gz ZZPZVRKVZSAFQC-QGZVFWFLSA-N 0 0 436.509 -0.267 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCN1S(C)(=O)=O ZINC000540674370 1072512980 /nfs/dbraw/zinc/51/29/80/1072512980.db2.gz HTIWNLXDLPMPAB-INIZCTEOSA-N 0 0 444.579 -0.515 20 0 IBADRN C[C@H]1CN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCN1S(C)(=O)=O ZINC000540676700 1072513349 /nfs/dbraw/zinc/51/33/49/1072513349.db2.gz WPYNUKSICCFTJP-HNNXBMFYSA-N 0 0 439.542 -0.809 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NCC(=O)N3CCOCC3)nc2n(CC)c1=O ZINC000540677218 1073352139 /nfs/dbraw/zinc/35/21/39/1073352139.db2.gz DBXJOKPJLQYVDO-UHFFFAOYSA-N 0 0 432.481 -0.069 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC(=O)N3CCOCC3)cc2)CC1 ZINC000540677708 1072512047 /nfs/dbraw/zinc/51/20/47/1072512047.db2.gz PBDYCQMKSMXWRH-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000540677963 1072513516 /nfs/dbraw/zinc/51/35/16/1072513516.db2.gz BLQPQBIKKHWWNI-ZDUSSCGKSA-N 0 0 446.551 -0.194 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NCC(=O)N1CCOCC1)n2C ZINC000540677992 1072512100 /nfs/dbraw/zinc/51/21/00/1072512100.db2.gz CAJZZWUKHCFHRI-UHFFFAOYSA-N 0 0 437.522 -0.269 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCC(=O)N2CCOCC2)o1 ZINC000540678906 1072512037 /nfs/dbraw/zinc/51/20/37/1072512037.db2.gz ZTGJHZSXHUWRLN-CYBMUJFWSA-N 0 0 443.478 -0.416 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCC(=O)N2CCOCC2)o1 ZINC000540678919 1072512023 /nfs/dbraw/zinc/51/20/23/1072512023.db2.gz ZTGJHZSXHUWRLN-ZDUSSCGKSA-N 0 0 443.478 -0.416 20 0 IBADRN C[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCN1S(C)(=O)=O ZINC000540679424 1072511983 /nfs/dbraw/zinc/51/19/83/1072511983.db2.gz XDPSSKLSKAZGHR-ZDUSSCGKSA-N 0 0 447.535 -0.382 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1S(N)(=O)=O ZINC000540681182 1072512057 /nfs/dbraw/zinc/51/20/57/1072512057.db2.gz CXTOUJZIQUNZFL-NSHDSACASA-N 0 0 432.524 -0.673 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c2cccnc12 ZINC000540681326 1072512014 /nfs/dbraw/zinc/51/20/14/1072512014.db2.gz FJEWWHPYFXPJCZ-UHFFFAOYSA-N 0 0 430.417 -0.300 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000540681392 1072512031 /nfs/dbraw/zinc/51/20/31/1072512031.db2.gz GKPBTXMUVLLPAD-UHFFFAOYSA-N 0 0 440.478 -0.951 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CCN1S(C)(=O)=O ZINC000540681636 1072512070 /nfs/dbraw/zinc/51/20/70/1072512070.db2.gz ADHAEXBPXCPGCG-ZDUSSCGKSA-N 0 0 440.526 -0.627 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1)N1CCOCC1 ZINC000540681659 1072512517 /nfs/dbraw/zinc/51/25/17/1072512517.db2.gz AJPPKGJCKPIUJV-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000540682220 1072512603 /nfs/dbraw/zinc/51/26/03/1072512603.db2.gz QMAIZXJAJDDBPW-ZDUSSCGKSA-N 0 0 426.495 -0.368 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1-n1nnnc1C ZINC000540682556 1072512111 /nfs/dbraw/zinc/51/21/11/1072512111.db2.gz ANWFVPYYMQXZJF-NSHDSACASA-N 0 0 437.482 -0.590 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CCN1S(C)(=O)=O ZINC000540682707 1072512563 /nfs/dbraw/zinc/51/25/63/1072512563.db2.gz SWWVEZMHFIPRSY-LBPRGKRZSA-N 0 0 437.478 -0.831 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)ccc1NS(C)(=O)=O ZINC000540682748 1072512450 /nfs/dbraw/zinc/51/24/50/1072512450.db2.gz UASLPNDBIIHLCY-NSHDSACASA-N 0 0 448.523 -0.503 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000540682764 1072512537 /nfs/dbraw/zinc/51/25/37/1072512537.db2.gz AEEDNVCQGBUCMP-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)ccc1F ZINC000540682794 1072512413 /nfs/dbraw/zinc/51/24/13/1072512413.db2.gz UGCLQWVQQPTDLI-JTQLQIEISA-N 0 0 425.446 -0.459 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)nn1-c1cccc(F)c1 ZINC000540683189 1072512528 /nfs/dbraw/zinc/51/25/28/1072512528.db2.gz BVFDPULATJPDDJ-UHFFFAOYSA-N 0 0 438.485 -0.309 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)N1CCOCC1 ZINC000540683332 1072512553 /nfs/dbraw/zinc/51/25/53/1072512553.db2.gz CXCAIMBNYYTJNJ-UHFFFAOYSA-N 0 0 428.442 -0.820 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CCN1S(C)(=O)=O ZINC000540683421 1072512581 /nfs/dbraw/zinc/51/25/81/1072512581.db2.gz MOZPSEGOISEHDR-INIZCTEOSA-N 0 0 438.550 -0.008 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)c1 ZINC000540683428 1072512474 /nfs/dbraw/zinc/51/24/74/1072512474.db2.gz MSYWXNQIEQWEGR-UHFFFAOYSA-N 0 0 426.495 -0.322 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c(OCC(=O)N(C)C)c1 ZINC000540683626 1072512508 /nfs/dbraw/zinc/51/25/08/1072512508.db2.gz HLVJPNLPHKYBLF-AWEZNQCLSA-N 0 0 440.522 -0.107 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1-n1nnnc1C1CC1 ZINC000540683834 1072512909 /nfs/dbraw/zinc/51/29/09/1072512909.db2.gz IGCZPCWUXUCRQI-UHFFFAOYSA-N 0 0 429.437 -0.538 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nn1-c1cccc(F)c1 ZINC000540684161 1073323971 /nfs/dbraw/zinc/32/39/71/1073323971.db2.gz KEHIQBBUVVXCSF-UHFFFAOYSA-N 0 0 441.427 -0.384 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CCN1S(C)(=O)=O ZINC000540684210 1072512847 /nfs/dbraw/zinc/51/28/47/1072512847.db2.gz KMLIONXEOOQOTP-GXTWGEPZSA-N 0 0 436.490 -0.677 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CCN1S(C)(=O)=O ZINC000540684215 1072512998 /nfs/dbraw/zinc/51/29/98/1072512998.db2.gz KMLIONXEOOQOTP-JSGCOSHPSA-N 0 0 436.490 -0.677 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CCN1S(C)(=O)=O ZINC000540684479 1072512486 /nfs/dbraw/zinc/51/24/86/1072512486.db2.gz JPGXRZWJILHEHI-ZDUSSCGKSA-N 0 0 436.490 -0.234 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CCN1S(C)(=O)=O ZINC000540684504 1072512402 /nfs/dbraw/zinc/51/24/02/1072512402.db2.gz KRVWYCQLCUKEHF-LBPRGKRZSA-N 0 0 432.524 -0.487 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CCN1S(C)(=O)=O ZINC000540684540 1072512467 /nfs/dbraw/zinc/51/24/67/1072512467.db2.gz LJBUXPXPGAHSRW-AWEZNQCLSA-N 0 0 433.490 -0.278 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)ccc1C ZINC000540684584 1072512545 /nfs/dbraw/zinc/51/25/45/1072512545.db2.gz VJCRMNIFCLYGJT-LBPRGKRZSA-N 0 0 432.524 -0.666 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)N1CCOCC1 ZINC000540684591 1072512614 /nfs/dbraw/zinc/51/26/14/1072512614.db2.gz NOXJMHATTFYAQL-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000540684805 1072513018 /nfs/dbraw/zinc/51/30/18/1072513018.db2.gz PHDSSFXKNDBFIK-LBPRGKRZSA-N 0 0 432.524 -0.121 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1S(=O)(=O)N(C)C ZINC000540685304 1072513029 /nfs/dbraw/zinc/51/30/29/1072513029.db2.gz SWKLGELNFFQWCR-ZDUSSCGKSA-N 0 0 446.551 -0.324 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000540685324 1072513041 /nfs/dbraw/zinc/51/30/41/1072513041.db2.gz XCIKTNCECROART-ZDUSSCGKSA-N 0 0 446.551 -0.194 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CCN1S(C)(=O)=O ZINC000540685368 1072512971 /nfs/dbraw/zinc/51/29/71/1072512971.db2.gz TXODZUOHVNQBJK-AWEZNQCLSA-N 0 0 438.506 -0.410 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCC(=O)N3CCOCC3)cc2)C[C@H](C)O1 ZINC000540685390 1072512858 /nfs/dbraw/zinc/51/28/58/1072512858.db2.gz PJUBXYJFWKOQEZ-GASCZTMLSA-N 0 0 432.477 -0.151 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCC(=O)N3CCOCC3)cc2)C[C@H](C)O1 ZINC000540685392 1072512993 /nfs/dbraw/zinc/51/29/93/1072512993.db2.gz PJUBXYJFWKOQEZ-GJZGRUSLSA-N 0 0 432.477 -0.151 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCC(=O)N3CCOCC3)cc2)C[C@@H](C)O1 ZINC000540685395 1072513035 /nfs/dbraw/zinc/51/30/35/1072513035.db2.gz PJUBXYJFWKOQEZ-HUUCEWRRSA-N 0 0 432.477 -0.151 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1OC ZINC000540685513 1072512869 /nfs/dbraw/zinc/51/28/69/1072512869.db2.gz VZMPPHHGXLOAOK-UHFFFAOYSA-N 0 0 428.467 -0.630 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC(=O)N3CCOCC3)cc2)CC1 ZINC000540685515 1072512954 /nfs/dbraw/zinc/51/29/54/1072512954.db2.gz WBGUTMKXCHUODS-UHFFFAOYSA-N 0 0 445.520 -0.693 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc1 ZINC000540685606 1072513011 /nfs/dbraw/zinc/51/30/11/1072513011.db2.gz YSZOPFHFLDUGIS-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccc(S(N)(=O)=O)cn1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000540689103 1072512900 /nfs/dbraw/zinc/51/29/00/1072512900.db2.gz ZEZIYYUPPHDQEK-INIZCTEOSA-N 0 0 435.510 -0.320 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccc(S(N)(=O)=O)cn1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000540689104 1072512891 /nfs/dbraw/zinc/51/28/91/1072512891.db2.gz ZEZIYYUPPHDQEK-MRXNPFEDSA-N 0 0 435.510 -0.320 20 0 IBADRN Cn1cc(S(=O)(=O)[N-]c2ccc(C(=O)NCC[NH+]3CCCC3)cc2)c(=O)n(C)c1=O ZINC000540695199 1072513595 /nfs/dbraw/zinc/51/35/95/1072513595.db2.gz AKZIMVZVDONNOD-UHFFFAOYSA-N 0 0 435.506 -0.290 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1CCN(C(=O)NC(C)(C)C)CC1 ZINC000540699457 1072513462 /nfs/dbraw/zinc/51/34/62/1072513462.db2.gz HHEBYGSPSLUTST-UHFFFAOYSA-N 0 0 433.575 -0.091 20 0 IBADRN CC1(C)CN(C(=O)C2CC2)CCN1CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000540715790 1072513330 /nfs/dbraw/zinc/51/33/30/1072513330.db2.gz YBVMQEUIBORLRX-UHFFFAOYSA-N 0 0 435.569 -0.678 20 0 IBADRN COCCOc1cc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)ccn1 ZINC000540721227 1072514028 /nfs/dbraw/zinc/51/40/28/1072514028.db2.gz KDWAUKQSCLSKIG-UHFFFAOYSA-N 0 0 428.511 -0.122 20 0 IBADRN CCN(C(=O)Cn1nc(COC)oc1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000540729550 1072514440 /nfs/dbraw/zinc/51/44/40/1072514440.db2.gz QNBWDXJKMHTTCD-UHFFFAOYSA-N 0 0 430.421 -0.071 20 0 IBADRN COc1ccc(CNC(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)cc1OC ZINC000540729693 1072514302 /nfs/dbraw/zinc/51/43/02/1072514302.db2.gz WWSSPMXHULMTOG-UHFFFAOYSA-N 0 0 448.476 -0.167 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)c1ccccc1 ZINC000540730324 1072514537 /nfs/dbraw/zinc/51/45/37/1072514537.db2.gz GCTRKZSPGNEEGC-KRWDZBQOSA-N 0 0 445.476 -0.897 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)c1ccccc1 ZINC000540730327 1072514257 /nfs/dbraw/zinc/51/42/57/1072514257.db2.gz GCTRKZSPGNEEGC-QGZVFWFLSA-N 0 0 445.476 -0.897 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC000540731054 1072514277 /nfs/dbraw/zinc/51/42/77/1072514277.db2.gz OOSHEULOZXGXEM-CAOSSQGBSA-N 0 0 449.595 -0.412 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC000540731055 1072514484 /nfs/dbraw/zinc/51/44/84/1072514484.db2.gz OOSHEULOZXGXEM-XUWVNRHRSA-N 0 0 449.595 -0.412 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC000540731056 1072514294 /nfs/dbraw/zinc/51/42/94/1072514294.db2.gz OOSHEULOZXGXEM-YHUYYLMFSA-N 0 0 449.595 -0.412 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC000540731057 1072514524 /nfs/dbraw/zinc/51/45/24/1072514524.db2.gz OOSHEULOZXGXEM-ZJIFWQFVSA-N 0 0 449.595 -0.412 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000540732054 1072513889 /nfs/dbraw/zinc/51/38/89/1072513889.db2.gz WVRDPVWUYZRGIP-ZDUSSCGKSA-N 0 0 447.535 -0.366 20 0 IBADRN COCCOc1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)ccn1 ZINC000540735349 1072514039 /nfs/dbraw/zinc/51/40/39/1072514039.db2.gz FYEMFUBUUAEJTL-UHFFFAOYSA-N 0 0 438.462 -0.055 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)c(=O)n(C)c1=O ZINC000540736173 1072514062 /nfs/dbraw/zinc/51/40/62/1072514062.db2.gz BVPNYAUIAVLPMR-GFCCVEGCSA-N 0 0 430.845 -0.040 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)c(=O)n(C)c1=O ZINC000540736174 1072514080 /nfs/dbraw/zinc/51/40/80/1072514080.db2.gz BVPNYAUIAVLPMR-LBPRGKRZSA-N 0 0 430.845 -0.040 20 0 IBADRN CC1(C)CN(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOC(C)(C)C3)CC2)CCO1 ZINC000540736346 1072513932 /nfs/dbraw/zinc/51/39/32/1072513932.db2.gz HYFLIBZNZKSBII-UHFFFAOYSA-N 0 0 440.588 -0.685 20 0 IBADRN CC1(C)CN(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CCO1 ZINC000540737573 1072514094 /nfs/dbraw/zinc/51/40/94/1072514094.db2.gz KHMTYZSWSNSTDU-UHFFFAOYSA-N 0 0 426.543 -0.209 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)N2CCOC(C)(C)C2)c1 ZINC000540737899 1072514016 /nfs/dbraw/zinc/51/40/16/1072514016.db2.gz RFCAMHOFDYOFHD-GFCCVEGCSA-N 0 0 434.540 -0.133 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N2CCOC(C)(C)C2)c1 ZINC000540737904 1072513913 /nfs/dbraw/zinc/51/39/13/1072513913.db2.gz RFCAMHOFDYOFHD-LBPRGKRZSA-N 0 0 434.540 -0.133 20 0 IBADRN CC1(C)CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCO1 ZINC000540738276 1072514004 /nfs/dbraw/zinc/51/40/04/1072514004.db2.gz YOZLORSMGPVWOJ-UHFFFAOYSA-N 0 0 436.512 -0.960 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)NCCCN3C(=O)CCC3=O)cc2)CC1 ZINC000540741172 1072515043 /nfs/dbraw/zinc/51/50/43/1072515043.db2.gz FEYGFMHSGJGLNV-UHFFFAOYSA-N 0 0 443.504 -0.056 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)NCC(=O)N3CCOCC3)cc2)CC1 ZINC000540744497 1072514805 /nfs/dbraw/zinc/51/48/05/1072514805.db2.gz RARBMQUADWDIRI-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@@H]4C3)cc2)CC1 ZINC000540744504 1072514969 /nfs/dbraw/zinc/51/49/69/1072514969.db2.gz ROIWRJWSPYAKMO-GOSISDBHSA-N 0 0 428.493 -0.475 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@H]4C3)cc2)CC1 ZINC000540744505 1072514857 /nfs/dbraw/zinc/51/48/57/1072514857.db2.gz ROIWRJWSPYAKMO-SFHVURJKSA-N 0 0 428.493 -0.475 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)C1=O ZINC000540755668 1072514899 /nfs/dbraw/zinc/51/48/99/1072514899.db2.gz DGLLMYDUMTYHGB-HNNXBMFYSA-N 0 0 432.543 -0.100 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)C1=O ZINC000540755669 1072515002 /nfs/dbraw/zinc/51/50/02/1072515002.db2.gz DGLLMYDUMTYHGB-OAHLLOKOSA-N 0 0 432.543 -0.100 20 0 IBADRN COCCOc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC000540770185 1072514794 /nfs/dbraw/zinc/51/47/94/1072514794.db2.gz NHCSQTKPLSTRTR-INIZCTEOSA-N 0 0 440.522 -0.832 20 0 IBADRN COCCOc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC000540770188 1072514832 /nfs/dbraw/zinc/51/48/32/1072514832.db2.gz NHCSQTKPLSTRTR-MRXNPFEDSA-N 0 0 440.522 -0.832 20 0 IBADRN O=C(CNC(=O)c1cccnc1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000540793594 1072515662 /nfs/dbraw/zinc/51/56/62/1072515662.db2.gz DMPPMHCRBLBLIN-UHFFFAOYSA-N 0 0 432.458 -0.228 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000540793736 1072515653 /nfs/dbraw/zinc/51/56/53/1072515653.db2.gz HMVDKKMHQUXXGJ-UHFFFAOYSA-N 0 0 447.535 -0.382 20 0 IBADRN COCC(=O)N[C@H](C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)C(C)C ZINC000540793776 1072515616 /nfs/dbraw/zinc/51/56/16/1072515616.db2.gz HTTDRGLTRCHSQI-SFHVURJKSA-N 0 0 441.506 -0.266 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)o1 ZINC000540794615 1072515645 /nfs/dbraw/zinc/51/56/45/1072515645.db2.gz DJTJEWHWQHGNGI-UHFFFAOYSA-N 0 0 443.459 -0.499 20 0 IBADRN CSCC[C@@H](NC(N)=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000540794778 1072515579 /nfs/dbraw/zinc/51/55/79/1072515579.db2.gz ONSCQIGBFFNWAO-CYBMUJFWSA-N 0 0 444.535 -0.263 20 0 IBADRN CSCC[C@H](NC(N)=O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000540794779 1072515636 /nfs/dbraw/zinc/51/56/36/1072515636.db2.gz ONSCQIGBFFNWAO-ZDUSSCGKSA-N 0 0 444.535 -0.263 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000540794874 1072515927 /nfs/dbraw/zinc/51/59/27/1072515927.db2.gz PSDQFMPVFLGGSH-UHFFFAOYSA-N 0 0 437.474 -0.124 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000540795129 1072515896 /nfs/dbraw/zinc/51/58/96/1072515896.db2.gz JHOVMTKKPDGXOA-UHFFFAOYSA-N 0 0 433.508 -0.772 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000540795186 1072515913 /nfs/dbraw/zinc/51/59/13/1072515913.db2.gz KKXSQFSEWSYNSL-UHFFFAOYSA-N 0 0 445.519 -0.628 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000540795712 1072515947 /nfs/dbraw/zinc/51/59/47/1072515947.db2.gz QOJSECPTBFJTRK-UHFFFAOYSA-N 0 0 445.457 -0.544 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)co1 ZINC000540796292 1072515935 /nfs/dbraw/zinc/51/59/35/1072515935.db2.gz ZKKKZRNOKQMIHU-UHFFFAOYSA-N 0 0 443.459 -0.499 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)cn1C ZINC000540798608 1072515985 /nfs/dbraw/zinc/51/59/85/1072515985.db2.gz FOMWWLZVLGPBKD-UHFFFAOYSA-N 0 0 435.572 -0.427 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000540801331 1072516282 /nfs/dbraw/zinc/51/62/82/1072516282.db2.gz YAGDPFDSRFXFGH-UHFFFAOYSA-N 0 0 439.538 -0.395 20 0 IBADRN NS(=O)(=O)c1ccc(O)c(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000540805773 1072516246 /nfs/dbraw/zinc/51/62/46/1072516246.db2.gz UECJBDUNAARGNS-INIZCTEOSA-N 0 0 426.495 -0.309 20 0 IBADRN NS(=O)(=O)c1ccc(O)c(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000540805774 1072516291 /nfs/dbraw/zinc/51/62/91/1072516291.db2.gz UECJBDUNAARGNS-MRXNPFEDSA-N 0 0 426.495 -0.309 20 0 IBADRN CN(C)C(=O)NCCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000540806140 1072516362 /nfs/dbraw/zinc/51/63/62/1072516362.db2.gz KQVJSKBUGKELNT-UHFFFAOYSA-N 0 0 427.527 -0.226 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCNC(=O)N(C)C)c2)CC1 ZINC000540806586 1072516454 /nfs/dbraw/zinc/51/64/54/1072516454.db2.gz VWNWMPVFMCCTER-UHFFFAOYSA-N 0 0 425.511 -0.460 20 0 IBADRN NS(=O)(=O)c1ccc(O)c(NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)c1 ZINC000540806670 1072516313 /nfs/dbraw/zinc/51/63/13/1072516313.db2.gz UDZNUPFECADIGC-UHFFFAOYSA-N 0 0 427.460 -0.013 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCNC(=O)N(C)C)cc2)CC1 ZINC000540813122 1072516851 /nfs/dbraw/zinc/51/68/51/1072516851.db2.gz XGRDZVGPMXAJBI-UHFFFAOYSA-N 0 0 425.511 -0.460 20 0 IBADRN COc1ccccc1Nc1nc(N)nc(CN2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000540820874 1073356498 /nfs/dbraw/zinc/35/64/98/1073356498.db2.gz GAKRXZPQEKSXLD-AWEZNQCLSA-N 0 0 433.538 -0.067 20 0 IBADRN COc1ccccc1Nc1nc(N)nc(CN2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000540820875 1073356443 /nfs/dbraw/zinc/35/64/43/1073356443.db2.gz GAKRXZPQEKSXLD-CQSZACIVSA-N 0 0 433.538 -0.067 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000540826041 1072517231 /nfs/dbraw/zinc/51/72/31/1072517231.db2.gz LGTCQVONAXAQQP-UHFFFAOYSA-N 0 0 429.518 -0.138 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000540826528 1072517281 /nfs/dbraw/zinc/51/72/81/1072517281.db2.gz LDPURIBSYGJWIQ-GOSISDBHSA-N 0 0 433.509 -0.300 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000540826531 1072517132 /nfs/dbraw/zinc/51/71/32/1072517132.db2.gz LDPURIBSYGJWIQ-SFHVURJKSA-N 0 0 433.509 -0.300 20 0 IBADRN C[C@H]1CN(c2cc(N3CCN(S(C)(=O)=O)[C@@H](C)C3)ncn2)CCN1S(C)(=O)=O ZINC000540845054 1072517721 /nfs/dbraw/zinc/51/77/21/1072517721.db2.gz QNXNLKOIMNWPSG-KBPBESRZSA-N 0 0 432.572 -0.583 20 0 IBADRN CN1CN(C(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)CC1=O ZINC000540846912 1072517757 /nfs/dbraw/zinc/51/77/57/1072517757.db2.gz YAGCIBAUXZTQQH-UHFFFAOYSA-N 0 0 435.506 -0.215 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)n1nnnc1C(C)(C)C ZINC000540848518 1072517875 /nfs/dbraw/zinc/51/78/75/1072517875.db2.gz VQIXVJKHRZJQRE-AWEZNQCLSA-N 0 0 428.563 -0.832 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)n1nnnc1C(C)(C)C ZINC000540848519 1072517821 /nfs/dbraw/zinc/51/78/21/1072517821.db2.gz VQIXVJKHRZJQRE-CQSZACIVSA-N 0 0 428.563 -0.832 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)CC1 ZINC000540851454 1072518272 /nfs/dbraw/zinc/51/82/72/1072518272.db2.gz RBFUKUYUTYHXHS-UHFFFAOYSA-N 0 0 447.602 -0.474 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)CC1 ZINC000540851673 1072518215 /nfs/dbraw/zinc/51/82/15/1072518215.db2.gz YEGHEVXOUOJERB-UHFFFAOYSA-N 0 0 445.586 -0.185 20 0 IBADRN C[C@H]1CCN(S(=O)(=O)NCCOCCOCCNS(=O)(=O)N2CC[C@H](C)C2)C1 ZINC000540861395 1072518245 /nfs/dbraw/zinc/51/82/45/1072518245.db2.gz ZPOXDESBUFAGJB-HOTGVXAUSA-N 0 0 442.604 -0.628 20 0 IBADRN C[C@@H]1CCN(S(=O)(=O)NCCOCCOCCNS(=O)(=O)N2CC[C@@H](C)C2)C1 ZINC000540861396 1072518145 /nfs/dbraw/zinc/51/81/45/1072518145.db2.gz ZPOXDESBUFAGJB-HZPDHXFCSA-N 0 0 442.604 -0.628 20 0 IBADRN C[C@@H]1CCN(S(=O)(=O)NCCOCCOCCNS(=O)(=O)N2CC[C@H](C)C2)C1 ZINC000540861397 1072518227 /nfs/dbraw/zinc/51/82/27/1072518227.db2.gz ZPOXDESBUFAGJB-IYBDPMFKSA-N 0 0 442.604 -0.628 20 0 IBADRN Cc1nnc(CN2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)[nH]1 ZINC000540875627 1072519321 /nfs/dbraw/zinc/51/93/21/1072519321.db2.gz IXGUFIKPLHZSLN-UHFFFAOYSA-N 0 0 428.540 -0.461 20 0 IBADRN Cc1nnc(CN2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N(C)C)cc3)CC2)[nH]1 ZINC000540878186 1072519777 /nfs/dbraw/zinc/51/97/77/1072519777.db2.gz IIQAWKQXXSCFOM-UHFFFAOYSA-N 0 0 428.540 -0.130 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N[C@H]1CN(C)NC1=O)n2C ZINC000540880670 1072519283 /nfs/dbraw/zinc/51/92/83/1072519283.db2.gz JPZIOXLUWKDYEI-HNNXBMFYSA-N 0 0 436.538 -0.002 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N[C@@H]1CN(C)NC1=O)n2C ZINC000540880671 1072519175 /nfs/dbraw/zinc/51/91/75/1072519175.db2.gz JPZIOXLUWKDYEI-OAHLLOKOSA-N 0 0 436.538 -0.002 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(OCC(F)(F)F)nc1)N[C@H]1CCS(=O)(=O)C1 ZINC000540886809 1072519738 /nfs/dbraw/zinc/51/97/38/1072519738.db2.gz UPJJPFGMXHEPLD-JTQLQIEISA-N 0 0 445.441 -0.006 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(OCC(F)(F)F)nc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000540886812 1072519966 /nfs/dbraw/zinc/51/99/66/1072519966.db2.gz UPJJPFGMXHEPLD-SNVBAGLBSA-N 0 0 445.441 -0.006 20 0 IBADRN Cn1cnn(CC(=O)N2CCN(S(=O)(=O)c3cccc(Br)c3)CC2)c1=O ZINC000540906169 1072520238 /nfs/dbraw/zinc/52/02/38/1072520238.db2.gz LQWOGUVWTBIHNW-UHFFFAOYSA-N 0 0 444.311 -0.123 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000540924454 1072520213 /nfs/dbraw/zinc/52/02/13/1072520213.db2.gz COEGQXXCDMCUBY-CYBMUJFWSA-N 0 0 437.565 -0.409 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000540924455 1072520174 /nfs/dbraw/zinc/52/01/74/1072520174.db2.gz COEGQXXCDMCUBY-ZDUSSCGKSA-N 0 0 437.565 -0.409 20 0 IBADRN Cc1nn(CCC(=O)N[C@H]2CC(=O)N(C)C2)c(C)c1CCC(=O)N[C@@H]1CC(=O)N(C)C1 ZINC000540925802 1072520617 /nfs/dbraw/zinc/52/06/17/1072520617.db2.gz RNWJPBOTGOYYKP-CVEARBPZSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N[C@H]2CC(=O)N(C)C2)c(C)c1CCC(=O)N[C@H]1CC(=O)N(C)C1 ZINC000540925803 1072520599 /nfs/dbraw/zinc/52/05/99/1072520599.db2.gz RNWJPBOTGOYYKP-HOTGVXAUSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N[C@@H]2CC(=O)N(C)C2)c(C)c1CCC(=O)N[C@@H]1CC(=O)N(C)C1 ZINC000540925804 1072520607 /nfs/dbraw/zinc/52/06/07/1072520607.db2.gz RNWJPBOTGOYYKP-HZPDHXFCSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N[C@@H]2CC(=O)N(C)C2)c(C)c1CCC(=O)N[C@H]1CC(=O)N(C)C1 ZINC000540925805 1072520514 /nfs/dbraw/zinc/52/05/14/1072520514.db2.gz RNWJPBOTGOYYKP-JKSUJKDBSA-N 0 0 432.525 -0.484 20 0 IBADRN COCCN(C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)[C@@H]1CCS(=O)(=O)C1 ZINC000540926248 1072520559 /nfs/dbraw/zinc/52/05/59/1072520559.db2.gz FJFONUQMILLESP-BFYDXBDKSA-N 0 0 448.563 -0.315 20 0 IBADRN COCCN(C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O)[C@H]1CCS(=O)(=O)C1 ZINC000540926254 1072520648 /nfs/dbraw/zinc/52/06/48/1072520648.db2.gz FJFONUQMILLESP-HLLBOEOZSA-N 0 0 448.563 -0.315 20 0 IBADRN COCCN(C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)[C@H]1CCS(=O)(=O)C1 ZINC000540926255 1072520578 /nfs/dbraw/zinc/52/05/78/1072520578.db2.gz FJFONUQMILLESP-YQQAZPJKSA-N 0 0 448.563 -0.315 20 0 IBADRN COCCN(C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O)[C@@H]1CCS(=O)(=O)C1 ZINC000540926256 1072520530 /nfs/dbraw/zinc/52/05/30/1072520530.db2.gz FJFONUQMILLESP-ZMSDIMECSA-N 0 0 448.563 -0.315 20 0 IBADRN Cc1nn(CCC(=O)N2CCNC(=O)[C@@H]2C)c(C)c1CCC(=O)N1CCNC(=O)[C@H]1C ZINC000540927079 1072520626 /nfs/dbraw/zinc/52/06/26/1072520626.db2.gz BEPLDFPHYSIUHA-CVEARBPZSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N2CCNC(=O)[C@@H]2C)c(C)c1CCC(=O)N1CCNC(=O)[C@@H]1C ZINC000540927080 1072520546 /nfs/dbraw/zinc/52/05/46/1072520546.db2.gz BEPLDFPHYSIUHA-HOTGVXAUSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N2CCNC(=O)[C@H]2C)c(C)c1CCC(=O)N1CCNC(=O)[C@H]1C ZINC000540927081 1072520569 /nfs/dbraw/zinc/52/05/69/1072520569.db2.gz BEPLDFPHYSIUHA-HZPDHXFCSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N2CCNC(=O)[C@H]2C)c(C)c1CCC(=O)N1CCNC(=O)[C@@H]1C ZINC000540927082 1072520669 /nfs/dbraw/zinc/52/06/69/1072520669.db2.gz BEPLDFPHYSIUHA-JKSUJKDBSA-N 0 0 432.525 -0.484 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000540947347 1072521892 /nfs/dbraw/zinc/52/18/92/1072521892.db2.gz LQRKOSWBOIYNKK-UHFFFAOYSA-N 0 0 434.478 -0.321 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)Nc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000540950569 1072521913 /nfs/dbraw/zinc/52/19/13/1072521913.db2.gz VAIULFKFLLOZHU-INIZCTEOSA-N 0 0 428.497 -0.260 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)Nc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000540950570 1072521998 /nfs/dbraw/zinc/52/19/98/1072521998.db2.gz VAIULFKFLLOZHU-MRXNPFEDSA-N 0 0 428.497 -0.260 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@H](C(=O)OC)C1 ZINC000540964499 1072521992 /nfs/dbraw/zinc/52/19/92/1072521992.db2.gz UOMMWAZIAHHZBG-AAEUAGOBSA-N 0 0 449.445 -0.335 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@@H](C(=O)OC)C1 ZINC000540964500 1072521972 /nfs/dbraw/zinc/52/19/72/1072521972.db2.gz UOMMWAZIAHHZBG-DGCLKSJQSA-N 0 0 449.445 -0.335 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000540964501 1072521955 /nfs/dbraw/zinc/52/19/55/1072521955.db2.gz UOMMWAZIAHHZBG-WCQYABFASA-N 0 0 449.445 -0.335 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@H](C(=O)OC)C1 ZINC000540964502 1072521988 /nfs/dbraw/zinc/52/19/88/1072521988.db2.gz UOMMWAZIAHHZBG-YPMHNXCESA-N 0 0 449.445 -0.335 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000540972559 1072522713 /nfs/dbraw/zinc/52/27/13/1072522713.db2.gz JENYKZRAJOOGJY-UHFFFAOYSA-N 0 0 434.424 -0.156 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000540977554 1072523499 /nfs/dbraw/zinc/52/34/99/1072523499.db2.gz QYLUMINLDNWHHH-UHFFFAOYSA-N 0 0 448.407 -0.629 20 0 IBADRN COC(=O)c1c(C)cccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000540977596 1072523621 /nfs/dbraw/zinc/52/36/21/1072523621.db2.gz ZARGAMMVNSQDBN-UHFFFAOYSA-N 0 0 444.444 -0.460 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000540977849 1072523221 /nfs/dbraw/zinc/52/32/21/1072523221.db2.gz LONJTJAGBGNOSF-UHFFFAOYSA-N 0 0 444.444 -0.378 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc(OC)cc1OC ZINC000540983246 1072523996 /nfs/dbraw/zinc/52/39/96/1072523996.db2.gz GISIBHOVUZCMEZ-GFCCVEGCSA-N 0 0 443.478 -0.031 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc(OC)cc1OC ZINC000540983247 1072523884 /nfs/dbraw/zinc/52/38/84/1072523884.db2.gz GISIBHOVUZCMEZ-LBPRGKRZSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000540983437 1072523941 /nfs/dbraw/zinc/52/39/41/1072523941.db2.gz OAHIOZFEOPOTMJ-UHFFFAOYSA-N 0 0 428.398 -0.225 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)C1 ZINC000540983630 1072524026 /nfs/dbraw/zinc/52/40/26/1072524026.db2.gz GTOWIQXEFXTVSM-JTQLQIEISA-N 0 0 429.451 -0.421 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)C1 ZINC000540983631 1072523929 /nfs/dbraw/zinc/52/39/29/1072523929.db2.gz GTOWIQXEFXTVSM-SNVBAGLBSA-N 0 0 429.451 -0.421 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CCO1 ZINC000540985965 1072524014 /nfs/dbraw/zinc/52/40/14/1072524014.db2.gz DNISDXDXCLRWBV-INIZCTEOSA-N 0 0 431.536 -0.003 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CCO1 ZINC000540985967 1072523964 /nfs/dbraw/zinc/52/39/64/1072523964.db2.gz DNISDXDXCLRWBV-MRXNPFEDSA-N 0 0 431.536 -0.003 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CCO1 ZINC000540991873 1072524316 /nfs/dbraw/zinc/52/43/16/1072524316.db2.gz POMTZFGDYZFHER-INIZCTEOSA-N 0 0 431.536 -0.003 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CCO1 ZINC000540991874 1072524256 /nfs/dbraw/zinc/52/42/56/1072524256.db2.gz POMTZFGDYZFHER-MRXNPFEDSA-N 0 0 431.536 -0.003 20 0 IBADRN Cc1cc(Br)ccc1NC(=O)CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000540991895 1072524268 /nfs/dbraw/zinc/52/42/68/1072524268.db2.gz QGFGMUOIFBDJBU-SECBINFHSA-N 0 0 435.300 -0.395 20 0 IBADRN Cc1cc(Br)ccc1NC(=O)CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000540991906 1072524295 /nfs/dbraw/zinc/52/42/95/1072524295.db2.gz QGFGMUOIFBDJBU-VIFPVBQESA-N 0 0 435.300 -0.395 20 0 IBADRN COC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCOCC1 ZINC000540993075 1072523570 /nfs/dbraw/zinc/52/35/70/1072523570.db2.gz FOBRCVZQYPANPB-UHFFFAOYSA-N 0 0 430.483 -0.199 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCC2(C(=O)OC)CCOCC2)CC1 ZINC000540993816 1072524302 /nfs/dbraw/zinc/52/43/02/1072524302.db2.gz MTLSMVPSWSRCRF-INIZCTEOSA-N 0 0 428.530 -0.175 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCC2(C(=O)OC)CCOCC2)CC1 ZINC000540993819 1072524336 /nfs/dbraw/zinc/52/43/36/1072524336.db2.gz MTLSMVPSWSRCRF-MRXNPFEDSA-N 0 0 428.530 -0.175 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)Nc2ccncc2I)c[nH]1 ZINC000540997519 1072524865 /nfs/dbraw/zinc/52/48/65/1072524865.db2.gz IKLURWNSONROJM-UHFFFAOYSA-N 0 0 449.230 -0.548 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000541007048 1072524286 /nfs/dbraw/zinc/52/42/86/1072524286.db2.gz CONPQCFQGNJMOD-UHFFFAOYSA-N 0 0 444.491 -0.133 20 0 IBADRN Cn1cc(Br)c(=O)c(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000541009961 1072524339 /nfs/dbraw/zinc/52/43/39/1072524339.db2.gz XAUXSROSAHSJNA-UHFFFAOYSA-N 0 0 437.254 -0.076 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)s1 ZINC000541013666 1072525638 /nfs/dbraw/zinc/52/56/38/1072525638.db2.gz FCBIWFKAHXNHDO-JTQLQIEISA-N 0 0 440.569 -0.812 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)s1 ZINC000541013667 1072525556 /nfs/dbraw/zinc/52/55/56/1072525556.db2.gz FCBIWFKAHXNHDO-SNVBAGLBSA-N 0 0 440.569 -0.812 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000541015836 1072525746 /nfs/dbraw/zinc/52/57/46/1072525746.db2.gz ZBQKAZZYZAQCTJ-UHFFFAOYSA-N 0 0 433.556 -0.721 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCCC1)S(N)(=O)=O ZINC000541016410 1072526312 /nfs/dbraw/zinc/52/63/12/1072526312.db2.gz QVQILKUQVWAKMB-CYBMUJFWSA-N 0 0 446.551 -0.978 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCCC1)S(N)(=O)=O ZINC000541016411 1072526146 /nfs/dbraw/zinc/52/61/46/1072526146.db2.gz QVQILKUQVWAKMB-ZDUSSCGKSA-N 0 0 446.551 -0.978 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(C)c(=O)n(C)c3)CC2)cn1C ZINC000541016498 1072526169 /nfs/dbraw/zinc/52/61/69/1072526169.db2.gz BTENSRYNGWKTEI-UHFFFAOYSA-N 0 0 436.494 -0.793 20 0 IBADRN CCCN(CC(F)(F)F)C(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C1 ZINC000541016637 1072525625 /nfs/dbraw/zinc/52/56/25/1072525625.db2.gz XWDUVAVQGRGPNS-NEPJUHHUSA-N 0 0 444.476 -0.181 20 0 IBADRN CCCN(CC(F)(F)F)C(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C1 ZINC000541016641 1072526283 /nfs/dbraw/zinc/52/62/83/1072526283.db2.gz XWDUVAVQGRGPNS-NWDGAFQWSA-N 0 0 444.476 -0.181 20 0 IBADRN CCCN(CC(F)(F)F)C(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C1 ZINC000541016642 1072526241 /nfs/dbraw/zinc/52/62/41/1072526241.db2.gz XWDUVAVQGRGPNS-RYUDHWBXSA-N 0 0 444.476 -0.181 20 0 IBADRN CCCN(CC(F)(F)F)C(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C1 ZINC000541016643 1072526211 /nfs/dbraw/zinc/52/62/11/1072526211.db2.gz XWDUVAVQGRGPNS-VXGBXAGGSA-N 0 0 444.476 -0.181 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(c2cccc(Cl)c2)CC1)S(N)(=O)=O ZINC000541016775 1072525723 /nfs/dbraw/zinc/52/57/23/1072525723.db2.gz JAYHSKWAAVAFJG-CYBMUJFWSA-N 0 0 431.946 -0.629 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(c2cccc(Cl)c2)CC1)S(N)(=O)=O ZINC000541016776 1072525598 /nfs/dbraw/zinc/52/55/98/1072525598.db2.gz JAYHSKWAAVAFJG-ZDUSSCGKSA-N 0 0 431.946 -0.629 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000541017504 1072526296 /nfs/dbraw/zinc/52/62/96/1072526296.db2.gz CUUYRUMFPRYGIG-AWEZNQCLSA-N 0 0 426.495 -0.715 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000541017509 1072526130 /nfs/dbraw/zinc/52/61/30/1072526130.db2.gz CUUYRUMFPRYGIG-CQSZACIVSA-N 0 0 426.495 -0.715 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000541017599 1072526262 /nfs/dbraw/zinc/52/62/62/1072526262.db2.gz DYNYLTPFEQBSEM-UHFFFAOYSA-N 0 0 428.511 -0.469 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H](c1ccc(Cl)cc1)[C@@H]1CN(C)CCO1)S(N)(=O)=O ZINC000541018356 1072526224 /nfs/dbraw/zinc/52/62/24/1072526224.db2.gz MQUFUPRZDAKBED-BYCMXARLSA-N 0 0 432.930 -0.379 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@H]1CN(C)CCO1)S(N)(=O)=O ZINC000541018358 1072526339 /nfs/dbraw/zinc/52/63/39/1072526339.db2.gz MQUFUPRZDAKBED-DFBGVHRSSA-N 0 0 432.930 -0.379 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H](c1ccc(Cl)cc1)[C@H]1CN(C)CCO1)S(N)(=O)=O ZINC000541018362 1072526073 /nfs/dbraw/zinc/52/60/73/1072526073.db2.gz MQUFUPRZDAKBED-KCPJHIHWSA-N 0 0 432.930 -0.379 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H](c1ccc(Cl)cc1)[C@@H]1CN(C)CCO1)S(N)(=O)=O ZINC000541018363 1072526268 /nfs/dbraw/zinc/52/62/68/1072526268.db2.gz MQUFUPRZDAKBED-UGFHNGPFSA-N 0 0 432.930 -0.379 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000541019058 1072526740 /nfs/dbraw/zinc/52/67/40/1072526740.db2.gz PHXHRPRJVRJDJD-HNNXBMFYSA-N 0 0 440.526 -0.579 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000541019061 1072526757 /nfs/dbraw/zinc/52/67/57/1072526757.db2.gz PHXHRPRJVRJDJD-OAHLLOKOSA-N 0 0 440.526 -0.579 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cc(OC)c1 ZINC000541019447 1072526691 /nfs/dbraw/zinc/52/66/91/1072526691.db2.gz KCQDTWSVMOJILK-CYBMUJFWSA-N 0 0 428.511 -0.859 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cc(OC)c1 ZINC000541019450 1072526735 /nfs/dbraw/zinc/52/67/35/1072526735.db2.gz KCQDTWSVMOJILK-ZDUSSCGKSA-N 0 0 428.511 -0.859 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](CO)Cc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000541019783 1072526782 /nfs/dbraw/zinc/52/67/82/1072526782.db2.gz LZEDAKIISXKPAS-CMPLNLGQSA-N 0 0 425.429 -0.234 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000541019789 1072526647 /nfs/dbraw/zinc/52/66/47/1072526647.db2.gz LZEDAKIISXKPAS-JQWIXIFHSA-N 0 0 425.429 -0.234 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000541020368 1072526727 /nfs/dbraw/zinc/52/67/27/1072526727.db2.gz LZEDAKIISXKPAS-PWSUYJOCSA-N 0 0 425.429 -0.234 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](CO)Cc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000541020369 1072526787 /nfs/dbraw/zinc/52/67/87/1072526787.db2.gz LZEDAKIISXKPAS-ZYHUDNBSSA-N 0 0 425.429 -0.234 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](O)COc1ccccc1Br)S(N)(=O)=O ZINC000541020447 1072526631 /nfs/dbraw/zinc/52/66/31/1072526631.db2.gz YBALHOGSIAXQTA-NXEZZACHSA-N 0 0 438.300 -0.902 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](O)COc1ccccc1Br)S(N)(=O)=O ZINC000541020448 1072526682 /nfs/dbraw/zinc/52/66/82/1072526682.db2.gz YBALHOGSIAXQTA-UWVGGRQHSA-N 0 0 438.300 -0.902 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](O)COc1ccccc1Br)S(N)(=O)=O ZINC000541020449 1072526658 /nfs/dbraw/zinc/52/66/58/1072526658.db2.gz YBALHOGSIAXQTA-VHSXEESVSA-N 0 0 438.300 -0.902 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](O)COc1ccccc1Br)S(N)(=O)=O ZINC000541020450 1072526749 /nfs/dbraw/zinc/52/67/49/1072526749.db2.gz YBALHOGSIAXQTA-ZJUUUORDSA-N 0 0 438.300 -0.902 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)NCCS(N)(=O)=O)c(OC)c1 ZINC000541020676 1072526714 /nfs/dbraw/zinc/52/67/14/1072526714.db2.gz ZPKPVXYZLTXIDA-UHFFFAOYSA-N 0 0 441.466 -0.700 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000541021030 1072526795 /nfs/dbraw/zinc/52/67/95/1072526795.db2.gz UNVMTJTYXJRXQS-KRWDZBQOSA-N 0 0 425.507 -0.387 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000541021031 1072526765 /nfs/dbraw/zinc/52/67/65/1072526765.db2.gz UNVMTJTYXJRXQS-QGZVFWFLSA-N 0 0 425.507 -0.387 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)nn1 ZINC000541021058 1072526773 /nfs/dbraw/zinc/52/67/73/1072526773.db2.gz ROWRFNJCVDEMOC-HNNXBMFYSA-N 0 0 428.515 -0.013 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)nn1 ZINC000541021062 1072526667 /nfs/dbraw/zinc/52/66/67/1072526667.db2.gz ROWRFNJCVDEMOC-OAHLLOKOSA-N 0 0 428.515 -0.013 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2cccc(Cl)c2)CC1)S(N)(=O)=O ZINC000541021068 1072526705 /nfs/dbraw/zinc/52/67/05/1072526705.db2.gz RVJGYEWCLWSNMP-GFCCVEGCSA-N 0 0 430.914 -0.654 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2cccc(Cl)c2)CC1)S(N)(=O)=O ZINC000541021073 1072526815 /nfs/dbraw/zinc/52/68/15/1072526815.db2.gz RVJGYEWCLWSNMP-LBPRGKRZSA-N 0 0 430.914 -0.654 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](CO)Cc1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000541021620 1072527140 /nfs/dbraw/zinc/52/71/40/1072527140.db2.gz VZPFRONOBJGFRX-GHMZBOCLSA-N 0 0 425.429 -0.234 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000541021621 1072527249 /nfs/dbraw/zinc/52/72/49/1072527249.db2.gz VZPFRONOBJGFRX-MNOVXSKESA-N 0 0 425.429 -0.234 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](CO)Cc1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000541021622 1072527187 /nfs/dbraw/zinc/52/71/87/1072527187.db2.gz VZPFRONOBJGFRX-QWRGUYRKSA-N 0 0 425.429 -0.234 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](CO)Cc1ccccc1C(F)(F)F)S(N)(=O)=O ZINC000541021625 1072527152 /nfs/dbraw/zinc/52/71/52/1072527152.db2.gz VZPFRONOBJGFRX-WDEREUQCSA-N 0 0 425.429 -0.234 20 0 IBADRN Cc1cc(C)cc(OCC(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)c1 ZINC000541021682 1072526803 /nfs/dbraw/zinc/52/68/03/1072526803.db2.gz XSIFMIRLPGZSEB-HNNXBMFYSA-N 0 0 440.522 -0.854 20 0 IBADRN Cc1cc(C)cc(OCC(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)c1 ZINC000541021691 1072527262 /nfs/dbraw/zinc/52/72/62/1072527262.db2.gz XSIFMIRLPGZSEB-OAHLLOKOSA-N 0 0 440.522 -0.854 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CCCN(c2ccc(OC(F)F)cc2)C1=O)S(N)(=O)=O ZINC000541021788 1072527194 /nfs/dbraw/zinc/52/71/94/1072527194.db2.gz ZAIBLXLTOHKOGQ-GWCFXTLKSA-N 0 0 448.448 -0.307 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2ccc(OC(F)F)cc2)C1=O)S(N)(=O)=O ZINC000541021792 1072527243 /nfs/dbraw/zinc/52/72/43/1072527243.db2.gz ZAIBLXLTOHKOGQ-GXFFZTMASA-N 0 0 448.448 -0.307 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCCN(c2ccc(OC(F)F)cc2)C1=O)S(N)(=O)=O ZINC000541021794 1072527202 /nfs/dbraw/zinc/52/72/02/1072527202.db2.gz ZAIBLXLTOHKOGQ-MFKMUULPSA-N 0 0 448.448 -0.307 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2ccc(OC(F)F)cc2)C1=O)S(N)(=O)=O ZINC000541021796 1072527174 /nfs/dbraw/zinc/52/71/74/1072527174.db2.gz ZAIBLXLTOHKOGQ-ZWNOBZJWSA-N 0 0 448.448 -0.307 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000541023620 1072524767 /nfs/dbraw/zinc/52/47/67/1072524767.db2.gz DJQZTDXRKFPAEW-GOSISDBHSA-N 0 0 443.614 -0.455 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000541023621 1072524912 /nfs/dbraw/zinc/52/49/12/1072524912.db2.gz DJQZTDXRKFPAEW-SFHVURJKSA-N 0 0 443.614 -0.455 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)c1 ZINC000541026060 1072524845 /nfs/dbraw/zinc/52/48/45/1072524845.db2.gz JLKPJHUBMOUQOO-AWEZNQCLSA-N 0 0 433.556 -0.226 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)c1 ZINC000541026062 1072524799 /nfs/dbraw/zinc/52/47/99/1072524799.db2.gz JLKPJHUBMOUQOO-CQSZACIVSA-N 0 0 433.556 -0.226 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CCOc2ccccc2Cl)CC1)S(N)(=O)=O ZINC000541027731 1072525229 /nfs/dbraw/zinc/52/52/29/1072525229.db2.gz BQODBGMCPIRZCF-CYBMUJFWSA-N 0 0 432.930 -0.344 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CCOc2ccccc2Cl)CC1)S(N)(=O)=O ZINC000541027732 1072525314 /nfs/dbraw/zinc/52/53/14/1072525314.db2.gz BQODBGMCPIRZCF-ZDUSSCGKSA-N 0 0 432.930 -0.344 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000541027878 1072525215 /nfs/dbraw/zinc/52/52/15/1072525215.db2.gz OMMHPWDQVMAHGI-GXTWGEPZSA-N 0 0 441.554 -0.436 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000541027879 1072525245 /nfs/dbraw/zinc/52/52/45/1072525245.db2.gz OMMHPWDQVMAHGI-JSGCOSHPSA-N 0 0 441.554 -0.436 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000541027880 1072525320 /nfs/dbraw/zinc/52/53/20/1072525320.db2.gz OMMHPWDQVMAHGI-OCCSQVGLSA-N 0 0 441.554 -0.436 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000541027881 1072525309 /nfs/dbraw/zinc/52/53/09/1072525309.db2.gz OMMHPWDQVMAHGI-TZMCWYRMSA-N 0 0 441.554 -0.436 20 0 IBADRN Cc1ccc(CNC(=O)C2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cc1F ZINC000541027922 1072525261 /nfs/dbraw/zinc/52/52/61/1072525261.db2.gz BFGQBBRBJPLZOW-CYBMUJFWSA-N 0 0 442.513 -0.218 20 0 IBADRN Cc1ccc(CNC(=O)C2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cc1F ZINC000541027923 1072525304 /nfs/dbraw/zinc/52/53/04/1072525304.db2.gz BFGQBBRBJPLZOW-ZDUSSCGKSA-N 0 0 442.513 -0.218 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2Br)C1)S(N)(=O)=O ZINC000541028067 1072525267 /nfs/dbraw/zinc/52/52/67/1072525267.db2.gz AJJJTOLHRRSSQQ-GHMZBOCLSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccccc2Br)C1)S(N)(=O)=O ZINC000541028075 1072525318 /nfs/dbraw/zinc/52/53/18/1072525318.db2.gz AJJJTOLHRRSSQQ-MNOVXSKESA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccccc2Br)C1)S(N)(=O)=O ZINC000541028077 1072525325 /nfs/dbraw/zinc/52/53/25/1072525325.db2.gz AJJJTOLHRRSSQQ-QWRGUYRKSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2Br)C1)S(N)(=O)=O ZINC000541028078 1072525223 /nfs/dbraw/zinc/52/52/23/1072525223.db2.gz AJJJTOLHRRSSQQ-WDEREUQCSA-N 0 0 433.328 -0.063 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000541029222 1072528639 /nfs/dbraw/zinc/52/86/39/1072528639.db2.gz JJDPUMBSPGSJRG-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000541029311 1072524890 /nfs/dbraw/zinc/52/48/90/1072524890.db2.gz OMNUHSHVMDHHIO-GFCCVEGCSA-N 0 0 430.914 -0.654 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000541029312 1072525253 /nfs/dbraw/zinc/52/52/53/1072525253.db2.gz OMNUHSHVMDHHIO-LBPRGKRZSA-N 0 0 430.914 -0.654 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCCNC(=O)c1ccc(Br)cc1)S(N)(=O)=O ZINC000541029333 1072528160 /nfs/dbraw/zinc/52/81/60/1072528160.db2.gz QSORJBXRCRJJPC-JTQLQIEISA-N 0 0 449.327 -0.522 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCCNC(=O)c1ccc(Br)cc1)S(N)(=O)=O ZINC000541029334 1072528189 /nfs/dbraw/zinc/52/81/89/1072528189.db2.gz QSORJBXRCRJJPC-SNVBAGLBSA-N 0 0 449.327 -0.522 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2ccccc2Cl)CC1)S(N)(=O)=O ZINC000541029449 1072525652 /nfs/dbraw/zinc/52/56/52/1072525652.db2.gz GLTMIRXMOQFHGH-GFCCVEGCSA-N 0 0 430.914 -0.654 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2ccccc2Cl)CC1)S(N)(=O)=O ZINC000541029450 1072525567 /nfs/dbraw/zinc/52/55/67/1072525567.db2.gz GLTMIRXMOQFHGH-LBPRGKRZSA-N 0 0 430.914 -0.654 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C(=O)Nc1cccc(N2CCCC2)c1 ZINC000541029801 1072525690 /nfs/dbraw/zinc/52/56/90/1072525690.db2.gz RTQXTTYUZIBGJQ-CHWSQXEVSA-N 0 0 425.511 -0.477 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H](C)C(=O)Nc1cccc(N2CCCC2)c1)S(N)(=O)=O ZINC000541029810 1072525664 /nfs/dbraw/zinc/52/56/64/1072525664.db2.gz RTQXTTYUZIBGJQ-OLZOCXBDSA-N 0 0 425.511 -0.477 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C(=O)Nc1cccc(N2CCCC2)c1 ZINC000541029813 1072525780 /nfs/dbraw/zinc/52/57/80/1072525780.db2.gz RTQXTTYUZIBGJQ-QWHCGFSZSA-N 0 0 425.511 -0.477 20 0 IBADRN C[C@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C(=O)Nc1cccc(N2CCCC2)c1 ZINC000541029814 1072525763 /nfs/dbraw/zinc/52/57/63/1072525763.db2.gz RTQXTTYUZIBGJQ-STQMWFEESA-N 0 0 425.511 -0.477 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCCNC(=O)c1cccc(Br)c1)S(N)(=O)=O ZINC000541029886 1072525208 /nfs/dbraw/zinc/52/52/08/1072525208.db2.gz VAZRPBIMZVXAPD-JTQLQIEISA-N 0 0 449.327 -0.522 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCCNC(=O)c1cccc(Br)c1)S(N)(=O)=O ZINC000541029887 1072525272 /nfs/dbraw/zinc/52/52/72/1072525272.db2.gz VAZRPBIMZVXAPD-SNVBAGLBSA-N 0 0 449.327 -0.522 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(C(=O)NCc2ccc(F)cc2)CC1)S(N)(=O)=O ZINC000541029935 1072525199 /nfs/dbraw/zinc/52/51/99/1072525199.db2.gz KUXIPDORCWTXOW-GFCCVEGCSA-N 0 0 428.486 -0.526 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(C(=O)NCc2ccc(F)cc2)CC1)S(N)(=O)=O ZINC000541029968 1072525739 /nfs/dbraw/zinc/52/57/39/1072525739.db2.gz KUXIPDORCWTXOW-LBPRGKRZSA-N 0 0 428.486 -0.526 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)Nc2cccc(F)c2)CC1)S(N)(=O)=O ZINC000541030066 1072528434 /nfs/dbraw/zinc/52/84/34/1072528434.db2.gz XHCCITYJZHWTSY-CHWSQXEVSA-N 0 0 443.501 -0.910 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1)S(N)(=O)=O ZINC000541030069 1072528718 /nfs/dbraw/zinc/52/87/18/1072528718.db2.gz XHCCITYJZHWTSY-OLZOCXBDSA-N 0 0 443.501 -0.910 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC1 ZINC000541030070 1072528500 /nfs/dbraw/zinc/52/85/00/1072528500.db2.gz XHCCITYJZHWTSY-QWHCGFSZSA-N 0 0 443.501 -0.910 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1)S(N)(=O)=O ZINC000541030071 1072528537 /nfs/dbraw/zinc/52/85/37/1072528537.db2.gz XHCCITYJZHWTSY-STQMWFEESA-N 0 0 443.501 -0.910 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)CCCOc1ccc(S(C)(=O)=O)cc1)S(N)(=O)=O ZINC000541030224 1072528512 /nfs/dbraw/zinc/52/85/12/1072528512.db2.gz PVAJTNSBKKRKCU-GFCCVEGCSA-N 0 0 435.524 -0.889 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)CCCOc1ccc(S(C)(=O)=O)cc1)S(N)(=O)=O ZINC000541030226 1072528684 /nfs/dbraw/zinc/52/86/84/1072528684.db2.gz PVAJTNSBKKRKCU-LBPRGKRZSA-N 0 0 435.524 -0.889 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(=O)n(C)c2)CC1 ZINC000541030338 1072528562 /nfs/dbraw/zinc/52/85/62/1072528562.db2.gz QEYPBIAQDWPZPT-UHFFFAOYSA-N 0 0 439.538 -0.023 20 0 IBADRN Cc1cc(NC(=O)CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)ccc1Br ZINC000541030367 1072528673 /nfs/dbraw/zinc/52/86/73/1072528673.db2.gz RXKFNZDCZXLCSU-SECBINFHSA-N 0 0 435.300 -0.395 20 0 IBADRN Cc1cc(NC(=O)CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)ccc1Br ZINC000541030368 1072528528 /nfs/dbraw/zinc/52/85/28/1072528528.db2.gz RXKFNZDCZXLCSU-VIFPVBQESA-N 0 0 435.300 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2ccc(=O)n(C)c2)CC1 ZINC000541030485 1072529098 /nfs/dbraw/zinc/52/90/98/1072529098.db2.gz NNCSQBAQVIIOHO-UHFFFAOYSA-N 0 0 433.490 -0.419 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)c2c(F)cccc2Cl)CC1)S(N)(=O)=O ZINC000541030643 1072529198 /nfs/dbraw/zinc/52/91/98/1072529198.db2.gz VPYLMSCXRIMXFV-JTQLQIEISA-N 0 0 434.877 -0.443 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)c2c(F)cccc2Cl)CC1)S(N)(=O)=O ZINC000541030648 1072529211 /nfs/dbraw/zinc/52/92/11/1072529211.db2.gz VPYLMSCXRIMXFV-SNVBAGLBSA-N 0 0 434.877 -0.443 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CCOc2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000541030679 1072529216 /nfs/dbraw/zinc/52/92/16/1072529216.db2.gz WEOFZQNHYLCJHD-CYBMUJFWSA-N 0 0 432.930 -0.344 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CCOc2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000541030680 1072529142 /nfs/dbraw/zinc/52/91/42/1072529142.db2.gz WEOFZQNHYLCJHD-ZDUSSCGKSA-N 0 0 432.930 -0.344 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC1 ZINC000541030721 1072529137 /nfs/dbraw/zinc/52/91/37/1072529137.db2.gz PTUNGJCHBHPSJK-CYBMUJFWSA-N 0 0 426.495 -0.837 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC1 ZINC000541030728 1072529112 /nfs/dbraw/zinc/52/91/12/1072529112.db2.gz PTUNGJCHBHPSJK-ZDUSSCGKSA-N 0 0 426.495 -0.837 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(Cc2csc(C(C)(C)C)n2)CC1)S(N)(=O)=O ZINC000541030775 1072528421 /nfs/dbraw/zinc/52/84/21/1072528421.db2.gz XLTHDQNNQWWQIC-GFCCVEGCSA-N 0 0 431.584 -0.122 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(Cc2csc(C(C)(C)C)n2)CC1)S(N)(=O)=O ZINC000541030776 1072528487 /nfs/dbraw/zinc/52/84/87/1072528487.db2.gz XLTHDQNNQWWQIC-LBPRGKRZSA-N 0 0 431.584 -0.122 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1-n1cnnn1 ZINC000541030988 1072528552 /nfs/dbraw/zinc/52/85/52/1072528552.db2.gz WLAKSMIKXPRHTF-UHFFFAOYSA-N 0 0 446.493 -0.140 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2Cl)CC1)S(N)(=O)=O ZINC000541031014 1072529190 /nfs/dbraw/zinc/52/91/90/1072529190.db2.gz ZBOVXULNKPSASI-GFCCVEGCSA-N 0 0 445.929 -0.784 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2Cl)CC1)S(N)(=O)=O ZINC000541031015 1072529161 /nfs/dbraw/zinc/52/91/61/1072529161.db2.gz ZBOVXULNKPSASI-LBPRGKRZSA-N 0 0 445.929 -0.784 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCC1(c2ccc3c(c2)OCO3)CCOCC1)S(N)(=O)=O ZINC000541031153 1072529156 /nfs/dbraw/zinc/52/91/56/1072529156.db2.gz SXNXJHVGBKVUFM-GFCCVEGCSA-N 0 0 427.479 -0.627 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCC1(c2ccc3c(c2)OCO3)CCOCC1)S(N)(=O)=O ZINC000541031158 1072529004 /nfs/dbraw/zinc/52/90/04/1072529004.db2.gz SXNXJHVGBKVUFM-LBPRGKRZSA-N 0 0 427.479 -0.627 20 0 IBADRN CC[NH+]1CCN(Cc2ccc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc2)CC1 ZINC000541031201 1072529069 /nfs/dbraw/zinc/52/90/69/1072529069.db2.gz YTJSXRQGPGEMAJ-HNNXBMFYSA-N 0 0 425.555 -0.767 20 0 IBADRN CC[NH+]1CCN(Cc2ccc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc2)CC1 ZINC000541031204 1072529017 /nfs/dbraw/zinc/52/90/17/1072529017.db2.gz YTJSXRQGPGEMAJ-OAHLLOKOSA-N 0 0 425.555 -0.767 20 0 IBADRN COc1ccc(OC)c(CN2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)c1 ZINC000541031747 1072528979 /nfs/dbraw/zinc/52/89/79/1072528979.db2.gz HSSCLTRCDDKVEN-CYBMUJFWSA-N 0 0 428.511 -0.859 20 0 IBADRN COc1ccc(OC)c(CN2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)c1 ZINC000541031748 1072528990 /nfs/dbraw/zinc/52/89/90/1072528990.db2.gz HSSCLTRCDDKVEN-ZDUSSCGKSA-N 0 0 428.511 -0.859 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](c1ccc(Cl)cc1)N1CCOCC1)S(N)(=O)=O ZINC000541032181 1072529589 /nfs/dbraw/zinc/52/95/89/1072529589.db2.gz QHTPKJWTTWJGFO-DOMZBBRYSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](c1ccc(Cl)cc1)N1CCOCC1)S(N)(=O)=O ZINC000541032182 1072529453 /nfs/dbraw/zinc/52/94/53/1072529453.db2.gz QHTPKJWTTWJGFO-IUODEOHRSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](c1ccc(Cl)cc1)N1CCOCC1)S(N)(=O)=O ZINC000541032183 1072529483 /nfs/dbraw/zinc/52/94/83/1072529483.db2.gz QHTPKJWTTWJGFO-SWLSCSKDSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](c1ccc(Cl)cc1)N1CCOCC1)S(N)(=O)=O ZINC000541032184 1072529556 /nfs/dbraw/zinc/52/95/56/1072529556.db2.gz QHTPKJWTTWJGFO-WFASDCNBSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCCCC1)S(N)(=O)=O ZINC000541032280 1072529124 /nfs/dbraw/zinc/52/91/24/1072529124.db2.gz SIEXPOYIKMKKLX-CYBMUJFWSA-N 0 0 446.551 -0.729 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCCCC1)S(N)(=O)=O ZINC000541032281 1072529564 /nfs/dbraw/zinc/52/95/64/1072529564.db2.gz SIEXPOYIKMKKLX-ZDUSSCGKSA-N 0 0 446.551 -0.729 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000541035082 1072529472 /nfs/dbraw/zinc/52/94/72/1072529472.db2.gz NZJLITJHZCDGIR-INIZCTEOSA-N 0 0 426.495 -0.636 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000541035087 1072529505 /nfs/dbraw/zinc/52/95/05/1072529505.db2.gz NZJLITJHZCDGIR-MRXNPFEDSA-N 0 0 426.495 -0.636 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCCOC)cc2)CCO1 ZINC000541035561 1072527254 /nfs/dbraw/zinc/52/72/54/1072527254.db2.gz JOBMARDAVMIIQZ-HNNXBMFYSA-N 0 0 427.479 -0.591 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCCOC)cc2)CCO1 ZINC000541035564 1072527162 /nfs/dbraw/zinc/52/71/62/1072527162.db2.gz JOBMARDAVMIIQZ-OAHLLOKOSA-N 0 0 427.479 -0.591 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CCO1 ZINC000541038490 1072527771 /nfs/dbraw/zinc/52/77/71/1072527771.db2.gz HTPMHGAYBYVMMK-HNNXBMFYSA-N 0 0 440.478 -0.952 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CCO1 ZINC000541038492 1072527780 /nfs/dbraw/zinc/52/77/80/1072527780.db2.gz HTPMHGAYBYVMMK-OAHLLOKOSA-N 0 0 440.478 -0.952 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2C)CCO1 ZINC000541038686 1072527498 /nfs/dbraw/zinc/52/74/98/1072527498.db2.gz IJHMFXACMLENSG-HNNXBMFYSA-N 0 0 438.506 -0.344 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2C)CCO1 ZINC000541038687 1072527517 /nfs/dbraw/zinc/52/75/17/1072527517.db2.gz IJHMFXACMLENSG-OAHLLOKOSA-N 0 0 438.506 -0.344 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000541038989 1072527124 /nfs/dbraw/zinc/52/71/24/1072527124.db2.gz LHPWORDUBMAAGV-INIZCTEOSA-N 0 0 434.449 -0.921 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000541038990 1072527786 /nfs/dbraw/zinc/52/77/86/1072527786.db2.gz LHPWORDUBMAAGV-MRXNPFEDSA-N 0 0 434.449 -0.921 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccnn2Cc2cccc(OC)c2OC)CCO1 ZINC000541039194 1072527589 /nfs/dbraw/zinc/52/75/89/1072527589.db2.gz NGOGDVQYFVFNSS-HNNXBMFYSA-N 0 0 431.449 -0.140 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccnn2Cc2cccc(OC)c2OC)CCO1 ZINC000541039203 1072527723 /nfs/dbraw/zinc/52/77/23/1072527723.db2.gz NGOGDVQYFVFNSS-OAHLLOKOSA-N 0 0 431.449 -0.140 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCC2(C(=O)OC)CCOCC2)ccc1C ZINC000541039507 1072527745 /nfs/dbraw/zinc/52/77/45/1072527745.db2.gz OXDZPYAHCIQETI-UHFFFAOYSA-N 0 0 427.479 -0.072 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CCO1 ZINC000541039728 1072527700 /nfs/dbraw/zinc/52/77/00/1072527700.db2.gz UMWJEXNQRHICBP-GOSISDBHSA-N 0 0 431.493 -0.737 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CCO1 ZINC000541039729 1072527468 /nfs/dbraw/zinc/52/74/68/1072527468.db2.gz UMWJEXNQRHICBP-SFHVURJKSA-N 0 0 431.493 -0.737 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)n1 ZINC000541040193 1072527757 /nfs/dbraw/zinc/52/77/57/1072527757.db2.gz BCXXMECMVWTFHH-INIZCTEOSA-N 0 0 429.433 -0.039 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)n1 ZINC000541040194 1072527795 /nfs/dbraw/zinc/52/77/95/1072527795.db2.gz BCXXMECMVWTFHH-MRXNPFEDSA-N 0 0 429.433 -0.039 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)CCO1 ZINC000541040458 1072527599 /nfs/dbraw/zinc/52/75/99/1072527599.db2.gz ABPAOAURAWBNDJ-KRWDZBQOSA-N 0 0 439.538 -0.009 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)CCO1 ZINC000541040459 1072527647 /nfs/dbraw/zinc/52/76/47/1072527647.db2.gz ABPAOAURAWBNDJ-QGZVFWFLSA-N 0 0 439.538 -0.009 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c3ccncc23)CCO1 ZINC000541041032 1072528129 /nfs/dbraw/zinc/52/81/29/1072528129.db2.gz GHGUSQGOEXMBJB-GOSISDBHSA-N 0 0 427.461 -0.017 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c3ccncc23)CCO1 ZINC000541041033 1072528112 /nfs/dbraw/zinc/52/81/12/1072528112.db2.gz GHGUSQGOEXMBJB-SFHVURJKSA-N 0 0 427.461 -0.017 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)CCO1 ZINC000541041451 1072527735 /nfs/dbraw/zinc/52/77/35/1072527735.db2.gz KBWCHNZBAMVBBS-MSOLQXFVSA-N 0 0 444.488 -0.234 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)CCO1 ZINC000541041452 1072527689 /nfs/dbraw/zinc/52/76/89/1072527689.db2.gz KBWCHNZBAMVBBS-QZTJIDSGSA-N 0 0 444.488 -0.234 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)CCO1 ZINC000541041453 1072527457 /nfs/dbraw/zinc/52/74/57/1072527457.db2.gz KBWCHNZBAMVBBS-ROUUACIJSA-N 0 0 444.488 -0.234 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)CCO1 ZINC000541041454 1072527633 /nfs/dbraw/zinc/52/76/33/1072527633.db2.gz KBWCHNZBAMVBBS-ZWKOTPCHSA-N 0 0 444.488 -0.234 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)cc1-n1cnnn1 ZINC000541042819 1072528122 /nfs/dbraw/zinc/52/81/22/1072528122.db2.gz UBARRAFUVUORHP-UHFFFAOYSA-N 0 0 429.466 -0.081 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCO1 ZINC000541044770 1072528152 /nfs/dbraw/zinc/52/81/52/1072528152.db2.gz FYFBAWHCKAUIIZ-INIZCTEOSA-N 0 0 425.511 -0.399 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCO1 ZINC000541044771 1072528186 /nfs/dbraw/zinc/52/81/86/1072528186.db2.gz FYFBAWHCKAUIIZ-MRXNPFEDSA-N 0 0 425.511 -0.399 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CNC(=O)c2ccccc2I)CCO1 ZINC000541045340 1072528143 /nfs/dbraw/zinc/52/81/43/1072528143.db2.gz WGTBPEDTAXTEBA-GFCCVEGCSA-N 0 0 431.230 -0.006 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CNC(=O)c2ccccc2I)CCO1 ZINC000541045341 1072528168 /nfs/dbraw/zinc/52/81/68/1072528168.db2.gz WGTBPEDTAXTEBA-LBPRGKRZSA-N 0 0 431.230 -0.006 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541045380 1072528180 /nfs/dbraw/zinc/52/81/80/1072528180.db2.gz ZAQDIPRPWFRFLI-HNNXBMFYSA-N 0 0 427.479 -0.697 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541045381 1072528175 /nfs/dbraw/zinc/52/81/75/1072528175.db2.gz ZAQDIPRPWFRFLI-OAHLLOKOSA-N 0 0 427.479 -0.697 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541045413 1072528089 /nfs/dbraw/zinc/52/80/89/1072528089.db2.gz HIGBSMSFWQCWRT-INIZCTEOSA-N 0 0 441.506 -0.768 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541045414 1072528104 /nfs/dbraw/zinc/52/81/04/1072528104.db2.gz HIGBSMSFWQCWRT-MRXNPFEDSA-N 0 0 441.506 -0.768 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CCc2nc3cc(S(=O)(=O)N(C)C)ccc3n2C)CCO1 ZINC000541046492 1072530105 /nfs/dbraw/zinc/53/01/05/1072530105.db2.gz NOKTTXWNERMANQ-INIZCTEOSA-N 0 0 437.522 -0.270 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCc2nc3cc(S(=O)(=O)N(C)C)ccc3n2C)CCO1 ZINC000541046495 1072530142 /nfs/dbraw/zinc/53/01/42/1072530142.db2.gz NOKTTXWNERMANQ-MRXNPFEDSA-N 0 0 437.522 -0.270 20 0 IBADRN COC(=O)C1(CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCOCC1 ZINC000541054388 1072529965 /nfs/dbraw/zinc/52/99/65/1072529965.db2.gz SSYQXJHSZPGFIN-CYBMUJFWSA-N 0 0 439.490 -0.227 20 0 IBADRN COC(=O)C1(CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCOCC1 ZINC000541054389 1072530130 /nfs/dbraw/zinc/53/01/30/1072530130.db2.gz SSYQXJHSZPGFIN-ZDUSSCGKSA-N 0 0 439.490 -0.227 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000541058966 1072529599 /nfs/dbraw/zinc/52/95/99/1072529599.db2.gz BDHZTPPBCAGMAA-INIZCTEOSA-N 0 0 428.486 -0.895 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000541058967 1072529517 /nfs/dbraw/zinc/52/95/17/1072529517.db2.gz BDHZTPPBCAGMAA-MRXNPFEDSA-N 0 0 428.486 -0.895 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CCO1 ZINC000541060134 1072529531 /nfs/dbraw/zinc/52/95/31/1072529531.db2.gz UATMTWILYRJMMQ-GFCCVEGCSA-N 0 0 425.385 -0.163 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CCO1 ZINC000541060139 1072530017 /nfs/dbraw/zinc/53/00/17/1072530017.db2.gz UATMTWILYRJMMQ-LBPRGKRZSA-N 0 0 425.385 -0.163 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CCO[C@@H](C(=O)NC)C1 ZINC000541060221 1072530059 /nfs/dbraw/zinc/53/00/59/1072530059.db2.gz OEPSBEOZYFQPFW-LLVKDONJSA-N 0 0 426.495 -0.022 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CCO[C@H](C(=O)NC)C1 ZINC000541060224 1072529495 /nfs/dbraw/zinc/52/94/95/1072529495.db2.gz OEPSBEOZYFQPFW-NSHDSACASA-N 0 0 426.495 -0.022 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1=O ZINC000541060731 1072529417 /nfs/dbraw/zinc/52/94/17/1072529417.db2.gz OJFWZWIBXBGAGT-GOSISDBHSA-N 0 0 437.478 -0.239 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1=O ZINC000541060733 1072529544 /nfs/dbraw/zinc/52/95/44/1072529544.db2.gz OJFWZWIBXBGAGT-SFHVURJKSA-N 0 0 437.478 -0.239 20 0 IBADRN CNC(=O)[C@@H]1CN([C@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000541061588 1072530121 /nfs/dbraw/zinc/53/01/21/1072530121.db2.gz ZOVCIKVNDHJUIH-PBHICJAKSA-N 0 0 440.522 -0.519 20 0 IBADRN CNC(=O)[C@H]1CN([C@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000541061589 1072529981 /nfs/dbraw/zinc/52/99/81/1072529981.db2.gz ZOVCIKVNDHJUIH-RHSMWYFYSA-N 0 0 440.522 -0.519 20 0 IBADRN CNC(=O)[C@H]1CN([C@@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000541061590 1072529948 /nfs/dbraw/zinc/52/99/48/1072529948.db2.gz ZOVCIKVNDHJUIH-WMLDXEAASA-N 0 0 440.522 -0.519 20 0 IBADRN CNC(=O)[C@@H]1CN([C@@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000541061591 1072530041 /nfs/dbraw/zinc/53/00/41/1072530041.db2.gz ZOVCIKVNDHJUIH-YOEHRIQHSA-N 0 0 440.522 -0.519 20 0 IBADRN C[C@H](CNC(=O)c1cc(I)ccc1-n1cnnn1)S(N)(=O)=O ZINC000541063114 1072529930 /nfs/dbraw/zinc/52/99/30/1072529930.db2.gz TXCLYBSNJZQXPP-SSDOTTSWSA-N 0 0 436.235 -0.326 20 0 IBADRN C[C@@H](CNC(=O)c1cc(I)ccc1-n1cnnn1)S(N)(=O)=O ZINC000541063115 1072530026 /nfs/dbraw/zinc/53/00/26/1072530026.db2.gz TXCLYBSNJZQXPP-ZETCQYMHSA-N 0 0 436.235 -0.326 20 0 IBADRN O=C(CSc1nnc2ccccn21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000541063641 1072530485 /nfs/dbraw/zinc/53/04/85/1072530485.db2.gz SPZZUYLVMZWHCK-UHFFFAOYSA-N 0 0 426.524 -0.457 20 0 IBADRN COc1cccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000541064496 1072530836 /nfs/dbraw/zinc/53/08/36/1072530836.db2.gz IEYRAUAZYQLGHE-UHFFFAOYSA-N 0 0 426.495 -0.854 20 0 IBADRN COC(=O)c1ccccc1OCCN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000541071083 1072530074 /nfs/dbraw/zinc/53/00/74/1072530074.db2.gz QZVYBKRKFUEFNE-INIZCTEOSA-N 0 0 439.534 -0.227 20 0 IBADRN COC(=O)c1ccccc1OCCN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000541071084 1072530156 /nfs/dbraw/zinc/53/01/56/1072530156.db2.gz QZVYBKRKFUEFNE-MRXNPFEDSA-N 0 0 439.534 -0.227 20 0 IBADRN O=C(N[C@H]1CN(c2ccccc2)C1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000541080888 1072530399 /nfs/dbraw/zinc/53/03/99/1072530399.db2.gz KZKZPMJHFZJMSG-KRWDZBQOSA-N 0 0 428.470 -0.048 20 0 IBADRN O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000541080892 1072530685 /nfs/dbraw/zinc/53/06/85/1072530685.db2.gz KZKZPMJHFZJMSG-QGZVFWFLSA-N 0 0 428.470 -0.048 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)Cc3noc4ccccc43)CC2)CC1 ZINC000541089272 1072530789 /nfs/dbraw/zinc/53/07/89/1072530789.db2.gz AXQAABOWDAGUDH-UHFFFAOYSA-N 0 0 443.551 -0.233 20 0 IBADRN COc1cccc(C(=O)NCC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000541097736 1072530809 /nfs/dbraw/zinc/53/08/09/1072530809.db2.gz DTIKFJASLBSKCN-UHFFFAOYSA-N 0 0 440.522 -0.417 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc(C(F)(F)F)nc2)CC1)N1CCOCC1 ZINC000541109454 1072531187 /nfs/dbraw/zinc/53/11/87/1072531187.db2.gz JGLIVNKYRIGACV-UHFFFAOYSA-N 0 0 436.412 -0.208 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(F)cc(C(=O)OC)c1 ZINC000541109976 1072531161 /nfs/dbraw/zinc/53/11/61/1072531161.db2.gz NEXOKHMASAMJNP-CYBMUJFWSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(F)cc(C(=O)OC)c1 ZINC000541109977 1072531146 /nfs/dbraw/zinc/53/11/46/1072531146.db2.gz NEXOKHMASAMJNP-ZDUSSCGKSA-N 0 0 436.483 -0.074 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c1 ZINC000541117331 1072531115 /nfs/dbraw/zinc/53/11/15/1072531115.db2.gz DHIBDRGPUDWTKN-GHMZBOCLSA-N 0 0 436.483 -0.170 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1 ZINC000541117332 1072531316 /nfs/dbraw/zinc/53/13/16/1072531316.db2.gz DHIBDRGPUDWTKN-MNOVXSKESA-N 0 0 436.483 -0.170 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1 ZINC000541117333 1072531394 /nfs/dbraw/zinc/53/13/94/1072531394.db2.gz DHIBDRGPUDWTKN-QWRGUYRKSA-N 0 0 436.483 -0.170 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)c1 ZINC000541117334 1072531251 /nfs/dbraw/zinc/53/12/51/1072531251.db2.gz DHIBDRGPUDWTKN-WDEREUQCSA-N 0 0 436.483 -0.170 20 0 IBADRN CCOC(CNS(=O)(=O)c1cnn(CC)c1)CNS(=O)(=O)c1cnn(CC)c1 ZINC000541123579 1072531270 /nfs/dbraw/zinc/53/12/70/1072531270.db2.gz DVQCGONFHZKTSY-UHFFFAOYSA-N 0 0 434.544 -0.219 20 0 IBADRN CCOC(CNS(=O)(=O)c1cncn1CC)CNS(=O)(=O)c1cncn1CC ZINC000541126061 1072531225 /nfs/dbraw/zinc/53/12/25/1072531225.db2.gz XDMPQYLVFLMTTG-UHFFFAOYSA-N 0 0 434.544 -0.219 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N[C@@H]1CCC(=O)NC1=O ZINC000541128122 1072531674 /nfs/dbraw/zinc/53/16/74/1072531674.db2.gz PAKMISIPLBJCFE-GFCCVEGCSA-N 0 0 426.451 -0.357 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N[C@H]1CCC(=O)NC1=O ZINC000541128123 1072531877 /nfs/dbraw/zinc/53/18/77/1072531877.db2.gz PAKMISIPLBJCFE-LBPRGKRZSA-N 0 0 426.451 -0.357 20 0 IBADRN COc1ccc(NC(=O)N[C@@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000541129322 1072532216 /nfs/dbraw/zinc/53/22/16/1072532216.db2.gz PZJXLYZHOMVSFY-GFCCVEGCSA-N 0 0 426.451 -0.357 20 0 IBADRN COc1ccc(NC(=O)N[C@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000541129323 1072532280 /nfs/dbraw/zinc/53/22/80/1072532280.db2.gz PZJXLYZHOMVSFY-LBPRGKRZSA-N 0 0 426.451 -0.357 20 0 IBADRN CCOC(CNC(=O)Cn1c(C)cc(O)cc1=O)CNC(=O)Cn1c(C)cc(O)cc1=O ZINC000541132251 1072531239 /nfs/dbraw/zinc/53/12/39/1072531239.db2.gz OMUMXMHXWXHHDP-UHFFFAOYSA-N 0 0 448.476 -0.624 20 0 IBADRN CCOC(CNC(=O)c1c(C)c(C)nn(C)c1=O)CNC(=O)c1c(C)c(C)nn(C)c1=O ZINC000541133446 1072531374 /nfs/dbraw/zinc/53/13/74/1072531374.db2.gz VZMGBSLGSHQROZ-UHFFFAOYSA-N 0 0 446.508 -0.327 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)cs1 ZINC000541136564 1073350637 /nfs/dbraw/zinc/35/06/37/1073350637.db2.gz DXAQWHGCPZDPIP-UHFFFAOYSA-N 0 0 434.526 -0.332 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1=O ZINC000541137377 1073306250 /nfs/dbraw/zinc/30/62/50/1073306250.db2.gz NOJKZZULYQCMAZ-ILXRZTDVSA-N 0 0 431.497 -0.255 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1=O ZINC000541137386 1073306816 /nfs/dbraw/zinc/30/68/16/1073306816.db2.gz NOJKZZULYQCMAZ-KFWWJZLASA-N 0 0 431.497 -0.255 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1=O ZINC000541137389 1073307222 /nfs/dbraw/zinc/30/72/22/1073307222.db2.gz NOJKZZULYQCMAZ-QLFBSQMISA-N 0 0 431.497 -0.255 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1=O ZINC000541137391 1073307392 /nfs/dbraw/zinc/30/73/92/1073307392.db2.gz NOJKZZULYQCMAZ-RBSFLKMASA-N 0 0 431.497 -0.255 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@@H](C(=O)Nc3ccc(Cl)cn3)C2)n1 ZINC000541137980 1073313420 /nfs/dbraw/zinc/31/34/20/1073313420.db2.gz SGWGCOILMYWMJC-LLVKDONJSA-N 0 0 448.871 -0.112 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC[C@H](C(=O)Nc3ccc(Cl)cn3)C2)n1 ZINC000541137985 1073313956 /nfs/dbraw/zinc/31/39/56/1073313956.db2.gz SGWGCOILMYWMJC-NSHDSACASA-N 0 0 448.871 -0.112 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(C)c(F)c3)CC2)n1 ZINC000541138106 1074365065 /nfs/dbraw/zinc/36/50/65/1074365065.db2.gz HYDWLZIMQXZYTE-UHFFFAOYSA-N 0 0 431.428 -0.605 20 0 IBADRN COc1cc2c(cc1OC)CCN(C(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)CC2 ZINC000541138320 1073342527 /nfs/dbraw/zinc/34/25/27/1073342527.db2.gz VRBQCBYPAHSVBQ-UHFFFAOYSA-N 0 0 430.465 -0.051 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000541138345 1072531850 /nfs/dbraw/zinc/53/18/50/1072531850.db2.gz WHCUPOWVPWVHOB-UHFFFAOYSA-N 0 0 445.461 -0.167 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cccc2c1OCO2)N1CCN(c2ncccn2)CC1 ZINC000541138379 1072531647 /nfs/dbraw/zinc/53/16/47/1072531647.db2.gz WPMZWMKIMANPFG-UHFFFAOYSA-N 0 0 426.433 -0.001 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOCC2(CC2)C1 ZINC000541138587 1072531356 /nfs/dbraw/zinc/53/13/56/1072531356.db2.gz MELYHIABHQDPFN-UHFFFAOYSA-N 0 0 436.490 -0.615 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3C)CC2)n1 ZINC000541139878 1074365033 /nfs/dbraw/zinc/36/50/33/1074365033.db2.gz XJFOFEJEEINSIK-UHFFFAOYSA-N 0 0 427.465 -0.815 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000541140021 1073349190 /nfs/dbraw/zinc/34/91/90/1073349190.db2.gz GEJVISXGZUDGKO-UHFFFAOYSA-N 0 0 429.481 -0.342 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2ccccn2)CC1 ZINC000541140181 1072531886 /nfs/dbraw/zinc/53/18/86/1072531886.db2.gz GEASTBCQALQVQY-UHFFFAOYSA-N 0 0 436.494 -0.079 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(Cl)c3)CC2)n1 ZINC000541140807 1072531689 /nfs/dbraw/zinc/53/16/89/1072531689.db2.gz NLSSYMMKQJYGPR-UHFFFAOYSA-N 0 0 447.883 -0.057 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccc(C)cc3)CC2)n1 ZINC000541141345 1074365311 /nfs/dbraw/zinc/36/53/11/1074365311.db2.gz SPQRBUQEODMYKQ-UHFFFAOYSA-N 0 0 427.465 -0.815 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N4CCCCCC4)n3)CC2)cn1 ZINC000541141827 1072531747 /nfs/dbraw/zinc/53/17/47/1072531747.db2.gz MCQXKQWWXHCBHC-UHFFFAOYSA-N 0 0 443.512 -0.299 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3c(F)cccc3F)C2)n1 ZINC000541142170 1074365235 /nfs/dbraw/zinc/36/52/35/1074365235.db2.gz DHSQREDUPXBRPZ-JTQLQIEISA-N 0 0 435.391 -0.495 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3c(F)cccc3F)C2)n1 ZINC000541142171 1074365786 /nfs/dbraw/zinc/36/57/86/1074365786.db2.gz DHSQREDUPXBRPZ-SNVBAGLBSA-N 0 0 435.391 -0.495 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3Cl)C2=O)n1 ZINC000541142899 1072531932 /nfs/dbraw/zinc/53/19/32/1072531932.db2.gz BDLHWUXBMSXWEG-GFCCVEGCSA-N 0 0 433.856 -0.072 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CCCN(c3ccccc3Cl)C2=O)n1 ZINC000541142903 1072531825 /nfs/dbraw/zinc/53/18/25/1072531825.db2.gz BDLHWUXBMSXWEG-LBPRGKRZSA-N 0 0 433.856 -0.072 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000541143905 1072531838 /nfs/dbraw/zinc/53/18/38/1072531838.db2.gz QWSUPFIYVZGFBF-GFCCVEGCSA-N 0 0 425.401 -0.053 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000541143906 1072531810 /nfs/dbraw/zinc/53/18/10/1072531810.db2.gz QWSUPFIYVZGFBF-LBPRGKRZSA-N 0 0 425.401 -0.053 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)n1 ZINC000541145055 1074365720 /nfs/dbraw/zinc/36/57/20/1074365720.db2.gz FCAQRANAWYJIIK-UHFFFAOYSA-N 0 0 445.484 -0.768 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCS1(=O)=O ZINC000541145060 1073325399 /nfs/dbraw/zinc/32/53/99/1073325399.db2.gz FFGRCOSSBRKDOR-UHFFFAOYSA-N 0 0 440.526 -0.345 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3ncnc4ccsc43)CC2)n1 ZINC000541146320 1073305211 /nfs/dbraw/zinc/30/52/11/1073305211.db2.gz JONUZHGDPXBQSE-UHFFFAOYSA-N 0 0 443.493 -0.342 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cc(OC)cc(OC)c3)CC2)n1 ZINC000541146609 1074365698 /nfs/dbraw/zinc/36/56/98/1074365698.db2.gz KDOWFZWKPHDKKV-UHFFFAOYSA-N 0 0 445.480 -0.676 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2OC)CC1 ZINC000541147042 1072533273 /nfs/dbraw/zinc/53/32/73/1072533273.db2.gz QPFNDPQYSPCIOI-UHFFFAOYSA-N 0 0 434.449 -0.350 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNC(=O)c1ccc(OC(F)F)c(OC)c1 ZINC000541148966 1072533413 /nfs/dbraw/zinc/53/34/13/1072533413.db2.gz GNGHTXPSCZIQLJ-LLVKDONJSA-N 0 0 429.376 -0.084 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNC(=O)c1ccc(OC(F)F)c(OC)c1 ZINC000541148970 1072533181 /nfs/dbraw/zinc/53/31/81/1072533181.db2.gz GNGHTXPSCZIQLJ-NSHDSACASA-N 0 0 429.376 -0.084 20 0 IBADRN COc1c(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cccc1C(N)=O ZINC000541151379 1072532359 /nfs/dbraw/zinc/53/23/59/1072532359.db2.gz ZXIGICZCBZANOV-UHFFFAOYSA-N 0 0 441.510 -0.043 20 0 IBADRN O=C(Nc1cnc2c(c1)CCCC2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000541153753 1072532314 /nfs/dbraw/zinc/53/23/14/1072532314.db2.gz AOFIYYIXLKKDLE-UHFFFAOYSA-N 0 0 437.522 -0.380 20 0 IBADRN O=C(Nc1cnc2c(c1)CCCC2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000541154126 1072532900 /nfs/dbraw/zinc/53/29/00/1072532900.db2.gz IKHDLYLABVNJHK-UHFFFAOYSA-N 0 0 429.477 -0.572 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(c3cnccn3)CC2)n1)N1CCCCCC1 ZINC000541154341 1072532698 /nfs/dbraw/zinc/53/26/98/1072532698.db2.gz GOIMEQLUXVXVLY-UHFFFAOYSA-N 0 0 441.496 -0.242 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)cc1 ZINC000541154364 1073338842 /nfs/dbraw/zinc/33/88/42/1073338842.db2.gz BXPPXVFDKAWIQA-UHFFFAOYSA-N 0 0 427.465 -0.402 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)n1 ZINC000541154425 1074365808 /nfs/dbraw/zinc/36/58/08/1074365808.db2.gz CFFOYFDNUAEEON-UHFFFAOYSA-N 0 0 443.464 -0.922 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)N1CCN(c2ccccc2)CC1 ZINC000541154456 1072532795 /nfs/dbraw/zinc/53/27/95/1072532795.db2.gz FBOAPCVKEZOXRN-INIZCTEOSA-N 0 0 442.524 -0.368 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)N1CCN(c2ccccc2)CC1 ZINC000541154464 1072532879 /nfs/dbraw/zinc/53/28/79/1072532879.db2.gz FBOAPCVKEZOXRN-MRXNPFEDSA-N 0 0 442.524 -0.368 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cc(OC)ccc3OC)CC2)n1 ZINC000541154634 1074365795 /nfs/dbraw/zinc/36/57/95/1074365795.db2.gz DRGSQQURSFNKDU-UHFFFAOYSA-N 0 0 445.480 -0.676 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000541154900 1073345909 /nfs/dbraw/zinc/34/59/09/1073345909.db2.gz LMZQKBPQVHUMGY-UHFFFAOYSA-N 0 0 447.471 -0.203 20 0 IBADRN COc1ncncc1NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000541154921 1072532684 /nfs/dbraw/zinc/53/26/84/1072532684.db2.gz MFXMOPWZWQBBFI-UHFFFAOYSA-N 0 0 449.489 -0.098 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCCN(Cc3ccc(OC)cc3)CC2)n1 ZINC000541155075 1073313405 /nfs/dbraw/zinc/31/34/05/1073313405.db2.gz FXGLEBTUGWKCQE-UHFFFAOYSA-N 0 0 429.481 -0.294 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(C)c(OC)c3)CC2)n1 ZINC000541155083 1074365771 /nfs/dbraw/zinc/36/57/71/1074365771.db2.gz FXYHLXOPQMKIEG-UHFFFAOYSA-N 0 0 443.464 -0.736 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)n1 ZINC000541155403 1074365732 /nfs/dbraw/zinc/36/57/32/1074365732.db2.gz OBLJVZQXAQCJTD-UHFFFAOYSA-N 0 0 428.497 -0.757 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cccc1C(N)=O ZINC000541155624 1072532842 /nfs/dbraw/zinc/53/28/42/1072532842.db2.gz IRGXYLNMJWVXSD-UHFFFAOYSA-N 0 0 431.493 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(c3ncccn3)CC2)n1)N1CCCCCC1 ZINC000541156149 1072532761 /nfs/dbraw/zinc/53/27/61/1072532761.db2.gz UQATXEFXBWEJDQ-UHFFFAOYSA-N 0 0 441.496 -0.242 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cn4ccccc4n3)CC2)n1 ZINC000541156223 1074365613 /nfs/dbraw/zinc/36/56/13/1074365613.db2.gz YRALXNUXPBFDQL-UHFFFAOYSA-N 0 0 439.480 -0.703 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)NC)n2)CC1 ZINC000541156282 1074365712 /nfs/dbraw/zinc/36/57/12/1074365712.db2.gz PGHKYQASHIDUGN-UHFFFAOYSA-N 0 0 443.464 -0.654 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000541157184 1072532815 /nfs/dbraw/zinc/53/28/15/1072532815.db2.gz XWTLLZUGXMACHI-UHFFFAOYSA-N 0 0 429.462 -0.163 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cc3c(cc2Br)NC(=O)CO3)CC1 ZINC000541164504 1072533812 /nfs/dbraw/zinc/53/38/12/1072533812.db2.gz BMHHENIEVAVISR-UHFFFAOYSA-N 0 0 447.311 -0.174 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCS(=O)(=O)Cc1nnc(C3CCC3)n1C)c(=O)n2C ZINC000541169274 1072533766 /nfs/dbraw/zinc/53/37/66/1072533766.db2.gz BLPAWXZVWUAXMX-UHFFFAOYSA-N 0 0 435.510 -0.165 20 0 IBADRN Cc1cc(N(C)CC(=O)N2CCOCC2)nc([C@H](C)N(C)CC(=O)N2CCOCC2)n1 ZINC000541171980 1072533322 /nfs/dbraw/zinc/53/33/22/1072533322.db2.gz SYPKBDVMYQYCSU-KRWDZBQOSA-N 0 0 434.541 -0.068 20 0 IBADRN Cc1cc(N(C)CC(=O)N2CCOCC2)nc([C@@H](C)N(C)CC(=O)N2CCOCC2)n1 ZINC000541171981 1072533305 /nfs/dbraw/zinc/53/33/05/1072533305.db2.gz SYPKBDVMYQYCSU-QGZVFWFLSA-N 0 0 434.541 -0.068 20 0 IBADRN COc1c(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cccc1C(N)=O ZINC000541174785 1072533223 /nfs/dbraw/zinc/53/32/23/1072533223.db2.gz GDWDJQAZQDXXDN-GFCCVEGCSA-N 0 0 442.494 -0.663 20 0 IBADRN COc1c(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cccc1C(N)=O ZINC000541174786 1072533346 /nfs/dbraw/zinc/53/33/46/1072533346.db2.gz GDWDJQAZQDXXDN-LBPRGKRZSA-N 0 0 442.494 -0.663 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000541176180 1073333220 /nfs/dbraw/zinc/33/32/20/1073333220.db2.gz WKKKGSVATXMPCC-UHFFFAOYSA-N 0 0 432.437 -0.291 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)[C@H](C)N1CCOCC1 ZINC000541176519 1072533193 /nfs/dbraw/zinc/53/31/93/1072533193.db2.gz DRDINBPRISGKKV-CVEARBPZSA-N 0 0 435.529 -0.155 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)[C@H](C)N1CCOCC1 ZINC000541176520 1073310765 /nfs/dbraw/zinc/31/07/65/1073310765.db2.gz DRDINBPRISGKKV-HOTGVXAUSA-N 0 0 435.529 -0.155 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)[C@@H](C)N1CCOCC1 ZINC000541176522 1072533426 /nfs/dbraw/zinc/53/34/26/1072533426.db2.gz DRDINBPRISGKKV-HZPDHXFCSA-N 0 0 435.529 -0.155 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)N1CCOCC1 ZINC000541176523 1073311720 /nfs/dbraw/zinc/31/17/20/1073311720.db2.gz DRDINBPRISGKKV-JKSUJKDBSA-N 0 0 435.529 -0.155 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)n1 ZINC000541176863 1074365818 /nfs/dbraw/zinc/36/58/18/1074365818.db2.gz HNXRPKOLQJLXER-UHFFFAOYSA-N 0 0 449.418 -0.432 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)NCCCn2nc3n(c2=O)CCCC3)C1=O ZINC000541181241 1072534262 /nfs/dbraw/zinc/53/42/62/1072534262.db2.gz CVEMYDOJGDRSIO-HNNXBMFYSA-N 0 0 430.513 -0.005 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)NCCCn2nc3n(c2=O)CCCC3)C1=O ZINC000541181242 1072534209 /nfs/dbraw/zinc/53/42/09/1072534209.db2.gz CVEMYDOJGDRSIO-OAHLLOKOSA-N 0 0 430.513 -0.005 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)NCC2CCN(S(C)(=O)=O)CC2)C1=O ZINC000541181844 1072534270 /nfs/dbraw/zinc/53/42/70/1072534270.db2.gz AURBSOPLQVVLGB-HNNXBMFYSA-N 0 0 426.543 -0.118 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)NCC2CCN(S(C)(=O)=O)CC2)C1=O ZINC000541181846 1072534362 /nfs/dbraw/zinc/53/43/62/1072534362.db2.gz AURBSOPLQVVLGB-OAHLLOKOSA-N 0 0 426.543 -0.118 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)NCCN2CCN(c3ncccn3)CC2)C1=O ZINC000541187733 1072534800 /nfs/dbraw/zinc/53/48/00/1072534800.db2.gz AOGGIUUQVINPLV-KRWDZBQOSA-N 0 0 441.540 -0.178 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)NCCN2CCN(c3ncccn3)CC2)C1=O ZINC000541187736 1072534885 /nfs/dbraw/zinc/53/48/85/1072534885.db2.gz AOGGIUUQVINPLV-QGZVFWFLSA-N 0 0 441.540 -0.178 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1=O ZINC000541190140 1072533823 /nfs/dbraw/zinc/53/38/23/1072533823.db2.gz PDLRXZLCSYTTEG-INIZCTEOSA-N 0 0 440.508 -0.063 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1=O ZINC000541190153 1072534194 /nfs/dbraw/zinc/53/41/94/1072534194.db2.gz PDLRXZLCSYTTEG-MRXNPFEDSA-N 0 0 440.508 -0.063 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)c1 ZINC000541191075 1072533805 /nfs/dbraw/zinc/53/38/05/1072533805.db2.gz VKQBVXBQJLPVCS-HNNXBMFYSA-N 0 0 441.535 -0.202 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)c1 ZINC000541191077 1072533706 /nfs/dbraw/zinc/53/37/06/1072533706.db2.gz VKQBVXBQJLPVCS-OAHLLOKOSA-N 0 0 441.535 -0.202 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1=O ZINC000541192586 1072534254 /nfs/dbraw/zinc/53/42/54/1072534254.db2.gz TYVXFQKPGCLBNP-CYBMUJFWSA-N 0 0 447.477 -0.763 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1=O ZINC000541192587 1072534236 /nfs/dbraw/zinc/53/42/36/1072534236.db2.gz TYVXFQKPGCLBNP-ZDUSSCGKSA-N 0 0 447.477 -0.763 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1=O ZINC000541192693 1072534221 /nfs/dbraw/zinc/53/42/21/1072534221.db2.gz ZKXHAXGIGRMAJN-KRWDZBQOSA-N 0 0 447.540 -0.903 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1=O ZINC000541192694 1072534248 /nfs/dbraw/zinc/53/42/48/1072534248.db2.gz ZKXHAXGIGRMAJN-QGZVFWFLSA-N 0 0 447.540 -0.903 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000541192917 1072534307 /nfs/dbraw/zinc/53/43/07/1072534307.db2.gz AMOQGBYWOIZRFD-DOTOQJQBSA-N 0 0 431.541 -0.095 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000541192918 1072534356 /nfs/dbraw/zinc/53/43/56/1072534356.db2.gz AMOQGBYWOIZRFD-NVXWUHKLSA-N 0 0 431.541 -0.095 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000541192919 1072534381 /nfs/dbraw/zinc/53/43/81/1072534381.db2.gz AMOQGBYWOIZRFD-RDJZCZTQSA-N 0 0 431.541 -0.095 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000541192920 1072534391 /nfs/dbraw/zinc/53/43/91/1072534391.db2.gz AMOQGBYWOIZRFD-WBVHZDCISA-N 0 0 431.541 -0.095 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)C1=O ZINC000541193887 1072534347 /nfs/dbraw/zinc/53/43/47/1072534347.db2.gz BTIKZEFOKAHESI-HNNXBMFYSA-N 0 0 438.444 -0.108 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)C1=O ZINC000541193894 1072534370 /nfs/dbraw/zinc/53/43/70/1072534370.db2.gz BTIKZEFOKAHESI-OAHLLOKOSA-N 0 0 438.444 -0.108 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)C[C@@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000541198045 1072535272 /nfs/dbraw/zinc/53/52/72/1072535272.db2.gz VJMVDTBQRMGQLX-CHWSQXEVSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)C[C@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000541198046 1072535305 /nfs/dbraw/zinc/53/53/05/1072535305.db2.gz VJMVDTBQRMGQLX-OLZOCXBDSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)C[C@@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000541198047 1072535250 /nfs/dbraw/zinc/53/52/50/1072535250.db2.gz VJMVDTBQRMGQLX-QWHCGFSZSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)C[C@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000541198048 1072534778 /nfs/dbraw/zinc/53/47/78/1072534778.db2.gz VJMVDTBQRMGQLX-STQMWFEESA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C[C@@H](C)O ZINC000541198114 1072534771 /nfs/dbraw/zinc/53/47/71/1072534771.db2.gz XNSMPJNIBCZXOP-CHWSQXEVSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C[C@H](C)O ZINC000541198115 1072534663 /nfs/dbraw/zinc/53/46/63/1072534663.db2.gz XNSMPJNIBCZXOP-OLZOCXBDSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C[C@@H](C)O ZINC000541198116 1072534908 /nfs/dbraw/zinc/53/49/08/1072534908.db2.gz XNSMPJNIBCZXOP-QWHCGFSZSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C[C@H](C)O ZINC000541198117 1072534756 /nfs/dbraw/zinc/53/47/56/1072534756.db2.gz XNSMPJNIBCZXOP-STQMWFEESA-N 0 0 429.495 -0.070 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1=O ZINC000541198356 1072534849 /nfs/dbraw/zinc/53/48/49/1072534849.db2.gz HHDSVCSLVVNHFF-AWEZNQCLSA-N 0 0 441.427 -0.219 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C1=O ZINC000541198357 1072534678 /nfs/dbraw/zinc/53/46/78/1072534678.db2.gz HHDSVCSLVVNHFF-CQSZACIVSA-N 0 0 441.427 -0.219 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)n1 ZINC000541199409 1072534725 /nfs/dbraw/zinc/53/47/25/1072534725.db2.gz GYXVVQKHGOTWJF-UHFFFAOYSA-N 0 0 439.476 -0.404 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000541209318 1072534688 /nfs/dbraw/zinc/53/46/88/1072534688.db2.gz GKHBWIWOKDCNLW-UHFFFAOYSA-N 0 0 444.897 -0.869 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000541226269 1072535223 /nfs/dbraw/zinc/53/52/23/1072535223.db2.gz XMNCRHBFHPXXPI-CYBMUJFWSA-N 0 0 439.476 -0.405 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000541226270 1072535244 /nfs/dbraw/zinc/53/52/44/1072535244.db2.gz XMNCRHBFHPXXPI-ZDUSSCGKSA-N 0 0 439.476 -0.405 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1=O ZINC000541241245 1072535585 /nfs/dbraw/zinc/53/55/85/1072535585.db2.gz FNAFPEQAHIMDFW-CYBMUJFWSA-N 0 0 427.465 -0.770 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1=O ZINC000541241246 1072535605 /nfs/dbraw/zinc/53/56/05/1072535605.db2.gz FNAFPEQAHIMDFW-ZDUSSCGKSA-N 0 0 427.465 -0.770 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC2)n1 ZINC000541244981 1072535678 /nfs/dbraw/zinc/53/56/78/1072535678.db2.gz JBRFTPSXZLBVKX-UHFFFAOYSA-N 0 0 426.543 -0.424 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000541247434 1072536076 /nfs/dbraw/zinc/53/60/76/1072536076.db2.gz UIDIPARUGOUDNH-LLVKDONJSA-N 0 0 434.492 -0.547 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000541247440 1072535972 /nfs/dbraw/zinc/53/59/72/1072535972.db2.gz UIDIPARUGOUDNH-NSHDSACASA-N 0 0 434.492 -0.547 20 0 IBADRN COc1cncc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000541247462 1072536106 /nfs/dbraw/zinc/53/61/06/1072536106.db2.gz UXNGUDPPLFZTPU-UHFFFAOYSA-N 0 0 430.464 -0.523 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)COCCNC(=O)c1ccccc1 ZINC000541259599 1072535659 /nfs/dbraw/zinc/53/56/59/1072535659.db2.gz QBRLESGPPRXDNZ-INIZCTEOSA-N 0 0 425.507 -0.415 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)COCCNC(=O)c1ccccc1 ZINC000541259607 1072535539 /nfs/dbraw/zinc/53/55/39/1072535539.db2.gz QBRLESGPPRXDNZ-MRXNPFEDSA-N 0 0 425.507 -0.415 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Cn1cc(F)cc(I)c1=O ZINC000541288493 1072537051 /nfs/dbraw/zinc/53/70/51/1072537051.db2.gz SFNSKSIFAFRGLD-UHFFFAOYSA-N 0 0 437.209 -0.091 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H]2CCCCS2(=O)=O)c1 ZINC000541299819 1072536982 /nfs/dbraw/zinc/53/69/82/1072536982.db2.gz VAOSAAPLSQQYJN-AWEZNQCLSA-N 0 0 433.552 -0.038 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H]2CCCCS2(=O)=O)c1 ZINC000541299821 1072537698 /nfs/dbraw/zinc/53/76/98/1072537698.db2.gz VAOSAAPLSQQYJN-CQSZACIVSA-N 0 0 433.552 -0.038 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NS(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)C2)nc1 ZINC000541308416 1072537032 /nfs/dbraw/zinc/53/70/32/1072537032.db2.gz GQXKVRDGXYEUQV-UHFFFAOYSA-N 0 0 445.457 -0.045 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H]2CCCCS2(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000541313072 1072537187 /nfs/dbraw/zinc/53/71/87/1072537187.db2.gz ZLAXUUNWXMCENX-PXAZEXFGSA-N 0 0 445.519 -0.005 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CCCCS2(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000541313074 1072536949 /nfs/dbraw/zinc/53/69/49/1072536949.db2.gz ZLAXUUNWXMCENX-SJCJKPOMSA-N 0 0 445.519 -0.005 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H]2CCCCS2(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000541313075 1072537022 /nfs/dbraw/zinc/53/70/22/1072537022.db2.gz ZLAXUUNWXMCENX-SJKOYZFVSA-N 0 0 445.519 -0.005 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CCCCS2(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000541313076 1072537112 /nfs/dbraw/zinc/53/71/12/1072537112.db2.gz ZLAXUUNWXMCENX-YVEFUNNKSA-N 0 0 445.519 -0.005 20 0 IBADRN COC(CNS(=O)(=O)C[C@@H]1CCCCO1)CNS(=O)(=O)C[C@@H]1CCCCO1 ZINC000541313791 1072537179 /nfs/dbraw/zinc/53/71/79/1072537179.db2.gz PCUXTKGDPFDIJB-GJZGRUSLSA-N 0 0 428.573 -0.022 20 0 IBADRN COC(CNS(=O)(=O)C[C@H]1CCCCO1)CNS(=O)(=O)C[C@H]1CCCCO1 ZINC000541313794 1072537123 /nfs/dbraw/zinc/53/71/23/1072537123.db2.gz PCUXTKGDPFDIJB-HUUCEWRRSA-N 0 0 428.573 -0.022 20 0 IBADRN CO[C@H](CNS(=O)(=O)C[C@H]1CCCCO1)CNS(=O)(=O)C[C@@H]1CCCCO1 ZINC000541313796 1072537009 /nfs/dbraw/zinc/53/70/09/1072537009.db2.gz PCUXTKGDPFDIJB-MUJYYYPQSA-N 0 0 428.573 -0.022 20 0 IBADRN CCOCCS(=O)(=O)N1CCN(S(=O)(=O)CCOCC)[C@H](c2cnn(C)c2)C1 ZINC000541320838 1072537499 /nfs/dbraw/zinc/53/74/99/1072537499.db2.gz BBEKRNKKJSKLAC-INIZCTEOSA-N 0 0 438.572 -0.189 20 0 IBADRN CCOCCS(=O)(=O)N1CCN(S(=O)(=O)CCOCC)[C@@H](c2cnn(C)c2)C1 ZINC000541320843 1072537621 /nfs/dbraw/zinc/53/76/21/1072537621.db2.gz BBEKRNKKJSKLAC-MRXNPFEDSA-N 0 0 438.572 -0.189 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@@H]3CCCCS3(=O)=O)CC2)cn1 ZINC000541321903 1072537689 /nfs/dbraw/zinc/53/76/89/1072537689.db2.gz ORKXYOUQJLWSOF-AWEZNQCLSA-N 0 0 433.556 -0.160 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@H]3CCCCS3(=O)=O)CC2)cn1 ZINC000541321905 1072537634 /nfs/dbraw/zinc/53/76/34/1072537634.db2.gz ORKXYOUQJLWSOF-CQSZACIVSA-N 0 0 433.556 -0.160 20 0 IBADRN COC[C@H](C)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H](C)COC)[C@H](c2cnn(C)c2)C1 ZINC000541322331 1072537674 /nfs/dbraw/zinc/53/76/74/1072537674.db2.gz XKVAPDQLANNKSC-DZKIICNBSA-N 0 0 438.572 -0.192 20 0 IBADRN COC[C@@H](C)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H](C)COC)C[C@H]1c1cnn(C)c1 ZINC000541322333 1072537663 /nfs/dbraw/zinc/53/76/63/1072537663.db2.gz XKVAPDQLANNKSC-LZWOXQAQSA-N 0 0 438.572 -0.192 20 0 IBADRN COC[C@H](C)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H](C)COC)[C@@H](c2cnn(C)c2)C1 ZINC000541322334 1072537516 /nfs/dbraw/zinc/53/75/16/1072537516.db2.gz XKVAPDQLANNKSC-OFQRWUPVSA-N 0 0 438.572 -0.192 20 0 IBADRN COC[C@@H](C)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H](C)COC)C[C@@H]1c1cnn(C)c1 ZINC000541322449 1072537650 /nfs/dbraw/zinc/53/76/50/1072537650.db2.gz XKVAPDQLANNKSC-SQWLQELKSA-N 0 0 438.572 -0.192 20 0 IBADRN Cn1cc([C@@H]2CN(S(=O)(=O)N3CCCC3)CCN2S(=O)(=O)N2CCCC2)cn1 ZINC000541322638 1072537684 /nfs/dbraw/zinc/53/76/84/1072537684.db2.gz ZJJGSDIGFLMXSL-INIZCTEOSA-N 0 0 432.572 -0.240 20 0 IBADRN Cn1cc([C@H]2CN(S(=O)(=O)N3CCCC3)CCN2S(=O)(=O)N2CCCC2)cn1 ZINC000541322642 1072537564 /nfs/dbraw/zinc/53/75/64/1072537564.db2.gz ZJJGSDIGFLMXSL-MRXNPFEDSA-N 0 0 432.572 -0.240 20 0 IBADRN Cc1nn(CC(C)C)c(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000541326311 1072537611 /nfs/dbraw/zinc/53/76/11/1072537611.db2.gz ANHAZNIVFQWIAO-UHFFFAOYSA-N 0 0 441.554 -0.152 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCCN(Cc3ccccn3)C1=O)c(=O)n2C ZINC000541326539 1072538156 /nfs/dbraw/zinc/53/81/56/1072538156.db2.gz INETUMSHIQIZTK-AWEZNQCLSA-N 0 0 425.449 -0.864 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCCN(Cc3ccccn3)C1=O)c(=O)n2C ZINC000541326542 1072537961 /nfs/dbraw/zinc/53/79/61/1072537961.db2.gz INETUMSHIQIZTK-CQSZACIVSA-N 0 0 425.449 -0.864 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000541339388 1072538215 /nfs/dbraw/zinc/53/82/15/1072538215.db2.gz ZMNXUCGQRTZSGP-GOSISDBHSA-N 0 0 430.509 -0.047 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000541339392 1072538656 /nfs/dbraw/zinc/53/86/56/1072538656.db2.gz ZMNXUCGQRTZSGP-SFHVURJKSA-N 0 0 430.509 -0.047 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000541355509 1072538701 /nfs/dbraw/zinc/53/87/01/1072538701.db2.gz SHJGNHKCWQZNHV-QXAKKESOSA-N 0 0 430.505 -0.084 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000541355517 1072538610 /nfs/dbraw/zinc/53/86/10/1072538610.db2.gz SHJGNHKCWQZNHV-UHOSZYNNSA-N 0 0 430.505 -0.084 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000541355519 1072538737 /nfs/dbraw/zinc/53/87/37/1072538737.db2.gz SHJGNHKCWQZNHV-WDSOQIARSA-N 0 0 430.505 -0.084 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000541355521 1072538480 /nfs/dbraw/zinc/53/84/80/1072538480.db2.gz SHJGNHKCWQZNHV-YTQUADARSA-N 0 0 430.505 -0.084 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H]1CCCCS1(=O)=O)CC2 ZINC000541356851 1072538728 /nfs/dbraw/zinc/53/87/28/1072538728.db2.gz FCGMKSRBKDASNX-AWEZNQCLSA-N 0 0 429.520 -0.433 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H]1CCCCS1(=O)=O)CC2 ZINC000541356852 1072538559 /nfs/dbraw/zinc/53/85/59/1072538559.db2.gz FCGMKSRBKDASNX-CQSZACIVSA-N 0 0 429.520 -0.433 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)c(=O)[nH]1 ZINC000541356854 1072539201 /nfs/dbraw/zinc/53/92/01/1072539201.db2.gz FGMSKFUSGGAMIS-RYUDHWBXSA-N 0 0 431.453 -0.422 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)c(=O)[nH]1 ZINC000541356855 1072538979 /nfs/dbraw/zinc/53/89/79/1072538979.db2.gz FGMSKFUSGGAMIS-TXEJJXNPSA-N 0 0 431.453 -0.422 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)c(=O)[nH]1 ZINC000541356856 1072539174 /nfs/dbraw/zinc/53/91/74/1072539174.db2.gz FGMSKFUSGGAMIS-VXGBXAGGSA-N 0 0 431.453 -0.422 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)[nH]1 ZINC000541358130 1072539032 /nfs/dbraw/zinc/53/90/32/1072539032.db2.gz VYYOXZTUYOPFMK-UHFFFAOYSA-N 0 0 435.462 -0.234 20 0 IBADRN COC(=O)c1cc(Br)c(F)cc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000541358882 1072539237 /nfs/dbraw/zinc/53/92/37/1072539237.db2.gz DNPJNIHHEOROBF-UHFFFAOYSA-N 0 0 445.245 -0.139 20 0 IBADRN COC(=O)c1cc(Br)c(F)cc1NC(=O)C(=O)NCCS(N)(=O)=O ZINC000541362384 1072539333 /nfs/dbraw/zinc/53/93/33/1072539333.db2.gz JXMNDDCFZUSWRT-UHFFFAOYSA-N 0 0 426.220 -0.282 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000541364424 1073341204 /nfs/dbraw/zinc/34/12/04/1073341204.db2.gz VQVUFCFPWIVMAQ-UHFFFAOYSA-N 0 0 434.497 -0.404 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nn1[C@@H](C)C1CC1 ZINC000541366319 1073324107 /nfs/dbraw/zinc/32/41/07/1073324107.db2.gz NUULKGUHBMMNDT-AWEZNQCLSA-N 0 0 444.492 -0.079 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nn1[C@H](C)C1CC1 ZINC000541366320 1072538515 /nfs/dbraw/zinc/53/85/15/1072538515.db2.gz NUULKGUHBMMNDT-CQSZACIVSA-N 0 0 444.492 -0.079 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000541367453 1073341126 /nfs/dbraw/zinc/34/11/26/1073341126.db2.gz APMBGXBHCSVJNP-UHFFFAOYSA-N 0 0 434.497 -0.308 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000541368152 1074365665 /nfs/dbraw/zinc/36/56/65/1074365665.db2.gz RKFMUQQSTIAXIG-UHFFFAOYSA-N 0 0 441.452 -0.439 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nn1[C@@H](C)C1CC1 ZINC000541370507 1073323910 /nfs/dbraw/zinc/32/39/10/1073323910.db2.gz BJAKYDMCSWAZQE-HNNXBMFYSA-N 0 0 446.508 -0.369 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nn1[C@H](C)C1CC1 ZINC000541370508 1072538720 /nfs/dbraw/zinc/53/87/20/1072538720.db2.gz BJAKYDMCSWAZQE-OAHLLOKOSA-N 0 0 446.508 -0.369 20 0 IBADRN COC(=O)c1sccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000541371885 1072539158 /nfs/dbraw/zinc/53/91/58/1072539158.db2.gz XKUOOVHPQVDNRC-UHFFFAOYSA-N 0 0 446.507 -0.806 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000541372233 1072538634 /nfs/dbraw/zinc/53/86/34/1072538634.db2.gz RKAMTJAEWJVRFT-UHFFFAOYSA-N 0 0 448.476 -0.238 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000541373998 1072539312 /nfs/dbraw/zinc/53/93/12/1072539312.db2.gz BKGCLZMTJMXURN-UHFFFAOYSA-N 0 0 435.510 -0.032 20 0 IBADRN O=C(NCCCn1ccnn1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000541374685 1072539222 /nfs/dbraw/zinc/53/92/22/1072539222.db2.gz PVVRGIGSIKXTQO-UHFFFAOYSA-N 0 0 449.493 -0.285 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000541376131 1072539109 /nfs/dbraw/zinc/53/91/09/1072539109.db2.gz MWJVPKJBQVVYBP-UHFFFAOYSA-N 0 0 446.460 -0.484 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)cc1 ZINC000541380581 1073341076 /nfs/dbraw/zinc/34/10/76/1073341076.db2.gz LEXDSKTVXLQVKT-UHFFFAOYSA-N 0 0 437.478 -0.062 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000541380694 1072539290 /nfs/dbraw/zinc/53/92/90/1072539290.db2.gz NISUDLDGYXZYSZ-UHFFFAOYSA-N 0 0 444.496 -0.346 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3cnc(OC)c(C(N)=O)c3)C2)nc1 ZINC000541386522 1072539350 /nfs/dbraw/zinc/53/93/50/1072539350.db2.gz QDLKJMHUXDUPQV-UHFFFAOYSA-N 0 0 442.432 -0.296 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3cccc(S(N)(=O)=O)c3)C2)nc1 ZINC000541386540 1072539362 /nfs/dbraw/zinc/53/93/62/1072539362.db2.gz NMLJVPJSHPDIMH-UHFFFAOYSA-N 0 0 447.473 -0.151 20 0 IBADRN Cn1cc([C@@H]2CN(CC(=O)NC(=O)NC3CC3)CCN2CC(=O)NC(=O)NC2CC2)cn1 ZINC000541390070 1072539143 /nfs/dbraw/zinc/53/91/43/1072539143.db2.gz FTLLFROYQYKZMB-INIZCTEOSA-N 0 0 446.512 -0.945 20 0 IBADRN Cn1cc([C@H]2CN(CC(=O)NC(=O)NC3CC3)CCN2CC(=O)NC(=O)NC2CC2)cn1 ZINC000541390086 1072539128 /nfs/dbraw/zinc/53/91/28/1072539128.db2.gz FTLLFROYQYKZMB-MRXNPFEDSA-N 0 0 446.512 -0.945 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1OC ZINC000541390191 1072539250 /nfs/dbraw/zinc/53/92/50/1072539250.db2.gz GPPGDIFAQOYTEK-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000541392931 1072539660 /nfs/dbraw/zinc/53/96/60/1072539660.db2.gz DJBGJKJYZLAMQV-UHFFFAOYSA-N 0 0 429.481 -0.515 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCn3ccnn3)cc2)CC1 ZINC000541393371 1072539825 /nfs/dbraw/zinc/53/98/25/1072539825.db2.gz MAGNTPLYTICPAP-UHFFFAOYSA-N 0 0 435.510 -0.641 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000541394957 1072539745 /nfs/dbraw/zinc/53/97/45/1072539745.db2.gz YMKNDVCKHUPVSO-CABCVRRESA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000541394958 1072539846 /nfs/dbraw/zinc/53/98/46/1072539846.db2.gz YMKNDVCKHUPVSO-GJZGRUSLSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000541394959 1072539769 /nfs/dbraw/zinc/53/97/69/1072539769.db2.gz YMKNDVCKHUPVSO-HUUCEWRRSA-N 0 0 448.519 -0.742 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000541394960 1072539851 /nfs/dbraw/zinc/53/98/51/1072539851.db2.gz YMKNDVCKHUPVSO-LSDHHAIUSA-N 0 0 448.519 -0.742 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCn3ccnn3)c2)CC1 ZINC000541396119 1072539733 /nfs/dbraw/zinc/53/97/33/1072539733.db2.gz MACNHEZYCFINGW-UHFFFAOYSA-N 0 0 435.510 -0.641 20 0 IBADRN CCOc1ccc(NC(=O)CS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000541403034 1072539615 /nfs/dbraw/zinc/53/96/15/1072539615.db2.gz DMGYZEJMAZODNW-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000541404063 1072539832 /nfs/dbraw/zinc/53/98/32/1072539832.db2.gz VDUPTGPBTVIUBG-UHFFFAOYSA-N 0 0 433.537 -0.584 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1nc2cnccn2c1=O ZINC000541409627 1072539723 /nfs/dbraw/zinc/53/97/23/1072539723.db2.gz ATMHFIRANHEYDR-UHFFFAOYSA-N 0 0 448.461 -0.441 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000541410529 1072540527 /nfs/dbraw/zinc/54/05/27/1072540527.db2.gz DEFXEAKIXNBTEW-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000541410530 1072540174 /nfs/dbraw/zinc/54/01/74/1072540174.db2.gz DEFXEAKIXNBTEW-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000541410739 1072540133 /nfs/dbraw/zinc/54/01/33/1072540133.db2.gz YXINWOSLYRPFOS-GMYLUUGSSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000541410740 1072540453 /nfs/dbraw/zinc/54/04/53/1072540453.db2.gz YXINWOSLYRPFOS-HJFSHJIFSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000541410741 1072540355 /nfs/dbraw/zinc/54/03/55/1072540355.db2.gz YXINWOSLYRPFOS-POXGOYDTSA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000541410742 1072540186 /nfs/dbraw/zinc/54/01/86/1072540186.db2.gz YXINWOSLYRPFOS-SUMNFNSASA-N 0 0 444.579 -0.166 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000541410937 1072540083 /nfs/dbraw/zinc/54/00/83/1072540083.db2.gz SIMPPIUAPAWSLX-LLVKDONJSA-N 0 0 431.442 -0.147 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000541410938 1072540318 /nfs/dbraw/zinc/54/03/18/1072540318.db2.gz SIMPPIUAPAWSLX-NSHDSACASA-N 0 0 431.442 -0.147 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000541414523 1074366149 /nfs/dbraw/zinc/36/61/49/1074366149.db2.gz UUJXNBYXSFUQBM-UHFFFAOYSA-N 0 0 445.498 -0.954 20 0 IBADRN COC(=O)C1(NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000541430001 1072540397 /nfs/dbraw/zinc/54/03/97/1072540397.db2.gz CQRFQSFVUZGWRX-GFCCVEGCSA-N 0 0 431.492 -0.021 20 0 IBADRN COC(=O)C1(NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000541430007 1072540503 /nfs/dbraw/zinc/54/05/03/1072540503.db2.gz CQRFQSFVUZGWRX-LBPRGKRZSA-N 0 0 431.492 -0.021 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CCCCC1 ZINC000541431406 1072540488 /nfs/dbraw/zinc/54/04/88/1072540488.db2.gz XJUMVCLJPZLWCU-UHFFFAOYSA-N 0 0 435.481 -0.080 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CS(=O)(=O)N(C)C)cc2)CC1 ZINC000541433187 1072540223 /nfs/dbraw/zinc/54/02/23/1072540223.db2.gz AMWUXQAFAUOBSF-UHFFFAOYSA-N 0 0 440.566 -0.220 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2-n2ccnn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000541434879 1072540120 /nfs/dbraw/zinc/54/01/20/1072540120.db2.gz XWZRPKAPGQSJMK-HNNXBMFYSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2-n2ccnn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000541434880 1072540418 /nfs/dbraw/zinc/54/04/18/1072540418.db2.gz XWZRPKAPGQSJMK-OAHLLOKOSA-N 0 0 432.506 -0.672 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)C2)nc1 ZINC000541437480 1072540764 /nfs/dbraw/zinc/54/07/64/1072540764.db2.gz RBJHZESINYMTGS-GFCCVEGCSA-N 0 0 436.494 -0.336 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)C2)nc1 ZINC000541437481 1072540871 /nfs/dbraw/zinc/54/08/71/1072540871.db2.gz RBJHZESINYMTGS-LBPRGKRZSA-N 0 0 436.494 -0.336 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)C2)nc1 ZINC000541438760 1072540926 /nfs/dbraw/zinc/54/09/26/1072540926.db2.gz JUXDWPKSNIXSDW-UHFFFAOYSA-N 0 0 438.444 -0.178 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2)nc1 ZINC000541439238 1072541002 /nfs/dbraw/zinc/54/10/02/1072541002.db2.gz PTOVWFVCTDMEFE-UHFFFAOYSA-N 0 0 440.460 -0.385 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H]2CCCCS2(=O)=O)c1 ZINC000541455010 1072541461 /nfs/dbraw/zinc/54/14/61/1072541461.db2.gz WXTBNPBPZFQVTJ-AWEZNQCLSA-N 0 0 431.536 -0.132 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H]2CCCCS2(=O)=O)c1 ZINC000541455012 1072541571 /nfs/dbraw/zinc/54/15/71/1072541571.db2.gz WXTBNPBPZFQVTJ-CQSZACIVSA-N 0 0 431.536 -0.132 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000541460245 1072540834 /nfs/dbraw/zinc/54/08/34/1072540834.db2.gz ODKCTNCKDWJZRL-UHFFFAOYSA-N 0 0 444.514 -0.240 20 0 IBADRN COC(CNC(=O)c1ccc(-n2cnnn2)cc1)CNC(=O)c1ccc(-n2cnnn2)cc1 ZINC000541469207 1072541316 /nfs/dbraw/zinc/54/13/16/1072541316.db2.gz ZDUPKPMJNUOFKW-UHFFFAOYSA-N 0 0 448.447 -0.187 20 0 IBADRN CNC(=O)c1ccc(C(=O)NCC(CNC(=O)c2ccc(C(=O)NC)nc2)OC)cn1 ZINC000541469782 1072541565 /nfs/dbraw/zinc/54/15/65/1072541565.db2.gz WBYRWHTYGXHCCR-UHFFFAOYSA-N 0 0 428.449 -0.629 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000541511031 1072541534 /nfs/dbraw/zinc/54/15/34/1072541534.db2.gz FHKHNQDGNRXTIR-HKUYNNGSSA-N 0 0 429.521 -0.131 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000541511036 1072541494 /nfs/dbraw/zinc/54/14/94/1072541494.db2.gz FHKHNQDGNRXTIR-IEBWSBKVSA-N 0 0 429.521 -0.131 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000541511039 1072541551 /nfs/dbraw/zinc/54/15/51/1072541551.db2.gz FHKHNQDGNRXTIR-MJGOQNOKSA-N 0 0 429.521 -0.131 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000541511041 1072541443 /nfs/dbraw/zinc/54/14/43/1072541443.db2.gz FHKHNQDGNRXTIR-PKOBYXMFSA-N 0 0 429.521 -0.131 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)NC1CCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000541512443 1072541476 /nfs/dbraw/zinc/54/14/76/1072541476.db2.gz KESGVBBTTBKBDA-KRWDZBQOSA-N 0 0 436.534 -0.214 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)NC1CCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000541512444 1072541372 /nfs/dbraw/zinc/54/13/72/1072541372.db2.gz KESGVBBTTBKBDA-QGZVFWFLSA-N 0 0 436.534 -0.214 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC[C@H]3CCOC3)CC2)cc1 ZINC000541517855 1072541520 /nfs/dbraw/zinc/54/15/20/1072541520.db2.gz KATUSLYUJXNFCW-AWEZNQCLSA-N 0 0 446.551 -0.224 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC[C@@H]3CCOC3)CC2)cc1 ZINC000541517856 1072541918 /nfs/dbraw/zinc/54/19/18/1072541918.db2.gz KATUSLYUJXNFCW-CQSZACIVSA-N 0 0 446.551 -0.224 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000541523495 1072541875 /nfs/dbraw/zinc/54/18/75/1072541875.db2.gz VMOZSOHMMSXQIM-INIZCTEOSA-N 0 0 442.476 -0.420 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000541523510 1072541824 /nfs/dbraw/zinc/54/18/24/1072541824.db2.gz VMOZSOHMMSXQIM-MRXNPFEDSA-N 0 0 442.476 -0.420 20 0 IBADRN COC(=O)COc1ccc(N(C)C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000541524467 1072541990 /nfs/dbraw/zinc/54/19/90/1072541990.db2.gz XGDUPXZCOLPWKR-UHFFFAOYSA-N 0 0 425.419 -0.128 20 0 IBADRN C[C@@H](NC(=O)CCNS(C)(=O)=O)c1ccc(CNC(=O)CCNS(C)(=O)=O)cc1 ZINC000541529721 1072541837 /nfs/dbraw/zinc/54/18/37/1072541837.db2.gz LHYBHGLPDHTIAY-CYBMUJFWSA-N 0 0 448.567 -0.641 20 0 IBADRN C[C@H](NC(=O)CCNS(C)(=O)=O)c1ccc(CNC(=O)CCNS(C)(=O)=O)cc1 ZINC000541529722 1072542004 /nfs/dbraw/zinc/54/20/04/1072542004.db2.gz LHYBHGLPDHTIAY-ZDUSSCGKSA-N 0 0 448.567 -0.641 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(Cc3cn(Cc4cccnc4)nn3)CC2)o1 ZINC000541534718 1072541907 /nfs/dbraw/zinc/54/19/07/1072541907.db2.gz VCFAZEFDMBZTAY-UHFFFAOYSA-N 0 0 431.478 -0.080 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)c(OC)c1 ZINC000541537123 1072542374 /nfs/dbraw/zinc/54/23/74/1072542374.db2.gz JVYLIEVRYGOZQS-AWEZNQCLSA-N 0 0 444.462 -0.449 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)c(OC)c1 ZINC000541537124 1072542461 /nfs/dbraw/zinc/54/24/61/1072542461.db2.gz JVYLIEVRYGOZQS-CQSZACIVSA-N 0 0 444.462 -0.449 20 0 IBADRN COc1cncc(S(=O)(=O)NCC(CNS(=O)(=O)c2cncc(OC)c2)OC)c1 ZINC000541537744 1072542028 /nfs/dbraw/zinc/54/20/28/1072542028.db2.gz PPXKACRMZBONGA-UHFFFAOYSA-N 0 0 446.507 -0.234 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000541541419 1072542038 /nfs/dbraw/zinc/54/20/38/1072542038.db2.gz AUDNWUWPJKQWKP-UHFFFAOYSA-N 0 0 440.507 -0.608 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000541541535 1072541945 /nfs/dbraw/zinc/54/19/45/1072541945.db2.gz FQNFMAUNWVLELC-UHFFFAOYSA-N 0 0 433.450 -0.857 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000541541823 1072541936 /nfs/dbraw/zinc/54/19/36/1072541936.db2.gz KFTBVLMDGPLRHG-UHFFFAOYSA-N 0 0 442.523 -0.442 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)no1 ZINC000541543054 1073335516 /nfs/dbraw/zinc/33/55/16/1073335516.db2.gz CZDGPVYXAKDIHS-LLVKDONJSA-N 0 0 443.511 -0.306 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)no1 ZINC000541543057 1073336472 /nfs/dbraw/zinc/33/64/72/1073336472.db2.gz CZDGPVYXAKDIHS-NSHDSACASA-N 0 0 443.511 -0.306 20 0 IBADRN O=C(Cn1cnc2c3ccccc3oc2c1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000541543967 1072541890 /nfs/dbraw/zinc/54/18/90/1072541890.db2.gz PCKNLXCPGORVJB-UHFFFAOYSA-N 0 0 443.445 -0.206 20 0 IBADRN C[C@H]1CN(c2ccc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)cn2)C[C@H](C)O1 ZINC000541545226 1072541928 /nfs/dbraw/zinc/54/19/28/1072541928.db2.gz DKCOBMFWHGYZEF-KBPBESRZSA-N 0 0 435.510 -0.040 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)cn2)C[C@H](C)O1 ZINC000541545227 1072542009 /nfs/dbraw/zinc/54/20/09/1072542009.db2.gz DKCOBMFWHGYZEF-OKILXGFUSA-N 0 0 435.510 -0.040 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)cn2)C[C@@H](C)O1 ZINC000541545230 1072541983 /nfs/dbraw/zinc/54/19/83/1072541983.db2.gz DKCOBMFWHGYZEF-ZIAGYGMSSA-N 0 0 435.510 -0.040 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000541550728 1072542403 /nfs/dbraw/zinc/54/24/03/1072542403.db2.gz HJHLJTIMIRNAFX-AWEZNQCLSA-N 0 0 427.531 -0.285 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000541550729 1072542530 /nfs/dbraw/zinc/54/25/30/1072542530.db2.gz HJHLJTIMIRNAFX-CQSZACIVSA-N 0 0 427.531 -0.285 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)ccc2c1=O ZINC000541550951 1072542522 /nfs/dbraw/zinc/54/25/22/1072542522.db2.gz WEOIGJVBMLIVAE-UHFFFAOYSA-N 0 0 433.450 -0.613 20 0 IBADRN O=C(C1CCCC1)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000541550994 1072542538 /nfs/dbraw/zinc/54/25/38/1072542538.db2.gz YBRQUIOZAUSZDF-CYBMUJFWSA-N 0 0 428.540 -0.271 20 0 IBADRN O=C(C1CCCC1)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000541550995 1072542470 /nfs/dbraw/zinc/54/24/70/1072542470.db2.gz YBRQUIOZAUSZDF-ZDUSSCGKSA-N 0 0 428.540 -0.271 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)N1C(=O)c2ccccc2C1=O ZINC000541552244 1072542450 /nfs/dbraw/zinc/54/24/50/1072542450.db2.gz QPFNULUMRUIBCW-HNNXBMFYSA-N 0 0 446.489 -0.042 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)N1C(=O)c2ccccc2C1=O ZINC000541552248 1072543092 /nfs/dbraw/zinc/54/30/92/1072543092.db2.gz QPFNULUMRUIBCW-OAHLLOKOSA-N 0 0 446.489 -0.042 20 0 IBADRN COC(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000541557617 1072543048 /nfs/dbraw/zinc/54/30/48/1072543048.db2.gz HRLIGUXBJWSAOP-LLVKDONJSA-N 0 0 425.385 -0.093 20 0 IBADRN COC(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000541557619 1072543150 /nfs/dbraw/zinc/54/31/50/1072543150.db2.gz HRLIGUXBJWSAOP-NSHDSACASA-N 0 0 425.385 -0.093 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000541578006 1072542956 /nfs/dbraw/zinc/54/29/56/1072542956.db2.gz NXKHNOJSUBMECG-AWEZNQCLSA-N 0 0 447.535 -0.249 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000541578008 1072543140 /nfs/dbraw/zinc/54/31/40/1072543140.db2.gz NXKHNOJSUBMECG-CQSZACIVSA-N 0 0 447.535 -0.249 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000541580264 1072542901 /nfs/dbraw/zinc/54/29/01/1072542901.db2.gz AGGODDBIIPNNQR-KRWDZBQOSA-N 0 0 444.579 -0.587 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000541580265 1072542968 /nfs/dbraw/zinc/54/29/68/1072542968.db2.gz AGGODDBIIPNNQR-QGZVFWFLSA-N 0 0 444.579 -0.587 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NCc1ccccn1 ZINC000541580671 1072542876 /nfs/dbraw/zinc/54/28/76/1072542876.db2.gz XNZHTHYHJFEJQO-UHFFFAOYSA-N 0 0 445.501 -0.362 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)C(=O)OC ZINC000541580978 1072543120 /nfs/dbraw/zinc/54/31/20/1072543120.db2.gz GKQHMGJBWUTEOF-KRWDZBQOSA-N 0 0 432.499 -0.708 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)C(=O)OC ZINC000541580982 1072543112 /nfs/dbraw/zinc/54/31/12/1072543112.db2.gz GKQHMGJBWUTEOF-QGZVFWFLSA-N 0 0 432.499 -0.708 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@H](S(N)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000541581029 1072543126 /nfs/dbraw/zinc/54/31/26/1072543126.db2.gz HPMFGJJGBSNBCP-AWEZNQCLSA-N 0 0 435.506 -0.887 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@H](S(N)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000541581035 1072542892 /nfs/dbraw/zinc/54/28/92/1072542892.db2.gz HPMFGJJGBSNBCP-CQSZACIVSA-N 0 0 435.506 -0.887 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000541588007 1072543647 /nfs/dbraw/zinc/54/36/47/1072543647.db2.gz GAJYPQIQJQLWBP-INIZCTEOSA-N 0 0 432.506 -0.129 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000541588008 1072543506 /nfs/dbraw/zinc/54/35/06/1072543506.db2.gz GAJYPQIQJQLWBP-MRXNPFEDSA-N 0 0 432.506 -0.129 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1OC ZINC000541588058 1072543398 /nfs/dbraw/zinc/54/33/98/1072543398.db2.gz KZVJZOQOYFGYBI-UHFFFAOYSA-N 0 0 438.466 -0.915 20 0 IBADRN Cc1ccc(CN2C[C@@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC2=O)cc1 ZINC000541589403 1072543537 /nfs/dbraw/zinc/54/35/37/1072543537.db2.gz QYJUPZUBFYAOAF-INIZCTEOSA-N 0 0 432.506 -0.005 20 0 IBADRN Cc1ccc(CN2C[C@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC2=O)cc1 ZINC000541589404 1072543657 /nfs/dbraw/zinc/54/36/57/1072543657.db2.gz QYJUPZUBFYAOAF-MRXNPFEDSA-N 0 0 432.506 -0.005 20 0 IBADRN Cn1[nH]c(CN2CCN(S(=O)(=O)c3cc4c(cc3Cl)NC(=O)CO4)CC2)nc1=O ZINC000541591167 1072543074 /nfs/dbraw/zinc/54/30/74/1072543074.db2.gz OLBDQCXOJOIMEB-UHFFFAOYSA-N 0 0 442.885 -0.401 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000541591960 1072543014 /nfs/dbraw/zinc/54/30/14/1072543014.db2.gz WPEBCPIVDHUMEI-CABCVRRESA-N 0 0 431.511 -0.949 20 0 IBADRN COC(=O)[C@H]1CCCC[C@@H]1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000541591961 1072543445 /nfs/dbraw/zinc/54/34/45/1072543445.db2.gz WPEBCPIVDHUMEI-GJZGRUSLSA-N 0 0 431.511 -0.949 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000541591962 1072543523 /nfs/dbraw/zinc/54/35/23/1072543523.db2.gz WPEBCPIVDHUMEI-HUUCEWRRSA-N 0 0 431.511 -0.949 20 0 IBADRN COC(=O)[C@H]1CCCC[C@H]1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000541591963 1072543554 /nfs/dbraw/zinc/54/35/54/1072543554.db2.gz WPEBCPIVDHUMEI-LSDHHAIUSA-N 0 0 431.511 -0.949 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN([C@H](C(N)=O)c3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000541618116 1072544360 /nfs/dbraw/zinc/54/43/60/1072544360.db2.gz HZVZOBXOQOOWFZ-INIZCTEOSA-N 0 0 448.911 -0.654 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN([C@@H](C(N)=O)c3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000541618118 1072544310 /nfs/dbraw/zinc/54/43/10/1072544310.db2.gz HZVZOBXOQOOWFZ-MRXNPFEDSA-N 0 0 448.911 -0.654 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CNC3(C(N)=O)CC3)CC2)c1 ZINC000541621998 1072544843 /nfs/dbraw/zinc/54/48/43/1072544843.db2.gz QPLQUSCXCVIGHY-UHFFFAOYSA-N 0 0 426.495 -0.856 20 0 IBADRN C[C@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1nnnn1-c1ccccc1 ZINC000541639384 1072544873 /nfs/dbraw/zinc/54/48/73/1072544873.db2.gz JYVIYRAPHILAIU-KRWDZBQOSA-N 0 0 442.524 -0.684 20 0 IBADRN C[C@@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1nnnn1-c1ccccc1 ZINC000541639385 1072544770 /nfs/dbraw/zinc/54/47/70/1072544770.db2.gz JYVIYRAPHILAIU-QGZVFWFLSA-N 0 0 442.524 -0.684 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc4c3CCN4S(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000541657453 1072545843 /nfs/dbraw/zinc/54/58/43/1072545843.db2.gz AGUITXXOYIRCEB-UHFFFAOYSA-N 0 0 446.489 -0.215 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1 ZINC000541661960 1072545781 /nfs/dbraw/zinc/54/57/81/1072545781.db2.gz FCIWHMOPJYVGOY-UHFFFAOYSA-N 0 0 448.505 -0.288 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000541663075 1072545137 /nfs/dbraw/zinc/54/51/37/1072545137.db2.gz PRCJLLIWLGXEPK-UHFFFAOYSA-N 0 0 430.508 -0.901 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000541663088 1072545381 /nfs/dbraw/zinc/54/53/81/1072545381.db2.gz LXVCNLWSDCDXCN-CYBMUJFWSA-N 0 0 430.508 -0.784 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000541663090 1072545489 /nfs/dbraw/zinc/54/54/89/1072545489.db2.gz LXVCNLWSDCDXCN-ZDUSSCGKSA-N 0 0 430.508 -0.784 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000541663438 1072545441 /nfs/dbraw/zinc/54/54/41/1072545441.db2.gz UHTSIPHZOMAHLD-UHFFFAOYSA-N 0 0 432.524 -0.489 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000541664887 1072545393 /nfs/dbraw/zinc/54/53/93/1072545393.db2.gz DMQOKXSAPDQRQZ-UHFFFAOYSA-N 0 0 426.433 -0.503 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)C1 ZINC000541666240 1072545519 /nfs/dbraw/zinc/54/55/19/1072545519.db2.gz PGTHYMRDZKMPRR-AWEZNQCLSA-N 0 0 448.505 -0.242 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)C1 ZINC000541666241 1072545152 /nfs/dbraw/zinc/54/51/52/1072545152.db2.gz PGTHYMRDZKMPRR-CQSZACIVSA-N 0 0 448.505 -0.242 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000541666379 1072545369 /nfs/dbraw/zinc/54/53/69/1072545369.db2.gz YIJDUOQYFRLTFR-UHFFFAOYSA-N 0 0 432.524 -0.490 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(Cn3cncn3)c2)CC1)N1CCOCC1 ZINC000541666596 1072545270 /nfs/dbraw/zinc/54/52/70/1072545270.db2.gz DUBUZNIJONPIJZ-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc21 ZINC000541666842 1072547385 /nfs/dbraw/zinc/54/73/85/1072547385.db2.gz JKDVCEHLXZDISN-UHFFFAOYSA-N 0 0 443.504 -0.463 20 0 IBADRN CC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc21 ZINC000541667030 1072545767 /nfs/dbraw/zinc/54/57/67/1072545767.db2.gz KQVCVSVPFPUBQB-UHFFFAOYSA-N 0 0 443.504 -0.463 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000541667363 1072545162 /nfs/dbraw/zinc/54/51/62/1072545162.db2.gz DFULQKBAJRJUTB-CYBMUJFWSA-N 0 0 426.495 -0.573 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000541667366 1072545507 /nfs/dbraw/zinc/54/55/07/1072545507.db2.gz DFULQKBAJRJUTB-ZDUSSCGKSA-N 0 0 426.495 -0.573 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc([C@@H]3CNC(=O)C3)c2)CC1)N1CCOCC1 ZINC000541667412 1072545297 /nfs/dbraw/zinc/54/52/97/1072545297.db2.gz PJBQFKLGSZXCAV-KRWDZBQOSA-N 0 0 443.504 -0.768 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc([C@H]3CNC(=O)C3)c2)CC1)N1CCOCC1 ZINC000541667417 1072545794 /nfs/dbraw/zinc/54/57/94/1072545794.db2.gz PJBQFKLGSZXCAV-QGZVFWFLSA-N 0 0 443.504 -0.768 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(Cc3ccccc3)n2)CC1)N1CCOCC1 ZINC000541667562 1073319930 /nfs/dbraw/zinc/31/99/30/1073319930.db2.gz BRAYTNWBXASHQN-UHFFFAOYSA-N 0 0 440.504 -0.127 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000541667781 1072548000 /nfs/dbraw/zinc/54/80/00/1072548000.db2.gz PJPDLIYHKLAPKQ-PBHICJAKSA-N 0 0 432.477 -0.151 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000541667782 1072548028 /nfs/dbraw/zinc/54/80/28/1072548028.db2.gz PJPDLIYHKLAPKQ-RHSMWYFYSA-N 0 0 432.477 -0.151 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000541667783 1072547946 /nfs/dbraw/zinc/54/79/46/1072547946.db2.gz PJPDLIYHKLAPKQ-WMLDXEAASA-N 0 0 432.477 -0.151 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000541667784 1072547985 /nfs/dbraw/zinc/54/79/85/1072547985.db2.gz PJPDLIYHKLAPKQ-YOEHRIQHSA-N 0 0 432.477 -0.151 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000541667907 1072548013 /nfs/dbraw/zinc/54/80/13/1072548013.db2.gz GLJMPDJXRSXOMJ-GFCCVEGCSA-N 0 0 444.535 -0.513 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000541667911 1072547935 /nfs/dbraw/zinc/54/79/35/1072547935.db2.gz GLJMPDJXRSXOMJ-LBPRGKRZSA-N 0 0 444.535 -0.513 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)C(=O)Nc2ccccc2CN2CCNC2=O)C1=O ZINC000541667924 1072547863 /nfs/dbraw/zinc/54/78/63/1072547863.db2.gz JKGKAJXNOFEJAS-HNNXBMFYSA-N 0 0 431.449 -0.570 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)C(=O)Nc2ccccc2CN2CCNC2=O)C1=O ZINC000541667928 1072547957 /nfs/dbraw/zinc/54/79/57/1072547957.db2.gz JKGKAJXNOFEJAS-OAHLLOKOSA-N 0 0 431.449 -0.570 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000541667992 1072547855 /nfs/dbraw/zinc/54/78/55/1072547855.db2.gz IDVNPZKNFZEMLK-GOSISDBHSA-N 0 0 431.493 -0.561 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000541667993 1072547874 /nfs/dbraw/zinc/54/78/74/1072547874.db2.gz IDVNPZKNFZEMLK-SFHVURJKSA-N 0 0 431.493 -0.561 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000541668118 1072548021 /nfs/dbraw/zinc/54/80/21/1072548021.db2.gz KRANVVPCEAQXFK-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)cc2)CC1 ZINC000541668234 1072547465 /nfs/dbraw/zinc/54/74/65/1072547465.db2.gz LHDYVNXJCALHRW-IBGZPJMESA-N 0 0 429.521 -0.515 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)cc2)CC1 ZINC000541668236 1072547262 /nfs/dbraw/zinc/54/72/62/1072547262.db2.gz LHDYVNXJCALHRW-LJQANCHMSA-N 0 0 429.521 -0.515 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1OCC(=O)NC1CC1 ZINC000541668885 1072545944 /nfs/dbraw/zinc/54/59/44/1072545944.db2.gz ZPVKUZQYRLYVBO-INIZCTEOSA-N 0 0 432.477 -0.166 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1OCC(=O)NC1CC1 ZINC000541668886 1072545912 /nfs/dbraw/zinc/54/59/12/1072545912.db2.gz ZPVKUZQYRLYVBO-MRXNPFEDSA-N 0 0 432.477 -0.166 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000541668956 1072547992 /nfs/dbraw/zinc/54/79/92/1072547992.db2.gz BMKWMGXJHCGMPR-CQSZACIVSA-N 0 0 444.535 -0.394 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000541668986 1072548007 /nfs/dbraw/zinc/54/80/07/1072548007.db2.gz BZXAGXLFAWXLRF-KRWDZBQOSA-N 0 0 446.504 -0.204 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000541668987 1072548496 /nfs/dbraw/zinc/54/84/96/1072548496.db2.gz BZXAGXLFAWXLRF-QGZVFWFLSA-N 0 0 446.504 -0.204 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000541669097 1072547843 /nfs/dbraw/zinc/54/78/43/1072547843.db2.gz FBKBJPHJPDEWKE-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(CCO)CC2)c1 ZINC000541669241 1072547825 /nfs/dbraw/zinc/54/78/25/1072547825.db2.gz GRSKLGBYLRQFGH-UHFFFAOYSA-N 0 0 426.539 -0.021 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1Cl ZINC000541669310 1072547965 /nfs/dbraw/zinc/54/79/65/1072547965.db2.gz BOSUDYIVCDKYAL-UHFFFAOYSA-N 0 0 437.884 -0.620 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000541669326 1072547972 /nfs/dbraw/zinc/54/79/72/1072547972.db2.gz CYNQJLDHNIQACA-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3)nc2)CC1)N1CCOCC1 ZINC000541669363 1072547893 /nfs/dbraw/zinc/54/78/93/1072547893.db2.gz FVKYXXGDWYEBBX-UHFFFAOYSA-N 0 0 430.509 -0.377 20 0 IBADRN Cn1ccnc1-c1csc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000541669586 1072547883 /nfs/dbraw/zinc/54/78/83/1072547883.db2.gz OCTBBMCXIUOYNT-UHFFFAOYSA-N 0 0 447.521 -0.515 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1NC(C)=O ZINC000541669733 1072546399 /nfs/dbraw/zinc/54/63/99/1072546399.db2.gz IUSXLVMWNWUGBC-UHFFFAOYSA-N 0 0 447.492 -0.405 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000541669751 1072546291 /nfs/dbraw/zinc/54/62/91/1072546291.db2.gz JJMWSSRCCREVHT-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000541669766 1072546184 /nfs/dbraw/zinc/54/61/84/1072546184.db2.gz KAKQWVFREIBPDW-UHFFFAOYSA-N 0 0 428.511 -0.589 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000541669932 1072546223 /nfs/dbraw/zinc/54/62/23/1072546223.db2.gz IOCIKNKDIVFJHO-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C)c1 ZINC000541669964 1072546207 /nfs/dbraw/zinc/54/62/07/1072546207.db2.gz JEGPEVXVXOWYCM-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1)N1CCOCC1 ZINC000541670018 1072546437 /nfs/dbraw/zinc/54/64/37/1072546437.db2.gz KHDNJOKBSNASOO-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN Cc1nc(-c2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2)n[nH]1 ZINC000541670124 1072546158 /nfs/dbraw/zinc/54/61/58/1072546158.db2.gz VLFJMELGVLWFHR-UHFFFAOYSA-N 0 0 441.492 -0.278 20 0 IBADRN Cc1ccc(-c2nnco2)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000541670157 1072546337 /nfs/dbraw/zinc/54/63/37/1072546337.db2.gz NWEZQSSPKZUQCX-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN C[S@](=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000541670206 1072546346 /nfs/dbraw/zinc/54/63/46/1072546346.db2.gz NRTQLVSCTNKAEX-PMERELPUSA-N 0 0 440.497 -0.495 20 0 IBADRN C[S@@](=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000541670217 1072546459 /nfs/dbraw/zinc/54/64/59/1072546459.db2.gz NRTQLVSCTNKAEX-SSEXGKCCSA-N 0 0 440.497 -0.495 20 0 IBADRN COc1cc(NC(C)=O)ccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000541670233 1072546448 /nfs/dbraw/zinc/54/64/48/1072546448.db2.gz OIDNFALUAQMURD-UHFFFAOYSA-N 0 0 447.492 -0.405 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CCO)CC2)ccc1F ZINC000541670336 1072546304 /nfs/dbraw/zinc/54/63/04/1072546304.db2.gz PIJIRXNPMUANPF-UHFFFAOYSA-N 0 0 430.502 -0.023 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)CC2)cc1Cl ZINC000541670346 1072546238 /nfs/dbraw/zinc/54/62/38/1072546238.db2.gz PKMQHCDXGURIAF-UHFFFAOYSA-N 0 0 439.900 -0.767 20 0 IBADRN CN1CCc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2C1=O ZINC000541670717 1072546802 /nfs/dbraw/zinc/54/68/02/1072546802.db2.gz VWHBZFCJLCVFIC-UHFFFAOYSA-N 0 0 443.504 -0.744 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000541670885 1072546821 /nfs/dbraw/zinc/54/68/21/1072546821.db2.gz XBLSXCJUNHHQNM-UHFFFAOYSA-N 0 0 438.506 -0.968 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C)c1 ZINC000541670908 1072546940 /nfs/dbraw/zinc/54/69/40/1072546940.db2.gz XMRQXCQIVWVFPI-UHFFFAOYSA-N 0 0 448.520 -0.038 20 0 IBADRN Cn1c2cccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)c2oc1=O ZINC000541670922 1072546901 /nfs/dbraw/zinc/54/69/01/1072546901.db2.gz YOIRONNSRKIEHP-UHFFFAOYSA-N 0 0 431.449 -0.927 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000541671100 1072546361 /nfs/dbraw/zinc/54/63/61/1072546361.db2.gz HMLJCBOWWOTXIO-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000541671106 1072546412 /nfs/dbraw/zinc/54/64/12/1072546412.db2.gz HWCSMBFWRUHATO-UHFFFAOYSA-N 0 0 442.538 -0.201 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000541671112 1072546379 /nfs/dbraw/zinc/54/63/79/1072546379.db2.gz IDFCKAVRMUYQMX-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CN1CCOc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc21 ZINC000541671125 1072546914 /nfs/dbraw/zinc/54/69/14/1072546914.db2.gz ISITYSHLJNMOFA-UHFFFAOYSA-N 0 0 431.493 -0.543 20 0 IBADRN COC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000541671282 1072546176 /nfs/dbraw/zinc/54/61/76/1072546176.db2.gz PYWWJVLPBQRADZ-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3ccccc3CN3CCNC3=O)CC2)n1 ZINC000541671375 1073364113 /nfs/dbraw/zinc/36/41/13/1073364113.db2.gz UGZGRYNAXXYLOJ-UHFFFAOYSA-N 0 0 430.494 -0.067 20 0 IBADRN CCn1ncc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc21 ZINC000541671472 1072546388 /nfs/dbraw/zinc/54/63/88/1072546388.db2.gz DUQSNBVZEVYUPK-UHFFFAOYSA-N 0 0 428.493 -0.002 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000541671536 1072546167 /nfs/dbraw/zinc/54/61/67/1072546167.db2.gz WROCUZNFGLNGDY-UHFFFAOYSA-N 0 0 445.520 -0.741 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000541671691 1072547510 /nfs/dbraw/zinc/54/75/10/1072547510.db2.gz KQRUBZLXLWOXML-CQSZACIVSA-N 0 0 448.505 -0.242 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1)N1CCOCC1 ZINC000541671693 1072547315 /nfs/dbraw/zinc/54/73/15/1072547315.db2.gz KRNHFCJIDZWWHA-UHFFFAOYSA-N 0 0 444.492 -0.842 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)O1 ZINC000541671945 1072546988 /nfs/dbraw/zinc/54/69/88/1072546988.db2.gz FEURQIDRPGHQOQ-GDBMZVCRSA-N 0 0 438.506 -0.182 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)O1 ZINC000541671946 1072546975 /nfs/dbraw/zinc/54/69/75/1072546975.db2.gz FEURQIDRPGHQOQ-GOEBONIOSA-N 0 0 438.506 -0.182 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)O1 ZINC000541671947 1072546951 /nfs/dbraw/zinc/54/69/51/1072546951.db2.gz FEURQIDRPGHQOQ-HOCLYGCPSA-N 0 0 438.506 -0.182 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)O1 ZINC000541671948 1072547293 /nfs/dbraw/zinc/54/72/93/1072547293.db2.gz FEURQIDRPGHQOQ-ZBFHGGJFSA-N 0 0 438.506 -0.182 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000541671997 1072546751 /nfs/dbraw/zinc/54/67/51/1072546751.db2.gz QDEWLPFIZAEZAH-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000541672084 1072546961 /nfs/dbraw/zinc/54/69/61/1072546961.db2.gz GBYMPXFNYBYUSY-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000541672141 1072546879 /nfs/dbraw/zinc/54/68/79/1072546879.db2.gz IYZRJPWZHFIBHY-UHFFFAOYSA-N 0 0 435.481 -0.952 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2CCN(CCO)CC2)cc(OC)c(OC)c1OC ZINC000541672319 1072546780 /nfs/dbraw/zinc/54/67/80/1072546780.db2.gz JIUYKNLFYHRMFC-UHFFFAOYSA-N 0 0 439.465 -0.036 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)c1 ZINC000541672365 1072546768 /nfs/dbraw/zinc/54/67/68/1072546768.db2.gz KYYGPLRMADUADU-PBHICJAKSA-N 0 0 442.476 -0.030 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)c1 ZINC000541672366 1072546889 /nfs/dbraw/zinc/54/68/89/1072546889.db2.gz KYYGPLRMADUADU-RHSMWYFYSA-N 0 0 442.476 -0.030 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)c1 ZINC000541672367 1072546852 /nfs/dbraw/zinc/54/68/52/1072546852.db2.gz KYYGPLRMADUADU-WMLDXEAASA-N 0 0 442.476 -0.030 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)c1 ZINC000541672368 1072546866 /nfs/dbraw/zinc/54/68/66/1072546866.db2.gz KYYGPLRMADUADU-YOEHRIQHSA-N 0 0 442.476 -0.030 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2Cn2cncn2)CC1)N1CCOCC1 ZINC000541672383 1072546925 /nfs/dbraw/zinc/54/69/25/1072546925.db2.gz UXGGVSHJJMPXQZ-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CN(Cc1ccccn1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000541672446 1072546730 /nfs/dbraw/zinc/54/67/30/1072546730.db2.gz YIOMFDLNGOZVKJ-UHFFFAOYSA-N 0 0 431.474 -0.201 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)C2=O)cn1 ZINC000541672500 1072546836 /nfs/dbraw/zinc/54/68/36/1072546836.db2.gz HVGDWCISXUKGNJ-HNNXBMFYSA-N 0 0 446.489 -0.398 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)C2=O)cn1 ZINC000541672501 1072546746 /nfs/dbraw/zinc/54/67/46/1072546746.db2.gz HVGDWCISXUKGNJ-OAHLLOKOSA-N 0 0 446.489 -0.398 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1)N1CCOCC1 ZINC000541672638 1072547481 /nfs/dbraw/zinc/54/74/81/1072547481.db2.gz LLYXPSPKDXLOLT-UHFFFAOYSA-N 0 0 447.467 -0.348 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000541673044 1072547279 /nfs/dbraw/zinc/54/72/79/1072547279.db2.gz RSEOHVRGKSHHIC-ZDUSSCGKSA-N 0 0 438.506 -0.347 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000541673468 1072547302 /nfs/dbraw/zinc/54/73/02/1072547302.db2.gz XQYJUBVXEUZAJA-UHFFFAOYSA-N 0 0 430.508 -0.949 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000541674592 1072547502 /nfs/dbraw/zinc/54/75/02/1072547502.db2.gz LSIWATPHYZVETF-UHFFFAOYSA-N 0 0 439.476 -0.147 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ncn(C3CCCCC3)n2)CC1 ZINC000541677497 1073320505 /nfs/dbraw/zinc/32/05/05/1073320505.db2.gz FPRPXCGBOMYHGU-UHFFFAOYSA-N 0 0 433.513 -0.275 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000541677831 1072547369 /nfs/dbraw/zinc/54/73/69/1072547369.db2.gz WSTRMZBUHFHYFO-UHFFFAOYSA-N 0 0 437.522 -0.773 20 0 IBADRN O=C(CN1CCN2CCOC[C@@H]2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000541694504 1072548469 /nfs/dbraw/zinc/54/84/69/1072548469.db2.gz ANIJUWNSIWDKJL-HNNXBMFYSA-N 0 0 444.504 -0.186 20 0 IBADRN O=C(CN1CCN2CCOC[C@H]2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000541694505 1072548523 /nfs/dbraw/zinc/54/85/23/1072548523.db2.gz ANIJUWNSIWDKJL-OAHLLOKOSA-N 0 0 444.504 -0.186 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccccc3CN3CCNC3=O)CC2)c1=O ZINC000541694740 1072548562 /nfs/dbraw/zinc/54/85/62/1072548562.db2.gz KBRNAWRMWVQFFL-UHFFFAOYSA-N 0 0 439.476 -0.407 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)c1 ZINC000541694898 1072548345 /nfs/dbraw/zinc/54/83/45/1072548345.db2.gz MOAWDLNDGUHPPO-UHFFFAOYSA-N 0 0 435.444 -0.103 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCc2nn(C)c(=O)cc2C1 ZINC000541695586 1072548321 /nfs/dbraw/zinc/54/83/21/1072548321.db2.gz ZQDZUKUDMRFSCD-UHFFFAOYSA-N 0 0 425.445 -0.161 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)c1 ZINC000541695850 1072548280 /nfs/dbraw/zinc/54/82/80/1072548280.db2.gz LERFUVMIWSRNPG-NRFANRHFSA-N 0 0 444.492 -0.582 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)c1 ZINC000541695851 1072548240 /nfs/dbraw/zinc/54/82/40/1072548240.db2.gz LERFUVMIWSRNPG-OAQYLSRUSA-N 0 0 444.492 -0.582 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC)C(=O)N1CCOCC1 ZINC000541695884 1072548546 /nfs/dbraw/zinc/54/85/46/1072548546.db2.gz MGDXKUFSRUGLJB-HNNXBMFYSA-N 0 0 432.477 -0.086 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC)C(=O)N1CCOCC1 ZINC000541695885 1072548369 /nfs/dbraw/zinc/54/83/69/1072548369.db2.gz MGDXKUFSRUGLJB-OAHLLOKOSA-N 0 0 432.477 -0.086 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC ZINC000541695886 1072549034 /nfs/dbraw/zinc/54/90/34/1072549034.db2.gz MJMJVKUOWMOYNT-HNNXBMFYSA-N 0 0 427.465 -0.243 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC ZINC000541695887 1072548845 /nfs/dbraw/zinc/54/88/45/1072548845.db2.gz MJMJVKUOWMOYNT-OAHLLOKOSA-N 0 0 427.465 -0.243 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000541695961 1072548434 /nfs/dbraw/zinc/54/84/34/1072548434.db2.gz HRKUAWDPIKPZDH-NRFANRHFSA-N 0 0 434.493 -0.640 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000541695962 1072548404 /nfs/dbraw/zinc/54/84/04/1072548404.db2.gz HRKUAWDPIKPZDH-OAQYLSRUSA-N 0 0 434.493 -0.640 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000541696379 1072548554 /nfs/dbraw/zinc/54/85/54/1072548554.db2.gz ALQQLNVTRVIQSC-LLVKDONJSA-N 0 0 430.508 -0.903 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000541696381 1072548337 /nfs/dbraw/zinc/54/83/37/1072548337.db2.gz ALQQLNVTRVIQSC-NSHDSACASA-N 0 0 430.508 -0.903 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000541696662 1072549018 /nfs/dbraw/zinc/54/90/18/1072549018.db2.gz MOALZUWJESFJRN-INIZCTEOSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000541696665 1072549066 /nfs/dbraw/zinc/54/90/66/1072549066.db2.gz MOALZUWJESFJRN-MRXNPFEDSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1 ZINC000541697270 1072548867 /nfs/dbraw/zinc/54/88/67/1072548867.db2.gz RJUYNBOFEYFWRT-KRWDZBQOSA-N 0 0 443.460 -0.009 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1 ZINC000541697271 1072548857 /nfs/dbraw/zinc/54/88/57/1072548857.db2.gz RJUYNBOFEYFWRT-QGZVFWFLSA-N 0 0 443.460 -0.009 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1=O ZINC000541698387 1072548961 /nfs/dbraw/zinc/54/89/61/1072548961.db2.gz WJDHDXMRMYIRSN-UHFFFAOYSA-N 0 0 430.477 -0.038 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000541698829 1072550193 /nfs/dbraw/zinc/55/01/93/1072550193.db2.gz GGZDZEZGQBXCFN-AWEZNQCLSA-N 0 0 444.535 -0.394 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000541698830 1072550014 /nfs/dbraw/zinc/55/00/14/1072550014.db2.gz GGZDZEZGQBXCFN-CQSZACIVSA-N 0 0 444.535 -0.394 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)C1CCOCC1 ZINC000541698951 1072550204 /nfs/dbraw/zinc/55/02/04/1072550204.db2.gz ZDFFMGIKKKBQKS-GOSISDBHSA-N 0 0 445.524 -0.040 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)C1CCOCC1 ZINC000541698952 1072550043 /nfs/dbraw/zinc/55/00/43/1072550043.db2.gz ZDFFMGIKKKBQKS-SFHVURJKSA-N 0 0 445.524 -0.040 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN3CCOC[C@@H]3C2)CC1 ZINC000541699545 1072548909 /nfs/dbraw/zinc/54/89/09/1072548909.db2.gz VWGKWJJTEGVXIZ-INIZCTEOSA-N 0 0 427.527 -0.859 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN3CCOC[C@H]3C2)CC1 ZINC000541699546 1072548877 /nfs/dbraw/zinc/54/88/77/1072548877.db2.gz VWGKWJJTEGVXIZ-MRXNPFEDSA-N 0 0 427.527 -0.859 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)N1CCN(CC(=O)NCCOC)CC1 ZINC000541699700 1072550120 /nfs/dbraw/zinc/55/01/20/1072550120.db2.gz YZBDWRBSFTYAMX-UHFFFAOYSA-N 0 0 443.508 -0.190 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@@]1(C)C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000541701348 1072550190 /nfs/dbraw/zinc/55/01/90/1072550190.db2.gz QTWOTHNNBURLHC-FQEVSTJZSA-N 0 0 438.529 -0.104 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@]1(C)C(N)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000541701351 1072550132 /nfs/dbraw/zinc/55/01/32/1072550132.db2.gz QTWOTHNNBURLHC-HXUWFJFHSA-N 0 0 438.529 -0.104 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(C(C)=O)c3)CC2)CC1=O ZINC000541704051 1072550173 /nfs/dbraw/zinc/55/01/73/1072550173.db2.gz WAVMXYROUHJWAD-UHFFFAOYSA-N 0 0 436.534 -0.114 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3CNC(=O)C3)CC2)cc1 ZINC000541712277 1072549454 /nfs/dbraw/zinc/54/94/54/1072549454.db2.gz WJEFWTKCLIVHQK-AWEZNQCLSA-N 0 0 438.506 -0.687 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H]3CNC(=O)C3)CC2)cc1 ZINC000541712279 1072549507 /nfs/dbraw/zinc/54/95/07/1072549507.db2.gz WJEFWTKCLIVHQK-CQSZACIVSA-N 0 0 438.506 -0.687 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(CC)C(=O)C2)cc1S(=O)(=O)N(C)C ZINC000541712524 1072549606 /nfs/dbraw/zinc/54/96/06/1072549606.db2.gz SGMUSFWGSZIIRJ-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000541712802 1072549595 /nfs/dbraw/zinc/54/95/95/1072549595.db2.gz DLNQEVVFKXIMRR-UHFFFAOYSA-N 0 0 439.473 -0.082 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC1=O ZINC000541713188 1072549587 /nfs/dbraw/zinc/54/95/87/1072549587.db2.gz FQFOICHZGJVKNL-UHFFFAOYSA-N 0 0 437.522 -0.748 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000541713959 1072550001 /nfs/dbraw/zinc/55/00/01/1072550001.db2.gz BZOAZSRKCCJIIR-UHFFFAOYSA-N 0 0 442.469 -0.524 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1=O ZINC000541714241 1072549322 /nfs/dbraw/zinc/54/93/22/1072549322.db2.gz IFNNJPBHBPHDOZ-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1=O ZINC000541714590 1072549367 /nfs/dbraw/zinc/54/93/67/1072549367.db2.gz OITZQJCBEBRXEW-UHFFFAOYSA-N 0 0 425.511 -0.368 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)CC1=O ZINC000541715798 1072550610 /nfs/dbraw/zinc/55/06/10/1072550610.db2.gz NDWFVZCUWVDRMQ-UHFFFAOYSA-N 0 0 437.884 -0.213 20 0 IBADRN O=C(c1cnc2n[nH]nc2c1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000541726838 1072551176 /nfs/dbraw/zinc/55/11/76/1072551176.db2.gz DTDUXXXEAPTEDA-UHFFFAOYSA-N 0 0 443.445 -0.170 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000541728073 1072551280 /nfs/dbraw/zinc/55/12/80/1072551280.db2.gz WLBBCQUENMRIME-UHFFFAOYSA-N 0 0 438.510 -0.185 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000541746989 1072551057 /nfs/dbraw/zinc/55/10/57/1072551057.db2.gz WVAUXONNGZTSHA-HNNXBMFYSA-N 0 0 425.507 -0.127 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN2CCOC[C@H]2C1 ZINC000541746990 1072551270 /nfs/dbraw/zinc/55/12/70/1072551270.db2.gz WVAUXONNGZTSHA-OAHLLOKOSA-N 0 0 425.507 -0.127 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN3CCOC[C@@H]3C2)c1 ZINC000541749402 1072551774 /nfs/dbraw/zinc/55/17/74/1072551774.db2.gz NNQPUNFCJYOBCK-INIZCTEOSA-N 0 0 438.550 -0.070 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN3CCOC[C@H]3C2)c1 ZINC000541749404 1072551731 /nfs/dbraw/zinc/55/17/31/1072551731.db2.gz NNQPUNFCJYOBCK-MRXNPFEDSA-N 0 0 438.550 -0.070 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C ZINC000541754061 1072551551 /nfs/dbraw/zinc/55/15/51/1072551551.db2.gz HDAPQNRMBAVGSX-UHFFFAOYSA-N 0 0 440.522 -0.005 20 0 IBADRN CCN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1=O ZINC000541754462 1072551712 /nfs/dbraw/zinc/55/17/12/1072551712.db2.gz RBYRSUPNJBOGSA-HNNXBMFYSA-N 0 0 425.507 -0.081 20 0 IBADRN CCN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1=O ZINC000541754463 1072551795 /nfs/dbraw/zinc/55/17/95/1072551795.db2.gz RBYRSUPNJBOGSA-OAHLLOKOSA-N 0 0 425.507 -0.081 20 0 IBADRN O=S(=O)(NCCCNS(=O)(=O)N1CCS(=O)(=O)CC1)c1ccccc1Cl ZINC000541763312 1072551808 /nfs/dbraw/zinc/55/18/08/1072551808.db2.gz JMWRARSPFIGKTG-UHFFFAOYSA-N 0 0 445.972 -0.427 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)n1nnnc1C(C)(C)C ZINC000541775414 1072551605 /nfs/dbraw/zinc/55/16/05/1072551605.db2.gz MFAXFSPZHZPNRN-LLVKDONJSA-N 0 0 439.498 -0.243 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)n1nnnc1C(C)(C)C ZINC000541775415 1072551643 /nfs/dbraw/zinc/55/16/43/1072551643.db2.gz MFAXFSPZHZPNRN-NSHDSACASA-N 0 0 439.498 -0.243 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000541777195 1072551782 /nfs/dbraw/zinc/55/17/82/1072551782.db2.gz YXBYUOJYMMJVAM-UHFFFAOYSA-N 0 0 442.542 -0.075 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000541790269 1072552062 /nfs/dbraw/zinc/55/20/62/1072552062.db2.gz DYQCCVVBHRRGBB-UHFFFAOYSA-N 0 0 435.506 -0.037 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000541792454 1072552208 /nfs/dbraw/zinc/55/22/08/1072552208.db2.gz DNHBZJBPIDQABW-UHFFFAOYSA-N 0 0 425.511 -0.340 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000541796705 1072552129 /nfs/dbraw/zinc/55/21/29/1072552129.db2.gz MUGJMJVBZUCZBZ-UHFFFAOYSA-N 0 0 440.482 -0.372 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)NCCN2CCNC(=O)C2)cc1 ZINC000541797878 1072552081 /nfs/dbraw/zinc/55/20/81/1072552081.db2.gz RXIJYOCSEBDYCT-UHFFFAOYSA-N 0 0 431.453 -0.880 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3ccc(I)cc3)CCN2C1=O ZINC000541814262 1072552177 /nfs/dbraw/zinc/55/21/77/1072552177.db2.gz FJGZGTKOTZEERS-JTQLQIEISA-N 0 0 435.243 -0.378 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3ccc(I)cc3)CCN2C1=O ZINC000541814263 1072552282 /nfs/dbraw/zinc/55/22/82/1072552282.db2.gz FJGZGTKOTZEERS-SNVBAGLBSA-N 0 0 435.243 -0.378 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)[C@H]4CCCOC4)CC3)cc2N1 ZINC000541824366 1072552762 /nfs/dbraw/zinc/55/27/62/1072552762.db2.gz XIDPDEGIXWCERI-AWEZNQCLSA-N 0 0 445.519 -0.167 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)[C@@H]4CCCOC4)CC3)cc2N1 ZINC000541824367 1072552825 /nfs/dbraw/zinc/55/28/25/1072552825.db2.gz XIDPDEGIXWCERI-CQSZACIVSA-N 0 0 445.519 -0.167 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(I)cc2)CC1 ZINC000541824373 1072552704 /nfs/dbraw/zinc/55/27/04/1072552704.db2.gz XNUHCQUSRNFGAS-UHFFFAOYSA-N 0 0 431.277 -0.199 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC(=O)N(C)C3)CC2)cc1 ZINC000541825882 1072552675 /nfs/dbraw/zinc/55/26/75/1072552675.db2.gz PLKLKSYQVNIWBA-UHFFFAOYSA-N 0 0 438.506 -0.434 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000541826318 1072552719 /nfs/dbraw/zinc/55/27/19/1072552719.db2.gz OZALXBUGSOHVBX-GFCCVEGCSA-N 0 0 440.569 -0.869 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000541826321 1072552786 /nfs/dbraw/zinc/55/27/86/1072552786.db2.gz OZALXBUGSOHVBX-LBPRGKRZSA-N 0 0 440.569 -0.869 20 0 IBADRN CN1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1=O ZINC000541827454 1072552689 /nfs/dbraw/zinc/55/26/89/1072552689.db2.gz UNEOHLWAHDIEBL-UHFFFAOYSA-N 0 0 426.470 -0.303 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)[C@H](C(C)C)N3CCCS3(=O)=O)CC2)nn1 ZINC000541832837 1072552640 /nfs/dbraw/zinc/55/26/40/1072552640.db2.gz PUVQBMCVUGXMPC-INIZCTEOSA-N 0 0 425.511 -0.028 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)[C@@H](C(C)C)N3CCCS3(=O)=O)CC2)nn1 ZINC000541832838 1072552811 /nfs/dbraw/zinc/55/28/11/1072552811.db2.gz PUVQBMCVUGXMPC-MRXNPFEDSA-N 0 0 425.511 -0.028 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCN3CCOC[C@@H]3C2)cc1)N1CCOCC1 ZINC000541833240 1072552622 /nfs/dbraw/zinc/55/26/22/1072552622.db2.gz SCXSTVIKTMEGAK-HNNXBMFYSA-N 0 0 431.536 -0.587 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCN3CCOC[C@H]3C2)cc1)N1CCOCC1 ZINC000541833241 1072552600 /nfs/dbraw/zinc/55/26/00/1072552600.db2.gz SCXSTVIKTMEGAK-OAHLLOKOSA-N 0 0 431.536 -0.587 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)c3cc(=O)n4[nH]cnc4n3)c2)CC1 ZINC000541842568 1072553851 /nfs/dbraw/zinc/55/38/51/1072553851.db2.gz XJNWQJWXBHRQCV-UHFFFAOYSA-N 0 0 431.478 -0.004 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000541856524 1072553833 /nfs/dbraw/zinc/55/38/33/1072553833.db2.gz RJOCFLBUKUDITO-PBHICJAKSA-N 0 0 447.579 -0.047 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000541856525 1072554331 /nfs/dbraw/zinc/55/43/31/1072554331.db2.gz RJOCFLBUKUDITO-RHSMWYFYSA-N 0 0 447.579 -0.047 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000541856526 1072554474 /nfs/dbraw/zinc/55/44/74/1072554474.db2.gz RJOCFLBUKUDITO-WMLDXEAASA-N 0 0 447.579 -0.047 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000541856527 1072554537 /nfs/dbraw/zinc/55/45/37/1072554537.db2.gz RJOCFLBUKUDITO-YOEHRIQHSA-N 0 0 447.579 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)[C@H](C)O)cc1 ZINC000541857519 1072554429 /nfs/dbraw/zinc/55/44/29/1072554429.db2.gz MXVFXIBEOZAJLM-JQHSSLGASA-N 0 0 439.534 -0.127 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)[C@@H](C)O)cc1 ZINC000541857520 1072554341 /nfs/dbraw/zinc/55/43/41/1072554341.db2.gz MXVFXIBEOZAJLM-NJAFHUGGSA-N 0 0 439.534 -0.127 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)[C@@H](C)O)cc1 ZINC000541857521 1072554374 /nfs/dbraw/zinc/55/43/74/1072554374.db2.gz MXVFXIBEOZAJLM-NXHRZFHOSA-N 0 0 439.534 -0.127 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)[C@H](C)O)cc1 ZINC000541857522 1072554461 /nfs/dbraw/zinc/55/44/61/1072554461.db2.gz MXVFXIBEOZAJLM-SZMVWBNQSA-N 0 0 439.534 -0.127 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)cc2N1 ZINC000541860255 1072554403 /nfs/dbraw/zinc/55/44/03/1072554403.db2.gz QPGGGSJBCBFNOA-AWEZNQCLSA-N 0 0 445.519 -0.167 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)cc2N1 ZINC000541860256 1072554291 /nfs/dbraw/zinc/55/42/91/1072554291.db2.gz QPGGGSJBCBFNOA-CQSZACIVSA-N 0 0 445.519 -0.167 20 0 IBADRN O=C(Nc1cnn(-c2ccccn2)c1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000541877737 1072555517 /nfs/dbraw/zinc/55/55/17/1072555517.db2.gz HBWSRQAUKZVFQV-INIZCTEOSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1cnn(-c2ccccn2)c1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000541877738 1072555405 /nfs/dbraw/zinc/55/54/05/1072555405.db2.gz HBWSRQAUKZVFQV-MRXNPFEDSA-N 0 0 448.505 -0.141 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@@H](C)S(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000541882965 1072555436 /nfs/dbraw/zinc/55/54/36/1072555436.db2.gz AIWXMTVCFUFABL-CVEARBPZSA-N 0 0 440.570 -0.016 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@H](C)S(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000541882966 1072555457 /nfs/dbraw/zinc/55/54/57/1072555457.db2.gz AIWXMTVCFUFABL-HOTGVXAUSA-N 0 0 440.570 -0.016 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NC[C@@H](C)S(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000541882967 1072555537 /nfs/dbraw/zinc/55/55/37/1072555537.db2.gz AIWXMTVCFUFABL-HZPDHXFCSA-N 0 0 440.570 -0.016 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NC[C@H](C)S(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000541882968 1072555446 /nfs/dbraw/zinc/55/54/46/1072555446.db2.gz AIWXMTVCFUFABL-JKSUJKDBSA-N 0 0 440.570 -0.016 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000541884003 1072554874 /nfs/dbraw/zinc/55/48/74/1072554874.db2.gz QSCPZLMLTBVURO-AWEZNQCLSA-N 0 0 440.588 -0.460 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000541884004 1072554886 /nfs/dbraw/zinc/55/48/86/1072554886.db2.gz QSCPZLMLTBVURO-CQSZACIVSA-N 0 0 440.588 -0.460 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000541893401 1072555380 /nfs/dbraw/zinc/55/53/80/1072555380.db2.gz YUIMTXBQJVJUCZ-BLLLJJGKSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)[C@H](C(=O)OC)C1 ZINC000541893402 1072555473 /nfs/dbraw/zinc/55/54/73/1072555473.db2.gz YUIMTXBQJVJUCZ-LRDDRELGSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)[C@@H](C(=O)OC)C1 ZINC000541893403 1072555367 /nfs/dbraw/zinc/55/53/67/1072555367.db2.gz YUIMTXBQJVJUCZ-MLGOLLRUSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)[C@H](C(=O)OC)C1 ZINC000541893404 1072555465 /nfs/dbraw/zinc/55/54/65/1072555465.db2.gz YUIMTXBQJVJUCZ-WBMJQRKESA-N 0 0 440.474 -0.052 20 0 IBADRN CC(=O)NCCN(C(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)[C@H](C)C(=O)OC(C)(C)C ZINC000541898209 1072556007 /nfs/dbraw/zinc/55/60/07/1072556007.db2.gz ZWJLGNUNCCADCR-GFCCVEGCSA-N 0 0 449.508 -0.116 20 0 IBADRN CC(=O)NCCN(C(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)[C@@H](C)C(=O)OC(C)(C)C ZINC000541898210 1072555913 /nfs/dbraw/zinc/55/59/13/1072555913.db2.gz ZWJLGNUNCCADCR-LBPRGKRZSA-N 0 0 449.508 -0.116 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000541903270 1072556545 /nfs/dbraw/zinc/55/65/45/1072556545.db2.gz PWHVQAOUTFOZMY-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000541906059 1072556624 /nfs/dbraw/zinc/55/66/24/1072556624.db2.gz QSCSAJURFASMIE-UHFFFAOYSA-N 0 0 444.444 -0.460 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)CCO1 ZINC000541912532 1072555976 /nfs/dbraw/zinc/55/59/76/1072555976.db2.gz XRBPZRWCWCDFFW-GFCCVEGCSA-N 0 0 445.441 -0.337 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)CCO1 ZINC000541912533 1072556076 /nfs/dbraw/zinc/55/60/76/1072556076.db2.gz XRBPZRWCWCDFFW-LBPRGKRZSA-N 0 0 445.441 -0.337 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCO[C@@H](C(=O)NC)C2)s1 ZINC000541914531 1072556105 /nfs/dbraw/zinc/55/61/05/1072556105.db2.gz ZOIWVPIWWJRMMR-GFCCVEGCSA-N 0 0 425.554 -0.635 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCO[C@H](C(=O)NC)C2)s1 ZINC000541914532 1072556091 /nfs/dbraw/zinc/55/60/91/1072556091.db2.gz ZOIWVPIWWJRMMR-LBPRGKRZSA-N 0 0 425.554 -0.635 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CCO1 ZINC000541915482 1072556165 /nfs/dbraw/zinc/55/61/65/1072556165.db2.gz NXWWWCVCGLZMSZ-DOTOQJQBSA-N 0 0 440.522 -0.200 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CCO1 ZINC000541915483 1072556063 /nfs/dbraw/zinc/55/60/63/1072556063.db2.gz NXWWWCVCGLZMSZ-NVXWUHKLSA-N 0 0 440.522 -0.200 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CCO1 ZINC000541915484 1072556134 /nfs/dbraw/zinc/55/61/34/1072556134.db2.gz NXWWWCVCGLZMSZ-RDJZCZTQSA-N 0 0 440.522 -0.200 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CCO1 ZINC000541915485 1072555990 /nfs/dbraw/zinc/55/59/90/1072555990.db2.gz NXWWWCVCGLZMSZ-WBVHZDCISA-N 0 0 440.522 -0.200 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCC2(C(=O)OC)CCOCC2)c1 ZINC000541919054 1072556660 /nfs/dbraw/zinc/55/66/60/1072556660.db2.gz FXUFQPPQWBCIOR-UHFFFAOYSA-N 0 0 442.494 -0.198 20 0 IBADRN COC(=O)c1ccc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)[nH]1 ZINC000541926068 1072557160 /nfs/dbraw/zinc/55/71/60/1072557160.db2.gz SNYALTCUEZPQRQ-UHFFFAOYSA-N 0 0 442.519 -0.045 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC2(C(=O)OC)CCOCC2)c1 ZINC000541929855 1072557198 /nfs/dbraw/zinc/55/71/98/1072557198.db2.gz HPQYTZBVGRTUKC-UHFFFAOYSA-N 0 0 443.522 -0.035 20 0 IBADRN COC(=O)C1(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOCC1 ZINC000541931950 1072557094 /nfs/dbraw/zinc/55/70/94/1072557094.db2.gz BTTKNPJOOOZAML-UHFFFAOYSA-N 0 0 439.490 -0.493 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn(C)c1=O ZINC000541943803 1072557054 /nfs/dbraw/zinc/55/70/54/1072557054.db2.gz OSHYHWCGIHQFAO-UHFFFAOYSA-N 0 0 448.501 -0.030 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1 ZINC000541945111 1072557073 /nfs/dbraw/zinc/55/70/73/1072557073.db2.gz KCUXERUEFODFEM-GFCCVEGCSA-N 0 0 434.540 -0.970 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1 ZINC000541945112 1072557115 /nfs/dbraw/zinc/55/71/15/1072557115.db2.gz KCUXERUEFODFEM-LBPRGKRZSA-N 0 0 434.540 -0.970 20 0 IBADRN CCO[C@H](C)c1nc(CN2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cs1 ZINC000541946203 1072557130 /nfs/dbraw/zinc/55/71/30/1072557130.db2.gz GXYPWYAELNVXTE-CHWSQXEVSA-N 0 0 447.583 -0.322 20 0 IBADRN CCO[C@@H](C)c1nc(CN2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cs1 ZINC000541946204 1072557088 /nfs/dbraw/zinc/55/70/88/1072557088.db2.gz GXYPWYAELNVXTE-OLZOCXBDSA-N 0 0 447.583 -0.322 20 0 IBADRN CCO[C@H](C)c1nc(CN2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cs1 ZINC000541946205 1072557140 /nfs/dbraw/zinc/55/71/40/1072557140.db2.gz GXYPWYAELNVXTE-QWHCGFSZSA-N 0 0 447.583 -0.322 20 0 IBADRN CCO[C@@H](C)c1nc(CN2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cs1 ZINC000541946206 1072557214 /nfs/dbraw/zinc/55/72/14/1072557214.db2.gz GXYPWYAELNVXTE-STQMWFEESA-N 0 0 447.583 -0.322 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)c2cc3occc3s2)CC1)S(N)(=O)=O ZINC000541946366 1072557184 /nfs/dbraw/zinc/55/71/84/1072557184.db2.gz BLOPUADNJLXAFW-JTQLQIEISA-N 0 0 428.492 -0.428 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)c2cc3occc3s2)CC1)S(N)(=O)=O ZINC000541946367 1072557145 /nfs/dbraw/zinc/55/71/45/1072557145.db2.gz BLOPUADNJLXAFW-SNVBAGLBSA-N 0 0 428.492 -0.428 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cn(C)c(=O)cc3C)CC2)cn1C ZINC000541946480 1072557080 /nfs/dbraw/zinc/55/70/80/1072557080.db2.gz MOOCIDLAUAPCGT-UHFFFAOYSA-N 0 0 436.494 -0.793 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)NCCS(N)(=O)=O)cc1OC ZINC000541947046 1072557478 /nfs/dbraw/zinc/55/74/78/1072557478.db2.gz RFHLBIISJMJWGO-UHFFFAOYSA-N 0 0 425.467 -0.400 20 0 IBADRN CCN(CC)C(=O)COc1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc1Cl ZINC000541948469 1072557539 /nfs/dbraw/zinc/55/75/39/1072557539.db2.gz QDEZKJRPDLOIRW-UHFFFAOYSA-N 0 0 434.902 -0.070 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2cccc(Cl)c2)[C@H](C)C1)S(N)(=O)=O ZINC000541948788 1072557575 /nfs/dbraw/zinc/55/75/75/1072557575.db2.gz QJZJGINZOCBNKN-CHWSQXEVSA-N 0 0 444.941 -0.265 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2cccc(Cl)c2)[C@H](C)C1)S(N)(=O)=O ZINC000541948789 1072557527 /nfs/dbraw/zinc/55/75/27/1072557527.db2.gz QJZJGINZOCBNKN-OLZOCXBDSA-N 0 0 444.941 -0.265 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2cccc(Cl)c2)[C@@H](C)C1)S(N)(=O)=O ZINC000541948790 1072557562 /nfs/dbraw/zinc/55/75/62/1072557562.db2.gz QJZJGINZOCBNKN-QWHCGFSZSA-N 0 0 444.941 -0.265 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2cccc(Cl)c2)[C@@H](C)C1)S(N)(=O)=O ZINC000541948791 1072557665 /nfs/dbraw/zinc/55/76/65/1072557665.db2.gz QJZJGINZOCBNKN-STQMWFEESA-N 0 0 444.941 -0.265 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C1 ZINC000541950718 1072558115 /nfs/dbraw/zinc/55/81/15/1072558115.db2.gz ZQTCVOLVURFMSB-GHMZBOCLSA-N 0 0 430.449 -0.571 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C1 ZINC000541950719 1072557998 /nfs/dbraw/zinc/55/79/98/1072557998.db2.gz ZQTCVOLVURFMSB-MNOVXSKESA-N 0 0 430.449 -0.571 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C1 ZINC000541950720 1072558008 /nfs/dbraw/zinc/55/80/08/1072558008.db2.gz ZQTCVOLVURFMSB-QWRGUYRKSA-N 0 0 430.449 -0.571 20 0 IBADRN CCN(CC(F)(F)F)C(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C1 ZINC000541950721 1072558017 /nfs/dbraw/zinc/55/80/17/1072558017.db2.gz ZQTCVOLVURFMSB-WDEREUQCSA-N 0 0 430.449 -0.571 20 0 IBADRN NC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000541951669 1072558084 /nfs/dbraw/zinc/55/80/84/1072558084.db2.gz HDHLAHWKAFQLJT-UHFFFAOYSA-N 0 0 445.457 -0.342 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000541953172 1072558040 /nfs/dbraw/zinc/55/80/40/1072558040.db2.gz BOTQAPYEOOSTFU-AWEZNQCLSA-N 0 0 433.556 -0.449 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000541953176 1072558234 /nfs/dbraw/zinc/55/82/34/1072558234.db2.gz BOTQAPYEOOSTFU-CQSZACIVSA-N 0 0 433.556 -0.449 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000541953412 1072558127 /nfs/dbraw/zinc/55/81/27/1072558127.db2.gz KDGOJIPSUMTMAW-INIZCTEOSA-N 0 0 436.600 -0.583 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000541953413 1072558208 /nfs/dbraw/zinc/55/82/08/1072558208.db2.gz KDGOJIPSUMTMAW-MRXNPFEDSA-N 0 0 436.600 -0.583 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000541953742 1072558196 /nfs/dbraw/zinc/55/81/96/1072558196.db2.gz UJPWUJOERMUZSL-DZGCQCFKSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000541953743 1072558098 /nfs/dbraw/zinc/55/80/98/1072558098.db2.gz UJPWUJOERMUZSL-HIFRSBDPSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000541953744 1072558242 /nfs/dbraw/zinc/55/82/42/1072558242.db2.gz UJPWUJOERMUZSL-UKRRQHHQSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000541953745 1072558224 /nfs/dbraw/zinc/55/82/24/1072558224.db2.gz UJPWUJOERMUZSL-ZFWWWQNUSA-N 0 0 447.583 -0.060 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)cn1C ZINC000541954748 1072558073 /nfs/dbraw/zinc/55/80/73/1072558073.db2.gz PNEWABHQUJQGSS-HNNXBMFYSA-N 0 0 447.583 -0.140 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)cn1C ZINC000541954749 1072558155 /nfs/dbraw/zinc/55/81/55/1072558155.db2.gz PNEWABHQUJQGSS-OAHLLOKOSA-N 0 0 447.583 -0.140 20 0 IBADRN O=C(CN[C@@H](CO)c1c(F)cccc1F)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000541954834 1072558222 /nfs/dbraw/zinc/55/82/22/1072558222.db2.gz HJGAPHXSYLZZHS-KRWDZBQOSA-N 0 0 426.464 -0.409 20 0 IBADRN O=C(CN[C@H](CO)c1c(F)cccc1F)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000541954835 1072558168 /nfs/dbraw/zinc/55/81/68/1072558168.db2.gz HJGAPHXSYLZZHS-QGZVFWFLSA-N 0 0 426.464 -0.409 20 0 IBADRN Cn1c2ncn(CCN3CCC[C@H](S(=O)(=O)NC4CCCC4)C3)c2c(=O)n(C)c1=O ZINC000541957162 1072558543 /nfs/dbraw/zinc/55/85/43/1072558543.db2.gz AQYMTOKGODJYHT-HNNXBMFYSA-N 0 0 438.554 -0.240 20 0 IBADRN Cn1c2ncn(CCN3CCC[C@@H](S(=O)(=O)NC4CCCC4)C3)c2c(=O)n(C)c1=O ZINC000541957163 1072558722 /nfs/dbraw/zinc/55/87/22/1072558722.db2.gz AQYMTOKGODJYHT-OAHLLOKOSA-N 0 0 438.554 -0.240 20 0 IBADRN Cc1ccc(Br)cc1NC(=O)CCNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000541957536 1072558475 /nfs/dbraw/zinc/55/84/75/1072558475.db2.gz ONJCNCYKBRTNQL-JTQLQIEISA-N 0 0 449.327 -0.004 20 0 IBADRN Cc1ccc(Br)cc1NC(=O)CCNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000541957537 1072558831 /nfs/dbraw/zinc/55/88/31/1072558831.db2.gz ONJCNCYKBRTNQL-SNVBAGLBSA-N 0 0 449.327 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(=O)n(C)c3)CC2)cc1 ZINC000541957792 1072558844 /nfs/dbraw/zinc/55/88/44/1072558844.db2.gz NTURYBAHPSJQCU-UHFFFAOYSA-N 0 0 434.474 -0.135 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)[C@H](C)C2)cc1 ZINC000541958057 1072559196 /nfs/dbraw/zinc/55/91/96/1072559196.db2.gz QBRKZIHKNSNHFK-CHWSQXEVSA-N 0 0 426.495 -0.697 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)[C@H](C)C2)cc1 ZINC000541958063 1072559143 /nfs/dbraw/zinc/55/91/43/1072559143.db2.gz QBRKZIHKNSNHFK-OLZOCXBDSA-N 0 0 426.495 -0.697 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)[C@@H](C)C2)cc1 ZINC000541958065 1072559318 /nfs/dbraw/zinc/55/93/18/1072559318.db2.gz QBRKZIHKNSNHFK-QWHCGFSZSA-N 0 0 426.495 -0.697 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)[C@@H](C)C2)cc1 ZINC000541958066 1072559245 /nfs/dbraw/zinc/55/92/45/1072559245.db2.gz QBRKZIHKNSNHFK-STQMWFEESA-N 0 0 426.495 -0.697 20 0 IBADRN COc1ccc(Cl)cc1CN1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC1 ZINC000541958070 1072559355 /nfs/dbraw/zinc/55/93/55/1072559355.db2.gz PRXMOQYFHLAHHW-GFCCVEGCSA-N 0 0 432.930 -0.214 20 0 IBADRN COc1ccc(Cl)cc1CN1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC1 ZINC000541958083 1072559272 /nfs/dbraw/zinc/55/92/72/1072559272.db2.gz PRXMOQYFHLAHHW-LBPRGKRZSA-N 0 0 432.930 -0.214 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cn(C)c(=O)cc2C)CC1 ZINC000541958137 1072559260 /nfs/dbraw/zinc/55/92/60/1072559260.db2.gz QVXZZQPLFKBTGS-UHFFFAOYSA-N 0 0 437.478 -0.230 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)c2ccc(Cl)cc2F)CC1)S(N)(=O)=O ZINC000541958191 1072559098 /nfs/dbraw/zinc/55/90/98/1072559098.db2.gz SJRBZQAAERCIHI-JTQLQIEISA-N 0 0 434.877 -0.443 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)c2ccc(Cl)cc2F)CC1)S(N)(=O)=O ZINC000541958195 1072559276 /nfs/dbraw/zinc/55/92/76/1072559276.db2.gz SJRBZQAAERCIHI-SNVBAGLBSA-N 0 0 434.877 -0.443 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCN(C(=O)c2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000541958282 1072558756 /nfs/dbraw/zinc/55/87/56/1072558756.db2.gz VDEWEJRJQYXRIO-GFCCVEGCSA-N 0 0 430.914 -0.192 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCN(C(=O)c2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000541958283 1072558848 /nfs/dbraw/zinc/55/88/48/1072558848.db2.gz VDEWEJRJQYXRIO-LBPRGKRZSA-N 0 0 430.914 -0.192 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn(C)c1=O ZINC000541958343 1072559287 /nfs/dbraw/zinc/55/92/87/1072559287.db2.gz XVUGNYSYZWWPNT-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN Cc1ccccc1O[C@@H](C)C(=O)N1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC1 ZINC000541958616 1072558596 /nfs/dbraw/zinc/55/85/96/1072558596.db2.gz YHOQCYVJUMMHMV-CABCVRRESA-N 0 0 440.522 -0.774 20 0 IBADRN Cc1ccccc1O[C@@H](C)C(=O)N1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC1 ZINC000541958619 1072558858 /nfs/dbraw/zinc/55/88/58/1072558858.db2.gz YHOQCYVJUMMHMV-GJZGRUSLSA-N 0 0 440.522 -0.774 20 0 IBADRN Cc1ccccc1O[C@H](C)C(=O)N1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC1 ZINC000541958621 1072558752 /nfs/dbraw/zinc/55/87/52/1072558752.db2.gz YHOQCYVJUMMHMV-HUUCEWRRSA-N 0 0 440.522 -0.774 20 0 IBADRN Cc1ccccc1O[C@H](C)C(=O)N1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC1 ZINC000541958623 1072558836 /nfs/dbraw/zinc/55/88/36/1072558836.db2.gz YHOQCYVJUMMHMV-LSDHHAIUSA-N 0 0 440.522 -0.774 20 0 IBADRN CSc1nnc(CCCNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)n1C1CCCC1 ZINC000541959051 1072559159 /nfs/dbraw/zinc/55/91/59/1072559159.db2.gz XNHCJCNEKTVRIZ-LLVKDONJSA-N 0 0 432.572 -0.043 20 0 IBADRN CSc1nnc(CCCNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)n1C1CCCC1 ZINC000541959054 1072559732 /nfs/dbraw/zinc/55/97/32/1072559732.db2.gz XNHCJCNEKTVRIZ-NSHDSACASA-N 0 0 432.572 -0.043 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC1CCN(c2ccc(C(F)(F)F)cn2)CC1)S(N)(=O)=O ZINC000541959112 1072559749 /nfs/dbraw/zinc/55/97/49/1072559749.db2.gz LUZHXWQOKSCCHK-JTQLQIEISA-N 0 0 437.444 -0.021 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC1CCN(c2ccc(C(F)(F)F)cn2)CC1)S(N)(=O)=O ZINC000541959122 1072559796 /nfs/dbraw/zinc/55/97/96/1072559796.db2.gz LUZHXWQOKSCCHK-SNVBAGLBSA-N 0 0 437.444 -0.021 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCS(=O)(=O)c1ccc(C(C)(C)C)cc1)S(N)(=O)=O ZINC000541959416 1072559085 /nfs/dbraw/zinc/55/90/85/1072559085.db2.gz YMJDYSDAGUZDLM-GFCCVEGCSA-N 0 0 433.552 -0.333 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCS(=O)(=O)c1ccc(C(C)(C)C)cc1)S(N)(=O)=O ZINC000541959417 1072559210 /nfs/dbraw/zinc/55/92/10/1072559210.db2.gz YMJDYSDAGUZDLM-LBPRGKRZSA-N 0 0 433.552 -0.333 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cc(C)c(=O)n(C)c2)CC1 ZINC000541959423 1072559129 /nfs/dbraw/zinc/55/91/29/1072559129.db2.gz ZDKQUMXHSFWAML-UHFFFAOYSA-N 0 0 447.517 -0.111 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn(C)c1=O ZINC000541959655 1072559108 /nfs/dbraw/zinc/55/91/08/1072559108.db2.gz HAXKNNNFMKBBHA-UHFFFAOYSA-N 0 0 426.477 -0.118 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1)S(N)(=O)=O ZINC000541959783 1072559068 /nfs/dbraw/zinc/55/90/68/1072559068.db2.gz IXZUKPATXDVZOX-SECBINFHSA-N 0 0 435.300 -0.912 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1)S(N)(=O)=O ZINC000541959787 1072559250 /nfs/dbraw/zinc/55/92/50/1072559250.db2.gz IXZUKPATXDVZOX-VIFPVBQESA-N 0 0 435.300 -0.912 20 0 IBADRN Cn1nc(C2CCCC2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000541960414 1072557686 /nfs/dbraw/zinc/55/76/86/1072557686.db2.gz UCDDWYZRGVGWNE-UHFFFAOYSA-N 0 0 446.508 -0.454 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C)S(N)(=O)=O ZINC000541960525 1072557547 /nfs/dbraw/zinc/55/75/47/1072557547.db2.gz DRQPUJTXSGWOHX-LLVKDONJSA-N 0 0 434.540 -0.827 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C)S(N)(=O)=O ZINC000541960526 1072557679 /nfs/dbraw/zinc/55/76/79/1072557679.db2.gz DRQPUJTXSGWOHX-NSHDSACASA-N 0 0 434.540 -0.827 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)CCCOc1cccc(S(C)(=O)=O)c1)S(N)(=O)=O ZINC000541961370 1072557609 /nfs/dbraw/zinc/55/76/09/1072557609.db2.gz XPLFMDRTMGNOEZ-GFCCVEGCSA-N 0 0 435.524 -0.889 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)CCCOc1cccc(S(C)(=O)=O)c1)S(N)(=O)=O ZINC000541961371 1072557702 /nfs/dbraw/zinc/55/77/02/1072557702.db2.gz XPLFMDRTMGNOEZ-LBPRGKRZSA-N 0 0 435.524 -0.889 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)N2CCCC2)CCO1 ZINC000541965923 1072559595 /nfs/dbraw/zinc/55/95/95/1072559595.db2.gz CDMQYEIMNSUNST-KRWDZBQOSA-N 0 0 448.476 -0.148 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)N2CCCC2)CCO1 ZINC000541965928 1072560018 /nfs/dbraw/zinc/56/00/18/1072560018.db2.gz CDMQYEIMNSUNST-QGZVFWFLSA-N 0 0 448.476 -0.148 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)CCO1 ZINC000541965969 1072560196 /nfs/dbraw/zinc/56/01/96/1072560196.db2.gz COVZEURGUVWMQL-AWEZNQCLSA-N 0 0 426.495 -0.325 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)CCO1 ZINC000541965970 1072560211 /nfs/dbraw/zinc/56/02/11/1072560211.db2.gz COVZEURGUVWMQL-CQSZACIVSA-N 0 0 426.495 -0.325 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)c1 ZINC000541966162 1072560022 /nfs/dbraw/zinc/56/00/22/1072560022.db2.gz BJIPCMQQSOASKM-DOMZBBRYSA-N 0 0 426.495 -0.325 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)c1 ZINC000541966163 1072560066 /nfs/dbraw/zinc/56/00/66/1072560066.db2.gz BJIPCMQQSOASKM-IUODEOHRSA-N 0 0 426.495 -0.325 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)c1 ZINC000541966166 1072560053 /nfs/dbraw/zinc/56/00/53/1072560053.db2.gz BJIPCMQQSOASKM-SWLSCSKDSA-N 0 0 426.495 -0.325 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)c1 ZINC000541966170 1072560134 /nfs/dbraw/zinc/56/01/34/1072560134.db2.gz BJIPCMQQSOASKM-WFASDCNBSA-N 0 0 426.495 -0.325 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CCO1 ZINC000541966180 1072560088 /nfs/dbraw/zinc/56/00/88/1072560088.db2.gz BNGVZHIZDZRIET-AWEZNQCLSA-N 0 0 428.442 -0.723 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CCO1 ZINC000541966193 1072560156 /nfs/dbraw/zinc/56/01/56/1072560156.db2.gz BNGVZHIZDZRIET-CQSZACIVSA-N 0 0 428.442 -0.723 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)cc(S(C)(=O)=O)c1 ZINC000541966999 1072560078 /nfs/dbraw/zinc/56/00/78/1072560078.db2.gz KSALNZRMEJXIIH-AWEZNQCLSA-N 0 0 441.462 -0.821 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)cc(S(C)(=O)=O)c1 ZINC000541967000 1072560223 /nfs/dbraw/zinc/56/02/23/1072560223.db2.gz KSALNZRMEJXIIH-CQSZACIVSA-N 0 0 441.462 -0.821 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)CCO1 ZINC000541967045 1072560709 /nfs/dbraw/zinc/56/07/09/1072560709.db2.gz LJGHEKMJWYPRBX-AWEZNQCLSA-N 0 0 444.897 -0.208 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)CCO1 ZINC000541967047 1072560632 /nfs/dbraw/zinc/56/06/32/1072560632.db2.gz LJGHEKMJWYPRBX-CQSZACIVSA-N 0 0 444.897 -0.208 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)cc1 ZINC000541967194 1072560694 /nfs/dbraw/zinc/56/06/94/1072560694.db2.gz AVOUGNAJOHFIBM-HNNXBMFYSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)cc1 ZINC000541967195 1072560790 /nfs/dbraw/zinc/56/07/90/1072560790.db2.gz AVOUGNAJOHFIBM-OAHLLOKOSA-N 0 0 426.495 -0.371 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)CCO1 ZINC000541967364 1072560764 /nfs/dbraw/zinc/56/07/64/1072560764.db2.gz MPSGYHHKYCYTHX-INIZCTEOSA-N 0 0 434.449 -0.736 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)CCO1 ZINC000541967365 1072560608 /nfs/dbraw/zinc/56/06/08/1072560608.db2.gz MPSGYHHKYCYTHX-MRXNPFEDSA-N 0 0 434.449 -0.736 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC(C)(C)C)c2)CCO1 ZINC000541967504 1072560100 /nfs/dbraw/zinc/56/01/00/1072560100.db2.gz DDMVLTCOCPGHHQ-CYBMUJFWSA-N 0 0 444.485 -0.186 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC(C)(C)C)c2)CCO1 ZINC000541967505 1072560753 /nfs/dbraw/zinc/56/07/53/1072560753.db2.gz DDMVLTCOCPGHHQ-ZDUSSCGKSA-N 0 0 444.485 -0.186 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(Cl)c2)CCO1 ZINC000541967848 1072560126 /nfs/dbraw/zinc/56/01/26/1072560126.db2.gz IONJOTYUROAALD-HNNXBMFYSA-N 0 0 438.868 -0.276 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(Cl)c2)CCO1 ZINC000541967849 1072560276 /nfs/dbraw/zinc/56/02/76/1072560276.db2.gz IONJOTYUROAALD-OAHLLOKOSA-N 0 0 438.868 -0.276 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)CCO1 ZINC000541968059 1072560621 /nfs/dbraw/zinc/56/06/21/1072560621.db2.gz SDJOTRALDSWZAT-INIZCTEOSA-N 0 0 438.506 -0.227 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)CCO1 ZINC000541968136 1072560665 /nfs/dbraw/zinc/56/06/65/1072560665.db2.gz SDJOTRALDSWZAT-MRXNPFEDSA-N 0 0 438.506 -0.227 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@H]3CCCO3)cc2)CCO1 ZINC000541968140 1072560720 /nfs/dbraw/zinc/56/07/20/1072560720.db2.gz SFVOFRRTCWCRNE-CVEARBPZSA-N 0 0 433.465 -0.101 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@@H]3CCCO3)cc2)CCO1 ZINC000541968141 1072561263 /nfs/dbraw/zinc/56/12/63/1072561263.db2.gz SFVOFRRTCWCRNE-HOTGVXAUSA-N 0 0 433.465 -0.101 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@H]3CCCO3)cc2)CCO1 ZINC000541968142 1072561127 /nfs/dbraw/zinc/56/11/27/1072561127.db2.gz SFVOFRRTCWCRNE-HZPDHXFCSA-N 0 0 433.465 -0.101 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(NC(=O)NC[C@@H]3CCCO3)cc2)CCO1 ZINC000541968143 1072561078 /nfs/dbraw/zinc/56/10/78/1072561078.db2.gz SFVOFRRTCWCRNE-JKSUJKDBSA-N 0 0 433.465 -0.101 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)c1 ZINC000541968304 1072560683 /nfs/dbraw/zinc/56/06/83/1072560683.db2.gz NUTKEBVCCWPDJU-BBRMVZONSA-N 0 0 440.522 -0.184 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)c1 ZINC000541968305 1072560674 /nfs/dbraw/zinc/56/06/74/1072560674.db2.gz NUTKEBVCCWPDJU-CJNGLKHVSA-N 0 0 440.522 -0.184 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)c1 ZINC000541968306 1072560802 /nfs/dbraw/zinc/56/08/02/1072560802.db2.gz NUTKEBVCCWPDJU-CZUORRHYSA-N 0 0 440.522 -0.184 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)c1 ZINC000541968307 1072560730 /nfs/dbraw/zinc/56/07/30/1072560730.db2.gz NUTKEBVCCWPDJU-XJKSGUPXSA-N 0 0 440.522 -0.184 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(C(=O)OC)ccc2-n2cc(C)cn2)CCO1 ZINC000541968634 1072561120 /nfs/dbraw/zinc/56/11/20/1072561120.db2.gz SANCFOVKIKVSIF-INIZCTEOSA-N 0 0 429.433 -0.121 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(C(=O)OC)ccc2-n2cc(C)cn2)CCO1 ZINC000541968635 1072561242 /nfs/dbraw/zinc/56/12/42/1072561242.db2.gz SANCFOVKIKVSIF-MRXNPFEDSA-N 0 0 429.433 -0.121 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2c(C(=O)OC)cc(OC)c(OC)c2OC)CCO1 ZINC000541968715 1072561115 /nfs/dbraw/zinc/56/11/15/1072561115.db2.gz AJTAJQNTARQVFA-GFCCVEGCSA-N 0 0 439.421 -0.589 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2c(C(=O)OC)cc(OC)c(OC)c2OC)CCO1 ZINC000541968716 1072561232 /nfs/dbraw/zinc/56/12/32/1072561232.db2.gz AJTAJQNTARQVFA-LBPRGKRZSA-N 0 0 439.421 -0.589 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(CS(=O)(=O)NC(C)(C)C)c2)CCO1 ZINC000541969202 1072561098 /nfs/dbraw/zinc/56/10/98/1072561098.db2.gz JTFXUZLOSQTQCF-HNNXBMFYSA-N 0 0 440.522 -0.184 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(CS(=O)(=O)NC(C)(C)C)c2)CCO1 ZINC000541969203 1072561144 /nfs/dbraw/zinc/56/11/44/1072561144.db2.gz JTFXUZLOSQTQCF-OAHLLOKOSA-N 0 0 440.522 -0.184 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C(C)C)ccc2C)CCO1 ZINC000541969693 1072561218 /nfs/dbraw/zinc/56/12/18/1072561218.db2.gz RAOOACTVFCVZRG-INIZCTEOSA-N 0 0 440.522 -0.064 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C(C)C)ccc2C)CCO1 ZINC000541969694 1072561085 /nfs/dbraw/zinc/56/10/85/1072561085.db2.gz RAOOACTVFCVZRG-MRXNPFEDSA-N 0 0 440.522 -0.064 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2C)CCO1 ZINC000541970036 1072561105 /nfs/dbraw/zinc/56/11/05/1072561105.db2.gz WLIPSRMCBNTURS-AWEZNQCLSA-N 0 0 440.522 -0.016 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2C)CCO1 ZINC000541970040 1072561187 /nfs/dbraw/zinc/56/11/87/1072561187.db2.gz WLIPSRMCBNTURS-CQSZACIVSA-N 0 0 440.522 -0.016 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541970266 1072561150 /nfs/dbraw/zinc/56/11/50/1072561150.db2.gz PNHMETJFQBRHRO-HNNXBMFYSA-N 0 0 442.494 -0.305 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541970271 1072561178 /nfs/dbraw/zinc/56/11/78/1072561178.db2.gz PNHMETJFQBRHRO-OAHLLOKOSA-N 0 0 442.494 -0.305 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541972608 1072561165 /nfs/dbraw/zinc/56/11/65/1072561165.db2.gz MVQNXQJDSFKANW-AWEZNQCLSA-N 0 0 430.458 -0.175 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541972609 1072561208 /nfs/dbraw/zinc/56/12/08/1072561208.db2.gz MVQNXQJDSFKANW-CQSZACIVSA-N 0 0 430.458 -0.175 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N(CCOC)CCOC)cc2)CCO1 ZINC000541974467 1072559813 /nfs/dbraw/zinc/55/98/13/1072559813.db2.gz ZLFRZXCWXHKNAT-KRWDZBQOSA-N 0 0 443.522 -0.443 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N(CCOC)CCOC)cc2)CCO1 ZINC000541974468 1072559693 /nfs/dbraw/zinc/55/96/93/1072559693.db2.gz ZLFRZXCWXHKNAT-QGZVFWFLSA-N 0 0 443.522 -0.443 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CCO1 ZINC000541974729 1072559787 /nfs/dbraw/zinc/55/97/87/1072559787.db2.gz RHLQQVSVYXBJSZ-KRWDZBQOSA-N 0 0 440.522 -0.640 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CCO1 ZINC000541974732 1072559641 /nfs/dbraw/zinc/55/96/41/1072559641.db2.gz RHLQQVSVYXBJSZ-QGZVFWFLSA-N 0 0 440.522 -0.640 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCO[C@H](C(=O)NC)C2)cc1 ZINC000541975431 1072559770 /nfs/dbraw/zinc/55/97/70/1072559770.db2.gz PHCVBSPCYPPBQA-INIZCTEOSA-N 0 0 440.522 -0.580 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCO[C@@H](C(=O)NC)C2)cc1 ZINC000541975432 1072559717 /nfs/dbraw/zinc/55/97/17/1072559717.db2.gz PHCVBSPCYPPBQA-MRXNPFEDSA-N 0 0 440.522 -0.580 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CC2(CC(=O)N3CCO[C@H](C(=O)NC)C3)CCCC2)CCO1 ZINC000541975842 1072559739 /nfs/dbraw/zinc/55/97/39/1072559739.db2.gz QGGDCPGCPFRADQ-HOTGVXAUSA-N 0 0 438.525 -0.726 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CC2(CC(=O)N3CCO[C@@H](C(=O)NC)C3)CCCC2)CCO1 ZINC000541975843 1072559656 /nfs/dbraw/zinc/55/96/56/1072559656.db2.gz QGGDCPGCPFRADQ-HZPDHXFCSA-N 0 0 438.525 -0.726 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CC2(CC(=O)N3CCO[C@H](C(=O)NC)C3)CCCC2)CCO1 ZINC000541975844 1072559624 /nfs/dbraw/zinc/55/96/24/1072559624.db2.gz QGGDCPGCPFRADQ-IYBDPMFKSA-N 0 0 438.525 -0.726 20 0 IBADRN CCc1ccc(C(=O)N2CCO[C@H](C(=O)NC)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000541976441 1072559804 /nfs/dbraw/zinc/55/98/04/1072559804.db2.gz YSNURQDCIJNQSS-INIZCTEOSA-N 0 0 425.507 -0.143 20 0 IBADRN CCc1ccc(C(=O)N2CCO[C@@H](C(=O)NC)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000541976442 1072559759 /nfs/dbraw/zinc/55/97/59/1072559759.db2.gz YSNURQDCIJNQSS-MRXNPFEDSA-N 0 0 425.507 -0.143 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCO[C@H](C(=O)NC)C3)nc2n(CC)c1=O ZINC000541976766 1073351917 /nfs/dbraw/zinc/35/19/17/1073351917.db2.gz YBQGQOCCOXCNHY-AWEZNQCLSA-N 0 0 432.481 -0.070 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCO[C@@H](C(=O)NC)C3)nc2n(CC)c1=O ZINC000541976767 1073351961 /nfs/dbraw/zinc/35/19/61/1073351961.db2.gz YBQGQOCCOXCNHY-CQSZACIVSA-N 0 0 432.481 -0.070 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CCO1 ZINC000541987988 1072561762 /nfs/dbraw/zinc/56/17/62/1072561762.db2.gz CDXPKSBLQSDINP-INIZCTEOSA-N 0 0 444.941 -0.380 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CCO1 ZINC000541987991 1072561693 /nfs/dbraw/zinc/56/16/93/1072561693.db2.gz CDXPKSBLQSDINP-MRXNPFEDSA-N 0 0 444.941 -0.380 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541988454 1072561647 /nfs/dbraw/zinc/56/16/47/1072561647.db2.gz DDXWFNHQYHGAPW-INIZCTEOSA-N 0 0 440.522 -0.599 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000541988458 1072561680 /nfs/dbraw/zinc/56/16/80/1072561680.db2.gz DDXWFNHQYHGAPW-MRXNPFEDSA-N 0 0 440.522 -0.599 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N(CC(C)C)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CCO1 ZINC000541988810 1072561574 /nfs/dbraw/zinc/56/15/74/1072561574.db2.gz LRPGXSPXWBOOJH-AWEZNQCLSA-N 0 0 438.529 -0.377 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N(CC(C)C)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CCO1 ZINC000541988811 1072561721 /nfs/dbraw/zinc/56/17/21/1072561721.db2.gz LRPGXSPXWBOOJH-CQSZACIVSA-N 0 0 438.529 -0.377 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000541988894 1072561704 /nfs/dbraw/zinc/56/17/04/1072561704.db2.gz GXBLTJUQHZAHJF-HNNXBMFYSA-N 0 0 428.486 -0.895 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000541988903 1072561733 /nfs/dbraw/zinc/56/17/33/1072561733.db2.gz GXBLTJUQHZAHJF-OAHLLOKOSA-N 0 0 428.486 -0.895 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000541990054 1072561656 /nfs/dbraw/zinc/56/16/56/1072561656.db2.gz LLGDAMKJTCBHEV-UHFFFAOYSA-N 0 0 432.524 -0.287 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(CC(=O)Nc3c(C)cccc3C)CC2)CCO1 ZINC000541990269 1072561685 /nfs/dbraw/zinc/56/16/85/1072561685.db2.gz NMRVDFBXHIIVAA-GOSISDBHSA-N 0 0 431.537 -0.167 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(CC(=O)Nc3c(C)cccc3C)CC2)CCO1 ZINC000541990270 1072561776 /nfs/dbraw/zinc/56/17/76/1072561776.db2.gz NMRVDFBXHIIVAA-SFHVURJKSA-N 0 0 431.537 -0.167 20 0 IBADRN O=C(CCn1nc2ccccn2c1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000541990852 1072561609 /nfs/dbraw/zinc/56/16/09/1072561609.db2.gz LJIYFPCUHJONJW-UHFFFAOYSA-N 0 0 432.462 -0.059 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CN=NC2=O)CC1 ZINC000542010821 1072562140 /nfs/dbraw/zinc/56/21/40/1072562140.db2.gz FROYMUAVIYRWTM-UHFFFAOYSA-N 0 0 427.439 -0.487 20 0 IBADRN O=C(N[C@H]1CN(c2ccccc2)C1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000542011835 1072562128 /nfs/dbraw/zinc/56/21/28/1072562128.db2.gz ZQSLXHKCVKUBDM-KRWDZBQOSA-N 0 0 428.470 -0.048 20 0 IBADRN O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000542011836 1072562276 /nfs/dbraw/zinc/56/22/76/1072562276.db2.gz ZQSLXHKCVKUBDM-QGZVFWFLSA-N 0 0 428.470 -0.048 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N[C@@H]2CCC(=O)NC2=O)c1 ZINC000542034693 1072562696 /nfs/dbraw/zinc/56/26/96/1072562696.db2.gz KVZPSXOLRVPYQY-CYBMUJFWSA-N 0 0 439.494 -0.300 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N[C@H]2CCC(=O)NC2=O)c1 ZINC000542034695 1072562671 /nfs/dbraw/zinc/56/26/71/1072562671.db2.gz KVZPSXOLRVPYQY-ZDUSSCGKSA-N 0 0 439.494 -0.300 20 0 IBADRN CC(=O)c1ccc(S(C)(=O)=O)c(N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000542047110 1072562722 /nfs/dbraw/zinc/56/27/22/1072562722.db2.gz KFMJXCGPFBAVDZ-UHFFFAOYSA-N 0 0 431.536 -0.008 20 0 IBADRN COc1c(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cccc1C(N)=O ZINC000542064952 1072563237 /nfs/dbraw/zinc/56/32/37/1072563237.db2.gz AKUAGIIWTKILRC-UHFFFAOYSA-N 0 0 430.508 -0.773 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3ccc(Cl)cc3)C(=O)C2)n1 ZINC000542065525 1074367592 /nfs/dbraw/zinc/36/75/92/1074367592.db2.gz IIYLXBSSTXFPQP-UHFFFAOYSA-N 0 0 433.856 -0.513 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)Cc3cccc(Cl)c3)CC2)n1 ZINC000542066015 1074367559 /nfs/dbraw/zinc/36/75/59/1074367559.db2.gz PMWIRTSCRDHREU-UHFFFAOYSA-N 0 0 447.883 -0.471 20 0 IBADRN Cc1cccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2)n1 ZINC000542066437 1073343083 /nfs/dbraw/zinc/34/30/83/1073343083.db2.gz BYWKPPVDCFSVJZ-AWEZNQCLSA-N 0 0 442.480 -0.114 20 0 IBADRN Cc1cccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2)n1 ZINC000542066438 1073342981 /nfs/dbraw/zinc/34/29/81/1073342981.db2.gz BYWKPPVDCFSVJZ-CQSZACIVSA-N 0 0 442.480 -0.114 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)cc1F ZINC000542067653 1072563339 /nfs/dbraw/zinc/56/33/39/1072563339.db2.gz TUOFCQSKLNCSMM-UHFFFAOYSA-N 0 0 445.455 -0.263 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000542067855 1074367543 /nfs/dbraw/zinc/36/75/43/1074367543.db2.gz XQTOULZFVOSWRC-UHFFFAOYSA-N 0 0 441.492 -0.473 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000542069421 1074367525 /nfs/dbraw/zinc/36/75/25/1074367525.db2.gz DONNQFSGWKZQFG-INIZCTEOSA-N 0 0 435.529 -0.466 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000542069422 1074367499 /nfs/dbraw/zinc/36/74/99/1074367499.db2.gz DONNQFSGWKZQFG-MRXNPFEDSA-N 0 0 435.529 -0.466 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1=O ZINC000542069532 1074367588 /nfs/dbraw/zinc/36/75/88/1074367588.db2.gz LLFFGBFJQXCEHN-CYBMUJFWSA-N 0 0 433.469 -0.729 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1=O ZINC000542069533 1074367536 /nfs/dbraw/zinc/36/75/36/1074367536.db2.gz LLFFGBFJQXCEHN-ZDUSSCGKSA-N 0 0 433.469 -0.729 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000542069902 1072563639 /nfs/dbraw/zinc/56/36/39/1072563639.db2.gz RLAQWLMDMWHTPR-DOTOQJQBSA-N 0 0 443.508 -0.290 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000542069905 1073353215 /nfs/dbraw/zinc/35/32/15/1073353215.db2.gz RLAQWLMDMWHTPR-NVXWUHKLSA-N 0 0 443.508 -0.290 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000542069906 1072563719 /nfs/dbraw/zinc/56/37/19/1072563719.db2.gz RLAQWLMDMWHTPR-RDJZCZTQSA-N 0 0 443.508 -0.290 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000542069909 1072563837 /nfs/dbraw/zinc/56/38/37/1072563837.db2.gz RLAQWLMDMWHTPR-WBVHZDCISA-N 0 0 443.508 -0.290 20 0 IBADRN COc1c(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)cccc1C(N)=O ZINC000542070950 1072563860 /nfs/dbraw/zinc/56/38/60/1072563860.db2.gz MPWPHMNAQHEXBD-UHFFFAOYSA-N 0 0 426.476 -0.111 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)[C@H]2c2ccc(F)c(F)c2)n1 ZINC000542071801 1072563198 /nfs/dbraw/zinc/56/31/98/1072563198.db2.gz VECYJCYBVLZTLS-BBRMVZONSA-N 0 0 449.418 -0.329 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2ccc(F)c(F)c2)n1 ZINC000542071802 1072562767 /nfs/dbraw/zinc/56/27/67/1072562767.db2.gz VECYJCYBVLZTLS-CJNGLKHVSA-N 0 0 449.418 -0.329 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@@H]2c2ccc(F)c(F)c2)n1 ZINC000542071803 1072562748 /nfs/dbraw/zinc/56/27/48/1072562748.db2.gz VECYJCYBVLZTLS-CZUORRHYSA-N 0 0 449.418 -0.329 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)[C@@H]2c2ccc(F)c(F)c2)n1 ZINC000542071804 1072562643 /nfs/dbraw/zinc/56/26/43/1072562643.db2.gz VECYJCYBVLZTLS-XJKSGUPXSA-N 0 0 449.418 -0.329 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2ccccn2)CC1 ZINC000542072416 1072563148 /nfs/dbraw/zinc/56/31/48/1072563148.db2.gz GRZBITYXVKBGCF-HNNXBMFYSA-N 0 0 441.492 -0.198 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2ccccn2)CC1 ZINC000542072421 1072563213 /nfs/dbraw/zinc/56/32/13/1072563213.db2.gz GRZBITYXVKBGCF-OAHLLOKOSA-N 0 0 441.492 -0.198 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2ccc(Cl)cc2)n1 ZINC000542072563 1072563315 /nfs/dbraw/zinc/56/33/15/1072563315.db2.gz JEYOEJWQEBWBGB-DOMZBBRYSA-N 0 0 433.856 -0.296 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@@H]2c2ccc(Cl)cc2)n1 ZINC000542072569 1072563177 /nfs/dbraw/zinc/56/31/77/1072563177.db2.gz JEYOEJWQEBWBGB-IUODEOHRSA-N 0 0 433.856 -0.296 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)[C@@H]2c2ccc(Cl)cc2)n1 ZINC000542072572 1072563138 /nfs/dbraw/zinc/56/31/38/1072563138.db2.gz JEYOEJWQEBWBGB-SWLSCSKDSA-N 0 0 433.856 -0.296 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)[C@H]2c2ccc(Cl)cc2)n1 ZINC000542072575 1072563328 /nfs/dbraw/zinc/56/33/28/1072563328.db2.gz JEYOEJWQEBWBGB-WFASDCNBSA-N 0 0 433.856 -0.296 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ncn(CC(=O)NC)n1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000542073128 1072563269 /nfs/dbraw/zinc/56/32/69/1072563269.db2.gz RBGYBBWLNFSTQB-DLBZAZTESA-N 0 0 443.508 -0.242 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ncn(CC(=O)NC)n1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000542073141 1072563289 /nfs/dbraw/zinc/56/32/89/1072563289.db2.gz RBGYBBWLNFSTQB-IAGOWNOFSA-N 0 0 443.508 -0.242 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ncn(CC(=O)NC)n1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000542073144 1072563217 /nfs/dbraw/zinc/56/32/17/1072563217.db2.gz RBGYBBWLNFSTQB-IRXDYDNUSA-N 0 0 443.508 -0.242 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ncn(CC(=O)NC)n1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000542073147 1072563248 /nfs/dbraw/zinc/56/32/48/1072563248.db2.gz RBGYBBWLNFSTQB-SJORKVTESA-N 0 0 443.508 -0.242 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000542073556 1074367580 /nfs/dbraw/zinc/36/75/80/1074367580.db2.gz FYQQBIJASGRKOF-UHFFFAOYSA-N 0 0 448.528 -0.973 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000542074201 1072563370 /nfs/dbraw/zinc/56/33/70/1072563370.db2.gz JODQIWFZFADZIS-UHFFFAOYSA-N 0 0 447.473 -0.188 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccc3)CC2)n1 ZINC000542074340 1074367990 /nfs/dbraw/zinc/36/79/90/1074367990.db2.gz LCKIUPWPLGIXLN-HNNXBMFYSA-N 0 0 428.497 -0.711 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccc3)CC2)n1 ZINC000542074349 1074367970 /nfs/dbraw/zinc/36/79/70/1074367970.db2.gz LCKIUPWPLGIXLN-OAHLLOKOSA-N 0 0 428.497 -0.711 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000542074689 1072563183 /nfs/dbraw/zinc/56/31/83/1072563183.db2.gz CEJUQWPFMCIZDC-UHFFFAOYSA-N 0 0 435.529 -0.200 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(CCOc3cccc(OC)c3)CC2)n1 ZINC000542075535 1074367956 /nfs/dbraw/zinc/36/79/56/1074367956.db2.gz RVQSLEYMGGCZGA-UHFFFAOYSA-N 0 0 445.480 -0.806 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)Cc1cccc(C)c1 ZINC000542075614 1072564351 /nfs/dbraw/zinc/56/43/51/1072564351.db2.gz UJQLIHWHMZNBBR-HNNXBMFYSA-N 0 0 430.465 -0.239 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)Cc1cccc(C)c1 ZINC000542075615 1072564329 /nfs/dbraw/zinc/56/43/29/1072564329.db2.gz UJQLIHWHMZNBBR-OAHLLOKOSA-N 0 0 430.465 -0.239 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)n1)N1CCCCCC1 ZINC000542075653 1072564233 /nfs/dbraw/zinc/56/42/33/1072564233.db2.gz SUCRBHCZXALHON-UHFFFAOYSA-N 0 0 447.540 -0.058 20 0 IBADRN COc1cc(F)ccc1N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000542075762 1073341531 /nfs/dbraw/zinc/34/15/31/1073341531.db2.gz LMVBIFFBMRVSGG-UHFFFAOYSA-N 0 0 433.444 -0.199 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c(C)n1 ZINC000542075856 1072564458 /nfs/dbraw/zinc/56/44/58/1072564458.db2.gz RMEIIYZEZUOPMG-GASCZTMLSA-N 0 0 429.543 -0.050 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c(C)n1 ZINC000542075860 1072564338 /nfs/dbraw/zinc/56/43/38/1072564338.db2.gz RMEIIYZEZUOPMG-GJZGRUSLSA-N 0 0 429.543 -0.050 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c(C)n1 ZINC000542075862 1072564359 /nfs/dbraw/zinc/56/43/59/1072564359.db2.gz RMEIIYZEZUOPMG-HUUCEWRRSA-N 0 0 429.543 -0.050 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)n1)N1CCCCCC1 ZINC000542076175 1072564385 /nfs/dbraw/zinc/56/43/85/1072564385.db2.gz ZRBASFXCUHMKNR-UHFFFAOYSA-N 0 0 443.512 -0.313 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cccc(Cl)c3)C(=O)C2)n1 ZINC000542076270 1074368099 /nfs/dbraw/zinc/36/80/99/1074368099.db2.gz POZMAQDFTDQHCU-UHFFFAOYSA-N 0 0 433.856 -0.513 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c(C)n1 ZINC000542076729 1072564368 /nfs/dbraw/zinc/56/43/68/1072564368.db2.gz LATFGUNSFAWAJL-UHFFFAOYSA-N 0 0 425.467 -0.323 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1(N2CCOCC2)CCC1 ZINC000542077306 1072564466 /nfs/dbraw/zinc/56/44/66/1072564466.db2.gz BATDHRPLZSJCQX-UHFFFAOYSA-N 0 0 437.566 -0.096 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)N2CCOCC2)c1 ZINC000542079948 1073343045 /nfs/dbraw/zinc/34/30/45/1073343045.db2.gz DBNFWCRHGXOKRM-KRWDZBQOSA-N 0 0 443.508 -0.197 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)N2CCOCC2)c1 ZINC000542079949 1072564398 /nfs/dbraw/zinc/56/43/98/1072564398.db2.gz DBNFWCRHGXOKRM-QGZVFWFLSA-N 0 0 443.508 -0.197 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)cc1 ZINC000542080724 1072564320 /nfs/dbraw/zinc/56/43/20/1072564320.db2.gz KLCIJKADZVKBJI-KRWDZBQOSA-N 0 0 443.508 -0.197 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)cc1 ZINC000542080726 1072564288 /nfs/dbraw/zinc/56/42/88/1072564288.db2.gz KLCIJKADZVKBJI-QGZVFWFLSA-N 0 0 443.508 -0.197 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)NC)n3)CC2)cc1 ZINC000542082735 1074368157 /nfs/dbraw/zinc/36/81/57/1074368157.db2.gz JCNRJVKDEPLJET-UHFFFAOYSA-N 0 0 427.465 -0.490 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cc(Cl)ccc3OC)CC2)n1 ZINC000542082951 1072563874 /nfs/dbraw/zinc/56/38/74/1072563874.db2.gz IWZNICBWHLPGMG-UHFFFAOYSA-N 0 0 449.899 -0.031 20 0 IBADRN O=C(Nc1cccc2c1OCO2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000542083140 1072563885 /nfs/dbraw/zinc/56/38/85/1072563885.db2.gz MVTFATHYOMVCQA-UHFFFAOYSA-N 0 0 426.451 -0.925 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cccc1C(N)=O ZINC000542083433 1072563810 /nfs/dbraw/zinc/56/38/10/1072563810.db2.gz NUBJDWYBSJWTLQ-UHFFFAOYSA-N 0 0 431.449 -0.974 20 0 IBADRN O=C(Nc1c[nH]nc1-c1ccccn1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000542083672 1072563794 /nfs/dbraw/zinc/56/37/94/1072563794.db2.gz SNEKIZBKTQHBSC-UHFFFAOYSA-N 0 0 449.493 -0.869 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccc(Cl)cc3)CC2)n1 ZINC000542083906 1074367933 /nfs/dbraw/zinc/36/79/33/1074367933.db2.gz RVTODUGMYHNXPX-UHFFFAOYSA-N 0 0 447.883 -0.471 20 0 IBADRN Cc1cc(N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)nc(C(C)C)n1 ZINC000542084176 1073321163 /nfs/dbraw/zinc/32/11/63/1073321163.db2.gz VEUXLKOVEQAXJV-UHFFFAOYSA-N 0 0 443.512 -0.125 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000542084532 1073312947 /nfs/dbraw/zinc/31/29/47/1073312947.db2.gz TTZWLLCQZHIDFO-UHFFFAOYSA-N 0 0 433.513 -0.054 20 0 IBADRN COc1c(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cccc1C(N)=O ZINC000542084793 1072563774 /nfs/dbraw/zinc/56/37/74/1072563774.db2.gz YVYYYPPOFNIDMT-UHFFFAOYSA-N 0 0 441.492 -0.149 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cccc1C(N)=O ZINC000542085204 1072564415 /nfs/dbraw/zinc/56/44/15/1072564415.db2.gz XDTIAVRTHXMBIN-UHFFFAOYSA-N 0 0 438.448 -0.242 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(Cl)cc3)CC2)n1 ZINC000542085639 1072564376 /nfs/dbraw/zinc/56/43/76/1072564376.db2.gz MJCYXAUOOYHXCD-UHFFFAOYSA-N 0 0 433.856 -0.399 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(CCOc3ccccc3Cl)CC2)n1 ZINC000542086878 1072564437 /nfs/dbraw/zinc/56/44/37/1072564437.db2.gz UHELIBRZQGZETI-UHFFFAOYSA-N 0 0 449.899 -0.161 20 0 IBADRN Cc1cc(N2CCN(S(C)(=O)=O)CC2)nc([C@H](C)N2CCN(S(C)(=O)=O)CC2)n1 ZINC000542090275 1072564931 /nfs/dbraw/zinc/56/49/31/1072564931.db2.gz DWUREJWBDLXTTM-HNNXBMFYSA-N 0 0 446.599 -0.495 20 0 IBADRN Cc1cc(N2CCN(S(C)(=O)=O)CC2)nc([C@@H](C)N2CCN(S(C)(=O)=O)CC2)n1 ZINC000542090278 1072564694 /nfs/dbraw/zinc/56/46/94/1072564694.db2.gz DWUREJWBDLXTTM-OAHLLOKOSA-N 0 0 446.599 -0.495 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NCCOc2cccc(Br)c2)n1 ZINC000542105566 1072565545 /nfs/dbraw/zinc/56/55/45/1072565545.db2.gz FGILGIWAEUCPFH-UHFFFAOYSA-N 0 0 425.243 -0.080 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)n1 ZINC000542106260 1074368122 /nfs/dbraw/zinc/36/81/22/1074368122.db2.gz RBVCBCZDNCNCDB-UHFFFAOYSA-N 0 0 445.455 -0.643 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)NCCNS(=O)(=O)c2cccnc2)C1=O ZINC000542112665 1072566031 /nfs/dbraw/zinc/56/60/31/1072566031.db2.gz DTBHZXINMBUTMP-HNNXBMFYSA-N 0 0 435.510 -0.416 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)NCCNS(=O)(=O)c2cccnc2)C1=O ZINC000542112666 1072565898 /nfs/dbraw/zinc/56/58/98/1072565898.db2.gz DTBHZXINMBUTMP-OAHLLOKOSA-N 0 0 435.510 -0.416 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1F ZINC000542119593 1072566766 /nfs/dbraw/zinc/56/67/66/1072566766.db2.gz DNFDSLXMMOCIJX-UHFFFAOYSA-N 0 0 429.470 -0.166 20 0 IBADRN Cc1cc(C)nc(N2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)CC2)n1 ZINC000542119697 1072566734 /nfs/dbraw/zinc/56/67/34/1072566734.db2.gz JWUILQLLVFNYBE-UHFFFAOYSA-N 0 0 431.478 -0.048 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1=O ZINC000542123508 1072566008 /nfs/dbraw/zinc/56/60/08/1072566008.db2.gz UVAJLRFYWYOPNW-KRWDZBQOSA-N 0 0 447.540 -0.903 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1=O ZINC000542123511 1072565911 /nfs/dbraw/zinc/56/59/11/1072565911.db2.gz UVAJLRFYWYOPNW-QGZVFWFLSA-N 0 0 447.540 -0.903 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000542123761 1072565948 /nfs/dbraw/zinc/56/59/48/1072565948.db2.gz WPGFRAYTSKBWSD-INIZCTEOSA-N 0 0 440.508 -0.063 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000542123762 1072566026 /nfs/dbraw/zinc/56/60/26/1072566026.db2.gz WPGFRAYTSKBWSD-MRXNPFEDSA-N 0 0 440.508 -0.063 20 0 IBADRN Cc1cc(C)nc(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000542123973 1072565936 /nfs/dbraw/zinc/56/59/36/1072565936.db2.gz VEIKATXQEIPHAP-GOSISDBHSA-N 0 0 436.582 -0.453 20 0 IBADRN Cc1cc(C)nc(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)n1 ZINC000542123976 1072565920 /nfs/dbraw/zinc/56/59/20/1072565920.db2.gz VEIKATXQEIPHAP-SFHVURJKSA-N 0 0 436.582 -0.453 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000542123993 1072566001 /nfs/dbraw/zinc/56/60/01/1072566001.db2.gz SWDSWYZIRUOMKK-HNNXBMFYSA-N 0 0 431.497 -0.957 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000542123995 1072565887 /nfs/dbraw/zinc/56/58/87/1072565887.db2.gz SWDSWYZIRUOMKK-OAHLLOKOSA-N 0 0 431.497 -0.957 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000542126485 1072565956 /nfs/dbraw/zinc/56/59/56/1072565956.db2.gz XWGOXLHPWRMKFD-GFCCVEGCSA-N 0 0 430.469 -0.469 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000542126486 1072566428 /nfs/dbraw/zinc/56/64/28/1072566428.db2.gz XWGOXLHPWRMKFD-LBPRGKRZSA-N 0 0 430.469 -0.469 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C1=O ZINC000542126679 1072565993 /nfs/dbraw/zinc/56/59/93/1072565993.db2.gz XGVCBBRKGRGDRJ-AWEZNQCLSA-N 0 0 439.476 -0.144 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C1=O ZINC000542126683 1072565925 /nfs/dbraw/zinc/56/59/25/1072565925.db2.gz XGVCBBRKGRGDRJ-CQSZACIVSA-N 0 0 439.476 -0.144 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1=O ZINC000542127138 1072566352 /nfs/dbraw/zinc/56/63/52/1072566352.db2.gz DPTRTFFHGGQOMA-KRWDZBQOSA-N 0 0 431.541 -0.139 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1=O ZINC000542127139 1072566371 /nfs/dbraw/zinc/56/63/71/1072566371.db2.gz DPTRTFFHGGQOMA-QGZVFWFLSA-N 0 0 431.541 -0.139 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000542127907 1072566404 /nfs/dbraw/zinc/56/64/04/1072566404.db2.gz KNRBQLKSEVRPQX-INIZCTEOSA-N 0 0 445.524 -0.613 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000542127908 1072566363 /nfs/dbraw/zinc/56/63/63/1072566363.db2.gz KNRBQLKSEVRPQX-MRXNPFEDSA-N 0 0 445.524 -0.613 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1ncnn1-c1ccccc1)S(C)(=O)=O ZINC000542132406 1072567810 /nfs/dbraw/zinc/56/78/10/1072567810.db2.gz ZXAGCCFSFYOEIJ-UHFFFAOYSA-N 0 0 429.524 -0.420 20 0 IBADRN COCCN(C(=O)CN[C@@H](C)c1cccc(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000542133456 1072567635 /nfs/dbraw/zinc/56/76/35/1072567635.db2.gz SHHQJRRSJNUNKW-DZGCQCFKSA-N 0 0 433.552 -0.353 20 0 IBADRN COCCN(C(=O)CN[C@H](C)c1cccc(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000542133457 1072567829 /nfs/dbraw/zinc/56/78/29/1072567829.db2.gz SHHQJRRSJNUNKW-HIFRSBDPSA-N 0 0 433.552 -0.353 20 0 IBADRN COCCN(C(=O)CN[C@H](C)c1cccc(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000542133458 1072567855 /nfs/dbraw/zinc/56/78/55/1072567855.db2.gz SHHQJRRSJNUNKW-UKRRQHHQSA-N 0 0 433.552 -0.353 20 0 IBADRN COCCN(C(=O)CN[C@@H](C)c1cccc(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000542133459 1072567741 /nfs/dbraw/zinc/56/77/41/1072567741.db2.gz SHHQJRRSJNUNKW-ZFWWWQNUSA-N 0 0 433.552 -0.353 20 0 IBADRN COCCN1CCN(c2cc(C)nc([C@H](C)N3CCN(CCOC)C(=O)C3)n2)CC1=O ZINC000542133593 1072567595 /nfs/dbraw/zinc/56/75/95/1072567595.db2.gz XGXKWVPTXNRRJY-KRWDZBQOSA-N 0 0 434.541 -0.068 20 0 IBADRN COCCN1CCN(c2cc(C)nc([C@@H](C)N3CCN(CCOC)C(=O)C3)n2)CC1=O ZINC000542133595 1072567849 /nfs/dbraw/zinc/56/78/49/1072567849.db2.gz XGXKWVPTXNRRJY-QGZVFWFLSA-N 0 0 434.541 -0.068 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000542144483 1072567281 /nfs/dbraw/zinc/56/72/81/1072567281.db2.gz OYAPAUPWYZQARK-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN Cc1c(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000542156033 1072568227 /nfs/dbraw/zinc/56/82/27/1072568227.db2.gz ZMRZUKOGTPEFAT-AWEZNQCLSA-N 0 0 439.476 -0.405 20 0 IBADRN Cc1c(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000542156038 1072568306 /nfs/dbraw/zinc/56/83/06/1072568306.db2.gz ZMRZUKOGTPEFAT-CQSZACIVSA-N 0 0 439.476 -0.405 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1=O ZINC000542158445 1072568797 /nfs/dbraw/zinc/56/87/97/1072568797.db2.gz ZKWNTAMFBXRYJP-AWEZNQCLSA-N 0 0 425.449 -0.713 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1=O ZINC000542158446 1072568764 /nfs/dbraw/zinc/56/87/64/1072568764.db2.gz ZKWNTAMFBXRYJP-CQSZACIVSA-N 0 0 425.449 -0.713 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1=O ZINC000542159556 1072568689 /nfs/dbraw/zinc/56/86/89/1072568689.db2.gz GWZBMTNCPTXRRR-AWEZNQCLSA-N 0 0 427.465 -0.920 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1=O ZINC000542159557 1072568789 /nfs/dbraw/zinc/56/87/89/1072568789.db2.gz GWZBMTNCPTXRRR-CQSZACIVSA-N 0 0 427.465 -0.920 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1nccc2c1cccc2S(N)(=O)=O ZINC000542163350 1072568638 /nfs/dbraw/zinc/56/86/38/1072568638.db2.gz DJZVMLGDVRQQQE-UHFFFAOYSA-N 0 0 436.490 -0.170 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000542175128 1072568817 /nfs/dbraw/zinc/56/88/17/1072568817.db2.gz JIEBCPIASWRZGP-UHFFFAOYSA-N 0 0 442.881 -0.579 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000542176092 1072568740 /nfs/dbraw/zinc/56/87/40/1072568740.db2.gz JYHFSWPIKGVQFE-UHFFFAOYSA-N 0 0 428.898 -0.106 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1OC ZINC000542176113 1072568779 /nfs/dbraw/zinc/56/87/79/1072568779.db2.gz KZHXJMUHTVIPAW-UHFFFAOYSA-N 0 0 425.463 -0.407 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000542176205 1072568848 /nfs/dbraw/zinc/56/88/48/1072568848.db2.gz MMOADNIDSOIKSK-LLVKDONJSA-N 0 0 434.492 -0.594 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000542176206 1072568750 /nfs/dbraw/zinc/56/87/50/1072568750.db2.gz MMOADNIDSOIKSK-NSHDSACASA-N 0 0 434.492 -0.594 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000542177228 1072569241 /nfs/dbraw/zinc/56/92/41/1072569241.db2.gz QGEVKMPGNJVGLT-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN CCS(=O)(=O)NCC(=O)Nc1ccccc1[C@@H](C)NC(=O)CNS(=O)(=O)CC ZINC000542186314 1072569181 /nfs/dbraw/zinc/56/91/81/1072569181.db2.gz WZJWDUOLJYHJBE-GFCCVEGCSA-N 0 0 434.540 -0.319 20 0 IBADRN CCS(=O)(=O)NCC(=O)Nc1ccccc1[C@H](C)NC(=O)CNS(=O)(=O)CC ZINC000542186318 1072569160 /nfs/dbraw/zinc/56/91/60/1072569160.db2.gz WZJWDUOLJYHJBE-LBPRGKRZSA-N 0 0 434.540 -0.319 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)cnc21 ZINC000542239478 1072572113 /nfs/dbraw/zinc/57/21/13/1072572113.db2.gz GDHOVIBVRWRVHO-HNNXBMFYSA-N 0 0 444.473 -0.101 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)cnc21 ZINC000542239486 1072572002 /nfs/dbraw/zinc/57/20/02/1072572002.db2.gz GDHOVIBVRWRVHO-OAHLLOKOSA-N 0 0 444.473 -0.101 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC(O)(CN3CCOCC3)CC2)c1 ZINC000542240335 1072572066 /nfs/dbraw/zinc/57/20/66/1072572066.db2.gz AETIGKUUMCIQSE-UHFFFAOYSA-N 0 0 447.579 -0.215 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCNC(=O)[C@@H]1c1ccccc1Cl)c(=O)n2C ZINC000542243209 1072571977 /nfs/dbraw/zinc/57/19/77/1072571977.db2.gz ALHKQXMIGVYICO-AWEZNQCLSA-N 0 0 430.852 -0.213 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCNC(=O)[C@H]1c1ccccc1Cl)c(=O)n2C ZINC000542243210 1072572468 /nfs/dbraw/zinc/57/24/68/1072572468.db2.gz ALHKQXMIGVYICO-CQSZACIVSA-N 0 0 430.852 -0.213 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCCCS3(=O)=O)CC2)cn1C ZINC000542250025 1072572492 /nfs/dbraw/zinc/57/24/92/1072572492.db2.gz NRXHPZMBQPRABW-AWEZNQCLSA-N 0 0 433.556 -0.288 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCCS3(=O)=O)CC2)cn1C ZINC000542250026 1072572559 /nfs/dbraw/zinc/57/25/59/1072572559.db2.gz NRXHPZMBQPRABW-CQSZACIVSA-N 0 0 433.556 -0.288 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000542257722 1072573061 /nfs/dbraw/zinc/57/30/61/1072573061.db2.gz VBAKCTYMRKWBHN-UHFFFAOYSA-N 0 0 446.551 -0.035 20 0 IBADRN COc1nc(NC[C@H]2CCCCS2(=O)=O)nc(NC[C@@H]2CCCCS2(=O)=O)n1 ZINC000542262726 1072573127 /nfs/dbraw/zinc/57/31/27/1072573127.db2.gz ICZMFETUPNUZKI-BETUJISGSA-N 0 0 433.556 -0.518 20 0 IBADRN COc1nc(NC[C@H]2CCCCS2(=O)=O)nc(NC[C@H]2CCCCS2(=O)=O)n1 ZINC000542262727 1072573112 /nfs/dbraw/zinc/57/31/12/1072573112.db2.gz ICZMFETUPNUZKI-CHWSQXEVSA-N 0 0 433.556 -0.518 20 0 IBADRN COc1nc(NC[C@@H]2CCCCS2(=O)=O)nc(NC[C@@H]2CCCCS2(=O)=O)n1 ZINC000542262728 1072573161 /nfs/dbraw/zinc/57/31/61/1072573161.db2.gz ICZMFETUPNUZKI-STQMWFEESA-N 0 0 433.556 -0.518 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CCCN(c4cccnc4)C3=O)c2c(=O)n(C)c1=O ZINC000542270723 1072573633 /nfs/dbraw/zinc/57/36/33/1072573633.db2.gz ARGCCNKZJFFZPQ-AWEZNQCLSA-N 0 0 425.449 -0.469 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)c2c(=O)n(C)c1=O ZINC000542270725 1072573557 /nfs/dbraw/zinc/57/35/57/1072573557.db2.gz ARGCCNKZJFFZPQ-CQSZACIVSA-N 0 0 425.449 -0.469 20 0 IBADRN COCc1noc([C@@H](C)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000542280947 1072573095 /nfs/dbraw/zinc/57/30/95/1072573095.db2.gz XSDSNJYCCHZTTL-GFCCVEGCSA-N 0 0 445.523 -0.069 20 0 IBADRN COCc1noc([C@H](C)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000542280948 1072573137 /nfs/dbraw/zinc/57/31/37/1072573137.db2.gz XSDSNJYCCHZTTL-LBPRGKRZSA-N 0 0 445.523 -0.069 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)c(=O)[nH]1 ZINC000542282860 1072573589 /nfs/dbraw/zinc/57/35/89/1072573589.db2.gz AUXWVIXAOUXRQN-UHFFFAOYSA-N 0 0 448.505 -0.318 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)C2)nc1 ZINC000542282921 1074368080 /nfs/dbraw/zinc/36/80/80/1074368080.db2.gz BVNATRTXKDFHCB-UHFFFAOYSA-N 0 0 443.464 -0.514 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c(=O)[nH]1 ZINC000542283524 1072573683 /nfs/dbraw/zinc/57/36/83/1072573683.db2.gz AJRTUHHRESKWOD-UHFFFAOYSA-N 0 0 435.462 -0.234 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)c(=O)[nH]1 ZINC000542284693 1072574231 /nfs/dbraw/zinc/57/42/31/1072574231.db2.gz WCQAJDQGWSKHPH-UHFFFAOYSA-N 0 0 448.505 -0.318 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@@H]4CC[C@@H](O)[C@@H]4C3)c2)CC1 ZINC000542286622 1072574194 /nfs/dbraw/zinc/57/41/94/1072574194.db2.gz PXLREHJJNRFAQY-BMGDILEWSA-N 0 0 436.534 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@@H]4CC[C@@H](O)[C@H]4C3)c2)CC1 ZINC000542286623 1072574120 /nfs/dbraw/zinc/57/41/20/1072574120.db2.gz PXLREHJJNRFAQY-JCGIZDLHSA-N 0 0 436.534 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@@H]4CC[C@H](O)[C@@H]4C3)c2)CC1 ZINC000542286624 1072574133 /nfs/dbraw/zinc/57/41/33/1072574133.db2.gz PXLREHJJNRFAQY-QGTPRVQTSA-N 0 0 436.534 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@@H]4CC[C@H](O)[C@H]4C3)c2)CC1 ZINC000542286625 1072574054 /nfs/dbraw/zinc/57/40/54/1072574054.db2.gz PXLREHJJNRFAQY-WBAXXEDZSA-N 0 0 436.534 -0.210 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cnc(OC)c(C(N)=O)c1)Cc1ccc(O)cc1 ZINC000542288519 1072574074 /nfs/dbraw/zinc/57/40/74/1072574074.db2.gz MJBMAPOHYTXOMV-GFCCVEGCSA-N 0 0 430.417 -0.019 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cnc(OC)c(C(N)=O)c1)Cc1ccc(O)cc1 ZINC000542288521 1072574205 /nfs/dbraw/zinc/57/42/05/1072574205.db2.gz MJBMAPOHYTXOMV-LBPRGKRZSA-N 0 0 430.417 -0.019 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@H](Cc2ccc(O)cc2)C(=O)OC)cc1C ZINC000542288737 1073315024 /nfs/dbraw/zinc/31/50/24/1073315024.db2.gz PFQKXRFKKLKKCX-AWEZNQCLSA-N 0 0 431.449 -0.270 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NC[C@@H](Cc2ccc(O)cc2)C(=O)OC)cc1C ZINC000542288740 1073314903 /nfs/dbraw/zinc/31/49/03/1073314903.db2.gz PFQKXRFKKLKKCX-CQSZACIVSA-N 0 0 431.449 -0.270 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ncn(C(C)(C)C)n2)CC1 ZINC000542290562 1073318700 /nfs/dbraw/zinc/31/87/00/1073318700.db2.gz RXWVHNRYTYYBNY-UHFFFAOYSA-N 0 0 430.531 -0.129 20 0 IBADRN COC(=O)c1sccc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000542291010 1072574084 /nfs/dbraw/zinc/57/40/84/1072574084.db2.gz LFQGEFKIZBFODP-CYBMUJFWSA-N 0 0 438.506 -0.135 20 0 IBADRN COC(=O)c1sccc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000542291011 1072574158 /nfs/dbraw/zinc/57/41/58/1072574158.db2.gz LFQGEFKIZBFODP-ZDUSSCGKSA-N 0 0 438.506 -0.135 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC000542295028 1074368026 /nfs/dbraw/zinc/36/80/26/1074368026.db2.gz KJLNLMSLMZELHJ-UHFFFAOYSA-N 0 0 434.497 -0.452 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC(N(CC)S(C)(=O)=O)CC1 ZINC000542295181 1072574258 /nfs/dbraw/zinc/57/42/58/1072574258.db2.gz RYSUXUROZIXWRM-UHFFFAOYSA-N 0 0 429.499 -0.192 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000542296644 1072573525 /nfs/dbraw/zinc/57/35/25/1072573525.db2.gz RORKHXABNJZAAZ-LLVKDONJSA-N 0 0 434.405 -0.180 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000542296645 1073341045 /nfs/dbraw/zinc/34/10/45/1073341045.db2.gz RORKHXABNJZAAZ-NSHDSACASA-N 0 0 434.405 -0.180 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000542298106 1072573543 /nfs/dbraw/zinc/57/35/43/1072573543.db2.gz FZGMBURWCSLMAD-UHFFFAOYSA-N 0 0 434.497 -0.308 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCCN(CC(=O)N(CC)CC)CC1 ZINC000542298298 1072574170 /nfs/dbraw/zinc/57/41/70/1072574170.db2.gz JLJYMXWNNUTTME-UHFFFAOYSA-N 0 0 436.513 -0.062 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000542299510 1073341540 /nfs/dbraw/zinc/34/15/40/1073341540.db2.gz FQHOYAHLGFAUMR-GOSISDBHSA-N 0 0 425.511 -0.141 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000542299512 1073341052 /nfs/dbraw/zinc/34/10/52/1073341052.db2.gz FQHOYAHLGFAUMR-SFHVURJKSA-N 0 0 425.511 -0.141 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000542301922 1072574767 /nfs/dbraw/zinc/57/47/67/1072574767.db2.gz RBQOJMHZLGOOGA-INIZCTEOSA-N 0 0 442.476 -0.094 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000542301923 1072574721 /nfs/dbraw/zinc/57/47/21/1072574721.db2.gz RBQOJMHZLGOOGA-MRXNPFEDSA-N 0 0 442.476 -0.094 20 0 IBADRN COC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCCCC1 ZINC000542307796 1072574682 /nfs/dbraw/zinc/57/46/82/1072574682.db2.gz OLNIOGZZNSCPQU-UHFFFAOYSA-N 0 0 445.586 -0.071 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000542309646 1072574668 /nfs/dbraw/zinc/57/46/68/1072574668.db2.gz FELHZFLUULCXRS-UHFFFAOYSA-N 0 0 434.453 -0.094 20 0 IBADRN O=C(CN1CCNC(=O)[C@@H]1c1ccccc1Cl)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000542323005 1072575231 /nfs/dbraw/zinc/57/52/31/1072575231.db2.gz YQVCQSHICJAPDC-ABAIWWIYSA-N 0 0 428.898 -0.174 20 0 IBADRN O=C(CN1CCNC(=O)[C@H]1c1ccccc1Cl)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000542323006 1072575324 /nfs/dbraw/zinc/57/53/24/1072575324.db2.gz YQVCQSHICJAPDC-IAQYHMDHSA-N 0 0 428.898 -0.174 20 0 IBADRN O=C(CN1CCNC(=O)[C@@H]1c1ccccc1Cl)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000542323007 1072575079 /nfs/dbraw/zinc/57/50/79/1072575079.db2.gz YQVCQSHICJAPDC-NHYWBVRUSA-N 0 0 428.898 -0.174 20 0 IBADRN O=C(CN1CCNC(=O)[C@H]1c1ccccc1Cl)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000542323008 1072575164 /nfs/dbraw/zinc/57/51/64/1072575164.db2.gz YQVCQSHICJAPDC-XHDPSFHLSA-N 0 0 428.898 -0.174 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000542324852 1072575126 /nfs/dbraw/zinc/57/51/26/1072575126.db2.gz JPPNUMXTEZFAJL-GOSISDBHSA-N 0 0 441.492 -0.139 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000542324854 1072575242 /nfs/dbraw/zinc/57/52/42/1072575242.db2.gz JPPNUMXTEZFAJL-SFHVURJKSA-N 0 0 441.492 -0.139 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCn3ccnn3)c2)CC1 ZINC000542325388 1072574563 /nfs/dbraw/zinc/57/45/63/1072574563.db2.gz HNSQCZPQIKMFQO-UHFFFAOYSA-N 0 0 449.537 -0.251 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000542325396 1072574703 /nfs/dbraw/zinc/57/47/03/1072574703.db2.gz PUQARCHUIGGEED-KRWDZBQOSA-N 0 0 428.449 -0.563 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000542325397 1072574550 /nfs/dbraw/zinc/57/45/50/1072574550.db2.gz PUQARCHUIGGEED-QGZVFWFLSA-N 0 0 428.449 -0.563 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCCn1ccnn1 ZINC000542327666 1072575112 /nfs/dbraw/zinc/57/51/12/1072575112.db2.gz UXRXWHHIBFHRLA-UHFFFAOYSA-N 0 0 429.481 -0.181 20 0 IBADRN COc1c2cnn(C)c2ncc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000542328064 1072575147 /nfs/dbraw/zinc/57/51/47/1072575147.db2.gz DJSHEYOMPBDVTC-UHFFFAOYSA-N 0 0 437.526 -0.773 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000542330866 1074368488 /nfs/dbraw/zinc/36/84/88/1074368488.db2.gz GVHBUSDCXZVCNX-UHFFFAOYSA-N 0 0 433.512 -0.970 20 0 IBADRN COC(=O)c1cc(Br)c(F)cc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000542332066 1072575171 /nfs/dbraw/zinc/57/51/71/1072575171.db2.gz IQGJUNIMTXYSPQ-UHFFFAOYSA-N 0 0 440.247 -0.021 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)N(C)C)CC2)c1 ZINC000542338117 1072575662 /nfs/dbraw/zinc/57/56/62/1072575662.db2.gz PLBARLHYNINNJD-UHFFFAOYSA-N 0 0 439.537 -0.741 20 0 IBADRN Cc1ccc(NC(=O)Cn2nc3cnccn3c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000542338537 1072575701 /nfs/dbraw/zinc/57/57/01/1072575701.db2.gz AWYUBSXHTBSNLG-UHFFFAOYSA-N 0 0 432.462 -0.141 20 0 IBADRN O=C(Cn1nc2cnccn2c1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000542338578 1072575646 /nfs/dbraw/zinc/57/56/46/1072575646.db2.gz CQKJDAIHQDHSGK-UHFFFAOYSA-N 0 0 432.462 -0.772 20 0 IBADRN O=C(COCCNC(=O)c1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000542341112 1072575818 /nfs/dbraw/zinc/57/58/18/1072575818.db2.gz SZUOOBUADCDXBP-UHFFFAOYSA-N 0 0 440.522 -0.846 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCc1ccc(S(=O)(=O)NC)s1 ZINC000542342644 1072575723 /nfs/dbraw/zinc/57/57/23/1072575723.db2.gz CDZYQBZUVYRHLK-UHFFFAOYSA-N 0 0 429.480 -0.179 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000542344074 1072575809 /nfs/dbraw/zinc/57/58/09/1072575809.db2.gz MTAGCFZERKZHMS-GFCCVEGCSA-N 0 0 443.478 -0.278 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000542344075 1072575798 /nfs/dbraw/zinc/57/57/98/1072575798.db2.gz MTAGCFZERKZHMS-LBPRGKRZSA-N 0 0 443.478 -0.278 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CS(=O)(=O)N(C)C)CC2)cc1 ZINC000542353612 1072576193 /nfs/dbraw/zinc/57/61/93/1072576193.db2.gz GRPVWZDAUQINCS-UHFFFAOYSA-N 0 0 432.568 -0.691 20 0 IBADRN O=C(COCCNC(=O)c1ccccc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000542355361 1072576326 /nfs/dbraw/zinc/57/63/26/1072576326.db2.gz QZGLLNGUSURXLH-DLBZAZTESA-N 0 0 425.507 -0.953 20 0 IBADRN O=C(COCCNC(=O)c1ccccc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000542355362 1072576296 /nfs/dbraw/zinc/57/62/96/1072576296.db2.gz QZGLLNGUSURXLH-IAGOWNOFSA-N 0 0 425.507 -0.953 20 0 IBADRN O=C(COCCNC(=O)c1ccccc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000542355363 1072576340 /nfs/dbraw/zinc/57/63/40/1072576340.db2.gz QZGLLNGUSURXLH-IRXDYDNUSA-N 0 0 425.507 -0.953 20 0 IBADRN O=C(COCCNC(=O)c1ccccc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000542355364 1072576333 /nfs/dbraw/zinc/57/63/33/1072576333.db2.gz QZGLLNGUSURXLH-SJORKVTESA-N 0 0 425.507 -0.953 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000542355582 1072575734 /nfs/dbraw/zinc/57/57/34/1072575734.db2.gz YNGSMBQYEJXREA-UHFFFAOYSA-N 0 0 447.535 -0.428 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CCCCC1 ZINC000542357902 1073322276 /nfs/dbraw/zinc/32/22/76/1073322276.db2.gz VAYJEKNCXMULRY-UHFFFAOYSA-N 0 0 435.481 -0.080 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)C2)nc1 ZINC000542364583 1072575782 /nfs/dbraw/zinc/57/57/82/1072575782.db2.gz RUJMFRNRXJKERC-UHFFFAOYSA-N 0 0 440.460 -0.234 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)C2)nc1 ZINC000542367641 1072576393 /nfs/dbraw/zinc/57/63/93/1072576393.db2.gz GXAYJCILCFZPGJ-KRWDZBQOSA-N 0 0 445.520 -0.256 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)C2)nc1 ZINC000542367648 1072576203 /nfs/dbraw/zinc/57/62/03/1072576203.db2.gz GXAYJCILCFZPGJ-QGZVFWFLSA-N 0 0 445.520 -0.256 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000542383160 1074368559 /nfs/dbraw/zinc/36/85/59/1074368559.db2.gz LIQMLEXPXQORTP-UHFFFAOYSA-N 0 0 430.487 -0.677 20 0 IBADRN CCN(C[C@H](CC(C)C)NC(=O)CS(=O)(=O)N(C)C)C(=O)CS(=O)(=O)N(C)C ZINC000542383564 1072577006 /nfs/dbraw/zinc/57/70/06/1072577006.db2.gz PLXOKTXZFOVBQJ-AWEZNQCLSA-N 0 0 442.604 -0.851 20 0 IBADRN CCN(C[C@@H](CC(C)C)NC(=O)CS(=O)(=O)N(C)C)C(=O)CS(=O)(=O)N(C)C ZINC000542383565 1072576876 /nfs/dbraw/zinc/57/68/76/1072576876.db2.gz PLXOKTXZFOVBQJ-CQSZACIVSA-N 0 0 442.604 -0.851 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)N(C)C)c1ccccc1NC(=O)CS(=O)(=O)N(C)C ZINC000542383908 1072577082 /nfs/dbraw/zinc/57/70/82/1072577082.db2.gz WZYMCEHHEVYEON-GFCCVEGCSA-N 0 0 434.540 -0.415 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)N(C)C)c1ccccc1NC(=O)CS(=O)(=O)N(C)C ZINC000542383910 1072576968 /nfs/dbraw/zinc/57/69/68/1072576968.db2.gz WZYMCEHHEVYEON-LBPRGKRZSA-N 0 0 434.540 -0.415 20 0 IBADRN COC(CNC(=O)c1cccc(-n2cnnn2)c1)CNC(=O)c1cccc(-n2cnnn2)c1 ZINC000542397353 1072576260 /nfs/dbraw/zinc/57/62/60/1072576260.db2.gz RZMPPBTUHQNDNR-UHFFFAOYSA-N 0 0 448.447 -0.187 20 0 IBADRN COC(CNC(=O)C(=O)c1c(C)nn(C)c1C)CNC(=O)C(=O)c1c(C)nn(C)c1C ZINC000542397659 1072576926 /nfs/dbraw/zinc/57/69/26/1072576926.db2.gz OGFCKBLMFFHEQW-UHFFFAOYSA-N 0 0 432.481 -0.300 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)NC[C@H](CNC(=O)[C@H]1CSCN1C(=O)CC)OC ZINC000542398182 1072576406 /nfs/dbraw/zinc/57/64/06/1072576406.db2.gz VMIGUSCCUKNRDA-BTTYYORXSA-N 0 0 446.595 -0.143 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)NCC(CNC(=O)[C@@H]1CSCN1C(=O)CC)OC ZINC000542398183 1072577049 /nfs/dbraw/zinc/57/70/49/1072577049.db2.gz VMIGUSCCUKNRDA-KBPBESRZSA-N 0 0 446.595 -0.143 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)NCC(CNC(=O)[C@H]1CSCN1C(=O)CC)OC ZINC000542398184 1072577076 /nfs/dbraw/zinc/57/70/76/1072577076.db2.gz VMIGUSCCUKNRDA-ZIAGYGMSSA-N 0 0 446.595 -0.143 20 0 IBADRN COC(CNC(=O)c1cnc2ccccn2c1=O)CNC(=O)c1cnc2ccccn2c1=O ZINC000542402628 1072579095 /nfs/dbraw/zinc/57/90/95/1072579095.db2.gz HUDTXCUHGHQRLK-UHFFFAOYSA-N 0 0 448.439 -0.123 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CS(=O)(=O)N(C)C ZINC000542405880 1072578088 /nfs/dbraw/zinc/57/80/88/1072578088.db2.gz NXZCMWZXXHROOF-UHFFFAOYSA-N 0 0 434.540 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)NCCC(=O)N3CCN(C(C)=O)CC3)C2)nc1 ZINC000542406916 1072577907 /nfs/dbraw/zinc/57/79/07/1072577907.db2.gz YYTAIEPCYBMKOW-UHFFFAOYSA-N 0 0 446.508 -0.173 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2)nc1 ZINC000542411956 1072578411 /nfs/dbraw/zinc/57/84/11/1072578411.db2.gz DNXDXFNLNPAQRQ-UHFFFAOYSA-N 0 0 444.492 -0.421 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N[C@H]3CCN(CCC(=O)OC)C3=O)C2)nc1 ZINC000542413671 1072579076 /nfs/dbraw/zinc/57/90/76/1072579076.db2.gz ORLVDLQGCPWYCX-HNNXBMFYSA-N 0 0 433.465 -0.090 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N[C@@H]3CCN(CCC(=O)OC)C3=O)C2)nc1 ZINC000542413672 1072579104 /nfs/dbraw/zinc/57/91/04/1072579104.db2.gz ORLVDLQGCPWYCX-OAHLLOKOSA-N 0 0 433.465 -0.090 20 0 IBADRN COCCOC1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000542420353 1072579615 /nfs/dbraw/zinc/57/96/15/1072579615.db2.gz BXKYOFCOVDEIQU-UHFFFAOYSA-N 0 0 427.527 -0.410 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCc1ncccc1NC(=O)CN(C)S(=O)(=O)CC ZINC000542428114 1072579678 /nfs/dbraw/zinc/57/96/78/1072579678.db2.gz WQWJUOKLKJPXGH-UHFFFAOYSA-N 0 0 449.555 -0.801 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000542430924 1072580151 /nfs/dbraw/zinc/58/01/51/1072580151.db2.gz SWBNXYLAFDTADZ-AWEZNQCLSA-N 0 0 445.457 -0.051 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000542430925 1072580018 /nfs/dbraw/zinc/58/00/18/1072580018.db2.gz SWBNXYLAFDTADZ-CQSZACIVSA-N 0 0 445.457 -0.051 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)Cn3ccccc3=O)CCN2C(=O)Cn2ccccc2=O)cn1 ZINC000542440664 1072580036 /nfs/dbraw/zinc/58/00/36/1072580036.db2.gz VCNGQIWMAPSHNE-GOSISDBHSA-N 0 0 436.472 -0.144 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)Cn3ccccc3=O)CCN2C(=O)Cn2ccccc2=O)cn1 ZINC000542440675 1072580102 /nfs/dbraw/zinc/58/01/02/1072580102.db2.gz VCNGQIWMAPSHNE-SFHVURJKSA-N 0 0 436.472 -0.144 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542471337 1072612067 /nfs/dbraw/zinc/61/20/67/1072612067.db2.gz QGNIFFQZEMRAMO-JTQLQIEISA-N 0 0 434.525 -0.934 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542471338 1072612161 /nfs/dbraw/zinc/61/21/61/1072612161.db2.gz QGNIFFQZEMRAMO-SNVBAGLBSA-N 0 0 434.525 -0.934 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542471648 1072616226 /nfs/dbraw/zinc/61/62/26/1072616226.db2.gz CLDLMJLNMSGSJH-KRWDZBQOSA-N 0 0 445.505 -0.134 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542471653 1072616128 /nfs/dbraw/zinc/61/61/28/1072616128.db2.gz CLDLMJLNMSGSJH-QGZVFWFLSA-N 0 0 445.505 -0.134 20 0 IBADRN O=C([C@H](c1ccccc1F)N1CCOCC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542472011 1072614973 /nfs/dbraw/zinc/61/49/73/1072614973.db2.gz XCCYURQBFSOPKX-INIZCTEOSA-N 0 0 438.485 -0.150 20 0 IBADRN O=C([C@@H](c1ccccc1F)N1CCOCC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542472013 1072614826 /nfs/dbraw/zinc/61/48/26/1072614826.db2.gz XCCYURQBFSOPKX-MRXNPFEDSA-N 0 0 438.485 -0.150 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC2=O)cc1 ZINC000542472134 1072614495 /nfs/dbraw/zinc/61/44/95/1072614495.db2.gz OFCLNXJXDQHFHM-CYBMUJFWSA-N 0 0 434.478 -0.301 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC2=O)cc1 ZINC000542472136 1072614216 /nfs/dbraw/zinc/61/42/16/1072614216.db2.gz OFCLNXJXDQHFHM-ZDUSSCGKSA-N 0 0 434.478 -0.301 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc(S(N)(=O)=O)c1C ZINC000542473013 1072619046 /nfs/dbraw/zinc/61/90/46/1072619046.db2.gz RCLGPCSTDPYSEO-UHFFFAOYSA-N 0 0 428.496 -0.784 20 0 IBADRN O=C(CSc1nnnn1C1CCCC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542475770 1072623379 /nfs/dbraw/zinc/62/33/79/1072623379.db2.gz HWIUMYLRJPTDKP-UHFFFAOYSA-N 0 0 427.516 -0.469 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542477525 1072623353 /nfs/dbraw/zinc/62/33/53/1072623353.db2.gz JNWFPSDNLMCWTQ-HNNXBMFYSA-N 0 0 431.482 -0.583 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542477532 1072623334 /nfs/dbraw/zinc/62/33/34/1072623334.db2.gz JNWFPSDNLMCWTQ-OAHLLOKOSA-N 0 0 431.482 -0.583 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC2=O)cc1 ZINC000542480750 1072628137 /nfs/dbraw/zinc/62/81/37/1072628137.db2.gz CFWBWYHPMZBQMU-HNNXBMFYSA-N 0 0 448.505 -0.305 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)CC2=O)cc1 ZINC000542480760 1072628180 /nfs/dbraw/zinc/62/81/80/1072628180.db2.gz CFWBWYHPMZBQMU-OAHLLOKOSA-N 0 0 448.505 -0.305 20 0 IBADRN C[C@H]1Oc2ccccc2N(CCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1=O ZINC000542481845 1072629729 /nfs/dbraw/zinc/62/97/29/1072629729.db2.gz HPCMBPYGKBVSGN-CYBMUJFWSA-N 0 0 434.478 -0.158 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1=O ZINC000542481846 1072629744 /nfs/dbraw/zinc/62/97/44/1072629744.db2.gz HPCMBPYGKBVSGN-ZDUSSCGKSA-N 0 0 434.478 -0.158 20 0 IBADRN Cc1ccc(C(=O)NC2CCN(c3nnnn3C)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000542490216 1072637956 /nfs/dbraw/zinc/63/79/56/1072637956.db2.gz BUWPNNNLKVXXFD-UHFFFAOYSA-N 0 0 449.537 -0.062 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NCc1ccccn1 ZINC000542490497 1072639683 /nfs/dbraw/zinc/63/96/83/1072639683.db2.gz HRLDTFBMJBUWSB-UHFFFAOYSA-N 0 0 445.501 -0.362 20 0 IBADRN O=C(NCCc1nnc2n1CCCC2)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000542491338 1072637940 /nfs/dbraw/zinc/63/79/40/1072637940.db2.gz UQSCDEFLHIEKID-UHFFFAOYSA-N 0 0 432.506 -0.293 20 0 IBADRN COC[C@](C)(CNC(=O)[C@H]1CCCCS1(=O)=O)NC(=O)[C@H]1CCCCS1(=O)=O ZINC000542503311 1072644883 /nfs/dbraw/zinc/64/48/83/1072644883.db2.gz SBMHANSARPETAJ-CPUCHLNUSA-N 0 0 438.568 -0.442 20 0 IBADRN COC[C@](C)(CNC(=O)[C@H]1CCCCS1(=O)=O)NC(=O)[C@@H]1CCCCS1(=O)=O ZINC000542503318 1072644852 /nfs/dbraw/zinc/64/48/52/1072644852.db2.gz SBMHANSARPETAJ-KEYYUXOJSA-N 0 0 438.568 -0.442 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1CCCCS1(=O)=O)NC(=O)[C@H]1CCCCS1(=O)=O ZINC000542503319 1072644967 /nfs/dbraw/zinc/64/49/67/1072644967.db2.gz SBMHANSARPETAJ-VBQJREDUSA-N 0 0 438.568 -0.442 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1CCCCS1(=O)=O)NC(=O)[C@@H]1CCCCS1(=O)=O ZINC000542503320 1072644887 /nfs/dbraw/zinc/64/48/87/1072644887.db2.gz SBMHANSARPETAJ-ZQIUZPCESA-N 0 0 438.568 -0.442 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)C(=O)OC ZINC000542509951 1072646885 /nfs/dbraw/zinc/64/68/85/1072646885.db2.gz HYPCTEBKKDFFNO-GOSISDBHSA-N 0 0 431.486 -0.174 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)C(=O)OC ZINC000542509956 1072646711 /nfs/dbraw/zinc/64/67/11/1072646711.db2.gz HYPCTEBKKDFFNO-SFHVURJKSA-N 0 0 431.486 -0.174 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000542510050 1072646859 /nfs/dbraw/zinc/64/68/59/1072646859.db2.gz IJWFUXYONRUKCG-CYBMUJFWSA-N 0 0 434.540 -0.363 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000542510058 1072647356 /nfs/dbraw/zinc/64/73/56/1072647356.db2.gz IJWFUXYONRUKCG-ZDUSSCGKSA-N 0 0 434.540 -0.363 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000542510634 1072647448 /nfs/dbraw/zinc/64/74/48/1072647448.db2.gz FFYRIEGTEAPHHD-AWEZNQCLSA-N 0 0 448.542 -0.589 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000542510647 1072647370 /nfs/dbraw/zinc/64/73/70/1072647370.db2.gz FFYRIEGTEAPHHD-CQSZACIVSA-N 0 0 448.542 -0.589 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@@H](S(N)(=O)=O)C2)c(=O)[nH]c1=O ZINC000542511079 1072647438 /nfs/dbraw/zinc/64/74/38/1072647438.db2.gz FVNUNXKPBWIXTB-GFCCVEGCSA-N 0 0 430.531 -0.563 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@H](S(N)(=O)=O)C2)c(=O)[nH]c1=O ZINC000542511081 1072647472 /nfs/dbraw/zinc/64/74/72/1072647472.db2.gz FVNUNXKPBWIXTB-LBPRGKRZSA-N 0 0 430.531 -0.563 20 0 IBADRN CCCCN(C(=O)CN1CCC[C@@H](S(N)(=O)=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000542511116 1072647150 /nfs/dbraw/zinc/64/71/50/1072647150.db2.gz GMFWKCRHKGVHOP-CYBMUJFWSA-N 0 0 444.558 -0.173 20 0 IBADRN CCCCN(C(=O)CN1CCC[C@H](S(N)(=O)=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000542511117 1072647281 /nfs/dbraw/zinc/64/72/81/1072647281.db2.gz GMFWKCRHKGVHOP-ZDUSSCGKSA-N 0 0 444.558 -0.173 20 0 IBADRN Cc1cc(N2CCC[C@H](S(N)(=O)=O)C2)nc([C@@H](C)N2CCC[C@H](S(N)(=O)=O)C2)n1 ZINC000542511436 1072647432 /nfs/dbraw/zinc/64/74/32/1072647432.db2.gz QQLLCQWFHDNMAL-ILXRZTDVSA-N 0 0 446.599 -0.144 20 0 IBADRN Cc1cc(N2CCC[C@H](S(N)(=O)=O)C2)nc([C@@H](C)N2CCC[C@@H](S(N)(=O)=O)C2)n1 ZINC000542511441 1072647421 /nfs/dbraw/zinc/64/74/21/1072647421.db2.gz QQLLCQWFHDNMAL-KFWWJZLASA-N 0 0 446.599 -0.144 20 0 IBADRN Cc1cc(N2CCC[C@H](S(N)(=O)=O)C2)nc([C@H](C)N2CCC[C@H](S(N)(=O)=O)C2)n1 ZINC000542511444 1072647130 /nfs/dbraw/zinc/64/71/30/1072647130.db2.gz QQLLCQWFHDNMAL-KKUMJFAQSA-N 0 0 446.599 -0.144 20 0 IBADRN Cc1cc(N2CCC[C@H](S(N)(=O)=O)C2)nc([C@H](C)N2CCC[C@@H](S(N)(=O)=O)C2)n1 ZINC000542511446 1072647345 /nfs/dbraw/zinc/64/73/45/1072647345.db2.gz QQLLCQWFHDNMAL-ZNMIVQPWSA-N 0 0 446.599 -0.144 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1C ZINC000542516472 1072648135 /nfs/dbraw/zinc/64/81/35/1072648135.db2.gz JTAPUUNBDCANLX-UHFFFAOYSA-N 0 0 428.496 -0.784 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)o1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542518288 1072648673 /nfs/dbraw/zinc/64/86/73/1072648673.db2.gz VGNWSLSRLXEPBJ-UHFFFAOYSA-N 0 0 447.271 -0.577 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000542518907 1072649351 /nfs/dbraw/zinc/64/93/51/1072649351.db2.gz JAKWOXKQSLDSAP-UHFFFAOYSA-N 0 0 428.496 -0.994 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000542544343 1072667881 /nfs/dbraw/zinc/66/78/81/1072667881.db2.gz IBLQXTZOWBJTCI-UHFFFAOYSA-N 0 0 437.544 -0.545 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000542547537 1072675519 /nfs/dbraw/zinc/67/55/19/1072675519.db2.gz LDUMQNZTLHHTDY-UHFFFAOYSA-N 0 0 442.629 -0.274 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@@H]1CCCOC1 ZINC000542560092 1072685201 /nfs/dbraw/zinc/68/52/01/1072685201.db2.gz VUUAQMJPKJTKPR-CRAIPNDOSA-N 0 0 445.524 -0.040 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H]1CCCOC1 ZINC000542560093 1072685024 /nfs/dbraw/zinc/68/50/24/1072685024.db2.gz VUUAQMJPKJTKPR-MAUKXSAKSA-N 0 0 445.524 -0.040 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@@H]1CCCOC1 ZINC000542560094 1072685214 /nfs/dbraw/zinc/68/52/14/1072685214.db2.gz VUUAQMJPKJTKPR-QAPCUYQASA-N 0 0 445.524 -0.040 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H]1CCCOC1 ZINC000542560095 1072685003 /nfs/dbraw/zinc/68/50/03/1072685003.db2.gz VUUAQMJPKJTKPR-YJBOKZPZSA-N 0 0 445.524 -0.040 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc3c1CCN3S(C)(=O)=O)c(=O)n2C ZINC000542561554 1072690732 /nfs/dbraw/zinc/69/07/32/1072690732.db2.gz AGHNEIMTONWQOZ-UHFFFAOYSA-N 0 0 432.462 -0.606 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000542582285 1072719931 /nfs/dbraw/zinc/71/99/31/1072719931.db2.gz QWCZBPAQOHNELX-UHFFFAOYSA-N 0 0 426.433 -0.392 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000542582728 1072722311 /nfs/dbraw/zinc/72/23/11/1072722311.db2.gz ZLGJLLQUWNHINV-UHFFFAOYSA-N 0 0 437.522 -0.268 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000542583894 1072722939 /nfs/dbraw/zinc/72/29/39/1072722939.db2.gz BOGPFJNXUQFVSM-UHFFFAOYSA-N 0 0 426.433 -0.392 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000542585555 1072725009 /nfs/dbraw/zinc/72/50/09/1072725009.db2.gz VVODVSOYWFNPAG-UHFFFAOYSA-N 0 0 425.507 -0.051 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(N2CCOCC2)nc1 ZINC000542585618 1072724900 /nfs/dbraw/zinc/72/49/00/1072724900.db2.gz YZWJIVIVAOVZSH-UHFFFAOYSA-N 0 0 427.465 -0.282 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cccc3c2CCN3S(C)(=O)=O)c(=O)n(C)c1=O ZINC000542588431 1072729209 /nfs/dbraw/zinc/72/92/09/1072729209.db2.gz OVHNCFVAUJDXIR-UHFFFAOYSA-N 0 0 431.474 -0.001 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000542590877 1072738877 /nfs/dbraw/zinc/73/88/77/1072738877.db2.gz CHKMKJFKTUXRLC-CYBMUJFWSA-N 0 0 434.478 -0.632 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000542590881 1072738939 /nfs/dbraw/zinc/73/89/39/1072738939.db2.gz CHKMKJFKTUXRLC-ZDUSSCGKSA-N 0 0 434.478 -0.632 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000542591453 1072735562 /nfs/dbraw/zinc/73/55/62/1072735562.db2.gz IFFUTXYZAIXITM-LLVKDONJSA-N 0 0 430.508 -0.903 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000542591456 1072735440 /nfs/dbraw/zinc/73/54/40/1072735440.db2.gz IFFUTXYZAIXITM-NSHDSACASA-N 0 0 430.508 -0.903 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000542592163 1072739887 /nfs/dbraw/zinc/73/98/87/1072739887.db2.gz YCKHZGCRDKSEDV-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC ZINC000542593369 1072741824 /nfs/dbraw/zinc/74/18/24/1072741824.db2.gz QEQWOPLMRLXLKT-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000542594507 1072741787 /nfs/dbraw/zinc/74/17/87/1072741787.db2.gz OXGLXCOPPSBYSR-UHFFFAOYSA-N 0 0 432.462 -0.717 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)NC3CC3)c2)CC1)N1CCOCC1 ZINC000542594516 1072741723 /nfs/dbraw/zinc/74/17/23/1072741723.db2.gz BHSGIYNRCQBHKL-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000542594733 1072741874 /nfs/dbraw/zinc/74/18/74/1072741874.db2.gz BBFRKRMTCQTBRS-UHFFFAOYSA-N 0 0 437.522 -0.526 20 0 IBADRN O=C(Nc1ccon1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000542594759 1074368853 /nfs/dbraw/zinc/36/88/53/1074368853.db2.gz FNBJODXEPNRAGO-UHFFFAOYSA-N 0 0 435.418 -0.523 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000542594762 1072741743 /nfs/dbraw/zinc/74/17/43/1072741743.db2.gz QYWYSDZNMWXYJD-UHFFFAOYSA-N 0 0 434.478 -0.630 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)C1 ZINC000542594770 1072741925 /nfs/dbraw/zinc/74/19/25/1072741925.db2.gz BMWLBHJUYKIJPB-AWEZNQCLSA-N 0 0 448.505 -0.242 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)C1 ZINC000542594780 1072741713 /nfs/dbraw/zinc/74/17/13/1072741713.db2.gz BMWLBHJUYKIJPB-CQSZACIVSA-N 0 0 448.505 -0.242 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000542595133 1072741679 /nfs/dbraw/zinc/74/16/79/1072741679.db2.gz DVJXCGMODOKLQF-HNNXBMFYSA-N 0 0 444.535 -0.394 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000542595136 1072741843 /nfs/dbraw/zinc/74/18/43/1072741843.db2.gz DVJXCGMODOKLQF-OAHLLOKOSA-N 0 0 444.535 -0.394 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(-n3cccn3)c2)CC1)N1CCOCC1 ZINC000542595140 1072741853 /nfs/dbraw/zinc/74/18/53/1072741853.db2.gz DZXQCWCFDATUGZ-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000542596089 1072742513 /nfs/dbraw/zinc/74/25/13/1072742513.db2.gz QXQCWUSSTCWAME-HNNXBMFYSA-N 0 0 444.535 -0.394 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000542596093 1072742503 /nfs/dbraw/zinc/74/25/03/1072742503.db2.gz QXQCWUSSTCWAME-OAHLLOKOSA-N 0 0 444.535 -0.394 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1OC ZINC000542596344 1072741694 /nfs/dbraw/zinc/74/16/94/1072741694.db2.gz QYTLXEDMODSPBD-AWEZNQCLSA-N 0 0 426.495 -0.062 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1OC ZINC000542596345 1072742532 /nfs/dbraw/zinc/74/25/32/1072742532.db2.gz QYTLXEDMODSPBD-CQSZACIVSA-N 0 0 426.495 -0.062 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000542596431 1072742459 /nfs/dbraw/zinc/74/24/59/1072742459.db2.gz DDESGUYBWRMWQH-UHFFFAOYSA-N 0 0 433.509 -0.842 20 0 IBADRN Cc1nnc(-c2ccccc2NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)o1 ZINC000542596874 1072742524 /nfs/dbraw/zinc/74/25/24/1072742524.db2.gz VFIVMMRQAGUPLT-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)c1 ZINC000542597449 1072742283 /nfs/dbraw/zinc/74/22/83/1072742283.db2.gz ZJKVGMSQYSGFON-UHFFFAOYSA-N 0 0 428.449 -0.466 20 0 IBADRN CN(Cc1cccnc1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000542597615 1072744124 /nfs/dbraw/zinc/74/41/24/1072744124.db2.gz XOSGIRUJYKJWKW-UHFFFAOYSA-N 0 0 431.474 -0.201 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)CC2)cc1F ZINC000542597846 1072743089 /nfs/dbraw/zinc/74/30/89/1072743089.db2.gz JKUQOPHUXQARSB-CYBMUJFWSA-N 0 0 438.456 -0.418 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)CC2)cc1F ZINC000542597847 1072742943 /nfs/dbraw/zinc/74/29/43/1072742943.db2.gz JKUQOPHUXQARSB-ZDUSSCGKSA-N 0 0 438.456 -0.418 20 0 IBADRN CCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000542598046 1072742568 /nfs/dbraw/zinc/74/25/68/1072742568.db2.gz VPZURSFRSIQIJO-UHFFFAOYSA-N 0 0 440.522 -0.039 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000542598063 1072742480 /nfs/dbraw/zinc/74/24/80/1072742480.db2.gz WFTLQWCVTKLHLS-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000542598064 1072742550 /nfs/dbraw/zinc/74/25/50/1072742550.db2.gz WFTLQWCVTKLHLS-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000542598193 1072742495 /nfs/dbraw/zinc/74/24/95/1072742495.db2.gz AUNHDKKKQWKKAW-AWEZNQCLSA-N 0 0 426.470 -0.153 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000542598196 1072742418 /nfs/dbraw/zinc/74/24/18/1072742418.db2.gz AUNHDKKKQWKKAW-CQSZACIVSA-N 0 0 426.470 -0.153 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)c2)o1 ZINC000542598329 1072742270 /nfs/dbraw/zinc/74/22/70/1072742270.db2.gz GTHJRPWRRFOOKZ-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(Cc3ccncc3)c2)CC1)N1CCOCC1 ZINC000542598396 1072742256 /nfs/dbraw/zinc/74/22/56/1072742256.db2.gz FXLIQGMLQMBTIL-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1S(=O)(=O)N(C)C ZINC000542598509 1072743083 /nfs/dbraw/zinc/74/30/83/1072743083.db2.gz HNUZVAGGYLTKSU-HNNXBMFYSA-N 0 0 440.522 -0.183 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1S(=O)(=O)N(C)C ZINC000542598510 1072742977 /nfs/dbraw/zinc/74/29/77/1072742977.db2.gz HNUZVAGGYLTKSU-OAHLLOKOSA-N 0 0 440.522 -0.183 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)cn2)C[C@@H](C)O1 ZINC000542598613 1072742996 /nfs/dbraw/zinc/74/29/96/1072742996.db2.gz PDSMVZSOFZZMEL-BFYDXBDKSA-N 0 0 434.497 -1.000 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)cn2)C[C@H](C)O1 ZINC000542598618 1072742896 /nfs/dbraw/zinc/74/28/96/1072742896.db2.gz PDSMVZSOFZZMEL-HLLBOEOZSA-N 0 0 434.497 -1.000 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)cn2)C[C@@H](C)O1 ZINC000542598619 1072742989 /nfs/dbraw/zinc/74/29/89/1072742989.db2.gz PDSMVZSOFZZMEL-INMHGKMJSA-N 0 0 434.497 -1.000 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)cn2)C[C@H](C)O1 ZINC000542598620 1072743414 /nfs/dbraw/zinc/74/34/14/1072743414.db2.gz PDSMVZSOFZZMEL-UXLLHSPISA-N 0 0 434.497 -1.000 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000542598863 1072745876 /nfs/dbraw/zinc/74/58/76/1072745876.db2.gz OMWIHCAATWMUKP-IBGZPJMESA-N 0 0 445.520 -0.770 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000542598865 1072746265 /nfs/dbraw/zinc/74/62/65/1072746265.db2.gz OMWIHCAATWMUKP-LJQANCHMSA-N 0 0 445.520 -0.770 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000542598979 1072745134 /nfs/dbraw/zinc/74/51/34/1072745134.db2.gz PRAYFBUXURYHRU-GFCCVEGCSA-N 0 0 437.449 -0.020 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000542598980 1072745881 /nfs/dbraw/zinc/74/58/81/1072745881.db2.gz PRAYFBUXURYHRU-LBPRGKRZSA-N 0 0 437.449 -0.020 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000542599022 1072745857 /nfs/dbraw/zinc/74/58/57/1072745857.db2.gz ROWFDYSOWDCWMQ-UHFFFAOYSA-N 0 0 446.508 -0.231 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2CCN(CCO)CC2)c1 ZINC000542599061 1072745746 /nfs/dbraw/zinc/74/57/46/1072745746.db2.gz UJKQCDPWPUTTOJ-GOSISDBHSA-N 0 0 446.504 -0.071 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2CCN(CCO)CC2)c1 ZINC000542599062 1072745843 /nfs/dbraw/zinc/74/58/43/1072745843.db2.gz UJKQCDPWPUTTOJ-SFHVURJKSA-N 0 0 446.504 -0.071 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000542599158 1072745191 /nfs/dbraw/zinc/74/51/91/1072745191.db2.gz YJAPEFOPMFGILV-AWEZNQCLSA-N 0 0 439.538 -0.516 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000542599159 1072745256 /nfs/dbraw/zinc/74/52/56/1072745256.db2.gz YJAPEFOPMFGILV-CQSZACIVSA-N 0 0 439.538 -0.516 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000542599244 1072744530 /nfs/dbraw/zinc/74/45/30/1072744530.db2.gz CTAKBGWRNPPZFI-UHFFFAOYSA-N 0 0 440.522 -0.909 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000542599261 1072744519 /nfs/dbraw/zinc/74/45/19/1072744519.db2.gz DCFLEHLYHSKCPQ-UHFFFAOYSA-N 0 0 431.493 -0.704 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000542599382 1072744635 /nfs/dbraw/zinc/74/46/35/1072744635.db2.gz BCDZNSYJKGXHDL-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1C ZINC000542599528 1072743480 /nfs/dbraw/zinc/74/34/80/1072743480.db2.gz DOJMLXZWVAYEJK-UHFFFAOYSA-N 0 0 435.481 -0.857 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000542599574 1072745113 /nfs/dbraw/zinc/74/51/13/1072745113.db2.gz HZPQLCXFKZHJFK-UHFFFAOYSA-N 0 0 435.456 -0.274 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000542599586 1072745231 /nfs/dbraw/zinc/74/52/31/1072745231.db2.gz GCHUOMVVTJHRHL-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1C ZINC000542599600 1072745282 /nfs/dbraw/zinc/74/52/82/1072745282.db2.gz IFDWMLKRHDSSIJ-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(NC(=O)C3CC3)c2)CC1)N1CCOCC1 ZINC000542599671 1072746342 /nfs/dbraw/zinc/74/63/42/1072746342.db2.gz DTUZPXHYZJBRHH-UHFFFAOYSA-N 0 0 443.504 -0.023 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1 ZINC000542599733 1072746427 /nfs/dbraw/zinc/74/64/27/1072746427.db2.gz BIDAHBHLMXTQOG-ZDUSSCGKSA-N 0 0 448.505 -0.290 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CCN1S(C)(=O)=O ZINC000542599820 1072746318 /nfs/dbraw/zinc/74/63/18/1072746318.db2.gz DOGNKQVIIRPUFF-LBPRGKRZSA-N 0 0 444.535 -0.442 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(-n3ccnc3)c2)CC1)N1CCOCC1 ZINC000542599963 1072746358 /nfs/dbraw/zinc/74/63/58/1072746358.db2.gz HCUYHGSPWPAMPE-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N1CCN(CCO)CC1 ZINC000542600145 1072746385 /nfs/dbraw/zinc/74/63/85/1072746385.db2.gz HRIXQODZOALVDE-UHFFFAOYSA-N 0 0 447.536 -0.565 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000542600187 1072746232 /nfs/dbraw/zinc/74/62/32/1072746232.db2.gz DOQZSVNGDAUYKC-UHFFFAOYSA-N 0 0 425.463 -0.526 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CC3)cc2)CC1)N1CCOCC1 ZINC000542600196 1072746276 /nfs/dbraw/zinc/74/62/76/1072746276.db2.gz KYTFKAHLBGGSPQ-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000542600471 1072746296 /nfs/dbraw/zinc/74/62/96/1072746296.db2.gz ITVJNNMRVNJNLP-UHFFFAOYSA-N 0 0 442.538 -0.153 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(N3CCOCC3)c2)CC1)N1CCOCC1 ZINC000542600511 1072746253 /nfs/dbraw/zinc/74/62/53/1072746253.db2.gz JGCVLCJNUZUPGH-UHFFFAOYSA-N 0 0 445.520 -0.535 20 0 IBADRN Cn1nc(CC(C)(C)C)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000542600528 1072745052 /nfs/dbraw/zinc/74/50/52/1072745052.db2.gz JOSCMHOQCXTKGE-UHFFFAOYSA-N 0 0 434.541 -0.050 20 0 IBADRN COc1c(F)cc(F)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000542600632 1072746761 /nfs/dbraw/zinc/74/67/61/1072746761.db2.gz NMUWGKONWKYFKY-UHFFFAOYSA-N 0 0 426.420 -0.085 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000542600690 1072747675 /nfs/dbraw/zinc/74/76/75/1072747675.db2.gz MCJRPZAVWWQJGS-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000542600712 1072747363 /nfs/dbraw/zinc/74/73/63/1072747363.db2.gz MMGZTMFOJJDXAL-UHFFFAOYSA-N 0 0 449.483 -0.531 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)CC2)cc1 ZINC000542600897 1072746709 /nfs/dbraw/zinc/74/67/09/1072746709.db2.gz IFCKFTGKKZFMJN-AWEZNQCLSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)CC2)cc1 ZINC000542600908 1072747460 /nfs/dbraw/zinc/74/74/60/1072747460.db2.gz IFCKFTGKKZFMJN-CQSZACIVSA-N 0 0 428.511 -0.925 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(N3CCCC3=O)c2)CC1)N1CCOCC1 ZINC000542600976 1072746727 /nfs/dbraw/zinc/74/67/27/1072746727.db2.gz LLZZJEABMMSRQU-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000542600983 1072746836 /nfs/dbraw/zinc/74/68/36/1072746836.db2.gz OKZIWFYUAZWSTJ-UHFFFAOYSA-N 0 0 435.481 -0.952 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-c3ncon3)cc2)CC1)N1CCOCC1 ZINC000542600988 1072746947 /nfs/dbraw/zinc/74/69/47/1072746947.db2.gz LQPGQARPWKDFOY-UHFFFAOYSA-N 0 0 428.449 -0.322 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000542601023 1072746892 /nfs/dbraw/zinc/74/68/92/1072746892.db2.gz TVPHSKXGJAZLTR-GFCCVEGCSA-N 0 0 444.535 -0.513 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)O1 ZINC000542601052 1072746967 /nfs/dbraw/zinc/74/69/67/1072746967.db2.gz LNPKOFCSFRMQHM-BLLLJJGKSA-N 0 0 438.506 -0.301 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)O1 ZINC000542601059 1072746975 /nfs/dbraw/zinc/74/69/75/1072746975.db2.gz LNPKOFCSFRMQHM-LRDDRELGSA-N 0 0 438.506 -0.301 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)O1 ZINC000542601062 1072746816 /nfs/dbraw/zinc/74/68/16/1072746816.db2.gz LNPKOFCSFRMQHM-MLGOLLRUSA-N 0 0 438.506 -0.301 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)O1 ZINC000542601063 1072746736 /nfs/dbraw/zinc/74/67/36/1072746736.db2.gz LNPKOFCSFRMQHM-WBMJQRKESA-N 0 0 438.506 -0.301 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1C(=O)N(C)C ZINC000542601074 1072746826 /nfs/dbraw/zinc/74/68/26/1072746826.db2.gz LRHKGTJXFKKXFG-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21)N1CCN(CCO)CC1 ZINC000542601186 1072746842 /nfs/dbraw/zinc/74/68/42/1072746842.db2.gz NKWWOCCIOAHADO-IBGZPJMESA-N 0 0 430.505 -0.070 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21)N1CCN(CCO)CC1 ZINC000542601188 1072746995 /nfs/dbraw/zinc/74/69/95/1072746995.db2.gz NKWWOCCIOAHADO-LJQANCHMSA-N 0 0 430.505 -0.070 20 0 IBADRN CC(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000542601625 1072746749 /nfs/dbraw/zinc/74/67/49/1072746749.db2.gz WHJOMFMZKKTSAD-UHFFFAOYSA-N 0 0 445.520 -0.234 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000542602208 1072748939 /nfs/dbraw/zinc/74/89/39/1072748939.db2.gz YHJUEDOJWZHAKR-UHFFFAOYSA-N 0 0 447.492 -0.405 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1=O ZINC000542602564 1072753546 /nfs/dbraw/zinc/75/35/46/1072753546.db2.gz YOMCLQMHRHJGEJ-UHFFFAOYSA-N 0 0 428.449 -0.465 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)S(C)(=O)=O ZINC000542602686 1072754504 /nfs/dbraw/zinc/75/45/04/1072754504.db2.gz DYHCZGHNEBKGDS-UHFFFAOYSA-N 0 0 446.551 -0.265 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1 ZINC000542602949 1072754047 /nfs/dbraw/zinc/75/40/47/1072754047.db2.gz QBBRMTAZFITTSD-UHFFFAOYSA-N 0 0 444.492 -0.654 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000542603146 1072754042 /nfs/dbraw/zinc/75/40/42/1072754042.db2.gz AFTLYWQODIVBFA-GFCCVEGCSA-N 0 0 444.535 -0.513 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000542603147 1072753954 /nfs/dbraw/zinc/75/39/54/1072753954.db2.gz AFTLYWQODIVBFA-LBPRGKRZSA-N 0 0 444.535 -0.513 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccon3)CC2)c1 ZINC000542604248 1073330576 /nfs/dbraw/zinc/33/05/76/1073330576.db2.gz AUUXCOFMAORHPX-UHFFFAOYSA-N 0 0 428.448 -0.006 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000542604621 1072757893 /nfs/dbraw/zinc/75/78/93/1072757893.db2.gz AUEXFSREKNQWAE-UHFFFAOYSA-N 0 0 446.551 -0.265 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1 ZINC000542604980 1072757918 /nfs/dbraw/zinc/75/79/18/1072757918.db2.gz OJBGRUKNRVMSCH-UHFFFAOYSA-N 0 0 430.509 -0.181 20 0 IBADRN O=C(Nc1ccccc1CN1CCNC1=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000542605544 1072757512 /nfs/dbraw/zinc/75/75/12/1072757512.db2.gz VQRIDRBNNDGTOG-UHFFFAOYSA-N 0 0 442.476 -0.900 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)CC1 ZINC000542605775 1072758326 /nfs/dbraw/zinc/75/83/26/1072758326.db2.gz XUVYOKVZTVRAIU-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)N2CCCc3ccc(F)cc32)CC1 ZINC000542605832 1072757965 /nfs/dbraw/zinc/75/79/65/1072757965.db2.gz FKSUHWXPFUDANP-UHFFFAOYSA-N 0 0 430.436 -0.075 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCN1CCN(C(C)=O)CC1 ZINC000542606225 1072758012 /nfs/dbraw/zinc/75/80/12/1072758012.db2.gz CKAMWCSHGZDSPB-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000542606734 1072759880 /nfs/dbraw/zinc/75/98/80/1072759880.db2.gz HUEDBQBIYSJSFY-UHFFFAOYSA-N 0 0 446.551 -0.219 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CCO1 ZINC000542606922 1072759960 /nfs/dbraw/zinc/75/99/60/1072759960.db2.gz GFPBAAKCJGKERR-HNNXBMFYSA-N 0 0 428.449 -0.418 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CCO1 ZINC000542606925 1072759933 /nfs/dbraw/zinc/75/99/33/1072759933.db2.gz GFPBAAKCJGKERR-OAHLLOKOSA-N 0 0 428.449 -0.418 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)C1 ZINC000542607170 1072758815 /nfs/dbraw/zinc/75/88/15/1072758815.db2.gz KRVJNOPTGZCPRG-AWEZNQCLSA-N 0 0 437.522 -0.062 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)C1 ZINC000542607171 1072758851 /nfs/dbraw/zinc/75/88/51/1072758851.db2.gz KRVJNOPTGZCPRG-CQSZACIVSA-N 0 0 437.522 -0.062 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000542608045 1072772385 /nfs/dbraw/zinc/77/23/85/1072772385.db2.gz RNZXVYBEFQFMJR-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN O=C(CN1CCN2CCOC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000542624107 1073018610 /nfs/dbraw/zinc/01/86/10/1073018610.db2.gz BXTBDEUHTJLSLX-INIZCTEOSA-N 0 0 426.514 -0.325 20 0 IBADRN O=C(CN1CCN2CCOC[C@H]2C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000542624108 1073018936 /nfs/dbraw/zinc/01/89/36/1073018936.db2.gz BXTBDEUHTJLSLX-MRXNPFEDSA-N 0 0 426.514 -0.325 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3CCOC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000542624231 1073018221 /nfs/dbraw/zinc/01/82/21/1073018221.db2.gz IDRMKGLKABELOQ-GOSISDBHSA-N 0 0 438.550 -0.029 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3CCOC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000542624232 1073018325 /nfs/dbraw/zinc/01/83/25/1073018325.db2.gz IDRMKGLKABELOQ-SFHVURJKSA-N 0 0 438.550 -0.029 20 0 IBADRN O=C(CN1CCN2CCOC[C@H]2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000542624543 1073018098 /nfs/dbraw/zinc/01/80/98/1073018098.db2.gz NPRXQJDAYGKMBH-GOSISDBHSA-N 0 0 438.550 -0.660 20 0 IBADRN O=C(CN1CCN2CCOC[C@@H]2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000542624544 1073018266 /nfs/dbraw/zinc/01/82/66/1073018266.db2.gz NPRXQJDAYGKMBH-SFHVURJKSA-N 0 0 438.550 -0.660 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000542624678 1073022455 /nfs/dbraw/zinc/02/24/55/1073022455.db2.gz AJMMECQYJJVDAY-UHFFFAOYSA-N 0 0 439.490 -0.136 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N1CCOCC1 ZINC000542625069 1073020244 /nfs/dbraw/zinc/02/02/44/1073020244.db2.gz CZNGQOZIKZIKJN-INIZCTEOSA-N 0 0 438.506 -0.181 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N1CCOCC1 ZINC000542625072 1073020185 /nfs/dbraw/zinc/02/01/85/1073020185.db2.gz CZNGQOZIKZIKJN-MRXNPFEDSA-N 0 0 438.506 -0.181 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000542625592 1073022642 /nfs/dbraw/zinc/02/26/42/1073022642.db2.gz XPGOJKLRVRMRBF-UHFFFAOYSA-N 0 0 445.520 -0.217 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1 ZINC000542626054 1073033158 /nfs/dbraw/zinc/03/31/58/1073033158.db2.gz CFMJZYJFRWIEPW-HNNXBMFYSA-N 0 0 442.520 -0.038 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1 ZINC000542626060 1073032951 /nfs/dbraw/zinc/03/29/51/1073032951.db2.gz CFMJZYJFRWIEPW-OAHLLOKOSA-N 0 0 442.520 -0.038 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CCS1(=O)=O ZINC000542627153 1073036946 /nfs/dbraw/zinc/03/69/46/1073036946.db2.gz KKWAJTQDOJXEPQ-UHFFFAOYSA-N 0 0 429.520 -0.017 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC([C@@H](O)C(=O)OC)CC1 ZINC000542628132 1073037267 /nfs/dbraw/zinc/03/72/67/1073037267.db2.gz XFCYWAYKRLNASE-GOSISDBHSA-N 0 0 433.461 -0.067 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC([C@H](O)C(=O)OC)CC1 ZINC000542628139 1073037207 /nfs/dbraw/zinc/03/72/07/1073037207.db2.gz XFCYWAYKRLNASE-SFHVURJKSA-N 0 0 433.461 -0.067 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)c1 ZINC000542628217 1073036977 /nfs/dbraw/zinc/03/69/77/1073036977.db2.gz HVVLOIZOHNJVMG-AWEZNQCLSA-N 0 0 426.433 -0.130 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)c1 ZINC000542628224 1073036965 /nfs/dbraw/zinc/03/69/65/1073036965.db2.gz HVVLOIZOHNJVMG-CQSZACIVSA-N 0 0 426.433 -0.130 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)CN1CCOCC1 ZINC000542628937 1073037324 /nfs/dbraw/zinc/03/73/24/1073037324.db2.gz JNHNFAFJZISGGS-IBGZPJMESA-N 0 0 440.522 -0.735 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)CN1CCOCC1 ZINC000542628939 1073037296 /nfs/dbraw/zinc/03/72/96/1073037296.db2.gz JNHNFAFJZISGGS-LJQANCHMSA-N 0 0 440.522 -0.735 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000542629353 1073037679 /nfs/dbraw/zinc/03/76/79/1073037679.db2.gz WXZGAMLKPOXCFE-UHFFFAOYSA-N 0 0 435.510 -0.664 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000542629875 1073037984 /nfs/dbraw/zinc/03/79/84/1073037984.db2.gz UJILJRZNHHNPPD-GFCCVEGCSA-N 0 0 444.535 -0.513 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000542629876 1073038165 /nfs/dbraw/zinc/03/81/65/1073038165.db2.gz UJILJRZNHHNPPD-LBPRGKRZSA-N 0 0 444.535 -0.513 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccccc3CN3CCNC3=O)CC2=O)cn1 ZINC000542630475 1073037707 /nfs/dbraw/zinc/03/77/07/1073037707.db2.gz FQLGHWJWTIGUER-UHFFFAOYSA-N 0 0 425.449 -0.241 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3CCOC[C@@H]3C2)c(=O)[nH]c1=O ZINC000542630613 1073039686 /nfs/dbraw/zinc/03/96/86/1073039686.db2.gz KWMRQRGABNNZFP-HNNXBMFYSA-N 0 0 438.529 -0.673 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3CCOC[C@H]3C2)c(=O)[nH]c1=O ZINC000542630614 1073039888 /nfs/dbraw/zinc/03/98/88/1073039888.db2.gz KWMRQRGABNNZFP-OAHLLOKOSA-N 0 0 438.529 -0.673 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CCN(CC)C(=O)C2)c(=O)[nH]c1=O ZINC000542631322 1073038988 /nfs/dbraw/zinc/03/89/88/1073038988.db2.gz VWYBRWIJFBHPHG-AWEZNQCLSA-N 0 0 438.529 -0.137 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2CCN(CC)C(=O)C2)c(=O)[nH]c1=O ZINC000542631324 1073039020 /nfs/dbraw/zinc/03/90/20/1073039020.db2.gz VWYBRWIJFBHPHG-CQSZACIVSA-N 0 0 438.529 -0.137 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)CC2=O)cn1 ZINC000542631735 1073039695 /nfs/dbraw/zinc/03/96/95/1073039695.db2.gz VYYKKRVPELZZAY-UHFFFAOYSA-N 0 0 446.489 -0.444 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3CCOC[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000542632823 1073040455 /nfs/dbraw/zinc/04/04/55/1073040455.db2.gz MIGTZSSTDBRRSS-KRWDZBQOSA-N 0 0 427.505 -0.141 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3CCOC[C@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000542632825 1073040349 /nfs/dbraw/zinc/04/03/49/1073040349.db2.gz MIGTZSSTDBRRSS-QGZVFWFLSA-N 0 0 427.505 -0.141 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCC[C@H]3CS(N)(=O)=O)c2)CC1 ZINC000542633340 1073040197 /nfs/dbraw/zinc/04/01/97/1073040197.db2.gz DUXHYCBZMRGUKA-HNNXBMFYSA-N 0 0 445.567 -0.093 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCC[C@@H]3CS(N)(=O)=O)c2)CC1 ZINC000542633345 1073040308 /nfs/dbraw/zinc/04/03/08/1073040308.db2.gz DUXHYCBZMRGUKA-OAHLLOKOSA-N 0 0 445.567 -0.093 20 0 IBADRN O=C(CN1CCN2CCOC[C@@H]2C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000542634090 1073040428 /nfs/dbraw/zinc/04/04/28/1073040428.db2.gz XVJKXRXDXDQVKL-KRWDZBQOSA-N 0 0 426.514 -0.325 20 0 IBADRN O=C(CN1CCN2CCOC[C@H]2C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000542634093 1073040212 /nfs/dbraw/zinc/04/02/12/1073040212.db2.gz XVJKXRXDXDQVKL-QGZVFWFLSA-N 0 0 426.514 -0.325 20 0 IBADRN Cn1nnc2cc(C(=O)NC3CN(S(=O)(=O)c4ccc5c(c4)OCCO5)C3)cnc21 ZINC000542636183 1073044672 /nfs/dbraw/zinc/04/46/72/1073044672.db2.gz KIHUKGPIRXVRSE-UHFFFAOYSA-N 0 0 430.446 -0.063 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@@H]1CNC(=O)C1 ZINC000542639794 1073064374 /nfs/dbraw/zinc/06/43/74/1073064374.db2.gz KUDGWHFSEWKMRR-AAEUAGOBSA-N 0 0 437.927 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CNC(=O)C1 ZINC000542639795 1073064431 /nfs/dbraw/zinc/06/44/31/1073064431.db2.gz KUDGWHFSEWKMRR-DGCLKSJQSA-N 0 0 437.927 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@@H]1CNC(=O)C1 ZINC000542639796 1073064265 /nfs/dbraw/zinc/06/42/65/1073064265.db2.gz KUDGWHFSEWKMRR-WCQYABFASA-N 0 0 437.927 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CNC(=O)C1 ZINC000542639797 1073064122 /nfs/dbraw/zinc/06/41/22/1073064122.db2.gz KUDGWHFSEWKMRR-YPMHNXCESA-N 0 0 437.927 -0.574 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1 ZINC000542641619 1073065358 /nfs/dbraw/zinc/06/53/58/1073065358.db2.gz BZCHXVVLTXNAOW-UHFFFAOYSA-N 0 0 428.515 -0.332 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC1=O ZINC000542641969 1073066705 /nfs/dbraw/zinc/06/67/05/1073066705.db2.gz JAWKMNNZWBUFLP-UHFFFAOYSA-N 0 0 445.520 -0.011 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CC)C(=O)C1 ZINC000542644063 1073074842 /nfs/dbraw/zinc/07/48/42/1073074842.db2.gz UIZNYBYTWMHSGB-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC1=O ZINC000542644643 1073075327 /nfs/dbraw/zinc/07/53/27/1073075327.db2.gz IZNSJBWFWJGBEG-UHFFFAOYSA-N 0 0 428.493 -0.231 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)CC1=O ZINC000542644952 1073075307 /nfs/dbraw/zinc/07/53/07/1073075307.db2.gz MGJQHUSAFNDDFQ-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)CC1=O ZINC000542644953 1073075502 /nfs/dbraw/zinc/07/55/02/1073075502.db2.gz MGJQHUSAFNDDFQ-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(CC)C(=O)C2)cc(S(C)(=O)=O)c1 ZINC000542645321 1073075281 /nfs/dbraw/zinc/07/52/81/1073075281.db2.gz RSFMGGWEWHIWJF-UHFFFAOYSA-N 0 0 425.463 -0.104 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC(=O)N1 ZINC000542657299 1073082207 /nfs/dbraw/zinc/08/22/07/1073082207.db2.gz YZGZTIJYLBBIOW-CHWSQXEVSA-N 0 0 436.490 -0.235 20 0 IBADRN C[C@@H]1C[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC(=O)N1 ZINC000542657313 1073082329 /nfs/dbraw/zinc/08/23/29/1073082329.db2.gz YZGZTIJYLBBIOW-OLZOCXBDSA-N 0 0 436.490 -0.235 20 0 IBADRN C[C@H]1C[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC(=O)N1 ZINC000542657317 1073082166 /nfs/dbraw/zinc/08/21/66/1073082166.db2.gz YZGZTIJYLBBIOW-QWHCGFSZSA-N 0 0 436.490 -0.235 20 0 IBADRN C[C@H]1C[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC(=O)N1 ZINC000542657322 1073081930 /nfs/dbraw/zinc/08/19/30/1073081930.db2.gz YZGZTIJYLBBIOW-STQMWFEESA-N 0 0 436.490 -0.235 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000542663058 1073091169 /nfs/dbraw/zinc/09/11/69/1073091169.db2.gz PKCAAXPCTUXETF-UHFFFAOYSA-N 0 0 435.572 -0.425 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000542663709 1073091041 /nfs/dbraw/zinc/09/10/41/1073091041.db2.gz YWIPMQKRDGZYCG-UHFFFAOYSA-N 0 0 425.511 -0.633 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@]3(CO)CCOC3)CC2)cc1 ZINC000542669175 1073106295 /nfs/dbraw/zinc/10/62/95/1073106295.db2.gz XRYUKOSLDIUBCO-FQEVSTJZSA-N 0 0 439.534 -0.124 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@]3(CO)CCOC3)CC2)cc1 ZINC000542669178 1073106110 /nfs/dbraw/zinc/10/61/10/1073106110.db2.gz XRYUKOSLDIUBCO-HXUWFJFHSA-N 0 0 439.534 -0.124 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000542677747 1073134299 /nfs/dbraw/zinc/13/42/99/1073134299.db2.gz MLXIOLKQOPAQSR-CYBMUJFWSA-N 0 0 449.599 -0.118 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000542677748 1073134294 /nfs/dbraw/zinc/13/42/94/1073134294.db2.gz MLXIOLKQOPAQSR-ZDUSSCGKSA-N 0 0 449.599 -0.118 20 0 IBADRN CCOC(CNC(=O)c1cnc2c(c1)nnn2C)CNC(=O)c1cnc2c(c1)nnn2C ZINC000542680386 1073134207 /nfs/dbraw/zinc/13/42/07/1073134207.db2.gz KTNPSLYCANAOLT-UHFFFAOYSA-N 0 0 438.452 -0.395 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCc1ccc2c(c1)C(=O)NCC2 ZINC000542680883 1073134353 /nfs/dbraw/zinc/13/43/53/1073134353.db2.gz AAANYPRITZHENX-UHFFFAOYSA-N 0 0 430.442 -0.156 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000542681222 1073134280 /nfs/dbraw/zinc/13/42/80/1073134280.db2.gz FULFBYQXAMLNPC-UHFFFAOYSA-N 0 0 436.446 -0.169 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN3CCOC[C@@H]3C2)c1 ZINC000542684340 1073134817 /nfs/dbraw/zinc/13/48/17/1073134817.db2.gz KKTIBYQMGGFRMQ-KRWDZBQOSA-N 0 0 438.550 -0.010 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN3CCOC[C@H]3C2)c1 ZINC000542684341 1073134860 /nfs/dbraw/zinc/13/48/60/1073134860.db2.gz KKTIBYQMGGFRMQ-QGZVFWFLSA-N 0 0 438.550 -0.010 20 0 IBADRN COc1ccc(CC(=O)N2CCN3CCOC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000542684374 1073134770 /nfs/dbraw/zinc/13/47/70/1073134770.db2.gz LFFZJVZZMUUVCE-KRWDZBQOSA-N 0 0 439.534 -0.198 20 0 IBADRN COc1ccc(CC(=O)N2CCN3CCOC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000542684375 1073134762 /nfs/dbraw/zinc/13/47/62/1073134762.db2.gz LFFZJVZZMUUVCE-QGZVFWFLSA-N 0 0 439.534 -0.198 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)N3CCN(c4nccn(C)c4=O)CC3)ccn2)CC1 ZINC000542687015 1073134742 /nfs/dbraw/zinc/13/47/42/1073134742.db2.gz XPKVRUJZPQGDLZ-UHFFFAOYSA-N 0 0 440.508 -0.083 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)NCCN3C(=O)NC4(CCCC4)C3=O)C2)c1=O ZINC000542699520 1073136528 /nfs/dbraw/zinc/13/65/28/1073136528.db2.gz JZKPGDIBOHBTMC-AWEZNQCLSA-N 0 0 431.497 -0.087 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)NCCN3C(=O)NC4(CCCC4)C3=O)C2)c1=O ZINC000542699521 1073136426 /nfs/dbraw/zinc/13/64/26/1073136426.db2.gz JZKPGDIBOHBTMC-CQSZACIVSA-N 0 0 431.497 -0.087 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000542705728 1073136573 /nfs/dbraw/zinc/13/65/73/1073136573.db2.gz BUASMGBSCYXAKQ-UHFFFAOYSA-N 0 0 445.567 -0.126 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@H]3CS(N)(=O)=O)cn2)C[C@H](C)O1 ZINC000542706600 1073136626 /nfs/dbraw/zinc/13/66/26/1073136626.db2.gz GHEKHEPJJXFTCA-GUTXKFCHSA-N 0 0 428.515 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@H]3CS(N)(=O)=O)cn2)C[C@@H](C)O1 ZINC000542706601 1073136355 /nfs/dbraw/zinc/13/63/55/1073136355.db2.gz GHEKHEPJJXFTCA-NFAWXSAZSA-N 0 0 428.515 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@@H]3CS(N)(=O)=O)cn2)C[C@@H](C)O1 ZINC000542706602 1073137216 /nfs/dbraw/zinc/13/72/16/1073137216.db2.gz GHEKHEPJJXFTCA-UMVBOHGHSA-N 0 0 428.515 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@@H]3CS(N)(=O)=O)cn2)C[C@H](C)O1 ZINC000542706603 1073137275 /nfs/dbraw/zinc/13/72/75/1073137275.db2.gz GHEKHEPJJXFTCA-VNHYZAJKSA-N 0 0 428.515 -0.196 20 0 IBADRN Cc1nnc(C(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)s1 ZINC000542712527 1073137246 /nfs/dbraw/zinc/13/72/46/1073137246.db2.gz SDKHUBVEPNLUPM-UHFFFAOYSA-N 0 0 431.521 -0.359 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCN2CCOC[C@@H]2C1 ZINC000542714275 1073137746 /nfs/dbraw/zinc/13/77/46/1073137746.db2.gz YMHXVGKXYSWFQB-KRWDZBQOSA-N 0 0 438.554 -0.741 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCN2CCOC[C@H]2C1 ZINC000542714278 1073137814 /nfs/dbraw/zinc/13/78/14/1073137814.db2.gz YMHXVGKXYSWFQB-QGZVFWFLSA-N 0 0 438.554 -0.741 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000542721122 1073138279 /nfs/dbraw/zinc/13/82/79/1073138279.db2.gz KEFJHIXFAKYGON-UHFFFAOYSA-N 0 0 439.538 -0.079 20 0 IBADRN CN1CC(=O)N(Cc2ccc(C(N)=O)cc2NCC(=O)NC(=O)NCC(F)(F)F)C1=O ZINC000542727596 1073138430 /nfs/dbraw/zinc/13/84/30/1073138430.db2.gz JUQPEPKSKFVDCG-UHFFFAOYSA-N 0 0 444.370 -0.021 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000542729933 1073139115 /nfs/dbraw/zinc/13/91/15/1073139115.db2.gz PQBROPWOSFMOCL-UHFFFAOYSA-N 0 0 440.482 -0.372 20 0 IBADRN Cn1ccnc(NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)c1=O ZINC000542734168 1073139003 /nfs/dbraw/zinc/13/90/03/1073139003.db2.gz NTSXIUBFUCEWJL-UHFFFAOYSA-N 0 0 448.461 -0.350 20 0 IBADRN Cn1ccnc(NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)c1=O ZINC000542735289 1073138995 /nfs/dbraw/zinc/13/89/95/1073138995.db2.gz USXBIJNOVIFLQB-UHFFFAOYSA-N 0 0 432.462 -0.187 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000542736441 1073138975 /nfs/dbraw/zinc/13/89/75/1073138975.db2.gz YAGUJTFYVCCKOM-UHFFFAOYSA-N 0 0 435.510 -0.282 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000542739594 1073139030 /nfs/dbraw/zinc/13/90/30/1073139030.db2.gz MACOHMRNAYBUFN-UHFFFAOYSA-N 0 0 425.554 -0.326 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CCC3)CC1 ZINC000542743945 1073138886 /nfs/dbraw/zinc/13/88/86/1073138886.db2.gz LYTNHEDODARTMT-UHFFFAOYSA-N 0 0 442.563 -0.493 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CCC2 ZINC000542750140 1073138965 /nfs/dbraw/zinc/13/89/65/1073138965.db2.gz HHUXVLQPMJXRIN-INIZCTEOSA-N 0 0 434.518 -0.073 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CCC2 ZINC000542750141 1073139024 /nfs/dbraw/zinc/13/90/24/1073139024.db2.gz HHUXVLQPMJXRIN-MRXNPFEDSA-N 0 0 434.518 -0.073 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCC[C@@H](CNS(N)(=O)=O)C3)ccc2O1 ZINC000542754528 1073139038 /nfs/dbraw/zinc/13/90/38/1073139038.db2.gz DTCZROSXCQAXME-BLLLJJGKSA-N 0 0 447.535 -0.253 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCC[C@@H](CNS(N)(=O)=O)C3)ccc2O1 ZINC000542754529 1073138935 /nfs/dbraw/zinc/13/89/35/1073138935.db2.gz DTCZROSXCQAXME-LRDDRELGSA-N 0 0 447.535 -0.253 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCC[C@H](CNS(N)(=O)=O)C3)ccc2O1 ZINC000542754530 1073139129 /nfs/dbraw/zinc/13/91/29/1073139129.db2.gz DTCZROSXCQAXME-MLGOLLRUSA-N 0 0 447.535 -0.253 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCC[C@H](CNS(N)(=O)=O)C3)ccc2O1 ZINC000542754531 1073138913 /nfs/dbraw/zinc/13/89/13/1073138913.db2.gz DTCZROSXCQAXME-WBMJQRKESA-N 0 0 447.535 -0.253 20 0 IBADRN CC(C)[C@@H](C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)N1CCCS1(=O)=O ZINC000542763167 1073139846 /nfs/dbraw/zinc/13/98/46/1073139846.db2.gz NNWPHGHLUDSICC-KRWDZBQOSA-N 0 0 447.579 -0.024 20 0 IBADRN CC(C)[C@H](C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)N1CCCS1(=O)=O ZINC000542763168 1073139559 /nfs/dbraw/zinc/13/95/59/1073139559.db2.gz NNWPHGHLUDSICC-QGZVFWFLSA-N 0 0 447.579 -0.024 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CS(=O)(=O)c3cccc(Br)c3)C2)nn1 ZINC000542765249 1073140448 /nfs/dbraw/zinc/14/04/48/1073140448.db2.gz SJDIQRHHVLKXGY-UHFFFAOYSA-N 0 0 428.268 -0.003 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)nn1 ZINC000542770401 1073140320 /nfs/dbraw/zinc/14/03/20/1073140320.db2.gz SNFSCZZRSAXLNT-UHFFFAOYSA-N 0 0 446.555 -0.210 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000542779856 1073141098 /nfs/dbraw/zinc/14/10/98/1073141098.db2.gz FBHYQRPBHOSANJ-HNNXBMFYSA-N 0 0 439.490 -0.015 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000542779857 1073140896 /nfs/dbraw/zinc/14/08/96/1073140896.db2.gz FBHYQRPBHOSANJ-OAHLLOKOSA-N 0 0 439.490 -0.015 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCS(=O)(=O)NC(C)(C)C)[C@H](C)O)cc1 ZINC000542781874 1073141512 /nfs/dbraw/zinc/14/15/12/1073141512.db2.gz FJZYCPFXHYJJMF-DZGCQCFKSA-N 0 0 435.568 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCS(=O)(=O)NC(C)(C)C)[C@@H](C)O)cc1 ZINC000542781875 1073141464 /nfs/dbraw/zinc/14/14/64/1073141464.db2.gz FJZYCPFXHYJJMF-HIFRSBDPSA-N 0 0 435.568 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCS(=O)(=O)NC(C)(C)C)[C@@H](C)O)cc1 ZINC000542781876 1073141435 /nfs/dbraw/zinc/14/14/35/1073141435.db2.gz FJZYCPFXHYJJMF-UKRRQHHQSA-N 0 0 435.568 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCS(=O)(=O)NC(C)(C)C)[C@H](C)O)cc1 ZINC000542781877 1073141378 /nfs/dbraw/zinc/14/13/78/1073141378.db2.gz FJZYCPFXHYJJMF-ZFWWWQNUSA-N 0 0 435.568 -0.143 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000542782917 1073141444 /nfs/dbraw/zinc/14/14/44/1073141444.db2.gz UAIHDTHIJSXIBK-INIZCTEOSA-N 0 0 431.536 -0.471 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000542782918 1073141417 /nfs/dbraw/zinc/14/14/17/1073141417.db2.gz UAIHDTHIJSXIBK-MRXNPFEDSA-N 0 0 431.536 -0.471 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000542783038 1073141544 /nfs/dbraw/zinc/14/15/44/1073141544.db2.gz XSSYXOXUUSRSFX-CYBMUJFWSA-N 0 0 434.540 -0.468 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000542783040 1073141487 /nfs/dbraw/zinc/14/14/87/1073141487.db2.gz XSSYXOXUUSRSFX-ZDUSSCGKSA-N 0 0 434.540 -0.468 20 0 IBADRN Cc1nn(CCC(=O)N2CCN(C)C(=O)C2)c(C)c1CCC(=O)N1CCN(C)C(=O)C1 ZINC000542786430 1073141532 /nfs/dbraw/zinc/14/15/32/1073141532.db2.gz BBYUPHOISFTDJX-UHFFFAOYSA-N 0 0 432.525 -0.576 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC(=O)NC(C)C)CC2)[C@@H](C)O)cc1 ZINC000542787574 1073141473 /nfs/dbraw/zinc/14/14/73/1073141473.db2.gz TVPQUUHAOUJGSC-APWZRJJASA-N 0 0 440.566 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC(=O)NC(C)C)CC2)[C@H](C)O)cc1 ZINC000542787579 1073141390 /nfs/dbraw/zinc/14/13/90/1073141390.db2.gz TVPQUUHAOUJGSC-LPHOPBHVSA-N 0 0 440.566 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CC(=O)NC(C)C)CC2)[C@H](C)O)cc1 ZINC000542787580 1073141481 /nfs/dbraw/zinc/14/14/81/1073141481.db2.gz TVPQUUHAOUJGSC-QFBILLFUSA-N 0 0 440.566 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CC(=O)NC(C)C)CC2)[C@@H](C)O)cc1 ZINC000542787581 1073141361 /nfs/dbraw/zinc/14/13/61/1073141361.db2.gz TVPQUUHAOUJGSC-VQIMIIECSA-N 0 0 440.566 -0.308 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)[C@H]1CCCN(C(=O)c2ccccc2)C1 ZINC000542790251 1073141521 /nfs/dbraw/zinc/14/15/21/1073141521.db2.gz IZPZPJSKCLNTGK-DLBZAZTESA-N 0 0 436.534 -0.052 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccccc2)C1 ZINC000542790252 1073142179 /nfs/dbraw/zinc/14/21/79/1073142179.db2.gz IZPZPJSKCLNTGK-IAGOWNOFSA-N 0 0 436.534 -0.052 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)[C@H]1CCCN(C(=O)c2ccccc2)C1 ZINC000542790253 1073142127 /nfs/dbraw/zinc/14/21/27/1073142127.db2.gz IZPZPJSKCLNTGK-IRXDYDNUSA-N 0 0 436.534 -0.052 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccccc2)C1 ZINC000542790254 1073142150 /nfs/dbraw/zinc/14/21/50/1073142150.db2.gz IZPZPJSKCLNTGK-SJORKVTESA-N 0 0 436.534 -0.052 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)[C@H]2CCCN(C(=O)c3ccccc3)C2)CC1 ZINC000542792537 1073142240 /nfs/dbraw/zinc/14/22/40/1073142240.db2.gz KNXGSNTZNRIEPQ-KRWDZBQOSA-N 0 0 444.579 -0.473 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)[C@@H]2CCCN(C(=O)c3ccccc3)C2)CC1 ZINC000542792540 1073142154 /nfs/dbraw/zinc/14/21/54/1073142154.db2.gz KNXGSNTZNRIEPQ-QGZVFWFLSA-N 0 0 444.579 -0.473 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@@H](C)N4C(=O)CCC4=O)CC3)C2=O)n(C)n1 ZINC000542807363 1073145503 /nfs/dbraw/zinc/14/55/03/1073145503.db2.gz BCBCYUKNRQNRII-CVEARBPZSA-N 0 0 430.509 -0.094 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)[C@H](C)N4C(=O)CCC4=O)CC3)C2=O)n(C)n1 ZINC000542807364 1073145432 /nfs/dbraw/zinc/14/54/32/1073145432.db2.gz BCBCYUKNRQNRII-HOTGVXAUSA-N 0 0 430.509 -0.094 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)[C@@H](C)N4C(=O)CCC4=O)CC3)C2=O)n(C)n1 ZINC000542807365 1073145459 /nfs/dbraw/zinc/14/54/59/1073145459.db2.gz BCBCYUKNRQNRII-HZPDHXFCSA-N 0 0 430.509 -0.094 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)[C@H](C)N4C(=O)CCC4=O)CC3)C2=O)n(C)n1 ZINC000542807366 1073145464 /nfs/dbraw/zinc/14/54/64/1073145464.db2.gz BCBCYUKNRQNRII-JKSUJKDBSA-N 0 0 430.509 -0.094 20 0 IBADRN O=C(Nc1cccnc1N1CCCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000542817534 1073145981 /nfs/dbraw/zinc/14/59/81/1073145981.db2.gz UVHKWESSBUGSNJ-UHFFFAOYSA-N 0 0 428.493 -0.040 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)C1 ZINC000542831642 1073146923 /nfs/dbraw/zinc/14/69/23/1073146923.db2.gz DRHNRFTZNGPJIM-LLVKDONJSA-N 0 0 443.478 -0.031 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)OC)C1 ZINC000542831646 1073146934 /nfs/dbraw/zinc/14/69/34/1073146934.db2.gz DRHNRFTZNGPJIM-NSHDSACASA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000542835449 1073146896 /nfs/dbraw/zinc/14/68/96/1073146896.db2.gz GAWVMYXDTYXZPG-UHFFFAOYSA-N 0 0 430.417 -0.769 20 0 IBADRN Cc1cc2c(cc1NC(=O)C(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)OCO2 ZINC000542835505 1073146932 /nfs/dbraw/zinc/14/69/32/1073146932.db2.gz KNXAGFQXCKRGPQ-UHFFFAOYSA-N 0 0 430.417 -0.518 20 0 IBADRN COC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000542835678 1073146974 /nfs/dbraw/zinc/14/69/74/1073146974.db2.gz NSCGLFNGHBAJKE-UHFFFAOYSA-N 0 0 448.407 -0.629 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC000542842081 1073146925 /nfs/dbraw/zinc/14/69/25/1073146925.db2.gz VKRYXKHDRCRYRW-GFCCVEGCSA-N 0 0 427.479 -0.202 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O ZINC000542842082 1073146910 /nfs/dbraw/zinc/14/69/10/1073146910.db2.gz VKRYXKHDRCRYRW-LBPRGKRZSA-N 0 0 427.479 -0.202 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)C1 ZINC000542842117 1073146917 /nfs/dbraw/zinc/14/69/17/1073146917.db2.gz WMBBJSIJDOVESB-CYBMUJFWSA-N 0 0 437.478 -0.247 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)C1 ZINC000542842118 1073147677 /nfs/dbraw/zinc/14/76/77/1073147677.db2.gz WMBBJSIJDOVESB-ZDUSSCGKSA-N 0 0 437.478 -0.247 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCN2CCNC(=O)C2)c(OC)c1 ZINC000542842640 1073147632 /nfs/dbraw/zinc/14/76/32/1073147632.db2.gz UGGGQMNJCMDQAB-UHFFFAOYSA-N 0 0 430.465 -0.565 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cc1 ZINC000542844664 1073147667 /nfs/dbraw/zinc/14/76/67/1073147667.db2.gz LIHCKKQZBXXGDA-CYBMUJFWSA-N 0 0 446.551 -0.942 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cc1 ZINC000542844665 1073147538 /nfs/dbraw/zinc/14/75/38/1073147538.db2.gz LIHCKKQZBXXGDA-ZDUSSCGKSA-N 0 0 446.551 -0.942 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000542845460 1073147490 /nfs/dbraw/zinc/14/74/90/1073147490.db2.gz CKBJOFVNAHBFKR-CYBMUJFWSA-N 0 0 432.524 -0.575 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000542845461 1073147600 /nfs/dbraw/zinc/14/76/00/1073147600.db2.gz CKBJOFVNAHBFKR-ZDUSSCGKSA-N 0 0 432.524 -0.575 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)CCO1 ZINC000542845476 1073147506 /nfs/dbraw/zinc/14/75/06/1073147506.db2.gz DHGWANHOJCEXKI-LLVKDONJSA-N 0 0 434.268 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)CCO1 ZINC000542845477 1073147707 /nfs/dbraw/zinc/14/77/07/1073147707.db2.gz DHGWANHOJCEXKI-NSHDSACASA-N 0 0 434.268 -0.085 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCNC(=O)[C@H]2c2ccccc2C(F)(F)F)c(=O)n(C)c1=O ZINC000542847289 1073147673 /nfs/dbraw/zinc/14/76/73/1073147673.db2.gz QDLMSMUKMVXVNL-CYBMUJFWSA-N 0 0 446.407 -0.035 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2c2ccccc2C(F)(F)F)c(=O)n(C)c1=O ZINC000542847293 1073147681 /nfs/dbraw/zinc/14/76/81/1073147681.db2.gz QDLMSMUKMVXVNL-ZDUSSCGKSA-N 0 0 446.407 -0.035 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)CCO1 ZINC000542848890 1073147444 /nfs/dbraw/zinc/14/74/44/1073147444.db2.gz IHNBLNWKZDZBTE-GFCCVEGCSA-N 0 0 447.311 -0.225 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)CCO1 ZINC000542848891 1073147596 /nfs/dbraw/zinc/14/75/96/1073147596.db2.gz IHNBLNWKZDZBTE-LBPRGKRZSA-N 0 0 447.311 -0.225 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCO[C@H](C(=O)NC)C2)c(OC)c1 ZINC000542850272 1073147687 /nfs/dbraw/zinc/14/76/87/1073147687.db2.gz WMRMIUZJTXFHGM-AWEZNQCLSA-N 0 0 435.524 -0.018 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCO[C@@H](C(=O)NC)C2)c(OC)c1 ZINC000542850287 1073148212 /nfs/dbraw/zinc/14/82/12/1073148212.db2.gz WMRMIUZJTXFHGM-CQSZACIVSA-N 0 0 435.524 -0.018 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000542852286 1073148196 /nfs/dbraw/zinc/14/81/96/1073148196.db2.gz XUDSTFYCPHWPEK-UHFFFAOYSA-N 0 0 436.542 -0.106 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1 ZINC000542873057 1073148551 /nfs/dbraw/zinc/14/85/51/1073148551.db2.gz GTCDVXCQACXQOM-CHWSQXEVSA-N 0 0 448.567 -0.313 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1 ZINC000542873058 1073148487 /nfs/dbraw/zinc/14/84/87/1073148487.db2.gz GTCDVXCQACXQOM-OLZOCXBDSA-N 0 0 448.567 -0.313 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1 ZINC000542873059 1073148582 /nfs/dbraw/zinc/14/85/82/1073148582.db2.gz GTCDVXCQACXQOM-QWHCGFSZSA-N 0 0 448.567 -0.313 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1 ZINC000542873060 1073148573 /nfs/dbraw/zinc/14/85/73/1073148573.db2.gz GTCDVXCQACXQOM-STQMWFEESA-N 0 0 448.567 -0.313 20 0 IBADRN CCOc1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000542873161 1073148122 /nfs/dbraw/zinc/14/81/22/1073148122.db2.gz CZOXBHJNGNWDGX-UHFFFAOYSA-N 0 0 434.540 -0.200 20 0 IBADRN NC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)n1 ZINC000542873180 1073148022 /nfs/dbraw/zinc/14/80/22/1073148022.db2.gz QWOQIJLKWZNUEN-UHFFFAOYSA-N 0 0 430.446 -0.091 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1 ZINC000542873853 1073148499 /nfs/dbraw/zinc/14/84/99/1073148499.db2.gz XEBWKMCDHFDOJO-GFCCVEGCSA-N 0 0 434.540 -0.874 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1 ZINC000542873854 1073148446 /nfs/dbraw/zinc/14/84/46/1073148446.db2.gz XEBWKMCDHFDOJO-LBPRGKRZSA-N 0 0 434.540 -0.874 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CC(=O)N(C)[C@@H]1c1ccc(Cl)c(F)c1)S(N)(=O)=O ZINC000542875158 1073148454 /nfs/dbraw/zinc/14/84/54/1073148454.db2.gz FUKZWILIHFHZSJ-CWONEXCFSA-N 0 0 434.877 -0.340 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CC(=O)N(C)[C@@H]1c1ccc(Cl)c(F)c1)S(N)(=O)=O ZINC000542875162 1073148536 /nfs/dbraw/zinc/14/85/36/1073148536.db2.gz FUKZWILIHFHZSJ-IHPUGEDLSA-N 0 0 434.877 -0.340 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CC(=O)N(C)[C@H]1c1ccc(Cl)c(F)c1)S(N)(=O)=O ZINC000542875163 1073148511 /nfs/dbraw/zinc/14/85/11/1073148511.db2.gz FUKZWILIHFHZSJ-RGZBUGHASA-N 0 0 434.877 -0.340 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CC(=O)N(C)[C@H]1c1ccc(Cl)c(F)c1)S(N)(=O)=O ZINC000542875164 1073148587 /nfs/dbraw/zinc/14/85/87/1073148587.db2.gz FUKZWILIHFHZSJ-XOZVTBISSA-N 0 0 434.877 -0.340 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C2)c1 ZINC000542875506 1073148545 /nfs/dbraw/zinc/14/85/45/1073148545.db2.gz LISSNNBAYGQBAW-CHWSQXEVSA-N 0 0 428.511 -0.561 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C2)c1 ZINC000542875507 1073148494 /nfs/dbraw/zinc/14/84/94/1073148494.db2.gz LISSNNBAYGQBAW-OLZOCXBDSA-N 0 0 428.511 -0.561 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C2)c1 ZINC000542875508 1073148504 /nfs/dbraw/zinc/14/85/04/1073148504.db2.gz LISSNNBAYGQBAW-QWHCGFSZSA-N 0 0 428.511 -0.561 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C2)c1 ZINC000542875509 1073148467 /nfs/dbraw/zinc/14/84/67/1073148467.db2.gz LISSNNBAYGQBAW-STQMWFEESA-N 0 0 428.511 -0.561 20 0 IBADRN COc1cc2c(c(OC)c1OC)CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2 ZINC000542875736 1073148434 /nfs/dbraw/zinc/14/84/34/1073148434.db2.gz QDBKDSPODXMWMI-LLVKDONJSA-N 0 0 429.495 -0.567 20 0 IBADRN COc1cc2c(c(OC)c1OC)CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2 ZINC000542875737 1073148540 /nfs/dbraw/zinc/14/85/40/1073148540.db2.gz QDBKDSPODXMWMI-NSHDSACASA-N 0 0 429.495 -0.567 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000542876178 1073148960 /nfs/dbraw/zinc/14/89/60/1073148960.db2.gz AOZXJYJEMMPOPZ-AWEZNQCLSA-N 0 0 426.499 -0.840 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000542876183 1073149119 /nfs/dbraw/zinc/14/91/19/1073149119.db2.gz AOZXJYJEMMPOPZ-CQSZACIVSA-N 0 0 426.499 -0.840 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C2)cc(OC)c1 ZINC000542876918 1073149104 /nfs/dbraw/zinc/14/91/04/1073149104.db2.gz GSGJXLDZCTVWMH-GXTWGEPZSA-N 0 0 428.511 -0.813 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)C2)cc(OC)c1 ZINC000542876919 1073149020 /nfs/dbraw/zinc/14/90/20/1073149020.db2.gz GSGJXLDZCTVWMH-JSGCOSHPSA-N 0 0 428.511 -0.813 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C2)cc(OC)c1 ZINC000542876920 1073148984 /nfs/dbraw/zinc/14/89/84/1073148984.db2.gz GSGJXLDZCTVWMH-OCCSQVGLSA-N 0 0 428.511 -0.813 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)C2)cc(OC)c1 ZINC000542876921 1073149088 /nfs/dbraw/zinc/14/90/88/1073149088.db2.gz GSGJXLDZCTVWMH-TZMCWYRMSA-N 0 0 428.511 -0.813 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000542877159 1073148956 /nfs/dbraw/zinc/14/89/56/1073148956.db2.gz LWIQYYZQANBMPH-UHFFFAOYSA-N 0 0 427.220 -0.357 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)NCCn2ncnn2)c(OC)c1 ZINC000542877891 1073148974 /nfs/dbraw/zinc/14/89/74/1073148974.db2.gz XTAKTRGEWYHWOW-UHFFFAOYSA-N 0 0 430.425 -0.301 20 0 IBADRN CO[C@H](C)c1nc(CN2CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cs1 ZINC000542878705 1073148930 /nfs/dbraw/zinc/14/89/30/1073148930.db2.gz VNBTYMOUAJFUQO-CHWSQXEVSA-N 0 0 447.583 -0.322 20 0 IBADRN CO[C@@H](C)c1nc(CN2CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cs1 ZINC000542878709 1073148925 /nfs/dbraw/zinc/14/89/25/1073148925.db2.gz VNBTYMOUAJFUQO-OLZOCXBDSA-N 0 0 447.583 -0.322 20 0 IBADRN CO[C@H](C)c1nc(CN2CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cs1 ZINC000542878710 1073149015 /nfs/dbraw/zinc/14/90/15/1073149015.db2.gz VNBTYMOUAJFUQO-QWHCGFSZSA-N 0 0 447.583 -0.322 20 0 IBADRN CO[C@@H](C)c1nc(CN2CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cs1 ZINC000542878711 1073149038 /nfs/dbraw/zinc/14/90/38/1073149038.db2.gz VNBTYMOUAJFUQO-STQMWFEESA-N 0 0 447.583 -0.322 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000542881209 1073152010 /nfs/dbraw/zinc/15/20/10/1073152010.db2.gz OPWCEGGPELUMHZ-BHYGNILZSA-N 0 0 426.539 -0.425 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000542881212 1073152100 /nfs/dbraw/zinc/15/21/00/1073152100.db2.gz OPWCEGGPELUMHZ-HYVNUMGLSA-N 0 0 426.539 -0.425 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000542881214 1073152092 /nfs/dbraw/zinc/15/20/92/1073152092.db2.gz OPWCEGGPELUMHZ-PVAVHDDUSA-N 0 0 426.539 -0.425 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000542881216 1073152059 /nfs/dbraw/zinc/15/20/59/1073152059.db2.gz OPWCEGGPELUMHZ-XIRDDKMYSA-N 0 0 426.539 -0.425 20 0 IBADRN NS(=O)(=O)CCNC(=O)C(=O)Nc1cc(F)c(F)cc1I ZINC000542881322 1073151531 /nfs/dbraw/zinc/15/15/31/1073151531.db2.gz QFQXMYOUARANOU-UHFFFAOYSA-N 0 0 433.174 -0.087 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CCO1 ZINC000542881761 1073151596 /nfs/dbraw/zinc/15/15/96/1073151596.db2.gz LCIPYEQZQNBSCD-MRVPVSSYSA-N 0 0 426.314 -0.238 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CCO1 ZINC000542881762 1073151556 /nfs/dbraw/zinc/15/15/56/1073151556.db2.gz LCIPYEQZQNBSCD-QMMMGPOBSA-N 0 0 426.314 -0.238 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCN(C(=O)c2cccc(Cl)c2)CC1)S(N)(=O)=O ZINC000542885334 1073152984 /nfs/dbraw/zinc/15/29/84/1073152984.db2.gz DCJCRXFBXXCHPF-GFCCVEGCSA-N 0 0 430.914 -0.192 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCN(C(=O)c2cccc(Cl)c2)CC1)S(N)(=O)=O ZINC000542885335 1073153066 /nfs/dbraw/zinc/15/30/66/1073153066.db2.gz DCJCRXFBXXCHPF-LBPRGKRZSA-N 0 0 430.914 -0.192 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1nnc(-c2ccc(Br)cc2)[nH]1)S(N)(=O)=O ZINC000542885416 1073152945 /nfs/dbraw/zinc/15/29/45/1073152945.db2.gz HQLGSJQCOBKFBC-MRVPVSSYSA-N 0 0 445.299 -0.356 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1nnc(-c2ccc(Br)cc2)[nH]1)S(N)(=O)=O ZINC000542885417 1073152930 /nfs/dbraw/zinc/15/29/30/1073152930.db2.gz HQLGSJQCOBKFBC-QMMMGPOBSA-N 0 0 445.299 -0.356 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCc1ccc(I)s1)S(N)(=O)=O ZINC000542885461 1073153058 /nfs/dbraw/zinc/15/30/58/1073153058.db2.gz KPIHGYFJWUCITE-SSDOTTSWSA-N 0 0 445.304 -0.195 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCc1ccc(I)s1)S(N)(=O)=O ZINC000542885462 1073152925 /nfs/dbraw/zinc/15/29/25/1073152925.db2.gz KPIHGYFJWUCITE-ZETCQYMHSA-N 0 0 445.304 -0.195 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccc(Br)cc2)C1)S(N)(=O)=O ZINC000542887400 1073153494 /nfs/dbraw/zinc/15/34/94/1073153494.db2.gz DXYINVHTVDJEDX-CMPLNLGQSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccc(Br)cc2)C1)S(N)(=O)=O ZINC000542887401 1073153049 /nfs/dbraw/zinc/15/30/49/1073153049.db2.gz DXYINVHTVDJEDX-JQWIXIFHSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccc(Br)cc2)C1)S(N)(=O)=O ZINC000542887402 1073152956 /nfs/dbraw/zinc/15/29/56/1073152956.db2.gz DXYINVHTVDJEDX-PWSUYJOCSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccc(Br)cc2)C1)S(N)(=O)=O ZINC000542887403 1073153465 /nfs/dbraw/zinc/15/34/65/1073153465.db2.gz DXYINVHTVDJEDX-ZYHUDNBSSA-N 0 0 433.328 -0.063 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1cc(Br)c2c(c1)OCCO2)S(N)(=O)=O ZINC000542887404 1073153487 /nfs/dbraw/zinc/15/34/87/1073153487.db2.gz DYWBGFKRFQLEKL-MRVPVSSYSA-N 0 0 436.284 -0.370 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1cc(Br)c2c(c1)OCCO2)S(N)(=O)=O ZINC000542887405 1073153481 /nfs/dbraw/zinc/15/34/81/1073153481.db2.gz DYWBGFKRFQLEKL-QMMMGPOBSA-N 0 0 436.284 -0.370 20 0 IBADRN Cc1cccc(O[C@@H](C)C(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)c1 ZINC000542887610 1073152954 /nfs/dbraw/zinc/15/29/54/1073152954.db2.gz KUQPDZLZFVNJAD-CABCVRRESA-N 0 0 440.522 -0.774 20 0 IBADRN Cc1cccc(O[C@@H](C)C(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)c1 ZINC000542887616 1073153453 /nfs/dbraw/zinc/15/34/53/1073153453.db2.gz KUQPDZLZFVNJAD-GJZGRUSLSA-N 0 0 440.522 -0.774 20 0 IBADRN Cc1cccc(O[C@H](C)C(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)c1 ZINC000542887617 1073153496 /nfs/dbraw/zinc/15/34/96/1073153496.db2.gz KUQPDZLZFVNJAD-HUUCEWRRSA-N 0 0 440.522 -0.774 20 0 IBADRN Cc1cccc(O[C@H](C)C(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)c1 ZINC000542887618 1073153489 /nfs/dbraw/zinc/15/34/89/1073153489.db2.gz KUQPDZLZFVNJAD-LSDHHAIUSA-N 0 0 440.522 -0.774 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)ccc1=O ZINC000542887675 1073153446 /nfs/dbraw/zinc/15/34/46/1073153446.db2.gz ALWBSCHTNUPYOC-UHFFFAOYSA-N 0 0 444.473 -0.267 20 0 IBADRN COc1cccc(OC)c1OC1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC1 ZINC000542887941 1073153795 /nfs/dbraw/zinc/15/37/95/1073153795.db2.gz NNOIGBPMJVIJLZ-GFCCVEGCSA-N 0 0 429.495 -0.133 20 0 IBADRN COc1cccc(OC)c1OC1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC1 ZINC000542887944 1073153864 /nfs/dbraw/zinc/15/38/64/1073153864.db2.gz NNOIGBPMJVIJLZ-LBPRGKRZSA-N 0 0 429.495 -0.133 20 0 IBADRN Cn1nc(C2CCCC2)cc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000542887990 1073153802 /nfs/dbraw/zinc/15/38/02/1073153802.db2.gz ADBRDKBKSBYVSR-UHFFFAOYSA-N 0 0 444.492 -0.164 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](c1ccccc1Cl)N1CCOCC1)S(N)(=O)=O ZINC000542888032 1073153852 /nfs/dbraw/zinc/15/38/52/1073153852.db2.gz FSTVQOMFZKSIEO-DOMZBBRYSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](c1ccccc1Cl)N1CCOCC1)S(N)(=O)=O ZINC000542888038 1073153817 /nfs/dbraw/zinc/15/38/17/1073153817.db2.gz FSTVQOMFZKSIEO-IUODEOHRSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](c1ccccc1Cl)N1CCOCC1)S(N)(=O)=O ZINC000542888039 1073153842 /nfs/dbraw/zinc/15/38/42/1073153842.db2.gz FSTVQOMFZKSIEO-SWLSCSKDSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](c1ccccc1Cl)N1CCOCC1)S(N)(=O)=O ZINC000542888041 1073153783 /nfs/dbraw/zinc/15/37/83/1073153783.db2.gz FSTVQOMFZKSIEO-WFASDCNBSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNC(=O)c1cccc(Br)c1)S(N)(=O)=O ZINC000542888101 1073153857 /nfs/dbraw/zinc/15/38/57/1073153857.db2.gz BAIIQMJRXVYYSB-SECBINFHSA-N 0 0 435.300 -0.912 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNC(=O)c1cccc(Br)c1)S(N)(=O)=O ZINC000542888120 1073153846 /nfs/dbraw/zinc/15/38/46/1073153846.db2.gz BAIIQMJRXVYYSB-VIFPVBQESA-N 0 0 435.300 -0.912 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(Cc2cn3cc(Cl)ccc3n2)CC1)S(N)(=O)=O ZINC000542888129 1073153824 /nfs/dbraw/zinc/15/38/24/1073153824.db2.gz BBTRUGVDUWCVQN-GFCCVEGCSA-N 0 0 442.929 -0.575 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(Cc2cn3cc(Cl)ccc3n2)CC1)S(N)(=O)=O ZINC000542888145 1073153829 /nfs/dbraw/zinc/15/38/29/1073153829.db2.gz BBTRUGVDUWCVQN-LBPRGKRZSA-N 0 0 442.929 -0.575 20 0 IBADRN COc1ccc(C)cc1C1(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CCOCC1 ZINC000542888155 1073153821 /nfs/dbraw/zinc/15/38/21/1073153821.db2.gz PVIXXAFPGDDNDL-AWEZNQCLSA-N 0 0 427.523 -0.039 20 0 IBADRN COc1ccc(C)cc1C1(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CCOCC1 ZINC000542888161 1073153838 /nfs/dbraw/zinc/15/38/38/1073153838.db2.gz PVIXXAFPGDDNDL-CQSZACIVSA-N 0 0 427.523 -0.039 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCCCC2)cc1)S(N)(=O)=O ZINC000542888374 1073153491 /nfs/dbraw/zinc/15/34/91/1073153491.db2.gz CWUDVTXHQTUKRS-CYBMUJFWSA-N 0 0 446.551 -0.729 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCCCC2)cc1)S(N)(=O)=O ZINC000542888378 1073153485 /nfs/dbraw/zinc/15/34/85/1073153485.db2.gz CWUDVTXHQTUKRS-ZDUSSCGKSA-N 0 0 446.551 -0.729 20 0 IBADRN CCc1nc(CN2CCC(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cs1 ZINC000542888379 1073153427 /nfs/dbraw/zinc/15/34/27/1073153427.db2.gz CYVPHZOIXDGZSM-GFCCVEGCSA-N 0 0 431.584 -0.173 20 0 IBADRN CCc1nc(CN2CCC(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cs1 ZINC000542888385 1073153810 /nfs/dbraw/zinc/15/38/10/1073153810.db2.gz CYVPHZOIXDGZSM-LBPRGKRZSA-N 0 0 431.584 -0.173 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)c1C ZINC000542888488 1073153479 /nfs/dbraw/zinc/15/34/79/1073153479.db2.gz GXDXKQLWOJXSMD-AWEZNQCLSA-N 0 0 439.538 -0.821 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(OC)c(-n3cnnn3)c2)c1 ZINC000542888489 1073153449 /nfs/dbraw/zinc/15/34/49/1073153449.db2.gz KBYLPCQHDXNPDO-UHFFFAOYSA-N 0 0 445.461 -0.053 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)c1C ZINC000542888490 1073153480 /nfs/dbraw/zinc/15/34/80/1073153480.db2.gz GXDXKQLWOJXSMD-CQSZACIVSA-N 0 0 439.538 -0.821 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1 ZINC000542888722 1073153438 /nfs/dbraw/zinc/15/34/38/1073153438.db2.gz AYUNHIHTAVNGBW-GFCCVEGCSA-N 0 0 434.540 -0.875 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1 ZINC000542888734 1073154260 /nfs/dbraw/zinc/15/42/60/1073154260.db2.gz AYUNHIHTAVNGBW-LBPRGKRZSA-N 0 0 434.540 -0.875 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cn(C)c1=O ZINC000542888756 1073154265 /nfs/dbraw/zinc/15/42/65/1073154265.db2.gz KPGGLAXFWLKJEB-UHFFFAOYSA-N 0 0 433.490 -0.372 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)CC1)S(N)(=O)=O ZINC000542888772 1073154218 /nfs/dbraw/zinc/15/42/18/1073154218.db2.gz KRYNFRMNJPSHFR-IVKJLDKCSA-N 0 0 440.566 -0.333 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)CC1)S(N)(=O)=O ZINC000542888782 1073154191 /nfs/dbraw/zinc/15/41/91/1073154191.db2.gz KRYNFRMNJPSHFR-XXWNAHEMSA-N 0 0 440.566 -0.333 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c(OC)c1 ZINC000542888954 1073154156 /nfs/dbraw/zinc/15/41/56/1073154156.db2.gz HZVQANLVLRJVFB-INIZCTEOSA-N 0 0 447.448 -0.392 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c(OC)c1 ZINC000542888955 1073154273 /nfs/dbraw/zinc/15/42/73/1073154273.db2.gz HZVQANLVLRJVFB-MRXNPFEDSA-N 0 0 447.448 -0.392 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)N(C)Cc2ccccc2)CC1)S(N)(=O)=O ZINC000542888971 1073154283 /nfs/dbraw/zinc/15/42/83/1073154283.db2.gz ICTXJZXZGHAYKM-AWEZNQCLSA-N 0 0 425.511 -0.824 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)N(C)Cc2ccccc2)CC1)S(N)(=O)=O ZINC000542888974 1073154248 /nfs/dbraw/zinc/15/42/48/1073154248.db2.gz ICTXJZXZGHAYKM-CQSZACIVSA-N 0 0 425.511 -0.824 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCC(=O)Nc1ccc(Br)cc1)S(N)(=O)=O ZINC000542889232 1073154252 /nfs/dbraw/zinc/15/42/52/1073154252.db2.gz GUFUZWZXKMLNPD-SECBINFHSA-N 0 0 435.300 -0.313 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCC(=O)Nc1ccc(Br)cc1)S(N)(=O)=O ZINC000542889241 1073154199 /nfs/dbraw/zinc/15/41/99/1073154199.db2.gz GUFUZWZXKMLNPD-VIFPVBQESA-N 0 0 435.300 -0.313 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2c[nH]c3ccccc23)CC1)S(N)(=O)=O ZINC000542889405 1073154210 /nfs/dbraw/zinc/15/42/10/1073154210.db2.gz KMXPQIBLVXXJQE-CYBMUJFWSA-N 0 0 435.506 -0.826 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cc2c[nH]c3ccccc23)CC1)S(N)(=O)=O ZINC000542889407 1073154275 /nfs/dbraw/zinc/15/42/75/1073154275.db2.gz KMXPQIBLVXXJQE-ZDUSSCGKSA-N 0 0 435.506 -0.826 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)ccc1C ZINC000542889508 1073154204 /nfs/dbraw/zinc/15/42/04/1073154204.db2.gz YLLBAIZKIIWIIN-CYBMUJFWSA-N 0 0 426.495 -0.919 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)ccc1C ZINC000542889512 1073154288 /nfs/dbraw/zinc/15/42/88/1073154288.db2.gz YLLBAIZKIIWIIN-ZDUSSCGKSA-N 0 0 426.495 -0.919 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H](C)c1ccc(OCC(=O)NC2CC2)cc1)S(N)(=O)=O ZINC000542889991 1073154623 /nfs/dbraw/zinc/15/46/23/1073154623.db2.gz UOPJCQPRSXQTOR-NEPJUHHUSA-N 0 0 426.495 -0.686 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000542889995 1073154681 /nfs/dbraw/zinc/15/46/81/1073154681.db2.gz UOPJCQPRSXQTOR-NWDGAFQWSA-N 0 0 426.495 -0.686 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H](C)c1ccc(OCC(=O)NC2CC2)cc1)S(N)(=O)=O ZINC000542889996 1073154673 /nfs/dbraw/zinc/15/46/73/1073154673.db2.gz UOPJCQPRSXQTOR-RYUDHWBXSA-N 0 0 426.495 -0.686 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H](C)c1ccc(OCC(=O)NC2CC2)cc1)S(N)(=O)=O ZINC000542889998 1073154655 /nfs/dbraw/zinc/15/46/55/1073154655.db2.gz UOPJCQPRSXQTOR-VXGBXAGGSA-N 0 0 426.495 -0.686 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000542890063 1073154604 /nfs/dbraw/zinc/15/46/04/1073154604.db2.gz XPIXLFXJZCFVBB-UHFFFAOYSA-N 0 0 426.477 -0.118 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC1 ZINC000542890337 1073154295 /nfs/dbraw/zinc/15/42/95/1073154295.db2.gz GERKQIMISYSQBF-AWEZNQCLSA-N 0 0 439.538 -0.875 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC1 ZINC000542890338 1073154224 /nfs/dbraw/zinc/15/42/24/1073154224.db2.gz GERKQIMISYSQBF-CQSZACIVSA-N 0 0 439.538 -0.875 20 0 IBADRN Cc1ccc(F)cc1C(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000542893995 1073154669 /nfs/dbraw/zinc/15/46/69/1073154669.db2.gz NUXGQXGJSBCMHB-HNNXBMFYSA-N 0 0 425.482 -0.236 20 0 IBADRN Cc1ccc(F)cc1C(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000542893998 1073154711 /nfs/dbraw/zinc/15/47/11/1073154711.db2.gz NUXGQXGJSBCMHB-OAHLLOKOSA-N 0 0 425.482 -0.236 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCC(C)C)cc2)CCO1 ZINC000542896206 1073155205 /nfs/dbraw/zinc/15/52/05/1073155205.db2.gz MIWYSRIDSJPMMX-INIZCTEOSA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCC(C)C)cc2)CCO1 ZINC000542896208 1073155189 /nfs/dbraw/zinc/15/51/89/1073155189.db2.gz MIWYSRIDSJPMMX-MRXNPFEDSA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)CCO1 ZINC000542896577 1073155155 /nfs/dbraw/zinc/15/51/55/1073155155.db2.gz WENABGPXYWJCHN-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)CCO1 ZINC000542896581 1073155159 /nfs/dbraw/zinc/15/51/59/1073155159.db2.gz WENABGPXYWJCHN-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccnn2Cc2ccc(OC)cc2OC)CCO1 ZINC000542896633 1073154643 /nfs/dbraw/zinc/15/46/43/1073154643.db2.gz WUKTZJRCGKPJIN-INIZCTEOSA-N 0 0 431.449 -0.140 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccnn2Cc2ccc(OC)cc2OC)CCO1 ZINC000542896642 1073154705 /nfs/dbraw/zinc/15/47/05/1073154705.db2.gz WUKTZJRCGKPJIN-MRXNPFEDSA-N 0 0 431.449 -0.140 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000542896905 1073155199 /nfs/dbraw/zinc/15/51/99/1073155199.db2.gz ZUZLJVCSTCDFHA-CVEARBPZSA-N 0 0 433.513 -0.562 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000542896906 1073155163 /nfs/dbraw/zinc/15/51/63/1073155163.db2.gz ZUZLJVCSTCDFHA-HOTGVXAUSA-N 0 0 433.513 -0.562 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000542896907 1073155177 /nfs/dbraw/zinc/15/51/77/1073155177.db2.gz ZUZLJVCSTCDFHA-HZPDHXFCSA-N 0 0 433.513 -0.562 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000542896908 1073155135 /nfs/dbraw/zinc/15/51/35/1073155135.db2.gz ZUZLJVCSTCDFHA-JKSUJKDBSA-N 0 0 433.513 -0.562 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CCO1 ZINC000542897254 1073155170 /nfs/dbraw/zinc/15/51/70/1073155170.db2.gz UUBIPURJQQIKDV-AWEZNQCLSA-N 0 0 435.462 -0.812 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CCO1 ZINC000542897257 1073155194 /nfs/dbraw/zinc/15/51/94/1073155194.db2.gz UUBIPURJQQIKDV-CQSZACIVSA-N 0 0 435.462 -0.812 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@@H](C)O[C@H](C)C3)cc2)CCO1 ZINC000542897261 1073155129 /nfs/dbraw/zinc/15/51/29/1073155129.db2.gz VASDAEMNLDIVCV-CPUCHLNUSA-N 0 0 432.477 -0.152 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@@H](C)O[C@@H](C)C3)cc2)CCO1 ZINC000542897262 1073155175 /nfs/dbraw/zinc/15/51/75/1073155175.db2.gz VASDAEMNLDIVCV-JKIFEVAISA-N 0 0 432.477 -0.152 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@@H](C)O[C@@H](C)C3)cc2)CCO1 ZINC000542897263 1073155207 /nfs/dbraw/zinc/15/52/07/1073155207.db2.gz VASDAEMNLDIVCV-VBQJREDUSA-N 0 0 432.477 -0.152 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)N3C[C@H](C)O[C@@H](C)C3)cc2)CCO1 ZINC000542897264 1073155142 /nfs/dbraw/zinc/15/51/42/1073155142.db2.gz VASDAEMNLDIVCV-ZQIUZPCESA-N 0 0 432.477 -0.152 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@H](C(=O)NC)C1 ZINC000542897686 1073154683 /nfs/dbraw/zinc/15/46/83/1073154683.db2.gz JYGQVLIBDSTVCW-HNNXBMFYSA-N 0 0 442.494 -0.753 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@@H](C(=O)NC)C1 ZINC000542897687 1073155211 /nfs/dbraw/zinc/15/52/11/1073155211.db2.gz JYGQVLIBDSTVCW-OAHLLOKOSA-N 0 0 442.494 -0.753 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)ccc1C ZINC000542897797 1073154629 /nfs/dbraw/zinc/15/46/29/1073154629.db2.gz NBNXYWIHOQXMDP-HNNXBMFYSA-N 0 0 440.522 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)ccc1C ZINC000542897798 1073154692 /nfs/dbraw/zinc/15/46/92/1073154692.db2.gz NBNXYWIHOQXMDP-OAHLLOKOSA-N 0 0 440.522 -0.063 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)CCO1 ZINC000542898119 1073155631 /nfs/dbraw/zinc/15/56/31/1073155631.db2.gz FYHMMCMLRVVULI-HNNXBMFYSA-N 0 0 429.437 -0.540 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)CCO1 ZINC000542898121 1073155475 /nfs/dbraw/zinc/15/54/75/1073155475.db2.gz FYHMMCMLRVVULI-OAHLLOKOSA-N 0 0 429.437 -0.540 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)CCO1 ZINC000542898434 1073155985 /nfs/dbraw/zinc/15/59/85/1073155985.db2.gz IWINKHQWNBNBJO-GDBMZVCRSA-N 0 0 439.490 -0.449 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)CCO1 ZINC000542898436 1073156013 /nfs/dbraw/zinc/15/60/13/1073156013.db2.gz IWINKHQWNBNBJO-GOEBONIOSA-N 0 0 439.490 -0.449 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)CCO1 ZINC000542898437 1073155927 /nfs/dbraw/zinc/15/59/27/1073155927.db2.gz IWINKHQWNBNBJO-HOCLYGCPSA-N 0 0 439.490 -0.449 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)CCO1 ZINC000542898439 1073155931 /nfs/dbraw/zinc/15/59/31/1073155931.db2.gz IWINKHQWNBNBJO-ZBFHGGJFSA-N 0 0 439.490 -0.449 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(NC(=O)[C@H](C)n3cccn3)c2)CCO1 ZINC000542898624 1073155922 /nfs/dbraw/zinc/15/59/22/1073155922.db2.gz KPIHZXUMLDETLN-BBRMVZONSA-N 0 0 428.449 -0.005 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(NC(=O)[C@@H](C)n3cccn3)c2)CCO1 ZINC000542898625 1073155942 /nfs/dbraw/zinc/15/59/42/1073155942.db2.gz KPIHZXUMLDETLN-CJNGLKHVSA-N 0 0 428.449 -0.005 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(NC(=O)[C@@H](C)n3cccn3)c2)CCO1 ZINC000542898626 1073155947 /nfs/dbraw/zinc/15/59/47/1073155947.db2.gz KPIHZXUMLDETLN-CZUORRHYSA-N 0 0 428.449 -0.005 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(NC(=O)[C@H](C)n3cccn3)c2)CCO1 ZINC000542898627 1073155989 /nfs/dbraw/zinc/15/59/89/1073155989.db2.gz KPIHZXUMLDETLN-XJKSGUPXSA-N 0 0 428.449 -0.005 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)CCO1 ZINC000542898784 1073155618 /nfs/dbraw/zinc/15/56/18/1073155618.db2.gz WZYRVZGFWGFBIH-AWEZNQCLSA-N 0 0 426.857 -0.281 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)c(Cl)c2)CCO1 ZINC000542898785 1073155623 /nfs/dbraw/zinc/15/56/23/1073155623.db2.gz WZYRVZGFWGFBIH-CQSZACIVSA-N 0 0 426.857 -0.281 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NCC2(C(=O)OC)CCOCC2)cc1S(N)(=O)=O ZINC000542898814 1073155126 /nfs/dbraw/zinc/15/51/26/1073155126.db2.gz JDYMNYBNGJLVGR-UHFFFAOYSA-N 0 0 427.479 -0.079 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCc3ccccn3)c2)CCO1 ZINC000542898882 1073155531 /nfs/dbraw/zinc/15/55/31/1073155531.db2.gz LJUARHTXPACQAS-KRWDZBQOSA-N 0 0 425.445 -0.077 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCc3ccccn3)c2)CCO1 ZINC000542898883 1073155467 /nfs/dbraw/zinc/15/54/67/1073155467.db2.gz LJUARHTXPACQAS-QGZVFWFLSA-N 0 0 425.445 -0.077 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)cc1OC ZINC000542899001 1073156081 /nfs/dbraw/zinc/15/60/81/1073156081.db2.gz PAFZSCUKUFCILX-AWEZNQCLSA-N 0 0 428.467 -0.631 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)cc1OC ZINC000542899004 1073155954 /nfs/dbraw/zinc/15/59/54/1073155954.db2.gz PAFZSCUKUFCILX-CQSZACIVSA-N 0 0 428.467 -0.631 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C(C)C)cc2)CCO1 ZINC000542899386 1073155487 /nfs/dbraw/zinc/15/54/87/1073155487.db2.gz TYMWZWFENRSUCY-HNNXBMFYSA-N 0 0 426.495 -0.373 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C(C)C)cc2)CCO1 ZINC000542899387 1073155453 /nfs/dbraw/zinc/15/54/53/1073155453.db2.gz TYMWZWFENRSUCY-OAHLLOKOSA-N 0 0 426.495 -0.373 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000542899562 1073155637 /nfs/dbraw/zinc/15/56/37/1073155637.db2.gz TYHQOTNMXCWNAV-AWEZNQCLSA-N 0 0 440.478 -0.952 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000542899568 1073155505 /nfs/dbraw/zinc/15/55/05/1073155505.db2.gz TYHQOTNMXCWNAV-CQSZACIVSA-N 0 0 440.478 -0.952 20 0 IBADRN CCCn1c(CCC(=O)N2CCO[C@H](C(=O)NC)C2)nc2cc(S(N)(=O)=O)ccc21 ZINC000542900523 1073155935 /nfs/dbraw/zinc/15/59/35/1073155935.db2.gz AZMUYSZXOVMPRD-INIZCTEOSA-N 0 0 437.522 0.000 20 0 IBADRN CCCn1c(CCC(=O)N2CCO[C@@H](C(=O)NC)C2)nc2cc(S(N)(=O)=O)ccc21 ZINC000542900524 1073155964 /nfs/dbraw/zinc/15/59/64/1073155964.db2.gz AZMUYSZXOVMPRD-MRXNPFEDSA-N 0 0 437.522 0.000 20 0 IBADRN COC(=O)C1(CNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCOCC1 ZINC000542900831 1073156059 /nfs/dbraw/zinc/15/60/59/1073156059.db2.gz PFOGDAVWYOUMPJ-UHFFFAOYSA-N 0 0 441.506 -0.247 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCO[C@@H](C(=O)NC)C2)o1 ZINC000542904079 1073156488 /nfs/dbraw/zinc/15/64/88/1073156488.db2.gz BKSWJWZPDJVKJD-GXTWGEPZSA-N 0 0 443.478 -0.417 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCO[C@H](C(=O)NC)C2)o1 ZINC000542904080 1073156368 /nfs/dbraw/zinc/15/63/68/1073156368.db2.gz BKSWJWZPDJVKJD-JSGCOSHPSA-N 0 0 443.478 -0.417 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCO[C@H](C(=O)NC)C2)o1 ZINC000542904081 1073156497 /nfs/dbraw/zinc/15/64/97/1073156497.db2.gz BKSWJWZPDJVKJD-OCCSQVGLSA-N 0 0 443.478 -0.417 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCO[C@@H](C(=O)NC)C2)o1 ZINC000542904082 1073156348 /nfs/dbraw/zinc/15/63/48/1073156348.db2.gz BKSWJWZPDJVKJD-TZMCWYRMSA-N 0 0 443.478 -0.417 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2cc(I)ccc2-n2cnnn2)CCO1 ZINC000542917783 1073158737 /nfs/dbraw/zinc/15/87/37/1073158737.db2.gz OMRCUFLARXOJDX-GFCCVEGCSA-N 0 0 442.217 -0.146 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2cc(I)ccc2-n2cnnn2)CCO1 ZINC000542917784 1073158709 /nfs/dbraw/zinc/15/87/09/1073158709.db2.gz OMRCUFLARXOJDX-LBPRGKRZSA-N 0 0 442.217 -0.146 20 0 IBADRN CCN(C(=O)CN1CCO[C@H](C(=O)NC)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000542918667 1073158799 /nfs/dbraw/zinc/15/87/99/1073158799.db2.gz UBMHMZBYGNBCJK-HNNXBMFYSA-N 0 0 444.492 -0.621 20 0 IBADRN CCN(C(=O)CN1CCO[C@@H](C(=O)NC)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000542918668 1073158696 /nfs/dbraw/zinc/15/86/96/1073158696.db2.gz UBMHMZBYGNBCJK-OAHLLOKOSA-N 0 0 444.492 -0.621 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCO[C@H](C(=O)NC)C2)CC1 ZINC000542918835 1073158758 /nfs/dbraw/zinc/15/87/58/1073158758.db2.gz HFIPPNASQJAHBM-IBGZPJMESA-N 0 0 431.537 -0.222 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCO[C@@H](C(=O)NC)C2)CC1 ZINC000542918836 1073158665 /nfs/dbraw/zinc/15/86/65/1073158665.db2.gz HFIPPNASQJAHBM-LJQANCHMSA-N 0 0 431.537 -0.222 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000542919393 1073159129 /nfs/dbraw/zinc/15/91/29/1073159129.db2.gz IHFIVKQXQAGMFV-INIZCTEOSA-N 0 0 426.495 -0.907 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000542919394 1073159106 /nfs/dbraw/zinc/15/91/06/1073159106.db2.gz IHFIVKQXQAGMFV-MRXNPFEDSA-N 0 0 426.495 -0.907 20 0 IBADRN CCCCN(C(=O)CN1CCO[C@H](C(=O)NC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000542919438 1073159196 /nfs/dbraw/zinc/15/91/96/1073159196.db2.gz NKZDNMKRHUASIS-AWEZNQCLSA-N 0 0 438.529 -0.233 20 0 IBADRN CCCCN(C(=O)CN1CCO[C@@H](C(=O)NC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000542919440 1073159153 /nfs/dbraw/zinc/15/91/53/1073159153.db2.gz NKZDNMKRHUASIS-CQSZACIVSA-N 0 0 438.529 -0.233 20 0 IBADRN CCN(CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)Cc1cn(C)nn1 ZINC000542919862 1073159157 /nfs/dbraw/zinc/15/91/57/1073159157.db2.gz VSOXGDYLTLMUOU-UHFFFAOYSA-N 0 0 425.515 -0.225 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCO1 ZINC000542920728 1073159174 /nfs/dbraw/zinc/15/91/74/1073159174.db2.gz SJJVBUCYKKONQC-HNNXBMFYSA-N 0 0 446.476 -0.756 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCO1 ZINC000542920729 1073159179 /nfs/dbraw/zinc/15/91/79/1073159179.db2.gz SJJVBUCYKKONQC-OAHLLOKOSA-N 0 0 446.476 -0.756 20 0 IBADRN CNC(=O)[C@@H]1CN([C@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCO1 ZINC000542920766 1073159185 /nfs/dbraw/zinc/15/91/85/1073159185.db2.gz STJPYWSELWRLQJ-AEFFLSMTSA-N 0 0 438.550 -0.337 20 0 IBADRN CNC(=O)[C@H]1CN([C@@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCO1 ZINC000542920767 1073159096 /nfs/dbraw/zinc/15/90/96/1073159096.db2.gz STJPYWSELWRLQJ-FUHWJXTLSA-N 0 0 438.550 -0.337 20 0 IBADRN CNC(=O)[C@H]1CN([C@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCO1 ZINC000542920768 1073159589 /nfs/dbraw/zinc/15/95/89/1073159589.db2.gz STJPYWSELWRLQJ-SJLPKXTDSA-N 0 0 438.550 -0.337 20 0 IBADRN CNC(=O)[C@@H]1CN([C@@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCO1 ZINC000542920770 1073159560 /nfs/dbraw/zinc/15/95/60/1073159560.db2.gz STJPYWSELWRLQJ-WMZOPIPTSA-N 0 0 438.550 -0.337 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000542921521 1073159533 /nfs/dbraw/zinc/15/95/33/1073159533.db2.gz VGLZADKITYWMJT-UHFFFAOYSA-N 0 0 437.478 -0.094 20 0 IBADRN O=C(CSc1nnc2ccccn21)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000542955930 1073164686 /nfs/dbraw/zinc/16/46/86/1073164686.db2.gz PFYJHQXMZOQUSX-UHFFFAOYSA-N 0 0 440.551 -0.021 20 0 IBADRN COc1c(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cccc1C(N)=O ZINC000542959375 1073165189 /nfs/dbraw/zinc/16/51/89/1073165189.db2.gz RFECODRMNPQPMQ-UHFFFAOYSA-N 0 0 427.483 -0.479 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000542967594 1073168306 /nfs/dbraw/zinc/16/83/06/1073168306.db2.gz POCYCLWZLXBGQI-UHFFFAOYSA-N 0 0 441.437 -0.406 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000542969782 1073168342 /nfs/dbraw/zinc/16/83/42/1073168342.db2.gz BAIRVHWDIBVVII-UHFFFAOYSA-N 0 0 443.453 -0.696 20 0 IBADRN CN(CCS(=O)(=O)N1CCSC[C@@H]1C(N)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000542983145 1073174572 /nfs/dbraw/zinc/17/45/72/1073174572.db2.gz NKVYMEHKVLJXOE-CYBMUJFWSA-N 0 0 425.529 -0.321 20 0 IBADRN CN(CCS(=O)(=O)N1CCSC[C@H]1C(N)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000542983146 1073174602 /nfs/dbraw/zinc/17/46/02/1073174602.db2.gz NKVYMEHKVLJXOE-ZDUSSCGKSA-N 0 0 425.529 -0.321 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCN2CCS(=O)(=O)CC2)ccc1OC(F)F ZINC000542984337 1073175455 /nfs/dbraw/zinc/17/54/55/1073175455.db2.gz KVIFEEYAPIQBIX-UHFFFAOYSA-N 0 0 435.449 -0.127 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)n1 ZINC000542989759 1073177679 /nfs/dbraw/zinc/17/76/79/1073177679.db2.gz SFSGVBMWEGXIOJ-GFCCVEGCSA-N 0 0 437.819 -0.323 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)n1 ZINC000542989762 1073182086 /nfs/dbraw/zinc/18/20/86/1073182086.db2.gz SFSGVBMWEGXIOJ-LBPRGKRZSA-N 0 0 437.819 -0.323 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)n1 ZINC000542993492 1074369371 /nfs/dbraw/zinc/36/93/71/1074369371.db2.gz DRROYKDKFDWSMG-UHFFFAOYSA-N 0 0 430.469 -0.553 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cncnc2OC)CC1 ZINC000542994680 1073185412 /nfs/dbraw/zinc/18/54/12/1073185412.db2.gz MNVVDIVWTAFCOJ-UHFFFAOYSA-N 0 0 434.478 -0.319 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccc(Cl)c(F)c3)C2)n1 ZINC000542995313 1073184829 /nfs/dbraw/zinc/18/48/29/1073184829.db2.gz VIVVRWVJYLPLAB-SECBINFHSA-N 0 0 437.819 -0.323 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccc(Cl)c(F)c3)C2)n1 ZINC000542995315 1073184733 /nfs/dbraw/zinc/18/47/33/1073184733.db2.gz VIVVRWVJYLPLAB-VIFPVBQESA-N 0 0 437.819 -0.323 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)n1 ZINC000542995384 1074369307 /nfs/dbraw/zinc/36/93/07/1074369307.db2.gz PVLRDJVHSVDIAX-KRWDZBQOSA-N 0 0 443.508 -0.636 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)n1 ZINC000542995394 1074369289 /nfs/dbraw/zinc/36/92/89/1074369289.db2.gz PVLRDJVHSVDIAX-QGZVFWFLSA-N 0 0 443.508 -0.636 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)COc3ccc(C)cc3)CC2)n1 ZINC000542995702 1074369396 /nfs/dbraw/zinc/36/93/96/1074369396.db2.gz XCBXSYHGVWAADO-UHFFFAOYSA-N 0 0 443.464 -0.979 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)n1)N1CCCCCC1 ZINC000542995725 1073184781 /nfs/dbraw/zinc/18/47/81/1073184781.db2.gz YCMSPICETOIYOP-KRWDZBQOSA-N 0 0 447.540 -0.200 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)n1)N1CCCCCC1 ZINC000542995726 1073184796 /nfs/dbraw/zinc/18/47/96/1073184796.db2.gz YCMSPICETOIYOP-QGZVFWFLSA-N 0 0 447.540 -0.200 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)CCCc3ccccc3)CC2)n1 ZINC000542997178 1073186312 /nfs/dbraw/zinc/18/63/12/1073186312.db2.gz VOEBIHCWPYRPTC-UHFFFAOYSA-N 0 0 441.492 -0.344 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)cc1 ZINC000542998020 1074369356 /nfs/dbraw/zinc/36/93/56/1074369356.db2.gz HQTCTLIMZQPJEE-UHFFFAOYSA-N 0 0 441.492 -0.473 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(Cl)c3)CC2)n1 ZINC000542998245 1073186289 /nfs/dbraw/zinc/18/62/89/1073186289.db2.gz JZFUPQRUFKSMQO-UHFFFAOYSA-N 0 0 433.856 -0.399 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3Cl)C2)n1 ZINC000542998319 1073186304 /nfs/dbraw/zinc/18/63/04/1073186304.db2.gz IGWODQJPBNQHBW-LLVKDONJSA-N 0 0 433.856 -0.120 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3Cl)C2)n1 ZINC000542998328 1073186260 /nfs/dbraw/zinc/18/62/60/1073186260.db2.gz IGWODQJPBNQHBW-NSHDSACASA-N 0 0 433.856 -0.120 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2OC)CC1 ZINC000542998745 1073185838 /nfs/dbraw/zinc/18/58/38/1073185838.db2.gz UMORDIQXDNEILD-UHFFFAOYSA-N 0 0 442.494 -0.757 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000542999095 1073186750 /nfs/dbraw/zinc/18/67/50/1073186750.db2.gz ZLNMJPFNOWYSMG-UHFFFAOYSA-N 0 0 435.529 -0.154 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2ccccn2)CC1 ZINC000542999223 1073186848 /nfs/dbraw/zinc/18/68/48/1073186848.db2.gz VUZVHSFXHXNVPA-UHFFFAOYSA-N 0 0 439.432 -0.770 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)CCCC3)CC1 ZINC000542999270 1073186685 /nfs/dbraw/zinc/18/66/85/1073186685.db2.gz XWIYFBAHOXKDBA-UHFFFAOYSA-N 0 0 427.461 -0.281 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cccc1C(N)=O ZINC000542999619 1073186266 /nfs/dbraw/zinc/18/62/66/1073186266.db2.gz HCFPAVFNYUSPES-AWEZNQCLSA-N 0 0 447.492 -0.876 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cccc1C(N)=O ZINC000542999625 1073186328 /nfs/dbraw/zinc/18/63/28/1073186328.db2.gz HCFPAVFNYUSPES-CQSZACIVSA-N 0 0 447.492 -0.876 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(CCCOCC(F)(F)F)CC2)n1 ZINC000543000344 1074369378 /nfs/dbraw/zinc/36/93/78/1074369378.db2.gz AHUXYONKYRDPBS-UHFFFAOYSA-N 0 0 449.434 -0.582 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)n1 ZINC000543000591 1073187098 /nfs/dbraw/zinc/18/70/98/1073187098.db2.gz IXCWTRHSQHCZQW-CYBMUJFWSA-N 0 0 433.856 -0.120 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)n1 ZINC000543000592 1073187088 /nfs/dbraw/zinc/18/70/88/1073187088.db2.gz IXCWTRHSQHCZQW-ZDUSSCGKSA-N 0 0 433.856 -0.120 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4c3OCO4)CC2)cn1C ZINC000543000661 1073186866 /nfs/dbraw/zinc/18/68/66/1073186866.db2.gz XYGNPTIGBCKCAB-UHFFFAOYSA-N 0 0 435.462 -0.071 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000543000763 1073352366 /nfs/dbraw/zinc/35/23/66/1073352366.db2.gz FPJVOQSDCRLDDI-INIZCTEOSA-N 0 0 442.524 -0.368 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000543000765 1073309741 /nfs/dbraw/zinc/30/97/41/1073309741.db2.gz FPJVOQSDCRLDDI-MRXNPFEDSA-N 0 0 442.524 -0.368 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)Cc1cccc(F)c1 ZINC000543001230 1073187213 /nfs/dbraw/zinc/18/72/13/1073187213.db2.gz PTYHSSYMEUQYDS-CYBMUJFWSA-N 0 0 434.428 -0.408 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)Cc1cccc(F)c1 ZINC000543001241 1073187138 /nfs/dbraw/zinc/18/71/38/1073187138.db2.gz PTYHSSYMEUQYDS-ZDUSSCGKSA-N 0 0 434.428 -0.408 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)n1 ZINC000543003203 1073187224 /nfs/dbraw/zinc/18/72/24/1073187224.db2.gz IXQWVYIJJQFJJN-GFCCVEGCSA-N 0 0 437.819 -0.323 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)n1 ZINC000543003204 1073187207 /nfs/dbraw/zinc/18/72/07/1073187207.db2.gz IXQWVYIJJQFJJN-LBPRGKRZSA-N 0 0 437.819 -0.323 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCC[C@H]2C(=O)NC2CC2)n1)N1CCCCCC1 ZINC000543003306 1073187219 /nfs/dbraw/zinc/18/72/19/1073187219.db2.gz NOTTZIJHNVMJPM-HNNXBMFYSA-N 0 0 431.497 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCC[C@@H]2C(=O)NC2CC2)n1)N1CCCCCC1 ZINC000543003307 1073187064 /nfs/dbraw/zinc/18/70/64/1073187064.db2.gz NOTTZIJHNVMJPM-OAHLLOKOSA-N 0 0 431.497 -0.111 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCOc2ncccc2C(F)(F)F)n1 ZINC000543003588 1073187229 /nfs/dbraw/zinc/18/72/29/1073187229.db2.gz YYRVQZKHTWNWNP-UHFFFAOYSA-N 0 0 429.359 -0.086 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C2)n1 ZINC000543003611 1074369402 /nfs/dbraw/zinc/36/94/02/1074369402.db2.gz ZNUMPLXMEDQHLA-BZUAXINKSA-N 0 0 439.476 -0.422 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C2)n1 ZINC000543003612 1074369310 /nfs/dbraw/zinc/36/93/10/1074369310.db2.gz ZNUMPLXMEDQHLA-OAGGEKHMSA-N 0 0 439.476 -0.422 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C2)n1 ZINC000543003613 1074369338 /nfs/dbraw/zinc/36/93/38/1074369338.db2.gz ZNUMPLXMEDQHLA-OWCLPIDISA-N 0 0 439.476 -0.422 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3c3ccccc3)C2)n1 ZINC000543003614 1074369366 /nfs/dbraw/zinc/36/93/66/1074369366.db2.gz ZNUMPLXMEDQHLA-PMPSAXMXSA-N 0 0 439.476 -0.422 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)CN1CCOCC1 ZINC000543005580 1073187683 /nfs/dbraw/zinc/18/76/83/1073187683.db2.gz SWMQPHGYGWJDND-INIZCTEOSA-N 0 0 435.529 -0.296 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)CN1CCOCC1 ZINC000543005581 1073187804 /nfs/dbraw/zinc/18/78/04/1073187804.db2.gz SWMQPHGYGWJDND-MRXNPFEDSA-N 0 0 435.529 -0.296 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NCCN2CCN(c3cccc(Cl)c3)CC2)n1 ZINC000543005731 1074369913 /nfs/dbraw/zinc/36/99/13/1074369913.db2.gz VUWIRFIEWGSQSX-UHFFFAOYSA-N 0 0 448.915 -0.446 20 0 IBADRN O=C(CNC(=O)c1cc2c(cc1Br)NC(=O)CO2)NCCN1CCOCC1 ZINC000543009939 1073188151 /nfs/dbraw/zinc/18/81/51/1073188151.db2.gz LHJURKZKFJKRBZ-UHFFFAOYSA-N 0 0 441.282 -0.042 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3cc(C)nc(C(C)C)n3)CC2)n1 ZINC000543010547 1074369807 /nfs/dbraw/zinc/36/98/07/1074369807.db2.gz BYTKWCUEIIGVKJ-UHFFFAOYSA-N 0 0 429.485 -0.467 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)n1 ZINC000543010606 1074369781 /nfs/dbraw/zinc/36/97/81/1074369781.db2.gz GCRGCSZCPTVVSL-UHFFFAOYSA-N 0 0 446.487 -0.618 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(CCOc3ccccc3)CC2)n1 ZINC000543011130 1074369882 /nfs/dbraw/zinc/36/98/82/1074369882.db2.gz JLEZWRFSQRMDRY-UHFFFAOYSA-N 0 0 429.481 -0.472 20 0 IBADRN O=C(Nc1c[nH]nc1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000543011658 1073188828 /nfs/dbraw/zinc/18/88/28/1073188828.db2.gz OINBATQVFVQZJP-UHFFFAOYSA-N 0 0 440.460 -0.455 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)cc1 ZINC000543011841 1073349974 /nfs/dbraw/zinc/34/99/74/1073349974.db2.gz MYCIEVNQHYYZIS-UHFFFAOYSA-N 0 0 441.492 -0.148 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@H](C)N2CCN(c3ccccc3)CC2)n1 ZINC000543013340 1074369843 /nfs/dbraw/zinc/36/98/43/1074369843.db2.gz AQZALIVNPSKPPP-HNNXBMFYSA-N 0 0 428.497 -0.711 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@@H](C)N2CCN(c3ccccc3)CC2)n1 ZINC000543013343 1074369713 /nfs/dbraw/zinc/36/97/13/1074369713.db2.gz AQZALIVNPSKPPP-OAHLLOKOSA-N 0 0 428.497 -0.711 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3ncnc4sccc43)CC2)n1 ZINC000543013660 1073189397 /nfs/dbraw/zinc/18/93/97/1073189397.db2.gz VSLBNPRFYXIISP-UHFFFAOYSA-N 0 0 443.493 -0.342 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)cc1F ZINC000543014828 1073189330 /nfs/dbraw/zinc/18/93/30/1073189330.db2.gz KEXODHLVHOSALG-UHFFFAOYSA-N 0 0 447.471 -0.203 20 0 IBADRN COc1ncncc1NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000543014836 1073189393 /nfs/dbraw/zinc/18/93/93/1073189393.db2.gz KMDFVQZBMAKENQ-UHFFFAOYSA-N 0 0 435.462 -0.239 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cccc1C(N)=O ZINC000543014871 1073189405 /nfs/dbraw/zinc/18/94/05/1073189405.db2.gz MFTWFQBZEQVXDU-UHFFFAOYSA-N 0 0 426.433 -0.425 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cc(F)ccc3OC)CC2)n1 ZINC000543015100 1074369798 /nfs/dbraw/zinc/36/97/98/1074369798.db2.gz SZPTXEQCYXYZLF-UHFFFAOYSA-N 0 0 433.444 -0.545 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(Cl)cc3)CC2)n1 ZINC000543015188 1073189349 /nfs/dbraw/zinc/18/93/49/1073189349.db2.gz WZFPOFIZOJVYDD-UHFFFAOYSA-N 0 0 447.883 -0.057 20 0 IBADRN COc1ncc(CS(=O)(=O)CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)c(C)n1 ZINC000543021404 1073190385 /nfs/dbraw/zinc/19/03/85/1073190385.db2.gz WURMCJFYWAIMGL-UHFFFAOYSA-N 0 0 440.503 -0.055 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000543034105 1073192066 /nfs/dbraw/zinc/19/20/66/1073192066.db2.gz JQIRSWJMMNRWOT-AWEZNQCLSA-N 0 0 442.480 -0.165 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000543034109 1073191978 /nfs/dbraw/zinc/19/19/78/1073191978.db2.gz JQIRSWJMMNRWOT-CQSZACIVSA-N 0 0 442.480 -0.165 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN([C@@H](C)c3cccc(OC)c3)CC2)n1 ZINC000543034661 1073192479 /nfs/dbraw/zinc/19/24/79/1073192479.db2.gz UNQSWQMQENJULW-AWEZNQCLSA-N 0 0 429.481 -0.123 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN([C@H](C)c3cccc(OC)c3)CC2)n1 ZINC000543034665 1073192438 /nfs/dbraw/zinc/19/24/38/1073192438.db2.gz UNQSWQMQENJULW-CQSZACIVSA-N 0 0 429.481 -0.123 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCO1 ZINC000543034849 1073192412 /nfs/dbraw/zinc/19/24/12/1073192412.db2.gz VNOBKDMHEGMNDH-UHFFFAOYSA-N 0 0 435.529 -0.154 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)NCCS(=O)(=O)N2CCSCC2)C1=O ZINC000543042444 1073193686 /nfs/dbraw/zinc/19/36/86/1073193686.db2.gz XQGSSMWQXGUTMK-AWEZNQCLSA-N 0 0 444.583 -0.411 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)NCCS(=O)(=O)N2CCSCC2)C1=O ZINC000543042456 1073193407 /nfs/dbraw/zinc/19/34/07/1073193407.db2.gz XQGSSMWQXGUTMK-CQSZACIVSA-N 0 0 444.583 -0.411 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000543049851 1073193681 /nfs/dbraw/zinc/19/36/81/1073193681.db2.gz FQCCLTUENBBQCY-HNNXBMFYSA-N 0 0 433.513 -0.711 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000543049852 1073193453 /nfs/dbraw/zinc/19/34/53/1073193453.db2.gz FQCCLTUENBBQCY-OAHLLOKOSA-N 0 0 433.513 -0.711 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)C1=O ZINC000543049865 1073193586 /nfs/dbraw/zinc/19/35/86/1073193586.db2.gz GHJDRNYZNCYBCG-AWEZNQCLSA-N 0 0 427.508 -0.463 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)C1=O ZINC000543049866 1073193595 /nfs/dbraw/zinc/19/35/95/1073193595.db2.gz GHJDRNYZNCYBCG-CQSZACIVSA-N 0 0 427.508 -0.463 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)C1=O ZINC000543049933 1073194219 /nfs/dbraw/zinc/19/42/19/1073194219.db2.gz JINGWNPQCGWOFS-AWEZNQCLSA-N 0 0 427.508 -0.463 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)C1=O ZINC000543049934 1073194086 /nfs/dbraw/zinc/19/40/86/1073194086.db2.gz JINGWNPQCGWOFS-CQSZACIVSA-N 0 0 427.508 -0.463 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)cc1 ZINC000543050289 1073194298 /nfs/dbraw/zinc/19/42/98/1073194298.db2.gz NWDUALSZFRKSHS-HNNXBMFYSA-N 0 0 441.535 -0.202 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)cc1 ZINC000543050292 1073194212 /nfs/dbraw/zinc/19/42/12/1073194212.db2.gz NWDUALSZFRKSHS-OAHLLOKOSA-N 0 0 441.535 -0.202 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000543050931 1073194141 /nfs/dbraw/zinc/19/41/41/1073194141.db2.gz OBCJLQMTZYQRLA-INIZCTEOSA-N 0 0 435.529 -0.999 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000543050944 1073193543 /nfs/dbraw/zinc/19/35/43/1073193543.db2.gz OBCJLQMTZYQRLA-MRXNPFEDSA-N 0 0 435.529 -0.999 20 0 IBADRN COCCN(C(=O)CN1CCN(c2nc(C)cc(C)n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000543051538 1073194286 /nfs/dbraw/zinc/19/42/86/1073194286.db2.gz FFTRXAKVRMNIAK-KRWDZBQOSA-N 0 0 425.555 -0.122 20 0 IBADRN COCCN(C(=O)CN1CCN(c2nc(C)cc(C)n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000543051540 1073194294 /nfs/dbraw/zinc/19/42/94/1073194294.db2.gz FFTRXAKVRMNIAK-QGZVFWFLSA-N 0 0 425.555 -0.122 20 0 IBADRN Cc1cc(C)nc(N2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)n1 ZINC000543051926 1073193967 /nfs/dbraw/zinc/19/39/67/1073193967.db2.gz IEJHNCCFUDFJJP-UHFFFAOYSA-N 0 0 445.568 -0.782 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1=O ZINC000543052525 1073194674 /nfs/dbraw/zinc/19/46/74/1073194674.db2.gz PCHFUJXBVXGGBF-CABCVRRESA-N 0 0 426.543 -0.118 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1=O ZINC000543052526 1073194692 /nfs/dbraw/zinc/19/46/92/1073194692.db2.gz PCHFUJXBVXGGBF-GJZGRUSLSA-N 0 0 426.543 -0.118 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1=O ZINC000543052527 1073194580 /nfs/dbraw/zinc/19/45/80/1073194580.db2.gz PCHFUJXBVXGGBF-HUUCEWRRSA-N 0 0 426.543 -0.118 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1=O ZINC000543052528 1073194518 /nfs/dbraw/zinc/19/45/18/1073194518.db2.gz PCHFUJXBVXGGBF-LSDHHAIUSA-N 0 0 426.543 -0.118 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1F ZINC000543053959 1073194695 /nfs/dbraw/zinc/19/46/95/1073194695.db2.gz DYXVYMVFZDHWRH-GFCCVEGCSA-N 0 0 440.457 -0.072 20 0 IBADRN CC(C)n1nnnc1-c1ccc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1F ZINC000543053960 1073194688 /nfs/dbraw/zinc/19/46/88/1073194688.db2.gz DYXVYMVFZDHWRH-LBPRGKRZSA-N 0 0 440.457 -0.072 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)n1 ZINC000543056508 1073194727 /nfs/dbraw/zinc/19/47/27/1073194727.db2.gz KWRIMTQMGQKOGT-UHFFFAOYSA-N 0 0 442.524 -0.091 20 0 IBADRN CCC(CO)(CO)NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000543062084 1073195070 /nfs/dbraw/zinc/19/50/70/1073195070.db2.gz BVMQHAPRQQSADC-CYBMUJFWSA-N 0 0 435.524 -0.593 20 0 IBADRN CCC(CO)(CO)NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000543062088 1073195090 /nfs/dbraw/zinc/19/50/90/1073195090.db2.gz BVMQHAPRQQSADC-ZDUSSCGKSA-N 0 0 435.524 -0.593 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)cc1OC ZINC000543067975 1073195197 /nfs/dbraw/zinc/19/51/97/1073195197.db2.gz DMWTWIVHGWSASN-UHFFFAOYSA-N 0 0 433.464 -0.132 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)OC)c(OC)c1 ZINC000543072199 1073195559 /nfs/dbraw/zinc/19/55/59/1073195559.db2.gz JDNMLRFAAYNAIM-GFCCVEGCSA-N 0 0 448.519 -0.204 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)OC)c(OC)c1 ZINC000543072203 1073195956 /nfs/dbraw/zinc/19/59/56/1073195956.db2.gz JDNMLRFAAYNAIM-LBPRGKRZSA-N 0 0 448.519 -0.204 20 0 IBADRN COc1cncc(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000543072670 1073195446 /nfs/dbraw/zinc/19/54/46/1073195446.db2.gz RTNLQYRZJVJMGI-HNNXBMFYSA-N 0 0 433.552 -0.010 20 0 IBADRN COc1cncc(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000543072672 1073195576 /nfs/dbraw/zinc/19/55/76/1073195576.db2.gz RTNLQYRZJVJMGI-OAHLLOKOSA-N 0 0 433.552 -0.010 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000543073110 1073195939 /nfs/dbraw/zinc/19/59/39/1073195939.db2.gz WPVWKYBXARRFSL-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000543088119 1073197476 /nfs/dbraw/zinc/19/74/76/1073197476.db2.gz ZOGGRFMDKLHDFH-AWEZNQCLSA-N 0 0 425.449 -0.713 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000543088120 1073197558 /nfs/dbraw/zinc/19/75/58/1073197558.db2.gz ZOGGRFMDKLHDFH-CQSZACIVSA-N 0 0 425.449 -0.713 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2nccc3c2cccc3S(N)(=O)=O)CC1 ZINC000543090513 1073197352 /nfs/dbraw/zinc/19/73/52/1073197352.db2.gz PTYVOVTVMDVCTM-UHFFFAOYSA-N 0 0 435.506 -0.597 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000543105462 1073199505 /nfs/dbraw/zinc/19/95/05/1073199505.db2.gz FELUVPMPKJYDQL-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)n1 ZINC000543106662 1073199382 /nfs/dbraw/zinc/19/93/82/1073199382.db2.gz CVWGKDNWBLENAF-UHFFFAOYSA-N 0 0 446.489 -0.002 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)NCCN3CCN(C(=O)C4CCC4)CC3)C2)c1=O ZINC000543113936 1073199752 /nfs/dbraw/zinc/19/97/52/1073199752.db2.gz HPFBWJLDFSXWDB-GOSISDBHSA-N 0 0 445.568 -0.007 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)NCCN3CCN(C(=O)C4CCC4)CC3)C2)c1=O ZINC000543113951 1073199838 /nfs/dbraw/zinc/19/98/38/1073199838.db2.gz HPFBWJLDFSXWDB-SFHVURJKSA-N 0 0 445.568 -0.007 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCCCS1(=O)=O ZINC000543128265 1073201426 /nfs/dbraw/zinc/20/14/26/1073201426.db2.gz ZAKFTIMTXISXTM-HNNXBMFYSA-N 0 0 430.552 -0.383 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCCCS1(=O)=O ZINC000543128266 1073201408 /nfs/dbraw/zinc/20/14/08/1073201408.db2.gz ZAKFTIMTXISXTM-OAHLLOKOSA-N 0 0 430.552 -0.383 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)c2c(=O)n(C)c1=O ZINC000543137665 1073202416 /nfs/dbraw/zinc/20/24/16/1073202416.db2.gz CLIDGAUUMLFSSA-AWEZNQCLSA-N 0 0 425.449 -0.864 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)c2c(=O)n(C)c1=O ZINC000543137666 1073202255 /nfs/dbraw/zinc/20/22/55/1073202255.db2.gz CLIDGAUUMLFSSA-CQSZACIVSA-N 0 0 425.449 -0.864 20 0 IBADRN O=C(NC[C@@H]1CCCCS1(=O)=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000543157680 1073203980 /nfs/dbraw/zinc/20/39/80/1073203980.db2.gz UDPKZWVFKFYQPE-HNNXBMFYSA-N 0 0 429.520 -0.496 20 0 IBADRN O=C(NC[C@H]1CCCCS1(=O)=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000543157681 1073203940 /nfs/dbraw/zinc/20/39/40/1073203940.db2.gz UDPKZWVFKFYQPE-OAHLLOKOSA-N 0 0 429.520 -0.496 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000543165386 1073206217 /nfs/dbraw/zinc/20/62/17/1073206217.db2.gz FPMNCVREVRACFJ-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@H]3CCCN(c4cccnc4)C3=O)cnc21 ZINC000543168708 1073206122 /nfs/dbraw/zinc/20/61/22/1073206122.db2.gz ILTUTDHZAKPGQF-AWEZNQCLSA-N 0 0 430.446 -0.097 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)cnc21 ZINC000543168709 1073206354 /nfs/dbraw/zinc/20/63/54/1073206354.db2.gz ILTUTDHZAKPGQF-CQSZACIVSA-N 0 0 430.446 -0.097 20 0 IBADRN Cc1nnc(CN2CCN(S(=O)(=O)c3cccc(S(=O)(=O)N(C)C)c3)CC2)[nH]1 ZINC000543170548 1073206147 /nfs/dbraw/zinc/20/61/47/1073206147.db2.gz HQNYMBKWTDYLFX-UHFFFAOYSA-N 0 0 428.540 -0.130 20 0 IBADRN Cn1cc([C@H]2CN(S(=O)(=O)c3c[nH]cn3)CCN2S(=O)(=O)c2c[nH]cn2)cn1 ZINC000543176307 1073206314 /nfs/dbraw/zinc/20/63/14/1073206314.db2.gz BBGNHUHMFLOVMO-GFCCVEGCSA-N 0 0 426.484 -0.697 20 0 IBADRN Cn1cc([C@@H]2CN(S(=O)(=O)c3c[nH]cn3)CCN2S(=O)(=O)c2c[nH]cn2)cn1 ZINC000543176308 1073206327 /nfs/dbraw/zinc/20/63/27/1073206327.db2.gz BBGNHUHMFLOVMO-LBPRGKRZSA-N 0 0 426.484 -0.697 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCCS3(=O)=O)CC2)o1 ZINC000543179371 1073206303 /nfs/dbraw/zinc/20/63/03/1073206303.db2.gz RFBIMEZMCDQDBP-GFCCVEGCSA-N 0 0 448.523 -0.638 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCCCS3(=O)=O)CC2)o1 ZINC000543179372 1073206272 /nfs/dbraw/zinc/20/62/72/1073206272.db2.gz RFBIMEZMCDQDBP-LBPRGKRZSA-N 0 0 448.523 -0.638 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@@H]2CCCCS2(=O)=O)c1 ZINC000543181006 1073206177 /nfs/dbraw/zinc/20/61/77/1073206177.db2.gz HMWGOKVNSUKVBD-AWEZNQCLSA-N 0 0 432.524 -0.200 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@H]2CCCCS2(=O)=O)c1 ZINC000543181007 1073206650 /nfs/dbraw/zinc/20/66/50/1073206650.db2.gz HMWGOKVNSUKVBD-CQSZACIVSA-N 0 0 432.524 -0.200 20 0 IBADRN O=C(CN1CCSC[C@@H]1CO)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000543196755 1073207993 /nfs/dbraw/zinc/20/79/93/1073207993.db2.gz QOEKCRHMMHYSLK-INIZCTEOSA-N 0 0 429.564 -0.267 20 0 IBADRN O=C(CN1CCSC[C@H]1CO)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000543196768 1073208040 /nfs/dbraw/zinc/20/80/40/1073208040.db2.gz QOEKCRHMMHYSLK-MRXNPFEDSA-N 0 0 429.564 -0.267 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3cc(C)n(CC(=O)NC)n3)C2)nc1 ZINC000543211909 1074369833 /nfs/dbraw/zinc/36/98/33/1074369833.db2.gz QWUMKYFERMUPKZ-UHFFFAOYSA-N 0 0 443.464 -0.547 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)c(=O)[nH]1 ZINC000543212362 1073208877 /nfs/dbraw/zinc/20/88/77/1073208877.db2.gz YZHBUVYZMJLKIV-UHFFFAOYSA-N 0 0 442.476 -0.192 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N(C)C)c1)Cc1ccc(O)cc1 ZINC000543212806 1073209007 /nfs/dbraw/zinc/20/90/07/1073209007.db2.gz FSLALTDACYPJJQ-AWEZNQCLSA-N 0 0 431.449 -0.237 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N(C)C)c1)Cc1ccc(O)cc1 ZINC000543212807 1073208906 /nfs/dbraw/zinc/20/89/06/1073208906.db2.gz FSLALTDACYPJJQ-CQSZACIVSA-N 0 0 431.449 -0.237 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H]1CCCCS1(=O)=O)CC2 ZINC000543213760 1073209017 /nfs/dbraw/zinc/20/90/17/1073209017.db2.gz FSAJWGRVIMXKOF-HNNXBMFYSA-N 0 0 443.547 -0.043 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H]1CCCCS1(=O)=O)CC2 ZINC000543213763 1073208859 /nfs/dbraw/zinc/20/88/59/1073208859.db2.gz FSAJWGRVIMXKOF-OAHLLOKOSA-N 0 0 443.547 -0.043 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCCS2(=O)=O)cc1 ZINC000543214315 1073209199 /nfs/dbraw/zinc/20/91/99/1073209199.db2.gz DWXXUUKTFLMBEK-HNNXBMFYSA-N 0 0 447.535 -0.367 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCCS2(=O)=O)cc1 ZINC000543214321 1073209329 /nfs/dbraw/zinc/20/93/29/1073209329.db2.gz DWXXUUKTFLMBEK-OAHLLOKOSA-N 0 0 447.535 -0.367 20 0 IBADRN COCC[C@H](Cc1ccco1)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000543214474 1073209305 /nfs/dbraw/zinc/20/93/05/1073209305.db2.gz IQSKBBSPRSKBCL-GFCCVEGCSA-N 0 0 429.433 -0.072 20 0 IBADRN COCC[C@@H](Cc1ccco1)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000543214475 1073209341 /nfs/dbraw/zinc/20/93/41/1073209341.db2.gz IQSKBBSPRSKBCL-LBPRGKRZSA-N 0 0 429.433 -0.072 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)Cc1ccc(O)cc1 ZINC000543217557 1073327378 /nfs/dbraw/zinc/32/73/78/1073327378.db2.gz ZEABALBNNXFPSH-AWEZNQCLSA-N 0 0 431.449 -0.237 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)Cc1ccc(O)cc1 ZINC000543217558 1073326501 /nfs/dbraw/zinc/32/65/01/1073326501.db2.gz ZEABALBNNXFPSH-CQSZACIVSA-N 0 0 431.449 -0.237 20 0 IBADRN COC(=O)c1sccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000543222171 1073209371 /nfs/dbraw/zinc/20/93/71/1073209371.db2.gz PPHWGXULJJUJOC-UHFFFAOYSA-N 0 0 436.446 -0.707 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000543222535 1073209730 /nfs/dbraw/zinc/20/97/30/1073209730.db2.gz SISDYPYUFWJBJL-UHFFFAOYSA-N 0 0 443.512 -0.053 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC000543222667 1073209281 /nfs/dbraw/zinc/20/92/81/1073209281.db2.gz RUHUEVQTYVWTFR-HNNXBMFYSA-N 0 0 434.449 -0.580 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC000543222668 1073209292 /nfs/dbraw/zinc/20/92/92/1073209292.db2.gz RUHUEVQTYVWTFR-OAHLLOKOSA-N 0 0 434.449 -0.580 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000543223157 1073209316 /nfs/dbraw/zinc/20/93/16/1073209316.db2.gz QXXBPICPXGZTFS-UHFFFAOYSA-N 0 0 445.480 -0.063 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1-c1nnnn1C(C)C ZINC000543223228 1073209690 /nfs/dbraw/zinc/20/96/90/1073209690.db2.gz VBKNNPRNHSZAAM-HNNXBMFYSA-N 0 0 442.480 -0.287 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1-c1nnnn1C(C)C ZINC000543223229 1073209655 /nfs/dbraw/zinc/20/96/55/1073209655.db2.gz VBKNNPRNHSZAAM-OAHLLOKOSA-N 0 0 442.480 -0.287 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000543224404 1074369751 /nfs/dbraw/zinc/36/97/51/1074369751.db2.gz UZFHSZGLMZMWEO-UHFFFAOYSA-N 0 0 429.437 -0.622 20 0 IBADRN COC(=O)c1sccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000543226446 1073209757 /nfs/dbraw/zinc/20/97/57/1073209757.db2.gz PHVKFJOWYLOMPI-UHFFFAOYSA-N 0 0 438.462 -0.997 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2nn(C)cc2C(=O)OCC)CC1 ZINC000543238642 1073332240 /nfs/dbraw/zinc/33/22/40/1073332240.db2.gz KCRLCASCKLHEMU-UHFFFAOYSA-N 0 0 429.499 -0.144 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3cnn(CC(=O)NC)c3)C2)nc1 ZINC000543242380 1073210109 /nfs/dbraw/zinc/21/01/09/1073210109.db2.gz MXXBIUBKUIKZNU-UHFFFAOYSA-N 0 0 429.437 -0.856 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000543248711 1073210190 /nfs/dbraw/zinc/21/01/90/1073210190.db2.gz DGMKROLDUBBZDI-KBPBESRZSA-N 0 0 437.927 -0.785 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000543248712 1073210145 /nfs/dbraw/zinc/21/01/45/1073210145.db2.gz DGMKROLDUBBZDI-KGLIPLIRSA-N 0 0 437.927 -0.785 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000543248713 1073210094 /nfs/dbraw/zinc/21/00/94/1073210094.db2.gz DGMKROLDUBBZDI-UONOGXRCSA-N 0 0 437.927 -0.785 20 0 IBADRN NC(=O)c1cc(Cl)cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000543248714 1073210455 /nfs/dbraw/zinc/21/04/55/1073210455.db2.gz DGMKROLDUBBZDI-ZIAGYGMSSA-N 0 0 437.927 -0.785 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(C(=O)OC)c(OC)c1 ZINC000543250012 1073210432 /nfs/dbraw/zinc/21/04/32/1073210432.db2.gz UGNKWEGIQWXVAZ-UHFFFAOYSA-N 0 0 430.479 -0.022 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCn3ccnn3)c2)CC1 ZINC000543251072 1073210446 /nfs/dbraw/zinc/21/04/46/1073210446.db2.gz CGSNQLIHSNKVMT-UHFFFAOYSA-N 0 0 449.537 -0.251 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000543251929 1073334420 /nfs/dbraw/zinc/33/44/20/1073334420.db2.gz QRIWPSOQUQGTTF-KRWDZBQOSA-N 0 0 441.492 -0.139 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000543251938 1073334445 /nfs/dbraw/zinc/33/44/45/1073334445.db2.gz QRIWPSOQUQGTTF-QGZVFWFLSA-N 0 0 441.492 -0.139 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000543254551 1073210497 /nfs/dbraw/zinc/21/04/97/1073210497.db2.gz GGJORJTWLPJFEF-INIZCTEOSA-N 0 0 437.460 -0.337 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000543254552 1073210489 /nfs/dbraw/zinc/21/04/89/1073210489.db2.gz GGJORJTWLPJFEF-MRXNPFEDSA-N 0 0 437.460 -0.337 20 0 IBADRN O=C(COCCNC(=O)c1ccccc1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000543255890 1073210483 /nfs/dbraw/zinc/21/04/83/1073210483.db2.gz IUFLKRCEVSOBMK-UHFFFAOYSA-N 0 0 430.461 -0.747 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000543260868 1073210895 /nfs/dbraw/zinc/21/08/95/1073210895.db2.gz QUBRHJDOVZOAHN-FQEVSTJZSA-N 0 0 442.432 -0.094 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000543260869 1073210844 /nfs/dbraw/zinc/21/08/44/1073210844.db2.gz QUBRHJDOVZOAHN-HXUWFJFHSA-N 0 0 442.432 -0.094 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C[S@](C)=O ZINC000543265318 1073210834 /nfs/dbraw/zinc/21/08/34/1073210834.db2.gz DCPOQQMIJVPMBH-CEXHIMGSSA-N 0 0 431.536 -0.162 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C[S@@](C)=O ZINC000543265319 1073210808 /nfs/dbraw/zinc/21/08/08/1073210808.db2.gz DCPOQQMIJVPMBH-JGTBNHKDSA-N 0 0 431.536 -0.162 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C[S@@](C)=O ZINC000543265320 1073210960 /nfs/dbraw/zinc/21/09/60/1073210960.db2.gz DCPOQQMIJVPMBH-PFUSGODGSA-N 0 0 431.536 -0.162 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C[S@](C)=O ZINC000543265321 1073210840 /nfs/dbraw/zinc/21/08/40/1073210840.db2.gz DCPOQQMIJVPMBH-QWVSSGJLSA-N 0 0 431.536 -0.162 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)C[S@@](C)=O)c2)CC1 ZINC000543266118 1073210926 /nfs/dbraw/zinc/21/09/26/1073210926.db2.gz PUWWZDNJRZCDHG-GMYLUUGSSA-N 0 0 444.579 -0.166 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)C[S@@](C)=O)c2)CC1 ZINC000543266119 1073210948 /nfs/dbraw/zinc/21/09/48/1073210948.db2.gz PUWWZDNJRZCDHG-HJFSHJIFSA-N 0 0 444.579 -0.166 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)C[S@](C)=O)c2)CC1 ZINC000543266120 1073210863 /nfs/dbraw/zinc/21/08/63/1073210863.db2.gz PUWWZDNJRZCDHG-POXGOYDTSA-N 0 0 444.579 -0.166 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H](C)C[S@](C)=O)c2)CC1 ZINC000543266121 1073210993 /nfs/dbraw/zinc/21/09/93/1073210993.db2.gz PUWWZDNJRZCDHG-SUMNFNSASA-N 0 0 444.579 -0.166 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000543266267 1073210877 /nfs/dbraw/zinc/21/08/77/1073210877.db2.gz HTAICJHLAQLCLK-CEXHIMGSSA-N 0 0 430.552 -0.556 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000543266271 1073210820 /nfs/dbraw/zinc/21/08/20/1073210820.db2.gz HTAICJHLAQLCLK-JGTBNHKDSA-N 0 0 430.552 -0.556 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000543266273 1073210938 /nfs/dbraw/zinc/21/09/38/1073210938.db2.gz HTAICJHLAQLCLK-PFUSGODGSA-N 0 0 430.552 -0.556 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000543266274 1073210857 /nfs/dbraw/zinc/21/08/57/1073210857.db2.gz HTAICJHLAQLCLK-QWVSSGJLSA-N 0 0 430.552 -0.556 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ncn(C(C)(C)C)n3)CC2)cn1C ZINC000543267398 1074370260 /nfs/dbraw/zinc/37/02/60/1074370260.db2.gz VUYHHQZMIPBXLE-UHFFFAOYSA-N 0 0 438.514 -0.453 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000543268020 1073211295 /nfs/dbraw/zinc/21/12/95/1073211295.db2.gz JUTOAKHDFKIOCH-UHFFFAOYSA-N 0 0 433.508 -0.818 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2c[nH]nc2-c2ccc3c(c2)OCO3)CC1)N1CCOCC1 ZINC000543268916 1073211257 /nfs/dbraw/zinc/21/12/57/1073211257.db2.gz RWFMPWJVNOHGGZ-UHFFFAOYSA-N 0 0 441.444 -0.051 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)N(C)C)CC2)c1 ZINC000543269072 1073211330 /nfs/dbraw/zinc/21/13/30/1073211330.db2.gz ZLSSZULTJLOPCI-UHFFFAOYSA-N 0 0 435.524 -0.572 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000543270072 1073211342 /nfs/dbraw/zinc/21/13/42/1073211342.db2.gz FOTKKKWTHSGKAU-CYBMUJFWSA-N 0 0 427.479 -0.035 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000543270073 1073211242 /nfs/dbraw/zinc/21/12/42/1073211242.db2.gz FOTKKKWTHSGKAU-ZDUSSCGKSA-N 0 0 427.479 -0.035 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000543272649 1073210902 /nfs/dbraw/zinc/21/09/02/1073210902.db2.gz FXCMPFXQAMXXPN-UHFFFAOYSA-N 0 0 443.507 0.000 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C(=O)Nc2ccccc2-c2nnnn2C(C)C)nn1 ZINC000543274640 1073211834 /nfs/dbraw/zinc/21/18/34/1073211834.db2.gz QZVUICAVMPCLKV-UHFFFAOYSA-N 0 0 427.425 -0.060 20 0 IBADRN COC(=O)c1cc(Br)c(F)cc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000543274741 1073211866 /nfs/dbraw/zinc/21/18/66/1073211866.db2.gz RBLKCMSMZXKLAO-UHFFFAOYSA-N 0 0 446.229 -0.024 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CS(=O)(=O)N(C)C)CC2)c(OC)c1OC ZINC000543279236 1073212280 /nfs/dbraw/zinc/21/22/80/1073212280.db2.gz ZZRIRZYHRGAKRM-UHFFFAOYSA-N 0 0 429.495 -0.112 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)COCCNC(=O)c2ccccc2)CC1 ZINC000543281057 1073212226 /nfs/dbraw/zinc/21/22/26/1073212226.db2.gz FQVGWKSJNKBPRK-GOSISDBHSA-N 0 0 432.521 -0.176 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)COCCNC(=O)c2ccccc2)CC1 ZINC000543281062 1073212247 /nfs/dbraw/zinc/21/22/47/1073212247.db2.gz FQVGWKSJNKBPRK-SFHVURJKSA-N 0 0 432.521 -0.176 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCOCC4(CCOCC4)C3)cnc2n(C)c1=O ZINC000543281098 1073212172 /nfs/dbraw/zinc/21/21/72/1073212172.db2.gz AZAGSJRTSLZTOX-UHFFFAOYSA-N 0 0 431.449 -0.774 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H](O)CCc3ccccc3)cnc2n(C)c1=O ZINC000543283694 1073211696 /nfs/dbraw/zinc/21/16/96/1073211696.db2.gz MLALPSBIQGGXLS-HNNXBMFYSA-N 0 0 425.445 -0.319 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H](O)CCc3ccccc3)cnc2n(C)c1=O ZINC000543283696 1073211701 /nfs/dbraw/zinc/21/17/01/1073211701.db2.gz MLALPSBIQGGXLS-OAHLLOKOSA-N 0 0 425.445 -0.319 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCCCC1 ZINC000543287517 1073212198 /nfs/dbraw/zinc/21/21/98/1073212198.db2.gz WOJNZJRJCYJLKQ-UHFFFAOYSA-N 0 0 431.449 -0.190 20 0 IBADRN COc1c2cnn(C)c2ncc1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000543288823 1073212191 /nfs/dbraw/zinc/21/21/91/1073212191.db2.gz ACRDGJNAVBLXEC-UHFFFAOYSA-N 0 0 438.510 -0.252 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)CS(=O)(=O)N(C)C)CC2)cc1OC ZINC000543292499 1073212635 /nfs/dbraw/zinc/21/26/35/1073212635.db2.gz ISMUBVMVEDVMOS-UHFFFAOYSA-N 0 0 449.551 -0.136 20 0 IBADRN CCN(C(=O)CS(=O)(=O)N(C)C)c1ccc(NC(=O)CS(=O)(=O)N(C)C)cc1 ZINC000543294022 1073212725 /nfs/dbraw/zinc/21/27/25/1073212725.db2.gz XAEMLWWUVHABDI-UHFFFAOYSA-N 0 0 434.540 -0.239 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)nc1 ZINC000543294149 1073212584 /nfs/dbraw/zinc/21/25/84/1073212584.db2.gz JZEXIORJZBFYLD-UHFFFAOYSA-N 0 0 441.448 -0.990 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)CNS(=O)(=O)c3cn(C)c(C)n3)C2)nc1 ZINC000543295367 1073212612 /nfs/dbraw/zinc/21/26/12/1073212612.db2.gz DQKXXRAMCCRIFD-UHFFFAOYSA-N 0 0 436.494 -0.416 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NC[C@@H]3CCCCS3(=O)=O)CC2=O)c1 ZINC000543313803 1073213087 /nfs/dbraw/zinc/21/30/87/1073213087.db2.gz MXZJALKPKZIIHH-DOMZBBRYSA-N 0 0 429.520 -0.230 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NC[C@H]3CCCCS3(=O)=O)CC2=O)c1 ZINC000543313804 1073213071 /nfs/dbraw/zinc/21/30/71/1073213071.db2.gz MXZJALKPKZIIHH-IUODEOHRSA-N 0 0 429.520 -0.230 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NC[C@H]3CCCCS3(=O)=O)CC2=O)c1 ZINC000543313805 1073213101 /nfs/dbraw/zinc/21/31/01/1073213101.db2.gz MXZJALKPKZIIHH-SWLSCSKDSA-N 0 0 429.520 -0.230 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NC[C@@H]3CCCCS3(=O)=O)CC2=O)c1 ZINC000543313806 1073212995 /nfs/dbraw/zinc/21/29/95/1073212995.db2.gz MXZJALKPKZIIHH-WFASDCNBSA-N 0 0 429.520 -0.230 20 0 IBADRN CN(C(=O)Cn1cccnc1=O)[C@@H](CNC(=O)Cn1cccnc1=O)Cc1ccccc1 ZINC000543323028 1073212965 /nfs/dbraw/zinc/21/29/65/1073212965.db2.gz HPELHKNDQACSLT-GOSISDBHSA-N 0 0 436.472 -0.314 20 0 IBADRN CN(C(=O)Cn1cccnc1=O)[C@H](CNC(=O)Cn1cccnc1=O)Cc1ccccc1 ZINC000543323040 1073213017 /nfs/dbraw/zinc/21/30/17/1073213017.db2.gz HPELHKNDQACSLT-SFHVURJKSA-N 0 0 436.472 -0.314 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)Nc1ccccc1CN(C)C(=O)CS(=O)(=O)N(C)C ZINC000543330937 1073213494 /nfs/dbraw/zinc/21/34/94/1073213494.db2.gz BPDDNFLQAGPDBM-UHFFFAOYSA-N 0 0 434.540 -0.634 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000543337855 1073213354 /nfs/dbraw/zinc/21/33/54/1073213354.db2.gz CAUDXEIQOPPLGL-KRWDZBQOSA-N 0 0 433.575 -0.874 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000543337857 1073213432 /nfs/dbraw/zinc/21/34/32/1073213432.db2.gz CAUDXEIQOPPLGL-QGZVFWFLSA-N 0 0 433.575 -0.874 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N3CCN(CC(=O)NCCOC)CC3)C2)nc1 ZINC000543339514 1073214231 /nfs/dbraw/zinc/21/42/31/1073214231.db2.gz MANNDZUTAULJIA-UHFFFAOYSA-N 0 0 448.524 -0.463 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000543342341 1073213721 /nfs/dbraw/zinc/21/37/21/1073213721.db2.gz LBGAPZAKGHJVPW-KRWDZBQOSA-N 0 0 430.509 -0.049 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000543342347 1073213812 /nfs/dbraw/zinc/21/38/12/1073213812.db2.gz LBGAPZAKGHJVPW-QGZVFWFLSA-N 0 0 430.509 -0.049 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000543344232 1073213771 /nfs/dbraw/zinc/21/37/71/1073213771.db2.gz OXYRTIHFTMTNFW-INIZCTEOSA-N 0 0 427.469 -0.887 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000543344234 1073213853 /nfs/dbraw/zinc/21/38/53/1073213853.db2.gz OXYRTIHFTMTNFW-MRXNPFEDSA-N 0 0 427.469 -0.887 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000543349507 1073214284 /nfs/dbraw/zinc/21/42/84/1073214284.db2.gz NXSZOFVCFPOYTH-HNNXBMFYSA-N 0 0 425.511 -0.473 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000543349510 1073214355 /nfs/dbraw/zinc/21/43/55/1073214355.db2.gz NXSZOFVCFPOYTH-OAHLLOKOSA-N 0 0 425.511 -0.473 20 0 IBADRN O=C(NCCCn1ccnn1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000543382243 1073215951 /nfs/dbraw/zinc/21/59/51/1073215951.db2.gz ZWQJBLJYDUQVSI-UHFFFAOYSA-N 0 0 433.494 -0.121 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2CCCCS2(=O)=O)c1 ZINC000543388139 1073216076 /nfs/dbraw/zinc/21/60/76/1073216076.db2.gz PWQQBOREBDJRHS-HNNXBMFYSA-N 0 0 431.536 -0.250 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CCCCS2(=O)=O)c1 ZINC000543388140 1073215972 /nfs/dbraw/zinc/21/59/72/1073215972.db2.gz PWQQBOREBDJRHS-OAHLLOKOSA-N 0 0 431.536 -0.250 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(Cl)cc(C(N)=O)c1 ZINC000543396658 1073216970 /nfs/dbraw/zinc/21/69/70/1073216970.db2.gz VPEGWCVECRXIGQ-CYBMUJFWSA-N 0 0 433.870 -0.492 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(Cl)cc(C(N)=O)c1 ZINC000543396662 1073216904 /nfs/dbraw/zinc/21/69/04/1073216904.db2.gz VPEGWCVECRXIGQ-ZDUSSCGKSA-N 0 0 433.870 -0.492 20 0 IBADRN COc1cc(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc(OC)c1OC ZINC000543401918 1073216957 /nfs/dbraw/zinc/21/69/57/1073216957.db2.gz XYABINWSEZXNHE-UHFFFAOYSA-N 0 0 425.467 -0.094 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000543402902 1073216820 /nfs/dbraw/zinc/21/68/20/1073216820.db2.gz NOPAPLOJCNYFTQ-HNNXBMFYSA-N 0 0 449.472 -0.444 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000543402909 1073217192 /nfs/dbraw/zinc/21/71/92/1073217192.db2.gz NOPAPLOJCNYFTQ-OAHLLOKOSA-N 0 0 449.472 -0.444 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CC1=O ZINC000543403248 1073217454 /nfs/dbraw/zinc/21/74/54/1073217454.db2.gz SMJMHTMNOXCHIU-CYBMUJFWSA-N 0 0 434.478 -0.301 20 0 IBADRN COc1ccccc1N1C[C@@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CC1=O ZINC000543403249 1073217446 /nfs/dbraw/zinc/21/74/46/1073217446.db2.gz SMJMHTMNOXCHIU-ZDUSSCGKSA-N 0 0 434.478 -0.301 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000543410947 1073217724 /nfs/dbraw/zinc/21/77/24/1073217724.db2.gz MQHBSFCHQKZGND-UHFFFAOYSA-N 0 0 433.494 -0.595 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)cnc12 ZINC000543411020 1073217644 /nfs/dbraw/zinc/21/76/44/1073217644.db2.gz CQQDPHMTGPMPHM-UHFFFAOYSA-N 0 0 431.478 -0.254 20 0 IBADRN O=C(NCC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)NCc1ccc(Cl)cc1 ZINC000543411110 1073217660 /nfs/dbraw/zinc/21/76/60/1073217660.db2.gz QHSJKKVLSAAFPS-UHFFFAOYSA-N 0 0 441.901 -0.210 20 0 IBADRN O=C(C[C@H]1CN(Cc2ccccc2)CCO1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000543411987 1073218510 /nfs/dbraw/zinc/21/85/10/1073218510.db2.gz RUOAXYZQXDZRHM-KRWDZBQOSA-N 0 0 434.522 -0.071 20 0 IBADRN O=C(C[C@@H]1CN(Cc2ccccc2)CCO1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000543411988 1073218681 /nfs/dbraw/zinc/21/86/81/1073218681.db2.gz RUOAXYZQXDZRHM-QGZVFWFLSA-N 0 0 434.522 -0.071 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cc(Cl)cc(C(N)=O)c1)C2 ZINC000543414946 1073218577 /nfs/dbraw/zinc/21/85/77/1073218577.db2.gz FBDRMGJOCXIMDS-UHFFFAOYSA-N 0 0 442.885 -0.910 20 0 IBADRN Cc1nc(C(=O)NC2CCN(c3nnnn3C)CC2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC000543418878 1073219106 /nfs/dbraw/zinc/21/91/06/1073219106.db2.gz CGAWXIPTHRPIEJ-UHFFFAOYSA-N 0 0 426.367 -0.365 20 0 IBADRN Cn1nnnc1N1CCC(NC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000543418952 1073219050 /nfs/dbraw/zinc/21/90/50/1073219050.db2.gz GPJVBQIEWCRKMA-UHFFFAOYSA-N 0 0 441.539 -0.309 20 0 IBADRN Cn1nnnc1N1CCC(NC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000543421101 1073219485 /nfs/dbraw/zinc/21/94/85/1073219485.db2.gz NGRYDVJUOQRFSD-UHFFFAOYSA-N 0 0 440.555 -0.326 20 0 IBADRN CNC(=O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000543434202 1073220961 /nfs/dbraw/zinc/22/09/61/1073220961.db2.gz KTRJTNNDCVPOMD-GFCCVEGCSA-N 0 0 438.428 -0.130 20 0 IBADRN CNC(=O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000543434203 1073220922 /nfs/dbraw/zinc/22/09/22/1073220922.db2.gz KTRJTNNDCVPOMD-LBPRGKRZSA-N 0 0 438.428 -0.130 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000543439119 1073221444 /nfs/dbraw/zinc/22/14/44/1073221444.db2.gz SRIZYRPTXCBDHT-KRWDZBQOSA-N 0 0 441.529 -0.339 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000543439120 1073221371 /nfs/dbraw/zinc/22/13/71/1073221371.db2.gz SRIZYRPTXCBDHT-QGZVFWFLSA-N 0 0 441.529 -0.339 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)C(=O)OC ZINC000543439409 1073221327 /nfs/dbraw/zinc/22/13/27/1073221327.db2.gz JDIRNZZGZKLHSX-IBGZPJMESA-N 0 0 427.523 -0.172 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)C(=O)OC ZINC000543439410 1073221734 /nfs/dbraw/zinc/22/17/34/1073221734.db2.gz JDIRNZZGZKLHSX-LJQANCHMSA-N 0 0 427.523 -0.172 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1)C(=O)OC ZINC000543439861 1073221916 /nfs/dbraw/zinc/22/19/16/1073221916.db2.gz WIRIOYOQFHEWCS-IBGZPJMESA-N 0 0 443.522 -0.304 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1)C(=O)OC ZINC000543439862 1073221793 /nfs/dbraw/zinc/22/17/93/1073221793.db2.gz WIRIOYOQFHEWCS-LJQANCHMSA-N 0 0 443.522 -0.304 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC(CO)C1)c(=O)n2Cc1ccccc1 ZINC000543440600 1073221004 /nfs/dbraw/zinc/22/10/04/1073221004.db2.gz FKFXBGQNASLLOS-UHFFFAOYSA-N 0 0 427.461 -0.495 20 0 IBADRN O=C(NCCc1nnc2n1CCCC2)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000543441214 1073220886 /nfs/dbraw/zinc/22/08/86/1073220886.db2.gz OVKVZQIUHQDHMR-UHFFFAOYSA-N 0 0 432.506 -0.293 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000543442164 1073221392 /nfs/dbraw/zinc/22/13/92/1073221392.db2.gz NTIWXLYXESWIBT-INIZCTEOSA-N 0 0 448.542 -0.589 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000543442167 1073221347 /nfs/dbraw/zinc/22/13/47/1073221347.db2.gz NTIWXLYXESWIBT-MRXNPFEDSA-N 0 0 448.542 -0.589 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000543446334 1073221942 /nfs/dbraw/zinc/22/19/42/1073221942.db2.gz CVMMIJKDMUFDMT-UHFFFAOYSA-N 0 0 442.523 -0.362 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000543446484 1073222234 /nfs/dbraw/zinc/22/22/34/1073222234.db2.gz KJNVWEIIMDCWJM-UHFFFAOYSA-N 0 0 431.272 -0.738 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000543449960 1073222682 /nfs/dbraw/zinc/22/26/82/1073222682.db2.gz RUSLVUWMXLSTGB-UHFFFAOYSA-N 0 0 439.538 -0.336 20 0 IBADRN Cn1nnc2cc(C(=O)NCCS(=O)(=O)N3CCN(c4ccccn4)CC3)cnc21 ZINC000543467110 1073222793 /nfs/dbraw/zinc/22/27/93/1073222793.db2.gz ZXXLIYYTHNGURO-UHFFFAOYSA-N 0 0 430.494 -0.360 20 0 IBADRN NC(=O)C1(NCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCCO4)CC2)CC1 ZINC000543477542 1073223735 /nfs/dbraw/zinc/22/37/35/1073223735.db2.gz CGZIKBXNBKNFNV-UHFFFAOYSA-N 0 0 438.506 -0.712 20 0 IBADRN CC(C)[C@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000543510671 1073250250 /nfs/dbraw/zinc/25/02/50/1073250250.db2.gz HYOZGCBPJMREPJ-IBGZPJMESA-N 0 0 426.558 -0.055 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000543513620 1073251094 /nfs/dbraw/zinc/25/10/94/1073251094.db2.gz VLAHGXCFGKYPPR-UHFFFAOYSA-N 0 0 440.460 -0.002 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000543520598 1073252510 /nfs/dbraw/zinc/25/25/10/1073252510.db2.gz MXMYNGNLRYEQAE-UHFFFAOYSA-N 0 0 430.508 -0.783 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000543521457 1073252482 /nfs/dbraw/zinc/25/24/82/1073252482.db2.gz DSGFOQMNYFSZJF-UHFFFAOYSA-N 0 0 444.535 -0.559 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000543521688 1073252023 /nfs/dbraw/zinc/25/20/23/1073252023.db2.gz OGTDULNISOJCOY-UHFFFAOYSA-N 0 0 432.524 -0.607 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cc(C(N)=O)ccc1-n1cc(C)cn1 ZINC000543523009 1073252015 /nfs/dbraw/zinc/25/20/15/1073252015.db2.gz XUHJTMOGVLDPGF-UHFFFAOYSA-N 0 0 436.494 -0.336 20 0 IBADRN Cc1oncc1C(=O)Nc1ccc(O)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000543523805 1073252461 /nfs/dbraw/zinc/25/24/61/1073252461.db2.gz UBELJYXYVKIADB-UHFFFAOYSA-N 0 0 440.420 -0.088 20 0 IBADRN COCCOc1cc(C)ccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000543523824 1073252648 /nfs/dbraw/zinc/25/26/48/1073252648.db2.gz GXGMTECQOJUOIF-UHFFFAOYSA-N 0 0 448.520 -0.038 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cn1 ZINC000543524558 1073253049 /nfs/dbraw/zinc/25/30/49/1073253049.db2.gz NANXNOLCQJEVIJ-HNNXBMFYSA-N 0 0 433.490 -0.243 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cn1 ZINC000543524560 1073253144 /nfs/dbraw/zinc/25/31/44/1073253144.db2.gz NANXNOLCQJEVIJ-OAHLLOKOSA-N 0 0 433.490 -0.243 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)C1 ZINC000543524798 1073253023 /nfs/dbraw/zinc/25/30/23/1073253023.db2.gz QHXRWOHMFOHVFZ-CYBMUJFWSA-N 0 0 438.506 -0.299 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)C1 ZINC000543524800 1073253151 /nfs/dbraw/zinc/25/31/51/1073253151.db2.gz QHXRWOHMFOHVFZ-ZDUSSCGKSA-N 0 0 438.506 -0.299 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000543524898 1073253108 /nfs/dbraw/zinc/25/31/08/1073253108.db2.gz POUCTWGUKLBZFZ-HNNXBMFYSA-N 0 0 438.506 -0.383 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000543524899 1073253134 /nfs/dbraw/zinc/25/31/34/1073253134.db2.gz POUCTWGUKLBZFZ-OAHLLOKOSA-N 0 0 438.506 -0.383 20 0 IBADRN COCCOc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000543524929 1073253032 /nfs/dbraw/zinc/25/30/32/1073253032.db2.gz RGGNHCLJLNYAMJ-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)OC(F)(F)O3)CC1)N1CCOCC1 ZINC000543524958 1073253139 /nfs/dbraw/zinc/25/31/39/1073253139.db2.gz SNBFOOSPFHKMNS-UHFFFAOYSA-N 0 0 440.403 -0.050 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000543525184 1073252678 /nfs/dbraw/zinc/25/26/78/1073252678.db2.gz UBKPHCGLGWZEOS-INIZCTEOSA-N 0 0 438.506 -0.811 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000543525185 1073252501 /nfs/dbraw/zinc/25/25/01/1073252501.db2.gz UBKPHCGLGWZEOS-MRXNPFEDSA-N 0 0 438.506 -0.811 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)c(F)c2)CC1)N1CCOCC1 ZINC000543525449 1073252629 /nfs/dbraw/zinc/25/26/29/1073252629.db2.gz CKMIWNJNIOWBAV-UHFFFAOYSA-N 0 0 444.467 -0.047 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1Cl ZINC000543525549 1073252962 /nfs/dbraw/zinc/25/29/62/1073252962.db2.gz IUNHETZFKQUOLQ-UHFFFAOYSA-N 0 0 437.884 -0.620 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCO)CC2)ccc1S(=O)(=O)N1CCCC1 ZINC000543525823 1073253345 /nfs/dbraw/zinc/25/33/45/1073253345.db2.gz HKTRMTGICUTPSY-UHFFFAOYSA-N 0 0 440.522 -0.445 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)C1 ZINC000543525870 1073253415 /nfs/dbraw/zinc/25/34/15/1073253415.db2.gz PCFPZHVAHOCDHQ-CYBMUJFWSA-N 0 0 438.506 -0.299 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)C1 ZINC000543525872 1073253442 /nfs/dbraw/zinc/25/34/42/1073253442.db2.gz PCFPZHVAHOCDHQ-ZDUSSCGKSA-N 0 0 438.506 -0.299 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000543526095 1073253421 /nfs/dbraw/zinc/25/34/21/1073253421.db2.gz LIQPFXJCVFNPIC-KRWDZBQOSA-N 0 0 445.520 -0.436 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(CCO)CC2)c1 ZINC000543526097 1073253497 /nfs/dbraw/zinc/25/34/97/1073253497.db2.gz BZJUMTLIENYENP-UHFFFAOYSA-N 0 0 442.538 -0.199 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000543526101 1073253354 /nfs/dbraw/zinc/25/33/54/1073253354.db2.gz LIQPFXJCVFNPIC-QGZVFWFLSA-N 0 0 445.520 -0.436 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000543526107 1073253399 /nfs/dbraw/zinc/25/33/99/1073253399.db2.gz DNUUVHQOLXUZBX-UHFFFAOYSA-N 0 0 427.527 -0.922 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(CCO)CC2)cc1S(=O)(=O)N(C)C ZINC000543526158 1073253453 /nfs/dbraw/zinc/25/34/53/1073253453.db2.gz CSAWJYBFHQHVPJ-UHFFFAOYSA-N 0 0 428.511 -0.589 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@H]1CCN(CCC(=O)OC)C1=O ZINC000543526239 1073253041 /nfs/dbraw/zinc/25/30/41/1073253041.db2.gz CNMBRIUUZWVMKC-HNNXBMFYSA-N 0 0 446.460 -0.559 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000543526244 1073253328 /nfs/dbraw/zinc/25/33/28/1073253328.db2.gz NKNUPGOQMCSKAG-GDBMZVCRSA-N 0 0 440.522 -0.519 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@@H]1CCN(CCC(=O)OC)C1=O ZINC000543526246 1073253438 /nfs/dbraw/zinc/25/34/38/1073253438.db2.gz CNMBRIUUZWVMKC-OAHLLOKOSA-N 0 0 446.460 -0.559 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000543526247 1073253332 /nfs/dbraw/zinc/25/33/32/1073253332.db2.gz NKNUPGOQMCSKAG-GOEBONIOSA-N 0 0 440.522 -0.519 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000543526252 1073253339 /nfs/dbraw/zinc/25/33/39/1073253339.db2.gz NKNUPGOQMCSKAG-HOCLYGCPSA-N 0 0 440.522 -0.519 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000543526257 1073253410 /nfs/dbraw/zinc/25/34/10/1073253410.db2.gz NKNUPGOQMCSKAG-ZBFHGGJFSA-N 0 0 440.522 -0.519 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000543526272 1073253391 /nfs/dbraw/zinc/25/33/91/1073253391.db2.gz VACMARGOMCPRSK-UHFFFAOYSA-N 0 0 431.493 -0.105 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ncn(C3CCCCC3)n2)CC1)N1CCOCC1 ZINC000543526274 1073253322 /nfs/dbraw/zinc/25/33/22/1073253322.db2.gz FOCOQUDVDWMWCF-UHFFFAOYSA-N 0 0 433.513 -0.275 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000543526337 1073253127 /nfs/dbraw/zinc/25/31/27/1073253127.db2.gz VXUJPWXIRHITJL-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000543526478 1073253155 /nfs/dbraw/zinc/25/31/55/1073253155.db2.gz YGGQGHXGSAVEMX-UHFFFAOYSA-N 0 0 431.493 -0.431 20 0 IBADRN O=C(Nc1c2ccccc2oc1C(=O)N1CCOCC1)C(=O)N1CCN(CCO)CC1 ZINC000543526613 1073254397 /nfs/dbraw/zinc/25/43/97/1073254397.db2.gz IDXYJVUNRPGQSD-UHFFFAOYSA-N 0 0 430.461 -0.020 20 0 IBADRN COC(=O)c1c(C)cccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000543526738 1073254365 /nfs/dbraw/zinc/25/43/65/1073254365.db2.gz JDGCNCVHNDTXOK-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN(CCO)CC1 ZINC000543527210 1073253948 /nfs/dbraw/zinc/25/39/48/1073253948.db2.gz CXQVDNKUBBCIHN-UHFFFAOYSA-N 0 0 430.509 -0.785 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1)C(=O)N1CCN(CCO)CC1 ZINC000543527506 1073254425 /nfs/dbraw/zinc/25/44/25/1073254425.db2.gz NOLZYYYLDHBXHE-INIZCTEOSA-N 0 0 425.507 -0.286 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1)C(=O)N1CCN(CCO)CC1 ZINC000543527507 1073254338 /nfs/dbraw/zinc/25/43/38/1073254338.db2.gz NOLZYYYLDHBXHE-MRXNPFEDSA-N 0 0 425.507 -0.286 20 0 IBADRN CS(=O)(=O)c1cc(Br)ccc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000543527768 1073254414 /nfs/dbraw/zinc/25/44/14/1073254414.db2.gz UYCFRQCMTCNYJH-UHFFFAOYSA-N 0 0 434.312 -0.072 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)nc2)CC1)N1CCOCC1 ZINC000543527836 1073254349 /nfs/dbraw/zinc/25/43/49/1073254349.db2.gz VIHIWRNCHVZONZ-UHFFFAOYSA-N 0 0 444.492 -0.628 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000543528050 1073254333 /nfs/dbraw/zinc/25/43/33/1073254333.db2.gz FNQUVEZXGQEGEI-UHFFFAOYSA-N 0 0 438.506 -0.968 20 0 IBADRN O=C(Nc1ccc(F)c(N2CCCS2(=O)=O)c1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000543528246 1073254440 /nfs/dbraw/zinc/25/44/40/1073254440.db2.gz NAEASZLFAJRYKY-AWEZNQCLSA-N 0 0 426.470 -0.153 20 0 IBADRN O=C(Nc1ccc(F)c(N2CCCS2(=O)=O)c1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000543528253 1073254419 /nfs/dbraw/zinc/25/44/19/1073254419.db2.gz NAEASZLFAJRYKY-CQSZACIVSA-N 0 0 426.470 -0.153 20 0 IBADRN CC(=O)Nc1ccc(F)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000543528501 1073254330 /nfs/dbraw/zinc/25/43/30/1073254330.db2.gz AMIXNEWTPGVSNU-UHFFFAOYSA-N 0 0 435.456 -0.274 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cncc(Br)c2)CC1)N1CCOCC1 ZINC000543528632 1073254289 /nfs/dbraw/zinc/25/42/89/1073254289.db2.gz CDQWHBIAPUIENE-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000543528754 1073254407 /nfs/dbraw/zinc/25/44/07/1073254407.db2.gz FHZUIWYXZAOQLF-INIZCTEOSA-N 0 0 425.507 -0.022 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000543528757 1073254298 /nfs/dbraw/zinc/25/42/98/1073254298.db2.gz FHZUIWYXZAOQLF-MRXNPFEDSA-N 0 0 425.507 -0.022 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1)N1CCOCC1 ZINC000543528788 1073254385 /nfs/dbraw/zinc/25/43/85/1073254385.db2.gz CSVUVHRNKDTSEY-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(CC(F)(F)F)c2)CC1)N1CCOCC1 ZINC000543528905 1073254359 /nfs/dbraw/zinc/25/43/59/1073254359.db2.gz PLHXTYGRVINQFY-UHFFFAOYSA-N 0 0 432.403 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1)N1CCOCC1 ZINC000543528948 1073253860 /nfs/dbraw/zinc/25/38/60/1073253860.db2.gz PZPPPXASEKPPDB-IBGZPJMESA-N 0 0 446.504 -0.204 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1)N1CCOCC1 ZINC000543528952 1073253954 /nfs/dbraw/zinc/25/39/54/1073253954.db2.gz PZPPPXASEKPPDB-LJQANCHMSA-N 0 0 446.504 -0.204 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000543528953 1073254038 /nfs/dbraw/zinc/25/40/38/1073254038.db2.gz QBLHCJYQQJGQMX-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000543529132 1073254034 /nfs/dbraw/zinc/25/40/34/1073254034.db2.gz MFMKTGFVVTUOPC-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000543529143 1073253976 /nfs/dbraw/zinc/25/39/76/1073253976.db2.gz MJFCNQACBJQPOZ-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)cc2)CC1)N1CCOCC1 ZINC000543529156 1073253847 /nfs/dbraw/zinc/25/38/47/1073253847.db2.gz MTOPUNBEDDZBJE-UHFFFAOYSA-N 0 0 443.504 -0.023 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000543529307 1073253314 /nfs/dbraw/zinc/25/33/14/1073253314.db2.gz KEHHMMSENLFWRN-UHFFFAOYSA-N 0 0 438.506 -0.968 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(CCO)CC2)cc(S(C)(=O)=O)c1 ZINC000543529326 1073253370 /nfs/dbraw/zinc/25/33/70/1073253370.db2.gz IKIUOLZFDHABIP-UHFFFAOYSA-N 0 0 427.479 -0.658 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCCC(=O)N3)CC1)N1CCOCC1 ZINC000543529335 1073253967 /nfs/dbraw/zinc/25/39/67/1073253967.db2.gz IROHPOOTOGLOPM-UHFFFAOYSA-N 0 0 443.504 -0.097 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000543529369 1073253971 /nfs/dbraw/zinc/25/39/71/1073253971.db2.gz KDWSZKJUXPRRTR-AWEZNQCLSA-N 0 0 426.470 -0.252 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000543529370 1073253960 /nfs/dbraw/zinc/25/39/60/1073253960.db2.gz KDWSZKJUXPRRTR-CQSZACIVSA-N 0 0 426.470 -0.252 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000543529375 1073253991 /nfs/dbraw/zinc/25/39/91/1073253991.db2.gz KJTLYVXDTBSVLR-UHFFFAOYSA-N 0 0 425.438 -0.426 20 0 IBADRN Cn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000543529381 1073254393 /nfs/dbraw/zinc/25/43/93/1073254393.db2.gz KSUWPKHFHGUMBP-UHFFFAOYSA-N 0 0 441.492 -0.576 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3F)c2)CC1)N1CCOCC1 ZINC000543529594 1073254886 /nfs/dbraw/zinc/25/48/86/1073254886.db2.gz LKMXEAWGPAUDCY-UHFFFAOYSA-N 0 0 444.467 -0.047 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)cc2)CC1)N1CCOCC1 ZINC000543529648 1073254845 /nfs/dbraw/zinc/25/48/45/1073254845.db2.gz NGRHQDVBAVSJPV-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN COc1cc(OC)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000543529786 1073254790 /nfs/dbraw/zinc/25/47/90/1073254790.db2.gz RCQSZIIQZBFTKA-UHFFFAOYSA-N 0 0 438.456 -0.216 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000543529872 1073254837 /nfs/dbraw/zinc/25/48/37/1073254837.db2.gz OJBJDGGAXJJTNE-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)c2)no1 ZINC000543530123 1073254860 /nfs/dbraw/zinc/25/48/60/1073254860.db2.gz VNJZAVHCIPKMIL-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000543530231 1073254855 /nfs/dbraw/zinc/25/48/55/1073254855.db2.gz VCIYJIOLBBAXDT-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000543530321 1073254793 /nfs/dbraw/zinc/25/47/93/1073254793.db2.gz ZQSXSZMRCXYPFV-INIZCTEOSA-N 0 0 438.506 -0.811 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000543530322 1073254808 /nfs/dbraw/zinc/25/48/08/1073254808.db2.gz ZQSXSZMRCXYPFV-MRXNPFEDSA-N 0 0 438.506 -0.811 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(Cn3cncn3)cc2)CC1)N1CCOCC1 ZINC000543530406 1073254751 /nfs/dbraw/zinc/25/47/51/1073254751.db2.gz ZTQAUXBZJRYIGY-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)S(C)(=O)=O ZINC000543530779 1073254760 /nfs/dbraw/zinc/25/47/60/1073254760.db2.gz AGILSOWNYNAZBA-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C(=O)N2CCCc3ccc(F)cc32)CC1)N1CCOCC1 ZINC000543531474 1073254786 /nfs/dbraw/zinc/25/47/86/1073254786.db2.gz NOFAWUFLHBJJKH-UHFFFAOYSA-N 0 0 432.452 -0.366 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1)NC1CC1 ZINC000543531624 1073255301 /nfs/dbraw/zinc/25/53/01/1073255301.db2.gz AVKFRTPAPAHNEV-UHFFFAOYSA-N 0 0 449.533 -0.511 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccccc1CN1CCNC1=O)S(C)(=O)=O ZINC000543531797 1073254449 /nfs/dbraw/zinc/25/44/49/1073254449.db2.gz RQKYZGFOEWNLFY-UHFFFAOYSA-N 0 0 425.511 -0.062 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NCCC(=O)N2CCOCC2)c1 ZINC000543531945 1073255323 /nfs/dbraw/zinc/25/53/23/1073255323.db2.gz BVXHMLJIOCJCJF-UHFFFAOYSA-N 0 0 428.449 -0.417 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000543532585 1073255264 /nfs/dbraw/zinc/25/52/64/1073255264.db2.gz HQZPOXTWIUAUJR-UHFFFAOYSA-N 0 0 437.522 -0.773 20 0 IBADRN CC(C)n1ncc2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cnc21 ZINC000543533069 1073255308 /nfs/dbraw/zinc/25/53/08/1073255308.db2.gz GQTROCVSRSJKGN-UHFFFAOYSA-N 0 0 443.508 -0.046 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000543533136 1073255275 /nfs/dbraw/zinc/25/52/75/1073255275.db2.gz KECVADNWQWWGBT-UHFFFAOYSA-N 0 0 443.504 -0.417 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000543533324 1073255257 /nfs/dbraw/zinc/25/52/57/1073255257.db2.gz TWYZBZHHIZBUMF-AWEZNQCLSA-N 0 0 448.505 -0.242 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000543533330 1073255315 /nfs/dbraw/zinc/25/53/15/1073255315.db2.gz TWYZBZHHIZBUMF-CQSZACIVSA-N 0 0 448.505 -0.242 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000543533592 1073255246 /nfs/dbraw/zinc/25/52/46/1073255246.db2.gz HBRUFAQHNFTTRS-UHFFFAOYSA-N 0 0 437.522 -0.773 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000543533928 1073255354 /nfs/dbraw/zinc/25/53/54/1073255354.db2.gz LTTBWYIOHSEGML-UHFFFAOYSA-N 0 0 426.495 -0.789 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c(=O)[nH]1 ZINC000543534171 1073255341 /nfs/dbraw/zinc/25/53/41/1073255341.db2.gz RHGOYMZPIMKNEF-UHFFFAOYSA-N 0 0 448.505 -0.303 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1)N1CCOCC1 ZINC000543535153 1073255658 /nfs/dbraw/zinc/25/56/58/1073255658.db2.gz SUISAYITJYAPAO-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000543535288 1073256308 /nfs/dbraw/zinc/25/63/08/1073256308.db2.gz UZEQXZGFCCVMOA-UHFFFAOYSA-N 0 0 431.474 -0.112 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000543535305 1073256351 /nfs/dbraw/zinc/25/63/51/1073256351.db2.gz VHQJLPFLPFRIHK-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000543535470 1073255924 /nfs/dbraw/zinc/25/59/24/1073255924.db2.gz VVTWQXMFAVMZOS-UHFFFAOYSA-N 0 0 425.511 -0.062 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCO[C@H](Cn2cncn2)C1 ZINC000543535919 1073255698 /nfs/dbraw/zinc/25/56/98/1073255698.db2.gz LHCAKHFYXXKVDT-AWEZNQCLSA-N 0 0 428.449 -0.320 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCO[C@@H](Cn2cncn2)C1 ZINC000543535920 1073255689 /nfs/dbraw/zinc/25/56/89/1073255689.db2.gz LHCAKHFYXXKVDT-CQSZACIVSA-N 0 0 428.449 -0.320 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1)N1CCCC1 ZINC000543535999 1073255778 /nfs/dbraw/zinc/25/57/78/1073255778.db2.gz PDPWQKFQXOBKTJ-UHFFFAOYSA-N 0 0 442.520 -0.083 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000543536289 1073255768 /nfs/dbraw/zinc/25/57/68/1073255768.db2.gz CKXDOMCXGNQPCT-UHFFFAOYSA-N 0 0 425.511 -0.016 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000543536696 1073256282 /nfs/dbraw/zinc/25/62/82/1073256282.db2.gz UJSIVZLAYWKBBF-UHFFFAOYSA-N 0 0 444.535 -0.559 20 0 IBADRN C[C@@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cn(-c2ccccc2)nn1 ZINC000543552473 1073256660 /nfs/dbraw/zinc/25/66/60/1073256660.db2.gz XLBNAHMPUSTSHP-GOSISDBHSA-N 0 0 441.536 -0.079 20 0 IBADRN C[C@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cn(-c2ccccc2)nn1 ZINC000543552481 1073256739 /nfs/dbraw/zinc/25/67/39/1073256739.db2.gz XLBNAHMPUSTSHP-SFHVURJKSA-N 0 0 441.536 -0.079 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1cccc3c1CCN3S(C)(=O)=O)CC2 ZINC000543554748 1073257336 /nfs/dbraw/zinc/25/73/36/1073257336.db2.gz XYQUKBMSXHVSNO-UHFFFAOYSA-N 0 0 431.474 -0.374 20 0 IBADRN O=C(CN1CCN2CCOC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000543555476 1073257278 /nfs/dbraw/zinc/25/72/78/1073257278.db2.gz SQRNYOMPRKSFCM-KRWDZBQOSA-N 0 0 426.514 -0.325 20 0 IBADRN O=C(CN1CCN2CCOC[C@H]2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000543555477 1073257316 /nfs/dbraw/zinc/25/73/16/1073257316.db2.gz SQRNYOMPRKSFCM-QGZVFWFLSA-N 0 0 426.514 -0.325 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC ZINC000543556883 1073257758 /nfs/dbraw/zinc/25/77/58/1073257758.db2.gz FNONROSCZSTTOX-CYBMUJFWSA-N 0 0 438.506 -0.299 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC ZINC000543556884 1073257842 /nfs/dbraw/zinc/25/78/42/1073257842.db2.gz FNONROSCZSTTOX-ZDUSSCGKSA-N 0 0 438.506 -0.299 20 0 IBADRN O=C(CN1CCN2CCOC[C@@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000543557360 1073257768 /nfs/dbraw/zinc/25/77/68/1073257768.db2.gz DHKOTPWJPNQULP-FXAWDEMLSA-N 0 0 430.505 -0.486 20 0 IBADRN O=C(CN1CCN2CCOC[C@@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000543557361 1073257567 /nfs/dbraw/zinc/25/75/67/1073257567.db2.gz DHKOTPWJPNQULP-PXNSSMCTSA-N 0 0 430.505 -0.486 20 0 IBADRN O=C(CN1CCN2CCOC[C@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000543557362 1073257704 /nfs/dbraw/zinc/25/77/04/1073257704.db2.gz DHKOTPWJPNQULP-XLIONFOSSA-N 0 0 430.505 -0.486 20 0 IBADRN O=C(CN1CCN2CCOC[C@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000543557363 1073257793 /nfs/dbraw/zinc/25/77/93/1073257793.db2.gz DHKOTPWJPNQULP-YLJYHZDGSA-N 0 0 430.505 -0.486 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000543557864 1073257691 /nfs/dbraw/zinc/25/76/91/1073257691.db2.gz WVHWHYWWDHRXIZ-UHFFFAOYSA-N 0 0 426.433 -0.127 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCCC[C@]2(C)C(N)=O)CC1 ZINC000543558018 1073257108 /nfs/dbraw/zinc/25/71/08/1073257108.db2.gz SXVGHIFOENMBQF-GOSISDBHSA-N 0 0 427.527 -0.146 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCCC[C@@]2(C)C(N)=O)CC1 ZINC000543558023 1073257264 /nfs/dbraw/zinc/25/72/64/1073257264.db2.gz SXVGHIFOENMBQF-SFHVURJKSA-N 0 0 427.527 -0.146 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)CC2)n1 ZINC000543558322 1073257644 /nfs/dbraw/zinc/25/76/44/1073257644.db2.gz KDVORLPWJLLJRR-UHFFFAOYSA-N 0 0 448.505 -0.017 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000543558564 1073257631 /nfs/dbraw/zinc/25/76/31/1073257631.db2.gz LNBXKTHPJRNPCW-UHFFFAOYSA-N 0 0 440.460 -0.231 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000543558724 1073257663 /nfs/dbraw/zinc/25/76/63/1073257663.db2.gz GWSJYTPAOVXBRI-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CCO1 ZINC000543559448 1073257619 /nfs/dbraw/zinc/25/76/19/1073257619.db2.gz SSSHXUVASMHUIT-GFCCVEGCSA-N 0 0 425.463 -0.262 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CCO1 ZINC000543559454 1073257607 /nfs/dbraw/zinc/25/76/07/1073257607.db2.gz SSSHXUVASMHUIT-LBPRGKRZSA-N 0 0 425.463 -0.262 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)C1CCOCC1 ZINC000543560111 1073257638 /nfs/dbraw/zinc/25/76/38/1073257638.db2.gz ZNUAEFCYFDLYLX-IBGZPJMESA-N 0 0 426.558 -0.007 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)C1CCOCC1 ZINC000543560113 1073257625 /nfs/dbraw/zinc/25/76/25/1073257625.db2.gz ZNUAEFCYFDLYLX-LJQANCHMSA-N 0 0 426.558 -0.007 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@@H]1CNC(=O)C1 ZINC000543564322 1073258138 /nfs/dbraw/zinc/25/81/38/1073258138.db2.gz WCNUQLKHOPFCRL-AWEZNQCLSA-N 0 0 426.470 -0.557 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@H]1CNC(=O)C1 ZINC000543564332 1073258234 /nfs/dbraw/zinc/25/82/34/1073258234.db2.gz WCNUQLKHOPFCRL-CQSZACIVSA-N 0 0 426.470 -0.557 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000543571405 1073258653 /nfs/dbraw/zinc/25/86/53/1073258653.db2.gz KPFGNAYTGVJCAX-UHFFFAOYSA-N 0 0 436.494 -0.222 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)CC1=O ZINC000543571638 1073258700 /nfs/dbraw/zinc/25/87/00/1073258700.db2.gz GOBNTOKAVYHZQQ-UHFFFAOYSA-N 0 0 438.506 -0.355 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1=O ZINC000543571696 1073258672 /nfs/dbraw/zinc/25/86/72/1073258672.db2.gz HCOVLBXGRIBMLT-UHFFFAOYSA-N 0 0 437.522 -0.748 20 0 IBADRN CCN1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000543572559 1073258719 /nfs/dbraw/zinc/25/87/19/1073258719.db2.gz ASMSXNOXENOAFH-KRWDZBQOSA-N 0 0 430.461 -0.670 20 0 IBADRN CCN1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1=O ZINC000543572560 1073258703 /nfs/dbraw/zinc/25/87/03/1073258703.db2.gz ASMSXNOXENOAFH-QGZVFWFLSA-N 0 0 430.461 -0.670 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000543590998 1073309729 /nfs/dbraw/zinc/30/97/29/1073309729.db2.gz BKDCTXGQPJHCCV-UHFFFAOYSA-N 0 0 431.536 -0.263 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000543594419 1073311383 /nfs/dbraw/zinc/31/13/83/1073311383.db2.gz NDPCORHAAMVYOM-UHFFFAOYSA-N 0 0 442.475 -0.382 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000543595402 1073311678 /nfs/dbraw/zinc/31/16/78/1073311678.db2.gz NRNDJFJDPUUEPK-UHFFFAOYSA-N 0 0 442.542 -0.075 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000543599227 1073313452 /nfs/dbraw/zinc/31/34/52/1073313452.db2.gz OYFGHXLFEYJQKG-UHFFFAOYSA-N 0 0 448.567 -0.173 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000543603837 1073317623 /nfs/dbraw/zinc/31/76/23/1073317623.db2.gz XSKWCTJDVLWYDX-UHFFFAOYSA-N 0 0 437.316 -0.111 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@]1(CO)CCOC1 ZINC000543604197 1073317134 /nfs/dbraw/zinc/31/71/34/1073317134.db2.gz XMBHSQAFBBIDMG-IBGZPJMESA-N 0 0 425.507 -0.433 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@]1(CO)CCOC1 ZINC000543604198 1073317269 /nfs/dbraw/zinc/31/72/69/1073317269.db2.gz XMBHSQAFBBIDMG-LJQANCHMSA-N 0 0 425.507 -0.433 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)c1ccc(N2CCOCC2)nc1 ZINC000543610289 1073320794 /nfs/dbraw/zinc/32/07/94/1073320794.db2.gz YUVYQGQTTIWGSP-UHFFFAOYSA-N 0 0 427.527 -0.285 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000543611565 1073320823 /nfs/dbraw/zinc/32/08/23/1073320823.db2.gz NYUZBNWJZHMLSP-GOSISDBHSA-N 0 0 444.598 -0.122 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000543611568 1073322729 /nfs/dbraw/zinc/32/27/29/1073322729.db2.gz NYUZBNWJZHMLSP-SFHVURJKSA-N 0 0 444.598 -0.122 20 0 IBADRN CCN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000543612936 1073323614 /nfs/dbraw/zinc/32/36/14/1073323614.db2.gz LXENAEARCWNGRN-HNNXBMFYSA-N 0 0 431.536 -0.541 20 0 IBADRN CCN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000543612937 1073323545 /nfs/dbraw/zinc/32/35/45/1073323545.db2.gz LXENAEARCWNGRN-OAHLLOKOSA-N 0 0 431.536 -0.541 20 0 IBADRN COc1ccc(C(=O)N2CCN3CCOC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000543613288 1073323640 /nfs/dbraw/zinc/32/36/40/1073323640.db2.gz UHOPOJUSVVCKLX-INIZCTEOSA-N 0 0 425.507 -0.127 20 0 IBADRN COc1ccc(C(=O)N2CCN3CCOC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000543613289 1073323652 /nfs/dbraw/zinc/32/36/52/1073323652.db2.gz UHOPOJUSVVCKLX-MRXNPFEDSA-N 0 0 425.507 -0.127 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4CCOC[C@H]4C3)c2)CC1 ZINC000543613963 1073324440 /nfs/dbraw/zinc/32/44/40/1073324440.db2.gz RIGJTSZRIVHKFR-GOSISDBHSA-N 0 0 436.534 -0.304 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4CCOC[C@@H]4C3)c2)CC1 ZINC000543613964 1073324620 /nfs/dbraw/zinc/32/46/20/1073324620.db2.gz RIGJTSZRIVHKFR-SFHVURJKSA-N 0 0 436.534 -0.304 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000543614250 1073324510 /nfs/dbraw/zinc/32/45/10/1073324510.db2.gz BLTSEVFFQXNGAL-UHFFFAOYSA-N 0 0 447.540 -0.619 20 0 IBADRN O=C(Nc1ccccc1CN1CCNC1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000543614736 1073325904 /nfs/dbraw/zinc/32/59/04/1073325904.db2.gz TVJUTXWLTPQVJZ-UHFFFAOYSA-N 0 0 444.492 -0.253 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000543615566 1073325117 /nfs/dbraw/zinc/32/51/17/1073325117.db2.gz YYTDQXBDGKOMEW-UHFFFAOYSA-N 0 0 445.524 -0.329 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)N3CCN(CC(=O)NC4CC4)CC3)ccn2)CC1 ZINC000543615797 1073326074 /nfs/dbraw/zinc/32/60/74/1073326074.db2.gz QTUSJVVRSIZGEL-UHFFFAOYSA-N 0 0 429.525 -0.103 20 0 IBADRN COC(=O)CCN1CC[C@H](NC(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C1=O ZINC000543616486 1073326011 /nfs/dbraw/zinc/32/60/11/1073326011.db2.gz FQKSYWGZQJZEQA-HNNXBMFYSA-N 0 0 432.481 -0.245 20 0 IBADRN COC(=O)CCN1CC[C@@H](NC(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)C1=O ZINC000543616487 1073325995 /nfs/dbraw/zinc/32/59/95/1073325995.db2.gz FQKSYWGZQJZEQA-OAHLLOKOSA-N 0 0 432.481 -0.245 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCN2CCOC[C@@H]2C1 ZINC000543640224 1073337613 /nfs/dbraw/zinc/33/76/13/1073337613.db2.gz AZZABRMYKZXYJC-AWEZNQCLSA-N 0 0 430.552 -0.005 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCN2CCOC[C@H]2C1 ZINC000543640226 1073337383 /nfs/dbraw/zinc/33/73/83/1073337383.db2.gz AZZABRMYKZXYJC-CQSZACIVSA-N 0 0 430.552 -0.005 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000543650486 1073339830 /nfs/dbraw/zinc/33/98/30/1073339830.db2.gz CWZSCOIMSRHACR-UHFFFAOYSA-N 0 0 431.540 -0.553 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CC1 ZINC000543655239 1073342514 /nfs/dbraw/zinc/34/25/14/1073342514.db2.gz AREKLSVEMVHNJO-CYBMUJFWSA-N 0 0 446.464 -0.376 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CC1 ZINC000543655241 1073342701 /nfs/dbraw/zinc/34/27/01/1073342701.db2.gz AREKLSVEMVHNJO-ZDUSSCGKSA-N 0 0 446.464 -0.376 20 0 IBADRN COCC(=O)N1CCN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CC1 ZINC000543655714 1073343807 /nfs/dbraw/zinc/34/38/07/1073343807.db2.gz GGXDVTRUWRGSGV-UHFFFAOYSA-N 0 0 446.464 -0.111 20 0 IBADRN C[C@@H](NC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1)C(=O)N1CCOCC1 ZINC000543657062 1073344189 /nfs/dbraw/zinc/34/41/89/1073344189.db2.gz NZDSJJWEQDFUGU-CYBMUJFWSA-N 0 0 446.464 -0.064 20 0 IBADRN C[C@H](NC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1)C(=O)N1CCOCC1 ZINC000543657065 1073344298 /nfs/dbraw/zinc/34/42/98/1073344298.db2.gz NZDSJJWEQDFUGU-ZDUSSCGKSA-N 0 0 446.464 -0.064 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC000543666546 1073347680 /nfs/dbraw/zinc/34/76/80/1073347680.db2.gz FTTWFGZHFDKCJF-UHFFFAOYSA-N 0 0 430.508 -0.444 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000543668463 1073348414 /nfs/dbraw/zinc/34/84/14/1073348414.db2.gz SMSVOTSENHHVRX-UHFFFAOYSA-N 0 0 445.523 -0.626 20 0 IBADRN CC(C)(C)NC(=O)N1CCC(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000543672345 1073350018 /nfs/dbraw/zinc/35/00/18/1073350018.db2.gz APSBBDKXQAOFHN-UHFFFAOYSA-N 0 0 425.577 -0.630 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3cc(Br)cc4c3OCC4)CCN2C1=O ZINC000543673316 1073350184 /nfs/dbraw/zinc/35/01/84/1073350184.db2.gz FHSUPEDFKYKRCS-LLVKDONJSA-N 0 0 430.280 -0.285 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3cc(Br)cc4c3OCC4)CCN2C1=O ZINC000543673336 1073351139 /nfs/dbraw/zinc/35/11/39/1073351139.db2.gz FHSUPEDFKYKRCS-NSHDSACASA-N 0 0 430.280 -0.285 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2cc(Br)cc3c2OCC3)CC1 ZINC000543681525 1073355708 /nfs/dbraw/zinc/35/57/08/1073355708.db2.gz IIZDIAZXXYKADW-UHFFFAOYSA-N 0 0 426.314 -0.106 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CC(=O)N(C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000543682984 1073358205 /nfs/dbraw/zinc/35/82/05/1073358205.db2.gz PBWLTTUWKMOFKD-UHFFFAOYSA-N 0 0 438.485 -0.795 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCN3CCOC[C@H]3C2)CC1 ZINC000543684304 1073358236 /nfs/dbraw/zinc/35/82/36/1073358236.db2.gz PKDCZQWCXGBDNN-GOSISDBHSA-N 0 0 437.566 -0.151 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCN3CCOC[C@@H]3C2)CC1 ZINC000543684306 1073358115 /nfs/dbraw/zinc/35/81/15/1073358115.db2.gz PKDCZQWCXGBDNN-SFHVURJKSA-N 0 0 437.566 -0.151 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000543684936 1073360575 /nfs/dbraw/zinc/36/05/75/1073360575.db2.gz BUOSPDLIWFGLCA-KFWWJZLASA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000543684940 1073360584 /nfs/dbraw/zinc/36/05/84/1073360584.db2.gz BUOSPDLIWFGLCA-QLFBSQMISA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000543684941 1073360651 /nfs/dbraw/zinc/36/06/51/1073360651.db2.gz BUOSPDLIWFGLCA-RBSFLKMASA-N 0 0 438.572 -0.755 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000543684943 1073360448 /nfs/dbraw/zinc/36/04/48/1073360448.db2.gz BUOSPDLIWFGLCA-ZNMIVQPWSA-N 0 0 438.572 -0.755 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000543708604 1074439003 /nfs/dbraw/zinc/43/90/03/1074439003.db2.gz SKLMQBNVYSBAGP-CYBMUJFWSA-N 0 0 427.435 -0.914 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000543708605 1074439101 /nfs/dbraw/zinc/43/91/01/1074439101.db2.gz SKLMQBNVYSBAGP-ZDUSSCGKSA-N 0 0 427.435 -0.914 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(F)c1 ZINC000543711229 1074445564 /nfs/dbraw/zinc/44/55/64/1074445564.db2.gz NTOLFZLDQYXHJD-CYBMUJFWSA-N 0 0 435.499 -0.260 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(F)c1 ZINC000543711231 1074445637 /nfs/dbraw/zinc/44/56/37/1074445637.db2.gz NTOLFZLDQYXHJD-ZDUSSCGKSA-N 0 0 435.499 -0.260 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1 ZINC000543715232 1074454866 /nfs/dbraw/zinc/45/48/66/1074454866.db2.gz LRXLQRNLQRQZGM-BMFZPTHFSA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C1 ZINC000543715233 1074454826 /nfs/dbraw/zinc/45/48/26/1074454826.db2.gz LRXLQRNLQRQZGM-FVQBIDKESA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1 ZINC000543715234 1074454927 /nfs/dbraw/zinc/45/49/27/1074454927.db2.gz LRXLQRNLQRQZGM-IMJJTQAJSA-N 0 0 433.552 -0.437 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C1 ZINC000543715235 1074454237 /nfs/dbraw/zinc/45/42/37/1074454237.db2.gz LRXLQRNLQRQZGM-NUEKZKHPSA-N 0 0 433.552 -0.437 20 0 IBADRN COC(=O)c1cnc(C(=O)NC[C@@H](NC(=O)c2cnc(C(=O)OC)cn2)C2CC2)cn1 ZINC000543719793 1074455957 /nfs/dbraw/zinc/45/59/57/1074455957.db2.gz BVCDTKUGOXQAEP-LLVKDONJSA-N 0 0 428.405 -0.222 20 0 IBADRN COC(=O)c1cnc(C(=O)NC[C@H](NC(=O)c2cnc(C(=O)OC)cn2)C2CC2)cn1 ZINC000543719794 1074456092 /nfs/dbraw/zinc/45/60/92/1074456092.db2.gz BVCDTKUGOXQAEP-NSHDSACASA-N 0 0 428.405 -0.222 20 0 IBADRN O=S(=O)(Cc1ccc(F)cc1F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000543725658 1074456746 /nfs/dbraw/zinc/45/67/46/1074456746.db2.gz LNSRPMLPKRWLGJ-UHFFFAOYSA-N 0 0 425.479 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCNC(=O)N(C)C)c1 ZINC000543739780 1074481166 /nfs/dbraw/zinc/48/11/66/1074481166.db2.gz PKABNJWALWLBBA-UHFFFAOYSA-N 0 0 427.527 -0.166 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CCNS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000543742610 1074481640 /nfs/dbraw/zinc/48/16/40/1074481640.db2.gz WTGVIHFDKZLSDN-HNNXBMFYSA-N 0 0 426.543 -0.693 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CCNS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000543742611 1074481694 /nfs/dbraw/zinc/48/16/94/1074481694.db2.gz WTGVIHFDKZLSDN-OAHLLOKOSA-N 0 0 426.543 -0.693 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)[C@H](C(=O)OC)C1 ZINC000543751359 1074483528 /nfs/dbraw/zinc/48/35/28/1074483528.db2.gz SOMADGGPIPGGLU-AAEUAGOBSA-N 0 0 446.453 -0.023 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)[C@@H](C(=O)OC)C1 ZINC000543751360 1074483679 /nfs/dbraw/zinc/48/36/79/1074483679.db2.gz SOMADGGPIPGGLU-DGCLKSJQSA-N 0 0 446.453 -0.023 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000543751361 1074483590 /nfs/dbraw/zinc/48/35/90/1074483590.db2.gz SOMADGGPIPGGLU-WCQYABFASA-N 0 0 446.453 -0.023 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)[C@H](C(=O)OC)C1 ZINC000543751362 1074483585 /nfs/dbraw/zinc/48/35/85/1074483585.db2.gz SOMADGGPIPGGLU-YPMHNXCESA-N 0 0 446.453 -0.023 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)c1cc(I)ccc1-n1cnnn1 ZINC000543761195 1074485938 /nfs/dbraw/zinc/48/59/38/1074485938.db2.gz PPDBVDZVPBULIB-UHFFFAOYSA-N 0 0 441.233 -0.572 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000543763181 1074485821 /nfs/dbraw/zinc/48/58/21/1074485821.db2.gz AJAMJNIMOCBRGO-UHFFFAOYSA-N 0 0 448.407 -0.629 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000543780728 1074487037 /nfs/dbraw/zinc/48/70/37/1074487037.db2.gz IVCXDUALJRDKTK-INIZCTEOSA-N 0 0 439.538 -0.721 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000543780730 1074487082 /nfs/dbraw/zinc/48/70/82/1074487082.db2.gz IVCXDUALJRDKTK-MRXNPFEDSA-N 0 0 439.538 -0.721 20 0 IBADRN CNC(=O)[C@H]1CN(c2cc(C(F)(F)F)nc(N3CCO[C@@H](C(=O)NC)C3)n2)CCO1 ZINC000543792207 1074487723 /nfs/dbraw/zinc/48/77/23/1074487723.db2.gz PDXPIFDONAKMOX-GHMZBOCLSA-N 0 0 432.403 -0.602 20 0 IBADRN CNC(=O)[C@H]1CN(c2cc(C(F)(F)F)nc(N3CCO[C@H](C(=O)NC)C3)n2)CCO1 ZINC000543792211 1074487580 /nfs/dbraw/zinc/48/75/80/1074487580.db2.gz PDXPIFDONAKMOX-MNOVXSKESA-N 0 0 432.403 -0.602 20 0 IBADRN CNC(=O)[C@@H]1CN(c2cc(C(F)(F)F)nc(N3CCO[C@H](C(=O)NC)C3)n2)CCO1 ZINC000543792212 1074487600 /nfs/dbraw/zinc/48/76/00/1074487600.db2.gz PDXPIFDONAKMOX-QWRGUYRKSA-N 0 0 432.403 -0.602 20 0 IBADRN CNC(=O)[C@H]1CN(c2nc(N3CCO[C@H](C(=O)NC)C3)cc(C(F)(F)F)n2)CCO1 ZINC000543792213 1074487740 /nfs/dbraw/zinc/48/77/40/1074487740.db2.gz PDXPIFDONAKMOX-WDEREUQCSA-N 0 0 432.403 -0.602 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1-n1cnnn1 ZINC000543798220 1074487663 /nfs/dbraw/zinc/48/76/63/1074487663.db2.gz ZEJGCONYHLRTFM-HNNXBMFYSA-N 0 0 436.494 -0.063 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1-n1cnnn1 ZINC000543798221 1074487765 /nfs/dbraw/zinc/48/77/65/1074487765.db2.gz ZEJGCONYHLRTFM-OAHLLOKOSA-N 0 0 436.494 -0.063 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1-n1cnnn1 ZINC000543800084 1074488168 /nfs/dbraw/zinc/48/81/68/1074488168.db2.gz WQZCNCTUCXDPBH-UHFFFAOYSA-N 0 0 427.421 -0.896 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](NC(=O)c2cc3ccccc3o2)C1)S(N)(=O)=O ZINC000543801461 1074488141 /nfs/dbraw/zinc/48/81/41/1074488141.db2.gz JOKSLAGPSGSKDC-GXTWGEPZSA-N 0 0 436.490 -0.053 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](NC(=O)c2cc3ccccc3o2)C1)S(N)(=O)=O ZINC000543801462 1074488226 /nfs/dbraw/zinc/48/82/26/1074488226.db2.gz JOKSLAGPSGSKDC-JSGCOSHPSA-N 0 0 436.490 -0.053 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](NC(=O)c2cc3ccccc3o2)C1)S(N)(=O)=O ZINC000543801463 1074488214 /nfs/dbraw/zinc/48/82/14/1074488214.db2.gz JOKSLAGPSGSKDC-OCCSQVGLSA-N 0 0 436.490 -0.053 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](NC(=O)c2cc3ccccc3o2)C1)S(N)(=O)=O ZINC000543801464 1074488095 /nfs/dbraw/zinc/48/80/95/1074488095.db2.gz JOKSLAGPSGSKDC-TZMCWYRMSA-N 0 0 436.490 -0.053 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000543801624 1074488123 /nfs/dbraw/zinc/48/81/23/1074488123.db2.gz SRVRSTKDDMBHAO-UHFFFAOYSA-N 0 0 448.501 -0.030 20 0 IBADRN COc1ccc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cc1-n1cnnn1 ZINC000543802017 1074488240 /nfs/dbraw/zinc/48/82/40/1074488240.db2.gz WQWUATLQHWMNKV-UHFFFAOYSA-N 0 0 446.493 -0.013 20 0 IBADRN COc1cc(Cl)c(C)cc1NC(=O)CCNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000543803413 1074488657 /nfs/dbraw/zinc/48/86/57/1074488657.db2.gz HVYAWHYUQOHIIW-JTQLQIEISA-N 0 0 434.902 -0.105 20 0 IBADRN COc1cc(Cl)c(C)cc1NC(=O)CCNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000543803414 1074488715 /nfs/dbraw/zinc/48/87/15/1074488715.db2.gz HVYAWHYUQOHIIW-SNVBAGLBSA-N 0 0 434.902 -0.105 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(C(F)(F)F)c2)C1)S(N)(=O)=O ZINC000543803596 1074488106 /nfs/dbraw/zinc/48/81/06/1074488106.db2.gz QBHWVCXTMTUCTP-GXSJLCMTSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(C(F)(F)F)c2)C1)S(N)(=O)=O ZINC000543803609 1074488188 /nfs/dbraw/zinc/48/81/88/1074488188.db2.gz QBHWVCXTMTUCTP-KOLCDFICSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(C(F)(F)F)c2)C1)S(N)(=O)=O ZINC000543803610 1074488181 /nfs/dbraw/zinc/48/81/81/1074488181.db2.gz QBHWVCXTMTUCTP-MWLCHTKSSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(C(F)(F)F)c2)C1)S(N)(=O)=O ZINC000543803611 1074488194 /nfs/dbraw/zinc/48/81/94/1074488194.db2.gz QBHWVCXTMTUCTP-ONGXEEELSA-N 0 0 436.412 -0.280 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)c1 ZINC000543803823 1074488835 /nfs/dbraw/zinc/48/88/35/1074488835.db2.gz LJKXQUUNJLBLPQ-AWEZNQCLSA-N 0 0 428.511 -0.989 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)c1 ZINC000543803824 1074488735 /nfs/dbraw/zinc/48/87/35/1074488735.db2.gz LJKXQUUNJLBLPQ-CQSZACIVSA-N 0 0 428.511 -0.989 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000543803959 1074488858 /nfs/dbraw/zinc/48/88/58/1074488858.db2.gz KUJBVLHGNDKELQ-UHFFFAOYSA-N 0 0 448.523 -0.787 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCN(C(=O)Cc2cccc(F)c2)CC1)S(N)(=O)=O ZINC000543804168 1074488824 /nfs/dbraw/zinc/48/88/24/1074488824.db2.gz OGYZMZGKFNSSGY-CYBMUJFWSA-N 0 0 428.486 -0.778 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCN(C(=O)Cc2cccc(F)c2)CC1)S(N)(=O)=O ZINC000543804174 1074488723 /nfs/dbraw/zinc/48/87/23/1074488723.db2.gz OGYZMZGKFNSSGY-ZDUSSCGKSA-N 0 0 428.486 -0.778 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(Cc2ccc(Br)cc2)CC1)S(N)(=O)=O ZINC000543804764 1074488770 /nfs/dbraw/zinc/48/87/70/1074488770.db2.gz WGQJEWMNWFAHLL-GFCCVEGCSA-N 0 0 447.355 -0.114 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(Cc2ccc(Br)cc2)CC1)S(N)(=O)=O ZINC000543804772 1074488685 /nfs/dbraw/zinc/48/86/85/1074488685.db2.gz WGQJEWMNWFAHLL-LBPRGKRZSA-N 0 0 447.355 -0.114 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn(C)c1=O ZINC000543804785 1074488844 /nfs/dbraw/zinc/48/88/44/1074488844.db2.gz WPPSMLUUEUKFLU-HNNXBMFYSA-N 0 0 426.495 -0.715 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn(C)c1=O ZINC000543804789 1074488794 /nfs/dbraw/zinc/48/87/94/1074488794.db2.gz WPPSMLUUEUKFLU-OAHLLOKOSA-N 0 0 426.495 -0.715 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn(C)c1=O ZINC000543804962 1074489315 /nfs/dbraw/zinc/48/93/15/1074489315.db2.gz YOAHNEIPJHPKNW-UHFFFAOYSA-N 0 0 428.511 -0.469 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000543805612 1074489135 /nfs/dbraw/zinc/48/91/35/1074489135.db2.gz LVGWSNYHYLEUBT-GFCCVEGCSA-N 0 0 445.929 -0.784 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000543805613 1074489239 /nfs/dbraw/zinc/48/92/39/1074489239.db2.gz LVGWSNYHYLEUBT-LBPRGKRZSA-N 0 0 445.929 -0.784 20 0 IBADRN COc1cc(OC)cc(N2CCCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)c1 ZINC000543805700 1074489330 /nfs/dbraw/zinc/48/93/30/1074489330.db2.gz TVEWBQKBYSWKFC-CYBMUJFWSA-N 0 0 428.511 -0.464 20 0 IBADRN COc1cc(OC)cc(N2CCCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)c1 ZINC000543805703 1074489227 /nfs/dbraw/zinc/48/92/27/1074489227.db2.gz TVEWBQKBYSWKFC-ZDUSSCGKSA-N 0 0 428.511 -0.464 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CC[C@H](CC(C)C)C(=O)N2CCO[C@H](C(=O)NC)C2)CCO1 ZINC000543806335 1074489709 /nfs/dbraw/zinc/48/97/09/1074489709.db2.gz CUTONDUPCUOSLV-IKGGRYGDSA-N 0 0 440.541 -0.624 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](CCC(=O)N2CCO[C@H](C(=O)NC)C2)CC(C)C)CCO1 ZINC000543806341 1074490012 /nfs/dbraw/zinc/49/00/12/1074490012.db2.gz CUTONDUPCUOSLV-IXDOHACOSA-N 0 0 440.541 -0.624 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CC[C@@H](CC(C)C)C(=O)N2CCO[C@H](C(=O)NC)C2)CCO1 ZINC000543806342 1074489741 /nfs/dbraw/zinc/48/97/41/1074489741.db2.gz CUTONDUPCUOSLV-ULQDDVLXSA-N 0 0 440.541 -0.624 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H](CCC(=O)N2CCO[C@H](C(=O)NC)C2)CC(C)C)CCO1 ZINC000543806343 1074489932 /nfs/dbraw/zinc/48/99/32/1074489932.db2.gz CUTONDUPCUOSLV-YESZJQIVSA-N 0 0 440.541 -0.624 20 0 IBADRN CCC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000543808374 1074490296 /nfs/dbraw/zinc/49/02/96/1074490296.db2.gz ZCTSYQOGEVWMOF-GFCCVEGCSA-N 0 0 434.540 -0.362 20 0 IBADRN CCC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(N)(=O)=O)c1 ZINC000543808375 1074490511 /nfs/dbraw/zinc/49/05/11/1074490511.db2.gz ZCTSYQOGEVWMOF-LBPRGKRZSA-N 0 0 434.540 -0.362 20 0 IBADRN CN(CCCNC(=O)CN1CCC[C@H](S(=O)(=O)NC2CCCC2)C1)S(C)(=O)=O ZINC000543808528 1074490280 /nfs/dbraw/zinc/49/02/80/1074490280.db2.gz UOBPDTIIAHGLQH-INIZCTEOSA-N 0 0 438.616 -0.290 20 0 IBADRN CN(CCCNC(=O)CN1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1)S(C)(=O)=O ZINC000543808529 1074490387 /nfs/dbraw/zinc/49/03/87/1074490387.db2.gz UOBPDTIIAHGLQH-MRXNPFEDSA-N 0 0 438.616 -0.290 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)c(=O)n(C)c1=O ZINC000543813789 1074507025 /nfs/dbraw/zinc/50/70/25/1074507025.db2.gz LORZNIQGACJPLF-CYBMUJFWSA-N 0 0 427.527 -0.825 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)c(=O)n(C)c1=O ZINC000543813794 1074507394 /nfs/dbraw/zinc/50/73/94/1074507394.db2.gz LORZNIQGACJPLF-ZDUSSCGKSA-N 0 0 427.527 -0.825 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](c1cccc(Cl)c1)N1CCOCC1)S(N)(=O)=O ZINC000543814230 1074506934 /nfs/dbraw/zinc/50/69/34/1074506934.db2.gz AILSOAVZIXVUSR-DOMZBBRYSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](c1cccc(Cl)c1)N1CCOCC1)S(N)(=O)=O ZINC000543814231 1074506920 /nfs/dbraw/zinc/50/69/20/1074506920.db2.gz AILSOAVZIXVUSR-IUODEOHRSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](c1cccc(Cl)c1)N1CCOCC1)S(N)(=O)=O ZINC000543814232 1074506906 /nfs/dbraw/zinc/50/69/06/1074506906.db2.gz AILSOAVZIXVUSR-SWLSCSKDSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](c1cccc(Cl)c1)N1CCOCC1)S(N)(=O)=O ZINC000543814233 1074506997 /nfs/dbraw/zinc/50/69/97/1074506997.db2.gz AILSOAVZIXVUSR-WFASDCNBSA-N 0 0 432.930 -0.377 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](C(=O)Nc2ccc(Cl)cn2)C1)S(N)(=O)=O ZINC000543814238 1074506927 /nfs/dbraw/zinc/50/69/27/1074506927.db2.gz AOUNOCSDZVKPES-GHMZBOCLSA-N 0 0 431.902 -0.295 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](C(=O)Nc2ccc(Cl)cn2)C1)S(N)(=O)=O ZINC000543814239 1074506975 /nfs/dbraw/zinc/50/69/75/1074506975.db2.gz AOUNOCSDZVKPES-MNOVXSKESA-N 0 0 431.902 -0.295 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](C(=O)Nc2ccc(Cl)cn2)C1)S(N)(=O)=O ZINC000543814240 1074506914 /nfs/dbraw/zinc/50/69/14/1074506914.db2.gz AOUNOCSDZVKPES-QWRGUYRKSA-N 0 0 431.902 -0.295 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](C(=O)Nc2ccc(Cl)cn2)C1)S(N)(=O)=O ZINC000543814241 1074506898 /nfs/dbraw/zinc/50/68/98/1074506898.db2.gz AOUNOCSDZVKPES-WDEREUQCSA-N 0 0 431.902 -0.295 20 0 IBADRN C[C@@H](CC(=O)Nc1cccc(N2CCCC2)c1)NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000543814485 1074506982 /nfs/dbraw/zinc/50/69/82/1074506982.db2.gz HRGRZNYACVAYOB-KBPBESRZSA-N 0 0 439.538 -0.087 20 0 IBADRN C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000543814486 1074506856 /nfs/dbraw/zinc/50/68/56/1074506856.db2.gz HRGRZNYACVAYOB-KGLIPLIRSA-N 0 0 439.538 -0.087 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H](C)CC(=O)Nc1cccc(N2CCCC2)c1)S(N)(=O)=O ZINC000543814487 1074506993 /nfs/dbraw/zinc/50/69/93/1074506993.db2.gz HRGRZNYACVAYOB-UONOGXRCSA-N 0 0 439.538 -0.087 20 0 IBADRN C[C@H](CC(=O)Nc1cccc(N2CCCC2)c1)NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000543814488 1074507001 /nfs/dbraw/zinc/50/70/01/1074507001.db2.gz HRGRZNYACVAYOB-ZIAGYGMSSA-N 0 0 439.538 -0.087 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](O)COc1cccc(Cl)c1Cl)S(N)(=O)=O ZINC000543814677 1074506339 /nfs/dbraw/zinc/50/63/39/1074506339.db2.gz NJNXZKALQOGJEY-BDAKNGLRSA-N 0 0 428.294 -0.358 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](O)COc1cccc(Cl)c1Cl)S(N)(=O)=O ZINC000543814682 1074506348 /nfs/dbraw/zinc/50/63/48/1074506348.db2.gz NJNXZKALQOGJEY-DTWKUNHWSA-N 0 0 428.294 -0.358 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](O)COc1cccc(Cl)c1Cl)S(N)(=O)=O ZINC000543814683 1074506486 /nfs/dbraw/zinc/50/64/86/1074506486.db2.gz NJNXZKALQOGJEY-IUCAKERBSA-N 0 0 428.294 -0.358 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](O)COc1cccc(Cl)c1Cl)S(N)(=O)=O ZINC000543814684 1074506545 /nfs/dbraw/zinc/50/65/45/1074506545.db2.gz NJNXZKALQOGJEY-RKDXNWHRSA-N 0 0 428.294 -0.358 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)ccc1=O ZINC000543815016 1074507424 /nfs/dbraw/zinc/50/74/24/1074507424.db2.gz UMCWTUANZUXQLD-UHFFFAOYSA-N 0 0 427.465 -0.701 20 0 IBADRN CCOc1ccc(C(=O)N2CCC(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cc1 ZINC000543815397 1074507363 /nfs/dbraw/zinc/50/73/63/1074507363.db2.gz DYDMOHWWMFKUCN-CYBMUJFWSA-N 0 0 440.522 -0.401 20 0 IBADRN CCOc1ccc(C(=O)N2CCC(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cc1 ZINC000543815398 1074507412 /nfs/dbraw/zinc/50/74/12/1074507412.db2.gz DYDMOHWWMFKUCN-ZDUSSCGKSA-N 0 0 440.522 -0.401 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)c2ccc(F)cc2Cl)CC1)S(N)(=O)=O ZINC000543815477 1074507443 /nfs/dbraw/zinc/50/74/43/1074507443.db2.gz HMDGBFAVWZGZAU-JTQLQIEISA-N 0 0 434.877 -0.443 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)c2ccc(F)cc2Cl)CC1)S(N)(=O)=O ZINC000543815478 1074507475 /nfs/dbraw/zinc/50/74/75/1074507475.db2.gz HMDGBFAVWZGZAU-SNVBAGLBSA-N 0 0 434.877 -0.443 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C)c(=O)n(C)c2)CC1 ZINC000543815544 1074507485 /nfs/dbraw/zinc/50/74/85/1074507485.db2.gz HBOMWZWJSHOVGW-UHFFFAOYSA-N 0 0 437.478 -0.230 20 0 IBADRN COc1cc(Br)c(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc1OC ZINC000543815756 1074507327 /nfs/dbraw/zinc/50/73/27/1074507327.db2.gz LPHQLGPIBJAOLS-MRVPVSSYSA-N 0 0 438.300 -0.124 20 0 IBADRN COc1cc(Br)c(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc1OC ZINC000543815758 1074507387 /nfs/dbraw/zinc/50/73/87/1074507387.db2.gz LPHQLGPIBJAOLS-QMMMGPOBSA-N 0 0 438.300 -0.124 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC1 ZINC000543815823 1074507461 /nfs/dbraw/zinc/50/74/61/1074507461.db2.gz DVFDYYICPHNDPT-HNNXBMFYSA-N 0 0 439.538 -0.821 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC1 ZINC000543815825 1074507452 /nfs/dbraw/zinc/50/74/52/1074507452.db2.gz DVFDYYICPHNDPT-OAHLLOKOSA-N 0 0 439.538 -0.821 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c1ccccc1OCC(=O)N(C)C ZINC000543815984 1074507879 /nfs/dbraw/zinc/50/78/79/1074507879.db2.gz JGBWMCSJYIJAMU-GXTWGEPZSA-N 0 0 428.511 -0.486 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c1ccccc1OCC(=O)N(C)C ZINC000543815986 1074507861 /nfs/dbraw/zinc/50/78/61/1074507861.db2.gz JGBWMCSJYIJAMU-JSGCOSHPSA-N 0 0 428.511 -0.486 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c1ccccc1OCC(=O)N(C)C ZINC000543815987 1074507832 /nfs/dbraw/zinc/50/78/32/1074507832.db2.gz JGBWMCSJYIJAMU-OCCSQVGLSA-N 0 0 428.511 -0.486 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c1ccccc1OCC(=O)N(C)C ZINC000543815988 1074507927 /nfs/dbraw/zinc/50/79/27/1074507927.db2.gz JGBWMCSJYIJAMU-TZMCWYRMSA-N 0 0 428.511 -0.486 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1cccc(S(=O)(=O)N2CCCCC2)c1)S(N)(=O)=O ZINC000543816244 1074507351 /nfs/dbraw/zinc/50/73/51/1074507351.db2.gz VPWHONVRQRVVRV-CYBMUJFWSA-N 0 0 446.551 -0.729 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1cccc(S(=O)(=O)N2CCCCC2)c1)S(N)(=O)=O ZINC000543816249 1074507914 /nfs/dbraw/zinc/50/79/14/1074507914.db2.gz VPWHONVRQRVVRV-ZDUSSCGKSA-N 0 0 446.551 -0.729 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)c2ccccc2OC(F)F)CC1)S(N)(=O)=O ZINC000543816334 1074507340 /nfs/dbraw/zinc/50/73/40/1074507340.db2.gz SKRJWQILQKBHGI-LLVKDONJSA-N 0 0 448.448 -0.634 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)c2ccccc2OC(F)F)CC1)S(N)(=O)=O ZINC000543816335 1074507381 /nfs/dbraw/zinc/50/73/81/1074507381.db2.gz SKRJWQILQKBHGI-NSHDSACASA-N 0 0 448.448 -0.634 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(C(=O)Nc2ccc3c(c2)OCO3)CC1)S(N)(=O)=O ZINC000543816395 1074507357 /nfs/dbraw/zinc/50/73/57/1074507357.db2.gz XOIDGULDAXMWFM-LLVKDONJSA-N 0 0 440.478 -0.614 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(C(=O)Nc2ccc3c(c2)OCO3)CC1)S(N)(=O)=O ZINC000543816419 1074507376 /nfs/dbraw/zinc/50/73/76/1074507376.db2.gz XOIDGULDAXMWFM-NSHDSACASA-N 0 0 440.478 -0.614 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(CCO)Cc1cc(Br)ccc1F)S(N)(=O)=O ZINC000543816534 1074507464 /nfs/dbraw/zinc/50/74/64/1074507464.db2.gz GLFBQWFMYMRJCC-SECBINFHSA-N 0 0 440.291 -0.298 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(CCO)Cc1cc(Br)ccc1F)S(N)(=O)=O ZINC000543816538 1074507391 /nfs/dbraw/zinc/50/73/91/1074507391.db2.gz GLFBQWFMYMRJCC-VIFPVBQESA-N 0 0 440.291 -0.298 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cn(C)c(=O)cc2C)CC1 ZINC000543816692 1074507478 /nfs/dbraw/zinc/50/74/78/1074507478.db2.gz KDTBBCUTAJNOPE-UHFFFAOYSA-N 0 0 447.517 -0.111 20 0 IBADRN COc1cc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc(Br)c1OC ZINC000543817096 1074507956 /nfs/dbraw/zinc/50/79/56/1074507956.db2.gz YGYJKBWBQQITTK-MRVPVSSYSA-N 0 0 438.300 -0.124 20 0 IBADRN COc1cc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc(Br)c1OC ZINC000543817097 1074507811 /nfs/dbraw/zinc/50/78/11/1074507811.db2.gz YGYJKBWBQQITTK-QMMMGPOBSA-N 0 0 438.300 -0.124 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)ccc1=O ZINC000543817180 1074507931 /nfs/dbraw/zinc/50/79/31/1074507931.db2.gz ZMVJBKDZWRXLRP-UHFFFAOYSA-N 0 0 447.517 -0.424 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(C(=O)Nc2ccc(Cl)cn2)CC1)S(N)(=O)=O ZINC000543817219 1074507966 /nfs/dbraw/zinc/50/79/66/1074507966.db2.gz UXYYROKKTXFBQA-JTQLQIEISA-N 0 0 431.902 -0.295 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(C(=O)Nc2ccc(Cl)cn2)CC1)S(N)(=O)=O ZINC000543817220 1074507950 /nfs/dbraw/zinc/50/79/50/1074507950.db2.gz UXYYROKKTXFBQA-SNVBAGLBSA-N 0 0 431.902 -0.295 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)COc2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000543817820 1074507841 /nfs/dbraw/zinc/50/78/41/1074507841.db2.gz LINNVBPFEJNWRP-GFCCVEGCSA-N 0 0 446.913 -0.817 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)COc2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000543817821 1074507790 /nfs/dbraw/zinc/50/77/90/1074507790.db2.gz LINNVBPFEJNWRP-LBPRGKRZSA-N 0 0 446.913 -0.817 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000543818958 1074507849 /nfs/dbraw/zinc/50/78/49/1074507849.db2.gz OSAZIBQNLUGCSE-UHFFFAOYSA-N 0 0 433.490 -0.372 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCCN1CCN(c2ccccc2Cl)CC1)S(N)(=O)=O ZINC000543819450 1074507869 /nfs/dbraw/zinc/50/78/69/1074507869.db2.gz VAJDWWVRBNQSKS-AWEZNQCLSA-N 0 0 445.973 -0.239 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCCN1CCN(c2ccccc2Cl)CC1)S(N)(=O)=O ZINC000543819451 1074507818 /nfs/dbraw/zinc/50/78/18/1074507818.db2.gz VAJDWWVRBNQSKS-CQSZACIVSA-N 0 0 445.973 -0.239 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCO1 ZINC000543821729 1074508214 /nfs/dbraw/zinc/50/82/14/1074508214.db2.gz RNNQNVFVFDOEHR-KRWDZBQOSA-N 0 0 440.522 -0.495 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCO1 ZINC000543821730 1074508390 /nfs/dbraw/zinc/50/83/90/1074508390.db2.gz RNNQNVFVFDOEHR-QGZVFWFLSA-N 0 0 440.522 -0.495 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc3c(ccn3CCN3CCOCC3)c2)CCO1 ZINC000543822807 1074508377 /nfs/dbraw/zinc/50/83/77/1074508377.db2.gz GFHYUXGZZUEYLW-IBGZPJMESA-N 0 0 443.504 -0.115 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc3c(ccn3CCN3CCOCC3)c2)CCO1 ZINC000543822808 1074508356 /nfs/dbraw/zinc/50/83/56/1074508356.db2.gz GFHYUXGZZUEYLW-LJQANCHMSA-N 0 0 443.504 -0.115 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CCOCC1 ZINC000543823632 1074508308 /nfs/dbraw/zinc/50/83/08/1074508308.db2.gz RNDZMYJDDNTYPL-UHFFFAOYSA-N 0 0 427.479 -0.025 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)cc1S(=O)(=O)N(C)C ZINC000543823667 1074508291 /nfs/dbraw/zinc/50/82/91/1074508291.db2.gz RXLPOHIPJOSJFK-AWEZNQCLSA-N 0 0 442.494 -0.753 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)cc1S(=O)(=O)N(C)C ZINC000543823670 1074508343 /nfs/dbraw/zinc/50/83/43/1074508343.db2.gz RXLPOHIPJOSJFK-CQSZACIVSA-N 0 0 442.494 -0.753 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)cc1 ZINC000543824152 1071889963 /nfs/dbraw/zinc/88/99/63/1071889963.db2.gz JZTHFJHYZONCDG-DOMZBBRYSA-N 0 0 434.449 -0.720 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)cc1 ZINC000543824153 1071890007 /nfs/dbraw/zinc/89/00/07/1071890007.db2.gz JZTHFJHYZONCDG-IUODEOHRSA-N 0 0 434.449 -0.720 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)cc1 ZINC000543824154 1071890575 /nfs/dbraw/zinc/89/05/75/1071890575.db2.gz JZTHFJHYZONCDG-SWLSCSKDSA-N 0 0 434.449 -0.720 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)cc1 ZINC000543824155 1071890486 /nfs/dbraw/zinc/89/04/86/1071890486.db2.gz JZTHFJHYZONCDG-WFASDCNBSA-N 0 0 434.449 -0.720 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OCC(=O)OC)c3ncccc23)CCO1 ZINC000543824168 1071905186 /nfs/dbraw/zinc/90/51/86/1071905186.db2.gz KFURJGSTKBXVPB-HNNXBMFYSA-N 0 0 430.417 -0.302 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OCC(=O)OC)c3ncccc23)CCO1 ZINC000543824169 1071907636 /nfs/dbraw/zinc/90/76/36/1071907636.db2.gz KFURJGSTKBXVPB-OAHLLOKOSA-N 0 0 430.417 -0.302 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCO[C@@H](C(=O)NC)C3)cc2)CC1 ZINC000543824263 1071914290 /nfs/dbraw/zinc/91/42/90/1071914290.db2.gz JMOKHPKYJOEQAV-GOSISDBHSA-N 0 0 445.520 -0.695 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCO[C@H](C(=O)NC)C3)cc2)CC1 ZINC000543824265 1071914250 /nfs/dbraw/zinc/91/42/50/1071914250.db2.gz JMOKHPKYJOEQAV-SFHVURJKSA-N 0 0 445.520 -0.695 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCOC)c(Cl)c2)CCO1 ZINC000543824419 1074508842 /nfs/dbraw/zinc/50/88/42/1074508842.db2.gz LAWMGSKPBYVRRZ-AWEZNQCLSA-N 0 0 426.857 -0.372 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(C(=O)NCCOC)c(Cl)c2)CCO1 ZINC000543824420 1074508770 /nfs/dbraw/zinc/50/87/70/1074508770.db2.gz LAWMGSKPBYVRRZ-CQSZACIVSA-N 0 0 426.857 -0.372 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CCO1 ZINC000543824474 1071711047 /nfs/dbraw/zinc/71/10/47/1071711047.db2.gz MQKDFAWGQFTQEZ-AWEZNQCLSA-N 0 0 428.442 -0.723 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CCO1 ZINC000543824475 1071713494 /nfs/dbraw/zinc/71/34/94/1071713494.db2.gz MQKDFAWGQFTQEZ-CQSZACIVSA-N 0 0 428.442 -0.723 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C(=O)OC)CCO1 ZINC000543824732 1073172090 /nfs/dbraw/zinc/17/20/90/1073172090.db2.gz ONORDJPVGLQFGI-INIZCTEOSA-N 0 0 434.449 -0.778 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C(=O)OC)CCO1 ZINC000543824733 1073172131 /nfs/dbraw/zinc/17/21/31/1073172131.db2.gz ONORDJPVGLQFGI-MRXNPFEDSA-N 0 0 434.449 -0.778 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCC2(C(=O)OC)CCOCC2)c1 ZINC000543824763 1074508371 /nfs/dbraw/zinc/50/83/71/1074508371.db2.gz APJVWJBVLSWMFC-UHFFFAOYSA-N 0 0 427.479 -0.072 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)CCO1 ZINC000543824955 1074508866 /nfs/dbraw/zinc/50/88/66/1074508866.db2.gz DTDXBDVLNIRINP-INIZCTEOSA-N 0 0 438.444 -0.544 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)CCO1 ZINC000543824956 1074508926 /nfs/dbraw/zinc/50/89/26/1074508926.db2.gz DTDXBDVLNIRINP-MRXNPFEDSA-N 0 0 438.444 -0.544 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)CCO1 ZINC000543825310 1074508862 /nfs/dbraw/zinc/50/88/62/1074508862.db2.gz FHFODODOLKICHV-INIZCTEOSA-N 0 0 434.518 -0.284 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCSCC3)cc2)CCO1 ZINC000543825312 1074508920 /nfs/dbraw/zinc/50/89/20/1074508920.db2.gz FHFODODOLKICHV-MRXNPFEDSA-N 0 0 434.518 -0.284 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCC2(C(=O)OC)CCOCC2)c1C ZINC000543825587 1074508854 /nfs/dbraw/zinc/50/88/54/1074508854.db2.gz CRZFHGBWBFJDGR-UHFFFAOYSA-N 0 0 427.479 -0.072 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000543825704 1072529023 /nfs/dbraw/zinc/52/90/23/1072529023.db2.gz UHWWFULFBULNMT-GFCCVEGCSA-N 0 0 432.886 -0.498 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC000543825707 1072528858 /nfs/dbraw/zinc/52/88/58/1072528858.db2.gz UHWWFULFBULNMT-LBPRGKRZSA-N 0 0 432.886 -0.498 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(Br)cc2S(C)(=O)=O)CCO1 ZINC000543825987 1074508872 /nfs/dbraw/zinc/50/88/72/1074508872.db2.gz JCKWDJOGLSGALN-LLVKDONJSA-N 0 0 448.295 -0.236 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(Br)cc2S(C)(=O)=O)CCO1 ZINC000543826005 1071150275 /nfs/dbraw/zinc/15/02/75/1071150275.db2.gz JCKWDJOGLSGALN-NSHDSACASA-N 0 0 448.295 -0.236 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)CCO1 ZINC000543826200 1070901292 /nfs/dbraw/zinc/90/12/92/1070901292.db2.gz JRUCXLCLPLPCCP-INIZCTEOSA-N 0 0 438.506 -0.227 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)CCO1 ZINC000543826203 1070908968 /nfs/dbraw/zinc/90/89/68/1070908968.db2.gz JRUCXLCLPLPCCP-MRXNPFEDSA-N 0 0 438.506 -0.227 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(Br)cnc2-n2cccn2)CCO1 ZINC000543826333 1070972092 /nfs/dbraw/zinc/97/20/92/1070972092.db2.gz MTHBJFJITNUGJB-GFCCVEGCSA-N 0 0 437.254 -0.058 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(Br)cnc2-n2cccn2)CCO1 ZINC000543826340 1070973445 /nfs/dbraw/zinc/97/34/45/1070973445.db2.gz MTHBJFJITNUGJB-LBPRGKRZSA-N 0 0 437.254 -0.058 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000543826686 1071462899 /nfs/dbraw/zinc/46/28/99/1071462899.db2.gz LTLZBRXUJWGZLN-INIZCTEOSA-N 0 0 442.494 -0.305 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000543826687 1071462937 /nfs/dbraw/zinc/46/29/37/1071462937.db2.gz LTLZBRXUJWGZLN-MRXNPFEDSA-N 0 0 442.494 -0.305 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)NC)C2)c1 ZINC000543828350 1071405223 /nfs/dbraw/zinc/40/52/23/1071405223.db2.gz ZWNOADVOGMMMCD-HNNXBMFYSA-N 0 0 426.495 -0.323 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)NC)C2)c1 ZINC000543828351 1071405273 /nfs/dbraw/zinc/40/52/73/1071405273.db2.gz ZWNOADVOGMMMCD-OAHLLOKOSA-N 0 0 426.495 -0.323 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1-n1cnnn1 ZINC000543830313 1074508910 /nfs/dbraw/zinc/50/89/10/1074508910.db2.gz AVFXURZSUFCNAD-HNNXBMFYSA-N 0 0 429.481 -0.324 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1-n1cnnn1 ZINC000543830314 1074508885 /nfs/dbraw/zinc/50/88/85/1074508885.db2.gz AVFXURZSUFCNAD-OAHLLOKOSA-N 0 0 429.481 -0.324 20 0 IBADRN COC(=O)C1(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCOCC1 ZINC000543831411 1074509360 /nfs/dbraw/zinc/50/93/60/1074509360.db2.gz NFAMSDBQORLGRI-UHFFFAOYSA-N 0 0 439.490 -0.493 20 0 IBADRN COC(=O)C1(CNC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCOCC1 ZINC000543832100 1071461936 /nfs/dbraw/zinc/46/19/36/1071461936.db2.gz WOUVPRQOHMORQK-GFCCVEGCSA-N 0 0 427.479 -0.462 20 0 IBADRN COC(=O)C1(CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCOCC1 ZINC000543832101 1071462013 /nfs/dbraw/zinc/46/20/13/1071462013.db2.gz WOUVPRQOHMORQK-LBPRGKRZSA-N 0 0 427.479 -0.462 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCO[C@H](C(=O)NC)C2)c1 ZINC000543832279 1074509331 /nfs/dbraw/zinc/50/93/31/1074509331.db2.gz HQSHAFOJHXWMGG-INIZCTEOSA-N 0 0 440.522 -0.580 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCO[C@@H](C(=O)NC)C2)c1 ZINC000543832281 1071461267 /nfs/dbraw/zinc/46/12/67/1071461267.db2.gz HQSHAFOJHXWMGG-MRXNPFEDSA-N 0 0 440.522 -0.580 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000543833028 1071463671 /nfs/dbraw/zinc/46/36/71/1071463671.db2.gz SMBTZAKBKFCJHD-INIZCTEOSA-N 0 0 427.479 -0.697 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CCO1 ZINC000543833029 1071463628 /nfs/dbraw/zinc/46/36/28/1071463628.db2.gz SMBTZAKBKFCJHD-MRXNPFEDSA-N 0 0 427.479 -0.697 20 0 IBADRN COC(=O)C1(CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCOCC1 ZINC000543838459 1074509253 /nfs/dbraw/zinc/50/92/53/1074509253.db2.gz FYWFYPLBXAOZFH-UHFFFAOYSA-N 0 0 441.506 -0.130 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000543841564 1074509778 /nfs/dbraw/zinc/50/97/78/1074509778.db2.gz STCVWGGSEUGFFG-KRWDZBQOSA-N 0 0 441.506 -0.120 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000543841567 1074509806 /nfs/dbraw/zinc/50/98/06/1074509806.db2.gz STCVWGGSEUGFFG-QGZVFWFLSA-N 0 0 441.506 -0.120 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000543844832 1074509813 /nfs/dbraw/zinc/50/98/13/1074509813.db2.gz AFMAMBZECQJUOA-INIZCTEOSA-N 0 0 427.479 -0.510 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000543844836 1070976539 /nfs/dbraw/zinc/97/65/39/1070976539.db2.gz AFMAMBZECQJUOA-MRXNPFEDSA-N 0 0 427.479 -0.510 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](C(=O)NC)C2)cc1OCC ZINC000543845616 1070992894 /nfs/dbraw/zinc/99/28/94/1070992894.db2.gz OOIZDBORLZTSCS-INIZCTEOSA-N 0 0 429.495 -0.264 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](C(=O)NC)C2)cc1OCC ZINC000543845629 1074509679 /nfs/dbraw/zinc/50/96/79/1074509679.db2.gz OOIZDBORLZTSCS-MRXNPFEDSA-N 0 0 429.495 -0.264 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCCCC2)CCO1 ZINC000543846191 1074509770 /nfs/dbraw/zinc/50/97/70/1074509770.db2.gz WAPLDOSJSFBIMY-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCCCC2)CCO1 ZINC000543846192 1074509752 /nfs/dbraw/zinc/50/97/52/1074509752.db2.gz WAPLDOSJSFBIMY-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN CCN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)Cc1cn(C)nn1 ZINC000543846764 1074509760 /nfs/dbraw/zinc/50/97/60/1074509760.db2.gz FWNUUOPCHMJFCE-UHFFFAOYSA-N 0 0 436.538 -0.026 20 0 IBADRN CNC(=O)[C@@H]1CN([C@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000543846975 1071063663 /nfs/dbraw/zinc/06/36/63/1071063663.db2.gz ZZFIKPPIFGIPEP-PBHICJAKSA-N 0 0 440.522 -0.519 20 0 IBADRN CNC(=O)[C@H]1CN([C@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000543846976 1071063652 /nfs/dbraw/zinc/06/36/52/1071063652.db2.gz ZZFIKPPIFGIPEP-RHSMWYFYSA-N 0 0 440.522 -0.519 20 0 IBADRN CNC(=O)[C@H]1CN([C@@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000543846977 1071063522 /nfs/dbraw/zinc/06/35/22/1071063522.db2.gz ZZFIKPPIFGIPEP-WMLDXEAASA-N 0 0 440.522 -0.519 20 0 IBADRN CNC(=O)[C@@H]1CN([C@@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000543846978 1071063642 /nfs/dbraw/zinc/06/36/42/1071063642.db2.gz ZZFIKPPIFGIPEP-YOEHRIQHSA-N 0 0 440.522 -0.519 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(C)c(C)c3)CC2)CCO1 ZINC000543847159 1071062743 /nfs/dbraw/zinc/06/27/43/1071062743.db2.gz TTYLTJZHHGGSIA-GOSISDBHSA-N 0 0 438.550 -0.417 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(C)c(C)c3)CC2)CCO1 ZINC000543847160 1071062848 /nfs/dbraw/zinc/06/28/48/1071062848.db2.gz TTYLTJZHHGGSIA-SFHVURJKSA-N 0 0 438.550 -0.417 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000543847200 1071062860 /nfs/dbraw/zinc/06/28/60/1071062860.db2.gz VUKJFIIHJQFOBA-INIZCTEOSA-N 0 0 426.495 -0.907 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000543847201 1071063174 /nfs/dbraw/zinc/06/31/74/1071063174.db2.gz VUKJFIIHJQFOBA-MRXNPFEDSA-N 0 0 426.495 -0.907 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(S(=O)(=O)NC(C)(C)C)cn3)c2c(=O)n(C)c1=O ZINC000543847722 1071059694 /nfs/dbraw/zinc/05/96/94/1071059694.db2.gz BDLMGCQJGGXYAC-UHFFFAOYSA-N 0 0 449.493 -0.456 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000543847797 1074509667 /nfs/dbraw/zinc/50/96/67/1074509667.db2.gz HDCXGUQQECFKSU-INIZCTEOSA-N 0 0 428.486 -0.895 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000543847798 1074509852 /nfs/dbraw/zinc/50/98/52/1074509852.db2.gz HDCXGUQQECFKSU-MRXNPFEDSA-N 0 0 428.486 -0.895 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCO[C@H](C(=O)NC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000543847987 1074509687 /nfs/dbraw/zinc/50/96/87/1074509687.db2.gz RXTLZNDFCWSYPU-INIZCTEOSA-N 0 0 429.477 -0.710 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCO[C@@H](C(=O)NC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000543847988 1074509723 /nfs/dbraw/zinc/50/97/23/1074509723.db2.gz RXTLZNDFCWSYPU-MRXNPFEDSA-N 0 0 429.477 -0.710 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC000543850974 1074509836 /nfs/dbraw/zinc/50/98/36/1074509836.db2.gz KLSPNLDEYHMXIX-INIZCTEOSA-N 0 0 427.479 -0.510 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC000543850975 1071139669 /nfs/dbraw/zinc/13/96/69/1071139669.db2.gz KLSPNLDEYHMXIX-MRXNPFEDSA-N 0 0 427.479 -0.510 20 0 IBADRN COC(=O)c1ccccc1OCCN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000543852539 1074509787 /nfs/dbraw/zinc/50/97/87/1074509787.db2.gz WSNWVECLLGATGU-UHFFFAOYSA-N 0 0 426.539 -0.038 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000543896471 1072168944 /nfs/dbraw/zinc/16/89/44/1072168944.db2.gz WOPSZIIFDJVIKS-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000543897813 1072243686 /nfs/dbraw/zinc/24/36/86/1072243686.db2.gz YKUOPIXEFKSDAN-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CCOC(CNS(=O)(=O)c1cn(CC)cn1)CNS(=O)(=O)c1cn(CC)cn1 ZINC000543910606 1072691902 /nfs/dbraw/zinc/69/19/02/1072691902.db2.gz MOLAHNDGOJQUOU-UHFFFAOYSA-N 0 0 434.544 -0.219 20 0 IBADRN CCOC(CNC(=O)c1nc2nccc(C)n2n1)CNC(=O)c1nc2nccc(C)n2n1 ZINC000543917725 1074513422 /nfs/dbraw/zinc/51/34/22/1074513422.db2.gz NBEAPOMDVGXQQP-UHFFFAOYSA-N 0 0 438.452 -0.256 20 0 IBADRN CCOC(CNC(=O)c1ccc(C(=O)NC)nc1)CNC(=O)c1ccc(C(=O)NC)nc1 ZINC000543919714 1074513383 /nfs/dbraw/zinc/51/33/83/1074513383.db2.gz KAKJFUOMUHSGPM-UHFFFAOYSA-N 0 0 442.476 -0.239 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)CCC3CCCCC3)CC2)n1 ZINC000543920837 1073314001 /nfs/dbraw/zinc/31/40/01/1073314001.db2.gz NLMHYFCDJRZUAA-UHFFFAOYSA-N 0 0 433.513 -0.006 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCOc2cccc(C(F)(F)F)n2)n1 ZINC000543920872 1073305659 /nfs/dbraw/zinc/30/56/59/1073305659.db2.gz PIWOFVQDCVCMKB-UHFFFAOYSA-N 0 0 429.359 -0.086 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)C3CC3)CC2)n1)N1CCCCCC1 ZINC000543922404 1073322875 /nfs/dbraw/zinc/32/28/75/1073322875.db2.gz BYVMLAIIUPPWDN-UHFFFAOYSA-N 0 0 431.497 -0.300 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)N(C)Cc3ccccc3)CC2)n1 ZINC000543922846 1074371879 /nfs/dbraw/zinc/37/18/79/1074371879.db2.gz VKAGLPHLJRUIAE-UHFFFAOYSA-N 0 0 442.480 -0.641 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)C(=O)Nc2cncnc2OC)c1 ZINC000543923356 1072653747 /nfs/dbraw/zinc/65/37/47/1072653747.db2.gz MQQWNZXQQADLIJ-JTQLQIEISA-N 0 0 436.450 -0.525 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)C(=O)Nc2cncnc2OC)c1 ZINC000543923357 1072653950 /nfs/dbraw/zinc/65/39/50/1072653950.db2.gz MQQWNZXQQADLIJ-SNVBAGLBSA-N 0 0 436.450 -0.525 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCOc2ccc(C(F)(F)F)cn2)n1 ZINC000543923775 1073305731 /nfs/dbraw/zinc/30/57/31/1073305731.db2.gz CBOGOVINHGQIDM-UHFFFAOYSA-N 0 0 429.359 -0.086 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3ccc(C(=O)OC)cc3)C[C@H]2C)n1 ZINC000543923848 1074371934 /nfs/dbraw/zinc/37/19/34/1074371934.db2.gz AWDUCNODYYWUTO-CYBMUJFWSA-N 0 0 443.464 -0.514 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3ccc(C(=O)OC)cc3)C[C@@H]2C)n1 ZINC000543923849 1074371912 /nfs/dbraw/zinc/37/19/12/1074371912.db2.gz AWDUCNODYYWUTO-ZDUSSCGKSA-N 0 0 443.464 -0.514 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3ccc(Cl)cc3)C(=O)C2)n1 ZINC000543924914 1073305307 /nfs/dbraw/zinc/30/53/07/1073305307.db2.gz ZEQZENHTFUWDJL-UHFFFAOYSA-N 0 0 447.883 -0.171 20 0 IBADRN COc1ncncc1NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000543925072 1074513475 /nfs/dbraw/zinc/51/34/75/1074513475.db2.gz GWEDXSNMVWCJLN-UHFFFAOYSA-N 0 0 448.505 -0.324 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cccc1C(N)=O ZINC000543925862 1074513521 /nfs/dbraw/zinc/51/35/21/1074513521.db2.gz RDBSTMRBBWMWMI-AWEZNQCLSA-N 0 0 431.493 -0.112 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cccc1C(N)=O ZINC000543925863 1074513489 /nfs/dbraw/zinc/51/34/89/1074513489.db2.gz RDBSTMRBBWMWMI-CQSZACIVSA-N 0 0 431.493 -0.112 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)CC3CCCCC3)CC2)n1 ZINC000543926488 1073305272 /nfs/dbraw/zinc/30/52/72/1073305272.db2.gz OJAJFNBBAAZBKG-UHFFFAOYSA-N 0 0 433.513 -0.054 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2ccccc2)CC1 ZINC000543927350 1073152001 /nfs/dbraw/zinc/15/20/01/1073152001.db2.gz LWKVEWVQBSJUSD-UHFFFAOYSA-N 0 0 438.444 -0.165 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(c3cccnn3)CC2)n1)N1CCCCCC1 ZINC000543927494 1073323255 /nfs/dbraw/zinc/32/32/55/1073323255.db2.gz RHARLBCFUHZIEO-UHFFFAOYSA-N 0 0 441.496 -0.242 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NCCOc2ccc(Br)cc2)n1 ZINC000543927618 1073313891 /nfs/dbraw/zinc/31/38/91/1073313891.db2.gz XLAUAQBBXBCOOU-UHFFFAOYSA-N 0 0 425.243 -0.080 20 0 IBADRN COc1c(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)cccc1C(N)=O ZINC000543927665 1074513444 /nfs/dbraw/zinc/51/34/44/1074513444.db2.gz ZKSDSWLRJHPLND-UHFFFAOYSA-N 0 0 431.493 -0.207 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)n1 ZINC000543927846 1074513404 /nfs/dbraw/zinc/51/34/04/1074513404.db2.gz DXYCXGCBKFZNMZ-CYBMUJFWSA-N 0 0 431.428 -0.244 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)n1 ZINC000543927847 1073305803 /nfs/dbraw/zinc/30/58/03/1073305803.db2.gz DXYCXGCBKFZNMZ-ZDUSSCGKSA-N 0 0 431.428 -0.244 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)n1 ZINC000543928167 1073305711 /nfs/dbraw/zinc/30/57/11/1073305711.db2.gz KWAIOKXZUOIZKV-CYBMUJFWSA-N 0 0 433.856 -0.120 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)n1 ZINC000543928168 1073305768 /nfs/dbraw/zinc/30/57/68/1073305768.db2.gz KWAIOKXZUOIZKV-ZDUSSCGKSA-N 0 0 433.856 -0.120 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2OC)CC1 ZINC000543928810 1074513499 /nfs/dbraw/zinc/51/34/99/1074513499.db2.gz ZYMFHXIXHHSMPL-AWEZNQCLSA-N 0 0 449.508 -0.582 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2OC)CC1 ZINC000543928811 1074513509 /nfs/dbraw/zinc/51/35/09/1074513509.db2.gz ZYMFHXIXHHSMPL-CQSZACIVSA-N 0 0 449.508 -0.582 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cccc1C(N)=O ZINC000543929723 1074513429 /nfs/dbraw/zinc/51/34/29/1074513429.db2.gz VKCQDICDWCRJAT-GFCCVEGCSA-N 0 0 438.448 -0.092 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cccc1C(N)=O ZINC000543929724 1074513415 /nfs/dbraw/zinc/51/34/15/1074513415.db2.gz VKCQDICDWCRJAT-LBPRGKRZSA-N 0 0 438.448 -0.092 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCO1 ZINC000543930560 1074372233 /nfs/dbraw/zinc/37/22/33/1074372233.db2.gz MNYLCBAZGYONPN-AWEZNQCLSA-N 0 0 436.469 -0.590 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCO1 ZINC000543930562 1074372440 /nfs/dbraw/zinc/37/24/40/1074372440.db2.gz MNYLCBAZGYONPN-CQSZACIVSA-N 0 0 436.469 -0.590 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cccc(F)c3)C(=O)C2)n1 ZINC000543930693 1074372381 /nfs/dbraw/zinc/37/23/81/1074372381.db2.gz OIHUQUVNWCLERN-UHFFFAOYSA-N 0 0 431.428 -0.685 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000543931851 1074372423 /nfs/dbraw/zinc/37/24/23/1074372423.db2.gz HHBLKKQTQQODIE-UHFFFAOYSA-N 0 0 435.529 -0.466 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(N4CCOCC4)CCC3)CC2)C[C@H](C)O1 ZINC000543931924 1074513485 /nfs/dbraw/zinc/51/34/85/1074513485.db2.gz KMCLHUOWQDGMFI-CALCHBBNSA-N 0 0 430.571 -0.261 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(N4CCOCC4)CCC3)CC2)C[C@@H](C)O1 ZINC000543931925 1074513517 /nfs/dbraw/zinc/51/35/17/1074513517.db2.gz KMCLHUOWQDGMFI-IAGOWNOFSA-N 0 0 430.571 -0.261 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(N4CCOCC4)CCC3)CC2)C[C@H](C)O1 ZINC000543931926 1074514007 /nfs/dbraw/zinc/51/40/07/1074514007.db2.gz KMCLHUOWQDGMFI-IRXDYDNUSA-N 0 0 430.571 -0.261 20 0 IBADRN O=C(Nc1cccc2c1OCO2)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000543932483 1074513456 /nfs/dbraw/zinc/51/34/56/1074513456.db2.gz FRQWFNCAQLNMHX-UHFFFAOYSA-N 0 0 440.478 -0.489 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)n1 ZINC000543932762 1074372172 /nfs/dbraw/zinc/37/21/72/1074372172.db2.gz IJNQRDBXHZMUFE-AWEZNQCLSA-N 0 0 443.464 -0.899 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)n1 ZINC000543932769 1074372191 /nfs/dbraw/zinc/37/21/91/1074372191.db2.gz IJNQRDBXHZMUFE-CQSZACIVSA-N 0 0 443.464 -0.899 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cccc(Cl)c3)C(=O)C2)n1 ZINC000543933199 1073305310 /nfs/dbraw/zinc/30/53/10/1073305310.db2.gz IRWSGEKMGUFMGE-UHFFFAOYSA-N 0 0 447.883 -0.171 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCCN(c3cc(OC)cc(OC)c3)CC2)n1 ZINC000543933200 1073313477 /nfs/dbraw/zinc/31/34/77/1073313477.db2.gz IUDUGYHWFZFXEI-UHFFFAOYSA-N 0 0 445.480 -0.281 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000543933934 1074513891 /nfs/dbraw/zinc/51/38/91/1074513891.db2.gz ZOHJHZVTTJDZNU-UHFFFAOYSA-N 0 0 428.453 -0.601 20 0 IBADRN COc1cccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000543934259 1074513977 /nfs/dbraw/zinc/51/39/77/1074513977.db2.gz JOZFSCRNDQHBMR-UHFFFAOYSA-N 0 0 439.538 -0.939 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C3(N4CCOCC4)CCC3)CC2)o1 ZINC000543934773 1074513793 /nfs/dbraw/zinc/51/37/93/1074513793.db2.gz QNYHSSFYYADESB-UHFFFAOYSA-N 0 0 426.495 -0.534 20 0 IBADRN O=C(Nc1c[nH]nc1-c1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000543939671 1074514416 /nfs/dbraw/zinc/51/44/16/1074514416.db2.gz FKPCZYFUSJSHHZ-UHFFFAOYSA-N 0 0 448.505 -0.264 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncnc3OC)CC2)cc1 ZINC000543939747 1074514407 /nfs/dbraw/zinc/51/44/07/1074514407.db2.gz IVNCGDHAILHYDS-UHFFFAOYSA-N 0 0 435.462 -0.035 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000543939918 1074514274 /nfs/dbraw/zinc/51/42/74/1074514274.db2.gz RUOGNQVHYPUHNL-UHFFFAOYSA-N 0 0 438.466 -0.048 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cccc1C(N)=O ZINC000543940198 1073339887 /nfs/dbraw/zinc/33/98/87/1073339887.db2.gz ILVYJQKCDVTPTI-UHFFFAOYSA-N 0 0 444.448 -0.188 20 0 IBADRN COc1c(NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cccc1C(N)=O ZINC000543940280 1074514310 /nfs/dbraw/zinc/51/43/10/1074514310.db2.gz LHEWLJNLGKMHOY-UHFFFAOYSA-N 0 0 427.465 -0.539 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)n1 ZINC000543940332 1074372391 /nfs/dbraw/zinc/37/23/91/1074372391.db2.gz NVLJRHQJPFLYBK-UHFFFAOYSA-N 0 0 432.460 -0.960 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cccc1C(N)=O ZINC000543941088 1074514288 /nfs/dbraw/zinc/51/42/88/1074514288.db2.gz FRQIFRIPGVMIDK-UHFFFAOYSA-N 0 0 447.473 -0.339 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2ccccn2)CC1 ZINC000543941103 1074514357 /nfs/dbraw/zinc/51/43/57/1074514357.db2.gz GBHZZSWSHUVKBV-UHFFFAOYSA-N 0 0 427.465 -0.587 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)CCC3CCCC3)CC2)n1 ZINC000543941629 1073305258 /nfs/dbraw/zinc/30/52/58/1073305258.db2.gz RTGVNMZESWRTGF-UHFFFAOYSA-N 0 0 433.513 -0.054 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cccc1C(N)=O ZINC000543941692 1074514333 /nfs/dbraw/zinc/51/43/33/1074514333.db2.gz VPURQGFNVGBTTL-UHFFFAOYSA-N 0 0 431.493 -0.111 20 0 IBADRN COc1ncncc1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000543941746 1074514241 /nfs/dbraw/zinc/51/42/41/1074514241.db2.gz HOBOHXKJYOFBAO-UHFFFAOYSA-N 0 0 449.493 -0.913 20 0 IBADRN COc1c(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)cccc1C(N)=O ZINC000543941946 1074514218 /nfs/dbraw/zinc/51/42/18/1074514218.db2.gz MCJSTBRQXFFENG-UHFFFAOYSA-N 0 0 434.474 -0.032 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)N1CCOCC1 ZINC000543941958 1073312352 /nfs/dbraw/zinc/31/23/52/1073312352.db2.gz MSBQGCYJQGMYQZ-UHFFFAOYSA-N 0 0 435.529 -0.154 20 0 IBADRN O=C(Nc1c[nH]nc1-c1ccccn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000543942220 1074514758 /nfs/dbraw/zinc/51/47/58/1074514758.db2.gz YVFIKWQVBNYLHK-UHFFFAOYSA-N 0 0 425.449 -0.297 20 0 IBADRN CCOc1ccc(CN2CCN(C(=O)C(=O)Nc3ncn(CC(=O)NC)n3)CC2)cc1 ZINC000543942918 1073343819 /nfs/dbraw/zinc/34/38/19/1073343819.db2.gz JFWXUEVOOQTHND-UHFFFAOYSA-N 0 0 429.481 -0.294 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3ccc(OC)c(F)c3)CC2)n1 ZINC000543944181 1074372450 /nfs/dbraw/zinc/37/24/50/1074372450.db2.gz CDRBHKDFPOXKJV-UHFFFAOYSA-N 0 0 433.444 -0.545 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)n1 ZINC000543944368 1074372250 /nfs/dbraw/zinc/37/22/50/1074372250.db2.gz IYACUGYYFPBKDV-UHFFFAOYSA-N 0 0 442.480 -0.912 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(CCOc3ccc(Cl)cc3)CC2)n1 ZINC000543944378 1073314013 /nfs/dbraw/zinc/31/40/13/1073314013.db2.gz JIZISDCJZVEMCD-UHFFFAOYSA-N 0 0 449.899 -0.161 20 0 IBADRN COCC(=O)N1CCN(c2cc(C)nc([C@H](C)N3CCN(C(=O)COC)CC3)n2)CC1 ZINC000543947205 1074514767 /nfs/dbraw/zinc/51/47/67/1074514767.db2.gz FQRFEZQHCSHTBE-KRWDZBQOSA-N 0 0 434.541 -0.068 20 0 IBADRN COCC(=O)N1CCN(c2cc(C)nc([C@@H](C)N3CCN(C(=O)COC)CC3)n2)CC1 ZINC000543947206 1074514852 /nfs/dbraw/zinc/51/48/52/1074514852.db2.gz FQRFEZQHCSHTBE-QGZVFWFLSA-N 0 0 434.541 -0.068 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)n1 ZINC000543961247 1074372371 /nfs/dbraw/zinc/37/23/71/1074372371.db2.gz GPDSNWZTUPPXNS-UHFFFAOYSA-N 0 0 449.418 -0.432 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2=O)c1 ZINC000543963434 1074372339 /nfs/dbraw/zinc/37/23/39/1074372339.db2.gz VYBLHOKZIUZNEW-GFCCVEGCSA-N 0 0 429.437 -0.765 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2=O)c1 ZINC000543963435 1074372460 /nfs/dbraw/zinc/37/24/60/1074372460.db2.gz VYBLHOKZIUZNEW-LBPRGKRZSA-N 0 0 429.437 -0.765 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000543964252 1074514884 /nfs/dbraw/zinc/51/48/84/1074514884.db2.gz IOWIBJHIKSUREE-AWEZNQCLSA-N 0 0 440.464 -0.322 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000543964253 1074514860 /nfs/dbraw/zinc/51/48/60/1074514860.db2.gz IOWIBJHIKSUREE-CQSZACIVSA-N 0 0 440.464 -0.322 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)NCCS(=O)(=O)NCC2CCC2)C1=O ZINC000543973180 1074515318 /nfs/dbraw/zinc/51/53/18/1074515318.db2.gz XILYQIQUQKJKFC-HNNXBMFYSA-N 0 0 426.543 -0.070 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)NCCS(=O)(=O)NCC2CCC2)C1=O ZINC000543973181 1074515269 /nfs/dbraw/zinc/51/52/69/1074515269.db2.gz XILYQIQUQKJKFC-OAHLLOKOSA-N 0 0 426.543 -0.070 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)N2CCN(c3nccn(C)c3=O)CC2)C1=O ZINC000543978310 1074515668 /nfs/dbraw/zinc/51/56/68/1074515668.db2.gz JCAXSAHSLVZYMN-HNNXBMFYSA-N 0 0 428.497 -0.463 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)N2CCN(c3nccn(C)c3=O)CC2)C1=O ZINC000543978311 1074516031 /nfs/dbraw/zinc/51/60/31/1074516031.db2.gz JCAXSAHSLVZYMN-OAHLLOKOSA-N 0 0 428.497 -0.463 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CC2)n1 ZINC000543983992 1074516640 /nfs/dbraw/zinc/51/66/40/1074516640.db2.gz QQEIUUGMQVLMDC-UHFFFAOYSA-N 0 0 442.524 -0.091 20 0 IBADRN C[C@H](O)C[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000543984619 1074516601 /nfs/dbraw/zinc/51/66/01/1074516601.db2.gz OKHSIEQTOLOSJR-KBPBESRZSA-N 0 0 442.538 -0.012 20 0 IBADRN C[C@H](C[C@H](C)O)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000543984620 1074516591 /nfs/dbraw/zinc/51/65/91/1074516591.db2.gz OKHSIEQTOLOSJR-KGLIPLIRSA-N 0 0 442.538 -0.012 20 0 IBADRN C[C@@H](O)C[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000543984621 1074516545 /nfs/dbraw/zinc/51/65/45/1074516545.db2.gz OKHSIEQTOLOSJR-UONOGXRCSA-N 0 0 442.538 -0.012 20 0 IBADRN C[C@@H](O)C[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000543984622 1074516534 /nfs/dbraw/zinc/51/65/34/1074516534.db2.gz OKHSIEQTOLOSJR-ZIAGYGMSSA-N 0 0 442.538 -0.012 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)C[C@H](C)O ZINC000543984691 1074516605 /nfs/dbraw/zinc/51/66/05/1074516605.db2.gz QLYKXRXTHWFTOB-KBPBESRZSA-N 0 0 442.538 -0.155 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)C[C@H](C)O ZINC000543984692 1074516528 /nfs/dbraw/zinc/51/65/28/1074516528.db2.gz QLYKXRXTHWFTOB-KGLIPLIRSA-N 0 0 442.538 -0.155 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)C[C@@H](C)O ZINC000543984693 1074516579 /nfs/dbraw/zinc/51/65/79/1074516579.db2.gz QLYKXRXTHWFTOB-UONOGXRCSA-N 0 0 442.538 -0.155 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)C[C@@H](C)O ZINC000543984694 1074516479 /nfs/dbraw/zinc/51/64/79/1074516479.db2.gz QLYKXRXTHWFTOB-ZIAGYGMSSA-N 0 0 442.538 -0.155 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3nc(C)cc(C)n3)CC2)cn1 ZINC000543985306 1074516960 /nfs/dbraw/zinc/51/69/60/1074516960.db2.gz PUQCLCRJARCFQM-UHFFFAOYSA-N 0 0 444.496 -0.660 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CC4)c3)CC2)n1 ZINC000543986435 1074516976 /nfs/dbraw/zinc/51/69/76/1074516976.db2.gz UUIHTUILIWSBJL-UHFFFAOYSA-N 0 0 426.481 -0.144 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)n1 ZINC000543987087 1074517002 /nfs/dbraw/zinc/51/70/02/1074517002.db2.gz IGTRZWXLKVTBGP-UHFFFAOYSA-N 0 0 438.492 -0.288 20 0 IBADRN COc1ncc(CS(=O)(=O)CC(=O)NCCCN(C(C)C)S(C)(=O)=O)c(C)n1 ZINC000543989838 1074517398 /nfs/dbraw/zinc/51/73/98/1074517398.db2.gz CVQBVORETIHNRK-UHFFFAOYSA-N 0 0 436.556 -0.115 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2cc(C)nc([C@@H](C)N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000543991118 1074517900 /nfs/dbraw/zinc/51/79/00/1074517900.db2.gz KEELPRROVRTMKC-QLFBSQMISA-N 0 0 446.599 -0.403 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2cc(C)nc([C@@H](C)N3CC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000543991119 1074517964 /nfs/dbraw/zinc/51/79/64/1074517964.db2.gz KEELPRROVRTMKC-RBSFLKMASA-N 0 0 446.599 -0.403 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2cc(C)nc([C@H](C)N3CC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000543991120 1074517871 /nfs/dbraw/zinc/51/78/71/1074517871.db2.gz KEELPRROVRTMKC-RRFJBIMHSA-N 0 0 446.599 -0.403 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2cc(C)nc([C@H](C)N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000543991121 1074517936 /nfs/dbraw/zinc/51/79/36/1074517936.db2.gz KEELPRROVRTMKC-SOUVJXGZSA-N 0 0 446.599 -0.403 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(Cl)cc(C(N)=O)c1 ZINC000543995667 1070904135 /nfs/dbraw/zinc/90/41/35/1070904135.db2.gz WTRBZSODKLDBPX-GFCCVEGCSA-N 0 0 437.927 -0.247 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(Cl)cc(C(N)=O)c1 ZINC000543995668 1070905190 /nfs/dbraw/zinc/90/51/90/1070905190.db2.gz WTRBZSODKLDBPX-LBPRGKRZSA-N 0 0 437.927 -0.247 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000544003269 1070989165 /nfs/dbraw/zinc/98/91/65/1070989165.db2.gz YSHDYUKSLDVSLZ-CYBMUJFWSA-N 0 0 432.520 -0.025 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000544003270 1070989199 /nfs/dbraw/zinc/98/91/99/1070989199.db2.gz YSHDYUKSLDVSLZ-ZDUSSCGKSA-N 0 0 432.520 -0.025 20 0 IBADRN COC(=O)COc1ccc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000544008939 1074519336 /nfs/dbraw/zinc/51/93/36/1074519336.db2.gz VQQKUQALVBZVOL-KRWDZBQOSA-N 0 0 439.534 -0.341 20 0 IBADRN COC(=O)COc1ccc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000544008940 1074519358 /nfs/dbraw/zinc/51/93/58/1074519358.db2.gz VQQKUQALVBZVOL-QGZVFWFLSA-N 0 0 439.534 -0.341 20 0 IBADRN COC(=O)COc1ccc(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000544009513 1071030946 /nfs/dbraw/zinc/03/09/46/1071030946.db2.gz NNVXAVIZJOZOMJ-UHFFFAOYSA-N 0 0 426.539 -0.152 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)c1 ZINC000544030585 1074520867 /nfs/dbraw/zinc/52/08/67/1074520867.db2.gz IBXHQILJQKFFOW-AWEZNQCLSA-N 0 0 438.510 -0.406 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)c1 ZINC000544030590 1074520744 /nfs/dbraw/zinc/52/07/44/1074520744.db2.gz IBXHQILJQKFFOW-CQSZACIVSA-N 0 0 438.510 -0.406 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000544030933 1074520449 /nfs/dbraw/zinc/52/04/49/1074520449.db2.gz OGVMQGUCAMQFDY-MSOLQXFVSA-N 0 0 446.552 -0.259 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1=O ZINC000544030938 1074520387 /nfs/dbraw/zinc/52/03/87/1074520387.db2.gz OGVMQGUCAMQFDY-QZTJIDSGSA-N 0 0 446.552 -0.259 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000544030940 1074520331 /nfs/dbraw/zinc/52/03/31/1074520331.db2.gz OGVMQGUCAMQFDY-ROUUACIJSA-N 0 0 446.552 -0.259 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1=O ZINC000544030942 1074520421 /nfs/dbraw/zinc/52/04/21/1074520421.db2.gz OGVMQGUCAMQFDY-ZWKOTPCHSA-N 0 0 446.552 -0.259 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1=O ZINC000544031113 1074520794 /nfs/dbraw/zinc/52/07/94/1074520794.db2.gz XZBOCUISIHMTQP-LLVKDONJSA-N 0 0 439.270 -0.248 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1=O ZINC000544031114 1074520852 /nfs/dbraw/zinc/52/08/52/1074520852.db2.gz XZBOCUISIHMTQP-NSHDSACASA-N 0 0 439.270 -0.248 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)cn1C ZINC000544031546 1074520777 /nfs/dbraw/zinc/52/07/77/1074520777.db2.gz MODUNYZDWKDARL-AWEZNQCLSA-N 0 0 437.526 -0.562 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)cn1C ZINC000544031547 1074520845 /nfs/dbraw/zinc/52/08/45/1074520845.db2.gz MODUNYZDWKDARL-CQSZACIVSA-N 0 0 437.526 -0.562 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1OC ZINC000544032481 1074520754 /nfs/dbraw/zinc/52/07/54/1074520754.db2.gz WJFLLHITQKHTMY-UHFFFAOYSA-N 0 0 439.490 -0.063 20 0 IBADRN COc1cncc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1 ZINC000544032542 1071094372 /nfs/dbraw/zinc/09/43/72/1071094372.db2.gz AEENGKCCWVLRJM-KBPBESRZSA-N 0 0 434.540 -0.250 20 0 IBADRN COc1cncc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1 ZINC000544032543 1071094478 /nfs/dbraw/zinc/09/44/78/1071094478.db2.gz AEENGKCCWVLRJM-OKILXGFUSA-N 0 0 434.540 -0.250 20 0 IBADRN COc1cncc(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1 ZINC000544032544 1071094468 /nfs/dbraw/zinc/09/44/68/1071094468.db2.gz AEENGKCCWVLRJM-ZIAGYGMSSA-N 0 0 434.540 -0.250 20 0 IBADRN COc1cncc(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000544034213 1074520827 /nfs/dbraw/zinc/52/08/27/1074520827.db2.gz ORUWIJBMMHKWLZ-UHFFFAOYSA-N 0 0 441.535 -0.085 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ncc(CC)s2)c(=O)n1CC(=O)N1CCOCC1 ZINC000544046961 1074520723 /nfs/dbraw/zinc/52/07/23/1074520723.db2.gz VHPBYPZQCSONHJ-UHFFFAOYSA-N 0 0 437.543 -0.040 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1nc(-c3cccc(NS(C)(=O)=O)c3)no1)c(=O)n2C ZINC000544053735 1074521984 /nfs/dbraw/zinc/52/19/84/1074521984.db2.gz LJBKSQLJDMEBIS-UHFFFAOYSA-N 0 0 431.434 -0.097 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1-c1noc(Cn2cnc3c2c(=O)n(C)c(=O)n3C)n1 ZINC000544062135 1074523846 /nfs/dbraw/zinc/52/38/46/1074523846.db2.gz LOQZFPYRDUTNQV-UHFFFAOYSA-N 0 0 445.461 -0.218 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000544065300 1074524352 /nfs/dbraw/zinc/52/43/52/1074524352.db2.gz NRBGNUNLISHGBT-UHFFFAOYSA-N 0 0 430.483 -0.857 20 0 IBADRN NC(=O)[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000544071819 1074524577 /nfs/dbraw/zinc/52/45/77/1074524577.db2.gz IEARYWKJQQVTOE-ARFHVFGLSA-N 0 0 435.468 -0.123 20 0 IBADRN NC(=O)[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000544071820 1074524595 /nfs/dbraw/zinc/52/45/95/1074524595.db2.gz IEARYWKJQQVTOE-HRCADAONSA-N 0 0 435.468 -0.123 20 0 IBADRN NC(=O)[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000544071821 1074524646 /nfs/dbraw/zinc/52/46/46/1074524646.db2.gz IEARYWKJQQVTOE-JYJNAYRXSA-N 0 0 435.468 -0.123 20 0 IBADRN NC(=O)[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000544071822 1074524547 /nfs/dbraw/zinc/52/45/47/1074524547.db2.gz IEARYWKJQQVTOE-XHSDSOJGSA-N 0 0 435.468 -0.123 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCC(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC000544072508 1071249792 /nfs/dbraw/zinc/24/97/92/1071249792.db2.gz YBPBMUKLXQQCLZ-GASCZTMLSA-N 0 0 434.559 -0.584 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCC(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC000544072509 1071249818 /nfs/dbraw/zinc/24/98/18/1071249818.db2.gz YBPBMUKLXQQCLZ-GJZGRUSLSA-N 0 0 434.559 -0.584 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NCC(=O)OC(C)(C)C)CC2)C[C@@H](C)O1 ZINC000544072510 1071249888 /nfs/dbraw/zinc/24/98/88/1071249888.db2.gz YBPBMUKLXQQCLZ-HUUCEWRRSA-N 0 0 434.559 -0.584 20 0 IBADRN CN(CCCNC(=O)Cn1cc(F)cc(I)c1=O)S(C)(=O)=O ZINC000544073622 1074525084 /nfs/dbraw/zinc/52/50/84/1074525084.db2.gz RBIMJAREFWHJOS-UHFFFAOYSA-N 0 0 445.254 -0.010 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)COCCNC(=O)c2ccccc2)CC1 ZINC000544087529 1074526069 /nfs/dbraw/zinc/52/60/69/1074526069.db2.gz OUYCVELWUCGTQH-UHFFFAOYSA-N 0 0 427.523 -0.057 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)NCCCO)CC2)c1 ZINC000544100745 1074526382 /nfs/dbraw/zinc/52/63/82/1074526382.db2.gz CDXQWDUZJUNHDN-UHFFFAOYSA-N 0 0 433.552 -0.164 20 0 IBADRN COC(CNS(=O)(=O)c1c(C)nn(C)c1C)CNS(=O)(=O)c1c(C)nn(C)c1C ZINC000544103260 1074526405 /nfs/dbraw/zinc/52/64/05/1074526405.db2.gz KMRXGASFMIOIBP-UHFFFAOYSA-N 0 0 448.571 -0.341 20 0 IBADRN COC(CNS(=O)(=O)CC1CCOCC1)CNS(=O)(=O)CC1CCOCC1 ZINC000544103780 1074526387 /nfs/dbraw/zinc/52/63/87/1074526387.db2.gz XWXJNGJAHAXRDO-UHFFFAOYSA-N 0 0 428.573 -0.307 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCNC(=O)[C@@H]3c3ccccc3Cl)c2c(=O)n(C)c1=O ZINC000544111935 1074526420 /nfs/dbraw/zinc/52/64/20/1074526420.db2.gz IZLSXYUSXFOILG-AWEZNQCLSA-N 0 0 430.852 -0.213 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCNC(=O)[C@H]3c3ccccc3Cl)c2c(=O)n(C)c1=O ZINC000544111936 1074526395 /nfs/dbraw/zinc/52/63/95/1074526395.db2.gz IZLSXYUSXFOILG-CQSZACIVSA-N 0 0 430.852 -0.213 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCNC(=O)[C@@H]2c2ccccc2Cl)CC1 ZINC000544112752 1071352205 /nfs/dbraw/zinc/35/22/05/1071352205.db2.gz NURJJUCGXBPAPU-AWEZNQCLSA-N 0 0 436.943 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCNC(=O)[C@H]2c2ccccc2Cl)CC1 ZINC000544112753 1071352097 /nfs/dbraw/zinc/35/20/97/1071352097.db2.gz NURJJUCGXBPAPU-CQSZACIVSA-N 0 0 436.943 -0.365 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)CCCOC)[C@H](c2cnn(C)c2)C1 ZINC000544114518 1071354254 /nfs/dbraw/zinc/35/42/54/1071354254.db2.gz PKBRMGJJVQLSFL-INIZCTEOSA-N 0 0 438.572 -0.189 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)CCCOC)[C@@H](c2cnn(C)c2)C1 ZINC000544114524 1074526328 /nfs/dbraw/zinc/52/63/28/1074526328.db2.gz PKBRMGJJVQLSFL-MRXNPFEDSA-N 0 0 438.572 -0.189 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCSC[C@H]2CO)c(=O)[nH]c1=O ZINC000544131488 1074526797 /nfs/dbraw/zinc/52/67/97/1074526797.db2.gz NNWBFKXDQCQAGU-CYBMUJFWSA-N 0 0 429.543 -0.280 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCSC[C@@H]2CO)c(=O)[nH]c1=O ZINC000544131489 1074526738 /nfs/dbraw/zinc/52/67/38/1074526738.db2.gz NNWBFKXDQCQAGU-ZDUSSCGKSA-N 0 0 429.543 -0.280 20 0 IBADRN Cc1ncc(CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c(=O)[nH]1 ZINC000544136220 1074527246 /nfs/dbraw/zinc/52/72/46/1074527246.db2.gz NNTPSQDSXFJNBM-UHFFFAOYSA-N 0 0 435.510 -0.163 20 0 IBADRN C[C@H](NS(=O)(=O)N1CCOCC1)c1ccc(CNS(=O)(=O)N2CCOCC2)cc1 ZINC000544136325 1074527116 /nfs/dbraw/zinc/52/71/16/1074527116.db2.gz PQSMFRTWNOPOGJ-HNNXBMFYSA-N 0 0 448.567 -0.419 20 0 IBADRN C[C@@H](NS(=O)(=O)N1CCOCC1)c1ccc(CNS(=O)(=O)N2CCOCC2)cc1 ZINC000544136326 1074527233 /nfs/dbraw/zinc/52/72/33/1074527233.db2.gz PQSMFRTWNOPOGJ-OAHLLOKOSA-N 0 0 448.567 -0.419 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000544140750 1074527228 /nfs/dbraw/zinc/52/72/28/1074527228.db2.gz WCKSVPOKJNDBQP-INIZCTEOSA-N 0 0 436.494 -0.021 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000544140753 1074527198 /nfs/dbraw/zinc/52/71/98/1074527198.db2.gz WCKSVPOKJNDBQP-MRXNPFEDSA-N 0 0 436.494 -0.021 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H]4CC[C@@H](O)[C@@H]4C3)cc2)CC1 ZINC000544145495 1074527165 /nfs/dbraw/zinc/52/71/65/1074527165.db2.gz CITKLZCNRDEIBO-BMGDILEWSA-N 0 0 436.534 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H]4CC[C@@H](O)[C@H]4C3)cc2)CC1 ZINC000544145500 1074527149 /nfs/dbraw/zinc/52/71/49/1074527149.db2.gz CITKLZCNRDEIBO-JCGIZDLHSA-N 0 0 436.534 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H]4CC[C@H](O)[C@@H]4C3)cc2)CC1 ZINC000544145501 1074527099 /nfs/dbraw/zinc/52/70/99/1074527099.db2.gz CITKLZCNRDEIBO-QGTPRVQTSA-N 0 0 436.534 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H]4CC[C@H](O)[C@H]4C3)cc2)CC1 ZINC000544145502 1074527213 /nfs/dbraw/zinc/52/72/13/1074527213.db2.gz CITKLZCNRDEIBO-WBAXXEDZSA-N 0 0 436.534 -0.210 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000544149138 1074527534 /nfs/dbraw/zinc/52/75/34/1074527534.db2.gz SUSOGLOKFOGVFE-BUIAKZPTSA-N 0 0 429.473 -0.131 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000544149139 1074527640 /nfs/dbraw/zinc/52/76/40/1074527640.db2.gz SUSOGLOKFOGVFE-JHDROBOHSA-N 0 0 429.473 -0.131 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000544149140 1074527615 /nfs/dbraw/zinc/52/76/15/1074527615.db2.gz SUSOGLOKFOGVFE-MFWIUYSUSA-N 0 0 429.473 -0.131 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000544149141 1074527586 /nfs/dbraw/zinc/52/75/86/1074527586.db2.gz SUSOGLOKFOGVFE-RIVXBTQJSA-N 0 0 429.473 -0.131 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)c2c(=O)n(C)c1=O ZINC000544150541 1074527647 /nfs/dbraw/zinc/52/76/47/1074527647.db2.gz QDSIUDFPZNMDOD-HNNXBMFYSA-N 0 0 439.476 -0.474 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)c2c(=O)n(C)c1=O ZINC000544150547 1074527578 /nfs/dbraw/zinc/52/75/78/1074527578.db2.gz QDSIUDFPZNMDOD-OAHLLOKOSA-N 0 0 439.476 -0.474 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)c(=O)[nH]1 ZINC000544150904 1074527513 /nfs/dbraw/zinc/52/75/13/1074527513.db2.gz SATOWAYWKFWKBD-KRWDZBQOSA-N 0 0 441.444 -0.240 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)c(=O)[nH]1 ZINC000544150905 1074527544 /nfs/dbraw/zinc/52/75/44/1074527544.db2.gz SATOWAYWKFWKBD-QGZVFWFLSA-N 0 0 441.444 -0.240 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)c(=O)[nH]1 ZINC000544153514 1074527593 /nfs/dbraw/zinc/52/75/93/1074527593.db2.gz YGQZRMMEAJCKBG-UHFFFAOYSA-N 0 0 429.433 -0.017 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CCCCS1(=O)=O ZINC000544153908 1074527607 /nfs/dbraw/zinc/52/76/07/1074527607.db2.gz TVSFICMWELDTBT-CYBMUJFWSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H]1CCCCS1(=O)=O ZINC000544153909 1074527525 /nfs/dbraw/zinc/52/75/25/1074527525.db2.gz TVSFICMWELDTBT-ZDUSSCGKSA-N 0 0 447.535 -0.033 20 0 IBADRN COC(=O)c1cc(Br)c(F)cc1NC(=O)C(=O)NCCN1C(=O)CNC1=O ZINC000544155697 1074528014 /nfs/dbraw/zinc/52/80/14/1074528014.db2.gz CWSLWCPGEQODBW-UHFFFAOYSA-N 0 0 445.201 -0.019 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000544157159 1073341034 /nfs/dbraw/zinc/34/10/34/1073341034.db2.gz ZRTKIGIFMHJDIU-UHFFFAOYSA-N 0 0 428.449 -0.017 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC(N(C)S(=O)(=O)CC)CC1 ZINC000544159625 1073340717 /nfs/dbraw/zinc/34/07/17/1073340717.db2.gz RTJGOKKKMPJYII-UHFFFAOYSA-N 0 0 429.499 -0.192 20 0 IBADRN CSc1c(F)cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1F ZINC000544161204 1074527958 /nfs/dbraw/zinc/52/79/58/1074527958.db2.gz CMCVOFMBIXQDAT-UHFFFAOYSA-N 0 0 425.421 -0.017 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000544165274 1074527822 /nfs/dbraw/zinc/52/78/22/1074527822.db2.gz BEBFPHLEHZQYJR-UHFFFAOYSA-N 0 0 432.477 -0.010 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000544165560 1073341024 /nfs/dbraw/zinc/34/10/24/1073341024.db2.gz DJUAOJICOMYTHE-UHFFFAOYSA-N 0 0 437.478 -0.229 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000544167477 1074373388 /nfs/dbraw/zinc/37/33/88/1074373388.db2.gz IKDOUXLKMPXYIY-UHFFFAOYSA-N 0 0 430.469 -0.736 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000544173293 1074527893 /nfs/dbraw/zinc/52/78/93/1074527893.db2.gz XHLGGOVYPQEYEA-BODITIBLSA-N 0 0 444.535 -0.200 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000544173294 1074528323 /nfs/dbraw/zinc/52/83/23/1074528323.db2.gz XHLGGOVYPQEYEA-JNFKXCBWSA-N 0 0 444.535 -0.200 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000544173295 1074528340 /nfs/dbraw/zinc/52/83/40/1074528340.db2.gz XHLGGOVYPQEYEA-PSBQPLHCSA-N 0 0 444.535 -0.200 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000544173296 1074528396 /nfs/dbraw/zinc/52/83/96/1074528396.db2.gz XHLGGOVYPQEYEA-YASQENCXSA-N 0 0 444.535 -0.200 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3cnn(CC(=O)N(C)C)c3)C2)nc1 ZINC000544183868 1074528387 /nfs/dbraw/zinc/52/83/87/1074528387.db2.gz UHACVZHMTKHEAK-UHFFFAOYSA-N 0 0 443.464 -0.514 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000544184262 1074528378 /nfs/dbraw/zinc/52/83/78/1074528378.db2.gz WEUWENQBIKFARG-NEPJUHHUSA-N 0 0 448.519 -0.301 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000544184270 1074528413 /nfs/dbraw/zinc/52/84/13/1074528413.db2.gz WEUWENQBIKFARG-NWDGAFQWSA-N 0 0 448.519 -0.301 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000544184271 1074528409 /nfs/dbraw/zinc/52/84/09/1074528409.db2.gz WEUWENQBIKFARG-RYUDHWBXSA-N 0 0 448.519 -0.301 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000544184272 1074528313 /nfs/dbraw/zinc/52/83/13/1074528313.db2.gz WEUWENQBIKFARG-VXGBXAGGSA-N 0 0 448.519 -0.301 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)Nc3cc(C)on3)[C@H](c3cnn(C)c3)C2)no1 ZINC000544187496 1074528307 /nfs/dbraw/zinc/52/83/07/1074528307.db2.gz CLMZFZQFLUAYFK-INIZCTEOSA-N 0 0 442.480 -0.207 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)Nc3cc(C)on3)[C@@H](c3cnn(C)c3)C2)no1 ZINC000544187497 1074528347 /nfs/dbraw/zinc/52/83/47/1074528347.db2.gz CLMZFZQFLUAYFK-MRXNPFEDSA-N 0 0 442.480 -0.207 20 0 IBADRN Cn1cc([C@@H]2CN(CC(=O)Nc3ccncc3)CCN2CC(=O)Nc2ccncc2)cn1 ZINC000544192460 1074528711 /nfs/dbraw/zinc/52/87/11/1074528711.db2.gz SCQHOWAJRFVJKU-FQEVSTJZSA-N 0 0 434.504 -0.010 20 0 IBADRN Cn1cc([C@H]2CN(CC(=O)Nc3ccncc3)CCN2CC(=O)Nc2ccncc2)cn1 ZINC000544192464 1074528720 /nfs/dbraw/zinc/52/87/20/1074528720.db2.gz SCQHOWAJRFVJKU-HXUWFJFHSA-N 0 0 434.504 -0.010 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000544193129 1074528776 /nfs/dbraw/zinc/52/87/76/1074528776.db2.gz CGJYJKZOYVCSEU-UHFFFAOYSA-N 0 0 440.457 -0.417 20 0 IBADRN COc1c2cnn(C)c2ncc1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000544198508 1074530070 /nfs/dbraw/zinc/53/00/70/1074530070.db2.gz KBTJUXZMUOQVHM-UHFFFAOYSA-N 0 0 448.461 -0.185 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000544200481 1074530435 /nfs/dbraw/zinc/53/04/35/1074530435.db2.gz ZBKDANRWHLILEP-UHFFFAOYSA-N 0 0 448.476 -0.236 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000544202747 1073340664 /nfs/dbraw/zinc/34/06/64/1073340664.db2.gz KDBYLMULCMGQBT-UHFFFAOYSA-N 0 0 448.524 -0.062 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000544205219 1074373366 /nfs/dbraw/zinc/37/33/66/1074373366.db2.gz YIUJLMTXSMIDOQ-GFCCVEGCSA-N 0 0 445.498 -0.860 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000544205224 1074373339 /nfs/dbraw/zinc/37/33/39/1074373339.db2.gz YIUJLMTXSMIDOQ-LBPRGKRZSA-N 0 0 445.498 -0.860 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)N(C)C)CC2)cc1 ZINC000544205616 1074530787 /nfs/dbraw/zinc/53/07/87/1074530787.db2.gz YFLHQKNDBBRAND-UHFFFAOYSA-N 0 0 447.535 -0.412 20 0 IBADRN O=C(Cn1nc2cnccn2c1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000544208240 1074531467 /nfs/dbraw/zinc/53/14/67/1074531467.db2.gz ZCBSPRHYWPNOSX-UHFFFAOYSA-N 0 0 442.501 -0.087 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000544211990 1073341064 /nfs/dbraw/zinc/34/10/64/1073341064.db2.gz YQFISDVBCPJSEI-AWEZNQCLSA-N 0 0 434.497 -0.310 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000544211995 1073341099 /nfs/dbraw/zinc/34/10/99/1073341099.db2.gz YQFISDVBCPJSEI-CQSZACIVSA-N 0 0 434.497 -0.310 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2nn(C)cc2C(=O)OCC)CC1 ZINC000544212368 1074373309 /nfs/dbraw/zinc/37/33/09/1074373309.db2.gz UGIZISYUEPXUAA-UHFFFAOYSA-N 0 0 437.453 -0.547 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000544212970 1074531748 /nfs/dbraw/zinc/53/17/48/1074531748.db2.gz QVCPOTREMJUDBS-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000544212985 1074531641 /nfs/dbraw/zinc/53/16/41/1074531641.db2.gz QVCPOTREMJUDBS-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000544213242 1074532080 /nfs/dbraw/zinc/53/20/80/1074532080.db2.gz XIEWBVPVSGQXFS-CYBMUJFWSA-N 0 0 428.515 -0.375 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000544213247 1073311908 /nfs/dbraw/zinc/31/19/08/1073311908.db2.gz XIEWBVPVSGQXFS-ZDUSSCGKSA-N 0 0 428.515 -0.375 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000544213259 1074532004 /nfs/dbraw/zinc/53/20/04/1074532004.db2.gz VZVJSMGTOBRUCV-GFCCVEGCSA-N 0 0 443.478 -0.278 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000544213261 1074531951 /nfs/dbraw/zinc/53/19/51/1074531951.db2.gz VZVJSMGTOBRUCV-LBPRGKRZSA-N 0 0 443.478 -0.278 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccs1 ZINC000544213710 1073341167 /nfs/dbraw/zinc/34/11/67/1073341167.db2.gz FZJLATJCIRATDA-UHFFFAOYSA-N 0 0 429.480 -0.309 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccsc2C(=O)OC)CC1 ZINC000544213900 1074532012 /nfs/dbraw/zinc/53/20/12/1074532012.db2.gz KATLKQHUIZCHDJ-UHFFFAOYSA-N 0 0 433.508 -0.016 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000544214207 1073341088 /nfs/dbraw/zinc/34/10/88/1073341088.db2.gz UGKVOWVKFPDOPB-GFCCVEGCSA-N 0 0 441.452 -0.290 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000544214210 1073341017 /nfs/dbraw/zinc/34/10/17/1073341017.db2.gz UGKVOWVKFPDOPB-LBPRGKRZSA-N 0 0 441.452 -0.290 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)nc1 ZINC000544238635 1074533020 /nfs/dbraw/zinc/53/30/20/1074533020.db2.gz LQHZXZISUADNCI-UHFFFAOYSA-N 0 0 438.444 -0.178 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)CCc3nc4c([nH]3)n(C)c(=O)[nH]c4=O)C2)nc1 ZINC000544239271 1074533040 /nfs/dbraw/zinc/53/30/40/1074533040.db2.gz RKZVEMWTOYJDLV-UHFFFAOYSA-N 0 0 441.448 -0.129 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CS(=O)(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000544240262 1074532981 /nfs/dbraw/zinc/53/29/81/1074532981.db2.gz AMTZGIQCWOLZNL-HNNXBMFYSA-N 0 0 426.543 -0.740 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CS(=O)(=O)N(C)C)CC3)C2=O)n(C)n1 ZINC000544240263 1074533008 /nfs/dbraw/zinc/53/30/08/1074533008.db2.gz AMTZGIQCWOLZNL-OAHLLOKOSA-N 0 0 426.543 -0.740 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2)nc1 ZINC000544240333 1074533341 /nfs/dbraw/zinc/53/33/41/1074533341.db2.gz BSJNIGNVPBKEDO-UHFFFAOYSA-N 0 0 425.511 -0.860 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)nc1 ZINC000544240481 1074532975 /nfs/dbraw/zinc/53/29/75/1074532975.db2.gz NBXSRWGDMGKBCY-UHFFFAOYSA-N 0 0 441.448 -0.990 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)Nc1n[nH]c2ccc(NC(=O)CS(=O)(=O)N(C)C)cc21 ZINC000544253575 1074533832 /nfs/dbraw/zinc/53/38/32/1074533832.db2.gz FNGVROVEFTZACD-UHFFFAOYSA-N 0 0 446.511 -0.777 20 0 IBADRN O=C(NC[C@@H]1CCCCS1(=O)=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000544255958 1074533864 /nfs/dbraw/zinc/53/38/64/1074533864.db2.gz ACQKURJGFCDTJX-HNNXBMFYSA-N 0 0 429.520 -0.496 20 0 IBADRN O=C(NC[C@H]1CCCCS1(=O)=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000544255961 1071931027 /nfs/dbraw/zinc/93/10/27/1071931027.db2.gz ACQKURJGFCDTJX-OAHLLOKOSA-N 0 0 429.520 -0.496 20 0 IBADRN COC(CNC(=O)[C@]12CCC(=O)N1CCS2)CNC(=O)[C@]12CCC(=O)N1CCS2 ZINC000544273733 1074534219 /nfs/dbraw/zinc/53/42/19/1074534219.db2.gz PPJUJQNKQOAZDT-QZTJIDSGSA-N 0 0 442.563 -0.635 20 0 IBADRN COC(CNC(=O)[C@@]12CCC(=O)N1CCS2)CNC(=O)[C@@]12CCC(=O)N1CCS2 ZINC000544273734 1074534183 /nfs/dbraw/zinc/53/41/83/1074534183.db2.gz PPJUJQNKQOAZDT-ROUUACIJSA-N 0 0 442.563 -0.635 20 0 IBADRN CO[C@@H](CNC(=O)[C@]12CCC(=O)N1CCS2)CNC(=O)[C@@]12CCC(=O)N1CCS2 ZINC000544273735 1074534127 /nfs/dbraw/zinc/53/41/27/1074534127.db2.gz PPJUJQNKQOAZDT-ZECLBQNYSA-N 0 0 442.563 -0.635 20 0 IBADRN COC(CNC(=O)c1ccccc1-n1cnnn1)CNC(=O)c1ccccc1-n1cnnn1 ZINC000544274236 1074534116 /nfs/dbraw/zinc/53/41/16/1074534116.db2.gz WPTCOUBCKJFMJS-UHFFFAOYSA-N 0 0 448.447 -0.187 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CS(=O)(=O)N(C)C ZINC000544276896 1072020949 /nfs/dbraw/zinc/02/09/49/1072020949.db2.gz HNFNHNMOYWSZNQ-UHFFFAOYSA-N 0 0 435.524 -0.064 20 0 IBADRN COC(CNC(=O)C1(N2CCOCC2)CCC1)CNC(=O)C1(N2CCOCC2)CCC1 ZINC000544281125 1074534239 /nfs/dbraw/zinc/53/42/39/1074534239.db2.gz VOJZKOINWCGPTP-UHFFFAOYSA-N 0 0 438.569 -0.256 20 0 IBADRN NC(=O)Cn1cc(-c2nc(-c3ccc(S(=O)(=O)NC[C@H]4CCCO4)cc3)no2)nn1 ZINC000544285770 1074534225 /nfs/dbraw/zinc/53/42/25/1074534225.db2.gz VKBBIJSRIODUHT-GFCCVEGCSA-N 0 0 433.450 -0.062 20 0 IBADRN NC(=O)Cn1cc(-c2nc(-c3ccc(S(=O)(=O)NC[C@@H]4CCCO4)cc3)no2)nn1 ZINC000544285773 1074534231 /nfs/dbraw/zinc/53/42/31/1074534231.db2.gz VKBBIJSRIODUHT-LBPRGKRZSA-N 0 0 433.450 -0.062 20 0 IBADRN CCN1CCO[C@H](C(=O)N2CCN(C(=O)[C@@H]3CN(CC)CCO3)[C@@H](c3cnn(C)c3)C2)C1 ZINC000544288204 1074534087 /nfs/dbraw/zinc/53/40/87/1074534087.db2.gz FDQYHXISFJXRGK-AABGKKOBSA-N 0 0 448.568 -0.427 20 0 IBADRN CCN1CCO[C@@H](C(=O)N2CCN(C(=O)[C@@H]3CN(CC)CCO3)C[C@@H]2c2cnn(C)c2)C1 ZINC000544288207 1074534021 /nfs/dbraw/zinc/53/40/21/1074534021.db2.gz FDQYHXISFJXRGK-HSALFYBXSA-N 0 0 448.568 -0.427 20 0 IBADRN CCN1CCO[C@@H](C(=O)N2CCN(C(=O)[C@@H]3CN(CC)CCO3)C[C@H]2c2cnn(C)c2)C1 ZINC000544288209 1074534187 /nfs/dbraw/zinc/53/41/87/1074534187.db2.gz FDQYHXISFJXRGK-SLFFLAALSA-N 0 0 448.568 -0.427 20 0 IBADRN CCN1CCO[C@H](C(=O)N2CCN(C(=O)[C@@H]3CN(CC)CCO3)[C@H](c3cnn(C)c3)C2)C1 ZINC000544288210 1074534138 /nfs/dbraw/zinc/53/41/38/1074534138.db2.gz FDQYHXISFJXRGK-UFYCRDLUSA-N 0 0 448.568 -0.427 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000544289123 1074534235 /nfs/dbraw/zinc/53/42/35/1074534235.db2.gz IZRSYBBUBRIRKA-IBGZPJMESA-N 0 0 444.536 -0.241 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000544289126 1074534078 /nfs/dbraw/zinc/53/40/78/1074534078.db2.gz IZRSYBBUBRIRKA-LJQANCHMSA-N 0 0 444.536 -0.241 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000544290012 1074534171 /nfs/dbraw/zinc/53/41/71/1074534171.db2.gz UIGRETOZEVUKPY-GOSISDBHSA-N 0 0 432.525 -0.338 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000544290013 1074534663 /nfs/dbraw/zinc/53/46/63/1074534663.db2.gz UIGRETOZEVUKPY-SFHVURJKSA-N 0 0 432.525 -0.338 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1)N1CCOCC1 ZINC000544290118 1074534133 /nfs/dbraw/zinc/53/41/33/1074534133.db2.gz YFOXSHYJECYCOT-IBGZPJMESA-N 0 0 444.536 -0.241 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1)N1CCOCC1 ZINC000544290119 1074534069 /nfs/dbraw/zinc/53/40/69/1074534069.db2.gz YFOXSHYJECYCOT-LJQANCHMSA-N 0 0 444.536 -0.241 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC2(CCOCC2)C1 ZINC000544290891 1072083474 /nfs/dbraw/zinc/08/34/74/1072083474.db2.gz DSULQGQZZFGWKD-UHFFFAOYSA-N 0 0 446.570 -0.134 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)C2)nc1 ZINC000544291527 1074534565 /nfs/dbraw/zinc/53/45/65/1074534565.db2.gz GZMZTCVCYBMYRW-UHFFFAOYSA-N 0 0 446.508 -0.175 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCNC(=O)[C@@H]2c2ccccc2Cl)c[nH]1 ZINC000544298195 1074534618 /nfs/dbraw/zinc/53/46/18/1074534618.db2.gz YDWCFJHFNVVYBB-HNNXBMFYSA-N 0 0 439.881 -0.255 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCNC(=O)[C@H]2c2ccccc2Cl)c[nH]1 ZINC000544298196 1074534640 /nfs/dbraw/zinc/53/46/40/1074534640.db2.gz YDWCFJHFNVVYBB-OAHLLOKOSA-N 0 0 439.881 -0.255 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000544322489 1074535201 /nfs/dbraw/zinc/53/52/01/1074535201.db2.gz LPULWIJUJAPGHJ-INIZCTEOSA-N 0 0 439.538 -0.477 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000544322490 1074535215 /nfs/dbraw/zinc/53/52/15/1074535215.db2.gz LPULWIJUJAPGHJ-MRXNPFEDSA-N 0 0 439.538 -0.477 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000544333921 1074535103 /nfs/dbraw/zinc/53/51/03/1074535103.db2.gz YMCYDVRTUGKBLE-KRWDZBQOSA-N 0 0 444.492 -0.710 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000544333922 1074535146 /nfs/dbraw/zinc/53/51/46/1074535146.db2.gz YMCYDVRTUGKBLE-QGZVFWFLSA-N 0 0 444.492 -0.710 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000544334329 1074535127 /nfs/dbraw/zinc/53/51/27/1074535127.db2.gz LJXCCUMJAYAXIU-BIXPNRAVSA-N 0 0 428.536 -0.036 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000544334330 1074535230 /nfs/dbraw/zinc/53/52/30/1074535230.db2.gz LJXCCUMJAYAXIU-JWNZJDHWSA-N 0 0 428.536 -0.036 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000544334332 1074535222 /nfs/dbraw/zinc/53/52/22/1074535222.db2.gz LJXCCUMJAYAXIU-KHXSAUHESA-N 0 0 428.536 -0.036 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000544334334 1074535246 /nfs/dbraw/zinc/53/52/46/1074535246.db2.gz LJXCCUMJAYAXIU-MUDIAHQHSA-N 0 0 428.536 -0.036 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1)N1CCOCC1 ZINC000544334554 1074535052 /nfs/dbraw/zinc/53/50/52/1074535052.db2.gz QOXRQEZAWKIGSS-GOSISDBHSA-N 0 0 430.509 -0.237 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1)N1CCOCC1 ZINC000544334557 1074535062 /nfs/dbraw/zinc/53/50/62/1074535062.db2.gz QOXRQEZAWKIGSS-SFHVURJKSA-N 0 0 430.509 -0.237 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000544335435 1074535071 /nfs/dbraw/zinc/53/50/71/1074535071.db2.gz VUMUCPSZCISKGS-GOSISDBHSA-N 0 0 430.509 -0.237 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000544335436 1074535239 /nfs/dbraw/zinc/53/52/39/1074535239.db2.gz VUMUCPSZCISKGS-SFHVURJKSA-N 0 0 430.509 -0.237 20 0 IBADRN NC(=O)c1ncc(Br)cc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000544353130 1074535565 /nfs/dbraw/zinc/53/55/65/1074535565.db2.gz UIJJERCYYOEQNL-UHFFFAOYSA-N 0 0 426.271 -0.550 20 0 IBADRN O=C(COc1cccc(N2CCCC2=O)c1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544354462 1074535470 /nfs/dbraw/zinc/53/54/70/1074535470.db2.gz BZENQLVVBCYXMS-UHFFFAOYSA-N 0 0 434.478 -0.157 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544356563 1074535546 /nfs/dbraw/zinc/53/55/46/1074535546.db2.gz CZTMTZVQPQMYRA-HNNXBMFYSA-N 0 0 449.472 -0.444 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544356564 1074535541 /nfs/dbraw/zinc/53/55/41/1074535541.db2.gz CZTMTZVQPQMYRA-OAHLLOKOSA-N 0 0 449.472 -0.444 20 0 IBADRN O=C(CS(=O)(=O)CCCc1ccccc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544357094 1074535490 /nfs/dbraw/zinc/53/54/90/1074535490.db2.gz RSINPEBLAKCWNM-UHFFFAOYSA-N 0 0 441.535 -0.315 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cs1 ZINC000544357252 1072518467 /nfs/dbraw/zinc/51/84/67/1072518467.db2.gz ZFISABZPOLDQMY-UHFFFAOYSA-N 0 0 427.512 -0.069 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000544358699 1074535504 /nfs/dbraw/zinc/53/55/04/1074535504.db2.gz KKJWKJXINLMRDI-UHFFFAOYSA-N 0 0 442.523 -0.362 20 0 IBADRN O=C([C@H](c1cccc(F)c1)N1CCOCC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544360844 1074535476 /nfs/dbraw/zinc/53/54/76/1074535476.db2.gz SJMKEHLBMRKYSZ-INIZCTEOSA-N 0 0 438.485 -0.150 20 0 IBADRN O=C([C@@H](c1cccc(F)c1)N1CCOCC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544360845 1074535499 /nfs/dbraw/zinc/53/54/99/1074535499.db2.gz SJMKEHLBMRKYSZ-MRXNPFEDSA-N 0 0 438.485 -0.150 20 0 IBADRN O=C(Cn1nnc(-c2ccc(Cl)cc2)n1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544363551 1074535508 /nfs/dbraw/zinc/53/55/08/1074535508.db2.gz SABUNKMCGJZYKQ-UHFFFAOYSA-N 0 0 437.873 -0.355 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544364813 1072535359 /nfs/dbraw/zinc/53/53/59/1072535359.db2.gz AFQRWBFRMWPJOU-UHFFFAOYSA-N 0 0 438.466 -0.494 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(Cl)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544365054 1072535386 /nfs/dbraw/zinc/53/53/86/1072535386.db2.gz HDXATSCDZSVYBE-UHFFFAOYSA-N 0 0 433.899 -0.235 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544365306 1072535002 /nfs/dbraw/zinc/53/50/02/1072535002.db2.gz MPPQRZOANRVLAS-GFCCVEGCSA-N 0 0 430.556 -0.025 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544365307 1072534836 /nfs/dbraw/zinc/53/48/36/1072534836.db2.gz MPPQRZOANRVLAS-LBPRGKRZSA-N 0 0 430.556 -0.025 20 0 IBADRN Cn1c(CCC(=O)NC(C)(CO)CO)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000544366127 1074535465 /nfs/dbraw/zinc/53/54/65/1074535465.db2.gz WGSFBMUNKAJMFO-UHFFFAOYSA-N 0 0 440.522 -0.614 20 0 IBADRN COC[C@@H](CNS(=O)(=O)c1cncc(OC)c1)NS(=O)(=O)c1cncc(OC)c1 ZINC000544371775 1072558686 /nfs/dbraw/zinc/55/86/86/1072558686.db2.gz RKSMKEGQRPYXGP-GFCCVEGCSA-N 0 0 446.507 -0.234 20 0 IBADRN COC[C@H](CNS(=O)(=O)c1cncc(OC)c1)NS(=O)(=O)c1cncc(OC)c1 ZINC000544371778 1072558705 /nfs/dbraw/zinc/55/87/05/1072558705.db2.gz RKSMKEGQRPYXGP-LBPRGKRZSA-N 0 0 446.507 -0.234 20 0 IBADRN Cn1nnnc1N1CCC(NC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000544376476 1074535813 /nfs/dbraw/zinc/53/58/13/1074535813.db2.gz KJYPRTASHAJUOV-UHFFFAOYSA-N 0 0 435.510 -0.370 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000544389997 1074535957 /nfs/dbraw/zinc/53/59/57/1074535957.db2.gz FVVKCBMBNOFWSF-KXNHARMFSA-N 0 0 438.428 -0.680 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@H]2[C@H]1C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000544390002 1074536010 /nfs/dbraw/zinc/53/60/10/1074536010.db2.gz FVVKCBMBNOFWSF-NOOOWODRSA-N 0 0 438.428 -0.680 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000544390004 1074535829 /nfs/dbraw/zinc/53/58/29/1074535829.db2.gz FVVKCBMBNOFWSF-RHYQMDGZSA-N 0 0 438.428 -0.680 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@H]2[C@@H]1C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000544390005 1074535997 /nfs/dbraw/zinc/53/59/97/1074535997.db2.gz FVVKCBMBNOFWSF-WRWGMCAJSA-N 0 0 438.428 -0.680 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCC[C@H](S(N)(=O)=O)C1 ZINC000544397530 1074536257 /nfs/dbraw/zinc/53/62/57/1074536257.db2.gz KEWUFXHGJFWXSE-INIZCTEOSA-N 0 0 444.579 -0.031 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000544397539 1074536356 /nfs/dbraw/zinc/53/63/56/1074536356.db2.gz KEWUFXHGJFWXSE-MRXNPFEDSA-N 0 0 444.579 -0.031 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)C(=O)OC ZINC000544398464 1074536240 /nfs/dbraw/zinc/53/62/40/1074536240.db2.gz ABSLJBDDHHZBGT-DYESRHJHSA-N 0 0 435.477 -0.335 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)C(=O)OC ZINC000544398474 1074536421 /nfs/dbraw/zinc/53/64/21/1074536421.db2.gz ABSLJBDDHHZBGT-LAUBAEHRSA-N 0 0 435.477 -0.335 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)C(=O)OC ZINC000544398477 1074536272 /nfs/dbraw/zinc/53/62/72/1074536272.db2.gz ABSLJBDDHHZBGT-UTKZUKDTSA-N 0 0 435.477 -0.335 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)C(=O)OC ZINC000544398479 1074536463 /nfs/dbraw/zinc/53/64/63/1074536463.db2.gz ABSLJBDDHHZBGT-UWJYYQICSA-N 0 0 435.477 -0.335 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)C(=O)OC ZINC000544399526 1072688042 /nfs/dbraw/zinc/68/80/42/1072688042.db2.gz CZAJRVXHEQVHDA-GOSISDBHSA-N 0 0 449.476 -0.035 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)C(=O)OC ZINC000544399534 1072687977 /nfs/dbraw/zinc/68/79/77/1072687977.db2.gz CZAJRVXHEQVHDA-SFHVURJKSA-N 0 0 449.476 -0.035 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000544400995 1074536723 /nfs/dbraw/zinc/53/67/23/1074536723.db2.gz SRZOOEYFDPUVQO-INIZCTEOSA-N 0 0 430.552 -0.728 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000544400996 1074536828 /nfs/dbraw/zinc/53/68/28/1074536828.db2.gz SRZOOEYFDPUVQO-MRXNPFEDSA-N 0 0 430.552 -0.728 20 0 IBADRN COC[C@@](C)(NCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)C(=O)OC ZINC000544401083 1072689279 /nfs/dbraw/zinc/68/92/79/1072689279.db2.gz XMNJEXMBCPGNDB-GOSISDBHSA-N 0 0 431.486 -0.174 20 0 IBADRN COC[C@](C)(NCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)C(=O)OC ZINC000544401084 1072689292 /nfs/dbraw/zinc/68/92/92/1072689292.db2.gz XMNJEXMBCPGNDB-SFHVURJKSA-N 0 0 431.486 -0.174 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544406681 1074537249 /nfs/dbraw/zinc/53/72/49/1074537249.db2.gz XDXAVWHQFJMSMW-UHFFFAOYSA-N 0 0 434.482 -0.516 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c2ccccc2)CC1 ZINC000544406970 1074537278 /nfs/dbraw/zinc/53/72/78/1074537278.db2.gz WOIMXPWFBGJSJT-KRWDZBQOSA-N 0 0 433.538 -0.374 20 0 IBADRN CN1CCN([C@@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c2ccccc2)CC1 ZINC000544406971 1074537188 /nfs/dbraw/zinc/53/71/88/1074537188.db2.gz WOIMXPWFBGJSJT-QGZVFWFLSA-N 0 0 433.538 -0.374 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000544407089 1074537143 /nfs/dbraw/zinc/53/71/43/1074537143.db2.gz HRWSNWHOZYFFCR-UHFFFAOYSA-N 0 0 446.486 -0.855 20 0 IBADRN O=C(CCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1)NCc1ccccn1 ZINC000544412326 1074537814 /nfs/dbraw/zinc/53/78/14/1074537814.db2.gz UAADSVDMSXUZBR-UHFFFAOYSA-N 0 0 426.520 -0.014 20 0 IBADRN C[S@](=O)C[C@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000544432109 1074537955 /nfs/dbraw/zinc/53/79/55/1074537955.db2.gz BUBXJWUOARXBTP-ADSBAMQRSA-N 0 0 436.578 -0.301 20 0 IBADRN C[S@@](=O)C[C@@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000544432124 1074537833 /nfs/dbraw/zinc/53/78/33/1074537833.db2.gz BUBXJWUOARXBTP-HZAQMHFWSA-N 0 0 436.578 -0.301 20 0 IBADRN C[S@](=O)C[C@@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000544432126 1074537765 /nfs/dbraw/zinc/53/77/65/1074537765.db2.gz BUBXJWUOARXBTP-QTEAWJPNSA-N 0 0 436.578 -0.301 20 0 IBADRN C[S@@](=O)C[C@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000544432128 1074537922 /nfs/dbraw/zinc/53/79/22/1074537922.db2.gz BUBXJWUOARXBTP-WWOZWPLTSA-N 0 0 436.578 -0.301 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000544440023 1074538563 /nfs/dbraw/zinc/53/85/63/1074538563.db2.gz NHSDLJZFMXFOLE-UHFFFAOYSA-N 0 0 431.559 -0.572 20 0 IBADRN Cn1c2[nH]c(CN3CCN([C@H](C(N)=O)c4ccc(Cl)cc4)CC3)nc2c(=O)n(C)c1=O ZINC000544440042 1074538473 /nfs/dbraw/zinc/53/84/73/1074538473.db2.gz ORIHGEDXNIFRSS-INIZCTEOSA-N 0 0 445.911 -0.042 20 0 IBADRN Cn1c2[nH]c(CN3CCN([C@@H](C(N)=O)c4ccc(Cl)cc4)CC3)nc2c(=O)n(C)c1=O ZINC000544440043 1074538512 /nfs/dbraw/zinc/53/85/12/1074538512.db2.gz ORIHGEDXNIFRSS-MRXNPFEDSA-N 0 0 445.911 -0.042 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000544442630 1074538779 /nfs/dbraw/zinc/53/87/79/1074538779.db2.gz OROAQZFPVQUJGP-UHFFFAOYSA-N 0 0 439.586 -0.041 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CNC3(C(N)=O)CC3)CC2)cc1OC ZINC000544448226 1074538885 /nfs/dbraw/zinc/53/88/85/1074538885.db2.gz OJWXRMXLJHIBFG-UHFFFAOYSA-N 0 0 426.495 -0.856 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)[C@@H]1CCCOC1 ZINC000544451977 1074539029 /nfs/dbraw/zinc/53/90/29/1074539029.db2.gz VENMVSCSXVVJHP-APWZRJJASA-N 0 0 426.558 -0.007 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)[C@H]1CCCOC1 ZINC000544451985 1073165793 /nfs/dbraw/zinc/16/57/93/1073165793.db2.gz VENMVSCSXVVJHP-LPHOPBHVSA-N 0 0 426.558 -0.007 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)[C@H]1CCCOC1 ZINC000544451987 1073165804 /nfs/dbraw/zinc/16/58/04/1073165804.db2.gz VENMVSCSXVVJHP-QFBILLFUSA-N 0 0 426.558 -0.007 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)[C@@H]1CCCOC1 ZINC000544451988 1073166195 /nfs/dbraw/zinc/16/61/95/1073166195.db2.gz VENMVSCSXVVJHP-VQIMIIECSA-N 0 0 426.558 -0.007 20 0 IBADRN O=C(c1ccnc(O[C@H]2CCOC2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000544455497 1073340705 /nfs/dbraw/zinc/34/07/05/1073340705.db2.gz BNRWUEUEENSJRK-INIZCTEOSA-N 0 0 426.495 -0.416 20 0 IBADRN O=C(c1ccnc(O[C@@H]2CCOC2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000544455502 1073340751 /nfs/dbraw/zinc/34/07/51/1073340751.db2.gz BNRWUEUEENSJRK-MRXNPFEDSA-N 0 0 426.495 -0.416 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc4c3CCN4S(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000544457655 1074538810 /nfs/dbraw/zinc/53/88/10/1074538810.db2.gz FBPUAHANNFUFJT-UHFFFAOYSA-N 0 0 432.462 -0.606 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000544477765 1074539381 /nfs/dbraw/zinc/53/93/81/1074539381.db2.gz FYNMXUQBKQJMRU-UHFFFAOYSA-N 0 0 437.522 -0.268 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000544478530 1074539467 /nfs/dbraw/zinc/53/94/67/1074539467.db2.gz JWWQDPRGZLOKCD-UHFFFAOYSA-N 0 0 440.526 -0.998 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000544483585 1074539764 /nfs/dbraw/zinc/53/97/64/1074539764.db2.gz NVHSUZYRSVSYFE-UHFFFAOYSA-N 0 0 425.511 -0.783 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000544488577 1074540176 /nfs/dbraw/zinc/54/01/76/1074540176.db2.gz XFZCBJOLTPUXBK-UHFFFAOYSA-N 0 0 437.522 -0.014 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2CCN3C(=O)COC)CC1 ZINC000544488654 1074540139 /nfs/dbraw/zinc/54/01/39/1074540139.db2.gz KRKGXZCJFVSYPM-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000544490864 1074540254 /nfs/dbraw/zinc/54/02/54/1074540254.db2.gz CZBGUAHVGLSDGF-UHFFFAOYSA-N 0 0 432.462 -0.598 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)c1 ZINC000544491411 1074540241 /nfs/dbraw/zinc/54/02/41/1074540241.db2.gz HKKVIFUWUHHYAO-UHFFFAOYSA-N 0 0 436.494 -0.338 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCS(=O)(=O)NC(C)C ZINC000544491963 1074540600 /nfs/dbraw/zinc/54/06/00/1074540600.db2.gz JCWHANGPNZNISZ-UHFFFAOYSA-N 0 0 426.495 -0.395 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)cc2)CC1 ZINC000544492163 1074540676 /nfs/dbraw/zinc/54/06/76/1074540676.db2.gz CKSOVDFZQFKAQT-FQEVSTJZSA-N 0 0 429.521 -0.168 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)cc2)CC1 ZINC000544492165 1074540616 /nfs/dbraw/zinc/54/06/16/1074540616.db2.gz CKSOVDFZQFKAQT-HXUWFJFHSA-N 0 0 429.521 -0.168 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000544492299 1074540220 /nfs/dbraw/zinc/54/02/20/1074540220.db2.gz CCPWDPCMYXEZEG-UHFFFAOYSA-N 0 0 437.522 -0.645 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](c3cc(C(N)=O)[nH]n3)C2)c1 ZINC000544492618 1074540718 /nfs/dbraw/zinc/54/07/18/1074540718.db2.gz FPGKZTLHNPUBPG-LLVKDONJSA-N 0 0 434.478 -0.239 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](c3cc(C(N)=O)[nH]n3)C2)c1 ZINC000544492630 1074540564 /nfs/dbraw/zinc/54/05/64/1074540564.db2.gz FPGKZTLHNPUBPG-NSHDSACASA-N 0 0 434.478 -0.239 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1)n1cncn1 ZINC000544492794 1074540517 /nfs/dbraw/zinc/54/05/17/1074540517.db2.gz DUPXHVRWNCFBRN-PBHICJAKSA-N 0 0 427.465 -0.041 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1)n1cncn1 ZINC000544492797 1074540526 /nfs/dbraw/zinc/54/05/26/1074540526.db2.gz DUPXHVRWNCFBRN-RHSMWYFYSA-N 0 0 427.465 -0.041 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1)n1cncn1 ZINC000544492799 1074540701 /nfs/dbraw/zinc/54/07/01/1074540701.db2.gz DUPXHVRWNCFBRN-WMLDXEAASA-N 0 0 427.465 -0.041 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1)n1cncn1 ZINC000544492801 1074540641 /nfs/dbraw/zinc/54/06/41/1074540641.db2.gz DUPXHVRWNCFBRN-YOEHRIQHSA-N 0 0 427.465 -0.041 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000544492816 1074540691 /nfs/dbraw/zinc/54/06/91/1074540691.db2.gz FNNZTBBTPIIFQM-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000544493049 1074540532 /nfs/dbraw/zinc/54/05/32/1074540532.db2.gz SYUGJHWJSGJEFW-GFCCVEGCSA-N 0 0 444.535 -0.513 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000544493055 1074540661 /nfs/dbraw/zinc/54/06/61/1074540661.db2.gz SYUGJHWJSGJEFW-LBPRGKRZSA-N 0 0 444.535 -0.513 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000544493265 1074540591 /nfs/dbraw/zinc/54/05/91/1074540591.db2.gz LMBSNCGZECQBLU-HNNXBMFYSA-N 0 0 435.506 -0.361 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000544493266 1074540542 /nfs/dbraw/zinc/54/05/42/1074540542.db2.gz LMBSNCGZECQBLU-OAHLLOKOSA-N 0 0 435.506 -0.361 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000544493967 1074540575 /nfs/dbraw/zinc/54/05/75/1074540575.db2.gz OEKODZKICANNOA-GOSISDBHSA-N 0 0 442.520 -0.378 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000544493968 1074540727 /nfs/dbraw/zinc/54/07/27/1074540727.db2.gz OEKODZKICANNOA-SFHVURJKSA-N 0 0 442.520 -0.378 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000544494382 1074540583 /nfs/dbraw/zinc/54/05/83/1074540583.db2.gz SCCUVWDLWFCKMS-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2c[nH]nc2-c2ccccn2)CC1)N1CCOCC1 ZINC000544494419 1074540650 /nfs/dbraw/zinc/54/06/50/1074540650.db2.gz SGTBFDAKIXJUTK-UHFFFAOYSA-N 0 0 427.465 -0.587 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000544494767 1074541067 /nfs/dbraw/zinc/54/10/67/1074541067.db2.gz ZHDGVPRSDQVOBB-AWEZNQCLSA-N 0 0 438.506 -0.383 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000544494769 1074541011 /nfs/dbraw/zinc/54/10/11/1074541011.db2.gz ZHDGVPRSDQVOBB-CQSZACIVSA-N 0 0 438.506 -0.383 20 0 IBADRN C[C@H](C(=O)N1C[C@@H]2CCCN(C(=O)[C@H](C)N3CCN(C)CC3)[C@@H]2C1)N1CCN(C)CC1 ZINC000544494810 1074540962 /nfs/dbraw/zinc/54/09/62/1074540962.db2.gz YCJQHDFYGINLFJ-IVAOSVALSA-N 0 0 434.629 -0.292 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H]2CN(C(=O)[C@H](C)N3CCN(C)CC3)C[C@H]21)N1CCN(C)CC1 ZINC000544494811 1074541026 /nfs/dbraw/zinc/54/10/26/1074541026.db2.gz YCJQHDFYGINLFJ-JSXRDJHFSA-N 0 0 434.629 -0.292 20 0 IBADRN C[C@H](C(=O)N1C[C@@H]2CCCN(C(=O)[C@@H](C)N3CCN(C)CC3)[C@@H]2C1)N1CCN(C)CC1 ZINC000544494812 1074540989 /nfs/dbraw/zinc/54/09/89/1074540989.db2.gz YCJQHDFYGINLFJ-MXEMCNAFSA-N 0 0 434.629 -0.292 20 0 IBADRN C[C@@H](C(=O)N1C[C@@H]2CCCN(C(=O)[C@H](C)N3CCN(C)CC3)[C@@H]2C1)N1CCN(C)CC1 ZINC000544494813 1074540984 /nfs/dbraw/zinc/54/09/84/1074540984.db2.gz YCJQHDFYGINLFJ-XSDIEEQYSA-N 0 0 434.629 -0.292 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CC3)CC1)N1CCOCC1 ZINC000544495465 1074541018 /nfs/dbraw/zinc/54/10/18/1074541018.db2.gz BYVWFFMFJVCLFL-UHFFFAOYSA-N 0 0 429.477 -0.487 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc(S(C)(=O)=O)c1 ZINC000544495630 1074541049 /nfs/dbraw/zinc/54/10/49/1074541049.db2.gz KPDCFKVNLTWXDS-HNNXBMFYSA-N 0 0 439.490 -0.252 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc(S(C)(=O)=O)c1 ZINC000544495631 1074541059 /nfs/dbraw/zinc/54/10/59/1074541059.db2.gz KPDCFKVNLTWXDS-OAHLLOKOSA-N 0 0 439.490 -0.252 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1)N1CCOCC1 ZINC000544495979 1074541073 /nfs/dbraw/zinc/54/10/73/1074541073.db2.gz XZYWFOIKFVJPJN-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccn2)CC1)N1CCOCC1 ZINC000544496066 1074540990 /nfs/dbraw/zinc/54/09/90/1074540990.db2.gz HRWXEOCQHPDZKA-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCO)CC2)cc1S(=O)(=O)NC1CC1 ZINC000544496284 1074541109 /nfs/dbraw/zinc/54/11/09/1074541109.db2.gz QDXAGRSHINMEJE-UHFFFAOYSA-N 0 0 426.495 -0.789 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000544496444 1074540967 /nfs/dbraw/zinc/54/09/67/1074540967.db2.gz XUGPWZIXKKDNRH-AWEZNQCLSA-N 0 0 426.495 -0.573 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000544496445 1074541003 /nfs/dbraw/zinc/54/10/03/1074541003.db2.gz XUGPWZIXKKDNRH-CQSZACIVSA-N 0 0 426.495 -0.573 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN(CCO)CC3)cc2)CC1 ZINC000544496485 1074541535 /nfs/dbraw/zinc/54/15/35/1074541535.db2.gz ZOKAADPAHXKMQW-UHFFFAOYSA-N 0 0 431.537 -0.532 20 0 IBADRN CCN(CC)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000544496550 1074541439 /nfs/dbraw/zinc/54/14/39/1074541439.db2.gz BMYFGHXOLIDRIB-UHFFFAOYSA-N 0 0 432.525 -0.131 20 0 IBADRN CC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000544496566 1074541458 /nfs/dbraw/zinc/54/14/58/1074541458.db2.gz CBUJXGMCHJGQCB-UHFFFAOYSA-N 0 0 431.493 -0.105 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000544496610 1074541452 /nfs/dbraw/zinc/54/14/52/1074541452.db2.gz FRBWXACONFKBKI-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000544496619 1074541432 /nfs/dbraw/zinc/54/14/32/1074541432.db2.gz GBTVIVOJVATLDZ-CYBMUJFWSA-N 0 0 438.506 -0.299 20 0 IBADRN CN(C)c1nc2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc2o1 ZINC000544496638 1074541494 /nfs/dbraw/zinc/54/14/94/1074541494.db2.gz HJDDWDLKCCKGMQ-UHFFFAOYSA-N 0 0 444.492 -0.165 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1C ZINC000544496782 1074541613 /nfs/dbraw/zinc/54/16/13/1074541613.db2.gz NDEGWGVBFWZBBS-UHFFFAOYSA-N 0 0 431.493 -0.105 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)CC1)N1CCOCC1 ZINC000544496806 1074373644 /nfs/dbraw/zinc/37/36/44/1074373644.db2.gz OGIRLDIKOBDGGC-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000544496982 1074541481 /nfs/dbraw/zinc/54/14/81/1074541481.db2.gz ZCPWMBGQFJIYPB-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1C ZINC000544496994 1074541582 /nfs/dbraw/zinc/54/15/82/1074541582.db2.gz AGKPNFCPJHFGQK-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc3c2OCCC3=O)CC1)N1CCOCC1 ZINC000544497029 1074541475 /nfs/dbraw/zinc/54/14/75/1074541475.db2.gz BVDDVAGYJGPSRN-UHFFFAOYSA-N 0 0 430.461 -0.407 20 0 IBADRN COc1c(F)cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000544497051 1074541445 /nfs/dbraw/zinc/54/14/45/1074541445.db2.gz DJSKDZJTWYILEY-UHFFFAOYSA-N 0 0 426.420 -0.085 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(CC(F)(F)F)n2)CC1)N1CCOCC1 ZINC000544497094 1074373710 /nfs/dbraw/zinc/37/37/10/1074373710.db2.gz GZTXSMOGGVAEEZ-UHFFFAOYSA-N 0 0 432.403 -0.613 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000544497159 1074541470 /nfs/dbraw/zinc/54/14/70/1074541470.db2.gz KKRIJNKDSGAJSJ-UHFFFAOYSA-N 0 0 431.493 -0.704 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000544497227 1074540998 /nfs/dbraw/zinc/54/09/98/1074540998.db2.gz NRVLEUUUCXURGX-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000544497253 1072544301 /nfs/dbraw/zinc/54/43/01/1072544301.db2.gz PBNRJMKJOVDENP-UHFFFAOYSA-N 0 0 426.420 -0.085 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1)N1CCOCC1 ZINC000544497291 1074541037 /nfs/dbraw/zinc/54/10/37/1074541037.db2.gz RJGPBJGEQHQVAU-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000544497404 1074540956 /nfs/dbraw/zinc/54/09/56/1074540956.db2.gz CTLINTICGMSSGK-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CS3)CC1)N1CCOCC1 ZINC000544497514 1074541886 /nfs/dbraw/zinc/54/18/86/1074541886.db2.gz YURHMKNSAVRYMN-UHFFFAOYSA-N 0 0 447.517 -0.328 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NC[C@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000544497601 1074541895 /nfs/dbraw/zinc/54/18/95/1074541895.db2.gz COJWUKRVBHLPOF-CXAGYDPISA-N 0 0 432.477 -0.087 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NC[C@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC000544497602 1074541810 /nfs/dbraw/zinc/54/18/10/1074541810.db2.gz COJWUKRVBHLPOF-DYVFJYSZSA-N 0 0 432.477 -0.087 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NC[C@@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC000544497603 1074541900 /nfs/dbraw/zinc/54/19/00/1074541900.db2.gz COJWUKRVBHLPOF-GUYCJALGSA-N 0 0 432.477 -0.087 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000544497604 1074541820 /nfs/dbraw/zinc/54/18/20/1074541820.db2.gz COJWUKRVBHLPOF-SUMWQHHRSA-N 0 0 432.477 -0.087 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N1CCN(CCO)CC1 ZINC000544497823 1074541845 /nfs/dbraw/zinc/54/18/45/1074541845.db2.gz ILYSUYCZROYIQE-UHFFFAOYSA-N 0 0 443.504 -0.425 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000544497924 1074541818 /nfs/dbraw/zinc/54/18/18/1074541818.db2.gz NEFQJIWTTJSKDL-INIZCTEOSA-N 0 0 432.477 -0.209 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000544497928 1074541891 /nfs/dbraw/zinc/54/18/91/1074541891.db2.gz NEFQJIWTTJSKDL-MRXNPFEDSA-N 0 0 432.477 -0.209 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1NC(C)=O ZINC000544498041 1074541463 /nfs/dbraw/zinc/54/14/63/1074541463.db2.gz RDGAWWIXIFXMCG-UHFFFAOYSA-N 0 0 447.492 -0.405 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1C(=O)N(C)C ZINC000544498155 1074541500 /nfs/dbraw/zinc/54/15/00/1074541500.db2.gz TUSFFUOISGFXSK-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n(C2CCOCC2)n1 ZINC000544498196 1074541561 /nfs/dbraw/zinc/54/15/61/1074541561.db2.gz VBUJPTPDXRMTAQ-UHFFFAOYSA-N 0 0 448.524 -0.515 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN(CCO)CC1 ZINC000544498235 1074541509 /nfs/dbraw/zinc/54/15/09/1074541509.db2.gz VSKKJRGXFZIWJZ-UHFFFAOYSA-N 0 0 438.550 -0.257 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000544498330 1074541529 /nfs/dbraw/zinc/54/15/29/1074541529.db2.gz XECDOHOCSYXGPM-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)ccc2n1C ZINC000544498705 1074542295 /nfs/dbraw/zinc/54/22/95/1074542295.db2.gz PPTSGDLKYLYJSM-UHFFFAOYSA-N 0 0 428.493 -0.177 20 0 IBADRN CCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000544498850 1074542239 /nfs/dbraw/zinc/54/22/39/1074542239.db2.gz AJHYEFLQZBWTFI-UHFFFAOYSA-N 0 0 431.493 -0.023 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000544499062 1073356036 /nfs/dbraw/zinc/35/60/36/1073356036.db2.gz SOWHGJVDKPQZMC-UHFFFAOYSA-N 0 0 445.505 -0.057 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3=O)cc2)CC1)N1CCOCC1 ZINC000544499072 1074541813 /nfs/dbraw/zinc/54/18/13/1074541813.db2.gz TVZVIWVXQICXQS-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000544499353 1074541852 /nfs/dbraw/zinc/54/18/52/1074541852.db2.gz GNLRFJGSARHSDD-UHFFFAOYSA-N 0 0 432.477 -0.656 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CCN1S(C)(=O)=O ZINC000544499399 1074541824 /nfs/dbraw/zinc/54/18/24/1074541824.db2.gz HVTUKHGTOPYHKE-LBPRGKRZSA-N 0 0 444.535 -0.561 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1 ZINC000544499669 1074542345 /nfs/dbraw/zinc/54/23/45/1074542345.db2.gz PQSGWXSXBBFGJN-UHFFFAOYSA-N 0 0 429.433 -0.255 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC)S(C)(=O)=O ZINC000544499901 1072551236 /nfs/dbraw/zinc/55/12/36/1072551236.db2.gz SXCXERQBGGMWTL-UHFFFAOYSA-N 0 0 440.522 -0.052 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000544500150 1074542253 /nfs/dbraw/zinc/54/22/53/1074542253.db2.gz WKHBTVUOLPRKJK-UHFFFAOYSA-N 0 0 445.520 -0.171 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000544500177 1074542339 /nfs/dbraw/zinc/54/23/39/1074542339.db2.gz XCMRAVUJJDDUQA-UHFFFAOYSA-N 0 0 425.463 -0.408 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c(=O)[nH]1 ZINC000544500197 1074542280 /nfs/dbraw/zinc/54/22/80/1074542280.db2.gz YAOPRMDCTZXMIT-KBPBESRZSA-N 0 0 441.510 -0.468 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c(=O)[nH]1 ZINC000544500198 1074542331 /nfs/dbraw/zinc/54/23/31/1074542331.db2.gz YAOPRMDCTZXMIT-OKILXGFUSA-N 0 0 441.510 -0.468 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c(=O)[nH]1 ZINC000544500199 1074542361 /nfs/dbraw/zinc/54/23/61/1074542361.db2.gz YAOPRMDCTZXMIT-ZIAGYGMSSA-N 0 0 441.510 -0.468 20 0 IBADRN O=C(Nc1ncn(C2CCCCC2)n1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000544500209 1074374182 /nfs/dbraw/zinc/37/41/82/1074374182.db2.gz YBRHFCGVFTXDIV-UHFFFAOYSA-N 0 0 447.496 -0.749 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000544501038 1074542230 /nfs/dbraw/zinc/54/22/30/1074542230.db2.gz HFQACPMCMVXQNA-CYBMUJFWSA-N 0 0 438.506 -0.299 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000544501039 1074542246 /nfs/dbraw/zinc/54/22/46/1074542246.db2.gz HFQACPMCMVXQNA-ZDUSSCGKSA-N 0 0 438.506 -0.299 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000544501270 1074542304 /nfs/dbraw/zinc/54/23/04/1074542304.db2.gz QNXWVHWBBSWLPT-AWEZNQCLSA-N 0 0 444.535 -0.394 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000544501271 1074542310 /nfs/dbraw/zinc/54/23/10/1074542310.db2.gz QNXWVHWBBSWLPT-CQSZACIVSA-N 0 0 444.535 -0.394 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000544501308 1074542223 /nfs/dbraw/zinc/54/22/23/1074542223.db2.gz SYQZLPJPKPJHPD-INIZCTEOSA-N 0 0 440.460 -0.184 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000544501309 1074542211 /nfs/dbraw/zinc/54/22/11/1074542211.db2.gz SYQZLPJPKPJHPD-MRXNPFEDSA-N 0 0 440.460 -0.184 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1 ZINC000544501578 1074542373 /nfs/dbraw/zinc/54/23/73/1074542373.db2.gz HFMWFAIDLODYNY-UHFFFAOYSA-N 0 0 441.492 -0.502 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000544501706 1074542350 /nfs/dbraw/zinc/54/23/50/1074542350.db2.gz NAWPCQSQKNTMQX-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000544502321 1074542288 /nfs/dbraw/zinc/54/22/88/1074542288.db2.gz CVXBEGZBGKPZEY-UHFFFAOYSA-N 0 0 449.533 -0.630 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)CC1 ZINC000544502421 1074542367 /nfs/dbraw/zinc/54/23/67/1074542367.db2.gz SOZBSTWVINTEFK-UHFFFAOYSA-N 0 0 428.449 -0.465 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000544502506 1074542762 /nfs/dbraw/zinc/54/27/62/1074542762.db2.gz HSIPMSQZJBYNNE-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000544502655 1072561134 /nfs/dbraw/zinc/56/11/34/1072561134.db2.gz ZRIGOJBMUIMHDK-UHFFFAOYSA-N 0 0 430.508 -0.830 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc2c1CCN2C(=O)COC ZINC000544503023 1074542678 /nfs/dbraw/zinc/54/26/78/1074542678.db2.gz CIONTGHPYZSXLC-UHFFFAOYSA-N 0 0 440.522 -0.052 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000544504323 1074542691 /nfs/dbraw/zinc/54/26/91/1074542691.db2.gz QVKPWYPFFXSPBS-UHFFFAOYSA-N 0 0 440.522 -0.005 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000544504843 1074542714 /nfs/dbraw/zinc/54/27/14/1074542714.db2.gz PSVLTWFNGGFUCB-UHFFFAOYSA-N 0 0 434.478 -0.678 20 0 IBADRN O=C(C(=O)N1CCCc2ccc(F)cc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000544505985 1074542725 /nfs/dbraw/zinc/54/27/25/1074542725.db2.gz SMGLRANTVDYNAO-UHFFFAOYSA-N 0 0 440.497 -0.174 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000544505997 1074542737 /nfs/dbraw/zinc/54/27/37/1074542737.db2.gz UAGQRUQSYRMNFM-UHFFFAOYSA-N 0 0 448.505 -0.288 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000544506280 1074542750 /nfs/dbraw/zinc/54/27/50/1074542750.db2.gz WRWYMWNYRFZJCZ-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ncn(C3CCCCC3)n2)CC1 ZINC000544506330 1074374148 /nfs/dbraw/zinc/37/41/48/1074374148.db2.gz YVOGROOCGRBFDZ-UHFFFAOYSA-N 0 0 445.480 -0.458 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000544506360 1074542704 /nfs/dbraw/zinc/54/27/04/1074542704.db2.gz ZWBKKJDZQBTBHE-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccnc(O[C@H]4CCOC4)c3)CC2)CC1 ZINC000544511278 1074543130 /nfs/dbraw/zinc/54/31/30/1074543130.db2.gz ZSVXZFBRPCGBNH-KRWDZBQOSA-N 0 0 439.538 -0.501 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccnc(O[C@@H]4CCOC4)c3)CC2)CC1 ZINC000544511279 1074542977 /nfs/dbraw/zinc/54/29/77/1074542977.db2.gz ZSVXZFBRPCGBNH-QGZVFWFLSA-N 0 0 439.538 -0.501 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000544521450 1074543109 /nfs/dbraw/zinc/54/31/09/1074543109.db2.gz VRKRSUCTSMMPFC-BBRMVZONSA-N 0 0 437.522 -0.016 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000544521451 1074543253 /nfs/dbraw/zinc/54/32/53/1074543253.db2.gz VRKRSUCTSMMPFC-CJNGLKHVSA-N 0 0 437.522 -0.016 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000544521452 1074543075 /nfs/dbraw/zinc/54/30/75/1074543075.db2.gz VRKRSUCTSMMPFC-CZUORRHYSA-N 0 0 437.522 -0.016 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000544521453 1074543119 /nfs/dbraw/zinc/54/31/19/1074543119.db2.gz VRKRSUCTSMMPFC-XJKSGUPXSA-N 0 0 437.522 -0.016 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1 ZINC000544523610 1072677879 /nfs/dbraw/zinc/67/78/79/1072677879.db2.gz DIRDTXONGVAONN-UHFFFAOYSA-N 0 0 430.509 -0.227 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)NC3CC3)cc1)CC2 ZINC000544524384 1074543746 /nfs/dbraw/zinc/54/37/46/1074543746.db2.gz LYPKEHORTRDEBO-UHFFFAOYSA-N 0 0 431.474 -0.256 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccccc1CN1CCNC1=O ZINC000544524440 1074543649 /nfs/dbraw/zinc/54/36/49/1074543649.db2.gz IVXZSYXBTPQGDW-UHFFFAOYSA-N 0 0 446.489 -0.360 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)C(=O)N1CCOCC1 ZINC000544524471 1074543621 /nfs/dbraw/zinc/54/36/21/1074543621.db2.gz JIEGLRUQPZVQSQ-AWEZNQCLSA-N 0 0 438.506 -0.299 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)C(=O)N1CCOCC1 ZINC000544524590 1074543555 /nfs/dbraw/zinc/54/35/55/1074543555.db2.gz JIEGLRUQPZVQSQ-CQSZACIVSA-N 0 0 438.506 -0.299 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000544525233 1074543500 /nfs/dbraw/zinc/54/35/00/1074543500.db2.gz AUNCKNZXOGBJII-INIZCTEOSA-N 0 0 439.490 -0.280 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000544525234 1074543753 /nfs/dbraw/zinc/54/37/53/1074543753.db2.gz AUNCKNZXOGBJII-MRXNPFEDSA-N 0 0 439.490 -0.280 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000544525496 1074543724 /nfs/dbraw/zinc/54/37/24/1074543724.db2.gz GSCRJMLCFIVJEG-UHFFFAOYSA-N 0 0 439.490 -0.018 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000544526976 1074544030 /nfs/dbraw/zinc/54/40/30/1074544030.db2.gz LZTSVZCHJCZCHI-AWEZNQCLSA-N 0 0 430.508 -0.784 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000544526977 1074544146 /nfs/dbraw/zinc/54/41/46/1074544146.db2.gz LZTSVZCHJCZCHI-CQSZACIVSA-N 0 0 430.508 -0.784 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CCO1 ZINC000544527702 1074544086 /nfs/dbraw/zinc/54/40/86/1074544086.db2.gz BSMPLWNRAZGQCG-AWEZNQCLSA-N 0 0 425.463 -0.144 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CCO1 ZINC000544527708 1074544065 /nfs/dbraw/zinc/54/40/65/1074544065.db2.gz BSMPLWNRAZGQCG-CQSZACIVSA-N 0 0 425.463 -0.144 20 0 IBADRN CC(C)n1cnnc1-c1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000544528506 1074544037 /nfs/dbraw/zinc/54/40/37/1074544037.db2.gz IZFZXAWRSKJSLH-UHFFFAOYSA-N 0 0 449.537 -0.103 20 0 IBADRN CCN(C(=O)CN1CCN2CCOC[C@@H]2C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000544529996 1074543985 /nfs/dbraw/zinc/54/39/85/1074543985.db2.gz PGXKRQSUAOZBAB-KRWDZBQOSA-N 0 0 442.520 -0.051 20 0 IBADRN CCN(C(=O)CN1CCN2CCOC[C@H]2C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000544529998 1074544003 /nfs/dbraw/zinc/54/40/03/1074544003.db2.gz PGXKRQSUAOZBAB-QGZVFWFLSA-N 0 0 442.520 -0.051 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)CC2=O)cn1 ZINC000544530173 1074544023 /nfs/dbraw/zinc/54/40/23/1074544023.db2.gz RVZWJHCRCXBQPJ-UHFFFAOYSA-N 0 0 446.489 -0.325 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cc(C(N)=O)ccc1-n1cc(C)cn1)C(=O)N1CCOCC1 ZINC000544530486 1074544375 /nfs/dbraw/zinc/54/43/75/1074544375.db2.gz GICLBFZEXUOAHS-HNNXBMFYSA-N 0 0 442.476 -0.028 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cc(C(N)=O)ccc1-n1cc(C)cn1)C(=O)N1CCOCC1 ZINC000544530487 1074544519 /nfs/dbraw/zinc/54/45/19/1074544519.db2.gz GICLBFZEXUOAHS-OAHLLOKOSA-N 0 0 442.476 -0.028 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1 ZINC000544530741 1072770364 /nfs/dbraw/zinc/77/03/64/1072770364.db2.gz ULORCCXPSGMAFI-UHFFFAOYSA-N 0 0 446.508 -0.943 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)c1cc(-c2ccc3c(c2)OCO3)nc2c1c(=O)[nH]c(=O)n2C ZINC000544537137 1074544901 /nfs/dbraw/zinc/54/49/01/1074544901.db2.gz VVJAXHPRWJJFJM-LBPRGKRZSA-N 0 0 442.384 -0.307 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000544537369 1074545019 /nfs/dbraw/zinc/54/50/19/1074545019.db2.gz WKNSODWKYAJCCV-ZDUSSCGKSA-N 0 0 441.462 -0.581 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000544541289 1074545492 /nfs/dbraw/zinc/54/54/92/1074545492.db2.gz HZZSPCHEZNESPJ-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCC1CCN(S(=O)(=O)CC)CC1 ZINC000544544246 1073341003 /nfs/dbraw/zinc/34/10/03/1073341003.db2.gz YICXKVKKRLLVME-UHFFFAOYSA-N 0 0 429.499 -0.287 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CC1=O ZINC000544544269 1074545474 /nfs/dbraw/zinc/54/54/74/1074545474.db2.gz YUQYZPGGPMGPNT-UHFFFAOYSA-N 0 0 431.493 -0.288 20 0 IBADRN CCN1CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000544555147 1074545942 /nfs/dbraw/zinc/54/59/42/1074545942.db2.gz YDVYKPFVKOUOJT-AWEZNQCLSA-N 0 0 444.535 -0.152 20 0 IBADRN CCN1CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000544555150 1074545918 /nfs/dbraw/zinc/54/59/18/1074545918.db2.gz YDVYKPFVKOUOJT-CQSZACIVSA-N 0 0 444.535 -0.152 20 0 IBADRN CCN1CCN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1=O ZINC000544569616 1074545981 /nfs/dbraw/zinc/54/59/81/1074545981.db2.gz JGCXCFNGOZYZBZ-UHFFFAOYSA-N 0 0 436.534 -0.010 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000544569744 1073326067 /nfs/dbraw/zinc/32/60/67/1073326067.db2.gz RXRQFIRYWASLIQ-UHFFFAOYSA-N 0 0 436.556 -0.269 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2cccc3c2CCN3S(C)(=O)=O)cn1C ZINC000544572096 1073328143 /nfs/dbraw/zinc/32/81/43/1073328143.db2.gz HUCKLQPKLONCSR-UHFFFAOYSA-N 0 0 427.508 -0.032 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000544573765 1074545907 /nfs/dbraw/zinc/54/59/07/1074545907.db2.gz WANYZIGHHYYWGZ-UHFFFAOYSA-N 0 0 446.551 -0.219 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@]1(CO)CCOC1 ZINC000544576936 1074545764 /nfs/dbraw/zinc/54/57/64/1074545764.db2.gz XRIZBNSUFJTZCW-IBGZPJMESA-N 0 0 443.497 -0.294 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@@]1(CO)CCOC1 ZINC000544576937 1074546266 /nfs/dbraw/zinc/54/62/66/1074546266.db2.gz XRIZBNSUFJTZCW-LJQANCHMSA-N 0 0 443.497 -0.294 20 0 IBADRN O=C(CCSCCC(=O)N1CCN2CCOC[C@@H]2C1)N1CCN2CCOC[C@H]2C1 ZINC000544581017 1074546444 /nfs/dbraw/zinc/54/64/44/1074546444.db2.gz HYAVMPYMWDJWLD-HDICACEKSA-N 0 0 426.583 -0.414 20 0 IBADRN O=C(CCSCCC(=O)N1CCN2CCOC[C@H]2C1)N1CCN2CCOC[C@H]2C1 ZINC000544581018 1074546424 /nfs/dbraw/zinc/54/64/24/1074546424.db2.gz HYAVMPYMWDJWLD-QZTJIDSGSA-N 0 0 426.583 -0.414 20 0 IBADRN O=C(CCSCCC(=O)N1CCN2CCOC[C@@H]2C1)N1CCN2CCOC[C@@H]2C1 ZINC000544581019 1074546454 /nfs/dbraw/zinc/54/64/54/1074546454.db2.gz HYAVMPYMWDJWLD-ROUUACIJSA-N 0 0 426.583 -0.414 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000544587032 1074546393 /nfs/dbraw/zinc/54/63/93/1074546393.db2.gz UYDRDIRVKZJFEI-UHFFFAOYSA-N 0 0 435.462 -0.434 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4CCOC[C@H]4C3)cc2)CC1 ZINC000544588562 1074546834 /nfs/dbraw/zinc/54/68/34/1074546834.db2.gz IWPAZQMGGWWPHZ-GOSISDBHSA-N 0 0 436.534 -0.304 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4CCOC[C@@H]4C3)cc2)CC1 ZINC000544588563 1074546785 /nfs/dbraw/zinc/54/67/85/1074546785.db2.gz IWPAZQMGGWWPHZ-SFHVURJKSA-N 0 0 436.534 -0.304 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccn2)CC1 ZINC000544589929 1074546851 /nfs/dbraw/zinc/54/68/51/1074546851.db2.gz FLSZGFCRGSMBQO-UHFFFAOYSA-N 0 0 443.508 -0.576 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)Nc3cnn(CC(=O)NCCO)c3)ccn2)CC1 ZINC000544590395 1074546718 /nfs/dbraw/zinc/54/67/18/1074546718.db2.gz LTOMONRHSNRWSE-UHFFFAOYSA-N 0 0 430.469 -0.582 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000544591424 1074546763 /nfs/dbraw/zinc/54/67/63/1074546763.db2.gz AOAXHEQPNIQUKK-UHFFFAOYSA-N 0 0 445.524 -0.330 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)NC[C@@H]3CCC[C@]34NC(=O)NC4=O)ccn2)CC1 ZINC000544591705 1074546698 /nfs/dbraw/zinc/54/66/98/1074546698.db2.gz DPOUGMYNVBLMRZ-RBZFPXEDSA-N 0 0 429.481 -0.031 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)NC[C@@H]3CCC[C@@]34NC(=O)NC4=O)ccn2)CC1 ZINC000544591706 1074546815 /nfs/dbraw/zinc/54/68/15/1074546815.db2.gz DPOUGMYNVBLMRZ-RNODOKPDSA-N 0 0 429.481 -0.031 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)NC[C@H]3CCC[C@]34NC(=O)NC4=O)ccn2)CC1 ZINC000544591707 1074546897 /nfs/dbraw/zinc/54/68/97/1074546897.db2.gz DPOUGMYNVBLMRZ-XCLFUZPHSA-N 0 0 429.481 -0.031 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)NC[C@H]3CCC[C@@]34NC(=O)NC4=O)ccn2)CC1 ZINC000544591708 1074546744 /nfs/dbraw/zinc/54/67/44/1074546744.db2.gz DPOUGMYNVBLMRZ-ZUOKHONESA-N 0 0 429.481 -0.031 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCCNS(=O)(=O)c1ccccc1Cl ZINC000544600466 1074547326 /nfs/dbraw/zinc/54/73/26/1074547326.db2.gz XDOMYFNBRQJIDJ-UHFFFAOYSA-N 0 0 447.988 -0.133 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC000544616177 1074547388 /nfs/dbraw/zinc/54/73/88/1074547388.db2.gz VZWQLRXALGITGV-UHFFFAOYSA-N 0 0 430.531 -0.171 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)C1 ZINC000544634895 1074547294 /nfs/dbraw/zinc/54/72/94/1074547294.db2.gz AWXGNEZKFOGAMZ-UHFFFAOYSA-N 0 0 446.464 -0.112 20 0 IBADRN COCCN1CCN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CC1=O ZINC000544637517 1074547823 /nfs/dbraw/zinc/54/78/23/1074547823.db2.gz SKJLNUXYTLSRTO-UHFFFAOYSA-N 0 0 446.464 -0.111 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000544650485 1074547700 /nfs/dbraw/zinc/54/77/00/1074547700.db2.gz NQPWSYPTQMRICC-UHFFFAOYSA-N 0 0 425.554 -0.326 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000544652854 1074547927 /nfs/dbraw/zinc/54/79/27/1074547927.db2.gz XMEQBCPPSRPXPD-UHFFFAOYSA-N 0 0 433.556 -0.279 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000544652927 1074547857 /nfs/dbraw/zinc/54/78/57/1074547857.db2.gz SGBPGCQGCXALBR-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000544654064 1071457730 /nfs/dbraw/zinc/45/77/30/1071457730.db2.gz DXRBADGQKKGHIH-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000544664291 1074547869 /nfs/dbraw/zinc/54/78/69/1074547869.db2.gz DMCKQCLZVORUIZ-AWEZNQCLSA-N 0 0 434.540 -0.475 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000544664295 1074547744 /nfs/dbraw/zinc/54/77/44/1074547744.db2.gz DMCKQCLZVORUIZ-CQSZACIVSA-N 0 0 434.540 -0.475 20 0 IBADRN CN1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccc(Cl)cc2)CC1=O ZINC000544666743 1074548270 /nfs/dbraw/zinc/54/82/70/1074548270.db2.gz RAOVSZKRQMQDTQ-CYBMUJFWSA-N 0 0 437.927 -0.320 20 0 IBADRN CN1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccc(Cl)cc2)CC1=O ZINC000544666749 1074548305 /nfs/dbraw/zinc/54/83/05/1074548305.db2.gz RAOVSZKRQMQDTQ-ZDUSSCGKSA-N 0 0 437.927 -0.320 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000544666906 1074547847 /nfs/dbraw/zinc/54/78/47/1074547847.db2.gz BOBSTRPNSZCCNT-CYBMUJFWSA-N 0 0 439.581 -0.099 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000544666910 1074548408 /nfs/dbraw/zinc/54/84/08/1074548408.db2.gz BOBSTRPNSZCCNT-ZDUSSCGKSA-N 0 0 439.581 -0.099 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@H]2CCCS(=O)(=O)C2)CC1 ZINC000544667843 1074548386 /nfs/dbraw/zinc/54/83/86/1074548386.db2.gz FNZCJIPBNDGBKN-INIZCTEOSA-N 0 0 444.579 -0.001 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC000544667844 1074548309 /nfs/dbraw/zinc/54/83/09/1074548309.db2.gz FNZCJIPBNDGBKN-MRXNPFEDSA-N 0 0 444.579 -0.001 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@H]1CCCS(=O)(=O)C1 ZINC000544668911 1074548374 /nfs/dbraw/zinc/54/83/74/1074548374.db2.gz DGGCRMQJUDKXJB-HNNXBMFYSA-N 0 0 445.567 -0.590 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@@H]1CCCS(=O)(=O)C1 ZINC000544668912 1074548291 /nfs/dbraw/zinc/54/82/91/1074548291.db2.gz DGGCRMQJUDKXJB-OAHLLOKOSA-N 0 0 445.567 -0.590 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3ccnc(N4CCOCC4)n3)CC2)C[C@H](C)O1 ZINC000544679627 1074548928 /nfs/dbraw/zinc/54/89/28/1074548928.db2.gz HZNZTWHWZABJGB-HOTGVXAUSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3ccnc(N4CCOCC4)n3)CC2)C[C@@H](C)O1 ZINC000544679628 1074548740 /nfs/dbraw/zinc/54/87/40/1074548740.db2.gz HZNZTWHWZABJGB-HZPDHXFCSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3ccnc(N4CCOCC4)n3)CC2)C[C@H](C)O1 ZINC000544679629 1074548847 /nfs/dbraw/zinc/54/88/47/1074548847.db2.gz HZNZTWHWZABJGB-IYBDPMFKSA-N 0 0 426.543 -0.211 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(CC(=O)NCCOC)CC2)CC1 ZINC000544687598 1074549481 /nfs/dbraw/zinc/54/94/81/1074549481.db2.gz FQPNMZFDOJIWLS-UHFFFAOYSA-N 0 0 433.575 -0.862 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@H](N3CCN(c4ncc(F)cn4)CC3)C2=O)c1 ZINC000544692136 1074549366 /nfs/dbraw/zinc/54/93/66/1074549366.db2.gz LOEWEWHJDQDRJL-HNNXBMFYSA-N 0 0 434.453 -0.283 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@@H](N3CCN(c4ncc(F)cn4)CC3)C2=O)c1 ZINC000544692137 1074549311 /nfs/dbraw/zinc/54/93/11/1074549311.db2.gz LOEWEWHJDQDRJL-OAHLLOKOSA-N 0 0 434.453 -0.283 20 0 IBADRN CC(C)(C)C(=O)Nc1ccncc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000544711790 1074550345 /nfs/dbraw/zinc/55/03/45/1074550345.db2.gz OATWXDDFJGLFDX-UHFFFAOYSA-N 0 0 439.538 -0.177 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000544722268 1074550355 /nfs/dbraw/zinc/55/03/55/1074550355.db2.gz HSHMFXBCTUEOJO-HNNXBMFYSA-N 0 0 445.563 -0.321 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000544722274 1074550262 /nfs/dbraw/zinc/55/02/62/1074550262.db2.gz HSHMFXBCTUEOJO-OAHLLOKOSA-N 0 0 445.563 -0.321 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3cc4c(cc3Br)NC(=O)CO4)C[C@H]12 ZINC000544724733 1074550324 /nfs/dbraw/zinc/55/03/24/1074550324.db2.gz DPJLCVFRUGSNEW-SECBINFHSA-N 0 0 445.251 -0.295 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3cc4c(cc3Br)NC(=O)CO4)C[C@@H]12 ZINC000544724734 1074550284 /nfs/dbraw/zinc/55/02/84/1074550284.db2.gz DPJLCVFRUGSNEW-VIFPVBQESA-N 0 0 445.251 -0.295 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)C[C@@H]12 ZINC000544725253 1074550811 /nfs/dbraw/zinc/55/08/11/1074550811.db2.gz FGFLBUKTKVLGLM-AWEZNQCLSA-N 0 0 428.492 -0.604 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)C[C@H]12 ZINC000544725262 1074550742 /nfs/dbraw/zinc/55/07/42/1074550742.db2.gz FGFLBUKTKVLGLM-CQSZACIVSA-N 0 0 428.492 -0.604 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)CS(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000544727184 1074550815 /nfs/dbraw/zinc/55/08/15/1074550815.db2.gz BFRQADVQOORLLO-INIZCTEOSA-N 0 0 433.508 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)CS(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000544727185 1074550882 /nfs/dbraw/zinc/55/08/82/1074550882.db2.gz BFRQADVQOORLLO-MRXNPFEDSA-N 0 0 433.508 -0.149 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)s1 ZINC000544727621 1074550863 /nfs/dbraw/zinc/55/08/63/1074550863.db2.gz MFOXYQXKTXVCSW-LLVKDONJSA-N 0 0 436.537 -0.845 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)s1 ZINC000544727622 1074550797 /nfs/dbraw/zinc/55/07/97/1074550797.db2.gz MFOXYQXKTXVCSW-NSHDSACASA-N 0 0 436.537 -0.845 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(n3cc(C(=O)OC)nn3)CC2)cc1 ZINC000544729511 1074550752 /nfs/dbraw/zinc/55/07/52/1074550752.db2.gz PTJFUBPZKKNPCW-UHFFFAOYSA-N 0 0 443.507 -0.001 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCCC4)cc3)C[C@@H]12 ZINC000544731745 1074550788 /nfs/dbraw/zinc/55/07/88/1074550788.db2.gz UTSNPPUSKDVSSI-HNNXBMFYSA-N 0 0 442.519 -0.214 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCCC4)cc3)C[C@H]12 ZINC000544731754 1074550823 /nfs/dbraw/zinc/55/08/23/1074550823.db2.gz UTSNPPUSKDVSSI-OAHLLOKOSA-N 0 0 442.519 -0.214 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)cc1-n1cnnn1 ZINC000544741007 1074551311 /nfs/dbraw/zinc/55/13/11/1074551311.db2.gz PVPXTVKVILGYHO-UHFFFAOYSA-N 0 0 427.400 -0.113 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000544741015 1074551273 /nfs/dbraw/zinc/55/12/73/1074551273.db2.gz OQMSJHFNQBJJGN-UHFFFAOYSA-N 0 0 436.534 -0.180 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000544741030 1074551284 /nfs/dbraw/zinc/55/12/84/1074551284.db2.gz QBILEXZXXCYZAE-UHFFFAOYSA-N 0 0 428.428 -0.766 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3ncc(F)cn3)CC1)CC2 ZINC000544741785 1074551208 /nfs/dbraw/zinc/55/12/08/1074551208.db2.gz FNKPCJVOADGYQT-UHFFFAOYSA-N 0 0 448.480 -0.238 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000544742268 1074374486 /nfs/dbraw/zinc/37/44/86/1074374486.db2.gz LGHWRMOITBKPFS-UHFFFAOYSA-N 0 0 432.460 -0.568 20 0 IBADRN CC(C)(C)C(=O)Nc1ccncc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000544745570 1074551327 /nfs/dbraw/zinc/55/13/27/1074551327.db2.gz FAYDAOFXXFZATE-UHFFFAOYSA-N 0 0 429.477 -0.079 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc(Br)o2)CC1)N1CCOCC1 ZINC000544746098 1074551201 /nfs/dbraw/zinc/55/12/01/1074551201.db2.gz ODFJWXXMCGGMAB-UHFFFAOYSA-N 0 0 436.284 -0.266 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)c2cc(=O)n3[nH]cnc3n2)CC1 ZINC000544748427 1074551244 /nfs/dbraw/zinc/55/12/44/1074551244.db2.gz UODDIKWQMCSJDL-UHFFFAOYSA-N 0 0 431.478 -0.716 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000544749799 1074551719 /nfs/dbraw/zinc/55/17/19/1074551719.db2.gz GMBJEFZKASESCE-KRWDZBQOSA-N 0 0 438.550 -0.423 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000544749812 1074551655 /nfs/dbraw/zinc/55/16/55/1074551655.db2.gz GMBJEFZKASESCE-QGZVFWFLSA-N 0 0 438.550 -0.423 20 0 IBADRN COC(=O)[C@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1cccc(O)c1 ZINC000544749947 1074551293 /nfs/dbraw/zinc/55/12/93/1074551293.db2.gz JKAWRSZVKQPKCD-CRAIPNDOSA-N 0 0 425.507 -0.473 20 0 IBADRN COC(=O)[C@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1cccc(O)c1 ZINC000544749952 1074551192 /nfs/dbraw/zinc/55/11/92/1074551192.db2.gz JKAWRSZVKQPKCD-MAUKXSAKSA-N 0 0 425.507 -0.473 20 0 IBADRN COC(=O)[C@@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1cccc(O)c1 ZINC000544749953 1074551256 /nfs/dbraw/zinc/55/12/56/1074551256.db2.gz JKAWRSZVKQPKCD-QAPCUYQASA-N 0 0 425.507 -0.473 20 0 IBADRN COC(=O)[C@@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1cccc(O)c1 ZINC000544749954 1074551359 /nfs/dbraw/zinc/55/13/59/1074551359.db2.gz JKAWRSZVKQPKCD-YJBOKZPZSA-N 0 0 425.507 -0.473 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)CC2)nn1 ZINC000544751150 1074551706 /nfs/dbraw/zinc/55/17/06/1074551706.db2.gz BHUOUOJDIGINLZ-UHFFFAOYSA-N 0 0 443.399 -0.018 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)CC2)n1 ZINC000544752347 1074551630 /nfs/dbraw/zinc/55/16/30/1074551630.db2.gz BRAYMOMBMBNGEY-UHFFFAOYSA-N 0 0 428.497 -0.399 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000544752496 1074551743 /nfs/dbraw/zinc/55/17/43/1074551743.db2.gz LZAPOBUNJKHOAC-MOPGFXCFSA-N 0 0 448.520 -0.003 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000544752500 1074551817 /nfs/dbraw/zinc/55/18/17/1074551817.db2.gz LZAPOBUNJKHOAC-OALUTQOASA-N 0 0 448.520 -0.003 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000544752502 1074551790 /nfs/dbraw/zinc/55/17/90/1074551790.db2.gz LZAPOBUNJKHOAC-RBUKOAKNSA-N 0 0 448.520 -0.003 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000544752503 1074551734 /nfs/dbraw/zinc/55/17/34/1074551734.db2.gz LZAPOBUNJKHOAC-RTBURBONSA-N 0 0 448.520 -0.003 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000544753981 1074551674 /nfs/dbraw/zinc/55/16/74/1074551674.db2.gz MBAAIRVFIAHNSX-DLBZAZTESA-N 0 0 441.506 -0.044 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000544753984 1074551809 /nfs/dbraw/zinc/55/18/09/1074551809.db2.gz MBAAIRVFIAHNSX-IAGOWNOFSA-N 0 0 441.506 -0.044 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000544753985 1074551696 /nfs/dbraw/zinc/55/16/96/1074551696.db2.gz MBAAIRVFIAHNSX-IRXDYDNUSA-N 0 0 441.506 -0.044 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000544753986 1074551782 /nfs/dbraw/zinc/55/17/82/1074551782.db2.gz MBAAIRVFIAHNSX-SJORKVTESA-N 0 0 441.506 -0.044 20 0 IBADRN Cc1cc(Br)cn2cc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)nc12 ZINC000544755267 1074551800 /nfs/dbraw/zinc/55/18/00/1074551800.db2.gz PGQRAKBSKRXUSH-SECBINFHSA-N 0 0 432.300 -0.185 20 0 IBADRN Cc1cc(Br)cn2cc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)nc12 ZINC000544755268 1074551844 /nfs/dbraw/zinc/55/18/44/1074551844.db2.gz PGQRAKBSKRXUSH-VIFPVBQESA-N 0 0 432.300 -0.185 20 0 IBADRN COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000544755413 1074551826 /nfs/dbraw/zinc/55/18/26/1074551826.db2.gz QGGJFAABUONQNM-AWEZNQCLSA-N 0 0 443.464 -0.666 20 0 IBADRN COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000544755414 1074551617 /nfs/dbraw/zinc/55/16/17/1074551617.db2.gz QGGJFAABUONQNM-CQSZACIVSA-N 0 0 443.464 -0.666 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000544755641 1074551751 /nfs/dbraw/zinc/55/17/51/1074551751.db2.gz VTIIODVYZDPUFQ-UHFFFAOYSA-N 0 0 438.448 -0.982 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)n1 ZINC000544756860 1074374521 /nfs/dbraw/zinc/37/45/21/1074374521.db2.gz XZSHSOOPXMMDKE-UHFFFAOYSA-N 0 0 442.480 -0.872 20 0 IBADRN COC(=O)c1cn(C2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)CC2)nn1 ZINC000544759327 1074552256 /nfs/dbraw/zinc/55/22/56/1074552256.db2.gz WVMRCFGFEUXYJN-UHFFFAOYSA-N 0 0 447.496 -0.317 20 0 IBADRN COCCN(C(=O)CN1CCC(n2cc(C(=O)OC)nn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000544760101 1074552283 /nfs/dbraw/zinc/55/22/83/1074552283.db2.gz ZJYOLVIFMBWKCB-HNNXBMFYSA-N 0 0 443.526 -0.636 20 0 IBADRN COCCN(C(=O)CN1CCC(n2cc(C(=O)OC)nn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000544760102 1074552132 /nfs/dbraw/zinc/55/21/32/1074552132.db2.gz ZJYOLVIFMBWKCB-OAHLLOKOSA-N 0 0 443.526 -0.636 20 0 IBADRN COC(=O)c1cn(C2CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)CC2)nn1 ZINC000544761844 1074552339 /nfs/dbraw/zinc/55/23/39/1074552339.db2.gz WAUVYVFZRSQUGF-UHFFFAOYSA-N 0 0 444.558 -0.122 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@]12CCCO2 ZINC000544763419 1074552106 /nfs/dbraw/zinc/55/21/06/1074552106.db2.gz VINOROUAWIPKIX-LMMKCTJWSA-N 0 0 445.586 -0.468 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@]12CCCO2 ZINC000544763422 1074552227 /nfs/dbraw/zinc/55/22/27/1074552227.db2.gz VINOROUAWIPKIX-SCTDSRPQSA-N 0 0 445.586 -0.468 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@]12CCCO2 ZINC000544763423 1074552120 /nfs/dbraw/zinc/55/21/20/1074552120.db2.gz VINOROUAWIPKIX-YQVWRLOYSA-N 0 0 445.586 -0.468 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@]12CCCO2 ZINC000544763424 1074552155 /nfs/dbraw/zinc/55/21/55/1074552155.db2.gz VINOROUAWIPKIX-ZHALLVOQSA-N 0 0 445.586 -0.468 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000544764518 1074552704 /nfs/dbraw/zinc/55/27/04/1074552704.db2.gz FGKPVMJTWDPJNR-CVEARBPZSA-N 0 0 429.495 -0.140 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000544764521 1074552716 /nfs/dbraw/zinc/55/27/16/1074552716.db2.gz FGKPVMJTWDPJNR-HOTGVXAUSA-N 0 0 429.495 -0.140 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000544764522 1074552759 /nfs/dbraw/zinc/55/27/59/1074552759.db2.gz FGKPVMJTWDPJNR-HZPDHXFCSA-N 0 0 429.495 -0.140 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000544764523 1074552781 /nfs/dbraw/zinc/55/27/81/1074552781.db2.gz FGKPVMJTWDPJNR-JKSUJKDBSA-N 0 0 429.495 -0.140 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)CC2)nn1 ZINC000544765837 1074552811 /nfs/dbraw/zinc/55/28/11/1074552811.db2.gz MSTPODSKEOGUEA-UHFFFAOYSA-N 0 0 439.498 -0.361 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@]12CCCO2 ZINC000544765964 1074552643 /nfs/dbraw/zinc/55/26/43/1074552643.db2.gz JCTXGMRUGFKGMS-JXXFODFXSA-N 0 0 435.481 -0.477 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@@]12CCCO2 ZINC000544765969 1074552795 /nfs/dbraw/zinc/55/27/95/1074552795.db2.gz JCTXGMRUGFKGMS-QINHECLXSA-N 0 0 435.481 -0.477 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@]12CCCO2 ZINC000544765970 1074552659 /nfs/dbraw/zinc/55/26/59/1074552659.db2.gz JCTXGMRUGFKGMS-RZQQEMMASA-N 0 0 435.481 -0.477 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@@]12CCCO2 ZINC000544765971 1074553182 /nfs/dbraw/zinc/55/31/82/1074553182.db2.gz JCTXGMRUGFKGMS-YRNRMSPPSA-N 0 0 435.481 -0.477 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)nn1 ZINC000544766192 1074552664 /nfs/dbraw/zinc/55/26/64/1074552664.db2.gz LCELWCRUSBJGCX-GOSISDBHSA-N 0 0 448.524 -0.059 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)nn1 ZINC000544766194 1074552630 /nfs/dbraw/zinc/55/26/30/1074552630.db2.gz LCELWCRUSBJGCX-SFHVURJKSA-N 0 0 448.524 -0.059 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)CC2)nn1 ZINC000544767803 1074553147 /nfs/dbraw/zinc/55/31/47/1074553147.db2.gz JYTBLJGQZAMLPD-UHFFFAOYSA-N 0 0 441.448 -0.204 20 0 IBADRN O=C(CNC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000544769700 1074553224 /nfs/dbraw/zinc/55/32/24/1074553224.db2.gz MAPMHWFFMWFZRL-HNNXBMFYSA-N 0 0 434.884 -0.066 20 0 IBADRN O=C(CNC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000544769701 1074553189 /nfs/dbraw/zinc/55/31/89/1074553189.db2.gz MAPMHWFFMWFZRL-OAHLLOKOSA-N 0 0 434.884 -0.066 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)CC2)nn1 ZINC000544770006 1074553122 /nfs/dbraw/zinc/55/31/22/1074553122.db2.gz ZRXLLFRODSYJKN-UHFFFAOYSA-N 0 0 425.471 -0.751 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(c2ncc(F)cn2)CC1 ZINC000544774979 1074553231 /nfs/dbraw/zinc/55/32/31/1074553231.db2.gz VWBYGRFXFUTLLD-UHFFFAOYSA-N 0 0 448.480 -0.301 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(c4ncc(F)cn4)CC3)CC2=O)c1 ZINC000544777435 1074553169 /nfs/dbraw/zinc/55/31/69/1074553169.db2.gz PSFNPLDCSMHKNA-CYBMUJFWSA-N 0 0 448.480 -0.035 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(c4ncc(F)cn4)CC3)CC2=O)c1 ZINC000544777436 1074553637 /nfs/dbraw/zinc/55/36/37/1074553637.db2.gz PSFNPLDCSMHKNA-ZDUSSCGKSA-N 0 0 448.480 -0.035 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(c3ncc(F)cn3)CC2)CC1 ZINC000544786396 1074553676 /nfs/dbraw/zinc/55/36/76/1074553676.db2.gz XITZFQXTRAGCSO-UHFFFAOYSA-N 0 0 440.487 -0.237 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)nn1 ZINC000544786424 1074553589 /nfs/dbraw/zinc/55/35/89/1074553589.db2.gz YSAJFVRIZAIMFW-UHFFFAOYSA-N 0 0 442.436 -0.121 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)CCC2 ZINC000544802528 1074553662 /nfs/dbraw/zinc/55/36/62/1074553662.db2.gz RTTWQBKDRYRFEM-INIZCTEOSA-N 0 0 446.508 -0.090 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)CCC2 ZINC000544802530 1074553596 /nfs/dbraw/zinc/55/35/96/1074553596.db2.gz RTTWQBKDRYRFEM-MRXNPFEDSA-N 0 0 446.508 -0.090 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCC[C@H](S(N)(=O)=O)C3)c2)CC1 ZINC000544803342 1074553667 /nfs/dbraw/zinc/55/36/67/1074553667.db2.gz FOTXSIWORZPLNO-INIZCTEOSA-N 0 0 445.567 -0.093 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCC[C@@H](S(N)(=O)=O)C3)c2)CC1 ZINC000544803345 1074553623 /nfs/dbraw/zinc/55/36/23/1074553623.db2.gz FOTXSIWORZPLNO-MRXNPFEDSA-N 0 0 445.567 -0.093 20 0 IBADRN CN(C[C@H](O)CO)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000544803805 1074553717 /nfs/dbraw/zinc/55/37/17/1074553717.db2.gz XAOWAWVPQBWOAJ-HNNXBMFYSA-N 0 0 447.941 -0.235 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)CCC2 ZINC000544804914 1074554176 /nfs/dbraw/zinc/55/41/76/1074554176.db2.gz TXUPNRFUDSJISV-KRWDZBQOSA-N 0 0 448.524 -0.381 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)CCC2 ZINC000544804915 1074554087 /nfs/dbraw/zinc/55/40/87/1074554087.db2.gz TXUPNRFUDSJISV-QGZVFWFLSA-N 0 0 448.524 -0.381 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000544809487 1074554063 /nfs/dbraw/zinc/55/40/63/1074554063.db2.gz CMRPLSQBOPMSBR-UHFFFAOYSA-N 0 0 430.508 -0.249 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000544820669 1074554167 /nfs/dbraw/zinc/55/41/67/1074554167.db2.gz LXQYPXKNGKPGOW-ZDUSSCGKSA-N 0 0 433.465 -0.626 20 0 IBADRN CCc1c(C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000544821200 1074554433 /nfs/dbraw/zinc/55/44/33/1074554433.db2.gz UYYJQEDQIIQMEP-AWEZNQCLSA-N 0 0 447.492 -0.372 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N[C@@H](CCNC(=O)[C@H](C)NS(C)(=O)=O)c1ccccc1 ZINC000544821676 1074554466 /nfs/dbraw/zinc/55/44/66/1074554466.db2.gz BJXORJRZLRHHOZ-GUTXKFCHSA-N 0 0 448.567 -0.774 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N[C@H](CCNC(=O)[C@H](C)NS(C)(=O)=O)c1ccccc1 ZINC000544821677 1074554563 /nfs/dbraw/zinc/55/45/63/1074554563.db2.gz BJXORJRZLRHHOZ-GZBFAFLISA-N 0 0 448.567 -0.774 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)NCC[C@@H](NC(=O)[C@H](C)NS(C)(=O)=O)c1ccccc1 ZINC000544821678 1074554558 /nfs/dbraw/zinc/55/45/58/1074554558.db2.gz BJXORJRZLRHHOZ-KCQAQPDRSA-N 0 0 448.567 -0.774 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)NCC[C@H](NC(=O)[C@H](C)NS(C)(=O)=O)c1ccccc1 ZINC000544821679 1074554548 /nfs/dbraw/zinc/55/45/48/1074554548.db2.gz BJXORJRZLRHHOZ-YDHLFZDLSA-N 0 0 448.567 -0.774 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(=O)n(-c4cnn(C)c4)c3)CC2)cn1C ZINC000544830673 1074554566 /nfs/dbraw/zinc/55/45/66/1074554566.db2.gz KZPAPVZWQUCHHX-UHFFFAOYSA-N 0 0 445.505 -0.240 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)c(C)c1 ZINC000544835503 1074554589 /nfs/dbraw/zinc/55/45/89/1074554589.db2.gz CXRJAVBXVLYQKY-HNNXBMFYSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C(=O)OC)c(C)c1 ZINC000544835504 1074554498 /nfs/dbraw/zinc/55/44/98/1074554498.db2.gz CXRJAVBXVLYQKY-OAHLLOKOSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C)c(C(=O)OC)c1 ZINC000544835675 1074554448 /nfs/dbraw/zinc/55/44/48/1074554448.db2.gz HNLPNYMTVOUJAG-HNNXBMFYSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C)c(C(=O)OC)c1 ZINC000544835676 1074554494 /nfs/dbraw/zinc/55/44/94/1074554494.db2.gz HNLPNYMTVOUJAG-OAHLLOKOSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cnn(-c2ccccn2)c1C ZINC000544835932 1074554883 /nfs/dbraw/zinc/55/48/83/1074554883.db2.gz CFJXCWHQRCQKBT-AWEZNQCLSA-N 0 0 437.478 -0.355 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cnn(-c2ccccn2)c1C ZINC000544835933 1074555055 /nfs/dbraw/zinc/55/50/55/1074555055.db2.gz CFJXCWHQRCQKBT-CQSZACIVSA-N 0 0 437.478 -0.355 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(CNC(C)=O)cc1 ZINC000544836251 1074554827 /nfs/dbraw/zinc/55/48/27/1074554827.db2.gz YFPUWXZFYFHQIX-INIZCTEOSA-N 0 0 427.479 -0.609 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(CNC(C)=O)cc1 ZINC000544836252 1074555018 /nfs/dbraw/zinc/55/50/18/1074555018.db2.gz YFPUWXZFYFHQIX-MRXNPFEDSA-N 0 0 427.479 -0.609 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)Cc1cccc(C(=O)OC)c1 ZINC000544836512 1074554956 /nfs/dbraw/zinc/55/49/56/1074554956.db2.gz QBKYQHFGFWIFGD-HNNXBMFYSA-N 0 0 428.463 -0.317 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)Cc1cccc(C(=O)OC)c1 ZINC000544836513 1074555011 /nfs/dbraw/zinc/55/50/11/1074555011.db2.gz QBKYQHFGFWIFGD-OAHLLOKOSA-N 0 0 428.463 -0.317 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)C1CN(C(=O)C2CCCC2)C1 ZINC000544836515 1074554458 /nfs/dbraw/zinc/55/44/58/1074554458.db2.gz QFCCWUSKUZQPCZ-HNNXBMFYSA-N 0 0 431.511 -0.903 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)C1CN(C(=O)C2CCCC2)C1 ZINC000544836516 1074554531 /nfs/dbraw/zinc/55/45/31/1074554531.db2.gz QFCCWUSKUZQPCZ-OAHLLOKOSA-N 0 0 431.511 -0.903 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000544837045 1074554994 /nfs/dbraw/zinc/55/49/94/1074554994.db2.gz ZULLRCDVOVDBMD-CYBMUJFWSA-N 0 0 433.508 -0.547 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000544837048 1074554968 /nfs/dbraw/zinc/55/49/68/1074554968.db2.gz ZULLRCDVOVDBMD-ZDUSSCGKSA-N 0 0 433.508 -0.547 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OCC)n(C)c1 ZINC000544837515 1074554986 /nfs/dbraw/zinc/55/49/86/1074554986.db2.gz UVUXNVUQORUIEO-AWEZNQCLSA-N 0 0 431.467 -0.729 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OCC)n(C)c1 ZINC000544837518 1074555028 /nfs/dbraw/zinc/55/50/28/1074555028.db2.gz UVUXNVUQORUIEO-CQSZACIVSA-N 0 0 431.467 -0.729 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000544838899 1074554937 /nfs/dbraw/zinc/55/49/37/1074554937.db2.gz KURXXFGQBSPSOP-GFCCVEGCSA-N 0 0 435.524 -0.484 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)cn1C ZINC000544838900 1074554841 /nfs/dbraw/zinc/55/48/41/1074554841.db2.gz KURXXFGQBSPSOP-LBPRGKRZSA-N 0 0 435.524 -0.484 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1C ZINC000544838999 1070814917 /nfs/dbraw/zinc/81/49/17/1070814917.db2.gz GXSYNBMGWAHNOR-UHFFFAOYSA-N 0 0 428.511 -0.633 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)oc(=O)n2C ZINC000544839043 1074554945 /nfs/dbraw/zinc/55/49/45/1074554945.db2.gz JSTXAINPDUOKNK-CYBMUJFWSA-N 0 0 427.435 -0.800 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)oc(=O)n2C ZINC000544839044 1074555048 /nfs/dbraw/zinc/55/50/48/1074555048.db2.gz JSTXAINPDUOKNK-ZDUSSCGKSA-N 0 0 427.435 -0.800 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)c1 ZINC000544839201 1070815185 /nfs/dbraw/zinc/81/51/85/1070815185.db2.gz NAVRPJHRRQUCAP-AWEZNQCLSA-N 0 0 435.524 -0.423 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)C2)nn1 ZINC000544839924 1074555380 /nfs/dbraw/zinc/55/53/80/1074555380.db2.gz JLCODUAVMDZSOP-GFCCVEGCSA-N 0 0 432.528 -0.600 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NCCS(=O)(=O)N3CCSCC3)C2)nn1 ZINC000544839925 1074555444 /nfs/dbraw/zinc/55/54/44/1074555444.db2.gz JLCODUAVMDZSOP-LBPRGKRZSA-N 0 0 432.528 -0.600 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1C ZINC000544841750 1074555418 /nfs/dbraw/zinc/55/54/18/1074555418.db2.gz QIURIYPODMXGJY-UHFFFAOYSA-N 0 0 440.478 -0.816 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cnc2c(Cl)c(C)nn2c1 ZINC000544841778 1074555446 /nfs/dbraw/zinc/55/54/46/1074555446.db2.gz RLJSVYIZWUYXTG-GFCCVEGCSA-N 0 0 445.885 -0.240 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cnc2c(Cl)c(C)nn2c1 ZINC000544841779 1074555451 /nfs/dbraw/zinc/55/54/51/1074555451.db2.gz RLJSVYIZWUYXTG-LBPRGKRZSA-N 0 0 445.885 -0.240 20 0 IBADRN COc1nc(N2CC[C@@H](NC(=O)NC3CC3)C2)nc(N2CC[C@H](NC(=O)NC3CC3)C2)n1 ZINC000544843567 1074555423 /nfs/dbraw/zinc/55/54/23/1074555423.db2.gz XTUIEHWLJCYNSU-GASCZTMLSA-N 0 0 445.528 -0.039 20 0 IBADRN COc1nc(N2CC[C@H](NC(=O)NC3CC3)C2)nc(N2CC[C@H](NC(=O)NC3CC3)C2)n1 ZINC000544843570 1074555431 /nfs/dbraw/zinc/55/54/31/1074555431.db2.gz XTUIEHWLJCYNSU-GJZGRUSLSA-N 0 0 445.528 -0.039 20 0 IBADRN COc1nc(N2CC[C@@H](NC(=O)NC3CC3)C2)nc(N2CC[C@@H](NC(=O)NC3CC3)C2)n1 ZINC000544843571 1074555450 /nfs/dbraw/zinc/55/54/50/1074555450.db2.gz XTUIEHWLJCYNSU-HUUCEWRRSA-N 0 0 445.528 -0.039 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1S(=O)(=O)N1CCOCC1 ZINC000544847814 1074555448 /nfs/dbraw/zinc/55/54/48/1074555448.db2.gz DYIHSEIUPWZQTE-HZPDHXFCSA-N 0 0 443.547 -0.085 20 0 IBADRN COc1nc(C)cc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000544857759 1074555882 /nfs/dbraw/zinc/55/58/82/1074555882.db2.gz KJJAORAYIMGFJW-UHFFFAOYSA-N 0 0 427.508 -0.048 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000544858584 1074555706 /nfs/dbraw/zinc/55/57/06/1074555706.db2.gz IRZYTALMDNGMFQ-UHFFFAOYSA-N 0 0 448.505 -0.182 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1 ZINC000544858738 1074555697 /nfs/dbraw/zinc/55/56/97/1074555697.db2.gz DSSUYEBDPREFAH-UHFFFAOYSA-N 0 0 436.490 -0.232 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)C(=O)Nc2cncnc2N(C)C)c1 ZINC000544858750 1074555715 /nfs/dbraw/zinc/55/57/15/1074555715.db2.gz FGYLXOGNMOSUAT-LLVKDONJSA-N 0 0 449.493 -0.467 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2cncnc2N(C)C)c1 ZINC000544858751 1074555845 /nfs/dbraw/zinc/55/58/45/1074555845.db2.gz DTPRXTXUCGTXTC-UHFFFAOYSA-N 0 0 436.494 -0.278 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)C(=O)Nc2cncnc2N(C)C)c1 ZINC000544858752 1074555656 /nfs/dbraw/zinc/55/56/56/1074555656.db2.gz FGYLXOGNMOSUAT-NSHDSACASA-N 0 0 449.493 -0.467 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000544859151 1074555686 /nfs/dbraw/zinc/55/56/86/1074555686.db2.gz IIFUGYDCSOXHRT-UHFFFAOYSA-N 0 0 425.493 -0.058 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)C1 ZINC000544859650 1074555722 /nfs/dbraw/zinc/55/57/22/1074555722.db2.gz ZKPYPBQGIJTHCU-HNNXBMFYSA-N 0 0 436.490 -0.186 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)C1 ZINC000544859656 1074555898 /nfs/dbraw/zinc/55/58/98/1074555898.db2.gz ZKPYPBQGIJTHCU-OAHLLOKOSA-N 0 0 436.490 -0.186 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)C1 ZINC000544859688 1074555670 /nfs/dbraw/zinc/55/56/70/1074555670.db2.gz KVPDEIUQVFNIFN-UHFFFAOYSA-N 0 0 430.461 -0.020 20 0 IBADRN CNS(=O)(=O)c1ccc(-c2noc(CCn3cnc4c3c(=O)n(C)c(=O)n4C)n2)cc1 ZINC000544861338 1074555852 /nfs/dbraw/zinc/55/58/52/1074555852.db2.gz YUUNJQOPJMLLHE-UHFFFAOYSA-N 0 0 445.461 -0.366 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cncnc2N(C)C)cc1 ZINC000544861888 1074555805 /nfs/dbraw/zinc/55/58/05/1074555805.db2.gz DWSZSRSGZHVTQC-UHFFFAOYSA-N 0 0 436.494 -0.278 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000544862107 1074555819 /nfs/dbraw/zinc/55/58/19/1074555819.db2.gz KTXIDWBPDDYMDP-UHFFFAOYSA-N 0 0 426.481 -0.269 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000544862172 1074555836 /nfs/dbraw/zinc/55/58/36/1074555836.db2.gz IAOFHALBKHSAIB-UHFFFAOYSA-N 0 0 440.497 -0.019 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1=O ZINC000544862749 1074555732 /nfs/dbraw/zinc/55/57/32/1074555732.db2.gz VDKRRGRDRNHUDN-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)N[C@@H]1CCN(CCC(=O)OC)C1=O ZINC000544863181 1074555742 /nfs/dbraw/zinc/55/57/42/1074555742.db2.gz RIGRUUHKYXXASU-CYBMUJFWSA-N 0 0 443.453 -0.162 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)N[C@H]1CCN(CCC(=O)OC)C1=O ZINC000544863182 1074555874 /nfs/dbraw/zinc/55/58/74/1074555874.db2.gz RIGRUUHKYXXASU-ZDUSSCGKSA-N 0 0 443.453 -0.162 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000544863877 1074555787 /nfs/dbraw/zinc/55/57/87/1074555787.db2.gz GOMKRQONSDPBQW-GFCCVEGCSA-N 0 0 430.421 -0.155 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000544863878 1074556248 /nfs/dbraw/zinc/55/62/48/1074556248.db2.gz GOMKRQONSDPBQW-LBPRGKRZSA-N 0 0 430.421 -0.155 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)N3C[C@H](C(=O)OC)Oc4ccccc43)C2)nn1 ZINC000544864407 1074556255 /nfs/dbraw/zinc/55/62/55/1074556255.db2.gz CWAZHHOMZYDJFS-BLLLJJGKSA-N 0 0 443.416 -0.195 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)N3C[C@@H](C(=O)OC)Oc4ccccc43)C2)nn1 ZINC000544864412 1074556215 /nfs/dbraw/zinc/55/62/15/1074556215.db2.gz CWAZHHOMZYDJFS-LRDDRELGSA-N 0 0 443.416 -0.195 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)N3C[C@H](C(=O)OC)Oc4ccccc43)C2)nn1 ZINC000544864414 1074556278 /nfs/dbraw/zinc/55/62/78/1074556278.db2.gz CWAZHHOMZYDJFS-MLGOLLRUSA-N 0 0 443.416 -0.195 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)N3C[C@@H](C(=O)OC)Oc4ccccc43)C2)nn1 ZINC000544864416 1074556311 /nfs/dbraw/zinc/55/63/11/1074556311.db2.gz CWAZHHOMZYDJFS-WBMJQRKESA-N 0 0 443.416 -0.195 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)n1 ZINC000544864441 1074556345 /nfs/dbraw/zinc/55/63/45/1074556345.db2.gz FGIPPSSFAIGPIL-GOSISDBHSA-N 0 0 427.461 -0.268 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)n1 ZINC000544864443 1074556272 /nfs/dbraw/zinc/55/62/72/1074556272.db2.gz FGIPPSSFAIGPIL-SFHVURJKSA-N 0 0 427.461 -0.268 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000544865433 1074556283 /nfs/dbraw/zinc/55/62/83/1074556283.db2.gz QKKRSZSVMFDAGX-UHFFFAOYSA-N 0 0 439.469 -0.123 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000544866116 1074556317 /nfs/dbraw/zinc/55/63/17/1074556317.db2.gz SHKMVZHOIOSPGG-CYBMUJFWSA-N 0 0 426.499 -0.866 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000544866118 1074556339 /nfs/dbraw/zinc/55/63/39/1074556339.db2.gz SHKMVZHOIOSPGG-ZDUSSCGKSA-N 0 0 426.499 -0.866 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3cccc(OCC(=O)N(C)C)c3)C2)nn1 ZINC000544867426 1074556322 /nfs/dbraw/zinc/55/63/22/1074556322.db2.gz AWZAOLQVFOEMMS-AWEZNQCLSA-N 0 0 444.448 -0.056 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3cccc(OCC(=O)N(C)C)c3)C2)nn1 ZINC000544867427 1074556515 /nfs/dbraw/zinc/55/65/15/1074556515.db2.gz AWZAOLQVFOEMMS-CQSZACIVSA-N 0 0 444.448 -0.056 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(NC(=O)CN(C)C)cc3)C2)nn1 ZINC000544868131 1074556554 /nfs/dbraw/zinc/55/65/54/1074556554.db2.gz XULGLMNDCRODDZ-HNNXBMFYSA-N 0 0 443.464 -0.023 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(NC(=O)CN(C)C)cc3)C2)nn1 ZINC000544868132 1074556652 /nfs/dbraw/zinc/55/66/52/1074556652.db2.gz XULGLMNDCRODDZ-OAHLLOKOSA-N 0 0 443.464 -0.023 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1 ZINC000544868441 1074556539 /nfs/dbraw/zinc/55/65/39/1074556539.db2.gz BVPDISTXZMFNDR-UHFFFAOYSA-N 0 0 426.433 -0.067 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1 ZINC000544868974 1074556682 /nfs/dbraw/zinc/55/66/82/1074556682.db2.gz LBQRGYCLLFWPHG-INIZCTEOSA-N 0 0 445.476 -0.020 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1 ZINC000544868978 1074556596 /nfs/dbraw/zinc/55/65/96/1074556596.db2.gz LBQRGYCLLFWPHG-MRXNPFEDSA-N 0 0 445.476 -0.020 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)S(=O)(=O)CC4)C2)nn1 ZINC000544869485 1074556563 /nfs/dbraw/zinc/55/65/63/1074556563.db2.gz UFUCNVJHFMZEQR-CYBMUJFWSA-N 0 0 433.446 -0.193 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)S(=O)(=O)CC4)C2)nn1 ZINC000544869486 1074556606 /nfs/dbraw/zinc/55/66/06/1074556606.db2.gz UFUCNVJHFMZEQR-ZDUSSCGKSA-N 0 0 433.446 -0.193 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2)nn1 ZINC000544870897 1074556501 /nfs/dbraw/zinc/55/65/01/1074556501.db2.gz OOMWLMVRQJCGEA-AAEUAGOBSA-N 0 0 439.498 -0.445 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2)nn1 ZINC000544870899 1074556493 /nfs/dbraw/zinc/55/64/93/1074556493.db2.gz OOMWLMVRQJCGEA-DGCLKSJQSA-N 0 0 439.498 -0.445 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2)nn1 ZINC000544870901 1074556636 /nfs/dbraw/zinc/55/66/36/1074556636.db2.gz OOMWLMVRQJCGEA-WCQYABFASA-N 0 0 439.498 -0.445 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)C2)nn1 ZINC000544870903 1074556548 /nfs/dbraw/zinc/55/65/48/1074556548.db2.gz OOMWLMVRQJCGEA-YPMHNXCESA-N 0 0 439.498 -0.445 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3cccc(-n4nnnc4C)c3)C2)nn1 ZINC000544872234 1074556986 /nfs/dbraw/zinc/55/69/86/1074556986.db2.gz NHTJKFHROUSTMI-AWEZNQCLSA-N 0 0 425.409 -0.239 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3cccc(-n4nnnc4C)c3)C2)nn1 ZINC000544872243 1074557141 /nfs/dbraw/zinc/55/71/41/1074557141.db2.gz NHTJKFHROUSTMI-CQSZACIVSA-N 0 0 425.409 -0.239 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2)nn1 ZINC000544872656 1070855879 /nfs/dbraw/zinc/85/58/79/1070855879.db2.gz ZRKZHXGJZZNWJB-MRVPVSSYSA-N 0 0 427.215 -0.437 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2)nn1 ZINC000544872658 1074556574 /nfs/dbraw/zinc/55/65/74/1074556574.db2.gz ZRKZHXGJZZNWJB-QMMMGPOBSA-N 0 0 427.215 -0.437 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)n1)N1CCCCCC1 ZINC000544872788 1073323047 /nfs/dbraw/zinc/32/30/47/1073323047.db2.gz SMMYKVXZKLXVMV-HNNXBMFYSA-N 0 0 446.512 -0.318 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)n1)N1CCCCCC1 ZINC000544872792 1073323226 /nfs/dbraw/zinc/32/32/26/1073323226.db2.gz SMMYKVXZKLXVMV-OAHLLOKOSA-N 0 0 446.512 -0.318 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C2)nn1 ZINC000544872911 1074557157 /nfs/dbraw/zinc/55/71/57/1074557157.db2.gz UCIZKIZGMAHVFK-AWEZNQCLSA-N 0 0 440.420 -0.639 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)C2)nn1 ZINC000544872925 1074557128 /nfs/dbraw/zinc/55/71/28/1074557128.db2.gz UCIZKIZGMAHVFK-CQSZACIVSA-N 0 0 440.420 -0.639 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000544874132 1074557146 /nfs/dbraw/zinc/55/71/46/1074557146.db2.gz RFLKDIXFEZLPBN-HNNXBMFYSA-N 0 0 428.449 -0.261 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000544874159 1074557075 /nfs/dbraw/zinc/55/70/75/1074557075.db2.gz RFLKDIXFEZLPBN-OAHLLOKOSA-N 0 0 428.449 -0.261 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)c(C)c3)C2)nn1 ZINC000544874452 1074557068 /nfs/dbraw/zinc/55/70/68/1074557068.db2.gz WFGUVSSGYIINLZ-CYBMUJFWSA-N 0 0 425.409 -0.239 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)c(C)c3)C2)nn1 ZINC000544874454 1074556976 /nfs/dbraw/zinc/55/69/76/1074556976.db2.gz WFGUVSSGYIINLZ-ZDUSSCGKSA-N 0 0 425.409 -0.239 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000544875720 1074557163 /nfs/dbraw/zinc/55/71/63/1074557163.db2.gz LXVOYSSNLLANMR-HNNXBMFYSA-N 0 0 439.538 -0.059 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000544875721 1074557018 /nfs/dbraw/zinc/55/70/18/1074557018.db2.gz LXVOYSSNLLANMR-OAHLLOKOSA-N 0 0 439.538 -0.059 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@H](NC(=O)NC3CC3)C2)c(N)n(Cc2ccccc2)c1=O ZINC000544881044 1074557526 /nfs/dbraw/zinc/55/75/26/1074557526.db2.gz WKSGFSJXHQBLNO-INIZCTEOSA-N 0 0 440.504 -0.104 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@@H](NC(=O)NC3CC3)C2)c(N)n(Cc2ccccc2)c1=O ZINC000544881045 1074557450 /nfs/dbraw/zinc/55/74/50/1074557450.db2.gz WKSGFSJXHQBLNO-MRXNPFEDSA-N 0 0 440.504 -0.104 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CC[C@H](NC(=O)NC3CC3)C2)CC1 ZINC000544882526 1074557379 /nfs/dbraw/zinc/55/73/79/1074557379.db2.gz ZUNGICQAPRLANG-HNNXBMFYSA-N 0 0 427.513 -0.452 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CC[C@@H](NC(=O)NC3CC3)C2)CC1 ZINC000544882527 1074557414 /nfs/dbraw/zinc/55/74/14/1074557414.db2.gz ZUNGICQAPRLANG-OAHLLOKOSA-N 0 0 427.513 -0.452 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2)nn1 ZINC000544885514 1074557517 /nfs/dbraw/zinc/55/75/17/1074557517.db2.gz ARZQYQZCWFJDDO-KBPBESRZSA-N 0 0 444.514 -0.490 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2)nn1 ZINC000544885515 1074557489 /nfs/dbraw/zinc/55/74/89/1074557489.db2.gz ARZQYQZCWFJDDO-KGLIPLIRSA-N 0 0 444.514 -0.490 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2)nn1 ZINC000544885516 1074557400 /nfs/dbraw/zinc/55/74/00/1074557400.db2.gz ARZQYQZCWFJDDO-UONOGXRCSA-N 0 0 444.514 -0.490 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2)nn1 ZINC000544885517 1074557567 /nfs/dbraw/zinc/55/75/67/1074557567.db2.gz ARZQYQZCWFJDDO-ZIAGYGMSSA-N 0 0 444.514 -0.490 20 0 IBADRN CCN1CCN(Cc2nc(-c3ccc(S(=O)(=O)NCCOC)cc3)no2)C(=O)C1=O ZINC000544894461 1074557983 /nfs/dbraw/zinc/55/79/83/1074557983.db2.gz SULRIZBVZBZNQY-UHFFFAOYSA-N 0 0 437.478 -0.148 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)C2)nn1 ZINC000544895517 1074557835 /nfs/dbraw/zinc/55/78/35/1074557835.db2.gz YNGWUWDJABREOI-GFCCVEGCSA-N 0 0 433.469 -0.707 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)C2)nn1 ZINC000544895518 1074558021 /nfs/dbraw/zinc/55/80/21/1074558021.db2.gz YNGWUWDJABREOI-LBPRGKRZSA-N 0 0 433.469 -0.707 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(Cc3nnnn3-c3ccc(S(C)(=O)=O)cc3)C2)nn1 ZINC000544898506 1074557906 /nfs/dbraw/zinc/55/79/06/1074557906.db2.gz RSSPYAXLMRPFRW-CYBMUJFWSA-N 0 0 432.466 -0.109 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(Cc3nnnn3-c3ccc(S(C)(=O)=O)cc3)C2)nn1 ZINC000544898528 1074557931 /nfs/dbraw/zinc/55/79/31/1074557931.db2.gz RSSPYAXLMRPFRW-ZDUSSCGKSA-N 0 0 432.466 -0.109 20 0 IBADRN Cn1c2ncn(CCc3nc(-c4ccnc(N5CCOCC5)c4)no3)c2c(=O)n(C)c1=O ZINC000544909426 1074558579 /nfs/dbraw/zinc/55/85/79/1074558579.db2.gz ILRPSDHBVHSTBK-UHFFFAOYSA-N 0 0 438.448 -0.042 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccncc2OCC(F)(F)F)CC1 ZINC000544940723 1074561018 /nfs/dbraw/zinc/56/10/18/1074561018.db2.gz QDDXFLWPOJXLFI-UHFFFAOYSA-N 0 0 432.446 -0.066 20 0 IBADRN CCOCCS(=O)(=O)NCc1nc(-c2ccc(C(=O)N3CCN(C)CC3)nc2)no1 ZINC000545041958 1074563263 /nfs/dbraw/zinc/56/32/63/1074563263.db2.gz CFTUMHFPXGWHFC-UHFFFAOYSA-N 0 0 438.510 -0.025 20 0 IBADRN CCN1CCN(Cc2nc(-c3ccnc(N4CCN(C(C)=O)CC4)c3)no2)C(=O)C1=O ZINC000545051601 1074563732 /nfs/dbraw/zinc/56/37/32/1074563732.db2.gz HQVLYCHYORDSNX-UHFFFAOYSA-N 0 0 427.465 -0.009 20 0 IBADRN NC(=O)Cn1cnc(-c2noc(CCc3ccc(S(=O)(=O)N4CCOCC4)cc3)n2)n1 ZINC000545086303 1074564555 /nfs/dbraw/zinc/56/45/55/1074564555.db2.gz FXBPIRNQNQEVHY-UHFFFAOYSA-N 0 0 447.477 -0.381 20 0 IBADRN CCc1nncn1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000545095438 1074564938 /nfs/dbraw/zinc/56/49/38/1074564938.db2.gz JNBPWFSUCUIRHA-UHFFFAOYSA-N 0 0 429.524 -0.160 20 0 IBADRN CCc1noc2ncc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc12 ZINC000545111467 1074565828 /nfs/dbraw/zinc/56/58/28/1074565828.db2.gz ZCAIMSHNXDMWRI-UHFFFAOYSA-N 0 0 445.523 -0.332 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)NC2CC2)c1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000545121665 1074566784 /nfs/dbraw/zinc/56/67/84/1074566784.db2.gz UXJYHGKQMNVTLN-CYBMUJFWSA-N 0 0 434.522 -0.032 20 0 IBADRN C[C@H](Nc1cnn(CC(=O)NC2CC2)c1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000545121666 1074566754 /nfs/dbraw/zinc/56/67/54/1074566754.db2.gz UXJYHGKQMNVTLN-ZDUSSCGKSA-N 0 0 434.522 -0.032 20 0 IBADRN CCN(C(=O)[C@@H](C)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000545121924 1074566699 /nfs/dbraw/zinc/56/66/99/1074566699.db2.gz WFWRHRPNRADRDD-GDBMZVCRSA-N 0 0 427.527 -0.422 20 0 IBADRN CCN(C(=O)[C@H](C)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000545121927 1074566771 /nfs/dbraw/zinc/56/67/71/1074566771.db2.gz WFWRHRPNRADRDD-GOEBONIOSA-N 0 0 427.527 -0.422 20 0 IBADRN CCN(C(=O)[C@H](C)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000545121928 1074566689 /nfs/dbraw/zinc/56/66/89/1074566689.db2.gz WFWRHRPNRADRDD-HOCLYGCPSA-N 0 0 427.527 -0.422 20 0 IBADRN CCN(C(=O)[C@@H](C)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000545121929 1074566777 /nfs/dbraw/zinc/56/67/77/1074566777.db2.gz WFWRHRPNRADRDD-ZBFHGGJFSA-N 0 0 427.527 -0.422 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000545123887 1074566657 /nfs/dbraw/zinc/56/66/57/1074566657.db2.gz NOTWBRNFDFEYRV-CYBMUJFWSA-N 0 0 436.494 -0.172 20 0 IBADRN C[C@H](Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000545123888 1074566727 /nfs/dbraw/zinc/56/67/27/1074566727.db2.gz NOTWBRNFDFEYRV-ZDUSSCGKSA-N 0 0 436.494 -0.172 20 0 IBADRN C[C@H](Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000545124372 1074566790 /nfs/dbraw/zinc/56/67/90/1074566790.db2.gz CCTBPCBDNMRHNO-INIZCTEOSA-N 0 0 428.497 -0.319 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000545124373 1074567192 /nfs/dbraw/zinc/56/71/92/1074567192.db2.gz CCTBPCBDNMRHNO-MRXNPFEDSA-N 0 0 428.497 -0.319 20 0 IBADRN C[C@@H](Nc1cnn(CC(N)=O)c1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000545124718 1074566745 /nfs/dbraw/zinc/56/67/45/1074566745.db2.gz LMEYPDSZJRKIQL-CYBMUJFWSA-N 0 0 436.494 -0.172 20 0 IBADRN C[C@H](Nc1cnn(CC(N)=O)c1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000545124719 1074566833 /nfs/dbraw/zinc/56/68/33/1074566833.db2.gz LMEYPDSZJRKIQL-ZDUSSCGKSA-N 0 0 436.494 -0.172 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccccc1OCCOC ZINC000545125211 1074567139 /nfs/dbraw/zinc/56/71/39/1074567139.db2.gz KBXJXNAAGZKJJM-AWEZNQCLSA-N 0 0 430.479 -0.219 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccccc1OCCOC ZINC000545125212 1074567126 /nfs/dbraw/zinc/56/71/26/1074567126.db2.gz KBXJXNAAGZKJJM-CQSZACIVSA-N 0 0 430.479 -0.219 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000545130557 1074567218 /nfs/dbraw/zinc/56/72/18/1074567218.db2.gz AALYFECOXJUNDX-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3cnn(-c4ncccn4)c3)C2)nn1 ZINC000545147036 1074568270 /nfs/dbraw/zinc/56/82/70/1074568270.db2.gz DVXFTWSBOHNWBN-CYBMUJFWSA-N 0 0 425.409 -0.157 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3cnn(-c4ncccn4)c3)C2)nn1 ZINC000545147037 1074568237 /nfs/dbraw/zinc/56/82/37/1074568237.db2.gz DVXFTWSBOHNWBN-ZDUSSCGKSA-N 0 0 425.409 -0.157 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)C2)nn1 ZINC000545147199 1074568275 /nfs/dbraw/zinc/56/82/75/1074568275.db2.gz OEBUMFHWLKUTDX-GFCCVEGCSA-N 0 0 441.448 -0.204 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)C2)nn1 ZINC000545147200 1074568111 /nfs/dbraw/zinc/56/81/11/1074568111.db2.gz OEBUMFHWLKUTDX-LBPRGKRZSA-N 0 0 441.448 -0.204 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)C2)nn1 ZINC000545147218 1074568295 /nfs/dbraw/zinc/56/82/95/1074568295.db2.gz PNBGACDGZUOSEK-CYBMUJFWSA-N 0 0 439.498 -0.361 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)C2)nn1 ZINC000545147219 1074568265 /nfs/dbraw/zinc/56/82/65/1074568265.db2.gz PNBGACDGZUOSEK-ZDUSSCGKSA-N 0 0 439.498 -0.361 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000545147779 1074568161 /nfs/dbraw/zinc/56/81/61/1074568161.db2.gz UFPGTXGJFYFMKE-GFCCVEGCSA-N 0 0 441.448 -0.204 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000545147780 1074568247 /nfs/dbraw/zinc/56/82/47/1074568247.db2.gz UFPGTXGJFYFMKE-LBPRGKRZSA-N 0 0 441.448 -0.204 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)C2)nn1 ZINC000545148388 1074568100 /nfs/dbraw/zinc/56/81/00/1074568100.db2.gz NOOUIKGNSKIFNO-LLVKDONJSA-N 0 0 429.437 -0.569 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)C2)nn1 ZINC000545148389 1074568173 /nfs/dbraw/zinc/56/81/73/1074568173.db2.gz NOOUIKGNSKIFNO-NSHDSACASA-N 0 0 429.437 -0.569 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000545151836 1074568523 /nfs/dbraw/zinc/56/85/23/1074568523.db2.gz CHTTTYNZJRNRND-GFCCVEGCSA-N 0 0 443.507 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000545151837 1074568607 /nfs/dbraw/zinc/56/86/07/1074568607.db2.gz CHTTTYNZJRNRND-LBPRGKRZSA-N 0 0 443.507 -0.001 20 0 IBADRN C[S@@](=O)Cc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000545156484 1071173463 /nfs/dbraw/zinc/17/34/63/1071173463.db2.gz QQMRIYGAFKJCJL-AREMUKBSSA-N 0 0 433.577 -0.002 20 0 IBADRN C[S@](=O)Cc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000545156485 1071173585 /nfs/dbraw/zinc/17/35/85/1071173585.db2.gz QQMRIYGAFKJCJL-SANMLTNESA-N 0 0 433.577 -0.002 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)C2)nn1 ZINC000545158739 1074568711 /nfs/dbraw/zinc/56/87/11/1074568711.db2.gz ZJOWSLAPJFTXKD-HNNXBMFYSA-N 0 0 435.485 -0.508 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)C2)nn1 ZINC000545158745 1074568660 /nfs/dbraw/zinc/56/86/60/1074568660.db2.gz ZJOWSLAPJFTXKD-OAHLLOKOSA-N 0 0 435.485 -0.508 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000545160298 1074569175 /nfs/dbraw/zinc/56/91/75/1074569175.db2.gz PLQPINWBTIHFJI-GFCCVEGCSA-N 0 0 443.507 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000545160299 1074569043 /nfs/dbraw/zinc/56/90/43/1074569043.db2.gz PLQPINWBTIHFJI-LBPRGKRZSA-N 0 0 443.507 -0.001 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)C2)nn1 ZINC000545160928 1074569141 /nfs/dbraw/zinc/56/91/41/1074569141.db2.gz FAVVAPDFJSTTKL-LLVKDONJSA-N 0 0 429.480 -0.262 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)C2)nn1 ZINC000545160929 1074569109 /nfs/dbraw/zinc/56/91/09/1074569109.db2.gz FAVVAPDFJSTTKL-NSHDSACASA-N 0 0 429.480 -0.262 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)nn1 ZINC000545162215 1074569154 /nfs/dbraw/zinc/56/91/54/1074569154.db2.gz FROUHDXZTFNZDQ-AWEZNQCLSA-N 0 0 446.468 -0.011 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)nn1 ZINC000545162216 1074569179 /nfs/dbraw/zinc/56/91/79/1074569179.db2.gz FROUHDXZTFNZDQ-CQSZACIVSA-N 0 0 446.468 -0.011 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC[C@H](n3cc(C(=O)OC)nn3)C2)cn1 ZINC000545162273 1074569136 /nfs/dbraw/zinc/56/91/36/1074569136.db2.gz GSMWXMYJVKXCMM-AWEZNQCLSA-N 0 0 434.457 -0.107 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC[C@@H](n3cc(C(=O)OC)nn3)C2)cn1 ZINC000545162279 1074569055 /nfs/dbraw/zinc/56/90/55/1074569055.db2.gz GSMWXMYJVKXCMM-CQSZACIVSA-N 0 0 434.457 -0.107 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(CC(=O)NCc3ccc(OCC(N)=O)cc3)C2)nn1 ZINC000545172195 1074569530 /nfs/dbraw/zinc/56/95/30/1074569530.db2.gz RYKAMNMQQXFJOL-HNNXBMFYSA-N 0 0 430.465 -0.118 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(CC(=O)NCc3ccc(OCC(N)=O)cc3)C2)nn1 ZINC000545172198 1074569503 /nfs/dbraw/zinc/56/95/03/1074569503.db2.gz RYKAMNMQQXFJOL-OAHLLOKOSA-N 0 0 430.465 -0.118 20 0 IBADRN C[S@](=O)Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000545174230 1074569521 /nfs/dbraw/zinc/56/95/21/1074569521.db2.gz USVYHCISGMREIC-PMERELPUSA-N 0 0 436.534 -0.493 20 0 IBADRN C[S@@](=O)Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000545174231 1074569544 /nfs/dbraw/zinc/56/95/44/1074569544.db2.gz USVYHCISGMREIC-SSEXGKCCSA-N 0 0 436.534 -0.493 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS1(=O)=O ZINC000545193091 1073592287 /nfs/dbraw/zinc/59/22/87/1073592287.db2.gz CATAQIOGLVZAAA-HNNXBMFYSA-N 0 0 445.563 -0.557 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS1(=O)=O ZINC000545193092 1073592442 /nfs/dbraw/zinc/59/24/42/1073592442.db2.gz CATAQIOGLVZAAA-OAHLLOKOSA-N 0 0 445.563 -0.557 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)n1 ZINC000545196638 1074569995 /nfs/dbraw/zinc/56/99/95/1074569995.db2.gz APYJWKOQNIZPGD-UHFFFAOYSA-N 0 0 425.511 -0.144 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCS(=O)(=O)[C@@H](C)C3)c2)CC1 ZINC000545203369 1073592258 /nfs/dbraw/zinc/59/22/58/1073592258.db2.gz PSXYIJZAEYZDKE-AWEZNQCLSA-N 0 0 443.547 -0.202 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000545204524 1074570367 /nfs/dbraw/zinc/57/03/67/1074570367.db2.gz HJKUIJJXURUDAC-KRWDZBQOSA-N 0 0 427.523 -0.471 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000545204525 1074570256 /nfs/dbraw/zinc/57/02/56/1074570256.db2.gz HJKUIJJXURUDAC-QGZVFWFLSA-N 0 0 427.523 -0.471 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H](C)C(N)=O)c(=O)n2Cc1ccccc1 ZINC000545206419 1074570307 /nfs/dbraw/zinc/57/03/07/1074570307.db2.gz GNQYIOVESCTAKC-CYBMUJFWSA-N 0 0 428.449 -0.956 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(c2ncc(I)cn2)CC1 ZINC000545207657 1071230270 /nfs/dbraw/zinc/23/02/70/1071230270.db2.gz VSOZAGFIPSLKAH-UHFFFAOYSA-N 0 0 429.218 -0.121 20 0 IBADRN CCc1nncn1CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000545261343 1074574493 /nfs/dbraw/zinc/57/44/93/1074574493.db2.gz OJPIBMIEPALNLC-UHFFFAOYSA-N 0 0 436.542 -0.313 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000545266770 1074574926 /nfs/dbraw/zinc/57/49/26/1074574926.db2.gz SQLMJDQGCZOBCU-UHFFFAOYSA-N 0 0 443.504 -0.209 20 0 IBADRN CC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000545268220 1074574895 /nfs/dbraw/zinc/57/48/95/1074574895.db2.gz GYSDQDFRBHNUMM-UHFFFAOYSA-N 0 0 443.504 -0.463 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN3C(C)=O)CC1 ZINC000545270908 1074575315 /nfs/dbraw/zinc/57/53/15/1074575315.db2.gz ARDBOTMHHJVXFY-UHFFFAOYSA-N 0 0 431.493 -0.559 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N[C@H]3CCCN(c4nccn(C)c4=O)C3)OCC(=O)N2C)cn1 ZINC000545299405 1074576958 /nfs/dbraw/zinc/57/69/58/1074576958.db2.gz QOIDIVYIICXUFA-BHYGNILZSA-N 0 0 429.481 -0.803 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N[C@@H]3CCCN(c4nccn(C)c4=O)C3)OCC(=O)N2C)cn1 ZINC000545299406 1074576893 /nfs/dbraw/zinc/57/68/93/1074576893.db2.gz QOIDIVYIICXUFA-HYVNUMGLSA-N 0 0 429.481 -0.803 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cccc(C(=O)N4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC000545304146 1074576990 /nfs/dbraw/zinc/57/69/90/1074576990.db2.gz BOTVYMJQANLKIB-UHFFFAOYSA-N 0 0 440.460 -0.778 20 0 IBADRN Cn1c(C(=O)NCc2cccc(C(=O)N3CCOCC3)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000545307204 1074576838 /nfs/dbraw/zinc/57/68/38/1074576838.db2.gz FGAWARSIPLYPJM-UHFFFAOYSA-N 0 0 439.472 -0.022 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H]2CCN(C)C2=O)c1OC ZINC000545310600 1074577309 /nfs/dbraw/zinc/57/73/09/1074577309.db2.gz AYHWQZYPFUAWGL-AWEZNQCLSA-N 0 0 427.479 -0.315 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2CCN(C)C2=O)c1OC ZINC000545310601 1074577370 /nfs/dbraw/zinc/57/73/70/1074577370.db2.gz AYHWQZYPFUAWGL-CQSZACIVSA-N 0 0 427.479 -0.315 20 0 IBADRN Cc1c(C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000545323164 1074577322 /nfs/dbraw/zinc/57/73/22/1074577322.db2.gz IATVZYRIZYASMV-UHFFFAOYSA-N 0 0 435.506 -0.373 20 0 IBADRN Cc1cc(C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000545323245 1074577282 /nfs/dbraw/zinc/57/72/82/1074577282.db2.gz ZLDREBVMRYWHEP-UHFFFAOYSA-N 0 0 435.506 -0.373 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000545323638 1074577335 /nfs/dbraw/zinc/57/73/35/1074577335.db2.gz VMZQUOIRSXDZMW-GXTWGEPZSA-N 0 0 433.552 -0.905 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000545323639 1074577316 /nfs/dbraw/zinc/57/73/16/1074577316.db2.gz VMZQUOIRSXDZMW-JSGCOSHPSA-N 0 0 433.552 -0.905 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000545323640 1074577300 /nfs/dbraw/zinc/57/73/00/1074577300.db2.gz VMZQUOIRSXDZMW-OCCSQVGLSA-N 0 0 433.552 -0.905 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000545323641 1074577355 /nfs/dbraw/zinc/57/73/55/1074577355.db2.gz VMZQUOIRSXDZMW-TZMCWYRMSA-N 0 0 433.552 -0.905 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000545326643 1074577675 /nfs/dbraw/zinc/57/76/75/1074577675.db2.gz HNJXDRGDKHPRIC-CYBMUJFWSA-N 0 0 444.897 -0.354 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000545326645 1074577776 /nfs/dbraw/zinc/57/77/76/1074577776.db2.gz HNJXDRGDKHPRIC-ZDUSSCGKSA-N 0 0 444.897 -0.354 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCN(C)C3=O)cc2)C[C@H](C)O1 ZINC000545326660 1074577663 /nfs/dbraw/zinc/57/76/63/1074577663.db2.gz KUGXPWQVCVWOEX-DVOMOZLQSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCN(C)C3=O)cc2)C[C@@H](C)O1 ZINC000545326665 1074577679 /nfs/dbraw/zinc/57/76/79/1074577679.db2.gz KUGXPWQVCVWOEX-IOASZLSFSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCN(C)C3=O)cc2)C[C@@H](C)O1 ZINC000545326666 1074577783 /nfs/dbraw/zinc/57/77/83/1074577783.db2.gz KUGXPWQVCVWOEX-XJKCOSOUSA-N 0 0 438.506 -0.230 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCN(C)C3=O)cc2)C[C@H](C)O1 ZINC000545326667 1074577729 /nfs/dbraw/zinc/57/77/29/1074577729.db2.gz KUGXPWQVCVWOEX-ZENOOKHLSA-N 0 0 438.506 -0.230 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)C1=O ZINC000545327008 1074577377 /nfs/dbraw/zinc/57/73/77/1074577377.db2.gz JFXLCRVHNAGXJR-HNNXBMFYSA-N 0 0 435.456 -0.228 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(NC(=O)CCN3CCOCC3)c2)C1=O ZINC000545327009 1074577696 /nfs/dbraw/zinc/57/76/96/1074577696.db2.gz JFXLCRVHNAGXJR-OAHLLOKOSA-N 0 0 435.456 -0.228 20 0 IBADRN COc1ccccc1C(=O)NCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000545328243 1074577832 /nfs/dbraw/zinc/57/78/32/1074577832.db2.gz WJJMIFSCPQNBDU-UHFFFAOYSA-N 0 0 426.495 -0.854 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(c3nccn(C)c3=O)CC2)CC1 ZINC000545333087 1074577745 /nfs/dbraw/zinc/57/77/45/1074577745.db2.gz HDCWUBVTYWSOQD-UHFFFAOYSA-N 0 0 426.543 -0.326 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000545333752 1074577752 /nfs/dbraw/zinc/57/77/52/1074577752.db2.gz RXKXMJTUEFAFER-UHFFFAOYSA-N 0 0 445.586 -0.766 20 0 IBADRN CNC(=O)C1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000545333824 1074577710 /nfs/dbraw/zinc/57/77/10/1074577710.db2.gz JNBQDTNJZCIFQZ-JUVOFCAFSA-N 0 0 445.586 -0.028 20 0 IBADRN CNC(=O)C1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000545333825 1074577826 /nfs/dbraw/zinc/57/78/26/1074577826.db2.gz JNBQDTNJZCIFQZ-NUWOQIAWSA-N 0 0 445.586 -0.028 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000545333924 1074577767 /nfs/dbraw/zinc/57/77/67/1074577767.db2.gz VIYQQNNCKWAZPV-UHFFFAOYSA-N 0 0 445.586 -0.766 20 0 IBADRN O=C(NCc1ccc2c(c1)C(=O)NCC2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000545334575 1074577688 /nfs/dbraw/zinc/57/76/88/1074577688.db2.gz HQTNERKNSUTOCI-UHFFFAOYSA-N 0 0 429.477 -0.815 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCCC1 ZINC000545349186 1074578278 /nfs/dbraw/zinc/57/82/78/1074578278.db2.gz BAIYOMWAABBNLL-AWEZNQCLSA-N 0 0 431.536 -0.003 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(c2ncc(F)cn2)CC1 ZINC000545357285 1074578177 /nfs/dbraw/zinc/57/81/77/1074578177.db2.gz XXSDIFPDZWKOOI-UHFFFAOYSA-N 0 0 448.480 -0.301 20 0 IBADRN CC(C)(C)C(=O)Nc1ccncc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000545362458 1074578740 /nfs/dbraw/zinc/57/87/40/1074578740.db2.gz ZQGMKSXBNNUVNF-UHFFFAOYSA-N 0 0 431.493 -0.369 20 0 IBADRN CC1(Cn2cc(C(=O)N3CCN(c4cc(N5CCOCC5)ncn4)CC3)nn2)COC1 ZINC000545364800 1074578681 /nfs/dbraw/zinc/57/86/81/1074578681.db2.gz RKRQHGRLGKZRIC-UHFFFAOYSA-N 0 0 428.497 -0.096 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCCC4)c3)C[C@@H]12 ZINC000545367930 1074578609 /nfs/dbraw/zinc/57/86/09/1074578609.db2.gz RNHGYPYACRXQPE-HNNXBMFYSA-N 0 0 442.519 -0.214 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCCC4)c3)C[C@H]12 ZINC000545367931 1074578734 /nfs/dbraw/zinc/57/87/34/1074578734.db2.gz RNHGYPYACRXQPE-OAHLLOKOSA-N 0 0 442.519 -0.214 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c(OC)c1 ZINC000545368203 1071331096 /nfs/dbraw/zinc/33/10/96/1071331096.db2.gz YRFXUBVGRARWAK-LLVKDONJSA-N 0 0 432.480 -0.619 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c(OC)c1 ZINC000545368205 1074578619 /nfs/dbraw/zinc/57/86/19/1074578619.db2.gz YRFXUBVGRARWAK-NSHDSACASA-N 0 0 432.480 -0.619 20 0 IBADRN COC(=O)c1cn(C2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)nn1 ZINC000545369240 1074578726 /nfs/dbraw/zinc/57/87/26/1074578726.db2.gz HLJBHNJNXRXVHL-UHFFFAOYSA-N 0 0 429.480 -0.262 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1F ZINC000545369456 1071332214 /nfs/dbraw/zinc/33/22/14/1071332214.db2.gz FIBJYNQURJKMTG-CYBMUJFWSA-N 0 0 434.471 -0.098 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1F ZINC000545369459 1074579146 /nfs/dbraw/zinc/57/91/46/1074579146.db2.gz FIBJYNQURJKMTG-ZDUSSCGKSA-N 0 0 434.471 -0.098 20 0 IBADRN CC(C)(CS(C)(=O)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000545369613 1074579109 /nfs/dbraw/zinc/57/91/09/1074579109.db2.gz NENJKBNIFITKPR-UHFFFAOYSA-N 0 0 440.565 -0.191 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCC4)c3)C[C@@H]12 ZINC000545369721 1074579150 /nfs/dbraw/zinc/57/91/50/1074579150.db2.gz MVGNLLGVOQUVPS-AWEZNQCLSA-N 0 0 428.492 -0.604 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCC4)c3)C[C@H]12 ZINC000545369722 1074579119 /nfs/dbraw/zinc/57/91/19/1074579119.db2.gz MVGNLLGVOQUVPS-CQSZACIVSA-N 0 0 428.492 -0.604 20 0 IBADRN COC(=O)c1cn(C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)nn1 ZINC000545369832 1074579051 /nfs/dbraw/zinc/57/90/51/1074579051.db2.gz IPJGLOXGNMDBOQ-UHFFFAOYSA-N 0 0 429.480 -0.262 20 0 IBADRN COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000545371536 1074579124 /nfs/dbraw/zinc/57/91/24/1074579124.db2.gz ICBGBKRXILDYGG-AWEZNQCLSA-N 0 0 444.488 -0.074 20 0 IBADRN COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000545371537 1074579154 /nfs/dbraw/zinc/57/91/54/1074579154.db2.gz ICBGBKRXILDYGG-CQSZACIVSA-N 0 0 444.488 -0.074 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C[C@H](C)C(=O)OC)cc1 ZINC000545374330 1074579156 /nfs/dbraw/zinc/57/91/56/1074579156.db2.gz SSUMRDWVBDFVOR-BBRMVZONSA-N 0 0 432.477 -0.170 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C[C@@H](C)C(=O)OC)cc1 ZINC000545374336 1074579562 /nfs/dbraw/zinc/57/95/62/1074579562.db2.gz SSUMRDWVBDFVOR-CJNGLKHVSA-N 0 0 432.477 -0.170 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C[C@@H](C)C(=O)OC)cc1 ZINC000545374338 1074579615 /nfs/dbraw/zinc/57/96/15/1074579615.db2.gz SSUMRDWVBDFVOR-CZUORRHYSA-N 0 0 432.477 -0.170 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C[C@H](C)C(=O)OC)cc1 ZINC000545374339 1074579531 /nfs/dbraw/zinc/57/95/31/1074579531.db2.gz SSUMRDWVBDFVOR-XJKSGUPXSA-N 0 0 432.477 -0.170 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000545375511 1074579547 /nfs/dbraw/zinc/57/95/47/1074579547.db2.gz ZILFBJYTSNAMKQ-UHFFFAOYSA-N 0 0 438.550 -0.470 20 0 IBADRN COc1cc2c(cc1C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)OCO2 ZINC000545377281 1074579519 /nfs/dbraw/zinc/57/95/19/1074579519.db2.gz JIHJGQUXSCEBDI-UHFFFAOYSA-N 0 0 426.495 -0.326 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N(CCN2CCOCC2)CC(=O)OC)cc1 ZINC000545379128 1074579607 /nfs/dbraw/zinc/57/96/07/1074579607.db2.gz YEDPWEDDEVLULA-UHFFFAOYSA-N 0 0 427.523 -0.139 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)c2ccccc2O1 ZINC000545380164 1074579647 /nfs/dbraw/zinc/57/96/47/1074579647.db2.gz RYAYHJXCIQUPSY-INIZCTEOSA-N 0 0 428.424 -0.196 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)c2ccccc2O1 ZINC000545380165 1074579466 /nfs/dbraw/zinc/57/94/66/1074579466.db2.gz RYAYHJXCIQUPSY-MRXNPFEDSA-N 0 0 428.424 -0.196 20 0 IBADRN COc1cc2c(cc1C(=O)N1CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC1)OCO2 ZINC000545389375 1074579950 /nfs/dbraw/zinc/57/99/50/1074579950.db2.gz VFJPMAIROYKPFH-CYBMUJFWSA-N 0 0 439.490 -0.515 20 0 IBADRN COc1cc2c(cc1C(=O)N1CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC1)OCO2 ZINC000545389376 1074579981 /nfs/dbraw/zinc/57/99/81/1074579981.db2.gz VFJPMAIROYKPFH-ZDUSSCGKSA-N 0 0 439.490 -0.515 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000545389592 1074579785 /nfs/dbraw/zinc/57/97/85/1074579785.db2.gz DZXGHBYAELZMDB-HDEZJCGLSA-N 0 0 437.497 -0.232 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000545389595 1074579882 /nfs/dbraw/zinc/57/98/82/1074579882.db2.gz DZXGHBYAELZMDB-NXNVCVFFSA-N 0 0 437.497 -0.232 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000545389596 1074579940 /nfs/dbraw/zinc/57/99/40/1074579940.db2.gz DZXGHBYAELZMDB-TXCZRRACSA-N 0 0 437.497 -0.232 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000545389597 1074579971 /nfs/dbraw/zinc/57/99/71/1074579971.db2.gz DZXGHBYAELZMDB-YALNPMBYSA-N 0 0 437.497 -0.232 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)C1CC(O)C1 ZINC000545390591 1074579928 /nfs/dbraw/zinc/57/99/28/1074579928.db2.gz AGEZRANEETUTTQ-UHFFFAOYSA-N 0 0 427.479 -0.364 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)CC2)n1 ZINC000545391318 1074579800 /nfs/dbraw/zinc/57/98/00/1074579800.db2.gz DNHZXMMDTNKJMZ-UHFFFAOYSA-N 0 0 428.497 -0.399 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)cc3)CC2)nn1 ZINC000545391564 1074580010 /nfs/dbraw/zinc/58/00/10/1074580010.db2.gz UWIVGFJJNSAGAG-UHFFFAOYSA-N 0 0 425.409 -0.157 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)cc1OCC(N)=O ZINC000545391965 1074579841 /nfs/dbraw/zinc/57/98/41/1074579841.db2.gz LZDCAXNWWCJBRB-UHFFFAOYSA-N 0 0 428.449 -0.055 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N(C)C2CC(O)C2)c1 ZINC000545392586 1074579961 /nfs/dbraw/zinc/57/99/61/1074579961.db2.gz XKGMIANIBQMIDS-UHFFFAOYSA-N 0 0 440.522 -0.306 20 0 IBADRN CCN1c2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2CCC1=O ZINC000545392909 1074579812 /nfs/dbraw/zinc/57/98/12/1074579812.db2.gz XAFAHBRGHBRQSY-UHFFFAOYSA-N 0 0 437.522 -0.643 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)cc1 ZINC000545393736 1074579890 /nfs/dbraw/zinc/57/98/90/1074579890.db2.gz ZZZHMVFZOXALIL-UHFFFAOYSA-N 0 0 440.460 -0.025 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC(n2cc(C(=O)OC)nn2)CC1 ZINC000545393831 1073340794 /nfs/dbraw/zinc/34/07/94/1073340794.db2.gz NTIHVJHBNXPNET-UHFFFAOYSA-N 0 0 433.425 -0.223 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@]12CCCO2 ZINC000545393849 1074580000 /nfs/dbraw/zinc/58/00/00/1074580000.db2.gz OKVBPGHJAURMRZ-ARGWCVDVSA-N 0 0 431.449 -0.587 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@@]12CCCO2 ZINC000545393850 1074579918 /nfs/dbraw/zinc/57/99/18/1074579918.db2.gz OKVBPGHJAURMRZ-JZKQVHKSSA-N 0 0 431.449 -0.587 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@]12CCCO2 ZINC000545393851 1074580023 /nfs/dbraw/zinc/58/00/23/1074580023.db2.gz OKVBPGHJAURMRZ-LRDNONRASA-N 0 0 431.449 -0.587 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@@]12CCCO2 ZINC000545393852 1074579989 /nfs/dbraw/zinc/57/99/89/1074579989.db2.gz OKVBPGHJAURMRZ-MNVSYLFESA-N 0 0 431.449 -0.587 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(c3ccnc(C)n3)CC1)CC2 ZINC000545394009 1074579858 /nfs/dbraw/zinc/57/98/58/1074579858.db2.gz TVLDZCMVKWMVFK-UHFFFAOYSA-N 0 0 444.517 -0.069 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccc(O)c1 ZINC000545394932 1074580509 /nfs/dbraw/zinc/58/05/09/1074580509.db2.gz YDBISVVSWITSES-NRFANRHFSA-N 0 0 448.520 -0.411 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccc(O)c1 ZINC000545394935 1074580546 /nfs/dbraw/zinc/58/05/46/1074580546.db2.gz YDBISVVSWITSES-OAQYLSRUSA-N 0 0 448.520 -0.411 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(n3cc(C(=O)OC)nn3)CC2)c(=O)[nH]c1=O ZINC000545396080 1074580347 /nfs/dbraw/zinc/58/03/47/1074580347.db2.gz UOIRFCDUIDVFAX-UHFFFAOYSA-N 0 0 435.441 -0.925 20 0 IBADRN Cc1nccc(N2CCN(CC(=O)N3CCN(c4ncnc5c4cnn5C)CC3)CC2)n1 ZINC000545397170 1074580362 /nfs/dbraw/zinc/58/03/62/1074580362.db2.gz JSXLXIVRBZFJLK-UHFFFAOYSA-N 0 0 436.524 -0.067 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)nn1 ZINC000545402355 1074580575 /nfs/dbraw/zinc/58/05/75/1074580575.db2.gz ZFTJUOZJVNBMDJ-UHFFFAOYSA-N 0 0 429.437 -0.569 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3cc(C4CC4)nc4c3c(=O)[nH]c(=O)n4C)C2)nn1 ZINC000545404330 1074581034 /nfs/dbraw/zinc/58/10/34/1074581034.db2.gz PNIPDJWFVOQCNJ-UHFFFAOYSA-N 0 0 425.405 -0.013 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)Cc2ccccc2)CC1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000545405473 1074580948 /nfs/dbraw/zinc/58/09/48/1074580948.db2.gz FGDDKIAYUKLJLF-INIZCTEOSA-N 0 0 435.506 -0.074 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)Cc2ccccc2)CC1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000545405476 1074580988 /nfs/dbraw/zinc/58/09/88/1074580988.db2.gz FGDDKIAYUKLJLF-MRXNPFEDSA-N 0 0 435.506 -0.074 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)nn1 ZINC000545406215 1074580863 /nfs/dbraw/zinc/58/08/63/1074580863.db2.gz VVIXQZSNRGNWPX-UHFFFAOYSA-N 0 0 427.421 -0.512 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000545409665 1074580806 /nfs/dbraw/zinc/58/08/06/1074580806.db2.gz XOXMLUCITUDUTN-GFCCVEGCSA-N 0 0 436.469 -0.270 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000545409666 1074581021 /nfs/dbraw/zinc/58/10/21/1074581021.db2.gz XOXMLUCITUDUTN-LBPRGKRZSA-N 0 0 436.469 -0.270 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000545411603 1074580939 /nfs/dbraw/zinc/58/09/39/1074580939.db2.gz ZTFHJFVJXJGKFX-GFCCVEGCSA-N 0 0 425.490 -0.018 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000545411604 1074580927 /nfs/dbraw/zinc/58/09/27/1074580927.db2.gz ZTFHJFVJXJGKFX-LBPRGKRZSA-N 0 0 425.490 -0.018 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000545414782 1074580835 /nfs/dbraw/zinc/58/08/35/1074580835.db2.gz ZWKVRFSOUJSHRH-AWEZNQCLSA-N 0 0 425.467 -0.943 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000545414783 1074580871 /nfs/dbraw/zinc/58/08/71/1074580871.db2.gz ZWKVRFSOUJSHRH-CQSZACIVSA-N 0 0 425.467 -0.943 20 0 IBADRN CN(C[C@H](O)CO)C(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000545421073 1074581319 /nfs/dbraw/zinc/58/13/19/1074581319.db2.gz YUULHPJLIXXASG-ZDUSSCGKSA-N 0 0 427.479 -0.419 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccncc2I)CC1 ZINC000545425490 1071344793 /nfs/dbraw/zinc/34/47/93/1071344793.db2.gz FLRNQLUSLDPVNE-UHFFFAOYSA-N 0 0 439.279 -0.454 20 0 IBADRN O=C(CCNC(=O)N1CCN(c2ncc(F)cn2)CC1)N1CCN(c2ncccn2)CC1 ZINC000545434810 1074582518 /nfs/dbraw/zinc/58/25/18/1074582518.db2.gz UTBYLUUNLUJSPU-UHFFFAOYSA-N 0 0 443.487 -0.024 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(c4ncc(F)cn4)CC3)cc2)c1=O ZINC000545436643 1071346543 /nfs/dbraw/zinc/34/65/43/1071346543.db2.gz GFQXLZAAKQQMDV-UHFFFAOYSA-N 0 0 426.412 -0.213 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)cc1 ZINC000545437198 1074582572 /nfs/dbraw/zinc/58/25/72/1074582572.db2.gz OGANENGESASEEH-UHFFFAOYSA-N 0 0 444.423 -0.194 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)cc1OCC(N)=O ZINC000545441023 1074582921 /nfs/dbraw/zinc/58/29/21/1074582921.db2.gz ZKPUCXRJIYMHON-UHFFFAOYSA-N 0 0 432.412 -0.224 20 0 IBADRN COCCN(C(=O)CCC(=O)N(C)C1CC(O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000545444220 1074583239 /nfs/dbraw/zinc/58/32/39/1074583239.db2.gz NPGZJYOLVYCAJL-UHFFFAOYSA-N 0 0 439.513 -0.072 20 0 IBADRN COc1ccc(NC(=O)Cn2nc3cnccn3c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000545446129 1074583602 /nfs/dbraw/zinc/58/36/02/1074583602.db2.gz ZRBQFIYKUCRJBI-UHFFFAOYSA-N 0 0 448.461 -0.441 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)[N-]c2cnn(CC(=O)NCC[NH+](C)C)c2)cn1C ZINC000545446425 1074583663 /nfs/dbraw/zinc/58/36/63/1074583663.db2.gz IRBAESIYYMTBLK-UHFFFAOYSA-N 0 0 426.499 -0.123 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000545449619 1074584043 /nfs/dbraw/zinc/58/40/43/1074584043.db2.gz BEXLMGHRVVJCHQ-UHFFFAOYSA-N 0 0 438.550 -0.470 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000545450379 1074583954 /nfs/dbraw/zinc/58/39/54/1074583954.db2.gz RAYXPRFGYLLWNO-GOSISDBHSA-N 0 0 447.565 -0.004 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000545450380 1074583921 /nfs/dbraw/zinc/58/39/21/1074583921.db2.gz RAYXPRFGYLLWNO-SFHVURJKSA-N 0 0 447.565 -0.004 20 0 IBADRN CN(CC(=O)NCC[C@H](NC(=O)CN(C)S(C)(=O)=O)c1ccccc1)S(C)(=O)=O ZINC000545450432 1074584003 /nfs/dbraw/zinc/58/40/03/1074584003.db2.gz IUFXCSCMSXTSOH-HNNXBMFYSA-N 0 0 448.567 -0.867 20 0 IBADRN CN(CC(=O)NCC[C@@H](NC(=O)CN(C)S(C)(=O)=O)c1ccccc1)S(C)(=O)=O ZINC000545450433 1074584012 /nfs/dbraw/zinc/58/40/12/1074584012.db2.gz IUFXCSCMSXTSOH-OAHLLOKOSA-N 0 0 448.567 -0.867 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000545450649 1074584234 /nfs/dbraw/zinc/58/42/34/1074584234.db2.gz OGPZRJARLBIHKE-HNNXBMFYSA-N 0 0 444.510 -0.531 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)NCC[C@H](NC(=O)CS(=O)(=O)N(C)C)c1ccccc1 ZINC000545450804 1074584032 /nfs/dbraw/zinc/58/40/32/1074584032.db2.gz TVGWFIREVQCBBK-HNNXBMFYSA-N 0 0 448.567 -0.867 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)NCC[C@@H](NC(=O)CS(=O)(=O)N(C)C)c1ccccc1 ZINC000545450805 1074584364 /nfs/dbraw/zinc/58/43/64/1074584364.db2.gz TVGWFIREVQCBBK-OAHLLOKOSA-N 0 0 448.567 -0.867 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)C2)nn1 ZINC000545452906 1074584710 /nfs/dbraw/zinc/58/47/10/1074584710.db2.gz YTQRTVAKPIFWFT-LLVKDONJSA-N 0 0 427.421 -0.594 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)C2)nn1 ZINC000545452907 1074584635 /nfs/dbraw/zinc/58/46/35/1074584635.db2.gz YTQRTVAKPIFWFT-NSHDSACASA-N 0 0 427.421 -0.594 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)C2)nn1 ZINC000545453084 1074584283 /nfs/dbraw/zinc/58/42/83/1074584283.db2.gz IBUZXTVVFZOJKP-INIZCTEOSA-N 0 0 438.444 -0.090 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)C2)nn1 ZINC000545453085 1074584374 /nfs/dbraw/zinc/58/43/74/1074584374.db2.gz IBUZXTVVFZOJKP-MRXNPFEDSA-N 0 0 438.444 -0.090 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(=O)n(-c3cnn(C)c3)c2)c1 ZINC000545457998 1074584672 /nfs/dbraw/zinc/58/46/72/1074584672.db2.gz QPMVZEIDCCEPPR-UHFFFAOYSA-N 0 0 444.473 -0.152 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(F)ccc1C(=O)OC ZINC000545464515 1074584959 /nfs/dbraw/zinc/58/49/59/1074584959.db2.gz FBJPMJKHOGGFMI-CYBMUJFWSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(F)ccc1C(=O)OC ZINC000545464516 1074584989 /nfs/dbraw/zinc/58/49/89/1074584989.db2.gz FBJPMJKHOGGFMI-ZDUSSCGKSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc2c(cc1F)NC(=O)CC2 ZINC000545464615 1074584901 /nfs/dbraw/zinc/58/49/01/1074584901.db2.gz HBKCJIWSHHQXGQ-AWEZNQCLSA-N 0 0 443.453 -0.221 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc2c(cc1F)NC(=O)CC2 ZINC000545464616 1074584870 /nfs/dbraw/zinc/58/48/70/1074584870.db2.gz HBKCJIWSHHQXGQ-CQSZACIVSA-N 0 0 443.453 -0.221 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)oc1CC ZINC000545464649 1074584932 /nfs/dbraw/zinc/58/49/32/1074584932.db2.gz GUUSBWHVXNGFGJ-LLVKDONJSA-N 0 0 432.451 -0.303 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)oc1CC ZINC000545464662 1071353570 /nfs/dbraw/zinc/35/35/70/1071353570.db2.gz GUUSBWHVXNGFGJ-NSHDSACASA-N 0 0 432.451 -0.303 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(F)c1C(=O)OC ZINC000545464807 1074584972 /nfs/dbraw/zinc/58/49/72/1074584972.db2.gz KCDQGZQFGJPFSN-GFCCVEGCSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(F)c1C(=O)OC ZINC000545464808 1074584950 /nfs/dbraw/zinc/58/49/50/1074584950.db2.gz KCDQGZQFGJPFSN-LBPRGKRZSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)S(=O)(=O)CC2 ZINC000545464935 1074584663 /nfs/dbraw/zinc/58/46/63/1074584663.db2.gz CPHGIRTXKXDBNO-AWEZNQCLSA-N 0 0 446.503 -0.915 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)S(=O)(=O)CC2 ZINC000545464942 1074584719 /nfs/dbraw/zinc/58/47/19/1074584719.db2.gz CPHGIRTXKXDBNO-CQSZACIVSA-N 0 0 446.503 -0.915 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1c(C)[nH]c(C(=O)OCC)c1C ZINC000545465056 1074584657 /nfs/dbraw/zinc/58/46/57/1074584657.db2.gz MWBYIJUSHMFSRS-CYBMUJFWSA-N 0 0 445.494 -0.123 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1c(C)[nH]c(C(=O)OCC)c1C ZINC000545465073 1074584669 /nfs/dbraw/zinc/58/46/69/1074584669.db2.gz MWBYIJUSHMFSRS-ZDUSSCGKSA-N 0 0 445.494 -0.123 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1OC ZINC000545465193 1074584651 /nfs/dbraw/zinc/58/46/51/1074584651.db2.gz QUNZRFSPKDQGJK-CYBMUJFWSA-N 0 0 444.462 -0.449 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1OC ZINC000545465198 1074584693 /nfs/dbraw/zinc/58/46/93/1074584693.db2.gz QUNZRFSPKDQGJK-ZDUSSCGKSA-N 0 0 444.462 -0.449 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(NC(C)=O)ccc1OC ZINC000545466452 1074584996 /nfs/dbraw/zinc/58/49/96/1074584996.db2.gz PQNTWTOQFPVMSN-AWEZNQCLSA-N 0 0 443.478 -0.278 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(NC(C)=O)ccc1OC ZINC000545466453 1074584905 /nfs/dbraw/zinc/58/49/05/1074584905.db2.gz PQNTWTOQFPVMSN-CQSZACIVSA-N 0 0 443.478 -0.278 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000545469096 1074584941 /nfs/dbraw/zinc/58/49/41/1074584941.db2.gz IQGFLQOQJVLQRH-HNNXBMFYSA-N 0 0 449.551 -0.081 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cn1C ZINC000545470940 1074584916 /nfs/dbraw/zinc/58/49/16/1074584916.db2.gz SHHXSVLJNDMGLF-CYBMUJFWSA-N 0 0 437.540 -0.031 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cn1C ZINC000545470941 1074585275 /nfs/dbraw/zinc/58/52/75/1074585275.db2.gz SHHXSVLJNDMGLF-ZDUSSCGKSA-N 0 0 437.540 -0.031 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(S(=O)(=O)c3cccc(S(=O)(=O)N(C)C)c3)C2)nn1 ZINC000545471263 1074584894 /nfs/dbraw/zinc/58/48/94/1074584894.db2.gz XMTPGIIIFPPFSN-GFCCVEGCSA-N 0 0 443.507 -0.049 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(S(=O)(=O)c3cccc(S(=O)(=O)N(C)C)c3)C2)nn1 ZINC000545471264 1074585025 /nfs/dbraw/zinc/58/50/25/1074585025.db2.gz XMTPGIIIFPPFSN-LBPRGKRZSA-N 0 0 443.507 -0.049 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N(C)C)cc3)C2)nn1 ZINC000545471794 1071354862 /nfs/dbraw/zinc/35/48/62/1071354862.db2.gz QSGUYLADCQPDNX-GFCCVEGCSA-N 0 0 443.507 -0.049 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N(C)C)cc3)C2)nn1 ZINC000545471795 1071354800 /nfs/dbraw/zinc/35/48/00/1071354800.db2.gz QSGUYLADCQPDNX-LBPRGKRZSA-N 0 0 443.507 -0.049 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000545475329 1074585419 /nfs/dbraw/zinc/58/54/19/1074585419.db2.gz JHFHDUQHINJRNB-LLVKDONJSA-N 0 0 429.480 -0.392 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000545475330 1074585252 /nfs/dbraw/zinc/58/52/52/1074585252.db2.gz JHFHDUQHINJRNB-NSHDSACASA-N 0 0 429.480 -0.392 20 0 IBADRN O=C1NC[C@@H]2[C@H]1CCCN2S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000545478196 1074585339 /nfs/dbraw/zinc/58/53/39/1074585339.db2.gz ZWVJAJGCTKWXLW-HZPDHXFCSA-N 0 0 429.520 -0.393 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)C2)nn1 ZINC000545481680 1074585290 /nfs/dbraw/zinc/58/52/90/1074585290.db2.gz OFYGANHKUCHDIF-KBPBESRZSA-N 0 0 430.469 -0.054 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)C2)nn1 ZINC000545481681 1074585413 /nfs/dbraw/zinc/58/54/13/1074585413.db2.gz OFYGANHKUCHDIF-KGLIPLIRSA-N 0 0 430.469 -0.054 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)C2)nn1 ZINC000545481682 1074585282 /nfs/dbraw/zinc/58/52/82/1074585282.db2.gz OFYGANHKUCHDIF-UONOGXRCSA-N 0 0 430.469 -0.054 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)C2)nn1 ZINC000545481683 1074585241 /nfs/dbraw/zinc/58/52/41/1074585241.db2.gz OFYGANHKUCHDIF-ZIAGYGMSSA-N 0 0 430.469 -0.054 20 0 IBADRN O=C(Cn1nc2cnccn2c1=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000545483526 1074585360 /nfs/dbraw/zinc/58/53/60/1074585360.db2.gz CACUZVNTQBNVKW-UHFFFAOYSA-N 0 0 438.416 -0.298 20 0 IBADRN Cn1cc(-n2cc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)ccc2=O)cn1 ZINC000545484793 1074585428 /nfs/dbraw/zinc/58/54/28/1074585428.db2.gz OTEDFKPAGYLAFA-UHFFFAOYSA-N 0 0 428.478 -0.181 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cncnc2N(C)C)CC1 ZINC000545485712 1074585301 /nfs/dbraw/zinc/58/53/01/1074585301.db2.gz RNJJNVGVDJJEQK-UHFFFAOYSA-N 0 0 428.515 -0.620 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1OC(C)C ZINC000545486436 1074585398 /nfs/dbraw/zinc/58/53/98/1074585398.db2.gz JNGYPECLULWOBO-UHFFFAOYSA-N 0 0 428.511 -0.146 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000545487006 1074585637 /nfs/dbraw/zinc/58/56/37/1074585637.db2.gz UJQTUKQHRZRABF-CYBMUJFWSA-N 0 0 428.449 -0.078 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000545487007 1074585738 /nfs/dbraw/zinc/58/57/38/1074585738.db2.gz UJQTUKQHRZRABF-ZDUSSCGKSA-N 0 0 428.449 -0.078 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1)CN1CCOCC1 ZINC000545487928 1074585779 /nfs/dbraw/zinc/58/57/79/1074585779.db2.gz JNMRRBHSZGXRPJ-JOCHJYFZSA-N 0 0 446.504 -0.136 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1)CN1CCOCC1 ZINC000545487929 1074585748 /nfs/dbraw/zinc/58/57/48/1074585748.db2.gz JNMRRBHSZGXRPJ-QFIPXVFZSA-N 0 0 446.504 -0.136 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cncnc2N(C)C)CC1 ZINC000545488116 1074585757 /nfs/dbraw/zinc/58/57/57/1074585757.db2.gz NCXNIQULRGTXFQ-UHFFFAOYSA-N 0 0 447.521 -0.262 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cncnc2N(C)C)CC1 ZINC000545488595 1074586071 /nfs/dbraw/zinc/58/60/71/1074586071.db2.gz XZQJZXYVEINTCD-UHFFFAOYSA-N 0 0 437.482 -0.381 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000545489020 1074585734 /nfs/dbraw/zinc/58/57/34/1074585734.db2.gz NDSHGGNRUKUICJ-UHFFFAOYSA-N 0 0 433.494 -0.523 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)cc2S(=O)(=O)CC)CC1 ZINC000545489242 1074585743 /nfs/dbraw/zinc/58/57/43/1074585743.db2.gz ULRNTSKZRBZLOM-UHFFFAOYSA-N 0 0 428.486 -0.162 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)C2)nn1 ZINC000545489820 1074586037 /nfs/dbraw/zinc/58/60/37/1074586037.db2.gz YFAXQEMPEHSCNW-GFCCVEGCSA-N 0 0 436.450 -0.567 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(C)c(S(N)(=O)=O)c3)C2)nn1 ZINC000545489821 1074586026 /nfs/dbraw/zinc/58/60/26/1074586026.db2.gz YFAXQEMPEHSCNW-LBPRGKRZSA-N 0 0 436.450 -0.567 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000545490149 1074586057 /nfs/dbraw/zinc/58/60/57/1074586057.db2.gz BAWJSMHXYMLRCK-AWEZNQCLSA-N 0 0 444.448 -0.008 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000545490150 1074586135 /nfs/dbraw/zinc/58/61/35/1074586135.db2.gz BAWJSMHXYMLRCK-CQSZACIVSA-N 0 0 444.448 -0.008 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000545491281 1074586089 /nfs/dbraw/zinc/58/60/89/1074586089.db2.gz GKNBSEZIEHAKSW-GFCCVEGCSA-N 0 0 436.450 -0.615 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000545491287 1074586100 /nfs/dbraw/zinc/58/61/00/1074586100.db2.gz GKNBSEZIEHAKSW-LBPRGKRZSA-N 0 0 436.450 -0.615 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)N(C)C(=O)CO4)C2)nn1 ZINC000545491290 1074586085 /nfs/dbraw/zinc/58/60/85/1074586085.db2.gz GSONQGATRJOAFF-GFCCVEGCSA-N 0 0 428.405 -0.168 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)N(C)C(=O)CO4)C2)nn1 ZINC000545491292 1074586045 /nfs/dbraw/zinc/58/60/45/1074586045.db2.gz GSONQGATRJOAFF-LBPRGKRZSA-N 0 0 428.405 -0.168 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000545491351 1074586104 /nfs/dbraw/zinc/58/61/04/1074586104.db2.gz IVMFFKNVEKYCHS-HNNXBMFYSA-N 0 0 428.449 -0.261 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000545491352 1074586124 /nfs/dbraw/zinc/58/61/24/1074586124.db2.gz IVMFFKNVEKYCHS-OAHLLOKOSA-N 0 0 428.449 -0.261 20 0 IBADRN CCc1c(C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000545492096 1074586094 /nfs/dbraw/zinc/58/60/94/1074586094.db2.gz WGQPXTYXIGBKLE-LLVKDONJSA-N 0 0 441.448 -0.340 20 0 IBADRN CCc1c(C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000545492097 1074586129 /nfs/dbraw/zinc/58/61/29/1074586129.db2.gz WGQPXTYXIGBKLE-NSHDSACASA-N 0 0 441.448 -0.340 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3cccnc3N3CCOCC3)C2)nn1 ZINC000545492627 1074586445 /nfs/dbraw/zinc/58/64/45/1074586445.db2.gz INVVBKVCHSCCRV-CYBMUJFWSA-N 0 0 429.437 -0.292 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3cccnc3N3CCOCC3)C2)nn1 ZINC000545492628 1074586367 /nfs/dbraw/zinc/58/63/67/1074586367.db2.gz INVVBKVCHSCCRV-ZDUSSCGKSA-N 0 0 429.437 -0.292 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cn1 ZINC000545493022 1074586450 /nfs/dbraw/zinc/58/64/50/1074586450.db2.gz DEDQKPYJAVYDDX-CYBMUJFWSA-N 0 0 447.452 -0.029 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cn1 ZINC000545493023 1074586505 /nfs/dbraw/zinc/58/65/05/1074586505.db2.gz DEDQKPYJAVYDDX-ZDUSSCGKSA-N 0 0 447.452 -0.029 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)ccn2)CC1 ZINC000545495234 1074586344 /nfs/dbraw/zinc/58/63/44/1074586344.db2.gz HDSNNOUNFSMIDR-INIZCTEOSA-N 0 0 443.508 -0.530 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)ccn2)CC1 ZINC000545495235 1074586414 /nfs/dbraw/zinc/58/64/14/1074586414.db2.gz HDSNNOUNFSMIDR-MRXNPFEDSA-N 0 0 443.508 -0.530 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)n1 ZINC000545495904 1074586514 /nfs/dbraw/zinc/58/65/14/1074586514.db2.gz QUBOEVOPWWJFQU-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)n1 ZINC000545496250 1074586488 /nfs/dbraw/zinc/58/64/88/1074586488.db2.gz WFZHLTLTDZOOFY-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)N(C)C4=O)C2)nn1 ZINC000545496332 1074586427 /nfs/dbraw/zinc/58/64/27/1074586427.db2.gz ABBFMTHTMCBWLK-LLVKDONJSA-N 0 0 426.389 -0.297 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)N(C)C4=O)C2)nn1 ZINC000545496333 1074586394 /nfs/dbraw/zinc/58/63/94/1074586394.db2.gz ABBFMTHTMCBWLK-NSHDSACASA-N 0 0 426.389 -0.297 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CC[C@H](NC(=O)NC2CC2)C1)N1C(=O)c2ccccc2C1=O ZINC000545498524 1074587391 /nfs/dbraw/zinc/58/73/91/1074587391.db2.gz ONLDCSOMAOGFLO-BBRMVZONSA-N 0 0 427.461 -0.021 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1)N1C(=O)c2ccccc2C1=O ZINC000545498525 1074587319 /nfs/dbraw/zinc/58/73/19/1074587319.db2.gz ONLDCSOMAOGFLO-CJNGLKHVSA-N 0 0 427.461 -0.021 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1)N1C(=O)c2ccccc2C1=O ZINC000545498526 1074587243 /nfs/dbraw/zinc/58/72/43/1074587243.db2.gz ONLDCSOMAOGFLO-CZUORRHYSA-N 0 0 427.461 -0.021 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CC[C@H](NC(=O)NC2CC2)C1)N1C(=O)c2ccccc2C1=O ZINC000545498527 1074587262 /nfs/dbraw/zinc/58/72/62/1074587262.db2.gz ONLDCSOMAOGFLO-XJKSGUPXSA-N 0 0 427.461 -0.021 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2)nn1 ZINC000545499416 1074587029 /nfs/dbraw/zinc/58/70/29/1074587029.db2.gz CPHSTQXLGDWBSR-HNNXBMFYSA-N 0 0 442.480 -0.243 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2)nn1 ZINC000545499417 1074586876 /nfs/dbraw/zinc/58/68/76/1074586876.db2.gz CPHSTQXLGDWBSR-OAHLLOKOSA-N 0 0 442.480 -0.243 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)C2)nn1 ZINC000545499921 1074587364 /nfs/dbraw/zinc/58/73/64/1074587364.db2.gz KBCMGYIXNQJAHQ-GFCCVEGCSA-N 0 0 425.471 -0.751 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)C2)nn1 ZINC000545499922 1074587221 /nfs/dbraw/zinc/58/72/21/1074587221.db2.gz KBCMGYIXNQJAHQ-LBPRGKRZSA-N 0 0 425.471 -0.751 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)s1 ZINC000545500775 1074587199 /nfs/dbraw/zinc/58/71/99/1074587199.db2.gz VHAYRPUONYAMAH-CYBMUJFWSA-N 0 0 443.551 -0.275 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](NC(=O)NC3CC3)C2)s1 ZINC000545500776 1074587233 /nfs/dbraw/zinc/58/72/33/1074587233.db2.gz VHAYRPUONYAMAH-ZDUSSCGKSA-N 0 0 443.551 -0.275 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC3(CO)CCOCC3)c2)CC1 ZINC000545501226 1074587330 /nfs/dbraw/zinc/58/73/30/1074587330.db2.gz HNWQJBVZWSMQDC-UHFFFAOYSA-N 0 0 425.507 -0.189 20 0 IBADRN O=C(CN1CC[C@@H](NC(=O)NC2CC2)C1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000545506013 1074587712 /nfs/dbraw/zinc/58/77/12/1074587712.db2.gz DFLUKCYVNRIWER-BRWVUGGUSA-N 0 0 428.555 -0.283 20 0 IBADRN O=C(CN1CC[C@@H](NC(=O)NC2CC2)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000545506014 1074587602 /nfs/dbraw/zinc/58/76/02/1074587602.db2.gz DFLUKCYVNRIWER-IKGGRYGDSA-N 0 0 428.555 -0.283 20 0 IBADRN O=C(CN1CC[C@@H](NC(=O)NC2CC2)C1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000545506015 1074587562 /nfs/dbraw/zinc/58/75/62/1074587562.db2.gz DFLUKCYVNRIWER-IXDOHACOSA-N 0 0 428.555 -0.283 20 0 IBADRN O=C(CN1CC[C@@H](NC(=O)NC2CC2)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000545506016 1074587582 /nfs/dbraw/zinc/58/75/82/1074587582.db2.gz DFLUKCYVNRIWER-ZACQAIPSSA-N 0 0 428.555 -0.283 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000545506205 1074587673 /nfs/dbraw/zinc/58/76/73/1074587673.db2.gz PPFQULVLDJAPKS-LLVKDONJSA-N 0 0 428.409 -0.511 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000545506206 1074587621 /nfs/dbraw/zinc/58/76/21/1074587621.db2.gz PPFQULVLDJAPKS-NSHDSACASA-N 0 0 428.409 -0.511 20 0 IBADRN O=C(CCNC(=O)N1CC[C@H](NC(=O)NC2CC2)C1)N1CCN(c2ncccn2)CC1 ZINC000545511829 1074588007 /nfs/dbraw/zinc/58/80/07/1074588007.db2.gz PITITSGOODHOSJ-INIZCTEOSA-N 0 0 430.513 -0.239 20 0 IBADRN O=C(CCNC(=O)N1CC[C@@H](NC(=O)NC2CC2)C1)N1CCN(c2ncccn2)CC1 ZINC000545511832 1074588119 /nfs/dbraw/zinc/58/81/19/1074588119.db2.gz PITITSGOODHOSJ-MRXNPFEDSA-N 0 0 430.513 -0.239 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000545516554 1074588195 /nfs/dbraw/zinc/58/81/95/1074588195.db2.gz DYARADJUYWAXHL-INIZCTEOSA-N 0 0 444.558 -0.727 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000545516555 1074588036 /nfs/dbraw/zinc/58/80/36/1074588036.db2.gz DYARADJUYWAXHL-MRXNPFEDSA-N 0 0 444.558 -0.727 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)c3ccccn3)CC2)CC1 ZINC000545516955 1074587997 /nfs/dbraw/zinc/58/79/97/1074587997.db2.gz BVKASWOMTRNEFM-UHFFFAOYSA-N 0 0 430.553 -0.102 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CCN(C(=O)c3ccccn3)CC2)cc1 ZINC000545517682 1074588130 /nfs/dbraw/zinc/58/81/30/1074588130.db2.gz YAOVIXKJJLZFBM-UHFFFAOYSA-N 0 0 431.518 -0.154 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(=O)n(-c3cnn(C)c3)c2)CC1 ZINC000545518616 1074588107 /nfs/dbraw/zinc/58/81/07/1074588107.db2.gz OYCUGXHOAABQPV-INIZCTEOSA-N 0 0 430.509 -0.130 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(=O)n(-c3cnn(C)c3)c2)CC1 ZINC000545518617 1074588152 /nfs/dbraw/zinc/58/81/52/1074588152.db2.gz OYCUGXHOAABQPV-MRXNPFEDSA-N 0 0 430.509 -0.130 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)C2)nn1 ZINC000545520519 1074587934 /nfs/dbraw/zinc/58/79/34/1074587934.db2.gz PERSQZSMWROBMQ-CYBMUJFWSA-N 0 0 434.478 -0.452 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)C2)nn1 ZINC000545520520 1074588516 /nfs/dbraw/zinc/58/85/16/1074588516.db2.gz PERSQZSMWROBMQ-ZDUSSCGKSA-N 0 0 434.478 -0.452 20 0 IBADRN Cn1c2ncn(CCc3nc(-c4ccc(N5CCOCC5)nc4)no3)c2c(=O)n(C)c1=O ZINC000545535926 1074588620 /nfs/dbraw/zinc/58/86/20/1074588620.db2.gz DAMFVLFHJCCQOX-UHFFFAOYSA-N 0 0 438.448 -0.042 20 0 IBADRN CC[NH+](CC)CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC(=O)[O-])cc2)CC1 ZINC000545542653 1074588851 /nfs/dbraw/zinc/58/88/51/1074588851.db2.gz MMTNZYDWHDZFOV-UHFFFAOYSA-N 0 0 440.522 -0.324 20 0 IBADRN Cn1c2ncn(CCc3nc(Cc4nnc5n4CCCCC5)no3)c2c(=O)n(C)c1=O ZINC000545555175 1074589706 /nfs/dbraw/zinc/58/97/06/1074589706.db2.gz SWTYYNLPPIAVCS-UHFFFAOYSA-N 0 0 425.453 -0.032 20 0 IBADRN CN1CCN(c2ccc(-c3noc(Cn4cnc5c4c(=O)n(C)c(=O)n5C)n3)cn2)CC1 ZINC000545605676 1074591708 /nfs/dbraw/zinc/59/17/08/1074591708.db2.gz STJUSHYJNVBYDN-UHFFFAOYSA-N 0 0 437.464 -0.321 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(-c2noc(Cn3cnc4c3c(=O)n(C)c(=O)n4C)n2)cc1 ZINC000545639507 1074592947 /nfs/dbraw/zinc/59/29/47/1074592947.db2.gz NHWXAQMMBOKZLI-UHFFFAOYSA-N 0 0 445.461 -0.218 20 0 IBADRN CC(=O)NCC(=O)NCc1nc(-c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)no1 ZINC000545640841 1074593133 /nfs/dbraw/zinc/59/31/33/1074593133.db2.gz QNPSPJXGQRILRC-AWEZNQCLSA-N 0 0 437.478 -0.054 20 0 IBADRN CC(=O)NCC(=O)NCc1nc(-c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)no1 ZINC000545640842 1074593200 /nfs/dbraw/zinc/59/32/00/1074593200.db2.gz QNPSPJXGQRILRC-CQSZACIVSA-N 0 0 437.478 -0.054 20 0 IBADRN CC(C)N(CCc1noc(Cn2c(=O)c3c(ncn3C)n(C)c2=O)n1)S(C)(=O)=O ZINC000545648255 1074593582 /nfs/dbraw/zinc/59/35/82/1074593582.db2.gz QFEHKHZYWGXMTN-UHFFFAOYSA-N 0 0 425.471 -0.923 20 0 IBADRN Cn1c2ncn(Cc3nc(-c4ccc(N5CCNC(=O)C5)cc4)no3)c2c(=O)n(C)c1=O ZINC000545702261 1074595034 /nfs/dbraw/zinc/59/50/34/1074595034.db2.gz DXQHJLPOWNHRPF-UHFFFAOYSA-N 0 0 436.432 -0.532 20 0 IBADRN CNS(=O)(=O)c1cccc(-c2noc(CCn3cnc4c3c(=O)n(C)c(=O)n4C)n2)c1 ZINC000545703062 1074595276 /nfs/dbraw/zinc/59/52/76/1074595276.db2.gz JQTLKASUKYTFDG-UHFFFAOYSA-N 0 0 445.461 -0.366 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1nc(-c3ccc(N4CCNC(=O)C4)cc3)no1)c(=O)n2C ZINC000545706316 1074595295 /nfs/dbraw/zinc/59/52/95/1074595295.db2.gz OGDWETCAYNMINO-UHFFFAOYSA-N 0 0 436.432 -0.532 20 0 IBADRN CCN1CCN(Cc2nc(-c3ccc(C(=O)N4CCN(C)CC4)nc3)no2)C(=O)C1=O ZINC000545756273 1074596788 /nfs/dbraw/zinc/59/67/88/1074596788.db2.gz STFIHOHQEBRGRE-UHFFFAOYSA-N 0 0 427.465 -0.290 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(-c2noc(Cn3c(=O)c4c(ncn4C)n(C)c3=O)n2)c1 ZINC000545778353 1074597507 /nfs/dbraw/zinc/59/75/07/1074597507.db2.gz NCNGZCKUNLRTQD-UHFFFAOYSA-N 0 0 445.461 -0.218 20 0 IBADRN NC(=O)Cn1cnc(-c2noc(-c3cc(S(=O)(=O)N4CCOCC4)ccc3F)n2)n1 ZINC000545797583 1074597810 /nfs/dbraw/zinc/59/78/10/1074597810.db2.gz FNEPHIACEHTWGR-UHFFFAOYSA-N 0 0 437.413 -0.360 20 0 IBADRN CCc1noc2ncc(S(=O)(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc12 ZINC000545814951 1074598244 /nfs/dbraw/zinc/59/82/44/1074598244.db2.gz PJODDABTGGDQDH-UHFFFAOYSA-N 0 0 437.478 -0.523 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000545817904 1074598148 /nfs/dbraw/zinc/59/81/48/1074598148.db2.gz UGPUAOPTAYQUPD-UHFFFAOYSA-N 0 0 449.551 -0.405 20 0 IBADRN CCCN(C(=O)[C@H](C)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000545830250 1074598723 /nfs/dbraw/zinc/59/87/23/1074598723.db2.gz VWTUBVZBBHPELA-DOTOQJQBSA-N 0 0 441.554 -0.032 20 0 IBADRN CCCN(C(=O)[C@@H](C)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000545830254 1074598708 /nfs/dbraw/zinc/59/87/08/1074598708.db2.gz VWTUBVZBBHPELA-NVXWUHKLSA-N 0 0 441.554 -0.032 20 0 IBADRN CCCN(C(=O)[C@H](C)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000545830256 1074598718 /nfs/dbraw/zinc/59/87/18/1074598718.db2.gz VWTUBVZBBHPELA-RDJZCZTQSA-N 0 0 441.554 -0.032 20 0 IBADRN CCCN(C(=O)[C@@H](C)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000545830257 1074598506 /nfs/dbraw/zinc/59/85/06/1074598506.db2.gz VWTUBVZBBHPELA-WBVHZDCISA-N 0 0 441.554 -0.032 20 0 IBADRN C[C@@H](Nc1cnn(CC(N)=O)c1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000545832750 1074598522 /nfs/dbraw/zinc/59/85/22/1074598522.db2.gz SDVQOBNPAAXJEO-CYBMUJFWSA-N 0 0 436.494 -0.172 20 0 IBADRN C[C@H](Nc1cnn(CC(N)=O)c1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000545832752 1074598696 /nfs/dbraw/zinc/59/86/96/1074598696.db2.gz SDVQOBNPAAXJEO-ZDUSSCGKSA-N 0 0 436.494 -0.172 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000545853666 1074599301 /nfs/dbraw/zinc/59/93/01/1074599301.db2.gz JURPHHOAYPDJEZ-GFCCVEGCSA-N 0 0 444.452 -0.934 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000545853671 1074599320 /nfs/dbraw/zinc/59/93/20/1074599320.db2.gz JURPHHOAYPDJEZ-LBPRGKRZSA-N 0 0 444.452 -0.934 20 0 IBADRN Cc1noc(C)c1CO[C@H]1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000545856855 1074599677 /nfs/dbraw/zinc/59/96/77/1074599677.db2.gz JOEAGUUASZUZLL-KRWDZBQOSA-N 0 0 446.508 0.576 20 0 IBADRN Cc1noc(C)c1CO[C@@H]1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000545856862 1074599710 /nfs/dbraw/zinc/59/97/10/1074599710.db2.gz JOEAGUUASZUZLL-QGZVFWFLSA-N 0 0 446.508 0.576 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2)nn1 ZINC000545857156 1074599720 /nfs/dbraw/zinc/59/97/20/1074599720.db2.gz KMPDXJYJKSGLDJ-SECBINFHSA-N 0 0 441.242 -0.047 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2)nn1 ZINC000545857157 1074599663 /nfs/dbraw/zinc/59/96/63/1074599663.db2.gz KMPDXJYJKSGLDJ-VIFPVBQESA-N 0 0 441.242 -0.047 20 0 IBADRN CN1CC[C@](O)(CNC(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)C1 ZINC000545862417 1074600265 /nfs/dbraw/zinc/60/02/65/1074600265.db2.gz KZDUIMDJHPBMLE-INIZCTEOSA-N 0 0 448.339 -0.015 20 0 IBADRN CN1CC[C@@](O)(CNC(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)C1 ZINC000545862420 1074600077 /nfs/dbraw/zinc/60/00/77/1074600077.db2.gz KZDUIMDJHPBMLE-MRXNPFEDSA-N 0 0 448.339 -0.015 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2)nn1 ZINC000545868786 1074600160 /nfs/dbraw/zinc/60/01/60/1074600160.db2.gz DCSSGBSZAIPXOW-HNNXBMFYSA-N 0 0 446.468 -0.011 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2)nn1 ZINC000545868792 1074600216 /nfs/dbraw/zinc/60/02/16/1074600216.db2.gz DCSSGBSZAIPXOW-OAHLLOKOSA-N 0 0 446.468 -0.011 20 0 IBADRN C[S@](=O)Cc1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000545874936 1074600701 /nfs/dbraw/zinc/60/07/01/1074600701.db2.gz XBSDBEIYBKINCJ-PMERELPUSA-N 0 0 436.534 -0.493 20 0 IBADRN C[S@@](=O)Cc1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000545874937 1074600644 /nfs/dbraw/zinc/60/06/44/1074600644.db2.gz XBSDBEIYBKINCJ-SSEXGKCCSA-N 0 0 436.534 -0.493 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C2)nn1 ZINC000545878889 1074600621 /nfs/dbraw/zinc/60/06/21/1074600621.db2.gz ACNDVKNSYWIUNK-HNNXBMFYSA-N 0 0 444.558 -0.122 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C2)nn1 ZINC000545878890 1074600704 /nfs/dbraw/zinc/60/07/04/1074600704.db2.gz ACNDVKNSYWIUNK-OAHLLOKOSA-N 0 0 444.558 -0.122 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)C2)nn1 ZINC000545879136 1074600714 /nfs/dbraw/zinc/60/07/14/1074600714.db2.gz AWRRRTSSHLSKKU-INIZCTEOSA-N 0 0 449.556 -0.240 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)C2)nn1 ZINC000545879140 1074600719 /nfs/dbraw/zinc/60/07/19/1074600719.db2.gz AWRRRTSSHLSKKU-MRXNPFEDSA-N 0 0 449.556 -0.240 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3cccc(OCC(N)=O)c3)C2)nn1 ZINC000545879216 1074600641 /nfs/dbraw/zinc/60/06/41/1074600641.db2.gz PZVHOOHDHXOKCY-CYBMUJFWSA-N 0 0 430.421 -0.269 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3cccc(OCC(N)=O)c3)C2)nn1 ZINC000545879224 1074600586 /nfs/dbraw/zinc/60/05/86/1074600586.db2.gz PZVHOOHDHXOKCY-ZDUSSCGKSA-N 0 0 430.421 -0.269 20 0 IBADRN C[S@](=O)Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000545880500 1074600712 /nfs/dbraw/zinc/60/07/12/1074600712.db2.gz QIRYZFHWDILGSZ-PMERELPUSA-N 0 0 434.518 -0.203 20 0 IBADRN C[S@@](=O)Cc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000545880501 1074600604 /nfs/dbraw/zinc/60/06/04/1074600604.db2.gz QIRYZFHWDILGSZ-SSEXGKCCSA-N 0 0 434.518 -0.203 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)C2)nn1 ZINC000545880796 1074600727 /nfs/dbraw/zinc/60/07/27/1074600727.db2.gz VTJUZWLYARXBEV-AWEZNQCLSA-N 0 0 448.505 -0.062 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)C2)nn1 ZINC000545880797 1074600734 /nfs/dbraw/zinc/60/07/34/1074600734.db2.gz VTJUZWLYARXBEV-CQSZACIVSA-N 0 0 448.505 -0.062 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)C2)nn1 ZINC000545881955 1074601166 /nfs/dbraw/zinc/60/11/66/1074601166.db2.gz DHPPIMQPDNIVQT-GFCCVEGCSA-N 0 0 443.399 -0.018 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)C2)nn1 ZINC000545881956 1074601119 /nfs/dbraw/zinc/60/11/19/1074601119.db2.gz DHPPIMQPDNIVQT-LBPRGKRZSA-N 0 0 443.399 -0.018 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCS1(=O)=O ZINC000545901600 1073596009 /nfs/dbraw/zinc/59/60/09/1073596009.db2.gz JENJIMPGPXDZFW-OAHLLOKOSA-N 0 0 444.579 -0.049 20 0 IBADRN C[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCS1(=O)=O ZINC000545903877 1073596081 /nfs/dbraw/zinc/59/60/81/1073596081.db2.gz OLKOHHAGZFTLTL-HNNXBMFYSA-N 0 0 445.567 -0.638 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000545904597 1074602095 /nfs/dbraw/zinc/60/20/95/1074602095.db2.gz DXPONZXMRQRWPM-UHFFFAOYSA-N 0 0 425.511 -0.727 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)n1 ZINC000545904857 1074602152 /nfs/dbraw/zinc/60/21/52/1074602152.db2.gz LFXWBKWSXXRFRQ-KBPBESRZSA-N 0 0 446.551 -0.725 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)n1 ZINC000545904858 1074602184 /nfs/dbraw/zinc/60/21/84/1074602184.db2.gz LFXWBKWSXXRFRQ-KGLIPLIRSA-N 0 0 446.551 -0.725 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)n1 ZINC000545904859 1074602766 /nfs/dbraw/zinc/60/27/66/1074602766.db2.gz LFXWBKWSXXRFRQ-UONOGXRCSA-N 0 0 446.551 -0.725 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)n1 ZINC000545904860 1074602848 /nfs/dbraw/zinc/60/28/48/1074602848.db2.gz LFXWBKWSXXRFRQ-ZIAGYGMSSA-N 0 0 446.551 -0.725 20 0 IBADRN Cn1nccc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000545906640 1074602909 /nfs/dbraw/zinc/60/29/09/1074602909.db2.gz RIBXTXVFWAQMRN-UHFFFAOYSA-N 0 0 428.492 -0.114 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2cccc(N3CCCS3(=O)=O)c2)c1 ZINC000545907438 1074602867 /nfs/dbraw/zinc/60/28/67/1074602867.db2.gz PGMJYYVUYDELRP-UHFFFAOYSA-N 0 0 427.508 -0.445 20 0 IBADRN O=c1cc(CN2CCN(S(=O)(=O)CCCN3CCOCC3)CC2)nc2sccn21 ZINC000545913483 1074603202 /nfs/dbraw/zinc/60/32/02/1074603202.db2.gz YJGPMVXKKADYCU-UHFFFAOYSA-N 0 0 441.579 -0.074 20 0 IBADRN C[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(N)=O ZINC000545914934 1074603304 /nfs/dbraw/zinc/60/33/04/1074603304.db2.gz DVMXORRAWAPDNN-CYBMUJFWSA-N 0 0 426.495 -0.852 20 0 IBADRN CC1(C)C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000545943896 1074604885 /nfs/dbraw/zinc/60/48/85/1074604885.db2.gz QUZBCVHMGJBRRQ-AWEZNQCLSA-N 0 0 438.506 -0.181 20 0 IBADRN CC1(C)C[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000545943897 1074604809 /nfs/dbraw/zinc/60/48/09/1074604809.db2.gz QUZBCVHMGJBRRQ-CQSZACIVSA-N 0 0 438.506 -0.181 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2=O)cc1 ZINC000545953350 1074605411 /nfs/dbraw/zinc/60/54/11/1074605411.db2.gz CFFUOTGEGKEEFT-UHFFFAOYSA-N 0 0 435.506 -0.032 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000545954861 1074605523 /nfs/dbraw/zinc/60/55/23/1074605523.db2.gz KMEVFWVGTVYXGR-UHFFFAOYSA-N 0 0 428.496 -0.832 20 0 IBADRN CCc1nncn1CCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000545968945 1074606093 /nfs/dbraw/zinc/60/60/93/1074606093.db2.gz UMESGDRNQKESMW-UHFFFAOYSA-N 0 0 436.494 -0.016 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@H](CO)[C@@H](O)C2)cc1 ZINC000545990082 1074606417 /nfs/dbraw/zinc/60/64/17/1074606417.db2.gz OAAONQBENRWCKU-AEFFLSMTSA-N 0 0 430.523 -0.215 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](CO)[C@H](O)C2)cc1 ZINC000545990083 1074606365 /nfs/dbraw/zinc/60/63/65/1074606365.db2.gz OAAONQBENRWCKU-FUHWJXTLSA-N 0 0 430.523 -0.215 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@H](CO)[C@H](O)C2)cc1 ZINC000545990084 1074606407 /nfs/dbraw/zinc/60/64/07/1074606407.db2.gz OAAONQBENRWCKU-SJLPKXTDSA-N 0 0 430.523 -0.215 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](CO)[C@@H](O)C2)cc1 ZINC000545990085 1074606387 /nfs/dbraw/zinc/60/63/87/1074606387.db2.gz OAAONQBENRWCKU-WMZOPIPTSA-N 0 0 430.523 -0.215 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)CCC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000546009764 1074607484 /nfs/dbraw/zinc/60/74/84/1074607484.db2.gz UFDCXNDUZPIXRX-UHFFFAOYSA-N 0 0 436.534 -0.374 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000546013414 1074607816 /nfs/dbraw/zinc/60/78/16/1074607816.db2.gz LQMKBUKWBKAMCS-UHFFFAOYSA-N 0 0 442.480 -0.801 20 0 IBADRN CN1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1=O ZINC000546026341 1074608299 /nfs/dbraw/zinc/60/82/99/1074608299.db2.gz KXQVXFOERTUFKS-CYBMUJFWSA-N 0 0 437.478 -0.736 20 0 IBADRN CN1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1=O ZINC000546026342 1074608332 /nfs/dbraw/zinc/60/83/32/1074608332.db2.gz KXQVXFOERTUFKS-ZDUSSCGKSA-N 0 0 437.478 -0.736 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000546029948 1073334605 /nfs/dbraw/zinc/33/46/05/1073334605.db2.gz TZZSDGYPSBSZBU-UHFFFAOYSA-N 0 0 426.543 0.293 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000546030278 1074608338 /nfs/dbraw/zinc/60/83/38/1074608338.db2.gz PNYBXZRURMVCSR-UHFFFAOYSA-N 0 0 428.515 -0.276 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000546032955 1074608361 /nfs/dbraw/zinc/60/83/61/1074608361.db2.gz DPQXGPASYCPHPD-CYBMUJFWSA-N 0 0 428.442 -0.868 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000546032956 1074608264 /nfs/dbraw/zinc/60/82/64/1074608264.db2.gz DPQXGPASYCPHPD-ZDUSSCGKSA-N 0 0 428.442 -0.868 20 0 IBADRN CCNC(=O)N1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000546034476 1074608787 /nfs/dbraw/zinc/60/87/87/1074608787.db2.gz PLYJFPCGUWDQAG-UHFFFAOYSA-N 0 0 425.511 -0.460 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CCN(C)C1=O ZINC000546034760 1074608725 /nfs/dbraw/zinc/60/87/25/1074608725.db2.gz YKVYSEFBSILYCQ-CYBMUJFWSA-N 0 0 440.478 -0.999 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H]1CCN(C)C1=O ZINC000546034761 1074608769 /nfs/dbraw/zinc/60/87/69/1074608769.db2.gz YKVYSEFBSILYCQ-ZDUSSCGKSA-N 0 0 440.478 -0.999 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CNC(=O)c1ccccc1I ZINC000546037491 1071935521 /nfs/dbraw/zinc/93/55/21/1071935521.db2.gz OPTCHFNOLNOFRC-UHFFFAOYSA-N 0 0 447.229 -0.102 20 0 IBADRN CNC(=O)C1CCC(NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000546039670 1074608714 /nfs/dbraw/zinc/60/87/14/1074608714.db2.gz LOSQVXNKWMDEHU-UHFFFAOYSA-N 0 0 441.510 -0.301 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)CC1 ZINC000546039891 1074608780 /nfs/dbraw/zinc/60/87/80/1074608780.db2.gz OYGRCGSRWVTCRW-UHFFFAOYSA-N 0 0 431.559 -0.574 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(CC(=O)N3CCCC3)CC2)CC1 ZINC000546040550 1074608691 /nfs/dbraw/zinc/60/86/91/1074608691.db2.gz IIRNXJUOEMBAFS-UHFFFAOYSA-N 0 0 429.587 -0.002 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000546040559 1074608742 /nfs/dbraw/zinc/60/87/42/1074608742.db2.gz IMGUUTWPOAVGKE-UHFFFAOYSA-N 0 0 443.570 -0.476 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000546040986 1074608841 /nfs/dbraw/zinc/60/88/41/1074608841.db2.gz MXHHOKUIGCNVIM-UHFFFAOYSA-N 0 0 429.543 -0.820 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000546041295 1074609246 /nfs/dbraw/zinc/60/92/46/1074609246.db2.gz ZWCIUHBCZWSYCS-HNNXBMFYSA-N 0 0 425.573 -0.107 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000546041299 1074609278 /nfs/dbraw/zinc/60/92/78/1074609278.db2.gz ZWCIUHBCZWSYCS-OAHLLOKOSA-N 0 0 425.573 -0.107 20 0 IBADRN O=C(NCc1ccc2c(c1)C(=O)NCC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000546042329 1074609299 /nfs/dbraw/zinc/60/92/99/1074609299.db2.gz YLIIVMIAFPCAPL-UHFFFAOYSA-N 0 0 437.522 -0.623 20 0 IBADRN Cc1nccc(N2CCN([C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)CC2)n1 ZINC000546045846 1074609267 /nfs/dbraw/zinc/60/92/67/1074609267.db2.gz YUFLXRQUYCWOFU-INIZCTEOSA-N 0 0 430.490 -0.113 20 0 IBADRN Cc1nccc(N2CCN([C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)CC2)n1 ZINC000546045848 1074609292 /nfs/dbraw/zinc/60/92/92/1074609292.db2.gz YUFLXRQUYCWOFU-MRXNPFEDSA-N 0 0 430.490 -0.113 20 0 IBADRN CNc1nnc(CC(=O)N2CCN(S(=O)(=O)c3cc(C(=O)OC)cs3)CC2)s1 ZINC000546060492 1073321249 /nfs/dbraw/zinc/32/12/49/1073321249.db2.gz YAXQNULZDXXORE-UHFFFAOYSA-N 0 0 445.548 0.504 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000546065788 1074610859 /nfs/dbraw/zinc/61/08/59/1074610859.db2.gz QXRMPPMBWMQAHY-UHFFFAOYSA-N 0 0 426.539 -0.566 20 0 IBADRN CNc1nnc(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)s1 ZINC000546067708 1074610886 /nfs/dbraw/zinc/61/08/86/1074610886.db2.gz NFZYOXMZIYMBEX-UHFFFAOYSA-N 0 0 439.519 0.427 20 0 IBADRN COC(=O)C1CC[NH+](CCCNS(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)CC1 ZINC000546073821 1074611246 /nfs/dbraw/zinc/61/12/46/1074611246.db2.gz XKCLCUPUYYTMOU-UHFFFAOYSA-N 0 0 425.467 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000546076455 1074611415 /nfs/dbraw/zinc/61/14/15/1074611415.db2.gz SRXXOPRGAIUHGL-AWEZNQCLSA-N 0 0 430.508 -0.358 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000546076456 1074611390 /nfs/dbraw/zinc/61/13/90/1074611390.db2.gz SRXXOPRGAIUHGL-CQSZACIVSA-N 0 0 430.508 -0.358 20 0 IBADRN CCN1c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2CCC1=O ZINC000546077153 1074611911 /nfs/dbraw/zinc/61/19/11/1074611911.db2.gz MTATVCIAEBJEHM-UHFFFAOYSA-N 0 0 441.488 -0.126 20 0 IBADRN CNc1nnc(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)s1 ZINC000546082318 1074611770 /nfs/dbraw/zinc/61/17/70/1074611770.db2.gz MPNJHDBQHXRHFY-UHFFFAOYSA-N 0 0 425.540 -0.215 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000546083305 1074611694 /nfs/dbraw/zinc/61/16/94/1074611694.db2.gz XDGQHCZMLLFOBG-CYBMUJFWSA-N 0 0 431.536 -0.711 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000546083307 1074611851 /nfs/dbraw/zinc/61/18/51/1074611851.db2.gz XDGQHCZMLLFOBG-ZDUSSCGKSA-N 0 0 431.536 -0.711 20 0 IBADRN NC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)co1 ZINC000546086685 1074611746 /nfs/dbraw/zinc/61/17/46/1074611746.db2.gz IKWISRVXMWZWMO-UHFFFAOYSA-N 0 0 434.430 -0.144 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)c1=O ZINC000546087370 1074612355 /nfs/dbraw/zinc/61/23/55/1074612355.db2.gz AWMGQGDFVBDKTH-UHFFFAOYSA-N 0 0 432.412 -0.372 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)c1 ZINC000546087691 1074611659 /nfs/dbraw/zinc/61/16/59/1074611659.db2.gz CRPUXJSTPOBALB-UHFFFAOYSA-N 0 0 426.405 -0.311 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C1CC(O)C1 ZINC000546094057 1074612467 /nfs/dbraw/zinc/61/24/67/1074612467.db2.gz GQPBEEDZPVTIHY-UHFFFAOYSA-N 0 0 425.507 -0.254 20 0 IBADRN CCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(CC(=O)NCCOC)CC3)ccc21 ZINC000546095432 1074612389 /nfs/dbraw/zinc/61/23/89/1074612389.db2.gz ARHXQLSGENMMIZ-UHFFFAOYSA-N 0 0 445.520 -0.169 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)c1=O ZINC000546095441 1074612486 /nfs/dbraw/zinc/61/24/86/1074612486.db2.gz CPPFBABHKYNFKH-UHFFFAOYSA-N 0 0 428.449 -0.203 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC(n3cc(C(=O)OC)nn3)CC2)cc1 ZINC000546095945 1074612397 /nfs/dbraw/zinc/61/23/97/1074612397.db2.gz GBSPIPXODZPGHK-UHFFFAOYSA-N 0 0 444.448 -0.008 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)n1 ZINC000546096506 1074612440 /nfs/dbraw/zinc/61/24/40/1074612440.db2.gz MOHPFZLNBVDBGD-UHFFFAOYSA-N 0 0 442.480 -0.872 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C2CC(O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000546097264 1074612423 /nfs/dbraw/zinc/61/24/23/1074612423.db2.gz VBUBTUFLGQFDEC-UHFFFAOYSA-N 0 0 427.479 -0.364 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)C1CC(O)C1 ZINC000546097278 1074612300 /nfs/dbraw/zinc/61/23/00/1074612300.db2.gz WFORUZGTLFVBEJ-UHFFFAOYSA-N 0 0 440.522 -0.449 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N2CCc3c2cccc3C(F)(F)F)CC1 ZINC000546100428 1074612325 /nfs/dbraw/zinc/61/23/25/1074612325.db2.gz ZYUNREVISIVBOV-UHFFFAOYSA-N 0 0 437.382 -0.048 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)c3OC)CC2)nn1 ZINC000546101598 1074612378 /nfs/dbraw/zinc/61/23/78/1074612378.db2.gz VQDQGGASUYAUDI-UHFFFAOYSA-N 0 0 430.421 -0.026 20 0 IBADRN COC(=O)[C@@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccc(O)c1 ZINC000546103007 1074612267 /nfs/dbraw/zinc/61/22/67/1074612267.db2.gz YJGKGZBPRDDMSO-FQEVSTJZSA-N 0 0 434.493 -0.801 20 0 IBADRN COC(=O)[C@H](NCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccc(O)c1 ZINC000546103011 1074612904 /nfs/dbraw/zinc/61/29/04/1074612904.db2.gz YJGKGZBPRDDMSO-HXUWFJFHSA-N 0 0 434.493 -0.801 20 0 IBADRN COC(=O)c1cn(C2CCN(CC(=O)NCc3ccc(OCC(N)=O)cc3)CC2)nn1 ZINC000546104042 1074613061 /nfs/dbraw/zinc/61/30/61/1074613061.db2.gz DQVWTELCTMUPQV-UHFFFAOYSA-N 0 0 430.465 -0.118 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)nn1 ZINC000546108034 1074612983 /nfs/dbraw/zinc/61/29/83/1074612983.db2.gz MPMWCSQKGZSPTN-UHFFFAOYSA-N 0 0 441.242 -0.047 20 0 IBADRN Cc1nccc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)n1 ZINC000546108685 1074612943 /nfs/dbraw/zinc/61/29/43/1074612943.db2.gz CBGGSPBYVDEFER-CYBMUJFWSA-N 0 0 432.506 -0.100 20 0 IBADRN Cc1nccc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)n1 ZINC000546108686 1074612996 /nfs/dbraw/zinc/61/29/96/1074612996.db2.gz CBGGSPBYVDEFER-ZDUSSCGKSA-N 0 0 432.506 -0.100 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)nn1 ZINC000546108817 1074613079 /nfs/dbraw/zinc/61/30/79/1074613079.db2.gz FKEJISNAAQEYGN-UHFFFAOYSA-N 0 0 429.437 -0.720 20 0 IBADRN Cc1nccc(N2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)n1 ZINC000546109334 1074613009 /nfs/dbraw/zinc/61/30/09/1074613009.db2.gz GZCHVEHOJFEUPA-UHFFFAOYSA-N 0 0 432.462 -0.311 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)nn1 ZINC000546109904 1074613091 /nfs/dbraw/zinc/61/30/91/1074613091.db2.gz UNRJSWBLKRUJSI-HNNXBMFYSA-N 0 0 434.497 -0.591 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)nn1 ZINC000546109911 1074612967 /nfs/dbraw/zinc/61/29/67/1074612967.db2.gz UNRJSWBLKRUJSI-OAHLLOKOSA-N 0 0 434.497 -0.591 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)nn1 ZINC000546110358 1074613050 /nfs/dbraw/zinc/61/30/50/1074613050.db2.gz IHHHPVKTSFDREQ-UHFFFAOYSA-N 0 0 427.421 -0.512 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000546116563 1074613451 /nfs/dbraw/zinc/61/34/51/1074613451.db2.gz YDXLZVOUBDAYQQ-UHFFFAOYSA-N 0 0 436.425 -0.480 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000546117312 1074613397 /nfs/dbraw/zinc/61/33/97/1074613397.db2.gz QRPSZDLJNKZXBQ-LUKYLMHMSA-N 0 0 434.559 -0.138 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000546117313 1074613476 /nfs/dbraw/zinc/61/34/76/1074613476.db2.gz QRPSZDLJNKZXBQ-VVLHAWIVSA-N 0 0 434.559 -0.138 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000546117314 1074613506 /nfs/dbraw/zinc/61/35/06/1074613506.db2.gz QRPSZDLJNKZXBQ-WCXIOVBPSA-N 0 0 434.559 -0.138 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000546117315 1074613514 /nfs/dbraw/zinc/61/35/14/1074613514.db2.gz QRPSZDLJNKZXBQ-YYIAUSFCSA-N 0 0 434.559 -0.138 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000546117734 1074613462 /nfs/dbraw/zinc/61/34/62/1074613462.db2.gz XMZXTXPGOWBRST-AWEZNQCLSA-N 0 0 425.467 -0.943 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000546117735 1074613375 /nfs/dbraw/zinc/61/33/75/1074613375.db2.gz XMZXTXPGOWBRST-CQSZACIVSA-N 0 0 425.467 -0.943 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCN(c2ncc(F)cn2)CC1 ZINC000546119808 1074613510 /nfs/dbraw/zinc/61/35/10/1074613510.db2.gz SGRQXAZYNHEFCS-HNNXBMFYSA-N 0 0 430.506 -0.064 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCN(c2ncc(F)cn2)CC1 ZINC000546119814 1074613490 /nfs/dbraw/zinc/61/34/90/1074613490.db2.gz SGRQXAZYNHEFCS-OAHLLOKOSA-N 0 0 430.506 -0.064 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000546120410 1074614023 /nfs/dbraw/zinc/61/40/23/1074614023.db2.gz GOCDJIDZEKAAGH-KRWDZBQOSA-N 0 0 444.492 -0.207 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000546120411 1074614050 /nfs/dbraw/zinc/61/40/50/1074614050.db2.gz GOCDJIDZEKAAGH-QGZVFWFLSA-N 0 0 444.492 -0.207 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000546120482 1074614065 /nfs/dbraw/zinc/61/40/65/1074614065.db2.gz QZDRYTIDMMEATD-GFCCVEGCSA-N 0 0 443.507 -0.785 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000546120486 1074613918 /nfs/dbraw/zinc/61/39/18/1074613918.db2.gz QZDRYTIDMMEATD-LBPRGKRZSA-N 0 0 443.507 -0.785 20 0 IBADRN CC1(Cn2cc(C(=O)NC3CN(S(=O)(=O)c4ccc5c(c4)OCCO5)C3)nn2)COC1 ZINC000546122730 1074613986 /nfs/dbraw/zinc/61/39/86/1074613986.db2.gz UMTFPVRDJBLSNZ-UHFFFAOYSA-N 0 0 449.489 -0.111 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N(C)C[C@H](O)CO)CC2=O)cc1 ZINC000546124296 1074614088 /nfs/dbraw/zinc/61/40/88/1074614088.db2.gz MVDCRMWRZIBJBH-HOCLYGCPSA-N 0 0 427.523 -0.118 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N(C)C[C@H](O)CO)CC2=O)cc1 ZINC000546124300 1074614068 /nfs/dbraw/zinc/61/40/68/1074614068.db2.gz MVDCRMWRZIBJBH-ZBFHGGJFSA-N 0 0 427.523 -0.118 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)C[C@H](O)CO)CC2)c1 ZINC000546124457 1074614012 /nfs/dbraw/zinc/61/40/12/1074614012.db2.gz PKDIQPWPNLZWTD-KRWDZBQOSA-N 0 0 441.550 -0.272 20 0 IBADRN O=C(CN1CCN(c2ncc(F)cn2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000546124740 1074613903 /nfs/dbraw/zinc/61/39/03/1074613903.db2.gz JXXAARIPUUQHDZ-INIZCTEOSA-N 0 0 426.518 -0.931 20 0 IBADRN O=C(CN1CCN(c2ncc(F)cn2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000546124743 1074614083 /nfs/dbraw/zinc/61/40/83/1074614083.db2.gz JXXAARIPUUQHDZ-MRXNPFEDSA-N 0 0 426.518 -0.931 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)C[C@H](O)CO)CC2)cc1 ZINC000546124865 1074613968 /nfs/dbraw/zinc/61/39/68/1074613968.db2.gz ATQCKGIUCBVALL-HNNXBMFYSA-N 0 0 443.522 -0.880 20 0 IBADRN CN(C[C@H](O)CO)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000546125061 1074614073 /nfs/dbraw/zinc/61/40/73/1074614073.db2.gz FRIPSGSIGYIUTP-HNNXBMFYSA-N 0 0 431.486 -0.750 20 0 IBADRN O=C(CN1CCN(c2ncc(F)cn2)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000546125491 1074613944 /nfs/dbraw/zinc/61/39/44/1074613944.db2.gz HNBRZERIRGYEOD-DLBZAZTESA-N 0 0 441.529 -0.068 20 0 IBADRN O=C(CN1CCN(c2ncc(F)cn2)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000546125503 1074613977 /nfs/dbraw/zinc/61/39/77/1074613977.db2.gz HNBRZERIRGYEOD-IAGOWNOFSA-N 0 0 441.529 -0.068 20 0 IBADRN O=C(CN1CCN(c2ncc(F)cn2)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000546125507 1074614059 /nfs/dbraw/zinc/61/40/59/1074614059.db2.gz HNBRZERIRGYEOD-IRXDYDNUSA-N 0 0 441.529 -0.068 20 0 IBADRN O=C(CN1CCN(c2ncc(F)cn2)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000546125510 1074613959 /nfs/dbraw/zinc/61/39/59/1074613959.db2.gz HNBRZERIRGYEOD-SJORKVTESA-N 0 0 441.529 -0.068 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000546158027 1074614573 /nfs/dbraw/zinc/61/45/73/1074614573.db2.gz RHRYUYLBUFZFMJ-ZDUSSCGKSA-N 0 0 433.465 -0.626 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000546158183 1074614475 /nfs/dbraw/zinc/61/44/75/1074614475.db2.gz SUHFHTCGIJMRTR-UHFFFAOYSA-N 0 0 426.539 -0.038 20 0 IBADRN C[C@@H](C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000546158844 1074614592 /nfs/dbraw/zinc/61/45/92/1074614592.db2.gz GLTUUPGCDRGDQO-AWEZNQCLSA-N 0 0 426.539 -0.039 20 0 IBADRN C[C@H](C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000546158845 1074614510 /nfs/dbraw/zinc/61/45/10/1074614510.db2.gz GLTUUPGCDRGDQO-CQSZACIVSA-N 0 0 426.539 -0.039 20 0 IBADRN Cn1cc(-n2cc(C(=O)N[C@H](Cc3ccccc3)C(=O)NCCC(N)=O)ccc2=O)cn1 ZINC000546165920 1074614618 /nfs/dbraw/zinc/61/46/18/1074614618.db2.gz KTFRZYKIEIFXOK-GOSISDBHSA-N 0 0 436.472 -0.096 20 0 IBADRN Cn1cc(-n2cc(C(=O)N[C@@H](Cc3ccccc3)C(=O)NCCC(N)=O)ccc2=O)cn1 ZINC000546165921 1074614631 /nfs/dbraw/zinc/61/46/31/1074614631.db2.gz KTFRZYKIEIFXOK-SFHVURJKSA-N 0 0 436.472 -0.096 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(CS(C)(=O)=O)cc1 ZINC000546170643 1074615053 /nfs/dbraw/zinc/61/50/53/1074615053.db2.gz VHOSVZUNAPBWNX-HNNXBMFYSA-N 0 0 448.519 -0.700 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(CS(C)(=O)=O)cc1 ZINC000546170646 1074615006 /nfs/dbraw/zinc/61/50/06/1074615006.db2.gz VHOSVZUNAPBWNX-OAHLLOKOSA-N 0 0 448.519 -0.700 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)cn1C ZINC000546170756 1074614936 /nfs/dbraw/zinc/61/49/36/1074614936.db2.gz NPTBEAXELLGURT-UHFFFAOYSA-N 0 0 425.554 -0.141 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000546171398 1074615048 /nfs/dbraw/zinc/61/50/48/1074615048.db2.gz DGOYZSVULGCGIP-INIZCTEOSA-N 0 0 439.490 -0.352 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000546171407 1074614956 /nfs/dbraw/zinc/61/49/56/1074614956.db2.gz DGOYZSVULGCGIP-MRXNPFEDSA-N 0 0 439.490 -0.352 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000546172145 1074615623 /nfs/dbraw/zinc/61/56/23/1074615623.db2.gz KDOCVNWNEUITIU-LLVKDONJSA-N 0 0 429.480 -0.392 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000546172147 1074615604 /nfs/dbraw/zinc/61/56/04/1074615604.db2.gz KDOCVNWNEUITIU-NSHDSACASA-N 0 0 429.480 -0.392 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(OCC(=O)OC)cc1 ZINC000546172543 1074615584 /nfs/dbraw/zinc/61/55/84/1074615584.db2.gz MYRQYYVYSLMCRG-HNNXBMFYSA-N 0 0 444.462 -0.693 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(OCC(=O)OC)cc1 ZINC000546172547 1074615633 /nfs/dbraw/zinc/61/56/33/1074615633.db2.gz MYRQYYVYSLMCRG-OAHLLOKOSA-N 0 0 444.462 -0.693 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cncc(Br)c1 ZINC000546172892 1074615621 /nfs/dbraw/zinc/61/56/21/1074615621.db2.gz NHQCNGQDLJYSCA-GFCCVEGCSA-N 0 0 436.284 -0.087 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cncc(Br)c1 ZINC000546172899 1074615595 /nfs/dbraw/zinc/61/55/95/1074615595.db2.gz NHQCNGQDLJYSCA-LBPRGKRZSA-N 0 0 436.284 -0.087 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)Cc1ccc(C(=O)OC)cc1 ZINC000546173108 1074615601 /nfs/dbraw/zinc/61/56/01/1074615601.db2.gz XIEQKOCMFVYUBF-HNNXBMFYSA-N 0 0 428.463 -0.317 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)Cc1ccc(C(=O)OC)cc1 ZINC000546173109 1074615625 /nfs/dbraw/zinc/61/56/25/1074615625.db2.gz XIEQKOCMFVYUBF-OAHLLOKOSA-N 0 0 428.463 -0.317 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cnn(C2CCOCC2)c1 ZINC000546173753 1074615558 /nfs/dbraw/zinc/61/55/58/1074615558.db2.gz XZSRZXHQGZSQNR-HNNXBMFYSA-N 0 0 430.483 -0.697 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cnn(C2CCOCC2)c1 ZINC000546173754 1074615575 /nfs/dbraw/zinc/61/55/75/1074615575.db2.gz XZSRZXHQGZSQNR-OAHLLOKOSA-N 0 0 430.483 -0.697 20 0 IBADRN CNc1nc(N2CC[C@@H](NC(=O)NC3CC3)C2)nc(N2CC[C@H](NC(=O)NC3CC3)C2)n1 ZINC000546174106 1074615578 /nfs/dbraw/zinc/61/55/78/1074615578.db2.gz FCEAEZQQSQISMW-GASCZTMLSA-N 0 0 444.544 -0.584 20 0 IBADRN CNc1nc(N2CC[C@H](NC(=O)NC3CC3)C2)nc(N2CC[C@H](NC(=O)NC3CC3)C2)n1 ZINC000546174107 1074615627 /nfs/dbraw/zinc/61/56/27/1074615627.db2.gz FCEAEZQQSQISMW-GJZGRUSLSA-N 0 0 444.544 -0.584 20 0 IBADRN CNc1nc(N2CC[C@@H](NC(=O)NC3CC3)C2)nc(N2CC[C@@H](NC(=O)NC3CC3)C2)n1 ZINC000546174108 1074615610 /nfs/dbraw/zinc/61/56/10/1074615610.db2.gz FCEAEZQQSQISMW-HUUCEWRRSA-N 0 0 444.544 -0.584 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)C2)nn1 ZINC000546176208 1074615998 /nfs/dbraw/zinc/61/59/98/1074615998.db2.gz DYFCYVOHEZVQCT-HNNXBMFYSA-N 0 0 429.485 -0.367 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)C2)nn1 ZINC000546176209 1074616130 /nfs/dbraw/zinc/61/61/30/1074616130.db2.gz DYFCYVOHEZVQCT-OAHLLOKOSA-N 0 0 429.485 -0.367 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1C ZINC000546176901 1074616094 /nfs/dbraw/zinc/61/60/94/1074616094.db2.gz QFHHYOGQLGKYLC-UHFFFAOYSA-N 0 0 426.495 -0.343 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2)nn1 ZINC000546176999 1074615892 /nfs/dbraw/zinc/61/58/92/1074615892.db2.gz JZZHYWRAABTTSJ-CYBMUJFWSA-N 0 0 443.507 -0.380 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)C2)nn1 ZINC000546177001 1074616026 /nfs/dbraw/zinc/61/60/26/1074616026.db2.gz JZZHYWRAABTTSJ-ZDUSSCGKSA-N 0 0 443.507 -0.380 20 0 IBADRN O=S(=O)(Cc1noc2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000546178104 1074616122 /nfs/dbraw/zinc/61/61/22/1074616122.db2.gz DRVFOYZHGDNGIB-UHFFFAOYSA-N 0 0 430.508 -0.148 20 0 IBADRN N=c1nc(N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nc2[nH][nH]cc1-2 ZINC000546179949 1074616546 /nfs/dbraw/zinc/61/65/46/1074616546.db2.gz XROXEBRENALCEZ-UHFFFAOYSA-N 0 0 430.450 -0.441 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNc1ccc(S(N)(=O)=O)cc1S(C)(=O)=O ZINC000546180322 1074616573 /nfs/dbraw/zinc/61/65/73/1074616573.db2.gz ZYDPTAGHGPUYNO-ZDUSSCGKSA-N 0 0 435.524 -0.147 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC[C@H](NC(=O)NC4CC4)C3)CC2)cn1 ZINC000546185983 1074617002 /nfs/dbraw/zinc/61/70/02/1074617002.db2.gz SQDINMMOLOYKMX-HNNXBMFYSA-N 0 0 439.542 -0.181 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)CC2)cn1 ZINC000546185984 1074617109 /nfs/dbraw/zinc/61/71/09/1074617109.db2.gz SQDINMMOLOYKMX-OAHLLOKOSA-N 0 0 439.542 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nc4cnccn4c3=O)CC2)cc1 ZINC000546189106 1072155391 /nfs/dbraw/zinc/15/53/91/1072155391.db2.gz VGAGCAPRTPLCDJ-UHFFFAOYSA-N 0 0 432.462 -0.567 20 0 IBADRN Cc1nccc(N2CCN(C(=O)CCC(=O)Nc3ccc4c(n3)n(C)c(=O)n4C)CC2)n1 ZINC000546190938 1072158118 /nfs/dbraw/zinc/15/81/18/1072158118.db2.gz NQHQSLZYEFHXEL-UHFFFAOYSA-N 0 0 438.492 0.438 20 0 IBADRN Cc1nccc(N2CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2)n1 ZINC000546191620 1074618077 /nfs/dbraw/zinc/61/80/77/1074618077.db2.gz DEWWHHAEKNBAHI-UHFFFAOYSA-N 0 0 444.517 -0.132 20 0 IBADRN Cn1cc(-n2cc(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)ccc2=O)cn1 ZINC000546192807 1074618498 /nfs/dbraw/zinc/61/84/98/1074618498.db2.gz MDJNVGXXCQSSHA-UHFFFAOYSA-N 0 0 436.476 -0.170 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(=O)n(-c3cnn(C)c3)c2)CC1 ZINC000546194262 1074618571 /nfs/dbraw/zinc/61/85/71/1074618571.db2.gz IWFBXLCIAMJYCT-INIZCTEOSA-N 0 0 428.493 -0.424 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(=O)n(-c3cnn(C)c3)c2)CC1 ZINC000546194263 1074618598 /nfs/dbraw/zinc/61/85/98/1074618598.db2.gz IWFBXLCIAMJYCT-MRXNPFEDSA-N 0 0 428.493 -0.424 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(CN2C(=O)CCCC2=O)cc1 ZINC000546194654 1074618577 /nfs/dbraw/zinc/61/85/77/1074618577.db2.gz ROHKWDHLKIWYPJ-UHFFFAOYSA-N 0 0 436.490 -0.184 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)NC[C@@](C)(O)CN1CCOCC1 ZINC000546195360 1074618545 /nfs/dbraw/zinc/61/85/45/1074618545.db2.gz PZDVQVOXGLVBLW-GOSISDBHSA-N 0 0 431.486 -0.243 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)NC[C@](C)(O)CN1CCOCC1 ZINC000546195361 1074618478 /nfs/dbraw/zinc/61/84/78/1074618478.db2.gz PZDVQVOXGLVBLW-SFHVURJKSA-N 0 0 431.486 -0.243 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)C1 ZINC000546195911 1074618446 /nfs/dbraw/zinc/61/84/46/1074618446.db2.gz XFHSIVNUBJXHRD-HNNXBMFYSA-N 0 0 436.490 -0.186 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)C1 ZINC000546195912 1074618418 /nfs/dbraw/zinc/61/84/18/1074618418.db2.gz XFHSIVNUBJXHRD-OAHLLOKOSA-N 0 0 436.490 -0.186 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1 ZINC000546196072 1074618435 /nfs/dbraw/zinc/61/84/35/1074618435.db2.gz FPWOBLDJUJTNGD-UHFFFAOYSA-N 0 0 443.504 -0.056 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1 ZINC000546196103 1074618567 /nfs/dbraw/zinc/61/85/67/1074618567.db2.gz HHOKNXDMWXPBOX-AWEZNQCLSA-N 0 0 430.461 -0.284 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1 ZINC000546196104 1074618595 /nfs/dbraw/zinc/61/85/95/1074618595.db2.gz HHOKNXDMWXPBOX-CQSZACIVSA-N 0 0 430.461 -0.284 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)C2)nn1 ZINC000546196895 1072165008 /nfs/dbraw/zinc/16/50/08/1072165008.db2.gz AGOTZDMDERZEJU-LLVKDONJSA-N 0 0 440.413 -0.737 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)C2)nn1 ZINC000546196897 1072165052 /nfs/dbraw/zinc/16/50/52/1072165052.db2.gz AGOTZDMDERZEJU-NSHDSACASA-N 0 0 440.413 -0.737 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(F)c(-n4nnnc4C)c3)C2)nn1 ZINC000546197620 1074618984 /nfs/dbraw/zinc/61/89/84/1074618984.db2.gz KQDMRVGBSHXPNB-GFCCVEGCSA-N 0 0 443.399 -0.100 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(F)c(-n4nnnc4C)c3)C2)nn1 ZINC000546197621 1074619076 /nfs/dbraw/zinc/61/90/76/1074619076.db2.gz KQDMRVGBSHXPNB-LBPRGKRZSA-N 0 0 443.399 -0.100 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(OCC(=O)N(C)C)cc3)C2)nn1 ZINC000546198315 1074619011 /nfs/dbraw/zinc/61/90/11/1074619011.db2.gz NPNFEJIGJGPYAP-AWEZNQCLSA-N 0 0 444.448 -0.056 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(OCC(=O)N(C)C)cc3)C2)nn1 ZINC000546198317 1074618962 /nfs/dbraw/zinc/61/89/62/1074618962.db2.gz NPNFEJIGJGPYAP-CQSZACIVSA-N 0 0 444.448 -0.056 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3nn(-c4ncccc4F)cc3C)C2)nn1 ZINC000546198823 1074618931 /nfs/dbraw/zinc/61/89/31/1074618931.db2.gz YVUMDGGMTBSCCR-GFCCVEGCSA-N 0 0 442.411 0.505 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3nn(-c4ncccc4F)cc3C)C2)nn1 ZINC000546198824 1074618942 /nfs/dbraw/zinc/61/89/42/1074618942.db2.gz YVUMDGGMTBSCCR-LBPRGKRZSA-N 0 0 442.411 0.505 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(OC)c(-n4cnnn4)c3)C2)nn1 ZINC000546199633 1074619000 /nfs/dbraw/zinc/61/90/00/1074619000.db2.gz ISJZLSUXLFBMKW-GFCCVEGCSA-N 0 0 441.408 -0.539 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(OC)c(-n4cnnn4)c3)C2)nn1 ZINC000546199634 1074618946 /nfs/dbraw/zinc/61/89/46/1074618946.db2.gz ISJZLSUXLFBMKW-LBPRGKRZSA-N 0 0 441.408 -0.539 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1 ZINC000546201637 1074619006 /nfs/dbraw/zinc/61/90/06/1074619006.db2.gz PYCJKJGFKITDFQ-INIZCTEOSA-N 0 0 445.476 -0.481 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1 ZINC000546201638 1074618993 /nfs/dbraw/zinc/61/89/93/1074618993.db2.gz PYCJKJGFKITDFQ-MRXNPFEDSA-N 0 0 445.476 -0.481 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)C2)nn1 ZINC000546202282 1074618922 /nfs/dbraw/zinc/61/89/22/1074618922.db2.gz BVZQVUNWKZKALK-GFCCVEGCSA-N 0 0 427.421 -0.333 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)n(C)c(=O)n4C)C2)nn1 ZINC000546202283 1074618954 /nfs/dbraw/zinc/61/89/54/1074618954.db2.gz BVZQVUNWKZKALK-LBPRGKRZSA-N 0 0 427.421 -0.333 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000546202659 1074618957 /nfs/dbraw/zinc/61/89/57/1074618957.db2.gz GAURUHURQXTVFJ-AWEZNQCLSA-N 0 0 444.448 -0.008 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000546202660 1074618970 /nfs/dbraw/zinc/61/89/70/1074618970.db2.gz GAURUHURQXTVFJ-CQSZACIVSA-N 0 0 444.448 -0.008 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000546204117 1074619016 /nfs/dbraw/zinc/61/90/16/1074619016.db2.gz RWEAHELYDYZVMH-AWEZNQCLSA-N 0 0 444.448 -0.008 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000546204118 1074619061 /nfs/dbraw/zinc/61/90/61/1074619061.db2.gz RWEAHELYDYZVMH-CQSZACIVSA-N 0 0 444.448 -0.008 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c2ccccc2O1 ZINC000546204970 1074619564 /nfs/dbraw/zinc/61/95/64/1074619564.db2.gz XPLRPLIUXNHLCZ-BLLLJJGKSA-N 0 0 442.432 -0.622 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c2ccccc2O1 ZINC000546204975 1074619552 /nfs/dbraw/zinc/61/95/52/1074619552.db2.gz XPLRPLIUXNHLCZ-LRDDRELGSA-N 0 0 442.432 -0.622 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c2ccccc2O1 ZINC000546204977 1074619599 /nfs/dbraw/zinc/61/95/99/1074619599.db2.gz XPLRPLIUXNHLCZ-MLGOLLRUSA-N 0 0 442.432 -0.622 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c2ccccc2O1 ZINC000546204978 1074619536 /nfs/dbraw/zinc/61/95/36/1074619536.db2.gz XPLRPLIUXNHLCZ-WBMJQRKESA-N 0 0 442.432 -0.622 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccccc3OCCC(N)=O)C2)nn1 ZINC000546205391 1074619568 /nfs/dbraw/zinc/61/95/68/1074619568.db2.gz YRQYAIVEDJGDSL-GFCCVEGCSA-N 0 0 430.421 -0.269 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccccc3OCCC(N)=O)C2)nn1 ZINC000546205392 1074619620 /nfs/dbraw/zinc/61/96/20/1074619620.db2.gz YRQYAIVEDJGDSL-LBPRGKRZSA-N 0 0 430.421 -0.269 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN([C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)C2)nn1 ZINC000546206112 1074619512 /nfs/dbraw/zinc/61/95/12/1074619512.db2.gz VIIBWGLVYAIZCQ-DOMZBBRYSA-N 0 0 448.461 -0.709 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN([C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)C2)nn1 ZINC000546206115 1074619603 /nfs/dbraw/zinc/61/96/03/1074619603.db2.gz VIIBWGLVYAIZCQ-IUODEOHRSA-N 0 0 448.461 -0.709 20 0 IBADRN COC(=O)c1cn([C@H]2CCN([C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)C2)nn1 ZINC000546206116 1074619527 /nfs/dbraw/zinc/61/95/27/1074619527.db2.gz VIIBWGLVYAIZCQ-SWLSCSKDSA-N 0 0 448.461 -0.709 20 0 IBADRN COC(=O)c1cn([C@H]2CCN([C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)C2)nn1 ZINC000546206117 1074619456 /nfs/dbraw/zinc/61/94/56/1074619456.db2.gz VIIBWGLVYAIZCQ-WFASDCNBSA-N 0 0 448.461 -0.709 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](NC(=O)NC3CC3)C1)CC2 ZINC000546206390 1074619593 /nfs/dbraw/zinc/61/95/93/1074619593.db2.gz PEJYUNOSRGPDLA-HNNXBMFYSA-N 0 0 449.533 -0.064 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](NC(=O)NC3CC3)C1)CC2 ZINC000546206391 1074619624 /nfs/dbraw/zinc/61/96/24/1074619624.db2.gz PEJYUNOSRGPDLA-OAHLLOKOSA-N 0 0 449.533 -0.064 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000546206543 1074619626 /nfs/dbraw/zinc/61/96/26/1074619626.db2.gz VPXISTCZQNHBOR-LLVKDONJSA-N 0 0 427.421 -0.594 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000546206544 1074619544 /nfs/dbraw/zinc/61/95/44/1074619544.db2.gz VPXISTCZQNHBOR-NSHDSACASA-N 0 0 427.421 -0.594 20 0 IBADRN CCS(=O)(=O)c1cccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000546225636 1074620500 /nfs/dbraw/zinc/62/05/00/1074620500.db2.gz UGBLESPDOVFQGQ-UHFFFAOYSA-N 0 0 425.554 -0.608 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)C2)nn1 ZINC000546225685 1074621095 /nfs/dbraw/zinc/62/10/95/1074621095.db2.gz UZEMJQOZLKAWAO-AWEZNQCLSA-N 0 0 436.494 -0.682 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)C2)nn1 ZINC000546225687 1074621236 /nfs/dbraw/zinc/62/12/36/1074621236.db2.gz UZEMJQOZLKAWAO-CQSZACIVSA-N 0 0 436.494 -0.682 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@@H]3C)C2)nn1 ZINC000546227433 1074621164 /nfs/dbraw/zinc/62/11/64/1074621164.db2.gz JHFLXMZMIHLHGX-GXTWGEPZSA-N 0 0 448.505 -0.063 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@@H]3C)C2)nn1 ZINC000546227434 1074621150 /nfs/dbraw/zinc/62/11/50/1074621150.db2.gz JHFLXMZMIHLHGX-JSGCOSHPSA-N 0 0 448.505 -0.063 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@H]3C)C2)nn1 ZINC000546227435 1074621133 /nfs/dbraw/zinc/62/11/33/1074621133.db2.gz JHFLXMZMIHLHGX-OCCSQVGLSA-N 0 0 448.505 -0.063 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@H]3C)C2)nn1 ZINC000546227437 1074621186 /nfs/dbraw/zinc/62/11/86/1074621186.db2.gz JHFLXMZMIHLHGX-TZMCWYRMSA-N 0 0 448.505 -0.063 20 0 IBADRN NC(=O)Cn1cc(-c2nc(Cc3nnc(N4CCOCC4)n3-c3ccccc3)no2)nn1 ZINC000546243224 1074622291 /nfs/dbraw/zinc/62/22/91/1074622291.db2.gz UBJZZAYFGYEHOZ-UHFFFAOYSA-N 0 0 436.436 -0.179 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000546255604 1074623325 /nfs/dbraw/zinc/62/33/25/1074623325.db2.gz IXTQGDFMWHJYQD-HNNXBMFYSA-N 0 0 437.522 -0.271 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000546255605 1074623274 /nfs/dbraw/zinc/62/32/74/1074623274.db2.gz IXTQGDFMWHJYQD-OAHLLOKOSA-N 0 0 437.522 -0.271 20 0 IBADRN Cn1c(=O)ccn(Cc2nc(-c3ccccc3S(=O)(=O)N3CCOCC3)no2)c1=O ZINC000546310296 1074624785 /nfs/dbraw/zinc/62/47/85/1074624785.db2.gz QXQAZWORZGBSAA-UHFFFAOYSA-N 0 0 433.446 -0.334 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1-c1noc(Cn2c(=O)c3c(ncn3C)n(C)c2=O)n1 ZINC000546335936 1074625902 /nfs/dbraw/zinc/62/59/02/1074625902.db2.gz AFKFNTSYNMOVBZ-UHFFFAOYSA-N 0 0 445.461 -0.218 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(-c2noc(Cn3c(=O)c4c(ncn4C)n(C)c3=O)n2)cc1 ZINC000546343695 1074625865 /nfs/dbraw/zinc/62/58/65/1074625865.db2.gz FLRPKDUAGZBSOR-UHFFFAOYSA-N 0 0 445.461 -0.218 20 0 IBADRN Cn1c2ncn(CCc3nc(-c4cccnc4N4CCOCC4)no3)c2c(=O)n(C)c1=O ZINC000546350218 1074626437 /nfs/dbraw/zinc/62/64/37/1074626437.db2.gz AKADAPAEGILEJS-UHFFFAOYSA-N 0 0 438.448 -0.042 20 0 IBADRN Cn1c2ncn(CCc3nc(-c4ccnc(S(C)(=O)=O)c4)no3)c2c(=O)n(C)c1=O ZINC000546384796 1074627322 /nfs/dbraw/zinc/62/73/22/1074627322.db2.gz KRBGOMPJLBPWAY-UHFFFAOYSA-N 0 0 431.434 -0.475 20 0 IBADRN Cn1c2ncn(CCc3nc(-c4ccc(N5CCOCC5)cn4)no3)c2c(=O)n(C)c1=O ZINC000546444977 1074628971 /nfs/dbraw/zinc/62/89/71/1074628971.db2.gz KIPYFEZRYFIOAQ-UHFFFAOYSA-N 0 0 438.448 -0.042 20 0 IBADRN CN(C)C(=O)Cn1cc(-c2nc(-c3ccc(C(=O)N4CCN(C)CC4)nc3)no2)nn1 ZINC000546465065 1074629036 /nfs/dbraw/zinc/62/90/36/1074629036.db2.gz QSQBUDOHFFQBOP-UHFFFAOYSA-N 0 0 425.453 -0.134 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)Cc3nc(-c4ncn(CC(N)=O)n4)no3)CC2)c1 ZINC000546500342 1074630205 /nfs/dbraw/zinc/63/02/05/1074630205.db2.gz XYYBIJQKNKFJDK-UHFFFAOYSA-N 0 0 446.493 -0.226 20 0 IBADRN Cn1c2ncn(CCc3nc(-c4ccncc4N4CCOCC4)no3)c2c(=O)n(C)c1=O ZINC000546523673 1074632637 /nfs/dbraw/zinc/63/26/37/1074632637.db2.gz GWOGUAFTGZORFQ-UHFFFAOYSA-N 0 0 438.448 -0.042 20 0 IBADRN CCc1noc2ncc(S(=O)(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc12 ZINC000546528706 1074633120 /nfs/dbraw/zinc/63/31/20/1074633120.db2.gz VNUNBYOKHLDWJR-UHFFFAOYSA-N 0 0 435.462 -0.233 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000546535579 1074633675 /nfs/dbraw/zinc/63/36/75/1074633675.db2.gz KDAHWHXXXTWBMW-FRRDWIJNSA-N 0 0 447.496 -0.818 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000546535584 1074633667 /nfs/dbraw/zinc/63/36/67/1074633667.db2.gz KDAHWHXXXTWBMW-JHJVBQTASA-N 0 0 447.496 -0.818 20 0 IBADRN C[C@H](Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000546535586 1074633714 /nfs/dbraw/zinc/63/37/14/1074633714.db2.gz KDAHWHXXXTWBMW-UPJWGTAASA-N 0 0 447.496 -0.818 20 0 IBADRN C[C@H](Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000546535587 1074633700 /nfs/dbraw/zinc/63/37/00/1074633700.db2.gz KDAHWHXXXTWBMW-XQQFMLRXSA-N 0 0 447.496 -0.818 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)NCCO)c1)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000546539774 1074633735 /nfs/dbraw/zinc/63/37/35/1074633735.db2.gz HUFNQJPVRQVDEL-CYBMUJFWSA-N 0 0 438.510 -0.319 20 0 IBADRN C[C@H](Nc1cnn(CC(=O)NCCO)c1)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000546539775 1074633694 /nfs/dbraw/zinc/63/36/94/1074633694.db2.gz HUFNQJPVRQVDEL-ZDUSSCGKSA-N 0 0 438.510 -0.319 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC(=O)Nc3cc(Br)cnc32)c(=O)n(C)c1=O ZINC000546554654 1074634003 /nfs/dbraw/zinc/63/40/03/1074634003.db2.gz OMXFILRVUMJTAP-UHFFFAOYSA-N 0 0 430.240 -0.611 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2)nn1 ZINC000546567748 1074634069 /nfs/dbraw/zinc/63/40/69/1074634069.db2.gz JFIWAJUZJFFJNV-JTQLQIEISA-N 0 0 449.449 -0.562 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2)nn1 ZINC000546567749 1074634017 /nfs/dbraw/zinc/63/40/17/1074634017.db2.gz JFIWAJUZJFFJNV-SNVBAGLBSA-N 0 0 449.449 -0.562 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)C2)nn1 ZINC000546567759 1074634086 /nfs/dbraw/zinc/63/40/86/1074634086.db2.gz JRYPXOSRWWFARS-JTQLQIEISA-N 0 0 434.434 -0.380 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)C2)nn1 ZINC000546567760 1074634193 /nfs/dbraw/zinc/63/41/93/1074634193.db2.gz JRYPXOSRWWFARS-SNVBAGLBSA-N 0 0 434.434 -0.380 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)C2)nn1 ZINC000546571527 1074634243 /nfs/dbraw/zinc/63/42/43/1074634243.db2.gz TULIVYSCOAATRL-LLVKDONJSA-N 0 0 427.421 -0.512 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)C2)nn1 ZINC000546571528 1074634097 /nfs/dbraw/zinc/63/40/97/1074634097.db2.gz TULIVYSCOAATRL-NSHDSACASA-N 0 0 427.421 -0.512 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)NCCS(=O)(=O)N3CCSCC3)C2)nn1 ZINC000546572890 1074634649 /nfs/dbraw/zinc/63/46/49/1074634649.db2.gz PUNQVAXVPPVVNI-CYBMUJFWSA-N 0 0 446.555 -0.210 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)NCCS(=O)(=O)N3CCSCC3)C2)nn1 ZINC000546572892 1074634670 /nfs/dbraw/zinc/63/46/70/1074634670.db2.gz PUNQVAXVPPVVNI-ZDUSSCGKSA-N 0 0 446.555 -0.210 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)NCCNS(=O)(=O)Cc3ccon3)C2)nn1 ZINC000546573079 1074634616 /nfs/dbraw/zinc/63/46/16/1074634616.db2.gz WKHFDIINIYIYNG-CYBMUJFWSA-N 0 0 441.470 -0.481 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)NCCNS(=O)(=O)Cc3ccon3)C2)nn1 ZINC000546573081 1074634682 /nfs/dbraw/zinc/63/46/82/1074634682.db2.gz WKHFDIINIYIYNG-ZDUSSCGKSA-N 0 0 441.470 -0.481 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000546577984 1074634666 /nfs/dbraw/zinc/63/46/66/1074634666.db2.gz YAHFXTQVXHUARP-GFCCVEGCSA-N 0 0 442.436 -0.121 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000546577985 1074634651 /nfs/dbraw/zinc/63/46/51/1074634651.db2.gz YAHFXTQVXHUARP-LBPRGKRZSA-N 0 0 442.436 -0.121 20 0 IBADRN CC1(CO)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000546582377 1074634619 /nfs/dbraw/zinc/63/46/19/1074634619.db2.gz YKMKPMDGADIMFB-UHFFFAOYSA-N 0 0 438.506 -0.633 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2csc(-c3ccccn3)n2)CC1 ZINC000546583069 1074634661 /nfs/dbraw/zinc/63/46/61/1074634661.db2.gz KIFHLSRFWSCARL-UHFFFAOYSA-N 0 0 427.450 -0.499 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@@](C)(O)C(=O)OC)CC2)o1 ZINC000546583159 1074634653 /nfs/dbraw/zinc/63/46/53/1074634653.db2.gz FRBKYDLOMHJIMH-GOSISDBHSA-N 0 0 446.478 -0.103 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@](C)(O)C(=O)OC)CC2)o1 ZINC000546583161 1074634585 /nfs/dbraw/zinc/63/45/85/1074634585.db2.gz FRBKYDLOMHJIMH-SFHVURJKSA-N 0 0 446.478 -0.103 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC[C@@H](n3cc(C(=O)OC)nn3)C2)c(=O)[nH]c1=O ZINC000546585401 1074635100 /nfs/dbraw/zinc/63/51/00/1074635100.db2.gz MMKVBVSBJMOHKI-LLVKDONJSA-N 0 0 435.441 -0.925 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC[C@H](n3cc(C(=O)OC)nn3)C2)c(=O)[nH]c1=O ZINC000546585402 1074635290 /nfs/dbraw/zinc/63/52/90/1074635290.db2.gz MMKVBVSBJMOHKI-NSHDSACASA-N 0 0 435.441 -0.925 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3ccc(S(C)(=O)=O)nc3)C2)nn1 ZINC000546585968 1074635217 /nfs/dbraw/zinc/63/52/17/1074635217.db2.gz XTIAZWKVRCUACH-GFCCVEGCSA-N 0 0 436.450 -0.335 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3ccc(S(C)(=O)=O)nc3)C2)nn1 ZINC000546585969 1074635249 /nfs/dbraw/zinc/63/52/49/1074635249.db2.gz XTIAZWKVRCUACH-LBPRGKRZSA-N 0 0 436.450 -0.335 20 0 IBADRN Cc1noc(C)c1CO[C@H]1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000546586353 1074635231 /nfs/dbraw/zinc/63/52/31/1074635231.db2.gz GOKVGUICFLBBFM-IBGZPJMESA-N 0 0 449.552 -0.115 20 0 IBADRN Cc1noc(C)c1CO[C@@H]1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000546586354 1074635141 /nfs/dbraw/zinc/63/51/41/1074635141.db2.gz GOKVGUICFLBBFM-LJQANCHMSA-N 0 0 449.552 -0.115 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](n2cc(C(=O)OC)nn2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000546586889 1074635295 /nfs/dbraw/zinc/63/52/95/1074635295.db2.gz PMQYPQFYICKXSK-CABCVRRESA-N 0 0 443.526 -0.636 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](n2cc(C(=O)OC)nn2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000546586890 1074635270 /nfs/dbraw/zinc/63/52/70/1074635270.db2.gz PMQYPQFYICKXSK-GJZGRUSLSA-N 0 0 443.526 -0.636 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](n2cc(C(=O)OC)nn2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000546586891 1074635278 /nfs/dbraw/zinc/63/52/78/1074635278.db2.gz PMQYPQFYICKXSK-HUUCEWRRSA-N 0 0 443.526 -0.636 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](n2cc(C(=O)OC)nn2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000546586892 1074635598 /nfs/dbraw/zinc/63/55/98/1074635598.db2.gz PMQYPQFYICKXSK-LSDHHAIUSA-N 0 0 443.526 -0.636 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3ccn(Cc4ccncc4)n3)C2)nn1 ZINC000546587781 1073329734 /nfs/dbraw/zinc/32/97/34/1073329734.db2.gz RPFHMHNHTQTYBP-HNNXBMFYSA-N 0 0 438.448 0.507 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3ccn(Cc4ccncc4)n3)C2)nn1 ZINC000546587782 1073328877 /nfs/dbraw/zinc/32/88/77/1073328877.db2.gz RPFHMHNHTQTYBP-OAHLLOKOSA-N 0 0 438.448 0.507 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000546588014 1074635570 /nfs/dbraw/zinc/63/55/70/1074635570.db2.gz AVPUHOSOUAXYRQ-AWEZNQCLSA-N 0 0 444.448 -0.008 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000546588015 1074635476 /nfs/dbraw/zinc/63/54/76/1074635476.db2.gz AVPUHOSOUAXYRQ-CQSZACIVSA-N 0 0 444.448 -0.008 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000546589249 1073341111 /nfs/dbraw/zinc/34/11/11/1073341111.db2.gz RXRZPQBAHIPPIZ-LLVKDONJSA-N 0 0 433.425 -0.223 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000546589250 1073341143 /nfs/dbraw/zinc/34/11/43/1073341143.db2.gz RXRZPQBAHIPPIZ-NSHDSACASA-N 0 0 433.425 -0.223 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3c(OC)ncnc3N(C)C)C2)nn1 ZINC000546589279 1074635604 /nfs/dbraw/zinc/63/56/04/1074635604.db2.gz VSDKYGHILANURF-LLVKDONJSA-N 0 0 432.441 -0.269 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3c(OC)ncnc3N(C)C)C2)nn1 ZINC000546589280 1074635595 /nfs/dbraw/zinc/63/55/95/1074635595.db2.gz VSDKYGHILANURF-NSHDSACASA-N 0 0 432.441 -0.269 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3)C2)nn1 ZINC000546589376 1074635485 /nfs/dbraw/zinc/63/54/85/1074635485.db2.gz QRNYPEMQARTGSF-AWEZNQCLSA-N 0 0 425.409 -0.157 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3)C2)nn1 ZINC000546589377 1074635546 /nfs/dbraw/zinc/63/55/46/1074635546.db2.gz QRNYPEMQARTGSF-CQSZACIVSA-N 0 0 425.409 -0.157 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)CC1=O ZINC000546592758 1074636113 /nfs/dbraw/zinc/63/61/13/1074636113.db2.gz XVNNASCRXYKLIF-UHFFFAOYSA-N 0 0 438.535 -0.702 20 0 IBADRN CCN(CC(=O)Nc1nc(CC(=O)OC)cs1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000546611813 1074636476 /nfs/dbraw/zinc/63/64/76/1074636476.db2.gz ILJDRXAWTFVXOW-LLVKDONJSA-N 0 0 432.524 -0.578 20 0 IBADRN CCN(CC(=O)Nc1nc(CC(=O)OC)cs1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000546611814 1074636879 /nfs/dbraw/zinc/63/68/79/1074636879.db2.gz ILJDRXAWTFVXOW-NSHDSACASA-N 0 0 432.524 -0.578 20 0 IBADRN Cn1c(C(=O)NCc2cnc(N3CCOCC3)c(F)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000546613901 1074636890 /nfs/dbraw/zinc/63/68/90/1074636890.db2.gz HZJCAAQUSJDPIL-UHFFFAOYSA-N 0 0 430.440 -0.124 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000546616491 1073306273 /nfs/dbraw/zinc/30/62/73/1073306273.db2.gz FSMKGUKABKRRIH-INIZCTEOSA-N 0 0 429.481 -0.042 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)n1 ZINC000546616492 1073306079 /nfs/dbraw/zinc/30/60/79/1073306079.db2.gz FSMKGUKABKRRIH-MRXNPFEDSA-N 0 0 429.481 -0.042 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS1(=O)=O ZINC000546616689 1073599295 /nfs/dbraw/zinc/59/92/95/1073599295.db2.gz XBZPBSLBUFWMAN-ZDUSSCGKSA-N 0 0 438.549 -0.485 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)c1 ZINC000546623054 1074637489 /nfs/dbraw/zinc/63/74/89/1074637489.db2.gz GDKUNMPNVYEXJY-INIZCTEOSA-N 0 0 435.506 -0.715 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)c1 ZINC000546623055 1074637509 /nfs/dbraw/zinc/63/75/09/1074637509.db2.gz GDKUNMPNVYEXJY-MRXNPFEDSA-N 0 0 435.506 -0.715 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1)c(=O)n2C ZINC000546642174 1074638851 /nfs/dbraw/zinc/63/88/51/1074638851.db2.gz ZEEAOGSHMHRYAS-AWEZNQCLSA-N 0 0 437.522 -0.218 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1)c(=O)n2C ZINC000546642175 1074638910 /nfs/dbraw/zinc/63/89/10/1074638910.db2.gz ZEEAOGSHMHRYAS-CQSZACIVSA-N 0 0 437.522 -0.218 20 0 IBADRN CC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000546681290 1074641115 /nfs/dbraw/zinc/64/11/15/1074641115.db2.gz FKPFDHXTZGJNBG-UHFFFAOYSA-N 0 0 427.461 -0.517 20 0 IBADRN CC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000546681680 1074641103 /nfs/dbraw/zinc/64/11/03/1074641103.db2.gz YIQSJJOWGGLOKB-UHFFFAOYSA-N 0 0 441.488 -0.173 20 0 IBADRN CC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000546683819 1074641132 /nfs/dbraw/zinc/64/11/32/1074641132.db2.gz IMCMPHOTDMCGPD-UHFFFAOYSA-N 0 0 443.504 -0.463 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000546686505 1074641124 /nfs/dbraw/zinc/64/11/24/1074641124.db2.gz CNXGCHVQBGSQFW-ARFHVFGLSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000546686506 1074640996 /nfs/dbraw/zinc/64/09/96/1074640996.db2.gz CNXGCHVQBGSQFW-BZUAXINKSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000546686507 1074641143 /nfs/dbraw/zinc/64/11/43/1074641143.db2.gz CNXGCHVQBGSQFW-HRCADAONSA-N 0 0 429.539 -0.587 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000546686508 1074641165 /nfs/dbraw/zinc/64/11/65/1074641165.db2.gz CNXGCHVQBGSQFW-OWCLPIDISA-N 0 0 429.539 -0.587 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCCNS(=O)(=O)c2ccccc2Cl)c1 ZINC000546694469 1074641083 /nfs/dbraw/zinc/64/10/83/1074641083.db2.gz DNQHZAJJWQAOBJ-UHFFFAOYSA-N 0 0 449.942 -0.163 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC[C@@H](CO)[C@@H](O)C2)c1OC ZINC000546695397 1074640965 /nfs/dbraw/zinc/64/09/65/1074640965.db2.gz YAEHYCVJWFESEU-BBRMVZONSA-N 0 0 444.506 -0.460 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC[C@H](CO)[C@@H](O)C2)c1OC ZINC000546695398 1074641169 /nfs/dbraw/zinc/64/11/69/1074641169.db2.gz YAEHYCVJWFESEU-CJNGLKHVSA-N 0 0 444.506 -0.460 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC[C@H](CO)[C@H](O)C2)c1OC ZINC000546695399 1074641139 /nfs/dbraw/zinc/64/11/39/1074641139.db2.gz YAEHYCVJWFESEU-CZUORRHYSA-N 0 0 444.506 -0.460 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC[C@@H](CO)[C@H](O)C2)c1OC ZINC000546695400 1074641096 /nfs/dbraw/zinc/64/10/96/1074641096.db2.gz YAEHYCVJWFESEU-XJKSGUPXSA-N 0 0 444.506 -0.460 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](CO)[C@H](O)C2)c1 ZINC000546696966 1074640981 /nfs/dbraw/zinc/64/09/81/1074640981.db2.gz AGNHSVMOSVSDDP-DOTOQJQBSA-N 0 0 427.523 -0.351 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](CO)[C@H](O)C2)c1 ZINC000546696967 1074641054 /nfs/dbraw/zinc/64/10/54/1074641054.db2.gz AGNHSVMOSVSDDP-NVXWUHKLSA-N 0 0 427.523 -0.351 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](CO)[C@@H](O)C2)c1 ZINC000546696968 1074641049 /nfs/dbraw/zinc/64/10/49/1074641049.db2.gz AGNHSVMOSVSDDP-RDJZCZTQSA-N 0 0 427.523 -0.351 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](CO)[C@@H](O)C2)c1 ZINC000546696969 1074641077 /nfs/dbraw/zinc/64/10/77/1074641077.db2.gz AGNHSVMOSVSDDP-WBVHZDCISA-N 0 0 427.523 -0.351 20 0 IBADRN CN1CC[C@H](NC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)C1=O ZINC000546723683 1074642093 /nfs/dbraw/zinc/64/20/93/1074642093.db2.gz WPVLBBXJDXIHOE-HNNXBMFYSA-N 0 0 449.533 -0.126 20 0 IBADRN CN1CC[C@@H](NC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)C1=O ZINC000546723684 1074642108 /nfs/dbraw/zinc/64/21/08/1074642108.db2.gz WPVLBBXJDXIHOE-OAHLLOKOSA-N 0 0 449.533 -0.126 20 0 IBADRN CN1CC[C@H](NC(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)C1=O ZINC000546723844 1074642111 /nfs/dbraw/zinc/64/21/11/1074642111.db2.gz RYKILZQWSSYZGR-INIZCTEOSA-N 0 0 434.474 -0.078 20 0 IBADRN CN1CC[C@@H](NC(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)C1=O ZINC000546723845 1074642176 /nfs/dbraw/zinc/64/21/76/1074642176.db2.gz RYKILZQWSSYZGR-MRXNPFEDSA-N 0 0 434.474 -0.078 20 0 IBADRN CCc1c(C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000546736142 1074642070 /nfs/dbraw/zinc/64/20/70/1074642070.db2.gz MOISGOMBMKETKH-UHFFFAOYSA-N 0 0 449.533 -0.119 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1 ZINC000546736195 1074642055 /nfs/dbraw/zinc/64/20/55/1074642055.db2.gz UIVGPJPWPIYCPQ-UHFFFAOYSA-N 0 0 429.520 -0.368 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)n1)N1CCOCC1 ZINC000546736461 1073322358 /nfs/dbraw/zinc/32/23/58/1073322358.db2.gz FAWRCFJECPVOHM-UHFFFAOYSA-N 0 0 440.526 -0.180 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CC(S(=O)(=O)NC4CCCC4)C3)cnc2n(C)c1=O ZINC000546736817 1073008076 /nfs/dbraw/zinc/00/80/76/1073008076.db2.gz JQEJVBVXHKQTOA-UHFFFAOYSA-N 0 0 436.494 -0.290 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000546736833 1074642126 /nfs/dbraw/zinc/64/21/26/1074642126.db2.gz NMWVMLSLGHXBIX-HNNXBMFYSA-N 0 0 428.555 -0.760 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000546736834 1074642082 /nfs/dbraw/zinc/64/20/82/1074642082.db2.gz NMWVMLSLGHXBIX-OAHLLOKOSA-N 0 0 428.555 -0.760 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)C1=O ZINC000546739730 1074642587 /nfs/dbraw/zinc/64/25/87/1074642587.db2.gz FYIAKOVJQYDDBM-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)C1=O ZINC000546739731 1074642652 /nfs/dbraw/zinc/64/26/52/1074642652.db2.gz FYIAKOVJQYDDBM-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)C1=O ZINC000546739826 1074642607 /nfs/dbraw/zinc/64/26/07/1074642607.db2.gz FRQVEWVNFNFRPZ-INIZCTEOSA-N 0 0 427.461 -0.215 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)C1=O ZINC000546739827 1074642771 /nfs/dbraw/zinc/64/27/71/1074642771.db2.gz FRQVEWVNFNFRPZ-MRXNPFEDSA-N 0 0 427.461 -0.215 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCN(C)C3=O)c2)CC1 ZINC000546740036 1074642718 /nfs/dbraw/zinc/64/27/18/1074642718.db2.gz XOKSDKFYHMGPNB-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCN(C)C3=O)c2)CC1 ZINC000546740037 1074642552 /nfs/dbraw/zinc/64/25/52/1074642552.db2.gz XOKSDKFYHMGPNB-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccc3c(c2)C(=O)NCC3)CC1 ZINC000546747510 1074642622 /nfs/dbraw/zinc/64/26/22/1074642622.db2.gz AZVJDXOZWPNBAA-UHFFFAOYSA-N 0 0 427.461 -0.525 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000546753967 1074642685 /nfs/dbraw/zinc/64/26/85/1074642685.db2.gz JZPVJQHFKBTGEV-KRWDZBQOSA-N 0 0 438.550 -0.010 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000546753968 1074642731 /nfs/dbraw/zinc/64/27/31/1074642731.db2.gz JZPVJQHFKBTGEV-QGZVFWFLSA-N 0 0 438.550 -0.010 20 0 IBADRN O=C(NCC(O)CNC(=O)c1nc2ccccc2c(=O)[nH]1)c1nc2ccccc2c(=O)[nH]1 ZINC000546762676 1074643098 /nfs/dbraw/zinc/64/30/98/1074643098.db2.gz XIOJCRJSWLXXKU-UHFFFAOYSA-N 0 0 434.412 -0.320 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)N1CCN(c2ncc(F)cn2)CC1 ZINC000546771262 1074643128 /nfs/dbraw/zinc/64/31/28/1074643128.db2.gz QATGTICUDYSVPL-UHFFFAOYSA-N 0 0 430.425 -0.011 20 0 IBADRN CC1(Cn2cc(C(=O)NCCS(=O)(=O)N3CCN(c4ccccn4)CC3)nn2)COC1 ZINC000546772104 1074643232 /nfs/dbraw/zinc/64/32/32/1074643232.db2.gz ARHZZHOXVHPLAH-UHFFFAOYSA-N 0 0 449.537 -0.409 20 0 IBADRN CC1(Cn2cc(C(=O)Nc3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)nn2)COC1 ZINC000546772852 1074643297 /nfs/dbraw/zinc/64/32/97/1074643297.db2.gz NUFVQZHPGSLAMQ-UHFFFAOYSA-N 0 0 434.478 -0.313 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1F ZINC000546777731 1074643312 /nfs/dbraw/zinc/64/33/12/1074643312.db2.gz FLPOTCLGNMRCMG-CYBMUJFWSA-N 0 0 434.471 -0.100 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1F ZINC000546777737 1074643320 /nfs/dbraw/zinc/64/33/20/1074643320.db2.gz FLPOTCLGNMRCMG-ZDUSSCGKSA-N 0 0 434.471 -0.100 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c(OC)c1 ZINC000546781322 1074643712 /nfs/dbraw/zinc/64/37/12/1074643712.db2.gz FZDHNBVPZNVWMC-GFCCVEGCSA-N 0 0 446.507 -0.228 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c(OC)c1 ZINC000546781323 1074643760 /nfs/dbraw/zinc/64/37/60/1074643760.db2.gz FZDHNBVPZNVWMC-LBPRGKRZSA-N 0 0 446.507 -0.228 20 0 IBADRN CCN1c2ccc(NC(=O)C(=O)NCC(=O)N3CCC[C@H]3C(=O)NC)cc2CCC1=O ZINC000546784865 1074643663 /nfs/dbraw/zinc/64/36/63/1074643663.db2.gz ALRRJHPBEWQAOU-INIZCTEOSA-N 0 0 429.477 -0.223 20 0 IBADRN CCN1c2ccc(NC(=O)C(=O)NCC(=O)N3CCC[C@@H]3C(=O)NC)cc2CCC1=O ZINC000546784871 1074643779 /nfs/dbraw/zinc/64/37/79/1074643779.db2.gz ALRRJHPBEWQAOU-MRXNPFEDSA-N 0 0 429.477 -0.223 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000546787133 1074644315 /nfs/dbraw/zinc/64/43/15/1074644315.db2.gz YRSCVPCWEQNNHZ-INIZCTEOSA-N 0 0 429.564 -0.141 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000546787134 1074644285 /nfs/dbraw/zinc/64/42/85/1074644285.db2.gz YRSCVPCWEQNNHZ-MRXNPFEDSA-N 0 0 429.564 -0.141 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(CC4(C)COC4)nn3)CC2)C[C@H](C)O1 ZINC000546788723 1074644339 /nfs/dbraw/zinc/64/43/39/1074644339.db2.gz CWGHSGRLGWEVSD-GASCZTMLSA-N 0 0 442.542 -0.574 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(CC4(C)COC4)nn3)CC2)C[C@H](C)O1 ZINC000546788724 1074644268 /nfs/dbraw/zinc/64/42/68/1074644268.db2.gz CWGHSGRLGWEVSD-GJZGRUSLSA-N 0 0 442.542 -0.574 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(CC4(C)COC4)nn3)CC2)C[C@@H](C)O1 ZINC000546788725 1074644311 /nfs/dbraw/zinc/64/43/11/1074644311.db2.gz CWGHSGRLGWEVSD-HUUCEWRRSA-N 0 0 442.542 -0.574 20 0 IBADRN CNc1nnc(CC(=O)N2CCN(CCNS(=O)(=O)c3ccc(C)cc3)CC2)s1 ZINC000546791795 1074644945 /nfs/dbraw/zinc/64/49/45/1074644945.db2.gz QHFUYYVOKACTEX-UHFFFAOYSA-N 0 0 438.579 0.553 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000546791890 1074644893 /nfs/dbraw/zinc/64/48/93/1074644893.db2.gz ROOYQVAZALSHJO-DLBZAZTESA-N 0 0 445.563 -0.859 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000546791891 1074644716 /nfs/dbraw/zinc/64/47/16/1074644716.db2.gz ROOYQVAZALSHJO-IAGOWNOFSA-N 0 0 445.563 -0.859 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000546791892 1074644904 /nfs/dbraw/zinc/64/49/04/1074644904.db2.gz ROOYQVAZALSHJO-IRXDYDNUSA-N 0 0 445.563 -0.859 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000546791893 1074644763 /nfs/dbraw/zinc/64/47/63/1074644763.db2.gz ROOYQVAZALSHJO-SJORKVTESA-N 0 0 445.563 -0.859 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000546794902 1074644734 /nfs/dbraw/zinc/64/47/34/1074644734.db2.gz VKAZIHUKUDQJNM-UHFFFAOYSA-N 0 0 432.460 -0.568 20 0 IBADRN O=S(=O)(c1ccc(Br)o1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000546799745 1074644847 /nfs/dbraw/zinc/64/48/47/1074644847.db2.gz SRCPWPDVZYPMKW-UHFFFAOYSA-N 0 0 444.329 -0.075 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(C)C3CC(O)C3)CC2)c1 ZINC000546800477 1074645286 /nfs/dbraw/zinc/64/52/86/1074645286.db2.gz HHGCZBSHFDBAGG-UHFFFAOYSA-N 0 0 427.523 -0.008 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)cn1 ZINC000546803118 1074645156 /nfs/dbraw/zinc/64/51/56/1074645156.db2.gz RRIAMPCOVLMAHX-UHFFFAOYSA-N 0 0 430.469 -0.969 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)[C@]12CCCO2 ZINC000546803237 1073343491 /nfs/dbraw/zinc/34/34/91/1073343491.db2.gz OOEJEOIZDBSLLQ-GCKMJXCFSA-N 0 0 448.524 0.462 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)[C@@]12CCCO2 ZINC000546803244 1073343292 /nfs/dbraw/zinc/34/32/92/1073343292.db2.gz OOEJEOIZDBSLLQ-MRUHUIDDSA-N 0 0 448.524 0.462 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)[C@]12CCCO2 ZINC000546803245 1074645243 /nfs/dbraw/zinc/64/52/43/1074645243.db2.gz OOEJEOIZDBSLLQ-WHSLLNHNSA-N 0 0 448.524 0.462 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)[C@@]12CCCO2 ZINC000546803246 1073342969 /nfs/dbraw/zinc/34/29/69/1073342969.db2.gz OOEJEOIZDBSLLQ-ZOCZFRKYSA-N 0 0 448.524 0.462 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000546803597 1074645263 /nfs/dbraw/zinc/64/52/63/1074645263.db2.gz QXUJSWKEKYHNOU-DLBZAZTESA-N 0 0 441.506 -0.044 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000546803598 1074645152 /nfs/dbraw/zinc/64/51/52/1074645152.db2.gz QXUJSWKEKYHNOU-IAGOWNOFSA-N 0 0 441.506 -0.044 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000546803599 1074645279 /nfs/dbraw/zinc/64/52/79/1074645279.db2.gz QXUJSWKEKYHNOU-IRXDYDNUSA-N 0 0 441.506 -0.044 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000546803600 1074645271 /nfs/dbraw/zinc/64/52/71/1074645271.db2.gz QXUJSWKEKYHNOU-SJORKVTESA-N 0 0 441.506 -0.044 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)C(=O)Nc3ccn(Cc4ccncc4)n3)CC2)nn1 ZINC000546804586 1073328544 /nfs/dbraw/zinc/32/85/44/1073328544.db2.gz RMWWZXRABOHDCE-UHFFFAOYSA-N 0 0 438.448 0.507 20 0 IBADRN COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000546805476 1074645229 /nfs/dbraw/zinc/64/52/29/1074645229.db2.gz NYYSIMQADLIIQQ-AWEZNQCLSA-N 0 0 440.522 -0.591 20 0 IBADRN COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000546805477 1074645251 /nfs/dbraw/zinc/64/52/51/1074645251.db2.gz NYYSIMQADLIIQQ-CQSZACIVSA-N 0 0 440.522 -0.591 20 0 IBADRN CCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc21 ZINC000546805780 1074645769 /nfs/dbraw/zinc/64/57/69/1074645769.db2.gz ZMWIKIFYFYGZDH-UHFFFAOYSA-N 0 0 440.464 -0.718 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CCN1Cc1ccccc1 ZINC000546805947 1073348905 /nfs/dbraw/zinc/34/89/05/1073348905.db2.gz FLFHTCFEQBLXLJ-KRWDZBQOSA-N 0 0 427.509 0.428 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CCN1Cc1ccccc1 ZINC000546805948 1073348012 /nfs/dbraw/zinc/34/80/12/1073348012.db2.gz FLFHTCFEQBLXLJ-QGZVFWFLSA-N 0 0 427.509 0.428 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1cccc(O)c1 ZINC000546806322 1074645167 /nfs/dbraw/zinc/64/51/67/1074645167.db2.gz AKKSUZWTVNLRHP-APWZRJJASA-N 0 0 439.534 -0.083 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1cccc(O)c1 ZINC000546806323 1074645934 /nfs/dbraw/zinc/64/59/34/1074645934.db2.gz AKKSUZWTVNLRHP-LPHOPBHVSA-N 0 0 439.534 -0.083 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1cccc(O)c1 ZINC000546806324 1074645988 /nfs/dbraw/zinc/64/59/88/1074645988.db2.gz AKKSUZWTVNLRHP-QFBILLFUSA-N 0 0 439.534 -0.083 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1cccc(O)c1 ZINC000546806325 1074645868 /nfs/dbraw/zinc/64/58/68/1074645868.db2.gz AKKSUZWTVNLRHP-VQIMIIECSA-N 0 0 439.534 -0.083 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)C(=O)Nc3c(OC)ncnc3N(C)C)CC2)nn1 ZINC000546806556 1074645306 /nfs/dbraw/zinc/64/53/06/1074645306.db2.gz JDCWBBFVSJXOGY-UHFFFAOYSA-N 0 0 432.441 -0.269 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)n1 ZINC000546806807 1074645979 /nfs/dbraw/zinc/64/59/79/1074645979.db2.gz KIPQDEPMZRPECK-UHFFFAOYSA-N 0 0 425.449 -0.713 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3)CC2)nn1 ZINC000546806866 1074645755 /nfs/dbraw/zinc/64/57/55/1074645755.db2.gz MEHNEQJPICGXJZ-UHFFFAOYSA-N 0 0 425.409 -0.157 20 0 IBADRN COC(=O)c1cn(C2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)nn1 ZINC000546810582 1073342541 /nfs/dbraw/zinc/34/25/41/1073342541.db2.gz IFXXEFBGFJIWGI-UHFFFAOYSA-N 0 0 448.505 -0.062 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@]12CCCO2 ZINC000546813040 1074645857 /nfs/dbraw/zinc/64/58/57/1074645857.db2.gz QAZYXNQJCOGNLC-JFIYKMOQSA-N 0 0 432.543 -0.383 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@@]12CCCO2 ZINC000546813046 1074645938 /nfs/dbraw/zinc/64/59/38/1074645938.db2.gz QAZYXNQJCOGNLC-JZXOWHBKSA-N 0 0 432.543 -0.383 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@]12CCCO2 ZINC000546813049 1074645876 /nfs/dbraw/zinc/64/58/76/1074645876.db2.gz QAZYXNQJCOGNLC-LZLYRXPVSA-N 0 0 432.543 -0.383 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@@]12CCCO2 ZINC000546813051 1074645785 /nfs/dbraw/zinc/64/57/85/1074645785.db2.gz QAZYXNQJCOGNLC-NUJGCVRESA-N 0 0 432.543 -0.383 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)CC2)nn1 ZINC000546817161 1074645974 /nfs/dbraw/zinc/64/59/74/1074645974.db2.gz UTUKRAGRNIZWSW-UHFFFAOYSA-N 0 0 441.448 -0.204 20 0 IBADRN Cc1nccc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)n1 ZINC000546817376 1074645945 /nfs/dbraw/zinc/64/59/45/1074645945.db2.gz BLJYCKNJRUXMFJ-UHFFFAOYSA-N 0 0 444.517 -0.132 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000546820800 1074646214 /nfs/dbraw/zinc/64/62/14/1074646214.db2.gz PJPQMUZWHUKVKU-CABCVRRESA-N 0 0 444.496 -0.772 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000546820801 1074646256 /nfs/dbraw/zinc/64/62/56/1074646256.db2.gz PJPQMUZWHUKVKU-GJZGRUSLSA-N 0 0 444.496 -0.772 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000546820802 1074646295 /nfs/dbraw/zinc/64/62/95/1074646295.db2.gz PJPQMUZWHUKVKU-HUUCEWRRSA-N 0 0 444.496 -0.772 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000546820803 1074646347 /nfs/dbraw/zinc/64/63/47/1074646347.db2.gz PJPQMUZWHUKVKU-LSDHHAIUSA-N 0 0 444.496 -0.772 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000546823100 1074646246 /nfs/dbraw/zinc/64/62/46/1074646246.db2.gz FEIDSALETXVKMY-GXTWGEPZSA-N 0 0 430.483 -0.412 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000546823105 1074646323 /nfs/dbraw/zinc/64/63/23/1074646323.db2.gz FEIDSALETXVKMY-JSGCOSHPSA-N 0 0 430.483 -0.412 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000546823107 1074646329 /nfs/dbraw/zinc/64/63/29/1074646329.db2.gz FEIDSALETXVKMY-OCCSQVGLSA-N 0 0 430.483 -0.412 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000546823108 1074646334 /nfs/dbraw/zinc/64/63/34/1074646334.db2.gz FEIDSALETXVKMY-TZMCWYRMSA-N 0 0 430.483 -0.412 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000546825914 1074646933 /nfs/dbraw/zinc/64/69/33/1074646933.db2.gz IHNFGZHDEKAMQV-HNNXBMFYSA-N 0 0 437.478 -0.847 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000546825915 1074646951 /nfs/dbraw/zinc/64/69/51/1074646951.db2.gz IHNFGZHDEKAMQV-OAHLLOKOSA-N 0 0 437.478 -0.847 20 0 IBADRN O=C(COc1ccccc1CNC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1)NC[C@H]1CCCO1 ZINC000546826326 1074646966 /nfs/dbraw/zinc/64/69/66/1074646966.db2.gz BVBWXQWAFWZESW-CVEARBPZSA-N 0 0 445.476 -0.194 20 0 IBADRN O=C(COc1ccccc1CNC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1)NC[C@@H]1CCCO1 ZINC000546826327 1074646850 /nfs/dbraw/zinc/64/68/50/1074646850.db2.gz BVBWXQWAFWZESW-HOTGVXAUSA-N 0 0 445.476 -0.194 20 0 IBADRN O=C(COc1ccccc1CNC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1)NC[C@H]1CCCO1 ZINC000546826328 1074646920 /nfs/dbraw/zinc/64/69/20/1074646920.db2.gz BVBWXQWAFWZESW-HZPDHXFCSA-N 0 0 445.476 -0.194 20 0 IBADRN O=C(COc1ccccc1CNC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1)NC[C@@H]1CCCO1 ZINC000546826329 1074646958 /nfs/dbraw/zinc/64/69/58/1074646958.db2.gz BVBWXQWAFWZESW-JKSUJKDBSA-N 0 0 445.476 -0.194 20 0 IBADRN CN(C[C@H](O)CO)C(=O)c1cc(-c2ccc3c(c2)OCO3)nc2c1c(=O)[nH]c(=O)n2C ZINC000546829903 1073351721 /nfs/dbraw/zinc/35/17/21/1073351721.db2.gz IVJPXXIPRSQLHY-NSHDSACASA-N 0 0 428.401 -0.145 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)C[C@H](O)CO)CC2)cc1 ZINC000546830956 1074646953 /nfs/dbraw/zinc/64/69/53/1074646953.db2.gz DPNBMNJCDACPDV-INIZCTEOSA-N 0 0 427.523 -0.580 20 0 IBADRN COCCC(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000546850326 1074647961 /nfs/dbraw/zinc/64/79/61/1074647961.db2.gz XJONJBHMLVSFIZ-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@H](S(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000546852171 1074647888 /nfs/dbraw/zinc/64/78/88/1074647888.db2.gz JIQBAEOQDBDIHR-GUTXKFCHSA-N 0 0 428.515 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@H](S(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000546852172 1074647906 /nfs/dbraw/zinc/64/79/06/1074647906.db2.gz JIQBAEOQDBDIHR-NFAWXSAZSA-N 0 0 428.515 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000546852173 1074647947 /nfs/dbraw/zinc/64/79/47/1074647947.db2.gz JIQBAEOQDBDIHR-UMVBOHGHSA-N 0 0 428.515 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000546852174 1074647895 /nfs/dbraw/zinc/64/78/95/1074647895.db2.gz JIQBAEOQDBDIHR-VNHYZAJKSA-N 0 0 428.515 -0.196 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000546853631 1074647916 /nfs/dbraw/zinc/64/79/16/1074647916.db2.gz UOPAPEOIWJXCIS-UHFFFAOYSA-N 0 0 441.423 -0.045 20 0 IBADRN Cc1cc(Br)cn2cc(CCNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)nc12 ZINC000546853822 1074648447 /nfs/dbraw/zinc/64/84/47/1074648447.db2.gz WLUYLKWGYSPLAQ-JTQLQIEISA-N 0 0 446.327 -0.143 20 0 IBADRN Cc1cc(Br)cn2cc(CCNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)nc12 ZINC000546853825 1074648575 /nfs/dbraw/zinc/64/85/75/1074648575.db2.gz WLUYLKWGYSPLAQ-SNVBAGLBSA-N 0 0 446.327 -0.143 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CCC2 ZINC000546855760 1074648550 /nfs/dbraw/zinc/64/85/50/1074648550.db2.gz CKZVYFBUDHHDOS-UHFFFAOYSA-N 0 0 442.519 -0.524 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000546856069 1074648541 /nfs/dbraw/zinc/64/85/41/1074648541.db2.gz TUUYXMZLBSCNOF-UHFFFAOYSA-N 0 0 441.423 -0.045 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000546863909 1072423127 /nfs/dbraw/zinc/42/31/27/1072423127.db2.gz WBWBTYXOMRQPOG-JSGCOSHPSA-N 0 0 445.542 -0.476 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000546863918 1074648509 /nfs/dbraw/zinc/64/85/09/1074648509.db2.gz WBWBTYXOMRQPOG-OCCSQVGLSA-N 0 0 445.542 -0.476 20 0 IBADRN CNc1nnc(CC(=O)N2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)s1 ZINC000546864731 1073321243 /nfs/dbraw/zinc/32/12/43/1073321243.db2.gz RBWYCGUPUZFBJF-AWEZNQCLSA-N 0 0 432.554 0.504 20 0 IBADRN CNc1nnc(CC(=O)N2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)s1 ZINC000546864732 1073321092 /nfs/dbraw/zinc/32/10/92/1073321092.db2.gz RBWYCGUPUZFBJF-CQSZACIVSA-N 0 0 432.554 0.504 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc(I)c(O)c2)CC1 ZINC000546873434 1074648587 /nfs/dbraw/zinc/64/85/87/1074648587.db2.gz WZERFFGNUIJCJF-UHFFFAOYSA-N 0 0 442.217 -0.032 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1 ZINC000546876897 1072442517 /nfs/dbraw/zinc/44/25/17/1072442517.db2.gz AVOKEGCTZFHTRV-AWEZNQCLSA-N 0 0 444.462 -0.449 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1 ZINC000546876898 1072442410 /nfs/dbraw/zinc/44/24/10/1072442410.db2.gz AVOKEGCTZFHTRV-CQSZACIVSA-N 0 0 444.462 -0.449 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(C)cc1C(=O)OC ZINC000546878812 1074648501 /nfs/dbraw/zinc/64/85/01/1074648501.db2.gz LSALAVUKZAPDFJ-AWEZNQCLSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(C)cc1C(=O)OC ZINC000546878816 1074648462 /nfs/dbraw/zinc/64/84/62/1074648462.db2.gz LSALAVUKZAPDFJ-CQSZACIVSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(S(=O)(=O)CC)c1 ZINC000546878927 1074649037 /nfs/dbraw/zinc/64/90/37/1074649037.db2.gz PCKDBQRNDMMFGU-HNNXBMFYSA-N 0 0 448.519 -0.451 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(S(=O)(=O)CC)c1 ZINC000546878930 1074649122 /nfs/dbraw/zinc/64/91/22/1074649122.db2.gz PCKDBQRNDMMFGU-OAHLLOKOSA-N 0 0 448.519 -0.451 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC000546878997 1074649075 /nfs/dbraw/zinc/64/90/75/1074649075.db2.gz PMAWNLIUCIZROI-INIZCTEOSA-N 0 0 439.490 -0.779 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC000546879005 1074648997 /nfs/dbraw/zinc/64/89/97/1074648997.db2.gz PMAWNLIUCIZROI-MRXNPFEDSA-N 0 0 439.490 -0.779 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(F)cc(C(=O)OC)c1 ZINC000546879287 1074648536 /nfs/dbraw/zinc/64/85/36/1074648536.db2.gz WZOGWHAZAVRTEF-AWEZNQCLSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(F)cc(C(=O)OC)c1 ZINC000546879290 1074648528 /nfs/dbraw/zinc/64/85/28/1074648528.db2.gz WZOGWHAZAVRTEF-CQSZACIVSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(CCNC(C)=O)s1 ZINC000546880080 1074649003 /nfs/dbraw/zinc/64/90/03/1074649003.db2.gz SPMREMMQLYRZKL-AWEZNQCLSA-N 0 0 447.535 -0.505 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(CCNC(C)=O)s1 ZINC000546880085 1074649093 /nfs/dbraw/zinc/64/90/93/1074649093.db2.gz SPMREMMQLYRZKL-CQSZACIVSA-N 0 0 447.535 -0.505 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(F)cc1C(=O)OC ZINC000546881108 1074649012 /nfs/dbraw/zinc/64/90/12/1074649012.db2.gz DLHJEPDLCDJNBU-CYBMUJFWSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(F)cc1C(=O)OC ZINC000546881109 1074649101 /nfs/dbraw/zinc/64/91/01/1074649101.db2.gz DLHJEPDLCDJNBU-ZDUSSCGKSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(CC(=O)OC)cc1 ZINC000546881407 1074648991 /nfs/dbraw/zinc/64/89/91/1074648991.db2.gz VORQBVUJHWXITR-HNNXBMFYSA-N 0 0 428.463 -0.529 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(CC(=O)OC)cc1 ZINC000546881410 1074648979 /nfs/dbraw/zinc/64/89/79/1074648979.db2.gz VORQBVUJHWXITR-OAHLLOKOSA-N 0 0 428.463 -0.529 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccccc1Cn1cncn1 ZINC000546881440 1074649042 /nfs/dbraw/zinc/64/90/42/1074649042.db2.gz WGVOLVMMMSZHJU-HNNXBMFYSA-N 0 0 437.478 -0.605 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccccc1Cn1cncn1 ZINC000546881441 1074649024 /nfs/dbraw/zinc/64/90/24/1074649024.db2.gz WGVOLVMMMSZHJU-OAHLLOKOSA-N 0 0 437.478 -0.605 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2)nn1 ZINC000546882596 1074649067 /nfs/dbraw/zinc/64/90/67/1074649067.db2.gz IJHNVHUZLWWEIT-SECBINFHSA-N 0 0 435.422 -0.952 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(S(=O)(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C)C2)nn1 ZINC000546882597 1074649117 /nfs/dbraw/zinc/64/91/17/1074649117.db2.gz IJHNVHUZLWWEIT-VIFPVBQESA-N 0 0 435.422 -0.952 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cn1C ZINC000546883123 1074649106 /nfs/dbraw/zinc/64/91/06/1074649106.db2.gz HSTXCJNBIKLYLW-UHFFFAOYSA-N 0 0 437.540 -0.126 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1C ZINC000546883234 1074649083 /nfs/dbraw/zinc/64/90/83/1074649083.db2.gz FBXPELAKKASERU-UHFFFAOYSA-N 0 0 428.511 -0.633 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(c3ccc(S(=O)(=O)N4CCN(C)CC4)cn3)C2)nn1 ZINC000546884865 1074649097 /nfs/dbraw/zinc/64/90/97/1074649097.db2.gz CVKZFVDAOQGVEX-AWEZNQCLSA-N 0 0 435.510 -0.153 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(c3ccc(S(=O)(=O)N4CCN(C)CC4)cn3)C2)nn1 ZINC000546884866 1074649048 /nfs/dbraw/zinc/64/90/48/1074649048.db2.gz CVKZFVDAOQGVEX-CQSZACIVSA-N 0 0 435.510 -0.153 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)C2)nn1 ZINC000546890236 1074649486 /nfs/dbraw/zinc/64/94/86/1074649486.db2.gz JPQCZYKWOQLTNZ-INIZCTEOSA-N 0 0 433.513 -0.035 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)C2)nn1 ZINC000546890237 1074649533 /nfs/dbraw/zinc/64/95/33/1074649533.db2.gz JPQCZYKWOQLTNZ-MRXNPFEDSA-N 0 0 433.513 -0.035 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nc4cnccn4c3=O)CC2)cc1 ZINC000546896246 1074649455 /nfs/dbraw/zinc/64/94/55/1074649455.db2.gz BXYMMFLMADNITP-UHFFFAOYSA-N 0 0 444.473 -0.373 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000546897584 1074649562 /nfs/dbraw/zinc/64/95/62/1074649562.db2.gz PUWYYPAYEUMRLK-UHFFFAOYSA-N 0 0 428.486 -0.162 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1 ZINC000546897618 1074649613 /nfs/dbraw/zinc/64/96/13/1074649613.db2.gz RPAGHOXFWLACPY-UHFFFAOYSA-N 0 0 443.504 -0.056 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1OC(C)C ZINC000546899881 1074649682 /nfs/dbraw/zinc/64/96/82/1074649682.db2.gz BIGLXDLOQIMMAD-UHFFFAOYSA-N 0 0 431.453 -0.222 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1 ZINC000546900089 1074649600 /nfs/dbraw/zinc/64/96/00/1074649600.db2.gz IOTDMNWNADGUDI-UHFFFAOYSA-N 0 0 443.504 -0.056 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cncnc3N(C)C)CC2)cn1C ZINC000546900112 1074649729 /nfs/dbraw/zinc/64/97/29/1074649729.db2.gz KHQFLLLHAVEVQQ-UHFFFAOYSA-N 0 0 436.498 -0.944 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1 ZINC000546902005 1074649523 /nfs/dbraw/zinc/64/95/23/1074649523.db2.gz PNXRBPIPYNNFHO-UHFFFAOYSA-N 0 0 430.461 -0.019 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000546902089 1074649475 /nfs/dbraw/zinc/64/94/75/1074649475.db2.gz UIZUISOHLVJIPR-UHFFFAOYSA-N 0 0 442.505 -0.105 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)C2)nn1 ZINC000546906747 1073329780 /nfs/dbraw/zinc/32/97/80/1073329780.db2.gz JMGJCPNVPANUEF-HNNXBMFYSA-N 0 0 438.448 0.311 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)C2)nn1 ZINC000546906748 1073329444 /nfs/dbraw/zinc/32/94/44/1073329444.db2.gz JMGJCPNVPANUEF-OAHLLOKOSA-N 0 0 438.448 0.311 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000546907144 1074650087 /nfs/dbraw/zinc/65/00/87/1074650087.db2.gz XEXCJWVOBCZNTM-CYBMUJFWSA-N 0 0 430.421 -0.398 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000546907145 1074650016 /nfs/dbraw/zinc/65/00/16/1074650016.db2.gz XEXCJWVOBCZNTM-ZDUSSCGKSA-N 0 0 430.421 -0.398 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1 ZINC000546907599 1074650042 /nfs/dbraw/zinc/65/00/42/1074650042.db2.gz WBMVMWAQSRMXJM-HNNXBMFYSA-N 0 0 431.449 -0.410 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1 ZINC000546907601 1074650047 /nfs/dbraw/zinc/65/00/47/1074650047.db2.gz WBMVMWAQSRMXJM-OAHLLOKOSA-N 0 0 431.449 -0.410 20 0 IBADRN Cc1nn(CC(F)F)c(C)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000546908534 1074650071 /nfs/dbraw/zinc/65/00/71/1074650071.db2.gz PHFPSDSZBFJPEN-AWEZNQCLSA-N 0 0 447.508 -0.174 20 0 IBADRN Cc1nn(CC(F)F)c(C)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000546908535 1074650100 /nfs/dbraw/zinc/65/01/00/1074650100.db2.gz PHFPSDSZBFJPEN-CQSZACIVSA-N 0 0 447.508 -0.174 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3C)C2)nn1 ZINC000546909261 1074650752 /nfs/dbraw/zinc/65/07/52/1074650752.db2.gz DDKGLCKYGYVJIT-GFCCVEGCSA-N 0 0 425.409 -0.239 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3C)C2)nn1 ZINC000546909262 1074650773 /nfs/dbraw/zinc/65/07/73/1074650773.db2.gz DDKGLCKYGYVJIT-LBPRGKRZSA-N 0 0 425.409 -0.239 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cn1)NC1CCCC1 ZINC000546909441 1074650092 /nfs/dbraw/zinc/65/00/92/1074650092.db2.gz HXFKNOCSSBLEIJ-HNNXBMFYSA-N 0 0 431.497 -0.057 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cn1)NC1CCCC1 ZINC000546909442 1074650058 /nfs/dbraw/zinc/65/00/58/1074650058.db2.gz HXFKNOCSSBLEIJ-OAHLLOKOSA-N 0 0 431.497 -0.057 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000546909609 1074650028 /nfs/dbraw/zinc/65/00/28/1074650028.db2.gz JTTXLEQKMXWLQX-BBRMVZONSA-N 0 0 427.461 -0.107 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000546909610 1074650024 /nfs/dbraw/zinc/65/00/24/1074650024.db2.gz JTTXLEQKMXWLQX-CJNGLKHVSA-N 0 0 427.461 -0.107 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000546909611 1074650097 /nfs/dbraw/zinc/65/00/97/1074650097.db2.gz JTTXLEQKMXWLQX-CZUORRHYSA-N 0 0 427.461 -0.107 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000546909612 1074650050 /nfs/dbraw/zinc/65/00/50/1074650050.db2.gz JTTXLEQKMXWLQX-XJKSGUPXSA-N 0 0 427.461 -0.107 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)C2)nn1 ZINC000546909701 1074650038 /nfs/dbraw/zinc/65/00/38/1074650038.db2.gz ZIFLGHJAKFLFCR-LLVKDONJSA-N 0 0 429.372 -0.408 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3cc(-n4cnnn4)ccc3F)C2)nn1 ZINC000546909702 1074650077 /nfs/dbraw/zinc/65/00/77/1074650077.db2.gz ZIFLGHJAKFLFCR-NSHDSACASA-N 0 0 429.372 -0.408 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(C)c(-n4cnnn4)c3)C2)nn1 ZINC000546910137 1074650769 /nfs/dbraw/zinc/65/07/69/1074650769.db2.gz UJLDJOFQNBPNJV-CYBMUJFWSA-N 0 0 425.409 -0.239 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(C)c(-n4cnnn4)c3)C2)nn1 ZINC000546910139 1074650737 /nfs/dbraw/zinc/65/07/37/1074650737.db2.gz UJLDJOFQNBPNJV-ZDUSSCGKSA-N 0 0 425.409 -0.239 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000546912828 1074650707 /nfs/dbraw/zinc/65/07/07/1074650707.db2.gz LBVNVMWYZLUVPM-AWEZNQCLSA-N 0 0 437.522 -0.153 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000546912829 1074650712 /nfs/dbraw/zinc/65/07/12/1074650712.db2.gz LBVNVMWYZLUVPM-CQSZACIVSA-N 0 0 437.522 -0.153 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)C2)nn1 ZINC000546913350 1074650766 /nfs/dbraw/zinc/65/07/66/1074650766.db2.gz BHKNIHJZGCNBHP-JTQLQIEISA-N 0 0 428.409 0.234 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)C2)nn1 ZINC000546913351 1074650721 /nfs/dbraw/zinc/65/07/21/1074650721.db2.gz BHKNIHJZGCNBHP-SNVBAGLBSA-N 0 0 428.409 0.234 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000546913814 1074650782 /nfs/dbraw/zinc/65/07/82/1074650782.db2.gz LDGDEICIZJRCJH-HNNXBMFYSA-N 0 0 435.506 -0.517 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000546913815 1074650757 /nfs/dbraw/zinc/65/07/57/1074650757.db2.gz LDGDEICIZJRCJH-OAHLLOKOSA-N 0 0 435.506 -0.517 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)CC2=O)c1 ZINC000546914925 1072493455 /nfs/dbraw/zinc/49/34/55/1072493455.db2.gz XROIODXKCRJREK-GXTWGEPZSA-N 0 0 435.506 -0.251 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)CC2=O)c1 ZINC000546914926 1074650741 /nfs/dbraw/zinc/65/07/41/1074650741.db2.gz XROIODXKCRJREK-JSGCOSHPSA-N 0 0 435.506 -0.251 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)CC2=O)c1 ZINC000546914938 1074650724 /nfs/dbraw/zinc/65/07/24/1074650724.db2.gz XROIODXKCRJREK-OCCSQVGLSA-N 0 0 435.506 -0.251 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)CC2=O)c1 ZINC000546914939 1074650698 /nfs/dbraw/zinc/65/06/98/1074650698.db2.gz XROIODXKCRJREK-TZMCWYRMSA-N 0 0 435.506 -0.251 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)C2)nn1 ZINC000546915326 1074651193 /nfs/dbraw/zinc/65/11/93/1074651193.db2.gz XALGWPRDQLETOB-AWEZNQCLSA-N 0 0 435.462 -0.153 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)C2)nn1 ZINC000546915327 1074651121 /nfs/dbraw/zinc/65/11/21/1074651121.db2.gz XALGWPRDQLETOB-CQSZACIVSA-N 0 0 435.462 -0.153 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000546915950 1074651165 /nfs/dbraw/zinc/65/11/65/1074651165.db2.gz GEHRVSNZFZQYQI-HNNXBMFYSA-N 0 0 435.506 -0.517 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000546915951 1074651062 /nfs/dbraw/zinc/65/10/62/1074651062.db2.gz GEHRVSNZFZQYQI-OAHLLOKOSA-N 0 0 435.506 -0.517 20 0 IBADRN O=C(COCC(=O)N1CC[C@H](NC(=O)NC2CC2)C1)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000546916740 1074651131 /nfs/dbraw/zinc/65/11/31/1074651131.db2.gz PXHOJVPEVBCCTE-HOTGVXAUSA-N 0 0 436.513 -0.872 20 0 IBADRN O=C(COCC(=O)N1CC[C@@H](NC(=O)NC2CC2)C1)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000546916743 1074651014 /nfs/dbraw/zinc/65/10/14/1074651014.db2.gz PXHOJVPEVBCCTE-HZPDHXFCSA-N 0 0 436.513 -0.872 20 0 IBADRN O=C(COCC(=O)N1CC[C@H](NC(=O)NC2CC2)C1)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000546916744 1074650992 /nfs/dbraw/zinc/65/09/92/1074650992.db2.gz PXHOJVPEVBCCTE-IYBDPMFKSA-N 0 0 436.513 -0.872 20 0 IBADRN Cn1cc(-n2cc(C(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)ccc2=O)cn1 ZINC000546917087 1074651156 /nfs/dbraw/zinc/65/11/56/1074651156.db2.gz WQVQPSOJSSIBQP-KRWDZBQOSA-N 0 0 435.506 -0.162 20 0 IBADRN Cn1cc(-n2cc(C(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)ccc2=O)cn1 ZINC000546917088 1074651038 /nfs/dbraw/zinc/65/10/38/1074651038.db2.gz WQVQPSOJSSIBQP-QGZVFWFLSA-N 0 0 435.506 -0.162 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)C2)nn1 ZINC000546922979 1074650956 /nfs/dbraw/zinc/65/09/56/1074650956.db2.gz GWBOGOCIFBBGJP-CYBMUJFWSA-N 0 0 426.499 -0.022 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)C2)nn1 ZINC000546922984 1074651005 /nfs/dbraw/zinc/65/10/05/1074651005.db2.gz GWBOGOCIFBBGJP-ZDUSSCGKSA-N 0 0 426.499 -0.022 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(C(=O)c3ccccn3)CC2)CC1 ZINC000546930496 1074650974 /nfs/dbraw/zinc/65/09/74/1074650974.db2.gz BLCPHUUNWRLKEH-UHFFFAOYSA-N 0 0 449.519 -0.135 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)C2)nn1 ZINC000546931805 1074651141 /nfs/dbraw/zinc/65/11/41/1074651141.db2.gz CHASLMNEDVUSFA-HNNXBMFYSA-N 0 0 435.529 -0.630 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)C2)nn1 ZINC000546931812 1074651093 /nfs/dbraw/zinc/65/10/93/1074651093.db2.gz CHASLMNEDVUSFA-OAHLLOKOSA-N 0 0 435.529 -0.630 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)N(CC(C)C)[C@H]3CCS(=O)(=O)C3)C2)nn1 ZINC000546931979 1074651704 /nfs/dbraw/zinc/65/17/04/1074651704.db2.gz HFUQGRSRHMNNHL-CABCVRRESA-N 0 0 427.527 -0.017 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)N(CC(C)C)[C@H]3CCS(=O)(=O)C3)C2)nn1 ZINC000546931980 1074651734 /nfs/dbraw/zinc/65/17/34/1074651734.db2.gz HFUQGRSRHMNNHL-GJZGRUSLSA-N 0 0 427.527 -0.017 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)N(CC(C)C)[C@@H]3CCS(=O)(=O)C3)C2)nn1 ZINC000546931981 1074651710 /nfs/dbraw/zinc/65/17/10/1074651710.db2.gz HFUQGRSRHMNNHL-HUUCEWRRSA-N 0 0 427.527 -0.017 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)N(CC(C)C)[C@@H]3CCS(=O)(=O)C3)C2)nn1 ZINC000546931982 1074651655 /nfs/dbraw/zinc/65/16/55/1074651655.db2.gz HFUQGRSRHMNNHL-LSDHHAIUSA-N 0 0 427.527 -0.017 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C2)nn1 ZINC000546932616 1074651740 /nfs/dbraw/zinc/65/17/40/1074651740.db2.gz USQWPOOUVGTBHT-AWEZNQCLSA-N 0 0 430.531 -0.512 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C2)nn1 ZINC000546932617 1074651715 /nfs/dbraw/zinc/65/17/15/1074651715.db2.gz USQWPOOUVGTBHT-CQSZACIVSA-N 0 0 430.531 -0.512 20 0 IBADRN CCN1CCN(Cc2nc(-c3ccccc3S(=O)(=O)N3CCOCC3)no2)C(=O)C1=O ZINC000547012578 1074653091 /nfs/dbraw/zinc/65/30/91/1074653091.db2.gz URNGDGSTOGZLBM-UHFFFAOYSA-N 0 0 449.489 -0.052 20 0 IBADRN Cn1c2ncn(Cc3nc(-c4cccc(NS(C)(=O)=O)c4)no3)c2c(=O)n(C)c1=O ZINC000547040672 1074653625 /nfs/dbraw/zinc/65/36/25/1074653625.db2.gz GZFWQFGOXKNCRM-UHFFFAOYSA-N 0 0 431.434 -0.097 20 0 IBADRN CCN1CCN(Cc2nc(-c3ccc(S(=O)(=O)N4CCOCC4)cc3)no2)C(=O)C1=O ZINC000547042952 1074654251 /nfs/dbraw/zinc/65/42/51/1074654251.db2.gz FCBUAUAYFCUBNH-UHFFFAOYSA-N 0 0 449.489 -0.052 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1nc(-c3ccc(NS(C)(=O)=O)cc3)no1)c(=O)n2C ZINC000547043481 1074654310 /nfs/dbraw/zinc/65/43/10/1074654310.db2.gz YLPRBNYMJVHCKD-UHFFFAOYSA-N 0 0 431.434 -0.097 20 0 IBADRN Cn1c2ncn(Cc3nc(-c4ccc(NS(C)(=O)=O)cc4)no3)c2c(=O)n(C)c1=O ZINC000547045320 1074654267 /nfs/dbraw/zinc/65/42/67/1074654267.db2.gz YCONCPDFKHXPFV-UHFFFAOYSA-N 0 0 431.434 -0.097 20 0 IBADRN CN(C)S(=O)(=O)Cc1noc(-c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC000547081735 1074654859 /nfs/dbraw/zinc/65/48/59/1074654859.db2.gz VWMAAEBUUBCXAN-UHFFFAOYSA-N 0 0 429.480 -0.752 20 0 IBADRN COc1ccc(S(=O)(=O)NCCc2nc(CS(=O)(=O)N(C)C)no2)cc1OC ZINC000547082106 1073146015 /nfs/dbraw/zinc/14/60/15/1073146015.db2.gz LJMCUORNGOVIET-UHFFFAOYSA-N 0 0 434.496 -0.001 20 0 IBADRN CN(C)C(=O)COc1ccc(-c2noc(Cn3c(=O)c4c(ncn4C)n(C)c3=O)n2)cc1 ZINC000547092638 1074655167 /nfs/dbraw/zinc/65/51/67/1074655167.db2.gz ZCJQZSXDEWDROY-UHFFFAOYSA-N 0 0 439.432 -0.001 20 0 IBADRN CN(C)C(=O)COc1ccc(-c2noc(Cn3cnc4c3c(=O)n(C)c(=O)n4C)n2)cc1 ZINC000547098053 1074655387 /nfs/dbraw/zinc/65/53/87/1074655387.db2.gz FHSSMEQYBMUKLT-UHFFFAOYSA-N 0 0 439.432 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc(-c2noc(Cn3cnc4c3c(=O)n(C)c(=O)n4C)n2)c1 ZINC000547114310 1074655837 /nfs/dbraw/zinc/65/58/37/1074655837.db2.gz FBONFEUBIISLMT-UHFFFAOYSA-N 0 0 431.434 -0.560 20 0 IBADRN CNS(=O)(=O)c1cccc(-c2noc(Cn3c(=O)c4c(ncn4C)n(C)c3=O)n2)c1 ZINC000547119682 1074655790 /nfs/dbraw/zinc/65/57/90/1074655790.db2.gz BLKANEXURXBUSC-UHFFFAOYSA-N 0 0 431.434 -0.560 20 0 IBADRN CNS(=O)(=O)c1ccc(-c2noc(Cn3cnc4c3c(=O)n(C)c(=O)n4C)n2)cc1 ZINC000547120156 1074655767 /nfs/dbraw/zinc/65/57/67/1074655767.db2.gz AHGQXEVQILZNLC-UHFFFAOYSA-N 0 0 431.434 -0.560 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1-c1nc(-c2ncn(CC(N)=O)n2)no1 ZINC000547126482 1074655813 /nfs/dbraw/zinc/65/58/13/1074655813.db2.gz BDWGTENZCIDVAV-UHFFFAOYSA-N 0 0 433.450 -0.190 20 0 IBADRN NC(=O)Cn1cnc(-c2noc(-c3ccc(S(=O)(=O)NC[C@H]4CCCO4)cc3)n2)n1 ZINC000547127735 1074655833 /nfs/dbraw/zinc/65/58/33/1074655833.db2.gz MPQUMHMVSPOBLA-GFCCVEGCSA-N 0 0 433.450 -0.062 20 0 IBADRN NC(=O)Cn1cnc(-c2noc(-c3ccc(S(=O)(=O)NC[C@@H]4CCCO4)cc3)n2)n1 ZINC000547127736 1074655819 /nfs/dbraw/zinc/65/58/19/1074655819.db2.gz MPQUMHMVSPOBLA-LBPRGKRZSA-N 0 0 433.450 -0.062 20 0 IBADRN Cc1ccc(-c2nc(-c3ncn(CC(N)=O)n3)no2)cc1S(=O)(=O)N1CCOCC1 ZINC000547129374 1074655794 /nfs/dbraw/zinc/65/57/94/1074655794.db2.gz PTLYHUAOQJVMKK-UHFFFAOYSA-N 0 0 433.450 -0.190 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2nc(-c3ccc(C(=O)N4CCN(C)CC4)nc3)no2)cn1 ZINC000547172409 1074657513 /nfs/dbraw/zinc/65/75/13/1074657513.db2.gz QPYQQPDLKOGXCD-UHFFFAOYSA-N 0 0 446.493 -0.269 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000547227012 1074658703 /nfs/dbraw/zinc/65/87/03/1074658703.db2.gz YDLBCEQAGXNZQU-UHFFFAOYSA-N 0 0 441.506 -0.597 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000547233899 1074658756 /nfs/dbraw/zinc/65/87/56/1074658756.db2.gz OTRMXEXSBHGYGJ-UHFFFAOYSA-N 0 0 439.490 -0.306 20 0 IBADRN COCCOc1ccccc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000547234436 1074658677 /nfs/dbraw/zinc/65/86/77/1074658677.db2.gz LDMGJHQDHYKOEC-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN C[C@H](Nc1cnn(CC(=O)NCCO)c1)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000547246988 1074658649 /nfs/dbraw/zinc/65/86/49/1074658649.db2.gz YTAJGWOACVCTKH-JTQLQIEISA-N 0 0 442.398 -0.036 20 0 IBADRN C[C@@H](Nc1cnn(CC(=O)NCCO)c1)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000547246989 1074658698 /nfs/dbraw/zinc/65/86/98/1074658698.db2.gz YTAJGWOACVCTKH-SNVBAGLBSA-N 0 0 442.398 -0.036 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2)nn1 ZINC000547264380 1074659399 /nfs/dbraw/zinc/65/93/99/1074659399.db2.gz YDOHCTOQEDFJLS-GFCCVEGCSA-N 0 0 429.437 -0.720 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2)nn1 ZINC000547264381 1074659358 /nfs/dbraw/zinc/65/93/58/1074659358.db2.gz YDOHCTOQEDFJLS-LBPRGKRZSA-N 0 0 429.437 -0.720 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)C2)nn1 ZINC000547267431 1074659299 /nfs/dbraw/zinc/65/92/99/1074659299.db2.gz IPXDJFGTHLTJRV-NEPJUHHUSA-N 0 0 425.471 -0.671 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)C2)nn1 ZINC000547267432 1074659317 /nfs/dbraw/zinc/65/93/17/1074659317.db2.gz IPXDJFGTHLTJRV-NWDGAFQWSA-N 0 0 425.471 -0.671 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)C2)nn1 ZINC000547267433 1074659395 /nfs/dbraw/zinc/65/93/95/1074659395.db2.gz IPXDJFGTHLTJRV-RYUDHWBXSA-N 0 0 425.471 -0.671 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)C2)nn1 ZINC000547267434 1074659306 /nfs/dbraw/zinc/65/93/06/1074659306.db2.gz IPXDJFGTHLTJRV-VXGBXAGGSA-N 0 0 425.471 -0.671 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000547267716 1074659347 /nfs/dbraw/zinc/65/93/47/1074659347.db2.gz CEHUBLRKDDLLNQ-GFCCVEGCSA-N 0 0 427.421 -0.512 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)nn1 ZINC000547267719 1074659339 /nfs/dbraw/zinc/65/93/39/1074659339.db2.gz CEHUBLRKDDLLNQ-LBPRGKRZSA-N 0 0 427.421 -0.512 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)C2)nn1 ZINC000547267855 1074659330 /nfs/dbraw/zinc/65/93/30/1074659330.db2.gz GCCMTVKFEYRXIL-AEFFLSMTSA-N 0 0 448.524 -0.059 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)C2)nn1 ZINC000547267858 1074659323 /nfs/dbraw/zinc/65/93/23/1074659323.db2.gz GCCMTVKFEYRXIL-FUHWJXTLSA-N 0 0 448.524 -0.059 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)C2)nn1 ZINC000547267859 1074659290 /nfs/dbraw/zinc/65/92/90/1074659290.db2.gz GCCMTVKFEYRXIL-SJLPKXTDSA-N 0 0 448.524 -0.059 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)C2)nn1 ZINC000547267860 1074659402 /nfs/dbraw/zinc/65/94/02/1074659402.db2.gz GCCMTVKFEYRXIL-WMZOPIPTSA-N 0 0 448.524 -0.059 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)nn1 ZINC000547267940 1074659391 /nfs/dbraw/zinc/65/93/91/1074659391.db2.gz GZRAHTGVEGONTL-CYBMUJFWSA-N 0 0 444.514 -0.938 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)nn1 ZINC000547267943 1074659374 /nfs/dbraw/zinc/65/93/74/1074659374.db2.gz GZRAHTGVEGONTL-ZDUSSCGKSA-N 0 0 444.514 -0.938 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)C2)nn1 ZINC000547269417 1074659388 /nfs/dbraw/zinc/65/93/88/1074659388.db2.gz OJSDGOVRECXPRL-GFCCVEGCSA-N 0 0 425.471 -0.751 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)C2)nn1 ZINC000547269418 1074659352 /nfs/dbraw/zinc/65/93/52/1074659352.db2.gz OJSDGOVRECXPRL-LBPRGKRZSA-N 0 0 425.471 -0.751 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)C2)nn1 ZINC000547269429 1074659278 /nfs/dbraw/zinc/65/92/78/1074659278.db2.gz OSGFSJCPVJSDEZ-CVEARBPZSA-N 0 0 434.497 -0.591 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)C2)nn1 ZINC000547269430 1074659904 /nfs/dbraw/zinc/65/99/04/1074659904.db2.gz OSGFSJCPVJSDEZ-HOTGVXAUSA-N 0 0 434.497 -0.591 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)C2)nn1 ZINC000547269431 1074659840 /nfs/dbraw/zinc/65/98/40/1074659840.db2.gz OSGFSJCPVJSDEZ-HZPDHXFCSA-N 0 0 434.497 -0.591 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)C2)nn1 ZINC000547269432 1074659831 /nfs/dbraw/zinc/65/98/31/1074659831.db2.gz OSGFSJCPVJSDEZ-JKSUJKDBSA-N 0 0 434.497 -0.591 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000547269616 1074659315 /nfs/dbraw/zinc/65/93/15/1074659315.db2.gz YDVZLQCJPHBHOW-GFCCVEGCSA-N 0 0 440.482 -0.205 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](n3cc(C(=O)OC)nn3)C2)c1 ZINC000547269617 1074659308 /nfs/dbraw/zinc/65/93/08/1074659308.db2.gz YDVZLQCJPHBHOW-LBPRGKRZSA-N 0 0 440.482 -0.205 20 0 IBADRN Cc1noc(C)c1CO[C@@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000547272153 1074659802 /nfs/dbraw/zinc/65/98/02/1074659802.db2.gz VOFSRGASRDMUKN-GFCCVEGCSA-N 0 0 425.467 -0.186 20 0 IBADRN Cc1noc(C)c1CO[C@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000547272167 1074659914 /nfs/dbraw/zinc/65/99/14/1074659914.db2.gz VOFSRGASRDMUKN-LBPRGKRZSA-N 0 0 425.467 -0.186 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)C2)nn1 ZINC000547280848 1074659740 /nfs/dbraw/zinc/65/97/40/1074659740.db2.gz HTJOWNKRSDKAOG-LLVKDONJSA-N 0 0 429.480 -0.262 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)C2)nn1 ZINC000547280849 1074659770 /nfs/dbraw/zinc/65/97/70/1074659770.db2.gz HTJOWNKRSDKAOG-NSHDSACASA-N 0 0 429.480 -0.262 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(c3ccc(S(N)(=O)=O)cc3S(C)(=O)=O)C2)nn1 ZINC000547284200 1074660341 /nfs/dbraw/zinc/66/03/41/1074660341.db2.gz NCJKTETYNYXFHA-LLVKDONJSA-N 0 0 443.507 -0.043 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(c3ccc(S(N)(=O)=O)cc3S(C)(=O)=O)C2)nn1 ZINC000547284201 1074660364 /nfs/dbraw/zinc/66/03/64/1074660364.db2.gz NCJKTETYNYXFHA-NSHDSACASA-N 0 0 443.507 -0.043 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3cccc(C(N)=O)c3OC)C2)nn1 ZINC000547291336 1074660853 /nfs/dbraw/zinc/66/08/53/1074660853.db2.gz MXRJRIAVGZVSLC-LLVKDONJSA-N 0 0 430.421 -0.026 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3cccc(C(N)=O)c3OC)C2)nn1 ZINC000547291337 1074660912 /nfs/dbraw/zinc/66/09/12/1074660912.db2.gz MXRJRIAVGZVSLC-NSHDSACASA-N 0 0 430.421 -0.026 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)cc3)C2)nn1 ZINC000547291431 1074660965 /nfs/dbraw/zinc/66/09/65/1074660965.db2.gz BCMBXWMWWALRIX-AWEZNQCLSA-N 0 0 425.409 -0.157 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)cc3)C2)nn1 ZINC000547291432 1074660901 /nfs/dbraw/zinc/66/09/01/1074660901.db2.gz BCMBXWMWWALRIX-CQSZACIVSA-N 0 0 425.409 -0.157 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3ccc(OCC(N)=O)cc3)C2)nn1 ZINC000547293202 1074660942 /nfs/dbraw/zinc/66/09/42/1074660942.db2.gz ROPFHKUDRBDLBY-CYBMUJFWSA-N 0 0 430.421 -0.269 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3ccc(OCC(N)=O)cc3)C2)nn1 ZINC000547293203 1074660842 /nfs/dbraw/zinc/66/08/42/1074660842.db2.gz ROPFHKUDRBDLBY-ZDUSSCGKSA-N 0 0 430.421 -0.269 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCC(N4CCS(=O)(=O)CC4)CC3)cc2N1 ZINC000547299435 1074662009 /nfs/dbraw/zinc/66/20/09/1074662009.db2.gz CXNOGAZRKGMOAE-UHFFFAOYSA-N 0 0 429.520 -0.099 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)n1 ZINC000547318236 1074662432 /nfs/dbraw/zinc/66/24/32/1074662432.db2.gz QUFMAVDNJMJISF-UHFFFAOYSA-N 0 0 437.522 -0.046 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)CCCN2CCOCC2)c1 ZINC000547327398 1074662371 /nfs/dbraw/zinc/66/23/71/1074662371.db2.gz SSZXCVRUDQRCFE-UHFFFAOYSA-N 0 0 435.568 -0.247 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCCN1CCOCC1 ZINC000547327477 1074662536 /nfs/dbraw/zinc/66/25/36/1074662536.db2.gz CHYZURDXBGZDQH-KRWDZBQOSA-N 0 0 425.617 -0.131 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCCN1CCOCC1 ZINC000547327478 1074662338 /nfs/dbraw/zinc/66/23/38/1074662338.db2.gz CHYZURDXBGZDQH-QGZVFWFLSA-N 0 0 425.617 -0.131 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2ncc(I)cn2)CC1 ZINC000547328204 1074662515 /nfs/dbraw/zinc/66/25/15/1074662515.db2.gz DMRHECMPCQOFSU-UHFFFAOYSA-N 0 0 439.279 -0.220 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)N2CCOC[C@H]2C[C@H](C)O)c[nH]1 ZINC000547332949 1074662912 /nfs/dbraw/zinc/66/29/12/1074662912.db2.gz XKYQIRGIRJMVHO-CAOSSQGBSA-N 0 0 445.538 -0.213 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1S(=O)(=O)c1c[nH]c(C(=O)N2CCOC[C@H]2C[C@H](C)O)c1 ZINC000547332950 1074662889 /nfs/dbraw/zinc/66/28/89/1074662889.db2.gz XKYQIRGIRJMVHO-JJXSEGSLSA-N 0 0 445.538 -0.213 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)N2CCOC[C@@H]2C[C@H](C)O)c[nH]1 ZINC000547332951 1074662803 /nfs/dbraw/zinc/66/28/03/1074662803.db2.gz XKYQIRGIRJMVHO-JONQDZQNSA-N 0 0 445.538 -0.213 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1S(=O)(=O)c1c[nH]c(C(=O)N2CCOC[C@H]2C[C@H](C)O)c1 ZINC000547332952 1074662823 /nfs/dbraw/zinc/66/28/23/1074662823.db2.gz XKYQIRGIRJMVHO-ZJIFWQFVSA-N 0 0 445.538 -0.213 20 0 IBADRN C[C@H](CNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)S(C)(=O)=O ZINC000547358905 1074663882 /nfs/dbraw/zinc/66/38/82/1074663882.db2.gz ABUHLHBUDRQGMV-LLVKDONJSA-N 0 0 433.508 -0.437 20 0 IBADRN C[C@@H](CNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)S(C)(=O)=O ZINC000547358906 1074663974 /nfs/dbraw/zinc/66/39/74/1074663974.db2.gz ABUHLHBUDRQGMV-NSHDSACASA-N 0 0 433.508 -0.437 20 0 IBADRN Cc1ccccc1-n1ncc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1N ZINC000547378543 1074664362 /nfs/dbraw/zinc/66/43/62/1074664362.db2.gz OOVMIKZPKWERDH-UHFFFAOYSA-N 0 0 426.477 -0.094 20 0 IBADRN CCc1nncn1CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000547381316 1074664416 /nfs/dbraw/zinc/66/44/16/1074664416.db2.gz CLICTOGVKNKLNZ-UHFFFAOYSA-N 0 0 425.471 -0.752 20 0 IBADRN CCc1nncn1CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000547382242 1074664380 /nfs/dbraw/zinc/66/43/80/1074664380.db2.gz DCNFQKRDQCMKHY-GASCZTMLSA-N 0 0 429.547 -0.478 20 0 IBADRN CCc1nncn1CCNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000547382257 1074664393 /nfs/dbraw/zinc/66/43/93/1074664393.db2.gz DCNFQKRDQCMKHY-GJZGRUSLSA-N 0 0 429.547 -0.478 20 0 IBADRN CCc1nncn1CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000547382258 1074664356 /nfs/dbraw/zinc/66/43/56/1074664356.db2.gz DCNFQKRDQCMKHY-HUUCEWRRSA-N 0 0 429.547 -0.478 20 0 IBADRN CC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000547391776 1074664462 /nfs/dbraw/zinc/66/44/62/1074664462.db2.gz DAZGHYXIHLVDJJ-UHFFFAOYSA-N 0 0 429.477 -0.271 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1cccc(C(=O)N3CCOCC3)c1)c(=O)n2C ZINC000547421388 1074664387 /nfs/dbraw/zinc/66/43/87/1074664387.db2.gz AFQOFJWEDANOBJ-UHFFFAOYSA-N 0 0 440.460 -0.778 20 0 IBADRN O=C(CNC(=O)c1ccc(F)c(F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000547423467 1074664373 /nfs/dbraw/zinc/66/43/73/1074664373.db2.gz UTHCGXNFGSXGSQ-UHFFFAOYSA-N 0 0 432.449 -0.584 20 0 IBADRN O=C(NCc1cccc(C(=O)N2CCOCC2)c1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000547429030 1074664438 /nfs/dbraw/zinc/66/44/38/1074664438.db2.gz OWKPDABQOOUXKQ-FQEVSTJZSA-N 0 0 444.532 -0.044 20 0 IBADRN O=C(NCc1cccc(C(=O)N2CCOCC2)c1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000547429031 1074664966 /nfs/dbraw/zinc/66/49/66/1074664966.db2.gz OWKPDABQOOUXKQ-HXUWFJFHSA-N 0 0 444.532 -0.044 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(C)C3=O)CC2)c1 ZINC000547439733 1074664958 /nfs/dbraw/zinc/66/49/58/1074664958.db2.gz ZPOSLPWZZUHBGU-AWEZNQCLSA-N 0 0 426.495 -0.050 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(C)C3=O)CC2)c1 ZINC000547439734 1074665005 /nfs/dbraw/zinc/66/50/05/1074665005.db2.gz ZPOSLPWZZUHBGU-CQSZACIVSA-N 0 0 426.495 -0.050 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(C)C2=O)CC1 ZINC000547440467 1074664962 /nfs/dbraw/zinc/66/49/62/1074664962.db2.gz CKACSLPCLZRXAY-CYBMUJFWSA-N 0 0 442.494 -0.070 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(C)C2=O)CC1 ZINC000547440468 1074664980 /nfs/dbraw/zinc/66/49/80/1074664980.db2.gz CKACSLPCLZRXAY-ZDUSSCGKSA-N 0 0 442.494 -0.070 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000547448606 1074665832 /nfs/dbraw/zinc/66/58/32/1074665832.db2.gz KBDGQRCVMUFECM-UHFFFAOYSA-N 0 0 440.522 -0.464 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccccc1OC(F)F ZINC000547449606 1074665755 /nfs/dbraw/zinc/66/57/55/1074665755.db2.gz OAHVJKQOILVJBK-LLVKDONJSA-N 0 0 433.433 -0.221 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccccc1OC(F)F ZINC000547449607 1074665824 /nfs/dbraw/zinc/66/58/24/1074665824.db2.gz OAHVJKQOILVJBK-NSHDSACASA-N 0 0 433.433 -0.221 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCC(=O)NCc2cccnc2)c1 ZINC000547451920 1074666406 /nfs/dbraw/zinc/66/64/06/1074666406.db2.gz RTOAZPKZKGRODT-UHFFFAOYSA-N 0 0 447.517 -0.116 20 0 IBADRN COC(=O)CN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C1CCOCC1 ZINC000547458623 1074666777 /nfs/dbraw/zinc/66/67/77/1074666777.db2.gz SEZJXIQLGIZVNG-UHFFFAOYSA-N 0 0 441.506 -0.153 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H]3CCN(C)C3=O)CC2)cc1 ZINC000547460581 1074667300 /nfs/dbraw/zinc/66/73/00/1074667300.db2.gz TXHWIXHRPNGLTK-KRWDZBQOSA-N 0 0 436.534 -0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3CCN(C)C3=O)CC2)cc1 ZINC000547460582 1074667366 /nfs/dbraw/zinc/66/73/66/1074667366.db2.gz TXHWIXHRPNGLTK-QGZVFWFLSA-N 0 0 436.534 -0.045 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)C1 ZINC000547471693 1074668946 /nfs/dbraw/zinc/66/89/46/1074668946.db2.gz UNIWMLYEZPOTMC-HNNXBMFYSA-N 0 0 437.584 -0.299 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)C1 ZINC000547471694 1074668967 /nfs/dbraw/zinc/66/89/67/1074668967.db2.gz UNIWMLYEZPOTMC-OAHLLOKOSA-N 0 0 437.584 -0.299 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000547475181 1074668880 /nfs/dbraw/zinc/66/88/80/1074668880.db2.gz PHQBENGXQJJEBA-BXKDBHETSA-N 0 0 438.428 -0.132 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000547475182 1074668893 /nfs/dbraw/zinc/66/88/93/1074668893.db2.gz PHQBENGXQJJEBA-SKDRFNHKSA-N 0 0 438.428 -0.132 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN2c2nccs2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000547477256 1074668985 /nfs/dbraw/zinc/66/89/85/1074668985.db2.gz RAFYFDLKKFWUGU-CABCVRRESA-N 0 0 441.579 -0.441 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN2c2nccs2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000547477257 1074668987 /nfs/dbraw/zinc/66/89/87/1074668987.db2.gz RAFYFDLKKFWUGU-GJZGRUSLSA-N 0 0 441.579 -0.441 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN2c2nccs2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000547477258 1074668932 /nfs/dbraw/zinc/66/89/32/1074668932.db2.gz RAFYFDLKKFWUGU-HUUCEWRRSA-N 0 0 441.579 -0.441 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN2c2nccs2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000547477270 1074668868 /nfs/dbraw/zinc/66/88/68/1074668868.db2.gz RAFYFDLKKFWUGU-LSDHHAIUSA-N 0 0 441.579 -0.441 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4cc[nH]c43)CC2)CC1 ZINC000547477712 1074668817 /nfs/dbraw/zinc/66/88/17/1074668817.db2.gz LQBTXNDFVAYCIA-UHFFFAOYSA-N 0 0 434.522 -0.257 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)nn1C ZINC000547478126 1074668956 /nfs/dbraw/zinc/66/89/56/1074668956.db2.gz KRWODNDSQSSGSF-UHFFFAOYSA-N 0 0 447.477 -0.223 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1C ZINC000547478399 1074668901 /nfs/dbraw/zinc/66/89/01/1074668901.db2.gz OXKXQGQOLDZDLA-UHFFFAOYSA-N 0 0 426.455 -0.495 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)nn1C ZINC000547480634 1074668971 /nfs/dbraw/zinc/66/89/71/1074668971.db2.gz YLCDGVNAQKPIQP-UHFFFAOYSA-N 0 0 430.469 -0.657 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000547483155 1074669480 /nfs/dbraw/zinc/66/94/80/1074669480.db2.gz LIYXEAZLGCRNRC-UHFFFAOYSA-N 0 0 446.533 0.532 20 0 IBADRN O=C(Nc1ccn(Cc2ccncc2)n1)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000547483353 1074668960 /nfs/dbraw/zinc/66/89/60/1074668960.db2.gz NKMKFHLQHBTESA-UHFFFAOYSA-N 0 0 432.506 0.338 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000547483542 1074669435 /nfs/dbraw/zinc/66/94/35/1074669435.db2.gz ATPQEQPBOIRCCT-UHFFFAOYSA-N 0 0 437.522 -0.071 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CC(S(=O)(=O)NC4CCCC4)C3)cc2)c1=O ZINC000547483543 1074669456 /nfs/dbraw/zinc/66/94/56/1074669456.db2.gz ATZINYCPHXHGNE-UHFFFAOYSA-N 0 0 448.505 -0.418 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)ccc1-n1cnnn1 ZINC000547483598 1074669588 /nfs/dbraw/zinc/66/95/88/1074669588.db2.gz UKMSGAHWWAPIHQ-UHFFFAOYSA-N 0 0 433.494 -0.018 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)c1 ZINC000547483632 1074669444 /nfs/dbraw/zinc/66/94/44/1074669444.db2.gz CVNPIJSXNIOTLK-UHFFFAOYSA-N 0 0 430.508 -0.655 20 0 IBADRN O=C(Nc1ccnn1C[C@H]1CCOC1)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000547483675 1074669414 /nfs/dbraw/zinc/66/94/14/1074669414.db2.gz KHGSDJIAUJVGKH-CYBMUJFWSA-N 0 0 425.511 -0.069 20 0 IBADRN O=C(Nc1ccnn1C[C@@H]1CCOC1)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000547483676 1074669602 /nfs/dbraw/zinc/66/96/02/1074669602.db2.gz KHGSDJIAUJVGKH-ZDUSSCGKSA-N 0 0 425.511 -0.069 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CC(S(=O)(=O)NC4CCCC4)C3)cc2C1=O ZINC000547484171 1074669467 /nfs/dbraw/zinc/66/94/67/1074669467.db2.gz DUPATEKZPTZKOZ-UHFFFAOYSA-N 0 0 434.474 -0.076 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000547497582 1074669955 /nfs/dbraw/zinc/66/99/55/1074669955.db2.gz XRZWBUCSJFTFQI-UHFFFAOYSA-N 0 0 436.490 -0.024 20 0 IBADRN CCCc1cccc(C=c2sc(=C(C#N)C(=O)NCCOC)n(CC(N)=O)c2=O)c1 ZINC000547500022 1074669993 /nfs/dbraw/zinc/66/99/93/1074669993.db2.gz SMXODBKHQNRJCY-UHFFFAOYSA-N 0 0 428.514 -0.387 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCc1ccc(N2CCCS2(=O)=O)c(F)c1 ZINC000547502500 1074670978 /nfs/dbraw/zinc/67/09/78/1074670978.db2.gz FIOYHGSSHNUTID-UHFFFAOYSA-N 0 0 437.515 -0.062 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000547527316 1074675521 /nfs/dbraw/zinc/67/55/21/1074675521.db2.gz OFHPOQFKZLZQNB-UHFFFAOYSA-N 0 0 449.555 -0.058 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000547528317 1074675453 /nfs/dbraw/zinc/67/54/53/1074675453.db2.gz QSAZKXZMUMMPAZ-CYBMUJFWSA-N 0 0 433.512 -0.742 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000547528318 1074675467 /nfs/dbraw/zinc/67/54/67/1074675467.db2.gz QSAZKXZMUMMPAZ-ZDUSSCGKSA-N 0 0 433.512 -0.742 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000547534076 1074675611 /nfs/dbraw/zinc/67/56/11/1074675611.db2.gz CFEGQSFISJYURL-UHFFFAOYSA-N 0 0 429.543 -0.677 20 0 IBADRN CCOc1cccc(C=c2sc(=C(C#N)C(=O)[C@@H]3CCOC3)n(CC(N)=O)c2=O)n1 ZINC000547637200 1070805924 /nfs/dbraw/zinc/80/59/24/1070805924.db2.gz KBVLOWLVEJBIQG-GFCCVEGCSA-N 0 0 428.470 -0.703 20 0 IBADRN CCOc1cccc(C=c2sc(=C(C#N)C(=O)[C@H]3CCOC3)n(CC(N)=O)c2=O)n1 ZINC000547637202 1070805760 /nfs/dbraw/zinc/80/57/60/1070805760.db2.gz KBVLOWLVEJBIQG-LBPRGKRZSA-N 0 0 428.470 -0.703 20 0 IBADRN N#CC(C(=O)[C@@H]1CCOC1)=c1sc(=Cc2cccc([N+](=O)[O-])c2)c(=O)n1CC(N)=O ZINC000547637253 1070805732 /nfs/dbraw/zinc/80/57/32/1070805732.db2.gz SZOHAFYAGBHRSA-GFCCVEGCSA-N 0 0 428.426 -0.588 20 0 IBADRN N#CC(C(=O)[C@H]1CCOC1)=c1sc(=Cc2cccc([N+](=O)[O-])c2)c(=O)n1CC(N)=O ZINC000547637256 1070805829 /nfs/dbraw/zinc/80/58/29/1070805829.db2.gz SZOHAFYAGBHRSA-LBPRGKRZSA-N 0 0 428.426 -0.588 20 0 IBADRN N#CC(C(=O)[C@@H]1CCOC1)=c1sc(=Cc2ccccc2[N+](=O)[O-])c(=O)n1CC(N)=O ZINC000547637839 1070805378 /nfs/dbraw/zinc/80/53/78/1070805378.db2.gz RQBHFWXSIVJDSI-GFCCVEGCSA-N 0 0 428.426 -0.588 20 0 IBADRN N#CC(C(=O)[C@H]1CCOC1)=c1sc(=Cc2ccccc2[N+](=O)[O-])c(=O)n1CC(N)=O ZINC000547637841 1070805893 /nfs/dbraw/zinc/80/58/93/1070805893.db2.gz RQBHFWXSIVJDSI-LBPRGKRZSA-N 0 0 428.426 -0.588 20 0 IBADRN N#CC(C(=O)[C@H]1CCOC1)=c1sc(=Cc2ccc3c(c2)CCO3)c(=O)n1CC(N)=O ZINC000547637949 1070805619 /nfs/dbraw/zinc/80/56/19/1070805619.db2.gz WRSNOCDNTFNMIC-AWEZNQCLSA-N 0 0 425.466 -0.561 20 0 IBADRN N#CC(C(=O)[C@@H]1CCOC1)=c1sc(=Cc2ccc3c(c2)CCO3)c(=O)n1CC(N)=O ZINC000547637950 1070805952 /nfs/dbraw/zinc/80/59/52/1070805952.db2.gz WRSNOCDNTFNMIC-CQSZACIVSA-N 0 0 425.466 -0.561 20 0 IBADRN COc1ccc(C=c2sc(=C(C#N)C(=O)[C@H]3CCOC3)n(CC(N)=O)c2=O)cc1C ZINC000547638473 1070805434 /nfs/dbraw/zinc/80/54/34/1070805434.db2.gz OSBPYOVMPQBXRL-AWEZNQCLSA-N 0 0 427.482 -0.179 20 0 IBADRN COc1ccc(C=c2sc(=C(C#N)C(=O)[C@@H]3CCOC3)n(CC(N)=O)c2=O)cc1C ZINC000547638474 1070805781 /nfs/dbraw/zinc/80/57/81/1070805781.db2.gz OSBPYOVMPQBXRL-CQSZACIVSA-N 0 0 427.482 -0.179 20 0 IBADRN COC(=O)C1(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CCSCC1 ZINC000547662037 1070811827 /nfs/dbraw/zinc/81/18/27/1070811827.db2.gz JITWUBUXSLVLDL-UHFFFAOYSA-N 0 0 429.476 -0.203 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000547699337 1070823734 /nfs/dbraw/zinc/82/37/34/1070823734.db2.gz VRIGLVMDUREEIN-UHFFFAOYSA-N 0 0 438.510 -0.067 20 0 IBADRN CC1(C)c2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2NC1=O ZINC000547711873 1070826414 /nfs/dbraw/zinc/82/64/14/1070826414.db2.gz VPIPDTDJHUOIRL-CVEARBPZSA-N 0 0 443.547 -0.308 20 0 IBADRN CC1(C)c2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2NC1=O ZINC000547711877 1070826584 /nfs/dbraw/zinc/82/65/84/1070826584.db2.gz VPIPDTDJHUOIRL-HOTGVXAUSA-N 0 0 443.547 -0.308 20 0 IBADRN CC1(C)c2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2NC1=O ZINC000547711878 1070826678 /nfs/dbraw/zinc/82/66/78/1070826678.db2.gz VPIPDTDJHUOIRL-HZPDHXFCSA-N 0 0 443.547 -0.308 20 0 IBADRN CC1(C)c2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2NC1=O ZINC000547711879 1070826840 /nfs/dbraw/zinc/82/68/40/1070826840.db2.gz VPIPDTDJHUOIRL-JKSUJKDBSA-N 0 0 443.547 -0.308 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000547721441 1070828298 /nfs/dbraw/zinc/82/82/98/1070828298.db2.gz WNRPDFGLWJXWFS-SNPRPXQTSA-N 0 0 435.499 -0.900 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000547721442 1070828509 /nfs/dbraw/zinc/82/85/09/1070828509.db2.gz WNRPDFGLWJXWFS-YUELXQCFSA-N 0 0 435.499 -0.900 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)C1 ZINC000547756847 1070838889 /nfs/dbraw/zinc/83/88/89/1070838889.db2.gz MLHRDRDGXOHZEC-HNNXBMFYSA-N 0 0 447.535 -0.415 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)C1 ZINC000547756848 1070838030 /nfs/dbraw/zinc/83/80/30/1070838030.db2.gz MLHRDRDGXOHZEC-OAHLLOKOSA-N 0 0 447.535 -0.415 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000547757927 1070838846 /nfs/dbraw/zinc/83/88/46/1070838846.db2.gz IFSVYDPZEOVCLX-UHFFFAOYSA-N 0 0 441.535 -0.139 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000547757995 1070838257 /nfs/dbraw/zinc/83/82/57/1070838257.db2.gz AYAROMINMUOKIA-UHFFFAOYSA-N 0 0 443.489 -0.316 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](OCCO)C2)cc(S(C)(=O)=O)c1 ZINC000547759006 1070839263 /nfs/dbraw/zinc/83/92/63/1070839263.db2.gz CJSQMUKKMGAVFI-AWEZNQCLSA-N 0 0 428.463 -0.185 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](OCCO)C2)cc(S(C)(=O)=O)c1 ZINC000547759007 1070839227 /nfs/dbraw/zinc/83/92/27/1070839227.db2.gz CJSQMUKKMGAVFI-CQSZACIVSA-N 0 0 428.463 -0.185 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](OCCO)C2)cc1 ZINC000547759060 1070839451 /nfs/dbraw/zinc/83/94/51/1070839451.db2.gz NDUUPILCRBEAEN-GOSISDBHSA-N 0 0 434.493 -0.104 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](OCCO)C2)cc1 ZINC000547759061 1070839494 /nfs/dbraw/zinc/83/94/94/1070839494.db2.gz NDUUPILCRBEAEN-SFHVURJKSA-N 0 0 434.493 -0.104 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@@H](OCCO)C1 ZINC000547759690 1070839204 /nfs/dbraw/zinc/83/92/04/1070839204.db2.gz SUKOIPFJXNMYIE-APWZRJJASA-N 0 0 447.488 -0.361 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@H](OCCO)C1 ZINC000547759691 1070839006 /nfs/dbraw/zinc/83/90/06/1070839006.db2.gz SUKOIPFJXNMYIE-LPHOPBHVSA-N 0 0 447.488 -0.361 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@H](OCCO)C1 ZINC000547759692 1070839516 /nfs/dbraw/zinc/83/95/16/1070839516.db2.gz SUKOIPFJXNMYIE-QFBILLFUSA-N 0 0 447.488 -0.361 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@@H](OCCO)C1 ZINC000547759693 1070839181 /nfs/dbraw/zinc/83/91/81/1070839181.db2.gz SUKOIPFJXNMYIE-VQIMIIECSA-N 0 0 447.488 -0.361 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@H](OCCO)C2)ccc1S(=O)(=O)N(C)C ZINC000547759787 1070840412 /nfs/dbraw/zinc/84/04/12/1070840412.db2.gz LGHVQCYASULSHG-AWEZNQCLSA-N 0 0 429.495 -0.116 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCC[C@@H](OCCO)C2)ccc1S(=O)(=O)N(C)C ZINC000547759788 1070840230 /nfs/dbraw/zinc/84/02/30/1070840230.db2.gz LGHVQCYASULSHG-CQSZACIVSA-N 0 0 429.495 -0.116 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1 ZINC000547768309 1070846756 /nfs/dbraw/zinc/84/67/56/1070846756.db2.gz ZSVNDLOVDVPJSV-UHFFFAOYSA-N 0 0 430.504 -0.132 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000547776390 1070847478 /nfs/dbraw/zinc/84/74/78/1070847478.db2.gz JEDHHHWLTILDGF-CHWSQXEVSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000547776391 1070847406 /nfs/dbraw/zinc/84/74/06/1070847406.db2.gz JEDHHHWLTILDGF-OLZOCXBDSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS1(=O)=O ZINC000547776392 1070847376 /nfs/dbraw/zinc/84/73/76/1070847376.db2.gz JEDHHHWLTILDGF-QWHCGFSZSA-N 0 0 431.536 -0.299 20 0 IBADRN C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000547776393 1070847877 /nfs/dbraw/zinc/84/78/77/1070847877.db2.gz JEDHHHWLTILDGF-STQMWFEESA-N 0 0 431.536 -0.299 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)nn1C ZINC000547800544 1070853661 /nfs/dbraw/zinc/85/36/61/1070853661.db2.gz ALCXKQUGIKIQRD-UHFFFAOYSA-N 0 0 431.478 -0.219 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)NC(CO)CO ZINC000547800663 1070854054 /nfs/dbraw/zinc/85/40/54/1070854054.db2.gz UIYLSYBUNBCEEU-UHFFFAOYSA-N 0 0 433.914 -0.578 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)c1 ZINC000547804228 1070856010 /nfs/dbraw/zinc/85/60/10/1070856010.db2.gz NCJWGXUOWHITCA-UHFFFAOYSA-N 0 0 444.535 -0.394 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000547804916 1070856718 /nfs/dbraw/zinc/85/67/18/1070856718.db2.gz VUXFTYLFLYGFGK-UHFFFAOYSA-N 0 0 426.499 -0.438 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CC(S(=O)(=O)NC4CCCC4)C3)cc21 ZINC000547805721 1070856227 /nfs/dbraw/zinc/85/62/27/1070856227.db2.gz UNHMOMBLBNNEKW-UHFFFAOYSA-N 0 0 434.478 -0.327 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cccc1-n1cnnn1 ZINC000547805742 1070856405 /nfs/dbraw/zinc/85/64/05/1070856405.db2.gz DNZNIHIFPIMZEI-UHFFFAOYSA-N 0 0 433.494 -0.018 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1-n1cnnn1 ZINC000547806171 1070857468 /nfs/dbraw/zinc/85/74/68/1070857468.db2.gz XUVBIKLKRHRNCI-UHFFFAOYSA-N 0 0 433.494 -0.018 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000547806440 1070857181 /nfs/dbraw/zinc/85/71/81/1070857181.db2.gz NXFWDHMQCZHQQD-UHFFFAOYSA-N 0 0 430.508 -0.504 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1 ZINC000547807447 1070857724 /nfs/dbraw/zinc/85/77/24/1070857724.db2.gz QXRYNFFVTDVXJC-UHFFFAOYSA-N 0 0 437.525 -0.556 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000547808156 1070857815 /nfs/dbraw/zinc/85/78/15/1070857815.db2.gz PHQBENGXQJJEBA-CABZTGNLSA-N 0 0 438.428 -0.132 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000547808157 1070857949 /nfs/dbraw/zinc/85/79/49/1070857949.db2.gz PHQBENGXQJJEBA-JOYOIKCWSA-N 0 0 438.428 -0.132 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000547835153 1070866688 /nfs/dbraw/zinc/86/66/88/1070866688.db2.gz BWXMSAFTCNRUHU-UHFFFAOYSA-N 0 0 427.425 -0.899 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000547847641 1070873839 /nfs/dbraw/zinc/87/38/39/1070873839.db2.gz ADFDWPANAVIYGL-UHFFFAOYSA-N 0 0 426.499 -0.541 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCC2(O)CCOCC2)CC1 ZINC000547857738 1070875975 /nfs/dbraw/zinc/87/59/75/1070875975.db2.gz QRZLOEWTTGAESF-UHFFFAOYSA-N 0 0 426.539 -0.037 20 0 IBADRN Cn1cc(S(=O)(=O)Nc2ccc(N3CC[NH+](C)CC3)cc2C(=O)[O-])c(=O)n(C)c1=O ZINC000547879789 1070878331 /nfs/dbraw/zinc/87/83/31/1070878331.db2.gz HWRYHYZBTRDBGU-UHFFFAOYSA-N 0 0 437.478 -0.665 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CC12CCS(=O)(=O)CC2 ZINC000547927038 1070884286 /nfs/dbraw/zinc/88/42/86/1070884286.db2.gz CPGOMQQLARELMN-HNNXBMFYSA-N 0 0 441.531 -0.040 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CC12CCS(=O)(=O)CC2 ZINC000547927039 1070884040 /nfs/dbraw/zinc/88/40/40/1070884040.db2.gz CPGOMQQLARELMN-OAHLLOKOSA-N 0 0 441.531 -0.040 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3CCOC)C1 ZINC000547976090 1070891141 /nfs/dbraw/zinc/89/11/41/1070891141.db2.gz VGVUOISMEVAZIO-HNNXBMFYSA-N 0 0 438.506 -0.299 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3CCOC)C1 ZINC000547976091 1070891225 /nfs/dbraw/zinc/89/12/25/1070891225.db2.gz VGVUOISMEVAZIO-OAHLLOKOSA-N 0 0 438.506 -0.299 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000548034300 1070908241 /nfs/dbraw/zinc/90/82/41/1070908241.db2.gz XDKCQCWYRRPIHM-INMHGKMJSA-N 0 0 440.497 -0.034 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCC[C@H](N2CCNC2=O)C1 ZINC000548034301 1070908361 /nfs/dbraw/zinc/90/83/61/1070908361.db2.gz XDKCQCWYRRPIHM-UXLLHSPISA-N 0 0 440.497 -0.034 20 0 IBADRN C[C@H]1CN(CCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000548042164 1070909922 /nfs/dbraw/zinc/90/99/22/1070909922.db2.gz KSIHRESJTCMGKZ-HNNXBMFYSA-N 0 0 433.552 -0.294 20 0 IBADRN C[C@@H]1CN(CCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000548042165 1070909808 /nfs/dbraw/zinc/90/98/08/1070909808.db2.gz KSIHRESJTCMGKZ-OAHLLOKOSA-N 0 0 433.552 -0.294 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCC[C@H](OCCO)C2)c[nH]1)N1CCC[C@@H](OCCO)C1 ZINC000548043005 1070909790 /nfs/dbraw/zinc/90/97/90/1070909790.db2.gz CGLDQJXAZRKIFZ-CVEARBPZSA-N 0 0 445.538 -0.210 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCC[C@H](OCCO)C2)c[nH]1)N1CCC[C@H](OCCO)C1 ZINC000548043006 1070909710 /nfs/dbraw/zinc/90/97/10/1070909710.db2.gz CGLDQJXAZRKIFZ-HOTGVXAUSA-N 0 0 445.538 -0.210 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCC[C@@H](OCCO)C2)c[nH]1)N1CCC[C@@H](OCCO)C1 ZINC000548043007 1070909611 /nfs/dbraw/zinc/90/96/11/1070909611.db2.gz CGLDQJXAZRKIFZ-HZPDHXFCSA-N 0 0 445.538 -0.210 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCC[C@@H](OCCO)C2)c[nH]1)N1CCC[C@H](OCCO)C1 ZINC000548043008 1070909955 /nfs/dbraw/zinc/90/99/55/1070909955.db2.gz CGLDQJXAZRKIFZ-JKSUJKDBSA-N 0 0 445.538 -0.210 20 0 IBADRN CCOC(=O)c1cc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)[nH]n1 ZINC000548047079 1073340367 /nfs/dbraw/zinc/34/03/67/1073340367.db2.gz HNBAJEJIFCGMKJ-RISCZKNCSA-N 0 0 426.426 0.488 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000548048364 1070909932 /nfs/dbraw/zinc/90/99/32/1070909932.db2.gz BZIIBYCJEDJBHN-HIFRSBDPSA-N 0 0 430.454 -0.524 20 0 IBADRN COCc1noc(CN2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000548053005 1070910559 /nfs/dbraw/zinc/91/05/59/1070910559.db2.gz ZNUXCIVOXFMMIU-UHFFFAOYSA-N 0 0 431.453 -0.937 20 0 IBADRN C[C@@H](CNC(=O)[C@H](C)N1CCN(C)CC1)N(CC(F)F)C(=O)[C@H](C)N1CCN(C)CC1 ZINC000548057906 1070911323 /nfs/dbraw/zinc/91/13/23/1070911323.db2.gz DAGNMRPRYGQQNN-BZSNNMDCSA-N 0 0 446.587 -0.143 20 0 IBADRN C[C@H](C(=O)NC[C@H](C)N(CC(F)F)C(=O)[C@H](C)N1CCN(C)CC1)N1CCN(C)CC1 ZINC000548057907 1070911103 /nfs/dbraw/zinc/91/11/03/1070911103.db2.gz DAGNMRPRYGQQNN-KSZLIROESA-N 0 0 446.587 -0.143 20 0 IBADRN C[C@H](C(=O)N(CC(F)F)[C@@H](C)CNC(=O)[C@H](C)N1CCN(C)CC1)N1CCN(C)CC1 ZINC000548057908 1070911227 /nfs/dbraw/zinc/91/12/27/1070911227.db2.gz DAGNMRPRYGQQNN-OKZBNKHCSA-N 0 0 446.587 -0.143 20 0 IBADRN C[C@H](C(=O)NC[C@H](C)N(CC(F)F)C(=O)[C@@H](C)N1CCN(C)CC1)N1CCN(C)CC1 ZINC000548057909 1070911289 /nfs/dbraw/zinc/91/12/89/1070911289.db2.gz DAGNMRPRYGQQNN-RCCFBDPRSA-N 0 0 446.587 -0.143 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)C1 ZINC000548083504 1070924259 /nfs/dbraw/zinc/92/42/59/1070924259.db2.gz KHYHBIKQLABDED-HNNXBMFYSA-N 0 0 447.535 -0.415 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)C1 ZINC000548083505 1070924425 /nfs/dbraw/zinc/92/44/25/1070924425.db2.gz KHYHBIKQLABDED-OAHLLOKOSA-N 0 0 447.535 -0.415 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000548083911 1070924391 /nfs/dbraw/zinc/92/43/91/1070924391.db2.gz OPWYWRCXPKYPBR-UHFFFAOYSA-N 0 0 440.522 -0.547 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCN1CCN(c2ncccn2)CC1 ZINC000548083986 1070924182 /nfs/dbraw/zinc/92/41/82/1070924182.db2.gz QRANRIRGEJASEF-UHFFFAOYSA-N 0 0 444.521 -0.430 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000548084767 1070924419 /nfs/dbraw/zinc/92/44/19/1070924419.db2.gz OHQPRORKWOJYBL-UHFFFAOYSA-N 0 0 427.479 -0.639 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000548084867 1070924209 /nfs/dbraw/zinc/92/42/09/1070924209.db2.gz PDHBHPXTJJOKCC-UHFFFAOYSA-N 0 0 449.551 -0.121 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)C1 ZINC000548085620 1070925540 /nfs/dbraw/zinc/92/55/40/1070925540.db2.gz MMKAZVLKQVFXMT-KRWDZBQOSA-N 0 0 437.522 -0.556 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)C1 ZINC000548085621 1070925657 /nfs/dbraw/zinc/92/56/57/1070925657.db2.gz MMKAZVLKQVFXMT-QGZVFWFLSA-N 0 0 437.522 -0.556 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC[C@H](OCCO)C1 ZINC000548086650 1070927058 /nfs/dbraw/zinc/92/70/58/1070927058.db2.gz CRRAXYPDCMOROE-INIZCTEOSA-N 0 0 441.506 -0.354 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC[C@@H](OCCO)C1 ZINC000548086651 1070927157 /nfs/dbraw/zinc/92/71/57/1070927157.db2.gz CRRAXYPDCMOROE-MRXNPFEDSA-N 0 0 441.506 -0.354 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCC[C@H](OCCO)C3)cc2)CC1 ZINC000548086656 1070927092 /nfs/dbraw/zinc/92/70/92/1070927092.db2.gz FUOXZTNVNWGAIC-IBGZPJMESA-N 0 0 432.521 -0.059 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCC[C@@H](OCCO)C3)cc2)CC1 ZINC000548086657 1070927008 /nfs/dbraw/zinc/92/70/08/1070927008.db2.gz FUOXZTNVNWGAIC-LJQANCHMSA-N 0 0 432.521 -0.059 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCC[C@H](OCCO)C1 ZINC000548086995 1070927208 /nfs/dbraw/zinc/92/72/08/1070927208.db2.gz AICKIKARPNQNKC-KRWDZBQOSA-N 0 0 425.507 -0.140 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCC[C@@H](OCCO)C1 ZINC000548086996 1070927337 /nfs/dbraw/zinc/92/73/37/1070927337.db2.gz AICKIKARPNQNKC-QGZVFWFLSA-N 0 0 425.507 -0.140 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@H](OCCO)C1 ZINC000548087067 1070928467 /nfs/dbraw/zinc/92/84/67/1070928467.db2.gz MQFTYDCKBMBCKB-INIZCTEOSA-N 0 0 441.506 -0.354 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@@H](OCCO)C1 ZINC000548087068 1070928359 /nfs/dbraw/zinc/92/83/59/1070928359.db2.gz MQFTYDCKBMBCKB-MRXNPFEDSA-N 0 0 441.506 -0.354 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H](OCCO)C1 ZINC000548087515 1070927934 /nfs/dbraw/zinc/92/79/34/1070927934.db2.gz JZRRWNQZIMXZGZ-AWEZNQCLSA-N 0 0 442.538 -0.059 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@@H](OCCO)C1 ZINC000548087516 1070927946 /nfs/dbraw/zinc/92/79/46/1070927946.db2.gz JZRRWNQZIMXZGZ-CQSZACIVSA-N 0 0 442.538 -0.059 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000548087554 1070927849 /nfs/dbraw/zinc/92/78/49/1070927849.db2.gz WSDOSWXDZSAOPV-CABCVRRESA-N 0 0 425.463 -0.409 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000548087562 1070927964 /nfs/dbraw/zinc/92/79/64/1070927964.db2.gz WSDOSWXDZSAOPV-GJZGRUSLSA-N 0 0 425.463 -0.409 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000548087564 1070927900 /nfs/dbraw/zinc/92/79/00/1070927900.db2.gz WSDOSWXDZSAOPV-HUUCEWRRSA-N 0 0 425.463 -0.409 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000548087565 1070927955 /nfs/dbraw/zinc/92/79/55/1070927955.db2.gz WSDOSWXDZSAOPV-LSDHHAIUSA-N 0 0 425.463 -0.409 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000548091074 1070929273 /nfs/dbraw/zinc/92/92/73/1070929273.db2.gz JVCMSVRRRSFPCR-HNNXBMFYSA-N 0 0 448.549 -0.004 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000548091075 1070929197 /nfs/dbraw/zinc/92/91/97/1070929197.db2.gz JVCMSVRRRSFPCR-OAHLLOKOSA-N 0 0 448.549 -0.004 20 0 IBADRN COc1ccccc1C(=O)NCC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000548103890 1070935356 /nfs/dbraw/zinc/93/53/56/1070935356.db2.gz OWDAEFYOGPEVOO-UHFFFAOYSA-N 0 0 440.522 -0.417 20 0 IBADRN COc1cc(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1C ZINC000548126072 1070941829 /nfs/dbraw/zinc/94/18/29/1070941829.db2.gz HHJVSFADEVNXIB-UHFFFAOYSA-N 0 0 437.478 -0.296 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)nn1C ZINC000548127653 1070942749 /nfs/dbraw/zinc/94/27/49/1070942749.db2.gz WKROOWZUTCVSQQ-UHFFFAOYSA-N 0 0 437.478 -0.091 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000548129290 1070943424 /nfs/dbraw/zinc/94/34/24/1070943424.db2.gz QHZLXLYXDSMPOB-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000548129291 1070943600 /nfs/dbraw/zinc/94/36/00/1070943600.db2.gz QHZLXLYXDSMPOB-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cc(OC)n(C)n2)CC1 ZINC000548131251 1070944571 /nfs/dbraw/zinc/94/45/71/1070944571.db2.gz WNOWPRSIQZLYKJ-UHFFFAOYSA-N 0 0 436.494 -0.376 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CC(S(=O)(=O)NC4CCCC4)C3)cc2n(C)c1=O ZINC000548131875 1070943509 /nfs/dbraw/zinc/94/35/09/1070943509.db2.gz STOSHFDAWGXLDT-UHFFFAOYSA-N 0 0 435.506 -0.112 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)c1 ZINC000548133249 1070946920 /nfs/dbraw/zinc/94/69/20/1070946920.db2.gz BORHCCZUSAWDOQ-UHFFFAOYSA-N 0 0 448.498 -0.516 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1S(N)(=O)=O ZINC000548133356 1070946933 /nfs/dbraw/zinc/94/69/33/1070946933.db2.gz UMIIFUZQLLRFAE-UHFFFAOYSA-N 0 0 444.535 -0.346 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1 ZINC000548133385 1070946707 /nfs/dbraw/zinc/94/67/07/1070946707.db2.gz XLIZWVJJDGTOLH-UHFFFAOYSA-N 0 0 438.506 -0.048 20 0 IBADRN O=C(NCCN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000548133609 1070946866 /nfs/dbraw/zinc/94/68/66/1070946866.db2.gz YZIHTRBGEBJLRJ-UHFFFAOYSA-N 0 0 425.449 0.246 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548133727 1070946839 /nfs/dbraw/zinc/94/68/39/1070946839.db2.gz HOFZVKNOGWEDDD-UHFFFAOYSA-N 0 0 438.506 -0.048 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1C(N)=O ZINC000548134141 1070946764 /nfs/dbraw/zinc/94/67/64/1070946764.db2.gz YDOWVGOPBWVBSM-UHFFFAOYSA-N 0 0 425.467 -0.800 20 0 IBADRN Cn1cc(CNC(=O)[C@@H]2CC(=O)N(C3CC3)C2)c(NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)n1 ZINC000548134201 1073354341 /nfs/dbraw/zinc/35/43/41/1073354341.db2.gz LBQJBSYENKXULS-CHWSQXEVSA-N 0 0 428.493 -0.003 20 0 IBADRN Cn1cc(CNC(=O)[C@@H]2CC(=O)N(C3CC3)C2)c(NC(=O)[C@H]2CC(=O)N(C3CC3)C2)n1 ZINC000548134202 1073354312 /nfs/dbraw/zinc/35/43/12/1073354312.db2.gz LBQJBSYENKXULS-OLZOCXBDSA-N 0 0 428.493 -0.003 20 0 IBADRN Cn1cc(CNC(=O)[C@H]2CC(=O)N(C3CC3)C2)c(NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)n1 ZINC000548134203 1073354516 /nfs/dbraw/zinc/35/45/16/1073354516.db2.gz LBQJBSYENKXULS-QWHCGFSZSA-N 0 0 428.493 -0.003 20 0 IBADRN Cn1cc(CNC(=O)[C@H]2CC(=O)N(C3CC3)C2)c(NC(=O)[C@H]2CC(=O)N(C3CC3)C2)n1 ZINC000548134204 1073354406 /nfs/dbraw/zinc/35/44/06/1073354406.db2.gz LBQJBSYENKXULS-STQMWFEESA-N 0 0 428.493 -0.003 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c2ccccc2O1 ZINC000548134469 1070948989 /nfs/dbraw/zinc/94/89/89/1070948989.db2.gz URJVKWKRTUCVHJ-HNNXBMFYSA-N 0 0 444.444 -0.066 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)c2ccccc2O1 ZINC000548134470 1070948997 /nfs/dbraw/zinc/94/89/97/1070948997.db2.gz URJVKWKRTUCVHJ-OAHLLOKOSA-N 0 0 444.444 -0.066 20 0 IBADRN O=C(NCC1(O)CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000548135605 1070948748 /nfs/dbraw/zinc/94/87/48/1070948748.db2.gz LACJJXUZGQFQDF-KRWDZBQOSA-N 0 0 433.461 -0.703 20 0 IBADRN O=C(NCC1(O)CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000548135613 1070949627 /nfs/dbraw/zinc/94/96/27/1070949627.db2.gz LACJJXUZGQFQDF-QGZVFWFLSA-N 0 0 433.461 -0.703 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)c1 ZINC000548152127 1070958234 /nfs/dbraw/zinc/95/82/34/1070958234.db2.gz POFILWOIKYOYSH-UHFFFAOYSA-N 0 0 445.498 -0.306 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(c2nc(C)nc(N3CCC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000548154380 1070964920 /nfs/dbraw/zinc/96/49/20/1070964920.db2.gz MCKLEDCMXYKOOE-KBPBESRZSA-N 0 0 447.587 -0.784 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2nc(C)nc(N3CCC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000548154381 1070964665 /nfs/dbraw/zinc/96/46/65/1070964665.db2.gz MCKLEDCMXYKOOE-OKILXGFUSA-N 0 0 447.587 -0.784 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2nc(C)nc(N3CCC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000548154382 1070964575 /nfs/dbraw/zinc/96/45/75/1070964575.db2.gz MCKLEDCMXYKOOE-ZIAGYGMSSA-N 0 0 447.587 -0.784 20 0 IBADRN COc1cc(NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)nn1C ZINC000548165879 1073341646 /nfs/dbraw/zinc/34/16/46/1073341646.db2.gz AJNQTJIJBYTZKQ-UHFFFAOYSA-N 0 0 434.478 0.462 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548180118 1070979352 /nfs/dbraw/zinc/97/93/52/1070979352.db2.gz LDVCBMCJOVWVIZ-UHFFFAOYSA-N 0 0 437.570 -0.146 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548184623 1070980536 /nfs/dbraw/zinc/98/05/36/1070980536.db2.gz ZNFUZUUFNKMTKD-UHFFFAOYSA-N 0 0 440.613 -0.379 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548186391 1070982096 /nfs/dbraw/zinc/98/20/96/1070982096.db2.gz IAPLDIUOXNZIHV-UHFFFAOYSA-N 0 0 435.528 -0.650 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(c2nc(C)c(C)nc2N2CC[C@H](S(=O)(=O)NC)C2)C1 ZINC000548285261 1071003013 /nfs/dbraw/zinc/00/30/13/1071003013.db2.gz VIVLCLANHUPVKR-KBPBESRZSA-N 0 0 432.572 -0.651 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2nc(C)c(C)nc2N2CC[C@H](S(=O)(=O)NC)C2)C1 ZINC000548285262 1071003187 /nfs/dbraw/zinc/00/31/87/1071003187.db2.gz VIVLCLANHUPVKR-OKILXGFUSA-N 0 0 432.572 -0.651 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2nc(C)c(C)nc2N2CC[C@@H](S(=O)(=O)NC)C2)C1 ZINC000548285263 1071002772 /nfs/dbraw/zinc/00/27/72/1071002772.db2.gz VIVLCLANHUPVKR-ZIAGYGMSSA-N 0 0 432.572 -0.651 20 0 IBADRN O=S(=O)(NCCNS(=O)(=O)c1cnn(CC(F)F)c1)c1cnn(CC(F)F)c1 ZINC000548292374 1071003727 /nfs/dbraw/zinc/00/37/27/1071003727.db2.gz PPDGQQSTMBQJBK-UHFFFAOYSA-N 0 0 448.424 -0.133 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)NC)C1 ZINC000548292647 1071003686 /nfs/dbraw/zinc/00/36/86/1071003686.db2.gz HXXWSBWHWUNCDO-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)NC)C1 ZINC000548292648 1071003769 /nfs/dbraw/zinc/00/37/69/1071003769.db2.gz HXXWSBWHWUNCDO-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN COC(=O)C1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCSCC1 ZINC000548296537 1071004721 /nfs/dbraw/zinc/00/47/21/1071004721.db2.gz FLKRQXWSHDBGIV-UHFFFAOYSA-N 0 0 441.531 -0.024 20 0 IBADRN CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(CC)C(=O)[C@@H]3C)c[nH]2)[C@@H](C)C1=O ZINC000548296774 1071004510 /nfs/dbraw/zinc/00/45/10/1071004510.db2.gz CKKNDGRDTGBQSZ-KBPBESRZSA-N 0 0 439.538 -0.051 20 0 IBADRN CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(CC)C(=O)[C@@H]3C)c[nH]2)[C@H](C)C1=O ZINC000548296775 1071004428 /nfs/dbraw/zinc/00/44/28/1071004428.db2.gz CKKNDGRDTGBQSZ-KGLIPLIRSA-N 0 0 439.538 -0.051 20 0 IBADRN CCN1CCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCN(CC)C(=O)[C@@H]3C)c2)[C@H](C)C1=O ZINC000548296776 1071004529 /nfs/dbraw/zinc/00/45/29/1071004529.db2.gz CKKNDGRDTGBQSZ-UONOGXRCSA-N 0 0 439.538 -0.051 20 0 IBADRN CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(CC)C(=O)[C@H]3C)c[nH]2)[C@H](C)C1=O ZINC000548296777 1071004668 /nfs/dbraw/zinc/00/46/68/1071004668.db2.gz CKKNDGRDTGBQSZ-ZIAGYGMSSA-N 0 0 439.538 -0.051 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)ccc21 ZINC000548301689 1071004892 /nfs/dbraw/zinc/00/48/92/1071004892.db2.gz UHCDVBXHRQYERE-UHFFFAOYSA-N 0 0 440.522 -0.005 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCc2ccc(F)cc2Br)n1 ZINC000548304229 1073305763 /nfs/dbraw/zinc/30/57/63/1073305763.db2.gz PSDALDPECPYQLE-UHFFFAOYSA-N 0 0 427.234 0.523 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCN(C)C(=O)C1 ZINC000548366831 1071009803 /nfs/dbraw/zinc/00/98/03/1071009803.db2.gz QUMGNEDAFWOPAH-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCO[C@@H](C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000548367235 1071009226 /nfs/dbraw/zinc/00/92/26/1071009226.db2.gz HSYYHXYENFNWGT-KPZWWZAWSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCO[C@H](C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000548367238 1071009206 /nfs/dbraw/zinc/00/92/06/1071009206.db2.gz HSYYHXYENFNWGT-KZULUSFZSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCO[C@@H](C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000548367239 1071009282 /nfs/dbraw/zinc/00/92/82/1071009282.db2.gz HSYYHXYENFNWGT-SGTLLEGYSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCO[C@H](C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000548367242 1071009607 /nfs/dbraw/zinc/00/96/07/1071009607.db2.gz HSYYHXYENFNWGT-XIKOKIGWSA-N 0 0 426.495 -0.251 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000548368150 1071009745 /nfs/dbraw/zinc/00/97/45/1071009745.db2.gz NSEYVRDYHZCLBN-CVEARBPZSA-N 0 0 432.520 -0.822 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000548368151 1071010022 /nfs/dbraw/zinc/01/00/22/1071010022.db2.gz NSEYVRDYHZCLBN-HOTGVXAUSA-N 0 0 432.520 -0.822 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000548368152 1071009983 /nfs/dbraw/zinc/00/99/83/1071009983.db2.gz NSEYVRDYHZCLBN-HZPDHXFCSA-N 0 0 432.520 -0.822 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000548368153 1071009763 /nfs/dbraw/zinc/00/97/63/1071009763.db2.gz NSEYVRDYHZCLBN-JKSUJKDBSA-N 0 0 432.520 -0.822 20 0 IBADRN C[C@@H]1CN(CCNC(=O)c2cc(S(=O)(=O)NCCN3CCO[C@@H](C)C3)c[nH]2)CCO1 ZINC000548369602 1071010660 /nfs/dbraw/zinc/01/06/60/1071010660.db2.gz GTYBKNKTNLWHBA-CVEARBPZSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@H]1CN(CCNC(=O)c2cc(S(=O)(=O)NCCN3CCO[C@@H](C)C3)c[nH]2)CCO1 ZINC000548369603 1071010680 /nfs/dbraw/zinc/01/06/80/1071010680.db2.gz GTYBKNKTNLWHBA-HOTGVXAUSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@@H]1CN(CCNC(=O)c2cc(S(=O)(=O)NCCN3CCO[C@H](C)C3)c[nH]2)CCO1 ZINC000548369604 1071010642 /nfs/dbraw/zinc/01/06/42/1071010642.db2.gz GTYBKNKTNLWHBA-HZPDHXFCSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@@H]1CN(CCNS(=O)(=O)c2c[nH]c(C(=O)NCCN3CCO[C@@H](C)C3)c2)CCO1 ZINC000548369605 1071010515 /nfs/dbraw/zinc/01/05/15/1071010515.db2.gz GTYBKNKTNLWHBA-JKSUJKDBSA-N 0 0 443.570 -0.536 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000548369933 1071010420 /nfs/dbraw/zinc/01/04/20/1071010420.db2.gz GYYYPJFWSZKXFZ-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000548369934 1071010299 /nfs/dbraw/zinc/01/02/99/1071010299.db2.gz GYYYPJFWSZKXFZ-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000548370113 1071010259 /nfs/dbraw/zinc/01/02/59/1071010259.db2.gz QPGFEICBBUDSAP-UHFFFAOYSA-N 0 0 427.570 -0.067 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(Cc3nc(COC)no3)CC2)C1 ZINC000548371066 1071010278 /nfs/dbraw/zinc/01/02/78/1071010278.db2.gz KNSYYPBZYHRMHL-AWEZNQCLSA-N 0 0 431.515 -0.147 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(Cc3nc(COC)no3)CC2)C1 ZINC000548371074 1071010313 /nfs/dbraw/zinc/01/03/13/1071010313.db2.gz KNSYYPBZYHRMHL-CQSZACIVSA-N 0 0 431.515 -0.147 20 0 IBADRN O=C(CN1CCC[C@@H](OCCO)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000548405633 1071020406 /nfs/dbraw/zinc/02/04/06/1071020406.db2.gz ZOJZWOWTFFZDFP-GOSISDBHSA-N 0 0 441.550 -0.203 20 0 IBADRN O=C(CN1CCC[C@H](OCCO)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000548405634 1071020045 /nfs/dbraw/zinc/02/00/45/1071020045.db2.gz ZOJZWOWTFFZDFP-SFHVURJKSA-N 0 0 441.550 -0.203 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)ccc1Br ZINC000548412196 1071021656 /nfs/dbraw/zinc/02/16/56/1071021656.db2.gz WPXOYYVETGZCDG-UHFFFAOYSA-N 0 0 428.239 -0.163 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)ccc1Br ZINC000548412349 1071021855 /nfs/dbraw/zinc/02/18/55/1071021855.db2.gz YOYSBUXLXQARCZ-UHFFFAOYSA-N 0 0 427.211 -0.158 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000548412741 1071021840 /nfs/dbraw/zinc/02/18/40/1071021840.db2.gz HYMGOHGJQCJXEQ-CYBMUJFWSA-N 0 0 433.508 -0.805 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000548412742 1071021825 /nfs/dbraw/zinc/02/18/25/1071021825.db2.gz HYMGOHGJQCJXEQ-ZDUSSCGKSA-N 0 0 433.508 -0.805 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000548414086 1071022176 /nfs/dbraw/zinc/02/21/76/1071022176.db2.gz MVNMKMJXFKYXCI-UHFFFAOYSA-N 0 0 436.494 -0.962 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@@H](OCCO)C1 ZINC000548415208 1071022234 /nfs/dbraw/zinc/02/22/34/1071022234.db2.gz NVOLAZMRWAWJEJ-CYBMUJFWSA-N 0 0 429.495 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H](OCCO)C1 ZINC000548415209 1071022215 /nfs/dbraw/zinc/02/22/15/1071022215.db2.gz NVOLAZMRWAWJEJ-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ncn(Cc4ccccc4)n3)CC2)n1 ZINC000548415261 1071022334 /nfs/dbraw/zinc/02/23/34/1071022334.db2.gz ABTHTOQVCAICGN-UHFFFAOYSA-N 0 0 440.464 0.139 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)c(C)c3)CC2)n1 ZINC000548415444 1071021995 /nfs/dbraw/zinc/02/19/95/1071021995.db2.gz PVNWDBFGTHAGGW-UHFFFAOYSA-N 0 0 441.452 -0.217 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3C)CC2)n1 ZINC000548415711 1071022383 /nfs/dbraw/zinc/02/23/83/1071022383.db2.gz KVBIDTMDCGLFDC-UHFFFAOYSA-N 0 0 441.452 -0.217 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000548416096 1071022156 /nfs/dbraw/zinc/02/21/56/1071022156.db2.gz BDNXJNCCSIQVQE-CVEARBPZSA-N 0 0 439.490 -0.066 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000548416097 1071022403 /nfs/dbraw/zinc/02/24/03/1071022403.db2.gz BDNXJNCCSIQVQE-HOTGVXAUSA-N 0 0 439.490 -0.066 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000548416098 1071022097 /nfs/dbraw/zinc/02/20/97/1071022097.db2.gz BDNXJNCCSIQVQE-HZPDHXFCSA-N 0 0 439.490 -0.066 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000548416099 1071022076 /nfs/dbraw/zinc/02/20/76/1071022076.db2.gz BDNXJNCCSIQVQE-JKSUJKDBSA-N 0 0 439.490 -0.066 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)NCCNS(=O)(=O)c2ccc(N(C)C)nc2)cn1 ZINC000548418991 1071022574 /nfs/dbraw/zinc/02/25/74/1071022574.db2.gz WZEQNJQQERKEIL-UHFFFAOYSA-N 0 0 428.540 -0.135 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12)[C@@H]1CCS(=O)(=O)C1 ZINC000548419590 1071022493 /nfs/dbraw/zinc/02/24/93/1071022493.db2.gz AGKKIVYFVTXQNG-GFCCVEGCSA-N 0 0 430.508 -0.497 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12)[C@H]1CCS(=O)(=O)C1 ZINC000548419593 1071022696 /nfs/dbraw/zinc/02/26/96/1071022696.db2.gz AGKKIVYFVTXQNG-LBPRGKRZSA-N 0 0 430.508 -0.497 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCS(=O)(=O)N1CCSCC1 ZINC000548419710 1071022675 /nfs/dbraw/zinc/02/26/75/1071022675.db2.gz KCIUMFUDAXKWQX-UHFFFAOYSA-N 0 0 447.564 -0.664 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)cn1 ZINC000548419944 1071022512 /nfs/dbraw/zinc/02/25/12/1071022512.db2.gz OZKVFANRZCNOOS-UHFFFAOYSA-N 0 0 435.466 -0.561 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1 ZINC000548425834 1071024184 /nfs/dbraw/zinc/02/41/84/1071024184.db2.gz YPPOSFFAAGLRMI-UHFFFAOYSA-N 0 0 443.503 -0.572 20 0 IBADRN CC(C)OC[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCO1 ZINC000548430431 1071025608 /nfs/dbraw/zinc/02/56/08/1071025608.db2.gz BDFCEQZHZTYKAC-KRWDZBQOSA-N 0 0 434.559 -0.137 20 0 IBADRN CC(C)OC[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCO1 ZINC000548430432 1071025783 /nfs/dbraw/zinc/02/57/83/1071025783.db2.gz BDFCEQZHZTYKAC-QGZVFWFLSA-N 0 0 434.559 -0.137 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)c1ccc(OC)c(O)c1 ZINC000548441253 1071027635 /nfs/dbraw/zinc/02/76/35/1071027635.db2.gz QFFVVRWAROGKJK-HNNXBMFYSA-N 0 0 430.417 -0.066 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)c1ccc(OC)c(O)c1 ZINC000548441254 1071027777 /nfs/dbraw/zinc/02/77/77/1071027777.db2.gz QFFVVRWAROGKJK-OAHLLOKOSA-N 0 0 430.417 -0.066 20 0 IBADRN CN(C)C(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000548444415 1071028200 /nfs/dbraw/zinc/02/82/00/1071028200.db2.gz RKRUKZIZLFILQJ-GFCCVEGCSA-N 0 0 438.428 -0.178 20 0 IBADRN CN(C)C(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000548444416 1071028318 /nfs/dbraw/zinc/02/83/18/1071028318.db2.gz RKRUKZIZLFILQJ-LBPRGKRZSA-N 0 0 438.428 -0.178 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(c2cc(N3CCC[C@H](S(=O)(=O)NC)C3)ncn2)C1 ZINC000548444581 1071028968 /nfs/dbraw/zinc/02/89/68/1071028968.db2.gz ZDBMAAZXAMESDH-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2cc(N3CCC[C@H](S(=O)(=O)NC)C3)ncn2)C1 ZINC000548444582 1071028943 /nfs/dbraw/zinc/02/89/43/1071028943.db2.gz ZDBMAAZXAMESDH-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2cc(N3CCC[C@@H](S(=O)(=O)NC)C3)ncn2)C1 ZINC000548444583 1071028895 /nfs/dbraw/zinc/02/88/95/1071028895.db2.gz ZDBMAAZXAMESDH-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1C ZINC000548455839 1071030895 /nfs/dbraw/zinc/03/08/95/1071030895.db2.gz KBQQLJCLXZLUTE-UHFFFAOYSA-N 0 0 440.482 -0.105 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC(CO)CO)CC2)c1 ZINC000548456054 1071030968 /nfs/dbraw/zinc/03/09/68/1071030968.db2.gz DLTXIQQUJDKCRU-UHFFFAOYSA-N 0 0 427.523 -0.614 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)nn1C ZINC000548457862 1071031599 /nfs/dbraw/zinc/03/15/99/1071031599.db2.gz MZAQVACZDKJEIU-UHFFFAOYSA-N 0 0 449.489 -0.245 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1C ZINC000548459308 1071031496 /nfs/dbraw/zinc/03/14/96/1071031496.db2.gz UWKNSSKYMZSCLS-AWEZNQCLSA-N 0 0 444.496 -0.221 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)nn1C ZINC000548459309 1071031575 /nfs/dbraw/zinc/03/15/75/1071031575.db2.gz UWKNSSKYMZSCLS-CQSZACIVSA-N 0 0 444.496 -0.221 20 0 IBADRN O=C(Nc1ncn(Cc2ccccc2)n1)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548460861 1073337021 /nfs/dbraw/zinc/33/70/21/1073337021.db2.gz DGXZFYZQFZCQGT-UHFFFAOYSA-N 0 0 432.506 0.338 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548461393 1073340605 /nfs/dbraw/zinc/34/06/05/1073340605.db2.gz VSCGEBYHIXTASD-UHFFFAOYSA-N 0 0 427.483 -0.392 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1-n1cnnn1 ZINC000548461449 1071032036 /nfs/dbraw/zinc/03/20/36/1071032036.db2.gz QGXDNTVTZWVNSY-UHFFFAOYSA-N 0 0 449.493 -0.318 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1 ZINC000548461588 1071032014 /nfs/dbraw/zinc/03/20/14/1071032014.db2.gz DHLLKXKOOGBUKA-UHFFFAOYSA-N 0 0 438.506 -0.177 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)c1 ZINC000548461799 1071032141 /nfs/dbraw/zinc/03/21/41/1071032141.db2.gz BKMKFCDAJUBPTN-UHFFFAOYSA-N 0 0 433.494 -0.018 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)cccc1-n1cnnn1 ZINC000548462175 1071032155 /nfs/dbraw/zinc/03/21/55/1071032155.db2.gz VXQVRCDBKAZINO-UHFFFAOYSA-N 0 0 426.437 -0.110 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)c1ccc(S(N)(=O)=O)cc1F ZINC000548462360 1071032104 /nfs/dbraw/zinc/03/21/04/1071032104.db2.gz BKYYGYRLQZPGNF-UHFFFAOYSA-N 0 0 438.484 -0.348 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)ccc1-n1cnnn1 ZINC000548462460 1071031963 /nfs/dbraw/zinc/03/19/63/1071031963.db2.gz QXFOVVGEZXRNJU-UHFFFAOYSA-N 0 0 426.437 -0.110 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1(O)CCOCC1 ZINC000548462489 1071032068 /nfs/dbraw/zinc/03/20/68/1071032068.db2.gz BHDCDHOVPGCUFF-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)nn1C ZINC000548464335 1071032559 /nfs/dbraw/zinc/03/25/59/1071032559.db2.gz IKFQDRRPWUYGIY-GFCCVEGCSA-N 0 0 427.417 -0.109 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)nn1C ZINC000548464336 1071032751 /nfs/dbraw/zinc/03/27/51/1071032751.db2.gz IKFQDRRPWUYGIY-LBPRGKRZSA-N 0 0 427.417 -0.109 20 0 IBADRN Cn1c(C(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000548504502 1071047167 /nfs/dbraw/zinc/04/71/67/1071047167.db2.gz YYFAHIAPTCLTFR-UHFFFAOYSA-N 0 0 444.492 -0.050 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000548506726 1071047595 /nfs/dbraw/zinc/04/75/95/1071047595.db2.gz HPOBKXFPYOWMCB-AWEZNQCLSA-N 0 0 447.539 -0.352 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000548506729 1071047685 /nfs/dbraw/zinc/04/76/85/1071047685.db2.gz HPOBKXFPYOWMCB-CQSZACIVSA-N 0 0 447.539 -0.352 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548515500 1071048718 /nfs/dbraw/zinc/04/87/18/1071048718.db2.gz IRERGNUKCMPSMT-PBHICJAKSA-N 0 0 435.568 -0.022 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548515501 1071048823 /nfs/dbraw/zinc/04/88/23/1071048823.db2.gz IRERGNUKCMPSMT-RHSMWYFYSA-N 0 0 435.568 -0.022 20 0 IBADRN O=C(N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548515502 1071048873 /nfs/dbraw/zinc/04/88/73/1071048873.db2.gz IRERGNUKCMPSMT-WMLDXEAASA-N 0 0 435.568 -0.022 20 0 IBADRN O=C(N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000548515503 1071048791 /nfs/dbraw/zinc/04/87/91/1071048791.db2.gz IRERGNUKCMPSMT-YOEHRIQHSA-N 0 0 435.568 -0.022 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)Nc1ccc(N2CC[NH+](C)CC2)cc1C(=O)[O-] ZINC000548529176 1071050361 /nfs/dbraw/zinc/05/03/61/1071050361.db2.gz KANIBSWHKDTJNE-UHFFFAOYSA-N 0 0 434.540 -0.182 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(c3nnc(C(F)(F)F)s3)CC2)c1 ZINC000548605572 1071059319 /nfs/dbraw/zinc/05/93/19/1071059319.db2.gz WTPCVXMYSUDKNI-UHFFFAOYSA-N 0 0 439.445 -0.083 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@H](N3CC[C@H](C(=O)NCC(F)(F)F)C3)C2=O)c1 ZINC000548607114 1071059229 /nfs/dbraw/zinc/05/92/29/1071059229.db2.gz DFASCTVJCJDCQS-GWCFXTLKSA-N 0 0 448.423 -0.034 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@@H](N3CC[C@H](C(=O)NCC(F)(F)F)C3)C2=O)c1 ZINC000548607115 1071059179 /nfs/dbraw/zinc/05/91/79/1071059179.db2.gz DFASCTVJCJDCQS-GXFFZTMASA-N 0 0 448.423 -0.034 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@H](N3CC[C@@H](C(=O)NCC(F)(F)F)C3)C2=O)c1 ZINC000548607116 1071059076 /nfs/dbraw/zinc/05/90/76/1071059076.db2.gz DFASCTVJCJDCQS-MFKMUULPSA-N 0 0 448.423 -0.034 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@@H](N3CC[C@@H](C(=O)NCC(F)(F)F)C3)C2=O)c1 ZINC000548607117 1071059270 /nfs/dbraw/zinc/05/92/70/1071059270.db2.gz DFASCTVJCJDCQS-ZWNOBZJWSA-N 0 0 448.423 -0.034 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000548620839 1071061187 /nfs/dbraw/zinc/06/11/87/1071061187.db2.gz VOVUMIYXEWLWCN-UHFFFAOYSA-N 0 0 427.570 -0.019 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)C1 ZINC000548630295 1071062371 /nfs/dbraw/zinc/06/23/71/1071062371.db2.gz IVPRGOPSXVJOTB-HNNXBMFYSA-N 0 0 438.506 -0.299 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)C1 ZINC000548630296 1071062182 /nfs/dbraw/zinc/06/21/82/1071062182.db2.gz IVPRGOPSXVJOTB-OAHLLOKOSA-N 0 0 438.506 -0.299 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)C1 ZINC000548630301 1073317858 /nfs/dbraw/zinc/31/78/58/1073317858.db2.gz JIRMXVKPQAXSIF-INIZCTEOSA-N 0 0 435.506 0.419 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)C1 ZINC000548630302 1073318070 /nfs/dbraw/zinc/31/80/70/1073318070.db2.gz JIRMXVKPQAXSIF-MRXNPFEDSA-N 0 0 435.506 0.419 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1 ZINC000548631595 1071062528 /nfs/dbraw/zinc/06/25/28/1071062528.db2.gz JRGMVNIREARGRP-CYBMUJFWSA-N 0 0 442.469 -0.613 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1 ZINC000548631596 1071062459 /nfs/dbraw/zinc/06/24/59/1071062459.db2.gz JRGMVNIREARGRP-ZDUSSCGKSA-N 0 0 442.469 -0.613 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)c1 ZINC000548644408 1071063186 /nfs/dbraw/zinc/06/31/86/1071063186.db2.gz BGZVXVRGTUNPQN-UHFFFAOYSA-N 0 0 425.442 -0.051 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000548695121 1071073934 /nfs/dbraw/zinc/07/39/34/1071073934.db2.gz FIRFZDXAMSHPKI-BMFZPTHFSA-N 0 0 449.526 -0.510 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000548695122 1071073950 /nfs/dbraw/zinc/07/39/50/1071073950.db2.gz FIRFZDXAMSHPKI-KBMXLJTQSA-N 0 0 449.526 -0.510 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000548697786 1071074798 /nfs/dbraw/zinc/07/47/98/1071074798.db2.gz QLFOQSQKLOXMCI-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000548697787 1071074874 /nfs/dbraw/zinc/07/48/74/1071074874.db2.gz QLFOQSQKLOXMCI-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000548736933 1071092905 /nfs/dbraw/zinc/09/29/05/1071092905.db2.gz VLENRTRQLCYFRK-UHFFFAOYSA-N 0 0 434.540 -0.455 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000548738195 1071093517 /nfs/dbraw/zinc/09/35/17/1071093517.db2.gz PMAVXKSGXJJFQN-UHFFFAOYSA-N 0 0 441.491 -0.955 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000548740037 1071096144 /nfs/dbraw/zinc/09/61/44/1071096144.db2.gz YGAOPAYEFPDVAU-ZDUSSCGKSA-N 0 0 447.535 -0.463 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000548741199 1071096980 /nfs/dbraw/zinc/09/69/80/1071096980.db2.gz BTYHVPWQYCCJLT-UHFFFAOYSA-N 0 0 444.473 -0.074 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)C1 ZINC000548741894 1071099143 /nfs/dbraw/zinc/09/91/43/1071099143.db2.gz SGQWEGHHCDRDSV-AWEZNQCLSA-N 0 0 433.508 -0.805 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCOC)cc2)C1 ZINC000548741895 1071099319 /nfs/dbraw/zinc/09/93/19/1071099319.db2.gz SGQWEGHHCDRDSV-CQSZACIVSA-N 0 0 433.508 -0.805 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](OCCO)C2)cc1 ZINC000548742523 1071098097 /nfs/dbraw/zinc/09/80/97/1071098097.db2.gz CIRFQBCOMVRIAL-GDBMZVCRSA-N 0 0 443.522 -0.062 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](OCCO)C2)cc1 ZINC000548742524 1071097852 /nfs/dbraw/zinc/09/78/52/1071097852.db2.gz CIRFQBCOMVRIAL-GOEBONIOSA-N 0 0 443.522 -0.062 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](OCCO)C2)cc1 ZINC000548742525 1071097903 /nfs/dbraw/zinc/09/79/03/1071097903.db2.gz CIRFQBCOMVRIAL-HOCLYGCPSA-N 0 0 443.522 -0.062 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](OCCO)C2)cc1 ZINC000548742526 1071098079 /nfs/dbraw/zinc/09/80/79/1071098079.db2.gz CIRFQBCOMVRIAL-ZBFHGGJFSA-N 0 0 443.522 -0.062 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)cn1 ZINC000548746260 1071112782 /nfs/dbraw/zinc/11/27/82/1071112782.db2.gz NMNQDVZLIFPCAB-UHFFFAOYSA-N 0 0 427.512 -0.068 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)ccc1-n1cnnn1 ZINC000548772012 1071125152 /nfs/dbraw/zinc/12/51/52/1071125152.db2.gz GJZVOXBESNIUQV-UHFFFAOYSA-N 0 0 439.523 -0.596 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)s1 ZINC000548778256 1071131329 /nfs/dbraw/zinc/13/13/29/1071131329.db2.gz RVYNPHSRYYATML-UHFFFAOYSA-N 0 0 433.519 -0.123 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)C1 ZINC000548811875 1071203134 /nfs/dbraw/zinc/20/31/34/1071203134.db2.gz WUSSHDCJXXHXKV-CYBMUJFWSA-N 0 0 447.535 -0.282 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(CS(C)(=O)=O)ccc2OC)C1 ZINC000548811876 1071203495 /nfs/dbraw/zinc/20/34/95/1071203495.db2.gz WUSSHDCJXXHXKV-ZDUSSCGKSA-N 0 0 447.535 -0.282 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)C1 ZINC000548812493 1071203164 /nfs/dbraw/zinc/20/31/64/1071203164.db2.gz HOAGWQHZGTYKQB-CYBMUJFWSA-N 0 0 433.508 -0.423 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(OC)cc(S(C)(=O)=O)c2)C1 ZINC000548812494 1071203289 /nfs/dbraw/zinc/20/32/89/1071203289.db2.gz HOAGWQHZGTYKQB-ZDUSSCGKSA-N 0 0 433.508 -0.423 20 0 IBADRN Cn1c(-c2ccc3c(c2)OCO3)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000548856992 1071256639 /nfs/dbraw/zinc/25/66/39/1071256639.db2.gz LBAYCWXVMUNWIP-UHFFFAOYSA-N 0 0 436.494 -0.090 20 0 IBADRN O=C(NCc1ncccc1F)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000548862744 1071258400 /nfs/dbraw/zinc/25/84/00/1071258400.db2.gz FSTQFEKZSGXRAC-UHFFFAOYSA-N 0 0 435.437 -0.404 20 0 IBADRN Cn1cc(CN2C[C@@H](F)C[C@H]2CNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)cn1 ZINC000548865586 1071258430 /nfs/dbraw/zinc/25/84/30/1071258430.db2.gz YFTKJUMGWWRZFP-KBPBESRZSA-N 0 0 431.515 -0.042 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](Cc3cnn(C)c3)C2)c1 ZINC000548885618 1071262630 /nfs/dbraw/zinc/26/26/30/1071262630.db2.gz JJQMCOJVVFIYED-AWEZNQCLSA-N 0 0 426.477 -0.074 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](Cc3cnn(C)c3)C2)c1 ZINC000548885619 1071262854 /nfs/dbraw/zinc/26/28/54/1071262854.db2.gz JJQMCOJVVFIYED-CQSZACIVSA-N 0 0 426.477 -0.074 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2ccccc2)c1 ZINC000548889208 1071263429 /nfs/dbraw/zinc/26/34/29/1071263429.db2.gz XYXPJDJTGAOJGB-INIZCTEOSA-N 0 0 428.445 -0.343 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2ccccc2)c1 ZINC000548889212 1071263322 /nfs/dbraw/zinc/26/33/22/1071263322.db2.gz XYXPJDJTGAOJGB-MRXNPFEDSA-N 0 0 428.445 -0.343 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2CC(=O)N(C)[C@@H](C)C2)Cc2ccccc2)CC(=O)N1C ZINC000548892077 1071263503 /nfs/dbraw/zinc/26/35/03/1071263503.db2.gz MATZXNWEJLYQGQ-HDICACEKSA-N 0 0 443.548 -0.133 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2CC(=O)N(C)[C@H](C)C2)Cc2ccccc2)CC(=O)N1C ZINC000548892078 1071263345 /nfs/dbraw/zinc/26/33/45/1071263345.db2.gz MATZXNWEJLYQGQ-QZTJIDSGSA-N 0 0 443.548 -0.133 20 0 IBADRN C[C@H]1CN(C(=O)CN(CC(=O)N2CC(=O)N(C)[C@@H](C)C2)Cc2ccccc2)CC(=O)N1C ZINC000548892079 1071263313 /nfs/dbraw/zinc/26/33/13/1071263313.db2.gz MATZXNWEJLYQGQ-ROUUACIJSA-N 0 0 443.548 -0.133 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000548908222 1071267629 /nfs/dbraw/zinc/26/76/29/1071267629.db2.gz MWOBLQXGTMHURP-AWEZNQCLSA-N 0 0 436.534 -0.021 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000548908223 1071267316 /nfs/dbraw/zinc/26/73/16/1071267316.db2.gz MWOBLQXGTMHURP-CQSZACIVSA-N 0 0 436.534 -0.021 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000548919488 1071273508 /nfs/dbraw/zinc/27/35/08/1071273508.db2.gz MNGAZEVOCOWUNZ-UHFFFAOYSA-N 0 0 436.893 -0.094 20 0 IBADRN CCCN(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H]1CC(=O)N(C)C1=O ZINC000548922066 1071274400 /nfs/dbraw/zinc/27/44/00/1071274400.db2.gz FRVZMPGVODQLKL-HNNXBMFYSA-N 0 0 428.497 -0.519 20 0 IBADRN CCCN(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@@H]1CC(=O)N(C)C1=O ZINC000548922071 1071274585 /nfs/dbraw/zinc/27/45/85/1071274585.db2.gz FRVZMPGVODQLKL-OAHLLOKOSA-N 0 0 428.497 -0.519 20 0 IBADRN COCCN1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1=O ZINC000548927858 1071276650 /nfs/dbraw/zinc/27/66/50/1071276650.db2.gz OBXNSQZQDYFYNL-UHFFFAOYSA-N 0 0 427.479 -0.209 20 0 IBADRN COCCN1CN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000548927940 1071277305 /nfs/dbraw/zinc/27/73/05/1071277305.db2.gz IVTNWPKQHSZDBL-UHFFFAOYSA-N 0 0 425.507 -0.085 20 0 IBADRN COCCN1CN(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1=O ZINC000548928236 1071277362 /nfs/dbraw/zinc/27/73/62/1071277362.db2.gz MEHOCABGXQPEHB-UHFFFAOYSA-N 0 0 442.494 -0.004 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1=O ZINC000548930579 1071277567 /nfs/dbraw/zinc/27/75/67/1071277567.db2.gz SDCJPGFZRMGCFS-GOSISDBHSA-N 0 0 432.477 -0.755 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1=O ZINC000548930584 1071277583 /nfs/dbraw/zinc/27/75/83/1071277583.db2.gz SDCJPGFZRMGCFS-SFHVURJKSA-N 0 0 432.477 -0.755 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)cc1 ZINC000548933612 1071279687 /nfs/dbraw/zinc/27/96/87/1071279687.db2.gz HSKSHYDONGOQCA-UHFFFAOYSA-N 0 0 446.595 -0.301 20 0 IBADRN COc1cc(CNC(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)cc(OC)c1OC ZINC000548933756 1071279653 /nfs/dbraw/zinc/27/96/53/1071279653.db2.gz SLVBDDQJALEWLM-UHFFFAOYSA-N 0 0 436.509 -0.003 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000548936497 1071280506 /nfs/dbraw/zinc/28/05/06/1071280506.db2.gz ULZPZBVRDNGCNV-UHFFFAOYSA-N 0 0 446.542 -0.919 20 0 IBADRN COCCN1CN(C(=O)Cc2c(C(=O)N3CC(=O)N(CCOC)C3)c(C)cn2C)CC1=O ZINC000548938920 1071281635 /nfs/dbraw/zinc/28/16/35/1071281635.db2.gz OWNNAWVCHZDINE-UHFFFAOYSA-N 0 0 449.508 -0.961 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000548956904 1071287458 /nfs/dbraw/zinc/28/74/58/1071287458.db2.gz FMTKRBUWPSRTLK-UHFFFAOYSA-N 0 0 433.552 -0.070 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCCN1CCC[C@@H](C(N)=O)C1 ZINC000548956956 1071288415 /nfs/dbraw/zinc/28/84/15/1071288415.db2.gz IBHUKHCTMZULGX-CYBMUJFWSA-N 0 0 433.552 -0.036 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCCN1CCC[C@H](C(N)=O)C1 ZINC000548956957 1071288181 /nfs/dbraw/zinc/28/81/81/1071288181.db2.gz IBHUKHCTMZULGX-ZDUSSCGKSA-N 0 0 433.552 -0.036 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000548957725 1071288146 /nfs/dbraw/zinc/28/81/46/1071288146.db2.gz QJWAOHGMILXCKK-CYBMUJFWSA-N 0 0 428.492 -0.084 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000548957726 1071288131 /nfs/dbraw/zinc/28/81/31/1071288131.db2.gz QJWAOHGMILXCKK-ZDUSSCGKSA-N 0 0 428.492 -0.084 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000548957728 1071288215 /nfs/dbraw/zinc/28/82/15/1071288215.db2.gz QNNCAIWERJOZJH-NSHDSACASA-N 0 0 426.538 -0.247 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CO)CC2)c(Br)c1 ZINC000548958256 1071289164 /nfs/dbraw/zinc/28/91/64/1071289164.db2.gz ZTDONQBGKQHBDR-UHFFFAOYSA-N 0 0 441.325 -0.322 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)CC1 ZINC000548961901 1071291484 /nfs/dbraw/zinc/29/14/84/1071291484.db2.gz IXIDDXKHACBYAH-UHFFFAOYSA-N 0 0 433.552 -0.069 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(S(C)(=O)=O)cc1Br ZINC000548961919 1071292067 /nfs/dbraw/zinc/29/20/67/1071292067.db2.gz AUUGURNXWNEARC-UHFFFAOYSA-N 0 0 443.297 -0.580 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000548962050 1071293165 /nfs/dbraw/zinc/29/31/65/1071293165.db2.gz KWEGVEYIYWAMAU-UHFFFAOYSA-N 0 0 437.540 -0.603 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000548962711 1071292102 /nfs/dbraw/zinc/29/21/02/1071292102.db2.gz VVLBIMHEHJRADK-UHFFFAOYSA-N 0 0 431.536 -0.316 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000548962783 1071292163 /nfs/dbraw/zinc/29/21/63/1071292163.db2.gz YJYCNEQAFNZMMX-UHFFFAOYSA-N 0 0 433.552 -0.070 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000548963227 1071292844 /nfs/dbraw/zinc/29/28/44/1071292844.db2.gz DWZLPDCFTKRRFI-UHFFFAOYSA-N 0 0 428.515 -0.505 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000548964068 1071293722 /nfs/dbraw/zinc/29/37/22/1071293722.db2.gz GIGVIWFEOPOZKP-UHFFFAOYSA-N 0 0 447.535 -0.544 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000548964262 1071293619 /nfs/dbraw/zinc/29/36/19/1071293619.db2.gz CYDQMBZBZMAYLD-UHFFFAOYSA-N 0 0 445.519 -0.790 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000548964670 1071293865 /nfs/dbraw/zinc/29/38/65/1071293865.db2.gz LRPCTDRLKNJXMC-UHFFFAOYSA-N 0 0 449.532 -0.250 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCCN(S(=O)(=O)C4CC4)CC3)cc2[nH]c1=O ZINC000548975091 1071296521 /nfs/dbraw/zinc/29/65/21/1071296521.db2.gz UDICNJSZXXBYEK-UHFFFAOYSA-N 0 0 428.492 -0.595 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)CC(=O)N2CCN(c3cnccn3)CC2)C1 ZINC000548979346 1071297222 /nfs/dbraw/zinc/29/72/22/1071297222.db2.gz XPRURHLRBHDAAA-KRWDZBQOSA-N 0 0 432.525 -0.141 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)CC(=O)N2CCN(c3cnccn3)CC2)C1 ZINC000548979349 1071297179 /nfs/dbraw/zinc/29/71/79/1071297179.db2.gz XPRURHLRBHDAAA-QGZVFWFLSA-N 0 0 432.525 -0.141 20 0 IBADRN Cc1nc(CN2C[C@@H](F)C[C@H]2CNS(=O)(=O)N2CCS(=O)(=O)CC2)cs1 ZINC000548984204 1071297842 /nfs/dbraw/zinc/29/78/42/1071297842.db2.gz RIMDYUDJOHGISY-JSGCOSHPSA-N 0 0 426.561 -0.071 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000548984433 1071297914 /nfs/dbraw/zinc/29/79/14/1071297914.db2.gz RYQRHEUNUWTDRP-GHMZBOCLSA-N 0 0 436.508 -0.167 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000548984439 1071297992 /nfs/dbraw/zinc/29/79/92/1071297992.db2.gz RYQRHEUNUWTDRP-MNOVXSKESA-N 0 0 436.508 -0.167 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000548984441 1071297959 /nfs/dbraw/zinc/29/79/59/1071297959.db2.gz RYQRHEUNUWTDRP-QWRGUYRKSA-N 0 0 436.508 -0.167 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000548984442 1071297945 /nfs/dbraw/zinc/29/79/45/1071297945.db2.gz RYQRHEUNUWTDRP-WDEREUQCSA-N 0 0 436.508 -0.167 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000548990813 1071299268 /nfs/dbraw/zinc/29/92/68/1071299268.db2.gz VXTXYBQTOKOQBB-UHFFFAOYSA-N 0 0 426.543 -0.019 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@@H](C)CCNS(=O)(=O)c1ccccc1 ZINC000548998693 1071300824 /nfs/dbraw/zinc/30/08/24/1071300824.db2.gz SZFYXTVOFJMHPD-AWEZNQCLSA-N 0 0 435.572 -0.125 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N[C@H](C)CCNS(=O)(=O)c1ccccc1 ZINC000548998694 1071300540 /nfs/dbraw/zinc/30/05/40/1071300540.db2.gz SZFYXTVOFJMHPD-CQSZACIVSA-N 0 0 435.572 -0.125 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cn1 ZINC000549010844 1071303827 /nfs/dbraw/zinc/30/38/27/1071303827.db2.gz VTLSJZCEWWHJLC-CABCVRRESA-N 0 0 426.495 -0.341 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cn1 ZINC000549010845 1071304006 /nfs/dbraw/zinc/30/40/06/1071304006.db2.gz VTLSJZCEWWHJLC-GJZGRUSLSA-N 0 0 426.495 -0.341 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cn1 ZINC000549010846 1071303990 /nfs/dbraw/zinc/30/39/90/1071303990.db2.gz VTLSJZCEWWHJLC-HUUCEWRRSA-N 0 0 426.495 -0.341 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cn1 ZINC000549010847 1071303768 /nfs/dbraw/zinc/30/37/68/1071303768.db2.gz VTLSJZCEWWHJLC-LSDHHAIUSA-N 0 0 426.495 -0.341 20 0 IBADRN Cn1cnn(CCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)c1=O ZINC000549010955 1071303896 /nfs/dbraw/zinc/30/38/96/1071303896.db2.gz YADLFCQTGMQOMU-UHFFFAOYSA-N 0 0 437.478 -0.724 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cncc(-n4ccnn4)c3)CC2)C[C@H](C)O1 ZINC000549023487 1071310379 /nfs/dbraw/zinc/31/03/79/1071310379.db2.gz PAXDGJJJVNEPMD-GASCZTMLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cncc(-n4ccnn4)c3)CC2)C[C@H](C)O1 ZINC000549023488 1071310234 /nfs/dbraw/zinc/31/02/34/1071310234.db2.gz PAXDGJJJVNEPMD-GJZGRUSLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cncc(-n4ccnn4)c3)CC2)C[C@@H](C)O1 ZINC000549023489 1071310279 /nfs/dbraw/zinc/31/02/79/1071310279.db2.gz PAXDGJJJVNEPMD-HUUCEWRRSA-N 0 0 435.510 -0.226 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000549026824 1071310754 /nfs/dbraw/zinc/31/07/54/1071310754.db2.gz LEEXAVJZLYJAPM-UHFFFAOYSA-N 0 0 433.465 -0.425 20 0 IBADRN CCn1c(Cn2nc(C)cc2C)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000549036827 1071311537 /nfs/dbraw/zinc/31/15/37/1071311537.db2.gz SQQAWHMJNRMENI-UHFFFAOYSA-N 0 0 438.558 -0.141 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCN(S(=O)(=O)C3CC3)CC2)c(=O)[nH]c1=O ZINC000549074241 1071314520 /nfs/dbraw/zinc/31/45/20/1071314520.db2.gz XNTRWCNMUINKPP-UHFFFAOYSA-N 0 0 427.527 -0.130 20 0 IBADRN Cn1cnn(CCC(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)c1=O ZINC000549075278 1071314993 /nfs/dbraw/zinc/31/49/93/1071314993.db2.gz SCADKAFAESHMMK-UHFFFAOYSA-N 0 0 437.507 -0.490 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCN(S(=O)(=O)C3CC3)CC2)c(=O)n(C)c1=O ZINC000549076288 1071315146 /nfs/dbraw/zinc/31/51/46/1071315146.db2.gz CNOMKHXEFWTDTC-UHFFFAOYSA-N 0 0 441.554 -0.532 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@](C)(O)CO)CC2)cc1 ZINC000549086108 1071316296 /nfs/dbraw/zinc/31/62/96/1071316296.db2.gz MFSHKIUGJYQLCT-IBGZPJMESA-N 0 0 427.523 -0.532 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@](C)(O)CO)CC2)cc1 ZINC000549086109 1071316274 /nfs/dbraw/zinc/31/62/74/1071316274.db2.gz MFSHKIUGJYQLCT-LJQANCHMSA-N 0 0 427.523 -0.532 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)NCC(C)(C)N2CCOCC2)cc1 ZINC000549088550 1071316517 /nfs/dbraw/zinc/31/65/17/1071316517.db2.gz HLHUHJMISKIXSN-UHFFFAOYSA-N 0 0 447.579 -0.062 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)COCc3nncn3C)CC2)c1 ZINC000549088974 1071316262 /nfs/dbraw/zinc/31/62/62/1071316262.db2.gz OPVVKXPVTCIYPT-UHFFFAOYSA-N 0 0 439.494 -0.118 20 0 IBADRN CCn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000549089400 1071316619 /nfs/dbraw/zinc/31/66/19/1071316619.db2.gz KXGMZBZRDSZYKA-UHFFFAOYSA-N 0 0 445.509 -0.296 20 0 IBADRN CCn1c(-c2nn(CC)c(=O)c3ccccc32)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000549089582 1071316684 /nfs/dbraw/zinc/31/66/84/1071316684.db2.gz DVQVJKYGNDLRHM-CYBMUJFWSA-N 0 0 436.476 -0.158 20 0 IBADRN CCn1c(-c2nn(CC)c(=O)c3ccccc32)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000549089583 1071316638 /nfs/dbraw/zinc/31/66/38/1071316638.db2.gz DVQVJKYGNDLRHM-ZDUSSCGKSA-N 0 0 436.476 -0.158 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CS(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000549089607 1071316855 /nfs/dbraw/zinc/31/68/55/1071316855.db2.gz SICWSJZNODFSIR-AWEZNQCLSA-N 0 0 431.536 -0.162 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CS(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000549089608 1071316867 /nfs/dbraw/zinc/31/68/67/1071316867.db2.gz SICWSJZNODFSIR-CQSZACIVSA-N 0 0 431.536 -0.162 20 0 IBADRN C[C@@](O)(CO)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000549089943 1071316226 /nfs/dbraw/zinc/31/62/26/1071316226.db2.gz KKUVVOCBEBLYPH-DOMZBBRYSA-N 0 0 441.428 -0.523 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@@](C)(O)CO)CC2=O)cc1 ZINC000549089952 1071316010 /nfs/dbraw/zinc/31/60/10/1071316010.db2.gz VPSCYZVVQQJUHB-AUUYWEPGSA-N 0 0 427.523 -0.071 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NC[C@@](C)(O)CO)CC2=O)cc1 ZINC000549089953 1071315990 /nfs/dbraw/zinc/31/59/90/1071315990.db2.gz VPSCYZVVQQJUHB-IFXJQAMLSA-N 0 0 427.523 -0.071 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@](C)(O)CO)CC2=O)cc1 ZINC000549089954 1071316217 /nfs/dbraw/zinc/31/62/17/1071316217.db2.gz VPSCYZVVQQJUHB-KUHUBIRLSA-N 0 0 427.523 -0.071 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NC[C@](C)(O)CO)CC2=O)cc1 ZINC000549089955 1071316110 /nfs/dbraw/zinc/31/61/10/1071316110.db2.gz VPSCYZVVQQJUHB-LIRRHRJNSA-N 0 0 427.523 -0.071 20 0 IBADRN C[C@](O)(CO)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000549089958 1071316029 /nfs/dbraw/zinc/31/60/29/1071316029.db2.gz KKUVVOCBEBLYPH-IUODEOHRSA-N 0 0 441.428 -0.523 20 0 IBADRN C[C@](O)(CO)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000549089959 1071316205 /nfs/dbraw/zinc/31/62/05/1071316205.db2.gz KKUVVOCBEBLYPH-SWLSCSKDSA-N 0 0 441.428 -0.523 20 0 IBADRN C[C@@](O)(CO)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000549089960 1071316742 /nfs/dbraw/zinc/31/67/42/1071316742.db2.gz KKUVVOCBEBLYPH-WFASDCNBSA-N 0 0 441.428 -0.523 20 0 IBADRN CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC000549100716 1071320457 /nfs/dbraw/zinc/32/04/57/1071320457.db2.gz GRYOVLOUGSQDMO-CABCVRRESA-N 0 0 445.590 -0.429 20 0 IBADRN CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC000549100717 1071320429 /nfs/dbraw/zinc/32/04/29/1071320429.db2.gz GRYOVLOUGSQDMO-GJZGRUSLSA-N 0 0 445.590 -0.429 20 0 IBADRN CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC000549100718 1071320238 /nfs/dbraw/zinc/32/02/38/1071320238.db2.gz GRYOVLOUGSQDMO-HUUCEWRRSA-N 0 0 445.590 -0.429 20 0 IBADRN CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC000549100719 1071320443 /nfs/dbraw/zinc/32/04/43/1071320443.db2.gz GRYOVLOUGSQDMO-LSDHHAIUSA-N 0 0 445.590 -0.429 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC(C)(O)C3)CC2)cc1 ZINC000549101061 1071320397 /nfs/dbraw/zinc/32/03/97/1071320397.db2.gz BTXJYHKUBODRJJ-UHFFFAOYSA-N 0 0 425.507 -0.099 20 0 IBADRN COC[C@H](CNC(=O)c1cncc(-n2ccnn2)c1)NC(=O)c1cncc(-n2ccnn2)c1 ZINC000549101512 1071320803 /nfs/dbraw/zinc/32/08/03/1071320803.db2.gz NLXJENVALSSSAT-INIZCTEOSA-N 0 0 448.447 -0.187 20 0 IBADRN COC[C@@H](CNC(=O)c1cncc(-n2ccnn2)c1)NC(=O)c1cncc(-n2ccnn2)c1 ZINC000549101513 1071321117 /nfs/dbraw/zinc/32/11/17/1071321117.db2.gz NLXJENVALSSSAT-MRXNPFEDSA-N 0 0 448.447 -0.187 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1Cl ZINC000549109902 1071322128 /nfs/dbraw/zinc/32/21/28/1071322128.db2.gz QBDAJOHEBZUGFQ-UHFFFAOYSA-N 0 0 428.898 -0.106 20 0 IBADRN Cn1cnnc1COCC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000549120616 1071324363 /nfs/dbraw/zinc/32/43/63/1071324363.db2.gz KTBLHZCBBLBNIF-UHFFFAOYSA-N 0 0 435.466 -0.553 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000549137855 1071327273 /nfs/dbraw/zinc/32/72/73/1071327273.db2.gz AFFUJEHXQQZUNU-UHFFFAOYSA-N 0 0 434.540 -0.421 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549137932 1071327294 /nfs/dbraw/zinc/32/72/94/1071327294.db2.gz FQPDHKMEELHSDP-CYBMUJFWSA-N 0 0 447.583 -0.270 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549137933 1071327449 /nfs/dbraw/zinc/32/74/49/1071327449.db2.gz FQPDHKMEELHSDP-ZDUSSCGKSA-N 0 0 447.583 -0.270 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549138623 1071326795 /nfs/dbraw/zinc/32/67/95/1071326795.db2.gz ZGFAAAUPFJZOCP-KBPBESRZSA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549138628 1071326879 /nfs/dbraw/zinc/32/68/79/1071326879.db2.gz ZGFAAAUPFJZOCP-KGLIPLIRSA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549138631 1071326660 /nfs/dbraw/zinc/32/66/60/1071326660.db2.gz ZGFAAAUPFJZOCP-UONOGXRCSA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549138634 1071326640 /nfs/dbraw/zinc/32/66/40/1071326640.db2.gz ZGFAAAUPFJZOCP-ZIAGYGMSSA-N 0 0 433.552 -0.952 20 0 IBADRN Cc1c(C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000549139326 1071328163 /nfs/dbraw/zinc/32/81/63/1071328163.db2.gz VBFCFMNUZSOVPE-UHFFFAOYSA-N 0 0 435.506 -0.419 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000549140637 1071328147 /nfs/dbraw/zinc/32/81/47/1071328147.db2.gz WMXBSNORKKQDQZ-GFCCVEGCSA-N 0 0 441.934 -0.008 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl)[C@H]1CCS(=O)(=O)C1 ZINC000549140638 1071327789 /nfs/dbraw/zinc/32/77/89/1071327789.db2.gz WMXBSNORKKQDQZ-LBPRGKRZSA-N 0 0 441.934 -0.008 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549140931 1071327748 /nfs/dbraw/zinc/32/77/48/1071327748.db2.gz KINDQSJDZAKHSF-GOSISDBHSA-N 0 0 442.538 -0.372 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549140932 1071328020 /nfs/dbraw/zinc/32/80/20/1071328020.db2.gz KINDQSJDZAKHSF-SFHVURJKSA-N 0 0 442.538 -0.372 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000549141110 1071328665 /nfs/dbraw/zinc/32/86/65/1071328665.db2.gz BOHHSRKUOODSIO-KRWDZBQOSA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000549141111 1071328698 /nfs/dbraw/zinc/32/86/98/1071328698.db2.gz BOHHSRKUOODSIO-QGZVFWFLSA-N 0 0 430.571 -0.513 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(C(=O)Cc3ccccc3)C2)c[nH]1 ZINC000549144352 1071328522 /nfs/dbraw/zinc/32/85/22/1071328522.db2.gz XWLHLMLAZTZXRN-HNNXBMFYSA-N 0 0 447.517 -0.258 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(C(=O)Cc3ccccc3)C2)c[nH]1 ZINC000549144353 1071328599 /nfs/dbraw/zinc/32/85/99/1071328599.db2.gz XWLHLMLAZTZXRN-OAHLLOKOSA-N 0 0 447.517 -0.258 20 0 IBADRN CCCN(CC(=O)Nc1cc(C)nn1[C@H]1CCS(=O)(=O)C1)[C@H]1CC(=O)N(C)C1=O ZINC000549146510 1071328541 /nfs/dbraw/zinc/32/85/41/1071328541.db2.gz KVZGFUKOZGSQCU-KBPBESRZSA-N 0 0 425.511 -0.041 20 0 IBADRN CCCN(CC(=O)Nc1cc(C)nn1[C@@H]1CCS(=O)(=O)C1)[C@H]1CC(=O)N(C)C1=O ZINC000549146511 1071328418 /nfs/dbraw/zinc/32/84/18/1071328418.db2.gz KVZGFUKOZGSQCU-KGLIPLIRSA-N 0 0 425.511 -0.041 20 0 IBADRN CCCN(CC(=O)Nc1cc(C)nn1[C@H]1CCS(=O)(=O)C1)[C@@H]1CC(=O)N(C)C1=O ZINC000549146512 1071328585 /nfs/dbraw/zinc/32/85/85/1071328585.db2.gz KVZGFUKOZGSQCU-UONOGXRCSA-N 0 0 425.511 -0.041 20 0 IBADRN CCCN(CC(=O)Nc1cc(C)nn1[C@@H]1CCS(=O)(=O)C1)[C@@H]1CC(=O)N(C)C1=O ZINC000549146513 1071328730 /nfs/dbraw/zinc/32/87/30/1071328730.db2.gz KVZGFUKOZGSQCU-ZIAGYGMSSA-N 0 0 425.511 -0.041 20 0 IBADRN Cc1c(NC(=O)CN2CCN(S(C)(=O)=O)CC2)ccc(F)c1N1C(=O)CNC1=O ZINC000549150534 1071329024 /nfs/dbraw/zinc/32/90/24/1071329024.db2.gz ILHFLMJNHVWMMR-UHFFFAOYSA-N 0 0 427.458 -0.294 20 0 IBADRN COCCN1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CC1=O ZINC000549157868 1071329044 /nfs/dbraw/zinc/32/90/44/1071329044.db2.gz RPDQGDGBVUECSF-UHFFFAOYSA-N 0 0 440.522 -0.338 20 0 IBADRN COCCN1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000549159748 1071329604 /nfs/dbraw/zinc/32/96/04/1071329604.db2.gz QJCKEQCZOONULZ-UHFFFAOYSA-N 0 0 426.495 -0.606 20 0 IBADRN COCCN1CN(Cc2nc(=O)c3c(CC(=O)OC)c(C(=O)OC)sc3[nH]2)CC1=O ZINC000549159879 1071329745 /nfs/dbraw/zinc/32/97/45/1071329745.db2.gz TWYQWZHGJQKHEH-UHFFFAOYSA-N 0 0 438.462 -0.265 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)cn1 ZINC000549174596 1071329455 /nfs/dbraw/zinc/32/94/55/1071329455.db2.gz QSYIGJJQOZUMOM-UHFFFAOYSA-N 0 0 443.498 -0.784 20 0 IBADRN CN(C)c1nc(CN(C)[C@H]2CCN(C(=O)NCCNC(=O)c3cnccn3)C2)cc(=O)[nH]1 ZINC000549176865 1071330399 /nfs/dbraw/zinc/33/03/99/1071330399.db2.gz NIQCVRNEVFNECG-HNNXBMFYSA-N 0 0 443.512 -0.316 20 0 IBADRN CN(C)c1nc(CN(C)[C@@H]2CCN(C(=O)NCCNC(=O)c3cnccn3)C2)cc(=O)[nH]1 ZINC000549176906 1071330468 /nfs/dbraw/zinc/33/04/68/1071330468.db2.gz NIQCVRNEVFNECG-OAHLLOKOSA-N 0 0 443.512 -0.316 20 0 IBADRN COCCN1CN(C(=O)Cc2ccc(CC(=O)N3CC(=O)N(CCOC)C3)cc2)CC1=O ZINC000549179355 1071330373 /nfs/dbraw/zinc/33/03/73/1071330373.db2.gz XYLORBDYZKSNRD-UHFFFAOYSA-N 0 0 446.504 -0.679 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H]4COC[C@H](C3)O4)CC2)c1 ZINC000549180025 1071330225 /nfs/dbraw/zinc/33/02/25/1071330225.db2.gz FPEFNYKTWRJRMZ-HDICACEKSA-N 0 0 437.518 -0.178 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(C(=O)Nc3ccccc3)C2)c[nH]1 ZINC000549185647 1071330169 /nfs/dbraw/zinc/33/01/69/1071330169.db2.gz BMLRMTKIFYHBLL-CYBMUJFWSA-N 0 0 434.478 -0.186 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(C(=O)Nc3ccccc3)C2)c[nH]1 ZINC000549185658 1071330109 /nfs/dbraw/zinc/33/01/09/1071330109.db2.gz BMLRMTKIFYHBLL-ZDUSSCGKSA-N 0 0 434.478 -0.186 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H]4COC[C@H](C3)O4)CC2)cc1 ZINC000549186121 1071330285 /nfs/dbraw/zinc/33/02/85/1071330285.db2.gz GJKHDNMCCYJBAV-CALCHBBNSA-N 0 0 425.507 -0.372 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)C1 ZINC000549203323 1071330524 /nfs/dbraw/zinc/33/05/24/1071330524.db2.gz ORKXAVHBPDZFDW-JTQLQIEISA-N 0 0 428.895 -0.170 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)C1 ZINC000549203326 1071330385 /nfs/dbraw/zinc/33/03/85/1071330385.db2.gz ORKXAVHBPDZFDW-SNVBAGLBSA-N 0 0 428.895 -0.170 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000549206439 1071330839 /nfs/dbraw/zinc/33/08/39/1071330839.db2.gz JOWNDHHGUPEYDG-CYBMUJFWSA-N 0 0 429.524 -0.428 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000549206441 1071331017 /nfs/dbraw/zinc/33/10/17/1071331017.db2.gz JOWNDHHGUPEYDG-ZDUSSCGKSA-N 0 0 429.524 -0.428 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCNC(=O)C2)c(Br)c1 ZINC000549207549 1071331132 /nfs/dbraw/zinc/33/11/32/1071331132.db2.gz AZCWRCPWSOREOY-UHFFFAOYSA-N 0 0 440.341 -0.437 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000549207982 1071330925 /nfs/dbraw/zinc/33/09/25/1071330925.db2.gz ZXDQZITYTQBIKX-UHFFFAOYSA-N 0 0 432.462 -0.885 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000549208606 1071331197 /nfs/dbraw/zinc/33/11/97/1071331197.db2.gz YAHBVTPBZXHIJO-CYBMUJFWSA-N 0 0 448.505 -0.693 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000549208608 1071331173 /nfs/dbraw/zinc/33/11/73/1071331173.db2.gz YAHBVTPBZXHIJO-ZDUSSCGKSA-N 0 0 448.505 -0.693 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cncc(-n4ccnn4)c3)CC2)o1 ZINC000549211779 1071330853 /nfs/dbraw/zinc/33/08/53/1071330853.db2.gz YJGGYHXRDAFPIW-UHFFFAOYSA-N 0 0 431.434 -0.499 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCc2ccccc21 ZINC000549215600 1071330985 /nfs/dbraw/zinc/33/09/85/1071330985.db2.gz FFWJOLKMGKXLCY-UHFFFAOYSA-N 0 0 429.477 -0.712 20 0 IBADRN COCCNC(=O)CNS(=O)(=O)c1ccc(S(C)(=O)=O)cc1Br ZINC000549216197 1071331032 /nfs/dbraw/zinc/33/10/32/1071331032.db2.gz GKHYIMSWXOODRM-UHFFFAOYSA-N 0 0 429.314 -0.107 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)C1 ZINC000549216769 1071331674 /nfs/dbraw/zinc/33/16/74/1071331674.db2.gz BASMIQUFHBSKKT-GFCCVEGCSA-N 0 0 426.538 -0.199 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)C1 ZINC000549216770 1071331767 /nfs/dbraw/zinc/33/17/67/1071331767.db2.gz BASMIQUFHBSKKT-LBPRGKRZSA-N 0 0 426.538 -0.199 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000549217755 1071331789 /nfs/dbraw/zinc/33/17/89/1071331789.db2.gz PPJJCVHIGCRFSW-UHFFFAOYSA-N 0 0 426.538 -0.245 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000549217860 1071331502 /nfs/dbraw/zinc/33/15/02/1071331502.db2.gz SLFWEMRLCWKRKX-LLVKDONJSA-N 0 0 426.538 -0.342 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000549217868 1071331639 /nfs/dbraw/zinc/33/16/39/1071331639.db2.gz SLFWEMRLCWKRKX-NSHDSACASA-N 0 0 426.538 -0.342 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000549219022 1071331684 /nfs/dbraw/zinc/33/16/84/1071331684.db2.gz LBKIJYUDJSPZTQ-LLVKDONJSA-N 0 0 426.538 -0.212 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000549219029 1071331519 /nfs/dbraw/zinc/33/15/19/1071331519.db2.gz LBKIJYUDJSPZTQ-NSHDSACASA-N 0 0 426.538 -0.212 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC000549219394 1071331656 /nfs/dbraw/zinc/33/16/56/1071331656.db2.gz QDCXXCREQGQUDY-UHFFFAOYSA-N 0 0 426.538 -0.199 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CCN(c2cc(C)nc3ncnn32)CC1 ZINC000549219485 1071331572 /nfs/dbraw/zinc/33/15/72/1071331572.db2.gz ODFYZDLXIWOSAJ-UHFFFAOYSA-N 0 0 428.515 -0.436 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3ccccc3Cl)CC2)c1 ZINC000549220284 1071331621 /nfs/dbraw/zinc/33/16/21/1071331621.db2.gz TVYGKXUIYPAXKN-UHFFFAOYSA-N 0 0 447.926 -0.283 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)c(Br)c1 ZINC000549222735 1071332267 /nfs/dbraw/zinc/33/22/67/1071332267.db2.gz AHGSQTFPEUKNNP-SECBINFHSA-N 0 0 447.354 -0.096 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)c(Br)c1 ZINC000549222736 1071332186 /nfs/dbraw/zinc/33/21/86/1071332186.db2.gz AHGSQTFPEUKNNP-VIFPVBQESA-N 0 0 447.354 -0.096 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCc4cc(F)ccc43)CC2)CC1 ZINC000549226565 1071332611 /nfs/dbraw/zinc/33/26/11/1071332611.db2.gz JPZVNHBBAYYQFF-UHFFFAOYSA-N 0 0 439.513 -0.649 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000549238699 1071333367 /nfs/dbraw/zinc/33/33/67/1071333367.db2.gz GQVQROIXZKFBAX-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000549239305 1071333874 /nfs/dbraw/zinc/33/38/74/1071333874.db2.gz WDAJMCWDTXLQJI-GFCCVEGCSA-N 0 0 430.508 -0.881 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000549239306 1071333983 /nfs/dbraw/zinc/33/39/83/1071333983.db2.gz WDAJMCWDTXLQJI-LBPRGKRZSA-N 0 0 430.508 -0.881 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000549241069 1071334017 /nfs/dbraw/zinc/33/40/17/1071334017.db2.gz LYIQKFCJMCAXCQ-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCc2ccccc2C1 ZINC000549242114 1071333765 /nfs/dbraw/zinc/33/37/65/1071333765.db2.gz QLRTWJRZAJZBJI-UHFFFAOYSA-N 0 0 443.504 -0.716 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cn(Cc3ccccc3)nn1)C2 ZINC000549248894 1071334987 /nfs/dbraw/zinc/33/49/87/1071334987.db2.gz AOMMBWGXGMKRDY-UHFFFAOYSA-N 0 0 425.453 -0.180 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000549255035 1071334618 /nfs/dbraw/zinc/33/46/18/1071334618.db2.gz QTBJQEZDVHCZRQ-HNNXBMFYSA-N 0 0 428.515 -0.356 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000549255047 1071335003 /nfs/dbraw/zinc/33/50/03/1071335003.db2.gz QTBJQEZDVHCZRQ-OAHLLOKOSA-N 0 0 428.515 -0.356 20 0 IBADRN O=C(c1ccc(N2CCCN(S(=O)(=O)C3CC3)CC2)nc1)N1CCS(=O)(=O)CC1 ZINC000549258805 1071334920 /nfs/dbraw/zinc/33/49/20/1071334920.db2.gz ROEIMDUVPOGQPM-UHFFFAOYSA-N 0 0 442.563 -0.044 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NCCc3nnnn3-c3ccccc3)cc2)c1=O ZINC000549279224 1071335545 /nfs/dbraw/zinc/33/55/45/1071335545.db2.gz IEXWMGHENVTCCM-UHFFFAOYSA-N 0 0 433.432 -0.156 20 0 IBADRN COCCO[C@H]1CCCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1 ZINC000549281965 1073333830 /nfs/dbraw/zinc/33/38/30/1073333830.db2.gz DCPSJZHIQGFCBC-INIZCTEOSA-N 0 0 436.513 0.273 20 0 IBADRN COCCO[C@@H]1CCCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1 ZINC000549281966 1073333601 /nfs/dbraw/zinc/33/36/01/1073333601.db2.gz DCPSJZHIQGFCBC-MRXNPFEDSA-N 0 0 436.513 0.273 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCc2nnnn2-c2ccccc2)cc1S(N)(=O)=O ZINC000549287381 1071336233 /nfs/dbraw/zinc/33/62/33/1071336233.db2.gz JZWGALIBUHCMRS-UHFFFAOYSA-N 0 0 429.462 -0.084 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCc2nnnn2-c2ccccc2)c2ccccc2O1 ZINC000549287875 1071335967 /nfs/dbraw/zinc/33/59/67/1071335967.db2.gz POEWWDOCCSGFDX-KRWDZBQOSA-N 0 0 435.444 -0.139 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCc2nnnn2-c2ccccc2)c2ccccc2O1 ZINC000549287879 1071336153 /nfs/dbraw/zinc/33/61/53/1071336153.db2.gz POEWWDOCCSGFDX-QGZVFWFLSA-N 0 0 435.444 -0.139 20 0 IBADRN COc1ccc(NC(=O)CCn2ncn(C)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000549306119 1071336654 /nfs/dbraw/zinc/33/66/54/1071336654.db2.gz KEKGKLOHXDROSU-UHFFFAOYSA-N 0 0 425.467 -0.360 20 0 IBADRN COc1cc(N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)ccc1F ZINC000549310118 1071336533 /nfs/dbraw/zinc/33/65/33/1071336533.db2.gz IEIRTLYKYRGPCI-UHFFFAOYSA-N 0 0 430.440 -0.070 20 0 IBADRN COC(=O)c1c(F)cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1F ZINC000549312032 1071336747 /nfs/dbraw/zinc/33/67/47/1071336747.db2.gz SHZZDHQXFICJFW-UHFFFAOYSA-N 0 0 437.363 -0.953 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)cc1OC ZINC000549314860 1071337086 /nfs/dbraw/zinc/33/70/86/1071337086.db2.gz DESSZTNTAKAJJF-UHFFFAOYSA-N 0 0 428.467 -0.330 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)cc1S(=O)(=O)N(C)C ZINC000549315702 1071337193 /nfs/dbraw/zinc/33/71/93/1071337193.db2.gz KVYFQEIMOMDAOB-UHFFFAOYSA-N 0 0 442.494 -0.451 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(OCC(=O)OC)c3ncccc23)CC1=O ZINC000549318047 1071337304 /nfs/dbraw/zinc/33/73/04/1071337304.db2.gz WVZTVNKSYJIVIJ-UHFFFAOYSA-N 0 0 430.417 0.000 20 0 IBADRN CC(=O)NCCN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C1CCSCC1 ZINC000549319363 1071337070 /nfs/dbraw/zinc/33/70/70/1071337070.db2.gz MNDIGIVHJZGWJZ-UHFFFAOYSA-N 0 0 436.538 -0.316 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC[C@H]3CCOC3)CC2)C[C@@H](C)O1 ZINC000549320765 1071336964 /nfs/dbraw/zinc/33/69/64/1071336964.db2.gz DFOJHWXHBNIFAM-BZUAXINKSA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC[C@@H]3CCOC3)CC2)C[C@@H](C)O1 ZINC000549320789 1071337056 /nfs/dbraw/zinc/33/70/56/1071337056.db2.gz DFOJHWXHBNIFAM-OAGGEKHMSA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC[C@H]3CCOC3)CC2)C[C@H](C)O1 ZINC000549320792 1071337287 /nfs/dbraw/zinc/33/72/87/1071337287.db2.gz DFOJHWXHBNIFAM-OWCLPIDISA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC[C@@H]3CCOC3)CC2)C[C@H](C)O1 ZINC000549320795 1071337018 /nfs/dbraw/zinc/33/70/18/1071337018.db2.gz DFOJHWXHBNIFAM-XHSDSOJGSA-N 0 0 425.573 -0.286 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1=O ZINC000549322366 1071337317 /nfs/dbraw/zinc/33/73/17/1071337317.db2.gz OXMJXRGKLSEZAE-UHFFFAOYSA-N 0 0 425.467 -0.921 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C(C)C)cc2)CC1=O ZINC000549322598 1071337705 /nfs/dbraw/zinc/33/77/05/1071337705.db2.gz SHNDVVULRHYZTN-UHFFFAOYSA-N 0 0 426.495 -0.071 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N[C@H]1CCC(=O)NC12CCC2 ZINC000549325174 1071337763 /nfs/dbraw/zinc/33/77/63/1071337763.db2.gz SYUIWSFUDWHWHL-HNNXBMFYSA-N 0 0 429.543 -0.472 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N[C@@H]1CCC(=O)NC12CCC2 ZINC000549325175 1071337785 /nfs/dbraw/zinc/33/77/85/1071337785.db2.gz SYUIWSFUDWHWHL-OAHLLOKOSA-N 0 0 429.543 -0.472 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)n1 ZINC000549332535 1071339113 /nfs/dbraw/zinc/33/91/13/1071339113.db2.gz CPICTJYJLWSHNS-UHFFFAOYSA-N 0 0 447.876 0.355 20 0 IBADRN Cn1nccc1[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000549336111 1071339196 /nfs/dbraw/zinc/33/91/96/1071339196.db2.gz ZKDCYBKCELFJMM-HNNXBMFYSA-N 0 0 429.481 -0.180 20 0 IBADRN Cn1nccc1[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000549336112 1071339349 /nfs/dbraw/zinc/33/93/49/1071339349.db2.gz ZKDCYBKCELFJMM-OAHLLOKOSA-N 0 0 429.481 -0.180 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@@H]2COC[C@H](C1)O2 ZINC000549337799 1071339741 /nfs/dbraw/zinc/33/97/41/1071339741.db2.gz LJLOSVWJFIAQRS-DAYGRLMNSA-N 0 0 431.445 -0.734 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@@H]2COC[C@H](C1)O2 ZINC000549337804 1071339895 /nfs/dbraw/zinc/33/98/95/1071339895.db2.gz LJLOSVWJFIAQRS-RVKKMQEKSA-N 0 0 431.445 -0.734 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000549338192 1071339850 /nfs/dbraw/zinc/33/98/50/1071339850.db2.gz QDSNENNIVNBRPY-BETUJISGSA-N 0 0 426.495 -0.432 20 0 IBADRN CC(C)[C@H](NC(=O)COc1ccccc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000549338371 1071339952 /nfs/dbraw/zinc/33/99/52/1071339952.db2.gz VYHVTTZEJXNTAZ-BEFAXECRSA-N 0 0 439.534 -0.032 20 0 IBADRN CC(C)[C@H](NC(=O)COc1ccccc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000549338372 1071340074 /nfs/dbraw/zinc/34/00/74/1071340074.db2.gz VYHVTTZEJXNTAZ-KXBFYZLASA-N 0 0 439.534 -0.032 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000549339299 1071340024 /nfs/dbraw/zinc/34/00/24/1071340024.db2.gz OFPLUNWSJVHHKD-IYBDPMFKSA-N 0 0 446.485 -0.303 20 0 IBADRN CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000549340688 1071339729 /nfs/dbraw/zinc/33/97/29/1071339729.db2.gz DAGKNUAQGUOFDD-UHFFFAOYSA-N 0 0 428.497 -0.074 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCCN(C(=O)Cc3ccccc3)C2)cn1 ZINC000549343170 1071340640 /nfs/dbraw/zinc/34/06/40/1071340640.db2.gz AMHREHBZWQQGND-INIZCTEOSA-N 0 0 426.477 -0.083 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)Cc3ccccc3)C2)cn1 ZINC000549343171 1071340346 /nfs/dbraw/zinc/34/03/46/1071340346.db2.gz AMHREHBZWQQGND-MRXNPFEDSA-N 0 0 426.477 -0.083 20 0 IBADRN COCCc1ccc(OC[C@@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000549344234 1071341148 /nfs/dbraw/zinc/34/11/48/1071341148.db2.gz UEFRRSIPKSMALG-IBGZPJMESA-N 0 0 443.566 -0.190 20 0 IBADRN COCCc1ccc(OC[C@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000549344237 1071341008 /nfs/dbraw/zinc/34/10/08/1071341008.db2.gz UEFRRSIPKSMALG-LJQANCHMSA-N 0 0 443.566 -0.190 20 0 IBADRN CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000549344877 1071340508 /nfs/dbraw/zinc/34/05/08/1071340508.db2.gz IMOXWUCYFFEGHI-GASCZTMLSA-N 0 0 443.574 -0.088 20 0 IBADRN CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000549344880 1071340329 /nfs/dbraw/zinc/34/03/29/1071340329.db2.gz IMOXWUCYFFEGHI-GJZGRUSLSA-N 0 0 443.574 -0.088 20 0 IBADRN CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000549344884 1071341185 /nfs/dbraw/zinc/34/11/85/1071341185.db2.gz IMOXWUCYFFEGHI-HUUCEWRRSA-N 0 0 443.574 -0.088 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000549351148 1073341766 /nfs/dbraw/zinc/34/17/66/1073341766.db2.gz PYRRWCAWCXLCHQ-AWEZNQCLSA-N 0 0 443.460 0.331 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000549351152 1073341777 /nfs/dbraw/zinc/34/17/77/1073341777.db2.gz PYRRWCAWCXLCHQ-CQSZACIVSA-N 0 0 443.460 0.331 20 0 IBADRN CCn1c(C(=O)Nc2ccccn2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000549352618 1071341691 /nfs/dbraw/zinc/34/16/91/1071341691.db2.gz RRXAOLKVGSSUEC-UHFFFAOYSA-N 0 0 440.468 -0.588 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)Nc3ccn(Cc4ccccc4)n3)cnc2n(C)c1=O ZINC000549353395 1073353513 /nfs/dbraw/zinc/35/35/13/1073353513.db2.gz DWUOCPNITIGUFE-UHFFFAOYSA-N 0 0 433.428 0.454 20 0 IBADRN CCOCCCn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000549353627 1071341720 /nfs/dbraw/zinc/34/17/20/1071341720.db2.gz BUWJFZJLIXEGET-UHFFFAOYSA-N 0 0 429.489 -0.560 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CC1=O ZINC000549360078 1071341530 /nfs/dbraw/zinc/34/15/30/1071341530.db2.gz IBKLQLDTTUISOH-UHFFFAOYSA-N 0 0 431.493 -0.436 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)CC1=O ZINC000549362200 1071341812 /nfs/dbraw/zinc/34/18/12/1071341812.db2.gz SLJUZVMFTUAINY-UHFFFAOYSA-N 0 0 427.435 -0.910 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC(=O)N(CCOC)C1 ZINC000549362344 1071341489 /nfs/dbraw/zinc/34/14/89/1071341489.db2.gz MGDQCWXIUPNHMG-UHFFFAOYSA-N 0 0 442.494 -0.451 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000549371770 1071342755 /nfs/dbraw/zinc/34/27/55/1071342755.db2.gz MPVBISOLVITOQC-PBHICJAKSA-N 0 0 428.507 -0.133 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(c3ccc(F)c(OC)c3)CC2)cc1C ZINC000549371948 1071342850 /nfs/dbraw/zinc/34/28/50/1071342850.db2.gz OQGMJKFFDAPTFX-UHFFFAOYSA-N 0 0 432.456 0.373 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000549372122 1071342839 /nfs/dbraw/zinc/34/28/39/1071342839.db2.gz MPVBISOLVITOQC-RHSMWYFYSA-N 0 0 428.507 -0.133 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000549372123 1071342608 /nfs/dbraw/zinc/34/26/08/1071342608.db2.gz MPVBISOLVITOQC-WMLDXEAASA-N 0 0 428.507 -0.133 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000549372124 1071342772 /nfs/dbraw/zinc/34/27/72/1071342772.db2.gz MPVBISOLVITOQC-YOEHRIQHSA-N 0 0 428.507 -0.133 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](O)COC ZINC000549373024 1071342969 /nfs/dbraw/zinc/34/29/69/1071342969.db2.gz LQPYGGLWADFPQF-CYBMUJFWSA-N 0 0 445.494 -0.832 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1OC ZINC000549373469 1071342659 /nfs/dbraw/zinc/34/26/59/1071342659.db2.gz MWYNZFVXDDOXEH-CYBMUJFWSA-N 0 0 429.495 -0.068 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@H](O)COC)cc1S(=O)(=O)N1CCCC1 ZINC000549373701 1071342821 /nfs/dbraw/zinc/34/28/21/1071342821.db2.gz RBNNKSRUXNLXRQ-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000549376249 1071343419 /nfs/dbraw/zinc/34/34/19/1071343419.db2.gz HYIKIZKTCCQNKS-UHFFFAOYSA-N 0 0 448.498 -0.562 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)N3CCCN(S(=O)(=O)C4CC4)CC3)cc2C1=O ZINC000549376640 1071343576 /nfs/dbraw/zinc/34/35/76/1071343576.db2.gz OIUHIWGCLWBQTC-UHFFFAOYSA-N 0 0 434.474 -0.123 20 0 IBADRN O=C(Nc1ccn(Cc2ccncc2)n1)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549376804 1071343406 /nfs/dbraw/zinc/34/34/06/1071343406.db2.gz FQKKZLZCNFJYFH-UHFFFAOYSA-N 0 0 432.506 0.291 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549377274 1071343443 /nfs/dbraw/zinc/34/34/43/1071343443.db2.gz WAAQWFUCOXRSKR-UHFFFAOYSA-N 0 0 441.531 -0.019 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1C(N)=O ZINC000549377507 1071343457 /nfs/dbraw/zinc/34/34/57/1071343457.db2.gz HOJKAXBYLXGKKG-UHFFFAOYSA-N 0 0 425.467 -0.846 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000549377947 1071343315 /nfs/dbraw/zinc/34/33/15/1071343315.db2.gz PXYPDDRREVDWHY-UHFFFAOYSA-N 0 0 438.506 -0.224 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O ZINC000549378497 1071344068 /nfs/dbraw/zinc/34/40/68/1071344068.db2.gz PJJIOFWWCCYCAS-CABCVRRESA-N 0 0 429.433 -0.860 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O ZINC000549378498 1071344150 /nfs/dbraw/zinc/34/41/50/1071344150.db2.gz PJJIOFWWCCYCAS-GJZGRUSLSA-N 0 0 429.433 -0.860 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O ZINC000549378499 1071344125 /nfs/dbraw/zinc/34/41/25/1071344125.db2.gz PJJIOFWWCCYCAS-HUUCEWRRSA-N 0 0 429.433 -0.860 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O ZINC000549378500 1071344253 /nfs/dbraw/zinc/34/42/53/1071344253.db2.gz PJJIOFWWCCYCAS-LSDHHAIUSA-N 0 0 429.433 -0.860 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cccc1-n1cnnn1 ZINC000549382019 1071344004 /nfs/dbraw/zinc/34/40/04/1071344004.db2.gz CJWSWUXHXHXZMD-UHFFFAOYSA-N 0 0 433.494 -0.064 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCCN(S(=O)(=O)C4CC4)CC3)cc2)c1=O ZINC000549382523 1071344695 /nfs/dbraw/zinc/34/46/95/1071344695.db2.gz LRIFICYLRDVZMW-UHFFFAOYSA-N 0 0 448.505 -0.464 20 0 IBADRN O=C(Nc1ccnn1C[C@@H]1CCOC1)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549383139 1071344737 /nfs/dbraw/zinc/34/47/37/1071344737.db2.gz MKZPZBVIKVBNDZ-AWEZNQCLSA-N 0 0 425.511 -0.115 20 0 IBADRN O=C(Nc1ccnn1C[C@H]1CCOC1)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549383140 1071344621 /nfs/dbraw/zinc/34/46/21/1071344621.db2.gz MKZPZBVIKVBNDZ-CQSZACIVSA-N 0 0 425.511 -0.115 20 0 IBADRN CCOCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000549385126 1071344808 /nfs/dbraw/zinc/34/48/08/1071344808.db2.gz LRBRNERGIWZLAJ-UHFFFAOYSA-N 0 0 446.516 -0.724 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1 ZINC000549390695 1071345208 /nfs/dbraw/zinc/34/52/08/1071345208.db2.gz VDTIBECPYUIBHJ-AEGPPILISA-N 0 0 427.479 -0.436 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1 ZINC000549390696 1071345228 /nfs/dbraw/zinc/34/52/28/1071345228.db2.gz VDTIBECPYUIBHJ-YUELXQCFSA-N 0 0 427.479 -0.436 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@@H]1c1ccccc1 ZINC000549393582 1071345194 /nfs/dbraw/zinc/34/51/94/1071345194.db2.gz QHPZFQORUKTAQS-JOCHJYFZSA-N 0 0 429.521 -0.538 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@H]1c1ccccc1 ZINC000549393583 1071345354 /nfs/dbraw/zinc/34/53/54/1071345354.db2.gz QHPZFQORUKTAQS-QFIPXVFZSA-N 0 0 429.521 -0.538 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCOc3ccc(Br)cc3C2)n1 ZINC000549394916 1073313896 /nfs/dbraw/zinc/31/38/96/1073313896.db2.gz ZKYIJRVMLVHMQC-UHFFFAOYSA-N 0 0 437.254 0.146 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)[C@@H](C)CO ZINC000549395316 1071345296 /nfs/dbraw/zinc/34/52/96/1071345296.db2.gz MWRUYWPZMOGQAU-ZDUSSCGKSA-N 0 0 428.511 -0.591 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)[C@@H](C)CO ZINC000549396198 1071345914 /nfs/dbraw/zinc/34/59/14/1071345914.db2.gz OORZPVANAWXTFY-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN CCn1c(C2CCN(c3ncccn3)CC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000549398142 1071346340 /nfs/dbraw/zinc/34/63/40/1071346340.db2.gz ABUHMRYWXUYWGU-HNNXBMFYSA-N 0 0 425.497 -0.381 20 0 IBADRN CCn1c(C2CCN(c3ncccn3)CC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000549398143 1071346516 /nfs/dbraw/zinc/34/65/16/1071346516.db2.gz ABUHMRYWXUYWGU-OAHLLOKOSA-N 0 0 425.497 -0.381 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549398511 1071347184 /nfs/dbraw/zinc/34/71/84/1071347184.db2.gz DPTTYERNJXJKFW-UHFFFAOYSA-N 0 0 442.563 -0.277 20 0 IBADRN Cn1cnnc1COCC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000549402071 1071347291 /nfs/dbraw/zinc/34/72/91/1071347291.db2.gz DWLQZBFOTFXCIR-UHFFFAOYSA-N 0 0 437.507 -0.131 20 0 IBADRN O=S(=O)(C1CC1)N1CCCN(CCN2CCCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000549402778 1071347919 /nfs/dbraw/zinc/34/79/19/1071347919.db2.gz VRLIEWMBJCBQLN-UHFFFAOYSA-N 0 0 434.628 -0.014 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1ccc(N2CCCC2=O)cc1)S(C)(=O)=O ZINC000549407002 1071348040 /nfs/dbraw/zinc/34/80/40/1071348040.db2.gz IOKQTPPQVQHWMB-UHFFFAOYSA-N 0 0 431.536 -0.015 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2nc(CN3CCOCC3)cs2)CC1 ZINC000549413543 1071348778 /nfs/dbraw/zinc/34/87/78/1071348778.db2.gz PGRVFLLUJNDTBH-UHFFFAOYSA-N 0 0 426.543 -0.113 20 0 IBADRN CNC(=O)N[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)C)C1 ZINC000549417416 1071348814 /nfs/dbraw/zinc/34/88/14/1071348814.db2.gz PBDLAWUGSCVYPF-KBPBESRZSA-N 0 0 429.547 -0.225 20 0 IBADRN CNC(=O)N[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)C)C1 ZINC000549417417 1071348798 /nfs/dbraw/zinc/34/87/98/1071348798.db2.gz PBDLAWUGSCVYPF-KGLIPLIRSA-N 0 0 429.547 -0.225 20 0 IBADRN CNC(=O)N[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)C)C1 ZINC000549417418 1071348591 /nfs/dbraw/zinc/34/85/91/1071348591.db2.gz PBDLAWUGSCVYPF-UONOGXRCSA-N 0 0 429.547 -0.225 20 0 IBADRN CNC(=O)N[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)C)C1 ZINC000549417419 1071348878 /nfs/dbraw/zinc/34/88/78/1071348878.db2.gz PBDLAWUGSCVYPF-ZIAGYGMSSA-N 0 0 429.547 -0.225 20 0 IBADRN CC(C)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000549418589 1071349355 /nfs/dbraw/zinc/34/93/55/1071349355.db2.gz CPSGZTJEOPEBEE-UHFFFAOYSA-N 0 0 439.484 -0.083 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000549421887 1071349546 /nfs/dbraw/zinc/34/95/46/1071349546.db2.gz MGZHMDGCDJJUGD-UHFFFAOYSA-N 0 0 431.449 -0.135 20 0 IBADRN C[C@@](O)(CO)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000549423368 1071349531 /nfs/dbraw/zinc/34/95/31/1071349531.db2.gz SLIAIPHVXGFPPU-ABAIWWIYSA-N 0 0 441.428 -0.523 20 0 IBADRN C[C@](O)(CO)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000549423369 1071349567 /nfs/dbraw/zinc/34/95/67/1071349567.db2.gz SLIAIPHVXGFPPU-IAQYHMDHSA-N 0 0 441.428 -0.523 20 0 IBADRN C[C@@](O)(CO)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000549423370 1071349368 /nfs/dbraw/zinc/34/93/68/1071349368.db2.gz SLIAIPHVXGFPPU-NHYWBVRUSA-N 0 0 441.428 -0.523 20 0 IBADRN C[C@](O)(CO)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000549423371 1071349439 /nfs/dbraw/zinc/34/94/39/1071349439.db2.gz SLIAIPHVXGFPPU-XHDPSFHLSA-N 0 0 441.428 -0.523 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000549424465 1071349981 /nfs/dbraw/zinc/34/99/81/1071349981.db2.gz GAOVKWPUOCTTCP-UHFFFAOYSA-N 0 0 447.535 -0.972 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NC[C@](C)(O)CO)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000549426584 1071350101 /nfs/dbraw/zinc/35/01/01/1071350101.db2.gz GMXXHWILWOHQSH-FQEVSTJZSA-N 0 0 428.401 -0.097 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NC[C@@](C)(O)CO)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000549426585 1071350114 /nfs/dbraw/zinc/35/01/14/1071350114.db2.gz GMXXHWILWOHQSH-HXUWFJFHSA-N 0 0 428.401 -0.097 20 0 IBADRN C[C@](O)(CO)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000549429218 1071349762 /nfs/dbraw/zinc/34/97/62/1071349762.db2.gz AQDRFXZGFXUJSF-GOSISDBHSA-N 0 0 447.941 -0.187 20 0 IBADRN C[C@@](O)(CO)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000549429223 1071349996 /nfs/dbraw/zinc/34/99/96/1071349996.db2.gz AQDRFXZGFXUJSF-SFHVURJKSA-N 0 0 447.941 -0.187 20 0 IBADRN CC(C)Cn1c(-c2cnn(C)c2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000549431086 1071350685 /nfs/dbraw/zinc/35/06/85/1071350685.db2.gz JDFAVGQOXBFOKZ-UHFFFAOYSA-N 0 0 428.501 -0.199 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CC1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000549433362 1071350560 /nfs/dbraw/zinc/35/05/60/1071350560.db2.gz PWTFKUWNEYTMRM-GFCCVEGCSA-N 0 0 431.536 -0.164 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CC1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000549433363 1071350619 /nfs/dbraw/zinc/35/06/19/1071350619.db2.gz PWTFKUWNEYTMRM-LBPRGKRZSA-N 0 0 431.536 -0.164 20 0 IBADRN CCn1c(CNC(=O)c2cccs2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000549438951 1071351080 /nfs/dbraw/zinc/35/10/80/1071351080.db2.gz UJDTYSRXEDOLGN-UHFFFAOYSA-N 0 0 430.498 -0.375 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CC ZINC000549447287 1071352014 /nfs/dbraw/zinc/35/20/14/1071352014.db2.gz CHOWTAYNFSLFPH-UHFFFAOYSA-N 0 0 433.517 -0.317 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC(C)(O)C1)c(=O)n2Cc1ccccc1 ZINC000549454400 1071354039 /nfs/dbraw/zinc/35/40/39/1071354039.db2.gz PSOMSTSCXZNHSX-UHFFFAOYSA-N 0 0 427.461 -0.352 20 0 IBADRN COC[C@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000549461808 1071356275 /nfs/dbraw/zinc/35/62/75/1071356275.db2.gz KEEWHFGJAYFTSB-OAHLLOKOSA-N 0 0 431.486 -0.438 20 0 IBADRN COC[C@H](O)CNC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000549462254 1071355591 /nfs/dbraw/zinc/35/55/91/1071355591.db2.gz USIWUGMKABLDCQ-GFCCVEGCSA-N 0 0 425.463 -0.302 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(CC(=O)N4CCOCC4)CC3)n2C[C@H]2CCCO2)cn1 ZINC000549472681 1071362271 /nfs/dbraw/zinc/36/22/71/1071362271.db2.gz IGYMHBKJMYPEPV-GOSISDBHSA-N 0 0 444.540 -0.162 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(CC(=O)N4CCOCC4)CC3)n2C[C@@H]2CCCO2)cn1 ZINC000549472689 1071361924 /nfs/dbraw/zinc/36/19/24/1071361924.db2.gz IGYMHBKJMYPEPV-SFHVURJKSA-N 0 0 444.540 -0.162 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N(C)C)CC2)n1C[C@@H]1CCCO1 ZINC000549475258 1071362836 /nfs/dbraw/zinc/36/28/36/1071362836.db2.gz ZUGPWJFFOHFAHK-KBPBESRZSA-N 0 0 429.547 -0.129 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N(C)C)CC2)n1C[C@@H]1CCCO1 ZINC000549475259 1071362748 /nfs/dbraw/zinc/36/27/48/1071362748.db2.gz ZUGPWJFFOHFAHK-KGLIPLIRSA-N 0 0 429.547 -0.129 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N(C)C)CC2)n1C[C@H]1CCCO1 ZINC000549475261 1071362949 /nfs/dbraw/zinc/36/29/49/1071362949.db2.gz ZUGPWJFFOHFAHK-UONOGXRCSA-N 0 0 429.547 -0.129 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N(C)C)CC2)n1C[C@H]1CCCO1 ZINC000549475263 1071362734 /nfs/dbraw/zinc/36/27/34/1071362734.db2.gz ZUGPWJFFOHFAHK-ZIAGYGMSSA-N 0 0 429.547 -0.129 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCc1ccccc1 ZINC000549485773 1071370046 /nfs/dbraw/zinc/37/00/46/1071370046.db2.gz OFWHWZABJGLICX-INIZCTEOSA-N 0 0 425.493 -0.194 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCc1ccccc1 ZINC000549485774 1071369835 /nfs/dbraw/zinc/36/98/35/1071369835.db2.gz OFWHWZABJGLICX-MRXNPFEDSA-N 0 0 425.493 -0.194 20 0 IBADRN NS(=O)(=O)CCCCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000549490939 1071375276 /nfs/dbraw/zinc/37/52/76/1071375276.db2.gz HOPKXTFUEDMIPU-UHFFFAOYSA-N 0 0 425.554 -0.182 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)CN4CCOCC4)CC3)n2C[C@H]2CCCO2)cn1 ZINC000549499651 1071390979 /nfs/dbraw/zinc/39/09/79/1071390979.db2.gz LWMCGCCMCMTWGK-GOSISDBHSA-N 0 0 444.540 -0.162 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)CN4CCOCC4)CC3)n2C[C@@H]2CCCO2)cn1 ZINC000549499653 1071390708 /nfs/dbraw/zinc/39/07/08/1071390708.db2.gz LWMCGCCMCMTWGK-SFHVURJKSA-N 0 0 444.540 -0.162 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000549519195 1071408423 /nfs/dbraw/zinc/40/84/23/1071408423.db2.gz VZWVNZFVKNERIS-UHFFFAOYSA-N 0 0 430.508 -0.701 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cn1C ZINC000549519229 1071409511 /nfs/dbraw/zinc/40/95/11/1071409511.db2.gz YBVDIVICYUCGIY-UHFFFAOYSA-N 0 0 433.556 -0.577 20 0 IBADRN CCc1c(C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000549521861 1071412691 /nfs/dbraw/zinc/41/26/91/1071412691.db2.gz HPLLOEIOKBCJDT-UHFFFAOYSA-N 0 0 449.533 -0.165 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549521917 1071413419 /nfs/dbraw/zinc/41/34/19/1071413419.db2.gz LHCBIIDLVXPHDY-UHFFFAOYSA-N 0 0 446.551 -0.324 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3CCN(CC(F)(F)F)CC3)CC2)CC1 ZINC000549531816 1071418128 /nfs/dbraw/zinc/41/81/28/1071418128.db2.gz UUFXPAMRVJQEPC-UHFFFAOYSA-N 0 0 441.520 -0.103 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1Cc1ccccc1 ZINC000549539850 1071420977 /nfs/dbraw/zinc/42/09/77/1071420977.db2.gz ZKOGTGCURXZBAW-UHFFFAOYSA-N 0 0 438.496 -0.200 20 0 IBADRN CCCCN(CC(N)=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000549554698 1071424848 /nfs/dbraw/zinc/42/48/48/1071424848.db2.gz NFNUJNRAJSOLMY-UHFFFAOYSA-N 0 0 426.539 -0.089 20 0 IBADRN CC(CNS(=O)(=O)N1CCOC(C)(C)C1)CNS(=O)(=O)N1CCOC(C)(C)C1 ZINC000549558921 1071425554 /nfs/dbraw/zinc/42/55/54/1071425554.db2.gz AWYREWQOUPJVIH-UHFFFAOYSA-N 0 0 442.604 -0.487 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCc2nnnn2-c2ccccc2)CC1 ZINC000549560218 1071426306 /nfs/dbraw/zinc/42/63/06/1071426306.db2.gz GXXTVSYLGLHIAW-KRWDZBQOSA-N 0 0 442.524 -0.221 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCc2nnnn2-c2ccccc2)CC1 ZINC000549560219 1071426187 /nfs/dbraw/zinc/42/61/87/1071426187.db2.gz GXXTVSYLGLHIAW-QGZVFWFLSA-N 0 0 442.524 -0.221 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)NCCc3nnnn3-c3ccccc3)CCO2)c1=O ZINC000549561951 1073305284 /nfs/dbraw/zinc/30/52/84/1073305284.db2.gz YYUZLNBZIYNUJY-INIZCTEOSA-N 0 0 439.480 -0.179 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)NCCc3nnnn3-c3ccccc3)CCO2)c1=O ZINC000549561952 1071426409 /nfs/dbraw/zinc/42/64/09/1071426409.db2.gz YYUZLNBZIYNUJY-MRXNPFEDSA-N 0 0 439.480 -0.179 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cccs1)N1CCCC1 ZINC000549575853 1071429482 /nfs/dbraw/zinc/42/94/82/1071429482.db2.gz RZIXEXYMPIURQX-CYBMUJFWSA-N 0 0 429.506 -0.229 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cccs1)N1CCCC1 ZINC000549575854 1071429398 /nfs/dbraw/zinc/42/93/98/1071429398.db2.gz RZIXEXYMPIURQX-ZDUSSCGKSA-N 0 0 429.506 -0.229 20 0 IBADRN NC(=O)NC(=O)c1cccc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000549582217 1071434556 /nfs/dbraw/zinc/43/45/56/1071434556.db2.gz FSFGTCSCFJIHNN-UHFFFAOYSA-N 0 0 445.457 -0.132 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000549582697 1071437161 /nfs/dbraw/zinc/43/71/61/1071437161.db2.gz ARHLZIXEPBSUSH-CYBMUJFWSA-N 0 0 429.524 -0.206 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000549582701 1071437029 /nfs/dbraw/zinc/43/70/29/1071437029.db2.gz ARHLZIXEPBSUSH-ZDUSSCGKSA-N 0 0 429.524 -0.206 20 0 IBADRN CC(=O)NCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1CCSCC1 ZINC000549590996 1071439888 /nfs/dbraw/zinc/43/98/88/1071439888.db2.gz JJNXHTMEPBFHEW-UHFFFAOYSA-N 0 0 436.600 -0.319 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@H](C)CCNS(=O)(=O)c2ccccc2)cn1C ZINC000549592525 1071440099 /nfs/dbraw/zinc/44/00/99/1071440099.db2.gz UAUGJTSMWKAIEY-CYBMUJFWSA-N 0 0 443.551 -0.120 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@@H](C)CCNS(=O)(=O)c2ccccc2)cn1C ZINC000549592526 1071439996 /nfs/dbraw/zinc/43/99/96/1071439996.db2.gz UAUGJTSMWKAIEY-ZDUSSCGKSA-N 0 0 443.551 -0.120 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCOC1 ZINC000549594519 1071440669 /nfs/dbraw/zinc/44/06/69/1071440669.db2.gz UQDMFDRXLSSHAL-DZGCQCFKSA-N 0 0 433.513 -0.620 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCOC1 ZINC000549594527 1071440948 /nfs/dbraw/zinc/44/09/48/1071440948.db2.gz UQDMFDRXLSSHAL-HIFRSBDPSA-N 0 0 433.513 -0.620 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCOC1 ZINC000549594530 1071440881 /nfs/dbraw/zinc/44/08/81/1071440881.db2.gz UQDMFDRXLSSHAL-UKRRQHHQSA-N 0 0 433.513 -0.620 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCOC1 ZINC000549594533 1071440910 /nfs/dbraw/zinc/44/09/10/1071440910.db2.gz UQDMFDRXLSSHAL-ZFWWWQNUSA-N 0 0 433.513 -0.620 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(OC(F)F)nc2)CC1 ZINC000549614280 1071448541 /nfs/dbraw/zinc/44/85/41/1071448541.db2.gz OPWLFIZPWFWAHD-UHFFFAOYSA-N 0 0 427.408 -0.376 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CSCN2C1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000549614757 1071450073 /nfs/dbraw/zinc/45/00/73/1071450073.db2.gz KQGOJJLTMQCPCQ-AWEZNQCLSA-N 0 0 442.494 -0.004 20 0 IBADRN O=C(CN1C(=O)[C@H]2CSCN2C1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000549614758 1071450013 /nfs/dbraw/zinc/45/00/13/1071450013.db2.gz KQGOJJLTMQCPCQ-CQSZACIVSA-N 0 0 442.494 -0.004 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CSCN2C1=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000549619582 1071452304 /nfs/dbraw/zinc/45/23/04/1071452304.db2.gz KCZHXSHXIOQJFB-BBRMVZONSA-N 0 0 446.485 -0.166 20 0 IBADRN O=C(CN1C(=O)[C@H]2CSCN2C1=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000549619583 1071452210 /nfs/dbraw/zinc/45/22/10/1071452210.db2.gz KCZHXSHXIOQJFB-CJNGLKHVSA-N 0 0 446.485 -0.166 20 0 IBADRN O=C(CN1C(=O)[C@H]2CSCN2C1=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000549619584 1071452284 /nfs/dbraw/zinc/45/22/84/1071452284.db2.gz KCZHXSHXIOQJFB-CZUORRHYSA-N 0 0 446.485 -0.166 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CSCN2C1=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000549619585 1071452371 /nfs/dbraw/zinc/45/23/71/1071452371.db2.gz KCZHXSHXIOQJFB-XJKSGUPXSA-N 0 0 446.485 -0.166 20 0 IBADRN CCN(C(=O)[C@@H](C)S(=O)(=O)c1nnc2nc(C)cc(C)n21)[C@@H]1CCS(=O)(=O)C1 ZINC000549622499 1071455415 /nfs/dbraw/zinc/45/54/15/1071455415.db2.gz XXMANOBYDQMYKX-CHWSQXEVSA-N 0 0 429.524 -0.061 20 0 IBADRN CCN(C(=O)[C@@H](C)S(=O)(=O)c1nnc2nc(C)cc(C)n21)[C@H]1CCS(=O)(=O)C1 ZINC000549622500 1071455381 /nfs/dbraw/zinc/45/53/81/1071455381.db2.gz XXMANOBYDQMYKX-OLZOCXBDSA-N 0 0 429.524 -0.061 20 0 IBADRN CCN(C(=O)[C@H](C)S(=O)(=O)c1nnc2nc(C)cc(C)n21)[C@@H]1CCS(=O)(=O)C1 ZINC000549622501 1071455412 /nfs/dbraw/zinc/45/54/12/1071455412.db2.gz XXMANOBYDQMYKX-QWHCGFSZSA-N 0 0 429.524 -0.061 20 0 IBADRN CCN(C(=O)[C@H](C)S(=O)(=O)c1nnc2nc(C)cc(C)n21)[C@H]1CCS(=O)(=O)C1 ZINC000549622502 1071455402 /nfs/dbraw/zinc/45/54/02/1071455402.db2.gz XXMANOBYDQMYKX-STQMWFEESA-N 0 0 429.524 -0.061 20 0 IBADRN CCN(C(=O)[C@@H](C)S(=O)(=O)c1nnc(NCCOC)s1)[C@@H]1CCS(=O)(=O)C1 ZINC000549628148 1071465948 /nfs/dbraw/zinc/46/59/48/1071465948.db2.gz HZVAVINBOWHRNW-GHMZBOCLSA-N 0 0 440.569 -0.206 20 0 IBADRN CCN(C(=O)[C@@H](C)S(=O)(=O)c1nnc(NCCOC)s1)[C@H]1CCS(=O)(=O)C1 ZINC000549628149 1071465957 /nfs/dbraw/zinc/46/59/57/1071465957.db2.gz HZVAVINBOWHRNW-MNOVXSKESA-N 0 0 440.569 -0.206 20 0 IBADRN CCN(C(=O)[C@H](C)S(=O)(=O)c1nnc(NCCOC)s1)[C@H]1CCS(=O)(=O)C1 ZINC000549628150 1071465912 /nfs/dbraw/zinc/46/59/12/1071465912.db2.gz HZVAVINBOWHRNW-QWRGUYRKSA-N 0 0 440.569 -0.206 20 0 IBADRN CCN(C(=O)[C@H](C)S(=O)(=O)c1nnc(NCCOC)s1)[C@@H]1CCS(=O)(=O)C1 ZINC000549628151 1071465893 /nfs/dbraw/zinc/46/58/93/1071465893.db2.gz HZVAVINBOWHRNW-WDEREUQCSA-N 0 0 440.569 -0.206 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(-c4ccc5c(c4)OCO5)n3C[C@@H]3CCOC3)CCN2C1=O ZINC000549628842 1071466677 /nfs/dbraw/zinc/46/66/77/1071466677.db2.gz DIJQIMUBXGAPNC-DZGCQCFKSA-N 0 0 440.460 -0.143 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(-c4ccc5c(c4)OCO5)n3C[C@H]3CCOC3)CCN2C1=O ZINC000549628843 1071467207 /nfs/dbraw/zinc/46/72/07/1071467207.db2.gz DIJQIMUBXGAPNC-HIFRSBDPSA-N 0 0 440.460 -0.143 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(-c4ccc5c(c4)OCO5)n3C[C@H]3CCOC3)CCN2C1=O ZINC000549628844 1071467247 /nfs/dbraw/zinc/46/72/47/1071467247.db2.gz DIJQIMUBXGAPNC-UKRRQHHQSA-N 0 0 440.460 -0.143 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(-c4ccc5c(c4)OCO5)n3C[C@@H]3CCOC3)CCN2C1=O ZINC000549628845 1071467057 /nfs/dbraw/zinc/46/70/57/1071467057.db2.gz DIJQIMUBXGAPNC-ZFWWWQNUSA-N 0 0 440.460 -0.143 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@H](CS(C)(=O)=O)C2)CC1 ZINC000549640014 1071472951 /nfs/dbraw/zinc/47/29/51/1071472951.db2.gz UKMMKWOZBKBVJJ-HNNXBMFYSA-N 0 0 435.554 -0.232 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@@H](CS(C)(=O)=O)C2)CC1 ZINC000549640015 1071473118 /nfs/dbraw/zinc/47/31/18/1071473118.db2.gz UKMMKWOZBKBVJJ-OAHLLOKOSA-N 0 0 435.554 -0.232 20 0 IBADRN CN(C)c1cccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000549642604 1071474074 /nfs/dbraw/zinc/47/40/74/1071474074.db2.gz NEEKNRLJOXVEIO-UHFFFAOYSA-N 0 0 425.559 -0.533 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)Nc1ccn(C)n1 ZINC000549654222 1073347214 /nfs/dbraw/zinc/34/72/14/1073347214.db2.gz NLSJIBZTYPTXQI-UHFFFAOYSA-N 0 0 436.494 -0.058 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)Nc3ccn(C)n3)cc2)C1 ZINC000549663581 1071483020 /nfs/dbraw/zinc/48/30/20/1071483020.db2.gz PDNNNIZLAHZDOV-CYBMUJFWSA-N 0 0 449.489 0.571 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)Nc3ccn(C)n3)cc2)C1 ZINC000549663592 1073327194 /nfs/dbraw/zinc/32/71/94/1073327194.db2.gz PDNNNIZLAHZDOV-ZDUSSCGKSA-N 0 0 449.489 0.571 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@@H]1CCOC1 ZINC000549680294 1071527981 /nfs/dbraw/zinc/52/79/81/1071527981.db2.gz KYCYJQNPWXFQSL-KRWDZBQOSA-N 0 0 449.556 -0.566 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@H]1CCOC1 ZINC000549680297 1071528017 /nfs/dbraw/zinc/52/80/17/1071528017.db2.gz KYCYJQNPWXFQSL-QGZVFWFLSA-N 0 0 449.556 -0.566 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)Cn4cnnn4)CC3)n2C[C@@H]2CCOC2)cn1 ZINC000549693342 1071528524 /nfs/dbraw/zinc/52/85/24/1071528524.db2.gz JJCOCKUWUUQYJH-AWEZNQCLSA-N 0 0 427.473 -0.950 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)Cn4cnnn4)CC3)n2C[C@H]2CCOC2)cn1 ZINC000549693348 1071528561 /nfs/dbraw/zinc/52/85/61/1071528561.db2.gz JJCOCKUWUUQYJH-CQSZACIVSA-N 0 0 427.473 -0.950 20 0 IBADRN COCC(=O)N[C@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)C(C)C ZINC000549703641 1071529232 /nfs/dbraw/zinc/52/92/32/1071529232.db2.gz ZWCHABOQOSWPGV-JXFKEZNVSA-N 0 0 448.568 -0.264 20 0 IBADRN COCC(=O)N[C@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)C(C)C ZINC000549703642 1071529304 /nfs/dbraw/zinc/52/93/04/1071529304.db2.gz ZWCHABOQOSWPGV-UZLBHIALSA-N 0 0 448.568 -0.264 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@H]2CC(=O)N(C4CC4)C2)CC3)CC1 ZINC000549703820 1071529328 /nfs/dbraw/zinc/52/93/28/1071529328.db2.gz IWHXCBHVJAXRIS-CVEARBPZSA-N 0 0 428.537 -0.040 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@H]2CC(=O)N(C4CC4)C2)CC3)CC1 ZINC000549703821 1071529559 /nfs/dbraw/zinc/52/95/59/1071529559.db2.gz IWHXCBHVJAXRIS-HOTGVXAUSA-N 0 0 428.537 -0.040 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@@H]2CC(=O)N(C4CC4)C2)CC3)CC1 ZINC000549703822 1071529520 /nfs/dbraw/zinc/52/95/20/1071529520.db2.gz IWHXCBHVJAXRIS-HZPDHXFCSA-N 0 0 428.537 -0.040 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@@H]2CC(=O)N(C4CC4)C2)CC3)CC1 ZINC000549703823 1071529659 /nfs/dbraw/zinc/52/96/59/1071529659.db2.gz IWHXCBHVJAXRIS-JKSUJKDBSA-N 0 0 428.537 -0.040 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)c2ccc(C(N)=O)cn2)CC3)CC1 ZINC000549705114 1071529633 /nfs/dbraw/zinc/52/96/33/1071529633.db2.gz UZAOWYXRRULXPU-AWEZNQCLSA-N 0 0 425.493 -0.243 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)c2ccc(C(N)=O)cn2)CC3)CC1 ZINC000549705115 1071529492 /nfs/dbraw/zinc/52/94/92/1071529492.db2.gz UZAOWYXRRULXPU-CQSZACIVSA-N 0 0 425.493 -0.243 20 0 IBADRN CCc1ccc(C(=O)N2CCC(O)(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000549712916 1071530925 /nfs/dbraw/zinc/53/09/25/1071530925.db2.gz ITSIUCWNADVRBU-UHFFFAOYSA-N 0 0 425.507 -0.278 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(=O)[nH]c(N(C)C)n2)CCN1C(=O)c1cc(=O)[nH]c(N(C)C)n1 ZINC000549713124 1071531029 /nfs/dbraw/zinc/53/10/29/1071531029.db2.gz DLLQVCSPMRAIEI-NSHDSACASA-N 0 0 430.469 -0.204 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000549713164 1071530988 /nfs/dbraw/zinc/53/09/88/1071530988.db2.gz MSEULHCIJBQJNK-UHFFFAOYSA-N 0 0 436.531 -0.165 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(F)c(C(=O)OC)c(F)c1 ZINC000549713933 1071530723 /nfs/dbraw/zinc/53/07/23/1071530723.db2.gz YRRSDGQOYDSHRZ-GFCCVEGCSA-N 0 0 426.376 -0.457 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(F)c(C(=O)OC)c(F)c1 ZINC000549713934 1071530871 /nfs/dbraw/zinc/53/08/71/1071530871.db2.gz YRRSDGQOYDSHRZ-LBPRGKRZSA-N 0 0 426.376 -0.457 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(C(F)(F)F)c2)CC1 ZINC000549714641 1071530836 /nfs/dbraw/zinc/53/08/36/1071530836.db2.gz SQTBFJJWFSMNGS-UHFFFAOYSA-N 0 0 444.457 -0.408 20 0 IBADRN NC(=O)c1ncn(C2CCN(C(=O)CNC(=O)c3cnc4ccccc4c3O)CC2)c1N ZINC000549715776 1071532216 /nfs/dbraw/zinc/53/22/16/1071532216.db2.gz RQGKOQDDLZTTJU-UHFFFAOYSA-N 0 0 437.460 -0.001 20 0 IBADRN Cc1nc(C(F)(F)F)ccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000549716138 1071532522 /nfs/dbraw/zinc/53/25/22/1071532522.db2.gz NZQJZCZKTHFROA-UHFFFAOYSA-N 0 0 426.359 -0.295 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)CC1=O ZINC000549718402 1071530960 /nfs/dbraw/zinc/53/09/60/1071530960.db2.gz KZHBFPUYOVIYTR-CYBMUJFWSA-N 0 0 442.494 -0.787 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)CC1=O ZINC000549718403 1071530975 /nfs/dbraw/zinc/53/09/75/1071530975.db2.gz KZHBFPUYOVIYTR-ZDUSSCGKSA-N 0 0 442.494 -0.787 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H](C)n3cncn3)cc2)CC1=O ZINC000549719748 1071531600 /nfs/dbraw/zinc/53/16/00/1071531600.db2.gz LNQSYRRQCVJMHN-CYBMUJFWSA-N 0 0 429.437 -0.309 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H](C)n3cncn3)cc2)CC1=O ZINC000549719749 1071531735 /nfs/dbraw/zinc/53/17/35/1071531735.db2.gz LNQSYRRQCVJMHN-ZDUSSCGKSA-N 0 0 429.437 -0.309 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(C(F)(F)F)n1 ZINC000549721274 1071531431 /nfs/dbraw/zinc/53/14/31/1071531431.db2.gz SDIASNNOJNWIEM-UHFFFAOYSA-N 0 0 437.400 -0.579 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)ccc2C)CC1 ZINC000549721502 1071531416 /nfs/dbraw/zinc/53/14/16/1071531416.db2.gz IIEUDUMOQROXJT-UHFFFAOYSA-N 0 0 448.567 -0.422 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2c(C(=O)OC)cc(OC)c(OC)c2OC)CC1=O ZINC000549721832 1071532262 /nfs/dbraw/zinc/53/22/62/1071532262.db2.gz JJJOBEHXWQORHJ-UHFFFAOYSA-N 0 0 439.421 -0.288 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)CC1=O ZINC000549722011 1071531664 /nfs/dbraw/zinc/53/16/64/1071531664.db2.gz LIWYPBCIJFEAAC-HNNXBMFYSA-N 0 0 439.490 -0.148 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)CC1=O ZINC000549722012 1071531541 /nfs/dbraw/zinc/53/15/41/1071531541.db2.gz LIWYPBCIJFEAAC-OAHLLOKOSA-N 0 0 439.490 -0.148 20 0 IBADRN O=C(CN1C(=O)COc2cc(Br)ccc21)N[C@@H](CO)CN1CCOCC1 ZINC000549726489 1071533184 /nfs/dbraw/zinc/53/31/84/1071533184.db2.gz OPGFSMFXDYZTOR-CYBMUJFWSA-N 0 0 428.283 -0.016 20 0 IBADRN O=C(CN1C(=O)COc2cc(Br)ccc21)N[C@H](CO)CN1CCOCC1 ZINC000549726492 1071533192 /nfs/dbraw/zinc/53/31/92/1071533192.db2.gz OPGFSMFXDYZTOR-ZDUSSCGKSA-N 0 0 428.283 -0.016 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000549728490 1071532198 /nfs/dbraw/zinc/53/21/98/1071532198.db2.gz AMXCCTKEWPVNLY-UHFFFAOYSA-N 0 0 439.416 -0.869 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000549728933 1071532315 /nfs/dbraw/zinc/53/23/15/1071532315.db2.gz HALXITANUFVSMO-UHFFFAOYSA-N 0 0 425.433 -0.396 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000549729834 1071533163 /nfs/dbraw/zinc/53/31/63/1071533163.db2.gz RMDBLXSPORQEGK-HUUCEWRRSA-N 0 0 443.522 -0.199 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000549729835 1071532989 /nfs/dbraw/zinc/53/29/89/1071532989.db2.gz RMDBLXSPORQEGK-LSDHHAIUSA-N 0 0 443.522 -0.199 20 0 IBADRN Cn1nccc1[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000549730446 1071532237 /nfs/dbraw/zinc/53/22/37/1071532237.db2.gz FKHWTJMBAMXEMV-HNNXBMFYSA-N 0 0 429.481 -0.180 20 0 IBADRN Cn1nccc1[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000549730449 1071532541 /nfs/dbraw/zinc/53/25/41/1071532541.db2.gz FKHWTJMBAMXEMV-OAHLLOKOSA-N 0 0 429.481 -0.180 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1 ZINC000549731219 1071532939 /nfs/dbraw/zinc/53/29/39/1071532939.db2.gz PXSGMPGRVCHMNE-UHFFFAOYSA-N 0 0 437.482 -0.588 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)c2ccc(CNS(C)(=O)=O)o2)C[C@H]1O ZINC000549731579 1071533021 /nfs/dbraw/zinc/53/30/21/1071533021.db2.gz UVWZEEWSOFONBD-UONOGXRCSA-N 0 0 431.511 -0.212 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)c2ccc(CNS(C)(=O)=O)o2)C[C@H]1O ZINC000549731580 1071532970 /nfs/dbraw/zinc/53/29/70/1071532970.db2.gz UVWZEEWSOFONBD-ZIAGYGMSSA-N 0 0 431.511 -0.212 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc3ccccc3c2)CC1 ZINC000549738118 1071533823 /nfs/dbraw/zinc/53/38/23/1071533823.db2.gz LBKPRJGGTLOMMO-UHFFFAOYSA-N 0 0 426.520 -0.274 20 0 IBADRN NC(=O)COCCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1Cl ZINC000549738159 1071533541 /nfs/dbraw/zinc/53/35/41/1071533541.db2.gz MKAVBDCIJWWJQW-UHFFFAOYSA-N 0 0 428.692 -0.001 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCC(N3CCc4cc(F)ccc43)CC2)n1 ZINC000549739652 1073305265 /nfs/dbraw/zinc/30/52/65/1073305265.db2.gz FPESGTDOYMEIHG-UHFFFAOYSA-N 0 0 443.483 0.498 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000549740117 1071533774 /nfs/dbraw/zinc/53/37/74/1071533774.db2.gz AMVQDRIGPYYOLN-GASCZTMLSA-N 0 0 439.490 -0.419 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@@H]4COC[C@H](C3)O4)c2)CC1 ZINC000549740538 1071533560 /nfs/dbraw/zinc/53/35/60/1071533560.db2.gz RXTYRRGUJUSXJL-IYBDPMFKSA-N 0 0 438.506 -0.813 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1S(=O)(=O)N(C)C ZINC000549741370 1071534355 /nfs/dbraw/zinc/53/43/55/1071534355.db2.gz MLYJANMUDBGQEK-OKILXGFUSA-N 0 0 427.479 -0.100 20 0 IBADRN O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000549741568 1071533663 /nfs/dbraw/zinc/53/36/63/1071533663.db2.gz OWYDFPWKFRQOAH-CYBMUJFWSA-N 0 0 429.520 -0.040 20 0 IBADRN O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000549741570 1071533853 /nfs/dbraw/zinc/53/38/53/1071533853.db2.gz OWYDFPWKFRQOAH-ZDUSSCGKSA-N 0 0 429.520 -0.040 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(CCNS(C)(=O)=O)C2CC2)cc1OC ZINC000549742249 1071535102 /nfs/dbraw/zinc/53/51/02/1071535102.db2.gz AEAUMPWCNNMABP-UHFFFAOYSA-N 0 0 449.551 -0.088 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000549744077 1071534382 /nfs/dbraw/zinc/53/43/82/1071534382.db2.gz RBEJAQAVQSVUGU-UHFFFAOYSA-N 0 0 442.922 -0.211 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@H](CO)CN2CCOCC2)cc1OC ZINC000549744588 1071534445 /nfs/dbraw/zinc/53/44/45/1071534445.db2.gz LZQQNSHBYLGHQN-AWEZNQCLSA-N 0 0 431.511 -0.819 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@@H](CO)CN2CCOCC2)cc1OC ZINC000549744589 1071534320 /nfs/dbraw/zinc/53/43/20/1071534320.db2.gz LZQQNSHBYLGHQN-CQSZACIVSA-N 0 0 431.511 -0.819 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)n1 ZINC000549753808 1073303951 /nfs/dbraw/zinc/30/39/51/1073303951.db2.gz WNUHCBXUDGWLSF-HNNXBMFYSA-N 0 0 442.476 -0.294 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)n1 ZINC000549753814 1073303925 /nfs/dbraw/zinc/30/39/25/1073303925.db2.gz WNUHCBXUDGWLSF-OAHLLOKOSA-N 0 0 442.476 -0.294 20 0 IBADRN Cn1nnc2c1nccc2C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000549756300 1071535707 /nfs/dbraw/zinc/53/57/07/1071535707.db2.gz JEJNNNNLFRRFGE-AWEZNQCLSA-N 0 0 437.508 -0.060 20 0 IBADRN Cn1nnc2c1nccc2C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000549756301 1071535619 /nfs/dbraw/zinc/53/56/19/1071535619.db2.gz JEJNNNNLFRRFGE-CQSZACIVSA-N 0 0 437.508 -0.060 20 0 IBADRN CN(C)c1nc(C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)cc(=O)[nH]1 ZINC000549756370 1071535761 /nfs/dbraw/zinc/53/57/61/1071535761.db2.gz LRYLSUUHLXBODD-AWEZNQCLSA-N 0 0 442.524 -0.175 20 0 IBADRN CN(C)c1nc(C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)cc(=O)[nH]1 ZINC000549756371 1071535855 /nfs/dbraw/zinc/53/58/55/1071535855.db2.gz LRYLSUUHLXBODD-CQSZACIVSA-N 0 0 442.524 -0.175 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2Cl)CC1 ZINC000549758943 1071536272 /nfs/dbraw/zinc/53/62/72/1071536272.db2.gz GNFZQFRNPPVTPH-UHFFFAOYSA-N 0 0 445.350 -0.120 20 0 IBADRN O=C(Cn1ccc(=NC(=O)C(=O)N=c2ccn(Cc3ccccc3)[nH]2)[nH]1)N1CCOCC1 ZINC000549759545 1071536515 /nfs/dbraw/zinc/53/65/15/1071536515.db2.gz XPPOHYKASGBWJW-UHFFFAOYSA-N 0 0 437.460 -0.592 20 0 IBADRN CCOCCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000549762146 1071535905 /nfs/dbraw/zinc/53/59/05/1071535905.db2.gz MMLDOIZQNPAJPJ-AWEZNQCLSA-N 0 0 442.480 -0.501 20 0 IBADRN CCOCCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000549762150 1071535542 /nfs/dbraw/zinc/53/55/42/1071535542.db2.gz MMLDOIZQNPAJPJ-CQSZACIVSA-N 0 0 442.480 -0.501 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@H]2CC(=O)N(C)C2=O)CC1 ZINC000549763607 1071536450 /nfs/dbraw/zinc/53/64/50/1071536450.db2.gz NVOXWHYYMKZCCF-AWEZNQCLSA-N 0 0 437.474 -0.253 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@@H]2CC(=O)N(C)C2=O)CC1 ZINC000549763608 1071536542 /nfs/dbraw/zinc/53/65/42/1071536542.db2.gz NVOXWHYYMKZCCF-CQSZACIVSA-N 0 0 437.474 -0.253 20 0 IBADRN CCOCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000549765411 1071536313 /nfs/dbraw/zinc/53/63/13/1071536313.db2.gz JATHWDIJOHEWRU-UHFFFAOYSA-N 0 0 447.540 -0.132 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cccc(Cn3nc4ccccn4c3=O)c2)CC1=O ZINC000549765523 1071536503 /nfs/dbraw/zinc/53/65/03/1071536503.db2.gz ONBIWPYBFYWGCX-UHFFFAOYSA-N 0 0 438.444 -0.243 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CN3C(=O)[C@@H]4CC=CC[C@@H]4C3=O)CC2)cc1 ZINC000549768425 1071536366 /nfs/dbraw/zinc/53/63/66/1071536366.db2.gz VFSRHTGAOZWQBK-CALCHBBNSA-N 0 0 432.502 -0.066 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)ccc1F ZINC000549773149 1073341721 /nfs/dbraw/zinc/34/17/21/1073341721.db2.gz XONSVQMMAPBPMA-UHFFFAOYSA-N 0 0 433.444 -0.199 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCCC2)c1 ZINC000549774512 1071537126 /nfs/dbraw/zinc/53/71/26/1071537126.db2.gz VQAPSYYXJHJIGC-CQSZACIVSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)ccc1F ZINC000549774657 1073341620 /nfs/dbraw/zinc/34/16/20/1073341620.db2.gz PELQNCCDYJCQMH-UHFFFAOYSA-N 0 0 432.456 0.406 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccnc3)CC2)C[C@H](C)O1 ZINC000549776114 1071537105 /nfs/dbraw/zinc/53/71/05/1071537105.db2.gz DGDCTWHRMREZNQ-GASCZTMLSA-N 0 0 425.511 -0.804 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccnc3)CC2)C[C@H](C)O1 ZINC000549776116 1071537074 /nfs/dbraw/zinc/53/70/74/1071537074.db2.gz DGDCTWHRMREZNQ-GJZGRUSLSA-N 0 0 425.511 -0.804 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccnc3)CC2)C[C@@H](C)O1 ZINC000549776118 1071537156 /nfs/dbraw/zinc/53/71/56/1071537156.db2.gz DGDCTWHRMREZNQ-HUUCEWRRSA-N 0 0 425.511 -0.804 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCc2cccnc2)CC1 ZINC000549776466 1071536964 /nfs/dbraw/zinc/53/69/64/1071536964.db2.gz SOIGHZPIDBFOBW-UHFFFAOYSA-N 0 0 431.518 -0.050 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000549779448 1071537483 /nfs/dbraw/zinc/53/74/83/1071537483.db2.gz XBWYZXNOVUMKMH-UHFFFAOYSA-N 0 0 438.506 -0.094 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cn1 ZINC000549779659 1071537679 /nfs/dbraw/zinc/53/76/79/1071537679.db2.gz YFYHLMFVCUCSRP-UHFFFAOYSA-N 0 0 430.508 -0.550 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC[C@@H](O)CN3CCOCC3)cc2)CC1 ZINC000549780463 1071538029 /nfs/dbraw/zinc/53/80/29/1071538029.db2.gz UMDUPBNZMOUJTB-KRWDZBQOSA-N 0 0 427.523 -0.524 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC[C@H](O)CN3CCOCC3)cc2)CC1 ZINC000549780464 1071538207 /nfs/dbraw/zinc/53/82/07/1071538207.db2.gz UMDUPBNZMOUJTB-QGZVFWFLSA-N 0 0 427.523 -0.524 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)Cn3cccn3)CC2)cc1 ZINC000549781936 1071538784 /nfs/dbraw/zinc/53/87/84/1071538784.db2.gz JVFZCMHYJDKQIG-HNNXBMFYSA-N 0 0 435.506 -0.071 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)Cn3cccn3)CC2)cc1 ZINC000549781938 1071538724 /nfs/dbraw/zinc/53/87/24/1071538724.db2.gz JVFZCMHYJDKQIG-OAHLLOKOSA-N 0 0 435.506 -0.071 20 0 IBADRN CN(Cc1ccncc1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000549787057 1071539734 /nfs/dbraw/zinc/53/97/34/1071539734.db2.gz WCXOASJRXFIMDR-UHFFFAOYSA-N 0 0 446.533 -0.297 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCN(C(=O)C2CC2)CC1 ZINC000549787352 1071539727 /nfs/dbraw/zinc/53/97/27/1071539727.db2.gz YEHDIWZKIHWANP-UHFFFAOYSA-N 0 0 433.509 -0.172 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000549789550 1071540351 /nfs/dbraw/zinc/54/03/51/1071540351.db2.gz BOORXKAHVSVMJO-OAHLLOKOSA-N 0 0 425.507 -0.126 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCSCC2)CC1 ZINC000549790684 1071540317 /nfs/dbraw/zinc/54/03/17/1071540317.db2.gz AUIVGHUUMRVKNF-UHFFFAOYSA-N 0 0 426.564 -0.186 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000549793062 1071539369 /nfs/dbraw/zinc/53/93/69/1071539369.db2.gz ORADBTHUMDEGEA-BETUJISGSA-N 0 0 427.479 -0.100 20 0 IBADRN C[C@@H](CO)N(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000549795758 1071542344 /nfs/dbraw/zinc/54/23/44/1071542344.db2.gz MVKMBPDXVOOZKN-ZDUSSCGKSA-N 0 0 428.511 -0.449 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N(CCNC(C)=O)C1CCSCC1 ZINC000549796177 1071540943 /nfs/dbraw/zinc/54/09/43/1071540943.db2.gz YSWKQYYOVWEESE-UHFFFAOYSA-N 0 0 425.511 0.396 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1 ZINC000549799007 1071541663 /nfs/dbraw/zinc/54/16/63/1071541663.db2.gz AZWYVQHYUUHZGL-HNNXBMFYSA-N 0 0 427.509 -0.063 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1 ZINC000549799008 1071541697 /nfs/dbraw/zinc/54/16/97/1071541697.db2.gz AZWYVQHYUUHZGL-OAHLLOKOSA-N 0 0 427.509 -0.063 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2c(C)cc(C)[nH]c2=O)CC1 ZINC000549799375 1071541575 /nfs/dbraw/zinc/54/15/75/1071541575.db2.gz GRUUIAWJKYFQRJ-INIZCTEOSA-N 0 0 435.525 -0.294 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2c(C)cc(C)[nH]c2=O)CC1 ZINC000549799383 1071541800 /nfs/dbraw/zinc/54/18/00/1071541800.db2.gz GRUUIAWJKYFQRJ-MRXNPFEDSA-N 0 0 435.525 -0.294 20 0 IBADRN O=C(NCc1nnc2ccccn21)C(=O)N1CCN(C[C@H](O)COc2ccccc2)CC1 ZINC000549800313 1071541790 /nfs/dbraw/zinc/54/17/90/1071541790.db2.gz JADVBEATNUDFPA-KRWDZBQOSA-N 0 0 438.488 -0.070 20 0 IBADRN O=C(NCc1nnc2ccccn21)C(=O)N1CCN(C[C@@H](O)COc2ccccc2)CC1 ZINC000549800315 1071541730 /nfs/dbraw/zinc/54/17/30/1071541730.db2.gz JADVBEATNUDFPA-QGZVFWFLSA-N 0 0 438.488 -0.070 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c(=O)[nH]1 ZINC000549800763 1071541756 /nfs/dbraw/zinc/54/17/56/1071541756.db2.gz YGDHXOXASKTSGV-UHFFFAOYSA-N 0 0 437.478 -0.318 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000549804508 1071542326 /nfs/dbraw/zinc/54/23/26/1071542326.db2.gz HRHJFUGSBJNOFK-SFHVURJKSA-N 0 0 438.550 -0.560 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000549804672 1071542287 /nfs/dbraw/zinc/54/22/87/1071542287.db2.gz JDJQYDUMBMFSDI-NSHDSACASA-N 0 0 438.959 -0.334 20 0 IBADRN Cc1csc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000549808977 1071542360 /nfs/dbraw/zinc/54/23/60/1071542360.db2.gz NLKKHVOZDNHHFR-UHFFFAOYSA-N 0 0 426.524 -0.357 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3ccncc3)CC2)cc1 ZINC000549811174 1071542401 /nfs/dbraw/zinc/54/24/01/1071542401.db2.gz YDKBIEPILZWHLD-UHFFFAOYSA-N 0 0 425.492 -0.311 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000549812754 1071542495 /nfs/dbraw/zinc/54/24/95/1071542495.db2.gz XJLWIIMVNKREFR-INIZCTEOSA-N 0 0 449.537 -0.949 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000549812757 1071542776 /nfs/dbraw/zinc/54/27/76/1071542776.db2.gz XJLWIIMVNKREFR-MRXNPFEDSA-N 0 0 449.537 -0.949 20 0 IBADRN CO[C@@H](C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000549812821 1071542900 /nfs/dbraw/zinc/54/29/00/1071542900.db2.gz YYQLLXSPWREFEY-HNNXBMFYSA-N 0 0 432.477 -0.138 20 0 IBADRN CO[C@H](C)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000549812826 1071542854 /nfs/dbraw/zinc/54/28/54/1071542854.db2.gz YYQLLXSPWREFEY-OAHLLOKOSA-N 0 0 432.477 -0.138 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000549813915 1071542770 /nfs/dbraw/zinc/54/27/70/1071542770.db2.gz UNPRVEKWGOZZBR-AWEZNQCLSA-N 0 0 444.510 -0.913 20 0 IBADRN CC(C)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000549815259 1071542948 /nfs/dbraw/zinc/54/29/48/1071542948.db2.gz KNCHGVFRYFILTJ-UHFFFAOYSA-N 0 0 435.529 -0.420 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cn(C)nc1C(F)(F)F)C2 ZINC000549815543 1071542762 /nfs/dbraw/zinc/54/27/62/1071542762.db2.gz ZVAVRKNRQCYNRY-UHFFFAOYSA-N 0 0 437.404 -0.910 20 0 IBADRN CN1C(=O)CN(C(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1=O ZINC000549820931 1071542829 /nfs/dbraw/zinc/54/28/29/1071542829.db2.gz HCDNCOSYENGFQZ-UHFFFAOYSA-N 0 0 437.474 -0.314 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000549822279 1071542882 /nfs/dbraw/zinc/54/28/82/1071542882.db2.gz QSNKOBXTFRHCLL-FFGOWVMKSA-N 0 0 444.532 -0.300 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000549822282 1071542927 /nfs/dbraw/zinc/54/29/27/1071542927.db2.gz QSNKOBXTFRHCLL-FRYIKTPZSA-N 0 0 444.532 -0.300 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000549822284 1071542891 /nfs/dbraw/zinc/54/28/91/1071542891.db2.gz QSNKOBXTFRHCLL-IJXRJRJASA-N 0 0 444.532 -0.300 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000549822286 1071542845 /nfs/dbraw/zinc/54/28/45/1071542845.db2.gz QSNKOBXTFRHCLL-LEAZDLGRSA-N 0 0 444.532 -0.300 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCC(O)(C(N)=O)CC3)c2)C[C@H](C)O1 ZINC000549822774 1071543425 /nfs/dbraw/zinc/54/34/25/1071543425.db2.gz ZZQRCYXBCXTOAB-KBPBESRZSA-N 0 0 425.507 -0.063 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCC(O)(C(N)=O)CC3)c2)C[C@H](C)O1 ZINC000549822777 1071543649 /nfs/dbraw/zinc/54/36/49/1071543649.db2.gz ZZQRCYXBCXTOAB-OKILXGFUSA-N 0 0 425.507 -0.063 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCC(O)(C(N)=O)CC3)c2)C[C@@H](C)O1 ZINC000549822779 1071543601 /nfs/dbraw/zinc/54/36/01/1071543601.db2.gz ZZQRCYXBCXTOAB-ZIAGYGMSSA-N 0 0 425.507 -0.063 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1=O ZINC000549824788 1071543451 /nfs/dbraw/zinc/54/34/51/1071543451.db2.gz QAYZHWKSYRDQAW-AWEZNQCLSA-N 0 0 444.448 -0.605 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1=O ZINC000549824799 1071543574 /nfs/dbraw/zinc/54/35/74/1071543574.db2.gz QAYZHWKSYRDQAW-CQSZACIVSA-N 0 0 444.448 -0.605 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)C1=O ZINC000549826173 1071544212 /nfs/dbraw/zinc/54/42/12/1071544212.db2.gz SKHSJACMTNWALM-INIZCTEOSA-N 0 0 430.509 -0.015 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)C1=O ZINC000549826174 1071544121 /nfs/dbraw/zinc/54/41/21/1071544121.db2.gz SKHSJACMTNWALM-MRXNPFEDSA-N 0 0 430.509 -0.015 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(C(=O)N2CCN(S(=O)(=O)NC)CC2)c1 ZINC000549826905 1071543701 /nfs/dbraw/zinc/54/37/01/1071543701.db2.gz USDHWAVADJVAJT-UHFFFAOYSA-N 0 0 448.567 -0.052 20 0 IBADRN O=C(CN1CCC[C@@H](n2cc(CO)nn2)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000549832969 1071544297 /nfs/dbraw/zinc/54/42/97/1071544297.db2.gz SSPWLCBUXPWMSV-GOSISDBHSA-N 0 0 448.549 -0.060 20 0 IBADRN O=C(CN1CCC[C@H](n2cc(CO)nn2)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000549832970 1071544254 /nfs/dbraw/zinc/54/42/54/1071544254.db2.gz SSPWLCBUXPWMSV-SFHVURJKSA-N 0 0 448.549 -0.060 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)NCc1ccc2c(c1)OCO2 ZINC000549833422 1071544099 /nfs/dbraw/zinc/54/40/99/1071544099.db2.gz KQBPXCHZNJQCMC-UHFFFAOYSA-N 0 0 438.440 -0.080 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3ccc4c(c3)OCO4)CC2)no1 ZINC000549834221 1073329453 /nfs/dbraw/zinc/32/94/53/1073329453.db2.gz RKECNKQEQQXJIZ-UHFFFAOYSA-N 0 0 429.433 0.111 20 0 IBADRN CC(C)Cn1c(C(=O)NC(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000549834505 1071544040 /nfs/dbraw/zinc/54/40/40/1071544040.db2.gz HUDMWXXRPXFEPZ-UHFFFAOYSA-N 0 0 433.517 -0.461 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC(=O)N(CCOC)C2)cc1 ZINC000549836690 1071544132 /nfs/dbraw/zinc/54/41/32/1071544132.db2.gz PDQKGVWGHYBYTO-UHFFFAOYSA-N 0 0 440.522 -0.278 20 0 IBADRN CC(C)Cn1c([C@@H]2COCCO2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000549840822 1071545638 /nfs/dbraw/zinc/54/56/38/1071545638.db2.gz FHKQHLQPIIGVDQ-INIZCTEOSA-N 0 0 436.513 -0.471 20 0 IBADRN CC(C)Cn1c([C@H]2COCCO2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000549841020 1071545364 /nfs/dbraw/zinc/54/53/64/1071545364.db2.gz FHKQHLQPIIGVDQ-MRXNPFEDSA-N 0 0 436.513 -0.471 20 0 IBADRN CC(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000549841389 1071545039 /nfs/dbraw/zinc/54/50/39/1071545039.db2.gz CIDCJCVGRDLXPX-AWEZNQCLSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000549841390 1071545083 /nfs/dbraw/zinc/54/50/83/1071545083.db2.gz CIDCJCVGRDLXPX-CQSZACIVSA-N 0 0 443.574 -0.283 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000549845734 1071545476 /nfs/dbraw/zinc/54/54/76/1071545476.db2.gz SKJLQQIBTRCJEX-HNNXBMFYSA-N 0 0 435.506 -0.878 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000549845735 1071545407 /nfs/dbraw/zinc/54/54/07/1071545407.db2.gz SKJLQQIBTRCJEX-OAHLLOKOSA-N 0 0 435.506 -0.878 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC(C2N=NC(=O)O2)CC1 ZINC000549845933 1071546459 /nfs/dbraw/zinc/54/64/59/1071546459.db2.gz CNEUGCVCDUMSOJ-UHFFFAOYSA-N 0 0 435.462 -0.085 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000549846122 1071546297 /nfs/dbraw/zinc/54/62/97/1071546297.db2.gz DGJATAAECNYRAU-DLBZAZTESA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000549846127 1071546353 /nfs/dbraw/zinc/54/63/53/1071546353.db2.gz DGJATAAECNYRAU-IAGOWNOFSA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000549846128 1071546312 /nfs/dbraw/zinc/54/63/12/1071546312.db2.gz DGJATAAECNYRAU-IRXDYDNUSA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000549846129 1071546161 /nfs/dbraw/zinc/54/61/61/1071546161.db2.gz DGJATAAECNYRAU-SJORKVTESA-N 0 0 427.523 -0.433 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)CN3CCOCC3)c2)C[C@@H](C)O1 ZINC000549846261 1071546253 /nfs/dbraw/zinc/54/62/53/1071546253.db2.gz XKKJEVHTOBIKAB-JFIYKMOQSA-N 0 0 441.550 -0.093 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)CN3CCOCC3)c2)C[C@H](C)O1 ZINC000549846262 1071546270 /nfs/dbraw/zinc/54/62/70/1071546270.db2.gz XKKJEVHTOBIKAB-JZXOWHBKSA-N 0 0 441.550 -0.093 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)CN3CCOCC3)c2)C[C@@H](C)O1 ZINC000549846263 1071546439 /nfs/dbraw/zinc/54/64/39/1071546439.db2.gz XKKJEVHTOBIKAB-NUJGCVRESA-N 0 0 441.550 -0.093 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)CN3CCOCC3)c2)C[C@H](C)O1 ZINC000549846264 1071546450 /nfs/dbraw/zinc/54/64/50/1071546450.db2.gz XKKJEVHTOBIKAB-SOLBZPMBSA-N 0 0 441.550 -0.093 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(=O)(=O)NC)CC2)cc1 ZINC000549846524 1071546341 /nfs/dbraw/zinc/54/63/41/1071546341.db2.gz IYEUUQOIFRRRRJ-UHFFFAOYSA-N 0 0 434.540 -0.638 20 0 IBADRN COc1cc(C(=O)NCC(=O)N(CCNS(C)(=O)=O)C2CC2)cc(OC)c1OC ZINC000549847371 1071546426 /nfs/dbraw/zinc/54/64/26/1071546426.db2.gz PPTWZFPXKGXRBN-UHFFFAOYSA-N 0 0 429.495 -0.018 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000549852175 1071547379 /nfs/dbraw/zinc/54/73/79/1071547379.db2.gz KUSFCMFXUXXWLV-GOSISDBHSA-N 0 0 428.493 -0.011 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000549852178 1071547547 /nfs/dbraw/zinc/54/75/47/1071547547.db2.gz KUSFCMFXUXXWLV-SFHVURJKSA-N 0 0 428.493 -0.011 20 0 IBADRN COC[C@@H](C(N)=O)N(C)S(=O)(=O)c1ccc(S(C)(=O)=O)cc1Br ZINC000549854559 1071547426 /nfs/dbraw/zinc/54/74/26/1071547426.db2.gz OAQHBRXSWYJBNZ-JTQLQIEISA-N 0 0 429.314 -0.027 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NC[C@@H]4CCCO4)CC3)C2=O)n(C)n1 ZINC000549861063 1071548166 /nfs/dbraw/zinc/54/81/66/1071548166.db2.gz OUABKIAQQJCXCT-DLBZAZTESA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NC[C@H]4CCCO4)CC3)C2=O)n(C)n1 ZINC000549861068 1071548067 /nfs/dbraw/zinc/54/80/67/1071548067.db2.gz OUABKIAQQJCXCT-IAGOWNOFSA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NC[C@@H]4CCCO4)CC3)C2=O)n(C)n1 ZINC000549861069 1071548248 /nfs/dbraw/zinc/54/82/48/1071548248.db2.gz OUABKIAQQJCXCT-IRXDYDNUSA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NC[C@H]4CCCO4)CC3)C2=O)n(C)n1 ZINC000549861070 1071548029 /nfs/dbraw/zinc/54/80/29/1071548029.db2.gz OUABKIAQQJCXCT-SJORKVTESA-N 0 0 432.525 -0.337 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000549862807 1071548241 /nfs/dbraw/zinc/54/82/41/1071548241.db2.gz BSZDPEYJTDXMFW-CHWSQXEVSA-N 0 0 426.495 -0.094 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000549862808 1071548234 /nfs/dbraw/zinc/54/82/34/1071548234.db2.gz BSZDPEYJTDXMFW-OLZOCXBDSA-N 0 0 426.495 -0.094 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000549862809 1071548265 /nfs/dbraw/zinc/54/82/65/1071548265.db2.gz BSZDPEYJTDXMFW-QWHCGFSZSA-N 0 0 426.495 -0.094 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000549862810 1071548221 /nfs/dbraw/zinc/54/82/21/1071548221.db2.gz BSZDPEYJTDXMFW-STQMWFEESA-N 0 0 426.495 -0.094 20 0 IBADRN O=C(NCCc1ccc(Cl)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000549863161 1071548079 /nfs/dbraw/zinc/54/80/79/1071548079.db2.gz UBFXRZCVCXUZNI-UHFFFAOYSA-N 0 0 436.896 -0.472 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc([C@@H]3COCCO3)n2Cc2cccs2)CC1 ZINC000549863342 1071548209 /nfs/dbraw/zinc/54/82/09/1071548209.db2.gz BFFUMKMKYWQMBR-HNNXBMFYSA-N 0 0 445.509 -0.189 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc([C@H]3COCCO3)n2Cc2cccs2)CC1 ZINC000549863343 1071548258 /nfs/dbraw/zinc/54/82/58/1071548258.db2.gz BFFUMKMKYWQMBR-OAHLLOKOSA-N 0 0 445.509 -0.189 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccc(F)cc3)CC2)CC1 ZINC000549864183 1071549434 /nfs/dbraw/zinc/54/94/34/1071549434.db2.gz ZMBLEXMDIZNZRL-UHFFFAOYSA-N 0 0 441.529 -0.879 20 0 IBADRN O=C(NC[C@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000549864852 1071549909 /nfs/dbraw/zinc/54/99/09/1071549909.db2.gz GESWMLOVJSJMKZ-GFCCVEGCSA-N 0 0 439.519 -0.181 20 0 IBADRN O=C(NC[C@@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000549864853 1071549974 /nfs/dbraw/zinc/54/99/74/1071549974.db2.gz GESWMLOVJSJMKZ-LBPRGKRZSA-N 0 0 439.519 -0.181 20 0 IBADRN CCOCCCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000549865118 1071550483 /nfs/dbraw/zinc/55/04/83/1071550483.db2.gz SETVLHWNIJITKR-UHFFFAOYSA-N 0 0 426.539 -0.218 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000549867787 1071554648 /nfs/dbraw/zinc/55/46/48/1071554648.db2.gz GOTBPYZSIALWON-UHFFFAOYSA-N 0 0 436.542 -0.975 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCN1CCOCC1)N1CCN(c2ccccc2)CC1 ZINC000549869659 1071556381 /nfs/dbraw/zinc/55/63/81/1071556381.db2.gz ICQUCGOTJHCMCK-UHFFFAOYSA-N 0 0 431.537 -0.320 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000549869916 1071559049 /nfs/dbraw/zinc/55/90/49/1071559049.db2.gz NVOMYHHVEJDKTC-UHFFFAOYSA-N 0 0 448.567 -0.102 20 0 IBADRN COCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000549871292 1071559760 /nfs/dbraw/zinc/55/97/60/1071559760.db2.gz JDSPSLGQHWCCEJ-UHFFFAOYSA-N 0 0 445.494 -0.331 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(-c3ccc[nH]3)n1C[C@@H]1CCCO1)C2 ZINC000549872364 1071561322 /nfs/dbraw/zinc/56/13/22/1071561322.db2.gz MUUOVMIKUGAHJH-AWEZNQCLSA-N 0 0 441.496 -0.081 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(-c3ccc[nH]3)n1C[C@H]1CCCO1)C2 ZINC000549872368 1071561264 /nfs/dbraw/zinc/56/12/64/1071561264.db2.gz MUUOVMIKUGAHJH-CQSZACIVSA-N 0 0 441.496 -0.081 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCCCN3CCOCC3)C2)no1 ZINC000549876293 1071562502 /nfs/dbraw/zinc/56/25/02/1071562502.db2.gz CQEUHDBOEGSEMC-INIZCTEOSA-N 0 0 436.513 -0.580 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCCCN3CCOCC3)C2)no1 ZINC000549876294 1071562421 /nfs/dbraw/zinc/56/24/21/1071562421.db2.gz CQEUHDBOEGSEMC-MRXNPFEDSA-N 0 0 436.513 -0.580 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000549876547 1071562452 /nfs/dbraw/zinc/56/24/52/1071562452.db2.gz NERSUVWIUPRTSA-UHFFFAOYSA-N 0 0 432.521 -0.060 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(C(=O)C(=O)NCCCN2CCOCC2)CC1 ZINC000549876609 1071563091 /nfs/dbraw/zinc/56/30/91/1071563091.db2.gz OXBQVZRFDUSZRT-UHFFFAOYSA-N 0 0 436.484 -0.286 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000549878171 1071562965 /nfs/dbraw/zinc/56/29/65/1071562965.db2.gz YFTLQWJHRXLOED-UHFFFAOYSA-N 0 0 436.534 -0.572 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C(=O)NCCCN2CCOCC2)CC1)C1CCCCC1 ZINC000549880404 1071565618 /nfs/dbraw/zinc/56/56/18/1071565618.db2.gz WYJRGYPKGJISMO-UHFFFAOYSA-N 0 0 437.585 -0.240 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000549882240 1071570516 /nfs/dbraw/zinc/57/05/16/1071570516.db2.gz KAGQZWMWBPPRRC-UHFFFAOYSA-N 0 0 438.550 -0.252 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)CC1 ZINC000549883129 1071571481 /nfs/dbraw/zinc/57/14/81/1071571481.db2.gz MWSSMHQYRVBDJW-UHFFFAOYSA-N 0 0 437.585 -0.336 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000549883365 1071571647 /nfs/dbraw/zinc/57/16/47/1071571647.db2.gz WCIYIMWIZZVQQS-FQEVSTJZSA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000549883366 1071571529 /nfs/dbraw/zinc/57/15/29/1071571529.db2.gz WCIYIMWIZZVQQS-HXUWFJFHSA-N 0 0 443.548 -0.225 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCCO2)CC1 ZINC000549888128 1071575882 /nfs/dbraw/zinc/57/58/82/1071575882.db2.gz JRMIUOGXUPFYRW-KRWDZBQOSA-N 0 0 449.556 -0.422 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCCO2)CC1 ZINC000549888130 1071576355 /nfs/dbraw/zinc/57/63/55/1071576355.db2.gz JRMIUOGXUPFYRW-QGZVFWFLSA-N 0 0 449.556 -0.422 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)nc2ccccc12 ZINC000549889239 1071576969 /nfs/dbraw/zinc/57/69/69/1071576969.db2.gz VFHQDNRUZYFSMZ-UHFFFAOYSA-N 0 0 439.520 -0.015 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCO2)CC1)N1CCOCC1 ZINC000549890247 1071580074 /nfs/dbraw/zinc/58/00/74/1071580074.db2.gz SQTZGGFIHUIBDI-DLBZAZTESA-N 0 0 448.524 -0.532 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCO2)CC1)N1CCOCC1 ZINC000549890248 1071580052 /nfs/dbraw/zinc/58/00/52/1071580052.db2.gz SQTZGGFIHUIBDI-IAGOWNOFSA-N 0 0 448.524 -0.532 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCCO2)CC1)N1CCOCC1 ZINC000549890249 1071580121 /nfs/dbraw/zinc/58/01/21/1071580121.db2.gz SQTZGGFIHUIBDI-IRXDYDNUSA-N 0 0 448.524 -0.532 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCCO2)CC1)N1CCOCC1 ZINC000549890250 1071580013 /nfs/dbraw/zinc/58/00/13/1071580013.db2.gz SQTZGGFIHUIBDI-SJORKVTESA-N 0 0 448.524 -0.532 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000549892951 1071587756 /nfs/dbraw/zinc/58/77/56/1071587756.db2.gz AEVJJCZLKFMFHM-UHFFFAOYSA-N 0 0 445.505 -0.201 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCCO2)CC1 ZINC000549894006 1071590165 /nfs/dbraw/zinc/59/01/65/1071590165.db2.gz MBYNXSLHYWBYHF-KRWDZBQOSA-N 0 0 432.529 -0.258 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCCO2)CC1 ZINC000549894007 1071590184 /nfs/dbraw/zinc/59/01/84/1071590184.db2.gz MBYNXSLHYWBYHF-QGZVFWFLSA-N 0 0 432.529 -0.258 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCCN2CCCC2=O)CC1 ZINC000549895283 1071590573 /nfs/dbraw/zinc/59/05/73/1071590573.db2.gz YBELLSUDRUDANJ-UHFFFAOYSA-N 0 0 432.543 -0.986 20 0 IBADRN O=C(CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCCO2)CC1)N1CCOCC1 ZINC000549899396 1071590619 /nfs/dbraw/zinc/59/06/19/1071590619.db2.gz YCMRZMKSIAANOU-MSOLQXFVSA-N 0 0 434.541 -0.058 20 0 IBADRN O=C(CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCO2)CC1)N1CCOCC1 ZINC000549899399 1071590766 /nfs/dbraw/zinc/59/07/66/1071590766.db2.gz YCMRZMKSIAANOU-QZTJIDSGSA-N 0 0 434.541 -0.058 20 0 IBADRN O=C(CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCCO2)CC1)N1CCOCC1 ZINC000549899400 1071590711 /nfs/dbraw/zinc/59/07/11/1071590711.db2.gz YCMRZMKSIAANOU-ROUUACIJSA-N 0 0 434.541 -0.058 20 0 IBADRN O=C(CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCO2)CC1)N1CCOCC1 ZINC000549899401 1071590535 /nfs/dbraw/zinc/59/05/35/1071590535.db2.gz YCMRZMKSIAANOU-ZWKOTPCHSA-N 0 0 434.541 -0.058 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000549908682 1071595453 /nfs/dbraw/zinc/59/54/53/1071595453.db2.gz VQZKZUFWAJXSPW-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000549912590 1071599117 /nfs/dbraw/zinc/59/91/17/1071599117.db2.gz UOYXEXBFAJPVFA-UHFFFAOYSA-N 0 0 425.916 -0.094 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CC1 ZINC000549912652 1071598890 /nfs/dbraw/zinc/59/88/90/1071598890.db2.gz XZYUQNSYRWSATM-UHFFFAOYSA-N 0 0 429.517 -0.101 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN(CCO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000549915289 1071599651 /nfs/dbraw/zinc/59/96/51/1071599651.db2.gz FMQYDVARPNOWIN-UHFFFAOYSA-N 0 0 446.508 -0.591 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000549915410 1071599591 /nfs/dbraw/zinc/59/95/91/1071599591.db2.gz OPFXKNFYSKNBQP-UHFFFAOYSA-N 0 0 425.554 -0.692 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(S(=O)(=O)NC)CC2)cc1C ZINC000549915629 1071599128 /nfs/dbraw/zinc/59/91/28/1071599128.db2.gz SWAFOUIXGXWNRR-UHFFFAOYSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000549915640 1071599641 /nfs/dbraw/zinc/59/96/41/1071599641.db2.gz TZJKHBRQQXFKGM-UHFFFAOYSA-N 0 0 437.565 -0.071 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@H](CS(C)(=O)=O)C2)c1 ZINC000549915873 1071599441 /nfs/dbraw/zinc/59/94/41/1071599441.db2.gz WUNJUOONPBPPHI-AWEZNQCLSA-N 0 0 445.563 -0.050 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@@H](CS(C)(=O)=O)C2)c1 ZINC000549915880 1071599516 /nfs/dbraw/zinc/59/95/16/1071599516.db2.gz WUNJUOONPBPPHI-CQSZACIVSA-N 0 0 445.563 -0.050 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000549916613 1071599553 /nfs/dbraw/zinc/59/95/53/1071599553.db2.gz ULWUHMSRBFOJHX-UHFFFAOYSA-N 0 0 434.492 -0.038 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000549917123 1071599663 /nfs/dbraw/zinc/59/96/63/1071599663.db2.gz FYQRQGORUVTVFB-INIZCTEOSA-N 0 0 428.555 -0.032 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000549917124 1071599490 /nfs/dbraw/zinc/59/94/90/1071599490.db2.gz FYQRQGORUVTVFB-MRXNPFEDSA-N 0 0 428.555 -0.032 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000549917437 1071599540 /nfs/dbraw/zinc/59/95/40/1071599540.db2.gz MQAAAHWGIJCUQZ-UHFFFAOYSA-N 0 0 436.534 -0.211 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000549917604 1071599450 /nfs/dbraw/zinc/59/94/50/1071599450.db2.gz QVWHSXNUDZCKNF-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1OC ZINC000549917763 1071599620 /nfs/dbraw/zinc/59/96/20/1071599620.db2.gz WRYWAEBZNRXGBY-UHFFFAOYSA-N 0 0 445.476 0.399 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(Cl)cc2Cl)CC1 ZINC000549918472 1071599523 /nfs/dbraw/zinc/59/95/23/1071599523.db2.gz HNKCFWNXRFIXAT-UHFFFAOYSA-N 0 0 426.264 -0.033 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000549920317 1071600038 /nfs/dbraw/zinc/60/00/38/1071600038.db2.gz LMKJKNOYQMMWJH-OALUTQOASA-N 0 0 441.532 -0.170 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000549920318 1071599940 /nfs/dbraw/zinc/59/99/40/1071599940.db2.gz LMKJKNOYQMMWJH-RBUKOAKNSA-N 0 0 441.532 -0.170 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCN2CCCC2=O)CC1 ZINC000549920372 1071599998 /nfs/dbraw/zinc/59/99/98/1071599998.db2.gz NBVMVTGDNVJJAI-UHFFFAOYSA-N 0 0 445.586 -0.374 20 0 IBADRN COc1ccccc1CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000549923960 1071600466 /nfs/dbraw/zinc/60/04/66/1071600466.db2.gz VEJWRNLXUACSTP-INIZCTEOSA-N 0 0 425.507 -0.036 20 0 IBADRN COc1ccccc1CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000549923961 1071600540 /nfs/dbraw/zinc/60/05/40/1071600540.db2.gz VEJWRNLXUACSTP-MRXNPFEDSA-N 0 0 425.507 -0.036 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1OC ZINC000549926950 1071601035 /nfs/dbraw/zinc/60/10/35/1071601035.db2.gz GTXUEPVLSCMRFL-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN CCCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000549927744 1071601190 /nfs/dbraw/zinc/60/11/90/1071601190.db2.gz QCRHEQXDQRPEFY-CALCHBBNSA-N 0 0 448.586 -0.192 20 0 IBADRN CCCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000549927745 1071601169 /nfs/dbraw/zinc/60/11/69/1071601169.db2.gz QCRHEQXDQRPEFY-IAGOWNOFSA-N 0 0 448.586 -0.192 20 0 IBADRN CCCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000549927746 1071601137 /nfs/dbraw/zinc/60/11/37/1071601137.db2.gz QCRHEQXDQRPEFY-IRXDYDNUSA-N 0 0 448.586 -0.192 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@H]1C ZINC000549928752 1071603376 /nfs/dbraw/zinc/60/33/76/1071603376.db2.gz YRDFQADMKOPKLS-BRWVUGGUSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@H]1C ZINC000549928753 1071603412 /nfs/dbraw/zinc/60/34/12/1071603412.db2.gz YRDFQADMKOPKLS-IKGGRYGDSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@H]1C ZINC000549928754 1071603417 /nfs/dbraw/zinc/60/34/17/1071603417.db2.gz YRDFQADMKOPKLS-IXDOHACOSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@H]1C ZINC000549928755 1071603340 /nfs/dbraw/zinc/60/33/40/1071603340.db2.gz YRDFQADMKOPKLS-ZACQAIPSSA-N 0 0 429.587 -0.436 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000549929532 1071609288 /nfs/dbraw/zinc/60/92/88/1071609288.db2.gz ZIWUVAVWWBGBEE-KRWDZBQOSA-N 0 0 432.521 -0.255 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000549929535 1071609215 /nfs/dbraw/zinc/60/92/15/1071609215.db2.gz ZIWUVAVWWBGBEE-QGZVFWFLSA-N 0 0 432.521 -0.255 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000549929923 1071613203 /nfs/dbraw/zinc/61/32/03/1071613203.db2.gz ZUMQPAABQPHNEO-JFIYKMOQSA-N 0 0 445.586 -0.915 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000549929924 1071613670 /nfs/dbraw/zinc/61/36/70/1071613670.db2.gz ZUMQPAABQPHNEO-JZXOWHBKSA-N 0 0 445.586 -0.915 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000549929925 1071613659 /nfs/dbraw/zinc/61/36/59/1071613659.db2.gz ZUMQPAABQPHNEO-SOLBZPMBSA-N 0 0 445.586 -0.915 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000549929926 1071613646 /nfs/dbraw/zinc/61/36/46/1071613646.db2.gz ZUMQPAABQPHNEO-XYJFISCASA-N 0 0 445.586 -0.915 20 0 IBADRN CCCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000549933705 1071614215 /nfs/dbraw/zinc/61/42/15/1071614215.db2.gz YGZJSUAGFCSPDC-UHFFFAOYSA-N 0 0 429.543 -0.116 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccnn1Cc1cccc(OC)c1OC ZINC000549935741 1071614127 /nfs/dbraw/zinc/61/41/27/1071614127.db2.gz MNKSFYJOUUAWLI-UHFFFAOYSA-N 0 0 439.494 -0.057 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)CC1 ZINC000549939173 1071634341 /nfs/dbraw/zinc/63/43/41/1071634341.db2.gz FODKFTQKRZBZDO-UHFFFAOYSA-N 0 0 437.585 -0.240 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H](c3ccco3)N(C)C)CC2)no1 ZINC000549939529 1071632596 /nfs/dbraw/zinc/63/25/96/1071632596.db2.gz RRCPLKORBIBCNM-HNNXBMFYSA-N 0 0 432.481 0.078 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@H](c3ccco3)N(C)C)CC2)no1 ZINC000549939530 1071632651 /nfs/dbraw/zinc/63/26/51/1071632651.db2.gz RRCPLKORBIBCNM-OAHLLOKOSA-N 0 0 432.481 0.078 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)CC1 ZINC000549940592 1071638559 /nfs/dbraw/zinc/63/85/59/1071638559.db2.gz HKYROYYQBABQLI-GOSISDBHSA-N 0 0 449.596 -0.051 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)CC1 ZINC000549940593 1071638606 /nfs/dbraw/zinc/63/86/06/1071638606.db2.gz HKYROYYQBABQLI-SFHVURJKSA-N 0 0 449.596 -0.051 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCc1ccccc1 ZINC000549942365 1071643129 /nfs/dbraw/zinc/64/31/29/1071643129.db2.gz UQVWPAZQKWSOSZ-UHFFFAOYSA-N 0 0 431.518 -0.034 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)N2CCOCC2)cc1 ZINC000549942821 1071641422 /nfs/dbraw/zinc/64/14/22/1071641422.db2.gz FSQOASRZKOUPPE-PMACEKPBSA-N 0 0 448.520 -0.452 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)N2CCOCC2)cc1 ZINC000549942822 1071641382 /nfs/dbraw/zinc/64/13/82/1071641382.db2.gz FSQOASRZKOUPPE-UXHICEINSA-N 0 0 448.520 -0.452 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)N2CCOCC2)cc1 ZINC000549942823 1071641396 /nfs/dbraw/zinc/64/13/96/1071641396.db2.gz FSQOASRZKOUPPE-VQTJNVASSA-N 0 0 448.520 -0.452 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)N2CCOCC2)cc1 ZINC000549942824 1071641470 /nfs/dbraw/zinc/64/14/70/1071641470.db2.gz FSQOASRZKOUPPE-WOJBJXKFSA-N 0 0 448.520 -0.452 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H](c2ccco2)N(C)C)CC1 ZINC000549943141 1071643161 /nfs/dbraw/zinc/64/31/61/1071643161.db2.gz PXPDYESTSGBRGL-DLBZAZTESA-N 0 0 435.525 -0.610 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H](c2ccco2)N(C)C)CC1 ZINC000549943142 1071643247 /nfs/dbraw/zinc/64/32/47/1071643247.db2.gz PXPDYESTSGBRGL-IAGOWNOFSA-N 0 0 435.525 -0.610 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H](c2ccco2)N(C)C)CC1 ZINC000549943143 1071643240 /nfs/dbraw/zinc/64/32/40/1071643240.db2.gz PXPDYESTSGBRGL-IRXDYDNUSA-N 0 0 435.525 -0.610 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H](c2ccco2)N(C)C)CC1 ZINC000549943144 1071643266 /nfs/dbraw/zinc/64/32/66/1071643266.db2.gz PXPDYESTSGBRGL-SJORKVTESA-N 0 0 435.525 -0.610 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)CC1 ZINC000549943351 1071643120 /nfs/dbraw/zinc/64/31/20/1071643120.db2.gz WGKGMKFLUINIHZ-UHFFFAOYSA-N 0 0 430.571 -0.368 20 0 IBADRN O=C(NCc1ccc(Cn2cncn2)cc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000549945330 1071644367 /nfs/dbraw/zinc/64/43/67/1071644367.db2.gz GGBAGILODXPRQF-UHFFFAOYSA-N 0 0 441.492 -0.461 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000549945784 1071644357 /nfs/dbraw/zinc/64/43/57/1071644357.db2.gz COOKYZJAIOJCPK-UHFFFAOYSA-N 0 0 434.493 -0.807 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)n1 ZINC000549945793 1071643917 /nfs/dbraw/zinc/64/39/17/1071643917.db2.gz DEQVOFKRTGIEOD-GOSISDBHSA-N 0 0 434.541 -0.602 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)n1 ZINC000549945794 1071643653 /nfs/dbraw/zinc/64/36/53/1071643653.db2.gz DEQVOFKRTGIEOD-SFHVURJKSA-N 0 0 434.541 -0.602 20 0 IBADRN CC(CNS(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)CNS(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000549946351 1071648663 /nfs/dbraw/zinc/64/86/63/1071648663.db2.gz ZZKUTDMXSUSNPV-GEEKYZPCSA-N 0 0 442.604 -0.490 20 0 IBADRN C[C@H](CNS(=O)(=O)N1C[C@@H](C)O[C@H](C)C1)CNS(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000549946352 1071648641 /nfs/dbraw/zinc/64/86/41/1071648641.db2.gz ZZKUTDMXSUSNPV-QCODTGAPSA-N 0 0 442.604 -0.490 20 0 IBADRN C[C@@H](CNS(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)CNS(=O)(=O)N1C[C@H](C)O[C@@H](C)C1 ZINC000549946353 1071648580 /nfs/dbraw/zinc/64/85/80/1071648580.db2.gz ZZKUTDMXSUSNPV-QMHWVQJVSA-N 0 0 442.604 -0.490 20 0 IBADRN CC(CNS(=O)(=O)N1C[C@H](C)O[C@@H](C)C1)CNS(=O)(=O)N1C[C@H](C)O[C@@H](C)C1 ZINC000549946354 1071648655 /nfs/dbraw/zinc/64/86/55/1071648655.db2.gz ZZKUTDMXSUSNPV-VGWMRTNUSA-N 0 0 442.604 -0.490 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000549946837 1071645133 /nfs/dbraw/zinc/64/51/33/1071645133.db2.gz PIAOFGHAINGEKA-UHFFFAOYSA-N 0 0 428.493 -0.081 20 0 IBADRN O=C(Cc1ccccc1)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000549948188 1071665026 /nfs/dbraw/zinc/66/50/26/1071665026.db2.gz QKLOXGRYYGHFEJ-FQEVSTJZSA-N 0 0 448.589 -0.295 20 0 IBADRN O=C(Cc1ccccc1)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000549948193 1071664958 /nfs/dbraw/zinc/66/49/58/1071664958.db2.gz QKLOXGRYYGHFEJ-HXUWFJFHSA-N 0 0 448.589 -0.295 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H](c2ccco2)N2CCCCC2)CC1 ZINC000549953899 1071693813 /nfs/dbraw/zinc/69/38/13/1071693813.db2.gz QABHWRKWPYSLIP-INIZCTEOSA-N 0 0 444.496 -0.720 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H](c2ccco2)N2CCCCC2)CC1 ZINC000549953901 1071693955 /nfs/dbraw/zinc/69/39/55/1071693955.db2.gz QABHWRKWPYSLIP-MRXNPFEDSA-N 0 0 444.496 -0.720 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)S(=O)(=O)c1nnc(NC[C@H]2CCCO2)s1 ZINC000549955467 1071698848 /nfs/dbraw/zinc/69/88/48/1071698848.db2.gz ZEZXAWRNEYOULG-GRYCIOLGSA-N 0 0 431.540 0.015 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)S(=O)(=O)c1nnc(NC[C@H]2CCCO2)s1 ZINC000549955468 1071698859 /nfs/dbraw/zinc/69/88/59/1071698859.db2.gz ZEZXAWRNEYOULG-IJLUTSLNSA-N 0 0 431.540 0.015 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)S(=O)(=O)c1nnc(NC[C@@H]2CCCO2)s1 ZINC000549955469 1073308870 /nfs/dbraw/zinc/30/88/70/1073308870.db2.gz ZEZXAWRNEYOULG-UTUOFQBUSA-N 0 0 431.540 0.015 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)S(=O)(=O)c1nnc(NC[C@@H]2CCCO2)s1 ZINC000549955470 1071698864 /nfs/dbraw/zinc/69/88/64/1071698864.db2.gz ZEZXAWRNEYOULG-WOPDTQHZSA-N 0 0 431.540 0.015 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000549956069 1071707578 /nfs/dbraw/zinc/70/75/78/1071707578.db2.gz ITGNRIKRBJRMMG-MRXNPFEDSA-N 0 0 430.571 -0.322 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CCCCC3)CC2)n1 ZINC000549956507 1071706781 /nfs/dbraw/zinc/70/67/81/1071706781.db2.gz PGEQIIGDUMWPFH-UHFFFAOYSA-N 0 0 437.570 -0.080 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N(C)CCNC(=O)N(C)C)N2CCOCC2)cc1 ZINC000549957752 1071709616 /nfs/dbraw/zinc/70/96/16/1071709616.db2.gz DQOCSGBZJPEGOA-GOSISDBHSA-N 0 0 435.525 -0.086 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N(C)CCNC(=O)N(C)C)N2CCOCC2)cc1 ZINC000549957755 1071709111 /nfs/dbraw/zinc/70/91/11/1071709111.db2.gz DQOCSGBZJPEGOA-SFHVURJKSA-N 0 0 435.525 -0.086 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](c2ccco2)N(C)C)C1 ZINC000549958245 1071715077 /nfs/dbraw/zinc/71/50/77/1071715077.db2.gz ZVXHEBCCBQEXFL-CVEARBPZSA-N 0 0 444.554 -0.103 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](c2ccco2)N(C)C)C1 ZINC000549958248 1071715090 /nfs/dbraw/zinc/71/50/90/1071715090.db2.gz ZVXHEBCCBQEXFL-HOTGVXAUSA-N 0 0 444.554 -0.103 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](c2ccco2)N(C)C)C1 ZINC000549958250 1071715267 /nfs/dbraw/zinc/71/52/67/1071715267.db2.gz ZVXHEBCCBQEXFL-HZPDHXFCSA-N 0 0 444.554 -0.103 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](c2ccco2)N(C)C)C1 ZINC000549958251 1071715166 /nfs/dbraw/zinc/71/51/66/1071715166.db2.gz ZVXHEBCCBQEXFL-JKSUJKDBSA-N 0 0 444.554 -0.103 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)C1 ZINC000549959812 1071715749 /nfs/dbraw/zinc/71/57/49/1071715749.db2.gz NNCXLXIBWFNOEI-INIZCTEOSA-N 0 0 430.571 -0.322 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)C1 ZINC000549959813 1071715791 /nfs/dbraw/zinc/71/57/91/1071715791.db2.gz NNCXLXIBWFNOEI-MRXNPFEDSA-N 0 0 430.571 -0.322 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1Cc1cccs1 ZINC000549960075 1071722464 /nfs/dbraw/zinc/72/24/64/1071722464.db2.gz SZJIVYDDPFIHKI-UHFFFAOYSA-N 0 0 444.525 -0.139 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC[C@@H](c2ccc(OC)cc2)N2CCOCC2)CC1 ZINC000549960810 1071718519 /nfs/dbraw/zinc/71/85/19/1071718519.db2.gz YSYMCRZXAJLZAE-FQEVSTJZSA-N 0 0 434.537 -0.025 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC[C@H](c2ccc(OC)cc2)N2CCOCC2)CC1 ZINC000549960811 1071718558 /nfs/dbraw/zinc/71/85/58/1071718558.db2.gz YSYMCRZXAJLZAE-HXUWFJFHSA-N 0 0 434.537 -0.025 20 0 IBADRN CC(C)OCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000549967482 1071754735 /nfs/dbraw/zinc/75/47/35/1071754735.db2.gz QKVHGELFCHXDMB-HOTGVXAUSA-N 0 0 434.559 -0.584 20 0 IBADRN CC(C)OCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000549967483 1071754661 /nfs/dbraw/zinc/75/46/61/1071754661.db2.gz QKVHGELFCHXDMB-HZPDHXFCSA-N 0 0 434.559 -0.584 20 0 IBADRN CC(C)OCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000549967484 1071754679 /nfs/dbraw/zinc/75/46/79/1071754679.db2.gz QKVHGELFCHXDMB-IYBDPMFKSA-N 0 0 434.559 -0.584 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N(C)[C@H]2CCOC2)c1 ZINC000549968477 1071761346 /nfs/dbraw/zinc/76/13/46/1071761346.db2.gz PREIBYAKRCENDZ-AWEZNQCLSA-N 0 0 440.522 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N(C)[C@@H]2CCOC2)c1 ZINC000549968478 1071761319 /nfs/dbraw/zinc/76/13/19/1071761319.db2.gz PREIBYAKRCENDZ-CQSZACIVSA-N 0 0 440.522 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CC[C@@H](O)C2)c1 ZINC000549968684 1071759744 /nfs/dbraw/zinc/75/97/44/1071759744.db2.gz VYWYTOIMDPZQLP-CYBMUJFWSA-N 0 0 426.495 -0.695 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000549970514 1071767566 /nfs/dbraw/zinc/76/75/66/1071767566.db2.gz GIWOTPKNNZCWGI-INIZCTEOSA-N 0 0 438.550 -0.009 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000549970515 1071767582 /nfs/dbraw/zinc/76/75/82/1071767582.db2.gz GIWOTPKNNZCWGI-MRXNPFEDSA-N 0 0 438.550 -0.009 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NC[C@@H](c1cccs1)N1CCOCC1 ZINC000549970582 1071768165 /nfs/dbraw/zinc/76/81/65/1071768165.db2.gz HVVUNIGSYREHIC-CABCVRRESA-N 0 0 444.579 -0.222 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NC[C@H](c1cccs1)N1CCOCC1 ZINC000549970583 1071768224 /nfs/dbraw/zinc/76/82/24/1071768224.db2.gz HVVUNIGSYREHIC-HUUCEWRRSA-N 0 0 444.579 -0.222 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(CC(=O)Nc3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000549972028 1071798427 /nfs/dbraw/zinc/79/84/27/1071798427.db2.gz XENUGYVGKXAOBH-UHFFFAOYSA-N 0 0 448.911 -0.241 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCOC2)CC1 ZINC000549975917 1071836656 /nfs/dbraw/zinc/83/66/56/1071836656.db2.gz JMVMQLRRPUJPSF-HNNXBMFYSA-N 0 0 435.529 -0.374 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCOC2)CC1 ZINC000549975918 1071836644 /nfs/dbraw/zinc/83/66/44/1071836644.db2.gz JMVMQLRRPUJPSF-OAHLLOKOSA-N 0 0 435.529 -0.374 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)C(=O)N1CCO[C@@H](CN(C)CC(N)=O)C1)N(C)C ZINC000549976702 1071861241 /nfs/dbraw/zinc/86/12/41/1071861241.db2.gz INAGUCIYPPUUTD-DOTOQJQBSA-N 0 0 435.525 -0.941 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)C(=O)N1CCO[C@H](CN(C)CC(N)=O)C1)N(C)C ZINC000549976706 1071861374 /nfs/dbraw/zinc/86/13/74/1071861374.db2.gz INAGUCIYPPUUTD-NVXWUHKLSA-N 0 0 435.525 -0.941 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)C(=O)N1CCO[C@@H](CN(C)CC(N)=O)C1)N(C)C ZINC000549976707 1071861459 /nfs/dbraw/zinc/86/14/59/1071861459.db2.gz INAGUCIYPPUUTD-RDJZCZTQSA-N 0 0 435.525 -0.941 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)C(=O)N1CCO[C@H](CN(C)CC(N)=O)C1)N(C)C ZINC000549976708 1071861431 /nfs/dbraw/zinc/86/14/31/1071861431.db2.gz INAGUCIYPPUUTD-WBVHZDCISA-N 0 0 435.525 -0.941 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)N1CCOCC1 ZINC000549976863 1071857099 /nfs/dbraw/zinc/85/70/99/1071857099.db2.gz BCGUILFPZDTVOE-UHFFFAOYSA-N 0 0 431.537 -0.322 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CCN1c1nccn2cnnc12 ZINC000549979133 1071908203 /nfs/dbraw/zinc/90/82/03/1071908203.db2.gz CHGSPQPOTNGALK-HNNXBMFYSA-N 0 0 430.513 -0.612 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CCN1c1nccn2cnnc12 ZINC000549979134 1071908107 /nfs/dbraw/zinc/90/81/07/1071908107.db2.gz CHGSPQPOTNGALK-OAHLLOKOSA-N 0 0 430.513 -0.612 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)N1CCOCC1 ZINC000549979170 1071907953 /nfs/dbraw/zinc/90/79/53/1071907953.db2.gz FFWMOCMPPVTCEZ-UHFFFAOYSA-N 0 0 431.537 -0.004 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)N1CCOCC1 ZINC000549979181 1071908797 /nfs/dbraw/zinc/90/87/97/1071908797.db2.gz FXOVUBKNCSTTOE-UHFFFAOYSA-N 0 0 446.957 -0.039 20 0 IBADRN O=C(NCCOc1ccccc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000549980489 1071910667 /nfs/dbraw/zinc/91/06/67/1071910667.db2.gz RMXFMSQZQIHMCR-KRWDZBQOSA-N 0 0 425.507 -0.165 20 0 IBADRN O=C(NCCOc1ccccc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000549980491 1071910636 /nfs/dbraw/zinc/91/06/36/1071910636.db2.gz RMXFMSQZQIHMCR-QGZVFWFLSA-N 0 0 425.507 -0.165 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCCCO2)CC1 ZINC000549982916 1071917507 /nfs/dbraw/zinc/91/75/07/1071917507.db2.gz MLXFSSUTJOHJPG-CVEARBPZSA-N 0 0 431.501 -0.314 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCCCO2)CC1 ZINC000549982923 1071917482 /nfs/dbraw/zinc/91/74/82/1071917482.db2.gz MLXFSSUTJOHJPG-HOTGVXAUSA-N 0 0 431.501 -0.314 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCCO2)CC1 ZINC000549982924 1071918044 /nfs/dbraw/zinc/91/80/44/1071918044.db2.gz MLXFSSUTJOHJPG-HZPDHXFCSA-N 0 0 431.501 -0.314 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCCO2)CC1 ZINC000549982925 1071918085 /nfs/dbraw/zinc/91/80/85/1071918085.db2.gz MLXFSSUTJOHJPG-JKSUJKDBSA-N 0 0 431.501 -0.314 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCOCC1 ZINC000549984771 1071929404 /nfs/dbraw/zinc/92/94/04/1071929404.db2.gz FVJGNZMQGDUOGQ-UHFFFAOYSA-N 0 0 445.520 -0.898 20 0 IBADRN CC(C)c1ccc(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000549987509 1071950113 /nfs/dbraw/zinc/95/01/13/1071950113.db2.gz OJYHERPXZCKZAF-UHFFFAOYSA-N 0 0 442.520 -0.043 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2OC)N(C)C)CC1 ZINC000549988827 1071952983 /nfs/dbraw/zinc/95/29/83/1071952983.db2.gz WYQURXVHASBNPP-INIZCTEOSA-N 0 0 426.539 -0.092 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccccc2OC)N(C)C)CC1 ZINC000549988828 1071953728 /nfs/dbraw/zinc/95/37/28/1071953728.db2.gz WYQURXVHASBNPP-MRXNPFEDSA-N 0 0 426.539 -0.092 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000549992467 1071971191 /nfs/dbraw/zinc/97/11/91/1071971191.db2.gz AOOOESINRDXCAE-MSOLQXFVSA-N 0 0 438.550 -0.456 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000549992468 1071971121 /nfs/dbraw/zinc/97/11/21/1071971121.db2.gz AOOOESINRDXCAE-QZTJIDSGSA-N 0 0 438.550 -0.456 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000549992864 1071970577 /nfs/dbraw/zinc/97/05/77/1071970577.db2.gz FZDCCSRERWJYTM-GOSISDBHSA-N 0 0 449.527 -0.277 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000549992871 1071970473 /nfs/dbraw/zinc/97/04/73/1071970473.db2.gz FZDCCSRERWJYTM-SFHVURJKSA-N 0 0 449.527 -0.277 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NC[C@H]1CN(Cc3ccccc3)CCO1)CC2 ZINC000549996317 1071991605 /nfs/dbraw/zinc/99/16/05/1071991605.db2.gz RFAHZLFPYVUXKI-MSOLQXFVSA-N 0 0 442.520 -0.127 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NC[C@@H]1CN(Cc3ccccc3)CCO1)CC2 ZINC000549996318 1071991720 /nfs/dbraw/zinc/99/17/20/1071991720.db2.gz RFAHZLFPYVUXKI-QZTJIDSGSA-N 0 0 442.520 -0.127 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NC[C@H]1CN(Cc3ccccc3)CCO1)CC2 ZINC000549996319 1071991782 /nfs/dbraw/zinc/99/17/82/1071991782.db2.gz RFAHZLFPYVUXKI-ROUUACIJSA-N 0 0 442.520 -0.127 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NC[C@@H]1CN(Cc3ccccc3)CCO1)CC2 ZINC000549996320 1071991667 /nfs/dbraw/zinc/99/16/67/1071991667.db2.gz RFAHZLFPYVUXKI-ZWKOTPCHSA-N 0 0 442.520 -0.127 20 0 IBADRN COCCc1ccc(OC[C@@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000549996790 1071988450 /nfs/dbraw/zinc/98/84/50/1071988450.db2.gz MXKKAQYBYNQKTF-KRWDZBQOSA-N 0 0 433.509 -0.423 20 0 IBADRN COCCc1ccc(OC[C@H](O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000549996791 1071988484 /nfs/dbraw/zinc/98/84/84/1071988484.db2.gz MXKKAQYBYNQKTF-QGZVFWFLSA-N 0 0 433.509 -0.423 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000549999467 1072001944 /nfs/dbraw/zinc/00/19/44/1072001944.db2.gz BGPIRBUBCBZOHW-GOSISDBHSA-N 0 0 436.484 -0.368 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000549999468 1072002032 /nfs/dbraw/zinc/00/20/32/1072002032.db2.gz BGPIRBUBCBZOHW-SFHVURJKSA-N 0 0 436.484 -0.368 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000550000412 1072002766 /nfs/dbraw/zinc/00/27/66/1072002766.db2.gz GXFVOPUADLBCOR-GOSISDBHSA-N 0 0 438.550 -0.503 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000550000413 1072003415 /nfs/dbraw/zinc/00/34/15/1072003415.db2.gz GXFVOPUADLBCOR-SFHVURJKSA-N 0 0 438.550 -0.503 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCNC(=O)[C@H]2C)N2CCOCC2)cc1OC ZINC000550001474 1072008711 /nfs/dbraw/zinc/00/87/11/1072008711.db2.gz OMVREYUQNLCXHU-GDBMZVCRSA-N 0 0 434.493 -0.460 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCNC(=O)[C@@H]2C)N2CCOCC2)cc1OC ZINC000550001483 1072008554 /nfs/dbraw/zinc/00/85/54/1072008554.db2.gz OMVREYUQNLCXHU-GOEBONIOSA-N 0 0 434.493 -0.460 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCNC(=O)[C@@H]2C)N2CCOCC2)cc1OC ZINC000550001485 1072009410 /nfs/dbraw/zinc/00/94/10/1072009410.db2.gz OMVREYUQNLCXHU-HOCLYGCPSA-N 0 0 434.493 -0.460 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCNC(=O)[C@H]2C)N2CCOCC2)cc1OC ZINC000550001487 1072009239 /nfs/dbraw/zinc/00/92/39/1072009239.db2.gz OMVREYUQNLCXHU-ZBFHGGJFSA-N 0 0 434.493 -0.460 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1=O ZINC000550003184 1072015050 /nfs/dbraw/zinc/01/50/50/1072015050.db2.gz WKKNJBNOGMGGPP-IBGZPJMESA-N 0 0 432.521 -0.289 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1=O ZINC000550003185 1072014947 /nfs/dbraw/zinc/01/49/47/1072014947.db2.gz WKKNJBNOGMGGPP-LJQANCHMSA-N 0 0 432.521 -0.289 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1)C(=O)N1CCOCC1 ZINC000550003649 1072014405 /nfs/dbraw/zinc/01/44/05/1072014405.db2.gz QPFLQMYZWWYQJI-MOPGFXCFSA-N 0 0 432.521 -0.243 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1)C(=O)N1CCOCC1 ZINC000550003651 1072014256 /nfs/dbraw/zinc/01/42/56/1072014256.db2.gz QPFLQMYZWWYQJI-OALUTQOASA-N 0 0 432.521 -0.243 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1)C(=O)N1CCOCC1 ZINC000550003652 1072014345 /nfs/dbraw/zinc/01/43/45/1072014345.db2.gz QPFLQMYZWWYQJI-RBUKOAKNSA-N 0 0 432.521 -0.243 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1)C(=O)N1CCOCC1 ZINC000550003653 1072014313 /nfs/dbraw/zinc/01/43/13/1072014313.db2.gz QPFLQMYZWWYQJI-RTBURBONSA-N 0 0 432.521 -0.243 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CSCN2C1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550009420 1072039862 /nfs/dbraw/zinc/03/98/62/1072039862.db2.gz KDPCIJZGANVVJE-AWEZNQCLSA-N 0 0 440.503 -0.017 20 0 IBADRN O=C(CN1C(=O)[C@H]2CSCN2C1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550009421 1072039834 /nfs/dbraw/zinc/03/98/34/1072039834.db2.gz KDPCIJZGANVVJE-CQSZACIVSA-N 0 0 440.503 -0.017 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1cccc(C)c1 ZINC000550011861 1072045209 /nfs/dbraw/zinc/04/52/09/1072045209.db2.gz PPGFGHSXAXOXTD-UHFFFAOYSA-N 0 0 445.564 -0.059 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(C)c1 ZINC000550018448 1072086398 /nfs/dbraw/zinc/08/63/98/1072086398.db2.gz BYRJZDSOTFFHMP-UHFFFAOYSA-N 0 0 428.497 -0.705 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1cccc(C)c1 ZINC000550018505 1072084726 /nfs/dbraw/zinc/08/47/26/1072084726.db2.gz XFEBVKWRURYVGO-UHFFFAOYSA-N 0 0 429.521 -0.113 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@H]1CCOC1 ZINC000550021426 1072094858 /nfs/dbraw/zinc/09/48/58/1072094858.db2.gz GNHLBMNRCKZTSO-AWEZNQCLSA-N 0 0 433.513 -0.620 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@H]1CCOC1 ZINC000550021427 1072095044 /nfs/dbraw/zinc/09/50/44/1072095044.db2.gz GNHLBMNRCKZTSO-CQSZACIVSA-N 0 0 433.513 -0.620 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2nnc(CC3CC3)n2C[C@@H]2CCOC2)CC1 ZINC000550024469 1072112881 /nfs/dbraw/zinc/11/28/81/1072112881.db2.gz JYKKBRJDLQKXHR-KRWDZBQOSA-N 0 0 440.570 -0.034 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(c2nnc(CC3CC3)n2C[C@H]2CCOC2)CC1 ZINC000550024470 1072112977 /nfs/dbraw/zinc/11/29/77/1072112977.db2.gz JYKKBRJDLQKXHR-QGZVFWFLSA-N 0 0 440.570 -0.034 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCOC2)CC1 ZINC000550043363 1072146070 /nfs/dbraw/zinc/14/60/70/1072146070.db2.gz PWRQCGHUHPTMIM-MSOLQXFVSA-N 0 0 434.541 -0.201 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCOC2)CC1 ZINC000550043364 1072146109 /nfs/dbraw/zinc/14/61/09/1072146109.db2.gz PWRQCGHUHPTMIM-QZTJIDSGSA-N 0 0 434.541 -0.201 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCOC2)CC1 ZINC000550043365 1072146062 /nfs/dbraw/zinc/14/60/62/1072146062.db2.gz PWRQCGHUHPTMIM-ROUUACIJSA-N 0 0 434.541 -0.201 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCOC2)CC1 ZINC000550043366 1072146128 /nfs/dbraw/zinc/14/61/28/1072146128.db2.gz PWRQCGHUHPTMIM-ZWKOTPCHSA-N 0 0 434.541 -0.201 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](N2CCCC2=O)C1 ZINC000550050318 1072146531 /nfs/dbraw/zinc/14/65/31/1072146531.db2.gz CMRUUWIKYCUVES-HNNXBMFYSA-N 0 0 433.509 -0.029 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000550050319 1072146557 /nfs/dbraw/zinc/14/65/57/1072146557.db2.gz CMRUUWIKYCUVES-OAHLLOKOSA-N 0 0 433.509 -0.029 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000550050403 1072146417 /nfs/dbraw/zinc/14/64/17/1072146417.db2.gz FBAGRJGCBBTCHZ-HNNXBMFYSA-N 0 0 445.524 -0.124 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000550050421 1072146408 /nfs/dbraw/zinc/14/64/08/1072146408.db2.gz FBAGRJGCBBTCHZ-OAHLLOKOSA-N 0 0 445.524 -0.124 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)CC2)n1 ZINC000550050795 1072146538 /nfs/dbraw/zinc/14/65/38/1072146538.db2.gz FYIAQBFEPPJZNL-UHFFFAOYSA-N 0 0 443.508 -0.195 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000550051275 1072146488 /nfs/dbraw/zinc/14/64/88/1072146488.db2.gz NCDOLJBZSSPWHX-CYBMUJFWSA-N 0 0 428.511 -0.607 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000550051276 1072146516 /nfs/dbraw/zinc/14/65/16/1072146516.db2.gz NCDOLJBZSSPWHX-ZDUSSCGKSA-N 0 0 428.511 -0.607 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000550051937 1072146422 /nfs/dbraw/zinc/14/64/22/1072146422.db2.gz OCKVMVWPRZRZQO-UHFFFAOYSA-N 0 0 431.497 -0.575 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000550054452 1072146939 /nfs/dbraw/zinc/14/69/39/1072146939.db2.gz HVGQDBYDLVPBNV-UHFFFAOYSA-N 0 0 445.524 -0.565 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CCN1CC(C)(C)O ZINC000550060445 1072146904 /nfs/dbraw/zinc/14/69/04/1072146904.db2.gz BTQSQDUUQXYPNW-HNNXBMFYSA-N 0 0 437.541 -0.339 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CCN1CC(C)(C)O ZINC000550060453 1072147059 /nfs/dbraw/zinc/14/70/59/1072147059.db2.gz BTQSQDUUQXYPNW-OAHLLOKOSA-N 0 0 437.541 -0.339 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000550060838 1072147033 /nfs/dbraw/zinc/14/70/33/1072147033.db2.gz QNWSCCYDWKBQBN-HNNXBMFYSA-N 0 0 449.508 -0.793 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000550060843 1072147006 /nfs/dbraw/zinc/14/70/06/1072147006.db2.gz QNWSCCYDWKBQBN-OAHLLOKOSA-N 0 0 449.508 -0.793 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C[C@H]1c1ncc[nH]1 ZINC000550061592 1072146954 /nfs/dbraw/zinc/14/69/54/1072146954.db2.gz GDEDSIRRCXBUQP-HNNXBMFYSA-N 0 0 445.524 -0.014 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000550061593 1072146932 /nfs/dbraw/zinc/14/69/32/1072146932.db2.gz GDEDSIRRCXBUQP-OAHLLOKOSA-N 0 0 445.524 -0.014 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000550061690 1072146888 /nfs/dbraw/zinc/14/68/88/1072146888.db2.gz YKFHDCFTZJDRFO-UHFFFAOYSA-N 0 0 437.541 -0.074 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)C2)cn1 ZINC000550062098 1072147025 /nfs/dbraw/zinc/14/70/25/1072147025.db2.gz QAKRWZZFLWGCOT-HNNXBMFYSA-N 0 0 445.524 -0.124 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)C2)cn1 ZINC000550062100 1072147016 /nfs/dbraw/zinc/14/70/16/1072147016.db2.gz QAKRWZZFLWGCOT-OAHLLOKOSA-N 0 0 445.524 -0.124 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000550062361 1072147051 /nfs/dbraw/zinc/14/70/51/1072147051.db2.gz TTZDAQWMGAOGAW-UHFFFAOYSA-N 0 0 447.471 -0.365 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)CC2)cn1 ZINC000550062938 1072147038 /nfs/dbraw/zinc/14/70/38/1072147038.db2.gz YQGKYSUVJLDEEA-UHFFFAOYSA-N 0 0 445.524 -0.565 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCc4ccccc4C3)CC2)CC1 ZINC000550069044 1072147382 /nfs/dbraw/zinc/14/73/82/1072147382.db2.gz RHLJCLXUYYRXEE-IBGZPJMESA-N 0 0 449.577 -0.704 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCc4ccccc4C3)CC2)CC1 ZINC000550069045 1072147495 /nfs/dbraw/zinc/14/74/95/1072147495.db2.gz RHLJCLXUYYRXEE-LJQANCHMSA-N 0 0 449.577 -0.704 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1 ZINC000550069201 1072147787 /nfs/dbraw/zinc/14/77/87/1072147787.db2.gz UVCURKPDHHAXHT-UHFFFAOYSA-N 0 0 426.481 -0.537 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(OC(F)F)cc2)CC1)N1CCOCC1 ZINC000550069524 1072147364 /nfs/dbraw/zinc/14/73/64/1072147364.db2.gz ZHGPOTSZVNYAQB-UHFFFAOYSA-N 0 0 440.447 -0.093 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NCc1nnc2n1CCCCC2 ZINC000550070375 1072147840 /nfs/dbraw/zinc/14/78/40/1072147840.db2.gz VBQCYPNYQRIGRQ-AWEZNQCLSA-N 0 0 426.543 -0.059 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NCc1nnc2n1CCCCC2 ZINC000550070378 1072147884 /nfs/dbraw/zinc/14/78/84/1072147884.db2.gz VBQCYPNYQRIGRQ-CQSZACIVSA-N 0 0 426.543 -0.059 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cn3ccccc3n2)C1 ZINC000550071333 1072148291 /nfs/dbraw/zinc/14/82/91/1072148291.db2.gz XVYRJHXYMVKUHD-INIZCTEOSA-N 0 0 437.522 -0.151 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cn3ccccc3n2)C1 ZINC000550071336 1072148302 /nfs/dbraw/zinc/14/83/02/1072148302.db2.gz XVYRJHXYMVKUHD-MRXNPFEDSA-N 0 0 437.522 -0.151 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCOC2)CC1 ZINC000550072004 1072148352 /nfs/dbraw/zinc/14/83/52/1072148352.db2.gz GRGRHZBIHQOQIR-HNNXBMFYSA-N 0 0 430.513 -0.112 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCOC2)CC1 ZINC000550072005 1072148242 /nfs/dbraw/zinc/14/82/42/1072148242.db2.gz GRGRHZBIHQOQIR-OAHLLOKOSA-N 0 0 430.513 -0.112 20 0 IBADRN O=C(NCc1cn2ccccc2n1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550075986 1072148269 /nfs/dbraw/zinc/14/82/69/1072148269.db2.gz IZLSYRYUXWZBHO-UHFFFAOYSA-N 0 0 434.456 -0.161 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCc1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000550081592 1072148857 /nfs/dbraw/zinc/14/88/57/1072148857.db2.gz CZNLGLHUQQXILE-UHFFFAOYSA-N 0 0 448.505 -0.734 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccs3)CC2)CC1 ZINC000550081597 1072148867 /nfs/dbraw/zinc/14/88/67/1072148867.db2.gz DEQYNCLRVVERRP-UHFFFAOYSA-N 0 0 429.568 -0.957 20 0 IBADRN O=C(NCCn1ccnc1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550081977 1072148821 /nfs/dbraw/zinc/14/88/21/1072148821.db2.gz FUWFLYJXHHOASZ-INIZCTEOSA-N 0 0 428.497 -0.589 20 0 IBADRN O=C(NCCn1ccnc1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550081978 1072148805 /nfs/dbraw/zinc/14/88/05/1072148805.db2.gz FUWFLYJXHHOASZ-MRXNPFEDSA-N 0 0 428.497 -0.589 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCC1=O ZINC000550082076 1072148871 /nfs/dbraw/zinc/14/88/71/1072148871.db2.gz KSMBGIOYHZWZLP-HNNXBMFYSA-N 0 0 438.506 -0.939 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCC1=O ZINC000550082077 1072148773 /nfs/dbraw/zinc/14/87/73/1072148773.db2.gz KSMBGIOYHZWZLP-OAHLLOKOSA-N 0 0 438.506 -0.939 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550082128 1072148884 /nfs/dbraw/zinc/14/88/84/1072148884.db2.gz MTAQBZAHXBQIGB-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550082283 1072148875 /nfs/dbraw/zinc/14/88/75/1072148875.db2.gz KJLWRSVEBBTWQS-UHFFFAOYSA-N 0 0 440.522 -0.788 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000550082557 1072148828 /nfs/dbraw/zinc/14/88/28/1072148828.db2.gz NVOJWZZOIACOSQ-IBGZPJMESA-N 0 0 425.507 -0.303 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000550082558 1072148808 /nfs/dbraw/zinc/14/88/08/1072148808.db2.gz NVOJWZZOIACOSQ-LJQANCHMSA-N 0 0 425.507 -0.303 20 0 IBADRN CCCCN(CCO)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550082858 1072148816 /nfs/dbraw/zinc/14/88/16/1072148816.db2.gz ZREGULYICPITFS-UHFFFAOYSA-N 0 0 427.523 -0.055 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000550083033 1072148850 /nfs/dbraw/zinc/14/88/50/1072148850.db2.gz RHSJFYSXGSIFIT-UHFFFAOYSA-N 0 0 449.533 -0.194 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N(CCO)C1CCC1 ZINC000550083080 1072148788 /nfs/dbraw/zinc/14/87/88/1072148788.db2.gz XUAFILIPNXGLPC-UHFFFAOYSA-N 0 0 425.507 -0.303 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCOC[C@@H]1C1CC1 ZINC000550083194 1072148759 /nfs/dbraw/zinc/14/87/59/1072148759.db2.gz WDFGEKREKHKXPC-GOSISDBHSA-N 0 0 437.518 -0.039 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCOC[C@H]1C1CC1 ZINC000550083195 1072148844 /nfs/dbraw/zinc/14/88/44/1072148844.db2.gz WDFGEKREKHKXPC-SFHVURJKSA-N 0 0 437.518 -0.039 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000550084732 1072148204 /nfs/dbraw/zinc/14/82/04/1072148204.db2.gz AEUXFKKXVFTYCL-UHFFFAOYSA-N 0 0 431.537 -0.585 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1ccccc1CN1CCOCC1 ZINC000550085375 1072149311 /nfs/dbraw/zinc/14/93/11/1072149311.db2.gz HZLYWSIBGCVDQG-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CN(Cc1nccn1C)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550085729 1072149247 /nfs/dbraw/zinc/14/92/47/1072149247.db2.gz NERGFQWTAOMNPT-UHFFFAOYSA-N 0 0 435.506 -0.284 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccccc1CN1CCOCC1 ZINC000550086270 1072149840 /nfs/dbraw/zinc/14/98/40/1072149840.db2.gz OJOQNBVWMMDEBK-UHFFFAOYSA-N 0 0 440.566 -0.077 20 0 IBADRN CN(C[C@H]1CCC[C@@H]1O)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550087610 1072149242 /nfs/dbraw/zinc/14/92/42/1072149242.db2.gz UINKEAGXKFPGGF-AEFFLSMTSA-N 0 0 439.534 -0.057 20 0 IBADRN CN(C[C@@H]1CCC[C@H]1O)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550087611 1072149300 /nfs/dbraw/zinc/14/93/00/1072149300.db2.gz UINKEAGXKFPGGF-FUHWJXTLSA-N 0 0 439.534 -0.057 20 0 IBADRN CN(C[C@H]1CCC[C@H]1O)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550087612 1072149304 /nfs/dbraw/zinc/14/93/04/1072149304.db2.gz UINKEAGXKFPGGF-SJLPKXTDSA-N 0 0 439.534 -0.057 20 0 IBADRN CN(C[C@@H]1CCC[C@@H]1O)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550087614 1072149213 /nfs/dbraw/zinc/14/92/13/1072149213.db2.gz UINKEAGXKFPGGF-WMZOPIPTSA-N 0 0 439.534 -0.057 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccccc3CN3CCOCC3)C2=O)cn1 ZINC000550087906 1072149196 /nfs/dbraw/zinc/14/91/96/1072149196.db2.gz WDRPOYSRRMKTPF-LJQANCHMSA-N 0 0 440.504 -0.210 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCOC2)CC1 ZINC000550088319 1072149372 /nfs/dbraw/zinc/14/93/72/1072149372.db2.gz JLIMUCRDPCPDTF-INIZCTEOSA-N 0 0 437.545 -0.663 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCOC2)CC1 ZINC000550088333 1072149292 /nfs/dbraw/zinc/14/92/92/1072149292.db2.gz JLIMUCRDPCPDTF-MRXNPFEDSA-N 0 0 437.545 -0.663 20 0 IBADRN Cn1nnnc1SCCC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000550090603 1072150447 /nfs/dbraw/zinc/15/04/47/1072150447.db2.gz VLBNHSWSUQUORI-AWEZNQCLSA-N 0 0 447.569 -0.345 20 0 IBADRN Cn1nnnc1SCCC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000550090604 1072150291 /nfs/dbraw/zinc/15/02/91/1072150291.db2.gz VLBNHSWSUQUORI-CQSZACIVSA-N 0 0 447.569 -0.345 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CNC(=O)c2ccco2)CC3)CC1 ZINC000550094385 1072151381 /nfs/dbraw/zinc/15/13/81/1072151381.db2.gz NCBQCDZRVMOXQR-HNNXBMFYSA-N 0 0 428.493 -0.028 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CNC(=O)c2ccco2)CC3)CC1 ZINC000550094387 1072151374 /nfs/dbraw/zinc/15/13/74/1072151374.db2.gz NCBQCDZRVMOXQR-OAHLLOKOSA-N 0 0 428.493 -0.028 20 0 IBADRN Cc1ccc(CSCCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000550098231 1072152248 /nfs/dbraw/zinc/15/22/48/1072152248.db2.gz YBGUMLNDHOWACF-UHFFFAOYSA-N 0 0 431.522 -0.298 20 0 IBADRN COC(=O)c1cnc(C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)cn1 ZINC000550098622 1072152281 /nfs/dbraw/zinc/15/22/81/1072152281.db2.gz YAVSQVPEOZMEOH-AWEZNQCLSA-N 0 0 441.492 -0.160 20 0 IBADRN COC(=O)c1cnc(C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)cn1 ZINC000550098623 1072152349 /nfs/dbraw/zinc/15/23/49/1072152349.db2.gz YAVSQVPEOZMEOH-CQSZACIVSA-N 0 0 441.492 -0.160 20 0 IBADRN CCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000550099468 1072153910 /nfs/dbraw/zinc/15/39/10/1072153910.db2.gz VFHBMZKDFZHTIG-IBGZPJMESA-N 0 0 435.506 -0.277 20 0 IBADRN CCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000550099469 1072153949 /nfs/dbraw/zinc/15/39/49/1072153949.db2.gz VFHBMZKDFZHTIG-LJQANCHMSA-N 0 0 435.506 -0.277 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000550099658 1072153738 /nfs/dbraw/zinc/15/37/38/1072153738.db2.gz GBHISXVSWKZNND-KRWDZBQOSA-N 0 0 429.564 -0.005 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000550100331 1072153333 /nfs/dbraw/zinc/15/33/33/1072153333.db2.gz WCMSFCIRJOMXBU-INIZCTEOSA-N 0 0 430.552 -0.162 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CCCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000550100332 1072153271 /nfs/dbraw/zinc/15/32/71/1072153271.db2.gz WCMSFCIRJOMXBU-MRXNPFEDSA-N 0 0 430.552 -0.162 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000550100606 1072152772 /nfs/dbraw/zinc/15/27/72/1072152772.db2.gz KONWNMVABVJZKA-GFCCVEGCSA-N 0 0 434.540 -0.701 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000550100607 1072152636 /nfs/dbraw/zinc/15/26/36/1072152636.db2.gz KONWNMVABVJZKA-LBPRGKRZSA-N 0 0 434.540 -0.701 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000550101485 1072153255 /nfs/dbraw/zinc/15/32/55/1072153255.db2.gz HYICOLUMWHLOHV-UHFFFAOYSA-N 0 0 446.551 -0.762 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000550101702 1072153206 /nfs/dbraw/zinc/15/32/06/1072153206.db2.gz SVDSGBKNJBLHKZ-BBRMVZONSA-N 0 0 438.506 -0.639 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000550101708 1072153330 /nfs/dbraw/zinc/15/33/30/1072153330.db2.gz SVDSGBKNJBLHKZ-CJNGLKHVSA-N 0 0 438.506 -0.639 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000550101710 1072153265 /nfs/dbraw/zinc/15/32/65/1072153265.db2.gz SVDSGBKNJBLHKZ-CZUORRHYSA-N 0 0 438.506 -0.639 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000550101712 1072153299 /nfs/dbraw/zinc/15/32/99/1072153299.db2.gz SVDSGBKNJBLHKZ-XJKSGUPXSA-N 0 0 438.506 -0.639 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000550102702 1072153242 /nfs/dbraw/zinc/15/32/42/1072153242.db2.gz BALOSSPKVYSOLF-GFCCVEGCSA-N 0 0 432.524 -0.995 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000550102704 1072153193 /nfs/dbraw/zinc/15/31/93/1072153193.db2.gz BALOSSPKVYSOLF-LBPRGKRZSA-N 0 0 432.524 -0.995 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CSc2nncn2-c2ccc(OC)cc2)CC1 ZINC000550102976 1072153321 /nfs/dbraw/zinc/15/33/21/1072153321.db2.gz WRYWXZZEEYVWGK-UHFFFAOYSA-N 0 0 426.524 -0.024 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H](C)c3cccc(S(N)(=O)=O)c3)CC2)ncn1 ZINC000550103146 1072153223 /nfs/dbraw/zinc/15/32/23/1072153223.db2.gz FXFFRUGCRFFBGZ-CYBMUJFWSA-N 0 0 448.505 -0.341 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H](C)c3cccc(S(N)(=O)=O)c3)CC2)ncn1 ZINC000550103147 1072153338 /nfs/dbraw/zinc/15/33/38/1072153338.db2.gz FXFFRUGCRFFBGZ-ZDUSSCGKSA-N 0 0 448.505 -0.341 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000550103610 1072153181 /nfs/dbraw/zinc/15/31/81/1072153181.db2.gz CJMDOELRHVRJDG-DOMZBBRYSA-N 0 0 446.551 -0.560 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000550103612 1072153289 /nfs/dbraw/zinc/15/32/89/1072153289.db2.gz CJMDOELRHVRJDG-IUODEOHRSA-N 0 0 446.551 -0.560 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000550103613 1072153294 /nfs/dbraw/zinc/15/32/94/1072153294.db2.gz CJMDOELRHVRJDG-SWLSCSKDSA-N 0 0 446.551 -0.560 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000550103614 1072153280 /nfs/dbraw/zinc/15/32/80/1072153280.db2.gz CJMDOELRHVRJDG-WFASDCNBSA-N 0 0 446.551 -0.560 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1)c1cccc(S(N)(=O)=O)c1 ZINC000550104186 1072153882 /nfs/dbraw/zinc/15/38/82/1072153882.db2.gz LCVRPWGVXDPSRX-GFCCVEGCSA-N 0 0 434.478 -0.880 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1)c1cccc(S(N)(=O)=O)c1 ZINC000550104187 1072153837 /nfs/dbraw/zinc/15/38/37/1072153837.db2.gz LCVRPWGVXDPSRX-LBPRGKRZSA-N 0 0 434.478 -0.880 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CCCN2C(=O)NC(C)(C)C2=O)C[C@H]1O ZINC000550106355 1072154294 /nfs/dbraw/zinc/15/42/94/1072154294.db2.gz OJQNHAKERJKLNH-UONOGXRCSA-N 0 0 426.514 -0.058 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CCCN2C(=O)NC(C)(C)C2=O)C[C@H]1O ZINC000550106356 1072154273 /nfs/dbraw/zinc/15/42/73/1072154273.db2.gz OJQNHAKERJKLNH-ZIAGYGMSSA-N 0 0 426.514 -0.058 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3nnc4n3CCCCC4)CC2)nc1 ZINC000550106369 1072154310 /nfs/dbraw/zinc/15/43/10/1072154310.db2.gz AEPQZLJNDJWXBI-UHFFFAOYSA-N 0 0 426.481 -0.537 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)C1 ZINC000550107164 1072154300 /nfs/dbraw/zinc/15/43/00/1072154300.db2.gz CJDOMXPYIUNNIZ-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)C1 ZINC000550107165 1072154256 /nfs/dbraw/zinc/15/42/56/1072154256.db2.gz CJDOMXPYIUNNIZ-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccccc1CN1CCOCC1)C(=O)N1CCOCC1 ZINC000550108809 1072153863 /nfs/dbraw/zinc/15/38/63/1072153863.db2.gz LPIMQMQSONGALF-IBGZPJMESA-N 0 0 432.521 -0.111 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccccc1CN1CCOCC1)C(=O)N1CCOCC1 ZINC000550108811 1072153815 /nfs/dbraw/zinc/15/38/15/1072153815.db2.gz LPIMQMQSONGALF-LJQANCHMSA-N 0 0 432.521 -0.111 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(Cc2nccn2C)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000550109449 1072154934 /nfs/dbraw/zinc/15/49/34/1072154934.db2.gz NDQMLKAGQXVSFN-AWEZNQCLSA-N 0 0 434.522 -0.411 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(Cc2nccn2C)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000550109450 1072154951 /nfs/dbraw/zinc/15/49/51/1072154951.db2.gz NDQMLKAGQXVSFN-CQSZACIVSA-N 0 0 434.522 -0.411 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000550109526 1072153787 /nfs/dbraw/zinc/15/37/87/1072153787.db2.gz RGSZGNKUHNTTGZ-UHFFFAOYSA-N 0 0 445.564 -0.196 20 0 IBADRN O=C(NCc1ccccc1CN1CCOCC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000550109606 1072153751 /nfs/dbraw/zinc/15/37/51/1072153751.db2.gz SSKMZFXXXUYBCI-FQEVSTJZSA-N 0 0 444.532 -0.015 20 0 IBADRN O=C(NCc1ccccc1CN1CCOCC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000550109607 1072153799 /nfs/dbraw/zinc/15/37/99/1072153799.db2.gz SSKMZFXXXUYBCI-HXUWFJFHSA-N 0 0 444.532 -0.015 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NCc1nnc2n1CCCCC2 ZINC000550109904 1072153920 /nfs/dbraw/zinc/15/39/20/1072153920.db2.gz QEZCWLVVHRMJPG-UHFFFAOYSA-N 0 0 441.540 -0.261 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CCN1c1nccn2cnnc12 ZINC000550110493 1072155399 /nfs/dbraw/zinc/15/53/99/1072155399.db2.gz ZOWYYJWRNIJTIF-AWEZNQCLSA-N 0 0 438.496 -0.204 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CCN1c1nccn2cnnc12 ZINC000550110496 1072155384 /nfs/dbraw/zinc/15/53/84/1072155384.db2.gz ZOWYYJWRNIJTIF-CQSZACIVSA-N 0 0 438.496 -0.204 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](CO)CN3CCOCC3)CC2)cc1 ZINC000550111577 1072154915 /nfs/dbraw/zinc/15/49/15/1072154915.db2.gz XBZXGYBASDNSCU-GOSISDBHSA-N 0 0 440.566 -0.889 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H](CO)CN3CCOCC3)CC2)cc1 ZINC000550111578 1072154974 /nfs/dbraw/zinc/15/49/74/1072154974.db2.gz XBZXGYBASDNSCU-SFHVURJKSA-N 0 0 440.566 -0.889 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N[C@H](CO)CN2CCOCC2)CC1 ZINC000550116109 1072155485 /nfs/dbraw/zinc/15/54/85/1072155485.db2.gz OHFNQAJGIZWBSX-INIZCTEOSA-N 0 0 430.527 -0.499 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N[C@@H](CO)CN2CCOCC2)CC1 ZINC000550116110 1072155476 /nfs/dbraw/zinc/15/54/76/1072155476.db2.gz OHFNQAJGIZWBSX-MRXNPFEDSA-N 0 0 430.527 -0.499 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CSCC(=O)N2CCOCC2)C[C@H]1O ZINC000550121466 1072155492 /nfs/dbraw/zinc/15/54/92/1072155492.db2.gz CMQARSZTWUITCE-HUUCEWRRSA-N 0 0 431.555 -0.576 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CSCC(=O)N2CCOCC2)C[C@H]1O ZINC000550121467 1072155405 /nfs/dbraw/zinc/15/54/05/1072155405.db2.gz CMQARSZTWUITCE-LSDHHAIUSA-N 0 0 431.555 -0.576 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H]1O ZINC000550123494 1072155546 /nfs/dbraw/zinc/15/55/46/1072155546.db2.gz SSVQDVGAMYAJQQ-HUUCEWRRSA-N 0 0 447.492 -0.909 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H]1O ZINC000550123508 1072155444 /nfs/dbraw/zinc/15/54/44/1072155444.db2.gz SSVQDVGAMYAJQQ-LSDHHAIUSA-N 0 0 447.492 -0.909 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2ccc3c(c2)OCO3)CC1 ZINC000550124855 1072155928 /nfs/dbraw/zinc/15/59/28/1072155928.db2.gz IECFSIAWPPAWHI-INIZCTEOSA-N 0 0 446.504 -0.535 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2ccc3c(c2)OCO3)CC1 ZINC000550124856 1072155913 /nfs/dbraw/zinc/15/59/13/1072155913.db2.gz IECFSIAWPPAWHI-MRXNPFEDSA-N 0 0 446.504 -0.535 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000550126443 1072156020 /nfs/dbraw/zinc/15/60/20/1072156020.db2.gz MEKQYZSKTSGPQC-CYBMUJFWSA-N 0 0 427.504 -0.282 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000550126444 1072155982 /nfs/dbraw/zinc/15/59/82/1072155982.db2.gz MEKQYZSKTSGPQC-ZDUSSCGKSA-N 0 0 427.504 -0.282 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)C1 ZINC000550127602 1072155960 /nfs/dbraw/zinc/15/59/60/1072155960.db2.gz GYGTWCLPGPJCQZ-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)C1 ZINC000550127603 1072156000 /nfs/dbraw/zinc/15/60/00/1072156000.db2.gz GYGTWCLPGPJCQZ-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCc3ccc(CN4CCOCC4)cc3)C2=O)cn1 ZINC000550129554 1072156622 /nfs/dbraw/zinc/15/66/22/1072156622.db2.gz JOPTWQHWMFLZDA-IBGZPJMESA-N 0 0 440.504 -0.210 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccc(CN4CCOCC4)cc3)C2=O)cn1 ZINC000550129555 1072156593 /nfs/dbraw/zinc/15/65/93/1072156593.db2.gz JOPTWQHWMFLZDA-LJQANCHMSA-N 0 0 440.504 -0.210 20 0 IBADRN Cc1nc2ccccc2n1CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000550130598 1072156032 /nfs/dbraw/zinc/15/60/32/1072156032.db2.gz ZYQRQMLGDVHUHL-UHFFFAOYSA-N 0 0 442.520 -0.536 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000550131392 1072155950 /nfs/dbraw/zinc/15/59/50/1072155950.db2.gz LKLWBMJLUIIHBO-HNNXBMFYSA-N 0 0 435.506 -0.878 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000550131393 1072155994 /nfs/dbraw/zinc/15/59/94/1072155994.db2.gz LKLWBMJLUIIHBO-OAHLLOKOSA-N 0 0 435.506 -0.878 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc(OC)c1OC ZINC000550132069 1072156024 /nfs/dbraw/zinc/15/60/24/1072156024.db2.gz AHSHHVGKYFVYJF-UHFFFAOYSA-N 0 0 436.509 -0.003 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cc(OC)c(OC)c(OC)c1 ZINC000550134047 1072156507 /nfs/dbraw/zinc/15/65/07/1072156507.db2.gz WTNLJHQAEBLWRM-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cc(OC)c(OC)c(OC)c1 ZINC000550134049 1072156573 /nfs/dbraw/zinc/15/65/73/1072156573.db2.gz WTNLJHQAEBLWRM-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN CCc1ccc(C(=O)N[C@@H](CO)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000550137666 1072156562 /nfs/dbraw/zinc/15/65/62/1072156562.db2.gz SKEOXBBNCWJMOC-GOSISDBHSA-N 0 0 441.550 -0.307 20 0 IBADRN CCc1ccc(C(=O)N[C@H](CO)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000550137667 1072156519 /nfs/dbraw/zinc/15/65/19/1072156519.db2.gz SKEOXBBNCWJMOC-SFHVURJKSA-N 0 0 441.550 -0.307 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1 ZINC000550141798 1073313393 /nfs/dbraw/zinc/31/33/93/1073313393.db2.gz IUSMGOINDAEIGI-UHFFFAOYSA-N 0 0 444.492 0.574 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cn3ccsc3n2)CC1 ZINC000550146240 1072157622 /nfs/dbraw/zinc/15/76/22/1072157622.db2.gz BJEYWJBFUKBPIK-UHFFFAOYSA-N 0 0 443.551 -0.089 20 0 IBADRN O=C(NCCCOC[C@@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000550146959 1072157570 /nfs/dbraw/zinc/15/75/70/1072157570.db2.gz KDYUDEPQVHXQEM-INIZCTEOSA-N 0 0 444.510 -0.650 20 0 IBADRN O=C(NCCCOC[C@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000550146960 1072157654 /nfs/dbraw/zinc/15/76/54/1072157654.db2.gz KDYUDEPQVHXQEM-MRXNPFEDSA-N 0 0 444.510 -0.650 20 0 IBADRN Cc1ccc(OCCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000550149585 1072157594 /nfs/dbraw/zinc/15/75/94/1072157594.db2.gz AOUCECNMXRZWMW-UHFFFAOYSA-N 0 0 446.504 -0.672 20 0 IBADRN O=C(NCc1ccc(CN2CCOCC2)cc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000550151154 1072157147 /nfs/dbraw/zinc/15/71/47/1072157147.db2.gz PXZQEICUEQQAQJ-FQEVSTJZSA-N 0 0 444.532 -0.015 20 0 IBADRN O=C(NCc1ccc(CN2CCOCC2)cc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000550151155 1072157096 /nfs/dbraw/zinc/15/70/96/1072157096.db2.gz PXZQEICUEQQAQJ-HXUWFJFHSA-N 0 0 444.532 -0.015 20 0 IBADRN O=C(NCc1cn2ccsc2n1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000550151502 1072157082 /nfs/dbraw/zinc/15/70/82/1072157082.db2.gz FUNZHUQPSWLGLK-UHFFFAOYSA-N 0 0 438.491 -0.326 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000550151798 1072157123 /nfs/dbraw/zinc/15/71/23/1072157123.db2.gz ZHEKPNHUUVGPRC-UHFFFAOYSA-N 0 0 445.564 -0.196 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cc(OC)c(OC)c(OC)c2)C1 ZINC000550152021 1072157086 /nfs/dbraw/zinc/15/70/86/1072157086.db2.gz PJSGOMALGDTZLT-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cc(OC)c(OC)c(OC)c2)C1 ZINC000550152022 1072157121 /nfs/dbraw/zinc/15/71/21/1072157121.db2.gz PJSGOMALGDTZLT-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN COc1ccc(CC(=O)N2CC(=O)N(C)C(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000550156276 1072157610 /nfs/dbraw/zinc/15/76/10/1072157610.db2.gz QCJGIBISOPEQPU-UHFFFAOYSA-N 0 0 425.463 -0.914 20 0 IBADRN O=C(NCCCOC[C@@H]1CCCO1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550164683 1072158088 /nfs/dbraw/zinc/15/80/88/1072158088.db2.gz KXTABNCHWPAJFP-DLBZAZTESA-N 0 0 447.554 -0.659 20 0 IBADRN O=C(NCCCOC[C@H]1CCCO1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550164689 1072158187 /nfs/dbraw/zinc/15/81/87/1072158187.db2.gz KXTABNCHWPAJFP-IAGOWNOFSA-N 0 0 447.554 -0.659 20 0 IBADRN O=C(NCCCOC[C@@H]1CCCO1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550164691 1072158095 /nfs/dbraw/zinc/15/80/95/1072158095.db2.gz KXTABNCHWPAJFP-IRXDYDNUSA-N 0 0 447.554 -0.659 20 0 IBADRN O=C(NCCCOC[C@H]1CCCO1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550164693 1072158611 /nfs/dbraw/zinc/15/86/11/1072158611.db2.gz KXTABNCHWPAJFP-SJORKVTESA-N 0 0 447.554 -0.659 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000550165936 1072158704 /nfs/dbraw/zinc/15/87/04/1072158704.db2.gz PTQIQUSPIPBQQF-UHFFFAOYSA-N 0 0 434.540 -0.546 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000550166640 1072158713 /nfs/dbraw/zinc/15/87/13/1072158713.db2.gz YKUZMLYADZSMPU-UHFFFAOYSA-N 0 0 448.586 -0.191 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000550167627 1072158775 /nfs/dbraw/zinc/15/87/75/1072158775.db2.gz UYIPIGJQMMVQJZ-HDICACEKSA-N 0 0 426.558 -0.196 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000550167629 1072158586 /nfs/dbraw/zinc/15/85/86/1072158586.db2.gz UYIPIGJQMMVQJZ-QZTJIDSGSA-N 0 0 426.558 -0.196 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000550167630 1072158695 /nfs/dbraw/zinc/15/86/95/1072158695.db2.gz UYIPIGJQMMVQJZ-ROUUACIJSA-N 0 0 426.558 -0.196 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccncc3)CC2)C[C@H](C)O1 ZINC000550168856 1072159064 /nfs/dbraw/zinc/15/90/64/1072159064.db2.gz ADTZPVCXIYCBTC-GASCZTMLSA-N 0 0 425.511 -0.804 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccncc3)CC2)C[C@H](C)O1 ZINC000550168857 1072159147 /nfs/dbraw/zinc/15/91/47/1072159147.db2.gz ADTZPVCXIYCBTC-GJZGRUSLSA-N 0 0 425.511 -0.804 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccncc3)CC2)C[C@@H](C)O1 ZINC000550168858 1072159118 /nfs/dbraw/zinc/15/91/18/1072159118.db2.gz ADTZPVCXIYCBTC-HUUCEWRRSA-N 0 0 425.511 -0.804 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550169328 1072159103 /nfs/dbraw/zinc/15/91/03/1072159103.db2.gz USUACRUHGOMVBR-GXTWGEPZSA-N 0 0 433.914 -0.267 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550169329 1072159243 /nfs/dbraw/zinc/15/92/43/1072159243.db2.gz USUACRUHGOMVBR-JSGCOSHPSA-N 0 0 433.914 -0.267 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550169330 1072159152 /nfs/dbraw/zinc/15/91/52/1072159152.db2.gz USUACRUHGOMVBR-OCCSQVGLSA-N 0 0 433.914 -0.267 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550169331 1072159195 /nfs/dbraw/zinc/15/91/95/1072159195.db2.gz USUACRUHGOMVBR-TZMCWYRMSA-N 0 0 433.914 -0.267 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1C(=O)N[C@]2(CCOC2)C1=O ZINC000550169977 1072159028 /nfs/dbraw/zinc/15/90/28/1072159028.db2.gz RMMDDRLBGWBVFI-IBGZPJMESA-N 0 0 436.490 -0.073 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1C(=O)N[C@@]2(CCOC2)C1=O ZINC000550169978 1072159258 /nfs/dbraw/zinc/15/92/58/1072159258.db2.gz RMMDDRLBGWBVFI-LJQANCHMSA-N 0 0 436.490 -0.073 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3cn4ccsc4n3)CC2)no1 ZINC000550170722 1072159217 /nfs/dbraw/zinc/15/92/17/1072159217.db2.gz VYHRKPWSQNOKCY-UHFFFAOYSA-N 0 0 431.478 0.091 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000550171403 1072158754 /nfs/dbraw/zinc/15/87/54/1072158754.db2.gz FPPZXHOILZANFU-IBGZPJMESA-N 0 0 432.521 -0.070 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000550171412 1072158599 /nfs/dbraw/zinc/15/85/99/1072158599.db2.gz FPPZXHOILZANFU-LJQANCHMSA-N 0 0 432.521 -0.070 20 0 IBADRN O=C(NCc1cn2ccsc2n1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550172617 1072159164 /nfs/dbraw/zinc/15/91/64/1072159164.db2.gz QHXXUWUTXZBUCP-AWEZNQCLSA-N 0 0 441.535 -0.335 20 0 IBADRN O=C(NCc1cn2ccsc2n1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550172618 1072159201 /nfs/dbraw/zinc/15/92/01/1072159201.db2.gz QHXXUWUTXZBUCP-CQSZACIVSA-N 0 0 441.535 -0.335 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000550174828 1072159049 /nfs/dbraw/zinc/15/90/49/1072159049.db2.gz XVTDSHVHTJMPNY-GOSISDBHSA-N 0 0 438.550 -0.330 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000550174836 1072159132 /nfs/dbraw/zinc/15/91/32/1072159132.db2.gz XVTDSHVHTJMPNY-SFHVURJKSA-N 0 0 438.550 -0.330 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)CC1 ZINC000550179977 1072159208 /nfs/dbraw/zinc/15/92/08/1072159208.db2.gz CRMHPKQEVFAJCQ-UHFFFAOYSA-N 0 0 428.555 -0.222 20 0 IBADRN C[C@@H](O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000550180222 1072159743 /nfs/dbraw/zinc/15/97/43/1072159743.db2.gz HUAJVDCDNLQFRU-CHWSQXEVSA-N 0 0 431.536 -0.386 20 0 IBADRN C[C@@H](O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000550180229 1072159780 /nfs/dbraw/zinc/15/97/80/1072159780.db2.gz HUAJVDCDNLQFRU-OLZOCXBDSA-N 0 0 431.536 -0.386 20 0 IBADRN C[C@H](O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000550180231 1072159707 /nfs/dbraw/zinc/15/97/07/1072159707.db2.gz HUAJVDCDNLQFRU-QWHCGFSZSA-N 0 0 431.536 -0.386 20 0 IBADRN C[C@H](O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000550180233 1072159736 /nfs/dbraw/zinc/15/97/36/1072159736.db2.gz HUAJVDCDNLQFRU-STQMWFEESA-N 0 0 431.536 -0.386 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1CCC[C@@H](CO)C1 ZINC000550180249 1072159649 /nfs/dbraw/zinc/15/96/49/1072159649.db2.gz JHYVPUKYWUDQHN-CYBMUJFWSA-N 0 0 431.536 -0.384 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1CCC[C@H](CO)C1 ZINC000550180250 1072159702 /nfs/dbraw/zinc/15/97/02/1072159702.db2.gz JHYVPUKYWUDQHN-ZDUSSCGKSA-N 0 0 431.536 -0.384 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@H](CO)C1 ZINC000550180338 1072159791 /nfs/dbraw/zinc/15/97/91/1072159791.db2.gz MAFUVQADUNNUHU-INIZCTEOSA-N 0 0 425.507 -0.445 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@@H](CO)C1 ZINC000550180340 1072159754 /nfs/dbraw/zinc/15/97/54/1072159754.db2.gz MAFUVQADUNNUHU-MRXNPFEDSA-N 0 0 425.507 -0.445 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1)N1CCCC1 ZINC000550182816 1072159721 /nfs/dbraw/zinc/15/97/21/1072159721.db2.gz LAGFSQNGBKTKOP-UHFFFAOYSA-N 0 0 444.536 -0.699 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000550182960 1072159659 /nfs/dbraw/zinc/15/96/59/1072159659.db2.gz NDAITKYCLBSAGE-UHFFFAOYSA-N 0 0 432.506 -0.236 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000550182989 1072159716 /nfs/dbraw/zinc/15/97/16/1072159716.db2.gz OYSYCSISHDJIRY-UHFFFAOYSA-N 0 0 430.490 -0.556 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOCC3CC3)CC2)C[C@H](C)O1 ZINC000550184708 1072159786 /nfs/dbraw/zinc/15/97/86/1072159786.db2.gz VUXNINSVNTYTQR-HOTGVXAUSA-N 0 0 446.570 -0.583 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOCC3CC3)CC2)C[C@@H](C)O1 ZINC000550184710 1072159694 /nfs/dbraw/zinc/15/96/94/1072159694.db2.gz VUXNINSVNTYTQR-HZPDHXFCSA-N 0 0 446.570 -0.583 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOCC3CC3)CC2)C[C@H](C)O1 ZINC000550184711 1072159628 /nfs/dbraw/zinc/15/96/28/1072159628.db2.gz VUXNINSVNTYTQR-IYBDPMFKSA-N 0 0 446.570 -0.583 20 0 IBADRN C[C@H](O)[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000550185000 1072159747 /nfs/dbraw/zinc/15/97/47/1072159747.db2.gz LUJOORCYYIBROF-DOTOQJQBSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@@H](O)[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000550185001 1072159729 /nfs/dbraw/zinc/15/97/29/1072159729.db2.gz LUJOORCYYIBROF-NVXWUHKLSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@H](O)[C@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000550185002 1072159777 /nfs/dbraw/zinc/15/97/77/1072159777.db2.gz LUJOORCYYIBROF-RDJZCZTQSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@@H](O)[C@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000550185003 1072159769 /nfs/dbraw/zinc/15/97/69/1072159769.db2.gz LUJOORCYYIBROF-WBVHZDCISA-N 0 0 438.550 -0.532 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCC[C@H](CO)C1 ZINC000550185199 1072160766 /nfs/dbraw/zinc/16/07/66/1072160766.db2.gz NHJJTJCQSABIQX-DLBZAZTESA-N 0 0 432.525 -0.371 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCC[C@@H](CO)C1 ZINC000550185200 1072160773 /nfs/dbraw/zinc/16/07/73/1072160773.db2.gz NHJJTJCQSABIQX-IAGOWNOFSA-N 0 0 432.525 -0.371 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCC[C@H](CO)C1 ZINC000550185202 1072160819 /nfs/dbraw/zinc/16/08/19/1072160819.db2.gz NHJJTJCQSABIQX-IRXDYDNUSA-N 0 0 432.525 -0.371 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCC[C@@H](CO)C1 ZINC000550185205 1072160785 /nfs/dbraw/zinc/16/07/85/1072160785.db2.gz NHJJTJCQSABIQX-SJORKVTESA-N 0 0 432.525 -0.371 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCC[C@H](CO)C2)CC1 ZINC000550185386 1072160807 /nfs/dbraw/zinc/16/08/07/1072160807.db2.gz QXHOVMLNSZVHDA-INIZCTEOSA-N 0 0 438.550 -0.530 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCC[C@@H](CO)C2)CC1 ZINC000550185388 1072160798 /nfs/dbraw/zinc/16/07/98/1072160798.db2.gz QXHOVMLNSZVHDA-MRXNPFEDSA-N 0 0 438.550 -0.530 20 0 IBADRN O=C(NCCc1ccccn1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550185563 1072160756 /nfs/dbraw/zinc/16/07/56/1072160756.db2.gz VCHLNMLOBWZOOZ-UHFFFAOYSA-N 0 0 446.533 -0.597 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550185565 1072160733 /nfs/dbraw/zinc/16/07/33/1072160733.db2.gz XACIFYHUAMLIKN-KRWDZBQOSA-N 0 0 442.524 -0.210 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550185572 1072160810 /nfs/dbraw/zinc/16/08/10/1072160810.db2.gz XACIFYHUAMLIKN-QGZVFWFLSA-N 0 0 442.524 -0.210 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCOC[C@H]2C[C@@H](C)O)c1 ZINC000550185672 1072160216 /nfs/dbraw/zinc/16/02/16/1072160216.db2.gz YYDPHIMVALPCHV-GDBMZVCRSA-N 0 0 443.522 -0.774 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCOC[C@H]2C[C@H](C)O)c1 ZINC000550185677 1072160223 /nfs/dbraw/zinc/16/02/23/1072160223.db2.gz YYDPHIMVALPCHV-GOEBONIOSA-N 0 0 443.522 -0.774 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCOC[C@@H]2C[C@H](C)O)c1 ZINC000550185678 1072160177 /nfs/dbraw/zinc/16/01/77/1072160177.db2.gz YYDPHIMVALPCHV-HOCLYGCPSA-N 0 0 443.522 -0.774 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](C)O)c1 ZINC000550185679 1072160123 /nfs/dbraw/zinc/16/01/23/1072160123.db2.gz YYDPHIMVALPCHV-ZBFHGGJFSA-N 0 0 443.522 -0.774 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000550186031 1072159037 /nfs/dbraw/zinc/15/90/37/1072159037.db2.gz JSFSQEYNRIJZST-UHFFFAOYSA-N 0 0 443.483 -0.446 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(OC(F)(F)F)cc2)CC1 ZINC000550186991 1072160803 /nfs/dbraw/zinc/16/08/03/1072160803.db2.gz VILPQIKSEIKRBM-UHFFFAOYSA-N 0 0 441.370 -0.441 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000550187749 1072160794 /nfs/dbraw/zinc/16/07/94/1072160794.db2.gz FRJKADBSEOPSEZ-KRWDZBQOSA-N 0 0 446.552 -0.407 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000550187751 1072160764 /nfs/dbraw/zinc/16/07/64/1072160764.db2.gz FRJKADBSEOPSEZ-QGZVFWFLSA-N 0 0 446.552 -0.407 20 0 IBADRN COCCn1nnc2c1CCN(CC(=O)N1CCN(c3ncnc4c3cnn4C)CC1)C2 ZINC000550188932 1072160777 /nfs/dbraw/zinc/16/07/77/1072160777.db2.gz HVQJIMUVBFMAED-UHFFFAOYSA-N 0 0 440.512 -0.692 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(N2CCOCC2)nc1 ZINC000550191316 1072160163 /nfs/dbraw/zinc/16/01/63/1072160163.db2.gz FGNJYIGIJYLIOU-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(N2CCOCC2)nc1 ZINC000550191317 1072160239 /nfs/dbraw/zinc/16/02/39/1072160239.db2.gz FGNJYIGIJYLIOU-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN COc1ccc(NC(=O)c2cc(S(N)(=O)=O)cn2C)c(N2CCN(C(C)=O)CC2)n1 ZINC000550192244 1072160211 /nfs/dbraw/zinc/16/02/11/1072160211.db2.gz NBQSZHYOZNZLGL-UHFFFAOYSA-N 0 0 436.494 -0.003 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC1(c3ccccc3)CCC1)C2 ZINC000550192563 1072160142 /nfs/dbraw/zinc/16/01/42/1072160142.db2.gz VCMUXYNPRVLQOJ-UHFFFAOYSA-N 0 0 440.504 -0.287 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000550193700 1072160232 /nfs/dbraw/zinc/16/02/32/1072160232.db2.gz GYEYZYGZDINOKU-INIZCTEOSA-N 0 0 439.538 -0.678 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000550193708 1072160181 /nfs/dbraw/zinc/16/01/81/1072160181.db2.gz GYEYZYGZDINOKU-MRXNPFEDSA-N 0 0 439.538 -0.678 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2c(C)cc(C)[nH]c2=O)C1 ZINC000550194105 1072160155 /nfs/dbraw/zinc/16/01/55/1072160155.db2.gz IKAQAGAERQIJOI-HNNXBMFYSA-N 0 0 442.538 -0.081 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2c(C)cc(C)[nH]c2=O)C1 ZINC000550194114 1072160228 /nfs/dbraw/zinc/16/02/28/1072160228.db2.gz IKAQAGAERQIJOI-OAHLLOKOSA-N 0 0 442.538 -0.081 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3(c4ccccc4)CC3)CC2)CC1 ZINC000550194253 1072160117 /nfs/dbraw/zinc/16/01/17/1072160117.db2.gz JITDRUCDBGRDBR-UHFFFAOYSA-N 0 0 449.577 -0.529 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1)NC1CCCCC1 ZINC000550194682 1072161336 /nfs/dbraw/zinc/16/13/36/1072161336.db2.gz KIRCHLZUSVEMQQ-UHFFFAOYSA-N 0 0 427.509 -0.061 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)CC2)n1 ZINC000550195140 1072160187 /nfs/dbraw/zinc/16/01/87/1072160187.db2.gz SYQHZJUWBUSPLD-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1 ZINC000550196181 1072161292 /nfs/dbraw/zinc/16/12/92/1072161292.db2.gz OQZYDJAIXTWCEO-HNNXBMFYSA-N 0 0 431.497 -0.969 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1 ZINC000550196182 1072161894 /nfs/dbraw/zinc/16/18/94/1072161894.db2.gz OQZYDJAIXTWCEO-OAHLLOKOSA-N 0 0 431.497 -0.969 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H](C)CN2CCOCC2)ccc1S(=O)(=O)N(C)C ZINC000550197142 1072161889 /nfs/dbraw/zinc/16/18/89/1072161889.db2.gz LQUSYCOMKZTOKX-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H](C)CN2CCOCC2)ccc1S(=O)(=O)N(C)C ZINC000550197143 1072161901 /nfs/dbraw/zinc/16/19/01/1072161901.db2.gz LQUSYCOMKZTOKX-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC(=O)N1C ZINC000550197242 1072161357 /nfs/dbraw/zinc/16/13/57/1072161357.db2.gz AYADJEDUAJKXNR-GFCCVEGCSA-N 0 0 444.535 -0.926 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC(=O)N1C ZINC000550197243 1072161288 /nfs/dbraw/zinc/16/12/88/1072161288.db2.gz AYADJEDUAJKXNR-LBPRGKRZSA-N 0 0 444.535 -0.926 20 0 IBADRN O=C(NCC[C@@H]1CCOC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000550197282 1072161248 /nfs/dbraw/zinc/16/12/48/1072161248.db2.gz BHXKFUCBQJKVCH-CYBMUJFWSA-N 0 0 431.536 -0.072 20 0 IBADRN O=C(NCC[C@H]1CCOC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000550197289 1072161221 /nfs/dbraw/zinc/16/12/21/1072161221.db2.gz BHXKFUCBQJKVCH-ZDUSSCGKSA-N 0 0 431.536 -0.072 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)NCC1CCOCC1 ZINC000550197304 1072161321 /nfs/dbraw/zinc/16/13/21/1072161321.db2.gz CMSRVDPRAZQBID-UHFFFAOYSA-N 0 0 431.536 -0.072 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCC1=O ZINC000550197326 1072161251 /nfs/dbraw/zinc/16/12/51/1072161251.db2.gz DHYYADLPITXCCR-GFCCVEGCSA-N 0 0 444.535 -0.878 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCC1=O ZINC000550197327 1072161282 /nfs/dbraw/zinc/16/12/82/1072161282.db2.gz DHYYADLPITXCCR-LBPRGKRZSA-N 0 0 444.535 -0.878 20 0 IBADRN C[C@@]1(CO)CCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000550197884 1072160754 /nfs/dbraw/zinc/16/07/54/1072160754.db2.gz NWTOYNZRQLSVHJ-KRWDZBQOSA-N 0 0 431.536 -0.241 20 0 IBADRN C[C@]1(CO)CCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000550197885 1072160760 /nfs/dbraw/zinc/16/07/60/1072160760.db2.gz NWTOYNZRQLSVHJ-QGZVFWFLSA-N 0 0 431.536 -0.241 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCOC1 ZINC000550197932 1072160746 /nfs/dbraw/zinc/16/07/46/1072160746.db2.gz QIZGXPUOTNPJJB-KRWDZBQOSA-N 0 0 431.536 -0.072 20 0 IBADRN C[C@]1(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCOC1 ZINC000550197933 1072160762 /nfs/dbraw/zinc/16/07/62/1072160762.db2.gz QIZGXPUOTNPJJB-QGZVFWFLSA-N 0 0 431.536 -0.072 20 0 IBADRN O=C(NCCOCC1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000550198273 1072161231 /nfs/dbraw/zinc/16/12/31/1072161231.db2.gz VCAKGWRVUIJCDW-UHFFFAOYSA-N 0 0 431.536 -0.072 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000550198843 1072161259 /nfs/dbraw/zinc/16/12/59/1072161259.db2.gz MUZJPFQVZPRFOM-INIZCTEOSA-N 0 0 426.539 -0.091 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000550199115 1072161362 /nfs/dbraw/zinc/16/13/62/1072161362.db2.gz YJAQJCSCJPMDQH-HNNXBMFYSA-N 0 0 448.929 -0.269 20 0 IBADRN CCN(C(=O)CN(C)[C@@H](COC)C(N)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000550199966 1072161919 /nfs/dbraw/zinc/16/19/19/1072161919.db2.gz SFDPCGQNBVQUSY-AWEZNQCLSA-N 0 0 432.481 -0.636 20 0 IBADRN C[C@@H](CCc1ccc(O)cc1)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000550202512 1072162628 /nfs/dbraw/zinc/16/26/28/1072162628.db2.gz PMDVOXXQDBOURY-KRWDZBQOSA-N 0 0 432.521 -0.168 20 0 IBADRN C[C@H](CCc1ccc(O)cc1)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000550202513 1072162602 /nfs/dbraw/zinc/16/26/02/1072162602.db2.gz PMDVOXXQDBOURY-QGZVFWFLSA-N 0 0 432.521 -0.168 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCCc3nnc4n3CCCCC4)C2=O)n1 ZINC000550203191 1072162634 /nfs/dbraw/zinc/16/26/34/1072162634.db2.gz NHRGBVFYYJIRNU-AWEZNQCLSA-N 0 0 428.497 -0.292 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCCc3nnc4n3CCCCC4)C2=O)n1 ZINC000550203192 1072162623 /nfs/dbraw/zinc/16/26/23/1072162623.db2.gz NHRGBVFYYJIRNU-CQSZACIVSA-N 0 0 428.497 -0.292 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)c1 ZINC000550204070 1072162649 /nfs/dbraw/zinc/16/26/49/1072162649.db2.gz AFIADFQJWDKOFR-UHFFFAOYSA-N 0 0 426.477 -0.237 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000550204484 1072162537 /nfs/dbraw/zinc/16/25/37/1072162537.db2.gz NKQXRRVELUYPID-UHFFFAOYSA-N 0 0 433.557 -0.324 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000550205448 1072162675 /nfs/dbraw/zinc/16/26/75/1072162675.db2.gz GQILBTUUMRUYGK-BBWFWOEESA-N 0 0 437.585 -0.198 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000550205449 1072162561 /nfs/dbraw/zinc/16/25/61/1072162561.db2.gz GQILBTUUMRUYGK-BRWVUGGUSA-N 0 0 437.585 -0.198 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000550205450 1072162580 /nfs/dbraw/zinc/16/25/80/1072162580.db2.gz GQILBTUUMRUYGK-IXDOHACOSA-N 0 0 437.585 -0.198 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000550205451 1072162612 /nfs/dbraw/zinc/16/26/12/1072162612.db2.gz GQILBTUUMRUYGK-ZACQAIPSSA-N 0 0 437.585 -0.198 20 0 IBADRN COc1ccc2cc(CNC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc2c1 ZINC000550207043 1072162641 /nfs/dbraw/zinc/16/26/41/1072162641.db2.gz SDBYDNDKZUMQTK-UHFFFAOYSA-N 0 0 437.460 -0.178 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000550207246 1072162638 /nfs/dbraw/zinc/16/26/38/1072162638.db2.gz FLPWAELADFPMJK-INIZCTEOSA-N 0 0 448.549 -0.360 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000550207247 1072162550 /nfs/dbraw/zinc/16/25/50/1072162550.db2.gz FLPWAELADFPMJK-MRXNPFEDSA-N 0 0 448.549 -0.360 20 0 IBADRN O=C(NCCc1nnc2n1CCCCC2)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000550207722 1072162662 /nfs/dbraw/zinc/16/26/62/1072162662.db2.gz PRAHVVXRXIUCNB-UHFFFAOYSA-N 0 0 441.540 -0.608 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1)N1CCCC1 ZINC000550209131 1072162543 /nfs/dbraw/zinc/16/25/43/1072162543.db2.gz FDYCPAMKNVPMEO-UHFFFAOYSA-N 0 0 431.541 -0.570 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000550209771 1072163253 /nfs/dbraw/zinc/16/32/53/1072163253.db2.gz MJCPMJXAEABIMN-HNNXBMFYSA-N 0 0 431.541 -0.526 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000550209772 1072163214 /nfs/dbraw/zinc/16/32/14/1072163214.db2.gz MJCPMJXAEABIMN-OAHLLOKOSA-N 0 0 431.541 -0.526 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCc3nnc4n3CCCCC4)CC2)nc1 ZINC000550210307 1072163249 /nfs/dbraw/zinc/16/32/49/1072163249.db2.gz XKNVUEDGUSBLJC-UHFFFAOYSA-N 0 0 440.508 -0.494 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCCc3nnc4n3CCCCC4)CC2)ncn1 ZINC000550210322 1072163303 /nfs/dbraw/zinc/16/33/03/1072163303.db2.gz XULMDJKHSMMMTF-UHFFFAOYSA-N 0 0 428.497 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3C[C@H](CO)O[C@@H](C)C3)CC2)cc1 ZINC000550211841 1072162653 /nfs/dbraw/zinc/16/26/53/1072162653.db2.gz MUUXHFHOGZIFHM-DOTOQJQBSA-N 0 0 439.534 -0.127 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3C[C@@H](C)O[C@@H](CO)C3)CC2)cc1 ZINC000550211842 1072163145 /nfs/dbraw/zinc/16/31/45/1072163145.db2.gz MUUXHFHOGZIFHM-NVXWUHKLSA-N 0 0 439.534 -0.127 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3C[C@H](C)O[C@H](CO)C3)CC2)cc1 ZINC000550211843 1072163204 /nfs/dbraw/zinc/16/32/04/1072163204.db2.gz MUUXHFHOGZIFHM-RDJZCZTQSA-N 0 0 439.534 -0.127 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3C[C@@H](C)O[C@H](CO)C3)CC2)cc1 ZINC000550211844 1072163210 /nfs/dbraw/zinc/16/32/10/1072163210.db2.gz MUUXHFHOGZIFHM-WBVHZDCISA-N 0 0 439.534 -0.127 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(c3ccccc3)CCCC2)CC1 ZINC000550211858 1072163257 /nfs/dbraw/zinc/16/32/57/1072163257.db2.gz NGPSAGOYLBCKME-UHFFFAOYSA-N 0 0 425.493 -0.028 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C[C@H](C)O1 ZINC000550211872 1072163179 /nfs/dbraw/zinc/16/31/79/1072163179.db2.gz NYLPXOOWHOHKOA-HKZYLEAXSA-N 0 0 437.541 -0.173 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C[C@@H](C)O1 ZINC000550211873 1072163261 /nfs/dbraw/zinc/16/32/61/1072163261.db2.gz NYLPXOOWHOHKOA-LKBUQDJMSA-N 0 0 437.541 -0.173 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C[C@H](C)O1 ZINC000550211874 1072163160 /nfs/dbraw/zinc/16/31/60/1072163160.db2.gz NYLPXOOWHOHKOA-QTCYRWPVSA-N 0 0 437.541 -0.173 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C[C@@H](C)O1 ZINC000550211875 1072163193 /nfs/dbraw/zinc/16/31/93/1072163193.db2.gz NYLPXOOWHOHKOA-ZMOMAAQPSA-N 0 0 437.541 -0.173 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000550212537 1072163806 /nfs/dbraw/zinc/16/38/06/1072163806.db2.gz VBDICWJLZFIXAN-INIZCTEOSA-N 0 0 433.557 -0.278 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000550212538 1072163907 /nfs/dbraw/zinc/16/39/07/1072163907.db2.gz VBDICWJLZFIXAN-MRXNPFEDSA-N 0 0 433.557 -0.278 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000550212722 1072163747 /nfs/dbraw/zinc/16/37/47/1072163747.db2.gz YJVUXEWRXCKWMR-AEGPPILISA-N 0 0 443.547 -0.386 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000550212723 1072163790 /nfs/dbraw/zinc/16/37/90/1072163790.db2.gz YJVUXEWRXCKWMR-CFVMTHIKSA-N 0 0 443.547 -0.386 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000550212724 1072163949 /nfs/dbraw/zinc/16/39/49/1072163949.db2.gz YJVUXEWRXCKWMR-NWANDNLSSA-N 0 0 443.547 -0.386 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000550212725 1072163892 /nfs/dbraw/zinc/16/38/92/1072163892.db2.gz YJVUXEWRXCKWMR-QEJZJMRPSA-N 0 0 443.547 -0.386 20 0 IBADRN CCN(Cc1ccccc1)C(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000550212943 1072163938 /nfs/dbraw/zinc/16/39/38/1072163938.db2.gz FHHGQJKAZDMPCD-UHFFFAOYSA-N 0 0 437.566 -0.329 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1=O ZINC000550212992 1072163272 /nfs/dbraw/zinc/16/32/72/1072163272.db2.gz BPMMHNNZOTUPCC-UHFFFAOYSA-N 0 0 436.534 -0.045 20 0 IBADRN C[C@@H](c1ccccc1)N(C)C(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000550214032 1072163834 /nfs/dbraw/zinc/16/38/34/1072163834.db2.gz YYVHXACPRMQZGA-KRWDZBQOSA-N 0 0 437.566 -0.158 20 0 IBADRN C[C@H](c1ccccc1)N(C)C(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000550214033 1072163857 /nfs/dbraw/zinc/16/38/57/1072163857.db2.gz YYVHXACPRMQZGA-QGZVFWFLSA-N 0 0 437.566 -0.158 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)CC2)cc1 ZINC000550214497 1072163867 /nfs/dbraw/zinc/16/38/67/1072163867.db2.gz ZGJKNEHQEKRYLG-CVEARBPZSA-N 0 0 441.550 -0.145 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)CC2)cc1 ZINC000550214499 1072163784 /nfs/dbraw/zinc/16/37/84/1072163784.db2.gz ZGJKNEHQEKRYLG-HOTGVXAUSA-N 0 0 441.550 -0.145 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)CC2)cc1 ZINC000550214501 1072163779 /nfs/dbraw/zinc/16/37/79/1072163779.db2.gz ZGJKNEHQEKRYLG-HZPDHXFCSA-N 0 0 441.550 -0.145 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)CC2)cc1 ZINC000550214503 1072163767 /nfs/dbraw/zinc/16/37/67/1072163767.db2.gz ZGJKNEHQEKRYLG-JKSUJKDBSA-N 0 0 441.550 -0.145 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3CC(=O)N(C)[C@@H](C)C3)CC2)cc1 ZINC000550214785 1072163898 /nfs/dbraw/zinc/16/38/98/1072163898.db2.gz VMEVWYORUVFSFE-HNNXBMFYSA-N 0 0 436.534 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3CC(=O)N(C)[C@H](C)C3)CC2)cc1 ZINC000550214786 1072163823 /nfs/dbraw/zinc/16/38/23/1072163823.db2.gz VMEVWYORUVFSFE-OAHLLOKOSA-N 0 0 436.534 -0.047 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1cnn(-c2ccccc2)c1 ZINC000550214961 1072163847 /nfs/dbraw/zinc/16/38/47/1072163847.db2.gz DPNOGQZGKFILJM-UHFFFAOYSA-N 0 0 437.547 -0.017 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCc3ccc(-n4cccn4)cc3)CC2)c1=O ZINC000550216218 1072164537 /nfs/dbraw/zinc/16/45/37/1072164537.db2.gz HVNRDTLQBQLDCL-UHFFFAOYSA-N 0 0 435.488 -0.027 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2cnn(-c3ccccc3)c2)CC1 ZINC000550216526 1072164568 /nfs/dbraw/zinc/16/45/68/1072164568.db2.gz MKDPPMCROWEJDT-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000550219188 1072163924 /nfs/dbraw/zinc/16/39/24/1072163924.db2.gz ILZOPPFPAYLLKI-ARFHVFGLSA-N 0 0 431.497 -0.545 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000550219189 1072164502 /nfs/dbraw/zinc/16/45/02/1072164502.db2.gz ILZOPPFPAYLLKI-HRCADAONSA-N 0 0 431.497 -0.545 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000550219190 1072164518 /nfs/dbraw/zinc/16/45/18/1072164518.db2.gz ILZOPPFPAYLLKI-JYJNAYRXSA-N 0 0 431.497 -0.545 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000550219191 1072164496 /nfs/dbraw/zinc/16/44/96/1072164496.db2.gz ILZOPPFPAYLLKI-XHSDSOJGSA-N 0 0 431.497 -0.545 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3ccccc3S(C)(=O)=O)CC2)CC1 ZINC000550219901 1072164542 /nfs/dbraw/zinc/16/45/42/1072164542.db2.gz CRVCLRDLQVNKQA-UHFFFAOYSA-N 0 0 444.579 -0.731 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](C)O)C1=O ZINC000550221308 1072164563 /nfs/dbraw/zinc/16/45/63/1072164563.db2.gz MAYRKGAQKJPCSQ-BZPMIXESSA-N 0 0 439.490 -0.246 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](C)O)C1=O ZINC000550221311 1072164553 /nfs/dbraw/zinc/16/45/53/1072164553.db2.gz MAYRKGAQKJPCSQ-DYEKYZERSA-N 0 0 439.490 -0.246 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](C)O)C1=O ZINC000550221312 1072164584 /nfs/dbraw/zinc/16/45/84/1072164584.db2.gz MAYRKGAQKJPCSQ-OBJOEFQTSA-N 0 0 439.490 -0.246 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCOC[C@H]2C[C@H](C)O)C1=O ZINC000550221313 1072164565 /nfs/dbraw/zinc/16/45/65/1072164565.db2.gz MAYRKGAQKJPCSQ-SCRDCRAPSA-N 0 0 439.490 -0.246 20 0 IBADRN CN(CC(=O)N1CCC(O)(C(N)=O)CC1)S(=O)(=O)c1ccc(Br)cc1 ZINC000550221714 1072164545 /nfs/dbraw/zinc/16/45/45/1072164545.db2.gz VUGKYNLTSBCEEY-UHFFFAOYSA-N 0 0 434.312 -0.092 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000550224102 1072164968 /nfs/dbraw/zinc/16/49/68/1072164968.db2.gz JLFQMXUHZWVCJT-UHFFFAOYSA-N 0 0 434.453 -0.094 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCCNC(=O)[C@@H]2Cc2ccccc2)c(=O)[nH]c1=O ZINC000550226737 1072165021 /nfs/dbraw/zinc/16/50/21/1072165021.db2.gz VCTBYQVQBTYGIM-HNNXBMFYSA-N 0 0 429.477 -0.207 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCCNC(=O)[C@H]2Cc2ccccc2)c(=O)[nH]c1=O ZINC000550226738 1072165104 /nfs/dbraw/zinc/16/51/04/1072165104.db2.gz VCTBYQVQBTYGIM-OAHLLOKOSA-N 0 0 429.477 -0.207 20 0 IBADRN O=C(CN1CCCNC(=O)[C@@H]1Cc1ccccc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000550227920 1072165180 /nfs/dbraw/zinc/16/51/80/1072165180.db2.gz VSVQAXJJQIYHTL-PMACEKPBSA-N 0 0 448.589 -0.249 20 0 IBADRN O=C(CN1CCCNC(=O)[C@@H]1Cc1ccccc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000550227923 1072165157 /nfs/dbraw/zinc/16/51/57/1072165157.db2.gz VSVQAXJJQIYHTL-UXHICEINSA-N 0 0 448.589 -0.249 20 0 IBADRN O=C(CN1CCCNC(=O)[C@H]1Cc1ccccc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000550227924 1072165166 /nfs/dbraw/zinc/16/51/66/1072165166.db2.gz VSVQAXJJQIYHTL-VQTJNVASSA-N 0 0 448.589 -0.249 20 0 IBADRN O=C(CN1CCCNC(=O)[C@H]1Cc1ccccc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000550227927 1072164993 /nfs/dbraw/zinc/16/49/93/1072164993.db2.gz VSVQAXJJQIYHTL-WOJBJXKFSA-N 0 0 448.589 -0.249 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N(Cc1ccccc1)C1CC1 ZINC000550229633 1072165092 /nfs/dbraw/zinc/16/50/92/1072165092.db2.gz OAXGKHVETVPAGW-UHFFFAOYSA-N 0 0 428.489 -0.293 20 0 IBADRN CCCC[C@H](CC)CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000550231262 1072165861 /nfs/dbraw/zinc/16/58/61/1072165861.db2.gz QQKONLZYSQEOGT-KRWDZBQOSA-N 0 0 431.603 -0.045 20 0 IBADRN CCCC[C@@H](CC)CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000550231271 1072165822 /nfs/dbraw/zinc/16/58/22/1072165822.db2.gz QQKONLZYSQEOGT-QGZVFWFLSA-N 0 0 431.603 -0.045 20 0 IBADRN Cn1cc(CNC(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)cn1 ZINC000550233165 1072165867 /nfs/dbraw/zinc/16/58/67/1072165867.db2.gz BNTPMHHEGHUDKY-UHFFFAOYSA-N 0 0 435.506 -0.485 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CC[C@@H]3CCCC[C@@H]3C1)C2 ZINC000550233690 1072165815 /nfs/dbraw/zinc/16/58/15/1072165815.db2.gz OMYODWCQXPVCOY-ARFHVFGLSA-N 0 0 432.525 -0.050 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CC[C@H]3CCCC[C@@H]3C1)C2 ZINC000550233691 1072165843 /nfs/dbraw/zinc/16/58/43/1072165843.db2.gz OMYODWCQXPVCOY-BZUAXINKSA-N 0 0 432.525 -0.050 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CC[C@@H]3CCCC[C@H]3C1)C2 ZINC000550233692 1072165778 /nfs/dbraw/zinc/16/57/78/1072165778.db2.gz OMYODWCQXPVCOY-HRCADAONSA-N 0 0 432.525 -0.050 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CC[C@H]3CCCC[C@H]3C1)C2 ZINC000550233694 1072165856 /nfs/dbraw/zinc/16/58/56/1072165856.db2.gz OMYODWCQXPVCOY-OWCLPIDISA-N 0 0 432.525 -0.050 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550235178 1072165875 /nfs/dbraw/zinc/16/58/75/1072165875.db2.gz MBNPSGOKLBXBSY-UHFFFAOYSA-N 0 0 440.522 -0.893 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)n1 ZINC000550237533 1072165802 /nfs/dbraw/zinc/16/58/02/1072165802.db2.gz RJXVFWGWEVDJTG-UHFFFAOYSA-N 0 0 449.533 -0.443 20 0 IBADRN CCCN(CCO)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550237542 1072165758 /nfs/dbraw/zinc/16/57/58/1072165758.db2.gz RPYAYZYNRKNQFF-UHFFFAOYSA-N 0 0 427.523 -0.304 20 0 IBADRN CN(C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1)[C@H]1CCOC1 ZINC000550237798 1072165036 /nfs/dbraw/zinc/16/50/36/1072165036.db2.gz YUUIWGSGMNNHDU-KRWDZBQOSA-N 0 0 425.507 -0.288 20 0 IBADRN CN(C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1)[C@@H]1CCOC1 ZINC000550237799 1072165088 /nfs/dbraw/zinc/16/50/88/1072165088.db2.gz YUUIWGSGMNNHDU-QGZVFWFLSA-N 0 0 425.507 -0.288 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)CC1 ZINC000550238945 1072165817 /nfs/dbraw/zinc/16/58/17/1072165817.db2.gz KAMDMBWAUHEDGG-HNNXBMFYSA-N 0 0 446.551 -0.634 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)CC1 ZINC000550238946 1072165852 /nfs/dbraw/zinc/16/58/52/1072165852.db2.gz KAMDMBWAUHEDGG-OAHLLOKOSA-N 0 0 446.551 -0.634 20 0 IBADRN C[C@H](O)CCN(C)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550239215 1072165792 /nfs/dbraw/zinc/16/57/92/1072165792.db2.gz DQWJFNGCPRCGOA-HNNXBMFYSA-N 0 0 427.523 -0.306 20 0 IBADRN C[C@@H](O)CCN(C)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550239226 1072165840 /nfs/dbraw/zinc/16/58/40/1072165840.db2.gz DQWJFNGCPRCGOA-OAHLLOKOSA-N 0 0 427.523 -0.306 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC[C@@H](c1ccc(C)o1)N1CCOCC1 ZINC000550239294 1072165886 /nfs/dbraw/zinc/16/58/86/1072165886.db2.gz FVRZPQFKEJLHJC-INIZCTEOSA-N 0 0 444.554 -0.135 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC[C@H](c1ccc(C)o1)N1CCOCC1 ZINC000550239295 1072165810 /nfs/dbraw/zinc/16/58/10/1072165810.db2.gz FVRZPQFKEJLHJC-MRXNPFEDSA-N 0 0 444.554 -0.135 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCCCC3)cn2C)CC1 ZINC000550239521 1072165847 /nfs/dbraw/zinc/16/58/47/1072165847.db2.gz SWKFFVJFORKVPP-UHFFFAOYSA-N 0 0 433.556 -0.578 20 0 IBADRN CN(Cc1cc[nH]n1)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550241310 1072166491 /nfs/dbraw/zinc/16/64/91/1072166491.db2.gz XQOLFXNLDVGAQC-UHFFFAOYSA-N 0 0 435.506 -0.154 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)[C@@H]1CO ZINC000550241943 1072166435 /nfs/dbraw/zinc/16/64/35/1072166435.db2.gz OVWKDCZIUVCVEW-CRAIPNDOSA-N 0 0 439.534 -0.306 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)[C@@H]1CO ZINC000550241944 1072166476 /nfs/dbraw/zinc/16/64/76/1072166476.db2.gz OVWKDCZIUVCVEW-MAUKXSAKSA-N 0 0 439.534 -0.306 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)[C@H]1CO ZINC000550241945 1072166417 /nfs/dbraw/zinc/16/64/17/1072166417.db2.gz OVWKDCZIUVCVEW-QAPCUYQASA-N 0 0 439.534 -0.306 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)[C@H]1CO ZINC000550241946 1072166340 /nfs/dbraw/zinc/16/63/40/1072166340.db2.gz OVWKDCZIUVCVEW-YJBOKZPZSA-N 0 0 439.534 -0.306 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@@H](c1ccc(C)o1)N1CCOCC1 ZINC000550242103 1072166360 /nfs/dbraw/zinc/16/63/60/1072166360.db2.gz KNKRKQGHHTVMFE-CVEARBPZSA-N 0 0 442.538 -0.382 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@@H](c1ccc(C)o1)N1CCOCC1 ZINC000550242104 1072166327 /nfs/dbraw/zinc/16/63/27/1072166327.db2.gz KNKRKQGHHTVMFE-HOTGVXAUSA-N 0 0 442.538 -0.382 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@H](c1ccc(C)o1)N1CCOCC1 ZINC000550242105 1072166478 /nfs/dbraw/zinc/16/64/78/1072166478.db2.gz KNKRKQGHHTVMFE-HZPDHXFCSA-N 0 0 442.538 -0.382 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@H](c1ccc(C)o1)N1CCOCC1 ZINC000550242106 1072166350 /nfs/dbraw/zinc/16/63/50/1072166350.db2.gz KNKRKQGHHTVMFE-JKSUJKDBSA-N 0 0 442.538 -0.382 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)N2CCOCC2)o1 ZINC000550242178 1072166445 /nfs/dbraw/zinc/16/64/45/1072166445.db2.gz QRAYUEXRLJKGIK-INIZCTEOSA-N 0 0 430.465 -0.586 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)N2CCOCC2)o1 ZINC000550242184 1072166309 /nfs/dbraw/zinc/16/63/09/1072166309.db2.gz QRAYUEXRLJKGIK-MRXNPFEDSA-N 0 0 430.465 -0.586 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1ccccc1 ZINC000550242321 1072167033 /nfs/dbraw/zinc/16/70/33/1072167033.db2.gz QUCUOPSSRUUUJT-GOSISDBHSA-N 0 0 438.550 -0.108 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1ccccc1 ZINC000550242322 1072167008 /nfs/dbraw/zinc/16/70/08/1072167008.db2.gz QUCUOPSSRUUUJT-SFHVURJKSA-N 0 0 438.550 -0.108 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)N2CCOCC2)o1 ZINC000550242620 1072166452 /nfs/dbraw/zinc/16/64/52/1072166452.db2.gz TVZCLENJJPKRAJ-KRWDZBQOSA-N 0 0 435.525 -0.642 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)N2CCOCC2)o1 ZINC000550242625 1072167066 /nfs/dbraw/zinc/16/70/66/1072167066.db2.gz TVZCLENJJPKRAJ-QGZVFWFLSA-N 0 0 435.525 -0.642 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](c1nccn1C)c1ccccc1F ZINC000550243502 1072166990 /nfs/dbraw/zinc/16/69/90/1072166990.db2.gz KIRHDKOTBVODHL-CRAIPNDOSA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](c1nccn1C)c1ccccc1F ZINC000550243503 1072166939 /nfs/dbraw/zinc/16/69/39/1072166939.db2.gz KIRHDKOTBVODHL-MAUKXSAKSA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](c1nccn1C)c1ccccc1F ZINC000550243504 1072166965 /nfs/dbraw/zinc/16/69/65/1072166965.db2.gz KIRHDKOTBVODHL-QAPCUYQASA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](c1nccn1C)c1ccccc1F ZINC000550243505 1072167112 /nfs/dbraw/zinc/16/71/12/1072167112.db2.gz KIRHDKOTBVODHL-YJBOKZPZSA-N 0 0 433.484 -0.084 20 0 IBADRN COc1ccc(C(=O)N[C@H](CO)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000550244315 1072167534 /nfs/dbraw/zinc/16/75/34/1072167534.db2.gz DGNYCEAIKCDXAI-INIZCTEOSA-N 0 0 443.522 -0.861 20 0 IBADRN COc1ccc(C(=O)N[C@@H](CO)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000550244316 1072167606 /nfs/dbraw/zinc/16/76/06/1072167606.db2.gz DGNYCEAIKCDXAI-MRXNPFEDSA-N 0 0 443.522 -0.861 20 0 IBADRN COc1ccc(C(=O)N[C@H](CO)CN2CCOCC2)cc1S(=O)(=O)N1CCCC1 ZINC000550244489 1072166922 /nfs/dbraw/zinc/16/69/22/1072166922.db2.gz JFOTVFBOJUXUBS-INIZCTEOSA-N 0 0 427.523 -0.097 20 0 IBADRN COc1ccc(C(=O)N[C@@H](CO)CN2CCOCC2)cc1S(=O)(=O)N1CCCC1 ZINC000550244491 1072167666 /nfs/dbraw/zinc/16/76/66/1072167666.db2.gz JFOTVFBOJUXUBS-MRXNPFEDSA-N 0 0 427.523 -0.097 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N[C@H](CO)CN3CCOCC3)CC2=O)cc(OC)c1OC ZINC000550244496 1072167742 /nfs/dbraw/zinc/16/77/42/1072167742.db2.gz JIMSJHXHSAFNAK-CABCVRRESA-N 0 0 437.493 -0.125 20 0 IBADRN CCOCCCNC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000550244521 1072167019 /nfs/dbraw/zinc/16/70/19/1072167019.db2.gz JYRYHEUHQCWCHR-KRWDZBQOSA-N 0 0 434.541 -0.089 20 0 IBADRN CCOCCCNC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000550244522 1072166980 /nfs/dbraw/zinc/16/69/80/1072166980.db2.gz JYRYHEUHQCWCHR-QGZVFWFLSA-N 0 0 434.541 -0.089 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N[C@H](CO)CN3CCOCC3)CC2=O)cc(OC)c1OC ZINC000550244670 1072167056 /nfs/dbraw/zinc/16/70/56/1072167056.db2.gz JIMSJHXHSAFNAK-GJZGRUSLSA-N 0 0 437.493 -0.125 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N[C@@H](CO)CN3CCOCC3)CC2=O)cc(OC)c1OC ZINC000550244671 1072167091 /nfs/dbraw/zinc/16/70/91/1072167091.db2.gz JIMSJHXHSAFNAK-HUUCEWRRSA-N 0 0 437.493 -0.125 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N[C@@H](CO)CN3CCOCC3)CC2=O)cc(OC)c1OC ZINC000550244672 1072167085 /nfs/dbraw/zinc/16/70/85/1072167085.db2.gz JIMSJHXHSAFNAK-LSDHHAIUSA-N 0 0 437.493 -0.125 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N(C)CCCO)c(=O)n2Cc1ccccc1 ZINC000550244719 1072167123 /nfs/dbraw/zinc/16/71/23/1072167123.db2.gz KVZNYXAVXVNSEN-UHFFFAOYSA-N 0 0 429.477 -0.105 20 0 IBADRN CS(=O)(=O)NCCN(C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C1CC1 ZINC000550245358 1072167709 /nfs/dbraw/zinc/16/77/09/1072167709.db2.gz VLCSPTLIFKZMMQ-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N[C@@H](CO)CN1CCOCC1 ZINC000550245490 1072167688 /nfs/dbraw/zinc/16/76/88/1072167688.db2.gz YMAPKRUOVRSPTP-GOSISDBHSA-N 0 0 441.550 -0.551 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N[C@H](CO)CN1CCOCC1 ZINC000550245491 1072167518 /nfs/dbraw/zinc/16/75/18/1072167518.db2.gz YMAPKRUOVRSPTP-SFHVURJKSA-N 0 0 441.550 -0.551 20 0 IBADRN CC(C)N(Cc1ccccc1)C(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000550246365 1072166427 /nfs/dbraw/zinc/16/64/27/1072166427.db2.gz SCZBNOPZGJJTRO-UHFFFAOYSA-N 0 0 428.493 -0.088 20 0 IBADRN O=C(NCCCOc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000550246743 1072166482 /nfs/dbraw/zinc/16/64/82/1072166482.db2.gz HUTWUUDUXQBMPZ-UHFFFAOYSA-N 0 0 432.477 -0.899 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCCOc2ccccc2)CC1 ZINC000550248435 1072167745 /nfs/dbraw/zinc/16/77/45/1072167745.db2.gz MJBBZFWTAPSUSF-GOSISDBHSA-N 0 0 432.521 -0.037 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCCOc2ccccc2)CC1 ZINC000550248436 1072167595 /nfs/dbraw/zinc/16/75/95/1072167595.db2.gz MJBBZFWTAPSUSF-SFHVURJKSA-N 0 0 432.521 -0.037 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CC1 ZINC000550249684 1072167552 /nfs/dbraw/zinc/16/75/52/1072167552.db2.gz CHOHJSBJANAJKI-GOSISDBHSA-N 0 0 444.536 -0.033 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CC1 ZINC000550249685 1072167693 /nfs/dbraw/zinc/16/76/93/1072167693.db2.gz CHOHJSBJANAJKI-SFHVURJKSA-N 0 0 444.536 -0.033 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)NC(C)C)CC1 ZINC000550254174 1072167631 /nfs/dbraw/zinc/16/76/31/1072167631.db2.gz ARCLPEJOBHOHQI-UHFFFAOYSA-N 0 0 440.566 -0.079 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1C ZINC000550254317 1072167615 /nfs/dbraw/zinc/16/76/15/1072167615.db2.gz WBQNBUGGWAVMLQ-GFCCVEGCSA-N 0 0 427.479 -0.240 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1C ZINC000550254318 1072167720 /nfs/dbraw/zinc/16/77/20/1072167720.db2.gz WBQNBUGGWAVMLQ-LBPRGKRZSA-N 0 0 427.479 -0.240 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1ccccc1Cn1cccn1 ZINC000550255003 1072167725 /nfs/dbraw/zinc/16/77/25/1072167725.db2.gz SPPGETGBZIIDSU-UHFFFAOYSA-N 0 0 446.489 -0.229 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N[C@@H](C)CN1CCOCC1 ZINC000550257651 1072168268 /nfs/dbraw/zinc/16/82/68/1072168268.db2.gz YKGGBSPFUDVXGZ-INIZCTEOSA-N 0 0 440.566 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N[C@H](C)CN1CCOCC1 ZINC000550257652 1072168191 /nfs/dbraw/zinc/16/81/91/1072168191.db2.gz YKGGBSPFUDVXGZ-MRXNPFEDSA-N 0 0 440.566 -0.033 20 0 IBADRN O=C(NCCn1cccn1)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550257845 1072168170 /nfs/dbraw/zinc/16/81/70/1072168170.db2.gz KPLIHGVGGVZRSF-UHFFFAOYSA-N 0 0 435.506 -0.522 20 0 IBADRN COc1cc(OC)cc([C@H](NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c2nccn2C)c1 ZINC000550258034 1072168198 /nfs/dbraw/zinc/16/81/98/1072168198.db2.gz BVBGOGYDDOWYOE-CVEARBPZSA-N 0 0 431.449 -0.644 20 0 IBADRN COc1cc(OC)cc([C@H](NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c2nccn2C)c1 ZINC000550258035 1072168239 /nfs/dbraw/zinc/16/82/39/1072168239.db2.gz BVBGOGYDDOWYOE-HOTGVXAUSA-N 0 0 431.449 -0.644 20 0 IBADRN COc1cc(OC)cc([C@@H](NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c2nccn2C)c1 ZINC000550258036 1072168246 /nfs/dbraw/zinc/16/82/46/1072168246.db2.gz BVBGOGYDDOWYOE-HZPDHXFCSA-N 0 0 431.449 -0.644 20 0 IBADRN COc1cc(OC)cc([C@@H](NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c2nccn2C)c1 ZINC000550258037 1072168261 /nfs/dbraw/zinc/16/82/61/1072168261.db2.gz BVBGOGYDDOWYOE-JKSUJKDBSA-N 0 0 431.449 -0.644 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000550258776 1072168151 /nfs/dbraw/zinc/16/81/51/1072168151.db2.gz MAPSOMWKNULVSM-FQEVSTJZSA-N 0 0 439.534 -0.162 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000550258777 1072168162 /nfs/dbraw/zinc/16/81/62/1072168162.db2.gz MAPSOMWKNULVSM-HXUWFJFHSA-N 0 0 439.534 -0.162 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1cc(C)ccc1C ZINC000550259668 1072168271 /nfs/dbraw/zinc/16/82/71/1072168271.db2.gz RRMVDPNBPNPWJA-HNNXBMFYSA-N 0 0 445.563 -0.266 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1cc(C)ccc1C ZINC000550259669 1072168802 /nfs/dbraw/zinc/16/88/02/1072168802.db2.gz RRMVDPNBPNPWJA-OAHLLOKOSA-N 0 0 445.563 -0.266 20 0 IBADRN CCOc1ccc(C(=O)N2CCC(NC(=O)C(=O)N3CCO[C@H](C(N)=O)C3)CC2)cc1 ZINC000550259724 1072168114 /nfs/dbraw/zinc/16/81/14/1072168114.db2.gz TUBAVPXTNIEFGL-KRWDZBQOSA-N 0 0 432.477 -0.481 20 0 IBADRN CCOc1ccc(C(=O)N2CCC(NC(=O)C(=O)N3CCO[C@@H](C(N)=O)C3)CC2)cc1 ZINC000550259725 1072168206 /nfs/dbraw/zinc/16/82/06/1072168206.db2.gz TUBAVPXTNIEFGL-QGZVFWFLSA-N 0 0 432.477 -0.481 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccccc1F ZINC000550259818 1072168265 /nfs/dbraw/zinc/16/82/65/1072168265.db2.gz UQAXDPFDWUFOTF-GFCCVEGCSA-N 0 0 435.499 -0.744 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccccc1F ZINC000550259819 1072168177 /nfs/dbraw/zinc/16/81/77/1072168177.db2.gz UQAXDPFDWUFOTF-LBPRGKRZSA-N 0 0 435.499 -0.744 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550260026 1072168212 /nfs/dbraw/zinc/16/82/12/1072168212.db2.gz WLIPCIJSVPZPDV-UHFFFAOYSA-N 0 0 440.522 -0.941 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000550262885 1072168931 /nfs/dbraw/zinc/16/89/31/1072168931.db2.gz BYUCGHMPODOZGI-UHFFFAOYSA-N 0 0 449.533 -0.626 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)nc1 ZINC000550265976 1072168858 /nfs/dbraw/zinc/16/88/58/1072168858.db2.gz CXODENHCUSODQJ-UHFFFAOYSA-N 0 0 433.509 -0.255 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)nn1C ZINC000550266554 1073349514 /nfs/dbraw/zinc/34/95/14/1073349514.db2.gz CGVCRNCMPJTGCK-UHFFFAOYSA-N 0 0 428.421 -0.127 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4ccccc4c3)CC2)n1 ZINC000550267256 1072168841 /nfs/dbraw/zinc/16/88/41/1072168841.db2.gz WADHGNKPRLXZLL-UHFFFAOYSA-N 0 0 428.474 0.440 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000550267275 1072168901 /nfs/dbraw/zinc/16/89/01/1072168901.db2.gz WQQZVJDPCLFAOP-UHFFFAOYSA-N 0 0 449.489 -0.013 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2nc(C(C)(C)C(=O)OC)cs2)CC1 ZINC000550267684 1072168937 /nfs/dbraw/zinc/16/89/37/1072168937.db2.gz GMMXOCAMAFOVOC-UHFFFAOYSA-N 0 0 425.511 -0.188 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn2ccnc12 ZINC000550267959 1072168830 /nfs/dbraw/zinc/16/88/30/1072168830.db2.gz PAUPOYFKQNSLNB-UHFFFAOYSA-N 0 0 428.449 -0.889 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)n1 ZINC000550269168 1072168907 /nfs/dbraw/zinc/16/89/07/1072168907.db2.gz CJKIMUBJWJSPKB-INIZCTEOSA-N 0 0 438.529 -0.350 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)n1 ZINC000550269169 1072168845 /nfs/dbraw/zinc/16/88/45/1072168845.db2.gz CJKIMUBJWJSPKB-MRXNPFEDSA-N 0 0 438.529 -0.350 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)s1 ZINC000550269639 1072169622 /nfs/dbraw/zinc/16/96/22/1072169622.db2.gz MEOFWQXGSIWAEK-UHFFFAOYSA-N 0 0 439.538 -0.193 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000550269750 1072169375 /nfs/dbraw/zinc/16/93/75/1072169375.db2.gz BZZOMJZRPRSMHC-UHFFFAOYSA-N 0 0 442.513 -0.503 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000550269939 1072168758 /nfs/dbraw/zinc/16/87/58/1072168758.db2.gz AUYMXWVKBIDSIN-PMACEKPBSA-N 0 0 443.548 -0.460 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000550269940 1072168879 /nfs/dbraw/zinc/16/88/79/1072168879.db2.gz AUYMXWVKBIDSIN-VQTJNVASSA-N 0 0 443.548 -0.460 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000550270295 1072169668 /nfs/dbraw/zinc/16/96/68/1072169668.db2.gz QKQBCERKOZXRCR-UHFFFAOYSA-N 0 0 438.550 -0.206 20 0 IBADRN COCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000550270342 1072169518 /nfs/dbraw/zinc/16/95/18/1072169518.db2.gz VELRKEAHPBMUPT-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCCN2CCOCC2)cc1 ZINC000550270587 1072169355 /nfs/dbraw/zinc/16/93/55/1072169355.db2.gz YSVMUWYCWCCNMA-UHFFFAOYSA-N 0 0 440.566 -0.031 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000550270824 1072169460 /nfs/dbraw/zinc/16/94/60/1072169460.db2.gz DGGZJOCAZHUDTI-UHFFFAOYSA-N 0 0 449.527 -0.462 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)cs1 ZINC000550271821 1072169573 /nfs/dbraw/zinc/16/95/73/1072169573.db2.gz YAXFERQNFWYZSH-UHFFFAOYSA-N 0 0 445.567 -0.877 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000550272202 1072169389 /nfs/dbraw/zinc/16/93/89/1072169389.db2.gz HRGAISNJUWTQCJ-UHFFFAOYSA-N 0 0 433.473 -0.129 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000550272702 1072169662 /nfs/dbraw/zinc/16/96/62/1072169662.db2.gz PRGHNBRFCIRIKD-AWEZNQCLSA-N 0 0 434.497 -0.310 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000550272717 1072169561 /nfs/dbraw/zinc/16/95/61/1072169561.db2.gz PRGHNBRFCIRIKD-CQSZACIVSA-N 0 0 434.497 -0.310 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000550276733 1072170202 /nfs/dbraw/zinc/17/02/02/1072170202.db2.gz ODNDNWUCEBASCS-UHFFFAOYSA-N 0 0 445.524 -0.910 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1CCOCC1 ZINC000550276884 1072169638 /nfs/dbraw/zinc/16/96/38/1072169638.db2.gz JPEWPWLIQBFJJB-KRWDZBQOSA-N 0 0 444.540 -0.515 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1CCOCC1 ZINC000550276885 1072169610 /nfs/dbraw/zinc/16/96/10/1072169610.db2.gz JPEWPWLIQBFJJB-QGZVFWFLSA-N 0 0 444.540 -0.515 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCCCN3CCCC3=O)C2)no1 ZINC000550277323 1072170222 /nfs/dbraw/zinc/17/02/22/1072170222.db2.gz WPSPUSSWMXHPJH-HNNXBMFYSA-N 0 0 434.497 -0.290 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCCCN3CCCC3=O)C2)no1 ZINC000550277325 1072170232 /nfs/dbraw/zinc/17/02/32/1072170232.db2.gz WPSPUSSWMXHPJH-OAHLLOKOSA-N 0 0 434.497 -0.290 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)N1CCOCC1 ZINC000550277451 1072172970 /nfs/dbraw/zinc/17/29/70/1072172970.db2.gz NDDCAGAYCCLNBU-DLBZAZTESA-N 0 0 430.571 -0.514 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)N1CCOCC1 ZINC000550277452 1072172889 /nfs/dbraw/zinc/17/28/89/1072172889.db2.gz NDDCAGAYCCLNBU-IAGOWNOFSA-N 0 0 430.571 -0.514 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)N1CCOCC1 ZINC000550277453 1072172834 /nfs/dbraw/zinc/17/28/34/1072172834.db2.gz NDDCAGAYCCLNBU-IRXDYDNUSA-N 0 0 430.571 -0.514 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)N1CCOCC1 ZINC000550277454 1072172997 /nfs/dbraw/zinc/17/29/97/1072172997.db2.gz NDDCAGAYCCLNBU-SJORKVTESA-N 0 0 430.571 -0.514 20 0 IBADRN O=C(NCc1ccnc(N2CCCCC2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550277680 1072171612 /nfs/dbraw/zinc/17/16/12/1072171612.db2.gz VEZNGMPMMBNOAY-UHFFFAOYSA-N 0 0 442.520 -0.363 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2nnc3n2CCC3)C1 ZINC000550277722 1072171471 /nfs/dbraw/zinc/17/14/71/1072171471.db2.gz WCCPBBYTIWWMLO-KBPBESRZSA-N 0 0 442.542 -0.700 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](C)c2nnc3n2CCC3)C1 ZINC000550277733 1072171628 /nfs/dbraw/zinc/17/16/28/1072171628.db2.gz WCCPBBYTIWWMLO-KGLIPLIRSA-N 0 0 442.542 -0.700 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2nnc3n2CCC3)C1 ZINC000550277734 1072171630 /nfs/dbraw/zinc/17/16/30/1072171630.db2.gz WCCPBBYTIWWMLO-UONOGXRCSA-N 0 0 442.542 -0.700 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)c2nnc3n2CCC3)C1 ZINC000550277735 1072171682 /nfs/dbraw/zinc/17/16/82/1072171682.db2.gz WCCPBBYTIWWMLO-ZIAGYGMSSA-N 0 0 442.542 -0.700 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1)N1CCOCC1 ZINC000550278046 1072170331 /nfs/dbraw/zinc/17/03/31/1072170331.db2.gz SLHLPALRLAZBPK-IBGZPJMESA-N 0 0 437.585 -0.528 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1)N1CCOCC1 ZINC000550278047 1072170297 /nfs/dbraw/zinc/17/02/97/1072170297.db2.gz SLHLPALRLAZBPK-LJQANCHMSA-N 0 0 437.585 -0.528 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)C1 ZINC000550278211 1072170377 /nfs/dbraw/zinc/17/03/77/1072170377.db2.gz ZCZJUESIYYVQEA-KSZLIROESA-N 0 0 426.558 -0.151 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)C1 ZINC000550278221 1072170395 /nfs/dbraw/zinc/17/03/95/1072170395.db2.gz ZCZJUESIYYVQEA-KURKYZTESA-N 0 0 426.558 -0.151 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)C1 ZINC000550278223 1072170322 /nfs/dbraw/zinc/17/03/22/1072170322.db2.gz ZCZJUESIYYVQEA-KZNAEPCWSA-N 0 0 426.558 -0.151 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)C1 ZINC000550278225 1072170349 /nfs/dbraw/zinc/17/03/49/1072170349.db2.gz ZCZJUESIYYVQEA-RCCFBDPRSA-N 0 0 426.558 -0.151 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)N1CCOCC1 ZINC000550278763 1072175481 /nfs/dbraw/zinc/17/54/81/1072175481.db2.gz LZDFTHXCDFWPBG-FQEVSTJZSA-N 0 0 445.564 -0.076 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)N1CCOCC1 ZINC000550278764 1072175523 /nfs/dbraw/zinc/17/55/23/1072175523.db2.gz LZDFTHXCDFWPBG-HXUWFJFHSA-N 0 0 445.564 -0.076 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)N1CCOCC1 ZINC000550278951 1072175573 /nfs/dbraw/zinc/17/55/73/1072175573.db2.gz UDWYTIKDZZBGEZ-DLBZAZTESA-N 0 0 432.587 -0.220 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)N1CCOCC1 ZINC000550278952 1072175384 /nfs/dbraw/zinc/17/53/84/1072175384.db2.gz UDWYTIKDZZBGEZ-IAGOWNOFSA-N 0 0 432.587 -0.220 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)N1CCOCC1 ZINC000550278953 1072175602 /nfs/dbraw/zinc/17/56/02/1072175602.db2.gz UDWYTIKDZZBGEZ-IRXDYDNUSA-N 0 0 432.587 -0.220 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)N1CCOCC1 ZINC000550278954 1072176226 /nfs/dbraw/zinc/17/62/26/1072176226.db2.gz UDWYTIKDZZBGEZ-SJORKVTESA-N 0 0 432.587 -0.220 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cs1 ZINC000550278994 1072174319 /nfs/dbraw/zinc/17/43/19/1072174319.db2.gz VQEGCTCJNLTZKI-UHFFFAOYSA-N 0 0 440.551 -0.315 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1OC ZINC000550280147 1072177543 /nfs/dbraw/zinc/17/75/43/1072177543.db2.gz UBDIJJBRYOKPGV-UHFFFAOYSA-N 0 0 432.477 -0.688 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550280452 1072187425 /nfs/dbraw/zinc/18/74/25/1072187425.db2.gz AVHFSUAMEVQWLI-UHFFFAOYSA-N 0 0 449.533 -0.132 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCCN3CCCC3=O)no2)cn1 ZINC000550280742 1072185683 /nfs/dbraw/zinc/18/56/83/1072185683.db2.gz MIMWVXSYOMUXCX-AWEZNQCLSA-N 0 0 431.453 -0.501 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCCN3CCCC3=O)no2)cn1 ZINC000550280743 1072185657 /nfs/dbraw/zinc/18/56/57/1072185657.db2.gz MIMWVXSYOMUXCX-CQSZACIVSA-N 0 0 431.453 -0.501 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn2ccnc12 ZINC000550284266 1072196640 /nfs/dbraw/zinc/19/66/40/1072196640.db2.gz LCDSHNVKHCAGJR-UHFFFAOYSA-N 0 0 436.494 -0.698 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)c1 ZINC000550287168 1072206125 /nfs/dbraw/zinc/20/61/25/1072206125.db2.gz HHTHOFCUCFSQJJ-UHFFFAOYSA-N 0 0 438.485 -0.380 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000550288783 1072211947 /nfs/dbraw/zinc/21/19/47/1072211947.db2.gz XRKMQGOUNZNARL-UHFFFAOYSA-N 0 0 445.520 -0.992 20 0 IBADRN O=C(NCCc1cn2ccccc2n1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000550292104 1072215080 /nfs/dbraw/zinc/21/50/80/1072215080.db2.gz OQGYXUKXTCYNAX-UHFFFAOYSA-N 0 0 442.501 -0.079 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccc3Cl)CC2)CC1 ZINC000550296549 1072225350 /nfs/dbraw/zinc/22/53/50/1072225350.db2.gz DEPYPTLMWYDLJW-UHFFFAOYSA-N 0 0 443.957 -0.407 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000550296953 1072225061 /nfs/dbraw/zinc/22/50/61/1072225061.db2.gz JXSNRUBRDACRMB-KRWDZBQOSA-N 0 0 425.507 -0.036 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000550296954 1072225364 /nfs/dbraw/zinc/22/53/64/1072225364.db2.gz JXSNRUBRDACRMB-QGZVFWFLSA-N 0 0 425.507 -0.036 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000550297004 1072225262 /nfs/dbraw/zinc/22/52/62/1072225262.db2.gz FUQVZYSMFWMSIH-UHFFFAOYSA-N 0 0 440.504 -0.001 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000550299377 1072225694 /nfs/dbraw/zinc/22/56/94/1072225694.db2.gz FGLVBKAOUUSSBS-UHFFFAOYSA-N 0 0 445.520 -0.992 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)CC1 ZINC000550299606 1072225191 /nfs/dbraw/zinc/22/51/91/1072225191.db2.gz GJYKCYZNANNOQY-AWEZNQCLSA-N 0 0 431.493 -0.333 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)CC1 ZINC000550299607 1072225079 /nfs/dbraw/zinc/22/50/79/1072225079.db2.gz GJYKCYZNANNOQY-CQSZACIVSA-N 0 0 431.493 -0.333 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000550300014 1072225374 /nfs/dbraw/zinc/22/53/74/1072225374.db2.gz RBCBIQHUXRTHCA-UHFFFAOYSA-N 0 0 426.477 -0.553 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550300952 1072225759 /nfs/dbraw/zinc/22/57/59/1072225759.db2.gz FNGILFDXSXEWNR-KRWDZBQOSA-N 0 0 442.524 -0.199 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550300953 1072225928 /nfs/dbraw/zinc/22/59/28/1072225928.db2.gz FNGILFDXSXEWNR-QGZVFWFLSA-N 0 0 442.524 -0.199 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCc1ccccn1 ZINC000550301451 1072225902 /nfs/dbraw/zinc/22/59/02/1072225902.db2.gz GFTSQYLKIUFNAW-UHFFFAOYSA-N 0 0 432.506 -0.639 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccn3)CC2)C[C@H](C)O1 ZINC000550302699 1072225786 /nfs/dbraw/zinc/22/57/86/1072225786.db2.gz AFURGDFBTQBYTD-GASCZTMLSA-N 0 0 425.511 -0.804 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccn3)CC2)C[C@H](C)O1 ZINC000550302700 1072225684 /nfs/dbraw/zinc/22/56/84/1072225684.db2.gz AFURGDFBTQBYTD-GJZGRUSLSA-N 0 0 425.511 -0.804 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccn3)CC2)C[C@@H](C)O1 ZINC000550302701 1072225726 /nfs/dbraw/zinc/22/57/26/1072225726.db2.gz AFURGDFBTQBYTD-HUUCEWRRSA-N 0 0 425.511 -0.804 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)C1 ZINC000550303137 1072225940 /nfs/dbraw/zinc/22/59/40/1072225940.db2.gz NOUROSDBBJVBJK-HDICACEKSA-N 0 0 435.569 -0.236 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)C1 ZINC000550303138 1072225882 /nfs/dbraw/zinc/22/58/82/1072225882.db2.gz NOUROSDBBJVBJK-QZTJIDSGSA-N 0 0 435.569 -0.236 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)C1 ZINC000550303139 1072225954 /nfs/dbraw/zinc/22/59/54/1072225954.db2.gz NOUROSDBBJVBJK-ROUUACIJSA-N 0 0 435.569 -0.236 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000550304310 1072225703 /nfs/dbraw/zinc/22/57/03/1072225703.db2.gz ZKYHVMMIEFRZAF-KRWDZBQOSA-N 0 0 432.521 -0.140 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)CN1CCOCC1 ZINC000550304311 1072225736 /nfs/dbraw/zinc/22/57/36/1072225736.db2.gz ZKYHVMMIEFRZAF-QGZVFWFLSA-N 0 0 432.521 -0.140 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCN(c2cnccn2)CC1 ZINC000550304415 1072226286 /nfs/dbraw/zinc/22/62/86/1072226286.db2.gz CQLYVWVXQXVODX-UHFFFAOYSA-N 0 0 438.488 -0.086 20 0 IBADRN CNC(=O)NCCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000550304501 1072226491 /nfs/dbraw/zinc/22/64/91/1072226491.db2.gz DBQMXYJPUKVFKA-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000550304634 1072225820 /nfs/dbraw/zinc/22/58/20/1072225820.db2.gz HRNASAFPIBYBFY-UHFFFAOYSA-N 0 0 430.505 -0.244 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC000550305497 1072226305 /nfs/dbraw/zinc/22/63/05/1072226305.db2.gz GYLWTXFRILBUGT-GOSISDBHSA-N 0 0 432.477 -0.861 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC000550305499 1072226232 /nfs/dbraw/zinc/22/62/32/1072226232.db2.gz GYLWTXFRILBUGT-SFHVURJKSA-N 0 0 432.477 -0.861 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000550306208 1072226547 /nfs/dbraw/zinc/22/65/47/1072226547.db2.gz VJCUMVXQRFDNRS-UHFFFAOYSA-N 0 0 440.504 -0.157 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000550307735 1072226224 /nfs/dbraw/zinc/22/62/24/1072226224.db2.gz JPMHXCGFVKMGGM-HNNXBMFYSA-N 0 0 445.520 -0.188 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000550307736 1072226528 /nfs/dbraw/zinc/22/65/28/1072226528.db2.gz JPMHXCGFVKMGGM-OAHLLOKOSA-N 0 0 445.520 -0.188 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cs1 ZINC000550307910 1072226443 /nfs/dbraw/zinc/22/64/43/1072226443.db2.gz VLHZECDNQBUSCM-UHFFFAOYSA-N 0 0 431.522 -0.245 20 0 IBADRN CCCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000550310577 1072231406 /nfs/dbraw/zinc/23/14/06/1072231406.db2.gz SUQNDATVHRKDSH-UHFFFAOYSA-N 0 0 444.510 -0.466 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000550312550 1072238939 /nfs/dbraw/zinc/23/89/39/1072238939.db2.gz OOHONLCVLKFKHS-UHFFFAOYSA-N 0 0 425.493 -0.010 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000550313888 1072240215 /nfs/dbraw/zinc/24/02/15/1072240215.db2.gz DLFYCWYBMUDDNX-UHFFFAOYSA-N 0 0 445.520 -0.477 20 0 IBADRN C[C@@H](CCc1ccccc1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000550315255 1072241221 /nfs/dbraw/zinc/24/12/21/1072241221.db2.gz JSQFYJACKGUQIV-HNNXBMFYSA-N 0 0 428.493 -0.387 20 0 IBADRN C[C@H](CCc1ccccc1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000550315258 1072241192 /nfs/dbraw/zinc/24/11/92/1072241192.db2.gz JSQFYJACKGUQIV-OAHLLOKOSA-N 0 0 428.493 -0.387 20 0 IBADRN CC(C)CCC[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000550316478 1072243747 /nfs/dbraw/zinc/24/37/47/1072243747.db2.gz YQSZGCDDJOFZIV-KRWDZBQOSA-N 0 0 431.603 -0.046 20 0 IBADRN CC(C)CCC[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000550316480 1072243169 /nfs/dbraw/zinc/24/31/69/1072243169.db2.gz YQSZGCDDJOFZIV-QGZVFWFLSA-N 0 0 431.603 -0.046 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)CCO1 ZINC000550318217 1072244630 /nfs/dbraw/zinc/24/46/30/1072244630.db2.gz UTOXHIYDJDXELA-KRWDZBQOSA-N 0 0 431.493 -0.821 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)CCO1 ZINC000550318218 1072244577 /nfs/dbraw/zinc/24/45/77/1072244577.db2.gz UTOXHIYDJDXELA-QGZVFWFLSA-N 0 0 431.493 -0.821 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CC1=O ZINC000550318502 1072254269 /nfs/dbraw/zinc/25/42/69/1072254269.db2.gz XPMGHDNANBJKJA-UHFFFAOYSA-N 0 0 440.522 -0.740 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000550323109 1072264820 /nfs/dbraw/zinc/26/48/20/1072264820.db2.gz YUDSWYVBFUOPRJ-HNNXBMFYSA-N 0 0 448.505 -0.792 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000550323110 1072265459 /nfs/dbraw/zinc/26/54/59/1072265459.db2.gz YUDSWYVBFUOPRJ-OAHLLOKOSA-N 0 0 448.505 -0.792 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)CC1)N1CCCC1 ZINC000550324427 1072273052 /nfs/dbraw/zinc/27/30/52/1072273052.db2.gz DQWMONGHHRENPI-UHFFFAOYSA-N 0 0 449.596 -0.096 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)N2CCOCC2)cc1 ZINC000550324448 1072273139 /nfs/dbraw/zinc/27/31/39/1072273139.db2.gz DZIGGAPWEFFDMQ-PBHICJAKSA-N 0 0 427.523 -0.266 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)N2CCOCC2)cc1 ZINC000550324449 1072273043 /nfs/dbraw/zinc/27/30/43/1072273043.db2.gz DZIGGAPWEFFDMQ-RHSMWYFYSA-N 0 0 427.523 -0.266 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)N2CCOCC2)cc1 ZINC000550324450 1072272949 /nfs/dbraw/zinc/27/29/49/1072272949.db2.gz DZIGGAPWEFFDMQ-WMLDXEAASA-N 0 0 427.523 -0.266 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)N2CCOCC2)cc1 ZINC000550324451 1072273019 /nfs/dbraw/zinc/27/30/19/1072273019.db2.gz DZIGGAPWEFFDMQ-YOEHRIQHSA-N 0 0 427.523 -0.266 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)C1 ZINC000550324997 1072271782 /nfs/dbraw/zinc/27/17/82/1072271782.db2.gz NXQGFKANIWEPQN-KRWDZBQOSA-N 0 0 444.598 -0.075 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)C1 ZINC000550324998 1072271986 /nfs/dbraw/zinc/27/19/86/1072271986.db2.gz NXQGFKANIWEPQN-QGZVFWFLSA-N 0 0 444.598 -0.075 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)N2CCOCC2)cc1 ZINC000550326603 1072273947 /nfs/dbraw/zinc/27/39/47/1072273947.db2.gz JWVGWWNFJJADGY-CEXWTWQISA-N 0 0 430.505 -0.078 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)N2CCOCC2)cc1 ZINC000550326604 1072274041 /nfs/dbraw/zinc/27/40/41/1072274041.db2.gz JWVGWWNFJJADGY-GUDVDZBRSA-N 0 0 430.505 -0.078 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)c1ccco1 ZINC000550326748 1072273888 /nfs/dbraw/zinc/27/38/88/1072273888.db2.gz LDMWDKOAGYIIDQ-INIZCTEOSA-N 0 0 435.506 -0.073 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)c1ccco1 ZINC000550326749 1072273960 /nfs/dbraw/zinc/27/39/60/1072273960.db2.gz LDMWDKOAGYIIDQ-MRXNPFEDSA-N 0 0 435.506 -0.073 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000550326809 1072273826 /nfs/dbraw/zinc/27/38/26/1072273826.db2.gz NGXUDFWBLVPOMF-INIZCTEOSA-N 0 0 430.571 -0.322 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000550326810 1072273847 /nfs/dbraw/zinc/27/38/47/1072273847.db2.gz NGXUDFWBLVPOMF-MRXNPFEDSA-N 0 0 430.571 -0.322 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000550331024 1072274053 /nfs/dbraw/zinc/27/40/53/1072274053.db2.gz GWFGFDHYAHFYDV-UHFFFAOYSA-N 0 0 440.504 -0.105 20 0 IBADRN O=C(NCc1ccc(Cn2cncn2)cc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000550331375 1072274642 /nfs/dbraw/zinc/27/46/42/1072274642.db2.gz QPBXYHYSAFTLAT-UHFFFAOYSA-N 0 0 449.537 -0.269 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2cccc(C(F)(F)F)c2)CC1 ZINC000550331587 1072274371 /nfs/dbraw/zinc/27/43/71/1072274371.db2.gz NLDYDBWYUZFTNS-UHFFFAOYSA-N 0 0 425.371 -0.321 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n1 ZINC000550332117 1072274515 /nfs/dbraw/zinc/27/45/15/1072274515.db2.gz YKILLFFGKPXDOP-UHFFFAOYSA-N 0 0 434.522 -0.066 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccc3F)CC2)C[C@H](C)O1 ZINC000550332269 1072274467 /nfs/dbraw/zinc/27/44/67/1072274467.db2.gz ZTPXIRCNNXUGMN-GASCZTMLSA-N 0 0 442.513 -0.060 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccc3F)CC2)C[C@H](C)O1 ZINC000550332272 1072274532 /nfs/dbraw/zinc/27/45/32/1072274532.db2.gz ZTPXIRCNNXUGMN-GJZGRUSLSA-N 0 0 442.513 -0.060 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccc3F)CC2)C[C@@H](C)O1 ZINC000550332274 1072274589 /nfs/dbraw/zinc/27/45/89/1072274589.db2.gz ZTPXIRCNNXUGMN-HUUCEWRRSA-N 0 0 442.513 -0.060 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1ccccc1Cl)C2 ZINC000550332501 1072274625 /nfs/dbraw/zinc/27/46/25/1072274625.db2.gz IKVMGTFBECABET-UHFFFAOYSA-N 0 0 434.884 -0.513 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccccc2C(F)(F)F)CC1 ZINC000550332942 1072274483 /nfs/dbraw/zinc/27/44/83/1072274483.db2.gz NYVHVDSHQIXERT-UHFFFAOYSA-N 0 0 425.371 -0.321 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000550333876 1072275025 /nfs/dbraw/zinc/27/50/25/1072275025.db2.gz DOELTJNZSZNXFW-HNNXBMFYSA-N 0 0 440.566 -0.143 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000550333877 1072275100 /nfs/dbraw/zinc/27/51/00/1072275100.db2.gz DOELTJNZSZNXFW-OAHLLOKOSA-N 0 0 440.566 -0.143 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000550333912 1072275085 /nfs/dbraw/zinc/27/50/85/1072275085.db2.gz FPLABVOKXGASQZ-UHFFFAOYSA-N 0 0 434.522 -0.379 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000550334267 1072274972 /nfs/dbraw/zinc/27/49/72/1072274972.db2.gz NKVFLCMKBXRUIF-UHFFFAOYSA-N 0 0 444.941 -0.285 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000550334824 1072275160 /nfs/dbraw/zinc/27/51/60/1072275160.db2.gz SMEOZWATRSVODA-UHFFFAOYSA-N 0 0 445.501 -0.539 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000550337772 1072275646 /nfs/dbraw/zinc/27/56/46/1072275646.db2.gz MNRIIWZMXYLDTQ-HNNXBMFYSA-N 0 0 426.539 -0.615 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000550337773 1072275576 /nfs/dbraw/zinc/27/55/76/1072275576.db2.gz MNRIIWZMXYLDTQ-OAHLLOKOSA-N 0 0 426.539 -0.615 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCOCC3)CC1)C2 ZINC000550338119 1072274994 /nfs/dbraw/zinc/27/49/94/1072274994.db2.gz UNSWDZWEAWFFOI-CRAIPNDOSA-N 0 0 444.536 -0.850 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCOCC3)CC1)C2 ZINC000550338120 1072275001 /nfs/dbraw/zinc/27/50/01/1072275001.db2.gz UNSWDZWEAWFFOI-MAUKXSAKSA-N 0 0 444.536 -0.850 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCOCC3)CC1)C2 ZINC000550338121 1072274964 /nfs/dbraw/zinc/27/49/64/1072274964.db2.gz UNSWDZWEAWFFOI-QAPCUYQASA-N 0 0 444.536 -0.850 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCOCC3)CC1)C2 ZINC000550338122 1072274977 /nfs/dbraw/zinc/27/49/77/1072274977.db2.gz UNSWDZWEAWFFOI-YJBOKZPZSA-N 0 0 444.536 -0.850 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCO[C@H](COCC2CC2)C1 ZINC000550338195 1072275119 /nfs/dbraw/zinc/27/51/19/1072275119.db2.gz VRGKEEZYPWCRBI-INIZCTEOSA-N 0 0 425.507 -0.265 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCO[C@@H](COCC2CC2)C1 ZINC000550338199 1072275504 /nfs/dbraw/zinc/27/55/04/1072275504.db2.gz VRGKEEZYPWCRBI-MRXNPFEDSA-N 0 0 425.507 -0.265 20 0 IBADRN CNC(=O)CN1CCN(c2cc(N3CCN(CC(=O)NC)CC3)c3ccncc3n2)CC1 ZINC000550338362 1072275625 /nfs/dbraw/zinc/27/56/25/1072275625.db2.gz WMUMIQRGVGZASF-UHFFFAOYSA-N 0 0 440.552 -0.634 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000550339696 1072275618 /nfs/dbraw/zinc/27/56/18/1072275618.db2.gz QPZYOIFUONJCBJ-AWEZNQCLSA-N 0 0 446.551 -0.907 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000550339697 1072275560 /nfs/dbraw/zinc/27/55/60/1072275560.db2.gz QPZYOIFUONJCBJ-CQSZACIVSA-N 0 0 446.551 -0.907 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000550340591 1072274957 /nfs/dbraw/zinc/27/49/57/1072274957.db2.gz GVAVIYAZEAQCDG-AWEZNQCLSA-N 0 0 430.914 -0.723 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000550340592 1072275078 /nfs/dbraw/zinc/27/50/78/1072275078.db2.gz GVAVIYAZEAQCDG-CQSZACIVSA-N 0 0 430.914 -0.723 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCCC3)CC1)C2 ZINC000550341089 1072275704 /nfs/dbraw/zinc/27/57/04/1072275704.db2.gz OBGOTNNDORYADJ-CRAIPNDOSA-N 0 0 428.537 -0.086 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCCC3)CC1)C2 ZINC000550341090 1072275694 /nfs/dbraw/zinc/27/56/94/1072275694.db2.gz OBGOTNNDORYADJ-MAUKXSAKSA-N 0 0 428.537 -0.086 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCCC3)CC1)C2 ZINC000550341091 1072275710 /nfs/dbraw/zinc/27/57/10/1072275710.db2.gz OBGOTNNDORYADJ-QAPCUYQASA-N 0 0 428.537 -0.086 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCCC3)CC1)C2 ZINC000550341092 1072275635 /nfs/dbraw/zinc/27/56/35/1072275635.db2.gz OBGOTNNDORYADJ-YJBOKZPZSA-N 0 0 428.537 -0.086 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)S(C)(=O)=O ZINC000550341336 1072275016 /nfs/dbraw/zinc/27/50/16/1072275016.db2.gz PYTRLAKTPXKLTD-UHFFFAOYSA-N 0 0 448.567 -0.659 20 0 IBADRN COc1cc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)ccn1 ZINC000550341437 1072275092 /nfs/dbraw/zinc/27/50/92/1072275092.db2.gz KSQJTMPUXBLEDX-UHFFFAOYSA-N 0 0 427.465 -0.649 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000550342011 1072275067 /nfs/dbraw/zinc/27/50/67/1072275067.db2.gz XKCGDBMDFCHGEA-UHFFFAOYSA-N 0 0 440.522 -0.775 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCC[C@H]1CS(=O)(=O)NC)N1CCOCC1 ZINC000550342706 1072275142 /nfs/dbraw/zinc/27/51/42/1072275142.db2.gz WWJKQWGSSBIYIK-DLBZAZTESA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCC[C@@H]1CS(=O)(=O)NC)N1CCOCC1 ZINC000550342710 1072275128 /nfs/dbraw/zinc/27/51/28/1072275128.db2.gz WWJKQWGSSBIYIK-IAGOWNOFSA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCC[C@H]1CS(=O)(=O)NC)N1CCOCC1 ZINC000550342712 1072275053 /nfs/dbraw/zinc/27/50/53/1072275053.db2.gz WWJKQWGSSBIYIK-IRXDYDNUSA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCC[C@@H]1CS(=O)(=O)NC)N1CCOCC1 ZINC000550342714 1072274982 /nfs/dbraw/zinc/27/49/82/1072274982.db2.gz WWJKQWGSSBIYIK-SJORKVTESA-N 0 0 432.587 -0.220 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)CC1 ZINC000550343338 1072275970 /nfs/dbraw/zinc/27/59/70/1072275970.db2.gz FGWHTJQNNHHQGO-UHFFFAOYSA-N 0 0 437.585 -0.194 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)c1ccco1 ZINC000550343444 1072276161 /nfs/dbraw/zinc/27/61/61/1072276161.db2.gz WVQCLFDOZDAPBQ-KRWDZBQOSA-N 0 0 441.488 -0.113 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)c1ccco1 ZINC000550343445 1072276190 /nfs/dbraw/zinc/27/61/90/1072276190.db2.gz WVQCLFDOZDAPBQ-QGZVFWFLSA-N 0 0 441.488 -0.113 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1ccco1 ZINC000550343482 1072276048 /nfs/dbraw/zinc/27/60/48/1072276048.db2.gz XMRYFISHBZXXBE-CVEARBPZSA-N 0 0 442.538 -0.349 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1ccco1 ZINC000550343484 1072276140 /nfs/dbraw/zinc/27/61/40/1072276140.db2.gz XMRYFISHBZXXBE-HOTGVXAUSA-N 0 0 442.538 -0.349 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1ccco1 ZINC000550343485 1072276102 /nfs/dbraw/zinc/27/61/02/1072276102.db2.gz XMRYFISHBZXXBE-HZPDHXFCSA-N 0 0 442.538 -0.349 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1ccco1 ZINC000550343486 1072276252 /nfs/dbraw/zinc/27/62/52/1072276252.db2.gz XMRYFISHBZXXBE-JKSUJKDBSA-N 0 0 442.538 -0.349 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC2(CCOCC2)O1 ZINC000550343724 1072276021 /nfs/dbraw/zinc/27/60/21/1072276021.db2.gz HAAHQESDLRYEDW-HNNXBMFYSA-N 0 0 425.507 -0.122 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC2(CCOCC2)O1 ZINC000550343825 1072276230 /nfs/dbraw/zinc/27/62/30/1072276230.db2.gz HAAHQESDLRYEDW-OAHLLOKOSA-N 0 0 425.507 -0.122 20 0 IBADRN COc1cc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)ccn1 ZINC000550344223 1072275987 /nfs/dbraw/zinc/27/59/87/1072275987.db2.gz MAZHZXNAMINRGZ-UHFFFAOYSA-N 0 0 425.445 -0.405 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccco2)N(C)C)CC1 ZINC000550344446 1072276063 /nfs/dbraw/zinc/27/60/63/1072276063.db2.gz RFWHPDOLBZFKBV-INIZCTEOSA-N 0 0 444.554 -0.103 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccco2)N(C)C)CC1 ZINC000550344447 1072275997 /nfs/dbraw/zinc/27/59/97/1072275997.db2.gz RFWHPDOLBZFKBV-MRXNPFEDSA-N 0 0 444.554 -0.103 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)NCc3ccccc3)CC2)n1 ZINC000550344861 1072276086 /nfs/dbraw/zinc/27/60/86/1072276086.db2.gz BLUWRQQQIPOLGX-UHFFFAOYSA-N 0 0 428.541 -0.622 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N(CCO)C1CCOCC1 ZINC000550344881 1072276181 /nfs/dbraw/zinc/27/61/81/1072276181.db2.gz AYHMSFRZFQLOJQ-UHFFFAOYSA-N 0 0 433.914 -0.266 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C(CC)CC)N2CCOCC2)CC1 ZINC000550344975 1072276121 /nfs/dbraw/zinc/27/61/21/1072276121.db2.gz BKDIFFKNCLBGPI-GOSISDBHSA-N 0 0 425.574 -0.480 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C(CC)CC)N2CCOCC2)CC1 ZINC000550344978 1072276272 /nfs/dbraw/zinc/27/62/72/1072276272.db2.gz BKDIFFKNCLBGPI-SFHVURJKSA-N 0 0 425.574 -0.480 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)c1 ZINC000550345491 1072276661 /nfs/dbraw/zinc/27/66/61/1072276661.db2.gz IGADFKYELJBRAQ-KBXCAEBGSA-N 0 0 448.505 -0.398 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)c1 ZINC000550345492 1072276671 /nfs/dbraw/zinc/27/66/71/1072276671.db2.gz IGADFKYELJBRAQ-KDOFPFPSSA-N 0 0 448.505 -0.398 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)c1 ZINC000550345493 1072276737 /nfs/dbraw/zinc/27/67/37/1072276737.db2.gz IGADFKYELJBRAQ-KSSFIOAISA-N 0 0 448.505 -0.398 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)c1 ZINC000550345494 1072276746 /nfs/dbraw/zinc/27/67/46/1072276746.db2.gz IGADFKYELJBRAQ-RDTXWAMCSA-N 0 0 448.505 -0.398 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)N1CCOCC1 ZINC000550345574 1072276765 /nfs/dbraw/zinc/27/67/65/1072276765.db2.gz GQAWGIXOIJLQQS-MSOLQXFVSA-N 0 0 434.541 -0.105 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)N1CCOCC1 ZINC000550345575 1072276649 /nfs/dbraw/zinc/27/66/49/1072276649.db2.gz GQAWGIXOIJLQQS-QZTJIDSGSA-N 0 0 434.541 -0.105 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)N1CCOCC1 ZINC000550345576 1072277062 /nfs/dbraw/zinc/27/70/62/1072277062.db2.gz GQAWGIXOIJLQQS-ROUUACIJSA-N 0 0 434.541 -0.105 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)N1CCOCC1 ZINC000550345578 1072277086 /nfs/dbraw/zinc/27/70/86/1072277086.db2.gz GQAWGIXOIJLQQS-ZWKOTPCHSA-N 0 0 434.541 -0.105 20 0 IBADRN CN(C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)C1CCN(c2cnccn2)CC1 ZINC000550345588 1072277074 /nfs/dbraw/zinc/27/70/74/1072277074.db2.gz GRNADEBMPFZOBX-UHFFFAOYSA-N 0 0 446.533 -0.002 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)n1 ZINC000550346061 1072276681 /nfs/dbraw/zinc/27/66/81/1072276681.db2.gz RDRBLLRVVWVKSW-UHFFFAOYSA-N 0 0 432.506 -0.472 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C[C@H]2CO)cn1 ZINC000550346098 1072276713 /nfs/dbraw/zinc/27/67/13/1072276713.db2.gz SFNAOOLGOKJPCG-RDJZCZTQSA-N 0 0 435.506 -0.951 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC)C1)N1CCOCC1 ZINC000550346162 1072276613 /nfs/dbraw/zinc/27/66/13/1072276613.db2.gz UALHFVBIABJTKK-DLBZAZTESA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC)C1)N1CCOCC1 ZINC000550346163 1072276782 /nfs/dbraw/zinc/27/67/82/1072276782.db2.gz UALHFVBIABJTKK-IAGOWNOFSA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC)C1)N1CCOCC1 ZINC000550346164 1072276700 /nfs/dbraw/zinc/27/67/00/1072276700.db2.gz UALHFVBIABJTKK-IRXDYDNUSA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC)C1)N1CCOCC1 ZINC000550346165 1072276805 /nfs/dbraw/zinc/27/68/05/1072276805.db2.gz UALHFVBIABJTKK-SJORKVTESA-N 0 0 432.587 -0.220 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1nncn1-c1ccccc1 ZINC000550346167 1072276694 /nfs/dbraw/zinc/27/66/94/1072276694.db2.gz UCMNETMIAODUSR-UHFFFAOYSA-N 0 0 428.474 -0.022 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)cn1 ZINC000550346185 1072276754 /nfs/dbraw/zinc/27/67/54/1072276754.db2.gz UMUYOGWNLPILMQ-UHFFFAOYSA-N 0 0 439.881 -0.455 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)N1CCOCC1 ZINC000550346215 1072276632 /nfs/dbraw/zinc/27/66/32/1072276632.db2.gz VJPDRMWZTQYERS-IBGZPJMESA-N 0 0 437.585 -0.338 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)N1CCOCC1 ZINC000550346216 1072276725 /nfs/dbraw/zinc/27/67/25/1072276725.db2.gz VJPDRMWZTQYERS-LJQANCHMSA-N 0 0 437.585 -0.338 20 0 IBADRN OC[C@@H](CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)Cc1ccc(F)cc1 ZINC000550346364 1072277111 /nfs/dbraw/zinc/27/71/11/1072277111.db2.gz YRYPUJZXXCTDHV-CPJTVBMOSA-N 0 0 433.440 -0.160 20 0 IBADRN OC[C@H](CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)Cc1ccc(F)cc1 ZINC000550346368 1072277180 /nfs/dbraw/zinc/27/71/80/1072277180.db2.gz YRYPUJZXXCTDHV-SQIXAUHQSA-N 0 0 433.440 -0.160 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)S(C)(=O)=O ZINC000550346409 1072275550 /nfs/dbraw/zinc/27/55/50/1072275550.db2.gz YYHYWAPKKZQDRK-JTQLQIEISA-N 0 0 425.916 -0.716 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)S(C)(=O)=O ZINC000550346410 1072275700 /nfs/dbraw/zinc/27/57/00/1072275700.db2.gz YYHYWAPKKZQDRK-SNVBAGLBSA-N 0 0 425.916 -0.716 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)ccn1 ZINC000550346505 1072275605 /nfs/dbraw/zinc/27/56/05/1072275605.db2.gz CWKFPADRTRUHHJ-UHFFFAOYSA-N 0 0 436.494 -0.719 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000550346671 1072275718 /nfs/dbraw/zinc/27/57/18/1072275718.db2.gz NRLKEUAMOHDUDZ-GFCCVEGCSA-N 0 0 436.412 -0.640 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000550346672 1072275526 /nfs/dbraw/zinc/27/55/26/1072275526.db2.gz NRLKEUAMOHDUDZ-LBPRGKRZSA-N 0 0 436.412 -0.640 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000550346899 1072275488 /nfs/dbraw/zinc/27/54/88/1072275488.db2.gz ZWLYFGZONMXIGG-UHFFFAOYSA-N 0 0 430.914 -0.185 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)n1 ZINC000550350449 1072277189 /nfs/dbraw/zinc/27/71/89/1072277189.db2.gz YCMAUKGSVLZHTE-UHFFFAOYSA-N 0 0 443.570 -0.095 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000550352824 1072277127 /nfs/dbraw/zinc/27/71/27/1072277127.db2.gz PLNOHKGZKPTXQQ-UHFFFAOYSA-N 0 0 435.506 -0.114 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@@H](c3cccs3)N3CCOCC3)C2=O)cn1 ZINC000550353475 1072277146 /nfs/dbraw/zinc/27/71/46/1072277146.db2.gz YTMYRISKCHTOEQ-CVEARBPZSA-N 0 0 446.533 -0.107 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NC[C@@H](c3cccs3)N3CCOCC3)C2=O)cn1 ZINC000550353476 1072277201 /nfs/dbraw/zinc/27/72/01/1072277201.db2.gz YTMYRISKCHTOEQ-HOTGVXAUSA-N 0 0 446.533 -0.107 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@H](c3cccs3)N3CCOCC3)C2=O)cn1 ZINC000550353477 1072277169 /nfs/dbraw/zinc/27/71/69/1072277169.db2.gz YTMYRISKCHTOEQ-HZPDHXFCSA-N 0 0 446.533 -0.107 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NC[C@H](c3cccs3)N3CCOCC3)C2=O)cn1 ZINC000550353478 1072277080 /nfs/dbraw/zinc/27/70/80/1072277080.db2.gz YTMYRISKCHTOEQ-JKSUJKDBSA-N 0 0 446.533 -0.107 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000550354811 1072277649 /nfs/dbraw/zinc/27/76/49/1072277649.db2.gz KBTOQKZHBJKSKN-UHFFFAOYSA-N 0 0 438.510 -0.332 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@@H](c1cccs1)N1CCOCC1 ZINC000550355250 1072277701 /nfs/dbraw/zinc/27/77/01/1072277701.db2.gz FJZYBHHHELDLRZ-HNNXBMFYSA-N 0 0 432.506 -0.426 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@H](c1cccs1)N1CCOCC1 ZINC000550355251 1072277575 /nfs/dbraw/zinc/27/75/75/1072277575.db2.gz FJZYBHHHELDLRZ-OAHLLOKOSA-N 0 0 432.506 -0.426 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1=O ZINC000550356018 1072277656 /nfs/dbraw/zinc/27/76/56/1072277656.db2.gz KSOLCKFTRXVXKJ-INIZCTEOSA-N 0 0 438.550 -0.055 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1=O ZINC000550356020 1072277553 /nfs/dbraw/zinc/27/75/53/1072277553.db2.gz KSOLCKFTRXVXKJ-MRXNPFEDSA-N 0 0 438.550 -0.055 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)N1CCOCC1 ZINC000550356215 1072277593 /nfs/dbraw/zinc/27/75/93/1072277593.db2.gz LAHJRVLYQKNFQJ-GOSISDBHSA-N 0 0 425.574 -0.528 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)N1CCOCC1 ZINC000550356216 1072277678 /nfs/dbraw/zinc/27/76/78/1072277678.db2.gz LAHJRVLYQKNFQJ-SFHVURJKSA-N 0 0 425.574 -0.528 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000550356382 1072278079 /nfs/dbraw/zinc/27/80/79/1072278079.db2.gz WXYKDMJNKKBDSO-HNNXBMFYSA-N 0 0 444.579 -0.269 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000550356383 1072278022 /nfs/dbraw/zinc/27/80/22/1072278022.db2.gz WXYKDMJNKKBDSO-OAHLLOKOSA-N 0 0 444.579 -0.269 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(Br)cc2)CC1 ZINC000550356579 1072278179 /nfs/dbraw/zinc/27/81/79/1072278179.db2.gz PMBDAEKJRPNWGA-UHFFFAOYSA-N 0 0 436.270 -0.577 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2C)C1=O)N1CCOCC1 ZINC000550356645 1072278139 /nfs/dbraw/zinc/27/81/39/1072278139.db2.gz RMYLFOAMGQWBDX-DLBZAZTESA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2C)C1=O)N1CCOCC1 ZINC000550356732 1072278164 /nfs/dbraw/zinc/27/81/64/1072278164.db2.gz RMYLFOAMGQWBDX-IAGOWNOFSA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@H]1CCCN(c2ccnn2C)C1=O)N1CCOCC1 ZINC000550356734 1072278122 /nfs/dbraw/zinc/27/81/22/1072278122.db2.gz RMYLFOAMGQWBDX-IRXDYDNUSA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@H]1CCCN(c2ccnn2C)C1=O)N1CCOCC1 ZINC000550356736 1072278108 /nfs/dbraw/zinc/27/81/08/1072278108.db2.gz RMYLFOAMGQWBDX-SJORKVTESA-N 0 0 434.541 -0.105 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@@H](c1cccs1)N1CCOCC1 ZINC000550356943 1072277543 /nfs/dbraw/zinc/27/75/43/1072277543.db2.gz SMXGPUFRGKDNOO-CABCVRRESA-N 0 0 444.579 -0.222 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@@H](c1cccs1)N1CCOCC1 ZINC000550356949 1072277627 /nfs/dbraw/zinc/27/76/27/1072277627.db2.gz SMXGPUFRGKDNOO-GJZGRUSLSA-N 0 0 444.579 -0.222 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@H](c1cccs1)N1CCOCC1 ZINC000550356951 1072277533 /nfs/dbraw/zinc/27/75/33/1072277533.db2.gz SMXGPUFRGKDNOO-HUUCEWRRSA-N 0 0 444.579 -0.222 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@H](c1cccs1)N1CCOCC1 ZINC000550356953 1072277608 /nfs/dbraw/zinc/27/76/08/1072277608.db2.gz SMXGPUFRGKDNOO-LSDHHAIUSA-N 0 0 444.579 -0.222 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000550357294 1072277735 /nfs/dbraw/zinc/27/77/35/1072277735.db2.gz ZNSREPDKBOJWIA-HNNXBMFYSA-N 0 0 437.566 -0.354 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000550357295 1072277564 /nfs/dbraw/zinc/27/75/64/1072277564.db2.gz ZNSREPDKBOJWIA-OAHLLOKOSA-N 0 0 437.566 -0.354 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000550357297 1072277585 /nfs/dbraw/zinc/27/75/85/1072277585.db2.gz ZVBHSAHEJSIEKV-UHFFFAOYSA-N 0 0 442.524 -0.349 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NC[C@H](CC(C)C)N2CCOCC2)CC1 ZINC000550357342 1072278037 /nfs/dbraw/zinc/27/80/37/1072278037.db2.gz BVKUAZPEHIBBQL-KRWDZBQOSA-N 0 0 426.558 -0.055 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NC[C@@H](CC(C)C)N2CCOCC2)CC1 ZINC000550357343 1072278057 /nfs/dbraw/zinc/27/80/57/1072278057.db2.gz BVKUAZPEHIBBQL-QGZVFWFLSA-N 0 0 426.558 -0.055 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2nccn(C)c2=O)C1)N1CCOCC1 ZINC000550357474 1072278265 /nfs/dbraw/zinc/27/82/65/1072278265.db2.gz CIMAQUDQVZNZJL-MSOLQXFVSA-N 0 0 448.568 -0.272 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2nccn(C)c2=O)C1)N1CCOCC1 ZINC000550357475 1072278637 /nfs/dbraw/zinc/27/86/37/1072278637.db2.gz CIMAQUDQVZNZJL-QZTJIDSGSA-N 0 0 448.568 -0.272 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@H]1CCCN(c2nccn(C)c2=O)C1)N1CCOCC1 ZINC000550357476 1072278619 /nfs/dbraw/zinc/27/86/19/1072278619.db2.gz CIMAQUDQVZNZJL-ROUUACIJSA-N 0 0 448.568 -0.272 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@H]1CCCN(c2nccn(C)c2=O)C1)N1CCOCC1 ZINC000550357477 1072278742 /nfs/dbraw/zinc/27/87/42/1072278742.db2.gz CIMAQUDQVZNZJL-ZWKOTPCHSA-N 0 0 448.568 -0.272 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CC[C@@H](N(C)Cc2nccc(N)n2)C1)N1CCOCC1 ZINC000550357952 1072277992 /nfs/dbraw/zinc/27/79/92/1072277992.db2.gz RGGMAVLDJODMCN-MSOLQXFVSA-N 0 0 447.584 -0.045 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CC[C@@H](N(C)Cc2nccc(N)n2)C1)N1CCOCC1 ZINC000550357953 1072278192 /nfs/dbraw/zinc/27/81/92/1072278192.db2.gz RGGMAVLDJODMCN-QZTJIDSGSA-N 0 0 447.584 -0.045 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CC[C@H](N(C)Cc2nccc(N)n2)C1)N1CCOCC1 ZINC000550357954 1072278231 /nfs/dbraw/zinc/27/82/31/1072278231.db2.gz RGGMAVLDJODMCN-ROUUACIJSA-N 0 0 447.584 -0.045 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CC[C@H](N(C)Cc2nccc(N)n2)C1)N1CCOCC1 ZINC000550357955 1073357492 /nfs/dbraw/zinc/35/74/92/1073357492.db2.gz RGGMAVLDJODMCN-ZWKOTPCHSA-N 0 0 447.584 -0.045 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1)N1CCOCC1 ZINC000550357993 1072278210 /nfs/dbraw/zinc/27/82/10/1072278210.db2.gz UPFGETAFPGDXNU-GOSISDBHSA-N 0 0 425.574 -0.482 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1)N1CCOCC1 ZINC000550357994 1072278086 /nfs/dbraw/zinc/27/80/86/1072278086.db2.gz UPFGETAFPGDXNU-SFHVURJKSA-N 0 0 425.574 -0.482 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](CC(C)C)N2CCOCC2)CC1 ZINC000550358415 1072278650 /nfs/dbraw/zinc/27/86/50/1072278650.db2.gz SPCQGBGZAYTQCQ-MOPGFXCFSA-N 0 0 439.601 -0.092 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](CC(C)C)N2CCOCC2)CC1 ZINC000550358419 1072278575 /nfs/dbraw/zinc/27/85/75/1072278575.db2.gz SPCQGBGZAYTQCQ-OALUTQOASA-N 0 0 439.601 -0.092 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](CC(C)C)N2CCOCC2)CC1 ZINC000550358421 1072278608 /nfs/dbraw/zinc/27/86/08/1072278608.db2.gz SPCQGBGZAYTQCQ-RBUKOAKNSA-N 0 0 439.601 -0.092 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](CC(C)C)N2CCOCC2)CC1 ZINC000550358423 1072278550 /nfs/dbraw/zinc/27/85/50/1072278550.db2.gz SPCQGBGZAYTQCQ-RTBURBONSA-N 0 0 439.601 -0.092 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)N1CCOCC1 ZINC000550358481 1072278585 /nfs/dbraw/zinc/27/85/85/1072278585.db2.gz BAFRVMCCNBNOFF-HRAATJIYSA-N 0 0 437.541 -0.314 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)N1CCOCC1 ZINC000550358482 1072278704 /nfs/dbraw/zinc/27/87/04/1072278704.db2.gz BAFRVMCCNBNOFF-IERDGZPVSA-N 0 0 437.541 -0.314 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)N1CCOCC1 ZINC000550358483 1072278771 /nfs/dbraw/zinc/27/87/71/1072278771.db2.gz BAFRVMCCNBNOFF-IIBYNOLFSA-N 0 0 437.541 -0.314 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)N1CCOCC1 ZINC000550358484 1072278600 /nfs/dbraw/zinc/27/86/00/1072278600.db2.gz BAFRVMCCNBNOFF-KKSFZXQISA-N 0 0 437.541 -0.314 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCOCC1 ZINC000550359105 1072278694 /nfs/dbraw/zinc/27/86/94/1072278694.db2.gz JSZVCIBWBLNDMX-UHFFFAOYSA-N 0 0 439.538 -0.859 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC2CCN(C(=O)C3CC3)CC2)CC1 ZINC000550359124 1072278759 /nfs/dbraw/zinc/27/87/59/1072278759.db2.gz HWTMAFJSBZKPPM-INIZCTEOSA-N 0 0 433.553 -0.341 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC2CCN(C(=O)C3CC3)CC2)CC1 ZINC000550359129 1072278594 /nfs/dbraw/zinc/27/85/94/1072278594.db2.gz HWTMAFJSBZKPPM-MRXNPFEDSA-N 0 0 433.553 -0.341 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccnc(N4CCCC4)c3)CC2)c1=O ZINC000550359170 1072278562 /nfs/dbraw/zinc/27/85/62/1072278562.db2.gz IZNPVYWZZIRNGE-UHFFFAOYSA-N 0 0 425.493 -0.260 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCC(C)(C)N3CCOCC3)cc2)CC1 ZINC000550359235 1072278723 /nfs/dbraw/zinc/27/87/23/1072278723.db2.gz DJHVHINZIUACDK-UHFFFAOYSA-N 0 0 445.564 -0.083 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)N1CCOCC1 ZINC000550359766 1072279041 /nfs/dbraw/zinc/27/90/41/1072279041.db2.gz MNQOXNQTXHJIKQ-UHFFFAOYSA-N 0 0 445.564 -0.326 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCC(C)(C)N2CCOCC2)cn1 ZINC000550360210 1072279189 /nfs/dbraw/zinc/27/91/89/1072279189.db2.gz FMNWHHXGGHKMTP-INIZCTEOSA-N 0 0 441.554 -0.572 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCC(C)(C)N2CCOCC2)cn1 ZINC000550360211 1072279149 /nfs/dbraw/zinc/27/91/49/1072279149.db2.gz FMNWHHXGGHKMTP-MRXNPFEDSA-N 0 0 441.554 -0.572 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCOc1ccccc1)N1CCN(c2ncccn2)CC1 ZINC000550360440 1072279402 /nfs/dbraw/zinc/27/94/02/1072279402.db2.gz XOIPHSWEKJJJOV-UHFFFAOYSA-N 0 0 426.477 -0.173 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000550360485 1072279373 /nfs/dbraw/zinc/27/93/73/1072279373.db2.gz ZQNRELHHZYQJGD-UHFFFAOYSA-N 0 0 445.567 -0.088 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000550360597 1072279258 /nfs/dbraw/zinc/27/92/58/1072279258.db2.gz ISGDPXKGQLHFBZ-UHFFFAOYSA-N 0 0 426.558 -0.005 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2cn3cc(Cl)ccc3n2)CC1 ZINC000550361334 1072279294 /nfs/dbraw/zinc/27/92/94/1072279294.db2.gz DKYMQUCURLIHHG-UHFFFAOYSA-N 0 0 448.911 -0.393 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cscn3)CC2)cn1C ZINC000550361836 1072279623 /nfs/dbraw/zinc/27/96/23/1072279623.db2.gz LZBLWDWDJIWQPH-UHFFFAOYSA-N 0 0 426.524 -0.623 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)N1CCOCC1 ZINC000550361894 1072279053 /nfs/dbraw/zinc/27/90/53/1072279053.db2.gz XNKVZNDXZWVZSZ-UHFFFAOYSA-N 0 0 433.557 -0.668 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)NCCc2nccn2Cc2ccccc2)CCO1 ZINC000550362065 1072279072 /nfs/dbraw/zinc/27/90/72/1072279072.db2.gz MRJAHQQVJJJPNE-GOSISDBHSA-N 0 0 442.520 -0.765 20 0 IBADRN CN(CC(N)=O)C[C@H]1CN(C(=O)C(=O)NCCc2nccn2Cc2ccccc2)CCO1 ZINC000550362079 1072279095 /nfs/dbraw/zinc/27/90/95/1072279095.db2.gz MRJAHQQVJJJPNE-SFHVURJKSA-N 0 0 442.520 -0.765 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCCc2nccn2Cc2ccccc2)CC1 ZINC000550364074 1072279387 /nfs/dbraw/zinc/27/93/87/1072279387.db2.gz DVUZTCALYUSUPC-UHFFFAOYSA-N 0 0 426.521 -0.002 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NCC(C)(C)N2CCOCC2)c1 ZINC000550364998 1072279338 /nfs/dbraw/zinc/27/93/38/1072279338.db2.gz PMGNLPTYEAWIEH-AWEZNQCLSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NCC(C)(C)N2CCOCC2)c1 ZINC000550364999 1072279165 /nfs/dbraw/zinc/27/91/65/1072279165.db2.gz PMGNLPTYEAWIEH-CQSZACIVSA-N 0 0 426.539 -0.001 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000550365628 1072279271 /nfs/dbraw/zinc/27/92/71/1072279271.db2.gz NRXSFHPDCRKBAG-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)N(C)C ZINC000550369163 1072280198 /nfs/dbraw/zinc/28/01/98/1072280198.db2.gz QIJXFQBVDZPYNH-KRWDZBQOSA-N 0 0 442.520 -0.143 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)N(C)C ZINC000550369164 1072280267 /nfs/dbraw/zinc/28/02/67/1072280267.db2.gz QIJXFQBVDZPYNH-QGZVFWFLSA-N 0 0 442.520 -0.143 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000550369724 1072281174 /nfs/dbraw/zinc/28/11/74/1072281174.db2.gz RYCQSNCYKWNRFP-INIZCTEOSA-N 0 0 429.525 -0.768 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000550369725 1072280901 /nfs/dbraw/zinc/28/09/01/1072280901.db2.gz RYCQSNCYKWNRFP-MRXNPFEDSA-N 0 0 429.525 -0.768 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2ccccc2OC)N(C)C)C1 ZINC000550369811 1072281005 /nfs/dbraw/zinc/28/10/05/1072281005.db2.gz WPCJIBDYVOGESS-GDBMZVCRSA-N 0 0 426.539 -0.046 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](c2ccccc2OC)N(C)C)C1 ZINC000550369812 1072281080 /nfs/dbraw/zinc/28/10/80/1072281080.db2.gz WPCJIBDYVOGESS-GOEBONIOSA-N 0 0 426.539 -0.046 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2OC)N(C)C)C1 ZINC000550369813 1072281190 /nfs/dbraw/zinc/28/11/90/1072281190.db2.gz WPCJIBDYVOGESS-HOCLYGCPSA-N 0 0 426.539 -0.046 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2OC)N(C)C)C1 ZINC000550369814 1072280927 /nfs/dbraw/zinc/28/09/27/1072280927.db2.gz WPCJIBDYVOGESS-ZBFHGGJFSA-N 0 0 426.539 -0.046 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)N(C)C ZINC000550370510 1072279645 /nfs/dbraw/zinc/27/96/45/1072279645.db2.gz ZXVSJGPTYIIBRH-GOSISDBHSA-N 0 0 431.537 -0.163 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)N(C)C ZINC000550370511 1072279966 /nfs/dbraw/zinc/27/99/66/1072279966.db2.gz ZXVSJGPTYIIBRH-SFHVURJKSA-N 0 0 431.537 -0.163 20 0 IBADRN Cn1cnnc1[C@@H]1CCCN1C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550370988 1072279891 /nfs/dbraw/zinc/27/98/91/1072279891.db2.gz GJFDSANLOOSKCH-INIZCTEOSA-N 0 0 427.513 -0.403 20 0 IBADRN Cn1cnnc1[C@H]1CCCN1C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550370989 1072279772 /nfs/dbraw/zinc/27/97/72/1072279772.db2.gz GJFDSANLOOSKCH-MRXNPFEDSA-N 0 0 427.513 -0.403 20 0 IBADRN CCN(C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)[C@@H](C)CS(=O)(=O)CC ZINC000550371218 1072279715 /nfs/dbraw/zinc/27/97/15/1072279715.db2.gz PXNJBGZWHIZUGL-AWEZNQCLSA-N 0 0 433.552 -0.247 20 0 IBADRN CCN(C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)[C@H](C)CS(=O)(=O)CC ZINC000550371219 1072279851 /nfs/dbraw/zinc/27/98/51/1072279851.db2.gz PXNJBGZWHIZUGL-CQSZACIVSA-N 0 0 433.552 -0.247 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C2)n(C)n1 ZINC000550371232 1072281148 /nfs/dbraw/zinc/28/11/48/1072281148.db2.gz QFDGDFZAUGKSCH-INIZCTEOSA-N 0 0 448.549 -0.092 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C2)n(C)n1 ZINC000550371233 1072280954 /nfs/dbraw/zinc/28/09/54/1072280954.db2.gz QFDGDFZAUGKSCH-MRXNPFEDSA-N 0 0 448.549 -0.092 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000550371364 1072279805 /nfs/dbraw/zinc/27/98/05/1072279805.db2.gz WNKRSMPWNUKVAT-UHFFFAOYSA-N 0 0 437.541 -0.338 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCCN3C(=O)NC4(CCCCC4)C3=O)CC2)cn1 ZINC000550371377 1072279668 /nfs/dbraw/zinc/27/96/68/1072279668.db2.gz XHVJKPCKHADIOQ-UHFFFAOYSA-N 0 0 445.524 -0.170 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000550371656 1072279837 /nfs/dbraw/zinc/27/98/37/1072279837.db2.gz BEFSYRNDBWWKSL-UHFFFAOYSA-N 0 0 447.517 -0.707 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550371746 1072279865 /nfs/dbraw/zinc/27/98/65/1072279865.db2.gz DBRBDBAMPVAEPS-UHFFFAOYSA-N 0 0 428.541 -0.186 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000550371853 1072279989 /nfs/dbraw/zinc/27/99/89/1072279989.db2.gz DMUJSWYFOGFMJD-HNNXBMFYSA-N 0 0 434.522 -0.400 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000550371885 1072279703 /nfs/dbraw/zinc/27/97/03/1072279703.db2.gz DMUJSWYFOGFMJD-OAHLLOKOSA-N 0 0 434.522 -0.400 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@H](C)N1CCOCC1 ZINC000550372275 1072279631 /nfs/dbraw/zinc/27/96/31/1072279631.db2.gz IGGOEPUENOFWJE-MSOLQXFVSA-N 0 0 433.557 -0.670 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@@H](C)N1CCOCC1 ZINC000550372276 1072279998 /nfs/dbraw/zinc/27/99/98/1072279998.db2.gz IGGOEPUENOFWJE-QZTJIDSGSA-N 0 0 433.557 -0.670 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@H](C)N1CCOCC1 ZINC000550372277 1072279914 /nfs/dbraw/zinc/27/99/14/1072279914.db2.gz IGGOEPUENOFWJE-ROUUACIJSA-N 0 0 433.557 -0.670 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)N1CCOCC1 ZINC000550372278 1072279731 /nfs/dbraw/zinc/27/97/31/1072279731.db2.gz IGGOEPUENOFWJE-ZWKOTPCHSA-N 0 0 433.557 -0.670 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1 ZINC000550372332 1072279943 /nfs/dbraw/zinc/27/99/43/1072279943.db2.gz JXQNPSYPVYEGNQ-HNNXBMFYSA-N 0 0 443.474 -0.142 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1 ZINC000550372333 1072280394 /nfs/dbraw/zinc/28/03/94/1072280394.db2.gz JXQNPSYPVYEGNQ-OAHLLOKOSA-N 0 0 443.474 -0.142 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NCCc1cn2c(n1)CCCC2 ZINC000550372703 1072280320 /nfs/dbraw/zinc/28/03/20/1072280320.db2.gz LWCNJMSUOATUGA-UHFFFAOYSA-N 0 0 440.552 -0.003 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000550372736 1072280421 /nfs/dbraw/zinc/28/04/21/1072280421.db2.gz MYSOPSBHDWTXIJ-KRWDZBQOSA-N 0 0 432.477 -0.980 20 0 IBADRN CO[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000550372737 1072280521 /nfs/dbraw/zinc/28/05/21/1072280521.db2.gz MYSOPSBHDWTXIJ-QGZVFWFLSA-N 0 0 432.477 -0.980 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CCC1 ZINC000550373533 1072283068 /nfs/dbraw/zinc/28/30/68/1072283068.db2.gz XJUWFJAVWRHZCF-UHFFFAOYSA-N 0 0 431.541 -0.473 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1 ZINC000550373642 1072282346 /nfs/dbraw/zinc/28/23/46/1072282346.db2.gz BMVKPJKJMLGORE-UHFFFAOYSA-N 0 0 433.509 -0.331 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)n1C ZINC000550373648 1072282459 /nfs/dbraw/zinc/28/24/59/1072282459.db2.gz BSRGDZWZYCNXCY-UHFFFAOYSA-N 0 0 429.529 -0.779 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1(C)C ZINC000550373757 1072280466 /nfs/dbraw/zinc/28/04/66/1072280466.db2.gz CBEIQAJGYWLFAE-UHFFFAOYSA-N 0 0 445.568 -0.037 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NCCCN1CCCCC1=O ZINC000550373765 1072280370 /nfs/dbraw/zinc/28/03/70/1072280370.db2.gz CKSYRFFHAGAROE-UHFFFAOYSA-N 0 0 431.541 -0.376 20 0 IBADRN CN(C)c1cccc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)n1 ZINC000550373791 1072280551 /nfs/dbraw/zinc/28/05/51/1072280551.db2.gz CZRPGPOPPIVQQP-UHFFFAOYSA-N 0 0 426.525 -0.118 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000550373806 1072280563 /nfs/dbraw/zinc/28/05/63/1072280563.db2.gz DCNRUWDESRZZLR-UHFFFAOYSA-N 0 0 437.541 -0.026 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000550373817 1072280292 /nfs/dbraw/zinc/28/02/92/1072280292.db2.gz DKTWITQIIHOVPQ-INIZCTEOSA-N 0 0 432.529 -0.988 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000550373818 1072280433 /nfs/dbraw/zinc/28/04/33/1072280433.db2.gz DKTWITQIIHOVPQ-MRXNPFEDSA-N 0 0 432.529 -0.988 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)cn1 ZINC000550373842 1072280869 /nfs/dbraw/zinc/28/08/69/1072280869.db2.gz FLSFUQKFKYBABX-UHFFFAOYSA-N 0 0 434.497 -0.093 20 0 IBADRN CC(C)(C)n1ncnc1CNC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550373940 1072280224 /nfs/dbraw/zinc/28/02/24/1072280224.db2.gz FNMHOOOQDVXHAW-UHFFFAOYSA-N 0 0 429.529 -0.232 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1 ZINC000550374078 1072280574 /nfs/dbraw/zinc/28/05/74/1072280574.db2.gz JMHVZTGOCADXJK-UHFFFAOYSA-N 0 0 433.509 -0.377 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000550374206 1072280531 /nfs/dbraw/zinc/28/05/31/1072280531.db2.gz KGEZELPUZLDDSZ-UHFFFAOYSA-N 0 0 439.524 -0.756 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCCS(=O)(=O)c1ccccc1 ZINC000550374222 1072280545 /nfs/dbraw/zinc/28/05/45/1072280545.db2.gz KRXMBXATARBVFA-UHFFFAOYSA-N 0 0 439.515 -0.329 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C2)cn1 ZINC000550374310 1072280568 /nfs/dbraw/zinc/28/05/68/1072280568.db2.gz JXDSRHBWPRKLHQ-HNNXBMFYSA-N 0 0 434.522 -0.400 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C2)cn1 ZINC000550374311 1072280357 /nfs/dbraw/zinc/28/03/57/1072280357.db2.gz JXDSRHBWPRKLHQ-OAHLLOKOSA-N 0 0 434.522 -0.400 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550374362 1072282943 /nfs/dbraw/zinc/28/29/43/1072282943.db2.gz LUZZFSRGNRCCAK-KRWDZBQOSA-N 0 0 431.541 -0.426 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550374363 1072282917 /nfs/dbraw/zinc/28/29/17/1072282917.db2.gz LUZZFSRGNRCCAK-QGZVFWFLSA-N 0 0 431.541 -0.426 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)C1 ZINC000550374549 1072282855 /nfs/dbraw/zinc/28/28/55/1072282855.db2.gz QYTGOYALAONZLV-AWEZNQCLSA-N 0 0 428.486 -0.480 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)C1 ZINC000550374550 1072283023 /nfs/dbraw/zinc/28/30/23/1072283023.db2.gz QYTGOYALAONZLV-CQSZACIVSA-N 0 0 428.486 -0.480 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000550374713 1072282902 /nfs/dbraw/zinc/28/29/02/1072282902.db2.gz XDPFPRPVMBYCNX-JOCHJYFZSA-N 0 0 446.552 -0.099 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000550374714 1072282784 /nfs/dbraw/zinc/28/27/84/1072282784.db2.gz XDPFPRPVMBYCNX-QFIPXVFZSA-N 0 0 446.552 -0.099 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(CC(F)F)CC1 ZINC000550374828 1072282880 /nfs/dbraw/zinc/28/28/80/1072282880.db2.gz OACTUDVOISCQTR-UHFFFAOYSA-N 0 0 425.484 -0.486 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550375124 1072282981 /nfs/dbraw/zinc/28/29/81/1072282981.db2.gz RDHWIIRNECXKMI-CVEARBPZSA-N 0 0 440.570 -0.615 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550375133 1072282801 /nfs/dbraw/zinc/28/28/01/1072282801.db2.gz RDHWIIRNECXKMI-HOTGVXAUSA-N 0 0 440.570 -0.615 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550375135 1072282999 /nfs/dbraw/zinc/28/29/99/1072282999.db2.gz RDHWIIRNECXKMI-HZPDHXFCSA-N 0 0 440.570 -0.615 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)S(C)(=O)=O ZINC000550375136 1072283046 /nfs/dbraw/zinc/28/30/46/1072283046.db2.gz RDHWIIRNECXKMI-JKSUJKDBSA-N 0 0 440.570 -0.615 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC[C@@H](c3ccco3)N3CCCC3)CC2)c1=O ZINC000550375603 1072281156 /nfs/dbraw/zinc/28/11/56/1072281156.db2.gz HPLHLYVLVTZOKB-INIZCTEOSA-N 0 0 428.493 -0.025 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC[C@H](c3ccco3)N3CCCC3)CC2)c1=O ZINC000550375605 1072281603 /nfs/dbraw/zinc/28/16/03/1072281603.db2.gz HPLHLYVLVTZOKB-MRXNPFEDSA-N 0 0 428.493 -0.025 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000550375849 1072281129 /nfs/dbraw/zinc/28/11/29/1072281129.db2.gz VUKZQUVOVOZTCP-UHFFFAOYSA-N 0 0 433.557 -0.980 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NC[C@@H]3CN(Cc4ccccc4)CCO3)C2=O)cn1 ZINC000550376135 1072280965 /nfs/dbraw/zinc/28/09/65/1072280965.db2.gz HRWOWAIPGOHIMH-MOPGFXCFSA-N 0 0 440.504 -0.341 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NC[C@H]3CN(Cc4ccccc4)CCO3)C2=O)cn1 ZINC000550376136 1072280822 /nfs/dbraw/zinc/28/08/22/1072280822.db2.gz HRWOWAIPGOHIMH-OALUTQOASA-N 0 0 440.504 -0.341 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@H]3CN(Cc4ccccc4)CCO3)C2=O)cn1 ZINC000550376137 1072281109 /nfs/dbraw/zinc/28/11/09/1072281109.db2.gz HRWOWAIPGOHIMH-RBUKOAKNSA-N 0 0 440.504 -0.341 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@@H]3CN(Cc4ccccc4)CCO3)C2=O)cn1 ZINC000550376138 1072281093 /nfs/dbraw/zinc/28/10/93/1072281093.db2.gz HRWOWAIPGOHIMH-RTBURBONSA-N 0 0 440.504 -0.341 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1 ZINC000550376289 1072282772 /nfs/dbraw/zinc/28/27/72/1072282772.db2.gz IIKILFUOKMYXHJ-UHFFFAOYSA-N 0 0 445.476 0.399 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccoc2)CC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000550376332 1072281574 /nfs/dbraw/zinc/28/15/74/1072281574.db2.gz JDNDZRJLYRVZMI-KRWDZBQOSA-N 0 0 432.477 -0.150 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccoc2)CC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000550376375 1072281755 /nfs/dbraw/zinc/28/17/55/1072281755.db2.gz JDNDZRJLYRVZMI-QGZVFWFLSA-N 0 0 432.477 -0.150 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000550376558 1072281668 /nfs/dbraw/zinc/28/16/68/1072281668.db2.gz LBFKVVXXTFMOSN-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)NC[C@@H](c1ccc(OC)c(OC)c1)N1CCOCC1 ZINC000550376958 1072281741 /nfs/dbraw/zinc/28/17/41/1072281741.db2.gz IPQMQDTTZLTMQP-INIZCTEOSA-N 0 0 436.509 -0.212 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)NC[C@H](c1ccc(OC)c(OC)c1)N1CCOCC1 ZINC000550376962 1072281502 /nfs/dbraw/zinc/28/15/02/1072281502.db2.gz IPQMQDTTZLTMQP-MRXNPFEDSA-N 0 0 436.509 -0.212 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000550378598 1072281758 /nfs/dbraw/zinc/28/17/58/1072281758.db2.gz SSEWHLNKSIKWHC-IBGZPJMESA-N 0 0 432.521 -0.243 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000550378599 1072281527 /nfs/dbraw/zinc/28/15/27/1072281527.db2.gz SSEWHLNKSIKWHC-LJQANCHMSA-N 0 0 432.521 -0.243 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000550379531 1072281643 /nfs/dbraw/zinc/28/16/43/1072281643.db2.gz SGBBELJIQLFEOP-IBGZPJMESA-N 0 0 425.489 -0.055 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000550379532 1072281714 /nfs/dbraw/zinc/28/17/14/1072281714.db2.gz SGBBELJIQLFEOP-LJQANCHMSA-N 0 0 425.489 -0.055 20 0 IBADRN Cn1cnn(CCC(=O)NCc2cccc(NC(=O)CCn3ncn(C)c3=O)c2)c1=O ZINC000550380441 1072283580 /nfs/dbraw/zinc/28/35/80/1072283580.db2.gz WLNZRCNLELGAPJ-UHFFFAOYSA-N 0 0 428.453 -0.788 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1)S(C)(=O)=O ZINC000550382615 1072283406 /nfs/dbraw/zinc/28/34/06/1072283406.db2.gz QHJXUNFOGFIZEK-GOSISDBHSA-N 0 0 440.566 -0.209 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1)S(C)(=O)=O ZINC000550382616 1072283564 /nfs/dbraw/zinc/28/35/64/1072283564.db2.gz QHJXUNFOGFIZEK-SFHVURJKSA-N 0 0 440.566 -0.209 20 0 IBADRN O=C(NCCc1cccnc1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550383771 1072283521 /nfs/dbraw/zinc/28/35/21/1072283521.db2.gz SXMPBBLEWMQNFP-UHFFFAOYSA-N 0 0 446.533 -0.597 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000550384477 1072284239 /nfs/dbraw/zinc/28/42/39/1072284239.db2.gz CFAIEVJAWJZOCI-CYBMUJFWSA-N 0 0 434.497 -0.263 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000550384478 1072284083 /nfs/dbraw/zinc/28/40/83/1072284083.db2.gz CFAIEVJAWJZOCI-ZDUSSCGKSA-N 0 0 434.497 -0.263 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3cccc4cnccc43)CC2)n1 ZINC000550385159 1072283554 /nfs/dbraw/zinc/28/35/54/1072283554.db2.gz VIKMBRPUZSYWTA-UHFFFAOYSA-N 0 0 443.489 0.225 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN([C@H](C(N)=O)c3ccc(Cl)cc3)CC2)n1 ZINC000550386460 1072284105 /nfs/dbraw/zinc/28/41/05/1072284105.db2.gz ACEFLEVDWLRUQB-INIZCTEOSA-N 0 0 448.959 -0.058 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN([C@@H](C(N)=O)c3ccc(Cl)cc3)CC2)n1 ZINC000550386462 1072284202 /nfs/dbraw/zinc/28/42/02/1072284202.db2.gz ACEFLEVDWLRUQB-MRXNPFEDSA-N 0 0 448.959 -0.058 20 0 IBADRN O=C1[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1Cc1ccccc1 ZINC000550388255 1072284221 /nfs/dbraw/zinc/28/42/21/1072284221.db2.gz RDSHDVIJBOSABK-QMBVYZDCSA-N 0 0 440.460 -0.349 20 0 IBADRN O=C1[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1Cc1ccccc1 ZINC000550388256 1072284229 /nfs/dbraw/zinc/28/42/29/1072284229.db2.gz RDSHDVIJBOSABK-UAYHHJIBSA-N 0 0 440.460 -0.349 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000550390414 1072283990 /nfs/dbraw/zinc/28/39/90/1072283990.db2.gz ZKXKLRGQCLICPO-UHFFFAOYSA-N 0 0 444.492 -0.421 20 0 IBADRN COc1ccc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000550392862 1072284094 /nfs/dbraw/zinc/28/40/94/1072284094.db2.gz NBHLZJFZQXKVPE-CYBMUJFWSA-N 0 0 430.465 -0.639 20 0 IBADRN COc1ccc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000550392863 1072284042 /nfs/dbraw/zinc/28/40/42/1072284042.db2.gz NBHLZJFZQXKVPE-ZDUSSCGKSA-N 0 0 430.465 -0.639 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000550395182 1072284745 /nfs/dbraw/zinc/28/47/45/1072284745.db2.gz BMQPEMYFCYKIRP-UHFFFAOYSA-N 0 0 431.518 -0.085 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccc(C)c1 ZINC000550397499 1072284186 /nfs/dbraw/zinc/28/41/86/1072284186.db2.gz DRGBHMYXMLROGZ-UHFFFAOYSA-N 0 0 445.564 -0.059 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000550403050 1072286362 /nfs/dbraw/zinc/28/63/62/1072286362.db2.gz MVJMRPKCVQIADI-UHFFFAOYSA-N 0 0 446.533 -0.565 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(F)cc2C(F)(F)F)CC1 ZINC000550403491 1072286507 /nfs/dbraw/zinc/28/65/07/1072286507.db2.gz VXSQTQXXFHZGBN-UHFFFAOYSA-N 0 0 443.361 -0.182 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000550404286 1072286990 /nfs/dbraw/zinc/28/69/90/1072286990.db2.gz HAOVVKBMIHALPA-BBRMVZONSA-N 0 0 440.522 -0.393 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000550404287 1072286951 /nfs/dbraw/zinc/28/69/51/1072286951.db2.gz HAOVVKBMIHALPA-CJNGLKHVSA-N 0 0 440.522 -0.393 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000550404288 1072286963 /nfs/dbraw/zinc/28/69/63/1072286963.db2.gz HAOVVKBMIHALPA-CZUORRHYSA-N 0 0 440.522 -0.393 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000550404289 1072286807 /nfs/dbraw/zinc/28/68/07/1072286807.db2.gz HAOVVKBMIHALPA-XJKSGUPXSA-N 0 0 440.522 -0.393 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000550406161 1072286825 /nfs/dbraw/zinc/28/68/25/1072286825.db2.gz NNZNHSMOWNJNTJ-HNNXBMFYSA-N 0 0 438.550 -0.235 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000550406162 1072286928 /nfs/dbraw/zinc/28/69/28/1072286928.db2.gz NNZNHSMOWNJNTJ-OAHLLOKOSA-N 0 0 438.550 -0.235 20 0 IBADRN CC[C@@H](COC)NC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000550410407 1072289580 /nfs/dbraw/zinc/28/95/80/1072289580.db2.gz FLDOJZWGJDMRDC-DLBZAZTESA-N 0 0 434.541 -0.091 20 0 IBADRN CC[C@H](COC)NC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000550410412 1072289310 /nfs/dbraw/zinc/28/93/10/1072289310.db2.gz FLDOJZWGJDMRDC-IAGOWNOFSA-N 0 0 434.541 -0.091 20 0 IBADRN CC[C@@H](COC)NC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000550410413 1072289298 /nfs/dbraw/zinc/28/92/98/1072289298.db2.gz FLDOJZWGJDMRDC-IRXDYDNUSA-N 0 0 434.541 -0.091 20 0 IBADRN CC[C@H](COC)NC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000550410414 1072289595 /nfs/dbraw/zinc/28/95/95/1072289595.db2.gz FLDOJZWGJDMRDC-SJORKVTESA-N 0 0 434.541 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)COCc3nncn3C)CC2)cc1 ZINC000550412794 1072292063 /nfs/dbraw/zinc/29/20/63/1072292063.db2.gz QGCWEMDNFUKGAZ-UHFFFAOYSA-N 0 0 436.538 -0.237 20 0 IBADRN COc1ccccc1CCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000550415409 1072292456 /nfs/dbraw/zinc/29/24/56/1072292456.db2.gz YTBRDBKTTCIGMI-KRWDZBQOSA-N 0 0 432.521 -0.255 20 0 IBADRN COc1ccccc1CCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000550415410 1072292634 /nfs/dbraw/zinc/29/26/34/1072292634.db2.gz YTBRDBKTTCIGMI-QGZVFWFLSA-N 0 0 432.521 -0.255 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccco3)CC2)C[C@H](C)O1 ZINC000550421910 1072299992 /nfs/dbraw/zinc/29/99/92/1072299992.db2.gz PXYKZOPMBAFXQI-GASCZTMLSA-N 0 0 428.511 -0.564 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccco3)CC2)C[C@H](C)O1 ZINC000550421911 1072300255 /nfs/dbraw/zinc/30/02/55/1072300255.db2.gz PXYKZOPMBAFXQI-GJZGRUSLSA-N 0 0 428.511 -0.564 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccco3)CC2)C[C@@H](C)O1 ZINC000550421912 1072300215 /nfs/dbraw/zinc/30/02/15/1072300215.db2.gz PXYKZOPMBAFXQI-HUUCEWRRSA-N 0 0 428.511 -0.564 20 0 IBADRN CN(C)c1ncc(CN(C)C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000550422288 1072299570 /nfs/dbraw/zinc/29/95/70/1072299570.db2.gz ZFOZLVMIEGJUTO-UHFFFAOYSA-N 0 0 431.497 -0.128 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000550422380 1072300800 /nfs/dbraw/zinc/30/08/00/1072300800.db2.gz CODDWVHRQXNSPZ-UHFFFAOYSA-N 0 0 437.541 -0.074 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)CC2)on1 ZINC000550422540 1072303536 /nfs/dbraw/zinc/30/35/36/1072303536.db2.gz FPCLRQNHDMAEIY-UHFFFAOYSA-N 0 0 446.508 -0.002 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC(Cn2cncn2)CC1 ZINC000550423222 1072301243 /nfs/dbraw/zinc/30/12/43/1072301243.db2.gz QZFXVZRECKEEEJ-UHFFFAOYSA-N 0 0 431.497 -0.112 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000550423441 1072303927 /nfs/dbraw/zinc/30/39/27/1072303927.db2.gz XCEDEWLJQIFGRT-UHFFFAOYSA-N 0 0 430.465 -0.702 20 0 IBADRN O=C(NCc1c(F)cccc1Cl)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000550423522 1072305503 /nfs/dbraw/zinc/30/55/03/1072305503.db2.gz FJJAYRZPZMBEKG-UHFFFAOYSA-N 0 0 440.859 -0.375 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccccc2Br)CC1 ZINC000550423991 1072304512 /nfs/dbraw/zinc/30/45/12/1072304512.db2.gz ALIRONWFNHZSBS-UHFFFAOYSA-N 0 0 436.270 -0.577 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000550424060 1072304997 /nfs/dbraw/zinc/30/49/97/1072304997.db2.gz FRTPWRZYLGZVTG-UHFFFAOYSA-N 0 0 446.504 -0.380 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccccc3F)CC2)CC1 ZINC000550425249 1072305925 /nfs/dbraw/zinc/30/59/25/1072305925.db2.gz PUHINYWVSQENKZ-UHFFFAOYSA-N 0 0 441.529 -0.879 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCOCC1 ZINC000550427535 1072309941 /nfs/dbraw/zinc/30/99/41/1072309941.db2.gz RRRKHHPARWFWNI-AWEZNQCLSA-N 0 0 437.497 -0.889 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCOCC1 ZINC000550427536 1072310260 /nfs/dbraw/zinc/31/02/60/1072310260.db2.gz RRRKHHPARWFWNI-CQSZACIVSA-N 0 0 437.497 -0.889 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(OC)cc1 ZINC000550427679 1072310549 /nfs/dbraw/zinc/31/05/49/1072310549.db2.gz MZNWTWQWDAYYHU-INIZCTEOSA-N 0 0 444.492 -0.249 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(OC)cc1 ZINC000550427680 1072310493 /nfs/dbraw/zinc/31/04/93/1072310493.db2.gz MZNWTWQWDAYYHU-MRXNPFEDSA-N 0 0 444.492 -0.249 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1=O ZINC000550428275 1072309062 /nfs/dbraw/zinc/30/90/62/1072309062.db2.gz CUJSYWWGLDZFPA-UHFFFAOYSA-N 0 0 437.497 -0.935 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000550428560 1072313410 /nfs/dbraw/zinc/31/34/10/1072313410.db2.gz IMBHKDSUEIPGAD-UHFFFAOYSA-N 0 0 430.508 -0.916 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1)NC1CC1 ZINC000550428724 1072313438 /nfs/dbraw/zinc/31/34/38/1072313438.db2.gz LYWWELCYHFUNID-UHFFFAOYSA-N 0 0 443.430 -0.554 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NCCNc3nccc(C(F)(F)F)n3)C[C@H]2CO)cn1 ZINC000550428946 1072312974 /nfs/dbraw/zinc/31/29/74/1072312974.db2.gz QZBIBQXEKJKGOR-STQMWFEESA-N 0 0 441.414 -0.009 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)NCCNc1nccc(C(F)(F)F)n1 ZINC000550429632 1072314089 /nfs/dbraw/zinc/31/40/89/1072314089.db2.gz HCPALLKDDGUAKD-UHFFFAOYSA-N 0 0 425.371 -0.035 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000550429637 1072314129 /nfs/dbraw/zinc/31/41/29/1072314129.db2.gz HIYHQYKGNTYEOO-UHFFFAOYSA-N 0 0 431.419 -0.696 20 0 IBADRN NC(=O)C1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC000550430716 1072314506 /nfs/dbraw/zinc/31/45/06/1072314506.db2.gz UNACDRGBFPGJGK-UHFFFAOYSA-N 0 0 431.536 -0.138 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000550430963 1072314798 /nfs/dbraw/zinc/31/47/98/1072314798.db2.gz BTXFBDKIZJLGSE-UHFFFAOYSA-N 0 0 432.403 -0.223 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cc3F)CC2)CC1 ZINC000550431171 1072314752 /nfs/dbraw/zinc/31/47/52/1072314752.db2.gz NHWGLCYXEVTWQE-UHFFFAOYSA-N 0 0 445.492 -0.783 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000550433827 1072318027 /nfs/dbraw/zinc/31/80/27/1072318027.db2.gz CKVQEVMMQOCOLI-UHFFFAOYSA-N 0 0 431.419 -0.696 20 0 IBADRN O=C(NCc1cn2ccccc2n1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000550434098 1072317889 /nfs/dbraw/zinc/31/78/89/1072317889.db2.gz ACNIZQYLEJBGJL-UHFFFAOYSA-N 0 0 432.462 -0.387 20 0 IBADRN O=C(NCc1nnc2n1CCCCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000550434420 1072318477 /nfs/dbraw/zinc/31/84/77/1072318477.db2.gz HHCWVLVUGUWLHC-UHFFFAOYSA-N 0 0 447.521 -0.451 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1)N1CCCCC1 ZINC000550434681 1072318404 /nfs/dbraw/zinc/31/84/04/1072318404.db2.gz QEMSFCOVHROTDP-UHFFFAOYSA-N 0 0 431.541 -0.223 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)CC3Cc4ccccc4C3)CC2)CC1 ZINC000550435108 1072318545 /nfs/dbraw/zinc/31/85/45/1072318545.db2.gz ACXQHLXCLUKJKK-UHFFFAOYSA-N 0 0 442.607 -0.159 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCCC3)c(F)c2)CC1 ZINC000550435566 1072320026 /nfs/dbraw/zinc/32/00/26/1072320026.db2.gz OTBBLLWJUYSPQM-UHFFFAOYSA-N 0 0 434.515 -0.168 20 0 IBADRN CCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000550437370 1072320600 /nfs/dbraw/zinc/32/06/00/1072320600.db2.gz KFHQPMNQTPDYOI-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN CC[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000550444642 1072330541 /nfs/dbraw/zinc/33/05/41/1072330541.db2.gz DOARANBJWIQNQD-KRWDZBQOSA-N 0 0 430.505 -0.174 20 0 IBADRN CC[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000550444643 1072330318 /nfs/dbraw/zinc/33/03/18/1072330318.db2.gz DOARANBJWIQNQD-QGZVFWFLSA-N 0 0 430.505 -0.174 20 0 IBADRN O=C(NCCn1ccnc1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000550445764 1072332956 /nfs/dbraw/zinc/33/29/56/1072332956.db2.gz CVJKRQJYCNTUGJ-UHFFFAOYSA-N 0 0 431.478 -0.591 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)Cn1cccn1 ZINC000550446268 1072331480 /nfs/dbraw/zinc/33/14/80/1072331480.db2.gz KYZXUHWNATYLHQ-INIZCTEOSA-N 0 0 449.533 -0.027 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)Cn1cccn1 ZINC000550446269 1072331502 /nfs/dbraw/zinc/33/15/02/1072331502.db2.gz KYZXUHWNATYLHQ-MRXNPFEDSA-N 0 0 449.533 -0.027 20 0 IBADRN O=C(NCCOCC1CC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550446300 1072330498 /nfs/dbraw/zinc/33/04/98/1072330498.db2.gz LQLMQRNXXOCAJB-UHFFFAOYSA-N 0 0 425.507 -0.134 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)nc1 ZINC000550446461 1072344473 /nfs/dbraw/zinc/34/44/73/1072344473.db2.gz RTIDBDNDMDMBTR-UHFFFAOYSA-N 0 0 447.517 -0.219 20 0 IBADRN Cc1c(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cnn1C ZINC000550446595 1072342570 /nfs/dbraw/zinc/34/25/70/1072342570.db2.gz BCFYYRFEWWXZDK-UHFFFAOYSA-N 0 0 435.506 -0.318 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H]1CO ZINC000550446631 1072342835 /nfs/dbraw/zinc/34/28/35/1072342835.db2.gz COGFWQYZQZLYLF-PBHICJAKSA-N 0 0 425.507 -0.447 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H]1CO ZINC000550446632 1072342940 /nfs/dbraw/zinc/34/29/40/1072342940.db2.gz COGFWQYZQZLYLF-RHSMWYFYSA-N 0 0 425.507 -0.447 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H]1CO ZINC000550446633 1072342875 /nfs/dbraw/zinc/34/28/75/1072342875.db2.gz COGFWQYZQZLYLF-WMLDXEAASA-N 0 0 425.507 -0.447 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H]1CO ZINC000550446634 1072342931 /nfs/dbraw/zinc/34/29/31/1072342931.db2.gz COGFWQYZQZLYLF-YOEHRIQHSA-N 0 0 425.507 -0.447 20 0 IBADRN CC[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000550446753 1072340386 /nfs/dbraw/zinc/34/03/86/1072340386.db2.gz FKCRDBWOHPFXON-HNNXBMFYSA-N 0 0 428.493 -0.215 20 0 IBADRN CC[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccc1 ZINC000550446754 1072340488 /nfs/dbraw/zinc/34/04/88/1072340488.db2.gz FKCRDBWOHPFXON-OAHLLOKOSA-N 0 0 428.493 -0.215 20 0 IBADRN CN(CC1(CO)CC1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550446927 1072341472 /nfs/dbraw/zinc/34/14/72/1072341472.db2.gz LXBYTSGCZYMEEA-UHFFFAOYSA-N 0 0 425.507 -0.445 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H]1CO ZINC000550446977 1072341530 /nfs/dbraw/zinc/34/15/30/1072341530.db2.gz PCSSHZXJUNDMTH-ZBFHGGJFSA-N 0 0 425.507 -0.590 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550447005 1072339873 /nfs/dbraw/zinc/33/98/73/1072339873.db2.gz QAPSSQVPJREZBH-UHFFFAOYSA-N 0 0 436.494 -0.878 20 0 IBADRN CN(C[C@H]1CCCO1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550447021 1072339882 /nfs/dbraw/zinc/33/98/82/1072339882.db2.gz QMUOWFFEAFBSGW-MRXNPFEDSA-N 0 0 425.507 -0.039 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCCn2ccnc2)CC1 ZINC000550447194 1072339461 /nfs/dbraw/zinc/33/94/61/1072339461.db2.gz YEYFBDLYRZZVEP-UHFFFAOYSA-N 0 0 426.543 -0.347 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCN(C2CC2)CC1 ZINC000550447205 1072339404 /nfs/dbraw/zinc/33/94/04/1072339404.db2.gz YTDDZZLXRQVMKL-UHFFFAOYSA-N 0 0 436.534 -0.370 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1OC ZINC000550447719 1072349714 /nfs/dbraw/zinc/34/97/14/1072349714.db2.gz UPJBQINHBYUFNS-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN COc1cccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1OC ZINC000550447971 1072347718 /nfs/dbraw/zinc/34/77/18/1072347718.db2.gz XTAJYYIWZKOYRL-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3cccc(N(C)C)c3)CC2)no1 ZINC000550448092 1072346074 /nfs/dbraw/zinc/34/60/74/1072346074.db2.gz USOPHZWIDHTQJF-UHFFFAOYSA-N 0 0 428.493 0.448 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000550448453 1072352545 /nfs/dbraw/zinc/35/25/45/1072352545.db2.gz HANVSIVMIYCQAR-UHFFFAOYSA-N 0 0 445.564 -0.243 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H]1CCc2n[nH]cc2C1 ZINC000550448646 1072356154 /nfs/dbraw/zinc/35/61/54/1072356154.db2.gz CERFQRIODOKIIN-INIZCTEOSA-N 0 0 447.517 -0.280 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H]1CCc2n[nH]cc2C1 ZINC000550448647 1072356164 /nfs/dbraw/zinc/35/61/64/1072356164.db2.gz CERFQRIODOKIIN-MRXNPFEDSA-N 0 0 447.517 -0.280 20 0 IBADRN O=C(NCCn1ccnc1)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550448713 1072356587 /nfs/dbraw/zinc/35/65/87/1072356587.db2.gz DTKLVQATFDNGCS-UHFFFAOYSA-N 0 0 435.506 -0.522 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccccc1CN1CCOCC1 ZINC000550448809 1072354985 /nfs/dbraw/zinc/35/49/85/1072354985.db2.gz DZYCUACUQAPFBP-FQEVSTJZSA-N 0 0 434.537 -0.714 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccccc1CN1CCOCC1 ZINC000550448810 1072355198 /nfs/dbraw/zinc/35/51/98/1072355198.db2.gz DZYCUACUQAPFBP-HXUWFJFHSA-N 0 0 434.537 -0.714 20 0 IBADRN C[C@@]1(CO)CCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550448828 1072355063 /nfs/dbraw/zinc/35/50/63/1072355063.db2.gz FNLBFKGYHDBEHU-IBGZPJMESA-N 0 0 425.507 -0.303 20 0 IBADRN C[C@]1(CO)CCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000550448829 1072354954 /nfs/dbraw/zinc/35/49/54/1072354954.db2.gz FNLBFKGYHDBEHU-LJQANCHMSA-N 0 0 425.507 -0.303 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccccc1CN1CCOCC1 ZINC000550449414 1072357059 /nfs/dbraw/zinc/35/70/59/1072357059.db2.gz MCKZQLSWKZZFHX-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccccc1CN1CCOCC1 ZINC000550449415 1072357422 /nfs/dbraw/zinc/35/74/22/1072357422.db2.gz MCKZQLSWKZZFHX-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000550453743 1072391145 /nfs/dbraw/zinc/39/11/45/1072391145.db2.gz GWZKTODBAYASNE-CYBMUJFWSA-N 0 0 429.433 -0.059 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000550453744 1072391048 /nfs/dbraw/zinc/39/10/48/1072391048.db2.gz GWZKTODBAYASNE-ZDUSSCGKSA-N 0 0 429.433 -0.059 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCSc2ccc(Cl)cc2)CC1 ZINC000550457584 1072432441 /nfs/dbraw/zinc/43/24/41/1072432441.db2.gz FUCPIDACNHJHNT-UHFFFAOYSA-N 0 0 437.913 -0.094 20 0 IBADRN O=C(NCCSc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000550458904 1072433495 /nfs/dbraw/zinc/43/34/95/1072433495.db2.gz YLIFMHPTDCSVBE-UHFFFAOYSA-N 0 0 434.518 -0.576 20 0 IBADRN CCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000550460409 1072440829 /nfs/dbraw/zinc/44/08/29/1072440829.db2.gz MOYLZRZXRACAQN-UHFFFAOYSA-N 0 0 428.453 -0.991 20 0 IBADRN Cn1nccc1[C@@H]1[C@H](C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC(=O)N1C ZINC000550461242 1072449532 /nfs/dbraw/zinc/44/95/32/1072449532.db2.gz DHSVOVIYLTWTQA-BEFAXECRSA-N 0 0 440.508 -0.415 20 0 IBADRN Cn1nccc1[C@H]1[C@H](C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC(=O)N1C ZINC000550461248 1072449375 /nfs/dbraw/zinc/44/93/75/1072449375.db2.gz DHSVOVIYLTWTQA-DNVCBOLYSA-N 0 0 440.508 -0.415 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC(=O)N1C ZINC000550461250 1072449427 /nfs/dbraw/zinc/44/94/27/1072449427.db2.gz DHSVOVIYLTWTQA-HNAYVOBHSA-N 0 0 440.508 -0.415 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC(=O)N1C ZINC000550461252 1072449403 /nfs/dbraw/zinc/44/94/03/1072449403.db2.gz DHSVOVIYLTWTQA-KXBFYZLASA-N 0 0 440.508 -0.415 20 0 IBADRN O=C(CCNC(=O)c1ccc2c(c1)NC(=O)CNC2=O)N1CCN(c2ncccn2)CC1 ZINC000550461577 1072456205 /nfs/dbraw/zinc/45/62/05/1072456205.db2.gz NGOHWXGBEOQZJQ-UHFFFAOYSA-N 0 0 437.460 -0.373 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCOc2ccccc2Cl)CC1 ZINC000550462049 1072453147 /nfs/dbraw/zinc/45/31/47/1072453147.db2.gz UOYKCHAUURNEAQ-UHFFFAOYSA-N 0 0 438.912 -0.162 20 0 IBADRN COc1ccc(C)cc1CCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000550463817 1072472176 /nfs/dbraw/zinc/47/21/76/1072472176.db2.gz OXZPHHPADYJGNU-UHFFFAOYSA-N 0 0 444.492 -0.849 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C)c1cccc(S(N)(=O)=O)c1 ZINC000550464612 1072476809 /nfs/dbraw/zinc/47/68/09/1072476809.db2.gz MTKZIFRJVSKMBD-KBPBESRZSA-N 0 0 426.539 -0.185 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C)c1cccc(S(N)(=O)=O)c1 ZINC000550464614 1072476915 /nfs/dbraw/zinc/47/69/15/1072476915.db2.gz MTKZIFRJVSKMBD-KGLIPLIRSA-N 0 0 426.539 -0.185 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C)c1cccc(S(N)(=O)=O)c1 ZINC000550464616 1072476619 /nfs/dbraw/zinc/47/66/19/1072476619.db2.gz MTKZIFRJVSKMBD-UONOGXRCSA-N 0 0 426.539 -0.185 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C)c1cccc(S(N)(=O)=O)c1 ZINC000550464619 1072476947 /nfs/dbraw/zinc/47/69/47/1072476947.db2.gz MTKZIFRJVSKMBD-ZIAGYGMSSA-N 0 0 426.539 -0.185 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000550464656 1072478657 /nfs/dbraw/zinc/47/86/57/1072478657.db2.gz XNCWYMOKMMAIGT-AWEZNQCLSA-N 0 0 439.538 -0.820 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000550464661 1072478606 /nfs/dbraw/zinc/47/86/06/1072478606.db2.gz XNCWYMOKMMAIGT-CQSZACIVSA-N 0 0 439.538 -0.820 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@@H](CC)C(=O)N2CCOCC2)CC1 ZINC000550465253 1072474291 /nfs/dbraw/zinc/47/42/91/1072474291.db2.gz CSGNWWJCYDGNGU-HNNXBMFYSA-N 0 0 432.543 -0.940 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@H](CC)C(=O)N2CCOCC2)CC1 ZINC000550465254 1072474303 /nfs/dbraw/zinc/47/43/03/1072474303.db2.gz CSGNWWJCYDGNGU-OAHLLOKOSA-N 0 0 432.543 -0.940 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)CC1 ZINC000550465299 1072474760 /nfs/dbraw/zinc/47/47/60/1072474760.db2.gz DXZRXCDYCYQGMF-ZDUSSCGKSA-N 0 0 447.476 -0.559 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)CC1 ZINC000550465310 1072474720 /nfs/dbraw/zinc/47/47/20/1072474720.db2.gz GGHHYIPTRLZVJQ-HNNXBMFYSA-N 0 0 429.543 -0.631 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)CC1 ZINC000550465311 1072474665 /nfs/dbraw/zinc/47/46/65/1072474665.db2.gz GGHHYIPTRLZVJQ-OAHLLOKOSA-N 0 0 429.543 -0.631 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)CC1 ZINC000550465344 1072474674 /nfs/dbraw/zinc/47/46/74/1072474674.db2.gz HXWJCLBRXLMRBB-UHFFFAOYSA-N 0 0 432.543 -0.986 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000550465968 1072487136 /nfs/dbraw/zinc/48/71/36/1072487136.db2.gz AFEUGCAFRUNQSK-UHFFFAOYSA-N 0 0 447.511 -0.173 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)CC1 ZINC000550466184 1072481398 /nfs/dbraw/zinc/48/13/98/1072481398.db2.gz FCQKLHNTJQHMOX-UHFFFAOYSA-N 0 0 430.571 -0.370 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(C)Cc2cnc(N(C)C)nc2)CC1 ZINC000550466589 1072494900 /nfs/dbraw/zinc/49/49/00/1072494900.db2.gz SZNRQYGQQKBRHD-UHFFFAOYSA-N 0 0 426.543 -0.179 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)c1cccc(S(N)(=O)=O)c1 ZINC000550466785 1072494105 /nfs/dbraw/zinc/49/41/05/1072494105.db2.gz NOUWFBPCKWORMG-DOMZBBRYSA-N 0 0 448.505 -0.444 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)c1cccc(S(N)(=O)=O)c1 ZINC000550466786 1072494091 /nfs/dbraw/zinc/49/40/91/1072494091.db2.gz NOUWFBPCKWORMG-IUODEOHRSA-N 0 0 448.505 -0.444 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)c1cccc(S(N)(=O)=O)c1 ZINC000550466787 1072494177 /nfs/dbraw/zinc/49/41/77/1072494177.db2.gz NOUWFBPCKWORMG-SWLSCSKDSA-N 0 0 448.505 -0.444 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)c1cccc(S(N)(=O)=O)c1 ZINC000550466788 1072494207 /nfs/dbraw/zinc/49/42/07/1072494207.db2.gz NOUWFBPCKWORMG-WFASDCNBSA-N 0 0 448.505 -0.444 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)CC1 ZINC000550467726 1072498444 /nfs/dbraw/zinc/49/84/44/1072498444.db2.gz VOPNZOUJNZTXHX-KRWDZBQOSA-N 0 0 431.555 -0.039 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)CC1 ZINC000550467727 1072498605 /nfs/dbraw/zinc/49/86/05/1072498605.db2.gz VOPNZOUJNZTXHX-QGZVFWFLSA-N 0 0 431.555 -0.039 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000550468062 1072497294 /nfs/dbraw/zinc/49/72/94/1072497294.db2.gz AXGJRPBALFVXEU-UHFFFAOYSA-N 0 0 425.511 -0.752 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)NCc2c(CC)nn(C)c2OC)CC1 ZINC000550468406 1072495970 /nfs/dbraw/zinc/49/59/70/1072495970.db2.gz IPPLFSLOAZWDQB-UHFFFAOYSA-N 0 0 429.543 -0.072 20 0 IBADRN O=C(NCCCn1cnc2ccccc21)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000550469285 1072498669 /nfs/dbraw/zinc/49/86/69/1072498669.db2.gz ZYILUJRWASAATQ-UHFFFAOYSA-N 0 0 434.478 -0.234 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCc1nnc2n1CCCCC2 ZINC000550469731 1072499748 /nfs/dbraw/zinc/49/97/48/1072499748.db2.gz SIVGIJNGVBXOKU-UHFFFAOYSA-N 0 0 427.513 -0.651 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)C1 ZINC000550470300 1072499098 /nfs/dbraw/zinc/49/90/98/1072499098.db2.gz KLMALTRYMDCDJJ-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)C1 ZINC000550470301 1072499187 /nfs/dbraw/zinc/49/91/87/1072499187.db2.gz KLMALTRYMDCDJJ-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccccc3CN3CCOCC3)CC2=O)cn1 ZINC000550470311 1072499116 /nfs/dbraw/zinc/49/91/16/1072499116.db2.gz KQBSZDYBSJOSBJ-UHFFFAOYSA-N 0 0 440.504 -0.256 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N(C)CCNS(=O)(=O)c1ccc(C)cc1 ZINC000550471390 1072499667 /nfs/dbraw/zinc/49/96/67/1072499667.db2.gz YKUGZLJOPPZYCL-UHFFFAOYSA-N 0 0 437.478 -0.109 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000550473126 1072499683 /nfs/dbraw/zinc/49/96/83/1072499683.db2.gz HLVIEGFZSKAPSS-JOCHJYFZSA-N 0 0 429.521 -0.325 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000550473127 1072499917 /nfs/dbraw/zinc/49/99/17/1072499917.db2.gz HLVIEGFZSKAPSS-QFIPXVFZSA-N 0 0 429.521 -0.325 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000550473199 1072499941 /nfs/dbraw/zinc/49/99/41/1072499941.db2.gz JDCHPNXTZMMHHW-UHFFFAOYSA-N 0 0 443.504 -0.798 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2ccc3c(c2)OCO3)CC1)N1CCOCC1 ZINC000550476333 1072500349 /nfs/dbraw/zinc/50/03/49/1072500349.db2.gz JPHVQLYRSWFTCD-UHFFFAOYSA-N 0 0 432.477 -0.923 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H](C2CC2)O1 ZINC000550477346 1072500749 /nfs/dbraw/zinc/50/07/49/1072500749.db2.gz LIPCCPNHWUDUMM-KBXCAEBGSA-N 0 0 428.489 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@H](C2CC2)O1 ZINC000550477347 1072500857 /nfs/dbraw/zinc/50/08/57/1072500857.db2.gz LIPCCPNHWUDUMM-KDOFPFPSSA-N 0 0 428.489 -0.099 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@H](C2CC2)O1 ZINC000550477348 1072500767 /nfs/dbraw/zinc/50/07/67/1072500767.db2.gz LIPCCPNHWUDUMM-KSSFIOAISA-N 0 0 428.489 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H](C2CC2)O1 ZINC000550477349 1072500873 /nfs/dbraw/zinc/50/08/73/1072500873.db2.gz LIPCCPNHWUDUMM-RDTXWAMCSA-N 0 0 428.489 -0.099 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2ccc3c(c2)OCO3)CC1 ZINC000550477934 1072500907 /nfs/dbraw/zinc/50/09/07/1072500907.db2.gz UDSXVMUXHYMPBQ-UHFFFAOYSA-N 0 0 432.477 -0.923 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000550478302 1072500946 /nfs/dbraw/zinc/50/09/46/1072500946.db2.gz WKMDWJIEGKOPKH-UHFFFAOYSA-N 0 0 442.563 -0.453 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCC3(N4CCOCC4)CC3)cc2)CC1 ZINC000550478348 1072500957 /nfs/dbraw/zinc/50/09/57/1072500957.db2.gz YGPACOVEXDMCES-UHFFFAOYSA-N 0 0 443.548 -0.329 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)cc1 ZINC000550478369 1072500887 /nfs/dbraw/zinc/50/08/87/1072500887.db2.gz YOPIGAYJBAQPEX-AEFFLSMTSA-N 0 0 426.477 -0.207 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)CC1 ZINC000550478766 1072501513 /nfs/dbraw/zinc/50/15/13/1072501513.db2.gz JRFJXWJBWYMEJJ-UHFFFAOYSA-N 0 0 435.569 -0.047 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550479158 1072500795 /nfs/dbraw/zinc/50/07/95/1072500795.db2.gz AJNDATPWWJICQL-UHFFFAOYSA-N 0 0 449.537 -0.947 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NC2CCN(c3ccc(C(F)(F)F)cn3)CC2)CCO1 ZINC000550479737 1072501460 /nfs/dbraw/zinc/50/14/60/1072501460.db2.gz QZSJUQRTKAMWLT-CYBMUJFWSA-N 0 0 429.399 -0.102 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCN(c3ccc(C(F)(F)F)cn3)CC2)CCO1 ZINC000550479745 1072501437 /nfs/dbraw/zinc/50/14/37/1072501437.db2.gz QZSJUQRTKAMWLT-ZDUSSCGKSA-N 0 0 429.399 -0.102 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCCc2ccc3c(c2)OCO3)CC1 ZINC000550480286 1072500936 /nfs/dbraw/zinc/50/09/36/1072500936.db2.gz PYYJYLUJGDYKAG-UHFFFAOYSA-N 0 0 425.445 -0.083 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCc2ncsc2C1 ZINC000550480633 1072501328 /nfs/dbraw/zinc/50/13/28/1072501328.db2.gz BEHKGZCFPRGUSZ-UHFFFAOYSA-N 0 0 427.486 -0.084 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000550480651 1072501688 /nfs/dbraw/zinc/50/16/88/1072501688.db2.gz BZVRVMVKNATLJI-UHFFFAOYSA-N 0 0 431.537 -0.519 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550480661 1072501713 /nfs/dbraw/zinc/50/17/13/1072501713.db2.gz CKRCWCWGKQIHRD-KRWDZBQOSA-N 0 0 443.504 -0.656 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550480662 1072501928 /nfs/dbraw/zinc/50/19/28/1072501928.db2.gz CKRCWCWGKQIHRD-QGZVFWFLSA-N 0 0 443.504 -0.656 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000550481074 1072501425 /nfs/dbraw/zinc/50/14/25/1072501425.db2.gz GENBQDKECKUDIE-BHIYHBOVSA-N 0 0 440.500 -0.051 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2 ZINC000550481086 1072501306 /nfs/dbraw/zinc/50/13/06/1072501306.db2.gz GENBQDKECKUDIE-QRQLOZEOSA-N 0 0 440.500 -0.051 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000550481091 1072501368 /nfs/dbraw/zinc/50/13/68/1072501368.db2.gz GENBQDKECKUDIE-QXAKKESOSA-N 0 0 440.500 -0.051 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000550481092 1072501482 /nfs/dbraw/zinc/50/14/82/1072501482.db2.gz GENBQDKECKUDIE-UHOSZYNNSA-N 0 0 440.500 -0.051 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)n1 ZINC000550481152 1072501492 /nfs/dbraw/zinc/50/14/92/1072501492.db2.gz GKZSMTRMLJRCOC-UHFFFAOYSA-N 0 0 439.520 -0.137 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550481601 1072501979 /nfs/dbraw/zinc/50/19/79/1072501979.db2.gz SWIDGRFWNNWLTR-KRWDZBQOSA-N 0 0 442.524 -0.199 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550481602 1072501742 /nfs/dbraw/zinc/50/17/42/1072501742.db2.gz SWIDGRFWNNWLTR-QGZVFWFLSA-N 0 0 442.524 -0.199 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)CC1 ZINC000550481888 1072501728 /nfs/dbraw/zinc/50/17/28/1072501728.db2.gz PKONURFGHGFMRM-CALCHBBNSA-N 0 0 435.569 -0.238 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)CC1 ZINC000550481889 1072501846 /nfs/dbraw/zinc/50/18/46/1072501846.db2.gz PKONURFGHGFMRM-IAGOWNOFSA-N 0 0 435.569 -0.238 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)CC1 ZINC000550481890 1072502559 /nfs/dbraw/zinc/50/25/59/1072502559.db2.gz PKONURFGHGFMRM-IRXDYDNUSA-N 0 0 435.569 -0.238 20 0 IBADRN Cc1ccc(CN(CCO)C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)o1 ZINC000550482171 1072502035 /nfs/dbraw/zinc/50/20/35/1072502035.db2.gz NJIDUSLWXMTKSJ-UHFFFAOYSA-N 0 0 442.472 -0.203 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550482254 1072501784 /nfs/dbraw/zinc/50/17/84/1072501784.db2.gz PEWJCSIXTOWDNU-UHFFFAOYSA-N 0 0 433.534 -0.960 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000550482831 1072502024 /nfs/dbraw/zinc/50/20/24/1072502024.db2.gz QMCDFNZDHYTKCZ-UHFFFAOYSA-N 0 0 443.504 -0.654 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000550483329 1072501907 /nfs/dbraw/zinc/50/19/07/1072501907.db2.gz OUBIKACBDCBMMK-UHFFFAOYSA-N 0 0 445.505 -0.201 20 0 IBADRN Cn1ncc2c1CCC[C@@H]2NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550483442 1072502549 /nfs/dbraw/zinc/50/25/49/1072502549.db2.gz VXXVGNHFQDNMKL-KRWDZBQOSA-N 0 0 438.488 -0.198 20 0 IBADRN Cn1ncc2c1CCC[C@H]2NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550483448 1072502377 /nfs/dbraw/zinc/50/23/77/1072502377.db2.gz VXXVGNHFQDNMKL-QGZVFWFLSA-N 0 0 438.488 -0.198 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCc2ccc3c(c2)OCO3)CC1 ZINC000550485094 1072502304 /nfs/dbraw/zinc/50/23/04/1072502304.db2.gz QXXZQLGNUOXPQH-INIZCTEOSA-N 0 0 448.520 -0.241 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCc2ccc3c(c2)OCO3)CC1 ZINC000550485095 1072502466 /nfs/dbraw/zinc/50/24/66/1072502466.db2.gz QXXZQLGNUOXPQH-MRXNPFEDSA-N 0 0 448.520 -0.241 20 0 IBADRN Cc1nc2ccccc2n1CCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550485098 1072502517 /nfs/dbraw/zinc/50/25/17/1072502517.db2.gz RAZYSNQDLRBUGO-UHFFFAOYSA-N 0 0 426.477 -0.590 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cccc(NC(=O)COC)c1 ZINC000550488707 1072502432 /nfs/dbraw/zinc/50/24/32/1072502432.db2.gz OITQBQNCXBEFJZ-HNNXBMFYSA-N 0 0 426.495 -0.572 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cccc(NC(=O)COC)c1 ZINC000550488708 1072503041 /nfs/dbraw/zinc/50/30/41/1072503041.db2.gz OITQBQNCXBEFJZ-OAHLLOKOSA-N 0 0 426.495 -0.572 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc(OC)c1OC ZINC000550488985 1072502530 /nfs/dbraw/zinc/50/25/30/1072502530.db2.gz CHILBAZFGOTAJA-UHFFFAOYSA-N 0 0 448.476 -0.722 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000550489724 1072503027 /nfs/dbraw/zinc/50/30/27/1072503027.db2.gz YVDJJQDSLXBIMT-OAHLLOKOSA-N 0 0 426.495 -0.572 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(NC(=O)COC)c2)C1 ZINC000550490616 1072502835 /nfs/dbraw/zinc/50/28/35/1072502835.db2.gz HPPZAZLOSUYJSY-HNNXBMFYSA-N 0 0 426.495 -0.572 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(NC(=O)COC)c2)C1 ZINC000550490617 1072502815 /nfs/dbraw/zinc/50/28/15/1072502815.db2.gz HPPZAZLOSUYJSY-OAHLLOKOSA-N 0 0 426.495 -0.572 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cn2ccnc12 ZINC000550490722 1072502790 /nfs/dbraw/zinc/50/27/90/1072502790.db2.gz IMETZKWCNAFVQI-INIZCTEOSA-N 0 0 428.493 -0.027 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cn2ccnc12 ZINC000550490723 1072503051 /nfs/dbraw/zinc/50/30/51/1072503051.db2.gz IMETZKWCNAFVQI-MRXNPFEDSA-N 0 0 428.493 -0.027 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000550490729 1072502990 /nfs/dbraw/zinc/50/29/90/1072502990.db2.gz AISWFQHGRKSLBA-UHFFFAOYSA-N 0 0 445.564 -0.196 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)NCCNC(=O)c2cnccn2)c1 ZINC000550491229 1072502939 /nfs/dbraw/zinc/50/29/39/1072502939.db2.gz LLZVVAXCBGDRPD-UHFFFAOYSA-N 0 0 428.449 -0.894 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550494460 1072503494 /nfs/dbraw/zinc/50/34/94/1072503494.db2.gz MMMARQLBLZRAEK-INIZCTEOSA-N 0 0 439.538 -0.537 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550494462 1072503508 /nfs/dbraw/zinc/50/35/08/1072503508.db2.gz MMMARQLBLZRAEK-MRXNPFEDSA-N 0 0 439.538 -0.537 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000550494893 1072504015 /nfs/dbraw/zinc/50/40/15/1072504015.db2.gz JXXZPISLDSYPOY-UHFFFAOYSA-N 0 0 445.564 -0.243 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc(OC)c1OC ZINC000550494948 1073359583 /nfs/dbraw/zinc/35/95/83/1073359583.db2.gz KOTWCJROEHHVGG-UHFFFAOYSA-N 0 0 436.494 0.111 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)c1 ZINC000550495158 1072504051 /nfs/dbraw/zinc/50/40/51/1072504051.db2.gz UEYXOLSVJKMCSK-INIZCTEOSA-N 0 0 425.507 -0.195 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)c1 ZINC000550495159 1072503973 /nfs/dbraw/zinc/50/39/73/1072503973.db2.gz UEYXOLSVJKMCSK-MRXNPFEDSA-N 0 0 425.507 -0.195 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)CC2)n1 ZINC000550497142 1072504812 /nfs/dbraw/zinc/50/48/12/1072504812.db2.gz BQSNEVDXENPBNM-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000550497170 1072504898 /nfs/dbraw/zinc/50/48/98/1072504898.db2.gz CPLUWAJURLOAJT-UHFFFAOYSA-N 0 0 440.522 -0.736 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)cc1OC ZINC000550497387 1072504316 /nfs/dbraw/zinc/50/43/16/1072504316.db2.gz IYHAWGPDHYEEFD-HNNXBMFYSA-N 0 0 434.493 -0.060 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)cc1OC ZINC000550497388 1072504349 /nfs/dbraw/zinc/50/43/49/1072504349.db2.gz IYHAWGPDHYEEFD-OAHLLOKOSA-N 0 0 434.493 -0.060 20 0 IBADRN COCCO[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000550497990 1072505837 /nfs/dbraw/zinc/50/58/37/1072505837.db2.gz CMYYLHILVSCAOC-GOSISDBHSA-N 0 0 446.504 -0.471 20 0 IBADRN COCCO[C@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000550497991 1072505739 /nfs/dbraw/zinc/50/57/39/1072505739.db2.gz CMYYLHILVSCAOC-SFHVURJKSA-N 0 0 446.504 -0.471 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550498258 1072505282 /nfs/dbraw/zinc/50/52/82/1072505282.db2.gz FSROUHBYWVJJCS-UHFFFAOYSA-N 0 0 431.537 -0.519 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000550498377 1072505481 /nfs/dbraw/zinc/50/54/81/1072505481.db2.gz ILZBDNNRBALHSG-UHFFFAOYSA-N 0 0 433.509 -0.950 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550498499 1072503825 /nfs/dbraw/zinc/50/38/25/1072503825.db2.gz JONBOSIJIUPVMK-KRWDZBQOSA-N 0 0 432.477 -0.813 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550498500 1072503848 /nfs/dbraw/zinc/50/38/48/1072503848.db2.gz JONBOSIJIUPVMK-QGZVFWFLSA-N 0 0 432.477 -0.813 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1=O ZINC000550498895 1072503938 /nfs/dbraw/zinc/50/39/38/1072503938.db2.gz QBKXTFVIKZRBQY-UHFFFAOYSA-N 0 0 434.493 -0.523 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(N3CCCC3)nc2)CC1)N1CCOCC1 ZINC000550498916 1072504448 /nfs/dbraw/zinc/50/44/48/1072504448.db2.gz QZEWDIVCSCNIFN-UHFFFAOYSA-N 0 0 444.536 -0.699 20 0 IBADRN CCN(CC)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000550499477 1072504365 /nfs/dbraw/zinc/50/43/65/1072504365.db2.gz ZVTHJWUEIYTMOW-UHFFFAOYSA-N 0 0 446.552 -0.453 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC(O)(COC)CC2)cc1OC ZINC000550499714 1072504323 /nfs/dbraw/zinc/50/43/23/1072504323.db2.gz UPTCPXACFQDDMR-UHFFFAOYSA-N 0 0 437.493 -0.174 20 0 IBADRN COCCOC1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000550500895 1072507575 /nfs/dbraw/zinc/50/75/75/1072507575.db2.gz HWOLRHCFBPQUPP-UHFFFAOYSA-N 0 0 446.504 -0.471 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)cc2)CC1 ZINC000550500997 1072507618 /nfs/dbraw/zinc/50/76/18/1072507618.db2.gz JMHJMSCKEJWKIR-MOPGFXCFSA-N 0 0 441.532 -0.040 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)cc2)CC1 ZINC000550500999 1072507693 /nfs/dbraw/zinc/50/76/93/1072507693.db2.gz JMHJMSCKEJWKIR-OALUTQOASA-N 0 0 441.532 -0.040 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)cc2)CC1 ZINC000550501000 1072507349 /nfs/dbraw/zinc/50/73/49/1072507349.db2.gz JMHJMSCKEJWKIR-RBUKOAKNSA-N 0 0 441.532 -0.040 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)cc2)CC1 ZINC000550501001 1072507646 /nfs/dbraw/zinc/50/76/46/1072507646.db2.gz JMHJMSCKEJWKIR-RTBURBONSA-N 0 0 441.532 -0.040 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000550501131 1072506998 /nfs/dbraw/zinc/50/69/98/1072506998.db2.gz MZNKGFDWMVNKLX-UHFFFAOYSA-N 0 0 445.520 -0.945 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)cc1OC ZINC000550501146 1072506897 /nfs/dbraw/zinc/50/68/97/1072506897.db2.gz LNLWPGQPQRKHPQ-JOCHJYFZSA-N 0 0 446.504 -0.045 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC[C@]3(CNC(=O)C3)C2)cc1OC ZINC000550501148 1072507128 /nfs/dbraw/zinc/50/71/28/1072507128.db2.gz LNLWPGQPQRKHPQ-QFIPXVFZSA-N 0 0 446.504 -0.045 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)[C@@H](C)C1 ZINC000550501189 1072506337 /nfs/dbraw/zinc/50/63/37/1072506337.db2.gz OKYJHGUELJDOSF-INIZCTEOSA-N 0 0 433.509 -0.370 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)cn1 ZINC000550501195 1072507088 /nfs/dbraw/zinc/50/70/88/1072507088.db2.gz MKVOYKKREXFDDB-UHFFFAOYSA-N 0 0 442.520 -0.150 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)[C@H](C)C1 ZINC000550501196 1072506923 /nfs/dbraw/zinc/50/69/23/1072506923.db2.gz OKYJHGUELJDOSF-MRXNPFEDSA-N 0 0 433.509 -0.370 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1)N1CCCC1 ZINC000550501407 1072506378 /nfs/dbraw/zinc/50/63/78/1072506378.db2.gz PZIFRZOHDOXOLF-UHFFFAOYSA-N 0 0 444.536 -0.699 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1 ZINC000550501673 1072510891 /nfs/dbraw/zinc/51/08/91/1072510891.db2.gz VNQHSNNXHOHZES-HKUYNNGSSA-N 0 0 443.504 -0.262 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000550501705 1072508061 /nfs/dbraw/zinc/50/80/61/1072508061.db2.gz YFNFKERVYDEGTQ-UHFFFAOYSA-N 0 0 439.520 -0.219 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC(n2ccnc2)CC1 ZINC000550501761 1072510462 /nfs/dbraw/zinc/51/04/62/1072510462.db2.gz WEODRPFBDOLXCV-UHFFFAOYSA-N 0 0 438.488 -0.065 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000550501835 1072510897 /nfs/dbraw/zinc/51/08/97/1072510897.db2.gz AKZVCFBLTVQLIF-UHFFFAOYSA-N 0 0 429.521 -0.031 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1OC ZINC000550501875 1072511035 /nfs/dbraw/zinc/51/10/35/1072511035.db2.gz YNJGNWDJYYVKIF-HUUCEWRRSA-N 0 0 432.477 -0.437 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)CC1 ZINC000550503759 1072512878 /nfs/dbraw/zinc/51/28/78/1072512878.db2.gz AETQJWSYJGULQU-AWEZNQCLSA-N 0 0 429.477 -0.626 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)CC1 ZINC000550503760 1072513019 /nfs/dbraw/zinc/51/30/19/1072513019.db2.gz AETQJWSYJGULQU-CQSZACIVSA-N 0 0 429.477 -0.626 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)c2C)on1 ZINC000550503952 1072512944 /nfs/dbraw/zinc/51/29/44/1072512944.db2.gz JNKMHAWFOSAWPK-INIZCTEOSA-N 0 0 431.497 -0.064 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)c2C)on1 ZINC000550503953 1072512919 /nfs/dbraw/zinc/51/29/19/1072512919.db2.gz JNKMHAWFOSAWPK-MRXNPFEDSA-N 0 0 431.497 -0.064 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000550504814 1072514044 /nfs/dbraw/zinc/51/40/44/1072514044.db2.gz BCHQVAQQEDCBIL-UHFFFAOYSA-N 0 0 429.521 -0.229 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1 ZINC000550505013 1072513387 /nfs/dbraw/zinc/51/33/87/1072513387.db2.gz DKFCCUDOXPYQAK-UHFFFAOYSA-N 0 0 445.501 -0.410 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCN(C2CCC2)CC1 ZINC000550505073 1072513587 /nfs/dbraw/zinc/51/35/87/1072513587.db2.gz GHFXFZMAFMBOEG-UHFFFAOYSA-N 0 0 427.505 -0.429 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550505088 1072513400 /nfs/dbraw/zinc/51/34/00/1072513400.db2.gz GWLGCHRPZXFWTH-UHFFFAOYSA-N 0 0 431.537 -0.031 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550505362 1072513492 /nfs/dbraw/zinc/51/34/92/1072513492.db2.gz HLQPXPJQEFSVIY-MSOLQXFVSA-N 0 0 429.521 -0.279 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550505363 1072513512 /nfs/dbraw/zinc/51/35/12/1072513512.db2.gz HLQPXPJQEFSVIY-QZTJIDSGSA-N 0 0 429.521 -0.279 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550505364 1072513543 /nfs/dbraw/zinc/51/35/43/1072513543.db2.gz HLQPXPJQEFSVIY-ROUUACIJSA-N 0 0 429.521 -0.279 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550505365 1072513309 /nfs/dbraw/zinc/51/33/09/1072513309.db2.gz HLQPXPJQEFSVIY-ZWKOTPCHSA-N 0 0 429.521 -0.279 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1=O ZINC000550505377 1072513576 /nfs/dbraw/zinc/51/35/76/1072513576.db2.gz IHIGDYKSBNCSCD-UHFFFAOYSA-N 0 0 443.504 -0.798 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000550505854 1072514060 /nfs/dbraw/zinc/51/40/60/1072514060.db2.gz HNQMZNHIHZWWIV-UHFFFAOYSA-N 0 0 445.520 -0.945 20 0 IBADRN COC(=O)[C@]1(C)CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H]1C ZINC000550505919 1072514092 /nfs/dbraw/zinc/51/40/92/1072514092.db2.gz HWVYEIKPQHWUHT-JLCFBVMHSA-N 0 0 444.488 -0.468 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000550506163 1072514111 /nfs/dbraw/zinc/51/41/11/1072514111.db2.gz NORZJYBQVVYTGB-INIZCTEOSA-N 0 0 430.461 -0.714 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550506174 1072513882 /nfs/dbraw/zinc/51/38/82/1072513882.db2.gz OCVMQIDCPPQJSE-AEFFLSMTSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550506175 1072514117 /nfs/dbraw/zinc/51/41/17/1072514117.db2.gz OCVMQIDCPPQJSE-FUHWJXTLSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550506176 1072514132 /nfs/dbraw/zinc/51/41/32/1072514132.db2.gz OCVMQIDCPPQJSE-SJLPKXTDSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550506177 1072514032 /nfs/dbraw/zinc/51/40/32/1072514032.db2.gz OCVMQIDCPPQJSE-WMZOPIPTSA-N 0 0 447.536 -0.076 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000550506196 1072514099 /nfs/dbraw/zinc/51/40/99/1072514099.db2.gz OXYRFFYFLIFRDS-UHFFFAOYSA-N 0 0 445.564 -0.129 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000550507131 1072515383 /nfs/dbraw/zinc/51/53/83/1072515383.db2.gz YUQUFLFASVSYOV-MSOLQXFVSA-N 0 0 428.489 -0.240 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000550507132 1072515432 /nfs/dbraw/zinc/51/54/32/1072515432.db2.gz YUQUFLFASVSYOV-QZTJIDSGSA-N 0 0 428.489 -0.240 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000550507133 1072515600 /nfs/dbraw/zinc/51/56/00/1072515600.db2.gz YUQUFLFASVSYOV-ROUUACIJSA-N 0 0 428.489 -0.240 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000550507134 1072515370 /nfs/dbraw/zinc/51/53/70/1072515370.db2.gz YUQUFLFASVSYOV-ZWKOTPCHSA-N 0 0 428.489 -0.240 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N(CCO)Cc1ccccc1 ZINC000550507144 1072515619 /nfs/dbraw/zinc/51/56/19/1072515619.db2.gz ZKPITHWVWHDIOG-UHFFFAOYSA-N 0 0 438.484 -0.104 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2nc(CC(F)(F)F)cs2)CC1 ZINC000550507380 1072515515 /nfs/dbraw/zinc/51/55/15/1072515515.db2.gz VBTVGJGMOKZKJM-UHFFFAOYSA-N 0 0 429.446 -0.381 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)CC1 ZINC000550507632 1072516681 /nfs/dbraw/zinc/51/66/81/1072516681.db2.gz NHDIVNWOSGAIAD-INIZCTEOSA-N 0 0 432.525 -0.797 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)CC1 ZINC000550507634 1072516650 /nfs/dbraw/zinc/51/66/50/1072516650.db2.gz NHDIVNWOSGAIAD-MRXNPFEDSA-N 0 0 432.525 -0.797 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)cn2)CCO1 ZINC000550507738 1072516549 /nfs/dbraw/zinc/51/65/49/1072516549.db2.gz OTVWCCRFJQGNIY-KRWDZBQOSA-N 0 0 446.552 -0.455 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)cn2)CCO1 ZINC000550507741 1072515919 /nfs/dbraw/zinc/51/59/19/1072515919.db2.gz OTVWCCRFJQGNIY-QGZVFWFLSA-N 0 0 446.552 -0.455 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCCOC1CCCCC1)C2 ZINC000550508665 1072515893 /nfs/dbraw/zinc/51/58/93/1072515893.db2.gz FWHPTHMWPROFJR-UHFFFAOYSA-N 0 0 436.513 -0.669 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000550512572 1072517594 /nfs/dbraw/zinc/51/75/94/1072517594.db2.gz FLGZOTFADQUYCG-IBGZPJMESA-N 0 0 432.521 -0.111 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000550512573 1072517637 /nfs/dbraw/zinc/51/76/37/1072517637.db2.gz FLGZOTFADQUYCG-LJQANCHMSA-N 0 0 432.521 -0.111 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000550512920 1072517498 /nfs/dbraw/zinc/51/74/98/1072517498.db2.gz JTINGWLGPSNJJH-UHFFFAOYSA-N 0 0 449.533 -0.071 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)NCCNC(=O)c3cccnc3)cn2)CCO1 ZINC000550513753 1072517535 /nfs/dbraw/zinc/51/75/35/1072517535.db2.gz DDRDZVVQZLAJDH-HNNXBMFYSA-N 0 0 426.477 -0.136 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)NCCNC(=O)c3cccnc3)cn2)CCO1 ZINC000550513754 1072517526 /nfs/dbraw/zinc/51/75/26/1072517526.db2.gz DDRDZVVQZLAJDH-OAHLLOKOSA-N 0 0 426.477 -0.136 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cn3ccsc3n2)CC1 ZINC000550514295 1072517656 /nfs/dbraw/zinc/51/76/56/1072517656.db2.gz QHVCNZNSGHSNEW-AWEZNQCLSA-N 0 0 434.522 -0.597 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cn3ccsc3n2)CC1 ZINC000550514297 1072517540 /nfs/dbraw/zinc/51/75/40/1072517540.db2.gz QHVCNZNSGHSNEW-CQSZACIVSA-N 0 0 434.522 -0.597 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)CC1 ZINC000550514419 1072516922 /nfs/dbraw/zinc/51/69/22/1072516922.db2.gz GSKIWKWQZGPMGB-CALCHBBNSA-N 0 0 446.552 -0.408 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3C[C@@H](C)O[C@H](C)C3)nc2)CC1 ZINC000550514420 1072516934 /nfs/dbraw/zinc/51/69/34/1072516934.db2.gz GSKIWKWQZGPMGB-IAGOWNOFSA-N 0 0 446.552 -0.408 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3C[C@H](C)O[C@@H](C)C3)nc2)CC1 ZINC000550514422 1072517178 /nfs/dbraw/zinc/51/71/78/1072517178.db2.gz GSKIWKWQZGPMGB-IRXDYDNUSA-N 0 0 446.552 -0.408 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc(OC)c1OC ZINC000550519528 1072518494 /nfs/dbraw/zinc/51/84/94/1072518494.db2.gz GUCQLCKJVBYCEB-UHFFFAOYSA-N 0 0 436.509 -0.049 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)NCCNC(=O)c2cccnc2)c1 ZINC000550520223 1072518034 /nfs/dbraw/zinc/51/80/34/1072518034.db2.gz DOKBCQSWDJENGI-UHFFFAOYSA-N 0 0 427.461 -0.289 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)CCO1 ZINC000550521377 1072518191 /nfs/dbraw/zinc/51/81/91/1072518191.db2.gz BCDZIYQJBKHDHE-HNNXBMFYSA-N 0 0 432.525 -0.669 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)CCO1 ZINC000550521378 1072518062 /nfs/dbraw/zinc/51/80/62/1072518062.db2.gz BCDZIYQJBKHDHE-OAHLLOKOSA-N 0 0 432.525 -0.669 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc(OC)c1OC ZINC000550521441 1072518694 /nfs/dbraw/zinc/51/86/94/1072518694.db2.gz YSNVTSPLOXTJRU-AWEZNQCLSA-N 0 0 431.449 -0.016 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc(OC)c1OC ZINC000550521442 1072518588 /nfs/dbraw/zinc/51/85/88/1072518588.db2.gz YSNVTSPLOXTJRU-CQSZACIVSA-N 0 0 431.449 -0.016 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1 ZINC000550521690 1072518554 /nfs/dbraw/zinc/51/85/54/1072518554.db2.gz AKGDZZGOSCCNPR-UHFFFAOYSA-N 0 0 442.520 -0.152 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000550521694 1072518509 /nfs/dbraw/zinc/51/85/09/1072518509.db2.gz AQMUUDBVGAJJTA-LMMKCTJWSA-N 0 0 449.552 -0.537 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000550521695 1072518534 /nfs/dbraw/zinc/51/85/34/1072518534.db2.gz AQMUUDBVGAJJTA-SCTDSRPQSA-N 0 0 449.552 -0.537 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)C[C@@H](C)O1 ZINC000550521696 1072518637 /nfs/dbraw/zinc/51/86/37/1072518637.db2.gz AQMUUDBVGAJJTA-ZHALLVOQSA-N 0 0 449.552 -0.537 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)C[C@H](C)O1 ZINC000550521697 1072518969 /nfs/dbraw/zinc/51/89/69/1072518969.db2.gz AQMUUDBVGAJJTA-ZIFCJYIRSA-N 0 0 449.552 -0.537 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000550521751 1072518981 /nfs/dbraw/zinc/51/89/81/1072518981.db2.gz CDSIWPUZDTWQQD-UHFFFAOYSA-N 0 0 445.520 -0.807 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000550522057 1072518683 /nfs/dbraw/zinc/51/86/83/1072518683.db2.gz GRIOITPWKDNDLZ-INIZCTEOSA-N 0 0 440.522 -0.690 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000550522058 1072518482 /nfs/dbraw/zinc/51/84/82/1072518482.db2.gz GRIOITPWKDNDLZ-MRXNPFEDSA-N 0 0 440.522 -0.690 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1 ZINC000550524105 1072519446 /nfs/dbraw/zinc/51/94/46/1072519446.db2.gz YFBCVCZRDCKPLD-BPQIPLTHSA-N 0 0 436.509 -0.140 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1 ZINC000550524108 1072519580 /nfs/dbraw/zinc/51/95/80/1072519580.db2.gz YFBCVCZRDCKPLD-CGTJXYLNSA-N 0 0 436.509 -0.140 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1 ZINC000550524109 1072519548 /nfs/dbraw/zinc/51/95/48/1072519548.db2.gz YFBCVCZRDCKPLD-KBAYOESNSA-N 0 0 436.509 -0.140 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1 ZINC000550524110 1072519524 /nfs/dbraw/zinc/51/95/24/1072519524.db2.gz YFBCVCZRDCKPLD-RYQLBKOJSA-N 0 0 436.509 -0.140 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cccnc1OCC(F)(F)F ZINC000550527917 1072520134 /nfs/dbraw/zinc/52/01/34/1072520134.db2.gz NHOGGQMFWHSCEZ-AWEZNQCLSA-N 0 0 434.415 -0.210 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cccnc1OCC(F)(F)F ZINC000550527918 1072520175 /nfs/dbraw/zinc/52/01/75/1072520175.db2.gz NHOGGQMFWHSCEZ-CQSZACIVSA-N 0 0 434.415 -0.210 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H](c1cccs1)C1CC1)C2 ZINC000550530429 1072521297 /nfs/dbraw/zinc/52/12/97/1072521297.db2.gz PJDODKOQHOTRLX-INIZCTEOSA-N 0 0 432.506 -0.196 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H](c1cccs1)C1CC1)C2 ZINC000550530430 1072521086 /nfs/dbraw/zinc/52/10/86/1072521086.db2.gz PJDODKOQHOTRLX-MRXNPFEDSA-N 0 0 432.506 -0.196 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOCC(C)C)CC2)cn1C ZINC000550534825 1072521120 /nfs/dbraw/zinc/52/11/20/1072521120.db2.gz PBDIYCYKYNMEPG-UHFFFAOYSA-N 0 0 429.543 -0.260 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NCc1cccnc1N1CCOCC1 ZINC000550534980 1072521204 /nfs/dbraw/zinc/52/12/04/1072521204.db2.gz HKJODNPAMBJXEP-UHFFFAOYSA-N 0 0 440.504 -0.253 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCc1cccnc1N1CCOCC1 ZINC000550535716 1072521843 /nfs/dbraw/zinc/52/18/43/1072521843.db2.gz ABBLPVHDGNFTLE-UHFFFAOYSA-N 0 0 443.508 -0.565 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000550535798 1072521695 /nfs/dbraw/zinc/52/16/95/1072521695.db2.gz CFGHPTSBBDMXEQ-UHFFFAOYSA-N 0 0 427.509 -0.104 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000550536100 1072521326 /nfs/dbraw/zinc/52/13/26/1072521326.db2.gz CQUUOKKQAIVWFC-UHFFFAOYSA-N 0 0 429.456 -0.188 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCc3ccnc(-n4cccn4)c3)CC2)NC(=O)NC1=O ZINC000550536116 1072521351 /nfs/dbraw/zinc/52/13/51/1072521351.db2.gz DAYMUCFQRDHGOG-FQEVSTJZSA-N 0 0 425.449 -0.280 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCc3ccnc(-n4cccn4)c3)CC2)NC(=O)NC1=O ZINC000550536117 1072521179 /nfs/dbraw/zinc/52/11/79/1072521179.db2.gz DAYMUCFQRDHGOG-HXUWFJFHSA-N 0 0 425.449 -0.280 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000550536866 1072521645 /nfs/dbraw/zinc/52/16/45/1072521645.db2.gz HEFHRGGLDJHJOU-UHFFFAOYSA-N 0 0 438.488 -0.086 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1cccc(CN2CCOCC2)c1 ZINC000550536989 1072521728 /nfs/dbraw/zinc/52/17/28/1072521728.db2.gz PSCNEUZIFHWYDD-UHFFFAOYSA-N 0 0 426.477 -0.529 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3cccnc3N3CCOCC3)CC2)ncn1 ZINC000550537022 1072521747 /nfs/dbraw/zinc/52/17/47/1072521747.db2.gz IXEWKRPESPZKRY-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cccc(CN4CCOCC4)c3)CC2=O)cn1 ZINC000550537354 1072521598 /nfs/dbraw/zinc/52/15/98/1072521598.db2.gz VJEUHNAQHIVGMH-UHFFFAOYSA-N 0 0 440.504 -0.256 20 0 IBADRN O=C(NCCCOCC1CC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000550538950 1072522083 /nfs/dbraw/zinc/52/20/83/1072522083.db2.gz NDSRRFFVWMZQRL-UHFFFAOYSA-N 0 0 432.525 -0.105 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000550539863 1072522280 /nfs/dbraw/zinc/52/22/80/1072522280.db2.gz UMRROOFTVFLKDM-IBGZPJMESA-N 0 0 431.537 -0.544 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000550539864 1072522038 /nfs/dbraw/zinc/52/20/38/1072522038.db2.gz UMRROOFTVFLKDM-LJQANCHMSA-N 0 0 431.537 -0.544 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1)NC1CC1 ZINC000550540674 1072522677 /nfs/dbraw/zinc/52/26/77/1072522677.db2.gz JSDXQSUMFCWAEJ-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCc3cccnc3N3CCOCC3)CC2)cn1 ZINC000550540831 1072522566 /nfs/dbraw/zinc/52/25/66/1072522566.db2.gz KQVBOTNGUOOFJY-UHFFFAOYSA-N 0 0 427.509 -0.388 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cccc(CN2CCOCC2)c1 ZINC000550541394 1072522203 /nfs/dbraw/zinc/52/22/03/1072522203.db2.gz OISCLKYYTNRUKF-FQEVSTJZSA-N 0 0 434.537 -0.714 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cccc(CN2CCOCC2)c1 ZINC000550541396 1072522183 /nfs/dbraw/zinc/52/21/83/1072522183.db2.gz OISCLKYYTNRUKF-HXUWFJFHSA-N 0 0 434.537 -0.714 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000550541588 1072522669 /nfs/dbraw/zinc/52/26/69/1072522669.db2.gz XOHLECKQFGEKGS-CYBMUJFWSA-N 0 0 429.399 -0.186 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000550541589 1072522717 /nfs/dbraw/zinc/52/27/17/1072522717.db2.gz XOHLECKQFGEKGS-ZDUSSCGKSA-N 0 0 429.399 -0.186 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000550541624 1072522144 /nfs/dbraw/zinc/52/21/44/1072522144.db2.gz ZBTATNXLBWHADZ-KRWDZBQOSA-N 0 0 431.493 -0.616 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000550541625 1072522729 /nfs/dbraw/zinc/52/27/29/1072522729.db2.gz ZBTATNXLBWHADZ-QGZVFWFLSA-N 0 0 431.493 -0.616 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)C1 ZINC000550541932 1072522674 /nfs/dbraw/zinc/52/26/74/1072522674.db2.gz UCHMEQNOYNQEGT-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)C1 ZINC000550541933 1072522723 /nfs/dbraw/zinc/52/27/23/1072522723.db2.gz UCHMEQNOYNQEGT-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)C1 ZINC000550541934 1072522704 /nfs/dbraw/zinc/52/27/04/1072522704.db2.gz UCHMEQNOYNQEGT-ROUUACIJSA-N 0 0 438.550 -0.284 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)C1 ZINC000550541935 1072522601 /nfs/dbraw/zinc/52/26/01/1072522601.db2.gz UCHMEQNOYNQEGT-ZWKOTPCHSA-N 0 0 438.550 -0.284 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H](CN3CCOCC3)c3ccccc3)CC2=O)cn1 ZINC000550544651 1072522629 /nfs/dbraw/zinc/52/26/29/1072522629.db2.gz SQRYXCRCFCWZJP-IBGZPJMESA-N 0 0 440.504 -0.215 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H](CN3CCOCC3)c3ccccc3)CC2=O)cn1 ZINC000550544654 1072522551 /nfs/dbraw/zinc/52/25/51/1072522551.db2.gz SQRYXCRCFCWZJP-LJQANCHMSA-N 0 0 440.504 -0.215 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccc(C)cc2)CC1 ZINC000550544907 1072522698 /nfs/dbraw/zinc/52/26/98/1072522698.db2.gz XIXOKXPXEQJOCH-FQEVSTJZSA-N 0 0 445.564 -0.235 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccc(C)cc2)CC1 ZINC000550544908 1072522732 /nfs/dbraw/zinc/52/27/32/1072522732.db2.gz XIXOKXPXEQJOCH-HXUWFJFHSA-N 0 0 445.564 -0.235 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1 ZINC000550544937 1072522593 /nfs/dbraw/zinc/52/25/93/1072522593.db2.gz YCVKXQJCEDEPMU-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1 ZINC000550544938 1072522711 /nfs/dbraw/zinc/52/27/11/1072522711.db2.gz YCVKXQJCEDEPMU-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)c2ccccc2C1=O ZINC000550546367 1072522608 /nfs/dbraw/zinc/52/26/08/1072522608.db2.gz FBUYQPUZIQRNOH-KRWDZBQOSA-N 0 0 425.449 -0.335 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)c2ccccc2C1=O ZINC000550546368 1072523009 /nfs/dbraw/zinc/52/30/09/1072523009.db2.gz FBUYQPUZIQRNOH-QGZVFWFLSA-N 0 0 425.449 -0.335 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(C)c1 ZINC000550546745 1072523089 /nfs/dbraw/zinc/52/30/89/1072523089.db2.gz CWMVFMZJRMHCHL-UHFFFAOYSA-N 0 0 437.566 -0.444 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1ccc(OCC(F)(F)F)nc1 ZINC000550547913 1072523024 /nfs/dbraw/zinc/52/30/24/1072523024.db2.gz HLZVORMZHQFZDN-UHFFFAOYSA-N 0 0 426.355 -0.025 20 0 IBADRN O=C(NCc1cccc(Cn2ccnc2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550549443 1072523617 /nfs/dbraw/zinc/52/36/17/1072523617.db2.gz FBYHGZJFJNHFQC-UHFFFAOYSA-N 0 0 438.488 -0.503 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1 ZINC000550553141 1072523502 /nfs/dbraw/zinc/52/35/02/1072523502.db2.gz SRGPKBHPCACSEW-UHFFFAOYSA-N 0 0 428.511 -0.395 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCc1ccnc(-n2cccn2)c1 ZINC000550553465 1072523631 /nfs/dbraw/zinc/52/36/31/1072523631.db2.gz DCWCJUUOHHBCLB-UHFFFAOYSA-N 0 0 435.492 -0.388 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)cs1 ZINC000550554221 1072523533 /nfs/dbraw/zinc/52/35/33/1072523533.db2.gz UYUFFXKNSXBDTO-UHFFFAOYSA-N 0 0 439.523 -0.861 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccc(N2CCOCC2)nc1 ZINC000550555717 1072524091 /nfs/dbraw/zinc/52/40/91/1072524091.db2.gz OZFQJPYVBRFPOV-UHFFFAOYSA-N 0 0 427.527 -0.678 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000550555843 1072523643 /nfs/dbraw/zinc/52/36/43/1072523643.db2.gz SYGKDTTUHNQQRI-UHFFFAOYSA-N 0 0 438.488 -0.086 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1nnc2n1CCC2)N1CCN(c2ccccc2)CC1 ZINC000550558138 1072524025 /nfs/dbraw/zinc/52/40/25/1072524025.db2.gz PRUCKKOWVVIWKQ-UHFFFAOYSA-N 0 0 425.493 -0.304 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000550562450 1072524402 /nfs/dbraw/zinc/52/44/02/1072524402.db2.gz DAQKMWNJKXMZBX-UHFFFAOYSA-N 0 0 425.445 -0.405 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000550563642 1072524476 /nfs/dbraw/zinc/52/44/76/1072524476.db2.gz DOYDVBAKTLGRGC-UHFFFAOYSA-N 0 0 429.456 -0.188 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1ccc(N2CCOCC2)nc1 ZINC000550564095 1072525067 /nfs/dbraw/zinc/52/50/67/1072525067.db2.gz ZKYHPOZMXKVFMI-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN C[C@H]1C[C@H]1c1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000550564245 1072525036 /nfs/dbraw/zinc/52/50/36/1072525036.db2.gz GYNYFUIJSFUSOQ-GXTWGEPZSA-N 0 0 430.465 -0.492 20 0 IBADRN C[C@H]1C[C@@H]1c1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000550564246 1072525085 /nfs/dbraw/zinc/52/50/85/1072525085.db2.gz GYNYFUIJSFUSOQ-JSGCOSHPSA-N 0 0 430.465 -0.492 20 0 IBADRN C[C@@H]1C[C@@H]1c1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000550564247 1072524819 /nfs/dbraw/zinc/52/48/19/1072524819.db2.gz GYNYFUIJSFUSOQ-OCCSQVGLSA-N 0 0 430.465 -0.492 20 0 IBADRN C[C@@H]1C[C@H]1c1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000550564248 1072524994 /nfs/dbraw/zinc/52/49/94/1072524994.db2.gz GYNYFUIJSFUSOQ-TZMCWYRMSA-N 0 0 430.465 -0.492 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)c1 ZINC000550564536 1072524887 /nfs/dbraw/zinc/52/48/87/1072524887.db2.gz LNJYUPDEOGKCQS-UHFFFAOYSA-N 0 0 447.517 -0.241 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000550565252 1072524984 /nfs/dbraw/zinc/52/49/84/1072524984.db2.gz TZLXDZINLCQQFG-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000550565254 1072524971 /nfs/dbraw/zinc/52/49/71/1072524971.db2.gz TZLXDZINLCQQFG-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cn1 ZINC000550568508 1072525052 /nfs/dbraw/zinc/52/50/52/1072525052.db2.gz HTDKBXYGLRRVRU-UHFFFAOYSA-N 0 0 436.494 -0.719 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3cccc(Cn4ccnc4)c3)CC2)c1=O ZINC000550569781 1072525401 /nfs/dbraw/zinc/52/54/01/1072525401.db2.gz LWFJKUGPBPYARQ-UHFFFAOYSA-N 0 0 435.488 -0.010 20 0 IBADRN CN(CC1(CO)CC1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000550570643 1072525346 /nfs/dbraw/zinc/52/53/46/1072525346.db2.gz DIPCKXPZXYMKPO-UHFFFAOYSA-N 0 0 431.536 -0.384 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N(CCO)CC(F)F ZINC000550573130 1072525933 /nfs/dbraw/zinc/52/59/33/1072525933.db2.gz QZPMARCYADAZHP-UHFFFAOYSA-N 0 0 441.478 -0.529 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C2(S(C)(=O)=O)CCOCC2)CC1 ZINC000550574736 1072526913 /nfs/dbraw/zinc/52/69/13/1072526913.db2.gz SZPZIBDLUDMXHE-UHFFFAOYSA-N 0 0 445.563 -0.163 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC000550576027 1072526874 /nfs/dbraw/zinc/52/68/74/1072526874.db2.gz CULPRODMVLHYSL-UHFFFAOYSA-N 0 0 435.462 -0.046 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccn(-c3ccc(Br)cc3)n2)CC1 ZINC000550576254 1073320772 /nfs/dbraw/zinc/32/07/72/1073320772.db2.gz GKVMEROHMNROAV-UHFFFAOYSA-N 0 0 436.266 0.236 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)N3CCN(C(=O)CO)CC3)c2)ccn1 ZINC000550577107 1072526887 /nfs/dbraw/zinc/52/68/87/1072526887.db2.gz OUYHUMAGLMZIAD-UHFFFAOYSA-N 0 0 441.444 -0.165 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)nnn1CCOc1ccc(F)cc1 ZINC000550577122 1072526928 /nfs/dbraw/zinc/52/69/28/1072526928.db2.gz PAFNTOYDBRATQU-AWEZNQCLSA-N 0 0 448.455 -0.205 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)nnn1CCOc1ccc(F)cc1 ZINC000550577125 1072526775 /nfs/dbraw/zinc/52/67/75/1072526775.db2.gz PAFNTOYDBRATQU-CQSZACIVSA-N 0 0 448.455 -0.205 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)nnn1CCOc1ccc(F)cc1 ZINC000550577419 1072526975 /nfs/dbraw/zinc/52/69/75/1072526975.db2.gz VJMNAUMNLFFJKW-UHFFFAOYSA-N 0 0 434.428 -0.594 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000550582128 1072527709 /nfs/dbraw/zinc/52/77/09/1072527709.db2.gz MXUQEJJITHWYKT-CALCHBBNSA-N 0 0 425.574 -0.341 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000550582129 1072527856 /nfs/dbraw/zinc/52/78/56/1072527856.db2.gz MXUQEJJITHWYKT-IAGOWNOFSA-N 0 0 425.574 -0.341 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000550582130 1072527827 /nfs/dbraw/zinc/52/78/27/1072527827.db2.gz MXUQEJJITHWYKT-IRXDYDNUSA-N 0 0 425.574 -0.341 20 0 IBADRN C[C@@H](CCc1ccc(O)cc1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000550582803 1072528252 /nfs/dbraw/zinc/52/82/52/1072528252.db2.gz UUTYUZGHSXYSRJ-AWEZNQCLSA-N 0 0 444.492 -0.682 20 0 IBADRN C[C@H](CCc1ccc(O)cc1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000550582804 1072528494 /nfs/dbraw/zinc/52/84/94/1072528494.db2.gz UUTYUZGHSXYSRJ-CQSZACIVSA-N 0 0 444.492 -0.682 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)cc1 ZINC000550587964 1073004098 /nfs/dbraw/zinc/00/40/98/1073004098.db2.gz DEYASPRBOFXZBY-AWEZNQCLSA-N 0 0 445.563 -0.186 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)cc1 ZINC000550587968 1073004113 /nfs/dbraw/zinc/00/41/13/1073004113.db2.gz DEYASPRBOFXZBY-CQSZACIVSA-N 0 0 445.563 -0.186 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@H](C)O1 ZINC000550587978 1073003970 /nfs/dbraw/zinc/00/39/70/1073003970.db2.gz UNWCNYPUDINSET-KBPBESRZSA-N 0 0 445.542 -0.786 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@H](C)O1 ZINC000550587985 1073004049 /nfs/dbraw/zinc/00/40/49/1073004049.db2.gz UNWCNYPUDINSET-OKILXGFUSA-N 0 0 445.542 -0.786 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@H](C)O1 ZINC000550587987 1073004018 /nfs/dbraw/zinc/00/40/18/1073004018.db2.gz UNWCNYPUDINSET-ZIAGYGMSSA-N 0 0 445.542 -0.786 20 0 IBADRN CS(=O)(=O)c1ccccc1CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000550588175 1073004177 /nfs/dbraw/zinc/00/41/77/1073004177.db2.gz CCPSORALCQCVNJ-UHFFFAOYSA-N 0 0 431.536 -0.646 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N(C)CCOCCO)CC2)cc1 ZINC000550588584 1073003661 /nfs/dbraw/zinc/00/36/61/1073003661.db2.gz FSJUTMKYHGQUAH-UHFFFAOYSA-N 0 0 427.523 -0.268 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3CCn4ncnc4C3)CC2)cc1 ZINC000550588861 1073004149 /nfs/dbraw/zinc/00/41/49/1073004149.db2.gz KDWABNXLDOIEBR-UHFFFAOYSA-N 0 0 432.506 -0.102 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000550589236 1073003589 /nfs/dbraw/zinc/00/35/89/1073003589.db2.gz SAFHQVBNKIAUCS-KRWDZBQOSA-N 0 0 431.536 -0.241 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000550589237 1073003597 /nfs/dbraw/zinc/00/35/97/1073003597.db2.gz SAFHQVBNKIAUCS-QGZVFWFLSA-N 0 0 431.536 -0.241 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)[C@@H]1CO ZINC000550589561 1073004172 /nfs/dbraw/zinc/00/41/72/1073004172.db2.gz XYZRYTSTZWSVLS-GXTWGEPZSA-N 0 0 431.536 -0.386 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)[C@H]1CO ZINC000550589562 1073004158 /nfs/dbraw/zinc/00/41/58/1073004158.db2.gz XYZRYTSTZWSVLS-JSGCOSHPSA-N 0 0 431.536 -0.386 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)[C@H]1CO ZINC000550589563 1073004133 /nfs/dbraw/zinc/00/41/33/1073004133.db2.gz XYZRYTSTZWSVLS-OCCSQVGLSA-N 0 0 431.536 -0.386 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)[C@@H]1CO ZINC000550589564 1073004037 /nfs/dbraw/zinc/00/40/37/1073004037.db2.gz XYZRYTSTZWSVLS-TZMCWYRMSA-N 0 0 431.536 -0.386 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c(OC)c1OC ZINC000550590892 1073004359 /nfs/dbraw/zinc/00/43/59/1073004359.db2.gz JEDHVJCTVXVKKA-UHFFFAOYSA-N 0 0 436.509 -0.003 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1O ZINC000550593507 1073004557 /nfs/dbraw/zinc/00/45/57/1073004557.db2.gz MBKKDIJEEBIAQR-UHFFFAOYSA-N 0 0 434.474 -0.055 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2ccc(OC)c(O)c2)CC1 ZINC000550593893 1073005004 /nfs/dbraw/zinc/00/50/04/1073005004.db2.gz IJPLJQQWFWIQLM-HNNXBMFYSA-N 0 0 436.509 -0.298 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2ccc(OC)c(O)c2)CC1 ZINC000550593894 1073004831 /nfs/dbraw/zinc/00/48/31/1073004831.db2.gz IJPLJQQWFWIQLM-OAHLLOKOSA-N 0 0 436.509 -0.298 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1O ZINC000550595521 1073004904 /nfs/dbraw/zinc/00/49/04/1073004904.db2.gz ZJOISXMLVJJOMK-UHFFFAOYSA-N 0 0 442.476 -0.338 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cc1O ZINC000550596505 1073004786 /nfs/dbraw/zinc/00/47/86/1073004786.db2.gz QJFJBMDSCQOFGH-UHFFFAOYSA-N 0 0 443.522 -0.084 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(C)(C)S(=O)(=O)C3CC3)CC2)C[C@H](C)O1 ZINC000550598829 1073005377 /nfs/dbraw/zinc/00/53/77/1073005377.db2.gz FDPOOKXTIPJEGX-KBPBESRZSA-N 0 0 437.584 -0.160 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(C)(C)S(=O)(=O)C3CC3)CC2)C[C@H](C)O1 ZINC000550598832 1073005340 /nfs/dbraw/zinc/00/53/40/1073005340.db2.gz FDPOOKXTIPJEGX-OKILXGFUSA-N 0 0 437.584 -0.160 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(C)(C)S(=O)(=O)C3CC3)CC2)C[C@@H](C)O1 ZINC000550598833 1073005356 /nfs/dbraw/zinc/00/53/56/1073005356.db2.gz FDPOOKXTIPJEGX-ZIAGYGMSSA-N 0 0 437.584 -0.160 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000550599365 1073004814 /nfs/dbraw/zinc/00/48/14/1073004814.db2.gz ZFYVNYRAAJVYND-CYBMUJFWSA-N 0 0 441.510 -0.002 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000550599368 1073004859 /nfs/dbraw/zinc/00/48/59/1073004859.db2.gz ZFYVNYRAAJVYND-ZDUSSCGKSA-N 0 0 441.510 -0.002 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000550601220 1073005329 /nfs/dbraw/zinc/00/53/29/1073005329.db2.gz GODRBLWNZDCDTO-CHWSQXEVSA-N 0 0 426.495 -0.094 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000550601222 1073005363 /nfs/dbraw/zinc/00/53/63/1073005363.db2.gz GODRBLWNZDCDTO-OLZOCXBDSA-N 0 0 426.495 -0.094 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000550601223 1073005314 /nfs/dbraw/zinc/00/53/14/1073005314.db2.gz GODRBLWNZDCDTO-QWHCGFSZSA-N 0 0 426.495 -0.094 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000550601226 1073005269 /nfs/dbraw/zinc/00/52/69/1073005269.db2.gz GODRBLWNZDCDTO-STQMWFEESA-N 0 0 426.495 -0.094 20 0 IBADRN CC(C)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000550601539 1073005383 /nfs/dbraw/zinc/00/53/83/1073005383.db2.gz JPZWWQFIVYODKX-UHFFFAOYSA-N 0 0 430.505 -0.044 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550602389 1073005290 /nfs/dbraw/zinc/00/52/90/1073005290.db2.gz DTYZKFFZLUSLHU-UHFFFAOYSA-N 0 0 430.469 -0.736 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(C)(=O)=O)nnn1CCOc1ccc(F)cc1 ZINC000550603347 1073005253 /nfs/dbraw/zinc/00/52/53/1073005253.db2.gz YQKUJKRMCHXGFV-UHFFFAOYSA-N 0 0 428.446 -0.591 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550603369 1073005320 /nfs/dbraw/zinc/00/53/20/1073005320.db2.gz ZYMYDNRRCWZLSP-UHFFFAOYSA-N 0 0 443.869 -0.107 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1nnc2ccc(C(F)(F)F)cn21 ZINC000550604170 1073005225 /nfs/dbraw/zinc/00/52/25/1073005225.db2.gz IQTGBAFWHBZCET-CYBMUJFWSA-N 0 0 444.414 -0.484 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1nnc2ccc(C(F)(F)F)cn21 ZINC000550604171 1073005281 /nfs/dbraw/zinc/00/52/81/1073005281.db2.gz IQTGBAFWHBZCET-ZDUSSCGKSA-N 0 0 444.414 -0.484 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1nnn(-c2ccn(C)n2)c1C)C(=O)NCCN1CCOCC1 ZINC000550604749 1073005249 /nfs/dbraw/zinc/00/52/49/1073005249.db2.gz IBZWCQMDTTVQJG-PBHICJAKSA-N 0 0 432.529 -0.098 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1nnn(-c2ccn(C)n2)c1C)C(=O)NCCN1CCOCC1 ZINC000550604750 1073005239 /nfs/dbraw/zinc/00/52/39/1073005239.db2.gz IBZWCQMDTTVQJG-RHSMWYFYSA-N 0 0 432.529 -0.098 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1nnn(-c2ccn(C)n2)c1C)C(=O)NCCN1CCOCC1 ZINC000550604751 1073005347 /nfs/dbraw/zinc/00/53/47/1073005347.db2.gz IBZWCQMDTTVQJG-WMLDXEAASA-N 0 0 432.529 -0.098 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1nnn(-c2ccn(C)n2)c1C)C(=O)NCCN1CCOCC1 ZINC000550604752 1073005370 /nfs/dbraw/zinc/00/53/70/1073005370.db2.gz IBZWCQMDTTVQJG-YOEHRIQHSA-N 0 0 432.529 -0.098 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCNC(=O)C2)nnn1CCOc1ccc(F)cc1 ZINC000550606592 1073005739 /nfs/dbraw/zinc/00/57/39/1073005739.db2.gz XOYWJVOOAMBOPV-UHFFFAOYSA-N 0 0 433.444 -0.709 20 0 IBADRN Cc1cc(C)n(-c2ccc(CNC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)cn2)n1 ZINC000550607687 1073005764 /nfs/dbraw/zinc/00/57/64/1073005764.db2.gz XGAQDEOYBBKLRK-UHFFFAOYSA-N 0 0 439.520 -0.077 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](CCSC)c2nnc3ccccn32)CC1 ZINC000550608940 1073005706 /nfs/dbraw/zinc/00/57/06/1073005706.db2.gz URPDNXHPJVAYPH-HNNXBMFYSA-N 0 0 447.565 -0.080 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](CCSC)c2nnc3ccccn32)CC1 ZINC000550608942 1073005774 /nfs/dbraw/zinc/00/57/74/1073005774.db2.gz URPDNXHPJVAYPH-OAHLLOKOSA-N 0 0 447.565 -0.080 20 0 IBADRN CSCC[C@@H](NC(=O)C(=O)NCCNC(=O)c1cnccn1)c1nnc2ccccn21 ZINC000550610207 1073005752 /nfs/dbraw/zinc/00/57/52/1073005752.db2.gz HZARSLSIIAZXCI-CYBMUJFWSA-N 0 0 442.505 -0.024 20 0 IBADRN CSCC[C@H](NC(=O)C(=O)NCCNC(=O)c1cnccn1)c1nnc2ccccn21 ZINC000550610208 1073005785 /nfs/dbraw/zinc/00/57/85/1073005785.db2.gz HZARSLSIIAZXCI-ZDUSSCGKSA-N 0 0 442.505 -0.024 20 0 IBADRN COCc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000550611268 1073005645 /nfs/dbraw/zinc/00/56/45/1073005645.db2.gz ZUXVTLBLSXHGHS-KRWDZBQOSA-N 0 0 432.521 -0.159 20 0 IBADRN COCc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000550611269 1073005802 /nfs/dbraw/zinc/00/58/02/1073005802.db2.gz ZUXVTLBLSXHGHS-QGZVFWFLSA-N 0 0 432.521 -0.159 20 0 IBADRN CCOCc1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000550615324 1073005678 /nfs/dbraw/zinc/00/56/78/1073005678.db2.gz IUJABKILUMUMRR-UHFFFAOYSA-N 0 0 444.492 -0.672 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)N2CCOCC2)o1 ZINC000550615801 1073006782 /nfs/dbraw/zinc/00/67/82/1073006782.db2.gz QGOVUILLDBDVDU-GOSISDBHSA-N 0 0 447.536 -0.500 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)N2CCOCC2)o1 ZINC000550615802 1073006551 /nfs/dbraw/zinc/00/65/51/1073006551.db2.gz QGOVUILLDBDVDU-SFHVURJKSA-N 0 0 447.536 -0.500 20 0 IBADRN Cc1cc(C)n(-c2ccc(CNC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)n1 ZINC000550615876 1073006752 /nfs/dbraw/zinc/00/67/52/1073006752.db2.gz LXFLHBCTVDENJT-UHFFFAOYSA-N 0 0 427.509 -0.092 20 0 IBADRN CCOCc1ccccc1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000550616608 1073006718 /nfs/dbraw/zinc/00/67/18/1073006718.db2.gz UOZXYNAFLXNVTD-UHFFFAOYSA-N 0 0 444.492 -0.672 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550618244 1073006837 /nfs/dbraw/zinc/00/68/37/1073006837.db2.gz LAMSOLHIHCNAEY-UHFFFAOYSA-N 0 0 449.533 -0.214 20 0 IBADRN COCCCN(C)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550618303 1073006828 /nfs/dbraw/zinc/00/68/28/1073006828.db2.gz JNWWRTKBWYRZHY-UHFFFAOYSA-N 0 0 427.523 -0.040 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)N2CCOCC2)o1 ZINC000550618963 1073006257 /nfs/dbraw/zinc/00/62/57/1073006257.db2.gz BCSRJVVJIGPCCY-GOSISDBHSA-N 0 0 449.552 -0.254 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)N2CCOCC2)o1 ZINC000550618964 1073006187 /nfs/dbraw/zinc/00/61/87/1073006187.db2.gz BCSRJVVJIGPCCY-SFHVURJKSA-N 0 0 449.552 -0.254 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@H](c1ccc(C)o1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000550619419 1073006340 /nfs/dbraw/zinc/00/63/40/1073006340.db2.gz CDAXKPMYWYTPQG-DLBZAZTESA-N 0 0 436.509 -0.169 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@H](c1ccc(C)o1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000550619420 1073006070 /nfs/dbraw/zinc/00/60/70/1073006070.db2.gz CDAXKPMYWYTPQG-IAGOWNOFSA-N 0 0 436.509 -0.169 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@@H](c1ccc(C)o1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000550619421 1073006268 /nfs/dbraw/zinc/00/62/68/1073006268.db2.gz CDAXKPMYWYTPQG-IRXDYDNUSA-N 0 0 436.509 -0.169 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@@H](c1ccc(C)o1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000550619422 1073006014 /nfs/dbraw/zinc/00/60/14/1073006014.db2.gz CDAXKPMYWYTPQG-SJORKVTESA-N 0 0 436.509 -0.169 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)CC1 ZINC000550619486 1073005809 /nfs/dbraw/zinc/00/58/09/1073005809.db2.gz DYIAXJBDEYJGFF-INIZCTEOSA-N 0 0 442.538 -0.429 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)CC1 ZINC000550619487 1073005728 /nfs/dbraw/zinc/00/57/28/1073005728.db2.gz DYIAXJBDEYJGFF-MRXNPFEDSA-N 0 0 442.538 -0.429 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550620022 1073006117 /nfs/dbraw/zinc/00/61/17/1073006117.db2.gz HMHIUGUXNHBNKD-UHFFFAOYSA-N 0 0 449.533 -0.132 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550620137 1073006106 /nfs/dbraw/zinc/00/61/06/1073006106.db2.gz VZLDWCAVSSXQAH-UHFFFAOYSA-N 0 0 435.506 -0.485 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)N2CCOCC2)o1 ZINC000550620207 1073006168 /nfs/dbraw/zinc/00/61/68/1073006168.db2.gz IBYOTOYXGYEZFC-GOSISDBHSA-N 0 0 449.552 -0.254 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)N2CCOCC2)o1 ZINC000550620208 1073006312 /nfs/dbraw/zinc/00/63/12/1073006312.db2.gz IBYOTOYXGYEZFC-SFHVURJKSA-N 0 0 449.552 -0.254 20 0 IBADRN Cc1n[nH]cc1CNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550620997 1073006212 /nfs/dbraw/zinc/00/62/12/1073006212.db2.gz ZMYKPQONZVKIPM-UHFFFAOYSA-N 0 0 435.506 -0.187 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000550621192 1073006327 /nfs/dbraw/zinc/00/63/27/1073006327.db2.gz DYQUXKMKIORKKH-UHFFFAOYSA-N 0 0 429.521 -0.167 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)CC1)NC1CC1 ZINC000550621901 1073006668 /nfs/dbraw/zinc/00/66/68/1073006668.db2.gz FPRDXMMROIIXLN-UHFFFAOYSA-N 0 0 441.532 -0.152 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000550622728 1073006813 /nfs/dbraw/zinc/00/68/13/1073006813.db2.gz MHNMSZVRDAOKKE-UHFFFAOYSA-N 0 0 436.534 -0.081 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCN(C)c3ccccc3)CC2)cn1C ZINC000550623807 1073007485 /nfs/dbraw/zinc/00/74/85/1073007485.db2.gz JERKBIOYFPZPRM-UHFFFAOYSA-N 0 0 448.549 -0.186 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000550624329 1073007611 /nfs/dbraw/zinc/00/76/11/1073007611.db2.gz POCHLUZXGWTPDE-UHFFFAOYSA-N 0 0 429.521 -0.295 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000550624547 1073006673 /nfs/dbraw/zinc/00/66/73/1073006673.db2.gz WKWAKSGNPXRSDQ-UHFFFAOYSA-N 0 0 443.503 -0.928 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000550632085 1073007656 /nfs/dbraw/zinc/00/76/56/1073007656.db2.gz BDSZRNBCEWIONC-UHFFFAOYSA-N 0 0 429.543 -0.403 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)CCc2ccccn2)cc1 ZINC000550632531 1073007554 /nfs/dbraw/zinc/00/75/54/1073007554.db2.gz MPGGFGSBZPYMEL-UHFFFAOYSA-N 0 0 426.520 -0.031 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N(C)c1ccccc1 ZINC000550633936 1073008062 /nfs/dbraw/zinc/00/80/62/1073008062.db2.gz CGWZBVPMBZXGOX-HNNXBMFYSA-N 0 0 443.508 -0.884 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)N(C)c1ccccc1 ZINC000550633937 1073008040 /nfs/dbraw/zinc/00/80/40/1073008040.db2.gz CGWZBVPMBZXGOX-OAHLLOKOSA-N 0 0 443.508 -0.884 20 0 IBADRN O=C(Nc1cn(Cc2ccccc2)nn1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550638796 1073008683 /nfs/dbraw/zinc/00/86/83/1073008683.db2.gz ASNLURKHHDHANP-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN Cc1cc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc2n(C)c1=O ZINC000550639713 1073008855 /nfs/dbraw/zinc/00/88/55/1073008855.db2.gz POASSSSHPXEHBP-UHFFFAOYSA-N 0 0 439.472 -0.265 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC000550639929 1073008845 /nfs/dbraw/zinc/00/88/45/1073008845.db2.gz UWHQLTPONRNBJW-CYBMUJFWSA-N 0 0 433.552 -0.320 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)NC[C@H](C)S(C)(=O)=O ZINC000550639930 1073008800 /nfs/dbraw/zinc/00/88/00/1073008800.db2.gz UWHQLTPONRNBJW-ZDUSSCGKSA-N 0 0 433.552 -0.320 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)NC(C)C)CCO1 ZINC000550640125 1073008526 /nfs/dbraw/zinc/00/85/26/1073008526.db2.gz WDYBYAXSZGTSBU-INIZCTEOSA-N 0 0 440.522 -0.896 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)NC(C)C)CCO1 ZINC000550640149 1073008670 /nfs/dbraw/zinc/00/86/70/1073008670.db2.gz WDYBYAXSZGTSBU-MRXNPFEDSA-N 0 0 440.522 -0.896 20 0 IBADRN CN(CC1(CO)CC1)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550640788 1073009022 /nfs/dbraw/zinc/00/90/22/1073009022.db2.gz BRVOHJUJHTXQHP-UHFFFAOYSA-N 0 0 439.534 -0.304 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000550641272 1073008786 /nfs/dbraw/zinc/00/87/86/1073008786.db2.gz HLJDFFHAYFSLFB-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000550641277 1073008655 /nfs/dbraw/zinc/00/86/55/1073008655.db2.gz HLJDFFHAYFSLFB-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)NCc1ccc(C(N)=O)nc1 ZINC000550641471 1073008606 /nfs/dbraw/zinc/00/86/06/1073008606.db2.gz GVIWKRIOEMUVLC-UHFFFAOYSA-N 0 0 447.517 -0.059 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccc(C)cc1 ZINC000550641533 1073008500 /nfs/dbraw/zinc/00/85/00/1073008500.db2.gz HMNRNHRUTALKMM-AWEZNQCLSA-N 0 0 431.536 -0.575 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccc(C)cc1 ZINC000550641534 1073008643 /nfs/dbraw/zinc/00/86/43/1073008643.db2.gz HMNRNHRUTALKMM-CQSZACIVSA-N 0 0 431.536 -0.575 20 0 IBADRN CCOCCN(C)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000550642459 1073009039 /nfs/dbraw/zinc/00/90/39/1073009039.db2.gz NURMBVGYYKXLGW-UHFFFAOYSA-N 0 0 427.523 -0.040 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccc(C)c(C)c1 ZINC000550644653 1073009349 /nfs/dbraw/zinc/00/93/49/1073009349.db2.gz FOXBPUHXFIQRPL-HNNXBMFYSA-N 0 0 445.563 -0.266 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccc(C)c(C)c1 ZINC000550644656 1073009805 /nfs/dbraw/zinc/00/98/05/1073009805.db2.gz FOXBPUHXFIQRPL-OAHLLOKOSA-N 0 0 445.563 -0.266 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CC1 ZINC000550645699 1073312284 /nfs/dbraw/zinc/31/22/84/1073312284.db2.gz ILJJQYCVTANKKW-UHFFFAOYSA-N 0 0 444.458 0.299 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCOc2cccc(C(F)(F)F)n2)CC1 ZINC000550645981 1073009923 /nfs/dbraw/zinc/00/99/23/1073009923.db2.gz RJIAMRNZOVBRTK-UHFFFAOYSA-N 0 0 431.415 -0.124 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCc2ccn(-c3ccc(F)cc3)n2)CC1 ZINC000550646025 1073009560 /nfs/dbraw/zinc/00/95/60/1073009560.db2.gz TXPBLRMZDPQMSN-UHFFFAOYSA-N 0 0 430.484 -0.050 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)cs1 ZINC000550646138 1073009591 /nfs/dbraw/zinc/00/95/91/1073009591.db2.gz XUOUOHJKECFQCU-UHFFFAOYSA-N 0 0 436.582 -0.370 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000550646415 1073009867 /nfs/dbraw/zinc/00/98/67/1073009867.db2.gz DGLMANDUJZHBBI-UHFFFAOYSA-N 0 0 428.493 -0.338 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)C(=O)Nc4nc(C)n(C)n4)CC3)n2)cc1 ZINC000550649854 1073343056 /nfs/dbraw/zinc/34/30/56/1073343056.db2.gz FRRCTLRGHCUURH-UHFFFAOYSA-N 0 0 440.464 0.465 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000550649882 1073009172 /nfs/dbraw/zinc/00/91/72/1073009172.db2.gz HQQBQTTULFFSKU-UHFFFAOYSA-N 0 0 435.462 -0.322 20 0 IBADRN COCc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc(C(=O)OC)c1 ZINC000550650949 1073009061 /nfs/dbraw/zinc/00/90/61/1073009061.db2.gz AGWQTHWXVBFRTG-UHFFFAOYSA-N 0 0 448.476 -0.247 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc(OC)c1C ZINC000550651676 1073010686 /nfs/dbraw/zinc/01/06/86/1073010686.db2.gz LFMWOPAHVYEHOJ-UHFFFAOYSA-N 0 0 434.493 -0.046 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cn(Cc3ccccc3)nn2)CC1 ZINC000550652101 1073010949 /nfs/dbraw/zinc/01/09/49/1073010949.db2.gz WEYDPHXJOIZVRH-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN(S(=O)(=O)NC(C)C)CC1 ZINC000550652255 1073010750 /nfs/dbraw/zinc/01/07/50/1073010750.db2.gz AQVXORZICAQLPL-GOSISDBHSA-N 0 0 438.550 -0.027 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN(S(=O)(=O)NC(C)C)CC1 ZINC000550652256 1073010715 /nfs/dbraw/zinc/01/07/15/1073010715.db2.gz AQVXORZICAQLPL-SFHVURJKSA-N 0 0 438.550 -0.027 20 0 IBADRN COC(=O)C(C)(C)c1csc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)n1 ZINC000550652884 1073010778 /nfs/dbraw/zinc/01/07/78/1073010778.db2.gz HRODKNTWHNQHQM-UHFFFAOYSA-N 0 0 437.522 -0.045 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000550653103 1073010970 /nfs/dbraw/zinc/01/09/70/1073010970.db2.gz XRESWZDSEHIIET-GOSISDBHSA-N 0 0 443.548 -0.443 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000550653105 1073010911 /nfs/dbraw/zinc/01/09/11/1073010911.db2.gz XRESWZDSEHIIET-SFHVURJKSA-N 0 0 443.548 -0.443 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000550653443 1073010700 /nfs/dbraw/zinc/01/07/00/1073010700.db2.gz QYDJWGJPTZBGBA-HNNXBMFYSA-N 0 0 428.449 -0.484 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000550653657 1073010788 /nfs/dbraw/zinc/01/07/88/1073010788.db2.gz QYDJWGJPTZBGBA-OAHLLOKOSA-N 0 0 428.449 -0.484 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)nn1C ZINC000550653726 1073350064 /nfs/dbraw/zinc/35/00/64/1073350064.db2.gz VNLPHKDAYJEEIY-UHFFFAOYSA-N 0 0 428.421 -0.127 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000550653768 1073011392 /nfs/dbraw/zinc/01/13/92/1073011392.db2.gz MIIHPOSAWFZSOO-FGTMMUONSA-N 0 0 448.568 -0.168 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000550653769 1073011201 /nfs/dbraw/zinc/01/12/01/1073011201.db2.gz MIIHPOSAWFZSOO-KZNAEPCWSA-N 0 0 448.568 -0.168 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000550653770 1073011332 /nfs/dbraw/zinc/01/13/32/1073011332.db2.gz MIIHPOSAWFZSOO-OKZBNKHCSA-N 0 0 448.568 -0.168 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000550653771 1073011519 /nfs/dbraw/zinc/01/15/19/1073011519.db2.gz MIIHPOSAWFZSOO-RCCFBDPRSA-N 0 0 448.568 -0.168 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3C(F)(F)F)CC2)n1 ZINC000550654235 1073010729 /nfs/dbraw/zinc/01/07/29/1073010729.db2.gz AFIGMNWWNYUXAE-UHFFFAOYSA-N 0 0 439.398 0.555 20 0 IBADRN CCCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3nc(C)n(C)n3)CC2)cc1 ZINC000550654571 1073333432 /nfs/dbraw/zinc/33/34/32/1073333432.db2.gz GWPAYMGTLMXEOY-UHFFFAOYSA-N 0 0 434.522 0.548 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN([C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000550656003 1073011466 /nfs/dbraw/zinc/01/14/66/1073011466.db2.gz BJHHCEJXKJUUQA-INIZCTEOSA-N 0 0 425.559 -0.626 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN([C@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000550656004 1073011413 /nfs/dbraw/zinc/01/14/13/1073011413.db2.gz BJHHCEJXKJUUQA-MRXNPFEDSA-N 0 0 425.559 -0.626 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000550657189 1073009818 /nfs/dbraw/zinc/00/98/18/1073009818.db2.gz MRDKCFHDKWCIRI-UHFFFAOYSA-N 0 0 434.474 -0.474 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NCCNC(=O)c2cccnc2)(N2CCOCC2)C1 ZINC000550657976 1073010389 /nfs/dbraw/zinc/01/03/89/1073010389.db2.gz XTSWKFAONDRTDC-UHFFFAOYSA-N 0 0 433.509 -0.686 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000550659718 1073011451 /nfs/dbraw/zinc/01/14/51/1073011451.db2.gz CMQJTNBBSGVALX-KRWDZBQOSA-N 0 0 427.436 -0.016 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000550659722 1073011510 /nfs/dbraw/zinc/01/15/10/1073011510.db2.gz CMQJTNBBSGVALX-QGZVFWFLSA-N 0 0 427.436 -0.016 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1CCC(O)(C(N)=O)CC1 ZINC000550659909 1073011515 /nfs/dbraw/zinc/01/15/15/1073011515.db2.gz WVPLLWSHNRYBSG-UHFFFAOYSA-N 0 0 426.495 -0.156 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCN(S(=O)(=O)NC(C)C)CC2)c1C ZINC000550660276 1073011177 /nfs/dbraw/zinc/01/11/77/1073011177.db2.gz NMJXNOJDVWVADZ-UHFFFAOYSA-N 0 0 447.583 -0.250 20 0 IBADRN Cc1sc2nc(CN3CCN(C(=O)C(=O)Nc4ncn(C)n4)CC3)[nH]c(=O)c2c1C ZINC000550661501 1073011880 /nfs/dbraw/zinc/01/18/80/1073011880.db2.gz LIGLWAVQRZVCAX-UHFFFAOYSA-N 0 0 430.494 0.425 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000550662845 1073012004 /nfs/dbraw/zinc/01/20/04/1073012004.db2.gz DYEZMGUTLJIQKK-UHFFFAOYSA-N 0 0 447.517 -0.327 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(N(C)C)nc3)CC2)cn1C ZINC000550663147 1073011707 /nfs/dbraw/zinc/01/17/07/1073011707.db2.gz GPFCENTXWSRHNY-UHFFFAOYSA-N 0 0 449.537 -0.661 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1)N1CCOCC1 ZINC000550663264 1073012071 /nfs/dbraw/zinc/01/20/71/1073012071.db2.gz QTCXQVVJNLUNIF-FXAWDEMLSA-N 0 0 428.599 -0.217 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1)N1CCOCC1 ZINC000550663269 1073011895 /nfs/dbraw/zinc/01/18/95/1073011895.db2.gz QTCXQVVJNLUNIF-PXNSSMCTSA-N 0 0 428.599 -0.217 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1)N1CCOCC1 ZINC000550663271 1073011950 /nfs/dbraw/zinc/01/19/50/1073011950.db2.gz QTCXQVVJNLUNIF-XLIONFOSSA-N 0 0 428.599 -0.217 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1)N1CCOCC1 ZINC000550663272 1073012060 /nfs/dbraw/zinc/01/20/60/1073012060.db2.gz QTCXQVVJNLUNIF-YLJYHZDGSA-N 0 0 428.599 -0.217 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCN2CCc3ccccc3C2)CC1)N1CCCC1 ZINC000550664152 1073011722 /nfs/dbraw/zinc/01/17/22/1073011722.db2.gz PSWUTAWJQYWMGF-UHFFFAOYSA-N 0 0 427.549 -0.073 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)N1CCOCC1 ZINC000550664637 1073011972 /nfs/dbraw/zinc/01/19/72/1073011972.db2.gz WHXVMRZFDVHPNG-IBGZPJMESA-N 0 0 447.584 -0.422 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)N1CCOCC1 ZINC000550664638 1073012015 /nfs/dbraw/zinc/01/20/15/1073012015.db2.gz WHXVMRZFDVHPNG-LJQANCHMSA-N 0 0 447.584 -0.422 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)c1 ZINC000550666284 1073012510 /nfs/dbraw/zinc/01/25/10/1073012510.db2.gz AXTCXEXTPNOVKX-INIZCTEOSA-N 0 0 442.490 -0.044 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000550666285 1073012490 /nfs/dbraw/zinc/01/24/90/1073012490.db2.gz AXTCXEXTPNOVKX-MRXNPFEDSA-N 0 0 442.490 -0.044 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000550666367 1073012347 /nfs/dbraw/zinc/01/23/47/1073012347.db2.gz BRBLYQUFXPZMSW-IBGZPJMESA-N 0 0 431.537 -0.544 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000550666370 1073012374 /nfs/dbraw/zinc/01/23/74/1073012374.db2.gz BRBLYQUFXPZMSW-LJQANCHMSA-N 0 0 431.537 -0.544 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000550666688 1073012415 /nfs/dbraw/zinc/01/24/15/1073012415.db2.gz HNFMXSZNTYHNQH-UHFFFAOYSA-N 0 0 447.511 -0.220 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)N2CCOCC2)c1 ZINC000550666849 1073013778 /nfs/dbraw/zinc/01/37/78/1073013778.db2.gz JQHXAHGLIWZCEK-IBGZPJMESA-N 0 0 445.564 -0.107 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)N2CCOCC2)c1 ZINC000550666851 1073013846 /nfs/dbraw/zinc/01/38/46/1073013846.db2.gz JQHXAHGLIWZCEK-LJQANCHMSA-N 0 0 445.564 -0.107 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)N2CCOCC2)c1 ZINC000550666859 1073013994 /nfs/dbraw/zinc/01/39/94/1073013994.db2.gz JYFAUYXRBVICTH-LEWJYISDSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)N2CCOCC2)c1 ZINC000550666860 1073013805 /nfs/dbraw/zinc/01/38/05/1073013805.db2.gz JYFAUYXRBVICTH-NHCUHLMSSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)N2CCOCC2)c1 ZINC000550666861 1073014066 /nfs/dbraw/zinc/01/40/66/1073014066.db2.gz JYFAUYXRBVICTH-RTWAWAEBSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)N2CCOCC2)c1 ZINC000550666862 1073013914 /nfs/dbraw/zinc/01/39/14/1073013914.db2.gz JYFAUYXRBVICTH-SFTDATJTSA-N 0 0 448.564 -0.364 20 0 IBADRN CN(C(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000550666938 1073011304 /nfs/dbraw/zinc/01/13/04/1073011304.db2.gz LYHIVGMEGISQHA-GOSISDBHSA-N 0 0 429.521 -0.079 20 0 IBADRN CN(C(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@H]1CCCN(c2ccccc2)C1=O ZINC000550666939 1073012118 /nfs/dbraw/zinc/01/21/18/1073012118.db2.gz LYHIVGMEGISQHA-SFHVURJKSA-N 0 0 429.521 -0.079 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c(N2CCOCC2)c1 ZINC000550667503 1073012525 /nfs/dbraw/zinc/01/25/25/1073012525.db2.gz SNAVEVQNDXTNIG-UHFFFAOYSA-N 0 0 431.537 -0.272 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(Cc3ccc(Cl)cc3)C(=O)C2)CC1 ZINC000550668085 1073014661 /nfs/dbraw/zinc/01/46/61/1073014661.db2.gz ZHJGTFYKDRWQLY-UHFFFAOYSA-N 0 0 449.939 -0.209 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H](c2ccccc2Cl)N2CCOCC2)CCO1 ZINC000550668557 1073014036 /nfs/dbraw/zinc/01/40/36/1073014036.db2.gz BMEZOKJPUXFTST-DLBZAZTESA-N 0 0 438.912 -0.197 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H](c2ccccc2Cl)N2CCOCC2)CCO1 ZINC000550668559 1073014029 /nfs/dbraw/zinc/01/40/29/1073014029.db2.gz BMEZOKJPUXFTST-IAGOWNOFSA-N 0 0 438.912 -0.197 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H](c2ccccc2Cl)N2CCOCC2)CCO1 ZINC000550668564 1073014355 /nfs/dbraw/zinc/01/43/55/1073014355.db2.gz BMEZOKJPUXFTST-IRXDYDNUSA-N 0 0 438.912 -0.197 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H](c2ccccc2Cl)N2CCOCC2)CCO1 ZINC000550668568 1073014350 /nfs/dbraw/zinc/01/43/50/1073014350.db2.gz BMEZOKJPUXFTST-SJORKVTESA-N 0 0 438.912 -0.197 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccncc2OCC(F)(F)F)CC1 ZINC000550668724 1073014446 /nfs/dbraw/zinc/01/44/46/1073014446.db2.gz GBWSNWFZUSVUCD-UHFFFAOYSA-N 0 0 431.415 -0.081 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)ncn1 ZINC000550669382 1073014680 /nfs/dbraw/zinc/01/46/80/1073014680.db2.gz OTWYUKYASKIPGQ-UHFFFAOYSA-N 0 0 431.541 -0.596 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3cccnc3N(C)C)CC2)no1 ZINC000550669650 1073014465 /nfs/dbraw/zinc/01/44/65/1073014465.db2.gz VHVNLQNODWUNEO-UHFFFAOYSA-N 0 0 429.481 -0.157 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)c1 ZINC000550669707 1073014411 /nfs/dbraw/zinc/01/44/11/1073014411.db2.gz WTFWDNQEQFIUFJ-GOSISDBHSA-N 0 0 438.550 -0.412 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)c1 ZINC000550669708 1073014710 /nfs/dbraw/zinc/01/47/10/1073014710.db2.gz WTFWDNQEQFIUFJ-SFHVURJKSA-N 0 0 438.550 -0.412 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccnc2N(C)C)CC1 ZINC000550670194 1073014418 /nfs/dbraw/zinc/01/44/18/1073014418.db2.gz RKAOBKUQDUDSCM-INIZCTEOSA-N 0 0 432.525 -0.845 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccnc2N(C)C)CC1 ZINC000550670196 1073014426 /nfs/dbraw/zinc/01/44/26/1073014426.db2.gz RKAOBKUQDUDSCM-MRXNPFEDSA-N 0 0 432.525 -0.845 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(F)cc(F)c3F)CC2)CC1 ZINC000550670744 1073013069 /nfs/dbraw/zinc/01/30/69/1073013069.db2.gz KMARJDDHYPFPHX-UHFFFAOYSA-N 0 0 449.455 -0.321 20 0 IBADRN Cc1onc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)c1C ZINC000550671091 1073012517 /nfs/dbraw/zinc/01/25/17/1073012517.db2.gz QMZSUOPUHAEFDO-UHFFFAOYSA-N 0 0 447.473 0.258 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CC[C@H](NC(=O)Nc3ccc(F)cc3)C2)CC1 ZINC000550671285 1073012551 /nfs/dbraw/zinc/01/25/51/1073012551.db2.gz FEJZMYALDXCOJL-KRWDZBQOSA-N 0 0 448.499 -0.172 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CC[C@@H](NC(=O)Nc3ccc(F)cc3)C2)CC1 ZINC000550671286 1073012581 /nfs/dbraw/zinc/01/25/81/1073012581.db2.gz FEJZMYALDXCOJL-QGZVFWFLSA-N 0 0 448.499 -0.172 20 0 IBADRN CN(C)c1ccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000550671538 1073012402 /nfs/dbraw/zinc/01/24/02/1073012402.db2.gz VYCCIMOGIMBEBJ-INIZCTEOSA-N 0 0 439.538 -0.583 20 0 IBADRN CN(C)c1ccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000550671543 1073012598 /nfs/dbraw/zinc/01/25/98/1073012598.db2.gz VYCCIMOGIMBEBJ-MRXNPFEDSA-N 0 0 439.538 -0.583 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000550671646 1073013017 /nfs/dbraw/zinc/01/30/17/1073013017.db2.gz KIMFMPVNGPOZME-UHFFFAOYSA-N 0 0 431.537 -0.380 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000550671899 1073012976 /nfs/dbraw/zinc/01/29/76/1073012976.db2.gz NGXMEZVDKYIOFS-UHFFFAOYSA-N 0 0 434.493 -0.329 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000550671965 1073012870 /nfs/dbraw/zinc/01/28/70/1073012870.db2.gz PLZARPBAWBNWQF-INIZCTEOSA-N 0 0 438.550 -0.446 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000550671966 1073012955 /nfs/dbraw/zinc/01/29/55/1073012955.db2.gz PLZARPBAWBNWQF-MRXNPFEDSA-N 0 0 438.550 -0.446 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1)c1nnc2n1CCC2 ZINC000550673119 1073012997 /nfs/dbraw/zinc/01/29/97/1073012997.db2.gz NNKKSHIRDPTIGW-CVEARBPZSA-N 0 0 431.541 -0.053 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1)c1nnc2n1CCC2 ZINC000550673120 1073012987 /nfs/dbraw/zinc/01/29/87/1073012987.db2.gz NNKKSHIRDPTIGW-HOTGVXAUSA-N 0 0 431.541 -0.053 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1)c1nnc2n1CCC2 ZINC000550673121 1073013051 /nfs/dbraw/zinc/01/30/51/1073013051.db2.gz NNKKSHIRDPTIGW-HZPDHXFCSA-N 0 0 431.541 -0.053 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1)c1nnc2n1CCC2 ZINC000550673122 1073012834 /nfs/dbraw/zinc/01/28/34/1073012834.db2.gz NNKKSHIRDPTIGW-JKSUJKDBSA-N 0 0 431.541 -0.053 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H](C)c2nnc3n2CCC3)CC1 ZINC000550675281 1073013586 /nfs/dbraw/zinc/01/35/86/1073013586.db2.gz GJJQABOINTYCBS-CABCVRRESA-N 0 0 435.529 -0.913 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnc3n2CCC3)CC1 ZINC000550675282 1073013339 /nfs/dbraw/zinc/01/33/39/1073013339.db2.gz GJJQABOINTYCBS-GJZGRUSLSA-N 0 0 435.529 -0.913 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H](C)c2nnc3n2CCC3)CC1 ZINC000550675283 1073013524 /nfs/dbraw/zinc/01/35/24/1073013524.db2.gz GJJQABOINTYCBS-HUUCEWRRSA-N 0 0 435.529 -0.913 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnc3n2CCC3)CC1 ZINC000550675284 1073013557 /nfs/dbraw/zinc/01/35/57/1073013557.db2.gz GJJQABOINTYCBS-LSDHHAIUSA-N 0 0 435.529 -0.913 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(N(C)C)nc2)CC1 ZINC000550675388 1073013352 /nfs/dbraw/zinc/01/33/52/1073013352.db2.gz UICHDMIJJYRFKB-UHFFFAOYSA-N 0 0 441.554 -0.337 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2=O)c1 ZINC000550675581 1073013403 /nfs/dbraw/zinc/01/34/03/1073013403.db2.gz WFHICWFYVUAYLX-HNNXBMFYSA-N 0 0 431.493 -0.803 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2=O)c1 ZINC000550675582 1073013364 /nfs/dbraw/zinc/01/33/64/1073013364.db2.gz WFHICWFYVUAYLX-OAHLLOKOSA-N 0 0 431.493 -0.803 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)c(C)o1 ZINC000550677467 1073013970 /nfs/dbraw/zinc/01/39/70/1073013970.db2.gz SDFKBVYMCMNTFV-UHFFFAOYSA-N 0 0 433.509 -0.539 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)c1nnc2n1CCC2 ZINC000550677549 1073013286 /nfs/dbraw/zinc/01/32/86/1073013286.db2.gz VKRUKUQDCQAWTJ-INIZCTEOSA-N 0 0 431.541 -0.052 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)c1nnc2n1CCC2 ZINC000550677550 1073013835 /nfs/dbraw/zinc/01/38/35/1073013835.db2.gz VKRUKUQDCQAWTJ-MRXNPFEDSA-N 0 0 431.541 -0.052 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000550678044 1073014475 /nfs/dbraw/zinc/01/44/75/1073014475.db2.gz ZEZAWEIXRKGCQX-IBGZPJMESA-N 0 0 449.527 -0.405 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000550678045 1073014585 /nfs/dbraw/zinc/01/45/85/1073014585.db2.gz ZEZAWEIXRKGCQX-LJQANCHMSA-N 0 0 449.527 -0.405 20 0 IBADRN CCCNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000550681012 1073015044 /nfs/dbraw/zinc/01/50/44/1073015044.db2.gz LUOMFPKIGQAGAJ-UHFFFAOYSA-N 0 0 440.504 -0.115 20 0 IBADRN CCCNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000550684629 1073015056 /nfs/dbraw/zinc/01/50/56/1073015056.db2.gz PUPRJXSTUOIOBF-UHFFFAOYSA-N 0 0 443.504 -0.608 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000550685176 1073015397 /nfs/dbraw/zinc/01/53/97/1073015397.db2.gz KSHXNQCCJTZDDI-UHFFFAOYSA-N 0 0 446.489 -0.245 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)cs1 ZINC000550686612 1073015837 /nfs/dbraw/zinc/01/58/37/1073015837.db2.gz IFULXKZYTISRIT-CYBMUJFWSA-N 0 0 445.567 -0.879 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)cs1 ZINC000550686613 1073015847 /nfs/dbraw/zinc/01/58/47/1073015847.db2.gz IFULXKZYTISRIT-ZDUSSCGKSA-N 0 0 445.567 -0.879 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000550687036 1073015961 /nfs/dbraw/zinc/01/59/61/1073015961.db2.gz NYCMVJRQWJXCQC-GOSISDBHSA-N 0 0 437.585 -0.241 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000550687037 1073015857 /nfs/dbraw/zinc/01/58/57/1073015857.db2.gz NYCMVJRQWJXCQC-SFHVURJKSA-N 0 0 437.585 -0.241 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)CC1 ZINC000550687471 1073015975 /nfs/dbraw/zinc/01/59/75/1073015975.db2.gz YOOIFKLEOOPRJZ-GOSISDBHSA-N 0 0 437.585 -0.384 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)CC1 ZINC000550687472 1073015905 /nfs/dbraw/zinc/01/59/05/1073015905.db2.gz YOOIFKLEOOPRJZ-SFHVURJKSA-N 0 0 437.585 -0.384 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)s1 ZINC000550688122 1073015992 /nfs/dbraw/zinc/01/59/92/1073015992.db2.gz DNVSMXRIDCIXEB-AWEZNQCLSA-N 0 0 439.538 -0.195 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)s1 ZINC000550688123 1073015934 /nfs/dbraw/zinc/01/59/34/1073015934.db2.gz DNVSMXRIDCIXEB-CQSZACIVSA-N 0 0 439.538 -0.195 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000550689154 1073015950 /nfs/dbraw/zinc/01/59/50/1073015950.db2.gz NOYCFAZJVVEPKC-UHFFFAOYSA-N 0 0 426.477 -0.796 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H](C)CN2CCOCC2)c1 ZINC000550690019 1073015728 /nfs/dbraw/zinc/01/57/28/1073015728.db2.gz ZZVTYSGTHWRVHX-HNNXBMFYSA-N 0 0 442.538 -0.936 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H](C)CN2CCOCC2)c1 ZINC000550690023 1073016490 /nfs/dbraw/zinc/01/64/90/1073016490.db2.gz ZZVTYSGTHWRVHX-OAHLLOKOSA-N 0 0 442.538 -0.936 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000550690545 1073015966 /nfs/dbraw/zinc/01/59/66/1073015966.db2.gz MPRITAGHADVKOY-UHFFFAOYSA-N 0 0 442.563 -0.501 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N(C)C)cc2)CC1 ZINC000550690629 1073015824 /nfs/dbraw/zinc/01/58/24/1073015824.db2.gz OOHAUFJWURLEEL-HNNXBMFYSA-N 0 0 429.521 -0.184 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N(C)C)cc2)CC1 ZINC000550690630 1073015998 /nfs/dbraw/zinc/01/59/98/1073015998.db2.gz OOHAUFJWURLEEL-OAHLLOKOSA-N 0 0 429.521 -0.184 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)c1 ZINC000550691660 1073016508 /nfs/dbraw/zinc/01/65/08/1073016508.db2.gz IJZIGGYMDKVSGD-HNNXBMFYSA-N 0 0 434.522 -0.270 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)c1 ZINC000550691661 1073016348 /nfs/dbraw/zinc/01/63/48/1073016348.db2.gz IJZIGGYMDKVSGD-OAHLLOKOSA-N 0 0 434.522 -0.270 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000550691712 1073015376 /nfs/dbraw/zinc/01/53/76/1073015376.db2.gz JYCXHECMHQFLOU-GOSISDBHSA-N 0 0 434.537 -0.149 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000550691713 1073015438 /nfs/dbraw/zinc/01/54/38/1073015438.db2.gz JYCXHECMHQFLOU-SFHVURJKSA-N 0 0 434.537 -0.149 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000550691767 1073015407 /nfs/dbraw/zinc/01/54/07/1073015407.db2.gz LEBMRLHPHNKUNC-INIZCTEOSA-N 0 0 442.520 -0.184 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000550691768 1073015554 /nfs/dbraw/zinc/01/55/54/1073015554.db2.gz LEBMRLHPHNKUNC-MRXNPFEDSA-N 0 0 442.520 -0.184 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CC1 ZINC000550693030 1073016463 /nfs/dbraw/zinc/01/64/63/1073016463.db2.gz VFYKBSDJGFAYGK-BXWFABGCSA-N 0 0 434.541 -0.375 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CC1 ZINC000550693031 1073016314 /nfs/dbraw/zinc/01/63/14/1073016314.db2.gz VFYKBSDJGFAYGK-FCEWJHQRSA-N 0 0 434.541 -0.375 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000550693032 1073016497 /nfs/dbraw/zinc/01/64/97/1073016497.db2.gz VFYKBSDJGFAYGK-FRQCXROJSA-N 0 0 434.541 -0.375 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000550693033 1073016481 /nfs/dbraw/zinc/01/64/81/1073016481.db2.gz VFYKBSDJGFAYGK-TXPKVOOTSA-N 0 0 434.541 -0.375 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc(OC)c1C ZINC000550693757 1073016844 /nfs/dbraw/zinc/01/68/44/1073016844.db2.gz UNUNHKBJVFKPNF-UHFFFAOYSA-N 0 0 446.460 -0.229 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(Cc3cc(C)no3)CC2)c1 ZINC000550694087 1073016948 /nfs/dbraw/zinc/01/69/48/1073016948.db2.gz CCGZLKKWTANUHQ-UHFFFAOYSA-N 0 0 435.506 -0.148 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000550694362 1073016785 /nfs/dbraw/zinc/01/67/85/1073016785.db2.gz XUSOFYHDPUQABL-HNNXBMFYSA-N 0 0 436.534 -0.573 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000550694363 1073016959 /nfs/dbraw/zinc/01/69/59/1073016959.db2.gz XUSOFYHDPUQABL-OAHLLOKOSA-N 0 0 436.534 -0.573 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCOCC3(CCOCC3)C2)c1 ZINC000550694713 1073016918 /nfs/dbraw/zinc/01/69/18/1073016918.db2.gz MRDHEWQNUUBYEY-UHFFFAOYSA-N 0 0 425.507 -0.134 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1 ZINC000550695491 1073016956 /nfs/dbraw/zinc/01/69/56/1073016956.db2.gz CCTCCPHWSAZYIQ-LBPRGKRZSA-N 0 0 441.428 -0.655 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c1 ZINC000550695957 1073016926 /nfs/dbraw/zinc/01/69/26/1073016926.db2.gz WBNKVPMLWBTUQG-AWEZNQCLSA-N 0 0 440.522 -0.693 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c1 ZINC000550695959 1073016894 /nfs/dbraw/zinc/01/68/94/1073016894.db2.gz WBNKVPMLWBTUQG-CQSZACIVSA-N 0 0 440.522 -0.693 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)c1 ZINC000550697745 1073017416 /nfs/dbraw/zinc/01/74/16/1073017416.db2.gz RZXYKCCWGNJKQZ-UHFFFAOYSA-N 0 0 432.506 -0.342 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000550698185 1073017359 /nfs/dbraw/zinc/01/73/59/1073017359.db2.gz YZTAGSWVHASWJU-IBGZPJMESA-N 0 0 444.532 -0.044 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000550698187 1073017284 /nfs/dbraw/zinc/01/72/84/1073017284.db2.gz YZTAGSWVHASWJU-LJQANCHMSA-N 0 0 444.532 -0.044 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)c1 ZINC000550698516 1073016828 /nfs/dbraw/zinc/01/68/28/1073016828.db2.gz ZVYUNAFZBCGUSD-HNNXBMFYSA-N 0 0 434.522 -0.270 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)c1 ZINC000550698517 1073016760 /nfs/dbraw/zinc/01/67/60/1073016760.db2.gz ZVYUNAFZBCGUSD-OAHLLOKOSA-N 0 0 434.522 -0.270 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCCN(c3cccnn3)CC2)c1 ZINC000550698986 1073017306 /nfs/dbraw/zinc/01/73/06/1073017306.db2.gz CXVRFYRUYILWSC-UHFFFAOYSA-N 0 0 432.506 -0.260 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000550699351 1073017396 /nfs/dbraw/zinc/01/73/96/1073017396.db2.gz MUULDXXBGSTBOJ-KRWDZBQOSA-N 0 0 437.518 -0.189 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000550699352 1073017337 /nfs/dbraw/zinc/01/73/37/1073017337.db2.gz MUULDXXBGSTBOJ-QGZVFWFLSA-N 0 0 437.518 -0.189 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1=O ZINC000550699513 1073017430 /nfs/dbraw/zinc/01/74/30/1073017430.db2.gz XKAFKACLYDBUSB-UHFFFAOYSA-N 0 0 446.504 -0.518 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000550701102 1073016418 /nfs/dbraw/zinc/01/64/18/1073016418.db2.gz IIVCVTXVBBZFCM-UHFFFAOYSA-N 0 0 428.536 -0.244 20 0 IBADRN O=C(NCc1ccnc(N2CCCC2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550701436 1073016447 /nfs/dbraw/zinc/01/64/47/1073016447.db2.gz NNTMATLOPRAFIJ-UHFFFAOYSA-N 0 0 428.493 -0.753 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1)N1CCCC1 ZINC000550702200 1073017927 /nfs/dbraw/zinc/01/79/27/1073017927.db2.gz IIMUQTUWCGUYAK-UHFFFAOYSA-N 0 0 445.520 -0.233 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1 ZINC000550705079 1073308200 /nfs/dbraw/zinc/30/82/00/1073308200.db2.gz MEFZICPHIBDRKI-UHFFFAOYSA-N 0 0 442.476 0.341 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)C1 ZINC000550705902 1073017669 /nfs/dbraw/zinc/01/76/69/1073017669.db2.gz TZPPARPATTXMEN-INIZCTEOSA-N 0 0 440.522 -0.699 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)C1 ZINC000550705907 1073017629 /nfs/dbraw/zinc/01/76/29/1073017629.db2.gz TZPPARPATTXMEN-MRXNPFEDSA-N 0 0 440.522 -0.699 20 0 IBADRN O=C(CN1CCC(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)CC1)Nc1ccccc1 ZINC000550708982 1073017825 /nfs/dbraw/zinc/01/78/25/1073017825.db2.gz FBDQSRCUBCCNGW-IBGZPJMESA-N 0 0 429.521 -0.251 20 0 IBADRN O=C(CN1CCC(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)CC1)Nc1ccccc1 ZINC000550708983 1073017767 /nfs/dbraw/zinc/01/77/67/1073017767.db2.gz FBDQSRCUBCCNGW-LJQANCHMSA-N 0 0 429.521 -0.251 20 0 IBADRN CCN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)[C@H](C)CS(C)(=O)=O ZINC000550709352 1073017655 /nfs/dbraw/zinc/01/76/55/1073017655.db2.gz PYTUSWQWFSCYCU-CYBMUJFWSA-N 0 0 433.552 -0.165 20 0 IBADRN CCN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)[C@@H](C)CS(C)(=O)=O ZINC000550709353 1073017935 /nfs/dbraw/zinc/01/79/35/1073017935.db2.gz PYTUSWQWFSCYCU-ZDUSSCGKSA-N 0 0 433.552 -0.165 20 0 IBADRN CN(C)C(=O)C[C@@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000550710265 1073017794 /nfs/dbraw/zinc/01/77/94/1073017794.db2.gz KHSDBRFMNRLVLC-GOSISDBHSA-N 0 0 445.520 -0.081 20 0 IBADRN CN(C)C(=O)C[C@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000550710267 1073018324 /nfs/dbraw/zinc/01/83/24/1073018324.db2.gz KHSDBRFMNRLVLC-SFHVURJKSA-N 0 0 445.520 -0.081 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000550711099 1073017404 /nfs/dbraw/zinc/01/74/04/1073017404.db2.gz XFDNRTRTQAYNNY-HNNXBMFYSA-N 0 0 437.522 -0.384 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000550711100 1073017220 /nfs/dbraw/zinc/01/72/20/1073017220.db2.gz XFDNRTRTQAYNNY-OAHLLOKOSA-N 0 0 437.522 -0.384 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NC1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000550711631 1073018347 /nfs/dbraw/zinc/01/83/47/1073018347.db2.gz DKIXSOPWYMRZQE-UHFFFAOYSA-N 0 0 432.525 -0.065 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000550712154 1073018250 /nfs/dbraw/zinc/01/82/50/1073018250.db2.gz JIYQWPGCUZWCBG-INIZCTEOSA-N 0 0 438.550 -0.266 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000550712155 1073018192 /nfs/dbraw/zinc/01/81/92/1073018192.db2.gz JIYQWPGCUZWCBG-MRXNPFEDSA-N 0 0 438.550 -0.266 20 0 IBADRN O=C(NCCCN1CCc2ccccc21)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000550713614 1073018096 /nfs/dbraw/zinc/01/80/96/1073018096.db2.gz HWNCLYLDQAKTFO-UHFFFAOYSA-N 0 0 435.506 -0.221 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000550714809 1073018722 /nfs/dbraw/zinc/01/87/22/1073018722.db2.gz BETYNIDTZPULNJ-CVEARBPZSA-N 0 0 443.522 -0.510 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000550714810 1073018715 /nfs/dbraw/zinc/01/87/15/1073018715.db2.gz BETYNIDTZPULNJ-HOTGVXAUSA-N 0 0 443.522 -0.510 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000550714811 1073018653 /nfs/dbraw/zinc/01/86/53/1073018653.db2.gz BETYNIDTZPULNJ-HZPDHXFCSA-N 0 0 443.522 -0.510 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000550714812 1073018763 /nfs/dbraw/zinc/01/87/63/1073018763.db2.gz BETYNIDTZPULNJ-JKSUJKDBSA-N 0 0 443.522 -0.510 20 0 IBADRN Cc1c(NC(=O)C(=O)NCC(=O)NCCO)nnn1Cc1ccc(C(F)(F)F)cc1 ZINC000550718365 1073018151 /nfs/dbraw/zinc/01/81/51/1073018151.db2.gz IGRDDKWGVFQMNK-UHFFFAOYSA-N 0 0 428.371 -0.183 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCc1ccc(O)cc1 ZINC000550724173 1073018683 /nfs/dbraw/zinc/01/86/83/1073018683.db2.gz LIIOEGJFQPFDOA-UHFFFAOYSA-N 0 0 447.517 -0.328 20 0 IBADRN O=C(NCCc1ccccc1Cl)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000550724293 1073018705 /nfs/dbraw/zinc/01/87/05/1073018705.db2.gz QNKLJQZCFVICGA-UHFFFAOYSA-N 0 0 436.896 -0.472 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000550724346 1073018733 /nfs/dbraw/zinc/01/87/33/1073018733.db2.gz AUJUOBSJUWOJRH-UHFFFAOYSA-N 0 0 440.478 -0.570 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccc(C(=O)N(C)C)c2)CC1 ZINC000550725647 1073018890 /nfs/dbraw/zinc/01/88/90/1073018890.db2.gz UIOCBRYALJWFDW-HNNXBMFYSA-N 0 0 429.521 -0.184 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccc(C(=O)N(C)C)c2)CC1 ZINC000550725648 1073018805 /nfs/dbraw/zinc/01/88/05/1073018805.db2.gz UIOCBRYALJWFDW-OAHLLOKOSA-N 0 0 429.521 -0.184 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)c1 ZINC000550726938 1073019196 /nfs/dbraw/zinc/01/91/96/1073019196.db2.gz KRNORPZXALIINZ-INIZCTEOSA-N 0 0 429.521 -0.183 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)c1 ZINC000550726939 1073019161 /nfs/dbraw/zinc/01/91/61/1073019161.db2.gz KRNORPZXALIINZ-MRXNPFEDSA-N 0 0 429.521 -0.183 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)N1CCOCC1 ZINC000550728452 1073358995 /nfs/dbraw/zinc/35/89/95/1073358995.db2.gz WYYQPFLKQIIYOS-HNNXBMFYSA-N 0 0 439.586 0.022 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)N1CCOCC1 ZINC000550728453 1073019121 /nfs/dbraw/zinc/01/91/21/1073019121.db2.gz WYYQPFLKQIIYOS-OAHLLOKOSA-N 0 0 439.586 0.022 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550729659 1073019238 /nfs/dbraw/zinc/01/92/38/1073019238.db2.gz CHDLIOHGOPFNKE-AWEZNQCLSA-N 0 0 446.957 -0.086 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550729660 1073019097 /nfs/dbraw/zinc/01/90/97/1073019097.db2.gz CHDLIOHGOPFNKE-CQSZACIVSA-N 0 0 446.957 -0.086 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NC1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000550729982 1073019282 /nfs/dbraw/zinc/01/92/82/1073019282.db2.gz HUPQXKGEZZEDEN-UHFFFAOYSA-N 0 0 431.537 -0.004 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000550730102 1073019205 /nfs/dbraw/zinc/01/92/05/1073019205.db2.gz YUIJFVWDHZCQRN-UHFFFAOYSA-N 0 0 442.563 -0.501 20 0 IBADRN COCCN(C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@H]1CCOC1 ZINC000550730273 1073019184 /nfs/dbraw/zinc/01/91/84/1073019184.db2.gz JXJWYHQUJXAXMM-AWEZNQCLSA-N 0 0 433.914 -0.002 20 0 IBADRN COCCN(C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@@H]1CCOC1 ZINC000550730274 1073019212 /nfs/dbraw/zinc/01/92/12/1073019212.db2.gz JXJWYHQUJXAXMM-CQSZACIVSA-N 0 0 433.914 -0.002 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(O)cc3)CC2)C[C@H](C)O1 ZINC000550730451 1073019152 /nfs/dbraw/zinc/01/91/52/1073019152.db2.gz AOEXAUHFUDAMLR-GASCZTMLSA-N 0 0 440.522 -0.493 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(O)cc3)CC2)C[C@H](C)O1 ZINC000550730459 1073019247 /nfs/dbraw/zinc/01/92/47/1073019247.db2.gz AOEXAUHFUDAMLR-GJZGRUSLSA-N 0 0 440.522 -0.493 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(O)cc3)CC2)C[C@@H](C)O1 ZINC000550730460 1073019170 /nfs/dbraw/zinc/01/91/70/1073019170.db2.gz AOEXAUHFUDAMLR-HUUCEWRRSA-N 0 0 440.522 -0.493 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000550730654 1073019307 /nfs/dbraw/zinc/01/93/07/1073019307.db2.gz QISHLTVNGUQRPN-UHFFFAOYSA-N 0 0 448.505 -0.838 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000550731463 1073019273 /nfs/dbraw/zinc/01/92/73/1073019273.db2.gz VKEMHKMTMHVHER-UHFFFAOYSA-N 0 0 433.914 -0.266 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)c1nnc2n1CCCCC2 ZINC000550733891 1073019554 /nfs/dbraw/zinc/01/95/54/1073019554.db2.gz FSSZSCIJDZTFLX-MRXNPFEDSA-N 0 0 441.540 -0.090 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000550734102 1073019763 /nfs/dbraw/zinc/01/97/63/1073019763.db2.gz AXSLFKCNCFZJPZ-BEFAXECRSA-N 0 0 449.533 -0.131 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)[C@@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000550734103 1073019740 /nfs/dbraw/zinc/01/97/40/1073019740.db2.gz AXSLFKCNCFZJPZ-DNVCBOLYSA-N 0 0 449.533 -0.131 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000550734104 1073019588 /nfs/dbraw/zinc/01/95/88/1073019588.db2.gz AXSLFKCNCFZJPZ-HNAYVOBHSA-N 0 0 449.533 -0.131 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000550734105 1073019732 /nfs/dbraw/zinc/01/97/32/1073019732.db2.gz AXSLFKCNCFZJPZ-KXBFYZLASA-N 0 0 449.533 -0.131 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000550734988 1073019561 /nfs/dbraw/zinc/01/95/61/1073019561.db2.gz ZOFCWICUTWJXKI-UHFFFAOYSA-N 0 0 448.567 -0.659 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1cccnc1N1CCOCC1 ZINC000550735013 1073020051 /nfs/dbraw/zinc/02/00/51/1073020051.db2.gz ARGDWWYASCPQIM-UHFFFAOYSA-N 0 0 447.517 -0.371 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN(c3nccn4cnnc34)[C@@H](C)C1)C2 ZINC000550736185 1073020048 /nfs/dbraw/zinc/02/00/48/1073020048.db2.gz BGXRGMSTTNAACL-BBRMVZONSA-N 0 0 435.492 -0.066 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN(c3nccn4cnnc34)[C@H](C)C1)C2 ZINC000550736186 1073020081 /nfs/dbraw/zinc/02/00/81/1073020081.db2.gz BGXRGMSTTNAACL-CJNGLKHVSA-N 0 0 435.492 -0.066 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN(c3nccn4cnnc34)[C@H](C)C1)C2 ZINC000550736188 1073020039 /nfs/dbraw/zinc/02/00/39/1073020039.db2.gz BGXRGMSTTNAACL-CZUORRHYSA-N 0 0 435.492 -0.066 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN(c3nccn4cnnc34)[C@@H](C)C1)C2 ZINC000550736190 1073020032 /nfs/dbraw/zinc/02/00/32/1073020032.db2.gz BGXRGMSTTNAACL-XJKSGUPXSA-N 0 0 435.492 -0.066 20 0 IBADRN CCOCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000550736333 1073020159 /nfs/dbraw/zinc/02/01/59/1073020159.db2.gz PIMPWCFDDWUCSI-HOTGVXAUSA-N 0 0 434.559 -0.583 20 0 IBADRN CCOCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000550736334 1073020276 /nfs/dbraw/zinc/02/02/76/1073020276.db2.gz PIMPWCFDDWUCSI-HZPDHXFCSA-N 0 0 434.559 -0.583 20 0 IBADRN CCOCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000550736335 1073020171 /nfs/dbraw/zinc/02/01/71/1073020171.db2.gz PIMPWCFDDWUCSI-IYBDPMFKSA-N 0 0 434.559 -0.583 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000550736614 1073020091 /nfs/dbraw/zinc/02/00/91/1073020091.db2.gz MDBFAUWDBFRBAI-KRWDZBQOSA-N 0 0 438.550 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000550736616 1073020290 /nfs/dbraw/zinc/02/02/90/1073020290.db2.gz MDBFAUWDBFRBAI-QGZVFWFLSA-N 0 0 438.550 -0.124 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1)N1CCOCC1 ZINC000550736718 1073020059 /nfs/dbraw/zinc/02/00/59/1073020059.db2.gz AEMBGSIEGNXNLW-GOSISDBHSA-N 0 0 434.541 -0.152 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1)N1CCOCC1 ZINC000550736719 1073020200 /nfs/dbraw/zinc/02/02/00/1073020200.db2.gz AEMBGSIEGNXNLW-SFHVURJKSA-N 0 0 434.541 -0.152 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)[C@H](C)C1=O ZINC000550737168 1073020556 /nfs/dbraw/zinc/02/05/56/1073020556.db2.gz JFOXMPMKKVLNQS-GFCCVEGCSA-N 0 0 430.914 -0.186 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)[C@@H](C)C1=O ZINC000550737169 1073020665 /nfs/dbraw/zinc/02/06/65/1073020665.db2.gz JFOXMPMKKVLNQS-LBPRGKRZSA-N 0 0 430.914 -0.186 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550737356 1073020649 /nfs/dbraw/zinc/02/06/49/1073020649.db2.gz NAIJNLFPXNLFRR-CYBMUJFWSA-N 0 0 432.930 -0.429 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550737357 1073020467 /nfs/dbraw/zinc/02/04/67/1073020467.db2.gz NAIJNLFPXNLFRR-ZDUSSCGKSA-N 0 0 432.930 -0.429 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(c2cnccn2)CC1 ZINC000550737547 1073020137 /nfs/dbraw/zinc/02/01/37/1073020137.db2.gz UYHARONSJWXZTF-UHFFFAOYSA-N 0 0 432.506 -0.308 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000550737861 1073020528 /nfs/dbraw/zinc/02/05/28/1073020528.db2.gz REJKMUNSHCJLHC-GOSISDBHSA-N 0 0 442.925 -0.137 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000550737862 1073020604 /nfs/dbraw/zinc/02/06/04/1073020604.db2.gz REJKMUNSHCJLHC-SFHVURJKSA-N 0 0 442.925 -0.137 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)c1 ZINC000550737916 1073020616 /nfs/dbraw/zinc/02/06/16/1073020616.db2.gz JXORUEAJIHMMFF-UHFFFAOYSA-N 0 0 429.521 -0.052 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)ccn1 ZINC000550738292 1073020590 /nfs/dbraw/zinc/02/05/90/1073020590.db2.gz WZCRGIZQEGSKGM-UHFFFAOYSA-N 0 0 428.511 -0.395 20 0 IBADRN COCCCCNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550739789 1073021074 /nfs/dbraw/zinc/02/10/74/1073021074.db2.gz KYNMDNRRGSMHFZ-UHFFFAOYSA-N 0 0 427.527 -0.808 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1)N1CCOCC1 ZINC000550740799 1073021047 /nfs/dbraw/zinc/02/10/47/1073021047.db2.gz MPDLEEKBFBTHBF-IBGZPJMESA-N 0 0 439.601 -0.092 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1)N1CCOCC1 ZINC000550740800 1073021061 /nfs/dbraw/zinc/02/10/61/1073021061.db2.gz MPDLEEKBFBTHBF-LJQANCHMSA-N 0 0 439.601 -0.092 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)c1 ZINC000550740805 1073020927 /nfs/dbraw/zinc/02/09/27/1073020927.db2.gz MUOQRJNLSFVEEL-UHFFFAOYSA-N 0 0 432.502 -0.200 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@H](C)O1 ZINC000550741017 1073020979 /nfs/dbraw/zinc/02/09/79/1073020979.db2.gz QOULRTBJSUWNSQ-IYBDPMFKSA-N 0 0 426.539 -0.351 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000550741200 1073020907 /nfs/dbraw/zinc/02/09/07/1073020907.db2.gz VCTNLJNTSSTULR-KRWDZBQOSA-N 0 0 445.501 -0.212 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000550741201 1073020954 /nfs/dbraw/zinc/02/09/54/1073020954.db2.gz VCTNLJNTSSTULR-QGZVFWFLSA-N 0 0 445.501 -0.212 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)n1C ZINC000550741227 1073020881 /nfs/dbraw/zinc/02/08/81/1073020881.db2.gz WCVBGBIJXANMDR-UHFFFAOYSA-N 0 0 442.929 -0.150 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550742485 1073020931 /nfs/dbraw/zinc/02/09/31/1073020931.db2.gz BVNSKGBZRBAGPF-LLVKDONJSA-N 0 0 426.886 -0.071 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000550742492 1073021032 /nfs/dbraw/zinc/02/10/32/1073021032.db2.gz BVNSKGBZRBAGPF-NSHDSACASA-N 0 0 426.886 -0.071 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2nnn(-c3ccn(C)n3)c2C)c1 ZINC000550742500 1073020895 /nfs/dbraw/zinc/02/08/95/1073020895.db2.gz CGIOEJUFINXECZ-UHFFFAOYSA-N 0 0 432.466 -0.414 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)n[nH]1 ZINC000550744104 1073021417 /nfs/dbraw/zinc/02/14/17/1073021417.db2.gz PTLRTZIMWMOZOG-UHFFFAOYSA-N 0 0 434.522 -0.102 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000550746584 1073021308 /nfs/dbraw/zinc/02/13/08/1073021308.db2.gz STMCSFJOLOWOPH-GFCCVEGCSA-N 0 0 445.929 -0.359 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000550746588 1073021404 /nfs/dbraw/zinc/02/14/04/1073021404.db2.gz STMCSFJOLOWOPH-LBPRGKRZSA-N 0 0 445.929 -0.359 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)[C@H](C)C1 ZINC000550746848 1073021780 /nfs/dbraw/zinc/02/17/80/1073021780.db2.gz WGIMZLAUVKXHBY-GFCCVEGCSA-N 0 0 430.914 -0.186 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)[C@@H](C)C1 ZINC000550746849 1073021850 /nfs/dbraw/zinc/02/18/50/1073021850.db2.gz WGIMZLAUVKXHBY-LBPRGKRZSA-N 0 0 430.914 -0.186 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000550746985 1073021744 /nfs/dbraw/zinc/02/17/44/1073021744.db2.gz ZHFRKUCAJMVXMT-HNNXBMFYSA-N 0 0 446.551 -0.990 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000550746989 1073021785 /nfs/dbraw/zinc/02/17/85/1073021785.db2.gz ZHFRKUCAJMVXMT-OAHLLOKOSA-N 0 0 446.551 -0.990 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)N3CCO[C@H](C(N)=O)C3)c2)ccn1 ZINC000550749585 1073021469 /nfs/dbraw/zinc/02/14/69/1073021469.db2.gz OLICFDAYGMCXNJ-INIZCTEOSA-N 0 0 427.417 -0.115 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)N3CCO[C@@H](C(N)=O)C3)c2)ccn1 ZINC000550749586 1073021319 /nfs/dbraw/zinc/02/13/19/1073021319.db2.gz OLICFDAYGMCXNJ-MRXNPFEDSA-N 0 0 427.417 -0.115 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN(c2nccc(OC)n2)CC1 ZINC000550751066 1073021765 /nfs/dbraw/zinc/02/17/65/1073021765.db2.gz GVSBTOQMUCWSKQ-UHFFFAOYSA-N 0 0 430.465 -0.130 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000550751211 1073021844 /nfs/dbraw/zinc/02/18/44/1073021844.db2.gz YUHGQUALEDRXPM-UHFFFAOYSA-N 0 0 445.563 -0.143 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cn1 ZINC000550751994 1073022422 /nfs/dbraw/zinc/02/24/22/1073022422.db2.gz WACIJCNIGJXDNH-HNNXBMFYSA-N 0 0 428.511 -0.348 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cn1 ZINC000550751995 1073022554 /nfs/dbraw/zinc/02/25/54/1073022554.db2.gz WACIJCNIGJXDNH-OAHLLOKOSA-N 0 0 428.511 -0.348 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000550752374 1073021372 /nfs/dbraw/zinc/02/13/72/1073021372.db2.gz IYFSDOPYFZQYJW-UHFFFAOYSA-N 0 0 447.536 -0.120 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(OCCOc3ccccc3)nc2)CCO1 ZINC000550753012 1073021791 /nfs/dbraw/zinc/02/17/91/1073021791.db2.gz DBHBZJLRUMFINA-KRWDZBQOSA-N 0 0 428.445 -0.132 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(OCCOc3ccccc3)nc2)CCO1 ZINC000550753013 1073021706 /nfs/dbraw/zinc/02/17/06/1073021706.db2.gz DBHBZJLRUMFINA-QGZVFWFLSA-N 0 0 428.445 -0.132 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000550753075 1073021688 /nfs/dbraw/zinc/02/16/88/1073021688.db2.gz GFUSUJZGXPPAHJ-HNNXBMFYSA-N 0 0 433.509 -0.466 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000550753076 1073021829 /nfs/dbraw/zinc/02/18/29/1073021829.db2.gz GFUSUJZGXPPAHJ-OAHLLOKOSA-N 0 0 433.509 -0.466 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000550753700 1073022610 /nfs/dbraw/zinc/02/26/10/1073022610.db2.gz CUHDXGCTTVWKAI-IBGZPJMESA-N 0 0 437.585 -0.384 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000550753706 1073021817 /nfs/dbraw/zinc/02/18/17/1073021817.db2.gz CUHDXGCTTVWKAI-LJQANCHMSA-N 0 0 437.585 -0.384 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC000550753834 1073022087 /nfs/dbraw/zinc/02/20/87/1073022087.db2.gz OJBSNNWJLDBHRX-UHFFFAOYSA-N 0 0 447.536 -0.264 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000550753950 1073022112 /nfs/dbraw/zinc/02/21/12/1073022112.db2.gz QMVICPQMQQTIGC-UHFFFAOYSA-N 0 0 433.509 -0.512 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NC1CCN(C(=O)C2CC2)CC1 ZINC000550754032 1073022191 /nfs/dbraw/zinc/02/21/91/1073022191.db2.gz GDWOUCMCFFUHOP-INIZCTEOSA-N 0 0 428.555 -0.176 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NC1CCN(C(=O)C2CC2)CC1 ZINC000550754034 1073022016 /nfs/dbraw/zinc/02/20/16/1073022016.db2.gz GDWOUCMCFFUHOP-MRXNPFEDSA-N 0 0 428.555 -0.176 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1=O ZINC000550754273 1073021812 /nfs/dbraw/zinc/02/18/12/1073021812.db2.gz WARJRYCPZPVNIG-IBGZPJMESA-N 0 0 432.521 -0.117 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1=O ZINC000550754274 1073022055 /nfs/dbraw/zinc/02/20/55/1073022055.db2.gz WARJRYCPZPVNIG-LJQANCHMSA-N 0 0 432.521 -0.117 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)N1CCOCC1 ZINC000550755043 1073022132 /nfs/dbraw/zinc/02/21/32/1073022132.db2.gz BHJDMAMIJVWVEU-KRWDZBQOSA-N 0 0 440.566 -0.056 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)N1CCOCC1 ZINC000550755044 1073021995 /nfs/dbraw/zinc/02/19/95/1073021995.db2.gz BHJDMAMIJVWVEU-QGZVFWFLSA-N 0 0 440.566 -0.056 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)N1CCOCC1 ZINC000550755425 1073022176 /nfs/dbraw/zinc/02/21/76/1073022176.db2.gz BUDJGHVUBGFLFW-HKUYNNGSSA-N 0 0 437.585 -0.339 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1)N1CCOCC1 ZINC000550755426 1073022211 /nfs/dbraw/zinc/02/22/11/1073022211.db2.gz BUDJGHVUBGFLFW-IEBWSBKVSA-N 0 0 437.585 -0.339 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1)N1CCOCC1 ZINC000550755427 1073022137 /nfs/dbraw/zinc/02/21/37/1073022137.db2.gz BUDJGHVUBGFLFW-MJGOQNOKSA-N 0 0 437.585 -0.339 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)N1CCOCC1 ZINC000550755428 1073022231 /nfs/dbraw/zinc/02/22/31/1073022231.db2.gz BUDJGHVUBGFLFW-PKOBYXMFSA-N 0 0 437.585 -0.339 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC[C@H](CC(C)C)N2CCOCC2)CC1 ZINC000550755883 1073022641 /nfs/dbraw/zinc/02/26/41/1073022641.db2.gz FBMYSKUJSZNPIW-IBGZPJMESA-N 0 0 439.601 -0.138 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC[C@@H](CC(C)C)N2CCOCC2)CC1 ZINC000550755884 1073022433 /nfs/dbraw/zinc/02/24/33/1073022433.db2.gz FBMYSKUJSZNPIW-LJQANCHMSA-N 0 0 439.601 -0.138 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NC[C@H](CC(C)C)N3CCOCC3)CC2)n1 ZINC000550757711 1073022664 /nfs/dbraw/zinc/02/26/64/1073022664.db2.gz ORDHPCWJQBNKKX-KRWDZBQOSA-N 0 0 434.541 -0.003 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NC[C@@H](CC(C)C)N3CCOCC3)CC2)n1 ZINC000550757712 1073022564 /nfs/dbraw/zinc/02/25/64/1073022564.db2.gz ORDHPCWJQBNKKX-QGZVFWFLSA-N 0 0 434.541 -0.003 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1cn2cc(Cl)ccc2n1 ZINC000550758520 1073022656 /nfs/dbraw/zinc/02/26/56/1073022656.db2.gz VHMTWYCPMPZIFK-UHFFFAOYSA-N 0 0 440.869 -0.172 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NC[C@H](CC(C)C)N2CCOCC2)[C@H](C)C1 ZINC000550758893 1073023057 /nfs/dbraw/zinc/02/30/57/1073023057.db2.gz YRHFWMIZFFPJED-MSOLQXFVSA-N 0 0 426.558 -0.055 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NC[C@@H](CC(C)C)N2CCOCC2)[C@H](C)C1 ZINC000550758898 1073022958 /nfs/dbraw/zinc/02/29/58/1073022958.db2.gz YRHFWMIZFFPJED-QZTJIDSGSA-N 0 0 426.558 -0.055 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NC[C@H](CC(C)C)N2CCOCC2)[C@@H](C)C1 ZINC000550758900 1073023015 /nfs/dbraw/zinc/02/30/15/1073023015.db2.gz YRHFWMIZFFPJED-ROUUACIJSA-N 0 0 426.558 -0.055 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NC[C@@H](CC(C)C)N2CCOCC2)[C@@H](C)C1 ZINC000550758902 1073023042 /nfs/dbraw/zinc/02/30/42/1073023042.db2.gz YRHFWMIZFFPJED-ZWKOTPCHSA-N 0 0 426.558 -0.055 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000550760235 1073022898 /nfs/dbraw/zinc/02/28/98/1073022898.db2.gz QFIYMYSOPSZHQA-UHFFFAOYSA-N 0 0 439.476 -0.953 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)o1 ZINC000550761044 1073023006 /nfs/dbraw/zinc/02/30/06/1073023006.db2.gz KAUZNZBTPSDQCY-HOTGVXAUSA-N 0 0 442.538 -0.255 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)o1 ZINC000550761045 1073022996 /nfs/dbraw/zinc/02/29/96/1073022996.db2.gz KAUZNZBTPSDQCY-HZPDHXFCSA-N 0 0 442.538 -0.255 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)o1 ZINC000550761046 1073022933 /nfs/dbraw/zinc/02/29/33/1073022933.db2.gz KAUZNZBTPSDQCY-IYBDPMFKSA-N 0 0 442.538 -0.255 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCc3cccc(C(N)=O)c3)no2)cn1 ZINC000550761075 1073022907 /nfs/dbraw/zinc/02/29/07/1073022907.db2.gz LOAPBPRVUGJJFA-HNNXBMFYSA-N 0 0 439.432 -0.215 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCc3cccc(C(N)=O)c3)no2)cn1 ZINC000550761076 1073022945 /nfs/dbraw/zinc/02/29/45/1073022945.db2.gz LOAPBPRVUGJJFA-OAHLLOKOSA-N 0 0 439.432 -0.215 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)[C@H](C)C1 ZINC000550763077 1073023428 /nfs/dbraw/zinc/02/34/28/1073023428.db2.gz COQYFWCSWXAVKS-LLVKDONJSA-N 0 0 434.457 -0.639 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)[C@@H](C)C1 ZINC000550763078 1073023353 /nfs/dbraw/zinc/02/33/53/1073023353.db2.gz COQYFWCSWXAVKS-NSHDSACASA-N 0 0 434.457 -0.639 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000550764009 1073023202 /nfs/dbraw/zinc/02/32/02/1073023202.db2.gz PXRXIBFDIMERNR-UHFFFAOYSA-N 0 0 429.477 -0.195 20 0 IBADRN CCOc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000550765044 1073022856 /nfs/dbraw/zinc/02/28/56/1073022856.db2.gz XJQBUUCHDLYJJI-UHFFFAOYSA-N 0 0 432.477 -0.769 20 0 IBADRN COc1cc(NC(=O)CCn2ncn(C)c2=O)ccc1NC(=O)CCn1ncn(C)c1=O ZINC000550765577 1073023210 /nfs/dbraw/zinc/02/32/10/1073023210.db2.gz CAFAJTXWCSGKPU-UHFFFAOYSA-N 0 0 444.452 -0.457 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1OC ZINC000550767335 1073023795 /nfs/dbraw/zinc/02/37/95/1073023795.db2.gz GGWIEIXGFDVJCU-UHFFFAOYSA-N 0 0 429.477 -0.195 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@H]1Cn1ccnc1 ZINC000550768054 1073023977 /nfs/dbraw/zinc/02/39/77/1073023977.db2.gz DBBKLSUKMRKTML-GOSISDBHSA-N 0 0 426.525 -0.007 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@H]1Cn1ccnc1 ZINC000550768056 1073023949 /nfs/dbraw/zinc/02/39/49/1073023949.db2.gz DBBKLSUKMRKTML-SFHVURJKSA-N 0 0 426.525 -0.007 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1=O ZINC000550768214 1073023857 /nfs/dbraw/zinc/02/38/57/1073023857.db2.gz GTENNQKOMRIPEQ-UHFFFAOYSA-N 0 0 431.541 -0.424 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000550768318 1073023737 /nfs/dbraw/zinc/02/37/37/1073023737.db2.gz KUZASDCKUYXMFE-AWEZNQCLSA-N 0 0 442.538 -0.217 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000550768319 1073023900 /nfs/dbraw/zinc/02/39/00/1073023900.db2.gz KUZASDCKUYXMFE-CQSZACIVSA-N 0 0 442.538 -0.217 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)C1 ZINC000550768540 1073023932 /nfs/dbraw/zinc/02/39/32/1073023932.db2.gz BRNKXAUKYPULPO-AWEZNQCLSA-N 0 0 428.486 -0.480 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)C1 ZINC000550768541 1073023709 /nfs/dbraw/zinc/02/37/09/1073023709.db2.gz BRNKXAUKYPULPO-CQSZACIVSA-N 0 0 428.486 -0.480 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)c1 ZINC000550768892 1073023252 /nfs/dbraw/zinc/02/32/52/1073023252.db2.gz HZJDODYFLPEQAQ-UHFFFAOYSA-N 0 0 425.493 -0.480 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCOC2(CCOCC2)CC1 ZINC000550769034 1073023410 /nfs/dbraw/zinc/02/34/10/1073023410.db2.gz BSZNISWCZQPKOB-UHFFFAOYSA-N 0 0 446.552 -0.097 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)ncn1 ZINC000550769211 1073023177 /nfs/dbraw/zinc/02/31/77/1073023177.db2.gz FLGMBAMAXRSVGQ-UHFFFAOYSA-N 0 0 448.505 -0.772 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000550769360 1073023238 /nfs/dbraw/zinc/02/32/38/1073023238.db2.gz RVUWPRPLOLNZTI-UHFFFAOYSA-N 0 0 432.506 -0.344 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550769496 1073023327 /nfs/dbraw/zinc/02/33/27/1073023327.db2.gz JKRHNRAEEUQKRC-UHFFFAOYSA-N 0 0 433.557 -0.668 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000550769600 1073024352 /nfs/dbraw/zinc/02/43/52/1073024352.db2.gz WCXYWNKNEGIONN-KRWDZBQOSA-N 0 0 438.550 -0.206 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000550769601 1073024324 /nfs/dbraw/zinc/02/43/24/1073024324.db2.gz WCXYWNKNEGIONN-QGZVFWFLSA-N 0 0 438.550 -0.206 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000550769606 1073024413 /nfs/dbraw/zinc/02/44/13/1073024413.db2.gz WMDZXSVRKZQZKZ-AVRDEDQJSA-N 0 0 446.552 -0.099 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000550769610 1073024279 /nfs/dbraw/zinc/02/42/79/1073024279.db2.gz WMDZXSVRKZQZKZ-GCJKJVERSA-N 0 0 446.552 -0.099 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000550769612 1073024221 /nfs/dbraw/zinc/02/42/21/1073024221.db2.gz WMDZXSVRKZQZKZ-PGRDOPGGSA-N 0 0 446.552 -0.099 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000550769615 1073024459 /nfs/dbraw/zinc/02/44/59/1073024459.db2.gz WMDZXSVRKZQZKZ-XMSQKQJNSA-N 0 0 446.552 -0.099 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000550769656 1073024569 /nfs/dbraw/zinc/02/45/69/1073024569.db2.gz XDVHXDUKNLXWCX-GOSISDBHSA-N 0 0 443.552 -0.282 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@H](N2CCCC2=O)C1 ZINC000550769659 1073024587 /nfs/dbraw/zinc/02/45/87/1073024587.db2.gz XDVHXDUKNLXWCX-SFHVURJKSA-N 0 0 443.552 -0.282 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000550769704 1073024341 /nfs/dbraw/zinc/02/43/41/1073024341.db2.gz CARLPOIJWQVIBL-UHFFFAOYSA-N 0 0 443.508 -0.114 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000550769706 1073024485 /nfs/dbraw/zinc/02/44/85/1073024485.db2.gz CBQVOQQFVXGUIB-UHFFFAOYSA-N 0 0 447.414 -0.062 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000550770071 1073023772 /nfs/dbraw/zinc/02/37/72/1073023772.db2.gz OVSFXYJQYHVAHW-UHFFFAOYSA-N 0 0 431.541 -0.520 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)[C@H](C)C1=O ZINC000550770107 1073023841 /nfs/dbraw/zinc/02/38/41/1073023841.db2.gz PIEMWTHPAXASEI-GOSISDBHSA-N 0 0 445.568 -0.180 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)[C@@H](C)C1=O ZINC000550770109 1073023809 /nfs/dbraw/zinc/02/38/09/1073023809.db2.gz PIEMWTHPAXASEI-SFHVURJKSA-N 0 0 445.568 -0.180 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1 ZINC000550770243 1073023879 /nfs/dbraw/zinc/02/38/79/1073023879.db2.gz IZKAYLAQEFNDNN-UHFFFAOYSA-N 0 0 426.495 -0.506 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000550770367 1073023889 /nfs/dbraw/zinc/02/38/89/1073023889.db2.gz QKQGGLZEEUUOBG-UHFFFAOYSA-N 0 0 444.492 -0.311 20 0 IBADRN C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550770511 1073023317 /nfs/dbraw/zinc/02/33/17/1073023317.db2.gz NKTLROMSQSQWJW-INIZCTEOSA-N 0 0 433.557 -0.134 20 0 IBADRN C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000550770512 1073023722 /nfs/dbraw/zinc/02/37/22/1073023722.db2.gz NKTLROMSQSQWJW-MRXNPFEDSA-N 0 0 433.557 -0.134 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(N2CCCC2=O)CC1 ZINC000550770667 1073023501 /nfs/dbraw/zinc/02/35/01/1073023501.db2.gz SXKZCEMSUPRPRV-UHFFFAOYSA-N 0 0 443.552 -0.282 20 0 IBADRN CC(C)C(=O)N1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000550770995 1073024961 /nfs/dbraw/zinc/02/49/61/1073024961.db2.gz XIXQRXVEOKZCJA-UHFFFAOYSA-N 0 0 445.568 -0.178 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000550771091 1073024494 /nfs/dbraw/zinc/02/44/94/1073024494.db2.gz YZPJNTQKVPBJIU-MSOLQXFVSA-N 0 0 445.568 -0.132 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000550771092 1073024513 /nfs/dbraw/zinc/02/45/13/1073024513.db2.gz YZPJNTQKVPBJIU-QZTJIDSGSA-N 0 0 445.568 -0.132 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000550771094 1073024542 /nfs/dbraw/zinc/02/45/42/1073024542.db2.gz YZPJNTQKVPBJIU-ROUUACIJSA-N 0 0 445.568 -0.132 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000550771096 1073024558 /nfs/dbraw/zinc/02/45/58/1073024558.db2.gz YZPJNTQKVPBJIU-ZWKOTPCHSA-N 0 0 445.568 -0.132 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@]2(CCCOC2)O1 ZINC000550771159 1073024845 /nfs/dbraw/zinc/02/48/45/1073024845.db2.gz ZVBSZVJRSYDFDP-BEFAXECRSA-N 0 0 425.507 -0.122 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@@]2(CCCOC2)O1 ZINC000550771161 1073024805 /nfs/dbraw/zinc/02/48/05/1073024805.db2.gz ZVBSZVJRSYDFDP-DNVCBOLYSA-N 0 0 425.507 -0.122 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@@]2(CCCOC2)O1 ZINC000550771163 1073024950 /nfs/dbraw/zinc/02/49/50/1073024950.db2.gz ZVBSZVJRSYDFDP-HNAYVOBHSA-N 0 0 425.507 -0.122 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@]2(CCCOC2)O1 ZINC000550771165 1073024996 /nfs/dbraw/zinc/02/49/96/1073024996.db2.gz ZVBSZVJRSYDFDP-KXBFYZLASA-N 0 0 425.507 -0.122 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000550771608 1073023911 /nfs/dbraw/zinc/02/39/11/1073023911.db2.gz VUGIEBCPHCZGIW-FQEVSTJZSA-N 0 0 445.564 -0.155 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000550771609 1073023763 /nfs/dbraw/zinc/02/37/63/1073023763.db2.gz VUGIEBCPHCZGIW-HXUWFJFHSA-N 0 0 445.564 -0.155 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cc1F ZINC000550771839 1073024302 /nfs/dbraw/zinc/02/43/02/1073024302.db2.gz CEZDRFNPTGGNMU-IRXDYDNUSA-N 0 0 431.468 -0.052 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(c2cccnn2)CC1 ZINC000550771976 1073024887 /nfs/dbraw/zinc/02/48/87/1073024887.db2.gz HUDDAXLFKCPTIH-UHFFFAOYSA-N 0 0 439.524 -0.756 20 0 IBADRN COCCO[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000550772199 1073024856 /nfs/dbraw/zinc/02/48/56/1073024856.db2.gz MFTKLRQUHIHNBM-GOSISDBHSA-N 0 0 434.541 -0.241 20 0 IBADRN COCCO[C@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000550772200 1073025014 /nfs/dbraw/zinc/02/50/14/1073025014.db2.gz MFTKLRQUHIHNBM-SFHVURJKSA-N 0 0 434.541 -0.241 20 0 IBADRN CCN(C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@@H](C)CS(C)(=O)=O ZINC000550772455 1073024529 /nfs/dbraw/zinc/02/45/29/1073024529.db2.gz POIOLAUVGYAPMQ-INIZCTEOSA-N 0 0 440.570 -0.614 20 0 IBADRN CCN(C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)[C@H](C)CS(C)(=O)=O ZINC000550772456 1073024259 /nfs/dbraw/zinc/02/42/59/1073024259.db2.gz POIOLAUVGYAPMQ-MRXNPFEDSA-N 0 0 440.570 -0.614 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)c1 ZINC000550772480 1073024236 /nfs/dbraw/zinc/02/42/36/1073024236.db2.gz PXHJWAUGGVOTPW-UHFFFAOYSA-N 0 0 439.520 -0.219 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(Cc2ccncc2)CC1 ZINC000550772485 1073024822 /nfs/dbraw/zinc/02/48/22/1073024822.db2.gz QBRMZZOZTYIDIU-UHFFFAOYSA-N 0 0 431.518 -0.180 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C2=O)n1 ZINC000550772966 1073025031 /nfs/dbraw/zinc/02/50/31/1073025031.db2.gz WMTKWQKEHFNOHS-HNNXBMFYSA-N 0 0 448.505 -0.874 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C2=O)n1 ZINC000550772967 1073025006 /nfs/dbraw/zinc/02/50/06/1073025006.db2.gz WMTKWQKEHFNOHS-OAHLLOKOSA-N 0 0 448.505 -0.874 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCOC2(CCOCC2)CC1 ZINC000550773009 1073024834 /nfs/dbraw/zinc/02/48/34/1073024834.db2.gz XNYIKVQSELIKKA-UHFFFAOYSA-N 0 0 425.507 -0.121 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@]2(CCCOC2)O1 ZINC000550773015 1073024923 /nfs/dbraw/zinc/02/49/23/1073024923.db2.gz XPQKIKHCBYFZEY-AVRDEDQJSA-N 0 0 446.552 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@]2(CCCOC2)O1 ZINC000550773016 1073024978 /nfs/dbraw/zinc/02/49/78/1073024978.db2.gz XPQKIKHCBYFZEY-GCJKJVERSA-N 0 0 446.552 -0.099 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCCOC2)O1 ZINC000550773017 1073024874 /nfs/dbraw/zinc/02/48/74/1073024874.db2.gz XPQKIKHCBYFZEY-PGRDOPGGSA-N 0 0 446.552 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCCOC2)O1 ZINC000550773018 1073025341 /nfs/dbraw/zinc/02/53/41/1073025341.db2.gz XPQKIKHCBYFZEY-XMSQKQJNSA-N 0 0 446.552 -0.099 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(COC)cc(C(=O)OC)c2)C1 ZINC000550773108 1073024906 /nfs/dbraw/zinc/02/49/06/1073024906.db2.gz HISFPRSWKGZQOL-UHFFFAOYSA-N 0 0 427.479 -0.044 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@@H](c3ccccc3)N3CCOCC3)C2=O)cn1 ZINC000550773667 1073024913 /nfs/dbraw/zinc/02/49/13/1073024913.db2.gz CBOKUSQTYNWKEK-MOPGFXCFSA-N 0 0 440.504 -0.169 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NC[C@@H](c3ccccc3)N3CCOCC3)C2=O)cn1 ZINC000550773668 1073024798 /nfs/dbraw/zinc/02/47/98/1073024798.db2.gz CBOKUSQTYNWKEK-OALUTQOASA-N 0 0 440.504 -0.169 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NC[C@H](c3ccccc3)N3CCOCC3)C2=O)cn1 ZINC000550773669 1073024944 /nfs/dbraw/zinc/02/49/44/1073024944.db2.gz CBOKUSQTYNWKEK-RBUKOAKNSA-N 0 0 440.504 -0.169 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@H](c3ccccc3)N3CCOCC3)C2=O)cn1 ZINC000550773670 1073024812 /nfs/dbraw/zinc/02/48/12/1073024812.db2.gz CBOKUSQTYNWKEK-RTBURBONSA-N 0 0 440.504 -0.169 20 0 IBADRN CCOCCOCc1cccc(CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000550774357 1073025386 /nfs/dbraw/zinc/02/53/86/1073025386.db2.gz CUICXCMDGHKGSD-UHFFFAOYSA-N 0 0 427.523 -0.040 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000550774378 1073025251 /nfs/dbraw/zinc/02/52/51/1073025251.db2.gz DBDVSOJYTFFUAB-FQEVSTJZSA-N 0 0 445.564 -0.201 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000550774379 1073025271 /nfs/dbraw/zinc/02/52/71/1073025271.db2.gz DBDVSOJYTFFUAB-HXUWFJFHSA-N 0 0 445.564 -0.201 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000550774841 1073025491 /nfs/dbraw/zinc/02/54/91/1073025491.db2.gz KICRGDVGSNRKIO-IBGZPJMESA-N 0 0 432.521 -0.070 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000550774842 1073025480 /nfs/dbraw/zinc/02/54/80/1073025480.db2.gz KICRGDVGSNRKIO-LJQANCHMSA-N 0 0 432.521 -0.070 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)C(=O)Nc2nc(C)n(C)n2)s1 ZINC000550780690 1073336136 /nfs/dbraw/zinc/33/61/36/1073336136.db2.gz IZKOPLAXWUQGRR-UHFFFAOYSA-N 0 0 428.540 0.513 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000550780733 1073026633 /nfs/dbraw/zinc/02/66/33/1073026633.db2.gz KWKZGKHTXWQFCN-UHFFFAOYSA-N 0 0 448.524 -0.016 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)c1 ZINC000550783067 1073027347 /nfs/dbraw/zinc/02/73/47/1073027347.db2.gz UEJLPRMONBUQNG-VBNZEHGJSA-N 0 0 448.501 -0.011 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)c1 ZINC000550783068 1073027471 /nfs/dbraw/zinc/02/74/71/1073027471.db2.gz UEJLPRMONBUQNG-WQVCFCJDSA-N 0 0 448.501 -0.011 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cn(Cc2ccccc2)nn1 ZINC000550783472 1073027889 /nfs/dbraw/zinc/02/78/89/1073027889.db2.gz XVHXATJOAKPFKX-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN CN(Cc1nccn1C)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550787340 1073028358 /nfs/dbraw/zinc/02/83/58/1073028358.db2.gz BPTCAXNGBSTAOL-UHFFFAOYSA-N 0 0 449.537 -0.958 20 0 IBADRN Cc1ccsc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000550787492 1073028336 /nfs/dbraw/zinc/02/83/36/1073028336.db2.gz JXWONIKXHSWLCP-UHFFFAOYSA-N 0 0 429.568 -0.691 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3ncccc3F)CC2)[C@H](O)[C@@H]1O ZINC000550787562 1073028244 /nfs/dbraw/zinc/02/82/44/1073028244.db2.gz SGPIIPSAEXLXJM-QEPJRFBGSA-N 0 0 431.428 -0.702 20 0 IBADRN CN(C[C@H]1CCCO1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550788209 1073028727 /nfs/dbraw/zinc/02/87/27/1073028727.db2.gz CWMUUUFIVDWCON-MRXNPFEDSA-N 0 0 439.538 -0.713 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N1CC[C@H](C2CC2)C1 ZINC000550789279 1073028702 /nfs/dbraw/zinc/02/87/02/1073028702.db2.gz NKJMCOCYYVMCHT-KRWDZBQOSA-N 0 0 435.550 -0.092 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N1CC[C@@H](C2CC2)C1 ZINC000550789280 1073028827 /nfs/dbraw/zinc/02/88/27/1073028827.db2.gz NKJMCOCYYVMCHT-QGZVFWFLSA-N 0 0 435.550 -0.092 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N[C@H]1CCSC1 ZINC000550789478 1073028765 /nfs/dbraw/zinc/02/87/65/1073028765.db2.gz XPTUTBRKHDXRGP-AWEZNQCLSA-N 0 0 427.552 -0.729 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N[C@@H]1CCSC1 ZINC000550789479 1073028617 /nfs/dbraw/zinc/02/86/17/1073028617.db2.gz XPTUTBRKHDXRGP-CQSZACIVSA-N 0 0 427.552 -0.729 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000550789647 1073028873 /nfs/dbraw/zinc/02/88/73/1073028873.db2.gz RYZUFEWGCSMAAP-UHFFFAOYSA-N 0 0 445.563 -0.177 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000550789866 1073028809 /nfs/dbraw/zinc/02/88/09/1073028809.db2.gz CQNOILMMYOWMEJ-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN COCC[C@H](C)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550799287 1073029778 /nfs/dbraw/zinc/02/97/78/1073029778.db2.gz FMQKUASPHDCKKA-HNNXBMFYSA-N 0 0 427.527 -0.809 20 0 IBADRN COCC[C@@H](C)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550799288 1073030290 /nfs/dbraw/zinc/03/02/90/1073030290.db2.gz FMQKUASPHDCKKA-OAHLLOKOSA-N 0 0 427.527 -0.809 20 0 IBADRN Cc1cccnc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550799318 1073029751 /nfs/dbraw/zinc/02/97/51/1073029751.db2.gz GYZPDCYXKYSZTL-UHFFFAOYSA-N 0 0 446.533 -0.331 20 0 IBADRN CC[C@@H](CSC)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550799329 1073029572 /nfs/dbraw/zinc/02/95/72/1073029572.db2.gz HLSZPUYRPXBBFA-HNNXBMFYSA-N 0 0 443.595 -0.093 20 0 IBADRN CC[C@H](CSC)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550799330 1073029624 /nfs/dbraw/zinc/02/96/24/1073029624.db2.gz HLSZPUYRPXBBFA-OAHLLOKOSA-N 0 0 443.595 -0.093 20 0 IBADRN CS[C@@H](C)CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550799609 1073029698 /nfs/dbraw/zinc/02/96/98/1073029698.db2.gz BQVXGDFLJJTSAF-AWEZNQCLSA-N 0 0 429.568 -0.483 20 0 IBADRN CS[C@H](C)CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550799617 1073029767 /nfs/dbraw/zinc/02/97/67/1073029767.db2.gz BQVXGDFLJJTSAF-CQSZACIVSA-N 0 0 429.568 -0.483 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)Nc3ccn(CC(N)=O)n3)cc2)CC1 ZINC000550799641 1073029734 /nfs/dbraw/zinc/02/97/34/1073029734.db2.gz CDSDJTPOZLGTCM-UHFFFAOYSA-N 0 0 448.505 0.366 20 0 IBADRN NC(=O)Cn1ccc(NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCCC3)cc2)n1 ZINC000550799668 1073029746 /nfs/dbraw/zinc/02/97/46/1073029746.db2.gz CLXALKBIYIPGRH-UHFFFAOYSA-N 0 0 448.505 0.510 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)Nc2ccn(CC(N)=O)n2)cc1 ZINC000550799938 1073328131 /nfs/dbraw/zinc/32/81/31/1073328131.db2.gz IANYWZBQIWQVSY-UHFFFAOYSA-N 0 0 436.494 0.366 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)Nc1ccn(CC(N)=O)n1 ZINC000550800069 1073341675 /nfs/dbraw/zinc/34/16/75/1073341675.db2.gz KFTSRSHPDYDHEN-UHFFFAOYSA-N 0 0 448.505 0.429 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1CC1 ZINC000550800301 1073028639 /nfs/dbraw/zinc/02/86/39/1073028639.db2.gz XSWMNSFVNKCDNZ-INIZCTEOSA-N 0 0 439.538 -0.809 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1CC1 ZINC000550800302 1073028812 /nfs/dbraw/zinc/02/88/12/1073028812.db2.gz XSWMNSFVNKCDNZ-MRXNPFEDSA-N 0 0 439.538 -0.809 20 0 IBADRN CSC[C@H](C)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550800339 1073028881 /nfs/dbraw/zinc/02/88/81/1073028881.db2.gz ZLFFOKCHYGZCGQ-AWEZNQCLSA-N 0 0 429.568 -0.483 20 0 IBADRN CSC[C@@H](C)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550800340 1073028854 /nfs/dbraw/zinc/02/88/54/1073028854.db2.gz ZLFFOKCHYGZCGQ-CQSZACIVSA-N 0 0 429.568 -0.483 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000550802061 1073030057 /nfs/dbraw/zinc/03/00/57/1073030057.db2.gz KSPCQJDHIJJLGM-UHFFFAOYSA-N 0 0 435.462 -0.769 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)[C@@H](C)C1 ZINC000550802693 1073030541 /nfs/dbraw/zinc/03/05/41/1073030541.db2.gz CKUMMDBUEQXZTC-AWEZNQCLSA-N 0 0 425.507 -0.198 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)[C@H](C)C1 ZINC000550802694 1073030615 /nfs/dbraw/zinc/03/06/15/1073030615.db2.gz CKUMMDBUEQXZTC-CQSZACIVSA-N 0 0 425.507 -0.198 20 0 IBADRN O=C(NCCc1c[nH]c2cc(F)ccc12)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550802784 1073030278 /nfs/dbraw/zinc/03/02/78/1073030278.db2.gz FISVBEAWQNVWPW-UHFFFAOYSA-N 0 0 429.452 -0.085 20 0 IBADRN COc1ccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000550803805 1073030132 /nfs/dbraw/zinc/03/01/32/1073030132.db2.gz VGBLVFOTOTXMCF-HNNXBMFYSA-N 0 0 432.477 -0.598 20 0 IBADRN COc1ccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000550803806 1073030182 /nfs/dbraw/zinc/03/01/82/1073030182.db2.gz VGBLVFOTOTXMCF-OAHLLOKOSA-N 0 0 432.477 -0.598 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000550804678 1073030229 /nfs/dbraw/zinc/03/02/29/1073030229.db2.gz MXZJPAUJSMZKIG-AWEZNQCLSA-N 0 0 429.481 -0.133 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1 ZINC000550804679 1073030196 /nfs/dbraw/zinc/03/01/96/1073030196.db2.gz MXZJPAUJSMZKIG-CQSZACIVSA-N 0 0 429.481 -0.133 20 0 IBADRN COCc1cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc(C(=O)OC)c1 ZINC000550806352 1073031096 /nfs/dbraw/zinc/03/10/96/1073031096.db2.gz KMFAYVGANLURLX-UHFFFAOYSA-N 0 0 430.483 -0.493 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC)[C@H](c3ncc[nH]3)C2)CC1 ZINC000550806595 1073031223 /nfs/dbraw/zinc/03/12/23/1073031223.db2.gz DFHCCLUWOLSPOJ-KRWDZBQOSA-N 0 0 433.557 -0.278 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC)[C@@H](c3ncc[nH]3)C2)CC1 ZINC000550806596 1073031030 /nfs/dbraw/zinc/03/10/30/1073031030.db2.gz DFHCCLUWOLSPOJ-QGZVFWFLSA-N 0 0 433.557 -0.278 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000550807154 1073031295 /nfs/dbraw/zinc/03/12/95/1073031295.db2.gz MORHXVTWSLMAHJ-UHFFFAOYSA-N 0 0 427.509 -0.010 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H]2CCN(c3cccc(OC)c3)C2)CC1 ZINC000550807322 1073031202 /nfs/dbraw/zinc/03/12/02/1073031202.db2.gz PPZZEQRCVLHGDX-INIZCTEOSA-N 0 0 431.537 -0.036 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H]2CCN(c3cccc(OC)c3)C2)CC1 ZINC000550807323 1073031255 /nfs/dbraw/zinc/03/12/55/1073031255.db2.gz PPZZEQRCVLHGDX-MRXNPFEDSA-N 0 0 431.537 -0.036 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000550807332 1073031136 /nfs/dbraw/zinc/03/11/36/1073031136.db2.gz PYUWFQQCJDMJRC-UHFFFAOYSA-N 0 0 435.500 -0.395 20 0 IBADRN O=C(NCc1cccc(N2CCCC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550807424 1073031012 /nfs/dbraw/zinc/03/10/12/1073031012.db2.gz VYHBXPUDEJOCSM-UHFFFAOYSA-N 0 0 441.488 -0.621 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)CCC3CCCC3)CC2)CC1 ZINC000550807567 1073030933 /nfs/dbraw/zinc/03/09/33/1073030933.db2.gz BDFMUEPXIHOPKN-UHFFFAOYSA-N 0 0 435.569 -0.046 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)CC1 ZINC000550807576 1073030992 /nfs/dbraw/zinc/03/09/92/1073030992.db2.gz BXKFTDFJWJXBKU-UHFFFAOYSA-N 0 0 433.484 -0.563 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3ncnc4sccc43)CC2)CC1 ZINC000550807597 1073030951 /nfs/dbraw/zinc/03/09/51/1073030951.db2.gz CQUFVAWGKCNARS-UHFFFAOYSA-N 0 0 445.549 -0.334 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000550807616 1073031113 /nfs/dbraw/zinc/03/11/13/1073031113.db2.gz DLEKQAHAQVJIHC-UHFFFAOYSA-N 0 0 431.415 -0.035 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000550807625 1073031273 /nfs/dbraw/zinc/03/12/73/1073031273.db2.gz DWNDABBGXQGDKG-IBGZPJMESA-N 0 0 443.548 -0.337 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000550807626 1073031076 /nfs/dbraw/zinc/03/10/76/1073031076.db2.gz DWNDABBGXQGDKG-LJQANCHMSA-N 0 0 443.548 -0.337 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000550807865 1073031980 /nfs/dbraw/zinc/03/19/80/1073031980.db2.gz FWOTXZODRGVYGJ-IBGZPJMESA-N 0 0 431.537 -0.497 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000550807866 1073031780 /nfs/dbraw/zinc/03/17/80/1073031780.db2.gz FWOTXZODRGVYGJ-LJQANCHMSA-N 0 0 431.537 -0.497 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000550807950 1073031645 /nfs/dbraw/zinc/03/16/45/1073031645.db2.gz GYVAPHRTKSZDCO-UHFFFAOYSA-N 0 0 430.553 -0.749 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2ccnc(OCC(F)(F)F)c2)CC1 ZINC000550808084 1073031909 /nfs/dbraw/zinc/03/19/09/1073031909.db2.gz OVQDPUZZDYSPGW-UHFFFAOYSA-N 0 0 431.415 -0.035 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H](C(=O)Nc3ccc(C)cn3)C2)CC1 ZINC000550808085 1073031928 /nfs/dbraw/zinc/03/19/28/1073031928.db2.gz OYGUNUPJXGLHMN-INIZCTEOSA-N 0 0 444.536 -0.106 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H](C(=O)Nc3ccc(C)cn3)C2)CC1 ZINC000550808086 1073031502 /nfs/dbraw/zinc/03/15/02/1073031502.db2.gz OYGUNUPJXGLHMN-MRXNPFEDSA-N 0 0 444.536 -0.106 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000550808165 1073031685 /nfs/dbraw/zinc/03/16/85/1073031685.db2.gz MBIFVXKUCQNNPU-KRWDZBQOSA-N 0 0 433.484 -0.631 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000550808166 1073031756 /nfs/dbraw/zinc/03/17/56/1073031756.db2.gz MBIFVXKUCQNNPU-QGZVFWFLSA-N 0 0 433.484 -0.631 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000550808324 1073031868 /nfs/dbraw/zinc/03/18/68/1073031868.db2.gz VTPZEUVPAFRIAC-KRWDZBQOSA-N 0 0 445.568 -0.965 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000550808325 1073031850 /nfs/dbraw/zinc/03/18/50/1073031850.db2.gz VTPZEUVPAFRIAC-QGZVFWFLSA-N 0 0 445.568 -0.965 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000550808326 1073031571 /nfs/dbraw/zinc/03/15/71/1073031571.db2.gz VVKCFRUBYZZFBG-UHFFFAOYSA-N 0 0 443.530 -0.866 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NC1CCN(CC(=O)NC)CC1 ZINC000550808364 1073031831 /nfs/dbraw/zinc/03/18/31/1073031831.db2.gz QNZYRAMPCNBHET-HNNXBMFYSA-N 0 0 438.550 -0.318 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NC1CCN(CC(=O)NC)CC1 ZINC000550808365 1073031728 /nfs/dbraw/zinc/03/17/28/1073031728.db2.gz QNZYRAMPCNBHET-OAHLLOKOSA-N 0 0 438.550 -0.318 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3ccccc3OC)CC2)CC1 ZINC000550808498 1073031953 /nfs/dbraw/zinc/03/19/53/1073031953.db2.gz ZMJYXJDLAJKBIL-UHFFFAOYSA-N 0 0 431.537 -0.334 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H]2CCN(c3ccccc3OC)C2)CC1 ZINC000550808560 1073031160 /nfs/dbraw/zinc/03/11/60/1073031160.db2.gz WKVFMILOFRZXQE-INIZCTEOSA-N 0 0 431.537 -0.036 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H]2CCN(c3ccccc3OC)C2)CC1 ZINC000550808561 1073031699 /nfs/dbraw/zinc/03/16/99/1073031699.db2.gz WKVFMILOFRZXQE-MRXNPFEDSA-N 0 0 431.537 -0.036 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)CC1 ZINC000550808590 1073031888 /nfs/dbraw/zinc/03/18/88/1073031888.db2.gz YKAKKBYTTFUONQ-UHFFFAOYSA-N 0 0 435.504 -0.629 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CCn3ncn(C)c3=O)CC2)cc1 ZINC000550810029 1073030977 /nfs/dbraw/zinc/03/09/77/1073030977.db2.gz NZFKMXKQAAMTBE-UHFFFAOYSA-N 0 0 436.538 -0.597 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)CC1 ZINC000550811452 1073031240 /nfs/dbraw/zinc/03/12/40/1073031240.db2.gz LBENMOOWLJIVJZ-UHFFFAOYSA-N 0 0 444.536 -0.527 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000550812808 1073032339 /nfs/dbraw/zinc/03/23/39/1073032339.db2.gz JUACRWBMJLUGIQ-UHFFFAOYSA-N 0 0 448.543 -0.610 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NCCc2cccc(C(=O)NC)c2)CC1 ZINC000550812833 1073032196 /nfs/dbraw/zinc/03/21/96/1073032196.db2.gz UCQDFLUWDKPXOY-UHFFFAOYSA-N 0 0 431.537 -0.188 20 0 IBADRN CCOc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1C ZINC000550812968 1073032372 /nfs/dbraw/zinc/03/23/72/1073032372.db2.gz SRTAVTBUSYSPLC-UHFFFAOYSA-N 0 0 432.477 -0.138 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N(C)CC(C)(C)CN2CCOCC2)CC1 ZINC000550813689 1073032208 /nfs/dbraw/zinc/03/22/08/1073032208.db2.gz YVZLYVFMELZWSF-UHFFFAOYSA-N 0 0 439.601 -0.090 20 0 IBADRN O=C(NCc1ccc(F)c(Cl)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000550814325 1073032353 /nfs/dbraw/zinc/03/23/53/1073032353.db2.gz NBBWTQYCQWUZJX-UHFFFAOYSA-N 0 0 440.859 -0.375 20 0 IBADRN CN(CC1CCC(NC(=O)CCn2ncn(C)c2=O)CC1)C(=O)CCn1ncn(C)c1=O ZINC000550816950 1073032240 /nfs/dbraw/zinc/03/22/40/1073032240.db2.gz IQKXWPYLWTWFEE-UHFFFAOYSA-N 0 0 448.528 -0.909 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000550817842 1073032230 /nfs/dbraw/zinc/03/22/30/1073032230.db2.gz SZYPRYRPOPVUNT-UHFFFAOYSA-N 0 0 431.541 -0.962 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NC1CCN(c2ccccn2)CC1 ZINC000550817923 1073032305 /nfs/dbraw/zinc/03/23/05/1073032305.db2.gz ATPCAIOSQQOIQB-UHFFFAOYSA-N 0 0 438.536 -0.105 20 0 IBADRN CCO[C@@H](C)c1noc(CN(C)C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)n1 ZINC000550818399 1073032328 /nfs/dbraw/zinc/03/23/28/1073032328.db2.gz MLSFAASMRSVAIX-HNNXBMFYSA-N 0 0 446.512 -0.146 20 0 IBADRN CCO[C@H](C)c1noc(CN(C)C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)n1 ZINC000550818400 1073032259 /nfs/dbraw/zinc/03/22/59/1073032259.db2.gz MLSFAASMRSVAIX-OAHLLOKOSA-N 0 0 446.512 -0.146 20 0 IBADRN CSCCCNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000550820560 1073032409 /nfs/dbraw/zinc/03/24/09/1073032409.db2.gz KNHJIJQQVPQOGE-UHFFFAOYSA-N 0 0 429.568 -0.481 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1C ZINC000550821342 1073032783 /nfs/dbraw/zinc/03/27/83/1073032783.db2.gz ZGXZKRPQDYAZOE-UHFFFAOYSA-N 0 0 428.497 -0.705 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCn3cnc4ccccc43)CC2)no1 ZINC000550821396 1073032184 /nfs/dbraw/zinc/03/21/84/1073032184.db2.gz CPFCTLRNFMRXRC-UHFFFAOYSA-N 0 0 439.476 0.232 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC(F)(F)F)cc2)CC1 ZINC000550821593 1073032289 /nfs/dbraw/zinc/03/22/89/1073032289.db2.gz NVFCWJOAYXHGDI-UHFFFAOYSA-N 0 0 444.457 -0.251 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1 ZINC000550821777 1073032850 /nfs/dbraw/zinc/03/28/50/1073032850.db2.gz UFGIOFWFRAAQHF-GFCCVEGCSA-N 0 0 434.419 -0.825 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1 ZINC000550821778 1073032730 /nfs/dbraw/zinc/03/27/30/1073032730.db2.gz UFGIOFWFRAAQHF-LBPRGKRZSA-N 0 0 434.419 -0.825 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc2c(cc1Br)CCC(=O)N2 ZINC000550821859 1073032810 /nfs/dbraw/zinc/03/28/10/1073032810.db2.gz KHDGOLYPTLJMER-UHFFFAOYSA-N 0 0 434.268 -0.099 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1)CC2 ZINC000550822093 1073032830 /nfs/dbraw/zinc/03/28/30/1073032830.db2.gz SVQCFVAJNREHFZ-JTQLQIEISA-N 0 0 442.402 -0.107 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1)CC2 ZINC000550822100 1073032749 /nfs/dbraw/zinc/03/27/49/1073032749.db2.gz SVQCFVAJNREHFZ-SNVBAGLBSA-N 0 0 442.402 -0.107 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCN(c2ncccc2F)C1 ZINC000550822156 1073032907 /nfs/dbraw/zinc/03/29/07/1073032907.db2.gz DDUXZMAASMTABV-INIZCTEOSA-N 0 0 442.499 -0.356 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCN(c2ncccc2F)C1 ZINC000550822157 1073032802 /nfs/dbraw/zinc/03/28/02/1073032802.db2.gz DDUXZMAASMTABV-MRXNPFEDSA-N 0 0 442.499 -0.356 20 0 IBADRN CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000550822223 1073032758 /nfs/dbraw/zinc/03/27/58/1073032758.db2.gz HQDJMRSVNAMOPI-KRWDZBQOSA-N 0 0 445.568 -0.132 20 0 IBADRN CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000550822224 1073032682 /nfs/dbraw/zinc/03/26/82/1073032682.db2.gz HQDJMRSVNAMOPI-QGZVFWFLSA-N 0 0 445.568 -0.132 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000550822492 1073032691 /nfs/dbraw/zinc/03/26/91/1073032691.db2.gz OFMJZQRXXPDWOC-MOPGFXCFSA-N 0 0 445.568 -0.526 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000550822493 1073032709 /nfs/dbraw/zinc/03/27/09/1073032709.db2.gz OFMJZQRXXPDWOC-OALUTQOASA-N 0 0 445.568 -0.526 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000550822494 1073032917 /nfs/dbraw/zinc/03/29/17/1073032917.db2.gz OFMJZQRXXPDWOC-RBUKOAKNSA-N 0 0 445.568 -0.526 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000550822495 1073032887 /nfs/dbraw/zinc/03/28/87/1073032887.db2.gz OFMJZQRXXPDWOC-RTBURBONSA-N 0 0 445.568 -0.526 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)CC1 ZINC000550823017 1073033292 /nfs/dbraw/zinc/03/32/92/1073033292.db2.gz LEQASARXMAFNCG-UHFFFAOYSA-N 0 0 429.517 -0.564 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1 ZINC000550823049 1073033222 /nfs/dbraw/zinc/03/32/22/1073033222.db2.gz LUXHAHVEPOMPLI-SNVBAGLBSA-N 0 0 438.432 -0.436 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCCOC2)O1 ZINC000550823723 1073032878 /nfs/dbraw/zinc/03/28/78/1073032878.db2.gz WHNIPMGLAKYTLY-DYESRHJHSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCCOC2)O1 ZINC000550823724 1073032840 /nfs/dbraw/zinc/03/28/40/1073032840.db2.gz WHNIPMGLAKYTLY-LAUBAEHRSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@]2(CCCOC2)O1 ZINC000550823725 1073032867 /nfs/dbraw/zinc/03/28/67/1073032867.db2.gz WHNIPMGLAKYTLY-UTKZUKDTSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@]2(CCCOC2)O1 ZINC000550823726 1073033134 /nfs/dbraw/zinc/03/31/34/1073033134.db2.gz WHNIPMGLAKYTLY-UWJYYQICSA-N 0 0 432.525 -0.489 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCc1nccn1CC(F)(F)F ZINC000550823783 1073033330 /nfs/dbraw/zinc/03/33/30/1073033330.db2.gz YXGPQQPYNBJBFC-UHFFFAOYSA-N 0 0 440.430 -0.210 20 0 IBADRN CCc1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000550823851 1073033780 /nfs/dbraw/zinc/03/37/80/1073033780.db2.gz BBIFODBWCILETC-HNNXBMFYSA-N 0 0 427.513 -0.480 20 0 IBADRN CCc1nc2n(n1)CCC[C@H]2NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000550823852 1073033739 /nfs/dbraw/zinc/03/37/39/1073033739.db2.gz BBIFODBWCILETC-OAHLLOKOSA-N 0 0 427.513 -0.480 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000550823876 1073033831 /nfs/dbraw/zinc/03/38/31/1073033831.db2.gz BXEBKVLQIBTWNR-UHFFFAOYSA-N 0 0 430.513 -0.877 20 0 IBADRN CN(C)c1ncc(CN(C)C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000550823994 1073033337 /nfs/dbraw/zinc/03/33/37/1073033337.db2.gz FUURWGIDMQSIJG-UHFFFAOYSA-N 0 0 427.513 -0.771 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000550824516 1073033320 /nfs/dbraw/zinc/03/33/20/1073033320.db2.gz JQRJUHPVSGBJAW-UHFFFAOYSA-N 0 0 439.524 -0.756 20 0 IBADRN Cc1cnn(C[C@H]2CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)c1 ZINC000550824724 1073033358 /nfs/dbraw/zinc/03/33/58/1073033358.db2.gz LPXYUYXIISOSRW-GOSISDBHSA-N 0 0 442.524 -0.853 20 0 IBADRN Cc1cnn(C[C@@H]2CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)c1 ZINC000550824726 1073033209 /nfs/dbraw/zinc/03/32/09/1073033209.db2.gz LPXYUYXIISOSRW-SFHVURJKSA-N 0 0 442.524 -0.853 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@@H](C)N2S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)O1 ZINC000550824866 1073033124 /nfs/dbraw/zinc/03/31/24/1073033124.db2.gz NIJNSYSLXYVVRA-JXJLXUTGSA-N 0 0 438.462 -0.094 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)N2S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)O1 ZINC000550824867 1073033145 /nfs/dbraw/zinc/03/31/45/1073033145.db2.gz NIJNSYSLXYVVRA-QQUHWDOBSA-N 0 0 438.462 -0.094 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@@H](CC[C@H](C)N2S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)O1 ZINC000550824868 1073033715 /nfs/dbraw/zinc/03/37/15/1073033715.db2.gz NIJNSYSLXYVVRA-VKKKGTNTSA-N 0 0 438.462 -0.094 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)N2S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)O1 ZINC000550824869 1073033641 /nfs/dbraw/zinc/03/36/41/1073033641.db2.gz NIJNSYSLXYVVRA-VZLIPTOUSA-N 0 0 438.462 -0.094 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2ccn(C)n2)cc1 ZINC000550824914 1073336059 /nfs/dbraw/zinc/33/60/59/1073336059.db2.gz RHJXCHYAXYBIKG-UHFFFAOYSA-N 0 0 429.524 0.368 20 0 IBADRN O=C(NCCNc1nccc(C(F)(F)F)n1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000550825161 1073034063 /nfs/dbraw/zinc/03/40/63/1073034063.db2.gz REJJHCJNBARZQJ-GFCCVEGCSA-N 0 0 444.414 -0.127 20 0 IBADRN O=C(NCCNc1nccc(C(F)(F)F)n1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000550825162 1073034069 /nfs/dbraw/zinc/03/40/69/1073034069.db2.gz REJJHCJNBARZQJ-LBPRGKRZSA-N 0 0 444.414 -0.127 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCc1ncnn1-c1ccccc1 ZINC000550825308 1073033791 /nfs/dbraw/zinc/03/37/91/1073033791.db2.gz AZURSFNVJNNLRX-UHFFFAOYSA-N 0 0 435.492 -0.388 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000550825324 1073033606 /nfs/dbraw/zinc/03/36/06/1073033606.db2.gz BJRFBDUQHAKLNP-UHFFFAOYSA-N 0 0 437.566 -0.581 20 0 IBADRN CCCC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000550825367 1073033669 /nfs/dbraw/zinc/03/36/69/1073033669.db2.gz RXSXXNSLOCBQAJ-GOSISDBHSA-N 0 0 445.568 -0.130 20 0 IBADRN CCCC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000550825368 1073033803 /nfs/dbraw/zinc/03/38/03/1073033803.db2.gz RXSXXNSLOCBQAJ-SFHVURJKSA-N 0 0 445.568 -0.130 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000550825381 1073034047 /nfs/dbraw/zinc/03/40/47/1073034047.db2.gz SGQPEKWTUMEGSE-GOSISDBHSA-N 0 0 444.580 -0.279 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000550825382 1073034032 /nfs/dbraw/zinc/03/40/32/1073034032.db2.gz SGQPEKWTUMEGSE-SFHVURJKSA-N 0 0 444.580 -0.279 20 0 IBADRN Cn1cc(C[C@@H]2CCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)C2)cn1 ZINC000550825391 1073034102 /nfs/dbraw/zinc/03/41/02/1073034102.db2.gz SNQSSVAASBFYEN-KRWDZBQOSA-N 0 0 426.525 -0.461 20 0 IBADRN Cn1cc(C[C@H]2CCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)C2)cn1 ZINC000550825392 1073034150 /nfs/dbraw/zinc/03/41/50/1073034150.db2.gz SNQSSVAASBFYEN-QGZVFWFLSA-N 0 0 426.525 -0.461 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1=O ZINC000550825651 1073033814 /nfs/dbraw/zinc/03/38/14/1073033814.db2.gz FIWSATZKFGGLOL-UHFFFAOYSA-N 0 0 431.537 -0.368 20 0 IBADRN Cc1nccn1C[C@H]1CCCN1C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000550825720 1073033747 /nfs/dbraw/zinc/03/37/47/1073033747.db2.gz VZBUVOPOQRPONZ-GOSISDBHSA-N 0 0 426.525 -0.089 20 0 IBADRN Cc1nccn1C[C@@H]1CCCN1C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000550825722 1073033617 /nfs/dbraw/zinc/03/36/17/1073033617.db2.gz VZBUVOPOQRPONZ-SFHVURJKSA-N 0 0 426.525 -0.089 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000550825768 1073033659 /nfs/dbraw/zinc/03/36/59/1073033659.db2.gz XANNCPBKBSANRL-UHFFFAOYSA-N 0 0 425.493 -0.827 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(c2ccc(F)cn2)CC1 ZINC000550825801 1073033647 /nfs/dbraw/zinc/03/36/47/1073033647.db2.gz YCPZWQAMOSUGGD-UHFFFAOYSA-N 0 0 442.499 -0.402 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000550825804 1073033594 /nfs/dbraw/zinc/03/35/94/1073033594.db2.gz YGVXJVYUZSASDI-UHFFFAOYSA-N 0 0 439.524 -0.710 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(c2cccc(O)c2)CC1 ZINC000550825819 1073033769 /nfs/dbraw/zinc/03/37/69/1073033769.db2.gz YSICCZKWSXIQKJ-UHFFFAOYSA-N 0 0 439.520 -0.231 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(CC(F)(F)F)CC1 ZINC000550825853 1073033681 /nfs/dbraw/zinc/03/36/81/1073033681.db2.gz ZOSJVHXIBCTXTK-UHFFFAOYSA-N 0 0 429.447 -0.579 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000550825920 1073034042 /nfs/dbraw/zinc/03/40/42/1073034042.db2.gz IPJZAFYQIMOCJH-UHFFFAOYSA-N 0 0 444.565 -0.484 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCCN3CCN(c4ccccc4)CC3)C2=O)cn1 ZINC000550826806 1073034183 /nfs/dbraw/zinc/03/41/83/1073034183.db2.gz SYZYPGPWQOYANY-IBGZPJMESA-N 0 0 439.520 -0.420 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCCN3CCN(c4ccccc4)CC3)C2=O)cn1 ZINC000550826807 1073034127 /nfs/dbraw/zinc/03/41/27/1073034127.db2.gz SYZYPGPWQOYANY-LJQANCHMSA-N 0 0 439.520 -0.420 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000550827194 1073034538 /nfs/dbraw/zinc/03/45/38/1073034538.db2.gz UBMAUYDXPOMOFH-CTNGQTDRSA-N 0 0 448.564 -0.203 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000550827196 1073034596 /nfs/dbraw/zinc/03/45/96/1073034596.db2.gz UBMAUYDXPOMOFH-FPOVZHCZSA-N 0 0 448.564 -0.203 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000550827198 1073034506 /nfs/dbraw/zinc/03/45/06/1073034506.db2.gz UBMAUYDXPOMOFH-PZJWPPBQSA-N 0 0 448.564 -0.203 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000550827199 1073034523 /nfs/dbraw/zinc/03/45/23/1073034523.db2.gz UBMAUYDXPOMOFH-TZIWHRDSSA-N 0 0 448.564 -0.203 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCCN3CCN(c4ccccc4)CC3)CC2)n1 ZINC000550827260 1073363589 /nfs/dbraw/zinc/36/35/89/1073363589.db2.gz VXVQDPYVNFQQBC-UHFFFAOYSA-N 0 0 444.565 -0.293 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@H](C)O1 ZINC000550827595 1073034710 /nfs/dbraw/zinc/03/47/10/1073034710.db2.gz XZEWPMSPMSNLHT-HDICACEKSA-N 0 0 433.557 -0.718 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCn2cnc3ccccc32)CC1 ZINC000550828806 1073034205 /nfs/dbraw/zinc/03/42/05/1073034205.db2.gz LTFFNMXXYKTMTJ-KRWDZBQOSA-N 0 0 442.520 -0.456 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCn2cnc3ccccc32)CC1 ZINC000550828808 1073034026 /nfs/dbraw/zinc/03/40/26/1073034026.db2.gz LTFFNMXXYKTMTJ-QGZVFWFLSA-N 0 0 442.520 -0.456 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCCC4=O)c3)CC2)c1=O ZINC000550828908 1073034161 /nfs/dbraw/zinc/03/41/61/1073034161.db2.gz PQXPETYCVCLSPU-UHFFFAOYSA-N 0 0 438.488 -0.128 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCS(N)(=O)=O)nnn1CCOc1ccc(F)cc1 ZINC000550835842 1073034675 /nfs/dbraw/zinc/03/46/75/1073034675.db2.gz DXXKRUSKIIIRKP-UHFFFAOYSA-N 0 0 428.446 -0.462 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccccc1N1CCOCC1)S(C)(=O)=O ZINC000550836471 1073034699 /nfs/dbraw/zinc/03/46/99/1073034699.db2.gz QDCAAMNUMNYFIZ-UHFFFAOYSA-N 0 0 426.539 -0.073 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(COC)cc(C(=O)OC)c1 ZINC000550836699 1073034691 /nfs/dbraw/zinc/03/46/91/1073034691.db2.gz JGCKTEBRJGORCV-HNNXBMFYSA-N 0 0 434.449 -0.589 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(COC)cc(C(=O)OC)c1 ZINC000550836702 1073034415 /nfs/dbraw/zinc/03/44/15/1073034415.db2.gz JGCKTEBRJGORCV-OAHLLOKOSA-N 0 0 434.449 -0.589 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1 ZINC000550837131 1073034985 /nfs/dbraw/zinc/03/49/85/1073034985.db2.gz NCXKHKVHMWFNGE-INIZCTEOSA-N 0 0 448.476 -0.199 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1 ZINC000550837132 1073035079 /nfs/dbraw/zinc/03/50/79/1073035079.db2.gz NCXKHKVHMWFNGE-MRXNPFEDSA-N 0 0 448.476 -0.199 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1nnn(Cc2ccccc2F)c1C ZINC000550837797 1073034932 /nfs/dbraw/zinc/03/49/32/1073034932.db2.gz ZRHVXHLIMZWPFH-HNNXBMFYSA-N 0 0 445.455 -0.434 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1nnn(Cc2ccccc2F)c1C ZINC000550837798 1073035031 /nfs/dbraw/zinc/03/50/31/1073035031.db2.gz ZRHVXHLIMZWPFH-OAHLLOKOSA-N 0 0 445.455 -0.434 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1OC ZINC000550845206 1073035435 /nfs/dbraw/zinc/03/54/35/1073035435.db2.gz YWDZXYPEFWWYHZ-INIZCTEOSA-N 0 0 448.520 -0.289 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1OC ZINC000550845207 1073035308 /nfs/dbraw/zinc/03/53/08/1073035308.db2.gz YWDZXYPEFWWYHZ-MRXNPFEDSA-N 0 0 448.520 -0.289 20 0 IBADRN Cc1ccc(O[C@@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000550847818 1073035680 /nfs/dbraw/zinc/03/56/80/1073035680.db2.gz WAFWEXNUPKETKH-HNNXBMFYSA-N 0 0 444.492 -0.633 20 0 IBADRN Cc1ccc(O[C@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000550847819 1073035621 /nfs/dbraw/zinc/03/56/21/1073035621.db2.gz WAFWEXNUPKETKH-OAHLLOKOSA-N 0 0 444.492 -0.633 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000550849271 1073035253 /nfs/dbraw/zinc/03/52/53/1073035253.db2.gz FNSINOSCINGXLG-UHFFFAOYSA-N 0 0 429.543 -0.448 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2c(C)nn(C)c2C)C1 ZINC000550849766 1073035413 /nfs/dbraw/zinc/03/54/13/1073035413.db2.gz JAYYETNPYJQRKE-HNNXBMFYSA-N 0 0 429.543 -0.448 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2c(C)nn(C)c2C)C1 ZINC000550849767 1073035376 /nfs/dbraw/zinc/03/53/76/1073035376.db2.gz JAYYETNPYJQRKE-OAHLLOKOSA-N 0 0 429.543 -0.448 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3c(C)nn(C)c3C)CC2)cs1 ZINC000550849994 1073035653 /nfs/dbraw/zinc/03/56/53/1073035653.db2.gz ONXDHOVECJBOMV-UHFFFAOYSA-N 0 0 440.551 -0.049 20 0 IBADRN CC(C)(CO)CCCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000550850798 1073035850 /nfs/dbraw/zinc/03/58/50/1073035850.db2.gz YHAXLNINNPCGRY-UHFFFAOYSA-N 0 0 434.541 -0.123 20 0 IBADRN COc1ccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000550850907 1073035727 /nfs/dbraw/zinc/03/57/27/1073035727.db2.gz ALKSRRXLGWXISZ-KRWDZBQOSA-N 0 0 448.520 -0.418 20 0 IBADRN COc1ccc(O[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000550850909 1073035632 /nfs/dbraw/zinc/03/56/32/1073035632.db2.gz ALKSRRXLGWXISZ-QGZVFWFLSA-N 0 0 448.520 -0.418 20 0 IBADRN Cc1ccc(O[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000550851165 1073035820 /nfs/dbraw/zinc/03/58/20/1073035820.db2.gz FAPKFKZLJDAZAZ-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1ccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000550851169 1073035908 /nfs/dbraw/zinc/03/59/08/1073035908.db2.gz FAPKFKZLJDAZAZ-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN CCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000550851250 1073035696 /nfs/dbraw/zinc/03/56/96/1073035696.db2.gz HQPOCOKYDYPJNK-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccc(-n2cccn2)cc1 ZINC000550852557 1073035791 /nfs/dbraw/zinc/03/57/91/1073035791.db2.gz ALDMONJUDCRKTL-UHFFFAOYSA-N 0 0 437.547 -0.017 20 0 IBADRN CCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000550853929 1073035665 /nfs/dbraw/zinc/03/56/65/1073035665.db2.gz JOLXNXSENUOUTD-UHFFFAOYSA-N 0 0 429.477 -0.399 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000550858839 1073036140 /nfs/dbraw/zinc/03/61/40/1073036140.db2.gz AHYDNMPBCVWXGY-UHFFFAOYSA-N 0 0 433.509 -0.444 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)N(C)C)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000550859394 1073036213 /nfs/dbraw/zinc/03/62/13/1073036213.db2.gz USDDEDPYHCOHNE-GOSISDBHSA-N 0 0 439.494 -0.262 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)N(C)C)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000550859395 1073036057 /nfs/dbraw/zinc/03/60/57/1073036057.db2.gz USDDEDPYHCOHNE-SFHVURJKSA-N 0 0 439.494 -0.262 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)CC1 ZINC000550859891 1073037071 /nfs/dbraw/zinc/03/70/71/1073037071.db2.gz KCPGQFMNTKOFGB-UHFFFAOYSA-N 0 0 438.554 -0.246 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(CCOC)Cc2ccnn2C)CC1 ZINC000550859972 1073037000 /nfs/dbraw/zinc/03/70/00/1073037000.db2.gz LNJNAIMLQATMNT-UHFFFAOYSA-N 0 0 429.543 -0.285 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)CC1 ZINC000550860388 1073037019 /nfs/dbraw/zinc/03/70/19/1073037019.db2.gz QBMBUDWCICKJBA-UHFFFAOYSA-N 0 0 440.570 -0.615 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)CC1 ZINC000550861533 1073037028 /nfs/dbraw/zinc/03/70/28/1073037028.db2.gz LQYMMZCQXLPZDC-INIZCTEOSA-N 0 0 430.571 -0.513 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)CC1 ZINC000550861534 1073036975 /nfs/dbraw/zinc/03/69/75/1073036975.db2.gz LQYMMZCQXLPZDC-MRXNPFEDSA-N 0 0 430.571 -0.513 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000550861676 1073037097 /nfs/dbraw/zinc/03/70/97/1073037097.db2.gz BLXXBAUHKBYVAP-INIZCTEOSA-N 0 0 440.522 -0.184 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000550861677 1073037064 /nfs/dbraw/zinc/03/70/64/1073037064.db2.gz BLXXBAUHKBYVAP-MRXNPFEDSA-N 0 0 440.522 -0.184 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000550861697 1073036947 /nfs/dbraw/zinc/03/69/47/1073036947.db2.gz BUKPEHQJQZGTHQ-INIZCTEOSA-N 0 0 438.554 -0.118 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000550861698 1073036938 /nfs/dbraw/zinc/03/69/38/1073036938.db2.gz BUKPEHQJQZGTHQ-MRXNPFEDSA-N 0 0 438.554 -0.118 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000550861975 1073037090 /nfs/dbraw/zinc/03/70/90/1073037090.db2.gz FCHHLSQBNKUUQN-INIZCTEOSA-N 0 0 444.554 -0.843 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000550861976 1073037321 /nfs/dbraw/zinc/03/73/21/1073037321.db2.gz FCHHLSQBNKUUQN-MRXNPFEDSA-N 0 0 444.554 -0.843 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)CC1 ZINC000550862007 1073037333 /nfs/dbraw/zinc/03/73/33/1073037333.db2.gz FWFFNCXAPGNQJP-HNNXBMFYSA-N 0 0 432.587 -0.390 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)CC1 ZINC000550862016 1073037386 /nfs/dbraw/zinc/03/73/86/1073037386.db2.gz FWFFNCXAPGNQJP-OAHLLOKOSA-N 0 0 432.587 -0.390 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)CC1 ZINC000550862459 1073037041 /nfs/dbraw/zinc/03/70/41/1073037041.db2.gz HSSXHVPWAZCQQE-UHFFFAOYSA-N 0 0 442.517 -0.415 20 0 IBADRN CC(=O)Nc1cccc([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000550862504 1073036957 /nfs/dbraw/zinc/03/69/57/1073036957.db2.gz IXDIASXQIDFRHN-INIZCTEOSA-N 0 0 445.520 -0.175 20 0 IBADRN CC(=O)Nc1cccc([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000550862505 1073037010 /nfs/dbraw/zinc/03/70/10/1073037010.db2.gz IXDIASXQIDFRHN-MRXNPFEDSA-N 0 0 445.520 -0.175 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(CCO)Cc2ccnn2CC)CC1 ZINC000550862644 1073037106 /nfs/dbraw/zinc/03/71/06/1073037106.db2.gz ZWABDHQKWLATKE-UHFFFAOYSA-N 0 0 429.543 -0.456 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CC)[C@H](c3ncc[nH]3)C2)CC1 ZINC000550862874 1073037326 /nfs/dbraw/zinc/03/73/26/1073037326.db2.gz LOUONTDBDZSALQ-INIZCTEOSA-N 0 0 440.570 -0.065 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CC)[C@@H](c3ncc[nH]3)C2)CC1 ZINC000550862875 1073037377 /nfs/dbraw/zinc/03/73/77/1073037377.db2.gz LOUONTDBDZSALQ-MRXNPFEDSA-N 0 0 440.570 -0.065 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)NCCCN(CC)S(C)(=O)=O)CC1 ZINC000550863702 1073037367 /nfs/dbraw/zinc/03/73/67/1073037367.db2.gz PLHFBZAMRWGIAE-UHFFFAOYSA-N 0 0 440.588 -0.905 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000550864281 1073037403 /nfs/dbraw/zinc/03/74/03/1073037403.db2.gz SDUYWZNSNJSXCC-INIZCTEOSA-N 0 0 428.449 -0.457 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000550864282 1073037444 /nfs/dbraw/zinc/03/74/44/1073037444.db2.gz SDUYWZNSNJSXCC-MRXNPFEDSA-N 0 0 428.449 -0.457 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(Cc3cc(C)no3)CC2)CC1 ZINC000550864997 1073037287 /nfs/dbraw/zinc/03/72/87/1073037287.db2.gz ZGIPBVDPPOANOK-UHFFFAOYSA-N 0 0 441.554 -0.052 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCC[C@H](N3CCCC3=O)C2)CC1 ZINC000550865002 1073037295 /nfs/dbraw/zinc/03/72/95/1073037295.db2.gz ZNARQZLYPSBHER-INIZCTEOSA-N 0 0 428.555 -0.080 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCC[C@@H](N3CCCC3=O)C2)CC1 ZINC000550865003 1073037370 /nfs/dbraw/zinc/03/73/70/1073037370.db2.gz ZNARQZLYPSBHER-MRXNPFEDSA-N 0 0 428.555 -0.080 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550867083 1073037848 /nfs/dbraw/zinc/03/78/48/1073037848.db2.gz DEJUJADJYCLMBK-UHFFFAOYSA-N 0 0 425.445 -0.405 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000550867259 1073037880 /nfs/dbraw/zinc/03/78/80/1073037880.db2.gz LVVKSGFMBHFJEF-CYBMUJFWSA-N 0 0 429.433 -0.059 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000550867260 1073037729 /nfs/dbraw/zinc/03/77/29/1073037729.db2.gz LVVKSGFMBHFJEF-ZDUSSCGKSA-N 0 0 429.433 -0.059 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2csc(-c3ccccc3)n2)CC1 ZINC000550869305 1073037782 /nfs/dbraw/zinc/03/77/82/1073037782.db2.gz CTDWIJYXHREKEW-UHFFFAOYSA-N 0 0 440.489 -0.216 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000550870739 1073037904 /nfs/dbraw/zinc/03/79/04/1073037904.db2.gz IEELZXAWIBXXCZ-NRFANRHFSA-N 0 0 430.461 -0.714 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000550870744 1073037896 /nfs/dbraw/zinc/03/78/96/1073037896.db2.gz IEELZXAWIBXXCZ-OAQYLSRUSA-N 0 0 430.461 -0.714 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550871908 1073036604 /nfs/dbraw/zinc/03/66/04/1073036604.db2.gz PZMUNWQJJJKTPX-GOSISDBHSA-N 0 0 445.564 -0.131 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550871910 1073036517 /nfs/dbraw/zinc/03/65/17/1073036517.db2.gz PZMUNWQJJJKTPX-SFHVURJKSA-N 0 0 445.564 -0.131 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCSC[C@@H]1CCO ZINC000550871948 1073036590 /nfs/dbraw/zinc/03/65/90/1073036590.db2.gz RGJCMFGZOVQQTM-INIZCTEOSA-N 0 0 434.518 -0.799 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCSC[C@H]1CCO ZINC000550871949 1073036561 /nfs/dbraw/zinc/03/65/61/1073036561.db2.gz RGJCMFGZOVQQTM-MRXNPFEDSA-N 0 0 434.518 -0.799 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550872695 1073036643 /nfs/dbraw/zinc/03/66/43/1073036643.db2.gz AUYGAUYAQQUBBU-UHFFFAOYSA-N 0 0 439.472 -0.015 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550872776 1073036669 /nfs/dbraw/zinc/03/66/69/1073036669.db2.gz FBTJRJKGEYOLMA-HNNXBMFYSA-N 0 0 425.493 -0.115 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550872777 1073036549 /nfs/dbraw/zinc/03/65/49/1073036549.db2.gz FBTJRJKGEYOLMA-OAHLLOKOSA-N 0 0 425.493 -0.115 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCOCC2(CCC2)C1 ZINC000550872796 1073036687 /nfs/dbraw/zinc/03/66/87/1073036687.db2.gz GBLOEGQLVOQLJL-UHFFFAOYSA-N 0 0 428.489 -0.096 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000550872851 1073036531 /nfs/dbraw/zinc/03/65/31/1073036531.db2.gz HOPSKJIZCWSYER-UHFFFAOYSA-N 0 0 432.521 -0.310 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000550872871 1073036542 /nfs/dbraw/zinc/03/65/42/1073036542.db2.gz IQYJEUWRQGWDNL-UHFFFAOYSA-N 0 0 430.461 -0.714 20 0 IBADRN CC(=O)N(c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1)C1CC1 ZINC000550872951 1073037313 /nfs/dbraw/zinc/03/73/13/1073037313.db2.gz LTTMXVUUPJYKLN-UHFFFAOYSA-N 0 0 440.464 -0.502 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC(CCCO)CC1 ZINC000550873010 1073036583 /nfs/dbraw/zinc/03/65/83/1073036583.db2.gz NSFSQVYECWZHBD-UHFFFAOYSA-N 0 0 430.505 -0.114 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2nc(CC(F)(F)F)cs2)CC1 ZINC000550873132 1073036966 /nfs/dbraw/zinc/03/69/66/1073036966.db2.gz SBDHPLRYVNMNEO-UHFFFAOYSA-N 0 0 432.388 -0.456 20 0 IBADRN CC(C)(C)OC(=O)CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000550873285 1073036577 /nfs/dbraw/zinc/03/65/77/1073036577.db2.gz YLRWMWIYELSESH-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN CCN(C(=O)CCn1ncn(C)c1=O)c1ccc(NC(=O)CCn2ncn(C)c2=O)cc1 ZINC000550876557 1073039496 /nfs/dbraw/zinc/03/94/96/1073039496.db2.gz AXPVONBXRWKRQU-UHFFFAOYSA-N 0 0 442.480 -0.051 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC000550877403 1073039616 /nfs/dbraw/zinc/03/96/16/1073039616.db2.gz LFMKQXTUOTTXGB-HNNXBMFYSA-N 0 0 438.506 -0.681 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC000550877404 1073039433 /nfs/dbraw/zinc/03/94/33/1073039433.db2.gz LFMKQXTUOTTXGB-OAHLLOKOSA-N 0 0 438.506 -0.681 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)s1 ZINC000550878518 1073039379 /nfs/dbraw/zinc/03/93/79/1073039379.db2.gz JCKOSMBRNNPCJG-UHFFFAOYSA-N 0 0 440.503 -0.102 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c1 ZINC000550881000 1073037926 /nfs/dbraw/zinc/03/79/26/1073037926.db2.gz OZZFHIXKHYVFON-UHFFFAOYSA-N 0 0 434.493 -0.017 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(N)(=O)=O)nnn1Cc1ccc(C(F)(F)F)cc1 ZINC000550882708 1073037914 /nfs/dbraw/zinc/03/79/14/1073037914.db2.gz DUZNDNZOWRCEIC-UHFFFAOYSA-N 0 0 434.400 -0.003 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000550887896 1073038194 /nfs/dbraw/zinc/03/81/94/1073038194.db2.gz KRLXKSCAJKUVRW-UHFFFAOYSA-N 0 0 429.456 -0.188 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550887926 1073038714 /nfs/dbraw/zinc/03/87/14/1073038714.db2.gz LZAPSGKKGYVPQF-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550887927 1073038846 /nfs/dbraw/zinc/03/88/46/1073038846.db2.gz LZAPSGKKGYVPQF-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N(CCO)C3CCOCC3)cc2)CC1 ZINC000550888133 1073038743 /nfs/dbraw/zinc/03/87/43/1073038743.db2.gz OZGIBVXMVCITQL-UHFFFAOYSA-N 0 0 432.521 -0.310 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)CC2)n1 ZINC000550888287 1073363778 /nfs/dbraw/zinc/36/37/78/1073363778.db2.gz VAZNTQXPQLAQFH-UHFFFAOYSA-N 0 0 432.510 -0.683 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccc(N2CCCC2)nc1 ZINC000550888339 1073038760 /nfs/dbraw/zinc/03/87/60/1073038760.db2.gz XMOAJLLPXUOTHW-UHFFFAOYSA-N 0 0 441.579 -0.207 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000550888713 1073038118 /nfs/dbraw/zinc/03/81/18/1073038118.db2.gz LCBORVZDNITXBP-KRWDZBQOSA-N 0 0 430.509 -0.780 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000550888714 1073038541 /nfs/dbraw/zinc/03/85/41/1073038541.db2.gz LCBORVZDNITXBP-QGZVFWFLSA-N 0 0 430.509 -0.780 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000550888973 1073038810 /nfs/dbraw/zinc/03/88/10/1073038810.db2.gz SOPCITRALLKRJR-UHFFFAOYSA-N 0 0 432.525 -0.580 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)CC2=O)cn1 ZINC000550888984 1073038641 /nfs/dbraw/zinc/03/86/41/1073038641.db2.gz SYUUKMPKLAJVOE-UHFFFAOYSA-N 0 0 427.465 -0.857 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCn3nc(C)nc3C2)cc1OC ZINC000550890677 1073039199 /nfs/dbraw/zinc/03/91/99/1073039199.db2.gz BSLQZZDQRBYXFC-UHFFFAOYSA-N 0 0 430.465 -0.231 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@]2(CCOC2)O1 ZINC000550890732 1073039042 /nfs/dbraw/zinc/03/90/42/1073039042.db2.gz CTPIVRYWSDANQT-IVZQSRNASA-N 0 0 444.488 -0.719 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@]2(CCOC2)O1 ZINC000550890733 1073039189 /nfs/dbraw/zinc/03/91/89/1073039189.db2.gz CTPIVRYWSDANQT-NYHFZMIOSA-N 0 0 444.488 -0.719 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@]2(CCOC2)O1 ZINC000550890734 1073039167 /nfs/dbraw/zinc/03/91/67/1073039167.db2.gz CTPIVRYWSDANQT-OYHNWAKOSA-N 0 0 444.488 -0.719 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@]2(CCOC2)O1 ZINC000550890735 1073039174 /nfs/dbraw/zinc/03/91/74/1073039174.db2.gz CTPIVRYWSDANQT-QRQCRPRQSA-N 0 0 444.488 -0.719 20 0 IBADRN COCCOC[C@H]1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000550891180 1073039105 /nfs/dbraw/zinc/03/91/05/1073039105.db2.gz FBZLFBUGXQAVNL-KRWDZBQOSA-N 0 0 446.504 -0.614 20 0 IBADRN COCCOC[C@@H]1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000550891181 1073039094 /nfs/dbraw/zinc/03/90/94/1073039094.db2.gz FBZLFBUGXQAVNL-QGZVFWFLSA-N 0 0 446.504 -0.614 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1OC ZINC000550891400 1073039110 /nfs/dbraw/zinc/03/91/10/1073039110.db2.gz BOZLJMRTMZCMKG-INIZCTEOSA-N 0 0 434.493 -0.631 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1OC ZINC000550891401 1073039022 /nfs/dbraw/zinc/03/90/22/1073039022.db2.gz BOZLJMRTMZCMKG-MRXNPFEDSA-N 0 0 434.493 -0.631 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)CC2)ncn1 ZINC000550891894 1073039814 /nfs/dbraw/zinc/03/98/14/1073039814.db2.gz JSBLPHKGYDZRDD-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CC[C@H](NC(=O)NC)C2)cc1OC ZINC000550892026 1073039831 /nfs/dbraw/zinc/03/98/31/1073039831.db2.gz DGTDIPKGFWNQDK-AWEZNQCLSA-N 0 0 435.481 -0.644 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CC[C@@H](NC(=O)NC)C2)cc1OC ZINC000550892027 1073039769 /nfs/dbraw/zinc/03/97/69/1073039769.db2.gz DGTDIPKGFWNQDK-CQSZACIVSA-N 0 0 435.481 -0.644 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCCN(CC)C(=O)C2)cc1OC ZINC000550892076 1073039904 /nfs/dbraw/zinc/03/99/04/1073039904.db2.gz FJYGLQCYVXTZIX-UHFFFAOYSA-N 0 0 434.493 -0.093 20 0 IBADRN O=C(N[C@@H]1CCc2n[nH]cc2C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550892737 1073039927 /nfs/dbraw/zinc/03/99/27/1073039927.db2.gz SQJOQJWXPRQGJM-CABCVRRESA-N 0 0 425.511 -0.964 20 0 IBADRN O=C(N[C@H]1CCc2n[nH]cc2C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550892738 1073039840 /nfs/dbraw/zinc/03/98/40/1073039840.db2.gz SQJOQJWXPRQGJM-GJZGRUSLSA-N 0 0 425.511 -0.964 20 0 IBADRN O=C(N[C@@H]1CCc2n[nH]cc2C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550892739 1073039998 /nfs/dbraw/zinc/03/99/98/1073039998.db2.gz SQJOQJWXPRQGJM-HUUCEWRRSA-N 0 0 425.511 -0.964 20 0 IBADRN O=C(N[C@H]1CCc2n[nH]cc2C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000550892741 1073039161 /nfs/dbraw/zinc/03/91/61/1073039161.db2.gz SQJOQJWXPRQGJM-LSDHHAIUSA-N 0 0 425.511 -0.964 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000550892972 1073040495 /nfs/dbraw/zinc/04/04/95/1073040495.db2.gz SKTKRCVYRQWJNQ-UHFFFAOYSA-N 0 0 432.525 -0.843 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000550893057 1073039917 /nfs/dbraw/zinc/03/99/17/1073039917.db2.gz UQDPIGJDGJUCRZ-UHFFFAOYSA-N 0 0 426.477 -0.505 20 0 IBADRN CC(C)OC[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000550894251 1073040373 /nfs/dbraw/zinc/04/03/73/1073040373.db2.gz BCUXCACRTDHZOA-GOSISDBHSA-N 0 0 446.504 -0.473 20 0 IBADRN CC(C)OC[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000550894252 1073040444 /nfs/dbraw/zinc/04/04/44/1073040444.db2.gz BCUXCACRTDHZOA-SFHVURJKSA-N 0 0 446.504 -0.473 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000550894261 1073040249 /nfs/dbraw/zinc/04/02/49/1073040249.db2.gz BMWWSSSHSABGIY-UHFFFAOYSA-N 0 0 429.521 -0.181 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3cncc4nc(C)nn43)CC2)cc1 ZINC000550894265 1073040489 /nfs/dbraw/zinc/04/04/89/1073040489.db2.gz BRGKFPFOFDUDRM-UHFFFAOYSA-N 0 0 436.476 -0.243 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC000550894995 1073040266 /nfs/dbraw/zinc/04/02/66/1073040266.db2.gz LBMDMHBSCVPNRF-UHFFFAOYSA-N 0 0 427.527 -0.678 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCN(CC2CC2)CC1 ZINC000550895547 1073040456 /nfs/dbraw/zinc/04/04/56/1073040456.db2.gz PMAYEBGRBLKVLE-UHFFFAOYSA-N 0 0 427.505 -0.571 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccnc(N2CCOCC2)c1)S(C)(=O)=O ZINC000550895873 1073040199 /nfs/dbraw/zinc/04/01/99/1073040199.db2.gz VNJIFOHXESQQGC-UHFFFAOYSA-N 0 0 427.527 -0.678 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000550896380 1073040864 /nfs/dbraw/zinc/04/08/64/1073040864.db2.gz CTGZMUVMDQWMHP-INIZCTEOSA-N 0 0 429.477 -1.000 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000550896381 1073040923 /nfs/dbraw/zinc/04/09/23/1073040923.db2.gz CTGZMUVMDQWMHP-MRXNPFEDSA-N 0 0 429.477 -1.000 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550896559 1073040911 /nfs/dbraw/zinc/04/09/11/1073040911.db2.gz JZDPSZJUWDEIRR-INIZCTEOSA-N 0 0 439.538 -0.678 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000550896560 1073040733 /nfs/dbraw/zinc/04/07/33/1073040733.db2.gz JZDPSZJUWDEIRR-MRXNPFEDSA-N 0 0 439.538 -0.678 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1=O ZINC000550896607 1073040945 /nfs/dbraw/zinc/04/09/45/1073040945.db2.gz MABPZYICJFPZGY-UHFFFAOYSA-N 0 0 429.521 -0.229 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cc1 ZINC000550896668 1073040775 /nfs/dbraw/zinc/04/07/75/1073040775.db2.gz OBLOOZPZBZADFI-INIZCTEOSA-N 0 0 429.521 -0.183 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cc1 ZINC000550896669 1073040900 /nfs/dbraw/zinc/04/09/00/1073040900.db2.gz OBLOOZPZBZADFI-MRXNPFEDSA-N 0 0 429.521 -0.183 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)cc2)CC1 ZINC000550896771 1073040347 /nfs/dbraw/zinc/04/03/47/1073040347.db2.gz RNYUCPPLYIFBQL-IBGZPJMESA-N 0 0 429.521 -0.767 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCN4CCOC[C@H]4C3)cc2)CC1 ZINC000550896772 1073040477 /nfs/dbraw/zinc/04/04/77/1073040477.db2.gz RNYUCPPLYIFBQL-LJQANCHMSA-N 0 0 429.521 -0.767 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC[C@](O)(C(F)(F)F)C1 ZINC000550896904 1073040806 /nfs/dbraw/zinc/04/08/06/1073040806.db2.gz GEYYIKAZLIKYOQ-GOSISDBHSA-N 0 0 442.394 -0.600 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC[C@@](O)(C(F)(F)F)C1 ZINC000550896908 1073040870 /nfs/dbraw/zinc/04/08/70/1073040870.db2.gz GEYYIKAZLIKYOQ-SFHVURJKSA-N 0 0 442.394 -0.600 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCNc1ccccn1)N1CCN(c2ncccn2)CC1 ZINC000550899884 1073041128 /nfs/dbraw/zinc/04/11/28/1073041128.db2.gz FUWUHOCUEPVWAW-UHFFFAOYSA-N 0 0 440.508 -0.355 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)Nc3nc(C)n(C)n3)CC2)cc(OC)c1OC ZINC000550901883 1073340262 /nfs/dbraw/zinc/34/02/62/1073340262.db2.gz JBRYSBZGXZQAFF-UHFFFAOYSA-N 0 0 432.481 0.432 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)Nc3c(C(=O)OC)cnn3C)CC2)n1 ZINC000550902143 1073041235 /nfs/dbraw/zinc/04/12/35/1073041235.db2.gz LLNITFHDRKAZGC-GFCCVEGCSA-N 0 0 449.468 -0.030 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)Nc3c(C(=O)OC)cnn3C)CC2)n1 ZINC000550902144 1073041155 /nfs/dbraw/zinc/04/11/55/1073041155.db2.gz LLNITFHDRKAZGC-LBPRGKRZSA-N 0 0 449.468 -0.030 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@H]3CCN(CC(F)(F)F)C3)CC2)nc1 ZINC000550902264 1073041250 /nfs/dbraw/zinc/04/12/50/1073041250.db2.gz GCLAJXOVOSEHJI-CYBMUJFWSA-N 0 0 442.442 -0.171 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@@H]3CCN(CC(F)(F)F)C3)CC2)nc1 ZINC000550902267 1073041182 /nfs/dbraw/zinc/04/11/82/1073041182.db2.gz GCLAJXOVOSEHJI-ZDUSSCGKSA-N 0 0 442.442 -0.171 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)Nc3nc(C)n(C)n3)CC2)ncc1Br ZINC000550902830 1073349871 /nfs/dbraw/zinc/34/98/71/1073349871.db2.gz SHSXTIPRQNWNGW-UHFFFAOYSA-N 0 0 439.274 -0.028 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)CC1=O ZINC000550902865 1073041142 /nfs/dbraw/zinc/04/11/42/1073041142.db2.gz SYXQQABHIDGSIL-INIZCTEOSA-N 0 0 433.509 -0.370 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)CC1=O ZINC000550902866 1073041231 /nfs/dbraw/zinc/04/12/31/1073041231.db2.gz SYXQQABHIDGSIL-MRXNPFEDSA-N 0 0 433.509 -0.370 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)C1 ZINC000550903410 1073041467 /nfs/dbraw/zinc/04/14/67/1073041467.db2.gz WVAUIWNWADUGDM-CHWSQXEVSA-N 0 0 428.477 -0.085 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)C1 ZINC000550903411 1073041596 /nfs/dbraw/zinc/04/15/96/1073041596.db2.gz WVAUIWNWADUGDM-OLZOCXBDSA-N 0 0 428.477 -0.085 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)C1 ZINC000550903412 1073041642 /nfs/dbraw/zinc/04/16/42/1073041642.db2.gz WVAUIWNWADUGDM-QWHCGFSZSA-N 0 0 428.477 -0.085 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)C1 ZINC000550903413 1073041706 /nfs/dbraw/zinc/04/17/06/1073041706.db2.gz WVAUIWNWADUGDM-STQMWFEESA-N 0 0 428.477 -0.085 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC3CCN(c4ccccn4)CC3)CC2)c1=O ZINC000550903810 1073041210 /nfs/dbraw/zinc/04/12/10/1073041210.db2.gz IQQWWIDJILIBMD-UHFFFAOYSA-N 0 0 425.493 -0.391 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1)C(=O)N1CCOCC1 ZINC000550907146 1073041745 /nfs/dbraw/zinc/04/17/45/1073041745.db2.gz VVEWNLJDRKNKAK-DOTOQJQBSA-N 0 0 433.509 -0.324 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1)C(=O)N1CCOCC1 ZINC000550907147 1073041692 /nfs/dbraw/zinc/04/16/92/1073041692.db2.gz VVEWNLJDRKNKAK-NVXWUHKLSA-N 0 0 433.509 -0.324 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1)C(=O)N1CCOCC1 ZINC000550907148 1073041773 /nfs/dbraw/zinc/04/17/73/1073041773.db2.gz VVEWNLJDRKNKAK-RDJZCZTQSA-N 0 0 433.509 -0.324 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1)C(=O)N1CCOCC1 ZINC000550907149 1073041611 /nfs/dbraw/zinc/04/16/11/1073041611.db2.gz VVEWNLJDRKNKAK-WBVHZDCISA-N 0 0 433.509 -0.324 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)cn2)CCO1 ZINC000550907282 1073039393 /nfs/dbraw/zinc/03/93/93/1073039393.db2.gz WXLRWGZZRZITQC-AEFFLSMTSA-N 0 0 445.520 -0.227 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)cn2)CCO1 ZINC000550907283 1073039508 /nfs/dbraw/zinc/03/95/08/1073039508.db2.gz WXLRWGZZRZITQC-FUHWJXTLSA-N 0 0 445.520 -0.227 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)cn2)CCO1 ZINC000550907284 1073039592 /nfs/dbraw/zinc/03/95/92/1073039592.db2.gz WXLRWGZZRZITQC-SJLPKXTDSA-N 0 0 445.520 -0.227 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)cn2)CCO1 ZINC000550907285 1073039782 /nfs/dbraw/zinc/03/97/82/1073039782.db2.gz WXLRWGZZRZITQC-WMZOPIPTSA-N 0 0 445.520 -0.227 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4ccnn4C)CC3)C2=O)n(C)n1 ZINC000550908560 1073043481 /nfs/dbraw/zinc/04/34/81/1073043481.db2.gz OAAAUZLNMOOLNF-KRWDZBQOSA-N 0 0 442.524 -0.582 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4ccnn4C)CC3)C2=O)n(C)n1 ZINC000550908561 1073043358 /nfs/dbraw/zinc/04/33/58/1073043358.db2.gz OAAAUZLNMOOLNF-QGZVFWFLSA-N 0 0 442.524 -0.582 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)CC1 ZINC000550908692 1073043517 /nfs/dbraw/zinc/04/35/17/1073043517.db2.gz JOECOUKMPMSNPU-HNNXBMFYSA-N 0 0 439.538 -0.583 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)CC1 ZINC000550908694 1073043417 /nfs/dbraw/zinc/04/34/17/1073043417.db2.gz JOECOUKMPMSNPU-OAHLLOKOSA-N 0 0 439.538 -0.583 20 0 IBADRN COc1cnc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nc1 ZINC000550910244 1073042159 /nfs/dbraw/zinc/04/21/59/1073042159.db2.gz MOJJVTLAUUJEPU-UHFFFAOYSA-N 0 0 433.446 -0.037 20 0 IBADRN O=C([C@@H]1CCc2nncn2C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000550912343 1073042005 /nfs/dbraw/zinc/04/20/05/1073042005.db2.gz QXNOGYKSQZXJLE-CYBMUJFWSA-N 0 0 446.489 -0.296 20 0 IBADRN O=C([C@H]1CCc2nncn2C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000550912344 1073042059 /nfs/dbraw/zinc/04/20/59/1073042059.db2.gz QXNOGYKSQZXJLE-ZDUSSCGKSA-N 0 0 446.489 -0.296 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000550913181 1073042661 /nfs/dbraw/zinc/04/26/61/1073042661.db2.gz JDXVPRBHGGFFKE-INIZCTEOSA-N 0 0 440.522 -0.690 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000550913182 1073042384 /nfs/dbraw/zinc/04/23/84/1073042384.db2.gz JDXVPRBHGGFFKE-MRXNPFEDSA-N 0 0 440.522 -0.690 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000550913314 1073042497 /nfs/dbraw/zinc/04/24/97/1073042497.db2.gz FYGPLMFXUHFTBN-KRWDZBQOSA-N 0 0 432.521 -0.255 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000550913315 1073042555 /nfs/dbraw/zinc/04/25/55/1073042555.db2.gz FYGPLMFXUHFTBN-QGZVFWFLSA-N 0 0 432.521 -0.255 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000550913503 1073041992 /nfs/dbraw/zinc/04/19/92/1073041992.db2.gz LWMNVHQKNZLNDY-KRWDZBQOSA-N 0 0 442.476 -0.575 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000550913504 1073042612 /nfs/dbraw/zinc/04/26/12/1073042612.db2.gz LWMNVHQKNZLNDY-QGZVFWFLSA-N 0 0 442.476 -0.575 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000550914502 1073042451 /nfs/dbraw/zinc/04/24/51/1073042451.db2.gz RYFQWSDWIWKMCB-GUTXKFCHSA-N 0 0 425.511 -0.799 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000550914505 1073042468 /nfs/dbraw/zinc/04/24/68/1073042468.db2.gz RYFQWSDWIWKMCB-NFAWXSAZSA-N 0 0 425.511 -0.799 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000550914507 1073042436 /nfs/dbraw/zinc/04/24/36/1073042436.db2.gz RYFQWSDWIWKMCB-UMVBOHGHSA-N 0 0 425.511 -0.799 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000550914509 1073042566 /nfs/dbraw/zinc/04/25/66/1073042566.db2.gz RYFQWSDWIWKMCB-VNHYZAJKSA-N 0 0 425.511 -0.799 20 0 IBADRN O=C(NCc1ccc(N2CCCCC2)nc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550915310 1073042579 /nfs/dbraw/zinc/04/25/79/1073042579.db2.gz YSKKKNAEFOPMSF-UHFFFAOYSA-N 0 0 442.520 -0.363 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000550915920 1073042421 /nfs/dbraw/zinc/04/24/21/1073042421.db2.gz DWIVDGDFVYTGSC-GASCZTMLSA-N 0 0 439.538 -0.585 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000550915921 1073042624 /nfs/dbraw/zinc/04/26/24/1073042624.db2.gz DWIVDGDFVYTGSC-GJZGRUSLSA-N 0 0 439.538 -0.585 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000550915922 1073042881 /nfs/dbraw/zinc/04/28/81/1073042881.db2.gz DWIVDGDFVYTGSC-HUUCEWRRSA-N 0 0 439.538 -0.585 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1)S(C)(=O)=O ZINC000550916279 1073042889 /nfs/dbraw/zinc/04/28/89/1073042889.db2.gz IBGGMPCNHJTARA-HNNXBMFYSA-N 0 0 441.554 -0.289 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1)S(C)(=O)=O ZINC000550916280 1073042932 /nfs/dbraw/zinc/04/29/32/1073042932.db2.gz IBGGMPCNHJTARA-OAHLLOKOSA-N 0 0 441.554 -0.289 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)cn2)CCO1 ZINC000550916299 1073359974 /nfs/dbraw/zinc/35/99/74/1073359974.db2.gz IUJKXSSWTFIRGC-CYBMUJFWSA-N 0 0 446.537 -0.295 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)cn2)CCO1 ZINC000550916300 1073043074 /nfs/dbraw/zinc/04/30/74/1073043074.db2.gz IUJKXSSWTFIRGC-ZDUSSCGKSA-N 0 0 446.537 -0.295 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)C(=O)Nc2ncn(C)n2)c1 ZINC000550917089 1073043117 /nfs/dbraw/zinc/04/31/17/1073043117.db2.gz WAKWUHUKVGPLKN-UHFFFAOYSA-N 0 0 445.299 -0.081 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000550921701 1073042969 /nfs/dbraw/zinc/04/29/69/1073042969.db2.gz XXBMWXLKOQEWBY-UHFFFAOYSA-N 0 0 449.518 -0.426 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000550921861 1073043089 /nfs/dbraw/zinc/04/30/89/1073043089.db2.gz AIYTWWUGDYXWFJ-UHFFFAOYSA-N 0 0 431.415 -0.081 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000550922561 1073043443 /nfs/dbraw/zinc/04/34/43/1073043443.db2.gz GLMTZRLAMUFCOP-UHFFFAOYSA-N 0 0 427.433 -0.152 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550922609 1073043296 /nfs/dbraw/zinc/04/32/96/1073043296.db2.gz JNNMJAYMQSBJFG-HNNXBMFYSA-N 0 0 428.497 -0.552 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000550922610 1073043550 /nfs/dbraw/zinc/04/35/50/1073043550.db2.gz JNNMJAYMQSBJFG-OAHLLOKOSA-N 0 0 428.497 -0.552 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000550923475 1073043429 /nfs/dbraw/zinc/04/34/29/1073043429.db2.gz ULMBWIKBGIFFQT-UHFFFAOYSA-N 0 0 436.494 -0.719 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)C1 ZINC000550924701 1073043371 /nfs/dbraw/zinc/04/33/71/1073043371.db2.gz JQIXTCGAUDJXAH-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)C1 ZINC000550924702 1073043528 /nfs/dbraw/zinc/04/35/28/1073043528.db2.gz JQIXTCGAUDJXAH-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3cccnc3N3CCOCC3)CC2)n1 ZINC000550924759 1073043610 /nfs/dbraw/zinc/04/36/10/1073043610.db2.gz LOPFRSVYTARBNI-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000550926093 1073044249 /nfs/dbraw/zinc/04/42/49/1073044249.db2.gz ZESPTQUBGVXSSR-UHFFFAOYSA-N 0 0 430.486 -0.044 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000550926168 1073044263 /nfs/dbraw/zinc/04/42/63/1073044263.db2.gz CFPBLMRWYYPHRB-INIZCTEOSA-N 0 0 433.509 -0.512 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000550926169 1073044384 /nfs/dbraw/zinc/04/43/84/1073044384.db2.gz CFPBLMRWYYPHRB-MRXNPFEDSA-N 0 0 433.509 -0.512 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000550926356 1073044345 /nfs/dbraw/zinc/04/43/45/1073044345.db2.gz BVQXPGSTPFEFOZ-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000550926357 1073044281 /nfs/dbraw/zinc/04/42/81/1073044281.db2.gz BVQXPGSTPFEFOZ-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000550926498 1073044308 /nfs/dbraw/zinc/04/43/08/1073044308.db2.gz LPMTWDASOASRAS-UHFFFAOYSA-N 0 0 431.537 -0.585 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3cccc(CN4CCOCC4)c3)CC2)n1 ZINC000550926586 1073044363 /nfs/dbraw/zinc/04/43/63/1073044363.db2.gz GHRFSPNYIXRIKB-UHFFFAOYSA-N 0 0 445.549 -0.083 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000550927020 1073044298 /nfs/dbraw/zinc/04/42/98/1073044298.db2.gz AQJMRNPKSHZFTD-UHFFFAOYSA-N 0 0 427.509 -0.388 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1cccc(CN2CCOCC2)c1 ZINC000550929013 1073044937 /nfs/dbraw/zinc/04/49/37/1073044937.db2.gz XQEHECFXWYTCLV-UHFFFAOYSA-N 0 0 440.566 -0.077 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000550929247 1073044458 /nfs/dbraw/zinc/04/44/58/1073044458.db2.gz JQYZACMXOHTFPN-UHFFFAOYSA-N 0 0 432.525 -0.843 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000550929606 1073044334 /nfs/dbraw/zinc/04/43/34/1073044334.db2.gz UEGXOBNSEYSBAM-INIZCTEOSA-N 0 0 439.538 -0.537 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000550929607 1073044852 /nfs/dbraw/zinc/04/48/52/1073044852.db2.gz UEGXOBNSEYSBAM-MRXNPFEDSA-N 0 0 439.538 -0.537 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)C1 ZINC000550929928 1073044861 /nfs/dbraw/zinc/04/48/61/1073044861.db2.gz BGYLGLZNZQQERA-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)C1 ZINC000550929930 1073044733 /nfs/dbraw/zinc/04/47/33/1073044733.db2.gz BGYLGLZNZQQERA-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCc3cccc(CN4CCOCC4)c3)C2=O)cn1 ZINC000550930709 1073044837 /nfs/dbraw/zinc/04/48/37/1073044837.db2.gz LOFVQCULHZXIRN-IBGZPJMESA-N 0 0 440.504 -0.210 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3cccc(CN4CCOCC4)c3)C2=O)cn1 ZINC000550930710 1073044701 /nfs/dbraw/zinc/04/47/01/1073044701.db2.gz LOFVQCULHZXIRN-LJQANCHMSA-N 0 0 440.504 -0.210 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000550930894 1073044786 /nfs/dbraw/zinc/04/47/86/1073044786.db2.gz LTUNHJXCFCBFLJ-UHFFFAOYSA-N 0 0 445.501 -0.581 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H]2CCN(c3ccccc3)C2)CC1 ZINC000550931096 1073044817 /nfs/dbraw/zinc/04/48/17/1073044817.db2.gz MMBKWCGVQWBYRJ-IBGZPJMESA-N 0 0 443.548 -0.368 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H]2CCN(c3ccccc3)C2)CC1 ZINC000550931097 1073044923 /nfs/dbraw/zinc/04/49/23/1073044923.db2.gz MMBKWCGVQWBYRJ-LJQANCHMSA-N 0 0 443.548 -0.368 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000550931500 1073044694 /nfs/dbraw/zinc/04/46/94/1073044694.db2.gz DNSOWJATODWKEH-INIZCTEOSA-N 0 0 438.550 -0.365 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000550931503 1073044726 /nfs/dbraw/zinc/04/47/26/1073044726.db2.gz DNSOWJATODWKEH-MRXNPFEDSA-N 0 0 438.550 -0.365 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)c3ccccc3)CC2)c1=O ZINC000550932109 1073044810 /nfs/dbraw/zinc/04/48/10/1073044810.db2.gz SMILXDWLUBXCSJ-UHFFFAOYSA-N 0 0 433.490 -0.981 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1c(I)cnn1C ZINC000550933108 1073044828 /nfs/dbraw/zinc/04/48/28/1073044828.db2.gz NPBCTMIUCRUVMM-UHFFFAOYSA-N 0 0 436.250 -0.028 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3ccnc(-n4cccn4)c3)CC2)n1 ZINC000550933136 1073044955 /nfs/dbraw/zinc/04/49/55/1073044955.db2.gz NVVVIUDMQYNTET-UHFFFAOYSA-N 0 0 440.464 -0.243 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000550933901 1073045347 /nfs/dbraw/zinc/04/53/47/1073045347.db2.gz YHSUBAWWAKJMQU-CVEARBPZSA-N 0 0 428.507 -0.551 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000550933902 1073045280 /nfs/dbraw/zinc/04/52/80/1073045280.db2.gz YHSUBAWWAKJMQU-HOTGVXAUSA-N 0 0 428.507 -0.551 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000550933903 1073045165 /nfs/dbraw/zinc/04/51/65/1073045165.db2.gz YHSUBAWWAKJMQU-HZPDHXFCSA-N 0 0 428.507 -0.551 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000550933904 1073045378 /nfs/dbraw/zinc/04/53/78/1073045378.db2.gz YHSUBAWWAKJMQU-JKSUJKDBSA-N 0 0 428.507 -0.551 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cn(C)nn2)CC1 ZINC000550934871 1073045191 /nfs/dbraw/zinc/04/51/91/1073045191.db2.gz FIMYYOZLEWYXBH-UHFFFAOYSA-N 0 0 442.885 -0.051 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)nnn1CCOc1ccc(F)cc1 ZINC000550935417 1073043404 /nfs/dbraw/zinc/04/34/04/1073043404.db2.gz BUNCUXLKKZSIGL-CABCVRRESA-N 0 0 434.428 -0.108 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)nnn1CCOc1ccc(F)cc1 ZINC000550935418 1073043456 /nfs/dbraw/zinc/04/34/56/1073043456.db2.gz BUNCUXLKKZSIGL-GJZGRUSLSA-N 0 0 434.428 -0.108 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)nnn1CCOc1ccc(F)cc1 ZINC000550935419 1073043466 /nfs/dbraw/zinc/04/34/66/1073043466.db2.gz BUNCUXLKKZSIGL-HUUCEWRRSA-N 0 0 434.428 -0.108 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)nnn1CCOc1ccc(F)cc1 ZINC000550935420 1073043869 /nfs/dbraw/zinc/04/38/69/1073043869.db2.gz BUNCUXLKKZSIGL-LSDHHAIUSA-N 0 0 434.428 -0.108 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000550935949 1073044047 /nfs/dbraw/zinc/04/40/47/1073044047.db2.gz VIHVXLXUNITIOL-HNNXBMFYSA-N 0 0 435.506 -0.147 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC[C@H]1CCN(c2ccccc2)C1 ZINC000550935950 1073043898 /nfs/dbraw/zinc/04/38/98/1073043898.db2.gz VIHVXLXUNITIOL-OAHLLOKOSA-N 0 0 435.506 -0.147 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000550938993 1073043882 /nfs/dbraw/zinc/04/38/82/1073043882.db2.gz RZLFLBLANUJOEN-KRWDZBQOSA-N 0 0 447.521 -0.494 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2cccnc2OC2CCCC2)CC1 ZINC000550940869 1073043980 /nfs/dbraw/zinc/04/39/80/1073043980.db2.gz ATCHFQYTRGDPSV-UHFFFAOYSA-N 0 0 442.480 -0.623 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1ccnc(OCC(F)(F)F)c1 ZINC000550942833 1073045271 /nfs/dbraw/zinc/04/52/71/1073045271.db2.gz VCMMRYIONSDOCZ-UHFFFAOYSA-N 0 0 426.355 -0.025 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCCCC2)CC1 ZINC000550943236 1073045815 /nfs/dbraw/zinc/04/58/15/1073045815.db2.gz VFFJLMCJKCNCJO-UHFFFAOYSA-N 0 0 447.521 -0.168 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCc2ccnc(-n3cccn3)c2)C1=O ZINC000550943522 1073045305 /nfs/dbraw/zinc/04/53/05/1073045305.db2.gz IJAXWYJSFCBVQI-INIZCTEOSA-N 0 0 436.476 -0.076 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCc2ccnc(-n3cccn3)c2)C1=O ZINC000550943523 1073045840 /nfs/dbraw/zinc/04/58/40/1073045840.db2.gz IJAXWYJSFCBVQI-MRXNPFEDSA-N 0 0 436.476 -0.076 20 0 IBADRN O=C(NCc1ccnc(-n2cccn2)c1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000550944960 1073045807 /nfs/dbraw/zinc/04/58/07/1073045807.db2.gz PWVLVJDHEULHCS-INIZCTEOSA-N 0 0 432.506 -0.090 20 0 IBADRN O=C(NCc1ccnc(-n2cccn2)c1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000550944961 1073045886 /nfs/dbraw/zinc/04/58/86/1073045886.db2.gz PWVLVJDHEULHCS-MRXNPFEDSA-N 0 0 432.506 -0.090 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCn2c(Cc3ccccc3)nnc2C1 ZINC000550945026 1073046097 /nfs/dbraw/zinc/04/60/97/1073046097.db2.gz HJPAWNKKQPPHQN-UHFFFAOYSA-N 0 0 448.549 -0.001 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)NCCCN(C)S(=O)(=O)CC)CC2)n1 ZINC000550945867 1073046194 /nfs/dbraw/zinc/04/61/94/1073046194.db2.gz JUHPUZQEOKTFJV-UHFFFAOYSA-N 0 0 446.599 -0.073 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccnc(-n2cccn2)c1 ZINC000550945973 1073046134 /nfs/dbraw/zinc/04/61/34/1073046134.db2.gz AXWHBBZVWFFEST-UHFFFAOYSA-N 0 0 438.535 -0.622 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000550946568 1073046472 /nfs/dbraw/zinc/04/64/72/1073046472.db2.gz MEJUIBFAQSQABV-AWEZNQCLSA-N 0 0 440.522 -0.296 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000550946570 1073046603 /nfs/dbraw/zinc/04/66/03/1073046603.db2.gz MEJUIBFAQSQABV-CQSZACIVSA-N 0 0 440.522 -0.296 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCCN(c2nc(C)ns2)CC1 ZINC000550946814 1073046120 /nfs/dbraw/zinc/04/61/20/1073046120.db2.gz PPUPAVUPEGWDRI-UHFFFAOYSA-N 0 0 432.572 -0.327 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000550947087 1073046482 /nfs/dbraw/zinc/04/64/82/1073046482.db2.gz VCKUKDOAANUNLM-LLVKDONJSA-N 0 0 430.449 -0.556 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000550947088 1073046491 /nfs/dbraw/zinc/04/64/91/1073046491.db2.gz VCKUKDOAANUNLM-NSHDSACASA-N 0 0 430.449 -0.556 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCN(C)S(=O)(=O)c1cccs1 ZINC000550947620 1073046614 /nfs/dbraw/zinc/04/66/14/1073046614.db2.gz GTLLGWUXNXWFSL-UHFFFAOYSA-N 0 0 429.480 -0.356 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC000550947887 1073046651 /nfs/dbraw/zinc/04/66/51/1073046651.db2.gz RUJWJPZBPDZETQ-UHFFFAOYSA-N 0 0 443.507 -0.082 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)CC(F)(F)F ZINC000550948205 1073046460 /nfs/dbraw/zinc/04/64/60/1073046460.db2.gz GUNQZXRSOJPGNZ-UHFFFAOYSA-N 0 0 430.431 -0.171 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O ZINC000550948301 1073046501 /nfs/dbraw/zinc/04/65/01/1073046501.db2.gz LGKYELQFLZXDGZ-AWEZNQCLSA-N 0 0 440.588 -0.905 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000550948302 1073046573 /nfs/dbraw/zinc/04/65/73/1073046573.db2.gz LGKYELQFLZXDGZ-CQSZACIVSA-N 0 0 440.588 -0.905 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000550948750 1073046672 /nfs/dbraw/zinc/04/66/72/1073046672.db2.gz XBEMFLVHDPXJIE-HNNXBMFYSA-N 0 0 425.511 -0.519 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000550948751 1073046659 /nfs/dbraw/zinc/04/66/59/1073046659.db2.gz XBEMFLVHDPXJIE-OAHLLOKOSA-N 0 0 425.511 -0.519 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000550949470 1073046917 /nfs/dbraw/zinc/04/69/17/1073046917.db2.gz AULGPUNSOLJVQL-UHFFFAOYSA-N 0 0 425.511 -0.846 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)NCCCN(C)S(=O)(=O)CC)cn1 ZINC000550949887 1073046666 /nfs/dbraw/zinc/04/66/66/1073046666.db2.gz LCEXOVLLRUHNQZ-ZBFHGGJFSA-N 0 0 442.542 -0.873 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCN(c2ccccc2F)C1=O ZINC000550950315 1073047038 /nfs/dbraw/zinc/04/70/38/1073047038.db2.gz PUOXRASMSVWIEZ-AWEZNQCLSA-N 0 0 428.486 -0.165 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2F)C1=O ZINC000550950316 1073047142 /nfs/dbraw/zinc/04/71/42/1073047142.db2.gz PUOXRASMSVWIEZ-CQSZACIVSA-N 0 0 428.486 -0.165 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000550950351 1073047123 /nfs/dbraw/zinc/04/71/23/1073047123.db2.gz RETKSYMBODOUIR-UHFFFAOYSA-N 0 0 430.552 -0.180 20 0 IBADRN CN(CCCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)CC(F)(F)F ZINC000550951027 1073046927 /nfs/dbraw/zinc/04/69/27/1073046927.db2.gz WGHDJMUXPCIMEL-UHFFFAOYSA-N 0 0 434.506 -0.518 20 0 IBADRN CCOc1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000550951257 1073047135 /nfs/dbraw/zinc/04/71/35/1073047135.db2.gz RSVPKIHOUKVSKT-UHFFFAOYSA-N 0 0 432.477 -0.769 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000550953132 1073046907 /nfs/dbraw/zinc/04/69/07/1073046907.db2.gz BACPUDZFADLVME-UHFFFAOYSA-N 0 0 444.492 -0.420 20 0 IBADRN CCCCOc1cccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000550954201 1073046894 /nfs/dbraw/zinc/04/68/94/1073046894.db2.gz XTBBBOGBAAOKJS-UHFFFAOYSA-N 0 0 429.481 -0.161 20 0 IBADRN CCOc1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000550955365 1073047018 /nfs/dbraw/zinc/04/70/18/1073047018.db2.gz BJKJOYJLTAARMN-UHFFFAOYSA-N 0 0 430.465 -0.810 20 0 IBADRN O=C(NCCc1cn2c(n1)CCCC2)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000550957794 1073047392 /nfs/dbraw/zinc/04/73/92/1073047392.db2.gz WQLSBLWDENUOJS-UHFFFAOYSA-N 0 0 429.568 -0.627 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N[C@@H](C)C(=O)N3CCOCC3)nc2n(CC)c1=O ZINC000550958714 1073352133 /nfs/dbraw/zinc/35/21/33/1073352133.db2.gz ZGKSYPXIXBKVOK-AWEZNQCLSA-N 0 0 446.508 0.320 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N[C@H](C)C(=O)N3CCOCC3)nc2n(CC)c1=O ZINC000550958715 1073352010 /nfs/dbraw/zinc/35/20/10/1073352010.db2.gz ZGKSYPXIXBKVOK-CQSZACIVSA-N 0 0 446.508 0.320 20 0 IBADRN CCOC(=O)c1nc(NC(=O)C(=O)N2CCN(CCOC)C(=O)C2)sc1C(C)=O ZINC000550966270 1073047863 /nfs/dbraw/zinc/04/78/63/1073047863.db2.gz JLACHHRMXIEDGR-UHFFFAOYSA-N 0 0 426.451 -0.222 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000550969687 1073048282 /nfs/dbraw/zinc/04/82/82/1073048282.db2.gz HARTXGKXNHCKGV-UHFFFAOYSA-N 0 0 430.505 -0.242 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c(OC)c1OC ZINC000550970675 1073048466 /nfs/dbraw/zinc/04/84/66/1073048466.db2.gz VXDKNNPDEPZKRB-UHFFFAOYSA-N 0 0 431.449 -0.063 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCc2cn3c(n2)CCCC3)CC1 ZINC000550976449 1073048435 /nfs/dbraw/zinc/04/84/35/1073048435.db2.gz FWFNQQWPEGTOEH-KRWDZBQOSA-N 0 0 448.568 -0.437 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCc2cn3c(n2)CCCC3)CC1 ZINC000550976450 1073048732 /nfs/dbraw/zinc/04/87/32/1073048732.db2.gz FWFNQQWPEGTOEH-QGZVFWFLSA-N 0 0 448.568 -0.437 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCc3cn4c(n3)CCCC4)CC2)no1 ZINC000550976841 1073329115 /nfs/dbraw/zinc/32/91/15/1073329115.db2.gz NENZBKYNKQBSIQ-UHFFFAOYSA-N 0 0 443.508 -0.043 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cn3c(n2)CCCC3)CC1 ZINC000550976907 1073048448 /nfs/dbraw/zinc/04/84/48/1073048448.db2.gz PYDGTDDXZSTEKM-KRWDZBQOSA-N 0 0 446.552 -0.730 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cn3c(n2)CCCC3)CC1 ZINC000550976908 1073048336 /nfs/dbraw/zinc/04/83/36/1073048336.db2.gz PYDGTDDXZSTEKM-QGZVFWFLSA-N 0 0 446.552 -0.730 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCc3cn4c(n3)CCCC4)CC2)n1 ZINC000550977663 1073048947 /nfs/dbraw/zinc/04/89/47/1073048947.db2.gz WGPGEZVCIVFSKH-UHFFFAOYSA-N 0 0 431.497 -0.248 20 0 IBADRN CCOCc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000550977860 1073048705 /nfs/dbraw/zinc/04/87/05/1073048705.db2.gz JUDXYFAKOCJPRY-UHFFFAOYSA-N 0 0 446.504 -0.631 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(OC)c(OC)c1OC ZINC000550979894 1073048823 /nfs/dbraw/zinc/04/88/23/1073048823.db2.gz SPHANCAJLXDYLL-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(OC)c(OC)c1OC ZINC000550979895 1073048906 /nfs/dbraw/zinc/04/89/06/1073048906.db2.gz SPHANCAJLXDYLL-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN C[C@H](c1ccccc1)[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(N)=O ZINC000550981471 1073048724 /nfs/dbraw/zinc/04/87/24/1073048724.db2.gz VAFKXERFGBFMQN-ABAIWWIYSA-N 0 0 438.444 -0.656 20 0 IBADRN C[C@H](c1ccccc1)[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(N)=O ZINC000550981480 1073048692 /nfs/dbraw/zinc/04/86/92/1073048692.db2.gz VAFKXERFGBFMQN-IAQYHMDHSA-N 0 0 438.444 -0.656 20 0 IBADRN C[C@@H](c1ccccc1)[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(N)=O ZINC000550981483 1073048766 /nfs/dbraw/zinc/04/87/66/1073048766.db2.gz VAFKXERFGBFMQN-NHYWBVRUSA-N 0 0 438.444 -0.656 20 0 IBADRN C[C@@H](c1ccccc1)[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(N)=O ZINC000550981485 1073048755 /nfs/dbraw/zinc/04/87/55/1073048755.db2.gz VAFKXERFGBFMQN-XHDPSFHLSA-N 0 0 438.444 -0.656 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3C)CC1 ZINC000550982743 1073048848 /nfs/dbraw/zinc/04/88/48/1073048848.db2.gz CSSJUACIQOZHTQ-DOTOQJQBSA-N 0 0 432.525 -0.695 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3C)CC1 ZINC000550982749 1073048965 /nfs/dbraw/zinc/04/89/65/1073048965.db2.gz CSSJUACIQOZHTQ-NVXWUHKLSA-N 0 0 432.525 -0.695 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3C)CC1 ZINC000550982750 1073049210 /nfs/dbraw/zinc/04/92/10/1073049210.db2.gz CSSJUACIQOZHTQ-RDJZCZTQSA-N 0 0 432.525 -0.695 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3C)CC1 ZINC000550982752 1073049157 /nfs/dbraw/zinc/04/91/57/1073049157.db2.gz CSSJUACIQOZHTQ-WBVHZDCISA-N 0 0 432.525 -0.695 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(OC)c1OC ZINC000550984588 1073049179 /nfs/dbraw/zinc/04/91/79/1073049179.db2.gz LDRBILMDVKVGHD-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN C[C@@H]1C[C@H]1c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)o1 ZINC000550985429 1073049320 /nfs/dbraw/zinc/04/93/20/1073049320.db2.gz IFLXPAMJHFYDHB-GDBMZVCRSA-N 0 0 432.477 -0.451 20 0 IBADRN C[C@H]1C[C@H]1c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)o1 ZINC000550985440 1073049169 /nfs/dbraw/zinc/04/91/69/1073049169.db2.gz IFLXPAMJHFYDHB-GOEBONIOSA-N 0 0 432.477 -0.451 20 0 IBADRN C[C@H]1C[C@@H]1c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)o1 ZINC000550985441 1073049370 /nfs/dbraw/zinc/04/93/70/1073049370.db2.gz IFLXPAMJHFYDHB-HOCLYGCPSA-N 0 0 432.477 -0.451 20 0 IBADRN C[C@@H]1C[C@@H]1c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)o1 ZINC000550985442 1073049305 /nfs/dbraw/zinc/04/93/05/1073049305.db2.gz IFLXPAMJHFYDHB-ZBFHGGJFSA-N 0 0 432.477 -0.451 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1OC(F)F ZINC000550987077 1073049238 /nfs/dbraw/zinc/04/92/38/1073049238.db2.gz XKHOCBRQKOYIHD-GFCCVEGCSA-N 0 0 437.407 -0.177 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1OC(F)F ZINC000550987078 1073049770 /nfs/dbraw/zinc/04/97/70/1073049770.db2.gz XKHOCBRQKOYIHD-LBPRGKRZSA-N 0 0 437.407 -0.177 20 0 IBADRN C[C@@H](CCc1ccc2c(c1)OCO2)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000550988567 1073049784 /nfs/dbraw/zinc/04/97/84/1073049784.db2.gz OXURSZWTSBDHSK-AWEZNQCLSA-N 0 0 443.464 -0.790 20 0 IBADRN C[C@H](CCc1ccc2c(c1)OCO2)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000550988568 1073049762 /nfs/dbraw/zinc/04/97/62/1073049762.db2.gz OXURSZWTSBDHSK-CQSZACIVSA-N 0 0 443.464 -0.790 20 0 IBADRN C[C@@H](CCc1ccc2c(c1)OCO2)NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550989425 1073049718 /nfs/dbraw/zinc/04/97/18/1073049718.db2.gz ZEIRPUCVHYTQRC-AWEZNQCLSA-N 0 0 444.488 -0.198 20 0 IBADRN C[C@H](CCc1ccc2c(c1)OCO2)NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000550989426 1073049661 /nfs/dbraw/zinc/04/96/61/1073049661.db2.gz ZEIRPUCVHYTQRC-CQSZACIVSA-N 0 0 444.488 -0.198 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3C)CC1 ZINC000550989606 1073049730 /nfs/dbraw/zinc/04/97/30/1073049730.db2.gz UPJOKAGPNSTFJN-INIZCTEOSA-N 0 0 441.554 -0.187 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3C)CC1 ZINC000550989607 1073049649 /nfs/dbraw/zinc/04/96/49/1073049649.db2.gz UPJOKAGPNSTFJN-MRXNPFEDSA-N 0 0 441.554 -0.187 20 0 IBADRN O=S(=O)(c1ccc2nnn(OC[C@H]3CCCS(=O)(=O)C3)c2c1)N1CCOCC1 ZINC000550990401 1073049618 /nfs/dbraw/zinc/04/96/18/1073049618.db2.gz UUCFFFMJLDVCLK-CYBMUJFWSA-N 0 0 430.508 -0.294 20 0 IBADRN O=S(=O)(c1ccc2nnn(OC[C@@H]3CCCS(=O)(=O)C3)c2c1)N1CCOCC1 ZINC000550990402 1073049579 /nfs/dbraw/zinc/04/95/79/1073049579.db2.gz UUCFFFMJLDVCLK-ZDUSSCGKSA-N 0 0 430.508 -0.294 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C(=O)NCCN1CCOCC1 ZINC000550992474 1073050051 /nfs/dbraw/zinc/05/00/51/1073050051.db2.gz KONRAYIXJWFLSU-BMFAXAFESA-N 0 0 434.537 -0.083 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C(=O)NCCN1CCOCC1 ZINC000550992475 1073050133 /nfs/dbraw/zinc/05/01/33/1073050133.db2.gz KONRAYIXJWFLSU-IMBTUZDBSA-N 0 0 434.537 -0.083 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C(=O)NCCN1CCOCC1 ZINC000550992676 1073050286 /nfs/dbraw/zinc/05/02/86/1073050286.db2.gz KONRAYIXJWFLSU-VXIBKDFQSA-N 0 0 434.537 -0.083 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C(=O)NCCN1CCOCC1 ZINC000550992677 1073050271 /nfs/dbraw/zinc/05/02/71/1073050271.db2.gz KONRAYIXJWFLSU-VXNCWWDNSA-N 0 0 434.537 -0.083 20 0 IBADRN CCOCc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000550993853 1073050147 /nfs/dbraw/zinc/05/01/47/1073050147.db2.gz AWKVJRNFLQSYNI-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000551001285 1073051051 /nfs/dbraw/zinc/05/10/51/1073051051.db2.gz YCTZWEROSFJWHA-UHFFFAOYSA-N 0 0 426.524 -0.776 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccccc1CN1CCCC1=O ZINC000551005585 1073052180 /nfs/dbraw/zinc/05/21/80/1073052180.db2.gz KNOCSZJZMLOWRN-IBGZPJMESA-N 0 0 432.521 -0.423 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccccc1CN1CCCC1=O ZINC000551005587 1073052232 /nfs/dbraw/zinc/05/22/32/1073052232.db2.gz KNOCSZJZMLOWRN-LJQANCHMSA-N 0 0 432.521 -0.423 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)CC1)NC1CC1 ZINC000551005934 1073051612 /nfs/dbraw/zinc/05/16/12/1073051612.db2.gz MAUSQKGAKATKDE-UHFFFAOYSA-N 0 0 441.532 -0.152 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)CC(C)(CO)CO ZINC000551007608 1073051174 /nfs/dbraw/zinc/05/11/74/1073051174.db2.gz KLGQPBXXMXPUSY-UHFFFAOYSA-N 0 0 429.539 -0.754 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3nnn(Cc4noc(C)n4)c3C)CC2)ncn1 ZINC000551014547 1073053110 /nfs/dbraw/zinc/05/31/10/1073053110.db2.gz NSTKVMDWYQFARL-UHFFFAOYSA-N 0 0 442.440 -0.588 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)CC(=O)N1C ZINC000551015071 1073053154 /nfs/dbraw/zinc/05/31/54/1073053154.db2.gz UUEUNMAZYFAZFW-BLLLJJGKSA-N 0 0 432.510 -0.426 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)CC(=O)N1C ZINC000551015072 1073052927 /nfs/dbraw/zinc/05/29/27/1073052927.db2.gz UUEUNMAZYFAZFW-LRDDRELGSA-N 0 0 432.510 -0.426 20 0 IBADRN Cn1nccc1[C@H]1[C@H](C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)CC(=O)N1C ZINC000551015073 1073053158 /nfs/dbraw/zinc/05/31/58/1073053158.db2.gz UUEUNMAZYFAZFW-MLGOLLRUSA-N 0 0 432.510 -0.426 20 0 IBADRN Cn1nccc1[C@@H]1[C@H](C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)CC(=O)N1C ZINC000551015074 1073052985 /nfs/dbraw/zinc/05/29/85/1073052985.db2.gz UUEUNMAZYFAZFW-WBMJQRKESA-N 0 0 432.510 -0.426 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)N(C)c1ccccc1 ZINC000551018792 1073053350 /nfs/dbraw/zinc/05/33/50/1073053350.db2.gz HEDAKPJEEMKMAS-GOSISDBHSA-N 0 0 431.537 -0.370 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)N(C)c1ccccc1 ZINC000551018793 1073053516 /nfs/dbraw/zinc/05/35/16/1073053516.db2.gz HEDAKPJEEMKMAS-SFHVURJKSA-N 0 0 431.537 -0.370 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)N(C)c1ccccc1 ZINC000551021642 1073053617 /nfs/dbraw/zinc/05/36/17/1073053617.db2.gz KOHMXQIZCIVTMV-GOSISDBHSA-N 0 0 431.537 -0.370 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)N(C)c1ccccc1 ZINC000551021643 1073053327 /nfs/dbraw/zinc/05/33/27/1073053327.db2.gz KOHMXQIZCIVTMV-SFHVURJKSA-N 0 0 431.537 -0.370 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)C(=O)N2CCn3cnnc3C2)c1 ZINC000551023775 1073053986 /nfs/dbraw/zinc/05/39/86/1073053986.db2.gz AACNNTNEFKDKOW-UHFFFAOYSA-N 0 0 441.492 -0.403 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H](c3ccsc3)N(C)C)CC2)no1 ZINC000551024397 1073329460 /nfs/dbraw/zinc/32/94/60/1073329460.db2.gz YTAUEBWMDHNNFG-INIZCTEOSA-N 0 0 448.549 0.546 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@H](c3ccsc3)N(C)C)CC2)no1 ZINC000551024398 1073329471 /nfs/dbraw/zinc/32/94/71/1073329471.db2.gz YTAUEBWMDHNNFG-MRXNPFEDSA-N 0 0 448.549 0.546 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)CCO1 ZINC000551024858 1073053953 /nfs/dbraw/zinc/05/39/53/1073053953.db2.gz NRZZMHULPAPBCX-IBGZPJMESA-N 0 0 448.520 -0.163 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)CCO1 ZINC000551024859 1073054129 /nfs/dbraw/zinc/05/41/29/1073054129.db2.gz NRZZMHULPAPBCX-LJQANCHMSA-N 0 0 448.520 -0.163 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)CC1=O ZINC000551026663 1073054001 /nfs/dbraw/zinc/05/40/01/1073054001.db2.gz IJORNBQAUAMPSU-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)CC(=O)N1C ZINC000551026673 1073054067 /nfs/dbraw/zinc/05/40/67/1073054067.db2.gz ILQHBZGPJALLSY-INIZCTEOSA-N 0 0 445.520 -0.347 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)CC(=O)N1C ZINC000551026675 1073053968 /nfs/dbraw/zinc/05/39/68/1073053968.db2.gz ILQHBZGPJALLSY-MRXNPFEDSA-N 0 0 445.520 -0.347 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C1=O ZINC000551028733 1073053621 /nfs/dbraw/zinc/05/36/21/1073053621.db2.gz YXAADUJBLQPFRY-GOSISDBHSA-N 0 0 445.520 -0.299 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C1=O ZINC000551028734 1073053576 /nfs/dbraw/zinc/05/35/76/1073053576.db2.gz YXAADUJBLQPFRY-SFHVURJKSA-N 0 0 445.520 -0.299 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000551030094 1073053653 /nfs/dbraw/zinc/05/36/53/1073053653.db2.gz HLLXBKPQVQEHLK-UHFFFAOYSA-N 0 0 448.543 -0.656 20 0 IBADRN Cc1cccc(C(=O)N2CCCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)c1 ZINC000551030210 1073053559 /nfs/dbraw/zinc/05/35/59/1073053559.db2.gz IBGBAGOKRZJZGM-UHFFFAOYSA-N 0 0 443.548 -0.050 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(C(=O)CCC3CCCC3)CC2)CC1 ZINC000551030247 1073053402 /nfs/dbraw/zinc/05/34/02/1073053402.db2.gz ITLDKZFKZBXXDG-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000551032029 1073054426 /nfs/dbraw/zinc/05/44/26/1073054426.db2.gz RSTWKQRVMHUZKN-INIZCTEOSA-N 0 0 426.477 -0.194 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000551032030 1073054528 /nfs/dbraw/zinc/05/45/28/1073054528.db2.gz RSTWKQRVMHUZKN-MRXNPFEDSA-N 0 0 426.477 -0.194 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)CNS(=O)(=O)c2cnn(C)c2)c1 ZINC000551032189 1073054572 /nfs/dbraw/zinc/05/45/72/1073054572.db2.gz MTADZHIVPRJWRH-UHFFFAOYSA-N 0 0 429.524 -0.299 20 0 IBADRN COCC1(O)CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000551032262 1073054380 /nfs/dbraw/zinc/05/43/80/1073054380.db2.gz UECKFQQTJRZMAS-UHFFFAOYSA-N 0 0 441.550 -0.203 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000551032499 1073054542 /nfs/dbraw/zinc/05/45/42/1073054542.db2.gz NEBJODOLNLDMKZ-HNNXBMFYSA-N 0 0 435.506 -0.275 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000551032501 1073054467 /nfs/dbraw/zinc/05/44/67/1073054467.db2.gz NEBJODOLNLDMKZ-OAHLLOKOSA-N 0 0 435.506 -0.275 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC000551032807 1073054501 /nfs/dbraw/zinc/05/45/01/1073054501.db2.gz YYDVGERIZGPQNT-UHFFFAOYSA-N 0 0 431.537 -0.132 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000551033876 1073054516 /nfs/dbraw/zinc/05/45/16/1073054516.db2.gz FVIQDLKZSNADBA-GOSISDBHSA-N 0 0 434.537 -0.149 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000551033877 1073054364 /nfs/dbraw/zinc/05/43/64/1073054364.db2.gz FVIQDLKZSNADBA-SFHVURJKSA-N 0 0 434.537 -0.149 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551034001 1073054024 /nfs/dbraw/zinc/05/40/24/1073054024.db2.gz WOYROVSZJZWJLH-INIZCTEOSA-N 0 0 449.537 -0.949 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551034002 1073054087 /nfs/dbraw/zinc/05/40/87/1073054087.db2.gz WOYROVSZJZWJLH-MRXNPFEDSA-N 0 0 449.537 -0.949 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000551034759 1073053924 /nfs/dbraw/zinc/05/39/24/1073053924.db2.gz ORIUIVYNPGAPGB-INIZCTEOSA-N 0 0 435.500 -0.255 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000551034760 1073054082 /nfs/dbraw/zinc/05/40/82/1073054082.db2.gz ORIUIVYNPGAPGB-MRXNPFEDSA-N 0 0 435.500 -0.255 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000551035614 1073054455 /nfs/dbraw/zinc/05/44/55/1073054455.db2.gz GTSKXFGYSOWLDJ-INIZCTEOSA-N 0 0 449.533 -0.134 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000551035617 1073054584 /nfs/dbraw/zinc/05/45/84/1073054584.db2.gz GTSKXFGYSOWLDJ-MRXNPFEDSA-N 0 0 449.533 -0.134 20 0 IBADRN COC(=O)[C@@]1(c2ccccc2)CCN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1 ZINC000551037537 1073327328 /nfs/dbraw/zinc/32/73/28/1073327328.db2.gz GMOYAQNPLHUFDX-NRFANRHFSA-N 0 0 427.461 0.253 20 0 IBADRN COC(=O)[C@]1(c2ccccc2)CCN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1 ZINC000551037541 1073054489 /nfs/dbraw/zinc/05/44/89/1073054489.db2.gz GMOYAQNPLHUFDX-OAQYLSRUSA-N 0 0 427.461 0.253 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000551038132 1073055347 /nfs/dbraw/zinc/05/53/47/1073055347.db2.gz SPPBTLTURBCDPZ-AWEZNQCLSA-N 0 0 445.505 -0.203 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000551038135 1073055712 /nfs/dbraw/zinc/05/57/12/1073055712.db2.gz SPPBTLTURBCDPZ-CQSZACIVSA-N 0 0 445.505 -0.203 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000551039401 1073055786 /nfs/dbraw/zinc/05/57/86/1073055786.db2.gz POEVVJBBLBKBEX-KRWDZBQOSA-N 0 0 449.527 -0.463 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000551039402 1073055999 /nfs/dbraw/zinc/05/59/99/1073055999.db2.gz POEVVJBBLBKBEX-QGZVFWFLSA-N 0 0 449.527 -0.463 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)CN2CCOCC2)cn1 ZINC000551039847 1073055688 /nfs/dbraw/zinc/05/56/88/1073055688.db2.gz WYXXWOKSZJWVJU-GDBMZVCRSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)CN2CCOCC2)cn1 ZINC000551039848 1073055678 /nfs/dbraw/zinc/05/56/78/1073055678.db2.gz WYXXWOKSZJWVJU-GOEBONIOSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)CN2CCOCC2)cn1 ZINC000551039849 1073055910 /nfs/dbraw/zinc/05/59/10/1073055910.db2.gz WYXXWOKSZJWVJU-HOCLYGCPSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)CN2CCOCC2)cn1 ZINC000551039850 1073055947 /nfs/dbraw/zinc/05/59/47/1073055947.db2.gz WYXXWOKSZJWVJU-ZBFHGGJFSA-N 0 0 427.527 -0.962 20 0 IBADRN Cn1[nH]c([C@@H]2CCCN2CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nc1=N ZINC000551040224 1073055899 /nfs/dbraw/zinc/05/58/99/1073055899.db2.gz XPYSTVGHNKCEMT-ILXRZTDVSA-N 0 0 426.543 -0.441 20 0 IBADRN Cn1[nH]c([C@@H]2CCCN2CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nc1=N ZINC000551040225 1073055766 /nfs/dbraw/zinc/05/57/66/1073055766.db2.gz XPYSTVGHNKCEMT-KFWWJZLASA-N 0 0 426.543 -0.441 20 0 IBADRN Cn1[nH]c([C@@H]2CCCN2CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nc1=N ZINC000551040326 1073056374 /nfs/dbraw/zinc/05/63/74/1073056374.db2.gz XPYSTVGHNKCEMT-KKUMJFAQSA-N 0 0 426.543 -0.441 20 0 IBADRN Cn1[nH]c([C@@H]2CCCN2CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nc1=N ZINC000551040327 1073056423 /nfs/dbraw/zinc/05/64/23/1073056423.db2.gz XPYSTVGHNKCEMT-ZNMIVQPWSA-N 0 0 426.543 -0.441 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C[C@H]1O ZINC000551040540 1073055695 /nfs/dbraw/zinc/05/56/95/1073055695.db2.gz LLPJTQLWXVDJSQ-HZPDHXFCSA-N 0 0 442.538 -0.409 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C[C@H]1O ZINC000551040541 1073055892 /nfs/dbraw/zinc/05/58/92/1073055892.db2.gz LLPJTQLWXVDJSQ-JKSUJKDBSA-N 0 0 442.538 -0.409 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1)OCO2 ZINC000551041801 1073056469 /nfs/dbraw/zinc/05/64/69/1073056469.db2.gz BYVBIPBORWEBEZ-JTQLQIEISA-N 0 0 427.435 -0.367 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1)OCO2 ZINC000551041804 1073056215 /nfs/dbraw/zinc/05/62/15/1073056215.db2.gz BYVBIPBORWEBEZ-SNVBAGLBSA-N 0 0 427.435 -0.367 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000551042071 1073056443 /nfs/dbraw/zinc/05/64/43/1073056443.db2.gz FYMRYRYRAZLCGS-GFCCVEGCSA-N 0 0 448.523 -0.503 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000551042072 1073056245 /nfs/dbraw/zinc/05/62/45/1073056245.db2.gz FYMRYRYRAZLCGS-LBPRGKRZSA-N 0 0 448.523 -0.503 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000551042615 1073056319 /nfs/dbraw/zinc/05/63/19/1073056319.db2.gz NAIPWXMZTBGPNQ-HNNXBMFYSA-N 0 0 426.495 -0.506 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000551042628 1073056330 /nfs/dbraw/zinc/05/63/30/1073056330.db2.gz NAIPWXMZTBGPNQ-OAHLLOKOSA-N 0 0 426.495 -0.506 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000551042689 1073056338 /nfs/dbraw/zinc/05/63/38/1073056338.db2.gz GNDZPTORVMEUIM-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000551042690 1073056481 /nfs/dbraw/zinc/05/64/81/1073056481.db2.gz GNDZPTORVMEUIM-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000551042756 1073056297 /nfs/dbraw/zinc/05/62/97/1073056297.db2.gz JYCCGLWQTXOFIE-CYBMUJFWSA-N 0 0 439.523 -0.185 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000551042757 1073056229 /nfs/dbraw/zinc/05/62/29/1073056229.db2.gz JYCCGLWQTXOFIE-ZDUSSCGKSA-N 0 0 439.523 -0.185 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)ccc1F ZINC000551043144 1073056311 /nfs/dbraw/zinc/05/63/11/1073056311.db2.gz MQQKSEXZKKACKN-LLVKDONJSA-N 0 0 436.487 -0.835 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)ccc1F ZINC000551043145 1073056807 /nfs/dbraw/zinc/05/68/07/1073056807.db2.gz MQQKSEXZKKACKN-NSHDSACASA-N 0 0 436.487 -0.835 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000551043195 1073056731 /nfs/dbraw/zinc/05/67/31/1073056731.db2.gz OEJZQGMEXNRZCF-AWEZNQCLSA-N 0 0 444.535 -0.442 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000551043396 1073056429 /nfs/dbraw/zinc/05/64/29/1073056429.db2.gz OEJZQGMEXNRZCF-CQSZACIVSA-N 0 0 444.535 -0.442 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000551043448 1073056414 /nfs/dbraw/zinc/05/64/14/1073056414.db2.gz QJGITMRKRMKEHJ-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000551043449 1073056456 /nfs/dbraw/zinc/05/64/56/1073056456.db2.gz QJGITMRKRMKEHJ-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)NCCNC(=O)c3cccnc3)CC2=O)c1 ZINC000551044014 1073056841 /nfs/dbraw/zinc/05/68/41/1073056841.db2.gz GKSKHDGAYLQTFQ-HNNXBMFYSA-N 0 0 425.445 -0.142 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)NCCNC(=O)c3cccnc3)CC2=O)c1 ZINC000551044015 1073056759 /nfs/dbraw/zinc/05/67/59/1073056759.db2.gz GKSKHDGAYLQTFQ-OAHLLOKOSA-N 0 0 425.445 -0.142 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000551044818 1073056829 /nfs/dbraw/zinc/05/68/29/1073056829.db2.gz ZKOXJKYNGLBKQE-CYBMUJFWSA-N 0 0 433.490 -0.205 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000551044819 1073056706 /nfs/dbraw/zinc/05/67/06/1073056706.db2.gz ZKOXJKYNGLBKQE-ZDUSSCGKSA-N 0 0 433.490 -0.205 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1)NC1CCCCC1 ZINC000551044966 1073056818 /nfs/dbraw/zinc/05/68/18/1073056818.db2.gz BBCAESBQHFIKQX-UHFFFAOYSA-N 0 0 444.536 -0.479 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccnc2N(C)C)C1 ZINC000551044982 1073056792 /nfs/dbraw/zinc/05/67/92/1073056792.db2.gz BOUXHCJYRUUAIL-INIZCTEOSA-N 0 0 441.554 -0.337 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccnc2N(C)C)C1 ZINC000551044983 1073056855 /nfs/dbraw/zinc/05/68/55/1073056855.db2.gz BOUXHCJYRUUAIL-MRXNPFEDSA-N 0 0 441.554 -0.337 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000551045046 1073054921 /nfs/dbraw/zinc/05/49/21/1073054921.db2.gz FMNLWPWYNDEQCM-KRWDZBQOSA-N 0 0 439.520 -0.351 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000551045047 1073055046 /nfs/dbraw/zinc/05/50/46/1073055046.db2.gz FMNLWPWYNDEQCM-QGZVFWFLSA-N 0 0 439.520 -0.351 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCC(O)(C(N)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000551045590 1073054846 /nfs/dbraw/zinc/05/48/46/1073054846.db2.gz SSEYCAGWRFCWGT-UHFFFAOYSA-N 0 0 429.477 -0.845 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccnc3N(C)C)CC2)cn1C ZINC000551045947 1073056679 /nfs/dbraw/zinc/05/66/79/1073056679.db2.gz PQCSZWSMUYMSPD-UHFFFAOYSA-N 0 0 449.537 -0.661 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCN(S(=O)(=O)NC(C)C)CC1 ZINC000551046431 1073054956 /nfs/dbraw/zinc/05/49/56/1073054956.db2.gz HNDPIOJDCDQRKM-UHFFFAOYSA-N 0 0 441.554 -0.081 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000551046511 1073054841 /nfs/dbraw/zinc/05/48/41/1073054841.db2.gz ZSSLPVYAXFIYGM-UHFFFAOYSA-N 0 0 443.555 -0.574 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCCNC(=O)c3cccnc3)C2)nc1 ZINC000551046990 1073055003 /nfs/dbraw/zinc/05/50/03/1073055003.db2.gz SOAUNAVPBLLSPJ-UHFFFAOYSA-N 0 0 440.460 -0.496 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(N(C)C)nc2)C1 ZINC000551048727 1073055364 /nfs/dbraw/zinc/05/53/64/1073055364.db2.gz MUFLVUQYTAIDTF-INIZCTEOSA-N 0 0 441.554 -0.337 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(N(C)C)nc2)C1 ZINC000551048728 1073055310 /nfs/dbraw/zinc/05/53/10/1073055310.db2.gz MUFLVUQYTAIDTF-MRXNPFEDSA-N 0 0 441.554 -0.337 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCN1CCc2ccccc2C1 ZINC000551048873 1073055378 /nfs/dbraw/zinc/05/53/78/1073055378.db2.gz SPMYJFPPZXTSGR-UHFFFAOYSA-N 0 0 435.506 -0.615 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NCCNC(=O)c3cccnc3)C2=O)cc1 ZINC000551049130 1073055430 /nfs/dbraw/zinc/05/54/30/1073055430.db2.gz YDJMCYOGNBNEKA-GOSISDBHSA-N 0 0 439.472 -0.147 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NCCNC(=O)c3cccnc3)C2=O)cc1 ZINC000551049131 1073055411 /nfs/dbraw/zinc/05/54/11/1073055411.db2.gz YDJMCYOGNBNEKA-SFHVURJKSA-N 0 0 439.472 -0.147 20 0 IBADRN CS(=O)(=O)c1ccc(OCCN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000551051390 1073056749 /nfs/dbraw/zinc/05/67/49/1073056749.db2.gz GJKRHTFMRAYPQG-UHFFFAOYSA-N 0 0 425.507 -0.528 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000551053287 1073057337 /nfs/dbraw/zinc/05/73/37/1073057337.db2.gz PCNDRBSZTKJKSH-IBGZPJMESA-N 0 0 443.548 -0.383 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000551053288 1073057133 /nfs/dbraw/zinc/05/71/33/1073057133.db2.gz PCNDRBSZTKJKSH-LJQANCHMSA-N 0 0 443.548 -0.383 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)n1 ZINC000551053683 1073057160 /nfs/dbraw/zinc/05/71/60/1073057160.db2.gz GLSQGIARAJXTSF-UHFFFAOYSA-N 0 0 437.570 -0.581 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCCN(C(=O)c3cccc(F)c3)CC2)CC1 ZINC000551054323 1073057297 /nfs/dbraw/zinc/05/72/97/1073057297.db2.gz UWCLCGQNTHHRLN-UHFFFAOYSA-N 0 0 447.511 -0.220 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)cc1F ZINC000551055487 1073057261 /nfs/dbraw/zinc/05/72/61/1073057261.db2.gz NBIHCBMFFXPHOK-UHFFFAOYSA-N 0 0 449.527 -0.241 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCn3c(nnc3-c3ccccc3)C2)CC1 ZINC000551055768 1073057104 /nfs/dbraw/zinc/05/71/04/1073057104.db2.gz VBIAWHCEVUEGEN-UHFFFAOYSA-N 0 0 425.493 -0.432 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000551056071 1073057220 /nfs/dbraw/zinc/05/72/20/1073057220.db2.gz SOAUZOCCNBWWKZ-UHFFFAOYSA-N 0 0 444.580 -0.453 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC(C(=O)NCc3ccccc3)CC2)CC1 ZINC000551056555 1073057193 /nfs/dbraw/zinc/05/71/93/1073057193.db2.gz XWPDQEGAMWXOLN-UHFFFAOYSA-N 0 0 443.548 -0.178 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2ccc(N(C)C)nc2)cn1 ZINC000551057273 1073057079 /nfs/dbraw/zinc/05/70/79/1073057079.db2.gz MWRBEJSDTRLNGA-INIZCTEOSA-N 0 0 434.522 -0.022 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2ccc(N(C)C)nc2)cn1 ZINC000551057275 1073057256 /nfs/dbraw/zinc/05/72/56/1073057256.db2.gz MWRBEJSDTRLNGA-MRXNPFEDSA-N 0 0 434.522 -0.022 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC(c3nnc4ccccn43)CC2)CC1 ZINC000551057510 1073057284 /nfs/dbraw/zinc/05/72/84/1073057284.db2.gz PZRYTDJUPKOYRL-UHFFFAOYSA-N 0 0 427.509 -0.284 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC(c3nnc4n3CCCC4)CC2)CC1 ZINC000551058393 1073057604 /nfs/dbraw/zinc/05/76/04/1073057604.db2.gz LWEQMKYOPHKAHW-UHFFFAOYSA-N 0 0 431.541 -0.399 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)ncn1 ZINC000551058431 1073057867 /nfs/dbraw/zinc/05/78/67/1073057867.db2.gz NEPWYIIJULZTHJ-UHFFFAOYSA-N 0 0 447.540 -0.442 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000551058906 1073057240 /nfs/dbraw/zinc/05/72/40/1073057240.db2.gz ZCLRRXCNQOPEPD-GOSISDBHSA-N 0 0 429.521 -0.508 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000551058907 1073057800 /nfs/dbraw/zinc/05/78/00/1073057800.db2.gz ZCLRRXCNQOPEPD-SFHVURJKSA-N 0 0 429.521 -0.508 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000551059353 1073057621 /nfs/dbraw/zinc/05/76/21/1073057621.db2.gz JJGGEXJFYNRXMV-INIZCTEOSA-N 0 0 433.484 -0.282 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000551059354 1073057683 /nfs/dbraw/zinc/05/76/83/1073057683.db2.gz JJGGEXJFYNRXMV-MRXNPFEDSA-N 0 0 433.484 -0.282 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)c2)CCO1 ZINC000551061951 1073058357 /nfs/dbraw/zinc/05/83/57/1073058357.db2.gz FJIMRVALJGOXKQ-GOSISDBHSA-N 0 0 445.564 -0.196 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)c2)CCO1 ZINC000551061952 1073058406 /nfs/dbraw/zinc/05/84/06/1073058406.db2.gz FJIMRVALJGOXKQ-SFHVURJKSA-N 0 0 445.564 -0.196 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000551062159 1073058293 /nfs/dbraw/zinc/05/82/93/1073058293.db2.gz IGFQAWMWLOVWQV-UHFFFAOYSA-N 0 0 443.548 -0.511 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)N2CCOCC2)cc1 ZINC000551062473 1073058588 /nfs/dbraw/zinc/05/85/88/1073058588.db2.gz NAHBWFVGPPOYKW-FQEVSTJZSA-N 0 0 445.564 -0.235 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)N2CCOCC2)cc1 ZINC000551062474 1073058559 /nfs/dbraw/zinc/05/85/59/1073058559.db2.gz NAHBWFVGPPOYKW-HXUWFJFHSA-N 0 0 445.564 -0.235 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000551062596 1073058271 /nfs/dbraw/zinc/05/82/71/1073058271.db2.gz NYWSPWXCIRXKPL-UHFFFAOYSA-N 0 0 436.509 -0.695 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000551062626 1073058461 /nfs/dbraw/zinc/05/84/61/1073058461.db2.gz OGTKYKLVIQJKMZ-GOSISDBHSA-N 0 0 445.520 -0.807 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000551062627 1073058398 /nfs/dbraw/zinc/05/83/98/1073058398.db2.gz OGTKYKLVIQJKMZ-SFHVURJKSA-N 0 0 445.520 -0.807 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)n1 ZINC000551062702 1073058653 /nfs/dbraw/zinc/05/86/53/1073058653.db2.gz PNIPNSYDWTYMQN-UHFFFAOYSA-N 0 0 432.525 -0.591 20 0 IBADRN Cc1nc(CN2CCC(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)oc1C ZINC000551063227 1073059149 /nfs/dbraw/zinc/05/91/49/1073059149.db2.gz VLXWDSJDXDQXNM-UHFFFAOYSA-N 0 0 448.568 -0.100 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000551063368 1073059141 /nfs/dbraw/zinc/05/91/41/1073059141.db2.gz XEPQYMUMQOLKLD-FQEVSTJZSA-N 0 0 445.564 -0.674 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000551063369 1073058946 /nfs/dbraw/zinc/05/89/46/1073058946.db2.gz XEPQYMUMQOLKLD-HXUWFJFHSA-N 0 0 445.564 -0.674 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000551063397 1073059169 /nfs/dbraw/zinc/05/91/69/1073059169.db2.gz YBWZVNHCPPUTML-UHFFFAOYSA-N 0 0 433.509 -0.464 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(Cc3nccn3C(F)F)CC2)CC1 ZINC000551063419 1073059086 /nfs/dbraw/zinc/05/90/86/1073059086.db2.gz YMRZSIVSSUMZGA-UHFFFAOYSA-N 0 0 441.483 -0.797 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000551070264 1073059486 /nfs/dbraw/zinc/05/94/86/1073059486.db2.gz BVHIVKZMGPTJER-DOTOQJQBSA-N 0 0 430.571 -0.324 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000551070265 1073059552 /nfs/dbraw/zinc/05/95/52/1073059552.db2.gz BVHIVKZMGPTJER-NVXWUHKLSA-N 0 0 430.571 -0.324 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000551070266 1073059449 /nfs/dbraw/zinc/05/94/49/1073059449.db2.gz BVHIVKZMGPTJER-RDJZCZTQSA-N 0 0 430.571 -0.324 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000551070267 1073059631 /nfs/dbraw/zinc/05/96/31/1073059631.db2.gz BVHIVKZMGPTJER-WBVHZDCISA-N 0 0 430.571 -0.324 20 0 IBADRN CCCNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000551070342 1073059472 /nfs/dbraw/zinc/05/94/72/1073059472.db2.gz XFHIRJUTBSUVGI-UHFFFAOYSA-N 0 0 429.521 -0.135 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCC(=O)N(C)Cc3ccccc3)CC2)ncn1 ZINC000551072936 1073059587 /nfs/dbraw/zinc/05/95/87/1073059587.db2.gz XDXIQTAYCFLMSX-UHFFFAOYSA-N 0 0 426.477 -0.091 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2cc(Br)cs2)CC1 ZINC000551073014 1073059492 /nfs/dbraw/zinc/05/94/92/1073059492.db2.gz HVVCQFBICULTBK-UHFFFAOYSA-N 0 0 442.299 -0.516 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1 ZINC000551073725 1073060058 /nfs/dbraw/zinc/06/00/58/1073060058.db2.gz BSNJHDKRJOARRN-APWZRJJASA-N 0 0 426.477 -0.369 20 0 IBADRN O=C(NCc1cccc(S(=O)(=O)NCc2cccc(F)c2)c1)C(=O)N1CCNC(=O)C1 ZINC000551073981 1073060135 /nfs/dbraw/zinc/06/01/35/1073060135.db2.gz ZGKMEUYBFOGSSR-UHFFFAOYSA-N 0 0 448.476 -0.121 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000551074080 1073060175 /nfs/dbraw/zinc/06/01/75/1073060175.db2.gz LIHMIDAYYJYSAS-KRWDZBQOSA-N 0 0 440.504 -0.198 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000551074081 1073060036 /nfs/dbraw/zinc/06/00/36/1073060036.db2.gz LIHMIDAYYJYSAS-QGZVFWFLSA-N 0 0 440.504 -0.198 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000551074258 1073060103 /nfs/dbraw/zinc/06/01/03/1073060103.db2.gz MRWXGNVSMWREPB-KRWDZBQOSA-N 0 0 432.521 -0.061 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000551074259 1073060023 /nfs/dbraw/zinc/06/00/23/1073060023.db2.gz MRWXGNVSMWREPB-QGZVFWFLSA-N 0 0 432.521 -0.061 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000551074454 1073060131 /nfs/dbraw/zinc/06/01/31/1073060131.db2.gz LAUJMHQELFLWKB-GOSISDBHSA-N 0 0 431.537 -0.322 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000551074455 1073060109 /nfs/dbraw/zinc/06/01/09/1073060109.db2.gz LAUJMHQELFLWKB-SFHVURJKSA-N 0 0 431.537 -0.322 20 0 IBADRN Cn1ccnc1N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000551074978 1073060196 /nfs/dbraw/zinc/06/01/96/1073060196.db2.gz BCWSJVBFWDUKPB-UHFFFAOYSA-N 0 0 437.504 -0.084 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000551076742 1073060628 /nfs/dbraw/zinc/06/06/28/1073060628.db2.gz LSOAZTSLIOGSGQ-UHFFFAOYSA-N 0 0 429.495 -0.693 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000551076954 1073060055 /nfs/dbraw/zinc/06/00/55/1073060055.db2.gz NHFIYRVVOIQTMG-KRWDZBQOSA-N 0 0 436.534 -0.040 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000551076955 1073060184 /nfs/dbraw/zinc/06/01/84/1073060184.db2.gz NHFIYRVVOIQTMG-QGZVFWFLSA-N 0 0 436.534 -0.040 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000551077120 1073060673 /nfs/dbraw/zinc/06/06/73/1073060673.db2.gz QSQPKIQSQNJNOV-UHFFFAOYSA-N 0 0 438.510 -0.642 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000551077176 1073060648 /nfs/dbraw/zinc/06/06/48/1073060648.db2.gz LFVIXEBLAFNREY-CABCVRRESA-N 0 0 426.539 -0.049 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000551077178 1073060681 /nfs/dbraw/zinc/06/06/81/1073060681.db2.gz LFVIXEBLAFNREY-GJZGRUSLSA-N 0 0 426.539 -0.049 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N[C@H](C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000551077180 1073060582 /nfs/dbraw/zinc/06/05/82/1073060582.db2.gz LFVIXEBLAFNREY-HUUCEWRRSA-N 0 0 426.539 -0.049 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@@H](C)CN1CCOCC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000551077181 1073060571 /nfs/dbraw/zinc/06/05/71/1073060571.db2.gz LFVIXEBLAFNREY-LSDHHAIUSA-N 0 0 426.539 -0.049 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N[C@@H](C)CN2CCOCC2)CC1 ZINC000551077932 1073060623 /nfs/dbraw/zinc/06/06/23/1073060623.db2.gz PYOBQLYKZRGMNI-KRWDZBQOSA-N 0 0 431.537 -0.192 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N[C@H](C)CN2CCOCC2)CC1 ZINC000551077933 1073060504 /nfs/dbraw/zinc/06/05/04/1073060504.db2.gz PYOBQLYKZRGMNI-QGZVFWFLSA-N 0 0 431.537 -0.192 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H](C)CN2CCOCC2)cc1 ZINC000551077943 1073060664 /nfs/dbraw/zinc/06/06/64/1073060664.db2.gz PZTYUZROZPZNDH-INIZCTEOSA-N 0 0 440.566 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H](C)CN2CCOCC2)cc1 ZINC000551077947 1073060613 /nfs/dbraw/zinc/06/06/13/1073060613.db2.gz PZTYUZROZPZNDH-MRXNPFEDSA-N 0 0 440.566 -0.033 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)nc1 ZINC000551078385 1073061094 /nfs/dbraw/zinc/06/10/94/1073061094.db2.gz UKTHUQMQLORTSD-INIZCTEOSA-N 0 0 433.509 -0.256 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)nc1 ZINC000551078386 1073061082 /nfs/dbraw/zinc/06/10/82/1073061082.db2.gz UKTHUQMQLORTSD-MRXNPFEDSA-N 0 0 433.509 -0.256 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000551078467 1073060554 /nfs/dbraw/zinc/06/05/54/1073060554.db2.gz ZAXBJJJEUNZKHO-INIZCTEOSA-N 0 0 441.536 -0.307 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000551078468 1073060493 /nfs/dbraw/zinc/06/04/93/1073060493.db2.gz ZAXBJJJEUNZKHO-MRXNPFEDSA-N 0 0 441.536 -0.307 20 0 IBADRN COCCOCCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000551078677 1073060488 /nfs/dbraw/zinc/06/04/88/1073060488.db2.gz RITOPEGLOLVXLD-UHFFFAOYSA-N 0 0 442.538 -0.982 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC000551079120 1073060965 /nfs/dbraw/zinc/06/09/65/1073060965.db2.gz YFJQDRKCGKUVPN-KRWDZBQOSA-N 0 0 431.537 -0.180 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC000551079124 1073061294 /nfs/dbraw/zinc/06/12/94/1073061294.db2.gz YFJQDRKCGKUVPN-QGZVFWFLSA-N 0 0 431.537 -0.180 20 0 IBADRN COCCOCCNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000551079476 1073060947 /nfs/dbraw/zinc/06/09/47/1073060947.db2.gz YOMQWNXVOBIKRO-INIZCTEOSA-N 0 0 436.513 -0.823 20 0 IBADRN COCCOCCNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000551079485 1073061056 /nfs/dbraw/zinc/06/10/56/1073061056.db2.gz YOMQWNXVOBIKRO-MRXNPFEDSA-N 0 0 436.513 -0.823 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2cccc(N3CCOCC3)n2)c1 ZINC000551080172 1073058965 /nfs/dbraw/zinc/05/89/65/1073058965.db2.gz GXHMQIHGAFDGAC-UHFFFAOYSA-N 0 0 447.517 -0.241 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000551080244 1073058892 /nfs/dbraw/zinc/05/88/92/1073058892.db2.gz HVGLXAHNDWMPAJ-INIZCTEOSA-N 0 0 438.506 -0.939 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000551080245 1073059104 /nfs/dbraw/zinc/05/91/04/1073059104.db2.gz HVGLXAHNDWMPAJ-MRXNPFEDSA-N 0 0 438.506 -0.939 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)c1 ZINC000551080877 1073061377 /nfs/dbraw/zinc/06/13/77/1073061377.db2.gz QBACCZGXLOSGSI-UHFFFAOYSA-N 0 0 434.474 -0.212 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)c1 ZINC000551082061 1073059456 /nfs/dbraw/zinc/05/94/56/1073059456.db2.gz WAWCCXUSSANODX-UHFFFAOYSA-N 0 0 431.518 -0.050 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)c1 ZINC000551082389 1073061111 /nfs/dbraw/zinc/06/11/11/1073061111.db2.gz PILRTSYOGQLSGI-CVEARBPZSA-N 0 0 429.495 -0.852 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)c1 ZINC000551082390 1073061103 /nfs/dbraw/zinc/06/11/03/1073061103.db2.gz PILRTSYOGQLSGI-HOTGVXAUSA-N 0 0 429.495 -0.852 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)c1 ZINC000551082391 1073060934 /nfs/dbraw/zinc/06/09/34/1073060934.db2.gz PILRTSYOGQLSGI-HZPDHXFCSA-N 0 0 429.495 -0.852 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)c1 ZINC000551082392 1073060972 /nfs/dbraw/zinc/06/09/72/1073060972.db2.gz PILRTSYOGQLSGI-JKSUJKDBSA-N 0 0 429.495 -0.852 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)c1 ZINC000551082413 1073061272 /nfs/dbraw/zinc/06/12/72/1073061272.db2.gz PYGWWSPJWOTSGJ-AWEZNQCLSA-N 0 0 426.539 -0.486 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)c1 ZINC000551082414 1073061241 /nfs/dbraw/zinc/06/12/41/1073061241.db2.gz PYGWWSPJWOTSGJ-CQSZACIVSA-N 0 0 426.539 -0.486 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)c1 ZINC000551082917 1073059649 /nfs/dbraw/zinc/05/96/49/1073059649.db2.gz XIQZASGBWMIHIU-CVEARBPZSA-N 0 0 443.522 -0.917 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)c1 ZINC000551082919 1073059603 /nfs/dbraw/zinc/05/96/03/1073059603.db2.gz XIQZASGBWMIHIU-HOTGVXAUSA-N 0 0 443.522 -0.917 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)c1 ZINC000551082921 1073059656 /nfs/dbraw/zinc/05/96/56/1073059656.db2.gz XIQZASGBWMIHIU-HZPDHXFCSA-N 0 0 443.522 -0.917 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)c1 ZINC000551082922 1073059621 /nfs/dbraw/zinc/05/96/21/1073059621.db2.gz XIQZASGBWMIHIU-JKSUJKDBSA-N 0 0 443.522 -0.917 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)c1 ZINC000551084202 1073061658 /nfs/dbraw/zinc/06/16/58/1073061658.db2.gz SKNKVGAUVJJMJZ-UHFFFAOYSA-N 0 0 439.515 -0.199 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000551085432 1073061842 /nfs/dbraw/zinc/06/18/42/1073061842.db2.gz WMRFYGXAGMLEHB-GFCCVEGCSA-N 0 0 429.481 -0.341 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3n[nH]c(C(N)=O)c3c2)CC1 ZINC000551085433 1073061871 /nfs/dbraw/zinc/06/18/71/1073061871.db2.gz WMRFYGXAGMLEHB-LBPRGKRZSA-N 0 0 429.481 -0.341 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000551088212 1073061940 /nfs/dbraw/zinc/06/19/40/1073061940.db2.gz GAOHPKMLAPOKIT-UHFFFAOYSA-N 0 0 445.501 -0.410 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)c1 ZINC000551088316 1073061825 /nfs/dbraw/zinc/06/18/25/1073061825.db2.gz HWCFFPSKCDWUCK-UHFFFAOYSA-N 0 0 447.517 -0.577 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCCN2CCc3ccccc32)CC1 ZINC000551088927 1073061919 /nfs/dbraw/zinc/06/19/19/1073061919.db2.gz GWFJSUVWWIDLFO-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)c1 ZINC000551089178 1073061926 /nfs/dbraw/zinc/06/19/26/1073061926.db2.gz PDPQMAUGRZVSLB-UHFFFAOYSA-N 0 0 429.521 -0.325 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)c1 ZINC000551095313 1073062496 /nfs/dbraw/zinc/06/24/96/1073062496.db2.gz MQUMBCXYXUWRHR-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)c1 ZINC000551095314 1073062581 /nfs/dbraw/zinc/06/25/81/1073062581.db2.gz MQUMBCXYXUWRHR-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN Cn1cc(I)c(=O)n(CC(=O)NCCCN2CCCC2=O)c1=O ZINC000551098380 1073060982 /nfs/dbraw/zinc/06/09/82/1073060982.db2.gz DNZQZNMINQNDMO-UHFFFAOYSA-N 0 0 434.234 -0.720 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000551098620 1073062529 /nfs/dbraw/zinc/06/25/29/1073062529.db2.gz LHZGLKDNLGDLPA-HNNXBMFYSA-N 0 0 431.486 -0.126 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000551098621 1073062487 /nfs/dbraw/zinc/06/24/87/1073062487.db2.gz LHZGLKDNLGDLPA-OAHLLOKOSA-N 0 0 431.486 -0.126 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)c1 ZINC000551108579 1073062516 /nfs/dbraw/zinc/06/25/16/1073062516.db2.gz KAHTYTAUQYZRBR-UHFFFAOYSA-N 0 0 437.500 -0.138 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000551110644 1073062992 /nfs/dbraw/zinc/06/29/92/1073062992.db2.gz UCFIXESETJSQLB-UHFFFAOYSA-N 0 0 439.520 -0.267 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000551111063 1073062920 /nfs/dbraw/zinc/06/29/20/1073062920.db2.gz FEBBHSAFXAKCMU-UHFFFAOYSA-N 0 0 438.488 -0.153 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCCc1cc(F)cc2c1OCOC2 ZINC000551113986 1073062827 /nfs/dbraw/zinc/06/28/27/1073062827.db2.gz IBIROUINKANIDJ-OAHLLOKOSA-N 0 0 429.470 -0.109 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)c1ccc2c(c1)NC(=O)CNC2=O ZINC000551115216 1073062815 /nfs/dbraw/zinc/06/28/15/1073062815.db2.gz IGXDPLJXSHHWAY-UHFFFAOYSA-N 0 0 446.485 -0.089 20 0 IBADRN Cc1c(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)nnn1Cc1ccccc1F ZINC000551115756 1073062840 /nfs/dbraw/zinc/06/28/40/1073062840.db2.gz NTFZNYAEQAOFEW-INIZCTEOSA-N 0 0 434.472 -0.136 20 0 IBADRN Cc1c(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)nnn1Cc1ccccc1F ZINC000551115758 1073063002 /nfs/dbraw/zinc/06/30/02/1073063002.db2.gz NTFZNYAEQAOFEW-MRXNPFEDSA-N 0 0 434.472 -0.136 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCc2cc(F)cc3c2OCOC3)C1 ZINC000551116325 1073063400 /nfs/dbraw/zinc/06/34/00/1073063400.db2.gz WIHSQSYMBJWXSO-HNNXBMFYSA-N 0 0 429.470 -0.109 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCc2cc(F)cc3c2OCOC3)C1 ZINC000551116326 1073063669 /nfs/dbraw/zinc/06/36/69/1073063669.db2.gz WIHSQSYMBJWXSO-OAHLLOKOSA-N 0 0 429.470 -0.109 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2cccc(C(N)=O)c2)c1 ZINC000551117608 1073063310 /nfs/dbraw/zinc/06/33/10/1073063310.db2.gz GDUXWUJSNCPQEZ-UHFFFAOYSA-N 0 0 448.501 -0.357 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)c1 ZINC000551117640 1073063582 /nfs/dbraw/zinc/06/35/82/1073063582.db2.gz GXDZLPVFDOBTJJ-UHFFFAOYSA-N 0 0 429.521 -0.005 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(OC(F)F)cc1 ZINC000551118296 1073063440 /nfs/dbraw/zinc/06/34/40/1073063440.db2.gz SXQDELYLDKQXKS-GFCCVEGCSA-N 0 0 437.407 -0.177 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(OC(F)F)cc1 ZINC000551118297 1073063593 /nfs/dbraw/zinc/06/35/93/1073063593.db2.gz SXQDELYLDKQXKS-LBPRGKRZSA-N 0 0 437.407 -0.177 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)CC1 ZINC000551120795 1073065300 /nfs/dbraw/zinc/06/53/00/1073065300.db2.gz NNMZGNLNTNQBTF-INIZCTEOSA-N 0 0 429.521 -0.053 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)CC1 ZINC000551120800 1073065167 /nfs/dbraw/zinc/06/51/67/1073065167.db2.gz NNMZGNLNTNQBTF-MRXNPFEDSA-N 0 0 429.521 -0.053 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)[C@@H](C)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000551127536 1073064333 /nfs/dbraw/zinc/06/43/33/1073064333.db2.gz VIERBOFTRTXBBH-GDBMZVCRSA-N 0 0 433.552 -0.182 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)[C@H](C)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000551127537 1073064199 /nfs/dbraw/zinc/06/41/99/1073064199.db2.gz VIERBOFTRTXBBH-GOEBONIOSA-N 0 0 433.552 -0.182 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1)[C@H](C)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000551127538 1073064345 /nfs/dbraw/zinc/06/43/45/1073064345.db2.gz VIERBOFTRTXBBH-HOCLYGCPSA-N 0 0 433.552 -0.182 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1)[C@@H](C)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000551127539 1073064573 /nfs/dbraw/zinc/06/45/73/1073064573.db2.gz VIERBOFTRTXBBH-ZBFHGGJFSA-N 0 0 433.552 -0.182 20 0 IBADRN COCn1nccc1S(=O)(=O)NCC1(NS(=O)(=O)c2ccnn2COC)CCC1 ZINC000551128815 1073064703 /nfs/dbraw/zinc/06/47/03/1073064703.db2.gz FJRCKAFIUNUBNZ-UHFFFAOYSA-N 0 0 448.527 -0.533 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)o1 ZINC000551132446 1073064586 /nfs/dbraw/zinc/06/45/86/1073064586.db2.gz GDLSNUZZXOTDRO-UHFFFAOYSA-N 0 0 449.533 -0.090 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1OC ZINC000551134406 1073064795 /nfs/dbraw/zinc/06/47/95/1073064795.db2.gz HYLRNOCXLQSBEL-UHFFFAOYSA-N 0 0 445.563 -0.143 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1 ZINC000551134864 1073064611 /nfs/dbraw/zinc/06/46/11/1073064611.db2.gz QHESIVAKHRIVNT-GOSISDBHSA-N 0 0 440.566 -0.036 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1 ZINC000551134866 1073064713 /nfs/dbraw/zinc/06/47/13/1073064713.db2.gz QHESIVAKHRIVNT-SFHVURJKSA-N 0 0 440.566 -0.036 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000551134959 1073064751 /nfs/dbraw/zinc/06/47/51/1073064751.db2.gz DSJVXLJHCGIBLY-INIZCTEOSA-N 0 0 444.492 -0.236 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000551134960 1073064673 /nfs/dbraw/zinc/06/46/73/1073064673.db2.gz DSJVXLJHCGIBLY-MRXNPFEDSA-N 0 0 444.492 -0.236 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cn1 ZINC000551134977 1073064819 /nfs/dbraw/zinc/06/48/19/1073064819.db2.gz AKNQVADCOVRZJY-INIZCTEOSA-N 0 0 440.522 -0.251 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cn1 ZINC000551134978 1073064683 /nfs/dbraw/zinc/06/46/83/1073064683.db2.gz AKNQVADCOVRZJY-MRXNPFEDSA-N 0 0 440.522 -0.251 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)CC2)n1 ZINC000551135184 1073064739 /nfs/dbraw/zinc/06/47/39/1073064739.db2.gz HDVCJFHATZUJDL-HNNXBMFYSA-N 0 0 426.481 -0.291 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)CC2)n1 ZINC000551135190 1073064831 /nfs/dbraw/zinc/06/48/31/1073064831.db2.gz HDVCJFHATZUJDL-OAHLLOKOSA-N 0 0 426.481 -0.291 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000551136681 1073065214 /nfs/dbraw/zinc/06/52/14/1073065214.db2.gz PKPJUCGXGYPCLO-HNNXBMFYSA-N 0 0 430.465 -0.232 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000551136682 1073065160 /nfs/dbraw/zinc/06/51/60/1073065160.db2.gz PKPJUCGXGYPCLO-OAHLLOKOSA-N 0 0 430.465 -0.232 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cn1 ZINC000551136765 1073065154 /nfs/dbraw/zinc/06/51/54/1073065154.db2.gz QYBLQWYYMDBHSN-KRWDZBQOSA-N 0 0 447.536 -0.122 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cn1 ZINC000551136766 1073065131 /nfs/dbraw/zinc/06/51/31/1073065131.db2.gz QYBLQWYYMDBHSN-QGZVFWFLSA-N 0 0 447.536 -0.122 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000551136782 1073065291 /nfs/dbraw/zinc/06/52/91/1073065291.db2.gz RVBSLTQTMCEGGN-KRWDZBQOSA-N 0 0 447.536 -0.122 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000551136783 1073065007 /nfs/dbraw/zinc/06/50/07/1073065007.db2.gz RVBSLTQTMCEGGN-QGZVFWFLSA-N 0 0 447.536 -0.122 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cn1 ZINC000551137118 1073066881 /nfs/dbraw/zinc/06/68/81/1073066881.db2.gz RNNIYTINAOIWJV-HNNXBMFYSA-N 0 0 428.511 -0.489 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cn1 ZINC000551137121 1073066848 /nfs/dbraw/zinc/06/68/48/1073066848.db2.gz RNNIYTINAOIWJV-OAHLLOKOSA-N 0 0 428.511 -0.489 20 0 IBADRN O=C(N[C@@H]1CCCN(c2ncccn2)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000551138077 1073066844 /nfs/dbraw/zinc/06/68/44/1073066844.db2.gz JZDYLQKRUXGYIQ-CVEARBPZSA-N 0 0 436.538 -0.412 20 0 IBADRN O=C(N[C@H]1CCCN(c2ncccn2)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000551138078 1073066829 /nfs/dbraw/zinc/06/68/29/1073066829.db2.gz JZDYLQKRUXGYIQ-HOTGVXAUSA-N 0 0 436.538 -0.412 20 0 IBADRN O=C(N[C@@H]1CCCN(c2ncccn2)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000551138079 1073066927 /nfs/dbraw/zinc/06/69/27/1073066927.db2.gz JZDYLQKRUXGYIQ-HZPDHXFCSA-N 0 0 436.538 -0.412 20 0 IBADRN O=C(N[C@H]1CCCN(c2ncccn2)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000551138080 1073066791 /nfs/dbraw/zinc/06/67/91/1073066791.db2.gz JZDYLQKRUXGYIQ-JKSUJKDBSA-N 0 0 436.538 -0.412 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000551138177 1073066824 /nfs/dbraw/zinc/06/68/24/1073066824.db2.gz NJVMMTBHZXRXRY-JXFKEZNVSA-N 0 0 434.566 -0.114 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000551138178 1073066942 /nfs/dbraw/zinc/06/69/42/1073066942.db2.gz NJVMMTBHZXRXRY-OXJNMPFZSA-N 0 0 434.566 -0.114 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000551138179 1073066913 /nfs/dbraw/zinc/06/69/13/1073066913.db2.gz NJVMMTBHZXRXRY-OXQOHEQNSA-N 0 0 434.566 -0.114 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000551138180 1073066935 /nfs/dbraw/zinc/06/69/35/1073066935.db2.gz NJVMMTBHZXRXRY-UZLBHIALSA-N 0 0 434.566 -0.114 20 0 IBADRN CC(C)OCc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000551138731 1073066857 /nfs/dbraw/zinc/06/68/57/1073066857.db2.gz GZHOYERDKCOSRM-UHFFFAOYSA-N 0 0 429.481 -0.415 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000551142410 1073067853 /nfs/dbraw/zinc/06/78/53/1073067853.db2.gz DJCYYHWPYFOWIY-GOSISDBHSA-N 0 0 445.568 -0.036 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000551142418 1073067809 /nfs/dbraw/zinc/06/78/09/1073067809.db2.gz DJCYYHWPYFOWIY-SFHVURJKSA-N 0 0 445.568 -0.036 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1OC ZINC000551143066 1073067501 /nfs/dbraw/zinc/06/75/01/1073067501.db2.gz UPCYPGCNARVGHY-UHFFFAOYSA-N 0 0 432.477 -0.688 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000551143916 1073067843 /nfs/dbraw/zinc/06/78/43/1073067843.db2.gz OPHYHYWKTSQAGV-CVEARBPZSA-N 0 0 438.554 -0.118 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000551143918 1073067892 /nfs/dbraw/zinc/06/78/92/1073067892.db2.gz OPHYHYWKTSQAGV-HOTGVXAUSA-N 0 0 438.554 -0.118 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000551143919 1073067933 /nfs/dbraw/zinc/06/79/33/1073067933.db2.gz OPHYHYWKTSQAGV-HZPDHXFCSA-N 0 0 438.554 -0.118 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000551143920 1073067721 /nfs/dbraw/zinc/06/77/21/1073067721.db2.gz OPHYHYWKTSQAGV-JKSUJKDBSA-N 0 0 438.554 -0.118 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000551145209 1073065485 /nfs/dbraw/zinc/06/54/85/1073065485.db2.gz KRJDEFAMNWSAON-INIZCTEOSA-N 0 0 443.460 -0.269 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000551145210 1073065594 /nfs/dbraw/zinc/06/55/94/1073065594.db2.gz KRJDEFAMNWSAON-MRXNPFEDSA-N 0 0 443.460 -0.269 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)CC2)CC1 ZINC000551145438 1073065528 /nfs/dbraw/zinc/06/55/28/1073065528.db2.gz NKJFLDZWLXLWGA-GOSISDBHSA-N 0 0 443.552 -0.426 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)CC2)CC1 ZINC000551145439 1073065538 /nfs/dbraw/zinc/06/55/38/1073065538.db2.gz NKJFLDZWLXLWGA-SFHVURJKSA-N 0 0 443.552 -0.426 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000551145617 1073065548 /nfs/dbraw/zinc/06/55/48/1073065548.db2.gz RPFKQVWLBSFUPY-AWEZNQCLSA-N 0 0 430.552 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000551145619 1073065551 /nfs/dbraw/zinc/06/55/51/1073065551.db2.gz RPFKQVWLBSFUPY-CQSZACIVSA-N 0 0 430.552 -0.044 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000551146430 1073065563 /nfs/dbraw/zinc/06/55/63/1073065563.db2.gz MLHZYODTKJZABD-HNNXBMFYSA-N 0 0 432.433 -0.883 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000551146431 1073065568 /nfs/dbraw/zinc/06/55/68/1073065568.db2.gz MLHZYODTKJZABD-OAHLLOKOSA-N 0 0 432.433 -0.883 20 0 IBADRN Cc1onc(NC(=O)C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)c1C ZINC000551146473 1073065618 /nfs/dbraw/zinc/06/56/18/1073065618.db2.gz NKOFDWUNMOQKMM-UHFFFAOYSA-N 0 0 436.446 0.190 20 0 IBADRN COC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1OC ZINC000551148553 1073065873 /nfs/dbraw/zinc/06/58/73/1073065873.db2.gz VXWFDASQUUIHQD-UHFFFAOYSA-N 0 0 427.888 -0.355 20 0 IBADRN COCCOc1cccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000551151388 1073066035 /nfs/dbraw/zinc/06/60/35/1073066035.db2.gz DBSPZHJSUBAVRX-UHFFFAOYSA-N 0 0 445.563 -0.177 20 0 IBADRN Cc1ccc(OCCCCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000551151811 1073066078 /nfs/dbraw/zinc/06/60/78/1073066078.db2.gz HIOROISQVVULEU-UHFFFAOYSA-N 0 0 429.481 -0.372 20 0 IBADRN COCCOc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000551152328 1073066404 /nfs/dbraw/zinc/06/64/04/1073066404.db2.gz OZIRKGZNXOHROP-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)Cn1cccn1 ZINC000551152694 1073065883 /nfs/dbraw/zinc/06/58/83/1073065883.db2.gz SGOCVTFVGDLPTQ-KRWDZBQOSA-N 0 0 442.524 -0.389 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)Cn1cccn1 ZINC000551152701 1073065970 /nfs/dbraw/zinc/06/59/70/1073065970.db2.gz SGOCVTFVGDLPTQ-QGZVFWFLSA-N 0 0 442.524 -0.389 20 0 IBADRN COCCOc1cccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000551154111 1073066464 /nfs/dbraw/zinc/06/64/64/1073066464.db2.gz OWJMRTYWPYDGTG-UHFFFAOYSA-N 0 0 429.477 -0.230 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1 ZINC000551154123 1073066558 /nfs/dbraw/zinc/06/65/58/1073066558.db2.gz PBBWCRHYBIDCQB-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1 ZINC000551154124 1073066372 /nfs/dbraw/zinc/06/63/72/1073066372.db2.gz PBBWCRHYBIDCQB-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN C[C@H]1CCCC[C@H]1OCCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000551154657 1073066284 /nfs/dbraw/zinc/06/62/84/1073066284.db2.gz VAFORDJRNHJSQB-DLBZAZTESA-N 0 0 438.525 -0.773 20 0 IBADRN C[C@@H]1CCCC[C@H]1OCCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000551154660 1073066307 /nfs/dbraw/zinc/06/63/07/1073066307.db2.gz VAFORDJRNHJSQB-IAGOWNOFSA-N 0 0 438.525 -0.773 20 0 IBADRN C[C@H]1CCCC[C@@H]1OCCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000551154661 1073066433 /nfs/dbraw/zinc/06/64/33/1073066433.db2.gz VAFORDJRNHJSQB-IRXDYDNUSA-N 0 0 438.525 -0.773 20 0 IBADRN C[C@@H]1CCCC[C@@H]1OCCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000551154662 1073066546 /nfs/dbraw/zinc/06/65/46/1073066546.db2.gz VAFORDJRNHJSQB-SJORKVTESA-N 0 0 438.525 -0.773 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccn(-c2ccc(Br)cc2)n1 ZINC000551157731 1073317669 /nfs/dbraw/zinc/31/76/69/1073317669.db2.gz BVNVRLGBDBWXDZ-UHFFFAOYSA-N 0 0 430.284 0.239 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000551159625 1073068435 /nfs/dbraw/zinc/06/84/35/1073068435.db2.gz RLQCEYQJVHDTKO-UHFFFAOYSA-N 0 0 430.421 -0.278 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N(C)C[C@H](O)CN3CCOCC3)cc2CC1 ZINC000551161442 1073068273 /nfs/dbraw/zinc/06/82/73/1073068273.db2.gz YIASTPVPICPEKX-IBGZPJMESA-N 0 0 425.551 -0.053 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N(C)C[C@@H](O)CN3CCOCC3)cc2CC1 ZINC000551161443 1073068216 /nfs/dbraw/zinc/06/82/16/1073068216.db2.gz YIASTPVPICPEKX-LJQANCHMSA-N 0 0 425.551 -0.053 20 0 IBADRN CSCCCN(C)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551163919 1073068256 /nfs/dbraw/zinc/06/82/56/1073068256.db2.gz AJLMIGNWHPIHHN-UHFFFAOYSA-N 0 0 443.595 -0.139 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cccnc1 ZINC000551163924 1073068188 /nfs/dbraw/zinc/06/81/88/1073068188.db2.gz ARJFEYBXZDDCRX-INIZCTEOSA-N 0 0 446.533 -0.078 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000551166423 1073068758 /nfs/dbraw/zinc/06/87/58/1073068758.db2.gz ZIHZVCWMVZBPCF-UHFFFAOYSA-N 0 0 447.521 -0.936 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn2ccnc12 ZINC000551166567 1073068726 /nfs/dbraw/zinc/06/87/26/1073068726.db2.gz PZCSWUZOEQGKLY-UHFFFAOYSA-N 0 0 426.437 -0.930 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)Cc1cc(C(C)(C)C)on1)C2 ZINC000551169040 1073069034 /nfs/dbraw/zinc/06/90/34/1073069034.db2.gz RUWQDYYTXJKPOM-UHFFFAOYSA-N 0 0 426.499 -0.236 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H](C)O1 ZINC000551169181 1073067766 /nfs/dbraw/zinc/06/77/66/1073067766.db2.gz DDEKWYFGZVDMQK-IYBDPMFKSA-N 0 0 439.538 -0.715 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)Nc3cnn(CC(N)=O)c3)nc2n(CC)c1=O ZINC000551175376 1073352075 /nfs/dbraw/zinc/35/20/75/1073352075.db2.gz SVEBHOBTIIXDGM-UHFFFAOYSA-N 0 0 428.453 0.277 20 0 IBADRN CN(C[C@@H]1CCCO1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551179386 1073071193 /nfs/dbraw/zinc/07/11/93/1073071193.db2.gz CWMUUUFIVDWCON-INIZCTEOSA-N 0 0 439.538 -0.713 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(N)=O)n2)c2ccccc2O1 ZINC000551179424 1073071099 /nfs/dbraw/zinc/07/10/99/1073071099.db2.gz ANAGVSXWCTXXTR-INIZCTEOSA-N 0 0 442.476 0.406 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(N)=O)n2)c2ccccc2O1 ZINC000551179427 1073071060 /nfs/dbraw/zinc/07/10/60/1073071060.db2.gz ANAGVSXWCTXXTR-MRXNPFEDSA-N 0 0 442.476 0.406 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)Nc2ccn(CC(N)=O)n2)cc1 ZINC000551179430 1073313350 /nfs/dbraw/zinc/31/33/50/1073313350.db2.gz AYMKWLHPZAEGBC-UHFFFAOYSA-N 0 0 436.494 0.270 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1C ZINC000551179522 1073071245 /nfs/dbraw/zinc/07/12/45/1073071245.db2.gz DJXHXLMPTRWQNX-UHFFFAOYSA-N 0 0 445.564 -0.059 20 0 IBADRN COC1(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCC1 ZINC000551179624 1073070980 /nfs/dbraw/zinc/07/09/80/1073070980.db2.gz HHEOKGJDEIJEBW-UHFFFAOYSA-N 0 0 439.538 -0.665 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCC1CCOCC1 ZINC000551179715 1073071069 /nfs/dbraw/zinc/07/10/69/1073071069.db2.gz KJRKYPDWBFSLRR-UHFFFAOYSA-N 0 0 439.538 -0.808 20 0 IBADRN C[C@H]1CSCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551179725 1073071218 /nfs/dbraw/zinc/07/12/18/1073071218.db2.gz KUMRCHAFLRVPJJ-HNNXBMFYSA-N 0 0 441.579 -0.387 20 0 IBADRN C[C@@H]1CSCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551179726 1073071170 /nfs/dbraw/zinc/07/11/70/1073071170.db2.gz KUMRCHAFLRVPJJ-OAHLLOKOSA-N 0 0 441.579 -0.387 20 0 IBADRN COC1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000551179838 1073070318 /nfs/dbraw/zinc/07/03/18/1073070318.db2.gz LOBQMHDKDIUZSX-UHFFFAOYSA-N 0 0 439.538 -0.713 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000551179886 1073070999 /nfs/dbraw/zinc/07/09/99/1073070999.db2.gz OBMGIRGLDLXMEB-UHFFFAOYSA-N 0 0 439.538 -0.713 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551180179 1073071260 /nfs/dbraw/zinc/07/12/60/1073071260.db2.gz UESMGYBNFWOZAR-UHFFFAOYSA-N 0 0 449.537 -0.995 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(C)C ZINC000551180378 1073071032 /nfs/dbraw/zinc/07/10/32/1073071032.db2.gz XYJBTOPQPQSYSD-INIZCTEOSA-N 0 0 441.554 -0.563 20 0 IBADRN CO[C@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(C)C ZINC000551180379 1073071021 /nfs/dbraw/zinc/07/10/21/1073071021.db2.gz XYJBTOPQPQSYSD-MRXNPFEDSA-N 0 0 441.554 -0.563 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1C ZINC000551180572 1073071289 /nfs/dbraw/zinc/07/12/89/1073071289.db2.gz URFURHUTXGOXMU-UHFFFAOYSA-N 0 0 445.564 -0.059 20 0 IBADRN Cc1cc(=NC(=O)C(=O)N=c2ccn(CC(N)=O)[nH]2)[nH]n1CCCOc1ccc(F)cc1 ZINC000551180945 1073071044 /nfs/dbraw/zinc/07/10/44/1073071044.db2.gz ZPRWQMRATQOWIN-UHFFFAOYSA-N 0 0 443.439 -0.097 20 0 IBADRN CCCCN(CCO)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551181124 1073071142 /nfs/dbraw/zinc/07/11/42/1073071142.db2.gz MJRNQYHVUQHYLG-UHFFFAOYSA-N 0 0 441.554 -0.729 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000551181254 1073071083 /nfs/dbraw/zinc/07/10/83/1073071083.db2.gz PIUGUNWBSHGBAZ-CYBMUJFWSA-N 0 0 435.447 -0.627 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000551181255 1073071580 /nfs/dbraw/zinc/07/15/80/1073071580.db2.gz PIUGUNWBSHGBAZ-ZDUSSCGKSA-N 0 0 435.447 -0.627 20 0 IBADRN Cc1cnccc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000551181315 1073071714 /nfs/dbraw/zinc/07/17/14/1073071714.db2.gz SEQAYYRLHDFLLH-UHFFFAOYSA-N 0 0 446.533 -0.331 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)CC1 ZINC000551182005 1073071105 /nfs/dbraw/zinc/07/11/05/1073071105.db2.gz JPCXDYSCRCEMOQ-UHFFFAOYSA-N 0 0 447.540 -0.759 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3cncc(F)c3)CC2)CC1)N1CCOCC1 ZINC000551183110 1073068984 /nfs/dbraw/zinc/06/89/84/1073068984.db2.gz YMYUOSNYELPTFH-UHFFFAOYSA-N 0 0 434.516 -0.654 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2c(C(=O)OC)cnn2C)C1 ZINC000551186568 1073068789 /nfs/dbraw/zinc/06/87/89/1073068789.db2.gz UNJNHEHXBOUZLY-GFCCVEGCSA-N 0 0 445.498 -0.956 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2c(C(=O)OC)cnn2C)C1 ZINC000551186569 1073068863 /nfs/dbraw/zinc/06/88/63/1073068863.db2.gz UNJNHEHXBOUZLY-LBPRGKRZSA-N 0 0 445.498 -0.956 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N[C@@H]2CCN([C@H](C(=O)OC(C)(C)C)c3ccccc3)C2=O)n1 ZINC000551186658 1073068942 /nfs/dbraw/zinc/06/89/42/1073068942.db2.gz XVGPIJRCTDYWJK-CABCVRRESA-N 0 0 442.476 0.554 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N[C@H]2CCN([C@H](C(=O)OC(C)(C)C)c3ccccc3)C2=O)n1 ZINC000551186659 1073068877 /nfs/dbraw/zinc/06/88/77/1073068877.db2.gz XVGPIJRCTDYWJK-GJZGRUSLSA-N 0 0 442.476 0.554 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N[C@@H]2CCN([C@@H](C(=O)OC(C)(C)C)c3ccccc3)C2=O)n1 ZINC000551186705 1073068698 /nfs/dbraw/zinc/06/86/98/1073068698.db2.gz XVGPIJRCTDYWJK-HUUCEWRRSA-N 0 0 442.476 0.554 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N[C@H]2CCN([C@@H](C(=O)OC(C)(C)C)c3ccccc3)C2=O)n1 ZINC000551186706 1073068888 /nfs/dbraw/zinc/06/88/88/1073068888.db2.gz XVGPIJRCTDYWJK-LSDHHAIUSA-N 0 0 442.476 0.554 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3ncnc4ccsc43)CC2)CC1 ZINC000551187153 1073069791 /nfs/dbraw/zinc/06/97/91/1073069791.db2.gz BQUWUIDECYCRGQ-UHFFFAOYSA-N 0 0 445.549 -0.334 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000551187197 1073069836 /nfs/dbraw/zinc/06/98/36/1073069836.db2.gz DOXYWTTXAJHCFU-IBGZPJMESA-N 0 0 431.537 -0.670 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000551187198 1073069957 /nfs/dbraw/zinc/06/99/57/1073069957.db2.gz DOXYWTTXAJHCFU-LJQANCHMSA-N 0 0 431.537 -0.670 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(CCOC)Cc2ccc3c(c2)OCO3)CC1 ZINC000551187201 1073070009 /nfs/dbraw/zinc/07/00/09/1073070009.db2.gz DRBGPSGSLKBRPW-UHFFFAOYSA-N 0 0 434.493 -0.283 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2cccnc2OCC(F)(F)F)CC1 ZINC000551187287 1073069525 /nfs/dbraw/zinc/06/95/25/1073069525.db2.gz GGDXCENTDLUOKR-UHFFFAOYSA-N 0 0 431.415 -0.035 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)NC3CCN(CC(=O)NC)CC3)C2)ncn1 ZINC000551187397 1073069551 /nfs/dbraw/zinc/06/95/51/1073069551.db2.gz JHTKKFUPMIBPCU-KRWDZBQOSA-N 0 0 431.541 -0.549 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)NC3CCN(CC(=O)NC)CC3)C2)ncn1 ZINC000551187400 1073069513 /nfs/dbraw/zinc/06/95/13/1073069513.db2.gz JHTKKFUPMIBPCU-QGZVFWFLSA-N 0 0 431.541 -0.549 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccc(C)cc2)CC1 ZINC000551187401 1073069418 /nfs/dbraw/zinc/06/94/18/1073069418.db2.gz JKJFMWIRTGIEPR-FQEVSTJZSA-N 0 0 445.564 -0.189 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](CN2CCOCC2)c2ccc(C)cc2)CC1 ZINC000551187402 1073069480 /nfs/dbraw/zinc/06/94/80/1073069480.db2.gz JKJFMWIRTGIEPR-HXUWFJFHSA-N 0 0 445.564 -0.189 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC(F)(F)C(F)F)CC2)CC1 ZINC000551187690 1073069215 /nfs/dbraw/zinc/06/92/15/1073069215.db2.gz QSDUJDYSXSFUBL-UHFFFAOYSA-N 0 0 425.427 -0.642 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)CC1 ZINC000551187691 1073069325 /nfs/dbraw/zinc/06/93/25/1073069325.db2.gz QTWMVCAHNRMYIH-UHFFFAOYSA-N 0 0 447.540 -0.759 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)CC1 ZINC000551187731 1073069400 /nfs/dbraw/zinc/06/94/00/1073069400.db2.gz LLDMTSITFJCHPS-UHFFFAOYSA-N 0 0 430.553 -0.167 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCCCCn2cc(Br)cn2)CC1 ZINC000551187761 1073069301 /nfs/dbraw/zinc/06/93/01/1073069301.db2.gz MFDUGGWNTJLSOE-UHFFFAOYSA-N 0 0 443.346 -0.131 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000551187821 1073069539 /nfs/dbraw/zinc/06/95/39/1073069539.db2.gz SVQOTLMRYMVEKU-INIZCTEOSA-N 0 0 435.912 -0.112 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000551187822 1073069465 /nfs/dbraw/zinc/06/94/65/1073069465.db2.gz SVQOTLMRYMVEKU-MRXNPFEDSA-N 0 0 435.912 -0.112 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)CC1 ZINC000551188004 1073068806 /nfs/dbraw/zinc/06/88/06/1073068806.db2.gz TVSIWLAOFRSCOS-UHFFFAOYSA-N 0 0 434.516 -0.024 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3cc(F)ccc3OC)CC2)CC1 ZINC000551188016 1073068677 /nfs/dbraw/zinc/06/86/77/1073068677.db2.gz XIAAJFDCGDELKD-UHFFFAOYSA-N 0 0 449.527 -0.195 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)NC2CCN(CC(=O)NC)CC2)C1 ZINC000551188085 1073069263 /nfs/dbraw/zinc/06/92/63/1073069263.db2.gz UZEOHDAJAAMKQH-FQEVSTJZSA-N 0 0 426.514 -0.869 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)NC2CCN(CC(=O)NC)CC2)C1 ZINC000551188086 1073069502 /nfs/dbraw/zinc/06/95/02/1073069502.db2.gz UZEOHDAJAAMKQH-HXUWFJFHSA-N 0 0 426.514 -0.869 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000551188209 1073070029 /nfs/dbraw/zinc/07/00/29/1073070029.db2.gz YVNGUVAOAYNSGE-GOSISDBHSA-N 0 0 447.584 -0.080 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000551188212 1073069997 /nfs/dbraw/zinc/06/99/97/1073069997.db2.gz YVNGUVAOAYNSGE-SFHVURJKSA-N 0 0 447.584 -0.080 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)CC1 ZINC000551188215 1073070022 /nfs/dbraw/zinc/07/00/22/1073070022.db2.gz YWWQSCPQHJOJDY-UHFFFAOYSA-N 0 0 442.419 -0.041 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](C)[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000551188222 1073070056 /nfs/dbraw/zinc/07/00/56/1073070056.db2.gz ZDNROMWILVZDMP-FXAWDEMLSA-N 0 0 445.564 -0.281 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000551188227 1073069934 /nfs/dbraw/zinc/06/99/34/1073069934.db2.gz ZDNROMWILVZDMP-PXNSSMCTSA-N 0 0 445.564 -0.281 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000551188273 1073069875 /nfs/dbraw/zinc/06/98/75/1073069875.db2.gz ZDNROMWILVZDMP-XLIONFOSSA-N 0 0 445.564 -0.281 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](C)[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000551188274 1073070081 /nfs/dbraw/zinc/07/00/81/1073070081.db2.gz ZDNROMWILVZDMP-YLJYHZDGSA-N 0 0 445.564 -0.281 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000551188512 1073070042 /nfs/dbraw/zinc/07/00/42/1073070042.db2.gz AYYNOSLNGGOXCE-KRWDZBQOSA-N 0 0 433.557 -0.388 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000551188513 1073069907 /nfs/dbraw/zinc/06/99/07/1073069907.db2.gz AYYNOSLNGGOXCE-QGZVFWFLSA-N 0 0 433.557 -0.388 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NC3CCN(CC(=O)NC)CC3)CC2)n1 ZINC000551189514 1073070345 /nfs/dbraw/zinc/07/03/45/1073070345.db2.gz XZPHQEYHIVAEAP-UHFFFAOYSA-N 0 0 447.540 -0.759 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](CS(C)(=O)=O)C1 ZINC000551190379 1073070396 /nfs/dbraw/zinc/07/03/96/1073070396.db2.gz HGNKVFZDDBDCNI-GFCCVEGCSA-N 0 0 447.535 -0.223 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](CS(C)(=O)=O)C1 ZINC000551190381 1073070673 /nfs/dbraw/zinc/07/06/73/1073070673.db2.gz HGNKVFZDDBDCNI-LBPRGKRZSA-N 0 0 447.535 -0.223 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](CS(C)(=O)=O)C1)CC2 ZINC000551190425 1073070660 /nfs/dbraw/zinc/07/06/60/1073070660.db2.gz IGMBYKFVAANQRY-CYBMUJFWSA-N 0 0 443.547 -0.233 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](CS(C)(=O)=O)C1)CC2 ZINC000551190427 1073070765 /nfs/dbraw/zinc/07/07/65/1073070765.db2.gz IGMBYKFVAANQRY-ZDUSSCGKSA-N 0 0 443.547 -0.233 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)CC1 ZINC000551191070 1073070684 /nfs/dbraw/zinc/07/06/84/1073070684.db2.gz SRWCAKOPAQGXPT-INIZCTEOSA-N 0 0 425.574 -0.603 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)CC1 ZINC000551191071 1073070441 /nfs/dbraw/zinc/07/04/41/1073070441.db2.gz SRWCAKOPAQGXPT-MRXNPFEDSA-N 0 0 425.574 -0.603 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000551191502 1073070370 /nfs/dbraw/zinc/07/03/70/1073070370.db2.gz BNQJZUYHEGJKHS-KRWDZBQOSA-N 0 0 431.541 -0.332 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000551191503 1073070779 /nfs/dbraw/zinc/07/07/79/1073070779.db2.gz BNQJZUYHEGJKHS-QGZVFWFLSA-N 0 0 431.541 -0.332 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H](C(=O)Nc3cccc(C)n3)C2)CC1 ZINC000551191691 1073070497 /nfs/dbraw/zinc/07/04/97/1073070497.db2.gz GZIKFJNWKPSONX-INIZCTEOSA-N 0 0 444.536 -0.106 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H](C(=O)Nc3cccc(C)n3)C2)CC1 ZINC000551191692 1073070519 /nfs/dbraw/zinc/07/05/19/1073070519.db2.gz GZIKFJNWKPSONX-MRXNPFEDSA-N 0 0 444.536 -0.106 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000551191921 1073070541 /nfs/dbraw/zinc/07/05/41/1073070541.db2.gz NATRCVAXQQEWQO-UHFFFAOYSA-N 0 0 431.537 -0.538 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)CC1 ZINC000551192936 1073070620 /nfs/dbraw/zinc/07/06/20/1073070620.db2.gz RKXJRRQQSKQFDU-UHFFFAOYSA-N 0 0 439.557 -0.811 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1F ZINC000551193109 1073070431 /nfs/dbraw/zinc/07/04/31/1073070431.db2.gz WANYXPZVUMBAAF-UHFFFAOYSA-N 0 0 444.460 -0.270 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)NC1CCCCC1 ZINC000551196755 1073071970 /nfs/dbraw/zinc/07/19/70/1073071970.db2.gz GWYSEXVABWBZKI-UHFFFAOYSA-N 0 0 431.541 -0.330 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)on1 ZINC000551196839 1073072042 /nfs/dbraw/zinc/07/20/42/1073072042.db2.gz JJXAQZFTTYHDIW-UHFFFAOYSA-N 0 0 442.524 -0.644 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1)C(=O)N1CCOCC1 ZINC000551197551 1073072193 /nfs/dbraw/zinc/07/21/93/1073072193.db2.gz FVFDNMHCNGQNQZ-IBGZPJMESA-N 0 0 431.537 -0.322 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1)C(=O)N1CCOCC1 ZINC000551197552 1073072020 /nfs/dbraw/zinc/07/20/20/1073072020.db2.gz FVFDNMHCNGQNQZ-LJQANCHMSA-N 0 0 431.537 -0.322 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1C[C@@H](C)O ZINC000551197618 1073072145 /nfs/dbraw/zinc/07/21/45/1073072145.db2.gz HJHLLESVWUHJPJ-MSOLQXFVSA-N 0 0 433.557 -0.982 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1C[C@@H](C)O ZINC000551197619 1073071949 /nfs/dbraw/zinc/07/19/49/1073071949.db2.gz HJHLLESVWUHJPJ-QZTJIDSGSA-N 0 0 433.557 -0.982 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1C[C@H](C)O ZINC000551197620 1073071978 /nfs/dbraw/zinc/07/19/78/1073071978.db2.gz HJHLLESVWUHJPJ-ROUUACIJSA-N 0 0 433.557 -0.982 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1C[C@H](C)O ZINC000551197621 1073071921 /nfs/dbraw/zinc/07/19/21/1073071921.db2.gz HJHLLESVWUHJPJ-ZWKOTPCHSA-N 0 0 433.557 -0.982 20 0 IBADRN O=C(NCCc1cn2c(n1)CCCC2)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000551198326 1073072113 /nfs/dbraw/zinc/07/21/13/1073072113.db2.gz MKOYFNPIJLUENA-UHFFFAOYSA-N 0 0 426.525 -0.394 20 0 IBADRN COCCN(Cc1ccccn1)C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000551198495 1073071647 /nfs/dbraw/zinc/07/16/47/1073071647.db2.gz YDLPFHYNNXHZDX-UHFFFAOYSA-N 0 0 427.509 -0.215 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCCS(=O)(=O)c1ccccc1 ZINC000551198522 1073072013 /nfs/dbraw/zinc/07/20/13/1073072013.db2.gz YSVZCIRFKQSYTA-UHFFFAOYSA-N 0 0 446.533 -0.695 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@H](N2CCCC2=O)C1 ZINC000551198744 1073071691 /nfs/dbraw/zinc/07/16/91/1073071691.db2.gz PTTXVTJQFBBHRL-KRWDZBQOSA-N 0 0 429.525 -0.672 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000551198745 1073071630 /nfs/dbraw/zinc/07/16/30/1073071630.db2.gz PTTXVTJQFBBHRL-QGZVFWFLSA-N 0 0 429.525 -0.672 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1)C(F)(F)F ZINC000551199233 1073074021 /nfs/dbraw/zinc/07/40/21/1073074021.db2.gz XUHZWKCSGUJFOR-HNNXBMFYSA-N 0 0 443.474 -0.190 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1)C(F)(F)F ZINC000551199234 1073073959 /nfs/dbraw/zinc/07/39/59/1073073959.db2.gz XUHZWKCSGUJFOR-OAHLLOKOSA-N 0 0 443.474 -0.190 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000551199235 1073074048 /nfs/dbraw/zinc/07/40/48/1073074048.db2.gz XUOMNEGFMDBIHT-UHFFFAOYSA-N 0 0 433.557 -0.716 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000551199846 1073073921 /nfs/dbraw/zinc/07/39/21/1073073921.db2.gz ALRVNWMRYOTSNP-FQEVSTJZSA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000551199849 1073073896 /nfs/dbraw/zinc/07/38/96/1073073896.db2.gz ALRVNWMRYOTSNP-HXUWFJFHSA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCN(CC(F)(F)F)CC1 ZINC000551203617 1073072579 /nfs/dbraw/zinc/07/25/79/1073072579.db2.gz BXZCUZYGVCXERO-UHFFFAOYSA-N 0 0 443.474 -0.189 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000551203745 1073072499 /nfs/dbraw/zinc/07/24/99/1073072499.db2.gz HCZMHQAFVHSXRR-KRWDZBQOSA-N 0 0 437.566 -0.583 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)N1CCN(c2ccccc2)CC1 ZINC000551203746 1073072720 /nfs/dbraw/zinc/07/27/20/1073072720.db2.gz HCZMHQAFVHSXRR-QGZVFWFLSA-N 0 0 437.566 -0.583 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000551203767 1073072553 /nfs/dbraw/zinc/07/25/53/1073072553.db2.gz ANLZDFGMJFSZQP-UHFFFAOYSA-N 0 0 444.580 -0.453 20 0 IBADRN CN(CCCNC(=O)C1CCC1)C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000551203928 1073072461 /nfs/dbraw/zinc/07/24/61/1073072461.db2.gz FCILLVBURAALLU-UHFFFAOYSA-N 0 0 431.541 -0.520 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000551203964 1073072678 /nfs/dbraw/zinc/07/26/78/1073072678.db2.gz FMNDHEXRXBWLBR-UHFFFAOYSA-N 0 0 433.557 -0.716 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)CCC2 ZINC000551204146 1073072546 /nfs/dbraw/zinc/07/25/46/1073072546.db2.gz AOUDPMPCZPFZJG-KRWDZBQOSA-N 0 0 426.525 -0.086 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NCCN3CCN(c4ccccc4)CC3)C[C@H]2CO)cn1 ZINC000551204148 1073072369 /nfs/dbraw/zinc/07/23/69/1073072369.db2.gz JKBZPHZJYNHOAG-FPOVZHCZSA-N 0 0 440.548 -0.107 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)CCC2 ZINC000551204149 1073072595 /nfs/dbraw/zinc/07/25/95/1073072595.db2.gz AOUDPMPCZPFZJG-QGZVFWFLSA-N 0 0 426.525 -0.086 20 0 IBADRN CN1CCO[C@H](CN(CC2CC2)C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000551204195 1073073070 /nfs/dbraw/zinc/07/30/70/1073073070.db2.gz AYUUNNZLQZUAAK-IBGZPJMESA-N 0 0 445.568 -0.716 20 0 IBADRN CN1CCO[C@@H](CN(CC2CC2)C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000551204196 1073073032 /nfs/dbraw/zinc/07/30/32/1073073032.db2.gz AYUUNNZLQZUAAK-LJQANCHMSA-N 0 0 445.568 -0.716 20 0 IBADRN CCN(C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)[C@@H](C)CS(=O)(=O)CC ZINC000551204219 1073073197 /nfs/dbraw/zinc/07/31/97/1073073197.db2.gz BJAIBGSFBJMKSD-INIZCTEOSA-N 0 0 440.570 -0.614 20 0 IBADRN CCN(C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)[C@H](C)CS(=O)(=O)CC ZINC000551204225 1073072992 /nfs/dbraw/zinc/07/29/92/1073072992.db2.gz BJAIBGSFBJMKSD-MRXNPFEDSA-N 0 0 440.570 -0.614 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000551204320 1073073158 /nfs/dbraw/zinc/07/31/58/1073073158.db2.gz NVDZMARRCQPMCF-GOSISDBHSA-N 0 0 437.566 -0.535 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCC4CCOCC4)CC3)C2=O)n(C)n1 ZINC000551204571 1073073183 /nfs/dbraw/zinc/07/31/83/1073073183.db2.gz QKDOKKUPPAJVSO-GOSISDBHSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCC4CCOCC4)CC3)C2=O)n(C)n1 ZINC000551204573 1073072976 /nfs/dbraw/zinc/07/29/76/1073072976.db2.gz QKDOKKUPPAJVSO-SFHVURJKSA-N 0 0 446.552 -0.089 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCc1cccc(-n2cncn2)c1 ZINC000551204687 1073072606 /nfs/dbraw/zinc/07/26/06/1073072606.db2.gz PEOSNWFMVDXKSO-UHFFFAOYSA-N 0 0 435.492 -0.388 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCN(c2nccs2)CC1 ZINC000551204739 1073072314 /nfs/dbraw/zinc/07/23/14/1073072314.db2.gz QHLBAHZGJDFXHQ-UHFFFAOYSA-N 0 0 444.565 -0.090 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(N2CCCC2=O)CC1 ZINC000551204947 1073072665 /nfs/dbraw/zinc/07/26/65/1073072665.db2.gz TYQFEGXBAWYFFQ-UHFFFAOYSA-N 0 0 429.525 -0.672 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1CCOC ZINC000551205080 1073072422 /nfs/dbraw/zinc/07/24/22/1073072422.db2.gz RNZIBKNXLBBVGS-GOSISDBHSA-N 0 0 433.557 -0.716 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1CCOC ZINC000551205082 1073072331 /nfs/dbraw/zinc/07/23/31/1073072331.db2.gz RNZIBKNXLBBVGS-SFHVURJKSA-N 0 0 433.557 -0.716 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000551205089 1073072475 /nfs/dbraw/zinc/07/24/75/1073072475.db2.gz RVEXTLSSUVNTJI-UHFFFAOYSA-N 0 0 430.553 -0.667 20 0 IBADRN CC(C)C(=O)N[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000551205487 1073072931 /nfs/dbraw/zinc/07/29/31/1073072931.db2.gz VGDDWVZBVQKQQN-KRWDZBQOSA-N 0 0 431.541 -0.522 20 0 IBADRN CC(C)C(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000551205493 1073072962 /nfs/dbraw/zinc/07/29/62/1073072962.db2.gz VGDDWVZBVQKQQN-QGZVFWFLSA-N 0 0 431.541 -0.522 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCSC2(CCOCC2)C1 ZINC000551205821 1073073238 /nfs/dbraw/zinc/07/32/38/1073073238.db2.gz YQGCJHJHNAUZLX-UHFFFAOYSA-N 0 0 434.566 -0.161 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CCO1 ZINC000551206085 1073073284 /nfs/dbraw/zinc/07/32/84/1073073284.db2.gz PHKNSIXIVYVXBG-IBGZPJMESA-N 0 0 435.500 -0.573 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CCO1 ZINC000551206086 1073073135 /nfs/dbraw/zinc/07/31/35/1073073135.db2.gz PHKNSIXIVYVXBG-LJQANCHMSA-N 0 0 435.500 -0.573 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)C2)cn1 ZINC000551206317 1073073649 /nfs/dbraw/zinc/07/36/49/1073073649.db2.gz SRGDOHWAVJMULM-KRWDZBQOSA-N 0 0 441.540 -0.767 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)C2)cn1 ZINC000551206318 1073073639 /nfs/dbraw/zinc/07/36/39/1073073639.db2.gz SRGDOHWAVJMULM-QGZVFWFLSA-N 0 0 441.540 -0.767 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000551206639 1073073483 /nfs/dbraw/zinc/07/34/83/1073073483.db2.gz VUNJRCXYVSCYOX-UHFFFAOYSA-N 0 0 435.500 -0.619 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000551206984 1073073213 /nfs/dbraw/zinc/07/32/13/1073073213.db2.gz YVNNSAGRHNZYJZ-GAEBUCLRSA-N 0 0 436.582 -0.089 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000551206985 1073073004 /nfs/dbraw/zinc/07/30/04/1073073004.db2.gz YVNNSAGRHNZYJZ-GZKOVBJLSA-N 0 0 436.582 -0.089 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000551206986 1073073225 /nfs/dbraw/zinc/07/32/25/1073073225.db2.gz YVNNSAGRHNZYJZ-QRYFSLSHSA-N 0 0 436.582 -0.089 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000551206988 1073073573 /nfs/dbraw/zinc/07/35/73/1073073573.db2.gz YVNNSAGRHNZYJZ-WGGUYMNQSA-N 0 0 436.582 -0.089 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000551207026 1073073594 /nfs/dbraw/zinc/07/35/94/1073073594.db2.gz ZLLCSOVOWKMSJD-UHFFFAOYSA-N 0 0 430.553 -0.795 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNC(=O)c1cnccn1)N1CCN(c2ccccc2)CC1 ZINC000551207034 1073073448 /nfs/dbraw/zinc/07/34/48/1073073448.db2.gz ZSQXHAITZNRDGQ-KRWDZBQOSA-N 0 0 439.520 -0.351 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNC(=O)c1cnccn1)N1CCN(c2ccccc2)CC1 ZINC000551207035 1073073425 /nfs/dbraw/zinc/07/34/25/1073073425.db2.gz ZSQXHAITZNRDGQ-QGZVFWFLSA-N 0 0 439.520 -0.351 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C2 ZINC000551207444 1073073661 /nfs/dbraw/zinc/07/36/61/1073073661.db2.gz OVFQTVVPFLJZHL-UHFFFAOYSA-N 0 0 442.519 -0.919 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1C(F)(F)F ZINC000551211571 1073073612 /nfs/dbraw/zinc/07/36/12/1073073612.db2.gz ZVHGPCQJTCICMR-SECBINFHSA-N 0 0 435.380 -0.177 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1C(F)(F)F ZINC000551211572 1073073473 /nfs/dbraw/zinc/07/34/73/1073073473.db2.gz ZVHGPCQJTCICMR-VIFPVBQESA-N 0 0 435.380 -0.177 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000551212376 1073073586 /nfs/dbraw/zinc/07/35/86/1073073586.db2.gz BFTGDTHLQHDZLM-LLVKDONJSA-N 0 0 430.870 -0.025 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000551212377 1073073976 /nfs/dbraw/zinc/07/39/76/1073073976.db2.gz BFTGDTHLQHDZLM-NSHDSACASA-N 0 0 430.870 -0.025 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccccc2N2CCOCC2)CC1)NC1CC1 ZINC000551212977 1073073516 /nfs/dbraw/zinc/07/35/16/1073073516.db2.gz BRDRNNFEKZECCH-UHFFFAOYSA-N 0 0 429.521 -0.438 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1ccc2c(c1)CCO2)N1CCN(c2ncccn2)CC1 ZINC000551213230 1073073994 /nfs/dbraw/zinc/07/39/94/1073073994.db2.gz LBMRXHBOUQZGPF-UHFFFAOYSA-N 0 0 438.488 -0.117 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCc2ccccc2N2CCOCC2)CC1 ZINC000551214152 1073073876 /nfs/dbraw/zinc/07/38/76/1073073876.db2.gz CJGSISARSBERQA-UHFFFAOYSA-N 0 0 431.537 -0.192 20 0 IBADRN O=C(NCc1ccccc1N1CCOCC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000551215343 1073074483 /nfs/dbraw/zinc/07/44/83/1073074483.db2.gz LFVBDJMSMXYDPN-IBGZPJMESA-N 0 0 430.505 -0.011 20 0 IBADRN O=C(NCc1ccccc1N1CCOCC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000551215344 1073074240 /nfs/dbraw/zinc/07/42/40/1073074240.db2.gz LFVBDJMSMXYDPN-LJQANCHMSA-N 0 0 430.505 -0.011 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccccc2N2CCOCC2)CC1 ZINC000551216134 1073074288 /nfs/dbraw/zinc/07/42/88/1073074288.db2.gz USBJHNDECJMATR-UHFFFAOYSA-N 0 0 431.537 -0.238 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CCO1 ZINC000551217555 1073308353 /nfs/dbraw/zinc/30/83/53/1073308353.db2.gz RDBPRIWJLXXMSO-AWEZNQCLSA-N 0 0 431.415 0.382 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CCO1 ZINC000551217556 1073307709 /nfs/dbraw/zinc/30/77/09/1073307709.db2.gz RDBPRIWJLXXMSO-CQSZACIVSA-N 0 0 431.415 0.382 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CC1 ZINC000551217780 1073337374 /nfs/dbraw/zinc/33/73/74/1073337374.db2.gz WIJWLFOMEPUGRW-UHFFFAOYSA-N 0 0 444.458 0.299 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@]2(N(C)C)CCSC2)cn1 ZINC000551218644 1073074263 /nfs/dbraw/zinc/07/42/63/1073074263.db2.gz BOAIXTNQHRLVTA-CRAIPNDOSA-N 0 0 443.595 -0.245 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@]2(N(C)C)CCSC2)cn1 ZINC000551218646 1073074383 /nfs/dbraw/zinc/07/43/83/1073074383.db2.gz BOAIXTNQHRLVTA-MAUKXSAKSA-N 0 0 443.595 -0.245 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@]2(N(C)C)CCSC2)cn1 ZINC000551218647 1073074251 /nfs/dbraw/zinc/07/42/51/1073074251.db2.gz BOAIXTNQHRLVTA-QAPCUYQASA-N 0 0 443.595 -0.245 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@]2(N(C)C)CCSC2)cn1 ZINC000551218648 1073074447 /nfs/dbraw/zinc/07/44/47/1073074447.db2.gz BOAIXTNQHRLVTA-YJBOKZPZSA-N 0 0 443.595 -0.245 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)nn1 ZINC000551220814 1073074282 /nfs/dbraw/zinc/07/42/82/1073074282.db2.gz HEHKWPPMPNCKEG-UHFFFAOYSA-N 0 0 443.507 -0.172 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)nn1 ZINC000551222167 1073074371 /nfs/dbraw/zinc/07/43/71/1073074371.db2.gz UPQDPTUVIUKKTF-UHFFFAOYSA-N 0 0 428.423 -0.153 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)nn1 ZINC000551222654 1073074363 /nfs/dbraw/zinc/07/43/63/1073074363.db2.gz LZFXQRUVAYUCSK-UHFFFAOYSA-N 0 0 443.507 -0.172 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCc2c(cccc2S(=O)(=O)NC)C1 ZINC000551223572 1073074750 /nfs/dbraw/zinc/07/47/50/1073074750.db2.gz YASPFKDDDYZACY-UHFFFAOYSA-N 0 0 425.554 -0.778 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCSCC1 ZINC000551223995 1073074701 /nfs/dbraw/zinc/07/47/01/1073074701.db2.gz POMJCTCZZLFWMO-UHFFFAOYSA-N 0 0 441.531 -0.024 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)nn1 ZINC000551226080 1073074826 /nfs/dbraw/zinc/07/48/26/1073074826.db2.gz CDTIFAGLLXSMCU-UHFFFAOYSA-N 0 0 438.388 -0.326 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)nn1 ZINC000551226268 1073074042 /nfs/dbraw/zinc/07/40/42/1073074042.db2.gz KSBGOWWUUGHFOI-UHFFFAOYSA-N 0 0 429.480 -0.516 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)nn1 ZINC000551226417 1073073868 /nfs/dbraw/zinc/07/38/68/1073073868.db2.gz QOMILKGODCAHKS-UHFFFAOYSA-N 0 0 426.407 -0.384 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000551229779 1073075574 /nfs/dbraw/zinc/07/55/74/1073075574.db2.gz YGXFUZWPLSTYRH-UHFFFAOYSA-N 0 0 428.497 -0.702 20 0 IBADRN Cn1ncc(I)c1C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000551237151 1073309298 /nfs/dbraw/zinc/30/92/98/1073309298.db2.gz AXUPKAOFNZCUGU-UHFFFAOYSA-N 0 0 444.233 0.332 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@H](C)C(=O)N2CCOCC2)c(OC)c1 ZINC000551242766 1073075058 /nfs/dbraw/zinc/07/50/58/1073075058.db2.gz AAUUINSSDAEENA-GFCCVEGCSA-N 0 0 435.524 -0.018 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCOCC2)c(OC)c1 ZINC000551242767 1073075189 /nfs/dbraw/zinc/07/51/89/1073075189.db2.gz AAUUINSSDAEENA-LBPRGKRZSA-N 0 0 435.524 -0.018 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ncn(C)n1)Oc1ccc(Br)cc1 ZINC000551247052 1073326285 /nfs/dbraw/zinc/32/62/85/1073326285.db2.gz LDLGSVAYVHUEBG-LLVKDONJSA-N 0 0 426.227 0.253 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ncn(C)n1)Oc1ccc(Br)cc1 ZINC000551247053 1073327221 /nfs/dbraw/zinc/32/72/21/1073327221.db2.gz LDLGSVAYVHUEBG-NSHDSACASA-N 0 0 426.227 0.253 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCn2cc(C(=O)OC)nn2)CC1 ZINC000551249020 1073076636 /nfs/dbraw/zinc/07/66/36/1073076636.db2.gz GABBVVYFNUUEEV-UHFFFAOYSA-N 0 0 445.546 -0.241 20 0 IBADRN CC[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000551249452 1073076661 /nfs/dbraw/zinc/07/66/61/1073076661.db2.gz SYDZYYCJACHQSC-ZDUSSCGKSA-N 0 0 428.511 -0.543 20 0 IBADRN O=C(NCc1ccc(Cn2ccnc2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000551251017 1073076674 /nfs/dbraw/zinc/07/66/74/1073076674.db2.gz BHPVSHVYJWSFBK-UHFFFAOYSA-N 0 0 438.488 -0.503 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@H](O)CC)cc1S(=O)(=O)N1CCOCC1 ZINC000551253123 1073076543 /nfs/dbraw/zinc/07/65/43/1073076543.db2.gz UMOBXGGTYDWRRG-AWEZNQCLSA-N 0 0 429.495 -0.068 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)NCc2ccc(Cn3ccnc3)cc2)CCO1 ZINC000551254392 1073075836 /nfs/dbraw/zinc/07/58/36/1073075836.db2.gz BKXHNRSZADSYAZ-GOSISDBHSA-N 0 0 428.493 -0.808 20 0 IBADRN CN(CC(N)=O)C[C@H]1CN(C(=O)C(=O)NCc2ccc(Cn3ccnc3)cc2)CCO1 ZINC000551254393 1073075855 /nfs/dbraw/zinc/07/58/55/1073075855.db2.gz BKXHNRSZADSYAZ-SFHVURJKSA-N 0 0 428.493 -0.808 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccc(N3CCCC3=O)c2)CC1)NC1CC1 ZINC000551256658 1073076179 /nfs/dbraw/zinc/07/61/79/1073076179.db2.gz IDGUCSHAPKZZHF-UHFFFAOYSA-N 0 0 427.505 -0.148 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000551260528 1073076055 /nfs/dbraw/zinc/07/60/55/1073076055.db2.gz YMHVVUGEMPKELW-CYBMUJFWSA-N 0 0 434.457 -0.639 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000551260529 1073076211 /nfs/dbraw/zinc/07/62/11/1073076211.db2.gz YMHVVUGEMPKELW-ZDUSSCGKSA-N 0 0 434.457 -0.639 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCc3n[nH]cc3C2)CC1 ZINC000551268025 1073077375 /nfs/dbraw/zinc/07/73/75/1073077375.db2.gz MRNZARRNTJDFCS-INIZCTEOSA-N 0 0 440.570 -0.106 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCc3n[nH]cc3C2)CC1 ZINC000551268026 1073077410 /nfs/dbraw/zinc/07/74/10/1073077410.db2.gz MRNZARRNTJDFCS-MRXNPFEDSA-N 0 0 440.570 -0.106 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)nn1 ZINC000551269242 1073077478 /nfs/dbraw/zinc/07/74/78/1073077478.db2.gz JCIYSVZHBJRUDT-UHFFFAOYSA-N 0 0 429.437 -0.864 20 0 IBADRN O=C(N[C@H]1CCc2n[nH]cc2C1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000551271360 1073077938 /nfs/dbraw/zinc/07/79/38/1073077938.db2.gz XAHBWGPAAPXLRY-HNNXBMFYSA-N 0 0 429.502 -0.014 20 0 IBADRN O=C(N[C@@H]1CCc2n[nH]cc2C1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000551271363 1073077891 /nfs/dbraw/zinc/07/78/91/1073077891.db2.gz XAHBWGPAAPXLRY-OAHLLOKOSA-N 0 0 429.502 -0.014 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCc2n[nH]cc2C1)N1CCN(c2ncccn2)CC1 ZINC000551273374 1073077858 /nfs/dbraw/zinc/07/78/58/1073077858.db2.gz WRMKJAJIKTVJNQ-HNNXBMFYSA-N 0 0 426.481 -0.972 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCc2n[nH]cc2C1)N1CCN(c2ncccn2)CC1 ZINC000551273375 1073077909 /nfs/dbraw/zinc/07/79/09/1073077909.db2.gz WRMKJAJIKTVJNQ-OAHLLOKOSA-N 0 0 426.481 -0.972 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCCNc2ccccn2)CC1 ZINC000551276915 1073077045 /nfs/dbraw/zinc/07/70/45/1073077045.db2.gz NHVJVTBEGWXSHP-UHFFFAOYSA-N 0 0 441.554 -0.101 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(c3ccccn3)CC2)CC1)N1CCOCC1 ZINC000551277663 1073078170 /nfs/dbraw/zinc/07/81/70/1073078170.db2.gz XDFVRMGMCCQGFE-UHFFFAOYSA-N 0 0 444.536 -0.830 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NC[C@H]1CCN(CC(F)(F)F)C1 ZINC000551277802 1073078143 /nfs/dbraw/zinc/07/81/43/1073078143.db2.gz HYPHUAAJOXIAIQ-CYBMUJFWSA-N 0 0 432.447 -0.112 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NC[C@@H]1CCN(CC(F)(F)F)C1 ZINC000551277803 1073078269 /nfs/dbraw/zinc/07/82/69/1073078269.db2.gz HYPHUAAJOXIAIQ-ZDUSSCGKSA-N 0 0 432.447 -0.112 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NC[C@H]1CCN(CC(F)(F)F)C1 ZINC000551278170 1073078122 /nfs/dbraw/zinc/07/81/22/1073078122.db2.gz NAVOXVMROCPGDO-GFCCVEGCSA-N 0 0 446.517 -0.518 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NC[C@@H]1CCN(CC(F)(F)F)C1 ZINC000551278171 1073078190 /nfs/dbraw/zinc/07/81/90/1073078190.db2.gz NAVOXVMROCPGDO-LBPRGKRZSA-N 0 0 446.517 -0.518 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCNc3ccccn3)CC2)cn1C ZINC000551279227 1073078216 /nfs/dbraw/zinc/07/82/16/1073078216.db2.gz GGHNGZAOLQLKJM-UHFFFAOYSA-N 0 0 449.537 -0.425 20 0 IBADRN O=C(NC[C@H]1CCCc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000551286404 1073078983 /nfs/dbraw/zinc/07/89/83/1073078983.db2.gz LOFOPFKFIJBSFK-GOSISDBHSA-N 0 0 442.516 -0.248 20 0 IBADRN O=C(NC[C@@H]1CCCc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000551286405 1073079047 /nfs/dbraw/zinc/07/90/47/1073079047.db2.gz LOFOPFKFIJBSFK-SFHVURJKSA-N 0 0 442.516 -0.248 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2CCc3c(cccc3S(=O)(=O)N(C)C)C2)nn1 ZINC000551291348 1073079469 /nfs/dbraw/zinc/07/94/69/1073079469.db2.gz OQZMZXYVLZJONV-UHFFFAOYSA-N 0 0 436.494 -0.031 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCn2cc(C(=O)OC)nn2)C1 ZINC000551294081 1073079731 /nfs/dbraw/zinc/07/97/31/1073079731.db2.gz CWOJWHKOXYNCGQ-CYBMUJFWSA-N 0 0 432.503 -0.853 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCn2cc(C(=O)OC)nn2)C1 ZINC000551294082 1073079746 /nfs/dbraw/zinc/07/97/46/1073079746.db2.gz CWOJWHKOXYNCGQ-ZDUSSCGKSA-N 0 0 432.503 -0.853 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000551294886 1073079855 /nfs/dbraw/zinc/07/98/55/1073079855.db2.gz SNHTWNKYXAVZQQ-LLVKDONJSA-N 0 0 427.483 -0.534 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000551294889 1073079842 /nfs/dbraw/zinc/07/98/42/1073079842.db2.gz SNHTWNKYXAVZQQ-NSHDSACASA-N 0 0 427.483 -0.534 20 0 IBADRN CCc1c(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)nnn1Cc1ccc(F)cc1 ZINC000551297147 1073079109 /nfs/dbraw/zinc/07/91/09/1073079109.db2.gz TZRKQWOUWINIMK-UHFFFAOYSA-N 0 0 426.474 -0.026 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000551300502 1073079455 /nfs/dbraw/zinc/07/94/55/1073079455.db2.gz QTGQHXLZNWQVRS-UHFFFAOYSA-N 0 0 434.522 -0.711 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3cnn(Cc4ccccc4)c3)CC2)c1=O ZINC000551301918 1073079462 /nfs/dbraw/zinc/07/94/62/1073079462.db2.gz QOQFUSHJMRICAI-UHFFFAOYSA-N 0 0 435.488 -0.010 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000551304549 1073079336 /nfs/dbraw/zinc/07/93/36/1073079336.db2.gz IFBAKFSZXDYHEZ-AWEZNQCLSA-N 0 0 431.536 -0.636 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000551304550 1073079417 /nfs/dbraw/zinc/07/94/17/1073079417.db2.gz IFBAKFSZXDYHEZ-CQSZACIVSA-N 0 0 431.536 -0.636 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCCS(=O)(=O)c3ccccc3)CC2)NC(=O)NC1=O ZINC000551306189 1073079381 /nfs/dbraw/zinc/07/93/81/1073079381.db2.gz GKPZOXXUZUCQPC-IBGZPJMESA-N 0 0 436.490 -0.587 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCCS(=O)(=O)c3ccccc3)CC2)NC(=O)NC1=O ZINC000551306190 1073079474 /nfs/dbraw/zinc/07/94/74/1073079474.db2.gz GKPZOXXUZUCQPC-LJQANCHMSA-N 0 0 436.490 -0.587 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCS(=O)(=O)c3ccccc32)CC1)N1CCOCC1 ZINC000551306685 1073079439 /nfs/dbraw/zinc/07/94/39/1073079439.db2.gz BEMPBMAOPIRPFS-INIZCTEOSA-N 0 0 435.502 -0.523 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCS(=O)(=O)c3ccccc32)CC1)N1CCOCC1 ZINC000551306686 1073079351 /nfs/dbraw/zinc/07/93/51/1073079351.db2.gz BEMPBMAOPIRPFS-MRXNPFEDSA-N 0 0 435.502 -0.523 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1=O ZINC000551306930 1073079425 /nfs/dbraw/zinc/07/94/25/1073079425.db2.gz PBESINKLLONNSY-INIZCTEOSA-N 0 0 447.517 -0.383 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1=O ZINC000551306935 1073079433 /nfs/dbraw/zinc/07/94/33/1073079433.db2.gz PBESINKLLONNSY-MRXNPFEDSA-N 0 0 447.517 -0.383 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)c3ccccc3)CC2)n1 ZINC000551309881 1073080448 /nfs/dbraw/zinc/08/04/48/1073080448.db2.gz YFJULGVNSNHHJT-UHFFFAOYSA-N 0 0 433.490 -0.276 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCCN(C)CC(F)(F)F)CC2)no1 ZINC000551319165 1073328896 /nfs/dbraw/zinc/32/88/96/1073328896.db2.gz IOCKZKPNAKHTOK-UHFFFAOYSA-N 0 0 448.446 0.066 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)CC(F)(F)F ZINC000551319205 1073079657 /nfs/dbraw/zinc/07/96/57/1073079657.db2.gz KGPQGWBTLASNGQ-UHFFFAOYSA-N 0 0 430.431 -0.171 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@@H](c2nc(N(C)C)no2)C1 ZINC000551319401 1073080277 /nfs/dbraw/zinc/08/02/77/1073080277.db2.gz LWEMUBGAQNVRFZ-CYBMUJFWSA-N 0 0 430.531 -0.371 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@H](c2nc(N(C)C)no2)C1 ZINC000551319402 1073080031 /nfs/dbraw/zinc/08/00/31/1073080031.db2.gz LWEMUBGAQNVRFZ-ZDUSSCGKSA-N 0 0 430.531 -0.371 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000551319477 1073080107 /nfs/dbraw/zinc/08/01/07/1073080107.db2.gz PNJNLDPOLDMGMI-INIZCTEOSA-N 0 0 442.513 -0.169 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000551319478 1073080197 /nfs/dbraw/zinc/08/01/97/1073080197.db2.gz PNJNLDPOLDMGMI-MRXNPFEDSA-N 0 0 442.513 -0.169 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4c[nH]nc4C)CC3)C2=O)n(C)n1 ZINC000551320171 1073079591 /nfs/dbraw/zinc/07/95/91/1073079591.db2.gz CSFHHAVGMQQHJS-KRWDZBQOSA-N 0 0 442.524 -0.284 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4c[nH]nc4C)CC3)C2=O)n(C)n1 ZINC000551320172 1073079875 /nfs/dbraw/zinc/07/98/75/1073079875.db2.gz CSFHHAVGMQQHJS-QGZVFWFLSA-N 0 0 442.524 -0.284 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccc(CS(C)(=O)=O)cc1 ZINC000551320316 1073080010 /nfs/dbraw/zinc/08/00/10/1073080010.db2.gz HNHRPHXTEUHEOO-UHFFFAOYSA-N 0 0 433.552 -0.365 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1cccc(N2CCOCC2)n1 ZINC000551320495 1073080159 /nfs/dbraw/zinc/08/01/59/1073080159.db2.gz OIHBSMICPRNKQD-UHFFFAOYSA-N 0 0 427.527 -0.678 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000551320518 1073080258 /nfs/dbraw/zinc/08/02/58/1073080258.db2.gz PMWNDEJKLFOQOR-CYBMUJFWSA-N 0 0 448.567 -0.359 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000551320519 1073080186 /nfs/dbraw/zinc/08/01/86/1073080186.db2.gz PMWNDEJKLFOQOR-ZDUSSCGKSA-N 0 0 448.567 -0.359 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1C[C@@H](C(=O)NCCOC)CC[C@H]1C ZINC000551321080 1073080236 /nfs/dbraw/zinc/08/02/36/1073080236.db2.gz YRPNGJNUAJYXLK-CABCVRRESA-N 0 0 434.559 -0.836 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1C[C@@H](C(=O)NCCOC)CC[C@@H]1C ZINC000551321081 1073080212 /nfs/dbraw/zinc/08/02/12/1073080212.db2.gz YRPNGJNUAJYXLK-GJZGRUSLSA-N 0 0 434.559 -0.836 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1C[C@H](C(=O)NCCOC)CC[C@H]1C ZINC000551321082 1073080175 /nfs/dbraw/zinc/08/01/75/1073080175.db2.gz YRPNGJNUAJYXLK-HUUCEWRRSA-N 0 0 434.559 -0.836 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1C[C@H](C(=O)NCCOC)CC[C@@H]1C ZINC000551321083 1073080285 /nfs/dbraw/zinc/08/02/85/1073080285.db2.gz YRPNGJNUAJYXLK-LSDHHAIUSA-N 0 0 434.559 -0.836 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(Cc2nc(C)c(C)o2)CC1 ZINC000551321531 1073080072 /nfs/dbraw/zinc/08/00/72/1073080072.db2.gz CCZQWVQBGUJCTA-UHFFFAOYSA-N 0 0 429.543 -0.277 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000551321970 1073080223 /nfs/dbraw/zinc/08/02/23/1073080223.db2.gz CVWWQYUTQBJWSG-CYBMUJFWSA-N 0 0 448.567 -0.440 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000551321973 1073080267 /nfs/dbraw/zinc/08/02/67/1073080267.db2.gz CVWWQYUTQBJWSG-ZDUSSCGKSA-N 0 0 448.567 -0.440 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1 ZINC000551322012 1073080122 /nfs/dbraw/zinc/08/01/22/1073080122.db2.gz KTLDBBBJBOGDMC-UHFFFAOYSA-N 0 0 434.456 -0.181 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NCCCN(C)S(=O)(=O)CC)C1=O ZINC000551322912 1073080430 /nfs/dbraw/zinc/08/04/30/1073080430.db2.gz PSJOXBDNLRBGCG-AWEZNQCLSA-N 0 0 442.542 -0.698 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NCCCN(C)S(=O)(=O)CC)C1=O ZINC000551322913 1073080418 /nfs/dbraw/zinc/08/04/18/1073080418.db2.gz PSJOXBDNLRBGCG-CQSZACIVSA-N 0 0 442.542 -0.698 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000551322927 1073080600 /nfs/dbraw/zinc/08/06/00/1073080600.db2.gz QYRCHKVQKGJEPD-UHFFFAOYSA-N 0 0 445.517 -0.539 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000551330787 1073080974 /nfs/dbraw/zinc/08/09/74/1073080974.db2.gz QQBOQXZHGWFYAK-UHFFFAOYSA-N 0 0 433.552 -0.223 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)nn1 ZINC000551336983 1073080561 /nfs/dbraw/zinc/08/05/61/1073080561.db2.gz WANPIOOVYCBUES-UHFFFAOYSA-N 0 0 437.478 -0.176 20 0 IBADRN COc1cc(C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc(OC)c1OCC(N)=O ZINC000551341234 1073080882 /nfs/dbraw/zinc/08/08/82/1073080882.db2.gz BKPMQUYTHKSEKM-CYBMUJFWSA-N 0 0 434.493 -0.007 20 0 IBADRN COc1cc(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc(OC)c1OCC(N)=O ZINC000551341235 1073080926 /nfs/dbraw/zinc/08/09/26/1073080926.db2.gz BKPMQUYTHKSEKM-ZDUSSCGKSA-N 0 0 434.493 -0.007 20 0 IBADRN O=C(NCC1CC(F)(F)C1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000551345593 1073080836 /nfs/dbraw/zinc/08/08/36/1073080836.db2.gz IFMDKDYAVWEXBL-UHFFFAOYSA-N 0 0 430.433 -0.093 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(C(=O)COC)CC3)nc2n(CC)c1=O ZINC000551347568 1073351583 /nfs/dbraw/zinc/35/15/83/1073351583.db2.gz KSWAYHXTOZNNGS-UHFFFAOYSA-N 0 0 446.508 0.274 20 0 IBADRN COc1ccc(F)cc1[C@@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000551348527 1073081468 /nfs/dbraw/zinc/08/14/68/1073081468.db2.gz WZXBVWLFNPINPN-GFCCVEGCSA-N 0 0 448.455 -0.500 20 0 IBADRN COc1ccc(F)cc1[C@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000551348528 1073081408 /nfs/dbraw/zinc/08/14/08/1073081408.db2.gz WZXBVWLFNPINPN-LBPRGKRZSA-N 0 0 448.455 -0.500 20 0 IBADRN CN(CC(=O)Nc1cccc2c1OCCN2C(=O)CN(C)S(C)(=O)=O)S(C)(=O)=O ZINC000551351593 1073081033 /nfs/dbraw/zinc/08/10/33/1073081033.db2.gz KOCDVAABKVSGCV-UHFFFAOYSA-N 0 0 448.523 -0.867 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000551352093 1073080850 /nfs/dbraw/zinc/08/08/50/1073080850.db2.gz NXHHAEDVSUIJCW-CALCHBBNSA-N 0 0 440.504 -0.087 20 0 IBADRN C[C@H](c1ccccc1)[C@@H](NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)C(N)=O ZINC000551352926 1073081336 /nfs/dbraw/zinc/08/13/36/1073081336.db2.gz CZDHQRHINRLYAL-CRAIPNDOSA-N 0 0 428.493 -0.072 20 0 IBADRN C[C@@H](c1ccccc1)[C@@H](NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)C(N)=O ZINC000551352927 1073081250 /nfs/dbraw/zinc/08/12/50/1073081250.db2.gz CZDHQRHINRLYAL-MAUKXSAKSA-N 0 0 428.493 -0.072 20 0 IBADRN C[C@H](c1ccccc1)[C@H](NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)C(N)=O ZINC000551352928 1073081324 /nfs/dbraw/zinc/08/13/24/1073081324.db2.gz CZDHQRHINRLYAL-QAPCUYQASA-N 0 0 428.493 -0.072 20 0 IBADRN C[C@@H](c1ccccc1)[C@H](NC(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)C(N)=O ZINC000551352929 1073081444 /nfs/dbraw/zinc/08/14/44/1073081444.db2.gz CZDHQRHINRLYAL-YJBOKZPZSA-N 0 0 428.493 -0.072 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)CC1 ZINC000551361323 1073081712 /nfs/dbraw/zinc/08/17/12/1073081712.db2.gz AOLHHNRUSNSCQX-IYBDPMFKSA-N 0 0 427.523 -0.163 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)c1 ZINC000551362518 1073081267 /nfs/dbraw/zinc/08/12/67/1073081267.db2.gz ZEPIGVZKNALAJU-UHFFFAOYSA-N 0 0 446.552 -0.285 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C2(S(C)(=O)=O)CCOCC2)CC1 ZINC000551363087 1073081458 /nfs/dbraw/zinc/08/14/58/1073081458.db2.gz VARZTWOKHOYFEH-UHFFFAOYSA-N 0 0 426.557 -0.521 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)nnn1-c1ccn(C)n1 ZINC000551363423 1073081234 /nfs/dbraw/zinc/08/12/34/1073081234.db2.gz ZELOFJKWXDGNAF-UHFFFAOYSA-N 0 0 425.515 -0.178 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H](CO)c1ccc(Br)c(F)c1)S(N)(=O)=O ZINC000551371710 1073081613 /nfs/dbraw/zinc/08/16/13/1073081613.db2.gz CHHXAZYDDRRRPW-CPCISQLKSA-N 0 0 426.264 -0.469 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H](CO)c1ccc(Br)c(F)c1)S(N)(=O)=O ZINC000551371711 1073081758 /nfs/dbraw/zinc/08/17/58/1073081758.db2.gz CHHXAZYDDRRRPW-HQJQHLMTSA-N 0 0 426.264 -0.469 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H](CO)c1ccc(Br)c(F)c1)S(N)(=O)=O ZINC000551371712 1073081790 /nfs/dbraw/zinc/08/17/90/1073081790.db2.gz CHHXAZYDDRRRPW-RDDDGLTNSA-N 0 0 426.264 -0.469 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H](CO)c1ccc(Br)c(F)c1)S(N)(=O)=O ZINC000551371713 1073081647 /nfs/dbraw/zinc/08/16/47/1073081647.db2.gz CHHXAZYDDRRRPW-WRWORJQWSA-N 0 0 426.264 -0.469 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c1 ZINC000551375323 1073082313 /nfs/dbraw/zinc/08/23/13/1073082313.db2.gz GVXQGFHVCYJCPW-UHFFFAOYSA-N 0 0 426.433 -0.765 20 0 IBADRN Cc1c([C@H](C)NC(=O)C(=O)N2CCO[C@H](CN(C)c3nccn(C)c3=O)C2)cnn1C ZINC000551376079 1073081984 /nfs/dbraw/zinc/08/19/84/1073081984.db2.gz QSOAFBIBRRZVLJ-DZGCQCFKSA-N 0 0 431.497 -0.637 20 0 IBADRN Cc1c([C@@H](C)NC(=O)C(=O)N2CCO[C@@H](CN(C)c3nccn(C)c3=O)C2)cnn1C ZINC000551376080 1073082112 /nfs/dbraw/zinc/08/21/12/1073082112.db2.gz QSOAFBIBRRZVLJ-HIFRSBDPSA-N 0 0 431.497 -0.637 20 0 IBADRN Cc1c([C@@H](C)NC(=O)C(=O)N2CCO[C@H](CN(C)c3nccn(C)c3=O)C2)cnn1C ZINC000551376081 1073082583 /nfs/dbraw/zinc/08/25/83/1073082583.db2.gz QSOAFBIBRRZVLJ-UKRRQHHQSA-N 0 0 431.497 -0.637 20 0 IBADRN Cc1c([C@H](C)NC(=O)C(=O)N2CCO[C@@H](CN(C)c3nccn(C)c3=O)C2)cnn1C ZINC000551376082 1073082561 /nfs/dbraw/zinc/08/25/61/1073082561.db2.gz QSOAFBIBRRZVLJ-ZFWWWQNUSA-N 0 0 431.497 -0.637 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000551390813 1073082541 /nfs/dbraw/zinc/08/25/41/1073082541.db2.gz YSHYDICUFPBZPX-HNNXBMFYSA-N 0 0 430.444 -0.138 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000551390815 1073082728 /nfs/dbraw/zinc/08/27/28/1073082728.db2.gz YSHYDICUFPBZPX-OAHLLOKOSA-N 0 0 430.444 -0.138 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000551397558 1073082908 /nfs/dbraw/zinc/08/29/08/1073082908.db2.gz COFHBFDVMVUPGM-INIZCTEOSA-N 0 0 428.497 -0.637 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000551397559 1073083065 /nfs/dbraw/zinc/08/30/65/1073083065.db2.gz COFHBFDVMVUPGM-MRXNPFEDSA-N 0 0 428.497 -0.637 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H]1CCCSC1 ZINC000551400166 1073082884 /nfs/dbraw/zinc/08/28/84/1073082884.db2.gz LQAZBPWARBCYLK-CYBMUJFWSA-N 0 0 426.520 -0.243 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H]1CCCSC1 ZINC000551400167 1073083228 /nfs/dbraw/zinc/08/32/28/1073083228.db2.gz LQAZBPWARBCYLK-ZDUSSCGKSA-N 0 0 426.520 -0.243 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000551400470 1073083694 /nfs/dbraw/zinc/08/36/94/1073083694.db2.gz LTCNBRHJSKUENX-AWEZNQCLSA-N 0 0 429.506 -0.198 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000551400471 1073083632 /nfs/dbraw/zinc/08/36/32/1073083632.db2.gz LTCNBRHJSKUENX-CQSZACIVSA-N 0 0 429.506 -0.198 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc(Cl)cc1C(N)=O ZINC000551401316 1073083656 /nfs/dbraw/zinc/08/36/56/1073083656.db2.gz DRRAYYGPERNCOM-UHFFFAOYSA-N 0 0 437.884 -0.191 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccc(OC)c(OC)c3)CC2)nn1 ZINC000551401448 1073346013 /nfs/dbraw/zinc/34/60/13/1073346013.db2.gz GQNMJMAHRSUAOW-UHFFFAOYSA-N 0 0 429.433 0.426 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)nn1 ZINC000551401854 1073083743 /nfs/dbraw/zinc/08/37/43/1073083743.db2.gz QAYGHCNSWYYPPL-UHFFFAOYSA-N 0 0 441.416 0.235 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2c1=O ZINC000551401868 1073083756 /nfs/dbraw/zinc/08/37/56/1073083756.db2.gz NSSKTWYOQBIGAR-UHFFFAOYSA-N 0 0 441.488 -0.520 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2 ZINC000551403033 1073083768 /nfs/dbraw/zinc/08/37/68/1073083768.db2.gz DEBXSYKSJKDGGP-UHFFFAOYSA-N 0 0 436.462 -0.006 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](C(=O)NCC3CC3)C2)c1 ZINC000551403090 1073083510 /nfs/dbraw/zinc/08/35/10/1073083510.db2.gz FDDHIFOYNOYOSQ-INIZCTEOSA-N 0 0 443.504 -0.053 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)NCC3CC3)C2)c1 ZINC000551403091 1073083684 /nfs/dbraw/zinc/08/36/84/1073083684.db2.gz FDDHIFOYNOYOSQ-MRXNPFEDSA-N 0 0 443.504 -0.053 20 0 IBADRN O=C(Nc1cnc(CC2CC2)nc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000551404902 1073083262 /nfs/dbraw/zinc/08/32/62/1073083262.db2.gz DNEJVVJVTNEZGQ-UHFFFAOYSA-N 0 0 438.510 -0.911 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(OC)nn3)CC2)cc1 ZINC000551405693 1073082918 /nfs/dbraw/zinc/08/29/18/1073082918.db2.gz YLUCZWOBXZANSA-UHFFFAOYSA-N 0 0 435.462 -0.035 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)nn1 ZINC000551407584 1073084486 /nfs/dbraw/zinc/08/44/86/1073084486.db2.gz ZHSWBPJXSRKGRA-UHFFFAOYSA-N 0 0 445.501 0.446 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)ccc1F ZINC000551407627 1073084548 /nfs/dbraw/zinc/08/45/48/1073084548.db2.gz BHZXQQVMAFQWMT-GFCCVEGCSA-N 0 0 425.446 -0.459 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)ccc1F ZINC000551407640 1073084507 /nfs/dbraw/zinc/08/45/07/1073084507.db2.gz BHZXQQVMAFQWMT-LBPRGKRZSA-N 0 0 425.446 -0.459 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000551407754 1073084249 /nfs/dbraw/zinc/08/42/49/1073084249.db2.gz CZPINECGPNZHBR-GFCCVEGCSA-N 0 0 444.535 -0.561 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000551407761 1073084388 /nfs/dbraw/zinc/08/43/88/1073084388.db2.gz CZPINECGPNZHBR-LBPRGKRZSA-N 0 0 444.535 -0.561 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000551408104 1073084270 /nfs/dbraw/zinc/08/42/70/1073084270.db2.gz HQOYXNLVCMJLGU-AWEZNQCLSA-N 0 0 440.522 -0.107 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000551408108 1073084285 /nfs/dbraw/zinc/08/42/85/1073084285.db2.gz HQOYXNLVCMJLGU-CQSZACIVSA-N 0 0 440.522 -0.107 20 0 IBADRN CN(C(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000551408439 1073084787 /nfs/dbraw/zinc/08/47/87/1073084787.db2.gz MYZIODCGMSAQQF-AWEZNQCLSA-N 0 0 436.490 -0.234 20 0 IBADRN CN(C(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000551408441 1073084778 /nfs/dbraw/zinc/08/47/78/1073084778.db2.gz MYZIODCGMSAQQF-CQSZACIVSA-N 0 0 436.490 -0.234 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000551408459 1073084862 /nfs/dbraw/zinc/08/48/62/1073084862.db2.gz AIQMVBLWHDBPAQ-AWEZNQCLSA-N 0 0 426.495 -0.416 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000551408461 1073084829 /nfs/dbraw/zinc/08/48/29/1073084829.db2.gz AIQMVBLWHDBPAQ-CQSZACIVSA-N 0 0 426.495 -0.416 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)nn1 ZINC000551408506 1073083579 /nfs/dbraw/zinc/08/35/79/1073083579.db2.gz HFCPISKKLOXQRH-HNNXBMFYSA-N 0 0 443.460 0.414 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)nn1 ZINC000551408507 1073083559 /nfs/dbraw/zinc/08/35/59/1073083559.db2.gz HFCPISKKLOXQRH-OAHLLOKOSA-N 0 0 443.460 0.414 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N(C)[C@H]3CCN(S(C)(=O)=O)C3)n2)cc1 ZINC000551408740 1073345132 /nfs/dbraw/zinc/34/51/32/1073345132.db2.gz FOCSHCQJOIYNOA-HNNXBMFYSA-N 0 0 435.506 0.371 20 0 IBADRN COc1ccc(Cn2ccc(NC(=O)C(=O)N(C)[C@@H]3CCN(S(C)(=O)=O)C3)n2)cc1 ZINC000551408741 1073345185 /nfs/dbraw/zinc/34/51/85/1073345185.db2.gz FOCSHCQJOIYNOA-OAHLLOKOSA-N 0 0 435.506 0.371 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N(C)[C@H]3CCN(S(C)(=O)=O)C3)cc21 ZINC000551409231 1073084738 /nfs/dbraw/zinc/08/47/38/1073084738.db2.gz MBGRZLJARGBUDA-HNNXBMFYSA-N 0 0 435.506 -0.182 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N(C)[C@@H]3CCN(S(C)(=O)=O)C3)cc21 ZINC000551409234 1073084923 /nfs/dbraw/zinc/08/49/23/1073084923.db2.gz MBGRZLJARGBUDA-OAHLLOKOSA-N 0 0 435.506 -0.182 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3ccn(C)c(=O)c3c2)CC1 ZINC000551409317 1073084313 /nfs/dbraw/zinc/08/43/13/1073084313.db2.gz SXAUDDCNHWPADN-UHFFFAOYSA-N 0 0 427.461 -0.328 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000551409441 1073084129 /nfs/dbraw/zinc/08/41/29/1073084129.db2.gz FTDCJBYOEJKYHT-LLVKDONJSA-N 0 0 448.448 -0.140 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000551409442 1073083965 /nfs/dbraw/zinc/08/39/65/1073083965.db2.gz FTDCJBYOEJKYHT-NSHDSACASA-N 0 0 448.448 -0.140 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)ccc1C ZINC000551409479 1073083949 /nfs/dbraw/zinc/08/39/49/1073083949.db2.gz HEMWJKDWAJNVGG-CYBMUJFWSA-N 0 0 432.524 -0.666 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)ccc1C ZINC000551409480 1073084085 /nfs/dbraw/zinc/08/40/85/1073084085.db2.gz HEMWJKDWAJNVGG-ZDUSSCGKSA-N 0 0 432.524 -0.666 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000551409527 1073083939 /nfs/dbraw/zinc/08/39/39/1073083939.db2.gz IUTQJSCKZTXWOV-GFCCVEGCSA-N 0 0 432.524 -0.666 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000551409528 1073084143 /nfs/dbraw/zinc/08/41/43/1073084143.db2.gz IUTQJSCKZTXWOV-LBPRGKRZSA-N 0 0 432.524 -0.666 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000551409776 1073084071 /nfs/dbraw/zinc/08/40/71/1073084071.db2.gz KLNIDWPXRLCXOU-LLVKDONJSA-N 0 0 448.448 -0.140 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000551409777 1073084034 /nfs/dbraw/zinc/08/40/34/1073084034.db2.gz KLNIDWPXRLCXOU-NSHDSACASA-N 0 0 448.448 -0.140 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000551409782 1073084108 /nfs/dbraw/zinc/08/41/08/1073084108.db2.gz KRCPANURECNFCX-AWEZNQCLSA-N 0 0 432.524 -0.121 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000551409783 1073084097 /nfs/dbraw/zinc/08/40/97/1073084097.db2.gz KRCPANURECNFCX-CQSZACIVSA-N 0 0 432.524 -0.121 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000551410024 1073083671 /nfs/dbraw/zinc/08/36/71/1073083671.db2.gz RDXZHUXBSMRSCH-AWEZNQCLSA-N 0 0 446.551 -0.194 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000551410026 1073083614 /nfs/dbraw/zinc/08/36/14/1073083614.db2.gz RDXZHUXBSMRSCH-CQSZACIVSA-N 0 0 446.551 -0.194 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000551410099 1073083731 /nfs/dbraw/zinc/08/37/31/1073083731.db2.gz SMIXLUFFIXAZPS-INIZCTEOSA-N 0 0 437.522 -0.951 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000551410100 1073083591 /nfs/dbraw/zinc/08/35/91/1073083591.db2.gz SMIXLUFFIXAZPS-MRXNPFEDSA-N 0 0 437.522 -0.951 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000551410135 1073083787 /nfs/dbraw/zinc/08/37/87/1073083787.db2.gz UMDQVRXIMFFMKV-GFCCVEGCSA-N 0 0 448.523 -0.503 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000551410136 1073083979 /nfs/dbraw/zinc/08/39/79/1073083979.db2.gz UMDQVRXIMFFMKV-LBPRGKRZSA-N 0 0 448.523 -0.503 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000551410137 1073084058 /nfs/dbraw/zinc/08/40/58/1073084058.db2.gz UNSMVIWLZPKAMS-CYBMUJFWSA-N 0 0 433.490 -0.227 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000551410138 1073084008 /nfs/dbraw/zinc/08/40/08/1073084008.db2.gz UNSMVIWLZPKAMS-ZDUSSCGKSA-N 0 0 433.490 -0.227 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NCC(=O)NCCc2ccccc2)c1 ZINC000551414348 1073084017 /nfs/dbraw/zinc/08/40/17/1073084017.db2.gz VQUGTVSQMTWTFM-UHFFFAOYSA-N 0 0 439.472 -0.343 20 0 IBADRN CCN(C(=O)CN1CCc2c(cccc2S(=O)(=O)NC)C1)[C@H]1CCS(=O)(=O)C1 ZINC000551416379 1073084376 /nfs/dbraw/zinc/08/43/76/1073084376.db2.gz MKZULYBDEVPEMR-HNNXBMFYSA-N 0 0 429.564 -0.012 20 0 IBADRN CCN(C(=O)CN1CCc2c(cccc2S(=O)(=O)NC)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000551416383 1073084470 /nfs/dbraw/zinc/08/44/70/1073084470.db2.gz MKZULYBDEVPEMR-OAHLLOKOSA-N 0 0 429.564 -0.012 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)c1 ZINC000551416866 1073084456 /nfs/dbraw/zinc/08/44/56/1073084456.db2.gz VARZECADCWQQNS-UHFFFAOYSA-N 0 0 439.472 -0.043 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)nn1 ZINC000551417054 1073346349 /nfs/dbraw/zinc/34/63/49/1073346349.db2.gz XWZVCNPYKCLNFQ-UHFFFAOYSA-N 0 0 445.461 -0.167 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC(NS(=O)(=O)c3ccc(F)cc3)CC2)nn1 ZINC000551417702 1073346049 /nfs/dbraw/zinc/34/60/49/1073346049.db2.gz GZVDCRGFLVSBDB-UHFFFAOYSA-N 0 0 437.453 0.532 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cnc(CC3CC3)nc2)CC1 ZINC000551418191 1073084799 /nfs/dbraw/zinc/08/47/99/1073084799.db2.gz DDOOLRMSSHKWKK-UHFFFAOYSA-N 0 0 428.449 -0.813 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000551418764 1073084873 /nfs/dbraw/zinc/08/48/73/1073084873.db2.gz PYVVEYAPYXJRQZ-UHFFFAOYSA-N 0 0 426.470 -0.020 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)c1 ZINC000551424125 1073084902 /nfs/dbraw/zinc/08/49/02/1073084902.db2.gz ATJFOLCBXLCCPZ-UHFFFAOYSA-N 0 0 438.488 -0.078 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)nn2)CC1 ZINC000551426826 1073331285 /nfs/dbraw/zinc/33/12/85/1073331285.db2.gz DVQAQBRNQIRQLA-UHFFFAOYSA-N 0 0 428.515 -0.065 20 0 IBADRN COc1ccc(Cn2cc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cn2)cc1 ZINC000551427395 1073085465 /nfs/dbraw/zinc/08/54/65/1073085465.db2.gz JTBQUHGVOUCQFN-HNNXBMFYSA-N 0 0 426.433 -0.952 20 0 IBADRN COc1ccc(Cn2cc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cn2)cc1 ZINC000551427396 1073085576 /nfs/dbraw/zinc/08/55/76/1073085576.db2.gz JTBQUHGVOUCQFN-OAHLLOKOSA-N 0 0 426.433 -0.952 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(CCCn1c(=O)c3c(ncn3C)n(C)c1=O)C2 ZINC000551427502 1073085426 /nfs/dbraw/zinc/08/54/26/1073085426.db2.gz LVWVTUPMNWVSLI-UHFFFAOYSA-N 0 0 446.533 -0.210 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1nc(C(F)(F)F)cs1)C2 ZINC000551427781 1073085717 /nfs/dbraw/zinc/08/57/17/1073085717.db2.gz CAODOXWFDMWCOL-UHFFFAOYSA-N 0 0 447.399 -0.411 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCn1cc(C(=O)OC)nn1 ZINC000551430944 1073085546 /nfs/dbraw/zinc/08/55/46/1073085546.db2.gz KTZDZMLXAAKHMC-UHFFFAOYSA-N 0 0 430.421 -0.645 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCn2cc(C(=O)OC)nn2)cc1 ZINC000551432851 1073084892 /nfs/dbraw/zinc/08/48/92/1073084892.db2.gz GVDUEMTWMANOAX-UHFFFAOYSA-N 0 0 438.466 -0.029 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)nn1 ZINC000551433392 1073084851 /nfs/dbraw/zinc/08/48/51/1073084851.db2.gz GRKBSIZXNQIYKD-UHFFFAOYSA-N 0 0 442.432 -0.141 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)nn1 ZINC000551433810 1073084916 /nfs/dbraw/zinc/08/49/16/1073084916.db2.gz GYPUOKQGBZQCCM-UHFFFAOYSA-N 0 0 444.857 -0.156 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3C3CC3)cn2)C[C@H](C)O1 ZINC000551435139 1073084705 /nfs/dbraw/zinc/08/47/05/1073084705.db2.gz UWJONXTWGFGBDQ-FKPWOLHESA-N 0 0 446.508 -0.369 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3C3CC3)cn2)C[C@@H](C)O1 ZINC000551435142 1073085251 /nfs/dbraw/zinc/08/52/51/1073085251.db2.gz UWJONXTWGFGBDQ-ICLOAJAWSA-N 0 0 446.508 -0.369 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@@H]3C3CC3)cn2)C[C@H](C)O1 ZINC000551435144 1073085054 /nfs/dbraw/zinc/08/50/54/1073085054.db2.gz UWJONXTWGFGBDQ-UESRRSCYSA-N 0 0 446.508 -0.369 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@@H]3C3CC3)cn2)C[C@@H](C)O1 ZINC000551435146 1073085114 /nfs/dbraw/zinc/08/51/14/1073085114.db2.gz UWJONXTWGFGBDQ-XBQLXHJASA-N 0 0 446.508 -0.369 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(I)cn2)nn1 ZINC000551435177 1073085182 /nfs/dbraw/zinc/08/51/82/1073085182.db2.gz QHVRVLXJUGFZGK-UHFFFAOYSA-N 0 0 444.189 -0.181 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCn3cc(C(=O)OC)nn3)ccc21 ZINC000551435612 1073085159 /nfs/dbraw/zinc/08/51/59/1073085159.db2.gz YACCONGFKGMYHJ-UHFFFAOYSA-N 0 0 430.421 -0.645 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(C(=O)c3nccn3C)cc2)nn1 ZINC000551435888 1073085130 /nfs/dbraw/zinc/08/51/30/1073085130.db2.gz UORJUWKFLNNSJB-UHFFFAOYSA-N 0 0 425.405 -0.216 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3OC)CC2)nn1 ZINC000551436295 1073345932 /nfs/dbraw/zinc/34/59/32/1073345932.db2.gz QMTHOEISLLOIFL-UHFFFAOYSA-N 0 0 428.449 0.215 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000551436910 1073085145 /nfs/dbraw/zinc/08/51/45/1073085145.db2.gz ROTXZPRDFVBWBW-GWCFXTLKSA-N 0 0 426.470 -0.116 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000551436911 1073085239 /nfs/dbraw/zinc/08/52/39/1073085239.db2.gz ROTXZPRDFVBWBW-GXFFZTMASA-N 0 0 426.470 -0.116 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000551436912 1073085289 /nfs/dbraw/zinc/08/52/89/1073085289.db2.gz ROTXZPRDFVBWBW-MFKMUULPSA-N 0 0 426.470 -0.116 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000551436913 1073085261 /nfs/dbraw/zinc/08/52/61/1073085261.db2.gz ROTXZPRDFVBWBW-ZWNOBZJWSA-N 0 0 426.470 -0.116 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)nn1 ZINC000551437116 1073085082 /nfs/dbraw/zinc/08/50/82/1073085082.db2.gz ULYNFKGZAYMSBT-UHFFFAOYSA-N 0 0 443.464 -0.793 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)C1 ZINC000551437291 1073085229 /nfs/dbraw/zinc/08/52/29/1073085229.db2.gz WIYVYSMYAZWLJX-LLVKDONJSA-N 0 0 426.470 -0.021 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)C1 ZINC000551437293 1073085206 /nfs/dbraw/zinc/08/52/06/1073085206.db2.gz WIYVYSMYAZWLJX-NSHDSACASA-N 0 0 426.470 -0.021 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)nn1 ZINC000551437992 1073085694 /nfs/dbraw/zinc/08/56/94/1073085694.db2.gz LWZNGYHEAVJGBZ-UHFFFAOYSA-N 0 0 429.842 -0.124 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)nn1 ZINC000551438133 1073085027 /nfs/dbraw/zinc/08/50/27/1073085027.db2.gz QOAXBRSYLJPTFW-UHFFFAOYSA-N 0 0 444.448 -0.779 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2 ZINC000551438361 1073085195 /nfs/dbraw/zinc/08/51/95/1073085195.db2.gz ZPQQISWHBIQNHM-UHFFFAOYSA-N 0 0 441.460 -0.123 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCc2c(C)nn(C)c2C)CC1 ZINC000551439335 1073085676 /nfs/dbraw/zinc/08/56/76/1073085676.db2.gz QCRZSMGYBJMIFR-KRWDZBQOSA-N 0 0 436.557 -0.619 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCc2c(C)nn(C)c2C)CC1 ZINC000551439340 1073085657 /nfs/dbraw/zinc/08/56/57/1073085657.db2.gz QCRZSMGYBJMIFR-QGZVFWFLSA-N 0 0 436.557 -0.619 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3C3CC3)n2)c1C ZINC000551439778 1073085397 /nfs/dbraw/zinc/08/53/97/1073085397.db2.gz PYSBOCBWXIXFTM-PBHICJAKSA-N 0 0 441.492 0.459 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@@H]3C3CC3)n2)c1C ZINC000551439781 1073339324 /nfs/dbraw/zinc/33/93/24/1073339324.db2.gz PYSBOCBWXIXFTM-RHSMWYFYSA-N 0 0 441.492 0.459 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CCC(=O)N[C@@H]3C3CC3)n2)c1C ZINC000551439785 1073086033 /nfs/dbraw/zinc/08/60/33/1073086033.db2.gz PYSBOCBWXIXFTM-WMLDXEAASA-N 0 0 441.492 0.459 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CCC(=O)N[C@H]3C3CC3)n2)c1C ZINC000551439788 1073086066 /nfs/dbraw/zinc/08/60/66/1073086066.db2.gz PYSBOCBWXIXFTM-YOEHRIQHSA-N 0 0 441.492 0.459 20 0 IBADRN CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000551440637 1073311349 /nfs/dbraw/zinc/31/13/49/1073311349.db2.gz VBLKDHOAICPCAU-CABCVRRESA-N 0 0 438.529 -0.107 20 0 IBADRN CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000551440638 1073311344 /nfs/dbraw/zinc/31/13/44/1073311344.db2.gz VBLKDHOAICPCAU-GJZGRUSLSA-N 0 0 438.529 -0.107 20 0 IBADRN CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000551440639 1073311395 /nfs/dbraw/zinc/31/13/95/1073311395.db2.gz VBLKDHOAICPCAU-HUUCEWRRSA-N 0 0 438.529 -0.107 20 0 IBADRN CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000551440640 1073311235 /nfs/dbraw/zinc/31/12/35/1073311235.db2.gz VBLKDHOAICPCAU-LSDHHAIUSA-N 0 0 438.529 -0.107 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)nn1 ZINC000551440650 1073086110 /nfs/dbraw/zinc/08/61/10/1073086110.db2.gz VFSQNTMBADTILU-CYBMUJFWSA-N 0 0 425.453 0.377 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)nn1 ZINC000551440651 1073318994 /nfs/dbraw/zinc/31/89/94/1073318994.db2.gz VFSQNTMBADTILU-ZDUSSCGKSA-N 0 0 425.453 0.377 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnc(CC3CC3)nc2)CC1 ZINC000551441248 1073085859 /nfs/dbraw/zinc/08/58/59/1073085859.db2.gz NFTWYZOPGOCQMH-HNNXBMFYSA-N 0 0 430.509 -0.241 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnc(CC3CC3)nc2)CC1 ZINC000551441249 1073085930 /nfs/dbraw/zinc/08/59/30/1073085930.db2.gz NFTWYZOPGOCQMH-OAHLLOKOSA-N 0 0 430.509 -0.241 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc(N3CCN(C(C)=O)CC3)c2)nn1 ZINC000551441296 1073085917 /nfs/dbraw/zinc/08/59/17/1073085917.db2.gz HLSOUHAQBFHYDT-UHFFFAOYSA-N 0 0 443.464 -0.512 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000551441620 1073085939 /nfs/dbraw/zinc/08/59/39/1073085939.db2.gz CJCHUKUNQZMTID-UHFFFAOYSA-N 0 0 435.449 -0.423 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000551441794 1073086055 /nfs/dbraw/zinc/08/60/55/1073086055.db2.gz PDBAVXLDYVWGTH-GFCCVEGCSA-N 0 0 425.401 -0.053 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000551441795 1073085889 /nfs/dbraw/zinc/08/58/89/1073085889.db2.gz PDBAVXLDYVWGTH-LBPRGKRZSA-N 0 0 425.401 -0.053 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000551442247 1073085904 /nfs/dbraw/zinc/08/59/04/1073085904.db2.gz RYFLWKUAIMVZRI-JTQLQIEISA-N 0 0 432.886 -0.074 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000551442256 1073086136 /nfs/dbraw/zinc/08/61/36/1073086136.db2.gz RYFLWKUAIMVZRI-SNVBAGLBSA-N 0 0 432.886 -0.074 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(N3CCCC3=O)c(OC)c2)nn1 ZINC000551442474 1073086525 /nfs/dbraw/zinc/08/65/25/1073086525.db2.gz NEEIFEQCMZNDLM-UHFFFAOYSA-N 0 0 430.421 -0.045 20 0 IBADRN CN(C1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1)S(C)(=O)=O ZINC000551443419 1073086377 /nfs/dbraw/zinc/08/63/77/1073086377.db2.gz UIALJLLIYLYJCT-UHFFFAOYSA-N 0 0 426.470 -0.068 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000551446161 1073086469 /nfs/dbraw/zinc/08/64/69/1073086469.db2.gz CTFUNNZXYKDOPM-HNNXBMFYSA-N 0 0 438.481 -0.556 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O ZINC000551446162 1073086350 /nfs/dbraw/zinc/08/63/50/1073086350.db2.gz CTFUNNZXYKDOPM-OAHLLOKOSA-N 0 0 438.481 -0.556 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@@]2(CCOC2)O1 ZINC000551448563 1073086279 /nfs/dbraw/zinc/08/62/79/1073086279.db2.gz XQLKTQADFQTVCT-HRAATJIYSA-N 0 0 432.477 -0.021 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@]2(CCOC2)O1 ZINC000551448575 1073086732 /nfs/dbraw/zinc/08/67/32/1073086732.db2.gz XQLKTQADFQTVCT-IERDGZPVSA-N 0 0 432.477 -0.021 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@@]2(CCOC2)O1 ZINC000551448576 1073086720 /nfs/dbraw/zinc/08/67/20/1073086720.db2.gz XQLKTQADFQTVCT-IIBYNOLFSA-N 0 0 432.477 -0.021 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@]2(CCOC2)O1 ZINC000551448577 1073086765 /nfs/dbraw/zinc/08/67/65/1073086765.db2.gz XQLKTQADFQTVCT-KKSFZXQISA-N 0 0 432.477 -0.021 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ncn(Cc3ccc(Cl)cc3)n2)nn1 ZINC000551448616 1073086748 /nfs/dbraw/zinc/08/67/48/1073086748.db2.gz VNRVSSBZLFRDFF-UHFFFAOYSA-N 0 0 432.828 0.113 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCn3cc(C(=O)OC)nn3)ccc21 ZINC000551449516 1073086863 /nfs/dbraw/zinc/08/68/63/1073086863.db2.gz MMSRPMVCNUCSQY-UHFFFAOYSA-N 0 0 444.448 -0.255 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)nn1 ZINC000551450686 1073086665 /nfs/dbraw/zinc/08/66/65/1073086665.db2.gz JVNNGTAUEXIRQN-UHFFFAOYSA-N 0 0 431.438 -0.483 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NC(=O)Nc3ccc4c(c3)OCCCO4)C(=O)[C@H]2C1 ZINC000551452389 1073086640 /nfs/dbraw/zinc/08/66/40/1073086640.db2.gz NTOGTQLGCWVLCB-GFCCVEGCSA-N 0 0 446.420 -0.477 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NC(=O)Nc3ccc4c(c3)OCCCO4)C(=O)[C@@H]2C1 ZINC000551452390 1073086653 /nfs/dbraw/zinc/08/66/53/1073086653.db2.gz NTOGTQLGCWVLCB-LBPRGKRZSA-N 0 0 446.420 -0.477 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2C[C@]3(CCOC3)OC(C)(C)C2)c1 ZINC000551453865 1073086907 /nfs/dbraw/zinc/08/69/07/1073086907.db2.gz UQSWNAMUUVLAEL-NRFANRHFSA-N 0 0 432.477 -0.021 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2C[C@@]3(CCOC3)OC(C)(C)C2)c1 ZINC000551453867 1073086681 /nfs/dbraw/zinc/08/66/81/1073086681.db2.gz UQSWNAMUUVLAEL-OAQYLSRUSA-N 0 0 432.477 -0.021 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)Nc3ccc4c(c3F)CCNC4=O)CC2)NC(=O)NC1=O ZINC000551455795 1073086393 /nfs/dbraw/zinc/08/63/93/1073086393.db2.gz YFDYIDZCUULPDR-FQEVSTJZSA-N 0 0 431.424 -0.113 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)Nc3ccc4c(c3F)CCNC4=O)CC2)NC(=O)NC1=O ZINC000551455797 1073086420 /nfs/dbraw/zinc/08/64/20/1073086420.db2.gz YFDYIDZCUULPDR-HXUWFJFHSA-N 0 0 431.424 -0.113 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CC[C@@H]2OCCN(C)[C@@H]2C1 ZINC000551458596 1073087073 /nfs/dbraw/zinc/08/70/73/1073087073.db2.gz WXEOZGYIXJTKQY-CABCVRRESA-N 0 0 426.495 -0.063 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CC[C@@H]2OCCN(C)[C@H]2C1 ZINC000551458597 1073086997 /nfs/dbraw/zinc/08/69/97/1073086997.db2.gz WXEOZGYIXJTKQY-GJZGRUSLSA-N 0 0 426.495 -0.063 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CC[C@H]2OCCN(C)[C@@H]2C1 ZINC000551458598 1073087034 /nfs/dbraw/zinc/08/70/34/1073087034.db2.gz WXEOZGYIXJTKQY-HUUCEWRRSA-N 0 0 426.495 -0.063 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CC[C@H]2OCCN(C)[C@H]2C1 ZINC000551458599 1073087059 /nfs/dbraw/zinc/08/70/59/1073087059.db2.gz WXEOZGYIXJTKQY-LSDHHAIUSA-N 0 0 426.495 -0.063 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCc3c(cccc3S(=O)(=O)N(C)C)C2)n1 ZINC000551459409 1073335496 /nfs/dbraw/zinc/33/54/96/1073335496.db2.gz MASFWJHQNHLXAE-UHFFFAOYSA-N 0 0 435.506 0.303 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1cccc(C(N)=O)n1)C2 ZINC000551459698 1073087182 /nfs/dbraw/zinc/08/71/82/1073087182.db2.gz PPOBRPOBCLAWIB-UHFFFAOYSA-N 0 0 431.474 -0.046 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(Cc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2 ZINC000551466002 1073087100 /nfs/dbraw/zinc/08/71/00/1073087100.db2.gz XBXKZQPBVMZFOJ-UHFFFAOYSA-N 0 0 432.506 -0.231 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](OC)C(=O)OC)cc2)CC1 ZINC000551467167 1073088106 /nfs/dbraw/zinc/08/81/06/1073088106.db2.gz CWQOWGPMLHDSAP-KRWDZBQOSA-N 0 0 434.493 -0.364 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](OC)C(=O)OC)cc2)CC1 ZINC000551467170 1073087926 /nfs/dbraw/zinc/08/79/26/1073087926.db2.gz CWQOWGPMLHDSAP-QGZVFWFLSA-N 0 0 434.493 -0.364 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC(C)C)OC ZINC000551467375 1073088019 /nfs/dbraw/zinc/08/80/19/1073088019.db2.gz HOAGMHZLOLUGBK-HNNXBMFYSA-N 0 0 445.494 -0.033 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC(C)C)OC ZINC000551467376 1073087868 /nfs/dbraw/zinc/08/78/68/1073087868.db2.gz HOAGMHZLOLUGBK-OAHLLOKOSA-N 0 0 445.494 -0.033 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1)OC ZINC000551467389 1073087852 /nfs/dbraw/zinc/08/78/52/1073087852.db2.gz IJEHGUARKOQDPP-HNNXBMFYSA-N 0 0 427.417 -0.213 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1)OC ZINC000551467390 1073088004 /nfs/dbraw/zinc/08/80/04/1073088004.db2.gz IJEHGUARKOQDPP-OAHLLOKOSA-N 0 0 427.417 -0.213 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)c1 ZINC000551468127 1073087829 /nfs/dbraw/zinc/08/78/29/1073087829.db2.gz ZOFGRCAUPJDBGA-KRWDZBQOSA-N 0 0 431.493 -0.494 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)c1 ZINC000551468128 1073088146 /nfs/dbraw/zinc/08/81/46/1073088146.db2.gz ZOFGRCAUPJDBGA-QGZVFWFLSA-N 0 0 431.493 -0.494 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@H](OC)C(=O)OC)cc1S(=O)(=O)N(C)C ZINC000551468467 1073088581 /nfs/dbraw/zinc/08/85/81/1073088581.db2.gz NIJXUBBRGUVECI-CYBMUJFWSA-N 0 0 431.467 -0.422 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@H](OC)C(=O)OC)cc1S(=O)(=O)N(C)C ZINC000551468468 1073088385 /nfs/dbraw/zinc/08/83/85/1073088385.db2.gz NIJXUBBRGUVECI-ZDUSSCGKSA-N 0 0 431.467 -0.422 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1)OC ZINC000551468474 1073088322 /nfs/dbraw/zinc/08/83/22/1073088322.db2.gz NITTVSPTDAQGFG-CYBMUJFWSA-N 0 0 429.451 -0.621 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1)OC ZINC000551468479 1073088567 /nfs/dbraw/zinc/08/85/67/1073088567.db2.gz NITTVSPTDAQGFG-ZDUSSCGKSA-N 0 0 429.451 -0.621 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)OC ZINC000551468627 1073088035 /nfs/dbraw/zinc/08/80/35/1073088035.db2.gz QKCQABXMOUDVPZ-CYBMUJFWSA-N 0 0 447.441 -0.911 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)OC ZINC000551468636 1073087912 /nfs/dbraw/zinc/08/79/12/1073087912.db2.gz QKCQABXMOUDVPZ-ZDUSSCGKSA-N 0 0 447.441 -0.911 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC)OC ZINC000551468666 1073087841 /nfs/dbraw/zinc/08/78/41/1073087841.db2.gz RALXUKPUEITEJP-AWEZNQCLSA-N 0 0 429.451 -0.621 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC)OC ZINC000551468667 1073088458 /nfs/dbraw/zinc/08/84/58/1073088458.db2.gz RALXUKPUEITEJP-CQSZACIVSA-N 0 0 429.451 -0.621 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCc2ccnc(OC(F)F)c2)cn1)N1CCOCC1 ZINC000551469628 1073087462 /nfs/dbraw/zinc/08/74/62/1073087462.db2.gz RLQVNEJBFTUPPD-UHFFFAOYSA-N 0 0 438.391 -0.007 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N(C)[C@H](C)CO ZINC000551472466 1073087649 /nfs/dbraw/zinc/08/76/49/1073087649.db2.gz MWRUYWPZMOGQAU-CYBMUJFWSA-N 0 0 428.511 -0.591 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2cccc(Br)c2)CC1)S(N)(=O)=O ZINC000551472869 1073087954 /nfs/dbraw/zinc/08/79/54/1073087954.db2.gz WLOBKAARPWWZIQ-LLVKDONJSA-N 0 0 433.328 -0.109 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2cccc(Br)c2)CC1)S(N)(=O)=O ZINC000551472870 1073087998 /nfs/dbraw/zinc/08/79/98/1073087998.db2.gz WLOBKAARPWWZIQ-NSHDSACASA-N 0 0 433.328 -0.109 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)c1 ZINC000551474001 1073087984 /nfs/dbraw/zinc/08/79/84/1073087984.db2.gz YEOGCPNJNPVXKF-UHFFFAOYSA-N 0 0 443.439 -0.540 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccnc(OC(F)F)c3)cnc2n(C)c1=O ZINC000551476763 1073089743 /nfs/dbraw/zinc/08/97/43/1073089743.db2.gz GMIRSVQFDUHIPB-UHFFFAOYSA-N 0 0 434.359 -0.117 20 0 IBADRN COc1c(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc(Cl)cc1C(N)=O ZINC000551478744 1073088435 /nfs/dbraw/zinc/08/84/35/1073088435.db2.gz JPFUZAUUOHBAKW-GFCCVEGCSA-N 0 0 428.873 -0.463 20 0 IBADRN COc1c(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc(Cl)cc1C(N)=O ZINC000551478745 1073088258 /nfs/dbraw/zinc/08/82/58/1073088258.db2.gz JPFUZAUUOHBAKW-LBPRGKRZSA-N 0 0 428.873 -0.463 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2 ZINC000551481247 1073088724 /nfs/dbraw/zinc/08/87/24/1073088724.db2.gz RTGLKBQOVONUTE-UHFFFAOYSA-N 0 0 436.462 -0.006 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)nn1 ZINC000551482208 1073346462 /nfs/dbraw/zinc/34/64/62/1073346462.db2.gz BFKIPLQYECRZPF-HNNXBMFYSA-N 0 0 445.451 0.397 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)nn1 ZINC000551482209 1073346426 /nfs/dbraw/zinc/34/64/26/1073346426.db2.gz BFKIPLQYECRZPF-OAHLLOKOSA-N 0 0 445.451 0.397 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)C[C@@H](C)O2 ZINC000551482210 1073088921 /nfs/dbraw/zinc/08/89/21/1073088921.db2.gz BGRLXTQSMVSULJ-HKZYLEAXSA-N 0 0 432.477 -0.023 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)C[C@@H](C)O2 ZINC000551482211 1073089235 /nfs/dbraw/zinc/08/92/35/1073089235.db2.gz BGRLXTQSMVSULJ-LKBUQDJMSA-N 0 0 432.477 -0.023 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)C[C@@H](C)O2 ZINC000551482212 1073089025 /nfs/dbraw/zinc/08/90/25/1073089025.db2.gz BGRLXTQSMVSULJ-YPENRWOSSA-N 0 0 432.477 -0.023 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)C[C@@H](C)O2 ZINC000551482213 1073089394 /nfs/dbraw/zinc/08/93/94/1073089394.db2.gz BGRLXTQSMVSULJ-ZMOMAAQPSA-N 0 0 432.477 -0.023 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000551489687 1073090330 /nfs/dbraw/zinc/09/03/30/1073090330.db2.gz DKRREGHYIKPVAZ-KRWDZBQOSA-N 0 0 425.493 -0.226 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000551489688 1073090344 /nfs/dbraw/zinc/09/03/44/1073090344.db2.gz DKRREGHYIKPVAZ-QGZVFWFLSA-N 0 0 425.493 -0.226 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(Oc3cccnc3)nc2)CC1 ZINC000551491165 1073090093 /nfs/dbraw/zinc/09/00/93/1073090093.db2.gz OZRJNRROHIKPNQ-UHFFFAOYSA-N 0 0 434.478 -0.360 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC(C)C)nn2)CC1 ZINC000551494887 1073318726 /nfs/dbraw/zinc/31/87/26/1073318726.db2.gz CGSYZEUTXRCFKC-UHFFFAOYSA-N 0 0 443.526 0.101 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cnc(CC2CC2)nc1 ZINC000551494955 1073091186 /nfs/dbraw/zinc/09/11/86/1073091186.db2.gz RGFDJWXUBAINMM-HNNXBMFYSA-N 0 0 425.511 -0.028 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cnc(CC2CC2)nc1 ZINC000551494956 1073091521 /nfs/dbraw/zinc/09/15/21/1073091521.db2.gz RGFDJWXUBAINMM-OAHLLOKOSA-N 0 0 425.511 -0.028 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)nn1 ZINC000551495099 1073091449 /nfs/dbraw/zinc/09/14/49/1073091449.db2.gz SVKMJYJZHULAEN-CYBMUJFWSA-N 0 0 437.453 0.532 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)nn1 ZINC000551495100 1073346026 /nfs/dbraw/zinc/34/60/26/1073346026.db2.gz SVKMJYJZHULAEN-ZDUSSCGKSA-N 0 0 437.453 0.532 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2 ZINC000551495483 1073091199 /nfs/dbraw/zinc/09/11/99/1073091199.db2.gz MBOLNJSIBIIKEW-UHFFFAOYSA-N 0 0 441.416 -0.003 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1)N1CCCCC1 ZINC000551498032 1073090971 /nfs/dbraw/zinc/09/09/71/1073090971.db2.gz LOHJZGGLYZVJMT-GOSISDBHSA-N 0 0 443.552 -0.282 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1)N1CCCCC1 ZINC000551498033 1073090806 /nfs/dbraw/zinc/09/08/06/1073090806.db2.gz LOHJZGGLYZVJMT-SFHVURJKSA-N 0 0 443.552 -0.282 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000551498469 1073090751 /nfs/dbraw/zinc/09/07/51/1073090751.db2.gz CJRXJEYDNJLBIF-GFCCVEGCSA-N 0 0 431.468 -0.139 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000551498470 1073090916 /nfs/dbraw/zinc/09/09/16/1073090916.db2.gz CJRXJEYDNJLBIF-LBPRGKRZSA-N 0 0 431.468 -0.139 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc2c1=O ZINC000551499033 1073091023 /nfs/dbraw/zinc/09/10/23/1073091023.db2.gz MOBNUMPZXMDTSG-UHFFFAOYSA-N 0 0 438.531 -0.028 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000551499211 1073090881 /nfs/dbraw/zinc/09/08/81/1073090881.db2.gz NTOXGSGIFKCSNL-HNNXBMFYSA-N 0 0 438.492 -0.596 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000551499212 1073090822 /nfs/dbraw/zinc/09/08/22/1073090822.db2.gz NTOXGSGIFKCSNL-OAHLLOKOSA-N 0 0 438.492 -0.596 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc(Cl)cc1C(N)=O ZINC000551501138 1073091008 /nfs/dbraw/zinc/09/10/08/1073091008.db2.gz SGALDNUJCLELHE-UHFFFAOYSA-N 0 0 448.867 -0.172 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](C)Cn2cccn2)C1 ZINC000551503107 1073091257 /nfs/dbraw/zinc/09/12/57/1073091257.db2.gz BTAZHQGPTPMUQR-CVEARBPZSA-N 0 0 429.543 -0.466 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](C)Cn2cccn2)C1 ZINC000551503108 1073091243 /nfs/dbraw/zinc/09/12/43/1073091243.db2.gz BTAZHQGPTPMUQR-HOTGVXAUSA-N 0 0 429.543 -0.466 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](C)Cn2cccn2)C1 ZINC000551503109 1073091464 /nfs/dbraw/zinc/09/14/64/1073091464.db2.gz BTAZHQGPTPMUQR-HZPDHXFCSA-N 0 0 429.543 -0.466 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](C)Cn2cccn2)C1 ZINC000551503110 1073091359 /nfs/dbraw/zinc/09/13/59/1073091359.db2.gz BTAZHQGPTPMUQR-JKSUJKDBSA-N 0 0 429.543 -0.466 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H](C)Cn3cccn3)CC2)cn1C ZINC000551505028 1073091961 /nfs/dbraw/zinc/09/19/61/1073091961.db2.gz JCZKCTJOZCGJNR-AWEZNQCLSA-N 0 0 437.526 -0.790 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)Cn3cccn3)CC2)cn1C ZINC000551505029 1073091911 /nfs/dbraw/zinc/09/19/11/1073091911.db2.gz JCZKCTJOZCGJNR-CQSZACIVSA-N 0 0 437.526 -0.790 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)Cn1cccn1 ZINC000551505891 1073092305 /nfs/dbraw/zinc/09/23/05/1073092305.db2.gz VQOVDHABVFMDIZ-CVEARBPZSA-N 0 0 427.527 -0.712 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)Cn1cccn1 ZINC000551505892 1073092358 /nfs/dbraw/zinc/09/23/58/1073092358.db2.gz VQOVDHABVFMDIZ-HOTGVXAUSA-N 0 0 427.527 -0.712 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)Cn1cccn1 ZINC000551505893 1073092526 /nfs/dbraw/zinc/09/25/26/1073092526.db2.gz VQOVDHABVFMDIZ-HZPDHXFCSA-N 0 0 427.527 -0.712 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)Cn1cccn1 ZINC000551505996 1073092470 /nfs/dbraw/zinc/09/24/70/1073092470.db2.gz VQOVDHABVFMDIZ-JKSUJKDBSA-N 0 0 427.527 -0.712 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NC[C@](C)(O)CN3CCOCC3)cc2CC1 ZINC000551513540 1073091675 /nfs/dbraw/zinc/09/16/75/1073091675.db2.gz SRIBDLAPRRXNQD-FQEVSTJZSA-N 0 0 425.551 -0.005 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NC[C@@](C)(O)CN3CCOCC3)cc2CC1 ZINC000551513545 1073091954 /nfs/dbraw/zinc/09/19/54/1073091954.db2.gz SRIBDLAPRRXNQD-HXUWFJFHSA-N 0 0 425.551 -0.005 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCC[C@@H](CS(C)(=O)=O)C2)c1=O ZINC000551513959 1073092018 /nfs/dbraw/zinc/09/20/18/1073092018.db2.gz BLLFQOFYKHTMMA-CYBMUJFWSA-N 0 0 427.479 -0.367 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCC[C@H](CS(C)(=O)=O)C2)c1=O ZINC000551513960 1073092136 /nfs/dbraw/zinc/09/21/36/1073092136.db2.gz BLLFQOFYKHTMMA-ZDUSSCGKSA-N 0 0 427.479 -0.367 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCC[C@@H](CNS(N)(=O)=O)C3)cc2CC1 ZINC000551514474 1073091783 /nfs/dbraw/zinc/09/17/83/1073091783.db2.gz MKUYNJGPYPXLHG-HNNXBMFYSA-N 0 0 444.579 -0.173 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCC[C@H](CNS(N)(=O)=O)C3)cc2CC1 ZINC000551514475 1073092144 /nfs/dbraw/zinc/09/21/44/1073092144.db2.gz MKUYNJGPYPXLHG-OAHLLOKOSA-N 0 0 444.579 -0.173 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](CS(C)(=O)=O)C1)CC2 ZINC000551515037 1073092406 /nfs/dbraw/zinc/09/24/06/1073092406.db2.gz NVCWJQKXXMHAKJ-CYBMUJFWSA-N 0 0 443.547 -0.233 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](CS(C)(=O)=O)C1)CC2 ZINC000551515041 1073092332 /nfs/dbraw/zinc/09/23/32/1073092332.db2.gz NVCWJQKXXMHAKJ-ZDUSSCGKSA-N 0 0 443.547 -0.233 20 0 IBADRN Cn1cc(CCNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)cn1 ZINC000551517869 1073092247 /nfs/dbraw/zinc/09/22/47/1073092247.db2.gz UZQOVYMHBFWTGK-UHFFFAOYSA-N 0 0 439.469 -0.123 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NC2(CCO)CC2)c1 ZINC000551520358 1073092537 /nfs/dbraw/zinc/09/25/37/1073092537.db2.gz SLDYQEGFWCTNFM-UHFFFAOYSA-N 0 0 440.522 -0.257 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000551523152 1073092388 /nfs/dbraw/zinc/09/23/88/1073092388.db2.gz NFQMHAVWIZXZPJ-UHFFFAOYSA-N 0 0 439.534 -0.057 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NC[C@@H]3COCCO3)CC2)ncc1Br ZINC000551525146 1073092892 /nfs/dbraw/zinc/09/28/92/1073092892.db2.gz WWDYJKUVIQHTLV-LLVKDONJSA-N 0 0 444.286 -0.572 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NC[C@H]3COCCO3)CC2)ncc1Br ZINC000551525152 1073092874 /nfs/dbraw/zinc/09/28/74/1073092874.db2.gz WWDYJKUVIQHTLV-NSHDSACASA-N 0 0 444.286 -0.572 20 0 IBADRN O=C(NC[C@H]1COCCO1)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000551525457 1073092454 /nfs/dbraw/zinc/09/24/54/1073092454.db2.gz GCKWKMQXRJGKGJ-CABCVRRESA-N 0 0 429.470 -0.373 20 0 IBADRN O=C(NC[C@H]1COCCO1)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000551525459 1073092347 /nfs/dbraw/zinc/09/23/47/1073092347.db2.gz GCKWKMQXRJGKGJ-GJZGRUSLSA-N 0 0 429.470 -0.373 20 0 IBADRN O=C(NC[C@@H]1COCCO1)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000551525460 1073092513 /nfs/dbraw/zinc/09/25/13/1073092513.db2.gz GCKWKMQXRJGKGJ-HUUCEWRRSA-N 0 0 429.470 -0.373 20 0 IBADRN O=C(NC[C@@H]1COCCO1)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000551525461 1073092546 /nfs/dbraw/zinc/09/25/46/1073092546.db2.gz GCKWKMQXRJGKGJ-LSDHHAIUSA-N 0 0 429.470 -0.373 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3COCCO3)CC2)c1 ZINC000551533538 1073093254 /nfs/dbraw/zinc/09/32/54/1073093254.db2.gz IENCRPVDBVVIDI-INIZCTEOSA-N 0 0 425.507 -0.332 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3COCCO3)CC2)c1 ZINC000551533827 1073093085 /nfs/dbraw/zinc/09/30/85/1073093085.db2.gz IENCRPVDBVVIDI-MRXNPFEDSA-N 0 0 425.507 -0.332 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N(C)CC(=O)N3CCOCC3)nc2n(CC)c1=O ZINC000551534990 1073351525 /nfs/dbraw/zinc/35/15/25/1073351525.db2.gz SEDJJKJUTZZXJW-UHFFFAOYSA-N 0 0 446.508 0.274 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3nccs3)CC2)C[C@H](C)O1 ZINC000551537980 1073093010 /nfs/dbraw/zinc/09/30/10/1073093010.db2.gz DOCXEYVXUNTZPA-BETUJISGSA-N 0 0 431.540 -0.743 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3nccs3)CC2)C[C@@H](C)O1 ZINC000551537981 1073093173 /nfs/dbraw/zinc/09/31/73/1073093173.db2.gz DOCXEYVXUNTZPA-CHWSQXEVSA-N 0 0 431.540 -0.743 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3nccs3)CC2)C[C@H](C)O1 ZINC000551537982 1073092994 /nfs/dbraw/zinc/09/29/94/1073092994.db2.gz DOCXEYVXUNTZPA-STQMWFEESA-N 0 0 431.540 -0.743 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CC[C@@H](CS(C)(=O)=O)C2)c1 ZINC000551539482 1073092292 /nfs/dbraw/zinc/09/22/92/1073092292.db2.gz FZXSEQUWHMUNDD-LLVKDONJSA-N 0 0 435.499 -0.092 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CC[C@H](CS(C)(=O)=O)C2)c1 ZINC000551539483 1073092372 /nfs/dbraw/zinc/09/23/72/1073092372.db2.gz FZXSEQUWHMUNDD-NSHDSACASA-N 0 0 435.499 -0.092 20 0 IBADRN CNc1cc(N2CCC[C@H]2CNC(=O)C(=O)NC2CCN(C(=O)COC)CC2)ncn1 ZINC000551544932 1073093444 /nfs/dbraw/zinc/09/34/44/1073093444.db2.gz DEOMXAXSQAQHBN-HNNXBMFYSA-N 0 0 433.513 -0.643 20 0 IBADRN CNc1cc(N2CCC[C@@H]2CNC(=O)C(=O)NC2CCN(C(=O)COC)CC2)ncn1 ZINC000551544933 1073093686 /nfs/dbraw/zinc/09/36/86/1073093686.db2.gz DEOMXAXSQAQHBN-OAHLLOKOSA-N 0 0 433.513 -0.643 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(F)(F)C(F)F)CC2)CC1 ZINC000551544951 1073093645 /nfs/dbraw/zinc/09/36/45/1073093645.db2.gz FGRJTCLCWYLAMX-UHFFFAOYSA-N 0 0 426.411 -0.215 20 0 IBADRN O=C(NC[C@H]1CC[C@@H](CO)O1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000551545034 1073093404 /nfs/dbraw/zinc/09/34/04/1073093404.db2.gz ITJMZNALIIRSBZ-MSOLQXFVSA-N 0 0 434.493 -0.657 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](CO)O2)cc1S(=O)(=O)NC1CC1 ZINC000551545418 1073093054 /nfs/dbraw/zinc/09/30/54/1073093054.db2.gz SVIUKCQLEYKSRJ-KGLIPLIRSA-N 0 0 427.479 -0.270 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000551545429 1073093223 /nfs/dbraw/zinc/09/32/23/1073093223.db2.gz UNRMLCGATCAYOV-OLZOCXBDSA-N 0 0 428.511 -0.403 20 0 IBADRN O=C(NC[C@H]1CC[C@@H](CO)O1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000551546007 1073093592 /nfs/dbraw/zinc/09/35/92/1073093592.db2.gz NMPTYLVLJPBJMP-CABCVRRESA-N 0 0 427.479 -0.698 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H]3CC[C@@H](CO)O3)cc2)CC1 ZINC000551546515 1073093371 /nfs/dbraw/zinc/09/33/71/1073093371.db2.gz POOAIEUXFHQMFC-CVEARBPZSA-N 0 0 440.522 -0.783 20 0 IBADRN CC1(C)NC(=O)N(CCN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)C1=O ZINC000551547303 1073093430 /nfs/dbraw/zinc/09/34/30/1073093430.db2.gz KALZOLSZRSJTDY-UHFFFAOYSA-N 0 0 436.494 -0.095 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1 ZINC000551550061 1073093606 /nfs/dbraw/zinc/09/36/06/1073093606.db2.gz APQOTYWXVIXZMH-GOSISDBHSA-N 0 0 430.505 -0.034 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1 ZINC000551550062 1073093577 /nfs/dbraw/zinc/09/35/77/1073093577.db2.gz APQOTYWXVIXZMH-SFHVURJKSA-N 0 0 430.505 -0.034 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@H](C)O1 ZINC000551550211 1073093553 /nfs/dbraw/zinc/09/35/53/1073093553.db2.gz GAVKWAVZSXVKQK-HOTGVXAUSA-N 0 0 432.525 -0.086 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@@H](C)O1 ZINC000551550212 1073093500 /nfs/dbraw/zinc/09/35/00/1073093500.db2.gz GAVKWAVZSXVKQK-HZPDHXFCSA-N 0 0 432.525 -0.086 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@H](C)O1 ZINC000551550213 1073093719 /nfs/dbraw/zinc/09/37/19/1073093719.db2.gz GAVKWAVZSXVKQK-IYBDPMFKSA-N 0 0 432.525 -0.086 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)NC3CCN(C(=O)COC)CC3)C2)ncn1 ZINC000551550837 1073094048 /nfs/dbraw/zinc/09/40/48/1073094048.db2.gz VIDTXGPDRPLMEW-KRWDZBQOSA-N 0 0 432.525 -0.122 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)NC3CCN(C(=O)COC)CC3)C2)ncn1 ZINC000551550838 1073094062 /nfs/dbraw/zinc/09/40/62/1073094062.db2.gz VIDTXGPDRPLMEW-QGZVFWFLSA-N 0 0 432.525 -0.122 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000551550987 1073093633 /nfs/dbraw/zinc/09/36/33/1073093633.db2.gz WMNZEXCIMOXLRC-KRWDZBQOSA-N 0 0 434.468 -0.204 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000551550988 1073093620 /nfs/dbraw/zinc/09/36/20/1073093620.db2.gz WMNZEXCIMOXLRC-QGZVFWFLSA-N 0 0 434.468 -0.204 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)N(C)C)CC1 ZINC000551551063 1073093417 /nfs/dbraw/zinc/09/34/17/1073093417.db2.gz ZHJVGTBPCYWUTN-UHFFFAOYSA-N 0 0 441.510 -0.290 20 0 IBADRN O=C(NCc1nnc2n1CCCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000551553301 1073094088 /nfs/dbraw/zinc/09/40/88/1073094088.db2.gz XFKSFNQUILHPSA-UHFFFAOYSA-N 0 0 433.494 -0.841 20 0 IBADRN O=S(=O)(N1CCS(=O)(=O)CC1)N1CCOC[C@H]1c1ncnn1-c1ccccc1 ZINC000551555252 1073094135 /nfs/dbraw/zinc/09/41/35/1073094135.db2.gz OIKHMGSLNKQAAL-HNNXBMFYSA-N 0 0 427.508 -0.384 20 0 IBADRN O=S(=O)(N1CCS(=O)(=O)CC1)N1CCOC[C@@H]1c1ncnn1-c1ccccc1 ZINC000551555426 1073093861 /nfs/dbraw/zinc/09/38/61/1073093861.db2.gz OIKHMGSLNKQAAL-OAHLLOKOSA-N 0 0 427.508 -0.384 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000551555629 1073094073 /nfs/dbraw/zinc/09/40/73/1073094073.db2.gz VYNZQELASYQEGZ-UHFFFAOYSA-N 0 0 439.581 -0.436 20 0 IBADRN COC(=O)[C@H]1CNC(=O)CN1S(=O)(=O)c1cc(Cl)cc(C(=O)N(C)C)c1OC ZINC000551556273 1073093891 /nfs/dbraw/zinc/09/38/91/1073093891.db2.gz QUQTXRMVCUKMCR-LLVKDONJSA-N 0 0 433.870 -0.288 20 0 IBADRN COC(=O)[C@@H]1CNC(=O)CN1S(=O)(=O)c1cc(Cl)cc(C(=O)N(C)C)c1OC ZINC000551556274 1073093844 /nfs/dbraw/zinc/09/38/44/1073093844.db2.gz QUQTXRMVCUKMCR-NSHDSACASA-N 0 0 433.870 -0.288 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2nnc3n2CCCC3)C1 ZINC000551557793 1073094120 /nfs/dbraw/zinc/09/41/20/1073094120.db2.gz JZSKYVXQKZEWNL-AWEZNQCLSA-N 0 0 442.542 -0.870 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2nnc3n2CCCC3)C1 ZINC000551557794 1073093945 /nfs/dbraw/zinc/09/39/45/1073093945.db2.gz JZSKYVXQKZEWNL-CQSZACIVSA-N 0 0 442.542 -0.870 20 0 IBADRN O=C(NCc1nnc2n1CCCC2)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000551558433 1073093972 /nfs/dbraw/zinc/09/39/72/1073093972.db2.gz QDNHZMZRWRPURV-UHFFFAOYSA-N 0 0 446.533 -0.095 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000551563274 1073094590 /nfs/dbraw/zinc/09/45/90/1073094590.db2.gz IGCLVDHWMYWGFP-UHFFFAOYSA-N 0 0 439.450 -0.670 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C(=O)Nc1cnn(-c2ncccn2)c1 ZINC000551563990 1073094404 /nfs/dbraw/zinc/09/44/04/1073094404.db2.gz SYZVCLVDOZRYGS-UHFFFAOYSA-N 0 0 445.461 -0.214 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000551567131 1073094418 /nfs/dbraw/zinc/09/44/18/1073094418.db2.gz PEGWPDVJMQIAPR-UHFFFAOYSA-N 0 0 438.462 -0.065 20 0 IBADRN CN(C[C@@H](O)Cc1ccccc1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000551567699 1073094576 /nfs/dbraw/zinc/09/45/76/1073094576.db2.gz WVULGMDCZCEGLW-HNNXBMFYSA-N 0 0 425.445 -0.367 20 0 IBADRN CN(C[C@H](O)Cc1ccccc1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000551567700 1073094310 /nfs/dbraw/zinc/09/43/10/1073094310.db2.gz WVULGMDCZCEGLW-OAHLLOKOSA-N 0 0 425.445 -0.367 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCn2cc(C(=O)OC)nn2)c(OC)c1 ZINC000551574014 1073094378 /nfs/dbraw/zinc/09/43/78/1073094378.db2.gz KPHNRBCDLAQXBI-UHFFFAOYSA-N 0 0 447.495 -0.187 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)nn1 ZINC000551575822 1073094741 /nfs/dbraw/zinc/09/47/41/1073094741.db2.gz KZYGWGRDKMVXBM-UHFFFAOYSA-N 0 0 429.480 -0.516 20 0 IBADRN O=C(N[C@@H](c1ccccc1)[C@H]1CCCO1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000551584061 1073095245 /nfs/dbraw/zinc/09/52/45/1073095245.db2.gz JSUWVDGXPUJPPH-MSOLQXFVSA-N 0 0 428.489 -0.028 20 0 IBADRN O=C(N[C@H](c1ccccc1)[C@H]1CCCO1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000551584062 1073095145 /nfs/dbraw/zinc/09/51/45/1073095145.db2.gz JSUWVDGXPUJPPH-QZTJIDSGSA-N 0 0 428.489 -0.028 20 0 IBADRN O=C(N[C@@H](c1ccccc1)[C@@H]1CCCO1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000551584064 1073095117 /nfs/dbraw/zinc/09/51/17/1073095117.db2.gz JSUWVDGXPUJPPH-ROUUACIJSA-N 0 0 428.489 -0.028 20 0 IBADRN O=C(N[C@H](c1ccccc1)[C@@H]1CCCO1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000551584065 1073095233 /nfs/dbraw/zinc/09/52/33/1073095233.db2.gz JSUWVDGXPUJPPH-ZWKOTPCHSA-N 0 0 428.489 -0.028 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCc2ccn(C)n2)CCN1C(=O)CCc1ccn(C)n1 ZINC000551592276 1073094816 /nfs/dbraw/zinc/09/48/16/1073094816.db2.gz AKMOYONRLZZLBQ-GOSISDBHSA-N 0 0 429.525 -0.153 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCc2ccn(C)n2)CCN1C(=O)CCc1ccn(C)n1 ZINC000551592277 1073094950 /nfs/dbraw/zinc/09/49/50/1073094950.db2.gz AKMOYONRLZZLBQ-SFHVURJKSA-N 0 0 429.525 -0.153 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCS1(=O)=O ZINC000551596777 1073095699 /nfs/dbraw/zinc/09/56/99/1073095699.db2.gz WJVUESGGUGPRNR-ZANVPECISA-N 0 0 433.483 -0.103 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N(C)Cc2ccccc2S(N)(=O)=O)c2ccccc2O1 ZINC000551597781 1073095627 /nfs/dbraw/zinc/09/56/27/1073095627.db2.gz YQRZNWYQXLTLPA-INIZCTEOSA-N 0 0 446.485 -0.167 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N(C)Cc2ccccc2S(N)(=O)=O)c2ccccc2O1 ZINC000551597782 1073095762 /nfs/dbraw/zinc/09/57/62/1073095762.db2.gz YQRZNWYQXLTLPA-MRXNPFEDSA-N 0 0 446.485 -0.167 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCN(Cc2ccccc2)C1=O ZINC000551599633 1073095716 /nfs/dbraw/zinc/09/57/16/1073095716.db2.gz CCKYOZYXIBWPIK-KRWDZBQOSA-N 0 0 440.504 -0.195 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000551599634 1073095738 /nfs/dbraw/zinc/09/57/38/1073095738.db2.gz CCKYOZYXIBWPIK-QGZVFWFLSA-N 0 0 440.504 -0.195 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(Cc2ccncc2)CC1 ZINC000551600544 1073095778 /nfs/dbraw/zinc/09/57/78/1073095778.db2.gz PGPQAITYMZPKNU-UHFFFAOYSA-N 0 0 427.509 -0.373 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCN(c2ncccc2F)C1 ZINC000551600884 1073095587 /nfs/dbraw/zinc/09/55/87/1073095587.db2.gz CMIXXUILJQDYHG-AWEZNQCLSA-N 0 0 431.472 -0.183 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCN(c2ncccc2F)C1 ZINC000551600885 1073096066 /nfs/dbraw/zinc/09/60/66/1073096066.db2.gz CMIXXUILJQDYHG-CQSZACIVSA-N 0 0 431.472 -0.183 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCCN(CC(F)(F)F)CC1 ZINC000551601129 1073095535 /nfs/dbraw/zinc/09/55/35/1073095535.db2.gz DVNGCTOQFXLZQQ-UHFFFAOYSA-N 0 0 432.447 -0.016 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(c2ccc(F)cn2)CC1 ZINC000551601170 1073095576 /nfs/dbraw/zinc/09/55/76/1073095576.db2.gz FVWBQZHUFWFCCG-UHFFFAOYSA-N 0 0 431.472 -0.230 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000551601736 1073096161 /nfs/dbraw/zinc/09/61/61/1073096161.db2.gz MXSPJEBNTNBLIW-DLBZAZTESA-N 0 0 434.541 -0.353 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000551601738 1073095961 /nfs/dbraw/zinc/09/59/61/1073095961.db2.gz MXSPJEBNTNBLIW-IAGOWNOFSA-N 0 0 434.541 -0.353 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000551601739 1073096025 /nfs/dbraw/zinc/09/60/25/1073096025.db2.gz MXSPJEBNTNBLIW-IRXDYDNUSA-N 0 0 434.541 -0.353 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000551601740 1073095912 /nfs/dbraw/zinc/09/59/12/1073095912.db2.gz MXSPJEBNTNBLIW-SJORKVTESA-N 0 0 434.541 -0.353 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000551601757 1073095991 /nfs/dbraw/zinc/09/59/91/1073095991.db2.gz CSATWMRVDXAJOO-AWEZNQCLSA-N 0 0 425.511 -0.665 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000551601758 1073096119 /nfs/dbraw/zinc/09/61/19/1073096119.db2.gz CSATWMRVDXAJOO-CQSZACIVSA-N 0 0 425.511 -0.665 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(Cc2ccccn2)CC1 ZINC000551602165 1073096013 /nfs/dbraw/zinc/09/60/13/1073096013.db2.gz PIZSAVDDMKNBMK-UHFFFAOYSA-N 0 0 427.509 -0.373 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@H]1c1ncc[nH]1 ZINC000551602704 1073096073 /nfs/dbraw/zinc/09/60/73/1073096073.db2.gz LXGZMIFTRXFJNS-HNNXBMFYSA-N 0 0 430.513 -0.484 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@@H]1c1ncc[nH]1 ZINC000551602706 1073096054 /nfs/dbraw/zinc/09/60/54/1073096054.db2.gz LXGZMIFTRXFJNS-OAHLLOKOSA-N 0 0 430.513 -0.484 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)n1 ZINC000551602740 1073096106 /nfs/dbraw/zinc/09/61/06/1073096106.db2.gz MHYUXLVJTUAZLW-UHFFFAOYSA-N 0 0 442.524 -0.357 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000551602753 1073096181 /nfs/dbraw/zinc/09/61/81/1073096181.db2.gz MKFHZXZLQOPGNM-UHFFFAOYSA-N 0 0 436.557 -0.296 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)c1nnnn1-c1ccccc1 ZINC000551603137 1073096149 /nfs/dbraw/zinc/09/61/49/1073096149.db2.gz PWXRRILYBWPEPG-AWEZNQCLSA-N 0 0 439.480 -0.260 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)c1nnnn1-c1ccccc1 ZINC000551603140 1073096202 /nfs/dbraw/zinc/09/62/02/1073096202.db2.gz PWXRRILYBWPEPG-CQSZACIVSA-N 0 0 439.480 -0.260 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)nn1 ZINC000551603957 1073096565 /nfs/dbraw/zinc/09/65/65/1073096565.db2.gz WGIVMVGXBBBION-UHFFFAOYSA-N 0 0 428.446 -0.176 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)NCCn2cc(C(=O)OC)nn2)cn1 ZINC000551604144 1073096448 /nfs/dbraw/zinc/09/64/48/1073096448.db2.gz DCXBDTMCPRVDMC-AWEZNQCLSA-N 0 0 425.471 -0.538 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)NCCn2cc(C(=O)OC)nn2)cn1 ZINC000551604145 1073096600 /nfs/dbraw/zinc/09/66/00/1073096600.db2.gz DCXBDTMCPRVDMC-CQSZACIVSA-N 0 0 425.471 -0.538 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)CC1 ZINC000551605920 1073096529 /nfs/dbraw/zinc/09/65/29/1073096529.db2.gz IBCBECSEGCSLNO-UHFFFAOYSA-N 0 0 425.446 -0.458 20 0 IBADRN O=C(CNC(=O)NCCN1CCNC(=O)C1)Nc1ccc(I)cc1 ZINC000551606985 1073096433 /nfs/dbraw/zinc/09/64/33/1073096433.db2.gz ISTMQPJSSSFTTK-UHFFFAOYSA-N 0 0 445.261 -0.039 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCn2cccn2)CC1 ZINC000551608369 1073096343 /nfs/dbraw/zinc/09/63/43/1073096343.db2.gz RLBBSASSQKNWEL-UHFFFAOYSA-N 0 0 434.522 -0.748 20 0 IBADRN CC(C)CCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000551608439 1073096324 /nfs/dbraw/zinc/09/63/24/1073096324.db2.gz NLHNOOWWQVGOPX-UHFFFAOYSA-N 0 0 444.510 -0.610 20 0 IBADRN NS(=O)(=O)CCNC(=O)NCC(=O)Nc1ccc(I)cc1 ZINC000551612442 1073095374 /nfs/dbraw/zinc/09/53/74/1073095374.db2.gz PGIKVBNQTCFZFN-UHFFFAOYSA-N 0 0 426.236 -0.183 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOCCC(C)C)CC2)cn1C ZINC000551615629 1073096854 /nfs/dbraw/zinc/09/68/54/1073096854.db2.gz IHRYEJIMOTZREI-UHFFFAOYSA-N 0 0 429.543 -0.260 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc3c2OCCCO3)CC1 ZINC000551617917 1073096902 /nfs/dbraw/zinc/09/69/02/1073096902.db2.gz ZYYSZFNWVSQTLE-UHFFFAOYSA-N 0 0 446.504 -0.533 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCC(=O)N[C@@H](C)CC)CC1 ZINC000551617929 1073096893 /nfs/dbraw/zinc/09/68/93/1073096893.db2.gz ARXIWRLEMUMEAW-INIZCTEOSA-N 0 0 447.602 -0.082 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCC(=O)N[C@H](C)CC)CC1 ZINC000551617930 1073096961 /nfs/dbraw/zinc/09/69/61/1073096961.db2.gz ARXIWRLEMUMEAW-MRXNPFEDSA-N 0 0 447.602 -0.082 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)nn1 ZINC000551620605 1073096868 /nfs/dbraw/zinc/09/68/68/1073096868.db2.gz ZWYUIHYGPSLOSK-UHFFFAOYSA-N 0 0 429.481 -0.048 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000551620650 1073096859 /nfs/dbraw/zinc/09/68/59/1073096859.db2.gz MTPWUALYFUZPJO-LLVKDONJSA-N 0 0 430.458 -0.574 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000551620651 1073096737 /nfs/dbraw/zinc/09/67/37/1073096737.db2.gz MTPWUALYFUZPJO-NSHDSACASA-N 0 0 430.458 -0.574 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCN2C(=O)CNC2=O)c(OC)c1 ZINC000551626759 1073096356 /nfs/dbraw/zinc/09/63/56/1073096356.db2.gz PBYXEYMPGYPPHZ-UHFFFAOYSA-N 0 0 434.496 -0.323 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)cc1 ZINC000551628064 1073096421 /nfs/dbraw/zinc/09/64/21/1073096421.db2.gz HSOVGMFQWMJWSO-GOSISDBHSA-N 0 0 438.550 -0.412 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)cc1 ZINC000551628066 1073096402 /nfs/dbraw/zinc/09/64/02/1073096402.db2.gz HSOVGMFQWMJWSO-SFHVURJKSA-N 0 0 438.550 -0.412 20 0 IBADRN O=C(NCc1cccc2c1OCO2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000551629187 1073096590 /nfs/dbraw/zinc/09/65/90/1073096590.db2.gz AESKYGJEGSSXRY-HNNXBMFYSA-N 0 0 439.490 -0.316 20 0 IBADRN O=C(NCc1cccc2c1OCO2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000551629190 1073096489 /nfs/dbraw/zinc/09/64/89/1073096489.db2.gz AESKYGJEGSSXRY-OAHLLOKOSA-N 0 0 439.490 -0.316 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccc(C)cc2)N2CCOCC2)CC1 ZINC000551630557 1073096750 /nfs/dbraw/zinc/09/67/50/1073096750.db2.gz YALORMYOYJLFCM-IBGZPJMESA-N 0 0 432.521 -0.198 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccc(C)cc2)N2CCOCC2)CC1 ZINC000551630558 1073096917 /nfs/dbraw/zinc/09/69/17/1073096917.db2.gz YALORMYOYJLFCM-LJQANCHMSA-N 0 0 432.521 -0.198 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)c1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000551632153 1073097208 /nfs/dbraw/zinc/09/72/08/1073097208.db2.gz RDVFSKZRVLEJND-UHFFFAOYSA-N 0 0 442.520 0.307 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(CC)c1)S(C)(=O)=O ZINC000551634494 1073098451 /nfs/dbraw/zinc/09/84/51/1073098451.db2.gz IIMJHTKKPYHNJP-ZBFHGGJFSA-N 0 0 442.542 -0.873 20 0 IBADRN CCN(CCCNC(=O)C(=O)N(C)Cc1ccc(S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000551634706 1073097728 /nfs/dbraw/zinc/09/77/28/1073097728.db2.gz JYWUGOMZMNCIJZ-UHFFFAOYSA-N 0 0 433.552 -0.164 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@@H](c2nc(N(C)C)no2)C1)S(C)(=O)=O ZINC000551634985 1073097883 /nfs/dbraw/zinc/09/78/83/1073097883.db2.gz FCJYHPMSOUYMOB-CYBMUJFWSA-N 0 0 430.531 -0.371 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@H](c2nc(N(C)C)no2)C1)S(C)(=O)=O ZINC000551634986 1073097696 /nfs/dbraw/zinc/09/76/96/1073097696.db2.gz FCJYHPMSOUYMOB-ZDUSSCGKSA-N 0 0 430.531 -0.371 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@H]1CN1C[C@@H](C)O[C@@H](C)C1)S(C)(=O)=O ZINC000551635032 1073097593 /nfs/dbraw/zinc/09/75/93/1073097593.db2.gz PFQSTCJDMUXROE-BBWFWOEESA-N 0 0 432.587 -0.126 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@@H]1CN1C[C@@H](C)O[C@H](C)C1)S(C)(=O)=O ZINC000551635041 1073097895 /nfs/dbraw/zinc/09/78/95/1073097895.db2.gz PFQSTCJDMUXROE-BRWVUGGUSA-N 0 0 432.587 -0.126 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@@H]1CN1C[C@@H](C)O[C@@H](C)C1)S(C)(=O)=O ZINC000551635043 1073097561 /nfs/dbraw/zinc/09/75/61/1073097561.db2.gz PFQSTCJDMUXROE-IXDOHACOSA-N 0 0 432.587 -0.126 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@@H]1CN1C[C@H](C)O[C@@H](C)C1)S(C)(=O)=O ZINC000551635045 1073097792 /nfs/dbraw/zinc/09/77/92/1073097792.db2.gz PFQSTCJDMUXROE-YESZJQIVSA-N 0 0 432.587 -0.126 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)n1 ZINC000551635063 1073097869 /nfs/dbraw/zinc/09/78/69/1073097869.db2.gz PQAMSFPPTDUPDO-UHFFFAOYSA-N 0 0 446.533 -0.346 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(C(=O)c2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000551635137 1073097742 /nfs/dbraw/zinc/09/77/42/1073097742.db2.gz QUHREXAPNQJROL-UHFFFAOYSA-N 0 0 442.513 -0.102 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1)S(C)(=O)=O ZINC000551635212 1073097254 /nfs/dbraw/zinc/09/72/54/1073097254.db2.gz IUTNVFRKPGBEMK-UHFFFAOYSA-N 0 0 425.511 -0.846 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)NCCCN(CC)S(C)(=O)=O)CC2)ncn1 ZINC000551635306 1073097382 /nfs/dbraw/zinc/09/73/82/1073097382.db2.gz JRVKFHZCIMVHOA-UHFFFAOYSA-N 0 0 440.570 -0.088 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O)S(C)(=O)=O ZINC000551635707 1073098283 /nfs/dbraw/zinc/09/82/83/1073098283.db2.gz PDQQAPWRWGIADV-HNNXBMFYSA-N 0 0 425.511 -0.519 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O)S(C)(=O)=O ZINC000551635712 1073098300 /nfs/dbraw/zinc/09/83/00/1073098300.db2.gz PDQQAPWRWGIADV-OAHLLOKOSA-N 0 0 425.511 -0.519 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NCCCN(CC)S(C)(=O)=O)CC2)n1 ZINC000551635738 1073097936 /nfs/dbraw/zinc/09/79/36/1073097936.db2.gz QFCIHXWVZMJKHH-UHFFFAOYSA-N 0 0 441.554 -0.083 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000551636126 1073097629 /nfs/dbraw/zinc/09/76/29/1073097629.db2.gz YXEJTCHNOCWPDG-UHFFFAOYSA-N 0 0 426.481 -0.623 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)nn1 ZINC000551637227 1073098269 /nfs/dbraw/zinc/09/82/69/1073098269.db2.gz HSQGYVNSIGVLSD-UHFFFAOYSA-N 0 0 436.494 -0.078 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)nn1 ZINC000551638149 1073098042 /nfs/dbraw/zinc/09/80/42/1073098042.db2.gz AJBLWOOHHQXGRS-UHFFFAOYSA-N 0 0 426.455 -0.737 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC000551638661 1073098221 /nfs/dbraw/zinc/09/82/21/1073098221.db2.gz JSLFGHQJWYYNGW-UHFFFAOYSA-N 0 0 446.504 -0.680 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)NC[C@H]1CS(=O)(=O)CCO1)C2 ZINC000551639351 1073098116 /nfs/dbraw/zinc/09/81/16/1073098116.db2.gz BJOIFGQUBDJTJN-AWEZNQCLSA-N 0 0 431.536 -0.182 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)NC[C@@H]1CS(=O)(=O)CCO1)C2 ZINC000551639352 1073098164 /nfs/dbraw/zinc/09/81/64/1073098164.db2.gz BJOIFGQUBDJTJN-CQSZACIVSA-N 0 0 431.536 -0.182 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3cn4ccc(C)cc4n3)CC2)no1 ZINC000551640433 1073098057 /nfs/dbraw/zinc/09/80/57/1073098057.db2.gz KKMJJRRBRDVRAZ-UHFFFAOYSA-N 0 0 439.476 0.338 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)nn1 ZINC000551640673 1073098287 /nfs/dbraw/zinc/09/82/87/1073098287.db2.gz SRAXGDFXEDNEDI-UHFFFAOYSA-N 0 0 429.481 -0.292 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)nn1 ZINC000551640819 1073098317 /nfs/dbraw/zinc/09/83/17/1073098317.db2.gz UFZQZHBCSSRMMT-UHFFFAOYSA-N 0 0 441.492 -0.019 20 0 IBADRN O=C(COc1ccc(CCNC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1)NC1CC1 ZINC000551641894 1073098253 /nfs/dbraw/zinc/09/82/53/1073098253.db2.gz AOFPEMFBSKGGSW-QZTJIDSGSA-N 0 0 428.489 -0.260 20 0 IBADRN O=C(COc1ccc(CCNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1)NC1CC1 ZINC000551642606 1073098536 /nfs/dbraw/zinc/09/85/36/1073098536.db2.gz WHPSRWDOXXXYEB-GOSISDBHSA-N 0 0 430.505 -0.454 20 0 IBADRN O=C(COc1ccc(CCNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1)NC1CC1 ZINC000551642607 1073098467 /nfs/dbraw/zinc/09/84/67/1073098467.db2.gz WHPSRWDOXXXYEB-SFHVURJKSA-N 0 0 430.505 -0.454 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccccc2-n2ccnc2)CC1 ZINC000551643039 1073098676 /nfs/dbraw/zinc/09/86/76/1073098676.db2.gz IKLAKVOELOOKNF-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)nc2c1 ZINC000551643140 1073098560 /nfs/dbraw/zinc/09/85/60/1073098560.db2.gz LFXJJLSUXDDPFC-UHFFFAOYSA-N 0 0 425.536 -0.246 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N[C@H]1CCC(=O)N[C@@H]1C1CC1 ZINC000551643404 1073098073 /nfs/dbraw/zinc/09/80/73/1073098073.db2.gz CVIHDOGQVPUKFI-DOTOQJQBSA-N 0 0 429.543 -0.616 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N[C@@H]1CCC(=O)N[C@@H]1C1CC1 ZINC000551643405 1073098330 /nfs/dbraw/zinc/09/83/30/1073098330.db2.gz CVIHDOGQVPUKFI-NVXWUHKLSA-N 0 0 429.543 -0.616 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N[C@H]1CCC(=O)N[C@H]1C1CC1 ZINC000551643406 1073098739 /nfs/dbraw/zinc/09/87/39/1073098739.db2.gz CVIHDOGQVPUKFI-RDJZCZTQSA-N 0 0 429.543 -0.616 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N[C@@H]1CCC(=O)N[C@H]1C1CC1 ZINC000551643407 1073098583 /nfs/dbraw/zinc/09/85/83/1073098583.db2.gz CVIHDOGQVPUKFI-WBVHZDCISA-N 0 0 429.543 -0.616 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)nc2c1 ZINC000551644159 1073098749 /nfs/dbraw/zinc/09/87/49/1073098749.db2.gz YABYXQXMVCJMFY-UHFFFAOYSA-N 0 0 428.493 -0.738 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)NCCNS(=O)(=O)NC(C)C)C2 ZINC000551648002 1073098593 /nfs/dbraw/zinc/09/85/93/1073098593.db2.gz DVILIDNFCWCQCL-UHFFFAOYSA-N 0 0 433.556 -0.505 20 0 IBADRN O=C(NCc1ccnc(OC(F)F)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000551660326 1073098762 /nfs/dbraw/zinc/09/87/62/1073098762.db2.gz VTJASBQVTMEFHI-UHFFFAOYSA-N 0 0 427.408 -0.104 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2)n1)N1CCOCC1 ZINC000551661691 1073322402 /nfs/dbraw/zinc/32/24/02/1073322402.db2.gz GVTSLDIHAABXDD-HNNXBMFYSA-N 0 0 444.467 0.215 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2)n1)N1CCOCC1 ZINC000551661698 1073322414 /nfs/dbraw/zinc/32/24/14/1073322414.db2.gz GVTSLDIHAABXDD-OAHLLOKOSA-N 0 0 444.467 0.215 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)Cn1cc(S(N)(=O)=O)cn1)C2 ZINC000551664480 1073098616 /nfs/dbraw/zinc/09/86/16/1073098616.db2.gz OMFQHBBKIHEHEL-UHFFFAOYSA-N 0 0 427.508 -0.634 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4ccccc4)CC3)cnc2n(C)c1=O ZINC000551677676 1073099466 /nfs/dbraw/zinc/09/94/66/1073099466.db2.gz BKEPRJRMSLVTRY-UHFFFAOYSA-N 0 0 436.472 -0.085 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)c1 ZINC000551695847 1073099965 /nfs/dbraw/zinc/09/99/65/1073099965.db2.gz KGXOZCXLUAXWLH-UHFFFAOYSA-N 0 0 449.489 -0.155 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1ccc(-n2cncn2)cc1 ZINC000551696368 1073099819 /nfs/dbraw/zinc/09/98/19/1073099819.db2.gz JXBUSSUFNPSPOS-INIZCTEOSA-N 0 0 441.492 -0.497 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1ccc(-n2cncn2)cc1 ZINC000551696369 1073099866 /nfs/dbraw/zinc/09/98/66/1073099866.db2.gz JXBUSSUFNPSPOS-MRXNPFEDSA-N 0 0 441.492 -0.497 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000551699786 1073100048 /nfs/dbraw/zinc/10/00/48/1073100048.db2.gz JOYGPJGSMABLRQ-DOMZBBRYSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000551699787 1073099934 /nfs/dbraw/zinc/09/99/34/1073099934.db2.gz JOYGPJGSMABLRQ-IUODEOHRSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000551699788 1073100062 /nfs/dbraw/zinc/10/00/62/1073100062.db2.gz JOYGPJGSMABLRQ-SWLSCSKDSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000551699789 1073100069 /nfs/dbraw/zinc/10/00/69/1073100069.db2.gz JOYGPJGSMABLRQ-WFASDCNBSA-N 0 0 446.551 -0.688 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C2CCN(S(N)(=O)=O)CC2)cc1OC ZINC000551705148 1073100458 /nfs/dbraw/zinc/10/04/58/1073100458.db2.gz PTGICKONIKIBFJ-UHFFFAOYSA-N 0 0 428.511 -0.258 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000551706726 1073100437 /nfs/dbraw/zinc/10/04/37/1073100437.db2.gz XLJNYEZZXIDJBC-JTQLQIEISA-N 0 0 432.886 -0.450 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000551707915 1073101126 /nfs/dbraw/zinc/10/11/26/1073101126.db2.gz CRFLYGIHQCVSGR-UHFFFAOYSA-N 0 0 447.467 -0.947 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)nn1 ZINC000551709567 1073346566 /nfs/dbraw/zinc/34/65/66/1073346566.db2.gz CNHVCIGQQDKBGF-UHFFFAOYSA-N 0 0 440.526 0.077 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C)c(C)c3)CC2)nn1 ZINC000551709840 1073101117 /nfs/dbraw/zinc/10/11/17/1073101117.db2.gz RDQLFYSWANCEPO-UHFFFAOYSA-N 0 0 433.490 0.574 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(Cl)cc(C(N)=O)c2OC)CC1 ZINC000551710027 1073100988 /nfs/dbraw/zinc/10/09/88/1073100988.db2.gz UQRZIDODRXRFQP-UHFFFAOYSA-N 0 0 425.873 -0.334 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc(Cl)cc1C(N)=O ZINC000551711753 1073101573 /nfs/dbraw/zinc/10/15/73/1073101573.db2.gz MJUQPSKDNCKVMH-UHFFFAOYSA-N 0 0 432.886 -0.120 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2c1=O ZINC000551713011 1073101612 /nfs/dbraw/zinc/10/16/12/1073101612.db2.gz VCUKTBBYLRNBNN-UHFFFAOYSA-N 0 0 439.472 -0.230 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000551713123 1073101557 /nfs/dbraw/zinc/10/15/57/1073101557.db2.gz YOKIWXZCXMPPBW-UHFFFAOYSA-N 0 0 433.440 -0.755 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1 ZINC000551715956 1073101594 /nfs/dbraw/zinc/10/15/94/1073101594.db2.gz MQKPHNPXYQYNPF-CYBMUJFWSA-N 0 0 433.552 -0.320 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1 ZINC000551715957 1073101632 /nfs/dbraw/zinc/10/16/32/1073101632.db2.gz MQKPHNPXYQYNPF-ZDUSSCGKSA-N 0 0 433.552 -0.320 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2ccc(OC)nn2)CC1 ZINC000551717410 1073318437 /nfs/dbraw/zinc/31/84/37/1073318437.db2.gz MHXOQPWBLFQOHQ-UHFFFAOYSA-N 0 0 434.478 -0.319 20 0 IBADRN COc1c(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc(Cl)cc1C(N)=O ZINC000551717720 1073101623 /nfs/dbraw/zinc/10/16/23/1073101623.db2.gz YSBKXXXKTSLPGO-JTQLQIEISA-N 0 0 432.886 -0.074 20 0 IBADRN COc1c(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc(Cl)cc1C(N)=O ZINC000551717721 1073101499 /nfs/dbraw/zinc/10/14/99/1073101499.db2.gz YSBKXXXKTSLPGO-SNVBAGLBSA-N 0 0 432.886 -0.074 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000551717880 1073102067 /nfs/dbraw/zinc/10/20/67/1073102067.db2.gz GVZAEIDEYYRKKC-UHFFFAOYSA-N 0 0 430.440 -0.049 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)nn1 ZINC000551718079 1073346579 /nfs/dbraw/zinc/34/65/79/1073346579.db2.gz NWJDKOJXPIHFMQ-UHFFFAOYSA-N 0 0 426.480 -0.278 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2nc(C(F)(F)F)cs2)CC1 ZINC000551719924 1073102114 /nfs/dbraw/zinc/10/21/14/1073102114.db2.gz ICZLIQQQGJJMOZ-UHFFFAOYSA-N 0 0 447.395 -0.080 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(CC(=O)N1CCN(CC(=O)N(C)C)CC1)C2 ZINC000551722553 1073102123 /nfs/dbraw/zinc/10/21/23/1073102123.db2.gz KIOJQOVOKQWBMK-UHFFFAOYSA-N 0 0 437.566 -0.815 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)nn1 ZINC000551722991 1073102200 /nfs/dbraw/zinc/10/22/00/1073102200.db2.gz BJMMIDZJGQRUIE-UHFFFAOYSA-N 0 0 434.478 0.130 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2c1=O ZINC000551723252 1073102146 /nfs/dbraw/zinc/10/21/46/1073102146.db2.gz YZLOBAYYAMYJTD-UHFFFAOYSA-N 0 0 425.445 -0.574 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000551724864 1073345950 /nfs/dbraw/zinc/34/59/50/1073345950.db2.gz WZYDUGMTSGYVSK-UHFFFAOYSA-N 0 0 438.466 -0.048 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@H]1c1ncc[nH]1 ZINC000551725717 1073101070 /nfs/dbraw/zinc/10/10/70/1073101070.db2.gz RJFUSBASTKEYJK-INIZCTEOSA-N 0 0 441.492 -0.189 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@@H]1c1ncc[nH]1 ZINC000551725718 1073101037 /nfs/dbraw/zinc/10/10/37/1073101037.db2.gz RJFUSBASTKEYJK-MRXNPFEDSA-N 0 0 441.492 -0.189 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cc(C(C)C)nn2-c2ncccn2)nn1 ZINC000551729758 1073102813 /nfs/dbraw/zinc/10/28/13/1073102813.db2.gz YEGNMDMRMWRBLZ-UHFFFAOYSA-N 0 0 427.425 -0.081 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc(Cl)cc1C(N)=O ZINC000551729996 1073102819 /nfs/dbraw/zinc/10/28/19/1073102819.db2.gz DEMYYDZOTAQQDV-UHFFFAOYSA-N 0 0 434.840 -0.005 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)nn1 ZINC000551732778 1073102706 /nfs/dbraw/zinc/10/27/06/1073102706.db2.gz XBJBDISFKMCESO-UHFFFAOYSA-N 0 0 425.409 -0.192 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(OC(C)C)nn2)CC1 ZINC000551732978 1073102823 /nfs/dbraw/zinc/10/28/23/1073102823.db2.gz MUYLZANUJQVYPZ-HNNXBMFYSA-N 0 0 436.513 -0.112 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(OC(C)C)nn2)CC1 ZINC000551732979 1073102639 /nfs/dbraw/zinc/10/26/39/1073102639.db2.gz MUYLZANUJQVYPZ-OAHLLOKOSA-N 0 0 436.513 -0.112 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)nn1 ZINC000551733089 1073319145 /nfs/dbraw/zinc/31/91/45/1073319145.db2.gz RFSNYHOPAXTLKB-HNNXBMFYSA-N 0 0 434.497 -0.406 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)nn1 ZINC000551733090 1073318987 /nfs/dbraw/zinc/31/89/87/1073318987.db2.gz RFSNYHOPAXTLKB-OAHLLOKOSA-N 0 0 434.497 -0.406 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(OC)c(N3CCCC3=O)c2)nn1 ZINC000551734687 1073103253 /nfs/dbraw/zinc/10/32/53/1073103253.db2.gz KILIYCXDLPWXKQ-UHFFFAOYSA-N 0 0 430.421 -0.045 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)nn1 ZINC000551735009 1073103359 /nfs/dbraw/zinc/10/33/59/1073103359.db2.gz QGNDSBTUWBZWNY-UHFFFAOYSA-N 0 0 436.450 -0.641 20 0 IBADRN Cc1n[nH]cc1C1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000551736493 1073349098 /nfs/dbraw/zinc/34/90/98/1073349098.db2.gz GUHGUABONXXGEE-UHFFFAOYSA-N 0 0 429.481 0.118 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)nn1 ZINC000551737286 1073103372 /nfs/dbraw/zinc/10/33/72/1073103372.db2.gz GAZCZJHKNUZACN-UHFFFAOYSA-N 0 0 444.448 -0.400 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc(Cl)c2S(C)(=O)=O)nn1 ZINC000551737878 1073103238 /nfs/dbraw/zinc/10/32/38/1073103238.db2.gz DELKBSOUXCFMSA-UHFFFAOYSA-N 0 0 429.842 -0.124 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OC(C)C)nn2)C1 ZINC000551740182 1073103820 /nfs/dbraw/zinc/10/38/20/1073103820.db2.gz ZEYJXAWHYPXRDA-AWEZNQCLSA-N 0 0 443.526 0.101 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OC(C)C)nn2)C1 ZINC000551740183 1073103760 /nfs/dbraw/zinc/10/37/60/1073103760.db2.gz ZEYJXAWHYPXRDA-CQSZACIVSA-N 0 0 443.526 0.101 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NC[C@@H](C)S(C)(=O)=O)nc2n(CC)c1=O ZINC000551741961 1073351988 /nfs/dbraw/zinc/35/19/88/1073351988.db2.gz TXPGSWDTNNKQAL-GFCCVEGCSA-N 0 0 425.511 0.506 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NC[C@H](C)S(C)(=O)=O)nc2n(CC)c1=O ZINC000551741962 1073102172 /nfs/dbraw/zinc/10/21/72/1073102172.db2.gz TXPGSWDTNNKQAL-LBPRGKRZSA-N 0 0 425.511 0.506 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)nn1 ZINC000551744158 1073346447 /nfs/dbraw/zinc/34/64/47/1073346447.db2.gz QEIJUTRBPQKZBH-UHFFFAOYSA-N 0 0 431.833 0.311 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(Cl)cc(C(N)=O)c2OC)C1 ZINC000551745749 1073102681 /nfs/dbraw/zinc/10/26/81/1073102681.db2.gz QBWDMUCDLLTFNM-JTQLQIEISA-N 0 0 432.886 -0.074 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(Cl)cc(C(N)=O)c2OC)C1 ZINC000551745750 1073102629 /nfs/dbraw/zinc/10/26/29/1073102629.db2.gz QBWDMUCDLLTFNM-SNVBAGLBSA-N 0 0 432.886 -0.074 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCCN(c3ccc(F)c(F)c3)CC2)n1 ZINC000551745965 1073305290 /nfs/dbraw/zinc/30/52/90/1073305290.db2.gz RNHJEUCXMKGIJA-UHFFFAOYSA-N 0 0 435.435 0.322 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)n(C)n1 ZINC000551746526 1073102737 /nfs/dbraw/zinc/10/27/37/1073102737.db2.gz WZHHHDVNQFATIP-GOSISDBHSA-N 0 0 427.461 -0.002 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)n(C)n1 ZINC000551746527 1073102827 /nfs/dbraw/zinc/10/28/27/1073102827.db2.gz WZHHHDVNQFATIP-SFHVURJKSA-N 0 0 427.461 -0.002 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(CCn1cnc3c1c(=O)n(C)c(=O)n3C)C2 ZINC000551748596 1073102763 /nfs/dbraw/zinc/10/27/63/1073102763.db2.gz XWEUWPCIYBVPAO-UHFFFAOYSA-N 0 0 446.533 -0.258 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)C2 ZINC000551749613 1073104805 /nfs/dbraw/zinc/10/48/05/1073104805.db2.gz JJVJAPBKCNKEDU-UHFFFAOYSA-N 0 0 446.595 -0.307 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000551750157 1073104801 /nfs/dbraw/zinc/10/48/01/1073104801.db2.gz OFUJJBGRHUGNTC-DZGCQCFKSA-N 0 0 429.564 -0.013 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000551750163 1073104881 /nfs/dbraw/zinc/10/48/81/1073104881.db2.gz OFUJJBGRHUGNTC-HIFRSBDPSA-N 0 0 429.564 -0.013 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000551750164 1073104795 /nfs/dbraw/zinc/10/47/95/1073104795.db2.gz OFUJJBGRHUGNTC-UKRRQHHQSA-N 0 0 429.564 -0.013 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000551750165 1073104838 /nfs/dbraw/zinc/10/48/38/1073104838.db2.gz OFUJJBGRHUGNTC-ZFWWWQNUSA-N 0 0 429.564 -0.013 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](OC)C(=O)OC ZINC000551750450 1073104840 /nfs/dbraw/zinc/10/48/40/1073104840.db2.gz QZYNSVDAIHHEKM-AWEZNQCLSA-N 0 0 431.467 -0.422 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](OC)C(=O)OC ZINC000551750451 1073104822 /nfs/dbraw/zinc/10/48/22/1073104822.db2.gz QZYNSVDAIHHEKM-CQSZACIVSA-N 0 0 431.467 -0.422 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3F)CCNC4=O)CC2)n1 ZINC000551751677 1073104864 /nfs/dbraw/zinc/10/48/64/1073104864.db2.gz HYSDXSSNPKJLFE-UHFFFAOYSA-N 0 0 446.439 -0.076 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)cc(Cl)cc1C(N)=O ZINC000551751682 1073104814 /nfs/dbraw/zinc/10/48/14/1073104814.db2.gz IGJANYLBZNCDDY-UHFFFAOYSA-N 0 0 432.886 -0.087 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)c1 ZINC000551755296 1073103714 /nfs/dbraw/zinc/10/37/14/1073103714.db2.gz XFLFYAIOYXJPNK-UHFFFAOYSA-N 0 0 443.460 -0.630 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC000551757178 1073103841 /nfs/dbraw/zinc/10/38/41/1073103841.db2.gz BQTOHXJWQCQRKM-UHFFFAOYSA-N 0 0 429.355 -0.627 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@@]2(CCCOC2)O1 ZINC000551757614 1073103836 /nfs/dbraw/zinc/10/38/36/1073103836.db2.gz VDLMJDVXMIVNTN-LHSJRXKWSA-N 0 0 432.477 -0.021 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@]2(CCCOC2)O1 ZINC000551757615 1073103821 /nfs/dbraw/zinc/10/38/21/1073103821.db2.gz VDLMJDVXMIVNTN-QKKBWIMNSA-N 0 0 432.477 -0.021 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@@]2(CCCOC2)O1 ZINC000551757616 1073103755 /nfs/dbraw/zinc/10/37/55/1073103755.db2.gz VDLMJDVXMIVNTN-SPLOXXLWSA-N 0 0 432.477 -0.021 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@]2(CCCOC2)O1 ZINC000551757617 1073103746 /nfs/dbraw/zinc/10/37/46/1073103746.db2.gz VDLMJDVXMIVNTN-SZNDQCEHSA-N 0 0 432.477 -0.021 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000551758081 1073104479 /nfs/dbraw/zinc/10/44/79/1073104479.db2.gz ULCMYXLDUNFVNV-UHFFFAOYSA-N 0 0 447.492 -0.722 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000551758194 1073103775 /nfs/dbraw/zinc/10/37/75/1073103775.db2.gz XTMDJISCRVTFQI-AWEZNQCLSA-N 0 0 433.509 -0.514 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000551758195 1073104359 /nfs/dbraw/zinc/10/43/59/1073104359.db2.gz XTMDJISCRVTFQI-CQSZACIVSA-N 0 0 433.509 -0.514 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCN([C@H](C(=O)OC(C)(C)C)C(C)C)C2=O)nn1 ZINC000551759531 1073346923 /nfs/dbraw/zinc/34/69/23/1073346923.db2.gz IROKIBCLVITBBM-DOMZBBRYSA-N 0 0 435.481 0.507 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCN([C@@H](C(=O)OC(C)(C)C)C(C)C)C2=O)nn1 ZINC000551759532 1073346892 /nfs/dbraw/zinc/34/68/92/1073346892.db2.gz IROKIBCLVITBBM-IUODEOHRSA-N 0 0 435.481 0.507 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCN([C@@H](C(=O)OC(C)(C)C)C(C)C)C2=O)nn1 ZINC000551759533 1073346929 /nfs/dbraw/zinc/34/69/29/1073346929.db2.gz IROKIBCLVITBBM-SWLSCSKDSA-N 0 0 435.481 0.507 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCN([C@H](C(=O)OC(C)(C)C)C(C)C)C2=O)nn1 ZINC000551759534 1073346793 /nfs/dbraw/zinc/34/67/93/1073346793.db2.gz IROKIBCLVITBBM-WFASDCNBSA-N 0 0 435.481 0.507 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000551759790 1073104461 /nfs/dbraw/zinc/10/44/61/1073104461.db2.gz XIEOIIPDWAWSFU-KMFMINBZSA-N 0 0 430.461 -0.018 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000551759791 1073104318 /nfs/dbraw/zinc/10/43/18/1073104318.db2.gz XIEOIIPDWAWSFU-LXZKKBNFSA-N 0 0 430.461 -0.018 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000551759792 1073104494 /nfs/dbraw/zinc/10/44/94/1073104494.db2.gz XIEOIIPDWAWSFU-QRTARXTBSA-N 0 0 430.461 -0.018 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000551759793 1073104407 /nfs/dbraw/zinc/10/44/07/1073104407.db2.gz XIEOIIPDWAWSFU-UNEWFSDZSA-N 0 0 430.461 -0.018 20 0 IBADRN COc1ccncc1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000551764192 1073104427 /nfs/dbraw/zinc/10/44/27/1073104427.db2.gz IBMRZIQIEJJXKG-UHFFFAOYSA-N 0 0 447.473 -0.534 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)cc1OCC(N)=O ZINC000551764306 1073104393 /nfs/dbraw/zinc/10/43/93/1073104393.db2.gz MKBCEPRFJFEIJD-UHFFFAOYSA-N 0 0 441.444 -0.123 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NCCn2c3ccccc3oc2=O)c1 ZINC000551765317 1073104441 /nfs/dbraw/zinc/10/44/41/1073104441.db2.gz MJVQPKRVWWKIEC-UHFFFAOYSA-N 0 0 439.428 -0.093 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(F)cc1C ZINC000551765858 1073104833 /nfs/dbraw/zinc/10/48/33/1073104833.db2.gz QETJBOBXOTWWES-UHFFFAOYSA-N 0 0 447.427 -0.393 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)nn1 ZINC000551767847 1073318767 /nfs/dbraw/zinc/31/87/67/1073318767.db2.gz UPJWQHHLSYYQRW-UHFFFAOYSA-N 0 0 438.466 -0.136 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)nn1 ZINC000551768735 1073346496 /nfs/dbraw/zinc/34/64/96/1073346496.db2.gz BTIAEASBQQQMEI-UHFFFAOYSA-N 0 0 432.868 0.542 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CCCC1 ZINC000551769087 1073105541 /nfs/dbraw/zinc/10/55/41/1073105541.db2.gz FDLLQULDKYDRKD-UHFFFAOYSA-N 0 0 431.493 -0.053 20 0 IBADRN COC(=O)[C@@H]1CC12CCN(C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)CC2 ZINC000551769275 1073104829 /nfs/dbraw/zinc/10/48/29/1073104829.db2.gz JIQIEWJLVKHIRK-HNNXBMFYSA-N 0 0 430.461 -0.016 20 0 IBADRN COC(=O)[C@H]1CC12CCN(C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)CC2 ZINC000551769276 1073104861 /nfs/dbraw/zinc/10/48/61/1073104861.db2.gz JIQIEWJLVKHIRK-OAHLLOKOSA-N 0 0 430.461 -0.016 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)nn1 ZINC000551769294 1073319052 /nfs/dbraw/zinc/31/90/52/1073319052.db2.gz KBDBMNDAQZSPAK-UHFFFAOYSA-N 0 0 441.492 0.452 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000551769427 1073104887 /nfs/dbraw/zinc/10/48/87/1073104887.db2.gz LKNVXPXHMPZGJV-UHFFFAOYSA-N 0 0 426.470 -0.114 20 0 IBADRN CN(Cc1ccccc1NC(=O)CCn1ncn(C)c1=O)C(=O)CCn1ncn(C)c1=O ZINC000551770385 1073105671 /nfs/dbraw/zinc/10/56/71/1073105671.db2.gz MMJCQOYFMFIRHH-UHFFFAOYSA-N 0 0 442.480 -0.446 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)cn1 ZINC000551777809 1073105618 /nfs/dbraw/zinc/10/56/18/1073105618.db2.gz RMTVEEMCPGENMH-UHFFFAOYSA-N 0 0 432.412 -0.804 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000551778419 1073105486 /nfs/dbraw/zinc/10/54/86/1073105486.db2.gz GUFKKEGLXAMBCB-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN O=C(Nc1ccccc1OC(F)F)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000551778529 1073105575 /nfs/dbraw/zinc/10/55/75/1073105575.db2.gz JHDQYRPHQLEPPO-UHFFFAOYSA-N 0 0 448.448 -0.052 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000551780924 1073106204 /nfs/dbraw/zinc/10/62/04/1073106204.db2.gz QWZBYGJRHVYAKY-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000551781727 1073106191 /nfs/dbraw/zinc/10/61/91/1073106191.db2.gz KCKXZPTWFHBBFE-UHFFFAOYSA-N 0 0 438.550 -0.484 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000551781751 1073106155 /nfs/dbraw/zinc/10/61/55/1073106155.db2.gz LAXXZHCPGSDNDN-UHFFFAOYSA-N 0 0 438.550 -0.530 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000551781763 1073106179 /nfs/dbraw/zinc/10/61/79/1073106179.db2.gz LOADRHGFMAJYFJ-KRWDZBQOSA-N 0 0 437.518 -0.303 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000551781869 1073106092 /nfs/dbraw/zinc/10/60/92/1073106092.db2.gz LOADRHGFMAJYFJ-QGZVFWFLSA-N 0 0 437.518 -0.303 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000551783618 1073106243 /nfs/dbraw/zinc/10/62/43/1073106243.db2.gz NHRGNLLTBBXVIZ-UHFFFAOYSA-N 0 0 431.536 -0.659 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000551783629 1073357356 /nfs/dbraw/zinc/35/73/56/1073357356.db2.gz NVTPMKOLZJHTSI-UHFFFAOYSA-N 0 0 438.535 -0.370 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3ccc(CS(C)(=O)=O)cc3)CC2)ncn1 ZINC000551784004 1073106265 /nfs/dbraw/zinc/10/62/65/1073106265.db2.gz SNXZWZKXIJVTLE-UHFFFAOYSA-N 0 0 447.517 -0.005 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCc3ccc(CS(C)(=O)=O)cc3)CC2)cn1 ZINC000551784191 1073106026 /nfs/dbraw/zinc/10/60/26/1073106026.db2.gz GYVQMCCYGPBZTQ-UHFFFAOYSA-N 0 0 433.534 -0.075 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H]2COCCO2)CC1 ZINC000551785082 1073106075 /nfs/dbraw/zinc/10/60/75/1073106075.db2.gz RPNHEWYYONOWAP-INIZCTEOSA-N 0 0 432.543 -0.829 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H]2COCCO2)CC1 ZINC000551785086 1073106149 /nfs/dbraw/zinc/10/61/49/1073106149.db2.gz RPNHEWYYONOWAP-MRXNPFEDSA-N 0 0 432.543 -0.829 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H]3CC[C@@H](CO)O3)cc2)CC1 ZINC000551797213 1073107424 /nfs/dbraw/zinc/10/74/24/1073107424.db2.gz KWZZHPAXSMZFBO-MOPGFXCFSA-N 0 0 432.521 -0.012 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](CO)O2)cc1S(=O)(=O)N(C)C ZINC000551797747 1073107391 /nfs/dbraw/zinc/10/73/91/1073107391.db2.gz XJAUEJBERNOXAK-KGLIPLIRSA-N 0 0 429.495 -0.070 20 0 IBADRN O=C(NC[C@H]1CC[C@@H](CO)O1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000551798245 1073107353 /nfs/dbraw/zinc/10/73/53/1073107353.db2.gz WHHSMJVKFWGTGA-CABCVRRESA-N 0 0 427.479 -0.698 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000551798259 1073107309 /nfs/dbraw/zinc/10/73/09/1073107309.db2.gz XMIUSAWVZMIBAT-OLZOCXBDSA-N 0 0 427.479 -0.270 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000551801299 1073106881 /nfs/dbraw/zinc/10/68/81/1073106881.db2.gz AUJHSQHTFLNRTC-UHFFFAOYSA-N 0 0 446.551 -0.795 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC3CCN(C(=O)COC)CC3)C2)nc1 ZINC000551801808 1073106795 /nfs/dbraw/zinc/10/67/95/1073106795.db2.gz LEENGDFCFKYMOD-UHFFFAOYSA-N 0 0 447.492 -0.683 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000551802358 1073106709 /nfs/dbraw/zinc/10/67/09/1073106709.db2.gz SNYVZABPNKBVGB-HNNXBMFYSA-N 0 0 432.477 -0.330 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000551802359 1073106905 /nfs/dbraw/zinc/10/69/05/1073106905.db2.gz SNYVZABPNKBVGB-OAHLLOKOSA-N 0 0 432.477 -0.330 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NC3CCN(C(=O)COC)CC3)CC2)n1 ZINC000551802420 1073106786 /nfs/dbraw/zinc/10/67/86/1073106786.db2.gz WBSCFACCNYNROZ-UHFFFAOYSA-N 0 0 448.524 -0.332 20 0 IBADRN O=C(CNC(=O)C(=O)NCc1nnc2n1CCCC2)N1CCN(c2ccccc2)CC1 ZINC000551806652 1073106913 /nfs/dbraw/zinc/10/69/13/1073106913.db2.gz VRYTZAJTSFMDDG-UHFFFAOYSA-N 0 0 425.493 -0.304 20 0 IBADRN CN(C[C@H](O)Cc1ccccc1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000551808431 1073108071 /nfs/dbraw/zinc/10/80/71/1073108071.db2.gz BZLILXKWUDXLHJ-GOSISDBHSA-N 0 0 429.477 -0.258 20 0 IBADRN CN(C[C@@H](O)Cc1ccccc1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000551808436 1073108056 /nfs/dbraw/zinc/10/80/56/1073108056.db2.gz BZLILXKWUDXLHJ-SFHVURJKSA-N 0 0 429.477 -0.258 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCc1nnc2n1CCCC2 ZINC000551808687 1073108089 /nfs/dbraw/zinc/10/80/89/1073108089.db2.gz OHPGWIXHZWNBLY-INIZCTEOSA-N 0 0 426.525 -0.048 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCc1nnc2n1CCCC2 ZINC000551808688 1073107928 /nfs/dbraw/zinc/10/79/28/1073107928.db2.gz OHPGWIXHZWNBLY-MRXNPFEDSA-N 0 0 426.525 -0.048 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000551808909 1073108063 /nfs/dbraw/zinc/10/80/63/1073108063.db2.gz DILRZVBWUXXBBF-UHFFFAOYSA-N 0 0 425.467 -0.347 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C(=O)Nc1ccc(CC(N)=O)cc1 ZINC000551809269 1073107949 /nfs/dbraw/zinc/10/79/49/1073107949.db2.gz HGZVMYHEGRJBLT-UHFFFAOYSA-N 0 0 434.474 -0.162 20 0 IBADRN CCC[C@H](C)C(=O)NCCNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000551816566 1073332572 /nfs/dbraw/zinc/33/25/72/1073332572.db2.gz NNNLMJMLOIDAEM-HNNXBMFYSA-N 0 0 435.529 0.288 20 0 IBADRN CCC[C@@H](C)C(=O)NCCNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000551816567 1073332226 /nfs/dbraw/zinc/33/22/26/1073332226.db2.gz NNNLMJMLOIDAEM-OAHLLOKOSA-N 0 0 435.529 0.288 20 0 IBADRN NC(=O)N[C@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000551824363 1073108000 /nfs/dbraw/zinc/10/80/00/1073108000.db2.gz CVYMOHHLRBNCHG-HNNXBMFYSA-N 0 0 425.511 -0.934 20 0 IBADRN NC(=O)N[C@@H]1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000551824364 1073108113 /nfs/dbraw/zinc/10/81/13/1073108113.db2.gz CVYMOHHLRBNCHG-OAHLLOKOSA-N 0 0 425.511 -0.934 20 0 IBADRN NC(=O)c1cnn2c1C[C@@H](CNC(=O)NCCN1CCN(c3ncccn3)CC1)CC2 ZINC000551824669 1073107905 /nfs/dbraw/zinc/10/79/05/1073107905.db2.gz BDZVZLVSCJAZKY-HNNXBMFYSA-N 0 0 427.513 -0.544 20 0 IBADRN NC(=O)c1cnn2c1C[C@H](CNC(=O)NCCN1CCN(c3ncccn3)CC1)CC2 ZINC000551824670 1073107940 /nfs/dbraw/zinc/10/79/40/1073107940.db2.gz BDZVZLVSCJAZKY-OAHLLOKOSA-N 0 0 427.513 -0.544 20 0 IBADRN Cc1c(CC(=O)N2CCN(C(=O)Cc3cnn(C)c3C)[C@@H](C(=O)N(C)C)C2)cnn1C ZINC000551826785 1073108503 /nfs/dbraw/zinc/10/85/03/1073108503.db2.gz JEWYKNJAQBIMNK-GOSISDBHSA-N 0 0 429.525 -0.317 20 0 IBADRN Cc1c(CC(=O)N2CCN(C(=O)Cc3cnn(C)c3C)[C@H](C(=O)N(C)C)C2)cnn1C ZINC000551826786 1073108641 /nfs/dbraw/zinc/10/86/41/1073108641.db2.gz JEWYKNJAQBIMNK-SFHVURJKSA-N 0 0 429.525 -0.317 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)Cc2ccccc2S(N)(=O)=O)cc1-n1cnnn1 ZINC000551830435 1073108517 /nfs/dbraw/zinc/10/85/17/1073108517.db2.gz RJEIJNLYRIBFAP-UHFFFAOYSA-N 0 0 445.461 -0.085 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C ZINC000551830689 1073108629 /nfs/dbraw/zinc/10/86/29/1073108629.db2.gz BMXZSMHDBCWPGA-AAEUAGOBSA-N 0 0 447.535 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C ZINC000551830748 1073108562 /nfs/dbraw/zinc/10/85/62/1073108562.db2.gz DFUJMTSUFJSBEA-GWCFXTLKSA-N 0 0 445.519 -0.234 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C ZINC000551831039 1073108636 /nfs/dbraw/zinc/10/86/36/1073108636.db2.gz ONVLFICPPJXIPG-WFASDCNBSA-N 0 0 439.490 -0.060 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000551832885 1073108667 /nfs/dbraw/zinc/10/86/67/1073108667.db2.gz GZSCDCSCQUAPRD-UHFFFAOYSA-N 0 0 428.497 -0.584 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CCN1CCOC ZINC000551833642 1073108692 /nfs/dbraw/zinc/10/86/92/1073108692.db2.gz FETVYHAKFFODTF-KRWDZBQOSA-N 0 0 436.557 -0.153 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CCN1CCOC ZINC000551833643 1073108584 /nfs/dbraw/zinc/10/85/84/1073108584.db2.gz FETVYHAKFFODTF-QGZVFWFLSA-N 0 0 436.557 -0.153 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000551833754 1073108606 /nfs/dbraw/zinc/10/86/06/1073108606.db2.gz SCXSBIYWNFTXJS-KRWDZBQOSA-N 0 0 434.541 -0.399 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000551833755 1073108685 /nfs/dbraw/zinc/10/86/85/1073108685.db2.gz SCXSBIYWNFTXJS-QGZVFWFLSA-N 0 0 434.541 -0.399 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCc1nccn1CC(F)(F)F ZINC000551833775 1073108576 /nfs/dbraw/zinc/10/85/76/1073108576.db2.gz TXHIYFODNHPSPL-UHFFFAOYSA-N 0 0 429.403 -0.037 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(C(=O)c2ccccc2)CC1 ZINC000551834043 1073109178 /nfs/dbraw/zinc/10/91/78/1073109178.db2.gz YFKAYVISGOAQDU-UHFFFAOYSA-N 0 0 440.504 -0.128 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000551834157 1073109269 /nfs/dbraw/zinc/10/92/69/1073109269.db2.gz NBBYTOLLWHRSSJ-GXTWGEPZSA-N 0 0 433.469 -0.209 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000551834158 1073109247 /nfs/dbraw/zinc/10/92/47/1073109247.db2.gz NBBYTOLLWHRSSJ-JSGCOSHPSA-N 0 0 433.469 -0.209 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000551834159 1073109233 /nfs/dbraw/zinc/10/92/33/1073109233.db2.gz NBBYTOLLWHRSSJ-OCCSQVGLSA-N 0 0 433.469 -0.209 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000551834160 1073109198 /nfs/dbraw/zinc/10/91/98/1073109198.db2.gz NBBYTOLLWHRSSJ-TZMCWYRMSA-N 0 0 433.469 -0.209 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cn1 ZINC000551834463 1073109240 /nfs/dbraw/zinc/10/92/40/1073109240.db2.gz SEYXPSKGQRXVDV-HNNXBMFYSA-N 0 0 433.538 -0.062 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cn1 ZINC000551834464 1073109188 /nfs/dbraw/zinc/10/91/88/1073109188.db2.gz SEYXPSKGQRXVDV-OAHLLOKOSA-N 0 0 433.538 -0.062 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000551834742 1073109201 /nfs/dbraw/zinc/10/92/01/1073109201.db2.gz WSRHRZUVLFEUSP-GFCCVEGCSA-N 0 0 445.567 -0.295 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000551834743 1073109169 /nfs/dbraw/zinc/10/91/69/1073109169.db2.gz WSRHRZUVLFEUSP-LBPRGKRZSA-N 0 0 445.567 -0.295 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)n[nH]1 ZINC000551834820 1073109184 /nfs/dbraw/zinc/10/91/84/1073109184.db2.gz ZGTVQYIOUBAJJO-UHFFFAOYSA-N 0 0 430.513 -0.296 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000551834822 1073109256 /nfs/dbraw/zinc/10/92/56/1073109256.db2.gz ZLZUFDJJAYWYNC-INIZCTEOSA-N 0 0 445.524 -0.162 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000551834823 1073109265 /nfs/dbraw/zinc/10/92/65/1073109265.db2.gz ZLZUFDJJAYWYNC-MRXNPFEDSA-N 0 0 445.524 -0.162 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1 ZINC000551834848 1073109225 /nfs/dbraw/zinc/10/92/25/1073109225.db2.gz ABTSHMAVFQLTQS-DZGCQCFKSA-N 0 0 427.527 -0.378 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1 ZINC000551834849 1073109262 /nfs/dbraw/zinc/10/92/62/1073109262.db2.gz ABTSHMAVFQLTQS-HIFRSBDPSA-N 0 0 427.527 -0.378 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1 ZINC000551834850 1073109251 /nfs/dbraw/zinc/10/92/51/1073109251.db2.gz ABTSHMAVFQLTQS-UKRRQHHQSA-N 0 0 427.527 -0.378 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1 ZINC000551834851 1073109165 /nfs/dbraw/zinc/10/91/65/1073109165.db2.gz ABTSHMAVFQLTQS-ZFWWWQNUSA-N 0 0 427.527 -0.378 20 0 IBADRN CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000551834858 1073109833 /nfs/dbraw/zinc/10/98/33/1073109833.db2.gz AIYXTRNHTCBZER-UHFFFAOYSA-N 0 0 449.533 -0.051 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000551834903 1073109779 /nfs/dbraw/zinc/10/97/79/1073109779.db2.gz BUDKGDOWNOTDGA-LLVKDONJSA-N 0 0 432.403 -0.833 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000551834904 1073109800 /nfs/dbraw/zinc/10/98/00/1073109800.db2.gz BUDKGDOWNOTDGA-NSHDSACASA-N 0 0 432.403 -0.833 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000551834959 1073109775 /nfs/dbraw/zinc/10/97/75/1073109775.db2.gz DTFBLUVPGDXZOU-UHFFFAOYSA-N 0 0 432.460 -0.835 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)n1 ZINC000551835198 1073109868 /nfs/dbraw/zinc/10/98/68/1073109868.db2.gz LWBRENOCCKWCQC-UHFFFAOYSA-N 0 0 428.497 -0.665 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCC(Oc2cnccn2)CC1 ZINC000551835237 1073109838 /nfs/dbraw/zinc/10/98/38/1073109838.db2.gz NETLCWAGLSTMDO-UHFFFAOYSA-N 0 0 429.481 -0.253 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)cs1 ZINC000551835904 1073109802 /nfs/dbraw/zinc/10/98/02/1073109802.db2.gz AIVYOKOFGYUDFU-UHFFFAOYSA-N 0 0 447.565 -0.003 20 0 IBADRN O=C(NCc1cccc2c1OCCCO2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000551839360 1073109794 /nfs/dbraw/zinc/10/97/94/1073109794.db2.gz HFUOWLHXTKCUNE-UHFFFAOYSA-N 0 0 430.461 -0.587 20 0 IBADRN Cc1nn(C)cc1[C@H](C)NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000551840721 1073109816 /nfs/dbraw/zinc/10/98/16/1073109816.db2.gz XVCBJHYQDHCQCI-AWEZNQCLSA-N 0 0 428.497 -0.449 20 0 IBADRN Cc1nn(C)cc1[C@@H](C)NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000551840722 1073109895 /nfs/dbraw/zinc/10/98/95/1073109895.db2.gz XVCBJHYQDHCQCI-CQSZACIVSA-N 0 0 428.497 -0.449 20 0 IBADRN O=C(NCCn1cccn1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000551841231 1073109865 /nfs/dbraw/zinc/10/98/65/1073109865.db2.gz NXUIXYLBOJHLDH-UHFFFAOYSA-N 0 0 431.478 -0.591 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC3CCCC3)CC2)o1 ZINC000551844085 1073110412 /nfs/dbraw/zinc/11/04/12/1073110412.db2.gz IXQRRYGPXDZNKX-UHFFFAOYSA-N 0 0 442.494 -0.713 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCCOCCc2ccccc2)CC1 ZINC000551849463 1073110348 /nfs/dbraw/zinc/11/03/48/1073110348.db2.gz XEGZJIVKJIABQS-UHFFFAOYSA-N 0 0 446.548 -0.245 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCCn3ccnc3)CC2)cn1C ZINC000551851005 1073110468 /nfs/dbraw/zinc/11/04/68/1073110468.db2.gz AYANMOOLTDSVGZ-UHFFFAOYSA-N 0 0 437.526 -0.645 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccccc1-n1ccnc1 ZINC000551851496 1073110499 /nfs/dbraw/zinc/11/04/99/1073110499.db2.gz UGZYPQOYCGCDFD-UHFFFAOYSA-N 0 0 437.547 -0.017 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2cccc3c2OCO3)CC1 ZINC000551851933 1073110510 /nfs/dbraw/zinc/11/05/10/1073110510.db2.gz JOPUWXMCQUYPCF-HNNXBMFYSA-N 0 0 434.493 -0.283 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2cccc3c2OCO3)CC1 ZINC000551851934 1073110505 /nfs/dbraw/zinc/11/05/05/1073110505.db2.gz JOPUWXMCQUYPCF-OAHLLOKOSA-N 0 0 434.493 -0.283 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)N2CCOCC2)cc1 ZINC000551852950 1073110397 /nfs/dbraw/zinc/11/03/97/1073110397.db2.gz GAUAPAKZQIPXOL-LEWJYISDSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)N2CCOCC2)cc1 ZINC000551852955 1073110390 /nfs/dbraw/zinc/11/03/90/1073110390.db2.gz GAUAPAKZQIPXOL-NHCUHLMSSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)N2CCOCC2)cc1 ZINC000551852957 1073110461 /nfs/dbraw/zinc/11/04/61/1073110461.db2.gz GAUAPAKZQIPXOL-RTWAWAEBSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)N2CCOCC2)cc1 ZINC000551852958 1073110375 /nfs/dbraw/zinc/11/03/75/1073110375.db2.gz GAUAPAKZQIPXOL-SFTDATJTSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc4c3OCO4)CC2)cn1C ZINC000551853347 1073110492 /nfs/dbraw/zinc/11/04/92/1073110492.db2.gz KOJTWLQUTJIFKT-UHFFFAOYSA-N 0 0 449.489 -0.393 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccccc2-n2ccnc2)CC1)N1CCOCC1 ZINC000551853555 1073110445 /nfs/dbraw/zinc/11/04/45/1073110445.db2.gz LHXWUSVFCCUIMT-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc3c2OCO3)C1 ZINC000551854291 1073110926 /nfs/dbraw/zinc/11/09/26/1073110926.db2.gz VGKKAIXMWBOTCO-HNNXBMFYSA-N 0 0 441.506 -0.070 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc3c2OCO3)C1 ZINC000551854294 1073110943 /nfs/dbraw/zinc/11/09/43/1073110943.db2.gz VGKKAIXMWBOTCO-OAHLLOKOSA-N 0 0 441.506 -0.070 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(c2cc(C3CC3)[nH]n2)CC1)S(C)(=O)=O ZINC000551857181 1073110779 /nfs/dbraw/zinc/11/07/79/1073110779.db2.gz HOOPHCKUENCGSL-UHFFFAOYSA-N 0 0 426.543 -0.277 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCCN(c2nc(C)ns2)CC1)S(C)(=O)=O ZINC000551857949 1073110961 /nfs/dbraw/zinc/11/09/61/1073110961.db2.gz HFUKQLSIZNIZQB-UHFFFAOYSA-N 0 0 432.572 -0.327 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC1CCN(c2cc(C)nc(C)n2)CC1)S(C)(=O)=O ZINC000551858448 1073110950 /nfs/dbraw/zinc/11/09/50/1073110950.db2.gz OOQCYBJSJUEXEW-UHFFFAOYSA-N 0 0 440.570 -0.034 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1)S(C)(=O)=O ZINC000551858559 1073110748 /nfs/dbraw/zinc/11/07/48/1073110748.db2.gz OZZVABPJCIBJFV-UHFFFAOYSA-N 0 0 434.456 -0.181 20 0 IBADRN CCN(CCCNC(=O)C(=O)N(C)C1CCN(c2cnccn2)CC1)S(C)(=O)=O ZINC000551858794 1073110840 /nfs/dbraw/zinc/11/08/40/1073110840.db2.gz UVAUEVCLMHHPDL-UHFFFAOYSA-N 0 0 426.543 -0.308 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)NCCCN(CC)S(C)(=O)=O)CC2)n1 ZINC000551858871 1073110753 /nfs/dbraw/zinc/11/07/53/1073110753.db2.gz WUZFXYKJLIQQNC-UHFFFAOYSA-N 0 0 446.599 -0.073 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccnc(C)n2)CC1 ZINC000551858916 1073110729 /nfs/dbraw/zinc/11/07/29/1073110729.db2.gz ATTQOJYEKKETSF-UHFFFAOYSA-N 0 0 426.543 -0.088 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(C(=O)c2cc(C)oc2C)CC1)S(C)(=O)=O ZINC000551858996 1073110935 /nfs/dbraw/zinc/11/09/35/1073110935.db2.gz XNWABVBJGGCHOL-UHFFFAOYSA-N 0 0 442.538 -0.031 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCCc1cccc(C(=O)N(C)C)c1)S(C)(=O)=O ZINC000551859049 1073111506 /nfs/dbraw/zinc/11/15/06/1073111506.db2.gz ZARWHBFPHLBTHS-UHFFFAOYSA-N 0 0 426.539 -0.165 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC(C(=O)Nc2nncs2)CC1)S(C)(=O)=O ZINC000551859099 1073111562 /nfs/dbraw/zinc/11/15/62/1073111562.db2.gz CQBCVHOVCPMRDY-UHFFFAOYSA-N 0 0 446.555 -0.497 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NCCCN(CC)S(C)(=O)=O)CC1 ZINC000551859493 1073110905 /nfs/dbraw/zinc/11/09/05/1073110905.db2.gz LLSDQVMSKSSIQO-UHFFFAOYSA-N 0 0 447.602 -0.823 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O)S(C)(=O)=O ZINC000551859517 1073110832 /nfs/dbraw/zinc/11/08/32/1073110832.db2.gz MHYFOSTXJLSRNE-INIZCTEOSA-N 0 0 439.538 -0.523 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O)S(C)(=O)=O ZINC000551859518 1073110902 /nfs/dbraw/zinc/11/09/02/1073110902.db2.gz MHYFOSTXJLSRNE-MRXNPFEDSA-N 0 0 439.538 -0.523 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1nc2cc(OC)ccc2n1C)S(C)(=O)=O ZINC000551859638 1073110827 /nfs/dbraw/zinc/11/08/27/1073110827.db2.gz PTRFXRPHIAAEGX-UHFFFAOYSA-N 0 0 425.511 -0.014 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(C(=O)c2ccsc2)CC1)S(C)(=O)=O ZINC000551859697 1073110920 /nfs/dbraw/zinc/11/09/20/1073110920.db2.gz QVCJBVVKSWMONN-UHFFFAOYSA-N 0 0 430.552 -0.180 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(c2nc(C)cc(C)n2)CC1)S(C)(=O)=O ZINC000551859792 1073110814 /nfs/dbraw/zinc/11/08/14/1073110814.db2.gz TZCNYCUYMXMRRF-UHFFFAOYSA-N 0 0 426.543 -0.470 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(OC)c2)C1)S(C)(=O)=O ZINC000551859880 1073112085 /nfs/dbraw/zinc/11/20/85/1073112085.db2.gz WMQCGCXVKQXLQX-AWEZNQCLSA-N 0 0 440.522 -0.296 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(OC)c2)C1)S(C)(=O)=O ZINC000551859881 1073112009 /nfs/dbraw/zinc/11/20/09/1073112009.db2.gz WMQCGCXVKQXLQX-CQSZACIVSA-N 0 0 440.522 -0.296 20 0 IBADRN CCN(CCCNC(=O)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000551859904 1073112059 /nfs/dbraw/zinc/11/20/59/1073112059.db2.gz WXLLYXYLWAAWFP-CYBMUJFWSA-N 0 0 448.567 -0.359 20 0 IBADRN CCN(CCCNC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000551859905 1073112076 /nfs/dbraw/zinc/11/20/76/1073112076.db2.gz WXLLYXYLWAAWFP-ZDUSSCGKSA-N 0 0 448.567 -0.359 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC000551861097 1073112667 /nfs/dbraw/zinc/11/26/67/1073112667.db2.gz GDZUUFDKBVYKLM-UHFFFAOYSA-N 0 0 446.548 -0.082 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)nc2c1 ZINC000551861261 1073112559 /nfs/dbraw/zinc/11/25/59/1073112559.db2.gz RBYSHQNFZFFEIF-KRWDZBQOSA-N 0 0 449.533 -0.088 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)nc2c1 ZINC000551861262 1073112586 /nfs/dbraw/zinc/11/25/86/1073112586.db2.gz RBYSHQNFZFFEIF-QGZVFWFLSA-N 0 0 449.533 -0.088 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCCc1ccc(OCC(=O)NC3CC3)cc1)C2 ZINC000551862498 1073112523 /nfs/dbraw/zinc/11/25/23/1073112523.db2.gz PQGMHBUTLJPWNY-UHFFFAOYSA-N 0 0 426.477 -0.055 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2cn3ccc(C)cc3n2)CC1 ZINC000551863513 1073112460 /nfs/dbraw/zinc/11/24/60/1073112460.db2.gz VPDNJTAAYHSMIZ-KRWDZBQOSA-N 0 0 444.536 -0.056 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2cn3ccc(C)cc3n2)CC1 ZINC000551863514 1073112513 /nfs/dbraw/zinc/11/25/13/1073112513.db2.gz VPDNJTAAYHSMIZ-QGZVFWFLSA-N 0 0 444.536 -0.056 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)c2ccccc2O1 ZINC000551879473 1073113732 /nfs/dbraw/zinc/11/37/32/1073113732.db2.gz NMEZCWDILLTBKQ-PBHICJAKSA-N 0 0 429.433 -0.099 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)c2ccccc2O1 ZINC000551879478 1073113653 /nfs/dbraw/zinc/11/36/53/1073113653.db2.gz NMEZCWDILLTBKQ-RHSMWYFYSA-N 0 0 429.433 -0.099 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)c2ccccc2O1 ZINC000551879479 1073113734 /nfs/dbraw/zinc/11/37/34/1073113734.db2.gz NMEZCWDILLTBKQ-WMLDXEAASA-N 0 0 429.433 -0.099 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](n3cc(CO)nn3)C2)c2ccccc2O1 ZINC000551879480 1073113603 /nfs/dbraw/zinc/11/36/03/1073113603.db2.gz NMEZCWDILLTBKQ-YOEHRIQHSA-N 0 0 429.433 -0.099 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)c2cc(S(=O)(=O)NCCOC)ccc2C)CC1 ZINC000551884341 1073113003 /nfs/dbraw/zinc/11/30/03/1073113003.db2.gz ZMNNIROSGGAYCD-UHFFFAOYSA-N 0 0 426.539 -0.186 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1 ZINC000551886930 1073113134 /nfs/dbraw/zinc/11/31/34/1073113134.db2.gz UEYSFIBUPOSDKA-CYBMUJFWSA-N 0 0 433.552 -0.119 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1 ZINC000551886931 1073113185 /nfs/dbraw/zinc/11/31/85/1073113185.db2.gz UEYSFIBUPOSDKA-ZDUSSCGKSA-N 0 0 433.552 -0.119 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000551890325 1073113112 /nfs/dbraw/zinc/11/31/12/1073113112.db2.gz UOPABZPSIDUXGA-UHFFFAOYSA-N 0 0 444.535 -0.394 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(OC)c(NC(=O)c2ccccn2)c1 ZINC000551905786 1073114751 /nfs/dbraw/zinc/11/47/51/1073114751.db2.gz MJIFGNBXSKBTEB-UHFFFAOYSA-N 0 0 436.446 -0.090 20 0 IBADRN CC[C@H]1CN(C)CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000551906590 1073114572 /nfs/dbraw/zinc/11/45/72/1073114572.db2.gz LPEPSVHLKVPRHK-HNNXBMFYSA-N 0 0 437.522 -0.702 20 0 IBADRN CC[C@@H]1CN(C)CCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000551906591 1073114742 /nfs/dbraw/zinc/11/47/42/1073114742.db2.gz LPEPSVHLKVPRHK-OAHLLOKOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000551908654 1073114740 /nfs/dbraw/zinc/11/47/40/1073114740.db2.gz JYNJESISKBURMW-CABCVRRESA-N 0 0 444.535 -0.678 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000551908655 1073114759 /nfs/dbraw/zinc/11/47/59/1073114759.db2.gz JYNJESISKBURMW-GJZGRUSLSA-N 0 0 444.535 -0.678 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000551908656 1073114558 /nfs/dbraw/zinc/11/45/58/1073114558.db2.gz JYNJESISKBURMW-HUUCEWRRSA-N 0 0 444.535 -0.678 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000551908657 1073114728 /nfs/dbraw/zinc/11/47/28/1073114728.db2.gz JYNJESISKBURMW-LSDHHAIUSA-N 0 0 444.535 -0.678 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)cnc2n(C)c1=O ZINC000551925863 1073115704 /nfs/dbraw/zinc/11/57/04/1073115704.db2.gz BNKNVUIIKGNOOU-UHFFFAOYSA-N 0 0 443.445 -0.575 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000551928602 1073115648 /nfs/dbraw/zinc/11/56/48/1073115648.db2.gz VSRKSZURLXMCEC-BETUJISGSA-N 0 0 427.479 -0.497 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000551928603 1073115631 /nfs/dbraw/zinc/11/56/31/1073115631.db2.gz VSRKSZURLXMCEC-CHWSQXEVSA-N 0 0 427.479 -0.497 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000551928604 1073115774 /nfs/dbraw/zinc/11/57/74/1073115774.db2.gz VSRKSZURLXMCEC-STQMWFEESA-N 0 0 427.479 -0.497 20 0 IBADRN NC(=O)c1cccc(C(=O)NC[C@@H]2CSCCN2C(=O)c2cccc(C(N)=O)n2)n1 ZINC000551930157 1073115791 /nfs/dbraw/zinc/11/57/91/1073115791.db2.gz SBAFDVIOFZFBOQ-LLVKDONJSA-N 0 0 428.474 -0.338 20 0 IBADRN NC(=O)c1cccc(C(=O)NC[C@H]2CSCCN2C(=O)c2cccc(C(N)=O)n2)n1 ZINC000551930158 1073115842 /nfs/dbraw/zinc/11/58/42/1073115842.db2.gz SBAFDVIOFZFBOQ-NSHDSACASA-N 0 0 428.474 -0.338 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000551931708 1073114733 /nfs/dbraw/zinc/11/47/33/1073114733.db2.gz DDXQVRZGIBXBHZ-UHFFFAOYSA-N 0 0 446.551 -0.206 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000551931771 1073114643 /nfs/dbraw/zinc/11/46/43/1073114643.db2.gz FYKLKKWONVAGIK-UHFFFAOYSA-N 0 0 433.490 -0.332 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1ccc(S(N)(=O)=O)cc1)C(F)(F)F ZINC000551952515 1073116089 /nfs/dbraw/zinc/11/60/89/1073116089.db2.gz OTSMGRYPTCAAMR-JTQLQIEISA-N 0 0 439.459 -0.565 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1ccc(S(N)(=O)=O)cc1)C(F)(F)F ZINC000551952516 1073116067 /nfs/dbraw/zinc/11/60/67/1073116067.db2.gz OTSMGRYPTCAAMR-SNVBAGLBSA-N 0 0 439.459 -0.565 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(F)c(Cl)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000551961889 1073116763 /nfs/dbraw/zinc/11/67/63/1073116763.db2.gz RPWJNNUGQQLLGB-LLVKDONJSA-N 0 0 441.934 -0.008 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1ccc(F)c(Cl)c1)[C@H]1CCS(=O)(=O)C1 ZINC000551961890 1073116784 /nfs/dbraw/zinc/11/67/84/1073116784.db2.gz RPWJNNUGQQLLGB-NSHDSACASA-N 0 0 441.934 -0.008 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H](CO)Cc2cnn(C)c2)c1 ZINC000551962624 1073116731 /nfs/dbraw/zinc/11/67/31/1073116731.db2.gz VKBHUFFLLWLQGB-INIZCTEOSA-N 0 0 439.538 -0.420 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H](CO)Cc2cnn(C)c2)c1 ZINC000551962625 1073116772 /nfs/dbraw/zinc/11/67/72/1073116772.db2.gz VKBHUFFLLWLQGB-MRXNPFEDSA-N 0 0 439.538 -0.420 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc2c(c1)CCN(C(C)=O)CC2 ZINC000551999029 1073117401 /nfs/dbraw/zinc/11/74/01/1073117401.db2.gz BZKOMWGSLQCMFR-IBGZPJMESA-N 0 0 439.534 -0.214 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc2c(c1)CCN(C(C)=O)CC2 ZINC000551999030 1073117444 /nfs/dbraw/zinc/11/74/44/1073117444.db2.gz BZKOMWGSLQCMFR-LJQANCHMSA-N 0 0 439.534 -0.214 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000552000884 1073117489 /nfs/dbraw/zinc/11/74/89/1073117489.db2.gz IVKOMVQGNUKYOB-BXUZGUMPSA-N 0 0 425.554 -0.280 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000552000885 1073117330 /nfs/dbraw/zinc/11/73/30/1073117330.db2.gz IVKOMVQGNUKYOB-FZMZJTMJSA-N 0 0 425.554 -0.280 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000552000886 1073117436 /nfs/dbraw/zinc/11/74/36/1073117436.db2.gz IVKOMVQGNUKYOB-RISCZKNCSA-N 0 0 425.554 -0.280 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000552000887 1073118076 /nfs/dbraw/zinc/11/80/76/1073118076.db2.gz IVKOMVQGNUKYOB-SMDDNHRTSA-N 0 0 425.554 -0.280 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000552013659 1073126620 /nfs/dbraw/zinc/12/66/20/1073126620.db2.gz ZZAKJOBAXKWDST-UHFFFAOYSA-N 0 0 437.518 -0.019 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)cn1 ZINC000552016171 1073143541 /nfs/dbraw/zinc/14/35/41/1073143541.db2.gz OXMUDBGLIYBZDQ-UHFFFAOYSA-N 0 0 443.464 0.180 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)cn1 ZINC000552016374 1073143476 /nfs/dbraw/zinc/14/34/76/1073143476.db2.gz XYZZMPHJFYYFIR-UHFFFAOYSA-N 0 0 425.467 -0.161 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC000552022434 1073158466 /nfs/dbraw/zinc/15/84/66/1073158466.db2.gz YHMSXVOCXADZOH-UHFFFAOYSA-N 0 0 432.446 -0.504 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)CCCC2 ZINC000552028119 1073172103 /nfs/dbraw/zinc/17/21/03/1073172103.db2.gz JCKMELAKBFIBFV-UHFFFAOYSA-N 0 0 433.552 -0.345 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(CCNS(C)(=O)=O)C2CC2)cc1 ZINC000552052214 1073192792 /nfs/dbraw/zinc/19/27/92/1073192792.db2.gz ITXLOTVIRCNXHS-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)c1N ZINC000552057508 1073192799 /nfs/dbraw/zinc/19/27/99/1073192799.db2.gz XRDTVYFLTMZJID-UHFFFAOYSA-N 0 0 428.453 -0.051 20 0 IBADRN Cn1ccc(NCCNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000552078836 1073193266 /nfs/dbraw/zinc/19/32/66/1073193266.db2.gz HQQWXTXIKJFUPT-UHFFFAOYSA-N 0 0 448.549 0.262 20 0 IBADRN COC(=O)c1ccc2[nH]c(CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)nc2c1 ZINC000552084480 1073193334 /nfs/dbraw/zinc/19/33/34/1073193334.db2.gz GCCRMAGPSAZTKG-UHFFFAOYSA-N 0 0 425.405 -0.587 20 0 IBADRN Cn1cc(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)cn1 ZINC000552101915 1073194301 /nfs/dbraw/zinc/19/43/01/1073194301.db2.gz DNYHOZOFWWGHFG-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000552102165 1073194243 /nfs/dbraw/zinc/19/42/43/1073194243.db2.gz QKENYJBPDMPIOX-UHFFFAOYSA-N 0 0 430.509 -0.162 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000552102604 1073194285 /nfs/dbraw/zinc/19/42/85/1073194285.db2.gz IUPUZACATMXSIY-UHFFFAOYSA-N 0 0 438.510 -0.685 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)Cc1ccc(S(=O)(=O)N2CCCC2)s1 ZINC000552102683 1073194272 /nfs/dbraw/zinc/19/42/72/1073194272.db2.gz MJDPSDKHCURPED-AWEZNQCLSA-N 0 0 442.563 -0.072 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)Cc1ccc(S(=O)(=O)N2CCCC2)s1 ZINC000552102684 1073194307 /nfs/dbraw/zinc/19/43/07/1073194307.db2.gz MJDPSDKHCURPED-CQSZACIVSA-N 0 0 442.563 -0.072 20 0 IBADRN COCC[N@H+](C)CCN1C[C@@H](NC(=O)CN2C(=O)NC3(CCC(C)CC3)C2=O)CC1=O ZINC000552103357 1073194175 /nfs/dbraw/zinc/19/41/75/1073194175.db2.gz NTRXGXVIBPFDHO-TZQQIIETSA-N 0 0 437.541 -0.218 20 0 IBADRN COCC[N@H+](C)CCN1C[C@H](NC(=O)CN2C(=O)NC3(CCC(C)CC3)C2=O)CC1=O ZINC000552103358 1073194310 /nfs/dbraw/zinc/19/43/10/1073194310.db2.gz NTRXGXVIBPFDHO-ZGOJQLDESA-N 0 0 437.541 -0.218 20 0 IBADRN COCC[N@H+](C)CCN1C[C@@H](NC(=O)Cn2cc(Br)ccc2=O)CC1=O ZINC000552103522 1073194216 /nfs/dbraw/zinc/19/42/16/1073194216.db2.gz YEVRZKLQNKKHSX-AWEZNQCLSA-N 0 0 429.315 -0.094 20 0 IBADRN COCC[N@H+](C)CCN1C[C@H](NC(=O)Cn2cc(Br)ccc2=O)CC1=O ZINC000552103523 1073194261 /nfs/dbraw/zinc/19/42/61/1073194261.db2.gz YEVRZKLQNKKHSX-CQSZACIVSA-N 0 0 429.315 -0.094 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cc(C(=O)OC)cc(S(C)(=O)=O)c2)CC1 ZINC000552104147 1073193332 /nfs/dbraw/zinc/19/33/32/1073193332.db2.gz WXZZHRJSIMAFMY-UHFFFAOYSA-N 0 0 433.508 -0.009 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCNS(=O)(=O)c1cccs1 ZINC000552114204 1073193742 /nfs/dbraw/zinc/19/37/42/1073193742.db2.gz AAYLWIJOPFLKAK-UHFFFAOYSA-N 0 0 443.532 -0.003 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000552115554 1073193627 /nfs/dbraw/zinc/19/36/27/1073193627.db2.gz GLWYFNWSOOCKRU-GFCCVEGCSA-N 0 0 429.524 -0.230 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000552115555 1073193788 /nfs/dbraw/zinc/19/37/88/1073193788.db2.gz GLWYFNWSOOCKRU-LBPRGKRZSA-N 0 0 429.524 -0.230 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN([C@H](C(N)=O)c4ccccc4)CC3)nc2c(=O)[nH]c1=O ZINC000552118422 1073193767 /nfs/dbraw/zinc/19/37/67/1073193767.db2.gz HKZKXCJRAMOIMY-KRWDZBQOSA-N 0 0 439.476 -0.334 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3CCN([C@@H](C(N)=O)c4ccccc4)CC3)nc2c(=O)[nH]c1=O ZINC000552118423 1073193654 /nfs/dbraw/zinc/19/36/54/1073193654.db2.gz HKZKXCJRAMOIMY-QGZVFWFLSA-N 0 0 439.476 -0.334 20 0 IBADRN CN1CCN([C@H](CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c2cccs2)CC1 ZINC000552119955 1073194543 /nfs/dbraw/zinc/19/45/43/1073194543.db2.gz KHWFIOVCSDNCIC-CYBMUJFWSA-N 0 0 427.552 -0.588 20 0 IBADRN CN1CCN([C@@H](CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c2cccs2)CC1 ZINC000552119957 1073194643 /nfs/dbraw/zinc/19/46/43/1073194643.db2.gz KHWFIOVCSDNCIC-ZDUSSCGKSA-N 0 0 427.552 -0.588 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1=O ZINC000552141736 1073195189 /nfs/dbraw/zinc/19/51/89/1073195189.db2.gz TWPKYIFJRRWAFR-AWEZNQCLSA-N 0 0 445.505 -0.118 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1=O ZINC000552141737 1073195200 /nfs/dbraw/zinc/19/52/00/1073195200.db2.gz TWPKYIFJRRWAFR-CQSZACIVSA-N 0 0 445.505 -0.118 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)[C@H](C(=O)OC)C1 ZINC000552144128 1073195443 /nfs/dbraw/zinc/19/54/43/1073195443.db2.gz VSFLHRVHLFRLRK-AAEUAGOBSA-N 0 0 438.462 -0.206 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)[C@@H](C(=O)OC)C1 ZINC000552144129 1073195558 /nfs/dbraw/zinc/19/55/58/1073195558.db2.gz VSFLHRVHLFRLRK-DGCLKSJQSA-N 0 0 438.462 -0.206 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000552144130 1073195535 /nfs/dbraw/zinc/19/55/35/1073195535.db2.gz VSFLHRVHLFRLRK-WCQYABFASA-N 0 0 438.462 -0.206 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)[C@H](C(=O)OC)C1 ZINC000552144131 1073195567 /nfs/dbraw/zinc/19/55/67/1073195567.db2.gz VSFLHRVHLFRLRK-YPMHNXCESA-N 0 0 438.462 -0.206 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ccccc3Cl)CC2)CCO1 ZINC000552154319 1073195202 /nfs/dbraw/zinc/19/52/02/1073195202.db2.gz BUAHCVNEHAPVBF-KRWDZBQOSA-N 0 0 437.928 -0.319 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ccccc3Cl)CC2)CCO1 ZINC000552154320 1073195129 /nfs/dbraw/zinc/19/51/29/1073195129.db2.gz BUAHCVNEHAPVBF-QGZVFWFLSA-N 0 0 437.928 -0.319 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)C1 ZINC000552154504 1073195174 /nfs/dbraw/zinc/19/51/74/1073195174.db2.gz MFVDHWODZNDHHJ-AWEZNQCLSA-N 0 0 439.538 -0.091 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)C1 ZINC000552154505 1073195186 /nfs/dbraw/zinc/19/51/86/1073195186.db2.gz MFVDHWODZNDHHJ-CQSZACIVSA-N 0 0 439.538 -0.091 20 0 IBADRN NS(=O)(=O)N1CCc2ccc(NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)cc21 ZINC000552159958 1073195163 /nfs/dbraw/zinc/19/51/63/1073195163.db2.gz RCLLFJUIKDCWHZ-OAHLLOKOSA-N 0 0 437.522 -0.628 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000552162705 1073195196 /nfs/dbraw/zinc/19/51/96/1073195196.db2.gz CPLUQKOUKAATMW-CVEARBPZSA-N 0 0 442.607 -0.356 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000552162706 1073195060 /nfs/dbraw/zinc/19/50/60/1073195060.db2.gz CPLUQKOUKAATMW-HOTGVXAUSA-N 0 0 442.607 -0.356 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000552162707 1073195216 /nfs/dbraw/zinc/19/52/16/1073195216.db2.gz CPLUQKOUKAATMW-HZPDHXFCSA-N 0 0 442.607 -0.356 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000552162708 1073195089 /nfs/dbraw/zinc/19/50/89/1073195089.db2.gz CPLUQKOUKAATMW-JKSUJKDBSA-N 0 0 442.607 -0.356 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(CN3CCO[C@@H](C)C3)c2)CCO1 ZINC000552163293 1073196464 /nfs/dbraw/zinc/19/64/64/1073196464.db2.gz BQGTXDIFOUFTFP-JXFKEZNVSA-N 0 0 432.521 -0.113 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(CN3CCO[C@@H](C)C3)c2)CCO1 ZINC000552163294 1073196431 /nfs/dbraw/zinc/19/64/31/1073196431.db2.gz BQGTXDIFOUFTFP-OXJNMPFZSA-N 0 0 432.521 -0.113 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(CN3CCO[C@H](C)C3)c2)CCO1 ZINC000552163295 1073196452 /nfs/dbraw/zinc/19/64/52/1073196452.db2.gz BQGTXDIFOUFTFP-OXQOHEQNSA-N 0 0 432.521 -0.113 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(CN3CCO[C@H](C)C3)c2)CCO1 ZINC000552163296 1073196570 /nfs/dbraw/zinc/19/65/70/1073196570.db2.gz BQGTXDIFOUFTFP-UZLBHIALSA-N 0 0 432.521 -0.113 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)c2)CCO1 ZINC000552163711 1073196513 /nfs/dbraw/zinc/19/65/13/1073196513.db2.gz LLVVBRBDAIRDCA-GHTZIAJQSA-N 0 0 448.564 -0.325 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)c2)CCO1 ZINC000552163712 1073196372 /nfs/dbraw/zinc/19/63/72/1073196372.db2.gz LLVVBRBDAIRDCA-NQIIRXRSSA-N 0 0 448.564 -0.325 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)c2)CCO1 ZINC000552163713 1073196536 /nfs/dbraw/zinc/19/65/36/1073196536.db2.gz LLVVBRBDAIRDCA-RXVVDRJESA-N 0 0 448.564 -0.325 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)c2)CCO1 ZINC000552163714 1073196499 /nfs/dbraw/zinc/19/64/99/1073196499.db2.gz LLVVBRBDAIRDCA-WIYYLYMNSA-N 0 0 448.564 -0.325 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552164460 1073196551 /nfs/dbraw/zinc/19/65/51/1073196551.db2.gz DJCAGXBBLGPAME-INIZCTEOSA-N 0 0 430.509 -0.636 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552164461 1073196525 /nfs/dbraw/zinc/19/65/25/1073196525.db2.gz DJCAGXBBLGPAME-MRXNPFEDSA-N 0 0 430.509 -0.636 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000552164499 1073196342 /nfs/dbraw/zinc/19/63/42/1073196342.db2.gz FJHRXQRKDGXICE-INIZCTEOSA-N 0 0 445.524 -0.911 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000552164500 1073196562 /nfs/dbraw/zinc/19/65/62/1073196562.db2.gz FJHRXQRKDGXICE-MRXNPFEDSA-N 0 0 445.524 -0.911 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000552164934 1073196584 /nfs/dbraw/zinc/19/65/84/1073196584.db2.gz PDZKUNGOOBYJAA-KRWDZBQOSA-N 0 0 435.569 -0.046 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000552164935 1073196426 /nfs/dbraw/zinc/19/64/26/1073196426.db2.gz PDZKUNGOOBYJAA-QGZVFWFLSA-N 0 0 435.569 -0.046 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)C1 ZINC000552165643 1073196954 /nfs/dbraw/zinc/19/69/54/1073196954.db2.gz FBLBJCAOMDOTCR-DLBZAZTESA-N 0 0 431.493 -0.259 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)C1 ZINC000552165644 1073196991 /nfs/dbraw/zinc/19/69/91/1073196991.db2.gz FBLBJCAOMDOTCR-IAGOWNOFSA-N 0 0 431.493 -0.259 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000552165645 1073197018 /nfs/dbraw/zinc/19/70/18/1073197018.db2.gz FBLBJCAOMDOTCR-IRXDYDNUSA-N 0 0 431.493 -0.259 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000552165646 1073196965 /nfs/dbraw/zinc/19/69/65/1073196965.db2.gz FBLBJCAOMDOTCR-SJORKVTESA-N 0 0 431.493 -0.259 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)CN3CCCC3=O)CC2)cs1 ZINC000552165805 1073196558 /nfs/dbraw/zinc/19/65/58/1073196558.db2.gz HNXLZIKHVQUAQW-GFCCVEGCSA-N 0 0 443.551 -0.588 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)CN3CCCC3=O)CC2)cs1 ZINC000552165806 1073197050 /nfs/dbraw/zinc/19/70/50/1073197050.db2.gz HNXLZIKHVQUAQW-LBPRGKRZSA-N 0 0 443.551 -0.588 20 0 IBADRN COCCOc1ccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)nn1 ZINC000552167803 1073197084 /nfs/dbraw/zinc/19/70/84/1073197084.db2.gz JERMIUAGJYDNKK-GFCCVEGCSA-N 0 0 437.478 -0.416 20 0 IBADRN COCCOc1ccc(CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)nn1 ZINC000552167804 1073196997 /nfs/dbraw/zinc/19/69/97/1073196997.db2.gz JERMIUAGJYDNKK-LBPRGKRZSA-N 0 0 437.478 -0.416 20 0 IBADRN COCCOc1ccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000552167985 1073197077 /nfs/dbraw/zinc/19/70/77/1073197077.db2.gz PVPJPBXZYHPBFX-GFCCVEGCSA-N 0 0 426.499 -0.165 20 0 IBADRN COCCOc1ccc(CNC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000552167986 1073197071 /nfs/dbraw/zinc/19/70/71/1073197071.db2.gz PVPJPBXZYHPBFX-LBPRGKRZSA-N 0 0 426.499 -0.165 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000552168301 1073195606 /nfs/dbraw/zinc/19/56/06/1073195606.db2.gz ZHOKHVMBFMAHGY-FGTMMUONSA-N 0 0 435.569 -0.047 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000552168302 1073196027 /nfs/dbraw/zinc/19/60/27/1073196027.db2.gz ZHOKHVMBFMAHGY-KURKYZTESA-N 0 0 435.569 -0.047 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000552168303 1073195961 /nfs/dbraw/zinc/19/59/61/1073195961.db2.gz ZHOKHVMBFMAHGY-KZNAEPCWSA-N 0 0 435.569 -0.047 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000552168304 1073196022 /nfs/dbraw/zinc/19/60/22/1073196022.db2.gz ZHOKHVMBFMAHGY-SQNIBIBYSA-N 0 0 435.569 -0.047 20 0 IBADRN COC(=O)CN1CCC[C@@H](CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000552168434 1073195591 /nfs/dbraw/zinc/19/55/91/1073195591.db2.gz DMSHQDAIOISNMG-KBPBESRZSA-N 0 0 440.522 -0.547 20 0 IBADRN COC(=O)CN1CCC[C@@H](CNC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000552168435 1073195501 /nfs/dbraw/zinc/19/55/01/1073195501.db2.gz DMSHQDAIOISNMG-KGLIPLIRSA-N 0 0 440.522 -0.547 20 0 IBADRN COC(=O)CN1CCC[C@H](CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000552168436 1073195620 /nfs/dbraw/zinc/19/56/20/1073195620.db2.gz DMSHQDAIOISNMG-UONOGXRCSA-N 0 0 440.522 -0.547 20 0 IBADRN COC(=O)CN1CCC[C@H](CNC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C1 ZINC000552168437 1073195575 /nfs/dbraw/zinc/19/55/75/1073195575.db2.gz DMSHQDAIOISNMG-ZIAGYGMSSA-N 0 0 440.522 -0.547 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000552170797 1073196019 /nfs/dbraw/zinc/19/60/19/1073196019.db2.gz VSLIZHBAQKBASA-UHFFFAOYSA-N 0 0 431.442 -0.459 20 0 IBADRN CCn1ccnc(N2CCC(NC(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)CC2)c1=O ZINC000552171620 1073196013 /nfs/dbraw/zinc/19/60/13/1073196013.db2.gz YPRHGVAHWSGICO-UHFFFAOYSA-N 0 0 441.492 -0.600 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000552174404 1073195907 /nfs/dbraw/zinc/19/59/07/1073195907.db2.gz HBMJHBNKEUGWPY-GTPINHCMSA-N 0 0 439.557 -0.907 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000552174407 1073196025 /nfs/dbraw/zinc/19/60/25/1073196025.db2.gz HBMJHBNKEUGWPY-KLAILNCOSA-N 0 0 439.557 -0.907 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000552174833 1073195990 /nfs/dbraw/zinc/19/59/90/1073195990.db2.gz XSBOWIAZLWSOLL-UHFFFAOYSA-N 0 0 444.536 -0.343 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CSc2nnnn2C2CC2)c1 ZINC000552178094 1073195897 /nfs/dbraw/zinc/19/58/97/1073195897.db2.gz NWXLJAYXYNARBG-UHFFFAOYSA-N 0 0 425.496 -0.237 20 0 IBADRN COC(=O)[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)cc1 ZINC000552180883 1073195957 /nfs/dbraw/zinc/19/59/57/1073195957.db2.gz CGKLLGRXTPPWJH-HNNXBMFYSA-N 0 0 429.433 -0.137 20 0 IBADRN COC(=O)[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)cc1 ZINC000552180884 1073195927 /nfs/dbraw/zinc/19/59/27/1073195927.db2.gz CGKLLGRXTPPWJH-OAHLLOKOSA-N 0 0 429.433 -0.137 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2Cl)C1 ZINC000552186088 1073195979 /nfs/dbraw/zinc/19/59/79/1073195979.db2.gz OZENLCPZCJRSBW-CYBMUJFWSA-N 0 0 440.703 -0.171 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2Cl)C1 ZINC000552186089 1073195989 /nfs/dbraw/zinc/19/59/89/1073195989.db2.gz OZENLCPZCJRSBW-ZDUSSCGKSA-N 0 0 440.703 -0.171 20 0 IBADRN CCOc1ccc(S(=O)(=O)N[C@H](CCSC)C(=O)N2CC[C@](O)(C(N)=O)C2)cc1 ZINC000552187122 1073197525 /nfs/dbraw/zinc/19/75/25/1073197525.db2.gz DDISTPVVROMLOU-CRAIPNDOSA-N 0 0 445.563 -0.066 20 0 IBADRN CCOc1ccc(S(=O)(=O)N[C@@H](CCSC)C(=O)N2CC[C@](O)(C(N)=O)C2)cc1 ZINC000552187123 1073197499 /nfs/dbraw/zinc/19/74/99/1073197499.db2.gz DDISTPVVROMLOU-MAUKXSAKSA-N 0 0 445.563 -0.066 20 0 IBADRN CCOc1ccc(S(=O)(=O)N[C@H](CCSC)C(=O)N2CC[C@@](O)(C(N)=O)C2)cc1 ZINC000552187124 1073197599 /nfs/dbraw/zinc/19/75/99/1073197599.db2.gz DDISTPVVROMLOU-QAPCUYQASA-N 0 0 445.563 -0.066 20 0 IBADRN CCOc1ccc(S(=O)(=O)N[C@@H](CCSC)C(=O)N2CC[C@@](O)(C(N)=O)C2)cc1 ZINC000552187125 1073197570 /nfs/dbraw/zinc/19/75/70/1073197570.db2.gz DDISTPVVROMLOU-YJBOKZPZSA-N 0 0 445.563 -0.066 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)cn1 ZINC000552189503 1073197633 /nfs/dbraw/zinc/19/76/33/1073197633.db2.gz SDXWLFCIKGWZKL-DYVFJYSZSA-N 0 0 431.478 -0.289 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)C1 ZINC000552196594 1073197027 /nfs/dbraw/zinc/19/70/27/1073197027.db2.gz LIXNXPOWOOUGEM-KRWDZBQOSA-N 0 0 433.509 -0.456 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)C1 ZINC000552196595 1073197062 /nfs/dbraw/zinc/19/70/62/1073197062.db2.gz LIXNXPOWOOUGEM-QGZVFWFLSA-N 0 0 433.509 -0.456 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)C1 ZINC000552196760 1073197009 /nfs/dbraw/zinc/19/70/09/1073197009.db2.gz RBXJHXZIYUGENX-GOSISDBHSA-N 0 0 447.536 -0.079 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)C1 ZINC000552196761 1073196912 /nfs/dbraw/zinc/19/69/12/1073196912.db2.gz RBXJHXZIYUGENX-SFHVURJKSA-N 0 0 447.536 -0.079 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000552197048 1073196971 /nfs/dbraw/zinc/19/69/71/1073196971.db2.gz ORQCXKCWILFHRX-AWEZNQCLSA-N 0 0 434.540 -0.730 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000552197049 1073197045 /nfs/dbraw/zinc/19/70/45/1073197045.db2.gz ORQCXKCWILFHRX-CQSZACIVSA-N 0 0 434.540 -0.730 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)C1 ZINC000552197656 1073196978 /nfs/dbraw/zinc/19/69/78/1073196978.db2.gz WARUBMUKQPOHLP-JOCHJYFZSA-N 0 0 432.521 -0.002 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)C1 ZINC000552197657 1073196936 /nfs/dbraw/zinc/19/69/36/1073196936.db2.gz WARUBMUKQPOHLP-QFIPXVFZSA-N 0 0 432.521 -0.002 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)C1 ZINC000552198481 1073197053 /nfs/dbraw/zinc/19/70/53/1073197053.db2.gz XMSAQJIXRCZISM-KRWDZBQOSA-N 0 0 447.536 -0.079 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)C1 ZINC000552198482 1073197584 /nfs/dbraw/zinc/19/75/84/1073197584.db2.gz XMSAQJIXRCZISM-QGZVFWFLSA-N 0 0 447.536 -0.079 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC000552206999 1073197431 /nfs/dbraw/zinc/19/74/31/1073197431.db2.gz AZZDESHXOKNJJZ-UHFFFAOYSA-N 0 0 433.430 -0.595 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cncc(Br)c2)CC1 ZINC000552210197 1073198070 /nfs/dbraw/zinc/19/80/70/1073198070.db2.gz QTBIOIXDQGXKRI-UHFFFAOYSA-N 0 0 445.295 -0.174 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000552212456 1073197409 /nfs/dbraw/zinc/19/74/09/1073197409.db2.gz FZGVUYWUXDZTCN-UHFFFAOYSA-N 0 0 436.531 -0.261 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000552218295 1073197620 /nfs/dbraw/zinc/19/76/20/1073197620.db2.gz LCJHZXDAKQGXOZ-CYBMUJFWSA-N 0 0 441.535 -0.132 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000552218301 1073197629 /nfs/dbraw/zinc/19/76/29/1073197629.db2.gz LCJHZXDAKQGXOZ-ZDUSSCGKSA-N 0 0 441.535 -0.132 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)on1 ZINC000552231317 1073198113 /nfs/dbraw/zinc/19/81/13/1073198113.db2.gz ZXXXYBPNUYYXFR-KRWDZBQOSA-N 0 0 443.508 -0.019 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)on1 ZINC000552231318 1073198114 /nfs/dbraw/zinc/19/81/14/1073198114.db2.gz ZXXXYBPNUYYXFR-QGZVFWFLSA-N 0 0 443.508 -0.019 20 0 IBADRN CC[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(S(N)(=O)=O)c1 ZINC000552232721 1073198106 /nfs/dbraw/zinc/19/81/06/1073198106.db2.gz ZEPCUEMBBDDLKN-AWEZNQCLSA-N 0 0 448.505 -0.261 20 0 IBADRN CC[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(S(N)(=O)=O)c1 ZINC000552232722 1073198039 /nfs/dbraw/zinc/19/80/39/1073198039.db2.gz ZEPCUEMBBDDLKN-CQSZACIVSA-N 0 0 448.505 -0.261 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C[C@H](O)COc3ccc4c(c3)OCO4)CC2)CC1 ZINC000552240164 1073198567 /nfs/dbraw/zinc/19/85/67/1073198567.db2.gz FACLSCOPGOCNRZ-INIZCTEOSA-N 0 0 442.538 -0.735 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C[C@@H](O)COc3ccc4c(c3)OCO4)CC2)CC1 ZINC000552240165 1073198545 /nfs/dbraw/zinc/19/85/45/1073198545.db2.gz FACLSCOPGOCNRZ-MRXNPFEDSA-N 0 0 442.538 -0.735 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000552250514 1073198112 /nfs/dbraw/zinc/19/81/12/1073198112.db2.gz ONCSTHUOVMIUDG-AAEUAGOBSA-N 0 0 429.524 -0.325 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000552250515 1073197986 /nfs/dbraw/zinc/19/79/86/1073197986.db2.gz ONCSTHUOVMIUDG-DGCLKSJQSA-N 0 0 429.524 -0.325 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000552250516 1073198085 /nfs/dbraw/zinc/19/80/85/1073198085.db2.gz ONCSTHUOVMIUDG-WCQYABFASA-N 0 0 429.524 -0.325 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000552250517 1073198107 /nfs/dbraw/zinc/19/81/07/1073198107.db2.gz ONCSTHUOVMIUDG-YPMHNXCESA-N 0 0 429.524 -0.325 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1OC ZINC000552254187 1073199033 /nfs/dbraw/zinc/19/90/33/1073199033.db2.gz RGQVSGGEOFLETL-UHFFFAOYSA-N 0 0 446.504 -0.045 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000552254262 1073198946 /nfs/dbraw/zinc/19/89/46/1073198946.db2.gz VPMMUDUHRRAQBI-IBGZPJMESA-N 0 0 449.527 -0.405 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000552254263 1073198967 /nfs/dbraw/zinc/19/89/67/1073198967.db2.gz VPMMUDUHRRAQBI-LJQANCHMSA-N 0 0 449.527 -0.405 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3)CC2)nc1 ZINC000552254923 1073199430 /nfs/dbraw/zinc/19/94/30/1073199430.db2.gz BHRFUOIPHWACBX-CYBMUJFWSA-N 0 0 428.415 -0.418 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3)CC2)nc1 ZINC000552254924 1073199532 /nfs/dbraw/zinc/19/95/32/1073199532.db2.gz BHRFUOIPHWACBX-ZDUSSCGKSA-N 0 0 428.415 -0.418 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552257141 1073198574 /nfs/dbraw/zinc/19/85/74/1073198574.db2.gz LMCDSVJYJJSVFS-CABCVRRESA-N 0 0 449.474 -0.869 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552257142 1073198540 /nfs/dbraw/zinc/19/85/40/1073198540.db2.gz LMCDSVJYJJSVFS-GJZGRUSLSA-N 0 0 449.474 -0.869 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552257143 1073198457 /nfs/dbraw/zinc/19/84/57/1073198457.db2.gz LMCDSVJYJJSVFS-HUUCEWRRSA-N 0 0 449.474 -0.869 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552257144 1073198496 /nfs/dbraw/zinc/19/84/96/1073198496.db2.gz LMCDSVJYJJSVFS-LSDHHAIUSA-N 0 0 449.474 -0.869 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552257270 1073198532 /nfs/dbraw/zinc/19/85/32/1073198532.db2.gz WBUSBNOBTJKEQS-CABCVRRESA-N 0 0 433.475 -0.106 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552257271 1073198605 /nfs/dbraw/zinc/19/86/05/1073198605.db2.gz WBUSBNOBTJKEQS-GJZGRUSLSA-N 0 0 433.475 -0.106 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552257272 1073198579 /nfs/dbraw/zinc/19/85/79/1073198579.db2.gz WBUSBNOBTJKEQS-HUUCEWRRSA-N 0 0 433.475 -0.106 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552257273 1073198584 /nfs/dbraw/zinc/19/85/84/1073198584.db2.gz WBUSBNOBTJKEQS-LSDHHAIUSA-N 0 0 433.475 -0.106 20 0 IBADRN CC[C@H](O)CNC(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000552257300 1073198485 /nfs/dbraw/zinc/19/84/85/1073198485.db2.gz YBCOLLBVRHTHOE-INIZCTEOSA-N 0 0 429.477 -0.059 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)CNS(=O)(=O)CCCOC)CC2)c1N ZINC000552264001 1073198904 /nfs/dbraw/zinc/19/89/04/1073198904.db2.gz VACZTTNCOQGHMH-UHFFFAOYSA-N 0 0 431.515 -0.239 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000552268060 1073198880 /nfs/dbraw/zinc/19/88/80/1073198880.db2.gz VHAOYAFOAVCLMC-KRWDZBQOSA-N 0 0 434.518 -0.059 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000552268061 1073198994 /nfs/dbraw/zinc/19/89/94/1073198994.db2.gz VHAOYAFOAVCLMC-QGZVFWFLSA-N 0 0 434.518 -0.059 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)C1 ZINC000552279067 1073199747 /nfs/dbraw/zinc/19/97/47/1073199747.db2.gz PTEJFUPMWWEADD-HNNXBMFYSA-N 0 0 431.536 -0.493 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)C1 ZINC000552279068 1073199985 /nfs/dbraw/zinc/19/99/85/1073199985.db2.gz PTEJFUPMWWEADD-OAHLLOKOSA-N 0 0 431.536 -0.493 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(F)(F)F ZINC000552287765 1073199421 /nfs/dbraw/zinc/19/94/21/1073199421.db2.gz PGDOWGKCFQZWHW-JTQLQIEISA-N 0 0 437.400 -0.816 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(F)(F)F ZINC000552287766 1073199520 /nfs/dbraw/zinc/19/95/20/1073199520.db2.gz PGDOWGKCFQZWHW-SNVBAGLBSA-N 0 0 437.400 -0.816 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)C1=O ZINC000552288657 1073199457 /nfs/dbraw/zinc/19/94/57/1073199457.db2.gz DJMPYHMKTJFLKU-CHWSQXEVSA-N 0 0 430.431 -0.220 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)C1=O ZINC000552288658 1073199377 /nfs/dbraw/zinc/19/93/77/1073199377.db2.gz DJMPYHMKTJFLKU-OLZOCXBDSA-N 0 0 430.431 -0.220 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)C1=O ZINC000552288659 1073199507 /nfs/dbraw/zinc/19/95/07/1073199507.db2.gz DJMPYHMKTJFLKU-QWHCGFSZSA-N 0 0 430.431 -0.220 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)C1=O ZINC000552288660 1073199356 /nfs/dbraw/zinc/19/93/56/1073199356.db2.gz DJMPYHMKTJFLKU-STQMWFEESA-N 0 0 430.431 -0.220 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CC1)N1CCCCC1 ZINC000552289137 1073199471 /nfs/dbraw/zinc/19/94/71/1073199471.db2.gz PKKQIYWJBAFOHS-HNNXBMFYSA-N 0 0 433.475 -0.104 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CC1)N1CCCCC1 ZINC000552289138 1073199537 /nfs/dbraw/zinc/19/95/37/1073199537.db2.gz PKKQIYWJBAFOHS-OAHLLOKOSA-N 0 0 433.475 -0.104 20 0 IBADRN CC(C)COC[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccco1 ZINC000552289201 1073199504 /nfs/dbraw/zinc/19/95/04/1073199504.db2.gz RRIABBAPTVJETJ-HNNXBMFYSA-N 0 0 433.469 -0.533 20 0 IBADRN CC(C)COC[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccco1 ZINC000552289202 1073199407 /nfs/dbraw/zinc/19/94/07/1073199407.db2.gz RRIABBAPTVJETJ-OAHLLOKOSA-N 0 0 433.469 -0.533 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCCS(=O)(=O)c1ccccc1)C(=O)N1CCOCC1 ZINC000552290991 1073199479 /nfs/dbraw/zinc/19/94/79/1073199479.db2.gz LGLXACVBDFYGJP-INIZCTEOSA-N 0 0 425.507 -0.280 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCCS(=O)(=O)c1ccccc1)C(=O)N1CCOCC1 ZINC000552290992 1073199836 /nfs/dbraw/zinc/19/98/36/1073199836.db2.gz LGLXACVBDFYGJP-MRXNPFEDSA-N 0 0 425.507 -0.280 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)CC1 ZINC000552291082 1073199788 /nfs/dbraw/zinc/19/97/88/1073199788.db2.gz NAOMQDZHKRERNL-UHFFFAOYSA-N 0 0 438.550 -0.365 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000552295094 1073200292 /nfs/dbraw/zinc/20/02/92/1073200292.db2.gz AOBQITUBUIEUEU-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000552299099 1073200421 /nfs/dbraw/zinc/20/04/21/1073200421.db2.gz VYRIUPBRRZDKEB-UHFFFAOYSA-N 0 0 428.449 -0.161 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000552299380 1073200447 /nfs/dbraw/zinc/20/04/47/1073200447.db2.gz BKKINLLXTJLJQT-DOTOQJQBSA-N 0 0 436.534 -0.258 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000552299381 1073200463 /nfs/dbraw/zinc/20/04/63/1073200463.db2.gz BKKINLLXTJLJQT-NVXWUHKLSA-N 0 0 436.534 -0.258 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000552299382 1073200324 /nfs/dbraw/zinc/20/03/24/1073200324.db2.gz BKKINLLXTJLJQT-RDJZCZTQSA-N 0 0 436.534 -0.258 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000552299383 1073200349 /nfs/dbraw/zinc/20/03/49/1073200349.db2.gz BKKINLLXTJLJQT-WBVHZDCISA-N 0 0 436.534 -0.258 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCNc2ccn(C)n2)c1 ZINC000552306602 1073309090 /nfs/dbraw/zinc/30/90/90/1073309090.db2.gz FDAQXTATYXUDIA-UHFFFAOYSA-N 0 0 436.538 0.349 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000552330880 1073200525 /nfs/dbraw/zinc/20/05/25/1073200525.db2.gz PBQWJSUBGPKNRC-CHWSQXEVSA-N 0 0 431.536 -0.265 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@H](CS(C)(=O)=O)C1 ZINC000552330881 1073200700 /nfs/dbraw/zinc/20/07/00/1073200700.db2.gz PBQWJSUBGPKNRC-OLZOCXBDSA-N 0 0 431.536 -0.265 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000552330882 1073200896 /nfs/dbraw/zinc/20/08/96/1073200896.db2.gz PBQWJSUBGPKNRC-QWHCGFSZSA-N 0 0 431.536 -0.265 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@H](CS(C)(=O)=O)C1 ZINC000552330883 1073200933 /nfs/dbraw/zinc/20/09/33/1073200933.db2.gz PBQWJSUBGPKNRC-STQMWFEESA-N 0 0 431.536 -0.265 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000552331684 1073200940 /nfs/dbraw/zinc/20/09/40/1073200940.db2.gz IKADLYSPXGRQLI-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000552343415 1073201402 /nfs/dbraw/zinc/20/14/02/1073201402.db2.gz GZDMNDUUHCAWTF-INIZCTEOSA-N 0 0 449.533 -0.288 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000552343417 1073201472 /nfs/dbraw/zinc/20/14/72/1073201472.db2.gz GZDMNDUUHCAWTF-MRXNPFEDSA-N 0 0 449.533 -0.288 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000552348919 1073201891 /nfs/dbraw/zinc/20/18/91/1073201891.db2.gz XQSUEGAQOHAXBV-UHFFFAOYSA-N 0 0 442.563 -0.202 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000552350162 1073201770 /nfs/dbraw/zinc/20/17/70/1073201770.db2.gz WYEWIBNGBMTOEP-HNNXBMFYSA-N 0 0 426.495 -0.774 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000552350163 1073201762 /nfs/dbraw/zinc/20/17/62/1073201762.db2.gz WYEWIBNGBMTOEP-OAHLLOKOSA-N 0 0 426.495 -0.774 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccnn1C)C(F)(F)F ZINC000552355408 1073201469 /nfs/dbraw/zinc/20/14/69/1073201469.db2.gz MAOSCMMGRHFRCS-GAFUQQFSSA-N 0 0 425.433 -0.122 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccnn1C)C(F)(F)F ZINC000552355409 1073201485 /nfs/dbraw/zinc/20/14/85/1073201485.db2.gz MAOSCMMGRHFRCS-NDMJEZRESA-N 0 0 425.433 -0.122 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccnn1C)C(F)(F)F ZINC000552355410 1073201355 /nfs/dbraw/zinc/20/13/55/1073201355.db2.gz MAOSCMMGRHFRCS-UFGOTCBOSA-N 0 0 425.433 -0.122 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccnn1C)C(F)(F)F ZINC000552355411 1073201367 /nfs/dbraw/zinc/20/13/67/1073201367.db2.gz MAOSCMMGRHFRCS-XHVZSJERSA-N 0 0 425.433 -0.122 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H]3CC[C@@H](CO)O3)cc2)CC1 ZINC000552355877 1073200804 /nfs/dbraw/zinc/20/08/04/1073200804.db2.gz NHUNLJWUSDOIQG-SJORKVTESA-N 0 0 425.507 -0.191 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCC(=O)OC)cc1F ZINC000552357686 1073202297 /nfs/dbraw/zinc/20/22/97/1073202297.db2.gz MPANECUVXLDQTE-UHFFFAOYSA-N 0 0 425.460 -0.455 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCCOCC4CC4)CC3)C2=O)n(C)n1 ZINC000552360256 1073201865 /nfs/dbraw/zinc/20/18/65/1073201865.db2.gz OTYHPFXFHMPHGO-GOSISDBHSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCCOCC4CC4)CC3)C2=O)n(C)n1 ZINC000552360257 1073201741 /nfs/dbraw/zinc/20/17/41/1073201741.db2.gz OTYHPFXFHMPHGO-SFHVURJKSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC[C@@H]3CCCO3)CC2)cs1 ZINC000552361376 1073201928 /nfs/dbraw/zinc/20/19/28/1073201928.db2.gz TYONTWNHJDSHFL-AWEZNQCLSA-N 0 0 446.551 -0.404 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC[C@H]3CCCO3)CC2)cs1 ZINC000552361377 1073201748 /nfs/dbraw/zinc/20/17/48/1073201748.db2.gz TYONTWNHJDSHFL-CQSZACIVSA-N 0 0 446.551 -0.404 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)CNS(=O)(=O)c1cn(C)cn1)C2 ZINC000552367634 1073202421 /nfs/dbraw/zinc/20/24/21/1073202421.db2.gz GOGCBGYCVMUVHT-UHFFFAOYSA-N 0 0 427.508 -0.809 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cn1 ZINC000552370225 1073202242 /nfs/dbraw/zinc/20/22/42/1073202242.db2.gz XMDXXIJJMCJRBR-UHFFFAOYSA-N 0 0 443.503 -0.041 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000552390304 1073202955 /nfs/dbraw/zinc/20/29/55/1073202955.db2.gz LQXFCFJXPXMNSN-CYBMUJFWSA-N 0 0 446.551 -0.278 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000552393703 1073202970 /nfs/dbraw/zinc/20/29/70/1073202970.db2.gz IACSHEJRSQYNHK-ZDUSSCGKSA-N 0 0 439.494 -0.339 20 0 IBADRN O=C(NCc1ccc2c(c1)OCCCO2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000552397359 1073202846 /nfs/dbraw/zinc/20/28/46/1073202846.db2.gz ZMUFJFQJUXVAPR-UHFFFAOYSA-N 0 0 430.461 -0.587 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccc2c(c1)OCCCO2 ZINC000552398608 1073202784 /nfs/dbraw/zinc/20/27/84/1073202784.db2.gz QQFQXJUHMIZTGN-UHFFFAOYSA-N 0 0 443.547 -0.041 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)C1 ZINC000552401076 1073202933 /nfs/dbraw/zinc/20/29/33/1073202933.db2.gz LMRSHDMPXCLOAN-AWEZNQCLSA-N 0 0 440.478 -0.932 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCC(=O)OC)cc2)C1 ZINC000552401077 1073202831 /nfs/dbraw/zinc/20/28/31/1073202831.db2.gz LMRSHDMPXCLOAN-CQSZACIVSA-N 0 0 440.478 -0.932 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1C ZINC000552413274 1073203333 /nfs/dbraw/zinc/20/33/33/1073203333.db2.gz UGQQOOTYGQECBY-UHFFFAOYSA-N 0 0 441.959 -0.001 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2c(C)n(C)n(-c3ccccc3)c2=O)C1 ZINC000552414069 1073203312 /nfs/dbraw/zinc/20/33/12/1073203312.db2.gz HVXLILOXSFKMAC-HNNXBMFYSA-N 0 0 435.506 -0.037 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2c(C)n(C)n(-c3ccccc3)c2=O)C1 ZINC000552414070 1073203273 /nfs/dbraw/zinc/20/32/73/1073203273.db2.gz HVXLILOXSFKMAC-OAHLLOKOSA-N 0 0 435.506 -0.037 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)[C@H](C)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000552416188 1073203878 /nfs/dbraw/zinc/20/38/78/1073203878.db2.gz NLUADSKRWUGOKZ-DZGCQCFKSA-N 0 0 427.461 -0.030 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1)[C@@H](C)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000552416189 1073203853 /nfs/dbraw/zinc/20/38/53/1073203853.db2.gz NLUADSKRWUGOKZ-HIFRSBDPSA-N 0 0 427.461 -0.030 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)[C@@H](C)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000552416190 1073203896 /nfs/dbraw/zinc/20/38/96/1073203896.db2.gz NLUADSKRWUGOKZ-UKRRQHHQSA-N 0 0 427.461 -0.030 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1)[C@H](C)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000552416191 1073203902 /nfs/dbraw/zinc/20/39/02/1073203902.db2.gz NLUADSKRWUGOKZ-ZFWWWQNUSA-N 0 0 427.461 -0.030 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)nn1 ZINC000552417369 1073203802 /nfs/dbraw/zinc/20/38/02/1073203802.db2.gz IGAZRIWCXFGEPW-BFHYXJOUSA-N 0 0 441.510 -0.709 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)nn1 ZINC000552417370 1073203906 /nfs/dbraw/zinc/20/39/06/1073203906.db2.gz IGAZRIWCXFGEPW-MCIONIFRSA-N 0 0 441.510 -0.709 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)nn1 ZINC000552417371 1073204326 /nfs/dbraw/zinc/20/43/26/1073204326.db2.gz IGAZRIWCXFGEPW-MGPQQGTHSA-N 0 0 441.510 -0.709 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)nn1 ZINC000552417372 1073204371 /nfs/dbraw/zinc/20/43/71/1073204371.db2.gz IGAZRIWCXFGEPW-MJBXVCDLSA-N 0 0 441.510 -0.709 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC2(O)CCS(=O)(=O)CC2)cc1 ZINC000552419092 1073204241 /nfs/dbraw/zinc/20/42/41/1073204241.db2.gz YZNBXGAGWMLUFG-UHFFFAOYSA-N 0 0 448.519 -0.803 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N(CCNC(C)=O)[C@H](C)C(=O)OC(C)(C)C)CC1 ZINC000552420863 1073204331 /nfs/dbraw/zinc/20/43/31/1073204331.db2.gz WNMDBUBEBPRNRL-CYBMUJFWSA-N 0 0 434.559 -0.143 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N(CCNC(C)=O)[C@@H](C)C(=O)OC(C)(C)C)CC1 ZINC000552420864 1073204209 /nfs/dbraw/zinc/20/42/09/1073204209.db2.gz WNMDBUBEBPRNRL-ZDUSSCGKSA-N 0 0 434.559 -0.143 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NCC(C)(CO)CO)CC1 ZINC000552425110 1073204740 /nfs/dbraw/zinc/20/47/40/1073204740.db2.gz ZUWOXDPREQYHGT-UHFFFAOYSA-N 0 0 428.507 -0.019 20 0 IBADRN COCc1ncc(CNC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)c(N)n1 ZINC000552425123 1073204872 /nfs/dbraw/zinc/20/48/72/1073204872.db2.gz DRBSNCWJZQYTPY-UHFFFAOYSA-N 0 0 436.494 0.101 20 0 IBADRN COCc1ncc(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)c(N)n1 ZINC000552429440 1073204681 /nfs/dbraw/zinc/20/46/81/1073204681.db2.gz CZFRDVJEGXDYDG-UHFFFAOYSA-N 0 0 434.478 -0.744 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)CC1 ZINC000552434139 1073205322 /nfs/dbraw/zinc/20/53/22/1073205322.db2.gz QRWQYUAIVDYDGF-GOSISDBHSA-N 0 0 438.550 -0.251 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)CC1 ZINC000552434143 1073205309 /nfs/dbraw/zinc/20/53/09/1073205309.db2.gz QRWQYUAIVDYDGF-SFHVURJKSA-N 0 0 438.550 -0.251 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)CCO1 ZINC000552434820 1073205260 /nfs/dbraw/zinc/20/52/60/1073205260.db2.gz BSBGFLZLYLJOOI-AWEZNQCLSA-N 0 0 440.522 -0.647 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)CCO1 ZINC000552434821 1073205192 /nfs/dbraw/zinc/20/51/92/1073205192.db2.gz BSBGFLZLYLJOOI-CQSZACIVSA-N 0 0 440.522 -0.647 20 0 IBADRN Nc1nnc(CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)o1 ZINC000552435862 1073362162 /nfs/dbraw/zinc/36/21/62/1073362162.db2.gz CVBKMXSFAYKNCE-UHFFFAOYSA-N 0 0 440.457 -0.280 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)C[C@H](CO)O1 ZINC000552436140 1073205215 /nfs/dbraw/zinc/20/52/15/1073205215.db2.gz OQGMHWKGUTZHPP-DZGCQCFKSA-N 0 0 427.523 -0.012 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)C[C@@H](CO)O1 ZINC000552436141 1073205345 /nfs/dbraw/zinc/20/53/45/1073205345.db2.gz OQGMHWKGUTZHPP-HIFRSBDPSA-N 0 0 427.523 -0.012 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)C[C@H](CO)O1 ZINC000552436142 1073205296 /nfs/dbraw/zinc/20/52/96/1073205296.db2.gz OQGMHWKGUTZHPP-UKRRQHHQSA-N 0 0 427.523 -0.012 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)NC(C)(C)C)C[C@@H](CO)O1 ZINC000552436143 1073205252 /nfs/dbraw/zinc/20/52/52/1073205252.db2.gz OQGMHWKGUTZHPP-ZFWWWQNUSA-N 0 0 427.523 -0.012 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CC1 ZINC000552437996 1073205349 /nfs/dbraw/zinc/20/53/49/1073205349.db2.gz GDNLWRUQBYHZIA-GOSISDBHSA-N 0 0 445.520 -0.299 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CC1 ZINC000552437997 1073205230 /nfs/dbraw/zinc/20/52/30/1073205230.db2.gz GDNLWRUQBYHZIA-SFHVURJKSA-N 0 0 445.520 -0.299 20 0 IBADRN Cc1cccc(C(C)(C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000552442407 1073205690 /nfs/dbraw/zinc/20/56/90/1073205690.db2.gz WLGQDMLTXSLZCS-UHFFFAOYSA-N 0 0 444.532 -0.082 20 0 IBADRN NC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3ccc(F)c(F)c3)CC2)CC1 ZINC000552444133 1073205762 /nfs/dbraw/zinc/20/57/62/1073205762.db2.gz TUOIIUJIWXZFQH-UHFFFAOYSA-N 0 0 430.477 -0.005 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCN(c2ccccc2)CC1 ZINC000552445414 1073205774 /nfs/dbraw/zinc/20/57/74/1073205774.db2.gz PTZFURUXCUMEOQ-IBGZPJMESA-N 0 0 443.548 -0.368 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cccc(NC(=O)[C@@H]2CCCO2)c1 ZINC000552446628 1073206227 /nfs/dbraw/zinc/20/62/27/1073206227.db2.gz NTHYAYGXKBDTIA-MOPGFXCFSA-N 0 0 448.520 -0.428 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cccc(NC(=O)[C@@H]2CCCO2)c1 ZINC000552446629 1073206262 /nfs/dbraw/zinc/20/62/62/1073206262.db2.gz NTHYAYGXKBDTIA-OALUTQOASA-N 0 0 448.520 -0.428 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cccc(NC(=O)[C@H]2CCCO2)c1 ZINC000552446630 1073206279 /nfs/dbraw/zinc/20/62/79/1073206279.db2.gz NTHYAYGXKBDTIA-RBUKOAKNSA-N 0 0 448.520 -0.428 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cccc(NC(=O)[C@H]2CCCO2)c1 ZINC000552446631 1073206098 /nfs/dbraw/zinc/20/60/98/1073206098.db2.gz NTHYAYGXKBDTIA-RTBURBONSA-N 0 0 448.520 -0.428 20 0 IBADRN Cc1ccccc1C(C)(C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552447167 1073206143 /nfs/dbraw/zinc/20/61/43/1073206143.db2.gz HYJZUNOCOONRTB-UHFFFAOYSA-N 0 0 444.532 -0.082 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C1 ZINC000552449645 1073206296 /nfs/dbraw/zinc/20/62/96/1073206296.db2.gz JISDQQLWWDTBNB-HNNXBMFYSA-N 0 0 431.536 -0.612 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C1 ZINC000552449646 1073206073 /nfs/dbraw/zinc/20/60/73/1073206073.db2.gz JISDQQLWWDTBNB-OAHLLOKOSA-N 0 0 431.536 -0.612 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000552449925 1073206308 /nfs/dbraw/zinc/20/63/08/1073206308.db2.gz RKWVKGCAKFLFFT-UHFFFAOYSA-N 0 0 431.536 -0.659 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000552450282 1073206156 /nfs/dbraw/zinc/20/61/56/1073206156.db2.gz DNMFUCKZZQKXHO-AWEZNQCLSA-N 0 0 446.533 -0.473 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000552450283 1073206123 /nfs/dbraw/zinc/20/61/23/1073206123.db2.gz DNMFUCKZZQKXHO-CQSZACIVSA-N 0 0 446.533 -0.473 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)CC1=O ZINC000552451462 1073206054 /nfs/dbraw/zinc/20/60/54/1073206054.db2.gz PFZULQCEOABPMM-UHFFFAOYSA-N 0 0 425.507 -0.445 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000552452097 1073206255 /nfs/dbraw/zinc/20/62/55/1073206255.db2.gz HYEZTZIADPJLEJ-INIZCTEOSA-N 0 0 436.534 -0.212 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000552452098 1073206086 /nfs/dbraw/zinc/20/60/86/1073206086.db2.gz HYEZTZIADPJLEJ-MRXNPFEDSA-N 0 0 436.534 -0.212 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552452410 1073206216 /nfs/dbraw/zinc/20/62/16/1073206216.db2.gz QFRQGLXVJVBWFG-KRWDZBQOSA-N 0 0 429.521 -0.031 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552452411 1073206247 /nfs/dbraw/zinc/20/62/47/1073206247.db2.gz QFRQGLXVJVBWFG-QGZVFWFLSA-N 0 0 429.521 -0.031 20 0 IBADRN Cc1ccc(OCCCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000552452957 1073206552 /nfs/dbraw/zinc/20/65/52/1073206552.db2.gz CIKJDBLJVQUERZ-UHFFFAOYSA-N 0 0 446.504 -0.590 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cccc(CS(C)(=O)=O)c3)CC2=O)cn1 ZINC000552453307 1073206706 /nfs/dbraw/zinc/20/67/06/1073206706.db2.gz NRDUGXANMZWEQC-UHFFFAOYSA-N 0 0 433.490 -0.544 20 0 IBADRN CCOc1c(OC)cc(CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000552453769 1073206721 /nfs/dbraw/zinc/20/67/21/1073206721.db2.gz WTJHDPUSKMHDBF-UHFFFAOYSA-N 0 0 429.495 -0.178 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)CN2CCCC2=O)cn1 ZINC000552454068 1073206586 /nfs/dbraw/zinc/20/65/86/1073206586.db2.gz HRQDCPJGDKNDEJ-DZGCQCFKSA-N 0 0 425.511 -0.672 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)CN2CCCC2=O)cn1 ZINC000552454069 1073206697 /nfs/dbraw/zinc/20/66/97/1073206697.db2.gz HRQDCPJGDKNDEJ-HIFRSBDPSA-N 0 0 425.511 -0.672 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)CN2CCCC2=O)cn1 ZINC000552454070 1073206483 /nfs/dbraw/zinc/20/64/83/1073206483.db2.gz HRQDCPJGDKNDEJ-UKRRQHHQSA-N 0 0 425.511 -0.672 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)CN2CCCC2=O)cn1 ZINC000552454071 1073206666 /nfs/dbraw/zinc/20/66/66/1073206666.db2.gz HRQDCPJGDKNDEJ-ZFWWWQNUSA-N 0 0 425.511 -0.672 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H](C)CN3CCCC3=O)CC2)n1 ZINC000552454442 1073206637 /nfs/dbraw/zinc/20/66/37/1073206637.db2.gz QQXSHNRGQVPMOK-CABCVRRESA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H](C)CN3CCCC3=O)CC2)n1 ZINC000552454443 1073206688 /nfs/dbraw/zinc/20/66/88/1073206688.db2.gz QQXSHNRGQVPMOK-GJZGRUSLSA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H](C)CN3CCCC3=O)CC2)n1 ZINC000552454444 1073206715 /nfs/dbraw/zinc/20/67/15/1073206715.db2.gz QQXSHNRGQVPMOK-HUUCEWRRSA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H](C)CN3CCCC3=O)CC2)n1 ZINC000552454445 1073206598 /nfs/dbraw/zinc/20/65/98/1073206598.db2.gz QQXSHNRGQVPMOK-LSDHHAIUSA-N 0 0 436.513 -0.062 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000552455179 1073206563 /nfs/dbraw/zinc/20/65/63/1073206563.db2.gz NFVYAVFILCWTPF-KRWDZBQOSA-N 0 0 437.518 -0.303 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000552455180 1073206610 /nfs/dbraw/zinc/20/66/10/1073206610.db2.gz NFVYAVFILCWTPF-QGZVFWFLSA-N 0 0 437.518 -0.303 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCOc2ccc(F)cc2)CC1)N1CCOCC1 ZINC000552455413 1073206675 /nfs/dbraw/zinc/20/66/75/1073206675.db2.gz WXAMTAOYPWVQTD-UHFFFAOYSA-N 0 0 436.484 -0.286 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)c2)CCO1 ZINC000552455753 1073206494 /nfs/dbraw/zinc/20/64/94/1073206494.db2.gz FUKIZNKMPSEUOP-INIZCTEOSA-N 0 0 438.550 -0.373 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)c2)CCO1 ZINC000552455754 1073206517 /nfs/dbraw/zinc/20/65/17/1073206517.db2.gz FUKIZNKMPSEUOP-MRXNPFEDSA-N 0 0 438.550 -0.373 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CCO1 ZINC000552460072 1073205594 /nfs/dbraw/zinc/20/55/94/1073205594.db2.gz XVQZUBKLTJWLOY-MSOLQXFVSA-N 0 0 432.477 -0.216 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CCO1 ZINC000552460073 1073205788 /nfs/dbraw/zinc/20/57/88/1073205788.db2.gz XVQZUBKLTJWLOY-QZTJIDSGSA-N 0 0 432.477 -0.216 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CCO1 ZINC000552460074 1073205605 /nfs/dbraw/zinc/20/56/05/1073205605.db2.gz XVQZUBKLTJWLOY-ROUUACIJSA-N 0 0 432.477 -0.216 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CCO1 ZINC000552460075 1073205681 /nfs/dbraw/zinc/20/56/81/1073205681.db2.gz XVQZUBKLTJWLOY-ZWKOTPCHSA-N 0 0 432.477 -0.216 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CCCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000552460976 1073205671 /nfs/dbraw/zinc/20/56/71/1073205671.db2.gz ZVILBOOSNFJGMR-UHFFFAOYSA-N 0 0 431.536 -0.105 20 0 IBADRN O=C(NCc1nccn1C(F)F)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000552461368 1073205733 /nfs/dbraw/zinc/20/57/33/1073205733.db2.gz GAOFZQMPKZIRKF-UHFFFAOYSA-N 0 0 432.409 -0.443 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3nccn3C(F)F)CC2)no1 ZINC000552462889 1073329702 /nfs/dbraw/zinc/32/97/02/1073329702.db2.gz QQLROAWWWWABBO-UHFFFAOYSA-N 0 0 425.396 -0.026 20 0 IBADRN O=C(NCc1nccn1C(F)F)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000552464007 1073207148 /nfs/dbraw/zinc/20/71/48/1073207148.db2.gz ZEZUYLZZGAXHLM-UHFFFAOYSA-N 0 0 428.421 -0.178 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2nccn2C(F)F)C1 ZINC000552464468 1073207252 /nfs/dbraw/zinc/20/72/52/1073207252.db2.gz IIQDWSGWEVQDPS-GFCCVEGCSA-N 0 0 437.469 -0.207 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2nccn2C(F)F)C1 ZINC000552464472 1073207164 /nfs/dbraw/zinc/20/71/64/1073207164.db2.gz IIQDWSGWEVQDPS-LBPRGKRZSA-N 0 0 437.469 -0.207 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)c1 ZINC000552464552 1073206992 /nfs/dbraw/zinc/20/69/92/1073206992.db2.gz MCCWSHGMFVVBOQ-UHFFFAOYSA-N 0 0 426.433 -0.615 20 0 IBADRN CCCCOc1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000552464625 1073207012 /nfs/dbraw/zinc/20/70/12/1073207012.db2.gz KPKPRUNURASULP-UHFFFAOYSA-N 0 0 447.536 -0.120 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000552464628 1073207024 /nfs/dbraw/zinc/20/70/24/1073207024.db2.gz KQYJYMIMUPDOFW-UHFFFAOYSA-N 0 0 433.509 -0.510 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000552465391 1073207129 /nfs/dbraw/zinc/20/71/29/1073207129.db2.gz GBDGWVWNHNVCBI-INIZCTEOSA-N 0 0 431.493 -0.637 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000552465393 1073207198 /nfs/dbraw/zinc/20/71/98/1073207198.db2.gz GBDGWVWNHNVCBI-MRXNPFEDSA-N 0 0 431.493 -0.637 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000552465759 1073207237 /nfs/dbraw/zinc/20/72/37/1073207237.db2.gz QZMNRGGWUZDDKT-GOSISDBHSA-N 0 0 445.520 -0.104 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000552465760 1073207078 /nfs/dbraw/zinc/20/70/78/1073207078.db2.gz QZMNRGGWUZDDKT-SFHVURJKSA-N 0 0 445.520 -0.104 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000552466724 1073207608 /nfs/dbraw/zinc/20/76/08/1073207608.db2.gz IXZGKJDYAWFEKG-AWEZNQCLSA-N 0 0 436.428 -0.057 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000552466725 1073207635 /nfs/dbraw/zinc/20/76/35/1073207635.db2.gz IXZGKJDYAWFEKG-CQSZACIVSA-N 0 0 436.428 -0.057 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(Cc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)s1 ZINC000552467222 1073207504 /nfs/dbraw/zinc/20/75/04/1073207504.db2.gz IIGUKXDHDRFQNV-UHFFFAOYSA-N 0 0 433.494 -0.084 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000552467665 1073207521 /nfs/dbraw/zinc/20/75/21/1073207521.db2.gz ISJUGNGTVZEVTC-UHFFFAOYSA-N 0 0 429.521 -0.128 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC3CCC(C(=O)NC)CC3)C2)nc1 ZINC000552467863 1073207539 /nfs/dbraw/zinc/20/75/39/1073207539.db2.gz RHWIFVINIKKHLU-UHFFFAOYSA-N 0 0 431.493 -0.016 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCn4nnc(CO)c4C3)CC2)cc1 ZINC000552468017 1073207665 /nfs/dbraw/zinc/20/76/65/1073207665.db2.gz YRCBXXJHYGEPOL-UHFFFAOYSA-N 0 0 434.522 -0.572 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000552468991 1073207569 /nfs/dbraw/zinc/20/75/69/1073207569.db2.gz JNZURFKURCVHNB-GPANFISMSA-N 0 0 444.554 -0.940 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000552468992 1073207660 /nfs/dbraw/zinc/20/76/60/1073207660.db2.gz JNZURFKURCVHNB-UYSNPLJNSA-N 0 0 444.554 -0.940 20 0 IBADRN COC(=O)C1CCC(NC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)(C(=O)OC)CC1 ZINC000552470564 1073207420 /nfs/dbraw/zinc/20/74/20/1073207420.db2.gz XWSBNEHYZAYWMR-UHFFFAOYSA-N 0 0 435.437 -0.314 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)cn1 ZINC000552473105 1073207555 /nfs/dbraw/zinc/20/75/55/1073207555.db2.gz SQDJUNITALRQMU-GMYLUUGSSA-N 0 0 436.519 -0.093 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)cn1 ZINC000552473106 1073207685 /nfs/dbraw/zinc/20/76/85/1073207685.db2.gz SQDJUNITALRQMU-HJFSHJIFSA-N 0 0 436.519 -0.093 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)cn1 ZINC000552473107 1073207678 /nfs/dbraw/zinc/20/76/78/1073207678.db2.gz SQDJUNITALRQMU-POXGOYDTSA-N 0 0 436.519 -0.093 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)cn1 ZINC000552473108 1073207683 /nfs/dbraw/zinc/20/76/83/1073207683.db2.gz SQDJUNITALRQMU-SUMNFNSASA-N 0 0 436.519 -0.093 20 0 IBADRN COC[C@@H](C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000552476668 1073207227 /nfs/dbraw/zinc/20/72/27/1073207227.db2.gz GABFEIOAOHXQGQ-AWEZNQCLSA-N 0 0 443.522 -0.065 20 0 IBADRN COC[C@H](C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000552476669 1073206983 /nfs/dbraw/zinc/20/69/83/1073206983.db2.gz GABFEIOAOHXQGQ-CQSZACIVSA-N 0 0 443.522 -0.065 20 0 IBADRN COC[C@H](C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000552476727 1073207003 /nfs/dbraw/zinc/20/70/03/1073207003.db2.gz JHEFVLNMURZXFK-GFCCVEGCSA-N 0 0 441.535 -0.077 20 0 IBADRN COC[C@@H](C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000552476728 1073207035 /nfs/dbraw/zinc/20/70/35/1073207035.db2.gz JHEFVLNMURZXFK-LBPRGKRZSA-N 0 0 441.535 -0.077 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000552485216 1073208495 /nfs/dbraw/zinc/20/84/95/1073208495.db2.gz PUPGLPWPMZVKCT-AWEZNQCLSA-N 0 0 438.485 -0.155 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000552485217 1073208636 /nfs/dbraw/zinc/20/86/36/1073208636.db2.gz PUPGLPWPMZVKCT-CQSZACIVSA-N 0 0 438.485 -0.155 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)Cn3cncn3)CC2)cs1 ZINC000552485381 1073208624 /nfs/dbraw/zinc/20/86/24/1073208624.db2.gz WXGRWGVAYZKDFV-LLVKDONJSA-N 0 0 427.512 -0.919 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)Cn3cncn3)CC2)cs1 ZINC000552485382 1073208504 /nfs/dbraw/zinc/20/85/04/1073208504.db2.gz WXGRWGVAYZKDFV-NSHDSACASA-N 0 0 427.512 -0.919 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000552485926 1073208479 /nfs/dbraw/zinc/20/84/79/1073208479.db2.gz BKEZUQVQDLXNCD-DZGCQCFKSA-N 0 0 438.485 -0.109 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000552485927 1073208654 /nfs/dbraw/zinc/20/86/54/1073208654.db2.gz BKEZUQVQDLXNCD-HIFRSBDPSA-N 0 0 438.485 -0.109 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000552485928 1073208439 /nfs/dbraw/zinc/20/84/39/1073208439.db2.gz BKEZUQVQDLXNCD-UKRRQHHQSA-N 0 0 438.485 -0.109 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000552485929 1073208512 /nfs/dbraw/zinc/20/85/12/1073208512.db2.gz BKEZUQVQDLXNCD-ZFWWWQNUSA-N 0 0 438.485 -0.109 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000552486021 1073208521 /nfs/dbraw/zinc/20/85/21/1073208521.db2.gz DHPVOCFOXAAMLT-CYBMUJFWSA-N 0 0 440.913 -0.031 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000552486026 1073208486 /nfs/dbraw/zinc/20/84/86/1073208486.db2.gz DHPVOCFOXAAMLT-ZDUSSCGKSA-N 0 0 440.913 -0.031 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000552486261 1073208630 /nfs/dbraw/zinc/20/86/30/1073208630.db2.gz ISJYZGAGRNMLFR-HNNXBMFYSA-N 0 0 431.472 -0.504 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000552486262 1073208660 /nfs/dbraw/zinc/20/86/60/1073208660.db2.gz ISJYZGAGRNMLFR-OAHLLOKOSA-N 0 0 431.472 -0.504 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000552486489 1073208121 /nfs/dbraw/zinc/20/81/21/1073208121.db2.gz QEJQTNLBBHWTSG-CYBMUJFWSA-N 0 0 430.494 -0.803 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000552486490 1073208161 /nfs/dbraw/zinc/20/81/61/1073208161.db2.gz QEJQTNLBBHWTSG-ZDUSSCGKSA-N 0 0 430.494 -0.803 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000552486697 1073208198 /nfs/dbraw/zinc/20/81/98/1073208198.db2.gz YAKVHMKJYLIZTO-HNNXBMFYSA-N 0 0 441.558 -0.564 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000552486698 1073208192 /nfs/dbraw/zinc/20/81/92/1073208192.db2.gz YAKVHMKJYLIZTO-OAHLLOKOSA-N 0 0 441.558 -0.564 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCc2ccccc2C1 ZINC000552486813 1073209071 /nfs/dbraw/zinc/20/90/71/1073209071.db2.gz AQAMKISXZOXHKV-INIZCTEOSA-N 0 0 441.532 -0.501 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCc2ccccc2C1 ZINC000552486815 1073209055 /nfs/dbraw/zinc/20/90/55/1073209055.db2.gz AQAMKISXZOXHKV-MRXNPFEDSA-N 0 0 441.532 -0.501 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000552487559 1073208182 /nfs/dbraw/zinc/20/81/82/1073208182.db2.gz PEEOBRWEIHRREY-CVEARBPZSA-N 0 0 443.512 -0.805 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000552487560 1073208167 /nfs/dbraw/zinc/20/81/67/1073208167.db2.gz PEEOBRWEIHRREY-HOTGVXAUSA-N 0 0 443.512 -0.805 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000552487561 1073208145 /nfs/dbraw/zinc/20/81/45/1073208145.db2.gz PEEOBRWEIHRREY-HZPDHXFCSA-N 0 0 443.512 -0.805 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000552487562 1073208042 /nfs/dbraw/zinc/20/80/42/1073208042.db2.gz PEEOBRWEIHRREY-JKSUJKDBSA-N 0 0 443.512 -0.805 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C)[C@H](c2nc(C3CC3)no2)C1 ZINC000552490678 1073208117 /nfs/dbraw/zinc/20/81/17/1073208117.db2.gz WEPZGIDCXCYYPV-AWEZNQCLSA-N 0 0 442.542 -0.404 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C)[C@@H](c2nc(C3CC3)no2)C1 ZINC000552490679 1073207985 /nfs/dbraw/zinc/20/79/85/1073207985.db2.gz WEPZGIDCXCYYPV-CQSZACIVSA-N 0 0 442.542 -0.404 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000552508777 1073209152 /nfs/dbraw/zinc/20/91/52/1073209152.db2.gz ZFPSFYACPDVTRZ-UHFFFAOYSA-N 0 0 446.548 -0.005 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)CC1 ZINC000552509259 1073209129 /nfs/dbraw/zinc/20/91/29/1073209129.db2.gz CMQOIKIZOYRJRK-UHFFFAOYSA-N 0 0 448.564 -0.071 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000552510818 1073209160 /nfs/dbraw/zinc/20/91/60/1073209160.db2.gz DTNMZAWVQNAKOG-IBGZPJMESA-N 0 0 432.521 -0.443 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000552510819 1073209092 /nfs/dbraw/zinc/20/90/92/1073209092.db2.gz DTNMZAWVQNAKOG-LJQANCHMSA-N 0 0 432.521 -0.443 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000552511255 1073209112 /nfs/dbraw/zinc/20/91/12/1073209112.db2.gz NRTPOYZZEMKDMY-GOSISDBHSA-N 0 0 434.537 -0.149 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000552511256 1073208976 /nfs/dbraw/zinc/20/89/76/1073208976.db2.gz NRTPOYZZEMKDMY-SFHVURJKSA-N 0 0 434.537 -0.149 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H]1Cn1cc(C2CC2)nn1 ZINC000552512271 1073209168 /nfs/dbraw/zinc/20/91/68/1073209168.db2.gz CXJHIPMBFXNVKV-HNNXBMFYSA-N 0 0 426.543 -0.019 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)CC1 ZINC000552513012 1073209119 /nfs/dbraw/zinc/20/91/19/1073209119.db2.gz CUWZFCXFWLOQNE-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)CCO1 ZINC000552513044 1073209173 /nfs/dbraw/zinc/20/91/73/1073209173.db2.gz DNBATDXAESBDNJ-FQEVSTJZSA-N 0 0 448.520 -0.623 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)CCO1 ZINC000552513045 1073209137 /nfs/dbraw/zinc/20/91/37/1073209137.db2.gz DNBATDXAESBDNJ-HXUWFJFHSA-N 0 0 448.520 -0.623 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000552513209 1073209164 /nfs/dbraw/zinc/20/91/64/1073209164.db2.gz KEFDGBKWSOXHSN-UHFFFAOYSA-N 0 0 435.525 -0.257 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)CC1 ZINC000552514711 1073209145 /nfs/dbraw/zinc/20/91/45/1073209145.db2.gz LKYHUVHFOCXJEH-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552515500 1073209024 /nfs/dbraw/zinc/20/90/24/1073209024.db2.gz NXUCWSNVQCDVME-APWZRJJASA-N 0 0 441.532 -0.285 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552515501 1073209520 /nfs/dbraw/zinc/20/95/20/1073209520.db2.gz NXUCWSNVQCDVME-LPHOPBHVSA-N 0 0 441.532 -0.285 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552515502 1073209343 /nfs/dbraw/zinc/20/93/43/1073209343.db2.gz NXUCWSNVQCDVME-QFBILLFUSA-N 0 0 441.532 -0.285 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552515503 1073209547 /nfs/dbraw/zinc/20/95/47/1073209547.db2.gz NXUCWSNVQCDVME-VQIMIIECSA-N 0 0 441.532 -0.285 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552517205 1073209528 /nfs/dbraw/zinc/20/95/28/1073209528.db2.gz MTLCRDOCLFXYTN-GOSISDBHSA-N 0 0 429.521 -0.428 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552517206 1073209381 /nfs/dbraw/zinc/20/93/81/1073209381.db2.gz MTLCRDOCLFXYTN-SFHVURJKSA-N 0 0 429.521 -0.428 20 0 IBADRN O=C(N[C@@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000552517568 1073209447 /nfs/dbraw/zinc/20/94/47/1073209447.db2.gz RHEIGFPTYGNSKI-MSOLQXFVSA-N 0 0 428.489 -0.247 20 0 IBADRN O=C(N[C@@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000552517572 1073209418 /nfs/dbraw/zinc/20/94/18/1073209418.db2.gz RHEIGFPTYGNSKI-QZTJIDSGSA-N 0 0 428.489 -0.247 20 0 IBADRN O=C(N[C@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000552517574 1073209467 /nfs/dbraw/zinc/20/94/67/1073209467.db2.gz RHEIGFPTYGNSKI-ROUUACIJSA-N 0 0 428.489 -0.247 20 0 IBADRN O=C(N[C@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000552517576 1073209367 /nfs/dbraw/zinc/20/93/67/1073209367.db2.gz RHEIGFPTYGNSKI-ZWKOTPCHSA-N 0 0 428.489 -0.247 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1=O ZINC000552522051 1073209430 /nfs/dbraw/zinc/20/94/30/1073209430.db2.gz GLLGXDACEAWIND-UHFFFAOYSA-N 0 0 429.521 -0.078 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(c2cccnn2)CC1)N1CCN(c2ccccc2)CC1 ZINC000552522423 1073209482 /nfs/dbraw/zinc/20/94/82/1073209482.db2.gz RLZWBIBRBAPGNM-UHFFFAOYSA-N 0 0 437.504 -0.410 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000552523197 1073209356 /nfs/dbraw/zinc/20/93/56/1073209356.db2.gz AQCAOBKJMDKINC-UHFFFAOYSA-N 0 0 429.521 -0.174 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552523683 1073210502 /nfs/dbraw/zinc/21/05/02/1073210502.db2.gz NLQVKFYDPSXDRS-CVEARBPZSA-N 0 0 438.550 -0.269 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552523684 1073210968 /nfs/dbraw/zinc/21/09/68/1073210968.db2.gz NLQVKFYDPSXDRS-HOTGVXAUSA-N 0 0 438.550 -0.269 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552523685 1073210855 /nfs/dbraw/zinc/21/08/55/1073210855.db2.gz NLQVKFYDPSXDRS-HZPDHXFCSA-N 0 0 438.550 -0.269 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)S(C)(=O)=O ZINC000552523686 1073210929 /nfs/dbraw/zinc/21/09/29/1073210929.db2.gz NLQVKFYDPSXDRS-JKSUJKDBSA-N 0 0 438.550 -0.269 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(c2ncccn2)CC1)N1CCN(c2ccccc2)CC1 ZINC000552524147 1073209536 /nfs/dbraw/zinc/20/95/36/1073209536.db2.gz ZXERAVFGDOATEZ-UHFFFAOYSA-N 0 0 437.504 -0.410 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000552525297 1073211065 /nfs/dbraw/zinc/21/10/65/1073211065.db2.gz KUWPDYVCBXNGNE-INIZCTEOSA-N 0 0 430.509 -0.642 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000552525298 1073210900 /nfs/dbraw/zinc/21/09/00/1073210900.db2.gz KUWPDYVCBXNGNE-MRXNPFEDSA-N 0 0 430.509 -0.642 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@H]1CCO[C@]2(CCOC2)C1)N1CCN(c2ccccc2)CC1 ZINC000552525774 1073211058 /nfs/dbraw/zinc/21/10/58/1073211058.db2.gz YCZMAGQUOZJCFZ-HTAPYJJXSA-N 0 0 430.505 -0.094 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@H]1CCO[C@@]2(CCOC2)C1)N1CCN(c2ccccc2)CC1 ZINC000552525775 1073210977 /nfs/dbraw/zinc/21/09/77/1073210977.db2.gz YCZMAGQUOZJCFZ-JTSKRJEESA-N 0 0 430.505 -0.094 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@@H]1CCO[C@]2(CCOC2)C1)N1CCN(c2ccccc2)CC1 ZINC000552525776 1073211040 /nfs/dbraw/zinc/21/10/40/1073211040.db2.gz YCZMAGQUOZJCFZ-VGOFRKELSA-N 0 0 430.505 -0.094 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@@H]1CCO[C@@]2(CCOC2)C1)N1CCN(c2ccccc2)CC1 ZINC000552525777 1073211029 /nfs/dbraw/zinc/21/10/29/1073211029.db2.gz YCZMAGQUOZJCFZ-VGSWGCGISA-N 0 0 430.505 -0.094 20 0 IBADRN O=C(NCc1cccc2cccnc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552534424 1073210942 /nfs/dbraw/zinc/21/09/42/1073210942.db2.gz WMWWVRVNSMJOSU-UHFFFAOYSA-N 0 0 439.472 -0.619 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc3cccnc32)CC1 ZINC000552535341 1073209977 /nfs/dbraw/zinc/20/99/77/1073209977.db2.gz IAPUEVQAIINNJU-UHFFFAOYSA-N 0 0 425.489 -0.146 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1cccc3cccnc31)C2 ZINC000552535566 1073209894 /nfs/dbraw/zinc/20/98/94/1073209894.db2.gz QZGJEWVCZQOFRV-UHFFFAOYSA-N 0 0 437.460 -0.660 20 0 IBADRN COCCNc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000552537618 1073210001 /nfs/dbraw/zinc/21/00/01/1073210001.db2.gz JYGZLMCMTWWJPC-UHFFFAOYSA-N 0 0 444.579 -0.144 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOC3CCCC3)CC2)CC1 ZINC000552537787 1073209905 /nfs/dbraw/zinc/20/99/05/1073209905.db2.gz RGGIXCQZOXUDEE-UHFFFAOYSA-N 0 0 445.586 -0.912 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@H](C)CN3CCOCC3)CC2)nc1 ZINC000552537954 1073210026 /nfs/dbraw/zinc/21/00/26/1073210026.db2.gz ZCVCILOXKGRPIX-KRWDZBQOSA-N 0 0 447.536 -0.009 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@@H](C)CN3CCOCC3)CC2)nc1 ZINC000552537955 1073209936 /nfs/dbraw/zinc/20/99/36/1073209936.db2.gz ZCVCILOXKGRPIX-QGZVFWFLSA-N 0 0 447.536 -0.009 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000552538690 1073210371 /nfs/dbraw/zinc/21/03/71/1073210371.db2.gz LCKOPLOEYQTSBX-UHFFFAOYSA-N 0 0 448.549 -0.440 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2sccc2Br)CC1 ZINC000552539118 1073210393 /nfs/dbraw/zinc/21/03/93/1073210393.db2.gz YOLOBOHNMHQDMS-UHFFFAOYSA-N 0 0 442.299 -0.516 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)CN1CCOCC1 ZINC000552540102 1073210510 /nfs/dbraw/zinc/21/05/10/1073210510.db2.gz MEJSNTCRIWYJBF-AOIWGVFYSA-N 0 0 438.548 -0.125 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)CN1CCOCC1 ZINC000552540103 1073210400 /nfs/dbraw/zinc/21/04/00/1073210400.db2.gz MEJSNTCRIWYJBF-LNLFQRSKSA-N 0 0 438.548 -0.125 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCn3ccnc3C)CC2)cc1 ZINC000552540423 1073210539 /nfs/dbraw/zinc/21/05/39/1073210539.db2.gz XWBGTYJXIQYTAH-UHFFFAOYSA-N 0 0 435.506 -0.151 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1)CN1CCOCC1 ZINC000552541235 1073210570 /nfs/dbraw/zinc/21/05/70/1073210570.db2.gz IEGFGGGJNYFPSS-CVEARBPZSA-N 0 0 430.513 -0.754 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1)CN1CCOCC1 ZINC000552541236 1073210382 /nfs/dbraw/zinc/21/03/82/1073210382.db2.gz IEGFGGGJNYFPSS-HOTGVXAUSA-N 0 0 430.513 -0.754 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1)CN1CCOCC1 ZINC000552541237 1073210435 /nfs/dbraw/zinc/21/04/35/1073210435.db2.gz IEGFGGGJNYFPSS-HZPDHXFCSA-N 0 0 430.513 -0.754 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1)CN1CCOCC1 ZINC000552541238 1073210364 /nfs/dbraw/zinc/21/03/64/1073210364.db2.gz IEGFGGGJNYFPSS-JKSUJKDBSA-N 0 0 430.513 -0.754 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC[C@@H](C)CN2CCOCC2)CC1 ZINC000552541699 1073210473 /nfs/dbraw/zinc/21/04/73/1073210473.db2.gz ZOQLOZNCKVYCHU-GOSISDBHSA-N 0 0 425.574 -0.526 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC[C@H](C)CN2CCOCC2)CC1 ZINC000552541700 1073210520 /nfs/dbraw/zinc/21/05/20/1073210520.db2.gz ZOQLOZNCKVYCHU-SFHVURJKSA-N 0 0 425.574 -0.526 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000552542894 1073210448 /nfs/dbraw/zinc/21/04/48/1073210448.db2.gz YFDCYNMKTDAVCF-UHFFFAOYSA-N 0 0 428.497 -0.717 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000552544971 1073211951 /nfs/dbraw/zinc/21/19/51/1073211951.db2.gz HCUHOJFWNMMHKK-KRWDZBQOSA-N 0 0 432.477 -0.980 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000552544973 1073211753 /nfs/dbraw/zinc/21/17/53/1073211753.db2.gz HCUHOJFWNMMHKK-QGZVFWFLSA-N 0 0 432.477 -0.980 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000552551659 1073212916 /nfs/dbraw/zinc/21/29/16/1073212916.db2.gz WPYWWWOVWMHDNE-UHFFFAOYSA-N 0 0 449.489 -0.726 20 0 IBADRN CC(C)c1nc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cs1 ZINC000552552485 1073212724 /nfs/dbraw/zinc/21/27/24/1073212724.db2.gz FNTJPDFLGYBYNH-UHFFFAOYSA-N 0 0 437.566 -0.072 20 0 IBADRN COc1ccc(COCCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000552565467 1073211867 /nfs/dbraw/zinc/21/18/67/1073211867.db2.gz SFCMZOBZZKMLKS-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN COc1ccc(COCCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000552566860 1073215428 /nfs/dbraw/zinc/21/54/28/1073215428.db2.gz OCCKXKYNVNFZBX-UHFFFAOYSA-N 0 0 445.563 -0.177 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)CCO1 ZINC000552569658 1073213311 /nfs/dbraw/zinc/21/33/11/1073213311.db2.gz POOZNUCWTAKDNO-NRXISQOPSA-N 0 0 426.539 -0.790 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)CCO1 ZINC000552569660 1073213383 /nfs/dbraw/zinc/21/33/83/1073213383.db2.gz POOZNUCWTAKDNO-YMAMQOFZSA-N 0 0 426.539 -0.790 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NC[C@H]3CN(C)CCO3)CC2)C[C@@H](C)O1 ZINC000552575176 1073213391 /nfs/dbraw/zinc/21/33/91/1073213391.db2.gz QSMFTQBTNUBFJA-LMMKCTJWSA-N 0 0 426.558 -0.450 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NC[C@H]3CN(C)CCO3)CC2)C[C@H](C)O1 ZINC000552575177 1073213457 /nfs/dbraw/zinc/21/34/57/1073213457.db2.gz QSMFTQBTNUBFJA-SCTDSRPQSA-N 0 0 426.558 -0.450 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NC[C@@H]3CN(C)CCO3)CC2)C[C@@H](C)O1 ZINC000552575178 1073213511 /nfs/dbraw/zinc/21/35/11/1073213511.db2.gz QSMFTQBTNUBFJA-ZHALLVOQSA-N 0 0 426.558 -0.450 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NC[C@@H]3CN(C)CCO3)CC2)C[C@H](C)O1 ZINC000552575179 1073213521 /nfs/dbraw/zinc/21/35/21/1073213521.db2.gz QSMFTQBTNUBFJA-ZIFCJYIRSA-N 0 0 426.558 -0.450 20 0 IBADRN COc1cc(C)c(CN2CCN(C(=O)CN3CCN(CC(N)=O)CC3)CC2)cc1OC ZINC000552579575 1073213345 /nfs/dbraw/zinc/21/33/45/1073213345.db2.gz MBXYPHXFHOLWAH-UHFFFAOYSA-N 0 0 433.553 -0.241 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)[C@@H](C)C1 ZINC000552580743 1073214530 /nfs/dbraw/zinc/21/45/30/1073214530.db2.gz AUHQQCHVYNBMOB-HNNXBMFYSA-N 0 0 436.484 -0.304 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)[C@H](C)C1 ZINC000552580744 1073214465 /nfs/dbraw/zinc/21/44/65/1073214465.db2.gz AUHQQCHVYNBMOB-OAHLLOKOSA-N 0 0 436.484 -0.304 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000552580781 1073214402 /nfs/dbraw/zinc/21/44/02/1073214402.db2.gz BMYMFGOHXXEJKF-CYBMUJFWSA-N 0 0 432.374 -0.120 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000552580782 1073214457 /nfs/dbraw/zinc/21/44/57/1073214457.db2.gz BMYMFGOHXXEJKF-ZDUSSCGKSA-N 0 0 432.374 -0.120 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000552580839 1073213839 /nfs/dbraw/zinc/21/38/39/1073213839.db2.gz CIMUFKAMDZAJED-UHFFFAOYSA-N 0 0 444.536 -0.293 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552581045 1073214038 /nfs/dbraw/zinc/21/40/38/1073214038.db2.gz HJQVLTQDLJBHHH-KRWDZBQOSA-N 0 0 444.536 -0.246 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552581046 1073213870 /nfs/dbraw/zinc/21/38/70/1073213870.db2.gz HJQVLTQDLJBHHH-QGZVFWFLSA-N 0 0 444.536 -0.246 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552581239 1073213801 /nfs/dbraw/zinc/21/38/01/1073213801.db2.gz NPLXDRNAOGGNNC-INIZCTEOSA-N 0 0 445.524 -0.857 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552581240 1073213981 /nfs/dbraw/zinc/21/39/81/1073213981.db2.gz NPLXDRNAOGGNNC-MRXNPFEDSA-N 0 0 445.524 -0.857 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CC(=O)N2CCCC[C@H]12)N1CCN(c2ccccn2)CC1 ZINC000552581282 1073213913 /nfs/dbraw/zinc/21/39/13/1073213913.db2.gz PGMRHDLJSAJWRO-DLBZAZTESA-N 0 0 442.520 -0.494 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CC(=O)N2CCCC[C@H]12)N1CCN(c2ccccn2)CC1 ZINC000552581283 1073213783 /nfs/dbraw/zinc/21/37/83/1073213783.db2.gz PGMRHDLJSAJWRO-IAGOWNOFSA-N 0 0 442.520 -0.494 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CC(=O)N2CCCC[C@@H]12)N1CCN(c2ccccn2)CC1 ZINC000552581284 1073214050 /nfs/dbraw/zinc/21/40/50/1073214050.db2.gz PGMRHDLJSAJWRO-IRXDYDNUSA-N 0 0 442.520 -0.494 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CC(=O)N2CCCC[C@@H]12)N1CCN(c2ccccn2)CC1 ZINC000552581285 1073213901 /nfs/dbraw/zinc/21/39/01/1073213901.db2.gz PGMRHDLJSAJWRO-SJORKVTESA-N 0 0 442.520 -0.494 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552581308 1073213930 /nfs/dbraw/zinc/21/39/30/1073213930.db2.gz QMPVHBXKSVJTRF-UHFFFAOYSA-N 0 0 431.537 -0.368 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1=O ZINC000552581384 1073213952 /nfs/dbraw/zinc/21/39/52/1073213952.db2.gz SVIRGADTAAXVKU-UHFFFAOYSA-N 0 0 430.509 -0.683 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552581454 1073213935 /nfs/dbraw/zinc/21/39/35/1073213935.db2.gz VZPDTXMIRYBMQY-DLBZAZTESA-N 0 0 433.509 -0.453 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552581455 1073214086 /nfs/dbraw/zinc/21/40/86/1073214086.db2.gz VZPDTXMIRYBMQY-IAGOWNOFSA-N 0 0 433.509 -0.453 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552581456 1073213888 /nfs/dbraw/zinc/21/38/88/1073213888.db2.gz VZPDTXMIRYBMQY-IRXDYDNUSA-N 0 0 433.509 -0.453 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552581457 1073213964 /nfs/dbraw/zinc/21/39/64/1073213964.db2.gz VZPDTXMIRYBMQY-SJORKVTESA-N 0 0 433.509 -0.453 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCN1CCCCC1=O)N1CCN(c2ccccn2)CC1 ZINC000552581465 1073214023 /nfs/dbraw/zinc/21/40/23/1073214023.db2.gz WFNHESYRRQKHAJ-UHFFFAOYSA-N 0 0 444.536 -0.245 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C1 ZINC000552581584 1073214492 /nfs/dbraw/zinc/21/44/92/1073214492.db2.gz ZSRDQFJKRFFZIO-HNNXBMFYSA-N 0 0 442.513 -0.612 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C1 ZINC000552581585 1073214365 /nfs/dbraw/zinc/21/43/65/1073214365.db2.gz ZSRDQFJKRFFZIO-OAHLLOKOSA-N 0 0 442.513 -0.612 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000552582226 1073214408 /nfs/dbraw/zinc/21/44/08/1073214408.db2.gz CEXGGAPBWAIAIP-UHFFFAOYSA-N 0 0 446.414 -0.415 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNC(=O)c1cnccn1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000552582270 1073214552 /nfs/dbraw/zinc/21/45/52/1073214552.db2.gz DXDWSOLLISYYPK-CYBMUJFWSA-N 0 0 448.505 -0.550 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNC(=O)c1cnccn1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000552582271 1073214394 /nfs/dbraw/zinc/21/43/94/1073214394.db2.gz DXDWSOLLISYYPK-ZDUSSCGKSA-N 0 0 448.505 -0.550 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000552582331 1073214426 /nfs/dbraw/zinc/21/44/26/1073214426.db2.gz GLWXAGVKRGPPKY-UHFFFAOYSA-N 0 0 436.423 -0.741 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000552582441 1073214498 /nfs/dbraw/zinc/21/44/98/1073214498.db2.gz LQKQBKNUPBTPMT-INIZCTEOSA-N 0 0 440.508 -0.956 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000552582442 1073214442 /nfs/dbraw/zinc/21/44/42/1073214442.db2.gz LQKQBKNUPBTPMT-MRXNPFEDSA-N 0 0 440.508 -0.956 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)n1 ZINC000552582466 1073214482 /nfs/dbraw/zinc/21/44/82/1073214482.db2.gz MDSVBXAQUAAHPO-UHFFFAOYSA-N 0 0 442.480 -0.750 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000552582612 1073214384 /nfs/dbraw/zinc/21/43/84/1073214384.db2.gz RLENKHDSOKRBFT-GFCCVEGCSA-N 0 0 434.478 -0.810 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000552582613 1073214507 /nfs/dbraw/zinc/21/45/07/1073214507.db2.gz RLENKHDSOKRBFT-LBPRGKRZSA-N 0 0 434.478 -0.810 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000552582624 1073214374 /nfs/dbraw/zinc/21/43/74/1073214374.db2.gz RPNSICXAWDHWIV-UHFFFAOYSA-N 0 0 428.424 -0.554 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000552584029 1073214979 /nfs/dbraw/zinc/21/49/79/1073214979.db2.gz RAEZPKFQAWQQRC-UHFFFAOYSA-N 0 0 446.489 -0.821 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNC(=O)c1cnccn1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000552584065 1073214924 /nfs/dbraw/zinc/21/49/24/1073214924.db2.gz SCSCOVMLKICDMC-APWZRJJASA-N 0 0 440.504 -0.272 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNC(=O)c1cnccn1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000552584066 1073214946 /nfs/dbraw/zinc/21/49/46/1073214946.db2.gz SCSCOVMLKICDMC-LPHOPBHVSA-N 0 0 440.504 -0.272 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNC(=O)c1cnccn1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000552584067 1073214846 /nfs/dbraw/zinc/21/48/46/1073214846.db2.gz SCSCOVMLKICDMC-QFBILLFUSA-N 0 0 440.504 -0.272 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNC(=O)c1cnccn1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000552584068 1073215037 /nfs/dbraw/zinc/21/50/37/1073215037.db2.gz SCSCOVMLKICDMC-VQIMIIECSA-N 0 0 440.504 -0.272 20 0 IBADRN Cc1ccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)C2)nc1 ZINC000552584297 1073214434 /nfs/dbraw/zinc/21/44/34/1073214434.db2.gz ZGYNFIFPUNGSIL-HNNXBMFYSA-N 0 0 439.476 -0.097 20 0 IBADRN Cc1ccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)C2)nc1 ZINC000552584298 1073214996 /nfs/dbraw/zinc/21/49/96/1073214996.db2.gz ZGYNFIFPUNGSIL-OAHLLOKOSA-N 0 0 439.476 -0.097 20 0 IBADRN CCCn1cc(CN2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)cn1 ZINC000552584327 1073214961 /nfs/dbraw/zinc/21/49/61/1073214961.db2.gz ZZIFIKGFNLDADN-UHFFFAOYSA-N 0 0 442.524 -0.336 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(c2ncnc3sccc32)CC1 ZINC000552584939 1073214887 /nfs/dbraw/zinc/21/48/87/1073214887.db2.gz AOEFTQHKAGDKSK-UHFFFAOYSA-N 0 0 440.489 -0.324 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCn2c(Cc3ccccc3)nnc2C1 ZINC000552585097 1073214829 /nfs/dbraw/zinc/21/48/29/1073214829.db2.gz GHTISRIRQSIRAD-UHFFFAOYSA-N 0 0 434.460 -0.453 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1)Nc1ccccc1 ZINC000552585196 1073214802 /nfs/dbraw/zinc/21/48/02/1073214802.db2.gz JYVCWVHXRRQMRG-UHFFFAOYSA-N 0 0 439.476 -0.895 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCC(=O)N3CCCCCC3)CC2)nc1 ZINC000552588313 1073215305 /nfs/dbraw/zinc/21/53/05/1073215305.db2.gz VSJMMMSKVZZSKD-UHFFFAOYSA-N 0 0 430.509 -0.262 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)N1CCCCCC1 ZINC000552589170 1073215501 /nfs/dbraw/zinc/21/55/01/1073215501.db2.gz IRYQEOIKDKUSNJ-UHFFFAOYSA-N 0 0 429.499 -0.881 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(NC(=O)c3ccncc3)cc2)C1 ZINC000552589300 1073215575 /nfs/dbraw/zinc/21/55/75/1073215575.db2.gz NOUSVTIYFXWQPV-INIZCTEOSA-N 0 0 431.474 -0.161 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(NC(=O)c3ccncc3)cc2)C1 ZINC000552589301 1073215420 /nfs/dbraw/zinc/21/54/20/1073215420.db2.gz NOUSVTIYFXWQPV-MRXNPFEDSA-N 0 0 431.474 -0.161 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@]2(CCOC2)C1)N1CCN(c2ccccc2)CC1 ZINC000552589306 1073215293 /nfs/dbraw/zinc/21/52/93/1073215293.db2.gz NQKGRKWMBHHXIJ-JOCHJYFZSA-N 0 0 430.505 -0.141 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@@]2(CCOC2)C1)N1CCN(c2ccccc2)CC1 ZINC000552589307 1073215521 /nfs/dbraw/zinc/21/55/21/1073215521.db2.gz NQKGRKWMBHHXIJ-QFIPXVFZSA-N 0 0 430.505 -0.141 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000552589940 1073215377 /nfs/dbraw/zinc/21/53/77/1073215377.db2.gz NHZGFZRKOLKJLQ-UHFFFAOYSA-N 0 0 445.563 -0.061 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552590220 1073215490 /nfs/dbraw/zinc/21/54/90/1073215490.db2.gz BOCCOEASXYCTMH-NRFANRHFSA-N 0 0 430.509 -0.779 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552590221 1073215324 /nfs/dbraw/zinc/21/53/24/1073215324.db2.gz BOCCOEASXYCTMH-OAQYLSRUSA-N 0 0 430.509 -0.779 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)CC2)n1 ZINC000552590301 1073363643 /nfs/dbraw/zinc/36/36/43/1073363643.db2.gz GJPAIFDGLHIYDZ-UHFFFAOYSA-N 0 0 435.485 -0.617 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000552590354 1073215350 /nfs/dbraw/zinc/21/53/50/1073215350.db2.gz INVKMMOWYVZFNI-UHFFFAOYSA-N 0 0 449.527 -0.387 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000552590433 1073215411 /nfs/dbraw/zinc/21/54/11/1073215411.db2.gz KEOATHBXWVUZSO-UHFFFAOYSA-N 0 0 441.463 -0.020 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C1 ZINC000552590656 1073215923 /nfs/dbraw/zinc/21/59/23/1073215923.db2.gz RFRXXYLNZFKMHL-HNNXBMFYSA-N 0 0 428.486 -0.859 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C1 ZINC000552590657 1073215821 /nfs/dbraw/zinc/21/58/21/1073215821.db2.gz RFRXXYLNZFKMHL-OAHLLOKOSA-N 0 0 428.486 -0.859 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)C[C@H]2CO)cn1 ZINC000552590682 1073215926 /nfs/dbraw/zinc/21/59/26/1073215926.db2.gz RUTIQCOUJMLYPD-WMZOPIPTSA-N 0 0 431.468 -0.431 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000552590739 1073215857 /nfs/dbraw/zinc/21/58/57/1073215857.db2.gz UPZQTDXULDRIHA-KRWDZBQOSA-N 0 0 434.468 -0.550 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000552590740 1073216009 /nfs/dbraw/zinc/21/60/09/1073216009.db2.gz UPZQTDXULDRIHA-QGZVFWFLSA-N 0 0 434.468 -0.550 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)CC2=O)cn1 ZINC000552590821 1073215989 /nfs/dbraw/zinc/21/59/89/1073215989.db2.gz XQMFCYDVAHNMFO-UHFFFAOYSA-N 0 0 430.440 -0.791 20 0 IBADRN C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552590875 1073215947 /nfs/dbraw/zinc/21/59/47/1073215947.db2.gz ZMUPLSMCLFYQGP-INIZCTEOSA-N 0 0 446.552 -0.002 20 0 IBADRN C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552590876 1073216015 /nfs/dbraw/zinc/21/60/15/1073216015.db2.gz ZMUPLSMCLFYQGP-MRXNPFEDSA-N 0 0 446.552 -0.002 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(C2CCC2)CC1)N1CCN(c2ccccn2)CC1 ZINC000552591519 1073215939 /nfs/dbraw/zinc/21/59/39/1073215939.db2.gz CIRVQZIMTYEZTK-UHFFFAOYSA-N 0 0 428.537 -0.067 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552591572 1073215883 /nfs/dbraw/zinc/21/58/83/1073215883.db2.gz DUWHMLFHGKBYKE-CVEARBPZSA-N 0 0 430.509 -0.733 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552591573 1073215871 /nfs/dbraw/zinc/21/58/71/1073215871.db2.gz DUWHMLFHGKBYKE-HOTGVXAUSA-N 0 0 430.509 -0.733 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552591574 1073215928 /nfs/dbraw/zinc/21/59/28/1073215928.db2.gz DUWHMLFHGKBYKE-HZPDHXFCSA-N 0 0 430.509 -0.733 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552591575 1073215995 /nfs/dbraw/zinc/21/59/95/1073215995.db2.gz DUWHMLFHGKBYKE-JKSUJKDBSA-N 0 0 430.509 -0.733 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000552591953 1073216344 /nfs/dbraw/zinc/21/63/44/1073216344.db2.gz PKCVQJHEVJDVFX-INIZCTEOSA-N 0 0 430.509 -0.638 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000552591954 1073216310 /nfs/dbraw/zinc/21/63/10/1073216310.db2.gz PKCVQJHEVJDVFX-MRXNPFEDSA-N 0 0 430.509 -0.638 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000552592048 1073215828 /nfs/dbraw/zinc/21/58/28/1073215828.db2.gz SNSNFOQNTPMVMT-UHFFFAOYSA-N 0 0 435.500 -0.777 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)[C@@H](C)C1 ZINC000552592063 1073215845 /nfs/dbraw/zinc/21/58/45/1073215845.db2.gz STLRXSJQHGMBRL-INIZCTEOSA-N 0 0 430.509 -0.684 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)[C@H](C)C1 ZINC000552592064 1073215980 /nfs/dbraw/zinc/21/59/80/1073215980.db2.gz STLRXSJQHGMBRL-MRXNPFEDSA-N 0 0 430.509 -0.684 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CCN1c1nccn2cnnc12 ZINC000552594073 1073216368 /nfs/dbraw/zinc/21/63/68/1073216368.db2.gz DJDGHWGSFJWJMO-CHWSQXEVSA-N 0 0 440.430 -0.086 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CCN1c1nccn2cnnc12 ZINC000552594074 1073216373 /nfs/dbraw/zinc/21/63/73/1073216373.db2.gz DJDGHWGSFJWJMO-OLZOCXBDSA-N 0 0 440.430 -0.086 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CCN1c1nccn2cnnc12 ZINC000552594075 1073216417 /nfs/dbraw/zinc/21/64/17/1073216417.db2.gz DJDGHWGSFJWJMO-QWHCGFSZSA-N 0 0 440.430 -0.086 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CCN1c1nccn2cnnc12 ZINC000552594076 1073216339 /nfs/dbraw/zinc/21/63/39/1073216339.db2.gz DJDGHWGSFJWJMO-STQMWFEESA-N 0 0 440.430 -0.086 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCC(=O)NC12CCC2)N1CCN(c2ccccn2)CC1 ZINC000552595006 1073216293 /nfs/dbraw/zinc/21/62/93/1073216293.db2.gz JWHLYUBSLCIIMH-INIZCTEOSA-N 0 0 442.520 -0.446 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCC(=O)NC12CCC2)N1CCN(c2ccccn2)CC1 ZINC000552595007 1073216331 /nfs/dbraw/zinc/21/63/31/1073216331.db2.gz JWHLYUBSLCIIMH-MRXNPFEDSA-N 0 0 442.520 -0.446 20 0 IBADRN COc1ccccc1N1CC[C@@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000552595289 1073216352 /nfs/dbraw/zinc/21/63/52/1073216352.db2.gz SPIMWAZDPZOLCT-HNNXBMFYSA-N 0 0 426.477 -0.026 20 0 IBADRN COc1ccccc1N1CC[C@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000552595290 1073216318 /nfs/dbraw/zinc/21/63/18/1073216318.db2.gz SPIMWAZDPZOLCT-OAHLLOKOSA-N 0 0 426.477 -0.026 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)[C@@H](C)C1 ZINC000552595386 1073216358 /nfs/dbraw/zinc/21/63/58/1073216358.db2.gz VRRCZCUXKNLRAM-KRWDZBQOSA-N 0 0 429.521 -0.079 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)[C@H](C)C1 ZINC000552595387 1073216407 /nfs/dbraw/zinc/21/64/07/1073216407.db2.gz VRRCZCUXKNLRAM-QGZVFWFLSA-N 0 0 429.521 -0.079 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H]2CCOc3ccccc32)CC1 ZINC000552602421 1073216398 /nfs/dbraw/zinc/21/63/98/1073216398.db2.gz ZPFVETSZDYYEBD-KRWDZBQOSA-N 0 0 430.505 -0.328 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H]2CCOc3ccccc32)CC1 ZINC000552602422 1073216382 /nfs/dbraw/zinc/21/63/82/1073216382.db2.gz ZPFVETSZDYYEBD-QGZVFWFLSA-N 0 0 430.505 -0.328 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1F ZINC000552605180 1073216841 /nfs/dbraw/zinc/21/68/41/1073216841.db2.gz RCRCEAWKXMUSHB-UHFFFAOYSA-N 0 0 438.441 -0.538 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)ccc1F ZINC000552605951 1073216778 /nfs/dbraw/zinc/21/67/78/1073216778.db2.gz CDWUMIADTDPCIV-UHFFFAOYSA-N 0 0 438.441 -0.538 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000552606763 1073216709 /nfs/dbraw/zinc/21/67/09/1073216709.db2.gz HCOPRLDXKZDQGK-GFCCVEGCSA-N 0 0 437.515 -0.093 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000552606764 1073216967 /nfs/dbraw/zinc/21/69/67/1073216967.db2.gz HCOPRLDXKZDQGK-LBPRGKRZSA-N 0 0 437.515 -0.093 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)COC)CC2)s1 ZINC000552606821 1073216832 /nfs/dbraw/zinc/21/68/32/1073216832.db2.gz PTDCSWAVIQMYLD-UHFFFAOYSA-N 0 0 439.581 -0.291 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000552610232 1073216857 /nfs/dbraw/zinc/21/68/57/1073216857.db2.gz AGUDMNGHACPUKE-CYBMUJFWSA-N 0 0 437.522 -0.316 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000552610233 1073216941 /nfs/dbraw/zinc/21/69/41/1073216941.db2.gz AGUDMNGHACPUKE-ZDUSSCGKSA-N 0 0 437.522 -0.316 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCCCOCc2ccccc2)CC1 ZINC000552611409 1073216869 /nfs/dbraw/zinc/21/68/69/1073216869.db2.gz IFWJRYPMWAMWNZ-UHFFFAOYSA-N 0 0 429.481 -0.543 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)CC1 ZINC000552611425 1073216894 /nfs/dbraw/zinc/21/68/94/1073216894.db2.gz IRUDHFUAXLWCEZ-UHFFFAOYSA-N 0 0 434.559 -0.836 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)CC2)C1 ZINC000552611597 1073216900 /nfs/dbraw/zinc/21/69/00/1073216900.db2.gz PODLLWAERDQPSM-CALCHBBNSA-N 0 0 437.585 -0.086 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)CC2)C1 ZINC000552611598 1073217245 /nfs/dbraw/zinc/21/72/45/1073217245.db2.gz PODLLWAERDQPSM-IAGOWNOFSA-N 0 0 437.585 -0.086 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)CC2)C1 ZINC000552611599 1073217177 /nfs/dbraw/zinc/21/71/77/1073217177.db2.gz PODLLWAERDQPSM-IRXDYDNUSA-N 0 0 437.585 -0.086 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCc1nc2c(s1)CCCC2 ZINC000552612916 1073217253 /nfs/dbraw/zinc/21/72/53/1073217253.db2.gz NWRKZYSHZUZEBE-UHFFFAOYSA-N 0 0 441.535 -0.096 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCNC(=O)C(C)(C)C)cn1 ZINC000552614064 1073217208 /nfs/dbraw/zinc/21/72/08/1073217208.db2.gz HGAJYNLFFAUFEE-AWEZNQCLSA-N 0 0 427.527 -0.520 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCNC(=O)C(C)(C)C)cn1 ZINC000552614065 1073217269 /nfs/dbraw/zinc/21/72/69/1073217269.db2.gz HGAJYNLFFAUFEE-CQSZACIVSA-N 0 0 427.527 -0.520 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000552614306 1073217297 /nfs/dbraw/zinc/21/72/97/1073217297.db2.gz SKXUCRYIUZRLHP-UHFFFAOYSA-N 0 0 448.549 -0.321 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC[C@H](CNC(=O)CC(F)(F)F)C1)c(=O)n2C ZINC000552614645 1073217163 /nfs/dbraw/zinc/21/71/63/1073217163.db2.gz DXOVOBQQFNVOLD-LLVKDONJSA-N 0 0 444.414 -0.259 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC[C@@H](CNC(=O)CC(F)(F)F)C1)c(=O)n2C ZINC000552614646 1073217340 /nfs/dbraw/zinc/21/73/40/1073217340.db2.gz DXOVOBQQFNVOLD-NSHDSACASA-N 0 0 444.414 -0.259 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)on1 ZINC000552615344 1073217322 /nfs/dbraw/zinc/21/73/22/1073217322.db2.gz FXAAQPOEBFTVQN-UHFFFAOYSA-N 0 0 448.867 -0.492 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C[C@@H](CO)O1 ZINC000552615407 1073217232 /nfs/dbraw/zinc/21/72/32/1073217232.db2.gz IOPGAMNTALYMOJ-PBHICJAKSA-N 0 0 438.912 -0.287 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C[C@H](CO)O1 ZINC000552615408 1073217311 /nfs/dbraw/zinc/21/73/11/1073217311.db2.gz IOPGAMNTALYMOJ-RHSMWYFYSA-N 0 0 438.912 -0.287 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C[C@H](CO)O1 ZINC000552615409 1073217198 /nfs/dbraw/zinc/21/71/98/1073217198.db2.gz IOPGAMNTALYMOJ-WMLDXEAASA-N 0 0 438.912 -0.287 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C[C@@H](CO)O1 ZINC000552615410 1073217185 /nfs/dbraw/zinc/21/71/85/1073217185.db2.gz IOPGAMNTALYMOJ-YOEHRIQHSA-N 0 0 438.912 -0.287 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C1 ZINC000552615473 1073217353 /nfs/dbraw/zinc/21/73/53/1073217353.db2.gz LGQPBFAXJAVXDL-FQEVSTJZSA-N 0 0 435.912 -0.171 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C1 ZINC000552615474 1073217283 /nfs/dbraw/zinc/21/72/83/1073217283.db2.gz LGQPBFAXJAVXDL-HXUWFJFHSA-N 0 0 435.912 -0.171 20 0 IBADRN O=C(NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1)C(=O)N1CCn2ncnc2C1 ZINC000552615554 1073217222 /nfs/dbraw/zinc/21/72/22/1073217222.db2.gz OKFPDGXVYFBIOO-UHFFFAOYSA-N 0 0 431.884 -0.261 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)CC1=O ZINC000552615596 1073217367 /nfs/dbraw/zinc/21/73/67/1073217367.db2.gz PYGHJWCICDEETO-UHFFFAOYSA-N 0 0 435.912 -0.205 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC(OCCN2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000552618215 1073217838 /nfs/dbraw/zinc/21/78/38/1073217838.db2.gz YHHWHDGMIQESOW-HOTGVXAUSA-N 0 0 441.616 -0.154 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC(OCCN2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000552618216 1073217698 /nfs/dbraw/zinc/21/76/98/1073217698.db2.gz YHHWHDGMIQESOW-HZPDHXFCSA-N 0 0 441.616 -0.154 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC(OCCN2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000552618217 1073217653 /nfs/dbraw/zinc/21/76/53/1073217653.db2.gz YHHWHDGMIQESOW-IYBDPMFKSA-N 0 0 441.616 -0.154 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)CC1)NC1CC1 ZINC000552622047 1073218095 /nfs/dbraw/zinc/21/80/95/1073218095.db2.gz BOXXJBVPDRLCQN-UHFFFAOYSA-N 0 0 436.534 -0.611 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)C1 ZINC000552622271 1073217849 /nfs/dbraw/zinc/21/78/49/1073217849.db2.gz MMJIVJXPRXSPNV-INIZCTEOSA-N 0 0 445.563 -0.105 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)C1 ZINC000552622272 1073218031 /nfs/dbraw/zinc/21/80/31/1073218031.db2.gz MMJIVJXPRXSPNV-MRXNPFEDSA-N 0 0 445.563 -0.105 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)CN1CCN(S(C)(=O)=O)CC1)CC2 ZINC000552623375 1073218241 /nfs/dbraw/zinc/21/82/41/1073218241.db2.gz MANVICCHZJTLNJ-HNNXBMFYSA-N 0 0 440.570 -0.723 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)CN1CCN(S(C)(=O)=O)CC1)CC2 ZINC000552623376 1073218271 /nfs/dbraw/zinc/21/82/71/1073218271.db2.gz MANVICCHZJTLNJ-OAHLLOKOSA-N 0 0 440.570 -0.723 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)c1C ZINC000552624804 1073218867 /nfs/dbraw/zinc/21/88/67/1073218867.db2.gz YNWLDIMYXWHDBZ-INIZCTEOSA-N 0 0 441.536 -0.026 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)c1C ZINC000552624805 1073218916 /nfs/dbraw/zinc/21/89/16/1073218916.db2.gz YNWLDIMYXWHDBZ-MRXNPFEDSA-N 0 0 441.536 -0.026 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000552624866 1073218936 /nfs/dbraw/zinc/21/89/36/1073218936.db2.gz AMRVOKMPZIAXPQ-GGPKGHCWSA-N 0 0 437.537 -0.016 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000552624867 1073218810 /nfs/dbraw/zinc/21/88/10/1073218810.db2.gz AMRVOKMPZIAXPQ-NSHGMRRFSA-N 0 0 437.537 -0.016 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000552625127 1073218898 /nfs/dbraw/zinc/21/88/98/1073218898.db2.gz POBIHDMLNJEPAQ-PBHICJAKSA-N 0 0 428.511 -0.176 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000552625394 1073216807 /nfs/dbraw/zinc/21/68/07/1073216807.db2.gz YZNPECCEHWXZIA-CJNGLKHVSA-N 0 0 433.465 -0.750 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1ccnn1C ZINC000552626996 1073219475 /nfs/dbraw/zinc/21/94/75/1073219475.db2.gz WKLCHRVMLVUTMW-RDTXWAMCSA-N 0 0 427.527 -0.313 20 0 IBADRN COc1cc(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)sc1S(N)(=O)=O ZINC000552627383 1073218839 /nfs/dbraw/zinc/21/88/39/1073218839.db2.gz RFMDMIHPDJMEGW-MRVPVSSYSA-N 0 0 439.459 -0.044 20 0 IBADRN COc1cc(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)sc1S(N)(=O)=O ZINC000552627384 1073218960 /nfs/dbraw/zinc/21/89/60/1073218960.db2.gz RFMDMIHPDJMEGW-QMMMGPOBSA-N 0 0 439.459 -0.044 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CCC(=O)N1C ZINC000552627965 1073219256 /nfs/dbraw/zinc/21/92/56/1073219256.db2.gz OMRUKMQPMKBVIP-JXXFODFXSA-N 0 0 432.525 -0.621 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CCC(=O)N1C ZINC000552627966 1073219192 /nfs/dbraw/zinc/21/91/92/1073219192.db2.gz OMRUKMQPMKBVIP-RZQQEMMASA-N 0 0 432.525 -0.621 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(F)(F)F ZINC000552628341 1073219303 /nfs/dbraw/zinc/21/93/03/1073219303.db2.gz IWICIWJVNUWAOY-JTQLQIEISA-N 0 0 432.446 -0.116 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(F)(F)F ZINC000552628343 1073219244 /nfs/dbraw/zinc/21/92/44/1073219244.db2.gz IWICIWJVNUWAOY-SNVBAGLBSA-N 0 0 432.446 -0.116 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(F)(F)F ZINC000552628566 1073219274 /nfs/dbraw/zinc/21/92/74/1073219274.db2.gz MDZQCMPANYBITG-LLVKDONJSA-N 0 0 434.462 -0.173 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(F)(F)F ZINC000552628567 1073219183 /nfs/dbraw/zinc/21/91/83/1073219183.db2.gz MDZQCMPANYBITG-NSHDSACASA-N 0 0 434.462 -0.173 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1ccnn1C ZINC000552628996 1073219343 /nfs/dbraw/zinc/21/93/43/1073219343.db2.gz CLRPJQKAFJKFPV-RDTXWAMCSA-N 0 0 427.527 -0.313 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3)CC2)no1 ZINC000552633227 1073329841 /nfs/dbraw/zinc/32/98/41/1073329841.db2.gz SDADCFZIIFXYIT-CYBMUJFWSA-N 0 0 446.430 -0.181 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3)CC2)no1 ZINC000552633228 1073330097 /nfs/dbraw/zinc/33/00/97/1073330097.db2.gz SDADCFZIIFXYIT-ZDUSSCGKSA-N 0 0 446.430 -0.181 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCCS(=O)(=O)c3ccccc3)CC2=O)cn1 ZINC000552633359 1073218058 /nfs/dbraw/zinc/21/80/58/1073218058.db2.gz VWTQRVBHXQCYNU-UHFFFAOYSA-N 0 0 433.490 -0.425 20 0 IBADRN COc1ccccc1CCNC(=O)CNS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000552634587 1073218608 /nfs/dbraw/zinc/21/86/08/1073218608.db2.gz GWVZIKFWRXFCQD-UHFFFAOYSA-N 0 0 427.504 -0.020 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000552634907 1073218520 /nfs/dbraw/zinc/21/85/20/1073218520.db2.gz RPFFTHHHDZCDAX-CHWSQXEVSA-N 0 0 426.461 -0.234 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000552634908 1073218442 /nfs/dbraw/zinc/21/84/42/1073218442.db2.gz RPFFTHHHDZCDAX-OLZOCXBDSA-N 0 0 426.461 -0.234 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000552634909 1073218505 /nfs/dbraw/zinc/21/85/05/1073218505.db2.gz RPFFTHHHDZCDAX-QWHCGFSZSA-N 0 0 426.461 -0.234 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000552634910 1073218483 /nfs/dbraw/zinc/21/84/83/1073218483.db2.gz RPFFTHHHDZCDAX-STQMWFEESA-N 0 0 426.461 -0.234 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552635030 1073218574 /nfs/dbraw/zinc/21/85/74/1073218574.db2.gz VTEZAMOCBYHZTJ-GFCCVEGCSA-N 0 0 440.430 -0.235 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000552635031 1073218415 /nfs/dbraw/zinc/21/84/15/1073218415.db2.gz VTEZAMOCBYHZTJ-LBPRGKRZSA-N 0 0 440.430 -0.235 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCC(=O)Nc1ccc(I)cc1)C(N)=O ZINC000552635852 1073218526 /nfs/dbraw/zinc/21/85/26/1073218526.db2.gz UVXBJVKKCKGNGS-NSHDSACASA-N 0 0 432.218 -0.274 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCCCNC(=O)c2cccc(Br)c2)CCO1 ZINC000552639404 1073218622 /nfs/dbraw/zinc/21/86/22/1073218622.db2.gz GHZYZZLHSXGWKE-CYBMUJFWSA-N 0 0 441.282 -0.602 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCCCNC(=O)c2cccc(Br)c2)CCO1 ZINC000552639405 1073218592 /nfs/dbraw/zinc/21/85/92/1073218592.db2.gz GHZYZZLHSXGWKE-ZDUSSCGKSA-N 0 0 441.282 -0.602 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)CC1 ZINC000552639751 1073218888 /nfs/dbraw/zinc/21/88/88/1073218888.db2.gz OFSVVAHNGQLCMH-CVEARBPZSA-N 0 0 435.521 -0.065 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)CC1 ZINC000552639752 1073218830 /nfs/dbraw/zinc/21/88/30/1073218830.db2.gz OFSVVAHNGQLCMH-HOTGVXAUSA-N 0 0 435.521 -0.065 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)CC1 ZINC000552639753 1073218909 /nfs/dbraw/zinc/21/89/09/1073218909.db2.gz OFSVVAHNGQLCMH-HZPDHXFCSA-N 0 0 435.521 -0.065 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)CC1 ZINC000552639754 1073218782 /nfs/dbraw/zinc/21/87/82/1073218782.db2.gz OFSVVAHNGQLCMH-JKSUJKDBSA-N 0 0 435.521 -0.065 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1nnc2n1CCCCC2 ZINC000552640473 1073218492 /nfs/dbraw/zinc/21/84/92/1073218492.db2.gz YOIRRBISJFDPMX-HNNXBMFYSA-N 0 0 445.524 -0.479 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1nnc2n1CCCCC2 ZINC000552640474 1073218978 /nfs/dbraw/zinc/21/89/78/1073218978.db2.gz YOIRRBISJFDPMX-OAHLLOKOSA-N 0 0 445.524 -0.479 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)CC1 ZINC000552640547 1073218472 /nfs/dbraw/zinc/21/84/72/1073218472.db2.gz ZVLFLUIBFKDDLY-CRAIPNDOSA-N 0 0 433.505 -0.047 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)CC1 ZINC000552640548 1073218616 /nfs/dbraw/zinc/21/86/16/1073218616.db2.gz ZVLFLUIBFKDDLY-MAUKXSAKSA-N 0 0 433.505 -0.047 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)CC1 ZINC000552640549 1073218456 /nfs/dbraw/zinc/21/84/56/1073218456.db2.gz ZVLFLUIBFKDDLY-QAPCUYQASA-N 0 0 433.505 -0.047 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)CC1 ZINC000552640550 1073218515 /nfs/dbraw/zinc/21/85/15/1073218515.db2.gz ZVLFLUIBFKDDLY-YJBOKZPZSA-N 0 0 433.505 -0.047 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)C1 ZINC000552649408 1073219412 /nfs/dbraw/zinc/21/94/12/1073219412.db2.gz ZBWAUOKDODNAMP-CYBMUJFWSA-N 0 0 434.492 -0.038 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)C1 ZINC000552649409 1073219317 /nfs/dbraw/zinc/21/93/17/1073219317.db2.gz ZBWAUOKDODNAMP-ZDUSSCGKSA-N 0 0 434.492 -0.038 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)c(=O)n2C ZINC000552651460 1073219437 /nfs/dbraw/zinc/21/94/37/1073219437.db2.gz PRCSCLBCXFSGAO-CABCVRRESA-N 0 0 439.538 -0.508 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)c(=O)n2C ZINC000552651461 1073219207 /nfs/dbraw/zinc/21/92/07/1073219207.db2.gz PRCSCLBCXFSGAO-GJZGRUSLSA-N 0 0 439.538 -0.508 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)c(=O)n2C ZINC000552651462 1073219843 /nfs/dbraw/zinc/21/98/43/1073219843.db2.gz PRCSCLBCXFSGAO-HUUCEWRRSA-N 0 0 439.538 -0.508 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCN(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)c(=O)n2C ZINC000552651463 1073219797 /nfs/dbraw/zinc/21/97/97/1073219797.db2.gz PRCSCLBCXFSGAO-LSDHHAIUSA-N 0 0 439.538 -0.508 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000552652461 1073219751 /nfs/dbraw/zinc/21/97/51/1073219751.db2.gz KDUUXJVJQSGQDN-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1NC(C)=O ZINC000552656273 1073219733 /nfs/dbraw/zinc/21/97/33/1073219733.db2.gz HZSZNCIKRIVGQV-CQSZACIVSA-N 0 0 426.495 -0.190 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(OC)c(NC(C)=O)c2)CC1 ZINC000552656330 1073219815 /nfs/dbraw/zinc/21/98/15/1073219815.db2.gz IYLFKCVPECYNIV-UHFFFAOYSA-N 0 0 426.495 -0.236 20 0 IBADRN O=C(CC1CCCC1)NCCNC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000552658985 1073219725 /nfs/dbraw/zinc/21/97/25/1073219725.db2.gz CLAKPRFOJMGJIG-UHFFFAOYSA-N 0 0 435.569 -0.044 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC(=O)CC1 ZINC000552660478 1073219771 /nfs/dbraw/zinc/21/97/71/1073219771.db2.gz BIZQSYKJYIRBEQ-AWEZNQCLSA-N 0 0 425.463 -0.438 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC(=O)CC1 ZINC000552660480 1073219701 /nfs/dbraw/zinc/21/97/01/1073219701.db2.gz BIZQSYKJYIRBEQ-CQSZACIVSA-N 0 0 425.463 -0.438 20 0 IBADRN O=C(CC1CCCC1)NCCNC(=O)C(=O)N1CCN(c2ccc3nncn3n2)CC1 ZINC000552661005 1073220214 /nfs/dbraw/zinc/22/02/14/1073220214.db2.gz KBGJLGVBYRAQHG-UHFFFAOYSA-N 0 0 428.497 -0.414 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCCNC(=O)CC2CCCC2)C1=O ZINC000552661091 1073220184 /nfs/dbraw/zinc/22/01/84/1073220184.db2.gz MCFCWIRYUMOXNP-INIZCTEOSA-N 0 0 432.525 -0.160 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCCNC(=O)CC2CCCC2)C1=O ZINC000552661095 1073219834 /nfs/dbraw/zinc/21/98/34/1073219834.db2.gz MCFCWIRYUMOXNP-MRXNPFEDSA-N 0 0 432.525 -0.160 20 0 IBADRN COCC[C@H](C)NC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000552664690 1073220499 /nfs/dbraw/zinc/22/04/99/1073220499.db2.gz NDZQYFKMHHAGTH-DOTOQJQBSA-N 0 0 434.541 -0.091 20 0 IBADRN COCC[C@@H](C)NC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000552664691 1073220268 /nfs/dbraw/zinc/22/02/68/1073220268.db2.gz NDZQYFKMHHAGTH-NVXWUHKLSA-N 0 0 434.541 -0.091 20 0 IBADRN COCC[C@H](C)NC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000552664692 1073220482 /nfs/dbraw/zinc/22/04/82/1073220482.db2.gz NDZQYFKMHHAGTH-RDJZCZTQSA-N 0 0 434.541 -0.091 20 0 IBADRN COCC[C@@H](C)NC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000552664693 1073220835 /nfs/dbraw/zinc/22/08/35/1073220835.db2.gz NDZQYFKMHHAGTH-WBVHZDCISA-N 0 0 434.541 -0.091 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H](C)N1CCOCC1 ZINC000552664947 1073220795 /nfs/dbraw/zinc/22/07/95/1073220795.db2.gz HOEMVXCXTNQUKF-KBPBESRZSA-N 0 0 443.526 -0.496 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H](C)N1CCOCC1 ZINC000552664948 1073220777 /nfs/dbraw/zinc/22/07/77/1073220777.db2.gz HOEMVXCXTNQUKF-KGLIPLIRSA-N 0 0 443.526 -0.496 20 0 IBADRN C[C@H]([C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)N1CCOCC1 ZINC000552664949 1073220858 /nfs/dbraw/zinc/22/08/58/1073220858.db2.gz HOEMVXCXTNQUKF-UONOGXRCSA-N 0 0 443.526 -0.496 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H](C)N1CCOCC1 ZINC000552664950 1073220758 /nfs/dbraw/zinc/22/07/58/1073220758.db2.gz HOEMVXCXTNQUKF-ZIAGYGMSSA-N 0 0 443.526 -0.496 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)CCO1 ZINC000552666439 1073220828 /nfs/dbraw/zinc/22/08/28/1073220828.db2.gz LNFNUKJPKLSVMS-IBGZPJMESA-N 0 0 445.520 -0.665 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)CCO1 ZINC000552666440 1073220945 /nfs/dbraw/zinc/22/09/45/1073220945.db2.gz LNFNUKJPKLSVMS-LJQANCHMSA-N 0 0 445.520 -0.665 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)CC1 ZINC000552666756 1073221281 /nfs/dbraw/zinc/22/12/81/1073221281.db2.gz QCXXMAAXWOQKLQ-IEBWSBKVSA-N 0 0 427.505 -0.292 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N(C)CCN2CCOCC2)CC1 ZINC000552667034 1073221219 /nfs/dbraw/zinc/22/12/19/1073221219.db2.gz WXJYXGFIWHYQAZ-UHFFFAOYSA-N 0 0 431.537 -0.238 20 0 IBADRN CC[C@@H](Cc1ccccc1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000552670035 1073221250 /nfs/dbraw/zinc/22/12/50/1073221250.db2.gz OOEBTMSVMXXQNY-INIZCTEOSA-N 0 0 428.493 -0.387 20 0 IBADRN CC[C@H](Cc1ccccc1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000552670036 1073221313 /nfs/dbraw/zinc/22/13/13/1073221313.db2.gz OOEBTMSVMXXQNY-MRXNPFEDSA-N 0 0 428.493 -0.387 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1NC(C)=O ZINC000552671149 1073221353 /nfs/dbraw/zinc/22/13/53/1073221353.db2.gz MOUAYHJLGKTCRQ-UHFFFAOYSA-N 0 0 428.449 -0.121 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1NC(C)=O ZINC000552672405 1073221824 /nfs/dbraw/zinc/22/18/24/1073221824.db2.gz NYJMSZOYRMRJPP-UHFFFAOYSA-N 0 0 433.509 -0.061 20 0 IBADRN CC[C@H](Cc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552673356 1073221849 /nfs/dbraw/zinc/22/18/49/1073221849.db2.gz CLNBRJPFQJZXRX-GOSISDBHSA-N 0 0 430.505 -0.347 20 0 IBADRN CC[C@@H](Cc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552673357 1073221721 /nfs/dbraw/zinc/22/17/21/1073221721.db2.gz CLNBRJPFQJZXRX-SFHVURJKSA-N 0 0 430.505 -0.347 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(OC)c(NC(C)=O)c2)C1 ZINC000552675872 1073220885 /nfs/dbraw/zinc/22/08/85/1073220885.db2.gz ZRPZYNWYUCIUJU-AWEZNQCLSA-N 0 0 426.495 -0.190 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(OC)c(NC(C)=O)c2)C1 ZINC000552675873 1073220923 /nfs/dbraw/zinc/22/09/23/1073220923.db2.gz ZRPZYNWYUCIUJU-CQSZACIVSA-N 0 0 426.495 -0.190 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC[C@@H](CS(C)(=O)=O)C3)nc2n(C)c1=O ZINC000552676869 1073220929 /nfs/dbraw/zinc/22/09/29/1073220929.db2.gz ALPSRMISBDEERN-CYBMUJFWSA-N 0 0 437.522 0.274 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC[C@H](CS(C)(=O)=O)C3)nc2n(C)c1=O ZINC000552676870 1073354001 /nfs/dbraw/zinc/35/40/01/1073354001.db2.gz ALPSRMISBDEERN-ZDUSSCGKSA-N 0 0 437.522 0.274 20 0 IBADRN COCC1(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCOCC1 ZINC000552677669 1073220893 /nfs/dbraw/zinc/22/08/93/1073220893.db2.gz MLGSHXXSJCMLBN-UHFFFAOYSA-N 0 0 425.507 -0.020 20 0 IBADRN COCCOCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000552680801 1073221299 /nfs/dbraw/zinc/22/12/99/1073221299.db2.gz FUHJAKUPJGTKIY-UHFFFAOYSA-N 0 0 445.542 -0.879 20 0 IBADRN COCCOCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000552680990 1073221305 /nfs/dbraw/zinc/22/13/05/1073221305.db2.gz LSUZMMHDBWHLOU-UHFFFAOYSA-N 0 0 448.567 -0.156 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000552684861 1073221271 /nfs/dbraw/zinc/22/12/71/1073221271.db2.gz WHJRBIMSWGBOGJ-UHFFFAOYSA-N 0 0 428.511 -0.192 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)CN(C)[C@H]1CCS(=O)(=O)C1)C2 ZINC000552690664 1073223862 /nfs/dbraw/zinc/22/38/62/1073223862.db2.gz BNKFSZBNWPRCLN-HNNXBMFYSA-N 0 0 429.564 -0.060 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)C2 ZINC000552690665 1073223720 /nfs/dbraw/zinc/22/37/20/1073223720.db2.gz BNKFSZBNWPRCLN-OAHLLOKOSA-N 0 0 429.564 -0.060 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000552692124 1073223868 /nfs/dbraw/zinc/22/38/68/1073223868.db2.gz HOBSWFWTBGFWRQ-INIZCTEOSA-N 0 0 437.518 -0.099 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000552692125 1073223733 /nfs/dbraw/zinc/22/37/33/1073223733.db2.gz HOBSWFWTBGFWRQ-MRXNPFEDSA-N 0 0 437.518 -0.099 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000552693629 1073223852 /nfs/dbraw/zinc/22/38/52/1073223852.db2.gz JOYXIQUZJNBHGN-UHFFFAOYSA-N 0 0 426.477 -0.254 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000552694141 1073223709 /nfs/dbraw/zinc/22/37/09/1073223709.db2.gz ZEGOECRFMYGZEJ-GFCCVEGCSA-N 0 0 440.507 -0.406 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000552694146 1073223758 /nfs/dbraw/zinc/22/37/58/1073223758.db2.gz ZEGOECRFMYGZEJ-LBPRGKRZSA-N 0 0 440.507 -0.406 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCOC[C@@H]1CCCO1)N1CCN(c2ccccn2)CC1 ZINC000552694196 1073223797 /nfs/dbraw/zinc/22/37/97/1073223797.db2.gz VGTNIZWGWWAKSN-KRWDZBQOSA-N 0 0 433.509 -0.452 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCOC[C@H]1CCCO1)N1CCN(c2ccccn2)CC1 ZINC000552694197 1073223766 /nfs/dbraw/zinc/22/37/66/1073223766.db2.gz VGTNIZWGWWAKSN-QGZVFWFLSA-N 0 0 433.509 -0.452 20 0 IBADRN O=C(NCCc1ccc(F)c(F)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552694261 1073223882 /nfs/dbraw/zinc/22/38/82/1073223882.db2.gz XREOXPUEAYTDIZ-UHFFFAOYSA-N 0 0 438.431 -0.847 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000552694799 1073224261 /nfs/dbraw/zinc/22/42/61/1073224261.db2.gz OFDQOOOEFQWMNS-UHFFFAOYSA-N 0 0 433.556 -0.189 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCOC[C@@H]2CCCO2)CC1 ZINC000552694822 1073223749 /nfs/dbraw/zinc/22/37/49/1073223749.db2.gz JMCNXOWNMGPZPE-INIZCTEOSA-N 0 0 435.543 -0.803 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCOC[C@H]2CCCO2)CC1 ZINC000552694823 1073223899 /nfs/dbraw/zinc/22/38/99/1073223899.db2.gz JMCNXOWNMGPZPE-MRXNPFEDSA-N 0 0 435.543 -0.803 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000552694980 1073223806 /nfs/dbraw/zinc/22/38/06/1073223806.db2.gz LWHSSMYREWNHIP-GOSISDBHSA-N 0 0 439.534 -0.028 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000552694981 1073223874 /nfs/dbraw/zinc/22/38/74/1073223874.db2.gz LWHSSMYREWNHIP-SFHVURJKSA-N 0 0 439.534 -0.028 20 0 IBADRN CC(C)Cn1ccnc1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000552695568 1073223700 /nfs/dbraw/zinc/22/37/00/1073223700.db2.gz ACENGXNMWYDQLF-UHFFFAOYSA-N 0 0 442.524 -0.200 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000552695690 1073224311 /nfs/dbraw/zinc/22/43/11/1073224311.db2.gz FWUUKBKGFWSBHB-UHFFFAOYSA-N 0 0 438.488 -0.422 20 0 IBADRN CCOC1CC(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000552695802 1073224330 /nfs/dbraw/zinc/22/43/30/1073224330.db2.gz JAAUATANWHMYQQ-UHFFFAOYSA-N 0 0 432.525 -0.047 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@H](C2CC2)O1 ZINC000552698444 1073224223 /nfs/dbraw/zinc/22/42/23/1073224223.db2.gz LTNOYNPQKXEGLO-DOTOQJQBSA-N 0 0 430.509 -0.343 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@H](C2CC2)O1 ZINC000552698445 1073224305 /nfs/dbraw/zinc/22/43/05/1073224305.db2.gz LTNOYNPQKXEGLO-NVXWUHKLSA-N 0 0 430.509 -0.343 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@@H](C2CC2)O1 ZINC000552698446 1073224235 /nfs/dbraw/zinc/22/42/35/1073224235.db2.gz LTNOYNPQKXEGLO-RDJZCZTQSA-N 0 0 430.509 -0.343 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@@H](C2CC2)O1 ZINC000552698447 1073224301 /nfs/dbraw/zinc/22/43/01/1073224301.db2.gz LTNOYNPQKXEGLO-WBVHZDCISA-N 0 0 430.509 -0.343 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)Nc1ncn(C)n1)c(=O)n2Cc1ccccc1 ZINC000552699435 1073224325 /nfs/dbraw/zinc/22/43/25/1073224325.db2.gz FTXKVTCAKKQONY-UHFFFAOYSA-N 0 0 438.448 -0.178 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@H]1CC[C@@H](CO)O1 ZINC000552699465 1073224537 /nfs/dbraw/zinc/22/45/37/1073224537.db2.gz HYIGOHJEKIWVNQ-SJORKVTESA-N 0 0 439.534 -0.044 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)C1CCOCC1 ZINC000552699795 1073222386 /nfs/dbraw/zinc/22/23/86/1073222386.db2.gz DFDKOJKZZMRLEC-KRWDZBQOSA-N 0 0 432.525 -0.047 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)C1CCOCC1 ZINC000552699796 1073222221 /nfs/dbraw/zinc/22/22/21/1073222221.db2.gz DFDKOJKZZMRLEC-QGZVFWFLSA-N 0 0 432.525 -0.047 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC(CCCO)CC1)N1CCN(c2ncccn2)CC1 ZINC000552699870 1073222350 /nfs/dbraw/zinc/22/23/50/1073222350.db2.gz HYWZYLSCINFFES-UHFFFAOYSA-N 0 0 432.525 -0.357 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCCc2c[nH]nc21)N1CCN(c2ncccn2)CC1 ZINC000552700060 1073222359 /nfs/dbraw/zinc/22/23/59/1073222359.db2.gz NUXDDMCFXOKVCN-HNNXBMFYSA-N 0 0 426.481 -0.452 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCCc2c[nH]nc21)N1CCN(c2ncccn2)CC1 ZINC000552700061 1073222195 /nfs/dbraw/zinc/22/21/95/1073222195.db2.gz NUXDDMCFXOKVCN-OAHLLOKOSA-N 0 0 426.481 -0.452 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCc1ccccn1)N1CCN(c2ncccn2)CC1 ZINC000552700114 1073221728 /nfs/dbraw/zinc/22/17/28/1073221728.db2.gz PUFOMLHQNPROKG-UHFFFAOYSA-N 0 0 425.493 -0.225 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)N1CCN(c2ncccn2)CC1 ZINC000552700129 1073221857 /nfs/dbraw/zinc/22/18/57/1073221857.db2.gz QNMVBGSXVMTHRV-CGTJXYLNSA-N 0 0 442.520 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)N1CCN(c2ncccn2)CC1 ZINC000552700130 1073221741 /nfs/dbraw/zinc/22/17/41/1073221741.db2.gz QNMVBGSXVMTHRV-JQHSSLGASA-N 0 0 442.520 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)N1CCN(c2ncccn2)CC1 ZINC000552700131 1073221754 /nfs/dbraw/zinc/22/17/54/1073221754.db2.gz QNMVBGSXVMTHRV-KBAYOESNSA-N 0 0 442.520 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)N1CCN(c2ncccn2)CC1 ZINC000552700132 1073221801 /nfs/dbraw/zinc/22/18/01/1073221801.db2.gz QNMVBGSXVMTHRV-NXHRZFHOSA-N 0 0 442.520 -0.295 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000552700155 1073221876 /nfs/dbraw/zinc/22/18/76/1073221876.db2.gz RQZARVDPEMMAAZ-IBGZPJMESA-N 0 0 431.537 -0.088 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000552700156 1073222187 /nfs/dbraw/zinc/22/21/87/1073222187.db2.gz RQZARVDPEMMAAZ-LJQANCHMSA-N 0 0 431.537 -0.088 20 0 IBADRN CCc1cnccc1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000552700888 1073222896 /nfs/dbraw/zinc/22/28/96/1073222896.db2.gz KNRJGGLCEMDPHM-UHFFFAOYSA-N 0 0 425.493 -0.095 20 0 IBADRN CC(C)C[C@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000552700905 1073222760 /nfs/dbraw/zinc/22/27/60/1073222760.db2.gz LJYCDFKCNDMFPY-KRWDZBQOSA-N 0 0 432.525 -0.095 20 0 IBADRN CC(C)C[C@@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000552700906 1073222634 /nfs/dbraw/zinc/22/26/34/1073222634.db2.gz LJYCDFKCNDMFPY-QGZVFWFLSA-N 0 0 432.525 -0.095 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)[C@@H](C)C1 ZINC000552701075 1073222743 /nfs/dbraw/zinc/22/27/43/1073222743.db2.gz SVHCMXSPFTYGEH-INIZCTEOSA-N 0 0 433.509 -0.706 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)[C@H](C)C1 ZINC000552701076 1073222697 /nfs/dbraw/zinc/22/26/97/1073222697.db2.gz SVHCMXSPFTYGEH-MRXNPFEDSA-N 0 0 433.509 -0.706 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC(c2c[nH]cn2)CC1)N1CCN(c2ncccn2)CC1 ZINC000552701206 1073222808 /nfs/dbraw/zinc/22/28/08/1073222808.db2.gz XRLFUCDCGHLSNS-UHFFFAOYSA-N 0 0 440.508 -0.239 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCc2cc[nH]c(=O)c2C1)N1CCN(c2ncccn2)CC1 ZINC000552701726 1073223489 /nfs/dbraw/zinc/22/34/89/1073223489.db2.gz AGTUSWNEYLOEGL-UHFFFAOYSA-N 0 0 439.476 -0.683 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000552701741 1073223481 /nfs/dbraw/zinc/22/34/81/1073223481.db2.gz AXRMVXPPFYKSDW-CYBMUJFWSA-N 0 0 429.399 -0.522 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000552701742 1073223306 /nfs/dbraw/zinc/22/33/06/1073223306.db2.gz AXRMVXPPFYKSDW-ZDUSSCGKSA-N 0 0 429.399 -0.522 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCC1 ZINC000552701892 1073223439 /nfs/dbraw/zinc/22/34/39/1073223439.db2.gz HVMHCQLIDXOFCC-UHFFFAOYSA-N 0 0 445.524 -0.946 20 0 IBADRN CC(C)[C@H]1C[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000552702001 1073222881 /nfs/dbraw/zinc/22/28/81/1073222881.db2.gz MLDSWBABBXUHQV-DLBZAZTESA-N 0 0 432.525 -0.049 20 0 IBADRN CC(C)[C@H]1C[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000552702002 1073223323 /nfs/dbraw/zinc/22/33/23/1073223323.db2.gz MLDSWBABBXUHQV-IAGOWNOFSA-N 0 0 432.525 -0.049 20 0 IBADRN CC(C)[C@@H]1C[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000552702003 1073223274 /nfs/dbraw/zinc/22/32/74/1073223274.db2.gz MLDSWBABBXUHQV-IRXDYDNUSA-N 0 0 432.525 -0.049 20 0 IBADRN CC(C)[C@@H]1C[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000552702004 1073223318 /nfs/dbraw/zinc/22/33/18/1073223318.db2.gz MLDSWBABBXUHQV-SJORKVTESA-N 0 0 432.525 -0.049 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCOCc1ccccc1)N1CCN(c2ncccn2)CC1 ZINC000552702039 1073223356 /nfs/dbraw/zinc/22/33/56/1073223356.db2.gz NWIKTPKYMGGQET-UHFFFAOYSA-N 0 0 440.504 -0.036 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCOC2(CCC2)C1)N1CCN(c2ncccn2)CC1 ZINC000552702055 1073223435 /nfs/dbraw/zinc/22/34/35/1073223435.db2.gz OFVUDDODISFFGZ-INIZCTEOSA-N 0 0 430.509 -0.151 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCOC2(CCC2)C1)N1CCN(c2ncccn2)CC1 ZINC000552702056 1073223426 /nfs/dbraw/zinc/22/34/26/1073223426.db2.gz OFVUDDODISFFGZ-MRXNPFEDSA-N 0 0 430.509 -0.151 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC1CCN(C(=O)c3cc(Cl)c[nH]3)CC1)c(=O)n2C ZINC000552705688 1073223331 /nfs/dbraw/zinc/22/33/31/1073223331.db2.gz QSZCPXRYRLKIAF-UHFFFAOYSA-N 0 0 447.883 -0.164 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CCCC1 ZINC000552705838 1073223361 /nfs/dbraw/zinc/22/33/61/1073223361.db2.gz UTSJSKPSZGWJJT-UHFFFAOYSA-N 0 0 431.492 -0.285 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(OC)c(NS(C)(=O)=O)c1 ZINC000552707307 1073222933 /nfs/dbraw/zinc/22/29/33/1073222933.db2.gz FSLZSJQRCVNCBF-UHFFFAOYSA-N 0 0 436.512 -0.549 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(OC)c2)CC1 ZINC000552710517 1073224699 /nfs/dbraw/zinc/22/46/99/1073224699.db2.gz XYHOXZBQCDRTBQ-UHFFFAOYSA-N 0 0 441.510 -0.715 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)c1cc3c(n1C)n(C)c(=O)n(C)c3=O)C2 ZINC000552712738 1073224612 /nfs/dbraw/zinc/22/46/12/1073224612.db2.gz RORYDVUDRXUWMA-UHFFFAOYSA-N 0 0 445.501 -0.318 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)C2 ZINC000552712752 1073224565 /nfs/dbraw/zinc/22/45/65/1073224565.db2.gz SLVILECQPFAXAQ-GFCCVEGCSA-N 0 0 441.535 -0.420 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C2 ZINC000552712753 1073224692 /nfs/dbraw/zinc/22/46/92/1073224692.db2.gz SLVILECQPFAXAQ-LBPRGKRZSA-N 0 0 441.535 -0.420 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)c1ccc3c(=O)n(C)c(=O)n(C)c3n1)C2 ZINC000552712771 1073224632 /nfs/dbraw/zinc/22/46/32/1073224632.db2.gz UYFNVBPFKUURAF-UHFFFAOYSA-N 0 0 443.485 -0.261 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)CN1CCN(S(C)(=O)=O)CC1)C2 ZINC000552712879 1073224627 /nfs/dbraw/zinc/22/46/27/1073224627.db2.gz ZLLLMNPXUGVVJJ-UHFFFAOYSA-N 0 0 430.552 -0.943 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H]3CCS(=O)(=O)[C@H]3C)cc2)CC1 ZINC000552714707 1073224510 /nfs/dbraw/zinc/22/45/10/1073224510.db2.gz GAAKKKHNKCGPHM-GUYCJALGSA-N 0 0 443.547 -0.155 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(OCC3CC3)nc2)CC1)N1CCOCC1 ZINC000552716912 1073225182 /nfs/dbraw/zinc/22/51/82/1073225182.db2.gz MAPMKABZDWFYRC-UHFFFAOYSA-N 0 0 445.520 -0.510 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000552717173 1073225099 /nfs/dbraw/zinc/22/50/99/1073225099.db2.gz WCMFXIKSZLTQHN-CYBMUJFWSA-N 0 0 434.540 -0.421 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000552717174 1073225121 /nfs/dbraw/zinc/22/51/21/1073225121.db2.gz WCMFXIKSZLTQHN-ZDUSSCGKSA-N 0 0 434.540 -0.421 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000552717819 1073225126 /nfs/dbraw/zinc/22/51/26/1073225126.db2.gz FXRXCKGDGFLUEV-AWEZNQCLSA-N 0 0 447.525 -0.205 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000552717820 1073225088 /nfs/dbraw/zinc/22/50/88/1073225088.db2.gz FXRXCKGDGFLUEV-CQSZACIVSA-N 0 0 447.525 -0.205 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000552729271 1073226068 /nfs/dbraw/zinc/22/60/68/1073226068.db2.gz GIAVRXWXOZXBMW-GDBMZVCRSA-N 0 0 435.525 -0.865 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000552729272 1073225856 /nfs/dbraw/zinc/22/58/56/1073225856.db2.gz GIAVRXWXOZXBMW-GOEBONIOSA-N 0 0 435.525 -0.865 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000552729273 1073225990 /nfs/dbraw/zinc/22/59/90/1073225990.db2.gz GIAVRXWXOZXBMW-HOCLYGCPSA-N 0 0 435.525 -0.865 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000552729274 1073225985 /nfs/dbraw/zinc/22/59/85/1073225985.db2.gz GIAVRXWXOZXBMW-ZBFHGGJFSA-N 0 0 435.525 -0.865 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000552732192 1073226452 /nfs/dbraw/zinc/22/64/52/1073226452.db2.gz MQEHUYXFCZNFND-UHFFFAOYSA-N 0 0 435.915 -0.413 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](CO)C[C@@H](O)C(C)(C)C)s1 ZINC000552732860 1073225038 /nfs/dbraw/zinc/22/50/38/1073225038.db2.gz JSXJWJYCQFCTEJ-GXTWGEPZSA-N 0 0 435.568 -0.063 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](CO)C[C@H](O)C(C)(C)C)s1 ZINC000552732864 1073225083 /nfs/dbraw/zinc/22/50/83/1073225083.db2.gz JSXJWJYCQFCTEJ-JSGCOSHPSA-N 0 0 435.568 -0.063 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](CO)C[C@H](O)C(C)(C)C)s1 ZINC000552732866 1073225176 /nfs/dbraw/zinc/22/51/76/1073225176.db2.gz JSXJWJYCQFCTEJ-OCCSQVGLSA-N 0 0 435.568 -0.063 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](CO)C[C@@H](O)C(C)(C)C)s1 ZINC000552732867 1073225171 /nfs/dbraw/zinc/22/51/71/1073225171.db2.gz JSXJWJYCQFCTEJ-TZMCWYRMSA-N 0 0 435.568 -0.063 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)CC(F)(F)F ZINC000552732916 1073225138 /nfs/dbraw/zinc/22/51/38/1073225138.db2.gz FSARGBGWQRQWAV-UHFFFAOYSA-N 0 0 437.444 -0.475 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1 ZINC000552734391 1073225154 /nfs/dbraw/zinc/22/51/54/1073225154.db2.gz DSTRPFDQDBGLKB-ARFHVFGLSA-N 0 0 430.571 -0.026 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1 ZINC000552734395 1073225432 /nfs/dbraw/zinc/22/54/32/1073225432.db2.gz DSTRPFDQDBGLKB-BZUAXINKSA-N 0 0 430.571 -0.026 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1 ZINC000552734396 1073225470 /nfs/dbraw/zinc/22/54/70/1073225470.db2.gz DSTRPFDQDBGLKB-OAGGEKHMSA-N 0 0 430.571 -0.026 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1 ZINC000552734397 1073225473 /nfs/dbraw/zinc/22/54/73/1073225473.db2.gz DSTRPFDQDBGLKB-XHSDSOJGSA-N 0 0 430.571 -0.026 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)C1 ZINC000552735226 1073225491 /nfs/dbraw/zinc/22/54/91/1073225491.db2.gz RRMBELWAYDHTKO-JFIYKMOQSA-N 0 0 435.569 -0.003 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)C1 ZINC000552735227 1073225511 /nfs/dbraw/zinc/22/55/11/1073225511.db2.gz RRMBELWAYDHTKO-JZXOWHBKSA-N 0 0 435.569 -0.003 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)C1 ZINC000552735228 1073225549 /nfs/dbraw/zinc/22/55/49/1073225549.db2.gz RRMBELWAYDHTKO-LZLYRXPVSA-N 0 0 435.569 -0.003 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)C1 ZINC000552735229 1073225386 /nfs/dbraw/zinc/22/53/86/1073225386.db2.gz RRMBELWAYDHTKO-NUJGCVRESA-N 0 0 435.569 -0.003 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000552736088 1073225335 /nfs/dbraw/zinc/22/53/35/1073225335.db2.gz KMVYJBZKOKKKMM-KBPBESRZSA-N 0 0 443.526 -0.590 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000552736091 1073225410 /nfs/dbraw/zinc/22/54/10/1073225410.db2.gz KMVYJBZKOKKKMM-KGLIPLIRSA-N 0 0 443.526 -0.590 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000552736093 1073225402 /nfs/dbraw/zinc/22/54/02/1073225402.db2.gz KMVYJBZKOKKKMM-UONOGXRCSA-N 0 0 443.526 -0.590 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000552736095 1073225504 /nfs/dbraw/zinc/22/55/04/1073225504.db2.gz KMVYJBZKOKKKMM-ZIAGYGMSSA-N 0 0 443.526 -0.590 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)c1 ZINC000552738539 1073225454 /nfs/dbraw/zinc/22/54/54/1073225454.db2.gz YXFMTYPUBQNVJQ-UHFFFAOYSA-N 0 0 425.445 -0.005 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)OCCCO3)CC1 ZINC000552743035 1073225441 /nfs/dbraw/zinc/22/54/41/1073225441.db2.gz GBMHFSBDGUBEOK-UHFFFAOYSA-N 0 0 446.504 -0.533 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc3c(c2)OCCCO3)CC1)N1CCOCC1 ZINC000552743805 1073225368 /nfs/dbraw/zinc/22/53/68/1073225368.db2.gz UDHYPTHZBHWDJK-UHFFFAOYSA-N 0 0 446.504 -0.533 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccc4c(c3)OCCCO4)CC2)c1=O ZINC000552747035 1073226020 /nfs/dbraw/zinc/22/60/20/1073226020.db2.gz SYRXMMSHAPILJW-UHFFFAOYSA-N 0 0 427.461 -0.093 20 0 IBADRN CC(CO)(CO)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000552749686 1073225966 /nfs/dbraw/zinc/22/59/66/1073225966.db2.gz PPPRKSSBROEISQ-UHFFFAOYSA-N 0 0 427.523 -0.593 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000552752810 1073226404 /nfs/dbraw/zinc/22/64/04/1073226404.db2.gz GDKGIPXGIZHABS-NXEZZACHSA-N 0 0 448.509 -0.373 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000552752811 1073226513 /nfs/dbraw/zinc/22/65/13/1073226513.db2.gz GDKGIPXGIZHABS-UWVGGRQHSA-N 0 0 448.509 -0.373 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000552752812 1073226428 /nfs/dbraw/zinc/22/64/28/1073226428.db2.gz GDKGIPXGIZHABS-VHSXEESVSA-N 0 0 448.509 -0.373 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000552752813 1073226461 /nfs/dbraw/zinc/22/64/61/1073226461.db2.gz GDKGIPXGIZHABS-ZJUUUORDSA-N 0 0 448.509 -0.373 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H]3CC[C@@H](c4nc(C5CC5)no4)O3)c2c(=O)n(C)c1=O ZINC000552755778 1073226464 /nfs/dbraw/zinc/22/64/64/1073226464.db2.gz TZAJFOBCDVMTCZ-NEPJUHHUSA-N 0 0 429.437 -0.269 20 0 IBADRN COC(=O)c1cnc(C(=O)NCC2(NC(=O)c3cnc(C(=O)OC)cn3)CCC2)cn1 ZINC000552761387 1073226523 /nfs/dbraw/zinc/22/65/23/1073226523.db2.gz QWEFVGDOQVRTLQ-UHFFFAOYSA-N 0 0 428.405 -0.078 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)nn1 ZINC000552765089 1073226785 /nfs/dbraw/zinc/22/67/85/1073226785.db2.gz BVBLBUAMSACFTO-CYBMUJFWSA-N 0 0 439.498 -0.378 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)nn1 ZINC000552765090 1073226870 /nfs/dbraw/zinc/22/68/70/1073226870.db2.gz BVBLBUAMSACFTO-ZDUSSCGKSA-N 0 0 439.498 -0.378 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000552766335 1073226842 /nfs/dbraw/zinc/22/68/42/1073226842.db2.gz CBNBEYHRNKUATB-UHFFFAOYSA-N 0 0 429.480 -0.423 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)nn1 ZINC000552767191 1073226891 /nfs/dbraw/zinc/22/68/91/1073226891.db2.gz RVZVEGOPVSXMEF-INIZCTEOSA-N 0 0 441.448 -0.269 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)nn1 ZINC000552767192 1073226835 /nfs/dbraw/zinc/22/68/35/1073226835.db2.gz RVZVEGOPVSXMEF-MRXNPFEDSA-N 0 0 441.448 -0.269 20 0 IBADRN COC(=O)c1cn(CCNC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)nn1 ZINC000552767912 1073226752 /nfs/dbraw/zinc/22/67/52/1073226752.db2.gz DUKJDFTZKWOMHP-UHFFFAOYSA-N 0 0 443.507 -0.494 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)nn1 ZINC000552769068 1073226814 /nfs/dbraw/zinc/22/68/14/1073226814.db2.gz AAPPKMCOKDFWNM-AWEZNQCLSA-N 0 0 428.405 -0.889 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)nn1 ZINC000552769069 1073226935 /nfs/dbraw/zinc/22/69/35/1073226935.db2.gz AAPPKMCOKDFWNM-CQSZACIVSA-N 0 0 428.405 -0.889 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCc3nnc(C(C)C)n3C2)C1 ZINC000552770436 1073226924 /nfs/dbraw/zinc/22/69/24/1073226924.db2.gz VGISPQPINRBOAX-KBPBESRZSA-N 0 0 426.543 -0.239 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCc3nnc(C(C)C)n3C2)C1 ZINC000552770437 1073226919 /nfs/dbraw/zinc/22/69/19/1073226919.db2.gz VGISPQPINRBOAX-KGLIPLIRSA-N 0 0 426.543 -0.239 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCc3nnc(C(C)C)n3C2)C1 ZINC000552770438 1073226861 /nfs/dbraw/zinc/22/68/61/1073226861.db2.gz VGISPQPINRBOAX-UONOGXRCSA-N 0 0 426.543 -0.239 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCc3nnc(C(C)C)n3C2)C1 ZINC000552770439 1073226903 /nfs/dbraw/zinc/22/69/03/1073226903.db2.gz VGISPQPINRBOAX-ZIAGYGMSSA-N 0 0 426.543 -0.239 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCC(C)(CO)CO)CC2)cc1 ZINC000552772394 1073226909 /nfs/dbraw/zinc/22/69/09/1073226909.db2.gz FWDLJXNJWCIXMZ-UHFFFAOYSA-N 0 0 441.550 -0.285 20 0 IBADRN Cc1cc(C)n(CC(=O)NCC2(NC(=O)Cn3c(C)cc(C)nc3=O)CCC2)c(=O)n1 ZINC000552772642 1073226928 /nfs/dbraw/zinc/22/69/28/1073226928.db2.gz KQPYBMIJOHLJTA-UHFFFAOYSA-N 0 0 428.493 -0.111 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCO[C@@]4(CCOC4)C3)CC2)CC1 ZINC000552775431 1073227721 /nfs/dbraw/zinc/22/77/21/1073227721.db2.gz JKAWBYHHQZLRLA-AEFFLSMTSA-N 0 0 431.559 -0.856 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCO[C@]4(CCOC4)C3)CC2)CC1 ZINC000552775432 1073227768 /nfs/dbraw/zinc/22/77/68/1073227768.db2.gz JKAWBYHHQZLRLA-FUHWJXTLSA-N 0 0 431.559 -0.856 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCO[C@]4(CCOC4)C3)CC2)CC1 ZINC000552775433 1073227781 /nfs/dbraw/zinc/22/77/81/1073227781.db2.gz JKAWBYHHQZLRLA-SJLPKXTDSA-N 0 0 431.559 -0.856 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCO[C@@]4(CCOC4)C3)CC2)CC1 ZINC000552775434 1073227757 /nfs/dbraw/zinc/22/77/57/1073227757.db2.gz JKAWBYHHQZLRLA-WMZOPIPTSA-N 0 0 431.559 -0.856 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)[C@H](C)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000552777729 1073227669 /nfs/dbraw/zinc/22/76/69/1073227669.db2.gz GJNNVARRWUIEPU-DZGCQCFKSA-N 0 0 427.461 -0.030 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1)[C@@H](C)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000552777734 1073227696 /nfs/dbraw/zinc/22/76/96/1073227696.db2.gz GJNNVARRWUIEPU-HIFRSBDPSA-N 0 0 427.461 -0.030 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)[C@@H](C)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000552777735 1073227764 /nfs/dbraw/zinc/22/77/64/1073227764.db2.gz GJNNVARRWUIEPU-UKRRQHHQSA-N 0 0 427.461 -0.030 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1)[C@H](C)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000552777736 1073227759 /nfs/dbraw/zinc/22/77/59/1073227759.db2.gz GJNNVARRWUIEPU-ZFWWWQNUSA-N 0 0 427.461 -0.030 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NCC1(NC(=O)CCC(=O)N2CCOCC2)CCC1 ZINC000552777974 1073228061 /nfs/dbraw/zinc/22/80/61/1073228061.db2.gz JPSRJLXNPIVBGQ-UHFFFAOYSA-N 0 0 438.525 -0.581 20 0 IBADRN COCCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000552786157 1073227288 /nfs/dbraw/zinc/22/72/88/1073227288.db2.gz PVUSGJJGFOPXMV-UHFFFAOYSA-N 0 0 430.483 -0.856 20 0 IBADRN Cc1ccccc1C(C)(C)CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000552789034 1073227358 /nfs/dbraw/zinc/22/73/58/1073227358.db2.gz FFBVVSSXIXBJLU-UHFFFAOYSA-N 0 0 442.520 -0.122 20 0 IBADRN Cc1cccc(C(C)(C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000552789534 1073227262 /nfs/dbraw/zinc/22/72/62/1073227262.db2.gz VSMNEGXSEGXYEA-UHFFFAOYSA-N 0 0 442.520 -0.122 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)[C@@H](C)C1 ZINC000552790715 1073227242 /nfs/dbraw/zinc/22/72/42/1073227242.db2.gz RMLYKVOHKPILNI-HNNXBMFYSA-N 0 0 439.534 -0.057 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)[C@H](C)C1 ZINC000552790716 1073227199 /nfs/dbraw/zinc/22/71/99/1073227199.db2.gz RMLYKVOHKPILNI-OAHLLOKOSA-N 0 0 439.534 -0.057 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000552791472 1073227365 /nfs/dbraw/zinc/22/73/65/1073227365.db2.gz YJYRQTLMRLWOBA-INIZCTEOSA-N 0 0 438.554 -0.212 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000552791473 1073227320 /nfs/dbraw/zinc/22/73/20/1073227320.db2.gz YJYRQTLMRLWOBA-MRXNPFEDSA-N 0 0 438.554 -0.212 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000552792609 1073227341 /nfs/dbraw/zinc/22/73/41/1073227341.db2.gz NEACDLWXCYVKFT-LLVKDONJSA-N 0 0 435.524 -0.153 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000552792610 1073227778 /nfs/dbraw/zinc/22/77/78/1073227778.db2.gz NEACDLWXCYVKFT-NSHDSACASA-N 0 0 435.524 -0.153 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000552795466 1073228959 /nfs/dbraw/zinc/22/89/59/1073228959.db2.gz SGPWAGMUKWFHKX-INIZCTEOSA-N 0 0 431.536 -0.123 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000552795467 1073229044 /nfs/dbraw/zinc/22/90/44/1073229044.db2.gz SGPWAGMUKWFHKX-MRXNPFEDSA-N 0 0 431.536 -0.123 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000552795506 1073229086 /nfs/dbraw/zinc/22/90/86/1073229086.db2.gz UKXVLUACQJXVBS-DLBZAZTESA-N 0 0 430.571 -0.418 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000552795507 1073228906 /nfs/dbraw/zinc/22/89/06/1073228906.db2.gz UKXVLUACQJXVBS-IAGOWNOFSA-N 0 0 430.571 -0.418 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000552795508 1073229020 /nfs/dbraw/zinc/22/90/20/1073229020.db2.gz UKXVLUACQJXVBS-IRXDYDNUSA-N 0 0 430.571 -0.418 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000552795509 1073229078 /nfs/dbraw/zinc/22/90/78/1073229078.db2.gz UKXVLUACQJXVBS-SJORKVTESA-N 0 0 430.571 -0.418 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000552797403 1073228947 /nfs/dbraw/zinc/22/89/47/1073228947.db2.gz GXJFZBCQUSKQAC-UHFFFAOYSA-N 0 0 438.550 -0.484 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cccc(CS(C)(=O)=O)c1 ZINC000552797991 1073229431 /nfs/dbraw/zinc/22/94/31/1073229431.db2.gz YVDQTGMUXPTXDT-HNNXBMFYSA-N 0 0 431.536 -0.612 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cccc(CS(C)(=O)=O)c1 ZINC000552797992 1073229525 /nfs/dbraw/zinc/22/95/25/1073229525.db2.gz YVDQTGMUXPTXDT-OAHLLOKOSA-N 0 0 431.536 -0.612 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1cccc(CS(C)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000552798861 1073229402 /nfs/dbraw/zinc/22/94/02/1073229402.db2.gz DIUOOPXZISZQFH-INIZCTEOSA-N 0 0 425.507 -0.399 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1cccc(CS(C)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000552798862 1073229504 /nfs/dbraw/zinc/22/95/04/1073229504.db2.gz DIUOOPXZISZQFH-MRXNPFEDSA-N 0 0 425.507 -0.399 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)CC2=O)c1 ZINC000552801366 1073229533 /nfs/dbraw/zinc/22/95/33/1073229533.db2.gz DRRZYKSOMPGSKK-INIZCTEOSA-N 0 0 445.520 -0.415 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)CC2=O)c1 ZINC000552801367 1073229563 /nfs/dbraw/zinc/22/95/63/1073229563.db2.gz DRRZYKSOMPGSKK-MRXNPFEDSA-N 0 0 445.520 -0.415 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCCC[C@@H]3CCS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000552801560 1073229395 /nfs/dbraw/zinc/22/93/95/1073229395.db2.gz JQIYCPNGMDBOAQ-CYBMUJFWSA-N 0 0 425.511 -0.360 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCCC[C@H]3CCS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000552801561 1073229529 /nfs/dbraw/zinc/22/95/29/1073229529.db2.gz JQIYCPNGMDBOAQ-ZDUSSCGKSA-N 0 0 425.511 -0.360 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)CC2=O)c1 ZINC000552801943 1073230041 /nfs/dbraw/zinc/23/00/41/1073230041.db2.gz VOSIZUOBNBPRCD-INIZCTEOSA-N 0 0 445.520 -0.461 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)CC2=O)c1 ZINC000552801944 1073229791 /nfs/dbraw/zinc/22/97/91/1073229791.db2.gz VOSIZUOBNBPRCD-MRXNPFEDSA-N 0 0 445.520 -0.461 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(OC)c2)C1)C(=O)N1CCOCC1 ZINC000552801965 1073229993 /nfs/dbraw/zinc/22/99/93/1073229993.db2.gz WBLSTGWIOYWYIZ-PBHICJAKSA-N 0 0 432.477 -0.330 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(OC)c2)C1)C(=O)N1CCOCC1 ZINC000552801966 1073230015 /nfs/dbraw/zinc/23/00/15/1073230015.db2.gz WBLSTGWIOYWYIZ-RHSMWYFYSA-N 0 0 432.477 -0.330 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(OC)c2)C1)C(=O)N1CCOCC1 ZINC000552801967 1073230070 /nfs/dbraw/zinc/23/00/70/1073230070.db2.gz WBLSTGWIOYWYIZ-WMLDXEAASA-N 0 0 432.477 -0.330 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(OC)c2)C1)C(=O)N1CCOCC1 ZINC000552801968 1073229986 /nfs/dbraw/zinc/22/99/86/1073229986.db2.gz WBLSTGWIOYWYIZ-YOEHRIQHSA-N 0 0 432.477 -0.330 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)CC2=O)c1 ZINC000552802571 1073229854 /nfs/dbraw/zinc/22/98/54/1073229854.db2.gz ADSPKTMGFWPXCX-CRAIPNDOSA-N 0 0 444.488 -0.234 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)CC2=O)c1 ZINC000552802572 1073230072 /nfs/dbraw/zinc/23/00/72/1073230072.db2.gz ADSPKTMGFWPXCX-MAUKXSAKSA-N 0 0 444.488 -0.234 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2=O)c1 ZINC000552802573 1073230065 /nfs/dbraw/zinc/23/00/65/1073230065.db2.gz ADSPKTMGFWPXCX-QAPCUYQASA-N 0 0 444.488 -0.234 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2=O)c1 ZINC000552802574 1073230036 /nfs/dbraw/zinc/23/00/36/1073230036.db2.gz ADSPKTMGFWPXCX-YJBOKZPZSA-N 0 0 444.488 -0.234 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000552802931 1073230423 /nfs/dbraw/zinc/23/04/23/1073230423.db2.gz IYLASQGZGQVEDK-DZGCQCFKSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000552802932 1073230452 /nfs/dbraw/zinc/23/04/52/1073230452.db2.gz IYLASQGZGQVEDK-HIFRSBDPSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000552802933 1073230587 /nfs/dbraw/zinc/23/05/87/1073230587.db2.gz IYLASQGZGQVEDK-UKRRQHHQSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000552802934 1073230459 /nfs/dbraw/zinc/23/04/59/1073230459.db2.gz IYLASQGZGQVEDK-ZFWWWQNUSA-N 0 0 438.506 -0.543 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)cn1C ZINC000552804881 1073228259 /nfs/dbraw/zinc/22/82/59/1073228259.db2.gz RRFHITGPXKXEED-UHFFFAOYSA-N 0 0 436.494 -0.407 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)NCc3ccncc3)c2)CCO1 ZINC000552806767 1073228644 /nfs/dbraw/zinc/22/86/44/1073228644.db2.gz MBOOGJOTNKGYLI-KRWDZBQOSA-N 0 0 440.460 -0.268 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)NCc3ccncc3)c2)CCO1 ZINC000552806768 1073228638 /nfs/dbraw/zinc/22/86/38/1073228638.db2.gz MBOOGJOTNKGYLI-QGZVFWFLSA-N 0 0 440.460 -0.268 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCCc3cccc(C(N)=O)c3)CC2)CC1 ZINC000552807364 1073228626 /nfs/dbraw/zinc/22/86/26/1073228626.db2.gz BUNOVXXJWOYLSH-UHFFFAOYSA-N 0 0 443.548 -0.153 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)c1 ZINC000552807511 1073228691 /nfs/dbraw/zinc/22/86/91/1073228691.db2.gz JLSYOLPIDSECTD-UHFFFAOYSA-N 0 0 443.548 -0.009 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000552807645 1073228091 /nfs/dbraw/zinc/22/80/91/1073228091.db2.gz OVNISCNCZVRDAD-UHFFFAOYSA-N 0 0 449.489 -0.894 20 0 IBADRN Cc1cn(-c2ncccc2F)nc1NC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000552807843 1073361720 /nfs/dbraw/zinc/36/17/20/1073361720.db2.gz WXDXFVUXGUEHID-UHFFFAOYSA-N 0 0 431.457 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCCc2cccc(C(N)=O)c2)s1 ZINC000552809829 1073228579 /nfs/dbraw/zinc/22/85/79/1073228579.db2.gz XBAUJIWUGLVRGU-UHFFFAOYSA-N 0 0 438.531 -0.091 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3nccn3C(F)F)CC2)cn1C ZINC000552809937 1073228671 /nfs/dbraw/zinc/22/86/71/1073228671.db2.gz BROIFYYENZTHGX-UHFFFAOYSA-N 0 0 445.452 -0.531 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2nccn2C(F)F)CC1 ZINC000552809988 1073228587 /nfs/dbraw/zinc/22/85/87/1073228587.db2.gz FHSUZLFGKSWPOS-UHFFFAOYSA-N 0 0 437.469 -0.207 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(F)(F)F ZINC000552810590 1073228696 /nfs/dbraw/zinc/22/86/96/1073228696.db2.gz KDGDHGKJUCHNJC-JTQLQIEISA-N 0 0 437.400 -0.816 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(F)(F)F ZINC000552810591 1073228669 /nfs/dbraw/zinc/22/86/69/1073228669.db2.gz KDGDHGKJUCHNJC-SNVBAGLBSA-N 0 0 437.400 -0.816 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(F)(F)F ZINC000552810789 1073228619 /nfs/dbraw/zinc/22/86/19/1073228619.db2.gz UQSUCJCSXVPEGT-JTQLQIEISA-N 0 0 439.416 -0.872 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(F)(F)F ZINC000552810790 1073228611 /nfs/dbraw/zinc/22/86/11/1073228611.db2.gz UQSUCJCSXVPEGT-SNVBAGLBSA-N 0 0 439.416 -0.872 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCc2cccc(C(N)=O)c2)cn1 ZINC000552810873 1073228700 /nfs/dbraw/zinc/22/87/00/1073228700.db2.gz YDCPJWDZQFIGFQ-KRWDZBQOSA-N 0 0 447.517 -0.341 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCc2cccc(C(N)=O)c2)cn1 ZINC000552810874 1073228714 /nfs/dbraw/zinc/22/87/14/1073228714.db2.gz YDCPJWDZQFIGFQ-QGZVFWFLSA-N 0 0 447.517 -0.341 20 0 IBADRN Cc1cc(C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000552811206 1073228649 /nfs/dbraw/zinc/22/86/49/1073228649.db2.gz RARZCTZWOYVONK-UHFFFAOYSA-N 0 0 438.444 -0.250 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCC1(O)CCC1 ZINC000552811333 1073228719 /nfs/dbraw/zinc/22/87/19/1073228719.db2.gz ZLTHSOBKCNISBX-AWEZNQCLSA-N 0 0 441.506 -0.209 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCC1(O)CCC1 ZINC000552811334 1073228686 /nfs/dbraw/zinc/22/86/86/1073228686.db2.gz ZLTHSOBKCNISBX-CQSZACIVSA-N 0 0 441.506 -0.209 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1 ZINC000552812565 1073228708 /nfs/dbraw/zinc/22/87/08/1073228708.db2.gz NVXXJHZRYJRQSE-UHFFFAOYSA-N 0 0 437.522 -0.844 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000552812795 1073229061 /nfs/dbraw/zinc/22/90/61/1073229061.db2.gz XYDQXMJDOUCYBS-UHFFFAOYSA-N 0 0 431.428 -0.067 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)C1 ZINC000552812830 1073229033 /nfs/dbraw/zinc/22/90/33/1073229033.db2.gz YXHOINBIYMDOJS-UHFFFAOYSA-N 0 0 445.520 -0.743 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(Cc1ccc(C(N)=O)cc1F)C1CC1)c(=O)n2C ZINC000552814253 1073228931 /nfs/dbraw/zinc/22/89/31/1073228931.db2.gz HDMMZWUBEOZXNV-UHFFFAOYSA-N 0 0 428.424 -0.137 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNC(=O)CNC(C)=O)Oc1ccc(Br)cc1 ZINC000552817094 1073230928 /nfs/dbraw/zinc/23/09/28/1073230928.db2.gz CCGPKAQNMVLKDT-CYBMUJFWSA-N 0 0 430.255 -0.262 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNC(=O)CNC(C)=O)Oc1ccc(Br)cc1 ZINC000552817095 1073230995 /nfs/dbraw/zinc/23/09/95/1073230995.db2.gz CCGPKAQNMVLKDT-ZDUSSCGKSA-N 0 0 430.255 -0.262 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)Cn2cncn2)CC1 ZINC000552828148 1073230968 /nfs/dbraw/zinc/23/09/68/1073230968.db2.gz FSHMEXLHTSJOCB-HNNXBMFYSA-N 0 0 429.547 -0.706 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)Cn2cncn2)CC1 ZINC000552828149 1073230877 /nfs/dbraw/zinc/23/08/77/1073230877.db2.gz FSHMEXLHTSJOCB-OAHLLOKOSA-N 0 0 429.547 -0.706 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000552828533 1073230978 /nfs/dbraw/zinc/23/09/78/1073230978.db2.gz NYEQNLLJOCGFRF-KRWDZBQOSA-N 0 0 439.520 -0.094 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000552828538 1073230960 /nfs/dbraw/zinc/23/09/60/1073230960.db2.gz NYEQNLLJOCGFRF-QGZVFWFLSA-N 0 0 439.520 -0.094 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000552828682 1073230907 /nfs/dbraw/zinc/23/09/07/1073230907.db2.gz QMWQEANRIZDGCI-HNNXBMFYSA-N 0 0 449.537 -0.965 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000552828683 1073230903 /nfs/dbraw/zinc/23/09/03/1073230903.db2.gz QMWQEANRIZDGCI-OAHLLOKOSA-N 0 0 449.537 -0.965 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)N1CCc2ccccc21 ZINC000552828847 1073230394 /nfs/dbraw/zinc/23/03/94/1073230394.db2.gz WSPQDFFPZZNGMF-AWEZNQCLSA-N 0 0 435.506 -0.222 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)N1CCc2ccccc21 ZINC000552828848 1073230524 /nfs/dbraw/zinc/23/05/24/1073230524.db2.gz WSPQDFFPZZNGMF-CQSZACIVSA-N 0 0 435.506 -0.222 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000552829227 1073230520 /nfs/dbraw/zinc/23/05/20/1073230520.db2.gz HKYTYBMIZYOAMT-INIZCTEOSA-N 0 0 425.493 -0.484 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000552829228 1073230512 /nfs/dbraw/zinc/23/05/12/1073230512.db2.gz HKYTYBMIZYOAMT-MRXNPFEDSA-N 0 0 425.493 -0.484 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000552830126 1073230575 /nfs/dbraw/zinc/23/05/75/1073230575.db2.gz YCISVSPNNBDNRK-AEFFLSMTSA-N 0 0 425.493 -0.268 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000552830128 1073230549 /nfs/dbraw/zinc/23/05/49/1073230549.db2.gz YCISVSPNNBDNRK-FUHWJXTLSA-N 0 0 425.493 -0.268 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000552830129 1073230598 /nfs/dbraw/zinc/23/05/98/1073230598.db2.gz YCISVSPNNBDNRK-SJLPKXTDSA-N 0 0 425.493 -0.268 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000552830130 1073230581 /nfs/dbraw/zinc/23/05/81/1073230581.db2.gz YCISVSPNNBDNRK-WMZOPIPTSA-N 0 0 425.493 -0.268 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC2)cc1 ZINC000552831212 1073231003 /nfs/dbraw/zinc/23/10/03/1073231003.db2.gz SGTRFCHDUTUNTI-UHFFFAOYSA-N 0 0 434.540 -0.734 20 0 IBADRN COc1cc(C(=O)NCC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc(OC)c1OC ZINC000552834697 1073230937 /nfs/dbraw/zinc/23/09/37/1073230937.db2.gz IIKGWRFGMZPWJT-CYBMUJFWSA-N 0 0 429.495 -0.066 20 0 IBADRN COc1cc(C(=O)NCC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc(OC)c1OC ZINC000552834698 1073230880 /nfs/dbraw/zinc/23/08/80/1073230880.db2.gz IIKGWRFGMZPWJT-ZDUSSCGKSA-N 0 0 429.495 -0.066 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000552835918 1073231343 /nfs/dbraw/zinc/23/13/43/1073231343.db2.gz GLFSXAYVUIUVOJ-HNNXBMFYSA-N 0 0 446.551 -0.242 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000552835919 1073231403 /nfs/dbraw/zinc/23/14/03/1073231403.db2.gz GLFSXAYVUIUVOJ-OAHLLOKOSA-N 0 0 446.551 -0.242 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000552836295 1073230987 /nfs/dbraw/zinc/23/09/87/1073230987.db2.gz VPUFNLXPCVRMDP-AWEZNQCLSA-N 0 0 448.567 -0.629 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000552836296 1073230901 /nfs/dbraw/zinc/23/09/01/1073230901.db2.gz VPUFNLXPCVRMDP-CQSZACIVSA-N 0 0 448.567 -0.629 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000552837038 1073231306 /nfs/dbraw/zinc/23/13/06/1073231306.db2.gz DOAZQPNAFPUWGR-AWEZNQCLSA-N 0 0 446.551 -0.841 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000552837039 1073231390 /nfs/dbraw/zinc/23/13/90/1073231390.db2.gz DOAZQPNAFPUWGR-CQSZACIVSA-N 0 0 446.551 -0.841 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000552837790 1073231336 /nfs/dbraw/zinc/23/13/36/1073231336.db2.gz YPDQUTDKLBJUNE-CABCVRRESA-N 0 0 447.583 -0.080 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000552837791 1073231326 /nfs/dbraw/zinc/23/13/26/1073231326.db2.gz YPDQUTDKLBJUNE-GJZGRUSLSA-N 0 0 447.583 -0.080 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000552837792 1073231376 /nfs/dbraw/zinc/23/13/76/1073231376.db2.gz YPDQUTDKLBJUNE-HUUCEWRRSA-N 0 0 447.583 -0.080 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000552837793 1073231408 /nfs/dbraw/zinc/23/14/08/1073231408.db2.gz YPDQUTDKLBJUNE-LSDHHAIUSA-N 0 0 447.583 -0.080 20 0 IBADRN CN(C(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000552839311 1073231316 /nfs/dbraw/zinc/23/13/16/1073231316.db2.gz SQFLOQFLMAPNAC-DOMZBBRYSA-N 0 0 436.490 -0.591 20 0 IBADRN CN(C(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000552839312 1073231378 /nfs/dbraw/zinc/23/13/78/1073231378.db2.gz SQFLOQFLMAPNAC-IUODEOHRSA-N 0 0 436.490 -0.591 20 0 IBADRN CN(C(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000552839313 1073231330 /nfs/dbraw/zinc/23/13/30/1073231330.db2.gz SQFLOQFLMAPNAC-SWLSCSKDSA-N 0 0 436.490 -0.591 20 0 IBADRN CN(C(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000552839314 1073231383 /nfs/dbraw/zinc/23/13/83/1073231383.db2.gz SQFLOQFLMAPNAC-WFASDCNBSA-N 0 0 436.490 -0.591 20 0 IBADRN CN(C(=O)c1sccc1S(=O)(=O)N1CCOCC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000552839471 1073231283 /nfs/dbraw/zinc/23/12/83/1073231283.db2.gz WPAIDOXSYGLNIJ-GFCCVEGCSA-N 0 0 437.565 -0.125 20 0 IBADRN CN(C(=O)c1sccc1S(=O)(=O)N1CCOCC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000552839472 1073231394 /nfs/dbraw/zinc/23/13/94/1073231394.db2.gz WPAIDOXSYGLNIJ-LBPRGKRZSA-N 0 0 437.565 -0.125 20 0 IBADRN CN(C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000552839557 1073231924 /nfs/dbraw/zinc/23/19/24/1073231924.db2.gz ZBYFEOVEXPIKDF-KBXCAEBGSA-N 0 0 434.518 -0.046 20 0 IBADRN CN(C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000552839558 1073231781 /nfs/dbraw/zinc/23/17/81/1073231781.db2.gz ZBYFEOVEXPIKDF-KDOFPFPSSA-N 0 0 434.518 -0.046 20 0 IBADRN CN(C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000552839559 1073231934 /nfs/dbraw/zinc/23/19/34/1073231934.db2.gz ZBYFEOVEXPIKDF-KSSFIOAISA-N 0 0 434.518 -0.046 20 0 IBADRN CN(C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000552839560 1073231805 /nfs/dbraw/zinc/23/18/05/1073231805.db2.gz ZBYFEOVEXPIKDF-RDTXWAMCSA-N 0 0 434.518 -0.046 20 0 IBADRN CN(C)C(=O)COC[C@@H]1CN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC(C)(C)O1 ZINC000552845710 1073231864 /nfs/dbraw/zinc/23/18/64/1073231864.db2.gz HWIKTDDBCHBTFY-AWEZNQCLSA-N 0 0 449.508 -0.851 20 0 IBADRN CN(C)C(=O)COC[C@H]1CN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC(C)(C)O1 ZINC000552845711 1073231821 /nfs/dbraw/zinc/23/18/21/1073231821.db2.gz HWIKTDDBCHBTFY-CQSZACIVSA-N 0 0 449.508 -0.851 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)N1CCc2ccccc2C1 ZINC000552845754 1073231838 /nfs/dbraw/zinc/23/18/38/1073231838.db2.gz IWEAGOAYKFNGTA-HNNXBMFYSA-N 0 0 449.533 -0.227 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)N1CCc2ccccc2C1 ZINC000552845755 1073231884 /nfs/dbraw/zinc/23/18/84/1073231884.db2.gz IWEAGOAYKFNGTA-OAHLLOKOSA-N 0 0 449.533 -0.227 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)N1CCc2ccccc2C1 ZINC000552846334 1073231752 /nfs/dbraw/zinc/23/17/52/1073231752.db2.gz WRONPRPCADVBKN-KRWDZBQOSA-N 0 0 427.549 -0.028 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)N1CCc2ccccc2C1 ZINC000552846335 1073231787 /nfs/dbraw/zinc/23/17/87/1073231787.db2.gz WRONPRPCADVBKN-QGZVFWFLSA-N 0 0 427.549 -0.028 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)nc2ccccc12 ZINC000552857065 1073232415 /nfs/dbraw/zinc/23/24/15/1073232415.db2.gz IARMVOLNLWLPJG-UHFFFAOYSA-N 0 0 440.504 -0.443 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552860889 1073232745 /nfs/dbraw/zinc/23/27/45/1073232745.db2.gz FRIGZYCXHXPSAI-IBGZPJMESA-N 0 0 443.548 -0.084 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552860892 1073232704 /nfs/dbraw/zinc/23/27/04/1073232704.db2.gz FRIGZYCXHXPSAI-LJQANCHMSA-N 0 0 443.548 -0.084 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552861439 1073232383 /nfs/dbraw/zinc/23/23/83/1073232383.db2.gz MOYFZXZXKCBXRH-GOSISDBHSA-N 0 0 429.521 -0.474 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000552861440 1073232440 /nfs/dbraw/zinc/23/24/40/1073232440.db2.gz MOYFZXZXKCBXRH-SFHVURJKSA-N 0 0 429.521 -0.474 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)cn1 ZINC000552861448 1073232903 /nfs/dbraw/zinc/23/29/03/1073232903.db2.gz MVDOTVXZLJUCNS-AOIWGVFYSA-N 0 0 438.488 -0.227 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)cn1 ZINC000552861449 1073232853 /nfs/dbraw/zinc/23/28/53/1073232853.db2.gz MVDOTVXZLJUCNS-LMMKCTJWSA-N 0 0 438.488 -0.227 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CCN(Cc3ccccc3)C1=O)CC2 ZINC000552863193 1073232884 /nfs/dbraw/zinc/23/28/84/1073232884.db2.gz OLJKMQMOHCIGSA-CVEARBPZSA-N 0 0 426.477 -0.227 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CCN(Cc3ccccc3)C1=O)CC2 ZINC000552863194 1073232876 /nfs/dbraw/zinc/23/28/76/1073232876.db2.gz OLJKMQMOHCIGSA-HOTGVXAUSA-N 0 0 426.477 -0.227 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CCN(Cc3ccccc3)C1=O)CC2 ZINC000552863195 1073232821 /nfs/dbraw/zinc/23/28/21/1073232821.db2.gz OLJKMQMOHCIGSA-HZPDHXFCSA-N 0 0 426.477 -0.227 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CCN(Cc3ccccc3)C1=O)CC2 ZINC000552863196 1073232811 /nfs/dbraw/zinc/23/28/11/1073232811.db2.gz OLJKMQMOHCIGSA-JKSUJKDBSA-N 0 0 426.477 -0.227 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)C2=O)n1 ZINC000552863388 1073232906 /nfs/dbraw/zinc/23/29/06/1073232906.db2.gz UPKGDKJRQGKEOP-DLBZAZTESA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)C2=O)n1 ZINC000552863389 1073232893 /nfs/dbraw/zinc/23/28/93/1073232893.db2.gz UPKGDKJRQGKEOP-IAGOWNOFSA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)C2=O)n1 ZINC000552863390 1073232911 /nfs/dbraw/zinc/23/29/11/1073232911.db2.gz UPKGDKJRQGKEOP-IRXDYDNUSA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)C2=O)n1 ZINC000552863391 1073232736 /nfs/dbraw/zinc/23/27/36/1073232736.db2.gz UPKGDKJRQGKEOP-SJORKVTESA-N 0 0 438.488 -0.051 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CCC1 ZINC000552865752 1073233251 /nfs/dbraw/zinc/23/32/51/1073233251.db2.gz CTGCJOZALVSVAW-UHFFFAOYSA-N 0 0 429.521 -0.126 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000552867003 1073233357 /nfs/dbraw/zinc/23/33/57/1073233357.db2.gz ALFNRWZSCHDCTG-UHFFFAOYSA-N 0 0 431.537 -0.634 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1=O ZINC000552867197 1073233301 /nfs/dbraw/zinc/23/33/01/1073233301.db2.gz GTJBVOJHJPHGTG-UHFFFAOYSA-N 0 0 445.520 -0.841 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552867284 1073233275 /nfs/dbraw/zinc/23/32/75/1073233275.db2.gz IEXLNZWVPGFNDQ-UHFFFAOYSA-N 0 0 431.537 -0.322 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@H](CO)NC(=O)C(C)C)CC2)c1 ZINC000552867812 1073233295 /nfs/dbraw/zinc/23/32/95/1073233295.db2.gz LFAKBTPGEGSPAK-HNNXBMFYSA-N 0 0 443.522 -0.330 20 0 IBADRN Cn1cnnc1C1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000552868077 1073233315 /nfs/dbraw/zinc/23/33/15/1073233315.db2.gz SENDEAKVYONAKQ-UHFFFAOYSA-N 0 0 439.520 -0.014 20 0 IBADRN Cn1ccnc1[C@@H](CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC000552868171 1073233321 /nfs/dbraw/zinc/23/33/21/1073233321.db2.gz QHINPEYWMBWWFW-CYBMUJFWSA-N 0 0 431.415 -0.014 20 0 IBADRN Cn1ccnc1[C@H](CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC000552868172 1073233227 /nfs/dbraw/zinc/23/32/27/1073233227.db2.gz QHINPEYWMBWWFW-ZDUSSCGKSA-N 0 0 431.415 -0.014 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCC(=O)N1CCN(c3ccccc3)CC1)CCO2 ZINC000552868370 1073233370 /nfs/dbraw/zinc/23/33/70/1073233370.db2.gz YEEUQTUIJUDCFX-HTAPYJJXSA-N 0 0 430.505 -0.142 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCC(=O)N1CCN(c3ccccc3)CC1)CCO2 ZINC000552868435 1073233439 /nfs/dbraw/zinc/23/34/39/1073233439.db2.gz YEEUQTUIJUDCFX-JTSKRJEESA-N 0 0 430.505 -0.142 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCC(=O)N1CCN(c3ccccc3)CC1)CCO2 ZINC000552868436 1073233346 /nfs/dbraw/zinc/23/33/46/1073233346.db2.gz YEEUQTUIJUDCFX-VGOFRKELSA-N 0 0 430.505 -0.142 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCC(=O)N1CCN(c3ccccc3)CC1)CCO2 ZINC000552868437 1073233201 /nfs/dbraw/zinc/23/32/01/1073233201.db2.gz YEEUQTUIJUDCFX-VGSWGCGISA-N 0 0 430.505 -0.142 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552868875 1073233827 /nfs/dbraw/zinc/23/38/27/1073233827.db2.gz BPJHKQXWZVGVSJ-GOSISDBHSA-N 0 0 429.521 -0.079 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552868876 1073233670 /nfs/dbraw/zinc/23/36/70/1073233670.db2.gz BPJHKQXWZVGVSJ-SFHVURJKSA-N 0 0 429.521 -0.079 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)n1 ZINC000552869084 1073233939 /nfs/dbraw/zinc/23/39/39/1073233939.db2.gz GPZAPLAUGADHQQ-UHFFFAOYSA-N 0 0 425.493 -0.376 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552869092 1073233934 /nfs/dbraw/zinc/23/39/34/1073233934.db2.gz GWNCEDRSSUDRNV-UHFFFAOYSA-N 0 0 442.520 -0.311 20 0 IBADRN O=C(CNC(=O)C(=O)NCC1(N2CCOCC2)CC1)N1CCN(c2ccccc2)CC1 ZINC000552869094 1073233813 /nfs/dbraw/zinc/23/38/13/1073233813.db2.gz HBTMYGCUWDEUFP-UHFFFAOYSA-N 0 0 429.521 -0.568 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(c2cnccn2)CC1)N1CCN(c2ccccc2)CC1 ZINC000552869461 1073233925 /nfs/dbraw/zinc/23/39/25/1073233925.db2.gz MZNINMFQVBCZLX-UHFFFAOYSA-N 0 0 437.504 -0.410 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C[C@@]2(CCOC2)O1 ZINC000552869645 1073233424 /nfs/dbraw/zinc/23/34/24/1073233424.db2.gz RCDRQJJNNNAXHE-HTAPYJJXSA-N 0 0 430.505 -0.142 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C[C@]2(CCOC2)O1 ZINC000552869646 1073233208 /nfs/dbraw/zinc/23/32/08/1073233208.db2.gz RCDRQJJNNNAXHE-JTSKRJEESA-N 0 0 430.505 -0.142 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C[C@@]2(CCOC2)O1 ZINC000552869647 1073233287 /nfs/dbraw/zinc/23/32/87/1073233287.db2.gz RCDRQJJNNNAXHE-VGOFRKELSA-N 0 0 430.505 -0.142 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C[C@]2(CCOC2)O1 ZINC000552869648 1073233771 /nfs/dbraw/zinc/23/37/71/1073233771.db2.gz RCDRQJJNNNAXHE-VGSWGCGISA-N 0 0 430.505 -0.142 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552869834 1073233678 /nfs/dbraw/zinc/23/36/78/1073233678.db2.gz UTDCQIFTVFOXAJ-MOPGFXCFSA-N 0 0 448.520 -0.612 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552869835 1073233878 /nfs/dbraw/zinc/23/38/78/1073233878.db2.gz UTDCQIFTVFOXAJ-OALUTQOASA-N 0 0 448.520 -0.612 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552869836 1073233664 /nfs/dbraw/zinc/23/36/64/1073233664.db2.gz UTDCQIFTVFOXAJ-RBUKOAKNSA-N 0 0 448.520 -0.612 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552869837 1073233802 /nfs/dbraw/zinc/23/38/02/1073233802.db2.gz UTDCQIFTVFOXAJ-RTBURBONSA-N 0 0 448.520 -0.612 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC000552870159 1073232256 /nfs/dbraw/zinc/23/22/56/1073232256.db2.gz FRMHXBLZRWQZRG-AWEZNQCLSA-N 0 0 449.526 -0.354 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC000552870160 1073232245 /nfs/dbraw/zinc/23/22/45/1073232245.db2.gz FRMHXBLZRWQZRG-CQSZACIVSA-N 0 0 449.526 -0.354 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000552870161 1073232326 /nfs/dbraw/zinc/23/23/26/1073232326.db2.gz FSABJQNROJACEL-UHFFFAOYSA-N 0 0 441.462 -0.335 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000552871611 1073232307 /nfs/dbraw/zinc/23/23/07/1073232307.db2.gz QZUMYXVGMCOXSD-UHFFFAOYSA-N 0 0 440.570 -0.894 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@H]3CO)cc2)CC1 ZINC000552872868 1073232193 /nfs/dbraw/zinc/23/21/93/1073232193.db2.gz KKXXQWLHLSGWFF-HNNXBMFYSA-N 0 0 446.551 -0.314 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc2)CC1 ZINC000552872870 1073232296 /nfs/dbraw/zinc/23/22/96/1073232296.db2.gz KKXXQWLHLSGWFF-OAHLLOKOSA-N 0 0 446.551 -0.314 20 0 IBADRN COc1ccc([C@H]2OCC[C@@H]2NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC000552874789 1073232228 /nfs/dbraw/zinc/23/22/28/1073232228.db2.gz CRNZYULKXDIROR-SUMWQHHRSA-N 0 0 428.449 -0.126 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000552875975 1073234483 /nfs/dbraw/zinc/23/44/83/1073234483.db2.gz LACYDKYOFQZPON-GFCCVEGCSA-N 0 0 435.572 -0.508 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000552875977 1073234561 /nfs/dbraw/zinc/23/45/61/1073234561.db2.gz LACYDKYOFQZPON-LBPRGKRZSA-N 0 0 435.572 -0.508 20 0 IBADRN O=C(CN1CC(=O)NC1=O)NCCCNS(=O)(=O)c1ccccc1Br ZINC000552876682 1073234306 /nfs/dbraw/zinc/23/43/06/1073234306.db2.gz CMNZANXPMREOPV-UHFFFAOYSA-N 0 0 433.284 -0.215 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccc3cccnc32)CC1)N1CCOCC1 ZINC000552877114 1073234964 /nfs/dbraw/zinc/23/49/64/1073234964.db2.gz DWOZRXDOCGAYDU-UHFFFAOYSA-N 0 0 425.489 -0.146 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000552878204 1073234922 /nfs/dbraw/zinc/23/49/22/1073234922.db2.gz MCXGWJOUTFTYNG-UHFFFAOYSA-N 0 0 438.554 -0.307 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000552878435 1073234761 /nfs/dbraw/zinc/23/47/61/1073234761.db2.gz XKCLXCRCQVDVHQ-GFCCVEGCSA-N 0 0 446.551 -0.760 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000552878436 1073234917 /nfs/dbraw/zinc/23/49/17/1073234917.db2.gz XKCLXCRCQVDVHQ-LBPRGKRZSA-N 0 0 446.551 -0.760 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000552879535 1073234830 /nfs/dbraw/zinc/23/48/30/1073234830.db2.gz UJCQDRKPMQDZDM-UHFFFAOYSA-N 0 0 433.552 -0.299 20 0 IBADRN CS(=O)(=O)c1nccc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)n1 ZINC000552881725 1073234858 /nfs/dbraw/zinc/23/48/58/1073234858.db2.gz LQEIMCZLIAAXED-UHFFFAOYSA-N 0 0 442.475 -0.141 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000552881768 1073234884 /nfs/dbraw/zinc/23/48/84/1073234884.db2.gz OHYSQLRLZZPQPL-UHFFFAOYSA-N 0 0 436.512 -0.910 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)CN1CCOCC1 ZINC000552882150 1073234843 /nfs/dbraw/zinc/23/48/43/1073234843.db2.gz FKJHENPXSWFYKT-HNNXBMFYSA-N 0 0 427.523 -0.330 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)CN1CCOCC1 ZINC000552882151 1073234813 /nfs/dbraw/zinc/23/48/13/1073234813.db2.gz FKJHENPXSWFYKT-OAHLLOKOSA-N 0 0 427.523 -0.330 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1)CN1CCOCC1 ZINC000552882349 1073234733 /nfs/dbraw/zinc/23/47/33/1073234733.db2.gz LGXVVLVODYOXLF-IBGZPJMESA-N 0 0 445.564 -0.074 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1)CN1CCOCC1 ZINC000552882350 1073234934 /nfs/dbraw/zinc/23/49/34/1073234934.db2.gz LGXVVLVODYOXLF-LJQANCHMSA-N 0 0 445.564 -0.074 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)CN1CCOCC1 ZINC000552882409 1073234869 /nfs/dbraw/zinc/23/48/69/1073234869.db2.gz NRKGSFKLBACMMB-KRWDZBQOSA-N 0 0 449.527 -0.007 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)CN1CCOCC1 ZINC000552882410 1073234798 /nfs/dbraw/zinc/23/47/98/1073234798.db2.gz NRKGSFKLBACMMB-QGZVFWFLSA-N 0 0 449.527 -0.007 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CC(=O)N(c4cnccn4)C3=O)CC2)C[C@@H](C)O1 ZINC000552883207 1073234906 /nfs/dbraw/zinc/23/49/06/1073234906.db2.gz SRSOAGADGPVWLU-KFWWJZLASA-N 0 0 438.510 -0.920 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CC(=O)N(c4cnccn4)C3=O)CC2)C[C@H](C)O1 ZINC000552883208 1073234929 /nfs/dbraw/zinc/23/49/29/1073234929.db2.gz SRSOAGADGPVWLU-QLFBSQMISA-N 0 0 438.510 -0.920 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CC(=O)N(c4cnccn4)C3=O)CC2)C[C@@H](C)O1 ZINC000552883209 1073235457 /nfs/dbraw/zinc/23/54/57/1073235457.db2.gz SRSOAGADGPVWLU-RBSFLKMASA-N 0 0 438.510 -0.920 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CC(=O)N(c4cnccn4)C3=O)CC2)C[C@H](C)O1 ZINC000552883210 1073235488 /nfs/dbraw/zinc/23/54/88/1073235488.db2.gz SRSOAGADGPVWLU-ZNMIVQPWSA-N 0 0 438.510 -0.920 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCn3ccnc3C)CC2)cs1 ZINC000552885129 1073233842 /nfs/dbraw/zinc/23/38/42/1073233842.db2.gz YJJMNIWIONGKIG-UHFFFAOYSA-N 0 0 426.524 -0.394 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000552886961 1073233726 /nfs/dbraw/zinc/23/37/26/1073233726.db2.gz GZEVITSNLPDYLG-UHFFFAOYSA-N 0 0 429.506 -0.278 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000552890007 1073233784 /nfs/dbraw/zinc/23/37/84/1073233784.db2.gz CSUOPJDBHIOZEX-UHFFFAOYSA-N 0 0 434.518 -0.154 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCCCNS(=O)(=O)c1ccccc1Br ZINC000552891483 1073234390 /nfs/dbraw/zinc/23/43/90/1073234390.db2.gz ZGADOIXJOSFSSO-UHFFFAOYSA-N 0 0 449.327 -0.514 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccc(C)nc3)CC2)cn1C ZINC000552894434 1073234945 /nfs/dbraw/zinc/23/49/45/1073234945.db2.gz SHGYCQSZASRXFI-UHFFFAOYSA-N 0 0 434.522 -0.376 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCc2ccc(Cn3cncn3)cc2)[C@H](O)[C@@H]1O ZINC000552901246 1073235778 /nfs/dbraw/zinc/23/57/78/1073235778.db2.gz XMHZJYCRJZSWND-WVSUBDOOSA-N 0 0 438.448 -0.310 20 0 IBADRN COc1ccc(NC(=O)c2nc(N)nc(N(C)C)n2)cc1S(=O)(=O)N1CCOCC1 ZINC000552904017 1073236544 /nfs/dbraw/zinc/23/65/44/1073236544.db2.gz LWSZFVTUWOBHRC-UHFFFAOYSA-N 0 0 437.482 -0.198 20 0 IBADRN Cc1cccnc1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000552905821 1073236530 /nfs/dbraw/zinc/23/65/30/1073236530.db2.gz XQWMPWLVGHEWID-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN CCNC(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000552911588 1073235401 /nfs/dbraw/zinc/23/54/01/1073235401.db2.gz HJDCBLVVXWQEFG-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)C1 ZINC000552911613 1073235405 /nfs/dbraw/zinc/23/54/05/1073235405.db2.gz IQQOGUZPWCFLAG-NRXISQOPSA-N 0 0 425.555 -0.233 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)C1 ZINC000552911614 1073235425 /nfs/dbraw/zinc/23/54/25/1073235425.db2.gz IQQOGUZPWCFLAG-YMAMQOFZSA-N 0 0 425.555 -0.233 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC1CCC(C(=O)N2CCSCC2)CC1 ZINC000552911730 1073235485 /nfs/dbraw/zinc/23/54/85/1073235485.db2.gz NMPARJWZLYLGAR-UHFFFAOYSA-N 0 0 448.549 -0.427 20 0 IBADRN CN1CCO[C@@H](CNC(=O)C(=O)N2C[C@H]3CCC[C@@]3(c3nc(-c4cnccn4)no3)C2)C1 ZINC000552915107 1073238095 /nfs/dbraw/zinc/23/80/95/1073238095.db2.gz HSQHZUYFISXLNT-LRAJWGHMSA-N 0 0 441.492 -0.147 20 0 IBADRN CN1CCO[C@H](CNC(=O)C(=O)N2C[C@H]3CCC[C@@]3(c3nc(-c4cnccn4)no3)C2)C1 ZINC000552915108 1073238060 /nfs/dbraw/zinc/23/80/60/1073238060.db2.gz HSQHZUYFISXLNT-VTJXTGGHSA-N 0 0 441.492 -0.147 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1 ZINC000552919192 1073238018 /nfs/dbraw/zinc/23/80/18/1073238018.db2.gz TYHJILILQKVEPG-UHFFFAOYSA-N 0 0 436.469 -0.592 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000552920840 1073237949 /nfs/dbraw/zinc/23/79/49/1073237949.db2.gz NASILVNDIUTTAO-UHFFFAOYSA-N 0 0 441.487 -0.129 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000552924288 1073236520 /nfs/dbraw/zinc/23/65/20/1073236520.db2.gz CHVCXPSSRHVPSQ-UHFFFAOYSA-N 0 0 444.536 -0.437 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552924631 1073238621 /nfs/dbraw/zinc/23/86/21/1073238621.db2.gz JVCHGSSJSJRSFF-MSOLQXFVSA-N 0 0 429.521 -0.128 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552924632 1073238513 /nfs/dbraw/zinc/23/85/13/1073238513.db2.gz JVCHGSSJSJRSFF-QZTJIDSGSA-N 0 0 429.521 -0.128 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552924633 1073238639 /nfs/dbraw/zinc/23/86/39/1073238639.db2.gz JVCHGSSJSJRSFF-ROUUACIJSA-N 0 0 429.521 -0.128 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000552924634 1073238497 /nfs/dbraw/zinc/23/84/97/1073238497.db2.gz JVCHGSSJSJRSFF-ZWKOTPCHSA-N 0 0 429.521 -0.128 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552924665 1073238557 /nfs/dbraw/zinc/23/85/57/1073238557.db2.gz LEJZMDWSVBWBEH-UHFFFAOYSA-N 0 0 446.552 -0.537 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552924953 1073237041 /nfs/dbraw/zinc/23/70/41/1073237041.db2.gz RBZRBIZJGRSIPI-DLBZAZTESA-N 0 0 433.509 -0.453 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552924954 1073237022 /nfs/dbraw/zinc/23/70/22/1073237022.db2.gz RBZRBIZJGRSIPI-IAGOWNOFSA-N 0 0 433.509 -0.453 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552924955 1073236917 /nfs/dbraw/zinc/23/69/17/1073236917.db2.gz RBZRBIZJGRSIPI-IRXDYDNUSA-N 0 0 433.509 -0.453 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552924956 1073236969 /nfs/dbraw/zinc/23/69/69/1073236969.db2.gz RBZRBIZJGRSIPI-SJORKVTESA-N 0 0 433.509 -0.453 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCC1 ZINC000552925115 1073237031 /nfs/dbraw/zinc/23/70/31/1073237031.db2.gz XCSYGGVNTLZTJD-UHFFFAOYSA-N 0 0 444.536 -0.341 20 0 IBADRN NC(=O)CN1CCN(c2cc(N3CCN(CC(N)=O)CC3)nc(-c3ccccc3)n2)CC1 ZINC000552925439 1073236565 /nfs/dbraw/zinc/23/65/65/1073236565.db2.gz RDSKFUQCVFLKGN-UHFFFAOYSA-N 0 0 438.536 -0.642 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552925604 1073236465 /nfs/dbraw/zinc/23/64/65/1073236465.db2.gz BJOVHQKECNKMPS-UHFFFAOYSA-N 0 0 428.497 -0.586 20 0 IBADRN O=C(NCCCCn1cc(Br)cn1)C(=O)NCCNC(=O)c1cnccn1 ZINC000552925671 1073236557 /nfs/dbraw/zinc/23/65/57/1073236557.db2.gz DUAZQBXITQOTSW-UHFFFAOYSA-N 0 0 438.286 -0.122 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)C2=O)n1 ZINC000552925765 1073236424 /nfs/dbraw/zinc/23/64/24/1073236424.db2.gz ISLUNUSNDDNUDM-INIZCTEOSA-N 0 0 444.467 -0.354 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)C2=O)n1 ZINC000552925766 1073236482 /nfs/dbraw/zinc/23/64/82/1073236482.db2.gz ISLUNUSNDDNUDM-MRXNPFEDSA-N 0 0 444.467 -0.354 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@](O)(C(F)(F)F)C1)N1CCN(c2ccccn2)CC1 ZINC000552925845 1073236437 /nfs/dbraw/zinc/23/64/37/1073236437.db2.gz LIGGSUVLWBDYJS-GOSISDBHSA-N 0 0 443.426 -0.238 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@@](O)(C(F)(F)F)C1)N1CCN(c2ccccn2)CC1 ZINC000552925846 1073236488 /nfs/dbraw/zinc/23/64/88/1073236488.db2.gz LIGGSUVLWBDYJS-SFHVURJKSA-N 0 0 443.426 -0.238 20 0 IBADRN Cc1cc(N2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)nc(C(C)C)n1 ZINC000552925931 1073236957 /nfs/dbraw/zinc/23/69/57/1073236957.db2.gz OHSOJMHFUOZBSI-UHFFFAOYSA-N 0 0 440.508 -0.107 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000552926139 1073237009 /nfs/dbraw/zinc/23/70/09/1073237009.db2.gz WSXLJZUWAIJCEJ-UHFFFAOYSA-N 0 0 446.552 -0.848 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000552926935 1073236986 /nfs/dbraw/zinc/23/69/86/1073236986.db2.gz DIPJQTOSYMOGGJ-UHFFFAOYSA-N 0 0 429.433 -0.274 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCCNC(=O)c3cnccn3)C2)c1 ZINC000552927037 1073237496 /nfs/dbraw/zinc/23/74/96/1073237496.db2.gz HHKPZKREAXMPME-AWEZNQCLSA-N 0 0 442.476 -0.265 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCCNC(=O)c3cnccn3)C2)c1 ZINC000552927038 1073237488 /nfs/dbraw/zinc/23/74/88/1073237488.db2.gz HHKPZKREAXMPME-CQSZACIVSA-N 0 0 442.476 -0.265 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCC(C(=O)NCc2ccccc2)CC1 ZINC000552927064 1073237611 /nfs/dbraw/zinc/23/76/11/1073237611.db2.gz IEVBLJVQOSXVQK-UHFFFAOYSA-N 0 0 438.488 -0.122 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)c(C)o1 ZINC000552927166 1073237568 /nfs/dbraw/zinc/23/75/68/1073237568.db2.gz LBZPTROPAYLEIL-UHFFFAOYSA-N 0 0 428.449 -0.483 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(c2ncnc3ccsc32)CC1 ZINC000552927236 1073237401 /nfs/dbraw/zinc/23/74/01/1073237401.db2.gz MLEJRRJUZPTBDI-UHFFFAOYSA-N 0 0 440.489 -0.324 20 0 IBADRN CCn1cc(CN2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)cn1 ZINC000552927243 1073237437 /nfs/dbraw/zinc/23/74/37/1073237437.db2.gz MMDLPPDJDPZKIT-UHFFFAOYSA-N 0 0 428.497 -0.727 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(CCOc2ccccc2)CC1 ZINC000552927429 1073237476 /nfs/dbraw/zinc/23/74/76/1073237476.db2.gz SKXGQZSDUFXZGI-UHFFFAOYSA-N 0 0 426.477 -0.454 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)c3nc(N)nc(N4CCOCC4)n3)CC2)nc1 ZINC000552928097 1073237511 /nfs/dbraw/zinc/23/75/11/1073237511.db2.gz ROVMRPUBMSWVTE-UHFFFAOYSA-N 0 0 442.480 -0.176 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCC(NC(=O)c2ccc(F)cc2)CC1 ZINC000552928703 1073237501 /nfs/dbraw/zinc/23/75/01/1073237501.db2.gz MXVOAFXYBPSTMJ-UHFFFAOYSA-N 0 0 442.451 -0.117 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(Cc2ccc(Cl)cc2)C(=O)C1 ZINC000552928968 1073237649 /nfs/dbraw/zinc/23/76/49/1073237649.db2.gz TZTOWZIEYKMBGW-UHFFFAOYSA-N 0 0 444.879 -0.153 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)ncn1 ZINC000552929149 1073237982 /nfs/dbraw/zinc/23/79/82/1073237982.db2.gz YVOCTLXQZJVBIN-UHFFFAOYSA-N 0 0 442.480 -0.386 20 0 IBADRN Cn1cnn(CCC(=O)Nc2cc(F)cc(NC(=O)CCn3ncn(C)c3=O)c2)c1=O ZINC000552929810 1073237619 /nfs/dbraw/zinc/23/76/19/1073237619.db2.gz AJZNUWBAXHXKJV-UHFFFAOYSA-N 0 0 432.416 -0.326 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)c3nc(N)nc(N4CCOCC4)n3)CC2)c1 ZINC000552932092 1073356092 /nfs/dbraw/zinc/35/60/92/1073356092.db2.gz MMBMWFFAJIBTGP-UHFFFAOYSA-N 0 0 427.465 -0.103 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000552932544 1073237944 /nfs/dbraw/zinc/23/79/44/1073237944.db2.gz FMJXJCYWGMMOMZ-UHFFFAOYSA-N 0 0 445.520 -0.841 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCC(=O)N3CCCCCC3)CC2)n1 ZINC000552932688 1073238027 /nfs/dbraw/zinc/23/80/27/1073238027.db2.gz KCRZRQYGQWVMHS-UHFFFAOYSA-N 0 0 436.513 -0.231 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCCC(=O)N3CCCCCC3)CC2)CC1 ZINC000552932846 1073237968 /nfs/dbraw/zinc/23/79/68/1073237968.db2.gz PUOZCRJXXZVOLU-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552932895 1073239734 /nfs/dbraw/zinc/23/97/34/1073239734.db2.gz RXYMVUSSPZLDIT-INIZCTEOSA-N 0 0 430.509 -0.650 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552932896 1073239705 /nfs/dbraw/zinc/23/97/05/1073239705.db2.gz RXYMVUSSPZLDIT-MRXNPFEDSA-N 0 0 430.509 -0.650 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCNC(=O)Cc1cccc(F)c1 ZINC000552933904 1073240321 /nfs/dbraw/zinc/24/03/21/1073240321.db2.gz HTISBBIZMJTKQI-HNNXBMFYSA-N 0 0 428.486 -0.859 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCNC(=O)Cc1cccc(F)c1 ZINC000552933905 1073240220 /nfs/dbraw/zinc/24/02/20/1073240220.db2.gz HTISBBIZMJTKQI-OAHLLOKOSA-N 0 0 428.486 -0.859 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000552933927 1073240405 /nfs/dbraw/zinc/24/04/05/1073240405.db2.gz IYQYRLNEQOZVNX-INIZCTEOSA-N 0 0 449.527 -0.341 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000552933928 1073240354 /nfs/dbraw/zinc/24/03/54/1073240354.db2.gz IYQYRLNEQOZVNX-MRXNPFEDSA-N 0 0 449.527 -0.341 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(NC(=O)c3cccnc3)cc2)C1 ZINC000552933940 1073240241 /nfs/dbraw/zinc/24/02/41/1073240241.db2.gz JMVPXPVAGWSUAR-INIZCTEOSA-N 0 0 431.474 -0.161 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(NC(=O)c3cccnc3)cc2)C1 ZINC000552933941 1073240209 /nfs/dbraw/zinc/24/02/09/1073240209.db2.gz JMVPXPVAGWSUAR-MRXNPFEDSA-N 0 0 431.474 -0.161 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000552934179 1073240329 /nfs/dbraw/zinc/24/03/29/1073240329.db2.gz QGUYADUZFIMCAE-UHFFFAOYSA-N 0 0 436.484 -0.304 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000552934310 1073240336 /nfs/dbraw/zinc/24/03/36/1073240336.db2.gz VOUIMDNSVFAHSP-UHFFFAOYSA-N 0 0 432.521 -0.159 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)CC2)ncn1 ZINC000552934450 1073240413 /nfs/dbraw/zinc/24/04/13/1073240413.db2.gz ZOKBCZHGMRPXDD-UHFFFAOYSA-N 0 0 444.467 -0.252 20 0 IBADRN Cc1ccccc1C1(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000552934571 1073240155 /nfs/dbraw/zinc/24/01/55/1073240155.db2.gz GBVXMXMSNFFMRO-UHFFFAOYSA-N 0 0 440.504 -0.368 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCCC(=O)N1CCN(c3ccccc3)CC1)C2 ZINC000552935339 1073240799 /nfs/dbraw/zinc/24/07/99/1073240799.db2.gz JWCNQXPHQBOGFU-UHFFFAOYSA-N 0 0 425.493 -0.216 20 0 IBADRN COCCOC[C@@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552935346 1073240936 /nfs/dbraw/zinc/24/09/36/1073240936.db2.gz JZGNNVRXLLAAKG-GOSISDBHSA-N 0 0 447.536 -0.252 20 0 IBADRN COCCOC[C@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552935347 1073240985 /nfs/dbraw/zinc/24/09/85/1073240985.db2.gz JZGNNVRXLLAAKG-SFHVURJKSA-N 0 0 447.536 -0.252 20 0 IBADRN Cn1cnnc1[C@@H]1CCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935358 1073240976 /nfs/dbraw/zinc/24/09/76/1073240976.db2.gz KJFBTKUGJFZQFY-INIZCTEOSA-N 0 0 440.508 -0.271 20 0 IBADRN Cn1cnnc1[C@H]1CCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935359 1073240836 /nfs/dbraw/zinc/24/08/36/1073240836.db2.gz KJFBTKUGJFZQFY-MRXNPFEDSA-N 0 0 440.508 -0.271 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935426 1073240784 /nfs/dbraw/zinc/24/07/84/1073240784.db2.gz MJDVNXVYQJZIAJ-KRWDZBQOSA-N 0 0 444.536 -0.294 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935427 1073240903 /nfs/dbraw/zinc/24/09/03/1073240903.db2.gz MJDVNXVYQJZIAJ-QGZVFWFLSA-N 0 0 444.536 -0.294 20 0 IBADRN CN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935546 1073240768 /nfs/dbraw/zinc/24/07/68/1073240768.db2.gz PTFDRQUEJNKPAX-CYBMUJFWSA-N 0 0 431.415 -0.382 20 0 IBADRN CN(C[C@H](O)C(F)(F)F)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935547 1073240959 /nfs/dbraw/zinc/24/09/59/1073240959.db2.gz PTFDRQUEJNKPAX-ZDUSSCGKSA-N 0 0 431.415 -0.382 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@H](C)N1CCOCC1 ZINC000552935605 1073240264 /nfs/dbraw/zinc/24/02/64/1073240264.db2.gz RYAPCZZKCKZIJO-MSOLQXFVSA-N 0 0 446.552 -0.538 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@@H](C)N1CCOCC1 ZINC000552935606 1073240274 /nfs/dbraw/zinc/24/02/74/1073240274.db2.gz RYAPCZZKCKZIJO-QZTJIDSGSA-N 0 0 446.552 -0.538 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@H](C)N1CCOCC1 ZINC000552935607 1073240247 /nfs/dbraw/zinc/24/02/47/1073240247.db2.gz RYAPCZZKCKZIJO-ROUUACIJSA-N 0 0 446.552 -0.538 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)N1CCOCC1 ZINC000552935608 1073240732 /nfs/dbraw/zinc/24/07/32/1073240732.db2.gz RYAPCZZKCKZIJO-ZWKOTPCHSA-N 0 0 446.552 -0.538 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935610 1073240881 /nfs/dbraw/zinc/24/08/81/1073240881.db2.gz SBNMVVKRWIAIRP-UHFFFAOYSA-N 0 0 432.525 -0.485 20 0 IBADRN O=C(NCCC(=O)N1CCN(c2ccccc2)CC1)C(=O)N(CCO)C1CCOCC1 ZINC000552935738 1073240315 /nfs/dbraw/zinc/24/03/15/1073240315.db2.gz WUQVYWPQSZELOP-UHFFFAOYSA-N 0 0 432.521 -0.159 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935762 1073240361 /nfs/dbraw/zinc/24/03/61/1073240361.db2.gz XXYXNUMHBCEAHJ-HNNXBMFYSA-N 0 0 426.481 -0.569 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000552935763 1073240191 /nfs/dbraw/zinc/24/01/91/1073240191.db2.gz XXYXNUMHBCEAHJ-OAHLLOKOSA-N 0 0 426.481 -0.569 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552938230 1073238575 /nfs/dbraw/zinc/23/85/75/1073238575.db2.gz CMLAZKFGMUMXDT-NRFANRHFSA-N 0 0 431.493 -0.352 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000552938231 1073238473 /nfs/dbraw/zinc/23/84/73/1073238473.db2.gz CMLAZKFGMUMXDT-OAQYLSRUSA-N 0 0 431.493 -0.352 20 0 IBADRN COc1cccc(N2CC[C@@H](CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2)c1 ZINC000552938722 1073241476 /nfs/dbraw/zinc/24/14/76/1073241476.db2.gz PSNAPKOALSDZAC-HKUYNNGSSA-N 0 0 434.537 -0.211 20 0 IBADRN COc1cccc(N2CC[C@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2)c1 ZINC000552938723 1073241322 /nfs/dbraw/zinc/24/13/22/1073241322.db2.gz PSNAPKOALSDZAC-IEBWSBKVSA-N 0 0 434.537 -0.211 20 0 IBADRN COc1cccc(N2CC[C@H](CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2)c1 ZINC000552938724 1073241274 /nfs/dbraw/zinc/24/12/74/1073241274.db2.gz PSNAPKOALSDZAC-MJGOQNOKSA-N 0 0 434.537 -0.211 20 0 IBADRN COc1cccc(N2CC[C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2)c1 ZINC000552938725 1073241245 /nfs/dbraw/zinc/24/12/45/1073241245.db2.gz PSNAPKOALSDZAC-PKOBYXMFSA-N 0 0 434.537 -0.211 20 0 IBADRN COc1ccccc1N1CC[C@H](CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)C1 ZINC000552938978 1073238604 /nfs/dbraw/zinc/23/86/04/1073238604.db2.gz VZHSXHGOOHIZNL-MSOLQXFVSA-N 0 0 434.537 -0.211 20 0 IBADRN COc1ccccc1N1CC[C@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1 ZINC000552938979 1073238514 /nfs/dbraw/zinc/23/85/14/1073238514.db2.gz VZHSXHGOOHIZNL-QZTJIDSGSA-N 0 0 434.537 -0.211 20 0 IBADRN COc1ccccc1N1CC[C@@H](CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)C1 ZINC000552938980 1073238458 /nfs/dbraw/zinc/23/84/58/1073238458.db2.gz VZHSXHGOOHIZNL-ROUUACIJSA-N 0 0 434.537 -0.211 20 0 IBADRN COc1ccccc1N1CC[C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1 ZINC000552938981 1073238624 /nfs/dbraw/zinc/23/86/24/1073238624.db2.gz VZHSXHGOOHIZNL-ZWKOTPCHSA-N 0 0 434.537 -0.211 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(C2CC2)C(=O)C1)N1CCN(c2ccccn2)CC1 ZINC000552939122 1073238631 /nfs/dbraw/zinc/23/86/31/1073238631.db2.gz ZFGPLDBPZXVEPI-UHFFFAOYSA-N 0 0 428.493 -0.930 20 0 IBADRN CCc1c(C(=O)N2CCN([C@@H](CC)C(=O)OC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000552942373 1073238894 /nfs/dbraw/zinc/23/88/94/1073238894.db2.gz MVOARXRDZXEECY-HNNXBMFYSA-N 0 0 431.493 -0.096 20 0 IBADRN CCc1c(C(=O)N2CCN([C@H](CC)C(=O)OC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000552942374 1073238935 /nfs/dbraw/zinc/23/89/35/1073238935.db2.gz MVOARXRDZXEECY-OAHLLOKOSA-N 0 0 431.493 -0.096 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC000552943255 1073239002 /nfs/dbraw/zinc/23/90/02/1073239002.db2.gz BSGGWWQMKISBNC-AWEZNQCLSA-N 0 0 446.508 0.087 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC000552943259 1073239014 /nfs/dbraw/zinc/23/90/14/1073239014.db2.gz BSGGWWQMKISBNC-CQSZACIVSA-N 0 0 446.508 0.087 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1C[C@H](F)C[C@H]1C(=O)N1CCOCC1 ZINC000552946064 1073238915 /nfs/dbraw/zinc/23/89/15/1073238915.db2.gz IELIAPDLVSDQEA-CJNGLKHVSA-N 0 0 444.485 -0.553 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@@H]1CCOc3ccccc31)C2 ZINC000552946388 1073238814 /nfs/dbraw/zinc/23/88/14/1073238814.db2.gz MYGDYFBMORJVTK-AWEZNQCLSA-N 0 0 442.476 -0.842 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@H]1CCOc3ccccc31)C2 ZINC000552946389 1073238887 /nfs/dbraw/zinc/23/88/87/1073238887.db2.gz MYGDYFBMORJVTK-CQSZACIVSA-N 0 0 442.476 -0.842 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CC(S(=O)(=O)N3CCc4ccccc43)C1)CC2 ZINC000552947155 1073239417 /nfs/dbraw/zinc/23/94/17/1073239417.db2.gz LHFDPPGQVVNSRU-HNNXBMFYSA-N 0 0 444.517 -0.379 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CC(S(=O)(=O)N3CCc4ccccc43)C1)CC2 ZINC000552947156 1073239367 /nfs/dbraw/zinc/23/93/67/1073239367.db2.gz LHFDPPGQVVNSRU-OAHLLOKOSA-N 0 0 444.517 -0.379 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC3CCCCC3)CC1)CC2 ZINC000552947311 1073239304 /nfs/dbraw/zinc/23/93/04/1073239304.db2.gz OFVBBVHJWKIKLH-KRWDZBQOSA-N 0 0 431.541 -0.389 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC3CCCCC3)CC1)CC2 ZINC000552947312 1073239408 /nfs/dbraw/zinc/23/94/08/1073239408.db2.gz OFVBBVHJWKIKLH-QGZVFWFLSA-N 0 0 431.541 -0.389 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)C2=O)cc1 ZINC000552947361 1073239335 /nfs/dbraw/zinc/23/93/35/1073239335.db2.gz PYKGDEWAITWFNM-DOTOQJQBSA-N 0 0 426.477 -0.057 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)C2=O)cc1 ZINC000552947362 1073239284 /nfs/dbraw/zinc/23/92/84/1073239284.db2.gz PYKGDEWAITWFNM-NVXWUHKLSA-N 0 0 426.477 -0.057 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)C2=O)cc1 ZINC000552947363 1073239357 /nfs/dbraw/zinc/23/93/57/1073239357.db2.gz PYKGDEWAITWFNM-RDJZCZTQSA-N 0 0 426.477 -0.057 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)C2=O)cc1 ZINC000552947364 1073239345 /nfs/dbraw/zinc/23/93/45/1073239345.db2.gz PYKGDEWAITWFNM-WBVHZDCISA-N 0 0 426.477 -0.057 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCC[C@@H]3C)CC1)CC2 ZINC000552947703 1073239038 /nfs/dbraw/zinc/23/90/38/1073239038.db2.gz XTTHZOHYSLQCER-DOTOQJQBSA-N 0 0 431.541 -0.437 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCC[C@H]3C)CC1)CC2 ZINC000552947704 1073239272 /nfs/dbraw/zinc/23/92/72/1073239272.db2.gz XTTHZOHYSLQCER-NVXWUHKLSA-N 0 0 431.541 -0.437 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCC[C@@H]3C)CC1)CC2 ZINC000552947705 1073239254 /nfs/dbraw/zinc/23/92/54/1073239254.db2.gz XTTHZOHYSLQCER-RDJZCZTQSA-N 0 0 431.541 -0.437 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCC[C@H]3C)CC1)CC2 ZINC000552947706 1073239317 /nfs/dbraw/zinc/23/93/17/1073239317.db2.gz XTTHZOHYSLQCER-WBVHZDCISA-N 0 0 431.541 -0.437 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CCCC3)CC1)CC2 ZINC000552947752 1073239312 /nfs/dbraw/zinc/23/93/12/1073239312.db2.gz ZRUSVEQHJONSCO-PBHICJAKSA-N 0 0 431.541 -0.391 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CCCC3)CC1)CC2 ZINC000552947753 1073239401 /nfs/dbraw/zinc/23/94/01/1073239401.db2.gz ZRUSVEQHJONSCO-RHSMWYFYSA-N 0 0 431.541 -0.391 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CCCC3)CC1)CC2 ZINC000552947754 1073239251 /nfs/dbraw/zinc/23/92/51/1073239251.db2.gz ZRUSVEQHJONSCO-WMLDXEAASA-N 0 0 431.541 -0.391 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CCCC3)CC1)CC2 ZINC000552947755 1073239267 /nfs/dbraw/zinc/23/92/67/1073239267.db2.gz ZRUSVEQHJONSCO-YOEHRIQHSA-N 0 0 431.541 -0.391 20 0 IBADRN O=C(NC[C@@H]1CCOc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552949160 1073239816 /nfs/dbraw/zinc/23/98/16/1073239816.db2.gz XHZIOWVUTDAHPA-INIZCTEOSA-N 0 0 444.488 -0.802 20 0 IBADRN O=C(NC[C@H]1CCOc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552949161 1073239675 /nfs/dbraw/zinc/23/96/75/1073239675.db2.gz XHZIOWVUTDAHPA-MRXNPFEDSA-N 0 0 444.488 -0.802 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(Cc3nc(N)c4ccccc4n3)CC1)CC2 ZINC000552949332 1073239875 /nfs/dbraw/zinc/23/98/75/1073239875.db2.gz BZZAAAHNBVLXQD-HNNXBMFYSA-N 0 0 449.519 -0.113 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(Cc3nc(N)c4ccccc4n3)CC1)CC2 ZINC000552949333 1073362631 /nfs/dbraw/zinc/36/26/31/1073362631.db2.gz BZZAAAHNBVLXQD-OAHLLOKOSA-N 0 0 449.519 -0.113 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)C3CCCCC3)CC1)CC2 ZINC000552949574 1073239887 /nfs/dbraw/zinc/23/98/87/1073239887.db2.gz KQDWIVQMXWLMMC-GOSISDBHSA-N 0 0 445.568 -0.142 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)C3CCCCC3)CC1)CC2 ZINC000552949575 1073239697 /nfs/dbraw/zinc/23/96/97/1073239697.db2.gz KQDWIVQMXWLMMC-SFHVURJKSA-N 0 0 445.568 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@@H]2CCc3nc(C)nn3C2)s1 ZINC000552949610 1073239727 /nfs/dbraw/zinc/23/97/27/1073239727.db2.gz LTQYNDSAIBTXDV-GFCCVEGCSA-N 0 0 426.524 -0.518 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@H]2CCc3nc(C)nn3C2)s1 ZINC000552949611 1073239865 /nfs/dbraw/zinc/23/98/65/1073239865.db2.gz LTQYNDSAIBTXDV-LBPRGKRZSA-N 0 0 426.524 -0.518 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)CC2)nc1 ZINC000552949795 1073239242 /nfs/dbraw/zinc/23/92/42/1073239242.db2.gz RJZAKAPYMSAPEQ-INIZCTEOSA-N 0 0 441.492 -0.062 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)CC2)nc1 ZINC000552949796 1073239325 /nfs/dbraw/zinc/23/93/25/1073239325.db2.gz RJZAKAPYMSAPEQ-MRXNPFEDSA-N 0 0 441.492 -0.062 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC3CCC(C)CC3)CC1)CC2 ZINC000552949833 1073239823 /nfs/dbraw/zinc/23/98/23/1073239823.db2.gz TVAJBMSDHJQFLC-VJFUWPCTSA-N 0 0 445.568 -0.143 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC3CCC(C)CC3)CC1)CC2 ZINC000552949834 1073239912 /nfs/dbraw/zinc/23/99/12/1073239912.db2.gz TVAJBMSDHJQFLC-VMWRSERWSA-N 0 0 445.568 -0.143 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccc(C)cc3)CC2)CC1 ZINC000552949877 1073239803 /nfs/dbraw/zinc/23/98/03/1073239803.db2.gz UYIXCKQFEFJZHK-UHFFFAOYSA-N 0 0 443.548 -0.004 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N(C)C3CCCCC3)CC1)CC2 ZINC000552950032 1073239788 /nfs/dbraw/zinc/23/97/88/1073239788.db2.gz ZYVUZXUYZAYELA-KRWDZBQOSA-N 0 0 445.568 -0.047 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N(C)C3CCCCC3)CC1)CC2 ZINC000552950033 1073239833 /nfs/dbraw/zinc/23/98/33/1073239833.db2.gz ZYVUZXUYZAYELA-QGZVFWFLSA-N 0 0 445.568 -0.047 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC[C@H](OCC(F)(F)F)C2)[C@H](O)[C@@H]1O ZINC000552952065 1073241718 /nfs/dbraw/zinc/24/17/18/1073241718.db2.gz OYXLIQHCNQQVPU-UOYPZJKHSA-N 0 0 433.387 -0.015 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC[C@@H](OCC(F)(F)F)C2)[C@H](O)[C@@H]1O ZINC000552952066 1073241859 /nfs/dbraw/zinc/24/18/59/1073241859.db2.gz OYXLIQHCNQQVPU-ZGOQAQPGSA-N 0 0 433.387 -0.015 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCCNS(C)(=O)=O)s1 ZINC000552953105 1073241841 /nfs/dbraw/zinc/24/18/41/1073241841.db2.gz YJAKQVPCRHYQGY-UHFFFAOYSA-N 0 0 433.599 -0.553 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCOCc2ccccc2)CC1 ZINC000552954556 1073241933 /nfs/dbraw/zinc/24/19/33/1073241933.db2.gz NQMOSJOYLBGCJA-GOSISDBHSA-N 0 0 432.521 -0.289 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCOCc2ccccc2)CC1 ZINC000552954557 1073242009 /nfs/dbraw/zinc/24/20/09/1073242009.db2.gz NQMOSJOYLBGCJA-SFHVURJKSA-N 0 0 432.521 -0.289 20 0 IBADRN O=C(NCCOCc1ccccc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000552955643 1073241993 /nfs/dbraw/zinc/24/19/93/1073241993.db2.gz LTRJNNLWYMTIEZ-GOSISDBHSA-N 0 0 439.534 -0.028 20 0 IBADRN O=C(NCCOCc1ccccc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000552955644 1073242001 /nfs/dbraw/zinc/24/20/01/1073242001.db2.gz LTRJNNLWYMTIEZ-SFHVURJKSA-N 0 0 439.534 -0.028 20 0 IBADRN Cc1c(C)c(NC(=O)CN2CCN(C)C(=O)C2)ccc1NC(=O)CN1CCN(C)C(=O)C1 ZINC000552956959 1073241945 /nfs/dbraw/zinc/24/19/45/1073241945.db2.gz ADBWZVHAVBSQQC-UHFFFAOYSA-N 0 0 444.536 -0.272 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)C2)no1 ZINC000552958209 1073241802 /nfs/dbraw/zinc/24/18/02/1073241802.db2.gz HIKWDPDACLLPRQ-AWEZNQCLSA-N 0 0 436.513 -0.140 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)C2)no1 ZINC000552958210 1073242388 /nfs/dbraw/zinc/24/23/88/1073242388.db2.gz HIKWDPDACLLPRQ-CQSZACIVSA-N 0 0 436.513 -0.140 20 0 IBADRN COCCCN(CCO)C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000552960710 1073242288 /nfs/dbraw/zinc/24/22/88/1073242288.db2.gz ANSYFUNDJAYSIL-UHFFFAOYSA-N 0 0 440.928 -0.038 20 0 IBADRN O=C(NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1)C(=O)N1CCn2cnnc2C1 ZINC000552960933 1073242259 /nfs/dbraw/zinc/24/22/59/1073242259.db2.gz JLOHGTRLGHGPJF-UHFFFAOYSA-N 0 0 431.884 -0.261 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1)S(C)(=O)=O ZINC000552961025 1073242427 /nfs/dbraw/zinc/24/24/27/1073242427.db2.gz NEOJWBSMIQKEGC-CYBMUJFWSA-N 0 0 444.941 -0.346 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1)S(C)(=O)=O ZINC000552961026 1073242364 /nfs/dbraw/zinc/24/23/64/1073242364.db2.gz NEOJWBSMIQKEGC-ZDUSSCGKSA-N 0 0 444.941 -0.346 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)CC1 ZINC000552961297 1073242391 /nfs/dbraw/zinc/24/23/91/1073242391.db2.gz XQKKIDOGYZSWAR-UHFFFAOYSA-N 0 0 447.602 -0.224 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000552962076 1073242277 /nfs/dbraw/zinc/24/22/77/1073242277.db2.gz CGICGLFMHGWCGC-UHFFFAOYSA-N 0 0 437.928 -0.007 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)CC(=O)N1C ZINC000552962273 1073242357 /nfs/dbraw/zinc/24/23/57/1073242357.db2.gz JOBNYMBJORQHRP-AWEZNQCLSA-N 0 0 435.912 -0.206 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)CC(=O)N1C ZINC000552962274 1073242340 /nfs/dbraw/zinc/24/23/40/1073242340.db2.gz JOBNYMBJORQHRP-CQSZACIVSA-N 0 0 435.912 -0.206 20 0 IBADRN O=S(=O)(c1cnn(-c2ccccc2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000552964218 1073242795 /nfs/dbraw/zinc/24/27/95/1073242795.db2.gz SQEILKOHAHPHBQ-UHFFFAOYSA-N 0 0 441.535 -0.244 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000552964793 1073242693 /nfs/dbraw/zinc/24/26/93/1073242693.db2.gz WKFQHJDVWJKQMR-PBHICJAKSA-N 0 0 447.492 -0.360 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(Cc3ccccc3)CC2)c1 ZINC000552965193 1073241289 /nfs/dbraw/zinc/24/12/89/1073241289.db2.gz RXKFFLKMSLUXMK-IBGZPJMESA-N 0 0 446.529 -0.231 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC(=O)N1C1CC1 ZINC000552965931 1073241486 /nfs/dbraw/zinc/24/14/86/1073241486.db2.gz YTXZNFNCLRNHNN-RDTXWAMCSA-N 0 0 439.476 -0.607 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N[C@@H]3CC(=O)N(C4CC4)[C@H]3c3ccnn3C)OCC(=O)N2C)cn1 ZINC000552968268 1073241414 /nfs/dbraw/zinc/24/14/14/1073241414.db2.gz XBJAFIWONXTQFJ-ZVEHZALQSA-N 0 0 441.492 -0.327 20 0 IBADRN CO[C@@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000552968291 1073241300 /nfs/dbraw/zinc/24/13/00/1073241300.db2.gz XNAPFBQAKABJKF-DLBZAZTESA-N 0 0 432.525 -0.107 20 0 IBADRN CO[C@@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000552968292 1073241528 /nfs/dbraw/zinc/24/15/28/1073241528.db2.gz XNAPFBQAKABJKF-IAGOWNOFSA-N 0 0 432.525 -0.107 20 0 IBADRN CO[C@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000552968293 1073241217 /nfs/dbraw/zinc/24/12/17/1073241217.db2.gz XNAPFBQAKABJKF-IRXDYDNUSA-N 0 0 432.525 -0.107 20 0 IBADRN CO[C@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000552968294 1073241337 /nfs/dbraw/zinc/24/13/37/1073241337.db2.gz XNAPFBQAKABJKF-SJORKVTESA-N 0 0 432.525 -0.107 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@H]1CCN(C)C1=O ZINC000552968733 1073241260 /nfs/dbraw/zinc/24/12/60/1073241260.db2.gz VBEAMDRGKAOHNE-KRWDZBQOSA-N 0 0 436.534 -0.011 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@@H]1CCN(C)C1=O ZINC000552968734 1073241499 /nfs/dbraw/zinc/24/14/99/1073241499.db2.gz VBEAMDRGKAOHNE-QGZVFWFLSA-N 0 0 436.534 -0.011 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000552968738 1073241540 /nfs/dbraw/zinc/24/15/40/1073241540.db2.gz VJUNJYDCOCNWEI-INIZCTEOSA-N 0 0 440.508 -0.732 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000552968739 1073241445 /nfs/dbraw/zinc/24/14/45/1073241445.db2.gz VJUNJYDCOCNWEI-MRXNPFEDSA-N 0 0 440.508 -0.732 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCc2ccccc2Cn2cncn2)[C@H](O)[C@@H]1O ZINC000552971374 1073241828 /nfs/dbraw/zinc/24/18/28/1073241828.db2.gz UYFBZOJVLOVZAI-WVSUBDOOSA-N 0 0 438.448 -0.310 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000552972885 1073242823 /nfs/dbraw/zinc/24/28/23/1073242823.db2.gz WEXOVZWYQJZINO-CJNGLKHVSA-N 0 0 433.465 -0.750 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCCC1 ZINC000552973366 1073242677 /nfs/dbraw/zinc/24/26/77/1073242677.db2.gz UKOOLVZCBXPKBE-INIZCTEOSA-N 0 0 440.522 -0.726 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCC(=O)N1C ZINC000552974309 1073242816 /nfs/dbraw/zinc/24/28/16/1073242816.db2.gz AHIUQEYFXSCRGN-CZUORRHYSA-N 0 0 425.449 -0.542 20 0 IBADRN Cc1c(C(=O)N[C@@H]2CCC(=O)N(C)[C@H]2c2ccnn2C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000552974596 1073242763 /nfs/dbraw/zinc/24/27/63/1073242763.db2.gz NAZZNJYOAPYFRQ-CXAGYDPISA-N 0 0 439.476 -0.234 20 0 IBADRN O=S(=O)(c1ccnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1)N1CCCC1 ZINC000552975023 1073242665 /nfs/dbraw/zinc/24/26/65/1073242665.db2.gz IPRNRLFKTISNDT-UHFFFAOYSA-N 0 0 445.567 -0.435 20 0 IBADRN CCNC(=O)CN1CCN(c2ncc(OC)c(N3CCN(CC(=O)NCC)CC3)n2)CC1 ZINC000552975275 1073242850 /nfs/dbraw/zinc/24/28/50/1073242850.db2.gz YFYNEDOZKXCVBY-UHFFFAOYSA-N 0 0 448.572 -0.999 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CCC(=O)N1C ZINC000552975878 1073242755 /nfs/dbraw/zinc/24/27/55/1073242755.db2.gz VWOPHSAIDAMGDN-MLGOLLRUSA-N 0 0 427.465 -0.599 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N3CC(CO)C3)cc2)CC1 ZINC000552976224 1073243174 /nfs/dbraw/zinc/24/31/74/1073243174.db2.gz PENVIDRYPFLMIJ-UHFFFAOYSA-N 0 0 432.521 -0.661 20 0 IBADRN Nc1nc(C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)nc(N2CCOCC2)n1 ZINC000552979818 1073243109 /nfs/dbraw/zinc/24/31/09/1073243109.db2.gz BRNDPTIMYWAPCG-UHFFFAOYSA-N 0 0 427.465 -0.056 20 0 IBADRN CS(=O)(=O)N1CCCN(c2cncc(N3CCCN(S(C)(=O)=O)CC3)n2)CC1 ZINC000552984767 1073243538 /nfs/dbraw/zinc/24/35/38/1073243538.db2.gz FHIUMRZWRRFEEU-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)CC1 ZINC000552985461 1073243427 /nfs/dbraw/zinc/24/34/27/1073243427.db2.gz KKTCNIYJLZYJOF-IBGZPJMESA-N 0 0 444.532 -0.112 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)CC1 ZINC000552985462 1073243504 /nfs/dbraw/zinc/24/35/04/1073243504.db2.gz KKTCNIYJLZYJOF-LJQANCHMSA-N 0 0 444.532 -0.112 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)cn1 ZINC000552986079 1073243552 /nfs/dbraw/zinc/24/35/52/1073243552.db2.gz JEEGOIHDVJYSKE-UHFFFAOYSA-N 0 0 426.521 -0.071 20 0 IBADRN O=C(N[C@H]1CCCc2sccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552994083 1073244885 /nfs/dbraw/zinc/24/48/85/1073244885.db2.gz GEOKOEHGDRWRQT-HNNXBMFYSA-N 0 0 434.518 -0.229 20 0 IBADRN O=C(N[C@@H]1CCCc2sccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000552994084 1073244746 /nfs/dbraw/zinc/24/47/46/1073244746.db2.gz GEOKOEHGDRWRQT-OAHLLOKOSA-N 0 0 434.518 -0.229 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCNC(=O)CC3CCCC3)CC2)nc1 ZINC000553002059 1073243916 /nfs/dbraw/zinc/24/39/16/1073243916.db2.gz DZCWSXFDKJFSPF-UHFFFAOYSA-N 0 0 430.509 -0.358 20 0 IBADRN COc1cccc(N2CC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2)c1 ZINC000553004532 1073243967 /nfs/dbraw/zinc/24/39/67/1073243967.db2.gz FGUNTIMDGVHSCN-KRWDZBQOSA-N 0 0 429.521 -0.187 20 0 IBADRN COc1cccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2)c1 ZINC000553004533 1073243833 /nfs/dbraw/zinc/24/38/33/1073243833.db2.gz FGUNTIMDGVHSCN-QGZVFWFLSA-N 0 0 429.521 -0.187 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCNC(=O)CC2CCCC2)CC1 ZINC000553004959 1073243858 /nfs/dbraw/zinc/24/38/58/1073243858.db2.gz RYUSNXUQGHTNPN-UHFFFAOYSA-N 0 0 442.524 -0.175 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCNC(=O)CC3CCCC3)CC2)no1 ZINC000553006265 1073328915 /nfs/dbraw/zinc/32/89/15/1073328915.db2.gz SIVXLYUZTZTBCR-UHFFFAOYSA-N 0 0 448.524 -0.122 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N(C)CCNC(=O)N(C)C)CC1 ZINC000553007965 1073244343 /nfs/dbraw/zinc/24/43/43/1073244343.db2.gz FJFCNHGTKVIRTN-UHFFFAOYSA-N 0 0 432.525 -0.299 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC2CCN(CC(F)(F)F)CC2)[C@H](O)[C@@H]1O ZINC000553008841 1073244332 /nfs/dbraw/zinc/24/43/32/1073244332.db2.gz UCZBBQKFUVOJFN-XNIJJKJLSA-N 0 0 432.403 -0.124 20 0 IBADRN COc1ccccc1C1(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000553009754 1073244240 /nfs/dbraw/zinc/24/42/40/1073244240.db2.gz JVKLGLGXJXZTBS-UHFFFAOYSA-N 0 0 444.532 -0.154 20 0 IBADRN COc1ccccc1C1(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1 ZINC000553010888 1073244314 /nfs/dbraw/zinc/24/43/14/1073244314.db2.gz DDGVJYXBXKAQOS-UHFFFAOYSA-N 0 0 427.465 -0.800 20 0 IBADRN COCC[C@H](C)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000553011073 1073245252 /nfs/dbraw/zinc/24/52/52/1073245252.db2.gz KGQCISAPZBWSMU-HNNXBMFYSA-N 0 0 426.539 -0.220 20 0 IBADRN COCC[C@@H](C)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000553011074 1073245297 /nfs/dbraw/zinc/24/52/97/1073245297.db2.gz KGQCISAPZBWSMU-OAHLLOKOSA-N 0 0 426.539 -0.220 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000553011895 1073244374 /nfs/dbraw/zinc/24/43/74/1073244374.db2.gz OFVCVXLVSLTZGQ-DOMZBBRYSA-N 0 0 426.495 -0.913 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000553011896 1073244861 /nfs/dbraw/zinc/24/48/61/1073244861.db2.gz OFVCVXLVSLTZGQ-IUODEOHRSA-N 0 0 426.495 -0.913 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000553011897 1073244875 /nfs/dbraw/zinc/24/48/75/1073244875.db2.gz OFVCVXLVSLTZGQ-SWLSCSKDSA-N 0 0 426.495 -0.913 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000553011898 1073244723 /nfs/dbraw/zinc/24/47/23/1073244723.db2.gz OFVCVXLVSLTZGQ-WFASDCNBSA-N 0 0 426.495 -0.913 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)CCN1CCOCC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000553013653 1073245289 /nfs/dbraw/zinc/24/52/89/1073245289.db2.gz OOMWRMGBEYGZKH-HNNXBMFYSA-N 0 0 426.539 -0.095 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)CCN1CCOCC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000553013655 1073245175 /nfs/dbraw/zinc/24/51/75/1073245175.db2.gz OOMWRMGBEYGZKH-OAHLLOKOSA-N 0 0 426.539 -0.095 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCOC[C@H]1C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000553013750 1073245257 /nfs/dbraw/zinc/24/52/57/1073245257.db2.gz QJOHHSWWLXWPLJ-CABCVRRESA-N 0 0 426.539 -0.049 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCOC[C@@H]1C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000553013751 1073245291 /nfs/dbraw/zinc/24/52/91/1073245291.db2.gz QJOHHSWWLXWPLJ-GJZGRUSLSA-N 0 0 426.539 -0.049 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCOC[C@H]1C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000553013752 1073245302 /nfs/dbraw/zinc/24/53/02/1073245302.db2.gz QJOHHSWWLXWPLJ-HUUCEWRRSA-N 0 0 426.539 -0.049 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCOC[C@@H]1C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000553013753 1073245285 /nfs/dbraw/zinc/24/52/85/1073245285.db2.gz QJOHHSWWLXWPLJ-LSDHHAIUSA-N 0 0 426.539 -0.049 20 0 IBADRN Nc1nc(C(=O)NCc2ccc(S(=O)(=O)N3CCCC3)cc2)nc(N2CCOCC2)n1 ZINC000553013830 1073245200 /nfs/dbraw/zinc/24/52/00/1073245200.db2.gz RRAMELOHXKTNJZ-UHFFFAOYSA-N 0 0 447.521 0.005 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)c1 ZINC000553014080 1073245735 /nfs/dbraw/zinc/24/57/35/1073245735.db2.gz WBVZBXXBGPPFOR-AWEZNQCLSA-N 0 0 440.522 -0.521 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)c1 ZINC000553014081 1073245757 /nfs/dbraw/zinc/24/57/57/1073245757.db2.gz WBVZBXXBGPPFOR-CQSZACIVSA-N 0 0 440.522 -0.521 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000553015095 1073245774 /nfs/dbraw/zinc/24/57/74/1073245774.db2.gz OXUIGISZGMXBTQ-CYBMUJFWSA-N 0 0 446.551 -0.782 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000553015097 1073245746 /nfs/dbraw/zinc/24/57/46/1073245746.db2.gz OXUIGISZGMXBTQ-ZDUSSCGKSA-N 0 0 446.551 -0.782 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000553015534 1073245673 /nfs/dbraw/zinc/24/56/73/1073245673.db2.gz YCOPSJHFZBDVCD-BBRMVZONSA-N 0 0 440.522 -0.522 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000553015535 1073245549 /nfs/dbraw/zinc/24/55/49/1073245549.db2.gz YCOPSJHFZBDVCD-CJNGLKHVSA-N 0 0 440.522 -0.522 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000553015536 1073245666 /nfs/dbraw/zinc/24/56/66/1073245666.db2.gz YCOPSJHFZBDVCD-CZUORRHYSA-N 0 0 440.522 -0.522 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000553015537 1073245725 /nfs/dbraw/zinc/24/57/25/1073245725.db2.gz YCOPSJHFZBDVCD-XJKSGUPXSA-N 0 0 440.522 -0.522 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1NC(C)=O ZINC000553016895 1073245623 /nfs/dbraw/zinc/24/56/23/1073245623.db2.gz JORIUZATGHOZFU-UHFFFAOYSA-N 0 0 431.493 -0.307 20 0 IBADRN COCC1(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOCC1 ZINC000553023917 1073244663 /nfs/dbraw/zinc/24/46/63/1073244663.db2.gz YLYNPFAGJYDABS-UHFFFAOYSA-N 0 0 425.507 -0.020 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCc4c3cccc4O)CC2)no1 ZINC000553029251 1073245211 /nfs/dbraw/zinc/24/52/11/1073245211.db2.gz OPAYJYKJKMWIJI-INIZCTEOSA-N 0 0 427.461 0.575 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCc4c3cccc4O)CC2)no1 ZINC000553029255 1073245245 /nfs/dbraw/zinc/24/52/45/1073245245.db2.gz OPAYJYKJKMWIJI-MRXNPFEDSA-N 0 0 427.461 0.575 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)C1 ZINC000553030363 1073245316 /nfs/dbraw/zinc/24/53/16/1073245316.db2.gz VMKVEIIEQXDFBO-JOCHJYFZSA-N 0 0 429.521 -0.044 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)C1 ZINC000553030364 1073245310 /nfs/dbraw/zinc/24/53/10/1073245310.db2.gz VMKVEIIEQXDFBO-QFIPXVFZSA-N 0 0 429.521 -0.044 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1)S(C)(=O)=O ZINC000553033651 1073247755 /nfs/dbraw/zinc/24/77/55/1073247755.db2.gz PUMMRIJAVIJELJ-KBXCAEBGSA-N 0 0 444.554 -0.268 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1)S(C)(=O)=O ZINC000553033652 1073247739 /nfs/dbraw/zinc/24/77/39/1073247739.db2.gz PUMMRIJAVIJELJ-KDOFPFPSSA-N 0 0 444.554 -0.268 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1)S(C)(=O)=O ZINC000553033653 1073247720 /nfs/dbraw/zinc/24/77/20/1073247720.db2.gz PUMMRIJAVIJELJ-KSSFIOAISA-N 0 0 444.554 -0.268 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1)S(C)(=O)=O ZINC000553033654 1073247747 /nfs/dbraw/zinc/24/77/47/1073247747.db2.gz PUMMRIJAVIJELJ-RDTXWAMCSA-N 0 0 444.554 -0.268 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1)S(C)(=O)=O ZINC000553036467 1073245698 /nfs/dbraw/zinc/24/56/98/1073245698.db2.gz UPHDWFARMOYKSX-GFCCVEGCSA-N 0 0 425.511 -0.681 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1)S(C)(=O)=O ZINC000553036468 1073245654 /nfs/dbraw/zinc/24/56/54/1073245654.db2.gz UPHDWFARMOYKSX-LBPRGKRZSA-N 0 0 425.511 -0.681 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1)S(C)(=O)=O ZINC000553038793 1073246306 /nfs/dbraw/zinc/24/63/06/1073246306.db2.gz VDSAFPGTKDMPKR-JTQLQIEISA-N 0 0 437.316 -0.159 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1)S(C)(=O)=O ZINC000553038794 1073246244 /nfs/dbraw/zinc/24/62/44/1073246244.db2.gz VDSAFPGTKDMPKR-SNVBAGLBSA-N 0 0 437.316 -0.159 20 0 IBADRN CC(C)[C@@H]1CN(C)CCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553041139 1073246273 /nfs/dbraw/zinc/24/62/73/1073246273.db2.gz APFICQDSQWXVLM-KRWDZBQOSA-N 0 0 431.541 -0.570 20 0 IBADRN CC(C)[C@H]1CN(C)CCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553041140 1073246342 /nfs/dbraw/zinc/24/63/42/1073246342.db2.gz APFICQDSQWXVLM-QGZVFWFLSA-N 0 0 431.541 -0.570 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCOC2(CCCC2)C1)N1CCN(c2ncccn2)CC1 ZINC000553041170 1073246132 /nfs/dbraw/zinc/24/61/32/1073246132.db2.gz CEGMUGRYFCVCPF-UHFFFAOYSA-N 0 0 430.509 -0.197 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1C ZINC000553041279 1073246263 /nfs/dbraw/zinc/24/62/63/1073246263.db2.gz KVDQWFRSGZRGDE-UHFFFAOYSA-N 0 0 433.465 -0.567 20 0 IBADRN NC(=O)[C@@H]1CCCN1Cc1cccc(NC(=O)c2nc(N)nc(N3CCOCC3)n2)c1 ZINC000553042055 1073362763 /nfs/dbraw/zinc/36/27/63/1073362763.db2.gz PRPWICJASFISQE-HNNXBMFYSA-N 0 0 426.481 -0.008 20 0 IBADRN NC(=O)[C@H]1CCCN1Cc1cccc(NC(=O)c2nc(N)nc(N3CCOCC3)n2)c1 ZINC000553042056 1073362991 /nfs/dbraw/zinc/36/29/91/1073362991.db2.gz PRPWICJASFISQE-OAHLLOKOSA-N 0 0 426.481 -0.008 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(C2CCC2)CC1)N1CCN(c2ncccn2)CC1 ZINC000553042526 1073246361 /nfs/dbraw/zinc/24/63/61/1073246361.db2.gz MDLDWWMGWUNUID-UHFFFAOYSA-N 0 0 429.525 -0.672 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCc2nnc(-c3ccc(F)cc3)[nH]2)[C@H](O)[C@@H]1O ZINC000553043353 1073246220 /nfs/dbraw/zinc/24/62/20/1073246220.db2.gz RJQXQMIJITVJKS-FXRKXCAFSA-N 0 0 442.411 -0.026 20 0 IBADRN COCCOC[C@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000553044351 1073246382 /nfs/dbraw/zinc/24/63/82/1073246382.db2.gz LBZQHWNHCZPYID-KRWDZBQOSA-N 0 0 448.524 -0.857 20 0 IBADRN COCCOC[C@@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000553044352 1073246101 /nfs/dbraw/zinc/24/61/01/1073246101.db2.gz LBZQHWNHCZPYID-QGZVFWFLSA-N 0 0 448.524 -0.857 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(Cc2nccn2C)CC1 ZINC000553044445 1073246319 /nfs/dbraw/zinc/24/63/19/1073246319.db2.gz RIVLCXMIDQRWJI-UHFFFAOYSA-N 0 0 427.509 -0.723 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(c2cc(N3CC[C@H](S(N)(=O)=O)C3)nc3cnccc32)C1 ZINC000553045122 1073246181 /nfs/dbraw/zinc/24/61/81/1073246181.db2.gz XYOYMRFPOBTXTL-NEPJUHHUSA-N 0 0 426.524 -0.636 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(c2cc(N3CC[C@H](S(N)(=O)=O)C3)c3ccncc3n2)C1 ZINC000553045123 1073246891 /nfs/dbraw/zinc/24/68/91/1073246891.db2.gz XYOYMRFPOBTXTL-NWDGAFQWSA-N 0 0 426.524 -0.636 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(c2cc(N3CC[C@H](S(N)(=O)=O)C3)c3ccncc3n2)C1 ZINC000553045124 1073246821 /nfs/dbraw/zinc/24/68/21/1073246821.db2.gz XYOYMRFPOBTXTL-RYUDHWBXSA-N 0 0 426.524 -0.636 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(c2cc(N3CC[C@@H](S(N)(=O)=O)C3)c3ccncc3n2)C1 ZINC000553045125 1073246785 /nfs/dbraw/zinc/24/67/85/1073246785.db2.gz XYOYMRFPOBTXTL-VXGBXAGGSA-N 0 0 426.524 -0.636 20 0 IBADRN O=C1N[C@@H](Cc2c[nH]cn2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000553045478 1073246144 /nfs/dbraw/zinc/24/61/44/1073246144.db2.gz WXPKLLHCXATOOF-HNNXBMFYSA-N 0 0 433.494 -0.580 20 0 IBADRN Cc1nc(CN2CCN(c3ccc(S(=O)(=O)N(C)C)cc3S(N)(=O)=O)CC2)no1 ZINC000553045906 1073246388 /nfs/dbraw/zinc/24/63/88/1073246388.db2.gz OBWBCXLIQDJXHX-UHFFFAOYSA-N 0 0 444.539 -0.402 20 0 IBADRN CCC1(CO)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000553046374 1073246832 /nfs/dbraw/zinc/24/68/32/1073246832.db2.gz JSHOLRKLCVQBBT-UHFFFAOYSA-N 0 0 432.525 -0.357 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC2(C1)CCOCC2)N1CCN(c2ncccn2)CC1 ZINC000553046394 1073246898 /nfs/dbraw/zinc/24/68/98/1073246898.db2.gz KVYKTPDJYVKLBW-UHFFFAOYSA-N 0 0 430.509 -0.339 20 0 IBADRN CN(CCc1ccncc1)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553046407 1073246902 /nfs/dbraw/zinc/24/69/02/1073246902.db2.gz MJAINZSGPCYIHC-UHFFFAOYSA-N 0 0 425.493 -0.272 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCc2c[nH]nc2C1)N1CCN(c2ncccn2)CC1 ZINC000553046594 1073246844 /nfs/dbraw/zinc/24/68/44/1073246844.db2.gz XCHMDAKLILTNEH-HNNXBMFYSA-N 0 0 426.481 -0.972 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCc2c[nH]nc2C1)N1CCN(c2ncccn2)CC1 ZINC000553046595 1073246747 /nfs/dbraw/zinc/24/67/47/1073246747.db2.gz XCHMDAKLILTNEH-OAHLLOKOSA-N 0 0 426.481 -0.972 20 0 IBADRN CN(CCC1CCOCC1)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553046923 1073246813 /nfs/dbraw/zinc/24/68/13/1073246813.db2.gz GMCVCGXFAWBDKC-UHFFFAOYSA-N 0 0 432.525 -0.093 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@H]([C@@H]2CCOC2)C1)N1CCN(c2ncccn2)CC1 ZINC000553046948 1073246863 /nfs/dbraw/zinc/24/68/63/1073246863.db2.gz GTWAQKJNQSYBDJ-DLBZAZTESA-N 0 0 430.509 -0.484 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@@H]([C@@H]2CCOC2)C1)N1CCN(c2ncccn2)CC1 ZINC000553046949 1073246880 /nfs/dbraw/zinc/24/68/80/1073246880.db2.gz GTWAQKJNQSYBDJ-IAGOWNOFSA-N 0 0 430.509 -0.484 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@H]([C@H]2CCOC2)C1)N1CCN(c2ncccn2)CC1 ZINC000553046951 1073246807 /nfs/dbraw/zinc/24/68/07/1073246807.db2.gz GTWAQKJNQSYBDJ-IRXDYDNUSA-N 0 0 430.509 -0.484 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@@H]([C@H]2CCOC2)C1)N1CCN(c2ncccn2)CC1 ZINC000553046952 1073246858 /nfs/dbraw/zinc/24/68/58/1073246858.db2.gz GTWAQKJNQSYBDJ-SJORKVTESA-N 0 0 430.509 -0.484 20 0 IBADRN CN(CCC(=O)OC(C)(C)C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553047053 1073247273 /nfs/dbraw/zinc/24/72/73/1073247273.db2.gz IFRIPINGSSVKCF-UHFFFAOYSA-N 0 0 448.524 -0.178 20 0 IBADRN COCC1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000553047216 1073247243 /nfs/dbraw/zinc/24/72/43/1073247243.db2.gz KSWBBTRXFGCQKG-UHFFFAOYSA-N 0 0 432.525 -0.093 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCOCC2(CCC2)C1)N1CCN(c2ncccn2)CC1 ZINC000553047332 1073247184 /nfs/dbraw/zinc/24/71/84/1073247184.db2.gz MVWDNRQHPYZCJH-UHFFFAOYSA-N 0 0 430.509 -0.339 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C1 ZINC000553047576 1073247213 /nfs/dbraw/zinc/24/72/13/1073247213.db2.gz RPZMPYBBMIWSMD-INIZCTEOSA-N 0 0 439.538 -0.873 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C1 ZINC000553047581 1073247302 /nfs/dbraw/zinc/24/73/02/1073247302.db2.gz RPZMPYBBMIWSMD-MRXNPFEDSA-N 0 0 439.538 -0.873 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000553047869 1073247174 /nfs/dbraw/zinc/24/71/74/1073247174.db2.gz YJEDQVFTILNBMA-UHFFFAOYSA-N 0 0 425.493 -0.272 20 0 IBADRN C[C@H]1C[C@H](CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000553048237 1073247797 /nfs/dbraw/zinc/24/77/97/1073247797.db2.gz DOQZKBJQPROYBU-DLBZAZTESA-N 0 0 432.525 -0.047 20 0 IBADRN C[C@@H]1C[C@H](CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000553048238 1073247759 /nfs/dbraw/zinc/24/77/59/1073247759.db2.gz DOQZKBJQPROYBU-IAGOWNOFSA-N 0 0 432.525 -0.047 20 0 IBADRN C[C@H]1C[C@@H](CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000553048239 1073247702 /nfs/dbraw/zinc/24/77/02/1073247702.db2.gz DOQZKBJQPROYBU-IRXDYDNUSA-N 0 0 432.525 -0.047 20 0 IBADRN C[C@@H]1C[C@@H](CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000553048240 1073247768 /nfs/dbraw/zinc/24/77/68/1073247768.db2.gz DOQZKBJQPROYBU-SJORKVTESA-N 0 0 432.525 -0.047 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@@](O)(C(F)(F)F)C1)N1CCN(c2ncccn2)CC1 ZINC000553048248 1073247784 /nfs/dbraw/zinc/24/77/84/1073247784.db2.gz DYAQHOPCXCIOSJ-KRWDZBQOSA-N 0 0 444.414 -0.843 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@](O)(C(F)(F)F)C1)N1CCN(c2ncccn2)CC1 ZINC000553048249 1073247780 /nfs/dbraw/zinc/24/77/80/1073247780.db2.gz DYAQHOPCXCIOSJ-QGZVFWFLSA-N 0 0 444.414 -0.843 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1=O ZINC000553048411 1073247801 /nfs/dbraw/zinc/24/78/01/1073247801.db2.gz KVMUWHSKHVTFGF-UHFFFAOYSA-N 0 0 445.524 -0.898 20 0 IBADRN CO[C@@]1(C)C[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1(C)C ZINC000553048445 1073247321 /nfs/dbraw/zinc/24/73/21/1073247321.db2.gz MFUZJRKEKFOXHY-BTYIYWSLSA-N 0 0 432.525 -0.049 20 0 IBADRN CO[C@]1(C)C[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1(C)C ZINC000553048446 1073247191 /nfs/dbraw/zinc/24/71/91/1073247191.db2.gz MFUZJRKEKFOXHY-QVKFZJNVSA-N 0 0 432.525 -0.049 20 0 IBADRN CO[C@@]1(C)C[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1(C)C ZINC000553048447 1073247326 /nfs/dbraw/zinc/24/73/26/1073247326.db2.gz MFUZJRKEKFOXHY-VFNWGFHPSA-N 0 0 432.525 -0.049 20 0 IBADRN CO[C@]1(C)C[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1(C)C ZINC000553048448 1073247279 /nfs/dbraw/zinc/24/72/79/1073247279.db2.gz MFUZJRKEKFOXHY-YCRPNKLZSA-N 0 0 432.525 -0.049 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCc2ncsc2C1)N1CCN(c2ncccn2)CC1 ZINC000553048497 1073247248 /nfs/dbraw/zinc/24/72/48/1073247248.db2.gz NXIGAQLSUCDQGI-UHFFFAOYSA-N 0 0 429.506 -0.327 20 0 IBADRN CCC[C@@]1(CO)CCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553048523 1073247266 /nfs/dbraw/zinc/24/72/66/1073247266.db2.gz OXXCKRHWNDDWRQ-NRFANRHFSA-N 0 0 432.525 -0.215 20 0 IBADRN CCC[C@]1(CO)CCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553048524 1073247284 /nfs/dbraw/zinc/24/72/84/1073247284.db2.gz OXXCKRHWNDDWRQ-OAQYLSRUSA-N 0 0 432.525 -0.215 20 0 IBADRN Cc1nccn1CCCN(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553048531 1073247288 /nfs/dbraw/zinc/24/72/88/1073247288.db2.gz OZXREASRKUIARB-UHFFFAOYSA-N 0 0 442.524 -0.315 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@H]2CCO[C@H]21 ZINC000553048552 1073247203 /nfs/dbraw/zinc/24/72/03/1073247203.db2.gz PPUVUEDZOPEVEP-DJIMGWMZSA-N 0 0 430.509 -0.439 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@H]2CCO[C@@H]21 ZINC000553048553 1073247729 /nfs/dbraw/zinc/24/77/29/1073247729.db2.gz PPUVUEDZOPEVEP-OIISXLGYSA-N 0 0 430.509 -0.439 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@@H]2CCO[C@@H]21 ZINC000553048554 1073247734 /nfs/dbraw/zinc/24/77/34/1073247734.db2.gz PPUVUEDZOPEVEP-UAGQMJEPSA-N 0 0 430.509 -0.439 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@@H]2CCO[C@H]21 ZINC000553048555 1073247743 /nfs/dbraw/zinc/24/77/43/1073247743.db2.gz PPUVUEDZOPEVEP-USXIJHARSA-N 0 0 430.509 -0.439 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000553048592 1073247234 /nfs/dbraw/zinc/24/72/34/1073247234.db2.gz RHJPBEGJAXATNO-INIZCTEOSA-N 0 0 446.508 -0.567 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC[C@H](OC(F)F)C1)N1CCN(c2ncccn2)CC1 ZINC000553048656 1073247220 /nfs/dbraw/zinc/24/72/20/1073247220.db2.gz UXADZRLWGRMQLN-ZDUSSCGKSA-N 0 0 426.424 -0.528 20 0 IBADRN Cc1noc(C)c1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000553048657 1073247227 /nfs/dbraw/zinc/24/72/27/1073247227.db2.gz UXJKIQRBPBBEGG-UHFFFAOYSA-N 0 0 429.481 -0.405 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)n1 ZINC000553049101 1073247690 /nfs/dbraw/zinc/24/76/90/1073247690.db2.gz LWTCDQGPEUSUKQ-GOSISDBHSA-N 0 0 440.552 -0.133 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)n1 ZINC000553049102 1073247751 /nfs/dbraw/zinc/24/77/51/1073247751.db2.gz LWTCDQGPEUSUKQ-SFHVURJKSA-N 0 0 440.552 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(Cc3ncc[nH]3)CC2)c(S(N)(=O)=O)c1 ZINC000553052749 1073247764 /nfs/dbraw/zinc/24/77/64/1073247764.db2.gz ZIHPZHGOWOVCTL-UHFFFAOYSA-N 0 0 428.540 -0.370 20 0 IBADRN O=C(CC1CCCCC1)NCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553053520 1073247709 /nfs/dbraw/zinc/24/77/09/1073247709.db2.gz ZVMGNUOZEZEQCY-AEVYOOLXSA-N 0 0 434.497 -0.064 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)cc(S(C)(=O)=O)c1 ZINC000553057349 1073248085 /nfs/dbraw/zinc/24/80/85/1073248085.db2.gz ZJBOQEVPFDJFKS-UHFFFAOYSA-N 0 0 440.478 -0.359 20 0 IBADRN Cc1ccccc1OCCCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000553057689 1073248193 /nfs/dbraw/zinc/24/81/93/1073248193.db2.gz QCPAGOKXXMFMTM-UHFFFAOYSA-N 0 0 432.521 -0.117 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC2)n1 ZINC000553057690 1073248097 /nfs/dbraw/zinc/24/80/97/1073248097.db2.gz QHHYMLNHXWVVJM-DLBZAZTESA-N 0 0 434.589 -0.243 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)n1 ZINC000553057691 1073248214 /nfs/dbraw/zinc/24/82/14/1073248214.db2.gz QHHYMLNHXWVVJM-IAGOWNOFSA-N 0 0 434.589 -0.243 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC2)n1 ZINC000553057692 1073248330 /nfs/dbraw/zinc/24/83/30/1073248330.db2.gz QHHYMLNHXWVVJM-IRXDYDNUSA-N 0 0 434.589 -0.243 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)n1 ZINC000553057693 1073248297 /nfs/dbraw/zinc/24/82/97/1073248297.db2.gz QHHYMLNHXWVVJM-SJORKVTESA-N 0 0 434.589 -0.243 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)ccc1NS(C)(=O)=O ZINC000553057985 1073248200 /nfs/dbraw/zinc/24/82/00/1073248200.db2.gz CDBLNXSDHKMFLM-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)ccc1NS(C)(=O)=O ZINC000553057986 1073248223 /nfs/dbraw/zinc/24/82/23/1073248223.db2.gz CDBLNXSDHKMFLM-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN Cc1ccccc1OCCCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000553058793 1073248340 /nfs/dbraw/zinc/24/83/40/1073248340.db2.gz OQFJJVJATJELAE-UHFFFAOYSA-N 0 0 446.504 -0.590 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000553060732 1073248093 /nfs/dbraw/zinc/24/80/93/1073248093.db2.gz OSMQJAMIQAFXFF-CYBMUJFWSA-N 0 0 439.581 -0.169 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000553060733 1073248075 /nfs/dbraw/zinc/24/80/75/1073248075.db2.gz OSMQJAMIQAFXFF-ZDUSSCGKSA-N 0 0 439.581 -0.169 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)c1nc(N)nc(N2CCOCC2)n1 ZINC000553061192 1073357788 /nfs/dbraw/zinc/35/77/88/1073357788.db2.gz WAMJZRMRJRWYAB-UHFFFAOYSA-N 0 0 449.537 0.048 20 0 IBADRN Nc1nc(C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)nc(N2CCOCC2)n1 ZINC000553062558 1073248351 /nfs/dbraw/zinc/24/83/51/1073248351.db2.gz YKASZZPILMUZOZ-UHFFFAOYSA-N 0 0 426.481 -0.631 20 0 IBADRN COc1ccc(COCCCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000553062598 1073248183 /nfs/dbraw/zinc/24/81/83/1073248183.db2.gz ZUBQJPKXIASSRC-UHFFFAOYSA-N 0 0 445.480 -0.924 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4nccn4c3)CC2)o1 ZINC000553069906 1073248733 /nfs/dbraw/zinc/24/87/33/1073248733.db2.gz WDXHKBMUBUFLRA-UHFFFAOYSA-N 0 0 446.445 -0.502 20 0 IBADRN COC[C@@H](C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000553072277 1073249062 /nfs/dbraw/zinc/24/90/62/1073249062.db2.gz GADLOXQLQCUJMP-AWEZNQCLSA-N 0 0 442.538 -0.032 20 0 IBADRN COC[C@H](C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000553072281 1073249208 /nfs/dbraw/zinc/24/92/08/1073249208.db2.gz GADLOXQLQCUJMP-CQSZACIVSA-N 0 0 442.538 -0.032 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCN(C)CC(F)(F)F)C1 ZINC000553073992 1073249067 /nfs/dbraw/zinc/24/90/67/1073249067.db2.gz KCXLEGFHEVSINX-CYBMUJFWSA-N 0 0 446.492 -0.504 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCN(C)CC(F)(F)F)C1 ZINC000553073993 1073249224 /nfs/dbraw/zinc/24/92/24/1073249224.db2.gz KCXLEGFHEVSINX-ZDUSSCGKSA-N 0 0 446.492 -0.504 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000553076053 1073249590 /nfs/dbraw/zinc/24/95/90/1073249590.db2.gz CCXLFYAEJPJQSA-BDJLRTHQSA-N 0 0 446.507 -0.809 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000553076054 1073249622 /nfs/dbraw/zinc/24/96/22/1073249622.db2.gz CCXLFYAEJPJQSA-BZNIZROVSA-N 0 0 446.507 -0.809 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000553076055 1073249664 /nfs/dbraw/zinc/24/96/64/1073249664.db2.gz CCXLFYAEJPJQSA-MEDUHNTESA-N 0 0 446.507 -0.809 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000553076056 1073249669 /nfs/dbraw/zinc/24/96/69/1073249669.db2.gz CCXLFYAEJPJQSA-ZBEGNZNMSA-N 0 0 446.507 -0.809 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCCN(CC(F)(F)F)CC2)[C@H](O)[C@@H]1O ZINC000553076299 1073249164 /nfs/dbraw/zinc/24/91/64/1073249164.db2.gz HUDAKRQWFNEMEV-XNIJJKJLSA-N 0 0 432.403 -0.488 20 0 IBADRN CNC(=O)c1cccc(CCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000553076494 1073249044 /nfs/dbraw/zinc/24/90/44/1073249044.db2.gz OKWGCBMVEZPZRI-KHTYJDQRSA-N 0 0 428.449 -0.548 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000553076529 1073249053 /nfs/dbraw/zinc/24/90/53/1073249053.db2.gz QAUZJURPMMPQFJ-HWPZZCPQSA-N 0 0 446.507 -0.809 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000553076530 1073249057 /nfs/dbraw/zinc/24/90/57/1073249057.db2.gz QAUZJURPMMPQFJ-MGPLVRAMSA-N 0 0 446.507 -0.809 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000553076531 1073249190 /nfs/dbraw/zinc/24/91/90/1073249190.db2.gz QAUZJURPMMPQFJ-QFYYESIMSA-N 0 0 446.507 -0.809 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000553076532 1073249105 /nfs/dbraw/zinc/24/91/05/1073249105.db2.gz QAUZJURPMMPQFJ-QLJPJBMISA-N 0 0 446.507 -0.809 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)ccc1F ZINC000553076842 1073249140 /nfs/dbraw/zinc/24/91/40/1073249140.db2.gz GGEMEPMGOWPCMT-CMPLNLGQSA-N 0 0 433.483 -0.292 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)ccc1F ZINC000553076843 1073249199 /nfs/dbraw/zinc/24/91/99/1073249199.db2.gz GGEMEPMGOWPCMT-JQWIXIFHSA-N 0 0 433.483 -0.292 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)ccc1F ZINC000553076844 1073249090 /nfs/dbraw/zinc/24/90/90/1073249090.db2.gz GGEMEPMGOWPCMT-PWSUYJOCSA-N 0 0 433.483 -0.292 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)ccc1F ZINC000553076845 1073249135 /nfs/dbraw/zinc/24/91/35/1073249135.db2.gz GGEMEPMGOWPCMT-ZYHUDNBSSA-N 0 0 433.483 -0.292 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC000553078199 1073249647 /nfs/dbraw/zinc/24/96/47/1073249647.db2.gz YOWIZTVPMYVPIS-CYBMUJFWSA-N 0 0 428.486 -0.147 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC000553078200 1073249563 /nfs/dbraw/zinc/24/95/63/1073249563.db2.gz YOWIZTVPMYVPIS-ZDUSSCGKSA-N 0 0 428.486 -0.147 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@@H]1COC[C@H]1O ZINC000553078322 1073249551 /nfs/dbraw/zinc/24/95/51/1073249551.db2.gz FADIJOSCFSJKME-IAGOWNOFSA-N 0 0 443.497 -0.343 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)ccc1F ZINC000553078895 1073249641 /nfs/dbraw/zinc/24/96/41/1073249641.db2.gz LPBAVIMIUDLSAD-UHFFFAOYSA-N 0 0 438.503 -0.494 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)CC(F)(F)F ZINC000553079832 1073249578 /nfs/dbraw/zinc/24/95/78/1073249578.db2.gz IGXLYYYYAADSSS-UHFFFAOYSA-N 0 0 441.432 -0.741 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCN(C)CC(F)(F)F)CC1 ZINC000553082374 1073249672 /nfs/dbraw/zinc/24/96/72/1073249672.db2.gz BMEJOLPJSWGDOW-UHFFFAOYSA-N 0 0 446.492 -0.504 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000553082897 1073249510 /nfs/dbraw/zinc/24/95/10/1073249510.db2.gz PTCLLNXODWDFGG-CVEARBPZSA-N 0 0 432.525 -0.372 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000553082898 1073249519 /nfs/dbraw/zinc/24/95/19/1073249519.db2.gz PTCLLNXODWDFGG-HOTGVXAUSA-N 0 0 432.525 -0.372 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000553082899 1073249677 /nfs/dbraw/zinc/24/96/77/1073249677.db2.gz PTCLLNXODWDFGG-HZPDHXFCSA-N 0 0 432.525 -0.372 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000553082900 1073249656 /nfs/dbraw/zinc/24/96/56/1073249656.db2.gz PTCLLNXODWDFGG-JKSUJKDBSA-N 0 0 432.525 -0.372 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN(C)CC(F)(F)F)CCN1c1nccn2cnnc12 ZINC000553083263 1073248754 /nfs/dbraw/zinc/24/87/54/1073248754.db2.gz YYEURWQNMHKVCZ-GFCCVEGCSA-N 0 0 428.419 -0.228 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN(C)CC(F)(F)F)CCN1c1nccn2cnnc12 ZINC000553083264 1073248724 /nfs/dbraw/zinc/24/87/24/1073248724.db2.gz YYEURWQNMHKVCZ-LBPRGKRZSA-N 0 0 428.419 -0.228 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000553083425 1073248768 /nfs/dbraw/zinc/24/87/68/1073248768.db2.gz HCJOVAISHKXJGL-GFCCVEGCSA-N 0 0 443.460 -0.576 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000553083426 1073248681 /nfs/dbraw/zinc/24/86/81/1073248681.db2.gz HCJOVAISHKXJGL-LBPRGKRZSA-N 0 0 443.460 -0.576 20 0 IBADRN CC(C)NS(=O)(=O)NCCN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000553086813 1073248670 /nfs/dbraw/zinc/24/86/70/1073248670.db2.gz LLQCBXOEHIMLLE-INIZCTEOSA-N 0 0 432.524 -0.311 20 0 IBADRN CC(C)NS(=O)(=O)NCCN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000553086814 1073248759 /nfs/dbraw/zinc/24/87/59/1073248759.db2.gz LLQCBXOEHIMLLE-MRXNPFEDSA-N 0 0 432.524 -0.311 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000553088026 1073248716 /nfs/dbraw/zinc/24/87/16/1073248716.db2.gz OSFROJYHHOEKHP-BPLDGKMQSA-N 0 0 449.599 -0.072 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000553088027 1073248608 /nfs/dbraw/zinc/24/86/08/1073248608.db2.gz OSFROJYHHOEKHP-SNPRPXQTSA-N 0 0 449.599 -0.072 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000553088028 1073248749 /nfs/dbraw/zinc/24/87/49/1073248749.db2.gz OSFROJYHHOEKHP-VHDGCEQUSA-N 0 0 449.599 -0.072 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000553088029 1073248691 /nfs/dbraw/zinc/24/86/91/1073248691.db2.gz OSFROJYHHOEKHP-YUELXQCFSA-N 0 0 449.599 -0.072 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553097126 1073250145 /nfs/dbraw/zinc/25/01/45/1073250145.db2.gz ATLFMWLEFPRBDY-ASWJQUQGSA-N 0 0 434.497 -0.067 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553097127 1073250132 /nfs/dbraw/zinc/25/01/32/1073250132.db2.gz ATLFMWLEFPRBDY-PGVGNXIBSA-N 0 0 434.497 -0.067 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553097131 1073249941 /nfs/dbraw/zinc/24/99/41/1073249941.db2.gz ATLFMWLEFPRBDY-RLRURZOPSA-N 0 0 434.497 -0.067 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553097133 1073250042 /nfs/dbraw/zinc/25/00/42/1073250042.db2.gz ATLFMWLEFPRBDY-RRBLWZSXSA-N 0 0 434.497 -0.067 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccccn2)CC1)N1CCN(C(=O)c2ccccn2)CC1 ZINC000553100870 1073250103 /nfs/dbraw/zinc/25/01/03/1073250103.db2.gz CEJMSZDFDLOVRI-UHFFFAOYSA-N 0 0 436.472 -0.254 20 0 IBADRN O=C(NCCn1ncnn1)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000553100988 1073250087 /nfs/dbraw/zinc/25/00/87/1073250087.db2.gz JUAYOGPYLHKWNX-UHFFFAOYSA-N 0 0 443.873 -0.898 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1C ZINC000553103606 1073250452 /nfs/dbraw/zinc/25/04/52/1073250452.db2.gz RFSSHFMFZQTOQC-KRWDZBQOSA-N 0 0 434.541 -0.472 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1C ZINC000553103607 1073250506 /nfs/dbraw/zinc/25/05/06/1073250506.db2.gz RFSSHFMFZQTOQC-QGZVFWFLSA-N 0 0 434.541 -0.472 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4nccn4c3)CC2)cn1C ZINC000553104033 1073250007 /nfs/dbraw/zinc/25/00/07/1073250007.db2.gz IIAOEPYCCYOSTJ-UHFFFAOYSA-N 0 0 431.478 -0.152 20 0 IBADRN O=c1[nH]c2ccccc2n1CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553108444 1073249682 /nfs/dbraw/zinc/24/96/82/1073249682.db2.gz KRKJXOXOFCBMDI-SCFUHWHPSA-N 0 0 427.421 -0.395 20 0 IBADRN COc1cnc(N2CCN(C(C)(C)C(N)=O)CC2)nc1N1CCN(C(C)(C)C(N)=O)CC1 ZINC000553110363 1073249531 /nfs/dbraw/zinc/24/95/31/1073249531.db2.gz XGPNRYMLRMOQCW-UHFFFAOYSA-N 0 0 448.572 -0.743 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N[C@H]2CCN(c3ncccc3F)C2)[C@H](O)[C@@H]1O ZINC000553111178 1073250163 /nfs/dbraw/zinc/25/01/63/1073250163.db2.gz ADZUQGCEVOCTBC-OGHKKMLSSA-N 0 0 431.428 -0.337 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N[C@@H]2CCN(c3ncccc3F)C2)[C@H](O)[C@@H]1O ZINC000553111179 1073250134 /nfs/dbraw/zinc/25/01/34/1073250134.db2.gz ADZUQGCEVOCTBC-RNRWYOARSA-N 0 0 431.428 -0.337 20 0 IBADRN CN(CC(=O)Nc1n[nH]c2cc(NC(=O)CN(C)S(C)(=O)=O)ccc21)S(C)(=O)=O ZINC000553119427 1073250630 /nfs/dbraw/zinc/25/06/30/1073250630.db2.gz LRQOVBOWYFVDOW-UHFFFAOYSA-N 0 0 446.511 -0.777 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553119542 1073250486 /nfs/dbraw/zinc/25/04/86/1073250486.db2.gz PXSLUCCSCRKLKF-BIBXISHDSA-N 0 0 428.449 -0.467 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553119543 1073250404 /nfs/dbraw/zinc/25/04/04/1073250404.db2.gz PXSLUCCSCRKLKF-GIPWTMENSA-N 0 0 428.449 -0.467 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553119544 1073250588 /nfs/dbraw/zinc/25/05/88/1073250588.db2.gz PXSLUCCSCRKLKF-PRWKNARSSA-N 0 0 428.449 -0.467 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553119545 1073250442 /nfs/dbraw/zinc/25/04/42/1073250442.db2.gz PXSLUCCSCRKLKF-YBTHPKLGSA-N 0 0 428.449 -0.467 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3nccn3CC(F)(F)F)CC2)nc1 ZINC000553122542 1073250927 /nfs/dbraw/zinc/25/09/27/1073250927.db2.gz FNARJKVFAVSJQR-UHFFFAOYSA-N 0 0 439.398 -0.096 20 0 IBADRN COc1cccc2c1CC[C@@H]2NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000553128141 1073251056 /nfs/dbraw/zinc/25/10/56/1073251056.db2.gz ZTWHZXWMLWKABS-HNNXBMFYSA-N 0 0 442.476 -0.713 20 0 IBADRN COc1cccc2c1CC[C@H]2NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000553128142 1073250981 /nfs/dbraw/zinc/25/09/81/1073250981.db2.gz ZTWHZXWMLWKABS-OAHLLOKOSA-N 0 0 442.476 -0.713 20 0 IBADRN COCCN1CCN(c2cc(C3CC3)nc(N3CCN(CCOC)C(=O)C3)n2)CC1=O ZINC000553131259 1073250521 /nfs/dbraw/zinc/25/05/21/1073250521.db2.gz IDPSHJLZPMUJJO-UHFFFAOYSA-N 0 0 432.525 -0.056 20 0 IBADRN COc1ccc2c(c1)OC[C@H](Nc1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C2 ZINC000553131693 1073250664 /nfs/dbraw/zinc/25/06/64/1073250664.db2.gz ZBDNHPRKERYIJR-SEYPNCJNSA-N 0 0 429.433 -0.138 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](Nc1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C2 ZINC000553131694 1073250493 /nfs/dbraw/zinc/25/04/93/1073250493.db2.gz ZBDNHPRKERYIJR-ZUFLSNEXSA-N 0 0 429.433 -0.138 20 0 IBADRN C[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1(S(C)(=O)=O)CC1 ZINC000553134651 1073251533 /nfs/dbraw/zinc/25/15/33/1073251533.db2.gz NMNISYSCBAFCRE-GFCCVEGCSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1(S(C)(=O)=O)CC1 ZINC000553134652 1073251539 /nfs/dbraw/zinc/25/15/39/1073251539.db2.gz NMNISYSCBAFCRE-LBPRGKRZSA-N 0 0 429.520 -0.497 20 0 IBADRN O=C1NC2(CCS(=O)(=O)CC2)C(=O)N1CCNS(=O)(=O)c1ccc(F)cc1F ZINC000553137535 1073251466 /nfs/dbraw/zinc/25/14/66/1073251466.db2.gz AGHQBBHWIMHQIF-UHFFFAOYSA-N 0 0 437.446 -0.258 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1(S(C)(=O)=O)CC1 ZINC000553138371 1073251431 /nfs/dbraw/zinc/25/14/31/1073251431.db2.gz QDGGUYKNBFYQBE-NEPJUHHUSA-N 0 0 429.520 -0.231 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1(S(C)(=O)=O)CC1 ZINC000553138372 1073251548 /nfs/dbraw/zinc/25/15/48/1073251548.db2.gz QDGGUYKNBFYQBE-NWDGAFQWSA-N 0 0 429.520 -0.231 20 0 IBADRN C[C@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1(S(C)(=O)=O)CC1 ZINC000553138373 1073251499 /nfs/dbraw/zinc/25/14/99/1073251499.db2.gz QDGGUYKNBFYQBE-RYUDHWBXSA-N 0 0 429.520 -0.231 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1(S(C)(=O)=O)CC1 ZINC000553138374 1073251543 /nfs/dbraw/zinc/25/15/43/1073251543.db2.gz QDGGUYKNBFYQBE-VXGBXAGGSA-N 0 0 429.520 -0.231 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000553138888 1073251520 /nfs/dbraw/zinc/25/15/20/1073251520.db2.gz PPESFUWSOBAQEH-DOMZBBRYSA-N 0 0 446.551 -0.665 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000553138889 1073251514 /nfs/dbraw/zinc/25/15/14/1073251514.db2.gz PPESFUWSOBAQEH-IUODEOHRSA-N 0 0 446.551 -0.665 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000553138890 1073251480 /nfs/dbraw/zinc/25/14/80/1073251480.db2.gz PPESFUWSOBAQEH-SWLSCSKDSA-N 0 0 446.551 -0.665 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000553138891 1073251528 /nfs/dbraw/zinc/25/15/28/1073251528.db2.gz PPESFUWSOBAQEH-WFASDCNBSA-N 0 0 446.551 -0.665 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)[C@@H](C)O)cc1 ZINC000553142499 1073251437 /nfs/dbraw/zinc/25/14/37/1073251437.db2.gz CMLHOMLMAMHMKY-OIISXLGYSA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)[C@@H](C)O)cc1 ZINC000553142500 1073251493 /nfs/dbraw/zinc/25/14/93/1073251493.db2.gz CMLHOMLMAMHMKY-PVAVHDDUSA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)[C@H](C)O)cc1 ZINC000553142501 1073251583 /nfs/dbraw/zinc/25/15/83/1073251583.db2.gz CMLHOMLMAMHMKY-UAGQMJEPSA-N 0 0 447.579 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)[C@H](C)O)cc1 ZINC000553142502 1073251985 /nfs/dbraw/zinc/25/19/85/1073251985.db2.gz CMLHOMLMAMHMKY-XIRDDKMYSA-N 0 0 447.579 -0.095 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C1(S(C)(=O)=O)CC1 ZINC000553143210 1073252005 /nfs/dbraw/zinc/25/20/05/1073252005.db2.gz BUFGNXWBZNAWFW-GFCCVEGCSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C1(S(C)(=O)=O)CC1 ZINC000553143211 1073251921 /nfs/dbraw/zinc/25/19/21/1073251921.db2.gz BUFGNXWBZNAWFW-LBPRGKRZSA-N 0 0 429.520 -0.497 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@H](CNC(=O)CC(F)(F)F)C2)CC1 ZINC000553143660 1073252021 /nfs/dbraw/zinc/25/20/21/1073252021.db2.gz AWWQCBLUNKDPAJ-CYBMUJFWSA-N 0 0 428.477 -0.129 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@@H](CNC(=O)CC(F)(F)F)C2)CC1 ZINC000553143663 1073252009 /nfs/dbraw/zinc/25/20/09/1073252009.db2.gz AWWQCBLUNKDPAJ-ZDUSSCGKSA-N 0 0 428.477 -0.129 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000553144610 1073252017 /nfs/dbraw/zinc/25/20/17/1073252017.db2.gz ZNQLVWQLWDMDJF-CXAGYDPISA-N 0 0 430.527 -0.516 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000553144611 1073251954 /nfs/dbraw/zinc/25/19/54/1073251954.db2.gz ZNQLVWQLWDMDJF-DYVFJYSZSA-N 0 0 430.527 -0.516 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000553144612 1073251900 /nfs/dbraw/zinc/25/19/00/1073251900.db2.gz ZNQLVWQLWDMDJF-GUYCJALGSA-N 0 0 430.527 -0.516 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000553144613 1073251929 /nfs/dbraw/zinc/25/19/29/1073251929.db2.gz ZNQLVWQLWDMDJF-SUMWQHHRSA-N 0 0 430.527 -0.516 20 0 IBADRN O=C(CO)N1CCN(c2cc(N3CCN(C(=O)CO)CC3)nc(-c3ccccc3)n2)CC1 ZINC000553145000 1073251976 /nfs/dbraw/zinc/25/19/76/1073251976.db2.gz NVOMLTPAELCATG-UHFFFAOYSA-N 0 0 440.504 -0.575 20 0 IBADRN NS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)CC1 ZINC000553145038 1073251882 /nfs/dbraw/zinc/25/18/82/1073251882.db2.gz AJHTWXUYFILTSG-UHFFFAOYSA-N 0 0 431.521 -0.655 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)CC2=O)c1 ZINC000553148610 1073251933 /nfs/dbraw/zinc/25/19/33/1073251933.db2.gz WLSXIIIKVPXUOV-AWEZNQCLSA-N 0 0 440.460 -0.474 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)CC2=O)c1 ZINC000553148611 1073252307 /nfs/dbraw/zinc/25/23/07/1073252307.db2.gz WLSXIIIKVPXUOV-CQSZACIVSA-N 0 0 440.460 -0.474 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000553150257 1073253173 /nfs/dbraw/zinc/25/31/73/1073253173.db2.gz PEBABISNFKPARL-BMGDILEWSA-N 0 0 435.477 -0.147 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000553150258 1073253088 /nfs/dbraw/zinc/25/30/88/1073253088.db2.gz PEBABISNFKPARL-FHLIZLRMSA-N 0 0 435.477 -0.147 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000553150259 1073253101 /nfs/dbraw/zinc/25/31/01/1073253101.db2.gz PEBABISNFKPARL-JCGIZDLHSA-N 0 0 435.477 -0.147 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000553150260 1073253208 /nfs/dbraw/zinc/25/32/08/1073253208.db2.gz PEBABISNFKPARL-ZTFGCOKTSA-N 0 0 435.477 -0.147 20 0 IBADRN COc1ccc(Cn2ncc(C)c2NC(=O)C(=O)NCCC(=O)NC(N)=O)cc1OC ZINC000553152690 1073253538 /nfs/dbraw/zinc/25/35/38/1073253538.db2.gz DCJDTUYRXJFWCQ-UHFFFAOYSA-N 0 0 432.437 -0.103 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000553152711 1073357769 /nfs/dbraw/zinc/35/77/69/1073357769.db2.gz DRXSKXXEVCJIPR-UHFFFAOYSA-N 0 0 439.523 0.169 20 0 IBADRN Cc1cnc(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)s1 ZINC000553153137 1073251026 /nfs/dbraw/zinc/25/10/26/1073251026.db2.gz CURWGKRLEMJHAX-LSCFUAHRSA-N 0 0 433.494 -0.471 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c2ccccc2O1 ZINC000553154573 1073359574 /nfs/dbraw/zinc/35/95/74/1073359574.db2.gz GSLSXWLREFQOIG-CYBMUJFWSA-N 0 0 431.478 -0.691 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c2ccccc2O1 ZINC000553154574 1073359609 /nfs/dbraw/zinc/35/96/09/1073359609.db2.gz GSLSXWLREFQOIG-ZDUSSCGKSA-N 0 0 431.478 -0.691 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000553156311 1073254593 /nfs/dbraw/zinc/25/45/93/1073254593.db2.gz ANCPQWCNDNLVQG-NXEZZACHSA-N 0 0 443.535 -0.014 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000553156312 1073254582 /nfs/dbraw/zinc/25/45/82/1073254582.db2.gz ANCPQWCNDNLVQG-UWVGGRQHSA-N 0 0 443.535 -0.014 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000553156313 1073254577 /nfs/dbraw/zinc/25/45/77/1073254577.db2.gz ANCPQWCNDNLVQG-VHSXEESVSA-N 0 0 443.535 -0.014 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000553156314 1073254555 /nfs/dbraw/zinc/25/45/55/1073254555.db2.gz ANCPQWCNDNLVQG-ZJUUUORDSA-N 0 0 443.535 -0.014 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCc3cccc(C(N)=O)c3)CC2)n1 ZINC000553157338 1073254622 /nfs/dbraw/zinc/25/46/22/1073254622.db2.gz CBPQNHKSUGKMTA-UHFFFAOYSA-N 0 0 430.465 -0.682 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(F)(F)F ZINC000553157598 1073254590 /nfs/dbraw/zinc/25/45/90/1073254590.db2.gz PWBSTNAVOPEHFP-LLVKDONJSA-N 0 0 445.441 -0.502 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(F)(F)F ZINC000553157599 1073254523 /nfs/dbraw/zinc/25/45/23/1073254523.db2.gz PWBSTNAVOPEHFP-NSHDSACASA-N 0 0 445.441 -0.502 20 0 IBADRN Cn1c2ncn(CC(=O)N(Cc3ccc(C(N)=O)cc3F)C3CC3)c2c(=O)n(C)c1=O ZINC000553161859 1073254802 /nfs/dbraw/zinc/25/48/02/1073254802.db2.gz NOQYZRJLGYQLLI-UHFFFAOYSA-N 0 0 428.424 -0.137 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000553162786 1073254939 /nfs/dbraw/zinc/25/49/39/1073254939.db2.gz QSXLETRSNHZYRK-CHWSQXEVSA-N 0 0 444.476 -0.894 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000553162787 1073254831 /nfs/dbraw/zinc/25/48/31/1073254831.db2.gz QSXLETRSNHZYRK-OLZOCXBDSA-N 0 0 444.476 -0.894 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000553162788 1073254956 /nfs/dbraw/zinc/25/49/56/1073254956.db2.gz QSXLETRSNHZYRK-QWHCGFSZSA-N 0 0 444.476 -0.894 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000553162789 1073254971 /nfs/dbraw/zinc/25/49/71/1073254971.db2.gz QSXLETRSNHZYRK-STQMWFEESA-N 0 0 444.476 -0.894 20 0 IBADRN C(Nc1nc2c(c(NCc3nnnn3CC3CC3)n1)SCC2)c1nnnn1CC1CC1 ZINC000553164492 1073254809 /nfs/dbraw/zinc/25/48/09/1073254809.db2.gz SOSCCYQFTPPTKV-UHFFFAOYSA-N 0 0 440.541 -0.014 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000553164735 1073254992 /nfs/dbraw/zinc/25/49/92/1073254992.db2.gz FIUGHEWCLBSPDN-UHFFFAOYSA-N 0 0 428.511 -0.205 20 0 IBADRN Cc1ncsc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000553165174 1073255319 /nfs/dbraw/zinc/25/53/19/1073255319.db2.gz NGLQGECZAGYNIY-BETUJISGSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1ncsc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000553165175 1073255405 /nfs/dbraw/zinc/25/54/05/1073255405.db2.gz NGLQGECZAGYNIY-CHWSQXEVSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1ncsc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000553165176 1073255443 /nfs/dbraw/zinc/25/54/43/1073255443.db2.gz NGLQGECZAGYNIY-STQMWFEESA-N 0 0 445.567 -0.434 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CC[C@](O)(C(F)(F)F)C2)CC1 ZINC000553166055 1073255399 /nfs/dbraw/zinc/25/53/99/1073255399.db2.gz KVIPQPBSNLLYTM-GOSISDBHSA-N 0 0 443.426 -0.075 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CC[C@@](O)(C(F)(F)F)C2)CC1 ZINC000553166056 1073255345 /nfs/dbraw/zinc/25/53/45/1073255345.db2.gz KVIPQPBSNLLYTM-SFHVURJKSA-N 0 0 443.426 -0.075 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CCO1 ZINC000553167306 1073253527 /nfs/dbraw/zinc/25/35/27/1073253527.db2.gz JZNNCPUYJSVSAC-UHFFFAOYSA-N 0 0 446.552 -0.374 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C1 ZINC000553167377 1073253603 /nfs/dbraw/zinc/25/36/03/1073253603.db2.gz KXKWGYNFYDLLEH-KRWDZBQOSA-N 0 0 444.536 -0.083 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C1 ZINC000553167378 1073253651 /nfs/dbraw/zinc/25/36/51/1073253651.db2.gz KXKWGYNFYDLLEH-QGZVFWFLSA-N 0 0 444.536 -0.083 20 0 IBADRN Cn1nc(CCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c2ccccc21 ZINC000553167569 1073253516 /nfs/dbraw/zinc/25/35/16/1073253516.db2.gz NSOWGDOHLYVLFX-WVSUBDOOSA-N 0 0 425.449 -0.021 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)Nc3ccccc3F)CC2)n1 ZINC000553170790 1073253696 /nfs/dbraw/zinc/25/36/96/1073253696.db2.gz BNRXMCURBPPOCX-UHFFFAOYSA-N 0 0 432.504 -0.160 20 0 IBADRN O=C1C[C@@H](c2cccc(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)c2)CN1 ZINC000553171297 1073254119 /nfs/dbraw/zinc/25/41/19/1073254119.db2.gz USKVIDLVRUXDGR-AUFSPBJRSA-N 0 0 426.433 -0.215 20 0 IBADRN O=C1C[C@H](c2cccc(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)c2)CN1 ZINC000553171298 1073254053 /nfs/dbraw/zinc/25/40/53/1073254053.db2.gz USKVIDLVRUXDGR-NXDBGETFSA-N 0 0 426.433 -0.215 20 0 IBADRN O=C1CN(CCCNc2cc(NCCCN3CCNC(=O)C3)c3ccncc3n2)CCN1 ZINC000553173635 1073254108 /nfs/dbraw/zinc/25/41/08/1073254108.db2.gz SXRHKOHZFWAHKQ-UHFFFAOYSA-N 0 0 440.552 0.097 20 0 IBADRN Cn1cc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c(C(F)(F)F)n1 ZINC000553174817 1073254101 /nfs/dbraw/zinc/25/41/01/1073254101.db2.gz GPDKMCMMQDNZIC-ORXWAGORSA-N 0 0 429.359 -0.198 20 0 IBADRN COC(=O)c1ccc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1OC ZINC000553175774 1073254089 /nfs/dbraw/zinc/25/40/89/1073254089.db2.gz CXVLLJRBOFMKST-NVQRDWNXSA-N 0 0 445.432 -0.155 20 0 IBADRN CN(CC(=O)NCc1cccs1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553176053 1073254063 /nfs/dbraw/zinc/25/40/63/1073254063.db2.gz PEGTXXTYDKGMPN-XKLVTHTNSA-N 0 0 434.478 -0.748 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000553176634 1073255454 /nfs/dbraw/zinc/25/54/54/1073255454.db2.gz KRYWNYZOZJUCCK-UHFFFAOYSA-N 0 0 438.959 -0.089 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000553181584 1073256313 /nfs/dbraw/zinc/25/63/13/1073256313.db2.gz QKKVAFQQQIKOKU-DZKIICNBSA-N 0 0 433.552 -0.485 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000553181585 1073256294 /nfs/dbraw/zinc/25/62/94/1073256294.db2.gz QKKVAFQQQIKOKU-FMKPAKJESA-N 0 0 433.552 -0.485 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000553181586 1073256322 /nfs/dbraw/zinc/25/63/22/1073256322.db2.gz QKKVAFQQQIKOKU-LZWOXQAQSA-N 0 0 433.552 -0.485 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000553181587 1073256269 /nfs/dbraw/zinc/25/62/69/1073256269.db2.gz QKKVAFQQQIKOKU-YCPHGPKFSA-N 0 0 433.552 -0.485 20 0 IBADRN CN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000553182009 1073256319 /nfs/dbraw/zinc/25/63/19/1073256319.db2.gz LKQQLYHHBRPIRC-GXTWGEPZSA-N 0 0 444.535 -0.821 20 0 IBADRN CN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000553182010 1073256284 /nfs/dbraw/zinc/25/62/84/1073256284.db2.gz LKQQLYHHBRPIRC-JSGCOSHPSA-N 0 0 444.535 -0.821 20 0 IBADRN CN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000553182011 1073256330 /nfs/dbraw/zinc/25/63/30/1073256330.db2.gz LKQQLYHHBRPIRC-OCCSQVGLSA-N 0 0 444.535 -0.821 20 0 IBADRN CN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000553182012 1073256299 /nfs/dbraw/zinc/25/62/99/1073256299.db2.gz LKQQLYHHBRPIRC-TZMCWYRMSA-N 0 0 444.535 -0.821 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000553182193 1073256336 /nfs/dbraw/zinc/25/63/36/1073256336.db2.gz UQMMUZOWKOTMGW-CYBMUJFWSA-N 0 0 433.498 -0.643 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000553182194 1073256306 /nfs/dbraw/zinc/25/63/06/1073256306.db2.gz UQMMUZOWKOTMGW-ZDUSSCGKSA-N 0 0 433.498 -0.643 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000553182328 1073256289 /nfs/dbraw/zinc/25/62/89/1073256289.db2.gz ZRWOORNKKDJTMH-AWEZNQCLSA-N 0 0 447.535 -0.366 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000553182329 1073256302 /nfs/dbraw/zinc/25/63/02/1073256302.db2.gz ZRWOORNKKDJTMH-CQSZACIVSA-N 0 0 447.535 -0.366 20 0 IBADRN Cn1cnn(-c2ccc(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)cc2)c1=O ZINC000553182348 1073256253 /nfs/dbraw/zinc/25/62/53/1073256253.db2.gz APWFLMYXFDDPED-SCFUHWHPSA-N 0 0 440.420 -0.934 20 0 IBADRN Cc1nnc(CN2CCN(c3cncc(N4CCN(Cc5nnc(C)[nH]5)CC4)n3)CC2)[nH]1 ZINC000553184274 1073256733 /nfs/dbraw/zinc/25/67/33/1073256733.db2.gz AYJOHDWSPWMJNA-UHFFFAOYSA-N 0 0 438.544 -0.026 20 0 IBADRN CN(C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000553184352 1073256686 /nfs/dbraw/zinc/25/66/86/1073256686.db2.gz AQGJQYBXDMJEJA-FXUDXRNXSA-N 0 0 449.595 -0.412 20 0 IBADRN CN(C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000553184353 1073256746 /nfs/dbraw/zinc/25/67/46/1073256746.db2.gz AQGJQYBXDMJEJA-LVQVYYBASA-N 0 0 449.595 -0.412 20 0 IBADRN CN(C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000553184354 1073256769 /nfs/dbraw/zinc/25/67/69/1073256769.db2.gz AQGJQYBXDMJEJA-XUWVNRHRSA-N 0 0 449.595 -0.412 20 0 IBADRN CN(C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000553184355 1073256759 /nfs/dbraw/zinc/25/67/59/1073256759.db2.gz AQGJQYBXDMJEJA-YHUYYLMFSA-N 0 0 449.595 -0.412 20 0 IBADRN CN(C(=O)c1ccccc1S(=O)(=O)N1CCOCC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000553184625 1073256774 /nfs/dbraw/zinc/25/67/74/1073256774.db2.gz FNFWRSNAGFQBLS-AWEZNQCLSA-N 0 0 431.536 -0.187 20 0 IBADRN CN(C(=O)c1ccccc1S(=O)(=O)N1CCOCC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000553184626 1073256649 /nfs/dbraw/zinc/25/66/49/1073256649.db2.gz FNFWRSNAGFQBLS-CQSZACIVSA-N 0 0 431.536 -0.187 20 0 IBADRN COc1ccc([C@H](CNC(=O)c2nc(N)nc(N3CCOCC3)n2)N2CCOCC2)cc1 ZINC000553190280 1073356073 /nfs/dbraw/zinc/35/60/73/1073356073.db2.gz JXHAHNWOCORSGM-KRWDZBQOSA-N 0 0 443.508 0.102 20 0 IBADRN COc1ccc([C@@H](CNC(=O)c2nc(N)nc(N3CCOCC3)n2)N2CCOCC2)cc1 ZINC000553190281 1073356066 /nfs/dbraw/zinc/35/60/66/1073356066.db2.gz JXHAHNWOCORSGM-QGZVFWFLSA-N 0 0 443.508 0.102 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)nc2ccccc12 ZINC000553199725 1073257435 /nfs/dbraw/zinc/25/74/35/1073257435.db2.gz OXWMTGPIBOJSRY-UHFFFAOYSA-N 0 0 440.504 -0.443 20 0 IBADRN C[C@@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CCN1CC(C)(C)O ZINC000553201212 1073257512 /nfs/dbraw/zinc/25/75/12/1073257512.db2.gz VPXBNJINKJITFX-CYBMUJFWSA-N 0 0 434.584 -0.134 20 0 IBADRN C[C@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CCN1CC(C)(C)O ZINC000553201213 1073257488 /nfs/dbraw/zinc/25/74/88/1073257488.db2.gz VPXBNJINKJITFX-ZDUSSCGKSA-N 0 0 434.584 -0.134 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)C1 ZINC000553202722 1073257840 /nfs/dbraw/zinc/25/78/40/1073257840.db2.gz HOXVQYKYWAKUJS-MSOLQXFVSA-N 0 0 445.630 -0.303 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)C1 ZINC000553202723 1073257950 /nfs/dbraw/zinc/25/79/50/1073257950.db2.gz HOXVQYKYWAKUJS-QZTJIDSGSA-N 0 0 445.630 -0.303 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)C1 ZINC000553202724 1073257737 /nfs/dbraw/zinc/25/77/37/1073257737.db2.gz HOXVQYKYWAKUJS-ROUUACIJSA-N 0 0 445.630 -0.303 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)C1 ZINC000553202725 1073257940 /nfs/dbraw/zinc/25/79/40/1073257940.db2.gz HOXVQYKYWAKUJS-ZWKOTPCHSA-N 0 0 445.630 -0.303 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3cc(C4CC4)[nH]n3)CC2)[C@H](O)[C@@H]1O ZINC000553204342 1073257912 /nfs/dbraw/zinc/25/79/12/1073257912.db2.gz NYXQCUHJFWJQSL-AEVYOOLXSA-N 0 0 442.480 -0.635 20 0 IBADRN CN(CC(=O)Nc1cccc(F)c1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553204678 1073257890 /nfs/dbraw/zinc/25/78/90/1073257890.db2.gz HHXPWNBZFGMDNZ-BGIGGGFGSA-N 0 0 432.412 -0.348 20 0 IBADRN O=C(c1ccccc1)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000553204699 1073257814 /nfs/dbraw/zinc/25/78/14/1073257814.db2.gz INBQXBFQYQDCES-VGKBRBPRSA-N 0 0 440.460 -0.600 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000553208744 1073256695 /nfs/dbraw/zinc/25/66/95/1073256695.db2.gz ABTUSESRJUZJNQ-UHFFFAOYSA-N 0 0 428.540 -0.862 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000553208855 1073256657 /nfs/dbraw/zinc/25/66/57/1073256657.db2.gz GQNCBCDHSHXIMU-UHFFFAOYSA-N 0 0 436.531 -0.213 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@]2(c3ccccc3)CCC(=O)NC2)CC1)N1CCOCC1 ZINC000553211993 1073256940 /nfs/dbraw/zinc/25/69/40/1073256940.db2.gz BGUNVPUZFIJFKN-JOCHJYFZSA-N 0 0 428.489 -0.636 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@]2(c3ccccc3)CCC(=O)NC2)CC1)N1CCOCC1 ZINC000553211994 1073257093 /nfs/dbraw/zinc/25/70/93/1073257093.db2.gz BGUNVPUZFIJFKN-QFIPXVFZSA-N 0 0 428.489 -0.636 20 0 IBADRN CCn1cc([C@H]2OCCC[C@@H]2Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cn1 ZINC000553213490 1073257128 /nfs/dbraw/zinc/25/71/28/1073257128.db2.gz ZUSYUXZQPQOWRB-QQAPLRMDSA-N 0 0 445.480 -0.014 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1 ZINC000553217333 1073257401 /nfs/dbraw/zinc/25/74/01/1073257401.db2.gz UIETWCFLCBPWCO-HNNXBMFYSA-N 0 0 431.536 -0.499 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1 ZINC000553217334 1073257450 /nfs/dbraw/zinc/25/74/50/1073257450.db2.gz UIETWCFLCBPWCO-OAHLLOKOSA-N 0 0 431.536 -0.499 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCN(CC(N)=O)CC3)CC2)cc1 ZINC000553219968 1073257474 /nfs/dbraw/zinc/25/74/74/1073257474.db2.gz VHKKTULKQKDNJI-KRWDZBQOSA-N 0 0 437.566 -0.681 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCN(CC(N)=O)CC3)CC2)cc1 ZINC000553219969 1073257443 /nfs/dbraw/zinc/25/74/43/1073257443.db2.gz VHKKTULKQKDNJI-QGZVFWFLSA-N 0 0 437.566 -0.681 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(CC(F)(F)F)C(=O)C3)CC2=O)c1 ZINC000553222004 1073258257 /nfs/dbraw/zinc/25/82/57/1073258257.db2.gz YFESNDQDXOQKLL-LLVKDONJSA-N 0 0 448.423 -0.080 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(CC(F)(F)F)C(=O)C3)CC2=O)c1 ZINC000553222005 1073258278 /nfs/dbraw/zinc/25/82/78/1073258278.db2.gz YFESNDQDXOQKLL-NSHDSACASA-N 0 0 448.423 -0.080 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000553222486 1073258380 /nfs/dbraw/zinc/25/83/80/1073258380.db2.gz VYNAXFUBUQKVCR-UHFFFAOYSA-N 0 0 429.524 -0.371 20 0 IBADRN CCOC(=O)[C@@]1(C(C)C)CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553224005 1073258364 /nfs/dbraw/zinc/25/83/64/1073258364.db2.gz KJGSUZYJLBGGCL-GGBXQBQZSA-N 0 0 435.481 -0.147 20 0 IBADRN CCOC(=O)[C@]1(C(C)C)CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553224006 1073258221 /nfs/dbraw/zinc/25/82/21/1073258221.db2.gz KJGSUZYJLBGGCL-LERAUJRQSA-N 0 0 435.481 -0.147 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCCCNS(=O)(=O)c1ccccc1Br)C(N)=O ZINC000553224754 1073258306 /nfs/dbraw/zinc/25/83/06/1073258306.db2.gz PGJYKLPZUUPAIK-LBPRGKRZSA-N 0 0 449.327 -0.386 20 0 IBADRN CS(=O)(=O)C1(CNc2nc3c(c(NCC4(S(C)(=O)=O)CC4)n2)SCC3)CC1 ZINC000553225264 1073258699 /nfs/dbraw/zinc/25/86/99/1073258699.db2.gz LWMNKTWPSVIUDQ-UHFFFAOYSA-N 0 0 432.593 -0.053 20 0 IBADRN Cc1cc(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)nc2c1c(=O)n(C)c(=O)n2C ZINC000553226510 1073258676 /nfs/dbraw/zinc/25/86/76/1073258676.db2.gz RFISXPWUNFXUOP-GFCCVEGCSA-N 0 0 429.399 -0.066 20 0 IBADRN Cc1cc(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)nc2c1c(=O)n(C)c(=O)n2C ZINC000553226511 1073258769 /nfs/dbraw/zinc/25/87/69/1073258769.db2.gz RFISXPWUNFXUOP-LBPRGKRZSA-N 0 0 429.399 -0.066 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC(C)(C)S(=O)(=O)CC2)c(S(N)(=O)=O)c1 ZINC000553226618 1073258752 /nfs/dbraw/zinc/25/87/52/1073258752.db2.gz VUNJWZUNQCQOLK-UHFFFAOYSA-N 0 0 439.581 -0.012 20 0 IBADRN COCCN(Cc1scnc1C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553229957 1073258733 /nfs/dbraw/zinc/25/87/33/1073258733.db2.gz DDWKDTRSMNYUKY-XKLVTHTNSA-N 0 0 436.494 -0.144 20 0 IBADRN COc1ccc(NC(=O)CN2CCNC(=O)C2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000553230330 1073259175 /nfs/dbraw/zinc/25/91/75/1073259175.db2.gz XJRZQMCRVPBIDI-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN CC(C)CN1C[C@@H]2CN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CCN2C1=O ZINC000553231168 1073258760 /nfs/dbraw/zinc/25/87/60/1073258760.db2.gz WEAJHEXXPHBTBN-BPAMBQHCSA-N 0 0 447.496 -0.980 20 0 IBADRN CC(C)CN1C[C@H]2CN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CCN2C1=O ZINC000553231169 1073258696 /nfs/dbraw/zinc/25/86/96/1073258696.db2.gz WEAJHEXXPHBTBN-BYMDKACISA-N 0 0 447.496 -0.980 20 0 IBADRN Cn1nccc1N1CCC[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553231243 1073259082 /nfs/dbraw/zinc/25/90/82/1073259082.db2.gz ZPNLLKQOTNKSQC-JCQVVMGJSA-N 0 0 430.469 -0.748 20 0 IBADRN Cn1nccc1N1CCC[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553231244 1073259125 /nfs/dbraw/zinc/25/91/25/1073259125.db2.gz ZPNLLKQOTNKSQC-NSDPQSHHSA-N 0 0 430.469 -0.748 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000553231266 1073259067 /nfs/dbraw/zinc/25/90/67/1073259067.db2.gz AYWHFLCRYYCEJE-KRWDZBQOSA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000553231267 1073259090 /nfs/dbraw/zinc/25/90/90/1073259090.db2.gz AYWHFLCRYYCEJE-QGZVFWFLSA-N 0 0 430.571 -0.513 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)CC1 ZINC000553235918 1073259744 /nfs/dbraw/zinc/25/97/44/1073259744.db2.gz GLMLIUYFGFEKCZ-UHFFFAOYSA-N 0 0 449.986 -0.663 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000553239189 1073258291 /nfs/dbraw/zinc/25/82/91/1073258291.db2.gz DZGICHNEOOIDQP-UHFFFAOYSA-N 0 0 438.550 -0.415 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000553239201 1073258352 /nfs/dbraw/zinc/25/83/52/1073258352.db2.gz FPLHPSDTTJDOQY-UHFFFAOYSA-N 0 0 444.941 -0.379 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1C ZINC000553239392 1073258748 /nfs/dbraw/zinc/25/87/48/1073258748.db2.gz MWBLELBADFPYLP-UHFFFAOYSA-N 0 0 438.550 -0.415 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(Cc3ccsc3)CC2)[C@H](O)[C@@H]1O ZINC000553241922 1073259651 /nfs/dbraw/zinc/25/96/51/1073259651.db2.gz BDGJDCZITRMXDQ-NVQRDWNXSA-N 0 0 432.506 -0.179 20 0 IBADRN CCCNc1nnc(Sc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)s1 ZINC000553246005 1073259577 /nfs/dbraw/zinc/25/95/77/1073259577.db2.gz GNZFPZZTKGMCPG-QYVSTXNMSA-N 0 0 425.496 0.262 20 0 IBADRN Nc1nc(C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)nc(N2CCOCC2)n1 ZINC000553246046 1073364470 /nfs/dbraw/zinc/36/44/70/1073364470.db2.gz IGJYQTCFYHIEPV-UHFFFAOYSA-N 0 0 440.508 -0.635 20 0 IBADRN COC(=O)c1ccc(CCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000553246294 1073260139 /nfs/dbraw/zinc/26/01/39/1073260139.db2.gz PEGLXWKPJLJMSX-NVQRDWNXSA-N 0 0 429.433 -0.121 20 0 IBADRN COC(=O)[C@@H](CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccc(F)cc1 ZINC000553246943 1073259671 /nfs/dbraw/zinc/25/96/71/1073259671.db2.gz WXMDAGWUYIICOQ-BPAMBQHCSA-N 0 0 447.423 -0.054 20 0 IBADRN COC(=O)[C@H](CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccc(F)cc1 ZINC000553246944 1073259562 /nfs/dbraw/zinc/25/95/62/1073259562.db2.gz WXMDAGWUYIICOQ-BYMDKACISA-N 0 0 447.423 -0.054 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)[C@@H](C)O)cc1 ZINC000553249035 1073260034 /nfs/dbraw/zinc/26/00/34/1073260034.db2.gz SEYLKYDKXMGERV-INMHGKMJSA-N 0 0 447.579 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)[C@H](C)O)cc1 ZINC000553249036 1073260187 /nfs/dbraw/zinc/26/01/87/1073260187.db2.gz SEYLKYDKXMGERV-UXLLHSPISA-N 0 0 447.579 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)[C@@H](C)O)cc1 ZINC000553249037 1073260047 /nfs/dbraw/zinc/26/00/47/1073260047.db2.gz SEYLKYDKXMGERV-VYDXJSESSA-N 0 0 447.579 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)[C@H](C)O)cc1 ZINC000553249038 1073260043 /nfs/dbraw/zinc/26/00/43/1073260043.db2.gz SEYLKYDKXMGERV-ZOBUZTSGSA-N 0 0 447.579 -0.047 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000553249113 1073260088 /nfs/dbraw/zinc/26/00/88/1073260088.db2.gz VMPKNENOVUFEFV-CXAGYDPISA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000553249114 1073260150 /nfs/dbraw/zinc/26/01/50/1073260150.db2.gz VMPKNENOVUFEFV-DYVFJYSZSA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000553249115 1073260063 /nfs/dbraw/zinc/26/00/63/1073260063.db2.gz VMPKNENOVUFEFV-GUYCJALGSA-N 0 0 430.527 -0.468 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000553249116 1073260053 /nfs/dbraw/zinc/26/00/53/1073260053.db2.gz VMPKNENOVUFEFV-SUMWQHHRSA-N 0 0 430.527 -0.468 20 0 IBADRN CNC(=O)CN1CCN(c2cc(C3CC3)nc(N3CCN(CC(=O)NC)CC3)n2)CC1 ZINC000553251700 1073260070 /nfs/dbraw/zinc/26/00/70/1073260070.db2.gz FYWNEXHKBXYHNE-UHFFFAOYSA-N 0 0 430.557 -0.910 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1F ZINC000553252781 1073260024 /nfs/dbraw/zinc/26/00/24/1073260024.db2.gz AZMJIBDUWHVFHS-UHFFFAOYSA-N 0 0 433.527 -0.012 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000553261850 1073261949 /nfs/dbraw/zinc/26/19/49/1073261949.db2.gz WACSSJMILKFGRO-UHFFFAOYSA-N 0 0 434.449 -0.626 20 0 IBADRN COC(=O)c1cnc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)nc1 ZINC000553262589 1073262485 /nfs/dbraw/zinc/26/24/85/1073262485.db2.gz CMOFUCQYCBMZNZ-UHFFFAOYSA-N 0 0 441.491 -0.579 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3cccc(O)c3)CC2)[C@H](O)[C@@H]1O ZINC000553262631 1073262000 /nfs/dbraw/zinc/26/20/00/1073262000.db2.gz FQIJWSALTDHNMB-WVSUBDOOSA-N 0 0 428.449 -0.530 20 0 IBADRN COC(=O)c1cncnc1N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000553263890 1073262582 /nfs/dbraw/zinc/26/25/82/1073262582.db2.gz QIHAESRMDGJUDC-UHFFFAOYSA-N 0 0 441.491 -0.579 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000553266284 1073260473 /nfs/dbraw/zinc/26/04/73/1073260473.db2.gz XOTSRFPFPXSGLA-UHFFFAOYSA-N 0 0 444.988 -0.027 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)c3nc(N)nc(N4CCOCC4)n3)CC2)cc1 ZINC000553271170 1073360998 /nfs/dbraw/zinc/36/09/98/1073360998.db2.gz HMPBODREVAIQDX-UHFFFAOYSA-N 0 0 427.465 -0.103 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCCC(C)(C)C)CC2)CC1 ZINC000553273422 1073260953 /nfs/dbraw/zinc/26/09/53/1073260953.db2.gz LUIGDCAEFNFHFV-UHFFFAOYSA-N 0 0 431.603 -0.045 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N[C@@H](C)[C@@H](C)N1CCOCC1 ZINC000553277301 1073261723 /nfs/dbraw/zinc/26/17/23/1073261723.db2.gz HNFPODMPJMNUHR-CEXWTWQISA-N 0 0 432.569 -0.066 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N[C@H](C)[C@@H](C)N1CCOCC1 ZINC000553277302 1073261682 /nfs/dbraw/zinc/26/16/82/1073261682.db2.gz HNFPODMPJMNUHR-GUDVDZBRSA-N 0 0 432.569 -0.066 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N[C@H](C)[C@H](C)N1CCOCC1 ZINC000553277303 1073261745 /nfs/dbraw/zinc/26/17/45/1073261745.db2.gz HNFPODMPJMNUHR-QRVBRYPASA-N 0 0 432.569 -0.066 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N[C@@H](C)[C@H](C)N1CCOCC1 ZINC000553277304 1073261500 /nfs/dbraw/zinc/26/15/00/1073261500.db2.gz HNFPODMPJMNUHR-QYZOEREBSA-N 0 0 432.569 -0.066 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)[C@H](C)N1CCOCC1 ZINC000553277516 1073261437 /nfs/dbraw/zinc/26/14/37/1073261437.db2.gz NZQRORIEMIRJHO-MSOLQXFVSA-N 0 0 431.537 -0.005 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)[C@@H](C)N1CCOCC1 ZINC000553277517 1073261754 /nfs/dbraw/zinc/26/17/54/1073261754.db2.gz NZQRORIEMIRJHO-QZTJIDSGSA-N 0 0 431.537 -0.005 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)[C@H](C)N1CCOCC1 ZINC000553277518 1073261452 /nfs/dbraw/zinc/26/14/52/1073261452.db2.gz NZQRORIEMIRJHO-ROUUACIJSA-N 0 0 431.537 -0.005 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)N1CCOCC1 ZINC000553277519 1073261758 /nfs/dbraw/zinc/26/17/58/1073261758.db2.gz NZQRORIEMIRJHO-ZWKOTPCHSA-N 0 0 431.537 -0.005 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCCC3=O)CC2)no1 ZINC000553277866 1073329036 /nfs/dbraw/zinc/32/90/36/1073329036.db2.gz ZGBLMYYXHHYCBL-UHFFFAOYSA-N 0 0 434.497 -0.415 20 0 IBADRN Cc1nc2nc(Sc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)nn2c(C)c1C ZINC000553278496 1073262087 /nfs/dbraw/zinc/26/20/87/1073262087.db2.gz UVOSMOLDIUABOM-XNIJJKJLSA-N 0 0 444.477 -0.048 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H](C)N1CCOCC1 ZINC000553278889 1073261534 /nfs/dbraw/zinc/26/15/34/1073261534.db2.gz HRYIFHFADJZTBD-CABCVRRESA-N 0 0 430.513 -0.763 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H](C)N1CCOCC1 ZINC000553278890 1073261696 /nfs/dbraw/zinc/26/16/96/1073261696.db2.gz HRYIFHFADJZTBD-GJZGRUSLSA-N 0 0 430.513 -0.763 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@@H](C)N1CCOCC1 ZINC000553278891 1073261419 /nfs/dbraw/zinc/26/14/19/1073261419.db2.gz HRYIFHFADJZTBD-HUUCEWRRSA-N 0 0 430.513 -0.763 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1CCOCC1 ZINC000553278892 1073262057 /nfs/dbraw/zinc/26/20/57/1073262057.db2.gz HRYIFHFADJZTBD-LSDHHAIUSA-N 0 0 430.513 -0.763 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCCN3CCCCC3=O)no2)cn1 ZINC000553279424 1073261896 /nfs/dbraw/zinc/26/18/96/1073261896.db2.gz VOFGPHKIAZPDMY-HNNXBMFYSA-N 0 0 445.480 -0.111 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCCN3CCCCC3=O)no2)cn1 ZINC000553279425 1073262095 /nfs/dbraw/zinc/26/20/95/1073262095.db2.gz VOFGPHKIAZPDMY-OAHLLOKOSA-N 0 0 445.480 -0.111 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@H](C)N1CCOCC1 ZINC000553279534 1073262109 /nfs/dbraw/zinc/26/21/09/1073262109.db2.gz YLFDSNKPRDCONE-CVEARBPZSA-N 0 0 439.538 -0.860 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@H](C)N1CCOCC1 ZINC000553279535 1073261991 /nfs/dbraw/zinc/26/19/91/1073261991.db2.gz YLFDSNKPRDCONE-HOTGVXAUSA-N 0 0 439.538 -0.860 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@@H](C)N1CCOCC1 ZINC000553279536 1073262034 /nfs/dbraw/zinc/26/20/34/1073262034.db2.gz YLFDSNKPRDCONE-HZPDHXFCSA-N 0 0 439.538 -0.860 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCOCC1 ZINC000553279537 1073261963 /nfs/dbraw/zinc/26/19/63/1073261963.db2.gz YLFDSNKPRDCONE-JKSUJKDBSA-N 0 0 439.538 -0.860 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCCCC2=O)CCN1c1nccn2cnnc12 ZINC000553280636 1073262049 /nfs/dbraw/zinc/26/20/49/1073262049.db2.gz JOEPBKAOEIILPS-HNNXBMFYSA-N 0 0 428.497 -0.320 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCCCC2=O)CCN1c1nccn2cnnc12 ZINC000553280637 1073262077 /nfs/dbraw/zinc/26/20/77/1073262077.db2.gz JOEPBKAOEIILPS-OAHLLOKOSA-N 0 0 428.497 -0.320 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000553282027 1073321548 /nfs/dbraw/zinc/32/15/48/1073321548.db2.gz IUEBVUAVECDZNE-UHFFFAOYSA-N 0 0 427.259 -0.121 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1Cl ZINC000553284413 1073262847 /nfs/dbraw/zinc/26/28/47/1073262847.db2.gz VSCKJAYBGBECEA-UHFFFAOYSA-N 0 0 425.294 -0.360 20 0 IBADRN O=C(NC1CCC2(CC1)NC(=O)NC2=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000553285796 1073262801 /nfs/dbraw/zinc/26/28/01/1073262801.db2.gz VVXYNMVKAOUWRB-UHFFFAOYSA-N 0 0 449.489 -0.942 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)CC2)c1 ZINC000553286144 1073262941 /nfs/dbraw/zinc/26/29/41/1073262941.db2.gz IHZFPTURRZJCRU-KRWDZBQOSA-N 0 0 440.504 -0.060 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)CC2)c1 ZINC000553286145 1073262913 /nfs/dbraw/zinc/26/29/13/1073262913.db2.gz IHZFPTURRZJCRU-QGZVFWFLSA-N 0 0 440.504 -0.060 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)CC2)n1 ZINC000553286206 1073262896 /nfs/dbraw/zinc/26/28/96/1073262896.db2.gz JTOYKENDQBAFHU-HNNXBMFYSA-N 0 0 428.497 -0.055 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)CC2)n1 ZINC000553286207 1073262823 /nfs/dbraw/zinc/26/28/23/1073262823.db2.gz JTOYKENDQBAFHU-OAHLLOKOSA-N 0 0 428.497 -0.055 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc3ccccc3)CC1)CC2 ZINC000553286252 1073262935 /nfs/dbraw/zinc/26/29/35/1073262935.db2.gz KZONDKNAHUOOJT-KRWDZBQOSA-N 0 0 446.533 -0.308 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc3ccccc3)CC1)CC2 ZINC000553286253 1073262832 /nfs/dbraw/zinc/26/28/32/1073262832.db2.gz KZONDKNAHUOOJT-QGZVFWFLSA-N 0 0 446.533 -0.308 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)CC2)n1 ZINC000553286477 1073262921 /nfs/dbraw/zinc/26/29/21/1073262921.db2.gz RXBWUXPGNYWFNH-DZGCQCFKSA-N 0 0 446.512 -0.158 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)CC2)n1 ZINC000553286478 1073263356 /nfs/dbraw/zinc/26/33/56/1073263356.db2.gz RXBWUXPGNYWFNH-HIFRSBDPSA-N 0 0 446.512 -0.158 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)CC2)n1 ZINC000553286479 1073263304 /nfs/dbraw/zinc/26/33/04/1073263304.db2.gz RXBWUXPGNYWFNH-UKRRQHHQSA-N 0 0 446.512 -0.158 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)CC2)n1 ZINC000553286480 1073263417 /nfs/dbraw/zinc/26/34/17/1073263417.db2.gz RXBWUXPGNYWFNH-ZFWWWQNUSA-N 0 0 446.512 -0.158 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc3ccccc3)CC1)CC2 ZINC000553286519 1073263426 /nfs/dbraw/zinc/26/34/26/1073263426.db2.gz SXYUABQCNDECTL-KRWDZBQOSA-N 0 0 425.493 -0.200 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)Nc3ccccc3)CC1)CC2 ZINC000553286520 1073263308 /nfs/dbraw/zinc/26/33/08/1073263308.db2.gz SXYUABQCNDECTL-QGZVFWFLSA-N 0 0 425.493 -0.200 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)CC2)s1 ZINC000553286540 1073263282 /nfs/dbraw/zinc/26/32/82/1073263282.db2.gz UDQHDSTWYLFHMS-CYBMUJFWSA-N 0 0 447.521 0.000 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)CC2)s1 ZINC000553286541 1073263363 /nfs/dbraw/zinc/26/33/63/1073263363.db2.gz UDQHDSTWYLFHMS-ZDUSSCGKSA-N 0 0 447.521 0.000 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(C(=O)COc3ccc(F)cc3)CC1)CC2 ZINC000553287405 1073263332 /nfs/dbraw/zinc/26/33/32/1073263332.db2.gz OFUOPXJUNBACRB-INIZCTEOSA-N 0 0 444.467 -0.094 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(C(=O)COc3ccc(F)cc3)CC1)CC2 ZINC000553287406 1073263322 /nfs/dbraw/zinc/26/33/22/1073263322.db2.gz OFUOPXJUNBACRB-MRXNPFEDSA-N 0 0 444.467 -0.094 20 0 IBADRN O=C(NCCOCc1ccccc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000553291585 1073262439 /nfs/dbraw/zinc/26/24/39/1073262439.db2.gz ASUSURQZJXZDGD-UHFFFAOYSA-N 0 0 436.490 -0.018 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@@H](CO)C(N)=O)c1 ZINC000553303277 1073263737 /nfs/dbraw/zinc/26/37/37/1073263737.db2.gz FXQJOMJYPBMEIM-HNNXBMFYSA-N 0 0 428.511 -0.870 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N(C)CCC(N)=O)c(=O)n2Cc1ccccc1 ZINC000553305847 1073264157 /nfs/dbraw/zinc/26/41/57/1073264157.db2.gz GBQZHDPUXBZUNZ-UHFFFAOYSA-N 0 0 442.476 -0.612 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCC(NS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000553306252 1073264188 /nfs/dbraw/zinc/26/41/88/1073264188.db2.gz GCPFFAGBGYMACB-UHFFFAOYSA-N 0 0 436.531 -0.167 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000553307097 1073264102 /nfs/dbraw/zinc/26/41/02/1073264102.db2.gz XZUVFHYMNWWVSE-UHFFFAOYSA-N 0 0 449.942 -0.245 20 0 IBADRN CCN(Cc1ccccc1)C(=O)CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553307188 1073264110 /nfs/dbraw/zinc/26/41/10/1073264110.db2.gz CNINBZGRUKUCJH-HAXDFEGKSA-N 0 0 442.476 -0.102 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N[C@@H]2CCCN(S(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000553307637 1073264152 /nfs/dbraw/zinc/26/41/52/1073264152.db2.gz ZQMCHCIIEKZZNT-LLVKDONJSA-N 0 0 440.569 -0.580 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N[C@H]2CCCN(S(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000553307638 1073264138 /nfs/dbraw/zinc/26/41/38/1073264138.db2.gz ZQMCHCIIEKZZNT-NSHDSACASA-N 0 0 440.569 -0.580 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCC(CC(=O)N3CCN(C)CC3)CC1)CC2 ZINC000553308929 1073264505 /nfs/dbraw/zinc/26/45/05/1073264505.db2.gz DEZNAGIUMPMQQH-KRWDZBQOSA-N 0 0 431.541 -0.580 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC(CC(=O)N3CCN(C)CC3)CC1)CC2 ZINC000553308930 1073264543 /nfs/dbraw/zinc/26/45/43/1073264543.db2.gz DEZNAGIUMPMQQH-QGZVFWFLSA-N 0 0 431.541 -0.580 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000553309210 1073264126 /nfs/dbraw/zinc/26/41/26/1073264126.db2.gz WGBXIRYEUXNMQL-SCFUHWHPSA-N 0 0 435.485 -0.978 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N[C@@H](CO)C(N)=O)n2CC ZINC000553311145 1073264480 /nfs/dbraw/zinc/26/44/80/1073264480.db2.gz VDNDFUGLZZTLOS-HNNXBMFYSA-N 0 0 439.538 -0.018 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c3ccccc3)CC1)CC2 ZINC000553311788 1073264418 /nfs/dbraw/zinc/26/44/18/1073264418.db2.gz LVWJCJWWRSUVPB-KRWDZBQOSA-N 0 0 439.520 -0.127 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c3ccccc3)CC1)CC2 ZINC000553311789 1073264534 /nfs/dbraw/zinc/26/45/34/1073264534.db2.gz LVWJCJWWRSUVPB-QGZVFWFLSA-N 0 0 439.520 -0.127 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N(c4ccccc4)C3)cnc2n(C)c1=O ZINC000553313418 1073263379 /nfs/dbraw/zinc/26/33/79/1073263379.db2.gz KZXBGNOXHFOIBS-CYBMUJFWSA-N 0 0 436.428 -0.508 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CC(=O)N(c4ccccc4)C3)cnc2n(C)c1=O ZINC000553313419 1073263339 /nfs/dbraw/zinc/26/33/39/1073263339.db2.gz KZXBGNOXHFOIBS-ZDUSSCGKSA-N 0 0 436.428 -0.508 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(CC(N)=O)CC1 ZINC000553316711 1073263688 /nfs/dbraw/zinc/26/36/88/1073263688.db2.gz WSCSTBPIZJZBKI-UHFFFAOYSA-N 0 0 426.543 -0.778 20 0 IBADRN C[C@H](Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(=O)NCc1ccccc1 ZINC000553321969 1073265647 /nfs/dbraw/zinc/26/56/47/1073265647.db2.gz QYIDIPULFIJUHU-FKMNLVFWSA-N 0 0 428.449 -0.445 20 0 IBADRN C[C@@H](Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(=O)NCc1ccccc1 ZINC000553321970 1073265632 /nfs/dbraw/zinc/26/56/32/1073265632.db2.gz QYIDIPULFIJUHU-JSKICNKESA-N 0 0 428.449 -0.445 20 0 IBADRN CC(C)(C)c1csc(CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n1 ZINC000553325347 1073265692 /nfs/dbraw/zinc/26/56/92/1073265692.db2.gz LIONQVGLLVKLKX-UHFFFAOYSA-N 0 0 434.526 -0.543 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCN(c2nccs2)CC1 ZINC000553325404 1073264570 /nfs/dbraw/zinc/26/45/70/1073264570.db2.gz NMYQQLVAGLREBR-UHFFFAOYSA-N 0 0 447.608 -0.323 20 0 IBADRN CCS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000553326588 1073264470 /nfs/dbraw/zinc/26/44/70/1073264470.db2.gz MOZZFTJTWYAPMV-UHFFFAOYSA-N 0 0 440.569 -0.944 20 0 IBADRN CCC[C@@H](C)NC(=O)[C@@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553326625 1073264522 /nfs/dbraw/zinc/26/45/22/1073264522.db2.gz NVUUMPZRALIFRG-BUXTXKTLSA-N 0 0 448.524 -0.041 20 0 IBADRN CCC[C@H](C)NC(=O)[C@@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553326629 1073264586 /nfs/dbraw/zinc/26/45/86/1073264586.db2.gz NVUUMPZRALIFRG-HLGREYGBSA-N 0 0 448.524 -0.041 20 0 IBADRN CCC[C@@H](C)NC(=O)[C@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553326630 1073264431 /nfs/dbraw/zinc/26/44/31/1073264431.db2.gz NVUUMPZRALIFRG-HSGRXQNRSA-N 0 0 448.524 -0.041 20 0 IBADRN CCC[C@H](C)NC(=O)[C@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553326631 1073264538 /nfs/dbraw/zinc/26/45/38/1073264538.db2.gz NVUUMPZRALIFRG-QJBMDGQCSA-N 0 0 448.524 -0.041 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCO[C@H](c2cccc(O)c2)C1 ZINC000553327017 1073264576 /nfs/dbraw/zinc/26/45/76/1073264576.db2.gz KLYWVBPODQRXLB-INIZCTEOSA-N 0 0 443.547 -0.213 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCO[C@@H](c2cccc(O)c2)C1 ZINC000553327020 1073264601 /nfs/dbraw/zinc/26/46/01/1073264601.db2.gz KLYWVBPODQRXLB-MRXNPFEDSA-N 0 0 443.547 -0.213 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553327036 1073264593 /nfs/dbraw/zinc/26/45/93/1073264593.db2.gz WBZKXUDEVYXBST-AEVYOOLXSA-N 0 0 446.439 -0.652 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CCN1CC(F)F ZINC000553328273 1073264496 /nfs/dbraw/zinc/26/44/96/1073264496.db2.gz KQVDTEHYBMTQLK-CYBMUJFWSA-N 0 0 442.554 -0.331 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CCN1CC(F)F ZINC000553328274 1073264462 /nfs/dbraw/zinc/26/44/62/1073264462.db2.gz KQVDTEHYBMTQLK-ZDUSSCGKSA-N 0 0 442.554 -0.331 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000553328469 1073264804 /nfs/dbraw/zinc/26/48/04/1073264804.db2.gz SDEOYBJZMXOBNW-UHFFFAOYSA-N 0 0 441.579 -0.467 20 0 IBADRN Cc1csc([C@H]2COCCN2C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n1 ZINC000553328626 1073264869 /nfs/dbraw/zinc/26/48/69/1073264869.db2.gz ZEMLJLFSGMTCMS-CYBMUJFWSA-N 0 0 448.592 -0.154 20 0 IBADRN Cc1csc([C@@H]2COCCN2C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n1 ZINC000553328627 1073264901 /nfs/dbraw/zinc/26/49/01/1073264901.db2.gz ZEMLJLFSGMTCMS-ZDUSSCGKSA-N 0 0 448.592 -0.154 20 0 IBADRN COc1cc(CNC(=O)c2nc(N)nc(N3CCOCC3)n2)ccc1OCC(=O)N(C)C ZINC000553328869 1073359673 /nfs/dbraw/zinc/35/96/73/1073359673.db2.gz LBBYZCXBRIWRHQ-UHFFFAOYSA-N 0 0 445.480 -0.304 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2cccs2)CC1 ZINC000553330574 1073264816 /nfs/dbraw/zinc/26/48/16/1073264816.db2.gz NFWBTKVEOOZRSC-UHFFFAOYSA-N 0 0 432.593 -0.109 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CNC(=O)c2cnc3ccccc3c2O)C1)C(N)=O ZINC000553331777 1073264886 /nfs/dbraw/zinc/26/48/86/1073264886.db2.gz WNOOKGXIJYEZJM-UHFFFAOYSA-N 0 0 441.488 -0.123 20 0 IBADRN CCCCNC(=O)[C@@H]1CC[C@@H](C)N(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553331938 1073264856 /nfs/dbraw/zinc/26/48/56/1073264856.db2.gz DBMHUUKLIHWPDQ-BUXTXKTLSA-N 0 0 448.524 -0.041 20 0 IBADRN CCCCNC(=O)[C@@H]1CC[C@H](C)N(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553331939 1073264918 /nfs/dbraw/zinc/26/49/18/1073264918.db2.gz DBMHUUKLIHWPDQ-HLGREYGBSA-N 0 0 448.524 -0.041 20 0 IBADRN CCCCNC(=O)[C@H]1CC[C@@H](C)N(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553331940 1073264878 /nfs/dbraw/zinc/26/48/78/1073264878.db2.gz DBMHUUKLIHWPDQ-HSGRXQNRSA-N 0 0 448.524 -0.041 20 0 IBADRN CCCCNC(=O)[C@H]1CC[C@H](C)N(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000553331941 1073264747 /nfs/dbraw/zinc/26/47/47/1073264747.db2.gz DBMHUUKLIHWPDQ-QJBMDGQCSA-N 0 0 448.524 -0.041 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cc(C)c3c(n2)n(C)c(=O)n(C)c3=O)C1)C(N)=O ZINC000553334337 1073265253 /nfs/dbraw/zinc/26/52/53/1073265253.db2.gz YGERNUTZLQQQPU-UHFFFAOYSA-N 0 0 444.492 -0.827 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CCc2c(C)nc3nc(N)nn3c2C)C1)C(N)=O ZINC000553335942 1073359129 /nfs/dbraw/zinc/35/91/29/1073359129.db2.gz AXVDMBQFSSPTKJ-UHFFFAOYSA-N 0 0 430.513 -0.125 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CSc2nnnn2CC(F)(F)F)C1)C(N)=O ZINC000553337456 1073265140 /nfs/dbraw/zinc/26/51/40/1073265140.db2.gz KFMQCBLSHDPSPQ-UHFFFAOYSA-N 0 0 437.448 -0.054 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2ccc(OC)c(S(=O)(=O)NC)c2)C1)C(N)=O ZINC000553337667 1073265305 /nfs/dbraw/zinc/26/53/05/1073265305.db2.gz RIMLXTLQNJOMGH-UHFFFAOYSA-N 0 0 440.522 -0.164 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cn1 ZINC000553338868 1073331868 /nfs/dbraw/zinc/33/18/68/1073331868.db2.gz UIBBFFOPTHRGQY-UHFFFAOYSA-N 0 0 444.492 0.566 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCc2ccccc2N2CCOCC2)[C@H](O)[C@@H]1O ZINC000553339514 1073265672 /nfs/dbraw/zinc/26/56/72/1073265672.db2.gz KOEUCHVQMFLFGT-QTQZEZTPSA-N 0 0 442.476 -0.114 20 0 IBADRN C[C@H](NC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)c1cccc(S(N)(=O)=O)c1 ZINC000553339766 1073265557 /nfs/dbraw/zinc/26/55/57/1073265557.db2.gz LBVAGKMDLNMSED-GXTWGEPZSA-N 0 0 433.556 -0.035 20 0 IBADRN C[C@@H](NC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)c1cccc(S(N)(=O)=O)c1 ZINC000553339767 1073265662 /nfs/dbraw/zinc/26/56/62/1073265662.db2.gz LBVAGKMDLNMSED-TZMCWYRMSA-N 0 0 433.556 -0.035 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000553341818 1073266117 /nfs/dbraw/zinc/26/61/17/1073266117.db2.gz HHODTOZANLDSPI-DOMZBBRYSA-N 0 0 437.522 -0.166 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000553341819 1073265962 /nfs/dbraw/zinc/26/59/62/1073265962.db2.gz HHODTOZANLDSPI-IUODEOHRSA-N 0 0 437.522 -0.166 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000553341820 1073266022 /nfs/dbraw/zinc/26/60/22/1073266022.db2.gz HHODTOZANLDSPI-SWLSCSKDSA-N 0 0 437.522 -0.166 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000553341821 1073266072 /nfs/dbraw/zinc/26/60/72/1073266072.db2.gz HHODTOZANLDSPI-WFASDCNBSA-N 0 0 437.522 -0.166 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000553342017 1073265953 /nfs/dbraw/zinc/26/59/53/1073265953.db2.gz KLTTYGNPVCNDDI-CYBMUJFWSA-N 0 0 448.505 -0.619 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000553342018 1073265971 /nfs/dbraw/zinc/26/59/71/1073265971.db2.gz KLTTYGNPVCNDDI-ZDUSSCGKSA-N 0 0 448.505 -0.619 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000553342095 1073265668 /nfs/dbraw/zinc/26/56/68/1073265668.db2.gz IMHPUOROUIARLF-CQSZACIVSA-N 0 0 444.558 -0.189 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000553343534 1073266096 /nfs/dbraw/zinc/26/60/96/1073266096.db2.gz AMOHSSXYJWRWPD-HNNXBMFYSA-N 0 0 426.539 -0.095 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000553343535 1073266032 /nfs/dbraw/zinc/26/60/32/1073266032.db2.gz AMOHSSXYJWRWPD-OAHLLOKOSA-N 0 0 426.539 -0.095 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000553343983 1073266078 /nfs/dbraw/zinc/26/60/78/1073266078.db2.gz IBXNLSJRTFOKAE-AWEZNQCLSA-N 0 0 439.538 -0.948 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000553343984 1073266060 /nfs/dbraw/zinc/26/60/60/1073266060.db2.gz IBXNLSJRTFOKAE-CQSZACIVSA-N 0 0 439.538 -0.948 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)c1 ZINC000553344345 1073266045 /nfs/dbraw/zinc/26/60/45/1073266045.db2.gz UDSXQZPNZCLAKW-DOMZBBRYSA-N 0 0 431.536 -0.193 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)c1 ZINC000553344346 1073265993 /nfs/dbraw/zinc/26/59/93/1073265993.db2.gz UDSXQZPNZCLAKW-IUODEOHRSA-N 0 0 431.536 -0.193 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)c1 ZINC000553344347 1073266065 /nfs/dbraw/zinc/26/60/65/1073266065.db2.gz UDSXQZPNZCLAKW-SWLSCSKDSA-N 0 0 431.536 -0.193 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)c1 ZINC000553344348 1073266017 /nfs/dbraw/zinc/26/60/17/1073266017.db2.gz UDSXQZPNZCLAKW-WFASDCNBSA-N 0 0 431.536 -0.193 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCCC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000553344438 1073266431 /nfs/dbraw/zinc/26/64/31/1073266431.db2.gz WTSSKNGXPPZLSD-UHFFFAOYSA-N 0 0 429.518 -0.281 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000553345640 1073266442 /nfs/dbraw/zinc/26/64/42/1073266442.db2.gz SNHHBZPUNGYQGO-AWEZNQCLSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000553345641 1073266353 /nfs/dbraw/zinc/26/63/53/1073266353.db2.gz SNHHBZPUNGYQGO-CQSZACIVSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000553345880 1073266387 /nfs/dbraw/zinc/26/63/87/1073266387.db2.gz XIDGPEYHTHMUDH-CYBMUJFWSA-N 0 0 439.538 -0.820 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000553345882 1073266405 /nfs/dbraw/zinc/26/64/05/1073266405.db2.gz XIDGPEYHTHMUDH-ZDUSSCGKSA-N 0 0 439.538 -0.820 20 0 IBADRN Nc1nc(C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)nc(N2CCOCC2)n1 ZINC000553356178 1073364498 /nfs/dbraw/zinc/36/44/98/1073364498.db2.gz QPJUCKUMBCUSQM-UHFFFAOYSA-N 0 0 441.492 -0.080 20 0 IBADRN O=C(C[C@H](O)CC(=O)N1CCOC[C@H]1[C@H]1CCCO1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000553356947 1073266450 /nfs/dbraw/zinc/26/64/50/1073266450.db2.gz GOLUSJGYYCLYGC-BSHDOZJQSA-N 0 0 426.510 -0.060 20 0 IBADRN O=C(C[C@@H](O)CC(=O)N1CCOC[C@@H]1[C@@H]1CCCO1)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000553356956 1073266403 /nfs/dbraw/zinc/26/64/03/1073266403.db2.gz GOLUSJGYYCLYGC-NNIGNNQHSA-N 0 0 426.510 -0.060 20 0 IBADRN O=C(C[C@H](O)CC(=O)N1CCOC[C@H]1[C@@H]1CCCO1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000553356957 1073266363 /nfs/dbraw/zinc/26/63/63/1073266363.db2.gz GOLUSJGYYCLYGC-PJVZLEMVSA-N 0 0 426.510 -0.060 20 0 IBADRN O=C(CC(O)CC(=O)N1CCOC[C@@H]1[C@@H]1CCCO1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000553356958 1073266425 /nfs/dbraw/zinc/26/64/25/1073266425.db2.gz GOLUSJGYYCLYGC-YRXWBPOGSA-N 0 0 426.510 -0.060 20 0 IBADRN Cc1csc(CCCCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC000553361155 1073267143 /nfs/dbraw/zinc/26/71/43/1073267143.db2.gz QOKPLRHKVYOCJK-UHFFFAOYSA-N 0 0 449.537 -0.621 20 0 IBADRN CCS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)[C@H](C)C1 ZINC000553365489 1073266701 /nfs/dbraw/zinc/26/67/01/1073266701.db2.gz LGHCXDPHBDQEFU-OAHLLOKOSA-N 0 0 431.584 -0.122 20 0 IBADRN CC[C@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C[C@@H](C)S1(=O)=O ZINC000553365717 1073266730 /nfs/dbraw/zinc/26/67/30/1073266730.db2.gz ZVRPJJODTXXMBF-NEPJUHHUSA-N 0 0 439.581 -0.014 20 0 IBADRN CC[C@@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C[C@H](C)S1(=O)=O ZINC000553365718 1073266833 /nfs/dbraw/zinc/26/68/33/1073266833.db2.gz ZVRPJJODTXXMBF-NWDGAFQWSA-N 0 0 439.581 -0.014 20 0 IBADRN CC[C@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C[C@H](C)S1(=O)=O ZINC000553365719 1073266733 /nfs/dbraw/zinc/26/67/33/1073266733.db2.gz ZVRPJJODTXXMBF-RYUDHWBXSA-N 0 0 439.581 -0.014 20 0 IBADRN CC[C@@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C[C@@H](C)S1(=O)=O ZINC000553365720 1073266711 /nfs/dbraw/zinc/26/67/11/1073266711.db2.gz ZVRPJJODTXXMBF-VXGBXAGGSA-N 0 0 439.581 -0.014 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCCc2nc3c(s2)CCCC3)CC1 ZINC000553371343 1073266668 /nfs/dbraw/zinc/26/66/68/1073266668.db2.gz HCDDQZMGEVODMT-UHFFFAOYSA-N 0 0 446.537 -0.572 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCN(C2CC2)CC1 ZINC000553373488 1073267211 /nfs/dbraw/zinc/26/72/11/1073267211.db2.gz DFULENCMRYLLRA-UHFFFAOYSA-N 0 0 435.506 -0.948 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000553374076 1073266718 /nfs/dbraw/zinc/26/67/18/1073266718.db2.gz AIJJWBTWFYMQNG-AWEZNQCLSA-N 0 0 436.534 -0.021 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000553374077 1073266805 /nfs/dbraw/zinc/26/68/05/1073266805.db2.gz AIJJWBTWFYMQNG-CQSZACIVSA-N 0 0 436.534 -0.021 20 0 IBADRN O=C1Cc2ccccc2N1CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553376750 1073267243 /nfs/dbraw/zinc/26/72/43/1073267243.db2.gz YKQZXASETLNZIE-AEVYOOLXSA-N 0 0 426.433 -0.561 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCCCc2nnc3n2CCCCC3)[C@H](O)[C@@H]1O ZINC000553377840 1073267348 /nfs/dbraw/zinc/26/73/48/1073267348.db2.gz QXQUBMAUYUQMMT-QQRWZLOUSA-N 0 0 444.496 -0.200 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(c2cncc(N3CCC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000553382427 1073268291 /nfs/dbraw/zinc/26/82/91/1073268291.db2.gz QKKOHXUBAKJHAU-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2cncc(N3CCC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000553382431 1073268244 /nfs/dbraw/zinc/26/82/44/1073268244.db2.gz QKKOHXUBAKJHAU-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2cncc(N3CCC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000553382432 1073268140 /nfs/dbraw/zinc/26/81/40/1073268140.db2.gz QKKOHXUBAKJHAU-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN Nc1nc(C(=O)NCc2cccc(OCCN3CCOCC3)c2)nc(N2CCOCC2)n1 ZINC000553382725 1073268218 /nfs/dbraw/zinc/26/82/18/1073268218.db2.gz VUBQHFDWRRTGPD-UHFFFAOYSA-N 0 0 443.508 -0.069 20 0 IBADRN Cc1ccccc1OCCCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000553388304 1073267339 /nfs/dbraw/zinc/26/73/39/1073267339.db2.gz XEYXBWJSMWAVCS-UHFFFAOYSA-N 0 0 432.521 -0.117 20 0 IBADRN O=C(NCCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccc(F)cc1 ZINC000553390153 1073267232 /nfs/dbraw/zinc/26/72/32/1073267232.db2.gz RHSJVDYVJICOKU-KHTYJDQRSA-N 0 0 446.439 -0.191 20 0 IBADRN O=C1[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1c1ccccc1 ZINC000553391298 1073267378 /nfs/dbraw/zinc/26/73/78/1073267378.db2.gz RNIANAONZWFFBW-DBKKVMMFSA-N 0 0 426.433 -0.345 20 0 IBADRN O=C1[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1c1ccccc1 ZINC000553391299 1073267315 /nfs/dbraw/zinc/26/73/15/1073267315.db2.gz RNIANAONZWFFBW-WUMBASEESA-N 0 0 426.433 -0.345 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(CC(F)(F)F)C(=O)C2)c(S(N)(=O)=O)c1 ZINC000553392408 1073267253 /nfs/dbraw/zinc/26/72/53/1073267253.db2.gz TXOSOCBSCVZRAB-UHFFFAOYSA-N 0 0 444.457 -0.205 20 0 IBADRN CC(C)NC(=O)CN1CCN(CCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000553394695 1073267702 /nfs/dbraw/zinc/26/77/02/1073267702.db2.gz SQOARKMYAVSIRF-UHFFFAOYSA-N 0 0 439.582 -0.475 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@H](CS(C)(=O)=O)C2)c1 ZINC000553398063 1073267774 /nfs/dbraw/zinc/26/77/74/1073267774.db2.gz IUCLHSOKTSFJNW-AWEZNQCLSA-N 0 0 433.552 -0.228 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@@H](CS(C)(=O)=O)C2)c1 ZINC000553398064 1073267903 /nfs/dbraw/zinc/26/79/03/1073267903.db2.gz IUCLHSOKTSFJNW-CQSZACIVSA-N 0 0 433.552 -0.228 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2nnnc2Cc2ccccn2)CC1 ZINC000553399498 1073268175 /nfs/dbraw/zinc/26/81/75/1073268175.db2.gz UNJVRKBGYGVGTJ-UHFFFAOYSA-N 0 0 446.493 -0.203 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)COCC(=O)N1C[C@H](N2CCOCC2)C[C@@H]1C ZINC000553401106 1073268304 /nfs/dbraw/zinc/26/83/04/1073268304.db2.gz AKSANJPTKUTXDV-JVSBHGNQSA-N 0 0 438.569 -0.354 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)COCC(=O)N1C[C@@H](N2CCOCC2)C[C@H]1C ZINC000553401107 1073268211 /nfs/dbraw/zinc/26/82/11/1073268211.db2.gz AKSANJPTKUTXDV-WTGUMLROSA-N 0 0 438.569 -0.354 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)COCC(=O)N1C[C@@H](N2CCOCC2)C[C@@H]1C ZINC000553401108 1073268261 /nfs/dbraw/zinc/26/82/61/1073268261.db2.gz AKSANJPTKUTXDV-YRPNKDGESA-N 0 0 438.569 -0.354 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)COCC(=O)N1C[C@@H](N2CCOCC2)C[C@H]1C ZINC000553401109 1073268194 /nfs/dbraw/zinc/26/81/94/1073268194.db2.gz AKSANJPTKUTXDV-ZRNYENFQSA-N 0 0 438.569 -0.354 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000553401737 1073307095 /nfs/dbraw/zinc/30/70/95/1073307095.db2.gz XGQVVIXTVSPTQR-CABCVRRESA-N 0 0 430.509 0.312 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000553401738 1073268606 /nfs/dbraw/zinc/26/86/06/1073268606.db2.gz XGQVVIXTVSPTQR-GJZGRUSLSA-N 0 0 430.509 0.312 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000553401739 1073306218 /nfs/dbraw/zinc/30/62/18/1073306218.db2.gz XGQVVIXTVSPTQR-HUUCEWRRSA-N 0 0 430.509 0.312 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000553401740 1073314886 /nfs/dbraw/zinc/31/48/86/1073314886.db2.gz XGQVVIXTVSPTQR-LSDHHAIUSA-N 0 0 430.509 0.312 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCO[C@@]2(CCSC2)C1 ZINC000553403265 1073268657 /nfs/dbraw/zinc/26/86/57/1073268657.db2.gz GEPPSVMPOGWCCM-BBRMVZONSA-N 0 0 437.609 -0.348 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCO[C@@]2(CCSC2)C1 ZINC000553403266 1073268778 /nfs/dbraw/zinc/26/87/78/1073268778.db2.gz GEPPSVMPOGWCCM-CJNGLKHVSA-N 0 0 437.609 -0.348 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCO[C@]2(CCSC2)C1 ZINC000553403267 1073268667 /nfs/dbraw/zinc/26/86/67/1073268667.db2.gz GEPPSVMPOGWCCM-CZUORRHYSA-N 0 0 437.609 -0.348 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCO[C@]2(CCSC2)C1 ZINC000553403268 1073268759 /nfs/dbraw/zinc/26/87/59/1073268759.db2.gz GEPPSVMPOGWCCM-XJKSGUPXSA-N 0 0 437.609 -0.348 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccc(OC(F)F)cn1 ZINC000553403423 1073268799 /nfs/dbraw/zinc/26/87/99/1073268799.db2.gz MKMJPAUWPMNZSB-UHFFFAOYSA-N 0 0 438.478 -0.206 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553404889 1073269309 /nfs/dbraw/zinc/26/93/09/1073269309.db2.gz RTTCIDYWKOOYSZ-GFCCVEGCSA-N 0 0 431.584 -0.421 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553404890 1073269359 /nfs/dbraw/zinc/26/93/59/1073269359.db2.gz RTTCIDYWKOOYSZ-LBPRGKRZSA-N 0 0 431.584 -0.421 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCOCC2(CCOCC2)C1 ZINC000553404966 1073269334 /nfs/dbraw/zinc/26/93/34/1073269334.db2.gz VIMGEVLVBGQKAY-UHFFFAOYSA-N 0 0 435.568 -0.863 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@H]1n1ccnc1 ZINC000553405775 1073269299 /nfs/dbraw/zinc/26/92/99/1073269299.db2.gz ADKLXZSADWBUKA-CABCVRRESA-N 0 0 429.568 -0.213 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@H]1n1ccnc1 ZINC000553405776 1073269276 /nfs/dbraw/zinc/26/92/76/1073269276.db2.gz ADKLXZSADWBUKA-GJZGRUSLSA-N 0 0 429.568 -0.213 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@H]1n1ccnc1 ZINC000553405777 1073269370 /nfs/dbraw/zinc/26/93/70/1073269370.db2.gz ADKLXZSADWBUKA-HUUCEWRRSA-N 0 0 429.568 -0.213 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@H]1n1ccnc1 ZINC000553405778 1073269348 /nfs/dbraw/zinc/26/93/48/1073269348.db2.gz ADKLXZSADWBUKA-LSDHHAIUSA-N 0 0 429.568 -0.213 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C[C@@H](C)O2 ZINC000553405874 1073269345 /nfs/dbraw/zinc/26/93/45/1073269345.db2.gz DPFSLTSQNPZZNH-CKEIUWERSA-N 0 0 435.568 -0.724 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C[C@@H](C)O2 ZINC000553405875 1073269379 /nfs/dbraw/zinc/26/93/79/1073269379.db2.gz DPFSLTSQNPZZNH-CPUCHLNUSA-N 0 0 435.568 -0.724 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C[C@@H](C)O2 ZINC000553405876 1073269293 /nfs/dbraw/zinc/26/92/93/1073269293.db2.gz DPFSLTSQNPZZNH-JKIFEVAISA-N 0 0 435.568 -0.724 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C[C@@H](C)O2 ZINC000553405877 1073269342 /nfs/dbraw/zinc/26/93/42/1073269342.db2.gz DPFSLTSQNPZZNH-KEYYUXOJSA-N 0 0 435.568 -0.724 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000553406395 1073269234 /nfs/dbraw/zinc/26/92/34/1073269234.db2.gz YAFGSLCLGDWMDM-HNNXBMFYSA-N 0 0 448.611 -0.508 20 0 IBADRN CC(C)C(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000553406396 1073269364 /nfs/dbraw/zinc/26/93/64/1073269364.db2.gz YAFGSLCLGDWMDM-OAHLLOKOSA-N 0 0 448.611 -0.508 20 0 IBADRN CN(C[C@H]1COc2ccccc2O1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553407370 1073269220 /nfs/dbraw/zinc/26/92/20/1073269220.db2.gz IQNNWIBJGNKNED-AWEZNQCLSA-N 0 0 443.547 -0.220 20 0 IBADRN CN(C[C@@H]1COc2ccccc2O1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553407371 1073269245 /nfs/dbraw/zinc/26/92/45/1073269245.db2.gz IQNNWIBJGNKNED-CQSZACIVSA-N 0 0 443.547 -0.220 20 0 IBADRN O=C(NCCc1c[nH]c2ncccc12)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553407407 1073269627 /nfs/dbraw/zinc/26/96/27/1073269627.db2.gz JXXKTNFIPVBHRP-UHFFFAOYSA-N 0 0 425.536 -0.284 20 0 IBADRN CO[C@H](C)c1noc(CN(C)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n1 ZINC000553407440 1073269729 /nfs/dbraw/zinc/26/97/29/1073269729.db2.gz KTMGLUXHDZCUHK-LLVKDONJSA-N 0 0 435.528 -0.770 20 0 IBADRN CO[C@@H](C)c1noc(CN(C)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n1 ZINC000553407441 1073269587 /nfs/dbraw/zinc/26/95/87/1073269587.db2.gz KTMGLUXHDZCUHK-NSHDSACASA-N 0 0 435.528 -0.770 20 0 IBADRN O=C(NCCOc1ccc(F)cc1F)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553407789 1073269691 /nfs/dbraw/zinc/26/96/91/1073269691.db2.gz ZEIFYTIMQIZUSC-UHFFFAOYSA-N 0 0 437.490 -0.045 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(Cc3cnn(C)c3)CC2)c(S(N)(=O)=O)c1 ZINC000553407897 1073269636 /nfs/dbraw/zinc/26/96/36/1073269636.db2.gz DSIQVZFXROYGIQ-UHFFFAOYSA-N 0 0 442.567 -0.360 20 0 IBADRN COCC[C@@H](Cc1ccco1)NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553408378 1073269577 /nfs/dbraw/zinc/26/95/77/1073269577.db2.gz BNLDLXIWZBEIGK-AWEZNQCLSA-N 0 0 433.552 -0.162 20 0 IBADRN COCC[C@H](Cc1ccco1)NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553408379 1073269721 /nfs/dbraw/zinc/26/97/21/1073269721.db2.gz BNLDLXIWZBEIGK-CQSZACIVSA-N 0 0 433.552 -0.162 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCO[C@H](COCC2CC2)C1 ZINC000553408403 1073269569 /nfs/dbraw/zinc/26/95/69/1073269569.db2.gz CXDUPEKGUHFRPP-HNNXBMFYSA-N 0 0 435.568 -0.865 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCO[C@@H](COCC2CC2)C1 ZINC000553408404 1073269676 /nfs/dbraw/zinc/26/96/76/1073269676.db2.gz CXDUPEKGUHFRPP-OAHLLOKOSA-N 0 0 435.568 -0.865 20 0 IBADRN CCN(CC)C(=O)C1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000553408410 1073269643 /nfs/dbraw/zinc/26/96/43/1073269643.db2.gz DGEGBTPIYQYBNW-UHFFFAOYSA-N 0 0 448.611 -0.412 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1cnn(CC(F)(F)F)c1 ZINC000553408598 1073270053 /nfs/dbraw/zinc/27/00/53/1073270053.db2.gz MWUOFLHMMWEEFB-UHFFFAOYSA-N 0 0 443.473 -0.444 20 0 IBADRN Cc1ccc2[nH]c(CNC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)nc2c1 ZINC000553408751 1073270250 /nfs/dbraw/zinc/27/02/50/1073270250.db2.gz UIVWULNWAVOWCH-UHFFFAOYSA-N 0 0 425.536 -0.018 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCSC2(CCOCC2)C1 ZINC000553409486 1073270089 /nfs/dbraw/zinc/27/00/89/1073270089.db2.gz CGEFMRWMJUOAJN-UHFFFAOYSA-N 0 0 437.609 -0.394 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)ccc1OC ZINC000553409769 1073270165 /nfs/dbraw/zinc/27/01/65/1073270165.db2.gz IUPOTIDCLCGKCP-CYBMUJFWSA-N 0 0 448.567 -0.085 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)ccc1OC ZINC000553409773 1073270270 /nfs/dbraw/zinc/27/02/70/1073270270.db2.gz IUPOTIDCLCGKCP-ZDUSSCGKSA-N 0 0 448.567 -0.085 20 0 IBADRN CC(=O)N[C@H](C)C1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000553409867 1073270081 /nfs/dbraw/zinc/27/00/81/1073270081.db2.gz LYTDQSUTPBTJEN-CYBMUJFWSA-N 0 0 434.584 -0.756 20 0 IBADRN CC(=O)N[C@@H](C)C1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000553409868 1073270100 /nfs/dbraw/zinc/27/01/00/1073270100.db2.gz LYTDQSUTPBTJEN-ZDUSSCGKSA-N 0 0 434.584 -0.756 20 0 IBADRN CN(Cc1cn2c(n1)CCCC2)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553409928 1073270212 /nfs/dbraw/zinc/27/02/12/1073270212.db2.gz NARFPTDBGCZTRZ-UHFFFAOYSA-N 0 0 429.568 -0.327 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000553410547 1073270173 /nfs/dbraw/zinc/27/01/73/1073270173.db2.gz FGWZMKBVJFKMPY-DZGCQCFKSA-N 0 0 448.567 -0.127 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000553410548 1073270109 /nfs/dbraw/zinc/27/01/09/1073270109.db2.gz FGWZMKBVJFKMPY-HIFRSBDPSA-N 0 0 448.567 -0.127 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000553410549 1073270073 /nfs/dbraw/zinc/27/00/73/1073270073.db2.gz FGWZMKBVJFKMPY-UKRRQHHQSA-N 0 0 448.567 -0.127 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000553410550 1073270228 /nfs/dbraw/zinc/27/02/28/1073270228.db2.gz FGWZMKBVJFKMPY-ZFWWWQNUSA-N 0 0 448.567 -0.127 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@](C)(O)CN2CCOCC2)c(S(N)(=O)=O)c1 ZINC000553412958 1073270235 /nfs/dbraw/zinc/27/02/35/1073270235.db2.gz KWLJWXVHEHHIDE-INIZCTEOSA-N 0 0 436.556 -0.921 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@@](C)(O)CN2CCOCC2)c(S(N)(=O)=O)c1 ZINC000553412959 1073270197 /nfs/dbraw/zinc/27/01/97/1073270197.db2.gz KWLJWXVHEHHIDE-MRXNPFEDSA-N 0 0 436.556 -0.921 20 0 IBADRN COC(=O)[C@H](c1ccc(F)cc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000553414575 1073270276 /nfs/dbraw/zinc/27/02/76/1073270276.db2.gz DKTBMZGJGMQLHA-AEFFLSMTSA-N 0 0 427.498 -0.039 20 0 IBADRN COC(=O)[C@@H](c1ccc(F)cc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000553414576 1073270061 /nfs/dbraw/zinc/27/00/61/1073270061.db2.gz DKTBMZGJGMQLHA-FUHWJXTLSA-N 0 0 427.498 -0.039 20 0 IBADRN COC(=O)[C@@H](c1ccc(F)cc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000553414577 1073270263 /nfs/dbraw/zinc/27/02/63/1073270263.db2.gz DKTBMZGJGMQLHA-SJLPKXTDSA-N 0 0 427.498 -0.039 20 0 IBADRN COC(=O)[C@H](c1ccc(F)cc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000553414578 1073270702 /nfs/dbraw/zinc/27/07/02/1073270702.db2.gz DKTBMZGJGMQLHA-WMZOPIPTSA-N 0 0 427.498 -0.039 20 0 IBADRN Cc1c(C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553419380 1073268157 /nfs/dbraw/zinc/26/81/57/1073268157.db2.gz SRUGRRINMIRRQC-GXTWGEPZSA-N 0 0 437.522 -0.222 20 0 IBADRN Cc1c(C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553419381 1073268189 /nfs/dbraw/zinc/26/81/89/1073268189.db2.gz SRUGRRINMIRRQC-JSGCOSHPSA-N 0 0 437.522 -0.222 20 0 IBADRN Cc1c(C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553419382 1073268297 /nfs/dbraw/zinc/26/82/97/1073268297.db2.gz SRUGRRINMIRRQC-OCCSQVGLSA-N 0 0 437.522 -0.222 20 0 IBADRN Cc1c(C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553419383 1073268183 /nfs/dbraw/zinc/26/81/83/1073268183.db2.gz SRUGRRINMIRRQC-TZMCWYRMSA-N 0 0 437.522 -0.222 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000553419608 1073268748 /nfs/dbraw/zinc/26/87/48/1073268748.db2.gz ARQSJJYQAPUERA-GFCCVEGCSA-N 0 0 435.506 -0.373 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)C[C@@H]2NC(=O)NC2=O)c1 ZINC000553419749 1073268827 /nfs/dbraw/zinc/26/88/27/1073268827.db2.gz JKRUOAZNRADZGL-JTQLQIEISA-N 0 0 433.284 -0.250 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1 ZINC000553420014 1073268790 /nfs/dbraw/zinc/26/87/90/1073268790.db2.gz WRYNTKDZBNRLBQ-GFCCVEGCSA-N 0 0 430.508 -0.655 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000553421010 1073268818 /nfs/dbraw/zinc/26/88/18/1073268818.db2.gz PRGLIUBAELDVER-GXSJLCMTSA-N 0 0 437.316 -0.064 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000553421011 1073268735 /nfs/dbraw/zinc/26/87/35/1073268735.db2.gz PRGLIUBAELDVER-KOLCDFICSA-N 0 0 437.316 -0.064 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000553421012 1073268805 /nfs/dbraw/zinc/26/88/05/1073268805.db2.gz PRGLIUBAELDVER-MWLCHTKSSA-N 0 0 437.316 -0.064 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000553421013 1073268693 /nfs/dbraw/zinc/26/86/93/1073268693.db2.gz PRGLIUBAELDVER-ONGXEEELSA-N 0 0 437.316 -0.064 20 0 IBADRN CNS(=O)(=O)CC(=O)NCCNS(=O)(=O)c1cc(C)ccc1Br ZINC000553421118 1073268707 /nfs/dbraw/zinc/26/87/07/1073268707.db2.gz LZWMQAGHIVFTJG-UHFFFAOYSA-N 0 0 428.330 -0.299 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N(C)[C@@H]2COC[C@H]2O)c1OC ZINC000553421198 1073268670 /nfs/dbraw/zinc/26/86/70/1073268670.db2.gz RNWUIYSBGDXBEE-HUUCEWRRSA-N 0 0 430.479 -0.444 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000553421615 1073270930 /nfs/dbraw/zinc/27/09/30/1073270930.db2.gz WVZDTGLTTLKIOS-BRWVUGGUSA-N 0 0 430.571 -0.609 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000553421616 1073270962 /nfs/dbraw/zinc/27/09/62/1073270962.db2.gz WVZDTGLTTLKIOS-GVDBMIGSSA-N 0 0 430.571 -0.609 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000553421617 1073271093 /nfs/dbraw/zinc/27/10/93/1073271093.db2.gz WVZDTGLTTLKIOS-IXDOHACOSA-N 0 0 430.571 -0.609 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000553421618 1073271034 /nfs/dbraw/zinc/27/10/34/1073271034.db2.gz WVZDTGLTTLKIOS-YESZJQIVSA-N 0 0 430.571 -0.609 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)c1 ZINC000553422601 1073271116 /nfs/dbraw/zinc/27/11/16/1073271116.db2.gz JUKZOJQYXDPGMS-GXTWGEPZSA-N 0 0 436.556 -0.223 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)c1 ZINC000553422602 1073270902 /nfs/dbraw/zinc/27/09/02/1073270902.db2.gz JUKZOJQYXDPGMS-JSGCOSHPSA-N 0 0 436.556 -0.223 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)c1 ZINC000553422603 1073270978 /nfs/dbraw/zinc/27/09/78/1073270978.db2.gz JUKZOJQYXDPGMS-OCCSQVGLSA-N 0 0 436.556 -0.223 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)c1 ZINC000553422604 1073271086 /nfs/dbraw/zinc/27/10/86/1073271086.db2.gz JUKZOJQYXDPGMS-TZMCWYRMSA-N 0 0 436.556 -0.223 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000553423027 1073271011 /nfs/dbraw/zinc/27/10/11/1073271011.db2.gz UWPUKELULAIYGE-CABCVRRESA-N 0 0 436.542 -0.040 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000553423028 1073271075 /nfs/dbraw/zinc/27/10/75/1073271075.db2.gz UWPUKELULAIYGE-GJZGRUSLSA-N 0 0 436.542 -0.040 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000553423029 1073271051 /nfs/dbraw/zinc/27/10/51/1073271051.db2.gz UWPUKELULAIYGE-HUUCEWRRSA-N 0 0 436.542 -0.040 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000553423030 1073271123 /nfs/dbraw/zinc/27/11/23/1073271123.db2.gz UWPUKELULAIYGE-LSDHHAIUSA-N 0 0 436.542 -0.040 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000553424569 1073271020 /nfs/dbraw/zinc/27/10/20/1073271020.db2.gz IRWAVGHCMKTBKP-UHFFFAOYSA-N 0 0 435.524 -0.031 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)S(C)(=O)=O ZINC000553425827 1073270920 /nfs/dbraw/zinc/27/09/20/1073270920.db2.gz BAMBTBGCCBOSCE-HNNXBMFYSA-N 0 0 430.571 -0.624 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)S(C)(=O)=O ZINC000553425828 1073271587 /nfs/dbraw/zinc/27/15/87/1073271587.db2.gz BAMBTBGCCBOSCE-OAHLLOKOSA-N 0 0 430.571 -0.624 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000553428340 1073271595 /nfs/dbraw/zinc/27/15/95/1073271595.db2.gz ZRUUJLUFPDVSPX-UHFFFAOYSA-N 0 0 449.596 -0.192 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)CC2CCS(=O)(=O)CC2)CC1 ZINC000553429464 1073270648 /nfs/dbraw/zinc/27/06/48/1073270648.db2.gz FKUZUUFLMNZFLG-UHFFFAOYSA-N 0 0 446.613 -0.487 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)[C@@H](C)C1=O ZINC000553430699 1073270528 /nfs/dbraw/zinc/27/05/28/1073270528.db2.gz IYNQWZOBHPHDLP-KRWDZBQOSA-N 0 0 435.569 -0.094 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)[C@H](C)C1=O ZINC000553430700 1073270612 /nfs/dbraw/zinc/27/06/12/1073270612.db2.gz IYNQWZOBHPHDLP-QGZVFWFLSA-N 0 0 435.569 -0.094 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000553431220 1073270714 /nfs/dbraw/zinc/27/07/14/1073270714.db2.gz TYNSZKWPWZUGPV-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CCN1CC(C)(C)O ZINC000553431848 1073270684 /nfs/dbraw/zinc/27/06/84/1073270684.db2.gz DEZKPXIENFNTIK-HNNXBMFYSA-N 0 0 441.550 -0.111 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CCN1CC(C)(C)O ZINC000553431849 1073270582 /nfs/dbraw/zinc/27/05/82/1073270582.db2.gz DEZKPXIENFNTIK-OAHLLOKOSA-N 0 0 441.550 -0.111 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000553431873 1073270706 /nfs/dbraw/zinc/27/07/06/1073270706.db2.gz FAMOGMVHGVSBHD-UHFFFAOYSA-N 0 0 425.507 -0.040 20 0 IBADRN CC(=O)c1cn(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000553431933 1073270638 /nfs/dbraw/zinc/27/06/38/1073270638.db2.gz HLVAQVOVZNSIMG-UHFFFAOYSA-N 0 0 445.451 -0.328 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000553432016 1073270721 /nfs/dbraw/zinc/27/07/21/1073270721.db2.gz KOVWCBZTELVMMY-HNNXBMFYSA-N 0 0 427.523 -0.236 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000553432017 1073270711 /nfs/dbraw/zinc/27/07/11/1073270711.db2.gz KOVWCBZTELVMMY-OAHLLOKOSA-N 0 0 427.523 -0.236 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(C3CCOCC3)CC2)cc1 ZINC000553432153 1073270505 /nfs/dbraw/zinc/27/05/05/1073270505.db2.gz QESSSFOXYVAQPM-UHFFFAOYSA-N 0 0 439.534 -0.092 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)CC2=O)cn1 ZINC000553432311 1073270540 /nfs/dbraw/zinc/27/05/40/1073270540.db2.gz YUJZMRCBZMGUPS-UHFFFAOYSA-N 0 0 449.489 -0.806 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCOC3(CCOCC3)C2)cc1 ZINC000553432888 1073270665 /nfs/dbraw/zinc/27/06/65/1073270665.db2.gz ANYIZVWIIKIYFQ-UHFFFAOYSA-N 0 0 426.491 -0.007 20 0 IBADRN CCN(C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)[C@H](C)CS(C)(=O)=O ZINC000553433080 1073270677 /nfs/dbraw/zinc/27/06/77/1073270677.db2.gz KSILTCGDRAAFBD-CYBMUJFWSA-N 0 0 434.536 -0.133 20 0 IBADRN CCN(C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)[C@@H](C)CS(C)(=O)=O ZINC000553433081 1073270558 /nfs/dbraw/zinc/27/05/58/1073270558.db2.gz KSILTCGDRAAFBD-ZDUSSCGKSA-N 0 0 434.536 -0.133 20 0 IBADRN COC(=O)COc1cccc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000553435940 1073270625 /nfs/dbraw/zinc/27/06/25/1073270625.db2.gz UGMKYPKBMWOHOM-AEVYOOLXSA-N 0 0 445.432 -0.398 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)cc1 ZINC000553436231 1073270570 /nfs/dbraw/zinc/27/05/70/1073270570.db2.gz OIXJMHHTIWGQRJ-AWEZNQCLSA-N 0 0 433.508 -0.573 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)cc1 ZINC000553436232 1073270724 /nfs/dbraw/zinc/27/07/24/1073270724.db2.gz OIXJMHHTIWGQRJ-CQSZACIVSA-N 0 0 433.508 -0.573 20 0 IBADRN Cn1nncc1C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000553437165 1073270491 /nfs/dbraw/zinc/27/04/91/1073270491.db2.gz OWTWAMGSVDQJCZ-UHFFFAOYSA-N 0 0 436.494 -0.075 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)cc1 ZINC000553437779 1073271059 /nfs/dbraw/zinc/27/10/59/1073271059.db2.gz IITIEVANAYWODW-UHFFFAOYSA-N 0 0 430.508 -0.262 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)CC1CCS(=O)(=O)CC1 ZINC000553439278 1073271068 /nfs/dbraw/zinc/27/10/68/1073271068.db2.gz VPDZVWQQAAERMS-INIZCTEOSA-N 0 0 444.641 -0.028 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CC1CCS(=O)(=O)CC1 ZINC000553439279 1073270989 /nfs/dbraw/zinc/27/09/89/1073270989.db2.gz VPDZVWQQAAERMS-MRXNPFEDSA-N 0 0 444.641 -0.028 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC(Oc3ccccn3)CC2)[C@H](O)[C@@H]1O ZINC000553443421 1073271465 /nfs/dbraw/zinc/27/14/65/1073271465.db2.gz ADLQZFTUKDOBFZ-AEVYOOLXSA-N 0 0 428.449 -0.119 20 0 IBADRN COc1ccc([C@@H](CCO)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000553445699 1073271632 /nfs/dbraw/zinc/27/16/32/1073271632.db2.gz ZCFHSFGSPNQGBQ-CDUMDVBJSA-N 0 0 431.449 -0.018 20 0 IBADRN COc1ccc([C@H](CCO)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000553445700 1073271561 /nfs/dbraw/zinc/27/15/61/1073271561.db2.gz ZCFHSFGSPNQGBQ-SWQDORGXSA-N 0 0 431.449 -0.018 20 0 IBADRN CC1(c2ccccc2)CC(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000553451351 1073271400 /nfs/dbraw/zinc/27/14/00/1073271400.db2.gz GZZRVOZKXBNFNQ-UHFFFAOYSA-N 0 0 442.516 -0.248 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000553454660 1073271625 /nfs/dbraw/zinc/27/16/25/1073271625.db2.gz NOLWPMDUEOIBBB-UHFFFAOYSA-N 0 0 434.522 -0.124 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)c1 ZINC000553457813 1073271486 /nfs/dbraw/zinc/27/14/86/1073271486.db2.gz JXYNIGCAPUZDJG-UHFFFAOYSA-N 0 0 425.445 -0.005 20 0 IBADRN O=C(NCCC1(O)CCOCC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000553459362 1073271569 /nfs/dbraw/zinc/27/15/69/1073271569.db2.gz NJYLGDPCGWWGFJ-UHFFFAOYSA-N 0 0 441.554 -0.236 20 0 IBADRN COCCN1C(=O)N[C@@H]2CN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC[C@@H]21 ZINC000553459386 1073271610 /nfs/dbraw/zinc/27/16/10/1073271610.db2.gz NUSGEOOAAPCCMX-SJORKVTESA-N 0 0 432.529 -0.577 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000553465703 1073272062 /nfs/dbraw/zinc/27/20/62/1073272062.db2.gz ZAHJLQQLYCQENT-MRXNPFEDSA-N 0 0 440.574 -0.831 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000553470803 1073271927 /nfs/dbraw/zinc/27/19/27/1073271927.db2.gz RUOLGBQHEBGRSX-CQSZACIVSA-N 0 0 429.547 -0.659 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCCNS(=O)(=O)CC)cc1 ZINC000553481083 1073272615 /nfs/dbraw/zinc/27/26/15/1073272615.db2.gz JKAZETTVVDLGJN-UHFFFAOYSA-N 0 0 427.570 -0.017 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000553481379 1073272023 /nfs/dbraw/zinc/27/20/23/1073272023.db2.gz ODPGZPKPYYZDEA-UHFFFAOYSA-N 0 0 427.508 -0.127 20 0 IBADRN Cc1ccnc(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)c1 ZINC000553482826 1073272537 /nfs/dbraw/zinc/27/25/37/1073272537.db2.gz MHPXKNGUTWDVIE-AEVYOOLXSA-N 0 0 427.465 -0.532 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cn1 ZINC000553483157 1073272559 /nfs/dbraw/zinc/27/25/59/1073272559.db2.gz TUPILBLPLRMXMO-LSCFUAHRSA-N 0 0 447.452 -0.324 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@]2(N3CCOCC3)CCSC2)C1 ZINC000553484008 1073272632 /nfs/dbraw/zinc/27/26/32/1073272632.db2.gz KXJXEGLQBWKBRS-NVXWUHKLSA-N 0 0 435.616 -0.236 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@@]2(N3CCOCC3)CCSC2)C1 ZINC000553484010 1073272648 /nfs/dbraw/zinc/27/26/48/1073272648.db2.gz KXJXEGLQBWKBRS-WBVHZDCISA-N 0 0 435.616 -0.236 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1=O ZINC000553485278 1073272452 /nfs/dbraw/zinc/27/24/52/1073272452.db2.gz HTLKPJFRHRQZPS-GOSISDBHSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1=O ZINC000553485279 1073272491 /nfs/dbraw/zinc/27/24/91/1073272491.db2.gz HTLKPJFRHRQZPS-SFHVURJKSA-N 0 0 435.569 -0.047 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000553485443 1073272517 /nfs/dbraw/zinc/27/25/17/1073272517.db2.gz PBLFUSKCJFYRPL-KRWDZBQOSA-N 0 0 447.580 -0.046 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000553485444 1073272550 /nfs/dbraw/zinc/27/25/50/1073272550.db2.gz PBLFUSKCJFYRPL-QGZVFWFLSA-N 0 0 447.580 -0.046 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)n1C ZINC000553485698 1073273133 /nfs/dbraw/zinc/27/31/33/1073273133.db2.gz XEXRUQLAAIVXRG-UHFFFAOYSA-N 0 0 447.584 -0.057 20 0 IBADRN CNc1cc(N2CCC[C@H]2CNC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)ncn1 ZINC000553496040 1073272462 /nfs/dbraw/zinc/27/24/62/1073272462.db2.gz QETSPAUBJKPUEF-HNNXBMFYSA-N 0 0 427.513 -0.329 20 0 IBADRN CNc1cc(N2CCC[C@@H]2CNC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)ncn1 ZINC000553496043 1073272498 /nfs/dbraw/zinc/27/24/98/1073272498.db2.gz QETSPAUBJKPUEF-OAHLLOKOSA-N 0 0 427.513 -0.329 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC000553499731 1073274423 /nfs/dbraw/zinc/27/44/23/1073274423.db2.gz ISIPSVGWPKXAPV-KRWDZBQOSA-N 0 0 444.536 -0.131 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC000553499732 1073274405 /nfs/dbraw/zinc/27/44/05/1073274405.db2.gz ISIPSVGWPKXAPV-QGZVFWFLSA-N 0 0 444.536 -0.131 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)CC1 ZINC000553501259 1073274371 /nfs/dbraw/zinc/27/43/71/1073274371.db2.gz FXDYISAPUURLJG-UHFFFAOYSA-N 0 0 428.493 -0.767 20 0 IBADRN O=C1[C@H](Nc2cc(N[C@H]3CCCN(CCO)C3=O)c3ccncc3n2)CCCN1CCO ZINC000553501276 1073274507 /nfs/dbraw/zinc/27/45/07/1073274507.db2.gz GSXLYJYLKBLTSS-DLBZAZTESA-N 0 0 442.520 0.420 20 0 IBADRN O=C1[C@H](Nc2cc(N[C@H]3CCCN(CCO)C3=O)nc3cnccc32)CCCN1CCO ZINC000553501279 1073274546 /nfs/dbraw/zinc/27/45/46/1073274546.db2.gz GSXLYJYLKBLTSS-SJORKVTESA-N 0 0 442.520 0.420 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000553502417 1073274410 /nfs/dbraw/zinc/27/44/10/1073274410.db2.gz UDAKZFSWLQJTMV-UHFFFAOYSA-N 0 0 432.525 -0.810 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000553502814 1073273030 /nfs/dbraw/zinc/27/30/30/1073273030.db2.gz XTVIMSLMRYFFJY-UHFFFAOYSA-N 0 0 428.537 -0.046 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000553504594 1073273727 /nfs/dbraw/zinc/27/37/27/1073273727.db2.gz WIGBMMSIUIYAOV-DLBZAZTESA-N 0 0 442.520 -0.331 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)CC1 ZINC000553504596 1073273578 /nfs/dbraw/zinc/27/35/78/1073273578.db2.gz WIGBMMSIUIYAOV-IAGOWNOFSA-N 0 0 442.520 -0.331 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000553504598 1073274056 /nfs/dbraw/zinc/27/40/56/1073274056.db2.gz WIGBMMSIUIYAOV-IRXDYDNUSA-N 0 0 442.520 -0.331 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)CC1 ZINC000553504600 1073274037 /nfs/dbraw/zinc/27/40/37/1073274037.db2.gz WIGBMMSIUIYAOV-SJORKVTESA-N 0 0 442.520 -0.331 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CCSC[C@@H]2CCO)CC1 ZINC000553505314 1073273620 /nfs/dbraw/zinc/27/36/20/1073273620.db2.gz YAKNZPCMERTBLX-INIZCTEOSA-N 0 0 435.550 -0.274 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CCSC[C@H]2CCO)CC1 ZINC000553505315 1073273629 /nfs/dbraw/zinc/27/36/29/1073273629.db2.gz YAKNZPCMERTBLX-MRXNPFEDSA-N 0 0 435.550 -0.274 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000553508673 1073274016 /nfs/dbraw/zinc/27/40/16/1073274016.db2.gz FEWOSHNNSARJNG-UHFFFAOYSA-N 0 0 427.357 -0.731 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000553508982 1073274020 /nfs/dbraw/zinc/27/40/20/1073274020.db2.gz KTCNVVAGLDTNLY-UHFFFAOYSA-N 0 0 433.433 -0.229 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC000553508997 1073274031 /nfs/dbraw/zinc/27/40/31/1073274031.db2.gz LNOVUGBUKRAQGV-UHFFFAOYSA-N 0 0 436.284 -0.477 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000553509015 1073274096 /nfs/dbraw/zinc/27/40/96/1073274096.db2.gz LZJKKQGQWGKREJ-BETUJISGSA-N 0 0 427.479 -0.497 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000553509019 1073274034 /nfs/dbraw/zinc/27/40/34/1073274034.db2.gz LZJKKQGQWGKREJ-CHWSQXEVSA-N 0 0 427.479 -0.497 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000553509021 1073274063 /nfs/dbraw/zinc/27/40/63/1073274063.db2.gz LZJKKQGQWGKREJ-STQMWFEESA-N 0 0 427.479 -0.497 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1CCN(c2ccc3nnc(C(F)(F)F)n3n2)CC1 ZINC000553509364 1073274093 /nfs/dbraw/zinc/27/40/93/1073274093.db2.gz VHKQPUVGRTVCCW-UHFFFAOYSA-N 0 0 443.386 -0.235 20 0 IBADRN CN(Cc1cn(C)nc1C(F)(F)F)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553511044 1073274046 /nfs/dbraw/zinc/27/40/46/1073274046.db2.gz RXQJXZHUWBJXBM-UBEDBUPSSA-N 0 0 443.386 -0.174 20 0 IBADRN O=C(NCCCNC(=O)c1cnc2c(c1)NC(=O)CO2)c1cnc2c(c1)NC(=O)CO2 ZINC000553512432 1073274059 /nfs/dbraw/zinc/27/40/59/1073274059.db2.gz JQBDYZGWCKOTFN-UHFFFAOYSA-N 0 0 426.389 -0.312 20 0 IBADRN Cn1cc(C(=O)C(=O)NCCN(CCN2CCCCC2)C(=O)C(=O)c2cnn(C)c2)cn1 ZINC000553515806 1073274070 /nfs/dbraw/zinc/27/40/70/1073274070.db2.gz KSIYWZOQQYBVKY-UHFFFAOYSA-N 0 0 443.508 -0.350 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000553518071 1073274066 /nfs/dbraw/zinc/27/40/66/1073274066.db2.gz JMZODZODIMGFKH-INIZCTEOSA-N 0 0 430.508 -0.262 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000553518072 1073274098 /nfs/dbraw/zinc/27/40/98/1073274098.db2.gz JMZODZODIMGFKH-MRXNPFEDSA-N 0 0 430.508 -0.262 20 0 IBADRN O=C(NCCCNC(=O)[C@@H]1COCCN1CC(F)F)[C@H]1COCCN1CC(F)F ZINC000553518668 1073274086 /nfs/dbraw/zinc/27/40/86/1073274086.db2.gz KAUDFZWKNHRQDX-BETUJISGSA-N 0 0 428.427 -0.459 20 0 IBADRN O=C(NCCCNC(=O)[C@H]1COCCN1CC(F)F)[C@H]1COCCN1CC(F)F ZINC000553518670 1073274522 /nfs/dbraw/zinc/27/45/22/1073274522.db2.gz KAUDFZWKNHRQDX-CHWSQXEVSA-N 0 0 428.427 -0.459 20 0 IBADRN O=C(NCCCNC(=O)[C@@H]1COCCN1CC(F)F)[C@@H]1COCCN1CC(F)F ZINC000553518672 1073274576 /nfs/dbraw/zinc/27/45/76/1073274576.db2.gz KAUDFZWKNHRQDX-STQMWFEESA-N 0 0 428.427 -0.459 20 0 IBADRN CCOC(CNS(=O)(=O)c1cn(C)nc1C)CNS(=O)(=O)c1cn(C)nc1C ZINC000553520429 1073274854 /nfs/dbraw/zinc/27/48/54/1073274854.db2.gz JLBJQDWZDRZHDX-UHFFFAOYSA-N 0 0 434.544 -0.568 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCCN1C(=O)c2ccccc2C1=O ZINC000553526701 1073275290 /nfs/dbraw/zinc/27/52/90/1073275290.db2.gz VBQSUMDMRQMFCY-UHFFFAOYSA-N 0 0 438.506 -0.377 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCc3nccn3C)CC2)ncc1Br ZINC000553526847 1073274984 /nfs/dbraw/zinc/27/49/84/1073274984.db2.gz BSYCVYUXDFNRTF-UHFFFAOYSA-N 0 0 438.286 -0.054 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)c1ccc(CCNS(C)(=O)=O)cc1)c2=O ZINC000553527771 1073274480 /nfs/dbraw/zinc/27/44/80/1073274480.db2.gz DCJPKOLWKUWGMM-UHFFFAOYSA-N 0 0 447.473 -0.259 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CCCO1 ZINC000553531040 1073274383 /nfs/dbraw/zinc/27/43/83/1073274383.db2.gz ATEYWQRLKYPVBE-GXTWGEPZSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@@H]1CCCO1 ZINC000553531041 1073274497 /nfs/dbraw/zinc/27/44/97/1073274497.db2.gz ATEYWQRLKYPVBE-JSGCOSHPSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CCCO1 ZINC000553531042 1073274531 /nfs/dbraw/zinc/27/45/31/1073274531.db2.gz ATEYWQRLKYPVBE-OCCSQVGLSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NC[C@H]1CCCO1 ZINC000553531043 1073274397 /nfs/dbraw/zinc/27/43/97/1073274397.db2.gz ATEYWQRLKYPVBE-TZMCWYRMSA-N 0 0 427.479 -0.335 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCC(=O)N(C)CCc2ccccn2)C1 ZINC000553536881 1073274861 /nfs/dbraw/zinc/27/48/61/1073274861.db2.gz YBPYAEYHMBMQJY-MRXNPFEDSA-N 0 0 426.543 -0.348 20 0 IBADRN Cc1cc(N2CCC(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)n[nH]1 ZINC000553538215 1073274974 /nfs/dbraw/zinc/27/49/74/1073274974.db2.gz YHDBHQYCFOBPAB-BGIGGGFGSA-N 0 0 430.469 -0.450 20 0 IBADRN O=C(c1ccc2[nH]cnc2c1)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000553539144 1073275011 /nfs/dbraw/zinc/27/50/11/1073275011.db2.gz XTBZHYMXLBTQFW-UHFFFAOYSA-N 0 0 427.508 -0.704 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)N[C@H]3CCc4ncnn4C3)CC2)C[C@H](C)O1 ZINC000553539522 1073274881 /nfs/dbraw/zinc/27/48/81/1073274881.db2.gz YOEGBAHCGUXXCH-BBWFWOEESA-N 0 0 434.541 -0.174 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)N[C@@H]3CCc4ncnn4C3)CC2)C[C@@H](C)O1 ZINC000553539523 1073275020 /nfs/dbraw/zinc/27/50/20/1073275020.db2.gz YOEGBAHCGUXXCH-BRWVUGGUSA-N 0 0 434.541 -0.174 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)N[C@@H]3CCc4ncnn4C3)CC2)C[C@H](C)O1 ZINC000553539524 1073275029 /nfs/dbraw/zinc/27/50/29/1073275029.db2.gz YOEGBAHCGUXXCH-IXDOHACOSA-N 0 0 434.541 -0.174 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)N[C@H]3CCc4ncnn4C3)CC2)C[C@@H](C)O1 ZINC000553539525 1073274993 /nfs/dbraw/zinc/27/49/93/1073274993.db2.gz YOEGBAHCGUXXCH-ZACQAIPSSA-N 0 0 434.541 -0.174 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CCC3)CC1 ZINC000553543947 1073276173 /nfs/dbraw/zinc/27/61/73/1073276173.db2.gz BQWRDPROUNNPFM-UHFFFAOYSA-N 0 0 428.536 -0.318 20 0 IBADRN CN(C)C(=O)COC[C@@H]1CN(S(=O)(=O)CC2CCS(=O)(=O)CC2)CC(C)(C)O1 ZINC000553544724 1073275366 /nfs/dbraw/zinc/27/53/66/1073275366.db2.gz MCTLOJHHOXUXPR-HNNXBMFYSA-N 0 0 440.584 -0.275 20 0 IBADRN CN(C)C(=O)COC[C@H]1CN(S(=O)(=O)CC2CCS(=O)(=O)CC2)CC(C)(C)O1 ZINC000553544726 1073275392 /nfs/dbraw/zinc/27/53/92/1073275392.db2.gz MCTLOJHHOXUXPR-OAHLLOKOSA-N 0 0 440.584 -0.275 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3cccc(Cl)c3)C2)CC1 ZINC000553545596 1073275342 /nfs/dbraw/zinc/27/53/42/1073275342.db2.gz VSQDUBFOZLUKAN-UHFFFAOYSA-N 0 0 436.943 -0.424 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)[C@H](C)C1 ZINC000553545997 1073275458 /nfs/dbraw/zinc/27/54/58/1073275458.db2.gz MNPDIIMNGHMUSV-CYBMUJFWSA-N 0 0 428.602 -0.570 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000553546034 1073275246 /nfs/dbraw/zinc/27/52/46/1073275246.db2.gz OVUGIYOLTOGQQP-GFCCVEGCSA-N 0 0 429.524 0.000 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000553546035 1073275274 /nfs/dbraw/zinc/27/52/74/1073275274.db2.gz OVUGIYOLTOGQQP-LBPRGKRZSA-N 0 0 429.524 0.000 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000553547083 1073275382 /nfs/dbraw/zinc/27/53/82/1073275382.db2.gz GRRRQFSUDZNLOW-GFCCVEGCSA-N 0 0 440.569 -0.856 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000553547195 1073275316 /nfs/dbraw/zinc/27/53/16/1073275316.db2.gz KVURCVQICUVZBL-OAHLLOKOSA-N 0 0 448.567 -0.120 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC)c2)[C@H](C)C1 ZINC000553547587 1073275347 /nfs/dbraw/zinc/27/53/47/1073275347.db2.gz VUDRNTXNUYSIPR-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)CC1 ZINC000553550615 1073275922 /nfs/dbraw/zinc/27/59/22/1073275922.db2.gz LIXAOLQNQZGALS-UHFFFAOYSA-N 0 0 435.569 -0.046 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000553551087 1073275868 /nfs/dbraw/zinc/27/58/68/1073275868.db2.gz JOLAQEPRZYCADH-KBPBESRZSA-N 0 0 431.536 -0.236 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000553551090 1073275878 /nfs/dbraw/zinc/27/58/78/1073275878.db2.gz JOLAQEPRZYCADH-KGLIPLIRSA-N 0 0 431.536 -0.236 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000553551092 1073275753 /nfs/dbraw/zinc/27/57/53/1073275753.db2.gz JOLAQEPRZYCADH-UONOGXRCSA-N 0 0 431.536 -0.236 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000553551094 1073275908 /nfs/dbraw/zinc/27/59/08/1073275908.db2.gz JOLAQEPRZYCADH-ZIAGYGMSSA-N 0 0 431.536 -0.236 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)c(=O)n(C)c1=O ZINC000553551400 1073275795 /nfs/dbraw/zinc/27/57/95/1073275795.db2.gz MSDVXTNSLKJEFS-CHWSQXEVSA-N 0 0 434.474 -0.718 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)c(=O)n(C)c1=O ZINC000553551411 1073275419 /nfs/dbraw/zinc/27/54/19/1073275419.db2.gz MSDVXTNSLKJEFS-OLZOCXBDSA-N 0 0 434.474 -0.718 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)c(=O)n(C)c1=O ZINC000553551415 1073275301 /nfs/dbraw/zinc/27/53/01/1073275301.db2.gz MSDVXTNSLKJEFS-QWHCGFSZSA-N 0 0 434.474 -0.718 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)c(=O)n(C)c1=O ZINC000553551420 1073275444 /nfs/dbraw/zinc/27/54/44/1073275444.db2.gz MSDVXTNSLKJEFS-STQMWFEESA-N 0 0 434.474 -0.718 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000553551431 1073275449 /nfs/dbraw/zinc/27/54/49/1073275449.db2.gz MTKCFGNJSHQMRU-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN O=S(=O)(CC1CCS(=O)(=O)CC1)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000553559668 1073275933 /nfs/dbraw/zinc/27/59/33/1073275933.db2.gz OBOGVFAADJOWGA-UHFFFAOYSA-N 0 0 446.519 -0.013 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)CC1 ZINC000553560962 1073275951 /nfs/dbraw/zinc/27/59/51/1073275951.db2.gz MNAVYZBRKLPVIJ-UHFFFAOYSA-N 0 0 441.509 -0.908 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000553563549 1073276165 /nfs/dbraw/zinc/27/61/65/1073276165.db2.gz MJKCODHAZRXBFM-UHFFFAOYSA-N 0 0 442.519 -0.597 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC[C@@H](S(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000553565506 1073276293 /nfs/dbraw/zinc/27/62/93/1073276293.db2.gz FLYJCFHGQOOQEF-CYBMUJFWSA-N 0 0 439.581 -0.012 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC[C@H](S(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000553565507 1073276281 /nfs/dbraw/zinc/27/62/81/1073276281.db2.gz FLYJCFHGQOOQEF-ZDUSSCGKSA-N 0 0 439.581 -0.012 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)cn1 ZINC000553572259 1073276715 /nfs/dbraw/zinc/27/67/15/1073276715.db2.gz XQKNWZQCBHLEDY-ZDUSSCGKSA-N 0 0 428.492 -0.202 20 0 IBADRN Cn1cc(S(=O)(=O)N2Cc3ccccc3C[C@H]2C(=O)N2CCCC2)c(=O)n(C)c1=O ZINC000553575843 1073276617 /nfs/dbraw/zinc/27/66/17/1073276617.db2.gz NLAYDINOZZNYFB-INIZCTEOSA-N 0 0 432.502 -0.178 20 0 IBADRN Cn1cc(S(=O)(=O)N2Cc3ccccc3C[C@@H]2C(=O)N2CCCC2)c(=O)n(C)c1=O ZINC000553575844 1073276601 /nfs/dbraw/zinc/27/66/01/1073276601.db2.gz NLAYDINOZZNYFB-MRXNPFEDSA-N 0 0 432.502 -0.178 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccccc2Cn2cncn2)C1 ZINC000553576492 1073276658 /nfs/dbraw/zinc/27/66/58/1073276658.db2.gz BFJZNEULVPRHGJ-OAHLLOKOSA-N 0 0 428.540 -0.125 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C[C@@H]1c1cnn(C)c1 ZINC000553580853 1073277189 /nfs/dbraw/zinc/27/71/89/1073277189.db2.gz HNFIUYUGBJRTHZ-MOPGFXCFSA-N 0 0 445.568 -0.165 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CCCC3)CC1)CC2 ZINC000553586645 1073277195 /nfs/dbraw/zinc/27/71/95/1073277195.db2.gz KVGHRSIOTJGEHV-DOTOQJQBSA-N 0 0 445.568 -0.143 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CCCC3)CC1)CC2 ZINC000553586648 1073277101 /nfs/dbraw/zinc/27/71/01/1073277101.db2.gz KVGHRSIOTJGEHV-NVXWUHKLSA-N 0 0 445.568 -0.143 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CCCC3)CC1)CC2 ZINC000553586650 1073277039 /nfs/dbraw/zinc/27/70/39/1073277039.db2.gz KVGHRSIOTJGEHV-RDJZCZTQSA-N 0 0 445.568 -0.143 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CCCC3)CC1)CC2 ZINC000553586651 1073277093 /nfs/dbraw/zinc/27/70/93/1073277093.db2.gz KVGHRSIOTJGEHV-WBVHZDCISA-N 0 0 445.568 -0.143 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000553587094 1073277198 /nfs/dbraw/zinc/27/71/98/1073277198.db2.gz XAVWOQPPQZVOFG-QGZVFWFLSA-N 0 0 444.602 -0.499 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000553587159 1073277053 /nfs/dbraw/zinc/27/70/53/1073277053.db2.gz RGWFKHQFGCIOOC-GXTWGEPZSA-N 0 0 435.572 -0.366 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000553587164 1073277155 /nfs/dbraw/zinc/27/71/55/1073277155.db2.gz RGWFKHQFGCIOOC-JSGCOSHPSA-N 0 0 435.572 -0.366 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000553587166 1073277061 /nfs/dbraw/zinc/27/70/61/1073277061.db2.gz RGWFKHQFGCIOOC-OCCSQVGLSA-N 0 0 435.572 -0.366 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000553587167 1073277200 /nfs/dbraw/zinc/27/72/00/1073277200.db2.gz RGWFKHQFGCIOOC-TZMCWYRMSA-N 0 0 435.572 -0.366 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000553587839 1073277160 /nfs/dbraw/zinc/27/71/60/1073277160.db2.gz RDGAGAQQPYVHES-HNNXBMFYSA-N 0 0 447.535 -0.415 20 0 IBADRN COCCN(C(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000553587840 1073277169 /nfs/dbraw/zinc/27/71/69/1073277169.db2.gz RDGAGAQQPYVHES-OAHLLOKOSA-N 0 0 447.535 -0.415 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC[C@@H]3CCc4nnc(C)n4C3)C2)nc1 ZINC000553588543 1073277126 /nfs/dbraw/zinc/27/71/26/1073277126.db2.gz XYWGHHMYHNRIGA-AWEZNQCLSA-N 0 0 441.492 -0.158 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC[C@H]3CCc4nnc(C)n4C3)C2)nc1 ZINC000553588550 1073277151 /nfs/dbraw/zinc/27/71/51/1073277151.db2.gz XYWGHHMYHNRIGA-CQSZACIVSA-N 0 0 441.492 -0.158 20 0 IBADRN CC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCOCC1 ZINC000553588777 1073277138 /nfs/dbraw/zinc/27/71/38/1073277138.db2.gz FEHHOVLMLJPOEL-UHFFFAOYSA-N 0 0 442.494 -0.856 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000553591565 1073276748 /nfs/dbraw/zinc/27/67/48/1073276748.db2.gz HLVCKJVVKUHLQV-UHFFFAOYSA-N 0 0 436.484 -0.504 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3(C)CCOCC3)CC2)C[C@H](C)O1 ZINC000553592241 1073277423 /nfs/dbraw/zinc/27/74/23/1073277423.db2.gz UKNPRFFRPYMYAR-HOTGVXAUSA-N 0 0 446.570 -0.583 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3(C)CCOCC3)CC2)C[C@@H](C)O1 ZINC000553592242 1073277687 /nfs/dbraw/zinc/27/76/87/1073277687.db2.gz UKNPRFFRPYMYAR-HZPDHXFCSA-N 0 0 446.570 -0.583 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3(C)CCOCC3)CC2)C[C@H](C)O1 ZINC000553592243 1073277620 /nfs/dbraw/zinc/27/76/20/1073277620.db2.gz UKNPRFFRPYMYAR-IYBDPMFKSA-N 0 0 446.570 -0.583 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000553592266 1073277571 /nfs/dbraw/zinc/27/75/71/1073277571.db2.gz WAVALULFDJQBHK-UHFFFAOYSA-N 0 0 436.484 -0.504 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1F ZINC000553592567 1073343748 /nfs/dbraw/zinc/34/37/48/1073343748.db2.gz QXJFVYMYDOVPLX-UHFFFAOYSA-N 0 0 447.467 0.572 20 0 IBADRN CC1(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CCOCC1 ZINC000553594350 1073276635 /nfs/dbraw/zinc/27/66/35/1073276635.db2.gz LCUXAJVTEASPSZ-UHFFFAOYSA-N 0 0 432.525 -0.105 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)cc1 ZINC000553594392 1073276614 /nfs/dbraw/zinc/27/66/14/1073276614.db2.gz YSWYGOXKTAZDFX-DLBZAZTESA-N 0 0 425.507 -0.204 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000553597725 1073276684 /nfs/dbraw/zinc/27/66/84/1073276684.db2.gz ZJAWPCQHPSFWLA-UHFFFAOYSA-N 0 0 448.545 -0.036 20 0 IBADRN Cc1ccc(C[C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000553606371 1073278494 /nfs/dbraw/zinc/27/84/94/1073278494.db2.gz LSOTYDUCDZRGFQ-INIZCTEOSA-N 0 0 442.520 -0.161 20 0 IBADRN Cc1ccc(C[C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000553606372 1073278546 /nfs/dbraw/zinc/27/85/46/1073278546.db2.gz LSOTYDUCDZRGFQ-MRXNPFEDSA-N 0 0 442.520 -0.161 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000553613011 1073278060 /nfs/dbraw/zinc/27/80/60/1073278060.db2.gz OFIBHMDBFDEDBF-UHFFFAOYSA-N 0 0 425.467 -0.282 20 0 IBADRN COc1cc(Cl)ccc1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000553614696 1073277973 /nfs/dbraw/zinc/27/79/73/1073277973.db2.gz FKVZPMVNEFIVAR-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)CC2)cc1 ZINC000553615204 1073278043 /nfs/dbraw/zinc/27/80/43/1073278043.db2.gz RHVXITMBQBGMAQ-RBUKOAKNSA-N 0 0 430.505 -0.164 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)CC1 ZINC000553615297 1073278012 /nfs/dbraw/zinc/27/80/12/1073278012.db2.gz IXIUCOUSBZSVMR-DLBZAZTESA-N 0 0 426.558 -0.007 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)CC1 ZINC000553615298 1073278074 /nfs/dbraw/zinc/27/80/74/1073278074.db2.gz IXIUCOUSBZSVMR-IAGOWNOFSA-N 0 0 426.558 -0.007 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)CC1 ZINC000553615299 1073277950 /nfs/dbraw/zinc/27/79/50/1073277950.db2.gz IXIUCOUSBZSVMR-IRXDYDNUSA-N 0 0 426.558 -0.007 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)CC1 ZINC000553615300 1073278007 /nfs/dbraw/zinc/27/80/07/1073278007.db2.gz IXIUCOUSBZSVMR-SJORKVTESA-N 0 0 426.558 -0.007 20 0 IBADRN Cc1noc(C)c1CCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000553615531 1073278091 /nfs/dbraw/zinc/27/80/91/1073278091.db2.gz VTBTVSJMFGZNFO-UHFFFAOYSA-N 0 0 443.508 -0.074 20 0 IBADRN Cc1noc(C)c1CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000553616078 1073277891 /nfs/dbraw/zinc/27/78/91/1073277891.db2.gz CUASTYWZDFAWAZ-HNNXBMFYSA-N 0 0 428.511 -0.397 20 0 IBADRN Cc1noc(C)c1CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000553616079 1073278082 /nfs/dbraw/zinc/27/80/82/1073278082.db2.gz CUASTYWZDFAWAZ-OAHLLOKOSA-N 0 0 428.511 -0.397 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)cc1 ZINC000553616502 1073277924 /nfs/dbraw/zinc/27/79/24/1073277924.db2.gz PIQGTXZEJJGHRG-DLBZAZTESA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)N1CCOCC1 ZINC000553617445 1073278017 /nfs/dbraw/zinc/27/80/17/1073278017.db2.gz GDPSIRNTEITGCR-BBWFWOEESA-N 0 0 444.540 -0.318 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)N1CCOCC1 ZINC000553617446 1073277917 /nfs/dbraw/zinc/27/79/17/1073277917.db2.gz GDPSIRNTEITGCR-GVDBMIGSSA-N 0 0 444.540 -0.318 20 0 IBADRN C[C@H](NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)[C@H](C)N1CCOCC1 ZINC000553617447 1073277902 /nfs/dbraw/zinc/27/79/02/1073277902.db2.gz GDPSIRNTEITGCR-ULQDDVLXSA-N 0 0 444.540 -0.318 20 0 IBADRN C[C@H](NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)[C@H](C)N1CCOCC1 ZINC000553617448 1073278026 /nfs/dbraw/zinc/27/80/26/1073278026.db2.gz GDPSIRNTEITGCR-YESZJQIVSA-N 0 0 444.540 -0.318 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCCCN2CCCCC2=O)s1 ZINC000553617997 1073278538 /nfs/dbraw/zinc/27/85/38/1073278538.db2.gz ODAKMBIVAFSICA-UHFFFAOYSA-N 0 0 430.552 -0.030 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCCCN3CCCCC3=O)CC2)CC1 ZINC000553618003 1073278528 /nfs/dbraw/zinc/27/85/28/1073278528.db2.gz LBQDDCDZKZSTDI-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCCN2CCCCC2=O)CC1 ZINC000553618019 1073278575 /nfs/dbraw/zinc/27/85/75/1073278575.db2.gz LGHLWVJIECAKAQ-UHFFFAOYSA-N 0 0 446.570 -0.596 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)cn1 ZINC000553618487 1073277932 /nfs/dbraw/zinc/27/79/32/1073277932.db2.gz SLMPTALHXWZJBP-INMHGKMJSA-N 0 0 441.554 -0.574 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)cn1 ZINC000553618489 1073278069 /nfs/dbraw/zinc/27/80/69/1073278069.db2.gz SLMPTALHXWZJBP-UXLLHSPISA-N 0 0 441.554 -0.574 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)cn1 ZINC000553618491 1073278107 /nfs/dbraw/zinc/27/81/07/1073278107.db2.gz SLMPTALHXWZJBP-VYDXJSESSA-N 0 0 441.554 -0.574 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)cn1 ZINC000553618494 1073278579 /nfs/dbraw/zinc/27/85/79/1073278579.db2.gz SLMPTALHXWZJBP-ZOBUZTSGSA-N 0 0 441.554 -0.574 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1)N1CCOCC1 ZINC000553619676 1073278904 /nfs/dbraw/zinc/27/89/04/1073278904.db2.gz ZCYRHLHOMFTNHN-CEXWTWQISA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1)[C@H](C)N1CCOCC1 ZINC000553619682 1073278923 /nfs/dbraw/zinc/27/89/23/1073278923.db2.gz ZCYRHLHOMFTNHN-FHWLQOOXSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1)N1CCOCC1 ZINC000553619684 1073278837 /nfs/dbraw/zinc/27/88/37/1073278837.db2.gz ZCYRHLHOMFTNHN-GBESFXJTSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1)[C@H](C)N1CCOCC1 ZINC000553619686 1073278919 /nfs/dbraw/zinc/27/89/19/1073278919.db2.gz ZCYRHLHOMFTNHN-QYZOEREBSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)[C@H](C)N1CCOCC1 ZINC000553619724 1073278867 /nfs/dbraw/zinc/27/88/67/1073278867.db2.gz DJXGHDXEXLMAIU-MSOLQXFVSA-N 0 0 437.585 -0.195 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)[C@@H](C)N1CCOCC1 ZINC000553619725 1073278863 /nfs/dbraw/zinc/27/88/63/1073278863.db2.gz DJXGHDXEXLMAIU-QZTJIDSGSA-N 0 0 437.585 -0.195 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)[C@H](C)N1CCOCC1 ZINC000553619726 1073278914 /nfs/dbraw/zinc/27/89/14/1073278914.db2.gz DJXGHDXEXLMAIU-ROUUACIJSA-N 0 0 437.585 -0.195 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)N1CCOCC1 ZINC000553619727 1073278826 /nfs/dbraw/zinc/27/88/26/1073278826.db2.gz DJXGHDXEXLMAIU-ZWKOTPCHSA-N 0 0 437.585 -0.195 20 0 IBADRN COc1cc(OC)c(CCNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c(OC)c1 ZINC000553620394 1073278897 /nfs/dbraw/zinc/27/88/97/1073278897.db2.gz SSTDOMMGTFYWMB-UHFFFAOYSA-N 0 0 436.509 -0.221 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCc2c(OC)cc(OC)cc2OC)C1 ZINC000553620534 1073278818 /nfs/dbraw/zinc/27/88/18/1073278818.db2.gz YXPXLGSHICEJOC-AWEZNQCLSA-N 0 0 443.522 -0.089 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCc2c(OC)cc(OC)cc2OC)C1 ZINC000553620535 1073278844 /nfs/dbraw/zinc/27/88/44/1073278844.db2.gz YXPXLGSHICEJOC-CQSZACIVSA-N 0 0 443.522 -0.089 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)CC1 ZINC000553620931 1073278888 /nfs/dbraw/zinc/27/88/88/1073278888.db2.gz SCBAGDDSDJFEAD-FQEVSTJZSA-N 0 0 449.596 -0.430 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)CC1 ZINC000553620932 1073278847 /nfs/dbraw/zinc/27/88/47/1073278847.db2.gz SCBAGDDSDJFEAD-HXUWFJFHSA-N 0 0 449.596 -0.430 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000553624760 1073278443 /nfs/dbraw/zinc/27/84/43/1073278443.db2.gz CSJLXYSVDDZNCB-DLBZAZTESA-N 0 0 425.507 -0.041 20 0 IBADRN Cc1ccc(C[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000553632175 1073278556 /nfs/dbraw/zinc/27/85/56/1073278556.db2.gz WKNRROIJGWJDSX-GOSISDBHSA-N 0 0 444.532 -0.120 20 0 IBADRN Cc1ccc(C[C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000553632177 1073278834 /nfs/dbraw/zinc/27/88/34/1073278834.db2.gz WKNRROIJGWJDSX-SFHVURJKSA-N 0 0 444.532 -0.120 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)c1 ZINC000553638782 1073278876 /nfs/dbraw/zinc/27/88/76/1073278876.db2.gz YKNMYHJWHFHAJO-DLBZAZTESA-N 0 0 425.507 -0.041 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)C2)no1 ZINC000553640072 1073279346 /nfs/dbraw/zinc/27/93/46/1073279346.db2.gz CRCGHAKLXCWYPZ-BBWFWOEESA-N 0 0 449.508 -0.012 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)[C@@H]3CC[C@H](C(=O)N4CCOCC4)O3)C2)no1 ZINC000553640075 1073279451 /nfs/dbraw/zinc/27/94/51/1073279451.db2.gz CRCGHAKLXCWYPZ-ZACQAIPSSA-N 0 0 449.508 -0.012 20 0 IBADRN CN(CCC(N)=O)C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000553641330 1073279188 /nfs/dbraw/zinc/27/91/88/1073279188.db2.gz VWAYQMNDLCVAKA-UHFFFAOYSA-N 0 0 437.522 -0.139 20 0 IBADRN CCc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cs1 ZINC000553641758 1073279332 /nfs/dbraw/zinc/27/93/32/1073279332.db2.gz HASFAOXLIQNREA-UHFFFAOYSA-N 0 0 440.551 -0.103 20 0 IBADRN CCc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cs1 ZINC000553642633 1073279202 /nfs/dbraw/zinc/27/92/02/1073279202.db2.gz UFTSQBVLDQAYCI-UHFFFAOYSA-N 0 0 427.508 -0.016 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000553642990 1073279886 /nfs/dbraw/zinc/27/98/86/1073279886.db2.gz YHOBZQQLONDMJH-AWEZNQCLSA-N 0 0 435.912 -0.030 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000553642991 1073279750 /nfs/dbraw/zinc/27/97/50/1073279750.db2.gz YHOBZQQLONDMJH-CQSZACIVSA-N 0 0 435.912 -0.030 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000553643167 1073279227 /nfs/dbraw/zinc/27/92/27/1073279227.db2.gz WWQWGKYYPSNSPE-GDBMZVCRSA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000553643168 1073279433 /nfs/dbraw/zinc/27/94/33/1073279433.db2.gz WWQWGKYYPSNSPE-GOEBONIOSA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000553643169 1073279276 /nfs/dbraw/zinc/27/92/76/1073279276.db2.gz WWQWGKYYPSNSPE-HOCLYGCPSA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000553643171 1073279407 /nfs/dbraw/zinc/27/94/07/1073279407.db2.gz WWQWGKYYPSNSPE-ZBFHGGJFSA-N 0 0 438.912 -0.287 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000553647211 1073279857 /nfs/dbraw/zinc/27/98/57/1073279857.db2.gz QTDADFICPQRHSH-INIZCTEOSA-N 0 0 440.570 -0.292 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000553647212 1073279705 /nfs/dbraw/zinc/27/97/05/1073279705.db2.gz QTDADFICPQRHSH-MRXNPFEDSA-N 0 0 440.570 -0.292 20 0 IBADRN CN(CCC(N)=O)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000553650392 1073278934 /nfs/dbraw/zinc/27/89/34/1073278934.db2.gz ZQNXKQIKVHBAEX-UHFFFAOYSA-N 0 0 440.522 -0.509 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c1C ZINC000553663928 1073279808 /nfs/dbraw/zinc/27/98/08/1073279808.db2.gz LWZURSNAFRCJKT-NSHDSACASA-N 0 0 432.524 -0.666 20 0 IBADRN NC(=O)[C@@H](Cc1ccc(Cl)cc1)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553664489 1073279722 /nfs/dbraw/zinc/27/97/22/1073279722.db2.gz TVWGMAYHRLFWRN-FSVZYJJUSA-N 0 0 448.867 -0.400 20 0 IBADRN NC(=O)[C@H](Cc1ccc(Cl)cc1)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000553664490 1073279881 /nfs/dbraw/zinc/27/98/81/1073279881.db2.gz TVWGMAYHRLFWRN-QTOWJTHWSA-N 0 0 448.867 -0.400 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC(F)F)C2)C1 ZINC000553667644 1073279848 /nfs/dbraw/zinc/27/98/48/1073279848.db2.gz BDZUQJYNOIWAAV-NEPJUHHUSA-N 0 0 432.449 -0.128 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC(F)F)C2)C1 ZINC000553667645 1073279779 /nfs/dbraw/zinc/27/97/79/1073279779.db2.gz BDZUQJYNOIWAAV-NWDGAFQWSA-N 0 0 432.449 -0.128 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC(F)F)C2)C1 ZINC000553667646 1073279822 /nfs/dbraw/zinc/27/98/22/1073279822.db2.gz BDZUQJYNOIWAAV-RYUDHWBXSA-N 0 0 432.449 -0.128 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC(F)F)C2)C1 ZINC000553667647 1073279842 /nfs/dbraw/zinc/27/98/42/1073279842.db2.gz BDZUQJYNOIWAAV-VXGBXAGGSA-N 0 0 432.449 -0.128 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccn(-c2ncccc2C(F)(F)F)n1)N1CCOCC1 ZINC000553667856 1073320213 /nfs/dbraw/zinc/32/02/13/1073320213.db2.gz CEDQBQIDVFTYAB-UHFFFAOYSA-N 0 0 426.355 0.200 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1nc(C)cs1 ZINC000553669374 1073279832 /nfs/dbraw/zinc/27/98/32/1073279832.db2.gz DDQQNCSEWPIMIM-GFCCVEGCSA-N 0 0 435.510 -0.492 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1nc(C)cs1 ZINC000553669375 1073279875 /nfs/dbraw/zinc/27/98/75/1073279875.db2.gz DDQQNCSEWPIMIM-LBPRGKRZSA-N 0 0 435.510 -0.492 20 0 IBADRN Cc1nnc([C@@H]2CCCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)C2)[nH]1 ZINC000553669747 1073279687 /nfs/dbraw/zinc/27/96/87/1073279687.db2.gz IXQVMQHZAUWTKV-CYBMUJFWSA-N 0 0 430.556 -0.686 20 0 IBADRN Cc1nnc([C@H]2CCCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)C2)[nH]1 ZINC000553669748 1073280272 /nfs/dbraw/zinc/28/02/72/1073280272.db2.gz IXQVMQHZAUWTKV-ZDUSSCGKSA-N 0 0 430.556 -0.686 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000553669864 1073279697 /nfs/dbraw/zinc/27/96/97/1073279697.db2.gz MUAMGRMFHNYYIF-UHFFFAOYSA-N 0 0 447.608 -0.718 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCC(OC[C@H]2CCOC2)CC1 ZINC000553671656 1073280170 /nfs/dbraw/zinc/28/01/70/1073280170.db2.gz WZMQUEWGVFJRLO-HNNXBMFYSA-N 0 0 449.595 -0.475 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCC(OC[C@@H]2CCOC2)CC1 ZINC000553671657 1073280235 /nfs/dbraw/zinc/28/02/35/1073280235.db2.gz WZMQUEWGVFJRLO-OAHLLOKOSA-N 0 0 449.595 -0.475 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1cccc(-n2cncn2)c1 ZINC000553671688 1073280278 /nfs/dbraw/zinc/28/02/78/1073280278.db2.gz XONBWALYQKMKFG-UHFFFAOYSA-N 0 0 438.535 -0.622 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000553672289 1073280231 /nfs/dbraw/zinc/28/02/31/1073280231.db2.gz OVIODTXDWWDVKK-BGPVNYDRSA-N 0 0 439.625 -0.323 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000553672290 1073280299 /nfs/dbraw/zinc/28/02/99/1073280299.db2.gz OVIODTXDWWDVKK-JYCPIGSLSA-N 0 0 439.625 -0.323 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000553672291 1073280179 /nfs/dbraw/zinc/28/01/79/1073280179.db2.gz OVIODTXDWWDVKK-SYMLIENRSA-N 0 0 439.625 -0.323 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000553672292 1073280124 /nfs/dbraw/zinc/28/01/24/1073280124.db2.gz OVIODTXDWWDVKK-YFONVMMFSA-N 0 0 439.625 -0.323 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@H](C)O1 ZINC000553674142 1073280675 /nfs/dbraw/zinc/28/06/75/1073280675.db2.gz FSJCXQUZCZSIOZ-GASCZTMLSA-N 0 0 436.600 -0.951 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)C1)C(N)=O ZINC000553674419 1073280733 /nfs/dbraw/zinc/28/07/33/1073280733.db2.gz MCAWNKWTUOQLLQ-UHFFFAOYSA-N 0 0 427.527 -0.492 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C)n(-c2ccc(C)cc2)n1 ZINC000553674716 1073316901 /nfs/dbraw/zinc/31/69/01/1073316901.db2.gz LJJOVTXKDBFEAA-INIZCTEOSA-N 0 0 426.477 0.281 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C)n(-c2ccc(C)cc2)n1 ZINC000553674722 1073317196 /nfs/dbraw/zinc/31/71/96/1073317196.db2.gz LJJOVTXKDBFEAA-MRXNPFEDSA-N 0 0 426.477 0.281 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)C1)C(N)=O ZINC000553674878 1073280742 /nfs/dbraw/zinc/28/07/42/1073280742.db2.gz QTLQQFXHHZACBZ-UHFFFAOYSA-N 0 0 443.526 -0.828 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1)[C@H](C)N1CCOCC1 ZINC000553675210 1073280770 /nfs/dbraw/zinc/28/07/70/1073280770.db2.gz PRXOOWRYNLNNHG-CVEARBPZSA-N 0 0 432.525 -0.367 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1)[C@H](C)N1CCOCC1 ZINC000553675213 1073280699 /nfs/dbraw/zinc/28/06/99/1073280699.db2.gz PRXOOWRYNLNNHG-HOTGVXAUSA-N 0 0 432.525 -0.367 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1)[C@@H](C)N1CCOCC1 ZINC000553675214 1073280751 /nfs/dbraw/zinc/28/07/51/1073280751.db2.gz PRXOOWRYNLNNHG-HZPDHXFCSA-N 0 0 432.525 -0.367 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)Nc1ccnc(C(=O)N2CCN(C)CC2)c1)N1CCOCC1 ZINC000553675215 1073280716 /nfs/dbraw/zinc/28/07/16/1073280716.db2.gz PRXOOWRYNLNNHG-JKSUJKDBSA-N 0 0 432.525 -0.367 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2ccc(=O)n(Cc3nc(C)no3)c2)C1)C(N)=O ZINC000553675858 1073280665 /nfs/dbraw/zinc/28/06/65/1073280665.db2.gz XFQZCNJMURNVJH-UHFFFAOYSA-N 0 0 430.465 -0.180 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cc(S(N)(=O)=O)ccc2OC)C1)C(N)=O ZINC000553675980 1073280688 /nfs/dbraw/zinc/28/06/88/1073280688.db2.gz ZBHFOHKCGFYDGC-UHFFFAOYSA-N 0 0 426.495 -0.425 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)C1)C(N)=O ZINC000553676446 1073280652 /nfs/dbraw/zinc/28/06/52/1073280652.db2.gz IKCLNBQZABJZRX-UHFFFAOYSA-N 0 0 429.477 -0.029 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC(=O)N1C1CC1 ZINC000553676602 1073280760 /nfs/dbraw/zinc/28/07/60/1073280760.db2.gz HCPPRCZMVZXROU-NOCIGQNYSA-N 0 0 431.493 -0.253 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000553680759 1073279787 /nfs/dbraw/zinc/27/97/87/1073279787.db2.gz RJNXEOBBWUCGOR-UHFFFAOYSA-N 0 0 430.552 -0.019 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000553684021 1073281041 /nfs/dbraw/zinc/28/10/41/1073281041.db2.gz BZQBASKNQQEPBJ-UHFFFAOYSA-N 0 0 433.509 -0.510 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NC[C@@H]3CS(=O)(=O)CCO3)C2=O)c(OC)c1 ZINC000553692465 1073281667 /nfs/dbraw/zinc/28/16/67/1073281667.db2.gz BZRJKROTXHGSLB-CVEARBPZSA-N 0 0 441.506 -0.083 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NC[C@H]3CS(=O)(=O)CCO3)C2=O)c(OC)c1 ZINC000553692466 1073281720 /nfs/dbraw/zinc/28/17/20/1073281720.db2.gz BZRJKROTXHGSLB-HOTGVXAUSA-N 0 0 441.506 -0.083 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NC[C@@H]3CS(=O)(=O)CCO3)C2=O)c(OC)c1 ZINC000553692467 1073281605 /nfs/dbraw/zinc/28/16/05/1073281605.db2.gz BZRJKROTXHGSLB-HZPDHXFCSA-N 0 0 441.506 -0.083 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NC[C@H]3CS(=O)(=O)CCO3)C2=O)c(OC)c1 ZINC000553692468 1073281668 /nfs/dbraw/zinc/28/16/68/1073281668.db2.gz BZRJKROTXHGSLB-JKSUJKDBSA-N 0 0 441.506 -0.083 20 0 IBADRN CCS(=O)(=O)N1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)[C@H](C)C1 ZINC000553704433 1073282263 /nfs/dbraw/zinc/28/22/63/1073282263.db2.gz WGQIFVPCVWKAPH-CQSZACIVSA-N 0 0 430.552 -0.188 20 0 IBADRN O=C(NCCCc1cccc(F)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000553705024 1073281763 /nfs/dbraw/zinc/28/17/63/1073281763.db2.gz STSLFCJCPISKAL-UHFFFAOYSA-N 0 0 434.468 -0.596 20 0 IBADRN CC(C)(C)OC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000553711143 1073281693 /nfs/dbraw/zinc/28/16/93/1073281693.db2.gz FTQQYLLTFHPONY-UHFFFAOYSA-N 0 0 426.495 -0.238 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCC(=O)OC(C)(C)C)C1 ZINC000553711286 1073281775 /nfs/dbraw/zinc/28/17/75/1073281775.db2.gz FXNAYNGDXPHTEV-AWEZNQCLSA-N 0 0 435.543 -0.267 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)OC(C)(C)C)C1 ZINC000553711289 1073281701 /nfs/dbraw/zinc/28/17/01/1073281701.db2.gz FXNAYNGDXPHTEV-CQSZACIVSA-N 0 0 435.543 -0.267 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)C[C@@H]1c1cnn(C)c1 ZINC000553720155 1073282160 /nfs/dbraw/zinc/28/21/60/1073282160.db2.gz QWGFEGGRAJUOHV-CABCVRRESA-N 0 0 431.497 -0.244 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000553720845 1073282204 /nfs/dbraw/zinc/28/22/04/1073282204.db2.gz RESMRHCEOIGRSO-OAHLLOKOSA-N 0 0 448.567 -0.388 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)Cn3cc(S(N)(=O)=O)cn3)C2=O)c(OC)c1 ZINC000553721371 1073282276 /nfs/dbraw/zinc/28/22/76/1073282276.db2.gz XNSVJVFZRKVBAQ-HNNXBMFYSA-N 0 0 437.478 -0.535 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)Cn3cc(S(N)(=O)=O)cn3)C2=O)c(OC)c1 ZINC000553721372 1073282145 /nfs/dbraw/zinc/28/21/45/1073282145.db2.gz XNSVJVFZRKVBAQ-OAHLLOKOSA-N 0 0 437.478 -0.535 20 0 IBADRN CCC(CC)(NC(=O)C1CN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)C1)C(N)=O ZINC000553723298 1073282237 /nfs/dbraw/zinc/28/22/37/1073282237.db2.gz CBSOLWZADOPZGK-UHFFFAOYSA-N 0 0 446.551 -0.666 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000553725560 1073282244 /nfs/dbraw/zinc/28/22/44/1073282244.db2.gz ZUPGKTKKPLKWQM-OAHLLOKOSA-N 0 0 448.567 -0.388 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)C2=O ZINC000553726749 1073282708 /nfs/dbraw/zinc/28/27/08/1073282708.db2.gz MNUDJRZCGJXSNO-HBUWYVDXSA-N 0 0 429.543 -0.126 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)C2=O ZINC000553726753 1073282569 /nfs/dbraw/zinc/28/25/69/1073282569.db2.gz MNUDJRZCGJXSNO-IYOUNJFTSA-N 0 0 429.543 -0.126 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)C2=O ZINC000553726754 1073282559 /nfs/dbraw/zinc/28/25/59/1073282559.db2.gz MNUDJRZCGJXSNO-LBTNJELSSA-N 0 0 429.543 -0.126 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)C2=O ZINC000553726756 1073282637 /nfs/dbraw/zinc/28/26/37/1073282637.db2.gz MNUDJRZCGJXSNO-PMUMKWKESA-N 0 0 429.543 -0.126 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1S(=O)(=O)N(C)C ZINC000553727246 1073282682 /nfs/dbraw/zinc/28/26/82/1073282682.db2.gz YTKRZUJIKFURNI-ZDUSSCGKSA-N 0 0 448.567 -0.150 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCCn2cc(Br)cn2)[C@H](O)[C@@H]1O ZINC000553727482 1073282093 /nfs/dbraw/zinc/28/20/93/1073282093.db2.gz AEVUCVWDOJHSFQ-SDBHATRESA-N 0 0 440.258 -0.491 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000553727565 1073282187 /nfs/dbraw/zinc/28/21/87/1073282187.db2.gz GUKDOQHJYXCZCG-MRXNPFEDSA-N 0 0 426.543 -0.012 20 0 IBADRN CS(=O)(=O)C1(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCCC1 ZINC000553728024 1073282701 /nfs/dbraw/zinc/28/27/01/1073282701.db2.gz HTOMDSVLCKDAGP-XNIJJKJLSA-N 0 0 427.483 -0.793 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCN(CCOC)C2)cn1 ZINC000553734976 1073282688 /nfs/dbraw/zinc/28/26/88/1073282688.db2.gz PLZIDXCELHAIMC-CVEARBPZSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCN(CCOC)C2)cn1 ZINC000553734988 1073282652 /nfs/dbraw/zinc/28/26/52/1073282652.db2.gz PLZIDXCELHAIMC-HOTGVXAUSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCN(CCOC)C2)cn1 ZINC000553734992 1073282643 /nfs/dbraw/zinc/28/26/43/1073282643.db2.gz PLZIDXCELHAIMC-HZPDHXFCSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCN(CCOC)C2)cn1 ZINC000553734995 1073282655 /nfs/dbraw/zinc/28/26/55/1073282655.db2.gz PLZIDXCELHAIMC-JKSUJKDBSA-N 0 0 427.527 -0.962 20 0 IBADRN CCc1c(C(=O)NCc2ccccc2S(N)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000553738692 1073282170 /nfs/dbraw/zinc/28/21/70/1073282170.db2.gz GAKNSRQZPQTXRD-UHFFFAOYSA-N 0 0 431.474 -0.228 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)no1 ZINC000553739289 1073282180 /nfs/dbraw/zinc/28/21/80/1073282180.db2.gz CCEJSODIAOITDP-UHFFFAOYSA-N 0 0 445.567 -0.878 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1ccccc1 ZINC000553739344 1073282193 /nfs/dbraw/zinc/28/21/93/1073282193.db2.gz CSMVNSJDTWDDDJ-HNNXBMFYSA-N 0 0 442.563 -0.525 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1ccccc1 ZINC000553739345 1073282198 /nfs/dbraw/zinc/28/21/98/1073282198.db2.gz CSMVNSJDTWDDDJ-OAHLLOKOSA-N 0 0 442.563 -0.525 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C[C@@H](C)O2 ZINC000553739947 1073282230 /nfs/dbraw/zinc/28/22/30/1073282230.db2.gz DNPUWSYYHLUFGH-IIDMSEBBSA-N 0 0 449.595 -0.334 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C[C@@H](C)O2 ZINC000553739948 1073282255 /nfs/dbraw/zinc/28/22/55/1073282255.db2.gz DNPUWSYYHLUFGH-RKVPGOIHSA-N 0 0 449.595 -0.334 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C[C@H](C)O2 ZINC000553739949 1073282211 /nfs/dbraw/zinc/28/22/11/1073282211.db2.gz DNPUWSYYHLUFGH-RVKKMQEKSA-N 0 0 449.595 -0.334 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C[C@H](C)O2 ZINC000553739950 1073282134 /nfs/dbraw/zinc/28/21/34/1073282134.db2.gz DNPUWSYYHLUFGH-VKJFTORMSA-N 0 0 449.595 -0.334 20 0 IBADRN CC(C)Oc1cc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)ccn1 ZINC000553740093 1073282105 /nfs/dbraw/zinc/28/21/05/1073282105.db2.gz FNTKGTCPMUIYOA-UHFFFAOYSA-N 0 0 430.552 -0.020 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)on1 ZINC000553740752 1073282903 /nfs/dbraw/zinc/28/29/03/1073282903.db2.gz JFEYRIANVJBIFL-UHFFFAOYSA-N 0 0 445.567 -0.878 20 0 IBADRN Cn1nccc1[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000553740834 1073283059 /nfs/dbraw/zinc/28/30/59/1073283059.db2.gz JZJPPJFRPTUGBD-AWEZNQCLSA-N 0 0 429.568 -0.379 20 0 IBADRN Cn1nccc1[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000553740837 1073282943 /nfs/dbraw/zinc/28/29/43/1073282943.db2.gz JZJPPJFRPTUGBD-CQSZACIVSA-N 0 0 429.568 -0.379 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(Cc2ccncc2)CC1 ZINC000553740938 1073282951 /nfs/dbraw/zinc/28/29/51/1073282951.db2.gz KVVDJRHIBICANY-UHFFFAOYSA-N 0 0 441.579 -0.779 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@]2(CCOC2)O1 ZINC000553741183 1073283022 /nfs/dbraw/zinc/28/30/22/1073283022.db2.gz MENUPKAVOGMWFK-KRWDZBQOSA-N 0 0 435.568 -0.722 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@]2(CCOC2)O1 ZINC000553741184 1073282931 /nfs/dbraw/zinc/28/29/31/1073282931.db2.gz MENUPKAVOGMWFK-QGZVFWFLSA-N 0 0 435.568 -0.722 20 0 IBADRN CO[C@H](C)c1nc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cs1 ZINC000553741204 1073283054 /nfs/dbraw/zinc/28/30/54/1073283054.db2.gz MUVQLVHSIYFXJJ-LLVKDONJSA-N 0 0 436.581 -0.039 20 0 IBADRN CO[C@@H](C)c1nc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cs1 ZINC000553741205 1073283037 /nfs/dbraw/zinc/28/30/37/1073283037.db2.gz MUVQLVHSIYFXJJ-NSHDSACASA-N 0 0 436.581 -0.039 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)c1 ZINC000553741375 1073283031 /nfs/dbraw/zinc/28/30/31/1073283031.db2.gz RGTBHZZKZZLQSY-GFCCVEGCSA-N 0 0 434.540 -0.374 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCN(CC(F)(F)F)CC1 ZINC000553741656 1073283043 /nfs/dbraw/zinc/28/30/43/1073283043.db2.gz RRCPQUTZRDRFCT-UHFFFAOYSA-N 0 0 446.517 -0.422 20 0 IBADRN CCO[C@H](C)c1noc(CN(C)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n1 ZINC000553741976 1073282964 /nfs/dbraw/zinc/28/29/64/1073282964.db2.gz WZFKYFFZYHYJPT-GFCCVEGCSA-N 0 0 449.555 -0.380 20 0 IBADRN CCO[C@@H](C)c1noc(CN(C)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)n1 ZINC000553741977 1073282977 /nfs/dbraw/zinc/28/29/77/1073282977.db2.gz WZFKYFFZYHYJPT-LBPRGKRZSA-N 0 0 449.555 -0.380 20 0 IBADRN CCN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)[C@H](C)CS(=O)(=O)CC ZINC000553743493 1073282915 /nfs/dbraw/zinc/28/29/15/1073282915.db2.gz KIBUKZGKBUQIJJ-CYBMUJFWSA-N 0 0 443.613 -0.847 20 0 IBADRN CCN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)[C@@H](C)CS(=O)(=O)CC ZINC000553743494 1073283065 /nfs/dbraw/zinc/28/30/65/1073283065.db2.gz KIBUKZGKBUQIJJ-ZDUSSCGKSA-N 0 0 443.613 -0.847 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000553744804 1073283457 /nfs/dbraw/zinc/28/34/57/1073283457.db2.gz DGTWBLIQCXFMBT-UHFFFAOYSA-N 0 0 429.524 -0.849 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2ncccc2F)CC1 ZINC000553745218 1073283386 /nfs/dbraw/zinc/28/33/86/1073283386.db2.gz NIBNXLHDRGGOHR-UHFFFAOYSA-N 0 0 445.542 -0.636 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000553745232 1073283438 /nfs/dbraw/zinc/28/34/38/1073283438.db2.gz NQICLFIZBZHYPV-CVEARBPZSA-N 0 0 448.611 -0.759 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000553745234 1073283522 /nfs/dbraw/zinc/28/35/22/1073283522.db2.gz NQICLFIZBZHYPV-HOTGVXAUSA-N 0 0 448.611 -0.759 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000553745236 1073283452 /nfs/dbraw/zinc/28/34/52/1073283452.db2.gz NQICLFIZBZHYPV-HZPDHXFCSA-N 0 0 448.611 -0.759 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000553745238 1073283471 /nfs/dbraw/zinc/28/34/71/1073283471.db2.gz NQICLFIZBZHYPV-JKSUJKDBSA-N 0 0 448.611 -0.759 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H](Cc1ccc(Cl)cc1)C(N)=O ZINC000553745433 1073283506 /nfs/dbraw/zinc/28/35/06/1073283506.db2.gz FWRGHWLYGPRWKR-AWEZNQCLSA-N 0 0 432.930 -0.313 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H](Cc1ccc(Cl)cc1)C(N)=O ZINC000553745434 1073283516 /nfs/dbraw/zinc/28/35/16/1073283516.db2.gz FWRGHWLYGPRWKR-CQSZACIVSA-N 0 0 432.930 -0.313 20 0 IBADRN Cc1ccc2c(c1)nc(CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)n2C ZINC000553745846 1073283369 /nfs/dbraw/zinc/28/33/69/1073283369.db2.gz ATNMCYHKYLCYKR-UHFFFAOYSA-N 0 0 439.563 -0.007 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)[C@H](C)C1 ZINC000553746307 1073283419 /nfs/dbraw/zinc/28/34/19/1073283419.db2.gz KYIHNHLMTBRFFY-CABCVRRESA-N 0 0 426.543 -0.024 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)[C@H](C)C1 ZINC000553746309 1073283448 /nfs/dbraw/zinc/28/34/48/1073283448.db2.gz KYIHNHLMTBRFFY-HUUCEWRRSA-N 0 0 426.543 -0.024 20 0 IBADRN CCOC(=O)CC1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000553746566 1073283481 /nfs/dbraw/zinc/28/34/81/1073283481.db2.gz FJXXJIQZMOHJSY-UHFFFAOYSA-N 0 0 435.568 -0.327 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)OCC(=O)N2C)cn1 ZINC000553746821 1073283510 /nfs/dbraw/zinc/28/35/10/1073283510.db2.gz OZNOVLCWOPUJDF-XOKHGSTOSA-N 0 0 425.511 -0.609 20 0 IBADRN CN(Cc1nccn1C(F)F)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000553747244 1073283411 /nfs/dbraw/zinc/28/34/11/1073283411.db2.gz YYIIORRZDKSVJX-UHFFFAOYSA-N 0 0 425.483 -0.269 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N[C@H]3CCN(S(=O)(=O)c4ccccc4)C3)cnc2n(C)c1=O ZINC000553747264 1073283430 /nfs/dbraw/zinc/28/34/30/1073283430.db2.gz QADQXQLLIPFKCM-AWEZNQCLSA-N 0 0 443.485 -0.175 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N(CCO)[C@H]1CCc2ccccc21 ZINC000553747923 1073283864 /nfs/dbraw/zinc/28/38/64/1073283864.db2.gz MDHKMDIAEOWMCZ-KRWDZBQOSA-N 0 0 441.575 -0.010 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N(CCO)[C@@H]1CCc2ccccc21 ZINC000553747927 1073283837 /nfs/dbraw/zinc/28/38/37/1073283837.db2.gz MDHKMDIAEOWMCZ-QGZVFWFLSA-N 0 0 441.575 -0.010 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2ccc(F)cn2)CC1 ZINC000553748192 1073283809 /nfs/dbraw/zinc/28/38/09/1073283809.db2.gz NJFRZPTXPFMOQE-UHFFFAOYSA-N 0 0 445.542 -0.636 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)[C@@H](C)C1=O ZINC000553748435 1073283886 /nfs/dbraw/zinc/28/38/86/1073283886.db2.gz SZNKAUIVMQYGHT-AWEZNQCLSA-N 0 0 434.584 -0.804 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)[C@H](C)C1=O ZINC000553748436 1073283794 /nfs/dbraw/zinc/28/37/94/1073283794.db2.gz SZNKAUIVMQYGHT-CQSZACIVSA-N 0 0 434.584 -0.804 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@]2(CCOC2)O1 ZINC000553748504 1073283486 /nfs/dbraw/zinc/28/34/86/1073283486.db2.gz WABWFMZVDVRBPA-PBHICJAKSA-N 0 0 435.568 -0.722 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@]2(CCOC2)O1 ZINC000553748505 1073283530 /nfs/dbraw/zinc/28/35/30/1073283530.db2.gz WABWFMZVDVRBPA-RHSMWYFYSA-N 0 0 435.568 -0.722 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@]2(CCOC2)O1 ZINC000553748506 1073283821 /nfs/dbraw/zinc/28/38/21/1073283821.db2.gz WABWFMZVDVRBPA-WMLDXEAASA-N 0 0 435.568 -0.722 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@]2(CCOC2)O1 ZINC000553748507 1073283878 /nfs/dbraw/zinc/28/38/78/1073283878.db2.gz WABWFMZVDVRBPA-YOEHRIQHSA-N 0 0 435.568 -0.722 20 0 IBADRN CC(C)[C@H](CO)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000553748898 1073283890 /nfs/dbraw/zinc/28/38/90/1073283890.db2.gz GXGHIRLSAFJXGD-DZGCQCFKSA-N 0 0 443.522 -0.249 20 0 IBADRN CC(C)[C@@H](CO)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000553748899 1073283883 /nfs/dbraw/zinc/28/38/83/1073283883.db2.gz GXGHIRLSAFJXGD-HIFRSBDPSA-N 0 0 443.522 -0.249 20 0 IBADRN CC(C)[C@@H](CO)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000553748900 1073283904 /nfs/dbraw/zinc/28/39/04/1073283904.db2.gz GXGHIRLSAFJXGD-UKRRQHHQSA-N 0 0 443.522 -0.249 20 0 IBADRN CC(C)[C@H](CO)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000553748901 1073283840 /nfs/dbraw/zinc/28/38/40/1073283840.db2.gz GXGHIRLSAFJXGD-ZFWWWQNUSA-N 0 0 443.522 -0.249 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000553749040 1073283907 /nfs/dbraw/zinc/28/39/07/1073283907.db2.gz AIQIXEIBWLPANF-AEFFLSMTSA-N 0 0 442.582 -0.228 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000553749041 1073283798 /nfs/dbraw/zinc/28/37/98/1073283798.db2.gz AIQIXEIBWLPANF-SJLPKXTDSA-N 0 0 442.582 -0.228 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000553750265 1073283875 /nfs/dbraw/zinc/28/38/75/1073283875.db2.gz VPHPIYQCZSZPJS-CABCVRRESA-N 0 0 426.543 -0.024 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000553750267 1073283815 /nfs/dbraw/zinc/28/38/15/1073283815.db2.gz VPHPIYQCZSZPJS-GJZGRUSLSA-N 0 0 426.543 -0.024 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000553750268 1073283859 /nfs/dbraw/zinc/28/38/59/1073283859.db2.gz VPHPIYQCZSZPJS-HUUCEWRRSA-N 0 0 426.543 -0.024 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000553750270 1073283888 /nfs/dbraw/zinc/28/38/88/1073283888.db2.gz VPHPIYQCZSZPJS-LSDHHAIUSA-N 0 0 426.543 -0.024 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCOc2ccc(F)cc2F)CC1)N1CCOCC1 ZINC000553752019 1073284265 /nfs/dbraw/zinc/28/42/65/1073284265.db2.gz WHAWVRFZTAJEHL-UHFFFAOYSA-N 0 0 440.447 -0.537 20 0 IBADRN CN1CCN(C(=O)CC2CCN(CC(=O)c3c(N)n(C4CC4)c(=O)[nH]c3=O)CC2)CC1 ZINC000553755504 1073284251 /nfs/dbraw/zinc/28/42/51/1073284251.db2.gz BDROBNVHLSZHAH-UHFFFAOYSA-N 0 0 432.525 -0.075 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000553760068 1073284235 /nfs/dbraw/zinc/28/42/35/1073284235.db2.gz BQNGICGRFHENPC-IBGZPJMESA-N 0 0 432.521 -0.637 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000553760069 1073284224 /nfs/dbraw/zinc/28/42/24/1073284224.db2.gz BQNGICGRFHENPC-LJQANCHMSA-N 0 0 432.521 -0.637 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CCO1 ZINC000553760224 1073284229 /nfs/dbraw/zinc/28/42/29/1073284229.db2.gz FHUPIVSTEOQUNB-PMACEKPBSA-N 0 0 432.521 -0.590 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CCO1 ZINC000553760227 1073284246 /nfs/dbraw/zinc/28/42/46/1073284246.db2.gz FHUPIVSTEOQUNB-UXHICEINSA-N 0 0 432.521 -0.590 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CCO1 ZINC000553760229 1073284317 /nfs/dbraw/zinc/28/43/17/1073284317.db2.gz FHUPIVSTEOQUNB-VQTJNVASSA-N 0 0 432.521 -0.590 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CCO1 ZINC000553760230 1073284288 /nfs/dbraw/zinc/28/42/88/1073284288.db2.gz FHUPIVSTEOQUNB-WOJBJXKFSA-N 0 0 432.521 -0.590 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)[C@H](C)C1 ZINC000553761113 1073282630 /nfs/dbraw/zinc/28/26/30/1073282630.db2.gz RHZHDMIYVRXSLT-LLVKDONJSA-N 0 0 440.507 -0.406 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)cn1 ZINC000553761365 1073282677 /nfs/dbraw/zinc/28/26/77/1073282677.db2.gz UARTXHUBRVXUKC-GOSISDBHSA-N 0 0 425.489 -0.144 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)cn1 ZINC000553761372 1073282606 /nfs/dbraw/zinc/28/26/06/1073282606.db2.gz UARTXHUBRVXUKC-SFHVURJKSA-N 0 0 425.489 -0.144 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCCN(Cc3cscn3)CC2)CC1 ZINC000553761476 1073282589 /nfs/dbraw/zinc/28/25/89/1073282589.db2.gz WPAOQAFHTYXFPL-UHFFFAOYSA-N 0 0 436.582 -0.154 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000553762331 1073282986 /nfs/dbraw/zinc/28/29/86/1073282986.db2.gz GYSDTJZKVRAFEM-GOSISDBHSA-N 0 0 438.550 -0.850 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000553762332 1073282884 /nfs/dbraw/zinc/28/28/84/1073282884.db2.gz GYSDTJZKVRAFEM-SFHVURJKSA-N 0 0 438.550 -0.850 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H](c3nc(N(C)C)no3)C2)CC1 ZINC000553763124 1073285610 /nfs/dbraw/zinc/28/56/10/1073285610.db2.gz GPJFXKAMZCIYCR-AWEZNQCLSA-N 0 0 435.529 -0.490 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H](c3nc(N(C)C)no3)C2)CC1 ZINC000553763125 1073285624 /nfs/dbraw/zinc/28/56/24/1073285624.db2.gz GPJFXKAMZCIYCR-CQSZACIVSA-N 0 0 435.529 -0.490 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)n1 ZINC000553763895 1073285474 /nfs/dbraw/zinc/28/54/74/1073285474.db2.gz SZDCPWCDULGDCG-UHFFFAOYSA-N 0 0 446.552 -0.202 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000553764261 1073285483 /nfs/dbraw/zinc/28/54/83/1073285483.db2.gz WCFDGRNXEMUEGS-UHFFFAOYSA-N 0 0 437.585 -0.382 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@@H](C)CO ZINC000553764815 1073285581 /nfs/dbraw/zinc/28/55/81/1073285581.db2.gz LLTHVOQKROJQEO-DLBZAZTESA-N 0 0 426.558 -0.583 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@H](C)CO ZINC000553764819 1073285672 /nfs/dbraw/zinc/28/56/72/1073285672.db2.gz LLTHVOQKROJQEO-IAGOWNOFSA-N 0 0 426.558 -0.583 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@@H](C)CO ZINC000553764820 1073285522 /nfs/dbraw/zinc/28/55/22/1073285522.db2.gz LLTHVOQKROJQEO-IRXDYDNUSA-N 0 0 426.558 -0.583 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000553764821 1073285676 /nfs/dbraw/zinc/28/56/76/1073285676.db2.gz LLTHVOQKROJQEO-SJORKVTESA-N 0 0 426.558 -0.583 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)cn1 ZINC000553765048 1073285999 /nfs/dbraw/zinc/28/59/99/1073285999.db2.gz SMUJSOCYLFZNLF-UHFFFAOYSA-N 0 0 444.536 -0.363 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000553765099 1073286059 /nfs/dbraw/zinc/28/60/59/1073286059.db2.gz VNIBGMBZSFQRDJ-UHFFFAOYSA-N 0 0 438.569 -0.173 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)[C@@H](C)C1 ZINC000553765505 1073285596 /nfs/dbraw/zinc/28/55/96/1073285596.db2.gz GTEGBDJFRDBMQT-KRWDZBQOSA-N 0 0 435.569 -0.094 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)[C@H](C)C1 ZINC000553765506 1073285942 /nfs/dbraw/zinc/28/59/42/1073285942.db2.gz GTEGBDJFRDBMQT-QGZVFWFLSA-N 0 0 435.569 -0.094 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000553767212 1073285922 /nfs/dbraw/zinc/28/59/22/1073285922.db2.gz FSYMOGLSEDEJKC-QZTJIDSGSA-N 0 0 433.553 -0.436 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cc1 ZINC000553768403 1073284590 /nfs/dbraw/zinc/28/45/90/1073284590.db2.gz SROJBOTUDCOYAE-UHFFFAOYSA-N 0 0 437.396 -0.224 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000553768549 1073284645 /nfs/dbraw/zinc/28/46/45/1073284645.db2.gz UOZYWFBZGFRQJU-INIZCTEOSA-N 0 0 444.598 -0.281 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000553768557 1073284679 /nfs/dbraw/zinc/28/46/79/1073284679.db2.gz UOZYWFBZGFRQJU-MRXNPFEDSA-N 0 0 444.598 -0.281 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000553768889 1073284696 /nfs/dbraw/zinc/28/46/96/1073284696.db2.gz CSFQWQMMZSDHCK-UHFFFAOYSA-N 0 0 425.507 -0.088 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000553768940 1073284574 /nfs/dbraw/zinc/28/45/74/1073284574.db2.gz XBECOBABTNTZIS-GOSISDBHSA-N 0 0 437.585 -0.336 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000553768942 1073284658 /nfs/dbraw/zinc/28/46/58/1073284658.db2.gz XBECOBABTNTZIS-SFHVURJKSA-N 0 0 437.585 -0.336 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000553769079 1073284631 /nfs/dbraw/zinc/28/46/31/1073284631.db2.gz ZOAVEFQSRTWOHZ-UHFFFAOYSA-N 0 0 433.490 -0.276 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1=O ZINC000553770843 1073284626 /nfs/dbraw/zinc/28/46/26/1073284626.db2.gz JSYGJPMHZGOQNB-UHFFFAOYSA-N 0 0 441.506 -0.708 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000553771010 1073284611 /nfs/dbraw/zinc/28/46/11/1073284611.db2.gz MTVQGMOTSMBCEL-UHFFFAOYSA-N 0 0 427.523 -0.234 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)CC2)cn1 ZINC000553771175 1073284605 /nfs/dbraw/zinc/28/46/05/1073284605.db2.gz ONPYCQQZACLGAL-UHFFFAOYSA-N 0 0 449.533 -0.337 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC000553771240 1073284652 /nfs/dbraw/zinc/28/46/52/1073284652.db2.gz QLJGJYGJSYCORV-HNNXBMFYSA-N 0 0 447.535 -0.875 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC000553771245 1073284620 /nfs/dbraw/zinc/28/46/20/1073284620.db2.gz QLJGJYGJSYCORV-OAHLLOKOSA-N 0 0 447.535 -0.875 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC000553771284 1073284685 /nfs/dbraw/zinc/28/46/85/1073284685.db2.gz QXCKBEZLLQIXDT-HNNXBMFYSA-N 0 0 447.535 -0.875 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC000553771286 1073284600 /nfs/dbraw/zinc/28/46/00/1073284600.db2.gz QXCKBEZLLQIXDT-OAHLLOKOSA-N 0 0 447.535 -0.875 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)CCO2 ZINC000553771572 1073284655 /nfs/dbraw/zinc/28/46/55/1073284655.db2.gz YSNIUFBGUURSCM-AUUYWEPGSA-N 0 0 426.491 -0.009 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)CCO2 ZINC000553771573 1073284637 /nfs/dbraw/zinc/28/46/37/1073284637.db2.gz YSNIUFBGUURSCM-IFXJQAMLSA-N 0 0 426.491 -0.009 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)CCO2 ZINC000553771574 1073284670 /nfs/dbraw/zinc/28/46/70/1073284670.db2.gz YSNIUFBGUURSCM-KUHUBIRLSA-N 0 0 426.491 -0.009 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)CCO2 ZINC000553771575 1073284692 /nfs/dbraw/zinc/28/46/92/1073284692.db2.gz YSNIUFBGUURSCM-LIRRHRJNSA-N 0 0 426.491 -0.009 20 0 IBADRN C[C@@H](NC(=O)CCOc1ccc(S(C)(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000553771853 1073284675 /nfs/dbraw/zinc/28/46/75/1073284675.db2.gz NPHAFXIWYBRYNK-CYBMUJFWSA-N 0 0 432.520 -0.379 20 0 IBADRN C[C@H](NC(=O)CCOc1ccc(S(C)(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000553771854 1073284582 /nfs/dbraw/zinc/28/45/82/1073284582.db2.gz NPHAFXIWYBRYNK-ZDUSSCGKSA-N 0 0 432.520 -0.379 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2C[C@@H](COCC(=O)N(C)C)OC(C)(C)C2)C1 ZINC000553772451 1073285037 /nfs/dbraw/zinc/28/50/37/1073285037.db2.gz LEANYOSOFOYUJB-HNNXBMFYSA-N 0 0 434.559 -0.438 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2C[C@H](COCC(=O)N(C)C)OC(C)(C)C2)C1 ZINC000553772454 1073285051 /nfs/dbraw/zinc/28/50/51/1073285051.db2.gz LEANYOSOFOYUJB-OAHLLOKOSA-N 0 0 434.559 -0.438 20 0 IBADRN O=S(=O)(c1cccnc1C(F)(F)F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000553774430 1073285173 /nfs/dbraw/zinc/28/51/73/1073285173.db2.gz SLPORWQCWCKNIZ-UHFFFAOYSA-N 0 0 444.457 -0.016 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)CC1 ZINC000553775797 1073285017 /nfs/dbraw/zinc/28/50/17/1073285017.db2.gz AJCOESCJRWCGKA-AEFFLSMTSA-N 0 0 435.569 -0.047 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)[C@H](C)C1 ZINC000553777949 1073285184 /nfs/dbraw/zinc/28/51/84/1073285184.db2.gz DHVJDBQDJHKJMO-GFCCVEGCSA-N 0 0 429.524 -0.276 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000553778582 1073285157 /nfs/dbraw/zinc/28/51/57/1073285157.db2.gz KNXHZOURAKBVJD-NRFANRHFSA-N 0 0 440.541 -0.527 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000553778583 1073285164 /nfs/dbraw/zinc/28/51/64/1073285164.db2.gz KNXHZOURAKBVJD-OAQYLSRUSA-N 0 0 440.541 -0.527 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000553779436 1073285045 /nfs/dbraw/zinc/28/50/45/1073285045.db2.gz AHWPEVUTKGQOJF-OAHLLOKOSA-N 0 0 427.527 -0.119 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)CC1 ZINC000553779570 1073285143 /nfs/dbraw/zinc/28/51/43/1073285143.db2.gz WTVYLXJHNNASPQ-UHFFFAOYSA-N 0 0 431.541 -0.396 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N(C)[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553780634 1073285028 /nfs/dbraw/zinc/28/50/28/1073285028.db2.gz UYTGGOXXASZRHW-GOSISDBHSA-N 0 0 429.521 -0.081 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N(C)[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553780636 1073285055 /nfs/dbraw/zinc/28/50/55/1073285055.db2.gz UYTGGOXXASZRHW-SFHVURJKSA-N 0 0 429.521 -0.081 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3)CC2)CC1 ZINC000553781898 1073285564 /nfs/dbraw/zinc/28/55/64/1073285564.db2.gz FJCHEPIIPHHBRD-UHFFFAOYSA-N 0 0 429.521 -0.360 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000553785024 1073285976 /nfs/dbraw/zinc/28/59/76/1073285976.db2.gz TYMUVWBHMKMFBT-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000553789470 1073286329 /nfs/dbraw/zinc/28/63/29/1073286329.db2.gz KNAIBEQROCDHEZ-KRWDZBQOSA-N 0 0 434.541 -0.394 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000553789471 1073286371 /nfs/dbraw/zinc/28/63/71/1073286371.db2.gz KNAIBEQROCDHEZ-QGZVFWFLSA-N 0 0 434.541 -0.394 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC1CC(C)(c3ccccc3)C1)C2 ZINC000553789920 1073286443 /nfs/dbraw/zinc/28/64/43/1073286443.db2.gz GXDRFQITDUSLJV-UHFFFAOYSA-N 0 0 440.504 -0.288 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCNC(=O)C[C@@H]2c2ccccc2)CC1 ZINC000553791106 1073286534 /nfs/dbraw/zinc/28/65/34/1073286534.db2.gz SIRUHQHZQKKDPT-GOSISDBHSA-N 0 0 429.521 -0.255 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCNC(=O)C[C@H]2c2ccccc2)CC1 ZINC000553791109 1073286399 /nfs/dbraw/zinc/28/63/99/1073286399.db2.gz SIRUHQHZQKKDPT-SFHVURJKSA-N 0 0 429.521 -0.255 20 0 IBADRN Cn1ccnc1[C@H]1[C@H](CNC(=O)NCCN2CCN(c3ncccn3)CC2)CC(=O)N1C ZINC000553792853 1073286509 /nfs/dbraw/zinc/28/65/09/1073286509.db2.gz CZRNUIYODIFYGF-FUHWJXTLSA-N 0 0 441.540 -0.149 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@H]1c1cnn(C)c1 ZINC000553793490 1073286460 /nfs/dbraw/zinc/28/64/60/1073286460.db2.gz UZVFZQSYSKTRCD-MSOLQXFVSA-N 0 0 441.540 -0.497 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000553793820 1073286348 /nfs/dbraw/zinc/28/63/48/1073286348.db2.gz XXMLYTNYFAERBA-INIZCTEOSA-N 0 0 425.559 -0.336 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000553793821 1073286475 /nfs/dbraw/zinc/28/64/75/1073286475.db2.gz XXMLYTNYFAERBA-MRXNPFEDSA-N 0 0 425.559 -0.336 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000553794143 1073286390 /nfs/dbraw/zinc/28/63/90/1073286390.db2.gz FYSOGMKYIAASAZ-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000553794278 1073286486 /nfs/dbraw/zinc/28/64/86/1073286486.db2.gz KYGYRXVBVAIALY-CYBMUJFWSA-N 0 0 448.501 -0.107 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000553794281 1073286522 /nfs/dbraw/zinc/28/65/22/1073286522.db2.gz KYGYRXVBVAIALY-ZDUSSCGKSA-N 0 0 448.501 -0.107 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C ZINC000553795263 1073286526 /nfs/dbraw/zinc/28/65/26/1073286526.db2.gz DFCDJLNKZJKWDR-UHFFFAOYSA-N 0 0 434.536 -0.106 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000553795287 1073286493 /nfs/dbraw/zinc/28/64/93/1073286493.db2.gz VXQAMDVRXPNBJO-MRXNPFEDSA-N 0 0 437.570 -0.146 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)NCCN2CCN(c3ncccn3)CC2)CCC(=O)N1C ZINC000553799972 1073285983 /nfs/dbraw/zinc/28/59/83/1073285983.db2.gz VPBUMOUMICFQHB-VQIMIIECSA-N 0 0 441.540 -0.007 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000553801471 1073286040 /nfs/dbraw/zinc/28/60/40/1073286040.db2.gz ZNWMYVDBAQPQNV-UHFFFAOYSA-N 0 0 426.539 -0.417 20 0 IBADRN CC[C@@H]1CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C[C@@H](C)S1(=O)=O ZINC000553801670 1073348123 /nfs/dbraw/zinc/34/81/23/1073348123.db2.gz NVLQIQZECKHQMQ-CHWSQXEVSA-N 0 0 437.522 0.415 20 0 IBADRN CC[C@H]1CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C[C@@H](C)S1(=O)=O ZINC000553801671 1073349130 /nfs/dbraw/zinc/34/91/30/1073349130.db2.gz NVLQIQZECKHQMQ-OLZOCXBDSA-N 0 0 437.522 0.415 20 0 IBADRN CC[C@@H]1CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C[C@H](C)S1(=O)=O ZINC000553801672 1073348058 /nfs/dbraw/zinc/34/80/58/1073348058.db2.gz NVLQIQZECKHQMQ-QWHCGFSZSA-N 0 0 437.522 0.415 20 0 IBADRN CC[C@H]1CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C[C@H](C)S1(=O)=O ZINC000553801673 1073349271 /nfs/dbraw/zinc/34/92/71/1073349271.db2.gz NVLQIQZECKHQMQ-STQMWFEESA-N 0 0 437.522 0.415 20 0 IBADRN CCN1CCN(CC(=O)N[C@H]2CCN(Cc3ccc(OC)cc3OC)C2=O)C(=O)C1=O ZINC000553803575 1073286004 /nfs/dbraw/zinc/28/60/04/1073286004.db2.gz CWWUBAHDBUEQDE-INIZCTEOSA-N 0 0 432.477 -0.388 20 0 IBADRN CCN1CCN(CC(=O)N[C@@H]2CCN(Cc3ccc(OC)cc3OC)C2=O)C(=O)C1=O ZINC000553803576 1073285908 /nfs/dbraw/zinc/28/59/08/1073285908.db2.gz CWWUBAHDBUEQDE-MRXNPFEDSA-N 0 0 432.477 -0.388 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)CN3CCS(=O)(=O)CC3)C2=O)c(OC)c1 ZINC000553803802 1073286928 /nfs/dbraw/zinc/28/69/28/1073286928.db2.gz FPMZFOHNHDDECI-INIZCTEOSA-N 0 0 425.507 -0.349 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)CN3CCS(=O)(=O)CC3)C2=O)c(OC)c1 ZINC000553803804 1073286824 /nfs/dbraw/zinc/28/68/24/1073286824.db2.gz FPMZFOHNHDDECI-MRXNPFEDSA-N 0 0 425.507 -0.349 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCCCn1nc2n(c1=O)CCCC2)C(F)(F)F ZINC000553805761 1073286831 /nfs/dbraw/zinc/28/68/31/1073286831.db2.gz CRLVVMYCKSCRHM-LLVKDONJSA-N 0 0 442.464 -0.107 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCCCn1nc2n(c1=O)CCCC2)C(F)(F)F ZINC000553805762 1073286966 /nfs/dbraw/zinc/28/69/66/1073286966.db2.gz CRLVVMYCKSCRHM-NSHDSACASA-N 0 0 442.464 -0.107 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)C1 ZINC000553809209 1073286937 /nfs/dbraw/zinc/28/69/37/1073286937.db2.gz SYJMZRLXADPEFZ-BRWVUGGUSA-N 0 0 428.555 -0.185 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)C1 ZINC000553809211 1073286978 /nfs/dbraw/zinc/28/69/78/1073286978.db2.gz SYJMZRLXADPEFZ-IKGGRYGDSA-N 0 0 428.555 -0.185 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)C1 ZINC000553809213 1073286874 /nfs/dbraw/zinc/28/68/74/1073286874.db2.gz SYJMZRLXADPEFZ-IXDOHACOSA-N 0 0 428.555 -0.185 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)C1 ZINC000553809214 1073286915 /nfs/dbraw/zinc/28/69/15/1073286915.db2.gz SYJMZRLXADPEFZ-ZACQAIPSSA-N 0 0 428.555 -0.185 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2cnc(NC(C)=O)s2)c1 ZINC000553818894 1073286796 /nfs/dbraw/zinc/28/67/96/1073286796.db2.gz IIQJZKKYNRVJCF-UHFFFAOYSA-N 0 0 447.520 -0.073 20 0 IBADRN O=C(CCNS(=O)(=O)CC1CCS(=O)(=O)CC1)N1CCN(c2ccccn2)CC1 ZINC000553818973 1073286896 /nfs/dbraw/zinc/28/68/96/1073286896.db2.gz ILTLGDUONJPPHS-UHFFFAOYSA-N 0 0 444.579 -0.136 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)CC2CCS(=O)(=O)CC2)C1 ZINC000553819058 1073286787 /nfs/dbraw/zinc/28/67/87/1073286787.db2.gz IWPUSKSZUHPKQA-HNNXBMFYSA-N 0 0 438.568 -0.126 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)CC2CCS(=O)(=O)CC2)C1 ZINC000553819061 1073286946 /nfs/dbraw/zinc/28/69/46/1073286946.db2.gz IWPUSKSZUHPKQA-OAHLLOKOSA-N 0 0 438.568 -0.126 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)s1 ZINC000553819380 1073286852 /nfs/dbraw/zinc/28/68/52/1073286852.db2.gz NWWQQWNQFLZMCC-UHFFFAOYSA-N 0 0 439.523 -0.091 20 0 IBADRN Cc1c([C@H]2[C@H](C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)CC(=O)N2C)cnn1C ZINC000553824488 1073287761 /nfs/dbraw/zinc/28/77/61/1073287761.db2.gz BATNIAHKYZTVIB-CKEIUWERSA-N 0 0 426.543 -0.365 20 0 IBADRN Cc1c([C@@H]2[C@H](C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)CC(=O)N2C)cnn1C ZINC000553824489 1073287827 /nfs/dbraw/zinc/28/78/27/1073287827.db2.gz BATNIAHKYZTVIB-CPUCHLNUSA-N 0 0 426.543 -0.365 20 0 IBADRN Cc1c([C@H]2[C@@H](C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)CC(=O)N2C)cnn1C ZINC000553824490 1073288274 /nfs/dbraw/zinc/28/82/74/1073288274.db2.gz BATNIAHKYZTVIB-JKIFEVAISA-N 0 0 426.543 -0.365 20 0 IBADRN Cc1c([C@@H]2[C@@H](C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)CC(=O)N2C)cnn1C ZINC000553824491 1073288249 /nfs/dbraw/zinc/28/82/49/1073288249.db2.gz BATNIAHKYZTVIB-KEYYUXOJSA-N 0 0 426.543 -0.365 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCC2CCN(Cc3nc(C)c(C)o3)CC2)CCO1 ZINC000553828858 1073288236 /nfs/dbraw/zinc/28/82/36/1073288236.db2.gz NOTHNNNDDKPZEF-GOSISDBHSA-N 0 0 435.525 -0.017 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCC2CCN(Cc3nc(C)c(C)o3)CC2)CCO1 ZINC000553828859 1073288204 /nfs/dbraw/zinc/28/82/04/1073288204.db2.gz NOTHNNNDDKPZEF-SFHVURJKSA-N 0 0 435.525 -0.017 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000553831085 1073288307 /nfs/dbraw/zinc/28/83/07/1073288307.db2.gz SHEZDWCEVOEYLJ-UHFFFAOYSA-N 0 0 426.495 -0.641 20 0 IBADRN Cc1nc(CN2CCC(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)CC2)oc1C ZINC000553831195 1073288286 /nfs/dbraw/zinc/28/82/86/1073288286.db2.gz SZFKAZXJSKWLRU-UHFFFAOYSA-N 0 0 441.554 -0.277 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000553831911 1073288194 /nfs/dbraw/zinc/28/81/94/1073288194.db2.gz PTOVWGGDAGVPED-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000553831915 1073288166 /nfs/dbraw/zinc/28/81/66/1073288166.db2.gz PTOVWGGDAGVPED-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCC2CCN(Cc3nc(C)c(C)o3)CC2)CC1 ZINC000553832898 1073287243 /nfs/dbraw/zinc/28/72/43/1073287243.db2.gz IMUABFDMDQXWMQ-UHFFFAOYSA-N 0 0 435.525 -0.063 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC000553832975 1073287275 /nfs/dbraw/zinc/28/72/75/1073287275.db2.gz YBKGLTGZBXSXEB-HNNXBMFYSA-N 0 0 446.551 -0.610 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC000553832982 1073287350 /nfs/dbraw/zinc/28/73/50/1073287350.db2.gz YBKGLTGZBXSXEB-OAHLLOKOSA-N 0 0 446.551 -0.610 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)C1 ZINC000553833980 1073287356 /nfs/dbraw/zinc/28/73/56/1073287356.db2.gz AROVBALIQOHEID-DLBZAZTESA-N 0 0 447.584 -0.059 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)C1 ZINC000553833982 1073287223 /nfs/dbraw/zinc/28/72/23/1073287223.db2.gz AROVBALIQOHEID-IAGOWNOFSA-N 0 0 447.584 -0.059 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)C1 ZINC000553833983 1073287368 /nfs/dbraw/zinc/28/73/68/1073287368.db2.gz AROVBALIQOHEID-IRXDYDNUSA-N 0 0 447.584 -0.059 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)C1 ZINC000553833984 1073287289 /nfs/dbraw/zinc/28/72/89/1073287289.db2.gz AROVBALIQOHEID-SJORKVTESA-N 0 0 447.584 -0.059 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)C1 ZINC000553834598 1073287284 /nfs/dbraw/zinc/28/72/84/1073287284.db2.gz ZKWZIHYDDURBNU-KRWDZBQOSA-N 0 0 436.534 -0.527 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)C1 ZINC000553834600 1073287255 /nfs/dbraw/zinc/28/72/55/1073287255.db2.gz ZKWZIHYDDURBNU-QGZVFWFLSA-N 0 0 436.534 -0.527 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000553834682 1073287382 /nfs/dbraw/zinc/28/73/82/1073287382.db2.gz RYXWTZOWDJIFCW-UHFFFAOYSA-N 0 0 433.509 -0.336 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CCCN2c2cc(NC)ncn2)CC1 ZINC000553834783 1073287798 /nfs/dbraw/zinc/28/77/98/1073287798.db2.gz LQAUOUNSYGSBHF-AWEZNQCLSA-N 0 0 439.542 -0.903 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H]2CCCN2c2cc(NC)ncn2)CC1 ZINC000553834784 1073287840 /nfs/dbraw/zinc/28/78/40/1073287840.db2.gz LQAUOUNSYGSBHF-CQSZACIVSA-N 0 0 439.542 -0.903 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCc1cnc(N(C)C)n1C ZINC000553834848 1073287824 /nfs/dbraw/zinc/28/78/24/1073287824.db2.gz IGKGQSAWPRXDMN-INIZCTEOSA-N 0 0 428.541 -0.176 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCc1cnc(N(C)C)n1C ZINC000553834849 1073287832 /nfs/dbraw/zinc/28/78/32/1073287832.db2.gz IGKGQSAWPRXDMN-MRXNPFEDSA-N 0 0 428.541 -0.176 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(COC3CCOCC3)c2)C1 ZINC000553834927 1073287792 /nfs/dbraw/zinc/28/77/92/1073287792.db2.gz KSSPDWJEBGEUQS-KRWDZBQOSA-N 0 0 425.507 -0.112 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(COC3CCOCC3)c2)C1 ZINC000553834928 1073287683 /nfs/dbraw/zinc/28/76/83/1073287683.db2.gz KSSPDWJEBGEUQS-QGZVFWFLSA-N 0 0 425.507 -0.112 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)n1C ZINC000553835058 1073287768 /nfs/dbraw/zinc/28/77/68/1073287768.db2.gz MBYGDBLTDKBDPO-UHFFFAOYSA-N 0 0 433.557 -0.495 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N(CCO)C1CCOCC1 ZINC000553838948 1073287733 /nfs/dbraw/zinc/28/77/33/1073287733.db2.gz YAXRSVXYBYXNLZ-UHFFFAOYSA-N 0 0 438.569 -0.173 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000553839096 1073287741 /nfs/dbraw/zinc/28/77/41/1073287741.db2.gz HHIKIMZVMSVMLA-GOSISDBHSA-N 0 0 435.569 -0.046 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000553839105 1073287647 /nfs/dbraw/zinc/28/76/47/1073287647.db2.gz HHIKIMZVMSVMLA-SFHVURJKSA-N 0 0 435.569 -0.046 20 0 IBADRN COc1ccc([C@H]2OCC[C@@H]2NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000553840460 1073287749 /nfs/dbraw/zinc/28/77/49/1073287749.db2.gz PDEYZEASLHFSBG-FUHWJXTLSA-N 0 0 447.492 -0.367 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCO[C@@H]3c3cccnc3)CC2)CC1 ZINC000553840876 1073287692 /nfs/dbraw/zinc/28/76/92/1073287692.db2.gz LISKAIZTDHRAGA-ZWKOTPCHSA-N 0 0 438.554 -0.269 20 0 IBADRN CCn1ccnc1[C@H]1OCC[C@@H]1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000553841222 1073287783 /nfs/dbraw/zinc/28/77/83/1073287783.db2.gz VKJBHIHUZYGQOO-BBRMVZONSA-N 0 0 432.485 -0.595 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000553842082 1073288198 /nfs/dbraw/zinc/28/81/98/1073288198.db2.gz PLBDGOJMNVJGSJ-KRWDZBQOSA-N 0 0 435.568 -0.264 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000553842083 1073288234 /nfs/dbraw/zinc/28/82/34/1073288234.db2.gz PLBDGOJMNVJGSJ-QGZVFWFLSA-N 0 0 435.568 -0.264 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000553846199 1073289063 /nfs/dbraw/zinc/28/90/63/1073289063.db2.gz PRADMJHHFZFZAY-IBGZPJMESA-N 0 0 430.553 -0.405 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000553846200 1073289129 /nfs/dbraw/zinc/28/91/29/1073289129.db2.gz PRADMJHHFZFZAY-LJQANCHMSA-N 0 0 430.553 -0.405 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CCN1C(=O)c2ccc(Br)cc2C1=O ZINC000553846283 1073289117 /nfs/dbraw/zinc/28/91/17/1073289117.db2.gz DUAYPYVEPPFHLV-UHFFFAOYSA-N 0 0 426.223 -0.159 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000553846286 1073289086 /nfs/dbraw/zinc/28/90/86/1073289086.db2.gz DUTVYTOSBWVGJL-UHFFFAOYSA-N 0 0 439.490 -0.305 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCC(C(=O)NCC(=O)NCC(=O)OC)CC2)cc1 ZINC000553846305 1073289060 /nfs/dbraw/zinc/28/90/60/1073289060.db2.gz FLXUQVNGUOKVEW-UHFFFAOYSA-N 0 0 441.506 -0.109 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4)C3=O)CC2)n1 ZINC000553846957 1073289121 /nfs/dbraw/zinc/28/91/21/1073289121.db2.gz XWTNTKZBQGTHJU-INIZCTEOSA-N 0 0 442.476 -0.218 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4)C3=O)CC2)n1 ZINC000553846960 1073289073 /nfs/dbraw/zinc/28/90/73/1073289073.db2.gz XWTNTKZBQGTHJU-MRXNPFEDSA-N 0 0 442.476 -0.218 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000553849335 1073289054 /nfs/dbraw/zinc/28/90/54/1073289054.db2.gz HIYINFRUSFQWCY-UHFFFAOYSA-N 0 0 444.189 -0.714 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4)C3=O)CC2)nc1 ZINC000553849457 1073289107 /nfs/dbraw/zinc/28/91/07/1073289107.db2.gz GCWFIFTWTJCDKU-KRWDZBQOSA-N 0 0 436.472 -0.249 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4)C3=O)CC2)nc1 ZINC000553849460 1073289070 /nfs/dbraw/zinc/28/90/70/1073289070.db2.gz GCWFIFTWTJCDKU-QGZVFWFLSA-N 0 0 436.472 -0.249 20 0 IBADRN COCCN1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000553850249 1073289462 /nfs/dbraw/zinc/28/94/62/1073289462.db2.gz MYEHDULSQRYCJY-UHFFFAOYSA-N 0 0 440.566 -0.412 20 0 IBADRN O=C(N[C@@H]1CCN(c2ccccc2)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000553852331 1073288139 /nfs/dbraw/zinc/28/81/39/1073288139.db2.gz AUAASGKNBDNJBL-DLBZAZTESA-N 0 0 434.518 -0.065 20 0 IBADRN O=C(N[C@@H]1CCN(c2ccccc2)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000553852336 1073288255 /nfs/dbraw/zinc/28/82/55/1073288255.db2.gz AUAASGKNBDNJBL-IAGOWNOFSA-N 0 0 434.518 -0.065 20 0 IBADRN O=C(N[C@H]1CCN(c2ccccc2)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000553852338 1073288226 /nfs/dbraw/zinc/28/82/26/1073288226.db2.gz AUAASGKNBDNJBL-IRXDYDNUSA-N 0 0 434.518 -0.065 20 0 IBADRN O=C(N[C@H]1CCN(c2ccccc2)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000553852340 1073288154 /nfs/dbraw/zinc/28/81/54/1073288154.db2.gz AUAASGKNBDNJBL-SJORKVTESA-N 0 0 434.518 -0.065 20 0 IBADRN O=C(N[C@H]1CCOc2ccc(F)cc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000553852853 1073288601 /nfs/dbraw/zinc/28/86/01/1073288601.db2.gz ZZFMVCAABBYREF-INIZCTEOSA-N 0 0 448.451 -0.705 20 0 IBADRN O=C(N[C@@H]1CCOc2ccc(F)cc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000553852860 1073288730 /nfs/dbraw/zinc/28/87/30/1073288730.db2.gz ZZFMVCAABBYREF-MRXNPFEDSA-N 0 0 448.451 -0.705 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCOc3ccc(F)cc31)C2 ZINC000553852990 1073288690 /nfs/dbraw/zinc/28/86/90/1073288690.db2.gz IOSWGTBRKOBWES-AWEZNQCLSA-N 0 0 446.439 -0.746 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCOc3ccc(F)cc31)C2 ZINC000553852991 1073288618 /nfs/dbraw/zinc/28/86/18/1073288618.db2.gz IOSWGTBRKOBWES-CQSZACIVSA-N 0 0 446.439 -0.746 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553853481 1073288744 /nfs/dbraw/zinc/28/87/44/1073288744.db2.gz IQBNKJRQCYZVGW-CRAIPNDOSA-N 0 0 427.505 -0.281 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553853484 1073288579 /nfs/dbraw/zinc/28/85/79/1073288579.db2.gz IQBNKJRQCYZVGW-MAUKXSAKSA-N 0 0 427.505 -0.281 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553853486 1073288598 /nfs/dbraw/zinc/28/85/98/1073288598.db2.gz IQBNKJRQCYZVGW-QAPCUYQASA-N 0 0 427.505 -0.281 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553853488 1073288660 /nfs/dbraw/zinc/28/86/60/1073288660.db2.gz IQBNKJRQCYZVGW-YJBOKZPZSA-N 0 0 427.505 -0.281 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553854034 1073288587 /nfs/dbraw/zinc/28/85/87/1073288587.db2.gz PSRMLTVASPJNDL-KRWDZBQOSA-N 0 0 448.487 -0.066 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553854035 1073288716 /nfs/dbraw/zinc/28/87/16/1073288716.db2.gz PSRMLTVASPJNDL-QGZVFWFLSA-N 0 0 448.487 -0.066 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N[C@H]2CCSC2)CC1 ZINC000553854038 1073288624 /nfs/dbraw/zinc/28/86/24/1073288624.db2.gz PWOFQVKKEFGBCO-HNNXBMFYSA-N 0 0 426.564 -0.139 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N[C@@H]2CCSC2)CC1 ZINC000553854039 1073288648 /nfs/dbraw/zinc/28/86/48/1073288648.db2.gz PWOFQVKKEFGBCO-OAHLLOKOSA-N 0 0 426.564 -0.139 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553854808 1073288629 /nfs/dbraw/zinc/28/86/29/1073288629.db2.gz PLCNWIJJHLKRKH-KRWDZBQOSA-N 0 0 436.472 -0.249 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000553854809 1073288654 /nfs/dbraw/zinc/28/86/54/1073288654.db2.gz PLCNWIJJHLKRKH-QGZVFWFLSA-N 0 0 436.472 -0.249 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000553861367 1073289097 /nfs/dbraw/zinc/28/90/97/1073289097.db2.gz DAGUMQMXWBXFMO-GFCCVEGCSA-N 0 0 438.553 -0.083 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000553861368 1073289078 /nfs/dbraw/zinc/28/90/78/1073289078.db2.gz DAGUMQMXWBXFMO-LBPRGKRZSA-N 0 0 438.553 -0.083 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)cnn1C ZINC000553863765 1073289440 /nfs/dbraw/zinc/28/94/40/1073289440.db2.gz FLOHHZBJGSZFMU-UHFFFAOYSA-N 0 0 440.570 -0.002 20 0 IBADRN Cc1nn(C)cc1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000553869255 1073289834 /nfs/dbraw/zinc/28/98/34/1073289834.db2.gz KKYBIJOTWSWWJE-UHFFFAOYSA-N 0 0 428.492 -0.149 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2C[C@@H](COCC(=O)N(C)C)OC(C)(C)C2)CC1 ZINC000553870887 1073289819 /nfs/dbraw/zinc/28/98/19/1073289819.db2.gz COHHPVNGNNBODQ-INIZCTEOSA-N 0 0 428.530 -0.082 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2C[C@H](COCC(=O)N(C)C)OC(C)(C)C2)CC1 ZINC000553870888 1073289844 /nfs/dbraw/zinc/28/98/44/1073289844.db2.gz COHHPVNGNNBODQ-MRXNPFEDSA-N 0 0 428.530 -0.082 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)c1cnn(C)c1 ZINC000553872077 1073289825 /nfs/dbraw/zinc/28/98/25/1073289825.db2.gz JGKAZDCXPNOPKJ-HNNXBMFYSA-N 0 0 448.549 -0.150 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)c1cnn(C)c1 ZINC000553872078 1073289921 /nfs/dbraw/zinc/28/99/21/1073289921.db2.gz JGKAZDCXPNOPKJ-OAHLLOKOSA-N 0 0 448.549 -0.150 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000553872763 1073289848 /nfs/dbraw/zinc/28/98/48/1073289848.db2.gz SCTUDZVYSLLHDY-CYBMUJFWSA-N 0 0 439.581 -0.065 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000553872764 1073289838 /nfs/dbraw/zinc/28/98/38/1073289838.db2.gz SCTUDZVYSLLHDY-ZDUSSCGKSA-N 0 0 439.581 -0.065 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)NCCS(=O)(=O)N2CCSCC2)CCC(=O)N1C ZINC000553876828 1073289904 /nfs/dbraw/zinc/28/99/04/1073289904.db2.gz PURQPFFKERZBQD-CZUORRHYSA-N 0 0 444.583 -0.240 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCN(C(C)=O)CC3)CC1 ZINC000553877619 1073289862 /nfs/dbraw/zinc/28/98/62/1073289862.db2.gz NITIBNMZYJTQAE-UHFFFAOYSA-N 0 0 430.552 -0.596 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CCC(=O)N1C ZINC000553878953 1073289410 /nfs/dbraw/zinc/28/94/10/1073289410.db2.gz KPNAMFGGCIVMPT-OXQOHEQNSA-N 0 0 447.540 -0.732 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@@H]2CCC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000553879236 1073289491 /nfs/dbraw/zinc/28/94/91/1073289491.db2.gz NYRKJPPXSNOQAG-RHSMWYFYSA-N 0 0 433.513 -0.540 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCC(=O)N1C ZINC000553879382 1073289426 /nfs/dbraw/zinc/28/94/26/1073289426.db2.gz WWVCIDHZZSYMFC-OXQOHEQNSA-N 0 0 447.540 -0.732 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccn(C)n2)CC1 ZINC000553879969 1073289456 /nfs/dbraw/zinc/28/94/56/1073289456.db2.gz DRQSQDOXIZHQLF-UHFFFAOYSA-N 0 0 426.543 -0.310 20 0 IBADRN Cc1cccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000553880017 1073289387 /nfs/dbraw/zinc/28/93/87/1073289387.db2.gz CTQPRCKLCQEGLT-UHFFFAOYSA-N 0 0 449.489 -0.726 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CC1 ZINC000553880210 1073289525 /nfs/dbraw/zinc/28/95/25/1073289525.db2.gz BQIQFNPWFXUZKF-UHFFFAOYSA-N 0 0 441.329 -0.682 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)CC2CCS(=O)(=O)CC2)C1 ZINC000553880703 1073289379 /nfs/dbraw/zinc/28/93/79/1073289379.db2.gz IPCAMABMOGWHON-HNNXBMFYSA-N 0 0 446.613 -0.487 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)CC2CCS(=O)(=O)CC2)C1 ZINC000553880711 1073289487 /nfs/dbraw/zinc/28/94/87/1073289487.db2.gz IPCAMABMOGWHON-OAHLLOKOSA-N 0 0 446.613 -0.487 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000553881081 1073290184 /nfs/dbraw/zinc/29/01/84/1073290184.db2.gz JEYZDMQHXOCILC-CYBMUJFWSA-N 0 0 440.613 -0.379 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCCN(C(=O)c3ccccc3)C2)CC1 ZINC000553881717 1073289854 /nfs/dbraw/zinc/28/98/54/1073289854.db2.gz MGUPQJYXQCZWLR-INIZCTEOSA-N 0 0 430.552 -0.297 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCCN(C(=O)c3ccccc3)C2)CC1 ZINC000553881718 1073289882 /nfs/dbraw/zinc/28/98/82/1073289882.db2.gz MGUPQJYXQCZWLR-MRXNPFEDSA-N 0 0 430.552 -0.297 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000553883103 1073290242 /nfs/dbraw/zinc/29/02/42/1073290242.db2.gz YROGFAXWQTZIAF-OAHLLOKOSA-N 0 0 429.543 -0.677 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000553885354 1073290310 /nfs/dbraw/zinc/29/03/10/1073290310.db2.gz HZFJATURBFFDQX-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)[C@H](C)C1 ZINC000553885361 1073290537 /nfs/dbraw/zinc/29/05/37/1073290537.db2.gz CZDUKMVVYWQEOR-CYBMUJFWSA-N 0 0 439.581 -0.052 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)C1 ZINC000553885434 1073290606 /nfs/dbraw/zinc/29/06/06/1073290606.db2.gz BFWCJQNFMYGWCE-SNVBAGLBSA-N 0 0 431.496 -0.410 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H]1CCN(Cc2ccc(OC)cc2OC)C1=O ZINC000553885544 1073290287 /nfs/dbraw/zinc/29/02/87/1073290287.db2.gz DJAGNSOWTZZNPA-HNNXBMFYSA-N 0 0 449.551 -0.337 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000553885667 1073290253 /nfs/dbraw/zinc/29/02/53/1073290253.db2.gz GLRKOORRVUEMTG-CYBMUJFWSA-N 0 0 435.524 -0.213 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H]1CCN(Cc2ccc(OC)cc2OC)C1=O ZINC000553885694 1073290266 /nfs/dbraw/zinc/29/02/66/1073290266.db2.gz DJAGNSOWTZZNPA-OAHLLOKOSA-N 0 0 449.551 -0.337 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)[C@H](C)C1 ZINC000553886408 1073290571 /nfs/dbraw/zinc/29/05/71/1073290571.db2.gz JWKKZURWROOJCJ-CYBMUJFWSA-N 0 0 431.602 -0.656 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000553886544 1073290587 /nfs/dbraw/zinc/29/05/87/1073290587.db2.gz LVWQZTRSURMLCI-GFCCVEGCSA-N 0 0 440.569 -0.856 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)[C@H](C)C1 ZINC000553886717 1073290555 /nfs/dbraw/zinc/29/05/55/1073290555.db2.gz MHJCAXZQFNYRDH-CYBMUJFWSA-N 0 0 439.581 -0.019 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)[C@H](C)C1 ZINC000553887524 1073290680 /nfs/dbraw/zinc/29/06/80/1073290680.db2.gz SVLQAPXIRPGTCV-CYBMUJFWSA-N 0 0 439.581 -0.019 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)C2CN(C(=O)c3ccccc3)C2)C1 ZINC000553887867 1073290639 /nfs/dbraw/zinc/29/06/39/1073290639.db2.gz YCIQDHHNKKRHPL-OAHLLOKOSA-N 0 0 430.552 -0.299 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000553888177 1073290526 /nfs/dbraw/zinc/29/05/26/1073290526.db2.gz DDGNRXQAQROTDL-CYBMUJFWSA-N 0 0 441.462 -0.984 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000553888178 1073290635 /nfs/dbraw/zinc/29/06/35/1073290635.db2.gz DDGNRXQAQROTDL-ZDUSSCGKSA-N 0 0 441.462 -0.984 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000553888868 1073290650 /nfs/dbraw/zinc/29/06/50/1073290650.db2.gz MCGLRVHADBJJMI-UHFFFAOYSA-N 0 0 446.475 -0.091 20 0 IBADRN CCOc1cc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1OC ZINC000553893727 1073290271 /nfs/dbraw/zinc/29/02/71/1073290271.db2.gz CJBXJZIJNTWJNY-UHFFFAOYSA-N 0 0 431.453 -0.932 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(S(=O)(=O)NC)CC2)c1OC ZINC000553894485 1073290236 /nfs/dbraw/zinc/29/02/36/1073290236.db2.gz FIQNODZMXBCEIK-UHFFFAOYSA-N 0 0 440.931 -0.521 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)c1ccccc1 ZINC000553894639 1073290142 /nfs/dbraw/zinc/29/01/42/1073290142.db2.gz PYMOKQNCHYRSGH-HLIPFELVSA-N 0 0 434.537 -0.092 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)c1ccccc1 ZINC000553894640 1073290173 /nfs/dbraw/zinc/29/01/73/1073290173.db2.gz PYMOKQNCHYRSGH-MBOZVWFJSA-N 0 0 434.537 -0.092 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CN1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)c1ccccc1 ZINC000553894642 1073290162 /nfs/dbraw/zinc/29/01/62/1073290162.db2.gz PYMOKQNCHYRSGH-QKLQHJQFSA-N 0 0 434.537 -0.092 20 0 IBADRN CNC(=O)[C@H](NC(=O)CN1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)c1ccccc1 ZINC000553894644 1073290232 /nfs/dbraw/zinc/29/02/32/1073290232.db2.gz PYMOKQNCHYRSGH-SQGPQFPESA-N 0 0 434.537 -0.092 20 0 IBADRN CCOC(=O)c1cc(F)c(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)NC)CC2)c1 ZINC000553896801 1073290222 /nfs/dbraw/zinc/29/02/22/1073290222.db2.gz XQMYDHCJAYJSHE-UHFFFAOYSA-N 0 0 439.487 -0.219 20 0 IBADRN NC(=O)NCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1NC[C@H]1CCCO1 ZINC000553900062 1073290105 /nfs/dbraw/zinc/29/01/05/1073290105.db2.gz JISRYVRIFFEIHC-CYBMUJFWSA-N 0 0 441.510 -0.095 20 0 IBADRN NC(=O)NCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1NC[C@@H]1CCCO1 ZINC000553900063 1073290215 /nfs/dbraw/zinc/29/02/15/1073290215.db2.gz JISRYVRIFFEIHC-ZDUSSCGKSA-N 0 0 441.510 -0.095 20 0 IBADRN Cc1cc(C)cc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000553903097 1073290623 /nfs/dbraw/zinc/29/06/23/1073290623.db2.gz XLTHJOFZWRGQPP-HNNXBMFYSA-N 0 0 428.493 -0.031 20 0 IBADRN Cc1cc(C)cc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000553903098 1073290564 /nfs/dbraw/zinc/29/05/64/1073290564.db2.gz XLTHJOFZWRGQPP-OAHLLOKOSA-N 0 0 428.493 -0.031 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)n1 ZINC000553903120 1073290674 /nfs/dbraw/zinc/29/06/74/1073290674.db2.gz XWTHYBXTTRQOAK-AWEZNQCLSA-N 0 0 439.538 -0.338 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCN([C@H](C)C(=O)N3CCOCC3)CC2)n1 ZINC000553903124 1073290671 /nfs/dbraw/zinc/29/06/71/1073290671.db2.gz XWTHYBXTTRQOAK-CQSZACIVSA-N 0 0 439.538 -0.338 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC(=O)N1C ZINC000553903843 1073290598 /nfs/dbraw/zinc/29/05/98/1073290598.db2.gz VAIUJYNDHAWNNP-CRAIPNDOSA-N 0 0 447.521 -0.197 20 0 IBADRN O=C(NC[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000553904891 1073291077 /nfs/dbraw/zinc/29/10/77/1073291077.db2.gz BRXSJMOBSWMUEC-KRWDZBQOSA-N 0 0 448.545 -0.212 20 0 IBADRN O=C(NC[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000553904896 1073291203 /nfs/dbraw/zinc/29/12/03/1073291203.db2.gz BRXSJMOBSWMUEC-QGZVFWFLSA-N 0 0 448.545 -0.212 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000553907366 1073291230 /nfs/dbraw/zinc/29/12/30/1073291230.db2.gz LNHKITBJEQAWHZ-HNNXBMFYSA-N 0 0 434.518 -0.030 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000553907368 1073291063 /nfs/dbraw/zinc/29/10/63/1073291063.db2.gz LNHKITBJEQAWHZ-OAHLLOKOSA-N 0 0 434.518 -0.030 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000553908494 1073291125 /nfs/dbraw/zinc/29/11/25/1073291125.db2.gz PBNVETXTQPTEPK-ZDUSSCGKSA-N 0 0 425.554 -0.692 20 0 IBADRN NS(=O)(=O)c1ccccc1CNS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000553911969 1073290993 /nfs/dbraw/zinc/29/09/93/1073290993.db2.gz NCSGYNIDRPUXDA-UHFFFAOYSA-N 0 0 433.533 -0.268 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000553912061 1073291177 /nfs/dbraw/zinc/29/11/77/1073291177.db2.gz AGYOFSXAQKCKJT-LLVKDONJSA-N 0 0 438.494 -0.078 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000553912066 1073291186 /nfs/dbraw/zinc/29/11/86/1073291186.db2.gz AGYOFSXAQKCKJT-NSHDSACASA-N 0 0 438.494 -0.078 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCOCC4)n3)CC2)n1 ZINC000553912666 1073363787 /nfs/dbraw/zinc/36/37/87/1073363787.db2.gz IKBCZLWZOUQOLY-UHFFFAOYSA-N 0 0 432.510 -0.683 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1)N1CCCC1 ZINC000553912930 1073291530 /nfs/dbraw/zinc/29/15/30/1073291530.db2.gz KAWSJQHXBRQEMZ-UHFFFAOYSA-N 0 0 444.536 -0.699 20 0 IBADRN Cn1c(CN2CCN(Cc3cc(=O)n(C)c(=O)n3C)c3ccccc32)cc(=O)n(C)c1=O ZINC000553913137 1073291160 /nfs/dbraw/zinc/29/11/60/1073291160.db2.gz KQIDYJSCNUVUGW-UHFFFAOYSA-N 0 0 438.488 -0.492 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccccc2S(C)(=O)=O)C1 ZINC000553913605 1073291050 /nfs/dbraw/zinc/29/10/50/1073291050.db2.gz ZRKPISQKODMVPP-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)C(F)(F)F ZINC000553913664 1073291216 /nfs/dbraw/zinc/29/12/16/1073291216.db2.gz OFMKABXHQOZPKP-GHMZBOCLSA-N 0 0 426.421 -0.353 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)C(F)(F)F ZINC000553913667 1073291227 /nfs/dbraw/zinc/29/12/27/1073291227.db2.gz OFMKABXHQOZPKP-MNOVXSKESA-N 0 0 426.421 -0.353 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)C(F)(F)F ZINC000553913668 1073291208 /nfs/dbraw/zinc/29/12/08/1073291208.db2.gz OFMKABXHQOZPKP-QWRGUYRKSA-N 0 0 426.421 -0.353 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)C(F)(F)F ZINC000553913669 1073291219 /nfs/dbraw/zinc/29/12/19/1073291219.db2.gz OFMKABXHQOZPKP-WDEREUQCSA-N 0 0 426.421 -0.353 20 0 IBADRN COC(=O)C(C)(C)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000553914024 1073291425 /nfs/dbraw/zinc/29/14/25/1073291425.db2.gz QUCHDTVMVAIREB-UHFFFAOYSA-N 0 0 430.483 -0.016 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000553914098 1073291544 /nfs/dbraw/zinc/29/15/44/1073291544.db2.gz SUZPFSZXIRSWFK-AAEUAGOBSA-N 0 0 443.492 -0.197 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000553914102 1073291456 /nfs/dbraw/zinc/29/14/56/1073291456.db2.gz SUZPFSZXIRSWFK-DGCLKSJQSA-N 0 0 443.492 -0.197 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000553914105 1073291403 /nfs/dbraw/zinc/29/14/03/1073291403.db2.gz SUZPFSZXIRSWFK-WCQYABFASA-N 0 0 443.492 -0.197 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000553914106 1073291464 /nfs/dbraw/zinc/29/14/64/1073291464.db2.gz SUZPFSZXIRSWFK-YPMHNXCESA-N 0 0 443.492 -0.197 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2=O)n(C)n1 ZINC000553914406 1073291511 /nfs/dbraw/zinc/29/15/11/1073291511.db2.gz ZEASPALQSPVODL-GHMZBOCLSA-N 0 0 440.448 -0.045 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2=O)n(C)n1 ZINC000553914407 1073291553 /nfs/dbraw/zinc/29/15/53/1073291553.db2.gz ZEASPALQSPVODL-MNOVXSKESA-N 0 0 440.448 -0.045 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2=O)n(C)n1 ZINC000553914408 1073291519 /nfs/dbraw/zinc/29/15/19/1073291519.db2.gz ZEASPALQSPVODL-QWRGUYRKSA-N 0 0 440.448 -0.045 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2=O)n(C)n1 ZINC000553914409 1073291478 /nfs/dbraw/zinc/29/14/78/1073291478.db2.gz ZEASPALQSPVODL-WDEREUQCSA-N 0 0 440.448 -0.045 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCN(c2cnn(C)c2)C(=O)C1)C(F)(F)F ZINC000553914740 1073291486 /nfs/dbraw/zinc/29/14/86/1073291486.db2.gz YRXXBHSLCFWQMY-LLVKDONJSA-N 0 0 426.421 -0.399 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCN(c2cnn(C)c2)C(=O)C1)C(F)(F)F ZINC000553914741 1073291548 /nfs/dbraw/zinc/29/15/48/1073291548.db2.gz YRXXBHSLCFWQMY-NSHDSACASA-N 0 0 426.421 -0.399 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)nn1 ZINC000553915939 1073291412 /nfs/dbraw/zinc/29/14/12/1073291412.db2.gz UCKBBSHUVBDMAY-GXSJLCMTSA-N 0 0 442.420 -0.157 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)nn1 ZINC000553915940 1073291419 /nfs/dbraw/zinc/29/14/19/1073291419.db2.gz UCKBBSHUVBDMAY-KOLCDFICSA-N 0 0 442.420 -0.157 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)nn1 ZINC000553915941 1073291436 /nfs/dbraw/zinc/29/14/36/1073291436.db2.gz UCKBBSHUVBDMAY-MWLCHTKSSA-N 0 0 442.420 -0.157 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)nn1 ZINC000553915942 1073291525 /nfs/dbraw/zinc/29/15/25/1073291525.db2.gz UCKBBSHUVBDMAY-ONGXEEELSA-N 0 0 442.420 -0.157 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000553916639 1073291501 /nfs/dbraw/zinc/29/15/01/1073291501.db2.gz JNYNVYSZCKWZGM-CQSZACIVSA-N 0 0 426.561 -0.633 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000553919037 1073290629 /nfs/dbraw/zinc/29/06/29/1073290629.db2.gz KCFXNKQPLOXDFS-CVEARBPZSA-N 0 0 433.552 -0.110 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000553919039 1073290580 /nfs/dbraw/zinc/29/05/80/1073290580.db2.gz KCFXNKQPLOXDFS-HOTGVXAUSA-N 0 0 433.552 -0.110 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000553919040 1073290691 /nfs/dbraw/zinc/29/06/91/1073290691.db2.gz KCFXNKQPLOXDFS-HZPDHXFCSA-N 0 0 433.552 -0.110 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000553919043 1073290544 /nfs/dbraw/zinc/29/05/44/1073290544.db2.gz KCFXNKQPLOXDFS-JKSUJKDBSA-N 0 0 433.552 -0.110 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000553926663 1073291979 /nfs/dbraw/zinc/29/19/79/1073291979.db2.gz FWDZOJLYHNLCHQ-UHFFFAOYSA-N 0 0 440.341 -0.169 20 0 IBADRN Cc1nn(C)c(C)c1CN1CCN(C(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)CC1 ZINC000553928208 1073291960 /nfs/dbraw/zinc/29/19/60/1073291960.db2.gz UVKVJXVWNJFABL-KRWDZBQOSA-N 0 0 428.541 -0.040 20 0 IBADRN Cc1nn(C)c(C)c1CN1CCN(C(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)CC1 ZINC000553928215 1073292031 /nfs/dbraw/zinc/29/20/31/1073292031.db2.gz UVKVJXVWNJFABL-QGZVFWFLSA-N 0 0 428.541 -0.040 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCCCC3)CC1)CC2 ZINC000553928534 1073292020 /nfs/dbraw/zinc/29/20/20/1073292020.db2.gz FAPHGJHGSWXAKK-KRWDZBQOSA-N 0 0 431.541 -0.578 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCCCC3)CC1)CC2 ZINC000553928539 1073292013 /nfs/dbraw/zinc/29/20/13/1073292013.db2.gz FAPHGJHGSWXAKK-QGZVFWFLSA-N 0 0 431.541 -0.578 20 0 IBADRN CCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1)CC2 ZINC000553929165 1073291847 /nfs/dbraw/zinc/29/18/47/1073291847.db2.gz HFWCKTDMDYIAJQ-CABCVRRESA-N 0 0 449.537 -0.514 20 0 IBADRN CCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1)CC2 ZINC000553929173 1073291874 /nfs/dbraw/zinc/29/18/74/1073291874.db2.gz HFWCKTDMDYIAJQ-GJZGRUSLSA-N 0 0 449.537 -0.514 20 0 IBADRN CCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1)CC2 ZINC000553929174 1073291991 /nfs/dbraw/zinc/29/19/91/1073291991.db2.gz HFWCKTDMDYIAJQ-HUUCEWRRSA-N 0 0 449.537 -0.514 20 0 IBADRN CCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1)CC2 ZINC000553929178 1073292001 /nfs/dbraw/zinc/29/20/01/1073292001.db2.gz HFWCKTDMDYIAJQ-LSDHHAIUSA-N 0 0 449.537 -0.514 20 0 IBADRN CCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c3cccnc3)CC1)CC2 ZINC000553930917 1073291863 /nfs/dbraw/zinc/29/18/63/1073291863.db2.gz QYPAKUWNXVUGCH-AWEZNQCLSA-N 0 0 447.521 -0.800 20 0 IBADRN CCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c3cccnc3)CC1)CC2 ZINC000553930921 1073292007 /nfs/dbraw/zinc/29/20/07/1073292007.db2.gz QYPAKUWNXVUGCH-CQSZACIVSA-N 0 0 447.521 -0.800 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)CC1 ZINC000553930944 1073291918 /nfs/dbraw/zinc/29/19/18/1073291918.db2.gz MWNHUCDCLKEGQJ-KRWDZBQOSA-N 0 0 433.557 -0.332 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)CC1 ZINC000553930950 1073292292 /nfs/dbraw/zinc/29/22/92/1073292292.db2.gz MWNHUCDCLKEGQJ-QGZVFWFLSA-N 0 0 433.557 -0.332 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN(c3nccn4cnnc34)[C@@H](C)C1)CC2 ZINC000553931373 1073292360 /nfs/dbraw/zinc/29/23/60/1073292360.db2.gz PJBDQSHSBDNWAJ-DZGCQCFKSA-N 0 0 438.496 -0.560 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(c3nccn4cnnc34)[C@H](C)C1)CC2 ZINC000553931379 1073292276 /nfs/dbraw/zinc/29/22/76/1073292276.db2.gz PJBDQSHSBDNWAJ-HIFRSBDPSA-N 0 0 438.496 -0.560 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN(c3nccn4cnnc34)[C@H](C)C1)CC2 ZINC000553931381 1073292348 /nfs/dbraw/zinc/29/23/48/1073292348.db2.gz PJBDQSHSBDNWAJ-UKRRQHHQSA-N 0 0 438.496 -0.560 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(c3nccn4cnnc34)[C@@H](C)C1)CC2 ZINC000553931382 1073292321 /nfs/dbraw/zinc/29/23/21/1073292321.db2.gz PJBDQSHSBDNWAJ-ZFWWWQNUSA-N 0 0 438.496 -0.560 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000553931582 1073292421 /nfs/dbraw/zinc/29/24/21/1073292421.db2.gz XWQKFHDDMQTPNR-UHFFFAOYSA-N 0 0 445.441 -0.033 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)s1 ZINC000553931846 1073292404 /nfs/dbraw/zinc/29/24/04/1073292404.db2.gz RBEZAGRTCAWMQX-CYBMUJFWSA-N 0 0 440.551 -0.270 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)s1 ZINC000553931852 1073292198 /nfs/dbraw/zinc/29/21/98/1073292198.db2.gz RBEZAGRTCAWMQX-ZDUSSCGKSA-N 0 0 440.551 -0.270 20 0 IBADRN CC[C@H]1CN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C[C@@H](C)S1(=O)=O ZINC000553932668 1073292410 /nfs/dbraw/zinc/29/24/10/1073292410.db2.gz FLGCPPWERWBWLZ-CABCVRRESA-N 0 0 435.554 -0.091 20 0 IBADRN CC[C@H]1CN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C[C@H](C)S1(=O)=O ZINC000553932782 1073292213 /nfs/dbraw/zinc/29/22/13/1073292213.db2.gz FLGCPPWERWBWLZ-GJZGRUSLSA-N 0 0 435.554 -0.091 20 0 IBADRN CC[C@@H]1CN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C[C@@H](C)S1(=O)=O ZINC000553932783 1073292364 /nfs/dbraw/zinc/29/23/64/1073292364.db2.gz FLGCPPWERWBWLZ-HUUCEWRRSA-N 0 0 435.554 -0.091 20 0 IBADRN CC[C@@H]1CN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C[C@H](C)S1(=O)=O ZINC000553932784 1073292258 /nfs/dbraw/zinc/29/22/58/1073292258.db2.gz FLGCPPWERWBWLZ-LSDHHAIUSA-N 0 0 435.554 -0.091 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)cc1 ZINC000553934201 1073292244 /nfs/dbraw/zinc/29/22/44/1073292244.db2.gz BEAXSMZKEOMMFH-DLBZAZTESA-N 0 0 426.491 -0.009 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H](Cc1cccc(OC)c1)n1nnnc1C ZINC000553935273 1073292230 /nfs/dbraw/zinc/29/22/30/1073292230.db2.gz VDJPDGLPPCKFFS-DLBZAZTESA-N 0 0 429.481 -0.373 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H](Cc1cccc(OC)c1)n1nnnc1C ZINC000553935274 1073292315 /nfs/dbraw/zinc/29/23/15/1073292315.db2.gz VDJPDGLPPCKFFS-IAGOWNOFSA-N 0 0 429.481 -0.373 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](Cc1cccc(OC)c1)n1nnnc1C ZINC000553935275 1073292354 /nfs/dbraw/zinc/29/23/54/1073292354.db2.gz VDJPDGLPPCKFFS-IRXDYDNUSA-N 0 0 429.481 -0.373 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H](Cc1cccc(OC)c1)n1nnnc1C ZINC000553935276 1073292839 /nfs/dbraw/zinc/29/28/39/1073292839.db2.gz VDJPDGLPPCKFFS-SJORKVTESA-N 0 0 429.481 -0.373 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCc2c(OC)cc(OC)cc2OC)CC1 ZINC000553940922 1073291886 /nfs/dbraw/zinc/29/18/86/1073291886.db2.gz KQTXVVMFPKAQSE-UHFFFAOYSA-N 0 0 436.509 -0.349 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H](C)C3(S(C)(=O)=O)CC3)CC2)cn1C ZINC000553942893 1073292027 /nfs/dbraw/zinc/29/20/27/1073292027.db2.gz DESNHBUPJUCUEY-GFCCVEGCSA-N 0 0 433.556 -0.290 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H](C)C3(S(C)(=O)=O)CC3)CC2)cn1C ZINC000553942896 1073291951 /nfs/dbraw/zinc/29/19/51/1073291951.db2.gz DESNHBUPJUCUEY-LBPRGKRZSA-N 0 0 433.556 -0.290 20 0 IBADRN O=C(CN1CCN(C(=O)NCCCN2C(=O)c3ccccc3C2=O)CC1)N1CCOCC1 ZINC000553944078 1073292764 /nfs/dbraw/zinc/29/27/64/1073292764.db2.gz CZVILVAALQJUJX-UHFFFAOYSA-N 0 0 443.504 -0.141 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000553949268 1073293104 /nfs/dbraw/zinc/29/31/04/1073293104.db2.gz PVRVHSXKPHZYAH-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN C[C@@H](OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1nccn1C ZINC000553949466 1073293317 /nfs/dbraw/zinc/29/33/17/1073293317.db2.gz ADNQRXVXFGKNHN-GFCCVEGCSA-N 0 0 425.467 -0.277 20 0 IBADRN C[C@H](OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1nccn1C ZINC000553949475 1073293211 /nfs/dbraw/zinc/29/32/11/1073293211.db2.gz ADNQRXVXFGKNHN-LBPRGKRZSA-N 0 0 425.467 -0.277 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)CCNC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000553952363 1073293189 /nfs/dbraw/zinc/29/31/89/1073293189.db2.gz SXUPYDQLLLYMOU-HNNXBMFYSA-N 0 0 425.507 -0.413 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)CCNC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000553952364 1073293197 /nfs/dbraw/zinc/29/31/97/1073293197.db2.gz SXUPYDQLLLYMOU-OAHLLOKOSA-N 0 0 425.507 -0.413 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C1(S(C)(=O)=O)CC1 ZINC000553954079 1073293294 /nfs/dbraw/zinc/29/32/94/1073293294.db2.gz ZHBZUSUOYIKAFJ-LLVKDONJSA-N 0 0 448.523 -0.640 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C1(S(C)(=O)=O)CC1 ZINC000553954083 1073293304 /nfs/dbraw/zinc/29/33/04/1073293304.db2.gz ZHBZUSUOYIKAFJ-NSHDSACASA-N 0 0 448.523 -0.640 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)CCNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000553955053 1073293201 /nfs/dbraw/zinc/29/32/01/1073293201.db2.gz DCRHNKDDHLPLJR-HNNXBMFYSA-N 0 0 445.563 -0.237 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)CCNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000553955058 1073293223 /nfs/dbraw/zinc/29/32/23/1073293223.db2.gz DCRHNKDDHLPLJR-OAHLLOKOSA-N 0 0 445.563 -0.237 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000553956439 1073293309 /nfs/dbraw/zinc/29/33/09/1073293309.db2.gz BBQITTCDESGBFH-CQSZACIVSA-N 0 0 427.527 -0.925 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)Cc3nncn3C)CC2)cc1 ZINC000553957012 1073293240 /nfs/dbraw/zinc/29/32/40/1073293240.db2.gz ARNQERCSGATGQF-UHFFFAOYSA-N 0 0 434.522 -0.017 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1)N1CCCC1 ZINC000553958327 1073292559 /nfs/dbraw/zinc/29/25/59/1073292559.db2.gz VLEXQCNHLLCBQA-MRXNPFEDSA-N 0 0 427.571 -0.107 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)N2CCN(CCS(N)(=O)=O)CC2)s1 ZINC000553958393 1073292799 /nfs/dbraw/zinc/29/27/99/1073292799.db2.gz NSHQFMSQGZEHGK-NEPJUHHUSA-N 0 0 447.587 -0.321 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NC(=O)N2CCN(CCS(N)(=O)=O)CC2)s1 ZINC000553958399 1073292864 /nfs/dbraw/zinc/29/28/64/1073292864.db2.gz NSHQFMSQGZEHGK-NWDGAFQWSA-N 0 0 447.587 -0.321 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NC(=O)N2CCN(CCS(N)(=O)=O)CC2)s1 ZINC000553958400 1073292741 /nfs/dbraw/zinc/29/27/41/1073292741.db2.gz NSHQFMSQGZEHGK-RYUDHWBXSA-N 0 0 447.587 -0.321 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)N2CCN(CCS(N)(=O)=O)CC2)s1 ZINC000553958401 1073292751 /nfs/dbraw/zinc/29/27/51/1073292751.db2.gz NSHQFMSQGZEHGK-VXGBXAGGSA-N 0 0 447.587 -0.321 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)c(C(=O)OC)s1 ZINC000553962475 1073292602 /nfs/dbraw/zinc/29/26/02/1073292602.db2.gz MVHRQXYDDLFGGC-VIFPVBQESA-N 0 0 440.521 -0.024 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)c1 ZINC000553965274 1073292713 /nfs/dbraw/zinc/29/27/13/1073292713.db2.gz TUSBZBRNHKQQFF-AWEZNQCLSA-N 0 0 448.567 -0.594 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)c1 ZINC000553965275 1073292757 /nfs/dbraw/zinc/29/27/57/1073292757.db2.gz TUSBZBRNHKQQFF-CQSZACIVSA-N 0 0 448.567 -0.594 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)O1)N1CCOCC1 ZINC000553974772 1073293643 /nfs/dbraw/zinc/29/36/43/1073293643.db2.gz HGZOYYLIDBOVDC-JKSUJKDBSA-N 0 0 442.494 -0.945 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)s1 ZINC000553975427 1073293736 /nfs/dbraw/zinc/29/37/36/1073293736.db2.gz UWYGMMXMRJHDER-UONOGXRCSA-N 0 0 431.536 -0.143 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000553977904 1073294142 /nfs/dbraw/zinc/29/41/42/1073294142.db2.gz UASRNXTYRNLNON-HNNXBMFYSA-N 0 0 445.567 -0.088 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000553977907 1073294215 /nfs/dbraw/zinc/29/42/15/1073294215.db2.gz UASRNXTYRNLNON-OAHLLOKOSA-N 0 0 445.567 -0.088 20 0 IBADRN COc1ccc(CCNC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)cc1S(N)(=O)=O ZINC000553977909 1073294042 /nfs/dbraw/zinc/29/40/42/1073294042.db2.gz UDEHNAODQUJWSR-JKSUJKDBSA-N 0 0 441.506 -0.592 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000553980666 1073294101 /nfs/dbraw/zinc/29/41/01/1073294101.db2.gz NSPNLJRAXFPTNF-HNNXBMFYSA-N 0 0 435.912 -0.158 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000553980667 1073294052 /nfs/dbraw/zinc/29/40/52/1073294052.db2.gz NSPNLJRAXFPTNF-OAHLLOKOSA-N 0 0 435.912 -0.158 20 0 IBADRN O=C(NCc1ccc(-c2nn[nH]n2)cc1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000553983085 1073294165 /nfs/dbraw/zinc/29/41/65/1073294165.db2.gz JBJLVECEIDHLJX-UHFFFAOYSA-N 0 0 441.473 -0.083 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N[C@@H]2CN(c3ccc(Br)cc3)C2=O)c1 ZINC000553990535 1073294153 /nfs/dbraw/zinc/29/41/53/1073294153.db2.gz XEIQUKPJQGVIRB-GFCCVEGCSA-N 0 0 428.268 -0.175 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N[C@H]2CN(c3ccc(Br)cc3)C2=O)c1 ZINC000553990538 1073294034 /nfs/dbraw/zinc/29/40/34/1073294034.db2.gz XEIQUKPJQGVIRB-LBPRGKRZSA-N 0 0 428.268 -0.175 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000553992837 1073294664 /nfs/dbraw/zinc/29/46/64/1073294664.db2.gz OCUAEWIWXZZKLM-AWEZNQCLSA-N 0 0 435.447 -0.274 20 0 IBADRN O=C(NC[C@@H](N1CCOCC1)C(F)(F)F)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000553992842 1073294674 /nfs/dbraw/zinc/29/46/74/1073294674.db2.gz OCUAEWIWXZZKLM-CQSZACIVSA-N 0 0 435.447 -0.274 20 0 IBADRN Cc1cccnc1[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(C)C ZINC000553998179 1073294579 /nfs/dbraw/zinc/29/45/79/1073294579.db2.gz AUXPMYFXRCCZDP-KRWDZBQOSA-N 0 0 443.508 -0.308 20 0 IBADRN Cc1cccnc1[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(C)C ZINC000553998185 1073294638 /nfs/dbraw/zinc/29/46/38/1073294638.db2.gz AUXPMYFXRCCZDP-QGZVFWFLSA-N 0 0 443.508 -0.308 20 0 IBADRN C[S@@](=O)Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000553998705 1073294644 /nfs/dbraw/zinc/29/46/44/1073294644.db2.gz AFXXSTBFUOAJCO-MUUNZHRXSA-N 0 0 444.579 -0.031 20 0 IBADRN C[S@](=O)Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000553998706 1073294600 /nfs/dbraw/zinc/29/46/00/1073294600.db2.gz AFXXSTBFUOAJCO-NDEPHWFRSA-N 0 0 444.579 -0.031 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000554007056 1073295063 /nfs/dbraw/zinc/29/50/63/1073295063.db2.gz CPBMWVDAPRSGIH-AWEZNQCLSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000554007057 1073295106 /nfs/dbraw/zinc/29/51/06/1073295106.db2.gz CPBMWVDAPRSGIH-CQSZACIVSA-N 0 0 447.583 -0.033 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)nc1 ZINC000554007308 1073295036 /nfs/dbraw/zinc/29/50/36/1073295036.db2.gz PIFRWHMYPVWNTB-KRWDZBQOSA-N 0 0 430.509 -0.990 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)nc1 ZINC000554007310 1073295072 /nfs/dbraw/zinc/29/50/72/1073295072.db2.gz PIFRWHMYPVWNTB-QGZVFWFLSA-N 0 0 430.509 -0.990 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@H](OC)C(=O)OC)o1 ZINC000554008208 1073296125 /nfs/dbraw/zinc/29/61/25/1073296125.db2.gz WSXYFZVQOZOOFI-AAEUAGOBSA-N 0 0 432.451 -0.086 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@@H](OC)C(=O)OC)o1 ZINC000554008211 1073296089 /nfs/dbraw/zinc/29/60/89/1073296089.db2.gz WSXYFZVQOZOOFI-DGCLKSJQSA-N 0 0 432.451 -0.086 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@@H](OC)C(=O)OC)o1 ZINC000554008212 1073296059 /nfs/dbraw/zinc/29/60/59/1073296059.db2.gz WSXYFZVQOZOOFI-WCQYABFASA-N 0 0 432.451 -0.086 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC[C@H](OC)C(=O)OC)o1 ZINC000554008213 1073296084 /nfs/dbraw/zinc/29/60/84/1073296084.db2.gz WSXYFZVQOZOOFI-YPMHNXCESA-N 0 0 432.451 -0.086 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)OC ZINC000554008261 1073296055 /nfs/dbraw/zinc/29/60/55/1073296055.db2.gz YNOYRPWMHJAITO-HNNXBMFYSA-N 0 0 446.478 -0.358 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)OC ZINC000554008266 1073296001 /nfs/dbraw/zinc/29/60/01/1073296001.db2.gz YNOYRPWMHJAITO-OAHLLOKOSA-N 0 0 446.478 -0.358 20 0 IBADRN Cc1cc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)nc(N2CCCC2)n1 ZINC000554008663 1073294651 /nfs/dbraw/zinc/29/46/51/1073294651.db2.gz IRKLQNDHTMHVDD-UHFFFAOYSA-N 0 0 426.481 -0.161 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)C(=O)NCC(C)(C)Oc3ccccc3)CCO2)c1=O ZINC000554010219 1073305241 /nfs/dbraw/zinc/30/52/41/1073305241.db2.gz BUPQOUFQUYTPRD-KRWDZBQOSA-N 0 0 443.504 0.393 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)NCC(C)(C)Oc3ccccc3)CCO2)c1=O ZINC000554010222 1073304447 /nfs/dbraw/zinc/30/44/47/1073304447.db2.gz BUPQOUFQUYTPRD-QGZVFWFLSA-N 0 0 443.504 0.393 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1)N1CCOCC1 ZINC000554010303 1073296137 /nfs/dbraw/zinc/29/61/37/1073296137.db2.gz XRECTDKLMVDGED-UHFFFAOYSA-N 0 0 438.868 -0.274 20 0 IBADRN COC(=O)[C@H](CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)OC ZINC000554010947 1073296093 /nfs/dbraw/zinc/29/60/93/1073296093.db2.gz XBIHJBSKAGNIBI-INIZCTEOSA-N 0 0 441.506 -0.396 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)OC ZINC000554010948 1073296031 /nfs/dbraw/zinc/29/60/31/1073296031.db2.gz XBIHJBSKAGNIBI-MRXNPFEDSA-N 0 0 441.506 -0.396 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Oc1ccccc1 ZINC000554014354 1073296394 /nfs/dbraw/zinc/29/63/94/1073296394.db2.gz UWQTVBKEYNLIEH-UHFFFAOYSA-N 0 0 446.504 -0.510 20 0 IBADRN CC(C)Oc1ccc(NS(=O)(=O)CC(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000554017639 1073296510 /nfs/dbraw/zinc/29/65/10/1073296510.db2.gz NIDSAMBAHMORTM-UHFFFAOYSA-N 0 0 442.538 -0.220 20 0 IBADRN O=C(NC[C@@H]1CCc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000554018453 1073296375 /nfs/dbraw/zinc/29/63/75/1073296375.db2.gz OTRSTWCLQUFZLP-KRWDZBQOSA-N 0 0 428.489 -0.638 20 0 IBADRN O=C(NC[C@H]1CCc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000554018455 1073296536 /nfs/dbraw/zinc/29/65/36/1073296536.db2.gz OTRSTWCLQUFZLP-QGZVFWFLSA-N 0 0 428.489 -0.638 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3(C)COC3)CC2)c1 ZINC000554028128 1073295664 /nfs/dbraw/zinc/29/56/64/1073295664.db2.gz LKGWTSHJWOZONO-UHFFFAOYSA-N 0 0 441.506 -0.311 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@]3(C)CCOC3)CC2)C[C@H](C)O1 ZINC000554030899 1073295532 /nfs/dbraw/zinc/29/55/32/1073295532.db2.gz XQFKSVYILHUGSK-DAYGRLMNSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@]3(C)CCOC3)CC2)C[C@@H](C)O1 ZINC000554030907 1073295659 /nfs/dbraw/zinc/29/56/59/1073295659.db2.gz XQFKSVYILHUGSK-IIDMSEBBSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@]3(C)CCOC3)CC2)C[C@@H](C)O1 ZINC000554030908 1073295503 /nfs/dbraw/zinc/29/55/03/1073295503.db2.gz XQFKSVYILHUGSK-RKVPGOIHSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@]3(C)CCOC3)CC2)C[C@H](C)O1 ZINC000554030909 1073296009 /nfs/dbraw/zinc/29/60/09/1073296009.db2.gz XQFKSVYILHUGSK-RVKKMQEKSA-N 0 0 432.543 -0.973 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CN(C(=O)NC[C@@H]2CS(=O)(=O)CCO2)C[C@H]1C(F)(F)F ZINC000554034541 1073297471 /nfs/dbraw/zinc/29/74/71/1073297471.db2.gz VVEYAPMSFPVIHI-GMTAPVOTSA-N 0 0 437.462 -0.736 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CN(C(=O)NC[C@H]2CS(=O)(=O)CCO2)C[C@H]1C(F)(F)F ZINC000554034542 1073297326 /nfs/dbraw/zinc/29/73/26/1073297326.db2.gz VVEYAPMSFPVIHI-HBNTYKKESA-N 0 0 437.462 -0.736 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)C2)nn1 ZINC000554035658 1073297854 /nfs/dbraw/zinc/29/78/54/1073297854.db2.gz GAKNIZBQSOMYHF-KGLIPLIRSA-N 0 0 443.530 -0.318 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)C2)nn1 ZINC000554035661 1073297965 /nfs/dbraw/zinc/29/79/65/1073297965.db2.gz GAKNIZBQSOMYHF-ZIAGYGMSSA-N 0 0 443.530 -0.318 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)C1 ZINC000554035844 1073297416 /nfs/dbraw/zinc/29/74/16/1073297416.db2.gz KKVPWUFASKMDJJ-HUUCEWRRSA-N 0 0 439.604 -0.430 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)C1 ZINC000554035845 1073297893 /nfs/dbraw/zinc/29/78/93/1073297893.db2.gz KKVPWUFASKMDJJ-LSDHHAIUSA-N 0 0 439.604 -0.430 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000554035862 1073297972 /nfs/dbraw/zinc/29/79/72/1073297972.db2.gz LAOJJKLRBHTIJD-MRXNPFEDSA-N 0 0 441.554 -0.076 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000554036055 1073297949 /nfs/dbraw/zinc/29/79/49/1073297949.db2.gz LMMLAUCPXKRDCA-MRXNPFEDSA-N 0 0 426.543 -0.012 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000554036095 1073297984 /nfs/dbraw/zinc/29/79/84/1073297984.db2.gz LSYMEUDWLYPORP-CQSZACIVSA-N 0 0 433.556 -0.554 20 0 IBADRN COc1ccnc(N2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)CC2)n1 ZINC000554036869 1073297930 /nfs/dbraw/zinc/29/79/30/1073297930.db2.gz TYZBAAWLDFVQFQ-CQSZACIVSA-N 0 0 427.531 -0.412 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)C1 ZINC000554036886 1073297977 /nfs/dbraw/zinc/29/79/77/1073297977.db2.gz UETSVGDZUSGALP-OAHLLOKOSA-N 0 0 448.567 -0.477 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000554038143 1073296432 /nfs/dbraw/zinc/29/64/32/1073296432.db2.gz KKMAKZYSYFZBOW-QGZVFWFLSA-N 0 0 446.618 -0.157 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)CC2)n1 ZINC000554038398 1073296520 /nfs/dbraw/zinc/29/65/20/1073296520.db2.gz MPECTVMUOSFADI-CQSZACIVSA-N 0 0 445.546 -0.686 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)N2CCN(Cc3cccs3)CC2)C1=O ZINC000554040076 1073296977 /nfs/dbraw/zinc/29/69/77/1073296977.db2.gz CUAAHLVEUNVVBK-AWEZNQCLSA-N 0 0 428.536 -0.253 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)N2CCN(Cc3cccs3)CC2)C1=O ZINC000554040077 1073297087 /nfs/dbraw/zinc/29/70/87/1073297087.db2.gz CUAAHLVEUNVVBK-CQSZACIVSA-N 0 0 428.536 -0.253 20 0 IBADRN Cc1nc(C)c(CCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000554040967 1073296523 /nfs/dbraw/zinc/29/65/23/1073296523.db2.gz WATGHYDHWKZQPC-HNNXBMFYSA-N 0 0 437.566 -0.190 20 0 IBADRN Cc1nc(C)c(CCNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000554040968 1073296503 /nfs/dbraw/zinc/29/65/03/1073296503.db2.gz WATGHYDHWKZQPC-OAHLLOKOSA-N 0 0 437.566 -0.190 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NC[C@]2(C)CCOC2)CC1 ZINC000554041156 1073297058 /nfs/dbraw/zinc/29/70/58/1073297058.db2.gz ZAQFVJCROZQSCR-FQEVSTJZSA-N 0 0 438.550 -0.218 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NC[C@@]2(C)CCOC2)CC1 ZINC000554041157 1073297069 /nfs/dbraw/zinc/29/70/69/1073297069.db2.gz ZAQFVJCROZQSCR-HXUWFJFHSA-N 0 0 438.550 -0.218 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000554043684 1073297370 /nfs/dbraw/zinc/29/73/70/1073297370.db2.gz MPTDDPBLBHZBHO-GOSISDBHSA-N 0 0 438.550 -0.060 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000554043685 1073297486 /nfs/dbraw/zinc/29/74/86/1073297486.db2.gz MPTDDPBLBHZBHO-SFHVURJKSA-N 0 0 438.550 -0.060 20 0 IBADRN CCc1nc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cs1 ZINC000554048166 1073297540 /nfs/dbraw/zinc/29/75/40/1073297540.db2.gz VPVUTJXCWWZAAV-CYBMUJFWSA-N 0 0 437.522 -0.588 20 0 IBADRN CCc1nc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cs1 ZINC000554048167 1073297381 /nfs/dbraw/zinc/29/73/81/1073297381.db2.gz VPVUTJXCWWZAAV-ZDUSSCGKSA-N 0 0 437.522 -0.588 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)C1 ZINC000554055775 1073298822 /nfs/dbraw/zinc/29/88/22/1073298822.db2.gz IFZUOJQCMURCFX-MANSERQUSA-N 0 0 427.542 -0.382 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)C1 ZINC000554055776 1073298719 /nfs/dbraw/zinc/29/87/19/1073298719.db2.gz IFZUOJQCMURCFX-RDGPPVDQSA-N 0 0 427.542 -0.382 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)C1 ZINC000554055777 1073298812 /nfs/dbraw/zinc/29/88/12/1073298812.db2.gz IFZUOJQCMURCFX-WJFTUGDTSA-N 0 0 427.542 -0.382 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)C1 ZINC000554055778 1073298745 /nfs/dbraw/zinc/29/87/45/1073298745.db2.gz IFZUOJQCMURCFX-ZSYWTGECSA-N 0 0 427.542 -0.382 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000554058730 1073298842 /nfs/dbraw/zinc/29/88/42/1073298842.db2.gz UFZDFBIVFRDGBX-OAHLLOKOSA-N 0 0 432.568 -0.134 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)CC2)ncn1 ZINC000554058843 1073298772 /nfs/dbraw/zinc/29/87/72/1073298772.db2.gz DMRLMSBMZNTGNV-DLBZAZTESA-N 0 0 432.525 -0.107 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)CC2)ncn1 ZINC000554058844 1073298785 /nfs/dbraw/zinc/29/87/85/1073298785.db2.gz DMRLMSBMZNTGNV-IAGOWNOFSA-N 0 0 432.525 -0.107 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)CC2)ncn1 ZINC000554058845 1073298659 /nfs/dbraw/zinc/29/86/59/1073298659.db2.gz DMRLMSBMZNTGNV-IRXDYDNUSA-N 0 0 432.525 -0.107 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)CC2)ncn1 ZINC000554058846 1073298678 /nfs/dbraw/zinc/29/86/78/1073298678.db2.gz DMRLMSBMZNTGNV-SJORKVTESA-N 0 0 432.525 -0.107 20 0 IBADRN O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000554059739 1073298800 /nfs/dbraw/zinc/29/88/00/1073298800.db2.gz SXKKEOGZLBKKFJ-KKUMJFAQSA-N 0 0 425.448 -0.120 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000554059740 1073298755 /nfs/dbraw/zinc/29/87/55/1073298755.db2.gz SXKKEOGZLBKKFJ-RRFJBIMHSA-N 0 0 425.448 -0.120 20 0 IBADRN O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000554059741 1073298693 /nfs/dbraw/zinc/29/86/93/1073298693.db2.gz SXKKEOGZLBKKFJ-SOUVJXGZSA-N 0 0 425.448 -0.120 20 0 IBADRN O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000554059742 1073298705 /nfs/dbraw/zinc/29/87/05/1073298705.db2.gz SXKKEOGZLBKKFJ-ZNMIVQPWSA-N 0 0 425.448 -0.120 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)C1=O ZINC000554059770 1073298671 /nfs/dbraw/zinc/29/86/71/1073298671.db2.gz VASGXVWMIAMKRM-BZSNNMDCSA-N 0 0 446.552 -0.213 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)C1=O ZINC000554059771 1073298646 /nfs/dbraw/zinc/29/86/46/1073298646.db2.gz VASGXVWMIAMKRM-FGTMMUONSA-N 0 0 446.552 -0.213 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)C1=O ZINC000554059772 1073299161 /nfs/dbraw/zinc/29/91/61/1073299161.db2.gz VASGXVWMIAMKRM-OKZBNKHCSA-N 0 0 446.552 -0.213 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)C1=O ZINC000554059773 1073298736 /nfs/dbraw/zinc/29/87/36/1073298736.db2.gz VASGXVWMIAMKRM-SQNIBIBYSA-N 0 0 446.552 -0.213 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)cn1 ZINC000554061712 1073299292 /nfs/dbraw/zinc/29/92/92/1073299292.db2.gz MIUFQTPJUYAISZ-FAJBIJEISA-N 0 0 432.525 -0.384 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)cn1 ZINC000554061713 1073299189 /nfs/dbraw/zinc/29/91/89/1073299189.db2.gz MIUFQTPJUYAISZ-MTNOOBJLSA-N 0 0 432.525 -0.384 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)cn1 ZINC000554061714 1073299237 /nfs/dbraw/zinc/29/92/37/1073299237.db2.gz MIUFQTPJUYAISZ-VXNCWWDNSA-N 0 0 432.525 -0.384 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)cn1 ZINC000554061716 1073299152 /nfs/dbraw/zinc/29/91/52/1073299152.db2.gz MIUFQTPJUYAISZ-ZMMAXQRCSA-N 0 0 432.525 -0.384 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCc4c(nnn4-c4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000554065179 1073299680 /nfs/dbraw/zinc/29/96/80/1073299680.db2.gz HVFWJFQPQQGSKZ-UHFFFAOYSA-N 0 0 434.460 -0.011 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)no1 ZINC000554065586 1073335963 /nfs/dbraw/zinc/33/59/63/1073335963.db2.gz HCSDOWHNDRZGCD-CHWSQXEVSA-N 0 0 433.556 0.430 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)no1 ZINC000554065587 1073336731 /nfs/dbraw/zinc/33/67/31/1073336731.db2.gz HCSDOWHNDRZGCD-QWHCGFSZSA-N 0 0 433.556 0.430 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCc3c(nnn3-c3ccccc3)C2)c[nH]1 ZINC000554067794 1073297872 /nfs/dbraw/zinc/29/78/72/1073297872.db2.gz NLDXLABIQYGJQB-UHFFFAOYSA-N 0 0 429.462 -0.443 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000554068985 1073297880 /nfs/dbraw/zinc/29/78/80/1073297880.db2.gz JBNMNJCZCIRWIU-GFCCVEGCSA-N 0 0 436.487 -0.788 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000554068986 1073297921 /nfs/dbraw/zinc/29/79/21/1073297921.db2.gz JBNMNJCZCIRWIU-LBPRGKRZSA-N 0 0 436.487 -0.788 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000554069728 1073297838 /nfs/dbraw/zinc/29/78/38/1073297838.db2.gz FDKOJEWAXFVGCJ-OAHLLOKOSA-N 0 0 432.568 -0.086 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)ccc1OC ZINC000554070029 1073298296 /nfs/dbraw/zinc/29/82/96/1073298296.db2.gz ZBNJLTUUDYYZTI-CYBMUJFWSA-N 0 0 434.540 -0.396 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000554075212 1073300663 /nfs/dbraw/zinc/30/06/63/1073300663.db2.gz UBZOOWWBSPUJKK-BZUAXINKSA-N 0 0 432.525 -0.210 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000554075213 1073300704 /nfs/dbraw/zinc/30/07/04/1073300704.db2.gz UBZOOWWBSPUJKK-OAGGEKHMSA-N 0 0 432.525 -0.210 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000554075214 1073300607 /nfs/dbraw/zinc/30/06/07/1073300607.db2.gz UBZOOWWBSPUJKK-OWCLPIDISA-N 0 0 432.525 -0.210 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000554075215 1073300546 /nfs/dbraw/zinc/30/05/46/1073300546.db2.gz UBZOOWWBSPUJKK-PMPSAXMXSA-N 0 0 432.525 -0.210 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2C1=O ZINC000554077190 1073301089 /nfs/dbraw/zinc/30/10/89/1073301089.db2.gz IUWFXVOCUFSKEF-GFCCVEGCSA-N 0 0 445.519 -0.321 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2C1=O ZINC000554077194 1073301155 /nfs/dbraw/zinc/30/11/55/1073301155.db2.gz IUWFXVOCUFSKEF-LBPRGKRZSA-N 0 0 445.519 -0.321 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)NCCOCCS(N)(=O)=O ZINC000554077792 1073301037 /nfs/dbraw/zinc/30/10/37/1073301037.db2.gz QNSGPCBHPZDDGR-UHFFFAOYSA-N 0 0 437.544 -0.571 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H](C(=O)N(C)C)C2)CC1 ZINC000554078436 1073301118 /nfs/dbraw/zinc/30/11/18/1073301118.db2.gz KCDQJFUVBFPSPK-FGTMMUONSA-N 0 0 435.569 -0.095 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCC[C@@H](C(=O)N(C)C)C2)CC1 ZINC000554078437 1073301133 /nfs/dbraw/zinc/30/11/33/1073301133.db2.gz KCDQJFUVBFPSPK-KURKYZTESA-N 0 0 435.569 -0.095 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@@H](C(=O)N(C)C)C2)CC1 ZINC000554078438 1073301013 /nfs/dbraw/zinc/30/10/13/1073301013.db2.gz KCDQJFUVBFPSPK-KZNAEPCWSA-N 0 0 435.569 -0.095 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCC[C@H](C(=O)N(C)C)C2)CC1 ZINC000554078439 1073301111 /nfs/dbraw/zinc/30/11/11/1073301111.db2.gz KCDQJFUVBFPSPK-SQNIBIBYSA-N 0 0 435.569 -0.095 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCc2ccccc2S(N)(=O)=O)cc1 ZINC000554082593 1073301555 /nfs/dbraw/zinc/30/15/55/1073301555.db2.gz CCHSGILOFRATDF-UHFFFAOYSA-N 0 0 427.504 -0.123 20 0 IBADRN O=C(N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000554089650 1073301989 /nfs/dbraw/zinc/30/19/89/1073301989.db2.gz LNDXCOFGGCAVHH-RYQLBKOJSA-N 0 0 437.518 -0.028 20 0 IBADRN CCOC1CC(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)(N2CCOCC2)C1 ZINC000554093602 1073300573 /nfs/dbraw/zinc/30/05/73/1073300573.db2.gz VPAFSNPSKUGVAV-LRYGQEGESA-N 0 0 447.602 -0.174 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000554099045 1073302354 /nfs/dbraw/zinc/30/23/54/1073302354.db2.gz BOBKGJYTNAZBLT-UHFFFAOYSA-N 0 0 436.465 -0.087 20 0 IBADRN Cn1c2c(ccn2CC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)c(=O)n(C)c1=O ZINC000554099339 1073302450 /nfs/dbraw/zinc/30/24/50/1073302450.db2.gz SHGUIMIBZISLCS-AWEZNQCLSA-N 0 0 445.501 -0.382 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC000554104231 1073302772 /nfs/dbraw/zinc/30/27/72/1073302772.db2.gz GRCQYZKXNBDIIT-AEFFLSMTSA-N 0 0 425.551 -0.062 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC000554104235 1073302822 /nfs/dbraw/zinc/30/28/22/1073302822.db2.gz GRCQYZKXNBDIIT-FUHWJXTLSA-N 0 0 425.551 -0.062 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC000554104236 1073302916 /nfs/dbraw/zinc/30/29/16/1073302916.db2.gz GRCQYZKXNBDIIT-SJLPKXTDSA-N 0 0 425.551 -0.062 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC000554104238 1073302899 /nfs/dbraw/zinc/30/28/99/1073302899.db2.gz GRCQYZKXNBDIIT-WMZOPIPTSA-N 0 0 425.551 -0.062 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000554105014 1073302863 /nfs/dbraw/zinc/30/28/63/1073302863.db2.gz WAMUSJZUFAWRHC-UHFFFAOYSA-N 0 0 438.550 -0.160 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000554106501 1073303368 /nfs/dbraw/zinc/30/33/68/1073303368.db2.gz KNHRZWREJVYZCU-CVEARBPZSA-N 0 0 439.557 -0.955 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000554106502 1073303343 /nfs/dbraw/zinc/30/33/43/1073303343.db2.gz KNHRZWREJVYZCU-HOTGVXAUSA-N 0 0 439.557 -0.955 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000554106503 1073303125 /nfs/dbraw/zinc/30/31/25/1073303125.db2.gz KNHRZWREJVYZCU-HZPDHXFCSA-N 0 0 439.557 -0.955 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000554106504 1073303305 /nfs/dbraw/zinc/30/33/05/1073303305.db2.gz KNHRZWREJVYZCU-JKSUJKDBSA-N 0 0 439.557 -0.955 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC000554106514 1073303320 /nfs/dbraw/zinc/30/33/20/1073303320.db2.gz KYKLGGVVAJJCHD-LEWJYISDSA-N 0 0 448.564 -0.802 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC000554106515 1073303147 /nfs/dbraw/zinc/30/31/47/1073303147.db2.gz KYKLGGVVAJJCHD-NHCUHLMSSA-N 0 0 448.564 -0.802 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC000554106516 1073303249 /nfs/dbraw/zinc/30/32/49/1073303249.db2.gz KYKLGGVVAJJCHD-RTWAWAEBSA-N 0 0 448.564 -0.802 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC000554106517 1073303282 /nfs/dbraw/zinc/30/32/82/1073303282.db2.gz KYKLGGVVAJJCHD-SFTDATJTSA-N 0 0 448.564 -0.802 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)n1 ZINC000554107539 1073303104 /nfs/dbraw/zinc/30/31/04/1073303104.db2.gz TWLHEROQWHNTKD-UHFFFAOYSA-N 0 0 431.541 -0.589 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)[C@H](C)C1 ZINC000554107550 1073303204 /nfs/dbraw/zinc/30/32/04/1073303204.db2.gz UATHXWFLKYEQJJ-CQSZACIVSA-N 0 0 433.552 -0.205 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000554107611 1073303409 /nfs/dbraw/zinc/30/34/09/1073303409.db2.gz ZIMJAIVIISZLHR-FQEVSTJZSA-N 0 0 432.565 -0.039 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000554107615 1073303874 /nfs/dbraw/zinc/30/38/74/1073303874.db2.gz ZIMJAIVIISZLHR-HXUWFJFHSA-N 0 0 432.565 -0.039 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)C1 ZINC000554111621 1073303853 /nfs/dbraw/zinc/30/38/53/1073303853.db2.gz FHUFIYKFQXLDIF-MOPGFXCFSA-N 0 0 431.537 -0.047 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)C1 ZINC000554111622 1073303907 /nfs/dbraw/zinc/30/39/07/1073303907.db2.gz FHUFIYKFQXLDIF-OALUTQOASA-N 0 0 431.537 -0.047 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)C1 ZINC000554111623 1073303836 /nfs/dbraw/zinc/30/38/36/1073303836.db2.gz FHUFIYKFQXLDIF-RBUKOAKNSA-N 0 0 431.537 -0.047 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)C1 ZINC000554111624 1073303791 /nfs/dbraw/zinc/30/37/91/1073303791.db2.gz FHUFIYKFQXLDIF-RTBURBONSA-N 0 0 431.537 -0.047 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCC1=O ZINC000554121650 1073304292 /nfs/dbraw/zinc/30/42/92/1073304292.db2.gz VJGOWDYARSCEPR-HNNXBMFYSA-N 0 0 440.497 -0.214 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCC1=O ZINC000554121651 1073304749 /nfs/dbraw/zinc/30/47/49/1073304749.db2.gz VJGOWDYARSCEPR-OAHLLOKOSA-N 0 0 440.497 -0.214 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)[C@H](C)C1 ZINC000554122522 1073304834 /nfs/dbraw/zinc/30/48/34/1073304834.db2.gz AMRPSNOJQHPMBZ-LLVKDONJSA-N 0 0 446.507 -0.876 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)[C@H](C)C1 ZINC000554122804 1073304642 /nfs/dbraw/zinc/30/46/42/1073304642.db2.gz DBCPCKYKLCWKEV-BFYDXBDKSA-N 0 0 447.579 -0.095 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)[C@H](C)C1 ZINC000554122805 1073304831 /nfs/dbraw/zinc/30/48/31/1073304831.db2.gz DBCPCKYKLCWKEV-HLLBOEOZSA-N 0 0 447.579 -0.095 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)[C@H](C)C1 ZINC000554122806 1073304820 /nfs/dbraw/zinc/30/48/20/1073304820.db2.gz DBCPCKYKLCWKEV-INMHGKMJSA-N 0 0 447.579 -0.095 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)[C@H](C)C1 ZINC000554122807 1073304842 /nfs/dbraw/zinc/30/48/42/1073304842.db2.gz DBCPCKYKLCWKEV-VYDXJSESSA-N 0 0 447.579 -0.095 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)C2)ncn1 ZINC000554123343 1073305273 /nfs/dbraw/zinc/30/52/73/1073305273.db2.gz HASNDWDDMWSLDY-GOSISDBHSA-N 0 0 445.568 -0.207 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)C2)ncn1 ZINC000554123347 1073305062 /nfs/dbraw/zinc/30/50/62/1073305062.db2.gz HASNDWDDMWSLDY-SFHVURJKSA-N 0 0 445.568 -0.207 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)C2=O)cc1 ZINC000554123603 1073304779 /nfs/dbraw/zinc/30/47/79/1073304779.db2.gz KXRQRYIMFYXWAN-IBGZPJMESA-N 0 0 443.548 -0.119 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)C2=O)cc1 ZINC000554123606 1073304732 /nfs/dbraw/zinc/30/47/32/1073304732.db2.gz KXRQRYIMFYXWAN-LJQANCHMSA-N 0 0 443.548 -0.119 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCC1=O ZINC000554124253 1073305242 /nfs/dbraw/zinc/30/52/42/1073305242.db2.gz KHSOIUHIRMJKDE-GFCCVEGCSA-N 0 0 444.460 -0.465 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCC1=O ZINC000554124257 1073305270 /nfs/dbraw/zinc/30/52/70/1073305270.db2.gz KHSOIUHIRMJKDE-LBPRGKRZSA-N 0 0 444.460 -0.465 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCn3c(nnc3-c3ccccc3)C2)CC1 ZINC000554124580 1073305255 /nfs/dbraw/zinc/30/52/55/1073305255.db2.gz OKYBQDPYZFZZLJ-UHFFFAOYSA-N 0 0 439.520 -0.044 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)c(C)o1 ZINC000554124587 1073305246 /nfs/dbraw/zinc/30/52/46/1073305246.db2.gz ONYVBOABESMXMV-UHFFFAOYSA-N 0 0 447.536 -0.150 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000554124773 1073305224 /nfs/dbraw/zinc/30/52/24/1073305224.db2.gz MDXNXWIRTSLLIA-GFCCVEGCSA-N 0 0 442.398 -0.892 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000554124774 1073305278 /nfs/dbraw/zinc/30/52/78/1073305278.db2.gz MDXNXWIRTSLLIA-LBPRGKRZSA-N 0 0 442.398 -0.892 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554125417 1073305693 /nfs/dbraw/zinc/30/56/93/1073305693.db2.gz PDOSPVJYRYKMGE-GHMZBOCLSA-N 0 0 428.433 -0.946 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554125418 1073305698 /nfs/dbraw/zinc/30/56/98/1073305698.db2.gz PDOSPVJYRYKMGE-MNOVXSKESA-N 0 0 428.433 -0.946 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554125419 1073305480 /nfs/dbraw/zinc/30/54/80/1073305480.db2.gz PDOSPVJYRYKMGE-QWRGUYRKSA-N 0 0 428.433 -0.946 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554125420 1073305603 /nfs/dbraw/zinc/30/56/03/1073305603.db2.gz PDOSPVJYRYKMGE-WDEREUQCSA-N 0 0 428.433 -0.946 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)CC2)ncn1 ZINC000554125429 1073305525 /nfs/dbraw/zinc/30/55/25/1073305525.db2.gz PFSJQKMDYCBMLL-LLVKDONJSA-N 0 0 430.387 -0.587 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)CC2)ncn1 ZINC000554125430 1073305452 /nfs/dbraw/zinc/30/54/52/1073305452.db2.gz PFSJQKMDYCBMLL-NSHDSACASA-N 0 0 430.387 -0.587 20 0 IBADRN C[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@H](C)C1 ZINC000554125904 1073305204 /nfs/dbraw/zinc/30/52/04/1073305204.db2.gz UTNURWCLKJVFJL-BRWVUGGUSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@H](C)C1 ZINC000554125905 1073305669 /nfs/dbraw/zinc/30/56/69/1073305669.db2.gz UTNURWCLKJVFJL-IKGGRYGDSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@H](C)C1 ZINC000554125906 1073305464 /nfs/dbraw/zinc/30/54/64/1073305464.db2.gz UTNURWCLKJVFJL-IXDOHACOSA-N 0 0 429.587 -0.436 20 0 IBADRN C[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@H](C)C1 ZINC000554125907 1073305503 /nfs/dbraw/zinc/30/55/03/1073305503.db2.gz UTNURWCLKJVFJL-ZACQAIPSSA-N 0 0 429.587 -0.436 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)[C@H](C)C1 ZINC000554126457 1073305689 /nfs/dbraw/zinc/30/56/89/1073305689.db2.gz BRSWYZNBLWYBTG-CHWSQXEVSA-N 0 0 446.551 -0.665 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)[C@H](C)C1 ZINC000554126458 1073305649 /nfs/dbraw/zinc/30/56/49/1073305649.db2.gz BRSWYZNBLWYBTG-OLZOCXBDSA-N 0 0 446.551 -0.665 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)CC2)n1 ZINC000554134747 1073305662 /nfs/dbraw/zinc/30/56/62/1073305662.db2.gz YXZMAYUOWDRKRV-LLVKDONJSA-N 0 0 448.402 -0.860 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)CC2)n1 ZINC000554134758 1073305613 /nfs/dbraw/zinc/30/56/13/1073305613.db2.gz YXZMAYUOWDRKRV-NSHDSACASA-N 0 0 448.402 -0.860 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1)N1CCCCC1 ZINC000554139473 1073305928 /nfs/dbraw/zinc/30/59/28/1073305928.db2.gz GUYBVRAMDJIHGI-AWEZNQCLSA-N 0 0 447.458 -0.578 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1)N1CCCCC1 ZINC000554139474 1073306092 /nfs/dbraw/zinc/30/60/92/1073306092.db2.gz GUYBVRAMDJIHGI-CQSZACIVSA-N 0 0 447.458 -0.578 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4F)C3=O)CC2=O)cn1 ZINC000554140170 1073305990 /nfs/dbraw/zinc/30/59/90/1073305990.db2.gz WKWOPFFELIYZMK-HNNXBMFYSA-N 0 0 428.424 -0.344 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4F)C3=O)CC2=O)cn1 ZINC000554140171 1073306038 /nfs/dbraw/zinc/30/60/38/1073306038.db2.gz WKWOPFFELIYZMK-OAHLLOKOSA-N 0 0 428.424 -0.344 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000554143142 1073306628 /nfs/dbraw/zinc/30/66/28/1073306628.db2.gz UIHHTPOSNKWDJF-UHFFFAOYSA-N 0 0 449.551 -0.567 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)NCCOCCS(N)(=O)=O ZINC000554145718 1073306591 /nfs/dbraw/zinc/30/65/91/1073306591.db2.gz WOXXCMWACXGUHW-UHFFFAOYSA-N 0 0 436.512 -0.438 20 0 IBADRN CC[C@@H]1CN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C[C@@H](C)S1(=O)=O ZINC000554147440 1073307090 /nfs/dbraw/zinc/30/70/90/1073307090.db2.gz ACPBOEJRJKWUNR-GHMZBOCLSA-N 0 0 431.492 -0.334 20 0 IBADRN CC[C@H]1CN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C[C@@H](C)S1(=O)=O ZINC000554147441 1073307083 /nfs/dbraw/zinc/30/70/83/1073307083.db2.gz ACPBOEJRJKWUNR-MNOVXSKESA-N 0 0 431.492 -0.334 20 0 IBADRN CC[C@H]1CN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C[C@H](C)S1(=O)=O ZINC000554147442 1073307016 /nfs/dbraw/zinc/30/70/16/1073307016.db2.gz ACPBOEJRJKWUNR-QWRGUYRKSA-N 0 0 431.492 -0.334 20 0 IBADRN CC[C@@H]1CN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C[C@H](C)S1(=O)=O ZINC000554147443 1073307166 /nfs/dbraw/zinc/30/71/66/1073307166.db2.gz ACPBOEJRJKWUNR-WDEREUQCSA-N 0 0 431.492 -0.334 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000554153753 1073308103 /nfs/dbraw/zinc/30/81/03/1073308103.db2.gz BLEGTSHPQDADCC-GFCCVEGCSA-N 0 0 444.539 -0.772 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC000554154920 1073308501 /nfs/dbraw/zinc/30/85/01/1073308501.db2.gz PBWFPCWZIHYNFC-LLVKDONJSA-N 0 0 439.498 -0.231 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000554156493 1073308510 /nfs/dbraw/zinc/30/85/10/1073308510.db2.gz XCWJZKYMHARTAB-OFLPRAFFSA-N 0 0 448.586 -0.289 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCOCC3(CC3)C2)c1 ZINC000554161926 1073307593 /nfs/dbraw/zinc/30/75/93/1073307593.db2.gz KZVFLAQSTZOURO-UHFFFAOYSA-N 0 0 425.507 -0.134 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(F)F)CC2)c1 ZINC000554162060 1073307666 /nfs/dbraw/zinc/30/76/66/1073307666.db2.gz NXASSYMIKCCKLP-UHFFFAOYSA-N 0 0 448.492 -0.363 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCC[C@H]2CCO)c1 ZINC000554162105 1073307618 /nfs/dbraw/zinc/30/76/18/1073307618.db2.gz OSEROWQLPAUJDY-DOTOQJQBSA-N 0 0 427.523 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCC[C@@H]2CCO)c1 ZINC000554162108 1073307576 /nfs/dbraw/zinc/30/75/76/1073307576.db2.gz OSEROWQLPAUJDY-NVXWUHKLSA-N 0 0 427.523 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCC[C@H]2CCO)c1 ZINC000554162109 1073307555 /nfs/dbraw/zinc/30/75/55/1073307555.db2.gz OSEROWQLPAUJDY-RDJZCZTQSA-N 0 0 427.523 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCC[C@@H]2CCO)c1 ZINC000554162111 1073307641 /nfs/dbraw/zinc/30/76/41/1073307641.db2.gz OSEROWQLPAUJDY-WBVHZDCISA-N 0 0 427.523 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)c1 ZINC000554162259 1073308051 /nfs/dbraw/zinc/30/80/51/1073308051.db2.gz PTDAYJBFAYGUSJ-INIZCTEOSA-N 0 0 440.522 -0.647 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)c1 ZINC000554162262 1073307947 /nfs/dbraw/zinc/30/79/47/1073307947.db2.gz PTDAYJBFAYGUSJ-MRXNPFEDSA-N 0 0 440.522 -0.647 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CCOC)CC2)c1 ZINC000554163057 1073307621 /nfs/dbraw/zinc/30/76/21/1073307621.db2.gz YFNIQAAPKUIORT-UHFFFAOYSA-N 0 0 442.538 -0.982 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)c1 ZINC000554165777 1073308070 /nfs/dbraw/zinc/30/80/70/1073308070.db2.gz MIXPUHRZYOPFOP-CYBMUJFWSA-N 0 0 449.551 -0.881 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)c1 ZINC000554165780 1073307974 /nfs/dbraw/zinc/30/79/74/1073307974.db2.gz MIXPUHRZYOPFOP-ZDUSSCGKSA-N 0 0 449.551 -0.881 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2ccc(C(N)=O)cc2)c1 ZINC000554166194 1073307890 /nfs/dbraw/zinc/30/78/90/1073307890.db2.gz UGFYLAHJMLKVDJ-UHFFFAOYSA-N 0 0 448.501 -0.357 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H](C(=O)OC)C2)c1 ZINC000554166448 1073308929 /nfs/dbraw/zinc/30/89/29/1073308929.db2.gz WYIXLNSXXNHNKT-HNNXBMFYSA-N 0 0 441.506 -0.361 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000554166531 1073308920 /nfs/dbraw/zinc/30/89/20/1073308920.db2.gz YVJKTPBAUJQUIP-UHFFFAOYSA-N 0 0 428.511 -0.884 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCCN2CCOC[C@@H]2C)c1 ZINC000554168014 1073309019 /nfs/dbraw/zinc/30/90/19/1073309019.db2.gz BQYPPNFFTJODEM-HNNXBMFYSA-N 0 0 442.538 -0.936 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCCN2CCOC[C@H]2C)c1 ZINC000554168017 1073308909 /nfs/dbraw/zinc/30/89/09/1073308909.db2.gz BQYPPNFFTJODEM-OAHLLOKOSA-N 0 0 442.538 -0.936 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000554169073 1073309044 /nfs/dbraw/zinc/30/90/44/1073309044.db2.gz VFSATUBRSZGUGR-BFYDXBDKSA-N 0 0 437.468 -0.080 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000554169074 1073308996 /nfs/dbraw/zinc/30/89/96/1073308996.db2.gz VFSATUBRSZGUGR-INMHGKMJSA-N 0 0 437.468 -0.080 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000554169075 1073308973 /nfs/dbraw/zinc/30/89/73/1073308973.db2.gz VFSATUBRSZGUGR-UXLLHSPISA-N 0 0 437.468 -0.080 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000554169076 1073309050 /nfs/dbraw/zinc/30/90/50/1073309050.db2.gz VFSATUBRSZGUGR-ZMSDIMECSA-N 0 0 437.468 -0.080 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1 ZINC000554169686 1073309001 /nfs/dbraw/zinc/30/90/01/1073309001.db2.gz BGAOSGUMSOYEEH-AWEZNQCLSA-N 0 0 446.551 -0.207 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1 ZINC000554169687 1073309386 /nfs/dbraw/zinc/30/93/86/1073309386.db2.gz BGAOSGUMSOYEEH-CQSZACIVSA-N 0 0 446.551 -0.207 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000554170853 1073309339 /nfs/dbraw/zinc/30/93/39/1073309339.db2.gz FCHJSGQZWJVWQI-UHFFFAOYSA-N 0 0 434.396 -0.121 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4F)C3=O)CC2)c1=O ZINC000554172056 1073309462 /nfs/dbraw/zinc/30/94/62/1073309462.db2.gz LEPQFWVZXFRZQO-HNNXBMFYSA-N 0 0 442.451 -0.510 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4F)C3=O)CC2)c1=O ZINC000554172057 1073309348 /nfs/dbraw/zinc/30/93/48/1073309348.db2.gz LEPQFWVZXFRZQO-OAHLLOKOSA-N 0 0 442.451 -0.510 20 0 IBADRN COC(=O)CNC(=O)CCN1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000554173719 1073309375 /nfs/dbraw/zinc/30/93/75/1073309375.db2.gz GEFQSJNZIDBALF-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000554176080 1073309366 /nfs/dbraw/zinc/30/93/66/1073309366.db2.gz FZPCRIILOZNWTD-UHFFFAOYSA-N 0 0 447.536 -0.076 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)C2)no1 ZINC000554178163 1073308484 /nfs/dbraw/zinc/30/84/84/1073308484.db2.gz AGMRYYXXUGJDDZ-AWEZNQCLSA-N 0 0 446.512 -0.303 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)C2)no1 ZINC000554178164 1073308605 /nfs/dbraw/zinc/30/86/05/1073308605.db2.gz AGMRYYXXUGJDDZ-CQSZACIVSA-N 0 0 446.512 -0.303 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)C1 ZINC000554178685 1073308592 /nfs/dbraw/zinc/30/85/92/1073308592.db2.gz GEDOMHJEQICTQD-CALCHBBNSA-N 0 0 447.584 -0.249 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)C1 ZINC000554178686 1073308419 /nfs/dbraw/zinc/30/84/19/1073308419.db2.gz GEDOMHJEQICTQD-IAGOWNOFSA-N 0 0 447.584 -0.249 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)C1 ZINC000554178687 1073309025 /nfs/dbraw/zinc/30/90/25/1073309025.db2.gz GEDOMHJEQICTQD-IRXDYDNUSA-N 0 0 447.584 -0.249 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)n1C ZINC000554179049 1073308546 /nfs/dbraw/zinc/30/85/46/1073308546.db2.gz KRIRQJVFKULAGO-UHFFFAOYSA-N 0 0 441.536 -0.437 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3ccnc(OC4CCOCC4)c3)CC2)n1 ZINC000554180457 1073363759 /nfs/dbraw/zinc/36/37/59/1073363759.db2.gz VLSWABKVVYSKLY-UHFFFAOYSA-N 0 0 447.521 0.038 20 0 IBADRN Cc1c(C(=O)Nc2ccc(C(=O)NCCC(N)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000554181989 1073309739 /nfs/dbraw/zinc/30/97/39/1073309739.db2.gz JMJHPHYWEBZMPV-UHFFFAOYSA-N 0 0 438.444 -0.202 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)CC1 ZINC000554182543 1073309874 /nfs/dbraw/zinc/30/98/74/1073309874.db2.gz CBKLMPMNWJXJMB-UHFFFAOYSA-N 0 0 447.584 -0.105 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N(C)C[C@@H]2C[C@H](F)CN2Cc2ccnn2C)n1C ZINC000554183578 1073309817 /nfs/dbraw/zinc/30/98/17/1073309817.db2.gz FMJLDMNYAUCXBF-HOCLYGCPSA-N 0 0 434.520 -0.093 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)CCN1c1nccn2cnnc12 ZINC000554184144 1073309901 /nfs/dbraw/zinc/30/99/01/1073309901.db2.gz KNOAQLZLOOCACM-CYBMUJFWSA-N 0 0 426.485 -0.723 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)CCN1c1nccn2cnnc12 ZINC000554184145 1073310390 /nfs/dbraw/zinc/31/03/90/1073310390.db2.gz KNOAQLZLOOCACM-ZDUSSCGKSA-N 0 0 426.485 -0.723 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)n1C ZINC000554184529 1073310339 /nfs/dbraw/zinc/31/03/39/1073310339.db2.gz XWBFDHOCBGLPCJ-UHFFFAOYSA-N 0 0 433.557 -0.305 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCC(=O)N2CCOCC2)cc1OCC ZINC000554185128 1073310363 /nfs/dbraw/zinc/31/03/63/1073310363.db2.gz CCAMXAVSFKNPRG-UHFFFAOYSA-N 0 0 429.495 -0.263 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000554185910 1073310398 /nfs/dbraw/zinc/31/03/98/1073310398.db2.gz ZKFKVNRXEIRDEL-GOSISDBHSA-N 0 0 445.520 -0.056 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000554185911 1073310287 /nfs/dbraw/zinc/31/02/87/1073310287.db2.gz ZKFKVNRXEIRDEL-SFHVURJKSA-N 0 0 445.520 -0.056 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2ccc(C(=O)NCCC(N)=O)cc2)cn1C ZINC000554186098 1073310322 /nfs/dbraw/zinc/31/03/22/1073310322.db2.gz JOYVPVDNVUJCJE-UHFFFAOYSA-N 0 0 436.494 -0.359 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C1=O ZINC000554187514 1073357728 /nfs/dbraw/zinc/35/77/28/1073357728.db2.gz CYYOREKQUAFUJB-KRWDZBQOSA-N 0 0 439.520 -0.018 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C1=O ZINC000554187519 1073357398 /nfs/dbraw/zinc/35/73/98/1073357398.db2.gz CYYOREKQUAFUJB-QGZVFWFLSA-N 0 0 439.520 -0.018 20 0 IBADRN COc1ccc([C@H]2OCC[C@@H]2NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000554189311 1073310316 /nfs/dbraw/zinc/31/03/16/1073310316.db2.gz GYHXGKZLEGMTPJ-KBXCAEBGSA-N 0 0 445.480 -0.408 20 0 IBADRN CCn1ccnc1[C@H]1OCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000554189867 1073310370 /nfs/dbraw/zinc/31/03/70/1073310370.db2.gz VJOQEQPEVGKYGB-HOTGVXAUSA-N 0 0 442.542 -0.363 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1=O ZINC000554191171 1073310310 /nfs/dbraw/zinc/31/03/10/1073310310.db2.gz HDPLFUWXWRGXLM-GOSISDBHSA-N 0 0 429.521 -0.428 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1=O ZINC000554191172 1073310327 /nfs/dbraw/zinc/31/03/27/1073310327.db2.gz HDPLFUWXWRGXLM-SFHVURJKSA-N 0 0 429.521 -0.428 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1=O ZINC000554191316 1073310573 /nfs/dbraw/zinc/31/05/73/1073310573.db2.gz IGEZFHSVOXBBDG-KRWDZBQOSA-N 0 0 436.534 -0.214 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1=O ZINC000554191317 1073310590 /nfs/dbraw/zinc/31/05/90/1073310590.db2.gz IGEZFHSVOXBBDG-QGZVFWFLSA-N 0 0 436.534 -0.214 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1=O ZINC000554191393 1073310677 /nfs/dbraw/zinc/31/06/77/1073310677.db2.gz KJKATQMJFSCTBT-GOSISDBHSA-N 0 0 441.532 -0.155 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1=O ZINC000554191394 1073310673 /nfs/dbraw/zinc/31/06/73/1073310673.db2.gz KJKATQMJFSCTBT-SFHVURJKSA-N 0 0 441.532 -0.155 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCC3(C(=O)N(C)C)CCCC3)CC2)no1 ZINC000554191418 1073328927 /nfs/dbraw/zinc/32/89/27/1073328927.db2.gz IBLSHEFBUBHKSV-UHFFFAOYSA-N 0 0 448.524 -0.169 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)C1=O ZINC000554192088 1073310359 /nfs/dbraw/zinc/31/03/59/1073310359.db2.gz SMAMKQWZNWIGQW-CVEARBPZSA-N 0 0 428.555 -0.035 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)C1=O ZINC000554192089 1073310298 /nfs/dbraw/zinc/31/02/98/1073310298.db2.gz SMAMKQWZNWIGQW-HOTGVXAUSA-N 0 0 428.555 -0.035 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)C1=O ZINC000554192090 1073310377 /nfs/dbraw/zinc/31/03/77/1073310377.db2.gz SMAMKQWZNWIGQW-HZPDHXFCSA-N 0 0 428.555 -0.035 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)C1=O ZINC000554192091 1073310612 /nfs/dbraw/zinc/31/06/12/1073310612.db2.gz SMAMKQWZNWIGQW-JKSUJKDBSA-N 0 0 428.555 -0.035 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCC2(C(=O)N(C)C)CCCC2)CC1 ZINC000554192131 1073310618 /nfs/dbraw/zinc/31/06/18/1073310618.db2.gz VZCKEGKDUKKMOJ-KRWDZBQOSA-N 0 0 435.569 -0.094 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCC2(C(=O)N(C)C)CCCC2)CC1 ZINC000554192132 1073310608 /nfs/dbraw/zinc/31/06/08/1073310608.db2.gz VZCKEGKDUKKMOJ-QGZVFWFLSA-N 0 0 435.569 -0.094 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)C1=O ZINC000554192232 1073310655 /nfs/dbraw/zinc/31/06/55/1073310655.db2.gz TZKZVBMYYBWZMP-ABHNRTSZSA-N 0 0 435.569 -0.049 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)C1=O ZINC000554192233 1073310596 /nfs/dbraw/zinc/31/05/96/1073310596.db2.gz TZKZVBMYYBWZMP-DAWZGUTISA-N 0 0 435.569 -0.049 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1=O ZINC000554194221 1073310659 /nfs/dbraw/zinc/31/06/59/1073310659.db2.gz GWXMGFHASNZDQD-INIZCTEOSA-N 0 0 445.524 -0.913 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1=O ZINC000554194232 1073310623 /nfs/dbraw/zinc/31/06/23/1073310623.db2.gz GWXMGFHASNZDQD-MRXNPFEDSA-N 0 0 445.524 -0.913 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1=O ZINC000554194472 1073310601 /nfs/dbraw/zinc/31/06/01/1073310601.db2.gz ILGPHASGHQDJDW-CABCVRRESA-N 0 0 428.497 -0.418 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1=O ZINC000554194473 1073310726 /nfs/dbraw/zinc/31/07/26/1073310726.db2.gz ILGPHASGHQDJDW-GJZGRUSLSA-N 0 0 428.497 -0.418 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1=O ZINC000554194474 1073310635 /nfs/dbraw/zinc/31/06/35/1073310635.db2.gz ILGPHASGHQDJDW-HUUCEWRRSA-N 0 0 428.497 -0.418 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1=O ZINC000554194475 1073311244 /nfs/dbraw/zinc/31/12/44/1073311244.db2.gz ILGPHASGHQDJDW-LSDHHAIUSA-N 0 0 428.497 -0.418 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCOc3ccc(F)cc32)CC1 ZINC000554195739 1073309852 /nfs/dbraw/zinc/30/98/52/1073309852.db2.gz GFSBKVRNAZCSKN-KRWDZBQOSA-N 0 0 434.468 -0.232 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCOc3ccc(F)cc32)CC1 ZINC000554195740 1073309863 /nfs/dbraw/zinc/30/98/63/1073309863.db2.gz GFSBKVRNAZCSKN-QGZVFWFLSA-N 0 0 434.468 -0.232 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cnn(C)c3C)CC2)cc1 ZINC000554197754 1073311291 /nfs/dbraw/zinc/31/12/91/1073311291.db2.gz ZIQPTWGMUFMJLO-UHFFFAOYSA-N 0 0 435.506 -0.114 20 0 IBADRN CCOc1cccc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000554200622 1073311737 /nfs/dbraw/zinc/31/17/37/1073311737.db2.gz CPAFNFCITXHLAO-UHFFFAOYSA-N 0 0 432.521 -0.253 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCN(C2CC2)CC1 ZINC000554201127 1073311767 /nfs/dbraw/zinc/31/17/67/1073311767.db2.gz QAIPFUAXGYKCFP-KRWDZBQOSA-N 0 0 443.552 -0.295 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCN(C2CC2)CC1 ZINC000554201128 1073311609 /nfs/dbraw/zinc/31/16/09/1073311609.db2.gz QAIPFUAXGYKCFP-QGZVFWFLSA-N 0 0 443.552 -0.295 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554201165 1073312247 /nfs/dbraw/zinc/31/22/47/1073312247.db2.gz QODIAAGXTBYMPS-INIZCTEOSA-N 0 0 433.513 -0.959 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554201174 1073312215 /nfs/dbraw/zinc/31/22/15/1073312215.db2.gz QODIAAGXTBYMPS-MRXNPFEDSA-N 0 0 433.513 -0.959 20 0 IBADRN CCOc1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000554203450 1073312367 /nfs/dbraw/zinc/31/23/67/1073312367.db2.gz QMNVMQHHPABBOY-UHFFFAOYSA-N 0 0 432.521 -0.253 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000554203833 1073312432 /nfs/dbraw/zinc/31/24/32/1073312432.db2.gz NQHPSFXKFFYTQI-DLBZAZTESA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000554203834 1073312145 /nfs/dbraw/zinc/31/21/45/1073312145.db2.gz NQHPSFXKFFYTQI-IAGOWNOFSA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000554203835 1073312156 /nfs/dbraw/zinc/31/21/56/1073312156.db2.gz NQHPSFXKFFYTQI-IRXDYDNUSA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)C1=O ZINC000554203836 1073312314 /nfs/dbraw/zinc/31/23/14/1073312314.db2.gz NQHPSFXKFFYTQI-SJORKVTESA-N 0 0 438.488 -0.051 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554206989 1073312886 /nfs/dbraw/zinc/31/28/86/1073312886.db2.gz WQXPUQPPTCSPRF-HNNXBMFYSA-N 0 0 447.540 -0.713 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554206996 1073312721 /nfs/dbraw/zinc/31/27/21/1073312721.db2.gz WQXPUQPPTCSPRF-OAHLLOKOSA-N 0 0 447.540 -0.713 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCc4nc(COC)nn4C3)C2)ncn1 ZINC000554207128 1073312380 /nfs/dbraw/zinc/31/23/80/1073312380.db2.gz NKPNPRDHFKXLSW-CVEARBPZSA-N 0 0 442.524 -0.007 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCc4nc(COC)nn4C3)C2)ncn1 ZINC000554207132 1073312180 /nfs/dbraw/zinc/31/21/80/1073312180.db2.gz NKPNPRDHFKXLSW-HOTGVXAUSA-N 0 0 442.524 -0.007 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCc4nc(COC)nn4C3)C2)ncn1 ZINC000554207134 1073312419 /nfs/dbraw/zinc/31/24/19/1073312419.db2.gz NKPNPRDHFKXLSW-HZPDHXFCSA-N 0 0 442.524 -0.007 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCc4nc(COC)nn4C3)C2)ncn1 ZINC000554207137 1073312193 /nfs/dbraw/zinc/31/21/93/1073312193.db2.gz NKPNPRDHFKXLSW-JKSUJKDBSA-N 0 0 442.524 -0.007 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@H]3CS(=O)(=O)NC)C2)ncn1 ZINC000554207531 1073311286 /nfs/dbraw/zinc/31/12/86/1073311286.db2.gz SGOSULTWDDYZPQ-CVEARBPZSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@H]3CS(=O)(=O)NC)C2)ncn1 ZINC000554207532 1073311322 /nfs/dbraw/zinc/31/13/22/1073311322.db2.gz SGOSULTWDDYZPQ-HOTGVXAUSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@@H]3CS(=O)(=O)NC)C2)ncn1 ZINC000554207533 1073311251 /nfs/dbraw/zinc/31/12/51/1073311251.db2.gz SGOSULTWDDYZPQ-HZPDHXFCSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@@H]3CS(=O)(=O)NC)C2)ncn1 ZINC000554207534 1073311222 /nfs/dbraw/zinc/31/12/22/1073311222.db2.gz SGOSULTWDDYZPQ-JKSUJKDBSA-N 0 0 438.554 -0.336 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554207679 1073311182 /nfs/dbraw/zinc/31/11/82/1073311182.db2.gz XPBVIXKZOOPXNA-INIZCTEOSA-N 0 0 443.512 -0.804 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554207705 1073311328 /nfs/dbraw/zinc/31/13/28/1073311328.db2.gz XPBVIXKZOOPXNA-MRXNPFEDSA-N 0 0 443.512 -0.804 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000554209789 1073311366 /nfs/dbraw/zinc/31/13/66/1073311366.db2.gz JFGYUGGNQSXAMA-GXSJLCMTSA-N 0 0 438.428 -0.132 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000554209796 1073311279 /nfs/dbraw/zinc/31/12/79/1073311279.db2.gz JFGYUGGNQSXAMA-ONGXEEELSA-N 0 0 438.428 -0.132 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2)ncn1 ZINC000554211895 1073311270 /nfs/dbraw/zinc/31/12/70/1073311270.db2.gz KZOYBZMFMFXACZ-HZPDHXFCSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2)ncn1 ZINC000554211897 1073311341 /nfs/dbraw/zinc/31/13/41/1073311341.db2.gz KZOYBZMFMFXACZ-JKSUJKDBSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3C[C@H](CO)C[C@H](OCCOC)C3)C2)ncn1 ZINC000554212189 1073312904 /nfs/dbraw/zinc/31/29/04/1073312904.db2.gz OAJPOCOTBXEGIT-NEWSRXKRSA-N 0 0 449.552 -0.004 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3C[C@H](CO)C[C@@H](OCCOC)C3)C2)ncn1 ZINC000554212190 1073312899 /nfs/dbraw/zinc/31/28/99/1073312899.db2.gz OAJPOCOTBXEGIT-NZSAHSFTSA-N 0 0 449.552 -0.004 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3C[C@@H](CO)C[C@H](OCCOC)C3)C2)ncn1 ZINC000554212191 1073312879 /nfs/dbraw/zinc/31/28/79/1073312879.db2.gz OAJPOCOTBXEGIT-WDSOQIARSA-N 0 0 449.552 -0.004 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3C[C@H](OCCOC)C[C@H](CO)C3)C2)ncn1 ZINC000554212192 1073312858 /nfs/dbraw/zinc/31/28/58/1073312858.db2.gz OAJPOCOTBXEGIT-YTQUADARSA-N 0 0 449.552 -0.004 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCCN(CCOC)C(=O)C3)C2)ncn1 ZINC000554212814 1073312833 /nfs/dbraw/zinc/31/28/33/1073312833.db2.gz MMCZCHJBYNFMHJ-KRWDZBQOSA-N 0 0 432.525 -0.169 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCCN(CCOC)C(=O)C3)C2)ncn1 ZINC000554212815 1073312852 /nfs/dbraw/zinc/31/28/52/1073312852.db2.gz MMCZCHJBYNFMHJ-QGZVFWFLSA-N 0 0 432.525 -0.169 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(CC)ncn3)C2)CC1 ZINC000554212916 1073312909 /nfs/dbraw/zinc/31/29/09/1073312909.db2.gz XWOCRFZCMYCQLV-KRWDZBQOSA-N 0 0 431.541 -0.596 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(CC)ncn3)C2)CC1 ZINC000554212917 1073312776 /nfs/dbraw/zinc/31/27/76/1073312776.db2.gz XWOCRFZCMYCQLV-QGZVFWFLSA-N 0 0 431.541 -0.596 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)cnn1C ZINC000554216783 1073313197 /nfs/dbraw/zinc/31/31/97/1073313197.db2.gz DOCUXXBXGNADTF-UHFFFAOYSA-N 0 0 445.505 -0.246 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H]3CN(C)NC3=O)CC2)cc1 ZINC000554219488 1073313211 /nfs/dbraw/zinc/31/32/11/1073313211.db2.gz VJPBWCGWUCQGFQ-INIZCTEOSA-N 0 0 437.522 -0.930 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3CN(C)NC3=O)CC2)cc1 ZINC000554219489 1073313275 /nfs/dbraw/zinc/31/32/75/1073313275.db2.gz VJPBWCGWUCQGFQ-MRXNPFEDSA-N 0 0 437.522 -0.930 20 0 IBADRN Cc1cnccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000554222631 1073313371 /nfs/dbraw/zinc/31/33/71/1073313371.db2.gz GQKQAZDYHRMVRC-UHFFFAOYSA-N 0 0 435.462 -0.769 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCC(=O)Nc2ccccc2)CC1 ZINC000554223427 1073313321 /nfs/dbraw/zinc/31/33/21/1073313321.db2.gz GTQYNHQVLYPQOJ-KRWDZBQOSA-N 0 0 445.520 -0.477 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCC(=O)Nc2ccccc2)CC1 ZINC000554223428 1073313362 /nfs/dbraw/zinc/31/33/62/1073313362.db2.gz GTQYNHQVLYPQOJ-QGZVFWFLSA-N 0 0 445.520 -0.477 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccc(C)n3)CC2)cn1C ZINC000554225415 1073313914 /nfs/dbraw/zinc/31/39/14/1073313914.db2.gz AYJREVUCDSLFAM-UHFFFAOYSA-N 0 0 434.522 -0.376 20 0 IBADRN Cc1cnccc1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000554226018 1073313895 /nfs/dbraw/zinc/31/38/95/1073313895.db2.gz OGGQGGBOIOOPLW-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)n1 ZINC000554230060 1073313781 /nfs/dbraw/zinc/31/37/81/1073313781.db2.gz GJWDZLKUQCIMFD-UHFFFAOYSA-N 0 0 434.522 -0.711 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000554230874 1073313888 /nfs/dbraw/zinc/31/38/88/1073313888.db2.gz TXVRJTLQIHTNRA-CTNGQTDRSA-N 0 0 444.532 -0.117 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000554230875 1073313744 /nfs/dbraw/zinc/31/37/44/1073313744.db2.gz TXVRJTLQIHTNRA-FPOVZHCZSA-N 0 0 444.532 -0.117 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H](c2ccccc2)[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000554230876 1073313769 /nfs/dbraw/zinc/31/37/69/1073313769.db2.gz TXVRJTLQIHTNRA-PZJWPPBQSA-N 0 0 444.532 -0.117 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H](c2ccccc2)[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000554230877 1073313767 /nfs/dbraw/zinc/31/37/67/1073313767.db2.gz TXVRJTLQIHTNRA-TZIWHRDSSA-N 0 0 444.532 -0.117 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2cccc(C)n2)C1 ZINC000554233658 1073314201 /nfs/dbraw/zinc/31/42/01/1073314201.db2.gz KQFVUJDRCZBGRJ-KRWDZBQOSA-N 0 0 426.539 -0.052 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2cccc(C)n2)C1 ZINC000554233668 1073314340 /nfs/dbraw/zinc/31/43/40/1073314340.db2.gz KQFVUJDRCZBGRJ-QGZVFWFLSA-N 0 0 426.539 -0.052 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000554235663 1073314286 /nfs/dbraw/zinc/31/42/86/1073314286.db2.gz DHOZGVAXVLEXIC-UHFFFAOYSA-N 0 0 433.490 -0.066 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000554236277 1073313289 /nfs/dbraw/zinc/31/32/89/1073313289.db2.gz DERZHXKNERRXDL-UHFFFAOYSA-N 0 0 427.509 -0.104 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)on1 ZINC000554237315 1073313864 /nfs/dbraw/zinc/31/38/64/1073313864.db2.gz LUJLUYXAFZERRH-UHFFFAOYSA-N 0 0 429.481 -0.171 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCc4c[nH]nc4C3)CC2)cc1 ZINC000554242262 1073314239 /nfs/dbraw/zinc/31/42/39/1073314239.db2.gz JKONKOLHHJFHHQ-HNNXBMFYSA-N 0 0 447.517 -0.075 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCc4c[nH]nc4C3)CC2)cc1 ZINC000554242263 1073314643 /nfs/dbraw/zinc/31/46/43/1073314643.db2.gz JKONKOLHHJFHHQ-OAHLLOKOSA-N 0 0 447.517 -0.075 20 0 IBADRN O=C(N[C@@H]1CCc2c[nH]nc2C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000554242696 1073314608 /nfs/dbraw/zinc/31/46/08/1073314608.db2.gz PPNSUYMJDIXKSN-CABCVRRESA-N 0 0 425.511 -0.964 20 0 IBADRN O=C(N[C@H]1CCc2c[nH]nc2C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000554242700 1073314615 /nfs/dbraw/zinc/31/46/15/1073314615.db2.gz PPNSUYMJDIXKSN-GJZGRUSLSA-N 0 0 425.511 -0.964 20 0 IBADRN O=C(N[C@@H]1CCc2c[nH]nc2C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000554242702 1073314668 /nfs/dbraw/zinc/31/46/68/1073314668.db2.gz PPNSUYMJDIXKSN-HUUCEWRRSA-N 0 0 425.511 -0.964 20 0 IBADRN O=C(N[C@H]1CCc2c[nH]nc2C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000554242704 1073314714 /nfs/dbraw/zinc/31/47/14/1073314714.db2.gz PPNSUYMJDIXKSN-LSDHHAIUSA-N 0 0 425.511 -0.964 20 0 IBADRN O=C(NCc1cccc(-n2cncn2)c1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000554243368 1073314701 /nfs/dbraw/zinc/31/47/01/1073314701.db2.gz HGQLWTCWUPTADB-KRWDZBQOSA-N 0 0 432.506 -0.090 20 0 IBADRN O=C(NCc1cccc(-n2cncn2)c1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000554243374 1073314677 /nfs/dbraw/zinc/31/46/77/1073314677.db2.gz HGQLWTCWUPTADB-QGZVFWFLSA-N 0 0 432.506 -0.090 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCc3cccc(-n4cncn4)c3)CC2)NC(=O)NC1=O ZINC000554244252 1073314672 /nfs/dbraw/zinc/31/46/72/1073314672.db2.gz QFNGIHVOJSSHSQ-FQEVSTJZSA-N 0 0 425.449 -0.280 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCc3cccc(-n4cncn4)c3)CC2)NC(=O)NC1=O ZINC000554244253 1073314696 /nfs/dbraw/zinc/31/46/96/1073314696.db2.gz QFNGIHVOJSSHSQ-HXUWFJFHSA-N 0 0 425.449 -0.280 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3cccc(-n4cncn4)c3)CC2)n1 ZINC000554244357 1073314635 /nfs/dbraw/zinc/31/46/35/1073314635.db2.gz SOUYXUZYJOIEKR-UHFFFAOYSA-N 0 0 440.464 -0.243 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@H]2CC[C@@H](CO)O2)c1OC ZINC000554245675 1073314686 /nfs/dbraw/zinc/31/46/86/1073314686.db2.gz NMOKEKSZHSETNH-KGLIPLIRSA-N 0 0 444.506 -0.006 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000554245870 1073314590 /nfs/dbraw/zinc/31/45/90/1073314590.db2.gz QZDKXHPENMISGZ-UHFFFAOYSA-N 0 0 443.917 0.428 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1)N1CCCC1 ZINC000554247786 1073314654 /nfs/dbraw/zinc/31/46/54/1073314654.db2.gz XQGVIPXBJGCSGE-UHFFFAOYSA-N 0 0 425.493 -0.350 20 0 IBADRN C[C@@H](NC(=O)CN1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)C(=O)N1CCCCC1 ZINC000554249369 1073314684 /nfs/dbraw/zinc/31/46/84/1073314684.db2.gz IMEDPZOGZJLTQP-BRWVUGGUSA-N 0 0 426.558 -0.178 20 0 IBADRN C[C@H](NC(=O)CN1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)C(=O)N1CCCCC1 ZINC000554249373 1073314708 /nfs/dbraw/zinc/31/47/08/1073314708.db2.gz IMEDPZOGZJLTQP-GVDBMIGSSA-N 0 0 426.558 -0.178 20 0 IBADRN C[C@@H](NC(=O)CN1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)C(=O)N1CCCCC1 ZINC000554249375 1073314647 /nfs/dbraw/zinc/31/46/47/1073314647.db2.gz IMEDPZOGZJLTQP-IXDOHACOSA-N 0 0 426.558 -0.178 20 0 IBADRN C[C@H](NC(=O)CN1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)C(=O)N1CCCCC1 ZINC000554249377 1073314659 /nfs/dbraw/zinc/31/46/59/1073314659.db2.gz IMEDPZOGZJLTQP-YESZJQIVSA-N 0 0 426.558 -0.178 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2ccn(CCN3CCOCC3)n2)C1)C(N)=O ZINC000554251624 1073314262 /nfs/dbraw/zinc/31/42/62/1073314262.db2.gz BCNKFQZSXWFGHI-UHFFFAOYSA-N 0 0 435.529 -0.161 20 0 IBADRN CCN(C(=O)[C@H](C)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000554253410 1073314327 /nfs/dbraw/zinc/31/43/27/1073314327.db2.gz JMCZFUQAMCSGAK-LUKYLMHMSA-N 0 0 447.598 -0.271 20 0 IBADRN CCN(C(=O)[C@H](C)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000554253411 1073314219 /nfs/dbraw/zinc/31/42/19/1073314219.db2.gz JMCZFUQAMCSGAK-MWDXBVQZSA-N 0 0 447.598 -0.271 20 0 IBADRN CCN(C(=O)[C@H](C)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000554253412 1073314177 /nfs/dbraw/zinc/31/41/77/1073314177.db2.gz JMCZFUQAMCSGAK-VVLHAWIVSA-N 0 0 447.598 -0.271 20 0 IBADRN CCN(C(=O)[C@H](C)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000554253413 1073314321 /nfs/dbraw/zinc/31/43/21/1073314321.db2.gz JMCZFUQAMCSGAK-YLFCFFPRSA-N 0 0 447.598 -0.271 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H]3CC(=O)N(C4CC4)C3)CC2)CC1 ZINC000554258844 1073315452 /nfs/dbraw/zinc/31/54/52/1073315452.db2.gz DMAVLFGCGYJDEM-ADKAHSJRSA-N 0 0 447.580 -0.047 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NC[C@H]3CC(=O)N(C4CC4)C3)CC2)CC1 ZINC000554258845 1073315534 /nfs/dbraw/zinc/31/55/34/1073315534.db2.gz DMAVLFGCGYJDEM-LXPRWKDFSA-N 0 0 447.580 -0.047 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC[C@H]3CC(=O)N(C4CC4)C3)C2)nc1 ZINC000554259687 1073315576 /nfs/dbraw/zinc/31/55/76/1073315576.db2.gz KBYBVQZTKFVKHY-CYBMUJFWSA-N 0 0 429.477 -0.310 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC[C@@H]3CC(=O)N(C4CC4)C3)C2)nc1 ZINC000554259693 1073315462 /nfs/dbraw/zinc/31/54/62/1073315462.db2.gz KBYBVQZTKFVKHY-ZDUSSCGKSA-N 0 0 429.477 -0.310 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H]3CC(=O)N(C4CC4)C3)CC2)C1 ZINC000554259746 1073315485 /nfs/dbraw/zinc/31/54/85/1073315485.db2.gz KHCRZJKCISAPCX-FGTMMUONSA-N 0 0 447.580 -0.047 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NC[C@H]3CC(=O)N(C4CC4)C3)CC2)C1 ZINC000554259750 1073315498 /nfs/dbraw/zinc/31/54/98/1073315498.db2.gz KHCRZJKCISAPCX-KURKYZTESA-N 0 0 447.580 -0.047 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NC[C@H]3CC(=O)N(C4CC4)C3)CC2)C1 ZINC000554259752 1073315490 /nfs/dbraw/zinc/31/54/90/1073315490.db2.gz KHCRZJKCISAPCX-KZNAEPCWSA-N 0 0 447.580 -0.047 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H]3CC(=O)N(C4CC4)C3)CC2)C1 ZINC000554259753 1073315520 /nfs/dbraw/zinc/31/55/20/1073315520.db2.gz KHCRZJKCISAPCX-SQNIBIBYSA-N 0 0 447.580 -0.047 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000554260164 1073315503 /nfs/dbraw/zinc/31/55/03/1073315503.db2.gz UHDIZVWRGFXZAD-CQSZACIVSA-N 0 0 443.530 -0.866 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1)C(N)=O ZINC000554261484 1073315232 /nfs/dbraw/zinc/31/52/32/1073315232.db2.gz KZYNXGRSKZIWED-UHFFFAOYSA-N 0 0 449.512 -0.634 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cn1 ZINC000554261728 1073315146 /nfs/dbraw/zinc/31/51/46/1073315146.db2.gz NDRDUPJQYVYBJI-AWEZNQCLSA-N 0 0 430.531 -0.219 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cn1 ZINC000554261729 1073315178 /nfs/dbraw/zinc/31/51/78/1073315178.db2.gz NDRDUPJQYVYBJI-CQSZACIVSA-N 0 0 430.531 -0.219 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)C(F)(F)F ZINC000554265333 1073315227 /nfs/dbraw/zinc/31/52/27/1073315227.db2.gz MVYILFZOXSSGIP-GHMZBOCLSA-N 0 0 438.494 -0.221 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)C(F)(F)F ZINC000554265339 1073315194 /nfs/dbraw/zinc/31/51/94/1073315194.db2.gz MVYILFZOXSSGIP-MNOVXSKESA-N 0 0 438.494 -0.221 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)C(F)(F)F ZINC000554265341 1073315221 /nfs/dbraw/zinc/31/52/21/1073315221.db2.gz MVYILFZOXSSGIP-QWRGUYRKSA-N 0 0 438.494 -0.221 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)C(F)(F)F ZINC000554265343 1073315159 /nfs/dbraw/zinc/31/51/59/1073315159.db2.gz MVYILFZOXSSGIP-WDEREUQCSA-N 0 0 438.494 -0.221 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC000554266612 1073315224 /nfs/dbraw/zinc/31/52/24/1073315224.db2.gz YVZCCDOLLYYSSY-GHMZBOCLSA-N 0 0 438.494 -0.080 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC000554266614 1073315169 /nfs/dbraw/zinc/31/51/69/1073315169.db2.gz YVZCCDOLLYYSSY-MNOVXSKESA-N 0 0 438.494 -0.080 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC000554266615 1073315153 /nfs/dbraw/zinc/31/51/53/1073315153.db2.gz YVZCCDOLLYYSSY-QWRGUYRKSA-N 0 0 438.494 -0.080 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC000554266617 1073315173 /nfs/dbraw/zinc/31/51/73/1073315173.db2.gz YVZCCDOLLYYSSY-WDEREUQCSA-N 0 0 438.494 -0.080 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1)C(F)(F)F ZINC000554267603 1073315127 /nfs/dbraw/zinc/31/51/27/1073315127.db2.gz FUBRDGMMNWRJTG-GHMZBOCLSA-N 0 0 438.494 -0.126 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1)C(F)(F)F ZINC000554267605 1073315218 /nfs/dbraw/zinc/31/52/18/1073315218.db2.gz FUBRDGMMNWRJTG-MNOVXSKESA-N 0 0 438.494 -0.126 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1)C(F)(F)F ZINC000554267606 1073315238 /nfs/dbraw/zinc/31/52/38/1073315238.db2.gz FUBRDGMMNWRJTG-QWRGUYRKSA-N 0 0 438.494 -0.126 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1)C(F)(F)F ZINC000554267608 1073315236 /nfs/dbraw/zinc/31/52/36/1073315236.db2.gz FUBRDGMMNWRJTG-WDEREUQCSA-N 0 0 438.494 -0.126 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000554268960 1073315537 /nfs/dbraw/zinc/31/55/37/1073315537.db2.gz SSOZZWHLGFZSGD-AWEZNQCLSA-N 0 0 434.415 -0.331 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000554269297 1073315550 /nfs/dbraw/zinc/31/55/50/1073315550.db2.gz LJXLPYPBLWTSMG-CYBMUJFWSA-N 0 0 429.399 -0.186 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000554269299 1073315509 /nfs/dbraw/zinc/31/55/09/1073315509.db2.gz LJXLPYPBLWTSMG-ZDUSSCGKSA-N 0 0 429.399 -0.186 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC3(O)CCOCC3)CC2)C[C@H](C)O1 ZINC000554269406 1073315544 /nfs/dbraw/zinc/31/55/44/1073315544.db2.gz MXPXCUXNYQFFGW-HOTGVXAUSA-N 0 0 434.559 -0.401 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC3(O)CCOCC3)CC2)C[C@@H](C)O1 ZINC000554269407 1073315467 /nfs/dbraw/zinc/31/54/67/1073315467.db2.gz MXPXCUXNYQFFGW-HZPDHXFCSA-N 0 0 434.559 -0.401 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC3(O)CCOCC3)CC2)C[C@H](C)O1 ZINC000554269408 1073315514 /nfs/dbraw/zinc/31/55/14/1073315514.db2.gz MXPXCUXNYQFFGW-IYBDPMFKSA-N 0 0 434.559 -0.401 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000554270180 1073315473 /nfs/dbraw/zinc/31/54/73/1073315473.db2.gz SVFRFVUIRGIYFN-INIZCTEOSA-N 0 0 444.536 -0.654 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000554270181 1073315553 /nfs/dbraw/zinc/31/55/53/1073315553.db2.gz SVFRFVUIRGIYFN-MRXNPFEDSA-N 0 0 444.536 -0.654 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)[C@H](C)C1 ZINC000554272753 1073315495 /nfs/dbraw/zinc/31/54/95/1073315495.db2.gz ZQJJEAXAKLBEKX-MRXNPFEDSA-N 0 0 431.603 -0.599 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000554279385 1073315560 /nfs/dbraw/zinc/31/55/60/1073315560.db2.gz KEFLSTDAFHOZBI-IBGZPJMESA-N 0 0 437.585 -0.288 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000554279386 1073315960 /nfs/dbraw/zinc/31/59/60/1073315960.db2.gz KEFLSTDAFHOZBI-LJQANCHMSA-N 0 0 437.585 -0.288 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000554286273 1073316014 /nfs/dbraw/zinc/31/60/14/1073316014.db2.gz VZFWAUPHNSBTNH-UHFFFAOYSA-N 0 0 427.461 -0.195 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)Cc3nncn3C)CC2)c1 ZINC000554291289 1073315982 /nfs/dbraw/zinc/31/59/82/1073315982.db2.gz OMWDAPJFJQEKJD-UHFFFAOYSA-N 0 0 434.522 -0.017 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000554292629 1073316428 /nfs/dbraw/zinc/31/64/28/1073316428.db2.gz DELLUDSFGXMNJX-CYBMUJFWSA-N 0 0 431.540 -0.384 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)C1 ZINC000554292912 1073316420 /nfs/dbraw/zinc/31/64/20/1073316420.db2.gz NPMDNWNYMHMJMM-ZIAGYGMSSA-N 0 0 437.588 -0.487 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000554294508 1073316389 /nfs/dbraw/zinc/31/63/89/1073316389.db2.gz JTJDZTMROPWUEV-CQSZACIVSA-N 0 0 429.543 -0.679 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1cc(C2CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000554300751 1073316416 /nfs/dbraw/zinc/31/64/16/1073316416.db2.gz KAIGZVKGLMHDTM-UHFFFAOYSA-N 0 0 445.476 -0.141 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000554301229 1073316402 /nfs/dbraw/zinc/31/64/02/1073316402.db2.gz WWVZPVSKYRBAIP-UHFFFAOYSA-N 0 0 431.449 -0.221 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000554301633 1073316695 /nfs/dbraw/zinc/31/66/95/1073316695.db2.gz HBLLIFLRPOXSIF-HNNXBMFYSA-N 0 0 432.543 -0.099 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000554301634 1073316712 /nfs/dbraw/zinc/31/67/12/1073316712.db2.gz HBLLIFLRPOXSIF-OAHLLOKOSA-N 0 0 432.543 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)NCCn2cc(Br)cn2)CC1)N1CCOCC1 ZINC000554303762 1073316766 /nfs/dbraw/zinc/31/67/66/1073316766.db2.gz DJZRAGVZRYAEKT-UHFFFAOYSA-N 0 0 429.319 -0.168 20 0 IBADRN COc1ccc(CCNC(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000554304030 1073316835 /nfs/dbraw/zinc/31/68/35/1073316835.db2.gz KTUOXEVQERNTLW-AWEZNQCLSA-N 0 0 448.567 -0.002 20 0 IBADRN COc1ccc(CCNC(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000554304033 1073316861 /nfs/dbraw/zinc/31/68/61/1073316861.db2.gz KTUOXEVQERNTLW-CQSZACIVSA-N 0 0 448.567 -0.002 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000554309355 1073317118 /nfs/dbraw/zinc/31/71/18/1073317118.db2.gz AHMXBGQGPFBHSQ-CYBMUJFWSA-N 0 0 425.433 -0.078 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000554309356 1073317254 /nfs/dbraw/zinc/31/72/54/1073317254.db2.gz AHMXBGQGPFBHSQ-ZDUSSCGKSA-N 0 0 425.433 -0.078 20 0 IBADRN C[S@](=O)Cc1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000554316457 1073317170 /nfs/dbraw/zinc/31/71/70/1073317170.db2.gz BFYRMHVYQYBJBD-PMERELPUSA-N 0 0 436.534 -0.222 20 0 IBADRN C[S@@](=O)Cc1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000554316459 1073317078 /nfs/dbraw/zinc/31/70/78/1073317078.db2.gz BFYRMHVYQYBJBD-SSEXGKCCSA-N 0 0 436.534 -0.222 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000554327631 1073317129 /nfs/dbraw/zinc/31/71/29/1073317129.db2.gz MXQTZJWPDSUGEF-UHFFFAOYSA-N 0 0 429.476 -0.233 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(OC(F)(F)F)c3)C2)CCO1 ZINC000554330291 1073317738 /nfs/dbraw/zinc/31/77/38/1073317738.db2.gz PLPKSFFALUKMTL-BXUZGUMPSA-N 0 0 430.383 -0.007 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cccc(OC(F)(F)F)c3)C2)CCO1 ZINC000554330292 1073317422 /nfs/dbraw/zinc/31/74/22/1073317422.db2.gz PLPKSFFALUKMTL-FZMZJTMJSA-N 0 0 430.383 -0.007 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(OC(F)(F)F)c3)C2)CCO1 ZINC000554330293 1073317584 /nfs/dbraw/zinc/31/75/84/1073317584.db2.gz PLPKSFFALUKMTL-RISCZKNCSA-N 0 0 430.383 -0.007 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cccc(OC(F)(F)F)c3)C2)CCO1 ZINC000554330294 1073317731 /nfs/dbraw/zinc/31/77/31/1073317731.db2.gz PLPKSFFALUKMTL-SMDDNHRTSA-N 0 0 430.383 -0.007 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CCOC1 ZINC000554336819 1073317601 /nfs/dbraw/zinc/31/76/01/1073317601.db2.gz LVFXYNVKQMSNNL-IBGZPJMESA-N 0 0 435.506 -0.061 20 0 IBADRN C[C@]1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CCOC1 ZINC000554336820 1073317439 /nfs/dbraw/zinc/31/74/39/1073317439.db2.gz LVFXYNVKQMSNNL-LJQANCHMSA-N 0 0 435.506 -0.061 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1=O ZINC000554339149 1073317927 /nfs/dbraw/zinc/31/79/27/1073317927.db2.gz FLXUFPNHUCEVTE-CABCVRRESA-N 0 0 441.558 -0.032 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1=O ZINC000554339150 1073317908 /nfs/dbraw/zinc/31/79/08/1073317908.db2.gz FLXUFPNHUCEVTE-HUUCEWRRSA-N 0 0 441.558 -0.032 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000554339912 1073317886 /nfs/dbraw/zinc/31/78/86/1073317886.db2.gz AKTLXXQWWKHHQM-OAHLLOKOSA-N 0 0 439.604 -0.382 20 0 IBADRN CCN(C1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1)S(C)(=O)=O ZINC000554339971 1073317897 /nfs/dbraw/zinc/31/78/97/1073317897.db2.gz BTSLKEDVFLVPQH-CQSZACIVSA-N 0 0 439.604 -0.287 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)C1 ZINC000554339972 1073318027 /nfs/dbraw/zinc/31/80/27/1073318027.db2.gz BYEVRLYALBXVDT-CQSZACIVSA-N 0 0 437.588 -0.533 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCS(=O)(=O)NCc2ccccc2)C1 ZINC000554340222 1073317876 /nfs/dbraw/zinc/31/78/76/1073317876.db2.gz DQKGVYNRXBFVHJ-MRXNPFEDSA-N 0 0 447.583 -0.324 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC(C(=O)N3CCOCC3)CC2)C1 ZINC000554340375 1073318011 /nfs/dbraw/zinc/31/80/11/1073318011.db2.gz GQKGWKZHJIMTSX-MRXNPFEDSA-N 0 0 431.559 -0.462 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)C1 ZINC000554341423 1073318175 /nfs/dbraw/zinc/31/81/75/1073318175.db2.gz WOOQMYAFHGPDIF-CQSZACIVSA-N 0 0 437.588 -0.487 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000554341503 1073317952 /nfs/dbraw/zinc/31/79/52/1073317952.db2.gz QFVPGZXWEKRWRF-DLBZAZTESA-N 0 0 444.602 -0.405 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000554341506 1073318149 /nfs/dbraw/zinc/31/81/49/1073318149.db2.gz QFVPGZXWEKRWRF-IAGOWNOFSA-N 0 0 444.602 -0.405 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000554342021 1073317919 /nfs/dbraw/zinc/31/79/19/1073317919.db2.gz YNWQDCAPRFHMLB-GDBMZVCRSA-N 0 0 430.575 -0.749 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000554342028 1073318164 /nfs/dbraw/zinc/31/81/64/1073318164.db2.gz YNWQDCAPRFHMLB-GOEBONIOSA-N 0 0 430.575 -0.749 20 0 IBADRN CCc1nc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cs1 ZINC000554346572 1073319098 /nfs/dbraw/zinc/31/90/98/1073319098.db2.gz CJWTWIASZYOZGY-LLVKDONJSA-N 0 0 435.510 -0.629 20 0 IBADRN CCc1nc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cs1 ZINC000554346573 1073319559 /nfs/dbraw/zinc/31/95/59/1073319559.db2.gz CJWTWIASZYOZGY-NSHDSACASA-N 0 0 435.510 -0.629 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000554351378 1073319964 /nfs/dbraw/zinc/31/99/64/1073319964.db2.gz QSTIRPAVWXYDQL-MOPGFXCFSA-N 0 0 437.585 -0.241 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000554351379 1073319860 /nfs/dbraw/zinc/31/98/60/1073319860.db2.gz QSTIRPAVWXYDQL-OALUTQOASA-N 0 0 437.585 -0.241 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000554351380 1073320079 /nfs/dbraw/zinc/32/00/79/1073320079.db2.gz QSTIRPAVWXYDQL-RBUKOAKNSA-N 0 0 437.585 -0.241 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000554351381 1073319941 /nfs/dbraw/zinc/31/99/41/1073319941.db2.gz QSTIRPAVWXYDQL-RTBURBONSA-N 0 0 437.585 -0.241 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000554351879 1073319912 /nfs/dbraw/zinc/31/99/12/1073319912.db2.gz XDYGXAXEYSXMQP-BHIYHBOVSA-N 0 0 435.569 -0.443 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000554351882 1073320042 /nfs/dbraw/zinc/32/00/42/1073320042.db2.gz XDYGXAXEYSXMQP-NEWSRXKRSA-N 0 0 435.569 -0.443 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000554352094 1073319139 /nfs/dbraw/zinc/31/91/39/1073319139.db2.gz XDYGXAXEYSXMQP-NZSAHSFTSA-N 0 0 435.569 -0.443 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000554352095 1073319841 /nfs/dbraw/zinc/31/98/41/1073319841.db2.gz XDYGXAXEYSXMQP-QRQLOZEOSA-N 0 0 435.569 -0.443 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1)N1CCCCC1 ZINC000554354803 1073319988 /nfs/dbraw/zinc/31/99/88/1073319988.db2.gz NYXVWDWTUSSNNU-PMACEKPBSA-N 0 0 449.596 -0.097 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1)N1CCCCC1 ZINC000554354807 1073320153 /nfs/dbraw/zinc/32/01/53/1073320153.db2.gz NYXVWDWTUSSNNU-UXHICEINSA-N 0 0 449.596 -0.097 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1)N1CCCCC1 ZINC000554354809 1073320100 /nfs/dbraw/zinc/32/01/00/1073320100.db2.gz NYXVWDWTUSSNNU-VQTJNVASSA-N 0 0 449.596 -0.097 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1)N1CCCCC1 ZINC000554354811 1073320057 /nfs/dbraw/zinc/32/00/57/1073320057.db2.gz NYXVWDWTUSSNNU-WOJBJXKFSA-N 0 0 449.596 -0.097 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)CC2)CC1 ZINC000554355587 1073320237 /nfs/dbraw/zinc/32/02/37/1073320237.db2.gz VUYGDBDLFXKWAC-PMACEKPBSA-N 0 0 449.596 -0.241 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)CC2)CC1 ZINC000554355590 1073320264 /nfs/dbraw/zinc/32/02/64/1073320264.db2.gz VUYGDBDLFXKWAC-UXHICEINSA-N 0 0 449.596 -0.241 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)CC2)CC1 ZINC000554355591 1073320216 /nfs/dbraw/zinc/32/02/16/1073320216.db2.gz VUYGDBDLFXKWAC-VQTJNVASSA-N 0 0 449.596 -0.241 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)CC2)CC1 ZINC000554355592 1073320021 /nfs/dbraw/zinc/32/00/21/1073320021.db2.gz VUYGDBDLFXKWAC-WOJBJXKFSA-N 0 0 449.596 -0.241 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H](C(=O)N(C)C)C3)CC2)no1 ZINC000554358081 1073329687 /nfs/dbraw/zinc/32/96/87/1073329687.db2.gz BRPGPUQNTOKOAH-CVEARBPZSA-N 0 0 448.524 -0.171 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCC[C@H](C(=O)N(C)C)C3)CC2)no1 ZINC000554358082 1073329854 /nfs/dbraw/zinc/32/98/54/1073329854.db2.gz BRPGPUQNTOKOAH-HOTGVXAUSA-N 0 0 448.524 -0.171 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H](C(=O)N(C)C)C3)CC2)no1 ZINC000554358083 1073329720 /nfs/dbraw/zinc/32/97/20/1073329720.db2.gz BRPGPUQNTOKOAH-HZPDHXFCSA-N 0 0 448.524 -0.171 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H](C(=O)N(C)C)C3)CC2)no1 ZINC000554358084 1073329801 /nfs/dbraw/zinc/32/98/01/1073329801.db2.gz BRPGPUQNTOKOAH-JKSUJKDBSA-N 0 0 448.524 -0.171 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000554365073 1073320424 /nfs/dbraw/zinc/32/04/24/1073320424.db2.gz YYBPADJHBSTQDN-UHFFFAOYSA-N 0 0 445.563 -0.556 20 0 IBADRN CCC[C@H](NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)C(N)=O ZINC000554367482 1073320641 /nfs/dbraw/zinc/32/06/41/1073320641.db2.gz YENNTXCHWMFQCG-JSGCOSHPSA-N 0 0 432.524 -0.073 20 0 IBADRN CCC[C@H](NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)C(N)=O ZINC000554367483 1073320621 /nfs/dbraw/zinc/32/06/21/1073320621.db2.gz YENNTXCHWMFQCG-OCCSQVGLSA-N 0 0 432.524 -0.073 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000554389129 1073320610 /nfs/dbraw/zinc/32/06/10/1073320610.db2.gz JIXXQQTZPALCRH-UHFFFAOYSA-N 0 0 425.559 -0.288 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)CC2)nc1 ZINC000554392156 1073320908 /nfs/dbraw/zinc/32/09/08/1073320908.db2.gz GNEYRRBNHCGXKG-GFCCVEGCSA-N 0 0 442.398 -0.892 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)CC2)nc1 ZINC000554392157 1073320882 /nfs/dbraw/zinc/32/08/82/1073320882.db2.gz GNEYRRBNHCGXKG-LBPRGKRZSA-N 0 0 442.398 -0.892 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)CCC1=O ZINC000554392797 1073320894 /nfs/dbraw/zinc/32/08/94/1073320894.db2.gz XVDVXANEDXFDDK-GOSISDBHSA-N 0 0 441.532 -0.153 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)CCC1=O ZINC000554392799 1073320869 /nfs/dbraw/zinc/32/08/69/1073320869.db2.gz XVDVXANEDXFDDK-SFHVURJKSA-N 0 0 441.532 -0.153 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554393658 1073320915 /nfs/dbraw/zinc/32/09/15/1073320915.db2.gz XRBVDKCWBQYMMX-GHMZBOCLSA-N 0 0 428.433 -0.806 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554393659 1073320957 /nfs/dbraw/zinc/32/09/57/1073320957.db2.gz XRBVDKCWBQYMMX-MNOVXSKESA-N 0 0 428.433 -0.806 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554393660 1073320969 /nfs/dbraw/zinc/32/09/69/1073320969.db2.gz XRBVDKCWBQYMMX-QWRGUYRKSA-N 0 0 428.433 -0.806 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554393661 1073321299 /nfs/dbraw/zinc/32/12/99/1073321299.db2.gz XRBVDKCWBQYMMX-WDEREUQCSA-N 0 0 428.433 -0.806 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCC(=O)N(C)C2)CC1 ZINC000554393844 1073320803 /nfs/dbraw/zinc/32/08/03/1073320803.db2.gz KKBDRRHESKVLCY-HNNXBMFYSA-N 0 0 443.570 -0.623 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000554393845 1073320950 /nfs/dbraw/zinc/32/09/50/1073320950.db2.gz KKBDRRHESKVLCY-OAHLLOKOSA-N 0 0 443.570 -0.623 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)CCC1=O ZINC000554393914 1073320857 /nfs/dbraw/zinc/32/08/57/1073320857.db2.gz MHIYXWXNYPGCML-AWEZNQCLSA-N 0 0 426.477 -0.283 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)CCC1=O ZINC000554393915 1073320817 /nfs/dbraw/zinc/32/08/17/1073320817.db2.gz MHIYXWXNYPGCML-CQSZACIVSA-N 0 0 426.477 -0.283 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CCC1=O ZINC000554394195 1073320832 /nfs/dbraw/zinc/32/08/32/1073320832.db2.gz UMSVPHKMLFZMBP-AWEZNQCLSA-N 0 0 442.925 -0.090 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CCC1=O ZINC000554394196 1073320844 /nfs/dbraw/zinc/32/08/44/1073320844.db2.gz UMSVPHKMLFZMBP-CQSZACIVSA-N 0 0 442.925 -0.090 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000554396570 1073321134 /nfs/dbraw/zinc/32/11/34/1073321134.db2.gz IDFFQTOEYDMJPE-CABCVRRESA-N 0 0 442.524 -0.225 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000554396571 1073321253 /nfs/dbraw/zinc/32/12/53/1073321253.db2.gz IDFFQTOEYDMJPE-GJZGRUSLSA-N 0 0 442.524 -0.225 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000554396572 1073321195 /nfs/dbraw/zinc/32/11/95/1073321195.db2.gz IDFFQTOEYDMJPE-HUUCEWRRSA-N 0 0 442.524 -0.225 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000554396573 1073321238 /nfs/dbraw/zinc/32/12/38/1073321238.db2.gz IDFFQTOEYDMJPE-LSDHHAIUSA-N 0 0 442.524 -0.225 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000554396687 1073321108 /nfs/dbraw/zinc/32/11/08/1073321108.db2.gz LROARRWOZJJKLM-CABCVRRESA-N 0 0 430.509 -0.408 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000554396688 1073321182 /nfs/dbraw/zinc/32/11/82/1073321182.db2.gz LROARRWOZJJKLM-GJZGRUSLSA-N 0 0 430.509 -0.408 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000554396689 1073321153 /nfs/dbraw/zinc/32/11/53/1073321153.db2.gz LROARRWOZJJKLM-HUUCEWRRSA-N 0 0 430.509 -0.408 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000554396690 1073321123 /nfs/dbraw/zinc/32/11/23/1073321123.db2.gz LROARRWOZJJKLM-LSDHHAIUSA-N 0 0 430.509 -0.408 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000554398723 1073321272 /nfs/dbraw/zinc/32/12/72/1073321272.db2.gz ZDOJQKREXDWQAG-GDBMZVCRSA-N 0 0 430.509 -0.408 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000554398724 1073321289 /nfs/dbraw/zinc/32/12/89/1073321289.db2.gz ZDOJQKREXDWQAG-GOEBONIOSA-N 0 0 430.509 -0.408 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000554398725 1073321211 /nfs/dbraw/zinc/32/12/11/1073321211.db2.gz ZDOJQKREXDWQAG-HOCLYGCPSA-N 0 0 430.509 -0.408 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000554398726 1073321267 /nfs/dbraw/zinc/32/12/67/1073321267.db2.gz ZDOJQKREXDWQAG-ZBFHGGJFSA-N 0 0 430.509 -0.408 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)CC2)n1 ZINC000554402740 1073321232 /nfs/dbraw/zinc/32/12/32/1073321232.db2.gz XSMOQLLNIFTXQR-LLVKDONJSA-N 0 0 430.387 -0.587 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)CC2)n1 ZINC000554402741 1073321172 /nfs/dbraw/zinc/32/11/72/1073321172.db2.gz XSMOQLLNIFTXQR-NSHDSACASA-N 0 0 430.387 -0.587 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000554402810 1073321159 /nfs/dbraw/zinc/32/11/59/1073321159.db2.gz AJBYLPMOCBEISW-UHFFFAOYSA-N 0 0 429.499 -0.616 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554402821 1073321305 /nfs/dbraw/zinc/32/13/05/1073321305.db2.gz AUWPJOAXFSEFOP-JHJVBQTASA-N 0 0 425.404 -0.862 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554402822 1073321282 /nfs/dbraw/zinc/32/12/82/1073321282.db2.gz AUWPJOAXFSEFOP-UPJWGTAASA-N 0 0 425.404 -0.862 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554402823 1073321277 /nfs/dbraw/zinc/32/12/77/1073321277.db2.gz AUWPJOAXFSEFOP-XQQFMLRXSA-N 0 0 425.404 -0.862 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000554402824 1073321294 /nfs/dbraw/zinc/32/12/94/1073321294.db2.gz AUWPJOAXFSEFOP-YNEHKIRRSA-N 0 0 425.404 -0.862 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000554404121 1073321523 /nfs/dbraw/zinc/32/15/23/1073321523.db2.gz PTKIBUPRDGEOLN-UHFFFAOYSA-N 0 0 425.511 -0.985 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000554404450 1073321689 /nfs/dbraw/zinc/32/16/89/1073321689.db2.gz YTCQGDYKSGGUAP-CHWSQXEVSA-N 0 0 427.383 -0.027 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000554404451 1073321533 /nfs/dbraw/zinc/32/15/33/1073321533.db2.gz YTCQGDYKSGGUAP-OLZOCXBDSA-N 0 0 427.383 -0.027 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000554404452 1073321543 /nfs/dbraw/zinc/32/15/43/1073321543.db2.gz YTCQGDYKSGGUAP-QWHCGFSZSA-N 0 0 427.383 -0.027 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000554404453 1073321640 /nfs/dbraw/zinc/32/16/40/1073321640.db2.gz YTCQGDYKSGGUAP-STQMWFEESA-N 0 0 427.383 -0.027 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000554408555 1073321567 /nfs/dbraw/zinc/32/15/67/1073321567.db2.gz HANXYUXRUBOCIE-KBPBESRZSA-N 0 0 443.547 -0.030 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000554408559 1073321604 /nfs/dbraw/zinc/32/16/04/1073321604.db2.gz HANXYUXRUBOCIE-KGLIPLIRSA-N 0 0 443.547 -0.030 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000554408561 1073321478 /nfs/dbraw/zinc/32/14/78/1073321478.db2.gz HANXYUXRUBOCIE-UONOGXRCSA-N 0 0 443.547 -0.030 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000554408562 1073321552 /nfs/dbraw/zinc/32/15/52/1073321552.db2.gz HANXYUXRUBOCIE-ZIAGYGMSSA-N 0 0 443.547 -0.030 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCc3nc(C)ncc3C2)c1 ZINC000554417180 1073322100 /nfs/dbraw/zinc/32/21/00/1073322100.db2.gz DAZSIZOTYDZOQF-UHFFFAOYSA-N 0 0 447.517 -0.089 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@@H](O)C(F)(F)F)c1 ZINC000554417695 1073322075 /nfs/dbraw/zinc/32/20/75/1073322075.db2.gz LQDNVZLAMKROFI-CYBMUJFWSA-N 0 0 441.428 -0.391 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@H](O)C(F)(F)F)c1 ZINC000554417696 1073322094 /nfs/dbraw/zinc/32/20/94/1073322094.db2.gz LQDNVZLAMKROFI-ZDUSSCGKSA-N 0 0 441.428 -0.391 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCOC[C@H]2C2CC2)c1 ZINC000554417917 1073322040 /nfs/dbraw/zinc/32/20/40/1073322040.db2.gz ONQOGBYCKODIGK-KRWDZBQOSA-N 0 0 425.507 -0.135 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCOC[C@@H]2C2CC2)c1 ZINC000554417918 1073322086 /nfs/dbraw/zinc/32/20/86/1073322086.db2.gz ONQOGBYCKODIGK-QGZVFWFLSA-N 0 0 425.507 -0.135 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)CCN2CCOCC2)c1 ZINC000554417932 1073322057 /nfs/dbraw/zinc/32/20/57/1073322057.db2.gz OSFOXHDMLPOSBL-UHFFFAOYSA-N 0 0 442.538 -0.982 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCn3cnnc3[C@H]2C)c1 ZINC000554418085 1073321961 /nfs/dbraw/zinc/32/19/61/1073321961.db2.gz UJSSOUNWVZJQCF-CYBMUJFWSA-N 0 0 436.494 -0.578 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCn3cnnc3[C@@H]2C)c1 ZINC000554418086 1073322163 /nfs/dbraw/zinc/32/21/63/1073322163.db2.gz UJSSOUNWVZJQCF-ZDUSSCGKSA-N 0 0 436.494 -0.578 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCO[C@@H]2C2CC2)c1 ZINC000554418138 1073322047 /nfs/dbraw/zinc/32/20/47/1073322047.db2.gz SLHLBODTBKZCHZ-DLBZAZTESA-N 0 0 425.507 -0.089 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCO[C@@H]2C2CC2)c1 ZINC000554418139 1073321911 /nfs/dbraw/zinc/32/19/11/1073321911.db2.gz SLHLBODTBKZCHZ-IAGOWNOFSA-N 0 0 425.507 -0.089 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCO[C@H]2C2CC2)c1 ZINC000554418140 1073322064 /nfs/dbraw/zinc/32/20/64/1073322064.db2.gz SLHLBODTBKZCHZ-IRXDYDNUSA-N 0 0 425.507 -0.089 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000554418141 1073321949 /nfs/dbraw/zinc/32/19/49/1073321949.db2.gz SLHLBODTBKZCHZ-SJORKVTESA-N 0 0 425.507 -0.089 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000554418595 1073322449 /nfs/dbraw/zinc/32/24/49/1073322449.db2.gz CGWBPJNVYROJHP-GXTWGEPZSA-N 0 0 426.470 -0.413 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000554418596 1073322512 /nfs/dbraw/zinc/32/25/12/1073322512.db2.gz CGWBPJNVYROJHP-JSGCOSHPSA-N 0 0 426.470 -0.413 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000554418597 1073322406 /nfs/dbraw/zinc/32/24/06/1073322406.db2.gz CGWBPJNVYROJHP-OCCSQVGLSA-N 0 0 426.470 -0.413 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000554418598 1073322387 /nfs/dbraw/zinc/32/23/87/1073322387.db2.gz CGWBPJNVYROJHP-TZMCWYRMSA-N 0 0 426.470 -0.413 20 0 IBADRN COc1cc(F)c([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1OC ZINC000554419331 1073322001 /nfs/dbraw/zinc/32/20/01/1073322001.db2.gz OIQUPWYMCSFUAC-GFCCVEGCSA-N 0 0 449.443 -0.622 20 0 IBADRN COc1cc(F)c([C@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1OC ZINC000554419332 1073322291 /nfs/dbraw/zinc/32/22/91/1073322291.db2.gz OIQUPWYMCSFUAC-LBPRGKRZSA-N 0 0 449.443 -0.622 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4F)C3=O)CC2)n1 ZINC000554419350 1073363937 /nfs/dbraw/zinc/36/39/37/1073363937.db2.gz OOYQTWQSNIYPBO-GFCCVEGCSA-N 0 0 433.469 -0.170 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4F)C3=O)CC2)n1 ZINC000554419351 1073363973 /nfs/dbraw/zinc/36/39/73/1073363973.db2.gz OOYQTWQSNIYPBO-LBPRGKRZSA-N 0 0 433.469 -0.170 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC[C@H]3C[C@@]3(C)NC(=O)NC3=O)cnc2n(C)c1=O ZINC000554419533 1073322397 /nfs/dbraw/zinc/32/23/97/1073322397.db2.gz XEBXNUSWYOQDGK-JEOXALJRSA-N 0 0 429.437 -0.383 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC[C@@H]3C[C@@]3(C)NC(=O)NC3=O)cnc2n(C)c1=O ZINC000554419534 1073322503 /nfs/dbraw/zinc/32/25/03/1073322503.db2.gz XEBXNUSWYOQDGK-NSPYISDASA-N 0 0 429.437 -0.383 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC[C@H]3C[C@]3(C)NC(=O)NC3=O)cnc2n(C)c1=O ZINC000554419536 1073322497 /nfs/dbraw/zinc/32/24/97/1073322497.db2.gz XEBXNUSWYOQDGK-WLRWDXFRSA-N 0 0 429.437 -0.383 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC[C@@H]3C[C@]3(C)NC(=O)NC3=O)cnc2n(C)c1=O ZINC000554419537 1073322338 /nfs/dbraw/zinc/32/23/38/1073322338.db2.gz XEBXNUSWYOQDGK-WYRIXSBYSA-N 0 0 429.437 -0.383 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCCc2ncc(C)cn2)c1 ZINC000554419651 1073322489 /nfs/dbraw/zinc/32/24/89/1073322489.db2.gz YOVGKKBKDCGQSI-UHFFFAOYSA-N 0 0 435.506 -0.315 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2ccccc2F)C1=O ZINC000554420048 1073322300 /nfs/dbraw/zinc/32/23/00/1073322300.db2.gz GEUGIPMWABSCHD-GXTWGEPZSA-N 0 0 426.470 -0.413 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2ccccc2F)C1=O ZINC000554420049 1073322415 /nfs/dbraw/zinc/32/24/15/1073322415.db2.gz GEUGIPMWABSCHD-JSGCOSHPSA-N 0 0 426.470 -0.413 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2ccccc2F)C1=O ZINC000554420050 1073322539 /nfs/dbraw/zinc/32/25/39/1073322539.db2.gz GEUGIPMWABSCHD-OCCSQVGLSA-N 0 0 426.470 -0.413 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2ccccc2F)C1=O ZINC000554420051 1073322487 /nfs/dbraw/zinc/32/24/87/1073322487.db2.gz GEUGIPMWABSCHD-TZMCWYRMSA-N 0 0 426.470 -0.413 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1)N1CCCC1 ZINC000554422380 1073322326 /nfs/dbraw/zinc/32/23/26/1073322326.db2.gz LRBJLMRHICDWSS-KRWDZBQOSA-N 0 0 445.495 -0.186 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1)N1CCCC1 ZINC000554422382 1073322313 /nfs/dbraw/zinc/32/23/13/1073322313.db2.gz LRBJLMRHICDWSS-QGZVFWFLSA-N 0 0 445.495 -0.186 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000554428676 1073362397 /nfs/dbraw/zinc/36/23/97/1073362397.db2.gz XJWZWSRBUYALME-CYBMUJFWSA-N 0 0 425.515 -0.529 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000554428677 1073362476 /nfs/dbraw/zinc/36/24/76/1073362476.db2.gz XJWZWSRBUYALME-ZDUSSCGKSA-N 0 0 425.515 -0.529 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)cc1 ZINC000554428761 1073322745 /nfs/dbraw/zinc/32/27/45/1073322745.db2.gz AKRIVZBBYPARMH-UHFFFAOYSA-N 0 0 449.489 -0.107 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(C(=O)NCCC(N)=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000554431748 1073322786 /nfs/dbraw/zinc/32/27/86/1073322786.db2.gz OWLHSTXHMOGIJR-UHFFFAOYSA-N 0 0 438.444 -0.202 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](CN2CCOCC2)C(=O)OC)cc1 ZINC000554432464 1073322772 /nfs/dbraw/zinc/32/27/72/1073322772.db2.gz GVNRVWWRHXWZLG-INIZCTEOSA-N 0 0 449.551 -0.473 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](CN2CCOCC2)C(=O)OC)cc1 ZINC000554432465 1073322797 /nfs/dbraw/zinc/32/27/97/1073322797.db2.gz GVNRVWWRHXWZLG-MRXNPFEDSA-N 0 0 449.551 -0.473 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)cn1 ZINC000554432490 1073322861 /nfs/dbraw/zinc/32/28/61/1073322861.db2.gz ALKDDFLRQAGBQF-CABCVRRESA-N 0 0 425.511 -0.673 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)cn1 ZINC000554432491 1073322851 /nfs/dbraw/zinc/32/28/51/1073322851.db2.gz ALKDDFLRQAGBQF-GJZGRUSLSA-N 0 0 425.511 -0.673 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)cn1 ZINC000554432493 1073322840 /nfs/dbraw/zinc/32/28/40/1073322840.db2.gz ALKDDFLRQAGBQF-HUUCEWRRSA-N 0 0 425.511 -0.673 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)cn1 ZINC000554432494 1073322879 /nfs/dbraw/zinc/32/28/79/1073322879.db2.gz ALKDDFLRQAGBQF-LSDHHAIUSA-N 0 0 425.511 -0.673 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC2(C(=O)N(C)C)CCCC2)CCN1c1nccn2cnnc12 ZINC000554434089 1073322910 /nfs/dbraw/zinc/32/29/10/1073322910.db2.gz CPRLHDZZFMYDOB-HNNXBMFYSA-N 0 0 442.524 -0.074 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2(C(=O)N(C)C)CCCC2)CCN1c1nccn2cnnc12 ZINC000554434090 1073322901 /nfs/dbraw/zinc/32/29/01/1073322901.db2.gz CPRLHDZZFMYDOB-OAHLLOKOSA-N 0 0 442.524 -0.074 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000554434654 1073323252 /nfs/dbraw/zinc/32/32/52/1073323252.db2.gz IGIABGPAIRWXPY-INIZCTEOSA-N 0 0 440.497 -0.216 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000554434655 1073323081 /nfs/dbraw/zinc/32/30/81/1073323081.db2.gz IGIABGPAIRWXPY-MRXNPFEDSA-N 0 0 440.497 -0.216 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)C2)no1 ZINC000554435222 1073322885 /nfs/dbraw/zinc/32/28/85/1073322885.db2.gz NNFVBJSSHQSZHP-CABCVRRESA-N 0 0 434.497 -0.293 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)C2)no1 ZINC000554435224 1073322829 /nfs/dbraw/zinc/32/28/29/1073322829.db2.gz NNFVBJSSHQSZHP-GJZGRUSLSA-N 0 0 434.497 -0.293 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)C2)no1 ZINC000554435226 1073322808 /nfs/dbraw/zinc/32/28/08/1073322808.db2.gz NNFVBJSSHQSZHP-HUUCEWRRSA-N 0 0 434.497 -0.293 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)C2)no1 ZINC000554435228 1073322893 /nfs/dbraw/zinc/32/28/93/1073322893.db2.gz NNFVBJSSHQSZHP-LSDHHAIUSA-N 0 0 434.497 -0.293 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)C1=O ZINC000554436103 1073323300 /nfs/dbraw/zinc/32/33/00/1073323300.db2.gz AVOJJYZSCNOANM-FGTMMUONSA-N 0 0 435.569 -0.049 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)C1=O ZINC000554436105 1073323094 /nfs/dbraw/zinc/32/30/94/1073323094.db2.gz AVOJJYZSCNOANM-KURKYZTESA-N 0 0 435.569 -0.049 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)C1=O ZINC000554436107 1073323165 /nfs/dbraw/zinc/32/31/65/1073323165.db2.gz AVOJJYZSCNOANM-KZNAEPCWSA-N 0 0 435.569 -0.049 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)C1=O ZINC000554436109 1073323106 /nfs/dbraw/zinc/32/31/06/1073323106.db2.gz AVOJJYZSCNOANM-SQNIBIBYSA-N 0 0 435.569 -0.049 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554440560 1073323925 /nfs/dbraw/zinc/32/39/25/1073323925.db2.gz SXFGSAVWWYMWER-FIXAZDJUSA-N 0 0 438.554 -0.719 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554440561 1073324013 /nfs/dbraw/zinc/32/40/13/1073324013.db2.gz SXFGSAVWWYMWER-IQYXRRSRSA-N 0 0 438.554 -0.719 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554440562 1073323889 /nfs/dbraw/zinc/32/38/89/1073323889.db2.gz SXFGSAVWWYMWER-NYCQYLMKSA-N 0 0 438.554 -0.719 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000554440563 1073323860 /nfs/dbraw/zinc/32/38/60/1073323860.db2.gz SXFGSAVWWYMWER-PWUJBNGKSA-N 0 0 438.554 -0.719 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000554441360 1073323510 /nfs/dbraw/zinc/32/35/10/1073323510.db2.gz RYZSATUKOFBVRF-BTYIYWSLSA-N 0 0 445.524 -0.878 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000554441364 1073323485 /nfs/dbraw/zinc/32/34/85/1073323485.db2.gz RYZSATUKOFBVRF-QVKFZJNVSA-N 0 0 445.524 -0.878 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000554441366 1073323828 /nfs/dbraw/zinc/32/38/28/1073323828.db2.gz RYZSATUKOFBVRF-VFNWGFHPSA-N 0 0 445.524 -0.878 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000554441368 1073323999 /nfs/dbraw/zinc/32/39/99/1073323999.db2.gz RYZSATUKOFBVRF-YCRPNKLZSA-N 0 0 445.524 -0.878 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2)ncn1 ZINC000554441598 1073323656 /nfs/dbraw/zinc/32/36/56/1073323656.db2.gz ZDFZKQCYYHLVFB-INIZCTEOSA-N 0 0 440.508 -0.267 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2)ncn1 ZINC000554441599 1073324357 /nfs/dbraw/zinc/32/43/57/1073324357.db2.gz ZDFZKQCYYHLVFB-MRXNPFEDSA-N 0 0 440.508 -0.267 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@H](S(=O)(=O)NC)C3)C2)ncn1 ZINC000554441686 1073324538 /nfs/dbraw/zinc/32/45/38/1073324538.db2.gz CRKROLAMBDTUAF-CVEARBPZSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@H](S(=O)(=O)NC)C3)C2)ncn1 ZINC000554441687 1073324482 /nfs/dbraw/zinc/32/44/82/1073324482.db2.gz CRKROLAMBDTUAF-HOTGVXAUSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@@H](S(=O)(=O)NC)C3)C2)ncn1 ZINC000554441688 1073324370 /nfs/dbraw/zinc/32/43/70/1073324370.db2.gz CRKROLAMBDTUAF-HZPDHXFCSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@@H](S(=O)(=O)NC)C3)C2)ncn1 ZINC000554441689 1073324550 /nfs/dbraw/zinc/32/45/50/1073324550.db2.gz CRKROLAMBDTUAF-JKSUJKDBSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2)ncn1 ZINC000554442708 1073324452 /nfs/dbraw/zinc/32/44/52/1073324452.db2.gz JXZRWRCIRQQIFK-DOTOQJQBSA-N 0 0 440.508 -0.221 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)C2)ncn1 ZINC000554442712 1073324526 /nfs/dbraw/zinc/32/45/26/1073324526.db2.gz JXZRWRCIRQQIFK-NVXWUHKLSA-N 0 0 440.508 -0.221 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2)ncn1 ZINC000554442714 1073324563 /nfs/dbraw/zinc/32/45/63/1073324563.db2.gz JXZRWRCIRQQIFK-RDJZCZTQSA-N 0 0 440.508 -0.221 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)C2)ncn1 ZINC000554442715 1073324361 /nfs/dbraw/zinc/32/43/61/1073324361.db2.gz JXZRWRCIRQQIFK-WBVHZDCISA-N 0 0 440.508 -0.221 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N(CCO)C1CCC1 ZINC000554443386 1073324635 /nfs/dbraw/zinc/32/46/35/1073324635.db2.gz SUWQEVQRGACEPZ-INIZCTEOSA-N 0 0 432.525 -0.228 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N(CCO)C1CCC1 ZINC000554443389 1073324657 /nfs/dbraw/zinc/32/46/57/1073324657.db2.gz SUWQEVQRGACEPZ-MRXNPFEDSA-N 0 0 432.525 -0.228 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000554443794 1073324573 /nfs/dbraw/zinc/32/45/73/1073324573.db2.gz ZBUHUFLYCVMJOY-HRAATJIYSA-N 0 0 432.525 -0.228 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000554443795 1073324331 /nfs/dbraw/zinc/32/43/31/1073324331.db2.gz ZBUHUFLYCVMJOY-IERDGZPVSA-N 0 0 432.525 -0.228 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000554443796 1073324606 /nfs/dbraw/zinc/32/46/06/1073324606.db2.gz ZBUHUFLYCVMJOY-IIBYNOLFSA-N 0 0 432.525 -0.228 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000554443797 1073324494 /nfs/dbraw/zinc/32/44/94/1073324494.db2.gz ZBUHUFLYCVMJOY-KKSFZXQISA-N 0 0 432.525 -0.228 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2)ncn1 ZINC000554446496 1073324346 /nfs/dbraw/zinc/32/43/46/1073324346.db2.gz JHUFRGZHTYUWOX-MSOLQXFVSA-N 0 0 434.541 -0.725 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2)ncn1 ZINC000554446497 1073325033 /nfs/dbraw/zinc/32/50/33/1073325033.db2.gz JHUFRGZHTYUWOX-QZTJIDSGSA-N 0 0 434.541 -0.725 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2)ncn1 ZINC000554446498 1073324984 /nfs/dbraw/zinc/32/49/84/1073324984.db2.gz JHUFRGZHTYUWOX-ROUUACIJSA-N 0 0 434.541 -0.725 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2)ncn1 ZINC000554446499 1073324994 /nfs/dbraw/zinc/32/49/94/1073324994.db2.gz JHUFRGZHTYUWOX-ZWKOTPCHSA-N 0 0 434.541 -0.725 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2)ncn1 ZINC000554447923 1073323270 /nfs/dbraw/zinc/32/32/70/1073323270.db2.gz ZRFQOXMDNBOOJT-GOSISDBHSA-N 0 0 443.552 -0.453 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2)ncn1 ZINC000554447926 1073323184 /nfs/dbraw/zinc/32/31/84/1073323184.db2.gz ZRFQOXMDNBOOJT-SFHVURJKSA-N 0 0 443.552 -0.453 20 0 IBADRN CN(C)c1ncnc2c1cc(C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)n2C ZINC000554452725 1073323663 /nfs/dbraw/zinc/32/36/63/1073323663.db2.gz GEUPSMKLBZXDDQ-UHFFFAOYSA-N 0 0 429.481 -0.823 20 0 IBADRN COCc1ncc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)c(N)n1 ZINC000554453700 1073357718 /nfs/dbraw/zinc/35/77/18/1073357718.db2.gz GPGIUYHDHQPWRR-UHFFFAOYSA-N 0 0 449.489 0.123 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000554460709 1073324976 /nfs/dbraw/zinc/32/49/76/1073324976.db2.gz LFSGYBJNVAWXAE-UHFFFAOYSA-N 0 0 427.512 -0.962 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCC(=O)Nc3ccccc3)CC2)no1 ZINC000554461415 1073329004 /nfs/dbraw/zinc/32/90/04/1073329004.db2.gz IDFXVTROPDMGHS-UHFFFAOYSA-N 0 0 442.476 0.211 20 0 IBADRN O=C(N[C@H]1CCc2c[nH]nc2C1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000554470280 1073325111 /nfs/dbraw/zinc/32/51/11/1073325111.db2.gz VWAVGYXZRQOZFE-AWEZNQCLSA-N 0 0 441.517 -0.203 20 0 IBADRN O=C(N[C@@H]1CCc2c[nH]nc2C1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000554470284 1073325044 /nfs/dbraw/zinc/32/50/44/1073325044.db2.gz VWAVGYXZRQOZFE-CQSZACIVSA-N 0 0 441.517 -0.203 20 0 IBADRN O=C(Cn1ccc(NC(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)n1)N1CCOCC1 ZINC000554474549 1073322663 /nfs/dbraw/zinc/32/26/63/1073322663.db2.gz FZRFTLZRNNLQJV-INIZCTEOSA-N 0 0 433.513 0.544 20 0 IBADRN O=C(Cn1ccc(NC(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)n1)N1CCOCC1 ZINC000554474550 1073322833 /nfs/dbraw/zinc/32/28/33/1073322833.db2.gz FZRFTLZRNNLQJV-MRXNPFEDSA-N 0 0 433.513 0.544 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000554475438 1073350825 /nfs/dbraw/zinc/35/08/25/1073350825.db2.gz WHTXWQODILSQHJ-AWEZNQCLSA-N 0 0 428.515 -0.323 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000554475441 1073350356 /nfs/dbraw/zinc/35/03/56/1073350356.db2.gz WHTXWQODILSQHJ-CQSZACIVSA-N 0 0 428.515 -0.323 20 0 IBADRN O=C(Cn1ccc(NC(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)n1)N1CCOCC1 ZINC000554476868 1073322636 /nfs/dbraw/zinc/32/26/36/1073322636.db2.gz KNLSHMSSGGUSCD-CYBMUJFWSA-N 0 0 434.419 0.127 20 0 IBADRN O=C(Cn1ccc(NC(=O)NC[C@H](N2CCOCC2)C(F)(F)F)n1)N1CCOCC1 ZINC000554476871 1073322867 /nfs/dbraw/zinc/32/28/67/1073322867.db2.gz KNLSHMSSGGUSCD-ZDUSSCGKSA-N 0 0 434.419 0.127 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2cnn(CCN3CCOCC3)c2)C1)C(N)=O ZINC000554478295 1073325563 /nfs/dbraw/zinc/32/55/63/1073325563.db2.gz ZZGFMCXZRAHBTR-UHFFFAOYSA-N 0 0 435.529 -0.161 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000554480218 1073325364 /nfs/dbraw/zinc/32/53/64/1073325364.db2.gz IQNSHAXAYFCVTR-GDBMZVCRSA-N 0 0 442.542 -0.029 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000554480219 1073325499 /nfs/dbraw/zinc/32/54/99/1073325499.db2.gz IQNSHAXAYFCVTR-GOEBONIOSA-N 0 0 442.542 -0.029 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000554480220 1073325372 /nfs/dbraw/zinc/32/53/72/1073325372.db2.gz IQNSHAXAYFCVTR-HOCLYGCPSA-N 0 0 442.542 -0.029 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000554480221 1073325915 /nfs/dbraw/zinc/32/59/15/1073325915.db2.gz IQNSHAXAYFCVTR-ZBFHGGJFSA-N 0 0 442.542 -0.029 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000554499368 1073325878 /nfs/dbraw/zinc/32/58/78/1073325878.db2.gz FOANQPHTVSHNEB-UHFFFAOYSA-N 0 0 449.489 -0.626 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)ccc1F ZINC000554499545 1073325950 /nfs/dbraw/zinc/32/59/50/1073325950.db2.gz UZLGLCVVWJAJCS-UHFFFAOYSA-N 0 0 438.503 -0.494 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000554545561 1073326430 /nfs/dbraw/zinc/32/64/30/1073326430.db2.gz XJAKDBJFUCWBID-UHFFFAOYSA-N 0 0 430.465 -0.891 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)s1 ZINC000554545587 1073326302 /nfs/dbraw/zinc/32/63/02/1073326302.db2.gz YREBMSVZJFLAEZ-UHFFFAOYSA-N 0 0 430.537 -0.239 20 0 IBADRN CN(CCN1CCCC1=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000554558453 1073326856 /nfs/dbraw/zinc/32/68/56/1073326856.db2.gz HYOFTTWDZRZJBM-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000554564721 1073326873 /nfs/dbraw/zinc/32/68/73/1073326873.db2.gz QPJALRHVSNKYHH-UHFFFAOYSA-N 0 0 427.527 -0.192 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000554567333 1073327220 /nfs/dbraw/zinc/32/72/20/1073327220.db2.gz XERLCUREMCTPJB-CVEARBPZSA-N 0 0 443.504 -0.152 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000554567334 1073327267 /nfs/dbraw/zinc/32/72/67/1073327267.db2.gz XERLCUREMCTPJB-HOTGVXAUSA-N 0 0 443.504 -0.152 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000554567335 1073327140 /nfs/dbraw/zinc/32/71/40/1073327140.db2.gz XERLCUREMCTPJB-HZPDHXFCSA-N 0 0 443.504 -0.152 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000554567336 1073327312 /nfs/dbraw/zinc/32/73/12/1073327312.db2.gz XERLCUREMCTPJB-JKSUJKDBSA-N 0 0 443.504 -0.152 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000554568986 1073327155 /nfs/dbraw/zinc/32/71/55/1073327155.db2.gz CVPCGTOHJSMGJG-UHFFFAOYSA-N 0 0 429.474 -0.160 20 0 IBADRN Cn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)c1=O ZINC000554587207 1073327338 /nfs/dbraw/zinc/32/73/38/1073327338.db2.gz UWGMNBSGKRDRDZ-UHFFFAOYSA-N 0 0 444.872 -0.127 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)Nc2ccn(CC(=O)N(C)C)n2)cc1 ZINC000554589380 1073327324 /nfs/dbraw/zinc/32/73/24/1073327324.db2.gz IPHJPUBVQYRAAT-UHFFFAOYSA-N 0 0 436.494 0.237 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC2(CCO2)CC1 ZINC000554592064 1073327086 /nfs/dbraw/zinc/32/70/86/1073327086.db2.gz VYGNLXUGNWOYTG-UHFFFAOYSA-N 0 0 436.490 -0.473 20 0 IBADRN COc1cccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000554621974 1073328021 /nfs/dbraw/zinc/32/80/21/1073328021.db2.gz ASARFPSIIHBOCO-AWEZNQCLSA-N 0 0 432.477 -0.472 20 0 IBADRN COc1cccc(O[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000554621975 1073328276 /nfs/dbraw/zinc/32/82/76/1073328276.db2.gz ASARFPSIIHBOCO-CQSZACIVSA-N 0 0 432.477 -0.472 20 0 IBADRN Cc1cnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)s1 ZINC000554623039 1073328240 /nfs/dbraw/zinc/32/82/40/1073328240.db2.gz GOSRUFLNGBDFLI-BETUJISGSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1cnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)s1 ZINC000554623097 1073328159 /nfs/dbraw/zinc/32/81/59/1073328159.db2.gz GOSRUFLNGBDFLI-CHWSQXEVSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1cnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)s1 ZINC000554623098 1073328113 /nfs/dbraw/zinc/32/81/13/1073328113.db2.gz GOSRUFLNGBDFLI-STQMWFEESA-N 0 0 445.567 -0.434 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C(=O)N1c1ccccc1 ZINC000554624219 1073328382 /nfs/dbraw/zinc/32/83/82/1073328382.db2.gz JNKXURRHQVGKHP-PBHICJAKSA-N 0 0 441.488 -0.754 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C(=O)N1c1ccccc1 ZINC000554624222 1073328429 /nfs/dbraw/zinc/32/84/29/1073328429.db2.gz JNKXURRHQVGKHP-RHSMWYFYSA-N 0 0 441.488 -0.754 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C(=O)N1c1ccccc1 ZINC000554624224 1073328609 /nfs/dbraw/zinc/32/86/09/1073328609.db2.gz JNKXURRHQVGKHP-WMLDXEAASA-N 0 0 441.488 -0.754 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C(=O)N1c1ccccc1 ZINC000554624225 1073328392 /nfs/dbraw/zinc/32/83/92/1073328392.db2.gz JNKXURRHQVGKHP-YOEHRIQHSA-N 0 0 441.488 -0.754 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Oc1ccccc1F ZINC000554625791 1073328510 /nfs/dbraw/zinc/32/85/10/1073328510.db2.gz LFFVSTIRBYCRMP-CYBMUJFWSA-N 0 0 448.455 -0.802 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Oc1ccccc1F ZINC000554625793 1073328455 /nfs/dbraw/zinc/32/84/55/1073328455.db2.gz LFFVSTIRBYCRMP-ZDUSSCGKSA-N 0 0 448.455 -0.802 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2=O)n1 ZINC000554627144 1073328230 /nfs/dbraw/zinc/32/82/30/1073328230.db2.gz HNPZCGUVLFJYJW-HNNXBMFYSA-N 0 0 426.481 -0.439 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2=O)n1 ZINC000554627154 1073328362 /nfs/dbraw/zinc/32/83/62/1073328362.db2.gz HNPZCGUVLFJYJW-OAHLLOKOSA-N 0 0 426.481 -0.439 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000554627197 1073328994 /nfs/dbraw/zinc/32/89/94/1073328994.db2.gz IQUJKIVBHBKTRH-CYBMUJFWSA-N 0 0 446.537 -0.206 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000554627198 1073328872 /nfs/dbraw/zinc/32/88/72/1073328872.db2.gz IQUJKIVBHBKTRH-ZDUSSCGKSA-N 0 0 446.537 -0.206 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cn1)N1CCOCC1 ZINC000554627199 1073328922 /nfs/dbraw/zinc/32/89/22/1073328922.db2.gz IRXUPJNRZJUWDF-UHFFFAOYSA-N 0 0 440.526 -0.180 20 0 IBADRN O=C(NCCc1nc(C(F)(F)F)cs1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000554627200 1073328948 /nfs/dbraw/zinc/32/89/48/1073328948.db2.gz ISMIEGFSHZAZGW-UHFFFAOYSA-N 0 0 447.439 -0.230 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)C2=O)n1 ZINC000554628116 1073328913 /nfs/dbraw/zinc/32/89/13/1073328913.db2.gz QIYADWREXZKFMH-GFCCVEGCSA-N 0 0 439.519 -0.187 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)C2=O)n1 ZINC000554628118 1073328856 /nfs/dbraw/zinc/32/88/56/1073328856.db2.gz QIYADWREXZKFMH-LBPRGKRZSA-N 0 0 439.519 -0.187 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2c[nH]c3ncccc23)CC1)N1CCOCC1 ZINC000554628558 1073329033 /nfs/dbraw/zinc/32/90/33/1073329033.db2.gz NPMHBVLOJFVZIF-UHFFFAOYSA-N 0 0 428.493 -0.775 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)s1 ZINC000554636920 1073328835 /nfs/dbraw/zinc/32/88/35/1073328835.db2.gz LMPUMOYLKPBFKP-CYBMUJFWSA-N 0 0 429.520 -0.382 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)s1 ZINC000554636923 1073328849 /nfs/dbraw/zinc/32/88/49/1073328849.db2.gz LMPUMOYLKPBFKP-ZDUSSCGKSA-N 0 0 429.520 -0.382 20 0 IBADRN O=C(NCC(F)(F)F)c1ccc(S(=O)(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000554637148 1073328526 /nfs/dbraw/zinc/32/85/26/1073328526.db2.gz LDTXPHRVYVXBBL-UHFFFAOYSA-N 0 0 443.469 -0.013 20 0 IBADRN Cn1c(-c2ccc3c(c2)OCO3)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000554638498 1073328933 /nfs/dbraw/zinc/32/89/33/1073328933.db2.gz VVDYFCHSWYZMKH-UHFFFAOYSA-N 0 0 428.449 -0.282 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(Cc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000554649848 1073330153 /nfs/dbraw/zinc/33/01/53/1073330153.db2.gz NZPUQKBCQDAIKO-INIZCTEOSA-N 0 0 428.497 -0.972 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(Cc4cnn(C)c4)CC3)C2=O)n(C)n1 ZINC000554649849 1073330075 /nfs/dbraw/zinc/33/00/75/1073330075.db2.gz NZPUQKBCQDAIKO-MRXNPFEDSA-N 0 0 428.497 -0.972 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(Cc4nccn4C)CC3)C2=O)n(C)n1 ZINC000554649882 1073330162 /nfs/dbraw/zinc/33/01/62/1073330162.db2.gz PPUPRRXLQBPZIG-HNNXBMFYSA-N 0 0 428.497 -0.972 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(Cc4nccn4C)CC3)C2=O)n(C)n1 ZINC000554649883 1073330624 /nfs/dbraw/zinc/33/06/24/1073330624.db2.gz PPUPRRXLQBPZIG-OAHLLOKOSA-N 0 0 428.497 -0.972 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@]3(C)CCCS3)CC2)CC1 ZINC000554650028 1073330089 /nfs/dbraw/zinc/33/00/89/1073330089.db2.gz VIGACPFDZZOGAP-KRWDZBQOSA-N 0 0 433.600 -0.975 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@]3(C)CCCS3)CC2)CC1 ZINC000554650029 1073330101 /nfs/dbraw/zinc/33/01/01/1073330101.db2.gz VIGACPFDZZOGAP-QGZVFWFLSA-N 0 0 433.600 -0.975 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N(C)C[C@@H](O)COCc3ccccc3)C2=O)n(C)n1 ZINC000554650065 1073330067 /nfs/dbraw/zinc/33/00/67/1073330067.db2.gz VROBXSMHSRJMJQ-MSOLQXFVSA-N 0 0 443.504 -0.014 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)COCc3ccccc3)C2=O)n(C)n1 ZINC000554650069 1073330007 /nfs/dbraw/zinc/33/00/07/1073330007.db2.gz VROBXSMHSRJMJQ-QZTJIDSGSA-N 0 0 443.504 -0.014 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N(C)C[C@H](O)COCc3ccccc3)C2=O)n(C)n1 ZINC000554650071 1073330001 /nfs/dbraw/zinc/33/00/01/1073330001.db2.gz VROBXSMHSRJMJQ-ROUUACIJSA-N 0 0 443.504 -0.014 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N(C)C[C@H](O)COCc3ccccc3)C2=O)n(C)n1 ZINC000554650072 1073330126 /nfs/dbraw/zinc/33/01/26/1073330126.db2.gz VROBXSMHSRJMJQ-ZWKOTPCHSA-N 0 0 443.504 -0.014 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCOCC1 ZINC000554650316 1073329993 /nfs/dbraw/zinc/32/99/93/1073329993.db2.gz GCYZXNCSSNHEPX-INIZCTEOSA-N 0 0 437.472 -0.573 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCOCC1 ZINC000554650317 1073330170 /nfs/dbraw/zinc/33/01/70/1073330170.db2.gz GCYZXNCSSNHEPX-MRXNPFEDSA-N 0 0 437.472 -0.573 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000554650645 1073330601 /nfs/dbraw/zinc/33/06/01/1073330601.db2.gz GCEGUSWSPQYDAX-KRWDZBQOSA-N 0 0 434.541 -0.393 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000554650657 1073330500 /nfs/dbraw/zinc/33/05/00/1073330500.db2.gz GCEGUSWSPQYDAX-QGZVFWFLSA-N 0 0 434.541 -0.393 20 0 IBADRN CCc1cnc(CCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000554650970 1073330470 /nfs/dbraw/zinc/33/04/70/1073330470.db2.gz KGODIDFCZZRFKL-HNNXBMFYSA-N 0 0 437.566 -0.244 20 0 IBADRN CCc1cnc(CCNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000554650971 1073330610 /nfs/dbraw/zinc/33/06/10/1073330610.db2.gz KGODIDFCZZRFKL-OAHLLOKOSA-N 0 0 437.566 -0.244 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000554651074 1073330581 /nfs/dbraw/zinc/33/05/81/1073330581.db2.gz QFCJKNJPPRQHKU-GDBMZVCRSA-N 0 0 447.540 -0.991 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000554651075 1073330592 /nfs/dbraw/zinc/33/05/92/1073330592.db2.gz QFCJKNJPPRQHKU-GOEBONIOSA-N 0 0 447.540 -0.991 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000554651076 1073330416 /nfs/dbraw/zinc/33/04/16/1073330416.db2.gz QFCJKNJPPRQHKU-HOCLYGCPSA-N 0 0 447.540 -0.991 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000554651077 1073330480 /nfs/dbraw/zinc/33/04/80/1073330480.db2.gz QFCJKNJPPRQHKU-ZBFHGGJFSA-N 0 0 447.540 -0.991 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000554651112 1073330561 /nfs/dbraw/zinc/33/05/61/1073330561.db2.gz QYPIOOLSTBWOAV-FGTMMUONSA-N 0 0 440.541 -0.922 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000554651113 1073330490 /nfs/dbraw/zinc/33/04/90/1073330490.db2.gz QYPIOOLSTBWOAV-KZNAEPCWSA-N 0 0 440.541 -0.922 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000554651114 1073330399 /nfs/dbraw/zinc/33/03/99/1073330399.db2.gz QYPIOOLSTBWOAV-OKZBNKHCSA-N 0 0 440.541 -0.922 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000554651115 1073330571 /nfs/dbraw/zinc/33/05/71/1073330571.db2.gz QYPIOOLSTBWOAV-RCCFBDPRSA-N 0 0 440.541 -0.922 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H](CC)C(=O)N2CCOCC2)CC1 ZINC000554651468 1073330528 /nfs/dbraw/zinc/33/05/28/1073330528.db2.gz WTFVNFVPAWAECG-KRWDZBQOSA-N 0 0 440.541 -0.479 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)N[C@H](CC)C(=O)N2CCOCC2)CC1 ZINC000554651469 1073330457 /nfs/dbraw/zinc/33/04/57/1073330457.db2.gz WTFVNFVPAWAECG-QGZVFWFLSA-N 0 0 440.541 -0.479 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccc4)CC3)C2=O)n(C)n1 ZINC000554651862 1073329238 /nfs/dbraw/zinc/32/92/38/1073329238.db2.gz ZOUUYIIEBSBHME-KRWDZBQOSA-N 0 0 438.488 -0.065 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccc4)CC3)C2=O)n(C)n1 ZINC000554651863 1073329385 /nfs/dbraw/zinc/32/93/85/1073329385.db2.gz ZOUUYIIEBSBHME-QGZVFWFLSA-N 0 0 438.488 -0.065 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@@H](CO)C1CCOCC1 ZINC000554652948 1073329274 /nfs/dbraw/zinc/32/92/74/1073329274.db2.gz LERNJMMUYYQUEI-KRWDZBQOSA-N 0 0 441.554 -0.380 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@H](CO)C1CCOCC1 ZINC000554652949 1073329339 /nfs/dbraw/zinc/32/93/39/1073329339.db2.gz LERNJMMUYYQUEI-QGZVFWFLSA-N 0 0 441.554 -0.380 20 0 IBADRN CCSc1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccn1 ZINC000554653009 1073329219 /nfs/dbraw/zinc/32/92/19/1073329219.db2.gz PEIDYAILBPDDEX-UHFFFAOYSA-N 0 0 435.550 -0.187 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)NCCOC)CC[C@@H]1C)C(=O)N1CCOCC1 ZINC000554654700 1073329355 /nfs/dbraw/zinc/32/93/55/1073329355.db2.gz BUPIHPOKFCJGHJ-JYJNAYRXSA-N 0 0 426.514 -0.870 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1C[C@H](C(=O)NCCOC)CC[C@H]1C)C(=O)N1CCOCC1 ZINC000554654702 1073329250 /nfs/dbraw/zinc/32/92/50/1073329250.db2.gz BUPIHPOKFCJGHJ-OAGGEKHMSA-N 0 0 426.514 -0.870 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)NCCOC)CC[C@H]1C)C(=O)N1CCOCC1 ZINC000554654703 1073329423 /nfs/dbraw/zinc/32/94/23/1073329423.db2.gz BUPIHPOKFCJGHJ-PMPSAXMXSA-N 0 0 426.514 -0.870 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1C[C@H](C(=O)NCCOC)CC[C@@H]1C)C(=O)N1CCOCC1 ZINC000554654705 1073329297 /nfs/dbraw/zinc/32/92/97/1073329297.db2.gz BUPIHPOKFCJGHJ-XHSDSOJGSA-N 0 0 426.514 -0.870 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000554656259 1073329690 /nfs/dbraw/zinc/32/96/90/1073329690.db2.gz WZRJUYGIEFLKSO-FGTMMUONSA-N 0 0 434.493 -0.375 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000554656260 1073329731 /nfs/dbraw/zinc/32/97/31/1073329731.db2.gz WZRJUYGIEFLKSO-KZNAEPCWSA-N 0 0 434.493 -0.375 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000554656261 1073329561 /nfs/dbraw/zinc/32/95/61/1073329561.db2.gz WZRJUYGIEFLKSO-OKZBNKHCSA-N 0 0 434.493 -0.375 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000554656262 1073329659 /nfs/dbraw/zinc/32/96/59/1073329659.db2.gz WZRJUYGIEFLKSO-RCCFBDPRSA-N 0 0 434.493 -0.375 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000554662138 1073331761 /nfs/dbraw/zinc/33/17/61/1073331761.db2.gz KNTWLILPROVIMY-IBGZPJMESA-N 0 0 443.548 -0.383 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000554662139 1073331789 /nfs/dbraw/zinc/33/17/89/1073331789.db2.gz KNTWLILPROVIMY-LJQANCHMSA-N 0 0 443.548 -0.383 20 0 IBADRN CC(CNC(=O)[C@](C)(O)Cn1ccccc1=O)CNC(=O)[C@](C)(O)Cn1ccccc1=O ZINC000554664524 1073331622 /nfs/dbraw/zinc/33/16/22/1073331622.db2.gz YJXWGZFSMPNEAF-FGZHOGPDSA-N 0 0 446.504 -0.919 20 0 IBADRN CC(CNC(=O)[C@@](C)(O)Cn1ccccc1=O)CNC(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000554664525 1073331745 /nfs/dbraw/zinc/33/17/45/1073331745.db2.gz YJXWGZFSMPNEAF-VXKWHMMOSA-N 0 0 446.504 -0.919 20 0 IBADRN C[C@@H](CNC(=O)[C@](C)(O)Cn1ccccc1=O)CNC(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000554664527 1073331838 /nfs/dbraw/zinc/33/18/38/1073331838.db2.gz YJXWGZFSMPNEAF-XRMCVISESA-N 0 0 446.504 -0.919 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(C)cc2N2CCOCC2)C1 ZINC000554666278 1073330389 /nfs/dbraw/zinc/33/03/89/1073330389.db2.gz XNUTVCKZRCJEAF-KRWDZBQOSA-N 0 0 438.550 -0.012 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(C)cc2N2CCOCC2)C1 ZINC000554666282 1073330910 /nfs/dbraw/zinc/33/09/10/1073330910.db2.gz XNUTVCKZRCJEAF-QGZVFWFLSA-N 0 0 438.550 -0.012 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccco1 ZINC000554667757 1073330918 /nfs/dbraw/zinc/33/09/18/1073330918.db2.gz KVZLOIJPNSHHKS-DLBZAZTESA-N 0 0 436.509 -0.135 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccco1 ZINC000554667771 1073331043 /nfs/dbraw/zinc/33/10/43/1073331043.db2.gz KVZLOIJPNSHHKS-IAGOWNOFSA-N 0 0 436.509 -0.135 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccco1 ZINC000554667774 1073330980 /nfs/dbraw/zinc/33/09/80/1073330980.db2.gz KVZLOIJPNSHHKS-IRXDYDNUSA-N 0 0 436.509 -0.135 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccco1 ZINC000554667776 1073331051 /nfs/dbraw/zinc/33/10/51/1073331051.db2.gz KVZLOIJPNSHHKS-SJORKVTESA-N 0 0 436.509 -0.135 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC(N4CN=NC4=O)CC3)CC2=O)c1 ZINC000554670970 1073331336 /nfs/dbraw/zinc/33/13/36/1073331336.db2.gz KGEALTWJRLFHGQ-GFCCVEGCSA-N 0 0 434.478 -0.152 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCC(N4CN=NC4=O)CC3)CC2=O)c1 ZINC000554670971 1073331250 /nfs/dbraw/zinc/33/12/50/1073331250.db2.gz KGEALTWJRLFHGQ-LBPRGKRZSA-N 0 0 434.478 -0.152 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3nccn(C)c3=O)C2)C1 ZINC000554671561 1073331345 /nfs/dbraw/zinc/33/13/45/1073331345.db2.gz HRBNLFJCTIKDLX-CVEARBPZSA-N 0 0 442.524 -0.616 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3nccn(C)c3=O)C2)C1 ZINC000554671564 1073331177 /nfs/dbraw/zinc/33/11/77/1073331177.db2.gz HRBNLFJCTIKDLX-HOTGVXAUSA-N 0 0 442.524 -0.616 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3nccn(C)c3=O)C2)C1 ZINC000554671567 1073331310 /nfs/dbraw/zinc/33/13/10/1073331310.db2.gz HRBNLFJCTIKDLX-HZPDHXFCSA-N 0 0 442.524 -0.616 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3nccn(C)c3=O)C2)C1 ZINC000554671568 1073331418 /nfs/dbraw/zinc/33/14/18/1073331418.db2.gz HRBNLFJCTIKDLX-JKSUJKDBSA-N 0 0 442.524 -0.616 20 0 IBADRN CSCC[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)N(C)C ZINC000554673712 1073333411 /nfs/dbraw/zinc/33/34/11/1073333411.db2.gz NNHGEQYKPWKPEY-HNNXBMFYSA-N 0 0 442.563 -0.253 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000554678659 1073333958 /nfs/dbraw/zinc/33/39/58/1073333958.db2.gz KFQKBYQIWMJKSY-DLBZAZTESA-N 0 0 433.557 -0.436 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000554678665 1073333947 /nfs/dbraw/zinc/33/39/47/1073333947.db2.gz KFQKBYQIWMJKSY-IAGOWNOFSA-N 0 0 433.557 -0.436 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000554678666 1073333842 /nfs/dbraw/zinc/33/38/42/1073333842.db2.gz KFQKBYQIWMJKSY-IRXDYDNUSA-N 0 0 433.557 -0.436 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000554678667 1073334005 /nfs/dbraw/zinc/33/40/05/1073334005.db2.gz KFQKBYQIWMJKSY-SJORKVTESA-N 0 0 433.557 -0.436 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CC(=O)N(C)C3=O)CC2)o1 ZINC000554679332 1073334036 /nfs/dbraw/zinc/33/40/36/1073334036.db2.gz OGPGZZJXJIRMNS-GFCCVEGCSA-N 0 0 441.462 -0.270 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CC(=O)N(C)C3=O)CC2)o1 ZINC000554679336 1073333799 /nfs/dbraw/zinc/33/37/99/1073333799.db2.gz OGPGZZJXJIRMNS-LBPRGKRZSA-N 0 0 441.462 -0.270 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@H](C(C)C)C2)CC1 ZINC000554683058 1073332253 /nfs/dbraw/zinc/33/22/53/1073332253.db2.gz ZJXFUPIZFGSMAG-BZSNNMDCSA-N 0 0 426.558 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@H](C(C)C)C2)CC1 ZINC000554683059 1073332190 /nfs/dbraw/zinc/33/21/90/1073332190.db2.gz ZJXFUPIZFGSMAG-KSZLIROESA-N 0 0 426.558 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@@H](C(C)C)C2)CC1 ZINC000554683060 1073332179 /nfs/dbraw/zinc/33/21/79/1073332179.db2.gz ZJXFUPIZFGSMAG-OKZBNKHCSA-N 0 0 426.558 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@H](C(C)C)C2)CC1 ZINC000554683061 1073332165 /nfs/dbraw/zinc/33/21/65/1073332165.db2.gz ZJXFUPIZFGSMAG-RCCFBDPRSA-N 0 0 426.558 -0.008 20 0 IBADRN COc1nc(NC[C@H]2CCCS(=O)(=O)C2)nc(NC[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000554685423 1073332519 /nfs/dbraw/zinc/33/25/19/1073332519.db2.gz DKJLNRDPCSTPRZ-BETUJISGSA-N 0 0 433.556 -0.803 20 0 IBADRN COc1nc(NC[C@H]2CCCS(=O)(=O)C2)nc(NC[C@H]2CCCS(=O)(=O)C2)n1 ZINC000554685429 1073332623 /nfs/dbraw/zinc/33/26/23/1073332623.db2.gz DKJLNRDPCSTPRZ-CHWSQXEVSA-N 0 0 433.556 -0.803 20 0 IBADRN COc1nc(NC[C@@H]2CCCS(=O)(=O)C2)nc(NC[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000554685430 1073332556 /nfs/dbraw/zinc/33/25/56/1073332556.db2.gz DKJLNRDPCSTPRZ-STQMWFEESA-N 0 0 433.556 -0.803 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Cc1ccc(S(=O)(=O)N2CCCC2)s1 ZINC000554685543 1073332530 /nfs/dbraw/zinc/33/25/30/1073332530.db2.gz LPYXXRJFPIWXBW-UHFFFAOYSA-N 0 0 425.554 -0.504 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000554687098 1073335664 /nfs/dbraw/zinc/33/56/64/1073335664.db2.gz FLVAKTPCAHOPIF-DZGCQCFKSA-N 0 0 440.497 -0.023 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000554687099 1073335853 /nfs/dbraw/zinc/33/58/53/1073335853.db2.gz FLVAKTPCAHOPIF-HIFRSBDPSA-N 0 0 440.497 -0.023 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000554687100 1073335817 /nfs/dbraw/zinc/33/58/17/1073335817.db2.gz FLVAKTPCAHOPIF-UKRRQHHQSA-N 0 0 440.497 -0.023 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000554687101 1073335713 /nfs/dbraw/zinc/33/57/13/1073335713.db2.gz FLVAKTPCAHOPIF-ZFWWWQNUSA-N 0 0 440.497 -0.023 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)C(=O)N1CCCC[C@@H]1C ZINC000554687343 1073332931 /nfs/dbraw/zinc/33/29/31/1073332931.db2.gz JMUQZITYMYGZLB-HRCADAONSA-N 0 0 432.525 -0.067 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)C(=O)N1CCCC[C@@H]1C ZINC000554687348 1073335212 /nfs/dbraw/zinc/33/52/12/1073335212.db2.gz JMUQZITYMYGZLB-JYJNAYRXSA-N 0 0 432.525 -0.067 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)C(=O)N1CCCC[C@H]1C ZINC000554687351 1073335332 /nfs/dbraw/zinc/33/53/32/1073335332.db2.gz JMUQZITYMYGZLB-OWCLPIDISA-N 0 0 432.525 -0.067 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)C(=O)N1CCCC[C@H]1C ZINC000554687353 1073335183 /nfs/dbraw/zinc/33/51/83/1073335183.db2.gz JMUQZITYMYGZLB-PMPSAXMXSA-N 0 0 432.525 -0.067 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000554688045 1073335689 /nfs/dbraw/zinc/33/56/89/1073335689.db2.gz IQYLJJOSOSQGFH-DLBZAZTESA-N 0 0 428.493 -0.249 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000554688046 1073335858 /nfs/dbraw/zinc/33/58/58/1073335858.db2.gz IQYLJJOSOSQGFH-IAGOWNOFSA-N 0 0 428.493 -0.249 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000554688047 1073335746 /nfs/dbraw/zinc/33/57/46/1073335746.db2.gz IQYLJJOSOSQGFH-IRXDYDNUSA-N 0 0 428.493 -0.249 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000554688048 1073335807 /nfs/dbraw/zinc/33/58/07/1073335807.db2.gz IQYLJJOSOSQGFH-SJORKVTESA-N 0 0 428.493 -0.249 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000554688206 1073335795 /nfs/dbraw/zinc/33/57/95/1073335795.db2.gz OCKYYDOIDOQADW-GOSISDBHSA-N 0 0 428.493 -0.074 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000554688207 1073335651 /nfs/dbraw/zinc/33/56/51/1073335651.db2.gz OCKYYDOIDOQADW-SFHVURJKSA-N 0 0 428.493 -0.074 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000554688389 1073335628 /nfs/dbraw/zinc/33/56/28/1073335628.db2.gz QXOWVRKXNWPXPU-GOSISDBHSA-N 0 0 439.520 -0.233 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000554688390 1073335769 /nfs/dbraw/zinc/33/57/69/1073335769.db2.gz QXOWVRKXNWPXPU-SFHVURJKSA-N 0 0 439.520 -0.233 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)C1=O ZINC000554690353 1073336231 /nfs/dbraw/zinc/33/62/31/1073336231.db2.gz VGFJWMOAVJBXQY-HNNXBMFYSA-N 0 0 442.476 -0.395 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)C1=O ZINC000554690354 1073336185 /nfs/dbraw/zinc/33/61/85/1073336185.db2.gz VGFJWMOAVJBXQY-OAHLLOKOSA-N 0 0 442.476 -0.395 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000554692538 1073333867 /nfs/dbraw/zinc/33/38/67/1073333867.db2.gz XAJFWGDVXJSRKO-GDBMZVCRSA-N 0 0 437.522 -0.519 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000554692540 1073333853 /nfs/dbraw/zinc/33/38/53/1073333853.db2.gz XAJFWGDVXJSRKO-GOEBONIOSA-N 0 0 437.522 -0.519 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000554692541 1073333936 /nfs/dbraw/zinc/33/39/36/1073333936.db2.gz XAJFWGDVXJSRKO-HOCLYGCPSA-N 0 0 437.522 -0.519 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000554692542 1073334316 /nfs/dbraw/zinc/33/43/16/1073334316.db2.gz XAJFWGDVXJSRKO-ZBFHGGJFSA-N 0 0 437.522 -0.519 20 0 IBADRN COCCN(C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)[C@H]1CCOC1 ZINC000554694344 1073334289 /nfs/dbraw/zinc/33/42/89/1073334289.db2.gz HFFORJAQDGLIHJ-CVEARBPZSA-N 0 0 431.497 -0.279 20 0 IBADRN COCCN(C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)[C@H]1CCOC1 ZINC000554694346 1073334272 /nfs/dbraw/zinc/33/42/72/1073334272.db2.gz HFFORJAQDGLIHJ-HOTGVXAUSA-N 0 0 431.497 -0.279 20 0 IBADRN COCCN(C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)[C@@H]1CCOC1 ZINC000554694348 1073334321 /nfs/dbraw/zinc/33/43/21/1073334321.db2.gz HFFORJAQDGLIHJ-HZPDHXFCSA-N 0 0 431.497 -0.279 20 0 IBADRN COCCN(C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)[C@@H]1CCOC1 ZINC000554694349 1073334249 /nfs/dbraw/zinc/33/42/49/1073334249.db2.gz HFFORJAQDGLIHJ-JKSUJKDBSA-N 0 0 431.497 -0.279 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000554694652 1073334265 /nfs/dbraw/zinc/33/42/65/1073334265.db2.gz ORPITXOORCHOSL-GXTWGEPZSA-N 0 0 447.458 -0.533 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000554694653 1073334362 /nfs/dbraw/zinc/33/43/62/1073334362.db2.gz ORPITXOORCHOSL-JSGCOSHPSA-N 0 0 447.458 -0.533 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000554694654 1073334243 /nfs/dbraw/zinc/33/42/43/1073334243.db2.gz ORPITXOORCHOSL-OCCSQVGLSA-N 0 0 447.458 -0.533 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000554694655 1073334356 /nfs/dbraw/zinc/33/43/56/1073334356.db2.gz ORPITXOORCHOSL-TZMCWYRMSA-N 0 0 447.458 -0.533 20 0 IBADRN COC[C@H](NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)[C@H]1CCCO1 ZINC000554694661 1073334258 /nfs/dbraw/zinc/33/42/58/1073334258.db2.gz PAEBQCRPHGXAJG-HRCADAONSA-N 0 0 431.497 -0.233 20 0 IBADRN COC[C@H](NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)[C@@H]1CCCO1 ZINC000554694662 1073334341 /nfs/dbraw/zinc/33/43/41/1073334341.db2.gz PAEBQCRPHGXAJG-JYJNAYRXSA-N 0 0 431.497 -0.233 20 0 IBADRN COC[C@H](NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)[C@H]1CCCO1 ZINC000554694663 1073334379 /nfs/dbraw/zinc/33/43/79/1073334379.db2.gz PAEBQCRPHGXAJG-OWCLPIDISA-N 0 0 431.497 -0.233 20 0 IBADRN COC[C@H](NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)[C@@H]1CCCO1 ZINC000554694664 1073334349 /nfs/dbraw/zinc/33/43/49/1073334349.db2.gz PAEBQCRPHGXAJG-PMPSAXMXSA-N 0 0 431.497 -0.233 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000554695165 1073334746 /nfs/dbraw/zinc/33/47/46/1073334746.db2.gz VLAJZKQZKDXULH-INIZCTEOSA-N 0 0 444.540 -0.628 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000554695166 1073334705 /nfs/dbraw/zinc/33/47/05/1073334705.db2.gz VLAJZKQZKDXULH-MRXNPFEDSA-N 0 0 444.540 -0.628 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000554697338 1073334625 /nfs/dbraw/zinc/33/46/25/1073334625.db2.gz PTWNNQILGQNXQD-INIZCTEOSA-N 0 0 433.575 -0.026 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000554697339 1073334725 /nfs/dbraw/zinc/33/47/25/1073334725.db2.gz PTWNNQILGQNXQD-MRXNPFEDSA-N 0 0 433.575 -0.026 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000554698956 1073335113 /nfs/dbraw/zinc/33/51/13/1073335113.db2.gz IMYKYARIRMYMHT-KRWDZBQOSA-N 0 0 448.524 -0.726 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000554698957 1073335079 /nfs/dbraw/zinc/33/50/79/1073335079.db2.gz IMYKYARIRMYMHT-QGZVFWFLSA-N 0 0 448.524 -0.726 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000554699455 1073335143 /nfs/dbraw/zinc/33/51/43/1073335143.db2.gz DXAWTIHLSNYWQE-INIZCTEOSA-N 0 0 449.533 -0.288 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000554699460 1073335103 /nfs/dbraw/zinc/33/51/03/1073335103.db2.gz DXAWTIHLSNYWQE-MRXNPFEDSA-N 0 0 449.533 -0.288 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2ccc(F)c(F)c2F)CC1 ZINC000554704602 1073337609 /nfs/dbraw/zinc/33/76/09/1073337609.db2.gz WVUHSMNEYLZPLH-UHFFFAOYSA-N 0 0 425.371 -0.880 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cn1 ZINC000554707170 1073336812 /nfs/dbraw/zinc/33/68/12/1073336812.db2.gz HLZFOTNGFJUZEH-GFCCVEGCSA-N 0 0 437.565 -0.032 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cn1 ZINC000554707174 1073336622 /nfs/dbraw/zinc/33/66/22/1073336622.db2.gz HLZFOTNGFJUZEH-LBPRGKRZSA-N 0 0 437.565 -0.032 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000554707681 1073336637 /nfs/dbraw/zinc/33/66/37/1073336637.db2.gz QXKXRGHBYSOVCK-AWEZNQCLSA-N 0 0 447.645 -0.020 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000554707682 1073336583 /nfs/dbraw/zinc/33/65/83/1073336583.db2.gz QXKXRGHBYSOVCK-CQSZACIVSA-N 0 0 447.645 -0.020 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000554709107 1073336796 /nfs/dbraw/zinc/33/67/96/1073336796.db2.gz MULPWBKSYFIQKM-AWEZNQCLSA-N 0 0 430.552 -0.088 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000554709108 1073336537 /nfs/dbraw/zinc/33/65/37/1073336537.db2.gz MULPWBKSYFIQKM-CQSZACIVSA-N 0 0 430.552 -0.088 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000554709283 1073336757 /nfs/dbraw/zinc/33/67/57/1073336757.db2.gz VGYKLMYSWRTDLC-AWEZNQCLSA-N 0 0 429.528 -0.399 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000554709284 1073336670 /nfs/dbraw/zinc/33/66/70/1073336670.db2.gz VGYKLMYSWRTDLC-CQSZACIVSA-N 0 0 429.528 -0.399 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000554710616 1073336786 /nfs/dbraw/zinc/33/67/86/1073336786.db2.gz GOXBAPULJUWYRJ-AWEZNQCLSA-N 0 0 425.577 -0.676 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000554710617 1073337071 /nfs/dbraw/zinc/33/70/71/1073337071.db2.gz GOXBAPULJUWYRJ-CQSZACIVSA-N 0 0 425.577 -0.676 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)[C@@H]1n1cccn1 ZINC000554711701 1073337185 /nfs/dbraw/zinc/33/71/85/1073337185.db2.gz RRRGVDLUYKTJHU-MJEQTWJJSA-N 0 0 435.462 -0.027 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)[C@H]1n1cccn1 ZINC000554711702 1073336991 /nfs/dbraw/zinc/33/69/91/1073336991.db2.gz RRRGVDLUYKTJHU-SRCQZFHVSA-N 0 0 435.462 -0.027 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)[C@@H]1n1cccn1 ZINC000554711703 1073336937 /nfs/dbraw/zinc/33/69/37/1073336937.db2.gz RRRGVDLUYKTJHU-VMGRFDJRSA-N 0 0 435.462 -0.027 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)[C@H]1n1cccn1 ZINC000554711704 1073337118 /nfs/dbraw/zinc/33/71/18/1073337118.db2.gz RRRGVDLUYKTJHU-XGWLTEMNSA-N 0 0 435.462 -0.027 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)CC2)nc(C)n1 ZINC000554719450 1073338667 /nfs/dbraw/zinc/33/86/67/1073338667.db2.gz VGCXPPIFJNMQQK-UHFFFAOYSA-N 0 0 445.568 -0.153 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1=O ZINC000554727953 1073338028 /nfs/dbraw/zinc/33/80/28/1073338028.db2.gz ITOWRTQHMDHPOD-INIZCTEOSA-N 0 0 445.520 -0.461 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1=O ZINC000554727954 1073338007 /nfs/dbraw/zinc/33/80/07/1073338007.db2.gz ITOWRTQHMDHPOD-MRXNPFEDSA-N 0 0 445.520 -0.461 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000554728085 1073337959 /nfs/dbraw/zinc/33/79/59/1073337959.db2.gz KDUQOYWMWMGBPA-MOPGFXCFSA-N 0 0 432.521 -0.636 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000554728086 1073338051 /nfs/dbraw/zinc/33/80/51/1073338051.db2.gz KDUQOYWMWMGBPA-OALUTQOASA-N 0 0 432.521 -0.636 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000554728087 1073338069 /nfs/dbraw/zinc/33/80/69/1073338069.db2.gz KDUQOYWMWMGBPA-RBUKOAKNSA-N 0 0 432.521 -0.636 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000554728088 1073337876 /nfs/dbraw/zinc/33/78/76/1073337876.db2.gz KDUQOYWMWMGBPA-RTBURBONSA-N 0 0 432.521 -0.636 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)CC1=O ZINC000554728126 1073338089 /nfs/dbraw/zinc/33/80/89/1073338089.db2.gz LLWHJIVZTKFGTC-HNNXBMFYSA-N 0 0 432.477 -0.376 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)CC1=O ZINC000554728127 1073337947 /nfs/dbraw/zinc/33/79/47/1073337947.db2.gz LLWHJIVZTKFGTC-OAHLLOKOSA-N 0 0 432.477 -0.376 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000554728204 1073337643 /nfs/dbraw/zinc/33/76/43/1073337643.db2.gz OMCQBZMJXKAHHC-HNNXBMFYSA-N 0 0 431.493 -0.803 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000554728205 1073337937 /nfs/dbraw/zinc/33/79/37/1073337937.db2.gz OMCQBZMJXKAHHC-OAHLLOKOSA-N 0 0 431.493 -0.803 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000554736120 1073339120 /nfs/dbraw/zinc/33/91/20/1073339120.db2.gz XUVVEAKMTWCGFK-CYBMUJFWSA-N 0 0 430.508 -0.685 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000554736121 1073339161 /nfs/dbraw/zinc/33/91/61/1073339161.db2.gz XUVVEAKMTWCGFK-ZDUSSCGKSA-N 0 0 430.508 -0.685 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H](CCOC)C(N)=O ZINC000554737381 1073339148 /nfs/dbraw/zinc/33/91/48/1073339148.db2.gz FPSJJYOPRKHLTG-INIZCTEOSA-N 0 0 442.538 -0.358 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H](CCOC)C(N)=O ZINC000554737385 1073339181 /nfs/dbraw/zinc/33/91/81/1073339181.db2.gz FPSJJYOPRKHLTG-MRXNPFEDSA-N 0 0 442.538 -0.358 20 0 IBADRN Cc1ccn(-c2ccccc2CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n1 ZINC000554743089 1073339358 /nfs/dbraw/zinc/33/93/58/1073339358.db2.gz MITFXVGKNRMISJ-UHFFFAOYSA-N 0 0 437.464 -0.846 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)CC1 ZINC000554743864 1073339397 /nfs/dbraw/zinc/33/93/97/1073339397.db2.gz QQLMAOWPMOHVMJ-INIZCTEOSA-N 0 0 429.587 -0.987 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCC[C@H](CNS(=O)(=O)C3CC3)C2)CC1 ZINC000554743865 1073339562 /nfs/dbraw/zinc/33/95/62/1073339562.db2.gz QQLMAOWPMOHVMJ-MRXNPFEDSA-N 0 0 429.587 -0.987 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000554746736 1073340351 /nfs/dbraw/zinc/34/03/51/1073340351.db2.gz DPJYKLMSIKSJCL-UHFFFAOYSA-N 0 0 446.533 -0.090 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000554746815 1073340462 /nfs/dbraw/zinc/34/04/62/1073340462.db2.gz HOBKGSXXRBJANO-FQEVSTJZSA-N 0 0 434.518 -0.029 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000554746816 1073340288 /nfs/dbraw/zinc/34/02/88/1073340288.db2.gz HOBKGSXXRBJANO-HXUWFJFHSA-N 0 0 434.518 -0.029 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000554746963 1073340489 /nfs/dbraw/zinc/34/04/89/1073340489.db2.gz BJGKKAGJCADHFS-HNNXBMFYSA-N 0 0 437.522 -0.250 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000554746967 1073340480 /nfs/dbraw/zinc/34/04/80/1073340480.db2.gz BJGKKAGJCADHFS-OAHLLOKOSA-N 0 0 437.522 -0.250 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)nc1 ZINC000554749562 1073340332 /nfs/dbraw/zinc/34/03/32/1073340332.db2.gz RTDIPXYLCDCSFM-UHFFFAOYSA-N 0 0 449.474 -0.005 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000554751876 1073339953 /nfs/dbraw/zinc/33/99/53/1073339953.db2.gz SHIJKJKRWDDIHN-UHFFFAOYSA-N 0 0 440.522 -0.926 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](CC)CS(=O)(=O)c2ccccc2)C1 ZINC000554752769 1073339869 /nfs/dbraw/zinc/33/98/69/1073339869.db2.gz GSENOLMNFYAQTC-GDBMZVCRSA-N 0 0 445.563 -0.105 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](CC)CS(=O)(=O)c2ccccc2)C1 ZINC000554752770 1073339879 /nfs/dbraw/zinc/33/98/79/1073339879.db2.gz GSENOLMNFYAQTC-GOEBONIOSA-N 0 0 445.563 -0.105 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](CC)CS(=O)(=O)c2ccccc2)C1 ZINC000554752771 1073339960 /nfs/dbraw/zinc/33/99/60/1073339960.db2.gz GSENOLMNFYAQTC-HOCLYGCPSA-N 0 0 445.563 -0.105 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](CC)CS(=O)(=O)c2ccccc2)C1 ZINC000554752772 1073339970 /nfs/dbraw/zinc/33/99/70/1073339970.db2.gz GSENOLMNFYAQTC-ZBFHGGJFSA-N 0 0 445.563 -0.105 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)cn1 ZINC000554753610 1073339977 /nfs/dbraw/zinc/33/99/77/1073339977.db2.gz QRCDMEFYVZXDQT-INIZCTEOSA-N 0 0 434.541 -0.263 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)cn1 ZINC000554753611 1073339947 /nfs/dbraw/zinc/33/99/47/1073339947.db2.gz QRCDMEFYVZXDQT-MRXNPFEDSA-N 0 0 434.541 -0.263 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000554755736 1073339939 /nfs/dbraw/zinc/33/99/39/1073339939.db2.gz HAFIAFJGHGYGFC-UHFFFAOYSA-N 0 0 430.552 -0.078 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)s1 ZINC000554756363 1073339840 /nfs/dbraw/zinc/33/98/40/1073339840.db2.gz SGKSZMUHMQTTEI-HNNXBMFYSA-N 0 0 444.579 -0.226 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)s1 ZINC000554756364 1073339965 /nfs/dbraw/zinc/33/99/65/1073339965.db2.gz SGKSZMUHMQTTEI-OAHLLOKOSA-N 0 0 444.579 -0.226 20 0 IBADRN CCOC(=O)c1sc([C@@H](C)NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)nc1C ZINC000554756403 1073339991 /nfs/dbraw/zinc/33/99/91/1073339991.db2.gz TYHKVLPDCQXTLB-LLVKDONJSA-N 0 0 432.524 -0.091 20 0 IBADRN CCOC(=O)c1sc([C@H](C)NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)nc1C ZINC000554756404 1073339930 /nfs/dbraw/zinc/33/99/30/1073339930.db2.gz TYHKVLPDCQXTLB-NSHDSACASA-N 0 0 432.524 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(c3ncccn3)CC2)s1 ZINC000554757249 1073339985 /nfs/dbraw/zinc/33/99/85/1073339985.db2.gz LKMKWEYHJKNUBP-UHFFFAOYSA-N 0 0 438.535 -0.410 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n1 ZINC000554757981 1073339910 /nfs/dbraw/zinc/33/99/10/1073339910.db2.gz RIVMNUGGTVEVRN-UHFFFAOYSA-N 0 0 446.533 -0.167 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1Cl ZINC000554758922 1073340422 /nfs/dbraw/zinc/34/04/22/1073340422.db2.gz PLDVHQMQSSXNSI-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)nc1 ZINC000554763605 1073340402 /nfs/dbraw/zinc/34/04/02/1073340402.db2.gz FGZIRIDOHYJHBS-UHFFFAOYSA-N 0 0 441.517 -0.142 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)N2CCO[C@@H](CN(C)CC(=O)OC(C)(C)C)C2)nc1 ZINC000554763818 1073340415 /nfs/dbraw/zinc/34/04/15/1073340415.db2.gz LLWVUERXZIRJBM-INIZCTEOSA-N 0 0 435.525 -0.055 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)N2CCO[C@H](CN(C)CC(=O)OC(C)(C)C)C2)nc1 ZINC000554763819 1073340308 /nfs/dbraw/zinc/34/03/08/1073340308.db2.gz LLWVUERXZIRJBM-MRXNPFEDSA-N 0 0 435.525 -0.055 20 0 IBADRN O=C(NCc1ccc(Br)cc1N1CCOCC1)C(=O)N1CCNC(=O)C1 ZINC000554770543 1073340857 /nfs/dbraw/zinc/34/08/57/1073340857.db2.gz VSYROOWBPAYENW-UHFFFAOYSA-N 0 0 425.283 -0.140 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000554770721 1073340890 /nfs/dbraw/zinc/34/08/90/1073340890.db2.gz BQUJPHXVLMCHQM-KRWDZBQOSA-N 0 0 434.541 -0.043 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000554770722 1073340800 /nfs/dbraw/zinc/34/08/00/1073340800.db2.gz BQUJPHXVLMCHQM-QGZVFWFLSA-N 0 0 434.541 -0.043 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2)CC1 ZINC000554773723 1073340693 /nfs/dbraw/zinc/34/06/93/1073340693.db2.gz NCMCPBBETYQFQW-INIZCTEOSA-N 0 0 447.923 -0.016 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2)CC1 ZINC000554773724 1073340769 /nfs/dbraw/zinc/34/07/69/1073340769.db2.gz NCMCPBBETYQFQW-MRXNPFEDSA-N 0 0 447.923 -0.016 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000554773862 1073340747 /nfs/dbraw/zinc/34/07/47/1073340747.db2.gz SICJEUBMWKAGLQ-UHFFFAOYSA-N 0 0 430.914 -0.233 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@]2(CCOC2)O1 ZINC000554774314 1073340679 /nfs/dbraw/zinc/34/06/79/1073340679.db2.gz DQTJEIIJJARBTK-PBHICJAKSA-N 0 0 430.483 -0.993 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@]2(CCOC2)O1 ZINC000554774315 1073340760 /nfs/dbraw/zinc/34/07/60/1073340760.db2.gz DQTJEIIJJARBTK-RHSMWYFYSA-N 0 0 430.483 -0.993 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@]2(CCOC2)O1 ZINC000554774316 1073340807 /nfs/dbraw/zinc/34/08/07/1073340807.db2.gz DQTJEIIJJARBTK-WMLDXEAASA-N 0 0 430.483 -0.993 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@]2(CCOC2)O1 ZINC000554774317 1073340868 /nfs/dbraw/zinc/34/08/68/1073340868.db2.gz DQTJEIIJJARBTK-YOEHRIQHSA-N 0 0 430.483 -0.993 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@]2(CCOC2)O1 ZINC000554775586 1073340839 /nfs/dbraw/zinc/34/08/39/1073340839.db2.gz KPTQUHHACVUSKP-KRWDZBQOSA-N 0 0 430.483 -0.993 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@]2(CCOC2)O1 ZINC000554775588 1073341317 /nfs/dbraw/zinc/34/13/17/1073341317.db2.gz KPTQUHHACVUSKP-QGZVFWFLSA-N 0 0 430.483 -0.993 20 0 IBADRN CC(C)C[C@H](CCO)CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000554775740 1073341062 /nfs/dbraw/zinc/34/10/62/1073341062.db2.gz IVNPAOBNKBIRLC-MSOLQXFVSA-N 0 0 426.558 -0.461 20 0 IBADRN CC(C)C[C@@H](CCO)CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000554775748 1073341087 /nfs/dbraw/zinc/34/10/87/1073341087.db2.gz IVNPAOBNKBIRLC-QZTJIDSGSA-N 0 0 426.558 -0.461 20 0 IBADRN CC(C)C[C@H](CCO)CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000554775751 1073341294 /nfs/dbraw/zinc/34/12/94/1073341294.db2.gz IVNPAOBNKBIRLC-ROUUACIJSA-N 0 0 426.558 -0.461 20 0 IBADRN CC(C)C[C@@H](CCO)CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000554775754 1073341176 /nfs/dbraw/zinc/34/11/76/1073341176.db2.gz IVNPAOBNKBIRLC-ZWKOTPCHSA-N 0 0 426.558 -0.461 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)CCC(F)(F)F ZINC000554775999 1073341202 /nfs/dbraw/zinc/34/12/02/1073341202.db2.gz LCLRODYLKFQXDX-UHFFFAOYSA-N 0 0 435.447 -0.674 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@H](c2ccsc2)C1 ZINC000554776465 1073341048 /nfs/dbraw/zinc/34/10/48/1073341048.db2.gz YSHUVBAEBJGJTP-AWEZNQCLSA-N 0 0 428.492 -0.128 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@@H](c2ccsc2)C1 ZINC000554776469 1073341310 /nfs/dbraw/zinc/34/13/10/1073341310.db2.gz YSHUVBAEBJGJTP-CQSZACIVSA-N 0 0 428.492 -0.128 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCC(=O)NCCOC ZINC000554776807 1073341140 /nfs/dbraw/zinc/34/11/40/1073341140.db2.gz WRTORIIMUDJQSE-UHFFFAOYSA-N 0 0 442.538 -0.486 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)CC1 ZINC000554777223 1073341233 /nfs/dbraw/zinc/34/12/33/1073341233.db2.gz KISNGWWQOLJWKH-AWEZNQCLSA-N 0 0 438.506 -0.453 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)CC1 ZINC000554777224 1073341153 /nfs/dbraw/zinc/34/11/53/1073341153.db2.gz KISNGWWQOLJWKH-CQSZACIVSA-N 0 0 438.506 -0.453 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccn(C)n3)CC2)cs1 ZINC000554780268 1073341289 /nfs/dbraw/zinc/34/12/89/1073341289.db2.gz TTXSZTAWXPEEOR-UHFFFAOYSA-N 0 0 426.524 -0.623 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000554784674 1073341278 /nfs/dbraw/zinc/34/12/78/1073341278.db2.gz RWOBCLJVAOBSDR-DLBZAZTESA-N 0 0 436.534 -0.309 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000554784675 1073341112 /nfs/dbraw/zinc/34/11/12/1073341112.db2.gz RWOBCLJVAOBSDR-IAGOWNOFSA-N 0 0 436.534 -0.309 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000554784676 1073341304 /nfs/dbraw/zinc/34/13/04/1073341304.db2.gz RWOBCLJVAOBSDR-IRXDYDNUSA-N 0 0 436.534 -0.309 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000554784677 1073341130 /nfs/dbraw/zinc/34/11/30/1073341130.db2.gz RWOBCLJVAOBSDR-SJORKVTESA-N 0 0 436.534 -0.309 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccnc1 ZINC000554784838 1073341074 /nfs/dbraw/zinc/34/10/74/1073341074.db2.gz ZEXUYRIXKMPHHA-IBGZPJMESA-N 0 0 431.537 -0.060 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1cccnc1 ZINC000554784839 1073341690 /nfs/dbraw/zinc/34/16/90/1073341690.db2.gz ZEXUYRIXKMPHHA-LJQANCHMSA-N 0 0 431.537 -0.060 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000554789141 1073340738 /nfs/dbraw/zinc/34/07/38/1073340738.db2.gz HKFJUBNDCQNORF-KRWDZBQOSA-N 0 0 428.493 -0.295 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000554789142 1073340790 /nfs/dbraw/zinc/34/07/90/1073340790.db2.gz HKFJUBNDCQNORF-QGZVFWFLSA-N 0 0 428.493 -0.295 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)cc1 ZINC000554792460 1073341832 /nfs/dbraw/zinc/34/18/32/1073341832.db2.gz PWWACWPLZNBPHJ-UHFFFAOYSA-N 0 0 440.503 -0.158 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)C2)nc1 ZINC000554794781 1073341852 /nfs/dbraw/zinc/34/18/52/1073341852.db2.gz HZHHFJUBTJYPRJ-CHWSQXEVSA-N 0 0 440.522 -0.157 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O)C2)nc1 ZINC000554794782 1073341740 /nfs/dbraw/zinc/34/17/40/1073341740.db2.gz HZHHFJUBTJYPRJ-OLZOCXBDSA-N 0 0 440.522 -0.157 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O)C2)nc1 ZINC000554794783 1073341841 /nfs/dbraw/zinc/34/18/41/1073341841.db2.gz HZHHFJUBTJYPRJ-QWHCGFSZSA-N 0 0 440.522 -0.157 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O)C2)nc1 ZINC000554794784 1073341862 /nfs/dbraw/zinc/34/18/62/1073341862.db2.gz HZHHFJUBTJYPRJ-STQMWFEESA-N 0 0 440.522 -0.157 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)C2)nc1 ZINC000554795704 1073341844 /nfs/dbraw/zinc/34/18/44/1073341844.db2.gz VAZQIVAVCASIHA-UHFFFAOYSA-N 0 0 433.509 -0.522 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)C2)nc1 ZINC000554795818 1073341799 /nfs/dbraw/zinc/34/17/99/1073341799.db2.gz JNLXQPWQFIBPRW-UHFFFAOYSA-N 0 0 441.492 -0.369 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(c4cnccn4)CC3)C2)nc1 ZINC000554796595 1073341837 /nfs/dbraw/zinc/34/18/37/1073341837.db2.gz QLUXJXVYKZTCJQ-UHFFFAOYSA-N 0 0 439.476 -0.298 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCC1 ZINC000554797766 1073341764 /nfs/dbraw/zinc/34/17/64/1073341764.db2.gz WEOQIOSIAGCWNJ-UHFFFAOYSA-N 0 0 444.535 -0.387 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC000554810214 1073342692 /nfs/dbraw/zinc/34/26/92/1073342692.db2.gz WUUONTVCQIUNOP-UHFFFAOYSA-N 0 0 431.559 -0.032 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(c3cc(OC)ncn3)CC1)CC2 ZINC000554810667 1073342558 /nfs/dbraw/zinc/34/25/58/1073342558.db2.gz LJEYTMHNRYNXCX-CYBMUJFWSA-N 0 0 430.469 -0.997 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(c3cc(OC)ncn3)CC1)CC2 ZINC000554810668 1073342773 /nfs/dbraw/zinc/34/27/73/1073342773.db2.gz LJEYTMHNRYNXCX-ZDUSSCGKSA-N 0 0 430.469 -0.997 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)C[C@H]3CCCS(=O)(=O)C3)CC2)no1 ZINC000554810812 1073331410 /nfs/dbraw/zinc/33/14/10/1073331410.db2.gz OQTSROAWUZQWLK-AWEZNQCLSA-N 0 0 434.540 -0.306 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)C[C@@H]3CCCS(=O)(=O)C3)CC2)no1 ZINC000554810813 1073331400 /nfs/dbraw/zinc/33/14/00/1073331400.db2.gz OQTSROAWUZQWLK-CQSZACIVSA-N 0 0 434.540 -0.306 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCO[C@@H]3C3CC3)CC2)cs1 ZINC000554814729 1073342016 /nfs/dbraw/zinc/34/20/16/1073342016.db2.gz IRDNZCKMDHJPRA-DZGCQCFKSA-N 0 0 428.536 -0.032 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@H]3C3CC3)CC2)cs1 ZINC000554814730 1073342198 /nfs/dbraw/zinc/34/21/98/1073342198.db2.gz IRDNZCKMDHJPRA-HIFRSBDPSA-N 0 0 428.536 -0.032 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@@H]3C3CC3)CC2)cs1 ZINC000554814731 1073342144 /nfs/dbraw/zinc/34/21/44/1073342144.db2.gz IRDNZCKMDHJPRA-UKRRQHHQSA-N 0 0 428.536 -0.032 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCO[C@H]3C3CC3)CC2)cs1 ZINC000554814732 1073342207 /nfs/dbraw/zinc/34/22/07/1073342207.db2.gz IRDNZCKMDHJPRA-ZFWWWQNUSA-N 0 0 428.536 -0.032 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000554815204 1073342216 /nfs/dbraw/zinc/34/22/16/1073342216.db2.gz NCAWCYDJAJJSRE-HOTGVXAUSA-N 0 0 446.570 -0.583 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000554815205 1073342130 /nfs/dbraw/zinc/34/21/30/1073342130.db2.gz NCAWCYDJAJJSRE-HZPDHXFCSA-N 0 0 446.570 -0.583 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000554815206 1073342155 /nfs/dbraw/zinc/34/21/55/1073342155.db2.gz NCAWCYDJAJJSRE-IYBDPMFKSA-N 0 0 446.570 -0.583 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)[C@@H]1CCCO1 ZINC000554815687 1073342064 /nfs/dbraw/zinc/34/20/64/1073342064.db2.gz YSVRVYRNIXTMPU-CVEARBPZSA-N 0 0 435.543 -0.804 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)[C@@H]1CCCO1 ZINC000554815690 1073342093 /nfs/dbraw/zinc/34/20/93/1073342093.db2.gz YSVRVYRNIXTMPU-HOTGVXAUSA-N 0 0 435.543 -0.804 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)[C@H]1CCCO1 ZINC000554815691 1073342104 /nfs/dbraw/zinc/34/21/04/1073342104.db2.gz YSVRVYRNIXTMPU-HZPDHXFCSA-N 0 0 435.543 -0.804 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)[C@H]1CCCO1 ZINC000554815695 1073342229 /nfs/dbraw/zinc/34/22/29/1073342229.db2.gz YSVRVYRNIXTMPU-JKSUJKDBSA-N 0 0 435.543 -0.804 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000554821663 1073342726 /nfs/dbraw/zinc/34/27/26/1073342726.db2.gz YYLHRNOJBQXXMQ-UHFFFAOYSA-N 0 0 445.922 -0.171 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCn3ccnc3C2)CC1 ZINC000554821798 1073342790 /nfs/dbraw/zinc/34/27/90/1073342790.db2.gz HGIQCXNDYCNTAB-HNNXBMFYSA-N 0 0 427.527 -0.787 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCn3ccnc3C2)CC1 ZINC000554821799 1073342525 /nfs/dbraw/zinc/34/25/25/1073342525.db2.gz HGIQCXNDYCNTAB-OAHLLOKOSA-N 0 0 427.527 -0.787 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(C)c1 ZINC000554824641 1073342800 /nfs/dbraw/zinc/34/28/00/1073342800.db2.gz ZIJXVQPJYHEMKD-UHFFFAOYSA-N 0 0 429.481 -0.242 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCCN(C(=O)Cc3ccccc3)C1)c(=O)n2C ZINC000554825048 1073343008 /nfs/dbraw/zinc/34/30/08/1073343008.db2.gz XMOIJBMLWIKMQD-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H]1CCCN(C(=O)Cc3ccccc3)C1)c(=O)n2C ZINC000554825050 1073343101 /nfs/dbraw/zinc/34/31/01/1073343101.db2.gz XMOIJBMLWIKMQD-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCCN(C(=O)Cc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000554828830 1073343109 /nfs/dbraw/zinc/34/31/09/1073343109.db2.gz RYWUAEXODSMLGE-INIZCTEOSA-N 0 0 438.488 -0.216 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCCN(C(=O)Cc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000554828832 1073343055 /nfs/dbraw/zinc/34/30/55/1073343055.db2.gz RYWUAEXODSMLGE-MRXNPFEDSA-N 0 0 438.488 -0.216 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2)n1 ZINC000554830967 1073342651 /nfs/dbraw/zinc/34/26/51/1073342651.db2.gz VENZDECKDFCUOB-KRWDZBQOSA-N 0 0 449.556 -0.682 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2)n1 ZINC000554830968 1073342757 /nfs/dbraw/zinc/34/27/57/1073342757.db2.gz VENZDECKDFCUOB-QGZVFWFLSA-N 0 0 449.556 -0.682 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)CCn3cc(S(N)(=O)=O)cn3)cc2)CC1 ZINC000554833190 1073343500 /nfs/dbraw/zinc/34/35/00/1073343500.db2.gz HKUIEJKMPLUJGU-UHFFFAOYSA-N 0 0 434.522 -0.124 20 0 IBADRN COCCN1CN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CC1=O ZINC000554833914 1073343371 /nfs/dbraw/zinc/34/33/71/1073343371.db2.gz PBPQDTMZAARANJ-UHFFFAOYSA-N 0 0 438.506 -0.572 20 0 IBADRN COCCN1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000554835676 1073343385 /nfs/dbraw/zinc/34/33/85/1073343385.db2.gz LUKIOIAMJUJSBD-HNNXBMFYSA-N 0 0 447.535 -0.957 20 0 IBADRN COCCN1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000554835679 1073343495 /nfs/dbraw/zinc/34/34/95/1073343495.db2.gz LUKIOIAMJUJSBD-OAHLLOKOSA-N 0 0 447.535 -0.957 20 0 IBADRN COCCN1CN(CC(=O)N(CC(C)C)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)CC1=O ZINC000554837116 1073343539 /nfs/dbraw/zinc/34/35/39/1073343539.db2.gz HPGAWKAMSUVAPY-UHFFFAOYSA-N 0 0 438.529 -0.076 20 0 IBADRN COCCN1CN([C@@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1=O ZINC000554837974 1073343851 /nfs/dbraw/zinc/34/38/51/1073343851.db2.gz XLQWRFNXXVZDRO-KRWDZBQOSA-N 0 0 438.550 -0.035 20 0 IBADRN COCCN1CN([C@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1=O ZINC000554837975 1073343771 /nfs/dbraw/zinc/34/37/71/1073343771.db2.gz XLQWRFNXXVZDRO-QGZVFWFLSA-N 0 0 438.550 -0.035 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(C)(C)C3CCCC3)CC2)CC1 ZINC000554838433 1073343516 /nfs/dbraw/zinc/34/35/16/1073343516.db2.gz MDBRFBUTNVAGFH-UHFFFAOYSA-N 0 0 443.614 -0.045 20 0 IBADRN COCCN1CN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000554840360 1073343820 /nfs/dbraw/zinc/34/38/20/1073343820.db2.gz SQJHRYWTXNKNJI-UHFFFAOYSA-N 0 0 440.522 -0.297 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)N2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)O1 ZINC000554845935 1073343488 /nfs/dbraw/zinc/34/34/88/1073343488.db2.gz ICYCEKZCWXUYSZ-DZVNLGKHSA-N 0 0 443.566 -0.499 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)N2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)O1 ZINC000554845938 1073343534 /nfs/dbraw/zinc/34/35/34/1073343534.db2.gz ICYCEKZCWXUYSZ-JCECYMMASA-N 0 0 443.566 -0.499 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)N2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)O1 ZINC000554845940 1073343545 /nfs/dbraw/zinc/34/35/45/1073343545.db2.gz ICYCEKZCWXUYSZ-PNKHAZJDSA-N 0 0 443.566 -0.499 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)N2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)O1 ZINC000554845942 1073343528 /nfs/dbraw/zinc/34/35/28/1073343528.db2.gz ICYCEKZCWXUYSZ-WKULXVSPSA-N 0 0 443.566 -0.499 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)CC1 ZINC000554848746 1073343759 /nfs/dbraw/zinc/34/37/59/1073343759.db2.gz LXFIRELJQBZHNH-BBWFWOEESA-N 0 0 443.566 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)CC1 ZINC000554848747 1073343708 /nfs/dbraw/zinc/34/37/08/1073343708.db2.gz LXFIRELJQBZHNH-BRWVUGGUSA-N 0 0 443.566 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)CC1 ZINC000554848748 1073343838 /nfs/dbraw/zinc/34/38/38/1073343838.db2.gz LXFIRELJQBZHNH-GVDBMIGSSA-N 0 0 443.566 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)CC1 ZINC000554848749 1073343731 /nfs/dbraw/zinc/34/37/31/1073343731.db2.gz LXFIRELJQBZHNH-ZACQAIPSSA-N 0 0 443.566 -0.041 20 0 IBADRN O=C(CN1CCN(C(=O)c2n[nH]nc2-c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000554851346 1073343791 /nfs/dbraw/zinc/34/37/91/1073343791.db2.gz WYXJPLIUCGAIIT-HNNXBMFYSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2n[nH]nc2-c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000554851347 1073343721 /nfs/dbraw/zinc/34/37/21/1073343721.db2.gz WYXJPLIUCGAIIT-OAHLLOKOSA-N 0 0 432.506 -0.467 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)CCn2cc(S(N)(=O)=O)cn2)c1 ZINC000554852851 1073344195 /nfs/dbraw/zinc/34/41/95/1073344195.db2.gz SANFBNFUHKKWKX-UHFFFAOYSA-N 0 0 429.524 -0.076 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2C[C@@H]3COC[C@H](C2)O3)c(=O)[nH]c1=O ZINC000554853476 1073344161 /nfs/dbraw/zinc/34/41/61/1073344161.db2.gz CYSCXSMCTNNLQY-KFWWJZLASA-N 0 0 439.513 -0.201 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2C[C@@H]3COC[C@H](C2)O3)c(=O)[nH]c1=O ZINC000554853483 1073344139 /nfs/dbraw/zinc/34/41/39/1073344139.db2.gz CYSCXSMCTNNLQY-SOUVJXGZSA-N 0 0 439.513 -0.201 20 0 IBADRN COCCN1CN(C(=O)CC2(CC(=O)N3CC(=O)N(CCOC)C3)CCCC2)CC1=O ZINC000554854443 1073344136 /nfs/dbraw/zinc/34/41/36/1073344136.db2.gz WLJODZZZBVPMID-UHFFFAOYSA-N 0 0 438.525 -0.124 20 0 IBADRN COCCN1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CC1=O ZINC000554854841 1073344130 /nfs/dbraw/zinc/34/41/30/1073344130.db2.gz AALXKWNHYPTWEE-INIZCTEOSA-N 0 0 440.522 -0.509 20 0 IBADRN COCCN1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)CC1=O ZINC000554854848 1073344167 /nfs/dbraw/zinc/34/41/67/1073344167.db2.gz AALXKWNHYPTWEE-MRXNPFEDSA-N 0 0 440.522 -0.509 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2C[C@@H]3COC[C@H](C2)O3)c(=O)[nH]c1=O ZINC000554855890 1073344159 /nfs/dbraw/zinc/34/41/59/1073344159.db2.gz MGFITFAWAZABRY-OKILXGFUSA-N 0 0 425.486 -0.590 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(F)cc(N2CCOCC2)c1 ZINC000554857242 1073344185 /nfs/dbraw/zinc/34/41/85/1073344185.db2.gz PFGPUAIUYZULRY-INIZCTEOSA-N 0 0 435.456 -0.546 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(F)cc(N2CCOCC2)c1 ZINC000554857247 1073344164 /nfs/dbraw/zinc/34/41/64/1073344164.db2.gz PFGPUAIUYZULRY-MRXNPFEDSA-N 0 0 435.456 -0.546 20 0 IBADRN NC(=O)c1ncn(C2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)c1N ZINC000554857379 1073344190 /nfs/dbraw/zinc/34/41/90/1073344190.db2.gz QPBGAOCIVOUNBZ-INIZCTEOSA-N 0 0 447.540 -0.559 20 0 IBADRN NC(=O)c1ncn(C2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)c1N ZINC000554857383 1073344182 /nfs/dbraw/zinc/34/41/82/1073344182.db2.gz QPBGAOCIVOUNBZ-MRXNPFEDSA-N 0 0 447.540 -0.559 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@@H](C)[C@H]1OC ZINC000554864498 1073345533 /nfs/dbraw/zinc/34/55/33/1073345533.db2.gz YOODWKRBLZSYJQ-BHIYHBOVSA-N 0 0 438.569 -0.055 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@@H](C)[C@@H]1OC ZINC000554864499 1073345492 /nfs/dbraw/zinc/34/54/92/1073345492.db2.gz YOODWKRBLZSYJQ-QRQLOZEOSA-N 0 0 438.569 -0.055 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@H](C)[C@H]1OC ZINC000554864500 1073345329 /nfs/dbraw/zinc/34/53/29/1073345329.db2.gz YOODWKRBLZSYJQ-QXAKKESOSA-N 0 0 438.569 -0.055 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@H](C)[C@@H]1OC ZINC000554864501 1073345414 /nfs/dbraw/zinc/34/54/14/1073345414.db2.gz YOODWKRBLZSYJQ-UHOSZYNNSA-N 0 0 438.569 -0.055 20 0 IBADRN O=C(CN(CC(=O)NCC(=O)N1CCCC1)Cc1ccccc1)NCC(=O)N1CCCC1 ZINC000554865503 1073345449 /nfs/dbraw/zinc/34/54/49/1073345449.db2.gz RCJHLNNZSHJCRI-UHFFFAOYSA-N 0 0 443.548 -0.034 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(c2cccnn2)CC1 ZINC000554866449 1073344649 /nfs/dbraw/zinc/34/46/49/1073344649.db2.gz GHSZJNKSZIGIGD-UHFFFAOYSA-N 0 0 429.456 -0.188 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)C1 ZINC000554867056 1073344539 /nfs/dbraw/zinc/34/45/39/1073344539.db2.gz OMPVNYPJYJUTIU-AWEZNQCLSA-N 0 0 443.501 -0.786 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)C1 ZINC000554867057 1073344602 /nfs/dbraw/zinc/34/46/02/1073344602.db2.gz OMPVNYPJYJUTIU-CQSZACIVSA-N 0 0 443.501 -0.786 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000554867674 1073344524 /nfs/dbraw/zinc/34/45/24/1073344524.db2.gz WNYNMPRYJNHGEM-INIZCTEOSA-N 0 0 435.500 -0.146 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000554867675 1073344631 /nfs/dbraw/zinc/34/46/31/1073344631.db2.gz WNYNMPRYJNHGEM-MRXNPFEDSA-N 0 0 435.500 -0.146 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000554867762 1073344422 /nfs/dbraw/zinc/34/44/22/1073344422.db2.gz XYQKHTDQOHKMNY-KRWDZBQOSA-N 0 0 449.483 -0.477 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000554867763 1073344623 /nfs/dbraw/zinc/34/46/23/1073344623.db2.gz XYQKHTDQOHKMNY-QGZVFWFLSA-N 0 0 449.483 -0.477 20 0 IBADRN CC(=O)c1cccc(OC[C@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000554868374 1073344461 /nfs/dbraw/zinc/34/44/61/1073344461.db2.gz BOZCYVRCVAGZKL-GOSISDBHSA-N 0 0 427.523 -0.177 20 0 IBADRN CC(=O)c1cccc(OC[C@@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000554868375 1073344613 /nfs/dbraw/zinc/34/46/13/1073344613.db2.gz BOZCYVRCVAGZKL-SFHVURJKSA-N 0 0 427.523 -0.177 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)CC1=O ZINC000554872421 1073344567 /nfs/dbraw/zinc/34/45/67/1073344567.db2.gz ZLDULDWRPQBVLM-UHFFFAOYSA-N 0 0 436.534 -0.114 20 0 IBADRN O=C(CN(CC(=O)N1CCS(=O)CC1)Cc1ccccc1)N1CCS(=O)CC1 ZINC000554872900 1073344951 /nfs/dbraw/zinc/34/49/51/1073344951.db2.gz LETMKOVOBMAZKF-UHFFFAOYSA-N 0 0 425.576 -0.330 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000554880112 1073346231 /nfs/dbraw/zinc/34/62/31/1073346231.db2.gz RDTOKLKWWFXVJE-GFCCVEGCSA-N 0 0 433.508 -0.886 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000554880117 1073346239 /nfs/dbraw/zinc/34/62/39/1073346239.db2.gz RDTOKLKWWFXVJE-LBPRGKRZSA-N 0 0 433.508 -0.886 20 0 IBADRN CN1C[C@H](NC(=O)CN(CC(=O)N[C@H]2CCC(=O)N(C)C2)Cc2ccccc2)CCC1=O ZINC000554881413 1073346070 /nfs/dbraw/zinc/34/60/70/1073346070.db2.gz YKNOGXJXRZGGDL-KDURUIRLSA-N 0 0 443.548 -0.037 20 0 IBADRN CN1C[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCC(=O)N(C)C2)Cc2ccccc2)CCC1=O ZINC000554881414 1073346041 /nfs/dbraw/zinc/34/60/41/1073346041.db2.gz YKNOGXJXRZGGDL-OALUTQOASA-N 0 0 443.548 -0.037 20 0 IBADRN CN1C[C@H](NC(=O)CN(CC(=O)N[C@@H]2CCC(=O)N(C)C2)Cc2ccccc2)CCC1=O ZINC000554881415 1073346029 /nfs/dbraw/zinc/34/60/29/1073346029.db2.gz YKNOGXJXRZGGDL-RTBURBONSA-N 0 0 443.548 -0.037 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCOCC2)c(Br)c1 ZINC000554882108 1073346205 /nfs/dbraw/zinc/34/62/05/1073346205.db2.gz RHHJJPHZIIIPFW-UHFFFAOYSA-N 0 0 441.325 -0.010 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000554882506 1073346262 /nfs/dbraw/zinc/34/62/62/1073346262.db2.gz BXJTWRQSIZLLFC-UHFFFAOYSA-N 0 0 437.522 -0.007 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000554882520 1073346256 /nfs/dbraw/zinc/34/62/56/1073346256.db2.gz WAQSWSKCNAWGEH-UHFFFAOYSA-N 0 0 442.519 -0.297 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H](CC(=O)N2CCO[C@H](C(=O)NC)C2)CC(C)(C)C)CCO1 ZINC000554883388 1073346157 /nfs/dbraw/zinc/34/61/57/1073346157.db2.gz OOJFZWZQJMNEOS-HRCADAONSA-N 0 0 440.541 -0.624 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C[C@@H](CC(C)(C)C)C(=O)N2CCO[C@H](C(=O)NC)C2)CCO1 ZINC000554883389 1073346129 /nfs/dbraw/zinc/34/61/29/1073346129.db2.gz OOJFZWZQJMNEOS-JYJNAYRXSA-N 0 0 440.541 -0.624 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](CC(=O)N2CCO[C@H](C(=O)NC)C2)CC(C)(C)C)CCO1 ZINC000554883390 1073346642 /nfs/dbraw/zinc/34/66/42/1073346642.db2.gz OOJFZWZQJMNEOS-OWCLPIDISA-N 0 0 440.541 -0.624 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C[C@H](CC(C)(C)C)C(=O)N2CCO[C@H](C(=O)NC)C2)CCO1 ZINC000554883391 1073346604 /nfs/dbraw/zinc/34/66/04/1073346604.db2.gz OOJFZWZQJMNEOS-PMPSAXMXSA-N 0 0 440.541 -0.624 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)CN(CC(=O)N2CC[C@H](NC(N)=O)C2)Cc2ccccc2)C1 ZINC000554888119 1073345860 /nfs/dbraw/zinc/34/58/60/1073345860.db2.gz GYYGWJHFBMSLIJ-CALCHBBNSA-N 0 0 445.524 -0.973 20 0 IBADRN NC(=O)N[C@@H]1CCN(C(=O)CN(CC(=O)N2CC[C@@H](NC(N)=O)C2)Cc2ccccc2)C1 ZINC000554888125 1073345774 /nfs/dbraw/zinc/34/57/74/1073345774.db2.gz GYYGWJHFBMSLIJ-IAGOWNOFSA-N 0 0 445.524 -0.973 20 0 IBADRN NC(=O)N[C@H]1CCN(C(=O)CN(CC(=O)N2CC[C@H](NC(N)=O)C2)Cc2ccccc2)C1 ZINC000554888127 1073345760 /nfs/dbraw/zinc/34/57/60/1073345760.db2.gz GYYGWJHFBMSLIJ-IRXDYDNUSA-N 0 0 445.524 -0.973 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)CC1 ZINC000554888156 1073345769 /nfs/dbraw/zinc/34/57/69/1073345769.db2.gz ISTSVNACXVRPNU-UHFFFAOYSA-N 0 0 426.538 -0.245 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@H](C(N)=O)C2)c(Br)c1 ZINC000554888780 1073345708 /nfs/dbraw/zinc/34/57/08/1073345708.db2.gz OJFPJXWGVZKOIX-JTQLQIEISA-N 0 0 427.298 -0.273 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@@H](C(N)=O)C2)c(Br)c1 ZINC000554888782 1073345790 /nfs/dbraw/zinc/34/57/90/1073345790.db2.gz OJFPJXWGVZKOIX-SNVBAGLBSA-N 0 0 427.298 -0.273 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cn1 ZINC000554891191 1073346081 /nfs/dbraw/zinc/34/60/81/1073346081.db2.gz NUGVPMQICOXLBM-UHFFFAOYSA-N 0 0 428.515 -0.323 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(c3cccnn3)CC2)(N2CCOCC2)C1 ZINC000554891723 1073345731 /nfs/dbraw/zinc/34/57/31/1073345731.db2.gz FOHVBFXPOJLJBK-UHFFFAOYSA-N 0 0 432.525 -0.489 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)(N2CCOCC2)C1 ZINC000554892017 1073346195 /nfs/dbraw/zinc/34/61/95/1073346195.db2.gz JAGBTNRQSUFYRY-UHFFFAOYSA-N 0 0 446.552 -0.180 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCC(=O)NC(N)=O)c(Br)c1 ZINC000554893060 1073346949 /nfs/dbraw/zinc/34/69/49/1073346949.db2.gz LGAUEGZKHKQHBM-UHFFFAOYSA-N 0 0 428.286 -0.284 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1=O ZINC000554893531 1073346998 /nfs/dbraw/zinc/34/69/98/1073346998.db2.gz BTAITNHJFZRODR-HNNXBMFYSA-N 0 0 442.480 -0.877 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1=O ZINC000554893538 1073347021 /nfs/dbraw/zinc/34/70/21/1073347021.db2.gz BTAITNHJFZRODR-OAHLLOKOSA-N 0 0 442.480 -0.877 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)C1=O ZINC000554897489 1073346903 /nfs/dbraw/zinc/34/69/03/1073346903.db2.gz VYTBDZUZOZYJOF-INIZCTEOSA-N 0 0 428.497 -0.403 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)C1=O ZINC000554897490 1073346812 /nfs/dbraw/zinc/34/68/12/1073346812.db2.gz VYTBDZUZOZYJOF-MRXNPFEDSA-N 0 0 428.497 -0.403 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2CC(=O)N(CCOC)C2)ccc1OC ZINC000554899490 1073346910 /nfs/dbraw/zinc/34/69/10/1073346910.db2.gz JWASHEOLJANIIZ-UHFFFAOYSA-N 0 0 430.483 -0.100 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000554901908 1073346477 /nfs/dbraw/zinc/34/64/77/1073346477.db2.gz PSZKLIBFHDABFQ-UHFFFAOYSA-N 0 0 435.528 -0.697 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C1 ZINC000554906753 1073346636 /nfs/dbraw/zinc/34/66/36/1073346636.db2.gz USDYXJVNJXCIGB-KKBFJZEXSA-N 0 0 448.524 -0.754 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C1 ZINC000554906758 1073346627 /nfs/dbraw/zinc/34/66/27/1073346627.db2.gz USDYXJVNJXCIGB-KMCQBPLKSA-N 0 0 448.524 -0.754 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C1 ZINC000554906759 1073346956 /nfs/dbraw/zinc/34/69/56/1073346956.db2.gz USDYXJVNJXCIGB-MMNPAVHPSA-N 0 0 448.524 -0.754 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C1 ZINC000554906760 1073346854 /nfs/dbraw/zinc/34/68/54/1073346854.db2.gz USDYXJVNJXCIGB-SZXPJGKVSA-N 0 0 448.524 -0.754 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)CCCOCc1ccccc1)C2 ZINC000554907033 1073346978 /nfs/dbraw/zinc/34/69/78/1073346978.db2.gz YEPHFSHBADYFMT-UHFFFAOYSA-N 0 0 437.522 -0.115 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCCN(CC(F)(F)F)CC2)cn1 ZINC000554907238 1073347371 /nfs/dbraw/zinc/34/73/71/1073347371.db2.gz WVNCYKLNQIEENB-HIFRSBDPSA-N 0 0 430.431 -0.047 20 0 IBADRN COC(=O)[C@H]([C@@H](C)O)N(Cc1ccccc1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000554908866 1073347504 /nfs/dbraw/zinc/34/75/04/1073347504.db2.gz UUPDNVFEXFVFGK-DOMZBBRYSA-N 0 0 425.463 -0.803 20 0 IBADRN COc1cc(N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)ccc1F ZINC000554910281 1073347337 /nfs/dbraw/zinc/34/73/37/1073347337.db2.gz FBQLAVFOSBAKGD-UHFFFAOYSA-N 0 0 436.531 -0.222 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000554910435 1073347466 /nfs/dbraw/zinc/34/74/66/1073347466.db2.gz JKMRVNZYFPJXDW-AWEZNQCLSA-N 0 0 441.597 -0.056 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000554910437 1073347306 /nfs/dbraw/zinc/34/73/06/1073347306.db2.gz JKMRVNZYFPJXDW-CQSZACIVSA-N 0 0 441.597 -0.056 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)C2)n(C)n1 ZINC000554911644 1073347324 /nfs/dbraw/zinc/34/73/24/1073347324.db2.gz DUGAZMBZMBJYBT-DLBZAZTESA-N 0 0 432.525 -0.337 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000554911645 1073347474 /nfs/dbraw/zinc/34/74/74/1073347474.db2.gz ZOHQTUMKFSHXAP-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)C2)n(C)n1 ZINC000554911646 1073347331 /nfs/dbraw/zinc/34/73/31/1073347331.db2.gz DUGAZMBZMBJYBT-IAGOWNOFSA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)C2)n(C)n1 ZINC000554911648 1073347738 /nfs/dbraw/zinc/34/77/38/1073347738.db2.gz DUGAZMBZMBJYBT-IRXDYDNUSA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)C2)n(C)n1 ZINC000554911650 1073347717 /nfs/dbraw/zinc/34/77/17/1073347717.db2.gz DUGAZMBZMBJYBT-SJORKVTESA-N 0 0 432.525 -0.337 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCn2cc(CO)nn2)c(OC)c1 ZINC000554911695 1073347378 /nfs/dbraw/zinc/34/73/78/1073347378.db2.gz GNXLCKDTNIDUEG-UHFFFAOYSA-N 0 0 433.512 -0.091 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cc(C)nn4C)C3)CC2)n1 ZINC000554912901 1073347685 /nfs/dbraw/zinc/34/76/85/1073347685.db2.gz SAOPFARZLWYFJY-INIZCTEOSA-N 0 0 442.524 -0.039 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cc(C)nn4C)C3)CC2)n1 ZINC000554912904 1073347795 /nfs/dbraw/zinc/34/77/95/1073347795.db2.gz SAOPFARZLWYFJY-MRXNPFEDSA-N 0 0 442.524 -0.039 20 0 IBADRN COCCN1CN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CC1=O ZINC000554912946 1073347699 /nfs/dbraw/zinc/34/76/99/1073347699.db2.gz ASFPLPUTEDXBQT-UHFFFAOYSA-N 0 0 432.437 -0.153 20 0 IBADRN CCS(=O)(=O)N1CC(CNS(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)C1 ZINC000554927821 1073348056 /nfs/dbraw/zinc/34/80/56/1073348056.db2.gz BDXPXSNPKJQLHW-UHFFFAOYSA-N 0 0 426.538 -0.342 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000554932444 1073347780 /nfs/dbraw/zinc/34/77/80/1073347780.db2.gz KDMPWHKSCIJOIJ-UHFFFAOYSA-N 0 0 437.565 -0.258 20 0 IBADRN Cc1nc(NC2CCN(S(=O)(=O)N3CCOCC3)CC2)sc1S(N)(=O)=O ZINC000554932458 1073347731 /nfs/dbraw/zinc/34/77/31/1073347731.db2.gz KLTINBIHMMDTLR-UHFFFAOYSA-N 0 0 425.558 -0.448 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)N1CCN(c2ncccn2)CC1 ZINC000554935811 1073348037 /nfs/dbraw/zinc/34/80/37/1073348037.db2.gz KGAKZHJRWBJPIM-UHFFFAOYSA-N 0 0 434.526 -0.755 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000554937552 1073348175 /nfs/dbraw/zinc/34/81/75/1073348175.db2.gz KCWQVLGOANFJKE-UHFFFAOYSA-N 0 0 437.570 -0.192 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000554954286 1073348874 /nfs/dbraw/zinc/34/88/74/1073348874.db2.gz MZLQSCVIENUKPU-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)[C@H]1c1ccccc1 ZINC000554963115 1073348639 /nfs/dbraw/zinc/34/86/39/1073348639.db2.gz ZTOUHXKHISVMSJ-MOPGFXCFSA-N 0 0 427.465 -0.668 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000554963798 1073348662 /nfs/dbraw/zinc/34/86/62/1073348662.db2.gz QAZFSADKPAJBMK-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000554965774 1073348545 /nfs/dbraw/zinc/34/85/45/1073348545.db2.gz OXIHEQBHMLXSQU-KRWDZBQOSA-N 0 0 432.525 -0.224 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000554965776 1073348804 /nfs/dbraw/zinc/34/88/04/1073348804.db2.gz OXIHEQBHMLXSQU-QGZVFWFLSA-N 0 0 432.525 -0.224 20 0 IBADRN Cn1cnnc1COCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000554965841 1073349012 /nfs/dbraw/zinc/34/90/12/1073349012.db2.gz HEYJXPGXTSZTFS-UHFFFAOYSA-N 0 0 437.478 -0.364 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(Br)cc1CC(=O)OC ZINC000554967915 1073349049 /nfs/dbraw/zinc/34/90/49/1073349049.db2.gz FXUHMZGAWWSNQQ-UHFFFAOYSA-N 0 0 437.268 -0.268 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000554968473 1073348866 /nfs/dbraw/zinc/34/88/66/1073348866.db2.gz YYKLJHJJUAUEEV-UHFFFAOYSA-N 0 0 425.507 -0.433 20 0 IBADRN CC(C)CN(C(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000554969725 1073349362 /nfs/dbraw/zinc/34/93/62/1073349362.db2.gz SYQZRHWGESEIPX-INIZCTEOSA-N 0 0 438.616 -0.528 20 0 IBADRN CC(C)CN(C(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000554969726 1073349336 /nfs/dbraw/zinc/34/93/36/1073349336.db2.gz SYQZRHWGESEIPX-MRXNPFEDSA-N 0 0 438.616 -0.528 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC000554971092 1073349308 /nfs/dbraw/zinc/34/93/08/1073349308.db2.gz JMLSXBMJSXJBSD-UHFFFAOYSA-N 0 0 427.527 -0.418 20 0 IBADRN COC(=O)[C@H](CF)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](CF)C(=O)OC)cc1 ZINC000554972515 1073349302 /nfs/dbraw/zinc/34/93/02/1073349302.db2.gz KITPIPNSFYBZRU-RYUDHWBXSA-N 0 0 444.434 -0.735 20 0 IBADRN COC(=O)[C@H](CF)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](CF)C(=O)OC)cc1 ZINC000554972518 1073349389 /nfs/dbraw/zinc/34/93/89/1073349389.db2.gz KITPIPNSFYBZRU-TXEJJXNPSA-N 0 0 444.434 -0.735 20 0 IBADRN COC(=O)[C@@H](CF)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](CF)C(=O)OC)cc1 ZINC000554972520 1073349407 /nfs/dbraw/zinc/34/94/07/1073349407.db2.gz KITPIPNSFYBZRU-VXGBXAGGSA-N 0 0 444.434 -0.735 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000554982182 1073349713 /nfs/dbraw/zinc/34/97/13/1073349713.db2.gz LHFAQNSBGPSPSE-UHFFFAOYSA-N 0 0 446.533 -0.104 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000554990038 1073349429 /nfs/dbraw/zinc/34/94/29/1073349429.db2.gz HGQXGXAUMJVSGX-UHFFFAOYSA-N 0 0 441.510 -0.233 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N1Cc3ccccc3C[C@H]1C(=O)OC)c2=O ZINC000555003643 1073350344 /nfs/dbraw/zinc/35/03/44/1073350344.db2.gz BUEONVYIVQSBOZ-INIZCTEOSA-N 0 0 439.428 -0.108 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N1Cc3ccccc3C[C@@H]1C(=O)OC)c2=O ZINC000555003648 1073350380 /nfs/dbraw/zinc/35/03/80/1073350380.db2.gz BUEONVYIVQSBOZ-MRXNPFEDSA-N 0 0 439.428 -0.108 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NCCN1CCCS1(=O)=O ZINC000555004205 1073350401 /nfs/dbraw/zinc/35/04/01/1073350401.db2.gz RALXLRNJSZGWKC-UHFFFAOYSA-N 0 0 440.341 -0.121 20 0 IBADRN CN(C(=O)c1cncc(-n2ccnn2)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000555005766 1073350306 /nfs/dbraw/zinc/35/03/06/1073350306.db2.gz AXFIWHAJRSBLCE-UHFFFAOYSA-N 0 0 426.525 -0.027 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1CC ZINC000555009295 1073350003 /nfs/dbraw/zinc/35/00/03/1073350003.db2.gz KRJIHIFBCQJGLQ-UHFFFAOYSA-N 0 0 443.574 -0.085 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000555016846 1073350659 /nfs/dbraw/zinc/35/06/59/1073350659.db2.gz HZQQLCYRAFMGRP-AWEZNQCLSA-N 0 0 437.515 -0.291 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000555016850 1073350834 /nfs/dbraw/zinc/35/08/34/1073350834.db2.gz HZQQLCYRAFMGRP-CQSZACIVSA-N 0 0 437.515 -0.291 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1F ZINC000555023385 1073350798 /nfs/dbraw/zinc/35/07/98/1073350798.db2.gz IYECAPFIIOKTIY-UHFFFAOYSA-N 0 0 438.387 -0.361 20 0 IBADRN COc1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000555023644 1073350712 /nfs/dbraw/zinc/35/07/12/1073350712.db2.gz MNPLLTJKCZLDPA-UHFFFAOYSA-N 0 0 448.448 -0.367 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)nn1C1CCCCC1 ZINC000555025655 1073323923 /nfs/dbraw/zinc/32/39/23/1073323923.db2.gz ATINNTCSIRVSOM-UHFFFAOYSA-N 0 0 426.543 0.068 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000555026331 1073351581 /nfs/dbraw/zinc/35/15/81/1073351581.db2.gz XQGRTYUCFWGVBH-UHFFFAOYSA-N 0 0 429.376 -0.106 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(C4CC4)n(C)n3)CC2)cn1C ZINC000555026870 1073350369 /nfs/dbraw/zinc/35/03/69/1073350369.db2.gz QFUBHJKBRPWKCS-UHFFFAOYSA-N 0 0 435.510 -0.189 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000555026926 1073351717 /nfs/dbraw/zinc/35/17/17/1073351717.db2.gz KMAPLNNFMNLAGS-UHFFFAOYSA-N 0 0 434.421 -0.528 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1 ZINC000555029240 1073351781 /nfs/dbraw/zinc/35/17/81/1073351781.db2.gz FRPXGJDHYCOUFI-UHFFFAOYSA-N 0 0 435.510 -0.306 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000555031654 1073351226 /nfs/dbraw/zinc/35/12/26/1073351226.db2.gz SFFVHGXYEPWTEZ-UHFFFAOYSA-N 0 0 441.506 -0.499 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000555032790 1073351344 /nfs/dbraw/zinc/35/13/44/1073351344.db2.gz JKAKLMFGGFOXMR-KRWDZBQOSA-N 0 0 437.518 -0.076 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000555032791 1073351262 /nfs/dbraw/zinc/35/12/62/1073351262.db2.gz JKAKLMFGGFOXMR-QGZVFWFLSA-N 0 0 437.518 -0.076 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000555033815 1073309356 /nfs/dbraw/zinc/30/93/56/1073309356.db2.gz CDEGBVVHNGYJOI-UHFFFAOYSA-N 0 0 449.489 -0.139 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000555033919 1073351206 /nfs/dbraw/zinc/35/12/06/1073351206.db2.gz HDPACSQMHNHELR-UHFFFAOYSA-N 0 0 427.527 -0.370 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000555037219 1073351175 /nfs/dbraw/zinc/35/11/75/1073351175.db2.gz SNYYXKCZZRCWIQ-UHFFFAOYSA-N 0 0 441.435 -0.352 20 0 IBADRN O=C(NCCc1cn[nH]c1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000555039769 1073351239 /nfs/dbraw/zinc/35/12/39/1073351239.db2.gz ABMFCORECVMGQW-UHFFFAOYSA-N 0 0 425.442 -0.133 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000555040255 1073352093 /nfs/dbraw/zinc/35/20/93/1073352093.db2.gz QCZGHINWLOSOOX-UHFFFAOYSA-N 0 0 428.392 -0.315 20 0 IBADRN CCN(CC)c1ccc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1 ZINC000555040360 1073352122 /nfs/dbraw/zinc/35/21/22/1073352122.db2.gz DKDNIWNMHNKUMH-UHFFFAOYSA-N 0 0 429.481 0.560 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)Nc2ccnn2Cc2ccccc2)n1)N1CCOCC1 ZINC000555041062 1073322347 /nfs/dbraw/zinc/32/23/47/1073322347.db2.gz DAURMCJNABNNHW-UHFFFAOYSA-N 0 0 437.460 0.564 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c(OCC(F)F)c1 ZINC000555042125 1073351974 /nfs/dbraw/zinc/35/19/74/1073351974.db2.gz KRDVMNCPOSWLIL-JTQLQIEISA-N 0 0 425.348 -0.870 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c(OCC(F)F)c1 ZINC000555042127 1073352199 /nfs/dbraw/zinc/35/21/99/1073352199.db2.gz KRDVMNCPOSWLIL-SNVBAGLBSA-N 0 0 425.348 -0.870 20 0 IBADRN COC(=O)c1c(C)csc1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000555042297 1073352172 /nfs/dbraw/zinc/35/21/72/1073352172.db2.gz MWUGKFVARUVTHN-UHFFFAOYSA-N 0 0 435.462 0.476 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)cn1 ZINC000555045556 1073352050 /nfs/dbraw/zinc/35/20/50/1073352050.db2.gz CZCUEHCOXPDOME-UHFFFAOYSA-N 0 0 434.478 -0.935 20 0 IBADRN CN1CCN(C(=O)[C@H]2CC[C@@H](C(=O)N3CCN(C)C(=O)C3)N2Cc2ccccc2)CC1=O ZINC000555045890 1073352163 /nfs/dbraw/zinc/35/21/63/1073352163.db2.gz IUXGVZNFTJPCFD-KDURUIRLSA-N 0 0 441.532 -0.379 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cn1 ZINC000555046975 1073351960 /nfs/dbraw/zinc/35/19/60/1073351960.db2.gz OTYBKLPPDODDCT-UHFFFAOYSA-N 0 0 429.499 -0.614 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000555047274 1073352082 /nfs/dbraw/zinc/35/20/82/1073352082.db2.gz WKMPAIPXWJHNRW-UHFFFAOYSA-N 0 0 428.511 -0.009 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cc(Br)cnc1-n1cccn1 ZINC000555051181 1073352478 /nfs/dbraw/zinc/35/24/78/1073352478.db2.gz GGQTVZQRXKZSHD-UHFFFAOYSA-N 0 0 445.299 -0.024 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000555052650 1073351508 /nfs/dbraw/zinc/35/15/08/1073351508.db2.gz IXOURIPVZXKPLU-UHFFFAOYSA-N 0 0 430.479 -0.101 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c(C)c1S(=O)(=O)NC1CC1 ZINC000555055504 1073353351 /nfs/dbraw/zinc/35/33/51/1073353351.db2.gz UWIUXAKQJVAIER-UHFFFAOYSA-N 0 0 446.551 -0.310 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)ccc1C ZINC000555055591 1073353316 /nfs/dbraw/zinc/35/33/16/1073353316.db2.gz YPJVTNOGJLVNJM-UHFFFAOYSA-N 0 0 448.567 -0.028 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](CO)C1CC1 ZINC000555057678 1073352323 /nfs/dbraw/zinc/35/23/23/1073352323.db2.gz SFVOPGXTVMFTBV-AWEZNQCLSA-N 0 0 441.506 -0.211 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](CO)C1CC1 ZINC000555057741 1073352602 /nfs/dbraw/zinc/35/26/02/1073352602.db2.gz SFVOPGXTVMFTBV-CQSZACIVSA-N 0 0 441.506 -0.211 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000555060141 1073352538 /nfs/dbraw/zinc/35/25/38/1073352538.db2.gz XHIAAWGKUSRVRM-AWEZNQCLSA-N 0 0 427.527 -0.275 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000555060143 1073352557 /nfs/dbraw/zinc/35/25/57/1073352557.db2.gz XHIAAWGKUSRVRM-CQSZACIVSA-N 0 0 427.527 -0.275 20 0 IBADRN CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000555061881 1073352436 /nfs/dbraw/zinc/35/24/36/1073352436.db2.gz XNAHDGNOEWCOSR-CABCVRRESA-N 0 0 443.574 -0.723 20 0 IBADRN CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000555061882 1073352980 /nfs/dbraw/zinc/35/29/80/1073352980.db2.gz XNAHDGNOEWCOSR-GJZGRUSLSA-N 0 0 443.574 -0.723 20 0 IBADRN CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000555061883 1073352939 /nfs/dbraw/zinc/35/29/39/1073352939.db2.gz XNAHDGNOEWCOSR-HUUCEWRRSA-N 0 0 443.574 -0.723 20 0 IBADRN CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000555061884 1073352827 /nfs/dbraw/zinc/35/28/27/1073352827.db2.gz XNAHDGNOEWCOSR-LSDHHAIUSA-N 0 0 443.574 -0.723 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000555062533 1073352883 /nfs/dbraw/zinc/35/28/83/1073352883.db2.gz CMYRJCUCSCWOCR-AWEZNQCLSA-N 0 0 447.485 -0.047 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000555062534 1073352918 /nfs/dbraw/zinc/35/29/18/1073352918.db2.gz CMYRJCUCSCWOCR-CQSZACIVSA-N 0 0 447.485 -0.047 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CNS(=O)(=O)c1cccnc1 ZINC000555063097 1073352864 /nfs/dbraw/zinc/35/28/64/1073352864.db2.gz KMZZNIPJUYZZCN-UHFFFAOYSA-N 0 0 442.519 -0.711 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c(OC)c1 ZINC000555063462 1073353018 /nfs/dbraw/zinc/35/30/18/1073353018.db2.gz SQAKFKNBLMUWIZ-UHFFFAOYSA-N 0 0 439.494 -0.105 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1OC ZINC000555064102 1073352849 /nfs/dbraw/zinc/35/28/49/1073352849.db2.gz IXRSNBBFYFJOSR-AWEZNQCLSA-N 0 0 449.551 -0.089 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1OC ZINC000555064103 1073352926 /nfs/dbraw/zinc/35/29/26/1073352926.db2.gz IXRSNBBFYFJOSR-CQSZACIVSA-N 0 0 449.551 -0.089 20 0 IBADRN CN(C(=O)[C@H]1CC[C@@H](C(=O)N(C)[C@H]2CCNC2=O)N1Cc1ccccc1)[C@H]1CCNC1=O ZINC000555065290 1073353144 /nfs/dbraw/zinc/35/31/44/1073353144.db2.gz IUUMYKGHXXKHQV-CADBVGFASA-N 0 0 441.532 -0.287 20 0 IBADRN CN(C(=O)[C@H]1CC[C@@H](C(=O)N(C)[C@@H]2CCNC2=O)N1Cc1ccccc1)[C@@H]1CCNC1=O ZINC000555065291 1073353310 /nfs/dbraw/zinc/35/33/10/1073353310.db2.gz IUUMYKGHXXKHQV-MKXGPGLRSA-N 0 0 441.532 -0.287 20 0 IBADRN CN(C(=O)[C@H]1CC[C@@H](C(=O)N(C)[C@H]2CCNC2=O)N1Cc1ccccc1)[C@@H]1CCNC1=O ZINC000555065292 1073353229 /nfs/dbraw/zinc/35/32/29/1073353229.db2.gz IUUMYKGHXXKHQV-QGFMHUBQSA-N 0 0 441.532 -0.287 20 0 IBADRN CN(C(=O)[C@@H]1CC[C@H](C(=O)N(C)[C@H]2CCNC2=O)N1Cc1ccccc1)[C@@H]1CCNC1=O ZINC000555065293 1073353326 /nfs/dbraw/zinc/35/33/26/1073353326.db2.gz IUUMYKGHXXKHQV-SEXKYXSUSA-N 0 0 441.532 -0.287 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000555067326 1073353628 /nfs/dbraw/zinc/35/36/28/1073353628.db2.gz FZUDPGDUVICZFE-ZDUSSCGKSA-N 0 0 426.451 -0.394 20 0 IBADRN CCOC(=O)C[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000555067361 1073353660 /nfs/dbraw/zinc/35/36/60/1073353660.db2.gz HLFVIUCVLWCJDP-GFCCVEGCSA-N 0 0 440.478 -0.797 20 0 IBADRN CCOC(=O)C[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000555067362 1073353713 /nfs/dbraw/zinc/35/37/13/1073353713.db2.gz HLFVIUCVLWCJDP-LBPRGKRZSA-N 0 0 440.478 -0.797 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC000555070138 1073354266 /nfs/dbraw/zinc/35/42/66/1073354266.db2.gz LLEHVTQNMDZBKZ-GFCCVEGCSA-N 0 0 435.524 -0.620 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC000555070139 1073354204 /nfs/dbraw/zinc/35/42/04/1073354204.db2.gz LLEHVTQNMDZBKZ-LBPRGKRZSA-N 0 0 435.524 -0.620 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000555070613 1073354089 /nfs/dbraw/zinc/35/40/89/1073354089.db2.gz TYZLPVOTIUYMTH-GFCCVEGCSA-N 0 0 440.341 -0.123 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000555070614 1073354102 /nfs/dbraw/zinc/35/41/02/1073354102.db2.gz TYZLPVOTIUYMTH-LBPRGKRZSA-N 0 0 440.341 -0.123 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1OC ZINC000555074512 1073354115 /nfs/dbraw/zinc/35/41/15/1073354115.db2.gz WYJMDTFIPZDTIU-DOMZBBRYSA-N 0 0 429.495 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1OC ZINC000555074514 1073354275 /nfs/dbraw/zinc/35/42/75/1073354275.db2.gz WYJMDTFIPZDTIU-IUODEOHRSA-N 0 0 429.495 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1OC ZINC000555074517 1073353987 /nfs/dbraw/zinc/35/39/87/1073353987.db2.gz WYJMDTFIPZDTIU-SWLSCSKDSA-N 0 0 429.495 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1OC ZINC000555074519 1073354077 /nfs/dbraw/zinc/35/40/77/1073354077.db2.gz WYJMDTFIPZDTIU-WFASDCNBSA-N 0 0 429.495 -0.266 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000555080245 1073353726 /nfs/dbraw/zinc/35/37/26/1073353726.db2.gz SVJJKYWAMJWMPG-LLVKDONJSA-N 0 0 434.312 -0.221 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000555080246 1073353719 /nfs/dbraw/zinc/35/37/19/1073353719.db2.gz SVJJKYWAMJWMPG-NSHDSACASA-N 0 0 434.312 -0.221 20 0 IBADRN CCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC000555084047 1073354583 /nfs/dbraw/zinc/35/45/83/1073354583.db2.gz WAWGJGHSQPHVIM-UHFFFAOYSA-N 0 0 440.512 -0.175 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC000555084680 1073354536 /nfs/dbraw/zinc/35/45/36/1073354536.db2.gz IBXOFSQBGLDWTB-UHFFFAOYSA-N 0 0 429.564 -0.005 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CCn3ncn(C)c3=O)CC2)c1 ZINC000555087220 1073354903 /nfs/dbraw/zinc/35/49/03/1073354903.db2.gz CRVQWAMAFRCWGX-UHFFFAOYSA-N 0 0 439.494 -0.478 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@](C)(O)CO)CC2)cc1 ZINC000555088703 1073354935 /nfs/dbraw/zinc/35/49/35/1073354935.db2.gz HFEXZKVFPYZWSA-IBGZPJMESA-N 0 0 443.522 -0.832 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@](C)(O)CO)CC2)cc1 ZINC000555088704 1073355371 /nfs/dbraw/zinc/35/53/71/1073355371.db2.gz HFEXZKVFPYZWSA-LJQANCHMSA-N 0 0 443.522 -0.832 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CC ZINC000555089015 1073354909 /nfs/dbraw/zinc/35/49/09/1073354909.db2.gz NIFGLFGWJVPOIE-UHFFFAOYSA-N 0 0 435.529 -0.276 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CNS(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC000555090123 1073354426 /nfs/dbraw/zinc/35/44/26/1073354426.db2.gz LAELJQFMBRGJKD-UHFFFAOYSA-N 0 0 428.471 -0.556 20 0 IBADRN CCn1c([C@H](C)OCCOC)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000555090703 1073354603 /nfs/dbraw/zinc/35/46/03/1073354603.db2.gz MOWPFMGBBHHIAN-HNNXBMFYSA-N 0 0 432.547 -0.279 20 0 IBADRN CCn1c([C@@H](C)OCCOC)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000555090710 1073354546 /nfs/dbraw/zinc/35/45/46/1073354546.db2.gz MOWPFMGBBHHIAN-OAHLLOKOSA-N 0 0 432.547 -0.279 20 0 IBADRN CCn1c(-c2sc(N3CCOCC3)nc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000555098125 1073355354 /nfs/dbraw/zinc/35/53/54/1073355354.db2.gz ZUTNHMJSZMEVAW-CYBMUJFWSA-N 0 0 446.537 -0.286 20 0 IBADRN CCn1c(-c2sc(N3CCOCC3)nc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000555098128 1073355319 /nfs/dbraw/zinc/35/53/19/1073355319.db2.gz ZUTNHMJSZMEVAW-ZDUSSCGKSA-N 0 0 446.537 -0.286 20 0 IBADRN CCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000555098269 1073355329 /nfs/dbraw/zinc/35/53/29/1073355329.db2.gz YSQUWIAFPXFWBG-UHFFFAOYSA-N 0 0 435.456 -0.724 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnc(C(=O)OC(C)(C)C)cn1)C2 ZINC000555108232 1073355428 /nfs/dbraw/zinc/35/54/28/1073355428.db2.gz MWJICPFRVYVAQA-UHFFFAOYSA-N 0 0 431.453 -0.466 20 0 IBADRN CC1(O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)C1 ZINC000555108869 1073355387 /nfs/dbraw/zinc/35/53/87/1073355387.db2.gz YQOLHHJQPIPRDN-UHFFFAOYSA-N 0 0 425.507 -0.249 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)Nc1cnn(Cc2nnc3n2CCCC3)c1 ZINC000555122235 1073356194 /nfs/dbraw/zinc/35/61/94/1073356194.db2.gz SEJDGXHTAUXLLO-UHFFFAOYSA-N 0 0 431.544 -0.118 20 0 IBADRN Cc1ccc2nc(CNC(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)cn2c1 ZINC000555122608 1073355746 /nfs/dbraw/zinc/35/57/46/1073355746.db2.gz XZWJULVHZLSWRR-UHFFFAOYSA-N 0 0 425.449 -0.047 20 0 IBADRN Cn1cnn(CCC(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)c1=O ZINC000555135716 1073356254 /nfs/dbraw/zinc/35/62/54/1073356254.db2.gz JTAKBSNQLAYBIB-UHFFFAOYSA-N 0 0 435.466 -0.913 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(CCN1CCOCC1)c1ccccc1)c(=O)n2C ZINC000555138291 1073356758 /nfs/dbraw/zinc/35/67/58/1073356758.db2.gz RAGIDHALTJYAOY-UHFFFAOYSA-N 0 0 426.477 -0.201 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CCn3ncn(C)c3=O)CC2)c1 ZINC000555138873 1073356768 /nfs/dbraw/zinc/35/67/68/1073356768.db2.gz UETOVHTUWWQADR-UHFFFAOYSA-N 0 0 443.507 -0.647 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555141862 1073356564 /nfs/dbraw/zinc/35/65/64/1073356564.db2.gz FZTRWDYKOXVFDS-UHFFFAOYSA-N 0 0 434.540 -0.602 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000555151512 1073356474 /nfs/dbraw/zinc/35/64/74/1073356474.db2.gz BMJDMQVDIAKWRI-UHFFFAOYSA-N 0 0 447.583 -0.039 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc([C@@H]3COCCO3)n2Cc2ccccc2)CC1 ZINC000555151633 1073356492 /nfs/dbraw/zinc/35/64/92/1073356492.db2.gz AYRZHKWQGVEWDR-KRWDZBQOSA-N 0 0 439.480 -0.250 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc([C@H]3COCCO3)n2Cc2ccccc2)CC1 ZINC000555151634 1073356528 /nfs/dbraw/zinc/35/65/28/1073356528.db2.gz AYRZHKWQGVEWDR-QGZVFWFLSA-N 0 0 439.480 -0.250 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000555157743 1073357133 /nfs/dbraw/zinc/35/71/33/1073357133.db2.gz PZECZRKYHMGSMU-UHFFFAOYSA-N 0 0 439.604 -0.286 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3cccc(S(N)(=O)=O)c3)C2)nn1 ZINC000555159751 1073357282 /nfs/dbraw/zinc/35/72/82/1073357282.db2.gz RXJZJFPCHMYFHM-GFCCVEGCSA-N 0 0 436.450 -0.486 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3cccc(S(N)(=O)=O)c3)C2)nn1 ZINC000555159755 1073357137 /nfs/dbraw/zinc/35/71/37/1073357137.db2.gz RXJZJFPCHMYFHM-LBPRGKRZSA-N 0 0 436.450 -0.486 20 0 IBADRN COC[C@H](O)CNC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000555171181 1073357088 /nfs/dbraw/zinc/35/70/88/1073357088.db2.gz UVIIYPILYNFTMC-CYBMUJFWSA-N 0 0 427.479 -0.107 20 0 IBADRN CN(Cc1nnnn1C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccccc1 ZINC000555183113 1073357096 /nfs/dbraw/zinc/35/70/96/1073357096.db2.gz GCSVVNRTHVCNJJ-HNNXBMFYSA-N 0 0 447.525 -0.181 20 0 IBADRN CN(Cc1nnnn1C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccccc1 ZINC000555183120 1073357126 /nfs/dbraw/zinc/35/71/26/1073357126.db2.gz GCSVVNRTHVCNJJ-OAHLLOKOSA-N 0 0 447.525 -0.181 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@H]4COCCO4)n3CCc3ccccc3)CCN2C1=O ZINC000555200101 1073357524 /nfs/dbraw/zinc/35/75/24/1073357524.db2.gz DHMRSEAPDNQXSD-DLBZAZTESA-N 0 0 426.477 -0.244 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@H]4COCCO4)n3CCc3ccccc3)CCN2C1=O ZINC000555200102 1073357531 /nfs/dbraw/zinc/35/75/31/1073357531.db2.gz DHMRSEAPDNQXSD-IAGOWNOFSA-N 0 0 426.477 -0.244 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@@H]4COCCO4)n3CCc3ccccc3)CCN2C1=O ZINC000555200103 1073357517 /nfs/dbraw/zinc/35/75/17/1073357517.db2.gz DHMRSEAPDNQXSD-IRXDYDNUSA-N 0 0 426.477 -0.244 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@@H]4COCCO4)n3CCc3ccccc3)CCN2C1=O ZINC000555200104 1073357456 /nfs/dbraw/zinc/35/74/56/1073357456.db2.gz DHMRSEAPDNQXSD-SJORKVTESA-N 0 0 426.477 -0.244 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)Nc3cccc(C(=O)NC(N)=O)c3)nc2n(C)c1=O ZINC000555206794 1073353851 /nfs/dbraw/zinc/35/38/51/1073353851.db2.gz TWXKIDYNLLHNGB-UHFFFAOYSA-N 0 0 439.432 0.438 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000555210087 1073357570 /nfs/dbraw/zinc/35/75/70/1073357570.db2.gz WCDNFMWSPDBRKR-UHFFFAOYSA-N 0 0 432.525 -0.141 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000555210894 1073357796 /nfs/dbraw/zinc/35/77/96/1073357796.db2.gz GJRKRHZNCDSSPL-CYBMUJFWSA-N 0 0 448.505 -0.693 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000555210895 1073357840 /nfs/dbraw/zinc/35/78/40/1073357840.db2.gz GJRKRHZNCDSSPL-ZDUSSCGKSA-N 0 0 448.505 -0.693 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1Cl)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000555211098 1073357934 /nfs/dbraw/zinc/35/79/34/1073357934.db2.gz IJIXGMZGAKWBJA-LLVKDONJSA-N 0 0 433.849 -0.297 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1Cl)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000555211099 1073357962 /nfs/dbraw/zinc/35/79/62/1073357962.db2.gz IJIXGMZGAKWBJA-NSHDSACASA-N 0 0 433.849 -0.297 20 0 IBADRN CC(=O)NCCN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C1CCSCC1 ZINC000555218607 1073357911 /nfs/dbraw/zinc/35/79/11/1073357911.db2.gz FDCDEODNSSMBDD-UHFFFAOYSA-N 0 0 431.540 -0.748 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(OC(F)F)nc2)CC1 ZINC000555219734 1073357833 /nfs/dbraw/zinc/35/78/33/1073357833.db2.gz BZWGUDSMHJNYTR-UHFFFAOYSA-N 0 0 439.375 -0.559 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCc2cc(F)ccc21 ZINC000555220289 1073357823 /nfs/dbraw/zinc/35/78/23/1073357823.db2.gz WBTQTEYFFSPWOC-UHFFFAOYSA-N 0 0 426.470 -0.564 20 0 IBADRN O=C(Nc1ccc(OC(F)F)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000555227091 1073358130 /nfs/dbraw/zinc/35/81/30/1073358130.db2.gz PJRPUDOFYQTPCY-UHFFFAOYSA-N 0 0 425.392 -0.085 20 0 IBADRN CN(C)c1nc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cc(=O)[nH]1 ZINC000555234110 1073358240 /nfs/dbraw/zinc/35/82/40/1073358240.db2.gz CMYGXRTYLJBNQZ-UHFFFAOYSA-N 0 0 435.510 -0.475 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)CC2)nc1 ZINC000555234115 1073358303 /nfs/dbraw/zinc/35/83/03/1073358303.db2.gz CPKNZMKWUUFZJD-UHFFFAOYSA-N 0 0 445.501 -0.162 20 0 IBADRN COC(=O)c1csc(Sc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)n1 ZINC000555251291 1073358173 /nfs/dbraw/zinc/35/81/73/1073358173.db2.gz VJMITBNGZLBVPO-QYVSTXNMSA-N 0 0 425.448 -0.168 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)Nc1ccn(C)n1 ZINC000555251988 1073344216 /nfs/dbraw/zinc/34/42/16/1073344216.db2.gz LHJQOLVPGJPSID-UHFFFAOYSA-N 0 0 437.478 0.417 20 0 IBADRN CC(=O)NCCN(Cc1ccc(C)s1)C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000555261692 1073307734 /nfs/dbraw/zinc/30/77/34/1073307734.db2.gz ZXQZCJNPUDVQIL-UHFFFAOYSA-N 0 0 434.522 0.445 20 0 IBADRN CCO[C@@H]1C[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)[C@H]1n1cccn1 ZINC000555262885 1073359031 /nfs/dbraw/zinc/35/90/31/1073359031.db2.gz YZMANLLWHJORSN-GKRTUSJQSA-N 0 0 431.453 -0.535 20 0 IBADRN CCO[C@@H]1C[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)[C@H]1n1cccn1 ZINC000555262886 1073359184 /nfs/dbraw/zinc/35/91/84/1073359184.db2.gz YZMANLLWHJORSN-LVZRWCNZSA-N 0 0 431.453 -0.535 20 0 IBADRN CCO[C@@H]1C[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)[C@@H]1n1cccn1 ZINC000555262887 1073359023 /nfs/dbraw/zinc/35/90/23/1073359023.db2.gz YZMANLLWHJORSN-OBPVBDTMSA-N 0 0 431.453 -0.535 20 0 IBADRN CCO[C@@H]1C[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)[C@@H]1n1cccn1 ZINC000555262888 1073359145 /nfs/dbraw/zinc/35/91/45/1073359145.db2.gz YZMANLLWHJORSN-VTOJLOCLSA-N 0 0 431.453 -0.535 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000555266560 1073359095 /nfs/dbraw/zinc/35/90/95/1073359095.db2.gz YQTZLNXPHKCLNG-INIZCTEOSA-N 0 0 437.522 -0.903 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000555266561 1073359038 /nfs/dbraw/zinc/35/90/38/1073359038.db2.gz YQTZLNXPHKCLNG-MRXNPFEDSA-N 0 0 437.522 -0.903 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1=O ZINC000555282739 1073359648 /nfs/dbraw/zinc/35/96/48/1073359648.db2.gz CJBJXXALGHGEJQ-UHFFFAOYSA-N 0 0 431.493 -0.784 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CC1=O ZINC000555283076 1073359502 /nfs/dbraw/zinc/35/95/02/1073359502.db2.gz SSGGTUDXVABJBJ-UHFFFAOYSA-N 0 0 435.462 -0.511 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cccc(CN3CCN(C(C)=O)CC3)c2)CC1=O ZINC000555283122 1073359529 /nfs/dbraw/zinc/35/95/29/1073359529.db2.gz BUFGWLMPQVVDPR-UHFFFAOYSA-N 0 0 431.493 -0.436 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1=O ZINC000555283226 1073359642 /nfs/dbraw/zinc/35/96/42/1073359642.db2.gz VRPCDUUWRJQKIL-UHFFFAOYSA-N 0 0 433.465 -0.830 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CC1=O ZINC000555283593 1073359626 /nfs/dbraw/zinc/35/96/26/1073359626.db2.gz TUKAZOFOUKOXBV-UHFFFAOYSA-N 0 0 447.492 -0.704 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C[C@H]3CCCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000555284712 1073359522 /nfs/dbraw/zinc/35/95/22/1073359522.db2.gz HYRHDXWXSLTCCL-BZUAXINKSA-N 0 0 437.584 -0.301 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C[C@@H]3CCCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000555284713 1073359667 /nfs/dbraw/zinc/35/96/67/1073359667.db2.gz HYRHDXWXSLTCCL-OAGGEKHMSA-N 0 0 437.584 -0.301 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C[C@H]3CCCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000555284714 1073359596 /nfs/dbraw/zinc/35/95/96/1073359596.db2.gz HYRHDXWXSLTCCL-OWCLPIDISA-N 0 0 437.584 -0.301 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C[C@@H]3CCCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000555284715 1073359494 /nfs/dbraw/zinc/35/94/94/1073359494.db2.gz HYRHDXWXSLTCCL-XHSDSOJGSA-N 0 0 437.584 -0.301 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000555285607 1073359486 /nfs/dbraw/zinc/35/94/86/1073359486.db2.gz YKKKSQLQTIVCRB-UHFFFAOYSA-N 0 0 447.461 -0.678 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnn(-c4ccccn4)c3N)CC2)CC1 ZINC000555286063 1073359672 /nfs/dbraw/zinc/35/96/72/1073359672.db2.gz CQAYVGDCXPZKHH-UHFFFAOYSA-N 0 0 434.526 -0.901 20 0 IBADRN CC(C)C(=O)Nc1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccn1 ZINC000555286297 1073359539 /nfs/dbraw/zinc/35/95/39/1073359539.db2.gz JYLTWJTYKVIKMP-UHFFFAOYSA-N 0 0 438.554 -0.074 20 0 IBADRN O=C(NCCCOC(F)F)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000555290829 1073361067 /nfs/dbraw/zinc/36/10/67/1073361067.db2.gz WTWZKCQCKWJKCB-UHFFFAOYSA-N 0 0 434.421 -0.509 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000555296272 1073360907 /nfs/dbraw/zinc/36/09/07/1073360907.db2.gz NUNXPMUEZCAHHC-IYBDPMFKSA-N 0 0 432.477 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000555296851 1073360866 /nfs/dbraw/zinc/36/08/66/1073360866.db2.gz VUPSXOJPJZSKPP-BETUJISGSA-N 0 0 425.463 -0.299 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(C(F)(F)F)n1 ZINC000555296928 1073360959 /nfs/dbraw/zinc/36/09/59/1073360959.db2.gz KPNBQEDLNFYEFZ-NXEZZACHSA-N 0 0 432.446 -0.785 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1S(=O)(=O)NC1CC1 ZINC000555296929 1073361019 /nfs/dbraw/zinc/36/10/19/1073361019.db2.gz YZROJINLIJHVAH-OKILXGFUSA-N 0 0 425.463 -0.299 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(C(F)(F)F)n1 ZINC000555296933 1073361076 /nfs/dbraw/zinc/36/10/76/1073361076.db2.gz KPNBQEDLNFYEFZ-UWVGGRQHSA-N 0 0 432.446 -0.785 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(C(F)(F)F)n1 ZINC000555296936 1073360878 /nfs/dbraw/zinc/36/08/78/1073360878.db2.gz KPNBQEDLNFYEFZ-VHSXEESVSA-N 0 0 432.446 -0.785 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(C(F)(F)F)n1 ZINC000555296938 1073361036 /nfs/dbraw/zinc/36/10/36/1073361036.db2.gz KPNBQEDLNFYEFZ-ZJUUUORDSA-N 0 0 432.446 -0.785 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@H]2CCCN(C(=O)Cc3ccccc3)C2)n1 ZINC000555300378 1073360503 /nfs/dbraw/zinc/36/05/03/1073360503.db2.gz FXNLHQSBDYHADI-KRWDZBQOSA-N 0 0 440.504 0.260 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)Cc3ccccc3)C2)n1 ZINC000555300381 1073303959 /nfs/dbraw/zinc/30/39/59/1073303959.db2.gz FXNLHQSBDYHADI-QGZVFWFLSA-N 0 0 440.504 0.260 20 0 IBADRN CNC(=O)NC1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CCOCC3)cc2)C1 ZINC000555300548 1073360172 /nfs/dbraw/zinc/36/01/72/1073360172.db2.gz IWBQSZONOHBWIQ-UHFFFAOYSA-N 0 0 439.494 -0.778 20 0 IBADRN O=C(CCN1CCN(c2ccccn2)CC1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000555302163 1073360447 /nfs/dbraw/zinc/36/04/47/1073360447.db2.gz ZCYICHGHLAVJJR-MSOLQXFVSA-N 0 0 437.566 -0.792 20 0 IBADRN O=C(CCN1CCN(c2ccccn2)CC1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000555302164 1073360488 /nfs/dbraw/zinc/36/04/88/1073360488.db2.gz ZCYICHGHLAVJJR-QZTJIDSGSA-N 0 0 437.566 -0.792 20 0 IBADRN O=C(CCN1CCN(c2ccccn2)CC1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000555302165 1073360607 /nfs/dbraw/zinc/36/06/07/1073360607.db2.gz ZCYICHGHLAVJJR-ROUUACIJSA-N 0 0 437.566 -0.792 20 0 IBADRN O=C(CCN1CCN(c2ccccn2)CC1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000555302167 1073360581 /nfs/dbraw/zinc/36/05/81/1073360581.db2.gz ZCYICHGHLAVJJR-ZWKOTPCHSA-N 0 0 437.566 -0.792 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)cc1 ZINC000555302430 1073360455 /nfs/dbraw/zinc/36/04/55/1073360455.db2.gz CZGDZUUTOVPPCQ-CVEARBPZSA-N 0 0 430.461 -0.274 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)cc1 ZINC000555302431 1073360493 /nfs/dbraw/zinc/36/04/93/1073360493.db2.gz CZGDZUUTOVPPCQ-HOTGVXAUSA-N 0 0 430.461 -0.274 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)cc1 ZINC000555302432 1073360589 /nfs/dbraw/zinc/36/05/89/1073360589.db2.gz CZGDZUUTOVPPCQ-HZPDHXFCSA-N 0 0 430.461 -0.274 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)cc1 ZINC000555302433 1073360556 /nfs/dbraw/zinc/36/05/56/1073360556.db2.gz CZGDZUUTOVPPCQ-JKSUJKDBSA-N 0 0 430.461 -0.274 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)n1)N1CCCCCC1 ZINC000555303019 1073323129 /nfs/dbraw/zinc/32/31/29/1073323129.db2.gz NPAUHYQKBYVLFS-CABCVRRESA-N 0 0 431.497 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)n1)N1CCCCCC1 ZINC000555303020 1073323039 /nfs/dbraw/zinc/32/30/39/1073323039.db2.gz NPAUHYQKBYVLFS-GJZGRUSLSA-N 0 0 431.497 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)n1)N1CCCCCC1 ZINC000555303021 1073360482 /nfs/dbraw/zinc/36/04/82/1073360482.db2.gz NPAUHYQKBYVLFS-HUUCEWRRSA-N 0 0 431.497 -0.111 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)n1)N1CCCCCC1 ZINC000555303022 1073323029 /nfs/dbraw/zinc/32/30/29/1073323029.db2.gz NPAUHYQKBYVLFS-LSDHHAIUSA-N 0 0 431.497 -0.111 20 0 IBADRN CCOCCCn1c(COCC)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555303929 1073360597 /nfs/dbraw/zinc/36/05/97/1073360597.db2.gz YBFCRNVTSCZRPC-UHFFFAOYSA-N 0 0 438.529 -0.251 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)cc1C(N)=O ZINC000555304143 1073360633 /nfs/dbraw/zinc/36/06/33/1073360633.db2.gz ASNUICXPACHMPE-CYBMUJFWSA-N 0 0 441.444 -0.076 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)cc1C(N)=O ZINC000555304145 1073360566 /nfs/dbraw/zinc/36/05/66/1073360566.db2.gz ASNUICXPACHMPE-ZDUSSCGKSA-N 0 0 441.444 -0.076 20 0 IBADRN CCOCCCn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000555308452 1073361391 /nfs/dbraw/zinc/36/13/91/1073361391.db2.gz NVPSSRDXMWHMOM-UHFFFAOYSA-N 0 0 435.529 -0.230 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1=O ZINC000555310059 1073361332 /nfs/dbraw/zinc/36/13/32/1073361332.db2.gz IUPIEOZKLRDGSA-UHFFFAOYSA-N 0 0 434.449 -0.863 20 0 IBADRN CC(C)n1ccc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000555310109 1073324466 /nfs/dbraw/zinc/32/44/66/1073324466.db2.gz HHBPQASOJIQUIZ-UHFFFAOYSA-N 0 0 434.478 0.162 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)cc(S(C)(=O)=O)c1 ZINC000555311472 1073361372 /nfs/dbraw/zinc/36/13/72/1073361372.db2.gz ROLKIWQFEKQZAT-UHFFFAOYSA-N 0 0 441.462 -0.520 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC(C)(C)C)c2)CC1=O ZINC000555311703 1073361343 /nfs/dbraw/zinc/36/13/43/1073361343.db2.gz CHKYJQSDXIRXDH-UHFFFAOYSA-N 0 0 426.495 -0.023 20 0 IBADRN CCOCCCn1c([C@@H]2COCCO2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000555312380 1073361622 /nfs/dbraw/zinc/36/16/22/1073361622.db2.gz DQPGBTGZXOVSCZ-INIZCTEOSA-N 0 0 438.529 -0.034 20 0 IBADRN CCOCCCn1c([C@H]2COCCO2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000555312383 1073361668 /nfs/dbraw/zinc/36/16/68/1073361668.db2.gz DQPGBTGZXOVSCZ-MRXNPFEDSA-N 0 0 438.529 -0.034 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N(C)C)c2)CC1=O ZINC000555312471 1073361312 /nfs/dbraw/zinc/36/13/12/1073361312.db2.gz FNRWFIPBLDVXPU-UHFFFAOYSA-N 0 0 432.886 -0.197 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000555317689 1073361059 /nfs/dbraw/zinc/36/10/59/1073361059.db2.gz WSINIEYALUUQBS-KCQAQPDRSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000555317690 1073360844 /nfs/dbraw/zinc/36/08/44/1073360844.db2.gz WSINIEYALUUQBS-UMVBOHGHSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000555317691 1073360975 /nfs/dbraw/zinc/36/09/75/1073360975.db2.gz WSINIEYALUUQBS-VNHYZAJKSA-N 0 0 429.495 -0.454 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000555317968 1073361050 /nfs/dbraw/zinc/36/10/50/1073361050.db2.gz JTKKHRHMXOFPQO-GFCCVEGCSA-N 0 0 435.886 -0.577 20 0 IBADRN CCOCCCn1c(COCC)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000555320213 1073361301 /nfs/dbraw/zinc/36/13/01/1073361301.db2.gz BLVDXDLSWAAMQD-UHFFFAOYSA-N 0 0 446.574 -0.060 20 0 IBADRN Cc1ccc(-n2nccc2NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)nn1 ZINC000555321329 1073362192 /nfs/dbraw/zinc/36/21/92/1073362192.db2.gz YISTYRWJQJUKHJ-UHFFFAOYSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1-n1cnnn1 ZINC000555321714 1073362205 /nfs/dbraw/zinc/36/22/05/1073362205.db2.gz JKFULASYHBZBNO-UHFFFAOYSA-N 0 0 433.494 -0.064 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000555322004 1073362018 /nfs/dbraw/zinc/36/20/18/1073362018.db2.gz ORKJWYWARZFFNI-UHFFFAOYSA-N 0 0 437.457 -0.234 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000555323870 1073361643 /nfs/dbraw/zinc/36/16/43/1073361643.db2.gz OTLRBITYRAUUCY-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)ccc1OC ZINC000555330134 1073361612 /nfs/dbraw/zinc/36/16/12/1073361612.db2.gz HPFGAINFSCFDRA-OKILXGFUSA-N 0 0 443.478 -0.815 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H](O)COC)c2)CC1 ZINC000555330679 1073361940 /nfs/dbraw/zinc/36/19/40/1073361940.db2.gz CKBGVCGDIWLVJZ-OAHLLOKOSA-N 0 0 428.511 -0.925 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000555331911 1073362089 /nfs/dbraw/zinc/36/20/89/1073362089.db2.gz DSMUVNXKVZJHSU-GASCZTMLSA-N 0 0 425.463 -0.728 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000555338190 1073362469 /nfs/dbraw/zinc/36/24/69/1073362469.db2.gz OZAXAKGNUOKAGF-SECBINFHSA-N 0 0 428.389 -0.492 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000555338191 1073362595 /nfs/dbraw/zinc/36/25/95/1073362595.db2.gz OZAXAKGNUOKAGF-VIFPVBQESA-N 0 0 428.389 -0.492 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000555348709 1073362479 /nfs/dbraw/zinc/36/24/79/1073362479.db2.gz DKTITZCTBNVSTJ-UHFFFAOYSA-N 0 0 440.588 -0.649 20 0 IBADRN CC(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000555356805 1073362606 /nfs/dbraw/zinc/36/26/06/1073362606.db2.gz OKUBUZHHHSKGSM-UHFFFAOYSA-N 0 0 445.528 -0.363 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc(Cc3ccccn3)n2C[C@@H]2CCCO2)CC1 ZINC000555371999 1073362922 /nfs/dbraw/zinc/36/29/22/1073362922.db2.gz BTYSVLVXTVYHKO-KRWDZBQOSA-N 0 0 438.496 -0.222 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc(Cc3ccccn3)n2C[C@H]2CCCO2)CC1 ZINC000555372000 1073363056 /nfs/dbraw/zinc/36/30/56/1073363056.db2.gz BTYSVLVXTVYHKO-QGZVFWFLSA-N 0 0 438.496 -0.222 20 0 IBADRN COC[C@@H](C(N)=O)N(C)S(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000555374095 1073363048 /nfs/dbraw/zinc/36/30/48/1073363048.db2.gz WZMSFJQUCIDNAR-NSHDSACASA-N 0 0 435.300 -0.240 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@@H]1CCCO1 ZINC000555390935 1073363251 /nfs/dbraw/zinc/36/32/51/1073363251.db2.gz CAWPBRCDBBZBIZ-INIZCTEOSA-N 0 0 444.558 -0.307 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@H]1CCCO1 ZINC000555390945 1073363299 /nfs/dbraw/zinc/36/32/99/1073363299.db2.gz CAWPBRCDBBZBIZ-MRXNPFEDSA-N 0 0 444.558 -0.307 20 0 IBADRN NS(=O)(=O)CCCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000555393463 1073363280 /nfs/dbraw/zinc/36/32/80/1073363280.db2.gz APCNLGRBIJODIP-UHFFFAOYSA-N 0 0 425.554 -0.182 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCOCC3)CC2)n1C[C@@H]1CCCO1 ZINC000555393797 1073363363 /nfs/dbraw/zinc/36/33/63/1073363363.db2.gz XXKWEUJAQRMUBM-KRWDZBQOSA-N 0 0 449.556 -0.424 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCOCC3)CC2)n1C[C@H]1CCCO1 ZINC000555393798 1073363289 /nfs/dbraw/zinc/36/32/89/1073363289.db2.gz XXKWEUJAQRMUBM-QGZVFWFLSA-N 0 0 449.556 -0.424 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCCS(N)(=O)=O)c(OC)c1 ZINC000555397998 1073363756 /nfs/dbraw/zinc/36/37/56/1073363756.db2.gz AXWPTXGDCWAAEY-UHFFFAOYSA-N 0 0 429.542 -0.196 20 0 IBADRN NS(=O)(=O)CCCCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000555400216 1073363691 /nfs/dbraw/zinc/36/36/91/1073363691.db2.gz YTHDCRDUDMZXOQ-UHFFFAOYSA-N 0 0 425.554 -0.182 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@@H]2CCCO2)n1 ZINC000555400269 1073363557 /nfs/dbraw/zinc/36/35/57/1073363557.db2.gz NWPMRKSCWARRSP-CVEARBPZSA-N 0 0 428.497 -0.534 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@@H]2CCCO2)n1 ZINC000555400276 1073363594 /nfs/dbraw/zinc/36/35/94/1073363594.db2.gz NWPMRKSCWARRSP-HOTGVXAUSA-N 0 0 428.497 -0.534 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@H]2CCCO2)n1 ZINC000555400278 1073363615 /nfs/dbraw/zinc/36/36/15/1073363615.db2.gz NWPMRKSCWARRSP-HZPDHXFCSA-N 0 0 428.497 -0.534 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@H]2CCCO2)n1 ZINC000555400282 1073363572 /nfs/dbraw/zinc/36/35/72/1073363572.db2.gz NWPMRKSCWARRSP-JKSUJKDBSA-N 0 0 428.497 -0.534 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCCO2)CC1 ZINC000555401530 1073363961 /nfs/dbraw/zinc/36/39/61/1073363961.db2.gz XMUHVZQGBNOXHX-INIZCTEOSA-N 0 0 437.545 -0.520 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCCO2)CC1 ZINC000555401531 1073364142 /nfs/dbraw/zinc/36/41/42/1073364142.db2.gz XMUHVZQGBNOXHX-MRXNPFEDSA-N 0 0 437.545 -0.520 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCCO2)CC1 ZINC000555402181 1073363679 /nfs/dbraw/zinc/36/36/79/1073363679.db2.gz GEXDDURFPASHTC-INIZCTEOSA-N 0 0 447.540 -0.134 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCCO2)CC1 ZINC000555402182 1073363795 /nfs/dbraw/zinc/36/37/95/1073363795.db2.gz GEXDDURFPASHTC-MRXNPFEDSA-N 0 0 447.540 -0.134 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(=O)NC4CC4)CC3)n2C[C@@H]2CCCO2)cn1 ZINC000555403846 1073363605 /nfs/dbraw/zinc/36/36/05/1073363605.db2.gz GZWSYHIFDHJXQN-INIZCTEOSA-N 0 0 428.497 -0.215 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(=O)NC4CC4)CC3)n2C[C@H]2CCCO2)cn1 ZINC000555403847 1073363716 /nfs/dbraw/zinc/36/37/16/1073363716.db2.gz GZWSYHIFDHJXQN-MRXNPFEDSA-N 0 0 428.497 -0.215 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCNC(=O)[C@@H]2Cc2ccccc2)CC1 ZINC000555405382 1073364127 /nfs/dbraw/zinc/36/41/27/1073364127.db2.gz OMADRZLCVYOVBT-INIZCTEOSA-N 0 0 430.552 -0.758 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCNC(=O)[C@H]2Cc2ccccc2)CC1 ZINC000555405385 1073364014 /nfs/dbraw/zinc/36/40/14/1073364014.db2.gz OMADRZLCVYOVBT-MRXNPFEDSA-N 0 0 430.552 -0.758 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000555406885 1073364329 /nfs/dbraw/zinc/36/43/29/1073364329.db2.gz FDMWQOVLEFJRAM-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000555411534 1073363891 /nfs/dbraw/zinc/36/38/91/1073363891.db2.gz TUBIRTPAXZMNOW-CYBMUJFWSA-N 0 0 436.508 -0.749 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000555411535 1073363904 /nfs/dbraw/zinc/36/39/04/1073363904.db2.gz TUBIRTPAXZMNOW-ZDUSSCGKSA-N 0 0 436.508 -0.749 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000555412558 1073364064 /nfs/dbraw/zinc/36/40/64/1073364064.db2.gz BGEIDJCIIVUXBI-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000555412691 1073363913 /nfs/dbraw/zinc/36/39/13/1073363913.db2.gz IJFKCEWQYOGCGK-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(S(=O)(=O)NC)CC1 ZINC000555412898 1073364026 /nfs/dbraw/zinc/36/40/26/1073364026.db2.gz UIHNJRQKYJVAJF-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C(C)C)cc1 ZINC000555421693 1073364481 /nfs/dbraw/zinc/36/44/81/1073364481.db2.gz GHIGJGYNYFNSBG-SFHVURJKSA-N 0 0 449.537 -0.345 20 0 IBADRN Cc1c(Cl)cccc1NC(=O)CNC(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000555426755 1073364654 /nfs/dbraw/zinc/36/46/54/1073364654.db2.gz XLQJEERYXOGZLQ-CYBMUJFWSA-N 0 0 444.941 -0.062 20 0 IBADRN Cc1c(Cl)cccc1NC(=O)CNC(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000555426756 1073364633 /nfs/dbraw/zinc/36/46/33/1073364633.db2.gz XLQJEERYXOGZLQ-ZDUSSCGKSA-N 0 0 444.941 -0.062 20 0 IBADRN NC(=O)c1ccc(N2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)nc1 ZINC000555433020 1073364692 /nfs/dbraw/zinc/36/46/92/1073364692.db2.gz SQILTQIFWMRIPJ-UHFFFAOYSA-N 0 0 444.517 -0.461 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000555444031 1073365061 /nfs/dbraw/zinc/36/50/61/1073365061.db2.gz CTCLQGWEKQGVJU-DLBZAZTESA-N 0 0 448.524 -0.674 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000555444032 1073365175 /nfs/dbraw/zinc/36/51/75/1073365175.db2.gz CTCLQGWEKQGVJU-IAGOWNOFSA-N 0 0 448.524 -0.674 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000555444033 1073364987 /nfs/dbraw/zinc/36/49/87/1073364987.db2.gz CTCLQGWEKQGVJU-IRXDYDNUSA-N 0 0 448.524 -0.674 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000555444034 1073365416 /nfs/dbraw/zinc/36/54/16/1073365416.db2.gz CTCLQGWEKQGVJU-SJORKVTESA-N 0 0 448.524 -0.674 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000555444746 1073365119 /nfs/dbraw/zinc/36/51/19/1073365119.db2.gz ROHRHQQDCAENIK-UHFFFAOYSA-N 0 0 426.495 -0.048 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(-c3ccc[nH]3)n1C[C@@H]1CCOC1)C2 ZINC000555448705 1073365689 /nfs/dbraw/zinc/36/56/89/1073365689.db2.gz DBONTNYLCSZHLH-AWEZNQCLSA-N 0 0 441.496 -0.224 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(-c3ccc[nH]3)n1C[C@H]1CCOC1)C2 ZINC000555448712 1073365768 /nfs/dbraw/zinc/36/57/68/1073365768.db2.gz DBONTNYLCSZHLH-CQSZACIVSA-N 0 0 441.496 -0.224 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@H]1CCCCO1 ZINC000555462691 1073365997 /nfs/dbraw/zinc/36/59/97/1073365997.db2.gz MHVWZLMTXDHNDV-INIZCTEOSA-N 0 0 447.540 -0.087 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@H]1CCCCO1 ZINC000555462693 1073365978 /nfs/dbraw/zinc/36/59/78/1073365978.db2.gz MHVWZLMTXDHNDV-MRXNPFEDSA-N 0 0 447.540 -0.087 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CSCN2C1=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000555468258 1073366518 /nfs/dbraw/zinc/36/65/18/1073366518.db2.gz YBCHMWPCWJWDJG-HNNXBMFYSA-N 0 0 438.531 -0.002 20 0 IBADRN O=C(CN1C(=O)[C@H]2CSCN2C1=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000555468260 1073366284 /nfs/dbraw/zinc/36/62/84/1073366284.db2.gz YBCHMWPCWJWDJG-OAHLLOKOSA-N 0 0 438.531 -0.002 20 0 IBADRN CCOCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@@H]1CCCCO1 ZINC000555479292 1073366479 /nfs/dbraw/zinc/36/64/79/1073366479.db2.gz KFXVQHGKGVTZCX-HNNXBMFYSA-N 0 0 448.528 -0.150 20 0 IBADRN CCOCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@H]1CCCCO1 ZINC000555479305 1073366380 /nfs/dbraw/zinc/36/63/80/1073366380.db2.gz KFXVQHGKGVTZCX-OAHLLOKOSA-N 0 0 448.528 -0.150 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)CN4CCOCC4)CC3)n2C[C@@H]2CCOC2)cn1 ZINC000555489304 1073366527 /nfs/dbraw/zinc/36/65/27/1073366527.db2.gz UOQUNSARMXWIDE-KRWDZBQOSA-N 0 0 444.540 -0.304 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)CN4CCOCC4)CC3)n2C[C@H]2CCOC2)cn1 ZINC000555489307 1073366721 /nfs/dbraw/zinc/36/67/21/1073366721.db2.gz UOQUNSARMXWIDE-QGZVFWFLSA-N 0 0 444.540 -0.304 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(=O)N4CCCC4)CC3)n2C[C@@H]2CCOC2)cn1 ZINC000555492763 1073366883 /nfs/dbraw/zinc/36/68/83/1073366883.db2.gz IGNYJEOWPIPUDF-INIZCTEOSA-N 0 0 442.524 -0.014 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(=O)N4CCCC4)CC3)n2C[C@H]2CCOC2)cn1 ZINC000555492769 1073366742 /nfs/dbraw/zinc/36/67/42/1073366742.db2.gz IGNYJEOWPIPUDF-MRXNPFEDSA-N 0 0 442.524 -0.014 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc(CC3CC3)n2C[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000555494187 1073366795 /nfs/dbraw/zinc/36/67/95/1073366795.db2.gz JLHIIWLHXYWQSR-KRWDZBQOSA-N 0 0 432.525 -0.226 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc(CC3CC3)n2C[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000555494188 1073366919 /nfs/dbraw/zinc/36/69/19/1073366919.db2.gz JLHIIWLHXYWQSR-QGZVFWFLSA-N 0 0 432.525 -0.226 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3nccn(C)c3=O)CC2)n1C[C@@H]1CCOC1 ZINC000555495170 1073366893 /nfs/dbraw/zinc/36/68/93/1073366893.db2.gz OOGWTXXQNYNUMC-HNNXBMFYSA-N 0 0 430.513 -0.127 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3nccn(C)c3=O)CC2)n1C[C@H]1CCOC1 ZINC000555495175 1073366912 /nfs/dbraw/zinc/36/69/12/1073366912.db2.gz OOGWTXXQNYNUMC-OAHLLOKOSA-N 0 0 430.513 -0.127 20 0 IBADRN C[C@H]1CCO[C@H]1c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@H]1CCOC1 ZINC000555504833 1073367115 /nfs/dbraw/zinc/36/71/15/1073367115.db2.gz RNQJLPZZJCWZJI-YQQAZPJKSA-N 0 0 431.501 -0.253 20 0 IBADRN C[C@H]1CCO[C@H]1c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1CCOC1 ZINC000555504834 1073367261 /nfs/dbraw/zinc/36/72/61/1073367261.db2.gz RNQJLPZZJCWZJI-ZMSDIMECSA-N 0 0 431.501 -0.253 20 0 IBADRN CC(C)Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@@H]1CCOC1 ZINC000555511254 1073367148 /nfs/dbraw/zinc/36/71/48/1073367148.db2.gz MLPNAJIULQVEIL-HNNXBMFYSA-N 0 0 432.529 -0.020 20 0 IBADRN CC(C)Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@H]1CCOC1 ZINC000555511256 1073367213 /nfs/dbraw/zinc/36/72/13/1073367213.db2.gz MLPNAJIULQVEIL-OAHLLOKOSA-N 0 0 432.529 -0.020 20 0 IBADRN O=C(CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000555512401 1073367058 /nfs/dbraw/zinc/36/70/58/1073367058.db2.gz AKDNQMRBQXOTRM-MSOLQXFVSA-N 0 0 434.541 -0.201 20 0 IBADRN O=C(CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000555512409 1073367202 /nfs/dbraw/zinc/36/72/02/1073367202.db2.gz AKDNQMRBQXOTRM-QZTJIDSGSA-N 0 0 434.541 -0.201 20 0 IBADRN O=C(CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000555512412 1073367223 /nfs/dbraw/zinc/36/72/23/1073367223.db2.gz AKDNQMRBQXOTRM-ROUUACIJSA-N 0 0 434.541 -0.201 20 0 IBADRN O=C(CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000555512413 1073367267 /nfs/dbraw/zinc/36/72/67/1073367267.db2.gz AKDNQMRBQXOTRM-ZWKOTPCHSA-N 0 0 434.541 -0.201 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000555517597 1073367595 /nfs/dbraw/zinc/36/75/95/1073367595.db2.gz UWAJWJLCIPJTSO-AWEZNQCLSA-N 0 0 427.509 -0.300 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000555517598 1073367533 /nfs/dbraw/zinc/36/75/33/1073367533.db2.gz UWAJWJLCIPJTSO-CQSZACIVSA-N 0 0 427.509 -0.300 20 0 IBADRN COCCN1C[C@H](C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)CC1=O ZINC000555518653 1073367576 /nfs/dbraw/zinc/36/75/76/1073367576.db2.gz LNGWEMGXTSTKQY-DLBZAZTESA-N 0 0 446.552 -0.556 20 0 IBADRN COCCN1C[C@H](C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)CC1=O ZINC000555518654 1073367615 /nfs/dbraw/zinc/36/76/15/1073367615.db2.gz LNGWEMGXTSTKQY-IAGOWNOFSA-N 0 0 446.552 -0.556 20 0 IBADRN COCCN1C[C@@H](C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)CC1=O ZINC000555518655 1073367458 /nfs/dbraw/zinc/36/74/58/1073367458.db2.gz LNGWEMGXTSTKQY-IRXDYDNUSA-N 0 0 446.552 -0.556 20 0 IBADRN COCCN1C[C@@H](C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)CC1=O ZINC000555518656 1073367673 /nfs/dbraw/zinc/36/76/73/1073367673.db2.gz LNGWEMGXTSTKQY-SJORKVTESA-N 0 0 446.552 -0.556 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000555521208 1073367687 /nfs/dbraw/zinc/36/76/87/1073367687.db2.gz RMVDCSOTPWRQQB-INIZCTEOSA-N 0 0 442.520 -0.175 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000555521221 1073367553 /nfs/dbraw/zinc/36/75/53/1073367553.db2.gz RMVDCSOTPWRQQB-MRXNPFEDSA-N 0 0 442.520 -0.175 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)COc3cc(Br)ccc32)CC1 ZINC000555527107 1073367420 /nfs/dbraw/zinc/36/74/20/1073367420.db2.gz VLRLJQLNAKIXTB-UHFFFAOYSA-N 0 0 447.311 -0.217 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(C2N=NC(=O)O2)CC1 ZINC000555527195 1073367389 /nfs/dbraw/zinc/36/73/89/1073367389.db2.gz YAIMPHLSEVOIEN-UHFFFAOYSA-N 0 0 435.462 -0.085 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)C1 ZINC000555528030 1073368007 /nfs/dbraw/zinc/36/80/07/1073368007.db2.gz XNYBAVVLZHJFBV-AWEZNQCLSA-N 0 0 442.604 -0.214 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)C1 ZINC000555528031 1073367946 /nfs/dbraw/zinc/36/79/46/1073367946.db2.gz XNYBAVVLZHJFBV-CQSZACIVSA-N 0 0 442.604 -0.214 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000555531347 1073367965 /nfs/dbraw/zinc/36/79/65/1073367965.db2.gz RSZNZXDPYCFRAV-UHFFFAOYSA-N 0 0 433.509 -0.273 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H](CO)CN3CCOCC3)CC2)cc1 ZINC000555533974 1073367907 /nfs/dbraw/zinc/36/79/07/1073367907.db2.gz LVCJTXWNHYCIFZ-KRWDZBQOSA-N 0 0 441.550 -0.095 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](CO)CN3CCOCC3)CC2)cc1 ZINC000555533975 1073367936 /nfs/dbraw/zinc/36/79/36/1073367936.db2.gz LVCJTXWNHYCIFZ-QGZVFWFLSA-N 0 0 441.550 -0.095 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)N[C@@H](CO)CN1CCOCC1 ZINC000555535978 1073368015 /nfs/dbraw/zinc/36/80/15/1073368015.db2.gz XTQFTXMQVDXSNA-CYBMUJFWSA-N 0 0 425.429 -0.207 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)N[C@H](CO)CN1CCOCC1 ZINC000555535979 1073367856 /nfs/dbraw/zinc/36/78/56/1073367856.db2.gz XTQFTXMQVDXSNA-ZDUSSCGKSA-N 0 0 425.429 -0.207 20 0 IBADRN CCOC(=O)C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000555540792 1073368411 /nfs/dbraw/zinc/36/84/11/1073368411.db2.gz YUFFGPDJQIKPJD-GFCCVEGCSA-N 0 0 428.492 -0.162 20 0 IBADRN CCOC(=O)C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000555540793 1073368456 /nfs/dbraw/zinc/36/84/56/1073368456.db2.gz YUFFGPDJQIKPJD-LBPRGKRZSA-N 0 0 428.492 -0.162 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2c(C)c(C)cc(C)c2C)CC1 ZINC000555547807 1073367890 /nfs/dbraw/zinc/36/78/90/1073367890.db2.gz ZFWUPTCETBDMQX-UHFFFAOYSA-N 0 0 432.568 -0.193 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(OC)n(C)n2)CC1 ZINC000555548391 1073367873 /nfs/dbraw/zinc/36/78/73/1073367873.db2.gz JVZRVFMYUFABEU-UHFFFAOYSA-N 0 0 430.531 -0.122 20 0 IBADRN CNS(=O)(=O)NCC(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000555550243 1073368266 /nfs/dbraw/zinc/36/82/66/1073368266.db2.gz MXABFFQTIJBRGD-UHFFFAOYSA-N 0 0 447.521 -0.640 20 0 IBADRN CC[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1CCO[C@H](CC)C1 ZINC000555554050 1073368287 /nfs/dbraw/zinc/36/82/87/1073368287.db2.gz YBCDTNRGTQNDNT-MSOLQXFVSA-N 0 0 431.603 -0.488 20 0 IBADRN CC[C@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1CCO[C@H](CC)C1 ZINC000555554051 1073368311 /nfs/dbraw/zinc/36/83/11/1073368311.db2.gz YBCDTNRGTQNDNT-QZTJIDSGSA-N 0 0 431.603 -0.488 20 0 IBADRN CC[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1CCO[C@@H](CC)C1 ZINC000555554052 1073368444 /nfs/dbraw/zinc/36/84/44/1073368444.db2.gz YBCDTNRGTQNDNT-ROUUACIJSA-N 0 0 431.603 -0.488 20 0 IBADRN CC[C@H](C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1CCO[C@@H](CC)C1 ZINC000555554053 1073368325 /nfs/dbraw/zinc/36/83/25/1073368325.db2.gz YBCDTNRGTQNDNT-ZWKOTPCHSA-N 0 0 431.603 -0.488 20 0 IBADRN CN1C(=O)CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1=O ZINC000555556357 1073368276 /nfs/dbraw/zinc/36/82/76/1073368276.db2.gz WEBGJORTDKVJCT-UHFFFAOYSA-N 0 0 436.490 -0.873 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCc2ccncc2)CC1 ZINC000555562293 1073369240 /nfs/dbraw/zinc/36/92/40/1073369240.db2.gz ZISPVIMGZZKHDC-UHFFFAOYSA-N 0 0 431.518 -0.050 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)Cn3cccn3)CC2)cs1 ZINC000555563418 1073369282 /nfs/dbraw/zinc/36/92/82/1073369282.db2.gz AINGBHZKSLTGIF-GFCCVEGCSA-N 0 0 426.524 -0.314 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)Cn3cccn3)CC2)cs1 ZINC000555563419 1073369266 /nfs/dbraw/zinc/36/92/66/1073369266.db2.gz AINGBHZKSLTGIF-LBPRGKRZSA-N 0 0 426.524 -0.314 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCOC[C@H]2C[C@@H](C)O)cc1OC ZINC000555567571 1073368836 /nfs/dbraw/zinc/36/88/36/1073368836.db2.gz QGGRBNYVSSIOAQ-GDBMZVCRSA-N 0 0 437.493 -0.175 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCOC[C@H]2C[C@H](C)O)cc1OC ZINC000555567572 1073368627 /nfs/dbraw/zinc/36/86/27/1073368627.db2.gz QGGRBNYVSSIOAQ-GOEBONIOSA-N 0 0 437.493 -0.175 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCOC[C@@H]2C[C@H](C)O)cc1OC ZINC000555567573 1073368869 /nfs/dbraw/zinc/36/88/69/1073368869.db2.gz QGGRBNYVSSIOAQ-HOCLYGCPSA-N 0 0 437.493 -0.175 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](C)O)cc1OC ZINC000555567574 1073368901 /nfs/dbraw/zinc/36/89/01/1073368901.db2.gz QGGRBNYVSSIOAQ-ZBFHGGJFSA-N 0 0 437.493 -0.175 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000555567784 1073368334 /nfs/dbraw/zinc/36/83/34/1073368334.db2.gz JRLXGJMLXYOVPK-APWZRJJASA-N 0 0 432.521 -0.311 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000555567787 1073368847 /nfs/dbraw/zinc/36/88/47/1073368847.db2.gz JRLXGJMLXYOVPK-LPHOPBHVSA-N 0 0 432.521 -0.311 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000555567788 1073368640 /nfs/dbraw/zinc/36/86/40/1073368640.db2.gz JRLXGJMLXYOVPK-QFBILLFUSA-N 0 0 432.521 -0.311 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000555567789 1073368715 /nfs/dbraw/zinc/36/87/15/1073368715.db2.gz JRLXGJMLXYOVPK-VQIMIIECSA-N 0 0 432.521 -0.311 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCN(C(=O)C2CC2)CC1 ZINC000555568011 1073368792 /nfs/dbraw/zinc/36/87/92/1073368792.db2.gz FFMCQHFZYFNECV-UHFFFAOYSA-N 0 0 443.552 -0.424 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555568018 1073368891 /nfs/dbraw/zinc/36/88/91/1073368891.db2.gz FKUYDBYIEGYQBQ-UHFFFAOYSA-N 0 0 435.506 -0.284 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCC[C@H](CO)C1 ZINC000555568241 1073368816 /nfs/dbraw/zinc/36/88/16/1073368816.db2.gz JRKCDOMBZSKHRW-INIZCTEOSA-N 0 0 439.534 -0.304 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCC[C@@H](CO)C1 ZINC000555568242 1073368774 /nfs/dbraw/zinc/36/87/74/1073368774.db2.gz JRKCDOMBZSKHRW-MRXNPFEDSA-N 0 0 439.534 -0.304 20 0 IBADRN C[C@H](O)[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000555568279 1073368760 /nfs/dbraw/zinc/36/87/60/1073368760.db2.gz NESOSILLWANAIB-DOTOQJQBSA-N 0 0 439.534 -0.306 20 0 IBADRN C[C@@H](O)[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000555568280 1073368704 /nfs/dbraw/zinc/36/87/04/1073368704.db2.gz NESOSILLWANAIB-NVXWUHKLSA-N 0 0 439.534 -0.306 20 0 IBADRN C[C@H](O)[C@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000555568281 1073368694 /nfs/dbraw/zinc/36/86/94/1073368694.db2.gz NESOSILLWANAIB-RDJZCZTQSA-N 0 0 439.534 -0.306 20 0 IBADRN C[C@@H](O)[C@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000555568282 1073368824 /nfs/dbraw/zinc/36/88/24/1073368824.db2.gz NESOSILLWANAIB-WBVHZDCISA-N 0 0 439.534 -0.306 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1CCC(CO)CC1 ZINC000555568555 1073368880 /nfs/dbraw/zinc/36/88/80/1073368880.db2.gz UZGYACBXFCINNG-UHFFFAOYSA-N 0 0 431.536 -0.384 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1nnc2ccccn21)N1CCN(c2ccccn2)CC1 ZINC000555570064 1073369197 /nfs/dbraw/zinc/36/91/97/1073369197.db2.gz HQLLELIDHFLCNV-UHFFFAOYSA-N 0 0 436.476 -0.404 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000555570840 1073369320 /nfs/dbraw/zinc/36/93/20/1073369320.db2.gz NMQVXNJMVQFMCE-UHFFFAOYSA-N 0 0 448.549 -0.369 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCc3c(nnn3CCOC)C2)c(=O)[nH]c1=O ZINC000555571916 1073369342 /nfs/dbraw/zinc/36/93/42/1073369342.db2.gz BCCFANGBVNVOMZ-UHFFFAOYSA-N 0 0 448.528 -0.020 20 0 IBADRN COCCn1nnc2c1CCN(CC(=O)N1CCN(S(=O)(=O)c3ccccc3)CC1)C2 ZINC000555571981 1073369182 /nfs/dbraw/zinc/36/91/82/1073369182.db2.gz GSCGEYUPVJUHAY-UHFFFAOYSA-N 0 0 448.549 -0.184 20 0 IBADRN O=C(NCc1cc[nH]n1)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000555572014 1073369145 /nfs/dbraw/zinc/36/91/45/1073369145.db2.gz CCVIOUXYKIDUFC-UHFFFAOYSA-N 0 0 449.489 -0.280 20 0 IBADRN COCCn1nnc2c1CCN(CC(=O)N1c3ccc(S(N)(=O)=O)cc3C[C@H]1C)C2 ZINC000555574062 1073369590 /nfs/dbraw/zinc/36/95/90/1073369590.db2.gz GQLPPGZJQGLWIC-CYBMUJFWSA-N 0 0 434.522 -0.092 20 0 IBADRN COCCn1nnc2c1CCN(CC(=O)N1c3ccc(S(N)(=O)=O)cc3C[C@@H]1C)C2 ZINC000555574066 1073369601 /nfs/dbraw/zinc/36/96/01/1073369601.db2.gz GQLPPGZJQGLWIC-ZDUSSCGKSA-N 0 0 434.522 -0.092 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4ccccn43)CC2)C1 ZINC000555574420 1073369309 /nfs/dbraw/zinc/36/93/09/1073369309.db2.gz MNTGELIFGQPWAR-CALCHBBNSA-N 0 0 441.536 -0.006 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4ccccn43)CC2)C1 ZINC000555574421 1073369124 /nfs/dbraw/zinc/36/91/24/1073369124.db2.gz MNTGELIFGQPWAR-IAGOWNOFSA-N 0 0 441.536 -0.006 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4ccccn43)CC2)C1 ZINC000555574422 1073369218 /nfs/dbraw/zinc/36/92/18/1073369218.db2.gz MNTGELIFGQPWAR-IRXDYDNUSA-N 0 0 441.536 -0.006 20 0 IBADRN O=C(NCc1nnc2ccccn21)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000555575623 1073369610 /nfs/dbraw/zinc/36/96/10/1073369610.db2.gz DAGUTEVIABJZDC-UHFFFAOYSA-N 0 0 433.450 -0.992 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c(=O)[nH]1 ZINC000555575916 1073369627 /nfs/dbraw/zinc/36/96/27/1073369627.db2.gz JGBSGKQOMSPSSG-INIZCTEOSA-N 0 0 433.509 -0.588 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c(=O)[nH]1 ZINC000555575919 1073369688 /nfs/dbraw/zinc/36/96/88/1073369688.db2.gz JGBSGKQOMSPSSG-MRXNPFEDSA-N 0 0 433.509 -0.588 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000555576609 1073369578 /nfs/dbraw/zinc/36/95/78/1073369578.db2.gz YYENVISMMGQFDN-AWEZNQCLSA-N 0 0 434.465 -0.770 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000555577830 1073369741 /nfs/dbraw/zinc/36/97/41/1073369741.db2.gz VNEORIKXNRNFHX-KRWDZBQOSA-N 0 0 426.539 -0.432 20 0 IBADRN CN(Cc1ccccc1)C(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000555584565 1073370081 /nfs/dbraw/zinc/37/00/81/1073370081.db2.gz XTMBBAXXWFIABX-UHFFFAOYSA-N 0 0 434.474 -0.130 20 0 IBADRN O=C(NCc1ccc2c(c1)OCO2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000555588121 1073369705 /nfs/dbraw/zinc/36/97/05/1073369705.db2.gz INYNLMFXCXGOOF-UHFFFAOYSA-N 0 0 432.458 -0.040 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(C[C@H](C)CC(C)(C)C3)C2=O)CC1 ZINC000555589159 1073369723 /nfs/dbraw/zinc/36/97/23/1073369723.db2.gz QARMIGMCRGFIIH-ACJLOTCBSA-N 0 0 429.543 -0.268 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(C[C@H](C)CC(C)(C)C3)C2=O)CC1 ZINC000555589160 1073369696 /nfs/dbraw/zinc/36/96/96/1073369696.db2.gz QARMIGMCRGFIIH-FZKQIMNGSA-N 0 0 429.543 -0.268 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(C[C@@H](C)CC(C)(C)C3)C2=O)CC1 ZINC000555589161 1073369731 /nfs/dbraw/zinc/36/97/31/1073369731.db2.gz QARMIGMCRGFIIH-SCLBCKFNSA-N 0 0 429.543 -0.268 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(C[C@@H](C)CC(C)(C)C3)C2=O)CC1 ZINC000555589162 1073369675 /nfs/dbraw/zinc/36/96/75/1073369675.db2.gz QARMIGMCRGFIIH-UGSOOPFHSA-N 0 0 429.543 -0.268 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000555589889 1073369890 /nfs/dbraw/zinc/36/98/90/1073369890.db2.gz JFFBKXCAFDPUDH-UHFFFAOYSA-N 0 0 446.551 -0.298 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)OCO3)CC1 ZINC000555593854 1073370473 /nfs/dbraw/zinc/37/04/73/1073370473.db2.gz FHWNBFBPEHDGAE-HNNXBMFYSA-N 0 0 432.477 -0.577 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)OCO3)CC1 ZINC000555593857 1073370477 /nfs/dbraw/zinc/37/04/77/1073370477.db2.gz FHWNBFBPEHDGAE-OAHLLOKOSA-N 0 0 432.477 -0.577 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](c3ccccc3)C3CC3)CC2)CC1 ZINC000555594565 1073370537 /nfs/dbraw/zinc/37/05/37/1073370537.db2.gz PJQRGXMFCMDLJR-IBGZPJMESA-N 0 0 449.577 -0.110 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](c3ccccc3)C3CC3)CC2)CC1 ZINC000555594568 1073370481 /nfs/dbraw/zinc/37/04/81/1073370481.db2.gz PJQRGXMFCMDLJR-LJQANCHMSA-N 0 0 449.577 -0.110 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(CCNS(C)(=O)=O)C2CC2)cc1 ZINC000555599008 1073370931 /nfs/dbraw/zinc/37/09/31/1073370931.db2.gz AJQONSAJCVLWSK-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000555599431 1073370515 /nfs/dbraw/zinc/37/05/15/1073370515.db2.gz HCESHGPMWIORPS-DLBZAZTESA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000555599437 1073370946 /nfs/dbraw/zinc/37/09/46/1073370946.db2.gz HCESHGPMWIORPS-IAGOWNOFSA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000555599438 1073370837 /nfs/dbraw/zinc/37/08/37/1073370837.db2.gz HCESHGPMWIORPS-IRXDYDNUSA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000555599439 1073371035 /nfs/dbraw/zinc/37/10/35/1073371035.db2.gz HCESHGPMWIORPS-SJORKVTESA-N 0 0 427.523 -0.433 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000555600192 1073369996 /nfs/dbraw/zinc/36/99/96/1073369996.db2.gz VEBSLDOEBOCJFV-CYBMUJFWSA-N 0 0 435.462 -0.085 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000555600193 1073370466 /nfs/dbraw/zinc/37/04/66/1073370466.db2.gz VEBSLDOEBOCJFV-ZDUSSCGKSA-N 0 0 435.462 -0.085 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H](CO)CN1CCOCC1 ZINC000555600863 1073370502 /nfs/dbraw/zinc/37/05/02/1073370502.db2.gz XRLRFHMHKOYWFX-HNNXBMFYSA-N 0 0 443.522 -0.861 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H](CO)CN1CCOCC1 ZINC000555600864 1073370452 /nfs/dbraw/zinc/37/04/52/1073370452.db2.gz XRLRFHMHKOYWFX-OAHLLOKOSA-N 0 0 443.522 -0.861 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)CC1 ZINC000555601546 1073370511 /nfs/dbraw/zinc/37/05/11/1073370511.db2.gz OWRAHNDRSWVKFO-UHFFFAOYSA-N 0 0 430.483 -0.949 20 0 IBADRN CC(C)N(Cc1ccccc1)C(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555602857 1073370427 /nfs/dbraw/zinc/37/04/27/1073370427.db2.gz GZZRBOHGKMXBEZ-UHFFFAOYSA-N 0 0 430.505 -0.047 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N(Cc1ccccc1)C1CC1)C2 ZINC000555603624 1073371303 /nfs/dbraw/zinc/37/13/03/1073371303.db2.gz WOWPAMZWTTVCRH-UHFFFAOYSA-N 0 0 426.477 -0.334 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N(Cc3ccccc3)C3CC3)CC2)CC1 ZINC000555604718 1073371494 /nfs/dbraw/zinc/37/14/94/1073371494.db2.gz CGDKJRZPTZPEJN-UHFFFAOYSA-N 0 0 449.577 -0.186 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1ccc(Cl)cc1)C2 ZINC000555608252 1073371480 /nfs/dbraw/zinc/37/14/80/1073371480.db2.gz BJHVZHRWVXELAO-UHFFFAOYSA-N 0 0 434.884 -0.513 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1 ZINC000555611817 1073371332 /nfs/dbraw/zinc/37/13/32/1073371332.db2.gz CQRPHMVISVQHRU-GFCCVEGCSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1 ZINC000555611818 1073371436 /nfs/dbraw/zinc/37/14/36/1073371436.db2.gz CQRPHMVISVQHRU-LBPRGKRZSA-N 0 0 430.552 -0.375 20 0 IBADRN CNS(=O)(=O)C1CCN([C@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000555612399 1073371313 /nfs/dbraw/zinc/37/13/13/1073371313.db2.gz XSSMKYGLNQWRJP-CHWSQXEVSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)C1CCN([C@@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000555612403 1073371538 /nfs/dbraw/zinc/37/15/38/1073371538.db2.gz XSSMKYGLNQWRJP-OLZOCXBDSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)C1CCN([C@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000555612406 1073371353 /nfs/dbraw/zinc/37/13/53/1073371353.db2.gz XSSMKYGLNQWRJP-QWHCGFSZSA-N 0 0 432.568 -0.087 20 0 IBADRN CNS(=O)(=O)C1CCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000555612408 1073371514 /nfs/dbraw/zinc/37/15/14/1073371514.db2.gz XSSMKYGLNQWRJP-STQMWFEESA-N 0 0 432.568 -0.087 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)nc2ccccc12 ZINC000555613737 1073361694 /nfs/dbraw/zinc/36/16/94/1073361694.db2.gz UAZCHDBMGTXEOR-UHFFFAOYSA-N 0 0 441.536 -0.305 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCN2CCOCC2)CC1)Nc1ccc(F)cc1 ZINC000555614851 1073371548 /nfs/dbraw/zinc/37/15/48/1073371548.db2.gz RUQDANHFBSWTEZ-UHFFFAOYSA-N 0 0 435.500 -0.253 20 0 IBADRN COCCCNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000555615184 1073370969 /nfs/dbraw/zinc/37/09/69/1073370969.db2.gz JTMZFMHKEPJMBP-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C1 ZINC000555615532 1073370859 /nfs/dbraw/zinc/37/08/59/1073370859.db2.gz BNOTZRFVUUXKPW-MOPGFXCFSA-N 0 0 437.585 -0.336 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C1 ZINC000555615533 1073370913 /nfs/dbraw/zinc/37/09/13/1073370913.db2.gz BNOTZRFVUUXKPW-OALUTQOASA-N 0 0 437.585 -0.336 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C1 ZINC000555615534 1073371007 /nfs/dbraw/zinc/37/10/07/1073371007.db2.gz BNOTZRFVUUXKPW-RBUKOAKNSA-N 0 0 437.585 -0.336 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C1 ZINC000555615535 1073370874 /nfs/dbraw/zinc/37/08/74/1073370874.db2.gz BNOTZRFVUUXKPW-RTBURBONSA-N 0 0 437.585 -0.336 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C1 ZINC000555615551 1073371053 /nfs/dbraw/zinc/37/10/53/1073371053.db2.gz CSYJUZKSWPPPGU-KDURUIRLSA-N 0 0 437.585 -0.526 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C1 ZINC000555615552 1073370815 /nfs/dbraw/zinc/37/08/15/1073370815.db2.gz CSYJUZKSWPPPGU-OALUTQOASA-N 0 0 437.585 -0.526 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C1 ZINC000555615553 1073370984 /nfs/dbraw/zinc/37/09/84/1073370984.db2.gz CSYJUZKSWPPPGU-RTBURBONSA-N 0 0 437.585 -0.526 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCN2CCOCC2)CC1)NCc1ccccc1 ZINC000555615875 1073372259 /nfs/dbraw/zinc/37/22/59/1073372259.db2.gz GSUGZRTUKVYCJH-UHFFFAOYSA-N 0 0 431.537 -0.715 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000555616260 1073372322 /nfs/dbraw/zinc/37/23/22/1073372322.db2.gz QGOSUVSCLDNTDF-KRWDZBQOSA-N 0 0 430.571 -0.322 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000555616264 1073372195 /nfs/dbraw/zinc/37/21/95/1073372195.db2.gz QGOSUVSCLDNTDF-QGZVFWFLSA-N 0 0 430.571 -0.322 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000555616887 1073372218 /nfs/dbraw/zinc/37/22/18/1073372218.db2.gz BBRLDXRWOJCEPP-UHFFFAOYSA-N 0 0 438.550 -0.501 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000555619776 1073372630 /nfs/dbraw/zinc/37/26/30/1073372630.db2.gz GXVBEHANAVZSMX-UHFFFAOYSA-N 0 0 430.914 -0.137 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000555620240 1073372296 /nfs/dbraw/zinc/37/22/96/1073372296.db2.gz AWBXPPAVIWVJKW-UHFFFAOYSA-N 0 0 430.502 -0.136 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCCn2ccnc2)CC1 ZINC000555620418 1073372173 /nfs/dbraw/zinc/37/21/73/1073372173.db2.gz BWDJPBMWPQTQJN-UHFFFAOYSA-N 0 0 448.549 -0.358 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555620437 1073372340 /nfs/dbraw/zinc/37/23/40/1073372340.db2.gz DCXZODJNIDQSFS-UHFFFAOYSA-N 0 0 435.506 -0.273 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000555620948 1073372667 /nfs/dbraw/zinc/37/26/67/1073372667.db2.gz VFVVSWBUVMMDDT-UHFFFAOYSA-N 0 0 447.511 -0.172 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000555621083 1073372646 /nfs/dbraw/zinc/37/26/46/1073372646.db2.gz UWNVTVPITZPQAJ-UHFFFAOYSA-N 0 0 429.506 -0.197 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)n1 ZINC000555621417 1073372704 /nfs/dbraw/zinc/37/27/04/1073372704.db2.gz VRCHRJOEQCODKH-HNNXBMFYSA-N 0 0 436.513 -0.060 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)n1 ZINC000555621418 1073372693 /nfs/dbraw/zinc/37/26/93/1073372693.db2.gz VRCHRJOEQCODKH-OAHLLOKOSA-N 0 0 436.513 -0.060 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2c[nH]c3ccccc23)CC1 ZINC000555623330 1073371927 /nfs/dbraw/zinc/37/19/27/1073371927.db2.gz IBNUKZCBJKDTQM-UHFFFAOYSA-N 0 0 427.505 -0.170 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000555627564 1073372210 /nfs/dbraw/zinc/37/22/10/1073372210.db2.gz XCYLSUCMZPGRHU-NEPJUHHUSA-N 0 0 447.448 -0.751 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000555627565 1073372204 /nfs/dbraw/zinc/37/22/04/1073372204.db2.gz XCYLSUCMZPGRHU-NWDGAFQWSA-N 0 0 447.448 -0.751 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000555627566 1073372155 /nfs/dbraw/zinc/37/21/55/1073372155.db2.gz XCYLSUCMZPGRHU-RYUDHWBXSA-N 0 0 447.448 -0.751 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000555627567 1073372186 /nfs/dbraw/zinc/37/21/86/1073372186.db2.gz XCYLSUCMZPGRHU-VXGBXAGGSA-N 0 0 447.448 -0.751 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1 ZINC000555632269 1073372620 /nfs/dbraw/zinc/37/26/20/1073372620.db2.gz SRRJGIJXHNMWRF-UHFFFAOYSA-N 0 0 449.533 -0.071 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000555635841 1073373067 /nfs/dbraw/zinc/37/30/67/1073373067.db2.gz GWTVUYJSPSMWIU-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN COc1ccccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000555638229 1073373091 /nfs/dbraw/zinc/37/30/91/1073373091.db2.gz RBAJPLJOBXEXKQ-UHFFFAOYSA-N 0 0 435.506 -0.114 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccs3)CC2)o1 ZINC000555644725 1073373499 /nfs/dbraw/zinc/37/34/99/1073373499.db2.gz MEFGYIMQEZEUQV-UHFFFAOYSA-N 0 0 426.476 -0.411 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)C1 ZINC000555646969 1073373371 /nfs/dbraw/zinc/37/33/71/1073373371.db2.gz KUQDNJXRDCIVFV-INIZCTEOSA-N 0 0 430.571 -0.322 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)C1 ZINC000555646970 1073373311 /nfs/dbraw/zinc/37/33/11/1073373311.db2.gz KUQDNJXRDCIVFV-MRXNPFEDSA-N 0 0 430.571 -0.322 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000555652196 1073373357 /nfs/dbraw/zinc/37/33/57/1073373357.db2.gz IZQKKMNFJZIUBM-KRWDZBQOSA-N 0 0 441.554 -0.341 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000555652197 1073373556 /nfs/dbraw/zinc/37/35/56/1073373556.db2.gz IZQKKMNFJZIUBM-QGZVFWFLSA-N 0 0 441.554 -0.341 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000555652333 1073373577 /nfs/dbraw/zinc/37/35/77/1073373577.db2.gz VPQLVOXFJSKHRU-KRWDZBQOSA-N 0 0 448.520 -0.418 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000555652334 1073373595 /nfs/dbraw/zinc/37/35/95/1073373595.db2.gz VPQLVOXFJSKHRU-QGZVFWFLSA-N 0 0 448.520 -0.418 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccc3F)CC2)CC1 ZINC000555653893 1073373396 /nfs/dbraw/zinc/37/33/96/1073373396.db2.gz NANVVZDTKVYZAI-UHFFFAOYSA-N 0 0 427.502 -0.922 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CCCCC3)CC2=O)cn1 ZINC000555655071 1073373967 /nfs/dbraw/zinc/37/39/67/1073373967.db2.gz GGGJOAZNJAUTTE-UHFFFAOYSA-N 0 0 432.525 -0.253 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NCc2ccc(C(N)=O)nc2)N2CCOCC2)cc1 ZINC000555655454 1073373603 /nfs/dbraw/zinc/37/36/03/1073373603.db2.gz JCYVFQRMSXMYSH-IBGZPJMESA-N 0 0 441.488 -0.005 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NCc2ccc(C(N)=O)nc2)N2CCOCC2)cc1 ZINC000555655455 1073373485 /nfs/dbraw/zinc/37/34/85/1073373485.db2.gz JCYVFQRMSXMYSH-LJQANCHMSA-N 0 0 441.488 -0.005 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N(C)CCN2CCOCC2)N2CCOCC2)cc1 ZINC000555656601 1073373871 /nfs/dbraw/zinc/37/38/71/1073373871.db2.gz ZNZPGNFWPKXZEM-FQEVSTJZSA-N 0 0 434.537 -0.025 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N(C)CCN2CCOCC2)N2CCOCC2)cc1 ZINC000555656602 1073373808 /nfs/dbraw/zinc/37/38/08/1073373808.db2.gz ZNZPGNFWPKXZEM-HXUWFJFHSA-N 0 0 434.537 -0.025 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)N2CCOCC2)cc1 ZINC000555657732 1073374040 /nfs/dbraw/zinc/37/40/40/1073374040.db2.gz JMAMQXJCLIEJIJ-AZUAARDMSA-N 0 0 432.521 -0.272 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)N2CCOCC2)cc1 ZINC000555657733 1073374003 /nfs/dbraw/zinc/37/40/03/1073374003.db2.gz JMAMQXJCLIEJIJ-ICSRJNTNSA-N 0 0 432.521 -0.272 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)N2CCOCC2)cc1 ZINC000555657734 1073373884 /nfs/dbraw/zinc/37/38/84/1073373884.db2.gz JMAMQXJCLIEJIJ-QUCCMNQESA-N 0 0 432.521 -0.272 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)N2CCOCC2)cc1 ZINC000555657735 1073374076 /nfs/dbraw/zinc/37/40/76/1073374076.db2.gz JMAMQXJCLIEJIJ-UYAOXDASSA-N 0 0 432.521 -0.272 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCC1(N2CCOCC2)CCCCC1)S(C)(=O)=O ZINC000555658430 1073373852 /nfs/dbraw/zinc/37/38/52/1073373852.db2.gz RPXWKTHCTDVNIC-UHFFFAOYSA-N 0 0 432.587 -0.075 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccc(OC)cc2)N2CCOCC2)CC1 ZINC000555658701 1073373957 /nfs/dbraw/zinc/37/39/57/1073373957.db2.gz ZVJBHYPDIHRWLQ-IBGZPJMESA-N 0 0 448.520 -0.498 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccc(OC)cc2)N2CCOCC2)CC1 ZINC000555658702 1073373949 /nfs/dbraw/zinc/37/39/49/1073373949.db2.gz ZVJBHYPDIHRWLQ-LJQANCHMSA-N 0 0 448.520 -0.498 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000555661811 1073373917 /nfs/dbraw/zinc/37/39/17/1073373917.db2.gz SHXYFPACKXLUEQ-INIZCTEOSA-N 0 0 437.566 -0.482 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000555661812 1073374051 /nfs/dbraw/zinc/37/40/51/1073374051.db2.gz SHXYFPACKXLUEQ-MRXNPFEDSA-N 0 0 437.566 -0.482 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC[C@@H](c3cccs3)N3CCOCC3)CC2=O)cn1 ZINC000555663021 1073374349 /nfs/dbraw/zinc/37/43/49/1073374349.db2.gz QAHOXNAMICAYDB-INIZCTEOSA-N 0 0 446.533 -0.154 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC[C@H](c3cccs3)N3CCOCC3)CC2=O)cn1 ZINC000555663022 1073374322 /nfs/dbraw/zinc/37/43/22/1073374322.db2.gz QAHOXNAMICAYDB-MRXNPFEDSA-N 0 0 446.533 -0.154 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1)NC1CC1 ZINC000555663181 1073374333 /nfs/dbraw/zinc/37/43/33/1073374333.db2.gz MRGKGOHUOYBDLC-KRWDZBQOSA-N 0 0 449.577 -0.340 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1)NC1CC1 ZINC000555663182 1073374400 /nfs/dbraw/zinc/37/44/00/1073374400.db2.gz MRGKGOHUOYBDLC-QGZVFWFLSA-N 0 0 449.577 -0.340 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000555663429 1073373989 /nfs/dbraw/zinc/37/39/89/1073373989.db2.gz BHJCCCXSJCOOJG-INIZCTEOSA-N 0 0 437.566 -0.482 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000555663431 1073374364 /nfs/dbraw/zinc/37/43/64/1073374364.db2.gz BHJCCCXSJCOOJG-MRXNPFEDSA-N 0 0 437.566 -0.482 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000555663959 1073374410 /nfs/dbraw/zinc/37/44/10/1073374410.db2.gz XQCJOJJWYRGMPL-KRWDZBQOSA-N 0 0 425.507 -0.036 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000555663960 1073374290 /nfs/dbraw/zinc/37/42/90/1073374290.db2.gz XQCJOJJWYRGMPL-QGZVFWFLSA-N 0 0 425.507 -0.036 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCC(C)(C)N2CCOCC2)cc1 ZINC000555666427 1073373298 /nfs/dbraw/zinc/37/32/98/1073373298.db2.gz CSYQLQFSOAMIPM-UHFFFAOYSA-N 0 0 440.566 -0.041 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCC(C)(C)N3CCOCC3)CC2)CC1 ZINC000555666674 1073375193 /nfs/dbraw/zinc/37/51/93/1073375193.db2.gz NVPWSMZTEIXFRN-UHFFFAOYSA-N 0 0 437.585 -0.384 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCC(C)(C)N2CCOCC2)s1 ZINC000555666794 1073375097 /nfs/dbraw/zinc/37/50/97/1073375097.db2.gz RHGKRTIRFGVUHB-UHFFFAOYSA-N 0 0 432.568 -0.322 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O)N1CCOCC1 ZINC000555667248 1073375260 /nfs/dbraw/zinc/37/52/60/1073375260.db2.gz UOTQNRPAKHEITO-UHFFFAOYSA-N 0 0 437.541 -0.026 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000555667261 1073375324 /nfs/dbraw/zinc/37/53/24/1073375324.db2.gz UXAKMEKSSFOSNL-UHFFFAOYSA-N 0 0 425.574 -0.384 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000555668166 1073375174 /nfs/dbraw/zinc/37/51/74/1073375174.db2.gz QASKMPGLPGIMKC-UHFFFAOYSA-N 0 0 448.586 -0.888 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCC(C)(C)N3CCOCC3)no2)cn1 ZINC000555668698 1073375285 /nfs/dbraw/zinc/37/52/85/1073375285.db2.gz SITFAHMMMZNCTA-HNNXBMFYSA-N 0 0 447.496 -0.403 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCC(C)(C)N3CCOCC3)no2)cn1 ZINC000555668702 1073375213 /nfs/dbraw/zinc/37/52/13/1073375213.db2.gz SITFAHMMMZNCTA-OAHLLOKOSA-N 0 0 447.496 -0.403 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)N1CCOCC1 ZINC000555668866 1073375108 /nfs/dbraw/zinc/37/51/08/1073375108.db2.gz ZBLSGVISKXNXHZ-UHFFFAOYSA-N 0 0 437.585 -0.194 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N(C)C ZINC000555670747 1073374439 /nfs/dbraw/zinc/37/44/39/1073374439.db2.gz GSUZAIGHOGZCLH-KRWDZBQOSA-N 0 0 445.520 -0.637 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N(C)C ZINC000555670749 1073374461 /nfs/dbraw/zinc/37/44/61/1073374461.db2.gz GSUZAIGHOGZCLH-QGZVFWFLSA-N 0 0 445.520 -0.637 20 0 IBADRN COc1ccccc1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000555671020 1073374277 /nfs/dbraw/zinc/37/42/77/1073374277.db2.gz FEKDRWYNRWWEHM-UHFFFAOYSA-N 0 0 440.504 -0.001 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](c2ccccc2OC)N(C)C)C1 ZINC000555672490 1073374421 /nfs/dbraw/zinc/37/44/21/1073374421.db2.gz RRMBLPFSUIUTSJ-GDBMZVCRSA-N 0 0 426.539 -0.046 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](c2ccccc2OC)N(C)C)C1 ZINC000555672496 1073374244 /nfs/dbraw/zinc/37/42/44/1073374244.db2.gz RRMBLPFSUIUTSJ-GOEBONIOSA-N 0 0 426.539 -0.046 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](c2ccccc2OC)N(C)C)C1 ZINC000555672498 1073374225 /nfs/dbraw/zinc/37/42/25/1073374225.db2.gz RRMBLPFSUIUTSJ-HOCLYGCPSA-N 0 0 426.539 -0.046 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](c2ccccc2OC)N(C)C)C1 ZINC000555672502 1073374311 /nfs/dbraw/zinc/37/43/11/1073374311.db2.gz RRMBLPFSUIUTSJ-ZBFHGGJFSA-N 0 0 426.539 -0.046 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000555672557 1073374343 /nfs/dbraw/zinc/37/43/43/1073374343.db2.gz JFGYUGGNQSXAMA-KOLCDFICSA-N 0 0 438.428 -0.132 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000555672562 1073374388 /nfs/dbraw/zinc/37/43/88/1073374388.db2.gz JFGYUGGNQSXAMA-MWLCHTKSSA-N 0 0 438.428 -0.132 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H](c2ccco2)N2CCCC2)CC1 ZINC000555676125 1073374585 /nfs/dbraw/zinc/37/45/85/1073374585.db2.gz WUXNPDOMTMTHGP-GOSISDBHSA-N 0 0 447.536 -0.464 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H](c2ccco2)N2CCCC2)CC1 ZINC000555676126 1073374851 /nfs/dbraw/zinc/37/48/51/1073374851.db2.gz WUXNPDOMTMTHGP-SFHVURJKSA-N 0 0 447.536 -0.464 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)NC[C@@H](c1ccc(OC)c(OC)c1)N1CCOCC1 ZINC000555678126 1073374731 /nfs/dbraw/zinc/37/47/31/1073374731.db2.gz PVIAYFOJRKTYJQ-INIZCTEOSA-N 0 0 436.509 -0.212 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)NC[C@H](c1ccc(OC)c(OC)c1)N1CCOCC1 ZINC000555678127 1073374909 /nfs/dbraw/zinc/37/49/09/1073374909.db2.gz PVIAYFOJRKTYJQ-MRXNPFEDSA-N 0 0 436.509 -0.212 20 0 IBADRN O=C(NC[C@H]1CN(Cc2ccccc2)CCO1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000555679515 1073374642 /nfs/dbraw/zinc/37/46/42/1073374642.db2.gz UXIDAHHFNAJCAD-PMACEKPBSA-N 0 0 444.532 -0.147 20 0 IBADRN O=C(NC[C@@H]1CN(Cc2ccccc2)CCO1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000555679517 1073374678 /nfs/dbraw/zinc/37/46/78/1073374678.db2.gz UXIDAHHFNAJCAD-UXHICEINSA-N 0 0 444.532 -0.147 20 0 IBADRN O=C(NC[C@H]1CN(Cc2ccccc2)CCO1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000555679518 1073374950 /nfs/dbraw/zinc/37/49/50/1073374950.db2.gz UXIDAHHFNAJCAD-VQTJNVASSA-N 0 0 444.532 -0.147 20 0 IBADRN O=C(NC[C@@H]1CN(Cc2ccccc2)CCO1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000555679519 1073374787 /nfs/dbraw/zinc/37/47/87/1073374787.db2.gz UXIDAHHFNAJCAD-WOJBJXKFSA-N 0 0 444.532 -0.147 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC000555679942 1073375576 /nfs/dbraw/zinc/37/55/76/1073375576.db2.gz BOIJNEGDUFGGAB-IBGZPJMESA-N 0 0 434.460 -0.355 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2ccccc2)c2ccccn2)CC1 ZINC000555679944 1073375634 /nfs/dbraw/zinc/37/56/34/1073375634.db2.gz BOIJNEGDUFGGAB-LJQANCHMSA-N 0 0 434.460 -0.355 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000555681051 1073375761 /nfs/dbraw/zinc/37/57/61/1073375761.db2.gz CPLHVAGJHHQOFO-FQEVSTJZSA-N 0 0 445.564 -0.328 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000555681052 1073375547 /nfs/dbraw/zinc/37/55/47/1073375547.db2.gz CPLHVAGJHHQOFO-HXUWFJFHSA-N 0 0 445.564 -0.328 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000555681192 1073375524 /nfs/dbraw/zinc/37/55/24/1073375524.db2.gz XGUXILMHOJCUQG-GOSISDBHSA-N 0 0 440.566 -0.209 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000555681193 1073375787 /nfs/dbraw/zinc/37/57/87/1073375787.db2.gz XGUXILMHOJCUQG-SFHVURJKSA-N 0 0 440.566 -0.209 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000555682099 1073375666 /nfs/dbraw/zinc/37/56/66/1073375666.db2.gz JJCRBYHYAABQNL-IBGZPJMESA-N 0 0 431.537 -0.716 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000555682100 1073375582 /nfs/dbraw/zinc/37/55/82/1073375582.db2.gz JJCRBYHYAABQNL-LJQANCHMSA-N 0 0 431.537 -0.716 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000555684196 1073375639 /nfs/dbraw/zinc/37/56/39/1073375639.db2.gz RQUNBSVCFCZYRF-UHFFFAOYSA-N 0 0 439.538 -0.849 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1Cl ZINC000555703861 1073377013 /nfs/dbraw/zinc/37/70/13/1073377013.db2.gz OKHQROFLCFQPEY-INIZCTEOSA-N 0 0 448.915 -0.584 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1Cl ZINC000555703862 1073377047 /nfs/dbraw/zinc/37/70/47/1073377047.db2.gz OKHQROFLCFQPEY-MRXNPFEDSA-N 0 0 448.915 -0.584 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000555706319 1073376923 /nfs/dbraw/zinc/37/69/23/1073376923.db2.gz QMZQEDCYKOUCGN-INIZCTEOSA-N 0 0 435.525 -0.462 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000555706323 1073376846 /nfs/dbraw/zinc/37/68/46/1073376846.db2.gz QMZQEDCYKOUCGN-MRXNPFEDSA-N 0 0 435.525 -0.462 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000555706883 1073376582 /nfs/dbraw/zinc/37/65/82/1073376582.db2.gz XPWYMRQPIZVBRV-HNNXBMFYSA-N 0 0 443.508 -0.068 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000555706884 1073376674 /nfs/dbraw/zinc/37/66/74/1073376674.db2.gz XPWYMRQPIZVBRV-OAHLLOKOSA-N 0 0 443.508 -0.068 20 0 IBADRN Cc1nc2ccccc2n1CCCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000555709096 1073376469 /nfs/dbraw/zinc/37/64/69/1073376469.db2.gz MZVGRHQYFJRNJJ-UHFFFAOYSA-N 0 0 440.504 -0.200 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000555709763 1073376478 /nfs/dbraw/zinc/37/64/78/1073376478.db2.gz CUFSHYBWEWYXEC-LLVKDONJSA-N 0 0 447.414 -0.363 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000555709764 1073376645 /nfs/dbraw/zinc/37/66/45/1073376645.db2.gz CUFSHYBWEWYXEC-NSHDSACASA-N 0 0 447.414 -0.363 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000555710061 1073376505 /nfs/dbraw/zinc/37/65/05/1073376505.db2.gz DJEOPUPYJKFYSG-UHFFFAOYSA-N 0 0 445.476 -0.065 20 0 IBADRN Cc1nc2ccccc2n1CCCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000555710281 1073376492 /nfs/dbraw/zinc/37/64/92/1073376492.db2.gz IVALJWSLACOARK-UHFFFAOYSA-N 0 0 439.480 -0.791 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(OC)cc1 ZINC000555711325 1073376700 /nfs/dbraw/zinc/37/67/00/1073376700.db2.gz ZQBKOPCBZHDSFW-GOSISDBHSA-N 0 0 446.504 -0.208 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(OC)cc1 ZINC000555711326 1073376560 /nfs/dbraw/zinc/37/65/60/1073376560.db2.gz ZQBKOPCBZHDSFW-SFHVURJKSA-N 0 0 446.504 -0.208 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000555712509 1073376450 /nfs/dbraw/zinc/37/64/50/1073376450.db2.gz BQQCIOGQUWZEAY-UHFFFAOYSA-N 0 0 434.497 -0.234 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCCCC4)CC2)no1 ZINC000555714510 1073329874 /nfs/dbraw/zinc/32/98/74/1073329874.db2.gz NVLUVAVHRXFQKM-UHFFFAOYSA-N 0 0 444.496 -0.300 20 0 IBADRN O=C(NCc1cn2ccccc2n1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000555714526 1073376552 /nfs/dbraw/zinc/37/65/52/1073376552.db2.gz OLYXGUAKYYVVIO-UHFFFAOYSA-N 0 0 428.474 -0.121 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCc3ccccc3C1)C2 ZINC000555714814 1073376665 /nfs/dbraw/zinc/37/66/65/1073376665.db2.gz YWKGGRQBFFYZCQ-INIZCTEOSA-N 0 0 426.477 -0.851 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCc3ccccc3C1)C2 ZINC000555714815 1073376653 /nfs/dbraw/zinc/37/66/53/1073376653.db2.gz YWKGGRQBFFYZCQ-MRXNPFEDSA-N 0 0 426.477 -0.851 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2COCC(=O)N2Cc2ccccc2)CC1)N1CCOCC1 ZINC000555716268 1073377986 /nfs/dbraw/zinc/37/79/86/1073377986.db2.gz OUACJOZHRUFWRG-LJQANCHMSA-N 0 0 430.505 -0.583 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1 ZINC000555717448 1073377813 /nfs/dbraw/zinc/37/78/13/1073377813.db2.gz BPMILFACCKUGDQ-INIZCTEOSA-N 0 0 449.556 -0.694 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1 ZINC000555717449 1073377917 /nfs/dbraw/zinc/37/79/17/1073377917.db2.gz BPMILFACCKUGDQ-MRXNPFEDSA-N 0 0 449.556 -0.694 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cn3ccccc3n2)CC1 ZINC000555718514 1073377904 /nfs/dbraw/zinc/37/79/04/1073377904.db2.gz LEJAFJWNUUUNLF-INIZCTEOSA-N 0 0 428.493 -0.658 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cn3ccccc3n2)CC1 ZINC000555718515 1073377772 /nfs/dbraw/zinc/37/77/72/1073377772.db2.gz LEJAFJWNUUUNLF-MRXNPFEDSA-N 0 0 428.493 -0.658 20 0 IBADRN O=C(N[C@@H]1CCc2ccccc2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555719326 1073377800 /nfs/dbraw/zinc/37/78/00/1073377800.db2.gz ZMLSFKUDNWEDBW-GOSISDBHSA-N 0 0 428.489 -0.810 20 0 IBADRN O=C(N[C@H]1CCc2ccccc2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555719327 1073377961 /nfs/dbraw/zinc/37/79/61/1073377961.db2.gz ZMLSFKUDNWEDBW-SFHVURJKSA-N 0 0 428.489 -0.810 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H]1CCc2c[nH]nc2C1 ZINC000555721643 1073378226 /nfs/dbraw/zinc/37/82/26/1073378226.db2.gz CUAVJLYHCUQZTP-INIZCTEOSA-N 0 0 447.517 -0.280 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H]1CCc2c[nH]nc2C1 ZINC000555721646 1073378163 /nfs/dbraw/zinc/37/81/63/1073378163.db2.gz CUAVJLYHCUQZTP-MRXNPFEDSA-N 0 0 447.517 -0.280 20 0 IBADRN CC(C)N(C[C@H](C)O)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555722892 1073376809 /nfs/dbraw/zinc/37/68/09/1073376809.db2.gz RRIRJZBAKCRQOG-HNNXBMFYSA-N 0 0 427.523 -0.059 20 0 IBADRN O=C(NCCn1ccnc1)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000555723042 1073376890 /nfs/dbraw/zinc/37/68/90/1073376890.db2.gz YKYFQIUZXLOIAJ-UHFFFAOYSA-N 0 0 427.433 -0.189 20 0 IBADRN CC(C)N(C[C@@H](C)O)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555723079 1073377081 /nfs/dbraw/zinc/37/70/81/1073377081.db2.gz RRIRJZBAKCRQOG-OAHLLOKOSA-N 0 0 427.523 -0.059 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)NCC1CCOCC1 ZINC000555723449 1073376880 /nfs/dbraw/zinc/37/68/80/1073376880.db2.gz ZHFZBOMSWZISJS-UHFFFAOYSA-N 0 0 425.507 -0.134 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCCn3ccnc3)CC2)ncc1Br ZINC000555723959 1073377429 /nfs/dbraw/zinc/37/74/29/1073377429.db2.gz CPAYAWDLDSJRIK-UHFFFAOYSA-N 0 0 438.286 -0.091 20 0 IBADRN O=C(NCCn1ccnc1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000555723978 1073377448 /nfs/dbraw/zinc/37/74/48/1073377448.db2.gz DDBPCJKXQACHAW-UHFFFAOYSA-N 0 0 427.508 -0.602 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000555724059 1073377466 /nfs/dbraw/zinc/37/74/66/1073377466.db2.gz INHZGABEVNTNPL-CGTJXYLNSA-N 0 0 437.518 -0.447 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000555724060 1073377535 /nfs/dbraw/zinc/37/75/35/1073377535.db2.gz INHZGABEVNTNPL-JQHSSLGASA-N 0 0 437.518 -0.447 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000555724061 1073377593 /nfs/dbraw/zinc/37/75/93/1073377593.db2.gz INHZGABEVNTNPL-RYQLBKOJSA-N 0 0 437.518 -0.447 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000555724062 1073377497 /nfs/dbraw/zinc/37/74/97/1073377497.db2.gz INHZGABEVNTNPL-SZMVWBNQSA-N 0 0 437.518 -0.447 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H]1CCC[C@@H]1CCO ZINC000555724097 1073377420 /nfs/dbraw/zinc/37/74/20/1073377420.db2.gz KBWKOWWRFMWTOK-AEFFLSMTSA-N 0 0 439.534 -0.009 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H]1CCC[C@H]1CCO ZINC000555724098 1073377562 /nfs/dbraw/zinc/37/75/62/1073377562.db2.gz KBWKOWWRFMWTOK-FUHWJXTLSA-N 0 0 439.534 -0.009 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@@H]1CCC[C@@H]1CCO ZINC000555724099 1073377487 /nfs/dbraw/zinc/37/74/87/1073377487.db2.gz KBWKOWWRFMWTOK-SJLPKXTDSA-N 0 0 439.534 -0.009 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N[C@H]1CCC[C@H]1CCO ZINC000555724100 1073377412 /nfs/dbraw/zinc/37/74/12/1073377412.db2.gz KBWKOWWRFMWTOK-WMZOPIPTSA-N 0 0 439.534 -0.009 20 0 IBADRN COC[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000555724176 1073377572 /nfs/dbraw/zinc/37/75/72/1073377572.db2.gz KXZPJHYBLFUSMJ-INIZCTEOSA-N 0 0 425.507 -0.181 20 0 IBADRN COC[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000555724177 1073377402 /nfs/dbraw/zinc/37/74/02/1073377402.db2.gz KXZPJHYBLFUSMJ-MRXNPFEDSA-N 0 0 425.507 -0.181 20 0 IBADRN O=C(NCC[C@H]1CCOC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555724231 1073377582 /nfs/dbraw/zinc/37/75/82/1073377582.db2.gz LZAAYCFYGOOSKZ-INIZCTEOSA-N 0 0 425.507 -0.134 20 0 IBADRN O=C(NCC[C@@H]1CCOC1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555724232 1073377553 /nfs/dbraw/zinc/37/75/53/1073377553.db2.gz LZAAYCFYGOOSKZ-MRXNPFEDSA-N 0 0 425.507 -0.134 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000555724442 1073377507 /nfs/dbraw/zinc/37/75/07/1073377507.db2.gz UKBVHWBGEYFESP-UHFFFAOYSA-N 0 0 425.507 -0.039 20 0 IBADRN CC[C@H]1COCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555724522 1073377088 /nfs/dbraw/zinc/37/70/88/1073377088.db2.gz XBQBPBKHQPXQRV-INIZCTEOSA-N 0 0 425.507 -0.039 20 0 IBADRN CC[C@@H]1COCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555724523 1073376869 /nfs/dbraw/zinc/37/68/69/1073376869.db2.gz XBQBPBKHQPXQRV-MRXNPFEDSA-N 0 0 425.507 -0.039 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)c1cnn(C)c1 ZINC000555724565 1073377097 /nfs/dbraw/zinc/37/70/97/1073377097.db2.gz YFVOXDFNAIQRMU-AWEZNQCLSA-N 0 0 435.506 -0.065 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)c1cnn(C)c1 ZINC000555724566 1073377002 /nfs/dbraw/zinc/37/70/02/1073377002.db2.gz YFVOXDFNAIQRMU-CQSZACIVSA-N 0 0 435.506 -0.065 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000555724572 1073376911 /nfs/dbraw/zinc/37/69/11/1073376911.db2.gz YUWNEYFNKYHLGK-IBGZPJMESA-N 0 0 438.506 -0.952 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000555724573 1073377076 /nfs/dbraw/zinc/37/70/76/1073377076.db2.gz YUWNEYFNKYHLGK-LJQANCHMSA-N 0 0 438.506 -0.952 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCn2ccnc2)CC1 ZINC000555724835 1073377836 /nfs/dbraw/zinc/37/78/36/1073377836.db2.gz LBCAROMVRAQMJG-UHFFFAOYSA-N 0 0 434.522 -0.748 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccs3)CC2)o1 ZINC000555725541 1073377475 /nfs/dbraw/zinc/37/74/75/1073377475.db2.gz VTEZHRRNCIOTGM-UHFFFAOYSA-N 0 0 440.503 -0.368 20 0 IBADRN CN(C[C@@H]1CCOC1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555727567 1073377807 /nfs/dbraw/zinc/37/78/07/1073377807.db2.gz OYLOSIGTLZBZRC-INIZCTEOSA-N 0 0 425.507 -0.181 20 0 IBADRN CN(C[C@H]1CCOC1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000555727568 1073377866 /nfs/dbraw/zinc/37/78/66/1073377866.db2.gz OYLOSIGTLZBZRC-MRXNPFEDSA-N 0 0 425.507 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC[C@@H](O)C3)CC2)cc1 ZINC000555727685 1073378177 /nfs/dbraw/zinc/37/81/77/1073378177.db2.gz XRVMIYYQMDQTGN-OAHLLOKOSA-N 0 0 425.507 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCn2cnc3ccccc32)CC1)N1CCOCC1 ZINC000555727748 1073378247 /nfs/dbraw/zinc/37/82/47/1073378247.db2.gz ZJNVIRBDWKGPJJ-UHFFFAOYSA-N 0 0 442.520 -0.454 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCO[C@@H](C2CC2)C1 ZINC000555728221 1073378185 /nfs/dbraw/zinc/37/81/85/1073378185.db2.gz ZHMPVCOOBVSZJS-GOSISDBHSA-N 0 0 437.518 -0.039 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCO[C@H](C2CC2)C1 ZINC000555728222 1073378207 /nfs/dbraw/zinc/37/82/07/1073378207.db2.gz ZHMPVCOOBVSZJS-SFHVURJKSA-N 0 0 437.518 -0.039 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000555733014 1073379239 /nfs/dbraw/zinc/37/92/39/1073379239.db2.gz UPXVHBPKBARMKR-IYBDPMFKSA-N 0 0 426.477 -0.417 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1)c1cccc(S(N)(=O)=O)c1 ZINC000555734166 1073378520 /nfs/dbraw/zinc/37/85/20/1073378520.db2.gz FKEKQWBYZNNTKT-KBPBESRZSA-N 0 0 426.539 -0.185 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1)c1cccc(S(N)(=O)=O)c1 ZINC000555734167 1073379050 /nfs/dbraw/zinc/37/90/50/1073379050.db2.gz FKEKQWBYZNNTKT-KGLIPLIRSA-N 0 0 426.539 -0.185 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1)c1cccc(S(N)(=O)=O)c1 ZINC000555734168 1073378874 /nfs/dbraw/zinc/37/88/74/1073378874.db2.gz FKEKQWBYZNNTKT-UONOGXRCSA-N 0 0 426.539 -0.185 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1)c1cccc(S(N)(=O)=O)c1 ZINC000555734169 1073379385 /nfs/dbraw/zinc/37/93/85/1073379385.db2.gz FKEKQWBYZNNTKT-ZIAGYGMSSA-N 0 0 426.539 -0.185 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000555735091 1073379497 /nfs/dbraw/zinc/37/94/97/1073379497.db2.gz QCQHVNPXLHXFSD-CYBMUJFWSA-N 0 0 440.522 -0.393 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000555735098 1073379626 /nfs/dbraw/zinc/37/96/26/1073379626.db2.gz QCQHVNPXLHXFSD-ZDUSSCGKSA-N 0 0 440.522 -0.393 20 0 IBADRN COc1ccc(C)cc1CCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555739090 1073378311 /nfs/dbraw/zinc/37/83/11/1073378311.db2.gz HPBKKEQRCVGNHN-UHFFFAOYSA-N 0 0 446.504 -0.808 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000555740486 1073378391 /nfs/dbraw/zinc/37/83/91/1073378391.db2.gz FYJBAMHXCBNHCO-AWEZNQCLSA-N 0 0 445.501 -0.109 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000555740487 1073378214 /nfs/dbraw/zinc/37/82/14/1073378214.db2.gz FYJBAMHXCBNHCO-CQSZACIVSA-N 0 0 445.501 -0.109 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1)NC1CC1 ZINC000555741080 1073379456 /nfs/dbraw/zinc/37/94/56/1073379456.db2.gz RCVWWRMCPBVAFQ-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000555741337 1073379653 /nfs/dbraw/zinc/37/96/53/1073379653.db2.gz FRMLMBPPBGQVIM-UHFFFAOYSA-N 0 0 440.497 -0.214 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3ccccc3CN3CCOCC3)CC2)n1 ZINC000555741880 1073363699 /nfs/dbraw/zinc/36/36/99/1073363699.db2.gz YBSVWOXHMKQUDK-UHFFFAOYSA-N 0 0 445.549 -0.083 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000555741910 1073379362 /nfs/dbraw/zinc/37/93/62/1073379362.db2.gz ZBDAPTDMXKDFDY-UHFFFAOYSA-N 0 0 431.537 -0.585 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000555742812 1073379617 /nfs/dbraw/zinc/37/96/17/1073379617.db2.gz WXRNJFCNHDWHJZ-UHFFFAOYSA-N 0 0 434.518 -0.283 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000555744445 1073379593 /nfs/dbraw/zinc/37/95/93/1073379593.db2.gz RXOBRPWPKBLHSQ-UHFFFAOYSA-N 0 0 429.521 -0.031 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CC1 ZINC000555745120 1073379951 /nfs/dbraw/zinc/37/99/51/1073379951.db2.gz JUBQMGYELHRRMH-UHFFFAOYSA-N 0 0 429.521 -0.426 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000555745341 1073379481 /nfs/dbraw/zinc/37/94/81/1073379481.db2.gz SYJHBKJGCUEIBZ-UHFFFAOYSA-N 0 0 438.462 -0.997 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CC1 ZINC000555746243 1073379888 /nfs/dbraw/zinc/37/98/88/1073379888.db2.gz DNSXJCSZRISBNV-UHFFFAOYSA-N 0 0 447.511 -0.173 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)CC1 ZINC000555747252 1073379807 /nfs/dbraw/zinc/37/98/07/1073379807.db2.gz VBQWVCDKRSTPED-APWZRJJASA-N 0 0 435.569 -0.047 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC2)CC1 ZINC000555747253 1073379947 /nfs/dbraw/zinc/37/99/47/1073379947.db2.gz VBQWVCDKRSTPED-LPHOPBHVSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC2)CC1 ZINC000555747254 1073379849 /nfs/dbraw/zinc/37/98/49/1073379849.db2.gz VBQWVCDKRSTPED-QFBILLFUSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)CC1 ZINC000555747255 1073379974 /nfs/dbraw/zinc/37/99/74/1073379974.db2.gz VBQWVCDKRSTPED-VQIMIIECSA-N 0 0 435.569 -0.047 20 0 IBADRN COc1ccc(C)cc1CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000555749912 1073379644 /nfs/dbraw/zinc/37/96/44/1073379644.db2.gz YTLJFKKXQBXREJ-UHFFFAOYSA-N 0 0 432.521 -0.335 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000555752770 1073379419 /nfs/dbraw/zinc/37/94/19/1073379419.db2.gz LDCIXVFULDRMAV-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000555754911 1073380399 /nfs/dbraw/zinc/38/03/99/1073380399.db2.gz OJXOASNFSLGINF-UHFFFAOYSA-N 0 0 428.497 -0.623 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccc(CN4CCOCC4)cc3)CC2=O)cn1 ZINC000555755249 1073380506 /nfs/dbraw/zinc/38/05/06/1073380506.db2.gz KTXAEGRXNLTDIP-UHFFFAOYSA-N 0 0 440.504 -0.256 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000555755709 1073380802 /nfs/dbraw/zinc/38/08/02/1073380802.db2.gz XPLVVOJEUVGQGC-UHFFFAOYSA-N 0 0 426.477 -0.529 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccc(C)cc2)CC1 ZINC000555762584 1073379983 /nfs/dbraw/zinc/37/99/83/1073379983.db2.gz GGMAOUCBHGJJHV-IBGZPJMESA-N 0 0 432.521 -0.198 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccc(C)cc2)CC1 ZINC000555762585 1073379929 /nfs/dbraw/zinc/37/99/29/1073379929.db2.gz GGMAOUCBHGJJHV-LJQANCHMSA-N 0 0 432.521 -0.198 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccc(C)cc2)CCO1 ZINC000555762995 1073379820 /nfs/dbraw/zinc/37/98/20/1073379820.db2.gz QWMJRTOWGURZDA-PMACEKPBSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccc(C)cc2)CCO1 ZINC000555762996 1073379960 /nfs/dbraw/zinc/37/99/60/1073379960.db2.gz QWMJRTOWGURZDA-UXHICEINSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccc(C)cc2)CCO1 ZINC000555762997 1073379939 /nfs/dbraw/zinc/37/99/39/1073379939.db2.gz QWMJRTOWGURZDA-VQTJNVASSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccc(C)cc2)CCO1 ZINC000555762998 1073380445 /nfs/dbraw/zinc/38/04/45/1073380445.db2.gz QWMJRTOWGURZDA-WOJBJXKFSA-N 0 0 432.521 -0.152 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000555764397 1073380408 /nfs/dbraw/zinc/38/04/08/1073380408.db2.gz CIZWKXWRRDUYBY-FQEVSTJZSA-N 0 0 445.564 -0.235 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000555764399 1073380326 /nfs/dbraw/zinc/38/03/26/1073380326.db2.gz CIZWKXWRRDUYBY-HXUWFJFHSA-N 0 0 445.564 -0.235 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCOC[C@@H]2CCCO2)CCN1c1nccn2cnnc12 ZINC000555764540 1073380480 /nfs/dbraw/zinc/38/04/80/1073380480.db2.gz CSNCWLAKSSOUJZ-CVEARBPZSA-N 0 0 431.497 -0.137 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCOC[C@@H]2CCCO2)CCN1c1nccn2cnnc12 ZINC000555764541 1073380463 /nfs/dbraw/zinc/38/04/63/1073380463.db2.gz CSNCWLAKSSOUJZ-HOTGVXAUSA-N 0 0 431.497 -0.137 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCOC[C@H]2CCCO2)CCN1c1nccn2cnnc12 ZINC000555764542 1073380454 /nfs/dbraw/zinc/38/04/54/1073380454.db2.gz CSNCWLAKSSOUJZ-HZPDHXFCSA-N 0 0 431.497 -0.137 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCOC[C@H]2CCCO2)CCN1c1nccn2cnnc12 ZINC000555764543 1073380494 /nfs/dbraw/zinc/38/04/94/1073380494.db2.gz CSNCWLAKSSOUJZ-JKSUJKDBSA-N 0 0 431.497 -0.137 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000555765345 1073380783 /nfs/dbraw/zinc/38/07/83/1073380783.db2.gz WUSDLWUEEPGUQJ-GOSISDBHSA-N 0 0 438.550 -0.412 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000555765346 1073380666 /nfs/dbraw/zinc/38/06/66/1073380666.db2.gz WUSDLWUEEPGUQJ-SFHVURJKSA-N 0 0 438.550 -0.412 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1cn2ccsc2n1)N1CCN(c2ncccn2)CC1 ZINC000555765843 1073380823 /nfs/dbraw/zinc/38/08/23/1073380823.db2.gz PWAZBERSPODZKV-UHFFFAOYSA-N 0 0 442.505 -0.343 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)C1 ZINC000555768106 1073380846 /nfs/dbraw/zinc/38/08/46/1073380846.db2.gz WSWOBMHDPRPOLD-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)C1 ZINC000555768110 1073380752 /nfs/dbraw/zinc/38/07/52/1073380752.db2.gz WSWOBMHDPRPOLD-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000555775993 1073380885 /nfs/dbraw/zinc/38/08/85/1073380885.db2.gz CCIKRGNXERVVDY-UHFFFAOYSA-N 0 0 425.507 -0.241 20 0 IBADRN C[C@H](OCCCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000555776299 1073380905 /nfs/dbraw/zinc/38/09/05/1073380905.db2.gz IWGMANOKTIKDGT-INIZCTEOSA-N 0 0 429.481 -0.372 20 0 IBADRN C[C@@H](OCCCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000555776300 1073380832 /nfs/dbraw/zinc/38/08/32/1073380832.db2.gz IWGMANOKTIKDGT-MRXNPFEDSA-N 0 0 429.481 -0.372 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000555776351 1073380772 /nfs/dbraw/zinc/38/07/72/1073380772.db2.gz DZXOXESZVITUFS-UHFFFAOYSA-N 0 0 437.522 -0.431 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1=O ZINC000555779899 1073381385 /nfs/dbraw/zinc/38/13/85/1073381385.db2.gz NCNFBCNEUCVTSU-IBGZPJMESA-N 0 0 432.521 -0.117 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1=O ZINC000555779900 1073381329 /nfs/dbraw/zinc/38/13/29/1073381329.db2.gz NCNFBCNEUCVTSU-LJQANCHMSA-N 0 0 432.521 -0.117 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000555781105 1073381766 /nfs/dbraw/zinc/38/17/66/1073381766.db2.gz ICFMQXMCMFHJNE-FQEVSTJZSA-N 0 0 445.564 -0.155 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000555781112 1073381782 /nfs/dbraw/zinc/38/17/82/1073381782.db2.gz ICFMQXMCMFHJNE-HXUWFJFHSA-N 0 0 445.564 -0.155 20 0 IBADRN O=C(NCc1cn2ccsc2n1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000555781190 1073381640 /nfs/dbraw/zinc/38/16/40/1073381640.db2.gz JIDZLCHKBHRYFB-UHFFFAOYSA-N 0 0 434.503 -0.060 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000555781432 1073381686 /nfs/dbraw/zinc/38/16/86/1073381686.db2.gz GJJSHPIGUYCEIE-FQEVSTJZSA-N 0 0 445.564 -0.201 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000555781438 1073381750 /nfs/dbraw/zinc/38/17/50/1073381750.db2.gz GJJSHPIGUYCEIE-HXUWFJFHSA-N 0 0 445.564 -0.201 20 0 IBADRN O=C(NCc1cn2ccsc2n1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555782056 1073381338 /nfs/dbraw/zinc/38/13/38/1073381338.db2.gz RHDCLQDZMDVQKQ-UHFFFAOYSA-N 0 0 440.485 -0.100 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000555782164 1073381795 /nfs/dbraw/zinc/38/17/95/1073381795.db2.gz PTMAYRJHJAMMSC-IBGZPJMESA-N 0 0 431.537 -0.544 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000555782165 1073381726 /nfs/dbraw/zinc/38/17/26/1073381726.db2.gz PTMAYRJHJAMMSC-LJQANCHMSA-N 0 0 431.537 -0.544 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)C1 ZINC000555782656 1073381649 /nfs/dbraw/zinc/38/16/49/1073381649.db2.gz XPIHNOSFLPWMOG-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)C1 ZINC000555782657 1073381807 /nfs/dbraw/zinc/38/18/07/1073381807.db2.gz XPIHNOSFLPWMOG-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)C1 ZINC000555782658 1073381812 /nfs/dbraw/zinc/38/18/12/1073381812.db2.gz XPIHNOSFLPWMOG-ROUUACIJSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)C1 ZINC000555782659 1073381718 /nfs/dbraw/zinc/38/17/18/1073381718.db2.gz XPIHNOSFLPWMOG-ZWKOTPCHSA-N 0 0 438.550 -0.284 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCc1ccc(-n2cccn2)cc1 ZINC000555785907 1073381264 /nfs/dbraw/zinc/38/12/64/1073381264.db2.gz PSBDVISXRVBOFL-UHFFFAOYSA-N 0 0 446.489 -0.245 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2ccc(OC)nc2)c1 ZINC000555787816 1073381273 /nfs/dbraw/zinc/38/12/73/1073381273.db2.gz GSXGDGIBUOTUAX-UHFFFAOYSA-N 0 0 436.490 -0.053 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000555787841 1073381254 /nfs/dbraw/zinc/38/12/54/1073381254.db2.gz GETTZCQCTHUCQW-INIZCTEOSA-N 0 0 444.536 -0.654 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000555787848 1073381394 /nfs/dbraw/zinc/38/13/94/1073381394.db2.gz GETTZCQCTHUCQW-MRXNPFEDSA-N 0 0 444.536 -0.654 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)nc2ccccc12 ZINC000555789014 1073382794 /nfs/dbraw/zinc/38/27/94/1073382794.db2.gz XFZCCGPZNMRBLX-UHFFFAOYSA-N 0 0 435.492 -0.290 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)CC2)n1 ZINC000555789126 1073363554 /nfs/dbraw/zinc/36/35/54/1073363554.db2.gz OMUGZRBATHDZSF-UHFFFAOYSA-N 0 0 432.510 -0.683 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000555789424 1073382762 /nfs/dbraw/zinc/38/27/62/1073382762.db2.gz YIVUECCKLINSKT-KRWDZBQOSA-N 0 0 437.504 -0.210 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000555789426 1073382523 /nfs/dbraw/zinc/38/25/23/1073382523.db2.gz YIVUECCKLINSKT-QGZVFWFLSA-N 0 0 437.504 -0.210 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCC3)CC1)NCc1ccccc1 ZINC000555789668 1073381234 /nfs/dbraw/zinc/38/12/34/1073381234.db2.gz CETXFHURILEBIM-UHFFFAOYSA-N 0 0 425.493 -0.699 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)NCc1nnc2n1CCC2 ZINC000555789754 1073382529 /nfs/dbraw/zinc/38/25/29/1073382529.db2.gz HGQMAQFUOLYANX-UHFFFAOYSA-N 0 0 426.886 -0.411 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000555790106 1073382233 /nfs/dbraw/zinc/38/22/33/1073382233.db2.gz DWYQBIYBELVACM-UHFFFAOYSA-N 0 0 427.465 -0.649 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)CC1 ZINC000555790514 1073382171 /nfs/dbraw/zinc/38/21/71/1073382171.db2.gz KNYFBCZBEJJINV-UHFFFAOYSA-N 0 0 431.541 -0.320 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCC3)CC1 ZINC000555790532 1073382273 /nfs/dbraw/zinc/38/22/73/1073382273.db2.gz LGKGRTAFTZAHGF-UHFFFAOYSA-N 0 0 430.440 -0.271 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000555790606 1073381735 /nfs/dbraw/zinc/38/17/35/1073381735.db2.gz OQDNAZDYGUGLCC-UHFFFAOYSA-N 0 0 432.506 -0.485 20 0 IBADRN O=C(N[C@H](c1cccs1)C1CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555793199 1073382259 /nfs/dbraw/zinc/38/22/59/1073382259.db2.gz RKDKIJFYDMLFSR-INIZCTEOSA-N 0 0 434.518 -0.155 20 0 IBADRN O=C(N[C@@H](c1cccs1)C1CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555793200 1073382329 /nfs/dbraw/zinc/38/23/29/1073382329.db2.gz RKDKIJFYDMLFSR-MRXNPFEDSA-N 0 0 434.518 -0.155 20 0 IBADRN O=C(NCC1(c2ccccc2)CCC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555794146 1073382243 /nfs/dbraw/zinc/38/22/43/1073382243.db2.gz GHNKLWQWFXIBPC-UHFFFAOYSA-N 0 0 442.516 -0.246 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CC(=O)N(CC3CC3)C2)c[nH]1)N1CC(=O)N(CC2CC2)C1 ZINC000555795076 1073382334 /nfs/dbraw/zinc/38/23/34/1073382334.db2.gz KGLOFMMNMOTBDA-UHFFFAOYSA-N 0 0 435.506 -0.133 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000555796864 1073382321 /nfs/dbraw/zinc/38/23/21/1073382321.db2.gz TVKSVFLEVRDHLT-UHFFFAOYSA-N 0 0 427.509 -0.388 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000555797212 1073382278 /nfs/dbraw/zinc/38/22/78/1073382278.db2.gz XKLGCSWGLVEUBG-UHFFFAOYSA-N 0 0 446.552 -0.453 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000555798004 1073382149 /nfs/dbraw/zinc/38/21/49/1073382149.db2.gz OBTJAOBFWRPHDM-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)[C@@H](C)C1 ZINC000555798315 1073382209 /nfs/dbraw/zinc/38/22/09/1073382209.db2.gz XHNYVAWJKCWRIO-INIZCTEOSA-N 0 0 433.509 -0.370 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)[C@H](C)C1 ZINC000555798316 1073382291 /nfs/dbraw/zinc/38/22/91/1073382291.db2.gz XHNYVAWJKCWRIO-MRXNPFEDSA-N 0 0 433.509 -0.370 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)CC2)n1 ZINC000555798432 1073382284 /nfs/dbraw/zinc/38/22/84/1073382284.db2.gz ZDIQEPBUTPGHCL-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN COCCOCCNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000555799315 1073382191 /nfs/dbraw/zinc/38/21/91/1073382191.db2.gz FLPABHFMJYMSNZ-UHFFFAOYSA-N 0 0 435.524 -0.836 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1nnc2n1CCCCC2 ZINC000555799598 1073382309 /nfs/dbraw/zinc/38/23/09/1073382309.db2.gz VROLULYALZUSHE-MRXNPFEDSA-N 0 0 447.540 -0.815 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1=O ZINC000555799683 1073382200 /nfs/dbraw/zinc/38/22/00/1073382200.db2.gz HQOJOUXDIHRPGZ-UHFFFAOYSA-N 0 0 444.535 -0.924 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000555800175 1073382787 /nfs/dbraw/zinc/38/27/87/1073382787.db2.gz PFBDIAJMDSAXKA-UHFFFAOYSA-N 0 0 441.535 -0.212 20 0 IBADRN Cc1n[nH]cc1CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000555800378 1073382560 /nfs/dbraw/zinc/38/25/60/1073382560.db2.gz WNEKFMSOSKRWRN-UHFFFAOYSA-N 0 0 427.508 -0.267 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000555800432 1073382809 /nfs/dbraw/zinc/38/28/09/1073382809.db2.gz ZUXKTGKMJDZBLU-UHFFFAOYSA-N 0 0 430.552 -0.451 20 0 IBADRN Cn1ccnc1[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000555801502 1073382677 /nfs/dbraw/zinc/38/26/77/1073382677.db2.gz UQWDLRLTSLTPPO-KRWDZBQOSA-N 0 0 438.488 -0.425 20 0 IBADRN Cn1ccnc1[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000555801506 1073382665 /nfs/dbraw/zinc/38/26/65/1073382665.db2.gz UQWDLRLTSLTPPO-QGZVFWFLSA-N 0 0 438.488 -0.425 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2coc(-c3cccs3)n2)CC1 ZINC000555804615 1073382992 /nfs/dbraw/zinc/38/29/92/1073382992.db2.gz YALGCUCBESFHEF-UHFFFAOYSA-N 0 0 430.450 -0.623 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C[C@H](C)O1 ZINC000555806286 1073383022 /nfs/dbraw/zinc/38/30/22/1073383022.db2.gz DMVUHXUEPWIUCI-HDICACEKSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C[C@@H](C)O1 ZINC000555806287 1073383061 /nfs/dbraw/zinc/38/30/61/1073383061.db2.gz DMVUHXUEPWIUCI-QZTJIDSGSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C[C@H](C)O1 ZINC000555806288 1073383150 /nfs/dbraw/zinc/38/31/50/1073383150.db2.gz DMVUHXUEPWIUCI-ROUUACIJSA-N 0 0 437.585 -0.243 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c1 ZINC000555806614 1073383051 /nfs/dbraw/zinc/38/30/51/1073383051.db2.gz ORVRNHSBMAWXHI-UHFFFAOYSA-N 0 0 443.507 -0.395 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)NC[C@H]3COCCO3)CC2)cc1 ZINC000555810016 1073383135 /nfs/dbraw/zinc/38/31/35/1073383135.db2.gz CLZKSVZQKIABKT-INIZCTEOSA-N 0 0 425.507 -0.204 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)NC[C@@H]3COCCO3)CC2)cc1 ZINC000555810017 1073383094 /nfs/dbraw/zinc/38/30/94/1073383094.db2.gz CLZKSVZQKIABKT-MRXNPFEDSA-N 0 0 425.507 -0.204 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3CC[C@](C)(C(N)=O)C3)CC2)cc1 ZINC000555810219 1073382687 /nfs/dbraw/zinc/38/26/87/1073382687.db2.gz MFBDVTKKYBCSJC-FQEVSTJZSA-N 0 0 436.534 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3CC[C@@](C)(C(N)=O)C3)CC2)cc1 ZINC000555810220 1073382800 /nfs/dbraw/zinc/38/28/00/1073382800.db2.gz MFBDVTKKYBCSJC-HXUWFJFHSA-N 0 0 436.534 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3CCn4cnnc4C3)CC2)cc1 ZINC000555811321 1073383117 /nfs/dbraw/zinc/38/31/17/1073383117.db2.gz XKQVILRWEUSSTG-UHFFFAOYSA-N 0 0 432.506 -0.102 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1cnn(-c2ccccc2)c1 ZINC000555813020 1073383081 /nfs/dbraw/zinc/38/30/81/1073383081.db2.gz VIWLPUSDZSOSOT-UHFFFAOYSA-N 0 0 432.462 -0.288 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000555821807 1073383275 /nfs/dbraw/zinc/38/32/75/1073383275.db2.gz QXAQJVQDHVZKDW-UHFFFAOYSA-N 0 0 441.414 -0.355 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](CCSC)c2nnc3ccccn32)CC1 ZINC000555823077 1073383320 /nfs/dbraw/zinc/38/33/20/1073383320.db2.gz KMQLECRUAKQXNF-AWEZNQCLSA-N 0 0 434.522 -0.043 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](CCSC)c2nnc3ccccn32)CC1 ZINC000555823078 1073383309 /nfs/dbraw/zinc/38/33/09/1073383309.db2.gz KMQLECRUAKQXNF-CQSZACIVSA-N 0 0 434.522 -0.043 20 0 IBADRN O=C(N[C@@H]1CC[C@H]2CCCC[C@H]2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555823422 1073383381 /nfs/dbraw/zinc/38/33/81/1073383381.db2.gz WIGVMHNWJOIWAE-FGTMMUONSA-N 0 0 434.537 -0.009 20 0 IBADRN O=C(N[C@@H]1CC[C@H]2CCCC[C@@H]2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555823425 1073383462 /nfs/dbraw/zinc/38/34/62/1073383462.db2.gz WIGVMHNWJOIWAE-KZNAEPCWSA-N 0 0 434.537 -0.009 20 0 IBADRN O=C(N[C@@H]1CC[C@@H]2CCCC[C@H]2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555823427 1073383445 /nfs/dbraw/zinc/38/34/45/1073383445.db2.gz WIGVMHNWJOIWAE-OKZBNKHCSA-N 0 0 434.537 -0.009 20 0 IBADRN O=C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555823429 1073383331 /nfs/dbraw/zinc/38/33/31/1073383331.db2.gz WIGVMHNWJOIWAE-RCCFBDPRSA-N 0 0 434.537 -0.009 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1nnc2ccc(C(F)(F)F)cn21 ZINC000555824232 1073383390 /nfs/dbraw/zinc/38/33/90/1073383390.db2.gz YZBJVOAHSCWHBL-LLVKDONJSA-N 0 0 448.427 -0.096 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1nnc2ccc(C(F)(F)F)cn21 ZINC000555824236 1073383399 /nfs/dbraw/zinc/38/33/99/1073383399.db2.gz YZBJVOAHSCWHBL-NSHDSACASA-N 0 0 448.427 -0.096 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1nnc2ccc(C(F)(F)F)cn21 ZINC000555825180 1073383484 /nfs/dbraw/zinc/38/34/84/1073383484.db2.gz KSQGMAGQSPLWGS-UHFFFAOYSA-N 0 0 436.354 -0.300 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCN(C)c2ccccc2)CC1 ZINC000555826057 1073383365 /nfs/dbraw/zinc/38/33/65/1073383365.db2.gz LLEVEOKCBRANAA-GOSISDBHSA-N 0 0 431.537 -0.370 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCN(C)c2ccccc2)CC1 ZINC000555826058 1073383467 /nfs/dbraw/zinc/38/34/67/1073383467.db2.gz LLEVEOKCBRANAA-SFHVURJKSA-N 0 0 431.537 -0.370 20 0 IBADRN COc1ccc([C@H](NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c2nccn2C)cc1 ZINC000555826519 1073383473 /nfs/dbraw/zinc/38/34/73/1073383473.db2.gz PSTSBLNPDJXTQD-HKUYNNGSSA-N 0 0 445.520 -0.214 20 0 IBADRN COc1ccc([C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c2nccn2C)cc1 ZINC000555826529 1073383344 /nfs/dbraw/zinc/38/33/44/1073383344.db2.gz PSTSBLNPDJXTQD-IEBWSBKVSA-N 0 0 445.520 -0.214 20 0 IBADRN COc1ccc([C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c2nccn2C)cc1 ZINC000555826531 1073383428 /nfs/dbraw/zinc/38/34/28/1073383428.db2.gz PSTSBLNPDJXTQD-MJGOQNOKSA-N 0 0 445.520 -0.214 20 0 IBADRN COc1ccc([C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c2nccn2C)cc1 ZINC000555826534 1073383409 /nfs/dbraw/zinc/38/34/09/1073383409.db2.gz PSTSBLNPDJXTQD-PKOBYXMFSA-N 0 0 445.520 -0.214 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C[C@H]1CO ZINC000555826796 1073383295 /nfs/dbraw/zinc/38/32/95/1073383295.db2.gz UAZWPBRFDJJKGB-QAPCUYQASA-N 0 0 439.534 -0.448 20 0 IBADRN CN(C[C@@H]1CCOC1)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000555826820 1073383495 /nfs/dbraw/zinc/38/34/95/1073383495.db2.gz UXFLIKKMUZGNDV-INIZCTEOSA-N 0 0 439.534 -0.040 20 0 IBADRN CN(C[C@H]1CCOC1)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000555826821 1073383372 /nfs/dbraw/zinc/38/33/72/1073383372.db2.gz UXFLIKKMUZGNDV-MRXNPFEDSA-N 0 0 439.534 -0.040 20 0 IBADRN CN(CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)c1ccccc1 ZINC000555828479 1073383824 /nfs/dbraw/zinc/38/38/24/1073383824.db2.gz YMTRBWWQHKATRP-UHFFFAOYSA-N 0 0 439.520 -0.116 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCCCOc2ccc(F)cc2)CC1 ZINC000555828798 1073383829 /nfs/dbraw/zinc/38/38/29/1073383829.db2.gz ZSUWQQNARDZTMV-UHFFFAOYSA-N 0 0 433.444 -0.542 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N(CCO)C1CCC1 ZINC000555828886 1073383671 /nfs/dbraw/zinc/38/36/71/1073383671.db2.gz RJIWNEHZZXVWFO-UHFFFAOYSA-N 0 0 439.534 -0.162 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)N2CCOCC2)o1 ZINC000555829286 1073383833 /nfs/dbraw/zinc/38/38/33/1073383833.db2.gz XFLHRUBJQBMSGR-HKUYNNGSSA-N 0 0 448.520 -0.073 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)N2CCOCC2)o1 ZINC000555829287 1073383788 /nfs/dbraw/zinc/38/37/88/1073383788.db2.gz XFLHRUBJQBMSGR-IEBWSBKVSA-N 0 0 448.520 -0.073 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)N2CCOCC2)o1 ZINC000555829288 1073383734 /nfs/dbraw/zinc/38/37/34/1073383734.db2.gz XFLHRUBJQBMSGR-MJGOQNOKSA-N 0 0 448.520 -0.073 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)N2CCOCC2)o1 ZINC000555829289 1073383692 /nfs/dbraw/zinc/38/36/92/1073383692.db2.gz XFLHRUBJQBMSGR-PKOBYXMFSA-N 0 0 448.520 -0.073 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)N2CCOCC2)o1 ZINC000555829351 1073383794 /nfs/dbraw/zinc/38/37/94/1073383794.db2.gz YGIGKKRJFIVYJO-MSOLQXFVSA-N 0 0 438.525 -0.771 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)N2CCOCC2)o1 ZINC000555829352 1073383767 /nfs/dbraw/zinc/38/37/67/1073383767.db2.gz YGIGKKRJFIVYJO-QZTJIDSGSA-N 0 0 438.525 -0.771 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)N2CCOCC2)o1 ZINC000555829353 1073383714 /nfs/dbraw/zinc/38/37/14/1073383714.db2.gz YGIGKKRJFIVYJO-ROUUACIJSA-N 0 0 438.525 -0.771 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)N2CCOCC2)o1 ZINC000555829354 1073383815 /nfs/dbraw/zinc/38/38/15/1073383815.db2.gz YGIGKKRJFIVYJO-ZWKOTPCHSA-N 0 0 438.525 -0.771 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)o1 ZINC000555831841 1073383682 /nfs/dbraw/zinc/38/36/82/1073383682.db2.gz WFEQTULGMGADLG-HNNXBMFYSA-N 0 0 428.511 -0.819 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)N2CCOCC2)o1 ZINC000555831842 1073383810 /nfs/dbraw/zinc/38/38/10/1073383810.db2.gz WFEQTULGMGADLG-OAHLLOKOSA-N 0 0 428.511 -0.819 20 0 IBADRN O=C(Nc1cn(Cc2ccccc2)nn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000555837804 1073384327 /nfs/dbraw/zinc/38/43/27/1073384327.db2.gz OEPDYIXLDUMCMT-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000555837967 1073384225 /nfs/dbraw/zinc/38/42/25/1073384225.db2.gz OLSHFWIGXXJHNC-UHFFFAOYSA-N 0 0 443.416 -0.142 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000555839704 1073384261 /nfs/dbraw/zinc/38/42/61/1073384261.db2.gz RIMRKIKWDSEUKG-GOSISDBHSA-N 0 0 438.550 -0.326 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000555839705 1073384053 /nfs/dbraw/zinc/38/40/53/1073384053.db2.gz RIMRKIKWDSEUKG-SFHVURJKSA-N 0 0 438.550 -0.326 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](c2nccn2C)c2cc(OC)cc(OC)c2)CCO1 ZINC000555840140 1073384202 /nfs/dbraw/zinc/38/42/02/1073384202.db2.gz FFZRVENPXQDAFK-DLBZAZTESA-N 0 0 445.476 -0.384 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H](c2nccn2C)c2cc(OC)cc(OC)c2)CCO1 ZINC000555840141 1073384280 /nfs/dbraw/zinc/38/42/80/1073384280.db2.gz FFZRVENPXQDAFK-IAGOWNOFSA-N 0 0 445.476 -0.384 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H](c2nccn2C)c2cc(OC)cc(OC)c2)CCO1 ZINC000555840142 1073384118 /nfs/dbraw/zinc/38/41/18/1073384118.db2.gz FFZRVENPXQDAFK-IRXDYDNUSA-N 0 0 445.476 -0.384 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H](c2nccn2C)c2cc(OC)cc(OC)c2)CCO1 ZINC000555840143 1073384212 /nfs/dbraw/zinc/38/42/12/1073384212.db2.gz FFZRVENPXQDAFK-SJORKVTESA-N 0 0 445.476 -0.384 20 0 IBADRN COc1ccc([C@H](NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)c2nccn2C)cc1 ZINC000555840388 1073384321 /nfs/dbraw/zinc/38/43/21/1073384321.db2.gz LPNDWJCPYPUEII-MSOLQXFVSA-N 0 0 429.477 -0.002 20 0 IBADRN COc1ccc([C@@H](NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)c2nccn2C)cc1 ZINC000555840389 1073384140 /nfs/dbraw/zinc/38/41/40/1073384140.db2.gz LPNDWJCPYPUEII-QZTJIDSGSA-N 0 0 429.477 -0.002 20 0 IBADRN COc1ccc([C@H](NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)c2nccn2C)cc1 ZINC000555840390 1073384240 /nfs/dbraw/zinc/38/42/40/1073384240.db2.gz LPNDWJCPYPUEII-ROUUACIJSA-N 0 0 429.477 -0.002 20 0 IBADRN COc1ccc([C@@H](NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)c2nccn2C)cc1 ZINC000555840391 1073384270 /nfs/dbraw/zinc/38/42/70/1073384270.db2.gz LPNDWJCPYPUEII-ZWKOTPCHSA-N 0 0 429.477 -0.002 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccccc3Cn3cccn3)CC2)c1=O ZINC000555840971 1073384065 /nfs/dbraw/zinc/38/40/65/1073384065.db2.gz UVNRYUFAFXNKDS-UHFFFAOYSA-N 0 0 435.488 -0.010 20 0 IBADRN COc1ccc([C@H](NC(=O)C(=O)NCCNC(=O)c2cnccn2)c2nccn2C)cc1 ZINC000555841530 1073384519 /nfs/dbraw/zinc/38/45/19/1073384519.db2.gz YQCLMKRHPAYMQK-KRWDZBQOSA-N 0 0 437.460 -0.030 20 0 IBADRN COc1ccc([C@@H](NC(=O)C(=O)NCCNC(=O)c2cnccn2)c2nccn2C)cc1 ZINC000555841534 1073384758 /nfs/dbraw/zinc/38/47/58/1073384758.db2.gz YQCLMKRHPAYMQK-QGZVFWFLSA-N 0 0 437.460 -0.030 20 0 IBADRN C[C@@]1(CO)CCCN1C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000555841601 1073384249 /nfs/dbraw/zinc/38/42/49/1073384249.db2.gz ZSABONXNROKALR-FQEVSTJZSA-N 0 0 439.534 -0.162 20 0 IBADRN C[C@]1(CO)CCCN1C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000555841602 1073384799 /nfs/dbraw/zinc/38/47/99/1073384799.db2.gz ZSABONXNROKALR-HXUWFJFHSA-N 0 0 439.534 -0.162 20 0 IBADRN O=C(NCc1ccccc1Cn1cccn1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000555841912 1073384127 /nfs/dbraw/zinc/38/41/27/1073384127.db2.gz SVHQVLMXFKLTEW-UHFFFAOYSA-N 0 0 438.488 -0.503 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ncn(C)n3)CC2)c1C ZINC000555847257 1073319363 /nfs/dbraw/zinc/31/93/63/1073319363.db2.gz GXWZLJQEHWWIMM-UHFFFAOYSA-N 0 0 434.522 0.520 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000555847323 1073385206 /nfs/dbraw/zinc/38/52/06/1073385206.db2.gz JBZQKWKRHKGSON-GFCCVEGCSA-N 0 0 447.500 -0.676 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000555847327 1073385070 /nfs/dbraw/zinc/38/50/70/1073385070.db2.gz JBZQKWKRHKGSON-LBPRGKRZSA-N 0 0 447.500 -0.676 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)n1 ZINC000555847346 1073306737 /nfs/dbraw/zinc/30/67/37/1073306737.db2.gz JRKHIJBCKBYEGX-UHFFFAOYSA-N 0 0 430.849 0.079 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc(OC)c1C ZINC000555847352 1073385190 /nfs/dbraw/zinc/38/51/90/1073385190.db2.gz JWRSEXBGTLEPLC-UHFFFAOYSA-N 0 0 448.476 -0.520 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2nc(C(=O)OCC)c(C(C)=O)s2)CC1 ZINC000555847381 1073385115 /nfs/dbraw/zinc/38/51/15/1073385115.db2.gz KVLBKAQBCAIEQT-UHFFFAOYSA-N 0 0 439.494 -0.259 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)nn1 ZINC000555847821 1073385213 /nfs/dbraw/zinc/38/52/13/1073385213.db2.gz YHCYBFYXKWDQOB-UHFFFAOYSA-N 0 0 436.450 -0.942 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)nn1C ZINC000555847836 1073349962 /nfs/dbraw/zinc/34/99/62/1073349962.db2.gz YSHGWEFOCSTOHX-UHFFFAOYSA-N 0 0 432.506 0.084 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)nn1C ZINC000555849389 1073385680 /nfs/dbraw/zinc/38/56/80/1073385680.db2.gz OGIUUPIMOGVRTL-UHFFFAOYSA-N 0 0 432.915 0.310 20 0 IBADRN COCc1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc(C(=O)OC)c1 ZINC000555849660 1073385475 /nfs/dbraw/zinc/38/54/75/1073385475.db2.gz PZHNJLVONIEWMM-UHFFFAOYSA-N 0 0 432.477 -0.019 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000555849920 1073385660 /nfs/dbraw/zinc/38/56/60/1073385660.db2.gz XLSOQSJWZCHQPK-UHFFFAOYSA-N 0 0 442.476 -0.394 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccnc(N3CCCCC3)c2)CC1 ZINC000555854530 1073384493 /nfs/dbraw/zinc/38/44/93/1073384493.db2.gz ZXQOKMFOTCJMCL-UHFFFAOYSA-N 0 0 441.496 -0.954 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000555854802 1073384529 /nfs/dbraw/zinc/38/45/29/1073384529.db2.gz FJLWWVQINWGVAV-MOPGFXCFSA-N 0 0 437.585 -0.386 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000555854803 1073384558 /nfs/dbraw/zinc/38/45/58/1073384558.db2.gz FJLWWVQINWGVAV-OALUTQOASA-N 0 0 437.585 -0.386 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000555854804 1073384479 /nfs/dbraw/zinc/38/44/79/1073384479.db2.gz FJLWWVQINWGVAV-RBUKOAKNSA-N 0 0 437.585 -0.386 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1)N1CCOCC1 ZINC000555854805 1073384666 /nfs/dbraw/zinc/38/46/66/1073384666.db2.gz FJLWWVQINWGVAV-RTBURBONSA-N 0 0 437.585 -0.386 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1)N1CCOCC1 ZINC000555854960 1073384580 /nfs/dbraw/zinc/38/45/80/1073384580.db2.gz JYNINOCTXDDINB-DLBZAZTESA-N 0 0 444.540 -0.366 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1)N1CCOCC1 ZINC000555854961 1073384505 /nfs/dbraw/zinc/38/45/05/1073384505.db2.gz JYNINOCTXDDINB-IAGOWNOFSA-N 0 0 444.540 -0.366 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1)N1CCOCC1 ZINC000555854962 1073384726 /nfs/dbraw/zinc/38/47/26/1073384726.db2.gz JYNINOCTXDDINB-IRXDYDNUSA-N 0 0 444.540 -0.366 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1)N1CCOCC1 ZINC000555854963 1073384640 /nfs/dbraw/zinc/38/46/40/1073384640.db2.gz JYNINOCTXDDINB-SJORKVTESA-N 0 0 444.540 -0.366 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)c1nnc2n1CCC2 ZINC000555855371 1073384546 /nfs/dbraw/zinc/38/45/46/1073384546.db2.gz YOGCCYCXEINOJL-INIZCTEOSA-N 0 0 439.520 -0.138 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)c1nnc2n1CCC2 ZINC000555855372 1073384766 /nfs/dbraw/zinc/38/47/66/1073384766.db2.gz YOGCCYCXEINOJL-MRXNPFEDSA-N 0 0 439.520 -0.138 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)CC1 ZINC000555856146 1073385162 /nfs/dbraw/zinc/38/51/62/1073385162.db2.gz HYCLEKTVKVNFIA-GOSISDBHSA-N 0 0 425.574 -0.528 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)CC1 ZINC000555856147 1073385124 /nfs/dbraw/zinc/38/51/24/1073385124.db2.gz HYCLEKTVKVNFIA-SFHVURJKSA-N 0 0 425.574 -0.528 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)s1 ZINC000555856237 1073385178 /nfs/dbraw/zinc/38/51/78/1073385178.db2.gz NHTOHVANXUNBHX-HNNXBMFYSA-N 0 0 446.595 -0.076 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)s1 ZINC000555856238 1073385050 /nfs/dbraw/zinc/38/50/50/1073385050.db2.gz NHTOHVANXUNBHX-OAHLLOKOSA-N 0 0 446.595 -0.076 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnc3n2CCC3)CC1 ZINC000555856280 1073385094 /nfs/dbraw/zinc/38/50/94/1073385094.db2.gz KCNDSRRBGIRXCV-AWEZNQCLSA-N 0 0 442.542 -0.700 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)c2nnc3n2CCC3)CC1 ZINC000555856288 1073385223 /nfs/dbraw/zinc/38/52/23/1073385223.db2.gz KCNDSRRBGIRXCV-CQSZACIVSA-N 0 0 442.542 -0.700 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCc2csc(C)n2)CC1 ZINC000555857198 1073386047 /nfs/dbraw/zinc/38/60/47/1073386047.db2.gz ZGAQWUPBNGEKEY-AWEZNQCLSA-N 0 0 425.555 -0.204 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCc2csc(C)n2)CC1 ZINC000555857201 1073386182 /nfs/dbraw/zinc/38/61/82/1073386182.db2.gz ZGAQWUPBNGEKEY-CQSZACIVSA-N 0 0 425.555 -0.204 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)CC1 ZINC000555857229 1073385933 /nfs/dbraw/zinc/38/59/33/1073385933.db2.gz FHHCAEVXBDEKRH-KRWDZBQOSA-N 0 0 432.587 -0.220 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)CC1 ZINC000555857232 1073386164 /nfs/dbraw/zinc/38/61/64/1073386164.db2.gz FHHCAEVXBDEKRH-QGZVFWFLSA-N 0 0 432.587 -0.220 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)c1 ZINC000555857658 1073386082 /nfs/dbraw/zinc/38/60/82/1073386082.db2.gz LNSZNMYPSVEKCD-KRWDZBQOSA-N 0 0 426.539 -0.316 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)c1 ZINC000555857660 1073386002 /nfs/dbraw/zinc/38/60/02/1073386002.db2.gz LNSZNMYPSVEKCD-QGZVFWFLSA-N 0 0 426.539 -0.316 20 0 IBADRN Cc1onc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1C ZINC000555858380 1073386022 /nfs/dbraw/zinc/38/60/22/1073386022.db2.gz HGTYYPWXXXNCNH-RYUDHWBXSA-N 0 0 429.499 -0.272 20 0 IBADRN Cc1onc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1C ZINC000555858381 1073352803 /nfs/dbraw/zinc/35/28/03/1073352803.db2.gz HGTYYPWXXXNCNH-TXEJJXNPSA-N 0 0 429.499 -0.272 20 0 IBADRN Cc1onc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1C ZINC000555858382 1073352829 /nfs/dbraw/zinc/35/28/29/1073352829.db2.gz HGTYYPWXXXNCNH-VXGBXAGGSA-N 0 0 429.499 -0.272 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1)c1nnc2n1CCC2 ZINC000555858433 1073386069 /nfs/dbraw/zinc/38/60/69/1073386069.db2.gz WUHQXFRFPOACQB-CABCVRRESA-N 0 0 431.541 -0.007 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1)c1nnc2n1CCC2 ZINC000555858437 1073385971 /nfs/dbraw/zinc/38/59/71/1073385971.db2.gz WUHQXFRFPOACQB-GJZGRUSLSA-N 0 0 431.541 -0.007 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CCCC2)CC1)c1nnc2n1CCC2 ZINC000555858438 1073386058 /nfs/dbraw/zinc/38/60/58/1073386058.db2.gz WUHQXFRFPOACQB-HUUCEWRRSA-N 0 0 431.541 -0.007 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnc3n2CCC3)CC1 ZINC000555858440 1073386133 /nfs/dbraw/zinc/38/61/33/1073386133.db2.gz WUHQXFRFPOACQB-LSDHHAIUSA-N 0 0 431.541 -0.007 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(C4CC4)n3)CC2)CC1 ZINC000555859425 1073386457 /nfs/dbraw/zinc/38/64/57/1073386457.db2.gz IYINNJVJYIPLOR-UHFFFAOYSA-N 0 0 436.538 -0.466 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)nn1C ZINC000555859947 1073349784 /nfs/dbraw/zinc/34/97/84/1073349784.db2.gz WFFLPGNKRMGYJT-UHFFFAOYSA-N 0 0 427.531 -0.284 20 0 IBADRN Cc1ccc2nc(CNC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cn2c1 ZINC000555860616 1073386465 /nfs/dbraw/zinc/38/64/65/1073386465.db2.gz KMKRNOUVQCMQSK-UHFFFAOYSA-N 0 0 435.554 -0.038 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000555862996 1073385556 /nfs/dbraw/zinc/38/55/56/1073385556.db2.gz WXHOOHUEPGWQAN-UHFFFAOYSA-N 0 0 429.521 -0.229 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)c1nnc2n1CCCC2 ZINC000555864112 1073385624 /nfs/dbraw/zinc/38/56/24/1073385624.db2.gz WZXVGDQCSPIDPM-AWEZNQCLSA-N 0 0 447.521 -0.280 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)c1nnc2n1CCCC2 ZINC000555864117 1073385590 /nfs/dbraw/zinc/38/55/90/1073385590.db2.gz WZXVGDQCSPIDPM-CQSZACIVSA-N 0 0 447.521 -0.280 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000555866285 1073385684 /nfs/dbraw/zinc/38/56/84/1073385684.db2.gz DWNNPYQRSQHGJJ-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000555866590 1073386035 /nfs/dbraw/zinc/38/60/35/1073386035.db2.gz NCNUDSIDBQSKSU-UHFFFAOYSA-N 0 0 431.493 -0.736 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCc3cnn(-c4ccccc4)c3)CC2)c1=O ZINC000555867025 1073386891 /nfs/dbraw/zinc/38/68/91/1073386891.db2.gz OCVHLYUPKQSKSE-UHFFFAOYSA-N 0 0 435.488 -0.027 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2ccc(NC(C)=O)cc2)CC1 ZINC000555867072 1073387100 /nfs/dbraw/zinc/38/71/00/1073387100.db2.gz RIZUDOGOZZEDDZ-INIZCTEOSA-N 0 0 447.536 -0.053 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2ccc(NC(C)=O)cc2)CC1 ZINC000555867073 1073386926 /nfs/dbraw/zinc/38/69/26/1073386926.db2.gz RIZUDOGOZZEDDZ-MRXNPFEDSA-N 0 0 447.536 -0.053 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cn3ccccc3n2)CC1 ZINC000555867256 1073385918 /nfs/dbraw/zinc/38/59/18/1073385918.db2.gz REYQBMSMNRRLOK-KRWDZBQOSA-N 0 0 442.520 -0.616 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cn3ccccc3n2)CC1 ZINC000555867261 1073386198 /nfs/dbraw/zinc/38/61/98/1073386198.db2.gz REYQBMSMNRRLOK-QGZVFWFLSA-N 0 0 442.520 -0.616 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000555870201 1073386494 /nfs/dbraw/zinc/38/64/94/1073386494.db2.gz ZJDZPECRDUBJMU-UHFFFAOYSA-N 0 0 439.520 -0.267 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000555873544 1073386548 /nfs/dbraw/zinc/38/65/48/1073386548.db2.gz TXMBYXOCJMJBGB-GOSISDBHSA-N 0 0 443.504 -0.162 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000555873550 1073386480 /nfs/dbraw/zinc/38/64/80/1073386480.db2.gz TXMBYXOCJMJBGB-SFHVURJKSA-N 0 0 443.504 -0.162 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cc(F)cc(F)c2F)CC1 ZINC000555874091 1073386561 /nfs/dbraw/zinc/38/65/61/1073386561.db2.gz ZKHODWUVGPQAOW-UHFFFAOYSA-N 0 0 426.351 -0.138 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000555875301 1073386400 /nfs/dbraw/zinc/38/64/00/1073386400.db2.gz PTPCSCJJGCXFHF-UHFFFAOYSA-N 0 0 445.520 -0.817 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000555876896 1073387447 /nfs/dbraw/zinc/38/74/47/1073387447.db2.gz HDDWAHBPDBHAQX-UHFFFAOYSA-N 0 0 439.472 -0.284 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000555876946 1073387400 /nfs/dbraw/zinc/38/74/00/1073387400.db2.gz RBJZWPDHYLEMTD-UHFFFAOYSA-N 0 0 445.520 -0.945 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2cccc3cccnc32)CC1)N1CCOCC1 ZINC000555878052 1073387392 /nfs/dbraw/zinc/38/73/92/1073387392.db2.gz RDPSALYHFVQVLF-UHFFFAOYSA-N 0 0 439.516 -0.104 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000555878462 1073387373 /nfs/dbraw/zinc/38/73/73/1073387373.db2.gz WPOCTMGWOONJHB-UHFFFAOYSA-N 0 0 432.521 -0.310 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000555878565 1073387459 /nfs/dbraw/zinc/38/74/59/1073387459.db2.gz WNXSNTFJRLSKAN-UHFFFAOYSA-N 0 0 432.521 -0.044 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCn3nnc(CO)c3C2)c(N)n(Cc2ccccc2)c1=O ZINC000555880338 1073387367 /nfs/dbraw/zinc/38/73/67/1073387367.db2.gz KNDRWGNNMCGQAC-UHFFFAOYSA-N 0 0 439.476 -0.557 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3nc(C)n(C)n3)CC2)c(C)s1 ZINC000555881588 1073338483 /nfs/dbraw/zinc/33/84/83/1073338483.db2.gz FTWSNFAZKIOCNG-UHFFFAOYSA-N 0 0 426.524 0.273 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000555885154 1073387837 /nfs/dbraw/zinc/38/78/37/1073387837.db2.gz MMDPOZCYTBRFLA-UHFFFAOYSA-N 0 0 436.412 -0.256 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CCN1CC(C)(C)O ZINC000555885942 1073387045 /nfs/dbraw/zinc/38/70/45/1073387045.db2.gz XPADTAWNJDCUNI-HNNXBMFYSA-N 0 0 440.566 -0.143 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CCN1CC(C)(C)O ZINC000555885943 1073386936 /nfs/dbraw/zinc/38/69/36/1073386936.db2.gz XPADTAWNJDCUNI-OAHLLOKOSA-N 0 0 440.566 -0.143 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)C1 ZINC000555888939 1073387872 /nfs/dbraw/zinc/38/78/72/1073387872.db2.gz GCBBUYWPURWOKG-HNNXBMFYSA-N 0 0 440.522 -0.071 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)C1 ZINC000555888941 1073387916 /nfs/dbraw/zinc/38/79/16/1073387916.db2.gz GCBBUYWPURWOKG-OAHLLOKOSA-N 0 0 440.522 -0.071 20 0 IBADRN CCOc1ccccc1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000555891376 1073388279 /nfs/dbraw/zinc/38/82/79/1073388279.db2.gz SRITXPOBMCBCTL-UHFFFAOYSA-N 0 0 430.465 -0.810 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)c(F)c3)CC2)CC1 ZINC000555892155 1073388391 /nfs/dbraw/zinc/38/83/91/1073388391.db2.gz DMMURSLMXRSDAA-UHFFFAOYSA-N 0 0 445.492 -0.783 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cscn3)CC2)C[C@H](C)O1 ZINC000555892317 1073388288 /nfs/dbraw/zinc/38/82/88/1073388288.db2.gz MBXQYPOOWTUWKY-KBPBESRZSA-N 0 0 445.567 -0.700 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cscn3)CC2)C[C@H](C)O1 ZINC000555892319 1073388397 /nfs/dbraw/zinc/38/83/97/1073388397.db2.gz MBXQYPOOWTUWKY-OKILXGFUSA-N 0 0 445.567 -0.700 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cscn3)CC2)C[C@@H](C)O1 ZINC000555892321 1073388337 /nfs/dbraw/zinc/38/83/37/1073388337.db2.gz MBXQYPOOWTUWKY-ZIAGYGMSSA-N 0 0 445.567 -0.700 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc(F)c3)CC2)o1 ZINC000555893878 1073388273 /nfs/dbraw/zinc/38/82/73/1073388273.db2.gz HGMXREPEIBKQDR-UHFFFAOYSA-N 0 0 438.437 -0.333 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000555894973 1073388690 /nfs/dbraw/zinc/38/86/90/1073388690.db2.gz VYHFSPBNAVJTBK-LLVKDONJSA-N 0 0 439.943 -0.374 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000555894976 1073388640 /nfs/dbraw/zinc/38/86/40/1073388640.db2.gz VYHFSPBNAVJTBK-NSHDSACASA-N 0 0 439.943 -0.374 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000555895009 1073388681 /nfs/dbraw/zinc/38/86/81/1073388681.db2.gz VWUHMPSWOUBHBH-UHFFFAOYSA-N 0 0 437.522 -0.209 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000555895750 1073388343 /nfs/dbraw/zinc/38/83/43/1073388343.db2.gz CENXRHVPAUOLQJ-UHFFFAOYSA-N 0 0 426.539 -0.266 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000555895787 1073388667 /nfs/dbraw/zinc/38/86/67/1073388667.db2.gz DEPPRKXTQWOMGI-UHFFFAOYSA-N 0 0 432.506 -0.308 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c3cccnc3)CC1)C2 ZINC000555896126 1073388772 /nfs/dbraw/zinc/38/87/72/1073388772.db2.gz JVFOTKNVAAPETN-INIZCTEOSA-N 0 0 444.517 -0.313 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c3cccnc3)CC1)C2 ZINC000555896127 1073388702 /nfs/dbraw/zinc/38/87/02/1073388702.db2.gz JVFOTKNVAAPETN-MRXNPFEDSA-N 0 0 444.517 -0.313 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCc4nc(C)ncc4C3)CC2)no1 ZINC000555897056 1073330128 /nfs/dbraw/zinc/33/01/28/1073330128.db2.gz SWYNSPVMKHHXSX-INIZCTEOSA-N 0 0 441.492 -0.162 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)ncc4C3)CC2)no1 ZINC000555897057 1073329862 /nfs/dbraw/zinc/32/98/62/1073329862.db2.gz SWYNSPVMKHHXSX-MRXNPFEDSA-N 0 0 441.492 -0.162 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)[C@H](C)N1CCOCC1 ZINC000555897143 1073389204 /nfs/dbraw/zinc/38/92/04/1073389204.db2.gz BRLHVKACWBSAAM-CABCVRRESA-N 0 0 426.539 -0.222 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)[C@H](C)N1CCOCC1 ZINC000555897145 1073389284 /nfs/dbraw/zinc/38/92/84/1073389284.db2.gz BRLHVKACWBSAAM-GJZGRUSLSA-N 0 0 426.539 -0.222 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)[C@@H](C)N1CCOCC1 ZINC000555897146 1073389220 /nfs/dbraw/zinc/38/92/20/1073389220.db2.gz BRLHVKACWBSAAM-HUUCEWRRSA-N 0 0 426.539 -0.222 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)N1CCOCC1 ZINC000555897147 1073389190 /nfs/dbraw/zinc/38/91/90/1073389190.db2.gz BRLHVKACWBSAAM-LSDHHAIUSA-N 0 0 426.539 -0.222 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@H](C)N1CCOCC1 ZINC000555897197 1073388748 /nfs/dbraw/zinc/38/87/48/1073388748.db2.gz CZBAQHRRPWNTAE-KBPBESRZSA-N 0 0 446.957 -0.040 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@H](C)N1CCOCC1 ZINC000555897200 1073388781 /nfs/dbraw/zinc/38/87/81/1073388781.db2.gz CZBAQHRRPWNTAE-KGLIPLIRSA-N 0 0 446.957 -0.040 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)N1CCOCC1 ZINC000555897202 1073388818 /nfs/dbraw/zinc/38/88/18/1073388818.db2.gz CZBAQHRRPWNTAE-UONOGXRCSA-N 0 0 446.957 -0.040 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@@H](C)N1CCOCC1 ZINC000555897204 1073388811 /nfs/dbraw/zinc/38/88/11/1073388811.db2.gz CZBAQHRRPWNTAE-ZIAGYGMSSA-N 0 0 446.957 -0.040 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCCC3)CC1)C2 ZINC000555897321 1073388832 /nfs/dbraw/zinc/38/88/32/1073388832.db2.gz XUTMGWYMOINEIR-GOSISDBHSA-N 0 0 428.537 -0.085 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCCC3)CC1)C2 ZINC000555897322 1073389379 /nfs/dbraw/zinc/38/93/79/1073389379.db2.gz XUTMGWYMOINEIR-SFHVURJKSA-N 0 0 428.537 -0.085 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000555897615 1073388651 /nfs/dbraw/zinc/38/86/51/1073388651.db2.gz HNJCFQRXJGIXLA-MSOLQXFVSA-N 0 0 438.550 -0.159 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000555897617 1073388755 /nfs/dbraw/zinc/38/87/55/1073388755.db2.gz HNJCFQRXJGIXLA-QZTJIDSGSA-N 0 0 438.550 -0.159 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000555897618 1073388734 /nfs/dbraw/zinc/38/87/34/1073388734.db2.gz HNJCFQRXJGIXLA-ROUUACIJSA-N 0 0 438.550 -0.159 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000555897776 1073388614 /nfs/dbraw/zinc/38/86/14/1073388614.db2.gz HNJCFQRXJGIXLA-ZWKOTPCHSA-N 0 0 438.550 -0.159 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000555898073 1073389222 /nfs/dbraw/zinc/38/92/22/1073389222.db2.gz FHRKFWQIAUYUBO-UHFFFAOYSA-N 0 0 440.566 -0.102 20 0 IBADRN CCNC(=O)c1cccc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000555898340 1073389345 /nfs/dbraw/zinc/38/93/45/1073389345.db2.gz AGZLWMMFOBSKFA-KHTYJDQRSA-N 0 0 428.449 -0.200 20 0 IBADRN Cn1cnn(CCC(=O)NC2CCN([C@@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)c1=O ZINC000555898817 1073389370 /nfs/dbraw/zinc/38/93/70/1073389370.db2.gz GGWWJSCJDAWOAL-GOSISDBHSA-N 0 0 440.504 -0.120 20 0 IBADRN Cn1cnn(CCC(=O)NC2CCN([C@H]3CC(=O)N(Cc4ccccc4)C3=O)CC2)c1=O ZINC000555898820 1073389196 /nfs/dbraw/zinc/38/91/96/1073389196.db2.gz GGWWJSCJDAWOAL-SFHVURJKSA-N 0 0 440.504 -0.120 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1ccnc(-n2cccn2)c1 ZINC000555898979 1073389315 /nfs/dbraw/zinc/38/93/15/1073389315.db2.gz ZVFKZRNUBFQOEJ-UHFFFAOYSA-N 0 0 428.474 -0.022 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC000555899101 1073389352 /nfs/dbraw/zinc/38/93/52/1073389352.db2.gz RYIBMJLYDGGFRL-IBGZPJMESA-N 0 0 439.601 -0.092 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC000555899103 1073389320 /nfs/dbraw/zinc/38/93/20/1073389320.db2.gz RYIBMJLYDGGFRL-LJQANCHMSA-N 0 0 439.601 -0.092 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc3c(c2)NC(=O)CNC3=O)c1 ZINC000555900313 1073389656 /nfs/dbraw/zinc/38/96/56/1073389656.db2.gz CTUOXBRNMSFXJK-UHFFFAOYSA-N 0 0 445.457 -0.355 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)NCc1nnc2n1CCCC2 ZINC000555900684 1073389338 /nfs/dbraw/zinc/38/93/38/1073389338.db2.gz BFDXBJADXZLLHI-UHFFFAOYSA-N 0 0 440.913 -0.021 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)CC)CC1)N1CCOCC1 ZINC000555901114 1073389688 /nfs/dbraw/zinc/38/96/88/1073389688.db2.gz RMXMGNULSVPOJK-KRWDZBQOSA-N 0 0 432.587 -0.267 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)CC)CC1)N1CCOCC1 ZINC000555901118 1073389751 /nfs/dbraw/zinc/38/97/51/1073389751.db2.gz RMXMGNULSVPOJK-QGZVFWFLSA-N 0 0 432.587 -0.267 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000555901289 1073389674 /nfs/dbraw/zinc/38/96/74/1073389674.db2.gz UAFBWYGQLIAXOX-UHFFFAOYSA-N 0 0 436.469 -0.641 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)N1CCOCC1 ZINC000555901331 1073389776 /nfs/dbraw/zinc/38/97/76/1073389776.db2.gz UQCYVISQRHRONH-GOSISDBHSA-N 0 0 425.574 -0.480 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)N1CCOCC1 ZINC000555901337 1073389706 /nfs/dbraw/zinc/38/97/06/1073389706.db2.gz UQCYVISQRHRONH-SFHVURJKSA-N 0 0 425.574 -0.480 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000555901411 1073389700 /nfs/dbraw/zinc/38/97/00/1073389700.db2.gz NROZAFZROTVPMK-UHFFFAOYSA-N 0 0 446.913 -0.948 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)ccn1 ZINC000555901718 1073389762 /nfs/dbraw/zinc/38/97/62/1073389762.db2.gz XWHPNDXPZLZUDZ-HNNXBMFYSA-N 0 0 426.495 -0.641 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)ccn1 ZINC000555901722 1073389822 /nfs/dbraw/zinc/38/98/22/1073389822.db2.gz XWHPNDXPZLZUDZ-OAHLLOKOSA-N 0 0 426.495 -0.641 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000555901790 1073389770 /nfs/dbraw/zinc/38/97/70/1073389770.db2.gz RZYLEFZXEVLTON-CVEARBPZSA-N 0 0 429.495 -0.982 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000555901792 1073389637 /nfs/dbraw/zinc/38/96/37/1073389637.db2.gz RZYLEFZXEVLTON-HOTGVXAUSA-N 0 0 429.495 -0.982 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000555901793 1073389647 /nfs/dbraw/zinc/38/96/47/1073389647.db2.gz RZYLEFZXEVLTON-HZPDHXFCSA-N 0 0 429.495 -0.982 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000555901795 1073389590 /nfs/dbraw/zinc/38/95/90/1073389590.db2.gz RZYLEFZXEVLTON-JKSUJKDBSA-N 0 0 429.495 -0.982 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000555901962 1073390088 /nfs/dbraw/zinc/39/00/88/1073390088.db2.gz USTHBNJBKZQXRX-UHFFFAOYSA-N 0 0 426.539 -0.350 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)N1CCOCC1 ZINC000555902013 1073390113 /nfs/dbraw/zinc/39/01/13/1073390113.db2.gz XIFUNHOGSBAIQV-GOSISDBHSA-N 0 0 448.568 -0.318 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)N1CCOCC1 ZINC000555902014 1073390231 /nfs/dbraw/zinc/39/02/31/1073390231.db2.gz XIFUNHOGSBAIQV-SFHVURJKSA-N 0 0 448.568 -0.318 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000555902015 1073390053 /nfs/dbraw/zinc/39/00/53/1073390053.db2.gz XOBIEYFMPZRKJY-UHFFFAOYSA-N 0 0 440.522 -0.823 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(O)cc3)CC2)o1 ZINC000555902197 1073390226 /nfs/dbraw/zinc/39/02/26/1073390226.db2.gz APPSNMZFEBQSEZ-UHFFFAOYSA-N 0 0 436.446 -0.767 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)n1 ZINC000555902392 1073389790 /nfs/dbraw/zinc/38/97/90/1073389790.db2.gz WDZSRYHLUPQIIX-UHFFFAOYSA-N 0 0 448.505 -0.772 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)ccn1 ZINC000555902485 1073389798 /nfs/dbraw/zinc/38/97/98/1073389798.db2.gz YQTLJNQVELPEJH-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC000555903537 1073390140 /nfs/dbraw/zinc/39/01/40/1073390140.db2.gz SSJDCLOEFUMCOX-HNNXBMFYSA-N 0 0 428.449 -0.307 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000555903538 1073390186 /nfs/dbraw/zinc/39/01/86/1073390186.db2.gz SSJDCLOEFUMCOX-OAHLLOKOSA-N 0 0 428.449 -0.307 20 0 IBADRN O=C(N[C@H]1CC(=O)N(c2ccccc2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555905485 1073390163 /nfs/dbraw/zinc/39/01/63/1073390163.db2.gz VLJSAUBRKVFJSY-INIZCTEOSA-N 0 0 429.477 -0.496 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(c2ccccc2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555905486 1073390122 /nfs/dbraw/zinc/39/01/22/1073390122.db2.gz VLJSAUBRKVFJSY-MRXNPFEDSA-N 0 0 429.477 -0.496 20 0 IBADRN O=C(N[C@H]1CC(=O)N(c2ccccc2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000555905640 1073390167 /nfs/dbraw/zinc/39/01/67/1073390167.db2.gz ZAYGYVYCNSYHPE-INIZCTEOSA-N 0 0 437.522 -0.304 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(c2ccccc2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000555905641 1073390069 /nfs/dbraw/zinc/39/00/69/1073390069.db2.gz ZAYGYVYCNSYHPE-MRXNPFEDSA-N 0 0 437.522 -0.304 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)N1CCOCC1 ZINC000555906639 1073390098 /nfs/dbraw/zinc/39/00/98/1073390098.db2.gz TXZSLTPEAONOCJ-KRWDZBQOSA-N 0 0 436.557 -0.250 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)N1CCOCC1 ZINC000555906640 1073390151 /nfs/dbraw/zinc/39/01/51/1073390151.db2.gz TXZSLTPEAONOCJ-QGZVFWFLSA-N 0 0 436.557 -0.250 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1)N1CCOCC1 ZINC000555908059 1073391242 /nfs/dbraw/zinc/39/12/42/1073391242.db2.gz JVOOBIRUBLFBTI-DLBZAZTESA-N 0 0 432.587 -0.222 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1)N1CCOCC1 ZINC000555908061 1073391080 /nfs/dbraw/zinc/39/10/80/1073391080.db2.gz JVOOBIRUBLFBTI-IAGOWNOFSA-N 0 0 432.587 -0.222 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1)N1CCOCC1 ZINC000555908063 1073391187 /nfs/dbraw/zinc/39/11/87/1073391187.db2.gz JVOOBIRUBLFBTI-IRXDYDNUSA-N 0 0 432.587 -0.222 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1)N1CCOCC1 ZINC000555908064 1073391257 /nfs/dbraw/zinc/39/12/57/1073391257.db2.gz JVOOBIRUBLFBTI-SJORKVTESA-N 0 0 432.587 -0.222 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC3CCN(C(=O)C4CC4)CC3)CC2)no1 ZINC000555908262 1073328993 /nfs/dbraw/zinc/32/89/93/1073328993.db2.gz JXGXASBLGLDTKG-UHFFFAOYSA-N 0 0 446.508 -0.417 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC2CCN(C(=O)C3CC3)CC2)s1 ZINC000555908328 1073390568 /nfs/dbraw/zinc/39/05/68/1073390568.db2.gz LBAOFUMCHSWFOH-UHFFFAOYSA-N 0 0 442.563 -0.032 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)N1CCOCC1 ZINC000555908488 1073390649 /nfs/dbraw/zinc/39/06/49/1073390649.db2.gz MVZCGOSYVUEIJA-MSOLQXFVSA-N 0 0 444.598 -0.124 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)N1CCOCC1 ZINC000555908494 1073390736 /nfs/dbraw/zinc/39/07/36/1073390736.db2.gz MVZCGOSYVUEIJA-QZTJIDSGSA-N 0 0 444.598 -0.124 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)N1CCOCC1 ZINC000555908495 1073390725 /nfs/dbraw/zinc/39/07/25/1073390725.db2.gz MVZCGOSYVUEIJA-ROUUACIJSA-N 0 0 444.598 -0.124 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)N1CCOCC1 ZINC000555908496 1073390668 /nfs/dbraw/zinc/39/06/68/1073390668.db2.gz MVZCGOSYVUEIJA-ZWKOTPCHSA-N 0 0 444.598 -0.124 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccnc(N3CCCC3)c2)CC1)N1CCOCC1 ZINC000555908539 1073390713 /nfs/dbraw/zinc/39/07/13/1073390713.db2.gz IVJLXZXAXUSIIJ-UHFFFAOYSA-N 0 0 444.536 -0.699 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)N1CCOCC1 ZINC000555908620 1073390530 /nfs/dbraw/zinc/39/05/30/1073390530.db2.gz MDFANGVJQAOCFN-KRWDZBQOSA-N 0 0 434.541 -0.708 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)N1CCOCC1 ZINC000555908621 1073390606 /nfs/dbraw/zinc/39/06/06/1073390606.db2.gz MDFANGVJQAOCFN-QGZVFWFLSA-N 0 0 434.541 -0.708 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1=O)N1CCOCC1 ZINC000555909613 1073391161 /nfs/dbraw/zinc/39/11/61/1073391161.db2.gz SDRAHBKEDNEKTH-AEFFLSMTSA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1=O)N1CCOCC1 ZINC000555909614 1073390965 /nfs/dbraw/zinc/39/09/65/1073390965.db2.gz SDRAHBKEDNEKTH-FUHWJXTLSA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1=O)N1CCOCC1 ZINC000555909615 1073391125 /nfs/dbraw/zinc/39/11/25/1073391125.db2.gz SDRAHBKEDNEKTH-SJLPKXTDSA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1=O)N1CCOCC1 ZINC000555909616 1073391176 /nfs/dbraw/zinc/39/11/76/1073391176.db2.gz SDRAHBKEDNEKTH-WMZOPIPTSA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)N1CCOCC1 ZINC000555909777 1073391201 /nfs/dbraw/zinc/39/12/01/1073391201.db2.gz VOQDEOWOIRFMQX-KRWDZBQOSA-N 0 0 446.552 -0.308 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)N1CCOCC1 ZINC000555909780 1073391027 /nfs/dbraw/zinc/39/10/27/1073391027.db2.gz VOQDEOWOIRFMQX-QGZVFWFLSA-N 0 0 446.552 -0.308 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(C(=O)C3CC3)CC2)CC1)N1CCCCC1 ZINC000555909782 1073391236 /nfs/dbraw/zinc/39/12/36/1073391236.db2.gz VPPCNIYIPSFJSD-UHFFFAOYSA-N 0 0 433.553 -0.340 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NC[C@H](CC(C)C)N3CCOCC3)CC2)ncn1 ZINC000555910281 1073391603 /nfs/dbraw/zinc/39/16/03/1073391603.db2.gz UCEOJFAVIAOPDX-KRWDZBQOSA-N 0 0 434.541 -0.003 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NC[C@@H](CC(C)C)N3CCOCC3)CC2)ncn1 ZINC000555910284 1073391517 /nfs/dbraw/zinc/39/15/17/1073391517.db2.gz UCEOJFAVIAOPDX-QGZVFWFLSA-N 0 0 434.541 -0.003 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1)N1CCOCC1 ZINC000555910380 1073391008 /nfs/dbraw/zinc/39/10/08/1073391008.db2.gz VQGGXQXFBZMBGT-LMMKCTJWSA-N 0 0 434.541 -0.281 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1)N1CCOCC1 ZINC000555910381 1073391043 /nfs/dbraw/zinc/39/10/43/1073391043.db2.gz VQGGXQXFBZMBGT-SCTDSRPQSA-N 0 0 434.541 -0.281 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)N1CCOCC1 ZINC000555910781 1073391290 /nfs/dbraw/zinc/39/12/90/1073391290.db2.gz ZWIHCWZVNLDZMJ-DLBZAZTESA-N 0 0 432.587 -0.363 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)N1CCOCC1 ZINC000555910783 1073390988 /nfs/dbraw/zinc/39/09/88/1073390988.db2.gz ZWIHCWZVNLDZMJ-IAGOWNOFSA-N 0 0 432.587 -0.363 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)N1CCOCC1 ZINC000555910785 1073391276 /nfs/dbraw/zinc/39/12/76/1073391276.db2.gz ZWIHCWZVNLDZMJ-IRXDYDNUSA-N 0 0 432.587 -0.363 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)N1CCOCC1 ZINC000555910787 1073391064 /nfs/dbraw/zinc/39/10/64/1073391064.db2.gz ZWIHCWZVNLDZMJ-SJORKVTESA-N 0 0 432.587 -0.363 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c(OC)c1 ZINC000555911429 1073391471 /nfs/dbraw/zinc/39/14/71/1073391471.db2.gz BOQCOAMSRZXSMG-UHFFFAOYSA-N 0 0 426.451 -0.456 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000555911434 1073391535 /nfs/dbraw/zinc/39/15/35/1073391535.db2.gz BSUWXHFXWVAIAG-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c(OC)c1 ZINC000555911504 1073391546 /nfs/dbraw/zinc/39/15/46/1073391546.db2.gz FIHZBLNVHYIRBH-UHFFFAOYSA-N 0 0 445.476 0.399 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000555911543 1073391761 /nfs/dbraw/zinc/39/17/61/1073391761.db2.gz HPGCRGPTIKJGFM-INIZCTEOSA-N 0 0 448.520 -0.289 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c(OC)c1 ZINC000555911544 1073391487 /nfs/dbraw/zinc/39/14/87/1073391487.db2.gz HPGCRGPTIKJGFM-MRXNPFEDSA-N 0 0 448.520 -0.289 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000555914222 1073392850 /nfs/dbraw/zinc/39/28/50/1073392850.db2.gz RDNKYIKYXWGZRQ-GOSISDBHSA-N 0 0 447.584 -0.592 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000555914223 1073392597 /nfs/dbraw/zinc/39/25/97/1073392597.db2.gz RDNKYIKYXWGZRQ-SFHVURJKSA-N 0 0 447.584 -0.592 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000555915139 1073393143 /nfs/dbraw/zinc/39/31/43/1073393143.db2.gz AJLJBAXDRJTTQE-UHFFFAOYSA-N 0 0 445.480 -0.916 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CCO1 ZINC000555915518 1073392809 /nfs/dbraw/zinc/39/28/09/1073392809.db2.gz LZSCIKHJBKDXQF-UHFFFAOYSA-N 0 0 437.541 -0.026 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1F ZINC000555915561 1073392755 /nfs/dbraw/zinc/39/27/55/1073392755.db2.gz AMYNWBROYOZENG-AWEZNQCLSA-N 0 0 442.513 -0.232 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1F ZINC000555915562 1073392671 /nfs/dbraw/zinc/39/26/71/1073392671.db2.gz AMYNWBROYOZENG-CQSZACIVSA-N 0 0 442.513 -0.232 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000555915628 1073392574 /nfs/dbraw/zinc/39/25/74/1073392574.db2.gz NQUHFTYRZQIRAR-QGZVFWFLSA-N 0 0 432.525 -0.093 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1F ZINC000555915663 1073392692 /nfs/dbraw/zinc/39/26/92/1073392692.db2.gz CZZFIHHJCUALHD-KRWDZBQOSA-N 0 0 434.468 -0.170 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1F ZINC000555915664 1073392799 /nfs/dbraw/zinc/39/27/99/1073392799.db2.gz CZZFIHHJCUALHD-QGZVFWFLSA-N 0 0 434.468 -0.170 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000555915916 1073393128 /nfs/dbraw/zinc/39/31/28/1073393128.db2.gz VBXBMHPUSWGNBD-UHFFFAOYSA-N 0 0 437.541 -0.072 20 0 IBADRN CC(=O)N[C@@H](C)C1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000555915930 1073393256 /nfs/dbraw/zinc/39/32/56/1073393256.db2.gz IEPPYEJZGBSIKC-KRWDZBQOSA-N 0 0 445.568 -0.132 20 0 IBADRN CC(=O)N[C@H](C)C1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000555915931 1073393704 /nfs/dbraw/zinc/39/37/04/1073393704.db2.gz IEPPYEJZGBSIKC-QGZVFWFLSA-N 0 0 445.568 -0.132 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000555916077 1073393222 /nfs/dbraw/zinc/39/32/22/1073393222.db2.gz KFLSJGXMDRHOBU-UHFFFAOYSA-N 0 0 434.522 -0.841 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1F ZINC000555916258 1073393174 /nfs/dbraw/zinc/39/31/74/1073393174.db2.gz KWKZTWJTCDSSPY-INIZCTEOSA-N 0 0 430.440 -0.365 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1F ZINC000555916259 1073393194 /nfs/dbraw/zinc/39/31/94/1073393194.db2.gz KWKZTWJTCDSSPY-MRXNPFEDSA-N 0 0 430.440 -0.365 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1)S(C)(=O)=O ZINC000555916376 1073393292 /nfs/dbraw/zinc/39/32/92/1073393292.db2.gz FWAYTYBPPSYPOF-UHFFFAOYSA-N 0 0 430.502 -0.232 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)c1 ZINC000555916429 1073393216 /nfs/dbraw/zinc/39/32/16/1073393216.db2.gz OCMJCLMHTPOJCR-UHFFFAOYSA-N 0 0 448.501 -0.018 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@H]1c1ncc[nH]1 ZINC000555916477 1073393275 /nfs/dbraw/zinc/39/32/75/1073393275.db2.gz AUPWJEPRNFUUMU-INIZCTEOSA-N 0 0 434.522 -0.290 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@@H]1c1ncc[nH]1 ZINC000555916478 1073393269 /nfs/dbraw/zinc/39/32/69/1073393269.db2.gz AUPWJEPRNFUUMU-MRXNPFEDSA-N 0 0 434.522 -0.290 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(c2cnccn2)CC1 ZINC000555916555 1073393226 /nfs/dbraw/zinc/39/32/26/1073393226.db2.gz HAMFOMGKSWDLEF-UHFFFAOYSA-N 0 0 439.524 -0.756 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)NCc1nnc2n1CCCC2 ZINC000555916569 1073393149 /nfs/dbraw/zinc/39/31/49/1073393149.db2.gz HHTCCTZDOOHQAP-UHFFFAOYSA-N 0 0 431.497 -0.008 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(c2ncccc2F)CC1 ZINC000555916607 1073393237 /nfs/dbraw/zinc/39/32/37/1073393237.db2.gz PHWXQQYMQGRDBS-UHFFFAOYSA-N 0 0 435.481 -0.036 20 0 IBADRN Cn1ccnc1[C@H]1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000555916838 1073393243 /nfs/dbraw/zinc/39/32/43/1073393243.db2.gz FZAHKOVOCZECJP-KRWDZBQOSA-N 0 0 426.525 -0.146 20 0 IBADRN Cn1ccnc1[C@@H]1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000555916839 1073393281 /nfs/dbraw/zinc/39/32/81/1073393281.db2.gz FZAHKOVOCZECJP-QGZVFWFLSA-N 0 0 426.525 -0.146 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000555916883 1073393729 /nfs/dbraw/zinc/39/37/29/1073393729.db2.gz RUJILAFUNCLIJY-UHFFFAOYSA-N 0 0 447.584 -0.326 20 0 IBADRN COCCOC1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000555916923 1073394038 /nfs/dbraw/zinc/39/40/38/1073394038.db2.gz SGMZARGZMPHUKQ-UHFFFAOYSA-N 0 0 434.541 -0.241 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1cccc(N2CCOCC2)n1 ZINC000555917067 1073393505 /nfs/dbraw/zinc/39/35/05/1073393505.db2.gz UKINHQDZPTULMO-UHFFFAOYSA-N 0 0 447.517 -0.371 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000555917120 1073393638 /nfs/dbraw/zinc/39/36/38/1073393638.db2.gz MGBPMSHHWFIKSX-DYESRHJHSA-N 0 0 432.525 -0.489 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000555917125 1073393592 /nfs/dbraw/zinc/39/35/92/1073393592.db2.gz URVWYGVFRSJUJV-UHFFFAOYSA-N 0 0 437.547 -0.118 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000555917126 1073393742 /nfs/dbraw/zinc/39/37/42/1073393742.db2.gz MGBPMSHHWFIKSX-LAUBAEHRSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000555917127 1073393688 /nfs/dbraw/zinc/39/36/88/1073393688.db2.gz MGBPMSHHWFIKSX-UTKZUKDTSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000555917128 1073393571 /nfs/dbraw/zinc/39/35/71/1073393571.db2.gz MGBPMSHHWFIKSX-UWJYYQICSA-N 0 0 432.525 -0.489 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCOCC2(CCOCC2)C1 ZINC000555917191 1073393626 /nfs/dbraw/zinc/39/36/26/1073393626.db2.gz JDXFVRQJQRTPMT-UHFFFAOYSA-N 0 0 446.552 -0.240 20 0 IBADRN CN(C)c1ncccc1CNC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000555917445 1073393653 /nfs/dbraw/zinc/39/36/53/1073393653.db2.gz LKNUYNOFGWAAFA-UHFFFAOYSA-N 0 0 426.525 -0.118 20 0 IBADRN CC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000555917517 1073393478 /nfs/dbraw/zinc/39/34/78/1073393478.db2.gz XIGOEFSDATXJQP-GOSISDBHSA-N 0 0 431.541 -0.520 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000555917527 1073393612 /nfs/dbraw/zinc/39/36/12/1073393612.db2.gz XIGOEFSDATXJQP-SFHVURJKSA-N 0 0 431.541 -0.520 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3C)CC1 ZINC000555917659 1073393516 /nfs/dbraw/zinc/39/35/16/1073393516.db2.gz AZNOFJOMDXLCTB-UHFFFAOYSA-N 0 0 431.449 -0.824 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1F ZINC000555917838 1073393720 /nfs/dbraw/zinc/39/37/20/1073393720.db2.gz QBSQFQOSZVLAKV-UHFFFAOYSA-N 0 0 433.484 -0.597 20 0 IBADRN Cn1cnnc1C1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000555917875 1073393986 /nfs/dbraw/zinc/39/39/86/1073393986.db2.gz NKCQCQKOQNZDKX-UHFFFAOYSA-N 0 0 441.540 -0.360 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cc1 ZINC000555917960 1073394103 /nfs/dbraw/zinc/39/41/03/1073394103.db2.gz NPPLTLAOCDBBFL-UHFFFAOYSA-N 0 0 439.520 -0.219 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)cc1F ZINC000555918069 1073393928 /nfs/dbraw/zinc/39/39/28/1073393928.db2.gz PBUUFGSIMWBDFW-UHFFFAOYSA-N 0 0 435.500 -0.351 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CC[C@@](O)(C(F)(F)F)C1 ZINC000555918337 1073394144 /nfs/dbraw/zinc/39/41/44/1073394144.db2.gz UXTXAAHLJVYJHL-KRWDZBQOSA-N 0 0 430.431 -0.369 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CC[C@](O)(C(F)(F)F)C1 ZINC000555918338 1073394128 /nfs/dbraw/zinc/39/41/28/1073394128.db2.gz UXTXAAHLJVYJHL-QGZVFWFLSA-N 0 0 430.431 -0.369 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)n1 ZINC000555918394 1073393970 /nfs/dbraw/zinc/39/39/70/1073393970.db2.gz NIMYKZOKZYSAJX-UHFFFAOYSA-N 0 0 446.533 -0.163 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1 ZINC000555919165 1073394162 /nfs/dbraw/zinc/39/41/62/1073394162.db2.gz XGOHGZSNHRTQFC-HNNXBMFYSA-N 0 0 445.520 -0.188 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1 ZINC000555919166 1073394075 /nfs/dbraw/zinc/39/40/75/1073394075.db2.gz XGOHGZSNHRTQFC-OAHLLOKOSA-N 0 0 445.520 -0.188 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCOC2(CCOCC2)C1 ZINC000555919327 1073393949 /nfs/dbraw/zinc/39/39/49/1073393949.db2.gz XKPDSVYVWVIEJH-UHFFFAOYSA-N 0 0 432.525 -0.487 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)c1 ZINC000555919329 1073393979 /nfs/dbraw/zinc/39/39/79/1073393979.db2.gz XLZRQQOSUGCLJE-UHFFFAOYSA-N 0 0 434.474 -0.738 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1F ZINC000555919346 1073394083 /nfs/dbraw/zinc/39/40/83/1073394083.db2.gz XXFKTKNGKXKYFM-UHFFFAOYSA-N 0 0 447.511 -0.253 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC3CCN(C(=O)c4ccoc4)CC3)CC2=O)cn1 ZINC000555919624 1073394097 /nfs/dbraw/zinc/39/40/97/1073394097.db2.gz DFTAIRPNJGNKHH-UHFFFAOYSA-N 0 0 428.449 -0.391 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000555919821 1073394067 /nfs/dbraw/zinc/39/40/67/1073394067.db2.gz KAHTYIHTTBOUFZ-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN CN(C(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000555921199 1073392051 /nfs/dbraw/zinc/39/20/51/1073392051.db2.gz ABNVALZJIYMUSN-KDURUIRLSA-N 0 0 445.564 -0.366 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)nn1C ZINC000555921644 1073392302 /nfs/dbraw/zinc/39/23/02/1073392302.db2.gz OWIZXYZXMQKEMV-UHFFFAOYSA-N 0 0 425.493 0.186 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)Nc3c(C(=O)OC)cnn3C)CC2)CC1 ZINC000555921911 1073392252 /nfs/dbraw/zinc/39/22/52/1073392252.db2.gz SNNBKNAPOHYVLS-UHFFFAOYSA-N 0 0 448.524 -0.062 20 0 IBADRN Cc1c(C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)nnn1-c1ccn(C)n1 ZINC000555922541 1073392237 /nfs/dbraw/zinc/39/22/37/1073392237.db2.gz SSLISVYARFVXGI-UHFFFAOYSA-N 0 0 443.556 -0.380 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc3c(cc(C)c(=O)n3C)c2)CC1 ZINC000555924455 1073394857 /nfs/dbraw/zinc/39/48/57/1073394857.db2.gz QNHFEMPHYMPZPD-UHFFFAOYSA-N 0 0 441.488 -0.018 20 0 IBADRN Cc1ccsc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000555927299 1073394558 /nfs/dbraw/zinc/39/45/58/1073394558.db2.gz TYVKDNRVZAPOTB-UHFFFAOYSA-N 0 0 440.503 -0.102 20 0 IBADRN COc1cccc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000555928288 1073394622 /nfs/dbraw/zinc/39/46/22/1073394622.db2.gz MKVXAPOWJDDZSV-CYBMUJFWSA-N 0 0 430.465 -0.639 20 0 IBADRN COc1cccc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000555928294 1073394568 /nfs/dbraw/zinc/39/45/68/1073394568.db2.gz MKVXAPOWJDDZSV-ZDUSSCGKSA-N 0 0 430.465 -0.639 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cc(F)cc(F)c3)CC2)CC1 ZINC000555933243 1073395073 /nfs/dbraw/zinc/39/50/73/1073395073.db2.gz NENVRJRIIUEFCS-UHFFFAOYSA-N 0 0 445.492 -0.783 20 0 IBADRN CC[C@@H](COC)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000555935489 1073395332 /nfs/dbraw/zinc/39/53/32/1073395332.db2.gz IKOGCUOVMHEANX-HNNXBMFYSA-N 0 0 427.527 -0.809 20 0 IBADRN CC[C@H](COC)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000555935499 1073395377 /nfs/dbraw/zinc/39/53/77/1073395377.db2.gz IKOGCUOVMHEANX-OAHLLOKOSA-N 0 0 427.527 -0.809 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccncc3)CC2)C[C@H](C)O1 ZINC000555936987 1073395360 /nfs/dbraw/zinc/39/53/60/1073395360.db2.gz XICBPONHEKUFGD-HOTGVXAUSA-N 0 0 439.538 -0.762 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccncc3)CC2)C[C@@H](C)O1 ZINC000555936992 1073395525 /nfs/dbraw/zinc/39/55/25/1073395525.db2.gz XICBPONHEKUFGD-HZPDHXFCSA-N 0 0 439.538 -0.762 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccncc3)CC2)C[C@H](C)O1 ZINC000555936994 1073395533 /nfs/dbraw/zinc/39/55/33/1073395533.db2.gz XICBPONHEKUFGD-IYBDPMFKSA-N 0 0 439.538 -0.762 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2C(=O)CNC2=O)nnn1CCOc1ccc(F)cc1 ZINC000555939064 1073395513 /nfs/dbraw/zinc/39/55/13/1073395513.db2.gz BQBVPLDWLFMWQH-UHFFFAOYSA-N 0 0 433.400 -0.589 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2cccc(Cl)c2Cl)CC1 ZINC000555940256 1073395471 /nfs/dbraw/zinc/39/54/71/1073395471.db2.gz NPIKNCDNVDJRLV-UHFFFAOYSA-N 0 0 426.264 -0.033 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCc2ccncc2)CC1 ZINC000555944341 1073395890 /nfs/dbraw/zinc/39/58/90/1073395890.db2.gz OOQCHCMKIBLOMD-UHFFFAOYSA-N 0 0 445.545 -0.007 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)C1=O ZINC000555944642 1073395823 /nfs/dbraw/zinc/39/58/23/1073395823.db2.gz BGHOCEYEUFBZOR-MRVPVSSYSA-N 0 0 431.268 -0.325 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)C1=O ZINC000555944643 1073395935 /nfs/dbraw/zinc/39/59/35/1073395935.db2.gz BGHOCEYEUFBZOR-QMMMGPOBSA-N 0 0 431.268 -0.325 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1=O ZINC000555945064 1073395864 /nfs/dbraw/zinc/39/58/64/1073395864.db2.gz MCEPRHDFHVYFAB-UHFFFAOYSA-N 0 0 432.403 -0.269 20 0 IBADRN O=C(NCCc1ccncc1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000555945220 1073395789 /nfs/dbraw/zinc/39/57/89/1073395789.db2.gz ZMMNTOJHNQETDH-UHFFFAOYSA-N 0 0 446.533 -0.597 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)C1 ZINC000555946239 1073395736 /nfs/dbraw/zinc/39/57/36/1073395736.db2.gz BTJHECRLZXGWKR-JTQLQIEISA-N 0 0 438.432 -0.436 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)C1 ZINC000555946240 1073395912 /nfs/dbraw/zinc/39/59/12/1073395912.db2.gz BTJHECRLZXGWKR-SNVBAGLBSA-N 0 0 438.432 -0.436 20 0 IBADRN O=C(NCCn1cnc2ccccc21)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000555946482 1073395903 /nfs/dbraw/zinc/39/59/03/1073395903.db2.gz OGTADRUPBCBJNH-INIZCTEOSA-N 0 0 449.533 -0.195 20 0 IBADRN O=C(NCCn1cnc2ccccc21)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000555946483 1073395749 /nfs/dbraw/zinc/39/57/49/1073395749.db2.gz OGTADRUPBCBJNH-MRXNPFEDSA-N 0 0 449.533 -0.195 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000555946823 1073395776 /nfs/dbraw/zinc/39/57/76/1073395776.db2.gz WDVZRQVOYQWDBL-UHFFFAOYSA-N 0 0 445.446 -0.308 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1)C(=O)N1CCOCC1 ZINC000555946871 1073395841 /nfs/dbraw/zinc/39/58/41/1073395841.db2.gz WTSOIVRBQUIGBC-LLVKDONJSA-N 0 0 432.403 -0.223 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCNc1nccc(C(F)(F)F)n1)C(=O)N1CCOCC1 ZINC000555946872 1073395939 /nfs/dbraw/zinc/39/59/39/1073395939.db2.gz WTSOIVRBQUIGBC-NSHDSACASA-N 0 0 432.403 -0.223 20 0 IBADRN O=C(NCCn1cnc2ccccc21)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000555947928 1073395812 /nfs/dbraw/zinc/39/58/12/1073395812.db2.gz KCMUEKIWLYRASN-UHFFFAOYSA-N 0 0 446.489 -0.185 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)s1 ZINC000555949509 1073308768 /nfs/dbraw/zinc/30/87/68/1073308768.db2.gz OCCJLDLXDAPTQJ-UHFFFAOYSA-N 0 0 428.496 0.343 20 0 IBADRN CCOCCNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000555950301 1073396395 /nfs/dbraw/zinc/39/63/95/1073396395.db2.gz IDVFQYWFRDFNJB-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N(C(C)=O)C2CC2)cc1 ZINC000555953151 1073396210 /nfs/dbraw/zinc/39/62/10/1073396210.db2.gz ZWRWWBNGOWIOJA-KRWDZBQOSA-N 0 0 429.477 -0.006 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N(C(C)=O)C2CC2)cc1 ZINC000555953152 1073396708 /nfs/dbraw/zinc/39/67/08/1073396708.db2.gz ZWRWWBNGOWIOJA-QGZVFWFLSA-N 0 0 429.477 -0.006 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc2c(cc(C)c(=O)n2C)c1 ZINC000555953153 1073396674 /nfs/dbraw/zinc/39/66/74/1073396674.db2.gz ZXSOERSNPNYLOA-INIZCTEOSA-N 0 0 427.461 -0.361 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc2c(cc(C)c(=O)n2C)c1 ZINC000555953154 1073396669 /nfs/dbraw/zinc/39/66/69/1073396669.db2.gz ZXSOERSNPNYLOA-MRXNPFEDSA-N 0 0 427.461 -0.361 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccn(-c2ccc(Br)cc2)n1 ZINC000555953774 1073318472 /nfs/dbraw/zinc/31/84/72/1073318472.db2.gz FWYPUZBCPSRKCR-UHFFFAOYSA-N 0 0 430.284 0.368 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000555954114 1073395873 /nfs/dbraw/zinc/39/58/73/1073395873.db2.gz VYDKZHZQAOOVSC-UHFFFAOYSA-N 0 0 443.464 -0.605 20 0 IBADRN CCS(=O)(=O)N1CCC(CNS(=O)(=O)c2ccccc2S(=O)(=O)NC)CC1 ZINC000555954250 1073395920 /nfs/dbraw/zinc/39/59/20/1073395920.db2.gz LFAHBFYQRXQTCQ-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000555954393 1073395962 /nfs/dbraw/zinc/39/59/62/1073395962.db2.gz JTPAIWWYDPPYFI-HNNXBMFYSA-N 0 0 435.524 -0.911 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000555954394 1073395763 /nfs/dbraw/zinc/39/57/63/1073395763.db2.gz JTPAIWWYDPPYFI-OAHLLOKOSA-N 0 0 435.524 -0.911 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000555954435 1073396609 /nfs/dbraw/zinc/39/66/09/1073396609.db2.gz WVUYOFFLFRTUAL-CYBMUJFWSA-N 0 0 435.524 -0.911 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000555954436 1073396816 /nfs/dbraw/zinc/39/68/16/1073396816.db2.gz WVUYOFFLFRTUAL-ZDUSSCGKSA-N 0 0 435.524 -0.911 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N[C@@H](CN2CCOCC2)C(=O)OC)c1 ZINC000555955158 1073397061 /nfs/dbraw/zinc/39/70/61/1073397061.db2.gz SFQPGMBSJCWYJE-HNNXBMFYSA-N 0 0 444.462 -0.588 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N[C@H](CN2CCOCC2)C(=O)OC)c1 ZINC000555955162 1073397222 /nfs/dbraw/zinc/39/72/22/1073397222.db2.gz SFQPGMBSJCWYJE-OAHLLOKOSA-N 0 0 444.462 -0.588 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc(N(C)C)c3)CC2)cn1C ZINC000555956230 1073397042 /nfs/dbraw/zinc/39/70/42/1073397042.db2.gz XSTZYJPQGZVAII-UHFFFAOYSA-N 0 0 448.549 -0.056 20 0 IBADRN COc1cccc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1OC ZINC000555956282 1073397028 /nfs/dbraw/zinc/39/70/28/1073397028.db2.gz IZSQVIZHIALTBO-UHFFFAOYSA-N 0 0 426.451 -0.456 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1ccc3ccccc3n1)C2 ZINC000555957272 1073397196 /nfs/dbraw/zinc/39/71/96/1073397196.db2.gz AHYOEXMFFFBYEH-UHFFFAOYSA-N 0 0 437.460 -0.660 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cc(F)ccc3F)CC2)CC1 ZINC000555960438 1073397099 /nfs/dbraw/zinc/39/70/99/1073397099.db2.gz QNJZSMRXXQJTDQ-UHFFFAOYSA-N 0 0 445.492 -0.783 20 0 IBADRN CCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000555961216 1073396694 /nfs/dbraw/zinc/39/66/94/1073396694.db2.gz CHCAKHMRAPVWGC-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN CC(C)Oc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000555961787 1073397114 /nfs/dbraw/zinc/39/71/14/1073397114.db2.gz CVABCTJEYUQKJE-UHFFFAOYSA-N 0 0 446.504 -0.380 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCC(C)(C)CO)CC2)C[C@H](C)O1 ZINC000555962488 1073396843 /nfs/dbraw/zinc/39/68/43/1073396843.db2.gz VNRQIUGRTYHBKB-HOTGVXAUSA-N 0 0 448.586 -0.601 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCC(C)(C)CO)CC2)C[C@@H](C)O1 ZINC000555962498 1073396770 /nfs/dbraw/zinc/39/67/70/1073396770.db2.gz VNRQIUGRTYHBKB-HZPDHXFCSA-N 0 0 448.586 -0.601 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCC(C)(C)CO)CC2)C[C@H](C)O1 ZINC000555962501 1073396631 /nfs/dbraw/zinc/39/66/31/1073396631.db2.gz VNRQIUGRTYHBKB-IYBDPMFKSA-N 0 0 448.586 -0.601 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(Cc3cc(C)on3)CC2)CC1 ZINC000555963939 1073396684 /nfs/dbraw/zinc/39/66/84/1073396684.db2.gz ATWYCZRMYJMIJS-UHFFFAOYSA-N 0 0 441.554 -0.052 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000555964215 1073396758 /nfs/dbraw/zinc/39/67/58/1073396758.db2.gz BYTJOJUBSBFNEK-INIZCTEOSA-N 0 0 440.570 -0.175 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000555964216 1073396730 /nfs/dbraw/zinc/39/67/30/1073396730.db2.gz BYTJOJUBSBFNEK-MRXNPFEDSA-N 0 0 440.570 -0.175 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000555964399 1073396792 /nfs/dbraw/zinc/39/67/92/1073396792.db2.gz IBENSMASUSBQMP-UHFFFAOYSA-N 0 0 447.511 -0.173 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CCOC(C)C)CC2)CC1 ZINC000555964497 1073396826 /nfs/dbraw/zinc/39/68/26/1073396826.db2.gz KPGQDHKQWJLMTQ-UHFFFAOYSA-N 0 0 432.587 -0.124 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)CC1 ZINC000555964826 1073396744 /nfs/dbraw/zinc/39/67/44/1073396744.db2.gz NNXINNYLSYAUHM-KRWDZBQOSA-N 0 0 430.571 -0.370 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)CC1 ZINC000555964827 1073396779 /nfs/dbraw/zinc/39/67/79/1073396779.db2.gz NNXINNYLSYAUHM-QGZVFWFLSA-N 0 0 430.571 -0.370 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)CC1 ZINC000555964914 1073397726 /nfs/dbraw/zinc/39/77/26/1073397726.db2.gz RLZZHZXLRSSEHM-UHFFFAOYSA-N 0 0 446.570 -0.597 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC1CCN(C(=O)c2ccccc2F)CC1 ZINC000555965344 1073398024 /nfs/dbraw/zinc/39/80/24/1073398024.db2.gz ZEJWEAWAGXZYAT-UHFFFAOYSA-N 0 0 442.451 -0.117 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(CC)[C@@H](C)CS(=O)(=O)CC)CC1 ZINC000555965768 1073397494 /nfs/dbraw/zinc/39/74/94/1073397494.db2.gz WHCOKVRVHLCNDZ-AWEZNQCLSA-N 0 0 439.600 -0.022 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(CC)[C@H](C)CS(=O)(=O)CC)CC1 ZINC000555965769 1073397562 /nfs/dbraw/zinc/39/75/62/1073397562.db2.gz WHCOKVRVHLCNDZ-CQSZACIVSA-N 0 0 439.600 -0.022 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)CC1 ZINC000555965836 1073397439 /nfs/dbraw/zinc/39/74/39/1073397439.db2.gz YNKBZZVYZFMWRG-UHFFFAOYSA-N 0 0 428.433 -0.503 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CCO1 ZINC000555966402 1073397965 /nfs/dbraw/zinc/39/79/65/1073397965.db2.gz IWRKLTGCNHTNRD-INIZCTEOSA-N 0 0 434.468 -0.090 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CCO1 ZINC000555966403 1073398041 /nfs/dbraw/zinc/39/80/41/1073398041.db2.gz IWRKLTGCNHTNRD-MRXNPFEDSA-N 0 0 434.468 -0.090 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000555966794 1073398053 /nfs/dbraw/zinc/39/80/53/1073398053.db2.gz SGUUAJJMTZGSHY-UHFFFAOYSA-N 0 0 434.468 -0.136 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000555967138 1073397991 /nfs/dbraw/zinc/39/79/91/1073397991.db2.gz XBSLQIBZDFEQCQ-UHFFFAOYSA-N 0 0 440.522 -0.116 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000555967150 1073397984 /nfs/dbraw/zinc/39/79/84/1073397984.db2.gz XMBOTJQIBHUQKO-INIZCTEOSA-N 0 0 440.570 -0.175 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000555967151 1073397904 /nfs/dbraw/zinc/39/79/04/1073397904.db2.gz XMBOTJQIBHUQKO-MRXNPFEDSA-N 0 0 440.570 -0.175 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000555968724 1073398011 /nfs/dbraw/zinc/39/80/11/1073398011.db2.gz FFXPOQZCUGXGNN-LLVKDONJSA-N 0 0 434.457 -0.639 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000555968736 1073398044 /nfs/dbraw/zinc/39/80/44/1073398044.db2.gz FFXPOQZCUGXGNN-NSHDSACASA-N 0 0 434.457 -0.639 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000555970033 1073397946 /nfs/dbraw/zinc/39/79/46/1073397946.db2.gz SUYIRTXUKWQGGV-UHFFFAOYSA-N 0 0 447.500 -0.723 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000555971011 1073397958 /nfs/dbraw/zinc/39/79/58/1073397958.db2.gz FJVHIHJVAWATCC-HNNXBMFYSA-N 0 0 429.521 -0.136 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000555971012 1073398061 /nfs/dbraw/zinc/39/80/61/1073398061.db2.gz FJVHIHJVAWATCC-OAHLLOKOSA-N 0 0 429.521 -0.136 20 0 IBADRN CN(C[C@H]1CCCC[C@@H]1O)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555972280 1073396993 /nfs/dbraw/zinc/39/69/93/1073396993.db2.gz WCSZRESSBMQIIM-MSOLQXFVSA-N 0 0 430.505 -0.116 20 0 IBADRN CN(C[C@H]1CCCC[C@H]1O)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555972281 1073397211 /nfs/dbraw/zinc/39/72/11/1073397211.db2.gz WCSZRESSBMQIIM-QZTJIDSGSA-N 0 0 430.505 -0.116 20 0 IBADRN CN(C[C@@H]1CCCC[C@@H]1O)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555972283 1073397085 /nfs/dbraw/zinc/39/70/85/1073397085.db2.gz WCSZRESSBMQIIM-ROUUACIJSA-N 0 0 430.505 -0.116 20 0 IBADRN CN(C[C@@H]1CCCC[C@H]1O)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555972285 1073397074 /nfs/dbraw/zinc/39/70/74/1073397074.db2.gz WCSZRESSBMQIIM-ZWKOTPCHSA-N 0 0 430.505 -0.116 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)[C@@H]2CCO[C@H]21 ZINC000555972332 1073397261 /nfs/dbraw/zinc/39/72/61/1073397261.db2.gz XEFBKFWDMQCKEE-CGTJXYLNSA-N 0 0 428.489 -0.196 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)[C@@H]2CCO[C@@H]21 ZINC000555972333 1073397046 /nfs/dbraw/zinc/39/70/46/1073397046.db2.gz XEFBKFWDMQCKEE-JQHSSLGASA-N 0 0 428.489 -0.196 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)[C@H]2CCO[C@H]21 ZINC000555972334 1073397740 /nfs/dbraw/zinc/39/77/40/1073397740.db2.gz XEFBKFWDMQCKEE-KBAYOESNSA-N 0 0 428.489 -0.196 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)[C@H]2CCO[C@@H]21 ZINC000555972335 1073397549 /nfs/dbraw/zinc/39/75/49/1073397549.db2.gz XEFBKFWDMQCKEE-NXHRZFHOSA-N 0 0 428.489 -0.196 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000555973336 1073397451 /nfs/dbraw/zinc/39/74/51/1073397451.db2.gz CNPPLIFSBHJSQP-DLBZAZTESA-N 0 0 441.488 -0.856 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000555973337 1073397708 /nfs/dbraw/zinc/39/77/08/1073397708.db2.gz CNPPLIFSBHJSQP-IAGOWNOFSA-N 0 0 441.488 -0.856 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000555973338 1073397718 /nfs/dbraw/zinc/39/77/18/1073397718.db2.gz CNPPLIFSBHJSQP-IRXDYDNUSA-N 0 0 441.488 -0.856 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000555973339 1073397421 /nfs/dbraw/zinc/39/74/21/1073397421.db2.gz CNPPLIFSBHJSQP-SJORKVTESA-N 0 0 441.488 -0.856 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)NCc1ccn2ccnc2c1 ZINC000555973770 1073397462 /nfs/dbraw/zinc/39/74/62/1073397462.db2.gz IVEWWXPKORPXMG-UHFFFAOYSA-N 0 0 434.456 -0.161 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000555973970 1073397660 /nfs/dbraw/zinc/39/76/60/1073397660.db2.gz LZAWUJUBALUXKH-KRWDZBQOSA-N 0 0 431.537 -0.473 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000555973971 1073397526 /nfs/dbraw/zinc/39/75/26/1073397526.db2.gz LZAWUJUBALUXKH-QGZVFWFLSA-N 0 0 431.537 -0.473 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)[C@@H](C)C1=O ZINC000555974226 1073397576 /nfs/dbraw/zinc/39/75/76/1073397576.db2.gz QTXOWOYTJXGGBX-INIZCTEOSA-N 0 0 429.521 -0.230 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)[C@H](C)C1=O ZINC000555974227 1073397605 /nfs/dbraw/zinc/39/76/05/1073397605.db2.gz QTXOWOYTJXGGBX-MRXNPFEDSA-N 0 0 429.521 -0.230 20 0 IBADRN COc1ccc2ccccc2c1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000555974816 1073397483 /nfs/dbraw/zinc/39/74/83/1073397483.db2.gz YJMAXURWRQBGFO-UHFFFAOYSA-N 0 0 437.460 -0.178 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000555976887 1073400008 /nfs/dbraw/zinc/40/00/08/1073400008.db2.gz BIHZDFXUYNZVOO-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000555977553 1073400055 /nfs/dbraw/zinc/40/00/55/1073400055.db2.gz HWHWRECFMUODJS-UHFFFAOYSA-N 0 0 431.493 -0.690 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000555978465 1073400670 /nfs/dbraw/zinc/40/06/70/1073400670.db2.gz RVBSGPRQICAHEB-UHFFFAOYSA-N 0 0 428.449 -0.503 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(NC(=O)COC)c2)CC1 ZINC000555978545 1073399947 /nfs/dbraw/zinc/39/99/47/1073399947.db2.gz TYRDJGYYDAMSQA-INIZCTEOSA-N 0 0 447.536 -0.053 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(NC(=O)COC)c2)CC1 ZINC000555978546 1073399907 /nfs/dbraw/zinc/39/99/07/1073399907.db2.gz TYRDJGYYDAMSQA-MRXNPFEDSA-N 0 0 447.536 -0.053 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1 ZINC000555978687 1073399816 /nfs/dbraw/zinc/39/98/16/1073399816.db2.gz VVGVFWUJQGGQKL-UHFFFAOYSA-N 0 0 428.493 -0.034 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)c1 ZINC000555980122 1073400691 /nfs/dbraw/zinc/40/06/91/1073400691.db2.gz RQCUIWNUUKDZMS-UHFFFAOYSA-N 0 0 428.493 -0.148 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c1 ZINC000555980716 1073400756 /nfs/dbraw/zinc/40/07/56/1073400756.db2.gz OKJOFDHUDPKSJO-UHFFFAOYSA-N 0 0 442.476 -0.621 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000555980905 1073400881 /nfs/dbraw/zinc/40/08/81/1073400881.db2.gz XBIZCSLHWWTAPN-UHFFFAOYSA-N 0 0 433.509 -0.950 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000555981305 1073400783 /nfs/dbraw/zinc/40/07/83/1073400783.db2.gz DSGXJTXFRCQMKY-NRFANRHFSA-N 0 0 449.577 -0.142 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000555981306 1073400651 /nfs/dbraw/zinc/40/06/51/1073400651.db2.gz DSGXJTXFRCQMKY-OAQYLSRUSA-N 0 0 449.577 -0.142 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)c1 ZINC000555981644 1073400714 /nfs/dbraw/zinc/40/07/14/1073400714.db2.gz JWUKTNGGHUONAE-UHFFFAOYSA-N 0 0 447.517 -0.241 20 0 IBADRN CCN(CC)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000555981843 1073400679 /nfs/dbraw/zinc/40/06/79/1073400679.db2.gz LZCIKMUBEYKBIX-UHFFFAOYSA-N 0 0 430.509 -0.507 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1=O ZINC000555981935 1073398540 /nfs/dbraw/zinc/39/85/40/1073398540.db2.gz PFVKSZDTSYJJEM-GOSISDBHSA-N 0 0 429.521 -0.184 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1=O ZINC000555981936 1073398367 /nfs/dbraw/zinc/39/83/67/1073398367.db2.gz PFVKSZDTSYJJEM-SFHVURJKSA-N 0 0 429.521 -0.184 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000555982070 1073398446 /nfs/dbraw/zinc/39/84/46/1073398446.db2.gz VFUBGZNXKQASFK-KRWDZBQOSA-N 0 0 446.552 -0.407 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000555982071 1073398288 /nfs/dbraw/zinc/39/82/88/1073398288.db2.gz VFUBGZNXKQASFK-QGZVFWFLSA-N 0 0 446.552 -0.407 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000555982201 1073398509 /nfs/dbraw/zinc/39/85/09/1073398509.db2.gz YXAQOIUUMOGMAR-IBGZPJMESA-N 0 0 445.520 -0.946 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000555982202 1073398477 /nfs/dbraw/zinc/39/84/77/1073398477.db2.gz YXAQOIUUMOGMAR-LJQANCHMSA-N 0 0 445.520 -0.946 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)cc1OC ZINC000555983445 1073398583 /nfs/dbraw/zinc/39/85/83/1073398583.db2.gz GDMPUYVRLYYAOV-HNNXBMFYSA-N 0 0 449.508 -0.267 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)cc1OC ZINC000555983449 1073398467 /nfs/dbraw/zinc/39/84/67/1073398467.db2.gz GDMPUYVRLYYAOV-OAHLLOKOSA-N 0 0 449.508 -0.267 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)cc1OC ZINC000555983723 1073398526 /nfs/dbraw/zinc/39/85/26/1073398526.db2.gz LHUOLLCXBKBBFF-HNNXBMFYSA-N 0 0 434.493 -0.048 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)cc1OC ZINC000555983724 1073398312 /nfs/dbraw/zinc/39/83/12/1073398312.db2.gz LHUOLLCXBKBBFF-OAHLLOKOSA-N 0 0 434.493 -0.048 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC000555983777 1073398566 /nfs/dbraw/zinc/39/85/66/1073398566.db2.gz FCZXKLPBLXHSNW-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC000555983778 1073398246 /nfs/dbraw/zinc/39/82/46/1073398246.db2.gz FCZXKLPBLXHSNW-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCOC2(CCOCC2)C1 ZINC000555984093 1073399074 /nfs/dbraw/zinc/39/90/74/1073399074.db2.gz IZVYABODGUBFQP-UHFFFAOYSA-N 0 0 444.488 -0.717 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2ccc(C(=O)NC)cc2)cn1 ZINC000555984318 1073399086 /nfs/dbraw/zinc/39/90/86/1073399086.db2.gz LRPFZRJVQBFYGA-KRWDZBQOSA-N 0 0 447.517 -0.123 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2ccc(C(=O)NC)cc2)cn1 ZINC000555984320 1073398745 /nfs/dbraw/zinc/39/87/45/1073398745.db2.gz LRPFZRJVQBFYGA-QGZVFWFLSA-N 0 0 447.517 -0.123 20 0 IBADRN Cc1nccn1CCCCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555984455 1073398955 /nfs/dbraw/zinc/39/89/55/1073398955.db2.gz VAXGGNVEFVZHOT-UHFFFAOYSA-N 0 0 440.504 -0.024 20 0 IBADRN COCCN(C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@H]1CCOC1 ZINC000555984553 1073398875 /nfs/dbraw/zinc/39/88/75/1073398875.db2.gz BTSDUMPGODRCTE-IBGZPJMESA-N 0 0 432.521 -0.046 20 0 IBADRN COCCN(C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@@H]1CCOC1 ZINC000555984554 1073398811 /nfs/dbraw/zinc/39/88/11/1073398811.db2.gz BTSDUMPGODRCTE-LJQANCHMSA-N 0 0 432.521 -0.046 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000555984681 1073399063 /nfs/dbraw/zinc/39/90/63/1073399063.db2.gz NPYSILPOOUUEHB-UHFFFAOYSA-N 0 0 427.509 -0.388 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000555984738 1073398915 /nfs/dbraw/zinc/39/89/15/1073398915.db2.gz OJIXWVIYRMDRCI-UHFFFAOYSA-N 0 0 446.552 -0.453 20 0 IBADRN CC(C)[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CCN1C ZINC000555984823 1073398408 /nfs/dbraw/zinc/39/84/08/1073398408.db2.gz FUWMVOBUWOFVEO-GOSISDBHSA-N 0 0 429.521 -0.327 20 0 IBADRN CC(C)[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CCN1C ZINC000555984828 1073398328 /nfs/dbraw/zinc/39/83/28/1073398328.db2.gz FUWMVOBUWOFVEO-SFHVURJKSA-N 0 0 429.521 -0.327 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)Cn1cccn1 ZINC000555984831 1073398264 /nfs/dbraw/zinc/39/82/64/1073398264.db2.gz GKYNPLJZUSUQLK-HNNXBMFYSA-N 0 0 426.477 -0.476 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)Cn1cccn1 ZINC000555984836 1073398303 /nfs/dbraw/zinc/39/83/03/1073398303.db2.gz GKYNPLJZUSUQLK-OAHLLOKOSA-N 0 0 426.477 -0.476 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCCN(C(C)=O)CC2)cc1OC ZINC000555985063 1073399873 /nfs/dbraw/zinc/39/98/73/1073399873.db2.gz RNMAOMSKVSEQOY-UHFFFAOYSA-N 0 0 434.493 -0.093 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@H]2CCC[C@H]2C(=O)NC)cc1OC ZINC000555985115 1073399303 /nfs/dbraw/zinc/39/93/03/1073399303.db2.gz JDPILLLUOOQRBT-CABCVRRESA-N 0 0 434.493 -0.143 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@H]2CCC[C@@H]2C(=O)NC)cc1OC ZINC000555985122 1073399591 /nfs/dbraw/zinc/39/95/91/1073399591.db2.gz JDPILLLUOOQRBT-GJZGRUSLSA-N 0 0 434.493 -0.143 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@@H]2CCC[C@H]2C(=O)NC)cc1OC ZINC000555985125 1073399332 /nfs/dbraw/zinc/39/93/32/1073399332.db2.gz JDPILLLUOOQRBT-HUUCEWRRSA-N 0 0 434.493 -0.143 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@@H]2CCC[C@@H]2C(=O)NC)cc1OC ZINC000555985127 1073399604 /nfs/dbraw/zinc/39/96/04/1073399604.db2.gz JDPILLLUOOQRBT-LSDHHAIUSA-N 0 0 434.493 -0.143 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@H]1CC(=O)N2CCCC[C@H]12 ZINC000555985243 1073399541 /nfs/dbraw/zinc/39/95/41/1073399541.db2.gz KFSHNPKUGMOCBT-DLBZAZTESA-N 0 0 441.488 -0.856 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1CC(=O)N2CCCC[C@H]12 ZINC000555985245 1073399487 /nfs/dbraw/zinc/39/94/87/1073399487.db2.gz KFSHNPKUGMOCBT-IAGOWNOFSA-N 0 0 441.488 -0.856 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@H]1CC(=O)N2CCCC[C@@H]12 ZINC000555985248 1073399347 /nfs/dbraw/zinc/39/93/47/1073399347.db2.gz KFSHNPKUGMOCBT-IRXDYDNUSA-N 0 0 441.488 -0.856 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1CC(=O)N2CCCC[C@@H]12 ZINC000555985251 1073399361 /nfs/dbraw/zinc/39/93/61/1073399361.db2.gz KFSHNPKUGMOCBT-SJORKVTESA-N 0 0 441.488 -0.856 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCN(CC)C(=O)[C@@H]2C)cc1OC ZINC000555985298 1073399619 /nfs/dbraw/zinc/39/96/19/1073399619.db2.gz KRDXIFGNEIKNPV-AWEZNQCLSA-N 0 0 434.493 -0.095 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCN(CC)C(=O)[C@H]2C)cc1OC ZINC000555985300 1073399317 /nfs/dbraw/zinc/39/93/17/1073399317.db2.gz KRDXIFGNEIKNPV-CQSZACIVSA-N 0 0 434.493 -0.095 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)CC2)cn1 ZINC000555985423 1073399060 /nfs/dbraw/zinc/39/90/60/1073399060.db2.gz WBAGQRCYPOCZHE-UHFFFAOYSA-N 0 0 427.509 -0.388 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000555985560 1073399053 /nfs/dbraw/zinc/39/90/53/1073399053.db2.gz XPRMFOORJRCXHW-UHFFFAOYSA-N 0 0 445.520 -0.945 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC000555985647 1073398973 /nfs/dbraw/zinc/39/89/73/1073398973.db2.gz ZLEJNUAUBKWUSZ-UHFFFAOYSA-N 0 0 440.504 -0.253 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cc1O ZINC000555986006 1073401541 /nfs/dbraw/zinc/40/15/41/1073401541.db2.gz SXPCKFVJQITGHP-UHFFFAOYSA-N 0 0 440.456 -0.095 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555986154 1073401463 /nfs/dbraw/zinc/40/14/63/1073401463.db2.gz UDAIPCLBGCMGFY-UHFFFAOYSA-N 0 0 431.493 -0.847 20 0 IBADRN CN(CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)CC(F)(F)F ZINC000555986237 1073401469 /nfs/dbraw/zinc/40/14/69/1073401469.db2.gz WUBVOAQOOQGUAM-UHFFFAOYSA-N 0 0 443.426 -0.515 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1=O ZINC000555986307 1073399936 /nfs/dbraw/zinc/39/99/36/1073399936.db2.gz ZCGQDVUBKVJLNS-UHFFFAOYSA-N 0 0 443.504 -0.654 20 0 IBADRN Cn1ccnc1[C@H]1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000555986351 1073400020 /nfs/dbraw/zinc/40/00/20/1073400020.db2.gz XSYHWRNKJYHTRY-KRWDZBQOSA-N 0 0 438.488 -0.376 20 0 IBADRN Cn1ccnc1[C@@H]1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000555986352 1073399893 /nfs/dbraw/zinc/39/98/93/1073399893.db2.gz XSYHWRNKJYHTRY-QGZVFWFLSA-N 0 0 438.488 -0.376 20 0 IBADRN O=C(NCCCN1CCCCC1=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555986365 1073400806 /nfs/dbraw/zinc/40/08/06/1073400806.db2.gz YDICMPVGYGZGMQ-UHFFFAOYSA-N 0 0 443.504 -0.606 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@@H]1Cn1ccnc1 ZINC000555986832 1073399859 /nfs/dbraw/zinc/39/98/59/1073399859.db2.gz HWBLXLRLIFWISB-GOSISDBHSA-N 0 0 438.488 -0.238 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@H]1Cn1ccnc1 ZINC000555986833 1073399842 /nfs/dbraw/zinc/39/98/42/1073399842.db2.gz HWBLXLRLIFWISB-SFHVURJKSA-N 0 0 438.488 -0.238 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H](C)N1CCOCC1 ZINC000555986938 1073401451 /nfs/dbraw/zinc/40/14/51/1073401451.db2.gz LKRAAFJXYDRCHD-CVEARBPZSA-N 0 0 445.520 -0.900 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H](C)N1CCOCC1 ZINC000555986939 1073401576 /nfs/dbraw/zinc/40/15/76/1073401576.db2.gz LKRAAFJXYDRCHD-HOTGVXAUSA-N 0 0 445.520 -0.900 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H](C)N1CCOCC1 ZINC000555986940 1073401319 /nfs/dbraw/zinc/40/13/19/1073401319.db2.gz LKRAAFJXYDRCHD-HZPDHXFCSA-N 0 0 445.520 -0.900 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCOCC1 ZINC000555986941 1073401570 /nfs/dbraw/zinc/40/15/70/1073401570.db2.gz LKRAAFJXYDRCHD-JKSUJKDBSA-N 0 0 445.520 -0.900 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N(C[C@@H]1CCOC1)C1CC1 ZINC000555987191 1073401489 /nfs/dbraw/zinc/40/14/89/1073401489.db2.gz RDHMEQIKPWLOCZ-INIZCTEOSA-N 0 0 428.489 -0.098 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N(C[C@H]1CCOC1)C1CC1 ZINC000555987192 1073401331 /nfs/dbraw/zinc/40/13/31/1073401331.db2.gz RDHMEQIKPWLOCZ-MRXNPFEDSA-N 0 0 428.489 -0.098 20 0 IBADRN CCN(CC)c1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cn1 ZINC000555987409 1073401387 /nfs/dbraw/zinc/40/13/87/1073401387.db2.gz WUNAXHOECYFWKT-UHFFFAOYSA-N 0 0 427.509 -0.014 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CCC1 ZINC000555987596 1073401358 /nfs/dbraw/zinc/40/13/58/1073401358.db2.gz YOFBEMSHTPKOBQ-UHFFFAOYSA-N 0 0 443.504 -0.703 20 0 IBADRN CN(C)c1cccc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000555987941 1073401508 /nfs/dbraw/zinc/40/15/08/1073401508.db2.gz JEPNMZOIANRYRD-UHFFFAOYSA-N 0 0 438.488 -0.348 20 0 IBADRN CC(C)[C@H]1CN(C)CCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555988181 1073402268 /nfs/dbraw/zinc/40/22/68/1073402268.db2.gz LRVHVOJGVOPKGJ-GOSISDBHSA-N 0 0 429.521 -0.327 20 0 IBADRN CC(C)[C@@H]1CN(C)CCN1C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000555988183 1073402307 /nfs/dbraw/zinc/40/23/07/1073402307.db2.gz LRVHVOJGVOPKGJ-SFHVURJKSA-N 0 0 429.521 -0.327 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CC1 ZINC000555988309 1073402298 /nfs/dbraw/zinc/40/22/98/1073402298.db2.gz MXLOTSFKYVMZFO-GJYPPUQNSA-N 0 0 432.525 -0.669 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000555988312 1073402245 /nfs/dbraw/zinc/40/22/45/1073402245.db2.gz MXLOTSFKYVMZFO-GPMSIDNRSA-N 0 0 432.525 -0.669 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000555988314 1073402376 /nfs/dbraw/zinc/40/23/76/1073402376.db2.gz MXLOTSFKYVMZFO-JTDSTZFVSA-N 0 0 432.525 -0.669 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2ccnn2C)CC1 ZINC000555988315 1073402235 /nfs/dbraw/zinc/40/22/35/1073402235.db2.gz MXLOTSFKYVMZFO-MDZRGWNJSA-N 0 0 432.525 -0.669 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000555988473 1073402133 /nfs/dbraw/zinc/40/21/33/1073402133.db2.gz RFSNKBGSYJTRFL-UHFFFAOYSA-N 0 0 429.477 -0.998 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000555988618 1073401480 /nfs/dbraw/zinc/40/14/80/1073401480.db2.gz UWJNHZISHNYZQD-INIZCTEOSA-N 0 0 444.536 -0.654 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000555988619 1073402324 /nfs/dbraw/zinc/40/23/24/1073402324.db2.gz UWJNHZISHNYZQD-MRXNPFEDSA-N 0 0 444.536 -0.654 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)Nc3ncn(C)n3)CC2)ncc1Br ZINC000555989049 1073402354 /nfs/dbraw/zinc/40/23/54/1073402354.db2.gz MMOZOIWOWXBHBV-UHFFFAOYSA-N 0 0 425.247 -0.337 20 0 IBADRN CC(=O)N(c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1)C1CC1 ZINC000555989590 1073402367 /nfs/dbraw/zinc/40/23/67/1073402367.db2.gz VYOISLILNMBWMW-UHFFFAOYSA-N 0 0 437.522 -0.427 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)CCO1 ZINC000555990824 1073402333 /nfs/dbraw/zinc/40/23/33/1073402333.db2.gz CWZWFSCQPCRGSL-AEFFLSMTSA-N 0 0 435.525 -0.926 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)CCO1 ZINC000555990825 1073402219 /nfs/dbraw/zinc/40/22/19/1073402219.db2.gz CWZWFSCQPCRGSL-FUHWJXTLSA-N 0 0 435.525 -0.926 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)CCO1 ZINC000555990826 1073402115 /nfs/dbraw/zinc/40/21/15/1073402115.db2.gz CWZWFSCQPCRGSL-SJLPKXTDSA-N 0 0 435.525 -0.926 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)CCO1 ZINC000555990827 1073402342 /nfs/dbraw/zinc/40/23/42/1073402342.db2.gz CWZWFSCQPCRGSL-WMZOPIPTSA-N 0 0 435.525 -0.926 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)cn2)CCO1 ZINC000555993613 1073403052 /nfs/dbraw/zinc/40/30/52/1073403052.db2.gz ZSOBKFYIYWYBCQ-INIZCTEOSA-N 0 0 427.509 -0.010 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)cn2)CCO1 ZINC000555993614 1073402752 /nfs/dbraw/zinc/40/27/52/1073402752.db2.gz ZSOBKFYIYWYBCQ-MRXNPFEDSA-N 0 0 427.509 -0.010 20 0 IBADRN CNC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000555994772 1073403003 /nfs/dbraw/zinc/40/30/03/1073403003.db2.gz YJJFHIZYAVKNHT-UHFFFAOYSA-N 0 0 425.467 -0.831 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000555996780 1073400869 /nfs/dbraw/zinc/40/08/69/1073400869.db2.gz FMNWXSURBWQPPP-GOSISDBHSA-N 0 0 446.504 -0.380 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000555996781 1073400665 /nfs/dbraw/zinc/40/06/65/1073400665.db2.gz FMNWXSURBWQPPP-SFHVURJKSA-N 0 0 446.504 -0.380 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(c3cccnn3)CC2)c1 ZINC000555996868 1073400794 /nfs/dbraw/zinc/40/07/94/1073400794.db2.gz QLAURZZYQCYLSK-UHFFFAOYSA-N 0 0 426.477 -0.091 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)cn2)CCO1 ZINC000555996920 1073400685 /nfs/dbraw/zinc/40/06/85/1073400685.db2.gz RQUMZYDOJWFIBB-INIZCTEOSA-N 0 0 444.536 -0.654 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)cn2)CCO1 ZINC000555996922 1073400815 /nfs/dbraw/zinc/40/08/15/1073400815.db2.gz RQUMZYDOJWFIBB-MRXNPFEDSA-N 0 0 444.536 -0.654 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1cccc(OCC(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000555997107 1073403570 /nfs/dbraw/zinc/40/35/70/1073403570.db2.gz SSWZZNHXIOXVBZ-KRWDZBQOSA-N 0 0 434.493 -0.477 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1cccc(OCC(=O)N(C)C)c1)C(=O)N1CCOCC1 ZINC000555997108 1073403526 /nfs/dbraw/zinc/40/35/26/1073403526.db2.gz SSWZZNHXIOXVBZ-QGZVFWFLSA-N 0 0 434.493 -0.477 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)cn2)CCO1 ZINC000555997478 1073403680 /nfs/dbraw/zinc/40/36/80/1073403680.db2.gz ZEHAKAYKIJFLAR-PBHICJAKSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)cn2)CCO1 ZINC000555997479 1073403690 /nfs/dbraw/zinc/40/36/90/1073403690.db2.gz ZEHAKAYKIJFLAR-RHSMWYFYSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)cn2)CCO1 ZINC000555997480 1073403544 /nfs/dbraw/zinc/40/35/44/1073403544.db2.gz ZEHAKAYKIJFLAR-WMLDXEAASA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)cn2)CCO1 ZINC000555997481 1073403563 /nfs/dbraw/zinc/40/35/63/1073403563.db2.gz ZEHAKAYKIJFLAR-YOEHRIQHSA-N 0 0 441.492 -0.422 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)C1 ZINC000555998683 1073403519 /nfs/dbraw/zinc/40/35/19/1073403519.db2.gz ZZNWJJCOFJUXHA-GDBMZVCRSA-N 0 0 439.538 -0.537 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)C1 ZINC000555998684 1073403700 /nfs/dbraw/zinc/40/37/00/1073403700.db2.gz ZZNWJJCOFJUXHA-GOEBONIOSA-N 0 0 439.538 -0.537 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)C1 ZINC000555998685 1073403671 /nfs/dbraw/zinc/40/36/71/1073403671.db2.gz ZZNWJJCOFJUXHA-HOCLYGCPSA-N 0 0 439.538 -0.537 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)C1 ZINC000555998686 1073403622 /nfs/dbraw/zinc/40/36/22/1073403622.db2.gz ZZNWJJCOFJUXHA-ZBFHGGJFSA-N 0 0 439.538 -0.537 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000555999468 1073403536 /nfs/dbraw/zinc/40/35/36/1073403536.db2.gz GDVFDIHCRMVLPO-UHFFFAOYSA-N 0 0 428.511 -0.395 20 0 IBADRN O=C(NCc1cccnc1OC1CCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000556000392 1073404274 /nfs/dbraw/zinc/40/42/74/1073404274.db2.gz BMAIEOWEQSMKOS-UHFFFAOYSA-N 0 0 443.504 -0.031 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(OC3CCCC3)nc2)CC1 ZINC000556000647 1073404360 /nfs/dbraw/zinc/40/43/60/1073404360.db2.gz HUJPVCUYACSTKR-UHFFFAOYSA-N 0 0 442.480 -0.623 20 0 IBADRN O=C(NCc1ccnc(OC2CCCC2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000556001216 1073404409 /nfs/dbraw/zinc/40/44/09/1073404409.db2.gz SPQXAPWFLRKXSJ-UHFFFAOYSA-N 0 0 443.504 -0.031 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cccnc2OCC(F)(F)F)CC1 ZINC000556002618 1073404293 /nfs/dbraw/zinc/40/42/93/1073404293.db2.gz KGACKRQRDSMREZ-UHFFFAOYSA-N 0 0 431.415 -0.081 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000556004321 1073404326 /nfs/dbraw/zinc/40/43/26/1073404326.db2.gz FLSOLMFBANFFNL-UHFFFAOYSA-N 0 0 446.552 -0.453 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cccc(CN2CCOCC2)c1 ZINC000556004595 1073404191 /nfs/dbraw/zinc/40/41/91/1073404191.db2.gz PLGNSQMVSJOTQL-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cccc(CN2CCOCC2)c1 ZINC000556004602 1073404343 /nfs/dbraw/zinc/40/43/43/1073404343.db2.gz PLGNSQMVSJOTQL-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000556004903 1073404315 /nfs/dbraw/zinc/40/43/15/1073404315.db2.gz VNXCXLZECKMZCY-UHFFFAOYSA-N 0 0 437.478 -0.241 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1)N1CCCC1 ZINC000556005596 1073402779 /nfs/dbraw/zinc/40/27/79/1073402779.db2.gz JKNOSCFVDKOYCF-UHFFFAOYSA-N 0 0 425.493 -0.350 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1cccnc1N1CCOCC1 ZINC000556006238 1073402854 /nfs/dbraw/zinc/40/28/54/1073402854.db2.gz IJBDUJCWVRUHRT-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cccnc1N1CCOCC1 ZINC000556006334 1073402895 /nfs/dbraw/zinc/40/28/95/1073402895.db2.gz RXAMAJLGVKSTOC-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cccnc1N1CCOCC1 ZINC000556006335 1073402813 /nfs/dbraw/zinc/40/28/13/1073402813.db2.gz RXAMAJLGVKSTOC-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1cccnc1N1CCOCC1)S(C)(=O)=O ZINC000556006742 1073403040 /nfs/dbraw/zinc/40/30/40/1073403040.db2.gz RDWJGFFBCFCOLK-UHFFFAOYSA-N 0 0 427.527 -0.678 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)[C@@H](C)C1 ZINC000556007654 1073404165 /nfs/dbraw/zinc/40/41/65/1073404165.db2.gz ORTAVLVGTVGOFA-INIZCTEOSA-N 0 0 433.509 -0.370 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)[C@H](C)C1 ZINC000556007655 1073404417 /nfs/dbraw/zinc/40/44/17/1073404417.db2.gz ORTAVLVGTVGOFA-MRXNPFEDSA-N 0 0 433.509 -0.370 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000556007677 1073404967 /nfs/dbraw/zinc/40/49/67/1073404967.db2.gz PFJKMOXHPFSEKM-UHFFFAOYSA-N 0 0 442.538 -0.005 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000556008279 1073405016 /nfs/dbraw/zinc/40/50/16/1073405016.db2.gz NHXDDYLLRNSOEO-INIZCTEOSA-N 0 0 440.522 -0.251 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000556008280 1073405009 /nfs/dbraw/zinc/40/50/09/1073405009.db2.gz NHXDDYLLRNSOEO-MRXNPFEDSA-N 0 0 440.522 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)C(=O)Nc3nc(C)n(C)n3)CC2)cc1 ZINC000556008690 1073347229 /nfs/dbraw/zinc/34/72/29/1073347229.db2.gz FRKLRDZEJYLPGS-UHFFFAOYSA-N 0 0 436.494 -0.006 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)C(=O)Nc3nc(C)n(C)n3)CC2)cc1 ZINC000556009370 1073343784 /nfs/dbraw/zinc/34/37/84/1073343784.db2.gz UBARHAPWVXJVDS-UHFFFAOYSA-N 0 0 429.481 0.244 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ncn(C)n2)CC1 ZINC000556009467 1073345111 /nfs/dbraw/zinc/34/51/11/1073345111.db2.gz HEDDYKAFQZJZSG-UHFFFAOYSA-N 0 0 442.885 -0.051 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccnc(OCC(F)(F)F)c1 ZINC000556012770 1073404809 /nfs/dbraw/zinc/40/48/09/1073404809.db2.gz OIQOSULPPSBWGC-AWEZNQCLSA-N 0 0 434.415 -0.210 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccnc(OCC(F)(F)F)c1 ZINC000556012771 1073404908 /nfs/dbraw/zinc/40/49/08/1073404908.db2.gz OIQOSULPPSBWGC-CQSZACIVSA-N 0 0 434.415 -0.210 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccnc(OC3CCCC3)c2)CC1 ZINC000556013596 1073405109 /nfs/dbraw/zinc/40/51/09/1073405109.db2.gz JFQYMKVYBOATRU-UHFFFAOYSA-N 0 0 442.480 -0.623 20 0 IBADRN CC(C)Oc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000556016192 1073404893 /nfs/dbraw/zinc/40/48/93/1073404893.db2.gz ORNHDNRJHNGWSK-UHFFFAOYSA-N 0 0 429.481 -0.510 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000556017943 1073405451 /nfs/dbraw/zinc/40/54/51/1073405451.db2.gz VPUSWEWVHKTTTI-UHFFFAOYSA-N 0 0 429.480 -0.390 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cn(Cc2ccccc2)nn1 ZINC000556018155 1073405533 /nfs/dbraw/zinc/40/55/33/1073405533.db2.gz DRQUNSAPBCKOFH-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(Cl)s1 ZINC000556019278 1073405589 /nfs/dbraw/zinc/40/55/89/1073405589.db2.gz OPNWRVPHFIWHQD-UHFFFAOYSA-N 0 0 449.898 -0.045 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccccc2OC2CCCC2)CC1 ZINC000556023551 1073405527 /nfs/dbraw/zinc/40/55/27/1073405527.db2.gz WOXVNFDZAKNHPY-UHFFFAOYSA-N 0 0 441.492 -0.018 20 0 IBADRN CCOc1cccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000556023745 1073405472 /nfs/dbraw/zinc/40/54/72/1073405472.db2.gz ANWAAJHZUWWASB-UHFFFAOYSA-N 0 0 430.465 -0.810 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc(OC)c1C ZINC000556030737 1073406369 /nfs/dbraw/zinc/40/63/69/1073406369.db2.gz JUGXGFVIBYIANX-UHFFFAOYSA-N 0 0 434.493 -0.046 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1OC ZINC000556031712 1073406321 /nfs/dbraw/zinc/40/63/21/1073406321.db2.gz BVTZHGVGPQZDJT-UHFFFAOYSA-N 0 0 448.476 -0.722 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000556032288 1073406266 /nfs/dbraw/zinc/40/62/66/1073406266.db2.gz NFURRTLBMMOANM-UHFFFAOYSA-N 0 0 439.450 -0.288 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c(OC)c1OC ZINC000556033196 1073405585 /nfs/dbraw/zinc/40/55/85/1073405585.db2.gz PYSRXNBVKDTRII-AWEZNQCLSA-N 0 0 431.449 -0.016 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c(OC)c1OC ZINC000556033197 1073405510 /nfs/dbraw/zinc/40/55/10/1073405510.db2.gz PYSRXNBVKDTRII-CQSZACIVSA-N 0 0 431.449 -0.016 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)c(OC)c2OC)C1 ZINC000556033486 1073405465 /nfs/dbraw/zinc/40/54/65/1073405465.db2.gz XKPVPUJNJNLUDO-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)c(OC)c2OC)C1 ZINC000556033489 1073405431 /nfs/dbraw/zinc/40/54/31/1073405431.db2.gz XKPVPUJNJNLUDO-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1c(F)cccc1F ZINC000556034161 1073405506 /nfs/dbraw/zinc/40/55/06/1073405506.db2.gz QTPUUKDTJGGKHY-LLVKDONJSA-N 0 0 436.419 -0.369 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1c(F)cccc1F ZINC000556034166 1073405499 /nfs/dbraw/zinc/40/54/99/1073405499.db2.gz QTPUUKDTJGGKHY-NSHDSACASA-N 0 0 436.419 -0.369 20 0 IBADRN Cc1c(NC(=O)C(=O)NCC(=O)N2CCOCC2)nnn1CCOc1ccc(F)cc1 ZINC000556038576 1073406276 /nfs/dbraw/zinc/40/62/76/1073406276.db2.gz OHXVZQUEWBWLTG-UHFFFAOYSA-N 0 0 434.428 -0.282 20 0 IBADRN CCOC(=O)c1nc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)sc1C(C)=O ZINC000556039230 1073406818 /nfs/dbraw/zinc/40/68/18/1073406818.db2.gz UEYQAWNFSJMSQJ-VIFPVBQESA-N 0 0 446.507 -0.047 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCO[C@H](CNc2nccn(C)c2=O)C1)c1c(F)cccc1F ZINC000556039897 1073352685 /nfs/dbraw/zinc/35/26/85/1073352685.db2.gz MSLVUAJYBWHSID-CHWSQXEVSA-N 0 0 435.431 0.575 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCO[C@@H](CNc2nccn(C)c2=O)C1)c1c(F)cccc1F ZINC000556039906 1073352817 /nfs/dbraw/zinc/35/28/17/1073352817.db2.gz MSLVUAJYBWHSID-OLZOCXBDSA-N 0 0 435.431 0.575 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@H](CNc2nccn(C)c2=O)C1)c1c(F)cccc1F ZINC000556039907 1073310669 /nfs/dbraw/zinc/31/06/69/1073310669.db2.gz MSLVUAJYBWHSID-QWHCGFSZSA-N 0 0 435.431 0.575 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@@H](CNc2nccn(C)c2=O)C1)c1c(F)cccc1F ZINC000556039908 1073310851 /nfs/dbraw/zinc/31/08/51/1073310851.db2.gz MSLVUAJYBWHSID-STQMWFEESA-N 0 0 435.431 0.575 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1O ZINC000556041960 1073406812 /nfs/dbraw/zinc/40/68/12/1073406812.db2.gz NXVFVLXXORTNPF-HNNXBMFYSA-N 0 0 441.506 -0.330 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1O ZINC000556041968 1073406842 /nfs/dbraw/zinc/40/68/42/1073406842.db2.gz NXVFVLXXORTNPF-OAHLLOKOSA-N 0 0 441.506 -0.330 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(F)ccc1C(=O)OC ZINC000556047884 1073406891 /nfs/dbraw/zinc/40/68/91/1073406891.db2.gz QHTWBXRPQLWDRQ-GFCCVEGCSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(F)ccc1C(=O)OC ZINC000556047885 1073406761 /nfs/dbraw/zinc/40/67/61/1073406761.db2.gz QHTWBXRPQLWDRQ-LBPRGKRZSA-N 0 0 436.483 -0.074 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)Nc4cn(C)nn4)CC3)C2=O)n(C)n1 ZINC000556048961 1073406846 /nfs/dbraw/zinc/40/68/46/1073406846.db2.gz TXPNFAIMHLLCEC-AWEZNQCLSA-N 0 0 429.485 -0.865 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)Nc4cn(C)nn4)CC3)C2=O)n(C)n1 ZINC000556048965 1073406884 /nfs/dbraw/zinc/40/68/84/1073406884.db2.gz TXPNFAIMHLLCEC-CQSZACIVSA-N 0 0 429.485 -0.865 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccn(-c2ccc(Br)cc2)n1 ZINC000556049490 1073325461 /nfs/dbraw/zinc/32/54/61/1073325461.db2.gz WZMZDOFZIKNTFS-UHFFFAOYSA-N 0 0 435.282 0.121 20 0 IBADRN COCc1ccccc1CNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000556051134 1073338423 /nfs/dbraw/zinc/33/84/23/1073338423.db2.gz BHYZNWCFSJZDNV-UHFFFAOYSA-N 0 0 429.477 0.529 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)c1=O ZINC000556056147 1072811950 /nfs/dbraw/zinc/81/19/50/1072811950.db2.gz GMFUCQRSMLFBKK-AWEZNQCLSA-N 0 0 430.465 -0.131 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)c1=O ZINC000556056148 1072812101 /nfs/dbraw/zinc/81/21/01/1072812101.db2.gz GMFUCQRSMLFBKK-CQSZACIVSA-N 0 0 430.465 -0.131 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)C1 ZINC000556058628 1072812010 /nfs/dbraw/zinc/81/20/10/1072812010.db2.gz ATRUDENNQCDUDB-KRWDZBQOSA-N 0 0 436.534 -0.035 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)C1 ZINC000556058629 1072811933 /nfs/dbraw/zinc/81/19/33/1072811933.db2.gz ATRUDENNQCDUDB-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(CN2CCCC2=O)cc1 ZINC000556059073 1072812066 /nfs/dbraw/zinc/81/20/66/1072812066.db2.gz IVRPPBNCWKIFGW-IBGZPJMESA-N 0 0 432.521 -0.423 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(CN2CCCC2=O)cc1 ZINC000556059074 1072812839 /nfs/dbraw/zinc/81/28/39/1072812839.db2.gz IVRPPBNCWKIFGW-LJQANCHMSA-N 0 0 432.521 -0.423 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1ccc(CN2CCCC2=O)cc1 ZINC000556059185 1072812091 /nfs/dbraw/zinc/81/20/91/1072812091.db2.gz VMNQNWPRWSBMFZ-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(CN2CCCC2=O)cc1 ZINC000556060156 1072813359 /nfs/dbraw/zinc/81/33/59/1072813359.db2.gz AZPFORWIVLTCMF-KRWDZBQOSA-N 0 0 436.534 -0.035 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(CN2CCCC2=O)cc1 ZINC000556060158 1072813235 /nfs/dbraw/zinc/81/32/35/1072813235.db2.gz AZPFORWIVLTCMF-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000556061178 1072813355 /nfs/dbraw/zinc/81/33/55/1072813355.db2.gz SOQWOYBKBJYWBY-UHFFFAOYSA-N 0 0 436.490 -0.695 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)CC1)Nc1nncs1 ZINC000556062625 1072813223 /nfs/dbraw/zinc/81/32/23/1072813223.db2.gz VQWFLDWENSDSCD-UHFFFAOYSA-N 0 0 429.462 -0.384 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3c(C(=O)OC)cnn3C)C2)nc1 ZINC000556065160 1072812674 /nfs/dbraw/zinc/81/26/74/1072812674.db2.gz ADDHZDXHSJDMSO-UHFFFAOYSA-N 0 0 430.421 -0.278 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1ccsc1 ZINC000556069557 1072813208 /nfs/dbraw/zinc/81/32/08/1072813208.db2.gz UCUXPYLXNUGOFD-AWEZNQCLSA-N 0 0 434.609 -0.038 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1ccsc1 ZINC000556069561 1072813181 /nfs/dbraw/zinc/81/31/81/1072813181.db2.gz UCUXPYLXNUGOFD-CQSZACIVSA-N 0 0 434.609 -0.038 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCc4nc(N)ncc4C3)cc2)CC1 ZINC000556073167 1073355768 /nfs/dbraw/zinc/35/57/68/1073355768.db2.gz CKNCPGJOPPONJR-UHFFFAOYSA-N 0 0 444.517 0.110 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C1 ZINC000556074455 1072814391 /nfs/dbraw/zinc/81/43/91/1072814391.db2.gz AVICMMDYJKKCPV-JOCHJYFZSA-N 0 0 445.520 -0.313 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C1 ZINC000556074458 1072814502 /nfs/dbraw/zinc/81/45/02/1072814502.db2.gz AVICMMDYJKKCPV-QFIPXVFZSA-N 0 0 445.520 -0.313 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000556074952 1072814421 /nfs/dbraw/zinc/81/44/21/1072814421.db2.gz ORNLXSKDWUFVKH-UHFFFAOYSA-N 0 0 433.509 -0.442 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000556075527 1072814374 /nfs/dbraw/zinc/81/43/74/1072814374.db2.gz ALAZSZSBISYJBS-GOSISDBHSA-N 0 0 429.521 -0.253 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000556075528 1072814432 /nfs/dbraw/zinc/81/44/32/1072814432.db2.gz ALAZSZSBISYJBS-SFHVURJKSA-N 0 0 429.521 -0.253 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)C(=O)NC[C@@H]2COCCO2)c1 ZINC000556075825 1072814303 /nfs/dbraw/zinc/81/43/03/1072814303.db2.gz KUAKIQRPICWOOB-GOSISDBHSA-N 0 0 434.493 -0.505 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)C(=O)NC[C@H]2COCCO2)c1 ZINC000556075827 1072814444 /nfs/dbraw/zinc/81/44/44/1072814444.db2.gz KUAKIQRPICWOOB-SFHVURJKSA-N 0 0 434.493 -0.505 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)CC1 ZINC000556077032 1072815061 /nfs/dbraw/zinc/81/50/61/1072815061.db2.gz DCAUMNWABVDANR-UHFFFAOYSA-N 0 0 447.511 -0.681 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)nc(C)n1 ZINC000556077691 1072815118 /nfs/dbraw/zinc/81/51/18/1072815118.db2.gz IXXIHNWZZLJVJS-UHFFFAOYSA-N 0 0 431.541 -0.541 20 0 IBADRN Cc1ccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)nc1 ZINC000556078305 1072815613 /nfs/dbraw/zinc/81/56/13/1072815613.db2.gz SDHZCPPPXKZMHY-KRWDZBQOSA-N 0 0 444.536 -0.153 20 0 IBADRN Cc1ccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)nc1 ZINC000556078310 1072815518 /nfs/dbraw/zinc/81/55/18/1072815518.db2.gz SDHZCPPPXKZMHY-QGZVFWFLSA-N 0 0 444.536 -0.153 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000556078462 1072815573 /nfs/dbraw/zinc/81/55/73/1072815573.db2.gz VEPSWFSWCCOKOC-UHFFFAOYSA-N 0 0 444.536 -0.950 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000556079710 1072815349 /nfs/dbraw/zinc/81/53/49/1072815349.db2.gz YHMRBQGOUZDQES-HNNXBMFYSA-N 0 0 442.513 -0.505 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000556079711 1072815607 /nfs/dbraw/zinc/81/56/07/1072815607.db2.gz YHMRBQGOUZDQES-OAHLLOKOSA-N 0 0 442.513 -0.505 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)n1 ZINC000556081447 1072816696 /nfs/dbraw/zinc/81/66/96/1072816696.db2.gz SGZCNBKTLAZAGP-CVEARBPZSA-N 0 0 438.529 -0.352 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)n1 ZINC000556081449 1072816671 /nfs/dbraw/zinc/81/66/71/1072816671.db2.gz SGZCNBKTLAZAGP-HOTGVXAUSA-N 0 0 438.529 -0.352 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)n1 ZINC000556081450 1072816688 /nfs/dbraw/zinc/81/66/88/1072816688.db2.gz SGZCNBKTLAZAGP-HZPDHXFCSA-N 0 0 438.529 -0.352 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)n1 ZINC000556081451 1072816713 /nfs/dbraw/zinc/81/67/13/1072816713.db2.gz SGZCNBKTLAZAGP-JKSUJKDBSA-N 0 0 438.529 -0.352 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC000556081647 1072816832 /nfs/dbraw/zinc/81/68/32/1072816832.db2.gz VDWKFZRBPNHXDS-UHFFFAOYSA-N 0 0 431.537 -0.226 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000556082570 1072817171 /nfs/dbraw/zinc/81/71/71/1072817171.db2.gz NMDXXTRRARIKSH-UHFFFAOYSA-N 0 0 427.483 -0.076 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN([C@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000556082572 1072817317 /nfs/dbraw/zinc/81/73/17/1072817317.db2.gz NNFXDGFTVOWWAG-CYBMUJFWSA-N 0 0 433.556 -0.479 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN([C@@H](C)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000556082573 1072817271 /nfs/dbraw/zinc/81/72/71/1072817271.db2.gz NNFXDGFTVOWWAG-ZDUSSCGKSA-N 0 0 433.556 -0.479 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000556082718 1072817200 /nfs/dbraw/zinc/81/72/00/1072817200.db2.gz CGAASNWJECXUKJ-UHFFFAOYSA-N 0 0 427.509 -0.052 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000556083281 1072817234 /nfs/dbraw/zinc/81/72/34/1072817234.db2.gz AHOWUXZXIIQQNT-UHFFFAOYSA-N 0 0 442.524 -0.327 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN([C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000556083911 1072817158 /nfs/dbraw/zinc/81/71/58/1072817158.db2.gz GYICWJZWCRIPMR-CYBMUJFWSA-N 0 0 433.556 -0.479 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000556083912 1072817179 /nfs/dbraw/zinc/81/71/79/1072817179.db2.gz GYICWJZWCRIPMR-ZDUSSCGKSA-N 0 0 433.556 -0.479 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NCCNC(=O)c2cccnc2)C1=O ZINC000556085311 1072815495 /nfs/dbraw/zinc/81/54/95/1072815495.db2.gz OHTNAXMSLYTWRD-HNNXBMFYSA-N 0 0 427.465 -0.544 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NCCNC(=O)c2cccnc2)C1=O ZINC000556085313 1072815596 /nfs/dbraw/zinc/81/55/96/1072815596.db2.gz OHTNAXMSLYTWRD-OAHLLOKOSA-N 0 0 427.465 -0.544 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)N1CCC(C(=O)Nc2nncs2)CC1 ZINC000556087459 1072816093 /nfs/dbraw/zinc/81/60/93/1072816093.db2.gz RVFCUDJFGSOTTL-UHFFFAOYSA-N 0 0 431.478 -0.344 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000556087595 1072815404 /nfs/dbraw/zinc/81/54/04/1072815404.db2.gz WKQAYZZPPYKMHU-KRWDZBQOSA-N 0 0 444.536 -0.527 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000556087596 1072815491 /nfs/dbraw/zinc/81/54/91/1072815491.db2.gz WKQAYZZPPYKMHU-QGZVFWFLSA-N 0 0 444.536 -0.527 20 0 IBADRN O=C(NCCN1CCc2ccccc2C1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000556087741 1072815544 /nfs/dbraw/zinc/81/55/44/1072815544.db2.gz CEZUJHRHOHPOTK-UHFFFAOYSA-N 0 0 427.505 -0.890 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCCNC(=O)c2cccnc2)s1 ZINC000556087785 1072815302 /nfs/dbraw/zinc/81/53/02/1072815302.db2.gz ACPUNMDSZIZSGT-UHFFFAOYSA-N 0 0 439.519 -0.608 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000556088340 1072816039 /nfs/dbraw/zinc/81/60/39/1072816039.db2.gz MWXZSNGBVOQEQY-UHFFFAOYSA-N 0 0 445.501 -0.216 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1)Nc1ccccc1 ZINC000556089530 1072818516 /nfs/dbraw/zinc/81/85/16/1072818516.db2.gz HKQYBWHWQAPHSM-UHFFFAOYSA-N 0 0 438.488 -0.290 20 0 IBADRN CN(C)c1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1 ZINC000556089966 1072815988 /nfs/dbraw/zinc/81/59/88/1072815988.db2.gz SOSQKVRENYEQMP-UHFFFAOYSA-N 0 0 436.494 -0.574 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCNC(=O)c2cccnc2)cn1 ZINC000556090073 1072818422 /nfs/dbraw/zinc/81/84/22/1072818422.db2.gz ZCUICEQUZDFPNY-INIZCTEOSA-N 0 0 448.505 -0.858 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCNC(=O)c2cccnc2)cn1 ZINC000556090074 1072818508 /nfs/dbraw/zinc/81/85/08/1072818508.db2.gz ZCUICEQUZDFPNY-MRXNPFEDSA-N 0 0 448.505 -0.858 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cc1 ZINC000556092311 1072817807 /nfs/dbraw/zinc/81/78/07/1072817807.db2.gz VYIYVXMYGKIXDX-UHFFFAOYSA-N 0 0 441.510 -0.018 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3ccc(N(C)C)nc3)CC2)no1 ZINC000556094810 1073329378 /nfs/dbraw/zinc/32/93/78/1073329378.db2.gz BWFQJUUBRQXVCI-UHFFFAOYSA-N 0 0 429.481 -0.157 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2cccc(C)c2)N2CCOCC2)CC1 ZINC000556095382 1072817862 /nfs/dbraw/zinc/81/78/62/1072817862.db2.gz HUZBHODDEJPNRL-FQEVSTJZSA-N 0 0 445.564 -0.235 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2cccc(C)c2)N2CCOCC2)CC1 ZINC000556095383 1072817945 /nfs/dbraw/zinc/81/79/45/1072817945.db2.gz HUZBHODDEJPNRL-HXUWFJFHSA-N 0 0 445.564 -0.235 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(CC(F)(F)C(F)F)CC2)CC1 ZINC000556095551 1072818010 /nfs/dbraw/zinc/81/80/10/1072818010.db2.gz JBCQZUSXRLONGM-UHFFFAOYSA-N 0 0 425.427 -0.689 20 0 IBADRN Cc1cc(N2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)nc(C(C)C)n1 ZINC000556096002 1072817875 /nfs/dbraw/zinc/81/78/75/1072817875.db2.gz OCDKMYYHHDCWIF-UHFFFAOYSA-N 0 0 445.568 -0.163 20 0 IBADRN CN(C)c1ncccc1CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000556096063 1072818000 /nfs/dbraw/zinc/81/80/00/1072818000.db2.gz OQEJBOHVBOFTPW-INIZCTEOSA-N 0 0 439.538 -0.583 20 0 IBADRN CN(C)c1ncccc1CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000556096065 1072817956 /nfs/dbraw/zinc/81/79/56/1072817956.db2.gz OQEJBOHVBOFTPW-MRXNPFEDSA-N 0 0 439.538 -0.583 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2ccc(N(C)C)nc2)CC1 ZINC000556096538 1072818583 /nfs/dbraw/zinc/81/85/83/1072818583.db2.gz SEUXDUIVYDXOEO-INIZCTEOSA-N 0 0 434.541 -0.551 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2ccc(N(C)C)nc2)CC1 ZINC000556096539 1072818459 /nfs/dbraw/zinc/81/84/59/1072818459.db2.gz SEUXDUIVYDXOEO-MRXNPFEDSA-N 0 0 434.541 -0.551 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)N2CCOCC2)c1 ZINC000556096736 1072818646 /nfs/dbraw/zinc/81/86/46/1072818646.db2.gz WJOZCZQTVCRGFT-IBGZPJMESA-N 0 0 440.504 -0.179 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)N2CCOCC2)c1 ZINC000556096737 1072818489 /nfs/dbraw/zinc/81/84/89/1072818489.db2.gz WJOZCZQTVCRGFT-LJQANCHMSA-N 0 0 440.504 -0.179 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2cccnc2N(C)C)CC1 ZINC000556097901 1072818563 /nfs/dbraw/zinc/81/85/63/1072818563.db2.gz GJQJCCDISKNKSB-INIZCTEOSA-N 0 0 434.541 -0.551 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2cccnc2N(C)C)CC1 ZINC000556097902 1072818496 /nfs/dbraw/zinc/81/84/96/1072818496.db2.gz GJQJCCDISKNKSB-MRXNPFEDSA-N 0 0 434.541 -0.551 20 0 IBADRN COc1ccc(CN(CCO)C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c(OC)c1 ZINC000556098216 1072818444 /nfs/dbraw/zinc/81/84/44/1072818444.db2.gz KPTKJANWZNMYQH-UHFFFAOYSA-N 0 0 436.509 -0.695 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cccnc2N(C)C)cn1 ZINC000556098281 1072818572 /nfs/dbraw/zinc/81/85/72/1072818572.db2.gz NRSJTXRXZDELCW-INIZCTEOSA-N 0 0 434.522 -0.022 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cccnc2N(C)C)cn1 ZINC000556098282 1072818634 /nfs/dbraw/zinc/81/86/34/1072818634.db2.gz NRSJTXRXZDELCW-MRXNPFEDSA-N 0 0 434.522 -0.022 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c(OC)c1OC ZINC000556099213 1072818981 /nfs/dbraw/zinc/81/89/81/1072818981.db2.gz NJZYAFCPLCQJEH-UHFFFAOYSA-N 0 0 436.509 -0.349 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CC1 ZINC000556100450 1072819240 /nfs/dbraw/zinc/81/92/40/1072819240.db2.gz FQZIGIDERDFXHH-GOSISDBHSA-N 0 0 445.520 -0.299 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CC1 ZINC000556100452 1072818988 /nfs/dbraw/zinc/81/89/88/1072818988.db2.gz FQZIGIDERDFXHH-SFHVURJKSA-N 0 0 445.520 -0.299 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000556100475 1072818998 /nfs/dbraw/zinc/81/89/98/1072818998.db2.gz GEPFHMFPVVMMTM-INIZCTEOSA-N 0 0 438.550 -0.365 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000556100479 1072819026 /nfs/dbraw/zinc/81/90/26/1072819026.db2.gz GEPFHMFPVVMMTM-MRXNPFEDSA-N 0 0 438.550 -0.365 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCCN(C(=O)c3ccsc3)CC2)CC1 ZINC000556101197 1072819085 /nfs/dbraw/zinc/81/90/85/1072819085.db2.gz OKWFYQHZBHNWTH-UHFFFAOYSA-N 0 0 435.550 -0.297 20 0 IBADRN COc1cccc(N2CC[C@@H](CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)c1 ZINC000556101753 1072819106 /nfs/dbraw/zinc/81/91/06/1072819106.db2.gz WZRKWCRJMPDJOU-KRWDZBQOSA-N 0 0 431.537 -0.082 20 0 IBADRN COc1cccc(N2CC[C@H](CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)c1 ZINC000556101754 1072819040 /nfs/dbraw/zinc/81/90/40/1072819040.db2.gz WZRKWCRJMPDJOU-QGZVFWFLSA-N 0 0 431.537 -0.082 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)c1c(F)cccc1F ZINC000556102884 1072819128 /nfs/dbraw/zinc/81/91/28/1072819128.db2.gz XLEPMWRUQKLBIM-INIZCTEOSA-N 0 0 425.480 -0.036 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)c1c(F)cccc1F ZINC000556102885 1072819063 /nfs/dbraw/zinc/81/90/63/1072819063.db2.gz XLEPMWRUQKLBIM-MRXNPFEDSA-N 0 0 425.480 -0.036 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](CN1CCCC1=O)c1ccccc1 ZINC000556106547 1072820337 /nfs/dbraw/zinc/82/03/37/1072820337.db2.gz LODZXUGXFAROJI-MOPGFXCFSA-N 0 0 432.521 -0.382 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](CN1CCCC1=O)c1ccccc1 ZINC000556106548 1072820687 /nfs/dbraw/zinc/82/06/87/1072820687.db2.gz LODZXUGXFAROJI-OALUTQOASA-N 0 0 432.521 -0.382 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](CN1CCCC1=O)c1ccccc1 ZINC000556106549 1072820585 /nfs/dbraw/zinc/82/05/85/1072820585.db2.gz LODZXUGXFAROJI-RBUKOAKNSA-N 0 0 432.521 -0.382 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](CN1CCCC1=O)c1ccccc1 ZINC000556106550 1072820434 /nfs/dbraw/zinc/82/04/34/1072820434.db2.gz LODZXUGXFAROJI-RTBURBONSA-N 0 0 432.521 -0.382 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NCC(=O)N(C)Cc1ccccc1 ZINC000556109781 1072820573 /nfs/dbraw/zinc/82/05/73/1072820573.db2.gz DRIIBMUVDPYULK-UHFFFAOYSA-N 0 0 425.489 -0.027 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000556110442 1072821210 /nfs/dbraw/zinc/82/12/10/1072821210.db2.gz UDQRSKJVEQPBRP-HOJAQTOUSA-N 0 0 443.548 -0.462 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000556110443 1072821193 /nfs/dbraw/zinc/82/11/93/1072821193.db2.gz UDQRSKJVEQPBRP-IHPCNDPISA-N 0 0 443.548 -0.462 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000556110444 1072821135 /nfs/dbraw/zinc/82/11/35/1072821135.db2.gz UDQRSKJVEQPBRP-YSIASYRMSA-N 0 0 443.548 -0.462 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000556110445 1072821258 /nfs/dbraw/zinc/82/12/58/1072821258.db2.gz UDQRSKJVEQPBRP-YZGWKJHDSA-N 0 0 443.548 -0.462 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000556110697 1072821120 /nfs/dbraw/zinc/82/11/20/1072821120.db2.gz XHMWCFAMQOVPDO-JLAWEPINSA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000556110698 1072821187 /nfs/dbraw/zinc/82/11/87/1072821187.db2.gz XHMWCFAMQOVPDO-XBMUEBEBSA-N 0 0 437.585 -0.338 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)n1 ZINC000556110844 1072821129 /nfs/dbraw/zinc/82/11/29/1072821129.db2.gz ZIDNRPXBVFDWCA-CVEARBPZSA-N 0 0 438.529 -0.352 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)n1 ZINC000556110845 1072821219 /nfs/dbraw/zinc/82/12/19/1072821219.db2.gz ZIDNRPXBVFDWCA-HOTGVXAUSA-N 0 0 438.529 -0.352 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)n1 ZINC000556110846 1072821145 /nfs/dbraw/zinc/82/11/45/1072821145.db2.gz ZIDNRPXBVFDWCA-HZPDHXFCSA-N 0 0 438.529 -0.352 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)n1 ZINC000556110847 1072821234 /nfs/dbraw/zinc/82/12/34/1072821234.db2.gz ZIDNRPXBVFDWCA-JKSUJKDBSA-N 0 0 438.529 -0.352 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000556111258 1072821112 /nfs/dbraw/zinc/82/11/12/1072821112.db2.gz AQGUOTUKVYOEBV-GOSISDBHSA-N 0 0 429.521 -0.253 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000556111259 1072821151 /nfs/dbraw/zinc/82/11/51/1072821151.db2.gz AQGUOTUKVYOEBV-SFHVURJKSA-N 0 0 429.521 -0.253 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)CC2)c1 ZINC000556111851 1072821225 /nfs/dbraw/zinc/82/12/25/1072821225.db2.gz RYJRLTWNVZWRED-KRWDZBQOSA-N 0 0 432.521 -0.255 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)CC2)c1 ZINC000556111852 1072821253 /nfs/dbraw/zinc/82/12/53/1072821253.db2.gz RYJRLTWNVZWRED-QGZVFWFLSA-N 0 0 432.521 -0.255 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC000556111935 1072821199 /nfs/dbraw/zinc/82/11/99/1072821199.db2.gz AYHMQXXCAZCHTK-GOSISDBHSA-N 0 0 443.548 -0.084 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC000556111942 1072821215 /nfs/dbraw/zinc/82/12/15/1072821215.db2.gz AYHMQXXCAZCHTK-SFHVURJKSA-N 0 0 443.548 -0.084 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)c1 ZINC000556112131 1072821693 /nfs/dbraw/zinc/82/16/93/1072821693.db2.gz BAIYILPVMASELO-HNNXBMFYSA-N 0 0 432.506 -0.214 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)c1 ZINC000556112132 1072821548 /nfs/dbraw/zinc/82/15/48/1072821548.db2.gz BAIYILPVMASELO-OAHLLOKOSA-N 0 0 432.506 -0.214 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)c1 ZINC000556113266 1072821782 /nfs/dbraw/zinc/82/17/82/1072821782.db2.gz HWPKCTYGWOOZLV-MSOLQXFVSA-N 0 0 438.550 -0.030 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)c1 ZINC000556113294 1072821860 /nfs/dbraw/zinc/82/18/60/1072821860.db2.gz HWPKCTYGWOOZLV-QZTJIDSGSA-N 0 0 438.550 -0.030 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)c1 ZINC000556113296 1072821828 /nfs/dbraw/zinc/82/18/28/1072821828.db2.gz HWPKCTYGWOOZLV-ROUUACIJSA-N 0 0 438.550 -0.030 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)c1 ZINC000556113297 1072821843 /nfs/dbraw/zinc/82/18/43/1072821843.db2.gz HWPKCTYGWOOZLV-ZWKOTPCHSA-N 0 0 438.550 -0.030 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1 ZINC000556113654 1072821744 /nfs/dbraw/zinc/82/17/44/1072821744.db2.gz LXBDHIFSMDLTIP-UHFFFAOYSA-N 0 0 434.522 -0.711 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2ccc(OCCOC)nc2)c1 ZINC000556114717 1072820671 /nfs/dbraw/zinc/82/06/71/1072820671.db2.gz JKJGWWBVZLXNPN-UHFFFAOYSA-N 0 0 436.490 -0.053 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000556114762 1072819807 /nfs/dbraw/zinc/81/98/07/1072819807.db2.gz KNYMFTLUTDNCRW-HNNXBMFYSA-N 0 0 446.551 -0.860 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1 ZINC000556114763 1072819858 /nfs/dbraw/zinc/81/98/58/1072819858.db2.gz KNYMFTLUTDNCRW-OAHLLOKOSA-N 0 0 446.551 -0.860 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(Cc3cc(C)on3)CC2)c1 ZINC000556114914 1072819933 /nfs/dbraw/zinc/81/99/33/1072819933.db2.gz NAFXRUDCJLVTGP-UHFFFAOYSA-N 0 0 435.506 -0.148 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)c1 ZINC000556116056 1072822281 /nfs/dbraw/zinc/82/22/81/1072822281.db2.gz XWYCFBQQVXEEJE-UHFFFAOYSA-N 0 0 448.505 -0.642 20 0 IBADRN CCN(C(=O)C(=O)NCc1cccc(S(=O)(=O)NC)c1)[C@H](C)CS(=O)(=O)CC ZINC000556116672 1072822105 /nfs/dbraw/zinc/82/21/05/1072822105.db2.gz XTQAABWLZGBUCL-CYBMUJFWSA-N 0 0 433.552 -0.117 20 0 IBADRN CCN(C(=O)C(=O)NCc1cccc(S(=O)(=O)NC)c1)[C@@H](C)CS(=O)(=O)CC ZINC000556116673 1072822269 /nfs/dbraw/zinc/82/22/69/1072822269.db2.gz XTQAABWLZGBUCL-ZDUSSCGKSA-N 0 0 433.552 -0.117 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CCOC(C)C)CC2)c1 ZINC000556116753 1072822201 /nfs/dbraw/zinc/82/22/01/1072822201.db2.gz ZVLMBWGRXQKZSA-UHFFFAOYSA-N 0 0 426.539 -0.220 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)C[C@H]1c1ncc[nH]1 ZINC000556117284 1072823123 /nfs/dbraw/zinc/82/31/23/1072823123.db2.gz MWHZBIYFCJSEBK-INIZCTEOSA-N 0 0 434.522 -0.161 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)C[C@@H]1c1ncc[nH]1 ZINC000556117285 1072823110 /nfs/dbraw/zinc/82/31/10/1072823110.db2.gz MWHZBIYFCJSEBK-MRXNPFEDSA-N 0 0 434.522 -0.161 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)c1 ZINC000556117793 1072822896 /nfs/dbraw/zinc/82/28/96/1072822896.db2.gz TUEMGPLRAWSGNZ-AWEZNQCLSA-N 0 0 426.539 -0.486 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)c1 ZINC000556117794 1072822967 /nfs/dbraw/zinc/82/29/67/1072822967.db2.gz TUEMGPLRAWSGNZ-CQSZACIVSA-N 0 0 426.539 -0.486 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000556118386 1072823075 /nfs/dbraw/zinc/82/30/75/1072823075.db2.gz ZPVMAHUUJXYQJN-HNNXBMFYSA-N 0 0 448.505 -0.744 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000556118394 1072822732 /nfs/dbraw/zinc/82/27/32/1072822732.db2.gz ZPVMAHUUJXYQJN-OAHLLOKOSA-N 0 0 448.505 -0.744 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000556121133 1072822940 /nfs/dbraw/zinc/82/29/40/1072822940.db2.gz YRJYIEFZQBWREY-UHFFFAOYSA-N 0 0 426.477 -0.553 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cccc(C(=O)NC)c2)cn1 ZINC000556121608 1072821758 /nfs/dbraw/zinc/82/17/58/1072821758.db2.gz FXBTXABJJRWCTO-KRWDZBQOSA-N 0 0 447.517 -0.123 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cccc(C(=O)NC)c2)cn1 ZINC000556121609 1072821707 /nfs/dbraw/zinc/82/17/07/1072821707.db2.gz FXBTXABJJRWCTO-QGZVFWFLSA-N 0 0 447.517 -0.123 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3cccc(C(=O)N(C)C)c3)CC2)n1 ZINC000556133779 1072823045 /nfs/dbraw/zinc/82/30/45/1072823045.db2.gz GXFYJEPUNFWYGK-UHFFFAOYSA-N 0 0 444.492 -0.122 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000556134504 1072822748 /nfs/dbraw/zinc/82/27/48/1072822748.db2.gz RGNYMMJDVYZBQB-UHFFFAOYSA-N 0 0 428.536 -0.843 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1 ZINC000556134681 1072822878 /nfs/dbraw/zinc/82/28/78/1072822878.db2.gz UDPPABUPTJDLRM-UHFFFAOYSA-N 0 0 438.488 -0.153 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c1 ZINC000556135333 1072823390 /nfs/dbraw/zinc/82/33/90/1072823390.db2.gz CPHYQRLLILUNIE-UHFFFAOYSA-N 0 0 436.476 -0.312 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000556135689 1072822861 /nfs/dbraw/zinc/82/28/61/1072822861.db2.gz QWNHZYIFCHSCDC-INIZCTEOSA-N 0 0 445.520 -0.946 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000556135690 1072823620 /nfs/dbraw/zinc/82/36/20/1072823620.db2.gz QWNHZYIFCHSCDC-MRXNPFEDSA-N 0 0 445.520 -0.946 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)c1 ZINC000556136718 1072823483 /nfs/dbraw/zinc/82/34/83/1072823483.db2.gz QRBVZUYNJGXDJG-UHFFFAOYSA-N 0 0 430.465 -0.464 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCc3cccc(C(N)=O)c3)C2)nc1 ZINC000556141183 1072823608 /nfs/dbraw/zinc/82/36/08/1072823608.db2.gz VWNOJPBWBSPRCB-UHFFFAOYSA-N 0 0 425.445 -0.022 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000556143317 1072823325 /nfs/dbraw/zinc/82/33/25/1072823325.db2.gz WUMPHBZJGLQILJ-CXAGYDPISA-N 0 0 432.477 -0.505 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000556143318 1072823338 /nfs/dbraw/zinc/82/33/38/1072823338.db2.gz WUMPHBZJGLQILJ-DYVFJYSZSA-N 0 0 432.477 -0.505 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000556143319 1072823351 /nfs/dbraw/zinc/82/33/51/1072823351.db2.gz WUMPHBZJGLQILJ-GUYCJALGSA-N 0 0 432.477 -0.505 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000556143320 1072823438 /nfs/dbraw/zinc/82/34/38/1072823438.db2.gz WUMPHBZJGLQILJ-SUMWQHHRSA-N 0 0 432.477 -0.505 20 0 IBADRN COc1ccc(CC[C@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000556144406 1072823357 /nfs/dbraw/zinc/82/33/57/1072823357.db2.gz WJSAVXFLATWHLN-HNNXBMFYSA-N 0 0 429.481 -0.510 20 0 IBADRN COc1ccc(CC[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000556144407 1072823309 /nfs/dbraw/zinc/82/33/09/1072823309.db2.gz WJSAVXFLATWHLN-OAHLLOKOSA-N 0 0 429.481 -0.510 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000556148011 1072824171 /nfs/dbraw/zinc/82/41/71/1072824171.db2.gz RLXMVBAEVXMBAN-BLLLJJGKSA-N 0 0 438.506 -0.589 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000556148012 1072824135 /nfs/dbraw/zinc/82/41/35/1072824135.db2.gz RLXMVBAEVXMBAN-LRDDRELGSA-N 0 0 438.506 -0.589 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000556148013 1072824094 /nfs/dbraw/zinc/82/40/94/1072824094.db2.gz RLXMVBAEVXMBAN-MLGOLLRUSA-N 0 0 438.506 -0.589 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000556148014 1072824120 /nfs/dbraw/zinc/82/41/20/1072824120.db2.gz RLXMVBAEVXMBAN-WBMJQRKESA-N 0 0 438.506 -0.589 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000556148637 1072823974 /nfs/dbraw/zinc/82/39/74/1072823974.db2.gz FKMURRTUIOOOMJ-UHFFFAOYSA-N 0 0 430.465 -0.835 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000556148654 1072824064 /nfs/dbraw/zinc/82/40/64/1072824064.db2.gz ALGJWVQUGGDNJZ-UHFFFAOYSA-N 0 0 433.509 -0.512 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000556149037 1072823964 /nfs/dbraw/zinc/82/39/64/1072823964.db2.gz HXQXCUYJWDCFEH-GOSISDBHSA-N 0 0 431.537 -0.416 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000556149040 1072824044 /nfs/dbraw/zinc/82/40/44/1072824044.db2.gz HXQXCUYJWDCFEH-SFHVURJKSA-N 0 0 431.537 -0.416 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC[C@@H](c3ccccc3)N3CCOCC3)CC2=O)cn1 ZINC000556149947 1072824072 /nfs/dbraw/zinc/82/40/72/1072824072.db2.gz RNCYALJVMALIHA-IBGZPJMESA-N 0 0 440.504 -0.215 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC[C@H](c3ccccc3)N3CCOCC3)CC2=O)cn1 ZINC000556149948 1072824129 /nfs/dbraw/zinc/82/41/29/1072824129.db2.gz RNCYALJVMALIHA-LJQANCHMSA-N 0 0 440.504 -0.215 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cn1 ZINC000556150024 1072823950 /nfs/dbraw/zinc/82/39/50/1072823950.db2.gz VAJUNPPXIKQVRP-UHFFFAOYSA-N 0 0 433.509 -0.510 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cn1 ZINC000556150166 1072824678 /nfs/dbraw/zinc/82/46/78/1072824678.db2.gz XZNMJMFKOSECPX-HNNXBMFYSA-N 0 0 433.509 -0.466 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cn1 ZINC000556150167 1072824544 /nfs/dbraw/zinc/82/45/44/1072824544.db2.gz XZNMJMFKOSECPX-OAHLLOKOSA-N 0 0 433.509 -0.466 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000556150400 1072824642 /nfs/dbraw/zinc/82/46/42/1072824642.db2.gz AFEDVNQCELEAJF-UHFFFAOYSA-N 0 0 430.552 -0.020 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cn1 ZINC000556150478 1072824650 /nfs/dbraw/zinc/82/46/50/1072824650.db2.gz CWARAVLEXWNGBW-FQEVSTJZSA-N 0 0 433.465 -0.440 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cn1 ZINC000556150479 1072824452 /nfs/dbraw/zinc/82/44/52/1072824452.db2.gz CWARAVLEXWNGBW-HXUWFJFHSA-N 0 0 433.465 -0.440 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000556150497 1072824625 /nfs/dbraw/zinc/82/46/25/1072824625.db2.gz DIVXYSKFKFDUBH-UHFFFAOYSA-N 0 0 446.551 -0.782 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cn1 ZINC000556150498 1072824428 /nfs/dbraw/zinc/82/44/28/1072824428.db2.gz DJKDSMMRXBEDAO-HNNXBMFYSA-N 0 0 430.465 -0.232 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cn1 ZINC000556150499 1072824633 /nfs/dbraw/zinc/82/46/33/1072824633.db2.gz DJKDSMMRXBEDAO-OAHLLOKOSA-N 0 0 430.465 -0.232 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1 ZINC000556151353 1072825119 /nfs/dbraw/zinc/82/51/19/1072825119.db2.gz KBRZXDQUAJLTAN-UHFFFAOYSA-N 0 0 447.536 -0.120 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1c(F)cccc1F)C2 ZINC000556151533 1072825193 /nfs/dbraw/zinc/82/51/93/1072825193.db2.gz PFGJJJUQNVWQAM-UHFFFAOYSA-N 0 0 436.419 -0.888 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1 ZINC000556151830 1072824691 /nfs/dbraw/zinc/82/46/91/1072824691.db2.gz ZOVWDPGXNFIQKT-PMACEKPBSA-N 0 0 434.537 -0.672 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1 ZINC000556151831 1072824508 /nfs/dbraw/zinc/82/45/08/1072824508.db2.gz ZOVWDPGXNFIQKT-UXHICEINSA-N 0 0 434.537 -0.672 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1 ZINC000556151832 1072824527 /nfs/dbraw/zinc/82/45/27/1072824527.db2.gz ZOVWDPGXNFIQKT-VQTJNVASSA-N 0 0 434.537 -0.672 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1 ZINC000556151833 1072824461 /nfs/dbraw/zinc/82/44/61/1072824461.db2.gz ZOVWDPGXNFIQKT-WOJBJXKFSA-N 0 0 434.537 -0.672 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3ccc(OC(C)C)nc3)CC2)no1 ZINC000556153648 1073329436 /nfs/dbraw/zinc/32/94/36/1073329436.db2.gz QBCLLPMDWXJJFS-UHFFFAOYSA-N 0 0 444.492 0.564 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000556153677 1072824109 /nfs/dbraw/zinc/82/41/09/1072824109.db2.gz RIHYROBYGKALOM-INIZCTEOSA-N 0 0 440.522 -0.251 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000556153678 1072824145 /nfs/dbraw/zinc/82/41/45/1072824145.db2.gz RIHYROBYGKALOM-MRXNPFEDSA-N 0 0 440.522 -0.251 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)CC1 ZINC000556158027 1072825674 /nfs/dbraw/zinc/82/56/74/1072825674.db2.gz LVOIEMXWAPSGTJ-HNNXBMFYSA-N 0 0 433.509 -0.913 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)CC1 ZINC000556158028 1072825838 /nfs/dbraw/zinc/82/58/38/1072825838.db2.gz LVOIEMXWAPSGTJ-OAHLLOKOSA-N 0 0 433.509 -0.913 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CC(=O)Nc3cc(S(=O)(=O)N4CCCCC4)ccc32)nn1 ZINC000556159080 1072825825 /nfs/dbraw/zinc/82/58/25/1072825825.db2.gz GGJKYZUPQQIVJK-UHFFFAOYSA-N 0 0 447.477 -0.463 20 0 IBADRN O=C(Nc1ccn(-c2ccc(Br)cc2)n1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000556159721 1073332804 /nfs/dbraw/zinc/33/28/04/1073332804.db2.gz RFPYVYJHKRLRFT-GFCCVEGCSA-N 0 0 447.249 0.336 20 0 IBADRN O=C(Nc1ccn(-c2ccc(Br)cc2)n1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000556159722 1073332205 /nfs/dbraw/zinc/33/22/05/1073332205.db2.gz RFPYVYJHKRLRFT-LBPRGKRZSA-N 0 0 447.249 0.336 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000556163207 1072826901 /nfs/dbraw/zinc/82/69/01/1072826901.db2.gz BPMCVNYABPBIEP-UHFFFAOYSA-N 0 0 432.477 -0.723 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1OC ZINC000556163526 1072826875 /nfs/dbraw/zinc/82/68/75/1072826875.db2.gz LZRFRRNZPYNBTB-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN COCCOc1cccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000556163931 1072826812 /nfs/dbraw/zinc/82/68/12/1072826812.db2.gz YQLCGGBRWQIJMF-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@H](c1ccccc1)N1CCOCC1 ZINC000556164367 1072827446 /nfs/dbraw/zinc/82/74/46/1072827446.db2.gz FIUCVEGCPQYJSS-GOSISDBHSA-N 0 0 426.477 -0.488 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1 ZINC000556164368 1072827437 /nfs/dbraw/zinc/82/74/37/1072827437.db2.gz FIUCVEGCPQYJSS-SFHVURJKSA-N 0 0 426.477 -0.488 20 0 IBADRN C[C@@H](Cc1ccccc1F)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000556164396 1072827421 /nfs/dbraw/zinc/82/74/21/1072827421.db2.gz FIVOAYIPQQVXRN-HNNXBMFYSA-N 0 0 434.468 -0.598 20 0 IBADRN C[C@H](Cc1ccccc1F)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000556164397 1072827274 /nfs/dbraw/zinc/82/72/74/1072827274.db2.gz FIVOAYIPQQVXRN-OAHLLOKOSA-N 0 0 434.468 -0.598 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)C1 ZINC000556164581 1072827261 /nfs/dbraw/zinc/82/72/61/1072827261.db2.gz IIXGPPNIMSCULF-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)C1 ZINC000556164584 1072827163 /nfs/dbraw/zinc/82/71/63/1072827163.db2.gz IIXGPPNIMSCULF-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)C1 ZINC000556164585 1072827186 /nfs/dbraw/zinc/82/71/86/1072827186.db2.gz IIXGPPNIMSCULF-ROUUACIJSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)C1 ZINC000556164586 1072827399 /nfs/dbraw/zinc/82/73/99/1072827399.db2.gz IIXGPPNIMSCULF-ZWKOTPCHSA-N 0 0 438.550 -0.284 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)NCCS(=O)(=O)NC)c2)ccn1 ZINC000556166838 1072826316 /nfs/dbraw/zinc/82/63/16/1072826316.db2.gz DTRVRBBNDSBQHV-UHFFFAOYSA-N 0 0 435.462 -0.163 20 0 IBADRN COCCCN(C)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556172379 1072827972 /nfs/dbraw/zinc/82/79/72/1072827972.db2.gz KHRUJIKQKFXTTQ-UHFFFAOYSA-N 0 0 427.527 -0.856 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N1CCCSCC1 ZINC000556172537 1072828007 /nfs/dbraw/zinc/82/80/07/1072828007.db2.gz MWZJUVBGZCCAOR-UHFFFAOYSA-N 0 0 441.579 -0.385 20 0 IBADRN CN(Cc1ccco1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556172650 1072828065 /nfs/dbraw/zinc/82/80/65/1072828065.db2.gz RQIGRWLHBDHQOP-UHFFFAOYSA-N 0 0 435.506 -0.099 20 0 IBADRN CO[C@H]1CC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000556172971 1072827763 /nfs/dbraw/zinc/82/77/63/1072827763.db2.gz FZOUGTWRWORSAZ-CVEARBPZSA-N 0 0 439.538 -0.667 20 0 IBADRN CO[C@H]1CC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000556172972 1072828049 /nfs/dbraw/zinc/82/80/49/1072828049.db2.gz FZOUGTWRWORSAZ-HOTGVXAUSA-N 0 0 439.538 -0.667 20 0 IBADRN CO[C@@H]1CC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000556172973 1072827798 /nfs/dbraw/zinc/82/77/98/1072827798.db2.gz FZOUGTWRWORSAZ-HZPDHXFCSA-N 0 0 439.538 -0.667 20 0 IBADRN CO[C@@H]1CC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000556172974 1072827951 /nfs/dbraw/zinc/82/79/51/1072827951.db2.gz FZOUGTWRWORSAZ-JKSUJKDBSA-N 0 0 439.538 -0.667 20 0 IBADRN CN(Cc1cccnc1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556173124 1072827712 /nfs/dbraw/zinc/82/77/12/1072827712.db2.gz LMCLCWKOTXNJKC-UHFFFAOYSA-N 0 0 446.533 -0.297 20 0 IBADRN O=C(NCC[C@H]1CCOC1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556174567 1072827906 /nfs/dbraw/zinc/82/79/06/1072827906.db2.gz NOXKKZCIZFTRFU-INIZCTEOSA-N 0 0 439.538 -0.808 20 0 IBADRN O=C(NCC[C@@H]1CCOC1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556174568 1072827931 /nfs/dbraw/zinc/82/79/31/1072827931.db2.gz NOXKKZCIZFTRFU-MRXNPFEDSA-N 0 0 439.538 -0.808 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000556177840 1072827742 /nfs/dbraw/zinc/82/77/42/1072827742.db2.gz OPPXTAOCUBRTEB-UHFFFAOYSA-N 0 0 427.509 -0.010 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNc3ccccc3C(N)=O)CC2)o1 ZINC000556179045 1072828131 /nfs/dbraw/zinc/82/81/31/1072828131.db2.gz NNIJWWHSVNMQHQ-UHFFFAOYSA-N 0 0 435.462 -0.578 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000556181241 1073328404 /nfs/dbraw/zinc/32/84/04/1073328404.db2.gz GAXKRXHEOKKOHC-UHFFFAOYSA-N 0 0 436.494 0.332 20 0 IBADRN CCN(CC(C)(C)O)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556181246 1072830464 /nfs/dbraw/zinc/83/04/64/1072830464.db2.gz GKWUBYNRCWOVTC-UHFFFAOYSA-N 0 0 441.554 -0.731 20 0 IBADRN COC[C@@H](C)CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556181274 1072830714 /nfs/dbraw/zinc/83/07/14/1072830714.db2.gz HWXXWZOOANWADG-HNNXBMFYSA-N 0 0 427.527 -0.952 20 0 IBADRN COC[C@H](C)CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556181275 1072830345 /nfs/dbraw/zinc/83/03/45/1072830345.db2.gz HWXXWZOOANWADG-OAHLLOKOSA-N 0 0 427.527 -0.952 20 0 IBADRN CCSCCCNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556181381 1072830572 /nfs/dbraw/zinc/83/05/72/1072830572.db2.gz OEJFLCVAWBXQRY-UHFFFAOYSA-N 0 0 443.595 -0.091 20 0 IBADRN Cc1cncc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000556181421 1072830611 /nfs/dbraw/zinc/83/06/11/1072830611.db2.gz RJMJGLDNHPCECW-UHFFFAOYSA-N 0 0 446.533 -0.331 20 0 IBADRN Cc1c(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cnn1C ZINC000556181431 1072830313 /nfs/dbraw/zinc/83/03/13/1072830313.db2.gz SAFSKVMDNUHDHU-UHFFFAOYSA-N 0 0 449.537 -0.992 20 0 IBADRN CN(Cc1ccon1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556181455 1072830771 /nfs/dbraw/zinc/83/07/71/1072830771.db2.gz TUIUEFXVLNCXHO-UHFFFAOYSA-N 0 0 436.494 -0.704 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000556181600 1072827849 /nfs/dbraw/zinc/82/78/49/1072827849.db2.gz BVOJVHPFTVXOQU-INIZCTEOSA-N 0 0 439.538 -0.713 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000556181601 1072828112 /nfs/dbraw/zinc/82/81/12/1072828112.db2.gz BVOJVHPFTVXOQU-MRXNPFEDSA-N 0 0 439.538 -0.713 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000556182190 1072830446 /nfs/dbraw/zinc/83/04/46/1072830446.db2.gz KADYJXLQSJFMEY-HOTGVXAUSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000556182191 1072830631 /nfs/dbraw/zinc/83/06/31/1072830631.db2.gz KADYJXLQSJFMEY-HZPDHXFCSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000556182192 1072831021 /nfs/dbraw/zinc/83/10/21/1072831021.db2.gz KADYJXLQSJFMEY-IYBDPMFKSA-N 0 0 439.538 -0.496 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000556182205 1072831319 /nfs/dbraw/zinc/83/13/19/1072831319.db2.gz KILFKRXOUVVGJZ-UHFFFAOYSA-N 0 0 433.509 -0.329 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556182555 1072830555 /nfs/dbraw/zinc/83/05/55/1072830555.db2.gz YSODPUAPWFSCIZ-CVEARBPZSA-N 0 0 439.538 -0.715 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@@H](C)CO1 ZINC000556182557 1072830415 /nfs/dbraw/zinc/83/04/15/1072830415.db2.gz YSODPUAPWFSCIZ-HOTGVXAUSA-N 0 0 439.538 -0.715 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@H](C)CO1 ZINC000556182558 1072830641 /nfs/dbraw/zinc/83/06/41/1072830641.db2.gz YSODPUAPWFSCIZ-HZPDHXFCSA-N 0 0 439.538 -0.715 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@@H](C)CO1 ZINC000556182560 1072830380 /nfs/dbraw/zinc/83/03/80/1072830380.db2.gz YSODPUAPWFSCIZ-JKSUJKDBSA-N 0 0 439.538 -0.715 20 0 IBADRN CN(C[C@@H]1CCOC1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556182587 1072830685 /nfs/dbraw/zinc/83/06/85/1072830685.db2.gz ZFGZKPUJHPOZPW-INIZCTEOSA-N 0 0 439.538 -0.856 20 0 IBADRN CN(C[C@H]1CCOC1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000556182588 1072830734 /nfs/dbraw/zinc/83/07/34/1072830734.db2.gz ZFGZKPUJHPOZPW-MRXNPFEDSA-N 0 0 439.538 -0.856 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2ccc(C)cc2N2CCOCC2)CC1 ZINC000556185209 1072828499 /nfs/dbraw/zinc/82/84/99/1072828499.db2.gz BTHYEKVMNKOBIL-UHFFFAOYSA-N 0 0 431.537 -0.226 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000556186307 1072829021 /nfs/dbraw/zinc/82/90/21/1072829021.db2.gz BFFUXRCIHAVPKH-INIZCTEOSA-N 0 0 437.566 -0.436 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000556186309 1072828934 /nfs/dbraw/zinc/82/89/34/1072828934.db2.gz BFFUXRCIHAVPKH-MRXNPFEDSA-N 0 0 437.566 -0.436 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(CCO)Cc2ccc(OC)cc2OC)CC1 ZINC000556186467 1072829115 /nfs/dbraw/zinc/82/91/15/1072829115.db2.gz DKFKXGNVRYCZFE-UHFFFAOYSA-N 0 0 436.509 -0.649 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N(C)CCN2CCOC(C)(C)C2)CC1 ZINC000556186483 1072829005 /nfs/dbraw/zinc/82/90/05/1072829005.db2.gz DOSZGNMXYNQQJI-UHFFFAOYSA-N 0 0 425.574 -0.338 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)CC1 ZINC000556186485 1072828981 /nfs/dbraw/zinc/82/89/81/1072828981.db2.gz DTGWURWOONWEQA-UHFFFAOYSA-N 0 0 436.582 -0.106 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000556186517 1072829264 /nfs/dbraw/zinc/82/92/64/1072829264.db2.gz XQKMQWMRUVFSRP-UHFFFAOYSA-N 0 0 438.550 -0.317 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)NC1CCN(CC(=O)NC)CC1)N1CCOCC1 ZINC000556186661 1072829139 /nfs/dbraw/zinc/82/91/39/1072829139.db2.gz GMKXCSNMMAHIEK-GOSISDBHSA-N 0 0 425.574 -0.434 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)NC1CCN(CC(=O)NC)CC1)N1CCOCC1 ZINC000556186662 1072829099 /nfs/dbraw/zinc/82/90/99/1072829099.db2.gz GMKXCSNMMAHIEK-SFHVURJKSA-N 0 0 425.574 -0.434 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NC2CCN(c3cc(C)[nH]n3)CC2)CC1 ZINC000556186666 1072828912 /nfs/dbraw/zinc/82/89/12/1072828912.db2.gz GOTJPBUNKSLROZ-UHFFFAOYSA-N 0 0 433.557 -0.090 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000556186744 1072828553 /nfs/dbraw/zinc/82/85/53/1072828553.db2.gz JXDNEAGZSNFBQT-MOPGFXCFSA-N 0 0 437.585 -0.147 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000556186745 1072828578 /nfs/dbraw/zinc/82/85/78/1072828578.db2.gz JXDNEAGZSNFBQT-OALUTQOASA-N 0 0 437.585 -0.147 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000556186746 1072828633 /nfs/dbraw/zinc/82/86/33/1072828633.db2.gz JXDNEAGZSNFBQT-RBUKOAKNSA-N 0 0 437.585 -0.147 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000556186747 1072829045 /nfs/dbraw/zinc/82/90/45/1072829045.db2.gz JXDNEAGZSNFBQT-RTBURBONSA-N 0 0 437.585 -0.147 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCn3c(Cc4ccccc4)nnc3C2)CC1 ZINC000556186879 1072828480 /nfs/dbraw/zinc/82/84/80/1072828480.db2.gz OBMRMDDGQJVXER-UHFFFAOYSA-N 0 0 439.520 -0.462 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)NC3CCN(CC(=O)NC)CC3)CC2)n1 ZINC000556187123 1072828623 /nfs/dbraw/zinc/82/86/23/1072828623.db2.gz SYWFFBDXJPEKQG-UHFFFAOYSA-N 0 0 437.570 -0.534 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000556187470 1072829952 /nfs/dbraw/zinc/82/99/52/1072829952.db2.gz BVHPGNPTIPCIQK-UHFFFAOYSA-N 0 0 431.537 -0.538 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000556187561 1072829924 /nfs/dbraw/zinc/82/99/24/1072829924.db2.gz GIBWLSRYVNCJTM-IBGZPJMESA-N 0 0 449.527 -0.358 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000556187562 1072829746 /nfs/dbraw/zinc/82/97/46/1072829746.db2.gz GIBWLSRYVNCJTM-LJQANCHMSA-N 0 0 449.527 -0.358 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3cc(C)no3)CC2)CC1 ZINC000556187780 1072830028 /nfs/dbraw/zinc/83/00/28/1072830028.db2.gz PERYYEWDPCRIBN-UHFFFAOYSA-N 0 0 434.541 -0.266 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000556187901 1072829650 /nfs/dbraw/zinc/82/96/50/1072829650.db2.gz UHUPHKHFDPPAKM-IBGZPJMESA-N 0 0 443.548 -0.337 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000556187905 1072829687 /nfs/dbraw/zinc/82/96/87/1072829687.db2.gz UHUPHKHFDPPAKM-LJQANCHMSA-N 0 0 443.548 -0.337 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)CC1 ZINC000556187926 1072829792 /nfs/dbraw/zinc/82/97/92/1072829792.db2.gz URJBOJNXIIDPHS-UHFFFAOYSA-N 0 0 447.511 -0.634 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000556187938 1072829815 /nfs/dbraw/zinc/82/98/15/1072829815.db2.gz UWNQORORIHXKHT-UHFFFAOYSA-N 0 0 444.536 -0.904 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)CC1 ZINC000556187953 1072829767 /nfs/dbraw/zinc/82/97/67/1072829767.db2.gz VBFIWAWDUTVRNF-UHFFFAOYSA-N 0 0 433.557 -0.829 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(Cc2ccccc2)C[C@]2(O)CCO[C@H]2C)CC1 ZINC000556188081 1072829358 /nfs/dbraw/zinc/82/93/58/1072829358.db2.gz YTSSAYHPXAXHLK-GAJHUEQPSA-N 0 0 446.548 -0.118 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(Cc2ccccc2)C[C@@]2(O)CCO[C@@H]2C)CC1 ZINC000556188082 1072829229 /nfs/dbraw/zinc/82/92/29/1072829229.db2.gz YTSSAYHPXAXHLK-HXOBKFHXSA-N 0 0 446.548 -0.118 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(Cc2ccccc2)C[C@@]2(O)CCO[C@H]2C)CC1 ZINC000556188083 1072828955 /nfs/dbraw/zinc/82/89/55/1072828955.db2.gz YTSSAYHPXAXHLK-SBUREZEXSA-N 0 0 446.548 -0.118 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(Cc2ccccc2)C[C@]2(O)CCO[C@@H]2C)CC1 ZINC000556188084 1072829177 /nfs/dbraw/zinc/82/91/77/1072829177.db2.gz YTSSAYHPXAXHLK-UZUQRXQVSA-N 0 0 446.548 -0.118 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)CC1 ZINC000556188140 1072829726 /nfs/dbraw/zinc/82/97/26/1072829726.db2.gz HKHSZRMPDMYWNO-UHFFFAOYSA-N 0 0 430.553 -0.167 20 0 IBADRN Cc1cccc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000556188177 1072829836 /nfs/dbraw/zinc/82/98/36/1072829836.db2.gz JFBJAPUEUCSBSC-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C(=O)NC3CCN(CC(=O)NC)CC3)CC2)cs1 ZINC000556188338 1072829703 /nfs/dbraw/zinc/82/97/03/1072829703.db2.gz QLEBKMZMNKBSNB-UHFFFAOYSA-N 0 0 436.582 -0.324 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CCOC(C)C)CC2)CC1 ZINC000556189308 1072829672 /nfs/dbraw/zinc/82/96/72/1072829672.db2.gz ABBKTDMZHKOZHK-UHFFFAOYSA-N 0 0 425.574 -0.338 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3cccc(Cl)c3)CC2)CC1 ZINC000556190092 1072829904 /nfs/dbraw/zinc/82/99/04/1072829904.db2.gz OVAVVQCXJLKDOQ-UHFFFAOYSA-N 0 0 449.939 -0.049 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000556190231 1072830528 /nfs/dbraw/zinc/83/05/28/1072830528.db2.gz STCVLBCBLNCEJR-UHFFFAOYSA-N 0 0 446.533 -0.331 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCCN(c3cccnn3)CC2)CC1 ZINC000556190683 1072830661 /nfs/dbraw/zinc/83/06/61/1072830661.db2.gz UUFKWAOIASTVRH-UHFFFAOYSA-N 0 0 431.541 -0.378 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000556192543 1072830996 /nfs/dbraw/zinc/83/09/96/1072830996.db2.gz AZSFPBMKVYXHQS-UHFFFAOYSA-N 0 0 448.543 -0.656 20 0 IBADRN CCC(CC)C(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)CC1 ZINC000556192685 1072831040 /nfs/dbraw/zinc/83/10/40/1072831040.db2.gz CYDCXSBRTFMLJM-UHFFFAOYSA-N 0 0 435.569 -0.047 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000556192807 1072831113 /nfs/dbraw/zinc/83/11/13/1072831113.db2.gz GHPVAVZKICINIR-UHFFFAOYSA-N 0 0 428.541 -0.111 20 0 IBADRN COCCN(Cc1cccnc1)C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000556193347 1072831930 /nfs/dbraw/zinc/83/19/30/1072831930.db2.gz HBXTZGUCXQLHII-UHFFFAOYSA-N 0 0 427.509 -0.215 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCCN1CCc2sccc2C1 ZINC000556193505 1072831664 /nfs/dbraw/zinc/83/16/64/1072831664.db2.gz NATWAISZOHISDA-UHFFFAOYSA-N 0 0 443.577 -0.049 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC2(CCOCC2)O1 ZINC000556193583 1072831654 /nfs/dbraw/zinc/83/16/54/1072831654.db2.gz SYCTWCJPYKEKHB-KRWDZBQOSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC2(CCOCC2)O1 ZINC000556193584 1072831918 /nfs/dbraw/zinc/83/19/18/1072831918.db2.gz SYCTWCJPYKEKHB-QGZVFWFLSA-N 0 0 432.525 -0.489 20 0 IBADRN CCC(CC)C(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000556193863 1072831775 /nfs/dbraw/zinc/83/17/75/1072831775.db2.gz MIOJAGXLNBEVFI-UHFFFAOYSA-N 0 0 449.552 -0.521 20 0 IBADRN CCCC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000556194046 1072830977 /nfs/dbraw/zinc/83/09/77/1072830977.db2.gz YYSFGBYDRVQGHV-UHFFFAOYSA-N 0 0 443.504 -0.009 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCc1cnn(CC(F)(F)F)c1 ZINC000556194092 1072831286 /nfs/dbraw/zinc/83/12/86/1072831286.db2.gz VIPBYZZADBPJLN-UHFFFAOYSA-N 0 0 440.430 -0.210 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(Cc2ccco2)CC1 ZINC000556194111 1072831219 /nfs/dbraw/zinc/83/12/19/1072831219.db2.gz ZXISBOTWHPJXDP-UHFFFAOYSA-N 0 0 427.509 -0.348 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1CC(F)F ZINC000556194553 1071070362 /nfs/dbraw/zinc/07/03/62/1071070362.db2.gz ZPWLJQKIHSSIMZ-INIZCTEOSA-N 0 0 439.511 -0.097 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1CC(F)F ZINC000556194554 1071070383 /nfs/dbraw/zinc/07/03/83/1071070383.db2.gz ZPWLJQKIHSSIMZ-MRXNPFEDSA-N 0 0 439.511 -0.097 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)Nc1ccccc1 ZINC000556194572 1072831945 /nfs/dbraw/zinc/83/19/45/1072831945.db2.gz VCGDRDFVVOLOLG-UHFFFAOYSA-N 0 0 425.493 -0.140 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000556194599 1072831712 /nfs/dbraw/zinc/83/17/12/1072831712.db2.gz WDONGJWJBTXQBR-INIZCTEOSA-N 0 0 432.525 -0.095 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000556194600 1072831792 /nfs/dbraw/zinc/83/17/92/1072831792.db2.gz WDONGJWJBTXQBR-MRXNPFEDSA-N 0 0 432.525 -0.095 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000556195601 1072831570 /nfs/dbraw/zinc/83/15/70/1072831570.db2.gz BSCNULPGRLAIMC-UHFFFAOYSA-N 0 0 438.536 -0.233 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000556196971 1072831727 /nfs/dbraw/zinc/83/17/27/1072831727.db2.gz HMEYRUWPBWDBNQ-UHFFFAOYSA-N 0 0 425.537 -0.008 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000556197436 1072831817 /nfs/dbraw/zinc/83/18/17/1072831817.db2.gz OURBGBDAIPHTKS-UHFFFAOYSA-N 0 0 444.580 -0.406 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCCC1 ZINC000556197590 1072831583 /nfs/dbraw/zinc/83/15/83/1072831583.db2.gz QHFRSCCJKWGCTL-UHFFFAOYSA-N 0 0 431.541 -0.520 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000556197984 1072831593 /nfs/dbraw/zinc/83/15/93/1072831593.db2.gz OPJYVLYCNZSAKC-GOSISDBHSA-N 0 0 437.566 -0.535 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000556197985 1072831611 /nfs/dbraw/zinc/83/16/11/1072831611.db2.gz OPJYVLYCNZSAKC-SFHVURJKSA-N 0 0 437.566 -0.535 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)N1CCCCCC1 ZINC000556198206 1072832635 /nfs/dbraw/zinc/83/26/35/1072832635.db2.gz QGXNRESZIPBSLT-UHFFFAOYSA-N 0 0 431.541 -0.376 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000556198364 1072831344 /nfs/dbraw/zinc/83/13/44/1072831344.db2.gz YUVIXTUDDIGMJP-UHFFFAOYSA-N 0 0 447.584 -0.468 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N(CCCO)Cc1ccccn1 ZINC000556198556 1072831096 /nfs/dbraw/zinc/83/10/96/1072831096.db2.gz VAAUKHRJVDIOKL-UHFFFAOYSA-N 0 0 427.509 -0.479 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCn2c(nnc2C2CC2)C1 ZINC000556198565 1072831268 /nfs/dbraw/zinc/83/12/68/1072831268.db2.gz VDOUORUVJLCLSC-UHFFFAOYSA-N 0 0 425.497 -0.774 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)N1CCN(c2ccccc2)CC1 ZINC000556198717 1072832601 /nfs/dbraw/zinc/83/26/01/1072832601.db2.gz WIDSFFYKQVDHCX-CTNGQTDRSA-N 0 0 447.580 -0.535 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)N1CCN(c2ccccc2)CC1 ZINC000556198718 1072832341 /nfs/dbraw/zinc/83/23/41/1072832341.db2.gz WIDSFFYKQVDHCX-FPOVZHCZSA-N 0 0 447.580 -0.535 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)N1CCN(c2ccccc2)CC1 ZINC000556198719 1072832469 /nfs/dbraw/zinc/83/24/69/1072832469.db2.gz WIDSFFYKQVDHCX-PZJWPPBQSA-N 0 0 447.580 -0.535 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)N1CCN(c2ccccc2)CC1 ZINC000556198720 1072832617 /nfs/dbraw/zinc/83/26/17/1072832617.db2.gz WIDSFFYKQVDHCX-TZIWHRDSSA-N 0 0 447.580 -0.535 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(Cn2cncn2)CC1 ZINC000556199228 1072831186 /nfs/dbraw/zinc/83/11/86/1072831186.db2.gz DYCYISFVOJHLLA-UHFFFAOYSA-N 0 0 427.513 -0.755 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1CC(C)(C)O ZINC000556199291 1072833078 /nfs/dbraw/zinc/83/30/78/1072833078.db2.gz GMYDUGPCKUKBOS-KRWDZBQOSA-N 0 0 433.557 -0.982 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1CC(C)(C)O ZINC000556199292 1072832850 /nfs/dbraw/zinc/83/28/50/1072832850.db2.gz GMYDUGPCKUKBOS-QGZVFWFLSA-N 0 0 433.557 -0.982 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000556199464 1072832944 /nfs/dbraw/zinc/83/29/44/1072832944.db2.gz LVBKJUPMWCZTQS-UHFFFAOYSA-N 0 0 431.537 -0.322 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NC[C@@H]1CCN(CC(F)(F)F)C1 ZINC000556199554 1072833221 /nfs/dbraw/zinc/83/32/21/1072833221.db2.gz OXDYZWJHBUPUHQ-HNNXBMFYSA-N 0 0 443.474 -0.285 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NC[C@H]1CCN(CC(F)(F)F)C1 ZINC000556199555 1072833264 /nfs/dbraw/zinc/83/32/64/1072833264.db2.gz OXDYZWJHBUPUHQ-OAHLLOKOSA-N 0 0 443.474 -0.285 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000556199651 1072832996 /nfs/dbraw/zinc/83/29/96/1072832996.db2.gz RYBQUTFOJPBRLY-GOSISDBHSA-N 0 0 428.537 -0.013 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000556199652 1072833278 /nfs/dbraw/zinc/83/32/78/1072833278.db2.gz RYBQUTFOJPBRLY-SFHVURJKSA-N 0 0 428.537 -0.013 20 0 IBADRN CC(C)C(=O)N1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000556199682 1072832931 /nfs/dbraw/zinc/83/29/31/1072832931.db2.gz SJSZZNZNPIPFNL-UHFFFAOYSA-N 0 0 431.541 -0.568 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000556199701 1072833119 /nfs/dbraw/zinc/83/31/19/1072833119.db2.gz SWVOKSRPWHDUOJ-KRWDZBQOSA-N 0 0 444.565 -0.235 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000556199702 1072832969 /nfs/dbraw/zinc/83/29/69/1072832969.db2.gz SWVOKSRPWHDUOJ-QGZVFWFLSA-N 0 0 444.565 -0.235 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NC1CCN(c2nccs2)CC1 ZINC000556199851 1072831164 /nfs/dbraw/zinc/83/11/64/1072831164.db2.gz XGEMHRNSVFRZPX-UHFFFAOYSA-N 0 0 444.565 -0.044 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000556199867 1072833254 /nfs/dbraw/zinc/83/32/54/1072833254.db2.gz XSBYQRKUZAIXCN-UHFFFAOYSA-N 0 0 428.541 -0.186 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000556199913 1072833132 /nfs/dbraw/zinc/83/31/32/1072833132.db2.gz YRHYGUYOQMHBOW-GOSISDBHSA-N 0 0 431.541 -0.962 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000556199914 1072832975 /nfs/dbraw/zinc/83/29/75/1072832975.db2.gz YRHYGUYOQMHBOW-SFHVURJKSA-N 0 0 431.541 -0.962 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cn1 ZINC000556199926 1072832915 /nfs/dbraw/zinc/83/29/15/1072832915.db2.gz YWBVGPPNEKQCNI-UHFFFAOYSA-N 0 0 426.481 -0.615 20 0 IBADRN CCOC(=O)CC1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000556199951 1072833175 /nfs/dbraw/zinc/83/31/75/1072833175.db2.gz ZSULVSFLTWYIHL-UHFFFAOYSA-N 0 0 432.525 -0.093 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)no1 ZINC000556202471 1072833239 /nfs/dbraw/zinc/83/32/39/1072833239.db2.gz RHAQGUWGTYXZHK-KBPBESRZSA-N 0 0 429.499 -0.903 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)no1 ZINC000556202472 1072833593 /nfs/dbraw/zinc/83/35/93/1072833593.db2.gz RHAQGUWGTYXZHK-OKILXGFUSA-N 0 0 429.499 -0.903 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)no1 ZINC000556202473 1072833710 /nfs/dbraw/zinc/83/37/10/1072833710.db2.gz RHAQGUWGTYXZHK-ZIAGYGMSSA-N 0 0 429.499 -0.903 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)no1 ZINC000556203898 1072833545 /nfs/dbraw/zinc/83/35/45/1072833545.db2.gz DQYLPOXPPHJJSB-UHFFFAOYSA-N 0 0 435.506 -0.148 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccccc3N3CCOCC3)CC2)c1=O ZINC000556204914 1072832182 /nfs/dbraw/zinc/83/21/82/1072832182.db2.gz DJRPJBYSIYZCIC-UHFFFAOYSA-N 0 0 440.504 -0.418 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3ccccc3N3CCOCC3)CC2)n1 ZINC000556205041 1073363505 /nfs/dbraw/zinc/36/35/05/1073363505.db2.gz FETKTKUEJZDDDW-UHFFFAOYSA-N 0 0 431.522 -0.078 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCc3ccccc3N3CCOCC3)CC2)NC(=O)NC1=O ZINC000556205578 1072832576 /nfs/dbraw/zinc/83/25/76/1072832576.db2.gz RGQHGFMIKHTWAR-JOCHJYFZSA-N 0 0 443.504 -0.024 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCc3ccccc3N3CCOCC3)CC2)NC(=O)NC1=O ZINC000556205586 1072832324 /nfs/dbraw/zinc/83/23/24/1072832324.db2.gz RGQHGFMIKHTWAR-QFIPXVFZSA-N 0 0 443.504 -0.024 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)CCO3)CC1 ZINC000556205924 1072832240 /nfs/dbraw/zinc/83/22/40/1072832240.db2.gz ILMMYWDNEFERQF-INIZCTEOSA-N 0 0 430.505 -0.371 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)CCO3)CC1 ZINC000556205925 1072832228 /nfs/dbraw/zinc/83/22/28/1072832228.db2.gz ILMMYWDNEFERQF-MRXNPFEDSA-N 0 0 430.505 -0.371 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccccc2N2CCOCC2)CC1)N1CCCC1 ZINC000556206349 1072832299 /nfs/dbraw/zinc/83/22/99/1072832299.db2.gz SPXBQSZZQDXWTR-UHFFFAOYSA-N 0 0 443.548 -0.094 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CC1 ZINC000556206357 1073350742 /nfs/dbraw/zinc/35/07/42/1073350742.db2.gz LFLAQAMYTSUTRR-UHFFFAOYSA-N 0 0 437.444 0.122 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000556209301 1072833747 /nfs/dbraw/zinc/83/37/47/1072833747.db2.gz ZFRRBWAROZJLFC-UHFFFAOYSA-N 0 0 441.441 -0.279 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCC2CCOCC2)CC1 ZINC000556211603 1072833500 /nfs/dbraw/zinc/83/35/00/1072833500.db2.gz BBQNPOCRVSTKAH-UHFFFAOYSA-N 0 0 438.550 -0.218 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2c(C)nn(C)c2C)c1 ZINC000556214989 1072834060 /nfs/dbraw/zinc/83/40/60/1072834060.db2.gz NZXODJKFGYOJKK-UHFFFAOYSA-N 0 0 437.522 -0.106 20 0 IBADRN Cc1ccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000556215344 1072833795 /nfs/dbraw/zinc/83/37/95/1072833795.db2.gz NLUIDBOADDBNEG-KRWDZBQOSA-N 0 0 446.504 -0.592 20 0 IBADRN Cc1ccc(O[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000556215351 1072833532 /nfs/dbraw/zinc/83/35/32/1072833532.db2.gz NLUIDBOADDBNEG-QGZVFWFLSA-N 0 0 446.504 -0.592 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccn3C)CC2)C[C@H](C)O1 ZINC000556215978 1072834045 /nfs/dbraw/zinc/83/40/45/1072834045.db2.gz VAHYXCCGVRXTSO-GASCZTMLSA-N 0 0 427.527 -0.861 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccn3C)CC2)C[C@H](C)O1 ZINC000556215985 1072834382 /nfs/dbraw/zinc/83/43/82/1072834382.db2.gz VAHYXCCGVRXTSO-GJZGRUSLSA-N 0 0 427.527 -0.861 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccn3C)CC2)C[C@@H](C)O1 ZINC000556215988 1072834170 /nfs/dbraw/zinc/83/41/70/1072834170.db2.gz VAHYXCCGVRXTSO-HUUCEWRRSA-N 0 0 427.527 -0.861 20 0 IBADRN COc1ccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000556218295 1072834189 /nfs/dbraw/zinc/83/41/89/1072834189.db2.gz ZFXPCQXVNQGFFB-KRWDZBQOSA-N 0 0 448.520 -0.418 20 0 IBADRN COc1ccc(O[C@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000556218296 1072834071 /nfs/dbraw/zinc/83/40/71/1072834071.db2.gz ZFXPCQXVNQGFFB-QGZVFWFLSA-N 0 0 448.520 -0.418 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1nc(C)n(C)n1)Oc1ccc(Br)cc1 ZINC000556220546 1073326392 /nfs/dbraw/zinc/32/63/92/1073326392.db2.gz OTJVHGUDYYKHHI-GFCCVEGCSA-N 0 0 440.254 0.561 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1nc(C)n(C)n1)Oc1ccc(Br)cc1 ZINC000556220552 1073327210 /nfs/dbraw/zinc/32/72/10/1073327210.db2.gz OTJVHGUDYYKHHI-LBPRGKRZSA-N 0 0 440.254 0.561 20 0 IBADRN O=C(NCCCc1nc2ccccc2[nH]1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000556220717 1072835077 /nfs/dbraw/zinc/83/50/77/1072835077.db2.gz BYKYUCPNAILZBB-UHFFFAOYSA-N 0 0 426.477 -0.439 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2nnn(Cc3ccccc3F)c2C)nn1 ZINC000556221713 1072834735 /nfs/dbraw/zinc/83/47/35/1072834735.db2.gz UHEYIXXALSWIPG-UHFFFAOYSA-N 0 0 430.400 -0.093 20 0 IBADRN NC(=O)Cn1ccc(=NC(=O)C(=O)Nc2ccn(-c3ccc(Br)cc3)n2)[nH]1 ZINC000556221795 1072835045 /nfs/dbraw/zinc/83/50/45/1072835045.db2.gz VLAGCPXFCSFPBX-UHFFFAOYSA-N 0 0 432.238 -0.262 20 0 IBADRN Cc1nc(NC(=O)C(=O)NCC(=O)Nc2ccc(I)cc2)nn1C ZINC000556223147 1072834395 /nfs/dbraw/zinc/83/43/95/1072834395.db2.gz OUDLJZPQNDMIOT-UHFFFAOYSA-N 0 0 442.217 0.422 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCSc3c(F)cccc32)CC1 ZINC000556224249 1072834365 /nfs/dbraw/zinc/83/43/65/1072834365.db2.gz PTVKUCWSZQQLGH-AWEZNQCLSA-N 0 0 433.469 -0.164 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCSc3c(F)cccc32)CC1 ZINC000556224255 1072834124 /nfs/dbraw/zinc/83/41/24/1072834124.db2.gz PTVKUCWSZQQLGH-CQSZACIVSA-N 0 0 433.469 -0.164 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCCc2nc3ccccc3[nH]2)CC1 ZINC000556225769 1072834146 /nfs/dbraw/zinc/83/41/46/1072834146.db2.gz PTAPCMNBOLQVOY-UHFFFAOYSA-N 0 0 442.520 -0.385 20 0 IBADRN O=C(NCc1ccc(Cn2cccn2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000556228734 1072834348 /nfs/dbraw/zinc/83/43/48/1072834348.db2.gz SOZJONBAYMWUNK-UHFFFAOYSA-N 0 0 438.488 -0.503 20 0 IBADRN Cc1nc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2Cl)nn1C ZINC000556230015 1073349822 /nfs/dbraw/zinc/34/98/22/1073349822.db2.gz KQKOUGBINJBZFB-UHFFFAOYSA-N 0 0 435.293 0.464 20 0 IBADRN O=C(N[C@H]1CCc2n[nH]cc2C1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000556236069 1072834936 /nfs/dbraw/zinc/83/49/36/1072834936.db2.gz HAKQFIUAZBCAPG-INIZCTEOSA-N 0 0 440.508 -0.641 20 0 IBADRN O=C(N[C@@H]1CCc2n[nH]cc2C1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000556236070 1072834587 /nfs/dbraw/zinc/83/45/87/1072834587.db2.gz HAKQFIUAZBCAPG-MRXNPFEDSA-N 0 0 440.508 -0.641 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCc2n[nH]cc2C1)N1CCN(c2ccccn2)CC1 ZINC000556236696 1072835336 /nfs/dbraw/zinc/83/53/36/1072835336.db2.gz CYYFFYYKGSZSGE-INIZCTEOSA-N 0 0 425.493 -0.367 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCc2n[nH]cc2C1)N1CCN(c2ccccn2)CC1 ZINC000556236699 1072835493 /nfs/dbraw/zinc/83/54/93/1072835493.db2.gz CYYFFYYKGSZSGE-MRXNPFEDSA-N 0 0 425.493 -0.367 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCc4n[nH]cc4C3)CC2)cc1 ZINC000556238898 1072835600 /nfs/dbraw/zinc/83/56/00/1072835600.db2.gz PGGZVCQETPQGNC-HNNXBMFYSA-N 0 0 447.517 -0.075 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCc4n[nH]cc4C3)CC2)cc1 ZINC000556238936 1072835286 /nfs/dbraw/zinc/83/52/86/1072835286.db2.gz PGGZVCQETPQGNC-OAHLLOKOSA-N 0 0 447.517 -0.075 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NC1CCN(c2ccccn2)CC1 ZINC000556242821 1072835515 /nfs/dbraw/zinc/83/55/15/1072835515.db2.gz VOTUMOMJDHGCFP-UHFFFAOYSA-N 0 0 441.579 -0.339 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC[C@H]3CCN(CC(F)(F)F)C3)CC2)c1=O ZINC000556243505 1072835465 /nfs/dbraw/zinc/83/54/65/1072835465.db2.gz ZBDQZIYJFHYOAF-CYBMUJFWSA-N 0 0 430.431 -0.571 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC[C@@H]3CCN(CC(F)(F)F)C3)CC2)c1=O ZINC000556243506 1072835413 /nfs/dbraw/zinc/83/54/13/1072835413.db2.gz ZBDQZIYJFHYOAF-ZDUSSCGKSA-N 0 0 430.431 -0.571 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccnn2C)CC1 ZINC000556253768 1072836756 /nfs/dbraw/zinc/83/67/56/1072836756.db2.gz LCMYJQSPLYGANF-UHFFFAOYSA-N 0 0 426.543 -0.310 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCC([C@@H]2OCC[C@H]2C(=O)OC)CC1 ZINC000556256268 1073340784 /nfs/dbraw/zinc/34/07/84/1073340784.db2.gz KTUYNUQWVKPKDP-HIFRSBDPSA-N 0 0 436.465 0.352 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NCCS(=O)(=O)c1ccccc1 ZINC000556257347 1072836499 /nfs/dbraw/zinc/83/64/99/1072836499.db2.gz BHQXXIUXFGPEQZ-UHFFFAOYSA-N 0 0 432.502 -0.211 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000556257856 1072836798 /nfs/dbraw/zinc/83/67/98/1072836798.db2.gz PFXHGNYQVNNQBL-HNNXBMFYSA-N 0 0 436.534 -0.612 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000556257857 1072836711 /nfs/dbraw/zinc/83/67/11/1072836711.db2.gz PFXHGNYQVNNQBL-OAHLLOKOSA-N 0 0 436.534 -0.612 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)c3ccccc3)CC2)ncn1 ZINC000556258463 1072836450 /nfs/dbraw/zinc/83/64/50/1072836450.db2.gz BMRWWHZMENRWFH-UHFFFAOYSA-N 0 0 433.490 -0.276 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000556259425 1072836571 /nfs/dbraw/zinc/83/65/71/1072836571.db2.gz GCAOEJGAVALKBO-HNNXBMFYSA-N 0 0 443.547 -0.397 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000556259443 1072836561 /nfs/dbraw/zinc/83/65/61/1072836561.db2.gz GCAOEJGAVALKBO-OAHLLOKOSA-N 0 0 443.547 -0.397 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000556260362 1072837372 /nfs/dbraw/zinc/83/73/72/1072837372.db2.gz KULWYEAEHLZFIN-AEFFLSMTSA-N 0 0 447.492 -0.083 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)[C@@H](C)C1 ZINC000556262047 1072837390 /nfs/dbraw/zinc/83/73/90/1072837390.db2.gz VIEWCPLWPBEHGR-HNNXBMFYSA-N 0 0 425.507 -0.328 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)[C@H](C)C1 ZINC000556262048 1072837414 /nfs/dbraw/zinc/83/74/14/1072837414.db2.gz VIEWCPLWPBEHGR-OAHLLOKOSA-N 0 0 425.507 -0.328 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NCCS(=O)(=O)c1ccccc1 ZINC000556262877 1072837204 /nfs/dbraw/zinc/83/72/04/1072837204.db2.gz XWXIUTMZDPJQBY-HNNXBMFYSA-N 0 0 445.563 -0.103 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NCCS(=O)(=O)c1ccccc1 ZINC000556262879 1072837118 /nfs/dbraw/zinc/83/71/18/1072837118.db2.gz XWXIUTMZDPJQBY-OAHLLOKOSA-N 0 0 445.563 -0.103 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N(C)C1CCN(c2cnccn2)CC1 ZINC000556263683 1072837104 /nfs/dbraw/zinc/83/71/04/1072837104.db2.gz MUZUZHZDJPVQOE-UHFFFAOYSA-N 0 0 426.543 -0.308 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCCc1cccc(C(=O)N(C)C)c1 ZINC000556265816 1072837425 /nfs/dbraw/zinc/83/74/25/1072837425.db2.gz DYNKBPLTPBKWOR-UHFFFAOYSA-N 0 0 426.539 -0.165 20 0 IBADRN CCc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000556266039 1072837241 /nfs/dbraw/zinc/83/72/41/1072837241.db2.gz FGEGDGYLXDLBKW-UHFFFAOYSA-N 0 0 443.595 -0.437 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@H]1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000556268245 1072837274 /nfs/dbraw/zinc/83/72/74/1072837274.db2.gz BMXQGQYTLORUFX-BBWFWOEESA-N 0 0 432.587 -0.126 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@@H]1CN1C[C@@H](C)O[C@H](C)C1 ZINC000556268250 1072837840 /nfs/dbraw/zinc/83/78/40/1072837840.db2.gz BMXQGQYTLORUFX-BRWVUGGUSA-N 0 0 432.587 -0.126 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@@H]1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000556268251 1072837821 /nfs/dbraw/zinc/83/78/21/1072837821.db2.gz BMXQGQYTLORUFX-IXDOHACOSA-N 0 0 432.587 -0.126 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@@H]1CN1C[C@H](C)O[C@@H](C)C1 ZINC000556268252 1072837861 /nfs/dbraw/zinc/83/78/61/1072837861.db2.gz BMXQGQYTLORUFX-YESZJQIVSA-N 0 0 432.587 -0.126 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(CCOc2ccccc2)CC1 ZINC000556268626 1072837804 /nfs/dbraw/zinc/83/78/04/1072837804.db2.gz FLPLNFWQEDLHKW-UHFFFAOYSA-N 0 0 440.566 -0.003 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCCN(c2cc(C)nn2C)C1 ZINC000556268933 1072837814 /nfs/dbraw/zinc/83/78/14/1072837814.db2.gz LCAUZMCPRNFJSV-HNNXBMFYSA-N 0 0 428.559 -0.399 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCCN(c2cc(C)nn2C)C1 ZINC000556268938 1072837735 /nfs/dbraw/zinc/83/77/35/1072837735.db2.gz LCAUZMCPRNFJSV-OAHLLOKOSA-N 0 0 428.559 -0.399 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCCN(Cc2cscn2)CC1 ZINC000556269406 1072837857 /nfs/dbraw/zinc/83/78/57/1072837857.db2.gz NDTVHAUWMVWZFH-UHFFFAOYSA-N 0 0 431.584 -0.035 20 0 IBADRN Cc1n[nH]cc1CNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000556269414 1072837798 /nfs/dbraw/zinc/83/77/98/1072837798.db2.gz PALBXUQWXRSRAR-INIZCTEOSA-N 0 0 428.497 -0.254 20 0 IBADRN Cc1n[nH]cc1CNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000556269418 1072837693 /nfs/dbraw/zinc/83/76/93/1072837693.db2.gz PALBXUQWXRSRAR-MRXNPFEDSA-N 0 0 428.497 -0.254 20 0 IBADRN Cc1n[nH]cc1CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000556269448 1072837700 /nfs/dbraw/zinc/83/77/00/1072837700.db2.gz NRTZWDLRDKRFNR-UHFFFAOYSA-N 0 0 434.522 -0.413 20 0 IBADRN Cc1n[nH]cc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000556269615 1072837719 /nfs/dbraw/zinc/83/77/19/1072837719.db2.gz PRGMJFABDYLVDN-UHFFFAOYSA-N 0 0 449.518 -0.128 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)NCCCN(C)S(=O)(=O)CC)C2)ncn1 ZINC000556269619 1072837764 /nfs/dbraw/zinc/83/77/64/1072837764.db2.gz OMTKSTUUGAHLOD-INIZCTEOSA-N 0 0 440.570 -0.088 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)NCCCN(C)S(=O)(=O)CC)C2)ncn1 ZINC000556269622 1072837771 /nfs/dbraw/zinc/83/77/71/1072837771.db2.gz OMTKSTUUGAHLOD-MRXNPFEDSA-N 0 0 440.570 -0.088 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCCc2cn3c(n2)CCCC3)CC1 ZINC000556272472 1072838288 /nfs/dbraw/zinc/83/82/88/1072838288.db2.gz DVFKSMCSLABABK-UHFFFAOYSA-N 0 0 425.493 -0.279 20 0 IBADRN CC(C)NC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000556281109 1072838166 /nfs/dbraw/zinc/83/81/66/1072838166.db2.gz JWOGTWAFLKVXCT-UHFFFAOYSA-N 0 0 425.511 -0.064 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CNc2cccc(C(=O)N(C)CC(N)=O)c2)c(=O)n(C)c1=O ZINC000556285411 1072839938 /nfs/dbraw/zinc/83/99/38/1072839938.db2.gz STLAZWZEDQHLRA-UHFFFAOYSA-N 0 0 444.492 -0.363 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3C)CC1 ZINC000556285569 1072839356 /nfs/dbraw/zinc/83/93/56/1072839356.db2.gz XOUVOSZWWJDZOG-DOTOQJQBSA-N 0 0 434.541 -0.401 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3C)CC1 ZINC000556285574 1072839188 /nfs/dbraw/zinc/83/91/88/1072839188.db2.gz XOUVOSZWWJDZOG-NVXWUHKLSA-N 0 0 434.541 -0.401 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3C)CC1 ZINC000556285576 1072839333 /nfs/dbraw/zinc/83/93/33/1072839333.db2.gz XOUVOSZWWJDZOG-RDJZCZTQSA-N 0 0 434.541 -0.401 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3C)CC1 ZINC000556285578 1072839228 /nfs/dbraw/zinc/83/92/28/1072839228.db2.gz XOUVOSZWWJDZOG-WBVHZDCISA-N 0 0 434.541 -0.401 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc3ncccc3c2)CC1)N1CCOCC1 ZINC000556293002 1072839236 /nfs/dbraw/zinc/83/92/36/1072839236.db2.gz XXOQEPXSMMTPIQ-UHFFFAOYSA-N 0 0 425.489 -0.146 20 0 IBADRN Cc1c([C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cnn1C ZINC000556297044 1072839800 /nfs/dbraw/zinc/83/98/00/1072839800.db2.gz FXDNWQSHJGXXLE-AWEZNQCLSA-N 0 0 429.543 -0.195 20 0 IBADRN Cc1c([C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cnn1C ZINC000556297045 1072839914 /nfs/dbraw/zinc/83/99/14/1072839914.db2.gz FXDNWQSHJGXXLE-CQSZACIVSA-N 0 0 429.543 -0.195 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCc4c3cnn4C)CC2)cn1C ZINC000556300527 1072840951 /nfs/dbraw/zinc/84/09/51/1072840951.db2.gz RTZNMLLHAXGFJS-HNNXBMFYSA-N 0 0 449.537 -0.511 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCc4c3cnn4C)CC2)cn1C ZINC000556300531 1072841081 /nfs/dbraw/zinc/84/10/81/1072841081.db2.gz RTZNMLLHAXGFJS-OAHLLOKOSA-N 0 0 449.537 -0.511 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000556307890 1072842561 /nfs/dbraw/zinc/84/25/61/1072842561.db2.gz CMABSLDXWYIQBW-CYBMUJFWSA-N 0 0 441.535 -0.213 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000556307894 1072842365 /nfs/dbraw/zinc/84/23/65/1072842365.db2.gz CMABSLDXWYIQBW-ZDUSSCGKSA-N 0 0 441.535 -0.213 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)Cn3ccnc3)CC2)cs1 ZINC000556310955 1072841448 /nfs/dbraw/zinc/84/14/48/1072841448.db2.gz VXRLRLRXOYZDFW-GFCCVEGCSA-N 0 0 426.524 -0.314 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)Cn3ccnc3)CC2)cs1 ZINC000556310961 1072841432 /nfs/dbraw/zinc/84/14/32/1072841432.db2.gz VXRLRLRXOYZDFW-LBPRGKRZSA-N 0 0 426.524 -0.314 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000556311336 1072841357 /nfs/dbraw/zinc/84/13/57/1072841357.db2.gz WICUQPNAQDWOAJ-DLBZAZTESA-N 0 0 442.524 -0.200 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000556311343 1072841425 /nfs/dbraw/zinc/84/14/25/1072841425.db2.gz WICUQPNAQDWOAJ-IAGOWNOFSA-N 0 0 442.524 -0.200 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000556311347 1072841490 /nfs/dbraw/zinc/84/14/90/1072841490.db2.gz WICUQPNAQDWOAJ-IRXDYDNUSA-N 0 0 442.524 -0.200 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000556311348 1072841375 /nfs/dbraw/zinc/84/13/75/1072841375.db2.gz WICUQPNAQDWOAJ-SJORKVTESA-N 0 0 442.524 -0.200 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)Cn2ccnc2)CC1 ZINC000556311721 1072841892 /nfs/dbraw/zinc/84/18/92/1072841892.db2.gz YWEGXYKGYIDTAO-INIZCTEOSA-N 0 0 428.559 -0.101 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)Cn2ccnc2)CC1 ZINC000556311722 1072841873 /nfs/dbraw/zinc/84/18/73/1072841873.db2.gz YWEGXYKGYIDTAO-MRXNPFEDSA-N 0 0 428.559 -0.101 20 0 IBADRN COC(=O)[C@@]1(c2ccccc2)CCN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)C1 ZINC000556311916 1073327159 /nfs/dbraw/zinc/32/71/59/1073327159.db2.gz NCANYZKJRWWLIH-FQEVSTJZSA-N 0 0 428.449 -0.352 20 0 IBADRN COC(=O)[C@]1(c2ccccc2)CCN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)C1 ZINC000556311921 1073327367 /nfs/dbraw/zinc/32/73/67/1073327367.db2.gz NCANYZKJRWWLIH-HXUWFJFHSA-N 0 0 428.449 -0.352 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N(C)[C@H]3CCN(S(C)(=O)=O)C3)ccc21 ZINC000556315056 1072843118 /nfs/dbraw/zinc/84/31/18/1072843118.db2.gz IVRLNMOTGAIRLL-HNNXBMFYSA-N 0 0 438.506 -0.347 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N(C)[C@@H]3CCN(S(C)(=O)=O)C3)ccc21 ZINC000556315058 1072842892 /nfs/dbraw/zinc/84/28/92/1072842892.db2.gz IVRLNMOTGAIRLL-OAHLLOKOSA-N 0 0 438.506 -0.347 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000556315350 1072843131 /nfs/dbraw/zinc/84/31/31/1072843131.db2.gz NRIQUNXEJOZYOF-AWEZNQCLSA-N 0 0 448.505 -0.290 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000556315357 1072842966 /nfs/dbraw/zinc/84/29/66/1072842966.db2.gz NRIQUNXEJOZYOF-CQSZACIVSA-N 0 0 448.505 -0.290 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c(C)c1 ZINC000556316315 1072843051 /nfs/dbraw/zinc/84/30/51/1072843051.db2.gz PKMGLNDYHLRBQZ-CYBMUJFWSA-N 0 0 426.495 -0.449 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c(C)c1 ZINC000556316324 1072842956 /nfs/dbraw/zinc/84/29/56/1072842956.db2.gz PKMGLNDYHLRBQZ-ZDUSSCGKSA-N 0 0 426.495 -0.449 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000556316398 1072842926 /nfs/dbraw/zinc/84/29/26/1072842926.db2.gz RCNYVSDZEYUHNT-HNNXBMFYSA-N 0 0 436.490 -0.234 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000556316402 1072843511 /nfs/dbraw/zinc/84/35/11/1072843511.db2.gz RCNYVSDZEYUHNT-OAHLLOKOSA-N 0 0 436.490 -0.234 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000556316492 1072843460 /nfs/dbraw/zinc/84/34/60/1072843460.db2.gz SCAROYIIOZFORJ-HNNXBMFYSA-N 0 0 444.535 -0.343 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000556316500 1072842906 /nfs/dbraw/zinc/84/29/06/1072842906.db2.gz SCAROYIIOZFORJ-OAHLLOKOSA-N 0 0 444.535 -0.343 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)[C@@H]4CC=CC[C@@H]4C3=O)CC2)cn1 ZINC000556317372 1072843382 /nfs/dbraw/zinc/84/33/82/1072843382.db2.gz PXYIIWLBBMKUBI-IYBDPMFKSA-N 0 0 435.506 -0.359 20 0 IBADRN O=C(NCC1(c2ccc(F)cc2)CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000556318643 1072843569 /nfs/dbraw/zinc/84/35/69/1072843569.db2.gz LNPIMOVVRCHHCA-UHFFFAOYSA-N 0 0 446.479 -0.497 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCc3c(C)nn(C)c3C)CC2)no1 ZINC000556329268 1073328975 /nfs/dbraw/zinc/32/89/75/1073328975.db2.gz KOCBZMUMXAGCHK-UHFFFAOYSA-N 0 0 431.497 -0.225 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2c(C)nn(C)c2C)C1 ZINC000556330466 1072844326 /nfs/dbraw/zinc/84/43/26/1072844326.db2.gz BHKSJLYSSFNMLW-INIZCTEOSA-N 0 0 443.570 -0.405 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2c(C)nn(C)c2C)C1 ZINC000556330467 1072844434 /nfs/dbraw/zinc/84/44/34/1072844434.db2.gz BHKSJLYSSFNMLW-MRXNPFEDSA-N 0 0 443.570 -0.405 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000556333111 1072844930 /nfs/dbraw/zinc/84/49/30/1072844930.db2.gz ARVHBXVCEXOKIZ-UHFFFAOYSA-N 0 0 432.521 -0.111 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000556333418 1072844942 /nfs/dbraw/zinc/84/49/42/1072844942.db2.gz BSJADYCLUATSGU-UHFFFAOYSA-N 0 0 443.570 -0.405 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000556333733 1072844464 /nfs/dbraw/zinc/84/44/64/1072844464.db2.gz GCTMWLLOWTZFQU-KRWDZBQOSA-N 0 0 434.541 -0.913 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000556333735 1072844460 /nfs/dbraw/zinc/84/44/60/1072844460.db2.gz GCTMWLLOWTZFQU-QGZVFWFLSA-N 0 0 434.541 -0.913 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCc2c(C)nn(C)c2C)cn1 ZINC000556333764 1072844361 /nfs/dbraw/zinc/84/43/61/1072844361.db2.gz GNHKWFHTSIAHSS-KRWDZBQOSA-N 0 0 436.538 -0.090 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCc2c(C)nn(C)c2C)cn1 ZINC000556333766 1072844350 /nfs/dbraw/zinc/84/43/50/1072844350.db2.gz GNHKWFHTSIAHSS-QGZVFWFLSA-N 0 0 436.538 -0.090 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000556334145 1072844853 /nfs/dbraw/zinc/84/48/53/1072844853.db2.gz VFWPEPLSUIEYFE-UHFFFAOYSA-N 0 0 439.494 -0.825 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)CC1 ZINC000556338890 1072845491 /nfs/dbraw/zinc/84/54/91/1072845491.db2.gz SCPQGXVGFJVGJY-KRWDZBQOSA-N 0 0 428.493 -0.334 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)CC1 ZINC000556338891 1072845290 /nfs/dbraw/zinc/84/52/90/1072845290.db2.gz SCPQGXVGFJVGJY-QGZVFWFLSA-N 0 0 428.493 -0.334 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000556340002 1072845527 /nfs/dbraw/zinc/84/55/27/1072845527.db2.gz JLIFAFPRZWOVMX-UHFFFAOYSA-N 0 0 431.486 -0.172 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(C)ccc2C)CC1 ZINC000556340836 1072845451 /nfs/dbraw/zinc/84/54/51/1072845451.db2.gz XRWNLVMGVHVNQV-UHFFFAOYSA-N 0 0 427.523 -0.084 20 0 IBADRN O=C(NCCc1cc(F)cc2c1OCOC2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000556349320 1072846460 /nfs/dbraw/zinc/84/64/60/1072846460.db2.gz IHMTUWHSLNHPSL-UHFFFAOYSA-N 0 0 448.451 -0.700 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCc3cc(F)cc4c3OCOC4)CC2=O)cn1 ZINC000556350783 1072847235 /nfs/dbraw/zinc/84/72/35/1072847235.db2.gz MGTOPQAAVRWFOB-UHFFFAOYSA-N 0 0 431.424 -0.040 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCc1cc(F)cc2c1OCOC2 ZINC000556351089 1072846940 /nfs/dbraw/zinc/84/69/40/1072846940.db2.gz IHPVKFWQFMNUBZ-KRWDZBQOSA-N 0 0 425.457 -0.498 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCc1cc(F)cc2c1OCOC2 ZINC000556351091 1072847166 /nfs/dbraw/zinc/84/71/66/1072847166.db2.gz IHPVKFWQFMNUBZ-QGZVFWFLSA-N 0 0 425.457 -0.498 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCCc3cc(F)cc4c3OCOC4)CC2)n1 ZINC000556351905 1073363748 /nfs/dbraw/zinc/36/37/48/1073363748.db2.gz VNVGTYUYPKBWKO-UHFFFAOYSA-N 0 0 436.469 0.133 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)c1 ZINC000556352078 1072848476 /nfs/dbraw/zinc/84/84/76/1072848476.db2.gz LTLDXHTVEOEGHE-UHFFFAOYSA-N 0 0 440.503 -0.047 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)c1 ZINC000556352203 1072848204 /nfs/dbraw/zinc/84/82/04/1072848204.db2.gz YYTQGESNCIZIDA-UHFFFAOYSA-N 0 0 426.476 -0.308 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)CC2)ncn1 ZINC000556360153 1072847912 /nfs/dbraw/zinc/84/79/12/1072847912.db2.gz JUOVCXSIFBWBAW-HNNXBMFYSA-N 0 0 426.481 -0.291 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)CC2)ncn1 ZINC000556360154 1072847926 /nfs/dbraw/zinc/84/79/26/1072847926.db2.gz JUOVCXSIFBWBAW-OAHLLOKOSA-N 0 0 426.481 -0.291 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556361206 1072847902 /nfs/dbraw/zinc/84/79/02/1072847902.db2.gz GRUHEHFDUOYYOL-MSOLQXFVSA-N 0 0 443.552 -0.283 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556361210 1072847686 /nfs/dbraw/zinc/84/76/86/1072847686.db2.gz GRUHEHFDUOYYOL-QZTJIDSGSA-N 0 0 443.552 -0.283 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556361211 1072847596 /nfs/dbraw/zinc/84/75/96/1072847596.db2.gz GRUHEHFDUOYYOL-ROUUACIJSA-N 0 0 443.552 -0.283 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556361213 1072847977 /nfs/dbraw/zinc/84/79/77/1072847977.db2.gz GRUHEHFDUOYYOL-ZWKOTPCHSA-N 0 0 443.552 -0.283 20 0 IBADRN CC(C)Cn1ncc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1C(F)F ZINC000556362098 1072849153 /nfs/dbraw/zinc/84/91/53/1072849153.db2.gz RIKVUBHIUVDEHF-UHFFFAOYSA-N 0 0 436.485 -0.152 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556362137 1072849167 /nfs/dbraw/zinc/84/91/67/1072849167.db2.gz KNXKDNZVWSTKQX-KRWDZBQOSA-N 0 0 431.541 -0.426 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556362141 1072849581 /nfs/dbraw/zinc/84/95/81/1072849581.db2.gz KNXKDNZVWSTKQX-QGZVFWFLSA-N 0 0 431.541 -0.426 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556362860 1072849882 /nfs/dbraw/zinc/84/98/82/1072849882.db2.gz PUNQYYZKMMGGKN-DOTOQJQBSA-N 0 0 429.525 -0.627 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556362867 1072849766 /nfs/dbraw/zinc/84/97/66/1072849766.db2.gz PUNQYYZKMMGGKN-NVXWUHKLSA-N 0 0 429.525 -0.627 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556362870 1072849895 /nfs/dbraw/zinc/84/98/95/1072849895.db2.gz PUNQYYZKMMGGKN-RDJZCZTQSA-N 0 0 429.525 -0.627 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000556362872 1072849321 /nfs/dbraw/zinc/84/93/21/1072849321.db2.gz PUNQYYZKMMGGKN-WBVHZDCISA-N 0 0 429.525 -0.627 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)CC2)nc1 ZINC000556366387 1072849107 /nfs/dbraw/zinc/84/91/07/1072849107.db2.gz IPKCBZUXVVJXAE-INIZCTEOSA-N 0 0 438.492 -0.596 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)CC2)nc1 ZINC000556366488 1072849029 /nfs/dbraw/zinc/84/90/29/1072849029.db2.gz IPKCBZUXVVJXAE-MRXNPFEDSA-N 0 0 438.492 -0.596 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)CCN(C(C)=O)CC2 ZINC000556367927 1072851670 /nfs/dbraw/zinc/85/16/70/1072851670.db2.gz HMDJBLLXXWNMLW-GOSISDBHSA-N 0 0 436.534 -0.351 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)CCN(C(C)=O)CC2 ZINC000556367928 1072851481 /nfs/dbraw/zinc/85/14/81/1072851481.db2.gz HMDJBLLXXWNMLW-SFHVURJKSA-N 0 0 436.534 -0.351 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)Cn3cccn3)CC2)cs1 ZINC000556367998 1072851650 /nfs/dbraw/zinc/85/16/50/1072851650.db2.gz AHMNFJROXGVMKU-CYBMUJFWSA-N 0 0 440.551 -0.067 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H](C)Cn3cccn3)CC2)cs1 ZINC000556368000 1072851593 /nfs/dbraw/zinc/85/15/93/1072851593.db2.gz AHMNFJROXGVMKU-ZDUSSCGKSA-N 0 0 440.551 -0.067 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)Cn1cccn1 ZINC000556368453 1072850090 /nfs/dbraw/zinc/85/00/90/1072850090.db2.gz CCIOMAWXTLDTGH-INIZCTEOSA-N 0 0 428.497 -0.720 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)Cn1cccn1 ZINC000556368454 1072850219 /nfs/dbraw/zinc/85/02/19/1072850219.db2.gz CCIOMAWXTLDTGH-MRXNPFEDSA-N 0 0 428.497 -0.720 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](C)Cn2cccn2)CC1 ZINC000556368539 1072850164 /nfs/dbraw/zinc/85/01/64/1072850164.db2.gz DHCHTWWUQHZJBZ-INIZCTEOSA-N 0 0 429.543 -0.466 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](C)Cn2cccn2)CC1 ZINC000556368541 1072850105 /nfs/dbraw/zinc/85/01/05/1072850105.db2.gz DHCHTWWUQHZJBZ-MRXNPFEDSA-N 0 0 429.543 -0.466 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCn3c(nnc3C(F)F)C2)CC1)N1CCOCC1 ZINC000556370198 1072850133 /nfs/dbraw/zinc/85/01/33/1072850133.db2.gz MHJNZTMAYBCBMP-UHFFFAOYSA-N 0 0 427.456 -0.966 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)Cn1cccn1 ZINC000556371311 1072850899 /nfs/dbraw/zinc/85/08/99/1072850899.db2.gz QQIIACGYQKHBAA-KRWDZBQOSA-N 0 0 427.509 -0.115 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)Cn1cccn1 ZINC000556371315 1072850751 /nfs/dbraw/zinc/85/07/51/1072850751.db2.gz QQIIACGYQKHBAA-QGZVFWFLSA-N 0 0 427.509 -0.115 20 0 IBADRN CC(C)(C)c1cc(CS(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)no1 ZINC000556378631 1072852024 /nfs/dbraw/zinc/85/20/24/1072852024.db2.gz YZSKRMRWHNNNJP-UHFFFAOYSA-N 0 0 436.556 -0.004 20 0 IBADRN Cc1ccc(C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000556379616 1072851835 /nfs/dbraw/zinc/85/18/35/1072851835.db2.gz FCFKWUWTVFMAKV-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1ccc(C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000556379617 1072851865 /nfs/dbraw/zinc/85/18/65/1072851865.db2.gz FCFKWUWTVFMAKV-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN Cn1c2ccccc2cc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1=O ZINC000556380258 1072852135 /nfs/dbraw/zinc/85/21/35/1072852135.db2.gz DJZNKNFAIGKPSW-UHFFFAOYSA-N 0 0 448.439 -0.261 20 0 IBADRN O=C(CN1CCN(c2cncc(F)c2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000556385546 1072853528 /nfs/dbraw/zinc/85/35/28/1072853528.db2.gz LUXVOSRVENIVFG-KRWDZBQOSA-N 0 0 425.530 -0.326 20 0 IBADRN O=C(CN1CCN(c2cncc(F)c2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000556385547 1072853616 /nfs/dbraw/zinc/85/36/16/1072853616.db2.gz LUXVOSRVENIVFG-QGZVFWFLSA-N 0 0 425.530 -0.326 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1 ZINC000556387341 1072853719 /nfs/dbraw/zinc/85/37/19/1072853719.db2.gz NUQRCSQWZJRHDZ-UHFFFAOYSA-N 0 0 430.465 -0.648 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](CS(C)(=O)=O)C2)cc1 ZINC000556389858 1072854005 /nfs/dbraw/zinc/85/40/05/1072854005.db2.gz XZJMKBHZWXYPHG-CYBMUJFWSA-N 0 0 439.490 -0.189 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](CS(C)(=O)=O)C2)cc1 ZINC000556389859 1072854298 /nfs/dbraw/zinc/85/42/98/1072854298.db2.gz XZJMKBHZWXYPHG-ZDUSSCGKSA-N 0 0 439.490 -0.189 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CC[C@@H](CS(C)(=O)=O)C3)c2)nn1 ZINC000556389939 1072854024 /nfs/dbraw/zinc/85/40/24/1072854024.db2.gz ZQQHJUHSDKTANE-GFCCVEGCSA-N 0 0 435.462 -0.115 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CC[C@H](CS(C)(=O)=O)C3)c2)nn1 ZINC000556389942 1072854176 /nfs/dbraw/zinc/85/41/76/1072854176.db2.gz ZQQHJUHSDKTANE-LBPRGKRZSA-N 0 0 435.462 -0.115 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(S(=O)(=O)N1CCS(=O)(=O)CC1)C2 ZINC000556393353 1072855211 /nfs/dbraw/zinc/85/52/11/1072855211.db2.gz NRZWPLWFILKNDX-UHFFFAOYSA-N 0 0 437.565 -0.730 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(S(=O)(=O)c1ccc(S(N)(=O)=O)cc1)C2 ZINC000556406367 1072856226 /nfs/dbraw/zinc/85/62/26/1072856226.db2.gz NCTSVSXPQQYFPQ-UHFFFAOYSA-N 0 0 445.544 -0.011 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(S(=O)(=O)CCOCCOCCOC)C2 ZINC000556406496 1072856680 /nfs/dbraw/zinc/85/66/80/1072856680.db2.gz KWRIOKUXCZPSCS-UHFFFAOYSA-N 0 0 436.552 -0.038 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)nn1 ZINC000556406789 1072856706 /nfs/dbraw/zinc/85/67/06/1072856706.db2.gz FPTHVNMRSKNIEF-UHFFFAOYSA-N 0 0 449.486 -0.556 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(S(=O)(=O)c1cnn(CC(=O)OC)c1)C2 ZINC000556407494 1072856965 /nfs/dbraw/zinc/85/69/65/1072856965.db2.gz KFLXKWPVPZGQNK-UHFFFAOYSA-N 0 0 428.492 -0.289 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](CS(C)(=O)=O)C3)cc2)CC1 ZINC000556407825 1072856769 /nfs/dbraw/zinc/85/67/69/1072856769.db2.gz OTZGLLWBLOXDIG-HNNXBMFYSA-N 0 0 436.534 -0.094 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](CS(C)(=O)=O)C3)cc2)CC1 ZINC000556407828 1072856602 /nfs/dbraw/zinc/85/66/02/1072856602.db2.gz OTZGLLWBLOXDIG-OAHLLOKOSA-N 0 0 436.534 -0.094 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN([C@H]1CC(=O)N(CCOCCOC)C1=O)C2 ZINC000556411214 1072857786 /nfs/dbraw/zinc/85/77/86/1072857786.db2.gz XBBXVRUXAFQBRE-INIZCTEOSA-N 0 0 425.507 -0.257 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN([C@@H]1CC(=O)N(CCOCCOC)C1=O)C2 ZINC000556411215 1072857752 /nfs/dbraw/zinc/85/77/52/1072857752.db2.gz XBBXVRUXAFQBRE-MRXNPFEDSA-N 0 0 425.507 -0.257 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(C(=O)NC)CC3)nc2n(CC)c1=O ZINC000556419340 1073351626 /nfs/dbraw/zinc/35/16/26/1073351626.db2.gz TXBSABGRTDYQHS-UHFFFAOYSA-N 0 0 431.497 0.440 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)nn1 ZINC000556421267 1072858516 /nfs/dbraw/zinc/85/85/16/1072858516.db2.gz SAQKEACYPGFBLW-UHFFFAOYSA-N 0 0 443.511 -0.454 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)C2 ZINC000556440758 1072861530 /nfs/dbraw/zinc/86/15/30/1072861530.db2.gz DCQYEGNXJHNPOJ-CYBMUJFWSA-N 0 0 430.552 -0.056 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)C2 ZINC000556440762 1072861515 /nfs/dbraw/zinc/86/15/15/1072861515.db2.gz DCQYEGNXJHNPOJ-ZDUSSCGKSA-N 0 0 430.552 -0.056 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)nn1 ZINC000556441543 1072861649 /nfs/dbraw/zinc/86/16/49/1072861649.db2.gz NUZCJCWXNXSVLX-UHFFFAOYSA-N 0 0 426.455 -0.186 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)NCCn3cc(C(=O)OC)nn3)C2)no1 ZINC000556443457 1072860730 /nfs/dbraw/zinc/86/07/30/1072860730.db2.gz YQHUZQAYQOLNQG-CYBMUJFWSA-N 0 0 434.457 -0.157 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)NCCn3cc(C(=O)OC)nn3)C2)no1 ZINC000556443458 1072861000 /nfs/dbraw/zinc/86/10/00/1072861000.db2.gz YQHUZQAYQOLNQG-ZDUSSCGKSA-N 0 0 434.457 -0.157 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)nn1 ZINC000556443887 1072861186 /nfs/dbraw/zinc/86/11/86/1072861186.db2.gz QBEDYRULLZJNPL-CYBMUJFWSA-N 0 0 428.457 -0.282 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)nn1 ZINC000556443888 1072861133 /nfs/dbraw/zinc/86/11/33/1072861133.db2.gz QBEDYRULLZJNPL-ZDUSSCGKSA-N 0 0 428.457 -0.282 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCC(=O)N[C@@H]3C3CC3)CC2)o1 ZINC000556444870 1072861176 /nfs/dbraw/zinc/86/11/76/1072861176.db2.gz UMTCUHKGASWYHX-BLLLJJGKSA-N 0 0 439.494 -0.548 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCC(=O)N[C@H]3C3CC3)CC2)o1 ZINC000556444893 1072861070 /nfs/dbraw/zinc/86/10/70/1072861070.db2.gz UMTCUHKGASWYHX-LRDDRELGSA-N 0 0 439.494 -0.548 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)N[C@@H]3C3CC3)CC2)o1 ZINC000556444895 1072861013 /nfs/dbraw/zinc/86/10/13/1072861013.db2.gz UMTCUHKGASWYHX-MLGOLLRUSA-N 0 0 439.494 -0.548 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)N[C@H]3C3CC3)CC2)o1 ZINC000556444897 1072860959 /nfs/dbraw/zinc/86/09/59/1072860959.db2.gz UMTCUHKGASWYHX-WBMJQRKESA-N 0 0 439.494 -0.548 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)N2CCc3c(cccc3S(=O)(=O)NC)C2)C1 ZINC000556445477 1072864336 /nfs/dbraw/zinc/86/43/36/1072864336.db2.gz FDDXPYOLPIZHLK-UHFFFAOYSA-N 0 0 430.552 -0.056 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)nn1 ZINC000556445632 1072864420 /nfs/dbraw/zinc/86/44/20/1072864420.db2.gz YIUDYOHIUIAXPQ-UHFFFAOYSA-N 0 0 432.409 -0.379 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3cnc4n3CCCC4)CC2)CC1 ZINC000556453391 1072862537 /nfs/dbraw/zinc/86/25/37/1072862537.db2.gz LBOASWCSVUSVIF-UHFFFAOYSA-N 0 0 425.559 -0.461 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000556456398 1072864370 /nfs/dbraw/zinc/86/43/70/1072864370.db2.gz DBQVVCSZOAMXJY-UHFFFAOYSA-N 0 0 425.511 -0.669 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CN(c2ccc(Br)cc2)C1=O)S(N)(=O)=O ZINC000556460170 1072866329 /nfs/dbraw/zinc/86/63/29/1072866329.db2.gz OUMVOMIZNIDMLO-GZMMTYOYSA-N 0 0 433.284 -0.926 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CN(c2ccc(Br)cc2)C1=O)S(N)(=O)=O ZINC000556460174 1072866146 /nfs/dbraw/zinc/86/61/46/1072866146.db2.gz OUMVOMIZNIDMLO-KCJUWKMLSA-N 0 0 433.284 -0.926 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CN(c2ccc(Br)cc2)C1=O)S(N)(=O)=O ZINC000556460176 1072866674 /nfs/dbraw/zinc/86/66/74/1072866674.db2.gz OUMVOMIZNIDMLO-KWQFWETISA-N 0 0 433.284 -0.926 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CN(c2ccc(Br)cc2)C1=O)S(N)(=O)=O ZINC000556460177 1072866758 /nfs/dbraw/zinc/86/67/58/1072866758.db2.gz OUMVOMIZNIDMLO-LDYMZIIASA-N 0 0 433.284 -0.926 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)Cn1cnc3c(cnn3C)c1=O)C2 ZINC000556466314 1072865880 /nfs/dbraw/zinc/86/58/80/1072865880.db2.gz NWYJFBRFXMWPEC-UHFFFAOYSA-N 0 0 430.490 -0.035 20 0 IBADRN NC(=O)Cn1cc(C(=O)NCC(=O)Nc2ccc(I)cc2)nn1 ZINC000556467772 1072868344 /nfs/dbraw/zinc/86/83/44/1072868344.db2.gz CSEWMRCONONLCC-UHFFFAOYSA-N 0 0 428.190 -0.264 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)nn1 ZINC000556470419 1072868430 /nfs/dbraw/zinc/86/84/30/1072868430.db2.gz YAPLNNSOPCMSGX-AWEZNQCLSA-N 0 0 437.478 -0.048 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)nn1 ZINC000556470424 1072868486 /nfs/dbraw/zinc/86/84/86/1072868486.db2.gz YAPLNNSOPCMSGX-CQSZACIVSA-N 0 0 437.478 -0.048 20 0 IBADRN COCC(=O)N(C)CC(=O)Nc1cccc2c1OCCN2C(=O)CN(C)C(=O)COC ZINC000556477812 1072869505 /nfs/dbraw/zinc/86/95/05/1072869505.db2.gz QDERDVFXADXQCC-UHFFFAOYSA-N 0 0 436.465 -0.440 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)nn1 ZINC000556477887 1072869314 /nfs/dbraw/zinc/86/93/14/1072869314.db2.gz VKJLHCXZZFOCTG-UHFFFAOYSA-N 0 0 432.256 -0.095 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1cccc2c1OCCN2C(=O)CN1CCOCC1=O ZINC000556478769 1070912736 /nfs/dbraw/zinc/91/27/36/1070912736.db2.gz BYSWTMJQWOIPBR-UHFFFAOYSA-N 0 0 432.433 -0.932 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCc2c1cc(F)cc2F ZINC000556485737 1070913447 /nfs/dbraw/zinc/91/34/47/1070913447.db2.gz MDTAQRFIACGIOO-UHFFFAOYSA-N 0 0 436.415 -0.617 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)CC1 ZINC000556485991 1072869897 /nfs/dbraw/zinc/86/98/97/1072869897.db2.gz RWNUWHHWNOKUCA-UHFFFAOYSA-N 0 0 438.506 -0.119 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000556486802 1072869371 /nfs/dbraw/zinc/86/93/71/1072869371.db2.gz WFNOHKPCNXGFAJ-UHFFFAOYSA-N 0 0 430.461 -0.462 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000556493220 1072869715 /nfs/dbraw/zinc/86/97/15/1072869715.db2.gz UTAHGUAMMGRHKW-UHFFFAOYSA-N 0 0 441.448 -0.980 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000556497237 1072870204 /nfs/dbraw/zinc/87/02/04/1072870204.db2.gz RKESKRYDYCGNAA-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN Cc1c(C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000556497981 1072870399 /nfs/dbraw/zinc/87/03/99/1072870399.db2.gz ZPZGCZWARCNDJQ-UHFFFAOYSA-N 0 0 438.444 -0.250 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H]1OCCc2sccc21 ZINC000556501236 1073353280 /nfs/dbraw/zinc/35/32/80/1073353280.db2.gz SRUZXOSCCGYFPC-ACJLOTCBSA-N 0 0 447.517 0.561 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H]1OCCc2sccc21 ZINC000556501237 1073353302 /nfs/dbraw/zinc/35/33/02/1073353302.db2.gz SRUZXOSCCGYFPC-FZKQIMNGSA-N 0 0 447.517 0.561 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H]1OCCc2sccc21 ZINC000556501238 1073310829 /nfs/dbraw/zinc/31/08/29/1073310829.db2.gz SRUZXOSCCGYFPC-SCLBCKFNSA-N 0 0 447.517 0.561 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H]1OCCc2sccc21 ZINC000556501240 1073310717 /nfs/dbraw/zinc/31/07/17/1073310717.db2.gz SRUZXOSCCGYFPC-UGSOOPFHSA-N 0 0 447.517 0.561 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000556504230 1072871845 /nfs/dbraw/zinc/87/18/45/1072871845.db2.gz ICASNOMIAGOYNE-ZDUSSCGKSA-N 0 0 425.511 -0.798 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000556504377 1072871235 /nfs/dbraw/zinc/87/12/35/1072871235.db2.gz ITNKSZPCTSDCDM-AVGNSLFASA-N 0 0 426.495 -0.326 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000556504378 1072871651 /nfs/dbraw/zinc/87/16/51/1072871651.db2.gz ITNKSZPCTSDCDM-UPJWGTAASA-N 0 0 426.495 -0.326 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000556504379 1072871786 /nfs/dbraw/zinc/87/17/86/1072871786.db2.gz ITNKSZPCTSDCDM-XQQFMLRXSA-N 0 0 426.495 -0.326 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H](C)C(=O)NC)c2)CC1 ZINC000556504863 1072870265 /nfs/dbraw/zinc/87/02/65/1072870265.db2.gz WAWZAQVWDJEWGD-ZDUSSCGKSA-N 0 0 425.511 -0.798 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000556506166 1072870351 /nfs/dbraw/zinc/87/03/51/1072870351.db2.gz BGEFBRSUEJTZAM-UHFFFAOYSA-N 0 0 446.508 -0.212 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)nn1 ZINC000556507106 1073319026 /nfs/dbraw/zinc/31/90/26/1073319026.db2.gz QJQGBFYQFKIJJJ-UHFFFAOYSA-N 0 0 425.453 0.228 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000556508389 1072871171 /nfs/dbraw/zinc/87/11/71/1072871171.db2.gz RVUKLOXRQUWYMT-UHFFFAOYSA-N 0 0 441.467 -0.222 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cccc(C(=O)N(C)CC(N)=O)c3)CC2)on1 ZINC000556508496 1072871264 /nfs/dbraw/zinc/87/12/64/1072871264.db2.gz TURSVRKUXGZPDH-UHFFFAOYSA-N 0 0 442.476 -0.177 20 0 IBADRN O=C(Nc1ccc2c(c1F)CCNC2=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000556509715 1072871231 /nfs/dbraw/zinc/87/12/31/1072871231.db2.gz NXQGHAQFOQAABL-UHFFFAOYSA-N 0 0 445.451 -0.657 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)CC2)c1 ZINC000556509747 1072871186 /nfs/dbraw/zinc/87/11/86/1072871186.db2.gz OKKGZYLCYZGDIV-UHFFFAOYSA-N 0 0 429.399 -0.111 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)c2ccc(F)cc2)c1 ZINC000556515623 1072873906 /nfs/dbraw/zinc/87/39/06/1072873906.db2.gz RIQFDNVYCIDUMA-UHFFFAOYSA-N 0 0 443.435 -0.132 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)Nc3ccc(OC)nn3)CC2)c(OC)c1 ZINC000556515624 1072873796 /nfs/dbraw/zinc/87/37/96/1072873796.db2.gz RJLLNNOCGRYXDX-UHFFFAOYSA-N 0 0 429.433 0.426 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCc3ccccc32)c1 ZINC000556516158 1070914758 /nfs/dbraw/zinc/91/47/58/1070914758.db2.gz ZBTHKAQLXUWBLN-UHFFFAOYSA-N 0 0 437.456 -0.112 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NCCNC(=O)CC2CCCC2)c1 ZINC000556517008 1072873616 /nfs/dbraw/zinc/87/36/16/1072873616.db2.gz PEGYLWASUBGLDG-UHFFFAOYSA-N 0 0 431.493 -0.005 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cccnc3)CC2)c1 ZINC000556517170 1072873767 /nfs/dbraw/zinc/87/37/67/1072873767.db2.gz DPGCUHPHVPINGW-UHFFFAOYSA-N 0 0 438.488 -0.078 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)c1 ZINC000556517758 1072873682 /nfs/dbraw/zinc/87/36/82/1072873682.db2.gz CFFBXTXAQOUIBL-UHFFFAOYSA-N 0 0 438.488 -0.078 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(CCn1cnc3c1c(=O)n(C)c(=O)n3C)C2 ZINC000556517774 1072873817 /nfs/dbraw/zinc/87/38/17/1072873817.db2.gz DVLSRNLQNRYDCL-UHFFFAOYSA-N 0 0 432.506 -0.600 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)N1CCOCC1 ZINC000556520744 1072874396 /nfs/dbraw/zinc/87/43/96/1072874396.db2.gz VUIIUICUYWEERF-KRWDZBQOSA-N 0 0 433.509 -0.345 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)N1CCOCC1 ZINC000556520756 1072874284 /nfs/dbraw/zinc/87/42/84/1072874284.db2.gz VUIIUICUYWEERF-QGZVFWFLSA-N 0 0 433.509 -0.345 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000556520789 1072874136 /nfs/dbraw/zinc/87/41/36/1072874136.db2.gz PBKKROJLGQWESM-BDAKNGLRSA-N 0 0 442.856 -0.042 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000556520792 1072874143 /nfs/dbraw/zinc/87/41/43/1072874143.db2.gz PBKKROJLGQWESM-DTWKUNHWSA-N 0 0 442.856 -0.042 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000556520795 1072874429 /nfs/dbraw/zinc/87/44/29/1072874429.db2.gz PBKKROJLGQWESM-IUCAKERBSA-N 0 0 442.856 -0.042 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000556520798 1072874163 /nfs/dbraw/zinc/87/41/63/1072874163.db2.gz PBKKROJLGQWESM-RKDXNWHRSA-N 0 0 442.856 -0.042 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000556521131 1072873661 /nfs/dbraw/zinc/87/36/61/1072873661.db2.gz SPAISUBSFMOWQY-GWCFXTLKSA-N 0 0 426.470 -0.116 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000556521134 1072874227 /nfs/dbraw/zinc/87/42/27/1072874227.db2.gz SPAISUBSFMOWQY-GXFFZTMASA-N 0 0 426.470 -0.116 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000556521137 1072874128 /nfs/dbraw/zinc/87/41/28/1072874128.db2.gz SPAISUBSFMOWQY-MFKMUULPSA-N 0 0 426.470 -0.116 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000556521139 1072874173 /nfs/dbraw/zinc/87/41/73/1072874173.db2.gz SPAISUBSFMOWQY-ZWNOBZJWSA-N 0 0 426.470 -0.116 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2)c1 ZINC000556522848 1072874745 /nfs/dbraw/zinc/87/47/45/1072874745.db2.gz ONOYGMDALFZYIY-GOSISDBHSA-N 0 0 437.456 -0.118 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2)c1 ZINC000556522852 1072874768 /nfs/dbraw/zinc/87/47/68/1072874768.db2.gz ONOYGMDALFZYIY-SFHVURJKSA-N 0 0 437.456 -0.118 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)nn1 ZINC000556524905 1072875329 /nfs/dbraw/zinc/87/53/29/1072875329.db2.gz ATGYNUZMAOOSNA-UHFFFAOYSA-N 0 0 441.448 -0.138 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1c(OC)ncnc1N(C)C)C2 ZINC000556525239 1072875318 /nfs/dbraw/zinc/87/53/18/1072875318.db2.gz GEYQNKDLESDNOC-UHFFFAOYSA-N 0 0 448.505 -0.017 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(Cl)c(S(C)(=O)=O)c2)nn1 ZINC000556525329 1072875258 /nfs/dbraw/zinc/87/52/58/1072875258.db2.gz GXYYATBZJGTIAL-UHFFFAOYSA-N 0 0 429.842 -0.124 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(F)cc1C ZINC000556526114 1072875596 /nfs/dbraw/zinc/87/55/96/1072875596.db2.gz NLVOPZXGKGHTHC-UHFFFAOYSA-N 0 0 444.485 -0.318 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc(Cl)cc1C(N)=O ZINC000556526340 1072875338 /nfs/dbraw/zinc/87/53/38/1072875338.db2.gz IZIHITFJPUNNOS-UHFFFAOYSA-N 0 0 439.885 0.169 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)nn1 ZINC000556526754 1072875556 /nfs/dbraw/zinc/87/55/56/1072875556.db2.gz RZGFELZZZWJSLX-UHFFFAOYSA-N 0 0 435.404 -0.052 20 0 IBADRN CCNC(=O)C[C@@H]1CCCCN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000556527417 1073337396 /nfs/dbraw/zinc/33/73/96/1073337396.db2.gz PDAKLQXWVZIFLJ-INIZCTEOSA-N 0 0 447.540 0.527 20 0 IBADRN CCNC(=O)C[C@H]1CCCCN1C(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1 ZINC000556527418 1073337605 /nfs/dbraw/zinc/33/76/05/1073337605.db2.gz PDAKLQXWVZIFLJ-MRXNPFEDSA-N 0 0 447.540 0.527 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000556528075 1072876168 /nfs/dbraw/zinc/87/61/68/1072876168.db2.gz BNGYVJJPKGZEQR-UHFFFAOYSA-N 0 0 435.437 -0.460 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)nn1 ZINC000556528799 1072877763 /nfs/dbraw/zinc/87/77/63/1072877763.db2.gz ZSAYBOMURXMAJK-UHFFFAOYSA-N 0 0 430.465 -0.306 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1cnc(OC)c(C(N)=O)c1)C2 ZINC000556529656 1072878364 /nfs/dbraw/zinc/87/83/64/1072878364.db2.gz UHEWAEAPRMKRBV-UHFFFAOYSA-N 0 0 447.473 -0.379 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)C(=O)Nc3ccc(OC)nn3)CC2)cc1 ZINC000556530240 1073347307 /nfs/dbraw/zinc/34/73/07/1073347307.db2.gz YCHRXBDSVGNTPH-UHFFFAOYSA-N 0 0 449.489 0.356 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCn2cc(C(=O)OC)nn2)c2ccccc2O1 ZINC000556530281 1072877715 /nfs/dbraw/zinc/87/77/15/1072877715.db2.gz HLAZPKOIDGPNJF-INIZCTEOSA-N 0 0 444.448 -0.499 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCn2cc(C(=O)OC)nn2)c2ccccc2O1 ZINC000556530286 1072877657 /nfs/dbraw/zinc/87/76/57/1072877657.db2.gz HLAZPKOIDGPNJF-MRXNPFEDSA-N 0 0 444.448 -0.499 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2 ZINC000556531338 1072878255 /nfs/dbraw/zinc/87/82/55/1072878255.db2.gz TYIJJUJJZRJERC-UHFFFAOYSA-N 0 0 435.506 -0.843 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)nn1 ZINC000556534127 1072876875 /nfs/dbraw/zinc/87/68/75/1072876875.db2.gz NYWUPTYMJHLZOU-UHFFFAOYSA-N 0 0 425.405 -0.524 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1cnn(CC(=O)OC)c1C)C2 ZINC000556534420 1072876889 /nfs/dbraw/zinc/87/68/89/1072876889.db2.gz OQURGAPBLUIRRS-UHFFFAOYSA-N 0 0 449.489 -0.204 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H](CO)C[C@@H](O)C(C)(C)C)n2)c1C ZINC000556535214 1073339547 /nfs/dbraw/zinc/33/95/47/1073339547.db2.gz YQRNZBJHYQIDFP-GDBMZVCRSA-N 0 0 448.524 0.560 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H](CO)C[C@@H](O)C(C)(C)C)n2)c1C ZINC000556535224 1073339272 /nfs/dbraw/zinc/33/92/72/1073339272.db2.gz YQRNZBJHYQIDFP-GOEBONIOSA-N 0 0 448.524 0.560 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H](CO)C[C@H](O)C(C)(C)C)n2)c1C ZINC000556535225 1073339793 /nfs/dbraw/zinc/33/97/93/1073339793.db2.gz YQRNZBJHYQIDFP-HOCLYGCPSA-N 0 0 448.524 0.560 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H](CO)C[C@H](O)C(C)(C)C)n2)c1C ZINC000556535226 1073339560 /nfs/dbraw/zinc/33/95/60/1073339560.db2.gz YQRNZBJHYQIDFP-ZBFHGGJFSA-N 0 0 448.524 0.560 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)nn1 ZINC000556535355 1072876698 /nfs/dbraw/zinc/87/66/98/1072876698.db2.gz ZDHSQLLPWWWVQJ-UHFFFAOYSA-N 0 0 436.450 -0.740 20 0 IBADRN COc1c(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc(Cl)cc1C(N)=O ZINC000556536845 1072877125 /nfs/dbraw/zinc/87/71/25/1072877125.db2.gz DTKOSJVUFUIVGY-UHFFFAOYSA-N 0 0 435.890 -0.665 20 0 IBADRN COc1ccc(Cn2cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cn2)cc1 ZINC000556537398 1072877199 /nfs/dbraw/zinc/87/71/99/1072877199.db2.gz WDFVYIBZUKUXBZ-UHFFFAOYSA-N 0 0 438.510 -0.173 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc(Cl)cc1C(=O)N(C)C ZINC000556539745 1072879183 /nfs/dbraw/zinc/87/91/83/1072879183.db2.gz XLNAVDJDYRKXEX-GFCCVEGCSA-N 0 0 437.840 -0.249 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc(Cl)cc1C(=O)N(C)C ZINC000556539746 1072879281 /nfs/dbraw/zinc/87/92/81/1072879281.db2.gz XLNAVDJDYRKXEX-LBPRGKRZSA-N 0 0 437.840 -0.249 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000556540306 1072879297 /nfs/dbraw/zinc/87/92/97/1072879297.db2.gz ASUMPMAUKNZATB-UHFFFAOYSA-N 0 0 436.538 -0.148 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1cc(C(F)(F)F)cc(S(C)(=O)=O)c1)S(N)(=O)=O ZINC000556540890 1072879169 /nfs/dbraw/zinc/87/91/69/1072879169.db2.gz JLCGSEJYSYJVIP-MRVPVSSYSA-N 0 0 445.441 -0.482 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1cc(C(F)(F)F)cc(S(C)(=O)=O)c1)S(N)(=O)=O ZINC000556540891 1072879329 /nfs/dbraw/zinc/87/93/29/1072879329.db2.gz JLCGSEJYSYJVIP-QMMMGPOBSA-N 0 0 445.441 -0.482 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCc3c(cccc3S(=O)(=O)N(C)C)C2)cn1 ZINC000556543149 1072879770 /nfs/dbraw/zinc/87/97/70/1072879770.db2.gz SLCKOKPSBJBZJI-UHFFFAOYSA-N 0 0 448.505 -0.597 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1)OC ZINC000556546642 1072880968 /nfs/dbraw/zinc/88/09/68/1072880968.db2.gz OYVZUKVCGSXTEM-DZGCQCFKSA-N 0 0 428.463 -0.118 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1)OC ZINC000556546647 1072881010 /nfs/dbraw/zinc/88/10/10/1072881010.db2.gz OYVZUKVCGSXTEM-HIFRSBDPSA-N 0 0 428.463 -0.118 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1)OC ZINC000556546648 1072881021 /nfs/dbraw/zinc/88/10/21/1072881021.db2.gz OYVZUKVCGSXTEM-UKRRQHHQSA-N 0 0 428.463 -0.118 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1)OC ZINC000556546649 1072880913 /nfs/dbraw/zinc/88/09/13/1072880913.db2.gz OYVZUKVCGSXTEM-ZFWWWQNUSA-N 0 0 428.463 -0.118 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1C)OC ZINC000556546775 1072880824 /nfs/dbraw/zinc/88/08/24/1072880824.db2.gz PSNYXNSVNUOOIL-AWEZNQCLSA-N 0 0 427.479 -0.013 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1C)OC ZINC000556546779 1072881035 /nfs/dbraw/zinc/88/10/35/1072881035.db2.gz PSNYXNSVNUOOIL-CQSZACIVSA-N 0 0 427.479 -0.013 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)OC ZINC000556547261 1072880544 /nfs/dbraw/zinc/88/05/44/1072880544.db2.gz WYCKGRUDSVZALZ-KRWDZBQOSA-N 0 0 446.460 -0.257 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)OC ZINC000556547262 1072880591 /nfs/dbraw/zinc/88/05/91/1072880591.db2.gz WYCKGRUDSVZALZ-QGZVFWFLSA-N 0 0 446.460 -0.257 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000556548377 1072880887 /nfs/dbraw/zinc/88/08/87/1072880887.db2.gz IZWMEQDFQVERKF-CVEARBPZSA-N 0 0 448.476 -0.249 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000556548378 1072881000 /nfs/dbraw/zinc/88/10/00/1072881000.db2.gz IZWMEQDFQVERKF-HOTGVXAUSA-N 0 0 448.476 -0.249 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000556548379 1072880850 /nfs/dbraw/zinc/88/08/50/1072880850.db2.gz IZWMEQDFQVERKF-HZPDHXFCSA-N 0 0 448.476 -0.249 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000556548380 1072880993 /nfs/dbraw/zinc/88/09/93/1072880993.db2.gz IZWMEQDFQVERKF-JKSUJKDBSA-N 0 0 448.476 -0.249 20 0 IBADRN CCO[C@H]1C[C@](O)(CNC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1(C)C ZINC000556553142 1072883139 /nfs/dbraw/zinc/88/31/39/1072883139.db2.gz JAOCKLKKGKHGCB-BTYIYWSLSA-N 0 0 434.493 -0.135 20 0 IBADRN CCO[C@@H]1C[C@@](O)(CNC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1(C)C ZINC000556553149 1072882951 /nfs/dbraw/zinc/88/29/51/1072882951.db2.gz JAOCKLKKGKHGCB-QVKFZJNVSA-N 0 0 434.493 -0.135 20 0 IBADRN CCO[C@@H]1C[C@](O)(CNC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1(C)C ZINC000556553151 1072882871 /nfs/dbraw/zinc/88/28/71/1072882871.db2.gz JAOCKLKKGKHGCB-VFNWGFHPSA-N 0 0 434.493 -0.135 20 0 IBADRN CCO[C@H]1C[C@@](O)(CNC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1(C)C ZINC000556553152 1072882778 /nfs/dbraw/zinc/88/27/78/1072882778.db2.gz JAOCKLKKGKHGCB-YCRPNKLZSA-N 0 0 434.493 -0.135 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@@H](C)C1 ZINC000556554689 1072881596 /nfs/dbraw/zinc/88/15/96/1072881596.db2.gz HUPNMZOMSOMPIZ-AWEZNQCLSA-N 0 0 447.492 -0.722 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@H](C)C1 ZINC000556554694 1072881351 /nfs/dbraw/zinc/88/13/51/1072881351.db2.gz HUPNMZOMSOMPIZ-CQSZACIVSA-N 0 0 447.492 -0.722 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@@H](C)C1=O ZINC000556554961 1072881452 /nfs/dbraw/zinc/88/14/52/1072881452.db2.gz YUTVYWTWRIYYIF-AWEZNQCLSA-N 0 0 431.493 -0.102 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@H](C)C1=O ZINC000556554963 1072881535 /nfs/dbraw/zinc/88/15/35/1072881535.db2.gz YUTVYWTWRIYYIF-CQSZACIVSA-N 0 0 431.493 -0.102 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ccc(OC(C)C)nn3)CC2)c(C(N)=O)c1C ZINC000556560450 1073352428 /nfs/dbraw/zinc/35/24/28/1073352428.db2.gz GTCRCYLYLSLHAA-UHFFFAOYSA-N 0 0 442.480 0.057 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O)[C@@H]1CCS(=O)(=O)C1 ZINC000556560879 1072882808 /nfs/dbraw/zinc/88/28/08/1072882808.db2.gz MTAAJLABYIVKSL-LLVKDONJSA-N 0 0 427.454 -0.288 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O)[C@H]1CCS(=O)(=O)C1 ZINC000556560880 1072883089 /nfs/dbraw/zinc/88/30/89/1072883089.db2.gz MTAAJLABYIVKSL-NSHDSACASA-N 0 0 427.454 -0.288 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)nn1 ZINC000556561507 1073346437 /nfs/dbraw/zinc/34/64/37/1073346437.db2.gz UWSOTESCPOATGI-UHFFFAOYSA-N 0 0 448.505 -0.324 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)nn1 ZINC000556562357 1072883768 /nfs/dbraw/zinc/88/37/68/1072883768.db2.gz LTIYPYKOOBOVGQ-CYBMUJFWSA-N 0 0 429.499 -0.193 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)nn1 ZINC000556562358 1072883660 /nfs/dbraw/zinc/88/36/60/1072883660.db2.gz LTIYPYKOOBOVGQ-ZDUSSCGKSA-N 0 0 429.499 -0.193 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000556562521 1072883674 /nfs/dbraw/zinc/88/36/74/1072883674.db2.gz RMMLRLUMIASCPJ-UHFFFAOYSA-N 0 0 440.435 -0.107 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000556562737 1072884351 /nfs/dbraw/zinc/88/43/51/1072884351.db2.gz VQISWAWVSYNAQF-GFCCVEGCSA-N 0 0 439.856 -0.761 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(Cl)cc(C(N)=O)c1OC ZINC000556562738 1072884438 /nfs/dbraw/zinc/88/44/38/1072884438.db2.gz VQISWAWVSYNAQF-LBPRGKRZSA-N 0 0 439.856 -0.761 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)c1 ZINC000556563507 1072884291 /nfs/dbraw/zinc/88/42/91/1072884291.db2.gz CTOZFDUHIWZYCE-KRWDZBQOSA-N 0 0 432.477 -0.164 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)c1 ZINC000556563508 1072884367 /nfs/dbraw/zinc/88/43/67/1072884367.db2.gz CTOZFDUHIWZYCE-QGZVFWFLSA-N 0 0 432.477 -0.164 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)nn1 ZINC000556563622 1072884151 /nfs/dbraw/zinc/88/41/51/1072884151.db2.gz NLRBVBUQLSEBCA-UHFFFAOYSA-N 0 0 442.480 -0.153 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)n1 ZINC000556565355 1072884405 /nfs/dbraw/zinc/88/44/05/1072884405.db2.gz DIDHRRKJRZLVPC-UHFFFAOYSA-N 0 0 428.492 -0.114 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3ccn(C)c(=O)c3c2)CC1 ZINC000556566053 1072884391 /nfs/dbraw/zinc/88/43/91/1072884391.db2.gz VWDFFJNUHLPCAW-UHFFFAOYSA-N 0 0 429.477 -0.616 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](CS(C)(=O)=O)C2)cc1 ZINC000556569275 1072884681 /nfs/dbraw/zinc/88/46/81/1072884681.db2.gz WKSCRNADVAXXCB-CYBMUJFWSA-N 0 0 439.490 -0.189 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](CS(C)(=O)=O)C2)cc1 ZINC000556569276 1072884626 /nfs/dbraw/zinc/88/46/26/1072884626.db2.gz WKSCRNADVAXXCB-ZDUSSCGKSA-N 0 0 439.490 -0.189 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CCS(=O)(=O)c3ccccc32)CC1 ZINC000556570753 1072885197 /nfs/dbraw/zinc/88/51/97/1072885197.db2.gz KKXDRXHNGRKSIE-HNNXBMFYSA-N 0 0 433.486 -0.233 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CCS(=O)(=O)c3ccccc32)CC1 ZINC000556570754 1072885394 /nfs/dbraw/zinc/88/53/94/1072885394.db2.gz KKXDRXHNGRKSIE-OAHLLOKOSA-N 0 0 433.486 -0.233 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000556577988 1072885351 /nfs/dbraw/zinc/88/53/51/1072885351.db2.gz KYSDJYXYJSTYIQ-UHFFFAOYSA-N 0 0 436.534 -0.730 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C1 ZINC000556578102 1072885105 /nfs/dbraw/zinc/88/51/05/1072885105.db2.gz MMWBUWIDDSXJSG-HNNXBMFYSA-N 0 0 431.536 -0.612 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C1 ZINC000556578110 1072885373 /nfs/dbraw/zinc/88/53/73/1072885373.db2.gz MMWBUWIDDSXJSG-OAHLLOKOSA-N 0 0 431.536 -0.612 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2COCCO2)CC1)N1CCCc2ccccc21 ZINC000556579818 1072885636 /nfs/dbraw/zinc/88/56/36/1072885636.db2.gz DYQXAKXNRDACFW-GOSISDBHSA-N 0 0 430.505 -0.358 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2COCCO2)CC1)N1CCCc2ccccc21 ZINC000556579825 1072885782 /nfs/dbraw/zinc/88/57/82/1072885782.db2.gz DYQXAKXNRDACFW-SFHVURJKSA-N 0 0 430.505 -0.358 20 0 IBADRN O=C(NC[C@H]1COCCO1)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000556579838 1072885767 /nfs/dbraw/zinc/88/57/67/1072885767.db2.gz CBAJUKVPTLQAPN-HNNXBMFYSA-N 0 0 429.470 -0.420 20 0 IBADRN O=C(NC[C@@H]1COCCO1)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000556579841 1072885611 /nfs/dbraw/zinc/88/56/11/1072885611.db2.gz CBAJUKVPTLQAPN-OAHLLOKOSA-N 0 0 429.470 -0.420 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)C(=O)NC[C@@H]2COCCO2)s1 ZINC000556580876 1072885833 /nfs/dbraw/zinc/88/58/33/1072885833.db2.gz LYZYKCAUWVUHER-CYBMUJFWSA-N 0 0 433.552 -0.031 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)C(=O)NC[C@H]2COCCO2)s1 ZINC000556580877 1072885813 /nfs/dbraw/zinc/88/58/13/1072885813.db2.gz LYZYKCAUWVUHER-ZDUSSCGKSA-N 0 0 433.552 -0.031 20 0 IBADRN O=C(NC[C@H]1COCCO1)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000556580947 1072885749 /nfs/dbraw/zinc/88/57/49/1072885749.db2.gz NEBMJIQEEIBFSB-AWEZNQCLSA-N 0 0 431.898 -0.296 20 0 IBADRN O=C(NC[C@@H]1COCCO1)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000556580948 1072885883 /nfs/dbraw/zinc/88/58/83/1072885883.db2.gz NEBMJIQEEIBFSB-CQSZACIVSA-N 0 0 431.898 -0.296 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3COCCO3)CC2)cc1 ZINC000556581925 1072885626 /nfs/dbraw/zinc/88/56/26/1072885626.db2.gz XOEFCQCVUPVUPS-HNNXBMFYSA-N 0 0 427.479 -0.940 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3COCCO3)CC2)cc1 ZINC000556581931 1072885853 /nfs/dbraw/zinc/88/58/53/1072885853.db2.gz XOEFCQCVUPVUPS-OAHLLOKOSA-N 0 0 427.479 -0.940 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NC[C@H]3COCCO3)CC2)cc(OC)c1OC ZINC000556582280 1072885598 /nfs/dbraw/zinc/88/55/98/1072885598.db2.gz YOLGTNACIXELST-INIZCTEOSA-N 0 0 437.493 -0.112 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NC[C@@H]3COCCO3)CC2)cc(OC)c1OC ZINC000556582283 1072885669 /nfs/dbraw/zinc/88/56/69/1072885669.db2.gz YOLGTNACIXELST-MRXNPFEDSA-N 0 0 437.493 -0.112 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000556583040 1072886263 /nfs/dbraw/zinc/88/62/63/1072886263.db2.gz XZXGXPVMRZFISL-UHFFFAOYSA-N 0 0 444.536 -0.233 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000556591750 1072886878 /nfs/dbraw/zinc/88/68/78/1072886878.db2.gz DYVHMEDPRXWUAJ-UHFFFAOYSA-N 0 0 445.520 -0.477 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)NC3CCN(C(=O)COC)CC3)CC2)n1 ZINC000556592125 1072886736 /nfs/dbraw/zinc/88/67/36/1072886736.db2.gz GDSPMDDWCFUAHM-UHFFFAOYSA-N 0 0 438.554 -0.107 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N(CCO)Cc2ccc(OC)cc2OC)CC1 ZINC000556592866 1072886792 /nfs/dbraw/zinc/88/67/92/1072886792.db2.gz MMRRIYYYIVUJHN-UHFFFAOYSA-N 0 0 437.493 -0.222 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@H]2CC[C@@H](CO)O2)cc(S(C)(=O)=O)c1 ZINC000556593070 1072886930 /nfs/dbraw/zinc/88/69/30/1072886930.db2.gz PUWZHWOXNILTPG-KGLIPLIRSA-N 0 0 428.463 -0.139 20 0 IBADRN O=C(NC[C@H]1CC[C@@H](CO)O1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000556593197 1072886781 /nfs/dbraw/zinc/88/67/81/1072886781.db2.gz SJIHYOYVTGAXBX-RVKKMQEKSA-N 0 0 433.461 -0.705 20 0 IBADRN O=C(NC[C@H]1CC[C@@H](CO)O1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000556593198 1072886766 /nfs/dbraw/zinc/88/67/66/1072886766.db2.gz SJIHYOYVTGAXBX-VKJFTORMSA-N 0 0 433.461 -0.705 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N2CCN(Cc3c(C)nn(C)c3C)CC2)CC1 ZINC000556593364 1072886957 /nfs/dbraw/zinc/88/69/57/1072886957.db2.gz XYTCNDIJWCWMJQ-UHFFFAOYSA-N 0 0 434.541 -0.565 20 0 IBADRN CCOc1ccnc(N2CCN(C(=O)C(=O)NC3CCN(C(=O)COC)CC3)CC2)n1 ZINC000556593715 1072886659 /nfs/dbraw/zinc/88/66/59/1072886659.db2.gz JTUYNNBYVMYFKT-UHFFFAOYSA-N 0 0 434.497 -0.723 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N2CCN(CCOc3ccccc3)CC2)CC1 ZINC000556593766 1072886895 /nfs/dbraw/zinc/88/68/95/1072886895.db2.gz MMNSCVKFUQGTSH-UHFFFAOYSA-N 0 0 432.521 -0.037 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000556594056 1072886685 /nfs/dbraw/zinc/88/66/85/1072886685.db2.gz YICXPCYMJIHLMP-KRWDZBQOSA-N 0 0 446.552 -0.538 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC000556594057 1072886845 /nfs/dbraw/zinc/88/68/45/1072886845.db2.gz YICXPCYMJIHLMP-QGZVFWFLSA-N 0 0 446.552 -0.538 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)c1 ZINC000556594852 1072886443 /nfs/dbraw/zinc/88/64/43/1072886443.db2.gz WQVLSONOJVHRKN-IBGZPJMESA-N 0 0 439.472 -0.140 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)c1 ZINC000556594853 1072886411 /nfs/dbraw/zinc/88/64/11/1072886411.db2.gz WQVLSONOJVHRKN-LJQANCHMSA-N 0 0 439.472 -0.140 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NC3CCN(C(=O)COC)CC3)CC2)n1 ZINC000556595269 1072886384 /nfs/dbraw/zinc/88/63/84/1072886384.db2.gz IJSRFIKGQGUROI-UHFFFAOYSA-N 0 0 433.509 -0.118 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)CC1)NC1CCCCC1 ZINC000556598870 1072886419 /nfs/dbraw/zinc/88/64/19/1072886419.db2.gz BXTXJQGOOBKYNL-UHFFFAOYSA-N 0 0 431.541 -0.176 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)CC1 ZINC000556601115 1072887191 /nfs/dbraw/zinc/88/71/91/1072887191.db2.gz GASTUAPGZSQBLT-INIZCTEOSA-N 0 0 431.541 -0.224 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)CC1 ZINC000556601122 1072887355 /nfs/dbraw/zinc/88/73/55/1072887355.db2.gz GASTUAPGZSQBLT-MRXNPFEDSA-N 0 0 431.541 -0.224 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000556601748 1072887379 /nfs/dbraw/zinc/88/73/79/1072887379.db2.gz JRSFIZHRVBVOGD-UHFFFAOYSA-N 0 0 431.493 -0.148 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)NCc3nnc4n3CCCC4)CC2)nc2ccccc12 ZINC000556601797 1072887386 /nfs/dbraw/zinc/88/73/86/1072887386.db2.gz KLCRSROFIHIPLX-UHFFFAOYSA-N 0 0 449.519 0.100 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)nn1 ZINC000556603031 1072887391 /nfs/dbraw/zinc/88/73/91/1072887391.db2.gz QNNIUKKJXRLMKO-UHFFFAOYSA-N 0 0 437.478 0.387 20 0 IBADRN O=C(NCc1nnc2n1CCCC2)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000556603137 1072887314 /nfs/dbraw/zinc/88/73/14/1072887314.db2.gz RCGOLAIRZMGYOZ-UHFFFAOYSA-N 0 0 444.517 -0.166 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000556604296 1072886639 /nfs/dbraw/zinc/88/66/39/1072886639.db2.gz AOUMFGLPAGOTIY-UHFFFAOYSA-N 0 0 445.461 -0.214 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)n1 ZINC000556604598 1073335649 /nfs/dbraw/zinc/33/56/49/1073335649.db2.gz KEHXWUSAFLXOSR-UHFFFAOYSA-N 0 0 425.467 -0.347 20 0 IBADRN CN(C[C@@H](O)Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000556605405 1072887290 /nfs/dbraw/zinc/88/72/90/1072887290.db2.gz VIARHQCVRXWEIY-KRWDZBQOSA-N 0 0 429.477 -0.258 20 0 IBADRN CN(C[C@H](O)Cc1ccccc1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000556605406 1072887307 /nfs/dbraw/zinc/88/73/07/1072887307.db2.gz VIARHQCVRXWEIY-QGZVFWFLSA-N 0 0 429.477 -0.258 20 0 IBADRN Cc1ccccc1C[C@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000556621698 1072889275 /nfs/dbraw/zinc/88/92/75/1072889275.db2.gz JVGRUPIOIHEJPP-HNNXBMFYSA-N 0 0 428.493 -0.469 20 0 IBADRN Cc1ccccc1C[C@@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000556621703 1072889398 /nfs/dbraw/zinc/88/93/98/1072889398.db2.gz JVGRUPIOIHEJPP-OAHLLOKOSA-N 0 0 428.493 -0.469 20 0 IBADRN NC(=O)c1cnn2c1C[C@@H](CNC(=O)NCCN1CCN(C(=O)C3CCC3)CC1)CC2 ZINC000556621962 1072889298 /nfs/dbraw/zinc/88/92/98/1072889298.db2.gz MWDPXUZSZJRLAC-HNNXBMFYSA-N 0 0 431.541 -0.212 20 0 IBADRN NC(=O)c1cnn2c1C[C@H](CNC(=O)NCCN1CCN(C(=O)C3CCC3)CC1)CC2 ZINC000556621963 1072889430 /nfs/dbraw/zinc/88/94/30/1072889430.db2.gz MWDPXUZSZJRLAC-OAHLLOKOSA-N 0 0 431.541 -0.212 20 0 IBADRN NC(=O)c1cnn2c1C[C@@H](CNC(=O)N1CCN(S(=O)(=O)c3cccnc3)CC1)CC2 ZINC000556622822 1072889734 /nfs/dbraw/zinc/88/97/34/1072889734.db2.gz QHXXQEGWNKRBTL-AWEZNQCLSA-N 0 0 447.521 -0.345 20 0 IBADRN NC(=O)c1cnn2c1C[C@H](CNC(=O)N1CCN(S(=O)(=O)c3cccnc3)CC1)CC2 ZINC000556622830 1072889836 /nfs/dbraw/zinc/88/98/36/1072889836.db2.gz QHXXQEGWNKRBTL-CQSZACIVSA-N 0 0 447.521 -0.345 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000556624534 1072889766 /nfs/dbraw/zinc/88/97/66/1072889766.db2.gz GCCQOLYZTRKPCZ-UHFFFAOYSA-N 0 0 444.473 -0.185 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc2c(c1)NC(=O)CNC2=O ZINC000556624745 1072890143 /nfs/dbraw/zinc/89/01/43/1072890143.db2.gz XRGJYFAATCDLFC-KRWDZBQOSA-N 0 0 437.456 -0.299 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc2c(c1)NC(=O)CNC2=O ZINC000556624746 1072890215 /nfs/dbraw/zinc/89/02/15/1072890215.db2.gz XRGJYFAATCDLFC-QGZVFWFLSA-N 0 0 437.456 -0.299 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000556625452 1073311253 /nfs/dbraw/zinc/31/12/53/1073311253.db2.gz LNCWPLHVQRZRSP-UHFFFAOYSA-N 0 0 428.474 0.571 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000556625535 1072889802 /nfs/dbraw/zinc/88/98/02/1072889802.db2.gz DCMSMZBVUPRZHE-GFCCVEGCSA-N 0 0 446.430 -0.443 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000556625536 1072889821 /nfs/dbraw/zinc/88/98/21/1072889821.db2.gz DCMSMZBVUPRZHE-LBPRGKRZSA-N 0 0 446.430 -0.443 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)n1 ZINC000556625726 1072888222 /nfs/dbraw/zinc/88/82/22/1072888222.db2.gz HDHCANHXWKZUBH-UHFFFAOYSA-N 0 0 448.553 -0.214 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)ncn1 ZINC000556625749 1072888438 /nfs/dbraw/zinc/88/84/38/1072888438.db2.gz HTPTWUUEWTVCMH-UHFFFAOYSA-N 0 0 444.496 -0.965 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(c2cccc(O)c2)CC1 ZINC000556625997 1072888338 /nfs/dbraw/zinc/88/83/38/1072888338.db2.gz JMXXCOCPEWMMIT-UHFFFAOYSA-N 0 0 428.493 -0.058 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)s1 ZINC000556626026 1072890322 /nfs/dbraw/zinc/89/03/22/1072890322.db2.gz KEXNPKDSIJPSDG-UHFFFAOYSA-N 0 0 441.535 -0.331 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000556626082 1072888422 /nfs/dbraw/zinc/88/84/22/1072888422.db2.gz BAMWNBLQYCLCME-UHFFFAOYSA-N 0 0 449.533 -0.252 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)C2)n1 ZINC000556626523 1072890158 /nfs/dbraw/zinc/89/01/58/1072890158.db2.gz FZWMWOMPGXJYCG-AWEZNQCLSA-N 0 0 446.512 -0.257 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)C2)n1 ZINC000556626528 1072890330 /nfs/dbraw/zinc/89/03/30/1072890330.db2.gz FZWMWOMPGXJYCG-CQSZACIVSA-N 0 0 446.512 -0.257 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000556626530 1072890127 /nfs/dbraw/zinc/89/01/27/1072890127.db2.gz OOTWRGOTIYDFBC-UHFFFAOYSA-N 0 0 443.508 -0.900 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000556628361 1072888898 /nfs/dbraw/zinc/88/88/98/1072888898.db2.gz UQMWAMSVTBGJAX-INIZCTEOSA-N 0 0 434.541 -0.102 20 0 IBADRN CC(C)C(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000556628364 1072888749 /nfs/dbraw/zinc/88/87/49/1072888749.db2.gz UQMWAMSVTBGJAX-MRXNPFEDSA-N 0 0 434.541 -0.102 20 0 IBADRN Cn1cc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c(C(F)(F)F)n1 ZINC000556628684 1072890688 /nfs/dbraw/zinc/89/06/88/1072890688.db2.gz ALABSNJLYAOPGN-UHFFFAOYSA-N 0 0 429.403 -0.044 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)no1 ZINC000556628807 1072890652 /nfs/dbraw/zinc/89/06/52/1072890652.db2.gz FQUNGCKNFKBPFI-UHFFFAOYSA-N 0 0 431.497 -0.472 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000556628883 1072890561 /nfs/dbraw/zinc/89/05/61/1072890561.db2.gz IJAIOIMFEQJHAA-UHFFFAOYSA-N 0 0 428.493 -0.394 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)n1 ZINC000556628910 1072890545 /nfs/dbraw/zinc/89/05/45/1072890545.db2.gz JYLWNVGUPUMSDD-UHFFFAOYSA-N 0 0 444.496 -0.965 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000556631011 1072891109 /nfs/dbraw/zinc/89/11/09/1072891109.db2.gz RJJLFANRQFSYPG-UHFFFAOYSA-N 0 0 428.497 -0.537 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCCn4cccn4)CC3)C2=O)n(C)n1 ZINC000556631644 1072891069 /nfs/dbraw/zinc/89/10/69/1072891069.db2.gz PNJZMKQRSFRKFZ-KRWDZBQOSA-N 0 0 442.524 -0.619 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCCn4cccn4)CC3)C2=O)n(C)n1 ZINC000556631645 1072891279 /nfs/dbraw/zinc/89/12/79/1072891279.db2.gz PNJZMKQRSFRKFZ-QGZVFWFLSA-N 0 0 442.524 -0.619 20 0 IBADRN O=C(NCCn1cccn1)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000556631762 1072891089 /nfs/dbraw/zinc/89/10/89/1072891089.db2.gz TUYSPQFOIJIULI-UHFFFAOYSA-N 0 0 427.433 -0.189 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1cccc2c1OCCCO2 ZINC000556632239 1072891190 /nfs/dbraw/zinc/89/11/90/1072891190.db2.gz HLHJSFXWEOKFKF-UHFFFAOYSA-N 0 0 438.462 -0.312 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2CCCO[C@H]2c2ccccc2)CC1 ZINC000556632765 1072891635 /nfs/dbraw/zinc/89/16/35/1072891635.db2.gz CHLAJKHTEUMUFS-HKUYNNGSSA-N 0 0 441.492 -0.372 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2CCCO[C@@H]2c2ccccc2)CC1 ZINC000556632766 1072891649 /nfs/dbraw/zinc/89/16/49/1072891649.db2.gz CHLAJKHTEUMUFS-IEBWSBKVSA-N 0 0 441.492 -0.372 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2CCCO[C@H]2c2ccccc2)CC1 ZINC000556632767 1072891526 /nfs/dbraw/zinc/89/15/26/1072891526.db2.gz CHLAJKHTEUMUFS-MJGOQNOKSA-N 0 0 441.492 -0.372 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2CCCO[C@@H]2c2ccccc2)CC1 ZINC000556632768 1072891630 /nfs/dbraw/zinc/89/16/30/1072891630.db2.gz CHLAJKHTEUMUFS-PKOBYXMFSA-N 0 0 441.492 -0.372 20 0 IBADRN Cc1nn(C)cc1[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000556635220 1072891712 /nfs/dbraw/zinc/89/17/12/1072891712.db2.gz VFDYHSJICJFVIA-AWEZNQCLSA-N 0 0 429.543 -0.195 20 0 IBADRN Cc1nn(C)cc1[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000556635221 1072891791 /nfs/dbraw/zinc/89/17/91/1072891791.db2.gz VFDYHSJICJFVIA-CQSZACIVSA-N 0 0 429.543 -0.195 20 0 IBADRN CCCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000556635411 1072891728 /nfs/dbraw/zinc/89/17/28/1072891728.db2.gz NJZPCFYIYFVDAY-HOTGVXAUSA-N 0 0 434.559 -0.583 20 0 IBADRN CCCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000556635414 1072891695 /nfs/dbraw/zinc/89/16/95/1072891695.db2.gz NJZPCFYIYFVDAY-HZPDHXFCSA-N 0 0 434.559 -0.583 20 0 IBADRN CCCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000556635416 1072891706 /nfs/dbraw/zinc/89/17/06/1072891706.db2.gz NJZPCFYIYFVDAY-IYBDPMFKSA-N 0 0 434.559 -0.583 20 0 IBADRN Cc1nn(C)cc1[C@H](C)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000556635675 1072891658 /nfs/dbraw/zinc/89/16/58/1072891658.db2.gz TYCGYVHKBJUVDF-HNNXBMFYSA-N 0 0 442.524 -0.119 20 0 IBADRN Cc1nn(C)cc1[C@@H](C)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000556635676 1072891756 /nfs/dbraw/zinc/89/17/56/1072891756.db2.gz TYCGYVHKBJUVDF-OAHLLOKOSA-N 0 0 442.524 -0.119 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCCn1ccnc1)N1CCN(c2ncccn2)CC1 ZINC000556639375 1072892165 /nfs/dbraw/zinc/89/21/65/1072892165.db2.gz FUAYRYIHVIBNPE-UHFFFAOYSA-N 0 0 428.497 -0.575 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)CC1 ZINC000556640189 1072892077 /nfs/dbraw/zinc/89/20/77/1072892077.db2.gz IYQBYMUFUDKTHY-UHFFFAOYSA-N 0 0 440.570 -0.240 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCCn2cccn2)CC1 ZINC000556640237 1072891988 /nfs/dbraw/zinc/89/19/88/1072891988.db2.gz OVQSOFDEAKGVJG-UHFFFAOYSA-N 0 0 426.543 -0.347 20 0 IBADRN O=C(NCc1cccc2c1OCO2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000556645986 1072893100 /nfs/dbraw/zinc/89/31/00/1072893100.db2.gz HFSADGKSVKLSIV-UHFFFAOYSA-N 0 0 432.458 -0.040 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1C[C@@H](C(=O)NCCOC)CC[C@H]1C)S(C)(=O)=O ZINC000556646352 1072893171 /nfs/dbraw/zinc/89/31/71/1072893171.db2.gz BQJQPFISRJNJHJ-CABCVRRESA-N 0 0 434.559 -0.836 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1C[C@@H](C(=O)NCCOC)CC[C@@H]1C)S(C)(=O)=O ZINC000556646357 1072893063 /nfs/dbraw/zinc/89/30/63/1072893063.db2.gz BQJQPFISRJNJHJ-GJZGRUSLSA-N 0 0 434.559 -0.836 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1C[C@H](C(=O)NCCOC)CC[C@H]1C)S(C)(=O)=O ZINC000556646358 1072893121 /nfs/dbraw/zinc/89/31/21/1072893121.db2.gz BQJQPFISRJNJHJ-HUUCEWRRSA-N 0 0 434.559 -0.836 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1C[C@H](C(=O)NCCOC)CC[C@@H]1C)S(C)(=O)=O ZINC000556646359 1072892948 /nfs/dbraw/zinc/89/29/48/1072892948.db2.gz BQJQPFISRJNJHJ-LSDHHAIUSA-N 0 0 434.559 -0.836 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@@H](c2ccc(C)cc2)N2CCOCC2)CCO1 ZINC000556646600 1072893164 /nfs/dbraw/zinc/89/31/64/1072893164.db2.gz HTZUYAYCHITXBC-PMACEKPBSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@@H](c2ccc(C)cc2)N2CCOCC2)CCO1 ZINC000556646602 1072892024 /nfs/dbraw/zinc/89/20/24/1072892024.db2.gz HTZUYAYCHITXBC-UXHICEINSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@H](c2ccc(C)cc2)N2CCOCC2)CCO1 ZINC000556646603 1072892152 /nfs/dbraw/zinc/89/21/52/1072892152.db2.gz HTZUYAYCHITXBC-VQTJNVASSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@H](c2ccc(C)cc2)N2CCOCC2)CCO1 ZINC000556646604 1072892097 /nfs/dbraw/zinc/89/20/97/1072892097.db2.gz HTZUYAYCHITXBC-WOJBJXKFSA-N 0 0 432.521 -0.152 20 0 IBADRN O=C(NCCCCn1ccnc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000556649168 1072892227 /nfs/dbraw/zinc/89/22/27/1072892227.db2.gz QOHXOTBXSOZQIT-INIZCTEOSA-N 0 0 427.527 -0.568 20 0 IBADRN O=C(NCCCCn1ccnc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000556649179 1072892059 /nfs/dbraw/zinc/89/20/59/1072892059.db2.gz QOHXOTBXSOZQIT-MRXNPFEDSA-N 0 0 427.527 -0.568 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3cccc4c3OCO4)CC2)no1 ZINC000556649238 1073329269 /nfs/dbraw/zinc/32/92/69/1073329269.db2.gz QWIVTCKSQYRJJR-UHFFFAOYSA-N 0 0 429.433 0.111 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCCN(Cc2cscn2)CC1)S(C)(=O)=O ZINC000556649313 1072892259 /nfs/dbraw/zinc/89/22/59/1072892259.db2.gz LBRSFOWUOKBOGN-UHFFFAOYSA-N 0 0 431.584 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccnc(C)n3)CC2)cc1 ZINC000556650014 1072894279 /nfs/dbraw/zinc/89/42/79/1072894279.db2.gz PNZJHDUDCGUJAU-UHFFFAOYSA-N 0 0 433.490 -0.057 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NCCCN(CC)S(C)(=O)=O)CC2)n1 ZINC000556650490 1072894140 /nfs/dbraw/zinc/89/41/40/1072894140.db2.gz RXDHSHAXFHQJGK-UHFFFAOYSA-N 0 0 432.572 -0.463 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1cccc(CS(C)(=O)=O)c1)S(C)(=O)=O ZINC000556650670 1072894150 /nfs/dbraw/zinc/89/41/50/1072894150.db2.gz VGGBJIRCVMLEJE-UHFFFAOYSA-N 0 0 433.552 -0.365 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCCc2cn(CCOC)nc21)S(C)(=O)=O ZINC000556651153 1070917266 /nfs/dbraw/zinc/91/72/66/1070917266.db2.gz CEEDQONGVGYXGZ-HNNXBMFYSA-N 0 0 429.543 -0.189 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCCc2cn(CCOC)nc21)S(C)(=O)=O ZINC000556651154 1072894222 /nfs/dbraw/zinc/89/42/22/1072894222.db2.gz CEEDQONGVGYXGZ-OAHLLOKOSA-N 0 0 429.543 -0.189 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(Cc2nc(C)c(C)o2)CC1)S(C)(=O)=O ZINC000556651558 1072894288 /nfs/dbraw/zinc/89/42/88/1072894288.db2.gz HOWVVHANXUNNLA-UHFFFAOYSA-N 0 0 429.543 -0.277 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1cccc(N2CCOCC2)n1)S(C)(=O)=O ZINC000556651862 1072894272 /nfs/dbraw/zinc/89/42/72/1072894272.db2.gz QQEPUPRAJKOFMV-UHFFFAOYSA-N 0 0 427.527 -0.678 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)S(C)(=O)=O ZINC000556652104 1072894100 /nfs/dbraw/zinc/89/41/00/1072894100.db2.gz YUAMDORZIUTWDX-AWEZNQCLSA-N 0 0 440.588 -0.905 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)S(C)(=O)=O ZINC000556652105 1072894194 /nfs/dbraw/zinc/89/41/94/1072894194.db2.gz YUAMDORZIUTWDX-CQSZACIVSA-N 0 0 440.588 -0.905 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000556654555 1072893032 /nfs/dbraw/zinc/89/30/32/1072893032.db2.gz HZECEENDEVKAOX-IBGZPJMESA-N 0 0 446.504 -0.634 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC000556654563 1072893127 /nfs/dbraw/zinc/89/31/27/1072893127.db2.gz HZECEENDEVKAOX-LJQANCHMSA-N 0 0 446.504 -0.634 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)C2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000556746157 1072900098 /nfs/dbraw/zinc/90/00/98/1072900098.db2.gz MJLUDSTZSMFULP-UHFFFAOYSA-N 0 0 441.554 -0.028 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N[C@@H](CN2CCOCC2)C(=O)OC)c1 ZINC000556776179 1072903705 /nfs/dbraw/zinc/90/37/05/1072903705.db2.gz YZNHCVCVQGCYBI-INIZCTEOSA-N 0 0 429.495 -0.785 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N[C@H](CN2CCOCC2)C(=O)OC)c1 ZINC000556776184 1072903497 /nfs/dbraw/zinc/90/34/97/1072903497.db2.gz YZNHCVCVQGCYBI-MRXNPFEDSA-N 0 0 429.495 -0.785 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)cn1 ZINC000556790827 1072904213 /nfs/dbraw/zinc/90/42/13/1072904213.db2.gz PBYRGNXOSNAHOM-GFCCVEGCSA-N 0 0 437.478 -0.358 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)cn1 ZINC000556790828 1072904369 /nfs/dbraw/zinc/90/43/69/1072904369.db2.gz PBYRGNXOSNAHOM-LBPRGKRZSA-N 0 0 437.478 -0.358 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000556791183 1072904146 /nfs/dbraw/zinc/90/41/46/1072904146.db2.gz IHUMCVVSLJGDGM-UHFFFAOYSA-N 0 0 444.579 -0.425 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000556791429 1072904078 /nfs/dbraw/zinc/90/40/78/1072904078.db2.gz XXMMSQFYKHSUNB-UHFFFAOYSA-N 0 0 440.494 -0.322 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)cn1 ZINC000556807798 1073354883 /nfs/dbraw/zinc/35/48/83/1073354883.db2.gz FBLHFMIYTMCICA-AWEZNQCLSA-N 0 0 429.481 -0.308 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)cn1 ZINC000556807799 1073354527 /nfs/dbraw/zinc/35/45/27/1073354527.db2.gz FBLHFMIYTMCICA-CQSZACIVSA-N 0 0 429.481 -0.308 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(CCNS(C)(=O)=O)C2CC2)c1 ZINC000556807980 1072906331 /nfs/dbraw/zinc/90/63/31/1072906331.db2.gz JTLPMFFSPHATCS-UHFFFAOYSA-N 0 0 446.551 -0.793 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)N2CC(=O)N(C)C(=O)C2)c(=O)n(-c2ccccc2)n1C ZINC000556818212 1072910494 /nfs/dbraw/zinc/91/04/94/1072910494.db2.gz MGCUNSMKNMICLG-UHFFFAOYSA-N 0 0 445.501 -0.017 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N1CC(=O)N(C)C(=O)C1 ZINC000556818348 1072910325 /nfs/dbraw/zinc/91/03/25/1072910325.db2.gz WNSXOSXGKMDCBM-UHFFFAOYSA-N 0 0 433.490 -0.104 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N[C@H]3CCc4nc(N)sc4C3)nc2n(C)c1=O ZINC000556829532 1073362151 /nfs/dbraw/zinc/36/21/51/1073362151.db2.gz NYDXHANLQHDPJJ-JTQLQIEISA-N 0 0 429.506 0.125 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCCn3cccnc3=O)cc2)CC1 ZINC000556878949 1072923914 /nfs/dbraw/zinc/92/39/14/1072923914.db2.gz CBLZLDREIWNQIG-UHFFFAOYSA-N 0 0 447.517 -0.084 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CC2)c1=O ZINC000556892612 1072924659 /nfs/dbraw/zinc/92/46/59/1072924659.db2.gz UEZKBMMYADBXAC-UHFFFAOYSA-N 0 0 431.478 -0.716 20 0 IBADRN CNC(=O)c1cc(O[C@H]2CCCN(C(=O)Cn3cnc4c(cnn4C)c3=O)C2)ccn1 ZINC000556904544 1072925283 /nfs/dbraw/zinc/92/52/83/1072925283.db2.gz MZHPOUXBJDBTCR-AWEZNQCLSA-N 0 0 425.449 -0.045 20 0 IBADRN CNC(=O)c1cc(O[C@@H]2CCCN(C(=O)Cn3cnc4c(cnn4C)c3=O)C2)ccn1 ZINC000556904546 1072925072 /nfs/dbraw/zinc/92/50/72/1072925072.db2.gz MZHPOUXBJDBTCR-CQSZACIVSA-N 0 0 425.449 -0.045 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12)C1CCN(S(C)(=O)=O)CC1 ZINC000556928270 1072926440 /nfs/dbraw/zinc/92/64/40/1072926440.db2.gz XPXBDGBXVNHQCF-UHFFFAOYSA-N 0 0 429.524 -0.276 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000556935536 1072926229 /nfs/dbraw/zinc/92/62/29/1072926229.db2.gz CCOFAMWRKSQZTL-GFCCVEGCSA-N 0 0 446.551 -0.625 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000556935538 1072926882 /nfs/dbraw/zinc/92/68/82/1072926882.db2.gz CCOFAMWRKSQZTL-LBPRGKRZSA-N 0 0 446.551 -0.625 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2cc(C)sc2C)CC1 ZINC000556936744 1072926796 /nfs/dbraw/zinc/92/67/96/1072926796.db2.gz STARHYXYFSJQGS-UHFFFAOYSA-N 0 0 438.597 -0.064 20 0 IBADRN COC(=O)C1CCC(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)(C(=O)OC)CC1 ZINC000556944674 1072926840 /nfs/dbraw/zinc/92/68/40/1072926840.db2.gz FHIZOEIOCSDGNG-UHFFFAOYSA-N 0 0 439.446 -0.230 20 0 IBADRN CC(C)C[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C(=O)Nc1nccs1 ZINC000556945354 1072926868 /nfs/dbraw/zinc/92/68/68/1072926868.db2.gz UXEXGDMPMQUUML-GFCCVEGCSA-N 0 0 439.585 -0.092 20 0 IBADRN CC(C)C[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C(=O)Nc1nccs1 ZINC000556945355 1072926893 /nfs/dbraw/zinc/92/68/93/1072926893.db2.gz UXEXGDMPMQUUML-LBPRGKRZSA-N 0 0 439.585 -0.092 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NC2CCN(c3nnnn3C)CC2)cc1 ZINC000556952504 1070929121 /nfs/dbraw/zinc/92/91/21/1070929121.db2.gz QCDDMQCGLUFWRA-UHFFFAOYSA-N 0 0 435.510 -0.134 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2O)CC1 ZINC000556957648 1072927344 /nfs/dbraw/zinc/92/73/44/1072927344.db2.gz UIMPDEUOPQRLRY-UHFFFAOYSA-N 0 0 432.524 -0.052 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000556966058 1070929937 /nfs/dbraw/zinc/92/99/37/1070929937.db2.gz FCNRXWASXCTRHD-UHFFFAOYSA-N 0 0 442.563 -0.234 20 0 IBADRN COCc1nc([C@H]2COCCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)no1 ZINC000556966076 1072928367 /nfs/dbraw/zinc/92/83/67/1072928367.db2.gz GNKUEHPUOLRICK-GFCCVEGCSA-N 0 0 436.450 -0.029 20 0 IBADRN COCc1nc([C@@H]2COCCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)no1 ZINC000556966077 1072928565 /nfs/dbraw/zinc/92/85/65/1072928565.db2.gz GNKUEHPUOLRICK-LBPRGKRZSA-N 0 0 436.450 -0.029 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CC[C@H](Nc2ccc3nccn3n2)C1 ZINC000556971318 1073338415 /nfs/dbraw/zinc/33/84/15/1073338415.db2.gz BNVPZLIKQYRXDB-AWEZNQCLSA-N 0 0 445.505 0.405 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CC[C@@H](Nc2ccc3nccn3n2)C1 ZINC000556971321 1073338329 /nfs/dbraw/zinc/33/83/29/1073338329.db2.gz BNVPZLIKQYRXDB-CQSZACIVSA-N 0 0 445.505 0.405 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000556972839 1072928614 /nfs/dbraw/zinc/92/86/14/1072928614.db2.gz AKXSZBVZYUWYIF-CYBMUJFWSA-N 0 0 446.551 -0.731 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000556972840 1072928510 /nfs/dbraw/zinc/92/85/10/1072928510.db2.gz AKXSZBVZYUWYIF-ZDUSSCGKSA-N 0 0 446.551 -0.731 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C)S(C)(=O)=O ZINC000556973327 1072929156 /nfs/dbraw/zinc/92/91/56/1072929156.db2.gz LCWYLCGTHNDLFU-GFCCVEGCSA-N 0 0 433.552 -0.071 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C)S(C)(=O)=O ZINC000556973328 1072929251 /nfs/dbraw/zinc/92/92/51/1072929251.db2.gz LCWYLCGTHNDLFU-LBPRGKRZSA-N 0 0 433.552 -0.071 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000556975306 1072929254 /nfs/dbraw/zinc/92/92/54/1072929254.db2.gz RXZPXWMYWZQXES-CYBMUJFWSA-N 0 0 426.495 -0.908 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000556975311 1072929071 /nfs/dbraw/zinc/92/90/71/1072929071.db2.gz RXZPXWMYWZQXES-ZDUSSCGKSA-N 0 0 426.495 -0.908 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C)[C@@H](C)CO ZINC000556975454 1072929265 /nfs/dbraw/zinc/92/92/65/1072929265.db2.gz SCALKWJZSMZHSF-KBPBESRZSA-N 0 0 429.539 -0.030 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C ZINC000556975472 1072929271 /nfs/dbraw/zinc/92/92/71/1072929271.db2.gz SCALKWJZSMZHSF-KGLIPLIRSA-N 0 0 429.539 -0.030 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C)[C@@H](C)CO ZINC000556975474 1072928995 /nfs/dbraw/zinc/92/89/95/1072928995.db2.gz SCALKWJZSMZHSF-UONOGXRCSA-N 0 0 429.539 -0.030 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C)[C@H](C)CO ZINC000556975475 1072929245 /nfs/dbraw/zinc/92/92/45/1072929245.db2.gz SCALKWJZSMZHSF-ZIAGYGMSSA-N 0 0 429.539 -0.030 20 0 IBADRN Nc1nnc(CNC(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)o1 ZINC000556980623 1072929718 /nfs/dbraw/zinc/92/97/18/1072929718.db2.gz RHLNIZXXTSILDN-UHFFFAOYSA-N 0 0 432.256 0.084 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccncc2)CC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000556982036 1072929115 /nfs/dbraw/zinc/92/91/15/1072929115.db2.gz MSDHLLIMHVKRDB-GOSISDBHSA-N 0 0 429.521 -0.017 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccncc2)CC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000556982039 1072929274 /nfs/dbraw/zinc/92/92/74/1072929274.db2.gz MSDHLLIMHVKRDB-SFHVURJKSA-N 0 0 429.521 -0.017 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(CN3CCO[C@@H](C)C3)c2)CC1 ZINC000556985713 1072930273 /nfs/dbraw/zinc/93/02/73/1072930273.db2.gz JZHNYSNLZYTBHM-KRWDZBQOSA-N 0 0 432.521 -0.159 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(CN3CCO[C@H](C)C3)c2)CC1 ZINC000556985787 1072930295 /nfs/dbraw/zinc/93/02/95/1072930295.db2.gz JZHNYSNLZYTBHM-QGZVFWFLSA-N 0 0 432.521 -0.159 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)C1 ZINC000556986193 1072930810 /nfs/dbraw/zinc/93/08/10/1072930810.db2.gz RDQZGVHJWUYYMH-CVEARBPZSA-N 0 0 438.506 -0.899 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000556986196 1072930743 /nfs/dbraw/zinc/93/07/43/1072930743.db2.gz RDQZGVHJWUYYMH-HOTGVXAUSA-N 0 0 438.506 -0.899 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)C1 ZINC000556986199 1072930266 /nfs/dbraw/zinc/93/02/66/1072930266.db2.gz RDQZGVHJWUYYMH-HZPDHXFCSA-N 0 0 438.506 -0.899 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000556986320 1072930211 /nfs/dbraw/zinc/93/02/11/1072930211.db2.gz RDQZGVHJWUYYMH-JKSUJKDBSA-N 0 0 438.506 -0.899 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000556986564 1072930259 /nfs/dbraw/zinc/93/02/59/1072930259.db2.gz QZKRNIWVMJTNLV-NEWSRXKRSA-N 0 0 441.532 -0.171 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000556986567 1072930291 /nfs/dbraw/zinc/93/02/91/1072930291.db2.gz QZKRNIWVMJTNLV-NZSAHSFTSA-N 0 0 441.532 -0.171 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000556986569 1072930205 /nfs/dbraw/zinc/93/02/05/1072930205.db2.gz QZKRNIWVMJTNLV-WDSOQIARSA-N 0 0 441.532 -0.171 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000556986571 1072930286 /nfs/dbraw/zinc/93/02/86/1072930286.db2.gz QZKRNIWVMJTNLV-YTQUADARSA-N 0 0 441.532 -0.171 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000556986688 1072930176 /nfs/dbraw/zinc/93/01/76/1072930176.db2.gz XEKHKXINKQGGQK-AWEZNQCLSA-N 0 0 429.477 -0.998 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000556986692 1072930225 /nfs/dbraw/zinc/93/02/25/1072930225.db2.gz XEKHKXINKQGGQK-CQSZACIVSA-N 0 0 429.477 -0.998 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(CN3CCO[C@H](C)C3)c2)CC1 ZINC000556987168 1072930756 /nfs/dbraw/zinc/93/07/56/1072930756.db2.gz XFJIELQSLBTYMP-GOSISDBHSA-N 0 0 445.564 -0.196 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(CN3CCO[C@@H](C)C3)c2)CC1 ZINC000556987169 1072930698 /nfs/dbraw/zinc/93/06/98/1072930698.db2.gz XFJIELQSLBTYMP-SFHVURJKSA-N 0 0 445.564 -0.196 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H](C)CN2CCCC2=O)c1 ZINC000556989945 1072930617 /nfs/dbraw/zinc/93/06/17/1072930617.db2.gz RIBQNMFGTCGWFZ-AWEZNQCLSA-N 0 0 440.522 -0.645 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H](C)CN2CCCC2=O)c1 ZINC000556989953 1072930789 /nfs/dbraw/zinc/93/07/89/1072930789.db2.gz RIBQNMFGTCGWFZ-CQSZACIVSA-N 0 0 440.522 -0.645 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1 ZINC000556991983 1072929741 /nfs/dbraw/zinc/92/97/41/1072929741.db2.gz CTFFVUGVJKUYAT-UHFFFAOYSA-N 0 0 443.478 -0.590 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000556992462 1072932098 /nfs/dbraw/zinc/93/20/98/1072932098.db2.gz IRGLZYXIMUTXFJ-UHFFFAOYSA-N 0 0 433.509 -0.510 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000556992754 1073331699 /nfs/dbraw/zinc/33/16/99/1073331699.db2.gz DIZNPUNGQPTMFT-UHFFFAOYSA-N 0 0 444.492 0.566 20 0 IBADRN COCCN(CC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000556995014 1072931931 /nfs/dbraw/zinc/93/19/31/1072931931.db2.gz YDSNHIWAAWNBMC-CYBMUJFWSA-N 0 0 430.527 -0.897 20 0 IBADRN COCCN(CC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000556995015 1072932183 /nfs/dbraw/zinc/93/21/83/1072932183.db2.gz YDSNHIWAAWNBMC-ZDUSSCGKSA-N 0 0 430.527 -0.897 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)ccn2)CC1 ZINC000556995466 1072932056 /nfs/dbraw/zinc/93/20/56/1072932056.db2.gz HXVGRBUGQVKTPB-DOTOQJQBSA-N 0 0 428.493 -0.323 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)ccn2)CC1 ZINC000556995468 1072931949 /nfs/dbraw/zinc/93/19/49/1072931949.db2.gz HXVGRBUGQVKTPB-NVXWUHKLSA-N 0 0 428.493 -0.323 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)ccn2)CC1 ZINC000556995469 1072932120 /nfs/dbraw/zinc/93/21/20/1072932120.db2.gz HXVGRBUGQVKTPB-RDJZCZTQSA-N 0 0 428.493 -0.323 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)ccn2)CC1 ZINC000556995470 1072932207 /nfs/dbraw/zinc/93/22/07/1072932207.db2.gz HXVGRBUGQVKTPB-WBVHZDCISA-N 0 0 428.493 -0.323 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CC1 ZINC000556996352 1072932166 /nfs/dbraw/zinc/93/21/66/1072932166.db2.gz CAHPBXCSOULWDI-UHFFFAOYSA-N 0 0 443.548 -0.132 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)NC2CCN(c3nccs3)CC2)CC1 ZINC000557000612 1072932141 /nfs/dbraw/zinc/93/21/41/1072932141.db2.gz HDYSQKQTIGFZIJ-UHFFFAOYSA-N 0 0 434.522 -0.823 20 0 IBADRN COC[C@@H](C)CNC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000557000785 1072932075 /nfs/dbraw/zinc/93/20/75/1072932075.db2.gz KCJHBJRSBVERBW-DOTOQJQBSA-N 0 0 434.541 -0.233 20 0 IBADRN COC[C@H](C)CNC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000557000787 1072932155 /nfs/dbraw/zinc/93/21/55/1072932155.db2.gz KCJHBJRSBVERBW-NVXWUHKLSA-N 0 0 434.541 -0.233 20 0 IBADRN COC[C@@H](C)CNC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000557000789 1072931970 /nfs/dbraw/zinc/93/19/70/1072931970.db2.gz KCJHBJRSBVERBW-RDJZCZTQSA-N 0 0 434.541 -0.233 20 0 IBADRN COC[C@H](C)CNC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000557000791 1072932083 /nfs/dbraw/zinc/93/20/83/1072932083.db2.gz KCJHBJRSBVERBW-WBVHZDCISA-N 0 0 434.541 -0.233 20 0 IBADRN COC[C@@H](C)CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000557001348 1072932553 /nfs/dbraw/zinc/93/25/53/1072932553.db2.gz XYBDVTXCBYLBBX-HNNXBMFYSA-N 0 0 426.539 -0.362 20 0 IBADRN COC[C@H](C)CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000557001349 1072932738 /nfs/dbraw/zinc/93/27/38/1072932738.db2.gz XYBDVTXCBYLBBX-OAHLLOKOSA-N 0 0 426.539 -0.362 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)C1 ZINC000557008174 1072932592 /nfs/dbraw/zinc/93/25/92/1072932592.db2.gz OSFVDTNJBAQLTF-HNNXBMFYSA-N 0 0 448.295 -0.749 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)C1 ZINC000557008188 1072932729 /nfs/dbraw/zinc/93/27/29/1072932729.db2.gz OSFVDTNJBAQLTF-OAHLLOKOSA-N 0 0 448.295 -0.749 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCOC)c(C(=O)N2CC[C@@](O)(C(N)=O)C2)c1 ZINC000557008435 1072932686 /nfs/dbraw/zinc/93/26/86/1072932686.db2.gz YUBZUAAOHKZNOJ-IBGZPJMESA-N 0 0 442.538 -0.162 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCOC)c(C(=O)N2CC[C@](O)(C(N)=O)C2)c1 ZINC000557008436 1072932697 /nfs/dbraw/zinc/93/26/97/1072932697.db2.gz YUBZUAAOHKZNOJ-LJQANCHMSA-N 0 0 442.538 -0.162 20 0 IBADRN COc1cc(CN2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1OCC(=O)N1CCOCC1 ZINC000557008790 1072932704 /nfs/dbraw/zinc/93/27/04/1072932704.db2.gz DZUZPZLUYGMZLM-INIZCTEOSA-N 0 0 432.477 -0.925 20 0 IBADRN COc1cc(CN2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1OCC(=O)N1CCOCC1 ZINC000557008810 1072932663 /nfs/dbraw/zinc/93/26/63/1072932663.db2.gz DZUZPZLUYGMZLM-MRXNPFEDSA-N 0 0 432.477 -0.925 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NC1CCN(c2nccs2)CC1 ZINC000557009858 1072932508 /nfs/dbraw/zinc/93/25/08/1072932508.db2.gz QBTKIGFULQPOFU-UHFFFAOYSA-N 0 0 447.608 -0.277 20 0 IBADRN Cc1[nH]c(=O)c(Br)cc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000557010543 1072933137 /nfs/dbraw/zinc/93/31/37/1072933137.db2.gz FYZYSRCDQJAXBL-UHFFFAOYSA-N 0 0 439.270 -0.039 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@@](O)(C(N)=O)C1 ZINC000557010745 1072933188 /nfs/dbraw/zinc/93/31/88/1072933188.db2.gz QTNWWXGEZTYCGM-APWZRJJASA-N 0 0 441.506 -0.401 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@@](O)(C(N)=O)C1 ZINC000557010746 1072933151 /nfs/dbraw/zinc/93/31/51/1072933151.db2.gz QTNWWXGEZTYCGM-LPHOPBHVSA-N 0 0 441.506 -0.401 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@](O)(C(N)=O)C1 ZINC000557010747 1072933351 /nfs/dbraw/zinc/93/33/51/1072933351.db2.gz QTNWWXGEZTYCGM-QFBILLFUSA-N 0 0 441.506 -0.401 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@](O)(C(N)=O)C1 ZINC000557010748 1072933334 /nfs/dbraw/zinc/93/33/34/1072933334.db2.gz QTNWWXGEZTYCGM-VQIMIIECSA-N 0 0 441.506 -0.401 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2Cc2ccccc2)C1 ZINC000557011617 1072933392 /nfs/dbraw/zinc/93/33/92/1072933392.db2.gz MDFUJHVOWFKTIO-AUSIDOKSSA-N 0 0 436.468 -0.051 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2Cc2ccccc2)C1 ZINC000557011623 1072933197 /nfs/dbraw/zinc/93/31/97/1072933197.db2.gz MDFUJHVOWFKTIO-CVDCTZTESA-N 0 0 436.468 -0.051 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2Cc2ccccc2)C1 ZINC000557011625 1072933410 /nfs/dbraw/zinc/93/34/10/1072933410.db2.gz MDFUJHVOWFKTIO-WMZHIEFXSA-N 0 0 436.468 -0.051 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2Cc2ccccc2)C1 ZINC000557011627 1072933105 /nfs/dbraw/zinc/93/31/05/1072933105.db2.gz MDFUJHVOWFKTIO-XXBNENTESA-N 0 0 436.468 -0.051 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC3CCN(c4nccs4)CC3)CC2)c1=O ZINC000557011766 1072933384 /nfs/dbraw/zinc/93/33/84/1072933384.db2.gz KRYWEXZNDISQIG-UHFFFAOYSA-N 0 0 431.522 -0.329 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000557018763 1072934404 /nfs/dbraw/zinc/93/44/04/1072934404.db2.gz FRKFIEAHYRELBE-RTBURBONSA-N 0 0 430.505 -0.249 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000557020177 1072933866 /nfs/dbraw/zinc/93/38/66/1072933866.db2.gz NAGWSBZTGRCNPG-GOSISDBHSA-N 0 0 434.537 -0.149 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000557020180 1072933774 /nfs/dbraw/zinc/93/37/74/1072933774.db2.gz NAGWSBZTGRCNPG-SFHVURJKSA-N 0 0 434.537 -0.149 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000557020276 1072933731 /nfs/dbraw/zinc/93/37/31/1072933731.db2.gz PGMGRUMRVKQGKR-INIZCTEOSA-N 0 0 441.550 -0.095 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000557020277 1072933719 /nfs/dbraw/zinc/93/37/19/1072933719.db2.gz PGMGRUMRVKQGKR-MRXNPFEDSA-N 0 0 441.550 -0.095 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)CCO1 ZINC000557021357 1072933703 /nfs/dbraw/zinc/93/37/03/1072933703.db2.gz OZTDMZLYFPCBGN-IBGZPJMESA-N 0 0 448.520 -0.623 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)CCO1 ZINC000557021358 1072933818 /nfs/dbraw/zinc/93/38/18/1072933818.db2.gz OZTDMZLYFPCBGN-LJQANCHMSA-N 0 0 448.520 -0.623 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCc1ccccc1OCCN1CCOCC1)C2 ZINC000557021401 1072933590 /nfs/dbraw/zinc/93/35/90/1072933590.db2.gz QRMIETGWUUYYTL-UHFFFAOYSA-N 0 0 428.493 -0.044 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)CC1 ZINC000557021652 1072933639 /nfs/dbraw/zinc/93/36/39/1072933639.db2.gz SLRYVAOEEFDYFS-UHFFFAOYSA-N 0 0 434.537 -0.196 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000557021872 1072933829 /nfs/dbraw/zinc/93/38/29/1072933829.db2.gz KCTXDSFUQYJQHU-UHFFFAOYSA-N 0 0 434.537 -0.196 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)cn1 ZINC000557022248 1072933617 /nfs/dbraw/zinc/93/36/17/1072933617.db2.gz LWMZMVXOAXROKN-UHFFFAOYSA-N 0 0 441.488 -0.176 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000557025058 1072934866 /nfs/dbraw/zinc/93/48/66/1072934866.db2.gz IWHJMQUQTYDJSR-UHFFFAOYSA-N 0 0 435.572 -0.203 20 0 IBADRN C[C@H](CNC(=O)[C@](C)(O)Cn1ccccc1=O)N(C)C(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000557028099 1072935354 /nfs/dbraw/zinc/93/53/54/1072935354.db2.gz WZWKGXQHMQVBBZ-HVETUWLQSA-N 0 0 446.504 -0.825 20 0 IBADRN C[C@H](CNC(=O)[C@](C)(O)Cn1ccccc1=O)N(C)C(=O)[C@](C)(O)Cn1ccccc1=O ZINC000557028103 1072935482 /nfs/dbraw/zinc/93/54/82/1072935482.db2.gz WZWKGXQHMQVBBZ-NPFVIJTESA-N 0 0 446.504 -0.825 20 0 IBADRN C[C@H](CNC(=O)[C@@](C)(O)Cn1ccccc1=O)N(C)C(=O)[C@](C)(O)Cn1ccccc1=O ZINC000557028104 1072935394 /nfs/dbraw/zinc/93/53/94/1072935394.db2.gz WZWKGXQHMQVBBZ-URZJWIJPSA-N 0 0 446.504 -0.825 20 0 IBADRN C[C@H](CNC(=O)[C@@](C)(O)Cn1ccccc1=O)N(C)C(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000557028108 1072935461 /nfs/dbraw/zinc/93/54/61/1072935461.db2.gz WZWKGXQHMQVBBZ-XGRCMKMKSA-N 0 0 446.504 -0.825 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC000557030139 1072935510 /nfs/dbraw/zinc/93/55/10/1072935510.db2.gz CUOXBISDSKQXDB-UHFFFAOYSA-N 0 0 434.540 -0.730 20 0 IBADRN COCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000557038625 1072936453 /nfs/dbraw/zinc/93/64/53/1072936453.db2.gz MZUUWXFAWCHFKY-UHFFFAOYSA-N 0 0 427.508 -0.323 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Nc2nc3nc(C)cc(C)n3n2)c1 ZINC000557042199 1073317974 /nfs/dbraw/zinc/31/79/74/1073317974.db2.gz OVPRUYXUTRNVNN-UHFFFAOYSA-N 0 0 432.466 0.409 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000557045769 1072937056 /nfs/dbraw/zinc/93/70/56/1072937056.db2.gz NRESCGVLYHSPBA-IBGZPJMESA-N 0 0 449.527 -0.405 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000557045775 1072936887 /nfs/dbraw/zinc/93/68/87/1072936887.db2.gz NRESCGVLYHSPBA-LJQANCHMSA-N 0 0 449.527 -0.405 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NCc2cc(C(N)=O)no2)N2CCOCC2)cc1 ZINC000557046530 1072936788 /nfs/dbraw/zinc/93/67/88/1072936788.db2.gz QSZKJTYOIGVBOA-KRWDZBQOSA-N 0 0 431.449 -0.412 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NCc2cc(C(N)=O)no2)N2CCOCC2)cc1 ZINC000557046531 1072936802 /nfs/dbraw/zinc/93/68/02/1072936802.db2.gz QSZKJTYOIGVBOA-QGZVFWFLSA-N 0 0 431.449 -0.412 20 0 IBADRN COc1ccc(CCCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1OC ZINC000557051473 1072936402 /nfs/dbraw/zinc/93/64/02/1072936402.db2.gz CMCMINWCMAMVQD-UHFFFAOYSA-N 0 0 445.480 -0.890 20 0 IBADRN CC[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(S(N)(=O)=O)c1 ZINC000557052226 1072936319 /nfs/dbraw/zinc/93/63/19/1072936319.db2.gz PSYKRNFBMFNRAB-CYBMUJFWSA-N 0 0 434.478 -0.651 20 0 IBADRN CC[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(S(N)(=O)=O)c1 ZINC000557052231 1072936961 /nfs/dbraw/zinc/93/69/61/1072936961.db2.gz PSYKRNFBMFNRAB-ZDUSSCGKSA-N 0 0 434.478 -0.651 20 0 IBADRN COc1ccc(CCCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1OC ZINC000557052494 1072936306 /nfs/dbraw/zinc/93/63/06/1072936306.db2.gz YFJMHPIGGMIGNW-UHFFFAOYSA-N 0 0 446.504 -0.298 20 0 IBADRN CC[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1cccc(S(N)(=O)=O)c1 ZINC000557053056 1072937048 /nfs/dbraw/zinc/93/70/48/1072937048.db2.gz ZPCXBSWUMGAMID-CYBMUJFWSA-N 0 0 434.478 -0.651 20 0 IBADRN CC[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1cccc(S(N)(=O)=O)c1 ZINC000557053057 1072937053 /nfs/dbraw/zinc/93/70/53/1072937053.db2.gz ZPCXBSWUMGAMID-ZDUSSCGKSA-N 0 0 434.478 -0.651 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000557053304 1073361070 /nfs/dbraw/zinc/36/10/70/1073361070.db2.gz BORPRPAXNMMFIE-JTQLQIEISA-N 0 0 439.523 -0.706 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000557053305 1073358244 /nfs/dbraw/zinc/35/82/44/1073358244.db2.gz BORPRPAXNMMFIE-SNVBAGLBSA-N 0 0 439.523 -0.706 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)nnn1Cc1ccccc1F ZINC000557060064 1072937301 /nfs/dbraw/zinc/93/73/01/1072937301.db2.gz MPYOHESKLPVMFG-UHFFFAOYSA-N 0 0 431.472 -0.007 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccc(C(=O)N4CCOCC4)cc3)CC2)cn1 ZINC000557060929 1072937356 /nfs/dbraw/zinc/93/73/56/1072937356.db2.gz USDDESJZJIWLRG-UHFFFAOYSA-N 0 0 440.504 -0.143 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1F ZINC000557064171 1072938572 /nfs/dbraw/zinc/93/85/72/1072938572.db2.gz LSVWASFLPSCEHJ-NRFANRHFSA-N 0 0 447.467 -0.183 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1F ZINC000557064172 1072938633 /nfs/dbraw/zinc/93/86/33/1072938633.db2.gz LSVWASFLPSCEHJ-OAQYLSRUSA-N 0 0 447.467 -0.183 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)c1 ZINC000557064177 1072938694 /nfs/dbraw/zinc/93/86/94/1072938694.db2.gz LYTGXWFTPKJGLF-GASCZTMLSA-N 0 0 434.474 -0.399 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O)[C@H](C)N1CCOCC1 ZINC000557064313 1072938504 /nfs/dbraw/zinc/93/85/04/1072938504.db2.gz WNROTHXWTBHDJH-CVEARBPZSA-N 0 0 437.541 -0.027 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O)[C@H](C)N1CCOCC1 ZINC000557064314 1072938441 /nfs/dbraw/zinc/93/84/41/1072938441.db2.gz WNROTHXWTBHDJH-HOTGVXAUSA-N 0 0 437.541 -0.027 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O)[C@@H](C)N1CCOCC1 ZINC000557064315 1072938681 /nfs/dbraw/zinc/93/86/81/1072938681.db2.gz WNROTHXWTBHDJH-HZPDHXFCSA-N 0 0 437.541 -0.027 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O)N1CCOCC1 ZINC000557064316 1072938646 /nfs/dbraw/zinc/93/86/46/1072938646.db2.gz WNROTHXWTBHDJH-JKSUJKDBSA-N 0 0 437.541 -0.027 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000557066258 1072938116 /nfs/dbraw/zinc/93/81/16/1072938116.db2.gz QDNBGFSQOJLPNL-GDBMZVCRSA-N 0 0 433.552 -0.253 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000557066259 1072938004 /nfs/dbraw/zinc/93/80/04/1072938004.db2.gz QDNBGFSQOJLPNL-GOEBONIOSA-N 0 0 433.552 -0.253 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000557066260 1072937910 /nfs/dbraw/zinc/93/79/10/1072937910.db2.gz QDNBGFSQOJLPNL-HOCLYGCPSA-N 0 0 433.552 -0.253 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000557066261 1072938078 /nfs/dbraw/zinc/93/80/78/1072938078.db2.gz QDNBGFSQOJLPNL-ZBFHGGJFSA-N 0 0 433.552 -0.253 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000557067884 1072938020 /nfs/dbraw/zinc/93/80/20/1072938020.db2.gz GQEJFYRWDGVLJI-UHFFFAOYSA-N 0 0 441.535 -0.132 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000557068424 1072938089 /nfs/dbraw/zinc/93/80/89/1072938089.db2.gz KWEYPTFZKQLDIT-KRWDZBQOSA-N 0 0 442.513 -0.581 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000557068427 1072937877 /nfs/dbraw/zinc/93/78/77/1072937877.db2.gz KWEYPTFZKQLDIT-QGZVFWFLSA-N 0 0 442.513 -0.581 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC000557073189 1072938484 /nfs/dbraw/zinc/93/84/84/1072938484.db2.gz LYUPMZDDBWUKAU-INIZCTEOSA-N 0 0 433.552 -0.205 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC000557073203 1072938563 /nfs/dbraw/zinc/93/85/63/1072938563.db2.gz LYUPMZDDBWUKAU-MRXNPFEDSA-N 0 0 433.552 -0.205 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000557075122 1072939062 /nfs/dbraw/zinc/93/90/62/1072939062.db2.gz ASSIEYSWPCZHNS-GFCCVEGCSA-N 0 0 437.522 -0.309 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000557075123 1072938952 /nfs/dbraw/zinc/93/89/52/1072938952.db2.gz ASSIEYSWPCZHNS-LBPRGKRZSA-N 0 0 437.522 -0.309 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1)S(C)(=O)=O ZINC000557078781 1072939540 /nfs/dbraw/zinc/93/95/40/1072939540.db2.gz GMOVPEFVIDXOEV-CYBMUJFWSA-N 0 0 425.511 -0.831 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C1)S(C)(=O)=O ZINC000557078786 1072939630 /nfs/dbraw/zinc/93/96/30/1072939630.db2.gz GMOVPEFVIDXOEV-ZDUSSCGKSA-N 0 0 425.511 -0.831 20 0 IBADRN CCS(=O)(=O)c1ccc(N2CCC(NC(=O)C(=O)N3CCNC(=O)[C@@H]3C)CC2)cc1 ZINC000557081738 1072939026 /nfs/dbraw/zinc/93/90/26/1072939026.db2.gz ZSDJGUBOJBYXMT-AWEZNQCLSA-N 0 0 436.534 -0.088 20 0 IBADRN CCS(=O)(=O)c1ccc(N2CCC(NC(=O)C(=O)N3CCNC(=O)[C@H]3C)CC2)cc1 ZINC000557081739 1072938935 /nfs/dbraw/zinc/93/89/35/1072938935.db2.gz ZSDJGUBOJBYXMT-CQSZACIVSA-N 0 0 436.534 -0.088 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CN2C(=O)NC(=O)C2(C)C)CC3)CC1 ZINC000557083201 1072939590 /nfs/dbraw/zinc/93/95/90/1072939590.db2.gz AXXCTQHOFWUDBW-AWEZNQCLSA-N 0 0 445.524 -0.720 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CN2C(=O)NC(=O)C2(C)C)CC3)CC1 ZINC000557083203 1072939576 /nfs/dbraw/zinc/93/95/76/1072939576.db2.gz AXXCTQHOFWUDBW-CQSZACIVSA-N 0 0 445.524 -0.720 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)CC1 ZINC000557083285 1072939620 /nfs/dbraw/zinc/93/96/20/1072939620.db2.gz DBRXQCWFZNJFHN-UHFFFAOYSA-N 0 0 438.550 -0.365 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCCS(=O)(=O)c1ccccc1 ZINC000557084596 1072939655 /nfs/dbraw/zinc/93/96/55/1072939655.db2.gz QFXOTTIEDWMCCS-AWEZNQCLSA-N 0 0 431.536 -0.493 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCCS(=O)(=O)c1ccccc1 ZINC000557084600 1072939600 /nfs/dbraw/zinc/93/96/00/1072939600.db2.gz QFXOTTIEDWMCCS-CQSZACIVSA-N 0 0 431.536 -0.493 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)CC1 ZINC000557085072 1072939523 /nfs/dbraw/zinc/93/95/23/1072939523.db2.gz UYKOVJOUHQRXNP-UHFFFAOYSA-N 0 0 431.536 -0.540 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCC(=O)Nc1ccc(I)cc1 ZINC000557089379 1074683676 /nfs/dbraw/zinc/68/36/76/1074683676.db2.gz NMCBMPIBSZNPCT-UHFFFAOYSA-N 0 0 432.218 -0.402 20 0 IBADRN CNS(=O)(=O)NCC(=O)NCC(=O)Nc1ccc(I)cc1 ZINC000557089723 1074683770 /nfs/dbraw/zinc/68/37/70/1074683770.db2.gz SVSRTQPHRKDYKC-UHFFFAOYSA-N 0 0 426.236 -0.600 20 0 IBADRN O=C(NCCCS(=O)(=O)c1ccccc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000557090363 1074683758 /nfs/dbraw/zinc/68/37/58/1074683758.db2.gz YCETXYKCEKPMBB-KRWDZBQOSA-N 0 0 437.518 -0.184 20 0 IBADRN O=C(NCCCS(=O)(=O)c1ccccc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000557090364 1074683766 /nfs/dbraw/zinc/68/37/66/1074683766.db2.gz YCETXYKCEKPMBB-QGZVFWFLSA-N 0 0 437.518 -0.184 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000557093550 1074683601 /nfs/dbraw/zinc/68/36/01/1074683601.db2.gz SRDFAZOMNFVTTL-DOTOQJQBSA-N 0 0 438.550 -0.012 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000557093551 1074683716 /nfs/dbraw/zinc/68/37/16/1074683716.db2.gz SRDFAZOMNFVTTL-NVXWUHKLSA-N 0 0 438.550 -0.012 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000557093552 1074683506 /nfs/dbraw/zinc/68/35/06/1074683506.db2.gz SRDFAZOMNFVTTL-RDJZCZTQSA-N 0 0 438.550 -0.012 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000557093553 1074683531 /nfs/dbraw/zinc/68/35/31/1074683531.db2.gz SRDFAZOMNFVTTL-WBVHZDCISA-N 0 0 438.550 -0.012 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CCCN(C(=O)N4CCCC4)C3)c2c(=O)n(C)c1=O ZINC000557095025 1074683650 /nfs/dbraw/zinc/68/36/50/1074683650.db2.gz WRWDTYAEPINKEC-AWEZNQCLSA-N 0 0 431.497 -0.380 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CCCN(C(=O)N4CCCC4)C3)c2c(=O)n(C)c1=O ZINC000557095026 1074683589 /nfs/dbraw/zinc/68/35/89/1074683589.db2.gz WRWDTYAEPINKEC-CQSZACIVSA-N 0 0 431.497 -0.380 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000557099031 1074683656 /nfs/dbraw/zinc/68/36/56/1074683656.db2.gz JPDWQZOHIANFCS-UHFFFAOYSA-N 0 0 425.449 -0.018 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000557100733 1074683543 /nfs/dbraw/zinc/68/35/43/1074683543.db2.gz QBEGCKQSPPHAMO-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN CCn1cnnc1NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000557103415 1074683708 /nfs/dbraw/zinc/68/37/08/1074683708.db2.gz BGZDYZLVCWZEDN-GFCCVEGCSA-N 0 0 438.466 -0.380 20 0 IBADRN CCn1cnnc1NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000557103423 1074683613 /nfs/dbraw/zinc/68/36/13/1074683613.db2.gz BGZDYZLVCWZEDN-LBPRGKRZSA-N 0 0 438.466 -0.380 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)CNS(=O)(=O)c1cn(C)cn1)C2 ZINC000557111223 1074684167 /nfs/dbraw/zinc/68/41/67/1074684167.db2.gz IUXPCVKYSWMGLN-UHFFFAOYSA-N 0 0 441.535 -0.466 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCOC[C@@H]2CCCO2)CC1 ZINC000557118732 1074684195 /nfs/dbraw/zinc/68/41/95/1074684195.db2.gz UQOOYJMOMTZBMM-KRWDZBQOSA-N 0 0 448.586 -0.191 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCOC[C@H]2CCCO2)CC1 ZINC000557118736 1074684098 /nfs/dbraw/zinc/68/40/98/1074684098.db2.gz UQOOYJMOMTZBMM-QGZVFWFLSA-N 0 0 448.586 -0.191 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000557120692 1074684116 /nfs/dbraw/zinc/68/41/16/1074684116.db2.gz FCTQLNYEFPFPEE-KRWDZBQOSA-N 0 0 448.524 -0.726 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000557120703 1074684174 /nfs/dbraw/zinc/68/41/74/1074684174.db2.gz FCTQLNYEFPFPEE-QGZVFWFLSA-N 0 0 448.524 -0.726 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCOC[C@H]2CCCO2)cn1 ZINC000557120842 1074684203 /nfs/dbraw/zinc/68/42/03/1074684203.db2.gz HMMDUXZBNPFFTL-CVEARBPZSA-N 0 0 428.511 -0.487 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCOC[C@@H]2CCCO2)cn1 ZINC000557120846 1074684163 /nfs/dbraw/zinc/68/41/63/1074684163.db2.gz HMMDUXZBNPFFTL-HOTGVXAUSA-N 0 0 428.511 -0.487 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCOC[C@H]2CCCO2)cn1 ZINC000557120847 1074684080 /nfs/dbraw/zinc/68/40/80/1074684080.db2.gz HMMDUXZBNPFFTL-HZPDHXFCSA-N 0 0 428.511 -0.487 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCOC[C@@H]2CCCO2)cn1 ZINC000557120848 1074684128 /nfs/dbraw/zinc/68/41/28/1074684128.db2.gz HMMDUXZBNPFFTL-JKSUJKDBSA-N 0 0 428.511 -0.487 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCOC[C@H]3CCCO3)no2)cn1 ZINC000557120876 1074684200 /nfs/dbraw/zinc/68/42/00/1074684200.db2.gz IAGBXCTXDGMRTR-CABCVRRESA-N 0 0 434.453 -0.318 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCOC[C@@H]3CCCO3)no2)cn1 ZINC000557120878 1074684225 /nfs/dbraw/zinc/68/42/25/1074684225.db2.gz IAGBXCTXDGMRTR-GJZGRUSLSA-N 0 0 434.453 -0.318 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCOC[C@H]3CCCO3)no2)cn1 ZINC000557120879 1074684103 /nfs/dbraw/zinc/68/41/03/1074684103.db2.gz IAGBXCTXDGMRTR-HUUCEWRRSA-N 0 0 434.453 -0.318 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCOC[C@@H]3CCCO3)no2)cn1 ZINC000557120880 1074684157 /nfs/dbraw/zinc/68/41/57/1074684157.db2.gz IAGBXCTXDGMRTR-LSDHHAIUSA-N 0 0 434.453 -0.318 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000557122117 1074684209 /nfs/dbraw/zinc/68/42/09/1074684209.db2.gz RDFDOVGODFAZIQ-UHFFFAOYSA-N 0 0 429.477 -0.747 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000557125789 1074684741 /nfs/dbraw/zinc/68/47/41/1074684741.db2.gz HKXMUULWZJIERJ-UHFFFAOYSA-N 0 0 433.552 -0.223 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000557130801 1074684761 /nfs/dbraw/zinc/68/47/61/1074684761.db2.gz VQUYZWRXIXPGIG-UHFFFAOYSA-N 0 0 427.527 -0.274 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCOC[C@@H]2CCCO2)CC1)NCc1ccccc1 ZINC000557131680 1074684776 /nfs/dbraw/zinc/68/47/76/1074684776.db2.gz FNOYAMKMVDOYEC-IBGZPJMESA-N 0 0 432.521 -0.241 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCOC[C@H]2CCCO2)CC1)NCc1ccccc1 ZINC000557131681 1074684721 /nfs/dbraw/zinc/68/47/21/1074684721.db2.gz FNOYAMKMVDOYEC-LJQANCHMSA-N 0 0 432.521 -0.241 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCCOC[C@@H]3CCCO3)C2)no1 ZINC000557132732 1074684745 /nfs/dbraw/zinc/68/47/45/1074684745.db2.gz DICYMIXPOXJHPZ-CVEARBPZSA-N 0 0 437.497 -0.107 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCCOC[C@@H]3CCCO3)C2)no1 ZINC000557132733 1074684769 /nfs/dbraw/zinc/68/47/69/1074684769.db2.gz DICYMIXPOXJHPZ-HOTGVXAUSA-N 0 0 437.497 -0.107 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCCOC[C@H]3CCCO3)C2)no1 ZINC000557132734 1074684755 /nfs/dbraw/zinc/68/47/55/1074684755.db2.gz DICYMIXPOXJHPZ-HZPDHXFCSA-N 0 0 437.497 -0.107 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCCOC[C@H]3CCCO3)C2)no1 ZINC000557132735 1074684758 /nfs/dbraw/zinc/68/47/58/1074684758.db2.gz DICYMIXPOXJHPZ-JKSUJKDBSA-N 0 0 437.497 -0.107 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(OCC4CC4)nc3)CC2)c1=O ZINC000557134018 1074684782 /nfs/dbraw/zinc/68/47/82/1074684782.db2.gz URMGVIHINUERIH-UHFFFAOYSA-N 0 0 426.477 -0.071 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccc(OCC2CC2)nc1 ZINC000557135004 1074684771 /nfs/dbraw/zinc/68/47/71/1074684771.db2.gz IEPMCUFCGPTVNE-UHFFFAOYSA-N 0 0 442.563 -0.019 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCCC1 ZINC000557138123 1074685213 /nfs/dbraw/zinc/68/52/13/1074685213.db2.gz CCQXPONJSOALPE-UHFFFAOYSA-N 0 0 443.547 -0.106 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)CNS(=O)(=O)CCOC(C)C)C2 ZINC000557138743 1074685247 /nfs/dbraw/zinc/68/52/47/1074685247.db2.gz COQWPUFIOVWKJS-UHFFFAOYSA-N 0 0 433.552 -0.176 20 0 IBADRN CCc1c(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000557139189 1074685273 /nfs/dbraw/zinc/68/52/73/1074685273.db2.gz FWCFWCBEXSAUGK-GFCCVEGCSA-N 0 0 437.522 -0.262 20 0 IBADRN CCc1c(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000557139192 1074685268 /nfs/dbraw/zinc/68/52/68/1074685268.db2.gz FWCFWCBEXSAUGK-LBPRGKRZSA-N 0 0 437.522 -0.262 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc(OCC3CC3)nc2)CC1 ZINC000557141533 1074685261 /nfs/dbraw/zinc/68/52/61/1074685261.db2.gz RWDZDKKOVKWHQI-UHFFFAOYSA-N 0 0 445.520 -0.510 20 0 IBADRN COc1c(F)cc(CC(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000557141556 1074685244 /nfs/dbraw/zinc/68/52/44/1074685244.db2.gz JFLPFAGTSCLPAY-GFCCVEGCSA-N 0 0 437.515 -0.046 20 0 IBADRN COc1c(F)cc(CC(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000557141557 1074685237 /nfs/dbraw/zinc/68/52/37/1074685237.db2.gz JFLPFAGTSCLPAY-LBPRGKRZSA-N 0 0 437.515 -0.046 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@@H]2CC(=O)N(c3ccc(OC)nc3)C2=O)CC1 ZINC000557150941 1074685177 /nfs/dbraw/zinc/68/51/77/1074685177.db2.gz DHKTYNNOGBWJPO-DOTOQJQBSA-N 0 0 433.509 -0.119 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN([C@@H]2CC(=O)N(c3ccc(OC)nc3)C2=O)CC1 ZINC000557150951 1074685257 /nfs/dbraw/zinc/68/52/57/1074685257.db2.gz DHKTYNNOGBWJPO-NVXWUHKLSA-N 0 0 433.509 -0.119 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@H]2CC(=O)N(c3ccc(OC)nc3)C2=O)CC1 ZINC000557150955 1074685161 /nfs/dbraw/zinc/68/51/61/1074685161.db2.gz DHKTYNNOGBWJPO-RDJZCZTQSA-N 0 0 433.509 -0.119 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN([C@H]2CC(=O)N(c3ccc(OC)nc3)C2=O)CC1 ZINC000557150957 1074685185 /nfs/dbraw/zinc/68/51/85/1074685185.db2.gz DHKTYNNOGBWJPO-WBVHZDCISA-N 0 0 433.509 -0.119 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000557152563 1074685167 /nfs/dbraw/zinc/68/51/67/1074685167.db2.gz ZXVLOIUNKXNUJO-AWEZNQCLSA-N 0 0 430.465 -0.395 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000557152569 1074685229 /nfs/dbraw/zinc/68/52/29/1074685229.db2.gz ZXVLOIUNKXNUJO-CQSZACIVSA-N 0 0 430.465 -0.395 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCC(=O)N[C@@H]2C2CC2)s1 ZINC000557156242 1074685136 /nfs/dbraw/zinc/68/51/36/1074685136.db2.gz CHXFKEWALQBWGV-CXAGYDPISA-N 0 0 428.536 -0.164 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCC(=O)N[C@H]2C2CC2)s1 ZINC000557156243 1074685193 /nfs/dbraw/zinc/68/51/93/1074685193.db2.gz CHXFKEWALQBWGV-DYVFJYSZSA-N 0 0 428.536 -0.164 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCC(=O)N[C@H]2C2CC2)s1 ZINC000557156244 1074685197 /nfs/dbraw/zinc/68/51/97/1074685197.db2.gz CHXFKEWALQBWGV-GUYCJALGSA-N 0 0 428.536 -0.164 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCC(=O)N[C@@H]2C2CC2)s1 ZINC000557156245 1074685652 /nfs/dbraw/zinc/68/56/52/1074685652.db2.gz CHXFKEWALQBWGV-SUMWQHHRSA-N 0 0 428.536 -0.164 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000557164791 1074685737 /nfs/dbraw/zinc/68/57/37/1074685737.db2.gz PZRSIPUPGSOSID-NXEZZACHSA-N 0 0 448.509 -0.373 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000557164794 1074685700 /nfs/dbraw/zinc/68/57/00/1074685700.db2.gz PZRSIPUPGSOSID-UWVGGRQHSA-N 0 0 448.509 -0.373 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000557164795 1074685637 /nfs/dbraw/zinc/68/56/37/1074685637.db2.gz PZRSIPUPGSOSID-VHSXEESVSA-N 0 0 448.509 -0.373 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000557164842 1074685709 /nfs/dbraw/zinc/68/57/09/1074685709.db2.gz PZRSIPUPGSOSID-ZJUUUORDSA-N 0 0 448.509 -0.373 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)nn1 ZINC000557172081 1074685661 /nfs/dbraw/zinc/68/56/61/1074685661.db2.gz NIYOHQHANDXCBG-UHFFFAOYSA-N 0 0 439.498 -0.378 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)nn1 ZINC000557173050 1074685683 /nfs/dbraw/zinc/68/56/83/1074685683.db2.gz TZZOJYZXZCTPBN-DOMZBBRYSA-N 0 0 441.441 -0.856 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NCC2(O)CCS(=O)(=O)CC2)cc1 ZINC000557173473 1074685671 /nfs/dbraw/zinc/68/56/71/1074685671.db2.gz MIAWQLBJKWMEQC-UHFFFAOYSA-N 0 0 434.536 -0.190 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)nn1 ZINC000557174287 1074685713 /nfs/dbraw/zinc/68/57/13/1074685713.db2.gz QTMZJWSRNNBIIZ-UHFFFAOYSA-N 0 0 429.480 -0.423 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCSCC3)CC2)C[C@H](C)O1 ZINC000557176070 1074685657 /nfs/dbraw/zinc/68/56/57/1074685657.db2.gz JNDACISVOKEVRM-GASCZTMLSA-N 0 0 448.611 -0.256 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCSCC3)CC2)C[C@H](C)O1 ZINC000557176074 1074685612 /nfs/dbraw/zinc/68/56/12/1074685612.db2.gz JNDACISVOKEVRM-GJZGRUSLSA-N 0 0 448.611 -0.256 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCSCC3)CC2)C[C@@H](C)O1 ZINC000557176075 1074686292 /nfs/dbraw/zinc/68/62/92/1074686292.db2.gz JNDACISVOKEVRM-HUUCEWRRSA-N 0 0 448.611 -0.256 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000557181371 1074686299 /nfs/dbraw/zinc/68/62/99/1074686299.db2.gz SSYKZIFOPDNPSH-HNNXBMFYSA-N 0 0 448.567 -0.477 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000557181372 1074686208 /nfs/dbraw/zinc/68/62/08/1074686208.db2.gz SSYKZIFOPDNPSH-OAHLLOKOSA-N 0 0 448.567 -0.477 20 0 IBADRN NC(=O)c1ccc(C(=O)NC[C@@H]2CSCCN2C(=O)c2ccc(C(N)=O)cn2)nc1 ZINC000557189419 1074686313 /nfs/dbraw/zinc/68/63/13/1074686313.db2.gz KFTKYRXTOGEZPC-CYBMUJFWSA-N 0 0 428.474 -0.338 20 0 IBADRN NC(=O)c1ccc(C(=O)NC[C@H]2CSCCN2C(=O)c2ccc(C(N)=O)cn2)nc1 ZINC000557189421 1074686287 /nfs/dbraw/zinc/68/62/87/1074686287.db2.gz KFTKYRXTOGEZPC-ZDUSSCGKSA-N 0 0 428.474 -0.338 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000557192359 1074686318 /nfs/dbraw/zinc/68/63/18/1074686318.db2.gz ASGWUXGESVWKOJ-UHFFFAOYSA-N 0 0 433.534 -0.075 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCc3cccc(CS(C)(=O)=O)c3)CC2)cn1 ZINC000557192892 1074686315 /nfs/dbraw/zinc/68/63/15/1074686315.db2.gz ISBXVHOOKULURI-UHFFFAOYSA-N 0 0 433.534 -0.075 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000557193097 1074686282 /nfs/dbraw/zinc/68/62/82/1074686282.db2.gz GOSHCMBRGWNDFX-UHFFFAOYSA-N 0 0 439.534 -0.057 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000557195370 1073357412 /nfs/dbraw/zinc/35/74/12/1073357412.db2.gz WRHIEKATVMGUAW-UHFFFAOYSA-N 0 0 438.535 -0.370 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000557195883 1074686256 /nfs/dbraw/zinc/68/62/56/1074686256.db2.gz CAOYSAYZAGCGRV-UHFFFAOYSA-N 0 0 438.238 -0.093 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C1 ZINC000557195952 1074686280 /nfs/dbraw/zinc/68/62/80/1074686280.db2.gz JYDLXPCSQFDCCK-HNNXBMFYSA-N 0 0 431.536 -0.612 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C1 ZINC000557195955 1074686217 /nfs/dbraw/zinc/68/62/17/1074686217.db2.gz JYDLXPCSQFDCCK-OAHLLOKOSA-N 0 0 431.536 -0.612 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3cccc(CS(C)(=O)=O)c3)CC2)n1 ZINC000557196260 1074686303 /nfs/dbraw/zinc/68/63/03/1074686303.db2.gz WKEYOXXHTYUQMU-UHFFFAOYSA-N 0 0 447.517 -0.005 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2nccn2C(F)F)CC1 ZINC000557199908 1074686276 /nfs/dbraw/zinc/68/62/76/1074686276.db2.gz GUCSEJKIRLKNAY-CYBMUJFWSA-N 0 0 430.456 -0.420 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2nccn2C(F)F)CC1 ZINC000557199909 1074686264 /nfs/dbraw/zinc/68/62/64/1074686264.db2.gz GUCSEJKIRLKNAY-ZDUSSCGKSA-N 0 0 430.456 -0.420 20 0 IBADRN O=C(NCc1nccn1C(F)F)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000557200866 1074686771 /nfs/dbraw/zinc/68/67/71/1074686771.db2.gz HUUKTPWEEIHNLZ-GFCCVEGCSA-N 0 0 435.453 -0.453 20 0 IBADRN O=C(NCc1nccn1C(F)F)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000557200868 1074686820 /nfs/dbraw/zinc/68/68/20/1074686820.db2.gz HUUKTPWEEIHNLZ-LBPRGKRZSA-N 0 0 435.453 -0.453 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1cn(CC(N)=O)nn1)Oc1ccc(Br)cc1 ZINC000557202323 1074686867 /nfs/dbraw/zinc/68/68/67/1074686867.db2.gz AGCOVAOMCIVJFU-GFCCVEGCSA-N 0 0 426.227 -0.124 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1cn(CC(N)=O)nn1)Oc1ccc(Br)cc1 ZINC000557202324 1074686783 /nfs/dbraw/zinc/68/67/83/1074686783.db2.gz AGCOVAOMCIVJFU-LBPRGKRZSA-N 0 0 426.227 -0.124 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCc2ccccc21 ZINC000557213795 1074686899 /nfs/dbraw/zinc/68/68/99/1074686899.db2.gz UUVUZTRTYOKHRL-HNNXBMFYSA-N 0 0 427.505 -0.497 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCc2ccccc21 ZINC000557213797 1074686743 /nfs/dbraw/zinc/68/67/43/1074686743.db2.gz UUVUZTRTYOKHRL-OAHLLOKOSA-N 0 0 427.505 -0.497 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)N1CCc2ccccc21 ZINC000557214241 1074686879 /nfs/dbraw/zinc/68/68/79/1074686879.db2.gz BNYWWOSIVSXAOO-GOSISDBHSA-N 0 0 443.548 -0.443 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)N1CCc2ccccc21 ZINC000557214242 1074686870 /nfs/dbraw/zinc/68/68/70/1074686870.db2.gz BNYWWOSIVSXAOO-SFHVURJKSA-N 0 0 443.548 -0.443 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)Cn3cncn3)CC2)c1 ZINC000557214567 1074686761 /nfs/dbraw/zinc/68/67/61/1074686761.db2.gz GZSWGNJCCDAECR-INIZCTEOSA-N 0 0 434.522 -0.067 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)Cn3cncn3)CC2)c1 ZINC000557214568 1074686921 /nfs/dbraw/zinc/68/69/21/1074686921.db2.gz GZSWGNJCCDAECR-MRXNPFEDSA-N 0 0 434.522 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)Cn3cncn3)CC2)cc1 ZINC000557215668 1074686840 /nfs/dbraw/zinc/68/68/40/1074686840.db2.gz PVMUTAWNANQVKH-AWEZNQCLSA-N 0 0 436.494 -0.676 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)Cn3cncn3)CC2)cc1 ZINC000557215675 1074686844 /nfs/dbraw/zinc/68/68/44/1074686844.db2.gz PVMUTAWNANQVKH-CQSZACIVSA-N 0 0 436.494 -0.676 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000557215803 1074686909 /nfs/dbraw/zinc/68/69/09/1074686909.db2.gz RZRXEYULSXMGEG-BPQIPLTHSA-N 0 0 425.493 -0.502 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000557215836 1074686823 /nfs/dbraw/zinc/68/68/23/1074686823.db2.gz RZRXEYULSXMGEG-NJAFHUGGSA-N 0 0 425.493 -0.502 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000557215837 1074686885 /nfs/dbraw/zinc/68/68/85/1074686885.db2.gz RZRXEYULSXMGEG-RYQLBKOJSA-N 0 0 425.493 -0.502 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000557215838 1074686892 /nfs/dbraw/zinc/68/68/92/1074686892.db2.gz RZRXEYULSXMGEG-SZMVWBNQSA-N 0 0 425.493 -0.502 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2c(I)cnn2C)CC1 ZINC000557216088 1074686832 /nfs/dbraw/zinc/68/68/32/1074686832.db2.gz BGCDLTICKMNWKD-UHFFFAOYSA-N 0 0 441.295 -0.021 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)N1CCc2ccccc2C1 ZINC000557225794 1074687350 /nfs/dbraw/zinc/68/73/50/1074687350.db2.gz IGJWFTYYEISPAB-KRWDZBQOSA-N 0 0 438.532 -0.008 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)N1CCc2ccccc2C1 ZINC000557225818 1074687267 /nfs/dbraw/zinc/68/72/67/1074687267.db2.gz IGJWFTYYEISPAB-QGZVFWFLSA-N 0 0 438.532 -0.008 20 0 IBADRN CCn1c(CCC(=O)N[C@H](C)C(N)=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000557229258 1074687337 /nfs/dbraw/zinc/68/73/37/1074687337.db2.gz ZBBXQDDBZQANNO-CYBMUJFWSA-N 0 0 437.522 0.000 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000557230531 1074687382 /nfs/dbraw/zinc/68/73/82/1074687382.db2.gz FVYYOAMYXWBJDL-UHFFFAOYSA-N 0 0 425.511 -0.798 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1)NC1CC1 ZINC000557233380 1074687390 /nfs/dbraw/zinc/68/73/90/1074687390.db2.gz FKVISTZUJCFOLK-GOSISDBHSA-N 0 0 427.505 -0.674 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1)NC1CC1 ZINC000557233381 1074687997 /nfs/dbraw/zinc/68/79/97/1074687997.db2.gz FKVISTZUJCFOLK-SFHVURJKSA-N 0 0 427.505 -0.674 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000557233679 1074687386 /nfs/dbraw/zinc/68/73/86/1074687386.db2.gz GKSNFMBTHAZQCD-GOSISDBHSA-N 0 0 429.521 -0.428 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000557233681 1074687324 /nfs/dbraw/zinc/68/73/24/1074687324.db2.gz GKSNFMBTHAZQCD-SFHVURJKSA-N 0 0 429.521 -0.428 20 0 IBADRN CCCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n(C)n1 ZINC000557234503 1074687944 /nfs/dbraw/zinc/68/79/44/1074687944.db2.gz WJFDLQRVCPAFSW-UHFFFAOYSA-N 0 0 437.526 -0.114 20 0 IBADRN CO[C@@H]1C[C@@H](c2nnc(C3CC3)[nH]2)N(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1 ZINC000557234787 1074687935 /nfs/dbraw/zinc/68/79/35/1074687935.db2.gz JKEHIPCUUMKSRP-KGLIPLIRSA-N 0 0 442.542 -0.195 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)CC2)n1 ZINC000557235712 1073364004 /nfs/dbraw/zinc/36/40/04/1073364004.db2.gz QMPINZMYNRXTGC-AWEZNQCLSA-N 0 0 429.506 -0.314 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)CC2)n1 ZINC000557235715 1074687954 /nfs/dbraw/zinc/68/79/54/1074687954.db2.gz QMPINZMYNRXTGC-CQSZACIVSA-N 0 0 429.506 -0.314 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000557236153 1074687914 /nfs/dbraw/zinc/68/79/14/1074687914.db2.gz GWIQVPZGXSLWDW-KRWDZBQOSA-N 0 0 429.521 -0.031 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000557236159 1074688044 /nfs/dbraw/zinc/68/80/44/1074688044.db2.gz GWIQVPZGXSLWDW-QGZVFWFLSA-N 0 0 429.521 -0.031 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)[C@H](C)N1CCOCC1 ZINC000557236611 1074688062 /nfs/dbraw/zinc/68/80/62/1074688062.db2.gz IMEKXSNBFDNCJZ-MSOLQXFVSA-N 0 0 431.537 -0.323 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)[C@@H](C)N1CCOCC1 ZINC000557236616 1074688026 /nfs/dbraw/zinc/68/80/26/1074688026.db2.gz IMEKXSNBFDNCJZ-QZTJIDSGSA-N 0 0 431.537 -0.323 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)[C@H](C)N1CCOCC1 ZINC000557236617 1074688023 /nfs/dbraw/zinc/68/80/23/1074688023.db2.gz IMEKXSNBFDNCJZ-ROUUACIJSA-N 0 0 431.537 -0.323 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)N1CCOCC1 ZINC000557236619 1074688041 /nfs/dbraw/zinc/68/80/41/1074688041.db2.gz IMEKXSNBFDNCJZ-ZWKOTPCHSA-N 0 0 431.537 -0.323 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2ccc3ccccc3n2)CC1)N1CCOCC1 ZINC000557238278 1074688527 /nfs/dbraw/zinc/68/85/27/1074688527.db2.gz DUVLWFQGRXJAIX-UHFFFAOYSA-N 0 0 439.516 -0.104 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1)N1CCN(c2ccccc2)CC1 ZINC000557238375 1074688450 /nfs/dbraw/zinc/68/84/50/1074688450.db2.gz AHGVOPYJRAUGLL-IBGZPJMESA-N 0 0 443.548 -0.368 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1)N1CCN(c2ccccc2)CC1 ZINC000557238380 1074688490 /nfs/dbraw/zinc/68/84/90/1074688490.db2.gz AHGVOPYJRAUGLL-LJQANCHMSA-N 0 0 443.548 -0.368 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000557238580 1074688371 /nfs/dbraw/zinc/68/83/71/1074688371.db2.gz DXYPJUQGONICHN-UHFFFAOYSA-N 0 0 428.493 -0.389 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)C(=O)N1CCOCC1 ZINC000557238791 1074687962 /nfs/dbraw/zinc/68/79/62/1074687962.db2.gz SNNDXQNACRRSFP-GOSISDBHSA-N 0 0 445.520 -0.795 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)C(=O)N1CCOCC1 ZINC000557238792 1074688558 /nfs/dbraw/zinc/68/85/58/1074688558.db2.gz SNNDXQNACRRSFP-SFHVURJKSA-N 0 0 445.520 -0.795 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCC(=O)N3CCN(c4ccccc4)CC3)CC2)cn1 ZINC000557239260 1074688439 /nfs/dbraw/zinc/68/84/39/1074688439.db2.gz URJMHPDZJWILGS-UHFFFAOYSA-N 0 0 439.520 -0.466 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2ccc3ccccc3n2)CC1 ZINC000557239367 1074688379 /nfs/dbraw/zinc/68/83/79/1074688379.db2.gz TWAWDMYEHRYNBQ-UHFFFAOYSA-N 0 0 439.516 -0.104 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC[C@H](NC(=O)NC2CC2)C1)N1CCN(c2ccccc2)CC1 ZINC000557240389 1074688357 /nfs/dbraw/zinc/68/83/57/1074688357.db2.gz ZRRXAYAYVFDQLS-KRWDZBQOSA-N 0 0 442.520 -0.486 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1)N1CCN(c2ccccc2)CC1 ZINC000557240390 1074688475 /nfs/dbraw/zinc/68/84/75/1074688475.db2.gz ZRRXAYAYVFDQLS-QGZVFWFLSA-N 0 0 442.520 -0.486 20 0 IBADRN COc1ccc([C@H]2OCC[C@@H]2NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cn1 ZINC000557243506 1074688413 /nfs/dbraw/zinc/68/84/13/1074688413.db2.gz RKDHVXAQAQSENL-KBXCAEBGSA-N 0 0 428.511 -0.130 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)CN1CCOCC1 ZINC000557245548 1074688982 /nfs/dbraw/zinc/68/89/82/1074688982.db2.gz CAGNMAQGVYDCDU-HNNXBMFYSA-N 0 0 430.513 -0.904 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)CN1CCOCC1 ZINC000557245554 1074689054 /nfs/dbraw/zinc/68/90/54/1074689054.db2.gz CAGNMAQGVYDCDU-OAHLLOKOSA-N 0 0 430.513 -0.904 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000557245979 1074688992 /nfs/dbraw/zinc/68/89/92/1074688992.db2.gz IPWNVUXQLGZQEI-UHFFFAOYSA-N 0 0 432.481 -0.038 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1)CN1CCOCC1 ZINC000557247101 1074689008 /nfs/dbraw/zinc/68/90/08/1074689008.db2.gz QSHCGBCVCDCIPX-GOSISDBHSA-N 0 0 437.585 -0.526 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1)CN1CCOCC1 ZINC000557247102 1074688966 /nfs/dbraw/zinc/68/89/66/1074688966.db2.gz QSHCGBCVCDCIPX-SFHVURJKSA-N 0 0 437.585 -0.526 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NC[C@H](C)CN3CCOCC3)CC2)n1 ZINC000557248835 1074689075 /nfs/dbraw/zinc/68/90/75/1074689075.db2.gz GUJVPYMOHHMHBI-KRWDZBQOSA-N 0 0 434.541 -0.002 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NC[C@@H](C)CN3CCOCC3)CC2)n1 ZINC000557248837 1074689104 /nfs/dbraw/zinc/68/91/04/1074689104.db2.gz GUJVPYMOHHMHBI-QGZVFWFLSA-N 0 0 434.541 -0.002 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NC[C@H](C)CN2CCOCC2)cc1 ZINC000557249193 1074688964 /nfs/dbraw/zinc/68/89/64/1074688964.db2.gz JVEWHNKDKDQNNK-KRWDZBQOSA-N 0 0 440.566 -0.184 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cc1 ZINC000557249197 1074689021 /nfs/dbraw/zinc/68/90/21/1074689021.db2.gz JVEWHNKDKDQNNK-QGZVFWFLSA-N 0 0 440.566 -0.184 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC[C@H](C)CN3CCOCC3)C2)nc1 ZINC000557249669 1074689084 /nfs/dbraw/zinc/68/90/84/1074689084.db2.gz NZSVSVVVZRWHJR-HNNXBMFYSA-N 0 0 433.509 -0.352 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC[C@@H](C)CN3CCOCC3)C2)nc1 ZINC000557249676 1074689093 /nfs/dbraw/zinc/68/90/93/1074689093.db2.gz NZSVSVVVZRWHJR-OAHLLOKOSA-N 0 0 433.509 -0.352 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)CN1CCOCC1 ZINC000557251081 1074689027 /nfs/dbraw/zinc/68/90/27/1074689027.db2.gz VSZYHGJEMVZJES-GOSISDBHSA-N 0 0 431.537 -0.146 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)CN1CCOCC1 ZINC000557251088 1074689764 /nfs/dbraw/zinc/68/97/64/1074689764.db2.gz VSZYHGJEMVZJES-SFHVURJKSA-N 0 0 431.537 -0.146 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC000557251177 1074689707 /nfs/dbraw/zinc/68/97/07/1074689707.db2.gz XIZCDYSHBXZQFG-GOSISDBHSA-N 0 0 446.552 -0.679 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC000557251180 1074689768 /nfs/dbraw/zinc/68/97/68/1074689768.db2.gz XIZCDYSHBXZQFG-SFHVURJKSA-N 0 0 446.552 -0.679 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000557251737 1074689757 /nfs/dbraw/zinc/68/97/57/1074689757.db2.gz SJXJRFLSUXQINB-KRWDZBQOSA-N 0 0 442.524 -0.280 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000557251740 1074689760 /nfs/dbraw/zinc/68/97/60/1074689760.db2.gz SJXJRFLSUXQINB-QGZVFWFLSA-N 0 0 442.524 -0.280 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)CN1CCOCC1 ZINC000557251774 1074689742 /nfs/dbraw/zinc/68/97/42/1074689742.db2.gz TWMGPGWMYDRPBH-IBGZPJMESA-N 0 0 445.564 -0.469 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)CN1CCOCC1 ZINC000557251779 1074689696 /nfs/dbraw/zinc/68/96/96/1074689696.db2.gz TWMGPGWMYDRPBH-LJQANCHMSA-N 0 0 445.564 -0.469 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)c1ccccc1 ZINC000557254276 1073338451 /nfs/dbraw/zinc/33/84/51/1073338451.db2.gz ZRWFDLIWZZXPEF-KRWDZBQOSA-N 0 0 429.477 0.570 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)c1ccccc1 ZINC000557254277 1073337595 /nfs/dbraw/zinc/33/75/95/1073337595.db2.gz ZRWFDLIWZZXPEF-QGZVFWFLSA-N 0 0 429.477 0.570 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(F)cc1F ZINC000557256959 1074689788 /nfs/dbraw/zinc/68/97/88/1074689788.db2.gz DFWCUSSRMZEQOP-LLVKDONJSA-N 0 0 436.419 -0.369 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(F)cc1F ZINC000557259313 1074690317 /nfs/dbraw/zinc/69/03/17/1074690317.db2.gz PGXBEULTZBBWIW-CYBMUJFWSA-N 0 0 438.431 -0.328 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)CC1 ZINC000557270376 1074690851 /nfs/dbraw/zinc/69/08/51/1074690851.db2.gz FPFGFVMURZNWPR-UHFFFAOYSA-N 0 0 446.595 -0.659 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)CCO1 ZINC000557273179 1074690832 /nfs/dbraw/zinc/69/08/32/1074690832.db2.gz QSYIJIHWQGLYMF-JCYILVPMSA-N 0 0 440.566 -0.400 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)CCO1 ZINC000557273184 1074690811 /nfs/dbraw/zinc/69/08/11/1074690811.db2.gz QSYIJIHWQGLYMF-OFLPRAFFSA-N 0 0 440.566 -0.400 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCn2ccnc2C1)N1CCN(c2ccccn2)CC1 ZINC000557275502 1074691393 /nfs/dbraw/zinc/69/13/93/1074691393.db2.gz ARFZLWFGDPXGLQ-INIZCTEOSA-N 0 0 425.493 -0.436 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCn2ccnc2C1)N1CCN(c2ccccn2)CC1 ZINC000557275503 1074691458 /nfs/dbraw/zinc/69/14/58/1074691458.db2.gz ARFZLWFGDPXGLQ-MRXNPFEDSA-N 0 0 425.493 -0.436 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCN1CCSCC1)N1CCN(c2ccccn2)CC1 ZINC000557275504 1074691404 /nfs/dbraw/zinc/69/14/04/1074691404.db2.gz ASPBXDQFMHUCTQ-UHFFFAOYSA-N 0 0 434.566 -0.599 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(C2CC2)C(=O)C1)N1CCN(c2ccccc2)CC1 ZINC000557275736 1074691427 /nfs/dbraw/zinc/69/14/27/1074691427.db2.gz FZOXEBNPLQJJRE-UHFFFAOYSA-N 0 0 427.505 -0.325 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000557276026 1074690881 /nfs/dbraw/zinc/69/08/81/1074690881.db2.gz KAZQBQJZPQFWND-GOSISDBHSA-N 0 0 431.537 -0.322 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000557276028 1074691424 /nfs/dbraw/zinc/69/14/24/1074691424.db2.gz KAZQBQJZPQFWND-SFHVURJKSA-N 0 0 431.537 -0.322 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000557276205 1074690842 /nfs/dbraw/zinc/69/08/42/1074690842.db2.gz MQOAGZFKPCRQDN-GOSISDBHSA-N 0 0 446.552 -0.584 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000557276209 1074690921 /nfs/dbraw/zinc/69/09/21/1074690921.db2.gz MQOAGZFKPCRQDN-SFHVURJKSA-N 0 0 446.552 -0.584 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000557276233 1074691412 /nfs/dbraw/zinc/69/14/12/1074691412.db2.gz MUYWPJWYOOGSQN-UHFFFAOYSA-N 0 0 440.508 -0.591 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC(=O)N[C@H]2CCCC[C@H]21)N1CCN(c2ccccn2)CC1 ZINC000557276301 1074691455 /nfs/dbraw/zinc/69/14/55/1074691455.db2.gz NRJYOYCZGJYBOU-DLBZAZTESA-N 0 0 442.520 -0.494 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC(=O)N[C@@H]2CCCC[C@H]21)N1CCN(c2ccccn2)CC1 ZINC000557276304 1074691399 /nfs/dbraw/zinc/69/13/99/1074691399.db2.gz NRJYOYCZGJYBOU-IAGOWNOFSA-N 0 0 442.520 -0.494 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC(=O)N[C@H]2CCCC[C@@H]21)N1CCN(c2ccccn2)CC1 ZINC000557276306 1074691850 /nfs/dbraw/zinc/69/18/50/1074691850.db2.gz NRJYOYCZGJYBOU-IRXDYDNUSA-N 0 0 442.520 -0.494 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CC(=O)N[C@@H]2CCCC[C@@H]21)N1CCN(c2ccccn2)CC1 ZINC000557276307 1074691886 /nfs/dbraw/zinc/69/18/86/1074691886.db2.gz NRJYOYCZGJYBOU-SJORKVTESA-N 0 0 442.520 -0.494 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCC(c2nnc3n2CCCC3)CC1 ZINC000557276524 1074691448 /nfs/dbraw/zinc/69/14/48/1074691448.db2.gz BQGRSFJVSDYYFP-UHFFFAOYSA-N 0 0 426.481 -0.343 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1c1ccncn1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000557276531 1074691460 /nfs/dbraw/zinc/69/14/60/1074691460.db2.gz BSHZMWBMTSMGAF-KBPBESRZSA-N 0 0 430.444 -0.812 20 0 IBADRN CC(C)OC[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000557276613 1074691444 /nfs/dbraw/zinc/69/14/44/1074691444.db2.gz OSJPKHNYELJJOK-GOSISDBHSA-N 0 0 447.536 -0.111 20 0 IBADRN CC(C)OC[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000557276616 1074691434 /nfs/dbraw/zinc/69/14/34/1074691434.db2.gz OSJPKHNYELJJOK-SFHVURJKSA-N 0 0 447.536 -0.111 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1C ZINC000557276657 1074691420 /nfs/dbraw/zinc/69/14/20/1074691420.db2.gz PJAGRPHRWQDAIY-UHFFFAOYSA-N 0 0 442.524 -0.648 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000557276695 1074691415 /nfs/dbraw/zinc/69/14/15/1074691415.db2.gz PTBTWYBIEGJUHX-UHFFFAOYSA-N 0 0 427.509 -0.281 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)CC2)c1=O ZINC000557276742 1074691408 /nfs/dbraw/zinc/69/14/08/1074691408.db2.gz QTBXRZAZYVGXRF-UHFFFAOYSA-N 0 0 444.467 -0.957 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)cs1 ZINC000557276922 1074691450 /nfs/dbraw/zinc/69/14/50/1074691450.db2.gz DUUKCWXMUVDLEK-UHFFFAOYSA-N 0 0 431.522 -0.314 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCCNC(=O)Cc1cccc(F)c1 ZINC000557277207 1074691430 /nfs/dbraw/zinc/69/14/30/1074691430.db2.gz UPPACPCHDKPQEV-OAHLLOKOSA-N 0 0 428.486 -0.859 20 0 IBADRN CN(CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)CC(F)(F)F ZINC000557277212 1074691438 /nfs/dbraw/zinc/69/14/38/1074691438.db2.gz USTKXAGTBXUUGF-UHFFFAOYSA-N 0 0 444.458 -0.153 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@H](C)NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000557277275 1074691920 /nfs/dbraw/zinc/69/19/20/1074691920.db2.gz JDBGHGXLXIWLMP-AWEZNQCLSA-N 0 0 433.490 -0.390 20 0 IBADRN Cc1ccc(S(=O)(=O)C[C@@H](C)NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000557277276 1074691949 /nfs/dbraw/zinc/69/19/49/1074691949.db2.gz JDBGHGXLXIWLMP-CQSZACIVSA-N 0 0 433.490 -0.390 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)N1CCCCCC1 ZINC000557277351 1074691916 /nfs/dbraw/zinc/69/19/16/1074691916.db2.gz LGSKIPLAKICOIM-UHFFFAOYSA-N 0 0 434.584 -0.610 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000557277489 1074691880 /nfs/dbraw/zinc/69/18/80/1074691880.db2.gz HQGPIGFWQGINSO-UHFFFAOYSA-N 0 0 439.520 -0.397 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1nccn1C)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000557278185 1074691894 /nfs/dbraw/zinc/69/18/94/1074691894.db2.gz TVISLKWAKLFDGW-GJZGRUSLSA-N 0 0 446.487 -0.873 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000557278357 1074692395 /nfs/dbraw/zinc/69/23/95/1074692395.db2.gz OKVRZYMHTOARDZ-UHFFFAOYSA-N 0 0 448.505 -0.932 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)NCCNC(=O)c2cnccn2)c(N2CCOCC2)c1 ZINC000557278425 1074692215 /nfs/dbraw/zinc/69/22/15/1074692215.db2.gz PNYZMOSVDWQCFJ-UHFFFAOYSA-N 0 0 426.477 -0.216 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCCN(C(=O)c2ccccc2F)CC1 ZINC000557278473 1074692382 /nfs/dbraw/zinc/69/23/82/1074692382.db2.gz YJGCECSCHARQOB-UHFFFAOYSA-N 0 0 442.451 -0.164 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCN(CCCc2ccccc2)C1=O ZINC000557278481 1074692289 /nfs/dbraw/zinc/69/22/89/1074692289.db2.gz YLOSNMMGAVZUKL-KRWDZBQOSA-N 0 0 438.488 -0.328 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCN(CCCc2ccccc2)C1=O ZINC000557278482 1074692340 /nfs/dbraw/zinc/69/23/40/1074692340.db2.gz YLOSNMMGAVZUKL-QGZVFWFLSA-N 0 0 438.488 -0.328 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC000557278493 1074692391 /nfs/dbraw/zinc/69/23/91/1074692391.db2.gz YTNWLCUTUSNURY-GOSISDBHSA-N 0 0 440.504 -0.618 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC000557278494 1074692248 /nfs/dbraw/zinc/69/22/48/1074692248.db2.gz YTNWLCUTUSNURY-SFHVURJKSA-N 0 0 440.504 -0.618 20 0 IBADRN CN(C[C@@H](c1cccs1)N1CCOCC1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000557278499 1074692388 /nfs/dbraw/zinc/69/23/88/1074692388.db2.gz YVJRIAGNOPZHLD-INIZCTEOSA-N 0 0 446.533 -0.084 20 0 IBADRN CN(C[C@H](c1cccs1)N1CCOCC1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000557278500 1074692296 /nfs/dbraw/zinc/69/22/96/1074692296.db2.gz YVJRIAGNOPZHLD-MRXNPFEDSA-N 0 0 446.533 -0.084 20 0 IBADRN CCc1noc([C@@H](C)N2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)n1 ZINC000557278519 1074692368 /nfs/dbraw/zinc/69/23/68/1074692368.db2.gz ZEMVAVOJZWNUMM-CYBMUJFWSA-N 0 0 430.469 -0.827 20 0 IBADRN CCc1noc([C@H](C)N2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)n1 ZINC000557278520 1074692345 /nfs/dbraw/zinc/69/23/45/1074692345.db2.gz ZEMVAVOJZWNUMM-ZDUSSCGKSA-N 0 0 430.469 -0.827 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000557278620 1074692401 /nfs/dbraw/zinc/69/24/01/1074692401.db2.gz SSOFWTZGHZUBIW-UHFFFAOYSA-N 0 0 444.879 -0.039 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCCOc1cccc(C(F)(F)F)n1 ZINC000557278821 1074691932 /nfs/dbraw/zinc/69/19/32/1074691932.db2.gz YXSIHAJGHGVLTH-UHFFFAOYSA-N 0 0 426.355 -0.068 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCCN(C(=O)c2cccc(F)c2)CC1 ZINC000557279211 1074691898 /nfs/dbraw/zinc/69/18/98/1074691898.db2.gz DJQOWSXICRUKBA-UHFFFAOYSA-N 0 0 442.451 -0.164 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)n1 ZINC000557279469 1074692373 /nfs/dbraw/zinc/69/23/73/1074692373.db2.gz GLXSUMLJNRSVHP-UHFFFAOYSA-N 0 0 432.510 -0.525 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000557280049 1074692330 /nfs/dbraw/zinc/69/23/30/1074692330.db2.gz NRHFYKLCOFJPPD-CYBMUJFWSA-N 0 0 430.852 -0.102 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000557280050 1074692319 /nfs/dbraw/zinc/69/23/19/1074692319.db2.gz NRHFYKLCOFJPPD-ZDUSSCGKSA-N 0 0 430.852 -0.102 20 0 IBADRN O=C(CCNC(=O)C(=O)NCC1(N2CCOCC2)CC1)N1CCN(c2ccccc2)CC1 ZINC000557280317 1074692599 /nfs/dbraw/zinc/69/25/99/1074692599.db2.gz BXWGFIRVXFDQMV-UHFFFAOYSA-N 0 0 443.548 -0.178 20 0 IBADRN Cc1cccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)C2)n1 ZINC000557280414 1074692665 /nfs/dbraw/zinc/69/26/65/1074692665.db2.gz SOCUXCWJHORWNZ-HNNXBMFYSA-N 0 0 439.476 -0.097 20 0 IBADRN Cc1cccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)C2)n1 ZINC000557280425 1074692674 /nfs/dbraw/zinc/69/26/74/1074692674.db2.gz SOCUXCWJHORWNZ-OAHLLOKOSA-N 0 0 439.476 -0.097 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)cn1 ZINC000557280494 1074692594 /nfs/dbraw/zinc/69/25/94/1074692594.db2.gz AFVVROUWUPUCRA-APWZRJJASA-N 0 0 444.467 -0.530 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000557280686 1074692655 /nfs/dbraw/zinc/69/26/55/1074692655.db2.gz UGXRZCQIQRUUNE-HNNXBMFYSA-N 0 0 425.449 -0.975 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000557280690 1074692652 /nfs/dbraw/zinc/69/26/52/1074692652.db2.gz UGXRZCQIQRUUNE-OAHLLOKOSA-N 0 0 425.449 -0.975 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000557280845 1074692230 /nfs/dbraw/zinc/69/22/30/1074692230.db2.gz VMSWLTLUQXVGLU-HNNXBMFYSA-N 0 0 428.424 -0.621 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000557280849 1074692698 /nfs/dbraw/zinc/69/26/98/1074692698.db2.gz VMSWLTLUQXVGLU-OAHLLOKOSA-N 0 0 428.424 -0.621 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)n1C ZINC000557281042 1074692354 /nfs/dbraw/zinc/69/23/54/1074692354.db2.gz DORKALVNGMIZLU-UHFFFAOYSA-N 0 0 441.536 -0.043 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000557281169 1074692260 /nfs/dbraw/zinc/69/22/60/1074692260.db2.gz GVOXPYFZQGGIKB-UHFFFAOYSA-N 0 0 432.525 -0.973 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCCN(C(=O)c2ccsc2)CC1 ZINC000557281258 1074692671 /nfs/dbraw/zinc/69/26/71/1074692671.db2.gz XIIOPSYCQVNFCV-UHFFFAOYSA-N 0 0 430.490 -0.241 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)cc1F ZINC000557281473 1074692686 /nfs/dbraw/zinc/69/26/86/1074692686.db2.gz ZVSIFOZISGVQRI-UHFFFAOYSA-N 0 0 444.467 -0.185 20 0 IBADRN COC(=O)[C@]1(C)CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@H]1C ZINC000557281525 1074692690 /nfs/dbraw/zinc/69/26/90/1074692690.db2.gz IKAMVJLWNZGUHI-OPAMFIHVSA-N 0 0 445.520 -0.106 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(NC(=O)c3cccnc3)cc2)CCO1 ZINC000557281594 1074692643 /nfs/dbraw/zinc/69/26/43/1074692643.db2.gz LAIXJBCMAOIERV-KRWDZBQOSA-N 0 0 425.445 -0.077 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(NC(=O)c3cccnc3)cc2)CCO1 ZINC000557281601 1074692589 /nfs/dbraw/zinc/69/25/89/1074692589.db2.gz LAIXJBCMAOIERV-QGZVFWFLSA-N 0 0 425.445 -0.077 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCCC(=O)N2CCCCCC2)C1=O ZINC000557281621 1074692680 /nfs/dbraw/zinc/69/26/80/1074692680.db2.gz LWQAXJMEUPRGIB-INIZCTEOSA-N 0 0 432.525 -0.064 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCCC(=O)N2CCCCCC2)C1=O ZINC000557281622 1074692647 /nfs/dbraw/zinc/69/26/47/1074692647.db2.gz LWQAXJMEUPRGIB-MRXNPFEDSA-N 0 0 432.525 -0.064 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000557282114 1074692702 /nfs/dbraw/zinc/69/27/02/1074692702.db2.gz AYJVAYXWGVOXIH-UHFFFAOYSA-N 0 0 432.525 -0.429 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CC1=O ZINC000557282140 1074692620 /nfs/dbraw/zinc/69/26/20/1074692620.db2.gz BJLNGRFPAMCHLS-UHFFFAOYSA-N 0 0 429.521 -0.078 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)nc1 ZINC000557282186 1074692626 /nfs/dbraw/zinc/69/26/26/1074692626.db2.gz PGFFWKIQGCUCLD-UHFFFAOYSA-N 0 0 425.493 -0.306 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCOC2(CCOCC2)C1)N1CCN(c2ccccn2)CC1 ZINC000557283305 1074692632 /nfs/dbraw/zinc/69/26/32/1074692632.db2.gz KNHJQBZBIFUKOP-UHFFFAOYSA-N 0 0 445.520 -0.356 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000557284000 1074693152 /nfs/dbraw/zinc/69/31/52/1074693152.db2.gz GSAYJFRCNBMYRI-INIZCTEOSA-N 0 0 430.509 -0.636 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000557284001 1074693160 /nfs/dbraw/zinc/69/31/60/1074693160.db2.gz GSAYJFRCNBMYRI-MRXNPFEDSA-N 0 0 430.509 -0.636 20 0 IBADRN CN(CCc1cnccn1)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000557284134 1074693162 /nfs/dbraw/zinc/69/31/62/1074693162.db2.gz QZFDPFFUFYTNFA-UHFFFAOYSA-N 0 0 425.493 -0.272 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000557284886 1074693171 /nfs/dbraw/zinc/69/31/71/1074693171.db2.gz NGUCPWUTMGLZQA-UHFFFAOYSA-N 0 0 435.500 -0.731 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000557285157 1074693190 /nfs/dbraw/zinc/69/31/90/1074693190.db2.gz CUHYUSJEHJRYNV-UHFFFAOYSA-N 0 0 430.509 -0.033 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCNC(=O)c2cnccn2)s1 ZINC000557286678 1074693168 /nfs/dbraw/zinc/69/31/68/1074693168.db2.gz SGAYEGSUFQNRMY-UHFFFAOYSA-N 0 0 447.564 -0.272 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c2ccccc2O1 ZINC000557289456 1074693157 /nfs/dbraw/zinc/69/31/57/1074693157.db2.gz KTNIQVBCPVCMHC-KRWDZBQOSA-N 0 0 426.477 -0.396 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c2ccccc2O1 ZINC000557289457 1074693164 /nfs/dbraw/zinc/69/31/64/1074693164.db2.gz KTNIQVBCPVCMHC-QGZVFWFLSA-N 0 0 426.477 -0.396 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CCOc3ccccc32)CC1)N1CCOCC1 ZINC000557290086 1074693176 /nfs/dbraw/zinc/69/31/76/1074693176.db2.gz HLFPNJIKQAVFSQ-KRWDZBQOSA-N 0 0 430.505 -0.328 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CCOc3ccccc32)CC1)N1CCOCC1 ZINC000557290088 1074693170 /nfs/dbraw/zinc/69/31/70/1074693170.db2.gz HLFPNJIKQAVFSQ-QGZVFWFLSA-N 0 0 430.505 -0.328 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000557294881 1074693196 /nfs/dbraw/zinc/69/31/96/1074693196.db2.gz FOGYBLWQLHRKDK-UHFFFAOYSA-N 0 0 447.540 -0.760 20 0 IBADRN Cc1c(C)c(NC(=O)CN(C)S(C)(=O)=O)ccc1NC(=O)CN(C)S(C)(=O)=O ZINC000557294967 1074693159 /nfs/dbraw/zinc/69/31/59/1074693159.db2.gz HFOYTQDNGSKRTP-UHFFFAOYSA-N 0 0 434.540 -0.037 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000557295106 1074693192 /nfs/dbraw/zinc/69/31/92/1074693192.db2.gz LDUKJDLWQPKDIO-UHFFFAOYSA-N 0 0 441.536 0.135 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000557295625 1074693446 /nfs/dbraw/zinc/69/34/46/1074693446.db2.gz ROMLTMKFNMVXSV-UHFFFAOYSA-N 0 0 431.537 -0.275 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2nc3c(s2)CCCC3)CC1 ZINC000557296068 1074693473 /nfs/dbraw/zinc/69/34/73/1074693473.db2.gz PLOGCKNKDAEMKQ-UHFFFAOYSA-N 0 0 432.510 -0.962 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000557296125 1074693575 /nfs/dbraw/zinc/69/35/75/1074693575.db2.gz QYWJGFUTALXKSX-UHFFFAOYSA-N 0 0 436.534 -0.132 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000557297783 1074693572 /nfs/dbraw/zinc/69/35/72/1074693572.db2.gz CUEXLZLUPBDZJI-CFJPQMKISA-N 0 0 428.942 -0.012 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000557297784 1074693499 /nfs/dbraw/zinc/69/34/99/1074693499.db2.gz CUEXLZLUPBDZJI-DBDZUICISA-N 0 0 428.942 -0.012 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000557297785 1074693484 /nfs/dbraw/zinc/69/34/84/1074693484.db2.gz CUEXLZLUPBDZJI-OIJAAMDRSA-N 0 0 428.942 -0.012 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000557297786 1074693508 /nfs/dbraw/zinc/69/35/08/1074693508.db2.gz CUEXLZLUPBDZJI-QYSRSOONSA-N 0 0 428.942 -0.012 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000557298802 1074693517 /nfs/dbraw/zinc/69/35/17/1074693517.db2.gz LEYJDAYKLZTCOI-UHFFFAOYSA-N 0 0 426.901 -0.428 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(C2CC2)C(=O)C1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000557299336 1074693434 /nfs/dbraw/zinc/69/34/34/1074693434.db2.gz QKHKHMDVIZKECK-UHFFFAOYSA-N 0 0 447.923 -0.062 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)O)CC2)s1 ZINC000557299387 1074693533 /nfs/dbraw/zinc/69/35/33/1074693533.db2.gz DVELEBSYGFFMPG-GFCCVEGCSA-N 0 0 439.581 -0.556 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)O)CC2)s1 ZINC000557299388 1074693423 /nfs/dbraw/zinc/69/34/23/1074693423.db2.gz DVELEBSYGFFMPG-LBPRGKRZSA-N 0 0 439.581 -0.556 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000557299823 1074693463 /nfs/dbraw/zinc/69/34/63/1074693463.db2.gz TWDHVTKBOONZRY-CABCVRRESA-N 0 0 440.928 -0.305 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1)[C@@H](C)CO ZINC000557299829 1074693581 /nfs/dbraw/zinc/69/35/81/1074693581.db2.gz TWDHVTKBOONZRY-GJZGRUSLSA-N 0 0 440.928 -0.305 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1)[C@H](C)CO ZINC000557299830 1074693513 /nfs/dbraw/zinc/69/35/13/1074693513.db2.gz TWDHVTKBOONZRY-HUUCEWRRSA-N 0 0 440.928 -0.305 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1)[C@@H](C)CO ZINC000557299831 1074693502 /nfs/dbraw/zinc/69/35/02/1074693502.db2.gz TWDHVTKBOONZRY-LSDHHAIUSA-N 0 0 440.928 -0.305 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000557299840 1074693454 /nfs/dbraw/zinc/69/34/54/1074693454.db2.gz UKGWRELQPCBMJU-UHFFFAOYSA-N 0 0 425.511 -0.807 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1 ZINC000557300329 1074693587 /nfs/dbraw/zinc/69/35/87/1074693587.db2.gz BADIUFNLZGQNTA-QAPCUYQASA-N 0 0 447.554 -0.363 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC(=O)N1C ZINC000557303117 1074693922 /nfs/dbraw/zinc/69/39/22/1074693922.db2.gz OPMOTMPTBWQGPR-CXAGYDPISA-N 0 0 436.494 -0.529 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC000557304730 1074694010 /nfs/dbraw/zinc/69/40/10/1074694010.db2.gz RNHGCJBMKPAGAG-PBHICJAKSA-N 0 0 432.477 -0.145 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)CCC(=O)N1C ZINC000557306783 1074693901 /nfs/dbraw/zinc/69/39/01/1074693901.db2.gz QYQGWYBWEWEBGY-QMTHXVAHSA-N 0 0 439.270 -0.077 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CCC(=O)N(C)[C@H]2c2ccnn2C)nc2c1c(=O)n(C)c(=O)n2C ZINC000557306992 1074693926 /nfs/dbraw/zinc/69/39/26/1074693926.db2.gz XODUPBFPQGPXKQ-SJKOYZFVSA-N 0 0 439.476 -0.234 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCCCNC(=O)c2ccc(Br)cc2)CCO1 ZINC000557311319 1074693970 /nfs/dbraw/zinc/69/39/70/1074693970.db2.gz IXGBWOCVZUCJOK-CYBMUJFWSA-N 0 0 441.282 -0.602 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCCCNC(=O)c2ccc(Br)cc2)CCO1 ZINC000557311324 1074694006 /nfs/dbraw/zinc/69/40/06/1074694006.db2.gz IXGBWOCVZUCJOK-ZDUSSCGKSA-N 0 0 441.282 -0.602 20 0 IBADRN C[C@H](C[C@@H]1CCCO1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000557314205 1074693916 /nfs/dbraw/zinc/69/39/16/1074693916.db2.gz ADTOQLHPYJWVHL-NCOADZHNSA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@H](C[C@@H]1CCCO1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000557314207 1074693976 /nfs/dbraw/zinc/69/39/76/1074693976.db2.gz ADTOQLHPYJWVHL-QZWWFDLISA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@H](C[C@@H]1CCCO1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000557314210 1074694028 /nfs/dbraw/zinc/69/40/28/1074694028.db2.gz ADTOQLHPYJWVHL-VQHPVUNQSA-N 0 0 446.570 -0.442 20 0 IBADRN C[C@H](C[C@H]1CCCO1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000557314212 1074694459 /nfs/dbraw/zinc/69/44/59/1074694459.db2.gz ADTOQLHPYJWVHL-WCXIOVBPSA-N 0 0 446.570 -0.442 20 0 IBADRN CO[C@@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000557316859 1074694564 /nfs/dbraw/zinc/69/45/64/1074694564.db2.gz WFVDNMIGITXTBN-MWDXBVQZSA-N 0 0 446.570 -0.584 20 0 IBADRN CO[C@@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000557316860 1074694495 /nfs/dbraw/zinc/69/44/95/1074694495.db2.gz WFVDNMIGITXTBN-QBPKDAKJSA-N 0 0 446.570 -0.584 20 0 IBADRN CO[C@@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000557316861 1074694498 /nfs/dbraw/zinc/69/44/98/1074694498.db2.gz WFVDNMIGITXTBN-VVLHAWIVSA-N 0 0 446.570 -0.584 20 0 IBADRN CO[C@@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000557316862 1074694480 /nfs/dbraw/zinc/69/44/80/1074694480.db2.gz WFVDNMIGITXTBN-YYIAUSFCSA-N 0 0 446.570 -0.584 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCC(O)(C(N)=O)CC3)cc2n(C)c1=O ZINC000557319538 1074694467 /nfs/dbraw/zinc/69/44/67/1074694467.db2.gz AYVGXYXIMAZTJC-UHFFFAOYSA-N 0 0 447.311 -0.360 20 0 IBADRN COc1cccc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2)c1 ZINC000557320376 1074694523 /nfs/dbraw/zinc/69/45/23/1074694523.db2.gz UFQOTPYZAWTJMG-INIZCTEOSA-N 0 0 443.504 -0.661 20 0 IBADRN COc1cccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2)c1 ZINC000557320377 1074694502 /nfs/dbraw/zinc/69/45/02/1074694502.db2.gz UFQOTPYZAWTJMG-MRXNPFEDSA-N 0 0 443.504 -0.661 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000557321875 1074694571 /nfs/dbraw/zinc/69/45/71/1074694571.db2.gz MWEYEUCXSKMSBT-GFCCVEGCSA-N 0 0 442.460 -0.414 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000557321876 1074694506 /nfs/dbraw/zinc/69/45/06/1074694506.db2.gz MWEYEUCXSKMSBT-LBPRGKRZSA-N 0 0 442.460 -0.414 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC000557324381 1074694548 /nfs/dbraw/zinc/69/45/48/1074694548.db2.gz LAISFPPLAKBUNB-UHFFFAOYSA-N 0 0 443.508 -0.565 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)C(=O)NCCNC(=O)CC3CCCC3)C2)c1=O ZINC000557326385 1074694568 /nfs/dbraw/zinc/69/45/68/1074694568.db2.gz PPRBWKAMUHDWID-INIZCTEOSA-N 0 0 432.525 -0.322 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)C(=O)NCCNC(=O)CC3CCCC3)C2)c1=O ZINC000557326386 1074694532 /nfs/dbraw/zinc/69/45/32/1074694532.db2.gz PPRBWKAMUHDWID-MRXNPFEDSA-N 0 0 432.525 -0.322 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)c(O)c2)C1 ZINC000557327153 1074694512 /nfs/dbraw/zinc/69/45/12/1074694512.db2.gz KBZZVZDZAPSSPT-HNNXBMFYSA-N 0 0 443.522 -0.084 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)c(O)c2)C1 ZINC000557327154 1074694489 /nfs/dbraw/zinc/69/44/89/1074694489.db2.gz KBZZVZDZAPSSPT-OAHLLOKOSA-N 0 0 443.522 -0.084 20 0 IBADRN CN(Cc1nccc(N)n1)[C@H]1CCN(C(=O)C(=O)NCCNC(=O)CC2CCCC2)C1 ZINC000557327353 1073358739 /nfs/dbraw/zinc/35/87/39/1073358739.db2.gz QZXUTUJZMLAWBY-INIZCTEOSA-N 0 0 431.541 -0.096 20 0 IBADRN CN(Cc1nccc(N)n1)[C@@H]1CCN(C(=O)C(=O)NCCNC(=O)CC2CCCC2)C1 ZINC000557327355 1074694515 /nfs/dbraw/zinc/69/45/15/1074694515.db2.gz QZXUTUJZMLAWBY-MRXNPFEDSA-N 0 0 431.541 -0.096 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1NC(C)=O ZINC000557330213 1074694519 /nfs/dbraw/zinc/69/45/19/1074694519.db2.gz XYVWCLXUKXCBGE-UHFFFAOYSA-N 0 0 445.476 -0.781 20 0 IBADRN CC(C)(C)C(=O)N1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000557331833 1074694991 /nfs/dbraw/zinc/69/49/91/1074694991.db2.gz FAVXJMPZKYCHHI-UHFFFAOYSA-N 0 0 431.541 -0.568 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)CCN2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000557332204 1074694538 /nfs/dbraw/zinc/69/45/38/1074694538.db2.gz SZKCOCUAFZMYJP-GASCZTMLSA-N 0 0 426.539 -0.222 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)C1 ZINC000557333333 1074695010 /nfs/dbraw/zinc/69/50/10/1074695010.db2.gz RAPJNCPNDABUOQ-KRWDZBQOSA-N 0 0 430.509 -0.499 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)C1 ZINC000557333364 1074695057 /nfs/dbraw/zinc/69/50/57/1074695057.db2.gz RAPJNCPNDABUOQ-QGZVFWFLSA-N 0 0 430.509 -0.499 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)cn1 ZINC000557333657 1074695001 /nfs/dbraw/zinc/69/50/01/1074695001.db2.gz BZFYIKDWOFXZPW-UHFFFAOYSA-N 0 0 430.465 -0.130 20 0 IBADRN COc1ccc(Cl)cc1N1CC[C@@H](NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)C1 ZINC000557333918 1074695048 /nfs/dbraw/zinc/69/50/48/1074695048.db2.gz UPKAYNLACKUPEX-CHWSQXEVSA-N 0 0 430.914 -0.067 20 0 IBADRN COc1ccc(Cl)cc1N1CC[C@@H](NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)C1 ZINC000557333925 1074694970 /nfs/dbraw/zinc/69/49/70/1074694970.db2.gz UPKAYNLACKUPEX-OLZOCXBDSA-N 0 0 430.914 -0.067 20 0 IBADRN COc1ccc(Cl)cc1N1CC[C@H](NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)C1 ZINC000557333928 1074694919 /nfs/dbraw/zinc/69/49/19/1074694919.db2.gz UPKAYNLACKUPEX-QWHCGFSZSA-N 0 0 430.914 -0.067 20 0 IBADRN COc1ccc(Cl)cc1N1CC[C@H](NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)C1 ZINC000557333931 1074695053 /nfs/dbraw/zinc/69/50/53/1074695053.db2.gz UPKAYNLACKUPEX-STQMWFEESA-N 0 0 430.914 -0.067 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000557334640 1074695016 /nfs/dbraw/zinc/69/50/16/1074695016.db2.gz GSVMQWWPIGJSGR-KRWDZBQOSA-N 0 0 436.534 -0.212 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000557334666 1074695069 /nfs/dbraw/zinc/69/50/69/1074695069.db2.gz GSVMQWWPIGJSGR-QGZVFWFLSA-N 0 0 436.534 -0.212 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000557335343 1074695040 /nfs/dbraw/zinc/69/50/40/1074695040.db2.gz SAWGIUFAZBOSQK-INIZCTEOSA-N 0 0 447.540 -0.862 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000557335346 1074695026 /nfs/dbraw/zinc/69/50/26/1074695026.db2.gz KJSSLGJDGMDVMX-IBGZPJMESA-N 0 0 431.537 -0.544 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000557335351 1074695074 /nfs/dbraw/zinc/69/50/74/1074695074.db2.gz SAWGIUFAZBOSQK-MRXNPFEDSA-N 0 0 447.540 -0.862 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000557335354 1074695062 /nfs/dbraw/zinc/69/50/62/1074695062.db2.gz KJSSLGJDGMDVMX-LJQANCHMSA-N 0 0 431.537 -0.544 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N(C)c1ccccc1 ZINC000557336745 1074695034 /nfs/dbraw/zinc/69/50/34/1074695034.db2.gz WSGIZLBTIULIOR-KRWDZBQOSA-N 0 0 445.520 -0.843 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N(C)c1ccccc1 ZINC000557336746 1074694978 /nfs/dbraw/zinc/69/49/78/1074694978.db2.gz WSGIZLBTIULIOR-QGZVFWFLSA-N 0 0 445.520 -0.843 20 0 IBADRN CN(Cc1cnccn1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000557338030 1074694900 /nfs/dbraw/zinc/69/49/00/1074694900.db2.gz MLEWUCIWQNZMQO-UHFFFAOYSA-N 0 0 447.521 -0.902 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NCCCN(C)S(=O)(=O)CC)CC1 ZINC000557338057 1074694934 /nfs/dbraw/zinc/69/49/34/1074694934.db2.gz OSIOHNJTVLMVNU-UHFFFAOYSA-N 0 0 447.602 -0.823 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)CC1 ZINC000557339889 1074694952 /nfs/dbraw/zinc/69/49/52/1074694952.db2.gz AADJJBODGCXTLB-UHFFFAOYSA-N 0 0 431.537 -0.238 20 0 IBADRN Cc1nnc(SCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)n1CC(=O)N(C)C ZINC000557340762 1074695441 /nfs/dbraw/zinc/69/54/41/1074695441.db2.gz YVWLKSNEYSWGNR-UHFFFAOYSA-N 0 0 439.586 -0.174 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC(C)(C)c1ccccc1F)C2 ZINC000557340894 1074695084 /nfs/dbraw/zinc/69/50/84/1074695084.db2.gz HEJDBJLZKFGARO-UHFFFAOYSA-N 0 0 446.483 -0.292 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000557342314 1074695507 /nfs/dbraw/zinc/69/55/07/1074695507.db2.gz JQYYSDIQYNVHLH-UHFFFAOYSA-N 0 0 428.530 -0.077 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)c1 ZINC000557345340 1074695561 /nfs/dbraw/zinc/69/55/61/1074695561.db2.gz BPDHTTYRFVPCIA-CYBMUJFWSA-N 0 0 436.556 -0.317 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)c1 ZINC000557345341 1074695528 /nfs/dbraw/zinc/69/55/28/1074695528.db2.gz BPDHTTYRFVPCIA-ZDUSSCGKSA-N 0 0 436.556 -0.317 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000557346249 1074695483 /nfs/dbraw/zinc/69/54/83/1074695483.db2.gz YNHGAHKZHSGWHL-AWEZNQCLSA-N 0 0 426.495 -0.416 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000557346250 1074695471 /nfs/dbraw/zinc/69/54/71/1074695471.db2.gz YNHGAHKZHSGWHL-CQSZACIVSA-N 0 0 426.495 -0.416 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)C1)S(C)(=O)=O ZINC000557347230 1074695534 /nfs/dbraw/zinc/69/55/34/1074695534.db2.gz DNNXCJVXNDIPGB-GFCCVEGCSA-N 0 0 438.510 0.512 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)C1)S(C)(=O)=O ZINC000557347235 1074695558 /nfs/dbraw/zinc/69/55/58/1074695558.db2.gz DNNXCJVXNDIPGB-LBPRGKRZSA-N 0 0 438.510 0.512 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000557348551 1074695499 /nfs/dbraw/zinc/69/54/99/1074695499.db2.gz ZEQCYEVKHFIRMK-CYBMUJFWSA-N 0 0 437.522 -0.316 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000557348553 1074695524 /nfs/dbraw/zinc/69/55/24/1074695524.db2.gz ZEQCYEVKHFIRMK-ZDUSSCGKSA-N 0 0 437.522 -0.316 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1)S(C)(=O)=O ZINC000557349003 1074695515 /nfs/dbraw/zinc/69/55/15/1074695515.db2.gz DMDRFZOUMAZXBG-DLBZAZTESA-N 0 0 430.571 -0.703 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1)S(C)(=O)=O ZINC000557349004 1074695574 /nfs/dbraw/zinc/69/55/74/1074695574.db2.gz DMDRFZOUMAZXBG-IAGOWNOFSA-N 0 0 430.571 -0.703 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1)S(C)(=O)=O ZINC000557349005 1074695489 /nfs/dbraw/zinc/69/54/89/1074695489.db2.gz DMDRFZOUMAZXBG-IRXDYDNUSA-N 0 0 430.571 -0.703 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1)S(C)(=O)=O ZINC000557349006 1074695565 /nfs/dbraw/zinc/69/55/65/1074695565.db2.gz DMDRFZOUMAZXBG-SJORKVTESA-N 0 0 430.571 -0.703 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)nc1 ZINC000557351046 1074695541 /nfs/dbraw/zinc/69/55/41/1074695541.db2.gz UKYUZLYXSPNJBF-UHFFFAOYSA-N 0 0 427.465 -0.649 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000557351078 1074695462 /nfs/dbraw/zinc/69/54/62/1074695462.db2.gz WJXPPPAODOEVNS-UHFFFAOYSA-N 0 0 439.476 -0.953 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H](c2ncc[nH]2)C1)N1CCN(c2ncccn2)CC1 ZINC000557351335 1074696006 /nfs/dbraw/zinc/69/60/06/1074696006.db2.gz YEQQCWVUHTUIOR-INIZCTEOSA-N 0 0 440.508 -0.239 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H](c2ncc[nH]2)C1)N1CCN(c2ncccn2)CC1 ZINC000557351336 1074695936 /nfs/dbraw/zinc/69/59/36/1074695936.db2.gz YEQQCWVUHTUIOR-MRXNPFEDSA-N 0 0 440.508 -0.239 20 0 IBADRN C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000557351762 1074696064 /nfs/dbraw/zinc/69/60/64/1074696064.db2.gz ILRVHFRHKXJBQB-HNNXBMFYSA-N 0 0 447.540 -0.607 20 0 IBADRN C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000557351763 1074696056 /nfs/dbraw/zinc/69/60/56/1074696056.db2.gz ILRVHFRHKXJBQB-OAHLLOKOSA-N 0 0 447.540 -0.607 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCC1 ZINC000557352091 1074695993 /nfs/dbraw/zinc/69/59/93/1074695993.db2.gz RFBODFSNWJMDTP-PMERELPUSA-N 0 0 436.538 -0.951 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCC1 ZINC000557352092 1074695986 /nfs/dbraw/zinc/69/59/86/1074695986.db2.gz RFBODFSNWJMDTP-SSEXGKCCSA-N 0 0 436.538 -0.951 20 0 IBADRN CN(Cc1ccc(O)cc1)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000557352810 1074696073 /nfs/dbraw/zinc/69/60/73/1074696073.db2.gz BFYWOMJAOCEQDC-UHFFFAOYSA-N 0 0 426.477 -0.004 20 0 IBADRN CN(Cc1cccc(O)c1)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000557352822 1074696047 /nfs/dbraw/zinc/69/60/47/1074696047.db2.gz CBCOLDIFNADFMS-UHFFFAOYSA-N 0 0 426.477 -0.004 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000557353030 1074696036 /nfs/dbraw/zinc/69/60/36/1074696036.db2.gz GYIGSLSJUYBAME-UHFFFAOYSA-N 0 0 427.461 -0.224 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000557353039 1074695923 /nfs/dbraw/zinc/69/59/23/1074695923.db2.gz HEIJUUYZLPZSFN-UHFFFAOYSA-N 0 0 446.552 -0.789 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)CC2)no1 ZINC000557353153 1074696001 /nfs/dbraw/zinc/69/60/01/1074696001.db2.gz IZKLKUHYLPAVRR-UHFFFAOYSA-N 0 0 428.493 -0.160 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)C(F)(F)F ZINC000557353193 1074696041 /nfs/dbraw/zinc/69/60/41/1074696041.db2.gz KNFWRTNYXPBBLY-CYBMUJFWSA-N 0 0 446.430 -0.285 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)C(F)(F)F ZINC000557353194 1074695962 /nfs/dbraw/zinc/69/59/62/1074695962.db2.gz KNFWRTNYXPBBLY-ZDUSSCGKSA-N 0 0 446.430 -0.285 20 0 IBADRN Cc1cc(C[C@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[nH]n1 ZINC000557353399 1074696452 /nfs/dbraw/zinc/69/64/52/1074696452.db2.gz NZUWFUZWUIHIFN-AWEZNQCLSA-N 0 0 428.497 -0.590 20 0 IBADRN Cc1cc(C[C@@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[nH]n1 ZINC000557353400 1074696487 /nfs/dbraw/zinc/69/64/87/1074696487.db2.gz NZUWFUZWUIHIFN-CQSZACIVSA-N 0 0 428.497 -0.590 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)CC2)n1 ZINC000557353451 1074696527 /nfs/dbraw/zinc/69/65/27/1074696527.db2.gz PKXIHXDGVIDCIA-UHFFFAOYSA-N 0 0 445.549 -0.039 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)CC2)on1 ZINC000557353754 1074696435 /nfs/dbraw/zinc/69/64/35/1074696435.db2.gz USKAUBXLYFBRAR-UHFFFAOYSA-N 0 0 428.493 -0.160 20 0 IBADRN CCn1cc(CN(C)C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000557353874 1074696399 /nfs/dbraw/zinc/69/63/99/1074696399.db2.gz FWHFPJQEHDVWHV-UHFFFAOYSA-N 0 0 428.497 -0.494 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000557354000 1074696506 /nfs/dbraw/zinc/69/65/06/1074696506.db2.gz WECJEZXWXUBCJZ-UHFFFAOYSA-N 0 0 429.456 -0.523 20 0 IBADRN CC(C)(C)CN(CCCO)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000557354025 1074696463 /nfs/dbraw/zinc/69/64/63/1074696463.db2.gz XMJSMKHDCNWLKH-UHFFFAOYSA-N 0 0 434.541 -0.111 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCCN(c2ccnn2C)C1 ZINC000557354167 1074696069 /nfs/dbraw/zinc/69/60/69/1074696069.db2.gz YTEOWILDCOOHSJ-KRWDZBQOSA-N 0 0 427.509 -0.283 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2C)C1 ZINC000557354168 1074695952 /nfs/dbraw/zinc/69/59/52/1074695952.db2.gz YTEOWILDCOOHSJ-QGZVFWFLSA-N 0 0 427.509 -0.283 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)CC2)n1 ZINC000557354181 1074696531 /nfs/dbraw/zinc/69/65/31/1074696531.db2.gz IJLHZMMTHUUCIH-UHFFFAOYSA-N 0 0 425.493 -0.354 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1ccn2ccnc2c1)N1CCN(c2ncccn2)CC1 ZINC000557354678 1074697040 /nfs/dbraw/zinc/69/70/40/1074697040.db2.gz OLSUOEWTFWPCQC-UHFFFAOYSA-N 0 0 436.476 -0.404 20 0 IBADRN CCc1nc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cs1 ZINC000557355084 1074697034 /nfs/dbraw/zinc/69/70/34/1074697034.db2.gz PXPFIERMINWCES-UHFFFAOYSA-N 0 0 431.522 -0.033 20 0 IBADRN CC(C)OC[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000557355160 1074697024 /nfs/dbraw/zinc/69/70/24/1074697024.db2.gz RJVGSCPWOIGNSM-KRWDZBQOSA-N 0 0 448.524 -0.716 20 0 IBADRN CC(C)OC[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC000557355161 1074697004 /nfs/dbraw/zinc/69/70/04/1074697004.db2.gz RJVGSCPWOIGNSM-QGZVFWFLSA-N 0 0 448.524 -0.716 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCC[C@H]1OC(F)F)N1CCN(c2ncccn2)CC1 ZINC000557355872 1074697053 /nfs/dbraw/zinc/69/70/53/1074697053.db2.gz AGBQDOPKLUXBCZ-ZIAGYGMSSA-N 0 0 440.451 -0.092 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)CC2)ncn1 ZINC000557356092 1074697048 /nfs/dbraw/zinc/69/70/48/1074697048.db2.gz XXCMBSYWULIKBU-UHFFFAOYSA-N 0 0 441.492 -0.654 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(CC2CC2)CC1)N1CCN(c2ncccn2)CC1 ZINC000557356128 1074696955 /nfs/dbraw/zinc/69/69/55/1074696955.db2.gz ZFGFMSNHDHXQJA-UHFFFAOYSA-N 0 0 429.525 -0.814 20 0 IBADRN COc1cnccc1[C@H](C)NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000557356613 1074697065 /nfs/dbraw/zinc/69/70/65/1074697065.db2.gz IFFKIWAVPWJVCA-HNNXBMFYSA-N 0 0 441.492 -0.088 20 0 IBADRN COc1cnccc1[C@@H](C)NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000557356614 1074697029 /nfs/dbraw/zinc/69/70/29/1074697029.db2.gz IFFKIWAVPWJVCA-OAHLLOKOSA-N 0 0 441.492 -0.088 20 0 IBADRN O=C(CCNC(=O)C(=O)N(CCO)Cc1ccccc1)N1CCN(c2ncccn2)CC1 ZINC000557356661 1074696995 /nfs/dbraw/zinc/69/69/95/1074696995.db2.gz LONYATIHXHVTGR-UHFFFAOYSA-N 0 0 440.504 -0.347 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCOC2(CCOCC2)C1)N1CCN(c2ncccn2)CC1 ZINC000557357643 1074697495 /nfs/dbraw/zinc/69/74/95/1074697495.db2.gz VXBMGMSWOXEFHG-UHFFFAOYSA-N 0 0 446.508 -0.961 20 0 IBADRN COc1cc(NS(C)(=O)=O)ccc1NC(=O)C(=O)N1CCN(C(=O)N(C)C)CC1 ZINC000557359583 1074697521 /nfs/dbraw/zinc/69/75/21/1074697521.db2.gz LPXVOCBRTDPEMF-UHFFFAOYSA-N 0 0 427.483 -0.169 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)ccc1F ZINC000557360687 1074697484 /nfs/dbraw/zinc/69/74/84/1074697484.db2.gz ACHNQCLBQYSIPH-UHFFFAOYSA-N 0 0 448.454 -0.315 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000557363139 1074697503 /nfs/dbraw/zinc/69/75/03/1074697503.db2.gz KJZOYWUJWUNKEF-GFCCVEGCSA-N 0 0 447.564 -0.191 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000557363141 1074697414 /nfs/dbraw/zinc/69/74/14/1074697414.db2.gz KJZOYWUJWUNKEF-LBPRGKRZSA-N 0 0 447.564 -0.191 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)cn1 ZINC000557364120 1074697478 /nfs/dbraw/zinc/69/74/78/1074697478.db2.gz RWGJABHPUBFUSP-GFCCVEGCSA-N 0 0 447.564 -0.413 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)cn1 ZINC000557364122 1074697435 /nfs/dbraw/zinc/69/74/35/1074697435.db2.gz RWGJABHPUBFUSP-LBPRGKRZSA-N 0 0 447.564 -0.413 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2ccc(C)cc2)N2CCOCC2)CC1 ZINC000557369098 1074697463 /nfs/dbraw/zinc/69/74/63/1074697463.db2.gz QQYLLEPQFMCXGQ-FQEVSTJZSA-N 0 0 445.564 -0.235 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2ccc(C)cc2)N2CCOCC2)CC1 ZINC000557369104 1074697539 /nfs/dbraw/zinc/69/75/39/1074697539.db2.gz QQYLLEPQFMCXGQ-HXUWFJFHSA-N 0 0 445.564 -0.235 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000557370846 1074697452 /nfs/dbraw/zinc/69/74/52/1074697452.db2.gz POROOGUEMZVQFZ-MSOLQXFVSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000557370847 1074697443 /nfs/dbraw/zinc/69/74/43/1074697443.db2.gz POROOGUEMZVQFZ-QZTJIDSGSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000557370848 1074697506 /nfs/dbraw/zinc/69/75/06/1074697506.db2.gz POROOGUEMZVQFZ-ROUUACIJSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000557370849 1074697472 /nfs/dbraw/zinc/69/74/72/1074697472.db2.gz POROOGUEMZVQFZ-ZWKOTPCHSA-N 0 0 435.569 -0.047 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1NS(C)(=O)=O ZINC000557375737 1074697531 /nfs/dbraw/zinc/69/75/31/1074697531.db2.gz BHEBIBOIZXLEQW-UHFFFAOYSA-N 0 0 448.505 -0.252 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccc4nncn4n3)CC2)C1 ZINC000557375778 1074697988 /nfs/dbraw/zinc/69/79/88/1074697988.db2.gz ATAXTDXUOWADKO-CVEARBPZSA-N 0 0 442.524 -0.028 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccc4nncn4n3)CC2)C1 ZINC000557375795 1074698017 /nfs/dbraw/zinc/69/80/17/1074698017.db2.gz ATAXTDXUOWADKO-HOTGVXAUSA-N 0 0 442.524 -0.028 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccc4nncn4n3)CC2)C1 ZINC000557375798 1074697932 /nfs/dbraw/zinc/69/79/32/1074697932.db2.gz ATAXTDXUOWADKO-HZPDHXFCSA-N 0 0 442.524 -0.028 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccc4nncn4n3)CC2)C1 ZINC000557375800 1074697924 /nfs/dbraw/zinc/69/79/24/1074697924.db2.gz ATAXTDXUOWADKO-JKSUJKDBSA-N 0 0 442.524 -0.028 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)CC(F)(F)F ZINC000557376045 1074697510 /nfs/dbraw/zinc/69/75/10/1074697510.db2.gz WIDHSGBDRZKAHN-CYBMUJFWSA-N 0 0 444.476 -0.750 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)CC(F)(F)F ZINC000557376047 1074697528 /nfs/dbraw/zinc/69/75/28/1074697528.db2.gz WIDHSGBDRZKAHN-ZDUSSCGKSA-N 0 0 444.476 -0.750 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCN(C)CC(F)(F)F)cn1 ZINC000557379166 1074697972 /nfs/dbraw/zinc/69/79/72/1074697972.db2.gz XLSCKTJQHYLBBQ-CYBMUJFWSA-N 0 0 439.460 -0.189 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCN(C)CC(F)(F)F)cn1 ZINC000557379167 1074698012 /nfs/dbraw/zinc/69/80/12/1074698012.db2.gz XLSCKTJQHYLBBQ-ZDUSSCGKSA-N 0 0 439.460 -0.189 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cc21 ZINC000557388319 1074697999 /nfs/dbraw/zinc/69/79/99/1074697999.db2.gz KGHOGNMTFGXYHD-UHFFFAOYSA-N 0 0 428.493 -0.266 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)NCCNC(=O)CC3CCCC3)CC(=O)N2C)cnn1C ZINC000557406673 1074697889 /nfs/dbraw/zinc/69/78/89/1074697889.db2.gz AHVGTEYIXTYIEL-APWZRJJASA-N 0 0 432.525 -0.071 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)CC2)cs1 ZINC000557406749 1074697951 /nfs/dbraw/zinc/69/79/51/1074697951.db2.gz BKSAPMCQIAMESQ-UHFFFAOYSA-N 0 0 445.567 -0.437 20 0 IBADRN CC(C)(C)n1ncnc1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000557407571 1074697868 /nfs/dbraw/zinc/69/78/68/1074697868.db2.gz IAZBEISKGDEOHM-UHFFFAOYSA-N 0 0 442.524 -0.101 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000557408122 1074698006 /nfs/dbraw/zinc/69/80/06/1074698006.db2.gz LHANADSOSYDPGK-UHFFFAOYSA-N 0 0 428.497 -0.886 20 0 IBADRN Cc1cccc(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000557408692 1074698023 /nfs/dbraw/zinc/69/80/23/1074698023.db2.gz NZQBYSZAUQSMAS-UHFFFAOYSA-N 0 0 425.493 -0.306 20 0 IBADRN CC(=O)NCCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000557411114 1074698032 /nfs/dbraw/zinc/69/80/32/1074698032.db2.gz JZHILASAACKKKO-GFCCVEGCSA-N 0 0 438.428 -0.130 20 0 IBADRN CC(=O)NCCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000557411118 1074697961 /nfs/dbraw/zinc/69/79/61/1074697961.db2.gz JZHILASAACKKKO-LBPRGKRZSA-N 0 0 438.428 -0.130 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000557412259 1074698650 /nfs/dbraw/zinc/69/86/50/1074698650.db2.gz PVZAXOCVSCYGFR-GFCCVEGCSA-N 0 0 429.524 -0.276 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000557412261 1074698499 /nfs/dbraw/zinc/69/84/99/1074698499.db2.gz PVZAXOCVSCYGFR-LBPRGKRZSA-N 0 0 429.524 -0.276 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000557413749 1074698470 /nfs/dbraw/zinc/69/84/70/1074698470.db2.gz YXGWKIOGEGKJKE-FRQCXROJSA-N 0 0 449.504 -0.211 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000557413757 1074698437 /nfs/dbraw/zinc/69/84/37/1074698437.db2.gz YXGWKIOGEGKJKE-GPMSIDNRSA-N 0 0 449.504 -0.211 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(Cc4nccn4C)CC3)CC2=O)c1 ZINC000557413762 1074698476 /nfs/dbraw/zinc/69/84/76/1074698476.db2.gz FRZJCCHHTHMNSX-INIZCTEOSA-N 0 0 440.504 -0.005 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(Cc4nccn4C)CC3)CC2=O)c1 ZINC000557413765 1074698462 /nfs/dbraw/zinc/69/84/62/1074698462.db2.gz FRZJCCHHTHMNSX-MRXNPFEDSA-N 0 0 440.504 -0.005 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000557413854 1074698458 /nfs/dbraw/zinc/69/84/58/1074698458.db2.gz YXGWKIOGEGKJKE-JTDSTZFVSA-N 0 0 449.504 -0.211 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000557413856 1074698549 /nfs/dbraw/zinc/69/85/49/1074698549.db2.gz YXGWKIOGEGKJKE-TXPKVOOTSA-N 0 0 449.504 -0.211 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)CC2=O)c1 ZINC000557413927 1074698511 /nfs/dbraw/zinc/69/85/11/1074698511.db2.gz FUNUJFNJUHZBSI-AWEZNQCLSA-N 0 0 431.493 -0.675 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)CC2=O)c1 ZINC000557413928 1074698466 /nfs/dbraw/zinc/69/84/66/1074698466.db2.gz FUNUJFNJUHZBSI-CQSZACIVSA-N 0 0 431.493 -0.675 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2=O)c1 ZINC000557414621 1074700125 /nfs/dbraw/zinc/70/01/25/1074700125.db2.gz LNFHTVWFBFGMLS-INIZCTEOSA-N 0 0 445.520 -0.415 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2=O)c1 ZINC000557414624 1074700034 /nfs/dbraw/zinc/70/00/34/1074700034.db2.gz LNFHTVWFBFGMLS-MRXNPFEDSA-N 0 0 445.520 -0.415 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)CC2=O)c1 ZINC000557415211 1074699059 /nfs/dbraw/zinc/69/90/59/1074699059.db2.gz SJHQTLOBRXFWGT-CABCVRRESA-N 0 0 429.477 -0.021 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)CC2=O)c1 ZINC000557415212 1074699471 /nfs/dbraw/zinc/69/94/71/1074699471.db2.gz SJHQTLOBRXFWGT-GJZGRUSLSA-N 0 0 429.477 -0.021 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)CC2=O)c1 ZINC000557415213 1074699457 /nfs/dbraw/zinc/69/94/57/1074699457.db2.gz SJHQTLOBRXFWGT-HUUCEWRRSA-N 0 0 429.477 -0.021 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)CC2=O)c1 ZINC000557415214 1074699176 /nfs/dbraw/zinc/69/91/76/1074699176.db2.gz SJHQTLOBRXFWGT-LSDHHAIUSA-N 0 0 429.477 -0.021 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000557415614 1074699776 /nfs/dbraw/zinc/69/97/76/1074699776.db2.gz XDRPJMHNKSXEQM-BBRMVZONSA-N 0 0 438.506 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000557415615 1074700012 /nfs/dbraw/zinc/70/00/12/1074700012.db2.gz XDRPJMHNKSXEQM-CJNGLKHVSA-N 0 0 438.506 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000557415616 1074700086 /nfs/dbraw/zinc/70/00/86/1074700086.db2.gz XDRPJMHNKSXEQM-CZUORRHYSA-N 0 0 438.506 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000557415617 1074700022 /nfs/dbraw/zinc/70/00/22/1074700022.db2.gz XDRPJMHNKSXEQM-XJKSGUPXSA-N 0 0 438.506 -0.543 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000557415820 1074700146 /nfs/dbraw/zinc/70/01/46/1074700146.db2.gz VJCKIRCWNIRXDV-AWEZNQCLSA-N 0 0 438.506 -0.589 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000557415821 1074699757 /nfs/dbraw/zinc/69/97/57/1074699757.db2.gz VJCKIRCWNIRXDV-CQSZACIVSA-N 0 0 438.506 -0.589 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCCc2cccc(C(N)=O)c2)CC1 ZINC000557417598 1074700429 /nfs/dbraw/zinc/70/04/29/1074700429.db2.gz OTROTOSAJWSEBT-KRWDZBQOSA-N 0 0 443.548 -0.011 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCCc2cccc(C(N)=O)c2)CC1 ZINC000557417601 1074700408 /nfs/dbraw/zinc/70/04/08/1074700408.db2.gz OTROTOSAJWSEBT-QGZVFWFLSA-N 0 0 443.548 -0.011 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCCc2cccc(C(N)=O)c2)C1=O ZINC000557418153 1074700325 /nfs/dbraw/zinc/70/03/25/1074700325.db2.gz RTQHJQMZDLCLHE-INIZCTEOSA-N 0 0 426.477 -0.515 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCCc2cccc(C(N)=O)c2)C1=O ZINC000557418154 1074700376 /nfs/dbraw/zinc/70/03/76/1074700376.db2.gz RTQHJQMZDLCLHE-MRXNPFEDSA-N 0 0 426.477 -0.515 20 0 IBADRN CCOc1ccc(N2C(=O)[C@H]3CNC(=O)CN3C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000557419169 1074700364 /nfs/dbraw/zinc/70/03/64/1074700364.db2.gz DAXBLWOKJNHVIZ-CYBMUJFWSA-N 0 0 438.462 -0.627 20 0 IBADRN CCOc1ccc(N2C(=O)[C@@H]3CNC(=O)CN3C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000557419175 1074700465 /nfs/dbraw/zinc/70/04/65/1074700465.db2.gz DAXBLWOKJNHVIZ-ZDUSSCGKSA-N 0 0 438.462 -0.627 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N(CCO)CC1CC1 ZINC000557419903 1074700386 /nfs/dbraw/zinc/70/03/86/1074700386.db2.gz BWHMOYLJPLRPKB-HNNXBMFYSA-N 0 0 441.506 -0.399 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N(CCO)CC1CC1 ZINC000557419904 1074700258 /nfs/dbraw/zinc/70/02/58/1074700258.db2.gz BWHMOYLJPLRPKB-OAHLLOKOSA-N 0 0 441.506 -0.399 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NCCc2cccc(C(N)=O)c2)C1=O ZINC000557420022 1074700497 /nfs/dbraw/zinc/70/04/97/1074700497.db2.gz VUPOFADTAQYNIV-INIZCTEOSA-N 0 0 426.477 -0.028 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NCCc2cccc(C(N)=O)c2)C1=O ZINC000557420028 1074700397 /nfs/dbraw/zinc/70/03/97/1074700397.db2.gz VUPOFADTAQYNIV-MRXNPFEDSA-N 0 0 426.477 -0.028 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc3ccc(F)cc3)CC1)CC2 ZINC000557420926 1074700488 /nfs/dbraw/zinc/70/04/88/1074700488.db2.gz WSYRWHNOGPVSRB-KRWDZBQOSA-N 0 0 443.483 -0.060 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)Nc3ccc(F)cc3)CC1)CC2 ZINC000557420936 1074700419 /nfs/dbraw/zinc/70/04/19/1074700419.db2.gz WSYRWHNOGPVSRB-QGZVFWFLSA-N 0 0 443.483 -0.060 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)COCC(=O)NCc1cccnc1)C(F)(F)F ZINC000557422077 1074700474 /nfs/dbraw/zinc/70/04/74/1074700474.db2.gz ZZQZTLIFWRAXSA-GFCCVEGCSA-N 0 0 426.417 -0.347 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)COCC(=O)NCc1cccnc1)C(F)(F)F ZINC000557422078 1074700773 /nfs/dbraw/zinc/70/07/73/1074700773.db2.gz ZZQZTLIFWRAXSA-LBPRGKRZSA-N 0 0 426.417 -0.347 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)CC1 ZINC000557425454 1074700726 /nfs/dbraw/zinc/70/07/26/1074700726.db2.gz KOTQHPOEVLGMNI-DLBZAZTESA-N 0 0 442.520 -0.331 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)CC1 ZINC000557425457 1074700747 /nfs/dbraw/zinc/70/07/47/1074700747.db2.gz KOTQHPOEVLGMNI-IAGOWNOFSA-N 0 0 442.520 -0.331 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)CC1 ZINC000557425459 1074700696 /nfs/dbraw/zinc/70/06/96/1074700696.db2.gz KOTQHPOEVLGMNI-IRXDYDNUSA-N 0 0 442.520 -0.331 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)CC1 ZINC000557425463 1074700835 /nfs/dbraw/zinc/70/08/35/1074700835.db2.gz KOTQHPOEVLGMNI-SJORKVTESA-N 0 0 442.520 -0.331 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1nccn1CC(F)(F)F ZINC000557425652 1074700715 /nfs/dbraw/zinc/70/07/15/1074700715.db2.gz NZSQJKOHFIWOTO-UHFFFAOYSA-N 0 0 443.473 -0.444 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000557427324 1074700754 /nfs/dbraw/zinc/70/07/54/1074700754.db2.gz JWXUENNOJYXISC-PSASIEDQSA-N 0 0 435.450 -0.975 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000557427326 1074700818 /nfs/dbraw/zinc/70/08/18/1074700818.db2.gz JWXUENNOJYXISC-SCZZXKLOSA-N 0 0 435.450 -0.975 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000557427328 1074700685 /nfs/dbraw/zinc/70/06/85/1074700685.db2.gz JWXUENNOJYXISC-WCBMZHEXSA-N 0 0 435.450 -0.975 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000557427329 1074700845 /nfs/dbraw/zinc/70/08/45/1074700845.db2.gz JWXUENNOJYXISC-WPRPVWTQSA-N 0 0 435.450 -0.975 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000557428535 1074700808 /nfs/dbraw/zinc/70/08/08/1074700808.db2.gz MPDHFHAAYCMLPS-UHFFFAOYSA-N 0 0 444.535 -0.941 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)C1 ZINC000557434760 1074700667 /nfs/dbraw/zinc/70/06/67/1074700667.db2.gz NBUSWRKDKOOASI-LLVKDONJSA-N 0 0 430.508 -0.539 20 0 IBADRN CN(C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000557437868 1074700853 /nfs/dbraw/zinc/70/08/53/1074700853.db2.gz BIYATVJOHKXNPA-HNNXBMFYSA-N 0 0 430.552 -0.025 20 0 IBADRN CN(C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000557437869 1074700781 /nfs/dbraw/zinc/70/07/81/1074700781.db2.gz BIYATVJOHKXNPA-OAHLLOKOSA-N 0 0 430.552 -0.025 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000557438952 1074700763 /nfs/dbraw/zinc/70/07/63/1074700763.db2.gz WXOCKVNBQNGVET-HNNXBMFYSA-N 0 0 447.583 -0.080 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000557438961 1074701000 /nfs/dbraw/zinc/70/10/00/1074701000.db2.gz WXOCKVNBQNGVET-OAHLLOKOSA-N 0 0 447.583 -0.080 20 0 IBADRN CN(C(=O)c1csc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000557439401 1074701048 /nfs/dbraw/zinc/70/10/48/1074701048.db2.gz VCNBYZRJHOCKQS-CYBMUJFWSA-N 0 0 437.565 -0.125 20 0 IBADRN CN(C(=O)c1csc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000557439402 1074701145 /nfs/dbraw/zinc/70/11/45/1074701145.db2.gz VCNBYZRJHOCKQS-ZDUSSCGKSA-N 0 0 437.565 -0.125 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000557439514 1074701071 /nfs/dbraw/zinc/70/10/71/1074701071.db2.gz IGMMOINRWISQLS-AWEZNQCLSA-N 0 0 431.536 -0.346 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000557439515 1074700969 /nfs/dbraw/zinc/70/09/69/1074700969.db2.gz IGMMOINRWISQLS-CQSZACIVSA-N 0 0 431.536 -0.346 20 0 IBADRN CN(C)c1n[nH]c(NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)n1 ZINC000557440801 1074701080 /nfs/dbraw/zinc/70/10/80/1074701080.db2.gz LDDYCLUTBXCBKD-GFCCVEGCSA-N 0 0 430.512 -0.409 20 0 IBADRN CN(C)c1n[nH]c(NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)n1 ZINC000557440802 1074701092 /nfs/dbraw/zinc/70/10/92/1074701092.db2.gz LDDYCLUTBXCBKD-LBPRGKRZSA-N 0 0 430.512 -0.409 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O)C(F)(F)F ZINC000557441137 1074701152 /nfs/dbraw/zinc/70/11/52/1074701152.db2.gz GAGBXZGSUANYLF-AXFHLTTASA-N 0 0 438.494 -0.174 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O)C(F)(F)F ZINC000557441139 1074701116 /nfs/dbraw/zinc/70/11/16/1074701116.db2.gz GAGBXZGSUANYLF-DCAQKATOSA-N 0 0 438.494 -0.174 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O)C(F)(F)F ZINC000557441140 1074701057 /nfs/dbraw/zinc/70/10/57/1074701057.db2.gz GAGBXZGSUANYLF-MXWKQRLJSA-N 0 0 438.494 -0.174 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O)C(F)(F)F ZINC000557441143 1074701067 /nfs/dbraw/zinc/70/10/67/1074701067.db2.gz GAGBXZGSUANYLF-VWYCJHECSA-N 0 0 438.494 -0.174 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000557442522 1074701123 /nfs/dbraw/zinc/70/11/23/1074701123.db2.gz REMMNAHFQNZVNC-GOSISDBHSA-N 0 0 444.536 -0.878 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000557442532 1074700980 /nfs/dbraw/zinc/70/09/80/1074700980.db2.gz REMMNAHFQNZVNC-SFHVURJKSA-N 0 0 444.536 -0.878 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)C1 ZINC000557445321 1074701103 /nfs/dbraw/zinc/70/11/03/1074701103.db2.gz ISIDGVHQQVKTSZ-CHWSQXEVSA-N 0 0 431.481 -0.181 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@H](N2CCOCC2)C(F)(F)F)C1 ZINC000557445322 1074701138 /nfs/dbraw/zinc/70/11/38/1074701138.db2.gz ISIDGVHQQVKTSZ-OLZOCXBDSA-N 0 0 431.481 -0.181 20 0 IBADRN CS(=O)(=O)c1nccc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000557447904 1074701159 /nfs/dbraw/zinc/70/11/59/1074701159.db2.gz CYPIVTRZJCFOEY-UHFFFAOYSA-N 0 0 440.503 -0.169 20 0 IBADRN Nc1nc(C(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)nc(N2CCOCC2)n1 ZINC000557450296 1073364268 /nfs/dbraw/zinc/36/42/68/1073364268.db2.gz WOQDUKWAIZXEJE-UHFFFAOYSA-N 0 0 444.471 -0.174 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1 ZINC000557451172 1074701010 /nfs/dbraw/zinc/70/10/10/1074701010.db2.gz BPVYCPAOAQUEFC-UONOGXRCSA-N 0 0 425.577 -0.772 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1 ZINC000557451178 1074701024 /nfs/dbraw/zinc/70/10/24/1074701024.db2.gz BPVYCPAOAQUEFC-ZIAGYGMSSA-N 0 0 425.577 -0.772 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C3(S(N)(=O)=O)CC3)CC2)c1 ZINC000557456668 1074701441 /nfs/dbraw/zinc/70/14/41/1074701441.db2.gz HZHCAAFKYMJMSY-UHFFFAOYSA-N 0 0 433.508 -0.642 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1ccc(S(=O)(=O)N3CCC[C@H]3CO)cc1)c2=O ZINC000557456809 1074701313 /nfs/dbraw/zinc/70/13/13/1074701313.db2.gz GTZLIDMRHXHBKX-AWEZNQCLSA-N 0 0 446.489 -0.086 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc1)c2=O ZINC000557456814 1074701498 /nfs/dbraw/zinc/70/14/98/1074701498.db2.gz GTZLIDMRHXHBKX-CQSZACIVSA-N 0 0 446.489 -0.086 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2C)on1 ZINC000557456873 1074701431 /nfs/dbraw/zinc/70/14/31/1074701431.db2.gz LBUFKIJXBRPFRH-UHFFFAOYSA-N 0 0 431.453 -0.926 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1 ZINC000557457723 1074701421 /nfs/dbraw/zinc/70/14/21/1074701421.db2.gz NJJXMPSJFPWHKU-HNNXBMFYSA-N 0 0 449.551 -0.274 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1 ZINC000557457734 1074701336 /nfs/dbraw/zinc/70/13/36/1074701336.db2.gz NJJXMPSJFPWHKU-OAHLLOKOSA-N 0 0 449.551 -0.274 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCC[C@H]3CO)cc2)C(=O)C1=O ZINC000557458693 1074701380 /nfs/dbraw/zinc/70/13/80/1074701380.db2.gz BZWSDIAYCYPSKX-HNNXBMFYSA-N 0 0 438.506 -0.539 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc2)C(=O)C1=O ZINC000557458694 1074701455 /nfs/dbraw/zinc/70/14/55/1074701455.db2.gz BZWSDIAYCYPSKX-OAHLLOKOSA-N 0 0 438.506 -0.539 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1 ZINC000557458917 1074701410 /nfs/dbraw/zinc/70/14/10/1074701410.db2.gz DVNVXWTZYNHYKW-INIZCTEOSA-N 0 0 440.522 -0.197 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1 ZINC000557458921 1074701400 /nfs/dbraw/zinc/70/14/00/1074701400.db2.gz DVNVXWTZYNHYKW-MRXNPFEDSA-N 0 0 440.522 -0.197 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1 ZINC000557461511 1074701481 /nfs/dbraw/zinc/70/14/81/1074701481.db2.gz MZGNGZVOHNEZLX-HNNXBMFYSA-N 0 0 449.551 -0.274 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1 ZINC000557461512 1074701290 /nfs/dbraw/zinc/70/12/90/1074701290.db2.gz MZGNGZVOHNEZLX-OAHLLOKOSA-N 0 0 449.551 -0.274 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(CNS(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000557463164 1074701303 /nfs/dbraw/zinc/70/13/03/1074701303.db2.gz IYGKTYCNPWVGAD-PBHICJAKSA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(CNS(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000557463178 1074701474 /nfs/dbraw/zinc/70/14/74/1074701474.db2.gz IYGKTYCNPWVGAD-RHSMWYFYSA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(CNS(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000557463180 1074701466 /nfs/dbraw/zinc/70/14/66/1074701466.db2.gz IYGKTYCNPWVGAD-WMLDXEAASA-N 0 0 447.579 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(CNS(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000557463182 1074701489 /nfs/dbraw/zinc/70/14/89/1074701489.db2.gz IYGKTYCNPWVGAD-YOEHRIQHSA-N 0 0 447.579 -0.189 20 0 IBADRN CNS(=O)(=O)NCC(=O)NCCCNS(=O)(=O)c1ccccc1Br ZINC000557463313 1074701390 /nfs/dbraw/zinc/70/13/90/1074701390.db2.gz PMRQESZANWCRLC-UHFFFAOYSA-N 0 0 443.345 -0.713 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)CN2CCN(CC(N)=O)CC2)c1 ZINC000557463390 1074701325 /nfs/dbraw/zinc/70/13/25/1074701325.db2.gz KKTHKNVAOKTUDJ-UHFFFAOYSA-N 0 0 441.554 -0.233 20 0 IBADRN Nc1nc(C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccc2O)nc(N2CCOCC2)n1 ZINC000557465940 1074701346 /nfs/dbraw/zinc/70/13/46/1074701346.db2.gz VBUTYTAODWPWHL-UHFFFAOYSA-N 0 0 449.493 0.033 20 0 IBADRN Cc1ccc(-n2nnnc2Sc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000557467179 1074701868 /nfs/dbraw/zinc/70/18/68/1074701868.db2.gz IJRSIADWOCCQCZ-LSCFUAHRSA-N 0 0 442.461 -0.127 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCCc2ccc3c(c2)OCCO3)[C@H](O)[C@@H]1O ZINC000557468677 1074701767 /nfs/dbraw/zinc/70/17/67/1074701767.db2.gz LCBPYDCAYXHLBA-WVSUBDOOSA-N 0 0 429.433 -0.136 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3ccccc3O)CC2)[C@H](O)[C@@H]1O ZINC000557470385 1074701656 /nfs/dbraw/zinc/70/16/56/1074701656.db2.gz FALUXYBMCCZMFV-WVSUBDOOSA-N 0 0 428.449 -0.530 20 0 IBADRN COc1ccc(NC(=O)[C@H](CO)NC(=O)C(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000557474333 1074701794 /nfs/dbraw/zinc/70/17/94/1074701794.db2.gz NGMXCLBBTIGXIH-AWEZNQCLSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1cccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000557480367 1074701648 /nfs/dbraw/zinc/70/16/48/1074701648.db2.gz GOKWQVWXVUNEMV-KRWDZBQOSA-N 0 0 448.520 -0.418 20 0 IBADRN COc1cccc(O[C@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000557480373 1074701805 /nfs/dbraw/zinc/70/18/05/1074701805.db2.gz GOKWQVWXVUNEMV-QGZVFWFLSA-N 0 0 448.520 -0.418 20 0 IBADRN COc1ccc([C@H](CNC(=O)CN(C)S(=O)(=O)N(C)C)N2CCOCC2)cc1OC ZINC000557480715 1074701696 /nfs/dbraw/zinc/70/16/96/1074701696.db2.gz CPPFDGSOWFFLLI-INIZCTEOSA-N 0 0 444.554 -0.069 20 0 IBADRN COc1ccc([C@@H](CNC(=O)CN(C)S(=O)(=O)N(C)C)N2CCOCC2)cc1OC ZINC000557480735 1074701824 /nfs/dbraw/zinc/70/18/24/1074701824.db2.gz CPPFDGSOWFFLLI-MRXNPFEDSA-N 0 0 444.554 -0.069 20 0 IBADRN Nc1nc(C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)nc(N2CCOCC2)n1 ZINC000557481265 1074701781 /nfs/dbraw/zinc/70/17/81/1074701781.db2.gz IRNGQOKUAWBUPD-UHFFFAOYSA-N 0 0 441.448 -0.383 20 0 IBADRN Nc1nc(C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)nc(N2CCOCC2)n1 ZINC000557481589 1074701723 /nfs/dbraw/zinc/70/17/23/1074701723.db2.gz NDXCQUGPFWDBPN-UHFFFAOYSA-N 0 0 426.481 -0.313 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000557484185 1074702302 /nfs/dbraw/zinc/70/23/02/1074702302.db2.gz DHGCOESDFNBGTB-UHFFFAOYSA-N 0 0 434.449 -0.626 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3Sc2nnnn2Cc2ccccc2)[C@H](O)[C@@H]1O ZINC000557484224 1074702040 /nfs/dbraw/zinc/70/20/40/1074702040.db2.gz FHYFUMKKIXXNBU-LSCFUAHRSA-N 0 0 442.461 -0.376 20 0 IBADRN C[C@@H]1Oc2ccc(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)cc2NC1=O ZINC000557484467 1074701995 /nfs/dbraw/zinc/70/19/95/1074701995.db2.gz MUKJPASQJXTEKF-WXXHPVJKSA-N 0 0 428.405 -0.099 20 0 IBADRN C[C@H]1Oc2ccc(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)cc2NC1=O ZINC000557484468 1074702124 /nfs/dbraw/zinc/70/21/24/1074702124.db2.gz MUKJPASQJXTEKF-XJTCXOLRSA-N 0 0 428.405 -0.099 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000557491404 1074702024 /nfs/dbraw/zinc/70/20/24/1074702024.db2.gz NLSJFKGIQJUPDL-DZGCQCFKSA-N 0 0 429.543 -0.200 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000557491405 1074702013 /nfs/dbraw/zinc/70/20/13/1074702013.db2.gz NLSJFKGIQJUPDL-HIFRSBDPSA-N 0 0 429.543 -0.200 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000557491406 1074702210 /nfs/dbraw/zinc/70/22/10/1074702210.db2.gz NLSJFKGIQJUPDL-UKRRQHHQSA-N 0 0 429.543 -0.200 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000557491407 1074702032 /nfs/dbraw/zinc/70/20/32/1074702032.db2.gz NLSJFKGIQJUPDL-ZFWWWQNUSA-N 0 0 429.543 -0.200 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@H]2CCCN2CCOC)cn1 ZINC000557492207 1074702099 /nfs/dbraw/zinc/70/20/99/1074702099.db2.gz PFEGPCNCNAIJNA-DLBZAZTESA-N 0 0 441.554 -0.572 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@H]2CCCN2CCOC)cn1 ZINC000557492211 1074702183 /nfs/dbraw/zinc/70/21/83/1074702183.db2.gz PFEGPCNCNAIJNA-IAGOWNOFSA-N 0 0 441.554 -0.572 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@H]2CCCN2CCOC)cn1 ZINC000557492215 1074702264 /nfs/dbraw/zinc/70/22/64/1074702264.db2.gz PFEGPCNCNAIJNA-IRXDYDNUSA-N 0 0 441.554 -0.572 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@H]2CCCN2CCOC)cn1 ZINC000557492217 1074702233 /nfs/dbraw/zinc/70/22/33/1074702233.db2.gz PFEGPCNCNAIJNA-SJORKVTESA-N 0 0 441.554 -0.572 20 0 IBADRN CCS(=O)(=O)N(C)CCCNc1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000557492235 1074702081 /nfs/dbraw/zinc/70/20/81/1074702081.db2.gz PITYRYACGISCMZ-UHFFFAOYSA-N 0 0 442.585 -0.332 20 0 IBADRN CCCCCNC(=O)C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000557494291 1074702168 /nfs/dbraw/zinc/70/21/68/1074702168.db2.gz XEYGLMZGKNPEHV-VGKBRBPRSA-N 0 0 448.524 -0.039 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000557497450 1074702655 /nfs/dbraw/zinc/70/26/55/1074702655.db2.gz JPUZAFFKDLGCFJ-CYBMUJFWSA-N 0 0 434.478 -0.193 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000557497454 1074702436 /nfs/dbraw/zinc/70/24/36/1074702436.db2.gz JPUZAFFKDLGCFJ-ZDUSSCGKSA-N 0 0 434.478 -0.193 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000557500964 1074702546 /nfs/dbraw/zinc/70/25/46/1074702546.db2.gz POYUMNOPDITMQL-INIZCTEOSA-N 0 0 441.496 -0.040 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000557500965 1074702536 /nfs/dbraw/zinc/70/25/36/1074702536.db2.gz POYUMNOPDITMQL-MRXNPFEDSA-N 0 0 441.496 -0.040 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCCN(S(=O)(=O)c3ccccc3)CC1)CC2 ZINC000557501575 1074702504 /nfs/dbraw/zinc/70/25/04/1074702504.db2.gz NILQGDDQOOYINJ-INIZCTEOSA-N 0 0 446.533 -0.059 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCCN(S(=O)(=O)c3ccccc3)CC1)CC2 ZINC000557501576 1074702525 /nfs/dbraw/zinc/70/25/25/1074702525.db2.gz NILQGDDQOOYINJ-MRXNPFEDSA-N 0 0 446.533 -0.059 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)C2)nc1 ZINC000557502676 1074702448 /nfs/dbraw/zinc/70/24/48/1074702448.db2.gz JPKGUDPDKAWCEU-AWEZNQCLSA-N 0 0 427.465 -0.406 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)C2)nc1 ZINC000557502697 1074702614 /nfs/dbraw/zinc/70/26/14/1074702614.db2.gz JPKGUDPDKAWCEU-CQSZACIVSA-N 0 0 427.465 -0.406 20 0 IBADRN COc1ccc(C(=O)NCCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000557504097 1074702492 /nfs/dbraw/zinc/70/24/92/1074702492.db2.gz WULPTWPLPLCOHT-KHTYJDQRSA-N 0 0 444.448 -0.712 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1S(=O)(=O)NC1CC1 ZINC000557505598 1074702459 /nfs/dbraw/zinc/70/24/59/1074702459.db2.gz GXVJLNMCSMYULN-SMDDNHRTSA-N 0 0 429.520 -0.076 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOCc3ccccc3)CC2)cn1C ZINC000557507395 1074702557 /nfs/dbraw/zinc/70/25/57/1074702557.db2.gz XVTCQKWXPBXFLE-UHFFFAOYSA-N 0 0 449.533 -0.106 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCOCc3ccccc3)CC2)no1 ZINC000557507461 1073328961 /nfs/dbraw/zinc/32/89/61/1073328961.db2.gz YUVNVZHGZFWAMS-UHFFFAOYSA-N 0 0 429.477 0.399 20 0 IBADRN Cc1ccc(C(=O)N2CCC(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000557507496 1074702568 /nfs/dbraw/zinc/70/25/68/1074702568.db2.gz ZSZCJXFJZUNSGH-UHFFFAOYSA-N 0 0 436.534 -0.180 20 0 IBADRN O=C(NC1CC1)c1cccc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000557507894 1074702470 /nfs/dbraw/zinc/70/24/70/1074702470.db2.gz CJIWBTLLKNJUFD-VGKBRBPRSA-N 0 0 440.460 -0.058 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H](C)C2)CC1 ZINC000557509182 1074702514 /nfs/dbraw/zinc/70/25/14/1074702514.db2.gz CLOFMNSHRAAAHU-FJIDUMEYSA-N 0 0 435.569 -0.144 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H](C)C2)CC1 ZINC000557509183 1074702770 /nfs/dbraw/zinc/70/27/70/1074702770.db2.gz CLOFMNSHRAAAHU-MLHJIOFPSA-N 0 0 435.569 -0.144 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000557509185 1074702937 /nfs/dbraw/zinc/70/29/37/1074702937.db2.gz CLOFMNSHRAAAHU-TVFCKZIOSA-N 0 0 435.569 -0.144 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000557509187 1074702973 /nfs/dbraw/zinc/70/29/73/1074702973.db2.gz CLOFMNSHRAAAHU-XDNAFOTISA-N 0 0 435.569 -0.144 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N3CCc4ccccc43)CC2)n1 ZINC000557510682 1074702830 /nfs/dbraw/zinc/70/28/30/1074702830.db2.gz OUBLRCFSFSNWOQ-UHFFFAOYSA-N 0 0 440.552 -0.349 20 0 IBADRN CN(C(=O)CN(CC(=O)N(C)[C@H]1CCN(C)C1=O)Cc1ccccc1)[C@@H]1CCN(C)C1=O ZINC000557512675 1074702913 /nfs/dbraw/zinc/70/29/13/1074702913.db2.gz NERNQSDKMAMZNP-KDURUIRLSA-N 0 0 443.548 -0.133 20 0 IBADRN CN(C(=O)CN(CC(=O)N(C)[C@H]1CCN(C)C1=O)Cc1ccccc1)[C@H]1CCN(C)C1=O ZINC000557512676 1074702757 /nfs/dbraw/zinc/70/27/57/1074702757.db2.gz NERNQSDKMAMZNP-OALUTQOASA-N 0 0 443.548 -0.133 20 0 IBADRN CN(C(=O)CN(CC(=O)N(C)[C@@H]1CCN(C)C1=O)Cc1ccccc1)[C@@H]1CCN(C)C1=O ZINC000557512677 1074702892 /nfs/dbraw/zinc/70/28/92/1074702892.db2.gz NERNQSDKMAMZNP-RTBURBONSA-N 0 0 443.548 -0.133 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H](C)CN1CCN(c3ccccn3)CC1)CC2 ZINC000557515075 1074702946 /nfs/dbraw/zinc/70/29/46/1074702946.db2.gz RDEUARQYDWPHQB-DOTOQJQBSA-N 0 0 426.525 -0.261 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H](C)CN1CCN(c3ccccn3)CC1)CC2 ZINC000557515087 1074702870 /nfs/dbraw/zinc/70/28/70/1074702870.db2.gz RDEUARQYDWPHQB-NVXWUHKLSA-N 0 0 426.525 -0.261 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H](C)CN1CCN(c3ccccn3)CC1)CC2 ZINC000557515091 1074702734 /nfs/dbraw/zinc/70/27/34/1074702734.db2.gz RDEUARQYDWPHQB-RDJZCZTQSA-N 0 0 426.525 -0.261 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H](C)CN1CCN(c3ccccn3)CC1)CC2 ZINC000557515095 1074702852 /nfs/dbraw/zinc/70/28/52/1074702852.db2.gz RDEUARQYDWPHQB-WBVHZDCISA-N 0 0 426.525 -0.261 20 0 IBADRN COc1cc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)ccc1OCCO ZINC000557518247 1070946824 /nfs/dbraw/zinc/94/68/24/1070946824.db2.gz WPXCKPIGQGJNMM-CQSZACIVSA-N 0 0 429.495 -0.777 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000557519790 1074702962 /nfs/dbraw/zinc/70/29/62/1074702962.db2.gz RNCVJDLECVHROT-FNSSNTJLSA-N 0 0 440.416 -0.854 20 0 IBADRN CCN1CCN(CC(=O)NCCNS(=O)(=O)c2ccc(F)c(Cl)c2)C(=O)C1=O ZINC000557521759 1074703024 /nfs/dbraw/zinc/70/30/24/1074703024.db2.gz VMKJGPMWCIJMSQ-UHFFFAOYSA-N 0 0 434.877 -0.436 20 0 IBADRN CNC(=O)CN1CCC(Nc2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000557522288 1074702807 /nfs/dbraw/zinc/70/28/07/1074702807.db2.gz ZCNLQUYJULWFJW-UHFFFAOYSA-N 0 0 433.556 -0.793 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC000557523167 1074703002 /nfs/dbraw/zinc/70/30/02/1074703002.db2.gz SFKAJGRISIYFLE-UHFFFAOYSA-N 0 0 434.268 -0.534 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C2(S(N)(=O)=O)CC2)CC1 ZINC000557525232 1074703327 /nfs/dbraw/zinc/70/33/27/1074703327.db2.gz PSTMTQSLRNPOEC-UHFFFAOYSA-N 0 0 449.507 -0.663 20 0 IBADRN O=C(NCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1Cl ZINC000557525771 1074703274 /nfs/dbraw/zinc/70/32/74/1074703274.db2.gz NIMNLVNUMOSMAW-QEPJRFBGSA-N 0 0 448.867 -0.067 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000557526387 1074703179 /nfs/dbraw/zinc/70/31/79/1074703179.db2.gz HRUDHOPEWDELSZ-HNNXBMFYSA-N 0 0 431.559 -0.383 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000557526391 1074703386 /nfs/dbraw/zinc/70/33/86/1074703386.db2.gz HRUDHOPEWDELSZ-OAHLLOKOSA-N 0 0 431.559 -0.383 20 0 IBADRN O=C(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)N1CCCc2ccccc21 ZINC000557526507 1074703367 /nfs/dbraw/zinc/70/33/67/1074703367.db2.gz ADFSOSRNNKBIDE-HAXDFEGKSA-N 0 0 440.460 -0.171 20 0 IBADRN Cc1noc(CN2CCN(c3ccc(S(=O)(=O)N(C)C)cc3S(N)(=O)=O)CC2)n1 ZINC000557526671 1074703407 /nfs/dbraw/zinc/70/34/07/1074703407.db2.gz FREZNJDPHFOSLD-UHFFFAOYSA-N 0 0 444.539 -0.402 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCCc2cnn(C)c2)CC1 ZINC000557527546 1074703309 /nfs/dbraw/zinc/70/33/09/1074703309.db2.gz UZMLLWDYLCHXAF-UHFFFAOYSA-N 0 0 429.543 -0.632 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)cn1 ZINC000557527587 1074703256 /nfs/dbraw/zinc/70/32/56/1074703256.db2.gz WZRHUEIOXWXDEN-HNNXBMFYSA-N 0 0 428.453 -0.148 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)cn1 ZINC000557527588 1074703301 /nfs/dbraw/zinc/70/33/01/1074703301.db2.gz WZRHUEIOXWXDEN-OAHLLOKOSA-N 0 0 428.453 -0.148 20 0 IBADRN O=C(N[C@@H]1CCCN(C(=O)N2CCCC2)C1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000557528027 1074703191 /nfs/dbraw/zinc/70/31/91/1074703191.db2.gz BDWBMKOSCQQRRL-ARFHVFGLSA-N 0 0 426.539 -0.182 20 0 IBADRN O=C(N[C@@H]1CCCN(C(=O)N2CCCC2)C1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000557528028 1074703267 /nfs/dbraw/zinc/70/32/67/1074703267.db2.gz BDWBMKOSCQQRRL-BZUAXINKSA-N 0 0 426.539 -0.182 20 0 IBADRN O=C(N[C@@H]1CCCN(C(=O)N2CCCC2)C1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000557528029 1074703295 /nfs/dbraw/zinc/70/32/95/1074703295.db2.gz BDWBMKOSCQQRRL-OAGGEKHMSA-N 0 0 426.539 -0.182 20 0 IBADRN O=C(N[C@@H]1CCCN(C(=O)N2CCCC2)C1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000557528030 1074703377 /nfs/dbraw/zinc/70/33/77/1074703377.db2.gz BDWBMKOSCQQRRL-XHSDSOJGSA-N 0 0 426.539 -0.182 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)c[nH]1 ZINC000557530445 1074703283 /nfs/dbraw/zinc/70/32/83/1074703283.db2.gz MWKNASHRSRYCIB-GFCCVEGCSA-N 0 0 426.499 -0.812 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)c[nH]1 ZINC000557530449 1074703335 /nfs/dbraw/zinc/70/33/35/1074703335.db2.gz MWKNASHRSRYCIB-LBPRGKRZSA-N 0 0 426.499 -0.812 20 0 IBADRN CCS(=O)(=O)N1CCN(c2cncc(N3CCN(S(=O)(=O)CC)CC3)n2)CC1 ZINC000557533199 1074703202 /nfs/dbraw/zinc/70/32/02/1074703202.db2.gz JQRUROKIRYMSEX-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN Cc1cccc(OC[C@H](O)CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000557535634 1074703749 /nfs/dbraw/zinc/70/37/49/1074703749.db2.gz QVRNGDXSJLBYGA-CPJTVBMOSA-N 0 0 431.449 -0.402 20 0 IBADRN Cc1cccc(OC[C@@H](O)CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000557535636 1074703659 /nfs/dbraw/zinc/70/36/59/1074703659.db2.gz QVRNGDXSJLBYGA-SQIXAUHQSA-N 0 0 431.449 -0.402 20 0 IBADRN C[C@@H](Nc1cc(C2CC2)nc(N[C@@H](C)C(=O)N2CCOCC2)n1)C(=O)N1CCOCC1 ZINC000557536393 1074703235 /nfs/dbraw/zinc/70/32/35/1074703235.db2.gz ZUCQPJCDTKKHGN-CABCVRRESA-N 0 0 432.525 -0.484 20 0 IBADRN C[C@H](Nc1cc(C2CC2)nc(N[C@@H](C)C(=O)N2CCOCC2)n1)C(=O)N1CCOCC1 ZINC000557536394 1074703214 /nfs/dbraw/zinc/70/32/14/1074703214.db2.gz ZUCQPJCDTKKHGN-GJZGRUSLSA-N 0 0 432.525 -0.484 20 0 IBADRN C[C@@H](Nc1cc(C2CC2)nc(N[C@H](C)C(=O)N2CCOCC2)n1)C(=O)N1CCOCC1 ZINC000557536395 1074703345 /nfs/dbraw/zinc/70/33/45/1074703345.db2.gz ZUCQPJCDTKKHGN-HUUCEWRRSA-N 0 0 432.525 -0.484 20 0 IBADRN C[C@@H](Nc1nc(N[C@@H](C)C(=O)N2CCOCC2)cc(C2CC2)n1)C(=O)N1CCOCC1 ZINC000557536396 1074703570 /nfs/dbraw/zinc/70/35/70/1074703570.db2.gz ZUCQPJCDTKKHGN-LSDHHAIUSA-N 0 0 432.525 -0.484 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000557536409 1074703756 /nfs/dbraw/zinc/70/37/56/1074703756.db2.gz VELJDTHJDFXQSV-DINDLPBHSA-N 0 0 445.519 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000557536410 1074703730 /nfs/dbraw/zinc/70/37/30/1074703730.db2.gz VELJDTHJDFXQSV-PSVSLLHZSA-N 0 0 445.519 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000557536411 1074703701 /nfs/dbraw/zinc/70/37/01/1074703701.db2.gz VELJDTHJDFXQSV-RVPKQNPDSA-N 0 0 445.519 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000557536412 1074703538 /nfs/dbraw/zinc/70/35/38/1074703538.db2.gz VELJDTHJDFXQSV-VGTOOOLASA-N 0 0 445.519 -0.054 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1ccccc1 ZINC000557538044 1074703588 /nfs/dbraw/zinc/70/35/88/1074703588.db2.gz RVSOGVXCUOWMIR-KRWDZBQOSA-N 0 0 432.502 -0.316 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1ccccc1 ZINC000557538046 1074703712 /nfs/dbraw/zinc/70/37/12/1074703712.db2.gz RVSOGVXCUOWMIR-QGZVFWFLSA-N 0 0 432.502 -0.316 20 0 IBADRN NC(=O)CCn1ccc(NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)n1 ZINC000557538518 1074703561 /nfs/dbraw/zinc/70/35/61/1074703561.db2.gz UMVALTHKDOOXBB-UHFFFAOYSA-N 0 0 448.505 0.510 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(c3cccnn3)CC2)c1 ZINC000557541958 1074703765 /nfs/dbraw/zinc/70/37/65/1074703765.db2.gz CKJINZZZFVMJRG-AWEZNQCLSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(c3cccnn3)CC2)c1 ZINC000557541975 1074703548 /nfs/dbraw/zinc/70/35/48/1074703548.db2.gz CKJINZZZFVMJRG-CQSZACIVSA-N 0 0 432.506 -0.089 20 0 IBADRN Cc1csc(CCCCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000557542359 1074703740 /nfs/dbraw/zinc/70/37/40/1074703740.db2.gz GSQODKXWVJASOO-UHFFFAOYSA-N 0 0 437.566 -0.107 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000557543066 1074703619 /nfs/dbraw/zinc/70/36/19/1074703619.db2.gz MYNRIKFTZJABMY-UHFFFAOYSA-N 0 0 426.495 -0.319 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000557543746 1074703633 /nfs/dbraw/zinc/70/36/33/1074703633.db2.gz CDRBTJWYBKMXDM-CYBMUJFWSA-N 0 0 446.551 -0.734 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC000557543749 1074703720 /nfs/dbraw/zinc/70/37/20/1074703720.db2.gz CDRBTJWYBKMXDM-ZDUSSCGKSA-N 0 0 446.551 -0.734 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(C(N)=O)nc1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000557544587 1074704104 /nfs/dbraw/zinc/70/41/04/1074704104.db2.gz UJUUOKQAJSVSQC-GFCCVEGCSA-N 0 0 433.490 -0.076 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccc(C(N)=O)nc1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000557544588 1074704297 /nfs/dbraw/zinc/70/42/97/1074704297.db2.gz UJUUOKQAJSVSQC-LBPRGKRZSA-N 0 0 433.490 -0.076 20 0 IBADRN Cc1csc(CCCCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)n1 ZINC000557545725 1074704195 /nfs/dbraw/zinc/70/41/95/1074704195.db2.gz PJTZODCGUPJAFQ-UHFFFAOYSA-N 0 0 437.566 -0.107 20 0 IBADRN COC(=O)[C@@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(OC)cc1 ZINC000557553005 1074703944 /nfs/dbraw/zinc/70/39/44/1074703944.db2.gz QRRXFEWMUCOWDS-APWZRJJASA-N 0 0 439.534 -0.170 20 0 IBADRN COC(=O)[C@@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccc(OC)cc1 ZINC000557553006 1074704096 /nfs/dbraw/zinc/70/40/96/1074704096.db2.gz QRRXFEWMUCOWDS-LPHOPBHVSA-N 0 0 439.534 -0.170 20 0 IBADRN COC(=O)[C@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccc(OC)cc1 ZINC000557553007 1074704050 /nfs/dbraw/zinc/70/40/50/1074704050.db2.gz QRRXFEWMUCOWDS-QFBILLFUSA-N 0 0 439.534 -0.170 20 0 IBADRN COC(=O)[C@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(OC)cc1 ZINC000557553008 1074704059 /nfs/dbraw/zinc/70/40/59/1074704059.db2.gz QRRXFEWMUCOWDS-VQIMIIECSA-N 0 0 439.534 -0.170 20 0 IBADRN Nc1nc(C(=O)NCCS(=O)(=O)c2ccc(Cl)cc2)nc(N2CCOCC2)n1 ZINC000557566579 1074704140 /nfs/dbraw/zinc/70/41/40/1074704140.db2.gz SYAMCTHFFFCAGD-UHFFFAOYSA-N 0 0 426.886 0.148 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000557566828 1074704371 /nfs/dbraw/zinc/70/43/71/1074704371.db2.gz WISQLOSLFBVZFC-UHFFFAOYSA-N 0 0 444.539 -0.866 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000557567909 1074704332 /nfs/dbraw/zinc/70/43/32/1074704332.db2.gz OWJLMCCOKPCNBR-SCFUHWHPSA-N 0 0 435.481 -0.004 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C1 ZINC000557568865 1074704595 /nfs/dbraw/zinc/70/45/95/1074704595.db2.gz AEDNZCHJOXKNCQ-GFCCVEGCSA-N 0 0 440.569 -0.898 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C1 ZINC000557568868 1074704479 /nfs/dbraw/zinc/70/44/79/1074704479.db2.gz AEDNZCHJOXKNCQ-LBPRGKRZSA-N 0 0 440.569 -0.898 20 0 IBADRN O=C(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)N1CCc2ccccc2C1 ZINC000557572502 1074704615 /nfs/dbraw/zinc/70/46/15/1074704615.db2.gz UDWIQUBXWZQSSK-HAXDFEGKSA-N 0 0 440.460 -0.565 20 0 IBADRN O=C(NCCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1cccc(F)c1 ZINC000557573579 1074704604 /nfs/dbraw/zinc/70/46/04/1074704604.db2.gz FIDLMJIZPZYRCZ-KHTYJDQRSA-N 0 0 446.439 -0.191 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(Cc3nccn3C)CC2)c(S(N)(=O)=O)c1 ZINC000557576978 1074704625 /nfs/dbraw/zinc/70/46/25/1074704625.db2.gz PIMRAOOADYARKH-UHFFFAOYSA-N 0 0 442.567 -0.360 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCC1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000557581316 1074704635 /nfs/dbraw/zinc/70/46/35/1074704635.db2.gz JOIHCQPHECCSSM-UHFFFAOYSA-N 0 0 432.568 -0.058 20 0 IBADRN COc1ccc(COCCCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000557581858 1070947518 /nfs/dbraw/zinc/94/75/18/1070947518.db2.gz JLXYDGDFZLBZQF-UHFFFAOYSA-N 0 0 446.504 -0.333 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H]3CCCC[C@H]3NS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000557587152 1074704529 /nfs/dbraw/zinc/70/45/29/1074704529.db2.gz HPKLEWTULWLDSQ-CHWSQXEVSA-N 0 0 440.526 -0.952 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H]3CCCC[C@@H]3NS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000557587158 1074704504 /nfs/dbraw/zinc/70/45/04/1074704504.db2.gz HPKLEWTULWLDSQ-OLZOCXBDSA-N 0 0 440.526 -0.952 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H]3CCCC[C@H]3NS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000557587160 1074704575 /nfs/dbraw/zinc/70/45/75/1074704575.db2.gz HPKLEWTULWLDSQ-QWHCGFSZSA-N 0 0 440.526 -0.952 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H]3CCCC[C@@H]3NS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000557587161 1074704644 /nfs/dbraw/zinc/70/46/44/1074704644.db2.gz HPKLEWTULWLDSQ-STQMWFEESA-N 0 0 440.526 -0.952 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000557588935 1074704564 /nfs/dbraw/zinc/70/45/64/1074704564.db2.gz YZJHHJKQDFBJRH-DEYYWGMASA-N 0 0 444.554 -0.174 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000557588945 1074704660 /nfs/dbraw/zinc/70/46/60/1074704660.db2.gz YZJHHJKQDFBJRH-GLJUWKHASA-N 0 0 444.554 -0.174 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000557588948 1074704553 /nfs/dbraw/zinc/70/45/53/1074704553.db2.gz YZJHHJKQDFBJRH-IYOUNJFTSA-N 0 0 444.554 -0.174 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000557588952 1074704538 /nfs/dbraw/zinc/70/45/38/1074704538.db2.gz YZJHHJKQDFBJRH-LBTNJELSSA-N 0 0 444.554 -0.174 20 0 IBADRN Cc1cc(C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000557589395 1074704492 /nfs/dbraw/zinc/70/44/92/1074704492.db2.gz YEPNOYISKSJDOB-CHWSQXEVSA-N 0 0 437.522 -0.222 20 0 IBADRN Cc1cc(C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000557589396 1074704652 /nfs/dbraw/zinc/70/46/52/1074704652.db2.gz YEPNOYISKSJDOB-OLZOCXBDSA-N 0 0 437.522 -0.222 20 0 IBADRN Cc1cc(C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000557589397 1074704519 /nfs/dbraw/zinc/70/45/19/1074704519.db2.gz YEPNOYISKSJDOB-QWHCGFSZSA-N 0 0 437.522 -0.222 20 0 IBADRN Cc1cc(C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000557589398 1074704669 /nfs/dbraw/zinc/70/46/69/1074704669.db2.gz YEPNOYISKSJDOB-STQMWFEESA-N 0 0 437.522 -0.222 20 0 IBADRN Nc1nc(C(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)nc(N2CCOCC2)n1 ZINC000557589678 1074704760 /nfs/dbraw/zinc/70/47/60/1074704760.db2.gz XSSYWBPGWNIHGM-UHFFFAOYSA-N 0 0 440.508 -0.635 20 0 IBADRN Cc1csc([C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000557593158 1074704900 /nfs/dbraw/zinc/70/49/00/1074704900.db2.gz NEPIAJHURIRALF-AWEZNQCLSA-N 0 0 444.583 -0.735 20 0 IBADRN Cc1csc([C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000557593159 1074704940 /nfs/dbraw/zinc/70/49/40/1074704940.db2.gz NEPIAJHURIRALF-CQSZACIVSA-N 0 0 444.583 -0.735 20 0 IBADRN C[C@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)Nc1nc(CS(C)(=O)=O)no1 ZINC000557594095 1074704811 /nfs/dbraw/zinc/70/48/11/1074704811.db2.gz NPWIABVZDDKZIS-GOSISDBHSA-N 0 0 433.446 -0.026 20 0 IBADRN C[C@@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)Nc1nc(CS(C)(=O)=O)no1 ZINC000557594096 1074704969 /nfs/dbraw/zinc/70/49/69/1074704969.db2.gz NPWIABVZDDKZIS-SFHVURJKSA-N 0 0 433.446 -0.026 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cn1C ZINC000557600937 1074704861 /nfs/dbraw/zinc/70/48/61/1074704861.db2.gz AEICKAJWNGZFKC-PXAZEXFGSA-N 0 0 426.499 -0.624 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cn1C ZINC000557600938 1074704887 /nfs/dbraw/zinc/70/48/87/1074704887.db2.gz AEICKAJWNGZFKC-SJCJKPOMSA-N 0 0 426.499 -0.624 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cn1C ZINC000557600939 1074704827 /nfs/dbraw/zinc/70/48/27/1074704827.db2.gz AEICKAJWNGZFKC-SJKOYZFVSA-N 0 0 426.499 -0.624 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cn1C ZINC000557600940 1074704957 /nfs/dbraw/zinc/70/49/57/1074704957.db2.gz AEICKAJWNGZFKC-YVEFUNNKSA-N 0 0 426.499 -0.624 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(c3ccc(Cl)cc3)CC2)CC1 ZINC000557602366 1074704925 /nfs/dbraw/zinc/70/49/25/1074704925.db2.gz OVEGAIUDAHOTLS-UHFFFAOYSA-N 0 0 431.884 -0.155 20 0 IBADRN CC(C)(C)Nc1nc(N2CCN3C(=O)NC[C@@H]3C2)nc(N2CCN3C(=O)NC[C@H]3C2)n1 ZINC000557604610 1074704873 /nfs/dbraw/zinc/70/48/73/1074704873.db2.gz FLAFQMLTIPFSKW-BETUJISGSA-N 0 0 430.517 -0.490 20 0 IBADRN CC(C)(C)Nc1nc(N2CCN3C(=O)NC[C@@H]3C2)nc(N2CCN3C(=O)NC[C@@H]3C2)n1 ZINC000557604611 1074704782 /nfs/dbraw/zinc/70/47/82/1074704782.db2.gz FLAFQMLTIPFSKW-CHWSQXEVSA-N 0 0 430.517 -0.490 20 0 IBADRN CC(C)(C)Nc1nc(N2CCN3C(=O)NC[C@H]3C2)nc(N2CCN3C(=O)NC[C@H]3C2)n1 ZINC000557604613 1074704981 /nfs/dbraw/zinc/70/49/81/1074704981.db2.gz FLAFQMLTIPFSKW-STQMWFEESA-N 0 0 430.517 -0.490 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000557607722 1074705296 /nfs/dbraw/zinc/70/52/96/1074705296.db2.gz MPEQRLYMAMXFDO-BIBXISHDSA-N 0 0 428.449 -0.467 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000557607733 1074705136 /nfs/dbraw/zinc/70/51/36/1074705136.db2.gz MPEQRLYMAMXFDO-GIPWTMENSA-N 0 0 428.449 -0.467 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000557607739 1074705122 /nfs/dbraw/zinc/70/51/22/1074705122.db2.gz MPEQRLYMAMXFDO-PRWKNARSSA-N 0 0 428.449 -0.467 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000557607746 1074705175 /nfs/dbraw/zinc/70/51/75/1074705175.db2.gz MPEQRLYMAMXFDO-YBTHPKLGSA-N 0 0 428.449 -0.467 20 0 IBADRN CC[C@@H]1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1CC(F)F ZINC000557607852 1074705163 /nfs/dbraw/zinc/70/51/63/1074705163.db2.gz MSTURFDEMTYECF-ADUAKINBSA-N 0 0 428.440 -0.397 20 0 IBADRN CC[C@H]1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1CC(F)F ZINC000557607860 1074705308 /nfs/dbraw/zinc/70/53/08/1074705308.db2.gz MSTURFDEMTYECF-MBRXSIFGSA-N 0 0 428.440 -0.397 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000557608192 1074704842 /nfs/dbraw/zinc/70/48/42/1074704842.db2.gz YQWUHKLPQKKCCM-DPMMWBKBSA-N 0 0 435.481 -0.419 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H]1C[C@]1(C)NC(=O)NC1=O ZINC000557608195 1074704855 /nfs/dbraw/zinc/70/48/55/1074704855.db2.gz YQWUHKLPQKKCCM-HQOQDVMHSA-N 0 0 435.481 -0.419 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H]1C[C@]1(C)NC(=O)NC1=O ZINC000557608197 1074704752 /nfs/dbraw/zinc/70/47/52/1074704752.db2.gz YQWUHKLPQKKCCM-NXXSPTCGSA-N 0 0 435.481 -0.419 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000557608199 1074705284 /nfs/dbraw/zinc/70/52/84/1074705284.db2.gz YQWUHKLPQKKCCM-RQUSPXKASA-N 0 0 435.481 -0.419 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)NC(=O)NC1=O ZINC000557608387 1073316216 /nfs/dbraw/zinc/31/62/16/1073316216.db2.gz UXGBTJHIVJXGFR-APBUJDDRSA-N 0 0 429.437 0.361 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)NC(=O)NC1=O ZINC000557608388 1073308735 /nfs/dbraw/zinc/30/87/35/1073308735.db2.gz UXGBTJHIVJXGFR-DGIBIBHMSA-N 0 0 429.437 0.361 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)NC(=O)NC1=O ZINC000557608389 1073316302 /nfs/dbraw/zinc/31/63/02/1073316302.db2.gz UXGBTJHIVJXGFR-GIGQVBGESA-N 0 0 429.437 0.361 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)NC(=O)NC1=O ZINC000557608390 1073308653 /nfs/dbraw/zinc/30/86/53/1073308653.db2.gz UXGBTJHIVJXGFR-OVWNDWIMSA-N 0 0 429.437 0.361 20 0 IBADRN CC(=O)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC12CCCCC2 ZINC000557609890 1074705108 /nfs/dbraw/zinc/70/51/08/1074705108.db2.gz RGBIDBIVBNVQOB-WVSUBDOOSA-N 0 0 446.508 -0.191 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1S(=O)(=O)N(C)C ZINC000557611293 1074705068 /nfs/dbraw/zinc/70/50/68/1074705068.db2.gz MEJMBSNZLDCGQY-UHFFFAOYSA-N 0 0 425.467 -0.758 20 0 IBADRN COCC(=O)N(C)CC(=O)Nc1n[nH]c2cc(NC(=O)CN(C)C(=O)COC)ccc21 ZINC000557612245 1074705247 /nfs/dbraw/zinc/70/52/47/1074705247.db2.gz XEUGDWOKSKXFTN-UHFFFAOYSA-N 0 0 434.453 -0.351 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2nccn2CC(F)(F)F)CC1 ZINC000557613100 1074705259 /nfs/dbraw/zinc/70/52/59/1074705259.db2.gz FIEUXBYTZITQAX-UHFFFAOYSA-N 0 0 446.430 -0.935 20 0 IBADRN COc1cccc2c1CC[C@H]2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000557613449 1074705210 /nfs/dbraw/zinc/70/52/10/1074705210.db2.gz FDMBCLVRZYJBTI-GOSISDBHSA-N 0 0 430.505 -0.198 20 0 IBADRN COc1cccc2c1CC[C@@H]2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000557613450 1074705272 /nfs/dbraw/zinc/70/52/72/1074705272.db2.gz FDMBCLVRZYJBTI-SFHVURJKSA-N 0 0 430.505 -0.198 20 0 IBADRN COc1cccc2c1CC[C@@H]2NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000557615498 1074705081 /nfs/dbraw/zinc/70/50/81/1074705081.db2.gz QTRZPBPGPRJUAA-KRWDZBQOSA-N 0 0 444.488 -0.672 20 0 IBADRN COc1cccc2c1CC[C@H]2NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000557615499 1074705236 /nfs/dbraw/zinc/70/52/36/1074705236.db2.gz QTRZPBPGPRJUAA-QGZVFWFLSA-N 0 0 444.488 -0.672 20 0 IBADRN C[C@H]1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1CC(F)(F)F ZINC000557623710 1074705149 /nfs/dbraw/zinc/70/51/49/1074705149.db2.gz FFRGFFHQSUDFPB-UOYPZJKHSA-N 0 0 432.403 -0.489 20 0 IBADRN C[C@@H]1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1CC(F)(F)F ZINC000557623711 1074705223 /nfs/dbraw/zinc/70/52/23/1074705223.db2.gz FFRGFFHQSUDFPB-ZGOQAQPGSA-N 0 0 432.403 -0.489 20 0 IBADRN O=C1C[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1C1CCCCC1 ZINC000557624988 1074705094 /nfs/dbraw/zinc/70/50/94/1074705094.db2.gz JKDUAYMXCXRQAO-AUFSPBJRSA-N 0 0 432.481 -0.217 20 0 IBADRN O=C1C[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1C1CCCCC1 ZINC000557624989 1074705321 /nfs/dbraw/zinc/70/53/21/1074705321.db2.gz JKDUAYMXCXRQAO-NXDBGETFSA-N 0 0 432.481 -0.217 20 0 IBADRN O=S1(=O)CCC[C@@H]1CNc1nc(NC[C@@H]2CCCS2(=O)=O)nc2c1SCC2 ZINC000557626635 1074705186 /nfs/dbraw/zinc/70/51/86/1074705186.db2.gz GWCAEEIWKRSYAI-NEPJUHHUSA-N 0 0 432.593 -0.053 20 0 IBADRN O=S1(=O)CCC[C@@H]1CNc1nc2c(c(NC[C@@H]3CCCS3(=O)=O)n1)SCC2 ZINC000557626640 1074705483 /nfs/dbraw/zinc/70/54/83/1074705483.db2.gz GWCAEEIWKRSYAI-NWDGAFQWSA-N 0 0 432.593 -0.053 20 0 IBADRN O=S1(=O)CCC[C@H]1CNc1nc2c(c(NC[C@@H]3CCCS3(=O)=O)n1)SCC2 ZINC000557626642 1074705666 /nfs/dbraw/zinc/70/56/66/1074705666.db2.gz GWCAEEIWKRSYAI-RYUDHWBXSA-N 0 0 432.593 -0.053 20 0 IBADRN O=S1(=O)CCC[C@@H]1CNc1nc2c(c(NC[C@H]3CCCS3(=O)=O)n1)SCC2 ZINC000557626643 1074705444 /nfs/dbraw/zinc/70/54/44/1074705444.db2.gz GWCAEEIWKRSYAI-VXGBXAGGSA-N 0 0 432.593 -0.053 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000557630861 1074705652 /nfs/dbraw/zinc/70/56/52/1074705652.db2.gz NLVTWOBMXYFODF-LLVKDONJSA-N 0 0 440.569 -0.898 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1c1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000557630862 1074705715 /nfs/dbraw/zinc/70/57/15/1074705715.db2.gz NLVTWOBMXYFODF-NSHDSACASA-N 0 0 440.569 -0.898 20 0 IBADRN O=C1[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCCN1c1cccnc1 ZINC000557632252 1074705755 /nfs/dbraw/zinc/70/57/55/1074705755.db2.gz RCXQEEJESPZFID-DBKKVMMFSA-N 0 0 441.448 -0.560 20 0 IBADRN O=C1[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCCN1c1cccnc1 ZINC000557632253 1074705432 /nfs/dbraw/zinc/70/54/32/1074705432.db2.gz RCXQEEJESPZFID-WUMBASEESA-N 0 0 441.448 -0.560 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@@H](S(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000557634324 1074705543 /nfs/dbraw/zinc/70/55/43/1074705543.db2.gz ZRVLJLHCLIWIOY-GFCCVEGCSA-N 0 0 425.554 -0.402 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@H](S(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000557634325 1074705638 /nfs/dbraw/zinc/70/56/38/1074705638.db2.gz ZRVLJLHCLIWIOY-LBPRGKRZSA-N 0 0 425.554 -0.402 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000557636069 1074705413 /nfs/dbraw/zinc/70/54/13/1074705413.db2.gz GLNYAHPZUZQNQL-UHFFFAOYSA-N 0 0 446.552 -0.420 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)[C@@H](C)C1=O ZINC000557637765 1074706223 /nfs/dbraw/zinc/70/62/23/1074706223.db2.gz IMIDULUFQFTQFA-AWEZNQCLSA-N 0 0 430.509 -0.521 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)[C@H](C)C1=O ZINC000557637766 1074705891 /nfs/dbraw/zinc/70/58/91/1074705891.db2.gz IMIDULUFQFTQFA-CQSZACIVSA-N 0 0 430.509 -0.521 20 0 IBADRN COCCCN(Cc1ccncc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000557638583 1074705898 /nfs/dbraw/zinc/70/58/98/1074705898.db2.gz BXMHUNPWMHCGFX-WVSUBDOOSA-N 0 0 430.465 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC(N3CCCNC3=O)CC2)c(S(N)(=O)=O)c1 ZINC000557638644 1074706153 /nfs/dbraw/zinc/70/61/53/1074706153.db2.gz CXRJEFPJCDVFCR-UHFFFAOYSA-N 0 0 445.567 -0.032 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C1 ZINC000557639635 1074705972 /nfs/dbraw/zinc/70/59/72/1074705972.db2.gz XNVVPTDFZQITPV-INIZCTEOSA-N 0 0 431.493 -0.189 20 0 IBADRN Cc1ncsc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000557639738 1074705984 /nfs/dbraw/zinc/70/59/84/1074705984.db2.gz QMFONBVKTVMYNQ-UHFFFAOYSA-N 0 0 426.524 -0.357 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000557641654 1074706202 /nfs/dbraw/zinc/70/62/02/1074706202.db2.gz SRCJBFZFIVRVNH-NVQRDWNXSA-N 0 0 436.513 -0.768 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@H]2CCCN2S(C)(=O)=O)c(S(N)(=O)=O)c1 ZINC000557643689 1074706444 /nfs/dbraw/zinc/70/64/44/1074706444.db2.gz KJICBLSSGMYTCG-LLVKDONJSA-N 0 0 440.569 -0.580 20 0 IBADRN Cc1nnc(CN2CCN(c3ccc(S(=O)(=O)N(C)C)cc3S(N)(=O)=O)CC2)[nH]1 ZINC000557643892 1074706162 /nfs/dbraw/zinc/70/61/62/1074706162.db2.gz JTAYEGBFEMNGPN-UHFFFAOYSA-N 0 0 443.555 -0.667 20 0 IBADRN O=C1[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1c1ccc(F)cc1 ZINC000557644639 1074706328 /nfs/dbraw/zinc/70/63/28/1074706328.db2.gz VQGWSZSBWPCXDM-FSVZYJJUSA-N 0 0 430.396 -0.596 20 0 IBADRN O=C1[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1c1ccc(F)cc1 ZINC000557644640 1074706566 /nfs/dbraw/zinc/70/65/66/1074706566.db2.gz VQGWSZSBWPCXDM-QTOWJTHWSA-N 0 0 430.396 -0.596 20 0 IBADRN COC(=O)c1ccc2c(c1)CN(c1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)CC2 ZINC000557644853 1074706431 /nfs/dbraw/zinc/70/64/31/1074706431.db2.gz RQAFFSZWBCRODD-WVSUBDOOSA-N 0 0 441.444 -0.213 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)CC1 ZINC000557654159 1074706395 /nfs/dbraw/zinc/70/63/95/1074706395.db2.gz FKBZQOXRUVBBHN-UHFFFAOYSA-N 0 0 440.570 -0.287 20 0 IBADRN O=C(NCCCN1C(=O)c2ccccc2C1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000557654161 1074706499 /nfs/dbraw/zinc/70/64/99/1074706499.db2.gz VZLGFLBKMMXPIX-INIZCTEOSA-N 0 0 428.489 -0.030 20 0 IBADRN O=C(NCCCN1C(=O)c2ccccc2C1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000557654163 1074706469 /nfs/dbraw/zinc/70/64/69/1074706469.db2.gz VZLGFLBKMMXPIX-MRXNPFEDSA-N 0 0 428.489 -0.030 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000557654362 1074706547 /nfs/dbraw/zinc/70/65/47/1074706547.db2.gz WVTHHZGIQZQIIK-UHFFFAOYSA-N 0 0 427.433 -0.152 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000557654723 1074706489 /nfs/dbraw/zinc/70/64/89/1074706489.db2.gz KPEMZBZOSUJKDL-UHFFFAOYSA-N 0 0 449.518 -0.426 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000557655079 1074706340 /nfs/dbraw/zinc/70/63/40/1074706340.db2.gz WDAPSKRVEJIJAF-UHFFFAOYSA-N 0 0 431.478 -0.554 20 0 IBADRN COC(=O)C(C)(C)N1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000557658082 1074706369 /nfs/dbraw/zinc/70/63/69/1074706369.db2.gz ZXKPOJMYATUPGP-UHFFFAOYSA-N 0 0 448.567 -0.342 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CSc3n[nH]c(CO)n3)CC2)cc1 ZINC000557659311 1074706575 /nfs/dbraw/zinc/70/65/75/1074706575.db2.gz XJLNFXLAPVVUNO-UHFFFAOYSA-N 0 0 427.508 -0.069 20 0 IBADRN CCC1(CC)CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCS1(=O)=O ZINC000557661363 1074706539 /nfs/dbraw/zinc/70/65/39/1074706539.db2.gz UANMVTXNJRCRCK-LSCFUAHRSA-N 0 0 441.510 -0.769 20 0 IBADRN CN1C(=O)COc2cc(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)ccc21 ZINC000557662253 1074706416 /nfs/dbraw/zinc/70/64/16/1074706416.db2.gz IPADNNDIRNXVEV-BGIGGGFGSA-N 0 0 428.405 -0.463 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)c1 ZINC000557671350 1074706881 /nfs/dbraw/zinc/70/68/81/1074706881.db2.gz QSJRAIQKSNSUPP-CYBMUJFWSA-N 0 0 428.433 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)c1 ZINC000557671351 1074706779 /nfs/dbraw/zinc/70/67/79/1074706779.db2.gz QSJRAIQKSNSUPP-ZDUSSCGKSA-N 0 0 428.433 -0.068 20 0 IBADRN CNC(=O)C[C@H](Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000557672412 1074706964 /nfs/dbraw/zinc/70/69/64/1074706964.db2.gz BGHJIYFITFAUPB-JDLZUTDUSA-N 0 0 428.449 -0.273 20 0 IBADRN CNC(=O)C[C@@H](Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000557672413 1074706705 /nfs/dbraw/zinc/70/67/05/1074706705.db2.gz BGHJIYFITFAUPB-SXOQPLQPSA-N 0 0 428.449 -0.273 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000557672579 1074706733 /nfs/dbraw/zinc/70/67/33/1074706733.db2.gz NPGDBDIECTYYKK-GFCCVEGCSA-N 0 0 432.465 -0.800 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000557672604 1074706901 /nfs/dbraw/zinc/70/69/01/1074706901.db2.gz NPGDBDIECTYYKK-LBPRGKRZSA-N 0 0 432.465 -0.800 20 0 IBADRN Cc1cc(N2CCC[C@H](Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2)n(C)n1 ZINC000557673357 1074706954 /nfs/dbraw/zinc/70/69/54/1074706954.db2.gz AZKRSJCUOVWNTR-JDLZUTDUSA-N 0 0 444.496 -0.439 20 0 IBADRN Cc1cc(N2CCC[C@@H](Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2)n(C)n1 ZINC000557673362 1074706973 /nfs/dbraw/zinc/70/69/73/1074706973.db2.gz AZKRSJCUOVWNTR-SXOQPLQPSA-N 0 0 444.496 -0.439 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCCc2cc(-c3ccncc3)n[nH]2)[C@H](O)[C@@H]1O ZINC000557675233 1074706933 /nfs/dbraw/zinc/70/69/33/1074706933.db2.gz JRELHJCAOXHQQS-WVSUBDOOSA-N 0 0 438.448 -0.123 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000557677126 1074706921 /nfs/dbraw/zinc/70/69/21/1074706921.db2.gz ODXRDUDOTHLGKB-UHFFFAOYSA-N 0 0 430.368 -0.056 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000557678828 1074706891 /nfs/dbraw/zinc/70/68/91/1074706891.db2.gz OEERYSUHZQNMEO-UHFFFAOYSA-N 0 0 428.486 -0.893 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000557684716 1074707228 /nfs/dbraw/zinc/70/72/28/1074707228.db2.gz ITSIAOLVTXGXRT-GXTWGEPZSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000557684721 1074707318 /nfs/dbraw/zinc/70/73/18/1074707318.db2.gz ITSIAOLVTXGXRT-JSGCOSHPSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000557684723 1074707163 /nfs/dbraw/zinc/70/71/63/1074707163.db2.gz ITSIAOLVTXGXRT-OCCSQVGLSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000557684724 1074707137 /nfs/dbraw/zinc/70/71/37/1074707137.db2.gz ITSIAOLVTXGXRT-TZMCWYRMSA-N 0 0 446.551 -0.617 20 0 IBADRN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000557685342 1074707115 /nfs/dbraw/zinc/70/71/15/1074707115.db2.gz AQHKFPUALYJAHS-HRXPWDSJSA-N 0 0 439.476 -0.004 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000557685622 1074706849 /nfs/dbraw/zinc/70/68/49/1074706849.db2.gz DTDGHOHCYKZXRY-DLBZAZTESA-N 0 0 430.571 -0.370 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000557685623 1074706827 /nfs/dbraw/zinc/70/68/27/1074706827.db2.gz DTDGHOHCYKZXRY-IAGOWNOFSA-N 0 0 430.571 -0.370 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000557685624 1074707077 /nfs/dbraw/zinc/70/70/77/1074707077.db2.gz DTDGHOHCYKZXRY-IRXDYDNUSA-N 0 0 430.571 -0.370 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000557685625 1074707097 /nfs/dbraw/zinc/70/70/97/1074707097.db2.gz DTDGHOHCYKZXRY-SJORKVTESA-N 0 0 430.571 -0.370 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCC2CCN(C(C)=O)CC2)CC1 ZINC000557692253 1074707179 /nfs/dbraw/zinc/70/71/79/1074707179.db2.gz LMRHEUJFVIZEJW-INIZCTEOSA-N 0 0 439.557 -0.954 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCC2CCN(C(C)=O)CC2)CC1 ZINC000557692257 1074707326 /nfs/dbraw/zinc/70/73/26/1074707326.db2.gz LMRHEUJFVIZEJW-MRXNPFEDSA-N 0 0 439.557 -0.954 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CC1 ZINC000557692348 1074707279 /nfs/dbraw/zinc/70/72/79/1074707279.db2.gz GDMZMWOCBZSLSH-UHFFFAOYSA-N 0 0 446.570 -0.740 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)C(=O)N2CCNC(=O)[C@H]2C)s1 ZINC000557692831 1074707513 /nfs/dbraw/zinc/70/75/13/1074707513.db2.gz LEZPRTIBSWQFQO-GFCCVEGCSA-N 0 0 430.552 -0.216 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)C(=O)N2CCNC(=O)[C@@H]2C)s1 ZINC000557692834 1074707538 /nfs/dbraw/zinc/70/75/38/1074707538.db2.gz LEZPRTIBSWQFQO-LBPRGKRZSA-N 0 0 430.552 -0.216 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000557693269 1074707171 /nfs/dbraw/zinc/70/71/71/1074707171.db2.gz OLXJHHHRLDHSBD-JTQLQIEISA-N 0 0 436.412 -0.315 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000557693272 1074707290 /nfs/dbraw/zinc/70/72/90/1074707290.db2.gz OLXJHHHRLDHSBD-SNVBAGLBSA-N 0 0 436.412 -0.315 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCN(CC(F)(F)F)C(=O)C3)nc2n(C)c1=O ZINC000557693349 1074707127 /nfs/dbraw/zinc/70/71/27/1074707127.db2.gz PJBWZRYWJWBMAS-UHFFFAOYSA-N 0 0 442.398 0.224 20 0 IBADRN O=C(N1CCCC1)N1CCC[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000557693576 1074707240 /nfs/dbraw/zinc/70/72/40/1074707240.db2.gz BBLGNVILUFBKLY-BPAMBQHCSA-N 0 0 447.496 -0.470 20 0 IBADRN O=C(N1CCCC1)N1CCC[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000557693577 1074707188 /nfs/dbraw/zinc/70/71/88/1074707188.db2.gz BBLGNVILUFBKLY-BYMDKACISA-N 0 0 447.496 -0.470 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)s1 ZINC000557693703 1074707558 /nfs/dbraw/zinc/70/75/58/1074707558.db2.gz OEHCENZEKORUPY-CYBMUJFWSA-N 0 0 446.551 -0.850 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)s1 ZINC000557693706 1074707520 /nfs/dbraw/zinc/70/75/20/1074707520.db2.gz OEHCENZEKORUPY-ZDUSSCGKSA-N 0 0 446.551 -0.850 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCC3CCN(C(C)=O)CC3)C2)nc1 ZINC000557693982 1074707462 /nfs/dbraw/zinc/70/74/62/1074707462.db2.gz SXDOKBNZIUJMFQ-UHFFFAOYSA-N 0 0 431.493 -0.062 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1c1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000557696164 1074707488 /nfs/dbraw/zinc/70/74/88/1074707488.db2.gz PMWVQYFXOZOLQA-KBPBESRZSA-N 0 0 432.568 -0.116 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1c1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000557696168 1074707660 /nfs/dbraw/zinc/70/76/60/1074707660.db2.gz PMWVQYFXOZOLQA-KGLIPLIRSA-N 0 0 432.568 -0.116 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1c1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000557696169 1074707530 /nfs/dbraw/zinc/70/75/30/1074707530.db2.gz PMWVQYFXOZOLQA-UONOGXRCSA-N 0 0 432.568 -0.116 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1c1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000557696170 1074707633 /nfs/dbraw/zinc/70/76/33/1074707633.db2.gz PMWVQYFXOZOLQA-ZIAGYGMSSA-N 0 0 432.568 -0.116 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1ccc(F)cc1F)C2 ZINC000557696995 1074707680 /nfs/dbraw/zinc/70/76/80/1074707680.db2.gz TXYQCECPQMSPCE-UHFFFAOYSA-N 0 0 436.419 -0.888 20 0 IBADRN CN(C[C@H]1CCCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)C1)S(C)(=O)=O ZINC000557700618 1074707584 /nfs/dbraw/zinc/70/75/84/1074707584.db2.gz VZWBXFPKVXIHPZ-GFCCVEGCSA-N 0 0 439.581 -0.155 20 0 IBADRN CN(C[C@@H]1CCCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)C1)S(C)(=O)=O ZINC000557700622 1074708129 /nfs/dbraw/zinc/70/81/29/1074708129.db2.gz VZWBXFPKVXIHPZ-LBPRGKRZSA-N 0 0 439.581 -0.155 20 0 IBADRN CC(C)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000557701252 1074707987 /nfs/dbraw/zinc/70/79/87/1074707987.db2.gz LYRHOKHKZFYHPG-MSOLQXFVSA-N 0 0 443.614 -0.046 20 0 IBADRN CC(C)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000557701258 1074708200 /nfs/dbraw/zinc/70/82/00/1074708200.db2.gz LYRHOKHKZFYHPG-QZTJIDSGSA-N 0 0 443.614 -0.046 20 0 IBADRN CC(C)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000557701261 1074707968 /nfs/dbraw/zinc/70/79/68/1074707968.db2.gz LYRHOKHKZFYHPG-ROUUACIJSA-N 0 0 443.614 -0.046 20 0 IBADRN CC(C)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000557701263 1074708016 /nfs/dbraw/zinc/70/80/16/1074708016.db2.gz LYRHOKHKZFYHPG-ZWKOTPCHSA-N 0 0 443.614 -0.046 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)[C@H](C)N1CCOCC1 ZINC000557703736 1074708410 /nfs/dbraw/zinc/70/84/10/1074708410.db2.gz HCECWLFNZYLDNE-MOPGFXCFSA-N 0 0 437.585 -0.241 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)[C@H](C)N1CCOCC1 ZINC000557703737 1074708580 /nfs/dbraw/zinc/70/85/80/1074708580.db2.gz HCECWLFNZYLDNE-OALUTQOASA-N 0 0 437.585 -0.241 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)N1CCOCC1 ZINC000557703738 1074708599 /nfs/dbraw/zinc/70/85/99/1074708599.db2.gz HCECWLFNZYLDNE-RBUKOAKNSA-N 0 0 437.585 -0.241 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)[C@@H](C)N1CCOCC1 ZINC000557703739 1074708609 /nfs/dbraw/zinc/70/86/09/1074708609.db2.gz HCECWLFNZYLDNE-RTBURBONSA-N 0 0 437.585 -0.241 20 0 IBADRN CC(C)[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000557704581 1074708523 /nfs/dbraw/zinc/70/85/23/1074708523.db2.gz XTWKSFDYTIDERS-MSOLQXFVSA-N 0 0 443.614 -0.046 20 0 IBADRN CC(C)[C@H]1CCCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000557704583 1074708559 /nfs/dbraw/zinc/70/85/59/1074708559.db2.gz XTWKSFDYTIDERS-QZTJIDSGSA-N 0 0 443.614 -0.046 20 0 IBADRN CC(C)[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000557704585 1074708540 /nfs/dbraw/zinc/70/85/40/1074708540.db2.gz XTWKSFDYTIDERS-ROUUACIJSA-N 0 0 443.614 -0.046 20 0 IBADRN CC(C)[C@@H]1CCCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000557704588 1074708503 /nfs/dbraw/zinc/70/85/03/1074708503.db2.gz XTWKSFDYTIDERS-ZWKOTPCHSA-N 0 0 443.614 -0.046 20 0 IBADRN O=C(NCCCN1CCCCC1=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000557704625 1074708591 /nfs/dbraw/zinc/70/85/91/1074708591.db2.gz ICXQGUYSECDTNM-UHFFFAOYSA-N 0 0 441.510 -0.833 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1c(=O)ccn(C)c1=O ZINC000557705035 1074708399 /nfs/dbraw/zinc/70/83/99/1074708399.db2.gz SBJPNYNCUJZPLQ-UHFFFAOYSA-N 0 0 438.462 -0.785 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1)[C@H](C)N1CCOCC1 ZINC000557705516 1074708343 /nfs/dbraw/zinc/70/83/43/1074708343.db2.gz NWHXIVYIPLLVEU-BZSNNMDCSA-N 0 0 437.585 -0.197 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1)N1CCOCC1 ZINC000557705519 1074708422 /nfs/dbraw/zinc/70/84/22/1074708422.db2.gz NWHXIVYIPLLVEU-KSZLIROESA-N 0 0 437.585 -0.197 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)CC1 ZINC000557705521 1070951070 /nfs/dbraw/zinc/95/10/70/1070951070.db2.gz NWHXIVYIPLLVEU-OKZBNKHCSA-N 0 0 437.585 -0.197 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)CC1 ZINC000557705523 1074708449 /nfs/dbraw/zinc/70/84/49/1074708449.db2.gz NWHXIVYIPLLVEU-RCCFBDPRSA-N 0 0 437.585 -0.197 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1)N1CCOCC1 ZINC000557705708 1074708896 /nfs/dbraw/zinc/70/88/96/1074708896.db2.gz QZCZPOKDLYNHSM-HRCADAONSA-N 0 0 430.513 -0.613 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1)[C@H](C)N1CCOCC1 ZINC000557705712 1074708926 /nfs/dbraw/zinc/70/89/26/1074708926.db2.gz QZCZPOKDLYNHSM-JYJNAYRXSA-N 0 0 430.513 -0.613 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1)N1CCOCC1 ZINC000557705714 1074709001 /nfs/dbraw/zinc/70/90/01/1074709001.db2.gz QZCZPOKDLYNHSM-OWCLPIDISA-N 0 0 430.513 -0.613 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1)[C@H](C)N1CCOCC1 ZINC000557705715 1074708957 /nfs/dbraw/zinc/70/89/57/1074708957.db2.gz QZCZPOKDLYNHSM-PMPSAXMXSA-N 0 0 430.513 -0.613 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H](C)[C@H](C)N3CCOCC3)C2)nc1 ZINC000557706089 1074708468 /nfs/dbraw/zinc/70/84/68/1074708468.db2.gz XCQAZLPDRSEGSY-CABCVRRESA-N 0 0 433.509 -0.212 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H](C)[C@H](C)N3CCOCC3)C2)nc1 ZINC000557706090 1074708378 /nfs/dbraw/zinc/70/83/78/1074708378.db2.gz XCQAZLPDRSEGSY-GJZGRUSLSA-N 0 0 433.509 -0.212 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H](C)[C@@H](C)N3CCOCC3)C2)nc1 ZINC000557706091 1074708481 /nfs/dbraw/zinc/70/84/81/1074708481.db2.gz XCQAZLPDRSEGSY-HUUCEWRRSA-N 0 0 433.509 -0.212 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H](C)[C@@H](C)N3CCOCC3)C2)nc1 ZINC000557706092 1074708436 /nfs/dbraw/zinc/70/84/36/1074708436.db2.gz XCQAZLPDRSEGSY-LSDHHAIUSA-N 0 0 433.509 -0.212 20 0 IBADRN O=C(NCCCN1CCCCC1=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000557706466 1074708491 /nfs/dbraw/zinc/70/84/91/1074708491.db2.gz HJHNGBQXJFEHHS-INIZCTEOSA-N 0 0 442.524 -0.024 20 0 IBADRN O=C(NCCCN1CCCCC1=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000557706467 1074708457 /nfs/dbraw/zinc/70/84/57/1074708457.db2.gz HJHNGBQXJFEHHS-MRXNPFEDSA-N 0 0 442.524 -0.024 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@H](C)N1CCOCC1 ZINC000557706701 1074708865 /nfs/dbraw/zinc/70/88/65/1074708865.db2.gz NNYRLRAOPACZIY-MOPGFXCFSA-N 0 0 445.564 -0.328 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@H](C)N1CCOCC1 ZINC000557706702 1074708789 /nfs/dbraw/zinc/70/87/89/1074708789.db2.gz NNYRLRAOPACZIY-OALUTQOASA-N 0 0 445.564 -0.328 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)N1CCOCC1 ZINC000557706703 1074708917 /nfs/dbraw/zinc/70/89/17/1074708917.db2.gz NNYRLRAOPACZIY-RBUKOAKNSA-N 0 0 445.564 -0.328 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@@H](C)N1CCOCC1 ZINC000557706704 1074708778 /nfs/dbraw/zinc/70/87/78/1074708778.db2.gz NNYRLRAOPACZIY-RTBURBONSA-N 0 0 445.564 -0.328 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)CC1 ZINC000557706801 1074708800 /nfs/dbraw/zinc/70/88/00/1074708800.db2.gz PWIMVPZPNPQFGV-MSOLQXFVSA-N 0 0 425.574 -0.386 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)CC1 ZINC000557706802 1074708968 /nfs/dbraw/zinc/70/89/68/1074708968.db2.gz PWIMVPZPNPQFGV-QZTJIDSGSA-N 0 0 425.574 -0.386 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)CC1 ZINC000557706803 1074708740 /nfs/dbraw/zinc/70/87/40/1074708740.db2.gz PWIMVPZPNPQFGV-ROUUACIJSA-N 0 0 425.574 -0.386 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)CC1 ZINC000557706804 1074708831 /nfs/dbraw/zinc/70/88/31/1074708831.db2.gz PWIMVPZPNPQFGV-ZWKOTPCHSA-N 0 0 425.574 -0.386 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)CC1 ZINC000557707085 1074708770 /nfs/dbraw/zinc/70/87/70/1074708770.db2.gz ZDJQASYNKNJAAB-DLBZAZTESA-N 0 0 448.586 -0.889 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)CC1 ZINC000557707086 1074708730 /nfs/dbraw/zinc/70/87/30/1074708730.db2.gz ZDJQASYNKNJAAB-IAGOWNOFSA-N 0 0 448.586 -0.889 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)CC1 ZINC000557707087 1074708993 /nfs/dbraw/zinc/70/89/93/1074708993.db2.gz ZDJQASYNKNJAAB-IRXDYDNUSA-N 0 0 448.586 -0.889 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)CC1 ZINC000557707088 1074708947 /nfs/dbraw/zinc/70/89/47/1074708947.db2.gz ZDJQASYNKNJAAB-SJORKVTESA-N 0 0 448.586 -0.889 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)[C@H](C)N1CCOCC1 ZINC000557707482 1074708875 /nfs/dbraw/zinc/70/88/75/1074708875.db2.gz KJWZVJDBWALRNE-DZKIICNBSA-N 0 0 447.496 -0.405 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)N1CCOCC1 ZINC000557707483 1074708887 /nfs/dbraw/zinc/70/88/87/1074708887.db2.gz KJWZVJDBWALRNE-LZWOXQAQSA-N 0 0 447.496 -0.405 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)[C@H](C)N1CCOCC1 ZINC000557707484 1074708906 /nfs/dbraw/zinc/70/89/06/1074708906.db2.gz KJWZVJDBWALRNE-OFQRWUPVSA-N 0 0 447.496 -0.405 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)N1CCOCC1 ZINC000557707485 1074708936 /nfs/dbraw/zinc/70/89/36/1074708936.db2.gz KJWZVJDBWALRNE-SQWLQELKSA-N 0 0 447.496 -0.405 20 0 IBADRN O=C(NC1CCC2(CC1)NC(=O)NC2=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000557709470 1074709324 /nfs/dbraw/zinc/70/93/24/1074709324.db2.gz OAGZQDXBESILFA-UHFFFAOYSA-N 0 0 436.490 -0.042 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)CC1 ZINC000557716664 1074709148 /nfs/dbraw/zinc/70/91/48/1074709148.db2.gz YURPWTSIJIWRPM-UHFFFAOYSA-N 0 0 430.571 -0.008 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc(S(=O)(=O)N2CCCCC2)ccc1C ZINC000557717106 1074709300 /nfs/dbraw/zinc/70/93/00/1074709300.db2.gz PHSXCZMCHGXIFU-UHFFFAOYSA-N 0 0 447.535 -0.263 20 0 IBADRN CN(CCC(N)=O)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000557718664 1074709114 /nfs/dbraw/zinc/70/91/14/1074709114.db2.gz LEELMMRYYMMUFI-UHFFFAOYSA-N 0 0 428.486 -0.227 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)S(C)(=O)=O ZINC000557722429 1074709169 /nfs/dbraw/zinc/70/91/69/1074709169.db2.gz BTYJFTADEYMZKX-BHYGNILZSA-N 0 0 436.534 -0.749 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)S(C)(=O)=O ZINC000557722435 1074709281 /nfs/dbraw/zinc/70/92/81/1074709281.db2.gz BTYJFTADEYMZKX-HYVNUMGLSA-N 0 0 436.534 -0.749 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)S(C)(=O)=O ZINC000557722437 1074709339 /nfs/dbraw/zinc/70/93/39/1074709339.db2.gz BTYJFTADEYMZKX-PVAVHDDUSA-N 0 0 436.534 -0.749 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)S(C)(=O)=O ZINC000557722439 1074709249 /nfs/dbraw/zinc/70/92/49/1074709249.db2.gz BTYJFTADEYMZKX-XIRDDKMYSA-N 0 0 436.534 -0.749 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)S(C)(=O)=O ZINC000557722898 1074709271 /nfs/dbraw/zinc/70/92/71/1074709271.db2.gz WSRHYESIZVQWTK-AWEZNQCLSA-N 0 0 431.536 -0.790 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)S(C)(=O)=O ZINC000557722939 1074709197 /nfs/dbraw/zinc/70/91/97/1074709197.db2.gz WSRHYESIZVQWTK-CQSZACIVSA-N 0 0 431.536 -0.790 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cccc1N(C)C ZINC000557725437 1074709211 /nfs/dbraw/zinc/70/92/11/1074709211.db2.gz LUBWWFNHMZKBEP-UHFFFAOYSA-N 0 0 431.493 -0.471 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)nc2)CC1 ZINC000557728921 1074709103 /nfs/dbraw/zinc/70/91/03/1074709103.db2.gz ZFOOQYPBZRJVAX-UHFFFAOYSA-N 0 0 444.492 -0.628 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCN(c2ncccc2F)C1 ZINC000557730630 1074709239 /nfs/dbraw/zinc/70/92/39/1074709239.db2.gz HUPIZNACRPELAI-CYBMUJFWSA-N 0 0 445.542 -0.590 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCN(c2ncccc2F)C1 ZINC000557730642 1074709290 /nfs/dbraw/zinc/70/92/90/1074709290.db2.gz HUPIZNACRPELAI-ZDUSSCGKSA-N 0 0 445.542 -0.590 20 0 IBADRN COc1ccc([C@H](CO)N(C)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000557731347 1074709226 /nfs/dbraw/zinc/70/92/26/1074709226.db2.gz NHCRHONLOXEPLK-INIZCTEOSA-N 0 0 445.563 -0.318 20 0 IBADRN COc1ccc([C@@H](CO)N(C)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000557731352 1074709315 /nfs/dbraw/zinc/70/93/15/1074709315.db2.gz NHCRHONLOXEPLK-MRXNPFEDSA-N 0 0 445.563 -0.318 20 0 IBADRN COCCCOC1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000557731543 1074709136 /nfs/dbraw/zinc/70/91/36/1074709136.db2.gz FTNQITCJHCVGAR-UHFFFAOYSA-N 0 0 437.584 -0.475 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1cccc(-n2ccnc2)c1 ZINC000557732074 1074709580 /nfs/dbraw/zinc/70/95/80/1074709580.db2.gz LQTPBXOVFMLGHP-UHFFFAOYSA-N 0 0 437.547 -0.017 20 0 IBADRN Cn1cc(C[C@@H]2CCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)C2)cn1 ZINC000557732477 1074709509 /nfs/dbraw/zinc/70/95/09/1074709509.db2.gz UQRLMLQCVSEVKV-AWEZNQCLSA-N 0 0 429.568 -0.694 20 0 IBADRN Cn1cc(C[C@H]2CCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)C2)cn1 ZINC000557732478 1074709479 /nfs/dbraw/zinc/70/94/79/1074709479.db2.gz UQRLMLQCVSEVKV-CQSZACIVSA-N 0 0 429.568 -0.694 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)C1)C(N)=O ZINC000557734443 1074709640 /nfs/dbraw/zinc/70/96/40/1074709640.db2.gz KAJFKCGNUJFBKV-UHFFFAOYSA-N 0 0 429.477 -0.029 20 0 IBADRN CCn1c2ccc(C(=O)N3CC(C(=O)NC(CC)(CC)C(N)=O)C3)cc2[nH]c(=O)c1=O ZINC000557734589 1074709621 /nfs/dbraw/zinc/70/96/21/1074709621.db2.gz BAKULGNZPZYFRS-UHFFFAOYSA-N 0 0 429.477 -0.058 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)C1)C(N)=O ZINC000557734854 1074709570 /nfs/dbraw/zinc/70/95/70/1074709570.db2.gz FIBLYFHJNNCUJR-UHFFFAOYSA-N 0 0 425.511 -0.436 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1)C(N)=O ZINC000557734950 1074709554 /nfs/dbraw/zinc/70/95/54/1074709554.db2.gz HIMHCGYUMDGMSJ-UHFFFAOYSA-N 0 0 444.286 -0.670 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC000557735175 1073350687 /nfs/dbraw/zinc/35/06/87/1073350687.db2.gz JUGBQAWYVNQCCE-GDBMZVCRSA-N 0 0 444.579 0.472 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC000557735176 1073350907 /nfs/dbraw/zinc/35/09/07/1073350907.db2.gz JUGBQAWYVNQCCE-GOEBONIOSA-N 0 0 444.579 0.472 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC000557735177 1073351228 /nfs/dbraw/zinc/35/12/28/1073351228.db2.gz JUGBQAWYVNQCCE-HOCLYGCPSA-N 0 0 444.579 0.472 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC000557735178 1073351250 /nfs/dbraw/zinc/35/12/50/1073351250.db2.gz JUGBQAWYVNQCCE-ZBFHGGJFSA-N 0 0 444.579 0.472 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1)C(N)=O ZINC000557735291 1074709517 /nfs/dbraw/zinc/70/95/17/1074709517.db2.gz LTAXTPHRUQMJMT-UHFFFAOYSA-N 0 0 438.506 -0.822 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1)C(N)=O ZINC000557736406 1074709655 /nfs/dbraw/zinc/70/96/55/1074709655.db2.gz LXIHFIKEAPDFCB-UHFFFAOYSA-N 0 0 442.542 -0.984 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)C1)C(N)=O ZINC000557736564 1074709527 /nfs/dbraw/zinc/70/95/27/1074709527.db2.gz GSZBWLXSKDLEMP-PBHICJAKSA-N 0 0 432.525 -0.133 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)C1)C(N)=O ZINC000557736565 1074709469 /nfs/dbraw/zinc/70/94/69/1074709469.db2.gz GSZBWLXSKDLEMP-RHSMWYFYSA-N 0 0 432.525 -0.133 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)C1)C(N)=O ZINC000557736566 1074709630 /nfs/dbraw/zinc/70/96/30/1074709630.db2.gz GSZBWLXSKDLEMP-WMLDXEAASA-N 0 0 432.525 -0.133 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)C1)C(N)=O ZINC000557736567 1074709647 /nfs/dbraw/zinc/70/96/47/1074709647.db2.gz GSZBWLXSKDLEMP-YOEHRIQHSA-N 0 0 432.525 -0.133 20 0 IBADRN CCOc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC000557738112 1074709893 /nfs/dbraw/zinc/70/98/93/1074709893.db2.gz HUQXWWUJYLVHCP-UHFFFAOYSA-N 0 0 436.484 -0.156 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000557739303 1074710007 /nfs/dbraw/zinc/71/00/07/1074710007.db2.gz XDJCAYZRHWHEMI-KRWDZBQOSA-N 0 0 447.536 -0.122 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000557739307 1074709812 /nfs/dbraw/zinc/70/98/12/1074709812.db2.gz XDJCAYZRHWHEMI-QGZVFWFLSA-N 0 0 447.536 -0.122 20 0 IBADRN Cn1nnnc1N1CCC(NC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000557745901 1074709911 /nfs/dbraw/zinc/70/99/11/1074709911.db2.gz LTTVCGZQQRMDJV-UHFFFAOYSA-N 0 0 436.494 -0.070 20 0 IBADRN CO[C@@H](C)CN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000557756286 1074710088 /nfs/dbraw/zinc/71/00/88/1074710088.db2.gz JNQWGCQMYURDKE-GXTWGEPZSA-N 0 0 429.495 -0.137 20 0 IBADRN CO[C@@H](C)CN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000557756288 1074709903 /nfs/dbraw/zinc/70/99/03/1074709903.db2.gz JNQWGCQMYURDKE-JSGCOSHPSA-N 0 0 429.495 -0.137 20 0 IBADRN CO[C@H](C)CN(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000557756290 1074709870 /nfs/dbraw/zinc/70/98/70/1074709870.db2.gz JNQWGCQMYURDKE-OCCSQVGLSA-N 0 0 429.495 -0.137 20 0 IBADRN CO[C@H](C)CN(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000557756292 1074709938 /nfs/dbraw/zinc/70/99/38/1074709938.db2.gz JNQWGCQMYURDKE-TZMCWYRMSA-N 0 0 429.495 -0.137 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@H](N2CCOCC2)C(F)(F)F)[C@H](O)[C@@H]1O ZINC000557760971 1074709885 /nfs/dbraw/zinc/70/98/85/1074709885.db2.gz KJSARJUMKGLCQX-RCJVZNENSA-N 0 0 448.402 -0.887 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@@H](N2CCOCC2)C(F)(F)F)[C@H](O)[C@@H]1O ZINC000557760981 1074709856 /nfs/dbraw/zinc/70/98/56/1074709856.db2.gz KJSARJUMKGLCQX-ZGOQAQPGSA-N 0 0 448.402 -0.887 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1NC[C@H]1CCCCN1S(C)(=O)=O ZINC000557761058 1074709948 /nfs/dbraw/zinc/70/99/48/1074709948.db2.gz KGFQUSBBXXYJMK-LLVKDONJSA-N 0 0 425.554 -0.037 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000557761063 1074710097 /nfs/dbraw/zinc/71/00/97/1074710097.db2.gz KGFQUSBBXXYJMK-NSHDSACASA-N 0 0 425.554 -0.037 20 0 IBADRN CC(C)(C)OC(=O)CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000557766840 1074710265 /nfs/dbraw/zinc/71/02/65/1074710265.db2.gz KUVYLWPSGBMTBS-AWEZNQCLSA-N 0 0 433.527 -0.513 20 0 IBADRN CC(C)(C)OC(=O)CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000557766843 1074710234 /nfs/dbraw/zinc/71/02/34/1074710234.db2.gz KUVYLWPSGBMTBS-CQSZACIVSA-N 0 0 433.527 -0.513 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000557767337 1074710616 /nfs/dbraw/zinc/71/06/16/1074710616.db2.gz GSOUZVNZTRPDIF-UHFFFAOYSA-N 0 0 428.492 -0.863 20 0 IBADRN CC(C)(C)OC(=O)CCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000557767446 1074710629 /nfs/dbraw/zinc/71/06/29/1074710629.db2.gz NQYFRSUAUCNOPV-UHFFFAOYSA-N 0 0 448.524 -0.190 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCC(=O)OC(C)(C)C)CC1 ZINC000557767741 1074710274 /nfs/dbraw/zinc/71/02/74/1074710274.db2.gz SWPXMOIUWVLGQL-UHFFFAOYSA-N 0 0 435.543 -0.267 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCC(=O)OC(C)(C)C)CC2)cn1C ZINC000557768322 1070953109 /nfs/dbraw/zinc/95/31/09/1070953109.db2.gz XCSFYHZDPXJGGT-UHFFFAOYSA-N 0 0 443.526 -0.591 20 0 IBADRN COCCN(Cc1cccnc1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557771557 1074710440 /nfs/dbraw/zinc/71/04/40/1074710440.db2.gz YOUMUEAYGVBFPW-UHFFFAOYSA-N 0 0 430.552 -0.449 20 0 IBADRN CC(C)C(=O)N1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000557772804 1074710246 /nfs/dbraw/zinc/71/02/46/1074710246.db2.gz OIFSYGYCDIXWLU-UHFFFAOYSA-N 0 0 434.584 -0.802 20 0 IBADRN C[C@H](O)CN(Cc1ccccc1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557772828 1074710579 /nfs/dbraw/zinc/71/05/79/1074710579.db2.gz PHUUODCVJCCNIT-HNNXBMFYSA-N 0 0 429.564 -0.109 20 0 IBADRN C[C@@H](O)CN(Cc1ccccc1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557772829 1074710935 /nfs/dbraw/zinc/71/09/35/1074710935.db2.gz PHUUODCVJCCNIT-OAHLLOKOSA-N 0 0 429.564 -0.109 20 0 IBADRN CC(C)n1ncc2c1C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)CC2 ZINC000557772905 1074711051 /nfs/dbraw/zinc/71/10/51/1074711051.db2.gz SFAIEYSNFPRULY-HNNXBMFYSA-N 0 0 443.595 -0.068 20 0 IBADRN CC(C)n1ncc2c1C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)CC2 ZINC000557772906 1074710838 /nfs/dbraw/zinc/71/08/38/1074710838.db2.gz SFAIEYSNFPRULY-OAHLLOKOSA-N 0 0 443.595 -0.068 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)n[nH]1 ZINC000557772987 1074710963 /nfs/dbraw/zinc/71/09/63/1074710963.db2.gz WJBKJXCYNJXVAF-UHFFFAOYSA-N 0 0 444.583 -0.702 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2ccccn2)CC1 ZINC000557773001 1074710847 /nfs/dbraw/zinc/71/08/47/1074710847.db2.gz WRRSISJZLXXCMS-UHFFFAOYSA-N 0 0 427.552 -0.775 20 0 IBADRN CN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557773037 1074710792 /nfs/dbraw/zinc/71/07/92/1074710792.db2.gz YJEGLDCTGLBZSL-UHFFFAOYSA-N 0 0 429.520 -0.132 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000557773088 1074710830 /nfs/dbraw/zinc/71/08/30/1074710830.db2.gz BABJJTWEJPFISK-UHFFFAOYSA-N 0 0 442.567 -0.990 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557773195 1074711015 /nfs/dbraw/zinc/71/10/15/1074711015.db2.gz DDPVVYUPFIVZNI-UHFFFAOYSA-N 0 0 436.600 -0.950 20 0 IBADRN CN(Cc1ccc([S@@](C)=O)cc1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557773762 1074710925 /nfs/dbraw/zinc/71/09/25/1074710925.db2.gz AXZBHKNSQYBZJG-HHHXNRCGSA-N 0 0 447.604 -0.123 20 0 IBADRN CN(Cc1ccc([S@](C)=O)cc1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557773768 1074711001 /nfs/dbraw/zinc/71/10/01/1074711001.db2.gz AXZBHKNSQYBZJG-MHZLTWQESA-N 0 0 447.604 -0.123 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000557773772 1074711419 /nfs/dbraw/zinc/71/14/19/1074711419.db2.gz NIKLHCBXUIDAFK-UHFFFAOYSA-N 0 0 426.564 -0.170 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)CN2CC(=O)NC2=O)c1 ZINC000557773829 1074711428 /nfs/dbraw/zinc/71/14/28/1074711428.db2.gz AQMFYCWWSVHTQH-UHFFFAOYSA-N 0 0 433.284 -0.296 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)Cn2ncn(C)c2=O)c1 ZINC000557773911 1074710864 /nfs/dbraw/zinc/71/08/64/1074710864.db2.gz DPHNECGEUWVQNB-UHFFFAOYSA-N 0 0 432.300 -0.253 20 0 IBADRN CCc1nccn1C[C@@H]1CCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557774183 1074710976 /nfs/dbraw/zinc/71/09/76/1074710976.db2.gz SAUDCYVMJHRPPS-HNNXBMFYSA-N 0 0 443.595 -0.069 20 0 IBADRN CCc1nccn1C[C@H]1CCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557774186 1074710802 /nfs/dbraw/zinc/71/08/02/1074710802.db2.gz SAUDCYVMJHRPPS-OAHLLOKOSA-N 0 0 443.595 -0.069 20 0 IBADRN O=C(NCCc1cnc(C2CC2)nc1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000557774588 1074710904 /nfs/dbraw/zinc/71/09/04/1074710904.db2.gz UDDWVFOZABICRS-UHFFFAOYSA-N 0 0 427.552 -0.493 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)[C@H](c2ccccc2)C1 ZINC000557774840 1074711332 /nfs/dbraw/zinc/71/13/32/1074711332.db2.gz WWZVGZFNNXDJEK-KRWDZBQOSA-N 0 0 440.591 -0.004 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)[C@@H](c2ccccc2)C1 ZINC000557774842 1074711455 /nfs/dbraw/zinc/71/14/55/1074711455.db2.gz WWZVGZFNNXDJEK-QGZVFWFLSA-N 0 0 440.591 -0.004 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000557774910 1074711277 /nfs/dbraw/zinc/71/12/77/1074711277.db2.gz UIWHLRRMGWOLHX-AWEZNQCLSA-N 0 0 447.608 -0.469 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cn1 ZINC000557774912 1074711287 /nfs/dbraw/zinc/71/12/87/1074711287.db2.gz UIWHLRRMGWOLHX-CQSZACIVSA-N 0 0 447.608 -0.469 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)CN2CCN(S(C)(=O)=O)CC2)s1 ZINC000557775615 1074711437 /nfs/dbraw/zinc/71/14/37/1074711437.db2.gz YNFCLFHXZPLHCC-NEPJUHHUSA-N 0 0 432.572 -0.243 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NC(=O)CN2CCN(S(C)(=O)=O)CC2)s1 ZINC000557775616 1074711444 /nfs/dbraw/zinc/71/14/44/1074711444.db2.gz YNFCLFHXZPLHCC-NWDGAFQWSA-N 0 0 432.572 -0.243 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NC(=O)CN2CCN(S(C)(=O)=O)CC2)s1 ZINC000557775617 1074711229 /nfs/dbraw/zinc/71/12/29/1074711229.db2.gz YNFCLFHXZPLHCC-RYUDHWBXSA-N 0 0 432.572 -0.243 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)CN2CCN(S(C)(=O)=O)CC2)s1 ZINC000557775618 1074711322 /nfs/dbraw/zinc/71/13/22/1074711322.db2.gz YNFCLFHXZPLHCC-VXGBXAGGSA-N 0 0 432.572 -0.243 20 0 IBADRN O=C(CCC(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000557776091 1074711375 /nfs/dbraw/zinc/71/13/75/1074711375.db2.gz UQLDYOMLCAYWPG-LLVKDONJSA-N 0 0 436.494 0.455 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)CN2CCN(C)C(=O)C2)c1 ZINC000557776718 1074711219 /nfs/dbraw/zinc/71/12/19/1074711219.db2.gz WDJFQQFKSXELHX-UHFFFAOYSA-N 0 0 447.355 -0.074 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCC(Oc2cnccn2)CC1 ZINC000557776989 1074711407 /nfs/dbraw/zinc/71/14/07/1074711407.db2.gz ZRQWBESWLFALFX-UHFFFAOYSA-N 0 0 443.551 -0.659 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000557778647 1074711363 /nfs/dbraw/zinc/71/13/63/1074711363.db2.gz YSTIJCXQNBGBCB-GFCCVEGCSA-N 0 0 441.535 -0.086 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCCNS(=O)(=O)c1cc(C)ccc1Br)C(N)=O ZINC000557779696 1074711249 /nfs/dbraw/zinc/71/12/49/1074711249.db2.gz UVXALGRKQKQLKF-LBPRGKRZSA-N 0 0 449.327 -0.468 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000557781600 1074711238 /nfs/dbraw/zinc/71/12/38/1074711238.db2.gz CEJKZBKCUWYDOL-GXTWGEPZSA-N 0 0 447.583 -0.223 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000557781601 1074711397 /nfs/dbraw/zinc/71/13/97/1074711397.db2.gz CEJKZBKCUWYDOL-TZMCWYRMSA-N 0 0 447.583 -0.223 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000557782960 1074711259 /nfs/dbraw/zinc/71/12/59/1074711259.db2.gz OQEGGCWYALIZJP-SECBINFHSA-N 0 0 435.300 -0.216 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000557783787 1074711676 /nfs/dbraw/zinc/71/16/76/1074711676.db2.gz CWXPTLDJAFRLTF-UHFFFAOYSA-N 0 0 449.551 -0.369 20 0 IBADRN Cn1cc(C(C)(C)NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000557784513 1074711752 /nfs/dbraw/zinc/71/17/52/1074711752.db2.gz NEQRSXBGXNLWDZ-UHFFFAOYSA-N 0 0 448.505 -0.469 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC000557784677 1074711737 /nfs/dbraw/zinc/71/17/37/1074711737.db2.gz UTVUBDNUVUNVAN-HNNXBMFYSA-N 0 0 425.507 -0.040 20 0 IBADRN CC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC000557784682 1074711821 /nfs/dbraw/zinc/71/18/21/1074711821.db2.gz UTVUBDNUVUNVAN-OAHLLOKOSA-N 0 0 425.507 -0.040 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000557785751 1074711637 /nfs/dbraw/zinc/71/16/37/1074711637.db2.gz KPODQGWWEVIWSQ-KRWDZBQOSA-N 0 0 436.557 -0.643 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000557785752 1074711858 /nfs/dbraw/zinc/71/18/58/1074711858.db2.gz KPODQGWWEVIWSQ-QGZVFWFLSA-N 0 0 436.557 -0.643 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)cn1 ZINC000557785881 1074711648 /nfs/dbraw/zinc/71/16/48/1074711648.db2.gz PTAZNWAJSYHUJG-UHFFFAOYSA-N 0 0 448.568 -0.014 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCCCF)CC2)cc1 ZINC000557787637 1074711795 /nfs/dbraw/zinc/71/17/95/1074711795.db2.gz NPFHGIBBMOPSIU-UHFFFAOYSA-N 0 0 443.544 -0.280 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1=O ZINC000557789166 1074711657 /nfs/dbraw/zinc/71/16/57/1074711657.db2.gz JXXOOTPYJPJJQY-UHFFFAOYSA-N 0 0 425.507 -0.088 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)CC2)cn1 ZINC000557789306 1074711608 /nfs/dbraw/zinc/71/16/08/1074711608.db2.gz NRXOYAXHEDKTBY-UHFFFAOYSA-N 0 0 435.506 -0.333 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C[C@@]2(CCOC2)O1 ZINC000557789529 1074711598 /nfs/dbraw/zinc/71/15/98/1074711598.db2.gz GXHUUNVZZIDYQE-AUUYWEPGSA-N 0 0 426.491 -0.009 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C[C@@]2(CCOC2)O1 ZINC000557789530 1074711627 /nfs/dbraw/zinc/71/16/27/1074711627.db2.gz GXHUUNVZZIDYQE-IFXJQAMLSA-N 0 0 426.491 -0.009 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C[C@]2(CCOC2)O1 ZINC000557789531 1074711727 /nfs/dbraw/zinc/71/17/27/1074711727.db2.gz GXHUUNVZZIDYQE-KUHUBIRLSA-N 0 0 426.491 -0.009 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C[C@]2(CCOC2)O1 ZINC000557789532 1074711764 /nfs/dbraw/zinc/71/17/64/1074711764.db2.gz GXHUUNVZZIDYQE-LIRRHRJNSA-N 0 0 426.491 -0.009 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c2ccccc2O1 ZINC000557789751 1074711843 /nfs/dbraw/zinc/71/18/43/1074711843.db2.gz MFGBRSUXKRWJHG-BBRMVZONSA-N 0 0 439.490 -0.164 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c2ccccc2O1 ZINC000557789768 1074711831 /nfs/dbraw/zinc/71/18/31/1074711831.db2.gz MFGBRSUXKRWJHG-CJNGLKHVSA-N 0 0 439.490 -0.164 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)c2ccccc2O1 ZINC000557789769 1070953857 /nfs/dbraw/zinc/95/38/57/1070953857.db2.gz MFGBRSUXKRWJHG-CZUORRHYSA-N 0 0 439.490 -0.164 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)c2ccccc2O1 ZINC000557789772 1074711808 /nfs/dbraw/zinc/71/18/08/1074711808.db2.gz MFGBRSUXKRWJHG-XJKSGUPXSA-N 0 0 439.490 -0.164 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000557789816 1074712086 /nfs/dbraw/zinc/71/20/86/1074712086.db2.gz YDMYXUZLDUSICP-JOCHJYFZSA-N 0 0 436.553 -0.155 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000557789824 1074712251 /nfs/dbraw/zinc/71/22/51/1074712251.db2.gz YDMYXUZLDUSICP-QFIPXVFZSA-N 0 0 436.553 -0.155 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000557790132 1074711773 /nfs/dbraw/zinc/71/17/73/1074711773.db2.gz STFLGJUXFDXBOC-UHFFFAOYSA-N 0 0 427.523 -0.500 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000557790138 1074712025 /nfs/dbraw/zinc/71/20/25/1074712025.db2.gz UFUPSXKXKMNVPV-HNNXBMFYSA-N 0 0 432.520 -0.379 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000557790141 1074712063 /nfs/dbraw/zinc/71/20/63/1074712063.db2.gz UFUPSXKXKMNVPV-OAHLLOKOSA-N 0 0 432.520 -0.379 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)cc1 ZINC000557791142 1074712094 /nfs/dbraw/zinc/71/20/94/1074712094.db2.gz SAIAJQLZDVMGAT-INIZCTEOSA-N 0 0 439.534 -0.092 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)cc1 ZINC000557791153 1074712072 /nfs/dbraw/zinc/71/20/72/1074712072.db2.gz SAIAJQLZDVMGAT-MRXNPFEDSA-N 0 0 439.534 -0.092 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000557791370 1074712162 /nfs/dbraw/zinc/71/21/62/1074712162.db2.gz RQXUMEGFWCXWDH-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000557796830 1074712190 /nfs/dbraw/zinc/71/21/90/1074712190.db2.gz BRFPRYGCBXJGEP-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN COCc1cc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1F ZINC000557796892 1074712209 /nfs/dbraw/zinc/71/22/09/1074712209.db2.gz CIXVFBDPUKAYDV-UHFFFAOYSA-N 0 0 448.455 -0.923 20 0 IBADRN Cc1nn(C)cc1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000557798364 1074712173 /nfs/dbraw/zinc/71/21/73/1074712173.db2.gz LUKILMGUUSCSMU-UHFFFAOYSA-N 0 0 428.540 -0.446 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)NCCN2CCN(c3ncccn3)CC2)C1)S(C)(=O)=O ZINC000557804023 1074712140 /nfs/dbraw/zinc/71/21/40/1074712140.db2.gz RWWRWTUPDBVGMQ-KRWDZBQOSA-N 0 0 439.586 -0.088 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)NCCN2CCN(c3ncccn3)CC2)C1)S(C)(=O)=O ZINC000557804030 1074712103 /nfs/dbraw/zinc/71/21/03/1074712103.db2.gz RWWRWTUPDBVGMQ-QGZVFWFLSA-N 0 0 439.586 -0.088 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)NCCN2CCN(c3ncccn3)CC2)CC(=O)N1C ZINC000557804424 1070955175 /nfs/dbraw/zinc/95/51/75/1070955175.db2.gz OGYWGZURGGCLRD-CRAIPNDOSA-N 0 0 427.513 -0.397 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)CC2CCS(=O)(=O)CC2)CC1 ZINC000557813176 1074712242 /nfs/dbraw/zinc/71/22/42/1074712242.db2.gz TYRMCJRUQLKSQQ-HNNXBMFYSA-N 0 0 439.600 -0.700 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)CC2CCS(=O)(=O)CC2)CC1 ZINC000557813177 1074712038 /nfs/dbraw/zinc/71/20/38/1074712038.db2.gz TYRMCJRUQLKSQQ-OAHLLOKOSA-N 0 0 439.600 -0.700 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2ccc(N(C)C)nc2)c1 ZINC000557813344 1074712030 /nfs/dbraw/zinc/71/20/30/1074712030.db2.gz BUZZPJICTYNGQW-UHFFFAOYSA-N 0 0 427.508 -0.027 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccnc1C(F)(F)F ZINC000557814910 1074712222 /nfs/dbraw/zinc/71/22/22/1074712222.db2.gz NFYCPJASOMINTK-GHMZBOCLSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccnc1C(F)(F)F ZINC000557814912 1074712008 /nfs/dbraw/zinc/71/20/08/1074712008.db2.gz NFYCPJASOMINTK-MNOVXSKESA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccnc1C(F)(F)F ZINC000557814915 1074712046 /nfs/dbraw/zinc/71/20/46/1074712046.db2.gz NFYCPJASOMINTK-QWRGUYRKSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccnc1C(F)(F)F ZINC000557814918 1074712123 /nfs/dbraw/zinc/71/21/23/1074712123.db2.gz NFYCPJASOMINTK-WDEREUQCSA-N 0 0 429.442 -0.124 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)CC1 ZINC000557820103 1074712525 /nfs/dbraw/zinc/71/25/25/1074712525.db2.gz NGTSSXMUVVQKGT-UHFFFAOYSA-N 0 0 444.532 -0.099 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)on1 ZINC000557820356 1074712373 /nfs/dbraw/zinc/71/23/73/1074712373.db2.gz LCJZHDNFIGQNAH-UHFFFAOYSA-N 0 0 427.461 -0.013 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)cc1 ZINC000557825269 1074712677 /nfs/dbraw/zinc/71/26/77/1074712677.db2.gz VGESODHOSJNOLN-UHFFFAOYSA-N 0 0 440.565 -0.407 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000557826976 1074712688 /nfs/dbraw/zinc/71/26/88/1074712688.db2.gz HQCZGAZCDKNPBC-UHFFFAOYSA-N 0 0 439.490 -0.305 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000557827017 1074712535 /nfs/dbraw/zinc/71/25/35/1074712535.db2.gz IQVQCJFFFSYRDQ-UHFFFAOYSA-N 0 0 427.479 -0.955 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CNC(=O)c1ccccc1I ZINC000557827655 1074712612 /nfs/dbraw/zinc/71/26/12/1074712612.db2.gz MUYKEUFVYIXVBD-UHFFFAOYSA-N 0 0 433.202 -0.574 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC000557827752 1074712385 /nfs/dbraw/zinc/71/23/85/1074712385.db2.gz NVDYFSLTESRQSM-UHFFFAOYSA-N 0 0 436.284 -0.477 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CN(C(=O)NCCNC(=O)c2cnccn2)C[C@H]1C(F)(F)F ZINC000557827994 1074712363 /nfs/dbraw/zinc/71/23/63/1074712363.db2.gz YMXCLFBPEDGRAP-ZYHUDNBSSA-N 0 0 438.432 -0.330 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)s1 ZINC000557829326 1074712624 /nfs/dbraw/zinc/71/26/24/1074712624.db2.gz XVYFBEGFUYAVIY-UHFFFAOYSA-N 0 0 448.552 -0.033 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCC(=O)NCC(=O)OC)(c2ccccc2)CC1 ZINC000557830230 1074712561 /nfs/dbraw/zinc/71/25/61/1074712561.db2.gz OHENZUZVGZLUHX-UHFFFAOYSA-N 0 0 425.507 -0.225 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000557832876 1074712548 /nfs/dbraw/zinc/71/25/48/1074712548.db2.gz APCBFAFYZKOLRJ-ARFHVFGLSA-N 0 0 428.555 -0.078 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000557832880 1074712512 /nfs/dbraw/zinc/71/25/12/1074712512.db2.gz APCBFAFYZKOLRJ-BZUAXINKSA-N 0 0 428.555 -0.078 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000557832882 1074712880 /nfs/dbraw/zinc/71/28/80/1074712880.db2.gz APCBFAFYZKOLRJ-HRCADAONSA-N 0 0 428.555 -0.078 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000557832885 1074712801 /nfs/dbraw/zinc/71/28/01/1074712801.db2.gz APCBFAFYZKOLRJ-OWCLPIDISA-N 0 0 428.555 -0.078 20 0 IBADRN CC(=O)N1CCc2c1cccc2NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000557835143 1074712834 /nfs/dbraw/zinc/71/28/34/1074712834.db2.gz BRMYRCZRRKPMRB-CQSZACIVSA-N 0 0 437.522 -0.079 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000557841328 1074712821 /nfs/dbraw/zinc/71/28/21/1074712821.db2.gz PVPPURKPWSFPLM-UHFFFAOYSA-N 0 0 448.552 -0.161 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000557841334 1074712892 /nfs/dbraw/zinc/71/28/92/1074712892.db2.gz QEZRMRCZUGBSDT-AWEZNQCLSA-N 0 0 425.554 -0.184 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000557841335 1074713014 /nfs/dbraw/zinc/71/30/14/1074713014.db2.gz QEZRMRCZUGBSDT-CQSZACIVSA-N 0 0 425.554 -0.184 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCc2cn(CC(=O)OC)nn2)cc1 ZINC000557842143 1074712994 /nfs/dbraw/zinc/71/29/94/1074712994.db2.gz ICCFLZLCYYZUHB-UHFFFAOYSA-N 0 0 431.496 -0.382 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)CC2CCS(=O)(=O)CC2)CC1 ZINC000557843098 1074712984 /nfs/dbraw/zinc/71/29/84/1074712984.db2.gz OZNNXZIZRYVFRQ-UHFFFAOYSA-N 0 0 432.586 -0.875 20 0 IBADRN O=C(N[C@H]1CCc2ncnn2C1)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000557843453 1074713021 /nfs/dbraw/zinc/71/30/21/1074713021.db2.gz IZUXWAYOBHLJHV-HNNXBMFYSA-N 0 0 432.506 -0.321 20 0 IBADRN O=C(N[C@@H]1CCc2ncnn2C1)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000557843468 1074712809 /nfs/dbraw/zinc/71/28/09/1074712809.db2.gz IZUXWAYOBHLJHV-OAHLLOKOSA-N 0 0 432.506 -0.321 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C)cc(S(C)(=O)=O)c2C)CC1 ZINC000557844335 1074713005 /nfs/dbraw/zinc/71/30/05/1074713005.db2.gz BYWUXADHQATLCX-UHFFFAOYSA-N 0 0 425.554 -0.523 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000557844510 1074712868 /nfs/dbraw/zinc/71/28/68/1074712868.db2.gz PUYYRGOWAHXLBA-UHFFFAOYSA-N 0 0 447.461 -0.912 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000557845064 1074713075 /nfs/dbraw/zinc/71/30/75/1074713075.db2.gz UWDPZIFIMAXASB-CYBMUJFWSA-N 0 0 428.602 -0.570 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000557845068 1074712974 /nfs/dbraw/zinc/71/29/74/1074712974.db2.gz UWDPZIFIMAXASB-ZDUSSCGKSA-N 0 0 428.602 -0.570 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cccc3c2ccnc3C(=O)OC)CC1 ZINC000557847270 1074712900 /nfs/dbraw/zinc/71/29/00/1074712900.db2.gz CZPYHESXAFFAOJ-UHFFFAOYSA-N 0 0 428.492 -0.208 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1cccnc1C(F)(F)F)C2 ZINC000557847328 1074713034 /nfs/dbraw/zinc/71/30/34/1074713034.db2.gz GPPHIUDNEREQPQ-UHFFFAOYSA-N 0 0 434.400 -0.249 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)C1 ZINC000557849067 1074713305 /nfs/dbraw/zinc/71/33/05/1074713305.db2.gz GQWBPXXUYGKEGM-CQSZACIVSA-N 0 0 439.581 -0.220 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)s1 ZINC000557849193 1074713270 /nfs/dbraw/zinc/71/32/70/1074713270.db2.gz MHPASCPDSPSLEG-CYBMUJFWSA-N 0 0 438.597 -0.024 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC)[C@H](C)C1 ZINC000557849991 1074713234 /nfs/dbraw/zinc/71/32/34/1074713234.db2.gz PMYYSHZYSKKBRG-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000557851133 1074713225 /nfs/dbraw/zinc/71/32/25/1074713225.db2.gz CVRKIELTAVNFFV-UONOGXRCSA-N 0 0 426.561 -0.633 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000557851134 1074713252 /nfs/dbraw/zinc/71/32/52/1074713252.db2.gz CVRKIELTAVNFFV-ZIAGYGMSSA-N 0 0 426.561 -0.633 20 0 IBADRN COc1ccc(CN2CC[C@H](NS(=O)(=O)N3CCS(=O)(=O)CC3)C2=O)c(OC)c1 ZINC000557853014 1074713314 /nfs/dbraw/zinc/71/33/14/1074713314.db2.gz VHHRDVNDJQGAKW-HNNXBMFYSA-N 0 0 447.535 -0.631 20 0 IBADRN COc1ccc(CN2CC[C@@H](NS(=O)(=O)N3CCS(=O)(=O)CC3)C2=O)c(OC)c1 ZINC000557853016 1074713260 /nfs/dbraw/zinc/71/32/60/1074713260.db2.gz VHHRDVNDJQGAKW-OAHLLOKOSA-N 0 0 447.535 -0.631 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC000557853100 1074713338 /nfs/dbraw/zinc/71/33/38/1074713338.db2.gz WACPSWOQLZXYSC-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)N(CCC(N)=O)Cc2ccccc2)CC1 ZINC000557854012 1074713320 /nfs/dbraw/zinc/71/33/20/1074713320.db2.gz DHOYBYVHIWEXCD-IBGZPJMESA-N 0 0 445.564 -0.245 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)N(CCC(N)=O)Cc2ccccc2)CC1 ZINC000557854018 1074713350 /nfs/dbraw/zinc/71/33/50/1074713350.db2.gz DHOYBYVHIWEXCD-LJQANCHMSA-N 0 0 445.564 -0.245 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(Cl)cc(C(=O)OC)c2OC)CC1 ZINC000557855615 1074713181 /nfs/dbraw/zinc/71/31/81/1074713181.db2.gz PBZXYDFROLRMHR-UHFFFAOYSA-N 0 0 441.915 -0.094 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCCS(=O)(=O)NCC1CCC1)C(F)(F)F ZINC000557856082 1074713193 /nfs/dbraw/zinc/71/31/93/1074713193.db2.gz WYQGYDWXNIRYAT-LLVKDONJSA-N 0 0 438.494 -0.173 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCCS(=O)(=O)NCC1CCC1)C(F)(F)F ZINC000557856085 1074713204 /nfs/dbraw/zinc/71/32/04/1074713204.db2.gz WYQGYDWXNIRYAT-NSHDSACASA-N 0 0 438.494 -0.173 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000557856579 1074713293 /nfs/dbraw/zinc/71/32/93/1074713293.db2.gz AGAJCIWGFDIBAB-INIZCTEOSA-N 0 0 445.563 -0.255 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000557856580 1074713328 /nfs/dbraw/zinc/71/33/28/1074713328.db2.gz AGAJCIWGFDIBAB-MRXNPFEDSA-N 0 0 445.563 -0.255 20 0 IBADRN NC(=O)N1CCC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000557857597 1074713243 /nfs/dbraw/zinc/71/32/43/1074713243.db2.gz JKVVYWFJZXODDX-AWEZNQCLSA-N 0 0 446.551 -0.224 20 0 IBADRN NC(=O)N1CCC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000557857598 1074713343 /nfs/dbraw/zinc/71/33/43/1074713343.db2.gz JKVVYWFJZXODDX-CQSZACIVSA-N 0 0 446.551 -0.224 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)C1 ZINC000557861255 1074713495 /nfs/dbraw/zinc/71/34/95/1074713495.db2.gz KGGSTLZFNKITHO-CYBMUJFWSA-N 0 0 433.556 -0.200 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000557865228 1074713648 /nfs/dbraw/zinc/71/36/48/1074713648.db2.gz VWZNHKBTXSKIGD-CMPLNLGQSA-N 0 0 429.451 -0.952 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000557865229 1074713669 /nfs/dbraw/zinc/71/36/69/1074713669.db2.gz VWZNHKBTXSKIGD-JQWIXIFHSA-N 0 0 429.451 -0.952 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000557866933 1074713540 /nfs/dbraw/zinc/71/35/40/1074713540.db2.gz DEDAUDHGOSUETP-KRWDZBQOSA-N 0 0 429.587 -0.004 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)C1 ZINC000557866934 1074713577 /nfs/dbraw/zinc/71/35/77/1074713577.db2.gz DEDAUDHGOSUETP-QGZVFWFLSA-N 0 0 429.587 -0.004 20 0 IBADRN COCCN1C(=O)N[C@@H]2CN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)CC[C@@H]21 ZINC000557866949 1074713640 /nfs/dbraw/zinc/71/36/40/1074713640.db2.gz DJOFAWKLDWAKOC-MSOLQXFVSA-N 0 0 436.557 -0.245 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)NCCN3CCN(C(=O)C4CCC4)CC3)C[C@@H]2C(N)=O)cn1 ZINC000557868221 1074713676 /nfs/dbraw/zinc/71/36/76/1074713676.db2.gz GAXYKWKYOUIUDL-MSOLQXFVSA-N 0 0 431.541 -0.425 20 0 IBADRN CC(C)COc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000557869241 1074713631 /nfs/dbraw/zinc/71/36/31/1074713631.db2.gz OTEKBZGYBDBIGQ-UHFFFAOYSA-N 0 0 443.508 -0.262 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)C1=O ZINC000557869626 1074713593 /nfs/dbraw/zinc/71/35/93/1074713593.db2.gz FBAINYKZHVWPRF-CABCVRRESA-N 0 0 428.497 -0.207 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)C1=O ZINC000557869630 1074713481 /nfs/dbraw/zinc/71/34/81/1074713481.db2.gz FBAINYKZHVWPRF-GJZGRUSLSA-N 0 0 428.497 -0.207 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)C1=O ZINC000557869634 1074713622 /nfs/dbraw/zinc/71/36/22/1074713622.db2.gz FBAINYKZHVWPRF-HUUCEWRRSA-N 0 0 428.497 -0.207 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)C1=O ZINC000557869636 1074713585 /nfs/dbraw/zinc/71/35/85/1074713585.db2.gz FBAINYKZHVWPRF-LSDHHAIUSA-N 0 0 428.497 -0.207 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCCCCC3)CC1)CC2 ZINC000557872988 1074713555 /nfs/dbraw/zinc/71/35/55/1074713555.db2.gz RZQDEMFCIHJQTC-GOSISDBHSA-N 0 0 445.568 -0.188 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCCCCC3)CC1)CC2 ZINC000557872990 1074713615 /nfs/dbraw/zinc/71/36/15/1074713615.db2.gz RZQDEMFCIHJQTC-SFHVURJKSA-N 0 0 445.568 -0.188 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000557884282 1074713567 /nfs/dbraw/zinc/71/35/67/1074713567.db2.gz RGRNBBFHHGLONI-GFCCVEGCSA-N 0 0 429.421 -0.344 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000557884289 1074713470 /nfs/dbraw/zinc/71/34/70/1074713470.db2.gz RGRNBBFHHGLONI-LBPRGKRZSA-N 0 0 429.421 -0.344 20 0 IBADRN Cc1noc(C)c1CCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000557886507 1074713892 /nfs/dbraw/zinc/71/38/92/1074713892.db2.gz NSYATAFVKCSGCO-UHFFFAOYSA-N 0 0 430.527 -0.151 20 0 IBADRN Cc1noc(C)c1CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000557886617 1074713602 /nfs/dbraw/zinc/71/36/02/1074713602.db2.gz BDNHHXHQFCSZHW-UHFFFAOYSA-N 0 0 438.510 -0.475 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000557886683 1074713661 /nfs/dbraw/zinc/71/36/61/1074713661.db2.gz TYTXRDQHNXDYKH-ZWKOTPCHSA-N 0 0 444.488 -0.719 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2c(C)noc2C)C1 ZINC000557887320 1074713949 /nfs/dbraw/zinc/71/39/49/1074713949.db2.gz ZQURJRFREYJYNO-HNNXBMFYSA-N 0 0 430.527 -0.151 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2c(C)noc2C)C1 ZINC000557887324 1074714072 /nfs/dbraw/zinc/71/40/72/1074714072.db2.gz ZQURJRFREYJYNO-OAHLLOKOSA-N 0 0 430.527 -0.151 20 0 IBADRN Cc1ccccc1O[C@H](C)CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000557888228 1074714064 /nfs/dbraw/zinc/71/40/64/1074714064.db2.gz RTRXXRSJWBZJCF-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1ccccc1O[C@@H](C)CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000557888229 1074713859 /nfs/dbraw/zinc/71/38/59/1074713859.db2.gz RTRXXRSJWBZJCF-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN COc1cccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000557889904 1074713936 /nfs/dbraw/zinc/71/39/36/1074713936.db2.gz UMVJPTJIBXYEEI-KRWDZBQOSA-N 0 0 448.520 -0.418 20 0 IBADRN COc1cccc(O[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000557889906 1074714004 /nfs/dbraw/zinc/71/40/04/1074714004.db2.gz UMVJPTJIBXYEEI-QGZVFWFLSA-N 0 0 448.520 -0.418 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C(=O)N1c1ccccc1 ZINC000557891350 1074713984 /nfs/dbraw/zinc/71/39/84/1074713984.db2.gz JHHFWGIBXSRWKR-CRAIPNDOSA-N 0 0 427.505 -0.281 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C(=O)N1c1ccccc1 ZINC000557891352 1074714090 /nfs/dbraw/zinc/71/40/90/1074714090.db2.gz JHHFWGIBXSRWKR-MAUKXSAKSA-N 0 0 427.505 -0.281 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C(=O)N1c1ccccc1 ZINC000557891353 1074713907 /nfs/dbraw/zinc/71/39/07/1074713907.db2.gz JHHFWGIBXSRWKR-QAPCUYQASA-N 0 0 427.505 -0.281 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C(=O)N1c1ccccc1 ZINC000557891354 1074713977 /nfs/dbraw/zinc/71/39/77/1074713977.db2.gz JHHFWGIBXSRWKR-YJBOKZPZSA-N 0 0 427.505 -0.281 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)N1c1ccccc1 ZINC000557891918 1074714081 /nfs/dbraw/zinc/71/40/81/1074714081.db2.gz NKUGFCSTENEBQR-BBRMVZONSA-N 0 0 449.489 -0.480 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)N1c1ccccc1 ZINC000557891919 1074714378 /nfs/dbraw/zinc/71/43/78/1074714378.db2.gz NKUGFCSTENEBQR-CJNGLKHVSA-N 0 0 449.489 -0.480 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)N1c1ccccc1 ZINC000557891920 1074714332 /nfs/dbraw/zinc/71/43/32/1074714332.db2.gz NKUGFCSTENEBQR-CZUORRHYSA-N 0 0 449.489 -0.480 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)N1c1ccccc1 ZINC000557891921 1074714291 /nfs/dbraw/zinc/71/42/91/1074714291.db2.gz NKUGFCSTENEBQR-XJKSGUPXSA-N 0 0 449.489 -0.480 20 0 IBADRN COc1cc(OC)c(CCNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000557892221 1074713993 /nfs/dbraw/zinc/71/39/93/1074713993.db2.gz RJUPKFLKKNWMAE-UHFFFAOYSA-N 0 0 429.495 -0.525 20 0 IBADRN C[C@H](CCc1cccn1C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000557893189 1074714408 /nfs/dbraw/zinc/71/44/08/1074714408.db2.gz XSIVJQHZRDSOQX-MSOLQXFVSA-N 0 0 433.553 -0.146 20 0 IBADRN C[C@H](CCc1cccn1C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000557893195 1074714438 /nfs/dbraw/zinc/71/44/38/1074714438.db2.gz XSIVJQHZRDSOQX-QZTJIDSGSA-N 0 0 433.553 -0.146 20 0 IBADRN C[C@@H](CCc1cccn1C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000557893197 1074714401 /nfs/dbraw/zinc/71/44/01/1074714401.db2.gz XSIVJQHZRDSOQX-ROUUACIJSA-N 0 0 433.553 -0.146 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H](C)CCc2cccn2C)CC1 ZINC000557893199 1074714309 /nfs/dbraw/zinc/71/43/09/1074714309.db2.gz XSIVJQHZRDSOQX-ZWKOTPCHSA-N 0 0 433.553 -0.146 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCc2c(OC)cc(OC)cc2OC)CC1 ZINC000557893464 1074714358 /nfs/dbraw/zinc/71/43/58/1074714358.db2.gz JIASXUZDIXRLRO-UHFFFAOYSA-N 0 0 443.522 -0.135 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccc(OC(F)F)cc3)C2=O)CCO1 ZINC000557894655 1074714373 /nfs/dbraw/zinc/71/43/73/1074714373.db2.gz GVXRNWLIGKKSKO-KBPBESRZSA-N 0 0 440.403 -0.388 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccc(OC(F)F)cc3)C2=O)CCO1 ZINC000557894656 1074714323 /nfs/dbraw/zinc/71/43/23/1074714323.db2.gz GVXRNWLIGKKSKO-KGLIPLIRSA-N 0 0 440.403 -0.388 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccc(OC(F)F)cc3)C2=O)CCO1 ZINC000557894657 1074714415 /nfs/dbraw/zinc/71/44/15/1074714415.db2.gz GVXRNWLIGKKSKO-UONOGXRCSA-N 0 0 440.403 -0.388 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccc(OC(F)F)cc3)C2=O)CCO1 ZINC000557894658 1074714385 /nfs/dbraw/zinc/71/43/85/1074714385.db2.gz GVXRNWLIGKKSKO-ZIAGYGMSSA-N 0 0 440.403 -0.388 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)C2)n1 ZINC000557897149 1074714349 /nfs/dbraw/zinc/71/43/49/1074714349.db2.gz UCQGTXJGVKOYSN-KBPBESRZSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)C2)n1 ZINC000557897150 1074714461 /nfs/dbraw/zinc/71/44/61/1074714461.db2.gz UCQGTXJGVKOYSN-KGLIPLIRSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)C2)n1 ZINC000557897151 1074714392 /nfs/dbraw/zinc/71/43/92/1074714392.db2.gz UCQGTXJGVKOYSN-UONOGXRCSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)C2)n1 ZINC000557897153 1074714367 /nfs/dbraw/zinc/71/43/67/1074714367.db2.gz UCQGTXJGVKOYSN-ZIAGYGMSSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000557898888 1074714299 /nfs/dbraw/zinc/71/42/99/1074714299.db2.gz CCQFOTQGEJWYJT-JKSUJKDBSA-N 0 0 425.507 -0.041 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)O1)N1CCOCC1 ZINC000557899304 1074714340 /nfs/dbraw/zinc/71/43/40/1074714340.db2.gz GLLXENLBQHZDGZ-ZWKOTPCHSA-N 0 0 437.518 -0.074 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2c[nH]c3ncccc23)CC1 ZINC000557901770 1074714827 /nfs/dbraw/zinc/71/48/27/1074714827.db2.gz ROUASZQBSRYBGG-INIZCTEOSA-N 0 0 442.520 -0.387 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2c[nH]c3ncccc23)CC1 ZINC000557901775 1074714648 /nfs/dbraw/zinc/71/46/48/1074714648.db2.gz ROUASZQBSRYBGG-MRXNPFEDSA-N 0 0 442.520 -0.387 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CCN1c1nccn2cnnc12 ZINC000557906842 1074714869 /nfs/dbraw/zinc/71/48/69/1074714869.db2.gz MLXOKXUQRDLMFF-OAGGEKHMSA-N 0 0 429.481 -0.432 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CCN1c1nccn2cnnc12 ZINC000557906854 1074714837 /nfs/dbraw/zinc/71/48/37/1074714837.db2.gz MLXOKXUQRDLMFF-XHSDSOJGSA-N 0 0 429.481 -0.432 20 0 IBADRN CCc1nc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cs1 ZINC000557909618 1074714848 /nfs/dbraw/zinc/71/48/48/1074714848.db2.gz NDXJVHFZLYUXFP-AWEZNQCLSA-N 0 0 430.552 -0.025 20 0 IBADRN CCc1nc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cs1 ZINC000557909619 1074714819 /nfs/dbraw/zinc/71/48/19/1074714819.db2.gz NDXJVHFZLYUXFP-CQSZACIVSA-N 0 0 430.552 -0.025 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC(=O)N1C ZINC000557911473 1074714665 /nfs/dbraw/zinc/71/46/65/1074714665.db2.gz NXTXKXZQWNOMBM-YYAJDYIMSA-N 0 0 433.509 -0.169 20 0 IBADRN CCc1cccc2c(CCNC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c[nH]c21 ZINC000557913892 1074714625 /nfs/dbraw/zinc/71/46/25/1074714625.db2.gz AZBOEERKDRIGGU-UHFFFAOYSA-N 0 0 438.492 -0.254 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@@H]2CN(c3ccc(Br)cc3)C2=O)cn1 ZINC000557917804 1074714859 /nfs/dbraw/zinc/71/48/59/1074714859.db2.gz UATIJZUBGVLOKH-CYBMUJFWSA-N 0 0 442.295 -0.008 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@H]2CN(c3ccc(Br)cc3)C2=O)cn1 ZINC000557917811 1074714807 /nfs/dbraw/zinc/71/48/07/1074714807.db2.gz UATIJZUBGVLOKH-ZDUSSCGKSA-N 0 0 442.295 -0.008 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000557918453 1074714639 /nfs/dbraw/zinc/71/46/39/1074714639.db2.gz QCLOCFSSYOMZOA-CYBMUJFWSA-N 0 0 444.579 -0.173 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000557918454 1074714878 /nfs/dbraw/zinc/71/48/78/1074714878.db2.gz QCLOCFSSYOMZOA-ZDUSSCGKSA-N 0 0 444.579 -0.173 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000557920722 1074714743 /nfs/dbraw/zinc/71/47/43/1074714743.db2.gz IGQPSFQWYKBMLF-GFCCVEGCSA-N 0 0 442.563 -0.421 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000557920723 1074714676 /nfs/dbraw/zinc/71/46/76/1074714676.db2.gz IGQPSFQWYKBMLF-LBPRGKRZSA-N 0 0 442.563 -0.421 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)c1ccccc1 ZINC000557930808 1074714753 /nfs/dbraw/zinc/71/47/53/1074714753.db2.gz LJJWWLAGXAFEEA-KRWDZBQOSA-N 0 0 438.488 -0.177 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)c1ccccc1 ZINC000557930809 1074714732 /nfs/dbraw/zinc/71/47/32/1074714732.db2.gz LJJWWLAGXAFEEA-QGZVFWFLSA-N 0 0 438.488 -0.177 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCn3cc(Br)cn3)c2c(=O)n(C)c1=O ZINC000557931054 1074714721 /nfs/dbraw/zinc/71/47/21/1074714721.db2.gz CJYYUYBUPDHWFS-UHFFFAOYSA-N 0 0 438.286 -0.011 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000557931743 1073337462 /nfs/dbraw/zinc/33/74/62/1073337462.db2.gz ZFAWEFYXYHHGPG-LBPRGKRZSA-N 0 0 440.478 -0.980 20 0 IBADRN Cc1cc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)nc(N2CCCC2)n1 ZINC000557933424 1074714793 /nfs/dbraw/zinc/71/47/93/1074714793.db2.gz BTFXWGBEIUEZBE-UHFFFAOYSA-N 0 0 426.543 -0.164 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCN(c2ncccc2Cl)C1 ZINC000557938684 1074715178 /nfs/dbraw/zinc/71/51/78/1074715178.db2.gz AEVXJDKPCYHUKX-CHWSQXEVSA-N 0 0 429.930 -0.030 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCN(c2ncccc2Cl)C1 ZINC000557938685 1074715147 /nfs/dbraw/zinc/71/51/47/1074715147.db2.gz AEVXJDKPCYHUKX-QWHCGFSZSA-N 0 0 429.930 -0.030 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCC2CCN(CC(F)(F)F)CC2)CC1 ZINC000557939566 1074715030 /nfs/dbraw/zinc/71/50/30/1074715030.db2.gz IIFDSYGRGXKNFV-UHFFFAOYSA-N 0 0 435.491 -0.001 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)C(F)(F)F ZINC000557939778 1074715065 /nfs/dbraw/zinc/71/50/65/1074715065.db2.gz NCHAYRLZOZNZNF-QJPTWQEYSA-N 0 0 431.433 -0.669 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)C(F)(F)F ZINC000557939783 1074715186 /nfs/dbraw/zinc/71/51/86/1074715186.db2.gz NCHAYRLZOZNZNF-TUAOUCFPSA-N 0 0 431.433 -0.669 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000557946425 1074715169 /nfs/dbraw/zinc/71/51/69/1074715169.db2.gz FSPDSYSSLQVGHH-CABCVRRESA-N 0 0 425.507 -0.022 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000557946428 1074715122 /nfs/dbraw/zinc/71/51/22/1074715122.db2.gz FSPDSYSSLQVGHH-GJZGRUSLSA-N 0 0 425.507 -0.022 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000557946430 1074715253 /nfs/dbraw/zinc/71/52/53/1074715253.db2.gz FSPDSYSSLQVGHH-HUUCEWRRSA-N 0 0 425.507 -0.022 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000557946431 1074715216 /nfs/dbraw/zinc/71/52/16/1074715216.db2.gz FSPDSYSSLQVGHH-LSDHHAIUSA-N 0 0 425.507 -0.022 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4cc(C5CC5)[nH]n4)CC3)C2=O)n(C)n1 ZINC000557946846 1074715227 /nfs/dbraw/zinc/71/52/27/1074715227.db2.gz LCMOZGSCGGCGKC-HNNXBMFYSA-N 0 0 440.508 -0.101 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4cc(C5CC5)[nH]n4)CC3)C2=O)n(C)n1 ZINC000557946853 1074715137 /nfs/dbraw/zinc/71/51/37/1074715137.db2.gz LCMOZGSCGGCGKC-OAHLLOKOSA-N 0 0 440.508 -0.101 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000557948094 1074715206 /nfs/dbraw/zinc/71/52/06/1074715206.db2.gz WAPJMUHNTIWXBV-UHFFFAOYSA-N 0 0 431.474 -0.188 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000557948910 1074715195 /nfs/dbraw/zinc/71/51/95/1074715195.db2.gz WXPSASDQGUFHJT-INIZCTEOSA-N 0 0 434.518 -0.108 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000557948911 1074715046 /nfs/dbraw/zinc/71/50/46/1074715046.db2.gz WXPSASDQGUFHJT-MRXNPFEDSA-N 0 0 434.518 -0.108 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H](CC)C(=O)N3CCOCC3)C2)nc1 ZINC000557949322 1074715160 /nfs/dbraw/zinc/71/51/60/1074715160.db2.gz ANBSVZTWGQUQLS-INIZCTEOSA-N 0 0 447.492 -0.683 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H](CC)C(=O)N3CCOCC3)C2)nc1 ZINC000557949334 1074715236 /nfs/dbraw/zinc/71/52/36/1074715236.db2.gz ANBSVZTWGQUQLS-MRXNPFEDSA-N 0 0 447.492 -0.683 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N[C@@H]2CCCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000557949863 1074715103 /nfs/dbraw/zinc/71/51/03/1074715103.db2.gz IRWTXBNWKMJERO-LLVKDONJSA-N 0 0 433.537 -0.439 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000557951745 1074715595 /nfs/dbraw/zinc/71/55/95/1074715595.db2.gz OQTOSOVTAALIMV-INIZCTEOSA-N 0 0 426.477 -0.331 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000557951746 1074715641 /nfs/dbraw/zinc/71/56/41/1074715641.db2.gz OQTOSOVTAALIMV-MRXNPFEDSA-N 0 0 426.477 -0.331 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@]3(C)CCCS3)CC2)cn1C ZINC000557951783 1074715460 /nfs/dbraw/zinc/71/54/60/1074715460.db2.gz PBVLZSJPJLMMDA-KRWDZBQOSA-N 0 0 429.568 -0.037 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@]3(C)CCCS3)CC2)cn1C ZINC000557951784 1074715634 /nfs/dbraw/zinc/71/56/34/1074715634.db2.gz PBVLZSJPJLMMDA-QGZVFWFLSA-N 0 0 429.568 -0.037 20 0 IBADRN CC[C@H](NC(=O)C(=O)N(CCO)Cc1ccc(OC)cc1OC)C(=O)N1CCOCC1 ZINC000557953461 1074715528 /nfs/dbraw/zinc/71/55/28/1074715528.db2.gz XHNZCUVGQUTRHB-KRWDZBQOSA-N 0 0 437.493 -0.222 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N(CCO)Cc1ccc(OC)cc1OC)C(=O)N1CCOCC1 ZINC000557953463 1074715511 /nfs/dbraw/zinc/71/55/11/1074715511.db2.gz XHNZCUVGQUTRHB-QGZVFWFLSA-N 0 0 437.493 -0.222 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000557953514 1074715650 /nfs/dbraw/zinc/71/56/50/1074715650.db2.gz ZSRKROKXXUSOBN-INIZCTEOSA-N 0 0 447.540 -0.943 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000557953517 1074715562 /nfs/dbraw/zinc/71/55/62/1074715562.db2.gz ZSRKROKXXUSOBN-MRXNPFEDSA-N 0 0 447.540 -0.943 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000557959361 1074716137 /nfs/dbraw/zinc/71/61/37/1074716137.db2.gz QDNFZUWFXFTWDR-KGLIPLIRSA-N 0 0 427.531 -0.515 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000557959378 1074715889 /nfs/dbraw/zinc/71/58/89/1074715889.db2.gz QDNFZUWFXFTWDR-ZIAGYGMSSA-N 0 0 427.531 -0.515 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000557964591 1074716060 /nfs/dbraw/zinc/71/60/60/1074716060.db2.gz FGTOYVOGECLZFE-KRWDZBQOSA-N 0 0 436.534 -0.212 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000557964592 1074715981 /nfs/dbraw/zinc/71/59/81/1074715981.db2.gz FGTOYVOGECLZFE-QGZVFWFLSA-N 0 0 436.534 -0.212 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(C(=O)CCc2ccccc2)C1 ZINC000557965188 1074716068 /nfs/dbraw/zinc/71/60/68/1074716068.db2.gz UNBJWUMYVIZGMD-PMACEKPBSA-N 0 0 446.548 -0.512 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(C(=O)CCc2ccccc2)C1 ZINC000557965191 1074716125 /nfs/dbraw/zinc/71/61/25/1074716125.db2.gz UNBJWUMYVIZGMD-UXHICEINSA-N 0 0 446.548 -0.512 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(C(=O)CCc2ccccc2)C1 ZINC000557965192 1074715961 /nfs/dbraw/zinc/71/59/61/1074715961.db2.gz UNBJWUMYVIZGMD-VQTJNVASSA-N 0 0 446.548 -0.512 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(C(=O)CCc2ccccc2)C1 ZINC000557965193 1074715940 /nfs/dbraw/zinc/71/59/40/1074715940.db2.gz UNBJWUMYVIZGMD-WOJBJXKFSA-N 0 0 446.548 -0.512 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCCN1C(=O)NC2(CCCC2)C1=O)C(F)(F)F ZINC000557968806 1074716112 /nfs/dbraw/zinc/71/61/12/1074716112.db2.gz ORGIJISDTJNREV-JTQLQIEISA-N 0 0 443.448 -0.028 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCCN1C(=O)NC2(CCCC2)C1=O)C(F)(F)F ZINC000557968808 1074715866 /nfs/dbraw/zinc/71/58/66/1074715866.db2.gz ORGIJISDTJNREV-SNVBAGLBSA-N 0 0 443.448 -0.028 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000557973948 1074716012 /nfs/dbraw/zinc/71/60/12/1074716012.db2.gz AITSYLBVNWXBIL-QGZVFWFLSA-N 0 0 449.533 -0.555 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000557980410 1074716460 /nfs/dbraw/zinc/71/64/60/1074716460.db2.gz NXQDCVPWNDUMQU-KRWDZBQOSA-N 0 0 438.550 -0.266 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000557980412 1074716385 /nfs/dbraw/zinc/71/63/85/1074716385.db2.gz NXQDCVPWNDUMQU-QGZVFWFLSA-N 0 0 438.550 -0.266 20 0 IBADRN Cn1c(C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000557980569 1074716492 /nfs/dbraw/zinc/71/64/92/1074716492.db2.gz OIVDJKAJSZUCIZ-ZDUSSCGKSA-N 0 0 445.501 -0.231 20 0 IBADRN COc1cc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)sc1S(N)(=O)=O ZINC000557980832 1074715877 /nfs/dbraw/zinc/71/58/77/1074715877.db2.gz TUMQFPTVBBBRHF-SECBINFHSA-N 0 0 426.542 -0.595 20 0 IBADRN CSc1cc(C)ccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000557987187 1074716371 /nfs/dbraw/zinc/71/63/71/1074716371.db2.gz FBLHYSANJLJGKC-UHFFFAOYSA-N 0 0 448.545 -0.137 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1 ZINC000557992216 1074716480 /nfs/dbraw/zinc/71/64/80/1074716480.db2.gz SZBXYXATJLBIJB-UHFFFAOYSA-N 0 0 430.509 -0.187 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)N2CCOCC2)cc1 ZINC000557998103 1074716360 /nfs/dbraw/zinc/71/63/60/1074716360.db2.gz OOPUZXNZTUDSGT-KRWDZBQOSA-N 0 0 443.508 -0.197 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)N2CCOCC2)cc1 ZINC000557998108 1074716321 /nfs/dbraw/zinc/71/63/21/1074716321.db2.gz OOPUZXNZTUDSGT-QGZVFWFLSA-N 0 0 443.508 -0.197 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000557999715 1074716270 /nfs/dbraw/zinc/71/62/70/1074716270.db2.gz KCRKCWVKYALNPC-HNNXBMFYSA-N 0 0 430.552 -0.258 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)CC1 ZINC000558001050 1074716439 /nfs/dbraw/zinc/71/64/39/1074716439.db2.gz ALWDJZBVGILCCQ-UHFFFAOYSA-N 0 0 447.511 -0.221 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000558001120 1074716413 /nfs/dbraw/zinc/71/64/13/1074716413.db2.gz BBTWOAUBFNXWHJ-CHWSQXEVSA-N 0 0 433.556 -0.593 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000558001122 1074716283 /nfs/dbraw/zinc/71/62/83/1074716283.db2.gz BBTWOAUBFNXWHJ-OLZOCXBDSA-N 0 0 433.556 -0.593 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000558001125 1074716253 /nfs/dbraw/zinc/71/62/53/1074716253.db2.gz BBTWOAUBFNXWHJ-QWHCGFSZSA-N 0 0 433.556 -0.593 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000558001127 1074716348 /nfs/dbraw/zinc/71/63/48/1074716348.db2.gz BBTWOAUBFNXWHJ-STQMWFEESA-N 0 0 433.556 -0.593 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000558001805 1074716425 /nfs/dbraw/zinc/71/64/25/1074716425.db2.gz GPTUHPOUYAAYKK-AWEZNQCLSA-N 0 0 428.536 -0.595 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC(F)(F)C(F)F)CC2)CC1 ZINC000558002511 1074716502 /nfs/dbraw/zinc/71/65/02/1074716502.db2.gz CBTZHSYDEPSXLE-UHFFFAOYSA-N 0 0 439.454 -0.300 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@H](C)C1 ZINC000558006035 1074716812 /nfs/dbraw/zinc/71/68/12/1074716812.db2.gz NTJBDGVSGZWEOL-CXAGYDPISA-N 0 0 430.527 -0.516 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)[C@H](C)C1 ZINC000558006041 1074716978 /nfs/dbraw/zinc/71/69/78/1074716978.db2.gz NTJBDGVSGZWEOL-DYVFJYSZSA-N 0 0 430.527 -0.516 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1 ZINC000558010533 1074716987 /nfs/dbraw/zinc/71/69/87/1074716987.db2.gz FTFJGNKDAKHXEC-CABCVRRESA-N 0 0 426.543 -0.707 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1 ZINC000558010534 1074716751 /nfs/dbraw/zinc/71/67/51/1074716751.db2.gz FTFJGNKDAKHXEC-GJZGRUSLSA-N 0 0 426.543 -0.707 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1 ZINC000558010535 1074717306 /nfs/dbraw/zinc/71/73/06/1074717306.db2.gz FTFJGNKDAKHXEC-HUUCEWRRSA-N 0 0 426.543 -0.707 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1 ZINC000558010536 1074717413 /nfs/dbraw/zinc/71/74/13/1074717413.db2.gz FTFJGNKDAKHXEC-LSDHHAIUSA-N 0 0 426.543 -0.707 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000558012440 1074717319 /nfs/dbraw/zinc/71/73/19/1074717319.db2.gz HXIWNYYNPUHRJB-HNNXBMFYSA-N 0 0 440.508 -0.652 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000558012445 1074717203 /nfs/dbraw/zinc/71/72/03/1074717203.db2.gz HXIWNYYNPUHRJB-OAHLLOKOSA-N 0 0 440.508 -0.652 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)CC2)n1 ZINC000558014815 1074717329 /nfs/dbraw/zinc/71/73/29/1074717329.db2.gz WWAKGZHAVUFUFD-HNNXBMFYSA-N 0 0 428.497 -0.348 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)CC2)n1 ZINC000558014818 1074717526 /nfs/dbraw/zinc/71/75/26/1074717526.db2.gz WWAKGZHAVUFUFD-OAHLLOKOSA-N 0 0 428.497 -0.348 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CCN1CCOC ZINC000558017606 1074717464 /nfs/dbraw/zinc/71/74/64/1074717464.db2.gz HIQMIFROGOMSIJ-GOSISDBHSA-N 0 0 425.574 -0.386 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CCN1CCOC ZINC000558017608 1074717513 /nfs/dbraw/zinc/71/75/13/1074717513.db2.gz HIQMIFROGOMSIJ-SFHVURJKSA-N 0 0 425.574 -0.386 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000558018056 1074717496 /nfs/dbraw/zinc/71/74/96/1074717496.db2.gz OPZHYMAHELEESQ-CYBMUJFWSA-N 0 0 435.447 -0.675 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000558018060 1074717393 /nfs/dbraw/zinc/71/73/93/1074717393.db2.gz OPZHYMAHELEESQ-ZDUSSCGKSA-N 0 0 435.447 -0.675 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000558018091 1074717276 /nfs/dbraw/zinc/71/72/76/1074717276.db2.gz PWLFITVFWROWLC-GOSISDBHSA-N 0 0 429.521 -0.033 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000558018092 1074717373 /nfs/dbraw/zinc/71/73/73/1074717373.db2.gz PWLFITVFWROWLC-SFHVURJKSA-N 0 0 429.521 -0.033 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000558018156 1074717484 /nfs/dbraw/zinc/71/74/84/1074717484.db2.gz SGPGEROACZYBGS-UHFFFAOYSA-N 0 0 449.527 -0.053 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCO[C@H](COc3ccccc3)C2)CC1 ZINC000558018497 1074717180 /nfs/dbraw/zinc/71/71/80/1074717180.db2.gz HBUCOHOWKAPNGK-IBGZPJMESA-N 0 0 432.521 -0.038 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCO[C@@H](COc3ccccc3)C2)CC1 ZINC000558018498 1074717216 /nfs/dbraw/zinc/71/72/16/1074717216.db2.gz HBUCOHOWKAPNGK-LJQANCHMSA-N 0 0 432.521 -0.038 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C(=O)N1c1ccccc1 ZINC000558019417 1074717837 /nfs/dbraw/zinc/71/78/37/1074717837.db2.gz VKWKRAVQMRNOOZ-CRAIPNDOSA-N 0 0 429.521 -0.035 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C(=O)N1c1ccccc1 ZINC000558019418 1074717947 /nfs/dbraw/zinc/71/79/47/1074717947.db2.gz VKWKRAVQMRNOOZ-MAUKXSAKSA-N 0 0 429.521 -0.035 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C(=O)N1c1ccccc1 ZINC000558019419 1074717918 /nfs/dbraw/zinc/71/79/18/1074717918.db2.gz VKWKRAVQMRNOOZ-QAPCUYQASA-N 0 0 429.521 -0.035 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C(=O)N1c1ccccc1 ZINC000558019420 1074717893 /nfs/dbraw/zinc/71/78/93/1074717893.db2.gz VKWKRAVQMRNOOZ-YJBOKZPZSA-N 0 0 429.521 -0.035 20 0 IBADRN COc1ccc2c(c1)nc(CNC(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1)n2C ZINC000558019434 1074717908 /nfs/dbraw/zinc/71/79/08/1074717908.db2.gz WCXHXHMHOLPZLH-UHFFFAOYSA-N 0 0 430.509 -0.133 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)C2)n(C)n1 ZINC000558019833 1074717725 /nfs/dbraw/zinc/71/77/25/1074717725.db2.gz ZZRWPJKQSFXQPT-KRWDZBQOSA-N 0 0 433.557 -0.518 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)C2)n(C)n1 ZINC000558019834 1074717857 /nfs/dbraw/zinc/71/78/57/1074717857.db2.gz ZZRWPJKQSFXQPT-QGZVFWFLSA-N 0 0 433.557 -0.518 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000558020066 1074717881 /nfs/dbraw/zinc/71/78/81/1074717881.db2.gz CPIYAZPVXXKMFN-INIZCTEOSA-N 0 0 433.484 -0.284 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000558020074 1074717926 /nfs/dbraw/zinc/71/79/26/1074717926.db2.gz CPIYAZPVXXKMFN-MRXNPFEDSA-N 0 0 433.484 -0.284 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000558021560 1074717770 /nfs/dbraw/zinc/71/77/70/1074717770.db2.gz LNAAMOJYUWFLRE-INIZCTEOSA-N 0 0 447.540 -0.817 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000558021568 1074717793 /nfs/dbraw/zinc/71/77/93/1074717793.db2.gz LNAAMOJYUWFLRE-MRXNPFEDSA-N 0 0 447.540 -0.817 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)[C@H](C)C1 ZINC000558022565 1074717826 /nfs/dbraw/zinc/71/78/26/1074717826.db2.gz UOFHCNBTWPBVOH-GFCCVEGCSA-N 0 0 447.525 -0.253 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C3CCN(S(N)(=O)=O)CC3)C2=O)c(OC)c1 ZINC000558033996 1074717818 /nfs/dbraw/zinc/71/78/18/1074717818.db2.gz PDTPHTWCGGRKJC-INIZCTEOSA-N 0 0 440.522 -0.164 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C3CCN(S(N)(=O)=O)CC3)C2=O)c(OC)c1 ZINC000558033999 1074717811 /nfs/dbraw/zinc/71/78/11/1074717811.db2.gz PDTPHTWCGGRKJC-MRXNPFEDSA-N 0 0 440.522 -0.164 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000558039633 1074717710 /nfs/dbraw/zinc/71/77/10/1074717710.db2.gz FVEPXWGDZXZTSE-INIZCTEOSA-N 0 0 430.509 -0.903 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000558039639 1074717902 /nfs/dbraw/zinc/71/79/02/1074717902.db2.gz FVEPXWGDZXZTSE-MRXNPFEDSA-N 0 0 430.509 -0.903 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccc(F)c3)C2)CC1 ZINC000558040331 1074717850 /nfs/dbraw/zinc/71/78/50/1074717850.db2.gz JLPIDUMEFVHSGM-KRWDZBQOSA-N 0 0 445.495 -0.140 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccc(F)c3)C2)CC1 ZINC000558040332 1074717754 /nfs/dbraw/zinc/71/77/54/1074717754.db2.gz JLPIDUMEFVHSGM-QGZVFWFLSA-N 0 0 445.495 -0.140 20 0 IBADRN COc1cccc(N2CCC[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2)c1 ZINC000558041269 1074717936 /nfs/dbraw/zinc/71/79/36/1074717936.db2.gz HISSDSCFLNAAQE-HKUYNNGSSA-N 0 0 434.537 -0.068 20 0 IBADRN COc1cccc(N2CCC[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2)c1 ZINC000558041270 1074718264 /nfs/dbraw/zinc/71/82/64/1074718264.db2.gz HISSDSCFLNAAQE-IEBWSBKVSA-N 0 0 434.537 -0.068 20 0 IBADRN COc1cccc(N2CCC[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2)c1 ZINC000558041271 1074718163 /nfs/dbraw/zinc/71/81/63/1074718163.db2.gz HISSDSCFLNAAQE-MJGOQNOKSA-N 0 0 434.537 -0.068 20 0 IBADRN COc1cccc(N2CCC[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2)c1 ZINC000558041273 1074718093 /nfs/dbraw/zinc/71/80/93/1074718093.db2.gz HISSDSCFLNAAQE-PKOBYXMFSA-N 0 0 434.537 -0.068 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CSc2nnnn2CC(F)(F)F)C1 ZINC000558042279 1074718140 /nfs/dbraw/zinc/71/81/40/1074718140.db2.gz FLJIKSFNGPSTNS-SECBINFHSA-N 0 0 431.466 -0.286 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2nc(S(C)(=O)=O)n3ccccc23)C1 ZINC000558042523 1074718179 /nfs/dbraw/zinc/71/81/79/1074718179.db2.gz GRLBZFYKUROPJO-GFCCVEGCSA-N 0 0 429.524 -0.262 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccc(F)c2)C1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000558042619 1074718324 /nfs/dbraw/zinc/71/83/24/1074718324.db2.gz LVKDQBGHWSOFSD-INIZCTEOSA-N 0 0 444.471 -0.732 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccc(F)c2)C1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000558042627 1074718150 /nfs/dbraw/zinc/71/81/50/1074718150.db2.gz LVKDQBGHWSOFSD-MRXNPFEDSA-N 0 0 444.471 -0.732 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1)NC1CC1 ZINC000558043521 1074718204 /nfs/dbraw/zinc/71/82/04/1074718204.db2.gz WGHWKEHHKNVCJX-GOSISDBHSA-N 0 0 442.520 -0.888 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1)NC1CC1 ZINC000558043522 1074718304 /nfs/dbraw/zinc/71/83/04/1074718304.db2.gz WGHWKEHHKNVCJX-SFHVURJKSA-N 0 0 442.520 -0.888 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(c2ccccc2F)C1=O ZINC000558044059 1074718295 /nfs/dbraw/zinc/71/82/95/1074718295.db2.gz WQFBRTDBLZZXAR-DZGCQCFKSA-N 0 0 440.497 -0.023 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(c2ccccc2F)C1=O ZINC000558044060 1074718128 /nfs/dbraw/zinc/71/81/28/1074718128.db2.gz WQFBRTDBLZZXAR-HIFRSBDPSA-N 0 0 440.497 -0.023 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(c2ccccc2F)C1=O ZINC000558044061 1074718255 /nfs/dbraw/zinc/71/82/55/1074718255.db2.gz WQFBRTDBLZZXAR-UKRRQHHQSA-N 0 0 440.497 -0.023 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(c2ccccc2F)C1=O ZINC000558044062 1074718219 /nfs/dbraw/zinc/71/82/19/1074718219.db2.gz WQFBRTDBLZZXAR-ZFWWWQNUSA-N 0 0 440.497 -0.023 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000558044087 1074718115 /nfs/dbraw/zinc/71/81/15/1074718115.db2.gz XEOIEXPCLGNUAU-INIZCTEOSA-N 0 0 433.484 -0.282 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000558044088 1074718193 /nfs/dbraw/zinc/71/81/93/1074718193.db2.gz XEOIEXPCLGNUAU-MRXNPFEDSA-N 0 0 433.484 -0.282 20 0 IBADRN O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000558048127 1074718683 /nfs/dbraw/zinc/71/86/83/1074718683.db2.gz UGSBJZNVUOANAV-GDBMZVCRSA-N 0 0 426.495 -0.278 20 0 IBADRN O=C(N[C@H]1CCO[C@@H](C2CC2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000558048128 1074718673 /nfs/dbraw/zinc/71/86/73/1074718673.db2.gz UGSBJZNVUOANAV-GOEBONIOSA-N 0 0 426.495 -0.278 20 0 IBADRN O=C(N[C@H]1CCO[C@H](C2CC2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000558048129 1074718752 /nfs/dbraw/zinc/71/87/52/1074718752.db2.gz UGSBJZNVUOANAV-HOCLYGCPSA-N 0 0 426.495 -0.278 20 0 IBADRN O=C(N[C@@H]1CCO[C@H](C2CC2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000558048131 1074718719 /nfs/dbraw/zinc/71/87/19/1074718719.db2.gz UGSBJZNVUOANAV-ZBFHGGJFSA-N 0 0 426.495 -0.278 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)CC1 ZINC000558048525 1074718690 /nfs/dbraw/zinc/71/86/90/1074718690.db2.gz MXAYXXMHVMLWFS-APWZRJJASA-N 0 0 447.554 -0.660 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)CC1 ZINC000558048526 1070961932 /nfs/dbraw/zinc/96/19/32/1070961932.db2.gz MXAYXXMHVMLWFS-LPHOPBHVSA-N 0 0 447.554 -0.660 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)CC1 ZINC000558048527 1070962052 /nfs/dbraw/zinc/96/20/52/1070962052.db2.gz MXAYXXMHVMLWFS-QFBILLFUSA-N 0 0 447.554 -0.660 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)CC1 ZINC000558048528 1070961957 /nfs/dbraw/zinc/96/19/57/1070961957.db2.gz MXAYXXMHVMLWFS-VQIMIIECSA-N 0 0 447.554 -0.660 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1ccnc(OC2CCOCC2)c1 ZINC000558051067 1074718570 /nfs/dbraw/zinc/71/85/70/1074718570.db2.gz ATVJWBDMYLGCJE-OAHLLOKOSA-N 0 0 440.522 -0.204 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1 ZINC000558051882 1074718650 /nfs/dbraw/zinc/71/86/50/1074718650.db2.gz IBOSZLUOVBYRAQ-SECBINFHSA-N 0 0 438.304 -0.902 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)n1 ZINC000558052499 1074718549 /nfs/dbraw/zinc/71/85/49/1074718549.db2.gz JAFJPUJHUMWEPZ-AWEZNQCLSA-N 0 0 448.528 -0.073 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)n1 ZINC000558052520 1074718532 /nfs/dbraw/zinc/71/85/32/1074718532.db2.gz JAFJPUJHUMWEPZ-CQSZACIVSA-N 0 0 448.528 -0.073 20 0 IBADRN CCc1nc(CN2CCC(CNC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)CC2)cs1 ZINC000558053104 1074718626 /nfs/dbraw/zinc/71/86/26/1074718626.db2.gz LWKBSJFTPCAVAD-HNNXBMFYSA-N 0 0 443.595 -0.077 20 0 IBADRN CCc1nc(CN2CCC(CNC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)CC2)cs1 ZINC000558053107 1074718603 /nfs/dbraw/zinc/71/86/03/1074718603.db2.gz LWKBSJFTPCAVAD-OAHLLOKOSA-N 0 0 443.595 -0.077 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1)NC1CC1 ZINC000558053779 1074718731 /nfs/dbraw/zinc/71/87/31/1074718731.db2.gz PURSSCHNYJQJSJ-UHFFFAOYSA-N 0 0 445.520 -0.322 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1OC ZINC000558054156 1074718580 /nfs/dbraw/zinc/71/85/80/1074718580.db2.gz SHEMJZDPOIYTDW-CYBMUJFWSA-N 0 0 449.551 -0.101 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1OC ZINC000558054160 1074718592 /nfs/dbraw/zinc/71/85/92/1074718592.db2.gz SHEMJZDPOIYTDW-ZDUSSCGKSA-N 0 0 449.551 -0.101 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000558055298 1074718505 /nfs/dbraw/zinc/71/85/05/1074718505.db2.gz DAZOHFBEDMGPDF-GFCCVEGCSA-N 0 0 432.378 -0.066 20 0 IBADRN O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000558055299 1070961894 /nfs/dbraw/zinc/96/18/94/1070961894.db2.gz DAZOHFBEDMGPDF-LBPRGKRZSA-N 0 0 432.378 -0.066 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000558056046 1074718515 /nfs/dbraw/zinc/71/85/15/1074718515.db2.gz YUEUELWMXCTOAL-UHFFFAOYSA-N 0 0 447.536 -0.122 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000558056233 1074718763 /nfs/dbraw/zinc/71/87/63/1074718763.db2.gz IKQGQANFXZVTPX-CVEARBPZSA-N 0 0 442.524 -0.074 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000558056234 1074718704 /nfs/dbraw/zinc/71/87/04/1074718704.db2.gz IKQGQANFXZVTPX-HOTGVXAUSA-N 0 0 442.524 -0.074 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000558056235 1074718744 /nfs/dbraw/zinc/71/87/44/1074718744.db2.gz IKQGQANFXZVTPX-HZPDHXFCSA-N 0 0 442.524 -0.074 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000558056236 1074719183 /nfs/dbraw/zinc/71/91/83/1074719183.db2.gz IKQGQANFXZVTPX-JKSUJKDBSA-N 0 0 442.524 -0.074 20 0 IBADRN COc1ccc(CNC(=O)NCCN2CCN(c3ncccn3)CC2)cc1S(N)(=O)=O ZINC000558057041 1074718972 /nfs/dbraw/zinc/71/89/72/1074718972.db2.gz QNDJFPAGGZYHNQ-UHFFFAOYSA-N 0 0 449.537 -0.246 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCOC2(CCOCC2)C1 ZINC000558057326 1074719064 /nfs/dbraw/zinc/71/90/64/1074719064.db2.gz OUEWLSRNIFHPOZ-INIZCTEOSA-N 0 0 443.508 -0.135 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCOC2(CCOCC2)C1 ZINC000558057338 1074718989 /nfs/dbraw/zinc/71/89/89/1074718989.db2.gz OUEWLSRNIFHPOZ-MRXNPFEDSA-N 0 0 443.508 -0.135 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)n1C ZINC000558057371 1074719093 /nfs/dbraw/zinc/71/90/93/1074719093.db2.gz OEGJMNSOJYUDIA-UHFFFAOYSA-N 0 0 446.533 -0.294 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1=O ZINC000558058174 1074718962 /nfs/dbraw/zinc/71/89/62/1074718962.db2.gz DXFRTEUKIIEWKB-UHFFFAOYSA-N 0 0 434.493 -0.037 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)C1 ZINC000558058225 1074719154 /nfs/dbraw/zinc/71/91/54/1074719154.db2.gz GDJNWRJLYVXUBZ-INIZCTEOSA-N 0 0 440.522 -0.204 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)C1 ZINC000558058228 1074718999 /nfs/dbraw/zinc/71/89/99/1074718999.db2.gz GDJNWRJLYVXUBZ-MRXNPFEDSA-N 0 0 440.522 -0.204 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCc3ccnc(OC4CCOCC4)c3)C2=O)cn1 ZINC000558059107 1074718938 /nfs/dbraw/zinc/71/89/38/1074718938.db2.gz DJLDIDQRQKGPDF-KRWDZBQOSA-N 0 0 442.476 -0.089 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccnc(OC4CCOCC4)c3)C2=O)cn1 ZINC000558059108 1074719209 /nfs/dbraw/zinc/71/92/09/1074719209.db2.gz DJLDIDQRQKGPDF-QGZVFWFLSA-N 0 0 442.476 -0.089 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccnc(OC4CCOCC4)c3)CC2=O)cn1 ZINC000558059124 1074718950 /nfs/dbraw/zinc/71/89/50/1074718950.db2.gz FJSVKXNTIYSZFQ-UHFFFAOYSA-N 0 0 442.476 -0.136 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccnc(OC2CCOCC2)c1 ZINC000558059229 1074719043 /nfs/dbraw/zinc/71/90/43/1074719043.db2.gz HXKSQHJEOGPETM-KRWDZBQOSA-N 0 0 436.509 -0.593 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccnc(OC2CCOCC2)c1 ZINC000558059230 1074719134 /nfs/dbraw/zinc/71/91/34/1074719134.db2.gz HXKSQHJEOGPETM-QGZVFWFLSA-N 0 0 436.509 -0.593 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCCCCOC2CCCCC2)CC1 ZINC000558059657 1074719165 /nfs/dbraw/zinc/71/91/65/1074719165.db2.gz SQDCDLTZCSEUQV-UHFFFAOYSA-N 0 0 435.529 -0.020 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCc2cnc(N(C)C)n2C)cc1 ZINC000558060189 1074719191 /nfs/dbraw/zinc/71/91/91/1074719191.db2.gz GOMYIHAEMHCSMC-UHFFFAOYSA-N 0 0 436.538 -0.152 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n1C ZINC000558060199 1074719025 /nfs/dbraw/zinc/71/90/25/1074719025.db2.gz HAGFSDABAFQIKB-UHFFFAOYSA-N 0 0 435.510 -0.970 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)n1C ZINC000558060306 1074719111 /nfs/dbraw/zinc/71/91/11/1074719111.db2.gz LGWPVRHSLIEWJK-UHFFFAOYSA-N 0 0 427.509 -0.115 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)n1 ZINC000558061255 1074719005 /nfs/dbraw/zinc/71/90/05/1074719005.db2.gz NTYUJPYCVCTGEF-AWEZNQCLSA-N 0 0 448.528 -0.073 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)n1 ZINC000558061257 1074719221 /nfs/dbraw/zinc/71/92/21/1074719221.db2.gz NTYUJPYCVCTGEF-CQSZACIVSA-N 0 0 448.528 -0.073 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)CC1 ZINC000558061321 1074719074 /nfs/dbraw/zinc/71/90/74/1074719074.db2.gz QASLZLKTWVDMNK-UHFFFAOYSA-N 0 0 444.558 -0.999 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n1C ZINC000558062417 1074719520 /nfs/dbraw/zinc/71/95/20/1074719520.db2.gz XQIDKKXHUUZBHW-UHFFFAOYSA-N 0 0 426.485 -0.872 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c(O[C@H]2CCOC2)c1 ZINC000558071077 1074719444 /nfs/dbraw/zinc/71/94/44/1074719444.db2.gz JRCFMYUNMVQNPJ-INIZCTEOSA-N 0 0 425.507 -0.117 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c(O[C@@H]2CCOC2)c1 ZINC000558071091 1074719469 /nfs/dbraw/zinc/71/94/69/1074719469.db2.gz JRCFMYUNMVQNPJ-MRXNPFEDSA-N 0 0 425.507 -0.117 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000558071502 1074719626 /nfs/dbraw/zinc/71/96/26/1074719626.db2.gz JZKVXNFXVWBMDL-IBGZPJMESA-N 0 0 441.532 -0.079 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000558071512 1074719554 /nfs/dbraw/zinc/71/95/54/1074719554.db2.gz JZKVXNFXVWBMDL-LJQANCHMSA-N 0 0 441.532 -0.079 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCN(c2ccccc2)C1=O ZINC000558071763 1074719695 /nfs/dbraw/zinc/71/96/95/1074719695.db2.gz VUDCCGOHUPHJPW-GOSISDBHSA-N 0 0 437.504 -0.364 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCN(c2ccccc2)C1=O ZINC000558071767 1074719435 /nfs/dbraw/zinc/71/94/35/1074719435.db2.gz VUDCCGOHUPHJPW-SFHVURJKSA-N 0 0 437.504 -0.364 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCN(c2ccccc2)C1=O ZINC000558073544 1074719488 /nfs/dbraw/zinc/71/94/88/1074719488.db2.gz SVNMKDBCSKQKJU-INIZCTEOSA-N 0 0 426.477 -0.191 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCN(c2ccccc2)C1=O ZINC000558073546 1074719705 /nfs/dbraw/zinc/71/97/05/1074719705.db2.gz SVNMKDBCSKQKJU-MRXNPFEDSA-N 0 0 426.477 -0.191 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCO[C@@H]2CCOC2)cn1 ZINC000558074392 1074719461 /nfs/dbraw/zinc/71/94/61/1074719461.db2.gz RFCSCHQJJRENEX-CVEARBPZSA-N 0 0 428.511 -0.487 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCO[C@H]2CCOC2)cn1 ZINC000558074400 1074719614 /nfs/dbraw/zinc/71/96/14/1074719614.db2.gz RFCSCHQJJRENEX-HOTGVXAUSA-N 0 0 428.511 -0.487 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCO[C@@H]2CCOC2)cn1 ZINC000558074402 1074719648 /nfs/dbraw/zinc/71/96/48/1074719648.db2.gz RFCSCHQJJRENEX-HZPDHXFCSA-N 0 0 428.511 -0.487 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCO[C@H]2CCOC2)cn1 ZINC000558074404 1074720004 /nfs/dbraw/zinc/72/00/04/1074720004.db2.gz RFCSCHQJJRENEX-JKSUJKDBSA-N 0 0 428.511 -0.487 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000558074824 1074719605 /nfs/dbraw/zinc/71/96/05/1074719605.db2.gz GLOMEQMYLHPAJH-GDBMZVCRSA-N 0 0 437.518 -0.100 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000558074828 1074719544 /nfs/dbraw/zinc/71/95/44/1074719544.db2.gz GLOMEQMYLHPAJH-GOEBONIOSA-N 0 0 437.518 -0.100 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000558074834 1074719659 /nfs/dbraw/zinc/71/96/59/1074719659.db2.gz GLOMEQMYLHPAJH-HOCLYGCPSA-N 0 0 437.518 -0.100 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000558074841 1074719452 /nfs/dbraw/zinc/71/94/52/1074719452.db2.gz GLOMEQMYLHPAJH-ZBFHGGJFSA-N 0 0 437.518 -0.100 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)N[C@@H]4CCSC4)CC3)C2=O)n(C)n1 ZINC000558075218 1074720020 /nfs/dbraw/zinc/72/00/20/1074720020.db2.gz AUPIWIHOAMNNEY-CVEARBPZSA-N 0 0 434.566 -0.010 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)N[C@H]4CCSC4)CC3)C2=O)n(C)n1 ZINC000558075219 1074719971 /nfs/dbraw/zinc/71/99/71/1074719971.db2.gz AUPIWIHOAMNNEY-HOTGVXAUSA-N 0 0 434.566 -0.010 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)N[C@@H]4CCSC4)CC3)C2=O)n(C)n1 ZINC000558075220 1074719940 /nfs/dbraw/zinc/71/99/40/1074719940.db2.gz AUPIWIHOAMNNEY-HZPDHXFCSA-N 0 0 434.566 -0.010 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)N[C@H]4CCSC4)CC3)C2=O)n(C)n1 ZINC000558075221 1074719966 /nfs/dbraw/zinc/71/99/66/1074719966.db2.gz AUPIWIHOAMNNEY-JKSUJKDBSA-N 0 0 434.566 -0.010 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000558075509 1074720036 /nfs/dbraw/zinc/72/00/36/1074720036.db2.gz IPQLOGRIEJJIOS-AEFFLSMTSA-N 0 0 439.534 -0.029 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000558075524 1074720087 /nfs/dbraw/zinc/72/00/87/1074720087.db2.gz IPQLOGRIEJJIOS-FUHWJXTLSA-N 0 0 439.534 -0.029 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000558075526 1074719979 /nfs/dbraw/zinc/71/99/79/1074719979.db2.gz IPQLOGRIEJJIOS-SJLPKXTDSA-N 0 0 439.534 -0.029 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000558075529 1074720028 /nfs/dbraw/zinc/72/00/28/1074720028.db2.gz IPQLOGRIEJJIOS-WMZOPIPTSA-N 0 0 439.534 -0.029 20 0 IBADRN Cn1cc(-c2ccccc2CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000558081910 1074719987 /nfs/dbraw/zinc/71/99/87/1074719987.db2.gz PZXXMSAPSFJQCL-UHFFFAOYSA-N 0 0 438.488 -0.347 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000558082474 1074719923 /nfs/dbraw/zinc/71/99/23/1074719923.db2.gz ZYXRBHUEUKMGFP-IBGZPJMESA-N 0 0 430.553 -0.405 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000558082477 1074720099 /nfs/dbraw/zinc/72/00/99/1074720099.db2.gz ZYXRBHUEUKMGFP-LJQANCHMSA-N 0 0 430.553 -0.405 20 0 IBADRN COC(=O)C[C@@](C)(NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cccc(OC)c1 ZINC000558084235 1074720119 /nfs/dbraw/zinc/72/01/19/1074720119.db2.gz HEMHBMVVLQXQKO-GOSISDBHSA-N 0 0 425.463 -0.151 20 0 IBADRN COC(=O)C[C@](C)(NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cccc(OC)c1 ZINC000558084237 1074719913 /nfs/dbraw/zinc/71/99/13/1074719913.db2.gz HEMHBMVVLQXQKO-SFHVURJKSA-N 0 0 425.463 -0.151 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cnn1C ZINC000558084772 1074720055 /nfs/dbraw/zinc/72/00/55/1074720055.db2.gz KPWMGGAUXAONGJ-UHFFFAOYSA-N 0 0 428.497 -0.680 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1cnn(C)c1 ZINC000558087872 1074719997 /nfs/dbraw/zinc/71/99/97/1074719997.db2.gz MTEQRIILTNJMJJ-KFWWJZLASA-N 0 0 442.542 -0.905 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1cnn(C)c1 ZINC000558087876 1074719948 /nfs/dbraw/zinc/71/99/48/1074719948.db2.gz MTEQRIILTNJMJJ-QLFBSQMISA-N 0 0 442.542 -0.905 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1cnn(C)c1 ZINC000558087877 1074720048 /nfs/dbraw/zinc/72/00/48/1074720048.db2.gz MTEQRIILTNJMJJ-RBSFLKMASA-N 0 0 442.542 -0.905 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1cnn(C)c1 ZINC000558087878 1074720452 /nfs/dbraw/zinc/72/04/52/1074720452.db2.gz MTEQRIILTNJMJJ-ZNMIVQPWSA-N 0 0 442.542 -0.905 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cc1 ZINC000558090529 1074720546 /nfs/dbraw/zinc/72/05/46/1074720546.db2.gz JHGRKZJHXQUPIH-GFCCVEGCSA-N 0 0 437.565 -0.184 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cc1 ZINC000558090530 1074720511 /nfs/dbraw/zinc/72/05/11/1074720511.db2.gz JHGRKZJHXQUPIH-LBPRGKRZSA-N 0 0 437.565 -0.184 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000558091620 1074720444 /nfs/dbraw/zinc/72/04/44/1074720444.db2.gz JPZIFZJMHQCGJB-HNNXBMFYSA-N 0 0 440.588 -0.243 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000558091621 1074720504 /nfs/dbraw/zinc/72/05/04/1074720504.db2.gz JPZIFZJMHQCGJB-OAHLLOKOSA-N 0 0 440.588 -0.243 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000558091859 1074720466 /nfs/dbraw/zinc/72/04/66/1074720466.db2.gz KHAKXJZCBNAGRZ-AWEZNQCLSA-N 0 0 426.561 -0.633 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000558091863 1074720422 /nfs/dbraw/zinc/72/04/22/1074720422.db2.gz KHAKXJZCBNAGRZ-CQSZACIVSA-N 0 0 426.561 -0.633 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)n1 ZINC000558092964 1074720436 /nfs/dbraw/zinc/72/04/36/1074720436.db2.gz DMWNDRSRKABBLK-UHFFFAOYSA-N 0 0 431.478 -0.554 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cnn(C)c3C)CC2)cs1 ZINC000558093010 1074720409 /nfs/dbraw/zinc/72/04/09/1074720409.db2.gz GMPJRUJCAQFVHR-UHFFFAOYSA-N 0 0 426.524 -0.357 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)n1 ZINC000558093924 1074720522 /nfs/dbraw/zinc/72/05/22/1074720522.db2.gz FPHGJDYATYSLQG-UHFFFAOYSA-N 0 0 427.433 -0.152 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)n1 ZINC000558096732 1074720375 /nfs/dbraw/zinc/72/03/75/1074720375.db2.gz JPOZCACDYSCLDS-INIZCTEOSA-N 0 0 428.497 -0.552 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)n1 ZINC000558096736 1074720556 /nfs/dbraw/zinc/72/05/56/1074720556.db2.gz JPOZCACDYSCLDS-MRXNPFEDSA-N 0 0 428.497 -0.552 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@](O)(Cn2ccnn2)C1 ZINC000558098522 1074720482 /nfs/dbraw/zinc/72/04/82/1074720482.db2.gz DZQQQGSJKDVDOP-DOTOQJQBSA-N 0 0 429.547 -0.525 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@](O)(Cn2ccnn2)C1 ZINC000558098523 1074720578 /nfs/dbraw/zinc/72/05/78/1074720578.db2.gz DZQQQGSJKDVDOP-NVXWUHKLSA-N 0 0 429.547 -0.525 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000558098524 1074720461 /nfs/dbraw/zinc/72/04/61/1074720461.db2.gz DZQQQGSJKDVDOP-RDJZCZTQSA-N 0 0 429.547 -0.525 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@@](O)(Cn2ccnn2)C1 ZINC000558098525 1074720360 /nfs/dbraw/zinc/72/03/60/1074720360.db2.gz DZQQQGSJKDVDOP-WBVHZDCISA-N 0 0 429.547 -0.525 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000558099311 1074720497 /nfs/dbraw/zinc/72/04/97/1074720497.db2.gz SCKMIGXDZJIZPS-HNNXBMFYSA-N 0 0 439.604 -0.287 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000558099312 1074720474 /nfs/dbraw/zinc/72/04/74/1074720474.db2.gz SCKMIGXDZJIZPS-OAHLLOKOSA-N 0 0 439.604 -0.287 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCc3cccc(-n4cncn4)c3)CC2)CC1 ZINC000558102699 1074720819 /nfs/dbraw/zinc/72/08/19/1074720819.db2.gz XAJJHMBCRAHGEF-UHFFFAOYSA-N 0 0 439.520 -0.104 20 0 IBADRN CO[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C(C)C ZINC000558108867 1074720914 /nfs/dbraw/zinc/72/09/14/1074720914.db2.gz LSKFCWRKQOTBLV-BZUAXINKSA-N 0 0 434.559 -0.728 20 0 IBADRN CO[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000558108868 1074720746 /nfs/dbraw/zinc/72/07/46/1074720746.db2.gz LSKFCWRKQOTBLV-HRCADAONSA-N 0 0 434.559 -0.728 20 0 IBADRN CO[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000558108869 1074720784 /nfs/dbraw/zinc/72/07/84/1074720784.db2.gz LSKFCWRKQOTBLV-OWCLPIDISA-N 0 0 434.559 -0.728 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000558108870 1074720727 /nfs/dbraw/zinc/72/07/27/1074720727.db2.gz LSKFCWRKQOTBLV-XHSDSOJGSA-N 0 0 434.559 -0.728 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000558116226 1074720807 /nfs/dbraw/zinc/72/08/07/1074720807.db2.gz HPFHHNNLZXOQIA-CVEARBPZSA-N 0 0 433.553 -0.295 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000558116230 1074721194 /nfs/dbraw/zinc/72/11/94/1074721194.db2.gz HPFHHNNLZXOQIA-HOTGVXAUSA-N 0 0 433.553 -0.295 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000558116232 1074721241 /nfs/dbraw/zinc/72/12/41/1074721241.db2.gz HPFHHNNLZXOQIA-HZPDHXFCSA-N 0 0 433.553 -0.295 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000558116234 1074721220 /nfs/dbraw/zinc/72/12/20/1074721220.db2.gz HPFHHNNLZXOQIA-JKSUJKDBSA-N 0 0 433.553 -0.295 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1)NC1CCCCC1 ZINC000558116490 1074720757 /nfs/dbraw/zinc/72/07/57/1074720757.db2.gz JFXRUEPITKHMDT-INIZCTEOSA-N 0 0 433.553 -0.293 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1)NC1CCCCC1 ZINC000558116493 1074720776 /nfs/dbraw/zinc/72/07/76/1074720776.db2.gz JFXRUEPITKHMDT-MRXNPFEDSA-N 0 0 433.553 -0.293 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)cn1 ZINC000558117736 1074721136 /nfs/dbraw/zinc/72/11/36/1074721136.db2.gz RTKUACUXQJZLGP-BJLXPSRBSA-N 0 0 438.598 -0.416 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)cn1 ZINC000558117737 1074721229 /nfs/dbraw/zinc/72/12/29/1074721229.db2.gz RTKUACUXQJZLGP-NOVUIFNWSA-N 0 0 438.598 -0.416 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)cn1 ZINC000558117738 1074721087 /nfs/dbraw/zinc/72/10/87/1074721087.db2.gz RTKUACUXQJZLGP-ZOKDDAQRSA-N 0 0 438.598 -0.416 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)cn1 ZINC000558117739 1074721293 /nfs/dbraw/zinc/72/12/93/1074721293.db2.gz RTKUACUXQJZLGP-ZQHDKMAOSA-N 0 0 438.598 -0.416 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCOCC4)n3)CC2=O)cn1 ZINC000558119123 1074721206 /nfs/dbraw/zinc/72/12/06/1074721206.db2.gz GNBXKHRTKRFKOB-UHFFFAOYSA-N 0 0 427.465 -0.857 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000558119210 1074721104 /nfs/dbraw/zinc/72/11/04/1074721104.db2.gz ILXUNHHYOOWWRC-HNNXBMFYSA-N 0 0 439.538 -0.678 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000558119213 1074721115 /nfs/dbraw/zinc/72/11/15/1074721115.db2.gz ILXUNHHYOOWWRC-OAHLLOKOSA-N 0 0 439.538 -0.678 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000558119447 1074721270 /nfs/dbraw/zinc/72/12/70/1074721270.db2.gz NUKLOZCSHZVAKZ-UHFFFAOYSA-N 0 0 447.358 -0.863 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000558121302 1074721550 /nfs/dbraw/zinc/72/15/50/1074721550.db2.gz GJWRJYZGVHMIGL-KRWDZBQOSA-N 0 0 431.493 -0.616 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000558121306 1074721535 /nfs/dbraw/zinc/72/15/35/1074721535.db2.gz GJWRJYZGVHMIGL-QGZVFWFLSA-N 0 0 431.493 -0.616 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCN(CC(=O)N2CCCC2)CC1)C(F)(F)F ZINC000558121352 1074721093 /nfs/dbraw/zinc/72/10/93/1074721093.db2.gz AIUWNUQISTXJGZ-CYBMUJFWSA-N 0 0 443.492 -0.242 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCN(CC(=O)N2CCCC2)CC1)C(F)(F)F ZINC000558121355 1074721250 /nfs/dbraw/zinc/72/12/50/1074721250.db2.gz AIUWNUQISTXJGZ-ZDUSSCGKSA-N 0 0 443.492 -0.242 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NC[C@H]1CCCCN1S(C)(=O)=O)C(F)(F)F ZINC000558121596 1074721125 /nfs/dbraw/zinc/72/11/25/1074721125.db2.gz IUYPTHGGINWHMD-GHMZBOCLSA-N 0 0 438.494 -0.078 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@H]1CCCCN1S(C)(=O)=O)C(F)(F)F ZINC000558121597 1074721259 /nfs/dbraw/zinc/72/12/59/1074721259.db2.gz IUYPTHGGINWHMD-MNOVXSKESA-N 0 0 438.494 -0.078 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@@H]1CCCCN1S(C)(=O)=O)C(F)(F)F ZINC000558121598 1074721331 /nfs/dbraw/zinc/72/13/31/1074721331.db2.gz IUYPTHGGINWHMD-QWRGUYRKSA-N 0 0 438.494 -0.078 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NC[C@@H]1CCCCN1S(C)(=O)=O)C(F)(F)F ZINC000558121599 1074721146 /nfs/dbraw/zinc/72/11/46/1074721146.db2.gz IUYPTHGGINWHMD-WDEREUQCSA-N 0 0 438.494 -0.078 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000558121788 1074721074 /nfs/dbraw/zinc/72/10/74/1074721074.db2.gz JNMNFJRMLAKCEV-UHFFFAOYSA-N 0 0 432.525 -0.843 20 0 IBADRN Cc1noc(Cn2cc(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)ccc2=O)n1 ZINC000558122517 1074721655 /nfs/dbraw/zinc/72/16/55/1074721655.db2.gz KCBOMPBZYVFUTD-HNNXBMFYSA-N 0 0 438.510 -0.021 20 0 IBADRN Cc1noc(Cn2cc(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)ccc2=O)n1 ZINC000558122523 1074721581 /nfs/dbraw/zinc/72/15/81/1074721581.db2.gz KCBOMPBZYVFUTD-OAHLLOKOSA-N 0 0 438.510 -0.021 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000558123417 1074721477 /nfs/dbraw/zinc/72/14/77/1074721477.db2.gz WUKQVAAMTZFYOQ-UHFFFAOYSA-N 0 0 432.525 -0.797 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(O)CN2CCN(S(=O)(=O)CC)C[C@H]2C)[C@H](C)C1 ZINC000558129924 1074721601 /nfs/dbraw/zinc/72/16/01/1074721601.db2.gz KNCGFLKZPYMXRR-HZPDHXFCSA-N 0 0 440.632 -0.941 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)[C@H](C)C1 ZINC000558129964 1074721643 /nfs/dbraw/zinc/72/16/43/1074721643.db2.gz BGCSLSXWXCNTBP-CABCVRRESA-N 0 0 425.573 -0.996 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)[C@H](C)C1 ZINC000558129965 1074721591 /nfs/dbraw/zinc/72/15/91/1074721591.db2.gz BGCSLSXWXCNTBP-HUUCEWRRSA-N 0 0 425.573 -0.996 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)CC2)ncn1 ZINC000558130304 1074721489 /nfs/dbraw/zinc/72/14/89/1074721489.db2.gz QITVTDYSLXQLFD-UHFFFAOYSA-N 0 0 440.508 -0.267 20 0 IBADRN Cn1ccnc1[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C1CC1 ZINC000558132968 1074721507 /nfs/dbraw/zinc/72/15/07/1074721507.db2.gz XIJOZYOZMIMQJB-INIZCTEOSA-N 0 0 425.559 -0.309 20 0 IBADRN Cn1ccnc1[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C1CC1 ZINC000558132969 1074721633 /nfs/dbraw/zinc/72/16/33/1074721633.db2.gz XIJOZYOZMIMQJB-MRXNPFEDSA-N 0 0 425.559 -0.309 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)NCCNC(=O)c2cccnc2)C1=O ZINC000558140380 1074721909 /nfs/dbraw/zinc/72/19/09/1074721909.db2.gz LASUUTKWRNRBQB-HNNXBMFYSA-N 0 0 425.445 -0.142 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)NCCNC(=O)c2cccnc2)C1=O ZINC000558140382 1074721918 /nfs/dbraw/zinc/72/19/18/1074721918.db2.gz LASUUTKWRNRBQB-OAHLLOKOSA-N 0 0 425.445 -0.142 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)C1=O ZINC000558141311 1074721954 /nfs/dbraw/zinc/72/19/54/1074721954.db2.gz KBJGVQUSZLLGSV-INIZCTEOSA-N 0 0 426.477 -0.001 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)C1=O ZINC000558141312 1074721891 /nfs/dbraw/zinc/72/18/91/1074721891.db2.gz KBJGVQUSZLLGSV-MRXNPFEDSA-N 0 0 426.477 -0.001 20 0 IBADRN Cn1c2ncn(CCN3C[C@@H](C[C@H]4COCC(=O)N4)c4ccccc43)c2c(=O)n(C)c1=O ZINC000558144460 1074721964 /nfs/dbraw/zinc/72/19/64/1074721964.db2.gz DMACCYSOCLJTIW-CABCVRRESA-N 0 0 438.488 -0.057 20 0 IBADRN Cn1c2ncn(CCN3C[C@H](C[C@H]4COCC(=O)N4)c4ccccc43)c2c(=O)n(C)c1=O ZINC000558144461 1074721841 /nfs/dbraw/zinc/72/18/41/1074721841.db2.gz DMACCYSOCLJTIW-GJZGRUSLSA-N 0 0 438.488 -0.057 20 0 IBADRN Cn1c2ncn(CCN3C[C@@H](C[C@@H]4COCC(=O)N4)c4ccccc43)c2c(=O)n(C)c1=O ZINC000558144462 1074721900 /nfs/dbraw/zinc/72/19/00/1074721900.db2.gz DMACCYSOCLJTIW-HUUCEWRRSA-N 0 0 438.488 -0.057 20 0 IBADRN Cn1c2ncn(CCN3C[C@H](C[C@@H]4COCC(=O)N4)c4ccccc43)c2c(=O)n(C)c1=O ZINC000558144463 1074721944 /nfs/dbraw/zinc/72/19/44/1074721944.db2.gz DMACCYSOCLJTIW-LSDHHAIUSA-N 0 0 438.488 -0.057 20 0 IBADRN O=C(CCNC(=O)NCCCN1C(=O)c2ccccc2C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000558145890 1074721976 /nfs/dbraw/zinc/72/19/76/1074721976.db2.gz KZOLFHGTZKIYSH-CYBMUJFWSA-N 0 0 436.490 -0.335 20 0 IBADRN O=C(CCNC(=O)NCCCN1C(=O)c2ccccc2C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000558145898 1074721848 /nfs/dbraw/zinc/72/18/48/1074721848.db2.gz KZOLFHGTZKIYSH-ZDUSSCGKSA-N 0 0 436.490 -0.335 20 0 IBADRN COCCN1C(=O)N[C@@H]2CN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)CC[C@@H]21 ZINC000558150887 1074721929 /nfs/dbraw/zinc/72/19/29/1074721929.db2.gz QNBVVHMNKNBCNG-BMFZPTHFSA-N 0 0 429.543 -0.233 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000558151947 1074721855 /nfs/dbraw/zinc/72/18/55/1074721855.db2.gz GUHMZJGHCYQGTC-HNNXBMFYSA-N 0 0 437.447 -0.533 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000558151948 1074721879 /nfs/dbraw/zinc/72/18/79/1074721879.db2.gz GUHMZJGHCYQGTC-OAHLLOKOSA-N 0 0 437.447 -0.533 20 0 IBADRN CC(C)(C)[C@@H]1NC(=O)CC[C@H]1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000558153514 1074722179 /nfs/dbraw/zinc/72/21/79/1074722179.db2.gz CGGCOQNCAORKAG-GDBMZVCRSA-N 0 0 431.559 -0.416 20 0 IBADRN CC[C@H](C)Oc1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccn1 ZINC000558154820 1074722211 /nfs/dbraw/zinc/72/22/11/1074722211.db2.gz DPGNHSHBWAPZQT-KRWDZBQOSA-N 0 0 447.536 -0.122 20 0 IBADRN CC[C@@H](C)Oc1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccn1 ZINC000558154821 1074722238 /nfs/dbraw/zinc/72/22/38/1074722238.db2.gz DPGNHSHBWAPZQT-QGZVFWFLSA-N 0 0 447.536 -0.122 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000558154841 1074722249 /nfs/dbraw/zinc/72/22/49/1074722249.db2.gz ZVOPTVXRBQRKIB-GDBMZVCRSA-N 0 0 427.571 -0.063 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000558154845 1074722204 /nfs/dbraw/zinc/72/22/04/1074722204.db2.gz ZVOPTVXRBQRKIB-GOEBONIOSA-N 0 0 427.571 -0.063 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)C1 ZINC000558156151 1074722260 /nfs/dbraw/zinc/72/22/60/1074722260.db2.gz POYZXBFAIPBDKQ-GWCFXTLKSA-N 0 0 432.861 -0.410 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)C1 ZINC000558156155 1074722150 /nfs/dbraw/zinc/72/21/50/1074722150.db2.gz POYZXBFAIPBDKQ-GXFFZTMASA-N 0 0 432.861 -0.410 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)C1 ZINC000558156157 1074722234 /nfs/dbraw/zinc/72/22/34/1074722234.db2.gz POYZXBFAIPBDKQ-MFKMUULPSA-N 0 0 432.861 -0.410 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)C1 ZINC000558156159 1074722265 /nfs/dbraw/zinc/72/22/65/1074722265.db2.gz POYZXBFAIPBDKQ-ZWNOBZJWSA-N 0 0 432.861 -0.410 20 0 IBADRN COc1cccc(C(F)(F)CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000558158429 1074722160 /nfs/dbraw/zinc/72/21/60/1074722160.db2.gz HZPAELMKZKHGNA-UHFFFAOYSA-N 0 0 441.435 -0.105 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000558159893 1074722171 /nfs/dbraw/zinc/72/21/71/1074722171.db2.gz SNAHAXFPQJJQAZ-ACJLOTCBSA-N 0 0 434.518 -0.011 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000558159898 1074722256 /nfs/dbraw/zinc/72/22/56/1074722256.db2.gz SNAHAXFPQJJQAZ-FZKQIMNGSA-N 0 0 434.518 -0.011 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000558159901 1074722277 /nfs/dbraw/zinc/72/22/77/1074722277.db2.gz SNAHAXFPQJJQAZ-SCLBCKFNSA-N 0 0 434.518 -0.011 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)C1 ZINC000558159904 1074722223 /nfs/dbraw/zinc/72/22/23/1074722223.db2.gz SNAHAXFPQJJQAZ-UGSOOPFHSA-N 0 0 434.518 -0.011 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)C1 ZINC000558159970 1074722218 /nfs/dbraw/zinc/72/22/18/1074722218.db2.gz UJZDVKSXVNWHBS-CABCVRRESA-N 0 0 447.583 -0.046 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H](CS(N)(=O)=O)C2)C1 ZINC000558159971 1074722198 /nfs/dbraw/zinc/72/21/98/1074722198.db2.gz UJZDVKSXVNWHBS-GJZGRUSLSA-N 0 0 447.583 -0.046 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)C1 ZINC000558159973 1074722244 /nfs/dbraw/zinc/72/22/44/1074722244.db2.gz UJZDVKSXVNWHBS-HUUCEWRRSA-N 0 0 447.583 -0.046 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@H](CS(N)(=O)=O)C2)C1 ZINC000558159974 1074722271 /nfs/dbraw/zinc/72/22/71/1074722271.db2.gz UJZDVKSXVNWHBS-LSDHHAIUSA-N 0 0 447.583 -0.046 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000558165864 1074722427 /nfs/dbraw/zinc/72/24/27/1074722427.db2.gz JODHJLGCXCVYSK-HNNXBMFYSA-N 0 0 449.555 -0.354 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000558165866 1074722525 /nfs/dbraw/zinc/72/25/25/1074722525.db2.gz JODHJLGCXCVYSK-OAHLLOKOSA-N 0 0 449.555 -0.354 20 0 IBADRN CN(CCCNC(=O)CN1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21)S(C)(=O)=O ZINC000558167345 1074722392 /nfs/dbraw/zinc/72/23/92/1074722392.db2.gz RSFFLFBKMNYNPP-CVEARBPZSA-N 0 0 438.550 -0.107 20 0 IBADRN CN(CCCNC(=O)CN1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)S(C)(=O)=O ZINC000558167346 1074722414 /nfs/dbraw/zinc/72/24/14/1074722414.db2.gz RSFFLFBKMNYNPP-HOTGVXAUSA-N 0 0 438.550 -0.107 20 0 IBADRN CN(CCCNC(=O)CN1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21)S(C)(=O)=O ZINC000558167347 1074722402 /nfs/dbraw/zinc/72/24/02/1074722402.db2.gz RSFFLFBKMNYNPP-HZPDHXFCSA-N 0 0 438.550 -0.107 20 0 IBADRN CN(CCCNC(=O)CN1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)S(C)(=O)=O ZINC000558167348 1074722537 /nfs/dbraw/zinc/72/25/37/1074722537.db2.gz RSFFLFBKMNYNPP-JKSUJKDBSA-N 0 0 438.550 -0.107 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000558168987 1070964294 /nfs/dbraw/zinc/96/42/94/1070964294.db2.gz BGSUAXVXHYVDDG-CABCVRRESA-N 0 0 440.588 -0.412 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000558168988 1074722586 /nfs/dbraw/zinc/72/25/86/1074722586.db2.gz BGSUAXVXHYVDDG-GJZGRUSLSA-N 0 0 440.588 -0.412 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000558168989 1074722552 /nfs/dbraw/zinc/72/25/52/1074722552.db2.gz BGSUAXVXHYVDDG-HUUCEWRRSA-N 0 0 440.588 -0.412 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000558168991 1074722619 /nfs/dbraw/zinc/72/26/19/1074722619.db2.gz BGSUAXVXHYVDDG-LSDHHAIUSA-N 0 0 440.588 -0.412 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c(=O)[nH]c1=O ZINC000558169202 1074722607 /nfs/dbraw/zinc/72/26/07/1074722607.db2.gz FTYBGUFJJNHWHW-GFCCVEGCSA-N 0 0 443.526 -0.846 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c(=O)[nH]c1=O ZINC000558169203 1074722512 /nfs/dbraw/zinc/72/25/12/1074722512.db2.gz FTYBGUFJJNHWHW-LBPRGKRZSA-N 0 0 443.526 -0.846 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@@H]3CCCCN3S(C)(=O)=O)CC2)no1 ZINC000558171953 1073330502 /nfs/dbraw/zinc/33/05/02/1073330502.db2.gz GKGHTGOYHBFZAL-HNNXBMFYSA-N 0 0 442.542 0.063 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@H]3CCCCN3S(C)(=O)=O)CC2)no1 ZINC000558171954 1073330753 /nfs/dbraw/zinc/33/07/53/1073330753.db2.gz GKGHTGOYHBFZAL-OAHLLOKOSA-N 0 0 442.542 0.063 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NC[C@@H]3CCCCN3S(C)(=O)=O)CC2)n1 ZINC000558172753 1074722503 /nfs/dbraw/zinc/72/25/03/1074722503.db2.gz LSFIPWUVTKGIPT-AWEZNQCLSA-N 0 0 430.531 -0.143 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NC[C@H]3CCCCN3S(C)(=O)=O)CC2)n1 ZINC000558172755 1074722451 /nfs/dbraw/zinc/72/24/51/1074722451.db2.gz LSFIPWUVTKGIPT-CQSZACIVSA-N 0 0 430.531 -0.143 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000558176180 1074722578 /nfs/dbraw/zinc/72/25/78/1074722578.db2.gz SDBMFINDUGZPMG-HNNXBMFYSA-N 0 0 429.543 -0.723 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000558176182 1074722490 /nfs/dbraw/zinc/72/24/90/1074722490.db2.gz SDBMFINDUGZPMG-OAHLLOKOSA-N 0 0 429.543 -0.723 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000558176353 1074723045 /nfs/dbraw/zinc/72/30/45/1074723045.db2.gz VEWBCIFJLIPISG-DLBZAZTESA-N 0 0 447.602 -0.331 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000558176372 1074722974 /nfs/dbraw/zinc/72/29/74/1074722974.db2.gz VEWBCIFJLIPISG-IAGOWNOFSA-N 0 0 447.602 -0.331 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000558176374 1074722985 /nfs/dbraw/zinc/72/29/85/1074722985.db2.gz VEWBCIFJLIPISG-IRXDYDNUSA-N 0 0 447.602 -0.331 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000558176376 1074722835 /nfs/dbraw/zinc/72/28/35/1074722835.db2.gz VEWBCIFJLIPISG-SJORKVTESA-N 0 0 447.602 -0.331 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@H](N3CCOCC3)C(F)(F)F)CC2)no1 ZINC000558176656 1074723035 /nfs/dbraw/zinc/72/30/35/1074723035.db2.gz WATMGQCSSWPCLI-AWEZNQCLSA-N 0 0 448.446 0.512 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@@H](N3CCOCC3)C(F)(F)F)CC2)no1 ZINC000558176657 1074723024 /nfs/dbraw/zinc/72/30/24/1074723024.db2.gz WATMGQCSSWPCLI-CQSZACIVSA-N 0 0 448.446 0.512 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCCC1 ZINC000558179125 1074722909 /nfs/dbraw/zinc/72/29/09/1074722909.db2.gz HMBDSAVVRXOFQU-UHFFFAOYSA-N 0 0 430.527 -0.944 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(Sc3ccccc3)CC2)CC1 ZINC000558182235 1074722948 /nfs/dbraw/zinc/72/29/48/1074722948.db2.gz LWJLHCZWIRYFFE-UHFFFAOYSA-N 0 0 429.506 -0.215 20 0 IBADRN Cc1cccnc1[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(C)C ZINC000558189184 1074722998 /nfs/dbraw/zinc/72/29/98/1074722998.db2.gz WJMUTPYEPQWRPG-KRWDZBQOSA-N 0 0 445.520 -0.267 20 0 IBADRN Cc1cccnc1[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(C)C ZINC000558189185 1074722882 /nfs/dbraw/zinc/72/28/82/1074722882.db2.gz WJMUTPYEPQWRPG-QGZVFWFLSA-N 0 0 445.520 -0.267 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)OC ZINC000558191335 1074722826 /nfs/dbraw/zinc/72/28/26/1074722826.db2.gz YYSTZQKMZXVPAP-INIZCTEOSA-N 0 0 429.495 -0.309 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)OC ZINC000558191341 1074722899 /nfs/dbraw/zinc/72/28/99/1074722899.db2.gz YYSTZQKMZXVPAP-MRXNPFEDSA-N 0 0 429.495 -0.309 20 0 IBADRN NS(=O)(=O)c1ccc(O)c(NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000558191343 1074722859 /nfs/dbraw/zinc/72/28/59/1074722859.db2.gz ZDCWVDDZLSRRFU-UHFFFAOYSA-N 0 0 434.478 -0.103 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C1 ZINC000558191639 1074722795 /nfs/dbraw/zinc/72/27/95/1074722795.db2.gz DKOYOUXLZJGFJE-HRAATJIYSA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C1 ZINC000558191641 1074722965 /nfs/dbraw/zinc/72/29/65/1074722965.db2.gz DKOYOUXLZJGFJE-IERDGZPVSA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C1 ZINC000558191643 1074722848 /nfs/dbraw/zinc/72/28/48/1074722848.db2.gz DKOYOUXLZJGFJE-IIBYNOLFSA-N 0 0 432.477 -0.090 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C1 ZINC000558191644 1074723054 /nfs/dbraw/zinc/72/30/54/1074723054.db2.gz DKOYOUXLZJGFJE-KKSFZXQISA-N 0 0 432.477 -0.090 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)cn1 ZINC000558194496 1074723064 /nfs/dbraw/zinc/72/30/64/1074723064.db2.gz XCZZJQBAGYFPGL-UHFFFAOYSA-N 0 0 429.524 -0.390 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)OC ZINC000558195619 1074723411 /nfs/dbraw/zinc/72/34/11/1074723411.db2.gz SCYAREABHMSPKK-HNNXBMFYSA-N 0 0 430.479 -0.437 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)OC ZINC000558195622 1074723363 /nfs/dbraw/zinc/72/33/63/1074723363.db2.gz SCYAREABHMSPKK-OAHLLOKOSA-N 0 0 430.479 -0.437 20 0 IBADRN C[C@@H]1CCCC[C@H]1CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000558196324 1074723440 /nfs/dbraw/zinc/72/34/40/1074723440.db2.gz COFVGFQCRPCXKX-MSOLQXFVSA-N 0 0 443.614 -0.045 20 0 IBADRN C[C@@H]1CCCC[C@@H]1CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000558196325 1074723387 /nfs/dbraw/zinc/72/33/87/1074723387.db2.gz COFVGFQCRPCXKX-QZTJIDSGSA-N 0 0 443.614 -0.045 20 0 IBADRN C[C@H]1CCCC[C@H]1CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000558196326 1074723243 /nfs/dbraw/zinc/72/32/43/1074723243.db2.gz COFVGFQCRPCXKX-ROUUACIJSA-N 0 0 443.614 -0.045 20 0 IBADRN C[C@H]1CCCC[C@@H]1CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000558196327 1074723276 /nfs/dbraw/zinc/72/32/76/1074723276.db2.gz COFVGFQCRPCXKX-ZWKOTPCHSA-N 0 0 443.614 -0.045 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)NCC(=O)NCCN1CCOCC1 ZINC000558196589 1074723468 /nfs/dbraw/zinc/72/34/68/1074723468.db2.gz BYZNWAQSSXGORQ-UHFFFAOYSA-N 0 0 441.506 -0.814 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1 ZINC000558197596 1074723458 /nfs/dbraw/zinc/72/34/58/1074723458.db2.gz IQZBHEVAOZERMF-UHFFFAOYSA-N 0 0 433.552 -0.070 20 0 IBADRN CN(CC(=O)NCC(=O)NCCN1CCOCC1)C(=O)c1ccc(Br)o1 ZINC000558200583 1074723403 /nfs/dbraw/zinc/72/34/03/1074723403.db2.gz MYMXENYLTBARBO-UHFFFAOYSA-N 0 0 431.287 -0.321 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)C1 ZINC000558202106 1074723232 /nfs/dbraw/zinc/72/32/32/1074723232.db2.gz BSAOBQOCECQGNU-DLBZAZTESA-N 0 0 445.568 -0.002 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)C1 ZINC000558202110 1074723288 /nfs/dbraw/zinc/72/32/88/1074723288.db2.gz BSAOBQOCECQGNU-IAGOWNOFSA-N 0 0 445.568 -0.002 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)C1 ZINC000558202112 1074723374 /nfs/dbraw/zinc/72/33/74/1074723374.db2.gz BSAOBQOCECQGNU-IRXDYDNUSA-N 0 0 445.568 -0.002 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)C1 ZINC000558202114 1074723378 /nfs/dbraw/zinc/72/33/78/1074723378.db2.gz BSAOBQOCECQGNU-SJORKVTESA-N 0 0 445.568 -0.002 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2ccnc(N(C)C)n2)CC1 ZINC000558202451 1074723328 /nfs/dbraw/zinc/72/33/28/1074723328.db2.gz FZOITVHBQJOQMH-HNNXBMFYSA-N 0 0 431.541 -0.250 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2ccnc(N(C)C)n2)CC1 ZINC000558202458 1074723295 /nfs/dbraw/zinc/72/32/95/1074723295.db2.gz FZOITVHBQJOQMH-OAHLLOKOSA-N 0 0 431.541 -0.250 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCC4(C)COC4)CC3)C2=O)n(C)n1 ZINC000558204149 1074723267 /nfs/dbraw/zinc/72/32/67/1074723267.db2.gz IRFSFUYBJHFNPB-INIZCTEOSA-N 0 0 432.525 -0.479 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCC4(C)COC4)CC3)C2=O)n(C)n1 ZINC000558204150 1074723304 /nfs/dbraw/zinc/72/33/04/1074723304.db2.gz IRFSFUYBJHFNPB-MRXNPFEDSA-N 0 0 432.525 -0.479 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@H]2CCCS(=O)(=O)C2)C1 ZINC000558204574 1074723313 /nfs/dbraw/zinc/72/33/13/1074723313.db2.gz LMYURZQGONZRFJ-CABCVRRESA-N 0 0 425.573 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@@H]2CCCS(=O)(=O)C2)C1 ZINC000558204576 1074723694 /nfs/dbraw/zinc/72/36/94/1074723694.db2.gz LMYURZQGONZRFJ-GJZGRUSLSA-N 0 0 425.573 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@H]2CCCS(=O)(=O)C2)C1 ZINC000558204578 1074723943 /nfs/dbraw/zinc/72/39/43/1074723943.db2.gz LMYURZQGONZRFJ-HUUCEWRRSA-N 0 0 425.573 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@@H]2CCCS(=O)(=O)C2)C1 ZINC000558204580 1074723827 /nfs/dbraw/zinc/72/38/27/1074723827.db2.gz LMYURZQGONZRFJ-LSDHHAIUSA-N 0 0 425.573 -0.107 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2C[C@@H](C)O[C@@]3(CCOC3)C2)s1 ZINC000558205253 1074723895 /nfs/dbraw/zinc/72/38/95/1074723895.db2.gz ICDPEUDLGZHOQL-PXAZEXFGSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCOC3)C2)s1 ZINC000558205254 1074723934 /nfs/dbraw/zinc/72/39/34/1074723934.db2.gz ICDPEUDLGZHOQL-SJCJKPOMSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2C[C@@H](C)O[C@]3(CCOC3)C2)s1 ZINC000558205255 1074723734 /nfs/dbraw/zinc/72/37/34/1074723734.db2.gz ICDPEUDLGZHOQL-SJKOYZFVSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2C[C@H](C)O[C@]3(CCOC3)C2)s1 ZINC000558205256 1074723650 /nfs/dbraw/zinc/72/36/50/1074723650.db2.gz ICDPEUDLGZHOQL-YVEFUNNKSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)s1 ZINC000558205511 1074723906 /nfs/dbraw/zinc/72/39/06/1074723906.db2.gz MDBNMTNZZMYGCZ-UHFFFAOYSA-N 0 0 442.441 -0.358 20 0 IBADRN C[C@]1(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCOC1 ZINC000558207397 1074723838 /nfs/dbraw/zinc/72/38/38/1074723838.db2.gz FAJADCPIXVSYIB-HRAATJIYSA-N 0 0 432.525 -0.059 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCOC1 ZINC000558207398 1074723742 /nfs/dbraw/zinc/72/37/42/1074723742.db2.gz FAJADCPIXVSYIB-IERDGZPVSA-N 0 0 432.525 -0.059 20 0 IBADRN C[C@]1(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCOC1 ZINC000558207399 1074723798 /nfs/dbraw/zinc/72/37/98/1074723798.db2.gz FAJADCPIXVSYIB-IIBYNOLFSA-N 0 0 432.525 -0.059 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCOC1 ZINC000558207400 1074723769 /nfs/dbraw/zinc/72/37/69/1074723769.db2.gz FAJADCPIXVSYIB-KKSFZXQISA-N 0 0 432.525 -0.059 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)C2)nn1 ZINC000558213986 1074724257 /nfs/dbraw/zinc/72/42/57/1074724257.db2.gz DJMRYSMCVIAOFB-CHWSQXEVSA-N 0 0 429.503 -0.708 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)C2)nn1 ZINC000558213987 1074724280 /nfs/dbraw/zinc/72/42/80/1074724280.db2.gz DJMRYSMCVIAOFB-OLZOCXBDSA-N 0 0 429.503 -0.708 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000558214220 1074724271 /nfs/dbraw/zinc/72/42/71/1074724271.db2.gz HLQSKFJVRRFPAS-KGLIPLIRSA-N 0 0 425.577 -0.677 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000558214222 1074724165 /nfs/dbraw/zinc/72/41/65/1074724165.db2.gz HLQSKFJVRRFPAS-ZIAGYGMSSA-N 0 0 425.577 -0.677 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1 ZINC000558214804 1074724124 /nfs/dbraw/zinc/72/41/24/1074724124.db2.gz OMXXTMYIFLKCHQ-FYCBBRDGSA-N 0 0 446.599 -0.011 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1 ZINC000558214810 1074724333 /nfs/dbraw/zinc/72/43/33/1074724333.db2.gz OMXXTMYIFLKCHQ-GKWFENNMSA-N 0 0 446.599 -0.011 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1 ZINC000558214812 1074724289 /nfs/dbraw/zinc/72/42/89/1074724289.db2.gz OMXXTMYIFLKCHQ-XWBFJWKOSA-N 0 0 446.599 -0.011 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1 ZINC000558214813 1074724072 /nfs/dbraw/zinc/72/40/72/1074724072.db2.gz OMXXTMYIFLKCHQ-YZCUQEPOSA-N 0 0 446.599 -0.011 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)C2=O)n(C)n1 ZINC000558215001 1074724351 /nfs/dbraw/zinc/72/43/51/1074724351.db2.gz BRPZBVXMNZTSDA-KGLIPLIRSA-N 0 0 427.531 -0.596 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)C2=O)n(C)n1 ZINC000558215002 1074724191 /nfs/dbraw/zinc/72/41/91/1074724191.db2.gz BRPZBVXMNZTSDA-ZIAGYGMSSA-N 0 0 427.531 -0.596 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)[C@H](C)C1 ZINC000558215272 1074724178 /nfs/dbraw/zinc/72/41/78/1074724178.db2.gz QMEVTSWREYPPKP-ZIAGYGMSSA-N 0 0 425.577 -0.677 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000558215284 1074724325 /nfs/dbraw/zinc/72/43/25/1074724325.db2.gz CBAQXDSZGOQUBL-CQSZACIVSA-N 0 0 425.577 -0.630 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)s1 ZINC000558215585 1074724360 /nfs/dbraw/zinc/72/43/60/1074724360.db2.gz USTRLQXPKIEBFY-LLVKDONJSA-N 0 0 439.585 -0.274 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC(N(C)S(C)(=O)=O)CC2)C1 ZINC000558217488 1074724776 /nfs/dbraw/zinc/72/47/76/1074724776.db2.gz OJNGZZJOMFKBRP-CYBMUJFWSA-N 0 0 425.577 -0.677 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000558217851 1074724676 /nfs/dbraw/zinc/72/46/76/1074724676.db2.gz SYMOCGQVSPFZPD-CQSZACIVSA-N 0 0 439.604 -0.287 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000558219189 1074724658 /nfs/dbraw/zinc/72/46/58/1074724658.db2.gz XJHXLEVTXQFICQ-CQSZACIVSA-N 0 0 433.556 -0.465 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000558219307 1074725234 /nfs/dbraw/zinc/72/52/34/1074725234.db2.gz YWWZMUJBDUGMFA-OAHLLOKOSA-N 0 0 439.604 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000558219324 1074724944 /nfs/dbraw/zinc/72/49/44/1074724944.db2.gz YZFAPAKJYMZQGZ-MRXNPFEDSA-N 0 0 426.543 -0.012 20 0 IBADRN O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000558229534 1074725253 /nfs/dbraw/zinc/72/52/53/1074725253.db2.gz DWGXAFZSOBODBL-KSZLIROESA-N 0 0 442.582 -0.228 20 0 IBADRN O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000558229538 1074725275 /nfs/dbraw/zinc/72/52/75/1074725275.db2.gz DWGXAFZSOBODBL-KURKYZTESA-N 0 0 442.582 -0.228 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000558229540 1074725214 /nfs/dbraw/zinc/72/52/14/1074725214.db2.gz DWGXAFZSOBODBL-KZNAEPCWSA-N 0 0 442.582 -0.228 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000558229541 1074725500 /nfs/dbraw/zinc/72/55/00/1074725500.db2.gz DWGXAFZSOBODBL-RCCFBDPRSA-N 0 0 442.582 -0.228 20 0 IBADRN CCSCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000558229542 1074725440 /nfs/dbraw/zinc/72/54/40/1074725440.db2.gz CRIDEMPSPZXURQ-UHFFFAOYSA-N 0 0 432.524 -0.529 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000558231836 1074725351 /nfs/dbraw/zinc/72/53/51/1074725351.db2.gz OKYZZDVIBPOZIW-MSOLQXFVSA-N 0 0 444.536 -0.411 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000558231840 1074725456 /nfs/dbraw/zinc/72/54/56/1074725456.db2.gz OKYZZDVIBPOZIW-QZTJIDSGSA-N 0 0 444.536 -0.411 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000558231843 1074725370 /nfs/dbraw/zinc/72/53/70/1074725370.db2.gz OKYZZDVIBPOZIW-ROUUACIJSA-N 0 0 444.536 -0.411 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000558231846 1074725482 /nfs/dbraw/zinc/72/54/82/1074725482.db2.gz OKYZZDVIBPOZIW-ZWKOTPCHSA-N 0 0 444.536 -0.411 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cc([C@H]2CCCO2)nn1C ZINC000558232079 1074725511 /nfs/dbraw/zinc/72/55/11/1074725511.db2.gz CKEVPATUVYTXQK-DZGCQCFKSA-N 0 0 442.542 -0.013 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cc([C@@H]2CCCO2)nn1C ZINC000558232081 1074725244 /nfs/dbraw/zinc/72/52/44/1074725244.db2.gz CKEVPATUVYTXQK-HIFRSBDPSA-N 0 0 442.542 -0.013 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cc([C@H]2CCCO2)nn1C ZINC000558232084 1074725202 /nfs/dbraw/zinc/72/52/02/1074725202.db2.gz CKEVPATUVYTXQK-UKRRQHHQSA-N 0 0 442.542 -0.013 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cc([C@@H]2CCCO2)nn1C ZINC000558232086 1074725471 /nfs/dbraw/zinc/72/54/71/1074725471.db2.gz CKEVPATUVYTXQK-ZFWWWQNUSA-N 0 0 442.542 -0.013 20 0 IBADRN O=C(Nc1cccnc1C(F)(F)F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000558232104 1074725410 /nfs/dbraw/zinc/72/54/10/1074725410.db2.gz DFRPOCJYWDWBSW-UHFFFAOYSA-N 0 0 443.382 -0.432 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C(N)=O)c(F)c1 ZINC000558233657 1074725447 /nfs/dbraw/zinc/72/54/47/1074725447.db2.gz NZGBCCNIHNSNHO-GFCCVEGCSA-N 0 0 429.474 -0.360 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(C(N)=O)c(F)c1 ZINC000558233661 1074725837 /nfs/dbraw/zinc/72/58/37/1074725837.db2.gz NZGBCCNIHNSNHO-LBPRGKRZSA-N 0 0 429.474 -0.360 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000558236521 1074725703 /nfs/dbraw/zinc/72/57/03/1074725703.db2.gz YANAIGXUWJIMLN-OAHLLOKOSA-N 0 0 441.510 -0.018 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000558237854 1074725815 /nfs/dbraw/zinc/72/58/15/1074725815.db2.gz ZKSQDYIZFLMUKP-FHWLQOOXSA-N 0 0 437.585 -0.195 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000558237858 1074725775 /nfs/dbraw/zinc/72/57/75/1074725775.db2.gz ZKSQDYIZFLMUKP-GBESFXJTSA-N 0 0 437.585 -0.195 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000558237860 1074725795 /nfs/dbraw/zinc/72/57/95/1074725795.db2.gz ZKSQDYIZFLMUKP-IPMKNSEASA-N 0 0 437.585 -0.195 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000558237863 1074725750 /nfs/dbraw/zinc/72/57/50/1074725750.db2.gz ZKSQDYIZFLMUKP-OTWHNJEPSA-N 0 0 437.585 -0.195 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2COc3ccc(F)cc3C2)n1)N1CCOCC1 ZINC000558239119 1074725768 /nfs/dbraw/zinc/72/57/68/1074725768.db2.gz WFXGVWYQVYWDMQ-HNNXBMFYSA-N 0 0 431.424 -0.061 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2COc3ccc(F)cc3C2)n1)N1CCOCC1 ZINC000558239121 1074725688 /nfs/dbraw/zinc/72/56/88/1074725688.db2.gz WFXGVWYQVYWDMQ-OAHLLOKOSA-N 0 0 431.424 -0.061 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)CC1 ZINC000558239801 1074725676 /nfs/dbraw/zinc/72/56/76/1074725676.db2.gz USXZETAEEJEMJI-UHFFFAOYSA-N 0 0 434.497 -0.723 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000558240713 1074725722 /nfs/dbraw/zinc/72/57/22/1074725722.db2.gz BEALGPWNMNVPSU-IINYFYTJSA-N 0 0 445.519 -0.376 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000558241842 1074725694 /nfs/dbraw/zinc/72/56/94/1074725694.db2.gz OIRBIRULHMAPFA-GASCZTMLSA-N 0 0 425.486 -0.421 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000558241843 1074725762 /nfs/dbraw/zinc/72/57/62/1074725762.db2.gz OIRBIRULHMAPFA-GJZGRUSLSA-N 0 0 425.486 -0.421 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000558241844 1074725713 /nfs/dbraw/zinc/72/57/13/1074725713.db2.gz OIRBIRULHMAPFA-HUUCEWRRSA-N 0 0 425.486 -0.421 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCN(Cc2ccc(OC)c(OC)c2OC)CC1 ZINC000558242852 1074725785 /nfs/dbraw/zinc/72/57/85/1074725785.db2.gz KKPMDEUNAAZCDE-UHFFFAOYSA-N 0 0 436.509 -0.049 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC4(C3)Oc3ccccc3O4)cnc2n(C)c1=O ZINC000558243059 1074725831 /nfs/dbraw/zinc/72/58/31/1074725831.db2.gz RDLBVOMBGCPQMS-UHFFFAOYSA-N 0 0 437.412 -0.029 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC[C@@H](C(=O)N(C)C)C2)CCN1c1nccn2cnnc12 ZINC000558244618 1074725825 /nfs/dbraw/zinc/72/58/25/1074725825.db2.gz BBRDYAUCVQOGHO-BZUAXINKSA-N 0 0 442.524 -0.075 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCC[C@@H](C(=O)N(C)C)C2)CCN1c1nccn2cnnc12 ZINC000558244619 1074725807 /nfs/dbraw/zinc/72/58/07/1074725807.db2.gz BBRDYAUCVQOGHO-OAGGEKHMSA-N 0 0 442.524 -0.075 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC[C@H](C(=O)N(C)C)C2)CCN1c1nccn2cnnc12 ZINC000558244620 1074726158 /nfs/dbraw/zinc/72/61/58/1074726158.db2.gz BBRDYAUCVQOGHO-OWCLPIDISA-N 0 0 442.524 -0.075 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCC[C@H](C(=O)N(C)C)C2)CCN1c1nccn2cnnc12 ZINC000558244621 1074726004 /nfs/dbraw/zinc/72/60/04/1074726004.db2.gz BBRDYAUCVQOGHO-PMPSAXMXSA-N 0 0 442.524 -0.075 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@]2(CCCOC2)O1 ZINC000558248206 1074726125 /nfs/dbraw/zinc/72/61/25/1074726125.db2.gz MDFIQJCNTJZDKJ-CXAGYDPISA-N 0 0 430.483 -0.993 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@]2(CCCOC2)O1 ZINC000558248207 1074726059 /nfs/dbraw/zinc/72/60/59/1074726059.db2.gz MDFIQJCNTJZDKJ-DYVFJYSZSA-N 0 0 430.483 -0.993 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@]2(CCCOC2)O1 ZINC000558248208 1074726096 /nfs/dbraw/zinc/72/60/96/1074726096.db2.gz MDFIQJCNTJZDKJ-GUYCJALGSA-N 0 0 430.483 -0.993 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@]2(CCCOC2)O1 ZINC000558248210 1074726067 /nfs/dbraw/zinc/72/60/67/1074726067.db2.gz MDFIQJCNTJZDKJ-SUMWQHHRSA-N 0 0 430.483 -0.993 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)N[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000558250909 1074726047 /nfs/dbraw/zinc/72/60/47/1074726047.db2.gz PWKCTKWILFEHIP-CYBMUJFWSA-N 0 0 434.478 -0.067 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)N[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000558250910 1074726105 /nfs/dbraw/zinc/72/61/05/1074726105.db2.gz PWKCTKWILFEHIP-ZDUSSCGKSA-N 0 0 434.478 -0.067 20 0 IBADRN O=C(CN1CCN(Cc2nnnn2-c2ccccc2)CC1)N1CCN(c2cnccn2)CC1 ZINC000558252010 1074726082 /nfs/dbraw/zinc/72/60/82/1074726082.db2.gz DIWXVLLNUQBAQM-UHFFFAOYSA-N 0 0 448.535 -0.081 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CCN(CCO)CC1 ZINC000558253384 1074726136 /nfs/dbraw/zinc/72/61/36/1074726136.db2.gz PKCCURURNBDJPW-UHFFFAOYSA-N 0 0 440.566 -0.229 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000558264471 1074726024 /nfs/dbraw/zinc/72/60/24/1074726024.db2.gz WPUJGXRXCIYDCD-ASHKIFAZSA-N 0 0 436.600 -0.477 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000558264472 1074726169 /nfs/dbraw/zinc/72/61/69/1074726169.db2.gz WPUJGXRXCIYDCD-LOKFHWFJSA-N 0 0 436.600 -0.477 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000558264473 1074726115 /nfs/dbraw/zinc/72/61/15/1074726115.db2.gz WPUJGXRXCIYDCD-PGGUUEOZSA-N 0 0 436.600 -0.477 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000558264474 1074726597 /nfs/dbraw/zinc/72/65/97/1074726597.db2.gz WPUJGXRXCIYDCD-PWFNWSNSSA-N 0 0 436.600 -0.477 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000558264494 1074726357 /nfs/dbraw/zinc/72/63/57/1074726357.db2.gz XODZNHKAIJFDHO-IZPCHGGPSA-N 0 0 426.514 -0.417 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000558264495 1074726361 /nfs/dbraw/zinc/72/63/61/1074726361.db2.gz XODZNHKAIJFDHO-MLNJSSBLSA-N 0 0 426.514 -0.417 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000558264496 1074726378 /nfs/dbraw/zinc/72/63/78/1074726378.db2.gz XODZNHKAIJFDHO-MMEWPQADSA-N 0 0 426.514 -0.417 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000558264497 1074726347 /nfs/dbraw/zinc/72/63/47/1074726347.db2.gz XODZNHKAIJFDHO-ONSXPEOJSA-N 0 0 426.514 -0.417 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CSc3ccccc31)C2 ZINC000558265413 1074726339 /nfs/dbraw/zinc/72/63/39/1074726339.db2.gz BOMVSGHLAAFJLS-CYBMUJFWSA-N 0 0 430.490 -0.562 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CSc3ccccc31)C2 ZINC000558265414 1074726588 /nfs/dbraw/zinc/72/65/88/1074726588.db2.gz BOMVSGHLAAFJLS-ZDUSSCGKSA-N 0 0 430.490 -0.562 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N(C)CCN2C[C@@H](C)O[C@@H](C)C2)cn1 ZINC000558276934 1074726551 /nfs/dbraw/zinc/72/65/51/1074726551.db2.gz DWTFLDARLUQIOX-GFIVTLFCSA-N 0 0 434.541 -0.094 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000558278713 1074726385 /nfs/dbraw/zinc/72/63/85/1074726385.db2.gz HJEPGQUOLHEZIA-WBVHZDCISA-N 0 0 426.481 -0.522 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000558280504 1074726368 /nfs/dbraw/zinc/72/63/68/1074726368.db2.gz JEKNMKHFEJFWHV-CYBMUJFWSA-N 0 0 427.383 -0.355 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000558280507 1074726579 /nfs/dbraw/zinc/72/65/79/1074726579.db2.gz JEKNMKHFEJFWHV-ZDUSSCGKSA-N 0 0 427.383 -0.355 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCC1=O ZINC000558281245 1074726410 /nfs/dbraw/zinc/72/64/10/1074726410.db2.gz PZEJZZCFFMPVSO-CVEARBPZSA-N 0 0 445.524 -0.865 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCC1=O ZINC000558281246 1074726472 /nfs/dbraw/zinc/72/64/72/1074726472.db2.gz PZEJZZCFFMPVSO-HOTGVXAUSA-N 0 0 445.524 -0.865 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCC1=O ZINC000558281247 1074726607 /nfs/dbraw/zinc/72/66/07/1074726607.db2.gz PZEJZZCFFMPVSO-HZPDHXFCSA-N 0 0 445.524 -0.865 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCC1=O ZINC000558281248 1074726503 /nfs/dbraw/zinc/72/65/03/1074726503.db2.gz PZEJZZCFFMPVSO-JKSUJKDBSA-N 0 0 445.524 -0.865 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCC(=O)N(C)C2)CC1 ZINC000558281370 1074726529 /nfs/dbraw/zinc/72/65/29/1074726529.db2.gz CROXHLNYNIADJC-HNNXBMFYSA-N 0 0 431.559 -0.766 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000558281371 1074726402 /nfs/dbraw/zinc/72/64/02/1074726402.db2.gz CROXHLNYNIADJC-OAHLLOKOSA-N 0 0 431.559 -0.766 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)CCC1=O ZINC000558281626 1073359007 /nfs/dbraw/zinc/35/90/07/1073359007.db2.gz FYHFFLWKBWSNOK-AWEZNQCLSA-N 0 0 425.493 -0.407 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)CCC1=O ZINC000558281627 1073359093 /nfs/dbraw/zinc/35/90/93/1073359093.db2.gz FYHFFLWKBWSNOK-CQSZACIVSA-N 0 0 425.493 -0.407 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCC(=O)N(C)C3)CC2)c1 ZINC000558281913 1074726392 /nfs/dbraw/zinc/72/63/92/1074726392.db2.gz IOSCXGXKTNTINM-INIZCTEOSA-N 0 0 436.534 -0.127 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N(C)C3)CC2)c1 ZINC000558281914 1074726539 /nfs/dbraw/zinc/72/65/39/1074726539.db2.gz IOSCXGXKTNTINM-MRXNPFEDSA-N 0 0 436.534 -0.127 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000558292069 1074726873 /nfs/dbraw/zinc/72/68/73/1074726873.db2.gz DCUFZGVFUZERRX-KBPBESRZSA-N 0 0 447.458 -0.579 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000558292092 1074726980 /nfs/dbraw/zinc/72/69/80/1074726980.db2.gz DCUFZGVFUZERRX-KGLIPLIRSA-N 0 0 447.458 -0.579 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000558292093 1074726884 /nfs/dbraw/zinc/72/68/84/1074726884.db2.gz DCUFZGVFUZERRX-UONOGXRCSA-N 0 0 447.458 -0.579 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000558292095 1074726918 /nfs/dbraw/zinc/72/69/18/1074726918.db2.gz DCUFZGVFUZERRX-ZIAGYGMSSA-N 0 0 447.458 -0.579 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H]1CCCO1 ZINC000558300545 1074726836 /nfs/dbraw/zinc/72/68/36/1074726836.db2.gz UBTOBPYXPVYROU-CHWSQXEVSA-N 0 0 442.494 -0.715 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H]1CCCO1 ZINC000558300546 1074727194 /nfs/dbraw/zinc/72/71/94/1074727194.db2.gz UBTOBPYXPVYROU-OLZOCXBDSA-N 0 0 442.494 -0.715 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H]1CCCO1 ZINC000558300547 1074727347 /nfs/dbraw/zinc/72/73/47/1074727347.db2.gz UBTOBPYXPVYROU-QWHCGFSZSA-N 0 0 442.494 -0.715 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H]1CCCO1 ZINC000558300548 1074727147 /nfs/dbraw/zinc/72/71/47/1074727147.db2.gz UBTOBPYXPVYROU-STQMWFEESA-N 0 0 442.494 -0.715 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000558304740 1074727225 /nfs/dbraw/zinc/72/72/25/1074727225.db2.gz GDPZPUMOWRWPNT-DLBZAZTESA-N 0 0 443.522 -0.462 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000558304748 1074727339 /nfs/dbraw/zinc/72/73/39/1074727339.db2.gz GDPZPUMOWRWPNT-IAGOWNOFSA-N 0 0 443.522 -0.462 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000558304752 1074727215 /nfs/dbraw/zinc/72/72/15/1074727215.db2.gz GDPZPUMOWRWPNT-IRXDYDNUSA-N 0 0 443.522 -0.462 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000558304755 1074727280 /nfs/dbraw/zinc/72/72/80/1074727280.db2.gz GDPZPUMOWRWPNT-SJORKVTESA-N 0 0 443.522 -0.462 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@@H](COC)C2)c1 ZINC000558305975 1074727163 /nfs/dbraw/zinc/72/71/63/1074727163.db2.gz MXNBRIWKEHFKDR-GDBMZVCRSA-N 0 0 443.522 -0.510 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@H](COC)O[C@@H](C)C2)c1 ZINC000558305986 1074727206 /nfs/dbraw/zinc/72/72/06/1074727206.db2.gz MXNBRIWKEHFKDR-GOEBONIOSA-N 0 0 443.522 -0.510 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@H](C)O[C@H](COC)C2)c1 ZINC000558305989 1074727177 /nfs/dbraw/zinc/72/71/77/1074727177.db2.gz MXNBRIWKEHFKDR-HOCLYGCPSA-N 0 0 443.522 -0.510 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@H](COC)C2)c1 ZINC000558305991 1074727320 /nfs/dbraw/zinc/72/73/20/1074727320.db2.gz MXNBRIWKEHFKDR-ZBFHGGJFSA-N 0 0 443.522 -0.510 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@@H]3CC[C@@H](O)[C@H]3C2)c1 ZINC000558306169 1074727309 /nfs/dbraw/zinc/72/73/09/1074727309.db2.gz OHFNPYRXCSNXQP-BHYGNILZSA-N 0 0 425.507 -0.543 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@@H]3CC[C@H](O)[C@@H]3C2)c1 ZINC000558306174 1074727256 /nfs/dbraw/zinc/72/72/56/1074727256.db2.gz OHFNPYRXCSNXQP-UAGQMJEPSA-N 0 0 425.507 -0.543 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@@H]3CC[C@@H](O)[C@@H]3C2)c1 ZINC000558306177 1074727288 /nfs/dbraw/zinc/72/72/88/1074727288.db2.gz OHFNPYRXCSNXQP-USXIJHARSA-N 0 0 425.507 -0.543 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@@H]3CC[C@H](O)[C@H]3C2)c1 ZINC000558306181 1074727184 /nfs/dbraw/zinc/72/71/84/1074727184.db2.gz OHFNPYRXCSNXQP-XIRDDKMYSA-N 0 0 425.507 -0.543 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@H](C3CC3)C2)c1 ZINC000558306278 1074727327 /nfs/dbraw/zinc/72/73/27/1074727327.db2.gz BKWNRVLGSASDJH-KRWDZBQOSA-N 0 0 425.507 -0.135 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@@H](C3CC3)C2)c1 ZINC000558306280 1074727641 /nfs/dbraw/zinc/72/76/41/1074727641.db2.gz BKWNRVLGSASDJH-QGZVFWFLSA-N 0 0 425.507 -0.135 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCCN2CCSCC2)c1 ZINC000558306316 1074727574 /nfs/dbraw/zinc/72/75/74/1074727574.db2.gz CPPANCBXJIFPEV-UHFFFAOYSA-N 0 0 444.579 -0.608 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc(-n3ccnc3)c2)CC1 ZINC000558308257 1074727664 /nfs/dbraw/zinc/72/76/64/1074727664.db2.gz UXOICMKYUCQVNP-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CC[C@](C)(C(=O)OC)C2)c1 ZINC000558309412 1074727560 /nfs/dbraw/zinc/72/75/60/1074727560.db2.gz DHIKWMYHQNVCFA-IBGZPJMESA-N 0 0 441.506 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CC[C@@](C)(C(=O)OC)C2)c1 ZINC000558309414 1074727650 /nfs/dbraw/zinc/72/76/50/1074727650.db2.gz DHIKWMYHQNVCFA-LJQANCHMSA-N 0 0 441.506 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C3CCC3)CC2)c1 ZINC000558310683 1074727505 /nfs/dbraw/zinc/72/75/05/1074727505.db2.gz CZKVHBXBRXUUCQ-UHFFFAOYSA-N 0 0 438.550 -0.076 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NC[C@H]3CCCC[C@H]3NS(C)(=O)=O)cnc2n(C)c1=O ZINC000558311003 1074727633 /nfs/dbraw/zinc/72/76/33/1074727633.db2.gz JKZRRWOLSIJFAJ-BXUZGUMPSA-N 0 0 438.510 -0.138 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NC[C@@H]3CCCC[C@@H]3NS(C)(=O)=O)cnc2n(C)c1=O ZINC000558311004 1074727608 /nfs/dbraw/zinc/72/76/08/1074727608.db2.gz JKZRRWOLSIJFAJ-FZMZJTMJSA-N 0 0 438.510 -0.138 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NC[C@H]3CCCC[C@@H]3NS(C)(=O)=O)cnc2n(C)c1=O ZINC000558311005 1074727535 /nfs/dbraw/zinc/72/75/35/1074727535.db2.gz JKZRRWOLSIJFAJ-RISCZKNCSA-N 0 0 438.510 -0.138 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NC[C@@H]3CCCC[C@H]3NS(C)(=O)=O)cnc2n(C)c1=O ZINC000558311006 1074727486 /nfs/dbraw/zinc/72/74/86/1074727486.db2.gz JKZRRWOLSIJFAJ-SMDDNHRTSA-N 0 0 438.510 -0.138 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1)C(N)=O ZINC000558311301 1074727598 /nfs/dbraw/zinc/72/75/98/1074727598.db2.gz MSGUYFSIKIJKBU-UHFFFAOYSA-N 0 0 445.480 -0.744 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCc3cc[nH]c(=O)c3C2)c1 ZINC000558312019 1074727551 /nfs/dbraw/zinc/72/75/51/1074727551.db2.gz FZVKHFSAPUVMFR-UHFFFAOYSA-N 0 0 448.501 -0.087 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CCN(c3ccccc3F)C1=O)CC2 ZINC000558312203 1074727543 /nfs/dbraw/zinc/72/75/43/1074727543.db2.gz NTKHNZIJUQZUPC-GXTWGEPZSA-N 0 0 430.440 -0.084 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CCN(c3ccccc3F)C1=O)CC2 ZINC000558312205 1074727625 /nfs/dbraw/zinc/72/76/25/1074727625.db2.gz NTKHNZIJUQZUPC-JSGCOSHPSA-N 0 0 430.440 -0.084 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CCN(c3ccccc3F)C1=O)CC2 ZINC000558312208 1074727615 /nfs/dbraw/zinc/72/76/15/1074727615.db2.gz NTKHNZIJUQZUPC-OCCSQVGLSA-N 0 0 430.440 -0.084 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CCN(c3ccccc3F)C1=O)CC2 ZINC000558312209 1074727522 /nfs/dbraw/zinc/72/75/22/1074727522.db2.gz NTKHNZIJUQZUPC-TZMCWYRMSA-N 0 0 430.440 -0.084 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@@H]2CCC[C@H]2O)c1 ZINC000558312288 1074727498 /nfs/dbraw/zinc/72/74/98/1074727498.db2.gz VNAXOAHQCVAZMI-DOTOQJQBSA-N 0 0 427.523 -0.153 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4F)C3=O)CC2)NC(=O)NC1=O ZINC000558312459 1074727842 /nfs/dbraw/zinc/72/78/42/1074727842.db2.gz FYBOKNXVCRVHBH-LHSJRXKWSA-N 0 0 445.451 -0.116 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4F)C3=O)CC2)NC(=O)NC1=O ZINC000558312482 1074727939 /nfs/dbraw/zinc/72/79/39/1074727939.db2.gz FYBOKNXVCRVHBH-QKKBWIMNSA-N 0 0 445.451 -0.116 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4F)C3=O)CC2)NC(=O)NC1=O ZINC000558312485 1074727935 /nfs/dbraw/zinc/72/79/35/1074727935.db2.gz FYBOKNXVCRVHBH-SPLOXXLWSA-N 0 0 445.451 -0.116 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4F)C3=O)CC2)NC(=O)NC1=O ZINC000558312488 1074727969 /nfs/dbraw/zinc/72/79/69/1074727969.db2.gz FYBOKNXVCRVHBH-SZNDQCEHSA-N 0 0 445.451 -0.116 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCc3c[nH]nc3C2)c1 ZINC000558312517 1074727922 /nfs/dbraw/zinc/72/79/22/1074727922.db2.gz IIFSNDNGLAJNFG-HNNXBMFYSA-N 0 0 435.506 -0.376 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCc3c[nH]nc3C2)c1 ZINC000558312525 1074727891 /nfs/dbraw/zinc/72/78/91/1074727891.db2.gz IIFSNDNGLAJNFG-OAHLLOKOSA-N 0 0 435.506 -0.376 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@H]2CCC[C@H]2O)c1 ZINC000558312627 1074727961 /nfs/dbraw/zinc/72/79/61/1074727961.db2.gz VNAXOAHQCVAZMI-NVXWUHKLSA-N 0 0 427.523 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@@H]2CCC[C@@H]2O)c1 ZINC000558312629 1074727901 /nfs/dbraw/zinc/72/79/01/1074727901.db2.gz VNAXOAHQCVAZMI-RDJZCZTQSA-N 0 0 427.523 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@H]2CCC[C@@H]2O)c1 ZINC000558312631 1074727928 /nfs/dbraw/zinc/72/79/28/1074727928.db2.gz VNAXOAHQCVAZMI-WBVHZDCISA-N 0 0 427.523 -0.153 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1)NC1CC1 ZINC000558312831 1074727528 /nfs/dbraw/zinc/72/75/28/1074727528.db2.gz INZVTSVTNZAVFX-INIZCTEOSA-N 0 0 431.468 -0.530 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1)NC1CC1 ZINC000558312832 1074727657 /nfs/dbraw/zinc/72/76/57/1074727657.db2.gz INZVTSVTNZAVFX-MRXNPFEDSA-N 0 0 431.468 -0.530 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCCN(C(C)=O)CC2)c1 ZINC000558312846 1074727862 /nfs/dbraw/zinc/72/78/62/1074727862.db2.gz ISCIKLLZHUXRDH-UHFFFAOYSA-N 0 0 440.522 -0.692 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4ccccc4F)C3=O)C2=O)cn1 ZINC000558313884 1074727931 /nfs/dbraw/zinc/72/79/31/1074727931.db2.gz YTNHJZKLOWOLDI-CABCVRRESA-N 0 0 428.424 -0.298 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4ccccc4F)C3=O)C2=O)cn1 ZINC000558313887 1074727956 /nfs/dbraw/zinc/72/79/56/1074727956.db2.gz YTNHJZKLOWOLDI-GJZGRUSLSA-N 0 0 428.424 -0.298 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4ccccc4F)C3=O)C2=O)cn1 ZINC000558313890 1074727965 /nfs/dbraw/zinc/72/79/65/1074727965.db2.gz YTNHJZKLOWOLDI-HUUCEWRRSA-N 0 0 428.424 -0.298 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4ccccc4F)C3=O)C2=O)cn1 ZINC000558313891 1074727947 /nfs/dbraw/zinc/72/79/47/1074727947.db2.gz YTNHJZKLOWOLDI-LSDHHAIUSA-N 0 0 428.424 -0.298 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000558314626 1074727881 /nfs/dbraw/zinc/72/78/81/1074727881.db2.gz BRJDWTWGNACVRF-UHFFFAOYSA-N 0 0 440.526 -0.433 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)NCCOCCS(N)(=O)=O ZINC000558315771 1074727973 /nfs/dbraw/zinc/72/79/73/1074727973.db2.gz JSMNGPHAMSIAAM-UHFFFAOYSA-N 0 0 430.483 -0.406 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3C)CC2)c1 ZINC000558315917 1074727870 /nfs/dbraw/zinc/72/78/70/1074727870.db2.gz LLFVATPRTGHPMU-DOTOQJQBSA-N 0 0 429.564 -0.004 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(C(=O)NCCC(N)=O)cc3)c2c(=O)n(C)c1=O ZINC000558323527 1074727951 /nfs/dbraw/zinc/72/79/51/1074727951.db2.gz NJVDQGGHMBCCMR-UHFFFAOYSA-N 0 0 441.448 -0.932 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)CC1 ZINC000558327285 1074728288 /nfs/dbraw/zinc/72/82/88/1074728288.db2.gz BHSRMQPJNJXVTH-INIZCTEOSA-N 0 0 445.586 -0.377 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)CC1 ZINC000558327286 1074728261 /nfs/dbraw/zinc/72/82/61/1074728261.db2.gz BHSRMQPJNJXVTH-MRXNPFEDSA-N 0 0 445.586 -0.377 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000558328244 1074728279 /nfs/dbraw/zinc/72/82/79/1074728279.db2.gz QTGISJDABDFFMO-INIZCTEOSA-N 0 0 447.540 -0.644 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000558328245 1074728196 /nfs/dbraw/zinc/72/81/96/1074728196.db2.gz QTGISJDABDFFMO-MRXNPFEDSA-N 0 0 447.540 -0.644 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2)ncn1 ZINC000558328768 1074728233 /nfs/dbraw/zinc/72/82/33/1074728233.db2.gz ASDOATPHFGLNRB-INIZCTEOSA-N 0 0 431.541 -0.468 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2)ncn1 ZINC000558328773 1074728250 /nfs/dbraw/zinc/72/82/50/1074728250.db2.gz ASDOATPHFGLNRB-MRXNPFEDSA-N 0 0 431.541 -0.468 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)CC2)cs1 ZINC000558329586 1074728205 /nfs/dbraw/zinc/72/82/05/1074728205.db2.gz ZGSREGFAPDLXBW-CYBMUJFWSA-N 0 0 443.551 -0.590 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)CC2)cs1 ZINC000558329588 1074728240 /nfs/dbraw/zinc/72/82/40/1074728240.db2.gz ZGSREGFAPDLXBW-ZDUSSCGKSA-N 0 0 443.551 -0.590 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)CCCC1 ZINC000558329830 1074728271 /nfs/dbraw/zinc/72/82/71/1074728271.db2.gz XVTISJADCRAHNO-HNNXBMFYSA-N 0 0 428.555 -0.222 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)CCCC1 ZINC000558329836 1074728222 /nfs/dbraw/zinc/72/82/22/1074728222.db2.gz XVTISJADCRAHNO-OAHLLOKOSA-N 0 0 428.555 -0.222 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)CC1 ZINC000558329968 1074728217 /nfs/dbraw/zinc/72/82/17/1074728217.db2.gz BJYLNTYDSQOIOG-HNNXBMFYSA-N 0 0 432.543 -0.989 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)CC1 ZINC000558329969 1074728129 /nfs/dbraw/zinc/72/81/29/1074728129.db2.gz BJYLNTYDSQOIOG-OAHLLOKOSA-N 0 0 432.543 -0.989 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(CC)ncn3)C2)C1 ZINC000558330701 1074728581 /nfs/dbraw/zinc/72/85/81/1074728581.db2.gz VPXDGWCDQVMHGR-CVEARBPZSA-N 0 0 438.554 -0.336 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(CC)ncn3)C2)C1 ZINC000558330711 1074728500 /nfs/dbraw/zinc/72/85/00/1074728500.db2.gz VPXDGWCDQVMHGR-HOTGVXAUSA-N 0 0 438.554 -0.336 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(CC)ncn3)C2)C1 ZINC000558330713 1074728573 /nfs/dbraw/zinc/72/85/73/1074728573.db2.gz VPXDGWCDQVMHGR-HZPDHXFCSA-N 0 0 438.554 -0.336 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(CC)ncn3)C2)C1 ZINC000558330715 1074728655 /nfs/dbraw/zinc/72/86/55/1074728655.db2.gz VPXDGWCDQVMHGR-JKSUJKDBSA-N 0 0 438.554 -0.336 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)C1=O ZINC000558331072 1074728614 /nfs/dbraw/zinc/72/86/14/1074728614.db2.gz WIYJSJDCBZNDRM-FGTMMUONSA-N 0 0 435.569 -0.239 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)C1=O ZINC000558331073 1074728511 /nfs/dbraw/zinc/72/85/11/1074728511.db2.gz WIYJSJDCBZNDRM-KSZLIROESA-N 0 0 435.569 -0.239 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)C1=O ZINC000558331074 1074728648 /nfs/dbraw/zinc/72/86/48/1074728648.db2.gz WIYJSJDCBZNDRM-KURKYZTESA-N 0 0 435.569 -0.239 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)C1=O ZINC000558331075 1074728664 /nfs/dbraw/zinc/72/86/64/1074728664.db2.gz WIYJSJDCBZNDRM-KZNAEPCWSA-N 0 0 435.569 -0.239 20 0 IBADRN COc1cccc(Cl)c1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000558331420 1074728551 /nfs/dbraw/zinc/72/85/51/1074728551.db2.gz ZSCJZLRMWOBOEB-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1=O ZINC000558332153 1074728489 /nfs/dbraw/zinc/72/84/89/1074728489.db2.gz PTYPDDDQLKKATL-INIZCTEOSA-N 0 0 434.518 -0.285 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1=O ZINC000558332154 1074728543 /nfs/dbraw/zinc/72/85/43/1074728543.db2.gz PTYPDDDQLKKATL-MRXNPFEDSA-N 0 0 434.518 -0.285 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCC3(C(=O)N(C)C)CCCC3)CC2)n1 ZINC000558332180 1074728563 /nfs/dbraw/zinc/72/85/63/1074728563.db2.gz QJSVCDFFYDOMAW-UHFFFAOYSA-N 0 0 436.513 -0.375 20 0 IBADRN CC[C@@H]1CCCC[N@@H+]1CCNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000558337070 1074729118 /nfs/dbraw/zinc/72/91/18/1074729118.db2.gz HMTRNMVRVALVFZ-QGZVFWFLSA-N 0 0 434.541 0.446 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(S(=O)(=O)CC)CC3)C2)ncn1 ZINC000558338142 1074729036 /nfs/dbraw/zinc/72/90/36/1074729036.db2.gz MKLNVDACPWDFPI-INIZCTEOSA-N 0 0 438.554 -0.382 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(S(=O)(=O)CC)CC3)C2)ncn1 ZINC000558338143 1074728927 /nfs/dbraw/zinc/72/89/27/1074728927.db2.gz MKLNVDACPWDFPI-MRXNPFEDSA-N 0 0 438.554 -0.382 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)[C@@H]1CO ZINC000558338989 1074729358 /nfs/dbraw/zinc/72/93/58/1074729358.db2.gz IXKDAAKAWRMJNI-BRWVUGGUSA-N 0 0 432.525 -0.373 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)[C@H]1CO ZINC000558339002 1074729418 /nfs/dbraw/zinc/72/94/18/1074729418.db2.gz IXKDAAKAWRMJNI-IKGGRYGDSA-N 0 0 432.525 -0.373 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)[C@@H]1CO ZINC000558339004 1074729623 /nfs/dbraw/zinc/72/96/23/1074729623.db2.gz IXKDAAKAWRMJNI-IXDOHACOSA-N 0 0 432.525 -0.373 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)[C@H]1CO ZINC000558339006 1074729325 /nfs/dbraw/zinc/72/93/25/1074729325.db2.gz IXKDAAKAWRMJNI-ZACQAIPSSA-N 0 0 432.525 -0.373 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC[C@@H](c3nc(N(C)C)no3)C1)CC2 ZINC000558342091 1074729503 /nfs/dbraw/zinc/72/95/03/1074729503.db2.gz FBWDNSSAIJIRGV-CHWSQXEVSA-N 0 0 432.485 -0.289 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCC[C@@H](c3nc(N(C)C)no3)C1)CC2 ZINC000558342097 1074729452 /nfs/dbraw/zinc/72/94/52/1074729452.db2.gz FBWDNSSAIJIRGV-OLZOCXBDSA-N 0 0 432.485 -0.289 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC[C@H](c3nc(N(C)C)no3)C1)CC2 ZINC000558342100 1074729564 /nfs/dbraw/zinc/72/95/64/1074729564.db2.gz FBWDNSSAIJIRGV-QWHCGFSZSA-N 0 0 432.485 -0.289 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCC[C@H](c3nc(N(C)C)no3)C1)CC2 ZINC000558342103 1074729534 /nfs/dbraw/zinc/72/95/34/1074729534.db2.gz FBWDNSSAIJIRGV-STQMWFEESA-N 0 0 432.485 -0.289 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)CCCOCc2ccccc2)CC1)N1CCOCC1 ZINC000558343154 1074729461 /nfs/dbraw/zinc/72/94/61/1074729461.db2.gz KKFCEGFIXZXGSO-UHFFFAOYSA-N 0 0 439.534 -0.074 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)C2)ncn1 ZINC000558344288 1074729470 /nfs/dbraw/zinc/72/94/70/1074729470.db2.gz SCCOPFXBWQHWPP-GOSISDBHSA-N 0 0 445.568 -0.207 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)C2)ncn1 ZINC000558344290 1074729583 /nfs/dbraw/zinc/72/95/83/1074729583.db2.gz SCCOPFXBWQHWPP-SFHVURJKSA-N 0 0 445.568 -0.207 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CN(C)NC3=O)CC2)cc1OC ZINC000558353362 1074729441 /nfs/dbraw/zinc/72/94/41/1074729441.db2.gz YXMCAPINVFLOGF-AWEZNQCLSA-N 0 0 426.495 -0.434 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CN(C)NC3=O)CC2)cc1OC ZINC000558353367 1074729525 /nfs/dbraw/zinc/72/95/25/1074729525.db2.gz YXMCAPINVFLOGF-CQSZACIVSA-N 0 0 426.495 -0.434 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)[C@@H]2CCOC2)CC1 ZINC000558358009 1074729964 /nfs/dbraw/zinc/72/99/64/1074729964.db2.gz GDXOBWWJSBYUHZ-AEFFLSMTSA-N 0 0 427.465 -0.762 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2ccccc2)[C@H]2CCOC2)CC1 ZINC000558358010 1074729909 /nfs/dbraw/zinc/72/99/09/1074729909.db2.gz GDXOBWWJSBYUHZ-FUHWJXTLSA-N 0 0 427.465 -0.762 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2ccccc2)[C@@H]2CCOC2)CC1 ZINC000558358011 1074730036 /nfs/dbraw/zinc/73/00/36/1074730036.db2.gz GDXOBWWJSBYUHZ-SJLPKXTDSA-N 0 0 427.465 -0.762 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)[C@H]2CCOC2)CC1 ZINC000558358012 1074729953 /nfs/dbraw/zinc/72/99/53/1074729953.db2.gz GDXOBWWJSBYUHZ-WMZOPIPTSA-N 0 0 427.465 -0.762 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2nc(CCN3C(=O)NC4(CCCCC4)C3=O)no2)CC1 ZINC000558358856 1074729851 /nfs/dbraw/zinc/72/98/51/1074729851.db2.gz XVPLMZXTESTCHU-UHFFFAOYSA-N 0 0 440.526 -0.056 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)c3cccs3)C2)n1 ZINC000558359620 1074729840 /nfs/dbraw/zinc/72/98/40/1074729840.db2.gz BVFGLCDKWMOCCU-GFCCVEGCSA-N 0 0 441.539 0.403 20 0 IBADRN O=C(N[C@@H](c1ccccc1)[C@@H]1CCOC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000558361027 1074730044 /nfs/dbraw/zinc/73/00/44/1074730044.db2.gz NPHQYSBQXKMLPQ-AEFFLSMTSA-N 0 0 428.489 -0.170 20 0 IBADRN O=C(N[C@H](c1ccccc1)[C@H]1CCOC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000558361038 1074729976 /nfs/dbraw/zinc/72/99/76/1074729976.db2.gz NPHQYSBQXKMLPQ-FUHWJXTLSA-N 0 0 428.489 -0.170 20 0 IBADRN O=C(N[C@H](c1ccccc1)[C@@H]1CCOC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000558361041 1074730030 /nfs/dbraw/zinc/73/00/30/1074730030.db2.gz NPHQYSBQXKMLPQ-SJLPKXTDSA-N 0 0 428.489 -0.170 20 0 IBADRN O=C(N[C@@H](c1ccccc1)[C@H]1CCOC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000558361044 1074729828 /nfs/dbraw/zinc/72/98/28/1074729828.db2.gz NPHQYSBQXKMLPQ-WMZOPIPTSA-N 0 0 428.489 -0.170 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCn3ccnc3C2)C1 ZINC000558361216 1074729988 /nfs/dbraw/zinc/72/99/88/1074729988.db2.gz BWFJDJODUQHUAH-CABCVRRESA-N 0 0 427.527 -0.787 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCn3ccnc3C2)C1 ZINC000558361229 1074730053 /nfs/dbraw/zinc/73/00/53/1074730053.db2.gz BWFJDJODUQHUAH-GJZGRUSLSA-N 0 0 427.527 -0.787 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCn3ccnc3C2)C1 ZINC000558361234 1074729885 /nfs/dbraw/zinc/72/98/85/1074729885.db2.gz BWFJDJODUQHUAH-HUUCEWRRSA-N 0 0 427.527 -0.787 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCn3ccnc3C2)C1 ZINC000558361238 1074730015 /nfs/dbraw/zinc/73/00/15/1074730015.db2.gz BWFJDJODUQHUAH-LSDHHAIUSA-N 0 0 427.527 -0.787 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000558366498 1074729862 /nfs/dbraw/zinc/72/98/62/1074729862.db2.gz XWTJVAVWRGFVQZ-INIZCTEOSA-N 0 0 426.539 -0.085 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCc4c[nH]nc4C3)CC2)cs1 ZINC000558367527 1074730066 /nfs/dbraw/zinc/73/00/66/1074730066.db2.gz IOYXIEPIVDVNDZ-CYBMUJFWSA-N 0 0 438.535 -0.319 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCc4c[nH]nc4C3)CC2)cs1 ZINC000558367528 1074730074 /nfs/dbraw/zinc/73/00/74/1074730074.db2.gz IOYXIEPIVDVNDZ-ZDUSSCGKSA-N 0 0 438.535 -0.319 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCCN2C(=O)c3ccccc3C2=O)n1)N1CCOCC1 ZINC000558368979 1074729925 /nfs/dbraw/zinc/72/99/25/1074729925.db2.gz BQUWWJPCSVBZRZ-UHFFFAOYSA-N 0 0 440.460 0.550 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000558369555 1073309253 /nfs/dbraw/zinc/30/92/53/1073309253.db2.gz FFPGJZOCVVODPR-AWEZNQCLSA-N 0 0 428.515 -0.370 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000558369565 1073309307 /nfs/dbraw/zinc/30/93/07/1073309307.db2.gz FFPGJZOCVVODPR-CQSZACIVSA-N 0 0 428.515 -0.370 20 0 IBADRN O=C(Cn1ccc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)n1)N1CCOCC1 ZINC000558371473 1073322760 /nfs/dbraw/zinc/32/27/60/1073322760.db2.gz UPQKHMVWAWIQCN-CQSZACIVSA-N 0 0 440.526 -0.180 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000558374257 1073313469 /nfs/dbraw/zinc/31/34/69/1073313469.db2.gz UOZIXAMIZFROIK-UHFFFAOYSA-N 0 0 430.465 0.188 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1)N1CCCCCC1 ZINC000558375129 1070969846 /nfs/dbraw/zinc/96/98/46/1070969846.db2.gz ZISRXVPYPSXVFK-RBUKOAKNSA-N 0 0 436.553 -0.060 20 0 IBADRN O=C(N[C@H]1CCc2c[nH]nc2C1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000558376043 1074729939 /nfs/dbraw/zinc/72/99/39/1074729939.db2.gz UQSYFKBITQMMFT-HNNXBMFYSA-N 0 0 429.502 -0.014 20 0 IBADRN O=C(N[C@@H]1CCc2c[nH]nc2C1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000558376044 1074730007 /nfs/dbraw/zinc/73/00/07/1074730007.db2.gz UQSYFKBITQMMFT-OAHLLOKOSA-N 0 0 429.502 -0.014 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(Cc2nc(CC(=O)NCCN3CCOCC3)no2)cc1 ZINC000558380586 1074730226 /nfs/dbraw/zinc/73/02/26/1074730226.db2.gz KYHAAJNUMQUJTQ-UHFFFAOYSA-N 0 0 437.522 -0.098 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@H](C)C1 ZINC000558380644 1074730248 /nfs/dbraw/zinc/73/02/48/1074730248.db2.gz SOSMIDQAJXSRBH-CQSZACIVSA-N 0 0 428.515 -0.370 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cn1 ZINC000558382060 1074730242 /nfs/dbraw/zinc/73/02/42/1074730242.db2.gz KONDRTBOQSOISY-CYBMUJFWSA-N 0 0 428.515 -0.276 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2csc(N3CCCC3)n2)C1 ZINC000558385697 1074730317 /nfs/dbraw/zinc/73/03/17/1074730317.db2.gz MCCMIEYQVGMNHU-AWEZNQCLSA-N 0 0 429.568 -0.058 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2csc(N3CCCC3)n2)C1 ZINC000558385700 1074730398 /nfs/dbraw/zinc/73/03/98/1074730398.db2.gz MCCMIEYQVGMNHU-CQSZACIVSA-N 0 0 429.568 -0.058 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cnn(CC(=O)NC3CCCCC3)c2)CC1 ZINC000558387382 1070969864 /nfs/dbraw/zinc/96/98/64/1070969864.db2.gz HWXIDHVCYIVXGJ-UHFFFAOYSA-N 0 0 426.543 -0.010 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000558387458 1074730367 /nfs/dbraw/zinc/73/03/67/1074730367.db2.gz KBCYCEVFPULDCX-UHFFFAOYSA-N 0 0 430.961 -0.275 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2)n(C)n1 ZINC000558390923 1074730438 /nfs/dbraw/zinc/73/04/38/1074730438.db2.gz CAWDMGFFXZUWCC-HUUCEWRRSA-N 0 0 426.543 -0.646 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2)n(C)n1 ZINC000558390924 1074730389 /nfs/dbraw/zinc/73/03/89/1074730389.db2.gz CAWDMGFFXZUWCC-LSDHHAIUSA-N 0 0 426.543 -0.646 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000558391720 1074730407 /nfs/dbraw/zinc/73/04/07/1074730407.db2.gz RJGIEUMXVGQLOA-CABCVRRESA-N 0 0 427.527 -0.523 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000558391721 1074730279 /nfs/dbraw/zinc/73/02/79/1074730279.db2.gz RJGIEUMXVGQLOA-HUUCEWRRSA-N 0 0 427.527 -0.523 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000558401696 1074730236 /nfs/dbraw/zinc/73/02/36/1074730236.db2.gz RDUQKYGJBGJAPI-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)Nc2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000558401781 1074730305 /nfs/dbraw/zinc/73/03/05/1074730305.db2.gz USVOHKFHIWMCGT-UHFFFAOYSA-N 0 0 428.467 -0.720 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000558403826 1074730349 /nfs/dbraw/zinc/73/03/49/1074730349.db2.gz LQIGSENUIJAXTL-KRWDZBQOSA-N 0 0 430.571 -0.655 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000558403827 1074730327 /nfs/dbraw/zinc/73/03/27/1074730327.db2.gz LQIGSENUIJAXTL-QGZVFWFLSA-N 0 0 430.571 -0.655 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000558407014 1074730677 /nfs/dbraw/zinc/73/06/77/1074730677.db2.gz ZRJNIKQWFBMWSJ-PMACEKPBSA-N 0 0 443.548 -0.508 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000558407015 1074730773 /nfs/dbraw/zinc/73/07/73/1074730773.db2.gz ZRJNIKQWFBMWSJ-VQTJNVASSA-N 0 0 443.548 -0.508 20 0 IBADRN COc1cccc2c1n[nH]c2C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000558409122 1074730663 /nfs/dbraw/zinc/73/06/63/1074730663.db2.gz OOJVQJVTCIXWKJ-CYBMUJFWSA-N 0 0 435.506 -0.367 20 0 IBADRN COc1cccc2c1n[nH]c2C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000558409123 1074730793 /nfs/dbraw/zinc/73/07/93/1074730793.db2.gz OOJVQJVTCIXWKJ-ZDUSSCGKSA-N 0 0 435.506 -0.367 20 0 IBADRN CCN(C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1)[C@H](C)CS(C)(=O)=O ZINC000558409748 1074730727 /nfs/dbraw/zinc/73/07/27/1074730727.db2.gz UJAYXDRIMJFZJO-CYBMUJFWSA-N 0 0 430.502 -0.045 20 0 IBADRN CCN(C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1)[C@@H](C)CS(C)(=O)=O ZINC000558409749 1074730820 /nfs/dbraw/zinc/73/08/20/1074730820.db2.gz UJAYXDRIMJFZJO-ZDUSSCGKSA-N 0 0 430.502 -0.045 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000558409928 1074730643 /nfs/dbraw/zinc/73/06/43/1074730643.db2.gz WLCIUHFENIRIKL-UHFFFAOYSA-N 0 0 435.500 -0.003 20 0 IBADRN CN(C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1)C1CCOCC1 ZINC000558410107 1074730811 /nfs/dbraw/zinc/73/08/11/1074730811.db2.gz WIRNLPIYFIKIGF-UHFFFAOYSA-N 0 0 438.550 -0.124 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCC[C@@]2(C)CO)CC1 ZINC000558410377 1074730781 /nfs/dbraw/zinc/73/07/81/1074730781.db2.gz WYBDTQATXHSSPT-FQEVSTJZSA-N 0 0 438.550 -0.388 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCC[C@]2(C)CO)CC1 ZINC000558410378 1074730801 /nfs/dbraw/zinc/73/08/01/1074730801.db2.gz WYBDTQATXHSSPT-HXUWFJFHSA-N 0 0 438.550 -0.388 20 0 IBADRN COCCN(C(=O)CN1CCN2CCOC[C@@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000558413730 1072161771 /nfs/dbraw/zinc/16/17/71/1072161771.db2.gz IQDHEDWQCZSQAP-HNNXBMFYSA-N 0 0 438.529 -0.817 20 0 IBADRN COCCN(C(=O)CN1CCN2CCOC[C@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000558413732 1072161767 /nfs/dbraw/zinc/16/17/67/1072161767.db2.gz IQDHEDWQCZSQAP-OAHLLOKOSA-N 0 0 438.529 -0.817 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCc3cn(CCOC)nc32)C1 ZINC000558414122 1074730718 /nfs/dbraw/zinc/73/07/18/1074730718.db2.gz NEDLMPOJXPVFEX-CGTJXYLNSA-N 0 0 438.525 -0.105 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCCc3cn(CCOC)nc32)C1 ZINC000558414124 1074730633 /nfs/dbraw/zinc/73/06/33/1074730633.db2.gz NEDLMPOJXPVFEX-JQHSSLGASA-N 0 0 438.525 -0.105 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCc3cn(CCOC)nc32)C1 ZINC000558414126 1072161777 /nfs/dbraw/zinc/16/17/77/1072161777.db2.gz NEDLMPOJXPVFEX-KBAYOESNSA-N 0 0 438.525 -0.105 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCCc3cn(CCOC)nc32)C1 ZINC000558414128 1072161738 /nfs/dbraw/zinc/16/17/38/1072161738.db2.gz NEDLMPOJXPVFEX-NXHRZFHOSA-N 0 0 438.525 -0.105 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(CCO)CC2)c1 ZINC000558419475 1072161752 /nfs/dbraw/zinc/16/17/52/1072161752.db2.gz YJRWMXORHIYCQM-HNNXBMFYSA-N 0 0 426.539 -0.021 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)N2CCN(CCO)CC2)c1 ZINC000558419486 1072161843 /nfs/dbraw/zinc/16/18/43/1072161843.db2.gz YJRWMXORHIYCQM-OAHLLOKOSA-N 0 0 426.539 -0.021 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1=O ZINC000558426309 1072161854 /nfs/dbraw/zinc/16/18/54/1072161854.db2.gz CURUZWVTFLDOQF-DLBZAZTESA-N 0 0 432.525 -0.305 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1=O ZINC000558426315 1072161870 /nfs/dbraw/zinc/16/18/70/1072161870.db2.gz CURUZWVTFLDOQF-IAGOWNOFSA-N 0 0 432.525 -0.305 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1=O ZINC000558426316 1072161795 /nfs/dbraw/zinc/16/17/95/1072161795.db2.gz CURUZWVTFLDOQF-IRXDYDNUSA-N 0 0 432.525 -0.305 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1=O ZINC000558426318 1072161819 /nfs/dbraw/zinc/16/18/19/1072161819.db2.gz CURUZWVTFLDOQF-SJORKVTESA-N 0 0 432.525 -0.305 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCS(=O)(=O)NC ZINC000558426733 1072161861 /nfs/dbraw/zinc/16/18/61/1072161861.db2.gz BKDRCCMJXHVSNB-UHFFFAOYSA-N 0 0 448.567 -0.700 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@](C)(O)C(=O)OC ZINC000558427757 1072161850 /nfs/dbraw/zinc/16/18/50/1072161850.db2.gz VGCDKPSWDQODTJ-IBGZPJMESA-N 0 0 443.522 -0.325 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@@](C)(O)C(=O)OC ZINC000558427759 1072161838 /nfs/dbraw/zinc/16/18/38/1072161838.db2.gz VGCDKPSWDQODTJ-LJQANCHMSA-N 0 0 443.522 -0.325 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@@](O)(C(N)=O)C1 ZINC000558428718 1072161852 /nfs/dbraw/zinc/16/18/52/1072161852.db2.gz VFZLRFFFGRBWKZ-IBGZPJMESA-N 0 0 440.522 -0.916 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@](O)(C(N)=O)C1 ZINC000558428719 1072161782 /nfs/dbraw/zinc/16/17/82/1072161782.db2.gz VFZLRFFFGRBWKZ-LJQANCHMSA-N 0 0 440.522 -0.916 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCO[C@@]3(CCO[C@@H]3C)C2)(N2CCOCC2)C1 ZINC000558428889 1072161875 /nfs/dbraw/zinc/16/18/75/1072161875.db2.gz AXHVOLUMGUENGH-CCQWQLPESA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCO[C@]3(CCO[C@H]3C)C2)(N2CCOCC2)C1 ZINC000558428890 1072161813 /nfs/dbraw/zinc/16/18/13/1072161813.db2.gz AXHVOLUMGUENGH-DPSCBSAHSA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCO[C@]3(CCO[C@@H]3C)C2)(N2CCOCC2)C1 ZINC000558428891 1070972206 /nfs/dbraw/zinc/97/22/06/1070972206.db2.gz AXHVOLUMGUENGH-KQRDDFEUSA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCO[C@@]3(CCO[C@H]3C)C2)(N2CCOCC2)C1 ZINC000558428892 1070972029 /nfs/dbraw/zinc/97/20/29/1070972029.db2.gz AXHVOLUMGUENGH-XFFDBYKISA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)Cc2cnc(N(C)C)nc2)(N2CCOCC2)C1 ZINC000558429134 1070972155 /nfs/dbraw/zinc/97/21/55/1070972155.db2.gz KYBAGOQJWVMLKV-UHFFFAOYSA-N 0 0 434.541 -0.113 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOC(F)F)CC2)C[C@H](C)O1 ZINC000558434098 1072161829 /nfs/dbraw/zinc/16/18/29/1072161829.db2.gz FJXVUJWNFVRNPI-BETUJISGSA-N 0 0 442.485 -0.770 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOC(F)F)CC2)C[C@@H](C)O1 ZINC000558434102 1072162494 /nfs/dbraw/zinc/16/24/94/1072162494.db2.gz FJXVUJWNFVRNPI-CHWSQXEVSA-N 0 0 442.485 -0.770 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOC(F)F)CC2)C[C@H](C)O1 ZINC000558434103 1072162498 /nfs/dbraw/zinc/16/24/98/1072162498.db2.gz FJXVUJWNFVRNPI-STQMWFEESA-N 0 0 442.485 -0.770 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@H]1N1CCOCC1 ZINC000558437889 1072162373 /nfs/dbraw/zinc/16/23/73/1072162373.db2.gz CXDKXGAVGDITMD-MYZZLAAOSA-N 0 0 432.525 -0.575 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@@H]1N1CCOCC1 ZINC000558437890 1072162339 /nfs/dbraw/zinc/16/23/39/1072162339.db2.gz CXDKXGAVGDITMD-NWGLXNPWSA-N 0 0 432.525 -0.575 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@@H]1N1CCOCC1 ZINC000558437891 1072162461 /nfs/dbraw/zinc/16/24/61/1072162461.db2.gz CXDKXGAVGDITMD-RUENVJTFSA-N 0 0 432.525 -0.575 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@H]1N1CCOCC1 ZINC000558437892 1072162318 /nfs/dbraw/zinc/16/23/18/1072162318.db2.gz CXDKXGAVGDITMD-WFEDQXDTSA-N 0 0 432.525 -0.575 20 0 IBADRN CCN(CC(=O)OC(C)(C)C)C1CC(NC(=O)C(=O)N2CC[C@H](NC(=O)NC)C2)C1 ZINC000558438417 1072162395 /nfs/dbraw/zinc/16/23/95/1072162395.db2.gz QDVHRPGXSQLJGO-NFOMZHRRSA-N 0 0 425.530 -0.173 20 0 IBADRN CCN(CC(=O)OC(C)(C)C)C1CC(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC)C2)C1 ZINC000558438418 1072162446 /nfs/dbraw/zinc/16/24/46/1072162446.db2.gz QDVHRPGXSQLJGO-WLYUNCDWSA-N 0 0 425.530 -0.173 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CC2)c1 ZINC000558438560 1072162466 /nfs/dbraw/zinc/16/24/66/1072162466.db2.gz WLCHELQGIKVDFM-APWZRJJASA-N 0 0 425.493 -0.092 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@H](CNS(C)(=O)=O)C3)C2)n(C)n1 ZINC000558441571 1072162501 /nfs/dbraw/zinc/16/25/01/1072162501.db2.gz CODPYHKNICRPLR-CVEARBPZSA-N 0 0 440.570 -0.399 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@@H](CNS(C)(=O)=O)C3)C2)n(C)n1 ZINC000558441572 1072162294 /nfs/dbraw/zinc/16/22/94/1072162294.db2.gz CODPYHKNICRPLR-HOTGVXAUSA-N 0 0 440.570 -0.399 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@H](CNS(C)(=O)=O)C3)C2)n(C)n1 ZINC000558441573 1072162429 /nfs/dbraw/zinc/16/24/29/1072162429.db2.gz CODPYHKNICRPLR-HZPDHXFCSA-N 0 0 440.570 -0.399 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@@H](CNS(C)(=O)=O)C3)C2)n(C)n1 ZINC000558441574 1072162476 /nfs/dbraw/zinc/16/24/76/1072162476.db2.gz CODPYHKNICRPLR-JKSUJKDBSA-N 0 0 440.570 -0.399 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)CC1 ZINC000558449364 1072162481 /nfs/dbraw/zinc/16/24/81/1072162481.db2.gz YOQBZSYWVNCNRA-UHFFFAOYSA-N 0 0 426.485 -0.325 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000558450268 1072162417 /nfs/dbraw/zinc/16/24/17/1072162417.db2.gz DIQZHELXVHSSMM-UHFFFAOYSA-N 0 0 433.508 -0.571 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)CC1 ZINC000558450563 1070972923 /nfs/dbraw/zinc/97/29/23/1070972923.db2.gz TVVZPPRVZIIALN-UHFFFAOYSA-N 0 0 444.558 -0.452 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000558458447 1072162383 /nfs/dbraw/zinc/16/23/83/1072162383.db2.gz HEPDRADLWFZGHY-MUUNZHRXSA-N 0 0 449.501 -0.175 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000558458450 1072162435 /nfs/dbraw/zinc/16/24/35/1072162435.db2.gz HEPDRADLWFZGHY-NDEPHWFRSA-N 0 0 449.501 -0.175 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)CC1 ZINC000558458955 1072162489 /nfs/dbraw/zinc/16/24/89/1072162489.db2.gz NYRPXMYHZVYENU-HHHXNRCGSA-N 0 0 427.548 -0.017 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)CC1 ZINC000558458956 1072162355 /nfs/dbraw/zinc/16/23/55/1072162355.db2.gz NYRPXMYHZVYENU-MHZLTWQESA-N 0 0 427.548 -0.017 20 0 IBADRN COC(=O)Cc1csc(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)n1 ZINC000558459798 1072163056 /nfs/dbraw/zinc/16/30/56/1072163056.db2.gz GBFPZCHFXHIRMW-UHFFFAOYSA-N 0 0 428.496 -0.473 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1S(N)(=O)=O ZINC000558460001 1072163089 /nfs/dbraw/zinc/16/30/89/1072163089.db2.gz KAIWKAUNRRRFSK-UHFFFAOYSA-N 0 0 442.523 -0.689 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000558460138 1072162991 /nfs/dbraw/zinc/16/29/91/1072162991.db2.gz MFMKXKGRFOHELJ-HNNXBMFYSA-N 0 0 447.583 -0.612 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000558460139 1072163023 /nfs/dbraw/zinc/16/30/23/1072163023.db2.gz MFMKXKGRFOHELJ-OAHLLOKOSA-N 0 0 447.583 -0.612 20 0 IBADRN O=c1onc(-c2ccccc2)n1C[C@@H](O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000558460270 1070972728 /nfs/dbraw/zinc/97/27/28/1070972728.db2.gz SPWGIRVNFLRDNG-HNNXBMFYSA-N 0 0 434.478 -0.406 20 0 IBADRN O=c1onc(-c2ccccc2)n1C[C@H](O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000558460271 1072162440 /nfs/dbraw/zinc/16/24/40/1072162440.db2.gz SPWGIRVNFLRDNG-OAHLLOKOSA-N 0 0 434.478 -0.406 20 0 IBADRN O=C(CN1CCN(C(=O)CNS(=O)(=O)c2cccnc2)CC1)NCc1ccccc1 ZINC000558475874 1072163074 /nfs/dbraw/zinc/16/30/74/1072163074.db2.gz XLJQDDNNOLSELO-UHFFFAOYSA-N 0 0 431.518 -0.180 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)C1 ZINC000558479943 1072162924 /nfs/dbraw/zinc/16/29/24/1072162924.db2.gz XTWXQLCWBPCKOH-AWEZNQCLSA-N 0 0 426.561 -0.680 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)C1 ZINC000558479944 1072162909 /nfs/dbraw/zinc/16/29/09/1072162909.db2.gz XTWXQLCWBPCKOH-CQSZACIVSA-N 0 0 426.561 -0.680 20 0 IBADRN COC[C@@](C)(O)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@](C)(O)COC)cc1 ZINC000558481540 1072162985 /nfs/dbraw/zinc/16/29/85/1072162985.db2.gz VTAGRCZWBHXNJX-HOTGVXAUSA-N 0 0 440.540 -0.962 20 0 IBADRN COC[C@](C)(O)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@](C)(O)COC)cc1 ZINC000558481551 1072163019 /nfs/dbraw/zinc/16/30/19/1072163019.db2.gz VTAGRCZWBHXNJX-HZPDHXFCSA-N 0 0 440.540 -0.962 20 0 IBADRN COC[C@](C)(O)CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@](C)(O)COC)cc1 ZINC000558481552 1072162955 /nfs/dbraw/zinc/16/29/55/1072162955.db2.gz VTAGRCZWBHXNJX-IYBDPMFKSA-N 0 0 440.540 -0.962 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000558482852 1072162973 /nfs/dbraw/zinc/16/29/73/1072162973.db2.gz QBNUKISHSJNTHL-UHFFFAOYSA-N 0 0 437.474 -0.616 20 0 IBADRN Cc1ccc(NC(=O)CN2CCCN(S(=O)(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000558483387 1072163064 /nfs/dbraw/zinc/16/30/64/1072163064.db2.gz NUIVBAPHQFOUFJ-UHFFFAOYSA-N 0 0 433.556 -0.605 20 0 IBADRN CCCCn1c2nc(CN3CCCN(S(=O)(=O)N(C)C)CC3)n(C)c2c(=O)[nH]c1=O ZINC000558484275 1072163009 /nfs/dbraw/zinc/16/30/09/1072163009.db2.gz WZLQWRSFEPDFEM-UHFFFAOYSA-N 0 0 441.558 -0.050 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000558485309 1072163029 /nfs/dbraw/zinc/16/30/29/1072163029.db2.gz WDYCKPJPUKKSDB-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000558486210 1072163727 /nfs/dbraw/zinc/16/37/27/1072163727.db2.gz IMOXCKXQHUSCHF-UHFFFAOYSA-N 0 0 433.494 -0.523 20 0 IBADRN CC(C)OCc1nc([C@H](C)NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)no1 ZINC000558487409 1070973459 /nfs/dbraw/zinc/97/34/59/1070973459.db2.gz ZYGFRHOCCFFSGN-INIZCTEOSA-N 0 0 438.529 -0.352 20 0 IBADRN CC(C)OCc1nc([C@@H](C)NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)no1 ZINC000558487410 1072163720 /nfs/dbraw/zinc/16/37/20/1072163720.db2.gz ZYGFRHOCCFFSGN-MRXNPFEDSA-N 0 0 438.529 -0.352 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC(N3CCNC3=O)CC2)cc1OC ZINC000558491454 1070974386 /nfs/dbraw/zinc/97/43/86/1070974386.db2.gz BGOHCSVSHBQPBF-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000558496145 1072163585 /nfs/dbraw/zinc/16/35/85/1072163585.db2.gz ITSCVULEPPMRLZ-UHFFFAOYSA-N 0 0 429.547 -0.439 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000558509705 1072163629 /nfs/dbraw/zinc/16/36/29/1072163629.db2.gz IUVURIDAKHLLFI-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000558516750 1072163678 /nfs/dbraw/zinc/16/36/78/1072163678.db2.gz HCSWDHAXWBZRGW-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)[C@H]1c1ccccc1 ZINC000558516997 1072163710 /nfs/dbraw/zinc/16/37/10/1072163710.db2.gz QFEUBVJYZNRRTM-OLMNPRSZSA-N 0 0 437.518 -0.263 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)[C@H]1c1ccccc1 ZINC000558516998 1072163669 /nfs/dbraw/zinc/16/36/69/1072163669.db2.gz QFEUBVJYZNRRTM-QGTPRVQTSA-N 0 0 437.518 -0.263 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C3CC3)n(C)n2)CC1 ZINC000558523009 1072163613 /nfs/dbraw/zinc/16/36/13/1072163613.db2.gz RUPLPHNXXAOGNX-UHFFFAOYSA-N 0 0 436.494 0.374 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000558524898 1072163713 /nfs/dbraw/zinc/16/37/13/1072163713.db2.gz SHERRNHEHAQUMP-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000558524902 1072163685 /nfs/dbraw/zinc/16/36/85/1072163685.db2.gz SHERRNHEHAQUMP-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1F ZINC000558525780 1072163573 /nfs/dbraw/zinc/16/35/73/1072163573.db2.gz AGJPVYXKDKODCE-UHFFFAOYSA-N 0 0 437.363 -0.953 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000558525843 1072163714 /nfs/dbraw/zinc/16/37/14/1072163714.db2.gz BWXQINCVGKUTOT-INIZCTEOSA-N 0 0 434.478 -0.422 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000558525848 1072163642 /nfs/dbraw/zinc/16/36/42/1072163642.db2.gz BWXQINCVGKUTOT-MRXNPFEDSA-N 0 0 434.478 -0.422 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CNS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000558526224 1072163560 /nfs/dbraw/zinc/16/35/60/1072163560.db2.gz LEZUTZRPJDHXQT-UHFFFAOYSA-N 0 0 430.914 -0.185 20 0 IBADRN Cc1nc(C2(NC(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CCCC2)no1 ZINC000558526823 1072163694 /nfs/dbraw/zinc/16/36/94/1072163694.db2.gz TZAUECLBETZVOP-UHFFFAOYSA-N 0 0 427.421 -0.152 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)C(=O)Nc2cc(C3CC3)n(C)n2)c1 ZINC000558532598 1072163703 /nfs/dbraw/zinc/16/37/03/1072163703.db2.gz PPCGFEBBZQHHRL-UHFFFAOYSA-N 0 0 434.478 -0.101 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c(OC)c1OC ZINC000558537072 1072164323 /nfs/dbraw/zinc/16/43/23/1072164323.db2.gz CNKLRWZFFHVFAA-UHFFFAOYSA-N 0 0 444.510 -0.008 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000558537461 1072164245 /nfs/dbraw/zinc/16/42/45/1072164245.db2.gz LZSNXQZIPVYBSU-UHFFFAOYSA-N 0 0 446.551 -0.322 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)CC1 ZINC000558537479 1072164331 /nfs/dbraw/zinc/16/43/31/1072164331.db2.gz MCPRKTATNIDIPA-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c(F)c1 ZINC000558538878 1072164341 /nfs/dbraw/zinc/16/43/41/1072164341.db2.gz QXZMDKIBRFUMFZ-UHFFFAOYSA-N 0 0 430.458 -0.109 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)CC1 ZINC000558539104 1072164349 /nfs/dbraw/zinc/16/43/49/1072164349.db2.gz VDVLCAPACZODRD-UHFFFAOYSA-N 0 0 425.467 -0.589 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000558539274 1072164299 /nfs/dbraw/zinc/16/42/99/1072164299.db2.gz ZKLMAMRPIWHGQL-UHFFFAOYSA-N 0 0 437.478 -0.808 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1 ZINC000558540871 1072164367 /nfs/dbraw/zinc/16/43/67/1072164367.db2.gz NQZFKJKUUDTJDJ-UHFFFAOYSA-N 0 0 426.904 -0.342 20 0 IBADRN COc1ccc(C(=O)N2CCCC2)cc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000558541133 1072164269 /nfs/dbraw/zinc/16/42/69/1072164269.db2.gz STRPEEPOIRAMRQ-UHFFFAOYSA-N 0 0 426.495 -0.123 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCN1CCO[C@@H](C)C1 ZINC000558541924 1072164336 /nfs/dbraw/zinc/16/43/36/1072164336.db2.gz SMGJFRJVBPRHDW-AWEZNQCLSA-N 0 0 443.522 -0.109 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCN1CCO[C@H](C)C1 ZINC000558541925 1072164309 /nfs/dbraw/zinc/16/43/09/1072164309.db2.gz SMGJFRJVBPRHDW-CQSZACIVSA-N 0 0 443.522 -0.109 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000558541931 1072164281 /nfs/dbraw/zinc/16/42/81/1072164281.db2.gz UWJNYGWEZBGNGU-BRWVUGGUSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000558541932 1072164354 /nfs/dbraw/zinc/16/43/54/1072164354.db2.gz UWJNYGWEZBGNGU-IKGGRYGDSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000558541933 1072164319 /nfs/dbraw/zinc/16/43/19/1072164319.db2.gz UWJNYGWEZBGNGU-IXDOHACOSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCO1 ZINC000558541934 1072164295 /nfs/dbraw/zinc/16/42/95/1072164295.db2.gz UWJNYGWEZBGNGU-ZACQAIPSSA-N 0 0 430.505 -0.141 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCc2ccc(OC)nc2OC)c1 ZINC000558542800 1072164720 /nfs/dbraw/zinc/16/47/20/1072164720.db2.gz BXUGWFNJHXEOAQ-UHFFFAOYSA-N 0 0 429.433 -0.171 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000558542950 1072164887 /nfs/dbraw/zinc/16/48/87/1072164887.db2.gz ZWBCLHYROQMOJE-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN COc1ccc(C[C@](C)(O)CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)cc1OC ZINC000558543179 1073344695 /nfs/dbraw/zinc/34/46/95/1073344695.db2.gz HRVKVYXOXJFPJX-NRFANRHFSA-N 0 0 447.492 0.037 20 0 IBADRN COc1ccc(C[C@@](C)(O)CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)cc1OC ZINC000558543180 1072164751 /nfs/dbraw/zinc/16/47/51/1072164751.db2.gz HRVKVYXOXJFPJX-OAQYLSRUSA-N 0 0 447.492 0.037 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc(OC)cc1OC ZINC000558544640 1072164774 /nfs/dbraw/zinc/16/47/74/1072164774.db2.gz LFWHFZTUSWKGGN-UHFFFAOYSA-N 0 0 431.467 -0.173 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCn2cncc2C1 ZINC000558544672 1072164761 /nfs/dbraw/zinc/16/47/61/1072164761.db2.gz NBCZCDLAVQZOTN-GOSISDBHSA-N 0 0 425.445 -0.122 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCn2cncc2C1 ZINC000558544673 1072164854 /nfs/dbraw/zinc/16/48/54/1072164854.db2.gz NBCZCDLAVQZOTN-SFHVURJKSA-N 0 0 425.445 -0.122 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000558546589 1072164682 /nfs/dbraw/zinc/16/46/82/1072164682.db2.gz AXYHOORQPICKTL-UHFFFAOYSA-N 0 0 433.490 -0.390 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)NCc2ccccn2)c1 ZINC000558547974 1072164812 /nfs/dbraw/zinc/16/48/12/1072164812.db2.gz AKWRHBPVBIGSFT-UHFFFAOYSA-N 0 0 433.490 -0.042 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCCOC)c2)C1 ZINC000558548177 1072164806 /nfs/dbraw/zinc/16/48/06/1072164806.db2.gz OWCHQCKXLDYLCH-HNNXBMFYSA-N 0 0 426.495 -0.459 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCCOC)c2)C1 ZINC000558548178 1072164795 /nfs/dbraw/zinc/16/47/95/1072164795.db2.gz OWCHQCKXLDYLCH-OAHLLOKOSA-N 0 0 426.495 -0.459 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000558551068 1072164829 /nfs/dbraw/zinc/16/48/29/1072164829.db2.gz LGBRDDSPRVBQFB-UHFFFAOYSA-N 0 0 441.496 -0.544 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)CNS(=O)(=O)c2ccc(Br)cc2)nn1 ZINC000558553871 1072164906 /nfs/dbraw/zinc/16/49/06/1072164906.db2.gz XMQVZTIFBSOIMK-UHFFFAOYSA-N 0 0 446.283 -0.192 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](CS(N)(=O)=O)C2)c(F)c1 ZINC000558555016 1072164700 /nfs/dbraw/zinc/16/47/00/1072164700.db2.gz WJPHWWYGDYXWCH-JTQLQIEISA-N 0 0 429.517 -0.114 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)c(F)c1 ZINC000558555017 1072164821 /nfs/dbraw/zinc/16/48/21/1072164821.db2.gz WJPHWWYGDYXWCH-SNVBAGLBSA-N 0 0 429.517 -0.114 20 0 IBADRN COc1ccc(CCNC(=O)CNS(=O)(=O)c2cccnc2)cc1S(N)(=O)=O ZINC000558556766 1072164897 /nfs/dbraw/zinc/16/48/97/1072164897.db2.gz UZXHBODBXYGJRR-UHFFFAOYSA-N 0 0 428.492 -0.625 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000558558259 1072164668 /nfs/dbraw/zinc/16/46/68/1072164668.db2.gz QVPYUFSZMUDQAG-UHFFFAOYSA-N 0 0 448.914 -0.731 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3ccc(OC)c(OC)c3)C2)nn1 ZINC000558560130 1072164781 /nfs/dbraw/zinc/16/47/81/1072164781.db2.gz SWZJTPPLVMELMP-UHFFFAOYSA-N 0 0 439.450 -0.556 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(Cc2nccn2S(=O)(=O)N(C)C)CC1 ZINC000558567048 1072164866 /nfs/dbraw/zinc/16/48/66/1072164866.db2.gz PYYCKNBAZJZRQE-UHFFFAOYSA-N 0 0 432.528 -0.351 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCc2ccccc2S(N)(=O)=O)cc1OC ZINC000558574593 1072165740 /nfs/dbraw/zinc/16/57/40/1072165740.db2.gz UOZMQADDDRIXQT-UHFFFAOYSA-N 0 0 443.503 -0.054 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000558587337 1072165495 /nfs/dbraw/zinc/16/54/95/1072165495.db2.gz ZDYSSZAVSRXCAQ-UHFFFAOYSA-N 0 0 439.581 -0.055 20 0 IBADRN CC(C)N(C)c1cnn([C@@H]2CCCN([C@H]3CC(=O)N(CCOCCO)C3=O)C2)c(=O)c1 ZINC000558588664 1072165757 /nfs/dbraw/zinc/16/57/57/1072165757.db2.gz HPSJQFHVJUPRJZ-AEFFLSMTSA-N 0 0 435.525 -0.139 20 0 IBADRN CC(C)N(C)c1cnn([C@H]2CCCN([C@@H]3CC(=O)N(CCOCCO)C3=O)C2)c(=O)c1 ZINC000558588666 1072165643 /nfs/dbraw/zinc/16/56/43/1072165643.db2.gz HPSJQFHVJUPRJZ-FUHWJXTLSA-N 0 0 435.525 -0.139 20 0 IBADRN CC(C)N(C)c1cnn([C@@H]2CCCN([C@@H]3CC(=O)N(CCOCCO)C3=O)C2)c(=O)c1 ZINC000558588667 1072165447 /nfs/dbraw/zinc/16/54/47/1072165447.db2.gz HPSJQFHVJUPRJZ-SJLPKXTDSA-N 0 0 435.525 -0.139 20 0 IBADRN CC(C)N(C)c1cnn([C@H]2CCCN([C@H]3CC(=O)N(CCOCCO)C3=O)C2)c(=O)c1 ZINC000558588668 1072165508 /nfs/dbraw/zinc/16/55/08/1072165508.db2.gz HPSJQFHVJUPRJZ-WMZOPIPTSA-N 0 0 435.525 -0.139 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000558598495 1072165699 /nfs/dbraw/zinc/16/56/99/1072165699.db2.gz BYRSCEXIEOZRJD-UHFFFAOYSA-N 0 0 448.567 -0.461 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000558609554 1072165772 /nfs/dbraw/zinc/16/57/72/1072165772.db2.gz RKKDPNHWCVBLFD-UHFFFAOYSA-N 0 0 425.577 -0.676 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)C1 ZINC000558613935 1072166239 /nfs/dbraw/zinc/16/62/39/1072166239.db2.gz WXOUTRXJHSNQBI-GFCCVEGCSA-N 0 0 426.513 -0.784 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)C1 ZINC000558613936 1072166273 /nfs/dbraw/zinc/16/62/73/1072166273.db2.gz WXOUTRXJHSNQBI-LBPRGKRZSA-N 0 0 426.513 -0.784 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)nn1 ZINC000558615808 1072166223 /nfs/dbraw/zinc/16/62/23/1072166223.db2.gz QUEYTVUSQHBEPM-HZPDHXFCSA-N 0 0 428.515 -0.426 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC000558617668 1072166281 /nfs/dbraw/zinc/16/62/81/1072166281.db2.gz GRNXBOYMRUYSFP-UHFFFAOYSA-N 0 0 438.494 -0.124 20 0 IBADRN CC(C)OCc1nc([C@H](C)NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)no1 ZINC000558621795 1072166270 /nfs/dbraw/zinc/16/62/70/1072166270.db2.gz IQQOIUBGWYMLSM-AWEZNQCLSA-N 0 0 438.485 -0.232 20 0 IBADRN CC(C)OCc1nc([C@@H](C)NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)no1 ZINC000558621796 1072166166 /nfs/dbraw/zinc/16/61/66/1072166166.db2.gz IQQOIUBGWYMLSM-CQSZACIVSA-N 0 0 438.485 -0.232 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)c1 ZINC000558633305 1072166315 /nfs/dbraw/zinc/16/63/15/1072166315.db2.gz QOCWEEJYIJRMEQ-CYBMUJFWSA-N 0 0 429.399 -0.146 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2)c1 ZINC000558633306 1072166147 /nfs/dbraw/zinc/16/61/47/1072166147.db2.gz QOCWEEJYIJRMEQ-ZDUSSCGKSA-N 0 0 429.399 -0.146 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC(C3CCOCC3)CC2)n1)N1CCOCC1 ZINC000558635892 1072166373 /nfs/dbraw/zinc/16/63/73/1072166373.db2.gz JOXLJAUXBAIRDS-UHFFFAOYSA-N 0 0 433.509 0.346 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)c1 ZINC000558641801 1072166261 /nfs/dbraw/zinc/16/62/61/1072166261.db2.gz CCXVOLLGOQZHDS-MSOLQXFVSA-N 0 0 445.520 -0.140 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)c1 ZINC000558641802 1072166343 /nfs/dbraw/zinc/16/63/43/1072166343.db2.gz CCXVOLLGOQZHDS-QZTJIDSGSA-N 0 0 445.520 -0.140 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)c1 ZINC000558641803 1072166200 /nfs/dbraw/zinc/16/62/00/1072166200.db2.gz CCXVOLLGOQZHDS-ROUUACIJSA-N 0 0 445.520 -0.140 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)c1 ZINC000558641804 1072166325 /nfs/dbraw/zinc/16/63/25/1072166325.db2.gz CCXVOLLGOQZHDS-ZWKOTPCHSA-N 0 0 445.520 -0.140 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c2ccccc2O1 ZINC000558647175 1072166296 /nfs/dbraw/zinc/16/62/96/1072166296.db2.gz VFLUNTNEDXQALY-IOASZLSFSA-N 0 0 439.490 -0.212 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c2ccccc2O1 ZINC000558647176 1072166391 /nfs/dbraw/zinc/16/63/91/1072166391.db2.gz VFLUNTNEDXQALY-WWGRRREGSA-N 0 0 439.490 -0.212 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c2ccccc2O1 ZINC000558647177 1072166184 /nfs/dbraw/zinc/16/61/84/1072166184.db2.gz VFLUNTNEDXQALY-XEZPLFJOSA-N 0 0 439.490 -0.212 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c2ccccc2O1 ZINC000558647178 1072167043 /nfs/dbraw/zinc/16/70/43/1072167043.db2.gz VFLUNTNEDXQALY-ZENOOKHLSA-N 0 0 439.490 -0.212 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)c1 ZINC000558647314 1072166400 /nfs/dbraw/zinc/16/64/00/1072166400.db2.gz XMSIGPGMVUBADW-UHFFFAOYSA-N 0 0 426.433 -0.696 20 0 IBADRN O=C(NCc1ccc2c(c1)CCS2(=O)=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000558677695 1072166964 /nfs/dbraw/zinc/16/69/64/1072166964.db2.gz UHVLCJIBRWWVJU-UHFFFAOYSA-N 0 0 434.518 -0.007 20 0 IBADRN COC(=O)CCc1csc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC000558677916 1072167021 /nfs/dbraw/zinc/16/70/21/1072167021.db2.gz VBBAALQTNURLBV-UHFFFAOYSA-N 0 0 437.482 -0.257 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)N(C)C)CC2)s1 ZINC000558682909 1072166821 /nfs/dbraw/zinc/16/68/21/1072166821.db2.gz UQDGHJGHJZQUEO-UHFFFAOYSA-N 0 0 431.540 -0.512 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000558707411 1072166991 /nfs/dbraw/zinc/16/69/91/1072166991.db2.gz RWRDQVYNLFUJKE-AWEZNQCLSA-N 0 0 437.478 -0.783 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000558707412 1072167038 /nfs/dbraw/zinc/16/70/38/1072167038.db2.gz RWRDQVYNLFUJKE-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1Cl ZINC000558708435 1072166868 /nfs/dbraw/zinc/16/68/68/1072166868.db2.gz USBMYZQPWZXUOY-UHFFFAOYSA-N 0 0 444.897 -0.869 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000558715901 1072167074 /nfs/dbraw/zinc/16/70/74/1072167074.db2.gz PRZSLSDLFQAUAF-UHFFFAOYSA-N 0 0 430.552 -0.337 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)s1 ZINC000558722938 1072167006 /nfs/dbraw/zinc/16/70/06/1072167006.db2.gz XOGOXARCARCMOH-KBPBESRZSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)s1 ZINC000558722941 1072166943 /nfs/dbraw/zinc/16/69/43/1072166943.db2.gz XOGOXARCARCMOH-KGLIPLIRSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)s1 ZINC000558722943 1072167047 /nfs/dbraw/zinc/16/70/47/1072167047.db2.gz XOGOXARCARCMOH-UONOGXRCSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)s1 ZINC000558722945 1072166849 /nfs/dbraw/zinc/16/68/49/1072166849.db2.gz XOGOXARCARCMOH-ZIAGYGMSSA-N 0 0 428.536 -0.068 20 0 IBADRN CCN1CCN(CC(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)C(=O)C1=O ZINC000558725625 1072167061 /nfs/dbraw/zinc/16/70/61/1072167061.db2.gz RKHDRRLGMKXXIY-UHFFFAOYSA-N 0 0 434.877 -0.436 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](c2nc(N(C)C)no2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000558730862 1072166918 /nfs/dbraw/zinc/16/69/18/1072166918.db2.gz OXLYWBYYKVBAOK-CABCVRRESA-N 0 0 429.543 -0.023 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](c2nc(N(C)C)no2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000558730863 1072167643 /nfs/dbraw/zinc/16/76/43/1072167643.db2.gz OXLYWBYYKVBAOK-GJZGRUSLSA-N 0 0 429.543 -0.023 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](c2nc(N(C)C)no2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000558730864 1072167502 /nfs/dbraw/zinc/16/75/02/1072167502.db2.gz OXLYWBYYKVBAOK-HUUCEWRRSA-N 0 0 429.543 -0.023 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](c2nc(N(C)C)no2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000558730865 1072167674 /nfs/dbraw/zinc/16/76/74/1072167674.db2.gz OXLYWBYYKVBAOK-LSDHHAIUSA-N 0 0 429.543 -0.023 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC(=O)N(CCOC)C2)o1 ZINC000558732216 1072167444 /nfs/dbraw/zinc/16/74/44/1072167444.db2.gz IPSUSHJAEUBKKJ-CYBMUJFWSA-N 0 0 443.478 -0.116 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC(=O)N(CCOC)C2)o1 ZINC000558732218 1072167538 /nfs/dbraw/zinc/16/75/38/1072167538.db2.gz IPSUSHJAEUBKKJ-ZDUSSCGKSA-N 0 0 443.478 -0.116 20 0 IBADRN COCCN1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1=O ZINC000558732238 1072167564 /nfs/dbraw/zinc/16/75/64/1072167564.db2.gz CNYDASTWURLPFS-UHFFFAOYSA-N 0 0 427.479 -0.396 20 0 IBADRN COCCN1CN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000558733624 1072167546 /nfs/dbraw/zinc/16/75/46/1072167546.db2.gz AWBZUQZSSZAMJB-UHFFFAOYSA-N 0 0 441.506 -0.467 20 0 IBADRN CCN(C(=O)CN1CC(=O)N(CCOC)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000558733876 1070982313 /nfs/dbraw/zinc/98/23/13/1070982313.db2.gz ILNMAJGQNPGJSF-UHFFFAOYSA-N 0 0 444.492 -0.320 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1=O ZINC000558733940 1072167574 /nfs/dbraw/zinc/16/75/74/1072167574.db2.gz KOXUABYFBXAIEX-UHFFFAOYSA-N 0 0 428.486 -0.593 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CC1=O ZINC000558734095 1072167604 /nfs/dbraw/zinc/16/76/04/1072167604.db2.gz MROVDJIUAIPZIX-UHFFFAOYSA-N 0 0 444.941 -0.079 20 0 IBADRN COCCN1CN([C@@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000558734836 1072167617 /nfs/dbraw/zinc/16/76/17/1072167617.db2.gz UTZNGEZKTSGVPI-HNNXBMFYSA-N 0 0 440.522 -0.217 20 0 IBADRN COCCN1CN([C@H](C)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000558734838 1072167466 /nfs/dbraw/zinc/16/74/66/1072167466.db2.gz UTZNGEZKTSGVPI-OAHLLOKOSA-N 0 0 440.522 -0.217 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1=O ZINC000558734839 1072167513 /nfs/dbraw/zinc/16/75/13/1072167513.db2.gz XGFWKJQTEZTORA-UHFFFAOYSA-N 0 0 428.486 -0.593 20 0 IBADRN COCCN1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1=O ZINC000558734943 1072167683 /nfs/dbraw/zinc/16/76/83/1072167683.db2.gz XFTNUQFVQJIDTF-UHFFFAOYSA-N 0 0 440.522 -0.928 20 0 IBADRN COCCN1CN(C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000558736359 1072167653 /nfs/dbraw/zinc/16/76/53/1072167653.db2.gz MKXOROSKWCAMQG-UHFFFAOYSA-N 0 0 442.494 -0.004 20 0 IBADRN CCCN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)[C@H]1CC(=O)N(C)C1=O ZINC000558737580 1072167489 /nfs/dbraw/zinc/16/74/89/1072167489.db2.gz FQMLMJFSPFDBSG-HNNXBMFYSA-N 0 0 441.488 -0.171 20 0 IBADRN CCCN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)[C@@H]1CC(=O)N(C)C1=O ZINC000558737581 1072167623 /nfs/dbraw/zinc/16/76/23/1072167623.db2.gz FQMLMJFSPFDBSG-OAHLLOKOSA-N 0 0 441.488 -0.171 20 0 IBADRN Nc1nccc([C@H]2CCCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2)n1 ZINC000558737741 1073361798 /nfs/dbraw/zinc/36/17/98/1073361798.db2.gz GOYBWJRNQDRBOR-KRWDZBQOSA-N 0 0 431.541 -0.759 20 0 IBADRN Nc1nccc([C@@H]2CCCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)C2)n1 ZINC000558737744 1073361408 /nfs/dbraw/zinc/36/14/08/1073361408.db2.gz GOYBWJRNQDRBOR-QGZVFWFLSA-N 0 0 431.541 -0.759 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CC(=O)N(CCOC)C3)nc2n(CC)c1=O ZINC000558743860 1072167587 /nfs/dbraw/zinc/16/75/87/1072167587.db2.gz LZUZHEKDOSYHHV-UHFFFAOYSA-N 0 0 432.481 0.231 20 0 IBADRN COCCN(C(=O)CN1C[C@@H]2COC[C@H](C1)O2)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000558744959 1072168183 /nfs/dbraw/zinc/16/81/83/1072168183.db2.gz ZYDFXEMFMHRRRV-OKILXGFUSA-N 0 0 425.486 -0.734 20 0 IBADRN COCCN1CN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000558745049 1072168150 /nfs/dbraw/zinc/16/81/50/1072168150.db2.gz XXNNLDMXNCTFHC-UHFFFAOYSA-N 0 0 427.479 -0.396 20 0 IBADRN O=C(CN1C[C@@H]2COC[C@H](C1)O2)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000558745282 1072168093 /nfs/dbraw/zinc/16/80/93/1072168093.db2.gz DGJUTQISWKSMSS-CALCHBBNSA-N 0 0 425.507 -0.577 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H]3COC[C@H](C2)O3)cc1S(=O)(=O)N1CCOCC1 ZINC000558745460 1072168247 /nfs/dbraw/zinc/16/82/47/1072168247.db2.gz IFOYOFIPWFFRQG-IYBDPMFKSA-N 0 0 441.506 -0.246 20 0 IBADRN COCCN1CN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CC1=O ZINC000558746409 1072168136 /nfs/dbraw/zinc/16/81/36/1072168136.db2.gz PPBVCSUMPCIMMB-UHFFFAOYSA-N 0 0 438.506 -0.572 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCCN(S(=O)(=O)C4CC4)CC3)cnc2n(C)c1=O ZINC000558752985 1072168169 /nfs/dbraw/zinc/16/81/69/1072168169.db2.gz CHUUEMIEOPHKMM-UHFFFAOYSA-N 0 0 436.494 -0.336 20 0 IBADRN Cc1nc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)sc1S(N)(=O)=O ZINC000558753493 1072168232 /nfs/dbraw/zinc/16/82/32/1072168232.db2.gz DCQWAIVVLMVGCO-GHMZBOCLSA-N 0 0 439.585 -0.425 20 0 IBADRN Cc1nc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)sc1S(N)(=O)=O ZINC000558753494 1070982956 /nfs/dbraw/zinc/98/29/56/1070982956.db2.gz DCQWAIVVLMVGCO-PHIMTYICSA-N 0 0 439.585 -0.425 20 0 IBADRN Cc1nc(N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)sc1S(N)(=O)=O ZINC000558753495 1072168243 /nfs/dbraw/zinc/16/82/43/1072168243.db2.gz DCQWAIVVLMVGCO-QWRGUYRKSA-N 0 0 439.585 -0.425 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000558758348 1072168060 /nfs/dbraw/zinc/16/80/60/1072168060.db2.gz FSQHJXLYTUJISY-LLVKDONJSA-N 0 0 426.538 -0.199 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC000558758354 1072168086 /nfs/dbraw/zinc/16/80/86/1072168086.db2.gz FSQHJXLYTUJISY-NSHDSACASA-N 0 0 426.538 -0.199 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000558758519 1072168216 /nfs/dbraw/zinc/16/82/16/1072168216.db2.gz BJOFQYYBVOMDGX-INIZCTEOSA-N 0 0 444.572 -0.878 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000558758520 1072168224 /nfs/dbraw/zinc/16/82/24/1072168224.db2.gz BJOFQYYBVOMDGX-MRXNPFEDSA-N 0 0 444.572 -0.878 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)n1 ZINC000558758892 1073305783 /nfs/dbraw/zinc/30/57/83/1073305783.db2.gz DCOHHYUXLVLGPK-UHFFFAOYSA-N 0 0 447.880 0.259 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000558759069 1072168035 /nfs/dbraw/zinc/16/80/35/1072168035.db2.gz KEODMWJQDQDVQY-UHFFFAOYSA-N 0 0 447.535 -0.542 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2Br)CCO1 ZINC000558759225 1072168123 /nfs/dbraw/zinc/16/81/23/1072168123.db2.gz GZUBQHDDPAQKSJ-LLVKDONJSA-N 0 0 441.325 -0.012 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2Br)CCO1 ZINC000558759231 1072168161 /nfs/dbraw/zinc/16/81/61/1072168161.db2.gz GZUBQHDDPAQKSJ-NSHDSACASA-N 0 0 441.325 -0.012 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000558759659 1073356136 /nfs/dbraw/zinc/35/61/36/1073356136.db2.gz MUGWFBFSZCDLSP-UHFFFAOYSA-N 0 0 433.537 0.043 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CN(C)CC(=O)NC(C)C)CC1 ZINC000558759823 1072168193 /nfs/dbraw/zinc/16/81/93/1072168193.db2.gz SRHJAXUYBJRBGV-UHFFFAOYSA-N 0 0 429.543 -0.029 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cncc(-n2ccnn2)c1 ZINC000558760983 1072168045 /nfs/dbraw/zinc/16/80/45/1072168045.db2.gz SCRCKQFTDXWHOR-UHFFFAOYSA-N 0 0 442.505 -0.061 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCc2ccc(S(=O)(=O)CCO)cc2)cc1 ZINC000558765572 1072168201 /nfs/dbraw/zinc/16/82/01/1072168201.db2.gz FWTMPPLEIUGXJE-UHFFFAOYSA-N 0 0 434.517 -0.422 20 0 IBADRN COCCN(C)S(=O)(=O)NCCC1CCN(S(=O)(=O)N(C)CCOC)CC1 ZINC000558776987 1072168108 /nfs/dbraw/zinc/16/81/08/1072168108.db2.gz MYVREAJOZMBRBI-UHFFFAOYSA-N 0 0 430.593 -0.676 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000558777816 1072168936 /nfs/dbraw/zinc/16/89/36/1072168936.db2.gz XPBJKVIIZVABKL-UHFFFAOYSA-N 0 0 443.613 -0.512 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCNS(=O)(=O)NC(C)C ZINC000558782748 1072168933 /nfs/dbraw/zinc/16/89/33/1072168933.db2.gz BPBZDWSJEUBOKH-UHFFFAOYSA-N 0 0 429.542 -0.791 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1ccc(S(C)(=O)=O)cc1Br ZINC000558784305 1071226715 /nfs/dbraw/zinc/22/67/15/1071226715.db2.gz SPYWDVSMNMUAMR-UHFFFAOYSA-N 0 0 435.343 -0.320 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000558785462 1072168840 /nfs/dbraw/zinc/16/88/40/1072168840.db2.gz PRTMCJOOJWHYBZ-UHFFFAOYSA-N 0 0 428.573 -0.114 20 0 IBADRN Cc1nc(N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)sc1S(N)(=O)=O ZINC000558785765 1072168968 /nfs/dbraw/zinc/16/89/68/1072168968.db2.gz HYRQKFTUIYYDSF-UHFFFAOYSA-N 0 0 435.509 -0.698 20 0 IBADRN Cc1nc(NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)sc1S(N)(=O)=O ZINC000558786588 1072168950 /nfs/dbraw/zinc/16/89/50/1072168950.db2.gz ZFLUWOBGVYPGNK-UHFFFAOYSA-N 0 0 446.580 0.058 20 0 IBADRN COc1cc(N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)ccc1F ZINC000558790426 1072168883 /nfs/dbraw/zinc/16/88/83/1072168883.db2.gz CNSRQTKPVUJZPA-UHFFFAOYSA-N 0 0 430.440 -0.070 20 0 IBADRN COc1nnc(NC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)s1 ZINC000558796118 1072168763 /nfs/dbraw/zinc/16/87/63/1072168763.db2.gz HVMJIHMFSFYPHF-UHFFFAOYSA-N 0 0 427.464 0.513 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000558802130 1072168863 /nfs/dbraw/zinc/16/88/63/1072168863.db2.gz VBHPNXPYKSWMEF-UHFFFAOYSA-N 0 0 429.543 -0.723 20 0 IBADRN CCc1oc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1C(=O)OC ZINC000558818430 1072168798 /nfs/dbraw/zinc/16/87/98/1072168798.db2.gz AQPFBSXYYWNPMV-UHFFFAOYSA-N 0 0 428.511 -0.121 20 0 IBADRN Cn1cnn(CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)c1=O ZINC000558826916 1072168752 /nfs/dbraw/zinc/16/87/52/1072168752.db2.gz PQOIRQINSOWIEK-UHFFFAOYSA-N 0 0 433.412 -0.078 20 0 IBADRN CCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000558828106 1072168903 /nfs/dbraw/zinc/16/89/03/1072168903.db2.gz FBFMDKGIMUPJQE-UHFFFAOYSA-N 0 0 436.480 -0.132 20 0 IBADRN CCn1c([C@@H]2COCCO2)nnc1N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000558829700 1072168816 /nfs/dbraw/zinc/16/88/16/1072168816.db2.gz ZPHLHOGICPOXRX-JYJNAYRXSA-N 0 0 444.558 -0.138 20 0 IBADRN CCn1c([C@@H]2COCCO2)nnc1N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000558829705 1072168775 /nfs/dbraw/zinc/16/87/75/1072168775.db2.gz ZPHLHOGICPOXRX-OAGGEKHMSA-N 0 0 444.558 -0.138 20 0 IBADRN CCn1c([C@H]2COCCO2)nnc1N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000558829706 1072168740 /nfs/dbraw/zinc/16/87/40/1072168740.db2.gz ZPHLHOGICPOXRX-OWCLPIDISA-N 0 0 444.558 -0.138 20 0 IBADRN CCn1c([C@@H]2COCCO2)nnc1N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000558829708 1072169606 /nfs/dbraw/zinc/16/96/06/1072169606.db2.gz ZPHLHOGICPOXRX-XHSDSOJGSA-N 0 0 444.558 -0.138 20 0 IBADRN COC(CNC(=O)c1cncc(-n2ccnn2)c1)CNC(=O)c1cncc(-n2ccnn2)c1 ZINC000558832129 1072169543 /nfs/dbraw/zinc/16/95/43/1072169543.db2.gz ZFLAESKUVFJQOB-UHFFFAOYSA-N 0 0 448.447 -0.187 20 0 IBADRN CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000558839179 1072169450 /nfs/dbraw/zinc/16/94/50/1072169450.db2.gz UXBIUQVMIKDYIR-UHFFFAOYSA-N 0 0 428.497 -0.074 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000558839910 1072169499 /nfs/dbraw/zinc/16/94/99/1072169499.db2.gz UVQGUFCEAGTETP-AWEZNQCLSA-N 0 0 438.462 -0.945 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(N3C(=O)COCC3=O)c2)C1 ZINC000558839913 1072169483 /nfs/dbraw/zinc/16/94/83/1072169483.db2.gz UVQGUFCEAGTETP-CQSZACIVSA-N 0 0 438.462 -0.945 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCc2c1cc(F)cc2F)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000558840061 1072169397 /nfs/dbraw/zinc/16/93/97/1072169397.db2.gz CDTJBRRTTNPPHX-GHMZBOCLSA-N 0 0 429.445 -0.091 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCc2c1cc(F)cc2F)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000558840064 1072169569 /nfs/dbraw/zinc/16/95/69/1072169569.db2.gz CDTJBRRTTNPPHX-MNOVXSKESA-N 0 0 429.445 -0.091 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCc2c1cc(F)cc2F)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000558840065 1072169428 /nfs/dbraw/zinc/16/94/28/1072169428.db2.gz CDTJBRRTTNPPHX-QWRGUYRKSA-N 0 0 429.445 -0.091 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCc2c1cc(F)cc2F)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000558840066 1072169558 /nfs/dbraw/zinc/16/95/58/1072169558.db2.gz CDTJBRRTTNPPHX-WDEREUQCSA-N 0 0 429.445 -0.091 20 0 IBADRN CCc1oc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1C(=O)OC ZINC000558849435 1072169393 /nfs/dbraw/zinc/16/93/93/1072169393.db2.gz UWLXYLGCNOJJHA-CYBMUJFWSA-N 0 0 441.506 -0.310 20 0 IBADRN CCc1oc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1C(=O)OC ZINC000558849436 1072169376 /nfs/dbraw/zinc/16/93/76/1072169376.db2.gz UWLXYLGCNOJJHA-ZDUSSCGKSA-N 0 0 441.506 -0.310 20 0 IBADRN CCn1c(-c2cccc(S(=O)(=O)N(C)C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000558858271 1072169465 /nfs/dbraw/zinc/16/94/65/1072169465.db2.gz UTRBBYFZAPRGTD-AWEZNQCLSA-N 0 0 447.521 -0.638 20 0 IBADRN CCn1c(-c2cccc(S(=O)(=O)N(C)C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000558858272 1072169533 /nfs/dbraw/zinc/16/95/33/1072169533.db2.gz UTRBBYFZAPRGTD-CQSZACIVSA-N 0 0 447.521 -0.638 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000558862297 1072169359 /nfs/dbraw/zinc/16/93/59/1072169359.db2.gz RAGAHHNTQJHFLB-UHFFFAOYSA-N 0 0 443.614 -0.455 20 0 IBADRN COCCN(C(=O)CN(CCNC(C)=O)C1CCSCC1)[C@H]1CCS(=O)(=O)C1 ZINC000558864690 1072169413 /nfs/dbraw/zinc/16/94/13/1072169413.db2.gz ZYSACVSAQVCHAW-KRWDZBQOSA-N 0 0 435.612 -0.018 20 0 IBADRN COCCN(C(=O)CN(CCNC(C)=O)C1CCSCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000558864692 1072169438 /nfs/dbraw/zinc/16/94/38/1072169438.db2.gz ZYSACVSAQVCHAW-QGZVFWFLSA-N 0 0 435.612 -0.018 20 0 IBADRN CCn1c(CN2CCN(C(=O)c3ccccc3)CC2)nnc1N1CCO[C@H](C(N)=O)C1 ZINC000558872014 1072169524 /nfs/dbraw/zinc/16/95/24/1072169524.db2.gz BYRHNOXLQQDWOW-KRWDZBQOSA-N 0 0 427.509 -0.054 20 0 IBADRN CCn1c(CN2CCN(C(=O)c3ccccc3)CC2)nnc1N1CCO[C@@H](C(N)=O)C1 ZINC000558872019 1072170217 /nfs/dbraw/zinc/17/02/17/1072170217.db2.gz BYRHNOXLQQDWOW-QGZVFWFLSA-N 0 0 427.509 -0.054 20 0 IBADRN C[C@@](O)(CO)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000558873008 1072170304 /nfs/dbraw/zinc/17/03/04/1072170304.db2.gz PGZOGKMNFCRZPJ-IBGZPJMESA-N 0 0 443.522 -0.983 20 0 IBADRN C[C@](O)(CO)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000558873010 1072170313 /nfs/dbraw/zinc/17/03/13/1072170313.db2.gz PGZOGKMNFCRZPJ-LJQANCHMSA-N 0 0 443.522 -0.983 20 0 IBADRN CCn1c(-c2ccc(S(C)(=O)=O)cc2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000558875753 1072170316 /nfs/dbraw/zinc/17/03/16/1072170316.db2.gz AQPRDCYQWHZEBQ-UHFFFAOYSA-N 0 0 445.509 -0.296 20 0 IBADRN C[C@](O)(CO)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000558875997 1072170227 /nfs/dbraw/zinc/17/02/27/1072170227.db2.gz ZYDOBNMVXNDLIG-GOSISDBHSA-N 0 0 431.486 -0.702 20 0 IBADRN C[C@@](O)(CO)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000558875998 1072170340 /nfs/dbraw/zinc/17/03/40/1072170340.db2.gz ZYDOBNMVXNDLIG-SFHVURJKSA-N 0 0 431.486 -0.702 20 0 IBADRN CCn1c(-c2csc(N3CCOCC3)n2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000558877960 1072170348 /nfs/dbraw/zinc/17/03/48/1072170348.db2.gz ZCHNLZWWKVTAPG-GFCCVEGCSA-N 0 0 432.510 -0.595 20 0 IBADRN CCn1c(-c2csc(N3CCOCC3)n2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000558877971 1072170296 /nfs/dbraw/zinc/17/02/96/1072170296.db2.gz ZCHNLZWWKVTAPG-LBPRGKRZSA-N 0 0 432.510 -0.595 20 0 IBADRN CCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000558878291 1072170261 /nfs/dbraw/zinc/17/02/61/1072170261.db2.gz JDOIQXISIGEYBM-UHFFFAOYSA-N 0 0 449.479 -0.453 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CC(C)(O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000558882588 1072170106 /nfs/dbraw/zinc/17/01/06/1072170106.db2.gz GLXPOZCLLTYNFJ-UHFFFAOYSA-N 0 0 425.486 -0.460 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000558884032 1072170246 /nfs/dbraw/zinc/17/02/46/1072170246.db2.gz IVQRGPNZIXQGOQ-UHFFFAOYSA-N 0 0 443.547 -0.209 20 0 IBADRN CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000558886804 1072170281 /nfs/dbraw/zinc/17/02/81/1072170281.db2.gz UISMLEGFALNKOY-UHFFFAOYSA-N 0 0 439.498 -0.361 20 0 IBADRN COCCO[C@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000558889026 1072170858 /nfs/dbraw/zinc/17/08/58/1072170858.db2.gz IIXZCAJVCYJSCR-KRWDZBQOSA-N 0 0 434.559 -0.135 20 0 IBADRN COCCO[C@@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000558889027 1072170887 /nfs/dbraw/zinc/17/08/87/1072170887.db2.gz IIXZCAJVCYJSCR-QGZVFWFLSA-N 0 0 434.559 -0.135 20 0 IBADRN COc1cc(N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC000558894618 1072171057 /nfs/dbraw/zinc/17/10/57/1072171057.db2.gz DIIWSHWIFGPXCM-CYBMUJFWSA-N 0 0 428.486 -0.031 20 0 IBADRN COc1cc(N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC000558894619 1072170684 /nfs/dbraw/zinc/17/06/84/1072170684.db2.gz DIIWSHWIFGPXCM-ZDUSSCGKSA-N 0 0 428.486 -0.031 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)C1 ZINC000558911526 1072170735 /nfs/dbraw/zinc/17/07/35/1072170735.db2.gz NIBXMUOIMJLFDM-CYBMUJFWSA-N 0 0 436.556 -0.222 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)C1 ZINC000558911533 1072170643 /nfs/dbraw/zinc/17/06/43/1072170643.db2.gz NIBXMUOIMJLFDM-ZDUSSCGKSA-N 0 0 436.556 -0.222 20 0 IBADRN O=C(CCC(=O)N1CCCN(S(=O)(=O)C2CC2)CC1)Nc1ccc2[nH]c(=O)[nH]c2n1 ZINC000558916380 1073319099 /nfs/dbraw/zinc/31/90/99/1073319099.db2.gz VDRIEZYSSAMPTQ-UHFFFAOYSA-N 0 0 436.494 0.409 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000558917239 1072170932 /nfs/dbraw/zinc/17/09/32/1072170932.db2.gz SVAOAKWCPPXLOZ-GOSISDBHSA-N 0 0 442.582 -0.274 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000558917240 1072171041 /nfs/dbraw/zinc/17/10/41/1072171041.db2.gz SVAOAKWCPPXLOZ-SFHVURJKSA-N 0 0 442.582 -0.274 20 0 IBADRN Cc1cc(C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000558918704 1072170798 /nfs/dbraw/zinc/17/07/98/1072170798.db2.gz NTKFVCWAVFDNHH-UHFFFAOYSA-N 0 0 435.506 -0.419 20 0 IBADRN COc1cc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)ccc1F ZINC000558924338 1072170971 /nfs/dbraw/zinc/17/09/71/1072170971.db2.gz SVXOODYCHGGRTR-UHFFFAOYSA-N 0 0 439.469 -0.112 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCc1ccccc1)N1CCCC1 ZINC000558948560 1072171015 /nfs/dbraw/zinc/17/10/15/1072171015.db2.gz ZVPFVDFGEMQJPF-KRWDZBQOSA-N 0 0 437.504 -0.096 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCc1ccccc1)N1CCCC1 ZINC000558948562 1072170997 /nfs/dbraw/zinc/17/09/97/1072170997.db2.gz ZVPFVDFGEMQJPF-QGZVFWFLSA-N 0 0 437.504 -0.096 20 0 IBADRN NC(=O)NC(=O)c1cccc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)c1 ZINC000558951491 1072170824 /nfs/dbraw/zinc/17/08/24/1072170824.db2.gz PPKJIOCNSZWSGV-UHFFFAOYSA-N 0 0 445.457 -0.132 20 0 IBADRN O=C(Nc1ccc(OC(F)F)nc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000558962363 1072171665 /nfs/dbraw/zinc/17/16/65/1072171665.db2.gz PYPPJFPRPRWUPY-UHFFFAOYSA-N 0 0 449.436 -0.657 20 0 IBADRN COC(=O)c1c(F)cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1F ZINC000558967544 1072171615 /nfs/dbraw/zinc/17/16/15/1072171615.db2.gz SLKRGPFPLKFICB-UHFFFAOYSA-N 0 0 438.387 -0.361 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(C(=O)COc3ccc(Cl)cc3)CC2)c1 ZINC000558967860 1072171545 /nfs/dbraw/zinc/17/15/45/1072171545.db2.gz YMKRSHONQCNCJC-UHFFFAOYSA-N 0 0 441.897 -0.066 20 0 IBADRN COC(=O)c1c(F)cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1F ZINC000558972011 1072171491 /nfs/dbraw/zinc/17/14/91/1072171491.db2.gz QHODJELEZXFHBS-UHFFFAOYSA-N 0 0 440.403 -0.115 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc(=O)[nH]c(N(C)C)n3)CC2)C[C@H](C)O1 ZINC000558976478 1072171508 /nfs/dbraw/zinc/17/15/08/1072171508.db2.gz IFUGUGBTVOFMOK-BETUJISGSA-N 0 0 428.515 -0.640 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc(=O)[nH]c(N(C)C)n3)CC2)C[C@@H](C)O1 ZINC000558976479 1072171589 /nfs/dbraw/zinc/17/15/89/1072171589.db2.gz IFUGUGBTVOFMOK-CHWSQXEVSA-N 0 0 428.515 -0.640 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc(=O)[nH]c(N(C)C)n3)CC2)C[C@H](C)O1 ZINC000558976480 1072171686 /nfs/dbraw/zinc/17/16/86/1072171686.db2.gz IFUGUGBTVOFMOK-STQMWFEESA-N 0 0 428.515 -0.640 20 0 IBADRN O=C(Nc1ncn(Cc2ccccc2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000558977355 1073337571 /nfs/dbraw/zinc/33/75/71/1073337571.db2.gz BPDTYOPGDNEBFJ-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCN(C(=O)Nc3ccccc3)C2)CC1 ZINC000558980531 1072171575 /nfs/dbraw/zinc/17/15/75/1072171575.db2.gz IBOYHMDYAHZXJV-INIZCTEOSA-N 0 0 427.469 -0.167 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCN(C(=O)Nc3ccccc3)C2)CC1 ZINC000558980538 1072171681 /nfs/dbraw/zinc/17/16/81/1072171681.db2.gz IBOYHMDYAHZXJV-MRXNPFEDSA-N 0 0 427.469 -0.167 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000558981356 1072171603 /nfs/dbraw/zinc/17/16/03/1072171603.db2.gz VZWCEUMKOSBNBU-UHFFFAOYSA-N 0 0 434.478 -0.350 20 0 IBADRN CC(=O)NCCN(Cc1ccsc1)C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000558998092 1072172234 /nfs/dbraw/zinc/17/22/34/1072172234.db2.gz PCKYHGVCJPKOTQ-UHFFFAOYSA-N 0 0 448.549 0.380 20 0 IBADRN Cc1cc(NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)nn1C ZINC000559015025 1073327243 /nfs/dbraw/zinc/32/72/43/1073327243.db2.gz HVIAPDZUCKYSAP-UHFFFAOYSA-N 0 0 425.442 0.466 20 0 IBADRN COC(=O)c1c(F)cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1F ZINC000559017690 1072172400 /nfs/dbraw/zinc/17/24/00/1072172400.db2.gz SEJAKORXQHXSSR-UHFFFAOYSA-N 0 0 434.421 -0.877 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(OC(F)F)nc2)CC1)N1CCOCC1 ZINC000559018258 1072172161 /nfs/dbraw/zinc/17/21/61/1072172161.db2.gz XAQABBJBGKSCRV-UHFFFAOYSA-N 0 0 427.408 -0.376 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)Nc1cc(C)n(C)n1 ZINC000559018676 1072172337 /nfs/dbraw/zinc/17/23/37/1072172337.db2.gz ZKCYBYQCDHOIIU-UHFFFAOYSA-N 0 0 437.478 0.335 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C1CCN(S(C)(=O)=O)CC1 ZINC000559018835 1072172218 /nfs/dbraw/zinc/17/22/18/1072172218.db2.gz UMURBBANVLMTJD-UHFFFAOYSA-N 0 0 431.536 -0.123 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(OC)c(OCC(=O)NC3CC3)c2)CC1=O ZINC000559019618 1072172133 /nfs/dbraw/zinc/17/21/33/1072172133.db2.gz KWPAGMWRMANOSZ-UHFFFAOYSA-N 0 0 434.449 -0.434 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1=O ZINC000559020272 1072172962 /nfs/dbraw/zinc/17/29/62/1072172962.db2.gz HVXQSGXAQMKUBC-UHFFFAOYSA-N 0 0 428.442 -0.421 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CC1=O ZINC000559020423 1072172314 /nfs/dbraw/zinc/17/23/14/1072172314.db2.gz LILGBQIURLMBJC-UHFFFAOYSA-N 0 0 440.478 -0.651 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)cc1 ZINC000559020608 1072172329 /nfs/dbraw/zinc/17/23/29/1072172329.db2.gz OPNJBILJVOFTIO-CYBMUJFWSA-N 0 0 434.449 -0.419 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)cc1 ZINC000559020609 1072172257 /nfs/dbraw/zinc/17/22/57/1072172257.db2.gz OPNJBILJVOFTIO-ZDUSSCGKSA-N 0 0 434.449 -0.419 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1=O ZINC000559020627 1072172151 /nfs/dbraw/zinc/17/21/51/1072172151.db2.gz PNKZXBQVWWLGKD-UHFFFAOYSA-N 0 0 428.467 -0.841 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2C)CC1=O ZINC000559020777 1072172116 /nfs/dbraw/zinc/17/21/16/1072172116.db2.gz OXEIRHLNWAUMQB-UHFFFAOYSA-N 0 0 438.506 -0.043 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)cc1 ZINC000559021028 1072173036 /nfs/dbraw/zinc/17/30/36/1072173036.db2.gz WRWIHHJGMRFTQZ-UHFFFAOYSA-N 0 0 427.479 -0.290 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nc1 ZINC000559021245 1072173051 /nfs/dbraw/zinc/17/30/51/1072173051.db2.gz CBNHUWSZEFMWTC-UHFFFAOYSA-N 0 0 425.511 -0.492 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1C ZINC000559021908 1072172913 /nfs/dbraw/zinc/17/29/13/1072172913.db2.gz HTNVKVJPCYHWJT-KBPBESRZSA-N 0 0 428.511 -0.077 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1C ZINC000559021912 1072172883 /nfs/dbraw/zinc/17/28/83/1072172883.db2.gz HTNVKVJPCYHWJT-OKILXGFUSA-N 0 0 428.511 -0.077 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1C ZINC000559021914 1072172859 /nfs/dbraw/zinc/17/28/59/1072172859.db2.gz HTNVKVJPCYHWJT-ZIAGYGMSSA-N 0 0 428.511 -0.077 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CC1=O ZINC000559023525 1072172944 /nfs/dbraw/zinc/17/29/44/1072172944.db2.gz NONDYDPYZRVXHX-UHFFFAOYSA-N 0 0 440.478 -0.651 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1=O ZINC000559023812 1072172972 /nfs/dbraw/zinc/17/29/72/1072172972.db2.gz VIQWCLYRSBLHHL-UHFFFAOYSA-N 0 0 441.510 -0.784 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)cc1 ZINC000559023862 1070992982 /nfs/dbraw/zinc/99/29/82/1070992982.db2.gz WKFFOCVJBDFGGD-UHFFFAOYSA-N 0 0 426.495 -0.070 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C(F)(F)F)n1 ZINC000559025725 1072172847 /nfs/dbraw/zinc/17/28/47/1072172847.db2.gz MFXHIYDFFXWTCA-UHFFFAOYSA-N 0 0 425.433 -0.396 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)NCCNC(=O)c3cnccn3)cn2)C[C@H](C)O1 ZINC000559025816 1072173045 /nfs/dbraw/zinc/17/30/45/1072173045.db2.gz OTPFQXDKYYINRS-KBPBESRZSA-N 0 0 427.465 -0.030 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NCCNC(=O)c3cnccn3)cn2)C[C@H](C)O1 ZINC000559025817 1072172938 /nfs/dbraw/zinc/17/29/38/1072172938.db2.gz OTPFQXDKYYINRS-OKILXGFUSA-N 0 0 427.465 -0.030 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NCCNC(=O)c3cnccn3)cn2)C[C@@H](C)O1 ZINC000559025818 1072172873 /nfs/dbraw/zinc/17/28/73/1072172873.db2.gz OTPFQXDKYYINRS-ZIAGYGMSSA-N 0 0 427.465 -0.030 20 0 IBADRN Cn1nccc1[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000559028445 1072173026 /nfs/dbraw/zinc/17/30/26/1072173026.db2.gz SUSOHDGKSCZTEY-GFCCVEGCSA-N 0 0 425.449 -0.290 20 0 IBADRN Cn1nccc1[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000559028446 1072173040 /nfs/dbraw/zinc/17/30/40/1072173040.db2.gz SUSOHDGKSCZTEY-LBPRGKRZSA-N 0 0 425.449 -0.290 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H]4COC[C@H](C3)O4)cc2)CC1 ZINC000559031464 1072172985 /nfs/dbraw/zinc/17/29/85/1072172985.db2.gz CVVKVQVNLVFHAW-IYBDPMFKSA-N 0 0 438.506 -0.813 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3C[C@@H]4COC[C@H](C3)O4)cc2)CC1 ZINC000559033542 1072172891 /nfs/dbraw/zinc/17/28/91/1072172891.db2.gz XYSKNXAWRBNGHF-KDURUIRLSA-N 0 0 430.505 -0.042 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CCCN(C(=O)Cc3ccccc3)C2)cc1C ZINC000559034373 1072173002 /nfs/dbraw/zinc/17/30/02/1072173002.db2.gz LNDUBGOTYUZHHP-KRWDZBQOSA-N 0 0 440.504 0.226 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)Cc3ccccc3)C2)cc1C ZINC000559034376 1072173012 /nfs/dbraw/zinc/17/30/12/1072173012.db2.gz LNDUBGOTYUZHHP-QGZVFWFLSA-N 0 0 440.504 0.226 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@@H]23)cc1 ZINC000559037632 1072173611 /nfs/dbraw/zinc/17/36/11/1072173611.db2.gz AFCPYVIYZVXPGL-CVEARBPZSA-N 0 0 438.506 -0.181 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@@H]23)cc1 ZINC000559037633 1072173550 /nfs/dbraw/zinc/17/35/50/1072173550.db2.gz AFCPYVIYZVXPGL-HOTGVXAUSA-N 0 0 438.506 -0.181 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N3CCCC[C@H]23)cc1 ZINC000559037634 1072173494 /nfs/dbraw/zinc/17/34/94/1072173494.db2.gz AFCPYVIYZVXPGL-HZPDHXFCSA-N 0 0 438.506 -0.181 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N3CCCC[C@H]23)cc1 ZINC000559037635 1072173457 /nfs/dbraw/zinc/17/34/57/1072173457.db2.gz AFCPYVIYZVXPGL-JKSUJKDBSA-N 0 0 438.506 -0.181 20 0 IBADRN CCOCCCn1c(C(=O)NC(CC)CC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000559038379 1072173512 /nfs/dbraw/zinc/17/35/12/1072173512.db2.gz DWIZZIMVRMHTQB-HNNXBMFYSA-N 0 0 435.529 -0.230 20 0 IBADRN CCOCCCn1c(C(=O)NC(CC)CC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000559038380 1072173438 /nfs/dbraw/zinc/17/34/38/1072173438.db2.gz DWIZZIMVRMHTQB-OAHLLOKOSA-N 0 0 435.529 -0.230 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)cc1C ZINC000559038830 1072173617 /nfs/dbraw/zinc/17/36/17/1072173617.db2.gz IJAAGBGOCCZSAK-HNNXBMFYSA-N 0 0 442.476 -0.328 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)cc1C ZINC000559038834 1072173574 /nfs/dbraw/zinc/17/35/74/1072173574.db2.gz IJAAGBGOCCZSAK-OAHLLOKOSA-N 0 0 442.476 -0.328 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)cn2)C[C@@H](C)O1 ZINC000559039963 1072173518 /nfs/dbraw/zinc/17/35/18/1072173518.db2.gz TVJDFCZOBNZECN-MUIFIZLQSA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)cn2)C[C@@H](C)O1 ZINC000559039964 1072173556 /nfs/dbraw/zinc/17/35/56/1072173556.db2.gz TVJDFCZOBNZECN-SRABZTEZSA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)cn2)C[C@H](C)O1 ZINC000559039965 1072173477 /nfs/dbraw/zinc/17/34/77/1072173477.db2.gz TVJDFCZOBNZECN-WTTBNOFXSA-N 0 0 446.508 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)cn2)C[C@H](C)O1 ZINC000559039966 1072173447 /nfs/dbraw/zinc/17/34/47/1072173447.db2.gz TVJDFCZOBNZECN-YALNPMBYSA-N 0 0 446.508 -0.273 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccn(-c3ncccc3C(F)(F)F)n2)CC1=O ZINC000559045462 1073333519 /nfs/dbraw/zinc/33/35/19/1073333519.db2.gz BEEIZVWKXSRQIV-UHFFFAOYSA-N 0 0 426.355 0.499 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)ccc1-n1cnnn1 ZINC000559053022 1072173539 /nfs/dbraw/zinc/17/35/39/1072173539.db2.gz COSBPUBDSBDGGK-UHFFFAOYSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)n(-c2ncccn2)n1 ZINC000559053259 1072173622 /nfs/dbraw/zinc/17/36/22/1072173622.db2.gz AGIXVQCHZPZUMO-UHFFFAOYSA-N 0 0 433.494 -0.064 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cn1)NC1CC1 ZINC000559053439 1072173528 /nfs/dbraw/zinc/17/35/28/1072173528.db2.gz DPFMMALJSUPAKD-UHFFFAOYSA-N 0 0 438.510 -0.873 20 0 IBADRN O=C(Nc1ccn(CCc2ccncc2)n1)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000559053800 1072173503 /nfs/dbraw/zinc/17/35/03/1072173503.db2.gz LRETWOPRKXFBRK-UHFFFAOYSA-N 0 0 446.533 0.486 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1-n1cnnn1 ZINC000559055083 1072173421 /nfs/dbraw/zinc/17/34/21/1072173421.db2.gz XWGUJQCAJWGGGH-UHFFFAOYSA-N 0 0 449.493 -0.364 20 0 IBADRN O=C(Nc1ncn(Cc2ccccc2)n1)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000559055216 1072173485 /nfs/dbraw/zinc/17/34/85/1072173485.db2.gz YEARJYSYNUHUBN-UHFFFAOYSA-N 0 0 432.506 0.291 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000559056353 1072174288 /nfs/dbraw/zinc/17/42/88/1072174288.db2.gz QSIYSIFYOGICKL-UHFFFAOYSA-N 0 0 426.499 -0.484 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000559056745 1072173562 /nfs/dbraw/zinc/17/35/62/1072173562.db2.gz XXWDSOBVXIYNTJ-UHFFFAOYSA-N 0 0 430.508 -0.701 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000559058628 1072174242 /nfs/dbraw/zinc/17/42/42/1072174242.db2.gz HYXCHBQVBMUWGB-UHFFFAOYSA-N 0 0 433.494 -0.064 20 0 IBADRN CCOCCCn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000559059366 1072174134 /nfs/dbraw/zinc/17/41/34/1072174134.db2.gz SBHOIPDTWCTRIC-UHFFFAOYSA-N 0 0 434.505 -0.822 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)[C@@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000559060690 1072174172 /nfs/dbraw/zinc/17/41/72/1072174172.db2.gz JENXNTHFRAESQA-ZDUSSCGKSA-N 0 0 429.495 -0.116 20 0 IBADRN COCCc1ccc(OC[C@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000559070883 1072174255 /nfs/dbraw/zinc/17/42/55/1072174255.db2.gz NBKRMBZQVZESFE-GOSISDBHSA-N 0 0 433.505 -0.092 20 0 IBADRN COCCc1ccc(OC[C@@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000559070887 1072174108 /nfs/dbraw/zinc/17/41/08/1072174108.db2.gz NBKRMBZQVZESFE-SFHVURJKSA-N 0 0 433.505 -0.092 20 0 IBADRN CC(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC000559086622 1072174212 /nfs/dbraw/zinc/17/42/12/1072174212.db2.gz SRRZXFRSCYNEIR-AWEZNQCLSA-N 0 0 427.575 -0.055 20 0 IBADRN CC(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC000559086624 1072174090 /nfs/dbraw/zinc/17/40/90/1072174090.db2.gz SRRZXFRSCYNEIR-CQSZACIVSA-N 0 0 427.575 -0.055 20 0 IBADRN CC(C)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559088125 1072174279 /nfs/dbraw/zinc/17/42/79/1072174279.db2.gz OCSNSOCPPFZVHG-UHFFFAOYSA-N 0 0 430.513 -0.158 20 0 IBADRN CC(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000559088197 1072174184 /nfs/dbraw/zinc/17/41/84/1072174184.db2.gz KVRNXNPZQQEMPZ-CYBMUJFWSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000559088198 1072174218 /nfs/dbraw/zinc/17/42/18/1072174218.db2.gz KVRNXNPZQQEMPZ-ZDUSSCGKSA-N 0 0 441.558 -0.529 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(C)C)CC1 ZINC000559096840 1072174270 /nfs/dbraw/zinc/17/42/70/1072174270.db2.gz CZHIQUPRTWHBTM-HNNXBMFYSA-N 0 0 445.590 -0.571 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(C)C)CC1 ZINC000559096841 1072174122 /nfs/dbraw/zinc/17/41/22/1072174122.db2.gz CZHIQUPRTWHBTM-OAHLLOKOSA-N 0 0 445.590 -0.571 20 0 IBADRN COC[C@@H](C(N)=O)N(C)S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000559100369 1072174161 /nfs/dbraw/zinc/17/41/61/1072174161.db2.gz JCDGCOWYVFUCHF-AWEZNQCLSA-N 0 0 435.524 -0.863 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000559106979 1072174146 /nfs/dbraw/zinc/17/41/46/1072174146.db2.gz QWEGMEKLFQTZNC-UHFFFAOYSA-N 0 0 438.550 -0.817 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@H]1CCCO1 ZINC000559117082 1072174155 /nfs/dbraw/zinc/17/41/55/1072174155.db2.gz CLTIOBNZCCWZHO-HNNXBMFYSA-N 0 0 433.513 -0.478 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@H]1CCCO1 ZINC000559117086 1072174101 /nfs/dbraw/zinc/17/41/01/1072174101.db2.gz CLTIOBNZCCWZHO-OAHLLOKOSA-N 0 0 433.513 -0.478 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCCCS(N)(=O)=O)s1 ZINC000559124954 1072174199 /nfs/dbraw/zinc/17/41/99/1072174199.db2.gz ZRJZPUFHMPQYBK-UHFFFAOYSA-N 0 0 433.599 -0.423 20 0 IBADRN NS(=O)(=O)CCCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000559125562 1072175060 /nfs/dbraw/zinc/17/50/60/1072175060.db2.gz SDVYDDWSTFFJTD-UHFFFAOYSA-N 0 0 441.553 -0.946 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)CC1 ZINC000559139561 1072175026 /nfs/dbraw/zinc/17/50/26/1072175026.db2.gz CNMGNQJTGQKVIP-UHFFFAOYSA-N 0 0 434.492 -0.038 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCCO1 ZINC000559140306 1072174825 /nfs/dbraw/zinc/17/48/25/1072174825.db2.gz WMGCJPOMHNUDKB-KBPBESRZSA-N 0 0 440.464 -0.749 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCO1 ZINC000559140309 1072174803 /nfs/dbraw/zinc/17/48/03/1072174803.db2.gz WMGCJPOMHNUDKB-KGLIPLIRSA-N 0 0 440.464 -0.749 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCCO1 ZINC000559140311 1072174949 /nfs/dbraw/zinc/17/49/49/1072174949.db2.gz WMGCJPOMHNUDKB-UONOGXRCSA-N 0 0 440.464 -0.749 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCCO1 ZINC000559140314 1072174993 /nfs/dbraw/zinc/17/49/93/1072174993.db2.gz WMGCJPOMHNUDKB-ZIAGYGMSSA-N 0 0 440.464 -0.749 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000559140967 1072175049 /nfs/dbraw/zinc/17/50/49/1072175049.db2.gz UVDFTGZIASNXJD-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CC1 ZINC000559141611 1072174879 /nfs/dbraw/zinc/17/48/79/1072174879.db2.gz RECSLMTYSGMZCU-UHFFFAOYSA-N 0 0 429.517 -0.101 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN([C@@H](C)C(=O)NC2CC2)CC1)S(C)(=O)=O ZINC000559143358 1072175003 /nfs/dbraw/zinc/17/50/03/1072175003.db2.gz VZWFDNNJHXOYIY-INIZCTEOSA-N 0 0 431.603 -0.553 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN([C@H](C)C(=O)NC2CC2)CC1)S(C)(=O)=O ZINC000559143363 1072174842 /nfs/dbraw/zinc/17/48/42/1072174842.db2.gz VZWFDNNJHXOYIY-MRXNPFEDSA-N 0 0 431.603 -0.553 20 0 IBADRN O=C([C@H]1CCCN(C(=O)N2CCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000559144767 1071000411 /nfs/dbraw/zinc/00/04/11/1071000411.db2.gz URYPLSQFXRRKKH-KRWDZBQOSA-N 0 0 443.570 -0.365 20 0 IBADRN O=C([C@@H]1CCCN(C(=O)N2CCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000559144771 1072174769 /nfs/dbraw/zinc/17/47/69/1072174769.db2.gz URYPLSQFXRRKKH-QGZVFWFLSA-N 0 0 443.570 -0.365 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(CC(=O)N3CCc4ccccc43)CC2)c(=O)n(C)c1=O ZINC000559152128 1072175487 /nfs/dbraw/zinc/17/54/87/1072175487.db2.gz YWZMCVAHDHORNQ-UHFFFAOYSA-N 0 0 440.504 -0.944 20 0 IBADRN CC(CNS(=O)(=O)c1cnc2n1CCC2)CNS(=O)(=O)c1cnc2n1CCC2 ZINC000559158638 1072175643 /nfs/dbraw/zinc/17/56/43/1072175643.db2.gz YFUOZCBCYREVCJ-UHFFFAOYSA-N 0 0 428.540 -0.135 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCN(S(=O)(=O)N(C)CCOC)[C@@H]2CCCC[C@H]21 ZINC000559158668 1072175692 /nfs/dbraw/zinc/17/56/92/1072175692.db2.gz ZXPDAWOFZAWIOK-HZPDHXFCSA-N 0 0 442.604 -0.439 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(CC3CC3)n1C[C@@H]1CCOC1)C2 ZINC000559172451 1072175687 /nfs/dbraw/zinc/17/56/87/1072175687.db2.gz KMCRPHUMLQNNMN-HNNXBMFYSA-N 0 0 430.513 -0.266 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(CC3CC3)n1C[C@H]1CCOC1)C2 ZINC000559172452 1072175562 /nfs/dbraw/zinc/17/55/62/1072175562.db2.gz KMCRPHUMLQNNMN-OAHLLOKOSA-N 0 0 430.513 -0.266 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000559185972 1071002055 /nfs/dbraw/zinc/00/20/55/1071002055.db2.gz HTNPIAAXLSNPEP-CHWSQXEVSA-N 0 0 445.567 -0.883 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000559185980 1071001604 /nfs/dbraw/zinc/00/16/04/1071001604.db2.gz HTNPIAAXLSNPEP-OLZOCXBDSA-N 0 0 445.567 -0.883 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000559185984 1072175605 /nfs/dbraw/zinc/17/56/05/1072175605.db2.gz HTNPIAAXLSNPEP-QWHCGFSZSA-N 0 0 445.567 -0.883 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000559185987 1072175579 /nfs/dbraw/zinc/17/55/79/1072175579.db2.gz HTNPIAAXLSNPEP-STQMWFEESA-N 0 0 445.567 -0.883 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000559186995 1072175508 /nfs/dbraw/zinc/17/55/08/1072175508.db2.gz IWWNPTUMBXREHE-UHFFFAOYSA-N 0 0 436.534 -0.527 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)Cn4cnnn4)CC3)n2C[C@@H]2CCCCO2)cn1 ZINC000559191606 1072175702 /nfs/dbraw/zinc/17/57/02/1072175702.db2.gz RIIOAGSRMZSPGS-INIZCTEOSA-N 0 0 441.500 -0.417 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)Cn4cnnn4)CC3)n2C[C@H]2CCCCO2)cn1 ZINC000559191610 1072175544 /nfs/dbraw/zinc/17/55/44/1072175544.db2.gz RIIOAGSRMZSPGS-MRXNPFEDSA-N 0 0 441.500 -0.417 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCS(=O)(=O)c2ccc(Cl)cc2)c(=O)n(C)c1=O ZINC000559197879 1072176327 /nfs/dbraw/zinc/17/63/27/1072176327.db2.gz NJUCKNUULQTYCY-UHFFFAOYSA-N 0 0 435.911 -0.120 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCOC2)CC1 ZINC000559201627 1072176359 /nfs/dbraw/zinc/17/63/59/1072176359.db2.gz AVUMPTPTVFJQAL-INIZCTEOSA-N 0 0 432.529 -0.400 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCOC2)CC1 ZINC000559201628 1072176253 /nfs/dbraw/zinc/17/62/53/1072176253.db2.gz AVUMPTPTVFJQAL-MRXNPFEDSA-N 0 0 432.529 -0.400 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@@H]1CCOC1 ZINC000559218494 1072176173 /nfs/dbraw/zinc/17/61/73/1072176173.db2.gz ZGBVGGWWJXKKIL-INIZCTEOSA-N 0 0 447.540 -0.276 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@H]1CCOC1 ZINC000559218497 1072176216 /nfs/dbraw/zinc/17/62/16/1072176216.db2.gz ZGBVGGWWJXKKIL-MRXNPFEDSA-N 0 0 447.540 -0.276 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCOCC3)CC2)n1C[C@@H]1CCOC1 ZINC000559219942 1072176205 /nfs/dbraw/zinc/17/62/05/1072176205.db2.gz BTMJHSONTWPDJJ-KRWDZBQOSA-N 0 0 449.556 -0.566 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCOCC3)CC2)n1C[C@H]1CCOC1 ZINC000559219943 1072176231 /nfs/dbraw/zinc/17/62/31/1072176231.db2.gz BTMJHSONTWPDJJ-QGZVFWFLSA-N 0 0 449.556 -0.566 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000559238315 1072176873 /nfs/dbraw/zinc/17/68/73/1072176873.db2.gz BJHLNWXXDLHFGA-GOSISDBHSA-N 0 0 428.537 -0.115 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000559238317 1072177050 /nfs/dbraw/zinc/17/70/50/1072177050.db2.gz BJHLNWXXDLHFGA-SFHVURJKSA-N 0 0 428.537 -0.115 20 0 IBADRN Cn1nnc2cc(C(=O)NC[C@H]3CCn4ncc(C(=O)N5CCN(C)CC5)c4C3)cnc21 ZINC000559238828 1072177043 /nfs/dbraw/zinc/17/70/43/1072177043.db2.gz HKMCJPQMQQQFMZ-AWEZNQCLSA-N 0 0 437.508 -0.060 20 0 IBADRN Cn1nnc2cc(C(=O)NC[C@@H]3CCn4ncc(C(=O)N5CCN(C)CC5)c4C3)cnc21 ZINC000559238829 1072176894 /nfs/dbraw/zinc/17/68/94/1072176894.db2.gz HKMCJPQMQQQFMZ-CQSZACIVSA-N 0 0 437.508 -0.060 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000559243164 1072176961 /nfs/dbraw/zinc/17/69/61/1072176961.db2.gz QQWHAGMJGWZNBV-KRWDZBQOSA-N 0 0 447.584 -0.594 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000559243169 1072177018 /nfs/dbraw/zinc/17/70/18/1072177018.db2.gz QQWHAGMJGWZNBV-QGZVFWFLSA-N 0 0 447.584 -0.594 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)ccc2C)CC1 ZINC000559245046 1072176865 /nfs/dbraw/zinc/17/68/65/1072176865.db2.gz FHLBXFQHJICAOK-UHFFFAOYSA-N 0 0 434.540 -0.858 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)N3CCN(S(=O)(=O)NC)CC3)cc(C(C)C)nc21 ZINC000559245599 1072177031 /nfs/dbraw/zinc/17/70/31/1072177031.db2.gz LKQGXDYNYQEOIS-UHFFFAOYSA-N 0 0 438.510 -0.138 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CN(C)S(=O)(=O)c1ccc(Cl)s1 ZINC000559246865 1072177007 /nfs/dbraw/zinc/17/70/07/1072177007.db2.gz PRTIZSYDDUJGGN-UHFFFAOYSA-N 0 0 432.977 -0.029 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)C(=O)N(C[C@@H]2CCCO2)C3=O)CC1 ZINC000559250153 1071008436 /nfs/dbraw/zinc/00/84/36/1071008436.db2.gz UZZPNJXMOHPGNN-AWEZNQCLSA-N 0 0 436.490 -0.316 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)C(=O)N(C[C@H]2CCCO2)C3=O)CC1 ZINC000559250157 1072176999 /nfs/dbraw/zinc/17/69/99/1072176999.db2.gz UZZPNJXMOHPGNN-CQSZACIVSA-N 0 0 436.490 -0.316 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2ccc(C)s2)CC1 ZINC000559252125 1072176993 /nfs/dbraw/zinc/17/69/93/1072176993.db2.gz YRTOYFMGDKIEFR-CYBMUJFWSA-N 0 0 436.581 -0.182 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccc(C)s2)CC1 ZINC000559252130 1072176942 /nfs/dbraw/zinc/17/69/42/1072176942.db2.gz YRTOYFMGDKIEFR-ZDUSSCGKSA-N 0 0 436.581 -0.182 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CCC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000559253189 1071008401 /nfs/dbraw/zinc/00/84/01/1071008401.db2.gz XVZVFWDYQPYUCT-UHFFFAOYSA-N 0 0 425.511 -0.669 20 0 IBADRN CC(C)[C@H](C(=O)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)N1CCCS1(=O)=O ZINC000559254357 1072176842 /nfs/dbraw/zinc/17/68/42/1072176842.db2.gz LWOYLXXALGPJEK-BFYDXBDKSA-N 0 0 433.571 -0.108 20 0 IBADRN CC(C)[C@@H](C(=O)N1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)N1CCCS1(=O)=O ZINC000559254372 1072177025 /nfs/dbraw/zinc/17/70/25/1072177025.db2.gz LWOYLXXALGPJEK-INMHGKMJSA-N 0 0 433.571 -0.108 20 0 IBADRN CC(C)[C@@H](C(=O)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)N1CCCS1(=O)=O ZINC000559254376 1072176858 /nfs/dbraw/zinc/17/68/58/1072176858.db2.gz LWOYLXXALGPJEK-UXLLHSPISA-N 0 0 433.571 -0.108 20 0 IBADRN CC(C)[C@H](C(=O)N1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)N1CCCS1(=O)=O ZINC000559254381 1072176955 /nfs/dbraw/zinc/17/69/55/1072176955.db2.gz LWOYLXXALGPJEK-ZMSDIMECSA-N 0 0 433.571 -0.108 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000559256408 1072176914 /nfs/dbraw/zinc/17/69/14/1072176914.db2.gz YKNKDZOJDREXEH-CYBMUJFWSA-N 0 0 435.462 -0.085 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000559256409 1072176978 /nfs/dbraw/zinc/17/69/78/1072176978.db2.gz YKNKDZOJDREXEH-ZDUSSCGKSA-N 0 0 435.462 -0.085 20 0 IBADRN Cn1cnnc1SCC(=O)Nc1cccc(C(=O)N[C@H](CO)CN2CCOCC2)c1 ZINC000559258300 1072176829 /nfs/dbraw/zinc/17/68/29/1072176829.db2.gz RRCNWLHGOMUDTM-INIZCTEOSA-N 0 0 434.522 -0.031 20 0 IBADRN Cn1cnnc1SCC(=O)Nc1cccc(C(=O)N[C@@H](CO)CN2CCOCC2)c1 ZINC000559258302 1072177036 /nfs/dbraw/zinc/17/70/36/1072177036.db2.gz RRCNWLHGOMUDTM-MRXNPFEDSA-N 0 0 434.522 -0.031 20 0 IBADRN CCOC(=O)C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000559260341 1072177479 /nfs/dbraw/zinc/17/74/79/1072177479.db2.gz GPKBOZXXBLRHSS-AWEZNQCLSA-N 0 0 430.479 -0.149 20 0 IBADRN CCOC(=O)C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000559260350 1072177683 /nfs/dbraw/zinc/17/76/83/1072177683.db2.gz GPKBOZXXBLRHSS-CQSZACIVSA-N 0 0 430.479 -0.149 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)NCCNS(=O)(=O)c1ccc(F)c(Cl)c1 ZINC000559262526 1072177529 /nfs/dbraw/zinc/17/75/29/1072177529.db2.gz UXFAIWBLFITWBN-UHFFFAOYSA-N 0 0 428.833 -0.222 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(CCNS(C)(=O)=O)C3CC3)CC2)cn1C ZINC000559262675 1072177490 /nfs/dbraw/zinc/17/74/90/1072177490.db2.gz XVCQHMGHVFYPLK-UHFFFAOYSA-N 0 0 447.583 -0.331 20 0 IBADRN CS(=O)(=O)NCCN(C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)C1CC1 ZINC000559263329 1072177696 /nfs/dbraw/zinc/17/76/96/1072177696.db2.gz QYAQPSNNIZXOLG-UHFFFAOYSA-N 0 0 436.581 -0.094 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1=O ZINC000559274220 1072177690 /nfs/dbraw/zinc/17/76/90/1072177690.db2.gz BRYWYOBBQVCHSF-HNNXBMFYSA-N 0 0 436.490 -0.827 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1=O ZINC000559274221 1072177608 /nfs/dbraw/zinc/17/76/08/1072177608.db2.gz BRYWYOBBQVCHSF-OAHLLOKOSA-N 0 0 436.490 -0.827 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2CC(=O)N(C)C(=O)C2)CC1 ZINC000559276246 1072177539 /nfs/dbraw/zinc/17/75/39/1072177539.db2.gz QDNPDKVSPGQODN-UHFFFAOYSA-N 0 0 437.474 -0.299 20 0 IBADRN C[C@@H](NC(=O)c1cc(Cl)sc1S(N)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000559276513 1072177593 /nfs/dbraw/zinc/17/75/93/1072177593.db2.gz IAXJDKIFKHXXPD-SSDOTTSWSA-N 0 0 429.929 -0.576 20 0 IBADRN C[C@H](NC(=O)c1cc(Cl)sc1S(N)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000559276517 1072177600 /nfs/dbraw/zinc/17/76/00/1072177600.db2.gz IAXJDKIFKHXXPD-ZETCQYMHSA-N 0 0 429.929 -0.576 20 0 IBADRN O=C(NCCc1ccccn1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000559279874 1072177511 /nfs/dbraw/zinc/17/75/11/1072177511.db2.gz FKHTWCKNHWCTPI-UHFFFAOYSA-N 0 0 425.493 -0.284 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000559281781 1072177554 /nfs/dbraw/zinc/17/75/54/1072177554.db2.gz QVYPBWGBPPPLRA-GOSISDBHSA-N 0 0 440.453 -0.631 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000559281784 1072177501 /nfs/dbraw/zinc/17/75/01/1072177501.db2.gz QVYPBWGBPPPLRA-SFHVURJKSA-N 0 0 440.453 -0.631 20 0 IBADRN Cc1ccc(NC(=O)CN2CC[C@@H](CO)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000559283923 1072177633 /nfs/dbraw/zinc/17/76/33/1072177633.db2.gz FPECEBDNXJJOHX-DOTOQJQBSA-N 0 0 427.523 -0.370 20 0 IBADRN Cc1ccc(NC(=O)CN2CC[C@H](CO)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000559283927 1072178229 /nfs/dbraw/zinc/17/82/29/1072178229.db2.gz FPECEBDNXJJOHX-NVXWUHKLSA-N 0 0 427.523 -0.370 20 0 IBADRN Cc1ccc(NC(=O)CN2CC[C@@H](CO)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000559283929 1072178154 /nfs/dbraw/zinc/17/81/54/1072178154.db2.gz FPECEBDNXJJOHX-RDJZCZTQSA-N 0 0 427.523 -0.370 20 0 IBADRN Cc1ccc(NC(=O)CN2CC[C@H](CO)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000559283930 1072178323 /nfs/dbraw/zinc/17/83/23/1072178323.db2.gz FPECEBDNXJJOHX-WBVHZDCISA-N 0 0 427.523 -0.370 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCN(C(=O)C2CC2)CC1 ZINC000559285094 1072178215 /nfs/dbraw/zinc/17/82/15/1072178215.db2.gz DGZHMWZJVRVFIV-UHFFFAOYSA-N 0 0 429.525 -0.814 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000559285477 1072178277 /nfs/dbraw/zinc/17/82/77/1072178277.db2.gz LGCFCYWADXTWFT-UHFFFAOYSA-N 0 0 449.537 -0.958 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCC(CO)CC1 ZINC000559285887 1072178346 /nfs/dbraw/zinc/17/83/46/1072178346.db2.gz RXPXTLNOWKLMPK-UHFFFAOYSA-N 0 0 439.534 -0.304 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N1CCSCC1 ZINC000559285908 1072178253 /nfs/dbraw/zinc/17/82/53/1072178253.db2.gz UPPNDRDBSCCTIE-UHFFFAOYSA-N 0 0 427.552 -0.775 20 0 IBADRN C[C@@H](O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000559285909 1072178250 /nfs/dbraw/zinc/17/82/50/1072178250.db2.gz VBJFATGKCQKNCA-GDBMZVCRSA-N 0 0 425.507 -0.447 20 0 IBADRN C[C@H](O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000559285910 1072178273 /nfs/dbraw/zinc/17/82/73/1072178273.db2.gz VBJFATGKCQKNCA-GOEBONIOSA-N 0 0 425.507 -0.447 20 0 IBADRN C[C@H](O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000559285911 1072178305 /nfs/dbraw/zinc/17/83/05/1072178305.db2.gz VBJFATGKCQKNCA-HOCLYGCPSA-N 0 0 425.507 -0.447 20 0 IBADRN C[C@@H](O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000559285912 1072178242 /nfs/dbraw/zinc/17/82/42/1072178242.db2.gz VBJFATGKCQKNCA-ZBFHGGJFSA-N 0 0 425.507 -0.447 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC(n2ccnc2)C1 ZINC000559288161 1072178209 /nfs/dbraw/zinc/17/82/09/1072178209.db2.gz VGICHILVEYBSOJ-HNNXBMFYSA-N 0 0 449.489 -0.350 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC(n2ccnc2)C1 ZINC000559288162 1072178196 /nfs/dbraw/zinc/17/81/96/1072178196.db2.gz VGICHILVEYBSOJ-OAHLLOKOSA-N 0 0 449.489 -0.350 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000559288439 1072178337 /nfs/dbraw/zinc/17/83/37/1072178337.db2.gz FSIKHPNKRHNZFG-HKUYNNGSSA-N 0 0 432.521 -0.160 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000559288440 1072178265 /nfs/dbraw/zinc/17/82/65/1072178265.db2.gz FSIKHPNKRHNZFG-IEBWSBKVSA-N 0 0 432.521 -0.160 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000559288441 1072178180 /nfs/dbraw/zinc/17/81/80/1072178180.db2.gz FSIKHPNKRHNZFG-MJGOQNOKSA-N 0 0 432.521 -0.160 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000559288442 1072178188 /nfs/dbraw/zinc/17/81/88/1072178188.db2.gz FSIKHPNKRHNZFG-PKOBYXMFSA-N 0 0 432.521 -0.160 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N3CCOCC3)cc2)CC1 ZINC000559288673 1072178118 /nfs/dbraw/zinc/17/81/18/1072178118.db2.gz MVCNLIMPICCJIZ-UHFFFAOYSA-N 0 0 432.521 -0.253 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCC(CO)CC1 ZINC000559288714 1072178291 /nfs/dbraw/zinc/17/82/91/1072178291.db2.gz OODWWMITSZLUSG-KRWDZBQOSA-N 0 0 432.525 -0.371 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCC(CO)CC1 ZINC000559288715 1072178309 /nfs/dbraw/zinc/17/83/09/1072178309.db2.gz OODWWMITSZLUSG-QGZVFWFLSA-N 0 0 432.525 -0.371 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCCN(C(=O)C2CC2)CC1)N1CCN(c2ccccc2)CC1 ZINC000559290542 1072178925 /nfs/dbraw/zinc/17/89/25/1072178925.db2.gz PUEHFCPLGDSVSQ-UHFFFAOYSA-N 0 0 441.532 -0.078 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4cc[nH]n4)CC3)C2=O)n(C)n1 ZINC000559290690 1072178936 /nfs/dbraw/zinc/17/89/36/1072178936.db2.gz DJSVUSSTQOHOMF-INIZCTEOSA-N 0 0 428.497 -0.592 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4cc[nH]n4)CC3)C2=O)n(C)n1 ZINC000559290692 1072178765 /nfs/dbraw/zinc/17/87/65/1072178765.db2.gz DJSVUSSTQOHOMF-MRXNPFEDSA-N 0 0 428.497 -0.592 20 0 IBADRN Cc1noc(C)c1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000559291399 1072178948 /nfs/dbraw/zinc/17/89/48/1072178948.db2.gz ROCJHOSGDPYHEU-UHFFFAOYSA-N 0 0 439.450 -0.867 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCc2ccccn2)CC1 ZINC000559291840 1072178912 /nfs/dbraw/zinc/17/89/12/1072178912.db2.gz ACDDLADCJYBIHA-UHFFFAOYSA-N 0 0 445.545 -0.007 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3nnc4ccccn43)CC2)cs1 ZINC000559292375 1072178865 /nfs/dbraw/zinc/17/88/65/1072178865.db2.gz XHPMHPKVBPYWJC-UHFFFAOYSA-N 0 0 449.518 -0.356 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000559294368 1072178954 /nfs/dbraw/zinc/17/89/54/1072178954.db2.gz LELHAKGQYOWKKF-KRWDZBQOSA-N 0 0 440.522 -0.846 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000559294832 1072178785 /nfs/dbraw/zinc/17/87/85/1072178785.db2.gz QBVATLOTRNSLIC-HNNXBMFYSA-N 0 0 428.511 -0.613 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000559294883 1072178857 /nfs/dbraw/zinc/17/88/57/1072178857.db2.gz ZITVIVWURUKGCZ-AWEZNQCLSA-N 0 0 448.929 -0.269 20 0 IBADRN Cc1noc(C)c1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000559296315 1072178807 /nfs/dbraw/zinc/17/88/07/1072178807.db2.gz SMUINJKTSIZYCV-BETUJISGSA-N 0 0 443.526 -0.594 20 0 IBADRN Cc1noc(C)c1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000559296316 1072178942 /nfs/dbraw/zinc/17/89/42/1072178942.db2.gz SMUINJKTSIZYCV-CHWSQXEVSA-N 0 0 443.526 -0.594 20 0 IBADRN Cc1noc(C)c1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000559296317 1072178918 /nfs/dbraw/zinc/17/89/18/1072178918.db2.gz SMUINJKTSIZYCV-STQMWFEESA-N 0 0 443.526 -0.594 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000559296766 1072178841 /nfs/dbraw/zinc/17/88/41/1072178841.db2.gz LCUHQNPNQNWKOF-UHFFFAOYSA-N 0 0 448.501 -0.074 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000559297838 1072178901 /nfs/dbraw/zinc/17/89/01/1072178901.db2.gz RTCBGGURLDRXGK-INIZCTEOSA-N 0 0 428.497 -0.637 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000559297842 1072178877 /nfs/dbraw/zinc/17/88/77/1072178877.db2.gz RTCBGGURLDRXGK-MRXNPFEDSA-N 0 0 428.497 -0.637 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000559297938 1072179531 /nfs/dbraw/zinc/17/95/31/1072179531.db2.gz QHZIPJPCXJGKIF-HNNXBMFYSA-N 0 0 435.506 -0.275 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000559297942 1072179439 /nfs/dbraw/zinc/17/94/39/1072179439.db2.gz QHZIPJPCXJGKIF-OAHLLOKOSA-N 0 0 435.506 -0.275 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000559298289 1072179502 /nfs/dbraw/zinc/17/95/02/1072179502.db2.gz BDAOGKFEJBSYGK-CYBMUJFWSA-N 0 0 441.535 -0.213 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000559298290 1072179469 /nfs/dbraw/zinc/17/94/69/1072179469.db2.gz BDAOGKFEJBSYGK-ZDUSSCGKSA-N 0 0 441.535 -0.213 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)Cn2cccn2)CC1 ZINC000559298475 1072179518 /nfs/dbraw/zinc/17/95/18/1072179518.db2.gz JAPFBNVGPUPWKU-INIZCTEOSA-N 0 0 428.559 -0.101 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)Cn2cccn2)CC1 ZINC000559298476 1072179398 /nfs/dbraw/zinc/17/93/98/1072179398.db2.gz JAPFBNVGPUPWKU-MRXNPFEDSA-N 0 0 428.559 -0.101 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000559298738 1072179510 /nfs/dbraw/zinc/17/95/10/1072179510.db2.gz WAZUYVILRJHRHX-INIZCTEOSA-N 0 0 449.533 -0.134 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000559298739 1072179420 /nfs/dbraw/zinc/17/94/20/1072179420.db2.gz WAZUYVILRJHRHX-MRXNPFEDSA-N 0 0 449.533 -0.134 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000559300072 1072179378 /nfs/dbraw/zinc/17/93/78/1072179378.db2.gz NTWIULDBTOMYHR-HNNXBMFYSA-N 0 0 432.930 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC(=O)N(C)C(=O)C3)CC2)cc1OC ZINC000559301847 1072179322 /nfs/dbraw/zinc/17/93/22/1072179322.db2.gz OZSCJMLEFYSUDN-UHFFFAOYSA-N 0 0 439.490 -0.068 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cccc(Cl)c2Cl)CC1 ZINC000559302179 1072179491 /nfs/dbraw/zinc/17/94/91/1072179491.db2.gz RLMSPIIWIDTLIT-UHFFFAOYSA-N 0 0 445.350 -0.120 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)CCNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000559304647 1072179540 /nfs/dbraw/zinc/17/95/40/1072179540.db2.gz KTGIYGSAZBQOHK-UHFFFAOYSA-N 0 0 434.312 -0.044 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)(N2CCOCC2)C1 ZINC000559304865 1072179554 /nfs/dbraw/zinc/17/95/54/1072179554.db2.gz MFXWAPPKQLMKHF-UHFFFAOYSA-N 0 0 436.553 -0.157 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)s1 ZINC000559306127 1072179482 /nfs/dbraw/zinc/17/94/82/1072179482.db2.gz JOSHCDWPZKYHOR-UHFFFAOYSA-N 0 0 442.563 -0.078 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)C1=O ZINC000559306348 1072180043 /nfs/dbraw/zinc/18/00/43/1072180043.db2.gz PWUZHZHCRVHPPO-INIZCTEOSA-N 0 0 430.509 -0.502 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)C1=O ZINC000559306349 1072180057 /nfs/dbraw/zinc/18/00/57/1072180057.db2.gz PWUZHZHCRVHPPO-MRXNPFEDSA-N 0 0 430.509 -0.502 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@H](C)O)C2=O)c1 ZINC000559307028 1072179350 /nfs/dbraw/zinc/17/93/50/1072179350.db2.gz RJQIIFQQDMIVMN-JOQOYGCGSA-N 0 0 435.477 -0.076 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@@H](C)O)C2=O)c1 ZINC000559307030 1072179368 /nfs/dbraw/zinc/17/93/68/1072179368.db2.gz RJQIIFQQDMIVMN-SIIHOXLZSA-N 0 0 435.477 -0.076 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@@H](C)O)C2=O)c1 ZINC000559307032 1072179460 /nfs/dbraw/zinc/17/94/60/1072179460.db2.gz RJQIIFQQDMIVMN-XUWXXGDYSA-N 0 0 435.477 -0.076 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@H](C)O)C2=O)c1 ZINC000559307033 1072180165 /nfs/dbraw/zinc/18/01/65/1072180165.db2.gz RJQIIFQQDMIVMN-YEWWUXTCSA-N 0 0 435.477 -0.076 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000559307250 1072180129 /nfs/dbraw/zinc/18/01/29/1072180129.db2.gz TXGWHNULIAYDDU-PBHICJAKSA-N 0 0 425.507 -0.159 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000559307254 1072179934 /nfs/dbraw/zinc/17/99/34/1072179934.db2.gz TXGWHNULIAYDDU-RHSMWYFYSA-N 0 0 425.507 -0.159 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000559307255 1072180157 /nfs/dbraw/zinc/18/01/57/1072180157.db2.gz TXGWHNULIAYDDU-WMLDXEAASA-N 0 0 425.507 -0.159 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000559307256 1072180098 /nfs/dbraw/zinc/18/00/98/1072180098.db2.gz TXGWHNULIAYDDU-YOEHRIQHSA-N 0 0 425.507 -0.159 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@H](n3cc(CO)nn3)C2)CC1 ZINC000559308511 1072179982 /nfs/dbraw/zinc/17/99/82/1072179982.db2.gz XCGFIZIMCMTASB-INIZCTEOSA-N 0 0 440.512 -0.567 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@@H](n3cc(CO)nn3)C2)CC1 ZINC000559308512 1072180107 /nfs/dbraw/zinc/18/01/07/1072180107.db2.gz XCGFIZIMCMTASB-MRXNPFEDSA-N 0 0 440.512 -0.567 20 0 IBADRN O=C(N[C@H](c1ccccc1)C1CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559309364 1072180171 /nfs/dbraw/zinc/18/01/71/1072180171.db2.gz AWXXLBNJPPOZHH-GOSISDBHSA-N 0 0 428.489 -0.217 20 0 IBADRN O=C(N[C@@H](c1ccccc1)C1CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559309365 1072180005 /nfs/dbraw/zinc/18/00/05/1072180005.db2.gz AWXXLBNJPPOZHH-SFHVURJKSA-N 0 0 428.489 -0.217 20 0 IBADRN O=C(NCc1ccc2c(c1)OCO2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000559311084 1072179969 /nfs/dbraw/zinc/17/99/69/1072179969.db2.gz RNUOHAIVHNBFPE-UHFFFAOYSA-N 0 0 436.446 -0.306 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc3c(c2)OCO3)C1 ZINC000559311648 1072180029 /nfs/dbraw/zinc/18/00/29/1072180029.db2.gz UIIMJAAEZJTFKX-HNNXBMFYSA-N 0 0 441.506 -0.070 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc3c(c2)OCO3)C1 ZINC000559311649 1072180069 /nfs/dbraw/zinc/18/00/69/1072180069.db2.gz UIIMJAAEZJTFKX-OAHLLOKOSA-N 0 0 441.506 -0.070 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)OCO3)CC1 ZINC000559313565 1072180015 /nfs/dbraw/zinc/18/00/15/1072180015.db2.gz GERXXVKSFRMLHH-UHFFFAOYSA-N 0 0 441.506 -0.070 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H](c1ccccc1)C1CC1)C2 ZINC000559313669 1072180082 /nfs/dbraw/zinc/18/00/82/1072180082.db2.gz LKIPCPZKQDQMLG-GOSISDBHSA-N 0 0 426.477 -0.257 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H](c1ccccc1)C1CC1)C2 ZINC000559313670 1072180175 /nfs/dbraw/zinc/18/01/75/1072180175.db2.gz LKIPCPZKQDQMLG-SFHVURJKSA-N 0 0 426.477 -0.257 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000559316445 1072180093 /nfs/dbraw/zinc/18/00/93/1072180093.db2.gz WBUZSGHZPMVSAW-HNNXBMFYSA-N 0 0 430.552 -0.304 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000559316448 1072179952 /nfs/dbraw/zinc/17/99/52/1072179952.db2.gz WBUZSGHZPMVSAW-OAHLLOKOSA-N 0 0 430.552 -0.304 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@H](CO)CN1CCOCC1 ZINC000559317629 1072180140 /nfs/dbraw/zinc/18/01/40/1072180140.db2.gz UPHCVFAGTLXKOY-DOTOQJQBSA-N 0 0 431.580 -0.104 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@@H](CO)CN1CCOCC1 ZINC000559317635 1072180673 /nfs/dbraw/zinc/18/06/73/1072180673.db2.gz UPHCVFAGTLXKOY-NVXWUHKLSA-N 0 0 431.580 -0.104 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@H](CO)CN1CCOCC1 ZINC000559317637 1072180790 /nfs/dbraw/zinc/18/07/90/1072180790.db2.gz UPHCVFAGTLXKOY-RDJZCZTQSA-N 0 0 431.580 -0.104 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@@H](CO)CN1CCOCC1 ZINC000559317638 1072180550 /nfs/dbraw/zinc/18/05/50/1072180550.db2.gz UPHCVFAGTLXKOY-WBVHZDCISA-N 0 0 431.580 -0.104 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCO3)CC2)c1 ZINC000559321548 1072180643 /nfs/dbraw/zinc/18/06/43/1072180643.db2.gz QVMHWNGMQSRMEN-HNNXBMFYSA-N 0 0 441.506 -0.168 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCO3)CC2)c1 ZINC000559321549 1072180812 /nfs/dbraw/zinc/18/08/12/1072180812.db2.gz QVMHWNGMQSRMEN-OAHLLOKOSA-N 0 0 441.506 -0.168 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000559326893 1072180576 /nfs/dbraw/zinc/18/05/76/1072180576.db2.gz QYNCJBOCDXUXNS-UHFFFAOYSA-N 0 0 444.579 -0.467 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCCN3CCOCC3)no2)cn1 ZINC000559328465 1072180732 /nfs/dbraw/zinc/18/07/32/1072180732.db2.gz FETHDODLKQYGOC-HNNXBMFYSA-N 0 0 433.469 -0.792 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCCN3CCOCC3)no2)cn1 ZINC000559328471 1072180708 /nfs/dbraw/zinc/18/07/08/1072180708.db2.gz FETHDODLKQYGOC-OAHLLOKOSA-N 0 0 433.469 -0.792 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCCCC3)CC2)o1 ZINC000559328473 1072180784 /nfs/dbraw/zinc/18/07/84/1072180784.db2.gz FFIQNXVUSZMWJO-UHFFFAOYSA-N 0 0 426.495 -0.092 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000559328877 1072180608 /nfs/dbraw/zinc/18/06/08/1072180608.db2.gz LDTJUBWFXRIKHH-UHFFFAOYSA-N 0 0 432.930 -0.427 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCN2CCOCC2)CC1)N1CCc2ccccc21 ZINC000559329992 1072180753 /nfs/dbraw/zinc/18/07/53/1072180753.db2.gz MAPAHXVDJDDWGL-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000559330815 1072180665 /nfs/dbraw/zinc/18/06/65/1072180665.db2.gz RNHBEZKSDFKPCH-UHFFFAOYSA-N 0 0 442.520 -0.182 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)NCCCN1CCOCC1 ZINC000559330970 1072180524 /nfs/dbraw/zinc/18/05/24/1072180524.db2.gz AVPWIBWPKDUZEG-UHFFFAOYSA-N 0 0 440.566 -0.031 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)NCCCN2CCOCC2)CC1 ZINC000559331100 1072180833 /nfs/dbraw/zinc/18/08/33/1072180833.db2.gz GLQMBHXEBXVUKR-UHFFFAOYSA-N 0 0 431.537 -0.190 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)c1 ZINC000559331426 1072181379 /nfs/dbraw/zinc/18/13/79/1072181379.db2.gz ODCPGZDJZVIUGT-UHFFFAOYSA-N 0 0 432.521 -0.253 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCN2CCOCC2)CC1 ZINC000559331477 1072181400 /nfs/dbraw/zinc/18/14/00/1072181400.db2.gz OSIITERKBDRALX-UHFFFAOYSA-N 0 0 447.602 -0.664 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCN2CCOCC2)cn1 ZINC000559331769 1072181356 /nfs/dbraw/zinc/18/13/56/1072181356.db2.gz AVWSPNMBMYZVLW-INIZCTEOSA-N 0 0 427.527 -0.960 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCN2CCOCC2)cn1 ZINC000559331772 1072181198 /nfs/dbraw/zinc/18/11/98/1072181198.db2.gz AVWSPNMBMYZVLW-MRXNPFEDSA-N 0 0 427.527 -0.960 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)n1 ZINC000559331841 1072180501 /nfs/dbraw/zinc/18/05/01/1072180501.db2.gz DDXCISLNIJYBHD-INIZCTEOSA-N 0 0 438.529 -0.350 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)n1 ZINC000559331843 1072181416 /nfs/dbraw/zinc/18/14/16/1072181416.db2.gz DDXCISLNIJYBHD-MRXNPFEDSA-N 0 0 438.529 -0.350 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCCCN3CCOCC3)cc2)CC1 ZINC000559332132 1072180797 /nfs/dbraw/zinc/18/07/97/1072180797.db2.gz XZPPVRBAVIAVMJ-UHFFFAOYSA-N 0 0 431.537 -0.471 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCCCN2CCOCC2)cc1 ZINC000559332195 1072180682 /nfs/dbraw/zinc/18/06/82/1072180682.db2.gz GMHGIASLDBGQKB-UHFFFAOYSA-N 0 0 426.539 -0.430 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCN2CCCC2=O)cn1 ZINC000559334810 1072181288 /nfs/dbraw/zinc/18/12/88/1072181288.db2.gz MXMXKQUVIVXKJR-HNNXBMFYSA-N 0 0 425.511 -0.670 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCN2CCCC2=O)cn1 ZINC000559334811 1072181255 /nfs/dbraw/zinc/18/12/55/1072181255.db2.gz MXMXKQUVIVXKJR-OAHLLOKOSA-N 0 0 425.511 -0.670 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCN2CCCC2=O)CC1)N1CCc2ccccc21 ZINC000559335775 1072181166 /nfs/dbraw/zinc/18/11/66/1072181166.db2.gz WYAABJXIHPPNCF-UHFFFAOYSA-N 0 0 441.532 -0.151 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)n1 ZINC000559335843 1072181348 /nfs/dbraw/zinc/18/13/48/1072181348.db2.gz BOEASSVSHZMLRF-HNNXBMFYSA-N 0 0 436.513 -0.060 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)n1 ZINC000559335845 1072181370 /nfs/dbraw/zinc/18/13/70/1072181370.db2.gz BOEASSVSHZMLRF-OAHLLOKOSA-N 0 0 436.513 -0.060 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCN1CCCC1=O)N1CCN(c2ccccc2)CC1 ZINC000559335984 1072181189 /nfs/dbraw/zinc/18/11/89/1072181189.db2.gz HBHFCULRKXEFEE-UHFFFAOYSA-N 0 0 429.521 -0.030 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1OC ZINC000559336606 1072181336 /nfs/dbraw/zinc/18/13/36/1072181336.db2.gz RKFJBXOGTXQNJH-UHFFFAOYSA-N 0 0 445.563 -0.143 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)cs1 ZINC000559336760 1072181306 /nfs/dbraw/zinc/18/13/06/1072181306.db2.gz VDLWQTHNPLEEOR-UHFFFAOYSA-N 0 0 443.551 -0.587 20 0 IBADRN O=C(NCCc1c[nH]c2ccccc12)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559338635 1072181236 /nfs/dbraw/zinc/18/12/36/1072181236.db2.gz VJMWYUAAZDGFGT-UHFFFAOYSA-N 0 0 441.488 -0.644 20 0 IBADRN Cn1c(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nc2ccccc21 ZINC000559339352 1072181322 /nfs/dbraw/zinc/18/13/22/1072181322.db2.gz BADWDTBINBWVGF-UHFFFAOYSA-N 0 0 435.554 -0.107 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1OC ZINC000559343337 1072181268 /nfs/dbraw/zinc/18/12/68/1072181268.db2.gz XSVIOMLWMCIHRN-UHFFFAOYSA-N 0 0 426.451 -0.456 20 0 IBADRN C[C@@H](CCc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559345437 1072181278 /nfs/dbraw/zinc/18/12/78/1072181278.db2.gz CHLFPLAIJKWTTD-KRWDZBQOSA-N 0 0 430.505 -0.347 20 0 IBADRN C[C@H](CCc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559345439 1072181216 /nfs/dbraw/zinc/18/12/16/1072181216.db2.gz CHLFPLAIJKWTTD-QGZVFWFLSA-N 0 0 430.505 -0.347 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000559345858 1072181294 /nfs/dbraw/zinc/18/12/94/1072181294.db2.gz HJVDIHKEFWLGRD-UHFFFAOYSA-N 0 0 446.533 -0.471 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCN2CCCC2=O)C1 ZINC000559346887 1071011262 /nfs/dbraw/zinc/01/12/62/1071011262.db2.gz RLNKBNNQAZSNET-HNNXBMFYSA-N 0 0 432.543 -0.986 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCCC2=O)C1 ZINC000559346902 1072181245 /nfs/dbraw/zinc/18/12/45/1072181245.db2.gz RLNKBNNQAZSNET-OAHLLOKOSA-N 0 0 432.543 -0.986 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCc2ccccn2)CC1 ZINC000559347495 1072181807 /nfs/dbraw/zinc/18/18/07/1072181807.db2.gz PEKGFENLJLJZNL-UHFFFAOYSA-N 0 0 431.518 -0.050 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000559348265 1072182008 /nfs/dbraw/zinc/18/20/08/1072182008.db2.gz CESRVTUMTXITLM-UHFFFAOYSA-N 0 0 434.518 -0.282 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1OC ZINC000559351601 1072181799 /nfs/dbraw/zinc/18/17/99/1072181799.db2.gz LFOHIKPDCGCDNP-UHFFFAOYSA-N 0 0 429.477 -0.195 20 0 IBADRN COc1ccccc1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000559351669 1072182019 /nfs/dbraw/zinc/18/20/19/1072182019.db2.gz IMHYDVLLWYNNBB-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccs3)CC2)C[C@H](C)O1 ZINC000559358141 1072181942 /nfs/dbraw/zinc/18/19/42/1072181942.db2.gz IISYAXADRKHOMA-KBPBESRZSA-N 0 0 430.552 -0.138 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccs3)CC2)C[C@H](C)O1 ZINC000559358148 1072181889 /nfs/dbraw/zinc/18/18/89/1072181889.db2.gz IISYAXADRKHOMA-OKILXGFUSA-N 0 0 430.552 -0.138 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccs3)CC2)C[C@@H](C)O1 ZINC000559358150 1072181927 /nfs/dbraw/zinc/18/19/27/1072181927.db2.gz IISYAXADRKHOMA-ZIAGYGMSSA-N 0 0 430.552 -0.138 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)n1 ZINC000559362995 1073319437 /nfs/dbraw/zinc/31/94/37/1073319437.db2.gz JXNZSFBEFDUERP-UHFFFAOYSA-N 0 0 431.497 0.086 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(Cl)cc3)CC2)CC1 ZINC000559364141 1072181913 /nfs/dbraw/zinc/18/19/13/1072181913.db2.gz HRWRDLPVZKSICX-UHFFFAOYSA-N 0 0 443.957 -0.407 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000559364343 1072182028 /nfs/dbraw/zinc/18/20/28/1072182028.db2.gz JYTVCTMCVDNLGS-UHFFFAOYSA-N 0 0 434.493 -0.807 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H](c2ccc(OC)cc2)N2CCOCC2)CCO1 ZINC000559369011 1072181902 /nfs/dbraw/zinc/18/19/02/1072181902.db2.gz DVHFDLONJGEJQD-MSOLQXFVSA-N 0 0 434.493 -0.842 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H](c2ccc(OC)cc2)N2CCOCC2)CCO1 ZINC000559369017 1072181936 /nfs/dbraw/zinc/18/19/36/1072181936.db2.gz DVHFDLONJGEJQD-QZTJIDSGSA-N 0 0 434.493 -0.842 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H](c2ccc(OC)cc2)N2CCOCC2)CCO1 ZINC000559369021 1072181840 /nfs/dbraw/zinc/18/18/40/1072181840.db2.gz DVHFDLONJGEJQD-ROUUACIJSA-N 0 0 434.493 -0.842 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H](c2ccc(OC)cc2)N2CCOCC2)CCO1 ZINC000559369024 1072181819 /nfs/dbraw/zinc/18/18/19/1072181819.db2.gz DVHFDLONJGEJQD-ZWKOTPCHSA-N 0 0 434.493 -0.842 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000559369742 1072181979 /nfs/dbraw/zinc/18/19/79/1072181979.db2.gz HDHSAGRXDJTTOE-UHFFFAOYSA-N 0 0 445.476 0.270 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)CC1)NC1CC1 ZINC000559371272 1072181950 /nfs/dbraw/zinc/18/19/50/1072181950.db2.gz RPTRMJUVZOUEQC-UHFFFAOYSA-N 0 0 435.569 -0.440 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000559371394 1072182536 /nfs/dbraw/zinc/18/25/36/1072182536.db2.gz MSXKGIZNUIKKCJ-UHFFFAOYSA-N 0 0 449.552 -0.913 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)c1ccco1 ZINC000559373039 1072182564 /nfs/dbraw/zinc/18/25/64/1072182564.db2.gz QAZYAWNXLQDYOG-INIZCTEOSA-N 0 0 443.508 -0.356 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)c1ccco1 ZINC000559373044 1072182607 /nfs/dbraw/zinc/18/26/07/1072182607.db2.gz QAZYAWNXLQDYOG-MRXNPFEDSA-N 0 0 443.508 -0.356 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000559373062 1072182616 /nfs/dbraw/zinc/18/26/16/1072182616.db2.gz QFOXSVYFPPIABP-GOSISDBHSA-N 0 0 426.558 -0.711 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000559373071 1072182493 /nfs/dbraw/zinc/18/24/93/1072182493.db2.gz QFOXSVYFPPIABP-SFHVURJKSA-N 0 0 426.558 -0.711 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000559373472 1072182589 /nfs/dbraw/zinc/18/25/89/1072182589.db2.gz UXDYZMUOWHEIMM-UHFFFAOYSA-N 0 0 432.587 -0.075 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCC2(N3CCOCC3)CCCCC2)CC1 ZINC000559373581 1072182596 /nfs/dbraw/zinc/18/25/96/1072182596.db2.gz URJKJWKRAHZGKB-UHFFFAOYSA-N 0 0 437.585 -0.194 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CCCCC3)CC2)c1=O ZINC000559374030 1072182579 /nfs/dbraw/zinc/18/25/79/1072182579.db2.gz ZMCHIARNEHWIAD-UHFFFAOYSA-N 0 0 446.552 -0.420 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000559377592 1072183129 /nfs/dbraw/zinc/18/31/29/1072183129.db2.gz HHAIPAVKGPARLE-KRWDZBQOSA-N 0 0 437.585 -0.195 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000559377595 1072183028 /nfs/dbraw/zinc/18/30/28/1072183028.db2.gz HHAIPAVKGPARLE-QGZVFWFLSA-N 0 0 437.585 -0.195 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)C1 ZINC000559377746 1072182997 /nfs/dbraw/zinc/18/29/97/1072182997.db2.gz CZQAMIOWKXVBOH-CABCVRRESA-N 0 0 444.579 -0.222 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)C1 ZINC000559377747 1072183088 /nfs/dbraw/zinc/18/30/88/1072183088.db2.gz CZQAMIOWKXVBOH-GJZGRUSLSA-N 0 0 444.579 -0.222 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)C1 ZINC000559377748 1072183165 /nfs/dbraw/zinc/18/31/65/1072183165.db2.gz CZQAMIOWKXVBOH-HUUCEWRRSA-N 0 0 444.579 -0.222 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)C1 ZINC000559377749 1072183200 /nfs/dbraw/zinc/18/32/00/1072183200.db2.gz CZQAMIOWKXVBOH-LSDHHAIUSA-N 0 0 444.579 -0.222 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@@H](c1cccs1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000559378300 1072183157 /nfs/dbraw/zinc/18/31/57/1072183157.db2.gz XQZMKNNSNIIUAR-CVEARBPZSA-N 0 0 438.550 -0.009 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@@H](c1cccs1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000559378301 1072183180 /nfs/dbraw/zinc/18/31/80/1072183180.db2.gz XQZMKNNSNIIUAR-HOTGVXAUSA-N 0 0 438.550 -0.009 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@H](c1cccs1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000559378302 1072183253 /nfs/dbraw/zinc/18/32/53/1072183253.db2.gz XQZMKNNSNIIUAR-HZPDHXFCSA-N 0 0 438.550 -0.009 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@H](c1cccs1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000559378305 1072183013 /nfs/dbraw/zinc/18/30/13/1072183013.db2.gz XQZMKNNSNIIUAR-JKSUJKDBSA-N 0 0 438.550 -0.009 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)N1CCOCC1 ZINC000559380709 1072183171 /nfs/dbraw/zinc/18/31/71/1072183171.db2.gz WZELUVSESBTYGG-UHFFFAOYSA-N 0 0 437.585 -0.240 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOc3ccccc3)CC2)cn1C ZINC000559380726 1072183045 /nfs/dbraw/zinc/18/30/45/1072183045.db2.gz XMTBSVQWYFPYCL-UHFFFAOYSA-N 0 0 435.506 -0.243 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)C1 ZINC000559381588 1072183115 /nfs/dbraw/zinc/18/31/15/1072183115.db2.gz YJIBRSKKMWNPSG-INIZCTEOSA-N 0 0 448.586 -0.888 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)C1 ZINC000559381589 1072183720 /nfs/dbraw/zinc/18/37/20/1072183720.db2.gz YJIBRSKKMWNPSG-MRXNPFEDSA-N 0 0 448.586 -0.888 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000559382903 1072183880 /nfs/dbraw/zinc/18/38/80/1072183880.db2.gz GZRYPLNAZQYETR-GOSISDBHSA-N 0 0 437.585 -0.241 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000559382905 1072183707 /nfs/dbraw/zinc/18/37/07/1072183707.db2.gz GZRYPLNAZQYETR-SFHVURJKSA-N 0 0 437.585 -0.241 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)N1CCOCC1 ZINC000559383501 1072183845 /nfs/dbraw/zinc/18/38/45/1072183845.db2.gz NQFKRWFWXALBRU-UHFFFAOYSA-N 0 0 427.523 -0.188 20 0 IBADRN CC(C)c1ccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000559383535 1072183606 /nfs/dbraw/zinc/18/36/06/1072183606.db2.gz AUKBJHFCWGOPEG-UHFFFAOYSA-N 0 0 444.532 -0.002 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H](c2ccco2)N2CCCC2)CC1)N1CCOCC1 ZINC000559389566 1072183625 /nfs/dbraw/zinc/18/36/25/1072183625.db2.gz XKAHCIOKIDWRKX-GOSISDBHSA-N 0 0 447.536 -0.464 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H](c2ccco2)N2CCCC2)CC1)N1CCOCC1 ZINC000559389567 1072183658 /nfs/dbraw/zinc/18/36/58/1072183658.db2.gz XKAHCIOKIDWRKX-SFHVURJKSA-N 0 0 447.536 -0.464 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000559390036 1072183614 /nfs/dbraw/zinc/18/36/14/1072183614.db2.gz SQLZDFUXKJVPQL-FQEVSTJZSA-N 0 0 445.564 -0.374 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000559390037 1072183748 /nfs/dbraw/zinc/18/37/48/1072183748.db2.gz SQLZDFUXKJVPQL-HXUWFJFHSA-N 0 0 445.564 -0.374 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NC[C@H]3CN(Cc4ccccc4)CCO3)CC2)n1 ZINC000559390568 1073363664 /nfs/dbraw/zinc/36/36/64/1073363664.db2.gz BEYQDLBUNBXZBS-INIZCTEOSA-N 0 0 445.549 -0.214 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NC[C@@H]3CN(Cc4ccccc4)CCO3)CC2)n1 ZINC000559390569 1072183807 /nfs/dbraw/zinc/18/38/07/1072183807.db2.gz BEYQDLBUNBXZBS-MRXNPFEDSA-N 0 0 445.549 -0.214 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@H](c1ccc(F)cc1)N1CCOCC1 ZINC000559390572 1072183732 /nfs/dbraw/zinc/18/37/32/1072183732.db2.gz BIASTGTXFFEATL-GOSISDBHSA-N 0 0 444.467 -0.349 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@@H](c1ccc(F)cc1)N1CCOCC1 ZINC000559390573 1071063606 /nfs/dbraw/zinc/06/36/06/1071063606.db2.gz BIASTGTXFFEATL-SFHVURJKSA-N 0 0 444.467 -0.349 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000559393312 1071064322 /nfs/dbraw/zinc/06/43/22/1071064322.db2.gz SBRWHPVRXCLPSU-IBGZPJMESA-N 0 0 449.527 -0.405 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000559393317 1071064186 /nfs/dbraw/zinc/06/41/86/1071064186.db2.gz SBRWHPVRXCLPSU-LJQANCHMSA-N 0 0 449.527 -0.405 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)C1 ZINC000559397010 1072184516 /nfs/dbraw/zinc/18/45/16/1072184516.db2.gz OUGRCKMXQVYFLK-CVEARBPZSA-N 0 0 428.486 -0.795 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)C1 ZINC000559397011 1072184557 /nfs/dbraw/zinc/18/45/57/1072184557.db2.gz OUGRCKMXQVYFLK-HOTGVXAUSA-N 0 0 428.486 -0.795 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)C1 ZINC000559397012 1072184544 /nfs/dbraw/zinc/18/45/44/1072184544.db2.gz OUGRCKMXQVYFLK-HZPDHXFCSA-N 0 0 428.486 -0.795 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)C1 ZINC000559397013 1072184401 /nfs/dbraw/zinc/18/44/01/1072184401.db2.gz OUGRCKMXQVYFLK-JKSUJKDBSA-N 0 0 428.486 -0.795 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000559397096 1072184531 /nfs/dbraw/zinc/18/45/31/1072184531.db2.gz PAXDGUREYQOTBO-MSOLQXFVSA-N 0 0 438.550 -0.456 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000559397098 1072184501 /nfs/dbraw/zinc/18/45/01/1072184501.db2.gz PAXDGUREYQOTBO-QZTJIDSGSA-N 0 0 438.550 -0.456 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000559397100 1072184354 /nfs/dbraw/zinc/18/43/54/1072184354.db2.gz PAXDGUREYQOTBO-ROUUACIJSA-N 0 0 438.550 -0.456 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000559397101 1072184569 /nfs/dbraw/zinc/18/45/69/1072184569.db2.gz PAXDGUREYQOTBO-ZWKOTPCHSA-N 0 0 438.550 -0.456 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000559398676 1072185106 /nfs/dbraw/zinc/18/51/06/1072185106.db2.gz WRWJOXZGBALJLC-FQEVSTJZSA-N 0 0 445.564 -0.328 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000559398677 1072185128 /nfs/dbraw/zinc/18/51/28/1072185128.db2.gz WRWJOXZGBALJLC-HXUWFJFHSA-N 0 0 445.564 -0.328 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NC[C@H]3CN(Cc4ccccc4)CCO3)C[C@H]2CO)cn1 ZINC000559398687 1072185182 /nfs/dbraw/zinc/18/51/82/1072185182.db2.gz WWPAOCGLXYAABM-ACRUOGEOSA-N 0 0 441.532 -0.028 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NC[C@@H]3CN(Cc4ccccc4)CCO3)C[C@H]2CO)cn1 ZINC000559398690 1072185035 /nfs/dbraw/zinc/18/50/35/1072185035.db2.gz WWPAOCGLXYAABM-HBMCJLEFSA-N 0 0 441.532 -0.028 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000559398859 1072184456 /nfs/dbraw/zinc/18/44/56/1072184456.db2.gz ZPHIWBHLSGVQGG-HSALFYBXSA-N 0 0 435.521 -0.060 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000559398860 1072184550 /nfs/dbraw/zinc/18/45/50/1072184550.db2.gz ZPHIWBHLSGVQGG-SLFFLAALSA-N 0 0 435.521 -0.060 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000559398861 1072184559 /nfs/dbraw/zinc/18/45/59/1072184559.db2.gz ZPHIWBHLSGVQGG-VAMGGRTRSA-N 0 0 435.521 -0.060 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000559398862 1072184484 /nfs/dbraw/zinc/18/44/84/1072184484.db2.gz ZPHIWBHLSGVQGG-XUVXKRRUSA-N 0 0 435.521 -0.060 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCCN(C)c1ccccc1)C2 ZINC000559401147 1072184942 /nfs/dbraw/zinc/18/49/42/1072184942.db2.gz ISECFWZMTJQDSE-UHFFFAOYSA-N 0 0 443.508 -0.882 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000559403693 1072185021 /nfs/dbraw/zinc/18/50/21/1072185021.db2.gz NNYRCIIZBQNRDT-UHFFFAOYSA-N 0 0 431.537 -0.368 20 0 IBADRN O=C(NCc1nc2ccccc2s1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559403757 1072185140 /nfs/dbraw/zinc/18/51/40/1072185140.db2.gz TUWQNVKTWAZWQD-UHFFFAOYSA-N 0 0 445.501 -0.558 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000559407746 1072185168 /nfs/dbraw/zinc/18/51/68/1072185168.db2.gz ZQVKWNHVIGIKBS-UHFFFAOYSA-N 0 0 445.520 -0.841 20 0 IBADRN COc1ccccc1CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000559412653 1072185192 /nfs/dbraw/zinc/18/51/92/1072185192.db2.gz UQCYMSGMGLYWJK-UHFFFAOYSA-N 0 0 449.533 -0.071 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000559419277 1072185750 /nfs/dbraw/zinc/18/57/50/1072185750.db2.gz IJTSCGFUDOUGSY-UHFFFAOYSA-N 0 0 429.481 -0.504 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)NCc1nnc2n1CCCCC2 ZINC000559419556 1072185689 /nfs/dbraw/zinc/18/56/89/1072185689.db2.gz LMHLIOJAWAWABM-UHFFFAOYSA-N 0 0 431.497 -0.008 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)C[C@H](C)O1 ZINC000559419750 1072185742 /nfs/dbraw/zinc/18/57/42/1072185742.db2.gz OAEOOEVBEDGOKN-IYBDPMFKSA-N 0 0 437.541 -0.075 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)C2=O)cn1 ZINC000559419756 1072185681 /nfs/dbraw/zinc/18/56/81/1072185681.db2.gz OJHSOOSTJRKEKW-AWEZNQCLSA-N 0 0 445.480 -0.988 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)C2=O)cn1 ZINC000559419759 1072185733 /nfs/dbraw/zinc/18/57/33/1072185733.db2.gz OJHSOOSTJRKEKW-CQSZACIVSA-N 0 0 445.480 -0.988 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)C[C@H]2CO)cn1 ZINC000559419941 1072185585 /nfs/dbraw/zinc/18/55/85/1072185585.db2.gz PCJGJJRFLNQPDU-HOTGVXAUSA-N 0 0 446.508 -0.675 20 0 IBADRN O=C(NCCc1ccco1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000559420204 1072185625 /nfs/dbraw/zinc/18/56/25/1072185625.db2.gz QLULUXPLIOQHEG-UHFFFAOYSA-N 0 0 435.506 -0.399 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000559421007 1072185658 /nfs/dbraw/zinc/18/56/58/1072185658.db2.gz ZXVZHLUYMGNULK-UHFFFAOYSA-N 0 0 434.497 -0.022 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000559422464 1072185673 /nfs/dbraw/zinc/18/56/73/1072185673.db2.gz FXLXLZVAAVAVIV-UHFFFAOYSA-N 0 0 445.542 -0.855 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000559422996 1072186219 /nfs/dbraw/zinc/18/62/19/1072186219.db2.gz LQXVTOOOEWBDAU-HNNXBMFYSA-N 0 0 437.541 -0.339 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000559423001 1072186249 /nfs/dbraw/zinc/18/62/49/1072186249.db2.gz LQXVTOOOEWBDAU-OAHLLOKOSA-N 0 0 437.541 -0.339 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1 ZINC000559424689 1072186171 /nfs/dbraw/zinc/18/61/71/1072186171.db2.gz DMHHFZKCOZSEFN-UHFFFAOYSA-N 0 0 438.496 -0.354 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3cn4ccccc4n3)CC2)no1 ZINC000559429135 1072186125 /nfs/dbraw/zinc/18/61/25/1072186125.db2.gz JBLQXBFNRMHUBF-UHFFFAOYSA-N 0 0 425.449 0.030 20 0 IBADRN O=C(NCc1cn2ccccc2n1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559429165 1072186115 /nfs/dbraw/zinc/18/61/15/1072186115.db2.gz CMENIYUHSCLDDP-INIZCTEOSA-N 0 0 435.506 -0.397 20 0 IBADRN O=C(NCc1cn2ccccc2n1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559429169 1071064879 /nfs/dbraw/zinc/06/48/79/1071064879.db2.gz CMENIYUHSCLDDP-MRXNPFEDSA-N 0 0 435.506 -0.397 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccs3)CC2)C[C@H](C)O1 ZINC000559433419 1072186265 /nfs/dbraw/zinc/18/62/65/1072186265.db2.gz YTKWFEMTFUXNOD-GASCZTMLSA-N 0 0 444.579 -0.095 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccs3)CC2)C[C@H](C)O1 ZINC000559433421 1072186210 /nfs/dbraw/zinc/18/62/10/1072186210.db2.gz YTKWFEMTFUXNOD-GJZGRUSLSA-N 0 0 444.579 -0.095 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccs3)CC2)C[C@@H](C)O1 ZINC000559433422 1072186198 /nfs/dbraw/zinc/18/61/98/1072186198.db2.gz YTKWFEMTFUXNOD-HUUCEWRRSA-N 0 0 444.579 -0.095 20 0 IBADRN COCCOCCNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000559433833 1072186156 /nfs/dbraw/zinc/18/61/56/1072186156.db2.gz BGTXEASXKFIMJV-UHFFFAOYSA-N 0 0 429.495 -0.897 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCCO1 ZINC000559434087 1072186278 /nfs/dbraw/zinc/18/62/78/1072186278.db2.gz HDTACFUHIQADCZ-HNNXBMFYSA-N 0 0 425.507 -0.039 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCCO1 ZINC000559434089 1072186284 /nfs/dbraw/zinc/18/62/84/1072186284.db2.gz HDTACFUHIQADCZ-OAHLLOKOSA-N 0 0 425.507 -0.039 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](C)O1 ZINC000559434403 1072186269 /nfs/dbraw/zinc/18/62/69/1072186269.db2.gz NKLJTFZCRGTYBX-GASCZTMLSA-N 0 0 425.507 -0.041 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CC1 ZINC000559434580 1072186145 /nfs/dbraw/zinc/18/61/45/1072186145.db2.gz MCWGFYLNOJCJMC-KRWDZBQOSA-N 0 0 425.507 -0.135 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCOCC2(CC2)C1 ZINC000559434583 1072186288 /nfs/dbraw/zinc/18/62/88/1072186288.db2.gz MUAHBZKPJROYSS-UHFFFAOYSA-N 0 0 437.518 -0.037 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CC1 ZINC000559434585 1072186911 /nfs/dbraw/zinc/18/69/11/1072186911.db2.gz MCWGFYLNOJCJMC-QGZVFWFLSA-N 0 0 425.507 -0.135 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000559434607 1072186794 /nfs/dbraw/zinc/18/67/94/1072186794.db2.gz NFMRSAMIARJFAU-UHFFFAOYSA-N 0 0 449.533 -0.010 20 0 IBADRN C[C@H](O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000559434867 1072186191 /nfs/dbraw/zinc/18/61/91/1072186191.db2.gz PROISXCVHZERIJ-DOTOQJQBSA-N 0 0 439.534 -0.057 20 0 IBADRN C[C@@H](O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000559434876 1072186240 /nfs/dbraw/zinc/18/62/40/1072186240.db2.gz PROISXCVHZERIJ-NVXWUHKLSA-N 0 0 439.534 -0.057 20 0 IBADRN C[C@H](O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000559434878 1072186261 /nfs/dbraw/zinc/18/62/61/1072186261.db2.gz PROISXCVHZERIJ-RDJZCZTQSA-N 0 0 439.534 -0.057 20 0 IBADRN C[C@@H](O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000559434879 1072186100 /nfs/dbraw/zinc/18/61/00/1072186100.db2.gz PROISXCVHZERIJ-WBVHZDCISA-N 0 0 439.534 -0.057 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000559435102 1072186164 /nfs/dbraw/zinc/18/61/64/1072186164.db2.gz VZBWNJFCUFCGQG-IBGZPJMESA-N 0 0 425.507 -0.134 20 0 IBADRN C[C@]1(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOC1 ZINC000559435103 1072186134 /nfs/dbraw/zinc/18/61/34/1072186134.db2.gz VZBWNJFCUFCGQG-LJQANCHMSA-N 0 0 425.507 -0.134 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000559436394 1072186857 /nfs/dbraw/zinc/18/68/57/1072186857.db2.gz MYGUQPIGFCTWLZ-UHFFFAOYSA-N 0 0 435.506 -0.584 20 0 IBADRN O=C(NCCn1ccnc1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000559436694 1072186754 /nfs/dbraw/zinc/18/67/54/1072186754.db2.gz IOQGWCFXYRLKCS-UHFFFAOYSA-N 0 0 449.518 -0.463 20 0 IBADRN COCCCN(CCO)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000559437575 1072186806 /nfs/dbraw/zinc/18/68/06/1072186806.db2.gz ZZBLQJJKIJIUNH-UHFFFAOYSA-N 0 0 443.522 -0.819 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2ccc(OC(F)F)cc2)CC1 ZINC000559440856 1072186718 /nfs/dbraw/zinc/18/67/18/1072186718.db2.gz RYZUTYQGJPFOCG-UHFFFAOYSA-N 0 0 437.407 -0.696 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@H](C)c3cccc(S(N)(=O)=O)c3)CC2)n1 ZINC000559444455 1072187457 /nfs/dbraw/zinc/18/74/57/1072187457.db2.gz ONXWCPHCLMKTTN-CYBMUJFWSA-N 0 0 432.506 -0.042 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@@H](C)c3cccc(S(N)(=O)=O)c3)CC2)n1 ZINC000559444456 1072187568 /nfs/dbraw/zinc/18/75/68/1072187568.db2.gz ONXWCPHCLMKTTN-ZDUSSCGKSA-N 0 0 432.506 -0.042 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000559445220 1072187526 /nfs/dbraw/zinc/18/75/26/1072187526.db2.gz VOJNYMMNJOWGLT-AAEUAGOBSA-N 0 0 432.524 -0.949 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000559445221 1072187536 /nfs/dbraw/zinc/18/75/36/1072187536.db2.gz VOJNYMMNJOWGLT-DGCLKSJQSA-N 0 0 432.524 -0.949 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000559445222 1072187493 /nfs/dbraw/zinc/18/74/93/1072187493.db2.gz VOJNYMMNJOWGLT-WCQYABFASA-N 0 0 432.524 -0.949 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000559445223 1072187551 /nfs/dbraw/zinc/18/75/51/1072187551.db2.gz VOJNYMMNJOWGLT-YPMHNXCESA-N 0 0 432.524 -0.949 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)c1cccc(S(N)(=O)=O)c1 ZINC000559445262 1072187576 /nfs/dbraw/zinc/18/75/76/1072187576.db2.gz WVWDMKIDDVYWTI-CHWSQXEVSA-N 0 0 446.551 -0.701 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)c1cccc(S(N)(=O)=O)c1 ZINC000559445263 1072187556 /nfs/dbraw/zinc/18/75/56/1072187556.db2.gz WVWDMKIDDVYWTI-OLZOCXBDSA-N 0 0 446.551 -0.701 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)c1cccc(S(N)(=O)=O)c1 ZINC000559445264 1072187503 /nfs/dbraw/zinc/18/75/03/1072187503.db2.gz WVWDMKIDDVYWTI-QWHCGFSZSA-N 0 0 446.551 -0.701 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)c1cccc(S(N)(=O)=O)c1 ZINC000559445265 1072187560 /nfs/dbraw/zinc/18/75/60/1072187560.db2.gz WVWDMKIDDVYWTI-STQMWFEESA-N 0 0 446.551 -0.701 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000559445981 1072187565 /nfs/dbraw/zinc/18/75/65/1072187565.db2.gz WAQMZDZXZVZXIM-DOMZBBRYSA-N 0 0 426.495 -0.735 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000559445982 1072187548 /nfs/dbraw/zinc/18/75/48/1072187548.db2.gz WAQMZDZXZVZXIM-IUODEOHRSA-N 0 0 426.495 -0.735 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000559445983 1072187517 /nfs/dbraw/zinc/18/75/17/1072187517.db2.gz WAQMZDZXZVZXIM-SWLSCSKDSA-N 0 0 426.495 -0.735 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000559445984 1072187566 /nfs/dbraw/zinc/18/75/66/1072187566.db2.gz WAQMZDZXZVZXIM-WFASDCNBSA-N 0 0 426.495 -0.735 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCCn2cnc3ccccc32)CC1 ZINC000559446252 1072187529 /nfs/dbraw/zinc/18/75/29/1072187529.db2.gz AYHKRROIMSHWTA-UHFFFAOYSA-N 0 0 442.520 -0.454 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000559446440 1072187562 /nfs/dbraw/zinc/18/75/62/1072187562.db2.gz AZVGPSLCMOHARO-BXUZGUMPSA-N 0 0 432.524 -0.949 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000559446443 1072188050 /nfs/dbraw/zinc/18/80/50/1072188050.db2.gz AZVGPSLCMOHARO-FZMZJTMJSA-N 0 0 432.524 -0.949 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000559446446 1072187976 /nfs/dbraw/zinc/18/79/76/1072187976.db2.gz AZVGPSLCMOHARO-RISCZKNCSA-N 0 0 432.524 -0.949 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000559446449 1072187985 /nfs/dbraw/zinc/18/79/85/1072187985.db2.gz AZVGPSLCMOHARO-SMDDNHRTSA-N 0 0 432.524 -0.949 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2ncc(F)cn2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000559446510 1072188133 /nfs/dbraw/zinc/18/81/33/1072188133.db2.gz CLQFAVXQEJLKOM-GFCCVEGCSA-N 0 0 436.469 -0.211 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2ncc(F)cn2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000559446514 1072187941 /nfs/dbraw/zinc/18/79/41/1072187941.db2.gz CLQFAVXQEJLKOM-LBPRGKRZSA-N 0 0 436.469 -0.211 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(Cc2cnn(C)c2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000559446590 1072188098 /nfs/dbraw/zinc/18/80/98/1072188098.db2.gz DPSOYWPZZQJQMW-AWEZNQCLSA-N 0 0 434.522 -0.411 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(Cc2cnn(C)c2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000559446592 1072188129 /nfs/dbraw/zinc/18/81/29/1072188129.db2.gz DPSOYWPZZQJQMW-CQSZACIVSA-N 0 0 434.522 -0.411 20 0 IBADRN C[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1cccc(S(N)(=O)=O)c1 ZINC000559447784 1071066271 /nfs/dbraw/zinc/06/62/71/1071066271.db2.gz RCWKDCWRFJVMKA-JQWIXIFHSA-N 0 0 441.428 -0.355 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)c1cccc(S(N)(=O)=O)c1 ZINC000559447785 1071066206 /nfs/dbraw/zinc/06/62/06/1071066206.db2.gz RCWKDCWRFJVMKA-PWSUYJOCSA-N 0 0 441.428 -0.355 20 0 IBADRN CCN1CC[NH+](C[C@H](C)CNC(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000559448921 1072187990 /nfs/dbraw/zinc/18/79/90/1072187990.db2.gz USSBNLJMRLVELQ-CVEARBPZSA-N 0 0 439.582 -0.099 20 0 IBADRN CCN1CC[NH+](C[C@@H](C)CNC(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000559448923 1072188105 /nfs/dbraw/zinc/18/81/05/1072188105.db2.gz USSBNLJMRLVELQ-HOTGVXAUSA-N 0 0 439.582 -0.099 20 0 IBADRN CCN1CC[NH+](C[C@H](C)CNC(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000559448926 1072188037 /nfs/dbraw/zinc/18/80/37/1072188037.db2.gz USSBNLJMRLVELQ-HZPDHXFCSA-N 0 0 439.582 -0.099 20 0 IBADRN CCN1CC[NH+](C[C@@H](C)CNC(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000559448928 1072187953 /nfs/dbraw/zinc/18/79/53/1072187953.db2.gz USSBNLJMRLVELQ-JKSUJKDBSA-N 0 0 439.582 -0.099 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000559449892 1072188013 /nfs/dbraw/zinc/18/80/13/1072188013.db2.gz ZBAVOXHNBFSULJ-UHFFFAOYSA-N 0 0 445.564 -0.196 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCc3ccc4c(c3)OCO4)CC2)n1 ZINC000559450646 1072187925 /nfs/dbraw/zinc/18/79/25/1072187925.db2.gz IOPUKDKFWXXMMA-UHFFFAOYSA-N 0 0 431.449 -0.052 20 0 IBADRN O=C(NCCc1ccc2c(c1)OCO2)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000559451940 1072188056 /nfs/dbraw/zinc/18/80/56/1072188056.db2.gz FMKLNLOYUDBJON-UHFFFAOYSA-N 0 0 426.477 -0.198 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000559452870 1072188137 /nfs/dbraw/zinc/18/81/37/1072188137.db2.gz QCPGMFMATUMGCG-UHFFFAOYSA-N 0 0 436.534 -0.212 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCc3ccc4c(c3)OCO4)CC2)no1 ZINC000559454047 1072188083 /nfs/dbraw/zinc/18/80/83/1072188083.db2.gz HERPJXGBOXYVAP-UHFFFAOYSA-N 0 0 443.460 0.153 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000559454562 1072188575 /nfs/dbraw/zinc/18/85/75/1072188575.db2.gz VXASBKIGAJFAQI-UHFFFAOYSA-N 0 0 435.569 -0.046 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NC2CCN(C(C)=O)CC2)CC1 ZINC000559454908 1072188713 /nfs/dbraw/zinc/18/87/13/1072188713.db2.gz FEFQHVDWZAGIHK-UHFFFAOYSA-N 0 0 445.586 -0.376 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1)NC1CC1 ZINC000559458729 1072188617 /nfs/dbraw/zinc/18/86/17/1072188617.db2.gz JKLMSCFXQUHGGG-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cc(OC)c(OC)c(OC)c2)CC1 ZINC000559461169 1072188723 /nfs/dbraw/zinc/18/87/23/1072188723.db2.gz UFAVCBYBLFCSBB-UHFFFAOYSA-N 0 0 429.495 -0.178 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3ccc(CN4CCOCC4)cc3)CC2)n1 ZINC000559461328 1073363565 /nfs/dbraw/zinc/36/35/65/1073363565.db2.gz UUMROVUVCJZRMY-UHFFFAOYSA-N 0 0 445.549 -0.083 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc(OC)c1OC ZINC000559461373 1072188557 /nfs/dbraw/zinc/18/85/57/1072188557.db2.gz WKRPUSBETQFQRY-UHFFFAOYSA-N 0 0 434.493 -0.249 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1)S(C)(=O)=O ZINC000559461397 1072188652 /nfs/dbraw/zinc/18/86/52/1072188652.db2.gz XQSVMPLVFVVIBT-UHFFFAOYSA-N 0 0 440.566 -0.077 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000559461998 1072188536 /nfs/dbraw/zinc/18/85/36/1072188536.db2.gz FKXXEJWQQLTLPU-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000559461999 1072188591 /nfs/dbraw/zinc/18/85/91/1072188591.db2.gz FKXXEJWQQLTLPU-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000559462057 1072188669 /nfs/dbraw/zinc/18/86/69/1072188669.db2.gz HMQRPYCOPNIYHC-UHFFFAOYSA-N 0 0 438.550 -0.371 20 0 IBADRN COc1cc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc(OC)c1OC ZINC000559462302 1072188639 /nfs/dbraw/zinc/18/86/39/1072188639.db2.gz SCBHGPVTLHNDOI-HNNXBMFYSA-N 0 0 425.482 -0.520 20 0 IBADRN COc1cc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc(OC)c1OC ZINC000559462303 1072188481 /nfs/dbraw/zinc/18/84/81/1072188481.db2.gz SCBHGPVTLHNDOI-OAHLLOKOSA-N 0 0 425.482 -0.520 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1=O ZINC000559463235 1072188697 /nfs/dbraw/zinc/18/86/97/1072188697.db2.gz GXNMJBZFYAELOM-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1=O ZINC000559464856 1072188467 /nfs/dbraw/zinc/18/84/67/1072188467.db2.gz IZEPMRHYKXDMSY-CYBMUJFWSA-N 0 0 426.495 -0.782 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1=O ZINC000559464874 1072188728 /nfs/dbraw/zinc/18/87/28/1072188728.db2.gz IZEPMRHYKXDMSY-ZDUSSCGKSA-N 0 0 426.495 -0.782 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cncc(C(=O)OC)c2)CC1 ZINC000559464889 1072188527 /nfs/dbraw/zinc/18/85/27/1072188527.db2.gz DDSREQBAYSXEAY-AWEZNQCLSA-N 0 0 428.511 -0.284 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cncc(C(=O)OC)c2)CC1 ZINC000559464907 1072188709 /nfs/dbraw/zinc/18/87/09/1072188709.db2.gz DDSREQBAYSXEAY-CQSZACIVSA-N 0 0 428.511 -0.284 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000559467466 1072189265 /nfs/dbraw/zinc/18/92/65/1072189265.db2.gz HIMCBNAEQAUQHT-UHFFFAOYSA-N 0 0 433.509 -0.503 20 0 IBADRN O=C(NCCCOC[C@H]1CCCO1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559467499 1072189308 /nfs/dbraw/zinc/18/93/08/1072189308.db2.gz NLNVATUJIQLLRU-GOSISDBHSA-N 0 0 446.504 -0.423 20 0 IBADRN O=C(NCCCOC[C@@H]1CCCO1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559467662 1072189342 /nfs/dbraw/zinc/18/93/42/1072189342.db2.gz NLNVATUJIQLLRU-SFHVURJKSA-N 0 0 446.504 -0.423 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCCOC[C@@H]2CCCO2)CC1 ZINC000559467827 1072189119 /nfs/dbraw/zinc/18/91/19/1072189119.db2.gz DETXTTBRNUAEEO-HNNXBMFYSA-N 0 0 431.497 -0.286 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCCOC[C@H]2CCCO2)CC1 ZINC000559467828 1072189294 /nfs/dbraw/zinc/18/92/94/1072189294.db2.gz DETXTTBRNUAEEO-OAHLLOKOSA-N 0 0 431.497 -0.286 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCOC[C@@H]2CCCO2)cn1 ZINC000559469885 1072189234 /nfs/dbraw/zinc/18/92/34/1072189234.db2.gz HVPUVFVTPVVYKW-DLBZAZTESA-N 0 0 442.538 -0.097 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCOC[C@H]2CCCO2)cn1 ZINC000559470090 1072189166 /nfs/dbraw/zinc/18/91/66/1072189166.db2.gz HVPUVFVTPVVYKW-IAGOWNOFSA-N 0 0 442.538 -0.097 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCOC[C@@H]2CCCO2)cn1 ZINC000559470091 1072189328 /nfs/dbraw/zinc/18/93/28/1072189328.db2.gz HVPUVFVTPVVYKW-IRXDYDNUSA-N 0 0 442.538 -0.097 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCCOC[C@H]2CCCO2)cn1 ZINC000559470092 1072189366 /nfs/dbraw/zinc/18/93/66/1072189366.db2.gz HVPUVFVTPVVYKW-SJORKVTESA-N 0 0 442.538 -0.097 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000559472412 1072189146 /nfs/dbraw/zinc/18/91/46/1072189146.db2.gz DSYFGYDTGNNXNG-HNNXBMFYSA-N 0 0 440.478 -0.797 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000559472413 1072189225 /nfs/dbraw/zinc/18/92/25/1072189225.db2.gz DSYFGYDTGNNXNG-OAHLLOKOSA-N 0 0 440.478 -0.797 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000559472517 1072189203 /nfs/dbraw/zinc/18/92/03/1072189203.db2.gz SXRWPLUAMVUBFW-IBGZPJMESA-N 0 0 440.504 -0.179 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000559472523 1072189212 /nfs/dbraw/zinc/18/92/12/1072189212.db2.gz SXRWPLUAMVUBFW-LJQANCHMSA-N 0 0 440.504 -0.179 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2cn3ccsc3n2)CC1 ZINC000559476055 1072189096 /nfs/dbraw/zinc/18/90/96/1072189096.db2.gz NUALTYYRKIZGOS-AWEZNQCLSA-N 0 0 436.538 -0.303 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2cn3ccsc3n2)CC1 ZINC000559476060 1072189336 /nfs/dbraw/zinc/18/93/36/1072189336.db2.gz NUALTYYRKIZGOS-CQSZACIVSA-N 0 0 436.538 -0.303 20 0 IBADRN Cc1ccc(OCCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C)c1 ZINC000559477409 1072189314 /nfs/dbraw/zinc/18/93/14/1072189314.db2.gz VYQHFTLFPXJXSB-UHFFFAOYSA-N 0 0 432.521 -0.199 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000559480884 1072189783 /nfs/dbraw/zinc/18/97/83/1072189783.db2.gz CTMDCMYWKDNNJI-UHFFFAOYSA-N 0 0 431.486 -0.172 20 0 IBADRN CC(C)COCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000559482144 1072189880 /nfs/dbraw/zinc/18/98/80/1072189880.db2.gz MYRVURMZBDNFEG-CALCHBBNSA-N 0 0 448.586 -0.337 20 0 IBADRN CC(C)COCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000559482171 1072189864 /nfs/dbraw/zinc/18/98/64/1072189864.db2.gz MYRVURMZBDNFEG-IAGOWNOFSA-N 0 0 448.586 -0.337 20 0 IBADRN CC(C)COCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000559482173 1072189837 /nfs/dbraw/zinc/18/98/37/1072189837.db2.gz MYRVURMZBDNFEG-IRXDYDNUSA-N 0 0 448.586 -0.337 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000559484398 1072189777 /nfs/dbraw/zinc/18/97/77/1072189777.db2.gz YVYZVUAEWFHVQA-UHFFFAOYSA-N 0 0 443.522 -0.303 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000559484803 1072189843 /nfs/dbraw/zinc/18/98/43/1072189843.db2.gz XQNIKALIJWWAKW-UHFFFAOYSA-N 0 0 427.523 -0.170 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1)S(C)(=O)=O ZINC000559485286 1072189814 /nfs/dbraw/zinc/18/98/14/1072189814.db2.gz DQWFDKYYUVBGJG-GOSISDBHSA-N 0 0 440.566 -0.036 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1)S(C)(=O)=O ZINC000559485287 1072189870 /nfs/dbraw/zinc/18/98/70/1072189870.db2.gz DQWFDKYYUVBGJG-SFHVURJKSA-N 0 0 440.566 -0.036 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000559485541 1072189792 /nfs/dbraw/zinc/18/97/92/1072189792.db2.gz LOHLPWLMPBICSF-LEWJYISDSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000559485542 1072189804 /nfs/dbraw/zinc/18/98/04/1072189804.db2.gz LOHLPWLMPBICSF-NHCUHLMSSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000559485543 1072189884 /nfs/dbraw/zinc/18/98/84/1072189884.db2.gz LOHLPWLMPBICSF-RTWAWAEBSA-N 0 0 448.564 -0.364 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000559485544 1072189759 /nfs/dbraw/zinc/18/97/59/1072189759.db2.gz LOHLPWLMPBICSF-SFTDATJTSA-N 0 0 448.564 -0.364 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H](CN3CCOCC3)c3ccccc3)C2=O)cn1 ZINC000559486717 1072189850 /nfs/dbraw/zinc/18/98/50/1072189850.db2.gz FPUGOQKUZRUIHX-MOPGFXCFSA-N 0 0 440.504 -0.169 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H](CN3CCOCC3)c3ccccc3)C2=O)cn1 ZINC000559486718 1072189874 /nfs/dbraw/zinc/18/98/74/1072189874.db2.gz FPUGOQKUZRUIHX-OALUTQOASA-N 0 0 440.504 -0.169 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H](CN3CCOCC3)c3ccccc3)C2=O)cn1 ZINC000559486719 1072189859 /nfs/dbraw/zinc/18/98/59/1072189859.db2.gz FPUGOQKUZRUIHX-RBUKOAKNSA-N 0 0 440.504 -0.169 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H](CN3CCOCC3)c3ccccc3)C2=O)cn1 ZINC000559486720 1072189894 /nfs/dbraw/zinc/18/98/94/1072189894.db2.gz FPUGOQKUZRUIHX-RTBURBONSA-N 0 0 440.504 -0.169 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1)C(=O)N1CCOCC1 ZINC000559487913 1072189856 /nfs/dbraw/zinc/18/98/56/1072189856.db2.gz KSJHCINNACCQMC-MOPGFXCFSA-N 0 0 432.521 -0.070 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1)C(=O)N1CCOCC1 ZINC000559487914 1072189831 /nfs/dbraw/zinc/18/98/31/1072189831.db2.gz KSJHCINNACCQMC-OALUTQOASA-N 0 0 432.521 -0.070 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1)C(=O)N1CCOCC1 ZINC000559487915 1072189770 /nfs/dbraw/zinc/18/97/70/1072189770.db2.gz KSJHCINNACCQMC-RBUKOAKNSA-N 0 0 432.521 -0.070 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1)C(=O)N1CCOCC1 ZINC000559487916 1072190513 /nfs/dbraw/zinc/19/05/13/1072190513.db2.gz KSJHCINNACCQMC-RTBURBONSA-N 0 0 432.521 -0.070 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1 ZINC000559488908 1072190407 /nfs/dbraw/zinc/19/04/07/1072190407.db2.gz UHNYYGNOWCNSAA-PMACEKPBSA-N 0 0 434.537 -0.672 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1 ZINC000559488909 1072190330 /nfs/dbraw/zinc/19/03/30/1072190330.db2.gz UHNYYGNOWCNSAA-UXHICEINSA-N 0 0 434.537 -0.672 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1 ZINC000559488910 1072190433 /nfs/dbraw/zinc/19/04/33/1072190433.db2.gz UHNYYGNOWCNSAA-VQTJNVASSA-N 0 0 434.537 -0.672 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1 ZINC000559488911 1072190551 /nfs/dbraw/zinc/19/05/51/1072190551.db2.gz UHNYYGNOWCNSAA-WOJBJXKFSA-N 0 0 434.537 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCC3)CC1)N1CCc2ccccc21 ZINC000559492680 1072190468 /nfs/dbraw/zinc/19/04/68/1072190468.db2.gz DMJPUHWYLWUCPT-UHFFFAOYSA-N 0 0 437.504 -0.426 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccc(N2CCOCC2)nc1)S(C)(=O)=O ZINC000559492730 1072190518 /nfs/dbraw/zinc/19/05/18/1072190518.db2.gz GWQFKTBJNJUOBE-UHFFFAOYSA-N 0 0 427.527 -0.678 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)nc1 ZINC000559492792 1072190477 /nfs/dbraw/zinc/19/04/77/1072190477.db2.gz JHLPSDNBUGPXNN-UHFFFAOYSA-N 0 0 427.465 -0.239 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)c1 ZINC000559492830 1072190319 /nfs/dbraw/zinc/19/03/19/1072190319.db2.gz AMVXMJLHHFPDDB-UHFFFAOYSA-N 0 0 446.533 -0.010 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1ccc(N2CCOCC2)nc1 ZINC000559492839 1072190459 /nfs/dbraw/zinc/19/04/59/1072190459.db2.gz BJAAAERXGXZBCV-UHFFFAOYSA-N 0 0 447.517 -0.371 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC1(c3ccccc3)CC1)C2 ZINC000559493280 1072190450 /nfs/dbraw/zinc/19/04/50/1072190450.db2.gz KUQOODQHTPDWOT-UHFFFAOYSA-N 0 0 426.477 -0.677 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccc(F)c3)CC2)CC1 ZINC000559493592 1072190386 /nfs/dbraw/zinc/19/03/86/1072190386.db2.gz SGDCWKJMEJMFIH-UHFFFAOYSA-N 0 0 441.529 -0.879 20 0 IBADRN C[C@H]1CN(CCOC2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C[C@H](C)O1 ZINC000559493939 1072190394 /nfs/dbraw/zinc/19/03/94/1072190394.db2.gz WHZRLTDHSGNXBF-HOTGVXAUSA-N 0 0 434.541 -0.043 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C[C@@H](C)O1 ZINC000559493940 1072190345 /nfs/dbraw/zinc/19/03/45/1072190345.db2.gz WHZRLTDHSGNXBF-HZPDHXFCSA-N 0 0 434.541 -0.043 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C[C@H](C)O1 ZINC000559493941 1072190497 /nfs/dbraw/zinc/19/04/97/1072190497.db2.gz WHZRLTDHSGNXBF-IYBDPMFKSA-N 0 0 434.541 -0.043 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NCc1ccc(N2CCOCC2)nc1 ZINC000559495107 1072190376 /nfs/dbraw/zinc/19/03/76/1072190376.db2.gz BCUUFNSZIPDGBC-UHFFFAOYSA-N 0 0 440.504 -0.253 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)cc1 ZINC000559496111 1072190485 /nfs/dbraw/zinc/19/04/85/1072190485.db2.gz PCICZUVDCIEUEA-UHFFFAOYSA-N 0 0 448.505 -0.618 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)c1nnc2n1CCCCC2 ZINC000559497645 1072190421 /nfs/dbraw/zinc/19/04/21/1072190421.db2.gz GRMURQHCZIPYPX-KGLIPLIRSA-N 0 0 426.543 -0.031 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)c1nnc2n1CCCCC2 ZINC000559497650 1072190949 /nfs/dbraw/zinc/19/09/49/1072190949.db2.gz GRMURQHCZIPYPX-ZIAGYGMSSA-N 0 0 426.543 -0.031 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)CC2=O)cn1 ZINC000559498806 1072190901 /nfs/dbraw/zinc/19/09/01/1072190901.db2.gz QBIZKKCBGXEMDU-UHFFFAOYSA-N 0 0 427.465 -0.857 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@H](C)O1 ZINC000559499061 1072190912 /nfs/dbraw/zinc/19/09/12/1072190912.db2.gz AFULVSWEQKDNLK-KKBFJZEXSA-N 0 0 434.541 -0.140 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@H](C)O1 ZINC000559499063 1072190926 /nfs/dbraw/zinc/19/09/26/1072190926.db2.gz AFULVSWEQKDNLK-KMCQBPLKSA-N 0 0 434.541 -0.140 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@@H](C)O1 ZINC000559499065 1072190940 /nfs/dbraw/zinc/19/09/40/1072190940.db2.gz AFULVSWEQKDNLK-SZXPJGKVSA-N 0 0 434.541 -0.140 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000559499243 1072191010 /nfs/dbraw/zinc/19/10/10/1072191010.db2.gz BOTQLXIZXGEHMH-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000559499246 1072191119 /nfs/dbraw/zinc/19/11/19/1072191119.db2.gz BOTQLXIZXGEHMH-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1nnc2n1CCCCC2 ZINC000559499907 1072191106 /nfs/dbraw/zinc/19/11/06/1072191106.db2.gz MWWRWGYYLNCYLK-CYBMUJFWSA-N 0 0 444.583 -0.324 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000559500690 1072191049 /nfs/dbraw/zinc/19/10/49/1072191049.db2.gz WSMLSDUDIPTVFT-UHFFFAOYSA-N 0 0 432.525 -0.797 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000559501690 1072191135 /nfs/dbraw/zinc/19/11/35/1072191135.db2.gz QHUVHFOGMGNYDC-NRFANRHFSA-N 0 0 449.577 -0.142 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000559501691 1072190998 /nfs/dbraw/zinc/19/09/98/1072190998.db2.gz QHUVHFOGMGNYDC-OAQYLSRUSA-N 0 0 449.577 -0.142 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000559501763 1072191095 /nfs/dbraw/zinc/19/10/95/1072191095.db2.gz SCEOWJSDIAXZSD-UHFFFAOYSA-N 0 0 433.509 -0.370 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000559502333 1072190955 /nfs/dbraw/zinc/19/09/55/1072190955.db2.gz XGSSISNZVIVTJX-AWEZNQCLSA-N 0 0 434.415 -0.331 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N(CCO)C1CCC1 ZINC000559502995 1072191088 /nfs/dbraw/zinc/19/10/88/1072191088.db2.gz CTACYLIRRPCXDK-UHFFFAOYSA-N 0 0 431.536 -0.241 20 0 IBADRN O=C(NCCn1cccn1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000559503038 1072190988 /nfs/dbraw/zinc/19/09/88/1072190988.db2.gz FSPFEIBIHZZBSN-UHFFFAOYSA-N 0 0 427.508 -0.602 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C[C@H]1CO ZINC000559503213 1072190934 /nfs/dbraw/zinc/19/09/34/1072190934.db2.gz IUSIHRSVLJJFLG-OLZOCXBDSA-N 0 0 431.536 -0.528 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000559503220 1071070321 /nfs/dbraw/zinc/07/03/21/1071070321.db2.gz JRRHHRPAGUGNNF-UHFFFAOYSA-N 0 0 441.535 -0.260 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCOC[C@H]2C)ccc1S(=O)(=O)N(C)C ZINC000559503463 1072191722 /nfs/dbraw/zinc/19/17/22/1072191722.db2.gz UAQAPFQQJKTEBT-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCOC[C@@H]2C)ccc1S(=O)(=O)N(C)C ZINC000559503467 1072191672 /nfs/dbraw/zinc/19/16/72/1072191672.db2.gz UAQAPFQQJKTEBT-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1)c1cnn(C)c1 ZINC000559503594 1072191750 /nfs/dbraw/zinc/19/17/50/1072191750.db2.gz RZPIIOQLOWMVTM-GFCCVEGCSA-N 0 0 441.535 -0.004 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1)c1cnn(C)c1 ZINC000559503596 1072191816 /nfs/dbraw/zinc/19/18/16/1072191816.db2.gz RZPIIOQLOWMVTM-LBPRGKRZSA-N 0 0 441.535 -0.004 20 0 IBADRN COc1cc(NC(=O)C(=O)NCC(=O)NCc2ccco2)ccc1S(=O)(=O)N(C)C ZINC000559503651 1071070724 /nfs/dbraw/zinc/07/07/24/1071070724.db2.gz TZKXQOZZUREYQA-UHFFFAOYSA-N 0 0 438.462 -0.090 20 0 IBADRN Cc1c(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)cnn1C ZINC000559504349 1072191787 /nfs/dbraw/zinc/19/17/87/1072191787.db2.gz OTNNGASZQXXEIE-UHFFFAOYSA-N 0 0 441.535 -0.256 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000559504375 1072191807 /nfs/dbraw/zinc/19/18/07/1072191807.db2.gz QMCKZRUBXVJATO-UHFFFAOYSA-N 0 0 449.551 -0.757 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C1CC1 ZINC000559504632 1072191834 /nfs/dbraw/zinc/19/18/34/1072191834.db2.gz URWXCMDTPJCURQ-AWEZNQCLSA-N 0 0 431.536 -0.074 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C1CC1 ZINC000559504633 1072191728 /nfs/dbraw/zinc/19/17/28/1072191728.db2.gz URWXCMDTPJCURQ-CQSZACIVSA-N 0 0 431.536 -0.074 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1CCN(C2CC2)CC1 ZINC000559504736 1072191736 /nfs/dbraw/zinc/19/17/36/1072191736.db2.gz XXSFZOOKTZSIEL-UHFFFAOYSA-N 0 0 442.563 -0.308 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1=O ZINC000559504754 1072191812 /nfs/dbraw/zinc/19/18/12/1072191812.db2.gz YBATUGDMIFSJDV-CYBMUJFWSA-N 0 0 444.535 -0.878 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1=O ZINC000559504789 1072191759 /nfs/dbraw/zinc/19/17/59/1072191759.db2.gz YBATUGDMIFSJDV-ZDUSSCGKSA-N 0 0 444.535 -0.878 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)co1 ZINC000559505076 1072191706 /nfs/dbraw/zinc/19/17/06/1072191706.db2.gz NTWMVJNYOGVVMR-UHFFFAOYSA-N 0 0 431.448 -0.094 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@H](C)O1 ZINC000559506741 1072191649 /nfs/dbraw/zinc/19/16/49/1072191649.db2.gz AMHSVWVXYSDTQP-HDICACEKSA-N 0 0 447.584 -0.281 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@H](C)O1 ZINC000559506753 1072191694 /nfs/dbraw/zinc/19/16/94/1072191694.db2.gz AMHSVWVXYSDTQP-QZTJIDSGSA-N 0 0 447.584 -0.281 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@H](C)O1 ZINC000559506754 1072191641 /nfs/dbraw/zinc/19/16/41/1072191641.db2.gz AMHSVWVXYSDTQP-ROUUACIJSA-N 0 0 447.584 -0.281 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@H](C)O1 ZINC000559506829 1072192150 /nfs/dbraw/zinc/19/21/50/1072192150.db2.gz BWZNZLVMCZXBCY-HOTGVXAUSA-N 0 0 436.557 -0.109 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@@H](C)O1 ZINC000559506832 1072192263 /nfs/dbraw/zinc/19/22/63/1072192263.db2.gz BWZNZLVMCZXBCY-HZPDHXFCSA-N 0 0 436.557 -0.109 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@H](C)O1 ZINC000559506836 1071070879 /nfs/dbraw/zinc/07/08/79/1071070879.db2.gz BWZNZLVMCZXBCY-IYBDPMFKSA-N 0 0 436.557 -0.109 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C[C@@H](C)O1 ZINC000559508005 1072192205 /nfs/dbraw/zinc/19/22/05/1072192205.db2.gz MXEUNSXFVXSICE-BZUAXINKSA-N 0 0 432.587 -0.222 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C[C@@H](C)O1 ZINC000559508007 1072192252 /nfs/dbraw/zinc/19/22/52/1072192252.db2.gz MXEUNSXFVXSICE-OAGGEKHMSA-N 0 0 432.587 -0.222 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C[C@H](C)O1 ZINC000559508010 1071070930 /nfs/dbraw/zinc/07/09/30/1071070930.db2.gz MXEUNSXFVXSICE-OWCLPIDISA-N 0 0 432.587 -0.222 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C[C@H](C)O1 ZINC000559508012 1072192188 /nfs/dbraw/zinc/19/21/88/1072192188.db2.gz MXEUNSXFVXSICE-XHSDSOJGSA-N 0 0 432.587 -0.222 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1F ZINC000559508085 1072192312 /nfs/dbraw/zinc/19/23/12/1072192312.db2.gz QKBMADMYKBEGOR-UHFFFAOYSA-N 0 0 441.529 -0.613 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NCCc1nnc2n1CCCCC2 ZINC000559509047 1072192161 /nfs/dbraw/zinc/19/21/61/1072192161.db2.gz ZNZTVXANRUKYEQ-HNNXBMFYSA-N 0 0 440.570 -0.016 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NCCc1nnc2n1CCCCC2 ZINC000559509048 1072192226 /nfs/dbraw/zinc/19/22/26/1072192226.db2.gz ZNZTVXANRUKYEQ-OAHLLOKOSA-N 0 0 440.570 -0.016 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C[C@H](C)O1 ZINC000559509849 1072192173 /nfs/dbraw/zinc/19/21/73/1072192173.db2.gz DUNFTSGMHXRCTP-HOTGVXAUSA-N 0 0 434.541 -0.567 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C[C@@H](C)O1 ZINC000559509852 1072192106 /nfs/dbraw/zinc/19/21/06/1072192106.db2.gz DUNFTSGMHXRCTP-HZPDHXFCSA-N 0 0 434.541 -0.567 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C[C@H](C)O1 ZINC000559509854 1072192325 /nfs/dbraw/zinc/19/23/25/1072192325.db2.gz DUNFTSGMHXRCTP-IYBDPMFKSA-N 0 0 434.541 -0.567 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C[C@H](C)O1 ZINC000559510041 1073360025 /nfs/dbraw/zinc/36/00/25/1073360025.db2.gz BEKUSFKQWKPUQR-BETUJISGSA-N 0 0 425.559 -0.227 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C[C@@H](C)O1 ZINC000559510043 1073360125 /nfs/dbraw/zinc/36/01/25/1073360125.db2.gz BEKUSFKQWKPUQR-CHWSQXEVSA-N 0 0 425.559 -0.227 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C[C@H](C)O1 ZINC000559510044 1073361300 /nfs/dbraw/zinc/36/13/00/1073361300.db2.gz BEKUSFKQWKPUQR-STQMWFEESA-N 0 0 425.559 -0.227 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C[C@H](C)O1 ZINC000559510554 1072192232 /nfs/dbraw/zinc/19/22/32/1072192232.db2.gz GHRBBGYXZZZKLA-HOTGVXAUSA-N 0 0 446.552 -0.167 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C[C@@H](C)O1 ZINC000559510558 1072192984 /nfs/dbraw/zinc/19/29/84/1072192984.db2.gz GHRBBGYXZZZKLA-HZPDHXFCSA-N 0 0 446.552 -0.167 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C[C@H](C)O1 ZINC000559510560 1071071055 /nfs/dbraw/zinc/07/10/55/1071071055.db2.gz GHRBBGYXZZZKLA-IYBDPMFKSA-N 0 0 446.552 -0.167 20 0 IBADRN O=C(NCCc1nnc2n1CCCCC2)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000559510566 1072192929 /nfs/dbraw/zinc/19/29/29/1072192929.db2.gz GJPYMNHTHJNXCQ-UHFFFAOYSA-N 0 0 444.583 -0.842 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NCC(C)(C)N2C[C@H](C)O[C@@H](C)C2)C1 ZINC000559511028 1072192134 /nfs/dbraw/zinc/19/21/34/1072192134.db2.gz LUHRUEQIGGEVED-XLAORIBOSA-N 0 0 429.558 -0.137 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000559511029 1072192391 /nfs/dbraw/zinc/19/23/91/1072192391.db2.gz LUHRUEQIGGEVED-XMTFNYHQSA-N 0 0 429.558 -0.137 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000559511030 1072192283 /nfs/dbraw/zinc/19/22/83/1072192283.db2.gz LUHRUEQIGGEVED-XWTMOSNGSA-N 0 0 429.558 -0.137 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)C1 ZINC000559511031 1072192374 /nfs/dbraw/zinc/19/23/74/1072192374.db2.gz LUHRUEQIGGEVED-ZJPYXAASSA-N 0 0 429.558 -0.137 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000559511858 1072192945 /nfs/dbraw/zinc/19/29/45/1072192945.db2.gz ICUFGQYLTUEORT-UHFFFAOYSA-N 0 0 430.464 -0.624 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cnn(-c3ccccc3)c2)CC1)N1CCOCC1 ZINC000559513593 1072192992 /nfs/dbraw/zinc/19/29/92/1072192992.db2.gz CPWIHBYRAUNLFV-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN CC(C)(C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)S(=O)(=O)C1CC1 ZINC000559519617 1071071447 /nfs/dbraw/zinc/07/14/47/1071071447.db2.gz RWIHDJPXASEEBN-UHFFFAOYSA-N 0 0 433.508 -0.433 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)CCOCC1 ZINC000559520510 1071071520 /nfs/dbraw/zinc/07/15/20/1071071520.db2.gz SDIYJLVTFMWEOG-UHFFFAOYSA-N 0 0 434.522 -0.100 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)C1 ZINC000559520962 1072192939 /nfs/dbraw/zinc/19/29/39/1072192939.db2.gz BUQJVOLYNGOESW-LLVKDONJSA-N 0 0 448.427 -0.096 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)C1 ZINC000559520963 1072192869 /nfs/dbraw/zinc/19/28/69/1072192869.db2.gz BUQJVOLYNGOESW-NSHDSACASA-N 0 0 448.427 -0.096 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)CC1 ZINC000559523376 1072192897 /nfs/dbraw/zinc/19/28/97/1072192897.db2.gz GWVMYAQQQICPMP-KRWDZBQOSA-N 0 0 436.509 -0.169 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)CC1 ZINC000559523379 1072192849 /nfs/dbraw/zinc/19/28/49/1072192849.db2.gz GWVMYAQQQICPMP-QGZVFWFLSA-N 0 0 436.509 -0.169 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)CC1=O ZINC000559524812 1072192858 /nfs/dbraw/zinc/19/28/58/1072192858.db2.gz NUKDWDFEZOOEJV-KRWDZBQOSA-N 0 0 436.509 -0.215 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)CC1=O ZINC000559524819 1072193013 /nfs/dbraw/zinc/19/30/13/1072193013.db2.gz NUKDWDFEZOOEJV-QGZVFWFLSA-N 0 0 436.509 -0.215 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)N2CCOCC2)o1 ZINC000559524980 1072192962 /nfs/dbraw/zinc/19/29/62/1072192962.db2.gz MRXBSKQJFKPPFY-KRWDZBQOSA-N 0 0 444.492 -0.314 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)N2CCOCC2)o1 ZINC000559524983 1072193005 /nfs/dbraw/zinc/19/30/05/1072193005.db2.gz MRXBSKQJFKPPFY-QGZVFWFLSA-N 0 0 444.492 -0.314 20 0 IBADRN COC[C@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000559527009 1072193591 /nfs/dbraw/zinc/19/35/91/1072193591.db2.gz XJDSUDMCPMKDDQ-INIZCTEOSA-N 0 0 439.534 -0.040 20 0 IBADRN COC[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC000559527013 1072193661 /nfs/dbraw/zinc/19/36/61/1072193661.db2.gz XJDSUDMCPMKDDQ-MRXNPFEDSA-N 0 0 439.534 -0.040 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)N2CCOCC2)o1 ZINC000559527047 1072193543 /nfs/dbraw/zinc/19/35/43/1072193543.db2.gz XQJCEQJAVZCHPT-CVEARBPZSA-N 0 0 442.538 -0.382 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)N2CCOCC2)o1 ZINC000559527051 1072193617 /nfs/dbraw/zinc/19/36/17/1072193617.db2.gz XQJCEQJAVZCHPT-HZPDHXFCSA-N 0 0 442.538 -0.382 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)N2CCOCC2)o1 ZINC000559527210 1072192881 /nfs/dbraw/zinc/19/28/81/1072192881.db2.gz ZLKHFINKRVMRQP-INIZCTEOSA-N 0 0 435.525 -0.514 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)N2CCOCC2)o1 ZINC000559527214 1072192964 /nfs/dbraw/zinc/19/29/64/1072192964.db2.gz ZLKHFINKRVMRQP-MRXNPFEDSA-N 0 0 435.525 -0.514 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000559527289 1072192952 /nfs/dbraw/zinc/19/29/52/1072192952.db2.gz ZVTRDAOSBJUKTB-UHFFFAOYSA-N 0 0 440.522 -0.941 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NC[C@@H](c1ccc(C)o1)N1CCOCC1)CC2 ZINC000559529119 1072193508 /nfs/dbraw/zinc/19/35/08/1072193508.db2.gz AUNJWOFNRDDROM-CVEARBPZSA-N 0 0 446.508 -0.053 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NC[C@@H](c1ccc(C)o1)N1CCOCC1)CC2 ZINC000559529120 1072193496 /nfs/dbraw/zinc/19/34/96/1072193496.db2.gz AUNJWOFNRDDROM-HOTGVXAUSA-N 0 0 446.508 -0.053 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NC[C@H](c1ccc(C)o1)N1CCOCC1)CC2 ZINC000559529121 1072193645 /nfs/dbraw/zinc/19/36/45/1072193645.db2.gz AUNJWOFNRDDROM-HZPDHXFCSA-N 0 0 446.508 -0.053 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NC[C@H](c1ccc(C)o1)N1CCOCC1)CC2 ZINC000559529122 1072193634 /nfs/dbraw/zinc/19/36/34/1072193634.db2.gz AUNJWOFNRDDROM-JKSUJKDBSA-N 0 0 446.508 -0.053 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](c1nccn1C)c1ccc(F)cc1 ZINC000559529256 1072193529 /nfs/dbraw/zinc/19/35/29/1072193529.db2.gz WXLHZJXFQIYQAU-MSOLQXFVSA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](c1nccn1C)c1ccc(F)cc1 ZINC000559529257 1072193437 /nfs/dbraw/zinc/19/34/37/1072193437.db2.gz WXLHZJXFQIYQAU-QZTJIDSGSA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](c1nccn1C)c1ccc(F)cc1 ZINC000559529258 1072193470 /nfs/dbraw/zinc/19/34/70/1072193470.db2.gz WXLHZJXFQIYQAU-ROUUACIJSA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](c1nccn1C)c1ccc(F)cc1 ZINC000559529259 1072193600 /nfs/dbraw/zinc/19/36/00/1072193600.db2.gz WXLHZJXFQIYQAU-ZWKOTPCHSA-N 0 0 433.484 -0.084 20 0 IBADRN COc1ccc([C@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c2nccn2C)cc1 ZINC000559529372 1072193486 /nfs/dbraw/zinc/19/34/86/1072193486.db2.gz FWJABAVKJQJTIC-INIZCTEOSA-N 0 0 435.506 -0.262 20 0 IBADRN COc1ccc([C@@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c2nccn2C)cc1 ZINC000559529373 1072193651 /nfs/dbraw/zinc/19/36/51/1072193651.db2.gz FWJABAVKJQJTIC-MRXNPFEDSA-N 0 0 435.506 -0.262 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000559529398 1072193624 /nfs/dbraw/zinc/19/36/24/1072193624.db2.gz XPFLJFVNBVVJLB-UHFFFAOYSA-N 0 0 441.414 -0.228 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCn2ncnc2C1 ZINC000559531075 1071072034 /nfs/dbraw/zinc/07/20/34/1071072034.db2.gz ZILKYKKYPIMOMD-UHFFFAOYSA-N 0 0 448.505 -0.901 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CC1 ZINC000559531800 1072193575 /nfs/dbraw/zinc/19/35/75/1072193575.db2.gz MYWYGUVQRNUISG-UHFFFAOYSA-N 0 0 438.550 -0.371 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)CC1 ZINC000559532189 1072193518 /nfs/dbraw/zinc/19/35/18/1072193518.db2.gz UNBBJBIPBQPHAI-KRWDZBQOSA-N 0 0 435.525 -0.642 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)CC1 ZINC000559532190 1072193564 /nfs/dbraw/zinc/19/35/64/1072193564.db2.gz UNBBJBIPBQPHAI-QGZVFWFLSA-N 0 0 435.525 -0.642 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)c1ccccc1 ZINC000559532431 1072193555 /nfs/dbraw/zinc/19/35/55/1072193555.db2.gz AZUARXWJBKYPRI-UHFFFAOYSA-N 0 0 435.506 -0.099 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](c1nccn1C)c1cccc(F)c1 ZINC000559534294 1071071947 /nfs/dbraw/zinc/07/19/47/1071071947.db2.gz LFFXOWNCTUBVIK-MSOLQXFVSA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](c1nccn1C)c1cccc(F)c1 ZINC000559534295 1072194274 /nfs/dbraw/zinc/19/42/74/1072194274.db2.gz LFFXOWNCTUBVIK-QZTJIDSGSA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H](c1nccn1C)c1cccc(F)c1 ZINC000559534297 1071072370 /nfs/dbraw/zinc/07/23/70/1071072370.db2.gz LFFXOWNCTUBVIK-ROUUACIJSA-N 0 0 433.484 -0.084 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H](c1nccn1C)c1cccc(F)c1 ZINC000559534299 1072194230 /nfs/dbraw/zinc/19/42/30/1072194230.db2.gz LFFXOWNCTUBVIK-ZWKOTPCHSA-N 0 0 433.484 -0.084 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CCO1 ZINC000559534317 1072194201 /nfs/dbraw/zinc/19/42/01/1072194201.db2.gz LNDPOVDKPLBWGN-GFCCVEGCSA-N 0 0 428.371 -0.272 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CCO1 ZINC000559534319 1072194142 /nfs/dbraw/zinc/19/41/42/1072194142.db2.gz LNDPOVDKPLBWGN-LBPRGKRZSA-N 0 0 428.371 -0.272 20 0 IBADRN CC(C)[C@H](NC(=O)COc1ccccc1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000559534916 1072194168 /nfs/dbraw/zinc/19/41/68/1072194168.db2.gz STBOUONJQDNXLW-QAPCUYQASA-N 0 0 439.534 -0.032 20 0 IBADRN CC(C)[C@H](NC(=O)COc1ccccc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000559534920 1072194209 /nfs/dbraw/zinc/19/42/09/1072194209.db2.gz STBOUONJQDNXLW-YJBOKZPZSA-N 0 0 439.534 -0.032 20 0 IBADRN Cc1onc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1C ZINC000559536848 1073352662 /nfs/dbraw/zinc/35/26/62/1073352662.db2.gz FARJEWNLOIOJFU-UHFFFAOYSA-N 0 0 436.494 -0.107 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)Nc3nc(C)n(C)n3)CC2)c(OC)c1OC ZINC000559537700 1072194179 /nfs/dbraw/zinc/19/41/79/1072194179.db2.gz VZHJPZWEEFSATP-UHFFFAOYSA-N 0 0 432.481 0.432 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO ZINC000559539181 1072194240 /nfs/dbraw/zinc/19/42/40/1072194240.db2.gz BVOWLJPRKQRBTO-CABCVRRESA-N 0 0 429.539 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO ZINC000559539185 1072194256 /nfs/dbraw/zinc/19/42/56/1072194256.db2.gz BVOWLJPRKQRBTO-GJZGRUSLSA-N 0 0 429.539 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO ZINC000559539186 1071072153 /nfs/dbraw/zinc/07/21/53/1071072153.db2.gz BVOWLJPRKQRBTO-HUUCEWRRSA-N 0 0 429.539 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO ZINC000559539187 1072194260 /nfs/dbraw/zinc/19/42/60/1072194260.db2.gz BVOWLJPRKQRBTO-LSDHHAIUSA-N 0 0 429.539 -0.279 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCC[C@H]1CO ZINC000559540096 1071072052 /nfs/dbraw/zinc/07/20/52/1071072052.db2.gz BCJHKWTYKGNKLA-KRWDZBQOSA-N 0 0 425.507 -0.552 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCNS(C)(=O)=O)nnn1CCOc1ccc(F)cc1 ZINC000559540447 1072194246 /nfs/dbraw/zinc/19/42/46/1072194246.db2.gz OMKNYOGNVMKIGX-UHFFFAOYSA-N 0 0 442.473 -0.201 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)NCCN1CCOC[C@@H]1C ZINC000559540449 1072194253 /nfs/dbraw/zinc/19/42/53/1072194253.db2.gz OMZXRIALFUXBJC-INIZCTEOSA-N 0 0 440.566 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)NCCN1CCOC[C@H]1C ZINC000559540450 1072194160 /nfs/dbraw/zinc/19/41/60/1072194160.db2.gz OMZXRIALFUXBJC-MRXNPFEDSA-N 0 0 440.566 -0.033 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000559540552 1072194192 /nfs/dbraw/zinc/19/41/92/1072194192.db2.gz DGQQNBMPFKYUBZ-GMYLUUGSSA-N 0 0 445.563 -0.652 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000559540564 1072194116 /nfs/dbraw/zinc/19/41/16/1072194116.db2.gz DGQQNBMPFKYUBZ-HJFSHJIFSA-N 0 0 445.563 -0.652 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000559540566 1072194205 /nfs/dbraw/zinc/19/42/05/1072194205.db2.gz DGQQNBMPFKYUBZ-POXGOYDTSA-N 0 0 445.563 -0.652 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000559540567 1072194267 /nfs/dbraw/zinc/19/42/67/1072194267.db2.gz DGQQNBMPFKYUBZ-SUMNFNSASA-N 0 0 445.563 -0.652 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000559541362 1072194130 /nfs/dbraw/zinc/19/41/30/1072194130.db2.gz XGCJDJDLSYPNLS-IAGOWNOFSA-N 0 0 436.534 -0.132 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N(CCO)C1CC1 ZINC000559541768 1072194738 /nfs/dbraw/zinc/19/47/38/1072194738.db2.gz LTXUWUFOGHCJKA-UHFFFAOYSA-N 0 0 425.507 -0.552 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)n1 ZINC000559542483 1072194700 /nfs/dbraw/zinc/19/47/00/1072194700.db2.gz VZSCMQJKZCLDEV-UHFFFAOYSA-N 0 0 435.506 -0.485 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N(CCO)CC(F)F ZINC000559542556 1072194637 /nfs/dbraw/zinc/19/46/37/1072194637.db2.gz YSSCSFVLXNNRDE-UHFFFAOYSA-N 0 0 449.476 -0.449 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)nn1C ZINC000559544381 1073349843 /nfs/dbraw/zinc/34/98/43/1073349843.db2.gz FMYFVLBPQJRIJV-UHFFFAOYSA-N 0 0 426.886 0.249 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc(C(F)(F)F)c3)CC2)n1 ZINC000559545123 1073306826 /nfs/dbraw/zinc/30/68/26/1073306826.db2.gz PYADBECRPCDMSR-UHFFFAOYSA-N 0 0 446.411 0.306 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)nn1C ZINC000559545269 1073349885 /nfs/dbraw/zinc/34/98/85/1073349885.db2.gz UYALTJMLYFOYRJ-UHFFFAOYSA-N 0 0 444.876 0.388 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccccc3Cl)CC2)nn1C ZINC000559545702 1073350053 /nfs/dbraw/zinc/35/00/53/1073350053.db2.gz HDLOMJKCMGUYKZ-UHFFFAOYSA-N 0 0 426.886 0.249 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cn(C)nn3)CC2)c1 ZINC000559545971 1072194651 /nfs/dbraw/zinc/19/46/51/1072194651.db2.gz LPEHNDUMSNMPOS-UHFFFAOYSA-N 0 0 442.479 -0.865 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cn(Cc2ccccc2)nn1 ZINC000559547570 1072194657 /nfs/dbraw/zinc/19/46/57/1072194657.db2.gz DYYJUIMEARPJEI-UHFFFAOYSA-N 0 0 435.492 -0.007 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCCOC3)CC2)cc1 ZINC000559548160 1072194760 /nfs/dbraw/zinc/19/47/60/1072194760.db2.gz NZSZRBKGJYLTNY-AWEZNQCLSA-N 0 0 446.551 -0.224 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCOC3)CC2)cc1 ZINC000559548163 1072194673 /nfs/dbraw/zinc/19/46/73/1072194673.db2.gz NZSZRBKGJYLTNY-CQSZACIVSA-N 0 0 446.551 -0.224 20 0 IBADRN COC(=O)C(C)(C)c1csc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)n1 ZINC000559548422 1072194688 /nfs/dbraw/zinc/19/46/88/1072194688.db2.gz LSUSMYBYUFIVGQ-UHFFFAOYSA-N 0 0 425.511 -0.188 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cs1 ZINC000559549860 1072194646 /nfs/dbraw/zinc/19/46/46/1072194646.db2.gz NHWCEWOCZBLMFF-HNNXBMFYSA-N 0 0 430.552 -0.237 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cs1 ZINC000559549861 1072194682 /nfs/dbraw/zinc/19/46/82/1072194682.db2.gz NHWCEWOCZBLMFF-OAHLLOKOSA-N 0 0 430.552 -0.237 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)CC1 ZINC000559549862 1071072575 /nfs/dbraw/zinc/07/25/75/1071072575.db2.gz NIJVCMZTECYOAP-MSOLQXFVSA-N 0 0 425.574 -0.482 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)CC1 ZINC000559549863 1071072961 /nfs/dbraw/zinc/07/29/61/1071072961.db2.gz NIJVCMZTECYOAP-QZTJIDSGSA-N 0 0 425.574 -0.482 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)CC1 ZINC000559549864 1072194767 /nfs/dbraw/zinc/19/47/67/1072194767.db2.gz NIJVCMZTECYOAP-ROUUACIJSA-N 0 0 425.574 -0.482 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)CC1 ZINC000559549865 1072194751 /nfs/dbraw/zinc/19/47/51/1072194751.db2.gz NIJVCMZTECYOAP-ZWKOTPCHSA-N 0 0 425.574 -0.482 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@H](C)c2nnc3n2CCC3)s1 ZINC000559550115 1072194667 /nfs/dbraw/zinc/19/46/67/1072194667.db2.gz VRJYEERKLAWNNH-LLVKDONJSA-N 0 0 426.524 -0.134 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@@H](C)c2nnc3n2CCC3)s1 ZINC000559550118 1072194627 /nfs/dbraw/zinc/19/46/27/1072194627.db2.gz VRJYEERKLAWNNH-NSHDSACASA-N 0 0 426.524 -0.134 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)C1=O ZINC000559550374 1072194799 /nfs/dbraw/zinc/19/47/99/1072194799.db2.gz HATXBQAMJFYWQQ-DLBZAZTESA-N 0 0 434.541 -0.012 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)C1=O ZINC000559550375 1072194715 /nfs/dbraw/zinc/19/47/15/1072194715.db2.gz HATXBQAMJFYWQQ-IAGOWNOFSA-N 0 0 434.541 -0.012 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)C1=O ZINC000559550376 1072194785 /nfs/dbraw/zinc/19/47/85/1072194785.db2.gz HATXBQAMJFYWQQ-IRXDYDNUSA-N 0 0 434.541 -0.012 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)C1=O ZINC000559550377 1072194728 /nfs/dbraw/zinc/19/47/28/1072194728.db2.gz HATXBQAMJFYWQQ-SJORKVTESA-N 0 0 434.541 -0.012 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)c1nnc2n1CCC2 ZINC000559550400 1072194743 /nfs/dbraw/zinc/19/47/43/1072194743.db2.gz HNTNLEQEAJSTNG-HNNXBMFYSA-N 0 0 425.493 -0.134 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)c1nnc2n1CCC2 ZINC000559550401 1072194707 /nfs/dbraw/zinc/19/47/07/1072194707.db2.gz HNTNLEQEAJSTNG-OAHLLOKOSA-N 0 0 425.493 -0.134 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)N1CCOCC1 ZINC000559550923 1072195553 /nfs/dbraw/zinc/19/55/53/1072195553.db2.gz AKDBPBLESZUZDP-GOSISDBHSA-N 0 0 433.557 -0.812 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)N1CCOCC1 ZINC000559550924 1072195308 /nfs/dbraw/zinc/19/53/08/1072195308.db2.gz AKDBPBLESZUZDP-SFHVURJKSA-N 0 0 433.557 -0.812 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)(N2CCOCC2)C1 ZINC000559551304 1072195443 /nfs/dbraw/zinc/19/54/43/1072195443.db2.gz HMLRAOVVBBVUOL-GSVIPDFHSA-N 0 0 440.585 -0.155 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)(N2CCOCC2)C1 ZINC000559551305 1072195483 /nfs/dbraw/zinc/19/54/83/1072195483.db2.gz HMLRAOVVBBVUOL-LVIWNVNYSA-N 0 0 440.585 -0.155 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)CC1 ZINC000559551736 1072195502 /nfs/dbraw/zinc/19/55/02/1072195502.db2.gz PAIAMZZQUTXYFL-IBGZPJMESA-N 0 0 439.601 -0.138 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)CC1 ZINC000559551737 1071072826 /nfs/dbraw/zinc/07/28/26/1071072826.db2.gz PAIAMZZQUTXYFL-LJQANCHMSA-N 0 0 439.601 -0.138 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn2ccnc12 ZINC000559554034 1072195598 /nfs/dbraw/zinc/19/55/98/1072195598.db2.gz BFDBDKNXIHWYAK-UHFFFAOYSA-N 0 0 449.537 -0.782 20 0 IBADRN O=C(Nc1cc(F)cc(F)c1F)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000559554160 1072195588 /nfs/dbraw/zinc/19/55/88/1072195588.db2.gz DUULEOYGTBICJT-UHFFFAOYSA-N 0 0 436.412 -0.236 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)c1nnc2n1CCC2 ZINC000559554523 1072195362 /nfs/dbraw/zinc/19/53/62/1072195362.db2.gz PLRBJACXHJOZCC-HNNXBMFYSA-N 0 0 431.541 -0.005 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)c1nnc2n1CCC2 ZINC000559554526 1072195544 /nfs/dbraw/zinc/19/55/44/1072195544.db2.gz PLRBJACXHJOZCC-OAHLLOKOSA-N 0 0 431.541 -0.005 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1nnc2n1CCCC2 ZINC000559556210 1072195574 /nfs/dbraw/zinc/19/55/74/1072195574.db2.gz RDWUBNNWYLQZKP-CVEARBPZSA-N 0 0 447.540 -0.817 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1nnc2n1CCCC2 ZINC000559556213 1072195379 /nfs/dbraw/zinc/19/53/79/1072195379.db2.gz RDWUBNNWYLQZKP-HOTGVXAUSA-N 0 0 447.540 -0.817 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1nnc2n1CCCC2 ZINC000559556215 1072195349 /nfs/dbraw/zinc/19/53/49/1072195349.db2.gz RDWUBNNWYLQZKP-HZPDHXFCSA-N 0 0 447.540 -0.817 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnc3n2CCCC3)CC1 ZINC000559556217 1072195288 /nfs/dbraw/zinc/19/52/88/1072195288.db2.gz RDWUBNNWYLQZKP-JKSUJKDBSA-N 0 0 447.540 -0.817 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H](C)c3nnc4n3CCC4)C2)nc1 ZINC000559557996 1072195266 /nfs/dbraw/zinc/19/52/66/1072195266.db2.gz XBVMLOGOOSJYQC-GFCCVEGCSA-N 0 0 427.465 -0.022 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H](C)c3nnc4n3CCC4)C2)nc1 ZINC000559557998 1072195342 /nfs/dbraw/zinc/19/53/42/1072195342.db2.gz XBVMLOGOOSJYQC-LBPRGKRZSA-N 0 0 427.465 -0.022 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000559560476 1072195409 /nfs/dbraw/zinc/19/54/09/1072195409.db2.gz JNRNRCQHIJLMCJ-UHFFFAOYSA-N 0 0 449.489 -0.077 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000559561047 1072196192 /nfs/dbraw/zinc/19/61/92/1072196192.db2.gz AEUOGJRVPSXZID-INIZCTEOSA-N 0 0 445.520 -0.347 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000559561048 1072195964 /nfs/dbraw/zinc/19/59/64/1072195964.db2.gz AEUOGJRVPSXZID-MRXNPFEDSA-N 0 0 445.520 -0.347 20 0 IBADRN O=C(NCCc1cn2ccccc2n1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000559561211 1072196156 /nfs/dbraw/zinc/19/61/56/1072196156.db2.gz DYXQWPHCGWJJGP-UHFFFAOYSA-N 0 0 446.489 -0.345 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCc3cn4ccccc4n3)CC2)no1 ZINC000559561498 1073328863 /nfs/dbraw/zinc/32/88/63/1073328863.db2.gz FZHGAXSDLBVRQP-UHFFFAOYSA-N 0 0 439.476 0.072 20 0 IBADRN O=C(NCCc1cn2ccccc2n1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559561954 1072196130 /nfs/dbraw/zinc/19/61/30/1072196130.db2.gz MOXOEWPWRPKTJN-UHFFFAOYSA-N 0 0 448.483 -0.119 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000559563063 1072196781 /nfs/dbraw/zinc/19/67/81/1072196781.db2.gz FHWKBRAVXIBLRV-UHFFFAOYSA-N 0 0 428.493 -0.094 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000559563418 1072196713 /nfs/dbraw/zinc/19/67/13/1072196713.db2.gz LLFBXSBTNRMPCS-UHFFFAOYSA-N 0 0 438.488 -0.153 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn2ccnc12 ZINC000559565194 1072196731 /nfs/dbraw/zinc/19/67/31/1072196731.db2.gz KMDFXORZJBLFDU-UHFFFAOYSA-N 0 0 426.433 -0.599 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCN(c2cccnn2)CC1 ZINC000559567030 1071073968 /nfs/dbraw/zinc/07/39/68/1071073968.db2.gz CBVINDGSVIMGFX-UHFFFAOYSA-N 0 0 438.488 -0.086 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccnc(N2CCCC2)c1 ZINC000559567186 1071074053 /nfs/dbraw/zinc/07/40/53/1071074053.db2.gz LMSDHLDXXNRYKO-UHFFFAOYSA-N 0 0 441.579 -0.207 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000559567832 1072196556 /nfs/dbraw/zinc/19/65/56/1072196556.db2.gz WBSXFWTXGDOOLM-UHFFFAOYSA-N 0 0 438.488 -0.086 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000559567950 1072196648 /nfs/dbraw/zinc/19/66/48/1072196648.db2.gz VIPNHJPTQIWKJJ-GOSISDBHSA-N 0 0 446.504 -0.471 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCOCC1 ZINC000559567953 1072196771 /nfs/dbraw/zinc/19/67/71/1072196771.db2.gz VIPNHJPTQIWKJJ-SFHVURJKSA-N 0 0 446.504 -0.471 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000559568853 1072196598 /nfs/dbraw/zinc/19/65/98/1072196598.db2.gz XHLJTYHKHQGZSL-KRWDZBQOSA-N 0 0 432.521 -0.046 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000559568854 1072196634 /nfs/dbraw/zinc/19/66/34/1072196634.db2.gz XHLJTYHKHQGZSL-QGZVFWFLSA-N 0 0 432.521 -0.046 20 0 IBADRN O=C(NCCc1cnn(-c2ccccc2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000559568981 1072196756 /nfs/dbraw/zinc/19/67/56/1072196756.db2.gz JTCGLCPLSGYTNV-UHFFFAOYSA-N 0 0 438.488 -0.520 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1)NC1CC1 ZINC000559570010 1072196620 /nfs/dbraw/zinc/19/66/20/1072196620.db2.gz BBWRUTQGGBNYOQ-UHFFFAOYSA-N 0 0 431.493 -0.577 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cccc3cccnc32)CC1 ZINC000559571021 1072197317 /nfs/dbraw/zinc/19/73/17/1072197317.db2.gz RZXZSCSZWLYBGP-UHFFFAOYSA-N 0 0 439.516 -0.104 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)CCO1 ZINC000559572764 1072197197 /nfs/dbraw/zinc/19/71/97/1072197197.db2.gz AGQJCAJCIRLOJT-IBGZPJMESA-N 0 0 445.520 -0.431 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)CCO1 ZINC000559572772 1072197144 /nfs/dbraw/zinc/19/71/44/1072197144.db2.gz AGQJCAJCIRLOJT-LJQANCHMSA-N 0 0 445.520 -0.431 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000559573368 1072197391 /nfs/dbraw/zinc/19/73/91/1072197391.db2.gz GHFASDXEZJSKGL-CHWSQXEVSA-N 0 0 433.552 -0.167 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000559573369 1072197435 /nfs/dbraw/zinc/19/74/35/1072197435.db2.gz GHFASDXEZJSKGL-OLZOCXBDSA-N 0 0 433.552 -0.167 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)S(C)(=O)=O ZINC000559573371 1072197431 /nfs/dbraw/zinc/19/74/31/1072197431.db2.gz GHFASDXEZJSKGL-QWHCGFSZSA-N 0 0 433.552 -0.167 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000559573373 1072197424 /nfs/dbraw/zinc/19/74/24/1072197424.db2.gz GHFASDXEZJSKGL-STQMWFEESA-N 0 0 433.552 -0.167 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000559574230 1072197332 /nfs/dbraw/zinc/19/73/32/1072197332.db2.gz LOKWLGHKGAYYTA-UHFFFAOYSA-N 0 0 433.490 -0.506 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1nnc2n1CCCCC2 ZINC000559574955 1072197132 /nfs/dbraw/zinc/19/71/32/1072197132.db2.gz QBRBAIYXPXSXEP-CQSZACIVSA-N 0 0 428.497 -0.376 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C[C@@]2(CCOC2)O1 ZINC000559576167 1072197187 /nfs/dbraw/zinc/19/71/87/1072197187.db2.gz ZYSLVOVANZCCAE-AUUYWEPGSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C[C@@]2(CCOC2)O1 ZINC000559576170 1072197176 /nfs/dbraw/zinc/19/71/76/1072197176.db2.gz ZYSLVOVANZCCAE-IFXJQAMLSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C[C@]2(CCOC2)O1 ZINC000559576172 1072197356 /nfs/dbraw/zinc/19/73/56/1072197356.db2.gz ZYSLVOVANZCCAE-KUHUBIRLSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C[C@]2(CCOC2)O1 ZINC000559576173 1072197168 /nfs/dbraw/zinc/19/71/68/1072197168.db2.gz ZYSLVOVANZCCAE-LIRRHRJNSA-N 0 0 425.507 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(Cc2nccn2C)CC1 ZINC000559576240 1072197440 /nfs/dbraw/zinc/19/74/40/1072197440.db2.gz GUFNXIXWVRUCEF-UHFFFAOYSA-N 0 0 448.549 -0.369 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)C1 ZINC000559576776 1072197408 /nfs/dbraw/zinc/19/74/08/1072197408.db2.gz SHRBBSVOSCDCDU-KRWDZBQOSA-N 0 0 430.509 -0.264 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)C1 ZINC000559576777 1072197259 /nfs/dbraw/zinc/19/72/59/1072197259.db2.gz SHRBBSVOSCDCDU-QGZVFWFLSA-N 0 0 430.509 -0.264 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccccc2OC(F)F)CC1)N1CCOCC1 ZINC000559578143 1072197375 /nfs/dbraw/zinc/19/73/75/1072197375.db2.gz OZVSOZZQLHCZEF-UHFFFAOYSA-N 0 0 440.447 -0.093 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC3CCN(C(=O)c4ccco4)CC3)CC2=O)cn1 ZINC000559578412 1072197228 /nfs/dbraw/zinc/19/72/28/1072197228.db2.gz HESNVFMPVSZELY-UHFFFAOYSA-N 0 0 428.449 -0.391 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)N1CCOCC1 ZINC000559583088 1072197897 /nfs/dbraw/zinc/19/78/97/1072197897.db2.gz LEYFLWHUZPTBCB-IAGOWNOFSA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)N1CCOCC1 ZINC000559583089 1072197802 /nfs/dbraw/zinc/19/78/02/1072197802.db2.gz LEYFLWHUZPTBCB-SJORKVTESA-N 0 0 432.587 -0.220 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000559587355 1072197886 /nfs/dbraw/zinc/19/78/86/1072197886.db2.gz SQIQAKFJANCADD-UHFFFAOYSA-N 0 0 432.930 -0.475 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)CN1CCOCC1 ZINC000559587440 1072197972 /nfs/dbraw/zinc/19/79/72/1072197972.db2.gz AIAMCRFZEAOJDD-HNNXBMFYSA-N 0 0 426.539 -0.362 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C)CN1CCOCC1 ZINC000559587441 1072197857 /nfs/dbraw/zinc/19/78/57/1072197857.db2.gz AIAMCRFZEAOJDD-OAHLLOKOSA-N 0 0 426.539 -0.362 20 0 IBADRN COc1cc2c(cc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)O[C@H](C)C2 ZINC000559587657 1072198024 /nfs/dbraw/zinc/19/80/24/1072198024.db2.gz VWMBDUMNWQRZNO-CYBMUJFWSA-N 0 0 444.488 -0.416 20 0 IBADRN COc1cc2c(cc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)O[C@@H](C)C2 ZINC000559587659 1072197964 /nfs/dbraw/zinc/19/79/64/1072197964.db2.gz VWMBDUMNWQRZNO-ZDUSSCGKSA-N 0 0 444.488 -0.416 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000559588128 1072197942 /nfs/dbraw/zinc/19/79/42/1072197942.db2.gz ARXJHXDKCVUBTN-KRWDZBQOSA-N 0 0 430.914 -0.281 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000559588136 1072197933 /nfs/dbraw/zinc/19/79/33/1072197933.db2.gz ARXJHXDKCVUBTN-QGZVFWFLSA-N 0 0 430.914 -0.281 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)cn1 ZINC000559588515 1072197986 /nfs/dbraw/zinc/19/79/86/1072197986.db2.gz BUKTWFRTCQOESE-UHFFFAOYSA-N 0 0 443.913 -0.106 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)NCCN1CCSCC1 ZINC000559588547 1072198010 /nfs/dbraw/zinc/19/80/10/1072198010.db2.gz NWAGPWACBOJIGC-UHFFFAOYSA-N 0 0 434.971 -0.101 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(Cc2cnn(C)c2)CC1 ZINC000559588761 1072197878 /nfs/dbraw/zinc/19/78/78/1072197878.db2.gz HRQJRGWOQVBDQB-UHFFFAOYSA-N 0 0 448.549 -0.369 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CCO1 ZINC000559589540 1072198028 /nfs/dbraw/zinc/19/80/28/1072198028.db2.gz BFZPGQMHBPQQKC-UHFFFAOYSA-N 0 0 446.957 -0.039 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C2 ZINC000559589834 1072198625 /nfs/dbraw/zinc/19/86/25/1072198625.db2.gz MCQBYWVISZXOOX-AWEZNQCLSA-N 0 0 427.552 -0.747 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C2 ZINC000559589846 1072198434 /nfs/dbraw/zinc/19/84/34/1072198434.db2.gz MCQBYWVISZXOOX-CQSZACIVSA-N 0 0 427.552 -0.747 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000559590297 1072197818 /nfs/dbraw/zinc/19/78/18/1072197818.db2.gz TYGOKZNZJNJZPG-GFCCVEGCSA-N 0 0 430.914 -0.152 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000559590309 1072198552 /nfs/dbraw/zinc/19/85/52/1072198552.db2.gz TYGOKZNZJNJZPG-LBPRGKRZSA-N 0 0 430.914 -0.152 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCC[C@H](S(C)(=O)=O)C1 ZINC000559590342 1072198618 /nfs/dbraw/zinc/19/86/18/1072198618.db2.gz QMAUNGYNMHGFMR-AWEZNQCLSA-N 0 0 431.536 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC000559590348 1071075256 /nfs/dbraw/zinc/07/52/56/1071075256.db2.gz QMAUNGYNMHGFMR-CQSZACIVSA-N 0 0 431.536 -0.411 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc(F)c3)CC2)CC1 ZINC000559590757 1072198461 /nfs/dbraw/zinc/19/84/61/1072198461.db2.gz TWGXHGZMYQRMSL-UHFFFAOYSA-N 0 0 427.502 -0.922 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C[C@H](C)O1 ZINC000559591190 1072198502 /nfs/dbraw/zinc/19/85/02/1072198502.db2.gz RUTGOHYZTJYUTO-GXTWGEPZSA-N 0 0 433.914 -0.003 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C[C@H](C)O1 ZINC000559591193 1072198511 /nfs/dbraw/zinc/19/85/11/1072198511.db2.gz RUTGOHYZTJYUTO-JSGCOSHPSA-N 0 0 433.914 -0.003 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C[C@@H](C)O1 ZINC000559591194 1072198408 /nfs/dbraw/zinc/19/84/08/1072198408.db2.gz RUTGOHYZTJYUTO-OCCSQVGLSA-N 0 0 433.914 -0.003 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C[C@@H](C)O1 ZINC000559591196 1072198579 /nfs/dbraw/zinc/19/85/79/1072198579.db2.gz RUTGOHYZTJYUTO-TZMCWYRMSA-N 0 0 433.914 -0.003 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000559591549 1072198563 /nfs/dbraw/zinc/19/85/63/1072198563.db2.gz YDRSITMASOJUSF-UHFFFAOYSA-N 0 0 446.957 -0.350 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)C2 ZINC000559591611 1072198825 /nfs/dbraw/zinc/19/88/25/1072198825.db2.gz UPVCXVKFPUATLX-GOSISDBHSA-N 0 0 438.536 -0.123 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)C2 ZINC000559591612 1072198872 /nfs/dbraw/zinc/19/88/72/1072198872.db2.gz UPVCXVKFPUATLX-SFHVURJKSA-N 0 0 438.536 -0.123 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc(F)c3)CC2)C[C@H](C)O1 ZINC000559591718 1072198997 /nfs/dbraw/zinc/19/89/97/1072198997.db2.gz YQTQEOYQGLLPPQ-GASCZTMLSA-N 0 0 442.513 -0.060 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc(F)c3)CC2)C[C@H](C)O1 ZINC000559591719 1072198963 /nfs/dbraw/zinc/19/89/63/1072198963.db2.gz YQTQEOYQGLLPPQ-GJZGRUSLSA-N 0 0 442.513 -0.060 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc(F)c3)CC2)C[C@@H](C)O1 ZINC000559591720 1072198886 /nfs/dbraw/zinc/19/88/86/1072198886.db2.gz YQTQEOYQGLLPPQ-HUUCEWRRSA-N 0 0 442.513 -0.060 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cc1 ZINC000559592211 1072199102 /nfs/dbraw/zinc/19/91/02/1072199102.db2.gz KDJKSWVXIFHVKX-UHFFFAOYSA-N 0 0 439.515 -0.199 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](C(CC)CC)N2CCOCC2)C1 ZINC000559592302 1072198523 /nfs/dbraw/zinc/19/85/23/1072198523.db2.gz CPJHHZFMCKLEME-DLBZAZTESA-N 0 0 432.587 -0.220 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](C(CC)CC)N2CCOCC2)C1 ZINC000559592304 1072198475 /nfs/dbraw/zinc/19/84/75/1072198475.db2.gz CPJHHZFMCKLEME-IAGOWNOFSA-N 0 0 432.587 -0.220 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](C(CC)CC)N2CCOCC2)C1 ZINC000559592308 1072198587 /nfs/dbraw/zinc/19/85/87/1072198587.db2.gz CPJHHZFMCKLEME-IRXDYDNUSA-N 0 0 432.587 -0.220 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](C(CC)CC)N2CCOCC2)C1 ZINC000559592314 1072198930 /nfs/dbraw/zinc/19/89/30/1072198930.db2.gz CPJHHZFMCKLEME-SJORKVTESA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)N1CCOCC1 ZINC000559592458 1072198604 /nfs/dbraw/zinc/19/86/04/1072198604.db2.gz CWYWSLSNSPJWFC-KRWDZBQOSA-N 0 0 425.574 -0.352 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)N1CCOCC1 ZINC000559592474 1072198534 /nfs/dbraw/zinc/19/85/34/1072198534.db2.gz CWYWSLSNSPJWFC-QGZVFWFLSA-N 0 0 425.574 -0.352 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000559592623 1072199019 /nfs/dbraw/zinc/19/90/19/1072199019.db2.gz AECLCYFLHLXVGC-UHFFFAOYSA-N 0 0 446.957 -0.039 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@]2(CCOC2)O1 ZINC000559592663 1072199034 /nfs/dbraw/zinc/19/90/34/1072199034.db2.gz CFSQHWQXMGRDLM-BEFAXECRSA-N 0 0 425.507 -0.122 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@@]2(CCOC2)O1 ZINC000559592664 1072198814 /nfs/dbraw/zinc/19/88/14/1072198814.db2.gz CFSQHWQXMGRDLM-DNVCBOLYSA-N 0 0 425.507 -0.122 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@@]2(CCOC2)O1 ZINC000559592665 1072199120 /nfs/dbraw/zinc/19/91/20/1072199120.db2.gz CFSQHWQXMGRDLM-HNAYVOBHSA-N 0 0 425.507 -0.122 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@]2(CCOC2)O1 ZINC000559592666 1072199005 /nfs/dbraw/zinc/19/90/05/1072199005.db2.gz CFSQHWQXMGRDLM-KXBFYZLASA-N 0 0 425.507 -0.122 20 0 IBADRN COc1cc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)ccn1 ZINC000559592788 1072198803 /nfs/dbraw/zinc/19/88/03/1072198803.db2.gz IBGRPKJSGGJESK-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)n1 ZINC000559592996 1072198908 /nfs/dbraw/zinc/19/89/08/1072198908.db2.gz KARCNCCZJQZNBA-UHFFFAOYSA-N 0 0 432.504 -0.160 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)s1 ZINC000559593170 1072199048 /nfs/dbraw/zinc/19/90/48/1072199048.db2.gz MPXJXTGPSUKMLX-UHFFFAOYSA-N 0 0 445.544 -0.138 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)NC1CCN(C(=O)COC)CC1)N1CCOCC1 ZINC000559593324 1072198977 /nfs/dbraw/zinc/19/89/77/1072198977.db2.gz VIRRRLWPHHJQIY-GOSISDBHSA-N 0 0 426.558 -0.007 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)NC1CCN(C(=O)COC)CC1)N1CCOCC1 ZINC000559593326 1072198948 /nfs/dbraw/zinc/19/89/48/1072198948.db2.gz VIRRRLWPHHJQIY-SFHVURJKSA-N 0 0 426.558 -0.007 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CCN1CC(C)(C)O ZINC000559593507 1072199060 /nfs/dbraw/zinc/19/90/60/1072199060.db2.gz QCJXOFPGDRXRMB-HNNXBMFYSA-N 0 0 426.539 -0.615 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CCN1CC(C)(C)O ZINC000559593510 1072199134 /nfs/dbraw/zinc/19/91/34/1072199134.db2.gz QCJXOFPGDRXRMB-OAHLLOKOSA-N 0 0 426.539 -0.615 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000559595768 1071075292 /nfs/dbraw/zinc/07/52/92/1071075292.db2.gz GENMITIDUWZAQF-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN CCOC(=O)c1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)sc1C(C)=O ZINC000559597301 1072199751 /nfs/dbraw/zinc/19/97/51/1072199751.db2.gz HFUKKCSRIWUWBF-UHFFFAOYSA-N 0 0 446.507 -0.045 20 0 IBADRN O=C(NCc1cn2cc(Cl)ccc2n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000559598085 1072199806 /nfs/dbraw/zinc/19/98/06/1072199806.db2.gz CMRKHKMQWRVMFJ-UHFFFAOYSA-N 0 0 432.868 -0.447 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)N1CCOCC1 ZINC000559598533 1072199639 /nfs/dbraw/zinc/19/96/39/1072199639.db2.gz FFQPPCXEJHLFPA-DLBZAZTESA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)N1CCOCC1 ZINC000559598538 1072199575 /nfs/dbraw/zinc/19/95/75/1072199575.db2.gz FFQPPCXEJHLFPA-IAGOWNOFSA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)N1CCOCC1 ZINC000559598539 1072199621 /nfs/dbraw/zinc/19/96/21/1072199621.db2.gz FFQPPCXEJHLFPA-IRXDYDNUSA-N 0 0 434.541 -0.105 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)N1CCOCC1 ZINC000559598540 1072199737 /nfs/dbraw/zinc/19/97/37/1072199737.db2.gz FFQPPCXEJHLFPA-SJORKVTESA-N 0 0 434.541 -0.105 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccnc(N3CCCC3)c2)CC1 ZINC000559598871 1072199761 /nfs/dbraw/zinc/19/97/61/1072199761.db2.gz FRUFVCBHDFQSFQ-UHFFFAOYSA-N 0 0 444.536 -0.699 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)N1CCOCC1 ZINC000559599758 1072199721 /nfs/dbraw/zinc/19/97/21/1072199721.db2.gz KFQKLCXPTPWOSD-IBGZPJMESA-N 0 0 447.584 -0.422 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)N1CCOCC1 ZINC000559599761 1072199710 /nfs/dbraw/zinc/19/97/10/1072199710.db2.gz KFQKLCXPTPWOSD-LJQANCHMSA-N 0 0 447.584 -0.422 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC000559600142 1072199813 /nfs/dbraw/zinc/19/98/13/1072199813.db2.gz XRMJMFBKWVZDGQ-GOSISDBHSA-N 0 0 425.574 -0.482 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC000559600144 1072199687 /nfs/dbraw/zinc/19/96/87/1072199687.db2.gz XRMJMFBKWVZDGQ-SFHVURJKSA-N 0 0 425.574 -0.482 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c(OC)c1 ZINC000559600429 1072199676 /nfs/dbraw/zinc/19/96/76/1072199676.db2.gz XYUQIYUXFZAMKT-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN O=C(CNC(=O)C(=O)NC1CCN(C(=O)C2CC2)CC1)N1CCN(c2ccccc2)CC1 ZINC000559601123 1072199843 /nfs/dbraw/zinc/19/98/43/1072199843.db2.gz WQUDYIRLAMQTAH-UHFFFAOYSA-N 0 0 441.532 -0.031 20 0 IBADRN O=C(NCCc1cscn1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000559602562 1072199827 /nfs/dbraw/zinc/19/98/27/1072199827.db2.gz UQDBLYNTUURUPE-UHFFFAOYSA-N 0 0 431.522 -0.223 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000559603557 1072199794 /nfs/dbraw/zinc/19/97/94/1072199794.db2.gz DDQBEKFRLWIXRR-IBGZPJMESA-N 0 0 445.568 -0.572 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000559603558 1072199775 /nfs/dbraw/zinc/19/97/75/1072199775.db2.gz DDQBEKFRLWIXRR-LJQANCHMSA-N 0 0 445.568 -0.572 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000559603783 1072200200 /nfs/dbraw/zinc/20/02/00/1072200200.db2.gz GMYQJHJATZMVSS-GOSISDBHSA-N 0 0 444.513 -0.002 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCN(Cc2ccccc2)C1=O ZINC000559603784 1072200133 /nfs/dbraw/zinc/20/01/33/1072200133.db2.gz GMYQJHJATZMVSS-SFHVURJKSA-N 0 0 444.513 -0.002 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@H](COCC2CC2)C1 ZINC000559603895 1071075974 /nfs/dbraw/zinc/07/59/74/1071075974.db2.gz JHOYBLGZJMGZDP-IBGZPJMESA-N 0 0 446.552 -0.241 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@@H](COCC2CC2)C1 ZINC000559604252 1071076206 /nfs/dbraw/zinc/07/62/06/1071076206.db2.gz JHOYBLGZJMGZDP-LJQANCHMSA-N 0 0 446.552 -0.241 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)n1 ZINC000559604301 1072200233 /nfs/dbraw/zinc/20/02/33/1072200233.db2.gz BAXHWCUMCWHISQ-UHFFFAOYSA-N 0 0 431.497 -0.080 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000559604583 1072200285 /nfs/dbraw/zinc/20/02/85/1072200285.db2.gz CSLAUGALYQGJBS-UHFFFAOYSA-N 0 0 448.524 -0.015 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000559604658 1072200152 /nfs/dbraw/zinc/20/01/52/1072200152.db2.gz LXKBIQVLJBTJPN-FQEVSTJZSA-N 0 0 433.509 -0.124 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000559604666 1072200381 /nfs/dbraw/zinc/20/03/81/1072200381.db2.gz LXKBIQVLJBTJPN-HXUWFJFHSA-N 0 0 433.509 -0.124 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1F ZINC000559604748 1072200271 /nfs/dbraw/zinc/20/02/71/1072200271.db2.gz AAUYKIPMUBOQHC-UHFFFAOYSA-N 0 0 435.500 -0.351 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC2(CCOCC2)O1 ZINC000559604772 1072200323 /nfs/dbraw/zinc/20/03/23/1072200323.db2.gz AVSHDEFFCMRYMT-GOSISDBHSA-N 0 0 446.552 -0.099 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC2(CCOCC2)O1 ZINC000559604773 1072200359 /nfs/dbraw/zinc/20/03/59/1072200359.db2.gz AVSHDEFFCMRYMT-SFHVURJKSA-N 0 0 446.552 -0.099 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)c1nnnn1-c1ccccc1 ZINC000559605256 1072200077 /nfs/dbraw/zinc/20/00/77/1072200077.db2.gz GWGOSRSCGNDRKA-AWEZNQCLSA-N 0 0 443.489 -0.066 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)c1nnnn1-c1ccccc1 ZINC000559605259 1072200299 /nfs/dbraw/zinc/20/02/99/1072200299.db2.gz GWGOSRSCGNDRKA-CQSZACIVSA-N 0 0 443.489 -0.066 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000559605311 1072200258 /nfs/dbraw/zinc/20/02/58/1072200258.db2.gz HBHCWLVMDGLHDO-UHFFFAOYSA-N 0 0 432.506 -0.390 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000559605464 1072200247 /nfs/dbraw/zinc/20/02/47/1072200247.db2.gz DEDCKWWYUQMWFD-HNNXBMFYSA-N 0 0 448.524 -0.190 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000559605465 1072200349 /nfs/dbraw/zinc/20/03/49/1072200349.db2.gz DEDCKWWYUQMWFD-OAHLLOKOSA-N 0 0 448.524 -0.190 20 0 IBADRN Cn1cnc(NC(=O)C(=O)NC[C@H](O)COc2ccc(I)cc2)n1 ZINC000559605949 1072200759 /nfs/dbraw/zinc/20/07/59/1072200759.db2.gz RBJXAQZSHKKFNW-JTQLQIEISA-N 0 0 445.217 -0.086 20 0 IBADRN Cn1cnc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(I)cc2)n1 ZINC000559605957 1072200691 /nfs/dbraw/zinc/20/06/91/1072200691.db2.gz RBJXAQZSHKKFNW-SNVBAGLBSA-N 0 0 445.217 -0.086 20 0 IBADRN CC(C)OC[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CCO1 ZINC000559605963 1072200815 /nfs/dbraw/zinc/20/08/15/1072200815.db2.gz JSFMTEMWGYHNQY-GOSISDBHSA-N 0 0 434.541 -0.243 20 0 IBADRN CC(C)OC[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CCO1 ZINC000559605972 1072200902 /nfs/dbraw/zinc/20/09/02/1072200902.db2.gz JSFMTEMWGYHNQY-SFHVURJKSA-N 0 0 434.541 -0.243 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1F ZINC000559605991 1072200704 /nfs/dbraw/zinc/20/07/04/1072200704.db2.gz RXUSCRHTLSJJLU-HNNXBMFYSA-N 0 0 442.513 -0.091 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1F ZINC000559606002 1072200785 /nfs/dbraw/zinc/20/07/85/1072200785.db2.gz RXUSCRHTLSJJLU-OAHLLOKOSA-N 0 0 442.513 -0.091 20 0 IBADRN COC(=O)[C@]1(C)CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@H]1C ZINC000559606541 1072200668 /nfs/dbraw/zinc/20/06/68/1072200668.db2.gz NBRMKUVPEYWTEP-IIBYNOLFSA-N 0 0 432.525 -0.238 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000559606584 1072200920 /nfs/dbraw/zinc/20/09/20/1072200920.db2.gz NUJNIUMJGMMEPV-HNNXBMFYSA-N 0 0 432.506 -0.344 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000559606588 1072200647 /nfs/dbraw/zinc/20/06/47/1072200647.db2.gz NUJNIUMJGMMEPV-OAHLLOKOSA-N 0 0 432.506 -0.344 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000559606637 1072200830 /nfs/dbraw/zinc/20/08/30/1072200830.db2.gz DBDGNDCSZWEQEB-UHFFFAOYSA-N 0 0 431.541 -0.914 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000559606884 1072200851 /nfs/dbraw/zinc/20/08/51/1072200851.db2.gz LKLJUFLXKUINQQ-KRWDZBQOSA-N 0 0 431.541 -0.378 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000559606887 1072200885 /nfs/dbraw/zinc/20/08/85/1072200885.db2.gz LKLJUFLXKUINQQ-QGZVFWFLSA-N 0 0 431.541 -0.378 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000559606920 1072200659 /nfs/dbraw/zinc/20/06/59/1072200659.db2.gz LNZKMUVBQCWEJB-UHFFFAOYSA-N 0 0 437.497 -0.935 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1F ZINC000559607190 1072200629 /nfs/dbraw/zinc/20/06/29/1072200629.db2.gz FDCKZSHAYZBUIP-UHFFFAOYSA-N 0 0 435.500 -0.397 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000559607318 1072200716 /nfs/dbraw/zinc/20/07/16/1072200716.db2.gz HGHCVAUYCDDEKM-INIZCTEOSA-N 0 0 438.554 -0.860 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000559607599 1072200793 /nfs/dbraw/zinc/20/07/93/1072200793.db2.gz HGHCVAUYCDDEKM-MRXNPFEDSA-N 0 0 438.554 -0.860 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000559607858 1072201272 /nfs/dbraw/zinc/20/12/72/1072201272.db2.gz TYHAVNGZYOUCEP-UHFFFAOYSA-N 0 0 426.539 -0.350 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1 ZINC000559607915 1072201466 /nfs/dbraw/zinc/20/14/66/1072201466.db2.gz UZATWKPYOJVZIZ-AWEZNQCLSA-N 0 0 428.486 -0.480 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1 ZINC000559607917 1072201310 /nfs/dbraw/zinc/20/13/10/1072201310.db2.gz UZATWKPYOJVZIZ-CQSZACIVSA-N 0 0 428.486 -0.480 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCc2nccn2Cc2ccccc2)CC1 ZINC000559607978 1072201509 /nfs/dbraw/zinc/20/15/09/1072201509.db2.gz UMWQFMKBCSGKDI-UHFFFAOYSA-N 0 0 426.521 -0.130 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1cccc(-n2cncn2)c1 ZINC000559608231 1072200773 /nfs/dbraw/zinc/20/07/73/1072200773.db2.gz WICGMTMPWJSLLJ-UHFFFAOYSA-N 0 0 428.474 -0.022 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cn1 ZINC000559608243 1072201259 /nfs/dbraw/zinc/20/12/59/1072201259.db2.gz WOGPHZHQEMASEK-UHFFFAOYSA-N 0 0 440.508 -0.225 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000559608258 1072201499 /nfs/dbraw/zinc/20/14/99/1072201499.db2.gz NIIVQGXIOZGNMT-KRWDZBQOSA-N 0 0 444.540 -0.833 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000559608262 1072201400 /nfs/dbraw/zinc/20/14/00/1072201400.db2.gz NIIVQGXIOZGNMT-QGZVFWFLSA-N 0 0 444.540 -0.833 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CCO1 ZINC000559608272 1072201495 /nfs/dbraw/zinc/20/14/95/1072201495.db2.gz XAFPHCSQKYYILO-HNNXBMFYSA-N 0 0 437.497 -0.889 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)CC1 ZINC000559608274 1072201447 /nfs/dbraw/zinc/20/14/47/1072201447.db2.gz NLAIBLBILJPQAL-UHFFFAOYSA-N 0 0 428.486 -0.526 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1F ZINC000559608282 1072201372 /nfs/dbraw/zinc/20/13/72/1072201372.db2.gz NWPLCZCDMLOBFH-UHFFFAOYSA-N 0 0 446.483 -0.119 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CCO1 ZINC000559608283 1072201322 /nfs/dbraw/zinc/20/13/22/1072201322.db2.gz XAFPHCSQKYYILO-OAHLLOKOSA-N 0 0 437.497 -0.889 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1F ZINC000559608534 1072200874 /nfs/dbraw/zinc/20/08/74/1072200874.db2.gz PBDSDXZSNNOUSC-HNNXBMFYSA-N 0 0 447.511 -0.209 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1F ZINC000559608539 1072200744 /nfs/dbraw/zinc/20/07/44/1072200744.db2.gz PBDSDXZSNNOUSC-OAHLLOKOSA-N 0 0 447.511 -0.209 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)CCO2 ZINC000559608568 1072201439 /nfs/dbraw/zinc/20/14/39/1072201439.db2.gz PFLFNKUZVGGYBA-DYESRHJHSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)CCO2 ZINC000559608574 1072201383 /nfs/dbraw/zinc/20/13/83/1072201383.db2.gz PFLFNKUZVGGYBA-LAUBAEHRSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)CCO2 ZINC000559608577 1072201296 /nfs/dbraw/zinc/20/12/96/1072201296.db2.gz PFLFNKUZVGGYBA-UTKZUKDTSA-N 0 0 432.525 -0.489 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCCN1CCN(c3ncccn3)CC1)CCO2 ZINC000559608578 1072201420 /nfs/dbraw/zinc/20/14/20/1072201420.db2.gz PFLFNKUZVGGYBA-UWJYYQICSA-N 0 0 432.525 -0.489 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1F ZINC000559608953 1072202013 /nfs/dbraw/zinc/20/20/13/1072202013.db2.gz XHMXXYWDLSWVEN-UHFFFAOYSA-N 0 0 430.440 -0.411 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)C1 ZINC000559609074 1072201828 /nfs/dbraw/zinc/20/18/28/1072201828.db2.gz IBVBEPWPULFUGI-INIZCTEOSA-N 0 0 440.522 -0.071 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)C1 ZINC000559609075 1072202103 /nfs/dbraw/zinc/20/21/03/1072202103.db2.gz IBVBEPWPULFUGI-MRXNPFEDSA-N 0 0 440.522 -0.071 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CCN(c2cnccn2)CC1 ZINC000559609109 1072201878 /nfs/dbraw/zinc/20/18/78/1072201878.db2.gz XSNCOUUKDWYEOY-UHFFFAOYSA-N 0 0 443.508 -0.114 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@H]1[C@H]1CNC(=O)C1 ZINC000559609122 1072202089 /nfs/dbraw/zinc/20/20/89/1072202089.db2.gz XVINQFBDEOGVCY-MSOLQXFVSA-N 0 0 443.552 -0.378 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@@H]1[C@H]1CNC(=O)C1 ZINC000559609123 1072201860 /nfs/dbraw/zinc/20/18/60/1072201860.db2.gz XVINQFBDEOGVCY-QZTJIDSGSA-N 0 0 443.552 -0.378 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@H]1[C@@H]1CNC(=O)C1 ZINC000559609124 1072201886 /nfs/dbraw/zinc/20/18/86/1072201886.db2.gz XVINQFBDEOGVCY-ROUUACIJSA-N 0 0 443.552 -0.378 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@@H]1[C@@H]1CNC(=O)C1 ZINC000559609125 1072202062 /nfs/dbraw/zinc/20/20/62/1072202062.db2.gz XVINQFBDEOGVCY-ZWKOTPCHSA-N 0 0 443.552 -0.378 20 0 IBADRN CN(C)c1ncc(CN(C)C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cn1 ZINC000559609274 1072201965 /nfs/dbraw/zinc/20/19/65/1072201965.db2.gz YNLZJGBNYTUNBH-UHFFFAOYSA-N 0 0 441.540 -0.381 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1)N1CCCC1 ZINC000559609324 1072201987 /nfs/dbraw/zinc/20/19/87/1072201987.db2.gz IWVMSJLXCKEKEX-UHFFFAOYSA-N 0 0 445.520 -0.233 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000559609332 1072201952 /nfs/dbraw/zinc/20/19/52/1072201952.db2.gz JCQKACLMJUZUHE-UHFFFAOYSA-N 0 0 426.451 -0.456 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000559611237 1072201851 /nfs/dbraw/zinc/20/18/51/1072201851.db2.gz MDWPIYCZIZUGCA-CABCVRRESA-N 0 0 448.524 -0.016 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H](C)C2)CC1 ZINC000559611238 1072202020 /nfs/dbraw/zinc/20/20/20/1072202020.db2.gz MDWPIYCZIZUGCA-GJZGRUSLSA-N 0 0 448.524 -0.016 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000559611239 1072201842 /nfs/dbraw/zinc/20/18/42/1072201842.db2.gz MDWPIYCZIZUGCA-HUUCEWRRSA-N 0 0 448.524 -0.016 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H](C)C2)CC1 ZINC000559611240 1072202679 /nfs/dbraw/zinc/20/26/79/1072202679.db2.gz MDWPIYCZIZUGCA-LSDHHAIUSA-N 0 0 448.524 -0.016 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2cc(N3CCN(C(=O)[C@H](C)O)CC3)nc3cnccc32)CC1 ZINC000559615968 1072202355 /nfs/dbraw/zinc/20/23/55/1072202355.db2.gz FAYKSRVDXVGIOS-CVEARBPZSA-N 0 0 442.520 -0.311 20 0 IBADRN C[C@H](O)C(=O)N1CCN(c2cc(N3CCN(C(=O)[C@H](C)O)CC3)c3ccncc3n2)CC1 ZINC000559615969 1072202568 /nfs/dbraw/zinc/20/25/68/1072202568.db2.gz FAYKSRVDXVGIOS-HOTGVXAUSA-N 0 0 442.520 -0.311 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2cc(N3CCN(C(=O)[C@@H](C)O)CC3)c3ccncc3n2)CC1 ZINC000559615970 1072202613 /nfs/dbraw/zinc/20/26/13/1072202613.db2.gz FAYKSRVDXVGIOS-HZPDHXFCSA-N 0 0 442.520 -0.311 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2cc(N3CCN(C(=O)[C@H](C)O)CC3)c3ccncc3n2)CC1 ZINC000559615971 1072202458 /nfs/dbraw/zinc/20/24/58/1072202458.db2.gz FAYKSRVDXVGIOS-JKSUJKDBSA-N 0 0 442.520 -0.311 20 0 IBADRN COc1cccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000559617895 1072202682 /nfs/dbraw/zinc/20/26/82/1072202682.db2.gz BEZJXEAEHCISOM-HNNXBMFYSA-N 0 0 432.477 -0.598 20 0 IBADRN COc1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000559617899 1072202512 /nfs/dbraw/zinc/20/25/12/1072202512.db2.gz BEZJXEAEHCISOM-OAHLLOKOSA-N 0 0 432.477 -0.598 20 0 IBADRN Cc1ccc([C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000559619020 1072203243 /nfs/dbraw/zinc/20/32/43/1072203243.db2.gz LOJAFXIFDNOYGJ-KRWDZBQOSA-N 0 0 437.566 -0.191 20 0 IBADRN Cc1ccc([C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000559619022 1072203193 /nfs/dbraw/zinc/20/31/93/1072203193.db2.gz LOJAFXIFDNOYGJ-QGZVFWFLSA-N 0 0 437.566 -0.191 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000559623038 1072202956 /nfs/dbraw/zinc/20/29/56/1072202956.db2.gz QCKYMNNVUXPDQB-UHFFFAOYSA-N 0 0 436.534 -0.527 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)cc1 ZINC000559623492 1072203170 /nfs/dbraw/zinc/20/31/70/1072203170.db2.gz DYZSGTNHZHOVEA-LBPRGKRZSA-N 0 0 426.413 -0.160 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC000559624272 1072203273 /nfs/dbraw/zinc/20/32/73/1072203273.db2.gz SMRNMBIHDDIAON-HNNXBMFYSA-N 0 0 443.547 -0.267 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC000559624281 1072203151 /nfs/dbraw/zinc/20/31/51/1072203151.db2.gz SMRNMBIHDDIAON-OAHLLOKOSA-N 0 0 443.547 -0.267 20 0 IBADRN CCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000559624378 1072203227 /nfs/dbraw/zinc/20/32/27/1072203227.db2.gz CIHQVRAGSZDICU-UHFFFAOYSA-N 0 0 427.508 -0.323 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000559625024 1072203075 /nfs/dbraw/zinc/20/30/75/1072203075.db2.gz VOSCGUVJFHDGQM-UHFFFAOYSA-N 0 0 438.550 -0.281 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3ccc(S(C)(=O)=O)cc3)C2=O)n1 ZINC000559625599 1072203064 /nfs/dbraw/zinc/20/30/64/1072203064.db2.gz NQVFCFIQQBACMK-HNNXBMFYSA-N 0 0 433.490 -0.248 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3ccc(S(C)(=O)=O)cc3)C2=O)n1 ZINC000559625604 1072203140 /nfs/dbraw/zinc/20/31/40/1072203140.db2.gz NQVFCFIQQBACMK-OAHLLOKOSA-N 0 0 433.490 -0.248 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccn(-c2ccc(Br)cc2)n1 ZINC000559625677 1072203234 /nfs/dbraw/zinc/20/32/34/1072203234.db2.gz GJGPTNFPCVVHAY-UHFFFAOYSA-N 0 0 435.238 0.241 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1c(F)cccc1Cl)C2 ZINC000559630104 1072203212 /nfs/dbraw/zinc/20/32/12/1072203212.db2.gz FSFPBCRSZCOGEO-UHFFFAOYSA-N 0 0 438.847 -0.416 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1ccc(F)c(Cl)c1)C2 ZINC000559632843 1072203127 /nfs/dbraw/zinc/20/31/27/1072203127.db2.gz PGQBQKYAVZZBRL-UHFFFAOYSA-N 0 0 438.847 -0.416 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2c[nH]c3cc(F)ccc23)CC1)N1CCOCC1 ZINC000559633841 1072203550 /nfs/dbraw/zinc/20/35/50/1072203550.db2.gz WUZVYMYRIQTWQY-UHFFFAOYSA-N 0 0 445.495 -0.031 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000559634317 1072203088 /nfs/dbraw/zinc/20/30/88/1072203088.db2.gz CNMSYYJARVVAFC-UHFFFAOYSA-N 0 0 431.419 -0.568 20 0 IBADRN O=C(NCCn1cnc2ccccc21)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000559634951 1072203760 /nfs/dbraw/zinc/20/37/60/1072203760.db2.gz DETHJZVYOSCOBZ-UHFFFAOYSA-N 0 0 425.536 -0.353 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCCNc3nccc(C(F)(F)F)n3)CC2)n1 ZINC000559634987 1072203743 /nfs/dbraw/zinc/20/37/43/1072203743.db2.gz FRKWXGCINFZXDP-UHFFFAOYSA-N 0 0 445.431 -0.194 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC(F)(F)F)c2)CC1 ZINC000559634994 1072203562 /nfs/dbraw/zinc/20/35/62/1072203562.db2.gz FZYGECMULRSSNW-UHFFFAOYSA-N 0 0 444.457 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC(C)(C)CCO ZINC000559635532 1072203782 /nfs/dbraw/zinc/20/37/82/1072203782.db2.gz HVUMLWCTVWYHQW-UHFFFAOYSA-N 0 0 442.538 -0.153 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000559635735 1072203664 /nfs/dbraw/zinc/20/36/64/1072203664.db2.gz AHYCMQSJRIQEQT-UHFFFAOYSA-N 0 0 435.480 -0.970 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000559643170 1072203691 /nfs/dbraw/zinc/20/36/91/1072203691.db2.gz GFWWCAKVQQKENS-UHFFFAOYSA-N 0 0 428.449 -0.390 20 0 IBADRN Cn1c2ncn(CC(=O)NCCCc3cc(N)n(-c4ccccc4)n3)c2c(=O)n(C)c1=O ZINC000559645995 1072203570 /nfs/dbraw/zinc/20/35/70/1072203570.db2.gz HJOKJBCPJOPKGM-UHFFFAOYSA-N 0 0 436.476 -0.049 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)NCCCS(N)(=O)=O)c2)ccn1 ZINC000559647043 1072203652 /nfs/dbraw/zinc/20/36/52/1072203652.db2.gz SPFHUQMDUKTEQO-UHFFFAOYSA-N 0 0 435.462 -0.033 20 0 IBADRN CC(C)Oc1ccccc1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000559647073 1072203676 /nfs/dbraw/zinc/20/36/76/1072203676.db2.gz YAEIMGJCZKGUKW-UHFFFAOYSA-N 0 0 444.492 -0.421 20 0 IBADRN O=C(NCCc1ccccc1OC(F)F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000559647580 1072203600 /nfs/dbraw/zinc/20/36/00/1072203600.db2.gz BLBHOJXCIHZUAX-UHFFFAOYSA-N 0 0 438.431 -0.104 20 0 IBADRN O=C(NCc1ccc2ccccc2n1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559647812 1072203671 /nfs/dbraw/zinc/20/36/71/1072203671.db2.gz HQZQBNOFTCKXCR-UHFFFAOYSA-N 0 0 439.472 -0.619 20 0 IBADRN CC(C)(CO)CCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000559650475 1072203610 /nfs/dbraw/zinc/20/36/10/1072203610.db2.gz GLJDWTNYYXHAAB-UHFFFAOYSA-N 0 0 444.510 -0.874 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccccn3)CC2)cc1 ZINC000559653956 1072203577 /nfs/dbraw/zinc/20/35/77/1072203577.db2.gz KFGQRXQLIBORFD-UHFFFAOYSA-N 0 0 446.532 -0.576 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(cc(C)c(=O)n3C)c2)CC1 ZINC000559654774 1072204198 /nfs/dbraw/zinc/20/41/98/1072204198.db2.gz APHHSDIEONVJGQ-UHFFFAOYSA-N 0 0 443.504 -0.308 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)CC1 ZINC000559657196 1072204102 /nfs/dbraw/zinc/20/41/02/1072204102.db2.gz LFCNIWNSXOBIIV-HNNXBMFYSA-N 0 0 432.587 -0.390 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)CC1 ZINC000559657197 1072204208 /nfs/dbraw/zinc/20/42/08/1072204208.db2.gz LFCNIWNSXOBIIV-OAHLLOKOSA-N 0 0 432.587 -0.390 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)CC1 ZINC000559657405 1072204143 /nfs/dbraw/zinc/20/41/43/1072204143.db2.gz NBAAILPECGENDM-UHFFFAOYSA-N 0 0 426.543 -0.611 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)CC1 ZINC000559657851 1072204267 /nfs/dbraw/zinc/20/42/67/1072204267.db2.gz LUHFPLBTINSYLZ-UHFFFAOYSA-N 0 0 440.570 -0.615 20 0 IBADRN COC(=O)C(C)(C)c1csc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)n1 ZINC000559658716 1072204151 /nfs/dbraw/zinc/20/41/51/1072204151.db2.gz PIVVMWHSTCCERM-UHFFFAOYSA-N 0 0 448.505 -0.025 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)nc1 ZINC000559659215 1072204126 /nfs/dbraw/zinc/20/41/26/1072204126.db2.gz ZHJGTVDXTQTCRJ-UHFFFAOYSA-N 0 0 425.445 -0.405 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)COC)c2)CC1 ZINC000559659335 1072204093 /nfs/dbraw/zinc/20/40/93/1072204093.db2.gz XPKGCYGZFAXOMA-UHFFFAOYSA-N 0 0 426.495 -0.618 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)nn1C ZINC000559660423 1072204120 /nfs/dbraw/zinc/20/41/20/1072204120.db2.gz ABWLTDJZVOHGSB-UHFFFAOYSA-N 0 0 446.411 0.012 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000559661064 1072204676 /nfs/dbraw/zinc/20/46/76/1072204676.db2.gz KLACQBCSXRXOLG-UHFFFAOYSA-N 0 0 438.488 -0.105 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)cc2)CC1 ZINC000559661747 1072204820 /nfs/dbraw/zinc/20/48/20/1072204820.db2.gz NEZFZGYNYKCCIO-UHFFFAOYSA-N 0 0 445.564 -0.395 20 0 IBADRN CCN1C[C@H](C)N(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H]1C ZINC000559661806 1072204762 /nfs/dbraw/zinc/20/47/62/1072204762.db2.gz PGAOGJRXSVWUAJ-CVEARBPZSA-N 0 0 429.521 -0.184 20 0 IBADRN CCN1C[C@H](C)N(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@H]1C ZINC000559661816 1072204650 /nfs/dbraw/zinc/20/46/50/1072204650.db2.gz PGAOGJRXSVWUAJ-HOTGVXAUSA-N 0 0 429.521 -0.184 20 0 IBADRN CCN1C[C@@H](C)N(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H]1C ZINC000559661819 1072204850 /nfs/dbraw/zinc/20/48/50/1072204850.db2.gz PGAOGJRXSVWUAJ-HZPDHXFCSA-N 0 0 429.521 -0.184 20 0 IBADRN CCN1C[C@@H](C)N(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@H]1C ZINC000559661822 1072204795 /nfs/dbraw/zinc/20/47/95/1072204795.db2.gz PGAOGJRXSVWUAJ-JKSUJKDBSA-N 0 0 429.521 -0.184 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000559662596 1071077779 /nfs/dbraw/zinc/07/77/79/1071077779.db2.gz FVRZEFQHBYLEBG-UHFFFAOYSA-N 0 0 429.568 -0.691 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000559663128 1072204784 /nfs/dbraw/zinc/20/47/84/1072204784.db2.gz UNSVOPXIPMDQKG-QGZVFWFLSA-N 0 0 444.488 -0.324 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H]1CCCO1 ZINC000559663138 1072204844 /nfs/dbraw/zinc/20/48/44/1072204844.db2.gz UQVCMQRMSXHLMU-DLBZAZTESA-N 0 0 432.477 -0.815 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H]1CCCO1 ZINC000559663155 1072204717 /nfs/dbraw/zinc/20/47/17/1072204717.db2.gz UQVCMQRMSXHLMU-IAGOWNOFSA-N 0 0 432.477 -0.815 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCCO1 ZINC000559663157 1072204890 /nfs/dbraw/zinc/20/48/90/1072204890.db2.gz UQVCMQRMSXHLMU-IRXDYDNUSA-N 0 0 432.477 -0.815 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCCO1 ZINC000559663159 1072204885 /nfs/dbraw/zinc/20/48/85/1072204885.db2.gz UQVCMQRMSXHLMU-SJORKVTESA-N 0 0 432.477 -0.815 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)cc2)CC1 ZINC000559663247 1072204626 /nfs/dbraw/zinc/20/46/26/1072204626.db2.gz VHAUCEGNPBYIBJ-QZTJIDSGSA-N 0 0 427.505 -0.573 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CC[C@H](N(C)Cc3nccc(N)n3)C2)cc1 ZINC000559664062 1072205295 /nfs/dbraw/zinc/20/52/95/1072205295.db2.gz XVTUPJBPFOWQKI-INIZCTEOSA-N 0 0 425.493 -0.233 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CC[C@@H](N(C)Cc3nccc(N)n3)C2)cc1 ZINC000559664063 1072205501 /nfs/dbraw/zinc/20/55/01/1072205501.db2.gz XVTUPJBPFOWQKI-MRXNPFEDSA-N 0 0 425.493 -0.233 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559664097 1072205365 /nfs/dbraw/zinc/20/53/65/1072205365.db2.gz ZBPGRIZPTNBBBX-UHFFFAOYSA-N 0 0 426.477 -0.414 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCn3cccn3)CC2)cs1 ZINC000559664110 1072205414 /nfs/dbraw/zinc/20/54/14/1072205414.db2.gz XWWKSCFBWJAYQU-UHFFFAOYSA-N 0 0 426.524 -0.313 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000559664820 1072205405 /nfs/dbraw/zinc/20/54/05/1072205405.db2.gz CHYKUSWQTPNZAJ-UHFFFAOYSA-N 0 0 433.494 -0.330 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1 ZINC000559664855 1072205307 /nfs/dbraw/zinc/20/53/07/1072205307.db2.gz CZUGDAJEYPRFPB-HNNXBMFYSA-N 0 0 445.520 -0.301 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1 ZINC000559664856 1072205200 /nfs/dbraw/zinc/20/52/00/1072205200.db2.gz CZUGDAJEYPRFPB-OAHLLOKOSA-N 0 0 445.520 -0.301 20 0 IBADRN CC(=O)Nc1cccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000559665689 1072205257 /nfs/dbraw/zinc/20/52/57/1072205257.db2.gz IHLQGBGNTNFSAZ-INIZCTEOSA-N 0 0 445.520 -0.175 20 0 IBADRN CC(=O)Nc1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000559665695 1072205318 /nfs/dbraw/zinc/20/53/18/1072205318.db2.gz IHLQGBGNTNFSAZ-MRXNPFEDSA-N 0 0 445.520 -0.175 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)COC)c2)C1 ZINC000559665903 1072205423 /nfs/dbraw/zinc/20/54/23/1072205423.db2.gz KFOMZSRDLMAMIS-HNNXBMFYSA-N 0 0 426.495 -0.572 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)COC)c2)C1 ZINC000559665905 1072205434 /nfs/dbraw/zinc/20/54/34/1072205434.db2.gz KFOMZSRDLMAMIS-OAHLLOKOSA-N 0 0 426.495 -0.572 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCn2cccn2)CC1 ZINC000559666037 1072205247 /nfs/dbraw/zinc/20/52/47/1072205247.db2.gz NKFGANLSMVBKIQ-UHFFFAOYSA-N 0 0 428.559 -0.100 20 0 IBADRN CC(=O)Nc1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000559666134 1072205330 /nfs/dbraw/zinc/20/53/30/1072205330.db2.gz LYYQEKLALOQJFA-CYBMUJFWSA-N 0 0 429.477 -0.228 20 0 IBADRN CC(=O)Nc1cccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000559666141 1072205387 /nfs/dbraw/zinc/20/53/87/1072205387.db2.gz LYYQEKLALOQJFA-ZDUSSCGKSA-N 0 0 429.477 -0.228 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000559666219 1072205482 /nfs/dbraw/zinc/20/54/82/1072205482.db2.gz PALIXZCZGBIVLR-HNNXBMFYSA-N 0 0 440.522 -0.325 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000559666223 1072205490 /nfs/dbraw/zinc/20/54/90/1072205490.db2.gz PALIXZCZGBIVLR-OAHLLOKOSA-N 0 0 440.522 -0.325 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)c1 ZINC000559667091 1072205446 /nfs/dbraw/zinc/20/54/46/1072205446.db2.gz YYDLRDIODFDAMC-HNNXBMFYSA-N 0 0 434.493 -0.017 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)c1 ZINC000559667092 1072206062 /nfs/dbraw/zinc/20/60/62/1072206062.db2.gz YYDLRDIODFDAMC-OAHLLOKOSA-N 0 0 434.493 -0.017 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c1 ZINC000559667177 1072205841 /nfs/dbraw/zinc/20/58/41/1072205841.db2.gz TWBMIEBZLORITL-UHFFFAOYSA-N 0 0 433.509 -0.490 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000559668129 1072205969 /nfs/dbraw/zinc/20/59/69/1072205969.db2.gz APMNVSJFRNCDAY-UHFFFAOYSA-N 0 0 433.509 -0.370 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000559668721 1072205958 /nfs/dbraw/zinc/20/59/58/1072205958.db2.gz IEFOPPAWHRLZEY-UHFFFAOYSA-N 0 0 425.511 -0.972 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cccc(OCC(=O)N(C)C)c1 ZINC000559669719 1072205878 /nfs/dbraw/zinc/20/58/78/1072205878.db2.gz RPGGGYUYHCNBGN-HNNXBMFYSA-N 0 0 440.522 -0.690 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cccc(OCC(=O)N(C)C)c1 ZINC000559669723 1072206037 /nfs/dbraw/zinc/20/60/37/1072206037.db2.gz RPGGGYUYHCNBGN-OAHLLOKOSA-N 0 0 440.522 -0.690 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCCN3CCSCC3)cc2)CC1 ZINC000559670802 1072206014 /nfs/dbraw/zinc/20/60/14/1072206014.db2.gz LOTBKQSWZSLOHU-UHFFFAOYSA-N 0 0 433.578 -0.145 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000559671184 1072205926 /nfs/dbraw/zinc/20/59/26/1072205926.db2.gz VILZAYWFINRQRV-GOSISDBHSA-N 0 0 429.521 -0.183 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC000559671197 1072206046 /nfs/dbraw/zinc/20/60/46/1072206046.db2.gz VILZAYWFINRQRV-SFHVURJKSA-N 0 0 429.521 -0.183 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000559671201 1072205850 /nfs/dbraw/zinc/20/58/50/1072205850.db2.gz VPCGKPZVKPQZED-KRWDZBQOSA-N 0 0 431.537 -0.473 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000559671203 1072205867 /nfs/dbraw/zinc/20/58/67/1072205867.db2.gz VPCGKPZVKPQZED-QGZVFWFLSA-N 0 0 431.537 -0.473 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)cc1OC ZINC000559671336 1072205988 /nfs/dbraw/zinc/20/59/88/1072205988.db2.gz XJGQHTKLAWFSBR-UHFFFAOYSA-N 0 0 432.477 -0.341 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CC[C@](C)(C(=O)NC)C2)cc1OC ZINC000559671538 1072205863 /nfs/dbraw/zinc/20/58/63/1072205863.db2.gz ZHYQHJXRKZQCFU-NRFANRHFSA-N 0 0 434.493 -0.189 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CC[C@@](C)(C(=O)NC)C2)cc1OC ZINC000559671544 1071078456 /nfs/dbraw/zinc/07/84/56/1071078456.db2.gz ZHYQHJXRKZQCFU-OAQYLSRUSA-N 0 0 434.493 -0.189 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000559671710 1072205910 /nfs/dbraw/zinc/20/59/10/1072205910.db2.gz CLUSMNNMKRRDRQ-UHFFFAOYSA-N 0 0 447.536 -0.608 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559672203 1072205948 /nfs/dbraw/zinc/20/59/48/1072205948.db2.gz FKMCNBHBJNIMIT-PBHICJAKSA-N 0 0 432.477 -0.815 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559672204 1072206580 /nfs/dbraw/zinc/20/65/80/1072206580.db2.gz FKMCNBHBJNIMIT-RHSMWYFYSA-N 0 0 432.477 -0.815 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559672205 1072206604 /nfs/dbraw/zinc/20/66/04/1072206604.db2.gz FKMCNBHBJNIMIT-WMLDXEAASA-N 0 0 432.477 -0.815 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559672206 1072206568 /nfs/dbraw/zinc/20/65/68/1072206568.db2.gz FKMCNBHBJNIMIT-YOEHRIQHSA-N 0 0 432.477 -0.815 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000559672317 1071078470 /nfs/dbraw/zinc/07/84/70/1071078470.db2.gz HGGWSHJIOKPJFF-UHFFFAOYSA-N 0 0 428.536 -0.843 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000559672338 1072206021 /nfs/dbraw/zinc/20/60/21/1072206021.db2.gz HIZNWGNKCONPFD-NRFANRHFSA-N 0 0 429.477 -0.111 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC000559672344 1072205902 /nfs/dbraw/zinc/20/59/02/1072205902.db2.gz HIZNWGNKCONPFD-OAQYLSRUSA-N 0 0 429.477 -0.111 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)c1ccco1 ZINC000559672509 1072206005 /nfs/dbraw/zinc/20/60/05/1072206005.db2.gz AOVPUPXGVMXAQS-INIZCTEOSA-N 0 0 428.445 -0.028 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)c1ccco1 ZINC000559672511 1072205892 /nfs/dbraw/zinc/20/58/92/1072205892.db2.gz AOVPUPXGVMXAQS-MRXNPFEDSA-N 0 0 428.445 -0.028 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC000559672540 1072206028 /nfs/dbraw/zinc/20/60/28/1072206028.db2.gz BXRHTUYRWAEQMW-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CN(C)c1ncccc1CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559672833 1071078481 /nfs/dbraw/zinc/07/84/81/1071078481.db2.gz LGCQXTDRUGQAJM-UHFFFAOYSA-N 0 0 438.488 -0.348 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000559673277 1071078493 /nfs/dbraw/zinc/07/84/93/1071078493.db2.gz QLFJAPAKIHAVQJ-KRWDZBQOSA-N 0 0 443.504 -0.608 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000559673283 1072206457 /nfs/dbraw/zinc/20/64/57/1072206457.db2.gz QLFJAPAKIHAVQJ-QGZVFWFLSA-N 0 0 443.504 -0.608 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCCC4)nc3)CC2)c1=O ZINC000559673502 1072206561 /nfs/dbraw/zinc/20/65/61/1072206561.db2.gz MEWQVSVSFGLVIA-UHFFFAOYSA-N 0 0 425.493 -0.260 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C[C@@H](C)O1 ZINC000559673737 1072207213 /nfs/dbraw/zinc/20/72/13/1072207213.db2.gz NRQLCEHQQWDZEW-APWZRJJASA-N 0 0 432.521 -0.047 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C[C@H](C)O1 ZINC000559673739 1072207198 /nfs/dbraw/zinc/20/71/98/1072207198.db2.gz NRQLCEHQQWDZEW-LPHOPBHVSA-N 0 0 432.521 -0.047 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C[C@H](C)O1 ZINC000559673741 1072207181 /nfs/dbraw/zinc/20/71/81/1072207181.db2.gz NRQLCEHQQWDZEW-QFBILLFUSA-N 0 0 432.521 -0.047 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C[C@@H](C)O1 ZINC000559673743 1072206979 /nfs/dbraw/zinc/20/69/79/1072206979.db2.gz NRQLCEHQQWDZEW-VQIMIIECSA-N 0 0 432.521 -0.047 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCN(C(C)=O)C[C@@H]2C)cc1OC ZINC000559673801 1072207174 /nfs/dbraw/zinc/20/71/74/1072207174.db2.gz VQUWOPHWRJLMPU-AWEZNQCLSA-N 0 0 434.493 -0.095 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCN(C(C)=O)C[C@H]2C)cc1OC ZINC000559673802 1072207167 /nfs/dbraw/zinc/20/71/67/1072207167.db2.gz VQUWOPHWRJLMPU-CQSZACIVSA-N 0 0 434.493 -0.095 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000559673962 1072207132 /nfs/dbraw/zinc/20/71/32/1072207132.db2.gz WFWBNBZCSLTVMX-UHFFFAOYSA-N 0 0 428.493 -0.047 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)[C@@H](C)C1 ZINC000559674067 1072207013 /nfs/dbraw/zinc/20/70/13/1072207013.db2.gz YJDULNPXYCDEBS-INIZCTEOSA-N 0 0 448.520 -0.134 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)[C@H](C)C1 ZINC000559674072 1072206933 /nfs/dbraw/zinc/20/69/33/1072206933.db2.gz YJDULNPXYCDEBS-MRXNPFEDSA-N 0 0 448.520 -0.134 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000559674099 1072207063 /nfs/dbraw/zinc/20/70/63/1072207063.db2.gz YONJJIBNWVKRED-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000559674102 1072207159 /nfs/dbraw/zinc/20/71/59/1072207159.db2.gz YONJJIBNWVKRED-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559674301 1072206401 /nfs/dbraw/zinc/20/64/01/1072206401.db2.gz SXKCSVUYSWLZPO-AWEZNQCLSA-N 0 0 431.493 -0.704 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000559674302 1072206667 /nfs/dbraw/zinc/20/66/67/1072206667.db2.gz SXKCSVUYSWLZPO-CQSZACIVSA-N 0 0 431.493 -0.704 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC2(C1)CCOCC2 ZINC000559674306 1072206594 /nfs/dbraw/zinc/20/65/94/1072206594.db2.gz SZQYXBZACCRRNR-UHFFFAOYSA-N 0 0 428.489 -0.096 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000559674349 1072206586 /nfs/dbraw/zinc/20/65/86/1072206586.db2.gz UNSVOPXIPMDQKG-KRWDZBQOSA-N 0 0 444.488 -0.324 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)[C@@H](C)C1 ZINC000559674520 1072206652 /nfs/dbraw/zinc/20/66/52/1072206652.db2.gz XTXBJEIRIPAIAG-INIZCTEOSA-N 0 0 429.521 -0.230 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)[C@H](C)C1 ZINC000559674521 1072206636 /nfs/dbraw/zinc/20/66/36/1072206636.db2.gz XTXBJEIRIPAIAG-MRXNPFEDSA-N 0 0 429.521 -0.230 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cc1 ZINC000559674552 1072206382 /nfs/dbraw/zinc/20/63/82/1072206382.db2.gz YNDHQIIIEADXSL-UHFFFAOYSA-N 0 0 429.521 -0.325 20 0 IBADRN CN(C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C1CCC(CO)CC1 ZINC000559675132 1072207003 /nfs/dbraw/zinc/20/70/03/1072207003.db2.gz AGDLSXDECVNUMW-UHFFFAOYSA-N 0 0 430.505 -0.116 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1 ZINC000559675420 1072207076 /nfs/dbraw/zinc/20/70/76/1072207076.db2.gz GXFZAKCKOWMSMH-UHFFFAOYSA-N 0 0 442.476 -0.258 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)CN1CCOCC1 ZINC000559675433 1072207091 /nfs/dbraw/zinc/20/70/91/1072207091.db2.gz HHSQUMOHZKXWJR-GOSISDBHSA-N 0 0 445.564 -0.225 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)CN1CCOCC1 ZINC000559675434 1072207141 /nfs/dbraw/zinc/20/71/41/1072207141.db2.gz HHSQUMOHZKXWJR-SFHVURJKSA-N 0 0 445.564 -0.225 20 0 IBADRN COCCN(C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H]1CCOC1 ZINC000559675520 1072207192 /nfs/dbraw/zinc/20/71/92/1072207192.db2.gz LCUPQEDHYAPXSP-KRWDZBQOSA-N 0 0 432.477 -0.861 20 0 IBADRN COCCN(C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCOC1 ZINC000559675521 1072206968 /nfs/dbraw/zinc/20/69/68/1072206968.db2.gz LCUPQEDHYAPXSP-QGZVFWFLSA-N 0 0 432.477 -0.861 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc(N3CCCC3)nc2)CC1 ZINC000559675566 1072207052 /nfs/dbraw/zinc/20/70/52/1072207052.db2.gz NDNJPNVDTPBGRA-UHFFFAOYSA-N 0 0 444.536 -0.699 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000559676122 1072207812 /nfs/dbraw/zinc/20/78/12/1072207812.db2.gz XFFFPCURQSNDPK-UHFFFAOYSA-N 0 0 429.521 -0.325 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)NCCNC(=O)c3cnccn3)cn2)CCO1 ZINC000559677884 1072207709 /nfs/dbraw/zinc/20/77/09/1072207709.db2.gz OHAJQQIXAJPYNP-AWEZNQCLSA-N 0 0 427.465 -0.741 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)NCCNC(=O)c3cnccn3)cn2)CCO1 ZINC000559677887 1072207649 /nfs/dbraw/zinc/20/76/49/1072207649.db2.gz OHAJQQIXAJPYNP-CQSZACIVSA-N 0 0 427.465 -0.741 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)cn2)CCO1 ZINC000559678771 1072207693 /nfs/dbraw/zinc/20/76/93/1072207693.db2.gz UJQHQDQHZBQMSA-HNNXBMFYSA-N 0 0 441.492 -0.468 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)cn2)CCO1 ZINC000559678772 1072207732 /nfs/dbraw/zinc/20/77/32/1072207732.db2.gz UJQHQDQHZBQMSA-OAHLLOKOSA-N 0 0 441.492 -0.468 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1 ZINC000559680758 1072207590 /nfs/dbraw/zinc/20/75/90/1072207590.db2.gz LMJAUYMCNFZETI-UHFFFAOYSA-N 0 0 429.477 0.433 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@H](C)O1 ZINC000559682966 1072208351 /nfs/dbraw/zinc/20/83/51/1072208351.db2.gz QVVOGKNCVBAJAB-CALCHBBNSA-N 0 0 446.552 -0.408 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@@H](C)O1 ZINC000559682974 1072208271 /nfs/dbraw/zinc/20/82/71/1072208271.db2.gz QVVOGKNCVBAJAB-IAGOWNOFSA-N 0 0 446.552 -0.408 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)C[C@H](C)O1 ZINC000559682977 1072208378 /nfs/dbraw/zinc/20/83/78/1072208378.db2.gz QVVOGKNCVBAJAB-IRXDYDNUSA-N 0 0 446.552 -0.408 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)CC1 ZINC000559684578 1072208263 /nfs/dbraw/zinc/20/82/63/1072208263.db2.gz BZECNBHRXMJTSP-KRWDZBQOSA-N 0 0 446.552 -0.408 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)CC1 ZINC000559684579 1072208374 /nfs/dbraw/zinc/20/83/74/1072208374.db2.gz BZECNBHRXMJTSP-QGZVFWFLSA-N 0 0 446.552 -0.408 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c1 ZINC000559684948 1072208370 /nfs/dbraw/zinc/20/83/70/1072208370.db2.gz GNYFFHUHKWMQNU-UHFFFAOYSA-N 0 0 447.521 -0.448 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000559685463 1072208142 /nfs/dbraw/zinc/20/81/42/1072208142.db2.gz DLBTZHRWWBKFTB-INIZCTEOSA-N 0 0 431.493 -0.168 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000559685464 1072208156 /nfs/dbraw/zinc/20/81/56/1072208156.db2.gz DLBTZHRWWBKFTB-MRXNPFEDSA-N 0 0 431.493 -0.168 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000559686395 1072208302 /nfs/dbraw/zinc/20/83/02/1072208302.db2.gz IJKILDQTCBCYKC-UHFFFAOYSA-N 0 0 427.465 -0.649 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000559686435 1072208291 /nfs/dbraw/zinc/20/82/91/1072208291.db2.gz MEFSEQOSTONJGK-OAHLLOKOSA-N 0 0 440.522 -0.690 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccnc2OC)C1 ZINC000559687742 1072208889 /nfs/dbraw/zinc/20/88/89/1072208889.db2.gz UPHFCARDXOCVTN-HNNXBMFYSA-N 0 0 428.511 -0.395 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccnc2OC)C1 ZINC000559687749 1072208858 /nfs/dbraw/zinc/20/88/58/1072208858.db2.gz UPHFCARDXOCVTN-OAHLLOKOSA-N 0 0 428.511 -0.395 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000559687871 1072208781 /nfs/dbraw/zinc/20/87/81/1072208781.db2.gz UNQBRKAZOBBPQR-UHFFFAOYSA-N 0 0 447.536 -0.561 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC000559687886 1072208850 /nfs/dbraw/zinc/20/88/50/1072208850.db2.gz USZYIPSDUBZFCO-UHFFFAOYSA-N 0 0 430.383 -0.040 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)c1 ZINC000559688049 1072208725 /nfs/dbraw/zinc/20/87/25/1072208725.db2.gz WACYMSRNUVTWEG-GOSISDBHSA-N 0 0 432.521 -0.050 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c1 ZINC000559688050 1072208867 /nfs/dbraw/zinc/20/88/67/1072208867.db2.gz WACYMSRNUVTWEG-SFHVURJKSA-N 0 0 432.521 -0.050 20 0 IBADRN O=C(NCc1ccc(OC2CCCC2)nc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000559688184 1072208697 /nfs/dbraw/zinc/20/86/97/1072208697.db2.gz XYIIWUKJVZYBMX-UHFFFAOYSA-N 0 0 443.504 -0.031 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(OCC(F)(F)F)nc1 ZINC000559688553 1072208915 /nfs/dbraw/zinc/20/89/15/1072208915.db2.gz FFGBQNKEUIUDPC-AWEZNQCLSA-N 0 0 434.415 -0.210 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(OCC(F)(F)F)nc1 ZINC000559688562 1072208790 /nfs/dbraw/zinc/20/87/90/1072208790.db2.gz FFGBQNKEUIUDPC-CQSZACIVSA-N 0 0 434.415 -0.210 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000559689806 1072208930 /nfs/dbraw/zinc/20/89/30/1072208930.db2.gz OIEHHJLZVUUXGF-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2cccnc2OCC(F)(F)F)CC1 ZINC000559690467 1072208812 /nfs/dbraw/zinc/20/88/12/1072208812.db2.gz VYZIBBWJTFRAFB-UHFFFAOYSA-N 0 0 431.415 -0.081 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccnc(OCC(F)(F)F)c2)CC1 ZINC000559690501 1072208897 /nfs/dbraw/zinc/20/88/97/1072208897.db2.gz PPIQAMKAWXNDDS-UHFFFAOYSA-N 0 0 431.415 -0.081 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cccnc3N3CCOCC3)CC2=O)cn1 ZINC000559691797 1072208771 /nfs/dbraw/zinc/20/87/71/1072208771.db2.gz KLJWWCUQIVBDKW-UHFFFAOYSA-N 0 0 427.465 -0.857 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000559692215 1072208884 /nfs/dbraw/zinc/20/88/84/1072208884.db2.gz ZPGBEAGMHACFAV-UHFFFAOYSA-N 0 0 441.492 -0.258 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1=O ZINC000559692430 1072208747 /nfs/dbraw/zinc/20/87/47/1072208747.db2.gz CRCZCTMMXHIWMD-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000559692497 1072208912 /nfs/dbraw/zinc/20/89/12/1072208912.db2.gz CATUUDKQPMAMBY-INIZCTEOSA-N 0 0 444.536 -0.654 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000559692498 1072208840 /nfs/dbraw/zinc/20/88/40/1072208840.db2.gz CATUUDKQPMAMBY-MRXNPFEDSA-N 0 0 444.536 -0.654 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1cccc(CN2CCOCC2)c1)S(C)(=O)=O ZINC000559692818 1072209394 /nfs/dbraw/zinc/20/93/94/1072209394.db2.gz HGWAXWUIIKIPCL-UHFFFAOYSA-N 0 0 440.566 -0.077 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000559692889 1072209323 /nfs/dbraw/zinc/20/93/23/1072209323.db2.gz ICXNUYGALLUCGF-INIZCTEOSA-N 0 0 427.509 -0.058 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000559692891 1072208832 /nfs/dbraw/zinc/20/88/32/1072208832.db2.gz ICXNUYGALLUCGF-MRXNPFEDSA-N 0 0 427.509 -0.058 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000559693195 1072208710 /nfs/dbraw/zinc/20/87/10/1072208710.db2.gz KPVOBUXBYYDAAM-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000559693196 1072208947 /nfs/dbraw/zinc/20/89/47/1072208947.db2.gz KPVOBUXBYYDAAM-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1cccc(CN2CCOCC2)c1 ZINC000559693766 1072209458 /nfs/dbraw/zinc/20/94/58/1072209458.db2.gz QGMUXFRKUKWTSK-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000559693812 1072209414 /nfs/dbraw/zinc/20/94/14/1072209414.db2.gz QHQMAEBYJZNOIG-UHFFFAOYSA-N 0 0 438.550 -0.371 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000559694446 1072209362 /nfs/dbraw/zinc/20/93/62/1072209362.db2.gz MRHTVEWZFNOIHG-UHFFFAOYSA-N 0 0 445.564 -0.243 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000559694519 1072209497 /nfs/dbraw/zinc/20/94/97/1072209497.db2.gz TVDXXNKVVYLSOP-HNNXBMFYSA-N 0 0 439.538 -0.678 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000559694520 1072209486 /nfs/dbraw/zinc/20/94/86/1072209486.db2.gz TVDXXNKVVYLSOP-OAHLLOKOSA-N 0 0 439.538 -0.678 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCC[C@@H]3C(=O)N3CCSCC3)c2C)no1 ZINC000559695692 1072209451 /nfs/dbraw/zinc/20/94/51/1072209451.db2.gz IPFXVQHQKFXHIC-CYBMUJFWSA-N 0 0 448.509 -0.169 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCC[C@H]3C(=O)N3CCSCC3)c2C)no1 ZINC000559695958 1072209404 /nfs/dbraw/zinc/20/94/04/1072209404.db2.gz IPFXVQHQKFXHIC-ZDUSSCGKSA-N 0 0 448.509 -0.169 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1cccc(CN2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000559696114 1072209439 /nfs/dbraw/zinc/20/94/39/1072209439.db2.gz UXKCBNXLIYSHJG-IBGZPJMESA-N 0 0 432.521 -0.111 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1cccc(CN2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000559696115 1072209491 /nfs/dbraw/zinc/20/94/91/1072209491.db2.gz UXKCBNXLIYSHJG-LJQANCHMSA-N 0 0 432.521 -0.111 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCc3cccnc3N3CCOCC3)CC2)n1 ZINC000559696428 1072209348 /nfs/dbraw/zinc/20/93/48/1072209348.db2.gz XHYWZJDVJUFMJQ-UHFFFAOYSA-N 0 0 432.510 -0.683 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3ccnc(-n4cccn4)c3)CC2)nc1 ZINC000559702007 1072209333 /nfs/dbraw/zinc/20/93/33/1072209333.db2.gz GCNOZBHCKBJXKN-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000559706664 1072209308 /nfs/dbraw/zinc/20/93/08/1072209308.db2.gz YAUUHDDJUQXAIG-UHFFFAOYSA-N 0 0 446.504 -0.379 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)ccc21 ZINC000559708390 1072209469 /nfs/dbraw/zinc/20/94/69/1072209469.db2.gz FIDNNQCGBHGYMQ-UHFFFAOYSA-N 0 0 439.476 -0.291 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4C)CC2)n1 ZINC000559710575 1072209430 /nfs/dbraw/zinc/20/94/30/1072209430.db2.gz MUXJBEZCFSDKGA-UHFFFAOYSA-N 0 0 444.448 -0.146 20 0 IBADRN Cc1nc(NC(=O)C(=O)NCCCN(C2CC2)S(=O)(=O)c2cccc(F)c2)nn1C ZINC000559714866 1072209501 /nfs/dbraw/zinc/20/95/01/1072209501.db2.gz JKFXJVWAUNPHGR-UHFFFAOYSA-N 0 0 438.485 0.561 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1c(F)cccc1F ZINC000559715986 1072209945 /nfs/dbraw/zinc/20/99/45/1072209945.db2.gz RLKPRZXIFLZATP-CYBMUJFWSA-N 0 0 438.431 -0.328 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1c(F)cccc1F ZINC000559715992 1072209809 /nfs/dbraw/zinc/20/98/09/1072209809.db2.gz RLKPRZXIFLZATP-ZDUSSCGKSA-N 0 0 438.431 -0.328 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cn(Cc3ccccc3)nn2)CC1)N1CCOCC1 ZINC000559718295 1072209861 /nfs/dbraw/zinc/20/98/61/1072209861.db2.gz UBMFBJSNDFMMNY-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(OC)c(OC)c2OC)CC1 ZINC000559718877 1072210055 /nfs/dbraw/zinc/21/00/55/1072210055.db2.gz LLHWXOCGWVUNIB-UHFFFAOYSA-N 0 0 429.495 -0.178 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccn(-c2ccc(Br)cc2)n1)N1CCOCC1 ZINC000559721507 1072209821 /nfs/dbraw/zinc/20/98/21/1072209821.db2.gz BCODEGXZUNBHAO-UHFFFAOYSA-N 0 0 436.266 0.548 20 0 IBADRN COCc1cccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000559722164 1072209842 /nfs/dbraw/zinc/20/98/42/1072209842.db2.gz RXKUHMGVDJFYAU-KRWDZBQOSA-N 0 0 432.521 -0.159 20 0 IBADRN COCc1cccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000559722166 1072209832 /nfs/dbraw/zinc/20/98/32/1072209832.db2.gz RXKUHMGVDJFYAU-QGZVFWFLSA-N 0 0 432.521 -0.159 20 0 IBADRN CC(C)c1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000559723008 1072210008 /nfs/dbraw/zinc/21/00/08/1072210008.db2.gz CCXNPEBSCLHHOL-UHFFFAOYSA-N 0 0 428.493 -0.085 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccsc3)CC2)o1 ZINC000559725031 1072209884 /nfs/dbraw/zinc/20/98/84/1072209884.db2.gz IPICMVIVFHDTCI-UHFFFAOYSA-N 0 0 426.476 -0.411 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1O ZINC000559725481 1072209846 /nfs/dbraw/zinc/20/98/46/1072209846.db2.gz GEKKCNNZQQFPEV-HNNXBMFYSA-N 0 0 434.493 -0.592 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1O ZINC000559725482 1072209904 /nfs/dbraw/zinc/20/99/04/1072209904.db2.gz GEKKCNNZQQFPEV-OAHLLOKOSA-N 0 0 434.493 -0.592 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1O ZINC000559726091 1072210023 /nfs/dbraw/zinc/21/00/23/1072210023.db2.gz SHOJQVKVCRVQDN-UHFFFAOYSA-N 0 0 438.462 -0.321 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCC(C(=O)Nc3ccccc3O)CC2)n1 ZINC000559728723 1072209950 /nfs/dbraw/zinc/20/99/50/1072209950.db2.gz CRQBMLHEKNTWLJ-UHFFFAOYSA-N 0 0 442.476 0.493 20 0 IBADRN COCc1ccccc1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000559733192 1072209937 /nfs/dbraw/zinc/20/99/37/1072209937.db2.gz UCXHHKHTWCWLNT-KRWDZBQOSA-N 0 0 432.521 -0.159 20 0 IBADRN COCc1ccccc1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000559733194 1072209895 /nfs/dbraw/zinc/20/98/95/1072209895.db2.gz UCXHHKHTWCWLNT-QGZVFWFLSA-N 0 0 432.521 -0.159 20 0 IBADRN COCc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1 ZINC000559738051 1072210357 /nfs/dbraw/zinc/21/03/57/1072210357.db2.gz NUFRDBZHMPHCGS-UHFFFAOYSA-N 0 0 429.477 0.529 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)C1 ZINC000559739407 1072210517 /nfs/dbraw/zinc/21/05/17/1072210517.db2.gz HKJGZYXOKFYRSO-KRWDZBQOSA-N 0 0 436.534 -0.035 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1ccccc1CN1CCCC1=O ZINC000559739570 1072210487 /nfs/dbraw/zinc/21/04/87/1072210487.db2.gz KVXFTCYLUZXHRK-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)C1 ZINC000559739904 1072210419 /nfs/dbraw/zinc/21/04/19/1072210419.db2.gz HKJGZYXOKFYRSO-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000559740392 1072210577 /nfs/dbraw/zinc/21/05/77/1072210577.db2.gz DSFTVQFMGJXUTB-UHFFFAOYSA-N 0 0 436.534 -0.081 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000559740734 1072210559 /nfs/dbraw/zinc/21/05/59/1072210559.db2.gz WRJVQFBZLZSBNL-UHFFFAOYSA-N 0 0 429.521 -0.295 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCCC(=O)N3)CC1 ZINC000559740989 1072210373 /nfs/dbraw/zinc/21/03/73/1072210373.db2.gz XDUHODZHXQMDJI-UHFFFAOYSA-N 0 0 448.501 -0.057 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC(=O)N1C ZINC000559744187 1072211060 /nfs/dbraw/zinc/21/10/60/1072211060.db2.gz XQVAKAKFVNUJIR-GRDNDAEWSA-N 0 0 427.527 -0.456 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC(=O)N1C ZINC000559744188 1072211168 /nfs/dbraw/zinc/21/11/68/1072211168.db2.gz XQVAKAKFVNUJIR-JKIFEVAISA-N 0 0 427.527 -0.456 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC(=O)N1C ZINC000559744189 1072211071 /nfs/dbraw/zinc/21/10/71/1072211071.db2.gz XQVAKAKFVNUJIR-KEYYUXOJSA-N 0 0 427.527 -0.456 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC(=O)N1C ZINC000559744190 1072211078 /nfs/dbraw/zinc/21/10/78/1072211078.db2.gz XQVAKAKFVNUJIR-ZQIUZPCESA-N 0 0 427.527 -0.456 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000559745982 1072211146 /nfs/dbraw/zinc/21/11/46/1072211146.db2.gz CXCVZXIGQLJIGF-UHFFFAOYSA-N 0 0 428.497 -0.657 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559746217 1072211109 /nfs/dbraw/zinc/21/11/09/1072211109.db2.gz LBPJIXRUUHNLGD-INIZCTEOSA-N 0 0 427.527 -0.649 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559746218 1072211176 /nfs/dbraw/zinc/21/11/76/1072211176.db2.gz LBPJIXRUUHNLGD-MRXNPFEDSA-N 0 0 427.527 -0.649 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCn3ccnc3C)CC2)cs1 ZINC000559750328 1072211280 /nfs/dbraw/zinc/21/12/80/1072211280.db2.gz YWHAZWJHNMVAGW-UHFFFAOYSA-N 0 0 440.551 -0.004 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)N1CCN(c2ccccc2)CC1 ZINC000559752510 1072211263 /nfs/dbraw/zinc/21/12/63/1072211263.db2.gz AAWWZBJIEOQDIQ-IBGZPJMESA-N 0 0 444.580 -0.406 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)N1CCN(c2ccccc2)CC1 ZINC000559752515 1072211302 /nfs/dbraw/zinc/21/13/02/1072211302.db2.gz AAWWZBJIEOQDIQ-LJQANCHMSA-N 0 0 444.580 -0.406 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)C(=O)NCCn2cccn2)c1 ZINC000559752605 1072211240 /nfs/dbraw/zinc/21/12/40/1072211240.db2.gz MGZPMFAVEHAXEZ-UHFFFAOYSA-N 0 0 428.493 -0.024 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)CCC1=O ZINC000559752715 1072211319 /nfs/dbraw/zinc/21/13/19/1072211319.db2.gz XCCCDNMBDAQORX-GOSISDBHSA-N 0 0 445.520 -0.299 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)CCC1=O ZINC000559752718 1072211196 /nfs/dbraw/zinc/21/11/96/1072211196.db2.gz XCCCDNMBDAQORX-SFHVURJKSA-N 0 0 445.520 -0.299 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(C(=O)c3ccc(Cl)cc3)CC2)CC1 ZINC000559753119 1072211787 /nfs/dbraw/zinc/21/17/87/1072211787.db2.gz FNXJOMIJGKLAGI-UHFFFAOYSA-N 0 0 449.939 -0.095 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000559753322 1072211817 /nfs/dbraw/zinc/21/18/17/1072211817.db2.gz SCGWVLRLYLCQBB-UHFFFAOYSA-N 0 0 433.509 -0.442 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)C(=O)N2CCn3ncnc3C2)c1 ZINC000559753323 1072211686 /nfs/dbraw/zinc/21/16/86/1072211686.db2.gz SCLTTYLDRQGAON-UHFFFAOYSA-N 0 0 441.492 -0.403 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)n1 ZINC000559753801 1072211703 /nfs/dbraw/zinc/21/17/03/1072211703.db2.gz NTXDMDZNMUVTKE-UHFFFAOYSA-N 0 0 447.540 -0.806 20 0 IBADRN CCN(C(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000559754083 1072211871 /nfs/dbraw/zinc/21/18/71/1072211871.db2.gz PQTOZIHLTROSGU-GOSISDBHSA-N 0 0 429.521 -0.079 20 0 IBADRN CCN(C(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@H]1CCN(c2ccccc2)C1=O ZINC000559754084 1072211825 /nfs/dbraw/zinc/21/18/25/1072211825.db2.gz PQTOZIHLTROSGU-SFHVURJKSA-N 0 0 429.521 -0.079 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCC(=O)N(C)Cc3ccccc3)CC2)n1 ZINC000559755353 1072211858 /nfs/dbraw/zinc/21/18/58/1072211858.db2.gz KTDZNJKDDNGGFW-UHFFFAOYSA-N 0 0 426.477 -0.091 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000559755690 1072211731 /nfs/dbraw/zinc/21/17/31/1072211731.db2.gz OZJGFHHKEBBYBW-UHFFFAOYSA-N 0 0 429.521 -0.472 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000559756389 1072211885 /nfs/dbraw/zinc/21/18/85/1072211885.db2.gz PEWBYVJXLOSSKJ-UHFFFAOYSA-N 0 0 432.502 -0.144 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1nnn(Cc2noc(C)n2)c1C ZINC000559756647 1072211852 /nfs/dbraw/zinc/21/18/52/1072211852.db2.gz FLFUGTATPXURSW-CHWSQXEVSA-N 0 0 435.441 -0.166 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1nnn(Cc2noc(C)n2)c1C ZINC000559756648 1072211745 /nfs/dbraw/zinc/21/17/45/1072211745.db2.gz FLFUGTATPXURSW-OLZOCXBDSA-N 0 0 435.441 -0.166 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1nnn(Cc2noc(C)n2)c1C ZINC000559756649 1072211721 /nfs/dbraw/zinc/21/17/21/1072211721.db2.gz FLFUGTATPXURSW-QWHCGFSZSA-N 0 0 435.441 -0.166 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1nnn(Cc2noc(C)n2)c1C ZINC000559756650 1072211655 /nfs/dbraw/zinc/21/16/55/1072211655.db2.gz FLFUGTATPXURSW-STQMWFEESA-N 0 0 435.441 -0.166 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C[C@H]1O ZINC000559760034 1072211809 /nfs/dbraw/zinc/21/18/09/1072211809.db2.gz ICVULCFSJSAUEQ-HZPDHXFCSA-N 0 0 442.538 -0.409 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C[C@H]1O ZINC000559760044 1072211842 /nfs/dbraw/zinc/21/18/42/1072211842.db2.gz ICVULCFSJSAUEQ-JKSUJKDBSA-N 0 0 442.538 -0.409 20 0 IBADRN CCN(C(=O)CN1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000559760259 1072211801 /nfs/dbraw/zinc/21/18/01/1072211801.db2.gz JLNVCFHTUWMTQX-ARFHVFGLSA-N 0 0 433.571 -0.659 20 0 IBADRN CCN(C(=O)CN1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000559760265 1072211671 /nfs/dbraw/zinc/21/16/71/1072211671.db2.gz JLNVCFHTUWMTQX-BZUAXINKSA-N 0 0 433.571 -0.659 20 0 IBADRN CCN(C(=O)CN1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000559760266 1072211756 /nfs/dbraw/zinc/21/17/56/1072211756.db2.gz JLNVCFHTUWMTQX-HRCADAONSA-N 0 0 433.571 -0.659 20 0 IBADRN CCN(C(=O)CN1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000559760267 1072211769 /nfs/dbraw/zinc/21/17/69/1072211769.db2.gz JLNVCFHTUWMTQX-OWCLPIDISA-N 0 0 433.571 -0.659 20 0 IBADRN CN(C)c1ncccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000559761824 1072212351 /nfs/dbraw/zinc/21/23/51/1072212351.db2.gz HNGJSKIXPJSTPF-UHFFFAOYSA-N 0 0 436.494 -0.574 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCN2CCc3ccccc3C2)CC1 ZINC000559762504 1072212318 /nfs/dbraw/zinc/21/23/18/1072212318.db2.gz ATAQJHRZIPUDRZ-UHFFFAOYSA-N 0 0 443.548 -0.836 20 0 IBADRN CCCCN(C(=O)CN1CCC(O)(C(N)=O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000559762701 1072212417 /nfs/dbraw/zinc/21/24/17/1072212417.db2.gz MGVHPGVLBOAESQ-UHFFFAOYSA-N 0 0 438.529 -0.368 20 0 IBADRN CN(C)c1ncccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000559762704 1072212407 /nfs/dbraw/zinc/21/24/07/1072212407.db2.gz MGVVUXXYGGKBTI-UHFFFAOYSA-N 0 0 432.506 -0.308 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)NCC(C)(C)N2CCOCC2)C[C@H]1O ZINC000559762807 1072212208 /nfs/dbraw/zinc/21/22/08/1072212208.db2.gz MPWJTTGHJLYPSH-DLBZAZTESA-N 0 0 428.574 -0.468 20 0 IBADRN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)NCC(C)(C)N2CCOCC2)C[C@H]1O ZINC000559762810 1072212185 /nfs/dbraw/zinc/21/21/85/1072212185.db2.gz MPWJTTGHJLYPSH-IAGOWNOFSA-N 0 0 428.574 -0.468 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000559762976 1072212401 /nfs/dbraw/zinc/21/24/01/1072212401.db2.gz LKPIIOGPDFGPFG-INIZCTEOSA-N 0 0 444.536 -0.481 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000559762977 1072212346 /nfs/dbraw/zinc/21/23/46/1072212346.db2.gz LKPIIOGPDFGPFG-MRXNPFEDSA-N 0 0 444.536 -0.481 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000559763072 1072212436 /nfs/dbraw/zinc/21/24/36/1072212436.db2.gz FZXVKTQYVDHJJA-UHFFFAOYSA-N 0 0 432.525 -0.670 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000559763173 1072212312 /nfs/dbraw/zinc/21/23/12/1072212312.db2.gz HYNGAWNAFPBKGJ-UHFFFAOYSA-N 0 0 435.435 -0.136 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000559763488 1072212383 /nfs/dbraw/zinc/21/23/83/1072212383.db2.gz UMTGVWFDWPNMCL-WMZOPIPTSA-N 0 0 445.499 -0.268 20 0 IBADRN O=C(Cc1ccccc1F)N1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000559763637 1072212337 /nfs/dbraw/zinc/21/23/37/1072212337.db2.gz WBTJCMLHGVLXLZ-UHFFFAOYSA-N 0 0 441.463 -0.020 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000559763856 1072213120 /nfs/dbraw/zinc/21/31/20/1072213120.db2.gz VKTOYNMKDROVLA-INIZCTEOSA-N 0 0 430.509 -0.917 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000559763857 1072212986 /nfs/dbraw/zinc/21/29/86/1072212986.db2.gz VKTOYNMKDROVLA-MRXNPFEDSA-N 0 0 430.509 -0.917 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCCN3CCc4ccccc4C3)CC2)NC(=O)NC1=O ZINC000559764290 1072213093 /nfs/dbraw/zinc/21/30/93/1072213093.db2.gz OOPGBOQQEICSBA-JOCHJYFZSA-N 0 0 427.505 -0.002 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCCN3CCc4ccccc4C3)CC2)NC(=O)NC1=O ZINC000559764291 1072213145 /nfs/dbraw/zinc/21/31/45/1072213145.db2.gz OOPGBOQQEICSBA-QFIPXVFZSA-N 0 0 427.505 -0.002 20 0 IBADRN O=C(NCCN1CCc2ccccc2C1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000559764623 1072212359 /nfs/dbraw/zinc/21/23/59/1072212359.db2.gz VWWSUJMKRMTJRT-UHFFFAOYSA-N 0 0 440.591 -0.344 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCCN1CCc2ccccc2C1 ZINC000559764669 1072212271 /nfs/dbraw/zinc/21/22/71/1072212271.db2.gz XEDGZPKLHCEARS-UHFFFAOYSA-N 0 0 437.548 -0.111 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NCCNC(=O)c2cccnc2)c1 ZINC000559764686 1072212394 /nfs/dbraw/zinc/21/23/94/1072212394.db2.gz XWFGNRBZLCTEBU-CYBMUJFWSA-N 0 0 433.490 -0.287 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NCCNC(=O)c2cccnc2)c1 ZINC000559764687 1072212308 /nfs/dbraw/zinc/21/23/08/1072212308.db2.gz XWFGNRBZLCTEBU-ZDUSSCGKSA-N 0 0 433.490 -0.287 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC[C@H](CNC(=O)c3ccccc3)C2)CC1 ZINC000559765421 1072212902 /nfs/dbraw/zinc/21/29/02/1072212902.db2.gz BDVLUJNQQPRYSN-GOSISDBHSA-N 0 0 443.548 -0.065 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC[C@@H](CNC(=O)c3ccccc3)C2)CC1 ZINC000559765423 1072213058 /nfs/dbraw/zinc/21/30/58/1072213058.db2.gz BDVLUJNQQPRYSN-SFHVURJKSA-N 0 0 443.548 -0.065 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000559765445 1072213131 /nfs/dbraw/zinc/21/31/31/1072213131.db2.gz BUZSHRNBWGTWHC-AZUAARDMSA-N 0 0 445.564 -0.328 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000559765447 1072212946 /nfs/dbraw/zinc/21/29/46/1072212946.db2.gz BUZSHRNBWGTWHC-ICSRJNTNSA-N 0 0 445.564 -0.328 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000559765448 1072213169 /nfs/dbraw/zinc/21/31/69/1072213169.db2.gz BUZSHRNBWGTWHC-QUCCMNQESA-N 0 0 445.564 -0.328 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000559765449 1072213005 /nfs/dbraw/zinc/21/30/05/1072213005.db2.gz BUZSHRNBWGTWHC-UYAOXDASSA-N 0 0 445.564 -0.328 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)CC1 ZINC000559765890 1072212868 /nfs/dbraw/zinc/21/28/68/1072212868.db2.gz JBCFFQRDJWFIMH-UHFFFAOYSA-N 0 0 433.484 -0.610 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC(NC(=O)c3ccccc3)CC2)CC1 ZINC000559766430 1072212837 /nfs/dbraw/zinc/21/28/37/1072212837.db2.gz RUVGSBUVZZGFPM-UHFFFAOYSA-N 0 0 429.521 -0.312 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)c1 ZINC000559767033 1072212966 /nfs/dbraw/zinc/21/29/66/1072212966.db2.gz YWZPKWFRMQWPOV-INIZCTEOSA-N 0 0 447.536 -0.321 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)c1 ZINC000559767034 1072213042 /nfs/dbraw/zinc/21/30/42/1072213042.db2.gz YWZPKWFRMQWPOV-MRXNPFEDSA-N 0 0 447.536 -0.321 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(c3ncnc4sccc43)CC2)CC1 ZINC000559767896 1072213182 /nfs/dbraw/zinc/21/31/82/1072213182.db2.gz DXWJQIFJVNOZSY-UHFFFAOYSA-N 0 0 445.549 -0.380 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(c3ncnc4ccsc43)CC2)CC1 ZINC000559767903 1072212929 /nfs/dbraw/zinc/21/29/29/1072212929.db2.gz FAAVCNBDSMXXFT-UHFFFAOYSA-N 0 0 445.549 -0.380 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](S(=O)(=O)NC)C1 ZINC000559768654 1072212890 /nfs/dbraw/zinc/21/28/90/1072212890.db2.gz GLPVDVJTCCHOTJ-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](S(=O)(=O)NC)C1 ZINC000559768655 1072212826 /nfs/dbraw/zinc/21/28/26/1072212826.db2.gz GLPVDVJTCCHOTJ-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000559769170 1072213576 /nfs/dbraw/zinc/21/35/76/1072213576.db2.gz LPTXJWZVYNFGBU-UHFFFAOYSA-N 0 0 435.500 -0.441 20 0 IBADRN CN(C)c1ncccc1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000559769606 1072213749 /nfs/dbraw/zinc/21/37/49/1072213749.db2.gz SHFSZNNWSWMFMR-UHFFFAOYSA-N 0 0 440.508 -0.591 20 0 IBADRN COc1cc(F)ccc1N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000559769932 1072213591 /nfs/dbraw/zinc/21/35/91/1072213591.db2.gz QPILYQJXMNJSSJ-UHFFFAOYSA-N 0 0 435.500 -0.237 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccnc2N(C)C)CC1 ZINC000559770071 1072213652 /nfs/dbraw/zinc/21/36/52/1072213652.db2.gz UZAVHZZMFAZBIW-UHFFFAOYSA-N 0 0 441.554 -0.337 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCn3c(Cc4ccccc4)nnc3C2)CC1 ZINC000559770083 1072213710 /nfs/dbraw/zinc/21/37/10/1072213710.db2.gz VURIOZLWEDHCSY-UHFFFAOYSA-N 0 0 439.520 -0.509 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000559770141 1072213694 /nfs/dbraw/zinc/21/36/94/1072213694.db2.gz YUUHGVBRANAEHS-IBGZPJMESA-N 0 0 444.580 -0.406 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000559770142 1072213543 /nfs/dbraw/zinc/21/35/43/1072213543.db2.gz YUUHGVBRANAEHS-LJQANCHMSA-N 0 0 444.580 -0.406 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(CCOc3ccccc3)CC2)CC1 ZINC000559770529 1072213820 /nfs/dbraw/zinc/21/38/20/1072213820.db2.gz XVABIHUAUSROLK-UHFFFAOYSA-N 0 0 431.537 -0.510 20 0 IBADRN Cc1occc1C(=O)NC1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000559770917 1072213634 /nfs/dbraw/zinc/21/36/34/1072213634.db2.gz LWYVEOYREQOANH-UHFFFAOYSA-N 0 0 433.509 -0.411 20 0 IBADRN CCOc1c(OC)cc(CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1OC ZINC000559773000 1072214390 /nfs/dbraw/zinc/21/43/90/1072214390.db2.gz OAUYJPWGULVNQT-UHFFFAOYSA-N 0 0 436.509 -0.001 20 0 IBADRN CCN(C[C@@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1)CC(F)(F)F ZINC000559773068 1072214434 /nfs/dbraw/zinc/21/44/34/1072214434.db2.gz SQJWRXQLOMKMOX-HNNXBMFYSA-N 0 0 435.491 -0.001 20 0 IBADRN CCN(C[C@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1)CC(F)(F)F ZINC000559773069 1072214330 /nfs/dbraw/zinc/21/43/30/1072214330.db2.gz SQJWRXQLOMKMOX-OAHLLOKOSA-N 0 0 435.491 -0.001 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCC(OCCN2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000559779164 1072214220 /nfs/dbraw/zinc/21/42/20/1072214220.db2.gz IGNAMQFOFYZPTL-GBESFXJTSA-N 0 0 440.585 -0.060 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCC(OCCN2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000559779165 1072214418 /nfs/dbraw/zinc/21/44/18/1072214418.db2.gz IGNAMQFOFYZPTL-GUDVDZBRSA-N 0 0 440.585 -0.060 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCC(OCCN2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000559779166 1072214372 /nfs/dbraw/zinc/21/43/72/1072214372.db2.gz IGNAMQFOFYZPTL-QRVBRYPASA-N 0 0 440.585 -0.060 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCC(OCCN2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000559779167 1072214402 /nfs/dbraw/zinc/21/44/02/1072214402.db2.gz IGNAMQFOFYZPTL-QYZOEREBSA-N 0 0 440.585 -0.060 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000559779364 1072214258 /nfs/dbraw/zinc/21/42/58/1072214258.db2.gz KJUVSEKCAFTFFX-AZUAARDMSA-N 0 0 443.548 -0.227 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000559779365 1072214364 /nfs/dbraw/zinc/21/43/64/1072214364.db2.gz KJUVSEKCAFTFFX-ICSRJNTNSA-N 0 0 443.548 -0.227 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000559779366 1072214361 /nfs/dbraw/zinc/21/43/61/1072214361.db2.gz KJUVSEKCAFTFFX-QUCCMNQESA-N 0 0 443.548 -0.227 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000559779367 1072214524 /nfs/dbraw/zinc/21/45/24/1072214524.db2.gz KJUVSEKCAFTFFX-UYAOXDASSA-N 0 0 443.548 -0.227 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000559779399 1072214504 /nfs/dbraw/zinc/21/45/04/1072214504.db2.gz FXUDBSXOOJEIGV-KRWDZBQOSA-N 0 0 429.521 -0.126 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000559779400 1072214353 /nfs/dbraw/zinc/21/43/53/1072214353.db2.gz FXUDBSXOOJEIGV-QGZVFWFLSA-N 0 0 429.521 -0.126 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000559780713 1072214515 /nfs/dbraw/zinc/21/45/15/1072214515.db2.gz QCEBDNJQXZYJIW-GOSISDBHSA-N 0 0 431.537 -0.716 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000559780725 1072214836 /nfs/dbraw/zinc/21/48/36/1072214836.db2.gz QCEBDNJQXZYJIW-SFHVURJKSA-N 0 0 431.537 -0.716 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N(CCCN1CCOCC1)Cc1ccncc1 ZINC000559781150 1072215098 /nfs/dbraw/zinc/21/50/98/1072215098.db2.gz NZWSPNJCTFCKCB-IBGZPJMESA-N 0 0 433.553 -0.031 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N(CCCN1CCOCC1)Cc1ccncc1 ZINC000559781152 1072215011 /nfs/dbraw/zinc/21/50/11/1072215011.db2.gz NZWSPNJCTFCKCB-LJQANCHMSA-N 0 0 433.553 -0.031 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000559781904 1072214964 /nfs/dbraw/zinc/21/49/64/1072214964.db2.gz XMNMIHWOTLGERQ-KRWDZBQOSA-N 0 0 438.550 -0.254 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000559781905 1072214979 /nfs/dbraw/zinc/21/49/79/1072214979.db2.gz XMNMIHWOTLGERQ-QGZVFWFLSA-N 0 0 438.550 -0.254 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC000559782287 1072214993 /nfs/dbraw/zinc/21/49/93/1072214993.db2.gz VYDREKZWKQTYRA-UHFFFAOYSA-N 0 0 443.548 -0.226 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000559783704 1072214839 /nfs/dbraw/zinc/21/48/39/1072214839.db2.gz NKYCYFPWMDVPCX-HNNXBMFYSA-N 0 0 448.549 -0.763 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000559783705 1072214986 /nfs/dbraw/zinc/21/49/86/1072214986.db2.gz NKYCYFPWMDVPCX-OAHLLOKOSA-N 0 0 448.549 -0.763 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@@H](C(=O)NC)C1)c1ccccc1OCC(=O)N(C)C ZINC000559785728 1072214831 /nfs/dbraw/zinc/21/48/31/1072214831.db2.gz DIZCXUQWYZEZRD-DOTOQJQBSA-N 0 0 434.493 -0.306 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCO[C@@H](C(=O)NC)C1)c1ccccc1OCC(=O)N(C)C ZINC000559785729 1072215088 /nfs/dbraw/zinc/21/50/88/1072215088.db2.gz DIZCXUQWYZEZRD-NVXWUHKLSA-N 0 0 434.493 -0.306 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@H](C(=O)NC)C1)c1ccccc1OCC(=O)N(C)C ZINC000559785730 1072215615 /nfs/dbraw/zinc/21/56/15/1072215615.db2.gz DIZCXUQWYZEZRD-RDJZCZTQSA-N 0 0 434.493 -0.306 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCO[C@H](C(=O)NC)C1)c1ccccc1OCC(=O)N(C)C ZINC000559785731 1072215719 /nfs/dbraw/zinc/21/57/19/1072215719.db2.gz DIZCXUQWYZEZRD-WBVHZDCISA-N 0 0 434.493 -0.306 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)c1 ZINC000559785775 1072215537 /nfs/dbraw/zinc/21/55/37/1072215537.db2.gz MGUCBJKNVAAFBW-UHFFFAOYSA-N 0 0 440.522 -0.693 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)c1 ZINC000559786632 1072215551 /nfs/dbraw/zinc/21/55/51/1072215551.db2.gz IPGRQGOREQHZJM-UHFFFAOYSA-N 0 0 436.469 -0.511 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2cccnc2OCCOC)c1 ZINC000559788239 1072215546 /nfs/dbraw/zinc/21/55/46/1072215546.db2.gz SUMHTYQHONKRKD-UHFFFAOYSA-N 0 0 436.490 -0.053 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000559788265 1072215654 /nfs/dbraw/zinc/21/56/54/1072215654.db2.gz DKLDSFKTPBUZRS-UHFFFAOYSA-N 0 0 449.489 -0.675 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3nc(C)cc(C)n3)CC2)c1 ZINC000559791248 1072215539 /nfs/dbraw/zinc/21/55/39/1072215539.db2.gz ONESMCLBLLGFCX-UHFFFAOYSA-N 0 0 446.533 -0.033 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000559791465 1072215644 /nfs/dbraw/zinc/21/56/44/1072215644.db2.gz SWDRXRZFWCBDEU-UHFFFAOYSA-N 0 0 434.522 -0.711 20 0 IBADRN Cc1nc2ccccn2c(=O)c1CCN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000559793967 1072216165 /nfs/dbraw/zinc/21/61/65/1072216165.db2.gz ZBZPQCMNOBFBBX-UHFFFAOYSA-N 0 0 434.566 -0.345 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCCC[C@H]3CN3CCOCC3)c2C)no1 ZINC000559795397 1072216195 /nfs/dbraw/zinc/21/61/95/1072216195.db2.gz DIONFWIZQFQKHN-HNNXBMFYSA-N 0 0 432.485 -0.022 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCCC[C@@H]3CN3CCOCC3)c2C)no1 ZINC000559795407 1072216152 /nfs/dbraw/zinc/21/61/52/1072216152.db2.gz DIONFWIZQFQKHN-OAHLLOKOSA-N 0 0 432.485 -0.022 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCN2CCc3ccccc32)CC1)N1CCOCC1 ZINC000559796796 1072216128 /nfs/dbraw/zinc/21/61/28/1072216128.db2.gz XRTJNECUNUOYGN-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N[C@@H](CN3CCOCC3)C(=O)OC)ccc2O1 ZINC000559797259 1072216185 /nfs/dbraw/zinc/21/61/85/1072216185.db2.gz BFOHJSUFFVUGLI-DOTOQJQBSA-N 0 0 442.490 -0.295 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N[C@H](CN3CCOCC3)C(=O)OC)ccc2O1 ZINC000559797260 1072216233 /nfs/dbraw/zinc/21/62/33/1072216233.db2.gz BFOHJSUFFVUGLI-NVXWUHKLSA-N 0 0 442.490 -0.295 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N[C@@H](CN3CCOCC3)C(=O)OC)ccc2O1 ZINC000559797261 1072216190 /nfs/dbraw/zinc/21/61/90/1072216190.db2.gz BFOHJSUFFVUGLI-RDJZCZTQSA-N 0 0 442.490 -0.295 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N[C@H](CN3CCOCC3)C(=O)OC)ccc2O1 ZINC000559797262 1072216210 /nfs/dbraw/zinc/21/62/10/1072216210.db2.gz BFOHJSUFFVUGLI-WBVHZDCISA-N 0 0 442.490 -0.295 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000559800317 1072216263 /nfs/dbraw/zinc/21/62/63/1072216263.db2.gz BFVFLNBNHDYOJO-UHFFFAOYSA-N 0 0 429.521 -0.229 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)CC1 ZINC000559801413 1072216250 /nfs/dbraw/zinc/21/62/50/1072216250.db2.gz KWPFRVNUOMRMEI-UHFFFAOYSA-N 0 0 440.522 -0.699 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)CC1 ZINC000559802291 1072216205 /nfs/dbraw/zinc/21/62/05/1072216205.db2.gz PSBFPOCWQFGOER-HNNXBMFYSA-N 0 0 429.521 -0.007 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2cccc(C(N)=O)c2)CC1 ZINC000559802294 1072216145 /nfs/dbraw/zinc/21/61/45/1072216145.db2.gz PSBFPOCWQFGOER-OAHLLOKOSA-N 0 0 429.521 -0.007 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)c1 ZINC000559802722 1072216239 /nfs/dbraw/zinc/21/62/39/1072216239.db2.gz AYJQGFOEXLPSHA-INIZCTEOSA-N 0 0 447.536 -0.652 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)c1 ZINC000559802723 1072216258 /nfs/dbraw/zinc/21/62/58/1072216258.db2.gz AYJQGFOEXLPSHA-MRXNPFEDSA-N 0 0 447.536 -0.652 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(OC(F)F)c1 ZINC000559805113 1072216742 /nfs/dbraw/zinc/21/67/42/1072216742.db2.gz NVPATICVUIEQJL-GFCCVEGCSA-N 0 0 437.407 -0.177 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(OC(F)F)c1 ZINC000559805114 1072216761 /nfs/dbraw/zinc/21/67/61/1072216761.db2.gz NVPATICVUIEQJL-LBPRGKRZSA-N 0 0 437.407 -0.177 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2c(Cl)cccc2Cl)CC1 ZINC000559805227 1072216175 /nfs/dbraw/zinc/21/61/75/1072216175.db2.gz PXRBGIFZEONYDW-UHFFFAOYSA-N 0 0 426.264 -0.033 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000559805938 1072216667 /nfs/dbraw/zinc/21/66/67/1072216667.db2.gz HTKYBSZECLFVHR-UHFFFAOYSA-N 0 0 428.449 -0.735 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000559806009 1072216588 /nfs/dbraw/zinc/21/65/88/1072216588.db2.gz GRZSDXIHEHRYKB-IYBDPMFKSA-N 0 0 435.502 -0.133 20 0 IBADRN Cc1c(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)nnn1-c1ccn(C)n1 ZINC000559806557 1072216657 /nfs/dbraw/zinc/21/66/57/1072216657.db2.gz XAEUTBFDUYSTDX-UHFFFAOYSA-N 0 0 433.494 -0.148 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cccc(C(N)=O)c2)cn1 ZINC000559807422 1072216724 /nfs/dbraw/zinc/21/67/24/1072216724.db2.gz BYTIEFJFPOUIGY-INIZCTEOSA-N 0 0 433.490 -0.384 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cccc(C(N)=O)c2)cn1 ZINC000559807423 1072216753 /nfs/dbraw/zinc/21/67/53/1072216753.db2.gz BYTIEFJFPOUIGY-MRXNPFEDSA-N 0 0 433.490 -0.384 20 0 IBADRN COCCCCNC(=O)C(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000559807647 1072216708 /nfs/dbraw/zinc/21/67/08/1072216708.db2.gz AENHEENCKDSPGO-KRWDZBQOSA-N 0 0 434.541 -0.089 20 0 IBADRN COCCCCNC(=O)C(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000559807652 1072216678 /nfs/dbraw/zinc/21/66/78/1072216678.db2.gz AENHEENCKDSPGO-QGZVFWFLSA-N 0 0 434.541 -0.089 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC000559809209 1072216630 /nfs/dbraw/zinc/21/66/30/1072216630.db2.gz FYDRLNZLSQGMTD-HNNXBMFYSA-N 0 0 446.504 -0.162 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](C)c2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC000559809210 1072216615 /nfs/dbraw/zinc/21/66/15/1072216615.db2.gz FYDRLNZLSQGMTD-OAHLLOKOSA-N 0 0 446.504 -0.162 20 0 IBADRN COCCCCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000559811143 1072216745 /nfs/dbraw/zinc/21/67/45/1072216745.db2.gz UMXDEQWOVVODRL-UHFFFAOYSA-N 0 0 426.539 -0.218 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000559815724 1072216605 /nfs/dbraw/zinc/21/66/05/1072216605.db2.gz BAAMZAHCVLHBAY-FQEVSTJZSA-N 0 0 433.465 -0.440 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000559815725 1072216734 /nfs/dbraw/zinc/21/67/34/1072216734.db2.gz BAAMZAHCVLHBAY-HXUWFJFHSA-N 0 0 433.465 -0.440 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NC[C@@H](c3ccccc3)N3CCOCC3)CC2)n1 ZINC000559816314 1072216717 /nfs/dbraw/zinc/21/67/17/1072216717.db2.gz KTMVPHQKORGKND-INIZCTEOSA-N 0 0 445.549 -0.041 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NC[C@H](c3ccccc3)N3CCOCC3)CC2)n1 ZINC000559816315 1072216687 /nfs/dbraw/zinc/21/66/87/1072216687.db2.gz KTMVPHQKORGKND-MRXNPFEDSA-N 0 0 445.549 -0.041 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000559816367 1072216701 /nfs/dbraw/zinc/21/67/01/1072216701.db2.gz LBFPBYXXUDPYHA-AWEZNQCLSA-N 0 0 428.511 -0.489 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000559816370 1072216693 /nfs/dbraw/zinc/21/66/93/1072216693.db2.gz LBFPBYXXUDPYHA-CQSZACIVSA-N 0 0 428.511 -0.489 20 0 IBADRN O=C(NCCc1c(F)cccc1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000559817715 1072217261 /nfs/dbraw/zinc/21/72/61/1072217261.db2.gz VSPFHJFGPQPCBA-UHFFFAOYSA-N 0 0 438.431 -0.847 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN(c2cc(OC)ncn2)CC1 ZINC000559818304 1072217219 /nfs/dbraw/zinc/21/72/19/1072217219.db2.gz MEESFSHCGXYIID-UHFFFAOYSA-N 0 0 430.465 -0.130 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC000559818373 1072217295 /nfs/dbraw/zinc/21/72/95/1072217295.db2.gz NYFMVZRCXNSBIC-UHFFFAOYSA-N 0 0 425.467 -0.291 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cn1 ZINC000559818549 1072217143 /nfs/dbraw/zinc/21/71/43/1072217143.db2.gz NLBSWHDSSZAFGS-UHFFFAOYSA-N 0 0 430.465 -0.835 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)cn1 ZINC000559819140 1072217233 /nfs/dbraw/zinc/21/72/33/1072217233.db2.gz ZNTQFRIMNXBWGH-UHFFFAOYSA-N 0 0 430.465 -0.130 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cn1 ZINC000559819757 1072217187 /nfs/dbraw/zinc/21/71/87/1072217187.db2.gz ZCALIJOIGIURAA-UHFFFAOYSA-N 0 0 447.536 -0.264 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000559819829 1072217242 /nfs/dbraw/zinc/21/72/42/1072217242.db2.gz ZZCRWXGNEISCMY-UHFFFAOYSA-N 0 0 446.551 -0.782 20 0 IBADRN C[C@@H]1CCCC[C@@H]1OCCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000559821531 1072217130 /nfs/dbraw/zinc/21/71/30/1072217130.db2.gz RNMHHQFZLLNHBS-CABCVRRESA-N 0 0 436.513 -0.813 20 0 IBADRN C[C@H]1CCCC[C@@H]1OCCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000559821538 1072217157 /nfs/dbraw/zinc/21/71/57/1072217157.db2.gz RNMHHQFZLLNHBS-GJZGRUSLSA-N 0 0 436.513 -0.813 20 0 IBADRN C[C@@H]1CCCC[C@H]1OCCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000559821540 1072217286 /nfs/dbraw/zinc/21/72/86/1072217286.db2.gz RNMHHQFZLLNHBS-HUUCEWRRSA-N 0 0 436.513 -0.813 20 0 IBADRN C[C@H]1CCCC[C@H]1OCCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000559821542 1072217312 /nfs/dbraw/zinc/21/73/12/1072217312.db2.gz RNMHHQFZLLNHBS-LSDHHAIUSA-N 0 0 436.513 -0.813 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)o1 ZINC000559822218 1072217112 /nfs/dbraw/zinc/21/71/12/1072217112.db2.gz NUNOFCAIUCHEDW-UHFFFAOYSA-N 0 0 438.462 -0.528 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000559822714 1072217165 /nfs/dbraw/zinc/21/71/65/1072217165.db2.gz DGEBANKUTCIVCH-UHFFFAOYSA-N 0 0 434.457 -0.639 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1 ZINC000559826049 1072217253 /nfs/dbraw/zinc/21/72/53/1072217253.db2.gz PJOZKIOLHPQCAI-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1 ZINC000559826050 1072217793 /nfs/dbraw/zinc/21/77/93/1072217793.db2.gz PJOZKIOLHPQCAI-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1 ZINC000559826051 1072217650 /nfs/dbraw/zinc/21/76/50/1072217650.db2.gz PJOZKIOLHPQCAI-ROUUACIJSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1 ZINC000559826052 1072217625 /nfs/dbraw/zinc/21/76/25/1072217625.db2.gz PJOZKIOLHPQCAI-ZWKOTPCHSA-N 0 0 438.550 -0.284 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1)S(C)(=O)=O ZINC000559826225 1072217173 /nfs/dbraw/zinc/21/71/73/1072217173.db2.gz AVRFTDSRGQTOEE-GOSISDBHSA-N 0 0 440.566 -0.036 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1)S(C)(=O)=O ZINC000559826229 1072217304 /nfs/dbraw/zinc/21/73/04/1072217304.db2.gz AVRFTDSRGQTOEE-SFHVURJKSA-N 0 0 440.566 -0.036 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000559827584 1072217755 /nfs/dbraw/zinc/21/77/55/1072217755.db2.gz ATTYZZMFPYLPTB-FQEVSTJZSA-N 0 0 445.564 -0.155 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000559827586 1072217823 /nfs/dbraw/zinc/21/78/23/1072217823.db2.gz ATTYZZMFPYLPTB-HXUWFJFHSA-N 0 0 445.564 -0.155 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000559827825 1072217730 /nfs/dbraw/zinc/21/77/30/1072217730.db2.gz IHLGIWBPPZSXGY-MOPGFXCFSA-N 0 0 432.521 -0.070 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@@H](c1ccccc1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000559827833 1072217901 /nfs/dbraw/zinc/21/79/01/1072217901.db2.gz IHLGIWBPPZSXGY-OALUTQOASA-N 0 0 432.521 -0.070 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000559827835 1072217612 /nfs/dbraw/zinc/21/76/12/1072217612.db2.gz IHLGIWBPPZSXGY-RBUKOAKNSA-N 0 0 432.521 -0.070 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC[C@H](c1ccccc1)N1CCOCC1)C(=O)N1CCOCC1 ZINC000559827836 1072217910 /nfs/dbraw/zinc/21/79/10/1072217910.db2.gz IHLGIWBPPZSXGY-RTBURBONSA-N 0 0 432.521 -0.070 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)nnn1Cc1ccc(F)cc1 ZINC000559828363 1072217777 /nfs/dbraw/zinc/21/77/77/1072217777.db2.gz VVBNNANZQUVPLG-AWEZNQCLSA-N 0 0 429.412 -0.271 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)nnn1Cc1ccc(F)cc1 ZINC000559828364 1072217717 /nfs/dbraw/zinc/21/77/17/1072217717.db2.gz VVBNNANZQUVPLG-CQSZACIVSA-N 0 0 429.412 -0.271 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3nc(C(C)(C)C(=O)OC)cs3)C2)nn1 ZINC000559831466 1072217743 /nfs/dbraw/zinc/21/77/43/1072217743.db2.gz KZYLSQCBNDALIS-UHFFFAOYSA-N 0 0 436.450 -0.006 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cc(F)cc(F)c1F)C2 ZINC000559834403 1072218539 /nfs/dbraw/zinc/21/85/39/1072218539.db2.gz GXGFNNCSEJCRHA-UHFFFAOYSA-N 0 0 426.355 -0.469 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(OC)c1C ZINC000559834797 1072218291 /nfs/dbraw/zinc/21/82/91/1072218291.db2.gz JWGXWHHPAUKIBR-UHFFFAOYSA-N 0 0 446.464 -0.560 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N(CCO)C1CCC1 ZINC000559835134 1072218614 /nfs/dbraw/zinc/21/86/14/1072218614.db2.gz BSBRTXPDTZNKCF-UHFFFAOYSA-N 0 0 439.538 -0.977 20 0 IBADRN CCOC1CC(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)(N2CCOCC2)C1 ZINC000559835595 1072218278 /nfs/dbraw/zinc/21/82/78/1072218278.db2.gz PEDFWRLVHOGLFJ-UHFFFAOYSA-N 0 0 433.509 -0.425 20 0 IBADRN CC(C)(C)OCCNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000559836574 1072218547 /nfs/dbraw/zinc/21/85/47/1072218547.db2.gz DKHNJLFFWCFSTD-UHFFFAOYSA-N 0 0 441.554 -0.419 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000559837119 1072218368 /nfs/dbraw/zinc/21/83/68/1072218368.db2.gz GWSRYRSAXIGSNU-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN CSC1(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000559838551 1072218525 /nfs/dbraw/zinc/21/85/25/1072218525.db2.gz MMTBGOFGECHWEH-UHFFFAOYSA-N 0 0 441.579 -0.339 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCCO1 ZINC000559839175 1072218473 /nfs/dbraw/zinc/21/84/73/1072218473.db2.gz QAQFKNGJQQSMCC-INIZCTEOSA-N 0 0 439.538 -0.713 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCCO1 ZINC000559839176 1072219174 /nfs/dbraw/zinc/21/91/74/1072219174.db2.gz QAQFKNGJQQSMCC-MRXNPFEDSA-N 0 0 439.538 -0.713 20 0 IBADRN Cc1ccc(NC(=O)C(=O)Nc2ccn(CC(N)=O)n2)cc1S(=O)(=O)N1CCCCC1 ZINC000559843093 1072219141 /nfs/dbraw/zinc/21/91/41/1072219141.db2.gz CNEMBWVANNSGOW-UHFFFAOYSA-N 0 0 448.505 0.429 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)Nc3ccn(CC(N)=O)n3)cc2)C1 ZINC000559843136 1073354481 /nfs/dbraw/zinc/35/44/81/1073354481.db2.gz DBZYYELZZRERAV-CYBMUJFWSA-N 0 0 448.505 0.366 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)Nc3ccn(CC(N)=O)n3)cc2)C1 ZINC000559843139 1073312722 /nfs/dbraw/zinc/31/27/22/1073312722.db2.gz DBZYYELZZRERAV-ZDUSSCGKSA-N 0 0 448.505 0.366 20 0 IBADRN CN(C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1CCOCC1 ZINC000559843747 1072219150 /nfs/dbraw/zinc/21/91/50/1072219150.db2.gz NRUULZOUPSJGCQ-UHFFFAOYSA-N 0 0 439.538 -0.713 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCCO1 ZINC000559844530 1072219068 /nfs/dbraw/zinc/21/90/68/1072219068.db2.gz HIMDEEUOXLNIEL-IBGZPJMESA-N 0 0 439.538 -0.665 20 0 IBADRN C[C@]1(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCCO1 ZINC000559844539 1072219025 /nfs/dbraw/zinc/21/90/25/1072219025.db2.gz HIMDEEUOXLNIEL-LJQANCHMSA-N 0 0 439.538 -0.665 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(C)C ZINC000559845551 1072219005 /nfs/dbraw/zinc/21/90/05/1072219005.db2.gz PFYJSHJZIJANKT-INIZCTEOSA-N 0 0 441.554 -0.563 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(C)C ZINC000559845557 1072219186 /nfs/dbraw/zinc/21/91/86/1072219186.db2.gz PFYJSHJZIJANKT-MRXNPFEDSA-N 0 0 441.554 -0.563 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@@H]1CCCS1 ZINC000559845612 1072218906 /nfs/dbraw/zinc/21/89/06/1072218906.db2.gz RXVHWZCCNGMOHW-HNNXBMFYSA-N 0 0 441.579 -0.339 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@H]1CCCS1 ZINC000559845615 1072219111 /nfs/dbraw/zinc/21/91/11/1072219111.db2.gz RXVHWZCCNGMOHW-OAHLLOKOSA-N 0 0 441.579 -0.339 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000559845642 1072218954 /nfs/dbraw/zinc/21/89/54/1072218954.db2.gz QKQAAJLPGLGXKB-IBGZPJMESA-N 0 0 439.538 -0.977 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000559845643 1072219052 /nfs/dbraw/zinc/21/90/52/1072219052.db2.gz QKQAAJLPGLGXKB-LJQANCHMSA-N 0 0 439.538 -0.977 20 0 IBADRN CC[C@H]1COCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000559845661 1072219086 /nfs/dbraw/zinc/21/90/86/1072219086.db2.gz QUHQJAGZKRTTET-INIZCTEOSA-N 0 0 439.538 -0.713 20 0 IBADRN CC[C@@H]1COCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000559845662 1072218931 /nfs/dbraw/zinc/21/89/31/1072218931.db2.gz QUHQJAGZKRTTET-MRXNPFEDSA-N 0 0 439.538 -0.713 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCOC1 ZINC000559845706 1072219163 /nfs/dbraw/zinc/21/91/63/1072219163.db2.gz SXRXUWZQNOFPFO-IBGZPJMESA-N 0 0 439.538 -0.808 20 0 IBADRN C[C@]1(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCOC1 ZINC000559845708 1072219124 /nfs/dbraw/zinc/21/91/24/1072219124.db2.gz SXRXUWZQNOFPFO-LJQANCHMSA-N 0 0 439.538 -0.808 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)CC1 ZINC000559845992 1072219725 /nfs/dbraw/zinc/21/97/25/1072219725.db2.gz XNSYKBOETVZDMO-UHFFFAOYSA-N 0 0 433.557 -0.829 20 0 IBADRN Cn1cccc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000559846303 1072219571 /nfs/dbraw/zinc/21/95/71/1072219571.db2.gz WYENDQJXZUOGAA-UHFFFAOYSA-N 0 0 434.522 -0.696 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)no1 ZINC000559846320 1072219710 /nfs/dbraw/zinc/21/97/10/1072219710.db2.gz XJGJBHIXZDSRIC-UHFFFAOYSA-N 0 0 436.494 -0.738 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3cc(C)on3)CC2)CC1 ZINC000559848065 1072220431 /nfs/dbraw/zinc/22/04/31/1072220431.db2.gz CKTMONOXSZGPGG-UHFFFAOYSA-N 0 0 434.541 -0.266 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](c2cccc(C)c2)N2CCOCC2)CC1 ZINC000559848089 1072219593 /nfs/dbraw/zinc/21/95/93/1072219593.db2.gz AETVXPFHEFNGSP-FQEVSTJZSA-N 0 0 445.564 -0.189 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](c2cccc(C)c2)N2CCOCC2)CC1 ZINC000559848090 1072219616 /nfs/dbraw/zinc/21/96/16/1072219616.db2.gz AETVXPFHEFNGSP-HXUWFJFHSA-N 0 0 445.564 -0.189 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCC(NC(=O)c3ccc(F)cc3)CC2)CC1 ZINC000559848104 1072219696 /nfs/dbraw/zinc/21/96/96/1072219696.db2.gz ANVHWZFFNYJEBA-UHFFFAOYSA-N 0 0 447.511 -0.127 20 0 IBADRN COC(=O)C(C)(C)c1csc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)n1 ZINC000559848228 1072220419 /nfs/dbraw/zinc/22/04/19/1072220419.db2.gz KBOGYXOZKOQDRH-UHFFFAOYSA-N 0 0 435.528 -0.519 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2ccncc2OCC(F)(F)F)CC1 ZINC000559848479 1072219670 /nfs/dbraw/zinc/21/96/70/1072219670.db2.gz HWAJLDBHPBZLLM-UHFFFAOYSA-N 0 0 431.415 -0.035 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)CC1 ZINC000559848521 1072219760 /nfs/dbraw/zinc/21/97/60/1072219760.db2.gz GJPUIZYKAGBVDK-KRWDZBQOSA-N 0 0 435.525 -0.596 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)CC1 ZINC000559848522 1072219550 /nfs/dbraw/zinc/21/95/50/1072219550.db2.gz GJPUIZYKAGBVDK-QGZVFWFLSA-N 0 0 435.525 -0.596 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000559848553 1072219682 /nfs/dbraw/zinc/21/96/82/1072219682.db2.gz JCGSGPNEGTYFIL-GOSISDBHSA-N 0 0 445.520 -0.761 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000559848557 1072219527 /nfs/dbraw/zinc/21/95/27/1072219527.db2.gz JCGSGPNEGTYFIL-SFHVURJKSA-N 0 0 445.520 -0.761 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CC1 ZINC000559848585 1072219655 /nfs/dbraw/zinc/21/96/55/1072219655.db2.gz IAHOHZKEBHEVQM-UHFFFAOYSA-N 0 0 444.458 0.345 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3cc(C)nc(C(C)C)n3)CC2)CC1 ZINC000559848640 1072219740 /nfs/dbraw/zinc/21/97/40/1072219740.db2.gz JEXFJSCAZQJCSP-UHFFFAOYSA-N 0 0 445.568 -0.116 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000559849032 1072220346 /nfs/dbraw/zinc/22/03/46/1072220346.db2.gz LHICNTKXCFNRQF-HNNXBMFYSA-N 0 0 435.912 -0.112 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000559849034 1072220811 /nfs/dbraw/zinc/22/08/11/1072220811.db2.gz LHICNTKXCFNRQF-OAHLLOKOSA-N 0 0 435.912 -0.112 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](c2ccc(C)cc2)N2CCOCC2)CC1 ZINC000559849050 1072220751 /nfs/dbraw/zinc/22/07/51/1072220751.db2.gz MEUJFVIYICRMRW-FQEVSTJZSA-N 0 0 445.564 -0.189 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](c2ccc(C)cc2)N2CCOCC2)CC1 ZINC000559849052 1072220963 /nfs/dbraw/zinc/22/09/63/1072220963.db2.gz MEUJFVIYICRMRW-HXUWFJFHSA-N 0 0 445.564 -0.189 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)CC1 ZINC000559849116 1072220436 /nfs/dbraw/zinc/22/04/36/1072220436.db2.gz GJIPRCAIVJEAMR-INIZCTEOSA-N 0 0 439.557 -0.811 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)CC1 ZINC000559849118 1072220424 /nfs/dbraw/zinc/22/04/24/1072220424.db2.gz GJIPRCAIVJEAMR-MRXNPFEDSA-N 0 0 439.557 -0.811 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)nnn1Cc1ccccc1F ZINC000559849317 1072220252 /nfs/dbraw/zinc/22/02/52/1072220252.db2.gz XMMPXDFQPXOPCE-UHFFFAOYSA-N 0 0 441.489 -0.339 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NC3CCN(CC(=O)NC)CC3)CC2)n1 ZINC000559849538 1072220136 /nfs/dbraw/zinc/22/01/36/1072220136.db2.gz QIBHHIGJOXBSFR-UHFFFAOYSA-N 0 0 432.525 -0.545 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000559849539 1072220371 /nfs/dbraw/zinc/22/03/71/1072220371.db2.gz RRKXSKHJIQZMON-FQEVSTJZSA-N 0 0 445.564 -0.627 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000559849540 1072220360 /nfs/dbraw/zinc/22/03/60/1072220360.db2.gz RRKXSKHJIQZMON-HXUWFJFHSA-N 0 0 445.564 -0.627 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CCOc3ccccc3)CC2)CC1 ZINC000559849555 1072220194 /nfs/dbraw/zinc/22/01/94/1072220194.db2.gz QLRPMXDWRFCJLG-UHFFFAOYSA-N 0 0 431.537 -0.464 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000559849556 1072220332 /nfs/dbraw/zinc/22/03/32/1072220332.db2.gz SBQVCSZFNQJNMZ-AWEZNQCLSA-N 0 0 449.474 -0.237 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000559849557 1072220382 /nfs/dbraw/zinc/22/03/82/1072220382.db2.gz SBQVCSZFNQJNMZ-CQSZACIVSA-N 0 0 449.474 -0.237 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000559850083 1072220707 /nfs/dbraw/zinc/22/07/07/1072220707.db2.gz PMFQIEWNPXRRJN-IBGZPJMESA-N 0 0 449.527 -0.358 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000559850084 1072220919 /nfs/dbraw/zinc/22/09/19/1072220919.db2.gz PMFQIEWNPXRRJN-LJQANCHMSA-N 0 0 449.527 -0.358 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCCc2ccn(-c3ccc(F)cc3)n2)CC1 ZINC000559850138 1072220731 /nfs/dbraw/zinc/22/07/31/1072220731.db2.gz XRAALMSEILDDNA-UHFFFAOYSA-N 0 0 430.484 -0.003 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](C)CN2CCN(c3ccccc3)CC2)CC1 ZINC000559850175 1072220888 /nfs/dbraw/zinc/22/08/88/1072220888.db2.gz XXBKUFQDYRSEKA-GOSISDBHSA-N 0 0 444.580 -0.360 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](C)CN2CCN(c3ccccc3)CC2)CC1 ZINC000559850178 1072220978 /nfs/dbraw/zinc/22/09/78/1072220978.db2.gz XXBKUFQDYRSEKA-SFHVURJKSA-N 0 0 444.580 -0.360 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3nc(C)cc(C)n3)CC2)CC1 ZINC000559850181 1072220994 /nfs/dbraw/zinc/22/09/94/1072220994.db2.gz XYYKRWWZZANAJE-UHFFFAOYSA-N 0 0 445.568 -0.151 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000559850603 1072220852 /nfs/dbraw/zinc/22/08/52/1072220852.db2.gz CSZROQBOSPUIDY-UHFFFAOYSA-N 0 0 447.511 -0.173 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)CC1 ZINC000559850660 1072220906 /nfs/dbraw/zinc/22/09/06/1072220906.db2.gz HFKOBIZVKIAVFF-UHFFFAOYSA-N 0 0 431.541 -0.460 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000559851461 1072220933 /nfs/dbraw/zinc/22/09/33/1072220933.db2.gz PMKPDXYQRYVTFI-INIZCTEOSA-N 0 0 433.484 -0.236 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000559851462 1072221002 /nfs/dbraw/zinc/22/10/02/1072221002.db2.gz PMKPDXYQRYVTFI-MRXNPFEDSA-N 0 0 433.484 -0.236 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N(C)CCN2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000559851815 1072220792 /nfs/dbraw/zinc/22/07/92/1072220792.db2.gz RWVABUGMECRWMA-CALCHBBNSA-N 0 0 425.574 -0.339 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)CC1 ZINC000559851838 1072220771 /nfs/dbraw/zinc/22/07/71/1072220771.db2.gz SSVALQVTIWKGMH-UHFFFAOYSA-N 0 0 432.525 -0.047 20 0 IBADRN Cc1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC000559851958 1072221387 /nfs/dbraw/zinc/22/13/87/1072221387.db2.gz UJEJKJUXDFZIBW-HOTGVXAUSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC000559851959 1072221457 /nfs/dbraw/zinc/22/14/57/1072221457.db2.gz UJEJKJUXDFZIBW-HZPDHXFCSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC000559851960 1072221398 /nfs/dbraw/zinc/22/13/98/1072221398.db2.gz UJEJKJUXDFZIBW-IYBDPMFKSA-N 0 0 439.538 -0.496 20 0 IBADRN O=C(CC1CCCC1)NCCNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000559853552 1072221468 /nfs/dbraw/zinc/22/14/68/1072221468.db2.gz AEWJLQCQGDSKLW-UHFFFAOYSA-N 0 0 431.541 -0.473 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@@H](COCC2CC2)C1 ZINC000559853729 1072221453 /nfs/dbraw/zinc/22/14/53/1072221453.db2.gz CAWUOYXIGAGNFR-GOSISDBHSA-N 0 0 432.525 -0.631 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@H](COCC2CC2)C1 ZINC000559853730 1072221355 /nfs/dbraw/zinc/22/13/55/1072221355.db2.gz CAWUOYXIGAGNFR-SFHVURJKSA-N 0 0 432.525 -0.631 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(Nc2cccnn2)CC1 ZINC000559853979 1073325148 /nfs/dbraw/zinc/32/51/48/1073325148.db2.gz GQQADGJBAGXLDS-UHFFFAOYSA-N 0 0 439.524 -0.392 20 0 IBADRN CCCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000559854538 1072221411 /nfs/dbraw/zinc/22/14/11/1072221411.db2.gz CAACLRGQNOFIFX-UHFFFAOYSA-N 0 0 442.480 -0.601 20 0 IBADRN CC(C)c1nnc2n1C[C@@H](NC(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)CC2 ZINC000559854646 1072221425 /nfs/dbraw/zinc/22/14/25/1072221425.db2.gz JTLYWFSENQHSPD-INIZCTEOSA-N 0 0 441.540 -0.439 20 0 IBADRN CC(C)c1nnc2n1C[C@H](NC(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)CC2 ZINC000559854652 1072221463 /nfs/dbraw/zinc/22/14/63/1072221463.db2.gz JTLYWFSENQHSPD-MRXNPFEDSA-N 0 0 441.540 -0.439 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000559855194 1072221431 /nfs/dbraw/zinc/22/14/31/1072221431.db2.gz BOXCMFWXJAOBKU-UHFFFAOYSA-N 0 0 442.520 -0.256 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000559855455 1072221403 /nfs/dbraw/zinc/22/14/03/1072221403.db2.gz ADNFMAWATBCOTL-UHFFFAOYSA-N 0 0 444.580 -0.406 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCOC2(CCOCC2)CC1 ZINC000559855770 1072221477 /nfs/dbraw/zinc/22/14/77/1072221477.db2.gz GABHZSBHOCBMPM-UHFFFAOYSA-N 0 0 432.525 -0.487 20 0 IBADRN Cn1nc(CCNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c2ccccc21 ZINC000559855772 1072221419 /nfs/dbraw/zinc/22/14/19/1072221419.db2.gz GDPOZFJSOUIJHK-UHFFFAOYSA-N 0 0 436.520 -0.040 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@H](c2nccs2)C1 ZINC000559855809 1072221448 /nfs/dbraw/zinc/22/14/48/1072221448.db2.gz GXNQPSPOKDHHCW-HNNXBMFYSA-N 0 0 431.522 -0.229 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@@H](c2nccs2)C1 ZINC000559855810 1072221443 /nfs/dbraw/zinc/22/14/43/1072221443.db2.gz GXNQPSPOKDHHCW-OAHLLOKOSA-N 0 0 431.522 -0.229 20 0 IBADRN CCn1cc([C@H]2CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)cn1 ZINC000559855822 1072221438 /nfs/dbraw/zinc/22/14/38/1072221438.db2.gz HNYYEVHDMLKQIK-GOSISDBHSA-N 0 0 442.524 -0.469 20 0 IBADRN CCn1cc([C@@H]2CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)cn1 ZINC000559855823 1072221885 /nfs/dbraw/zinc/22/18/85/1072221885.db2.gz HNYYEVHDMLKQIK-SFHVURJKSA-N 0 0 442.524 -0.469 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@H]1N1CCOCC1 ZINC000559856012 1072221861 /nfs/dbraw/zinc/22/18/61/1072221861.db2.gz DKPRKMGDZPTEOV-MOPGFXCFSA-N 0 0 445.568 -0.716 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@H]1N1CCOCC1 ZINC000559856016 1072221890 /nfs/dbraw/zinc/22/18/90/1072221890.db2.gz DKPRKMGDZPTEOV-OALUTQOASA-N 0 0 445.568 -0.716 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@H]1N1CCOCC1 ZINC000559856018 1072221942 /nfs/dbraw/zinc/22/19/42/1072221942.db2.gz DKPRKMGDZPTEOV-RBUKOAKNSA-N 0 0 445.568 -0.716 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@H]1N1CCOCC1 ZINC000559856020 1072221899 /nfs/dbraw/zinc/22/18/99/1072221899.db2.gz DKPRKMGDZPTEOV-RTBURBONSA-N 0 0 445.568 -0.716 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)C1 ZINC000559856068 1072221921 /nfs/dbraw/zinc/22/19/21/1072221921.db2.gz FDLXIZMHUVNDBL-INIZCTEOSA-N 0 0 434.516 -0.029 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)C1 ZINC000559856069 1072221873 /nfs/dbraw/zinc/22/18/73/1072221873.db2.gz FDLXIZMHUVNDBL-MRXNPFEDSA-N 0 0 434.516 -0.029 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)c1 ZINC000559856430 1072221836 /nfs/dbraw/zinc/22/18/36/1072221836.db2.gz JJQQEFZJADJUBX-UHFFFAOYSA-N 0 0 438.536 -0.233 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCOCC3)CC2)C[C@H](C)O1 ZINC000559856502 1072221939 /nfs/dbraw/zinc/22/19/39/1072221939.db2.gz KVGKAJSDRKFPPR-GASCZTMLSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCOCC3)CC2)C[C@H](C)O1 ZINC000559856503 1072221854 /nfs/dbraw/zinc/22/18/54/1072221854.db2.gz KVGKAJSDRKFPPR-GJZGRUSLSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCOCC3)CC2)C[C@@H](C)O1 ZINC000559856504 1072221858 /nfs/dbraw/zinc/22/18/58/1072221858.db2.gz KVGKAJSDRKFPPR-HUUCEWRRSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C[C@H](C)O2 ZINC000559856809 1072221830 /nfs/dbraw/zinc/22/18/30/1072221830.db2.gz VRJFRQPAGVSFSE-FGSXEWAUSA-N 0 0 446.552 -0.100 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000559856811 1072221871 /nfs/dbraw/zinc/22/18/71/1072221871.db2.gz VRJFRQPAGVSFSE-HMFYCAOWSA-N 0 0 446.552 -0.100 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000559856813 1072221909 /nfs/dbraw/zinc/22/19/09/1072221909.db2.gz VRJFRQPAGVSFSE-JBYIUTFZSA-N 0 0 446.552 -0.100 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C[C@H](C)O2 ZINC000559856815 1072221807 /nfs/dbraw/zinc/22/18/07/1072221807.db2.gz VRJFRQPAGVSFSE-KGVIQGDOSA-N 0 0 446.552 -0.100 20 0 IBADRN CC(C)c1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)CC2 ZINC000559856910 1072222389 /nfs/dbraw/zinc/22/23/89/1072222389.db2.gz HQOUACXOGCNPTJ-INIZCTEOSA-N 0 0 441.540 -0.439 20 0 IBADRN CC(C)c1nc2n(n1)C[C@H](NC(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)CC2 ZINC000559856911 1072222321 /nfs/dbraw/zinc/22/23/21/1072222321.db2.gz HQOUACXOGCNPTJ-MRXNPFEDSA-N 0 0 441.540 -0.439 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1 ZINC000559856936 1072222369 /nfs/dbraw/zinc/22/23/69/1072222369.db2.gz ILLIACGBCKQNBG-AWEZNQCLSA-N 0 0 429.447 -0.532 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1 ZINC000559856937 1072222338 /nfs/dbraw/zinc/22/23/38/1072222338.db2.gz ILLIACGBCKQNBG-CQSZACIVSA-N 0 0 429.447 -0.532 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000559857012 1072221846 /nfs/dbraw/zinc/22/18/46/1072221846.db2.gz JXUBVMPGBDJZPO-UHFFFAOYSA-N 0 0 441.529 -0.832 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(Oc2cnccn2)CC1 ZINC000559857121 1072221822 /nfs/dbraw/zinc/22/18/22/1072221822.db2.gz OEKVHRHFIKHSFQ-UHFFFAOYSA-N 0 0 440.508 -0.425 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@H]1c1ncc[nH]1 ZINC000559857341 1072222342 /nfs/dbraw/zinc/22/23/42/1072222342.db2.gz PKRZXYSCGDIMFN-KRWDZBQOSA-N 0 0 441.540 -0.657 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@H]1c1ncc[nH]1 ZINC000559857348 1071084255 /nfs/dbraw/zinc/08/42/55/1071084255.db2.gz PKRZXYSCGDIMFN-QGZVFWFLSA-N 0 0 441.540 -0.657 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccccc2N2CCCCC2)CC1 ZINC000559857550 1072221816 /nfs/dbraw/zinc/22/18/16/1072221816.db2.gz GALJBUYXODTGSV-UHFFFAOYSA-N 0 0 440.508 -0.349 20 0 IBADRN CCc1nnc([C@@H]2CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)o1 ZINC000559857658 1072221930 /nfs/dbraw/zinc/22/19/30/1072221930.db2.gz YMHGZIQCGGDYNF-HNNXBMFYSA-N 0 0 444.496 -0.740 20 0 IBADRN CCc1nnc([C@H]2CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)o1 ZINC000559857660 1072222257 /nfs/dbraw/zinc/22/22/57/1072222257.db2.gz YMHGZIQCGGDYNF-OAHLLOKOSA-N 0 0 444.496 -0.740 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000559857711 1072222421 /nfs/dbraw/zinc/22/24/21/1072222421.db2.gz KPJJDIIDPHVIFS-IBGZPJMESA-N 0 0 445.568 -0.572 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000559857712 1072222329 /nfs/dbraw/zinc/22/23/29/1072222329.db2.gz KPJJDIIDPHVIFS-LJQANCHMSA-N 0 0 445.568 -0.572 20 0 IBADRN CC(C)c1nnc2n1CCN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C2 ZINC000559857955 1072222802 /nfs/dbraw/zinc/22/28/02/1072222802.db2.gz RCUSXJZCVROQMT-UHFFFAOYSA-N 0 0 427.513 -0.528 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCN3CCN(c4ccccc4)CC3)CC2=O)cn1 ZINC000559858004 1072222822 /nfs/dbraw/zinc/22/28/22/1072222822.db2.gz RPCYDUTUWNPDRT-UHFFFAOYSA-N 0 0 439.520 -0.466 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCOCC2(CCOCC2)C1 ZINC000559858081 1072222786 /nfs/dbraw/zinc/22/27/86/1072222786.db2.gz RWZPFGIVRNYAEX-UHFFFAOYSA-N 0 0 432.525 -0.630 20 0 IBADRN Cc1nnc([C@H]2CCCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)C2)[nH]1 ZINC000559858163 1072222269 /nfs/dbraw/zinc/22/22/69/1072222269.db2.gz TWIMLJIIDHMCST-INIZCTEOSA-N 0 0 427.513 -0.452 20 0 IBADRN Cc1nnc([C@@H]2CCCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)C2)[nH]1 ZINC000559858165 1072222379 /nfs/dbraw/zinc/22/23/79/1072222379.db2.gz TWIMLJIIDHMCST-MRXNPFEDSA-N 0 0 427.513 -0.452 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)cn1 ZINC000559858177 1072222284 /nfs/dbraw/zinc/22/22/84/1072222284.db2.gz UFBDYFJIRQWJCS-KRWDZBQOSA-N 0 0 428.497 -0.952 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)cn1 ZINC000559858178 1072222313 /nfs/dbraw/zinc/22/23/13/1072222313.db2.gz UFBDYFJIRQWJCS-QGZVFWFLSA-N 0 0 428.497 -0.952 20 0 IBADRN CC(C)C(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000559858258 1072222304 /nfs/dbraw/zinc/22/23/04/1072222304.db2.gz VBBMIICPEILRSC-GOSISDBHSA-N 0 0 445.568 -0.274 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000559858259 1072222774 /nfs/dbraw/zinc/22/27/74/1072222774.db2.gz VBBMIICPEILRSC-SFHVURJKSA-N 0 0 445.568 -0.274 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000559858341 1072222406 /nfs/dbraw/zinc/22/24/06/1072222406.db2.gz OMUXCCBDQUBCFK-FQEVSTJZSA-N 0 0 433.553 -0.924 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000559858347 1072222403 /nfs/dbraw/zinc/22/24/03/1072222403.db2.gz OMUXCCBDQUBCFK-HXUWFJFHSA-N 0 0 433.553 -0.924 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@@H]1C ZINC000559858522 1072222294 /nfs/dbraw/zinc/22/22/94/1072222294.db2.gz QAPZICKYVXHSOZ-DLBZAZTESA-N 0 0 431.541 -0.380 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@H]1C ZINC000559858529 1072222361 /nfs/dbraw/zinc/22/23/61/1072222361.db2.gz QAPZICKYVXHSOZ-IAGOWNOFSA-N 0 0 431.541 -0.380 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@@H]1C ZINC000559858531 1072222415 /nfs/dbraw/zinc/22/24/15/1072222415.db2.gz QAPZICKYVXHSOZ-IRXDYDNUSA-N 0 0 431.541 -0.380 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@H]1C ZINC000559858533 1072222354 /nfs/dbraw/zinc/22/23/54/1072222354.db2.gz QAPZICKYVXHSOZ-SJORKVTESA-N 0 0 431.541 -0.380 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)n1 ZINC000559858749 1072222395 /nfs/dbraw/zinc/22/23/95/1072222395.db2.gz XFAHOECFSPDBGF-UHFFFAOYSA-N 0 0 444.565 -0.171 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1cccc(N2CCCC2=O)c1 ZINC000559859069 1072222832 /nfs/dbraw/zinc/22/28/32/1072222832.db2.gz LIYHXGULIPKKPB-UHFFFAOYSA-N 0 0 449.489 -0.347 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)[C@@H](C)C1=O ZINC000559859097 1072222806 /nfs/dbraw/zinc/22/28/06/1072222806.db2.gz LMIXCNYQUPIJCO-KRWDZBQOSA-N 0 0 431.541 -0.570 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)[C@H](C)C1=O ZINC000559859102 1072222777 /nfs/dbraw/zinc/22/27/77/1072222777.db2.gz LMIXCNYQUPIJCO-QGZVFWFLSA-N 0 0 431.541 -0.570 20 0 IBADRN Cn1cc([C@]2(C)CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)cn1 ZINC000559859188 1072222843 /nfs/dbraw/zinc/22/28/43/1072222843.db2.gz ZOWRDZYWRISNSY-NRFANRHFSA-N 0 0 442.524 -0.778 20 0 IBADRN Cn1cc([C@@]2(C)CN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CCO2)cn1 ZINC000559859193 1072222814 /nfs/dbraw/zinc/22/28/14/1072222814.db2.gz ZOWRDZYWRISNSY-OAQYLSRUSA-N 0 0 442.524 -0.778 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000559859275 1072222795 /nfs/dbraw/zinc/22/27/95/1072222795.db2.gz QLYNXSBKZPDALO-UHFFFAOYSA-N 0 0 430.513 -0.664 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)no1 ZINC000559859322 1072222818 /nfs/dbraw/zinc/22/28/18/1072222818.db2.gz MSKBWEZGBVONJJ-UHFFFAOYSA-N 0 0 442.524 -0.644 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000559859524 1072222754 /nfs/dbraw/zinc/22/27/54/1072222754.db2.gz SMKGEQHIKYKTLF-UHFFFAOYSA-N 0 0 439.520 -0.567 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)c1ccccc1 ZINC000559859606 1072222809 /nfs/dbraw/zinc/22/28/09/1072222809.db2.gz SZOHQXWXJQGYOD-GOSISDBHSA-N 0 0 439.520 -0.292 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)c1ccccc1 ZINC000559859611 1072222764 /nfs/dbraw/zinc/22/27/64/1072222764.db2.gz SZOHQXWXJQGYOD-SFHVURJKSA-N 0 0 439.520 -0.292 20 0 IBADRN CCN(CC)C(=O)C1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000559859949 1072222781 /nfs/dbraw/zinc/22/27/81/1072222781.db2.gz WHRITWSYSXDWJF-UHFFFAOYSA-N 0 0 445.568 -0.178 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000559860125 1072222834 /nfs/dbraw/zinc/22/28/34/1072222834.db2.gz RPMNUSRHAJRULQ-MSOLQXFVSA-N 0 0 445.568 -0.086 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000559860129 1072222800 /nfs/dbraw/zinc/22/28/00/1072222800.db2.gz RPMNUSRHAJRULQ-QZTJIDSGSA-N 0 0 445.568 -0.086 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000559860131 1072222798 /nfs/dbraw/zinc/22/27/98/1072222798.db2.gz RPMNUSRHAJRULQ-ROUUACIJSA-N 0 0 445.568 -0.086 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000559860132 1072222840 /nfs/dbraw/zinc/22/28/40/1072222840.db2.gz RPMNUSRHAJRULQ-ZWKOTPCHSA-N 0 0 445.568 -0.086 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc4c(c3)CCO4)CC2)cn1C ZINC000559863972 1072223249 /nfs/dbraw/zinc/22/32/49/1072223249.db2.gz FHSGHSAOPNHSTO-UHFFFAOYSA-N 0 0 447.517 -0.187 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccccc3N3CCOCC3)CC2=O)cn1 ZINC000559865497 1072223369 /nfs/dbraw/zinc/22/33/69/1072223369.db2.gz MSDCCRHATQBTIN-UHFFFAOYSA-N 0 0 426.477 -0.252 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CC1 ZINC000559866478 1072223315 /nfs/dbraw/zinc/22/33/15/1072223315.db2.gz XCSNOZKURXOBSN-UHFFFAOYSA-N 0 0 444.458 0.427 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCCCNc2ccc(C(F)(F)F)cn2)CC1 ZINC000559866870 1072223840 /nfs/dbraw/zinc/22/38/40/1072223840.db2.gz CMMNQMHTJJSLEV-UHFFFAOYSA-N 0 0 431.415 0.336 20 0 IBADRN O=C(NCc1ccc2c(c1)CCO2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559867751 1072223870 /nfs/dbraw/zinc/22/38/70/1072223870.db2.gz UNTBZPUNVSPWTH-KRWDZBQOSA-N 0 0 437.518 -0.109 20 0 IBADRN O=C(NCc1ccc2c(c1)CCO2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559867754 1072223813 /nfs/dbraw/zinc/22/38/13/1072223813.db2.gz UNTBZPUNVSPWTH-QGZVFWFLSA-N 0 0 437.518 -0.109 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccccc2N2CCOCC2)CC1 ZINC000559870536 1072223836 /nfs/dbraw/zinc/22/38/36/1072223836.db2.gz IUAMZZBCDMVPPL-UHFFFAOYSA-N 0 0 431.537 -0.192 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559872846 1072223845 /nfs/dbraw/zinc/22/38/45/1072223845.db2.gz BTRNIQAPWPCNNW-HNNXBMFYSA-N 0 0 427.527 -0.694 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559872852 1072223851 /nfs/dbraw/zinc/22/38/51/1072223851.db2.gz BTRNIQAPWPCNNW-OAHLLOKOSA-N 0 0 427.527 -0.694 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000559873176 1072223860 /nfs/dbraw/zinc/22/38/60/1072223860.db2.gz FWSRTDZDBGRBCV-UHFFFAOYSA-N 0 0 442.524 -0.371 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2ccccc2N2CCOCC2)C1 ZINC000559873779 1072223833 /nfs/dbraw/zinc/22/38/33/1072223833.db2.gz UCBZJLQZZPGFNM-INIZCTEOSA-N 0 0 438.550 -0.073 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2ccccc2N2CCOCC2)C1 ZINC000559873782 1072223868 /nfs/dbraw/zinc/22/38/68/1072223868.db2.gz UCBZJLQZZPGFNM-MRXNPFEDSA-N 0 0 438.550 -0.073 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1ccc(-n2cccn2)cc1 ZINC000559873785 1072223818 /nfs/dbraw/zinc/22/38/18/1072223818.db2.gz DWMHMSWQNKGIMH-UHFFFAOYSA-N 0 0 432.462 -0.288 20 0 IBADRN O=C(NCC1CCOCC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000559874324 1072223830 /nfs/dbraw/zinc/22/38/30/1072223830.db2.gz MJTVORPEKQOPIZ-UHFFFAOYSA-N 0 0 435.506 -0.061 20 0 IBADRN Cc1ccc(O[C@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000559875023 1072223853 /nfs/dbraw/zinc/22/38/53/1072223853.db2.gz FYQCGZYSYIKCCA-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1ccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000559875024 1072223825 /nfs/dbraw/zinc/22/38/25/1072223825.db2.gz FYQCGZYSYIKCCA-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN COc1ccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000559879931 1072224267 /nfs/dbraw/zinc/22/42/67/1072224267.db2.gz ZDDVXINHZBMUMI-AWEZNQCLSA-N 0 0 432.477 -0.472 20 0 IBADRN COc1ccc(O[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000559879932 1072224226 /nfs/dbraw/zinc/22/42/26/1072224226.db2.gz ZDDVXINHZBMUMI-CQSZACIVSA-N 0 0 432.477 -0.472 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCc2nc3ccccc3[nH]2)CC1)N1CCOCC1 ZINC000559885847 1072224296 /nfs/dbraw/zinc/22/42/96/1072224296.db2.gz VIFFJQPSDXZFHA-UHFFFAOYSA-N 0 0 442.520 -0.385 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(Cn4cccn4)cc3)CC2)c1=O ZINC000559886117 1072224166 /nfs/dbraw/zinc/22/41/66/1072224166.db2.gz VVFSHRNRLHYZPP-UHFFFAOYSA-N 0 0 435.488 -0.010 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1ccc(Cn2cccn2)cc1 ZINC000559886682 1072224216 /nfs/dbraw/zinc/22/42/16/1072224216.db2.gz ZYQRVXVOFQYQHU-UHFFFAOYSA-N 0 0 446.489 -0.229 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc2c(c1)OCO2 ZINC000559889755 1071085126 /nfs/dbraw/zinc/08/51/26/1071085126.db2.gz FDBSOAPYJLSDQC-GDBMZVCRSA-N 0 0 437.518 -0.034 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc2c(c1)OCO2 ZINC000559889759 1072224291 /nfs/dbraw/zinc/22/42/91/1072224291.db2.gz FDBSOAPYJLSDQC-GOEBONIOSA-N 0 0 437.518 -0.034 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc2c(c1)OCO2 ZINC000559889761 1072224207 /nfs/dbraw/zinc/22/42/07/1072224207.db2.gz FDBSOAPYJLSDQC-HOCLYGCPSA-N 0 0 437.518 -0.034 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc2c(c1)OCO2 ZINC000559889763 1072224258 /nfs/dbraw/zinc/22/42/58/1072224258.db2.gz FDBSOAPYJLSDQC-ZBFHGGJFSA-N 0 0 437.518 -0.034 20 0 IBADRN Cn1cnc(NC(=O)C(=O)NCCCNS(=O)(=O)c2ccccc2Br)n1 ZINC000559891413 1073306731 /nfs/dbraw/zinc/30/67/31/1073306731.db2.gz XTZNEFBZXQPACK-UHFFFAOYSA-N 0 0 445.299 0.001 20 0 IBADRN O=C(N[C@H]1CCc2n[nH]cc2C1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000559894504 1072224095 /nfs/dbraw/zinc/22/40/95/1072224095.db2.gz GSWVFMLJADLENG-AWEZNQCLSA-N 0 0 441.517 -0.203 20 0 IBADRN O=C(N[C@@H]1CCc2n[nH]cc2C1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000559894505 1072224105 /nfs/dbraw/zinc/22/41/05/1072224105.db2.gz GSWVFMLJADLENG-CQSZACIVSA-N 0 0 441.517 -0.203 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCc3n[nH]cc3C2)CC1 ZINC000559895391 1072224288 /nfs/dbraw/zinc/22/42/88/1072224288.db2.gz UVNJJRQIZPNEQZ-HNNXBMFYSA-N 0 0 427.527 -0.718 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCc3n[nH]cc3C2)CC1 ZINC000559895393 1072224245 /nfs/dbraw/zinc/22/42/45/1072224245.db2.gz UVNJJRQIZPNEQZ-OAHLLOKOSA-N 0 0 427.527 -0.718 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@@H]1CCCc3ccccc31)C2 ZINC000559895871 1072224133 /nfs/dbraw/zinc/22/41/33/1072224133.db2.gz RRWRXBQRNYLCOH-INIZCTEOSA-N 0 0 440.504 -0.289 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@H]1CCCc3ccccc31)C2 ZINC000559895872 1072224277 /nfs/dbraw/zinc/22/42/77/1072224277.db2.gz RRWRXBQRNYLCOH-MRXNPFEDSA-N 0 0 440.504 -0.289 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCc3n[nH]cc3C2)c1 ZINC000559896491 1072224308 /nfs/dbraw/zinc/22/43/08/1072224308.db2.gz XGERSPUQJWAPGD-HNNXBMFYSA-N 0 0 435.506 -0.376 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCc3n[nH]cc3C2)c1 ZINC000559896492 1072224802 /nfs/dbraw/zinc/22/48/02/1072224802.db2.gz XGERSPUQJWAPGD-OAHLLOKOSA-N 0 0 435.506 -0.376 20 0 IBADRN CN(C(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21)[C@H]1CCNC1=O ZINC000559897180 1072224812 /nfs/dbraw/zinc/22/48/12/1072224812.db2.gz HSTSYSYDERKRFL-INIZCTEOSA-N 0 0 434.474 -0.078 20 0 IBADRN CN(C(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21)[C@@H]1CCNC1=O ZINC000559897181 1072224838 /nfs/dbraw/zinc/22/48/38/1072224838.db2.gz HSTSYSYDERKRFL-MRXNPFEDSA-N 0 0 434.474 -0.078 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCc4n[nH]cc4C3)CC2)cs1 ZINC000559897321 1072224664 /nfs/dbraw/zinc/22/46/64/1072224664.db2.gz JFQCCGHHOMVRHR-CYBMUJFWSA-N 0 0 438.535 -0.319 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCc4n[nH]cc4C3)CC2)cs1 ZINC000559897322 1072224808 /nfs/dbraw/zinc/22/48/08/1072224808.db2.gz JFQCCGHHOMVRHR-ZDUSSCGKSA-N 0 0 438.535 -0.319 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)NC2CCN(c3ccccn3)CC2)CC1 ZINC000559899082 1072224839 /nfs/dbraw/zinc/22/48/39/1072224839.db2.gz FZYNMWBCIGERCD-UHFFFAOYSA-N 0 0 428.493 -0.884 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC2CCN(c3ccccn3)CC2)CC1 ZINC000559900020 1072224725 /nfs/dbraw/zinc/22/47/25/1072224725.db2.gz HYSNYFDGCLYUKD-UHFFFAOYSA-N 0 0 444.536 -0.830 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(c3ccccn3)CC2)CC1)N1CCCC1 ZINC000559901375 1072224774 /nfs/dbraw/zinc/22/47/74/1072224774.db2.gz NTUNUSBSGAURCA-UHFFFAOYSA-N 0 0 428.537 -0.067 20 0 IBADRN O=C(NCCCNc1ccccn1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559903392 1072224793 /nfs/dbraw/zinc/22/47/93/1072224793.db2.gz LCXJBGGGBFREGB-INIZCTEOSA-N 0 0 439.538 -0.347 20 0 IBADRN O=C(NCCCNc1ccccn1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000559903403 1072224846 /nfs/dbraw/zinc/22/48/46/1072224846.db2.gz LCXJBGGGBFREGB-MRXNPFEDSA-N 0 0 439.538 -0.347 20 0 IBADRN O=C(NCCCNc1ccccn1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000559904379 1072224702 /nfs/dbraw/zinc/22/47/02/1072224702.db2.gz PXILKTPQYDNEAA-UHFFFAOYSA-N 0 0 436.494 -0.338 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000559905662 1072224842 /nfs/dbraw/zinc/22/48/42/1072224842.db2.gz YUBMUPZMFUKMSL-KBPBESRZSA-N 0 0 433.475 -0.202 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000559905663 1072224675 /nfs/dbraw/zinc/22/46/75/1072224675.db2.gz YUBMUPZMFUKMSL-KGLIPLIRSA-N 0 0 433.475 -0.202 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000559905664 1072224844 /nfs/dbraw/zinc/22/48/44/1072224844.db2.gz YUBMUPZMFUKMSL-UONOGXRCSA-N 0 0 433.475 -0.202 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000559905665 1072224833 /nfs/dbraw/zinc/22/48/33/1072224833.db2.gz YUBMUPZMFUKMSL-ZIAGYGMSSA-N 0 0 433.475 -0.202 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cn(Cc3ccccc3)nn2)CC1 ZINC000559907372 1071085387 /nfs/dbraw/zinc/08/53/87/1071085387.db2.gz CRWLKQCGFRFRPF-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000559907710 1072225291 /nfs/dbraw/zinc/22/52/91/1072225291.db2.gz GOEMCXPBETZGIB-LBPRGKRZSA-N 0 0 435.462 -0.275 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)C(=O)Nc2cn(C)nn2)c1 ZINC000559908941 1072225116 /nfs/dbraw/zinc/22/51/16/1072225116.db2.gz SGNBZENQVSMCBJ-UHFFFAOYSA-N 0 0 445.299 -0.081 20 0 IBADRN CSc1nnc(CCCNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1CC(C)C ZINC000559909503 1072225318 /nfs/dbraw/zinc/22/53/18/1072225318.db2.gz LHHLEANBOZZZCY-UHFFFAOYSA-N 0 0 430.556 -0.036 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)nnn1CCOc1ccc(F)cc1 ZINC000559910219 1072225258 /nfs/dbraw/zinc/22/52/58/1072225258.db2.gz NZJUYNXWMDLSMK-UHFFFAOYSA-N 0 0 442.473 -0.249 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000559912176 1072225397 /nfs/dbraw/zinc/22/53/97/1072225397.db2.gz XKBCVZWSHFHHOJ-UHFFFAOYSA-N 0 0 431.478 -0.554 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1)N1CCCC1 ZINC000559913628 1072225242 /nfs/dbraw/zinc/22/52/42/1072225242.db2.gz AOCCXSMQJXLEKF-UHFFFAOYSA-N 0 0 436.534 -0.657 20 0 IBADRN O=C(NCc1cnn(Cc2ccccc2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000559914146 1072225304 /nfs/dbraw/zinc/22/53/04/1072225304.db2.gz PTNIKRYOVSBRCO-UHFFFAOYSA-N 0 0 438.488 -0.503 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)cn1 ZINC000559915569 1072225203 /nfs/dbraw/zinc/22/52/03/1072225203.db2.gz YUBRGENPDWMQMV-AEFFLSMTSA-N 0 0 447.517 -0.071 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)c3ccccc3)CC2)nc1 ZINC000559916565 1072225159 /nfs/dbraw/zinc/22/51/59/1072225159.db2.gz IKMMXLIQIGGXBR-UHFFFAOYSA-N 0 0 445.501 -0.581 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000559917098 1072225273 /nfs/dbraw/zinc/22/52/73/1072225273.db2.gz SZQHUGPHJUBQLB-UHFFFAOYSA-N 0 0 438.550 -0.411 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000559917600 1072225098 /nfs/dbraw/zinc/22/50/98/1072225098.db2.gz ANPLBXOZYWGVAG-IBGZPJMESA-N 0 0 441.575 -0.099 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000559917605 1071085761 /nfs/dbraw/zinc/08/57/61/1071085761.db2.gz ANPLBXOZYWGVAG-LJQANCHMSA-N 0 0 441.575 -0.099 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC1CCN(c2cc(C)nc(C)n2)CC1 ZINC000559923239 1072225778 /nfs/dbraw/zinc/22/57/78/1072225778.db2.gz TYYXIAGFGFHQIW-UHFFFAOYSA-N 0 0 440.570 -0.034 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N(C)Cc1ccc(S(C)(=O)=O)cc1 ZINC000559923400 1072225077 /nfs/dbraw/zinc/22/50/77/1072225077.db2.gz CEDMVBOIPMYAOZ-UHFFFAOYSA-N 0 0 433.552 -0.164 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000559923408 1072225091 /nfs/dbraw/zinc/22/50/91/1072225091.db2.gz CNANYJOFAJOFCL-INIZCTEOSA-N 0 0 439.538 -0.523 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000559923409 1072225735 /nfs/dbraw/zinc/22/57/35/1072225735.db2.gz CNANYJOFAJOFCL-MRXNPFEDSA-N 0 0 439.538 -0.523 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NCCCN(C)S(=O)(=O)CC)CC2)n1 ZINC000559923923 1072225681 /nfs/dbraw/zinc/22/56/81/1072225681.db2.gz USZHHHDWBOERAD-UHFFFAOYSA-N 0 0 441.554 -0.083 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(c2nc(C)cc(C)n2)CC1 ZINC000559923941 1072225671 /nfs/dbraw/zinc/22/56/71/1072225671.db2.gz BORXOBDCQBIBTJ-UHFFFAOYSA-N 0 0 426.543 -0.470 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000559924100 1072225945 /nfs/dbraw/zinc/22/59/45/1072225945.db2.gz GCBZKRURRLYUFW-UHFFFAOYSA-N 0 0 426.543 -0.277 20 0 IBADRN Cc1n[nH]cc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000559924198 1072225797 /nfs/dbraw/zinc/22/57/97/1072225797.db2.gz HHILMJUCUNSEMC-UHFFFAOYSA-N 0 0 426.543 -0.012 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCCc2cn(CCOC)nc21 ZINC000559924242 1072225961 /nfs/dbraw/zinc/22/59/61/1072225961.db2.gz HSEXOISRECBHIQ-HNNXBMFYSA-N 0 0 429.543 -0.189 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCCc2cn(CCOC)nc21 ZINC000559924243 1072225922 /nfs/dbraw/zinc/22/59/22/1072225922.db2.gz HSEXOISRECBHIQ-OAHLLOKOSA-N 0 0 429.543 -0.189 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1cccc(CS(C)(=O)=O)c1 ZINC000559924553 1072225772 /nfs/dbraw/zinc/22/57/72/1072225772.db2.gz LQEFKYDAMWWLFK-UHFFFAOYSA-N 0 0 433.552 -0.365 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000559924729 1072225701 /nfs/dbraw/zinc/22/57/01/1072225701.db2.gz GLAYOEIRPIDXQT-UHFFFAOYSA-N 0 0 442.513 -0.102 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(Cc2csc(C)n2)CC1 ZINC000559924791 1072225661 /nfs/dbraw/zinc/22/56/61/1072225661.db2.gz IHYWKLSWLKBJDW-UHFFFAOYSA-N 0 0 431.584 -0.116 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(C(=O)c2cc(C)oc2C)CC1 ZINC000559925157 1072226362 /nfs/dbraw/zinc/22/63/62/1072226362.db2.gz LMEICRDLWHUSOX-UHFFFAOYSA-N 0 0 442.538 -0.031 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000559925546 1072225689 /nfs/dbraw/zinc/22/56/89/1072225689.db2.gz YVXFSLDNFMLKMM-KRWDZBQOSA-N 0 0 436.600 -0.902 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000559925549 1072225935 /nfs/dbraw/zinc/22/59/35/1072225935.db2.gz YVXFSLDNFMLKMM-QGZVFWFLSA-N 0 0 436.600 -0.902 20 0 IBADRN C[C@H](c1ccccc1)[C@@H](NC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)C(N)=O ZINC000559944450 1072226498 /nfs/dbraw/zinc/22/64/98/1072226498.db2.gz NGPAREHUSZUSLI-CRAIPNDOSA-N 0 0 428.493 -0.072 20 0 IBADRN C[C@@H](c1ccccc1)[C@@H](NC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)C(N)=O ZINC000559944456 1073354347 /nfs/dbraw/zinc/35/43/47/1073354347.db2.gz NGPAREHUSZUSLI-MAUKXSAKSA-N 0 0 428.493 -0.072 20 0 IBADRN C[C@H](c1ccccc1)[C@H](NC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)C(N)=O ZINC000559944458 1072226338 /nfs/dbraw/zinc/22/63/38/1072226338.db2.gz NGPAREHUSZUSLI-QAPCUYQASA-N 0 0 428.493 -0.072 20 0 IBADRN C[C@@H](c1ccccc1)[C@H](NC(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)C(N)=O ZINC000559944460 1072226421 /nfs/dbraw/zinc/22/64/21/1072226421.db2.gz NGPAREHUSZUSLI-YJBOKZPZSA-N 0 0 428.493 -0.072 20 0 IBADRN CNC(=O)C(=O)N(C)CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000559951859 1072226489 /nfs/dbraw/zinc/22/64/89/1072226489.db2.gz JDVIMMSLYXSQRW-UHFFFAOYSA-N 0 0 432.886 -0.496 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3ncccc3c2)CC1 ZINC000559954698 1072226322 /nfs/dbraw/zinc/22/63/22/1072226322.db2.gz UAJRNTICRRTSAV-UHFFFAOYSA-N 0 0 425.489 -0.146 20 0 IBADRN Cc1c([C@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cnn1C ZINC000559954983 1072226441 /nfs/dbraw/zinc/22/64/41/1072226441.db2.gz MMARALIJRWRGSZ-AWEZNQCLSA-N 0 0 428.497 -0.449 20 0 IBADRN Cc1c([C@@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cnn1C ZINC000559954984 1072226384 /nfs/dbraw/zinc/22/63/84/1072226384.db2.gz MMARALIJRWRGSZ-CQSZACIVSA-N 0 0 428.497 -0.449 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2cnn(C)c2C)C1 ZINC000559955918 1072227131 /nfs/dbraw/zinc/22/71/31/1072227131.db2.gz NDZVPXWZTWREKU-DZGCQCFKSA-N 0 0 429.543 -0.195 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](C)c2cnn(C)c2C)C1 ZINC000559955919 1072227114 /nfs/dbraw/zinc/22/71/14/1072227114.db2.gz NDZVPXWZTWREKU-HIFRSBDPSA-N 0 0 429.543 -0.195 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)c2cnn(C)c2C)C1 ZINC000559955921 1072226910 /nfs/dbraw/zinc/22/69/10/1072226910.db2.gz NDZVPXWZTWREKU-UKRRQHHQSA-N 0 0 429.543 -0.195 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2cnn(C)c2C)C1 ZINC000559955923 1072227155 /nfs/dbraw/zinc/22/71/55/1072227155.db2.gz NDZVPXWZTWREKU-ZFWWWQNUSA-N 0 0 429.543 -0.195 20 0 IBADRN Cn1ncc2c1CCC[C@@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000559958161 1072226976 /nfs/dbraw/zinc/22/69/76/1072226976.db2.gz YVBMHPMDAWEDJA-HNNXBMFYSA-N 0 0 436.494 -0.424 20 0 IBADRN Cn1ncc2c1CCC[C@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000559958163 1071089239 /nfs/dbraw/zinc/08/92/39/1071089239.db2.gz YVBMHPMDAWEDJA-OAHLLOKOSA-N 0 0 436.494 -0.424 20 0 IBADRN CS(=O)(=O)CC[C@@H]1CCCCN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000559958882 1072226831 /nfs/dbraw/zinc/22/68/31/1072226831.db2.gz KIWINDVLFYZDNR-INIZCTEOSA-N 0 0 441.554 -0.030 20 0 IBADRN CS(=O)(=O)CC[C@H]1CCCCN1C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000559958884 1073350209 /nfs/dbraw/zinc/35/02/09/1073350209.db2.gz KIWINDVLFYZDNR-MRXNPFEDSA-N 0 0 441.554 -0.030 20 0 IBADRN CS(=O)(=O)CC[C@@H]1CCCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000559959969 1072226926 /nfs/dbraw/zinc/22/69/26/1072226926.db2.gz IBOQFOUVKOKDOP-HNNXBMFYSA-N 0 0 437.522 -0.228 20 0 IBADRN CS(=O)(=O)CC[C@H]1CCCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000559959970 1072226888 /nfs/dbraw/zinc/22/68/88/1072226888.db2.gz IBOQFOUVKOKDOP-OAHLLOKOSA-N 0 0 437.522 -0.228 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCc2sccc2C1 ZINC000559961029 1072226939 /nfs/dbraw/zinc/22/69/39/1072226939.db2.gz QYJJGANMFUNPBG-AWEZNQCLSA-N 0 0 447.561 -0.440 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)N1CCc2sccc2C1 ZINC000559961030 1072227023 /nfs/dbraw/zinc/22/70/23/1072227023.db2.gz QYJJGANMFUNPBG-CQSZACIVSA-N 0 0 447.561 -0.440 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)Cn3ccnc3)CC2)cc1 ZINC000559969460 1072226854 /nfs/dbraw/zinc/22/68/54/1072226854.db2.gz ZZLLWVXSRDFPHJ-HNNXBMFYSA-N 0 0 435.506 -0.071 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)Cn3ccnc3)CC2)cc1 ZINC000559969462 1071089701 /nfs/dbraw/zinc/08/97/01/1071089701.db2.gz ZZLLWVXSRDFPHJ-OAHLLOKOSA-N 0 0 435.506 -0.071 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(c3ccccc3)CCOCC2)CC1 ZINC000559971352 1072227143 /nfs/dbraw/zinc/22/71/43/1072227143.db2.gz UZZQXANUWSQJSJ-UHFFFAOYSA-N 0 0 441.492 -0.792 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC000559973855 1072227493 /nfs/dbraw/zinc/22/74/93/1072227493.db2.gz CTQMOPVDAHCOLS-CYBMUJFWSA-N 0 0 429.499 -0.388 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC000559973857 1072227327 /nfs/dbraw/zinc/22/73/27/1072227327.db2.gz CTQMOPVDAHCOLS-ZDUSSCGKSA-N 0 0 429.499 -0.388 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000559974172 1072227515 /nfs/dbraw/zinc/22/75/15/1072227515.db2.gz HKGDJICGGOEWSQ-AWEZNQCLSA-N 0 0 425.511 -0.343 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000559974175 1072227479 /nfs/dbraw/zinc/22/74/79/1072227479.db2.gz HKGDJICGGOEWSQ-CQSZACIVSA-N 0 0 425.511 -0.343 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000559974227 1072227415 /nfs/dbraw/zinc/22/74/15/1072227415.db2.gz HXGCNJXCEGQKSG-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000559974235 1072227431 /nfs/dbraw/zinc/22/74/31/1072227431.db2.gz HXGCNJXCEGQKSG-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000559974309 1072227363 /nfs/dbraw/zinc/22/73/63/1072227363.db2.gz IOXXLLPLLQDGSG-AWEZNQCLSA-N 0 0 444.535 -0.442 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000559974311 1072227469 /nfs/dbraw/zinc/22/74/69/1072227469.db2.gz IOXXLLPLLQDGSG-CQSZACIVSA-N 0 0 444.535 -0.442 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000559974325 1072227447 /nfs/dbraw/zinc/22/74/47/1072227447.db2.gz IPMKXVCJOLSCRC-CYBMUJFWSA-N 0 0 432.524 -0.632 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000559974326 1072227463 /nfs/dbraw/zinc/22/74/63/1072227463.db2.gz IPMKXVCJOLSCRC-ZDUSSCGKSA-N 0 0 432.524 -0.632 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000559974511 1072227455 /nfs/dbraw/zinc/22/74/55/1072227455.db2.gz LOJBAXXGQUVHCP-JTQLQIEISA-N 0 0 439.462 -0.201 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000559974515 1072227351 /nfs/dbraw/zinc/22/73/51/1072227351.db2.gz LOJBAXXGQUVHCP-SNVBAGLBSA-N 0 0 439.462 -0.201 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000559974609 1072227527 /nfs/dbraw/zinc/22/75/27/1072227527.db2.gz NPTIOQYXVIBFCZ-HNNXBMFYSA-N 0 0 444.535 -0.343 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000559974611 1072227336 /nfs/dbraw/zinc/22/73/36/1072227336.db2.gz NPTIOQYXVIBFCZ-OAHLLOKOSA-N 0 0 444.535 -0.343 20 0 IBADRN COc1c(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc(Cl)cc1C(N)=O ZINC000559974920 1072227381 /nfs/dbraw/zinc/22/73/81/1072227381.db2.gz PLLSTULZOHLCRJ-JTQLQIEISA-N 0 0 432.886 -0.122 20 0 IBADRN COc1c(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc(Cl)cc1C(N)=O ZINC000559974921 1072227424 /nfs/dbraw/zinc/22/74/24/1072227424.db2.gz PLLSTULZOHLCRJ-SNVBAGLBSA-N 0 0 432.886 -0.122 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000559974997 1072228022 /nfs/dbraw/zinc/22/80/22/1072228022.db2.gz RRHVBMNRHCXZRO-GFCCVEGCSA-N 0 0 443.478 -0.079 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000559974999 1072227919 /nfs/dbraw/zinc/22/79/19/1072227919.db2.gz RRHVBMNRHCXZRO-LBPRGKRZSA-N 0 0 443.478 -0.079 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1-n1nnnc1C ZINC000559975176 1072227965 /nfs/dbraw/zinc/22/79/65/1072227965.db2.gz VLVJHNVKWHXCCN-CYBMUJFWSA-N 0 0 437.482 -0.590 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1-n1nnnc1C ZINC000559975179 1072227901 /nfs/dbraw/zinc/22/79/01/1072227901.db2.gz VLVJHNVKWHXCCN-ZDUSSCGKSA-N 0 0 437.482 -0.590 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000559975193 1072228010 /nfs/dbraw/zinc/22/80/10/1072228010.db2.gz VUGXSSCWWQLGMF-AWEZNQCLSA-N 0 0 446.551 -0.324 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000559975199 1072227926 /nfs/dbraw/zinc/22/79/26/1072227926.db2.gz VUGXSSCWWQLGMF-CQSZACIVSA-N 0 0 446.551 -0.324 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c(C)c1 ZINC000559975212 1072227982 /nfs/dbraw/zinc/22/79/82/1072227982.db2.gz WFXGVWXEHYSFRX-CYBMUJFWSA-N 0 0 427.479 -0.022 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c(C)c1 ZINC000559975214 1072228052 /nfs/dbraw/zinc/22/80/52/1072228052.db2.gz WFXGVWXEHYSFRX-ZDUSSCGKSA-N 0 0 427.479 -0.022 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000559975346 1072227993 /nfs/dbraw/zinc/22/79/93/1072227993.db2.gz ZNJKCYIBHZWOGJ-CYBMUJFWSA-N 0 0 438.506 -0.347 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000559975347 1072228094 /nfs/dbraw/zinc/22/80/94/1072228094.db2.gz ZNJKCYIBHZWOGJ-ZDUSSCGKSA-N 0 0 438.506 -0.347 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(c3ccc(F)cc3)CC2)CC1)N1CCOCC1 ZINC000559975414 1072227968 /nfs/dbraw/zinc/22/79/68/1072227968.db2.gz COIXDFCXSZRDKJ-UHFFFAOYSA-N 0 0 432.496 -0.024 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(Br)cn(C)c1=O)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000559975908 1072227399 /nfs/dbraw/zinc/22/73/99/1072227399.db2.gz OBOYWQQKCUOXKM-JTQLQIEISA-N 0 0 435.300 -0.421 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(Br)cn(C)c1=O)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000559975909 1072227440 /nfs/dbraw/zinc/22/74/40/1072227440.db2.gz OBOYWQQKCUOXKM-SNVBAGLBSA-N 0 0 435.300 -0.421 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC(Cc3ccccc3C(=O)OC)CC2)n1 ZINC000559985101 1072228079 /nfs/dbraw/zinc/22/80/79/1072228079.db2.gz LOKNARQPMRQTTP-UHFFFAOYSA-N 0 0 442.476 0.231 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1nnc(NCCc2ccccc2)s1)C(=O)N(C)C ZINC000559985655 1072228001 /nfs/dbraw/zinc/22/80/01/1072228001.db2.gz QFZAQNWWVMMWEP-GFCCVEGCSA-N 0 0 425.536 0.559 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1nnc(NCCc2ccccc2)s1)C(=O)N(C)C ZINC000559985656 1072227892 /nfs/dbraw/zinc/22/78/92/1072227892.db2.gz QFZAQNWWVMMWEP-LBPRGKRZSA-N 0 0 425.536 0.559 20 0 IBADRN CNC(=O)CCN(C)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000559985745 1072227932 /nfs/dbraw/zinc/22/79/32/1072227932.db2.gz DIVXEBKLIWALOK-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN CC(C)NC(=O)Nc1ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000559987510 1072228060 /nfs/dbraw/zinc/22/80/60/1072228060.db2.gz MQFUTMMOEVFQCM-GOSISDBHSA-N 0 0 435.525 -0.016 20 0 IBADRN CC(C)NC(=O)Nc1ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000559987511 1072228029 /nfs/dbraw/zinc/22/80/29/1072228029.db2.gz MQFUTMMOEVFQCM-SFHVURJKSA-N 0 0 435.525 -0.016 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000559988137 1072228357 /nfs/dbraw/zinc/22/83/57/1072228357.db2.gz YKAPSGSQODJLCU-UHFFFAOYSA-N 0 0 441.536 -0.054 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000559988507 1072228104 /nfs/dbraw/zinc/22/81/04/1072228104.db2.gz IYXGWUNPJICYMP-UHFFFAOYSA-N 0 0 434.522 -0.376 20 0 IBADRN COCCOCCNC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000559991212 1072228577 /nfs/dbraw/zinc/22/85/77/1072228577.db2.gz GIKSVBFMPOPLTP-UHFFFAOYSA-N 0 0 426.901 -0.116 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCN(c2ncc(Br)c(OC)n2)CC1 ZINC000559992172 1072228551 /nfs/dbraw/zinc/22/85/51/1072228551.db2.gz WKMRJGCVVYREDX-UHFFFAOYSA-N 0 0 446.302 -0.325 20 0 IBADRN CN(C(=O)N1CCN(C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000559994809 1071089793 /nfs/dbraw/zinc/08/97/93/1071089793.db2.gz ZSODWFYTWHCKIP-KBPBESRZSA-N 0 0 436.556 -0.918 20 0 IBADRN CN(C(=O)N1CCN(C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000559994811 1072228513 /nfs/dbraw/zinc/22/85/13/1072228513.db2.gz ZSODWFYTWHCKIP-OKILXGFUSA-N 0 0 436.556 -0.918 20 0 IBADRN CN(C(=O)N1CCN(C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000559994812 1072228493 /nfs/dbraw/zinc/22/84/93/1072228493.db2.gz ZSODWFYTWHCKIP-ZIAGYGMSSA-N 0 0 436.556 -0.918 20 0 IBADRN CCN(Cc1ccc2c(c1)OCCO2)C(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O ZINC000559999600 1072228541 /nfs/dbraw/zinc/22/85/41/1072228541.db2.gz SJCQQWISGAACHB-AWEZNQCLSA-N 0 0 431.449 -0.167 20 0 IBADRN CCN(Cc1ccc2c(c1)OCCO2)C(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O ZINC000559999607 1072228614 /nfs/dbraw/zinc/22/86/14/1072228614.db2.gz SJCQQWISGAACHB-CQSZACIVSA-N 0 0 431.449 -0.167 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000560002654 1072228456 /nfs/dbraw/zinc/22/84/56/1072228456.db2.gz UJIJHMPGALMNQI-UHFFFAOYSA-N 0 0 433.914 -0.048 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCCc2cc(F)cc3c2OCOC3)CC1 ZINC000560013651 1072229034 /nfs/dbraw/zinc/22/90/34/1072229034.db2.gz XZIGGUIGDJDFTG-UHFFFAOYSA-N 0 0 436.484 -0.027 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2cc(F)cc3c2OCOC3)C1 ZINC000560013777 1072228962 /nfs/dbraw/zinc/22/89/62/1072228962.db2.gz ZKRPAWZXPRJMOG-HNNXBMFYSA-N 0 0 429.470 -0.109 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2cc(F)cc3c2OCOC3)C1 ZINC000560013778 1072229111 /nfs/dbraw/zinc/22/91/11/1072229111.db2.gz ZKRPAWZXPRJMOG-OAHLLOKOSA-N 0 0 429.470 -0.109 20 0 IBADRN CC[C@H]1CCCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000560014172 1072229144 /nfs/dbraw/zinc/22/91/44/1072229144.db2.gz UYFYIQIBQVMKCM-DLBZAZTESA-N 0 0 429.587 -0.292 20 0 IBADRN CC[C@@H]1CCCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000560014182 1072229282 /nfs/dbraw/zinc/22/92/82/1072229282.db2.gz UYFYIQIBQVMKCM-IAGOWNOFSA-N 0 0 429.587 -0.292 20 0 IBADRN CC[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000560014184 1072229210 /nfs/dbraw/zinc/22/92/10/1072229210.db2.gz UYFYIQIBQVMKCM-IRXDYDNUSA-N 0 0 429.587 -0.292 20 0 IBADRN CC[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000560014187 1071090764 /nfs/dbraw/zinc/09/07/64/1071090764.db2.gz UYFYIQIBQVMKCM-SJORKVTESA-N 0 0 429.587 -0.292 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(Oc3cccnc3)nc2)CC1 ZINC000560018231 1072229753 /nfs/dbraw/zinc/22/97/53/1072229753.db2.gz BAFUCPCDQBRIFA-UHFFFAOYSA-N 0 0 437.420 -0.435 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)N(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000560021916 1072229667 /nfs/dbraw/zinc/22/96/67/1072229667.db2.gz LZMNUUGPNDAUBG-AAEUAGOBSA-N 0 0 432.524 -0.250 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)N(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000560021917 1072229649 /nfs/dbraw/zinc/22/96/49/1072229649.db2.gz LZMNUUGPNDAUBG-DGCLKSJQSA-N 0 0 432.524 -0.250 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)N(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000560021918 1072229837 /nfs/dbraw/zinc/22/98/37/1072229837.db2.gz LZMNUUGPNDAUBG-WCQYABFASA-N 0 0 432.524 -0.250 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)N(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000560021919 1072229661 /nfs/dbraw/zinc/22/96/61/1072229661.db2.gz LZMNUUGPNDAUBG-YPMHNXCESA-N 0 0 432.524 -0.250 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000560023428 1072229772 /nfs/dbraw/zinc/22/97/72/1072229772.db2.gz CADZDLMEFPIQNL-AWEZNQCLSA-N 0 0 442.567 -0.944 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000560023431 1072230182 /nfs/dbraw/zinc/23/01/82/1072230182.db2.gz CADZDLMEFPIQNL-CQSZACIVSA-N 0 0 442.567 -0.944 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1)N1CCCC1 ZINC000560023456 1072229795 /nfs/dbraw/zinc/22/97/95/1072229795.db2.gz JZRQXZUOADVYMH-KRWDZBQOSA-N 0 0 429.525 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1)N1CCCC1 ZINC000560023463 1072229786 /nfs/dbraw/zinc/22/97/86/1072229786.db2.gz JZRQXZUOADVYMH-QGZVFWFLSA-N 0 0 429.525 -0.672 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000560024138 1072230364 /nfs/dbraw/zinc/23/03/64/1072230364.db2.gz KJCUWCGSRWJLCA-DLBZAZTESA-N 0 0 431.541 -0.380 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)CC1 ZINC000560024144 1072230410 /nfs/dbraw/zinc/23/04/10/1072230410.db2.gz KJCUWCGSRWJLCA-IAGOWNOFSA-N 0 0 431.541 -0.380 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000560024147 1072230308 /nfs/dbraw/zinc/23/03/08/1072230308.db2.gz KJCUWCGSRWJLCA-IRXDYDNUSA-N 0 0 431.541 -0.380 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)CC1 ZINC000560024151 1072230445 /nfs/dbraw/zinc/23/04/45/1072230445.db2.gz KJCUWCGSRWJLCA-SJORKVTESA-N 0 0 431.541 -0.380 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000560025796 1072230373 /nfs/dbraw/zinc/23/03/73/1072230373.db2.gz SJRNOFVSLLRJGE-HNNXBMFYSA-N 0 0 428.497 -0.537 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000560025802 1072230339 /nfs/dbraw/zinc/23/03/39/1072230339.db2.gz SJRNOFVSLLRJGE-OAHLLOKOSA-N 0 0 428.497 -0.537 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)CC2)NC(=O)NC1=O ZINC000560026689 1072230324 /nfs/dbraw/zinc/23/03/24/1072230324.db2.gz YFPODMGXVNNSDQ-JLTOFOAXSA-N 0 0 429.481 -0.602 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)CC2)NC(=O)NC1=O ZINC000560026705 1072230219 /nfs/dbraw/zinc/23/02/19/1072230219.db2.gz YFPODMGXVNNSDQ-VBKZILBWSA-N 0 0 429.481 -0.602 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)CC2)NC(=O)NC1=O ZINC000560027199 1072230419 /nfs/dbraw/zinc/23/04/19/1072230419.db2.gz YFPODMGXVNNSDQ-VLIAUNLRSA-N 0 0 429.481 -0.602 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)CC2)NC(=O)NC1=O ZINC000560027200 1072230351 /nfs/dbraw/zinc/23/03/51/1072230351.db2.gz YFPODMGXVNNSDQ-XOBRGWDASA-N 0 0 429.481 -0.602 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000560029121 1072230470 /nfs/dbraw/zinc/23/04/70/1072230470.db2.gz RBCYVJIFGHSRAL-UHFFFAOYSA-N 0 0 440.931 -0.440 20 0 IBADRN CCCOCc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000560029276 1072230293 /nfs/dbraw/zinc/23/02/93/1072230293.db2.gz SZHNTTRMRMHUJQ-UHFFFAOYSA-N 0 0 429.481 -0.413 20 0 IBADRN Cc1cc(CS(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)no1 ZINC000560043113 1072231484 /nfs/dbraw/zinc/23/14/84/1072231484.db2.gz RCGMZJZTVYNQRS-UHFFFAOYSA-N 0 0 429.524 -0.051 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2CC1 ZINC000560043855 1072231661 /nfs/dbraw/zinc/23/16/61/1072231661.db2.gz WZWHQTSMZBXCGB-UHFFFAOYSA-N 0 0 448.545 -0.255 20 0 IBADRN COCC(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000560046659 1072231547 /nfs/dbraw/zinc/23/15/47/1072231547.db2.gz VNFHFSMYLKAZFO-UHFFFAOYSA-N 0 0 426.495 -0.466 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H](C(F)(F)F)O1 ZINC000560052061 1072231560 /nfs/dbraw/zinc/23/15/60/1072231560.db2.gz CSJQQNXPIYOZST-GFCCVEGCSA-N 0 0 447.414 0.249 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H](C(F)(F)F)O1 ZINC000560052062 1072231672 /nfs/dbraw/zinc/23/16/72/1072231672.db2.gz CSJQQNXPIYOZST-LBPRGKRZSA-N 0 0 447.414 0.249 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](CS(C)(=O)=O)C2)ccc1F ZINC000560053392 1071092733 /nfs/dbraw/zinc/09/27/33/1071092733.db2.gz ZYZMPWHNOWEZNW-LLVKDONJSA-N 0 0 435.499 -0.092 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](CS(C)(=O)=O)C2)ccc1F ZINC000560053393 1072231892 /nfs/dbraw/zinc/23/18/92/1072231892.db2.gz ZYZMPWHNOWEZNW-NSHDSACASA-N 0 0 435.499 -0.092 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](CS(C)(=O)=O)C1)CC2 ZINC000560053608 1072231641 /nfs/dbraw/zinc/23/16/41/1072231641.db2.gz VZGQNXXUTCULIW-GFCCVEGCSA-N 0 0 429.520 -0.623 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](CS(C)(=O)=O)C1)CC2 ZINC000560053609 1072231411 /nfs/dbraw/zinc/23/14/11/1072231411.db2.gz VZGQNXXUTCULIW-LBPRGKRZSA-N 0 0 429.520 -0.623 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)nn1 ZINC000560064738 1072232075 /nfs/dbraw/zinc/23/20/75/1072232075.db2.gz QTTVAQGKZLJCQU-UHFFFAOYSA-N 0 0 430.874 -0.004 20 0 IBADRN CN(C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000560065561 1072232013 /nfs/dbraw/zinc/23/20/13/1072232013.db2.gz VHRKSELEQPZCJF-CYBMUJFWSA-N 0 0 445.519 -0.341 20 0 IBADRN CN(C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@H]1CCS(=O)(=O)C1 ZINC000560065567 1072231929 /nfs/dbraw/zinc/23/19/29/1072231929.db2.gz VHRKSELEQPZCJF-ZDUSSCGKSA-N 0 0 445.519 -0.341 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)nn1 ZINC000560068398 1072232024 /nfs/dbraw/zinc/23/20/24/1072232024.db2.gz TZSUHXOMRCBHBZ-UHFFFAOYSA-N 0 0 429.480 -0.516 20 0 IBADRN COC(=O)CC1(CNS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CCOCC1 ZINC000560068919 1072231970 /nfs/dbraw/zinc/23/19/70/1072231970.db2.gz IGMFFPLQKKQTGR-UHFFFAOYSA-N 0 0 426.557 -0.063 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)c1 ZINC000560069929 1072231982 /nfs/dbraw/zinc/23/19/82/1072231982.db2.gz NLEVNNDHDPRHAA-UHFFFAOYSA-N 0 0 435.476 -0.522 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)nn1 ZINC000560069962 1072232034 /nfs/dbraw/zinc/23/20/34/1072232034.db2.gz OEXHASYAUQRWDD-UHFFFAOYSA-N 0 0 432.256 -0.095 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)nn1 ZINC000560069963 1072231913 /nfs/dbraw/zinc/23/19/13/1072231913.db2.gz OEYCEWZCFGUQAR-UHFFFAOYSA-N 0 0 429.480 -0.417 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)C2 ZINC000560070317 1072231951 /nfs/dbraw/zinc/23/19/51/1072231951.db2.gz CWFKVNLLSQZLOJ-UHFFFAOYSA-N 0 0 439.581 -0.436 20 0 IBADRN O=S(=O)(C[C@@H]1CCCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000560075789 1072231899 /nfs/dbraw/zinc/23/18/99/1072231899.db2.gz LZZKBUSEUFJUKN-CYBMUJFWSA-N 0 0 438.549 -0.299 20 0 IBADRN O=S(=O)(C[C@H]1CCCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000560075792 1072232043 /nfs/dbraw/zinc/23/20/43/1072232043.db2.gz LZZKBUSEUFJUKN-ZDUSSCGKSA-N 0 0 438.549 -0.299 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)N[C@@H]3C3CC3)CC2)C[C@H](C)O1 ZINC000560081165 1072231963 /nfs/dbraw/zinc/23/19/63/1072231963.db2.gz IJNYOQNKJZLCPO-CXHZTBPHSA-N 0 0 443.570 -0.275 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)N[C@@H]3C3CC3)CC2)C[C@@H](C)O1 ZINC000560081170 1072232002 /nfs/dbraw/zinc/23/20/02/1072232002.db2.gz IJNYOQNKJZLCPO-GZOSKZMVSA-N 0 0 443.570 -0.275 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)N[C@H]3C3CC3)CC2)C[C@H](C)O1 ZINC000560081174 1072231942 /nfs/dbraw/zinc/23/19/42/1072231942.db2.gz IJNYOQNKJZLCPO-KKBFJZEXSA-N 0 0 443.570 -0.275 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)N[C@H]3C3CC3)CC2)C[C@@H](C)O1 ZINC000560081175 1072231860 /nfs/dbraw/zinc/23/18/60/1072231860.db2.gz IJNYOQNKJZLCPO-SZXPJGKVSA-N 0 0 443.570 -0.275 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](O)CC ZINC000560085255 1072231991 /nfs/dbraw/zinc/23/19/91/1072231991.db2.gz NFWZCOJVOHYOTK-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)c2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000560100085 1072232051 /nfs/dbraw/zinc/23/20/51/1072232051.db2.gz XJEPZBLMVYZOJH-INIZCTEOSA-N 0 0 443.547 -0.331 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)c2ccccc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000560100088 1072232065 /nfs/dbraw/zinc/23/20/65/1072232065.db2.gz XJEPZBLMVYZOJH-MRXNPFEDSA-N 0 0 443.547 -0.331 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000560103694 1072232598 /nfs/dbraw/zinc/23/25/98/1072232598.db2.gz UMRKCBLEJZBLLP-CYBMUJFWSA-N 0 0 442.432 -0.229 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)nn1 ZINC000560103699 1072232579 /nfs/dbraw/zinc/23/25/79/1072232579.db2.gz UMRKCBLEJZBLLP-ZDUSSCGKSA-N 0 0 442.432 -0.229 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000560103875 1072232617 /nfs/dbraw/zinc/23/26/17/1072232617.db2.gz WUMSCQUGDJVVOS-UHFFFAOYSA-N 0 0 441.470 -0.614 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000560108817 1072232624 /nfs/dbraw/zinc/23/26/24/1072232624.db2.gz BWLITYYOJNZUEU-UHFFFAOYSA-N 0 0 447.583 -0.163 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCCn2cc(C(=O)OC)nn2)c1 ZINC000560127458 1072232606 /nfs/dbraw/zinc/23/26/06/1072232606.db2.gz CETNMXPLLHNZSF-UHFFFAOYSA-N 0 0 425.467 -0.272 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCn2cc(C(=O)OC)nn2)c1 ZINC000560127495 1072232633 /nfs/dbraw/zinc/23/26/33/1072232633.db2.gz DBGVWIIGBOJSDQ-UHFFFAOYSA-N 0 0 440.482 -0.927 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)nn1 ZINC000560130193 1072232473 /nfs/dbraw/zinc/23/24/73/1072232473.db2.gz NXKFRCTUELOWJN-AWEZNQCLSA-N 0 0 437.478 -0.048 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)nn1 ZINC000560130194 1072232587 /nfs/dbraw/zinc/23/25/87/1072232587.db2.gz NXKFRCTUELOWJN-CQSZACIVSA-N 0 0 437.478 -0.048 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCC(=O)Nc2ccc(I)cc2)C1=O ZINC000560132393 1072232497 /nfs/dbraw/zinc/23/24/97/1072232497.db2.gz UMWIOOTUOOSPDU-UHFFFAOYSA-N 0 0 444.185 -0.234 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NCC(=O)Nc2ccc(I)cc2)c1=O ZINC000560132502 1072232456 /nfs/dbraw/zinc/23/24/56/1072232456.db2.gz XEOCVGNWWWOZMC-UHFFFAOYSA-N 0 0 442.213 -0.094 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)nn1 ZINC000560140215 1072233172 /nfs/dbraw/zinc/23/31/72/1072233172.db2.gz IHRIJVMJNLCOLC-UHFFFAOYSA-N 0 0 438.466 -0.492 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000560140340 1072232549 /nfs/dbraw/zinc/23/25/49/1072232549.db2.gz CZOUOLJITPOYDG-UHFFFAOYSA-N 0 0 437.478 -0.176 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(CS(C)(=O)=O)CC2)ccc1F ZINC000560149729 1072232938 /nfs/dbraw/zinc/23/29/38/1072232938.db2.gz XDEVAVKLHXMXSV-UHFFFAOYSA-N 0 0 435.499 -0.045 20 0 IBADRN CS(=O)(=O)c1ccnc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000560150311 1072233181 /nfs/dbraw/zinc/23/31/81/1072233181.db2.gz ZXEQMJSUAAIOCQ-UHFFFAOYSA-N 0 0 438.487 -0.142 20 0 IBADRN COCc1nc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)sc1C(=O)OC ZINC000560153209 1072232919 /nfs/dbraw/zinc/23/29/19/1072232919.db2.gz VUCPOXNVLXDMKG-UHFFFAOYSA-N 0 0 436.450 -0.148 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)c2C)no1 ZINC000560154354 1072232989 /nfs/dbraw/zinc/23/29/89/1072232989.db2.gz NXIACXNCZKUSCT-UHFFFAOYSA-N 0 0 425.409 -0.167 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CCn3ncn(C)c3=O)CC2)c(OC)c1OC ZINC000560156896 1072232897 /nfs/dbraw/zinc/23/28/97/1072232897.db2.gz GRPIFYKSLJBKBD-UHFFFAOYSA-N 0 0 433.465 -0.018 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000560157426 1072233005 /nfs/dbraw/zinc/23/30/05/1072233005.db2.gz UMWJHOUXHIYTKQ-UHFFFAOYSA-N 0 0 428.493 -0.314 20 0 IBADRN O=C(Nc1ccc(C(F)(F)F)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000560160662 1072232949 /nfs/dbraw/zinc/23/29/49/1072232949.db2.gz VEHOKYISXVVIBY-UHFFFAOYSA-N 0 0 443.382 -0.432 20 0 IBADRN Cn1cnnc1COCC(=O)NC1C(C)(C)C(NC(=O)COCc2nncn2C)C1(C)C ZINC000560164017 1072232958 /nfs/dbraw/zinc/23/29/58/1072232958.db2.gz HVKNCVBKOYQSIZ-UHFFFAOYSA-N 0 0 448.528 -0.287 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)nn1 ZINC000560169159 1073346128 /nfs/dbraw/zinc/34/61/28/1073346128.db2.gz KTQUICBBUHMOBB-UHFFFAOYSA-N 0 0 437.453 0.486 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cnc(CC4CC4)nc3)CC2)no1 ZINC000560169623 1072233210 /nfs/dbraw/zinc/23/32/10/1072233210.db2.gz FUUNOWLEHNIZAB-UHFFFAOYSA-N 0 0 427.465 0.447 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(C)(C)C)CC2)c1 ZINC000560170109 1072232971 /nfs/dbraw/zinc/23/29/71/1072232971.db2.gz GLMGQGMASWVRTM-UHFFFAOYSA-N 0 0 431.493 -0.101 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)nn1 ZINC000560170654 1073345942 /nfs/dbraw/zinc/34/59/42/1073345942.db2.gz RHFJLPWYTXGKMM-UHFFFAOYSA-N 0 0 425.492 0.455 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)nn1 ZINC000560171347 1073346485 /nfs/dbraw/zinc/34/64/85/1073346485.db2.gz OMGMRIIKPISXCN-UHFFFAOYSA-N 0 0 447.473 0.159 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccc4c(c3F)CCNC4=O)CC2)nc1 ZINC000560171886 1072232908 /nfs/dbraw/zinc/23/29/08/1072232908.db2.gz DMRFOANNEIEPEP-UHFFFAOYSA-N 0 0 440.435 -0.107 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000560172009 1072233202 /nfs/dbraw/zinc/23/32/02/1072233202.db2.gz JIKDBBIDMKNURD-INIZCTEOSA-N 0 0 445.476 -0.968 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000560172010 1072233191 /nfs/dbraw/zinc/23/31/91/1072233191.db2.gz JIKDBBIDMKNURD-MRXNPFEDSA-N 0 0 445.476 -0.968 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cc(Cl)cc(C(N)=O)c2OC)C1 ZINC000560183306 1072233634 /nfs/dbraw/zinc/23/36/34/1072233634.db2.gz HGAGSMWTQQDRRG-UHFFFAOYSA-N 0 0 432.886 -0.216 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N(C)CC(C)(C)CN2CCOCC2)c1 ZINC000560184187 1072233611 /nfs/dbraw/zinc/23/36/11/1072233611.db2.gz IASDFJRESIBSME-UHFFFAOYSA-N 0 0 447.536 -0.001 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)N3CCO[C@H](C(N)=O)C3)cc2)CC1 ZINC000560184854 1072233539 /nfs/dbraw/zinc/23/35/39/1072233539.db2.gz KUYFWBVSBWDPCW-FQEVSTJZSA-N 0 0 447.536 -0.332 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)N3CCO[C@@H](C(N)=O)C3)cc2)CC1 ZINC000560184861 1072233507 /nfs/dbraw/zinc/23/35/07/1072233507.db2.gz KUYFWBVSBWDPCW-HXUWFJFHSA-N 0 0 447.536 -0.332 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000560185531 1072233549 /nfs/dbraw/zinc/23/35/49/1072233549.db2.gz PEJPTFJCXTVHRR-AWEZNQCLSA-N 0 0 436.440 -0.130 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN([C@H](C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2 ZINC000560185972 1072233655 /nfs/dbraw/zinc/23/36/55/1072233655.db2.gz XQXHKKGEQATFCQ-LLVKDONJSA-N 0 0 435.506 -0.796 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN([C@@H](C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2 ZINC000560185973 1072233671 /nfs/dbraw/zinc/23/36/71/1072233671.db2.gz XQXHKKGEQATFCQ-NSHDSACASA-N 0 0 435.506 -0.796 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)nn1 ZINC000560187033 1072233643 /nfs/dbraw/zinc/23/36/43/1072233643.db2.gz DPCTYQYPUUGWNR-INIZCTEOSA-N 0 0 444.448 -0.262 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)nn1 ZINC000560187035 1072233622 /nfs/dbraw/zinc/23/36/22/1072233622.db2.gz DPCTYQYPUUGWNR-MRXNPFEDSA-N 0 0 444.448 -0.262 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000560188370 1072233649 /nfs/dbraw/zinc/23/36/49/1072233649.db2.gz MJFKEYXLPXWSSL-UHFFFAOYSA-N 0 0 448.451 -0.032 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc(Cl)cc1C(N)=O ZINC000560191045 1072233638 /nfs/dbraw/zinc/23/36/38/1072233638.db2.gz ZXLTUVWEVHDTTK-UHFFFAOYSA-N 0 0 425.873 -0.206 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)NCCn2cc(C(=O)OC)nn2)c1 ZINC000560191060 1072233673 /nfs/dbraw/zinc/23/36/73/1072233673.db2.gz JGDHZZTZHZUDSU-UHFFFAOYSA-N 0 0 438.466 -0.029 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnc(CC4CC4)nc3)CC2)cn1C ZINC000560191087 1072234247 /nfs/dbraw/zinc/23/42/47/1072234247.db2.gz UPXFCNHQGSAIDJ-UHFFFAOYSA-N 0 0 447.521 -0.057 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)cc(Cl)cc1C(N)=O ZINC000560191192 1072234077 /nfs/dbraw/zinc/23/40/77/1072234077.db2.gz LSOBNZPGMHBWHY-UHFFFAOYSA-N 0 0 437.840 -0.071 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)cc(Cl)cc1C(=O)N(C)C ZINC000560191658 1072234108 /nfs/dbraw/zinc/23/41/08/1072234108.db2.gz XPYHEVGAIPGKJB-UHFFFAOYSA-N 0 0 426.857 -0.348 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)NCCn3cc(C(=O)OC)nn3)cc21 ZINC000560191786 1072234152 /nfs/dbraw/zinc/23/41/52/1072234152.db2.gz JBIUEJVHXKRNJA-UHFFFAOYSA-N 0 0 429.437 -0.088 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)cc2c1=O ZINC000560192609 1072234099 /nfs/dbraw/zinc/23/40/99/1072234099.db2.gz WWHNMUCLSUHJMG-UHFFFAOYSA-N 0 0 429.458 -0.033 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](CO)C[C@H](O)C(C)(C)C)CC2 ZINC000560192688 1072234161 /nfs/dbraw/zinc/23/41/61/1072234161.db2.gz OYVGBFMVAAMFBF-BBRMVZONSA-N 0 0 427.523 -0.242 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](CO)C[C@H](O)C(C)(C)C)CC2 ZINC000560192690 1072234089 /nfs/dbraw/zinc/23/40/89/1072234089.db2.gz OYVGBFMVAAMFBF-CJNGLKHVSA-N 0 0 427.523 -0.242 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H](CO)C[C@@H](O)C(C)(C)C)CC2 ZINC000560192692 1072234220 /nfs/dbraw/zinc/23/42/20/1072234220.db2.gz OYVGBFMVAAMFBF-CZUORRHYSA-N 0 0 427.523 -0.242 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H](CO)C[C@@H](O)C(C)(C)C)CC2 ZINC000560192693 1072234259 /nfs/dbraw/zinc/23/42/59/1072234259.db2.gz OYVGBFMVAAMFBF-XJKSGUPXSA-N 0 0 427.523 -0.242 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)nn1 ZINC000560192932 1072234202 /nfs/dbraw/zinc/23/42/02/1072234202.db2.gz YTRLSGXJJNNLSJ-AWEZNQCLSA-N 0 0 441.510 -0.145 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)nn1 ZINC000560192934 1073318733 /nfs/dbraw/zinc/31/87/33/1073318733.db2.gz YTRLSGXJJNNLSJ-CQSZACIVSA-N 0 0 441.510 -0.145 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000560193414 1072234137 /nfs/dbraw/zinc/23/41/37/1072234137.db2.gz VZVMHSDZVVOSSS-UHFFFAOYSA-N 0 0 433.509 -0.248 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)nn1 ZINC000560194272 1072234230 /nfs/dbraw/zinc/23/42/30/1072234230.db2.gz CJBGPDVWEBZSTG-UHFFFAOYSA-N 0 0 444.448 -0.400 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCn3cc(C(=O)OC)nn3)cc21 ZINC000560194774 1072234180 /nfs/dbraw/zinc/23/41/80/1072234180.db2.gz KOSGNSTZRYORTK-UHFFFAOYSA-N 0 0 427.421 -0.480 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NCCn1cc(C(=O)OC)nn1 ZINC000560194906 1072234128 /nfs/dbraw/zinc/23/41/28/1072234128.db2.gz MZXZDVFVWGCCLN-UHFFFAOYSA-N 0 0 432.437 -0.080 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)nn1 ZINC000560195346 1072234170 /nfs/dbraw/zinc/23/41/70/1072234170.db2.gz BQDRCCIXRLDWNB-UHFFFAOYSA-N 0 0 436.450 -0.740 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)nn1 ZINC000560195821 1072234210 /nfs/dbraw/zinc/23/42/10/1072234210.db2.gz GMTIQTGYXHXKHD-UHFFFAOYSA-N 0 0 438.466 -0.622 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCNS(=O)(=O)c2ccccc2Cl)nn1 ZINC000560196350 1072234059 /nfs/dbraw/zinc/23/40/59/1072234059.db2.gz COSXCARTCYWCHC-UHFFFAOYSA-N 0 0 427.870 0.562 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCc2c(cccc2S(=O)(=O)NC)C1 ZINC000560196425 1072234239 /nfs/dbraw/zinc/23/42/39/1072234239.db2.gz LNQDCRGNRPJFAR-UHFFFAOYSA-N 0 0 449.489 0.028 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(NC(=O)[C@@H]3CCCO3)cc2)nn1 ZINC000560197364 1071096892 /nfs/dbraw/zinc/09/68/92/1071096892.db2.gz RNOKIUQXVQICMH-HNNXBMFYSA-N 0 0 430.421 -0.063 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(NC(=O)[C@H]3CCCO3)cc2)nn1 ZINC000560197365 1072234690 /nfs/dbraw/zinc/23/46/90/1072234690.db2.gz RNOKIUQXVQICMH-OAHLLOKOSA-N 0 0 430.421 -0.063 20 0 IBADRN CCNC(=O)C[C@@H]1CCCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000560198136 1072234117 /nfs/dbraw/zinc/23/41/17/1072234117.db2.gz KCNNFPDFFULXHN-INIZCTEOSA-N 0 0 434.497 -0.412 20 0 IBADRN CCNC(=O)C[C@H]1CCCCN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000560198183 1072234701 /nfs/dbraw/zinc/23/47/01/1072234701.db2.gz KCNNFPDFFULXHN-MRXNPFEDSA-N 0 0 434.497 -0.412 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(-c3nnc(N(C)C)o3)cc2)nn1 ZINC000560198248 1072234620 /nfs/dbraw/zinc/23/46/20/1072234620.db2.gz LBBBOMCESWUFRE-UHFFFAOYSA-N 0 0 428.409 -0.064 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)nn1 ZINC000560199618 1072234711 /nfs/dbraw/zinc/23/47/11/1072234711.db2.gz OLSFFZULIQUZTD-UHFFFAOYSA-N 0 0 432.437 -0.405 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3ccc(OC(C)C)nn3)C2)cc1 ZINC000560200320 1072234667 /nfs/dbraw/zinc/23/46/67/1072234667.db2.gz ZHVBEFADVWBMOV-UHFFFAOYSA-N 0 0 449.489 0.400 20 0 IBADRN CCO[C@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1(C)C ZINC000560200920 1072234601 /nfs/dbraw/zinc/23/46/01/1072234601.db2.gz QFRHANYQKQOPRR-BTYIYWSLSA-N 0 0 434.493 -0.135 20 0 IBADRN CCO[C@@H]1C[C@](CO)(NC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1(C)C ZINC000560200921 1072234626 /nfs/dbraw/zinc/23/46/26/1072234626.db2.gz QFRHANYQKQOPRR-QVKFZJNVSA-N 0 0 434.493 -0.135 20 0 IBADRN CCO[C@@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1(C)C ZINC000560200922 1072234750 /nfs/dbraw/zinc/23/47/50/1072234750.db2.gz QFRHANYQKQOPRR-VFNWGFHPSA-N 0 0 434.493 -0.135 20 0 IBADRN CCO[C@H]1C[C@](CO)(NC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1(C)C ZINC000560200923 1072234741 /nfs/dbraw/zinc/23/47/41/1072234741.db2.gz QFRHANYQKQOPRR-YCRPNKLZSA-N 0 0 434.493 -0.135 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)NCC(=O)Nc1ccc(I)cc1 ZINC000560202253 1072234584 /nfs/dbraw/zinc/23/45/84/1072234584.db2.gz JLOZJXRZCLCGLH-UHFFFAOYSA-N 0 0 432.218 -0.450 20 0 IBADRN Cc1cc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)n(C)n1 ZINC000560202331 1072234766 /nfs/dbraw/zinc/23/47/66/1072234766.db2.gz OZCQRFUPUXQJAH-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN CCc1nc(C)c(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)o1 ZINC000560202899 1072234631 /nfs/dbraw/zinc/23/46/31/1072234631.db2.gz CPUAUZJVWCWHOS-UHFFFAOYSA-N 0 0 449.489 -0.079 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@@H]3OCCN(C)[C@@H]3C2)ccc1NS(C)(=O)=O ZINC000560203103 1072234610 /nfs/dbraw/zinc/23/46/10/1072234610.db2.gz FWXAABRPVCGOED-CABCVRRESA-N 0 0 426.495 -0.063 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@@H]3OCCN(C)[C@H]3C2)ccc1NS(C)(=O)=O ZINC000560203106 1072234678 /nfs/dbraw/zinc/23/46/78/1072234678.db2.gz FWXAABRPVCGOED-GJZGRUSLSA-N 0 0 426.495 -0.063 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@H]3OCCN(C)[C@@H]3C2)ccc1NS(C)(=O)=O ZINC000560203108 1072234726 /nfs/dbraw/zinc/23/47/26/1072234726.db2.gz FWXAABRPVCGOED-HUUCEWRRSA-N 0 0 426.495 -0.063 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@H]3OCCN(C)[C@H]3C2)ccc1NS(C)(=O)=O ZINC000560203110 1072234635 /nfs/dbraw/zinc/23/46/35/1072234635.db2.gz FWXAABRPVCGOED-LSDHHAIUSA-N 0 0 426.495 -0.063 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc(Cl)cc1C(N)=O ZINC000560204348 1072234654 /nfs/dbraw/zinc/23/46/54/1072234654.db2.gz FKBGSUURCFLKCT-VIFPVBQESA-N 0 0 432.886 -0.122 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)OC ZINC000560208562 1072235187 /nfs/dbraw/zinc/23/51/87/1072235187.db2.gz AEMSCAGYCHQMSV-AWEZNQCLSA-N 0 0 430.483 -0.754 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)OC ZINC000560208575 1072234755 /nfs/dbraw/zinc/23/47/55/1072234755.db2.gz AEMSCAGYCHQMSV-CQSZACIVSA-N 0 0 430.483 -0.754 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1)OC ZINC000560208599 1072235133 /nfs/dbraw/zinc/23/51/33/1072235133.db2.gz AVRSXHBBNKGWBO-AWEZNQCLSA-N 0 0 443.478 -0.278 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1)OC ZINC000560208606 1072235327 /nfs/dbraw/zinc/23/53/27/1072235327.db2.gz AVRSXHBBNKGWBO-CQSZACIVSA-N 0 0 443.478 -0.278 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1)OC ZINC000560208938 1072234591 /nfs/dbraw/zinc/23/45/91/1072234591.db2.gz GUUDXCAFYXGYHO-GFCCVEGCSA-N 0 0 445.270 -0.077 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cnc(N2CCOCC2)c(Br)c1)OC ZINC000560208939 1072234642 /nfs/dbraw/zinc/23/46/42/1072234642.db2.gz GUUDXCAFYXGYHO-LBPRGKRZSA-N 0 0 445.270 -0.077 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(C)[C@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000560209610 1072235116 /nfs/dbraw/zinc/23/51/16/1072235116.db2.gz JENXNTHFRAESQA-CYBMUJFWSA-N 0 0 429.495 -0.116 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H](OC)C(=O)OC ZINC000560210219 1072235124 /nfs/dbraw/zinc/23/51/24/1072235124.db2.gz XEFZWFWNXURUEW-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H](OC)C(=O)OC ZINC000560210220 1072235140 /nfs/dbraw/zinc/23/51/40/1072235140.db2.gz XEFZWFWNXURUEW-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CCN1CC(C)(C)O ZINC000560210680 1072235256 /nfs/dbraw/zinc/23/52/56/1072235256.db2.gz AFXUGRNSWZTBAY-AWEZNQCLSA-N 0 0 433.509 -0.514 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CCN1CC(C)(C)O ZINC000560210683 1072235162 /nfs/dbraw/zinc/23/51/62/1072235162.db2.gz AFXUGRNSWZTBAY-CQSZACIVSA-N 0 0 433.509 -0.514 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)Nc3cccc(C(=O)N(C)CC(N)=O)c3)CC2)n1 ZINC000560211245 1072235198 /nfs/dbraw/zinc/23/51/98/1072235198.db2.gz FPLVILALXMMUFI-UHFFFAOYSA-N 0 0 439.476 -0.370 20 0 IBADRN C[C@H](CO)N(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000560212326 1072235293 /nfs/dbraw/zinc/23/52/93/1072235293.db2.gz MVKMBPDXVOOZKN-CYBMUJFWSA-N 0 0 428.511 -0.449 20 0 IBADRN CCNC(=O)C[C@@H]1CCCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000560213814 1073337433 /nfs/dbraw/zinc/33/74/33/1073337433.db2.gz LTBVMLAQYOCEIR-HNNXBMFYSA-N 0 0 434.497 -0.412 20 0 IBADRN CCNC(=O)C[C@H]1CCCCN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000560213818 1073337405 /nfs/dbraw/zinc/33/74/05/1073337405.db2.gz LTBVMLAQYOCEIR-OAHLLOKOSA-N 0 0 434.497 -0.412 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3F)CCNC4=O)C2)nn1 ZINC000560222464 1072235353 /nfs/dbraw/zinc/23/53/53/1072235353.db2.gz LLMPWVDISOOXIG-JTQLQIEISA-N 0 0 430.396 -0.098 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3F)CCNC4=O)C2)nn1 ZINC000560222465 1072235305 /nfs/dbraw/zinc/23/53/05/1072235305.db2.gz LLMPWVDISOOXIG-SNVBAGLBSA-N 0 0 430.396 -0.098 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc4c(c3F)CCNC4=O)CC2)c1=O ZINC000560224496 1072235315 /nfs/dbraw/zinc/23/53/15/1072235315.db2.gz BYMBKHYYRNVQCB-UHFFFAOYSA-N 0 0 428.424 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cnn(Cc2ccc(OC)cc2)c1 ZINC000560224810 1072235348 /nfs/dbraw/zinc/23/53/48/1072235348.db2.gz SKGCGOTXRNQDLN-KRWDZBQOSA-N 0 0 442.476 -0.268 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cnn(Cc2ccc(OC)cc2)c1 ZINC000560224819 1072235145 /nfs/dbraw/zinc/23/51/45/1072235145.db2.gz SKGCGOTXRNQDLN-QGZVFWFLSA-N 0 0 442.476 -0.268 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC1(CCO)CC1 ZINC000560234494 1072235242 /nfs/dbraw/zinc/23/52/42/1072235242.db2.gz RFOCGVBVSFVZFC-UHFFFAOYSA-N 0 0 440.522 -0.399 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCc2c1cc(F)cc2F ZINC000560236236 1072235281 /nfs/dbraw/zinc/23/52/81/1072235281.db2.gz HNHXAFPECXRUPN-UHFFFAOYSA-N 0 0 444.460 -0.425 20 0 IBADRN Cn1cc(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)cn1 ZINC000560236641 1072235360 /nfs/dbraw/zinc/23/53/60/1072235360.db2.gz PVBIWDHHQRIURX-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)CC1=O ZINC000560237635 1071097221 /nfs/dbraw/zinc/09/72/21/1071097221.db2.gz HVZQZWSBOBZFCS-UHFFFAOYSA-N 0 0 425.507 -0.445 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3ccc(CS(C)(=O)=O)cc3)C2=O)n1 ZINC000560239736 1072235775 /nfs/dbraw/zinc/23/57/75/1072235775.db2.gz CAUPJBYLNDJWQO-INIZCTEOSA-N 0 0 447.517 -0.107 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3ccc(CS(C)(=O)=O)cc3)C2=O)n1 ZINC000560239737 1072235720 /nfs/dbraw/zinc/23/57/20/1072235720.db2.gz CAUPJBYLNDJWQO-MRXNPFEDSA-N 0 0 447.517 -0.107 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)[C@@H](C)C1 ZINC000560240159 1072235908 /nfs/dbraw/zinc/23/59/08/1072235908.db2.gz RDEQKESDQYEIBQ-HNNXBMFYSA-N 0 0 439.534 -0.057 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)[C@H](C)C1 ZINC000560240160 1072235890 /nfs/dbraw/zinc/23/58/90/1072235890.db2.gz RDEQKESDQYEIBQ-OAHLLOKOSA-N 0 0 439.534 -0.057 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccc(CS(C)(=O)=O)cc1)S(C)(=O)=O ZINC000560240277 1072235882 /nfs/dbraw/zinc/23/58/82/1072235882.db2.gz VKHCELVWPQCFFQ-UHFFFAOYSA-N 0 0 433.552 -0.365 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NC[C@H]3COCCO3)CC2)c(OC)c1OC ZINC000560240509 1072235810 /nfs/dbraw/zinc/23/58/10/1072235810.db2.gz ASFYTXBYYWNXOO-INIZCTEOSA-N 0 0 437.493 -0.112 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NC[C@@H]3COCCO3)CC2)c(OC)c1OC ZINC000560240510 1072235854 /nfs/dbraw/zinc/23/58/54/1072235854.db2.gz ASFYTXBYYWNXOO-MRXNPFEDSA-N 0 0 437.493 -0.112 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000560240528 1072235836 /nfs/dbraw/zinc/23/58/36/1072235836.db2.gz AFAJEWHGJVHMKA-UHFFFAOYSA-N 0 0 449.533 -0.252 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)cc1 ZINC000560242745 1071097736 /nfs/dbraw/zinc/09/77/36/1071097736.db2.gz QXHDJEPRUMKEDP-UHFFFAOYSA-N 0 0 433.465 -0.256 20 0 IBADRN O=C(NC[C@@H]1COCCO1)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000560242880 1071097982 /nfs/dbraw/zinc/09/79/82/1071097982.db2.gz VXMJKZJPYCOALQ-GFCCVEGCSA-N 0 0 433.433 -0.671 20 0 IBADRN O=C(NC[C@H]1COCCO1)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000560242881 1072235751 /nfs/dbraw/zinc/23/57/51/1072235751.db2.gz VXMJKZJPYCOALQ-LBPRGKRZSA-N 0 0 433.433 -0.671 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)NC2CCN(C(=O)COC)CC2)C1 ZINC000560251916 1072235864 /nfs/dbraw/zinc/23/58/64/1072235864.db2.gz LWDKQERFGUWDDT-FQEVSTJZSA-N 0 0 427.498 -0.442 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)NC2CCN(C(=O)COC)CC2)C1 ZINC000560251919 1072235828 /nfs/dbraw/zinc/23/58/28/1072235828.db2.gz LWDKQERFGUWDDT-HXUWFJFHSA-N 0 0 427.498 -0.442 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC1(CCO)CC1 ZINC000560253201 1072235927 /nfs/dbraw/zinc/23/59/27/1072235927.db2.gz IPNTUKWTJNTSDX-UHFFFAOYSA-N 0 0 427.479 -0.314 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000560253975 1072235734 /nfs/dbraw/zinc/23/57/34/1072235734.db2.gz MSYPZULPKVJHQO-CVEARBPZSA-N 0 0 434.493 -0.323 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NC2CCN(C(=O)COC)CC2)C1 ZINC000560256344 1072236373 /nfs/dbraw/zinc/23/63/73/1072236373.db2.gz KYMBYFYDBNYOLG-CABCVRRESA-N 0 0 426.514 -0.870 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NC2CCN(C(=O)COC)CC2)C1 ZINC000560256346 1072236438 /nfs/dbraw/zinc/23/64/38/1072236438.db2.gz KYMBYFYDBNYOLG-GJZGRUSLSA-N 0 0 426.514 -0.870 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NC2CCN(C(=O)COC)CC2)C1 ZINC000560256348 1072236286 /nfs/dbraw/zinc/23/62/86/1072236286.db2.gz KYMBYFYDBNYOLG-HUUCEWRRSA-N 0 0 426.514 -0.870 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NC2CCN(C(=O)COC)CC2)C1 ZINC000560256349 1072236284 /nfs/dbraw/zinc/23/62/84/1072236284.db2.gz KYMBYFYDBNYOLG-LSDHHAIUSA-N 0 0 426.514 -0.870 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)CC1 ZINC000560257036 1072235843 /nfs/dbraw/zinc/23/58/43/1072235843.db2.gz JVXQVMXWIVYKOJ-UHFFFAOYSA-N 0 0 442.542 -0.870 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3C)CC2)CC1 ZINC000560257605 1072236400 /nfs/dbraw/zinc/23/64/00/1072236400.db2.gz WOFCDKDXFYHCRT-UHFFFAOYSA-N 0 0 444.532 -0.038 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCc2nnc3n2CCCC3)cc1 ZINC000560258216 1072236414 /nfs/dbraw/zinc/23/64/14/1072236414.db2.gz IEFPXRWGEPZZHF-UHFFFAOYSA-N 0 0 434.522 -0.024 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000560262658 1072236408 /nfs/dbraw/zinc/23/64/08/1072236408.db2.gz ILPMQCAPJXTWSQ-UHFFFAOYSA-N 0 0 445.461 -0.214 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C(=O)Nc1ccn(CC(F)(F)F)n1 ZINC000560263679 1073310784 /nfs/dbraw/zinc/31/07/84/1073310784.db2.gz YTOGSKNDJYVZRN-UHFFFAOYSA-N 0 0 449.411 0.569 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000560276445 1072236341 /nfs/dbraw/zinc/23/63/41/1072236341.db2.gz DUXUCIRILZPYIZ-UHFFFAOYSA-N 0 0 445.505 -0.133 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC3CCCC3)CC2)C[C@H](C)O1 ZINC000560279589 1072236327 /nfs/dbraw/zinc/23/63/27/1072236327.db2.gz CDIXKRZALZUGFB-HOTGVXAUSA-N 0 0 446.570 -0.440 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC3CCCC3)CC2)C[C@@H](C)O1 ZINC000560279590 1072236303 /nfs/dbraw/zinc/23/63/03/1072236303.db2.gz CDIXKRZALZUGFB-HZPDHXFCSA-N 0 0 446.570 -0.440 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC3CCCC3)CC2)C[C@H](C)O1 ZINC000560279591 1072236298 /nfs/dbraw/zinc/23/62/98/1072236298.db2.gz CDIXKRZALZUGFB-IYBDPMFKSA-N 0 0 446.570 -0.440 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000560281218 1072236349 /nfs/dbraw/zinc/23/63/49/1072236349.db2.gz KNVLZNIGZSXMIZ-BBWFWOEESA-N 0 0 426.473 -0.343 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000560281223 1072236366 /nfs/dbraw/zinc/23/63/66/1072236366.db2.gz KNVLZNIGZSXMIZ-IXDOHACOSA-N 0 0 426.473 -0.343 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCS1(=O)=O ZINC000560283351 1072236388 /nfs/dbraw/zinc/23/63/88/1072236388.db2.gz QFFFUFFQDMQMJB-AYDFFVQHSA-N 0 0 435.502 -0.286 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCS1(=O)=O ZINC000560283364 1072236294 /nfs/dbraw/zinc/23/62/94/1072236294.db2.gz QFFFUFFQDMQMJB-FQLMCAECSA-N 0 0 435.502 -0.286 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCS1(=O)=O ZINC000560283366 1071099196 /nfs/dbraw/zinc/09/91/96/1071099196.db2.gz QFFFUFFQDMQMJB-NHIYQJMISA-N 0 0 435.502 -0.286 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCS1(=O)=O ZINC000560283368 1072236358 /nfs/dbraw/zinc/23/63/58/1072236358.db2.gz QFFFUFFQDMQMJB-YXWQFLTLSA-N 0 0 435.502 -0.286 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000560284498 1072237072 /nfs/dbraw/zinc/23/70/72/1072237072.db2.gz KXYHMCMONHKGAX-UHFFFAOYSA-N 0 0 446.483 -0.498 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000560284613 1072236901 /nfs/dbraw/zinc/23/69/01/1072236901.db2.gz GFWAMEVOLYRVDD-UHFFFAOYSA-N 0 0 433.538 -0.312 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)oc1C ZINC000560284807 1072236432 /nfs/dbraw/zinc/23/64/32/1072236432.db2.gz IRXVBDCRHPTGOP-UHFFFAOYSA-N 0 0 445.524 -0.163 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000560284894 1072237058 /nfs/dbraw/zinc/23/70/58/1072237058.db2.gz UOWCLCFUGXXZKB-UHFFFAOYSA-N 0 0 430.465 -0.535 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000560285044 1072236333 /nfs/dbraw/zinc/23/63/33/1072236333.db2.gz LQHVDPIURKWFEG-UHFFFAOYSA-N 0 0 430.465 -0.932 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)C2)n(C)n1 ZINC000560285395 1072236891 /nfs/dbraw/zinc/23/68/91/1072236891.db2.gz OYRKPNFPAYKZRE-INIZCTEOSA-N 0 0 444.540 -0.285 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)C2)n(C)n1 ZINC000560285397 1072236987 /nfs/dbraw/zinc/23/69/87/1072236987.db2.gz OYRKPNFPAYKZRE-MRXNPFEDSA-N 0 0 444.540 -0.285 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@H](C)O1 ZINC000560285429 1072236996 /nfs/dbraw/zinc/23/69/96/1072236996.db2.gz QBEWQMCVBAJYMA-FGTMMUONSA-N 0 0 448.568 -0.012 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@H](C)O1 ZINC000560285431 1072237029 /nfs/dbraw/zinc/23/70/29/1072237029.db2.gz QBEWQMCVBAJYMA-KSZLIROESA-N 0 0 448.568 -0.012 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@@H](C)O1 ZINC000560285433 1072237380 /nfs/dbraw/zinc/23/73/80/1072237380.db2.gz QBEWQMCVBAJYMA-KURKYZTESA-N 0 0 448.568 -0.012 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C[C@@H](C)O1 ZINC000560285435 1072237484 /nfs/dbraw/zinc/23/74/84/1072237484.db2.gz QBEWQMCVBAJYMA-KZNAEPCWSA-N 0 0 448.568 -0.012 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCc1cccc(N2CCOCC2)n1 ZINC000560285541 1072237095 /nfs/dbraw/zinc/23/70/95/1072237095.db2.gz SKDQXCOXXBSRQR-UHFFFAOYSA-N 0 0 443.508 -0.565 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000560285597 1072237081 /nfs/dbraw/zinc/23/70/81/1072237081.db2.gz VPEUCEXLKVHTOO-CABCVRRESA-N 0 0 434.559 -0.694 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000560285598 1072236959 /nfs/dbraw/zinc/23/69/59/1072236959.db2.gz VPEUCEXLKVHTOO-GJZGRUSLSA-N 0 0 434.559 -0.694 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000560285599 1072236978 /nfs/dbraw/zinc/23/69/78/1072236978.db2.gz VPEUCEXLKVHTOO-HUUCEWRRSA-N 0 0 434.559 -0.694 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000560285600 1072237088 /nfs/dbraw/zinc/23/70/88/1072237088.db2.gz VPEUCEXLKVHTOO-LSDHHAIUSA-N 0 0 434.559 -0.694 20 0 IBADRN CCN(C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)[C@@H](C)CS(=O)(=O)CC ZINC000560285614 1072237102 /nfs/dbraw/zinc/23/71/02/1072237102.db2.gz WGQIQSIYHJMOGS-AWEZNQCLSA-N 0 0 429.543 -0.441 20 0 IBADRN CCN(C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)[C@H](C)CS(=O)(=O)CC ZINC000560285615 1072236912 /nfs/dbraw/zinc/23/69/12/1072236912.db2.gz WGQIQSIYHJMOGS-CQSZACIVSA-N 0 0 429.543 -0.441 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000560287220 1072237426 /nfs/dbraw/zinc/23/74/26/1072237426.db2.gz BWUXSZDFOGDHSX-CABCVRRESA-N 0 0 432.543 -0.940 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000560287228 1072237419 /nfs/dbraw/zinc/23/74/19/1072237419.db2.gz BWUXSZDFOGDHSX-GJZGRUSLSA-N 0 0 432.543 -0.940 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000560287229 1072237371 /nfs/dbraw/zinc/23/73/71/1072237371.db2.gz BWUXSZDFOGDHSX-HUUCEWRRSA-N 0 0 432.543 -0.940 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000560287230 1072237432 /nfs/dbraw/zinc/23/74/32/1072237432.db2.gz BWUXSZDFOGDHSX-LSDHHAIUSA-N 0 0 432.543 -0.940 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000560288212 1072237503 /nfs/dbraw/zinc/23/75/03/1072237503.db2.gz LWNPYMHSCAZZSJ-UHFFFAOYSA-N 0 0 441.492 -0.733 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)NCCCn1nc3n(c1=O)CCCC3)CCC2 ZINC000560288237 1071099304 /nfs/dbraw/zinc/09/93/04/1071099304.db2.gz MHJTWVFPSMYWHV-INIZCTEOSA-N 0 0 445.524 -0.076 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)NCCCn1nc3n(c1=O)CCCC3)CCC2 ZINC000560288238 1072237453 /nfs/dbraw/zinc/23/74/53/1072237453.db2.gz MHJTWVFPSMYWHV-MRXNPFEDSA-N 0 0 445.524 -0.076 20 0 IBADRN Cc1nn(C)cc1[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000560289333 1072237968 /nfs/dbraw/zinc/23/79/68/1072237968.db2.gz GGSLSGVYMQLMSH-GFCCVEGCSA-N 0 0 437.526 -0.519 20 0 IBADRN Cc1nn(C)cc1[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000560289340 1072237978 /nfs/dbraw/zinc/23/79/78/1072237978.db2.gz GGSLSGVYMQLMSH-LBPRGKRZSA-N 0 0 437.526 -0.519 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000560289534 1072237949 /nfs/dbraw/zinc/23/79/49/1072237949.db2.gz VUWYJWOJPLEQHG-UHFFFAOYSA-N 0 0 442.520 -0.052 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000560290062 1072237390 /nfs/dbraw/zinc/23/73/90/1072237390.db2.gz ZZZAECKKAVCOCX-HNNXBMFYSA-N 0 0 434.559 -0.694 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000560290064 1072237407 /nfs/dbraw/zinc/23/74/07/1072237407.db2.gz ZZZAECKKAVCOCX-OAHLLOKOSA-N 0 0 434.559 -0.694 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1)C(F)(F)F ZINC000560290109 1072237400 /nfs/dbraw/zinc/23/74/00/1072237400.db2.gz ZSZOATMEICTDTJ-CYBMUJFWSA-N 0 0 432.447 -0.018 20 0 IBADRN Cc1nn(C)cc1[C@H](C)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000560290113 1072237550 /nfs/dbraw/zinc/23/75/50/1072237550.db2.gz RRXYVWLBKISLRW-DZGCQCFKSA-N 0 0 427.527 -0.441 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1)C(F)(F)F ZINC000560290114 1072237511 /nfs/dbraw/zinc/23/75/11/1072237511.db2.gz ZSZOATMEICTDTJ-ZDUSSCGKSA-N 0 0 432.447 -0.018 20 0 IBADRN Cc1nn(C)cc1[C@@H](C)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000560290117 1072237462 /nfs/dbraw/zinc/23/74/62/1072237462.db2.gz RRXYVWLBKISLRW-HIFRSBDPSA-N 0 0 427.527 -0.441 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000560290118 1072238032 /nfs/dbraw/zinc/23/80/32/1072238032.db2.gz ZWACISWNTLKTEP-AWEZNQCLSA-N 0 0 448.549 -0.179 20 0 IBADRN Cc1nn(C)cc1[C@@H](C)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000560290119 1072237959 /nfs/dbraw/zinc/23/79/59/1072237959.db2.gz RRXYVWLBKISLRW-UKRRQHHQSA-N 0 0 427.527 -0.441 20 0 IBADRN Cc1nn(C)cc1[C@H](C)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000560290120 1072237887 /nfs/dbraw/zinc/23/78/87/1072237887.db2.gz RRXYVWLBKISLRW-ZFWWWQNUSA-N 0 0 427.527 -0.441 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000560290122 1072237876 /nfs/dbraw/zinc/23/78/76/1072237876.db2.gz ZWACISWNTLKTEP-CQSZACIVSA-N 0 0 448.549 -0.179 20 0 IBADRN O=C(NCCn1cccn1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000560291849 1072238018 /nfs/dbraw/zinc/23/80/18/1072238018.db2.gz KVAMIAYEZUTBMP-UHFFFAOYSA-N 0 0 449.518 -0.463 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCCOCCc2ccccc2)CC1 ZINC000560294719 1072237839 /nfs/dbraw/zinc/23/78/39/1072237839.db2.gz NXYFMYNGYNYFOP-UHFFFAOYSA-N 0 0 429.481 -0.891 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC3CCCC3)CC2)cn1C ZINC000560295194 1072237924 /nfs/dbraw/zinc/23/79/24/1072237924.db2.gz ISQADWLDUZNJAI-UHFFFAOYSA-N 0 0 427.527 -0.363 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1cccc2c1OCO2)N1CCN(c2ncccn2)CC1 ZINC000560301077 1072238341 /nfs/dbraw/zinc/23/83/41/1072238341.db2.gz ASGLYRGEZHHUOO-UHFFFAOYSA-N 0 0 440.460 -0.323 20 0 IBADRN O=C(NCc1cccc2c1OCO2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000560301112 1072238367 /nfs/dbraw/zinc/23/83/67/1072238367.db2.gz BLBGMFVUYGIIGE-UHFFFAOYSA-N 0 0 436.446 -0.306 20 0 IBADRN O=C(NCCCCn1ccnc1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000560301505 1072238310 /nfs/dbraw/zinc/23/83/10/1072238310.db2.gz UCRUDCRSMZWSDF-UHFFFAOYSA-N 0 0 442.524 -0.245 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc3c2OCO3)CC1 ZINC000560302917 1072238537 /nfs/dbraw/zinc/23/85/37/1072238537.db2.gz NERKKAORYJZNSX-UHFFFAOYSA-N 0 0 441.506 -0.070 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H](C)c1cccc(S(=O)(=O)NC)c1)S(C)(=O)=O ZINC000560304606 1072238932 /nfs/dbraw/zinc/23/89/32/1072238932.db2.gz NINCFGJQVNOJQO-CYBMUJFWSA-N 0 0 448.567 -0.440 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)NC)c1)S(C)(=O)=O ZINC000560304608 1072238865 /nfs/dbraw/zinc/23/88/65/1072238865.db2.gz NINCFGJQVNOJQO-ZDUSSCGKSA-N 0 0 448.567 -0.440 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC000560304673 1072238877 /nfs/dbraw/zinc/23/88/77/1072238877.db2.gz CKYUZWZXRCUKNG-JOCHJYFZSA-N 0 0 430.505 -0.013 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC000560304680 1072238911 /nfs/dbraw/zinc/23/89/11/1072238911.db2.gz CKYUZWZXRCUKNG-QFIPXVFZSA-N 0 0 430.505 -0.013 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)nc2c1 ZINC000560304799 1072238958 /nfs/dbraw/zinc/23/89/58/1072238958.db2.gz FVWRXMMWWHWOBJ-UHFFFAOYSA-N 0 0 428.493 -0.738 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(CCOc2ccccc2)CC1)S(C)(=O)=O ZINC000560304970 1072238504 /nfs/dbraw/zinc/23/85/04/1072238504.db2.gz SPNNXLCHEWTUHO-UHFFFAOYSA-N 0 0 440.566 -0.003 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1=O)S(C)(=O)=O ZINC000560304975 1072238523 /nfs/dbraw/zinc/23/85/23/1072238523.db2.gz TZZMPPZBESWPKN-KRWDZBQOSA-N 0 0 438.550 0.000 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1=O)S(C)(=O)=O ZINC000560304976 1072238870 /nfs/dbraw/zinc/23/88/70/1072238870.db2.gz TZZMPPZBESWPKN-QGZVFWFLSA-N 0 0 438.550 0.000 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCCN(c2ccnn2CC)C1=O)S(C)(=O)=O ZINC000560305409 1072238899 /nfs/dbraw/zinc/23/88/99/1072238899.db2.gz MSVASEZMTUSDAG-AWEZNQCLSA-N 0 0 442.542 -0.698 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2CC)C1=O)S(C)(=O)=O ZINC000560305410 1072239001 /nfs/dbraw/zinc/23/90/01/1072239001.db2.gz MSVASEZMTUSDAG-CQSZACIVSA-N 0 0 442.542 -0.698 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)n1 ZINC000560305727 1072238882 /nfs/dbraw/zinc/23/88/82/1072238882.db2.gz PSPLANDNTRLSAO-KRWDZBQOSA-N 0 0 440.508 -0.187 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)n1 ZINC000560305731 1072238843 /nfs/dbraw/zinc/23/88/43/1072238843.db2.gz PSPLANDNTRLSAO-QGZVFWFLSA-N 0 0 440.508 -0.187 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)c3ccccn3)c2)CCO1 ZINC000560306241 1072238971 /nfs/dbraw/zinc/23/89/71/1072238971.db2.gz UXQVHNCRPCDMMP-KRWDZBQOSA-N 0 0 425.445 -0.077 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)c3ccccn3)c2)CCO1 ZINC000560306242 1072238829 /nfs/dbraw/zinc/23/88/29/1072238829.db2.gz UXQVHNCRPCDMMP-QGZVFWFLSA-N 0 0 425.445 -0.077 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccc(S(C)(=O)=O)s1)S(C)(=O)=O ZINC000560306400 1072239483 /nfs/dbraw/zinc/23/94/83/1072239483.db2.gz XNFONDSPIRYIRE-UHFFFAOYSA-N 0 0 425.554 -0.444 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCCn2ccnc2)C1 ZINC000560307506 1072239511 /nfs/dbraw/zinc/23/95/11/1072239511.db2.gz MNYVAVVCTKYFKH-INIZCTEOSA-N 0 0 429.543 -0.322 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCCn2ccnc2)C1 ZINC000560307507 1072239492 /nfs/dbraw/zinc/23/94/92/1072239492.db2.gz MNYVAVVCTKYFKH-MRXNPFEDSA-N 0 0 429.543 -0.322 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)nc2c1 ZINC000560307714 1072239367 /nfs/dbraw/zinc/23/93/67/1072239367.db2.gz USHNSAFCPJMWJQ-UHFFFAOYSA-N 0 0 446.489 -0.079 20 0 IBADRN O=C(COc1ccc(CCNC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)cc1)NC1CC1 ZINC000560308017 1072239467 /nfs/dbraw/zinc/23/94/67/1072239467.db2.gz XKRKXMQDAIAZHY-UHFFFAOYSA-N 0 0 428.489 -0.164 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(Cc2csc(C)n2)CC1)S(C)(=O)=O ZINC000560309406 1072239457 /nfs/dbraw/zinc/23/94/57/1072239457.db2.gz KFOFQCVWKBWMPL-UHFFFAOYSA-N 0 0 431.584 -0.116 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)NCCCN(CC)S(C)(=O)=O)C2)ncn1 ZINC000560309735 1072239377 /nfs/dbraw/zinc/23/93/77/1072239377.db2.gz OZGLJVSPHIOUCQ-INIZCTEOSA-N 0 0 440.570 -0.088 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)NCCCN(CC)S(C)(=O)=O)C2)ncn1 ZINC000560309736 1072239594 /nfs/dbraw/zinc/23/95/94/1072239594.db2.gz OZGLJVSPHIOUCQ-MRXNPFEDSA-N 0 0 440.570 -0.088 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)N1C[C@@H](C(=O)OC)Oc3ccccc31)C2 ZINC000560311166 1072239387 /nfs/dbraw/zinc/23/93/87/1072239387.db2.gz IJJJYGLKCJLFBY-KRWDZBQOSA-N 0 0 429.433 -0.224 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)N1C[C@H](C(=O)OC)Oc3ccccc31)C2 ZINC000560311169 1072239447 /nfs/dbraw/zinc/23/94/47/1072239447.db2.gz IJJJYGLKCJLFBY-QGZVFWFLSA-N 0 0 429.433 -0.224 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1cccc(S(=O)(=O)NC3CC3)c1)C2 ZINC000560311473 1072239576 /nfs/dbraw/zinc/23/95/76/1072239576.db2.gz WQBVPYFEJNNFMB-UHFFFAOYSA-N 0 0 448.505 -0.111 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2ccc(OC)c(OC)c2OC)C1 ZINC000560325371 1072239597 /nfs/dbraw/zinc/23/95/97/1072239597.db2.gz FKXQAFXVOICAGY-AWEZNQCLSA-N 0 0 443.522 -0.089 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2ccc(OC)c(OC)c2OC)C1 ZINC000560325372 1072239566 /nfs/dbraw/zinc/23/95/66/1072239566.db2.gz FKXQAFXVOICAGY-CQSZACIVSA-N 0 0 443.522 -0.089 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000560325383 1072239426 /nfs/dbraw/zinc/23/94/26/1072239426.db2.gz NKXMQAJPKJROOF-HNNXBMFYSA-N 0 0 425.463 -0.506 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000560325384 1072239440 /nfs/dbraw/zinc/23/94/40/1072239440.db2.gz NKXMQAJPKJROOF-OAHLLOKOSA-N 0 0 425.463 -0.506 20 0 IBADRN CNC(=O)c1cc(Oc2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2F)ccn1 ZINC000560355963 1072239912 /nfs/dbraw/zinc/23/99/12/1072239912.db2.gz QTWFMDBSFOPTJO-UHFFFAOYSA-N 0 0 439.425 -0.284 20 0 IBADRN Cn1cncc1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000560368792 1072240042 /nfs/dbraw/zinc/24/00/42/1072240042.db2.gz RQJZDOVCXATAFG-UHFFFAOYSA-N 0 0 434.478 -0.033 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000560390464 1072240188 /nfs/dbraw/zinc/24/01/88/1072240188.db2.gz NXXVIDKICNCKPA-INIZCTEOSA-N 0 0 441.554 -0.028 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000560390465 1072239874 /nfs/dbraw/zinc/23/98/74/1072239874.db2.gz NXXVIDKICNCKPA-MRXNPFEDSA-N 0 0 441.554 -0.028 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000560390903 1072240085 /nfs/dbraw/zinc/24/00/85/1072240085.db2.gz XKJIENKHHXZBFK-UHFFFAOYSA-N 0 0 429.568 -0.354 20 0 IBADRN CCOC(=O)c1n[nH]c([C@@H](CC(C)C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)n1 ZINC000560427493 1072240205 /nfs/dbraw/zinc/24/02/05/1072240205.db2.gz PMFLZRKBSKALLC-LLVKDONJSA-N 0 0 446.468 -0.368 20 0 IBADRN CCOC(=O)c1n[nH]c([C@H](CC(C)C)NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)n1 ZINC000560427494 1072240123 /nfs/dbraw/zinc/24/01/23/1072240123.db2.gz PMFLZRKBSKALLC-NSHDSACASA-N 0 0 446.468 -0.368 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000560436293 1072239977 /nfs/dbraw/zinc/23/99/77/1072239977.db2.gz DINCXQKUKDVVNL-UHFFFAOYSA-N 0 0 432.568 -0.031 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000560436389 1072240565 /nfs/dbraw/zinc/24/05/65/1072240565.db2.gz GDXATUDBKMIKSI-UHFFFAOYSA-N 0 0 447.477 -0.253 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000560436555 1072240593 /nfs/dbraw/zinc/24/05/93/1072240593.db2.gz MZDUBRPWZWXWBQ-LBPRGKRZSA-N 0 0 434.540 -0.593 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(F)c(Cl)c1 ZINC000560458141 1072240653 /nfs/dbraw/zinc/24/06/53/1072240653.db2.gz FZAWVVQNWSBPAH-UHFFFAOYSA-N 0 0 445.922 -0.171 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@@H](CO)Cc2cnn(C)c2)cc1 ZINC000560458241 1072240675 /nfs/dbraw/zinc/24/06/75/1072240675.db2.gz KYUXVJHDWCWCKN-INIZCTEOSA-N 0 0 437.522 -0.034 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@H](CO)Cc2cnn(C)c2)cc1 ZINC000560458245 1072240721 /nfs/dbraw/zinc/24/07/21/1072240721.db2.gz KYUXVJHDWCWCKN-MRXNPFEDSA-N 0 0 437.522 -0.034 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(CCNS(C)(=O)=O)C2CC2)c1 ZINC000560458915 1072240727 /nfs/dbraw/zinc/24/07/27/1072240727.db2.gz NTTJEAWQBDQNDA-UHFFFAOYSA-N 0 0 448.567 -0.581 20 0 IBADRN Nc1nc2c(s1)C[C@@H](NC(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000560485086 1072240761 /nfs/dbraw/zinc/24/07/61/1072240761.db2.gz LXIVQOKUVTUJJF-LBPRGKRZSA-N 0 0 435.531 0.133 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000560488760 1072240663 /nfs/dbraw/zinc/24/06/63/1072240663.db2.gz DCZLYZLBNVVOMM-UHFFFAOYSA-N 0 0 440.522 -0.926 20 0 IBADRN CCN(CC)C(=O)CN1CCC(NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000560511757 1072240619 /nfs/dbraw/zinc/24/06/19/1072240619.db2.gz GJCMUAOCNSXFGI-UHFFFAOYSA-N 0 0 430.509 -0.305 20 0 IBADRN CCN(CC)C(=O)CN1CCC(NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000560512499 1072240683 /nfs/dbraw/zinc/24/06/83/1072240683.db2.gz UGERHWMXBZRQLJ-UHFFFAOYSA-N 0 0 430.509 -0.305 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)CC1 ZINC000560552021 1072241245 /nfs/dbraw/zinc/24/12/45/1072241245.db2.gz WKLHKLNXNSBQSX-CYBMUJFWSA-N 0 0 444.535 -0.068 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)CC1 ZINC000560552022 1072241283 /nfs/dbraw/zinc/24/12/83/1072241283.db2.gz WKLHKLNXNSBQSX-ZDUSSCGKSA-N 0 0 444.535 -0.068 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCO[C@H]2CCC[C@@H]21 ZINC000560552293 1072240613 /nfs/dbraw/zinc/24/06/13/1072240613.db2.gz PGIHSFNZLYIBQV-HOTGVXAUSA-N 0 0 436.490 -0.475 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCO[C@@H]2CCC[C@H]21 ZINC000560552294 1072241309 /nfs/dbraw/zinc/24/13/09/1072241309.db2.gz PGIHSFNZLYIBQV-HZPDHXFCSA-N 0 0 436.490 -0.475 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCO[C@@H]2CCC[C@@H]21 ZINC000560552295 1072241297 /nfs/dbraw/zinc/24/12/97/1072241297.db2.gz PGIHSFNZLYIBQV-JKSUJKDBSA-N 0 0 436.490 -0.475 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CC1 ZINC000560552827 1072241148 /nfs/dbraw/zinc/24/11/48/1072241148.db2.gz HVWSHZOHFDHQCU-UHFFFAOYSA-N 0 0 440.588 -0.938 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560555605 1072241200 /nfs/dbraw/zinc/24/12/00/1072241200.db2.gz YCTPQIJWSORQRR-AAEUAGOBSA-N 0 0 429.524 -0.325 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560555607 1072241303 /nfs/dbraw/zinc/24/13/03/1072241303.db2.gz YCTPQIJWSORQRR-DGCLKSJQSA-N 0 0 429.524 -0.325 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560555609 1072241227 /nfs/dbraw/zinc/24/12/27/1072241227.db2.gz YCTPQIJWSORQRR-WCQYABFASA-N 0 0 429.524 -0.325 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560555611 1072241251 /nfs/dbraw/zinc/24/12/51/1072241251.db2.gz YCTPQIJWSORQRR-YPMHNXCESA-N 0 0 429.524 -0.325 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCNc2ccn(C)n2)c1 ZINC000560562759 1072241341 /nfs/dbraw/zinc/24/13/41/1072241341.db2.gz TUALKVROZJHLPJ-UHFFFAOYSA-N 0 0 436.538 0.409 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000560587460 1072241733 /nfs/dbraw/zinc/24/17/33/1072241733.db2.gz CWDOYSLSEQQPTN-HNNXBMFYSA-N 0 0 437.522 -0.209 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000560587461 1072241750 /nfs/dbraw/zinc/24/17/50/1072241750.db2.gz CWDOYSLSEQQPTN-OAHLLOKOSA-N 0 0 437.522 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000560594515 1072241702 /nfs/dbraw/zinc/24/17/02/1072241702.db2.gz FMXHRXZUMVAHLJ-KRWDZBQOSA-N 0 0 438.550 -0.430 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000560594516 1072241797 /nfs/dbraw/zinc/24/17/97/1072241797.db2.gz FMXHRXZUMVAHLJ-QGZVFWFLSA-N 0 0 438.550 -0.430 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCNS(=O)(=O)c1cccnc1 ZINC000560598288 1072241725 /nfs/dbraw/zinc/24/17/25/1072241725.db2.gz CQQYXRDANVFRHR-UHFFFAOYSA-N 0 0 438.491 -0.669 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000560602656 1071122811 /nfs/dbraw/zinc/12/28/11/1071122811.db2.gz FKAFAOZRTSHOMU-UHFFFAOYSA-N 0 0 444.988 -0.028 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)CC1 ZINC000560615249 1072241813 /nfs/dbraw/zinc/24/18/13/1072241813.db2.gz QXOGVLMODKYXIX-UHFFFAOYSA-N 0 0 446.551 -0.640 20 0 IBADRN CNc1ccc(C(=O)NCCC(=O)N2CCN(C(=O)c3ccc(NC)nc3)CC2)cn1 ZINC000560617920 1072241566 /nfs/dbraw/zinc/24/15/66/1072241566.db2.gz WWYNNXPJKRZCTQ-UHFFFAOYSA-N 0 0 425.493 -0.492 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C3CCN(S(=O)(=O)NC)CC3)C2)nc1 ZINC000560621720 1072241877 /nfs/dbraw/zinc/24/18/77/1072241877.db2.gz DFRSCVDQAIJLOX-UHFFFAOYSA-N 0 0 425.511 -0.261 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)cn3)CC2)cs1 ZINC000560624351 1072242469 /nfs/dbraw/zinc/24/24/69/1072242469.db2.gz QAGOEXKLHGLXTH-UHFFFAOYSA-N 0 0 431.521 -0.359 20 0 IBADRN COCc1ncc(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c(N)n1 ZINC000560624490 1073358558 /nfs/dbraw/zinc/35/85/58/1073358558.db2.gz OFTVNLPFDYUUAN-UHFFFAOYSA-N 0 0 434.478 -0.744 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000560626227 1072242326 /nfs/dbraw/zinc/24/23/26/1072242326.db2.gz MNUSOJJZRKGPFO-UHFFFAOYSA-N 0 0 441.535 -0.086 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560633348 1072242305 /nfs/dbraw/zinc/24/23/05/1072242305.db2.gz ARNHJTVKYGPMPY-CHWSQXEVSA-N 0 0 429.524 -0.182 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560633351 1072242400 /nfs/dbraw/zinc/24/24/00/1072242400.db2.gz ARNHJTVKYGPMPY-OLZOCXBDSA-N 0 0 429.524 -0.182 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560633352 1072242342 /nfs/dbraw/zinc/24/23/42/1072242342.db2.gz ARNHJTVKYGPMPY-QWHCGFSZSA-N 0 0 429.524 -0.182 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560633353 1072242387 /nfs/dbraw/zinc/24/23/87/1072242387.db2.gz ARNHJTVKYGPMPY-STQMWFEESA-N 0 0 429.524 -0.182 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000560634060 1072242486 /nfs/dbraw/zinc/24/24/86/1072242486.db2.gz KTXCGALEJYMNBT-INIZCTEOSA-N 0 0 438.550 -0.077 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000560634061 1072242435 /nfs/dbraw/zinc/24/24/35/1072242435.db2.gz KTXCGALEJYMNBT-MRXNPFEDSA-N 0 0 438.550 -0.077 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CC1 ZINC000560640573 1072242314 /nfs/dbraw/zinc/24/23/14/1072242314.db2.gz NFGYRBOOLJFSDP-INIZCTEOSA-N 0 0 438.506 -0.476 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CC1 ZINC000560640576 1072242462 /nfs/dbraw/zinc/24/24/62/1072242462.db2.gz NFGYRBOOLJFSDP-MRXNPFEDSA-N 0 0 438.506 -0.476 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000560640776 1072242447 /nfs/dbraw/zinc/24/24/47/1072242447.db2.gz AADDIXBSQPFXCZ-HNNXBMFYSA-N 0 0 439.520 -0.017 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000560640782 1072242480 /nfs/dbraw/zinc/24/24/80/1072242480.db2.gz AADDIXBSQPFXCZ-OAHLLOKOSA-N 0 0 439.520 -0.017 20 0 IBADRN O=C(NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000560641008 1072242332 /nfs/dbraw/zinc/24/23/32/1072242332.db2.gz DCFDYTHASGLSSH-MOPGFXCFSA-N 0 0 430.505 -0.245 20 0 IBADRN O=C(NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000560641012 1072242397 /nfs/dbraw/zinc/24/23/97/1072242397.db2.gz DCFDYTHASGLSSH-OALUTQOASA-N 0 0 430.505 -0.245 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1cccc(NC(=O)[C@@H]2CCCO2)c1 ZINC000560641139 1072242457 /nfs/dbraw/zinc/24/24/57/1072242457.db2.gz RSSCPFHFRPBVHR-KRWDZBQOSA-N 0 0 440.460 -0.244 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1cccc(NC(=O)[C@H]2CCCO2)c1 ZINC000560641140 1072242294 /nfs/dbraw/zinc/24/22/94/1072242294.db2.gz RSSCPFHFRPBVHR-QGZVFWFLSA-N 0 0 440.460 -0.244 20 0 IBADRN O=C(NCc1cccc(C(=O)NC[C@H]2CCCO2)c1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000560641237 1072242427 /nfs/dbraw/zinc/24/24/27/1072242427.db2.gz DCFDYTHASGLSSH-RBUKOAKNSA-N 0 0 430.505 -0.245 20 0 IBADRN O=C(NCc1cccc(C(=O)NC[C@H]2CCCO2)c1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000560641238 1072242771 /nfs/dbraw/zinc/24/27/71/1072242771.db2.gz DCFDYTHASGLSSH-RTBURBONSA-N 0 0 430.505 -0.245 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1 ZINC000560642313 1072242914 /nfs/dbraw/zinc/24/29/14/1072242914.db2.gz HXJHKABBCTYDCV-KRWDZBQOSA-N 0 0 433.509 -0.059 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCc1cccc(C(=O)NC[C@H]2CCCO2)c1 ZINC000560642315 1072242828 /nfs/dbraw/zinc/24/28/28/1072242828.db2.gz HXJHKABBCTYDCV-QGZVFWFLSA-N 0 0 433.509 -0.059 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000560642379 1072242861 /nfs/dbraw/zinc/24/28/61/1072242861.db2.gz PAGRWQASSGUGRD-KRWDZBQOSA-N 0 0 429.521 -0.426 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000560642383 1072242871 /nfs/dbraw/zinc/24/28/71/1072242871.db2.gz PAGRWQASSGUGRD-QGZVFWFLSA-N 0 0 429.521 -0.426 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000560642675 1072242856 /nfs/dbraw/zinc/24/28/56/1072242856.db2.gz KHMHYQJQYGRTTL-AWEZNQCLSA-N 0 0 440.497 -0.214 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000560642676 1072242794 /nfs/dbraw/zinc/24/27/94/1072242794.db2.gz KHMHYQJQYGRTTL-CQSZACIVSA-N 0 0 440.497 -0.214 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)c2)CCO1 ZINC000560642738 1072242809 /nfs/dbraw/zinc/24/28/09/1072242809.db2.gz LICJJFDIVGTALB-KRWDZBQOSA-N 0 0 445.564 -0.068 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)c2)CCO1 ZINC000560642741 1072242836 /nfs/dbraw/zinc/24/28/36/1072242836.db2.gz LICJJFDIVGTALB-QGZVFWFLSA-N 0 0 445.564 -0.068 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)CCO1 ZINC000560643051 1072242802 /nfs/dbraw/zinc/24/28/02/1072242802.db2.gz NMEFEIXBVDDJJS-DLBZAZTESA-N 0 0 432.477 -0.815 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)CCO1 ZINC000560643052 1072242901 /nfs/dbraw/zinc/24/29/01/1072242901.db2.gz NMEFEIXBVDDJJS-IAGOWNOFSA-N 0 0 432.477 -0.815 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)CCO1 ZINC000560643053 1072242927 /nfs/dbraw/zinc/24/29/27/1072242927.db2.gz NMEFEIXBVDDJJS-IRXDYDNUSA-N 0 0 432.477 -0.815 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)CCO1 ZINC000560643054 1072242921 /nfs/dbraw/zinc/24/29/21/1072242921.db2.gz NMEFEIXBVDDJJS-SJORKVTESA-N 0 0 432.477 -0.815 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000560643213 1072242783 /nfs/dbraw/zinc/24/27/83/1072242783.db2.gz YWGUCKZXYPOQIK-KRWDZBQOSA-N 0 0 440.522 -0.111 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000560643214 1072242890 /nfs/dbraw/zinc/24/28/90/1072242890.db2.gz YWGUCKZXYPOQIK-QGZVFWFLSA-N 0 0 440.522 -0.111 20 0 IBADRN O=C(NCc1cccc(C(=O)NC[C@H]2CCCO2)c1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000560643331 1072242909 /nfs/dbraw/zinc/24/29/09/1072242909.db2.gz UAEHNVBHARBJFK-KZNAEPCWSA-N 0 0 428.489 -0.051 20 0 IBADRN O=C(NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000560643332 1072242933 /nfs/dbraw/zinc/24/29/33/1072242933.db2.gz UAEHNVBHARBJFK-RCCFBDPRSA-N 0 0 428.489 -0.051 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000560644628 1072242879 /nfs/dbraw/zinc/24/28/79/1072242879.db2.gz CZPLBTNPHNQJKC-FGTMMUONSA-N 0 0 435.569 -0.238 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000560644629 1072242896 /nfs/dbraw/zinc/24/28/96/1072242896.db2.gz CZPLBTNPHNQJKC-KSZLIROESA-N 0 0 435.569 -0.238 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000560644630 1072242940 /nfs/dbraw/zinc/24/29/40/1072242940.db2.gz CZPLBTNPHNQJKC-KURKYZTESA-N 0 0 435.569 -0.238 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000560644631 1072243405 /nfs/dbraw/zinc/24/34/05/1072243405.db2.gz CZPLBTNPHNQJKC-KZNAEPCWSA-N 0 0 435.569 -0.238 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000560644873 1072243332 /nfs/dbraw/zinc/24/33/32/1072243332.db2.gz BQZQMLHVQPIHPO-GXTWGEPZSA-N 0 0 431.453 -0.503 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000560644874 1072243447 /nfs/dbraw/zinc/24/34/47/1072243447.db2.gz BQZQMLHVQPIHPO-JSGCOSHPSA-N 0 0 431.453 -0.503 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000560644875 1072243325 /nfs/dbraw/zinc/24/33/25/1072243325.db2.gz BQZQMLHVQPIHPO-OCCSQVGLSA-N 0 0 431.453 -0.503 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000560644876 1072243277 /nfs/dbraw/zinc/24/32/77/1072243277.db2.gz BQZQMLHVQPIHPO-TZMCWYRMSA-N 0 0 431.453 -0.503 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](C)CN2CCCC2=O)C1 ZINC000560644934 1072243288 /nfs/dbraw/zinc/24/32/88/1072243288.db2.gz GDTXEJOGRJUGEQ-CABCVRRESA-N 0 0 432.543 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)CN2CCCC2=O)C1 ZINC000560644935 1072243433 /nfs/dbraw/zinc/24/34/33/1072243433.db2.gz GDTXEJOGRJUGEQ-GJZGRUSLSA-N 0 0 432.543 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)CN2CCCC2=O)C1 ZINC000560644936 1072243300 /nfs/dbraw/zinc/24/33/00/1072243300.db2.gz GDTXEJOGRJUGEQ-HUUCEWRRSA-N 0 0 432.543 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)CN2CCCC2=O)C1 ZINC000560644937 1072243292 /nfs/dbraw/zinc/24/32/92/1072243292.db2.gz GDTXEJOGRJUGEQ-LSDHHAIUSA-N 0 0 432.543 -0.988 20 0 IBADRN CC(C)COc1cccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000560645303 1072243355 /nfs/dbraw/zinc/24/33/55/1072243355.db2.gz JGOTUBSSKGVVMO-UHFFFAOYSA-N 0 0 429.481 -0.305 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000560646514 1072243423 /nfs/dbraw/zinc/24/34/23/1072243423.db2.gz NDRSEAOJVNRPOW-UHFFFAOYSA-N 0 0 434.478 -0.178 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000560646806 1072243237 /nfs/dbraw/zinc/24/32/37/1072243237.db2.gz IBFLRBTZXKJTNN-INIZCTEOSA-N 0 0 429.521 -0.183 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000560646810 1072243310 /nfs/dbraw/zinc/24/33/10/1072243310.db2.gz IBFLRBTZXKJTNN-MRXNPFEDSA-N 0 0 429.521 -0.183 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)CC1 ZINC000560647065 1072243259 /nfs/dbraw/zinc/24/32/59/1072243259.db2.gz PTIISZGCMMTADH-GOSISDBHSA-N 0 0 446.504 -0.471 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)CC1 ZINC000560647069 1072243413 /nfs/dbraw/zinc/24/34/13/1072243413.db2.gz PTIISZGCMMTADH-SFHVURJKSA-N 0 0 446.504 -0.471 20 0 IBADRN CCCCOc1ncccc1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000560649076 1072243380 /nfs/dbraw/zinc/24/33/80/1072243380.db2.gz FKTROZHDMWHPQG-UHFFFAOYSA-N 0 0 447.536 -0.120 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)CC1 ZINC000560649289 1072243341 /nfs/dbraw/zinc/24/33/41/1072243341.db2.gz LGOHDYUTNPLALJ-UHFFFAOYSA-N 0 0 427.479 -0.162 20 0 IBADRN CCCCOc1ncccc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000560651021 1072243370 /nfs/dbraw/zinc/24/33/70/1072243370.db2.gz GNXABSALGXJVSY-UHFFFAOYSA-N 0 0 430.469 -0.766 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000560651596 1072243268 /nfs/dbraw/zinc/24/32/68/1072243268.db2.gz RVEGDFAIUTVNFY-KRWDZBQOSA-N 0 0 447.536 -0.122 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000560651597 1072243392 /nfs/dbraw/zinc/24/33/92/1072243392.db2.gz RVEGDFAIUTVNFY-QGZVFWFLSA-N 0 0 447.536 -0.122 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC000560652702 1072244031 /nfs/dbraw/zinc/24/40/31/1072244031.db2.gz AJNIXMRKDQMDBV-GPANFISMSA-N 0 0 446.570 -0.694 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC000560652703 1072244068 /nfs/dbraw/zinc/24/40/68/1072244068.db2.gz AJNIXMRKDQMDBV-UYSNPLJNSA-N 0 0 446.570 -0.694 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CC1 ZINC000560653601 1072243942 /nfs/dbraw/zinc/24/39/42/1072243942.db2.gz KWWIATVBEIJSEA-UHFFFAOYSA-N 0 0 446.570 -0.694 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N(C)C[C@@H]2C[C@H](F)CN2Cc2ccnn2C)CC1 ZINC000560654052 1072243866 /nfs/dbraw/zinc/24/38/66/1072243866.db2.gz SCFJLDARVKCCDI-RDJZCZTQSA-N 0 0 430.550 -0.033 20 0 IBADRN CN1CCOC[C@@H]1C(=O)Nc1cnn(C[C@H]2CCCCN2C(=O)[C@H]2COCCN2C)c1 ZINC000560655267 1072243923 /nfs/dbraw/zinc/24/39/23/1072243923.db2.gz OJSJKZXGUUDDPK-GUDVDZBRSA-N 0 0 434.541 -0.136 20 0 IBADRN CN1CCOC[C@@H]1C(=O)Nc1cnn(C[C@@H]2CCCCN2C(=O)[C@H]2COCCN2C)c1 ZINC000560655268 1072243936 /nfs/dbraw/zinc/24/39/36/1072243936.db2.gz OJSJKZXGUUDDPK-IPMKNSEASA-N 0 0 434.541 -0.136 20 0 IBADRN CN1CCOC[C@@H]1C(=O)Nc1cnn(C[C@@H]2CCCCN2C(=O)[C@@H]2COCCN2C)c1 ZINC000560655269 1072244063 /nfs/dbraw/zinc/24/40/63/1072244063.db2.gz OJSJKZXGUUDDPK-OTWHNJEPSA-N 0 0 434.541 -0.136 20 0 IBADRN CN1CCOC[C@@H]1C(=O)Nc1cnn(C[C@H]2CCCCN2C(=O)[C@@H]2COCCN2C)c1 ZINC000560655270 1072243945 /nfs/dbraw/zinc/24/39/45/1072243945.db2.gz OJSJKZXGUUDDPK-QRVBRYPASA-N 0 0 434.541 -0.136 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC[C@](O)(C(N)=O)C2)o1 ZINC000560663028 1072243913 /nfs/dbraw/zinc/24/39/13/1072243913.db2.gz DSLCBUHLJUIAQU-APPDUMDISA-N 0 0 429.451 -0.942 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@@](O)(C(N)=O)C2)o1 ZINC000560663029 1072243844 /nfs/dbraw/zinc/24/38/44/1072243844.db2.gz DSLCBUHLJUIAQU-DIFFPNOSSA-N 0 0 429.451 -0.942 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC[C@@](O)(C(N)=O)C2)o1 ZINC000560663030 1072243995 /nfs/dbraw/zinc/24/39/95/1072243995.db2.gz DSLCBUHLJUIAQU-GTNSWQLSSA-N 0 0 429.451 -0.942 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@](O)(C(N)=O)C2)o1 ZINC000560663031 1072243858 /nfs/dbraw/zinc/24/38/58/1072243858.db2.gz DSLCBUHLJUIAQU-PIGZYNQJSA-N 0 0 429.451 -0.942 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1 ZINC000560667410 1072243979 /nfs/dbraw/zinc/24/39/79/1072243979.db2.gz YCCMKKMNBJFQFH-IBGZPJMESA-N 0 0 439.490 -0.693 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1 ZINC000560667421 1072244001 /nfs/dbraw/zinc/24/40/01/1072244001.db2.gz YCCMKKMNBJFQFH-LJQANCHMSA-N 0 0 439.490 -0.693 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1)S(C)(=O)=O ZINC000560672284 1072244350 /nfs/dbraw/zinc/24/43/50/1072244350.db2.gz HOHBVFWIFNKVCE-HNNXBMFYSA-N 0 0 427.523 -0.437 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1)S(C)(=O)=O ZINC000560672285 1072244458 /nfs/dbraw/zinc/24/44/58/1072244458.db2.gz HOHBVFWIFNKVCE-OAHLLOKOSA-N 0 0 427.523 -0.437 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000560672845 1072244301 /nfs/dbraw/zinc/24/43/01/1072244301.db2.gz NLDAGEGRTBGVJO-IBGZPJMESA-N 0 0 432.521 -0.443 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000560672846 1072244644 /nfs/dbraw/zinc/24/46/44/1072244644.db2.gz NLDAGEGRTBGVJO-LJQANCHMSA-N 0 0 432.521 -0.443 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000560673783 1072244561 /nfs/dbraw/zinc/24/45/61/1072244561.db2.gz URCBRBQXIYOXII-UHFFFAOYSA-N 0 0 446.548 -0.005 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000560674528 1072244420 /nfs/dbraw/zinc/24/44/20/1072244420.db2.gz GYSSSHLRRFQCHG-UHFFFAOYSA-N 0 0 435.525 -0.257 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000560674937 1072244594 /nfs/dbraw/zinc/24/45/94/1072244594.db2.gz IJBXTQJNWUOELE-INIZCTEOSA-N 0 0 441.550 -0.095 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000560674940 1072244502 /nfs/dbraw/zinc/24/45/02/1072244502.db2.gz IJBXTQJNWUOELE-MRXNPFEDSA-N 0 0 441.550 -0.095 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1)S(C)(=O)=O ZINC000560676776 1072245207 /nfs/dbraw/zinc/24/52/07/1072245207.db2.gz UHLQBDGEBTYYPQ-HNNXBMFYSA-N 0 0 427.523 -0.437 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1)S(C)(=O)=O ZINC000560676779 1072245181 /nfs/dbraw/zinc/24/51/81/1072245181.db2.gz UHLQBDGEBTYYPQ-OAHLLOKOSA-N 0 0 427.523 -0.437 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCc1cccc(OCCN3CCOCC3)c1)C2 ZINC000560677158 1072244998 /nfs/dbraw/zinc/24/49/98/1072244998.db2.gz WTLBWXYBDMXOOO-UHFFFAOYSA-N 0 0 428.493 -0.044 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)C1 ZINC000560677661 1072244894 /nfs/dbraw/zinc/24/48/94/1072244894.db2.gz ZFQLWIICAZTHOD-KRWDZBQOSA-N 0 0 433.509 -0.456 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)C1 ZINC000560677662 1072245053 /nfs/dbraw/zinc/24/50/53/1072245053.db2.gz ZFQLWIICAZTHOD-QGZVFWFLSA-N 0 0 433.509 -0.456 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560693862 1072245215 /nfs/dbraw/zinc/24/52/15/1072245215.db2.gz FIGSHBDNFSDKDI-GHMZBOCLSA-N 0 0 425.467 -0.586 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560693865 1072244887 /nfs/dbraw/zinc/24/48/87/1072244887.db2.gz FIGSHBDNFSDKDI-MNOVXSKESA-N 0 0 425.467 -0.586 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560693866 1072245488 /nfs/dbraw/zinc/24/54/88/1072245488.db2.gz FIGSHBDNFSDKDI-QWRGUYRKSA-N 0 0 425.467 -0.586 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000560693867 1071125408 /nfs/dbraw/zinc/12/54/08/1071125408.db2.gz FIGSHBDNFSDKDI-WDEREUQCSA-N 0 0 425.467 -0.586 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000560693874 1072245199 /nfs/dbraw/zinc/24/51/99/1072245199.db2.gz FSCMTVIIJDISLN-KBPBESRZSA-N 0 0 426.495 -0.286 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000560693875 1072245078 /nfs/dbraw/zinc/24/50/78/1072245078.db2.gz FSCMTVIIJDISLN-KGLIPLIRSA-N 0 0 426.495 -0.286 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000560693876 1072245034 /nfs/dbraw/zinc/24/50/34/1072245034.db2.gz FSCMTVIIJDISLN-UONOGXRCSA-N 0 0 426.495 -0.286 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1 ZINC000560693877 1072245221 /nfs/dbraw/zinc/24/52/21/1072245221.db2.gz FSCMTVIIJDISLN-ZIAGYGMSSA-N 0 0 426.495 -0.286 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)on1 ZINC000560699879 1072245647 /nfs/dbraw/zinc/24/56/47/1072245647.db2.gz XUOTXOWIFFAEFH-UHFFFAOYSA-N 0 0 435.506 -0.148 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)on1 ZINC000560701338 1072245675 /nfs/dbraw/zinc/24/56/75/1072245675.db2.gz WEESLHMILVKIBV-KBPBESRZSA-N 0 0 429.499 -0.903 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)on1 ZINC000560701340 1072245625 /nfs/dbraw/zinc/24/56/25/1072245625.db2.gz WEESLHMILVKIBV-OKILXGFUSA-N 0 0 429.499 -0.903 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)on1 ZINC000560701342 1072245679 /nfs/dbraw/zinc/24/56/79/1072245679.db2.gz WEESLHMILVKIBV-ZIAGYGMSSA-N 0 0 429.499 -0.903 20 0 IBADRN CC[C@H](NC(=O)CNS(=O)(=O)c1cn(C)c(C)n1)c1cccc(S(N)(=O)=O)c1 ZINC000560702930 1072245557 /nfs/dbraw/zinc/24/55/57/1072245557.db2.gz UFRSAJXUDGJPBS-AWEZNQCLSA-N 0 0 429.524 -0.078 20 0 IBADRN CC[C@@H](NC(=O)CNS(=O)(=O)c1cn(C)c(C)n1)c1cccc(S(N)(=O)=O)c1 ZINC000560702935 1072245498 /nfs/dbraw/zinc/24/54/98/1072245498.db2.gz UFRSAJXUDGJPBS-CQSZACIVSA-N 0 0 429.524 -0.078 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000560707803 1072245635 /nfs/dbraw/zinc/24/56/35/1072245635.db2.gz XQRWFRNMOWIWEA-GRDNDAEWSA-N 0 0 426.543 -0.283 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000560707804 1072245606 /nfs/dbraw/zinc/24/56/06/1072245606.db2.gz XQRWFRNMOWIWEA-JKIFEVAISA-N 0 0 426.543 -0.283 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000560707805 1072245660 /nfs/dbraw/zinc/24/56/60/1072245660.db2.gz XQRWFRNMOWIWEA-KEYYUXOJSA-N 0 0 426.543 -0.283 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccnn1C ZINC000560707806 1072245664 /nfs/dbraw/zinc/24/56/64/1072245664.db2.gz XQRWFRNMOWIWEA-ZQIUZPCESA-N 0 0 426.543 -0.283 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1F ZINC000560710429 1071125123 /nfs/dbraw/zinc/12/51/23/1071125123.db2.gz FXGWJFADQOTIGS-UHFFFAOYSA-N 0 0 438.441 -0.490 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)c1 ZINC000560711617 1072245533 /nfs/dbraw/zinc/24/55/33/1072245533.db2.gz BZXBHDBBFKHURZ-UHFFFAOYSA-N 0 0 439.878 -0.582 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@H](c1cccc(F)c1)N1CCOCC1 ZINC000560715792 1072245683 /nfs/dbraw/zinc/24/56/83/1072245683.db2.gz NYBZHGFKTDTADK-GOSISDBHSA-N 0 0 444.467 -0.349 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@@H](c1cccc(F)c1)N1CCOCC1 ZINC000560715799 1072245569 /nfs/dbraw/zinc/24/55/69/1072245569.db2.gz NYBZHGFKTDTADK-SFHVURJKSA-N 0 0 444.467 -0.349 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000560715905 1072245689 /nfs/dbraw/zinc/24/56/89/1072245689.db2.gz IPXYIEXXSHMVBB-LLVKDONJSA-N 0 0 433.537 -0.581 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000560715908 1072245641 /nfs/dbraw/zinc/24/56/41/1072245641.db2.gz IPXYIEXXSHMVBB-NSHDSACASA-N 0 0 433.537 -0.581 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000560716080 1072245618 /nfs/dbraw/zinc/24/56/18/1072245618.db2.gz MBJVRQCABLCPTQ-GOSISDBHSA-N 0 0 436.484 -0.368 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000560716085 1072245586 /nfs/dbraw/zinc/24/55/86/1072245586.db2.gz MBJVRQCABLCPTQ-SFHVURJKSA-N 0 0 436.484 -0.368 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000560720819 1072245516 /nfs/dbraw/zinc/24/55/16/1072245516.db2.gz JVVFEQSXCQDIAL-GFCCVEGCSA-N 0 0 446.507 -0.876 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000560720824 1072245599 /nfs/dbraw/zinc/24/55/99/1072245599.db2.gz JVVFEQSXCQDIAL-LBPRGKRZSA-N 0 0 446.507 -0.876 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1 ZINC000560724587 1072246197 /nfs/dbraw/zinc/24/61/97/1072246197.db2.gz QVPNNEDQSUFCHH-CYBMUJFWSA-N 0 0 434.540 -0.468 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1 ZINC000560724588 1072246074 /nfs/dbraw/zinc/24/60/74/1072246074.db2.gz QVPNNEDQSUFCHH-ZDUSSCGKSA-N 0 0 434.540 -0.468 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4c(c3)NC(=O)CO4)CC2)C[C@H](C)O1 ZINC000560725952 1072246020 /nfs/dbraw/zinc/24/60/20/1072246020.db2.gz OVWUDZKSPMBVJK-BETUJISGSA-N 0 0 439.494 -0.476 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4c(c3)NC(=O)CO4)CC2)C[C@@H](C)O1 ZINC000560725958 1072246004 /nfs/dbraw/zinc/24/60/04/1072246004.db2.gz OVWUDZKSPMBVJK-CHWSQXEVSA-N 0 0 439.494 -0.476 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnc4c(c3)NC(=O)CO4)CC2)C[C@H](C)O1 ZINC000560725959 1072246206 /nfs/dbraw/zinc/24/62/06/1072246206.db2.gz OVWUDZKSPMBVJK-STQMWFEESA-N 0 0 439.494 -0.476 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCCS(=O)(=O)c3ccccc3)CC2)c1=O ZINC000560727198 1072246039 /nfs/dbraw/zinc/24/60/39/1072246039.db2.gz COWWQQQHHHTYIV-UHFFFAOYSA-N 0 0 447.517 -0.591 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC[C@H]1CCn2ncc(C(=O)NC(C)C)c2C1 ZINC000560728163 1072246109 /nfs/dbraw/zinc/24/61/09/1072246109.db2.gz LCZVIOBBTNRPLV-AWEZNQCLSA-N 0 0 429.543 -0.344 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC[C@@H]1CCn2ncc(C(=O)NC(C)C)c2C1 ZINC000560728165 1072246124 /nfs/dbraw/zinc/24/61/24/1072246124.db2.gz LCZVIOBBTNRPLV-CQSZACIVSA-N 0 0 429.543 -0.344 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCCCS(=O)(=O)c1ccccc1 ZINC000560728374 1072245986 /nfs/dbraw/zinc/24/59/86/1072245986.db2.gz NHJRZBCKQGNARA-CQSZACIVSA-N 0 0 431.536 -0.493 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000560734415 1072246189 /nfs/dbraw/zinc/24/61/89/1072246189.db2.gz FCANKTVZQHMHLR-CYBMUJFWSA-N 0 0 449.455 -0.333 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000560734423 1072246089 /nfs/dbraw/zinc/24/60/89/1072246089.db2.gz FCANKTVZQHMHLR-ZDUSSCGKSA-N 0 0 449.455 -0.333 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)CC1=O ZINC000560735629 1072246057 /nfs/dbraw/zinc/24/60/57/1072246057.db2.gz MDQHWMFANCKXCW-UHFFFAOYSA-N 0 0 425.507 -0.326 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCCS(=O)(=O)c1ccccc1)CC2 ZINC000560736337 1072246146 /nfs/dbraw/zinc/24/61/46/1072246146.db2.gz PTUMWNKWWGEBOS-AWEZNQCLSA-N 0 0 435.506 -0.164 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCCS(=O)(=O)c1ccccc1)CC2 ZINC000560736338 1072246719 /nfs/dbraw/zinc/24/67/19/1072246719.db2.gz PTUMWNKWWGEBOS-CQSZACIVSA-N 0 0 435.506 -0.164 20 0 IBADRN COc1ccccc1N1CCC(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)CC1 ZINC000560749228 1072246772 /nfs/dbraw/zinc/24/67/72/1072246772.db2.gz NWAGLHXDNGBEAA-GOSISDBHSA-N 0 0 434.537 -0.068 20 0 IBADRN COc1ccccc1N1CCC(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)CC1 ZINC000560749229 1072246701 /nfs/dbraw/zinc/24/67/01/1072246701.db2.gz NWAGLHXDNGBEAA-SFHVURJKSA-N 0 0 434.537 -0.068 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000560753720 1072246618 /nfs/dbraw/zinc/24/66/18/1072246618.db2.gz XGNJJGKVEDRWCO-UHFFFAOYSA-N 0 0 445.476 -0.686 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccc(Cn3cncn3)cc2)CC1 ZINC000560755842 1072246761 /nfs/dbraw/zinc/24/67/61/1072246761.db2.gz YQTZPCBVUUXWAG-UHFFFAOYSA-N 0 0 439.476 -0.171 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCN3C(=O)c4ccccc4C3=O)c2c(=O)n(C)c1=O ZINC000560757535 1072246533 /nfs/dbraw/zinc/24/65/33/1072246533.db2.gz GCJNEFVDGQHAGW-UHFFFAOYSA-N 0 0 438.444 -0.374 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2O)c(=O)n(C)c1=O ZINC000560758242 1072246582 /nfs/dbraw/zinc/24/65/82/1072246582.db2.gz VZHRZLCSEDWLKH-UHFFFAOYSA-N 0 0 447.473 -0.176 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCOC[C@H]2CCCO2)C1 ZINC000560761609 1072246571 /nfs/dbraw/zinc/24/65/71/1072246571.db2.gz CPWNWEVKXHVXLJ-CVEARBPZSA-N 0 0 435.543 -0.803 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCOC[C@@H]2CCCO2)C1 ZINC000560761610 1072247359 /nfs/dbraw/zinc/24/73/59/1072247359.db2.gz CPWNWEVKXHVXLJ-HOTGVXAUSA-N 0 0 435.543 -0.803 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCOC[C@H]2CCCO2)C1 ZINC000560761611 1072246628 /nfs/dbraw/zinc/24/66/28/1072246628.db2.gz CPWNWEVKXHVXLJ-HZPDHXFCSA-N 0 0 435.543 -0.803 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCOC[C@@H]2CCCO2)C1 ZINC000560761612 1072246713 /nfs/dbraw/zinc/24/67/13/1072246713.db2.gz CPWNWEVKXHVXLJ-JKSUJKDBSA-N 0 0 435.543 -0.803 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000560764349 1072247333 /nfs/dbraw/zinc/24/73/33/1072247333.db2.gz HNCRWCQKEUCEJX-UHFFFAOYSA-N 0 0 445.520 -0.693 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)NCCOC[C@@H]3CCCO3)CC2)nc2ccccc12 ZINC000560764658 1073361793 /nfs/dbraw/zinc/36/17/93/1073361793.db2.gz QGNTYVPTOXGPAT-INIZCTEOSA-N 0 0 442.520 0.168 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)NCCOC[C@H]3CCCO3)CC2)nc2ccccc12 ZINC000560764661 1073361639 /nfs/dbraw/zinc/36/16/39/1073361639.db2.gz QGNTYVPTOXGPAT-MRXNPFEDSA-N 0 0 442.520 0.168 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)CCn1cc(S(N)(=O)=O)cn1)C2 ZINC000560766891 1072247319 /nfs/dbraw/zinc/24/73/19/1072247319.db2.gz VKGMWSOGSPHPRF-UHFFFAOYSA-N 0 0 441.535 -0.244 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1ccc(F)c(F)c1)C2 ZINC000560768453 1072247094 /nfs/dbraw/zinc/24/70/94/1072247094.db2.gz XYFRLTFPGBXFPP-UHFFFAOYSA-N 0 0 436.419 -0.888 20 0 IBADRN CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000560769257 1072247172 /nfs/dbraw/zinc/24/71/72/1072247172.db2.gz HRGHFNUDKBFRFM-DVOMOZLQSA-N 0 0 427.523 -0.039 20 0 IBADRN CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000560769258 1072247375 /nfs/dbraw/zinc/24/73/75/1072247375.db2.gz HRGHFNUDKBFRFM-HEHGZKQESA-N 0 0 427.523 -0.039 20 0 IBADRN CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000560769259 1072247128 /nfs/dbraw/zinc/24/71/28/1072247128.db2.gz HRGHFNUDKBFRFM-WOSRLPQWSA-N 0 0 427.523 -0.039 20 0 IBADRN CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000560769260 1072247286 /nfs/dbraw/zinc/24/72/86/1072247286.db2.gz HRGHFNUDKBFRFM-XJKCOSOUSA-N 0 0 427.523 -0.039 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOCC3CC3)CC2)C[C@H](C)O1 ZINC000560776394 1072247115 /nfs/dbraw/zinc/24/71/15/1072247115.db2.gz JCZKOMAITXPUBW-GASCZTMLSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOCC3CC3)CC2)C[C@H](C)O1 ZINC000560776402 1072247211 /nfs/dbraw/zinc/24/72/11/1072247211.db2.gz JCZKOMAITXPUBW-GJZGRUSLSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOCC3CC3)CC2)C[C@@H](C)O1 ZINC000560776403 1072247308 /nfs/dbraw/zinc/24/73/08/1072247308.db2.gz JCZKOMAITXPUBW-HUUCEWRRSA-N 0 0 432.543 -0.973 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)CNS(=O)(=O)c1cnn(C)c1)C2 ZINC000560777997 1072247274 /nfs/dbraw/zinc/24/72/74/1072247274.db2.gz GYCQHAKNAGYWPH-UHFFFAOYSA-N 0 0 427.508 -0.809 20 0 IBADRN O=C(NCCOCC1CC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000560778008 1072247729 /nfs/dbraw/zinc/24/77/29/1072247729.db2.gz SQLGSMGQVRLMCS-QGZVFWFLSA-N 0 0 432.525 -0.059 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1)C2 ZINC000560778403 1072247402 /nfs/dbraw/zinc/24/74/02/1072247402.db2.gz HCRDTYLSIWXIFD-RBUKOAKNSA-N 0 0 447.517 -0.189 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCCC1 ZINC000560779251 1072247748 /nfs/dbraw/zinc/24/77/48/1072247748.db2.gz XPCLHXONQQTWGN-UHFFFAOYSA-N 0 0 443.547 -0.106 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)c1cnc3c(c1)c(=O)n(C)c(=O)n3C)C2 ZINC000560779642 1072247834 /nfs/dbraw/zinc/24/78/34/1072247834.db2.gz FYWMGMKEMROAEN-UHFFFAOYSA-N 0 0 443.485 -0.261 20 0 IBADRN COC(=O)CC1(CNC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCOCC1 ZINC000560779830 1072247781 /nfs/dbraw/zinc/24/77/81/1072247781.db2.gz RBFKHPJRCPCBCU-CYBMUJFWSA-N 0 0 441.506 -0.072 20 0 IBADRN COC(=O)CC1(CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCOCC1 ZINC000560779831 1072247842 /nfs/dbraw/zinc/24/78/42/1072247842.db2.gz RBFKHPJRCPCBCU-ZDUSSCGKSA-N 0 0 441.506 -0.072 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000560781253 1072247802 /nfs/dbraw/zinc/24/78/02/1072247802.db2.gz DTQDBPIDDQYBIU-LLVKDONJSA-N 0 0 446.507 -0.828 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000560781258 1072247924 /nfs/dbraw/zinc/24/79/24/1072247924.db2.gz DTQDBPIDDQYBIU-NSHDSACASA-N 0 0 446.507 -0.828 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)[C@H]3C)CC2)cc1 ZINC000560783631 1072247879 /nfs/dbraw/zinc/24/78/79/1072247879.db2.gz YPQCUFRZEFWJQG-RDJZCZTQSA-N 0 0 429.564 -0.007 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000560784551 1072247845 /nfs/dbraw/zinc/24/78/45/1072247845.db2.gz YFCOJOOYAUQTDJ-KRWDZBQOSA-N 0 0 434.518 -0.011 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000560784555 1072247794 /nfs/dbraw/zinc/24/77/94/1072247794.db2.gz YFCOJOOYAUQTDJ-QGZVFWFLSA-N 0 0 434.518 -0.011 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000560787862 1072247932 /nfs/dbraw/zinc/24/79/32/1072247932.db2.gz AFHFLCXNXOKGCT-UHFFFAOYSA-N 0 0 436.368 -0.525 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)c1 ZINC000560791019 1072247719 /nfs/dbraw/zinc/24/77/19/1072247719.db2.gz AQQROOSRTWRGFD-UHFFFAOYSA-N 0 0 438.444 -0.049 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCC(N4CCCNC4=O)CC3)cc2)c1=O ZINC000560792385 1072247914 /nfs/dbraw/zinc/24/79/14/1072247914.db2.gz PLEXRMACEWGTQC-UHFFFAOYSA-N 0 0 427.465 -0.084 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1ccc2c(c1)OCCCO2 ZINC000560802227 1072247818 /nfs/dbraw/zinc/24/78/18/1072247818.db2.gz WWHFIGXTTXZDLT-UHFFFAOYSA-N 0 0 438.462 -0.312 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H]1CC[C@@H](c3nc(C4CC4)no3)O1)c(=O)n2C ZINC000560803940 1072247904 /nfs/dbraw/zinc/24/79/04/1072247904.db2.gz NKPFGNBBLGRXOO-NEPJUHHUSA-N 0 0 429.437 -0.269 20 0 IBADRN Cc1c(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000560804079 1072247768 /nfs/dbraw/zinc/24/77/68/1072247768.db2.gz QKIJOBJLMVFFFC-GFCCVEGCSA-N 0 0 435.506 -0.373 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)C1 ZINC000560807116 1072248312 /nfs/dbraw/zinc/24/83/12/1072248312.db2.gz GCFOUUYJWSTWDQ-CYBMUJFWSA-N 0 0 435.499 -0.151 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)C1 ZINC000560807117 1072248348 /nfs/dbraw/zinc/24/83/48/1072248348.db2.gz GCFOUUYJWSTWDQ-ZDUSSCGKSA-N 0 0 435.499 -0.151 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2OC)C1 ZINC000560807148 1072248430 /nfs/dbraw/zinc/24/84/30/1072248430.db2.gz HRRRACARPZBJRA-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)C)cc2OC)C1 ZINC000560807149 1072248288 /nfs/dbraw/zinc/24/82/88/1072248288.db2.gz HRRRACARPZBJRA-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccc(Cl)cc2)N2CCOCC2)C1 ZINC000560810419 1072248270 /nfs/dbraw/zinc/24/82/70/1072248270.db2.gz CLFBOQQDGYQUNO-CVEARBPZSA-N 0 0 444.941 -0.281 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccc(Cl)cc2)N2CCOCC2)C1 ZINC000560810420 1072248322 /nfs/dbraw/zinc/24/83/22/1072248322.db2.gz CLFBOQQDGYQUNO-HOTGVXAUSA-N 0 0 444.941 -0.281 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2ccc(Cl)cc2)N2CCOCC2)C1 ZINC000560810422 1072248304 /nfs/dbraw/zinc/24/83/04/1072248304.db2.gz CLFBOQQDGYQUNO-HZPDHXFCSA-N 0 0 444.941 -0.281 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](c2ccc(Cl)cc2)N2CCOCC2)C1 ZINC000560810424 1072248296 /nfs/dbraw/zinc/24/82/96/1072248296.db2.gz CLFBOQQDGYQUNO-JKSUJKDBSA-N 0 0 444.941 -0.281 20 0 IBADRN CN(C)c1nc(C(=O)NCC2(NC(=O)c3cc(=O)[nH]c(N(C)C)n3)CCC2)cc(=O)[nH]1 ZINC000560811072 1072248336 /nfs/dbraw/zinc/24/83/36/1072248336.db2.gz SYOJYYJFUKYCQI-UHFFFAOYSA-N 0 0 430.469 -0.108 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)nn1 ZINC000560811265 1072248395 /nfs/dbraw/zinc/24/83/95/1072248395.db2.gz LAEKARDFFXRKPW-KRWDZBQOSA-N 0 0 426.433 -0.343 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)nn1 ZINC000560811266 1072248412 /nfs/dbraw/zinc/24/84/12/1072248412.db2.gz LAEKARDFFXRKPW-QGZVFWFLSA-N 0 0 426.433 -0.343 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NCC1(NC(=O)Cn2c(C)cc(O)cc2=O)CCC1 ZINC000560819363 1072248856 /nfs/dbraw/zinc/24/88/56/1072248856.db2.gz OJXRXUFIYSYOGX-UHFFFAOYSA-N 0 0 430.461 -0.107 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCn2nccc21 ZINC000560822528 1072248935 /nfs/dbraw/zinc/24/89/35/1072248935.db2.gz VAHVPLNSBLSGKO-CYBMUJFWSA-N 0 0 435.462 -0.387 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCn2nccc21 ZINC000560822529 1072248955 /nfs/dbraw/zinc/24/89/55/1072248955.db2.gz VAHVPLNSBLSGKO-ZDUSSCGKSA-N 0 0 435.462 -0.387 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCSCC3)CC2)o1 ZINC000560824384 1072248788 /nfs/dbraw/zinc/24/87/88/1072248788.db2.gz YXWFEFULJUPOKV-UHFFFAOYSA-N 0 0 444.535 -0.529 20 0 IBADRN Cn1c(N)c(C(=O)CN2Cc3ccccc3C[C@H]2C(=O)N2CCCC2)c(=O)n(C)c1=O ZINC000560829997 1072248895 /nfs/dbraw/zinc/24/88/95/1072248895.db2.gz OALGGQNFHQNHKG-INIZCTEOSA-N 0 0 425.489 -0.102 20 0 IBADRN Cn1c(N)c(C(=O)CN2Cc3ccccc3C[C@@H]2C(=O)N2CCCC2)c(=O)n(C)c1=O ZINC000560829998 1072248916 /nfs/dbraw/zinc/24/89/16/1072248916.db2.gz OALGGQNFHQNHKG-MRXNPFEDSA-N 0 0 425.489 -0.102 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000560833415 1072248876 /nfs/dbraw/zinc/24/88/76/1072248876.db2.gz NHYBTXOHDMZCJF-UHFFFAOYSA-N 0 0 438.550 -0.530 20 0 IBADRN Cc1ccc(OCCCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000560833946 1072248962 /nfs/dbraw/zinc/24/89/62/1072248962.db2.gz KQORARLJSJGKLE-UHFFFAOYSA-N 0 0 444.492 -0.631 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3cccc(CS(C)(=O)=O)c3)CC2)ncn1 ZINC000560836357 1072248923 /nfs/dbraw/zinc/24/89/23/1072248923.db2.gz XVVHOEJKWIINQH-UHFFFAOYSA-N 0 0 447.517 -0.005 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2nccn2C(F)F)CC1 ZINC000560838830 1072248860 /nfs/dbraw/zinc/24/88/60/1072248860.db2.gz JSAXASVALSVHHJ-CYBMUJFWSA-N 0 0 428.440 -0.714 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2nccn2C(F)F)CC1 ZINC000560838831 1072248823 /nfs/dbraw/zinc/24/88/23/1072248823.db2.gz JSAXASVALSVHHJ-ZDUSSCGKSA-N 0 0 428.440 -0.714 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)c1 ZINC000560840069 1072248776 /nfs/dbraw/zinc/24/87/76/1072248776.db2.gz BTGYULMXQKNYSH-UHFFFAOYSA-N 0 0 437.478 -0.251 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C[C@H](NC(C)=O)C(N)=O)Oc1ccc(Br)cc1 ZINC000560843389 1072248802 /nfs/dbraw/zinc/24/88/02/1072248802.db2.gz KLKGDENPKNZQJP-QWHCGFSZSA-N 0 0 430.255 -0.134 20 0 IBADRN COC(=O)[C@H](CNC(=O)C[C@H](NC(C)=O)C(N)=O)Oc1ccc(Br)cc1 ZINC000560843394 1072248832 /nfs/dbraw/zinc/24/88/32/1072248832.db2.gz KLKGDENPKNZQJP-STQMWFEESA-N 0 0 430.255 -0.134 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000560852856 1072248812 /nfs/dbraw/zinc/24/88/12/1072248812.db2.gz WXAMSVDUDWJUSV-CYBMUJFWSA-N 0 0 446.493 -0.808 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000560852857 1072248866 /nfs/dbraw/zinc/24/88/66/1072248866.db2.gz WXAMSVDUDWJUSV-ZDUSSCGKSA-N 0 0 446.493 -0.808 20 0 IBADRN C[C@H]1Cc2ccccc2N1CCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000560859651 1072249510 /nfs/dbraw/zinc/24/95/10/1072249510.db2.gz JSKNAKVCPXPZFQ-HNNXBMFYSA-N 0 0 427.505 -0.497 20 0 IBADRN C[C@@H]1Cc2ccccc2N1CCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000560859655 1072249500 /nfs/dbraw/zinc/24/95/00/1072249500.db2.gz JSKNAKVCPXPZFQ-OAHLLOKOSA-N 0 0 427.505 -0.497 20 0 IBADRN C[C@H]1Cc2ccccc2N1CCNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000560860702 1072249338 /nfs/dbraw/zinc/24/93/38/1072249338.db2.gz RQNGNEAXPJWKEV-AWEZNQCLSA-N 0 0 435.506 -0.222 20 0 IBADRN C[C@@H]1Cc2ccccc2N1CCNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000560860703 1072249410 /nfs/dbraw/zinc/24/94/10/1072249410.db2.gz RQNGNEAXPJWKEV-CQSZACIVSA-N 0 0 435.506 -0.222 20 0 IBADRN CO[C@H]1C[C@@H](C(=O)N2CCCC2)N(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1 ZINC000560866906 1072249322 /nfs/dbraw/zinc/24/93/22/1072249322.db2.gz QWKHIESEKGWREJ-GJZGRUSLSA-N 0 0 432.543 -0.941 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@H]1CCN(Cc2ccccc2)C1=O ZINC000560871708 1072249350 /nfs/dbraw/zinc/24/93/50/1072249350.db2.gz DWQTUADPBUQTOR-INIZCTEOSA-N 0 0 449.489 -0.873 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000560871709 1072249448 /nfs/dbraw/zinc/24/94/48/1072249448.db2.gz DWQTUADPBUQTOR-MRXNPFEDSA-N 0 0 449.489 -0.873 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000560873778 1072249416 /nfs/dbraw/zinc/24/94/16/1072249416.db2.gz KVKRCOBRCUAVDR-GUDVDZBRSA-N 0 0 433.505 -0.224 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000560873781 1072249436 /nfs/dbraw/zinc/24/94/36/1072249436.db2.gz KVKRCOBRCUAVDR-IPMKNSEASA-N 0 0 433.505 -0.224 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000560873782 1072249462 /nfs/dbraw/zinc/24/94/62/1072249462.db2.gz KVKRCOBRCUAVDR-OTWHNJEPSA-N 0 0 433.505 -0.224 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000560873783 1072249359 /nfs/dbraw/zinc/24/93/59/1072249359.db2.gz KVKRCOBRCUAVDR-QRVBRYPASA-N 0 0 433.505 -0.224 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000560874070 1072249967 /nfs/dbraw/zinc/24/99/67/1072249967.db2.gz AEHWKNXBYQVGSL-UHFFFAOYSA-N 0 0 431.537 -0.368 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000560874541 1072249974 /nfs/dbraw/zinc/24/99/74/1072249974.db2.gz JIFJDNURINPGLB-KRWDZBQOSA-N 0 0 430.505 -0.001 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000560874542 1072249971 /nfs/dbraw/zinc/24/99/71/1072249971.db2.gz JIFJDNURINPGLB-QGZVFWFLSA-N 0 0 430.505 -0.001 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CCN1CC(C)(C)O ZINC000560874684 1072249770 /nfs/dbraw/zinc/24/97/70/1072249770.db2.gz KRPCQRZXJCYJKL-GOSISDBHSA-N 0 0 445.564 -0.245 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CCN1CC(C)(C)O ZINC000560874690 1072249818 /nfs/dbraw/zinc/24/98/18/1072249818.db2.gz KRPCQRZXJCYJKL-SFHVURJKSA-N 0 0 445.564 -0.245 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@H]1CCC(=O)NC12CCC2)N1CCN(c2ccccc2)CC1 ZINC000560874742 1072249965 /nfs/dbraw/zinc/24/99/65/1072249965.db2.gz HISFQSHXWMNBEH-KRWDZBQOSA-N 0 0 427.505 -0.231 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@@H]1CCC(=O)NC12CCC2)N1CCN(c2ccccc2)CC1 ZINC000560874745 1072249864 /nfs/dbraw/zinc/24/98/64/1072249864.db2.gz HISFQSHXWMNBEH-QGZVFWFLSA-N 0 0 427.505 -0.231 20 0 IBADRN COCCc1noc(CN(C)C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)n1 ZINC000560874778 1072250050 /nfs/dbraw/zinc/25/00/50/1072250050.db2.gz LZLQZHVHBWJDQI-UHFFFAOYSA-N 0 0 444.492 -0.318 20 0 IBADRN CN(C)c1ncc(CN(C)C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000560875429 1072249847 /nfs/dbraw/zinc/24/98/47/1072249847.db2.gz ORJHNPJXDXXALV-UHFFFAOYSA-N 0 0 439.520 -0.034 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000560875899 1072250038 /nfs/dbraw/zinc/25/00/38/1072250038.db2.gz MBRPUULYVQLKGX-UHFFFAOYSA-N 0 0 429.521 -0.174 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1)N1CCN(c2ccccc2)CC1 ZINC000560876051 1072250046 /nfs/dbraw/zinc/25/00/46/1072250046.db2.gz HQFCSBJQIMUAPG-UHFFFAOYSA-N 0 0 441.410 -0.358 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)[C@H](C)C1 ZINC000560876055 1072249986 /nfs/dbraw/zinc/24/99/86/1072249986.db2.gz VUOQMDWCEQTPFM-AEFFLSMTSA-N 0 0 430.505 -0.001 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)[C@@H](C)C1 ZINC000560876060 1072250001 /nfs/dbraw/zinc/25/00/01/1072250001.db2.gz VUOQMDWCEQTPFM-FUHWJXTLSA-N 0 0 430.505 -0.001 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)[C@H](C)C1 ZINC000560876065 1072249880 /nfs/dbraw/zinc/24/98/80/1072249880.db2.gz VUOQMDWCEQTPFM-SJLPKXTDSA-N 0 0 430.505 -0.001 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)[C@@H](C)C1 ZINC000560876069 1071132157 /nfs/dbraw/zinc/13/21/57/1071132157.db2.gz VUOQMDWCEQTPFM-WMZOPIPTSA-N 0 0 430.505 -0.001 20 0 IBADRN O=C(CNC(=O)C(=O)NCCCN1CCCCC1=O)N1CCN(c2ccccc2)CC1 ZINC000560876488 1072249962 /nfs/dbraw/zinc/24/99/62/1072249962.db2.gz SYZBKLNKENCUAR-UHFFFAOYSA-N 0 0 429.521 -0.030 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2nccs2)c2ccccc2)CC1 ZINC000560876868 1072250533 /nfs/dbraw/zinc/25/05/33/1072250533.db2.gz XJZYIDWAQOABTC-INIZCTEOSA-N 0 0 440.489 -0.294 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2nccs2)c2ccccc2)CC1 ZINC000560876872 1072250598 /nfs/dbraw/zinc/25/05/98/1072250598.db2.gz XJZYIDWAQOABTC-MRXNPFEDSA-N 0 0 440.489 -0.294 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)c1 ZINC000560877207 1072250491 /nfs/dbraw/zinc/25/04/91/1072250491.db2.gz WDUZQFQJBRQQNU-UHFFFAOYSA-N 0 0 437.500 -0.091 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(C2CCOCC2)CC1)N1CCN(c2ccccc2)CC1 ZINC000560877226 1072250449 /nfs/dbraw/zinc/25/04/49/1072250449.db2.gz PXLUILBQESQVSL-UHFFFAOYSA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCC[C@H]1CN1CCOCC1)N1CCN(c2ccccc2)CC1 ZINC000560877229 1072250525 /nfs/dbraw/zinc/25/05/25/1072250525.db2.gz PXSAMAYDBPINSX-FQEVSTJZSA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCC[C@@H]1CN1CCOCC1)N1CCN(c2ccccc2)CC1 ZINC000560877230 1072250572 /nfs/dbraw/zinc/25/05/72/1072250572.db2.gz PXSAMAYDBPINSX-HXUWFJFHSA-N 0 0 443.548 -0.225 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000560877310 1072250558 /nfs/dbraw/zinc/25/05/58/1072250558.db2.gz XCPRXORFTILBEM-GOSISDBHSA-N 0 0 445.564 -0.245 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000560877315 1072250465 /nfs/dbraw/zinc/25/04/65/1072250465.db2.gz XCPRXORFTILBEM-SFHVURJKSA-N 0 0 445.564 -0.245 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC(=O)N[C@@H]2CCCC[C@@H]21)N1CCN(c2ccccc2)CC1 ZINC000560878062 1072250529 /nfs/dbraw/zinc/25/05/29/1072250529.db2.gz SKTXGSWOCCKYKF-MSOLQXFVSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC(=O)N[C@@H]2CCCC[C@H]21)N1CCN(c2ccccc2)CC1 ZINC000560878077 1072250576 /nfs/dbraw/zinc/25/05/76/1072250576.db2.gz SKTXGSWOCCKYKF-QZTJIDSGSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC(=O)N[C@H]2CCCC[C@@H]21)N1CCN(c2ccccc2)CC1 ZINC000560878079 1072250538 /nfs/dbraw/zinc/25/05/38/1072250538.db2.gz SKTXGSWOCCKYKF-ROUUACIJSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC(=O)N[C@H]2CCCC[C@H]21)N1CCN(c2ccccc2)CC1 ZINC000560878081 1072251000 /nfs/dbraw/zinc/25/10/00/1072251000.db2.gz SKTXGSWOCCKYKF-ZWKOTPCHSA-N 0 0 427.505 -0.279 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)CN1CCOCC1 ZINC000560878409 1072250590 /nfs/dbraw/zinc/25/05/90/1072250590.db2.gz UYPCAPZNNDBITD-GOSISDBHSA-N 0 0 431.537 -0.464 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)CN1CCOCC1 ZINC000560878410 1072250512 /nfs/dbraw/zinc/25/05/12/1072250512.db2.gz UYPCAPZNNDBITD-SFHVURJKSA-N 0 0 431.537 -0.464 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCC(Cn2cncn2)CC1)N1CCN(c2ccccc2)CC1 ZINC000560878419 1072250520 /nfs/dbraw/zinc/25/05/20/1072250520.db2.gz VCOFEAHCILKKQO-UHFFFAOYSA-N 0 0 439.520 -0.018 20 0 IBADRN CCN(C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)[C@@H](C)CS(C)(=O)=O ZINC000560878469 1072250569 /nfs/dbraw/zinc/25/05/69/1072250569.db2.gz VNRVYYDMFUERNS-INIZCTEOSA-N 0 0 438.550 -0.267 20 0 IBADRN CCN(C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)[C@H](C)CS(C)(=O)=O ZINC000560878471 1072250496 /nfs/dbraw/zinc/25/04/96/1072250496.db2.gz VNRVYYDMFUERNS-MRXNPFEDSA-N 0 0 438.550 -0.267 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCOC2(CCOCC2)C1)N1CCN(c2ccccc2)CC1 ZINC000560878898 1072251127 /nfs/dbraw/zinc/25/11/27/1072251127.db2.gz XJVRDLVAYRMNRB-UHFFFAOYSA-N 0 0 430.505 -0.141 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1)CN1CCOCC1 ZINC000560882616 1072251077 /nfs/dbraw/zinc/25/10/77/1072251077.db2.gz CDFNPSUFMAYGCJ-MOPGFXCFSA-N 0 0 437.585 -0.384 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1)CN1CCOCC1 ZINC000560882617 1072250945 /nfs/dbraw/zinc/25/09/45/1072250945.db2.gz CDFNPSUFMAYGCJ-OALUTQOASA-N 0 0 437.585 -0.384 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1)CN1CCOCC1 ZINC000560882618 1072250965 /nfs/dbraw/zinc/25/09/65/1072250965.db2.gz CDFNPSUFMAYGCJ-RBUKOAKNSA-N 0 0 437.585 -0.384 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1)CN1CCOCC1 ZINC000560882619 1072251007 /nfs/dbraw/zinc/25/10/07/1072251007.db2.gz CDFNPSUFMAYGCJ-RTBURBONSA-N 0 0 437.585 -0.384 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@H](C)CN2CCOCC2)cn1 ZINC000560882711 1072250915 /nfs/dbraw/zinc/25/09/15/1072250915.db2.gz DUVZDFXZLICUFO-DOTOQJQBSA-N 0 0 441.554 -0.714 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cn1 ZINC000560882713 1072251092 /nfs/dbraw/zinc/25/10/92/1072251092.db2.gz DUVZDFXZLICUFO-NVXWUHKLSA-N 0 0 441.554 -0.714 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@H](C)CN2CCOCC2)cn1 ZINC000560882714 1072251086 /nfs/dbraw/zinc/25/10/86/1072251086.db2.gz DUVZDFXZLICUFO-RDJZCZTQSA-N 0 0 441.554 -0.714 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cn1 ZINC000560882715 1072251058 /nfs/dbraw/zinc/25/10/58/1072251058.db2.gz DUVZDFXZLICUFO-WBVHZDCISA-N 0 0 441.554 -0.714 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC000560884266 1072251020 /nfs/dbraw/zinc/25/10/20/1072251020.db2.gz ODUURLAMFAOIIA-MOPGFXCFSA-N 0 0 432.569 -0.207 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC000560884274 1072251113 /nfs/dbraw/zinc/25/11/13/1072251113.db2.gz ODUURLAMFAOIIA-OALUTQOASA-N 0 0 432.569 -0.207 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@H](C)CN1CCOCC1 ZINC000560884275 1072250975 /nfs/dbraw/zinc/25/09/75/1072250975.db2.gz ODUURLAMFAOIIA-RBUKOAKNSA-N 0 0 432.569 -0.207 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC000560884277 1072251068 /nfs/dbraw/zinc/25/10/68/1072251068.db2.gz ODUURLAMFAOIIA-RTBURBONSA-N 0 0 432.569 -0.207 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)(N2CCOCC2)C1 ZINC000560884893 1072250929 /nfs/dbraw/zinc/25/09/29/1072250929.db2.gz OUZIWOCDQJYASY-MPQSDHOMSA-N 0 0 426.558 -0.543 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NC[C@H](C)CN2CCOCC2)(N2CCOCC2)C1 ZINC000560884895 1072251106 /nfs/dbraw/zinc/25/11/06/1072251106.db2.gz OUZIWOCDQJYASY-XPQZIERPSA-N 0 0 426.558 -0.543 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)s1 ZINC000560884963 1072251034 /nfs/dbraw/zinc/25/10/34/1072251034.db2.gz POJVASHGTZXDCR-CYBMUJFWSA-N 0 0 432.568 -0.464 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@H](C)CN2CCOCC2)s1 ZINC000560884969 1072251499 /nfs/dbraw/zinc/25/14/99/1072251499.db2.gz POJVASHGTZXDCR-ZDUSSCGKSA-N 0 0 432.568 -0.464 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)CN1CCOCC1 ZINC000560885717 1072251144 /nfs/dbraw/zinc/25/11/44/1072251144.db2.gz YUTASNVXNBFUCB-GOSISDBHSA-N 0 0 437.585 -0.336 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1)CN1CCOCC1 ZINC000560885718 1072251131 /nfs/dbraw/zinc/25/11/31/1072251131.db2.gz YUTASNVXNBFUCB-SFHVURJKSA-N 0 0 437.585 -0.336 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)c1 ZINC000560885929 1072251583 /nfs/dbraw/zinc/25/15/83/1072251583.db2.gz WFPRGEXPNJKKDW-CABCVRRESA-N 0 0 426.539 -0.144 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NC[C@H](C)CN2CCOCC2)c1 ZINC000560885930 1072251525 /nfs/dbraw/zinc/25/15/25/1072251525.db2.gz WFPRGEXPNJKKDW-GJZGRUSLSA-N 0 0 426.539 -0.144 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)c1 ZINC000560885931 1072251677 /nfs/dbraw/zinc/25/16/77/1072251677.db2.gz WFPRGEXPNJKKDW-HUUCEWRRSA-N 0 0 426.539 -0.144 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NC[C@H](C)CN2CCOCC2)c1 ZINC000560885932 1072251513 /nfs/dbraw/zinc/25/15/13/1072251513.db2.gz WFPRGEXPNJKKDW-LSDHHAIUSA-N 0 0 426.539 -0.144 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NC[C@@H](C)CN3CCOCC3)C2=O)cc1 ZINC000560886136 1072251535 /nfs/dbraw/zinc/25/15/35/1072251535.db2.gz CSPGCFKTKQGBSK-APWZRJJASA-N 0 0 432.521 -0.003 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NC[C@H](C)CN3CCOCC3)C2=O)cc1 ZINC000560886139 1072251555 /nfs/dbraw/zinc/25/15/55/1072251555.db2.gz CSPGCFKTKQGBSK-LPHOPBHVSA-N 0 0 432.521 -0.003 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NC[C@H](C)CN3CCOCC3)C2=O)cc1 ZINC000560886140 1072251597 /nfs/dbraw/zinc/25/15/97/1072251597.db2.gz CSPGCFKTKQGBSK-QFBILLFUSA-N 0 0 432.521 -0.003 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NC[C@@H](C)CN3CCOCC3)C2=O)cc1 ZINC000560886142 1072251658 /nfs/dbraw/zinc/25/16/58/1072251658.db2.gz CSPGCFKTKQGBSK-VQIMIIECSA-N 0 0 432.521 -0.003 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1cc(F)cc(F)c1)C2 ZINC000560889737 1072251573 /nfs/dbraw/zinc/25/15/73/1072251573.db2.gz BYPHDWDUGFWDSK-UHFFFAOYSA-N 0 0 436.419 -0.888 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCn2ccnc2C)CC1 ZINC000560889762 1072251611 /nfs/dbraw/zinc/25/16/11/1072251611.db2.gz ISMJGWUPDWAOOQ-UHFFFAOYSA-N 0 0 428.559 -0.181 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCCOc3ccccc31)C2 ZINC000560892352 1072251703 /nfs/dbraw/zinc/25/17/03/1072251703.db2.gz LXHZQLOPZMXGHX-HNNXBMFYSA-N 0 0 442.476 -0.495 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCCOc3ccccc31)C2 ZINC000560892357 1072252143 /nfs/dbraw/zinc/25/21/43/1072252143.db2.gz LXHZQLOPZMXGHX-OAHLLOKOSA-N 0 0 442.476 -0.495 20 0 IBADRN COCCNc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000560892863 1072252370 /nfs/dbraw/zinc/25/23/70/1072252370.db2.gz NJZZROLETQSSMB-UHFFFAOYSA-N 0 0 447.536 -0.636 20 0 IBADRN O=C(N[C@H]1CCCOc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000560893416 1072252324 /nfs/dbraw/zinc/25/23/24/1072252324.db2.gz PZKOKJPHBWBELK-KRWDZBQOSA-N 0 0 444.488 -0.454 20 0 IBADRN O=C(N[C@@H]1CCCOc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000560893430 1072252406 /nfs/dbraw/zinc/25/24/06/1072252406.db2.gz PZKOKJPHBWBELK-QGZVFWFLSA-N 0 0 444.488 -0.454 20 0 IBADRN CC(C)c1nc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cs1 ZINC000560893462 1072252256 /nfs/dbraw/zinc/25/22/56/1072252256.db2.gz AAHAWDBVCUNNLA-UHFFFAOYSA-N 0 0 437.566 -0.072 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2ccc(C)nc2)C1 ZINC000560895858 1072252136 /nfs/dbraw/zinc/25/21/36/1072252136.db2.gz PLRYNJIQRXSKIG-KRWDZBQOSA-N 0 0 426.539 -0.052 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2ccc(C)nc2)C1 ZINC000560895863 1072253014 /nfs/dbraw/zinc/25/30/14/1072253014.db2.gz PLRYNJIQRXSKIG-QGZVFWFLSA-N 0 0 426.539 -0.052 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1 ZINC000560896433 1072252955 /nfs/dbraw/zinc/25/29/55/1072252955.db2.gz ZPITVHRARFLWSN-UHFFFAOYSA-N 0 0 426.539 -0.052 20 0 IBADRN Cc1cccnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000560898722 1072252966 /nfs/dbraw/zinc/25/29/66/1072252966.db2.gz MYIOVWGMTLNEPV-HOTGVXAUSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cccnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000560898723 1072252934 /nfs/dbraw/zinc/25/29/34/1072252934.db2.gz MYIOVWGMTLNEPV-HZPDHXFCSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cccnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000560898724 1072252944 /nfs/dbraw/zinc/25/29/44/1072252944.db2.gz MYIOVWGMTLNEPV-IYBDPMFKSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cccnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000560899253 1072253573 /nfs/dbraw/zinc/25/35/73/1072253573.db2.gz NHTIGMARNUBCMB-UHFFFAOYSA-N 0 0 435.462 -0.769 20 0 IBADRN COc1ccc(COCCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000560902010 1072253456 /nfs/dbraw/zinc/25/34/56/1072253456.db2.gz QYEKTQVVFAYSLQ-UHFFFAOYSA-N 0 0 429.477 -0.230 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000560907960 1072253904 /nfs/dbraw/zinc/25/39/04/1072253904.db2.gz DAWRAQORORGOQS-UHFFFAOYSA-N 0 0 432.525 -0.485 20 0 IBADRN CC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000560908557 1072254038 /nfs/dbraw/zinc/25/40/38/1072254038.db2.gz FNDUKCMEQZSLCH-GOSISDBHSA-N 0 0 444.536 -0.389 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000560908562 1072254113 /nfs/dbraw/zinc/25/41/13/1072254113.db2.gz FNDUKCMEQZSLCH-SFHVURJKSA-N 0 0 444.536 -0.389 20 0 IBADRN COCCN(C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCOC1 ZINC000560908879 1072254024 /nfs/dbraw/zinc/25/40/24/1072254024.db2.gz IWAAZJHBEYQYTM-KRWDZBQOSA-N 0 0 433.509 -0.500 20 0 IBADRN COCCN(C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCOC1 ZINC000560908881 1072254091 /nfs/dbraw/zinc/25/40/91/1072254091.db2.gz IWAAZJHBEYQYTM-QGZVFWFLSA-N 0 0 433.509 -0.500 20 0 IBADRN O=C(Nc1ccc(F)cc1)N[C@H]1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000560909923 1072254147 /nfs/dbraw/zinc/25/41/47/1072254147.db2.gz HDISSVGJFQPAGU-HNNXBMFYSA-N 0 0 443.439 -0.116 20 0 IBADRN O=C(Nc1ccc(F)cc1)N[C@@H]1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000560909924 1072254060 /nfs/dbraw/zinc/25/40/60/1072254060.db2.gz HDISSVGJFQPAGU-OAHLLOKOSA-N 0 0 443.439 -0.116 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000560910047 1072253998 /nfs/dbraw/zinc/25/39/98/1072253998.db2.gz MJAIGZAQNFIOBO-UHFFFAOYSA-N 0 0 430.509 -0.683 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000560910499 1072254156 /nfs/dbraw/zinc/25/41/56/1072254156.db2.gz PQKQVQJVYKBSDY-UHFFFAOYSA-N 0 0 431.537 -0.368 20 0 IBADRN CN1CCN([C@@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)c2cccs2)CC1 ZINC000560910595 1072254130 /nfs/dbraw/zinc/25/41/30/1072254130.db2.gz KLLKYLWIMQQAKH-INIZCTEOSA-N 0 0 445.549 -0.511 20 0 IBADRN CN1CCN([C@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)c2cccs2)CC1 ZINC000560910599 1072253960 /nfs/dbraw/zinc/25/39/60/1072253960.db2.gz KLLKYLWIMQQAKH-MRXNPFEDSA-N 0 0 445.549 -0.511 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000560910969 1072254051 /nfs/dbraw/zinc/25/40/51/1072254051.db2.gz QJPRTNXYJVIZIJ-INIZCTEOSA-N 0 0 430.509 -0.629 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000560910977 1072254079 /nfs/dbraw/zinc/25/40/79/1072254079.db2.gz QJPRTNXYJVIZIJ-MRXNPFEDSA-N 0 0 430.509 -0.629 20 0 IBADRN O=C(NC1CCCCC1)NC1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000560911190 1072254106 /nfs/dbraw/zinc/25/41/06/1072254106.db2.gz LQLBXSVMNUSARY-UHFFFAOYSA-N 0 0 445.524 -0.055 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN2CCOC[C@@H]2C1)N1CCN(c2ccccc2)CC1 ZINC000560911295 1072253892 /nfs/dbraw/zinc/25/38/92/1072253892.db2.gz SJYSZPTWWKJDHG-IBGZPJMESA-N 0 0 429.521 -0.616 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN2CCOC[C@H]2C1)N1CCN(c2ccccc2)CC1 ZINC000560911298 1072253920 /nfs/dbraw/zinc/25/39/20/1072253920.db2.gz SJYSZPTWWKJDHG-LJQANCHMSA-N 0 0 429.521 -0.616 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)[C@@H](C)C1=O ZINC000560911849 1072254068 /nfs/dbraw/zinc/25/40/68/1072254068.db2.gz USEFWCNZHXCSQH-INIZCTEOSA-N 0 0 430.509 -0.684 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)[C@H](C)C1=O ZINC000560911853 1072254516 /nfs/dbraw/zinc/25/45/16/1072254516.db2.gz USEFWCNZHXCSQH-MRXNPFEDSA-N 0 0 430.509 -0.684 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000560911862 1072254549 /nfs/dbraw/zinc/25/45/49/1072254549.db2.gz VCQYGCMGWQVXLX-JOCHJYFZSA-N 0 0 429.521 -0.174 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000560911863 1072254544 /nfs/dbraw/zinc/25/45/44/1072254544.db2.gz VCQYGCMGWQVXLX-QFIPXVFZSA-N 0 0 429.521 -0.174 20 0 IBADRN O=C(CCNC(=O)C(=O)NCC1(N2CCOCC2)CC1)N1CCN(c2ccccn2)CC1 ZINC000560911949 1072254534 /nfs/dbraw/zinc/25/45/34/1072254534.db2.gz WHBSNEJJRXMUJW-UHFFFAOYSA-N 0 0 444.536 -0.783 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000560912296 1072254520 /nfs/dbraw/zinc/25/45/20/1072254520.db2.gz XUEUOAGUARUWMT-UHFFFAOYSA-N 0 0 444.536 -0.293 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)CC2)n1 ZINC000560912361 1072254552 /nfs/dbraw/zinc/25/45/52/1072254552.db2.gz YKJDCDMNGUTDRC-UHFFFAOYSA-N 0 0 444.467 -0.252 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000560915009 1072254531 /nfs/dbraw/zinc/25/45/31/1072254531.db2.gz DMTANCQNROIOEM-UHFFFAOYSA-N 0 0 438.488 -0.455 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000560915169 1072254572 /nfs/dbraw/zinc/25/45/72/1072254572.db2.gz CCZIMLBLNDTZFR-UHFFFAOYSA-N 0 0 426.477 -0.324 20 0 IBADRN COc1cc(F)ccc1N1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000560915582 1072254514 /nfs/dbraw/zinc/25/45/14/1072254514.db2.gz LQNKFZZVGRLBJX-UHFFFAOYSA-N 0 0 430.440 -0.181 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000560916077 1072254612 /nfs/dbraw/zinc/25/46/12/1072254612.db2.gz NWLXHJFDDZGOES-HOCLYGCPSA-N 0 0 446.487 -0.873 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1ccnc(OC2CCOCC2)c1 ZINC000560916133 1072254541 /nfs/dbraw/zinc/25/45/41/1072254541.db2.gz LDWODYDMIUCEQR-UHFFFAOYSA-N 0 0 428.449 -0.408 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000560916134 1071135495 /nfs/dbraw/zinc/13/54/95/1071135495.db2.gz LGNVNEKKXQBXCA-UHFFFAOYSA-N 0 0 444.879 -0.039 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCC1CCN(C(=O)c2ccccc2)CC1 ZINC000560916877 1072254593 /nfs/dbraw/zinc/25/45/93/1072254593.db2.gz NQAARDJFWWYHMM-UHFFFAOYSA-N 0 0 438.488 -0.009 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1cnn(C)c1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000560916979 1072255061 /nfs/dbraw/zinc/25/50/61/1072255061.db2.gz OZQNSZTWTGAXOY-HOTGVXAUSA-N 0 0 446.487 -0.873 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCCO1 ZINC000560917361 1072254998 /nfs/dbraw/zinc/25/49/98/1072254998.db2.gz FTBUAQJAMBSLQU-DLBZAZTESA-N 0 0 433.509 -0.453 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCCO1 ZINC000560917367 1072255120 /nfs/dbraw/zinc/25/51/20/1072255120.db2.gz FTBUAQJAMBSLQU-IAGOWNOFSA-N 0 0 433.509 -0.453 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCCO1 ZINC000560917371 1072254981 /nfs/dbraw/zinc/25/49/81/1072254981.db2.gz FTBUAQJAMBSLQU-IRXDYDNUSA-N 0 0 433.509 -0.453 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCCO1 ZINC000560917378 1072255086 /nfs/dbraw/zinc/25/50/86/1072255086.db2.gz FTBUAQJAMBSLQU-SJORKVTESA-N 0 0 433.509 -0.453 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000560917586 1072255196 /nfs/dbraw/zinc/25/51/96/1072255196.db2.gz FMZWDZMVAPTUPU-UHFFFAOYSA-N 0 0 435.500 -0.731 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000560917652 1072255104 /nfs/dbraw/zinc/25/51/04/1072255104.db2.gz FZYNTEQDNDEXKW-UHFFFAOYSA-N 0 0 439.476 -0.953 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cc1 ZINC000560917664 1072255146 /nfs/dbraw/zinc/25/51/46/1072255146.db2.gz GIKVESKNLCNVJM-UHFFFAOYSA-N 0 0 438.488 -0.348 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(CC(F)F)CC1)N1CCN(c2ccccn2)CC1 ZINC000560918427 1072255216 /nfs/dbraw/zinc/25/52/16/1072255216.db2.gz KVPQWBIJUAUAJB-UHFFFAOYSA-N 0 0 438.479 -0.354 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000560918668 1072255175 /nfs/dbraw/zinc/25/51/75/1072255175.db2.gz KSAIGDAZUULJHU-UHFFFAOYSA-N 0 0 444.536 -0.389 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NCCNC(=O)c2cnccn2)c1 ZINC000560919127 1072255613 /nfs/dbraw/zinc/25/56/13/1072255613.db2.gz ZMILGPQYHOICGK-GFCCVEGCSA-N 0 0 434.478 -0.892 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NCCNC(=O)c2cnccn2)c1 ZINC000560919137 1072255661 /nfs/dbraw/zinc/25/56/61/1072255661.db2.gz ZMILGPQYHOICGK-LBPRGKRZSA-N 0 0 434.478 -0.892 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000560919221 1072255646 /nfs/dbraw/zinc/25/56/46/1072255646.db2.gz ZXZFKHHTBQIZBM-KRWDZBQOSA-N 0 0 439.520 -0.351 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000560919224 1072255722 /nfs/dbraw/zinc/25/57/22/1072255722.db2.gz ZXZFKHHTBQIZBM-QGZVFWFLSA-N 0 0 439.520 -0.351 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)nc1 ZINC000560919230 1072255712 /nfs/dbraw/zinc/25/57/12/1072255712.db2.gz MYFYBYITKYFSJQ-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCN(c3cccc(OC)c3)C2)CC1 ZINC000560919655 1072255640 /nfs/dbraw/zinc/25/56/40/1072255640.db2.gz GPJSGOFASKPOPT-KRWDZBQOSA-N 0 0 431.537 -0.082 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H]2CCN(c3cccc(OC)c3)C2)CC1 ZINC000560919659 1072255742 /nfs/dbraw/zinc/25/57/42/1072255742.db2.gz GPJSGOFASKPOPT-QGZVFWFLSA-N 0 0 431.537 -0.082 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000560919784 1072255101 /nfs/dbraw/zinc/25/51/01/1072255101.db2.gz ZROTXUJLPCGYAS-AWEZNQCLSA-N 0 0 433.490 -0.309 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000560919787 1072255042 /nfs/dbraw/zinc/25/50/42/1072255042.db2.gz ZROTXUJLPCGYAS-CQSZACIVSA-N 0 0 433.490 -0.309 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCC(=O)N3CCCCCC3)CC2)no1 ZINC000560920022 1072255570 /nfs/dbraw/zinc/25/55/70/1072255570.db2.gz PLGHWGLNNMFIIF-UHFFFAOYSA-N 0 0 448.524 -0.025 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCC1 ZINC000560920059 1072255559 /nfs/dbraw/zinc/25/55/59/1072255559.db2.gz PYUYCNJTEZHWEV-PMERELPUSA-N 0 0 435.550 -0.346 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCC1 ZINC000560920061 1072255677 /nfs/dbraw/zinc/25/56/77/1072255677.db2.gz PYUYCNJTEZHWEV-SSEXGKCCSA-N 0 0 435.550 -0.346 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000560920192 1072255669 /nfs/dbraw/zinc/25/56/69/1072255669.db2.gz NRNVIEACJAGWKZ-UHFFFAOYSA-N 0 0 447.511 -0.633 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000560920957 1072256144 /nfs/dbraw/zinc/25/61/44/1072256144.db2.gz UAYZGSFXEJHCAJ-UHFFFAOYSA-N 0 0 430.484 -0.322 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)CC2)NC(=O)NC1=O ZINC000560920960 1072256128 /nfs/dbraw/zinc/25/61/28/1072256128.db2.gz UBHUAVDKKIKOQM-NRFANRHFSA-N 0 0 447.467 -0.563 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)CC2)NC(=O)NC1=O ZINC000560920963 1072256181 /nfs/dbraw/zinc/25/61/81/1072256181.db2.gz UBHUAVDKKIKOQM-OAQYLSRUSA-N 0 0 447.467 -0.563 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)[C@@H](C)C1=O ZINC000560920994 1072256188 /nfs/dbraw/zinc/25/61/88/1072256188.db2.gz UMDUWOYPBDNLOR-KRWDZBQOSA-N 0 0 429.521 -0.079 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)[C@H](C)C1=O ZINC000560920998 1072256176 /nfs/dbraw/zinc/25/61/76/1072256176.db2.gz UMDUWOYPBDNLOR-QGZVFWFLSA-N 0 0 429.521 -0.079 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000560921215 1072255623 /nfs/dbraw/zinc/25/56/23/1072255623.db2.gz YKSFZPJVWPPNIP-HTAPYJJXSA-N 0 0 445.520 -0.357 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@]2(CCOC2)O1 ZINC000560921216 1072256208 /nfs/dbraw/zinc/25/62/08/1072256208.db2.gz YKSFZPJVWPPNIP-JTSKRJEESA-N 0 0 445.520 -0.357 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000560921217 1072256139 /nfs/dbraw/zinc/25/61/39/1072256139.db2.gz YKSFZPJVWPPNIP-VGOFRKELSA-N 0 0 445.520 -0.357 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@]2(CCOC2)O1 ZINC000560921218 1072256193 /nfs/dbraw/zinc/25/61/93/1072256193.db2.gz YKSFZPJVWPPNIP-VGSWGCGISA-N 0 0 445.520 -0.357 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCSC[C@@H]1CCO)N1CCN(c2ccccn2)CC1 ZINC000560921392 1072255600 /nfs/dbraw/zinc/25/56/00/1072255600.db2.gz VJIYMBSGGHFXPT-INIZCTEOSA-N 0 0 435.550 -0.437 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000560921394 1072255548 /nfs/dbraw/zinc/25/55/48/1072255548.db2.gz YFBGFBLDOXNHFJ-INIZCTEOSA-N 0 0 431.493 -0.352 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCSC[C@H]1CCO)N1CCN(c2ccccn2)CC1 ZINC000560921395 1072255730 /nfs/dbraw/zinc/25/57/30/1072255730.db2.gz VJIYMBSGGHFXPT-MRXNPFEDSA-N 0 0 435.550 -0.437 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000560921692 1072255782 /nfs/dbraw/zinc/25/57/82/1072255782.db2.gz OBBTWRRMHFDREE-HNNXBMFYSA-N 0 0 447.511 -0.588 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000560921696 1072255586 /nfs/dbraw/zinc/25/55/86/1072255586.db2.gz OBBTWRRMHFDREE-OAHLLOKOSA-N 0 0 447.511 -0.588 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000560921738 1072255576 /nfs/dbraw/zinc/25/55/76/1072255576.db2.gz ZZFMZJPQRLLSEV-UHFFFAOYSA-N 0 0 436.484 -0.256 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000560922141 1072256169 /nfs/dbraw/zinc/25/61/69/1072256169.db2.gz SWUAJOJJSKPBLG-UHFFFAOYSA-N 0 0 433.484 -0.977 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1nnc2ccccn21 ZINC000560926777 1072256238 /nfs/dbraw/zinc/25/62/38/1072256238.db2.gz IOXNWTLUQVOUCA-GOSISDBHSA-N 0 0 427.509 -0.035 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1nnc2ccccn21 ZINC000560926800 1072256204 /nfs/dbraw/zinc/25/62/04/1072256204.db2.gz IOXNWTLUQVOUCA-SFHVURJKSA-N 0 0 427.509 -0.035 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000560931112 1072256149 /nfs/dbraw/zinc/25/61/49/1072256149.db2.gz RJEPIZORTXWLHL-IAGOWNOFSA-N 0 0 447.923 -0.158 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C1=O ZINC000560931413 1072256133 /nfs/dbraw/zinc/25/61/33/1072256133.db2.gz WOXDEQXDKAFVGA-INIZCTEOSA-N 0 0 435.912 -0.158 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C1=O ZINC000560931415 1072256217 /nfs/dbraw/zinc/25/62/17/1072256217.db2.gz WOXDEQXDKAFVGA-MRXNPFEDSA-N 0 0 435.912 -0.158 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2nc3c(s2)CCCC3)CC1 ZINC000560933329 1072256163 /nfs/dbraw/zinc/25/61/63/1072256163.db2.gz NAPJZCVEPHSFJK-UHFFFAOYSA-N 0 0 449.577 -0.316 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN2CCOC[C@H]2C1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000560933425 1072256155 /nfs/dbraw/zinc/25/61/55/1072256155.db2.gz IWBPOCHTIMUQNS-GOSISDBHSA-N 0 0 449.939 -0.352 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN2CCOC[C@@H]2C1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000560933426 1072256247 /nfs/dbraw/zinc/25/62/47/1072256247.db2.gz IWBPOCHTIMUQNS-SFHVURJKSA-N 0 0 449.939 -0.352 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000560933452 1072256174 /nfs/dbraw/zinc/25/61/74/1072256174.db2.gz JOUURUQBKZVBBD-UHFFFAOYSA-N 0 0 438.550 -0.061 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000560933764 1072256224 /nfs/dbraw/zinc/25/62/24/1072256224.db2.gz RJIDZAMCYMBASR-UHFFFAOYSA-N 0 0 442.513 -0.063 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2nc3c(s2)CCCC3)CC1)N1CCOCC1 ZINC000560933925 1072256671 /nfs/dbraw/zinc/25/66/71/1072256671.db2.gz QBXMWXHEDXKZFY-UHFFFAOYSA-N 0 0 449.577 -0.316 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@@H](S(N)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000560934509 1072256608 /nfs/dbraw/zinc/25/66/08/1072256608.db2.gz OEIKIHSBHPQDDU-CYBMUJFWSA-N 0 0 429.517 -0.861 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@H](S(N)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000560934513 1072256817 /nfs/dbraw/zinc/25/68/17/1072256817.db2.gz OEIKIHSBHPQDDU-ZDUSSCGKSA-N 0 0 429.517 -0.861 20 0 IBADRN O=C(CNC(=O)C(=O)NCCCn1ccnn1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000560935287 1072256790 /nfs/dbraw/zinc/25/67/90/1072256790.db2.gz VZAQXARYQJSDJP-UHFFFAOYSA-N 0 0 433.900 -0.097 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000560935843 1072256655 /nfs/dbraw/zinc/25/66/55/1072256655.db2.gz NEQIRXAZGQXWNT-ARFHVFGLSA-N 0 0 431.555 -0.293 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000560935846 1072256617 /nfs/dbraw/zinc/25/66/17/1072256617.db2.gz NEQIRXAZGQXWNT-BZUAXINKSA-N 0 0 431.555 -0.293 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000560935847 1072256648 /nfs/dbraw/zinc/25/66/48/1072256648.db2.gz NEQIRXAZGQXWNT-HRCADAONSA-N 0 0 431.555 -0.293 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000560935849 1072256797 /nfs/dbraw/zinc/25/67/97/1072256797.db2.gz NEQIRXAZGQXWNT-OWCLPIDISA-N 0 0 431.555 -0.293 20 0 IBADRN O=C(NCCc1ccn(-c2ccccc2)n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000560936511 1072256679 /nfs/dbraw/zinc/25/66/79/1072256679.db2.gz DMQOSDXDNSYCCJ-UHFFFAOYSA-N 0 0 438.488 -0.520 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C[C@@H]1NC(=O)NC1=O ZINC000560940393 1072256660 /nfs/dbraw/zinc/25/66/60/1072256660.db2.gz HNRHCPFEGIPBCS-AWEZNQCLSA-N 0 0 425.467 -0.324 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1ccnn1C ZINC000560941911 1072256601 /nfs/dbraw/zinc/25/66/01/1072256601.db2.gz LKWWQEULDXLDGG-CDHAZOANSA-N 0 0 449.537 -0.169 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1CCC(=O)N(C2CC2)[C@H]1c1ccnn1C ZINC000560941913 1072257196 /nfs/dbraw/zinc/25/71/96/1072257196.db2.gz LKWWQEULDXLDGG-WBHUJUFNSA-N 0 0 449.537 -0.169 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@@H]2CCC(=O)N(C3CC3)[C@H]2c2ccnn2C)cn1C ZINC000560942258 1072257147 /nfs/dbraw/zinc/25/71/47/1072257147.db2.gz RTMLNNNRWAIOEY-AUUYWEPGSA-N 0 0 449.537 -0.249 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCC[C@@](O)(C(=O)N2CCCC2)C1 ZINC000560942283 1072257232 /nfs/dbraw/zinc/25/72/32/1072257232.db2.gz OTYXVWOMXWFXKA-IBGZPJMESA-N 0 0 440.522 -0.375 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)c[nH]1)N1CCC[C@](O)(C(=O)N2CCCC2)C1 ZINC000560942285 1072257267 /nfs/dbraw/zinc/25/72/67/1072257267.db2.gz OTYXVWOMXWFXKA-LJQANCHMSA-N 0 0 440.522 -0.375 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CCC(=O)N1C ZINC000560942685 1072257155 /nfs/dbraw/zinc/25/71/55/1072257155.db2.gz WXAIQENKRUGNOM-AUJRIZCKSA-N 0 0 446.508 -0.186 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CCC(=O)N1C ZINC000560942686 1072257141 /nfs/dbraw/zinc/25/71/41/1072257141.db2.gz WXAIQENKRUGNOM-OWDMVUQNSA-N 0 0 446.508 -0.186 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC2CCN(C(=O)Cc3ccccc3OC)CC2)CCO1 ZINC000560943780 1072257224 /nfs/dbraw/zinc/25/72/24/1072257224.db2.gz DVPQEFJWMBIBGT-GOSISDBHSA-N 0 0 446.504 -0.682 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCN(C(=O)Cc3ccccc3OC)CC2)CCO1 ZINC000560943781 1072257260 /nfs/dbraw/zinc/25/72/60/1072257260.db2.gz DVPQEFJWMBIBGT-SFHVURJKSA-N 0 0 446.504 -0.682 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1nnc2ccccn21 ZINC000560944728 1072257239 /nfs/dbraw/zinc/25/72/39/1072257239.db2.gz IUGUJPDYUIUWBB-INIZCTEOSA-N 0 0 438.492 -0.015 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1nnc2ccccn21 ZINC000560944729 1072257162 /nfs/dbraw/zinc/25/71/62/1072257162.db2.gz IUGUJPDYUIUWBB-MRXNPFEDSA-N 0 0 438.492 -0.015 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1nnc2ccccn21 ZINC000560945064 1072257124 /nfs/dbraw/zinc/25/71/24/1072257124.db2.gz LPZSBOGPVZFKAT-INIZCTEOSA-N 0 0 441.492 -0.508 20 0 IBADRN CC(C)[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1nnc2ccccn21 ZINC000560945073 1072257252 /nfs/dbraw/zinc/25/72/52/1072257252.db2.gz LPZSBOGPVZFKAT-MRXNPFEDSA-N 0 0 441.492 -0.508 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000560946370 1072257287 /nfs/dbraw/zinc/25/72/87/1072257287.db2.gz JLVOMUMDSBFCHA-UHFFFAOYSA-N 0 0 447.511 -0.523 20 0 IBADRN CO[C@@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000560951309 1072257172 /nfs/dbraw/zinc/25/71/72/1072257172.db2.gz QXXGSYPZLDDQHC-CHWSQXEVSA-N 0 0 442.494 -0.857 20 0 IBADRN CO[C@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000560951310 1072257185 /nfs/dbraw/zinc/25/71/85/1072257185.db2.gz QXXGSYPZLDDQHC-OLZOCXBDSA-N 0 0 442.494 -0.857 20 0 IBADRN CO[C@@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000560951311 1072257178 /nfs/dbraw/zinc/25/71/78/1072257178.db2.gz QXXGSYPZLDDQHC-QWHCGFSZSA-N 0 0 442.494 -0.857 20 0 IBADRN CO[C@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000560951312 1072257271 /nfs/dbraw/zinc/25/72/71/1072257271.db2.gz QXXGSYPZLDDQHC-STQMWFEESA-N 0 0 442.494 -0.857 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NC2CCN(C(=O)Cc3ccccc3OC)CC2)C1 ZINC000560952637 1072257247 /nfs/dbraw/zinc/25/72/47/1072257247.db2.gz SOCDXFSAGPJVAA-KRWDZBQOSA-N 0 0 445.520 -0.125 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NC2CCN(C(=O)Cc3ccccc3OC)CC2)C1 ZINC000560952640 1072257804 /nfs/dbraw/zinc/25/78/04/1072257804.db2.gz SOCDXFSAGPJVAA-QGZVFWFLSA-N 0 0 445.520 -0.125 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000560956708 1072257734 /nfs/dbraw/zinc/25/77/34/1072257734.db2.gz BSZPGFJNVUTVFU-INIZCTEOSA-N 0 0 442.563 -0.774 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000560956709 1072257776 /nfs/dbraw/zinc/25/77/76/1072257776.db2.gz BSZPGFJNVUTVFU-MRXNPFEDSA-N 0 0 442.563 -0.774 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1NC(C)=O ZINC000560957807 1073355230 /nfs/dbraw/zinc/35/52/30/1073355230.db2.gz CVBUBTYDJIHSBI-UHFFFAOYSA-N 0 0 433.494 0.052 20 0 IBADRN O=C(CC1CCCC1)NCCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000560957950 1072257767 /nfs/dbraw/zinc/25/77/67/1072257767.db2.gz ALDKEFCKEBUNQG-UHFFFAOYSA-N 0 0 434.584 -0.706 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)NCc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000560958082 1072257784 /nfs/dbraw/zinc/25/77/84/1072257784.db2.gz JRDCBJFLTYTBGP-UHFFFAOYSA-N 0 0 427.523 -0.055 20 0 IBADRN O=C(CC1CCCC1)NCCNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000560960991 1072257779 /nfs/dbraw/zinc/25/77/79/1072257779.db2.gz IBQXTDRRGZXSGX-INIZCTEOSA-N 0 0 428.555 -0.174 20 0 IBADRN O=C(CC1CCCC1)NCCNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000560960992 1072257696 /nfs/dbraw/zinc/25/76/96/1072257696.db2.gz IBQXTDRRGZXSGX-MRXNPFEDSA-N 0 0 428.555 -0.174 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCCNC(=O)CC3CCCC3)CC2)CC1 ZINC000560961603 1072257757 /nfs/dbraw/zinc/25/77/57/1072257757.db2.gz MHPUTLZYPJDNJA-UHFFFAOYSA-N 0 0 435.569 -0.188 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)CC1 ZINC000560961967 1072257793 /nfs/dbraw/zinc/25/77/93/1072257793.db2.gz OSZXDOHKSAUTMB-KRWDZBQOSA-N 0 0 444.536 -0.122 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)CC1 ZINC000560961972 1072257741 /nfs/dbraw/zinc/25/77/41/1072257741.db2.gz OSZXDOHKSAUTMB-QGZVFWFLSA-N 0 0 444.536 -0.122 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)CC1 ZINC000560962044 1072257789 /nfs/dbraw/zinc/25/77/89/1072257789.db2.gz PFHKVPOFCNVNCM-JOCHJYFZSA-N 0 0 430.505 -0.011 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)CC1 ZINC000560962050 1072257724 /nfs/dbraw/zinc/25/77/24/1072257724.db2.gz PFHKVPOFCNVNCM-QFIPXVFZSA-N 0 0 430.505 -0.011 20 0 IBADRN COc1ccccc1C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000560962123 1072257752 /nfs/dbraw/zinc/25/77/52/1072257752.db2.gz UQIOFNGORGTWME-UHFFFAOYSA-N 0 0 444.532 -0.154 20 0 IBADRN Cc1nc2cncc(N3CCN(C(=O)C(=O)NCCNC(=O)CC4CCCC4)CC3)n2n1 ZINC000560963349 1072257674 /nfs/dbraw/zinc/25/76/74/1072257674.db2.gz OIBLPJGWOUGWSO-UHFFFAOYSA-N 0 0 442.524 -0.106 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1 ZINC000560964386 1072258310 /nfs/dbraw/zinc/25/83/10/1072258310.db2.gz WARMSKUBWAETPD-UHFFFAOYSA-N 0 0 443.548 -0.048 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)CC1 ZINC000560964488 1072258256 /nfs/dbraw/zinc/25/82/56/1072258256.db2.gz YBWMVASTBAIRBC-UHFFFAOYSA-N 0 0 445.564 -0.114 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)c(NC(C)=O)c2)C1 ZINC000560967757 1072258350 /nfs/dbraw/zinc/25/83/50/1072258350.db2.gz ZGZHZDRGUMFZMR-AWEZNQCLSA-N 0 0 426.495 -0.190 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)c(NC(C)=O)c2)C1 ZINC000560967758 1072258439 /nfs/dbraw/zinc/25/84/39/1072258439.db2.gz ZGZHZDRGUMFZMR-CQSZACIVSA-N 0 0 426.495 -0.190 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1NC(C)=O ZINC000560970382 1072258277 /nfs/dbraw/zinc/25/82/77/1072258277.db2.gz WXKONFSTBZTENT-UHFFFAOYSA-N 0 0 442.476 -0.288 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)NCCN2CCOC[C@@H]2C)CC1 ZINC000560975548 1072258224 /nfs/dbraw/zinc/25/82/24/1072258224.db2.gz PEJKWEROWFBJBT-KRWDZBQOSA-N 0 0 431.537 -0.192 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)NCCN2CCOC[C@H]2C)CC1 ZINC000560975557 1072258399 /nfs/dbraw/zinc/25/83/99/1072258399.db2.gz PEJKWEROWFBJBT-QGZVFWFLSA-N 0 0 431.537 -0.192 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cn1C ZINC000560976554 1072258316 /nfs/dbraw/zinc/25/83/16/1072258316.db2.gz OJPBGITXIWCDED-AWEZNQCLSA-N 0 0 435.572 -0.473 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cn1C ZINC000560976555 1072258298 /nfs/dbraw/zinc/25/82/98/1072258298.db2.gz OJPBGITXIWCDED-CQSZACIVSA-N 0 0 435.572 -0.473 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCN3C(=O)[C@@H]4CNC(=O)CN4C3=O)cc2)CC1 ZINC000560977512 1072258245 /nfs/dbraw/zinc/25/82/45/1072258245.db2.gz WCMXGDUZGJXOQW-IBGZPJMESA-N 0 0 443.504 -0.465 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCN3C(=O)[C@H]4CNC(=O)CN4C3=O)cc2)CC1 ZINC000560977513 1072258360 /nfs/dbraw/zinc/25/83/60/1072258360.db2.gz WCMXGDUZGJXOQW-LJQANCHMSA-N 0 0 443.504 -0.465 20 0 IBADRN COCCOC1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000560980449 1072258389 /nfs/dbraw/zinc/25/83/89/1072258389.db2.gz QQHMLPIAOMMYFH-UHFFFAOYSA-N 0 0 448.524 -0.715 20 0 IBADRN CCOC(=O)C1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000560980648 1072258429 /nfs/dbraw/zinc/25/84/29/1072258429.db2.gz HGPQJECRHFYLNJ-UHFFFAOYSA-N 0 0 446.508 -0.567 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000560980706 1072258268 /nfs/dbraw/zinc/25/82/68/1072258268.db2.gz JSBZEZXYIASQEH-HRAATJIYSA-N 0 0 446.508 -0.962 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(CC(F)F)CC1)N1CCN(c2ncccn2)CC1 ZINC000560980710 1072258424 /nfs/dbraw/zinc/25/84/24/1072258424.db2.gz FXVOTIHDTOSMMP-UHFFFAOYSA-N 0 0 439.467 -0.959 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000560981203 1072258869 /nfs/dbraw/zinc/25/88/69/1072258869.db2.gz JSBZEZXYIASQEH-IERDGZPVSA-N 0 0 446.508 -0.962 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000560981206 1072258902 /nfs/dbraw/zinc/25/89/02/1072258902.db2.gz JSBZEZXYIASQEH-IIBYNOLFSA-N 0 0 446.508 -0.962 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000560981207 1072258933 /nfs/dbraw/zinc/25/89/33/1072258933.db2.gz JSBZEZXYIASQEH-KKSFZXQISA-N 0 0 446.508 -0.962 20 0 IBADRN CC(C)[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCN1C ZINC000560981507 1072258940 /nfs/dbraw/zinc/25/89/40/1072258940.db2.gz OIYKXCLLBIRNCQ-KRWDZBQOSA-N 0 0 431.541 -0.570 20 0 IBADRN CC(C)[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCN1C ZINC000560981511 1072258809 /nfs/dbraw/zinc/25/88/09/1072258809.db2.gz OIYKXCLLBIRNCQ-QGZVFWFLSA-N 0 0 431.541 -0.570 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCO[C@@H](C2CC2)C1)N1CCN(c2ncccn2)CC1 ZINC000560981740 1072258798 /nfs/dbraw/zinc/25/87/98/1072258798.db2.gz UPEUCKONBHVONS-DLBZAZTESA-N 0 0 430.509 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCO[C@@H](C2CC2)C1)N1CCN(c2ncccn2)CC1 ZINC000560981741 1072258974 /nfs/dbraw/zinc/25/89/74/1072258974.db2.gz UPEUCKONBHVONS-IAGOWNOFSA-N 0 0 430.509 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@H]1CCO[C@H](C2CC2)C1)N1CCN(c2ncccn2)CC1 ZINC000560981742 1072258955 /nfs/dbraw/zinc/25/89/55/1072258955.db2.gz UPEUCKONBHVONS-IRXDYDNUSA-N 0 0 430.509 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCO[C@H](C2CC2)C1)N1CCN(c2ncccn2)CC1 ZINC000560981743 1072258908 /nfs/dbraw/zinc/25/89/08/1072258908.db2.gz UPEUCKONBHVONS-SJORKVTESA-N 0 0 430.509 -0.295 20 0 IBADRN CCOC(=O)C[C@H](C)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560981987 1072258837 /nfs/dbraw/zinc/25/88/37/1072258837.db2.gz CCNWWKWLHNUYRQ-HNNXBMFYSA-N 0 0 434.497 -0.568 20 0 IBADRN CCOC(=O)C[C@@H](C)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560981993 1072258887 /nfs/dbraw/zinc/25/88/87/1072258887.db2.gz CCNWWKWLHNUYRQ-OAHLLOKOSA-N 0 0 434.497 -0.568 20 0 IBADRN Cc1ncc2c(n1)CCN(C(=O)C(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)C2 ZINC000560982027 1072258895 /nfs/dbraw/zinc/25/88/95/1072258895.db2.gz CKNFLEROOXOSRU-UHFFFAOYSA-N 0 0 438.492 -0.685 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000560982590 1072258969 /nfs/dbraw/zinc/25/89/69/1072258969.db2.gz LRKAJXYFLOXTGZ-UHFFFAOYSA-N 0 0 433.509 -0.706 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CCO2 ZINC000560983152 1072259935 /nfs/dbraw/zinc/25/99/35/1072259935.db2.gz RELMKWMUCVZUJB-HRAATJIYSA-N 0 0 446.508 -0.962 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CCO2 ZINC000560983154 1072259782 /nfs/dbraw/zinc/25/97/82/1072259782.db2.gz RELMKWMUCVZUJB-IERDGZPVSA-N 0 0 446.508 -0.962 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CCO2 ZINC000560983156 1072259758 /nfs/dbraw/zinc/25/97/58/1072259758.db2.gz RELMKWMUCVZUJB-IIBYNOLFSA-N 0 0 446.508 -0.962 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CCO2 ZINC000560983264 1072259248 /nfs/dbraw/zinc/25/92/48/1072259248.db2.gz RELMKWMUCVZUJB-KKSFZXQISA-N 0 0 446.508 -0.962 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000560983273 1072259454 /nfs/dbraw/zinc/25/94/54/1072259454.db2.gz RMHFIXGOONWVBQ-INIZCTEOSA-N 0 0 445.524 -0.851 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000560983274 1072259434 /nfs/dbraw/zinc/25/94/34/1072259434.db2.gz RMHFIXGOONWVBQ-MRXNPFEDSA-N 0 0 445.524 -0.851 20 0 IBADRN CN(CCc1nccs1)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560983288 1072259471 /nfs/dbraw/zinc/25/94/71/1072259471.db2.gz SMXRHLTYYNDROR-UHFFFAOYSA-N 0 0 431.522 -0.211 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000560983379 1072259310 /nfs/dbraw/zinc/25/93/10/1072259310.db2.gz XKBQAUYIUDPXNQ-MOPGFXCFSA-N 0 0 431.537 -0.042 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000560983380 1072259805 /nfs/dbraw/zinc/25/98/05/1072259805.db2.gz XKBQAUYIUDPXNQ-OALUTQOASA-N 0 0 431.537 -0.042 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000560983381 1072259902 /nfs/dbraw/zinc/25/99/02/1072259902.db2.gz XKBQAUYIUDPXNQ-RBUKOAKNSA-N 0 0 431.537 -0.042 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000560983382 1072259886 /nfs/dbraw/zinc/25/98/86/1072259886.db2.gz XKBQAUYIUDPXNQ-RTBURBONSA-N 0 0 431.537 -0.042 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)c1 ZINC000560983397 1072259418 /nfs/dbraw/zinc/25/94/18/1072259418.db2.gz YHEIACWYOVXTDO-UHFFFAOYSA-N 0 0 425.489 -0.083 20 0 IBADRN Cc1ccncc1CN(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560983428 1072259383 /nfs/dbraw/zinc/25/93/83/1072259383.db2.gz ZYLYVXRSNCIGFC-UHFFFAOYSA-N 0 0 425.493 -0.006 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC(c2ncc[nH]2)CC1)N1CCN(c2ncccn2)CC1 ZINC000560983447 1072259422 /nfs/dbraw/zinc/25/94/22/1072259422.db2.gz AJEFNCSHBRNUMI-UHFFFAOYSA-N 0 0 440.508 -0.239 20 0 IBADRN CN(CCCn1ccnc1)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560983450 1072259331 /nfs/dbraw/zinc/25/93/31/1072259331.db2.gz APRCQUGUQXYHFP-UHFFFAOYSA-N 0 0 428.497 -0.623 20 0 IBADRN CN(C[C@@H]1CCCC[C@H]1O)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560983778 1072259449 /nfs/dbraw/zinc/25/94/49/1072259449.db2.gz GSRMEDXKLIEADS-DLBZAZTESA-N 0 0 432.525 -0.359 20 0 IBADRN CN(C[C@H]1CCCC[C@H]1O)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560983780 1072259351 /nfs/dbraw/zinc/25/93/51/1072259351.db2.gz GSRMEDXKLIEADS-IAGOWNOFSA-N 0 0 432.525 -0.359 20 0 IBADRN CN(C[C@@H]1CCCC[C@@H]1O)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560983782 1072259458 /nfs/dbraw/zinc/25/94/58/1072259458.db2.gz GSRMEDXKLIEADS-IRXDYDNUSA-N 0 0 432.525 -0.359 20 0 IBADRN CN(C[C@H]1CCCC[C@@H]1O)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560983784 1072259401 /nfs/dbraw/zinc/25/94/01/1072259401.db2.gz GSRMEDXKLIEADS-SJORKVTESA-N 0 0 432.525 -0.359 20 0 IBADRN Cn1ccnc1[C@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000560983909 1072259288 /nfs/dbraw/zinc/25/92/88/1072259288.db2.gz JXYZXQARDUMBKN-INIZCTEOSA-N 0 0 440.508 -0.619 20 0 IBADRN Cn1ccnc1[C@@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000560983911 1072259260 /nfs/dbraw/zinc/25/92/60/1072259260.db2.gz JXYZXQARDUMBKN-MRXNPFEDSA-N 0 0 440.508 -0.619 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000560984646 1072259727 /nfs/dbraw/zinc/25/97/27/1072259727.db2.gz PCNSBVGUSZNTJZ-INIZCTEOSA-N 0 0 441.492 -0.756 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000560984647 1072259698 /nfs/dbraw/zinc/25/96/98/1072259698.db2.gz PCNSBVGUSZNTJZ-MRXNPFEDSA-N 0 0 441.492 -0.756 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000560984649 1072259712 /nfs/dbraw/zinc/25/97/12/1072259712.db2.gz PEGWVLSHDPPSME-FQEVSTJZSA-N 0 0 432.481 -0.957 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000560984650 1072259878 /nfs/dbraw/zinc/25/98/78/1072259878.db2.gz PEGWVLSHDPPSME-HXUWFJFHSA-N 0 0 432.481 -0.957 20 0 IBADRN Cc1nccn1CCCCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560984689 1072259926 /nfs/dbraw/zinc/25/99/26/1072259926.db2.gz PXFXHHAQWRTGIZ-UHFFFAOYSA-N 0 0 442.524 -0.267 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C1 ZINC000560984787 1072259852 /nfs/dbraw/zinc/25/98/52/1072259852.db2.gz RRXXTAQVDRVEDY-DLBZAZTESA-N 0 0 447.536 -0.412 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C1 ZINC000560984788 1072259866 /nfs/dbraw/zinc/25/98/66/1072259866.db2.gz RRXXTAQVDRVEDY-IAGOWNOFSA-N 0 0 447.536 -0.412 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C1 ZINC000560984789 1072259791 /nfs/dbraw/zinc/25/97/91/1072259791.db2.gz RRXXTAQVDRVEDY-IRXDYDNUSA-N 0 0 447.536 -0.412 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C1 ZINC000560984790 1072259737 /nfs/dbraw/zinc/25/97/37/1072259737.db2.gz RRXXTAQVDRVEDY-SJORKVTESA-N 0 0 447.536 -0.412 20 0 IBADRN CN(C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)C1CCC(CO)CC1 ZINC000560984939 1072259861 /nfs/dbraw/zinc/25/98/61/1072259861.db2.gz VAHWJXLXIWHAAO-UHFFFAOYSA-N 0 0 432.525 -0.359 20 0 IBADRN COCCC1(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCC1 ZINC000560984989 1072259678 /nfs/dbraw/zinc/25/96/78/1072259678.db2.gz VXTRXORFDKZHRB-UHFFFAOYSA-N 0 0 432.525 -0.046 20 0 IBADRN CN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560985137 1071141701 /nfs/dbraw/zinc/14/17/01/1071141701.db2.gz YZJRPVJTKZCFAG-GFCCVEGCSA-N 0 0 432.403 -0.987 20 0 IBADRN CN(C[C@H](O)C(F)(F)F)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560985141 1072260331 /nfs/dbraw/zinc/26/03/31/1072260331.db2.gz YZJRPVJTKZCFAG-LBPRGKRZSA-N 0 0 432.403 -0.987 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000560985189 1072260202 /nfs/dbraw/zinc/26/02/02/1072260202.db2.gz ZSEPEKMSZBQKOE-UHFFFAOYSA-N 0 0 432.481 -0.957 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)CC2)n1 ZINC000560985263 1071141590 /nfs/dbraw/zinc/14/15/90/1071141590.db2.gz CLZYXLRALORYHD-UHFFFAOYSA-N 0 0 441.492 -0.654 20 0 IBADRN Cc1noc(C)c1C[C@H](C)NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560985599 1072259940 /nfs/dbraw/zinc/25/99/40/1072259940.db2.gz KBRZSCKEIURWTI-AWEZNQCLSA-N 0 0 443.508 -0.016 20 0 IBADRN Cc1noc(C)c1C[C@@H](C)NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560985600 1072259833 /nfs/dbraw/zinc/25/98/33/1072259833.db2.gz KBRZSCKEIURWTI-CQSZACIVSA-N 0 0 443.508 -0.016 20 0 IBADRN C[C@H](O)C[C@H]1CCCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560985601 1072260460 /nfs/dbraw/zinc/26/04/60/1072260460.db2.gz KCLGBTPTGJFVHX-DLBZAZTESA-N 0 0 432.525 -0.217 20 0 IBADRN C[C@@H](O)C[C@H]1CCCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560985603 1072260284 /nfs/dbraw/zinc/26/02/84/1072260284.db2.gz KCLGBTPTGJFVHX-IAGOWNOFSA-N 0 0 432.525 -0.217 20 0 IBADRN C[C@H](O)C[C@@H]1CCCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560985604 1072260424 /nfs/dbraw/zinc/26/04/24/1072260424.db2.gz KCLGBTPTGJFVHX-IRXDYDNUSA-N 0 0 432.525 -0.217 20 0 IBADRN C[C@@H](O)C[C@@H]1CCCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560985606 1072260341 /nfs/dbraw/zinc/26/03/41/1072260341.db2.gz KCLGBTPTGJFVHX-SJORKVTESA-N 0 0 432.525 -0.217 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000560985608 1072260455 /nfs/dbraw/zinc/26/04/55/1072260455.db2.gz KFOGTSWRAOUTBX-AWEZNQCLSA-N 0 0 443.426 -0.132 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000560985609 1072260247 /nfs/dbraw/zinc/26/02/47/1072260247.db2.gz KFOGTSWRAOUTBX-CQSZACIVSA-N 0 0 443.426 -0.132 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000560986113 1072261013 /nfs/dbraw/zinc/26/10/13/1072261013.db2.gz NXXOLHTVTMRRQS-UHFFFAOYSA-N 0 0 430.534 0.000 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)NCc1cccc(N2CCOCC2)n1 ZINC000560986483 1072260379 /nfs/dbraw/zinc/26/03/79/1072260379.db2.gz PQDAQVXLEHUBKK-UHFFFAOYSA-N 0 0 440.504 -0.253 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C[C@H]1c1ncc[nH]1 ZINC000560986525 1072260272 /nfs/dbraw/zinc/26/02/72/1072260272.db2.gz QDHMKXNYBYULIB-KRWDZBQOSA-N 0 0 427.509 -0.173 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C[C@@H]1c1ncc[nH]1 ZINC000560986534 1072260257 /nfs/dbraw/zinc/26/02/57/1072260257.db2.gz QDHMKXNYBYULIB-QGZVFWFLSA-N 0 0 427.509 -0.173 20 0 IBADRN C[C@@]1(CCCO)CCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560987188 1072260816 /nfs/dbraw/zinc/26/08/16/1072260816.db2.gz YTNZDTKXWOFTKL-NRFANRHFSA-N 0 0 432.525 -0.215 20 0 IBADRN C[C@]1(CCCO)CCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000560987189 1072260907 /nfs/dbraw/zinc/26/09/07/1072260907.db2.gz YTNZDTKXWOFTKL-OAQYLSRUSA-N 0 0 432.525 -0.215 20 0 IBADRN CN(C)c1cccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000560987199 1072260867 /nfs/dbraw/zinc/26/08/67/1072260867.db2.gz ZCXMDNGGSYUHMT-UHFFFAOYSA-N 0 0 440.508 -0.591 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)ccc1NS(C)(=O)=O ZINC000560989700 1072261025 /nfs/dbraw/zinc/26/10/25/1072261025.db2.gz MTMUEYCMTWHERQ-UHFFFAOYSA-N 0 0 427.483 -0.169 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1)S(C)(=O)=O ZINC000560989989 1072260953 /nfs/dbraw/zinc/26/09/53/1072260953.db2.gz STGDAJHICJKLAH-UHFFFAOYSA-N 0 0 437.316 -0.126 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)cc1NS(C)(=O)=O ZINC000560990172 1072260789 /nfs/dbraw/zinc/26/07/89/1072260789.db2.gz XCHLGISIRAIWDR-UHFFFAOYSA-N 0 0 427.483 -0.169 20 0 IBADRN CN(CC(=O)NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000560992052 1072260882 /nfs/dbraw/zinc/26/08/82/1072260882.db2.gz HLQSOLOJHKJZIO-KBPBESRZSA-N 0 0 435.593 -0.006 20 0 IBADRN CN(CC(=O)NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000560992053 1072260965 /nfs/dbraw/zinc/26/09/65/1072260965.db2.gz HLQSOLOJHKJZIO-KGLIPLIRSA-N 0 0 435.593 -0.006 20 0 IBADRN CN(CC(=O)NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000560992054 1072260828 /nfs/dbraw/zinc/26/08/28/1072260828.db2.gz HLQSOLOJHKJZIO-UONOGXRCSA-N 0 0 435.593 -0.006 20 0 IBADRN CN(CC(=O)NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000560992055 1072261032 /nfs/dbraw/zinc/26/10/32/1072261032.db2.gz HLQSOLOJHKJZIO-ZIAGYGMSSA-N 0 0 435.593 -0.006 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000560992697 1072261021 /nfs/dbraw/zinc/26/10/21/1072261021.db2.gz FYCJLGAYLFGDSS-GFCCVEGCSA-N 0 0 447.564 -0.413 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000560992698 1072260772 /nfs/dbraw/zinc/26/07/72/1072260772.db2.gz FYCJLGAYLFGDSS-LBPRGKRZSA-N 0 0 447.564 -0.413 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)N2CCCC2)o1 ZINC000560996264 1072260846 /nfs/dbraw/zinc/26/08/46/1072260846.db2.gz XXVVLKJPXJGMCG-KRWDZBQOSA-N 0 0 445.520 -0.209 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)N2CCCC2)o1 ZINC000560996265 1072261041 /nfs/dbraw/zinc/26/10/41/1072261041.db2.gz XXVVLKJPXJGMCG-QGZVFWFLSA-N 0 0 445.520 -0.209 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCN(C)CC(F)(F)F)CC2)no1 ZINC000561001787 1073329024 /nfs/dbraw/zinc/32/90/24/1073329024.db2.gz RYAHRQJZXLOMSI-UHFFFAOYSA-N 0 0 434.419 -0.324 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1)CC(F)(F)F ZINC000561005545 1072260931 /nfs/dbraw/zinc/26/09/31/1072260931.db2.gz PEBIMZYQHNGTIK-UHFFFAOYSA-N 0 0 435.491 -0.001 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000561006804 1072261361 /nfs/dbraw/zinc/26/13/61/1072261361.db2.gz YHRFPRAVTZYVPN-CABCVRRESA-N 0 0 448.611 -0.319 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000561006805 1072261422 /nfs/dbraw/zinc/26/14/22/1072261422.db2.gz YHRFPRAVTZYVPN-GJZGRUSLSA-N 0 0 448.611 -0.319 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000561006806 1072261429 /nfs/dbraw/zinc/26/14/29/1072261429.db2.gz YHRFPRAVTZYVPN-HUUCEWRRSA-N 0 0 448.611 -0.319 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000561006807 1072261484 /nfs/dbraw/zinc/26/14/84/1072261484.db2.gz YHRFPRAVTZYVPN-LSDHHAIUSA-N 0 0 448.611 -0.319 20 0 IBADRN CC(C)(C)OCc1cccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000561024503 1072261317 /nfs/dbraw/zinc/26/13/17/1072261317.db2.gz NFAYKNIPAYUFNV-UHFFFAOYSA-N 0 0 443.508 -0.025 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)CC2=O)c1 ZINC000561041293 1072261966 /nfs/dbraw/zinc/26/19/66/1072261966.db2.gz AKBVRFDANHYKSR-DOTOQJQBSA-N 0 0 434.493 -0.932 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)CC2=O)c1 ZINC000561041294 1072262216 /nfs/dbraw/zinc/26/22/16/1072262216.db2.gz AKBVRFDANHYKSR-NVXWUHKLSA-N 0 0 434.493 -0.932 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)CC2=O)c1 ZINC000561041295 1072262243 /nfs/dbraw/zinc/26/22/43/1072262243.db2.gz AKBVRFDANHYKSR-RDJZCZTQSA-N 0 0 434.493 -0.932 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)CC2=O)c1 ZINC000561041296 1072262081 /nfs/dbraw/zinc/26/20/81/1072262081.db2.gz AKBVRFDANHYKSR-WBVHZDCISA-N 0 0 434.493 -0.932 20 0 IBADRN Nc1nc(C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)nc(N2CCOCC2)n1 ZINC000561044042 1073364458 /nfs/dbraw/zinc/36/44/58/1073364458.db2.gz WSQQNQGICJEKJM-UHFFFAOYSA-N 0 0 447.521 -0.422 20 0 IBADRN CN(C)c1nc(N)nc(C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)n1 ZINC000561044207 1072262233 /nfs/dbraw/zinc/26/22/33/1072262233.db2.gz VGETVVBGNNRHGK-UHFFFAOYSA-N 0 0 449.522 -0.329 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1=O ZINC000561044340 1072262210 /nfs/dbraw/zinc/26/22/10/1072262210.db2.gz CFDUNFWEVFOUHL-HNNXBMFYSA-N 0 0 432.477 -0.376 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1=O ZINC000561044341 1072262144 /nfs/dbraw/zinc/26/21/44/1072262144.db2.gz CFDUNFWEVFOUHL-OAHLLOKOSA-N 0 0 432.477 -0.376 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)CC2=O)c1 ZINC000561044700 1072262199 /nfs/dbraw/zinc/26/21/99/1072262199.db2.gz DYCAXMPPGCICMM-INIZCTEOSA-N 0 0 443.504 -0.661 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)CC2=O)c1 ZINC000561044702 1072262009 /nfs/dbraw/zinc/26/20/09/1072262009.db2.gz DYCAXMPPGCICMM-MRXNPFEDSA-N 0 0 443.504 -0.661 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCc2cccc(C(N)=O)c2)CCN1c1nccn2cnnc12 ZINC000561045875 1072262074 /nfs/dbraw/zinc/26/20/74/1072262074.db2.gz YMCSUIDWQGDUGZ-AWEZNQCLSA-N 0 0 436.476 -0.381 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCc2cccc(C(N)=O)c2)CCN1c1nccn2cnnc12 ZINC000561045876 1072262096 /nfs/dbraw/zinc/26/20/96/1072262096.db2.gz YMCSUIDWQGDUGZ-CQSZACIVSA-N 0 0 436.476 -0.381 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000561048114 1072263492 /nfs/dbraw/zinc/26/34/92/1072263492.db2.gz IWBIMBVCHDQWNE-LLVKDONJSA-N 0 0 433.430 -0.105 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000561048116 1072263496 /nfs/dbraw/zinc/26/34/96/1072263496.db2.gz IWBIMBVCHDQWNE-NSHDSACASA-N 0 0 433.430 -0.105 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCN2C(=O)[C@H]3CNC(=O)CN3C2=O)c1 ZINC000561048731 1072263442 /nfs/dbraw/zinc/26/34/42/1072263442.db2.gz CQRFKLUXRIVQON-LLVKDONJSA-N 0 0 445.295 -0.202 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCN2C(=O)[C@@H]3CNC(=O)CN3C2=O)c1 ZINC000561048732 1072263481 /nfs/dbraw/zinc/26/34/81/1072263481.db2.gz CQRFKLUXRIVQON-NSHDSACASA-N 0 0 445.295 -0.202 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1)C(F)(F)F ZINC000561048986 1072263011 /nfs/dbraw/zinc/26/30/11/1072263011.db2.gz GIWUGZIQADBGMQ-KGYLQXTDSA-N 0 0 441.432 -0.743 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1)C(F)(F)F ZINC000561048988 1072263476 /nfs/dbraw/zinc/26/34/76/1072263476.db2.gz GIWUGZIQADBGMQ-WCFLWFBJSA-N 0 0 441.432 -0.743 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)NC(C)C)c2)CC1 ZINC000561049730 1072263472 /nfs/dbraw/zinc/26/34/72/1072263472.db2.gz QWOYOYKNPJMNIQ-UHFFFAOYSA-N 0 0 434.540 -0.396 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NCCc2cccc(C(N)=O)c2)(N2CCOCC2)C1 ZINC000561051027 1072263406 /nfs/dbraw/zinc/26/34/06/1072263406.db2.gz OXFSLUXANDQTHA-UHFFFAOYSA-N 0 0 432.521 -0.170 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NCCc2cccc(C(N)=O)c2)CC1 ZINC000561051094 1072263423 /nfs/dbraw/zinc/26/34/23/1072263423.db2.gz RYKVJNSFYQNOJF-UHFFFAOYSA-N 0 0 431.537 -0.153 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)CN(C)S(=O)(=O)c1cccnc1)C(F)(F)F ZINC000561051539 1072263500 /nfs/dbraw/zinc/26/35/00/1072263500.db2.gz UVZAAMWEOONDLU-LLVKDONJSA-N 0 0 432.446 -0.359 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)CN(C)S(=O)(=O)c1cccnc1)C(F)(F)F ZINC000561051540 1072263413 /nfs/dbraw/zinc/26/34/13/1072263413.db2.gz UVZAAMWEOONDLU-NSHDSACASA-N 0 0 432.446 -0.359 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O)C(F)(F)F ZINC000561053275 1072263396 /nfs/dbraw/zinc/26/33/96/1072263396.db2.gz QERRXEICLDSTDR-SSDOTTSWSA-N 0 0 440.404 -0.767 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O)C(F)(F)F ZINC000561053281 1072263490 /nfs/dbraw/zinc/26/34/90/1072263490.db2.gz QERRXEICLDSTDR-ZETCQYMHSA-N 0 0 440.404 -0.767 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc(OC)c1OC ZINC000561065180 1072263690 /nfs/dbraw/zinc/26/36/90/1072263690.db2.gz QLBMVWXVTZRKCN-UHFFFAOYSA-N 0 0 431.449 -0.063 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000561066059 1072263830 /nfs/dbraw/zinc/26/38/30/1072263830.db2.gz MNHWJRRCGXBQNM-CRAIPNDOSA-N 0 0 445.563 -0.066 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000561066060 1072264021 /nfs/dbraw/zinc/26/40/21/1072264021.db2.gz MNHWJRRCGXBQNM-MAUKXSAKSA-N 0 0 445.563 -0.066 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000561066061 1072263769 /nfs/dbraw/zinc/26/37/69/1072263769.db2.gz MNHWJRRCGXBQNM-QAPCUYQASA-N 0 0 445.563 -0.066 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000561066062 1072263784 /nfs/dbraw/zinc/26/37/84/1072263784.db2.gz MNHWJRRCGXBQNM-YJBOKZPZSA-N 0 0 445.563 -0.066 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC000561068710 1072264030 /nfs/dbraw/zinc/26/40/30/1072264030.db2.gz UMTMPPXKWOFHOW-CYBMUJFWSA-N 0 0 449.551 -0.136 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC000561068711 1072263986 /nfs/dbraw/zinc/26/39/86/1072263986.db2.gz UMTMPPXKWOFHOW-ZDUSSCGKSA-N 0 0 449.551 -0.136 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCN(c3cnn(-c4ccccc4)c(=O)c3Cl)CC2)n1 ZINC000561071174 1072263815 /nfs/dbraw/zinc/26/38/15/1072263815.db2.gz YNDWTMRHECNWGD-UHFFFAOYSA-N 0 0 442.867 0.302 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(c2cccnn2)CC1 ZINC000561075775 1072263909 /nfs/dbraw/zinc/26/39/09/1072263909.db2.gz DCNNTEQZFVUYGA-UHFFFAOYSA-N 0 0 432.506 -0.308 20 0 IBADRN CN(C)c1ccc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cn1 ZINC000561076424 1072264566 /nfs/dbraw/zinc/26/45/66/1072264566.db2.gz LQLUMDKVUMKKGN-UHFFFAOYSA-N 0 0 426.525 -0.118 20 0 IBADRN CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000561085276 1072264431 /nfs/dbraw/zinc/26/44/31/1072264431.db2.gz NNPWKAKKRRCOOA-CVEARBPZSA-N 0 0 445.563 -0.111 20 0 IBADRN CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000561085279 1072264437 /nfs/dbraw/zinc/26/44/37/1072264437.db2.gz NNPWKAKKRRCOOA-HOTGVXAUSA-N 0 0 445.563 -0.111 20 0 IBADRN CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000561085282 1072264455 /nfs/dbraw/zinc/26/44/55/1072264455.db2.gz NNPWKAKKRRCOOA-HZPDHXFCSA-N 0 0 445.563 -0.111 20 0 IBADRN CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000561085286 1072264369 /nfs/dbraw/zinc/26/43/69/1072264369.db2.gz NNPWKAKKRRCOOA-JKSUJKDBSA-N 0 0 445.563 -0.111 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000561088977 1072264469 /nfs/dbraw/zinc/26/44/69/1072264469.db2.gz HBZFFPWZNDFJNR-UHFFFAOYSA-N 0 0 431.536 -0.217 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)NCCCNS(=O)(=O)c1ccccc1Br ZINC000561089050 1072264383 /nfs/dbraw/zinc/26/43/83/1072264383.db2.gz FGUBUHFYHYAKKM-JTQLQIEISA-N 0 0 433.284 -0.168 20 0 IBADRN CCc1c(C(=O)N2CCC(CNS(C)(=O)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000561090246 1072264579 /nfs/dbraw/zinc/26/45/79/1072264579.db2.gz NIJYHMFIULTFJB-UHFFFAOYSA-N 0 0 437.522 -0.404 20 0 IBADRN CN(C[C@@H]1COc2ccccc2O1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000561098851 1072264419 /nfs/dbraw/zinc/26/44/19/1072264419.db2.gz HEOUUDUTPJPMIU-SEYPNCJNSA-N 0 0 429.433 -0.286 20 0 IBADRN CN(C[C@H]1COc2ccccc2O1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000561098861 1072264462 /nfs/dbraw/zinc/26/44/62/1072264462.db2.gz HEOUUDUTPJPMIU-ZUFLSNEXSA-N 0 0 429.433 -0.286 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1 ZINC000561101799 1072264636 /nfs/dbraw/zinc/26/46/36/1072264636.db2.gz RANXRJKNBNIZIW-UHFFFAOYSA-N 0 0 435.529 -0.108 20 0 IBADRN Cc1cc(NC(=O)CN(C)c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)no1 ZINC000561102682 1072265271 /nfs/dbraw/zinc/26/52/71/1072265271.db2.gz YEEVIGFMSXHFPW-UHFFFAOYSA-N 0 0 431.496 -0.044 20 0 IBADRN COc1cccc(C(=O)NCCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000561105058 1072264968 /nfs/dbraw/zinc/26/49/68/1072264968.db2.gz KCDSIPFHCQEXQZ-KHTYJDQRSA-N 0 0 444.448 -0.712 20 0 IBADRN Cn1c(=O)c2ccsc2nc1Sc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000561106715 1072265237 /nfs/dbraw/zinc/26/52/37/1072265237.db2.gz VVVFGEWBIDMQKL-YZVYYRORSA-N 0 0 448.486 -0.103 20 0 IBADRN CN(Cc1ccc2c(c1)OCCO2)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000561106879 1072265191 /nfs/dbraw/zinc/26/51/91/1072265191.db2.gz XFFKGUGNPJOTBA-WVSUBDOOSA-N 0 0 429.433 -0.155 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000561107411 1072265150 /nfs/dbraw/zinc/26/51/50/1072265150.db2.gz LXQIWWKPJWKINA-UHFFFAOYSA-N 0 0 426.412 -0.048 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCCSCC1 ZINC000561112540 1072265045 /nfs/dbraw/zinc/26/50/45/1072265045.db2.gz NYSXXUBDKUSGLT-UHFFFAOYSA-N 0 0 426.520 -0.289 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2Cl)C1=O ZINC000561113663 1072265200 /nfs/dbraw/zinc/26/52/00/1072265200.db2.gz MJKLXZMQUJMOCB-UHFFFAOYSA-N 0 0 437.261 -0.192 20 0 IBADRN CCNC(=O)c1ccc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000561118903 1072265022 /nfs/dbraw/zinc/26/50/22/1072265022.db2.gz SVTFIFQIOGMRCF-KHTYJDQRSA-N 0 0 428.449 -0.200 20 0 IBADRN Cc1ccccc1C1(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000561119483 1072265080 /nfs/dbraw/zinc/26/50/80/1072265080.db2.gz UHDZYUQGAOESQF-UHFFFAOYSA-N 0 0 442.516 -0.328 20 0 IBADRN CS(=O)(=O)c1ccc(NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)nc1 ZINC000561120028 1073351777 /nfs/dbraw/zinc/35/17/77/1073351777.db2.gz VABIDODYXIROMD-UHFFFAOYSA-N 0 0 425.536 0.444 20 0 IBADRN COCC[N@@H+]1CCC[C@H]1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000561120801 1072265099 /nfs/dbraw/zinc/26/50/99/1072265099.db2.gz IMOXUWDXGYNTSC-HKUYNNGSSA-N 0 0 437.585 -0.195 20 0 IBADRN COCC[N@@H+]1CCC[C@@H]1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000561120809 1072265247 /nfs/dbraw/zinc/26/52/47/1072265247.db2.gz IMOXUWDXGYNTSC-IEBWSBKVSA-N 0 0 437.585 -0.195 20 0 IBADRN COCC[N@@H+]1CCC[C@H]1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000561120812 1072264979 /nfs/dbraw/zinc/26/49/79/1072264979.db2.gz IMOXUWDXGYNTSC-MJGOQNOKSA-N 0 0 437.585 -0.195 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000561123289 1072265593 /nfs/dbraw/zinc/26/55/93/1072265593.db2.gz HCCVUGLMWLWROA-CYBMUJFWSA-N 0 0 440.478 -0.662 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000561123292 1072265703 /nfs/dbraw/zinc/26/57/03/1072265703.db2.gz HCCVUGLMWLWROA-ZDUSSCGKSA-N 0 0 440.478 -0.662 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000561124974 1072265931 /nfs/dbraw/zinc/26/59/31/1072265931.db2.gz YPOWIXCMWFSQBZ-BBRMVZONSA-N 0 0 440.522 -0.452 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000561124984 1072265723 /nfs/dbraw/zinc/26/57/23/1072265723.db2.gz YPOWIXCMWFSQBZ-CJNGLKHVSA-N 0 0 440.522 -0.452 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000561124985 1072265851 /nfs/dbraw/zinc/26/58/51/1072265851.db2.gz YPOWIXCMWFSQBZ-CZUORRHYSA-N 0 0 440.522 -0.452 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000561124987 1072265627 /nfs/dbraw/zinc/26/56/27/1072265627.db2.gz YPOWIXCMWFSQBZ-XJKSGUPXSA-N 0 0 440.522 -0.452 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC3CCCC3)C1)CC2 ZINC000561126421 1072266287 /nfs/dbraw/zinc/26/62/87/1072266287.db2.gz AYFHXFMIRSFSPP-CVEARBPZSA-N 0 0 438.554 -0.129 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC3CCCC3)C1)CC2 ZINC000561126422 1072266352 /nfs/dbraw/zinc/26/63/52/1072266352.db2.gz AYFHXFMIRSFSPP-HOTGVXAUSA-N 0 0 438.554 -0.129 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC3CCCC3)C1)CC2 ZINC000561126423 1072266385 /nfs/dbraw/zinc/26/63/85/1072266385.db2.gz AYFHXFMIRSFSPP-HZPDHXFCSA-N 0 0 438.554 -0.129 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC3CCCC3)C1)CC2 ZINC000561126424 1072266319 /nfs/dbraw/zinc/26/63/19/1072266319.db2.gz AYFHXFMIRSFSPP-JKSUJKDBSA-N 0 0 438.554 -0.129 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCCCC3)CC1)CC2 ZINC000561126586 1072266180 /nfs/dbraw/zinc/26/61/80/1072266180.db2.gz CVFSYRNNTSSKPN-KRWDZBQOSA-N 0 0 431.541 -0.436 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCCCC3)CC1)CC2 ZINC000561126587 1072266280 /nfs/dbraw/zinc/26/62/80/1072266280.db2.gz CVFSYRNNTSSKPN-QGZVFWFLSA-N 0 0 431.541 -0.436 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N3CCN(C)CC3)cc1)CC2 ZINC000561126958 1072266266 /nfs/dbraw/zinc/26/62/66/1072266266.db2.gz IFKODLWVIRCNAB-GOSISDBHSA-N 0 0 439.520 -0.278 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N3CCN(C)CC3)cc1)CC2 ZINC000561126959 1072266216 /nfs/dbraw/zinc/26/62/16/1072266216.db2.gz IFKODLWVIRCNAB-SFHVURJKSA-N 0 0 439.520 -0.278 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCCCC2)ccc1N1CCOCC1 ZINC000561135478 1072266325 /nfs/dbraw/zinc/26/63/25/1072266325.db2.gz NRKCBBRWXGGAHL-INIZCTEOSA-N 0 0 440.522 -0.726 20 0 IBADRN Nc1nc(C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)nc(N2CCOCC2)n1 ZINC000561140689 1072266369 /nfs/dbraw/zinc/26/63/69/1072266369.db2.gz JKSOGOOVDSWYHS-UHFFFAOYSA-N 0 0 430.488 -0.019 20 0 IBADRN NC(=O)c1ccc(CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C2CC2)cc1 ZINC000561142159 1072266195 /nfs/dbraw/zinc/26/61/95/1072266195.db2.gz JCEJJTRNZASQSA-VGKBRBPRSA-N 0 0 440.460 -0.294 20 0 IBADRN CNC(=O)CN1CCC(Nc2ncc(OC)c(NC3CCN(CC(=O)NC)CC3)n2)CC1 ZINC000561142376 1073312844 /nfs/dbraw/zinc/31/28/44/1073312844.db2.gz PVQCMMUGXFQRNP-UHFFFAOYSA-N 0 0 448.572 -0.270 20 0 IBADRN Cc1nc(CN2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)cs1 ZINC000561142803 1072266297 /nfs/dbraw/zinc/26/62/97/1072266297.db2.gz SVWAYXYAVPFXAS-NVQRDWNXSA-N 0 0 447.521 -0.475 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N3CCC[C@@H]3CO)cc2)CC1 ZINC000561142841 1072266304 /nfs/dbraw/zinc/26/63/04/1072266304.db2.gz URZIWONCNCPKJR-HXUWFJFHSA-N 0 0 446.548 -0.129 20 0 IBADRN CC(C)CC(=O)N1CCN(C(=O)CCCCCNC(=O)C(=O)N2CCNC(=O)C2)CC1 ZINC000561145867 1072266244 /nfs/dbraw/zinc/26/62/44/1072266244.db2.gz KYMHATGRLUDTRU-UHFFFAOYSA-N 0 0 437.541 -0.662 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCc2cnn(C)c2)CC1 ZINC000561146009 1072266165 /nfs/dbraw/zinc/26/61/65/1072266165.db2.gz CURZAMKBGPNMSQ-UHFFFAOYSA-N 0 0 442.586 -0.020 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)cn1 ZINC000561146561 1072266145 /nfs/dbraw/zinc/26/61/45/1072266145.db2.gz BXXNFRYDMHARRD-UHFFFAOYSA-N 0 0 443.533 -0.117 20 0 IBADRN O=C(C1CCCCC1)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000561146858 1072266257 /nfs/dbraw/zinc/26/62/57/1072266257.db2.gz BPSMSBJAXSPVKS-VGKBRBPRSA-N 0 0 446.508 -0.333 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCc2cnn(C)c2)C1 ZINC000561148245 1072266333 /nfs/dbraw/zinc/26/63/33/1072266333.db2.gz SLMGNADAIUZGKQ-INIZCTEOSA-N 0 0 429.543 -0.632 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCc2cnn(C)c2)C1 ZINC000561148251 1072266802 /nfs/dbraw/zinc/26/68/02/1072266802.db2.gz SLMGNADAIUZGKQ-MRXNPFEDSA-N 0 0 429.543 -0.632 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000561149177 1072266913 /nfs/dbraw/zinc/26/69/13/1072266913.db2.gz NNQFXUALNCRDPA-INIZCTEOSA-N 0 0 427.527 -0.878 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cn1 ZINC000561149181 1072266924 /nfs/dbraw/zinc/26/69/24/1072266924.db2.gz NNQFXUALNCRDPA-MRXNPFEDSA-N 0 0 427.527 -0.878 20 0 IBADRN O=C(NCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccc(Cl)cc1 ZINC000561149459 1072266788 /nfs/dbraw/zinc/26/67/88/1072266788.db2.gz QZDNAMXNTPLXPH-QEPJRFBGSA-N 0 0 448.867 -0.067 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCCc3sccc31)C2 ZINC000561152448 1072266825 /nfs/dbraw/zinc/26/68/25/1072266825.db2.gz CDRUVUAEXIGMKT-CYBMUJFWSA-N 0 0 432.506 -0.270 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCCc3sccc31)C2 ZINC000561152456 1072266886 /nfs/dbraw/zinc/26/68/86/1072266886.db2.gz CDRUVUAEXIGMKT-ZDUSSCGKSA-N 0 0 432.506 -0.270 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCCNc2ccc3ccccc3n2)[C@H](O)[C@@H]1O ZINC000561152557 1072266903 /nfs/dbraw/zinc/26/69/03/1072266903.db2.gz DKEKVHDMECDDPV-HAXDFEGKSA-N 0 0 437.460 0.510 20 0 IBADRN O=C(NCCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1cccs1 ZINC000561153233 1072266667 /nfs/dbraw/zinc/26/66/67/1072266667.db2.gz IUPPIPATPRVKLM-OXQGGJHDSA-N 0 0 434.478 -0.269 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)c3nc(N)nc(N4CCOCC4)n3)cc2)CC1 ZINC000561155311 1073359147 /nfs/dbraw/zinc/35/91/47/1073359147.db2.gz JGUPMLNRHOCMKD-UHFFFAOYSA-N 0 0 440.508 -0.392 20 0 IBADRN O=C(CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)NCc1ccccc1 ZINC000561156686 1072266855 /nfs/dbraw/zinc/26/68/55/1072266855.db2.gz PRVBFTDDXNIBIC-AEVYOOLXSA-N 0 0 428.449 -0.444 20 0 IBADRN O=C(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)NCCc1ccccc1 ZINC000561158867 1072266706 /nfs/dbraw/zinc/26/67/06/1072266706.db2.gz OPWCLQMGJASVRD-AEVYOOLXSA-N 0 0 428.449 -0.791 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000561159608 1072266873 /nfs/dbraw/zinc/26/68/73/1072266873.db2.gz HIKZSPLEFUXBJL-UHFFFAOYSA-N 0 0 431.474 -0.461 20 0 IBADRN O=C(N[C@H]1CCc2c1cccc2O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000561160162 1072266839 /nfs/dbraw/zinc/26/68/39/1072266839.db2.gz TXIXUERDVZRPIJ-INIZCTEOSA-N 0 0 430.461 -0.975 20 0 IBADRN O=C(N[C@@H]1CCc2c1cccc2O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000561160166 1072266814 /nfs/dbraw/zinc/26/68/14/1072266814.db2.gz TXIXUERDVZRPIJ-MRXNPFEDSA-N 0 0 430.461 -0.975 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NCCN2CCOC(C)(C)C2)c1 ZINC000561161090 1072266740 /nfs/dbraw/zinc/26/67/40/1072266740.db2.gz AFJJVTHLBNKGAQ-AWEZNQCLSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NCCN2CCOC(C)(C)C2)c1 ZINC000561161093 1072266778 /nfs/dbraw/zinc/26/67/78/1072266778.db2.gz AFJJVTHLBNKGAQ-CQSZACIVSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000561161172 1072266897 /nfs/dbraw/zinc/26/68/97/1072266897.db2.gz CBCCIVJYQRCACF-HNNXBMFYSA-N 0 0 448.549 -0.150 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000561161174 1072266755 /nfs/dbraw/zinc/26/67/55/1072266755.db2.gz CBCCIVJYQRCACF-OAHLLOKOSA-N 0 0 448.549 -0.150 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1 ZINC000561161393 1072267271 /nfs/dbraw/zinc/26/72/71/1072267271.db2.gz BSZUWDMGYUKGGH-OWQGQXMQSA-N 0 0 449.533 -0.260 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1 ZINC000561161397 1072267361 /nfs/dbraw/zinc/26/73/61/1072267361.db2.gz BSZUWDMGYUKGGH-SKDZVZGDSA-N 0 0 449.533 -0.260 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000561162058 1072266726 /nfs/dbraw/zinc/26/67/26/1072266726.db2.gz QAUVXEQRUAICFB-AWEZNQCLSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000561162059 1072267258 /nfs/dbraw/zinc/26/72/58/1072267258.db2.gz QAUVXEQRUAICFB-CQSZACIVSA-N 0 0 432.506 -0.089 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)c1 ZINC000561162269 1072267461 /nfs/dbraw/zinc/26/74/61/1072267461.db2.gz ULQOHARRZBNTCO-IAOVAPTHSA-N 0 0 443.522 -0.291 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)c1 ZINC000561162270 1072267469 /nfs/dbraw/zinc/26/74/69/1072267469.db2.gz ULQOHARRZBNTCO-JQFCIGGWSA-N 0 0 443.522 -0.291 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)c1 ZINC000561162271 1072267901 /nfs/dbraw/zinc/26/79/01/1072267901.db2.gz ULQOHARRZBNTCO-RRQGHBQHSA-N 0 0 443.522 -0.291 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000561162328 1072268016 /nfs/dbraw/zinc/26/80/16/1072268016.db2.gz OQVKASRPSVEZPG-GXTWGEPZSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000561162329 1072267939 /nfs/dbraw/zinc/26/79/39/1072267939.db2.gz OQVKASRPSVEZPG-TZMCWYRMSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)c1 ZINC000561162372 1072268029 /nfs/dbraw/zinc/26/80/29/1072268029.db2.gz ULQOHARRZBNTCO-XKQJLSEDSA-N 0 0 443.522 -0.291 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1 ZINC000561162549 1072267310 /nfs/dbraw/zinc/26/73/10/1072267310.db2.gz QNJSDAKDJUVWSL-HNNXBMFYSA-N 0 0 448.549 -0.150 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1 ZINC000561162550 1072267444 /nfs/dbraw/zinc/26/74/44/1072267444.db2.gz QNJSDAKDJUVWSL-OAHLLOKOSA-N 0 0 448.549 -0.150 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NCCN2CCOCC2(C)C)c1 ZINC000561162696 1072267477 /nfs/dbraw/zinc/26/74/77/1072267477.db2.gz CHOJNOHMLDDORX-AWEZNQCLSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NCCN2CCOCC2(C)C)c1 ZINC000561162697 1071149620 /nfs/dbraw/zinc/14/96/20/1071149620.db2.gz CHOJNOHMLDDORX-CQSZACIVSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000561162863 1072267264 /nfs/dbraw/zinc/26/72/64/1072267264.db2.gz DZUVUNPYEROZAK-BLLLJJGKSA-N 0 0 448.505 -0.573 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000561162864 1072267282 /nfs/dbraw/zinc/26/72/82/1072267282.db2.gz DZUVUNPYEROZAK-LRDDRELGSA-N 0 0 448.505 -0.573 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000561162865 1072267343 /nfs/dbraw/zinc/26/73/43/1072267343.db2.gz DZUVUNPYEROZAK-MLGOLLRUSA-N 0 0 448.505 -0.573 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000561162866 1072267450 /nfs/dbraw/zinc/26/74/50/1072267450.db2.gz DZUVUNPYEROZAK-WBMJQRKESA-N 0 0 448.505 -0.573 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)c1 ZINC000561163065 1072267415 /nfs/dbraw/zinc/26/74/15/1072267415.db2.gz YLGICZZPHBPLGE-DOMZBBRYSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000561163067 1072267487 /nfs/dbraw/zinc/26/74/87/1072267487.db2.gz YLGICZZPHBPLGE-IUODEOHRSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000561163069 1072267437 /nfs/dbraw/zinc/26/74/37/1072267437.db2.gz YLGICZZPHBPLGE-SWLSCSKDSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)c1 ZINC000561163070 1072267372 /nfs/dbraw/zinc/26/73/72/1072267372.db2.gz YLGICZZPHBPLGE-WFASDCNBSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)c1 ZINC000561163128 1072267951 /nfs/dbraw/zinc/26/79/51/1072267951.db2.gz HGXJRFCRCXHGRO-ILXRZTDVSA-N 0 0 426.539 -0.003 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)c1 ZINC000561163129 1072267916 /nfs/dbraw/zinc/26/79/16/1072267916.db2.gz HGXJRFCRCXHGRO-KKUMJFAQSA-N 0 0 426.539 -0.003 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)c1 ZINC000561163130 1072268069 /nfs/dbraw/zinc/26/80/69/1072268069.db2.gz HGXJRFCRCXHGRO-QLFBSQMISA-N 0 0 426.539 -0.003 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)c1 ZINC000561163131 1072267830 /nfs/dbraw/zinc/26/78/30/1072267830.db2.gz HGXJRFCRCXHGRO-SOUVJXGZSA-N 0 0 426.539 -0.003 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)c1 ZINC000561163416 1072267925 /nfs/dbraw/zinc/26/79/25/1072267925.db2.gz MHIUFFSUGCPHBB-DOMZBBRYSA-N 0 0 426.495 -0.865 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)c1 ZINC000561163417 1072267818 /nfs/dbraw/zinc/26/78/18/1072267818.db2.gz MHIUFFSUGCPHBB-IUODEOHRSA-N 0 0 426.495 -0.865 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)c1 ZINC000561163418 1072268004 /nfs/dbraw/zinc/26/80/04/1072268004.db2.gz MHIUFFSUGCPHBB-SWLSCSKDSA-N 0 0 426.495 -0.865 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)c1 ZINC000561163419 1072267996 /nfs/dbraw/zinc/26/79/96/1072267996.db2.gz MHIUFFSUGCPHBB-WFASDCNBSA-N 0 0 426.495 -0.865 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000561163443 1072267858 /nfs/dbraw/zinc/26/78/58/1072267858.db2.gz NFRNKFZBICYEGE-AWEZNQCLSA-N 0 0 440.522 -0.569 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000561163448 1072267846 /nfs/dbraw/zinc/26/78/46/1072267846.db2.gz NFRNKFZBICYEGE-CQSZACIVSA-N 0 0 440.522 -0.569 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)c1 ZINC000561164686 1072268057 /nfs/dbraw/zinc/26/80/57/1072268057.db2.gz XSPLIGWXWLHBQP-AWEZNQCLSA-N 0 0 434.522 -0.146 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)c1 ZINC000561164687 1072268043 /nfs/dbraw/zinc/26/80/43/1072268043.db2.gz XSPLIGWXWLHBQP-CQSZACIVSA-N 0 0 434.522 -0.146 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N(C)C3CCCCC3)CC2)n1 ZINC000561175822 1072268704 /nfs/dbraw/zinc/26/87/04/1072268704.db2.gz OIRHCURXMSILMT-UHFFFAOYSA-N 0 0 434.589 -0.147 20 0 IBADRN CN(CCS(=O)(=O)NCCCN1C(=O)CNC1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000561179044 1072268443 /nfs/dbraw/zinc/26/84/43/1072268443.db2.gz YCVNUFCDZZSGOA-UHFFFAOYSA-N 0 0 436.487 -0.693 20 0 IBADRN CNC(=O)CCOc1ccc(Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000561181937 1072269249 /nfs/dbraw/zinc/26/92/49/1072269249.db2.gz DQEBERPWUNOCHE-AEVYOOLXSA-N 0 0 444.448 -0.304 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000561184036 1072269317 /nfs/dbraw/zinc/26/93/17/1072269317.db2.gz UBUSAXKADRAZKX-VIFPVBQESA-N 0 0 441.384 -0.624 20 0 IBADRN Cc1ccc(C(=O)NCCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000561191781 1072269277 /nfs/dbraw/zinc/26/92/77/1072269277.db2.gz HLMGDGSBZXUBKW-KHTYJDQRSA-N 0 0 428.449 -0.412 20 0 IBADRN Cc1ccccc1OCCCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000561196400 1072269237 /nfs/dbraw/zinc/26/92/37/1072269237.db2.gz XNNFDUAUPDXOLL-UHFFFAOYSA-N 0 0 444.492 -0.631 20 0 IBADRN CCc1cnc(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)s1 ZINC000561205200 1072269192 /nfs/dbraw/zinc/26/91/92/1072269192.db2.gz LHOWMIQBZLQJKC-SCFUHWHPSA-N 0 0 447.521 -0.217 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@H](N3CCOCC3)C[C@@H]2C)s1 ZINC000561205285 1072269221 /nfs/dbraw/zinc/26/92/21/1072269221.db2.gz ONNNMFRFTUNZQM-DZGCQCFKSA-N 0 0 444.579 -0.016 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@@H](N3CCOCC3)C[C@H]2C)s1 ZINC000561205286 1072269300 /nfs/dbraw/zinc/26/93/00/1072269300.db2.gz ONNNMFRFTUNZQM-HIFRSBDPSA-N 0 0 444.579 -0.016 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@H](N3CCOCC3)C[C@H]2C)s1 ZINC000561205287 1072269260 /nfs/dbraw/zinc/26/92/60/1072269260.db2.gz ONNNMFRFTUNZQM-UKRRQHHQSA-N 0 0 444.579 -0.016 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@@H](N3CCOCC3)C[C@@H]2C)s1 ZINC000561205288 1072269328 /nfs/dbraw/zinc/26/93/28/1072269328.db2.gz ONNNMFRFTUNZQM-ZFWWWQNUSA-N 0 0 444.579 -0.016 20 0 IBADRN NC(=O)[C@H](NC(=O)c1nc(N)nc(N2CCOCC2)n1)c1cccc(Br)c1 ZINC000561206230 1073362947 /nfs/dbraw/zinc/36/29/47/1073362947.db2.gz NZDXOIOEEKSXBU-LLVKDONJSA-N 0 0 436.270 0.009 20 0 IBADRN NC(=O)[C@@H](NC(=O)c1nc(N)nc(N2CCOCC2)n1)c1cccc(Br)c1 ZINC000561206233 1072269204 /nfs/dbraw/zinc/26/92/04/1072269204.db2.gz NZDXOIOEEKSXBU-NSHDSACASA-N 0 0 436.270 0.009 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000561211119 1072269347 /nfs/dbraw/zinc/26/93/47/1072269347.db2.gz OFAQKWFOASIIHP-DZGCQCFKSA-N 0 0 431.536 -0.217 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000561211120 1072269423 /nfs/dbraw/zinc/26/94/23/1072269423.db2.gz OFAQKWFOASIIHP-HIFRSBDPSA-N 0 0 431.536 -0.217 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000561211121 1072269400 /nfs/dbraw/zinc/26/94/00/1072269400.db2.gz OFAQKWFOASIIHP-UKRRQHHQSA-N 0 0 431.536 -0.217 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000561211122 1072269287 /nfs/dbraw/zinc/26/92/87/1072269287.db2.gz OFAQKWFOASIIHP-ZFWWWQNUSA-N 0 0 431.536 -0.217 20 0 IBADRN CN(C(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)[C@@H]1COC[C@H]1O ZINC000561212587 1072269380 /nfs/dbraw/zinc/26/93/80/1072269380.db2.gz NMFQCVBKJMRFHH-HZPDHXFCSA-N 0 0 439.490 -0.013 20 0 IBADRN CN(C(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21)[C@@H]1COC[C@H]1O ZINC000561213062 1072269389 /nfs/dbraw/zinc/26/93/89/1072269389.db2.gz VLUKTVGUKKLZJT-IAGOWNOFSA-N 0 0 437.474 -0.207 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2cc(S(N)(=O)=O)cnc2C)C1 ZINC000561217135 1072269763 /nfs/dbraw/zinc/26/97/63/1072269763.db2.gz AHDVBKMDWHXOSM-CYBMUJFWSA-N 0 0 426.495 -0.089 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2cc(S(N)(=O)=O)cnc2C)C1 ZINC000561217136 1072269794 /nfs/dbraw/zinc/26/97/94/1072269794.db2.gz AHDVBKMDWHXOSM-ZDUSSCGKSA-N 0 0 426.495 -0.089 20 0 IBADRN Cn1c(C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000561217427 1072269833 /nfs/dbraw/zinc/26/98/33/1072269833.db2.gz AQRPJAJDCQWNJU-AAEUAGOBSA-N 0 0 425.511 -0.587 20 0 IBADRN Cn1c(C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000561217428 1072269628 /nfs/dbraw/zinc/26/96/28/1072269628.db2.gz AQRPJAJDCQWNJU-DGCLKSJQSA-N 0 0 425.511 -0.587 20 0 IBADRN Cn1c(C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000561217429 1072269864 /nfs/dbraw/zinc/26/98/64/1072269864.db2.gz AQRPJAJDCQWNJU-WCQYABFASA-N 0 0 425.511 -0.587 20 0 IBADRN Cn1c(C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000561217430 1072269777 /nfs/dbraw/zinc/26/97/77/1072269777.db2.gz AQRPJAJDCQWNJU-YPMHNXCESA-N 0 0 425.511 -0.587 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000561217912 1072269790 /nfs/dbraw/zinc/26/97/90/1072269790.db2.gz DMSWZCJABQQULU-KBPBESRZSA-N 0 0 440.588 -0.955 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@H]1CCCC[C@@H]1NS(C)(=O)=O ZINC000561217913 1072269741 /nfs/dbraw/zinc/26/97/41/1072269741.db2.gz DMSWZCJABQQULU-KGLIPLIRSA-N 0 0 440.588 -0.955 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000561217914 1072269751 /nfs/dbraw/zinc/26/97/51/1072269751.db2.gz DMSWZCJABQQULU-UONOGXRCSA-N 0 0 440.588 -0.955 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@H]1CCCC[C@H]1NS(C)(=O)=O ZINC000561217915 1072269711 /nfs/dbraw/zinc/26/97/11/1072269711.db2.gz DMSWZCJABQQULU-ZIAGYGMSSA-N 0 0 440.588 -0.955 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000561220176 1072269851 /nfs/dbraw/zinc/26/98/51/1072269851.db2.gz PTCICPLDLROHIV-KSZLIROESA-N 0 0 444.598 -0.076 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000561220178 1072269806 /nfs/dbraw/zinc/26/98/06/1072269806.db2.gz PTCICPLDLROHIV-KURKYZTESA-N 0 0 444.598 -0.076 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000561220179 1072269820 /nfs/dbraw/zinc/26/98/20/1072269820.db2.gz PTCICPLDLROHIV-KZNAEPCWSA-N 0 0 444.598 -0.076 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000561220180 1072269642 /nfs/dbraw/zinc/26/96/42/1072269642.db2.gz PTCICPLDLROHIV-RCCFBDPRSA-N 0 0 444.598 -0.076 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)c(=O)n(C)c1=O ZINC000561220288 1072269682 /nfs/dbraw/zinc/26/96/82/1072269682.db2.gz RRBPYMFTORLVSH-GXTWGEPZSA-N 0 0 425.511 -0.737 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)c(=O)n(C)c1=O ZINC000561220291 1072269839 /nfs/dbraw/zinc/26/98/39/1072269839.db2.gz RRBPYMFTORLVSH-JSGCOSHPSA-N 0 0 425.511 -0.737 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)c(=O)n(C)c1=O ZINC000561220292 1072270280 /nfs/dbraw/zinc/27/02/80/1072270280.db2.gz RRBPYMFTORLVSH-OCCSQVGLSA-N 0 0 425.511 -0.737 20 0 IBADRN Cn1c2c(ccn2CC(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)c(=O)n(C)c1=O ZINC000561220294 1072270206 /nfs/dbraw/zinc/27/02/06/1072270206.db2.gz RRBPYMFTORLVSH-TZMCWYRMSA-N 0 0 425.511 -0.737 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000561220777 1072269660 /nfs/dbraw/zinc/26/96/60/1072269660.db2.gz UKSGMIIXLRPBEU-BXUZGUMPSA-N 0 0 432.524 -0.503 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000561220781 1072269727 /nfs/dbraw/zinc/26/97/27/1072269727.db2.gz UKSGMIIXLRPBEU-FZMZJTMJSA-N 0 0 432.524 -0.503 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000561220782 1072269696 /nfs/dbraw/zinc/26/96/96/1072269696.db2.gz UKSGMIIXLRPBEU-RISCZKNCSA-N 0 0 432.524 -0.503 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000561220783 1072269607 /nfs/dbraw/zinc/26/96/07/1072269607.db2.gz UKSGMIIXLRPBEU-SMDDNHRTSA-N 0 0 432.524 -0.503 20 0 IBADRN Cc1nn(CCC(=O)N(C)[C@@H]2COC[C@H]2O)c(C)c1CCC(=O)N(C)[C@@H]1COC[C@H]1O ZINC000561227099 1072270270 /nfs/dbraw/zinc/27/02/70/1072270270.db2.gz XNXNXGVOOPXSTI-NCXUSEDFSA-N 0 0 438.525 -0.741 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)c1C ZINC000561230270 1072270183 /nfs/dbraw/zinc/27/01/83/1072270183.db2.gz KQDFSMUCQCJDEE-KRWDZBQOSA-N 0 0 436.557 -0.179 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)c1C ZINC000561230271 1072270362 /nfs/dbraw/zinc/27/03/62/1072270362.db2.gz KQDFSMUCQCJDEE-QGZVFWFLSA-N 0 0 436.557 -0.179 20 0 IBADRN O=S1(=O)CCC[C@@H](Nc2nc(N[C@H]3CCCS(=O)(=O)C3)nc3c2SCC3)C1 ZINC000561231511 1072270227 /nfs/dbraw/zinc/27/02/27/1072270227.db2.gz DXBXEIRYLJYJGG-NEPJUHHUSA-N 0 0 432.593 -0.053 20 0 IBADRN O=S1(=O)CCC[C@@H](Nc2nc3c(c(N[C@H]4CCCS(=O)(=O)C4)n2)SCC3)C1 ZINC000561231512 1072270348 /nfs/dbraw/zinc/27/03/48/1072270348.db2.gz DXBXEIRYLJYJGG-NWDGAFQWSA-N 0 0 432.593 -0.053 20 0 IBADRN O=S1(=O)CCC[C@H](Nc2nc3c(c(N[C@H]4CCCS(=O)(=O)C4)n2)SCC3)C1 ZINC000561231513 1072270258 /nfs/dbraw/zinc/27/02/58/1072270258.db2.gz DXBXEIRYLJYJGG-RYUDHWBXSA-N 0 0 432.593 -0.053 20 0 IBADRN O=S1(=O)CCC[C@@H](Nc2nc3c(c(N[C@@H]4CCCS(=O)(=O)C4)n2)SCC3)C1 ZINC000561231514 1072270334 /nfs/dbraw/zinc/27/03/34/1072270334.db2.gz DXBXEIRYLJYJGG-VXGBXAGGSA-N 0 0 432.593 -0.053 20 0 IBADRN C[C@@H](c1cccnc1)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000561231724 1072270298 /nfs/dbraw/zinc/27/02/98/1072270298.db2.gz HQXIIRYTNZMASQ-HXLNDQMISA-N 0 0 441.492 -0.284 20 0 IBADRN C[C@H](c1cccnc1)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000561231725 1072270318 /nfs/dbraw/zinc/27/03/18/1072270318.db2.gz HQXIIRYTNZMASQ-VIEUHXQJSA-N 0 0 441.492 -0.284 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1C ZINC000561233106 1072270212 /nfs/dbraw/zinc/27/02/12/1072270212.db2.gz ZZRNRKBZMJTQLO-UHFFFAOYSA-N 0 0 431.497 0.215 20 0 IBADRN COCc1nc2n(n1)C[C@H](Nc1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O)CC2 ZINC000561234176 1072270378 /nfs/dbraw/zinc/27/03/78/1072270378.db2.gz WYUWSINTQWKIGB-LLVKDONJSA-N 0 0 444.539 -0.251 20 0 IBADRN COCc1nc2n(n1)C[C@@H](Nc1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O)CC2 ZINC000561234177 1072270370 /nfs/dbraw/zinc/27/03/70/1072270370.db2.gz WYUWSINTQWKIGB-NSHDSACASA-N 0 0 444.539 -0.251 20 0 IBADRN CCc1nccn1C[C@@H]1CCCN1c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000561234561 1072270115 /nfs/dbraw/zinc/27/01/15/1072270115.db2.gz BPSGQXKXGDNLBY-JDLZUTDUSA-N 0 0 429.481 -0.134 20 0 IBADRN CCc1nccn1C[C@H]1CCCN1c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000561234562 1072270285 /nfs/dbraw/zinc/27/02/85/1072270285.db2.gz BPSGQXKXGDNLBY-SXOQPLQPSA-N 0 0 429.481 -0.134 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)NC(=O)NC1=O ZINC000561240763 1072270250 /nfs/dbraw/zinc/27/02/50/1072270250.db2.gz QDPPVXLMAOCYDR-ADLMAVQZSA-N 0 0 434.478 -0.180 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)NC(=O)NC1=O ZINC000561240766 1072270385 /nfs/dbraw/zinc/27/03/85/1072270385.db2.gz QDPPVXLMAOCYDR-BBATYDOGSA-N 0 0 434.478 -0.180 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)NC(=O)NC1=O ZINC000561240767 1072270810 /nfs/dbraw/zinc/27/08/10/1072270810.db2.gz QDPPVXLMAOCYDR-VOJFVSQTSA-N 0 0 434.478 -0.180 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)NC(=O)NC1=O ZINC000561240768 1072270797 /nfs/dbraw/zinc/27/07/97/1072270797.db2.gz QDPPVXLMAOCYDR-ZMZPIMSZSA-N 0 0 434.478 -0.180 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000561241509 1072270874 /nfs/dbraw/zinc/27/08/74/1072270874.db2.gz VWHHWAPUDWTLPG-FIKIJFGZSA-N 0 0 442.476 -0.213 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000561241510 1072270823 /nfs/dbraw/zinc/27/08/23/1072270823.db2.gz VWHHWAPUDWTLPG-MQJDWESPSA-N 0 0 442.476 -0.213 20 0 IBADRN CCc1c(C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000561241511 1072270724 /nfs/dbraw/zinc/27/07/24/1072270724.db2.gz VWHHWAPUDWTLPG-WIUDPPPLSA-N 0 0 442.476 -0.213 20 0 IBADRN CCc1c(C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000561241512 1072270752 /nfs/dbraw/zinc/27/07/52/1072270752.db2.gz VWHHWAPUDWTLPG-WSVYEEACSA-N 0 0 442.476 -0.213 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000561242168 1072270836 /nfs/dbraw/zinc/27/08/36/1072270836.db2.gz OVOFGQBIVXWSAH-UHFFFAOYSA-N 0 0 437.478 -0.534 20 0 IBADRN O=C(NCc1nccn1CC(F)(F)F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000561243118 1072270850 /nfs/dbraw/zinc/27/08/50/1072270850.db2.gz WHXMQLSGANWMFW-UHFFFAOYSA-N 0 0 430.387 -0.989 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2nccn2CC(F)(F)F)CC1 ZINC000561244138 1072270717 /nfs/dbraw/zinc/27/07/17/1072270717.db2.gz NNOVMXATKUUSEB-GFCCVEGCSA-N 0 0 430.431 -0.127 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2nccn2CC(F)(F)F)CC1 ZINC000561244140 1072270678 /nfs/dbraw/zinc/27/06/78/1072270678.db2.gz NNOVMXATKUUSEB-LBPRGKRZSA-N 0 0 430.431 -0.127 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nccn2CC(F)(F)F)CC1)N1CCOCC1 ZINC000561244205 1072270692 /nfs/dbraw/zinc/27/06/92/1072270692.db2.gz OUICDUKYQIYFDV-UHFFFAOYSA-N 0 0 446.430 -0.935 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Br)cc3)C2)CCO1 ZINC000561250973 1072270671 /nfs/dbraw/zinc/27/06/71/1072270671.db2.gz CWYSSWAQSLHKRX-GXTWGEPZSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Br)cc3)C2)CCO1 ZINC000561250974 1072270658 /nfs/dbraw/zinc/27/06/58/1072270658.db2.gz CWYSSWAQSLHKRX-JSGCOSHPSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Br)cc3)C2)CCO1 ZINC000561250975 1072270860 /nfs/dbraw/zinc/27/08/60/1072270860.db2.gz CWYSSWAQSLHKRX-OCCSQVGLSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Br)cc3)C2)CCO1 ZINC000561250976 1072270787 /nfs/dbraw/zinc/27/07/87/1072270787.db2.gz CWYSSWAQSLHKRX-TZMCWYRMSA-N 0 0 425.283 -0.143 20 0 IBADRN O=C1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1Cc1ccccc1 ZINC000561257578 1072270738 /nfs/dbraw/zinc/27/07/38/1072270738.db2.gz DQZCFGBNRBQDCX-AEVYOOLXSA-N 0 0 426.433 -0.756 20 0 IBADRN CC[C@@H]1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)[C@@H](CC)CN1C(C)=O ZINC000561262893 1072270702 /nfs/dbraw/zinc/27/07/02/1072270702.db2.gz YNUJUAAOGCGRBZ-COXIEXTQSA-N 0 0 434.497 -0.337 20 0 IBADRN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000561262895 1072271420 /nfs/dbraw/zinc/27/14/20/1072271420.db2.gz YNUJUAAOGCGRBZ-KCZHWDGQSA-N 0 0 434.497 -0.337 20 0 IBADRN CC[C@H]1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)[C@@H](CC)CN1C(C)=O ZINC000561262897 1072271390 /nfs/dbraw/zinc/27/13/90/1072271390.db2.gz YNUJUAAOGCGRBZ-WPWKUSFZSA-N 0 0 434.497 -0.337 20 0 IBADRN CC[C@@H]1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)[C@H](CC)CN1C(C)=O ZINC000561262898 1072271291 /nfs/dbraw/zinc/27/12/91/1072271291.db2.gz YNUJUAAOGCGRBZ-WVFLMPAWSA-N 0 0 434.497 -0.337 20 0 IBADRN O=C1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1c1cccc(F)c1 ZINC000561265887 1072271498 /nfs/dbraw/zinc/27/14/98/1072271498.db2.gz JCJFVRDJROTCOY-AEVYOOLXSA-N 0 0 444.423 -0.570 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@H]2CCCCS2(=O)=O)c(S(N)(=O)=O)c1 ZINC000561268077 1072271435 /nfs/dbraw/zinc/27/14/35/1072271435.db2.gz AIXQAZIQQHWMPY-GFCCVEGCSA-N 0 0 425.554 -0.037 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@@H]2CCCCS2(=O)=O)c(S(N)(=O)=O)c1 ZINC000561268078 1072271337 /nfs/dbraw/zinc/27/13/37/1072271337.db2.gz AIXQAZIQQHWMPY-LBPRGKRZSA-N 0 0 425.554 -0.037 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(CC2CC2)C[C@H]2CN(C)CCO2)CC1 ZINC000561269860 1072271212 /nfs/dbraw/zinc/27/12/12/1072271212.db2.gz BAVMYEVHGHCETM-GOSISDBHSA-N 0 0 444.598 -0.124 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(CC2CC2)C[C@@H]2CN(C)CCO2)CC1 ZINC000561269861 1072271187 /nfs/dbraw/zinc/27/11/87/1072271187.db2.gz BAVMYEVHGHCETM-SFHVURJKSA-N 0 0 444.598 -0.124 20 0 IBADRN C[C@@H]1CC(=O)N[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1 ZINC000561271501 1072271234 /nfs/dbraw/zinc/27/12/34/1072271234.db2.gz CQZGQMHGUZQQIL-WFASDCNBSA-N 0 0 436.490 -0.235 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)n1C ZINC000561272463 1072271273 /nfs/dbraw/zinc/27/12/73/1072271273.db2.gz IFPVTLXLYVCWBI-UHFFFAOYSA-N 0 0 441.536 -0.194 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C[C@@H](C)O1 ZINC000561274839 1072271404 /nfs/dbraw/zinc/27/14/04/1072271404.db2.gz QBVBZLFGSWUYCQ-PBHICJAKSA-N 0 0 433.509 -0.338 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C[C@@H](C)O1 ZINC000561274844 1072271264 /nfs/dbraw/zinc/27/12/64/1072271264.db2.gz QBVBZLFGSWUYCQ-RHSMWYFYSA-N 0 0 433.509 -0.338 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C[C@H](C)O1 ZINC000561274845 1072271486 /nfs/dbraw/zinc/27/14/86/1072271486.db2.gz QBVBZLFGSWUYCQ-WMLDXEAASA-N 0 0 433.509 -0.338 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C[C@H](C)O1 ZINC000561274847 1072271472 /nfs/dbraw/zinc/27/14/72/1072271472.db2.gz QBVBZLFGSWUYCQ-YOEHRIQHSA-N 0 0 433.509 -0.338 20 0 IBADRN Cc1ncsc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000561275111 1072271245 /nfs/dbraw/zinc/27/12/45/1072271245.db2.gz KGHGXXYJRYWPFG-UHFFFAOYSA-N 0 0 441.491 -0.707 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1=O ZINC000561275832 1072272121 /nfs/dbraw/zinc/27/21/21/1072272121.db2.gz ABIFZQLWRININI-UHFFFAOYSA-N 0 0 444.536 -0.274 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC000561276660 1072271877 /nfs/dbraw/zinc/27/18/77/1072271877.db2.gz WGSMDOWJVLDERK-UHFFFAOYSA-N 0 0 446.552 -0.374 20 0 IBADRN COc1cc([C@@H](C)NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc(OC)c1OC ZINC000561277222 1072272134 /nfs/dbraw/zinc/27/21/34/1072272134.db2.gz YEOFDHZSOUNHNZ-GFCCVEGCSA-N 0 0 429.495 -0.007 20 0 IBADRN COc1cc([C@H](C)NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc(OC)c1OC ZINC000561277223 1072271862 /nfs/dbraw/zinc/27/18/62/1072271862.db2.gz YEOFDHZSOUNHNZ-LBPRGKRZSA-N 0 0 429.495 -0.007 20 0 IBADRN COCCOc1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000561277930 1072272157 /nfs/dbraw/zinc/27/21/57/1072272157.db2.gz JKXLUBBAMFPGAC-UHFFFAOYSA-N 0 0 432.477 -0.723 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCO[C@@]2(CCSC2)C1 ZINC000561278010 1072272689 /nfs/dbraw/zinc/27/26/89/1072272689.db2.gz MLHIUVJKWKKEPK-JXFKEZNVSA-N 0 0 434.566 -0.114 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCO[C@]2(CCSC2)C1 ZINC000561278011 1072272684 /nfs/dbraw/zinc/27/26/84/1072272684.db2.gz MLHIUVJKWKKEPK-OXJNMPFZSA-N 0 0 434.566 -0.114 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCO[C@]2(CCSC2)C1 ZINC000561278012 1072272514 /nfs/dbraw/zinc/27/25/14/1072272514.db2.gz MLHIUVJKWKKEPK-OXQOHEQNSA-N 0 0 434.566 -0.114 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCO[C@@]2(CCSC2)C1 ZINC000561278013 1072271797 /nfs/dbraw/zinc/27/17/97/1072271797.db2.gz MLHIUVJKWKKEPK-UZLBHIALSA-N 0 0 434.566 -0.114 20 0 IBADRN Cn1cnc(NC(=O)C(=O)NCC(=O)Nc2ccc(I)cc2)n1 ZINC000561278192 1073306836 /nfs/dbraw/zinc/30/68/36/1073306836.db2.gz SNTLDSMVXISNJW-UHFFFAOYSA-N 0 0 428.190 0.113 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1c(C(=O)OC)cnn1C)C2 ZINC000561278320 1072272706 /nfs/dbraw/zinc/27/27/06/1072272706.db2.gz XPRSEQYTLWKQAI-UHFFFAOYSA-N 0 0 435.462 -0.362 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCc3ccnn3C)CC2)ncc1Br ZINC000561278460 1072272571 /nfs/dbraw/zinc/27/25/71/1072272571.db2.gz CWHGVWHGWNTJCG-UHFFFAOYSA-N 0 0 438.286 -0.054 20 0 IBADRN C[C@H](C(=O)NCCN(CCN1CCCCC1)C(=O)[C@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000561287775 1072272414 /nfs/dbraw/zinc/27/24/14/1072272414.db2.gz RURAMCXWSISRKL-CABCVRRESA-N 0 0 439.600 -0.717 20 0 IBADRN C[C@@H](C(=O)NCCN(CCN1CCCCC1)C(=O)[C@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000561287776 1072272701 /nfs/dbraw/zinc/27/27/01/1072272701.db2.gz RURAMCXWSISRKL-GJZGRUSLSA-N 0 0 439.600 -0.717 20 0 IBADRN C[C@H](C(=O)NCCN(CCN1CCCCC1)C(=O)[C@@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000561287777 1072272693 /nfs/dbraw/zinc/27/26/93/1072272693.db2.gz RURAMCXWSISRKL-HUUCEWRRSA-N 0 0 439.600 -0.717 20 0 IBADRN C[C@H](C(=O)N(CCNC(=O)[C@H](C)S(C)(=O)=O)CCN1CCCCC1)S(C)(=O)=O ZINC000561287778 1072272502 /nfs/dbraw/zinc/27/25/02/1072272502.db2.gz RURAMCXWSISRKL-LSDHHAIUSA-N 0 0 439.600 -0.717 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccnc(OC(F)F)c1)C2 ZINC000561289450 1072273126 /nfs/dbraw/zinc/27/31/26/1072273126.db2.gz GFTWRCVWKJCGDB-UHFFFAOYSA-N 0 0 425.396 -0.145 20 0 IBADRN COCCn1cc2c(n1)[C@@H](Nc1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)CCC2 ZINC000561289993 1072273140 /nfs/dbraw/zinc/27/31/40/1072273140.db2.gz PTWBDNYVZMDAQH-JDLZUTDUSA-N 0 0 445.480 -0.230 20 0 IBADRN COCCn1cc2c(n1)[C@H](Nc1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)CCC2 ZINC000561290004 1072273004 /nfs/dbraw/zinc/27/30/04/1072273004.db2.gz PTWBDNYVZMDAQH-SXOQPLQPSA-N 0 0 445.480 -0.230 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3ccc(F)cn3)CC2)[C@H](O)[C@@H]1O ZINC000561292311 1072273131 /nfs/dbraw/zinc/27/31/31/1072273131.db2.gz XNXXWBJGRFWZFU-BGIGGGFGSA-N 0 0 431.428 -0.702 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N(CCO)Cc2cc(OC)ccc2OC)CC1 ZINC000561297553 1072273025 /nfs/dbraw/zinc/27/30/25/1072273025.db2.gz AHUNREXCVKOVEU-UHFFFAOYSA-N 0 0 437.493 -0.222 20 0 IBADRN CCOc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1C ZINC000561297578 1072273119 /nfs/dbraw/zinc/27/31/19/1072273119.db2.gz BSCJCUVPEQKDTI-UHFFFAOYSA-N 0 0 430.465 -0.179 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4nccn4C)CC3)C2=O)n(C)n1 ZINC000561299986 1072273143 /nfs/dbraw/zinc/27/31/43/1072273143.db2.gz QUWYIDSGZRMSQI-INIZCTEOSA-N 0 0 442.524 -0.582 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4nccn4C)CC3)C2=O)n(C)n1 ZINC000561299991 1072273109 /nfs/dbraw/zinc/27/31/09/1072273109.db2.gz QUWYIDSGZRMSQI-MRXNPFEDSA-N 0 0 442.524 -0.582 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1cc(S(=O)(=O)N3CCCC3)ccn1)C2 ZINC000561306076 1072273068 /nfs/dbraw/zinc/27/30/68/1072273068.db2.gz XVOKHFDOQPUBPG-UHFFFAOYSA-N 0 0 435.510 -0.667 20 0 IBADRN CCS(=O)(=O)c1cccc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000561308045 1072273057 /nfs/dbraw/zinc/27/30/57/1072273057.db2.gz JKZCASQHFPUGEV-NVQRDWNXSA-N 0 0 449.489 -0.157 20 0 IBADRN O=C1CCN1c1cccc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000561309083 1072273150 /nfs/dbraw/zinc/27/31/50/1072273150.db2.gz RNKBPYKXKCQVPI-AEVYOOLXSA-N 0 0 426.433 -0.213 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H](CO)Cc2cnn(C)c2)c1 ZINC000561309680 1072273516 /nfs/dbraw/zinc/27/35/16/1072273516.db2.gz OYVGCDREYHBGCL-HNNXBMFYSA-N 0 0 437.522 -0.632 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H](CO)Cc2cnn(C)c2)c1 ZINC000561309681 1072273410 /nfs/dbraw/zinc/27/34/10/1072273410.db2.gz OYVGCDREYHBGCL-OAHLLOKOSA-N 0 0 437.522 -0.632 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000561312886 1072273539 /nfs/dbraw/zinc/27/35/39/1072273539.db2.gz YDUVBPCWFMHUNE-UHFFFAOYSA-N 0 0 448.495 -0.251 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)CC1 ZINC000561313075 1072273391 /nfs/dbraw/zinc/27/33/91/1072273391.db2.gz CBYSYOHVXTUNGL-KRWDZBQOSA-N 0 0 435.569 -0.094 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)CC1 ZINC000561313076 1072273577 /nfs/dbraw/zinc/27/35/77/1072273577.db2.gz CBYSYOHVXTUNGL-QGZVFWFLSA-N 0 0 435.569 -0.094 20 0 IBADRN O=C(Nc1n[nH]cc1Br)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000561316040 1073328493 /nfs/dbraw/zinc/32/84/93/1073328493.db2.gz VMTADPBYBLZPPX-UHFFFAOYSA-N 0 0 428.268 0.545 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)CNS(=O)(=O)c1cnn(C)c1)CC2 ZINC000561320604 1072273435 /nfs/dbraw/zinc/27/34/35/1072273435.db2.gz STYNDLIHXCAFQO-CYBMUJFWSA-N 0 0 437.526 -0.588 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)CNS(=O)(=O)c1cnn(C)c1)CC2 ZINC000561320609 1072273498 /nfs/dbraw/zinc/27/34/98/1072273498.db2.gz STYNDLIHXCAFQO-ZDUSSCGKSA-N 0 0 437.526 -0.588 20 0 IBADRN C[C@H](Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(=O)N1Cc2ccccc2C1 ZINC000561323041 1072273465 /nfs/dbraw/zinc/27/34/65/1072273465.db2.gz XMEKUPOTIRGSMV-DSCGFXDPSA-N 0 0 440.460 -0.219 20 0 IBADRN COC(=O)c1cn(CCNc2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)nn1 ZINC000561323057 1072273424 /nfs/dbraw/zinc/27/34/24/1072273424.db2.gz XUPIAUDJDJASKN-UHFFFAOYSA-N 0 0 432.484 -0.926 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1=O ZINC000561324823 1072273559 /nfs/dbraw/zinc/27/35/59/1072273559.db2.gz CWXIIIVTRRITIE-UHFFFAOYSA-N 0 0 429.521 -0.222 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1=O ZINC000561329001 1072273549 /nfs/dbraw/zinc/27/35/49/1072273549.db2.gz RFGRLPMOBOYBHK-GOSISDBHSA-N 0 0 429.521 -0.033 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1=O ZINC000561329005 1072273487 /nfs/dbraw/zinc/27/34/87/1072273487.db2.gz RFGRLPMOBOYBHK-SFHVURJKSA-N 0 0 429.521 -0.033 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC1(S(C)(=O)=O)CCCC1 ZINC000561331019 1072273476 /nfs/dbraw/zinc/27/34/76/1072273476.db2.gz NPOSDMLREVTFAU-GFCCVEGCSA-N 0 0 431.536 -0.074 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC1(S(C)(=O)=O)CCCC1 ZINC000561331021 1072273508 /nfs/dbraw/zinc/27/35/08/1072273508.db2.gz NPOSDMLREVTFAU-LBPRGKRZSA-N 0 0 431.536 -0.074 20 0 IBADRN CN(C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000561331750 1072273527 /nfs/dbraw/zinc/27/35/27/1072273527.db2.gz CINGWLHBWKVWJN-HNNXBMFYSA-N 0 0 431.536 -0.187 20 0 IBADRN CN(C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000561331751 1072273569 /nfs/dbraw/zinc/27/35/69/1072273569.db2.gz CINGWLHBWKVWJN-OAHLLOKOSA-N 0 0 431.536 -0.187 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC2)c1 ZINC000561333096 1072273449 /nfs/dbraw/zinc/27/34/49/1072273449.db2.gz PSSJXVFVFDDYLC-UHFFFAOYSA-N 0 0 432.568 -0.125 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000561334870 1072273586 /nfs/dbraw/zinc/27/35/86/1072273586.db2.gz HDFWEXNWJLMARB-HVXIXIKSSA-N 0 0 425.511 -0.071 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000561334871 1072273607 /nfs/dbraw/zinc/27/36/07/1072273607.db2.gz HDFWEXNWJLMARB-OGUJYPFXSA-N 0 0 425.511 -0.071 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000561334872 1072274099 /nfs/dbraw/zinc/27/40/99/1072274099.db2.gz HDFWEXNWJLMARB-VVWZAPMCSA-N 0 0 425.511 -0.071 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000561334873 1072273954 /nfs/dbraw/zinc/27/39/54/1072273954.db2.gz HDFWEXNWJLMARB-WAWRRZHBSA-N 0 0 425.511 -0.071 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000561339728 1072273848 /nfs/dbraw/zinc/27/38/48/1072273848.db2.gz FMQUUQKLIJBGOF-INIZCTEOSA-N 0 0 431.584 -0.122 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000561339729 1072274095 /nfs/dbraw/zinc/27/40/95/1072274095.db2.gz FMQUUQKLIJBGOF-MRXNPFEDSA-N 0 0 431.584 -0.122 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCCOCC(F)(F)C(F)F)[C@H](O)[C@@H]1O ZINC000561340423 1072273879 /nfs/dbraw/zinc/27/38/79/1072273879.db2.gz QKLRDRMXELKGBY-QYVSTXNMSA-N 0 0 425.339 -0.233 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCCc2nc(-c3ccccc3)n[nH]2)[C@H](O)[C@@H]1O ZINC000561341448 1072274005 /nfs/dbraw/zinc/27/40/05/1072274005.db2.gz YZQTYJMQLWZAIQ-VXHCAWKWSA-N 0 0 438.448 -0.123 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000561345911 1072273942 /nfs/dbraw/zinc/27/39/42/1072273942.db2.gz HWRFHMNMNBAHLN-GFCCVEGCSA-N 0 0 440.507 -0.358 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000561345915 1072274038 /nfs/dbraw/zinc/27/40/38/1072274038.db2.gz HWRFHMNMNBAHLN-LBPRGKRZSA-N 0 0 440.507 -0.358 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000561346149 1072274103 /nfs/dbraw/zinc/27/41/03/1072274103.db2.gz JVVNKQJQHIIFID-LLVKDONJSA-N 0 0 435.524 -0.105 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000561346150 1072273994 /nfs/dbraw/zinc/27/39/94/1072273994.db2.gz JVVNKQJQHIIFID-NSHDSACASA-N 0 0 435.524 -0.105 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000561346816 1072273864 /nfs/dbraw/zinc/27/38/64/1072273864.db2.gz OKMUTMOCTSSWGM-GFCCVEGCSA-N 0 0 429.524 -0.229 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c2ncccc12 ZINC000561346818 1072274045 /nfs/dbraw/zinc/27/40/45/1072274045.db2.gz OKMUTMOCTSSWGM-LBPRGKRZSA-N 0 0 429.524 -0.229 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000561348041 1072273964 /nfs/dbraw/zinc/27/39/64/1072273964.db2.gz VJGRAMMKHLWJNH-HNNXBMFYSA-N 0 0 431.536 -0.075 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000561348043 1072274444 /nfs/dbraw/zinc/27/44/44/1072274444.db2.gz VJGRAMMKHLWJNH-OAHLLOKOSA-N 0 0 431.536 -0.075 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000561348080 1072274633 /nfs/dbraw/zinc/27/46/33/1072274633.db2.gz LMUPMAOMTPIEFL-GOSISDBHSA-N 0 0 447.511 -0.288 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000561348087 1072274514 /nfs/dbraw/zinc/27/45/14/1072274514.db2.gz LMUPMAOMTPIEFL-SFHVURJKSA-N 0 0 447.511 -0.288 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1F ZINC000561351511 1072274671 /nfs/dbraw/zinc/27/46/71/1072274671.db2.gz KTLNCWXNUBCEOC-UHFFFAOYSA-N 0 0 428.442 -0.283 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC2CCN(C(C)=O)CC2)C1 ZINC000561352282 1072274550 /nfs/dbraw/zinc/27/45/50/1072274550.db2.gz PYLSAASVMJOLQE-KRWDZBQOSA-N 0 0 446.570 -0.740 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC2CCN(C(C)=O)CC2)C1 ZINC000561352283 1072274655 /nfs/dbraw/zinc/27/46/55/1072274655.db2.gz PYLSAASVMJOLQE-QGZVFWFLSA-N 0 0 446.570 -0.740 20 0 IBADRN COc1cc(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc(S(C)(=O)=O)c1 ZINC000561352798 1071155957 /nfs/dbraw/zinc/15/59/57/1071155957.db2.gz FOQLPBCPINWDLC-UHFFFAOYSA-N 0 0 435.524 -0.129 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000561353414 1072274592 /nfs/dbraw/zinc/27/45/92/1072274592.db2.gz OWCLOXVIZNUAQC-HNNXBMFYSA-N 0 0 440.522 -0.645 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000561353415 1072274580 /nfs/dbraw/zinc/27/45/80/1072274580.db2.gz OWCLOXVIZNUAQC-OAHLLOKOSA-N 0 0 440.522 -0.645 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)C2)ncn1 ZINC000561353840 1072274417 /nfs/dbraw/zinc/27/44/17/1072274417.db2.gz DBCPRDQIZPIYRU-MSOLQXFVSA-N 0 0 444.536 -0.026 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)C2)ncn1 ZINC000561353842 1072274565 /nfs/dbraw/zinc/27/45/65/1072274565.db2.gz DBCPRDQIZPIYRU-QZTJIDSGSA-N 0 0 444.536 -0.026 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)C2)ncn1 ZINC000561353844 1072274407 /nfs/dbraw/zinc/27/44/07/1072274407.db2.gz DBCPRDQIZPIYRU-ROUUACIJSA-N 0 0 444.536 -0.026 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)C2)ncn1 ZINC000561353846 1072274374 /nfs/dbraw/zinc/27/43/74/1072274374.db2.gz DBCPRDQIZPIYRU-ZWKOTPCHSA-N 0 0 444.536 -0.026 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OCCC(=O)OC)cc1 ZINC000561354863 1072274430 /nfs/dbraw/zinc/27/44/30/1072274430.db2.gz QYNOZRDXRLGANI-HNNXBMFYSA-N 0 0 427.479 -0.356 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OCCC(=O)OC)cc1 ZINC000561354864 1072274503 /nfs/dbraw/zinc/27/45/03/1072274503.db2.gz QYNOZRDXRLGANI-OAHLLOKOSA-N 0 0 427.479 -0.356 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)C1 ZINC000561358703 1072275246 /nfs/dbraw/zinc/27/52/46/1072275246.db2.gz FPJORDISNOVCTJ-HNNXBMFYSA-N 0 0 430.552 -0.188 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)C1 ZINC000561358704 1072275198 /nfs/dbraw/zinc/27/51/98/1072275198.db2.gz FPJORDISNOVCTJ-OAHLLOKOSA-N 0 0 430.552 -0.188 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2nnnn2-c2ccccc2)ccc1F ZINC000561361586 1072274956 /nfs/dbraw/zinc/27/49/56/1072274956.db2.gz IVPKBMOJUWJEAR-UHFFFAOYSA-N 0 0 433.425 -0.036 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)C1 ZINC000561366849 1072275714 /nfs/dbraw/zinc/27/57/14/1072275714.db2.gz JQGPNNBAVJXVRT-BRWVUGGUSA-N 0 0 448.586 -0.889 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)C1 ZINC000561366850 1072275719 /nfs/dbraw/zinc/27/57/19/1072275719.db2.gz JQGPNNBAVJXVRT-GVDBMIGSSA-N 0 0 448.586 -0.889 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)C1 ZINC000561366851 1072275695 /nfs/dbraw/zinc/27/56/95/1072275695.db2.gz JQGPNNBAVJXVRT-IXDOHACOSA-N 0 0 448.586 -0.889 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)C1 ZINC000561366852 1072275812 /nfs/dbraw/zinc/27/58/12/1072275812.db2.gz JQGPNNBAVJXVRT-YESZJQIVSA-N 0 0 448.586 -0.889 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)s1 ZINC000561366917 1072275707 /nfs/dbraw/zinc/27/57/07/1072275707.db2.gz JPYSVDAMBGDIOV-KBPBESRZSA-N 0 0 432.568 -0.324 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)s1 ZINC000561366918 1072275683 /nfs/dbraw/zinc/27/56/83/1072275683.db2.gz JPYSVDAMBGDIOV-KGLIPLIRSA-N 0 0 432.568 -0.324 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)s1 ZINC000561366919 1072276372 /nfs/dbraw/zinc/27/63/72/1072276372.db2.gz JPYSVDAMBGDIOV-UONOGXRCSA-N 0 0 432.568 -0.324 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)s1 ZINC000561366920 1072276139 /nfs/dbraw/zinc/27/61/39/1072276139.db2.gz JPYSVDAMBGDIOV-ZIAGYGMSSA-N 0 0 432.568 -0.324 20 0 IBADRN O=C(NCCCN1CCCCC1=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000561367580 1072276215 /nfs/dbraw/zinc/27/62/15/1072276215.db2.gz IJKVLSYKNUSNOH-UHFFFAOYSA-N 0 0 437.522 -0.567 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCN2CCCCC2=O)C1 ZINC000561367622 1072276256 /nfs/dbraw/zinc/27/62/56/1072276256.db2.gz JHAQLQPFDPKCMS-INIZCTEOSA-N 0 0 446.570 -0.596 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCCCC2=O)C1 ZINC000561367635 1072276400 /nfs/dbraw/zinc/27/64/00/1072276400.db2.gz JHAQLQPFDPKCMS-MRXNPFEDSA-N 0 0 446.570 -0.596 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1)[C@H](C)N1CCOCC1 ZINC000561368006 1072276270 /nfs/dbraw/zinc/27/62/70/1072276270.db2.gz NTWAIVYMNTWDRU-MSOLQXFVSA-N 0 0 437.585 -0.386 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1)[C@@H](C)N1CCOCC1 ZINC000561368009 1072276164 /nfs/dbraw/zinc/27/61/64/1072276164.db2.gz NTWAIVYMNTWDRU-QZTJIDSGSA-N 0 0 437.585 -0.386 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1)[C@H](C)N1CCOCC1 ZINC000561368010 1072276117 /nfs/dbraw/zinc/27/61/17/1072276117.db2.gz NTWAIVYMNTWDRU-ROUUACIJSA-N 0 0 437.585 -0.386 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1)N1CCOCC1 ZINC000561368011 1072276310 /nfs/dbraw/zinc/27/63/10/1072276310.db2.gz NTWAIVYMNTWDRU-ZWKOTPCHSA-N 0 0 437.585 -0.386 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000561368180 1072276381 /nfs/dbraw/zinc/27/63/81/1072276381.db2.gz XUYVVZYTTXUDIS-DLBZAZTESA-N 0 0 440.566 -0.043 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000561368181 1072276150 /nfs/dbraw/zinc/27/61/50/1072276150.db2.gz XUYVVZYTTXUDIS-IAGOWNOFSA-N 0 0 440.566 -0.043 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000561368182 1072276390 /nfs/dbraw/zinc/27/63/90/1072276390.db2.gz XUYVVZYTTXUDIS-IRXDYDNUSA-N 0 0 440.566 -0.043 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000561368183 1072276227 /nfs/dbraw/zinc/27/62/27/1072276227.db2.gz XUYVVZYTTXUDIS-SJORKVTESA-N 0 0 440.566 -0.043 20 0 IBADRN Cc1cnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)s1 ZINC000561369833 1072276241 /nfs/dbraw/zinc/27/62/41/1072276241.db2.gz KFWSCVZSXJGGIP-UHFFFAOYSA-N 0 0 441.491 -0.707 20 0 IBADRN CCc1c(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000561371078 1072276298 /nfs/dbraw/zinc/27/62/98/1072276298.db2.gz CQGMDIROUXLUNT-UHFFFAOYSA-N 0 0 428.449 -0.555 20 0 IBADRN CC[C@H](NC(=O)C(=O)NC1CCN(c2cc(C)nc(C)n2)CC1)C(=O)N1CCOCC1 ZINC000561372685 1072276734 /nfs/dbraw/zinc/27/67/34/1072276734.db2.gz OHDAEHYMJHHIGW-KRWDZBQOSA-N 0 0 432.525 -0.068 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NC1CCN(c2cc(C)nc(C)n2)CC1)C(=O)N1CCOCC1 ZINC000561372686 1072276941 /nfs/dbraw/zinc/27/69/41/1072276941.db2.gz OHDAEHYMJHHIGW-QGZVFWFLSA-N 0 0 432.525 -0.068 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)c1ccccc1 ZINC000561373001 1072276808 /nfs/dbraw/zinc/27/68/08/1072276808.db2.gz WZOLYJCTAWWGBE-KRWDZBQOSA-N 0 0 438.488 -0.177 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)c1ccccc1 ZINC000561373002 1072276930 /nfs/dbraw/zinc/27/69/30/1072276930.db2.gz WZOLYJCTAWWGBE-QGZVFWFLSA-N 0 0 438.488 -0.177 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NC1CCC2(CC1)NC(=O)NC2=O ZINC000561373291 1072276185 /nfs/dbraw/zinc/27/61/85/1072276185.db2.gz WHMOXMCBIFLOMT-UHFFFAOYSA-N 0 0 425.445 -0.305 20 0 IBADRN COc1nc(N[C@H](C)C2(S(C)(=O)=O)CC2)nc(N[C@H](C)C2(S(C)(=O)=O)CC2)n1 ZINC000561382156 1072276856 /nfs/dbraw/zinc/27/68/56/1072276856.db2.gz QEXUEFVDWVGNOP-GHMZBOCLSA-N 0 0 433.556 -0.521 20 0 IBADRN COc1nc(N[C@H](C)C2(S(C)(=O)=O)CC2)nc(N[C@@H](C)C2(S(C)(=O)=O)CC2)n1 ZINC000561382164 1072276799 /nfs/dbraw/zinc/27/67/99/1072276799.db2.gz QEXUEFVDWVGNOP-PHIMTYICSA-N 0 0 433.556 -0.521 20 0 IBADRN COc1nc(N[C@@H](C)C2(S(C)(=O)=O)CC2)nc(N[C@@H](C)C2(S(C)(=O)=O)CC2)n1 ZINC000561382165 1072276760 /nfs/dbraw/zinc/27/67/60/1072276760.db2.gz QEXUEFVDWVGNOP-QWRGUYRKSA-N 0 0 433.556 -0.521 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000561382570 1072276721 /nfs/dbraw/zinc/27/67/21/1072276721.db2.gz QEJYZPYZKAZBTG-FCGDIQPGSA-N 0 0 438.569 -0.055 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000561382574 1072276769 /nfs/dbraw/zinc/27/67/69/1072276769.db2.gz QEJYZPYZKAZBTG-HCXYKTFWSA-N 0 0 438.569 -0.055 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000561382576 1072276935 /nfs/dbraw/zinc/27/69/35/1072276935.db2.gz QEJYZPYZKAZBTG-RDGPPVDQSA-N 0 0 438.569 -0.055 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000561382578 1072276822 /nfs/dbraw/zinc/27/68/22/1072276822.db2.gz QEJYZPYZKAZBTG-ZSYWTGECSA-N 0 0 438.569 -0.055 20 0 IBADRN CC(C)(C)Oc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000561383488 1072276897 /nfs/dbraw/zinc/27/68/97/1072276897.db2.gz NFOQZTZQWYSLLM-UHFFFAOYSA-N 0 0 447.536 -0.122 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000561392970 1072276910 /nfs/dbraw/zinc/27/69/10/1072276910.db2.gz BEKPTCBOWOAKPA-UHFFFAOYSA-N 0 0 449.942 -0.023 20 0 IBADRN CN(CCC(N)=O)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000561393739 1072276868 /nfs/dbraw/zinc/27/68/68/1072276868.db2.gz OCMVEPYCPHKZLQ-LLVKDONJSA-N 0 0 438.428 -0.048 20 0 IBADRN CN(CCC(N)=O)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000561393742 1072277256 /nfs/dbraw/zinc/27/72/56/1072277256.db2.gz OCMVEPYCPHKZLQ-NSHDSACASA-N 0 0 438.428 -0.048 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CC2)CC1 ZINC000561398624 1072277242 /nfs/dbraw/zinc/27/72/42/1072277242.db2.gz ZVGXTXJXNAETFM-HHHXNRCGSA-N 0 0 436.600 -0.475 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCC2([S@](C)=O)CC2)CC1 ZINC000561398626 1072277305 /nfs/dbraw/zinc/27/73/05/1072277305.db2.gz ZVGXTXJXNAETFM-MHZLTWQESA-N 0 0 436.600 -0.475 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCCN1CCOCC1 ZINC000561404197 1072277456 /nfs/dbraw/zinc/27/74/56/1072277456.db2.gz QVAWEYLWWSPJLU-UHFFFAOYSA-N 0 0 447.536 -0.140 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)Nc2ccn(CC(N)=O)n2)ccc1C ZINC000561404701 1073335473 /nfs/dbraw/zinc/33/54/73/1073335473.db2.gz FYFVTUHAQNHAIC-UHFFFAOYSA-N 0 0 436.494 0.285 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccc(C)cc1 ZINC000561406454 1072277341 /nfs/dbraw/zinc/27/73/41/1072277341.db2.gz SYQMGJOOUWAATH-UHFFFAOYSA-N 0 0 448.548 -0.161 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3ccc4[nH]c(=O)cnc4c3)CC2)no1 ZINC000561409695 1072277405 /nfs/dbraw/zinc/27/74/05/1072277405.db2.gz GAELZFQWRITUDK-UHFFFAOYSA-N 0 0 432.462 0.165 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCC2(OCCO)CCOCC2)cc1OC ZINC000561411399 1072277151 /nfs/dbraw/zinc/27/71/51/1072277151.db2.gz JUYHVAOQFUWEEV-UHFFFAOYSA-N 0 0 432.495 -0.344 20 0 IBADRN CN(CCNC(=O)CNS(=O)(=O)c1cccnc1)S(=O)(=O)c1ccc(F)cc1 ZINC000561412103 1072277214 /nfs/dbraw/zinc/27/72/14/1072277214.db2.gz XEMVINJCNNIHBJ-UHFFFAOYSA-N 0 0 430.483 -0.064 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(Cc2ccsc2)CC1 ZINC000561412430 1072277187 /nfs/dbraw/zinc/27/71/87/1072277187.db2.gz GEGMLCZHNULHNK-UHFFFAOYSA-N 0 0 446.620 -0.113 20 0 IBADRN CN(Cc1ccc2c(c1)OCCO2)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000561412831 1072277194 /nfs/dbraw/zinc/27/71/94/1072277194.db2.gz FMIAOSHOOCIVFB-UHFFFAOYSA-N 0 0 443.547 -0.089 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N(CCCO)Cc1ccccc1 ZINC000561413387 1072278019 /nfs/dbraw/zinc/27/80/19/1072278019.db2.gz ZNHTVFOJIRCJPD-UHFFFAOYSA-N 0 0 429.564 -0.108 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000561413921 1072277168 /nfs/dbraw/zinc/27/71/68/1072277168.db2.gz LFVSUTLSQHVJLM-INIZCTEOSA-N 0 0 429.564 -0.145 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000561413923 1072277994 /nfs/dbraw/zinc/27/79/94/1072277994.db2.gz LFVSUTLSQHVJLM-MRXNPFEDSA-N 0 0 429.564 -0.145 20 0 IBADRN CCOC(=O)[C@]1(C(C)C)CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000561414258 1072277445 /nfs/dbraw/zinc/27/74/45/1072277445.db2.gz SUMGOPDRUHOWOX-GOSISDBHSA-N 0 0 449.595 -0.081 20 0 IBADRN CCOC(=O)[C@@]1(C(C)C)CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000561414259 1072277368 /nfs/dbraw/zinc/27/73/68/1072277368.db2.gz SUMGOPDRUHOWOX-SFHVURJKSA-N 0 0 449.595 -0.081 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N(CCCO)Cc1ccccn1 ZINC000561414394 1072277936 /nfs/dbraw/zinc/27/79/36/1072277936.db2.gz ZNVUTRNMQQCFBW-UHFFFAOYSA-N 0 0 430.552 -0.713 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000561414422 1072277948 /nfs/dbraw/zinc/27/79/48/1072277948.db2.gz AQWZZYFHJSOLSX-UHFFFAOYSA-N 0 0 433.509 -0.510 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)CCC2 ZINC000561414491 1072277986 /nfs/dbraw/zinc/27/79/86/1072277986.db2.gz DTZQGBHQCWSREA-AWEZNQCLSA-N 0 0 429.568 -0.320 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)CCC2 ZINC000561414492 1072277855 /nfs/dbraw/zinc/27/78/55/1072277855.db2.gz DTZQGBHQCWSREA-CQSZACIVSA-N 0 0 429.568 -0.320 20 0 IBADRN CC(C)(C)C(=O)N1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000561415002 1072278033 /nfs/dbraw/zinc/27/80/33/1072278033.db2.gz JPSJXJPXDJODDA-UHFFFAOYSA-N 0 0 434.584 -0.802 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C2CCN(c3ccc4nncn4n3)CC2)C1)C(N)=O ZINC000561416790 1072278044 /nfs/dbraw/zinc/27/80/44/1072278044.db2.gz JJRFLXWCEWUJHI-UHFFFAOYSA-N 0 0 442.524 -0.041 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@@H]3CCCC[C@H]3NS(C)(=O)=O)nc2)CC1 ZINC000561417344 1072277964 /nfs/dbraw/zinc/27/79/64/1072277964.db2.gz JCPNTEJDTBNLLY-DOTOQJQBSA-N 0 0 445.611 0.538 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@H]3CCCC[C@H]3NS(C)(=O)=O)nc2)CC1 ZINC000561417351 1072277955 /nfs/dbraw/zinc/27/79/55/1072277955.db2.gz JCPNTEJDTBNLLY-NVXWUHKLSA-N 0 0 445.611 0.538 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@@H]3CCCC[C@@H]3NS(C)(=O)=O)nc2)CC1 ZINC000561417353 1072277926 /nfs/dbraw/zinc/27/79/26/1072277926.db2.gz JCPNTEJDTBNLLY-RDJZCZTQSA-N 0 0 445.611 0.538 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@H]3CCCC[C@@H]3NS(C)(=O)=O)nc2)CC1 ZINC000561417354 1073312490 /nfs/dbraw/zinc/31/24/90/1073312490.db2.gz JCPNTEJDTBNLLY-WBVHZDCISA-N 0 0 445.611 0.538 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cccc([C@]3(C)NC(=O)NC3=O)c2)C1)C(N)=O ZINC000561417753 1072277815 /nfs/dbraw/zinc/27/78/15/1072277815.db2.gz RWRAMMYZJJPUAC-FQEVSTJZSA-N 0 0 429.477 -0.027 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cccc([C@@]3(C)NC(=O)NC3=O)c2)C1)C(N)=O ZINC000561417756 1072278007 /nfs/dbraw/zinc/27/80/07/1072278007.db2.gz RWRAMMYZJJPUAC-HXUWFJFHSA-N 0 0 429.477 -0.027 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@H]2CCC(=O)N(C)[C@@H]2c2cnn(C)c2)C1)C(N)=O ZINC000561417772 1072277884 /nfs/dbraw/zinc/27/78/84/1072277884.db2.gz NIHXBUVRDOANAW-DOTOQJQBSA-N 0 0 432.525 -0.052 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@@H]2CCC(=O)N(C)[C@@H]2c2cnn(C)c2)C1)C(N)=O ZINC000561417773 1072278486 /nfs/dbraw/zinc/27/84/86/1072278486.db2.gz NIHXBUVRDOANAW-NVXWUHKLSA-N 0 0 432.525 -0.052 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@H]2CCC(=O)N(C)[C@H]2c2cnn(C)c2)C1)C(N)=O ZINC000561417775 1072278548 /nfs/dbraw/zinc/27/85/48/1072278548.db2.gz NIHXBUVRDOANAW-RDJZCZTQSA-N 0 0 432.525 -0.052 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@@H]2CCC(=O)N(C)[C@H]2c2cnn(C)c2)C1)C(N)=O ZINC000561417777 1072278534 /nfs/dbraw/zinc/27/85/34/1072278534.db2.gz NIHXBUVRDOANAW-WBVHZDCISA-N 0 0 432.525 -0.052 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)C1)C(N)=O ZINC000561419397 1072278430 /nfs/dbraw/zinc/27/84/30/1072278430.db2.gz IWQQDAWBBSTWIC-HNNXBMFYSA-N 0 0 446.508 -0.204 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)C1)C(N)=O ZINC000561419398 1072278499 /nfs/dbraw/zinc/27/84/99/1072278499.db2.gz IWQQDAWBBSTWIC-OAHLLOKOSA-N 0 0 446.508 -0.204 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CN(C)S(=O)(=O)c2cccnc2)C1)C(N)=O ZINC000561419799 1072278480 /nfs/dbraw/zinc/27/84/80/1072278480.db2.gz RHBFPTIRZTVECU-UHFFFAOYSA-N 0 0 425.511 -0.679 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CSCC(=O)Nc2cc(C)on2)C1)C(N)=O ZINC000561419925 1072278472 /nfs/dbraw/zinc/27/84/72/1072278472.db2.gz VMFRVLNVAHSCDB-UHFFFAOYSA-N 0 0 425.511 0.273 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cc(OC)c(S(N)(=O)=O)s2)C1)C(N)=O ZINC000561420576 1072278463 /nfs/dbraw/zinc/27/84/63/1072278463.db2.gz IJGQOWIABJFCOV-UHFFFAOYSA-N 0 0 432.524 -0.364 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)NC1CCC2(CC1)NC(=O)NC2=O ZINC000561420675 1072278410 /nfs/dbraw/zinc/27/84/10/1072278410.db2.gz DHDXGYTWUYDGHO-UHFFFAOYSA-N 0 0 430.513 -0.191 20 0 IBADRN CCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC000561422573 1072278491 /nfs/dbraw/zinc/27/84/91/1072278491.db2.gz VKKSOIZIVFETOP-UHFFFAOYSA-N 0 0 436.484 -0.156 20 0 IBADRN CCOc1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000561422798 1072278509 /nfs/dbraw/zinc/27/85/09/1072278509.db2.gz NPUUXSJWRINMGR-UHFFFAOYSA-N 0 0 448.455 -0.671 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)C1 ZINC000561433868 1072278396 /nfs/dbraw/zinc/27/83/96/1072278396.db2.gz BDXCJBPMVRFLMX-OAHLLOKOSA-N 0 0 446.599 -0.300 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](Nc2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)C1 ZINC000561434937 1072278528 /nfs/dbraw/zinc/27/85/28/1072278528.db2.gz VJNHOEDHPRPWRE-LLVKDONJSA-N 0 0 440.569 -0.580 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](Nc2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)C1 ZINC000561435278 1072278521 /nfs/dbraw/zinc/27/85/21/1072278521.db2.gz SHPYHAUHSSJUBZ-OAHLLOKOSA-N 0 0 445.567 -0.365 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000561441321 1072278838 /nfs/dbraw/zinc/27/88/38/1072278838.db2.gz SKFSLKOVJMJBFT-UHFFFAOYSA-N 0 0 444.579 -0.128 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@@H]3CCCCN3S(C)(=O)=O)nc2)CC1 ZINC000561448214 1072279363 /nfs/dbraw/zinc/27/93/63/1072279363.db2.gz UZIUZPYIVXQHOA-HNNXBMFYSA-N 0 0 431.584 0.244 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC[C@H]3CCCCN3S(C)(=O)=O)nc2)CC1 ZINC000561448215 1072278896 /nfs/dbraw/zinc/27/88/96/1072278896.db2.gz UZIUZPYIVXQHOA-OAHLLOKOSA-N 0 0 431.584 0.244 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCC(=O)OC(C)(C)C)CC1 ZINC000561450760 1072279277 /nfs/dbraw/zinc/27/92/77/1072279277.db2.gz SWBYYRYDZNOKDY-HNNXBMFYSA-N 0 0 426.514 -0.774 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCC(=O)OC(C)(C)C)CC1 ZINC000561450761 1072278806 /nfs/dbraw/zinc/27/88/06/1072278806.db2.gz SWBYYRYDZNOKDY-OAHLLOKOSA-N 0 0 426.514 -0.774 20 0 IBADRN CC(C)(C)OC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000561453449 1072279065 /nfs/dbraw/zinc/27/90/65/1072279065.db2.gz WDWNMHXSOFHBPM-UHFFFAOYSA-N 0 0 430.483 -0.503 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000561454907 1072279331 /nfs/dbraw/zinc/27/93/31/1072279331.db2.gz AUOSRFJCCZYXGM-HZPDHXFCSA-N 0 0 428.555 -0.760 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000561454908 1072279809 /nfs/dbraw/zinc/27/98/09/1072279809.db2.gz AUOSRFJCCZYXGM-JKSUJKDBSA-N 0 0 428.555 -0.760 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)CNS(=O)(=O)c2cn(C)cn2)s1 ZINC000561456509 1072279935 /nfs/dbraw/zinc/27/99/35/1072279935.db2.gz WNAWVYPAATWUGE-NXEZZACHSA-N 0 0 429.528 -0.108 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NC(=O)CNS(=O)(=O)c2cn(C)cn2)s1 ZINC000561456510 1072279636 /nfs/dbraw/zinc/27/96/36/1072279636.db2.gz WNAWVYPAATWUGE-UWVGGRQHSA-N 0 0 429.528 -0.108 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NC(=O)CNS(=O)(=O)c2cn(C)cn2)s1 ZINC000561456511 1072279713 /nfs/dbraw/zinc/27/97/13/1072279713.db2.gz WNAWVYPAATWUGE-VHSXEESVSA-N 0 0 429.528 -0.108 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)CNS(=O)(=O)c2cn(C)cn2)s1 ZINC000561456512 1072279861 /nfs/dbraw/zinc/27/98/61/1072279861.db2.gz WNAWVYPAATWUGE-ZJUUUORDSA-N 0 0 429.528 -0.108 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000561457464 1072279681 /nfs/dbraw/zinc/27/96/81/1072279681.db2.gz MPXKTPUFZFMQSA-UHFFFAOYSA-N 0 0 444.554 -0.031 20 0 IBADRN Cn1nc(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c2ccccc21 ZINC000561457650 1072279740 /nfs/dbraw/zinc/27/97/40/1072279740.db2.gz TZHWSFRFLOBPAX-UHFFFAOYSA-N 0 0 439.563 -0.273 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2ccccc2F)CC1 ZINC000561458042 1072279629 /nfs/dbraw/zinc/27/96/29/1072279629.db2.gz BLCZWPZPOGFTCV-UHFFFAOYSA-N 0 0 444.554 -0.031 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1nncn1-c1ccccc1 ZINC000561458342 1072279752 /nfs/dbraw/zinc/27/97/52/1072279752.db2.gz AYSVZGIQMCXQSO-UHFFFAOYSA-N 0 0 438.535 -0.622 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000561458652 1072279876 /nfs/dbraw/zinc/27/98/76/1072279876.db2.gz KCMLXWMVZSAFCT-UHFFFAOYSA-N 0 0 431.584 -0.344 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ncnn1-c1ccccc1 ZINC000561458685 1072279702 /nfs/dbraw/zinc/27/97/02/1072279702.db2.gz BYHWLBHLMWXHBA-UHFFFAOYSA-N 0 0 438.535 -0.622 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H](Cc3ccc(Cl)cc3)C(N)=O)c2c(=O)n(C)c1=O ZINC000561458914 1072279689 /nfs/dbraw/zinc/27/96/89/1072279689.db2.gz LJKYXGXRVYVNDE-CYBMUJFWSA-N 0 0 432.868 -0.310 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H](Cc3ccc(Cl)cc3)C(N)=O)c2c(=O)n(C)c1=O ZINC000561458915 1072279726 /nfs/dbraw/zinc/27/97/26/1072279726.db2.gz LJKYXGXRVYVNDE-ZDUSSCGKSA-N 0 0 432.868 -0.310 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000561459076 1072279888 /nfs/dbraw/zinc/27/98/88/1072279888.db2.gz OSGVFUFBBDBXCA-UHFFFAOYSA-N 0 0 436.600 -0.950 20 0 IBADRN CN(CCCNC(=O)C1CCC1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000561459283 1072279763 /nfs/dbraw/zinc/27/97/63/1072279763.db2.gz NJJAGMXNCPFJHV-UHFFFAOYSA-N 0 0 434.584 -0.754 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2 ZINC000561459403 1072280222 /nfs/dbraw/zinc/28/02/22/1072280222.db2.gz SKEBBGXYEJUALL-KFWWJZLASA-N 0 0 431.580 -0.055 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2 ZINC000561459409 1072280271 /nfs/dbraw/zinc/28/02/71/1072280271.db2.gz SKEBBGXYEJUALL-RBSFLKMASA-N 0 0 431.580 -0.055 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2 ZINC000561459412 1072280313 /nfs/dbraw/zinc/28/03/13/1072280313.db2.gz SKEBBGXYEJUALL-RRFJBIMHSA-N 0 0 431.580 -0.055 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 ZINC000561459414 1072280193 /nfs/dbraw/zinc/28/01/93/1072280193.db2.gz SKEBBGXYEJUALL-ZNMIVQPWSA-N 0 0 431.580 -0.055 20 0 IBADRN Cn1cc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c(C(F)(F)F)n1 ZINC000561459587 1072280417 /nfs/dbraw/zinc/28/04/17/1072280417.db2.gz SDQLXUIKNVIHLU-UHFFFAOYSA-N 0 0 443.473 -0.450 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000561459613 1072280350 /nfs/dbraw/zinc/28/03/50/1072280350.db2.gz SMIQJQYAOQTJHZ-MRXNPFEDSA-N 0 0 432.591 -0.453 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCOC2(CCOCC2)CC1 ZINC000561459656 1072280382 /nfs/dbraw/zinc/28/03/82/1072280382.db2.gz AQGDYWJBZCABHQ-UHFFFAOYSA-N 0 0 435.568 -0.721 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCC(N2CCCC2=O)CC1 ZINC000561459690 1072280460 /nfs/dbraw/zinc/28/04/60/1072280460.db2.gz BHYDDTDVIPYQAD-UHFFFAOYSA-N 0 0 432.568 -0.905 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(CC(F)(F)F)CC1 ZINC000561459782 1072280405 /nfs/dbraw/zinc/28/04/05/1072280405.db2.gz CVQPEZKHJXITPE-UHFFFAOYSA-N 0 0 432.490 -0.812 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)s1 ZINC000561459991 1072280441 /nfs/dbraw/zinc/28/04/41/1072280441.db2.gz UUNKUMREEAZLOT-UHFFFAOYSA-N 0 0 425.583 -0.629 20 0 IBADRN Cn1cnnc1C1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000561460284 1072280323 /nfs/dbraw/zinc/28/03/23/1072280323.db2.gz YHKIUGUGLSDEMO-UHFFFAOYSA-N 0 0 430.556 -0.984 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@H]2NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000561460529 1072280452 /nfs/dbraw/zinc/28/04/52/1072280452.db2.gz ZURNPEYLPAFGAH-CYBMUJFWSA-N 0 0 444.583 -0.153 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000561460530 1072280430 /nfs/dbraw/zinc/28/04/30/1072280430.db2.gz ZURNPEYLPAFGAH-ZDUSSCGKSA-N 0 0 444.583 -0.153 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1(C)C ZINC000561460785 1072280373 /nfs/dbraw/zinc/28/03/73/1072280373.db2.gz YSQAYTPYLOTOBO-UHFFFAOYSA-N 0 0 434.584 -0.661 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)c1 ZINC000561460807 1072280237 /nfs/dbraw/zinc/28/02/37/1072280237.db2.gz ZNRQIVHCLLUKFK-UHFFFAOYSA-N 0 0 441.579 -0.467 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)CNS(=O)(=O)c2cnn(C)c2)s1 ZINC000561463114 1072280208 /nfs/dbraw/zinc/28/02/08/1072280208.db2.gz NXQUEWVJLRZLHQ-NXEZZACHSA-N 0 0 429.528 -0.108 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@H](C)NC(=O)CNS(=O)(=O)c2cnn(C)c2)s1 ZINC000561463115 1072280259 /nfs/dbraw/zinc/28/02/59/1072280259.db2.gz NXQUEWVJLRZLHQ-UWVGGRQHSA-N 0 0 429.528 -0.108 20 0 IBADRN CCc1nnc(NC(=O)[C@@H](C)[C@@H](C)NC(=O)CNS(=O)(=O)c2cnn(C)c2)s1 ZINC000561463116 1072280807 /nfs/dbraw/zinc/28/08/07/1072280807.db2.gz NXQUEWVJLRZLHQ-VHSXEESVSA-N 0 0 429.528 -0.108 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)CNS(=O)(=O)c2cnn(C)c2)s1 ZINC000561463117 1072280949 /nfs/dbraw/zinc/28/09/49/1072280949.db2.gz NXQUEWVJLRZLHQ-ZJUUUORDSA-N 0 0 429.528 -0.108 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000561470181 1072280900 /nfs/dbraw/zinc/28/09/00/1072280900.db2.gz KACNOBZACJYYMW-CYBMUJFWSA-N 0 0 447.535 -0.875 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)s1 ZINC000561471434 1072280735 /nfs/dbraw/zinc/28/07/35/1072280735.db2.gz ZYFOAGALEKXLMV-CYBMUJFWSA-N 0 0 439.519 -0.017 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)s1 ZINC000561471437 1072280816 /nfs/dbraw/zinc/28/08/16/1072280816.db2.gz ZYFOAGALEKXLMV-ZDUSSCGKSA-N 0 0 439.519 -0.017 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000561471568 1072280962 /nfs/dbraw/zinc/28/09/62/1072280962.db2.gz QUVFQIROPKTWPP-KRWDZBQOSA-N 0 0 435.569 -0.059 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000561471569 1072281019 /nfs/dbraw/zinc/28/10/19/1072281019.db2.gz QUVFQIROPKTWPP-QGZVFWFLSA-N 0 0 435.569 -0.059 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000561471915 1072280755 /nfs/dbraw/zinc/28/07/55/1072280755.db2.gz NMFUXIXOFALZAU-HSZRJFAPSA-N 0 0 447.580 -0.044 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000561471916 1072280841 /nfs/dbraw/zinc/28/08/41/1072280841.db2.gz NMFUXIXOFALZAU-QHCPKHFHSA-N 0 0 447.580 -0.044 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000561472047 1072280991 /nfs/dbraw/zinc/28/09/91/1072280991.db2.gz UWCHQUJAFJMZNU-MSOLQXFVSA-N 0 0 435.569 -0.142 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000561472048 1072281006 /nfs/dbraw/zinc/28/10/06/1072281006.db2.gz UWCHQUJAFJMZNU-QZTJIDSGSA-N 0 0 435.569 -0.142 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000561472049 1072280796 /nfs/dbraw/zinc/28/07/96/1072280796.db2.gz UWCHQUJAFJMZNU-ROUUACIJSA-N 0 0 435.569 -0.142 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000561472050 1072280723 /nfs/dbraw/zinc/28/07/23/1072280723.db2.gz UWCHQUJAFJMZNU-ZWKOTPCHSA-N 0 0 435.569 -0.142 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000561473547 1072280852 /nfs/dbraw/zinc/28/08/52/1072280852.db2.gz GSYPUZHXOREVSR-UHFFFAOYSA-N 0 0 447.535 -0.921 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000561474269 1072280889 /nfs/dbraw/zinc/28/08/89/1072280889.db2.gz MSXXVEXNZMOQDL-OAHLLOKOSA-N 0 0 439.604 -0.239 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)cc1 ZINC000561474525 1072280715 /nfs/dbraw/zinc/28/07/15/1072280715.db2.gz FATLSSIYZUYFBV-CYBMUJFWSA-N 0 0 426.495 -0.508 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)cc1 ZINC000561474526 1072281385 /nfs/dbraw/zinc/28/13/85/1072281385.db2.gz FATLSSIYZUYFBV-ZDUSSCGKSA-N 0 0 426.495 -0.508 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000561474533 1072281589 /nfs/dbraw/zinc/28/15/89/1072281589.db2.gz FISINDMBQDEFBH-HNNXBMFYSA-N 0 0 441.550 -0.111 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000561474534 1072281557 /nfs/dbraw/zinc/28/15/57/1072281557.db2.gz FISINDMBQDEFBH-OAHLLOKOSA-N 0 0 441.550 -0.111 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1 ZINC000561474557 1072281649 /nfs/dbraw/zinc/28/16/49/1072281649.db2.gz SCUPAQMTFIFOLV-INIZCTEOSA-N 0 0 439.534 -0.234 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1 ZINC000561474558 1072281535 /nfs/dbraw/zinc/28/15/35/1072281535.db2.gz SCUPAQMTFIFOLV-MRXNPFEDSA-N 0 0 439.534 -0.234 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1 ZINC000561474573 1072281506 /nfs/dbraw/zinc/28/15/06/1072281506.db2.gz SVINBVICTFKNPH-AWEZNQCLSA-N 0 0 438.506 -0.352 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1 ZINC000561474574 1072281399 /nfs/dbraw/zinc/28/13/99/1072281399.db2.gz SVINBVICTFKNPH-CQSZACIVSA-N 0 0 438.506 -0.352 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000561474587 1072281641 /nfs/dbraw/zinc/28/16/41/1072281641.db2.gz UBUQZGDIYRRDCS-UHFFFAOYSA-N 0 0 425.507 -0.434 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cc1 ZINC000561474742 1072281621 /nfs/dbraw/zinc/28/16/21/1072281621.db2.gz WVVPNNLUWKSWTP-UHFFFAOYSA-N 0 0 435.506 -0.347 20 0 IBADRN COCc1ccccc1CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000561476543 1072281631 /nfs/dbraw/zinc/28/16/31/1072281631.db2.gz CLPVZJHOKMEXRZ-UHFFFAOYSA-N 0 0 433.552 -0.143 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCC)CC2)cc1 ZINC000561476737 1072281339 /nfs/dbraw/zinc/28/13/39/1072281339.db2.gz FMXBXZZZGNWALE-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000561477064 1072281369 /nfs/dbraw/zinc/28/13/69/1072281369.db2.gz IMJLMQPAJBCUPP-UHFFFAOYSA-N 0 0 444.579 -0.040 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cccnc2C(F)(F)F)CC1)N1CCOCC1 ZINC000561477513 1072281355 /nfs/dbraw/zinc/28/13/55/1072281355.db2.gz PRMGIODXUHHAQO-UHFFFAOYSA-N 0 0 436.412 -0.208 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)cc1 ZINC000561477714 1072281323 /nfs/dbraw/zinc/28/13/23/1072281323.db2.gz UIHWVESNIROLCW-UHFFFAOYSA-N 0 0 425.554 -0.265 20 0 IBADRN COCc1cc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000561481141 1072281523 /nfs/dbraw/zinc/28/15/23/1072281523.db2.gz NWSZYLHYQNPXNN-UHFFFAOYSA-N 0 0 436.484 -0.409 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCOc2ccc(F)cc2F)CC1 ZINC000561481742 1072281444 /nfs/dbraw/zinc/28/14/44/1072281444.db2.gz WTVRPUXKPGOACE-UHFFFAOYSA-N 0 0 440.447 -0.537 20 0 IBADRN COCc1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000561481826 1072281467 /nfs/dbraw/zinc/28/14/67/1072281467.db2.gz WGKOQCFYQWVBLR-UHFFFAOYSA-N 0 0 436.484 -0.409 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000561491476 1072281965 /nfs/dbraw/zinc/28/19/65/1072281965.db2.gz QPNSCRSDOIMLAQ-INIZCTEOSA-N 0 0 425.559 -0.288 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000561491485 1072282083 /nfs/dbraw/zinc/28/20/83/1072282083.db2.gz QPNSCRSDOIMLAQ-MRXNPFEDSA-N 0 0 425.559 -0.288 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)[C@H](C)C1 ZINC000561494240 1072281921 /nfs/dbraw/zinc/28/19/21/1072281921.db2.gz RRKPOCDPTIDQLO-MRXNPFEDSA-N 0 0 425.559 -0.336 20 0 IBADRN CCN(CCCNC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)S(C)(=O)=O ZINC000561501853 1072282105 /nfs/dbraw/zinc/28/21/05/1072282105.db2.gz QTLZMPLCIWJMDK-JTQLQIEISA-N 0 0 426.483 -0.221 20 0 IBADRN CCN(CCCNC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)S(C)(=O)=O ZINC000561501854 1072282001 /nfs/dbraw/zinc/28/20/01/1072282001.db2.gz QTLZMPLCIWJMDK-SNVBAGLBSA-N 0 0 426.483 -0.221 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)s1 ZINC000561503475 1072282034 /nfs/dbraw/zinc/28/20/34/1072282034.db2.gz FJSWEXIRMSDUAX-LLVKDONJSA-N 0 0 432.524 -0.482 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)s1 ZINC000561503478 1072281988 /nfs/dbraw/zinc/28/19/88/1072281988.db2.gz FJSWEXIRMSDUAX-NSHDSACASA-N 0 0 432.524 -0.482 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1)S(C)(=O)=O ZINC000561503605 1072281943 /nfs/dbraw/zinc/28/19/43/1072281943.db2.gz XKWMSMLCWRNVKL-DOTOQJQBSA-N 0 0 436.534 -0.515 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1)S(C)(=O)=O ZINC000561503606 1072282046 /nfs/dbraw/zinc/28/20/46/1072282046.db2.gz XKWMSMLCWRNVKL-NVXWUHKLSA-N 0 0 436.534 -0.515 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1)S(C)(=O)=O ZINC000561503607 1072282059 /nfs/dbraw/zinc/28/20/59/1072282059.db2.gz XKWMSMLCWRNVKL-RDJZCZTQSA-N 0 0 436.534 -0.515 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1)S(C)(=O)=O ZINC000561503608 1072282116 /nfs/dbraw/zinc/28/21/16/1072282116.db2.gz XKWMSMLCWRNVKL-WBVHZDCISA-N 0 0 436.534 -0.515 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cnc(NC(C)=O)s2)CC1 ZINC000561504992 1072282193 /nfs/dbraw/zinc/28/21/93/1072282193.db2.gz FTUQVDFEGDACAW-GFCCVEGCSA-N 0 0 433.556 -0.051 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cnc(NC(C)=O)s2)CC1 ZINC000561504994 1072281902 /nfs/dbraw/zinc/28/19/02/1072281902.db2.gz FTUQVDFEGDACAW-LBPRGKRZSA-N 0 0 433.556 -0.051 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)S(C)(=O)=O ZINC000561506843 1072282127 /nfs/dbraw/zinc/28/21/27/1072282127.db2.gz XBPLPTCNCFESQD-GFCCVEGCSA-N 0 0 429.520 -0.861 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)S(C)(=O)=O ZINC000561506844 1072281954 /nfs/dbraw/zinc/28/19/54/1072281954.db2.gz XBPLPTCNCFESQD-LBPRGKRZSA-N 0 0 429.520 -0.861 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1nc(C(C)(C)C)cs1 ZINC000561512634 1072282018 /nfs/dbraw/zinc/28/20/18/1072282018.db2.gz XTKAIZZXQXCSHX-GFCCVEGCSA-N 0 0 434.526 -0.025 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1nc(C(C)(C)C)cs1 ZINC000561512635 1072282071 /nfs/dbraw/zinc/28/20/71/1072282071.db2.gz XTKAIZZXQXCSHX-LBPRGKRZSA-N 0 0 434.526 -0.025 20 0 IBADRN CNc1cc(N2CCC[C@@H]2CNC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)ncn1 ZINC000561515630 1072282509 /nfs/dbraw/zinc/28/25/09/1072282509.db2.gz GTPJHGMDJSFPPC-BBWFWOEESA-N 0 0 442.524 -0.429 20 0 IBADRN CNc1cc(N2CCC[C@H]2CNC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)ncn1 ZINC000561515633 1072282707 /nfs/dbraw/zinc/28/27/07/1072282707.db2.gz GTPJHGMDJSFPPC-ULQDDVLXSA-N 0 0 442.524 -0.429 20 0 IBADRN CNc1cc(N2CCC[C@H]2CNC(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)ncn1 ZINC000561516428 1072282739 /nfs/dbraw/zinc/28/27/39/1072282739.db2.gz SSGYGUYUYRKWHW-CABCVRRESA-N 0 0 427.513 -0.118 20 0 IBADRN CNc1cc(N2CCC[C@H]2CNC(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)ncn1 ZINC000561516429 1072282624 /nfs/dbraw/zinc/28/26/24/1072282624.db2.gz SSGYGUYUYRKWHW-GJZGRUSLSA-N 0 0 427.513 -0.118 20 0 IBADRN CNc1cc(N2CCC[C@@H]2CNC(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)ncn1 ZINC000561516430 1072282692 /nfs/dbraw/zinc/28/26/92/1072282692.db2.gz SSGYGUYUYRKWHW-HUUCEWRRSA-N 0 0 427.513 -0.118 20 0 IBADRN CNc1cc(N2CCC[C@@H]2CNC(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)ncn1 ZINC000561516431 1072282139 /nfs/dbraw/zinc/28/21/39/1072282139.db2.gz SSGYGUYUYRKWHW-LSDHHAIUSA-N 0 0 427.513 -0.118 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCC2CCN(S(C)(=O)=O)CC2)C1 ZINC000561517548 1072282611 /nfs/dbraw/zinc/28/26/11/1072282611.db2.gz VTVRWIAPVNYBTJ-CQSZACIVSA-N 0 0 425.577 -0.772 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000561518056 1072282662 /nfs/dbraw/zinc/28/26/62/1072282662.db2.gz NOWIRRGIYQLEGN-IBGZPJMESA-N 0 0 435.569 -0.630 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000561518057 1072282675 /nfs/dbraw/zinc/28/26/75/1072282675.db2.gz NOWIRRGIYQLEGN-LJQANCHMSA-N 0 0 435.569 -0.630 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCC1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC000561518150 1072282735 /nfs/dbraw/zinc/28/27/35/1072282735.db2.gz RRJZQGBLTJBOEU-LLVKDONJSA-N 0 0 438.494 -0.221 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCC1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC000561518151 1072282553 /nfs/dbraw/zinc/28/25/53/1072282553.db2.gz RRJZQGBLTJBOEU-NSHDSACASA-N 0 0 438.494 -0.221 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCCN1CCN(C(=O)C3CCCCC3)CC1)C2 ZINC000561518333 1072282652 /nfs/dbraw/zinc/28/26/52/1072282652.db2.gz BQRNRACYTGHGET-UHFFFAOYSA-N 0 0 431.541 -0.231 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000561519172 1072282460 /nfs/dbraw/zinc/28/24/60/1072282460.db2.gz OSRMYXYCRFOUAC-JOCHJYFZSA-N 0 0 435.569 -0.188 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)C1 ZINC000561519173 1072282497 /nfs/dbraw/zinc/28/24/97/1072282497.db2.gz OSRMYXYCRFOUAC-QFIPXVFZSA-N 0 0 435.569 -0.188 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000561519306 1072282541 /nfs/dbraw/zinc/28/25/41/1072282541.db2.gz IJKMPSAIAQSSIG-UHFFFAOYSA-N 0 0 437.585 -0.382 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc(OC)c(S(=O)(=O)NC2CCCC2)c1 ZINC000561523080 1072282725 /nfs/dbraw/zinc/28/27/25/1072282725.db2.gz UCJPAAQQNQHWJK-UHFFFAOYSA-N 0 0 427.479 -0.065 20 0 IBADRN CN1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000561526699 1072282590 /nfs/dbraw/zinc/28/25/90/1072282590.db2.gz YKQNXHBVLPBEKD-AWEZNQCLSA-N 0 0 426.495 -0.856 20 0 IBADRN CN1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000561526701 1072282681 /nfs/dbraw/zinc/28/26/81/1072282681.db2.gz YKQNXHBVLPBEKD-CQSZACIVSA-N 0 0 426.495 -0.856 20 0 IBADRN CCN1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000561527391 1072282757 /nfs/dbraw/zinc/28/27/57/1072282757.db2.gz VBHOEXWZDCKDHC-HNNXBMFYSA-N 0 0 440.522 -0.466 20 0 IBADRN CCN1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000561527392 1072282570 /nfs/dbraw/zinc/28/25/70/1072282570.db2.gz VBHOEXWZDCKDHC-OAHLLOKOSA-N 0 0 440.522 -0.466 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)Nc2ccn(CCN3CCOCC3)n2)cc1 ZINC000561527858 1072283194 /nfs/dbraw/zinc/28/31/94/1072283194.db2.gz WCNCHAGKIGAJOG-UHFFFAOYSA-N 0 0 437.522 0.080 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)CC2CCS(=O)(=O)CC2)CCN1c1nccn2cnnc12 ZINC000561537798 1072283141 /nfs/dbraw/zinc/28/31/41/1072283141.db2.gz FPUFGCVQPJTEBZ-CYBMUJFWSA-N 0 0 428.540 -0.211 20 0 IBADRN C[C@H]1CN(S(=O)(=O)CC2CCS(=O)(=O)CC2)CCN1c1nccn2cnnc12 ZINC000561537802 1072283244 /nfs/dbraw/zinc/28/32/44/1072283244.db2.gz FPUFGCVQPJTEBZ-ZDUSSCGKSA-N 0 0 428.540 -0.211 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cnc(NC(C)=O)s2)CC1 ZINC000561539461 1072283276 /nfs/dbraw/zinc/28/32/76/1072283276.db2.gz AUJXUVCEIHXRJA-UHFFFAOYSA-N 0 0 426.542 -0.226 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CC[C@H](S(=O)(=O)NC(C)C)C3)C2)nn1 ZINC000561540191 1072283186 /nfs/dbraw/zinc/28/31/86/1072283186.db2.gz CQYPOKLHUTUNBT-CVEARBPZSA-N 0 0 438.554 -0.201 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)C(=O)N3CC[C@H](S(=O)(=O)NC(C)C)C3)C2)nn1 ZINC000561540192 1072283153 /nfs/dbraw/zinc/28/31/53/1072283153.db2.gz CQYPOKLHUTUNBT-HOTGVXAUSA-N 0 0 438.554 -0.201 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CC[C@@H](S(=O)(=O)NC(C)C)C3)C2)nn1 ZINC000561540193 1072283165 /nfs/dbraw/zinc/28/31/65/1072283165.db2.gz CQYPOKLHUTUNBT-HZPDHXFCSA-N 0 0 438.554 -0.201 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)C(=O)N3CC[C@@H](S(=O)(=O)NC(C)C)C3)C2)nn1 ZINC000561540194 1072283253 /nfs/dbraw/zinc/28/32/53/1072283253.db2.gz CQYPOKLHUTUNBT-JKSUJKDBSA-N 0 0 438.554 -0.201 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1)C(F)(F)F ZINC000561540585 1072283305 /nfs/dbraw/zinc/28/33/05/1072283305.db2.gz HCNDLHZOPFHUSR-GFCCVEGCSA-N 0 0 448.427 0.203 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1)C(F)(F)F ZINC000561540586 1072283285 /nfs/dbraw/zinc/28/32/85/1072283285.db2.gz HCNDLHZOPFHUSR-LBPRGKRZSA-N 0 0 448.427 0.203 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1 ZINC000561540918 1072283227 /nfs/dbraw/zinc/28/32/27/1072283227.db2.gz TZMXKCBKPMIOGN-GFCCVEGCSA-N 0 0 426.538 -0.533 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1 ZINC000561540919 1072283238 /nfs/dbraw/zinc/28/32/38/1072283238.db2.gz TZMXKCBKPMIOGN-LBPRGKRZSA-N 0 0 426.538 -0.533 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1)S(C)(=O)=O ZINC000561542707 1072283218 /nfs/dbraw/zinc/28/32/18/1072283218.db2.gz BMOAVJPJVSDFIL-AWEZNQCLSA-N 0 0 442.629 -0.322 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1)S(C)(=O)=O ZINC000561542709 1072283131 /nfs/dbraw/zinc/28/31/31/1072283131.db2.gz BMOAVJPJVSDFIL-CQSZACIVSA-N 0 0 442.629 -0.322 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1=O ZINC000561542717 1072283098 /nfs/dbraw/zinc/28/30/98/1072283098.db2.gz BVFRAINNIOBNHT-JTQLQIEISA-N 0 0 429.398 -0.437 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1=O ZINC000561542718 1072283295 /nfs/dbraw/zinc/28/32/95/1072283295.db2.gz BVFRAINNIOBNHT-SNVBAGLBSA-N 0 0 429.398 -0.437 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(NC(=O)C3CC3)cc2OC)CC1 ZINC000561543364 1072283209 /nfs/dbraw/zinc/28/32/09/1072283209.db2.gz JGWVHNADKCQFBN-UHFFFAOYSA-N 0 0 432.524 -0.186 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000561543619 1072283670 /nfs/dbraw/zinc/28/36/70/1072283670.db2.gz XVXBEVBBTUDOPF-KFWWJZLASA-N 0 0 435.568 -0.752 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000561543620 1072283600 /nfs/dbraw/zinc/28/36/00/1072283600.db2.gz XVXBEVBBTUDOPF-RBSFLKMASA-N 0 0 435.568 -0.752 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000561543621 1072283713 /nfs/dbraw/zinc/28/37/13/1072283713.db2.gz XVXBEVBBTUDOPF-RRFJBIMHSA-N 0 0 435.568 -0.752 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000561543622 1072283685 /nfs/dbraw/zinc/28/36/85/1072283685.db2.gz XVXBEVBBTUDOPF-ZNMIVQPWSA-N 0 0 435.568 -0.752 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2Br)C1=O ZINC000561544286 1072283173 /nfs/dbraw/zinc/28/31/73/1072283173.db2.gz HJCQVFQRWMKEJT-SECBINFHSA-N 0 0 425.282 -0.112 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2Br)C1=O ZINC000561544287 1072283268 /nfs/dbraw/zinc/28/32/68/1072283268.db2.gz HJCQVFQRWMKEJT-VIFPVBQESA-N 0 0 425.282 -0.112 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000561544595 1072283658 /nfs/dbraw/zinc/28/36/58/1072283658.db2.gz VRUMTBMWWBYQHL-GFCCVEGCSA-N 0 0 445.464 -0.811 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000561544596 1072283701 /nfs/dbraw/zinc/28/37/01/1072283701.db2.gz VRUMTBMWWBYQHL-LBPRGKRZSA-N 0 0 445.464 -0.811 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC2CN(S(=O)(=O)CC)C2)cc1 ZINC000561545610 1072283638 /nfs/dbraw/zinc/28/36/38/1072283638.db2.gz DBRGHAKOEUCDMG-UHFFFAOYSA-N 0 0 439.581 -0.065 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)CC1 ZINC000561545765 1072283619 /nfs/dbraw/zinc/28/36/19/1072283619.db2.gz IXRXOECJGZMBGT-UHFFFAOYSA-N 0 0 437.496 -0.739 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)CC1 ZINC000561545871 1072283720 /nfs/dbraw/zinc/28/37/20/1072283720.db2.gz IVBFOGIBPXDBOC-INIZCTEOSA-N 0 0 431.559 -0.620 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)CC1 ZINC000561545875 1072283648 /nfs/dbraw/zinc/28/36/48/1072283648.db2.gz IVBFOGIBPXDBOC-MRXNPFEDSA-N 0 0 431.559 -0.620 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)C1 ZINC000561547148 1072283577 /nfs/dbraw/zinc/28/35/77/1072283577.db2.gz GWHWSDYJVCWFPH-LLVKDONJSA-N 0 0 443.544 -0.222 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000561547259 1072283630 /nfs/dbraw/zinc/28/36/30/1072283630.db2.gz LUZOZDOIOBNEDV-GFCCVEGCSA-N 0 0 440.569 -0.856 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)[C@H](C)C1 ZINC000561547406 1072283694 /nfs/dbraw/zinc/28/36/94/1072283694.db2.gz ROLBXQISPMNTNQ-CYBMUJFWSA-N 0 0 439.581 -0.019 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(-c3nnnn3C)c2)C1 ZINC000561548055 1072283707 /nfs/dbraw/zinc/28/37/07/1072283707.db2.gz OLJFJAAQUPGQQN-CYBMUJFWSA-N 0 0 429.528 -0.574 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)C1 ZINC000561548241 1072283584 /nfs/dbraw/zinc/28/35/84/1072283584.db2.gz VYDAAOXPDMTAKA-LLVKDONJSA-N 0 0 426.542 -0.966 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000561549285 1072283675 /nfs/dbraw/zinc/28/36/75/1072283675.db2.gz YAOXNCXDMDXUEN-OAHLLOKOSA-N 0 0 448.567 -0.388 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1C(=O)N(C)C ZINC000561549308 1072283609 /nfs/dbraw/zinc/28/36/09/1072283609.db2.gz ZOTRVCUCSUMASF-CYBMUJFWSA-N 0 0 448.567 -0.054 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000561549695 1072283591 /nfs/dbraw/zinc/28/35/91/1072283591.db2.gz IZOKJEDURDYPMB-GFCCVEGCSA-N 0 0 448.295 -0.383 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000561549696 1072283555 /nfs/dbraw/zinc/28/35/55/1072283555.db2.gz IZOKJEDURDYPMB-LBPRGKRZSA-N 0 0 448.295 -0.383 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)[C@H](C)C1 ZINC000561549880 1072283565 /nfs/dbraw/zinc/28/35/65/1072283565.db2.gz PGNAHMWPYBAIIK-SNVBAGLBSA-N 0 0 431.496 -0.921 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2CC(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000561552435 1072284280 /nfs/dbraw/zinc/28/42/80/1072284280.db2.gz QMNLTCVMEMVEDL-CYBMUJFWSA-N 0 0 431.492 -0.948 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2CC(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000561552436 1072284219 /nfs/dbraw/zinc/28/42/19/1072284219.db2.gz QMNLTCVMEMVEDL-ZDUSSCGKSA-N 0 0 431.492 -0.948 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCn2cc(C(=O)OC)nn2)cc1 ZINC000561552765 1072284159 /nfs/dbraw/zinc/28/41/59/1072284159.db2.gz CTJCVZGWCXRLBW-UHFFFAOYSA-N 0 0 431.496 -0.269 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2CS(C)(=O)=O)CC1 ZINC000561554292 1072284240 /nfs/dbraw/zinc/28/42/40/1072284240.db2.gz HEWBNPPPWIKKQD-UHFFFAOYSA-N 0 0 445.972 -0.345 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000561556732 1072284024 /nfs/dbraw/zinc/28/40/24/1072284024.db2.gz UKRDCXJXHMARCL-INIZCTEOSA-N 0 0 445.563 -0.255 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000561556734 1072284101 /nfs/dbraw/zinc/28/41/01/1072284101.db2.gz UKRDCXJXHMARCL-MRXNPFEDSA-N 0 0 445.563 -0.255 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN([C@@H](C)C(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000561557834 1072283927 /nfs/dbraw/zinc/28/39/27/1072283927.db2.gz AMAZXRBJMUXNSF-PMACEKPBSA-N 0 0 444.580 -0.016 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000561557835 1072284082 /nfs/dbraw/zinc/28/40/82/1072284082.db2.gz AMAZXRBJMUXNSF-UXHICEINSA-N 0 0 444.580 -0.016 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN([C@@H](C)C(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000561557836 1072284258 /nfs/dbraw/zinc/28/42/58/1072284258.db2.gz AMAZXRBJMUXNSF-VQTJNVASSA-N 0 0 444.580 -0.016 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN([C@H](C)C(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000561557837 1072284093 /nfs/dbraw/zinc/28/40/93/1072284093.db2.gz AMAZXRBJMUXNSF-WOJBJXKFSA-N 0 0 444.580 -0.016 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1 ZINC000561558284 1072284150 /nfs/dbraw/zinc/28/41/50/1072284150.db2.gz MNAYDIBLKVNIKV-GFCCVEGCSA-N 0 0 445.610 -0.240 20 0 IBADRN O=S(=O)(N[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1)N1CCS(=O)(=O)CC1 ZINC000561558350 1072284231 /nfs/dbraw/zinc/28/42/31/1072284231.db2.gz PMEFZGLPPBGABF-GFCCVEGCSA-N 0 0 443.594 -0.534 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)CCO1 ZINC000561565930 1072283977 /nfs/dbraw/zinc/28/39/77/1072283977.db2.gz GIDCZSWOADKFOO-AHRSYUTCSA-N 0 0 432.521 -0.244 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)CCO1 ZINC000561565931 1072284264 /nfs/dbraw/zinc/28/42/64/1072284264.db2.gz GIDCZSWOADKFOO-DBVUQKKJSA-N 0 0 432.521 -0.244 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H](C)[C@H]2CN(Cc3ccccc3)CCO2)CCO1 ZINC000561565932 1072284120 /nfs/dbraw/zinc/28/41/20/1072284120.db2.gz GIDCZSWOADKFOO-NSISKUIASA-N 0 0 432.521 -0.244 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H](C)[C@H]2CN(Cc3ccccc3)CCO2)CCO1 ZINC000561565933 1072284191 /nfs/dbraw/zinc/28/41/91/1072284191.db2.gz GIDCZSWOADKFOO-PWIZWCRZSA-N 0 0 432.521 -0.244 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000561567186 1072283943 /nfs/dbraw/zinc/28/39/43/1072283943.db2.gz CDBQYBSIJOXPQV-GFCCVEGCSA-N 0 0 431.481 -0.340 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000561567187 1072284708 /nfs/dbraw/zinc/28/47/08/1072284708.db2.gz CDBQYBSIJOXPQV-LBPRGKRZSA-N 0 0 431.481 -0.340 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)[C@H](C)C1 ZINC000561567512 1072284721 /nfs/dbraw/zinc/28/47/21/1072284721.db2.gz HLYQXGINHRYYBM-MRXNPFEDSA-N 0 0 429.587 -0.004 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC(=O)N1C ZINC000561568325 1072284581 /nfs/dbraw/zinc/28/45/81/1072284581.db2.gz QPDQIQXLPHMLMI-VQIMIIECSA-N 0 0 431.541 -0.065 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCc3nc(C(C)C)nn3C2)C1 ZINC000561571294 1072284734 /nfs/dbraw/zinc/28/47/34/1072284734.db2.gz BTAPTOGVCBOQET-KBPBESRZSA-N 0 0 426.543 -0.239 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCc3nc(C(C)C)nn3C2)C1 ZINC000561571295 1072284690 /nfs/dbraw/zinc/28/46/90/1072284690.db2.gz BTAPTOGVCBOQET-KGLIPLIRSA-N 0 0 426.543 -0.239 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCc3nc(C(C)C)nn3C2)C1 ZINC000561571296 1072284623 /nfs/dbraw/zinc/28/46/23/1072284623.db2.gz BTAPTOGVCBOQET-UONOGXRCSA-N 0 0 426.543 -0.239 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCc3nc(C(C)C)nn3C2)C1 ZINC000561571297 1072284536 /nfs/dbraw/zinc/28/45/36/1072284536.db2.gz BTAPTOGVCBOQET-ZIAGYGMSSA-N 0 0 426.543 -0.239 20 0 IBADRN CCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c3ccnn3CC)C1=O)CC2 ZINC000561572194 1072284568 /nfs/dbraw/zinc/28/45/68/1072284568.db2.gz HHMQUKPQSAMCIY-KBPBESRZSA-N 0 0 428.497 -0.200 20 0 IBADRN CCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CCCN(c3ccnn3CC)C1=O)CC2 ZINC000561572196 1072284743 /nfs/dbraw/zinc/28/47/43/1072284743.db2.gz HHMQUKPQSAMCIY-KGLIPLIRSA-N 0 0 428.497 -0.200 20 0 IBADRN CCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c3ccnn3CC)C1=O)CC2 ZINC000561572198 1072284553 /nfs/dbraw/zinc/28/45/53/1072284553.db2.gz HHMQUKPQSAMCIY-UONOGXRCSA-N 0 0 428.497 -0.200 20 0 IBADRN CCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c3ccnn3CC)C1=O)CC2 ZINC000561572200 1072284611 /nfs/dbraw/zinc/28/46/11/1072284611.db2.gz HHMQUKPQSAMCIY-ZIAGYGMSSA-N 0 0 428.497 -0.200 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)cc1 ZINC000561576103 1072285287 /nfs/dbraw/zinc/28/52/87/1072285287.db2.gz BHHSXBPOAWCADA-DLBZAZTESA-N 0 0 433.461 -0.137 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)O1 ZINC000561580786 1072285089 /nfs/dbraw/zinc/28/50/89/1072285089.db2.gz FIQDXPGKISQVOI-DLBZAZTESA-N 0 0 438.550 -0.125 20 0 IBADRN COc1cc(Cl)ccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000561583367 1072285242 /nfs/dbraw/zinc/28/52/42/1072285242.db2.gz GCUPFJOGNJSDIR-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000561583599 1072285113 /nfs/dbraw/zinc/28/51/13/1072285113.db2.gz KLFHPBZWRRJDNX-GFCCVEGCSA-N 0 0 435.528 -0.650 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)c2ccc(C(C)(C)C)cc2)CCO1 ZINC000561585613 1072285101 /nfs/dbraw/zinc/28/51/01/1072285101.db2.gz FDQWWXVABSWAKI-INIZCTEOSA-N 0 0 439.534 -0.153 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)c2ccc(C(C)(C)C)cc2)CCO1 ZINC000561585614 1072285137 /nfs/dbraw/zinc/28/51/37/1072285137.db2.gz FDQWWXVABSWAKI-MRXNPFEDSA-N 0 0 439.534 -0.153 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)O1 ZINC000561587675 1072285271 /nfs/dbraw/zinc/28/52/71/1072285271.db2.gz ZXLKLHWXWRGMLL-JKSUJKDBSA-N 0 0 427.479 -0.013 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2c(OC)cc(OC)cc2OC)C1 ZINC000561589094 1072285237 /nfs/dbraw/zinc/28/52/37/1072285237.db2.gz LUMNBEMAXGVDRE-AWEZNQCLSA-N 0 0 443.522 -0.089 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2c(OC)cc(OC)cc2OC)C1 ZINC000561589095 1072285225 /nfs/dbraw/zinc/28/52/25/1072285225.db2.gz LUMNBEMAXGVDRE-CQSZACIVSA-N 0 0 443.522 -0.089 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCc1c(OC)cc(OC)cc1OC ZINC000561589381 1072285125 /nfs/dbraw/zinc/28/51/25/1072285125.db2.gz PWRGFIWZJRDCJZ-CYBMUJFWSA-N 0 0 443.522 -0.089 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCc1c(OC)cc(OC)cc1OC ZINC000561589382 1072285202 /nfs/dbraw/zinc/28/52/02/1072285202.db2.gz PWRGFIWZJRDCJZ-ZDUSSCGKSA-N 0 0 443.522 -0.089 20 0 IBADRN COc1cc(OC)c(CCNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(OC)c1 ZINC000561590096 1072285254 /nfs/dbraw/zinc/28/52/54/1072285254.db2.gz ZPCZHSDXDKSDGO-CYBMUJFWSA-N 0 0 443.522 -0.089 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Cc1cccs1 ZINC000561591438 1072285264 /nfs/dbraw/zinc/28/52/64/1072285264.db2.gz WGESGDWBQYRFRW-HNNXBMFYSA-N 0 0 436.534 -0.428 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Cc1cccs1 ZINC000561591439 1072285151 /nfs/dbraw/zinc/28/51/51/1072285151.db2.gz WGESGDWBQYRFRW-OAHLLOKOSA-N 0 0 436.534 -0.428 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Oc1cccc(F)c1 ZINC000561593154 1072285298 /nfs/dbraw/zinc/28/52/98/1072285298.db2.gz PBYDBVPYIPODII-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Oc1cccc(F)c1 ZINC000561593155 1072285728 /nfs/dbraw/zinc/28/57/28/1072285728.db2.gz PBYDBVPYIPODII-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C(=O)N1c1ccccc1 ZINC000561594199 1072285588 /nfs/dbraw/zinc/28/55/88/1072285588.db2.gz DRCODRFZCRYTJV-DOTOQJQBSA-N 0 0 438.488 -0.261 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C(=O)N1c1ccccc1 ZINC000561594202 1072285667 /nfs/dbraw/zinc/28/56/67/1072285667.db2.gz DRCODRFZCRYTJV-NVXWUHKLSA-N 0 0 438.488 -0.261 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C(=O)N1c1ccccc1 ZINC000561594206 1072285699 /nfs/dbraw/zinc/28/56/99/1072285699.db2.gz DRCODRFZCRYTJV-RDJZCZTQSA-N 0 0 438.488 -0.261 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C(=O)N1c1ccccc1 ZINC000561594209 1072285682 /nfs/dbraw/zinc/28/56/82/1072285682.db2.gz DRCODRFZCRYTJV-WBVHZDCISA-N 0 0 438.488 -0.261 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)Oc1ccc(F)cc1 ZINC000561594722 1072285599 /nfs/dbraw/zinc/28/55/99/1072285599.db2.gz XSOQJXFMVICGNA-GFCCVEGCSA-N 0 0 428.442 -0.067 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)Oc1ccc(F)cc1 ZINC000561594726 1072285572 /nfs/dbraw/zinc/28/55/72/1072285572.db2.gz XSOQJXFMVICGNA-LBPRGKRZSA-N 0 0 428.442 -0.067 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)O1)N1CCOCC1 ZINC000561595824 1072285623 /nfs/dbraw/zinc/28/56/23/1072285623.db2.gz QIMBTMPHHAPKMS-DLBZAZTESA-N 0 0 438.506 -0.679 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2=O)n1 ZINC000561599238 1072285673 /nfs/dbraw/zinc/28/56/73/1072285673.db2.gz TXMHUCRBDNLUHE-NWDGAFQWSA-N 0 0 435.403 -0.834 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2=O)n1 ZINC000561599239 1072285719 /nfs/dbraw/zinc/28/57/19/1072285719.db2.gz TXMHUCRBDNLUHE-RYUDHWBXSA-N 0 0 435.403 -0.834 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000561599313 1072285653 /nfs/dbraw/zinc/28/56/53/1072285653.db2.gz VWDSQKXSNUXLHX-AWEZNQCLSA-N 0 0 446.537 -0.069 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000561599314 1072285754 /nfs/dbraw/zinc/28/57/54/1072285754.db2.gz VWDSQKXSNUXLHX-CQSZACIVSA-N 0 0 446.537 -0.069 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4ncccc4F)CC3)C2=O)n1 ZINC000561599391 1072285710 /nfs/dbraw/zinc/28/57/10/1072285710.db2.gz YEPBKBDVYSQBHU-HNNXBMFYSA-N 0 0 429.456 -0.085 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4ncccc4F)CC3)C2=O)n1 ZINC000561599392 1072285806 /nfs/dbraw/zinc/28/58/06/1072285806.db2.gz YEPBKBDVYSQBHU-OAHLLOKOSA-N 0 0 429.456 -0.085 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1)NCc1ccccc1 ZINC000561599510 1072285610 /nfs/dbraw/zinc/28/56/10/1072285610.db2.gz CFGKMQYRVSMKBW-VQTJNVASSA-N 0 0 444.532 -0.147 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000561600188 1072286307 /nfs/dbraw/zinc/28/63/07/1072286307.db2.gz CVVYZCVQEFDXEG-GOSISDBHSA-N 0 0 446.552 -0.007 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000561600191 1072286148 /nfs/dbraw/zinc/28/61/48/1072286148.db2.gz CVVYZCVQEFDXEG-SFHVURJKSA-N 0 0 446.552 -0.007 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)C2=O)n1 ZINC000561602727 1072286096 /nfs/dbraw/zinc/28/60/96/1072286096.db2.gz YOPUZJOBETVPBJ-NEPJUHHUSA-N 0 0 444.414 -0.299 20 0 IBADRN COCCN(CC1(c2ccccc2)CCN(C(=O)CNC(N)=O)CC1)C(=O)CNC(N)=O ZINC000561602732 1072286172 /nfs/dbraw/zinc/28/61/72/1072286172.db2.gz CRQMAGIQQQWLMI-UHFFFAOYSA-N 0 0 448.524 -0.642 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)C2=O)n1 ZINC000561602736 1072286318 /nfs/dbraw/zinc/28/63/18/1072286318.db2.gz YOPUZJOBETVPBJ-NWDGAFQWSA-N 0 0 444.414 -0.299 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)C2=O)n1 ZINC000561602741 1072286346 /nfs/dbraw/zinc/28/63/46/1072286346.db2.gz YOPUZJOBETVPBJ-RYUDHWBXSA-N 0 0 444.414 -0.299 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)C2=O)n1 ZINC000561602742 1072286211 /nfs/dbraw/zinc/28/62/11/1072286211.db2.gz YOPUZJOBETVPBJ-VXGBXAGGSA-N 0 0 444.414 -0.299 20 0 IBADRN O=C(CNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2)N1CCOCC1 ZINC000561604319 1072286194 /nfs/dbraw/zinc/28/61/94/1072286194.db2.gz UZHPINXFWKBLSW-UHFFFAOYSA-N 0 0 434.268 -0.083 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](O)COc1cccc(C(F)(F)F)c1)S(N)(=O)=O ZINC000561605173 1072286341 /nfs/dbraw/zinc/28/63/41/1072286341.db2.gz MPCLBMLJBNOEAM-GXSJLCMTSA-N 0 0 427.401 -0.646 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](O)COc1cccc(C(F)(F)F)c1)S(N)(=O)=O ZINC000561605174 1072286136 /nfs/dbraw/zinc/28/61/36/1072286136.db2.gz MPCLBMLJBNOEAM-KOLCDFICSA-N 0 0 427.401 -0.646 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](O)COc1cccc(C(F)(F)F)c1)S(N)(=O)=O ZINC000561605175 1072286297 /nfs/dbraw/zinc/28/62/97/1072286297.db2.gz MPCLBMLJBNOEAM-MWLCHTKSSA-N 0 0 427.401 -0.646 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](O)COc1cccc(C(F)(F)F)c1)S(N)(=O)=O ZINC000561605176 1072286337 /nfs/dbraw/zinc/28/63/37/1072286337.db2.gz MPCLBMLJBNOEAM-ONGXEEELSA-N 0 0 427.401 -0.646 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1)S(N)(=O)=O ZINC000561605245 1072286301 /nfs/dbraw/zinc/28/63/01/1072286301.db2.gz PJDKGLPISDXFNN-HZSPNIEDSA-N 0 0 440.522 -0.655 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2C[C@@H](C)O[C@H](C)C2)cc1)S(N)(=O)=O ZINC000561605246 1072286313 /nfs/dbraw/zinc/28/63/13/1072286313.db2.gz PJDKGLPISDXFNN-MCIONIFRSA-N 0 0 440.522 -0.655 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2C[C@@H](C)O[C@H](C)C2)cc1)S(N)(=O)=O ZINC000561605247 1072286331 /nfs/dbraw/zinc/28/63/31/1072286331.db2.gz PJDKGLPISDXFNN-MGPQQGTHSA-N 0 0 440.522 -0.655 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1)S(N)(=O)=O ZINC000561605248 1072286326 /nfs/dbraw/zinc/28/63/26/1072286326.db2.gz PJDKGLPISDXFNN-MJBXVCDLSA-N 0 0 440.522 -0.655 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000561609819 1072286682 /nfs/dbraw/zinc/28/66/82/1072286682.db2.gz CSIFOQKDPHJIMK-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000561612525 1072286627 /nfs/dbraw/zinc/28/66/27/1072286627.db2.gz YSPLPCBHVQOLBL-INIZCTEOSA-N 0 0 443.504 -0.661 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000561612527 1072286794 /nfs/dbraw/zinc/28/67/94/1072286794.db2.gz YSPLPCBHVQOLBL-MRXNPFEDSA-N 0 0 443.504 -0.661 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)s1 ZINC000561613238 1072286713 /nfs/dbraw/zinc/28/67/13/1072286713.db2.gz DIPNQDVVRLGRGH-UHFFFAOYSA-N 0 0 442.563 -0.466 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000561620254 1072286608 /nfs/dbraw/zinc/28/66/08/1072286608.db2.gz LFKWXYBJRZOGAR-HKUYNNGSSA-N 0 0 445.520 -0.271 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000561620257 1072286768 /nfs/dbraw/zinc/28/67/68/1072286768.db2.gz LFKWXYBJRZOGAR-IEBWSBKVSA-N 0 0 445.520 -0.271 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000561620260 1072286728 /nfs/dbraw/zinc/28/67/28/1072286728.db2.gz LFKWXYBJRZOGAR-MJGOQNOKSA-N 0 0 445.520 -0.271 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000561620262 1071364221 /nfs/dbraw/zinc/36/42/21/1071364221.db2.gz LFKWXYBJRZOGAR-PKOBYXMFSA-N 0 0 445.520 -0.271 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)CC1)NC1CC1 ZINC000561620806 1072286780 /nfs/dbraw/zinc/28/67/80/1072286780.db2.gz RZXXYKLQKFDZRU-INIZCTEOSA-N 0 0 447.923 -0.016 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)CC1)NC1CC1 ZINC000561620809 1072286596 /nfs/dbraw/zinc/28/65/96/1072286596.db2.gz RZXXYKLQKFDZRU-MRXNPFEDSA-N 0 0 447.923 -0.016 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@H]2CN(c3ccc(Br)cc3)C2=O)CC1 ZINC000561626212 1072286665 /nfs/dbraw/zinc/28/66/65/1072286665.db2.gz FMVFFZFSJRYBLM-AWEZNQCLSA-N 0 0 445.339 -0.142 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@@H]2CN(c3ccc(Br)cc3)C2=O)CC1 ZINC000561626213 1072286802 /nfs/dbraw/zinc/28/68/02/1072286802.db2.gz FMVFFZFSJRYBLM-CQSZACIVSA-N 0 0 445.339 -0.142 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@@H]2CN(c3ccc(Br)cc3)C2=O)c1 ZINC000561627412 1072286747 /nfs/dbraw/zinc/28/67/47/1072286747.db2.gz QZDRHWFCMAOFSO-GFCCVEGCSA-N 0 0 442.295 -0.008 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@H]2CN(c3ccc(Br)cc3)C2=O)c1 ZINC000561627413 1072286787 /nfs/dbraw/zinc/28/67/87/1072286787.db2.gz QZDRHWFCMAOFSO-LBPRGKRZSA-N 0 0 442.295 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2CCN(CC(F)(F)F)CC2)CC1)NC1CC1 ZINC000561630504 1072286759 /nfs/dbraw/zinc/28/67/59/1072286759.db2.gz SBGVZTXJEQKJBD-UHFFFAOYSA-N 0 0 433.475 -0.200 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3Cl)C2)C1 ZINC000561630744 1072287091 /nfs/dbraw/zinc/28/70/91/1072287091.db2.gz WXNXPFXWODAWRF-CHWSQXEVSA-N 0 0 429.930 -0.030 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3Cl)C2)C1 ZINC000561630747 1072287141 /nfs/dbraw/zinc/28/71/41/1072287141.db2.gz WXNXPFXWODAWRF-OLZOCXBDSA-N 0 0 429.930 -0.030 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3Cl)C2)C1 ZINC000561630748 1072287229 /nfs/dbraw/zinc/28/72/29/1072287229.db2.gz WXNXPFXWODAWRF-QWHCGFSZSA-N 0 0 429.930 -0.030 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3Cl)C2)C1 ZINC000561630749 1072287102 /nfs/dbraw/zinc/28/71/02/1072287102.db2.gz WXNXPFXWODAWRF-STQMWFEESA-N 0 0 429.930 -0.030 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2C[C@@H](COCC(=O)N(C)C)OC(C)(C)C2)nn1 ZINC000561631106 1072287169 /nfs/dbraw/zinc/28/71/69/1072287169.db2.gz GVMOZBVQAUCHNO-AWEZNQCLSA-N 0 0 426.474 -0.755 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2C[C@H](COCC(=O)N(C)C)OC(C)(C)C2)nn1 ZINC000561631107 1072287178 /nfs/dbraw/zinc/28/71/78/1072287178.db2.gz GVMOZBVQAUCHNO-CQSZACIVSA-N 0 0 426.474 -0.755 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(Cc4cscn4)CC3)C2=O)n(C)n1 ZINC000561631554 1072287080 /nfs/dbraw/zinc/28/70/80/1072287080.db2.gz CSIJPVGCZUIIAX-HNNXBMFYSA-N 0 0 431.522 -0.249 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(Cc4cscn4)CC3)C2=O)n(C)n1 ZINC000561631555 1072287186 /nfs/dbraw/zinc/28/71/86/1072287186.db2.gz CSIJPVGCZUIIAX-OAHLLOKOSA-N 0 0 431.522 -0.249 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCc3ccc(C(=O)N(C)C)cc3)C2=O)n(C)n1 ZINC000561631617 1072287053 /nfs/dbraw/zinc/28/70/53/1072287053.db2.gz FPZNWDSZOJNNOW-INIZCTEOSA-N 0 0 426.477 -0.032 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccc(C(=O)N(C)C)cc3)C2=O)n(C)n1 ZINC000561631618 1072287131 /nfs/dbraw/zinc/28/71/31/1072287131.db2.gz FPZNWDSZOJNNOW-MRXNPFEDSA-N 0 0 426.477 -0.032 20 0 IBADRN CCN(CCOC)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000561632615 1072287110 /nfs/dbraw/zinc/28/71/10/1072287110.db2.gz HQPVGLOJONMLRD-AWEZNQCLSA-N 0 0 429.495 -0.135 20 0 IBADRN CCN(CCOC)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000561632616 1072287235 /nfs/dbraw/zinc/28/72/35/1072287235.db2.gz HQPVGLOJONMLRD-CQSZACIVSA-N 0 0 429.495 -0.135 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)C2=O)n(C)n1 ZINC000561633545 1072287068 /nfs/dbraw/zinc/28/70/68/1072287068.db2.gz VSPDNLVUOGWAJP-GFCCVEGCSA-N 0 0 439.519 -0.269 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)C2=O)n(C)n1 ZINC000561633546 1072287119 /nfs/dbraw/zinc/28/71/19/1072287119.db2.gz VSPDNLVUOGWAJP-LBPRGKRZSA-N 0 0 439.519 -0.269 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(CCOc2ccccc2)CC1)C(=O)N1CCOCC1 ZINC000561634351 1072287221 /nfs/dbraw/zinc/28/72/21/1072287221.db2.gz ZYTFPKHWECNAHS-IBGZPJMESA-N 0 0 432.521 -0.037 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(CCOc2ccccc2)CC1)C(=O)N1CCOCC1 ZINC000561634352 1072287728 /nfs/dbraw/zinc/28/77/28/1072287728.db2.gz ZYTFPKHWECNAHS-LJQANCHMSA-N 0 0 432.521 -0.037 20 0 IBADRN CCc1cnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000561635405 1072287580 /nfs/dbraw/zinc/28/75/80/1072287580.db2.gz WGAXWVGPZZNOMT-UHFFFAOYSA-N 0 0 440.551 -0.103 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@H]3CCN(CC(F)(F)F)C3)C2=O)n(C)n1 ZINC000561635454 1072287616 /nfs/dbraw/zinc/28/76/16/1072287616.db2.gz XJISDCGLSOSFTD-CHWSQXEVSA-N 0 0 430.431 -0.050 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NC[C@H]3CCN(CC(F)(F)F)C3)C2=O)n(C)n1 ZINC000561635455 1072287688 /nfs/dbraw/zinc/28/76/88/1072287688.db2.gz XJISDCGLSOSFTD-OLZOCXBDSA-N 0 0 430.431 -0.050 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@@H]3CCN(CC(F)(F)F)C3)C2=O)n(C)n1 ZINC000561635458 1072287568 /nfs/dbraw/zinc/28/75/68/1072287568.db2.gz XJISDCGLSOSFTD-QWHCGFSZSA-N 0 0 430.431 -0.050 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NC[C@@H]3CCN(CC(F)(F)F)C3)C2=O)n(C)n1 ZINC000561635460 1072287556 /nfs/dbraw/zinc/28/75/56/1072287556.db2.gz XJISDCGLSOSFTD-STQMWFEESA-N 0 0 430.431 -0.050 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1)N1CCCC1 ZINC000561636295 1072287623 /nfs/dbraw/zinc/28/76/23/1072287623.db2.gz KEXKXWWOCGUTHZ-UHFFFAOYSA-N 0 0 429.525 -0.672 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)c1 ZINC000561637441 1072287526 /nfs/dbraw/zinc/28/75/26/1072287526.db2.gz WYDYGKSHAVXCAG-UHFFFAOYSA-N 0 0 431.474 -0.188 20 0 IBADRN CN(CCNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)S(=O)(=O)c1cccs1 ZINC000561640649 1072287596 /nfs/dbraw/zinc/28/75/96/1072287596.db2.gz QGEMRTWKIGVTQE-UONOGXRCSA-N 0 0 431.536 -0.109 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)[C@@H](C)C3)cc21 ZINC000561645335 1072287609 /nfs/dbraw/zinc/28/76/09/1072287609.db2.gz GGGRWLGCMAIEBP-ZDUSSCGKSA-N 0 0 435.506 -0.182 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CCN1S(C)(=O)=O ZINC000561645967 1072287473 /nfs/dbraw/zinc/28/74/73/1072287473.db2.gz XDADJTQSKATRFB-ZDUSSCGKSA-N 0 0 431.536 -0.296 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000561648512 1072288164 /nfs/dbraw/zinc/28/81/64/1072288164.db2.gz WUVUDQOSYQIKFD-KRWDZBQOSA-N 0 0 436.534 -0.560 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000561648514 1072288081 /nfs/dbraw/zinc/28/80/81/1072288081.db2.gz WUVUDQOSYQIKFD-QGZVFWFLSA-N 0 0 436.534 -0.560 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)ccc1F ZINC000561662690 1072288071 /nfs/dbraw/zinc/28/80/71/1072288071.db2.gz YWEIDCYFPIDMTP-UHFFFAOYSA-N 0 0 439.473 -0.116 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](CO)C1CCOCC1 ZINC000561664629 1072288155 /nfs/dbraw/zinc/28/81/55/1072288155.db2.gz NBGQFAGCEWFSAA-INIZCTEOSA-N 0 0 442.538 -0.155 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](CO)C1CCOCC1 ZINC000561664630 1072288170 /nfs/dbraw/zinc/28/81/70/1072288170.db2.gz NBGQFAGCEWFSAA-MRXNPFEDSA-N 0 0 442.538 -0.155 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccco1 ZINC000561664731 1072288096 /nfs/dbraw/zinc/28/80/96/1072288096.db2.gz QGVKQWWVMGDJPK-HNNXBMFYSA-N 0 0 436.465 -0.997 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccco1 ZINC000561664732 1072288013 /nfs/dbraw/zinc/28/80/13/1072288013.db2.gz QGVKQWWVMGDJPK-OAHLLOKOSA-N 0 0 436.465 -0.997 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cn1 ZINC000561664759 1072288088 /nfs/dbraw/zinc/28/80/88/1072288088.db2.gz REKRRAPMQWHJHG-UHFFFAOYSA-N 0 0 443.464 -0.264 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1 ZINC000561664795 1072288108 /nfs/dbraw/zinc/28/81/08/1072288108.db2.gz SIXYGEWZSNGEAM-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H](CCSC)C(=O)NC)c1 ZINC000561666065 1072288036 /nfs/dbraw/zinc/28/80/36/1072288036.db2.gz CPRAJUVNEVSAJF-AWEZNQCLSA-N 0 0 432.568 -0.137 20 0 IBADRN CSCC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N(C)C ZINC000561666557 1072288138 /nfs/dbraw/zinc/28/81/38/1072288138.db2.gz RYLMWWSNIKBWIN-HNNXBMFYSA-N 0 0 442.563 -0.253 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cn1C ZINC000561666605 1072288131 /nfs/dbraw/zinc/28/81/31/1072288131.db2.gz SNHZUXDZFQZXPC-CYBMUJFWSA-N 0 0 433.556 -0.673 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cn1C ZINC000561666607 1072288025 /nfs/dbraw/zinc/28/80/25/1072288025.db2.gz SNHZUXDZFQZXPC-ZDUSSCGKSA-N 0 0 433.556 -0.673 20 0 IBADRN COC(=O)CC1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000561669312 1073323117 /nfs/dbraw/zinc/32/31/17/1073323117.db2.gz UZDOIKYYWORXDZ-UHFFFAOYSA-N 0 0 434.497 0.421 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CCCN(C(=O)CCC(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000561669662 1072288114 /nfs/dbraw/zinc/28/81/14/1072288114.db2.gz LJXPAWCSKVQSGF-LLVKDONJSA-N 0 0 444.414 -0.117 20 0 IBADRN O=C(NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000561675725 1072288476 /nfs/dbraw/zinc/28/84/76/1072288476.db2.gz CSXVGWUFEMUZFO-CHWSQXEVSA-N 0 0 437.565 -0.129 20 0 IBADRN O=C(NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000561675726 1072288498 /nfs/dbraw/zinc/28/84/98/1072288498.db2.gz CSXVGWUFEMUZFO-OLZOCXBDSA-N 0 0 437.565 -0.129 20 0 IBADRN O=C(NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000561675727 1072288549 /nfs/dbraw/zinc/28/85/49/1072288549.db2.gz CSXVGWUFEMUZFO-QWHCGFSZSA-N 0 0 437.565 -0.129 20 0 IBADRN O=C(NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000561675728 1072288487 /nfs/dbraw/zinc/28/84/87/1072288487.db2.gz CSXVGWUFEMUZFO-STQMWFEESA-N 0 0 437.565 -0.129 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)C1 ZINC000561678810 1072288559 /nfs/dbraw/zinc/28/85/59/1072288559.db2.gz WBYYPIQCXJHZFX-INIZCTEOSA-N 0 0 425.493 -0.115 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)C1 ZINC000561678811 1072288457 /nfs/dbraw/zinc/28/84/57/1072288457.db2.gz WBYYPIQCXJHZFX-MRXNPFEDSA-N 0 0 425.493 -0.115 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)CC(=O)N2C)cnn1C ZINC000561679954 1072288568 /nfs/dbraw/zinc/28/85/68/1072288568.db2.gz PGKHVLVSZQICJK-GMBSWORKSA-N 0 0 442.524 -0.365 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)CC(=O)N2C)cnn1C ZINC000561679961 1072288614 /nfs/dbraw/zinc/28/86/14/1072288614.db2.gz PGKHVLVSZQICJK-OGWOLHLISA-N 0 0 442.524 -0.365 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCCc1cccc(F)c1)C2 ZINC000561682527 1072288522 /nfs/dbraw/zinc/28/85/22/1072288522.db2.gz PMEXTVVITSQICW-UHFFFAOYSA-N 0 0 432.456 -0.637 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000561684988 1072289048 /nfs/dbraw/zinc/28/90/48/1072289048.db2.gz QCSIWCUZUYQKBM-AWEZNQCLSA-N 0 0 445.563 -0.062 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000561684989 1072288933 /nfs/dbraw/zinc/28/89/33/1072288933.db2.gz QCSIWCUZUYQKBM-CQSZACIVSA-N 0 0 445.563 -0.062 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3cc4c(cc3Cl)NC(=O)CO4)CC2)nn1 ZINC000561686297 1072289024 /nfs/dbraw/zinc/28/90/24/1072289024.db2.gz BIAZBSWDZCKXBQ-UHFFFAOYSA-N 0 0 440.869 -0.054 20 0 IBADRN CC(C)[C@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCO1 ZINC000561687484 1072289015 /nfs/dbraw/zinc/28/90/15/1072289015.db2.gz UBTNGPAVHASBFT-GDBMZVCRSA-N 0 0 428.511 -0.032 20 0 IBADRN CC(C)[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCO1 ZINC000561687485 1072288878 /nfs/dbraw/zinc/28/88/78/1072288878.db2.gz UBTNGPAVHASBFT-GOEBONIOSA-N 0 0 428.511 -0.032 20 0 IBADRN CC(C)[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCO1 ZINC000561687486 1072288972 /nfs/dbraw/zinc/28/89/72/1072288972.db2.gz UBTNGPAVHASBFT-HOCLYGCPSA-N 0 0 428.511 -0.032 20 0 IBADRN CC(C)[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCO1 ZINC000561687487 1072289008 /nfs/dbraw/zinc/28/90/08/1072289008.db2.gz UBTNGPAVHASBFT-ZBFHGGJFSA-N 0 0 428.511 -0.032 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC000561691680 1072288983 /nfs/dbraw/zinc/28/89/83/1072288983.db2.gz RVQRTYCHKFVTFC-OAHLLOKOSA-N 0 0 448.567 -0.700 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000561696849 1072288893 /nfs/dbraw/zinc/28/88/93/1072288893.db2.gz DADNWHGYKBKUDU-CVEARBPZSA-N 0 0 437.522 -0.771 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000561696850 1072288960 /nfs/dbraw/zinc/28/89/60/1072288960.db2.gz DADNWHGYKBKUDU-HZPDHXFCSA-N 0 0 437.522 -0.771 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000561697652 1072289064 /nfs/dbraw/zinc/28/90/64/1072289064.db2.gz IPIMCHLVIRXFQW-DZGCQCFKSA-N 0 0 440.497 -0.023 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000561697653 1072289038 /nfs/dbraw/zinc/28/90/38/1072289038.db2.gz IPIMCHLVIRXFQW-HIFRSBDPSA-N 0 0 440.497 -0.023 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000561697654 1072288909 /nfs/dbraw/zinc/28/89/09/1072288909.db2.gz IPIMCHLVIRXFQW-UKRRQHHQSA-N 0 0 440.497 -0.023 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000561697656 1072289056 /nfs/dbraw/zinc/28/90/56/1072289056.db2.gz IPIMCHLVIRXFQW-ZFWWWQNUSA-N 0 0 440.497 -0.023 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)C1=O ZINC000561698096 1072289076 /nfs/dbraw/zinc/28/90/76/1072289076.db2.gz MYJWRMZVILTQFQ-MSOLQXFVSA-N 0 0 446.552 -0.259 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)C1=O ZINC000561698097 1072288999 /nfs/dbraw/zinc/28/89/99/1072288999.db2.gz MYJWRMZVILTQFQ-QZTJIDSGSA-N 0 0 446.552 -0.259 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)C1=O ZINC000561698098 1072288922 /nfs/dbraw/zinc/28/89/22/1072288922.db2.gz MYJWRMZVILTQFQ-ROUUACIJSA-N 0 0 446.552 -0.259 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)C1=O ZINC000561698099 1072289385 /nfs/dbraw/zinc/28/93/85/1072289385.db2.gz MYJWRMZVILTQFQ-ZWKOTPCHSA-N 0 0 446.552 -0.259 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1OC ZINC000561699068 1072289401 /nfs/dbraw/zinc/28/94/01/1072289401.db2.gz QWIZYEXSQSUGOF-CQSZACIVSA-N 0 0 428.511 -0.179 20 0 IBADRN COc1cc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc(OC)c1OCC(N)=O ZINC000561699194 1072289289 /nfs/dbraw/zinc/28/92/89/1072289289.db2.gz WFYNNORBPUFSHZ-CYBMUJFWSA-N 0 0 444.510 -0.432 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCSC3)CC2)C[C@@H](C)O1 ZINC000561699383 1072289581 /nfs/dbraw/zinc/28/95/81/1072289581.db2.gz FIAWXOFXDKFQSU-KFWWJZLASA-N 0 0 434.584 -0.646 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCSC3)CC2)C[C@H](C)O1 ZINC000561699384 1072289504 /nfs/dbraw/zinc/28/95/04/1072289504.db2.gz FIAWXOFXDKFQSU-QLFBSQMISA-N 0 0 434.584 -0.646 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCSC3)CC2)C[C@@H](C)O1 ZINC000561699385 1072289326 /nfs/dbraw/zinc/28/93/26/1072289326.db2.gz FIAWXOFXDKFQSU-RBSFLKMASA-N 0 0 434.584 -0.646 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCSC3)CC2)C[C@H](C)O1 ZINC000561699386 1072289336 /nfs/dbraw/zinc/28/93/36/1072289336.db2.gz FIAWXOFXDKFQSU-ZNMIVQPWSA-N 0 0 434.584 -0.646 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000561699990 1072289525 /nfs/dbraw/zinc/28/95/25/1072289525.db2.gz ZRFPKXGUHWAAQA-INIZCTEOSA-N 0 0 431.497 -0.564 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000561699991 1072289513 /nfs/dbraw/zinc/28/95/13/1072289513.db2.gz ZRFPKXGUHWAAQA-MRXNPFEDSA-N 0 0 431.497 -0.564 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2nccc3c2cccc3S(N)(=O)=O)C1 ZINC000561703650 1072289270 /nfs/dbraw/zinc/28/92/70/1072289270.db2.gz ZWHHTHWLBRQGFW-GFCCVEGCSA-N 0 0 441.535 -0.117 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCCN(C2CCCCC2)C1=O ZINC000561704056 1072289421 /nfs/dbraw/zinc/28/94/21/1072289421.db2.gz JAEIUEMCYYSPDE-CVEARBPZSA-N 0 0 428.555 -0.034 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCCN(C2CCCCC2)C1=O ZINC000561704057 1072289493 /nfs/dbraw/zinc/28/94/93/1072289493.db2.gz JAEIUEMCYYSPDE-HZPDHXFCSA-N 0 0 428.555 -0.034 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(C4CCCCC4)C3=O)CC2)c1=O ZINC000561704761 1072289588 /nfs/dbraw/zinc/28/95/88/1072289588.db2.gz RXYRTWSRCIWPQI-KRWDZBQOSA-N 0 0 444.536 -0.131 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(C4CCCCC4)C3=O)CC2)c1=O ZINC000561704762 1072289545 /nfs/dbraw/zinc/28/95/45/1072289545.db2.gz RXYRTWSRCIWPQI-QGZVFWFLSA-N 0 0 444.536 -0.131 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)C2)cc(OC)c1 ZINC000561706635 1072289433 /nfs/dbraw/zinc/28/94/33/1072289433.db2.gz IISMNFSYWFLPDY-FXAWDEMLSA-N 0 0 448.520 -0.242 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)C2)cc(OC)c1 ZINC000561706636 1072289464 /nfs/dbraw/zinc/28/94/64/1072289464.db2.gz IISMNFSYWFLPDY-PXNSSMCTSA-N 0 0 448.520 -0.242 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)C2)cc(OC)c1 ZINC000561706638 1072289558 /nfs/dbraw/zinc/28/95/58/1072289558.db2.gz IISMNFSYWFLPDY-XLIONFOSSA-N 0 0 448.520 -0.242 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)C2)cc(OC)c1 ZINC000561706640 1072289347 /nfs/dbraw/zinc/28/93/47/1072289347.db2.gz IISMNFSYWFLPDY-YLJYHZDGSA-N 0 0 448.520 -0.242 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1)N1CCCC1 ZINC000561706751 1072289945 /nfs/dbraw/zinc/28/99/45/1072289945.db2.gz LBSWHJMJVDOLJE-GOSISDBHSA-N 0 0 442.520 -0.540 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1)N1CCCC1 ZINC000561706752 1072289970 /nfs/dbraw/zinc/28/99/70/1072289970.db2.gz LBSWHJMJVDOLJE-SFHVURJKSA-N 0 0 442.520 -0.540 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000561707182 1072289934 /nfs/dbraw/zinc/28/99/34/1072289934.db2.gz NFFGLRMYEXYHTQ-CGTJXYLNSA-N 0 0 434.493 -0.434 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000561707184 1072289998 /nfs/dbraw/zinc/28/99/98/1072289998.db2.gz NFFGLRMYEXYHTQ-JQHSSLGASA-N 0 0 434.493 -0.434 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000561707185 1072290052 /nfs/dbraw/zinc/29/00/52/1072290052.db2.gz MWDMQYODHVWXDF-KFWWJZLASA-N 0 0 428.497 -0.512 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000561707186 1072290028 /nfs/dbraw/zinc/29/00/28/1072290028.db2.gz NFFGLRMYEXYHTQ-KBAYOESNSA-N 0 0 434.493 -0.434 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000561707187 1072290083 /nfs/dbraw/zinc/29/00/83/1072290083.db2.gz NFFGLRMYEXYHTQ-NXHRZFHOSA-N 0 0 434.493 -0.434 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000561707189 1072290018 /nfs/dbraw/zinc/29/00/18/1072290018.db2.gz MWDMQYODHVWXDF-RBSFLKMASA-N 0 0 428.497 -0.512 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000561707193 1072289957 /nfs/dbraw/zinc/28/99/57/1072289957.db2.gz MWDMQYODHVWXDF-RRFJBIMHSA-N 0 0 428.497 -0.512 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000561707195 1072290008 /nfs/dbraw/zinc/29/00/08/1072290008.db2.gz MWDMQYODHVWXDF-ZNMIVQPWSA-N 0 0 428.497 -0.512 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000561707786 1072290519 /nfs/dbraw/zinc/29/05/19/1072290519.db2.gz PNOYWEUGQJJHMI-KRWDZBQOSA-N 0 0 430.509 -0.684 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000561707787 1072290548 /nfs/dbraw/zinc/29/05/48/1072290548.db2.gz PNOYWEUGQJJHMI-QGZVFWFLSA-N 0 0 430.509 -0.684 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)CC1 ZINC000561707791 1072290351 /nfs/dbraw/zinc/29/03/51/1072290351.db2.gz PQSAMOOBMWEFFT-KRWDZBQOSA-N 0 0 448.520 -0.289 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)CC1 ZINC000561707792 1072290489 /nfs/dbraw/zinc/29/04/89/1072290489.db2.gz PQSAMOOBMWEFFT-QGZVFWFLSA-N 0 0 448.520 -0.289 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000561707954 1072289920 /nfs/dbraw/zinc/28/99/20/1072289920.db2.gz VFOZJQVNFBIQEG-GDBMZVCRSA-N 0 0 449.533 -0.290 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000561707959 1072289906 /nfs/dbraw/zinc/28/99/06/1072289906.db2.gz VFOZJQVNFBIQEG-GOEBONIOSA-N 0 0 449.533 -0.290 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000561707961 1072290036 /nfs/dbraw/zinc/29/00/36/1072290036.db2.gz VFOZJQVNFBIQEG-HOCLYGCPSA-N 0 0 449.533 -0.290 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000561707963 1072290568 /nfs/dbraw/zinc/29/05/68/1072290568.db2.gz VFOZJQVNFBIQEG-ZBFHGGJFSA-N 0 0 449.533 -0.290 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)CC2)n1 ZINC000561708160 1072290047 /nfs/dbraw/zinc/29/00/47/1072290047.db2.gz UANIOTZLYZCIJL-CYBMUJFWSA-N 0 0 430.494 -0.524 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)CC2)n1 ZINC000561708165 1072289869 /nfs/dbraw/zinc/28/98/69/1072289869.db2.gz UANIOTZLYZCIJL-ZDUSSCGKSA-N 0 0 430.494 -0.524 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000561708279 1072290055 /nfs/dbraw/zinc/29/00/55/1072290055.db2.gz YXHJSOZYPHQYNX-AWEZNQCLSA-N 0 0 429.481 -0.132 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000561708283 1072289885 /nfs/dbraw/zinc/28/98/85/1072289885.db2.gz YXHJSOZYPHQYNX-CQSZACIVSA-N 0 0 429.481 -0.132 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCSC[C@@H]1CCO ZINC000561710011 1072290276 /nfs/dbraw/zinc/29/02/76/1072290276.db2.gz ZGQXHPITCHDLBI-CABCVRRESA-N 0 0 433.538 -0.217 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCSC[C@@H]1CCO ZINC000561710012 1072290541 /nfs/dbraw/zinc/29/05/41/1072290541.db2.gz ZGQXHPITCHDLBI-GJZGRUSLSA-N 0 0 433.538 -0.217 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCSC[C@H]1CCO ZINC000561710013 1072290479 /nfs/dbraw/zinc/29/04/79/1072290479.db2.gz ZGQXHPITCHDLBI-HUUCEWRRSA-N 0 0 433.538 -0.217 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCSC[C@H]1CCO ZINC000561710014 1072290339 /nfs/dbraw/zinc/29/03/39/1072290339.db2.gz ZGQXHPITCHDLBI-LSDHHAIUSA-N 0 0 433.538 -0.217 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)CC2)c1=O ZINC000561711320 1072290450 /nfs/dbraw/zinc/29/04/50/1072290450.db2.gz WXOUSPSPVTVLJW-GFCCVEGCSA-N 0 0 444.414 -0.902 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)CC2)c1=O ZINC000561711321 1072290291 /nfs/dbraw/zinc/29/02/91/1072290291.db2.gz WXOUSPSPVTVLJW-LBPRGKRZSA-N 0 0 444.414 -0.902 20 0 IBADRN O=C(N[C@H](c1cccnc1)C1CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000561711941 1072290559 /nfs/dbraw/zinc/29/05/59/1072290559.db2.gz LEEMZIZAKVHWIF-KRWDZBQOSA-N 0 0 429.477 -0.822 20 0 IBADRN O=C(N[C@@H](c1cccnc1)C1CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000561711945 1072290327 /nfs/dbraw/zinc/29/03/27/1072290327.db2.gz LEEMZIZAKVHWIF-QGZVFWFLSA-N 0 0 429.477 -0.822 20 0 IBADRN COc1cc(NC(C)=O)c(Cl)cc1S(=O)(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000561713450 1072290462 /nfs/dbraw/zinc/29/04/62/1072290462.db2.gz UAUNASBPAPBXHU-UHFFFAOYSA-N 0 0 426.904 -0.183 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)CO[C@H]2CCOC2)CC1 ZINC000561715498 1072290305 /nfs/dbraw/zinc/29/03/05/1072290305.db2.gz BONSVPBTQAXVCY-CVEARBPZSA-N 0 0 435.543 -0.804 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CO[C@H]2CCOC2)CC1 ZINC000561715499 1072290389 /nfs/dbraw/zinc/29/03/89/1072290389.db2.gz BONSVPBTQAXVCY-HOTGVXAUSA-N 0 0 435.543 -0.804 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)CO[C@@H]2CCOC2)CC1 ZINC000561715500 1072290414 /nfs/dbraw/zinc/29/04/14/1072290414.db2.gz BONSVPBTQAXVCY-HZPDHXFCSA-N 0 0 435.543 -0.804 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CO[C@@H]2CCOC2)CC1 ZINC000561715501 1072290403 /nfs/dbraw/zinc/29/04/03/1072290403.db2.gz BONSVPBTQAXVCY-JKSUJKDBSA-N 0 0 435.543 -0.804 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c(O[C@H]2CCOC2)c1 ZINC000561716318 1072290426 /nfs/dbraw/zinc/29/04/26/1072290426.db2.gz MXCSVMVDBZVSRI-MOPGFXCFSA-N 0 0 435.521 -0.070 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c(O[C@H]2CCOC2)c1 ZINC000561716320 1072290533 /nfs/dbraw/zinc/29/05/33/1072290533.db2.gz MXCSVMVDBZVSRI-OALUTQOASA-N 0 0 435.521 -0.070 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c(O[C@@H]2CCOC2)c1 ZINC000561716321 1072290982 /nfs/dbraw/zinc/29/09/82/1072290982.db2.gz MXCSVMVDBZVSRI-RBUKOAKNSA-N 0 0 435.521 -0.070 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c(O[C@@H]2CCOC2)c1 ZINC000561716324 1072290870 /nfs/dbraw/zinc/29/08/70/1072290870.db2.gz MXCSVMVDBZVSRI-RTBURBONSA-N 0 0 435.521 -0.070 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000561717450 1072291016 /nfs/dbraw/zinc/29/10/16/1072291016.db2.gz HVQAPUZUDIWCOE-UHFFFAOYSA-N 0 0 428.492 -0.387 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)[C@H]2C1 ZINC000561723676 1072290841 /nfs/dbraw/zinc/29/08/41/1072290841.db2.gz CLKSZOSEJCRZLU-BZSNNMDCSA-N 0 0 435.525 -0.654 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)[C@@H]2C1 ZINC000561723677 1072290795 /nfs/dbraw/zinc/29/07/95/1072290795.db2.gz CLKSZOSEJCRZLU-KSZLIROESA-N 0 0 435.525 -0.654 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)[C@H]2C1 ZINC000561723678 1072290960 /nfs/dbraw/zinc/29/09/60/1072290960.db2.gz CLKSZOSEJCRZLU-OKZBNKHCSA-N 0 0 435.525 -0.654 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)[C@@H]2C1 ZINC000561723679 1072290906 /nfs/dbraw/zinc/29/09/06/1072290906.db2.gz CLKSZOSEJCRZLU-RCCFBDPRSA-N 0 0 435.525 -0.654 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1)C(F)(F)F ZINC000561728988 1072290815 /nfs/dbraw/zinc/29/08/15/1072290815.db2.gz LHBXVPHZCNBDOP-MDZLAQPJSA-N 0 0 440.448 -0.139 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1)C(F)(F)F ZINC000561728999 1072290930 /nfs/dbraw/zinc/29/09/30/1072290930.db2.gz LHBXVPHZCNBDOP-WZRBSPASSA-N 0 0 440.448 -0.139 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000561731371 1072291025 /nfs/dbraw/zinc/29/10/25/1072291025.db2.gz YOLYKZPKNXHWHI-GFCCVEGCSA-N 0 0 440.569 -0.727 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000561731374 1072291044 /nfs/dbraw/zinc/29/10/44/1072291044.db2.gz YOLYKZPKNXHWHI-LBPRGKRZSA-N 0 0 440.569 -0.727 20 0 IBADRN Cn1c(N)c(C(=O)CSc2nnnn2-c2ccc3c(c2)OCCO3)c(=O)n(C)c1=O ZINC000561735187 1072290892 /nfs/dbraw/zinc/29/08/92/1072290892.db2.gz AIDKYWUZMQBMQK-UHFFFAOYSA-N 0 0 431.434 -0.612 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC000561735260 1072290971 /nfs/dbraw/zinc/29/09/71/1072290971.db2.gz DBJYUEWXLRLZIX-KBPBESRZSA-N 0 0 442.546 -0.616 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC000561735261 1072291047 /nfs/dbraw/zinc/29/10/47/1072291047.db2.gz DBJYUEWXLRLZIX-KGLIPLIRSA-N 0 0 442.546 -0.616 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC000561735262 1072290919 /nfs/dbraw/zinc/29/09/19/1072290919.db2.gz DBJYUEWXLRLZIX-UONOGXRCSA-N 0 0 442.546 -0.616 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC000561735263 1072290850 /nfs/dbraw/zinc/29/08/50/1072290850.db2.gz DBJYUEWXLRLZIX-ZIAGYGMSSA-N 0 0 442.546 -0.616 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@@](O)(C(=O)N2CCCC2)C1 ZINC000561737161 1072290778 /nfs/dbraw/zinc/29/07/78/1072290778.db2.gz QPRSBTPLSZRAKK-APWZRJJASA-N 0 0 445.586 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@@](O)(C(=O)N2CCCC2)C1 ZINC000561737164 1072290826 /nfs/dbraw/zinc/29/08/26/1072290826.db2.gz QPRSBTPLSZRAKK-LPHOPBHVSA-N 0 0 445.586 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@](O)(C(=O)N2CCCC2)C1 ZINC000561737167 1072291558 /nfs/dbraw/zinc/29/15/58/1072291558.db2.gz QPRSBTPLSZRAKK-QFBILLFUSA-N 0 0 445.586 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@](O)(C(=O)N2CCCC2)C1 ZINC000561737170 1072291565 /nfs/dbraw/zinc/29/15/65/1072291565.db2.gz QPRSBTPLSZRAKK-VQIMIIECSA-N 0 0 445.586 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000561737601 1072291006 /nfs/dbraw/zinc/29/10/06/1072291006.db2.gz VPAWCAHPIJXAJX-HNNXBMFYSA-N 0 0 441.558 -0.727 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000561737606 1072290940 /nfs/dbraw/zinc/29/09/40/1072290940.db2.gz VPAWCAHPIJXAJX-OAHLLOKOSA-N 0 0 441.558 -0.727 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccsc3)CC2)CC1 ZINC000561739308 1072291369 /nfs/dbraw/zinc/29/13/69/1072291369.db2.gz GEPCBJOROFSYKF-UHFFFAOYSA-N 0 0 435.550 -0.299 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)[C@H](C)C1 ZINC000561739961 1072291493 /nfs/dbraw/zinc/29/14/93/1072291493.db2.gz GFSGIYPSSSSWPA-CYBMUJFWSA-N 0 0 431.536 -0.123 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1)C(=O)N1CCOCC1 ZINC000561740184 1072291457 /nfs/dbraw/zinc/29/14/57/1072291457.db2.gz HTMSCDFEWHYRTM-PMACEKPBSA-N 0 0 446.548 -0.200 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1)C(=O)N1CCOCC1 ZINC000561740185 1072291314 /nfs/dbraw/zinc/29/13/14/1072291314.db2.gz HTMSCDFEWHYRTM-UXHICEINSA-N 0 0 446.548 -0.200 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1)C(=O)N1CCOCC1 ZINC000561740187 1072291555 /nfs/dbraw/zinc/29/15/55/1072291555.db2.gz HTMSCDFEWHYRTM-VQTJNVASSA-N 0 0 446.548 -0.200 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1)C(=O)N1CCOCC1 ZINC000561740189 1072291550 /nfs/dbraw/zinc/29/15/50/1072291550.db2.gz HTMSCDFEWHYRTM-WOJBJXKFSA-N 0 0 446.548 -0.200 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)[C@H](C)C1 ZINC000561742111 1072291790 /nfs/dbraw/zinc/29/17/90/1072291790.db2.gz LBATUSDNGJRGRE-MRXNPFEDSA-N 0 0 434.518 -0.059 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000561742657 1072291976 /nfs/dbraw/zinc/29/19/76/1072291976.db2.gz IVMAGKZZOIXJBU-CABCVRRESA-N 0 0 439.604 -0.382 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000561742658 1072291871 /nfs/dbraw/zinc/29/18/71/1072291871.db2.gz IVMAGKZZOIXJBU-GJZGRUSLSA-N 0 0 439.604 -0.382 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000561742659 1072292034 /nfs/dbraw/zinc/29/20/34/1072292034.db2.gz IVMAGKZZOIXJBU-HUUCEWRRSA-N 0 0 439.604 -0.382 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000561742660 1072291939 /nfs/dbraw/zinc/29/19/39/1072291939.db2.gz IVMAGKZZOIXJBU-LSDHHAIUSA-N 0 0 439.604 -0.382 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCCCn1cc(Br)cn1 ZINC000561743209 1072291908 /nfs/dbraw/zinc/29/19/08/1072291908.db2.gz MQPGAGXIKOELQQ-HNNXBMFYSA-N 0 0 446.346 -0.307 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCCCn1cc(Br)cn1 ZINC000561743213 1072292012 /nfs/dbraw/zinc/29/20/12/1072292012.db2.gz MQPGAGXIKOELQQ-OAHLLOKOSA-N 0 0 446.346 -0.307 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NC2CCN(c3cc(C)nc(C)n3)CC2)CC1=O ZINC000561744601 1072291844 /nfs/dbraw/zinc/29/18/44/1072291844.db2.gz QQTNBJYWEAPPKB-UHFFFAOYSA-N 0 0 432.525 -0.114 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)CC2)nc(C)n1 ZINC000561749416 1072291988 /nfs/dbraw/zinc/29/19/88/1072291988.db2.gz XBLWHULBMSOFLT-UHFFFAOYSA-N 0 0 443.552 -0.399 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000561749434 1072291895 /nfs/dbraw/zinc/29/18/95/1072291895.db2.gz XOPXMFFOJDHXBU-GFCCVEGCSA-N 0 0 434.540 -0.267 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000561749435 1072291772 /nfs/dbraw/zinc/29/17/72/1072291772.db2.gz XOPXMFFOJDHXBU-LBPRGKRZSA-N 0 0 434.540 -0.267 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)CC2)ncn1 ZINC000561756621 1072292388 /nfs/dbraw/zinc/29/23/88/1072292388.db2.gz KSRWTTXVHKDLFZ-UHFFFAOYSA-N 0 0 445.553 -0.094 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@H](C)C1 ZINC000561757097 1072292354 /nfs/dbraw/zinc/29/23/54/1072292354.db2.gz CBSBCIVJAHPXPS-DGCLKSJQSA-N 0 0 435.572 -0.414 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)[C@H](C)C1 ZINC000561757099 1072292346 /nfs/dbraw/zinc/29/23/46/1072292346.db2.gz CBSBCIVJAHPXPS-YPMHNXCESA-N 0 0 435.572 -0.414 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000561757392 1072292374 /nfs/dbraw/zinc/29/23/74/1072292374.db2.gz GJIZOBFAIWGFAL-LLVKDONJSA-N 0 0 437.515 -0.093 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000561758057 1072292804 /nfs/dbraw/zinc/29/28/04/1072292804.db2.gz HJWORJRDNPKGEM-GUBZILKMSA-N 0 0 434.437 -0.436 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000561758058 1072292698 /nfs/dbraw/zinc/29/26/98/1072292698.db2.gz HJWORJRDNPKGEM-KXUCPTDWSA-N 0 0 434.437 -0.436 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000561758059 1072292832 /nfs/dbraw/zinc/29/28/32/1072292832.db2.gz HJWORJRDNPKGEM-LPEHRKFASA-N 0 0 434.437 -0.436 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000561758060 1072292844 /nfs/dbraw/zinc/29/28/44/1072292844.db2.gz HJWORJRDNPKGEM-UTLUCORTSA-N 0 0 434.437 -0.436 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2=O)cc1 ZINC000561758707 1072292765 /nfs/dbraw/zinc/29/27/65/1072292765.db2.gz BSLGKCSFIWGRST-KRWDZBQOSA-N 0 0 429.521 -0.380 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2=O)cc1 ZINC000561758713 1072292838 /nfs/dbraw/zinc/29/28/38/1072292838.db2.gz BSLGKCSFIWGRST-QGZVFWFLSA-N 0 0 429.521 -0.380 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)C2=O)cc1 ZINC000561758767 1072292824 /nfs/dbraw/zinc/29/28/24/1072292824.db2.gz CIRSSWAAKUXZMF-IBGZPJMESA-N 0 0 443.548 -0.165 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)C2=O)cc1 ZINC000561758772 1072292791 /nfs/dbraw/zinc/29/27/91/1072292791.db2.gz CIRSSWAAKUXZMF-LJQANCHMSA-N 0 0 443.548 -0.165 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000561759045 1072292720 /nfs/dbraw/zinc/29/27/20/1072292720.db2.gz DRXARNIMGFEZCY-UHFFFAOYSA-N 0 0 435.569 -0.094 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000561759127 1072292744 /nfs/dbraw/zinc/29/27/44/1072292744.db2.gz SLVNYNUFGDQOFW-KRWDZBQOSA-N 0 0 430.509 -0.638 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000561759135 1072292810 /nfs/dbraw/zinc/29/28/10/1072292810.db2.gz SLVNYNUFGDQOFW-QGZVFWFLSA-N 0 0 430.509 -0.638 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)n1 ZINC000561760929 1072293158 /nfs/dbraw/zinc/29/31/58/1072293158.db2.gz PSUORGMIHOYZMN-UHFFFAOYSA-N 0 0 437.570 -0.582 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1=O ZINC000561761246 1072293278 /nfs/dbraw/zinc/29/32/78/1072293278.db2.gz WEHIKYYHAZPABZ-CABCVRRESA-N 0 0 440.460 -0.428 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1=O ZINC000561761247 1072293255 /nfs/dbraw/zinc/29/32/55/1072293255.db2.gz WEHIKYYHAZPABZ-GJZGRUSLSA-N 0 0 440.460 -0.428 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)C1=O ZINC000561761248 1072293313 /nfs/dbraw/zinc/29/33/13/1072293313.db2.gz WEHIKYYHAZPABZ-HUUCEWRRSA-N 0 0 440.460 -0.428 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)C1=O ZINC000561761249 1072293222 /nfs/dbraw/zinc/29/32/22/1072293222.db2.gz WEHIKYYHAZPABZ-LSDHHAIUSA-N 0 0 440.460 -0.428 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)[C@H](C)C1 ZINC000561761906 1072293307 /nfs/dbraw/zinc/29/33/07/1072293307.db2.gz ZQCAWNOAOOZJAZ-MRXNPFEDSA-N 0 0 438.554 -0.212 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000561766195 1072293297 /nfs/dbraw/zinc/29/32/97/1072293297.db2.gz RXXCPHYBFKFGAA-KRWDZBQOSA-N 0 0 433.484 -0.677 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000561766206 1072293194 /nfs/dbraw/zinc/29/31/94/1072293194.db2.gz RXXCPHYBFKFGAA-QGZVFWFLSA-N 0 0 433.484 -0.677 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000561767642 1072293271 /nfs/dbraw/zinc/29/32/71/1072293271.db2.gz UUZHFBMAUPFQEI-HNNXBMFYSA-N 0 0 426.470 -0.853 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000561767643 1072293264 /nfs/dbraw/zinc/29/32/64/1072293264.db2.gz UUZHFBMAUPFQEI-OAHLLOKOSA-N 0 0 426.470 -0.853 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000561768844 1072293283 /nfs/dbraw/zinc/29/32/83/1072293283.db2.gz WSQVEIDUIRWYJW-GOSISDBHSA-N 0 0 435.569 -0.047 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000561768845 1072293234 /nfs/dbraw/zinc/29/32/34/1072293234.db2.gz WSQVEIDUIRWYJW-SFHVURJKSA-N 0 0 435.569 -0.047 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000561772577 1072293121 /nfs/dbraw/zinc/29/31/21/1072293121.db2.gz MGUNFTVSNDEBDH-KRWDZBQOSA-N 0 0 436.534 -0.560 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000561772581 1072293147 /nfs/dbraw/zinc/29/31/47/1072293147.db2.gz MGUNFTVSNDEBDH-QGZVFWFLSA-N 0 0 436.534 -0.560 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3Cl)C2=O)CCO1 ZINC000561773803 1072293207 /nfs/dbraw/zinc/29/32/07/1072293207.db2.gz VCUKDHWUHMOLAQ-AAEUAGOBSA-N 0 0 429.260 -0.072 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3Cl)C2=O)CCO1 ZINC000561773804 1072293819 /nfs/dbraw/zinc/29/38/19/1072293819.db2.gz VCUKDHWUHMOLAQ-DGCLKSJQSA-N 0 0 429.260 -0.072 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3Cl)C2=O)CCO1 ZINC000561773805 1072293666 /nfs/dbraw/zinc/29/36/66/1072293666.db2.gz VCUKDHWUHMOLAQ-WCQYABFASA-N 0 0 429.260 -0.072 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3Cl)C2=O)CCO1 ZINC000561773806 1072293831 /nfs/dbraw/zinc/29/38/31/1072293831.db2.gz VCUKDHWUHMOLAQ-YPMHNXCESA-N 0 0 429.260 -0.072 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)CC1 ZINC000561774155 1072293553 /nfs/dbraw/zinc/29/35/53/1072293553.db2.gz ZSZFHSKXICPEBY-AWEZNQCLSA-N 0 0 446.888 -0.196 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)CC1 ZINC000561774156 1072293678 /nfs/dbraw/zinc/29/36/78/1072293678.db2.gz ZSZFHSKXICPEBY-CQSZACIVSA-N 0 0 446.888 -0.196 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1=O ZINC000561776606 1072293574 /nfs/dbraw/zinc/29/35/74/1072293574.db2.gz LQRZFTSNFTUQMZ-KRWDZBQOSA-N 0 0 425.467 -0.239 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1=O ZINC000561776611 1072293688 /nfs/dbraw/zinc/29/36/88/1072293688.db2.gz LQRZFTSNFTUQMZ-QGZVFWFLSA-N 0 0 425.467 -0.239 20 0 IBADRN CC[C@@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C[C@@H](C)S1(=O)=O ZINC000561781075 1072293749 /nfs/dbraw/zinc/29/37/49/1072293749.db2.gz JWRFOGANSFEVSN-MBNYWOFBSA-N 0 0 431.536 -0.124 20 0 IBADRN CC[C@@H]1CN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C[C@H](C)S1(=O)=O ZINC000561781076 1072293824 /nfs/dbraw/zinc/29/38/24/1072293824.db2.gz JWRFOGANSFEVSN-OUCADQQQSA-N 0 0 431.536 -0.124 20 0 IBADRN CC[C@@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C[C@H](C)S1(=O)=O ZINC000561781077 1072293644 /nfs/dbraw/zinc/29/36/44/1072293644.db2.gz JWRFOGANSFEVSN-SGMGOOAPSA-N 0 0 431.536 -0.124 20 0 IBADRN CC[C@@H]1CN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)C[C@@H](C)S1(=O)=O ZINC000561781078 1072293703 /nfs/dbraw/zinc/29/37/03/1072293703.db2.gz JWRFOGANSFEVSN-YRGRVCCFSA-N 0 0 431.536 -0.124 20 0 IBADRN CCS(=O)(=O)c1ccc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000561781693 1072293760 /nfs/dbraw/zinc/29/37/60/1072293760.db2.gz CMLPXANKPNIWPI-UHFFFAOYSA-N 0 0 425.554 -0.608 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000561785560 1072293614 /nfs/dbraw/zinc/29/36/14/1072293614.db2.gz MSGMCJNAAOEQBT-MRXNPFEDSA-N 0 0 426.543 -0.058 20 0 IBADRN CN(Cc1ccccc1Br)C(=O)CCNC(=O)C(=O)N1CCNC(=O)C1 ZINC000561790525 1072293775 /nfs/dbraw/zinc/29/37/75/1072293775.db2.gz YZPGRGZAOOIOLK-UHFFFAOYSA-N 0 0 425.283 -0.128 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000561790745 1072293738 /nfs/dbraw/zinc/29/37/38/1072293738.db2.gz XTOQJIGQAJURDL-OAHLLOKOSA-N 0 0 446.551 -0.618 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3c(F)cccc3F)C2)C1 ZINC000561790798 1072293590 /nfs/dbraw/zinc/29/35/90/1072293590.db2.gz ZLLOBGGAGJETSF-CHWSQXEVSA-N 0 0 430.477 -0.065 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3c(F)cccc3F)C2)C1 ZINC000561790799 1072294312 /nfs/dbraw/zinc/29/43/12/1072294312.db2.gz ZLLOBGGAGJETSF-OLZOCXBDSA-N 0 0 430.477 -0.065 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3c(F)cccc3F)C2)C1 ZINC000561790800 1072294195 /nfs/dbraw/zinc/29/41/95/1072294195.db2.gz ZLLOBGGAGJETSF-QWHCGFSZSA-N 0 0 430.477 -0.065 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3c(F)cccc3F)C2)C1 ZINC000561790801 1072294208 /nfs/dbraw/zinc/29/42/08/1072294208.db2.gz ZLLOBGGAGJETSF-STQMWFEESA-N 0 0 430.477 -0.065 20 0 IBADRN CC(C)Oc1cc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccn1 ZINC000561791646 1072293630 /nfs/dbraw/zinc/29/36/30/1072293630.db2.gz AVRAYVHLIVFZQW-UHFFFAOYSA-N 0 0 433.509 -0.512 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000561804253 1072294216 /nfs/dbraw/zinc/29/42/16/1072294216.db2.gz OJSWCHRUNYKGLF-DLBZAZTESA-N 0 0 441.550 -0.493 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000561804254 1072294103 /nfs/dbraw/zinc/29/41/03/1072294103.db2.gz OJSWCHRUNYKGLF-IAGOWNOFSA-N 0 0 441.550 -0.493 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000561804256 1072294145 /nfs/dbraw/zinc/29/41/45/1072294145.db2.gz OJSWCHRUNYKGLF-IRXDYDNUSA-N 0 0 441.550 -0.493 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000561804258 1072294287 /nfs/dbraw/zinc/29/42/87/1072294287.db2.gz OJSWCHRUNYKGLF-SJORKVTESA-N 0 0 441.550 -0.493 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)CC1 ZINC000561805148 1072294302 /nfs/dbraw/zinc/29/43/02/1072294302.db2.gz QPFNFAGKQZSDSO-KRWDZBQOSA-N 0 0 448.476 -0.758 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)CC1 ZINC000561805149 1072294334 /nfs/dbraw/zinc/29/43/34/1072294334.db2.gz QPFNFAGKQZSDSO-QGZVFWFLSA-N 0 0 448.476 -0.758 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)s1 ZINC000561806731 1072294317 /nfs/dbraw/zinc/29/43/17/1072294317.db2.gz ISUUOUWPZGQDFH-HNNXBMFYSA-N 0 0 444.579 -0.368 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)s1 ZINC000561806732 1072294239 /nfs/dbraw/zinc/29/42/39/1072294239.db2.gz ISUUOUWPZGQDFH-OAHLLOKOSA-N 0 0 444.579 -0.368 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC(Cn3cncn3)CC2)s1 ZINC000561807751 1072294183 /nfs/dbraw/zinc/29/41/83/1072294183.db2.gz SFAKDFPTOJMSQS-UHFFFAOYSA-N 0 0 440.551 -0.019 20 0 IBADRN COCCc1noc(CN(C)C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)n1 ZINC000561807954 1072294340 /nfs/dbraw/zinc/29/43/40/1072294340.db2.gz UEMANKFJUJQGSU-UHFFFAOYSA-N 0 0 445.523 -0.318 20 0 IBADRN Cc1cnc([C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000561807984 1072294327 /nfs/dbraw/zinc/29/43/27/1072294327.db2.gz VIBZFZDMDYLINA-CYBMUJFWSA-N 0 0 437.522 -0.799 20 0 IBADRN Cc1cnc([C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000561807986 1072294898 /nfs/dbraw/zinc/29/48/98/1072294898.db2.gz VIBZFZDMDYLINA-ZDUSSCGKSA-N 0 0 437.522 -0.799 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccnc(OC2CCOCC2)c1 ZINC000561808961 1072294667 /nfs/dbraw/zinc/29/46/67/1072294667.db2.gz JSJYBHXJBAXPMY-HNNXBMFYSA-N 0 0 440.522 -0.204 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccnc(OC2CCOCC2)c1 ZINC000561808962 1072294691 /nfs/dbraw/zinc/29/46/91/1072294691.db2.gz JSJYBHXJBAXPMY-OAHLLOKOSA-N 0 0 440.522 -0.204 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n1C ZINC000561809145 1072294853 /nfs/dbraw/zinc/29/48/53/1072294853.db2.gz PBOVYDDLNHNFND-UHFFFAOYSA-N 0 0 448.549 -0.224 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCc2cnc(N(C)C)n2C)s1 ZINC000561809230 1072294907 /nfs/dbraw/zinc/29/49/07/1072294907.db2.gz SELIMICOHUCIES-UHFFFAOYSA-N 0 0 428.540 -0.433 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)CC1 ZINC000561812106 1072294646 /nfs/dbraw/zinc/29/46/46/1072294646.db2.gz WEKNNHXYVLGKET-UHFFFAOYSA-N 0 0 440.522 -0.251 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)n1C ZINC000561812352 1072294951 /nfs/dbraw/zinc/29/49/51/1072294951.db2.gz CMIGOAAIHWAFPB-UHFFFAOYSA-N 0 0 434.522 -0.041 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cnc(N(C)C)n2C)cn1 ZINC000561812579 1072294757 /nfs/dbraw/zinc/29/47/57/1072294757.db2.gz GTDZAIUSIHEFGL-HNNXBMFYSA-N 0 0 437.526 -0.683 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cnc(N(C)C)n2C)cn1 ZINC000561812580 1072294880 /nfs/dbraw/zinc/29/48/80/1072294880.db2.gz GTDZAIUSIHEFGL-OAHLLOKOSA-N 0 0 437.526 -0.683 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)C1 ZINC000561812810 1072294799 /nfs/dbraw/zinc/29/47/99/1072294799.db2.gz NCNFQLWLUCRDCW-HNNXBMFYSA-N 0 0 444.558 -0.999 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)C1 ZINC000561812811 1072294704 /nfs/dbraw/zinc/29/47/04/1072294704.db2.gz NCNFQLWLUCRDCW-OAHLLOKOSA-N 0 0 444.558 -0.999 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)n1C ZINC000561813153 1072294825 /nfs/dbraw/zinc/29/48/25/1072294825.db2.gz STRCZAHHAWYHSS-INIZCTEOSA-N 0 0 440.570 -0.045 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)n1C ZINC000561813344 1072294723 /nfs/dbraw/zinc/29/47/23/1072294723.db2.gz STRCZAHHAWYHSS-MRXNPFEDSA-N 0 0 440.570 -0.045 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCc3cnc(N(C)C)n3C)CC2)CC1 ZINC000561814580 1072294936 /nfs/dbraw/zinc/29/49/36/1072294936.db2.gz OCMLPOWTUOSNQM-UHFFFAOYSA-N 0 0 447.584 -0.059 20 0 IBADRN Cc1cc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)nn1-c1ccc(F)cc1F ZINC000561824214 1072295309 /nfs/dbraw/zinc/29/53/09/1072295309.db2.gz XEJUGGXNKHNVTL-CYBMUJFWSA-N 0 0 442.444 0.405 20 0 IBADRN Cc1cc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)nn1-c1ccc(F)cc1F ZINC000561824216 1073322771 /nfs/dbraw/zinc/32/27/71/1073322771.db2.gz XEJUGGXNKHNVTL-ZDUSSCGKSA-N 0 0 442.444 0.405 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000561824963 1072295215 /nfs/dbraw/zinc/29/52/15/1072295215.db2.gz MOLVMMMMGBOBLZ-SWLSCSKDSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000561825101 1072295206 /nfs/dbraw/zinc/29/52/06/1072295206.db2.gz IIYKQTXJQJLKTM-DSJMHWKBSA-N 0 0 435.502 -0.429 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000561825105 1072295120 /nfs/dbraw/zinc/29/51/20/1072295120.db2.gz IIYKQTXJQJLKTM-KNCOVGOOSA-N 0 0 435.502 -0.429 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000561825106 1072295192 /nfs/dbraw/zinc/29/51/92/1072295192.db2.gz IIYKQTXJQJLKTM-RZLSGREXSA-N 0 0 435.502 -0.429 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000561825107 1072295231 /nfs/dbraw/zinc/29/52/31/1072295231.db2.gz IIYKQTXJQJLKTM-TTZDDIAXSA-N 0 0 435.502 -0.429 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCN(c2ccccc2)C1=O ZINC000561826613 1072295173 /nfs/dbraw/zinc/29/51/73/1072295173.db2.gz DFEFPYQZQFNFIN-HNNXBMFYSA-N 0 0 440.547 -0.597 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCN(c2ccccc2)C1=O ZINC000561826614 1072295289 /nfs/dbraw/zinc/29/52/89/1072295289.db2.gz DFEFPYQZQFNFIN-OAHLLOKOSA-N 0 0 440.547 -0.597 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1)N1CCCC1 ZINC000561826842 1072295355 /nfs/dbraw/zinc/29/53/55/1072295355.db2.gz GRSUZNPKXXHCPP-GOSISDBHSA-N 0 0 427.505 -0.325 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1)N1CCCC1 ZINC000561826844 1072295244 /nfs/dbraw/zinc/29/52/44/1072295244.db2.gz GRSUZNPKXXHCPP-SFHVURJKSA-N 0 0 427.505 -0.325 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccnc2C(F)(F)F)CC1 ZINC000561828590 1072295267 /nfs/dbraw/zinc/29/52/67/1072295267.db2.gz BDUREYVJMPXBOE-UHFFFAOYSA-N 0 0 441.366 -0.141 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCOc3ccc(F)cc32)CC1)N1CCOCC1 ZINC000561829905 1072295816 /nfs/dbraw/zinc/29/58/16/1072295816.db2.gz KOXFZVHHCVRLOB-KRWDZBQOSA-N 0 0 434.468 -0.232 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCOc3ccc(F)cc32)CC1)N1CCOCC1 ZINC000561829907 1072295702 /nfs/dbraw/zinc/29/57/02/1072295702.db2.gz KOXFZVHHCVRLOB-QGZVFWFLSA-N 0 0 434.468 -0.232 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)C2=O)cn1 ZINC000561830549 1072295370 /nfs/dbraw/zinc/29/53/70/1072295370.db2.gz REWJWHQAYMTBBK-KRWDZBQOSA-N 0 0 440.508 -0.042 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)C2=O)cn1 ZINC000561830551 1072295789 /nfs/dbraw/zinc/29/57/89/1072295789.db2.gz REWJWHQAYMTBBK-QGZVFWFLSA-N 0 0 440.508 -0.042 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@H]1CCOc2ccc(F)cc21 ZINC000561832431 1072295870 /nfs/dbraw/zinc/29/58/70/1072295870.db2.gz YZNOBXCJKNGWFO-AWEZNQCLSA-N 0 0 426.426 -0.011 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CCOc2ccc(F)cc21 ZINC000561832436 1072295880 /nfs/dbraw/zinc/29/58/80/1072295880.db2.gz YZNOBXCJKNGWFO-CQSZACIVSA-N 0 0 426.426 -0.011 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000561833550 1072295768 /nfs/dbraw/zinc/29/57/68/1072295768.db2.gz NABRXRXQKMMRPR-AEFFLSMTSA-N 0 0 429.521 -0.033 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000561833552 1072295778 /nfs/dbraw/zinc/29/57/78/1072295778.db2.gz NABRXRXQKMMRPR-FUHWJXTLSA-N 0 0 429.521 -0.033 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000561833554 1072295847 /nfs/dbraw/zinc/29/58/47/1072295847.db2.gz NABRXRXQKMMRPR-SJLPKXTDSA-N 0 0 429.521 -0.033 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000561833555 1072295760 /nfs/dbraw/zinc/29/57/60/1072295760.db2.gz NABRXRXQKMMRPR-WMZOPIPTSA-N 0 0 429.521 -0.033 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4)C3=O)CC2)NC(=O)NC1=O ZINC000561834964 1072295710 /nfs/dbraw/zinc/29/57/10/1072295710.db2.gz VDMWGNQMPMAWNF-BTYIYWSLSA-N 0 0 427.461 -0.255 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4)C3=O)CC2)NC(=O)NC1=O ZINC000561834965 1072295860 /nfs/dbraw/zinc/29/58/60/1072295860.db2.gz VDMWGNQMPMAWNF-QVKFZJNVSA-N 0 0 427.461 -0.255 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4)C3=O)CC2)NC(=O)NC1=O ZINC000561834966 1072295753 /nfs/dbraw/zinc/29/57/53/1072295753.db2.gz VDMWGNQMPMAWNF-VFNWGFHPSA-N 0 0 427.461 -0.255 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4)C3=O)CC2)NC(=O)NC1=O ZINC000561834967 1072295909 /nfs/dbraw/zinc/29/59/09/1072295909.db2.gz VDMWGNQMPMAWNF-YCRPNKLZSA-N 0 0 427.461 -0.255 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000561838514 1072295807 /nfs/dbraw/zinc/29/58/07/1072295807.db2.gz BIMQDGAGMKUNOI-UHFFFAOYSA-N 0 0 428.442 -0.113 20 0 IBADRN CN(CCOc1ccc(F)cc1)C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000561838528 1072296387 /nfs/dbraw/zinc/29/63/87/1072296387.db2.gz BQUJJKLANDOSLX-UHFFFAOYSA-N 0 0 428.442 -0.113 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000561839484 1072296406 /nfs/dbraw/zinc/29/64/06/1072296406.db2.gz NMSWWVDSPYDHAF-UHFFFAOYSA-N 0 0 445.542 -0.973 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000561839527 1072296357 /nfs/dbraw/zinc/29/63/57/1072296357.db2.gz NZCZEHMUKSENED-UHFFFAOYSA-N 0 0 436.494 -0.738 20 0 IBADRN CO[C@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1(C)C ZINC000561839797 1072296304 /nfs/dbraw/zinc/29/63/04/1072296304.db2.gz HHFDIGXTCOWWPA-KBPBESRZSA-N 0 0 427.527 -0.509 20 0 IBADRN CO[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1(C)C ZINC000561839798 1072296234 /nfs/dbraw/zinc/29/62/34/1072296234.db2.gz HHFDIGXTCOWWPA-KGLIPLIRSA-N 0 0 427.527 -0.509 20 0 IBADRN CO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1(C)C ZINC000561839799 1072296376 /nfs/dbraw/zinc/29/63/76/1072296376.db2.gz HHFDIGXTCOWWPA-UONOGXRCSA-N 0 0 427.527 -0.509 20 0 IBADRN CO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1(C)C ZINC000561839800 1072296246 /nfs/dbraw/zinc/29/62/46/1072296246.db2.gz HHFDIGXTCOWWPA-ZIAGYGMSSA-N 0 0 427.527 -0.509 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(Cc2ccsc2)CC1 ZINC000561840207 1072296326 /nfs/dbraw/zinc/29/63/26/1072296326.db2.gz STFMEAICASBQAG-UHFFFAOYSA-N 0 0 441.535 -0.384 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C(C)=O)C[C@H]2C)C1=O ZINC000561841647 1072296317 /nfs/dbraw/zinc/29/63/17/1072296317.db2.gz JECHPGIBURPRER-BXUZGUMPSA-N 0 0 436.490 -0.165 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCN(C(C)=O)C[C@@H]2C)C1=O ZINC000561841649 1072296456 /nfs/dbraw/zinc/29/64/56/1072296456.db2.gz JECHPGIBURPRER-FZMZJTMJSA-N 0 0 436.490 -0.165 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCN(C(C)=O)C[C@H]2C)C1=O ZINC000561841655 1072296277 /nfs/dbraw/zinc/29/62/77/1072296277.db2.gz JECHPGIBURPRER-RISCZKNCSA-N 0 0 436.490 -0.165 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C(C)=O)C[C@@H]2C)C1=O ZINC000561841656 1072296397 /nfs/dbraw/zinc/29/63/97/1072296397.db2.gz JECHPGIBURPRER-SMDDNHRTSA-N 0 0 436.490 -0.165 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2cnn(C)c2C)CC1 ZINC000561844311 1072296442 /nfs/dbraw/zinc/29/64/42/1072296442.db2.gz INMDQDQQYMDNKE-UHFFFAOYSA-N 0 0 428.559 -0.144 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(c3ccc(F)cc3F)CC2)CC1 ZINC000561848195 1072296337 /nfs/dbraw/zinc/29/63/37/1072296337.db2.gz AOUCXJSLYXWOAO-UHFFFAOYSA-N 0 0 433.419 -0.530 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1ccncc1 ZINC000561848745 1072296260 /nfs/dbraw/zinc/29/62/60/1072296260.db2.gz ONHDVLDBNOPSFQ-AWEZNQCLSA-N 0 0 449.489 -0.126 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1ccncc1 ZINC000561848746 1072296271 /nfs/dbraw/zinc/29/62/71/1072296271.db2.gz ONHDVLDBNOPSFQ-CQSZACIVSA-N 0 0 449.489 -0.126 20 0 IBADRN Cc1cnccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000561849745 1072296850 /nfs/dbraw/zinc/29/68/50/1072296850.db2.gz JHWKINDXCHATLT-HOTGVXAUSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cnccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000561849751 1072296789 /nfs/dbraw/zinc/29/67/89/1072296789.db2.gz JHWKINDXCHATLT-HZPDHXFCSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1cnccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000561849754 1072296823 /nfs/dbraw/zinc/29/68/23/1072296823.db2.gz JHWKINDXCHATLT-IYBDPMFKSA-N 0 0 439.538 -0.496 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)c3cnn(C)c3)CC2)cs1 ZINC000561851038 1072296747 /nfs/dbraw/zinc/29/67/47/1072296747.db2.gz VJHDAELLXXSJLC-LLVKDONJSA-N 0 0 426.524 -0.105 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)c3cnn(C)c3)CC2)cs1 ZINC000561851040 1072296958 /nfs/dbraw/zinc/29/69/58/1072296958.db2.gz VJHDAELLXXSJLC-NSHDSACASA-N 0 0 426.524 -0.105 20 0 IBADRN CCN1C[C@H](C(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(CC)C3)[C@@H](c3cnn(C)c3)C2)CC1=O ZINC000561853361 1072296883 /nfs/dbraw/zinc/29/68/83/1072296883.db2.gz XSUJTGPJUMWOQF-JFIYKMOQSA-N 0 0 444.536 -0.131 20 0 IBADRN CCN1C[C@H](C(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(CC)C3)[C@H](c3cnn(C)c3)C2)CC1=O ZINC000561853363 1072296934 /nfs/dbraw/zinc/29/69/34/1072296934.db2.gz XSUJTGPJUMWOQF-NUJGCVRESA-N 0 0 444.536 -0.131 20 0 IBADRN CCN1C[C@H](C(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CC)C3)[C@H](c3cnn(C)c3)C2)CC1=O ZINC000561853365 1072296893 /nfs/dbraw/zinc/29/68/93/1072296893.db2.gz XSUJTGPJUMWOQF-RYRKJORJSA-N 0 0 444.536 -0.131 20 0 IBADRN CCN1C[C@H](C(=O)N2CCN(C(=O)[C@H]3CC(=O)N(CC)C3)[C@@H](c3cnn(C)c3)C2)CC1=O ZINC000561853367 1072296907 /nfs/dbraw/zinc/29/69/07/1072296907.db2.gz XSUJTGPJUMWOQF-SOLBZPMBSA-N 0 0 444.536 -0.131 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CCC(=O)N1C ZINC000561855076 1072296835 /nfs/dbraw/zinc/29/68/35/1072296835.db2.gz IPLWIZGXGRCSAB-CRAIPNDOSA-N 0 0 445.524 -0.442 20 0 IBADRN Cc1c(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cnn1C ZINC000561857293 1072296860 /nfs/dbraw/zinc/29/68/60/1072296860.db2.gz WPLPTSSXERKFIL-UHFFFAOYSA-N 0 0 448.549 -0.403 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000561858155 1071398137 /nfs/dbraw/zinc/39/81/37/1071398137.db2.gz DZAGCYHMTBOQGY-GAEBUCLRSA-N 0 0 442.582 -0.815 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000561858156 1071398482 /nfs/dbraw/zinc/39/84/82/1071398482.db2.gz DZAGCYHMTBOQGY-GZKOVBJLSA-N 0 0 442.582 -0.815 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000561858157 1071398381 /nfs/dbraw/zinc/39/83/81/1071398381.db2.gz DZAGCYHMTBOQGY-QRYFSLSHSA-N 0 0 442.582 -0.815 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000561858158 1071183704 /nfs/dbraw/zinc/18/37/04/1071183704.db2.gz DZAGCYHMTBOQGY-WGGUYMNQSA-N 0 0 442.582 -0.815 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)n1 ZINC000561858973 1072296944 /nfs/dbraw/zinc/29/69/44/1072296944.db2.gz GZQOMBDNFTVDKM-UHFFFAOYSA-N 0 0 449.518 -0.426 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4ccn(C)n4)CC3)C2=O)n(C)n1 ZINC000561859784 1072296777 /nfs/dbraw/zinc/29/67/77/1072296777.db2.gz DSQOHTNRKFTFEN-KRWDZBQOSA-N 0 0 442.524 -0.582 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4ccn(C)n4)CC3)C2=O)n(C)n1 ZINC000561859785 1072296971 /nfs/dbraw/zinc/29/69/71/1072296971.db2.gz DSQOHTNRKFTFEN-QGZVFWFLSA-N 0 0 442.524 -0.582 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)cn1 ZINC000561860694 1072296812 /nfs/dbraw/zinc/29/68/12/1072296812.db2.gz OEHFRLXRFDYNEE-WBVHZDCISA-N 0 0 444.471 -0.383 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2nc(Cc3nc(N)nc(Nc4ccccc4)n3)no2)CC1 ZINC000561863144 1072296797 /nfs/dbraw/zinc/29/67/97/1072296797.db2.gz DHVXFCALSWKZGG-UHFFFAOYSA-N 0 0 445.509 -0.330 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000561864340 1072296919 /nfs/dbraw/zinc/29/69/19/1072296919.db2.gz IGZUULJDPXMNDY-INIZCTEOSA-N 0 0 427.509 -0.058 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000561864344 1072297256 /nfs/dbraw/zinc/29/72/56/1072297256.db2.gz IGZUULJDPXMNDY-MRXNPFEDSA-N 0 0 427.509 -0.058 20 0 IBADRN Cc1nnc([C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000561871782 1072297274 /nfs/dbraw/zinc/29/72/74/1072297274.db2.gz LKZQNCLCFJOBQC-JTQLQIEISA-N 0 0 441.539 -0.401 20 0 IBADRN Cc1nnc([C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000561871787 1072297479 /nfs/dbraw/zinc/29/74/79/1072297479.db2.gz LKZQNCLCFJOBQC-SNVBAGLBSA-N 0 0 441.539 -0.401 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)CN(C)S(=O)(=O)c1cccnc1 ZINC000561871830 1072297462 /nfs/dbraw/zinc/29/74/62/1072297462.db2.gz MEXPTEHTWHRTGG-AWEZNQCLSA-N 0 0 433.556 -0.521 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)CN(C)S(=O)(=O)c1cccnc1 ZINC000561871833 1072297438 /nfs/dbraw/zinc/29/74/38/1072297438.db2.gz MEXPTEHTWHRTGG-CQSZACIVSA-N 0 0 433.556 -0.521 20 0 IBADRN CCCn1c(N)c(C(=O)CN2C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C2)c(=O)[nH]c1=O ZINC000561872045 1072297330 /nfs/dbraw/zinc/29/73/30/1072297330.db2.gz XJAVMNWTTPBBNK-CHWSQXEVSA-N 0 0 439.513 -0.558 20 0 IBADRN CCCn1c(N)c(C(=O)CN2C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C2)c(=O)[nH]c1=O ZINC000561872046 1072297355 /nfs/dbraw/zinc/29/73/55/1072297355.db2.gz XJAVMNWTTPBBNK-QWHCGFSZSA-N 0 0 439.513 -0.558 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCc3ccc(C(N)=O)cc3)C2)nc1 ZINC000561872981 1072297264 /nfs/dbraw/zinc/29/72/64/1072297264.db2.gz KGZGMTPFMBOKAU-UHFFFAOYSA-N 0 0 425.445 -0.022 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1-n1cccn1 ZINC000561875087 1072297454 /nfs/dbraw/zinc/29/74/54/1072297454.db2.gz AWMXHRZOQLZWRI-HNNXBMFYSA-N 0 0 437.464 -0.593 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1-n1cccn1 ZINC000561875088 1072297423 /nfs/dbraw/zinc/29/74/23/1072297423.db2.gz AWMXHRZOQLZWRI-OAHLLOKOSA-N 0 0 437.464 -0.593 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NC[C@]2(C)CCCO2)CC1 ZINC000561875600 1072297347 /nfs/dbraw/zinc/29/73/47/1072297347.db2.gz LCGSGJWQEOSRGH-FQEVSTJZSA-N 0 0 438.550 -0.076 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NC[C@@]2(C)CCCO2)CC1 ZINC000561875601 1072297321 /nfs/dbraw/zinc/29/73/21/1072297321.db2.gz LCGSGJWQEOSRGH-HXUWFJFHSA-N 0 0 438.550 -0.076 20 0 IBADRN CCOc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1OC ZINC000561879594 1072297380 /nfs/dbraw/zinc/29/73/80/1072297380.db2.gz NDMKMNFPXTYUBT-UHFFFAOYSA-N 0 0 432.477 -0.341 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1-n1cccn1 ZINC000561879868 1072297311 /nfs/dbraw/zinc/29/73/11/1072297311.db2.gz XGFYDTLHNQUPDQ-HNNXBMFYSA-N 0 0 438.488 -0.001 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1-n1cccn1 ZINC000561879869 1072297469 /nfs/dbraw/zinc/29/74/69/1072297469.db2.gz XGFYDTLHNQUPDQ-OAHLLOKOSA-N 0 0 438.488 -0.001 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3C)cc2)CC1 ZINC000561880431 1072297300 /nfs/dbraw/zinc/29/73/00/1072297300.db2.gz SNTQLOZEROFHJN-SUMWQHHRSA-N 0 0 443.547 -0.298 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1)N1CCCCCC1 ZINC000561882547 1072297366 /nfs/dbraw/zinc/29/73/66/1072297366.db2.gz JTTQCPDOWIIQKQ-KRWDZBQOSA-N 0 0 433.553 -0.340 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1)N1CCCCCC1 ZINC000561882552 1072297416 /nfs/dbraw/zinc/29/74/16/1072297416.db2.gz JTTQCPDOWIIQKQ-QGZVFWFLSA-N 0 0 433.553 -0.340 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000561884646 1072297287 /nfs/dbraw/zinc/29/72/87/1072297287.db2.gz ULVFXRDHOQZESK-HNNXBMFYSA-N 0 0 444.554 -0.988 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000561884647 1072297760 /nfs/dbraw/zinc/29/77/60/1072297760.db2.gz ULVFXRDHOQZESK-OAHLLOKOSA-N 0 0 444.554 -0.988 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2cc(C)sc2C)CC1 ZINC000561885201 1072297788 /nfs/dbraw/zinc/29/77/88/1072297788.db2.gz PVARYUPNGUJPDE-AWEZNQCLSA-N 0 0 430.552 -0.032 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2cc(C)sc2C)CC1 ZINC000561885202 1072297778 /nfs/dbraw/zinc/29/77/78/1072297778.db2.gz PVARYUPNGUJPDE-CQSZACIVSA-N 0 0 430.552 -0.032 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000561888109 1072297836 /nfs/dbraw/zinc/29/78/36/1072297836.db2.gz GKUVKPSYYKRNNR-UHFFFAOYSA-N 0 0 433.509 -0.370 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCOCC4)n3)CC2)ncn1 ZINC000561889251 1072297738 /nfs/dbraw/zinc/29/77/38/1072297738.db2.gz PFOJPRLEVOZVMN-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCCNS(=O)(=O)c1cccnc1)C(F)(F)F ZINC000561890186 1072297938 /nfs/dbraw/zinc/29/79/38/1072297938.db2.gz OCTTXYXEQZEGGS-LLVKDONJSA-N 0 0 447.461 -0.519 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCCNS(=O)(=O)c1cccnc1)C(F)(F)F ZINC000561890187 1072297817 /nfs/dbraw/zinc/29/78/17/1072297817.db2.gz OCTTXYXEQZEGGS-NSHDSACASA-N 0 0 447.461 -0.519 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cccc(N2CCOCC2)n1 ZINC000561891019 1072297768 /nfs/dbraw/zinc/29/77/68/1072297768.db2.gz OTUXHKHXKVBNTL-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cccc(N2CCOCC2)n1 ZINC000561891020 1072297926 /nfs/dbraw/zinc/29/79/26/1072297926.db2.gz OTUXHKHXKVBNTL-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000561891305 1072297949 /nfs/dbraw/zinc/29/79/49/1072297949.db2.gz VLONSWDRZGTGRZ-UHFFFAOYSA-N 0 0 425.511 -0.972 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)[C@H](C)C1 ZINC000561893016 1072297915 /nfs/dbraw/zinc/29/79/15/1072297915.db2.gz CWLCGTXJNBKEJK-GHMZBOCLSA-N 0 0 438.494 -0.126 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)[C@H](C)C1 ZINC000561893021 1072297746 /nfs/dbraw/zinc/29/77/46/1072297746.db2.gz CWLCGTXJNBKEJK-MNOVXSKESA-N 0 0 438.494 -0.126 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000561893407 1072297901 /nfs/dbraw/zinc/29/79/01/1072297901.db2.gz MELHWEZBCKIVDH-UHFFFAOYSA-N 0 0 429.456 -0.188 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000561893676 1072297879 /nfs/dbraw/zinc/29/78/79/1072297879.db2.gz FQMVQVONKSKXIM-KRWDZBQOSA-N 0 0 446.552 -0.407 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000561893680 1072297859 /nfs/dbraw/zinc/29/78/59/1072297859.db2.gz FQMVQVONKSKXIM-QGZVFWFLSA-N 0 0 446.552 -0.407 20 0 IBADRN C[C@H](NC(=O)CN1CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)c2ccccc21)C(=O)N(C)C ZINC000561895199 1072297804 /nfs/dbraw/zinc/29/78/04/1072297804.db2.gz QZZUQTCAJLCBPE-HOTGVXAUSA-N 0 0 446.552 -0.501 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(CC(=O)N[C@H](C)C(=O)N(C)C)c2ccccc21)C(=O)N(C)C ZINC000561895203 1072297891 /nfs/dbraw/zinc/29/78/91/1072297891.db2.gz QZZUQTCAJLCBPE-HZPDHXFCSA-N 0 0 446.552 -0.501 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)c2ccccc21)C(=O)N(C)C ZINC000561895205 1072297963 /nfs/dbraw/zinc/29/79/63/1072297963.db2.gz QZZUQTCAJLCBPE-IYBDPMFKSA-N 0 0 446.552 -0.501 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCC(N(C)S(C)(=O)=O)CC1)C(F)(F)F ZINC000561895562 1072297796 /nfs/dbraw/zinc/29/77/96/1072297796.db2.gz RCIHSXMWUYDPDD-LLVKDONJSA-N 0 0 438.494 -0.126 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCC(N(C)S(C)(=O)=O)CC1)C(F)(F)F ZINC000561895564 1072297847 /nfs/dbraw/zinc/29/78/47/1072297847.db2.gz RCIHSXMWUYDPDD-NSHDSACASA-N 0 0 438.494 -0.126 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)[C@H](C)C1 ZINC000561897570 1072298293 /nfs/dbraw/zinc/29/82/93/1072298293.db2.gz BBWHJFPRHPTBMJ-GFCCVEGCSA-N 0 0 434.540 -0.363 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)[C@H](C)C1 ZINC000561897748 1072298354 /nfs/dbraw/zinc/29/83/54/1072298354.db2.gz DTSNCCPMLXLOLB-CABCVRRESA-N 0 0 447.583 -0.155 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)[C@H](C)C1 ZINC000561897749 1072298416 /nfs/dbraw/zinc/29/84/16/1072298416.db2.gz DTSNCCPMLXLOLB-HUUCEWRRSA-N 0 0 447.583 -0.155 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)[C@H](C)C1 ZINC000561897897 1072298430 /nfs/dbraw/zinc/29/84/30/1072298430.db2.gz HLVBIYJATQFCGX-CYBMUJFWSA-N 0 0 430.552 -0.421 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)NCCN(CCO)S(=O)(=O)c1c(C)nn(C)c1C ZINC000561897964 1072298339 /nfs/dbraw/zinc/29/83/39/1072298339.db2.gz BCSONYFDUKJURL-UHFFFAOYSA-N 0 0 448.571 -0.651 20 0 IBADRN NS(=O)(=O)CCOCCNS(=O)(=O)c1cccc(C(=O)NCC(F)(F)F)c1 ZINC000561898045 1072298256 /nfs/dbraw/zinc/29/82/56/1072298256.db2.gz ICZLCFUETZWXAL-UHFFFAOYSA-N 0 0 433.430 -0.438 20 0 IBADRN O=S(=O)(C[C@H]1CCCCO1)NCCN(CCO)S(=O)(=O)C[C@@H]1CCCCO1 ZINC000561899513 1072298504 /nfs/dbraw/zinc/29/85/04/1072298504.db2.gz UKXHQMVJMPRMGM-CVEARBPZSA-N 0 0 428.573 -0.332 20 0 IBADRN O=S(=O)(C[C@@H]1CCCCO1)NCCN(CCO)S(=O)(=O)C[C@@H]1CCCCO1 ZINC000561899523 1072298315 /nfs/dbraw/zinc/29/83/15/1072298315.db2.gz UKXHQMVJMPRMGM-HOTGVXAUSA-N 0 0 428.573 -0.332 20 0 IBADRN O=S(=O)(C[C@H]1CCCCO1)NCCN(CCO)S(=O)(=O)C[C@H]1CCCCO1 ZINC000561899526 1072298480 /nfs/dbraw/zinc/29/84/80/1072298480.db2.gz UKXHQMVJMPRMGM-HZPDHXFCSA-N 0 0 428.573 -0.332 20 0 IBADRN O=S(=O)(C[C@@H]1CCCCO1)NCCN(CCO)S(=O)(=O)C[C@H]1CCCCO1 ZINC000561899528 1072298303 /nfs/dbraw/zinc/29/83/03/1072298303.db2.gz UKXHQMVJMPRMGM-JKSUJKDBSA-N 0 0 428.573 -0.332 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC000561899670 1072298380 /nfs/dbraw/zinc/29/83/80/1072298380.db2.gz VPFHTGMITAWVHU-UHFFFAOYSA-N 0 0 443.588 -0.611 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@H](C)C1 ZINC000561900642 1072298324 /nfs/dbraw/zinc/29/83/24/1072298324.db2.gz ZWTWZKZTIGPDMN-CQSZACIVSA-N 0 0 432.568 -0.652 20 0 IBADRN CC(C)O[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1(C)C ZINC000561902946 1072299109 /nfs/dbraw/zinc/29/91/09/1072299109.db2.gz RJEXKVDYJMVNTC-CVEARBPZSA-N 0 0 438.525 -0.776 20 0 IBADRN CC(C)O[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1(C)C ZINC000561902949 1072299043 /nfs/dbraw/zinc/29/90/43/1072299043.db2.gz RJEXKVDYJMVNTC-HOTGVXAUSA-N 0 0 438.525 -0.776 20 0 IBADRN CC(C)O[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1(C)C ZINC000561902950 1072298959 /nfs/dbraw/zinc/29/89/59/1072298959.db2.gz RJEXKVDYJMVNTC-HZPDHXFCSA-N 0 0 438.525 -0.776 20 0 IBADRN CC(C)O[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1(C)C ZINC000561902952 1072299021 /nfs/dbraw/zinc/29/90/21/1072299021.db2.gz RJEXKVDYJMVNTC-JKSUJKDBSA-N 0 0 438.525 -0.776 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000561903464 1072298367 /nfs/dbraw/zinc/29/83/67/1072298367.db2.gz WSJNFXXTUXGAOS-UHFFFAOYSA-N 0 0 434.541 -0.836 20 0 IBADRN CC(C)[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1nncn1C ZINC000561914710 1072298826 /nfs/dbraw/zinc/29/88/26/1072298826.db2.gz UGFHXYVOQIIBOV-HNNXBMFYSA-N 0 0 428.563 -0.668 20 0 IBADRN CC(C)[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1nncn1C ZINC000561914711 1072298845 /nfs/dbraw/zinc/29/88/45/1072298845.db2.gz UGFHXYVOQIIBOV-OAHLLOKOSA-N 0 0 428.563 -0.668 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)c1 ZINC000561916673 1072299030 /nfs/dbraw/zinc/29/90/30/1072299030.db2.gz BKAKHMSECDAMOE-CYBMUJFWSA-N 0 0 446.551 -0.806 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)c1 ZINC000561916675 1072299055 /nfs/dbraw/zinc/29/90/55/1072299055.db2.gz BKAKHMSECDAMOE-ZDUSSCGKSA-N 0 0 446.551 -0.806 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000561917650 1072299013 /nfs/dbraw/zinc/29/90/13/1072299013.db2.gz FSEFMIQGFUCZHU-KRWDZBQOSA-N 0 0 432.587 -0.819 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000561917651 1072298927 /nfs/dbraw/zinc/29/89/27/1072298927.db2.gz FSEFMIQGFUCZHU-QGZVFWFLSA-N 0 0 432.587 -0.819 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000561919472 1072299097 /nfs/dbraw/zinc/29/90/97/1072299097.db2.gz FJAIUYRHFKQVLT-UHFFFAOYSA-N 0 0 443.504 -0.141 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@H](C(=O)NC)C1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000561921370 1072298891 /nfs/dbraw/zinc/29/88/91/1072298891.db2.gz VGRUTHVULNVBFD-FHWLQOOXSA-N 0 0 432.521 -0.244 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@@H](C(=O)NC)C1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000561921371 1072299067 /nfs/dbraw/zinc/29/90/67/1072299067.db2.gz VGRUTHVULNVBFD-GBESFXJTSA-N 0 0 432.521 -0.244 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@@H](C(=O)NC)C1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000561921372 1072298984 /nfs/dbraw/zinc/29/89/84/1072298984.db2.gz VGRUTHVULNVBFD-IPMKNSEASA-N 0 0 432.521 -0.244 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@H](C(=O)NC)C1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000561921373 1072298863 /nfs/dbraw/zinc/29/88/63/1072298863.db2.gz VGRUTHVULNVBFD-OTWHNJEPSA-N 0 0 432.521 -0.244 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@H](C)C2(S(C)(=O)=O)CC2)c1 ZINC000561922062 1072298912 /nfs/dbraw/zinc/29/89/12/1072298912.db2.gz DZNSSXOSNFPNPI-LLVKDONJSA-N 0 0 432.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@@H](C)C2(S(C)(=O)=O)CC2)c1 ZINC000561922067 1072298813 /nfs/dbraw/zinc/29/88/13/1072298813.db2.gz DZNSSXOSNFPNPI-NSHDSACASA-N 0 0 432.524 -0.202 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000561927600 1072299130 /nfs/dbraw/zinc/29/91/30/1072299130.db2.gz BYGKVJQNWPXIFT-UHFFFAOYSA-N 0 0 443.478 -0.688 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1)N1CCOCC1 ZINC000561927882 1072299509 /nfs/dbraw/zinc/29/95/09/1072299509.db2.gz HMUJTHLOYKJWRJ-MRXNPFEDSA-N 0 0 443.570 -0.871 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCC(NS(=O)(=O)NC)CC2)cc1 ZINC000561928218 1072299526 /nfs/dbraw/zinc/29/95/26/1072299526.db2.gz DVLUFSUOAVBNCB-UHFFFAOYSA-N 0 0 448.567 -0.202 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000561928648 1072299587 /nfs/dbraw/zinc/29/95/87/1072299587.db2.gz GBXALGMEUNSRGF-UHFFFAOYSA-N 0 0 433.509 -0.503 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)C[C@@H]1c1cnn(C)c1 ZINC000561929680 1072299398 /nfs/dbraw/zinc/29/93/98/1072299398.db2.gz XFKYOIOVMJISFS-OIISXLGYSA-N 0 0 438.554 -0.152 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)C2)nn1 ZINC000561930904 1072299444 /nfs/dbraw/zinc/29/94/44/1072299444.db2.gz IWUOYBMYVZNSTH-CHWSQXEVSA-N 0 0 426.499 -0.022 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)C2)nn1 ZINC000561930907 1072299500 /nfs/dbraw/zinc/29/95/00/1072299500.db2.gz IWUOYBMYVZNSTH-OLZOCXBDSA-N 0 0 426.499 -0.022 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)CC2)n1 ZINC000561931961 1072299409 /nfs/dbraw/zinc/29/94/09/1072299409.db2.gz VZHODUGAUGQFCP-CQSZACIVSA-N 0 0 442.542 0.000 20 0 IBADRN CN(C[C@@H](O)COCc1ccccc1)C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000561934914 1072299425 /nfs/dbraw/zinc/29/94/25/1072299425.db2.gz BQMFMKYDSWSJFP-IAGOWNOFSA-N 0 0 427.523 -0.437 20 0 IBADRN CN(C[C@H](O)COCc1ccccc1)C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000561934916 1072299539 /nfs/dbraw/zinc/29/95/39/1072299539.db2.gz BQMFMKYDSWSJFP-SJORKVTESA-N 0 0 427.523 -0.437 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)n1 ZINC000561935329 1072299565 /nfs/dbraw/zinc/29/95/65/1072299565.db2.gz GJUAYKPKHXWCNZ-GFCCVEGCSA-N 0 0 430.556 -0.711 20 0 IBADRN Cc1noc(C)c1CO[C@H]1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000561935346 1072299475 /nfs/dbraw/zinc/29/94/75/1072299475.db2.gz KFDWFEQYSDSICQ-CABCVRRESA-N 0 0 428.511 -0.051 20 0 IBADRN Cc1noc(C)c1CO[C@@H]1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000561935348 1072299640 /nfs/dbraw/zinc/29/96/40/1072299640.db2.gz KFDWFEQYSDSICQ-HUUCEWRRSA-N 0 0 428.511 -0.051 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000561935714 1072299652 /nfs/dbraw/zinc/29/96/52/1072299652.db2.gz OEMYBXMKTMWIOD-GXTWGEPZSA-N 0 0 446.551 -0.606 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000561935718 1072299459 /nfs/dbraw/zinc/29/94/59/1072299459.db2.gz OEMYBXMKTMWIOD-TZMCWYRMSA-N 0 0 446.551 -0.606 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2)n1 ZINC000561935721 1072299624 /nfs/dbraw/zinc/29/96/24/1072299624.db2.gz OJJFIRRPZKQPJJ-CHWSQXEVSA-N 0 0 428.515 -0.618 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2)n1 ZINC000561935722 1072299489 /nfs/dbraw/zinc/29/94/89/1072299489.db2.gz OJJFIRRPZKQPJJ-QWHCGFSZSA-N 0 0 428.515 -0.618 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(C(=O)C2CCCCC2)CC1 ZINC000561935885 1072299910 /nfs/dbraw/zinc/29/99/10/1072299910.db2.gz OERARFZIGLQLRJ-MRXNPFEDSA-N 0 0 428.555 -0.222 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@H]1COc2ccccc21 ZINC000561936077 1072300142 /nfs/dbraw/zinc/30/01/42/1072300142.db2.gz WUDPCUGHIYNSSA-DOTOQJQBSA-N 0 0 444.488 -0.803 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@H]1COc2ccccc21 ZINC000561936078 1072300001 /nfs/dbraw/zinc/30/00/01/1072300001.db2.gz WUDPCUGHIYNSSA-NVXWUHKLSA-N 0 0 444.488 -0.803 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@@H]1COc2ccccc21 ZINC000561936079 1072300051 /nfs/dbraw/zinc/30/00/51/1072300051.db2.gz WUDPCUGHIYNSSA-RDJZCZTQSA-N 0 0 444.488 -0.803 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@@H]1COc2ccccc21 ZINC000561936080 1072299956 /nfs/dbraw/zinc/29/99/56/1072299956.db2.gz WUDPCUGHIYNSSA-WBVHZDCISA-N 0 0 444.488 -0.803 20 0 IBADRN COc1cccc(C(F)(F)CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC000561937640 1072300018 /nfs/dbraw/zinc/30/00/18/1072300018.db2.gz VKUIOYDJLHURSH-UHFFFAOYSA-N 0 0 439.423 -0.146 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000561938066 1072299983 /nfs/dbraw/zinc/29/99/83/1072299983.db2.gz DSYKATBXZRKUOD-HNNXBMFYSA-N 0 0 436.465 -0.465 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000561938067 1072300166 /nfs/dbraw/zinc/30/01/66/1072300166.db2.gz DSYKATBXZRKUOD-OAHLLOKOSA-N 0 0 436.465 -0.465 20 0 IBADRN O=C(CCNC(=O)NC[C@H](N1CCOCC1)C(F)(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC000561939770 1072300036 /nfs/dbraw/zinc/30/00/36/1072300036.db2.gz UEGGCFNRAMTIBI-NEPJUHHUSA-N 0 0 430.449 -0.758 20 0 IBADRN O=C(CCNC(=O)NC[C@@H](N1CCOCC1)C(F)(F)F)N[C@H]1CCS(=O)(=O)C1 ZINC000561939771 1072300156 /nfs/dbraw/zinc/30/01/56/1072300156.db2.gz UEGGCFNRAMTIBI-NWDGAFQWSA-N 0 0 430.449 -0.758 20 0 IBADRN O=C(CCNC(=O)NC[C@H](N1CCOCC1)C(F)(F)F)N[C@H]1CCS(=O)(=O)C1 ZINC000561939772 1072299969 /nfs/dbraw/zinc/29/99/69/1072299969.db2.gz UEGGCFNRAMTIBI-RYUDHWBXSA-N 0 0 430.449 -0.758 20 0 IBADRN O=C(CCNC(=O)NC[C@@H](N1CCOCC1)C(F)(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC000561939773 1072300090 /nfs/dbraw/zinc/30/00/90/1072300090.db2.gz UEGGCFNRAMTIBI-VXGBXAGGSA-N 0 0 430.449 -0.758 20 0 IBADRN CNS(=O)(=O)N1CCN([C@@H](C)C(=O)NCC(=O)Nc2ccc(F)c(F)c2F)CC1 ZINC000561945040 1072300132 /nfs/dbraw/zinc/30/01/32/1072300132.db2.gz HZZSYFFZFYUSOW-JTQLQIEISA-N 0 0 437.444 -0.371 20 0 IBADRN CNS(=O)(=O)N1CCN([C@H](C)C(=O)NCC(=O)Nc2ccc(F)c(F)c2F)CC1 ZINC000561945041 1072299935 /nfs/dbraw/zinc/29/99/35/1072299935.db2.gz HZZSYFFZFYUSOW-SNVBAGLBSA-N 0 0 437.444 -0.371 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000561946723 1072300063 /nfs/dbraw/zinc/30/00/63/1072300063.db2.gz KCAMKWPXBFHIGD-MSOLQXFVSA-N 0 0 443.548 -0.272 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000561946726 1072300116 /nfs/dbraw/zinc/30/01/16/1072300116.db2.gz KCAMKWPXBFHIGD-QZTJIDSGSA-N 0 0 443.548 -0.272 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000561946729 1072299947 /nfs/dbraw/zinc/29/99/47/1072299947.db2.gz KCAMKWPXBFHIGD-ROUUACIJSA-N 0 0 443.548 -0.272 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000561946732 1072300610 /nfs/dbraw/zinc/30/06/10/1072300610.db2.gz KCAMKWPXBFHIGD-ZWKOTPCHSA-N 0 0 443.548 -0.272 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)C1 ZINC000561947143 1072300746 /nfs/dbraw/zinc/30/07/46/1072300746.db2.gz PZKLIYYPTSCVSJ-KGLIPLIRSA-N 0 0 425.577 -0.630 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@H]2CCCCN2S(C)(=O)=O)C1 ZINC000561947144 1072300467 /nfs/dbraw/zinc/30/04/67/1072300467.db2.gz PZKLIYYPTSCVSJ-ZIAGYGMSSA-N 0 0 425.577 -0.630 20 0 IBADRN Cn1c(N)c(C(=O)CN2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)c(=O)n(C)c1=O ZINC000561947533 1072300701 /nfs/dbraw/zinc/30/07/01/1072300701.db2.gz XIJVAMUCZSEICD-CHWSQXEVSA-N 0 0 427.461 -0.642 20 0 IBADRN Cn1c(N)c(C(=O)CN2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)c(=O)n(C)c1=O ZINC000561947534 1072300647 /nfs/dbraw/zinc/30/06/47/1072300647.db2.gz XIJVAMUCZSEICD-OLZOCXBDSA-N 0 0 427.461 -0.642 20 0 IBADRN Cn1c(N)c(C(=O)CN2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)c(=O)n(C)c1=O ZINC000561947535 1072300533 /nfs/dbraw/zinc/30/05/33/1072300533.db2.gz XIJVAMUCZSEICD-QWHCGFSZSA-N 0 0 427.461 -0.642 20 0 IBADRN Cn1c(N)c(C(=O)CN2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)c(=O)n(C)c1=O ZINC000561947536 1072300721 /nfs/dbraw/zinc/30/07/21/1072300721.db2.gz XIJVAMUCZSEICD-STQMWFEESA-N 0 0 427.461 -0.642 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1 ZINC000561947849 1072300482 /nfs/dbraw/zinc/30/04/82/1072300482.db2.gz AYMGHRFNENZSPW-UHFFFAOYSA-N 0 0 428.536 -0.462 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CC(=O)N(C)C3=O)CC2)cc1OC ZINC000561950352 1072300454 /nfs/dbraw/zinc/30/04/54/1072300454.db2.gz GTVBGXLVRIYRBU-AWEZNQCLSA-N 0 0 439.490 -0.022 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CC(=O)N(C)C3=O)CC2)cc1OC ZINC000561950357 1072300629 /nfs/dbraw/zinc/30/06/29/1072300629.db2.gz GTVBGXLVRIYRBU-CQSZACIVSA-N 0 0 439.490 -0.022 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCn2cc(Br)cn2)CC1 ZINC000561951045 1072300734 /nfs/dbraw/zinc/30/07/34/1072300734.db2.gz OMJQFEFARJZJTO-UHFFFAOYSA-N 0 0 429.319 -0.168 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000561951131 1072300547 /nfs/dbraw/zinc/30/05/47/1072300547.db2.gz HQIVAWANXLMETA-CHWSQXEVSA-N 0 0 439.581 -0.004 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000561951132 1072300580 /nfs/dbraw/zinc/30/05/80/1072300580.db2.gz HQIVAWANXLMETA-OLZOCXBDSA-N 0 0 439.581 -0.004 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000561951133 1072300595 /nfs/dbraw/zinc/30/05/95/1072300595.db2.gz HQIVAWANXLMETA-QWHCGFSZSA-N 0 0 439.581 -0.004 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000561951134 1072300567 /nfs/dbraw/zinc/30/05/67/1072300567.db2.gz HQIVAWANXLMETA-STQMWFEESA-N 0 0 439.581 -0.004 20 0 IBADRN O=C(NCCc1cc(F)ccc1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000561954866 1072300710 /nfs/dbraw/zinc/30/07/10/1072300710.db2.gz YFTKHVCMALPVPN-UHFFFAOYSA-N 0 0 438.431 -0.847 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000561956098 1072300511 /nfs/dbraw/zinc/30/05/11/1072300511.db2.gz DXLDCMWLVKUXIQ-UHFFFAOYSA-N 0 0 441.496 -0.367 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000561956955 1072300971 /nfs/dbraw/zinc/30/09/71/1072300971.db2.gz MIHFWGJDWYWSLL-ROUUACIJSA-N 0 0 444.536 -0.569 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000561956959 1072300980 /nfs/dbraw/zinc/30/09/80/1072300980.db2.gz MIHFWGJDWYWSLL-ZWKOTPCHSA-N 0 0 444.536 -0.569 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)N(C)C)(c2ccccc2)CC1 ZINC000561957156 1072300499 /nfs/dbraw/zinc/30/04/99/1072300499.db2.gz OURLKCODCJNKST-UHFFFAOYSA-N 0 0 446.595 -0.118 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000561959924 1072301032 /nfs/dbraw/zinc/30/10/32/1072301032.db2.gz JBGOLBDWFOPIQR-UHFFFAOYSA-N 0 0 445.499 -0.249 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC1(S(C)(=O)=O)CCCC1)C2 ZINC000561960471 1072301113 /nfs/dbraw/zinc/30/11/13/1072301113.db2.gz TWIVBKAKUKNHHZ-UHFFFAOYSA-N 0 0 428.515 -0.984 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)[C@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC000561961281 1072301228 /nfs/dbraw/zinc/30/12/28/1072301228.db2.gz WBEWDBCLZPVBED-INIZCTEOSA-N 0 0 433.552 -0.350 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC000561961283 1072301161 /nfs/dbraw/zinc/30/11/61/1072301161.db2.gz WBEWDBCLZPVBED-MRXNPFEDSA-N 0 0 433.552 -0.350 20 0 IBADRN CC1(C)C(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)C1(C)C)Cc1ccccc1 ZINC000561969246 1072301220 /nfs/dbraw/zinc/30/12/20/1072301220.db2.gz KNPFSLMBTFZLSQ-UHFFFAOYSA-N 0 0 443.548 -0.037 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000561969257 1072301067 /nfs/dbraw/zinc/30/10/67/1072301067.db2.gz LMWGDUUWKXXUSA-UHFFFAOYSA-N 0 0 432.524 -0.609 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)OC ZINC000561972564 1072301082 /nfs/dbraw/zinc/30/10/82/1072301082.db2.gz FCOOMEBQAYSMHO-INIZCTEOSA-N 0 0 427.479 -0.543 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)OC ZINC000561972565 1072301211 /nfs/dbraw/zinc/30/12/11/1072301211.db2.gz FCOOMEBQAYSMHO-MRXNPFEDSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@H](OC)C(=O)OC ZINC000561973995 1072301682 /nfs/dbraw/zinc/30/16/82/1072301682.db2.gz PNSQBECMQHAEKY-KRWDZBQOSA-N 0 0 443.522 -0.061 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@@H](OC)C(=O)OC ZINC000561973997 1072301589 /nfs/dbraw/zinc/30/15/89/1072301589.db2.gz PNSQBECMQHAEKY-QGZVFWFLSA-N 0 0 443.522 -0.061 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCc4ccccc43)CC2)CC1 ZINC000561976982 1072301720 /nfs/dbraw/zinc/30/17/20/1072301720.db2.gz BARQQDQYPWFFQR-GOSISDBHSA-N 0 0 449.577 -0.531 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCc4ccccc43)CC2)CC1 ZINC000561976985 1072301764 /nfs/dbraw/zinc/30/17/64/1072301764.db2.gz BARQQDQYPWFFQR-SFHVURJKSA-N 0 0 449.577 -0.531 20 0 IBADRN O=C(CNC(=O)c1nccnc1C(=O)NCc1ccc(F)cc1)NCCN1CCOCC1 ZINC000561983647 1072301748 /nfs/dbraw/zinc/30/17/48/1072301748.db2.gz BSZHUQBQHZPXAK-UHFFFAOYSA-N 0 0 444.467 -0.276 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC(C)(C)Oc1ccccc1)C2 ZINC000561984559 1072301560 /nfs/dbraw/zinc/30/15/60/1072301560.db2.gz KZBRKDOIWYFJTQ-UHFFFAOYSA-N 0 0 444.492 -0.551 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Oc1ccccc1 ZINC000561984941 1072301670 /nfs/dbraw/zinc/30/16/70/1072301670.db2.gz KWNXZVUUFGCLLM-UHFFFAOYSA-N 0 0 432.521 -0.037 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC(Nc3cccnn3)CC2)C1=O ZINC000561989239 1072301738 /nfs/dbraw/zinc/30/17/38/1072301738.db2.gz FIOLMTGSRPMJBA-INIZCTEOSA-N 0 0 440.508 0.408 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC(Nc3cccnn3)CC2)C1=O ZINC000561989249 1072301827 /nfs/dbraw/zinc/30/18/27/1072301827.db2.gz FIOLMTGSRPMJBA-MRXNPFEDSA-N 0 0 440.508 0.408 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@H]1CCCN(c2ccnn2CC)C1=O ZINC000561990623 1072301646 /nfs/dbraw/zinc/30/16/46/1072301646.db2.gz POTUDWYOSSWVQV-INIZCTEOSA-N 0 0 431.497 -0.248 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@@H]1CCCN(c2ccnn2CC)C1=O ZINC000561990628 1072301602 /nfs/dbraw/zinc/30/16/02/1072301602.db2.gz POTUDWYOSSWVQV-MRXNPFEDSA-N 0 0 431.497 -0.248 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)C1=O ZINC000561990821 1072301620 /nfs/dbraw/zinc/30/16/20/1072301620.db2.gz RBDYADMHVMURTN-HNNXBMFYSA-N 0 0 428.497 -0.418 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)C1=O ZINC000561990822 1072301775 /nfs/dbraw/zinc/30/17/75/1072301775.db2.gz RBDYADMHVMURTN-OAHLLOKOSA-N 0 0 428.497 -0.418 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)CC(=O)N2C)cnn1C ZINC000561992526 1072301696 /nfs/dbraw/zinc/30/16/96/1072301696.db2.gz BWODVDSWCSAXLQ-APWZRJJASA-N 0 0 440.508 -0.355 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)N3CCO[C@@H](COCC4CC4)C3)CC(=O)N2C)cnn1C ZINC000561992556 1072301659 /nfs/dbraw/zinc/30/16/59/1072301659.db2.gz CGAFBWGZKVPFDR-SUMDDJOVSA-N 0 0 433.509 -0.229 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)N3CCO[C@H](COCC4CC4)C3)CC(=O)N2C)cnn1C ZINC000561992557 1072301632 /nfs/dbraw/zinc/30/16/32/1072301632.db2.gz CGAFBWGZKVPFDR-WDYCEAGBSA-N 0 0 433.509 -0.229 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)(N2CCOCC2)C1 ZINC000561993183 1072301572 /nfs/dbraw/zinc/30/15/72/1072301572.db2.gz NXHCOMHNBFZLAY-UHFFFAOYSA-N 0 0 434.541 -0.545 20 0 IBADRN Cc1onc(N)c1S(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000561994911 1072301784 /nfs/dbraw/zinc/30/17/84/1072301784.db2.gz XUKIQBDBFZQFFU-UHFFFAOYSA-N 0 0 426.480 0.137 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NC[C@@]4(C)CCOC4)CC3)C2=O)n(C)n1 ZINC000562001602 1072302215 /nfs/dbraw/zinc/30/22/15/1072302215.db2.gz NUOZOFVZNXXIIK-HTAPYJJXSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NC[C@]4(C)CCOC4)CC3)C2=O)n(C)n1 ZINC000562001607 1072302305 /nfs/dbraw/zinc/30/23/05/1072302305.db2.gz NUOZOFVZNXXIIK-JTSKRJEESA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NC[C@@]4(C)CCOC4)CC3)C2=O)n(C)n1 ZINC000562001608 1072302181 /nfs/dbraw/zinc/30/21/81/1072302181.db2.gz NUOZOFVZNXXIIK-VGOFRKELSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NC[C@]4(C)CCOC4)CC3)C2=O)n(C)n1 ZINC000562001609 1072302390 /nfs/dbraw/zinc/30/23/90/1072302390.db2.gz NUOZOFVZNXXIIK-VGSWGCGISA-N 0 0 446.552 -0.089 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3cccc(O)c3)CC2)cn1 ZINC000562003494 1072302132 /nfs/dbraw/zinc/30/21/32/1072302132.db2.gz KAOQDGMTYURVKA-MJGOQNOKSA-N 0 0 426.477 -0.090 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CC2)ncn1 ZINC000562003515 1072302317 /nfs/dbraw/zinc/30/23/17/1072302317.db2.gz KSGGQNWGBOWVPO-KDOFPFPSSA-N 0 0 442.480 -0.997 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C1 ZINC000562003677 1072302245 /nfs/dbraw/zinc/30/22/45/1072302245.db2.gz AXMGSYLAPJANMI-KUDFPVQQSA-N 0 0 449.508 -0.326 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C1 ZINC000562003680 1072302331 /nfs/dbraw/zinc/30/23/31/1072302331.db2.gz AXMGSYLAPJANMI-LDBYXDLTSA-N 0 0 449.508 -0.326 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000562005415 1072302837 /nfs/dbraw/zinc/30/28/37/1072302837.db2.gz HKQWHSWYWJJCFF-OAHLLOKOSA-N 0 0 447.558 -0.642 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CC[C@H](C(=O)NCC(F)(F)F)C2)C1 ZINC000562006150 1072302889 /nfs/dbraw/zinc/30/28/89/1072302889.db2.gz CNBACWNMWUJBMN-NWDGAFQWSA-N 0 0 429.465 -0.033 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CC[C@@H](C(=O)NCC(F)(F)F)C2)C1 ZINC000562006156 1072302777 /nfs/dbraw/zinc/30/27/77/1072302777.db2.gz CNBACWNMWUJBMN-VXGBXAGGSA-N 0 0 429.465 -0.033 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000562006176 1072302877 /nfs/dbraw/zinc/30/28/77/1072302877.db2.gz PZNNSVNZUAZVSZ-CQSZACIVSA-N 0 0 433.556 -0.288 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000562006360 1072303001 /nfs/dbraw/zinc/30/30/01/1072303001.db2.gz FDEXZPFUJLLMHG-OAHLLOKOSA-N 0 0 439.542 -0.717 20 0 IBADRN COc1cc(N2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)CC2)ncn1 ZINC000562006427 1072302798 /nfs/dbraw/zinc/30/27/98/1072302798.db2.gz SPCABFOLVQUXNF-CQSZACIVSA-N 0 0 427.531 -0.412 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)CC2)nn1 ZINC000562006990 1072302766 /nfs/dbraw/zinc/30/27/66/1072302766.db2.gz QCSJJYIEOQGWKY-CYBMUJFWSA-N 0 0 443.530 -0.318 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000562007060 1072303009 /nfs/dbraw/zinc/30/30/09/1072303009.db2.gz UQAHYMBMCPVFHY-HZPDHXFCSA-N 0 0 432.591 -0.501 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000562007061 1072302754 /nfs/dbraw/zinc/30/27/54/1072302754.db2.gz UQAHYMBMCPVFHY-JKSUJKDBSA-N 0 0 432.591 -0.501 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)C2)n(C)n1 ZINC000562007954 1072302744 /nfs/dbraw/zinc/30/27/44/1072302744.db2.gz ITPNGHXTSZWICA-KRWDZBQOSA-N 0 0 433.557 -0.518 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)C2)n(C)n1 ZINC000562007958 1072302785 /nfs/dbraw/zinc/30/27/85/1072302785.db2.gz ITPNGHXTSZWICA-QGZVFWFLSA-N 0 0 433.557 -0.518 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)c1 ZINC000562011082 1072303580 /nfs/dbraw/zinc/30/35/80/1072303580.db2.gz CQCJFQZKAKPSGH-UHFFFAOYSA-N 0 0 444.532 -0.111 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000562017290 1072303516 /nfs/dbraw/zinc/30/35/16/1072303516.db2.gz ADCIQEHJCWSMKI-UHFFFAOYSA-N 0 0 446.556 -0.691 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000562026012 1072304274 /nfs/dbraw/zinc/30/42/74/1072304274.db2.gz ABOHTHIUHNXJOP-UHFFFAOYSA-N 0 0 436.512 -0.866 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)CC2)NC(=O)NC1=O ZINC000562026385 1072304313 /nfs/dbraw/zinc/30/43/13/1072304313.db2.gz OKUZFZZZWXHECO-CKJXQJPGSA-N 0 0 435.525 -0.417 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)CC2)NC(=O)NC1=O ZINC000562026386 1072304285 /nfs/dbraw/zinc/30/42/85/1072304285.db2.gz OKUZFZZZWXHECO-GCKMJXCFSA-N 0 0 435.525 -0.417 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)CC2)NC(=O)NC1=O ZINC000562026387 1072304176 /nfs/dbraw/zinc/30/41/76/1072304176.db2.gz OKUZFZZZWXHECO-VWKPWSFCSA-N 0 0 435.525 -0.417 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)CC2)NC(=O)NC1=O ZINC000562026388 1072304324 /nfs/dbraw/zinc/30/43/24/1072304324.db2.gz OKUZFZZZWXHECO-WHSLLNHNSA-N 0 0 435.525 -0.417 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCc3c(nnn3-c3ccccc3)C2)o1 ZINC000562026647 1072303344 /nfs/dbraw/zinc/30/33/44/1072303344.db2.gz ZRGMWQYTRHPUAA-UHFFFAOYSA-N 0 0 430.446 -0.178 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCc2c(nnn2-c2ccccc2)C1 ZINC000562031150 1072304830 /nfs/dbraw/zinc/30/48/30/1072304830.db2.gz RRKIMSKYSGXCRB-UHFFFAOYSA-N 0 0 434.522 -0.014 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)C2=O)n1 ZINC000562031497 1072304266 /nfs/dbraw/zinc/30/42/66/1072304266.db2.gz ZODIKSFVRHZRQJ-IKGGRYGDSA-N 0 0 432.525 -0.209 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)C2=O)n1 ZINC000562031498 1072304254 /nfs/dbraw/zinc/30/42/54/1072304254.db2.gz ZODIKSFVRHZRQJ-IXDOHACOSA-N 0 0 432.525 -0.209 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)C2=O)n1 ZINC000562031499 1072304125 /nfs/dbraw/zinc/30/41/25/1072304125.db2.gz ZODIKSFVRHZRQJ-ULQDDVLXSA-N 0 0 432.525 -0.209 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)C2=O)n1 ZINC000562031500 1072304277 /nfs/dbraw/zinc/30/42/77/1072304277.db2.gz ZODIKSFVRHZRQJ-YESZJQIVSA-N 0 0 432.525 -0.209 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)CC2)c1=O ZINC000562032404 1072304707 /nfs/dbraw/zinc/30/47/07/1072304707.db2.gz DHWIGIZXNACAGD-DLBZAZTESA-N 0 0 432.525 -0.812 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)CC2)c1=O ZINC000562032458 1072304674 /nfs/dbraw/zinc/30/46/74/1072304674.db2.gz DHWIGIZXNACAGD-IAGOWNOFSA-N 0 0 432.525 -0.812 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)CC2)c1=O ZINC000562032460 1072304654 /nfs/dbraw/zinc/30/46/54/1072304654.db2.gz DHWIGIZXNACAGD-IRXDYDNUSA-N 0 0 432.525 -0.812 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)CC2)c1=O ZINC000562032462 1072304683 /nfs/dbraw/zinc/30/46/83/1072304683.db2.gz DHWIGIZXNACAGD-SJORKVTESA-N 0 0 432.525 -0.812 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CCC1 ZINC000562035015 1072304932 /nfs/dbraw/zinc/30/49/32/1072304932.db2.gz FNPNDEIOPIVJMZ-ASHKIFAZSA-N 0 0 435.568 -0.943 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CCC1 ZINC000562035016 1071201400 /nfs/dbraw/zinc/20/14/00/1071201400.db2.gz FNPNDEIOPIVJMZ-LOKFHWFJSA-N 0 0 435.568 -0.943 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CCC1 ZINC000562035017 1072304638 /nfs/dbraw/zinc/30/46/38/1072304638.db2.gz FNPNDEIOPIVJMZ-PGGUUEOZSA-N 0 0 435.568 -0.943 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CCC1 ZINC000562035018 1072304719 /nfs/dbraw/zinc/30/47/19/1072304719.db2.gz FNPNDEIOPIVJMZ-PWFNWSNSSA-N 0 0 435.568 -0.943 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)C1 ZINC000562035063 1072304870 /nfs/dbraw/zinc/30/48/70/1072304870.db2.gz GRKZCZIOECQREN-AJXXGZDZSA-N 0 0 426.583 -0.338 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)C1 ZINC000562035064 1072304751 /nfs/dbraw/zinc/30/47/51/1072304751.db2.gz GRKZCZIOECQREN-DUOAEJKSSA-N 0 0 426.583 -0.338 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)C1 ZINC000562035065 1072304694 /nfs/dbraw/zinc/30/46/94/1072304694.db2.gz GRKZCZIOECQREN-GZRVVXPKSA-N 0 0 426.583 -0.338 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)C1 ZINC000562035066 1072304779 /nfs/dbraw/zinc/30/47/79/1072304779.db2.gz GRKZCZIOECQREN-HDBFXVAESA-N 0 0 426.583 -0.338 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000562038712 1072304913 /nfs/dbraw/zinc/30/49/13/1072304913.db2.gz WBGSENHCGOZWOA-UHFFFAOYSA-N 0 0 449.472 -0.222 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nnn(C4CC4)c3=O)CC2)cc1 ZINC000562043275 1072305275 /nfs/dbraw/zinc/30/52/75/1072305275.db2.gz IIXSYQHJEPMTDT-UHFFFAOYSA-N 0 0 434.478 -0.490 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000562043518 1072304724 /nfs/dbraw/zinc/30/47/24/1072304724.db2.gz NTSGCAUIZQUASJ-KRWDZBQOSA-N 0 0 448.524 -0.987 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000562043519 1072304792 /nfs/dbraw/zinc/30/47/92/1072304792.db2.gz NTSGCAUIZQUASJ-QGZVFWFLSA-N 0 0 448.524 -0.987 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H](C(=O)N(C)C)C3)CC2)n1 ZINC000562047234 1072305332 /nfs/dbraw/zinc/30/53/32/1072305332.db2.gz ISNYJPQQWUNANK-CABCVRRESA-N 0 0 436.513 -0.377 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCC[C@H](C(=O)N(C)C)C3)CC2)n1 ZINC000562047235 1072305324 /nfs/dbraw/zinc/30/53/24/1072305324.db2.gz ISNYJPQQWUNANK-GJZGRUSLSA-N 0 0 436.513 -0.377 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H](C(=O)N(C)C)C3)CC2)n1 ZINC000562047236 1072305349 /nfs/dbraw/zinc/30/53/49/1072305349.db2.gz ISNYJPQQWUNANK-HUUCEWRRSA-N 0 0 436.513 -0.377 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H](C(=O)N(C)C)C3)CC2)n1 ZINC000562047237 1072305247 /nfs/dbraw/zinc/30/52/47/1072305247.db2.gz ISNYJPQQWUNANK-LSDHHAIUSA-N 0 0 436.513 -0.377 20 0 IBADRN COC(=O)[C@H]([C@@H](C)O)N(CC(=O)N1CCN(CC(=O)N(C)C)CC1)Cc1ccccc1 ZINC000562049623 1072305232 /nfs/dbraw/zinc/30/52/32/1072305232.db2.gz NGOWYEOEDZATTP-UTKZUKDTSA-N 0 0 434.537 -0.357 20 0 IBADRN C[C@H](C[S@](C)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](C)C[S@@](C)=O)cc1 ZINC000562052671 1072305257 /nfs/dbraw/zinc/30/52/57/1072305257.db2.gz PRUPMTNMLLYRRA-DULCMBMHSA-N 0 0 444.622 -0.223 20 0 IBADRN C[C@H](C[S@](C)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](C)C[S@@](C)=O)cc1 ZINC000562052672 1072305411 /nfs/dbraw/zinc/30/54/11/1072305411.db2.gz PRUPMTNMLLYRRA-PEWXISIHSA-N 0 0 444.622 -0.223 20 0 IBADRN C[C@H](C[S@](C)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](C)C[S@](C)=O)cc1 ZINC000562052673 1072305345 /nfs/dbraw/zinc/30/53/45/1072305345.db2.gz PRUPMTNMLLYRRA-QFVPQDQGSA-N 0 0 444.622 -0.223 20 0 IBADRN C[C@H](C[S@](C)=O)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](C)C[S@](C)=O)cc1 ZINC000562052674 1072305286 /nfs/dbraw/zinc/30/52/86/1072305286.db2.gz PRUPMTNMLLYRRA-ZNKUTCSDSA-N 0 0 444.622 -0.223 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000562055091 1072305355 /nfs/dbraw/zinc/30/53/55/1072305355.db2.gz LWZPXCWHBPQHCS-KBPBESRZSA-N 0 0 427.545 -0.663 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000562055092 1072305215 /nfs/dbraw/zinc/30/52/15/1072305215.db2.gz LWZPXCWHBPQHCS-KGLIPLIRSA-N 0 0 427.545 -0.663 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000562055093 1072305403 /nfs/dbraw/zinc/30/54/03/1072305403.db2.gz LWZPXCWHBPQHCS-UONOGXRCSA-N 0 0 427.545 -0.663 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000562055094 1072305312 /nfs/dbraw/zinc/30/53/12/1072305312.db2.gz LWZPXCWHBPQHCS-ZIAGYGMSSA-N 0 0 427.545 -0.663 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@H](C(=O)OC(C)(C)C)O3)CC2)CC1 ZINC000562060937 1072305689 /nfs/dbraw/zinc/30/56/89/1072305689.db2.gz SDTUVMVAXWHWKV-JKSUJKDBSA-N 0 0 446.570 -0.488 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2ccccc2c1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000562062051 1072305896 /nfs/dbraw/zinc/30/58/96/1072305896.db2.gz XPHHJLNARSLTHV-UHFFFAOYSA-N 0 0 443.489 -0.524 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1 ZINC000562062725 1072305838 /nfs/dbraw/zinc/30/58/38/1072305838.db2.gz DXIOITBLVDSSIM-UHFFFAOYSA-N 0 0 430.552 -0.345 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000562064538 1071202327 /nfs/dbraw/zinc/20/23/27/1071202327.db2.gz BKGUKGZBNDRECZ-UHFFFAOYSA-N 0 0 436.490 -0.883 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCCN2CCN(C(C)=O)CC2)cc1OC ZINC000562069799 1072305854 /nfs/dbraw/zinc/30/58/54/1072305854.db2.gz DPJBILJPIDDMSA-UHFFFAOYSA-N 0 0 428.511 -0.738 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000562070219 1072305740 /nfs/dbraw/zinc/30/57/40/1072305740.db2.gz IRVSSKFCIIUFBF-UHFFFAOYSA-N 0 0 426.478 -0.446 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCCS(=O)(=O)N(C)C)ccc1OC ZINC000562085564 1072305793 /nfs/dbraw/zinc/30/57/93/1072305793.db2.gz UNEHSYNRHZNANF-UHFFFAOYSA-N 0 0 438.528 -0.367 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)CC2)CC1 ZINC000562089063 1072305664 /nfs/dbraw/zinc/30/56/64/1072305664.db2.gz NVMNXYWPUPXMFM-AWEZNQCLSA-N 0 0 447.458 -0.722 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)CC2)CC1 ZINC000562089064 1072306287 /nfs/dbraw/zinc/30/62/87/1072306287.db2.gz NVMNXYWPUPXMFM-CQSZACIVSA-N 0 0 447.458 -0.722 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)CCC1=O ZINC000562089667 1072305698 /nfs/dbraw/zinc/30/56/98/1072305698.db2.gz ZVCUQJHNNRXBLV-CABCVRRESA-N 0 0 440.497 -0.168 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)CCC1=O ZINC000562089668 1072305887 /nfs/dbraw/zinc/30/58/87/1072305887.db2.gz ZVCUQJHNNRXBLV-GJZGRUSLSA-N 0 0 440.497 -0.168 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)CCC1=O ZINC000562089669 1072305731 /nfs/dbraw/zinc/30/57/31/1072305731.db2.gz ZVCUQJHNNRXBLV-HUUCEWRRSA-N 0 0 440.497 -0.168 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)CCC1=O ZINC000562089670 1072305679 /nfs/dbraw/zinc/30/56/79/1072305679.db2.gz ZVCUQJHNNRXBLV-LSDHHAIUSA-N 0 0 440.497 -0.168 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000562089675 1072305776 /nfs/dbraw/zinc/30/57/76/1072305776.db2.gz ZXNSHWUMHPZOEB-NEPJUHHUSA-N 0 0 442.460 -0.414 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000562089676 1072305911 /nfs/dbraw/zinc/30/59/11/1072305911.db2.gz ZXNSHWUMHPZOEB-NWDGAFQWSA-N 0 0 442.460 -0.414 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000562089677 1072305769 /nfs/dbraw/zinc/30/57/69/1072305769.db2.gz ZXNSHWUMHPZOEB-RYUDHWBXSA-N 0 0 442.460 -0.414 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000562089678 1072305785 /nfs/dbraw/zinc/30/57/85/1072305785.db2.gz ZXNSHWUMHPZOEB-VXGBXAGGSA-N 0 0 442.460 -0.414 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1=O ZINC000562092400 1072306214 /nfs/dbraw/zinc/30/62/14/1072306214.db2.gz NYAZLBZJRZKTOI-NEPJUHHUSA-N 0 0 444.414 -0.206 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1=O ZINC000562092403 1072306223 /nfs/dbraw/zinc/30/62/23/1072306223.db2.gz NYAZLBZJRZKTOI-NWDGAFQWSA-N 0 0 444.414 -0.206 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1=O ZINC000562092405 1072306251 /nfs/dbraw/zinc/30/62/51/1072306251.db2.gz NYAZLBZJRZKTOI-RYUDHWBXSA-N 0 0 444.414 -0.206 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1=O ZINC000562092406 1072306459 /nfs/dbraw/zinc/30/64/59/1072306459.db2.gz NYAZLBZJRZKTOI-VXGBXAGGSA-N 0 0 444.414 -0.206 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CCC1=O ZINC000562093154 1072306394 /nfs/dbraw/zinc/30/63/94/1072306394.db2.gz ROVWWIFKTXLNNP-CYBMUJFWSA-N 0 0 432.506 -0.863 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CCC1=O ZINC000562093155 1072306470 /nfs/dbraw/zinc/30/64/70/1072306470.db2.gz ROVWWIFKTXLNNP-ZDUSSCGKSA-N 0 0 432.506 -0.863 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000562093461 1072306259 /nfs/dbraw/zinc/30/62/59/1072306259.db2.gz TXROKCINHSMMBW-AWEZNQCLSA-N 0 0 449.474 -0.332 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000562093464 1072306349 /nfs/dbraw/zinc/30/63/49/1072306349.db2.gz TXROKCINHSMMBW-CQSZACIVSA-N 0 0 449.474 -0.332 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000562093765 1072306381 /nfs/dbraw/zinc/30/63/81/1072306381.db2.gz WBXDPMVTKKTRNO-NEPJUHHUSA-N 0 0 440.444 -0.708 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000562093777 1072306330 /nfs/dbraw/zinc/30/63/30/1072306330.db2.gz WBXDPMVTKKTRNO-NWDGAFQWSA-N 0 0 440.444 -0.708 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000562093780 1072306313 /nfs/dbraw/zinc/30/63/13/1072306313.db2.gz WBXDPMVTKKTRNO-RYUDHWBXSA-N 0 0 440.444 -0.708 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000562093782 1072306477 /nfs/dbraw/zinc/30/64/77/1072306477.db2.gz WBXDPMVTKKTRNO-VXGBXAGGSA-N 0 0 440.444 -0.708 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N1CCC(C(=O)Nc2nncs2)CC1 ZINC000562098860 1072306237 /nfs/dbraw/zinc/30/62/37/1072306237.db2.gz UDJVGWCDGLLFHJ-JTQLQIEISA-N 0 0 448.427 -0.005 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N1CCC(C(=O)Nc2nncs2)CC1 ZINC000562098861 1072306437 /nfs/dbraw/zinc/30/64/37/1072306437.db2.gz UDJVGWCDGLLFHJ-SNVBAGLBSA-N 0 0 448.427 -0.005 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000562099099 1072306447 /nfs/dbraw/zinc/30/64/47/1072306447.db2.gz BJYUHKPCJFOZQK-BZUAXINKSA-N 0 0 428.555 -0.224 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000562099100 1072306423 /nfs/dbraw/zinc/30/64/23/1072306423.db2.gz BJYUHKPCJFOZQK-OAGGEKHMSA-N 0 0 428.555 -0.224 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000562099101 1072306410 /nfs/dbraw/zinc/30/64/10/1072306410.db2.gz BJYUHKPCJFOZQK-OWCLPIDISA-N 0 0 428.555 -0.224 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000562099102 1072306804 /nfs/dbraw/zinc/30/68/04/1072306804.db2.gz BJYUHKPCJFOZQK-PMPSAXMXSA-N 0 0 428.555 -0.224 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000562104667 1072306813 /nfs/dbraw/zinc/30/68/13/1072306813.db2.gz XUEPCIPJZGUABI-UHFFFAOYSA-N 0 0 432.506 -0.390 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000562109608 1072306787 /nfs/dbraw/zinc/30/67/87/1072306787.db2.gz KZKSCGRSUIBONF-UHFFFAOYSA-N 0 0 435.462 -0.522 20 0 IBADRN CN(C)C(=O)NCCN(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000562112590 1072306960 /nfs/dbraw/zinc/30/69/60/1072306960.db2.gz XEVCCKRLMDOIIX-UHFFFAOYSA-N 0 0 441.554 -0.473 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CCO1 ZINC000562114455 1072306928 /nfs/dbraw/zinc/30/69/28/1072306928.db2.gz CHWVSMJIENJBBJ-GFCCVEGCSA-N 0 0 428.392 -0.269 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CCO1 ZINC000562114456 1072306881 /nfs/dbraw/zinc/30/68/81/1072306881.db2.gz CHWVSMJIENJBBJ-LBPRGKRZSA-N 0 0 428.392 -0.269 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000562114509 1072306796 /nfs/dbraw/zinc/30/67/96/1072306796.db2.gz FENNNIYIQJUACH-CYBMUJFWSA-N 0 0 437.515 -0.291 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000562114874 1072306836 /nfs/dbraw/zinc/30/68/36/1072306836.db2.gz FENNNIYIQJUACH-ZDUSSCGKSA-N 0 0 437.515 -0.291 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000562117548 1072306944 /nfs/dbraw/zinc/30/69/44/1072306944.db2.gz QUBWUMWYTFKBRA-UHFFFAOYSA-N 0 0 447.535 -0.334 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCC(=O)Nc1ccc(-n2cncn2)cc1 ZINC000562119753 1072306903 /nfs/dbraw/zinc/30/69/03/1072306903.db2.gz AVRMUPPLFKDJAJ-UHFFFAOYSA-N 0 0 433.450 -0.095 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000562119763 1072306931 /nfs/dbraw/zinc/30/69/31/1072306931.db2.gz BHWOYMKVCDDFGA-JTQLQIEISA-N 0 0 434.421 -0.482 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000562119770 1072306999 /nfs/dbraw/zinc/30/69/99/1072306999.db2.gz BHWOYMKVCDDFGA-SNVBAGLBSA-N 0 0 434.421 -0.482 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2c(C)noc2C)C1 ZINC000562120459 1072307015 /nfs/dbraw/zinc/30/70/15/1072307015.db2.gz OKJCHBDWGMUXAA-AWEZNQCLSA-N 0 0 444.510 -0.170 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2c(C)noc2C)C1 ZINC000562120460 1072307433 /nfs/dbraw/zinc/30/74/33/1072307433.db2.gz OKJCHBDWGMUXAA-CQSZACIVSA-N 0 0 444.510 -0.170 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000562120668 1072306855 /nfs/dbraw/zinc/30/68/55/1072306855.db2.gz URTOMVYVJAQIKQ-UHFFFAOYSA-N 0 0 434.421 -0.480 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCOCCS(N)(=O)=O)c2)CC1 ZINC000562123249 1072307365 /nfs/dbraw/zinc/30/73/65/1072307365.db2.gz OMNUIRWYZUTFCS-UHFFFAOYSA-N 0 0 449.555 -0.951 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(C)=O)C[C@@H]2C)c1 ZINC000562123770 1072307390 /nfs/dbraw/zinc/30/73/90/1072307390.db2.gz ARXUVXIWVDDYIE-AWEZNQCLSA-N 0 0 440.522 -0.693 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(C)=O)C[C@H]2C)c1 ZINC000562123771 1072307332 /nfs/dbraw/zinc/30/73/32/1072307332.db2.gz ARXUVXIWVDDYIE-CQSZACIVSA-N 0 0 440.522 -0.693 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(CC(C)(C)O)C2CC2)c1 ZINC000562124200 1072307408 /nfs/dbraw/zinc/30/74/08/1072307408.db2.gz GEXYPQVSHXNQHS-UHFFFAOYSA-N 0 0 427.523 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H]2C[C@@H](C)O)c1 ZINC000562124630 1072307311 /nfs/dbraw/zinc/30/73/11/1072307311.db2.gz JKAPRAIHGBOEQB-GDBMZVCRSA-N 0 0 427.523 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H]2C[C@H](C)O)c1 ZINC000562124631 1072307513 /nfs/dbraw/zinc/30/75/13/1072307513.db2.gz JKAPRAIHGBOEQB-GOEBONIOSA-N 0 0 427.523 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H]2C[C@H](C)O)c1 ZINC000562124632 1072307380 /nfs/dbraw/zinc/30/73/80/1072307380.db2.gz JKAPRAIHGBOEQB-HOCLYGCPSA-N 0 0 427.523 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H]2C[C@@H](C)O)c1 ZINC000562124634 1072307454 /nfs/dbraw/zinc/30/74/54/1072307454.db2.gz JKAPRAIHGBOEQB-ZBFHGGJFSA-N 0 0 427.523 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCSC[C@@H]2CCO)c1 ZINC000562124695 1072307466 /nfs/dbraw/zinc/30/74/66/1072307466.db2.gz KAJDGWVVUQLQKN-HNNXBMFYSA-N 0 0 445.563 -0.446 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCSC[C@H]2CCO)c1 ZINC000562124698 1072307398 /nfs/dbraw/zinc/30/73/98/1072307398.db2.gz KAJDGWVVUQLQKN-OAHLLOKOSA-N 0 0 445.563 -0.446 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000562124848 1072307352 /nfs/dbraw/zinc/30/73/52/1072307352.db2.gz QAWKUAUHMZYECU-UHFFFAOYSA-N 0 0 426.542 -0.935 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000562126483 1072307844 /nfs/dbraw/zinc/30/78/44/1072307844.db2.gz HAULGPRYIWFSGK-UHFFFAOYSA-N 0 0 436.432 -0.019 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2ccc(OC)cn2)c1 ZINC000562126840 1072307814 /nfs/dbraw/zinc/30/78/14/1072307814.db2.gz CYYQRCQPEREYDN-UHFFFAOYSA-N 0 0 436.490 -0.053 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)[C@@H]2CCCC[C@H]2O)c1 ZINC000562127242 1072307821 /nfs/dbraw/zinc/30/78/21/1072307821.db2.gz OXVRBVOANNMNDH-IAGOWNOFSA-N 0 0 427.523 -0.011 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCCC[C@H]2CCO)c1 ZINC000562127492 1072307903 /nfs/dbraw/zinc/30/79/03/1072307903.db2.gz WCBSEFDSLLSOHO-INIZCTEOSA-N 0 0 427.523 -0.009 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCCC[C@@H]2CCO)c1 ZINC000562127493 1072307827 /nfs/dbraw/zinc/30/78/27/1072307827.db2.gz WCBSEFDSLLSOHO-MRXNPFEDSA-N 0 0 427.523 -0.009 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC(O)(COC)CC2)c1 ZINC000562127555 1072307786 /nfs/dbraw/zinc/30/77/86/1072307786.db2.gz YJVQIJUBHZGCOG-UHFFFAOYSA-N 0 0 443.522 -0.773 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)c1 ZINC000562127606 1072307912 /nfs/dbraw/zinc/30/79/12/1072307912.db2.gz GTWJMOFMUKCTEH-UHFFFAOYSA-N 0 0 438.506 -0.939 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000562127896 1072307966 /nfs/dbraw/zinc/30/79/66/1072307966.db2.gz KQTDWVPRVXSXFF-LLVKDONJSA-N 0 0 439.498 -0.976 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000562128606 1072308288 /nfs/dbraw/zinc/30/82/88/1072308288.db2.gz AZOLORSQAZEGQF-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000562128612 1072308355 /nfs/dbraw/zinc/30/83/55/1072308355.db2.gz AZOLORSQAZEGQF-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000562128892 1072307883 /nfs/dbraw/zinc/30/78/83/1072307883.db2.gz HHQHLLGMFBOWHC-UHFFFAOYSA-N 0 0 434.421 -0.528 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000562129058 1072307928 /nfs/dbraw/zinc/30/79/28/1072307928.db2.gz JRLIPMOHTTUAQK-JTQLQIEISA-N 0 0 434.421 -0.482 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000562129060 1072307892 /nfs/dbraw/zinc/30/78/92/1072307892.db2.gz JRLIPMOHTTUAQK-SNVBAGLBSA-N 0 0 434.421 -0.482 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)CC2(O)CCCC2)c1 ZINC000562129206 1072308438 /nfs/dbraw/zinc/30/84/38/1072308438.db2.gz DGCDXSKNLTULSO-UHFFFAOYSA-N 0 0 427.523 -0.009 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000562129438 1072308327 /nfs/dbraw/zinc/30/83/27/1072308327.db2.gz HHCKBWRPAAVNSY-IBGZPJMESA-N 0 0 440.522 -0.788 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)C1 ZINC000562129440 1072308339 /nfs/dbraw/zinc/30/83/39/1072308339.db2.gz HHCKBWRPAAVNSY-LJQANCHMSA-N 0 0 440.522 -0.788 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000562129579 1072308366 /nfs/dbraw/zinc/30/83/66/1072308366.db2.gz AXGVZNDNKGQOKH-AWEZNQCLSA-N 0 0 426.470 -0.459 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000562129584 1072308319 /nfs/dbraw/zinc/30/83/19/1072308319.db2.gz AXGVZNDNKGQOKH-CQSZACIVSA-N 0 0 426.470 -0.459 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)cnc2n(C)c1=O ZINC000562130520 1072308310 /nfs/dbraw/zinc/30/83/10/1072308310.db2.gz QXYRLNRYBJNSPQ-LLVKDONJSA-N 0 0 436.494 -0.290 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000562130955 1072308444 /nfs/dbraw/zinc/30/84/44/1072308444.db2.gz WROVPQHBBNUMDO-KRWDZBQOSA-N 0 0 438.550 -0.335 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000562130957 1072308332 /nfs/dbraw/zinc/30/83/32/1072308332.db2.gz WROVPQHBBNUMDO-QGZVFWFLSA-N 0 0 438.550 -0.335 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000562130993 1072308428 /nfs/dbraw/zinc/30/84/28/1072308428.db2.gz AREFKLCJVPJEJV-INIZCTEOSA-N 0 0 433.484 -0.284 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000562130996 1072308345 /nfs/dbraw/zinc/30/83/45/1072308345.db2.gz AREFKLCJVPJEJV-MRXNPFEDSA-N 0 0 433.484 -0.284 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1)S(C)(=O)=O ZINC000562131250 1072308385 /nfs/dbraw/zinc/30/83/85/1072308385.db2.gz ZXVQCDRHBGQYHI-GFCCVEGCSA-N 0 0 438.510 -0.233 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1)S(C)(=O)=O ZINC000562131251 1072308405 /nfs/dbraw/zinc/30/84/05/1072308405.db2.gz ZXVQCDRHBGQYHI-LBPRGKRZSA-N 0 0 438.510 -0.233 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000562131446 1072308350 /nfs/dbraw/zinc/30/83/50/1072308350.db2.gz FPRNHECHEOOLFW-GXTWGEPZSA-N 0 0 426.470 -0.413 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000562131447 1072308379 /nfs/dbraw/zinc/30/83/79/1072308379.db2.gz FPRNHECHEOOLFW-JSGCOSHPSA-N 0 0 426.470 -0.413 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000562131448 1072308299 /nfs/dbraw/zinc/30/82/99/1072308299.db2.gz FPRNHECHEOOLFW-OCCSQVGLSA-N 0 0 426.470 -0.413 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000562131449 1072308373 /nfs/dbraw/zinc/30/83/73/1072308373.db2.gz FPRNHECHEOOLFW-TZMCWYRMSA-N 0 0 426.470 -0.413 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C ZINC000562132250 1072308799 /nfs/dbraw/zinc/30/87/99/1072308799.db2.gz MNTKBLDCCQHCPS-UHFFFAOYSA-N 0 0 433.400 -0.783 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000562132383 1072308845 /nfs/dbraw/zinc/30/88/45/1072308845.db2.gz OMVMBEMKNCNTQD-UHFFFAOYSA-N 0 0 441.329 -0.925 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC3CC3)CC2)c1 ZINC000562132652 1072308757 /nfs/dbraw/zinc/30/87/57/1072308757.db2.gz SZUAXVYJQCUUPD-UHFFFAOYSA-N 0 0 438.550 -0.218 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000562133204 1072308893 /nfs/dbraw/zinc/30/88/93/1072308893.db2.gz ZXNRIDPQSOMOLX-DZGCQCFKSA-N 0 0 440.497 -0.024 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000562133205 1072308896 /nfs/dbraw/zinc/30/88/96/1072308896.db2.gz ZXNRIDPQSOMOLX-HIFRSBDPSA-N 0 0 440.497 -0.024 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000562133206 1072308805 /nfs/dbraw/zinc/30/88/05/1072308805.db2.gz ZXNRIDPQSOMOLX-UKRRQHHQSA-N 0 0 440.497 -0.024 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000562133207 1072308778 /nfs/dbraw/zinc/30/87/78/1072308778.db2.gz ZXNRIDPQSOMOLX-ZFWWWQNUSA-N 0 0 440.497 -0.024 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000562135409 1072308787 /nfs/dbraw/zinc/30/87/87/1072308787.db2.gz ADHDKNOIRHAXOR-CYBMUJFWSA-N 0 0 435.524 -0.478 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000562135410 1072308749 /nfs/dbraw/zinc/30/87/49/1072308749.db2.gz ADHDKNOIRHAXOR-ZDUSSCGKSA-N 0 0 435.524 -0.478 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000562135555 1071204923 /nfs/dbraw/zinc/20/49/23/1071204923.db2.gz DBIKQBCNMLOPOJ-UHFFFAOYSA-N 0 0 434.565 -0.362 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)NCCOCCS(N)(=O)=O ZINC000562136454 1072308901 /nfs/dbraw/zinc/30/89/01/1072308901.db2.gz GBYQBEKLOIKNHF-UHFFFAOYSA-N 0 0 443.526 -0.491 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000562138449 1072308808 /nfs/dbraw/zinc/30/88/08/1072308808.db2.gz CHNRWROPVRFSSE-UHFFFAOYSA-N 0 0 441.435 -0.352 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000562141034 1072308792 /nfs/dbraw/zinc/30/87/92/1072308792.db2.gz RTEGBASAAVKTSU-BXUZGUMPSA-N 0 0 429.376 -0.107 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000562141036 1072308853 /nfs/dbraw/zinc/30/88/53/1072308853.db2.gz RTEGBASAAVKTSU-FZMZJTMJSA-N 0 0 429.376 -0.107 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000562141037 1072308841 /nfs/dbraw/zinc/30/88/41/1072308841.db2.gz RTEGBASAAVKTSU-RISCZKNCSA-N 0 0 429.376 -0.107 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000562141038 1072308816 /nfs/dbraw/zinc/30/88/16/1072308816.db2.gz RTEGBASAAVKTSU-SMDDNHRTSA-N 0 0 429.376 -0.107 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)Nc2ccc(N3CCCC3=O)cc2)n1)N1CCOCC1 ZINC000562144549 1073322262 /nfs/dbraw/zinc/32/22/62/1073322262.db2.gz BBUGTPNFJRLKTH-UHFFFAOYSA-N 0 0 440.460 0.446 20 0 IBADRN Cc1nc(-c2ccc(NC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)cc2)n[nH]1 ZINC000562145811 1073349208 /nfs/dbraw/zinc/34/92/08/1073349208.db2.gz OHQSAWVQDGNLOB-UHFFFAOYSA-N 0 0 438.448 0.413 20 0 IBADRN Cn1c2c(ccn2CC(=O)Nc2ccc(C(=O)NCCC(N)=O)cc2)c(=O)n(C)c1=O ZINC000562147346 1072309282 /nfs/dbraw/zinc/30/92/82/1072309282.db2.gz NXJBMUYUNVHMJS-UHFFFAOYSA-N 0 0 426.433 -0.717 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000562149231 1072309310 /nfs/dbraw/zinc/30/93/10/1072309310.db2.gz AINCMZPFGSBTLH-UHFFFAOYSA-N 0 0 447.521 -0.665 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000562149962 1072309288 /nfs/dbraw/zinc/30/92/88/1072309288.db2.gz JJSYGCTYFZQQCW-UHFFFAOYSA-N 0 0 440.461 -0.919 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3C)CC1 ZINC000562150963 1072309296 /nfs/dbraw/zinc/30/92/96/1072309296.db2.gz XOBYEDNMFKGMIX-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CCCC1 ZINC000562151828 1072309348 /nfs/dbraw/zinc/30/93/48/1072309348.db2.gz AMMRQWOEAFIWOM-UHFFFAOYSA-N 0 0 442.524 -0.223 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)CC2)n1 ZINC000562152157 1072309246 /nfs/dbraw/zinc/30/92/46/1072309246.db2.gz PVOXWZCNXZWQEQ-CABCVRRESA-N 0 0 436.513 -0.063 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)CC2)n1 ZINC000562152159 1072309323 /nfs/dbraw/zinc/30/93/23/1072309323.db2.gz PVOXWZCNXZWQEQ-GJZGRUSLSA-N 0 0 436.513 -0.063 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)CC2)n1 ZINC000562152161 1072309335 /nfs/dbraw/zinc/30/93/35/1072309335.db2.gz PVOXWZCNXZWQEQ-HUUCEWRRSA-N 0 0 436.513 -0.063 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)CC2)n1 ZINC000562152163 1072309274 /nfs/dbraw/zinc/30/92/74/1072309274.db2.gz PVOXWZCNXZWQEQ-LSDHHAIUSA-N 0 0 436.513 -0.063 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CCCC1 ZINC000562154020 1072309345 /nfs/dbraw/zinc/30/93/45/1072309345.db2.gz KQYQBLKBDFTTPD-UHFFFAOYSA-N 0 0 430.509 -0.406 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)C1=O ZINC000562154276 1072309331 /nfs/dbraw/zinc/30/93/31/1072309331.db2.gz RHVZDQFHOCZFIA-KBPBESRZSA-N 0 0 431.453 -0.505 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)C1=O ZINC000562154277 1072309339 /nfs/dbraw/zinc/30/93/39/1072309339.db2.gz RHVZDQFHOCZFIA-KGLIPLIRSA-N 0 0 431.453 -0.505 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)C1=O ZINC000562154279 1072309356 /nfs/dbraw/zinc/30/93/56/1072309356.db2.gz RHVZDQFHOCZFIA-UONOGXRCSA-N 0 0 431.453 -0.505 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)C1=O ZINC000562154281 1072309362 /nfs/dbraw/zinc/30/93/62/1072309362.db2.gz RHVZDQFHOCZFIA-ZIAGYGMSSA-N 0 0 431.453 -0.505 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1=O ZINC000562154432 1072309327 /nfs/dbraw/zinc/30/93/27/1072309327.db2.gz TVDGYBNHEWJEBG-HNNXBMFYSA-N 0 0 446.533 -0.474 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1=O ZINC000562154436 1072309302 /nfs/dbraw/zinc/30/93/02/1072309302.db2.gz TVDGYBNHEWJEBG-OAHLLOKOSA-N 0 0 446.533 -0.474 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)CCCC1 ZINC000562155335 1072309883 /nfs/dbraw/zinc/30/98/83/1072309883.db2.gz YVXZETVRVLAZMG-UHFFFAOYSA-N 0 0 430.509 -0.406 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000562156257 1072309937 /nfs/dbraw/zinc/30/99/37/1072309937.db2.gz FRMDOULGGLKBDZ-UHFFFAOYSA-N 0 0 434.540 -0.741 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C[C@H](C)S1(=O)=O ZINC000562157210 1072309707 /nfs/dbraw/zinc/30/97/07/1072309707.db2.gz NZYVQSBEQGXDRW-KBPBESRZSA-N 0 0 440.526 -0.347 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C[C@H](C)S1(=O)=O ZINC000562157214 1072309794 /nfs/dbraw/zinc/30/97/94/1072309794.db2.gz NZYVQSBEQGXDRW-OKILXGFUSA-N 0 0 440.526 -0.347 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C[C@@H](C)S1(=O)=O ZINC000562157217 1072309844 /nfs/dbraw/zinc/30/98/44/1072309844.db2.gz NZYVQSBEQGXDRW-ZIAGYGMSSA-N 0 0 440.526 -0.347 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000562157636 1072309929 /nfs/dbraw/zinc/30/99/29/1072309929.db2.gz DNNWBUZQUNVDIF-UHFFFAOYSA-N 0 0 430.508 -0.194 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000562157663 1072309960 /nfs/dbraw/zinc/30/99/60/1072309960.db2.gz DQNWMUNGHWJPPG-RYUDHWBXSA-N 0 0 425.463 -0.438 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000562157669 1072309894 /nfs/dbraw/zinc/30/98/94/1072309894.db2.gz DQNWMUNGHWJPPG-TXEJJXNPSA-N 0 0 425.463 -0.438 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)cc1 ZINC000562157676 1072309722 /nfs/dbraw/zinc/30/97/22/1072309722.db2.gz DQNWMUNGHWJPPG-VXGBXAGGSA-N 0 0 425.463 -0.438 20 0 IBADRN O=C(NC[C@H](CO)C1CC1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000562158065 1072309852 /nfs/dbraw/zinc/30/98/52/1072309852.db2.gz SLVWQGZZJYDUAL-CYBMUJFWSA-N 0 0 429.470 -0.080 20 0 IBADRN O=C(NC[C@@H](CO)C1CC1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000562158071 1072309862 /nfs/dbraw/zinc/30/98/62/1072309862.db2.gz SLVWQGZZJYDUAL-ZDUSSCGKSA-N 0 0 429.470 -0.080 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)CC2)n1 ZINC000562158152 1072309900 /nfs/dbraw/zinc/30/99/00/1072309900.db2.gz UKFXMCKXCSNKCD-CABCVRRESA-N 0 0 436.513 -0.063 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)CC2)n1 ZINC000562158154 1072310454 /nfs/dbraw/zinc/31/04/54/1072310454.db2.gz UKFXMCKXCSNKCD-GJZGRUSLSA-N 0 0 436.513 -0.063 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)CC2)n1 ZINC000562158157 1072310438 /nfs/dbraw/zinc/31/04/38/1072310438.db2.gz UKFXMCKXCSNKCD-HUUCEWRRSA-N 0 0 436.513 -0.063 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)CC2)n1 ZINC000562158158 1072310479 /nfs/dbraw/zinc/31/04/79/1072310479.db2.gz UKFXMCKXCSNKCD-LSDHHAIUSA-N 0 0 436.513 -0.063 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1OC ZINC000562159742 1072310473 /nfs/dbraw/zinc/31/04/73/1072310473.db2.gz YKFZKLTYPCRZFY-GOSISDBHSA-N 0 0 431.511 -0.819 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@](C)(O)CN2CCOCC2)cc1OC ZINC000562159756 1072310443 /nfs/dbraw/zinc/31/04/43/1072310443.db2.gz YKFZKLTYPCRZFY-SFHVURJKSA-N 0 0 431.511 -0.819 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1 ZINC000562159914 1072310402 /nfs/dbraw/zinc/31/04/02/1072310402.db2.gz QHLVCTMUBAYFLA-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000562160315 1072310440 /nfs/dbraw/zinc/31/04/40/1072310440.db2.gz IHJXOUNTSHQGDK-UHFFFAOYSA-N 0 0 449.551 -0.088 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1cccc(-n2ccnc2)c1 ZINC000562160316 1072310339 /nfs/dbraw/zinc/31/03/39/1072310339.db2.gz ILSIGJCQTUSRQI-UHFFFAOYSA-N 0 0 432.462 -0.288 20 0 IBADRN O=C(NCC[C@H]1CCOC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562161905 1072310378 /nfs/dbraw/zinc/31/03/78/1072310378.db2.gz HFOPHADFUNIPOF-DLBZAZTESA-N 0 0 432.525 -0.059 20 0 IBADRN O=C(NCC[C@@H]1CCOC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562161906 1072310482 /nfs/dbraw/zinc/31/04/82/1072310482.db2.gz HFOPHADFUNIPOF-IAGOWNOFSA-N 0 0 432.525 -0.059 20 0 IBADRN O=C(NCC[C@H]1CCOC1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562161907 1072310428 /nfs/dbraw/zinc/31/04/28/1072310428.db2.gz HFOPHADFUNIPOF-IRXDYDNUSA-N 0 0 432.525 -0.059 20 0 IBADRN O=C(NCC[C@@H]1CCOC1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562161908 1072310468 /nfs/dbraw/zinc/31/04/68/1072310468.db2.gz HFOPHADFUNIPOF-SJORKVTESA-N 0 0 432.525 -0.059 20 0 IBADRN CN(Cc1cnccn1)C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562161927 1072310448 /nfs/dbraw/zinc/31/04/48/1072310448.db2.gz IAOFNOPPINWZMT-INIZCTEOSA-N 0 0 440.508 -0.153 20 0 IBADRN CN(Cc1cnccn1)C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562161928 1072310462 /nfs/dbraw/zinc/31/04/62/1072310462.db2.gz IAOFNOPPINWZMT-MRXNPFEDSA-N 0 0 440.508 -0.153 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC(=O)N1C ZINC000562162174 1072310795 /nfs/dbraw/zinc/31/07/95/1072310795.db2.gz QGRSLQFQPRGBRD-CVEARBPZSA-N 0 0 445.524 -0.913 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC(=O)N1C ZINC000562162175 1072310761 /nfs/dbraw/zinc/31/07/61/1072310761.db2.gz QGRSLQFQPRGBRD-HOTGVXAUSA-N 0 0 445.524 -0.913 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC(=O)N1C ZINC000562162176 1072310954 /nfs/dbraw/zinc/31/09/54/1072310954.db2.gz QGRSLQFQPRGBRD-HZPDHXFCSA-N 0 0 445.524 -0.913 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC(=O)N1C ZINC000562162177 1072310617 /nfs/dbraw/zinc/31/06/17/1072310617.db2.gz QGRSLQFQPRGBRD-JKSUJKDBSA-N 0 0 445.524 -0.913 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC000562162231 1072310904 /nfs/dbraw/zinc/31/09/04/1072310904.db2.gz RXGDMWQMHADWND-KRWDZBQOSA-N 0 0 431.541 -0.438 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC000562162236 1072310872 /nfs/dbraw/zinc/31/08/72/1072310872.db2.gz RXGDMWQMHADWND-QGZVFWFLSA-N 0 0 431.541 -0.438 20 0 IBADRN C[C@]1(CO)CCCN1C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562162461 1072311025 /nfs/dbraw/zinc/31/10/25/1072311025.db2.gz WKZDAHWUZPPZSC-HRAATJIYSA-N 0 0 432.525 -0.228 20 0 IBADRN C[C@@]1(CO)CCCN1C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562162464 1072311112 /nfs/dbraw/zinc/31/11/12/1072311112.db2.gz WKZDAHWUZPPZSC-IERDGZPVSA-N 0 0 432.525 -0.228 20 0 IBADRN C[C@]1(CO)CCCN1C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562162466 1072310985 /nfs/dbraw/zinc/31/09/85/1072310985.db2.gz WKZDAHWUZPPZSC-IIBYNOLFSA-N 0 0 432.525 -0.228 20 0 IBADRN C[C@@]1(CO)CCCN1C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562162467 1072311077 /nfs/dbraw/zinc/31/10/77/1072311077.db2.gz WKZDAHWUZPPZSC-KKSFZXQISA-N 0 0 432.525 -0.228 20 0 IBADRN CN(C[C@@H]1CCOC1)C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562162589 1072310711 /nfs/dbraw/zinc/31/07/11/1072310711.db2.gz YVELXTQMDPLORH-DLBZAZTESA-N 0 0 432.525 -0.107 20 0 IBADRN CN(C[C@H]1CCOC1)C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562162590 1072310882 /nfs/dbraw/zinc/31/08/82/1072310882.db2.gz YVELXTQMDPLORH-IAGOWNOFSA-N 0 0 432.525 -0.107 20 0 IBADRN CN(C[C@@H]1CCOC1)C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562162591 1072310970 /nfs/dbraw/zinc/31/09/70/1072310970.db2.gz YVELXTQMDPLORH-IRXDYDNUSA-N 0 0 432.525 -0.107 20 0 IBADRN CN(C[C@H]1CCOC1)C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000562162592 1072310908 /nfs/dbraw/zinc/31/09/08/1072310908.db2.gz YVELXTQMDPLORH-SJORKVTESA-N 0 0 432.525 -0.107 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000562165285 1072311534 /nfs/dbraw/zinc/31/15/34/1072311534.db2.gz AWROLOBDTJEUAZ-UHFFFAOYSA-N 0 0 433.552 -0.216 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000562166370 1072311677 /nfs/dbraw/zinc/31/16/77/1072311677.db2.gz BUWBVBPZISYOBF-BSDSXHPESA-N 0 0 444.536 -0.373 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000562166375 1072311611 /nfs/dbraw/zinc/31/16/11/1072311611.db2.gz BUWBVBPZISYOBF-MHORFTMASA-N 0 0 444.536 -0.373 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000562166377 1072311738 /nfs/dbraw/zinc/31/17/38/1072311738.db2.gz BUWBVBPZISYOBF-MLHJIOFPSA-N 0 0 444.536 -0.373 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000562166380 1072311516 /nfs/dbraw/zinc/31/15/16/1072311516.db2.gz BUWBVBPZISYOBF-XWTMOSNGSA-N 0 0 444.536 -0.373 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000562167542 1072311655 /nfs/dbraw/zinc/31/16/55/1072311655.db2.gz WKHHSJYJBDILFZ-UHFFFAOYSA-N 0 0 425.446 -0.375 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000562167736 1072311488 /nfs/dbraw/zinc/31/14/88/1072311488.db2.gz ZEOOQVSUPPUFOL-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN COC[C@]1(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)OCCc2ccccc21 ZINC000562170491 1072311726 /nfs/dbraw/zinc/31/17/26/1072311726.db2.gz DXEILEZUOURSRY-NRFANRHFSA-N 0 0 427.461 -0.336 20 0 IBADRN COC[C@@]1(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)OCCc2ccccc21 ZINC000562170499 1072311545 /nfs/dbraw/zinc/31/15/45/1072311545.db2.gz DXEILEZUOURSRY-OAQYLSRUSA-N 0 0 427.461 -0.336 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)C2)ncn1 ZINC000562171383 1072311592 /nfs/dbraw/zinc/31/15/92/1072311592.db2.gz ICVPJIZNGUEPEE-GOSISDBHSA-N 0 0 445.568 -0.254 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)C2)ncn1 ZINC000562171390 1072311602 /nfs/dbraw/zinc/31/16/02/1072311602.db2.gz ICVPJIZNGUEPEE-SFHVURJKSA-N 0 0 445.568 -0.254 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)c3cc(S(=O)(=O)NC(C)C)c[nH]3)CC2)no1 ZINC000562175644 1072311480 /nfs/dbraw/zinc/31/14/80/1072311480.db2.gz HUVLHKZZEJJUQK-UHFFFAOYSA-N 0 0 438.510 0.394 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)o1 ZINC000562176214 1072311632 /nfs/dbraw/zinc/31/16/32/1072311632.db2.gz CMLJSQCNIXRGDB-UHFFFAOYSA-N 0 0 449.489 -0.878 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)CNS(=O)(=O)c2cccc(Br)c2)nn1 ZINC000562178336 1072311493 /nfs/dbraw/zinc/31/14/93/1072311493.db2.gz XYZKFWQCOLUZQG-UHFFFAOYSA-N 0 0 446.283 -0.192 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CN(C)NC3=O)CC2)cc1 ZINC000562180560 1072312115 /nfs/dbraw/zinc/31/21/15/1072312115.db2.gz HSWMEHIGWNMSMG-INIZCTEOSA-N 0 0 438.506 -0.275 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CN(C)NC3=O)CC2)cc1 ZINC000562180561 1072312084 /nfs/dbraw/zinc/31/20/84/1072312084.db2.gz HSWMEHIGWNMSMG-MRXNPFEDSA-N 0 0 438.506 -0.275 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000562182589 1072312056 /nfs/dbraw/zinc/31/20/56/1072312056.db2.gz QVIOIXOOSWAEOL-AWEZNQCLSA-N 0 0 427.479 -0.366 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000562182590 1072312073 /nfs/dbraw/zinc/31/20/73/1072312073.db2.gz QVIOIXOOSWAEOL-CQSZACIVSA-N 0 0 427.479 -0.366 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC2CN(S(=O)(=O)CC)C2)cc1 ZINC000562195398 1072312048 /nfs/dbraw/zinc/31/20/48/1072312048.db2.gz CECZWTKHSSHBQF-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN COCCN1CCCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1=O ZINC000562196472 1072312065 /nfs/dbraw/zinc/31/20/65/1072312065.db2.gz SXRLTVGJHIGCFN-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)NCCOCCS(N)(=O)=O)cn1 ZINC000562197148 1072312118 /nfs/dbraw/zinc/31/21/18/1072312118.db2.gz DOHBQXZTTWAPJO-UHFFFAOYSA-N 0 0 431.496 -0.298 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000562202671 1072312040 /nfs/dbraw/zinc/31/20/40/1072312040.db2.gz RMNPWZRJTGKPLC-NEPJUHHUSA-N 0 0 442.494 -0.504 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000562202672 1072312101 /nfs/dbraw/zinc/31/21/01/1072312101.db2.gz RMNPWZRJTGKPLC-NWDGAFQWSA-N 0 0 442.494 -0.504 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000562202673 1072312106 /nfs/dbraw/zinc/31/21/06/1072312106.db2.gz RMNPWZRJTGKPLC-RYUDHWBXSA-N 0 0 442.494 -0.504 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000562202674 1072312093 /nfs/dbraw/zinc/31/20/93/1072312093.db2.gz RMNPWZRJTGKPLC-VXGBXAGGSA-N 0 0 442.494 -0.504 20 0 IBADRN COc1ccc(NC(=O)NCCOCCS(N)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000562203361 1072312551 /nfs/dbraw/zinc/31/25/51/1072312551.db2.gz ZHJJDPXEICKLIZ-UHFFFAOYSA-N 0 0 436.512 -0.438 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NCc2nccn2S(=O)(=O)N(C)C)c1 ZINC000562204440 1072312523 /nfs/dbraw/zinc/31/25/23/1072312523.db2.gz GCLSFEDSEBBPSL-UHFFFAOYSA-N 0 0 431.496 -0.399 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000562205602 1072312634 /nfs/dbraw/zinc/31/26/34/1072312634.db2.gz JYDGJHGDURINJL-UHFFFAOYSA-N 0 0 442.523 -0.730 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000562206340 1072312478 /nfs/dbraw/zinc/31/24/78/1072312478.db2.gz AXLCIVBVTOTLIV-UHFFFAOYSA-N 0 0 429.467 -0.685 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)Nc3ncn(CC(=O)N(C)C)n3)C2=O)c(OC)c1 ZINC000562208259 1072312529 /nfs/dbraw/zinc/31/25/29/1072312529.db2.gz PHEDJEUYNOHTPI-HNNXBMFYSA-N 0 0 445.480 0.306 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)Nc3ncn(CC(=O)N(C)C)n3)C2=O)c(OC)c1 ZINC000562208261 1072312506 /nfs/dbraw/zinc/31/25/06/1072312506.db2.gz PHEDJEUYNOHTPI-OAHLLOKOSA-N 0 0 445.480 0.306 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000562208547 1072312461 /nfs/dbraw/zinc/31/24/61/1072312461.db2.gz WNZKHVRNZXQBRS-UHFFFAOYSA-N 0 0 434.446 -0.267 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCc3c[nH]nc3C2)C1 ZINC000562208569 1072312420 /nfs/dbraw/zinc/31/24/20/1072312420.db2.gz MJYSVUOTIFBOGJ-CABCVRRESA-N 0 0 427.527 -0.718 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCc3c[nH]nc3C2)C1 ZINC000562208570 1072312629 /nfs/dbraw/zinc/31/26/29/1072312629.db2.gz MJYSVUOTIFBOGJ-GJZGRUSLSA-N 0 0 427.527 -0.718 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCc3c[nH]nc3C2)C1 ZINC000562208572 1072312571 /nfs/dbraw/zinc/31/25/71/1072312571.db2.gz MJYSVUOTIFBOGJ-HUUCEWRRSA-N 0 0 427.527 -0.718 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCc3c[nH]nc3C2)C1 ZINC000562208574 1072312513 /nfs/dbraw/zinc/31/25/13/1072312513.db2.gz MJYSVUOTIFBOGJ-LSDHHAIUSA-N 0 0 427.527 -0.718 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)C1)S(C)(=O)=O ZINC000562211979 1072312435 /nfs/dbraw/zinc/31/24/35/1072312435.db2.gz OOOHBGTWTIRTLC-INIZCTEOSA-N 0 0 428.559 0.351 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)Nc2ccn(CCN3CCOCC3)n2)C1)S(C)(=O)=O ZINC000562211984 1072312615 /nfs/dbraw/zinc/31/26/15/1072312615.db2.gz OOOHBGTWTIRTLC-MRXNPFEDSA-N 0 0 428.559 0.351 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000562212609 1072312578 /nfs/dbraw/zinc/31/25/78/1072312578.db2.gz UZDVGUVUZVSTIV-OAHLLOKOSA-N 0 0 426.543 0.293 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000562213349 1072312559 /nfs/dbraw/zinc/31/25/59/1072312559.db2.gz RFOUGYKCEXTPIX-UHFFFAOYSA-N 0 0 438.554 -0.157 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)NCCOCCS(N)(=O)=O ZINC000562216335 1072312450 /nfs/dbraw/zinc/31/24/50/1072312450.db2.gz UJXCMDYCWUIXDN-UHFFFAOYSA-N 0 0 438.528 -0.238 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000562221925 1072312595 /nfs/dbraw/zinc/31/25/95/1072312595.db2.gz REPGTVNFULVXAK-OAHLLOKOSA-N 0 0 429.547 -0.392 20 0 IBADRN O=C(Cn1cc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cn1)N1CCOCC1 ZINC000562222563 1072312491 /nfs/dbraw/zinc/31/24/91/1072312491.db2.gz OPVVPNRSPZTJFE-CQSZACIVSA-N 0 0 440.526 -0.180 20 0 IBADRN COc1ccc(CNC(=O)CN(C)S(=O)(=O)c2cccnc2)cc1S(N)(=O)=O ZINC000562224507 1072312401 /nfs/dbraw/zinc/31/24/01/1072312401.db2.gz ZMURZKXVZKSTMN-UHFFFAOYSA-N 0 0 428.492 -0.326 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNc1cc(C(N)=O)ccc1CN1C(=O)CN(C)C1=O)C(C)C ZINC000562231025 1072313013 /nfs/dbraw/zinc/31/30/13/1072313013.db2.gz FTWNJVWPKKKHBB-KRWDZBQOSA-N 0 0 433.465 -0.095 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000562241408 1072313132 /nfs/dbraw/zinc/31/31/32/1072313132.db2.gz RSZXKJXVTGUQQI-UHFFFAOYSA-N 0 0 444.602 -0.546 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000562244033 1072313194 /nfs/dbraw/zinc/31/31/94/1072313194.db2.gz XAPRPEZNHCSUCO-UHFFFAOYSA-N 0 0 430.531 -0.625 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)C2)nn1 ZINC000562248621 1072313141 /nfs/dbraw/zinc/31/31/41/1072313141.db2.gz DOBJZMSKDPMSBE-CYBMUJFWSA-N 0 0 447.496 -0.317 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)C2)nn1 ZINC000562248622 1072313178 /nfs/dbraw/zinc/31/31/78/1072313178.db2.gz DOBJZMSKDPMSBE-ZDUSSCGKSA-N 0 0 447.496 -0.317 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000562253235 1072313110 /nfs/dbraw/zinc/31/31/10/1072313110.db2.gz CHCQTNXERJKQBN-UHFFFAOYSA-N 0 0 427.531 -0.964 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)N(C)C)CC2)cs1 ZINC000562258302 1072313098 /nfs/dbraw/zinc/31/30/98/1072313098.db2.gz NRCIEXMPUWXZOO-UHFFFAOYSA-N 0 0 425.558 -0.641 20 0 IBADRN CN(C)C(=O)N1CCN(c2cc(N3CCN(C(=O)N(C)C)CC3)n3ncnc3n2)CC1 ZINC000562312320 1072313667 /nfs/dbraw/zinc/31/36/67/1072313667.db2.gz MUMBDPYCHNXPBI-UHFFFAOYSA-N 0 0 430.517 -0.269 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(N2C(=O)COCC2=O)c1 ZINC000562318381 1072313588 /nfs/dbraw/zinc/31/35/88/1072313588.db2.gz FTAVXYOABDAWFY-UHFFFAOYSA-N 0 0 440.478 -0.651 20 0 IBADRN CC(C)n1ncc2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cnc21 ZINC000562335866 1072313679 /nfs/dbraw/zinc/31/36/79/1072313679.db2.gz YPJTXLYQBWBUQV-UHFFFAOYSA-N 0 0 426.441 -0.692 20 0 IBADRN Cn1c(C(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000562345556 1072313615 /nfs/dbraw/zinc/31/36/15/1072313615.db2.gz KFSGZKVBGIOWNJ-UHFFFAOYSA-N 0 0 439.538 -0.102 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)C1 ZINC000562348401 1072313547 /nfs/dbraw/zinc/31/35/47/1072313547.db2.gz AWXNQSLDOXJORZ-JTQLQIEISA-N 0 0 427.435 -0.319 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc3c(cc2C(=O)OC)OCO3)C1 ZINC000562348402 1072313513 /nfs/dbraw/zinc/31/35/13/1072313513.db2.gz AWXNQSLDOXJORZ-SNVBAGLBSA-N 0 0 427.435 -0.319 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC[C@H](C)O ZINC000562375470 1072313638 /nfs/dbraw/zinc/31/36/38/1072313638.db2.gz KFTSHOUQROEEBL-ZDUSSCGKSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C1[C@@H]2CSCCN2C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000562391835 1072314181 /nfs/dbraw/zinc/31/41/81/1072314181.db2.gz XZLRPUWZXWQECM-AWEZNQCLSA-N 0 0 425.536 -0.087 20 0 IBADRN O=C1[C@H]2CSCCN2C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000562391840 1072313985 /nfs/dbraw/zinc/31/39/85/1072313985.db2.gz XZLRPUWZXWQECM-CQSZACIVSA-N 0 0 425.536 -0.087 20 0 IBADRN COCC1(NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC000562424868 1072314026 /nfs/dbraw/zinc/31/40/26/1072314026.db2.gz ZYXZYEOTXOZJKS-UHFFFAOYSA-N 0 0 427.479 -0.050 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000562437685 1072314088 /nfs/dbraw/zinc/31/40/88/1072314088.db2.gz BROYEWMVGSSEAW-ZBFHGGJFSA-N 0 0 428.486 -0.225 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC(N2CN=NC2=O)CC1 ZINC000562449776 1072314078 /nfs/dbraw/zinc/31/40/78/1072314078.db2.gz ZIEDQGNGLACTQE-UHFFFAOYSA-N 0 0 434.478 -0.419 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc21 ZINC000562451855 1072314098 /nfs/dbraw/zinc/31/40/98/1072314098.db2.gz NTDVCWUGPBTALG-UHFFFAOYSA-N 0 0 439.494 -0.232 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4C)CC2)CC1 ZINC000562452439 1072314039 /nfs/dbraw/zinc/31/40/39/1072314039.db2.gz IQXJAONXMLBUNE-UHFFFAOYSA-N 0 0 443.504 -0.007 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000562455155 1072314151 /nfs/dbraw/zinc/31/41/51/1072314151.db2.gz STRSQDHBJAIHPO-CYBMUJFWSA-N 0 0 439.581 -0.666 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)C1 ZINC000562455157 1072314776 /nfs/dbraw/zinc/31/47/76/1072314776.db2.gz STRSQDHBJAIHPO-ZDUSSCGKSA-N 0 0 439.581 -0.666 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000562457962 1072314616 /nfs/dbraw/zinc/31/46/16/1072314616.db2.gz MESCHIOQARQPNT-KRWDZBQOSA-N 0 0 441.529 -0.468 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000562457963 1072314674 /nfs/dbraw/zinc/31/46/74/1072314674.db2.gz MESCHIOQARQPNT-QGZVFWFLSA-N 0 0 441.529 -0.468 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(NS(=O)(=O)C(C)C)c(F)c1 ZINC000562460721 1072314809 /nfs/dbraw/zinc/31/48/09/1072314809.db2.gz OEWHFIBOTLXIOZ-UHFFFAOYSA-N 0 0 425.460 -0.457 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)C1 ZINC000562461111 1072314840 /nfs/dbraw/zinc/31/48/40/1072314840.db2.gz AVCSONRZEAUXOE-GFCCVEGCSA-N 0 0 443.478 -0.031 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(=O)OC)cc(OC)c2OC)C1 ZINC000562461112 1072314597 /nfs/dbraw/zinc/31/45/97/1072314597.db2.gz AVCSONRZEAUXOE-LBPRGKRZSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000562465746 1072314657 /nfs/dbraw/zinc/31/46/57/1072314657.db2.gz PXFPYXHTJZPQPV-GFCCVEGCSA-N 0 0 429.451 -0.822 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1cc2c(cc1OC)NC(=O)CO2 ZINC000562465747 1072314863 /nfs/dbraw/zinc/31/48/63/1072314863.db2.gz PXFPYXHTJZPQPV-LBPRGKRZSA-N 0 0 429.451 -0.822 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000562465774 1072314723 /nfs/dbraw/zinc/31/47/23/1072314723.db2.gz RWWBEFPKLPVHJD-HNNXBMFYSA-N 0 0 435.524 -0.911 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000562465775 1072314766 /nfs/dbraw/zinc/31/47/66/1072314766.db2.gz RWWBEFPKLPVHJD-OAHLLOKOSA-N 0 0 435.524 -0.911 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000562465834 1072314736 /nfs/dbraw/zinc/31/47/36/1072314736.db2.gz ZXTYPDPJPHNHKZ-INIZCTEOSA-N 0 0 447.535 -0.721 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000562465835 1072314869 /nfs/dbraw/zinc/31/48/69/1072314869.db2.gz ZXTYPDPJPHNHKZ-MRXNPFEDSA-N 0 0 447.535 -0.721 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC(C)(C)CCO ZINC000562475489 1072314827 /nfs/dbraw/zinc/31/48/27/1072314827.db2.gz HCNNXVBUPDDBAZ-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C(CN1CCn2nnc(CO)c2C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000562478322 1072314584 /nfs/dbraw/zinc/31/45/84/1072314584.db2.gz JDWKIRGXQPKMGI-UHFFFAOYSA-N 0 0 436.494 -0.754 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000562487021 1073312941 /nfs/dbraw/zinc/31/29/41/1073312941.db2.gz RKRPIFQBEPIYFS-KRWDZBQOSA-N 0 0 434.541 0.165 20 0 IBADRN CC(C)C(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000562487022 1073312930 /nfs/dbraw/zinc/31/29/30/1073312930.db2.gz RKRPIFQBEPIYFS-QGZVFWFLSA-N 0 0 434.541 0.165 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)Cc1nnc2n1CCC2 ZINC000562491757 1072315135 /nfs/dbraw/zinc/31/51/35/1072315135.db2.gz OITNFKBXXXXKGV-UHFFFAOYSA-N 0 0 448.549 -0.007 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)C2)n(C)n1 ZINC000562495641 1072315367 /nfs/dbraw/zinc/31/53/67/1072315367.db2.gz DZSDIHXAWURGQC-KRWDZBQOSA-N 0 0 444.540 -0.019 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccn(CCN4CCOCC4)n3)C2)n(C)n1 ZINC000562495651 1073321185 /nfs/dbraw/zinc/32/11/85/1073321185.db2.gz DZSDIHXAWURGQC-QGZVFWFLSA-N 0 0 444.540 -0.019 20 0 IBADRN Cc1occc1-c1nnc(S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)n1C ZINC000562496144 1072315202 /nfs/dbraw/zinc/31/52/02/1072315202.db2.gz INXSICOTIHZZDZ-UHFFFAOYSA-N 0 0 433.512 -0.445 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCc4nc(N)ncc4C3)c2)CC1 ZINC000562498313 1073355684 /nfs/dbraw/zinc/35/56/84/1073355684.db2.gz HPICOWQDBGMSSI-UHFFFAOYSA-N 0 0 444.517 0.110 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc2nccn2c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000562499785 1072315236 /nfs/dbraw/zinc/31/52/36/1072315236.db2.gz NPYWPEOQTCEGJJ-UHFFFAOYSA-N 0 0 441.536 -0.030 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)ccc21 ZINC000562502699 1072315341 /nfs/dbraw/zinc/31/53/41/1072315341.db2.gz UTWBBGHGSCAJEP-UHFFFAOYSA-N 0 0 428.449 -0.119 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)ccc21 ZINC000562502730 1072315268 /nfs/dbraw/zinc/31/52/68/1072315268.db2.gz ZXLGILPPKNRWPK-UHFFFAOYSA-N 0 0 443.460 -0.727 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000562517274 1072315258 /nfs/dbraw/zinc/31/52/58/1072315258.db2.gz REGZUAKOPWGRDS-AWEZNQCLSA-N 0 0 434.540 -0.868 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000562517275 1072315213 /nfs/dbraw/zinc/31/52/13/1072315213.db2.gz REGZUAKOPWGRDS-CQSZACIVSA-N 0 0 434.540 -0.868 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N[C@@H](CN2CCOCC2)C(=O)OC)ccc1OC ZINC000562528884 1072315357 /nfs/dbraw/zinc/31/53/57/1072315357.db2.gz YLAPWGIDOFSPBH-INIZCTEOSA-N 0 0 443.522 -0.127 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N[C@H](CN2CCOCC2)C(=O)OC)ccc1OC ZINC000562528885 1072315146 /nfs/dbraw/zinc/31/51/46/1072315146.db2.gz YLAPWGIDOFSPBH-MRXNPFEDSA-N 0 0 443.522 -0.127 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC000562531465 1072315170 /nfs/dbraw/zinc/31/51/70/1072315170.db2.gz HTZPMTYYYUIUCZ-CYBMUJFWSA-N 0 0 426.495 -0.113 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O ZINC000562531466 1072315179 /nfs/dbraw/zinc/31/51/79/1072315179.db2.gz HTZPMTYYYUIUCZ-ZDUSSCGKSA-N 0 0 426.495 -0.113 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(Cl)c2)CC1 ZINC000562572114 1072315312 /nfs/dbraw/zinc/31/53/12/1072315312.db2.gz BTMSNDURZZFZNK-UHFFFAOYSA-N 0 0 431.902 -0.282 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)n1 ZINC000562583181 1072315723 /nfs/dbraw/zinc/31/57/23/1072315723.db2.gz USJWZBXMJQGBSO-UHFFFAOYSA-N 0 0 435.462 -0.380 20 0 IBADRN COc1cc(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc(OC)c1O ZINC000562599283 1072315918 /nfs/dbraw/zinc/31/59/18/1072315918.db2.gz CWXARUTUVHOXQS-UHFFFAOYSA-N 0 0 442.538 -0.412 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(OCCN3CCNC3=O)cc2C)CC1=O ZINC000562759257 1072318324 /nfs/dbraw/zinc/31/83/24/1072318324.db2.gz FGXKVUORCATLCS-UHFFFAOYSA-N 0 0 433.465 -0.388 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@@H]1CCOC1 ZINC000562765522 1072318438 /nfs/dbraw/zinc/31/84/38/1072318438.db2.gz PJNAGRPZLWSTPG-INIZCTEOSA-N 0 0 444.558 -0.450 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@H]1CCOC1 ZINC000562765523 1072318369 /nfs/dbraw/zinc/31/83/69/1072318369.db2.gz PJNAGRPZLWSTPG-MRXNPFEDSA-N 0 0 444.558 -0.450 20 0 IBADRN NC(=O)CC1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000562766988 1072318767 /nfs/dbraw/zinc/31/87/67/1072318767.db2.gz CVOFZASXWYVKOP-UHFFFAOYSA-N 0 0 438.550 -0.089 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000562767893 1072318962 /nfs/dbraw/zinc/31/89/62/1072318962.db2.gz SESOWXYXPJQURP-DLBZAZTESA-N 0 0 433.509 -0.765 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000562767894 1072318649 /nfs/dbraw/zinc/31/86/49/1072318649.db2.gz SESOWXYXPJQURP-IAGOWNOFSA-N 0 0 433.509 -0.765 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000562767895 1072318911 /nfs/dbraw/zinc/31/89/11/1072318911.db2.gz SESOWXYXPJQURP-IRXDYDNUSA-N 0 0 433.509 -0.765 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000562767896 1072318924 /nfs/dbraw/zinc/31/89/24/1072318924.db2.gz SESOWXYXPJQURP-SJORKVTESA-N 0 0 433.509 -0.765 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCCOC[C@@H]3CCCO3)CC2)no1 ZINC000562773806 1073329066 /nfs/dbraw/zinc/32/90/66/1073329066.db2.gz WQRUZUCKOIKTSA-INIZCTEOSA-N 0 0 437.497 -0.232 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCCOC[C@H]3CCCO3)CC2)no1 ZINC000562773807 1072318943 /nfs/dbraw/zinc/31/89/43/1072318943.db2.gz WQRUZUCKOIKTSA-MRXNPFEDSA-N 0 0 437.497 -0.232 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N(C)CCN1CCOCC1 ZINC000562774311 1072318950 /nfs/dbraw/zinc/31/89/50/1072318950.db2.gz GNGKZOCBYNGGHC-UHFFFAOYSA-N 0 0 440.566 -0.079 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)nc2)C1 ZINC000562774805 1072318729 /nfs/dbraw/zinc/31/87/29/1072318729.db2.gz JBLDTJWQSJRCGX-HNNXBMFYSA-N 0 0 428.511 -0.395 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(OC)nc2)C1 ZINC000562774818 1072318756 /nfs/dbraw/zinc/31/87/56/1072318756.db2.gz JBLDTJWQSJRCGX-OAHLLOKOSA-N 0 0 428.511 -0.395 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000562775799 1072319258 /nfs/dbraw/zinc/31/92/58/1072319258.db2.gz QYVXDBGEHLCYRC-UHFFFAOYSA-N 0 0 438.506 -0.986 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000562776681 1072319237 /nfs/dbraw/zinc/31/92/37/1072319237.db2.gz YCTDILRPGMOBCL-UHFFFAOYSA-N 0 0 443.522 -0.819 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(c2ccccn2)CC1 ZINC000562777482 1072319343 /nfs/dbraw/zinc/31/93/43/1072319343.db2.gz OHLQYJNSDUNKNL-UHFFFAOYSA-N 0 0 438.536 -0.151 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000562801363 1072319820 /nfs/dbraw/zinc/31/98/20/1072319820.db2.gz PZUGCWQRBHQRIY-LLVKDONJSA-N 0 0 434.312 -0.221 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000562801369 1072319871 /nfs/dbraw/zinc/31/98/71/1072319871.db2.gz PZUGCWQRBHQRIY-NSHDSACASA-N 0 0 434.312 -0.221 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCOc2ccccc2Cl)CC1)N1CCOCC1 ZINC000562832165 1072320905 /nfs/dbraw/zinc/32/09/05/1072320905.db2.gz AJVZJZXDJDMOOK-UHFFFAOYSA-N 0 0 438.912 -0.162 20 0 IBADRN COc1cc(OC)c(CCNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c(OC)c1 ZINC000562833655 1072321023 /nfs/dbraw/zinc/32/10/23/1072321023.db2.gz CVIRAKMEWXPIDX-UHFFFAOYSA-N 0 0 436.509 -0.349 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2cccnc2N2CCOCC2)c1 ZINC000562833728 1072321432 /nfs/dbraw/zinc/32/14/32/1072321432.db2.gz YREQWDNTDRKXHE-UHFFFAOYSA-N 0 0 447.517 -0.241 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000562834095 1072321597 /nfs/dbraw/zinc/32/15/97/1072321597.db2.gz JWVAXRWVSSWZBP-UHFFFAOYSA-N 0 0 430.553 -0.795 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NCc2nncn2-c2ccccc2)CC1 ZINC000562834556 1072321397 /nfs/dbraw/zinc/32/13/97/1072321397.db2.gz HJEFEZJBLKDHJL-UHFFFAOYSA-N 0 0 427.509 -0.010 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000562835014 1072321508 /nfs/dbraw/zinc/32/15/08/1072321508.db2.gz CFAXZUXFAFNAPP-UHFFFAOYSA-N 0 0 435.462 -0.936 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@@H]1[C@@H]1CNC(=O)C1 ZINC000562837770 1072321614 /nfs/dbraw/zinc/32/16/14/1072321614.db2.gz JSZDDOACSWPCDV-DLBZAZTESA-N 0 0 429.525 -0.768 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@@H]1[C@H]1CNC(=O)C1 ZINC000562837779 1072321584 /nfs/dbraw/zinc/32/15/84/1072321584.db2.gz JSZDDOACSWPCDV-IAGOWNOFSA-N 0 0 429.525 -0.768 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@H]1[C@@H]1CNC(=O)C1 ZINC000562837781 1072321419 /nfs/dbraw/zinc/32/14/19/1072321419.db2.gz JSZDDOACSWPCDV-IRXDYDNUSA-N 0 0 429.525 -0.768 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@H]1[C@H]1CNC(=O)C1 ZINC000562837782 1072321520 /nfs/dbraw/zinc/32/15/20/1072321520.db2.gz JSZDDOACSWPCDV-SJORKVTESA-N 0 0 429.525 -0.768 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@@](C(=O)OC)(c3ccccc3)C2)cc1C ZINC000562841647 1072321623 /nfs/dbraw/zinc/32/16/23/1072321623.db2.gz QKMRDWHAAAAUSS-NRFANRHFSA-N 0 0 427.461 0.219 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@](C(=O)OC)(c3ccccc3)C2)cc1C ZINC000562841650 1072321571 /nfs/dbraw/zinc/32/15/71/1072321571.db2.gz QKMRDWHAAAAUSS-OAQYLSRUSA-N 0 0 427.461 0.219 20 0 IBADRN O=S(=O)(N1CCS(=O)(=O)CC1)N1CCOC[C@H]1c1ncnn1Cc1ccccc1 ZINC000562844160 1072322073 /nfs/dbraw/zinc/32/20/73/1072322073.db2.gz INXMCTGXHIDASV-INIZCTEOSA-N 0 0 441.535 -0.325 20 0 IBADRN O=S(=O)(N1CCS(=O)(=O)CC1)N1CCOC[C@@H]1c1ncnn1Cc1ccccc1 ZINC000562844161 1072322056 /nfs/dbraw/zinc/32/20/56/1072322056.db2.gz INXMCTGXHIDASV-MRXNPFEDSA-N 0 0 441.535 -0.325 20 0 IBADRN Cc1cc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)n(C)n1 ZINC000562847834 1072321888 /nfs/dbraw/zinc/32/18/88/1072321888.db2.gz QCCRCDROXTULEY-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN Cc1c(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)cnc2c1c(=O)n(C)c(=O)n2C ZINC000562859968 1072323051 /nfs/dbraw/zinc/32/30/51/1072323051.db2.gz WMRLJQMCCRFBCC-GFCCVEGCSA-N 0 0 429.399 -0.066 20 0 IBADRN Cc1c(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)cnc2c1c(=O)n(C)c(=O)n2C ZINC000562859969 1072323041 /nfs/dbraw/zinc/32/30/41/1072323041.db2.gz WMRLJQMCCRFBCC-LBPRGKRZSA-N 0 0 429.399 -0.066 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000562862340 1072323515 /nfs/dbraw/zinc/32/35/15/1072323515.db2.gz NVOLNLWDJGCYRA-HNNXBMFYSA-N 0 0 439.538 -0.872 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000562862341 1072323573 /nfs/dbraw/zinc/32/35/73/1072323573.db2.gz NVOLNLWDJGCYRA-OAHLLOKOSA-N 0 0 439.538 -0.872 20 0 IBADRN COCCOC1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000562862489 1072323655 /nfs/dbraw/zinc/32/36/55/1072323655.db2.gz OUMINUZQSJWSGN-UHFFFAOYSA-N 0 0 447.536 -0.110 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1F ZINC000562864013 1072323561 /nfs/dbraw/zinc/32/35/61/1072323561.db2.gz FCVJGRLJIFDMOQ-UHFFFAOYSA-N 0 0 448.495 -0.251 20 0 IBADRN CC[C@@H](C(=O)OC)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000562865021 1072323639 /nfs/dbraw/zinc/32/36/39/1072323639.db2.gz BRCAQZMKTXOQKO-INIZCTEOSA-N 0 0 428.511 -0.255 20 0 IBADRN CC[C@H](C(=O)OC)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000562865022 1072323691 /nfs/dbraw/zinc/32/36/91/1072323691.db2.gz BRCAQZMKTXOQKO-MRXNPFEDSA-N 0 0 428.511 -0.255 20 0 IBADRN COc1cccc2c1CC[C@H]2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000562868011 1072323676 /nfs/dbraw/zinc/32/36/76/1072323676.db2.gz YNCYZFLTRCLKKC-GOSISDBHSA-N 0 0 430.505 -0.198 20 0 IBADRN COc1cccc2c1CC[C@@H]2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000562868025 1072323445 /nfs/dbraw/zinc/32/34/45/1072323445.db2.gz YNCYZFLTRCLKKC-SFHVURJKSA-N 0 0 430.505 -0.198 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000562869143 1072323961 /nfs/dbraw/zinc/32/39/61/1072323961.db2.gz FQLVHXRZFMDSGA-ACJLOTCBSA-N 0 0 442.538 -0.325 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000562869144 1072324172 /nfs/dbraw/zinc/32/41/72/1072324172.db2.gz FQLVHXRZFMDSGA-FZKQIMNGSA-N 0 0 442.538 -0.325 20 0 IBADRN NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000562869407 1072323546 /nfs/dbraw/zinc/32/35/46/1072323546.db2.gz LNWNEQSCKVICRC-CFVMTHIKSA-N 0 0 427.910 -0.112 20 0 IBADRN NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000562869408 1072323894 /nfs/dbraw/zinc/32/38/94/1072323894.db2.gz LNWNEQSCKVICRC-QEJZJMRPSA-N 0 0 427.910 -0.112 20 0 IBADRN NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000562869409 1072324178 /nfs/dbraw/zinc/32/41/78/1072324178.db2.gz LNWNEQSCKVICRC-SNPRPXQTSA-N 0 0 427.910 -0.112 20 0 IBADRN NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000562869410 1072323906 /nfs/dbraw/zinc/32/39/06/1072323906.db2.gz LNWNEQSCKVICRC-YUELXQCFSA-N 0 0 427.910 -0.112 20 0 IBADRN CC(C)n1cc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000562877016 1072323919 /nfs/dbraw/zinc/32/39/19/1072323919.db2.gz HYPUPPKMUMGXAQ-HNNXBMFYSA-N 0 0 434.497 -0.829 20 0 IBADRN CC(C)n1cc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000562877017 1072324067 /nfs/dbraw/zinc/32/40/67/1072324067.db2.gz HYPUPPKMUMGXAQ-OAHLLOKOSA-N 0 0 434.497 -0.829 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccncc1OC[C@@H]1CCOC1)c(=O)n2C ZINC000562885786 1072324057 /nfs/dbraw/zinc/32/40/57/1072324057.db2.gz GJZMKFIDOZGHIT-CYBMUJFWSA-N 0 0 428.449 -0.440 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccncc1OC[C@H]1CCOC1)c(=O)n2C ZINC000562885787 1072323933 /nfs/dbraw/zinc/32/39/33/1072323933.db2.gz GJZMKFIDOZGHIT-ZDUSSCGKSA-N 0 0 428.449 -0.440 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000562886059 1072324697 /nfs/dbraw/zinc/32/46/97/1072324697.db2.gz JGTRLVKHMDLYAR-DLBZAZTESA-N 0 0 442.582 -0.513 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000562886060 1072324426 /nfs/dbraw/zinc/32/44/26/1072324426.db2.gz JGTRLVKHMDLYAR-IAGOWNOFSA-N 0 0 442.582 -0.513 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000562886061 1072324660 /nfs/dbraw/zinc/32/46/60/1072324660.db2.gz JGTRLVKHMDLYAR-IRXDYDNUSA-N 0 0 442.582 -0.513 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000562886062 1072324575 /nfs/dbraw/zinc/32/45/75/1072324575.db2.gz JGTRLVKHMDLYAR-SJORKVTESA-N 0 0 442.582 -0.513 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)cc1C(N)=O ZINC000562887160 1072324733 /nfs/dbraw/zinc/32/47/33/1072324733.db2.gz ZXFNWJQQCJQKFT-UHFFFAOYSA-N 0 0 435.462 -0.306 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CSc3nnc4ccccn43)CC2)CC1 ZINC000562889369 1072324605 /nfs/dbraw/zinc/32/46/05/1072324605.db2.gz FPCKAPWMCPZMLC-UHFFFAOYSA-N 0 0 439.567 -0.542 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(C3CCCCC3)C2=O)CC1)NC1CC1 ZINC000562889476 1072324744 /nfs/dbraw/zinc/32/47/44/1072324744.db2.gz IZRGKJINMYEKLD-GOSISDBHSA-N 0 0 433.553 -0.151 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(C3CCCCC3)C2=O)CC1)NC1CC1 ZINC000562889481 1072324623 /nfs/dbraw/zinc/32/46/23/1072324623.db2.gz IZRGKJINMYEKLD-SFHVURJKSA-N 0 0 433.553 -0.151 20 0 IBADRN CCN(CCCNC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C)S(C)(=O)=O ZINC000562890277 1072324513 /nfs/dbraw/zinc/32/45/13/1072324513.db2.gz VFCYUNJLEHROKR-AWEZNQCLSA-N 0 0 427.593 -0.382 20 0 IBADRN CCN(CCCNC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C)S(C)(=O)=O ZINC000562890280 1072324752 /nfs/dbraw/zinc/32/47/52/1072324752.db2.gz VFCYUNJLEHROKR-CQSZACIVSA-N 0 0 427.593 -0.382 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2OC)C1=O ZINC000562890854 1072324547 /nfs/dbraw/zinc/32/45/47/1072324547.db2.gz DLSAGXGVMNLXTF-HDMKZQKVSA-N 0 0 435.477 -0.147 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2OC)C1=O ZINC000562890855 1072324530 /nfs/dbraw/zinc/32/45/30/1072324530.db2.gz DLSAGXGVMNLXTF-IIDMSEBBSA-N 0 0 435.477 -0.147 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@H]1CCN(c2ccccc2OC)C1=O ZINC000562890956 1072324762 /nfs/dbraw/zinc/32/47/62/1072324762.db2.gz DLSAGXGVMNLXTF-RLFYNMQTSA-N 0 0 435.477 -0.147 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@H]1CCN(c2ccccc2OC)C1=O ZINC000562890957 1072324647 /nfs/dbraw/zinc/32/46/47/1072324647.db2.gz DLSAGXGVMNLXTF-RVKKMQEKSA-N 0 0 435.477 -0.147 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000562897476 1072325320 /nfs/dbraw/zinc/32/53/20/1072325320.db2.gz CRPHXSGZQGFGGZ-HGKJHCCASA-N 0 0 426.583 -0.051 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000562897479 1072325189 /nfs/dbraw/zinc/32/51/89/1072325189.db2.gz CRPHXSGZQGFGGZ-KRQILSMLSA-N 0 0 426.583 -0.051 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000562897480 1072325309 /nfs/dbraw/zinc/32/53/09/1072325309.db2.gz CRPHXSGZQGFGGZ-MVJQZIEYSA-N 0 0 426.583 -0.051 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000562897482 1072325118 /nfs/dbraw/zinc/32/51/18/1072325118.db2.gz CRPHXSGZQGFGGZ-WWTSWKCXSA-N 0 0 426.583 -0.051 20 0 IBADRN O=C(N[C@@H]1CCOC2(CCC2)C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000562900708 1071226762 /nfs/dbraw/zinc/22/67/62/1071226762.db2.gz WKVWOZLKENBPSC-CVEARBPZSA-N 0 0 429.539 -0.143 20 0 IBADRN O=C(N[C@H]1CCOC2(CCC2)C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000562900709 1072325227 /nfs/dbraw/zinc/32/52/27/1072325227.db2.gz WKVWOZLKENBPSC-HOTGVXAUSA-N 0 0 429.539 -0.143 20 0 IBADRN O=C(N[C@@H]1CCOC2(CCC2)C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000562900710 1072325436 /nfs/dbraw/zinc/32/54/36/1072325436.db2.gz WKVWOZLKENBPSC-HZPDHXFCSA-N 0 0 429.539 -0.143 20 0 IBADRN O=C(N[C@H]1CCOC2(CCC2)C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000562900711 1071226652 /nfs/dbraw/zinc/22/66/52/1071226652.db2.gz WKVWOZLKENBPSC-JKSUJKDBSA-N 0 0 429.539 -0.143 20 0 IBADRN COC(=O)c1c(C)cccc1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000562905900 1072325200 /nfs/dbraw/zinc/32/52/00/1072325200.db2.gz MHFVGKJUAQQMFR-UHFFFAOYSA-N 0 0 429.433 0.414 20 0 IBADRN Cc1cccc2c1OC[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C2 ZINC000562912121 1073343094 /nfs/dbraw/zinc/34/30/94/1073343094.db2.gz RJMDROZZXPOFRX-INIZCTEOSA-N 0 0 427.461 0.109 20 0 IBADRN Cc1cccc2c1OC[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C2 ZINC000562912125 1073342994 /nfs/dbraw/zinc/34/29/94/1073342994.db2.gz RJMDROZZXPOFRX-MRXNPFEDSA-N 0 0 427.461 0.109 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)C2=O)n(C)n1 ZINC000563229211 1072325808 /nfs/dbraw/zinc/32/58/08/1072325808.db2.gz BEPCUSVSNRMCKF-CYBMUJFWSA-N 0 0 431.478 -0.195 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)C2=O)n(C)n1 ZINC000563229220 1072325725 /nfs/dbraw/zinc/32/57/25/1072325725.db2.gz BEPCUSVSNRMCKF-ZDUSSCGKSA-N 0 0 431.478 -0.195 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000563239338 1072325779 /nfs/dbraw/zinc/32/57/79/1072325779.db2.gz NHVFXXIBVBLWMX-UHFFFAOYSA-N 0 0 430.513 -0.761 20 0 IBADRN COCCn1c(N)c(C(=O)CN(CCNC(C)=O)C2CCSCC2)c(=O)[nH]c1=O ZINC000563239571 1072325707 /nfs/dbraw/zinc/32/57/07/1072325707.db2.gz RWDUXMAEVSCSLB-UHFFFAOYSA-N 0 0 427.527 -0.306 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(N3CCCCC3)nc2)CC1 ZINC000563245593 1071069872 /nfs/dbraw/zinc/06/98/72/1071069872.db2.gz UIKXYJFOCFDAMP-UHFFFAOYSA-N 0 0 441.496 -0.954 20 0 IBADRN NC(=O)[C@@H]1CN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C[C@H]2CCCO2)CCO1 ZINC000563246715 1072326304 /nfs/dbraw/zinc/32/63/04/1072326304.db2.gz AYNUWGDGJFSTJP-CVEARBPZSA-N 0 0 425.453 -0.210 20 0 IBADRN NC(=O)[C@@H]1CN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C[C@@H]2CCCO2)CCO1 ZINC000563246716 1072326122 /nfs/dbraw/zinc/32/61/22/1072326122.db2.gz AYNUWGDGJFSTJP-HOTGVXAUSA-N 0 0 425.453 -0.210 20 0 IBADRN NC(=O)[C@H]1CN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C[C@H]2CCCO2)CCO1 ZINC000563246717 1072326106 /nfs/dbraw/zinc/32/61/06/1072326106.db2.gz AYNUWGDGJFSTJP-HZPDHXFCSA-N 0 0 425.453 -0.210 20 0 IBADRN NC(=O)[C@H]1CN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2C[C@@H]2CCCO2)CCO1 ZINC000563246718 1072326292 /nfs/dbraw/zinc/32/62/92/1072326292.db2.gz AYNUWGDGJFSTJP-JKSUJKDBSA-N 0 0 425.453 -0.210 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CCCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000563250722 1072326100 /nfs/dbraw/zinc/32/61/00/1072326100.db2.gz QXZLSBZMDJNEAT-UHFFFAOYSA-N 0 0 444.579 -0.507 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)Nc2cc(C)on2)cc1OC ZINC000563253679 1073347191 /nfs/dbraw/zinc/34/71/91/1073347191.db2.gz AFUQJICYZYXGPN-UHFFFAOYSA-N 0 0 426.451 0.376 20 0 IBADRN CC(C)CSCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000563254655 1072326151 /nfs/dbraw/zinc/32/61/51/1072326151.db2.gz BTRITTYRPUHHHD-UHFFFAOYSA-N 0 0 449.643 -0.482 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000563260877 1072326192 /nfs/dbraw/zinc/32/61/92/1072326192.db2.gz AFVBXZDTPHNRBL-CEXWTWQISA-N 0 0 436.553 -0.062 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1 ZINC000563260878 1072326088 /nfs/dbraw/zinc/32/60/88/1072326088.db2.gz AFVBXZDTPHNRBL-GBESFXJTSA-N 0 0 436.553 -0.062 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N(CC2CC2)C[C@H]2CN(C)CCO2)cn1 ZINC000563261187 1072326258 /nfs/dbraw/zinc/32/62/58/1072326258.db2.gz BFTVPVWTBGDXFK-LMMKCTJWSA-N 0 0 432.525 -0.575 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N(CC2CC2)C[C@@H]2CN(C)CCO2)cn1 ZINC000563261190 1072326316 /nfs/dbraw/zinc/32/63/16/1072326316.db2.gz BFTVPVWTBGDXFK-SCTDSRPQSA-N 0 0 432.525 -0.575 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CCC1 ZINC000563262365 1072326145 /nfs/dbraw/zinc/32/61/45/1072326145.db2.gz NPFWCRAZRGYHMS-HNNXBMFYSA-N 0 0 442.524 -0.121 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CCC1 ZINC000563262372 1072326226 /nfs/dbraw/zinc/32/62/26/1072326226.db2.gz NPFWCRAZRGYHMS-OAHLLOKOSA-N 0 0 442.524 -0.121 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCCc4occc43)CC2)CC1 ZINC000563268296 1072326131 /nfs/dbraw/zinc/32/61/31/1072326131.db2.gz KSNLWZMDWMDVFK-INIZCTEOSA-N 0 0 439.538 -0.590 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCCc4occc43)CC2)CC1 ZINC000563268305 1072326237 /nfs/dbraw/zinc/32/62/37/1072326237.db2.gz KSNLWZMDWMDVFK-MRXNPFEDSA-N 0 0 439.538 -0.590 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000563269604 1072326817 /nfs/dbraw/zinc/32/68/17/1072326817.db2.gz WIWLFYIWVLUQJO-UHFFFAOYSA-N 0 0 428.493 -0.283 20 0 IBADRN Cc1noc(CN2CCN(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)CC2)n1 ZINC000563269778 1071074006 /nfs/dbraw/zinc/07/40/06/1071074006.db2.gz VUARCYQASGVCFT-HNNXBMFYSA-N 0 0 429.547 -0.134 20 0 IBADRN Cc1noc(CN2CCN(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)CC2)n1 ZINC000563269793 1072326749 /nfs/dbraw/zinc/32/67/49/1072326749.db2.gz VUARCYQASGVCFT-OAHLLOKOSA-N 0 0 429.547 -0.134 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000563288719 1072326793 /nfs/dbraw/zinc/32/67/93/1072326793.db2.gz BGQGQRJBRLAMIC-HNNXBMFYSA-N 0 0 446.551 -0.634 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000563288721 1072326654 /nfs/dbraw/zinc/32/66/54/1072326654.db2.gz BGQGQRJBRLAMIC-OAHLLOKOSA-N 0 0 446.551 -0.634 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@](O)(C(N)=O)C2)cc1 ZINC000563292188 1072326723 /nfs/dbraw/zinc/32/67/23/1072326723.db2.gz NITBLWOKIUXHMS-GOSISDBHSA-N 0 0 429.495 -0.968 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC[C@@](O)(C(N)=O)C2)cc1 ZINC000563292202 1072326702 /nfs/dbraw/zinc/32/67/02/1072326702.db2.gz NITBLWOKIUXHMS-SFHVURJKSA-N 0 0 429.495 -0.968 20 0 IBADRN O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000563297854 1072326832 /nfs/dbraw/zinc/32/68/32/1072326832.db2.gz ODEWOUFZAQCKDN-BBWFWOEESA-N 0 0 434.518 -0.012 20 0 IBADRN O=C(N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000563297855 1072326664 /nfs/dbraw/zinc/32/66/64/1072326664.db2.gz ODEWOUFZAQCKDN-BRWVUGGUSA-N 0 0 434.518 -0.012 20 0 IBADRN O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000563297856 1072326711 /nfs/dbraw/zinc/32/67/11/1072326711.db2.gz ODEWOUFZAQCKDN-GVDBMIGSSA-N 0 0 434.518 -0.012 20 0 IBADRN O=C(N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000563297857 1072326806 /nfs/dbraw/zinc/32/68/06/1072326806.db2.gz ODEWOUFZAQCKDN-ZACQAIPSSA-N 0 0 434.518 -0.012 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000563300284 1072326676 /nfs/dbraw/zinc/32/66/76/1072326676.db2.gz IWRRQVHNWURIOD-UHFFFAOYSA-N 0 0 436.513 -0.869 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O)s1 ZINC000563303931 1072326782 /nfs/dbraw/zinc/32/67/82/1072326782.db2.gz TVMRSRPZAYDLMP-NEPJUHHUSA-N 0 0 439.581 -0.269 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O)s1 ZINC000563303933 1072326757 /nfs/dbraw/zinc/32/67/57/1072326757.db2.gz TVMRSRPZAYDLMP-NWDGAFQWSA-N 0 0 439.581 -0.269 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O)s1 ZINC000563303934 1072326739 /nfs/dbraw/zinc/32/67/39/1072326739.db2.gz TVMRSRPZAYDLMP-RYUDHWBXSA-N 0 0 439.581 -0.269 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)s1 ZINC000563303935 1072326891 /nfs/dbraw/zinc/32/68/91/1072326891.db2.gz TVMRSRPZAYDLMP-VXGBXAGGSA-N 0 0 439.581 -0.269 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3cn4cccnc4n3)CC2)cc1 ZINC000563304531 1072327371 /nfs/dbraw/zinc/32/73/71/1072327371.db2.gz NPSCGNUZTPOUPB-UHFFFAOYSA-N 0 0 436.519 -0.117 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000563304639 1072326644 /nfs/dbraw/zinc/32/66/44/1072326644.db2.gz RBTQLUMHLWFTBR-UHFFFAOYSA-N 0 0 448.423 -0.346 20 0 IBADRN Cn1nccc1C1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000563306487 1072327242 /nfs/dbraw/zinc/32/72/42/1072327242.db2.gz TWYRNHNPIASVHE-UHFFFAOYSA-N 0 0 426.525 -0.146 20 0 IBADRN C[C@@H]1CN([C@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)c2cccs2)CCO1 ZINC000563315678 1072327189 /nfs/dbraw/zinc/32/71/89/1072327189.db2.gz BHCYKZWJOWSCTH-GDBMZVCRSA-N 0 0 446.533 -0.038 20 0 IBADRN C[C@H]1CN([C@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)c2cccs2)CCO1 ZINC000563315679 1072327182 /nfs/dbraw/zinc/32/71/82/1072327182.db2.gz BHCYKZWJOWSCTH-GOEBONIOSA-N 0 0 446.533 -0.038 20 0 IBADRN C[C@H]1CN([C@@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)c2cccs2)CCO1 ZINC000563315680 1072327137 /nfs/dbraw/zinc/32/71/37/1072327137.db2.gz BHCYKZWJOWSCTH-HOCLYGCPSA-N 0 0 446.533 -0.038 20 0 IBADRN C[C@@H]1CN([C@@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)c2cccs2)CCO1 ZINC000563315681 1072327257 /nfs/dbraw/zinc/32/72/57/1072327257.db2.gz BHCYKZWJOWSCTH-ZBFHGGJFSA-N 0 0 446.533 -0.038 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c2)ccn1 ZINC000563323745 1072327147 /nfs/dbraw/zinc/32/71/47/1072327147.db2.gz MGXUMACSYUWJOQ-UHFFFAOYSA-N 0 0 435.462 -0.163 20 0 IBADRN COc1ccc(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)cc1S(N)(=O)=O ZINC000563325135 1072327268 /nfs/dbraw/zinc/32/72/68/1072327268.db2.gz KZOUQHWEJPULHZ-UHFFFAOYSA-N 0 0 447.267 -0.316 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCc3cccc(OCC(N)=O)c3)C2=O)n(C)n1 ZINC000563326499 1072327295 /nfs/dbraw/zinc/32/72/95/1072327295.db2.gz NCIXIQDWLIZLHT-HNNXBMFYSA-N 0 0 428.449 -0.869 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCc3cccc(OCC(N)=O)c3)C2=O)n(C)n1 ZINC000563326500 1072327199 /nfs/dbraw/zinc/32/71/99/1072327199.db2.gz NCIXIQDWLIZLHT-OAHLLOKOSA-N 0 0 428.449 -0.869 20 0 IBADRN COCCNc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000563329052 1072327157 /nfs/dbraw/zinc/32/71/57/1072327157.db2.gz WDZWIACPLKZQKY-UHFFFAOYSA-N 0 0 447.536 -0.636 20 0 IBADRN O=C(NCCc1cn2c(n1)CCCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000563330383 1072327691 /nfs/dbraw/zinc/32/76/91/1072327691.db2.gz BOOQMCGUGQDRIG-UHFFFAOYSA-N 0 0 446.533 -0.194 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1=O ZINC000563330470 1072327795 /nfs/dbraw/zinc/32/77/95/1072327795.db2.gz JHADKWBXWNCONM-UHFFFAOYSA-N 0 0 446.476 -0.454 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CC1 ZINC000563330749 1072327745 /nfs/dbraw/zinc/32/77/45/1072327745.db2.gz GXQOKPIXFJLMJV-KRWDZBQOSA-N 0 0 443.512 -0.625 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CC1 ZINC000563330750 1072327868 /nfs/dbraw/zinc/32/78/68/1072327868.db2.gz GXQOKPIXFJLMJV-QGZVFWFLSA-N 0 0 443.512 -0.625 20 0 IBADRN O=C(CN(CC(=O)N1C[C@@H]2COC[C@H](C1)O2)Cc1ccccc1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000563456080 1072328364 /nfs/dbraw/zinc/32/83/64/1072328364.db2.gz OQLRLZQFDKTSFV-FXELSEDVSA-N 0 0 445.516 -0.259 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1=O ZINC000563458095 1072328170 /nfs/dbraw/zinc/32/81/70/1072328170.db2.gz DRENGCOJTLEZSD-UHFFFAOYSA-N 0 0 428.486 -0.593 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CC(=O)NC(=O)C34CCCCC4)c2C)no1 ZINC000563461360 1072328257 /nfs/dbraw/zinc/32/82/57/1072328257.db2.gz ATDLRYAYHBMEMD-UHFFFAOYSA-N 0 0 430.425 -0.547 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000563462722 1072328214 /nfs/dbraw/zinc/32/82/14/1072328214.db2.gz QJPKHZPNOGRALJ-UHFFFAOYSA-N 0 0 443.508 -0.068 20 0 IBADRN CS(=O)(=O)c1ccc(Sc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000563466416 1072328227 /nfs/dbraw/zinc/32/82/27/1072328227.db2.gz RTUMOESJJZNHNW-LSCFUAHRSA-N 0 0 438.487 -0.008 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)CC(=O)NCCCN2CCCC2=O)cc1 ZINC000563468374 1072328187 /nfs/dbraw/zinc/32/81/87/1072328187.db2.gz SFRFTGPSTHKURS-UHFFFAOYSA-N 0 0 431.536 -0.161 20 0 IBADRN CC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)COC1 ZINC000563468983 1072328408 /nfs/dbraw/zinc/32/84/08/1072328408.db2.gz ZUVSLRMWXKWKIV-UHFFFAOYSA-N 0 0 439.519 -0.323 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c1Br ZINC000563469724 1072328266 /nfs/dbraw/zinc/32/82/66/1072328266.db2.gz ULNVVTVDVWNFQG-SECBINFHSA-N 0 0 447.311 -0.103 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)c1Br ZINC000563469725 1072328691 /nfs/dbraw/zinc/32/86/91/1072328691.db2.gz ULNVVTVDVWNFQG-VIFPVBQESA-N 0 0 447.311 -0.103 20 0 IBADRN CC(=O)NCCN(C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C1CCSCC1 ZINC000563470586 1072328740 /nfs/dbraw/zinc/32/87/40/1072328740.db2.gz BMMZLCPAEHJECT-GDBMZVCRSA-N 0 0 431.580 -0.118 20 0 IBADRN CC(=O)NCCN(C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C1CCSCC1 ZINC000563470587 1072328912 /nfs/dbraw/zinc/32/89/12/1072328912.db2.gz BMMZLCPAEHJECT-GOEBONIOSA-N 0 0 431.580 -0.118 20 0 IBADRN CC(=O)NCCN(C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C1CCSCC1 ZINC000563470588 1072328866 /nfs/dbraw/zinc/32/88/66/1072328866.db2.gz BMMZLCPAEHJECT-HOCLYGCPSA-N 0 0 431.580 -0.118 20 0 IBADRN CC(=O)NCCN(C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C1CCSCC1 ZINC000563470589 1072328756 /nfs/dbraw/zinc/32/87/56/1072328756.db2.gz BMMZLCPAEHJECT-ZBFHGGJFSA-N 0 0 431.580 -0.118 20 0 IBADRN COCCCOc1cccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000563471093 1072328682 /nfs/dbraw/zinc/32/86/82/1072328682.db2.gz CSPINEGCAUWYNX-UHFFFAOYSA-N 0 0 445.480 -0.924 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000563472393 1072328794 /nfs/dbraw/zinc/32/87/94/1072328794.db2.gz FLTXTHSFLYBEAL-HNNXBMFYSA-N 0 0 440.497 -0.069 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000563472394 1072328715 /nfs/dbraw/zinc/32/87/15/1072328715.db2.gz FLTXTHSFLYBEAL-OAHLLOKOSA-N 0 0 440.497 -0.069 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)[C@@H](C)C1 ZINC000563472908 1072328728 /nfs/dbraw/zinc/32/87/28/1072328728.db2.gz CIZHAGLXPQVSLZ-DOTOQJQBSA-N 0 0 431.493 -0.211 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)[C@H](C)C1 ZINC000563472913 1072328763 /nfs/dbraw/zinc/32/87/63/1072328763.db2.gz CIZHAGLXPQVSLZ-NVXWUHKLSA-N 0 0 431.493 -0.211 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)[C@@H](C)C1 ZINC000563472915 1072328880 /nfs/dbraw/zinc/32/88/80/1072328880.db2.gz CIZHAGLXPQVSLZ-RDJZCZTQSA-N 0 0 431.493 -0.211 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)[C@H](C)C1 ZINC000563472916 1072328803 /nfs/dbraw/zinc/32/88/03/1072328803.db2.gz CIZHAGLXPQVSLZ-WBVHZDCISA-N 0 0 431.493 -0.211 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)cn1 ZINC000563473173 1072328723 /nfs/dbraw/zinc/32/87/23/1072328723.db2.gz RMEJXUATZMYPKE-HNNXBMFYSA-N 0 0 425.511 -0.023 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)cn1 ZINC000563473185 1072328776 /nfs/dbraw/zinc/32/87/76/1072328776.db2.gz RMEJXUATZMYPKE-OAHLLOKOSA-N 0 0 425.511 -0.023 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000563473767 1073318777 /nfs/dbraw/zinc/31/87/77/1073318777.db2.gz XFYUIOAAMDDTHV-UHFFFAOYSA-N 0 0 449.556 0.188 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC(CN3CCc4ccccc43)CC2)n1 ZINC000563474055 1073313540 /nfs/dbraw/zinc/31/35/40/1073313540.db2.gz ZVWYMJQMISNAIL-UHFFFAOYSA-N 0 0 425.493 0.264 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000563477400 1073345171 /nfs/dbraw/zinc/34/51/71/1073345171.db2.gz WHOQWBDRSCXUMR-CYBMUJFWSA-N 0 0 429.547 0.280 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000563477401 1073345120 /nfs/dbraw/zinc/34/51/20/1073345120.db2.gz WHOQWBDRSCXUMR-ZDUSSCGKSA-N 0 0 429.547 0.280 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H]3CCC(=O)NC34CCC4)C2)nc1 ZINC000563478148 1072328783 /nfs/dbraw/zinc/32/87/83/1072328783.db2.gz JQLDGOLOOBURIX-HNNXBMFYSA-N 0 0 429.477 -0.119 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H]3CCC(=O)NC34CCC4)C2)nc1 ZINC000563478149 1072328747 /nfs/dbraw/zinc/32/87/47/1072328747.db2.gz JQLDGOLOOBURIX-OAHLLOKOSA-N 0 0 429.477 -0.119 20 0 IBADRN C[C@@H](CN1CCOCC1)NS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC000563479870 1072329310 /nfs/dbraw/zinc/32/93/10/1072329310.db2.gz WFPSNHDGXHLVOY-HNNXBMFYSA-N 0 0 425.507 -0.061 20 0 IBADRN C[C@H](CN1CCOCC1)NS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC000563479874 1072329345 /nfs/dbraw/zinc/32/93/45/1072329345.db2.gz WFPSNHDGXHLVOY-OAHLLOKOSA-N 0 0 425.507 -0.061 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)(N2CCOCC2)C1 ZINC000563480482 1072329272 /nfs/dbraw/zinc/32/92/72/1072329272.db2.gz CLLMJISVBKJCGC-FLPIEVNYSA-N 0 0 438.569 -0.447 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)(N2CCOCC2)C1 ZINC000563480486 1072329369 /nfs/dbraw/zinc/32/93/69/1072329369.db2.gz CLLMJISVBKJCGC-PGFLUOATSA-N 0 0 438.569 -0.447 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1OC ZINC000563486383 1072329327 /nfs/dbraw/zinc/32/93/27/1072329327.db2.gz IAJHZIXZFBOVFX-GXTWGEPZSA-N 0 0 449.551 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1OC ZINC000563486384 1072329456 /nfs/dbraw/zinc/32/94/56/1072329456.db2.gz IAJHZIXZFBOVFX-JSGCOSHPSA-N 0 0 449.551 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1OC ZINC000563486385 1072329387 /nfs/dbraw/zinc/32/93/87/1072329387.db2.gz IAJHZIXZFBOVFX-OCCSQVGLSA-N 0 0 449.551 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1OC ZINC000563486386 1072329357 /nfs/dbraw/zinc/32/93/57/1072329357.db2.gz IAJHZIXZFBOVFX-TZMCWYRMSA-N 0 0 449.551 -0.184 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)c1 ZINC000563486687 1072329465 /nfs/dbraw/zinc/32/94/65/1072329465.db2.gz IVGMFYDAGTXOMV-UHFFFAOYSA-N 0 0 437.450 -0.929 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000563487995 1072329402 /nfs/dbraw/zinc/32/94/02/1072329402.db2.gz FNYIQTOTPDPKPU-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN CC(C)c1nnc(S(=O)(=O)CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)n1C ZINC000563504418 1072329294 /nfs/dbraw/zinc/32/92/94/1072329294.db2.gz IMWCZAWHPMUPPH-UHFFFAOYSA-N 0 0 427.508 -0.051 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccccc3F)CC2)o1 ZINC000563504584 1072329238 /nfs/dbraw/zinc/32/92/38/1072329238.db2.gz PWQHUYZBOKDKBL-UHFFFAOYSA-N 0 0 438.437 -0.333 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000563505249 1072329950 /nfs/dbraw/zinc/32/99/50/1072329950.db2.gz PKMYAOFCNPKEQD-PMACEKPBSA-N 0 0 434.537 -0.845 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000563505250 1072330006 /nfs/dbraw/zinc/33/00/06/1072330006.db2.gz PKMYAOFCNPKEQD-UXHICEINSA-N 0 0 434.537 -0.845 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000563505251 1072330061 /nfs/dbraw/zinc/33/00/61/1072330061.db2.gz PKMYAOFCNPKEQD-VQTJNVASSA-N 0 0 434.537 -0.845 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000563505252 1072330075 /nfs/dbraw/zinc/33/00/75/1072330075.db2.gz PKMYAOFCNPKEQD-WOJBJXKFSA-N 0 0 434.537 -0.845 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000563505404 1072329419 /nfs/dbraw/zinc/32/94/19/1072329419.db2.gz DIRPRMGEVVHUJM-HBMCJLEFSA-N 0 0 449.548 -0.124 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000563505408 1072329248 /nfs/dbraw/zinc/32/92/48/1072329248.db2.gz DIRPRMGEVVHUJM-NJDAHSKKSA-N 0 0 449.548 -0.124 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000563505409 1072329177 /nfs/dbraw/zinc/32/91/77/1072329177.db2.gz DIRPRMGEVVHUJM-NJYVYQBISA-N 0 0 449.548 -0.124 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)C1 ZINC000563505410 1072329210 /nfs/dbraw/zinc/32/92/10/1072329210.db2.gz DIRPRMGEVVHUJM-PWRODBHTSA-N 0 0 449.548 -0.124 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)N(C)C ZINC000563505463 1072330142 /nfs/dbraw/zinc/33/01/42/1072330142.db2.gz JFWDLUPWKDDVHQ-GDBMZVCRSA-N 0 0 426.539 -0.046 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)N(C)C ZINC000563505465 1072329834 /nfs/dbraw/zinc/32/98/34/1072329834.db2.gz JFWDLUPWKDDVHQ-ZBFHGGJFSA-N 0 0 426.539 -0.046 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCC[C@@H]1CO ZINC000563508268 1072330033 /nfs/dbraw/zinc/33/00/33/1072330033.db2.gz BCJHKWTYKGNKLA-QGZVFWFLSA-N 0 0 425.507 -0.552 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000563509649 1072329862 /nfs/dbraw/zinc/32/98/62/1072329862.db2.gz YWAITYJSZNFSMV-UHFFFAOYSA-N 0 0 449.476 -0.033 20 0 IBADRN CN1CCC[C@H](NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000563509775 1072329708 /nfs/dbraw/zinc/32/97/08/1072329708.db2.gz SDUNRIJHIYNFHT-INIZCTEOSA-N 0 0 438.506 -0.939 20 0 IBADRN CN1CCC[C@@H](NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000563509777 1072329721 /nfs/dbraw/zinc/32/97/21/1072329721.db2.gz SDUNRIJHIYNFHT-MRXNPFEDSA-N 0 0 438.506 -0.939 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3ccccc3C(F)(F)F)C2)nn1 ZINC000563510106 1072329877 /nfs/dbraw/zinc/32/98/77/1072329877.db2.gz RWAIGYRWCBESLP-UHFFFAOYSA-N 0 0 432.384 -0.242 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCn2ccnc2)CC1 ZINC000563520851 1072330728 /nfs/dbraw/zinc/33/07/28/1072330728.db2.gz ZKYHZFUTDPPYQY-UHFFFAOYSA-N 0 0 428.559 -0.100 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000563553038 1072330504 /nfs/dbraw/zinc/33/05/04/1072330504.db2.gz ISIIUERIAHHUDD-AWEZNQCLSA-N 0 0 446.551 -0.907 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000563553039 1072330681 /nfs/dbraw/zinc/33/06/81/1072330681.db2.gz ISIIUERIAHHUDD-CQSZACIVSA-N 0 0 446.551 -0.907 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000563553168 1072330666 /nfs/dbraw/zinc/33/06/66/1072330666.db2.gz NQUHFTYRZQIRAR-KRWDZBQOSA-N 0 0 432.525 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000563555497 1072330558 /nfs/dbraw/zinc/33/05/58/1072330558.db2.gz JQXCOTBMOGFIMM-CABCVRRESA-N 0 0 441.506 -0.389 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCCC(=O)N2CCCCCC2)CC1 ZINC000563557062 1072330749 /nfs/dbraw/zinc/33/07/49/1072330749.db2.gz LACYRRYVEFRLNG-UHFFFAOYSA-N 0 0 430.509 -0.262 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CCO1 ZINC000563566437 1072330571 /nfs/dbraw/zinc/33/05/71/1072330571.db2.gz SWRCNGBGTCZFQA-INIZCTEOSA-N 0 0 444.540 -0.316 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CCO1 ZINC000563566443 1072330688 /nfs/dbraw/zinc/33/06/88/1072330688.db2.gz SWRCNGBGTCZFQA-MRXNPFEDSA-N 0 0 444.540 -0.316 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2nc(-c3ccc(CN4C(=O)CNC4=O)cc3)no2)CC1 ZINC000563568836 1072330763 /nfs/dbraw/zinc/33/07/63/1072330763.db2.gz NWBCCSGTRVNHAS-UHFFFAOYSA-N 0 0 434.478 -0.134 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000563572073 1072330775 /nfs/dbraw/zinc/33/07/75/1072330775.db2.gz SJWDACUKOFDJRD-HNNXBMFYSA-N 0 0 437.516 -0.023 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000563572074 1072330464 /nfs/dbraw/zinc/33/04/64/1072330464.db2.gz SJWDACUKOFDJRD-OAHLLOKOSA-N 0 0 437.516 -0.023 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCc1ccccc1N1CCN(C(C)=O)CC1 ZINC000563575715 1072331284 /nfs/dbraw/zinc/33/12/84/1072331284.db2.gz QNZOBZFAWFBIMV-UHFFFAOYSA-N 0 0 426.539 -0.073 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCCn2cc(CO)nn2)C1 ZINC000563577159 1072331354 /nfs/dbraw/zinc/33/13/54/1072331354.db2.gz BJHIZKCFXGWASI-AWEZNQCLSA-N 0 0 425.515 -0.282 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCCn2cc(CO)nn2)C1 ZINC000563577160 1072331313 /nfs/dbraw/zinc/33/13/13/1072331313.db2.gz BJHIZKCFXGWASI-CQSZACIVSA-N 0 0 425.515 -0.282 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000563579097 1072331177 /nfs/dbraw/zinc/33/11/77/1072331177.db2.gz DZIHASZUCSVGFO-UHFFFAOYSA-N 0 0 439.538 -0.609 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000563638386 1072331342 /nfs/dbraw/zinc/33/13/42/1072331342.db2.gz WEMGQISUKXJOCZ-INIZCTEOSA-N 0 0 443.508 -0.240 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000563638388 1072331332 /nfs/dbraw/zinc/33/13/32/1072331332.db2.gz WEMGQISUKXJOCZ-MRXNPFEDSA-N 0 0 443.508 -0.240 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)nnn1Cc1ccc(F)cc1 ZINC000563638452 1072331104 /nfs/dbraw/zinc/33/11/04/1072331104.db2.gz XURBKJLWWKFCPM-UHFFFAOYSA-N 0 0 441.427 -0.260 20 0 IBADRN Cn1c(C(=O)Nc2ccc(C(=O)NCCC(N)=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000563641185 1072331246 /nfs/dbraw/zinc/33/12/46/1072331246.db2.gz QLLFBIUEMBWXAP-UHFFFAOYSA-N 0 0 426.433 -0.567 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)CC2)n1 ZINC000563642165 1072331293 /nfs/dbraw/zinc/33/12/93/1072331293.db2.gz MBMBRYCOPNGNLB-UHFFFAOYSA-N 0 0 439.520 -0.046 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCCN(c2ccccc2F)C1=O ZINC000563643944 1072331305 /nfs/dbraw/zinc/33/13/05/1072331305.db2.gz WUXJCQCYYIEBQP-AWEZNQCLSA-N 0 0 428.424 -0.227 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCCN(c2ccccc2F)C1=O ZINC000563643948 1072331323 /nfs/dbraw/zinc/33/13/23/1072331323.db2.gz WUXJCQCYYIEBQP-CQSZACIVSA-N 0 0 428.424 -0.227 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)C2=O)n(C)n1 ZINC000563645633 1072331755 /nfs/dbraw/zinc/33/17/55/1072331755.db2.gz GATKWJMUVPUDPY-CVEARBPZSA-N 0 0 439.476 -0.343 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)C2=O)n(C)n1 ZINC000563645640 1072331808 /nfs/dbraw/zinc/33/18/08/1072331808.db2.gz GATKWJMUVPUDPY-HOTGVXAUSA-N 0 0 439.476 -0.343 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)C2=O)n(C)n1 ZINC000563645642 1072331667 /nfs/dbraw/zinc/33/16/67/1072331667.db2.gz GATKWJMUVPUDPY-HZPDHXFCSA-N 0 0 439.476 -0.343 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)C2=O)n(C)n1 ZINC000563645645 1072331636 /nfs/dbraw/zinc/33/16/36/1072331636.db2.gz GATKWJMUVPUDPY-JKSUJKDBSA-N 0 0 439.476 -0.343 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H](CC(=O)NC)c2ccccc2)CC1 ZINC000563645939 1072331229 /nfs/dbraw/zinc/33/12/29/1072331229.db2.gz JSNIJOYCQPRADR-GOSISDBHSA-N 0 0 431.537 -0.007 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H](CC(=O)NC)c2ccccc2)CC1 ZINC000563645943 1072331543 /nfs/dbraw/zinc/33/15/43/1072331543.db2.gz JSNIJOYCQPRADR-SFHVURJKSA-N 0 0 431.537 -0.007 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c(OC)c1 ZINC000563646358 1072331716 /nfs/dbraw/zinc/33/17/16/1072331716.db2.gz XBKQGEGRYIJEIP-UHFFFAOYSA-N 0 0 439.446 -0.189 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1)N1CCCCC1 ZINC000563646613 1072331706 /nfs/dbraw/zinc/33/17/06/1072331706.db2.gz SVIKZILAXGVPKX-UHFFFAOYSA-N 0 0 443.552 -0.282 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCc2ccc(C(N)=O)nc2)C1=O ZINC000563649149 1072331555 /nfs/dbraw/zinc/33/15/55/1072331555.db2.gz IDNRCSOVNFGWCK-CYBMUJFWSA-N 0 0 445.457 -0.434 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCc2ccc(C(N)=O)nc2)C1=O ZINC000563649169 1072331692 /nfs/dbraw/zinc/33/16/92/1072331692.db2.gz IDNRCSOVNFGWCK-ZDUSSCGKSA-N 0 0 445.457 -0.434 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)CN(CC(=O)N1C[C@H](CO)OC[C@@H]1C)Cc1ccccc1 ZINC000563653969 1072331746 /nfs/dbraw/zinc/33/17/46/1072331746.db2.gz BAVYDZUJGSBTBG-NZXJAIKPSA-N 0 0 449.548 -0.295 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)CN(CC(=O)N1C[C@@H](CO)OC[C@H]1C)Cc1ccccc1 ZINC000563653999 1072331655 /nfs/dbraw/zinc/33/16/55/1072331655.db2.gz BAVYDZUJGSBTBG-QCFAMHMHSA-N 0 0 449.548 -0.295 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)CN(CC(=O)N1C[C@@H](CO)OC[C@H]1C)Cc1ccccc1 ZINC000563654001 1072331679 /nfs/dbraw/zinc/33/16/79/1072331679.db2.gz BAVYDZUJGSBTBG-UKAVVXHISA-N 0 0 449.548 -0.295 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)CN(CC(=O)N1C[C@@H](CO)OC[C@@H]1C)Cc1ccccc1 ZINC000563654002 1072331798 /nfs/dbraw/zinc/33/17/98/1072331798.db2.gz BAVYDZUJGSBTBG-YHELAOLJSA-N 0 0 449.548 -0.295 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)CC(=O)N2C)cnn1C ZINC000563655678 1072331767 /nfs/dbraw/zinc/33/17/67/1072331767.db2.gz JFWZNJUMQCIRQF-QAPCUYQASA-N 0 0 428.497 -0.816 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(F)c(F)c(F)c3)CC2)CC1 ZINC000563658885 1072331616 /nfs/dbraw/zinc/33/16/16/1072331616.db2.gz XSUBVZNVQSFKQB-UHFFFAOYSA-N 0 0 449.455 -0.321 20 0 IBADRN O=C(NCc1ncnn1-c1ccccc1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000563659284 1072331782 /nfs/dbraw/zinc/33/17/82/1072331782.db2.gz XBKNWMIKXFEYJT-INIZCTEOSA-N 0 0 432.506 -0.090 20 0 IBADRN O=C(NCc1ncnn1-c1ccccc1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000563659290 1072331735 /nfs/dbraw/zinc/33/17/35/1072331735.db2.gz XBKNWMIKXFEYJT-MRXNPFEDSA-N 0 0 432.506 -0.090 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3C)CC1 ZINC000563659365 1072332335 /nfs/dbraw/zinc/33/23/35/1072332335.db2.gz VYZPILDFUXBDMQ-UHFFFAOYSA-N 0 0 439.494 -0.679 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)CC1 ZINC000563664295 1072332037 /nfs/dbraw/zinc/33/20/37/1072332037.db2.gz YRUGZXWGAVQJIS-HNNXBMFYSA-N 0 0 446.570 -0.597 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)CC1 ZINC000563664299 1072332184 /nfs/dbraw/zinc/33/21/84/1072332184.db2.gz YRUGZXWGAVQJIS-OAHLLOKOSA-N 0 0 446.570 -0.597 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)cn2)CCO1 ZINC000563665254 1072332133 /nfs/dbraw/zinc/33/21/33/1072332133.db2.gz BRTNGMOAGAFSCV-GDBMZVCRSA-N 0 0 439.538 -0.537 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)cn2)CCO1 ZINC000563665288 1072332311 /nfs/dbraw/zinc/33/23/11/1072332311.db2.gz BRTNGMOAGAFSCV-GOEBONIOSA-N 0 0 439.538 -0.537 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1 ZINC000563666392 1073317497 /nfs/dbraw/zinc/31/74/97/1073317497.db2.gz KWUIDMSAKLGVQQ-UHFFFAOYSA-N 0 0 442.476 -0.258 20 0 IBADRN CCCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000563667542 1072332114 /nfs/dbraw/zinc/33/21/14/1072332114.db2.gz SNSJLFBFHNTGGT-UHFFFAOYSA-N 0 0 443.504 -0.009 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1C ZINC000563673863 1072332024 /nfs/dbraw/zinc/33/20/24/1072332024.db2.gz KWNIAWDRHOAVTJ-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1C ZINC000563673870 1072332274 /nfs/dbraw/zinc/33/22/74/1072332274.db2.gz KWNIAWDRHOAVTJ-LBPRGKRZSA-N 0 0 432.524 -0.618 20 0 IBADRN COC(=O)CC1(CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CCOCC1 ZINC000563673983 1072332147 /nfs/dbraw/zinc/33/21/47/1072332147.db2.gz PMWUKUUNJCBSTC-UHFFFAOYSA-N 0 0 426.451 -0.328 20 0 IBADRN CC(CO)(CO)NC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000563673995 1072332328 /nfs/dbraw/zinc/33/23/28/1072332328.db2.gz XPBQKAVZRZOLMX-UHFFFAOYSA-N 0 0 425.507 -0.390 20 0 IBADRN CCS(=O)(=O)c1cc(F)ccc1NC(=O)C(=O)NCCn1cc(C(=O)OC)nn1 ZINC000563679996 1072332341 /nfs/dbraw/zinc/33/23/41/1072332341.db2.gz YIYHIBXOAUZDTA-UHFFFAOYSA-N 0 0 427.414 -0.248 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CN(c3ccc(Br)cc3)C2=O)cn1 ZINC000563680156 1072332079 /nfs/dbraw/zinc/33/20/79/1072332079.db2.gz OCHALNNHUVEUQS-GFCCVEGCSA-N 0 0 435.238 -0.399 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CN(c3ccc(Br)cc3)C2=O)cn1 ZINC000563680157 1072332096 /nfs/dbraw/zinc/33/20/96/1072332096.db2.gz OCHALNNHUVEUQS-LBPRGKRZSA-N 0 0 435.238 -0.399 20 0 IBADRN Cc1ccccc1C[C@H](C)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000563683997 1072332218 /nfs/dbraw/zinc/33/22/18/1072332218.db2.gz CGYCUEFYFFAVNW-KRWDZBQOSA-N 0 0 430.505 -0.428 20 0 IBADRN Cc1ccccc1C[C@@H](C)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000563684020 1072332320 /nfs/dbraw/zinc/33/23/20/1072332320.db2.gz CGYCUEFYFFAVNW-QGZVFWFLSA-N 0 0 430.505 -0.428 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCCN(c2cc(C)nn2C)C1)S(C)(=O)=O ZINC000563684694 1072332631 /nfs/dbraw/zinc/33/26/31/1072332631.db2.gz HCKKPCKVRLKZMR-HNNXBMFYSA-N 0 0 428.559 -0.399 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCCN(c2cc(C)nn2C)C1)S(C)(=O)=O ZINC000563684729 1072332615 /nfs/dbraw/zinc/33/26/15/1072332615.db2.gz HCKKPCKVRLKZMR-OAHLLOKOSA-N 0 0 428.559 -0.399 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000563696175 1072332869 /nfs/dbraw/zinc/33/28/69/1072332869.db2.gz IZBDPFKAXDIEKC-UHFFFAOYSA-N 0 0 425.507 -0.198 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@@H]2CCC(=O)N(C)[C@H]2c2ccnn2C)cn1C ZINC000563700945 1072332695 /nfs/dbraw/zinc/33/26/95/1072332695.db2.gz BSERWKTYODPHMI-FZKQIMNGSA-N 0 0 437.526 -0.391 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)C2=O)cn1 ZINC000563702046 1072332779 /nfs/dbraw/zinc/33/27/79/1072332779.db2.gz ILCCBSJGJOXIFC-INIZCTEOSA-N 0 0 430.440 -0.744 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)C2=O)cn1 ZINC000563702058 1072332709 /nfs/dbraw/zinc/33/27/09/1072332709.db2.gz ILCCBSJGJOXIFC-MRXNPFEDSA-N 0 0 430.440 -0.744 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)N1CCCCCC1 ZINC000563703475 1072332597 /nfs/dbraw/zinc/33/25/97/1072332597.db2.gz STVLIMNRBDLUFE-INIZCTEOSA-N 0 0 428.555 -0.078 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)N1CCCCCC1 ZINC000563703476 1072332791 /nfs/dbraw/zinc/33/27/91/1072332791.db2.gz STVLIMNRBDLUFE-MRXNPFEDSA-N 0 0 428.555 -0.078 20 0 IBADRN CN(C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000563706801 1072332644 /nfs/dbraw/zinc/33/26/44/1072332644.db2.gz HOBZFRNOZJOKAY-FMKPAKJESA-N 0 0 449.526 -0.558 20 0 IBADRN CN(C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000563706885 1072332577 /nfs/dbraw/zinc/33/25/77/1072332577.db2.gz HOBZFRNOZJOKAY-LZWOXQAQSA-N 0 0 449.526 -0.558 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CC[C@@H](NC(=O)NC3CC3)C2)c(S(N)(=O)=O)c1 ZINC000563713376 1072333159 /nfs/dbraw/zinc/33/31/59/1072333159.db2.gz GGWUSTGOLZYJSW-GFCCVEGCSA-N 0 0 431.540 -0.375 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CC[C@H](NC(=O)NC3CC3)C2)c(S(N)(=O)=O)c1 ZINC000563713394 1072333385 /nfs/dbraw/zinc/33/33/85/1072333385.db2.gz GGWUSTGOLZYJSW-LBPRGKRZSA-N 0 0 431.540 -0.375 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000563717632 1072333348 /nfs/dbraw/zinc/33/33/48/1072333348.db2.gz RTOKJJDZOIMZOV-UHFFFAOYSA-N 0 0 428.486 -0.893 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@@H](C)N1C(=O)c2ccc(Br)cc2C1=O ZINC000563720798 1072333140 /nfs/dbraw/zinc/33/31/40/1072333140.db2.gz BJIBLXOHWUGMIF-MRVPVSSYSA-N 0 0 426.223 -0.161 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@H](C)N1C(=O)c2ccc(Br)cc2C1=O ZINC000563720808 1072333301 /nfs/dbraw/zinc/33/33/01/1072333301.db2.gz BJIBLXOHWUGMIF-QMMMGPOBSA-N 0 0 426.223 -0.161 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000563729388 1072333295 /nfs/dbraw/zinc/33/32/95/1072333295.db2.gz FTQMSDOKWMUCQH-LLVKDONJSA-N 0 0 445.464 -0.813 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000563729392 1072333281 /nfs/dbraw/zinc/33/32/81/1072333281.db2.gz FTQMSDOKWMUCQH-NSHDSACASA-N 0 0 445.464 -0.813 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)oc1C ZINC000563731062 1072333228 /nfs/dbraw/zinc/33/32/28/1072333228.db2.gz LXIGBSHEEGCGQL-UHFFFAOYSA-N 0 0 434.541 -0.396 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000563731967 1072333342 /nfs/dbraw/zinc/33/33/42/1072333342.db2.gz OABLDYDCNFYXCX-CHWSQXEVSA-N 0 0 444.510 -0.972 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000563731988 1072333394 /nfs/dbraw/zinc/33/33/94/1072333394.db2.gz OABLDYDCNFYXCX-OLZOCXBDSA-N 0 0 444.510 -0.972 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000563731995 1072333325 /nfs/dbraw/zinc/33/33/25/1072333325.db2.gz OABLDYDCNFYXCX-QWHCGFSZSA-N 0 0 444.510 -0.972 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000563731999 1072333179 /nfs/dbraw/zinc/33/31/79/1072333179.db2.gz OABLDYDCNFYXCX-STQMWFEESA-N 0 0 444.510 -0.972 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000563735322 1072333255 /nfs/dbraw/zinc/33/32/55/1072333255.db2.gz WDSUMPJRDOJMLP-UHFFFAOYSA-N 0 0 441.540 -0.828 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CCN(S(=O)(=O)c4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000563735712 1072333209 /nfs/dbraw/zinc/33/32/09/1072333209.db2.gz ZAFWPYJQQXFYBE-ZDUSSCGKSA-N 0 0 446.489 -0.987 20 0 IBADRN COC(=O)CCCc1nnc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)s1 ZINC000563736832 1073323710 /nfs/dbraw/zinc/32/37/10/1073323710.db2.gz FLLQHLXJLXZJAY-LLVKDONJSA-N 0 0 434.544 0.426 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCn3nc(C)nc3C2)c1 ZINC000563737594 1072333197 /nfs/dbraw/zinc/33/31/97/1072333197.db2.gz DYENWBWGRNSXSL-UHFFFAOYSA-N 0 0 436.494 -0.830 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1)S(C)(=O)=O ZINC000563737671 1072333332 /nfs/dbraw/zinc/33/33/32/1072333332.db2.gz GXMDEWWZDFIQCV-JTQLQIEISA-N 0 0 434.312 -0.156 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1)S(C)(=O)=O ZINC000563737712 1072333376 /nfs/dbraw/zinc/33/33/76/1072333376.db2.gz GXMDEWWZDFIQCV-SNVBAGLBSA-N 0 0 434.312 -0.156 20 0 IBADRN CN(Cc1cc[nH]n1)C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000563739084 1072333812 /nfs/dbraw/zinc/33/38/12/1072333812.db2.gz REBQCIDJHVXCKT-HNNXBMFYSA-N 0 0 428.497 -0.220 20 0 IBADRN CN(Cc1cc[nH]n1)C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000563739086 1072333881 /nfs/dbraw/zinc/33/38/81/1072333881.db2.gz REBQCIDJHVXCKT-OAHLLOKOSA-N 0 0 428.497 -0.220 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@H]2CCc3nc(C)nn3C2)cc1OC ZINC000563739313 1072333312 /nfs/dbraw/zinc/33/33/12/1072333312.db2.gz NXFBXULWOZMTRJ-HNNXBMFYSA-N 0 0 444.492 -0.143 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@@H]2CCc3nc(C)nn3C2)cc1OC ZINC000563739323 1072333368 /nfs/dbraw/zinc/33/33/68/1072333368.db2.gz NXFBXULWOZMTRJ-OAHLLOKOSA-N 0 0 444.492 -0.143 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000563739593 1072333670 /nfs/dbraw/zinc/33/36/70/1072333670.db2.gz MFCAPSRFGOHSQE-UHFFFAOYSA-N 0 0 434.482 -0.405 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000563739671 1072333802 /nfs/dbraw/zinc/33/38/02/1072333802.db2.gz RFAZEIRZGASWEU-KBPBESRZSA-N 0 0 425.511 -0.263 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000563739676 1072333744 /nfs/dbraw/zinc/33/37/44/1072333744.db2.gz RFAZEIRZGASWEU-OKILXGFUSA-N 0 0 425.511 -0.263 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000563739678 1072333864 /nfs/dbraw/zinc/33/38/64/1072333864.db2.gz RFAZEIRZGASWEU-ZIAGYGMSSA-N 0 0 425.511 -0.263 20 0 IBADRN O=C(NCc1c(F)cccc1OC(F)F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000563743038 1072333763 /nfs/dbraw/zinc/33/37/63/1072333763.db2.gz CMMDWJBIRSMEBN-UHFFFAOYSA-N 0 0 442.394 -0.007 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000563743746 1073351266 /nfs/dbraw/zinc/35/12/66/1073351266.db2.gz NVEGJCSAKGLVPE-CVEARBPZSA-N 0 0 434.522 0.489 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000563743747 1073351194 /nfs/dbraw/zinc/35/11/94/1073351194.db2.gz NVEGJCSAKGLVPE-HOTGVXAUSA-N 0 0 434.522 0.489 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000563743749 1073350872 /nfs/dbraw/zinc/35/08/72/1073350872.db2.gz NVEGJCSAKGLVPE-HZPDHXFCSA-N 0 0 434.522 0.489 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000563743751 1073350653 /nfs/dbraw/zinc/35/06/53/1073350653.db2.gz NVEGJCSAKGLVPE-JKSUJKDBSA-N 0 0 434.522 0.489 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCC[C@H](S(=O)(=O)NC)C3)CC2)ncn1 ZINC000563746130 1072333852 /nfs/dbraw/zinc/33/38/52/1072333852.db2.gz BSXJQCIORRWGLT-INIZCTEOSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCC[C@@H](S(=O)(=O)NC)C3)CC2)ncn1 ZINC000563746135 1072333839 /nfs/dbraw/zinc/33/38/39/1072333839.db2.gz BSXJQCIORRWGLT-MRXNPFEDSA-N 0 0 438.554 -0.336 20 0 IBADRN CSc1cc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)ccn1 ZINC000563746502 1073351665 /nfs/dbraw/zinc/35/16/65/1073351665.db2.gz JBSKIHUCDUNBSG-UHFFFAOYSA-N 0 0 432.506 0.499 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCO[C@]3(CCO[C@@H]3C)C2)s1 ZINC000563748445 1072333656 /nfs/dbraw/zinc/33/36/56/1072333656.db2.gz WFWFYYKJTCVVHS-CXAGYDPISA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCO[C@@]3(CCO[C@@H]3C)C2)s1 ZINC000563748446 1072333710 /nfs/dbraw/zinc/33/37/10/1072333710.db2.gz WFWFYYKJTCVVHS-DYVFJYSZSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCO[C@@]3(CCO[C@H]3C)C2)s1 ZINC000563748447 1072333733 /nfs/dbraw/zinc/33/37/33/1072333733.db2.gz WFWFYYKJTCVVHS-GUYCJALGSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCO[C@]3(CCO[C@H]3C)C2)s1 ZINC000563748448 1072333920 /nfs/dbraw/zinc/33/39/20/1072333920.db2.gz WFWFYYKJTCVVHS-SUMWQHHRSA-N 0 0 431.536 -0.143 20 0 IBADRN CCC(O)(CC)CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000563749638 1072333717 /nfs/dbraw/zinc/33/37/17/1072333717.db2.gz PRJBZJMZQGUBMX-HOTGVXAUSA-N 0 0 448.586 -0.458 20 0 IBADRN CCC(O)(CC)CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000563749645 1072333903 /nfs/dbraw/zinc/33/39/03/1072333903.db2.gz PRJBZJMZQGUBMX-HZPDHXFCSA-N 0 0 448.586 -0.458 20 0 IBADRN CCC(O)(CC)CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000563749646 1072333872 /nfs/dbraw/zinc/33/38/72/1072333872.db2.gz PRJBZJMZQGUBMX-IYBDPMFKSA-N 0 0 448.586 -0.458 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000563752296 1072333789 /nfs/dbraw/zinc/33/37/89/1072333789.db2.gz CWHFWIOQAOYUJF-UHFFFAOYSA-N 0 0 426.470 -0.603 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1 ZINC000563753302 1072333909 /nfs/dbraw/zinc/33/39/09/1072333909.db2.gz ICSXTYZDCQHXCR-UHFFFAOYSA-N 0 0 438.510 -0.505 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000563754839 1072334401 /nfs/dbraw/zinc/33/44/01/1072334401.db2.gz KKHZVSCHFQFNLO-UHFFFAOYSA-N 0 0 446.473 -0.068 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4cc(C5CC5)[nH]n4)CC3)C2=O)n1 ZINC000563760778 1072334372 /nfs/dbraw/zinc/33/43/72/1072334372.db2.gz YXSBWRWBXIYLAH-HNNXBMFYSA-N 0 0 440.508 -0.019 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4cc(C5CC5)[nH]n4)CC3)C2=O)n1 ZINC000563760779 1072334171 /nfs/dbraw/zinc/33/41/71/1072334171.db2.gz YXSBWRWBXIYLAH-OAHLLOKOSA-N 0 0 440.508 -0.019 20 0 IBADRN CCOC1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000563765582 1072334416 /nfs/dbraw/zinc/33/44/16/1072334416.db2.gz HJQCUKNSBBRFDD-AWEZNQCLSA-N 0 0 427.479 -0.383 20 0 IBADRN CCOC1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000563765583 1072334386 /nfs/dbraw/zinc/33/43/86/1072334386.db2.gz HJQCUKNSBBRFDD-CQSZACIVSA-N 0 0 427.479 -0.383 20 0 IBADRN C[C@@]1(c2ccccc2)CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CCO1 ZINC000563770069 1072334135 /nfs/dbraw/zinc/33/41/35/1072334135.db2.gz KOZRVFHVEQQJKR-IBGZPJMESA-N 0 0 436.490 -0.016 20 0 IBADRN C[C@]1(c2ccccc2)CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CCO1 ZINC000563770073 1072334106 /nfs/dbraw/zinc/33/41/06/1072334106.db2.gz KOZRVFHVEQQJKR-LJQANCHMSA-N 0 0 436.490 -0.016 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCC(C)(C)CC3)CC2)CC1 ZINC000563771040 1072334153 /nfs/dbraw/zinc/33/41/53/1072334153.db2.gz TVFXZSMYOOKVSJ-UHFFFAOYSA-N 0 0 443.614 -0.045 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000563772548 1072334360 /nfs/dbraw/zinc/33/43/60/1072334360.db2.gz FUSMJSWORUPONW-AWEZNQCLSA-N 0 0 433.508 -0.317 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000563772562 1072334294 /nfs/dbraw/zinc/33/42/94/1072334294.db2.gz FUSMJSWORUPONW-CQSZACIVSA-N 0 0 433.508 -0.317 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCCN(C(=O)c2ccsc2)CC1 ZINC000563775764 1072334123 /nfs/dbraw/zinc/33/41/23/1072334123.db2.gz WDEUNVSWKGXHKI-OAHLLOKOSA-N 0 0 442.563 -0.037 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(-n2ccnn2)cc1 ZINC000563778742 1072334236 /nfs/dbraw/zinc/33/42/36/1072334236.db2.gz XNAYHIAGUCGQST-AWEZNQCLSA-N 0 0 439.476 -0.606 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(-n2ccnn2)cc1 ZINC000563778747 1072334345 /nfs/dbraw/zinc/33/43/45/1072334345.db2.gz XNAYHIAGUCGQST-CQSZACIVSA-N 0 0 439.476 -0.606 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)NCCS(=O)(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000563783548 1072334426 /nfs/dbraw/zinc/33/44/26/1072334426.db2.gz GXKAIDLKRMEJLQ-HNNXBMFYSA-N 0 0 433.538 -0.417 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NCCS(=O)(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000563783549 1072334962 /nfs/dbraw/zinc/33/49/62/1072334962.db2.gz GXKAIDLKRMEJLQ-OAHLLOKOSA-N 0 0 433.538 -0.417 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)cn2n1 ZINC000563786489 1072335009 /nfs/dbraw/zinc/33/50/09/1072335009.db2.gz PGWXDSRSQPYCHZ-UHFFFAOYSA-N 0 0 433.450 -0.362 20 0 IBADRN CN(Cc1nccn1C)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000563798558 1072334801 /nfs/dbraw/zinc/33/48/01/1072334801.db2.gz ZRXRLTSLLKAUMA-UHFFFAOYSA-N 0 0 449.533 -0.143 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000563801675 1072334948 /nfs/dbraw/zinc/33/49/48/1072334948.db2.gz BPEOFXXGMZVXIT-UHFFFAOYSA-N 0 0 435.524 -0.524 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2ncnn2CC)CC1 ZINC000563802115 1072334983 /nfs/dbraw/zinc/33/49/83/1072334983.db2.gz NFGINGNVWVTRTH-UHFFFAOYSA-N 0 0 429.547 -0.575 20 0 IBADRN Cn1cnn(CCC(=O)NC[C@H](NC(=O)CCn2ncn(C)c2=O)C2CCCCC2)c1=O ZINC000563816730 1072334836 /nfs/dbraw/zinc/33/48/36/1072334836.db2.gz SFSYKUDBTYQRML-INIZCTEOSA-N 0 0 448.528 -0.861 20 0 IBADRN Cn1cnn(CCC(=O)NC[C@@H](NC(=O)CCn2ncn(C)c2=O)C2CCCCC2)c1=O ZINC000563816731 1072334998 /nfs/dbraw/zinc/33/49/98/1072334998.db2.gz SFSYKUDBTYQRML-MRXNPFEDSA-N 0 0 448.528 -0.861 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)NCCNC(=O)c3cnccn3)cn2)C[C@H](C)O1 ZINC000563826443 1072334825 /nfs/dbraw/zinc/33/48/25/1072334825.db2.gz WGHKZJNSTWRYFB-GASCZTMLSA-N 0 0 441.492 -0.352 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)NCCNC(=O)c3cnccn3)cn2)C[C@H](C)O1 ZINC000563826448 1072334813 /nfs/dbraw/zinc/33/48/13/1072334813.db2.gz WGHKZJNSTWRYFB-GJZGRUSLSA-N 0 0 441.492 -0.352 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)NCCNC(=O)c3cnccn3)cn2)C[C@@H](C)O1 ZINC000563826449 1072335025 /nfs/dbraw/zinc/33/50/25/1072335025.db2.gz WGHKZJNSTWRYFB-HUUCEWRRSA-N 0 0 441.492 -0.352 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)n1 ZINC000563833133 1072335055 /nfs/dbraw/zinc/33/50/55/1072335055.db2.gz CSVFVDBYZWJHSE-UHFFFAOYSA-N 0 0 449.519 0.029 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCN(c2ccccc2OC)C1=O)S(C)(=O)=O ZINC000563834437 1072335443 /nfs/dbraw/zinc/33/54/43/1072335443.db2.gz GRESFAGEFBTQGU-AWEZNQCLSA-N 0 0 440.522 -0.296 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2OC)C1=O)S(C)(=O)=O ZINC000563834454 1072335621 /nfs/dbraw/zinc/33/56/21/1072335621.db2.gz GRESFAGEFBTQGU-CQSZACIVSA-N 0 0 440.522 -0.296 20 0 IBADRN CCCCNC(=O)c1c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)nc(C)n1C ZINC000563837137 1072335628 /nfs/dbraw/zinc/33/56/28/1072335628.db2.gz WTEHBMWUQCMLJQ-UHFFFAOYSA-N 0 0 430.469 -0.356 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2nccnc2C(=O)NCc2ccc(F)cc2)CC1 ZINC000563841067 1072335472 /nfs/dbraw/zinc/33/54/72/1072335472.db2.gz HZQQPOMXGJJUNK-UHFFFAOYSA-N 0 0 436.469 -0.232 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NCCCN1C(=O)CNC1=O ZINC000563844133 1072335417 /nfs/dbraw/zinc/33/54/17/1072335417.db2.gz YTEHOWHHZUULHM-UHFFFAOYSA-N 0 0 433.284 -0.215 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000563847982 1071215143 /nfs/dbraw/zinc/21/51/43/1071215143.db2.gz UXNGNJAEMICTRU-UHFFFAOYSA-N 0 0 442.523 -0.767 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCNC3=O)C2)cc1 ZINC000563856626 1072335641 /nfs/dbraw/zinc/33/56/41/1072335641.db2.gz YARUSPJNBMSLIM-INIZCTEOSA-N 0 0 445.476 -0.066 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCNC3=O)C2)cc1 ZINC000563856627 1072335381 /nfs/dbraw/zinc/33/53/81/1072335381.db2.gz YARUSPJNBMSLIM-MRXNPFEDSA-N 0 0 445.476 -0.066 20 0 IBADRN Cn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)c1=O ZINC000563867547 1072335287 /nfs/dbraw/zinc/33/52/87/1072335287.db2.gz IMHCGJVEOOZEBR-UHFFFAOYSA-N 0 0 428.417 -0.642 20 0 IBADRN COc1cccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000563873701 1072335457 /nfs/dbraw/zinc/33/54/57/1072335457.db2.gz VVOKVSCKSPHZSL-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)c1 ZINC000563873846 1072335400 /nfs/dbraw/zinc/33/54/00/1072335400.db2.gz VVIOACLGJJZQDX-AWEZNQCLSA-N 0 0 425.507 -0.542 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)c1 ZINC000563873847 1072335635 /nfs/dbraw/zinc/33/56/35/1072335635.db2.gz VVIOACLGJJZQDX-CQSZACIVSA-N 0 0 425.507 -0.542 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)CCCOc1ccc(S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000563874195 1072335614 /nfs/dbraw/zinc/33/56/14/1072335614.db2.gz VEKOGMZNNZJJQI-CYBMUJFWSA-N 0 0 434.536 -0.133 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)CCCOc1ccc(S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000563874200 1072335433 /nfs/dbraw/zinc/33/54/33/1072335433.db2.gz VEKOGMZNNZJJQI-ZDUSSCGKSA-N 0 0 434.536 -0.133 20 0 IBADRN Cn1ccc(NC(=O)CNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)n1 ZINC000563878700 1072336258 /nfs/dbraw/zinc/33/62/58/1072336258.db2.gz RZWMICXJTVFOIU-UHFFFAOYSA-N 0 0 441.535 0.512 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc(CC3CC3)nc2)CC1 ZINC000563893888 1072336084 /nfs/dbraw/zinc/33/60/84/1072336084.db2.gz DNFLWIQYZPOPDS-UHFFFAOYSA-N 0 0 425.511 -0.122 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)C2)no1 ZINC000563901872 1072336246 /nfs/dbraw/zinc/33/62/46/1072336246.db2.gz FYZFHTPHGBVRGD-GDBMZVCRSA-N 0 0 436.513 -0.582 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)C2)no1 ZINC000563901873 1072335986 /nfs/dbraw/zinc/33/59/86/1072335986.db2.gz FYZFHTPHGBVRGD-GOEBONIOSA-N 0 0 436.513 -0.582 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)N[C@@H](C)CN3CCOCC3)C2)no1 ZINC000563901874 1072336056 /nfs/dbraw/zinc/33/60/56/1072336056.db2.gz FYZFHTPHGBVRGD-HOCLYGCPSA-N 0 0 436.513 -0.582 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)N[C@H](C)CN3CCOCC3)C2)no1 ZINC000563901876 1072336168 /nfs/dbraw/zinc/33/61/68/1072336168.db2.gz FYZFHTPHGBVRGD-ZBFHGGJFSA-N 0 0 436.513 -0.582 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCCO2)CC1 ZINC000563902373 1072336157 /nfs/dbraw/zinc/33/61/57/1072336157.db2.gz ZJTUXLARWYFFPO-HNNXBMFYSA-N 0 0 435.529 -0.232 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCCO2)CC1 ZINC000563902378 1072336068 /nfs/dbraw/zinc/33/60/68/1072336068.db2.gz ZJTUXLARWYFFPO-OAHLLOKOSA-N 0 0 435.529 -0.232 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000563903709 1072336235 /nfs/dbraw/zinc/33/62/35/1072336235.db2.gz PEHUBDXXHBEXJS-KRWDZBQOSA-N 0 0 431.537 -0.132 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000563903711 1072336230 /nfs/dbraw/zinc/33/62/30/1072336230.db2.gz PEHUBDXXHBEXJS-QGZVFWFLSA-N 0 0 431.537 -0.132 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)N1CCc2ccccc21 ZINC000563904758 1072336021 /nfs/dbraw/zinc/33/60/21/1072336021.db2.gz NRNKFDXJEZWJFR-GOSISDBHSA-N 0 0 443.548 -0.443 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)N1CCc2ccccc21 ZINC000563904761 1072336110 /nfs/dbraw/zinc/33/61/10/1072336110.db2.gz NRNKFDXJEZWJFR-SFHVURJKSA-N 0 0 443.548 -0.443 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000563909350 1072336041 /nfs/dbraw/zinc/33/60/41/1072336041.db2.gz GBDPLHGUFJGGRQ-CVEARBPZSA-N 0 0 437.522 -0.771 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000563909351 1072336098 /nfs/dbraw/zinc/33/60/98/1072336098.db2.gz GBDPLHGUFJGGRQ-HOTGVXAUSA-N 0 0 437.522 -0.771 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000563909352 1072336176 /nfs/dbraw/zinc/33/61/76/1072336176.db2.gz GBDPLHGUFJGGRQ-HZPDHXFCSA-N 0 0 437.522 -0.771 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000563909353 1072336689 /nfs/dbraw/zinc/33/66/89/1072336689.db2.gz GBDPLHGUFJGGRQ-JKSUJKDBSA-N 0 0 437.522 -0.771 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)C2=O)n1 ZINC000563909655 1072336750 /nfs/dbraw/zinc/33/67/50/1072336750.db2.gz RFLHCSNBANIWMV-KBPBESRZSA-N 0 0 442.480 -0.923 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)C2=O)n1 ZINC000563909658 1072336793 /nfs/dbraw/zinc/33/67/93/1072336793.db2.gz RFLHCSNBANIWMV-OKILXGFUSA-N 0 0 442.480 -0.923 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)C2=O)n1 ZINC000563909659 1072336676 /nfs/dbraw/zinc/33/66/76/1072336676.db2.gz RFLHCSNBANIWMV-ZIAGYGMSSA-N 0 0 442.480 -0.923 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCCC4)C3)CC2=O)cn1 ZINC000563910139 1072623893 /nfs/dbraw/zinc/62/38/93/1072623893.db2.gz NPOQLFYZRUCVIO-INIZCTEOSA-N 0 0 430.509 -0.107 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCCC4)C3)CC2=O)cn1 ZINC000563910143 1072623834 /nfs/dbraw/zinc/62/38/34/1072623834.db2.gz NPOQLFYZRUCVIO-MRXNPFEDSA-N 0 0 430.509 -0.107 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000563911296 1072336721 /nfs/dbraw/zinc/33/67/21/1072336721.db2.gz MTDOGDMOQLSNCP-CYBMUJFWSA-N 0 0 430.433 -0.710 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000563911298 1072336639 /nfs/dbraw/zinc/33/66/39/1072336639.db2.gz MTDOGDMOQLSNCP-ZDUSSCGKSA-N 0 0 430.433 -0.710 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)CC1)NCc1ccccc1 ZINC000563911923 1072623386 /nfs/dbraw/zinc/62/33/86/1072623386.db2.gz XYNSBDJOHKKTRH-AUSIDOKSSA-N 0 0 444.532 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)CC1)NCc1ccccc1 ZINC000563911928 1071227574 /nfs/dbraw/zinc/22/75/74/1071227574.db2.gz XYNSBDJOHKKTRH-CVDCTZTESA-N 0 0 444.532 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)CC1)NCc1ccccc1 ZINC000563911929 1071227560 /nfs/dbraw/zinc/22/75/60/1071227560.db2.gz XYNSBDJOHKKTRH-WMZHIEFXSA-N 0 0 444.532 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)CC1)NCc1ccccc1 ZINC000563911930 1071227723 /nfs/dbraw/zinc/22/77/23/1071227723.db2.gz XYNSBDJOHKKTRH-XXBNENTESA-N 0 0 444.532 -0.099 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2=O)C[C@H]1c1ncc[nH]1 ZINC000563914928 1072336700 /nfs/dbraw/zinc/33/67/00/1072336700.db2.gz JHRBNQUCZLZJMB-CABCVRRESA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2=O)C[C@H]1c1ncc[nH]1 ZINC000563914934 1072336656 /nfs/dbraw/zinc/33/66/56/1072336656.db2.gz JHRBNQUCZLZJMB-GJZGRUSLSA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000563914935 1072336548 /nfs/dbraw/zinc/33/65/48/1072336548.db2.gz JHRBNQUCZLZJMB-HUUCEWRRSA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000563914936 1072336712 /nfs/dbraw/zinc/33/67/12/1072336712.db2.gz JHRBNQUCZLZJMB-LSDHHAIUSA-N 0 0 428.497 -0.340 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000563919703 1071228329 /nfs/dbraw/zinc/22/83/29/1071228329.db2.gz AWWYAIFMACMZRB-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000563919764 1072336806 /nfs/dbraw/zinc/33/68/06/1072336806.db2.gz FFWJDIPZKNZNIY-UHFFFAOYSA-N 0 0 431.474 -0.752 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)C ZINC000563923890 1072336598 /nfs/dbraw/zinc/33/65/98/1072336598.db2.gz OIRYAGPFZLVSPJ-KRWDZBQOSA-N 0 0 426.495 -0.467 20 0 IBADRN Cc1cn2nc(N[C@H]3CCN(C(=O)[C@@H]4CC[C@H](C(=O)N5CCOCC5)O4)C3)ccc2n1 ZINC000563926844 1073347641 /nfs/dbraw/zinc/34/76/41/1073347641.db2.gz CPJXQGXCVKIOGD-BBWFWOEESA-N 0 0 428.493 0.457 20 0 IBADRN Cc1cn2nc(N[C@@H]3CCN(C(=O)[C@@H]4CC[C@H](C(=O)N5CCOCC5)O4)C3)ccc2n1 ZINC000563926845 1072336574 /nfs/dbraw/zinc/33/65/74/1072336574.db2.gz CPJXQGXCVKIOGD-ZACQAIPSSA-N 0 0 428.493 0.457 20 0 IBADRN COCCN(C(=O)CNC(C)(C)C(=O)N(C)C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000563941705 1072336759 /nfs/dbraw/zinc/33/67/59/1072336759.db2.gz ZDUBERXSMFAWTK-UHFFFAOYSA-N 0 0 426.518 -0.377 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cn1C ZINC000563948595 1072336664 /nfs/dbraw/zinc/33/66/64/1072336664.db2.gz WMIKIDWVTUWWRN-KBPBESRZSA-N 0 0 435.572 -0.379 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cn1C ZINC000563948596 1072336562 /nfs/dbraw/zinc/33/65/62/1072336562.db2.gz WMIKIDWVTUWWRN-KGLIPLIRSA-N 0 0 435.572 -0.379 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cn1C ZINC000563948597 1072336588 /nfs/dbraw/zinc/33/65/88/1072336588.db2.gz WMIKIDWVTUWWRN-UONOGXRCSA-N 0 0 435.572 -0.379 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cn1C ZINC000563948598 1072337133 /nfs/dbraw/zinc/33/71/33/1072337133.db2.gz WMIKIDWVTUWWRN-ZIAGYGMSSA-N 0 0 435.572 -0.379 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Oc1ccccc1F ZINC000563963878 1072337166 /nfs/dbraw/zinc/33/71/66/1072337166.db2.gz RLVRWJPCJRHQPK-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Oc1ccccc1F ZINC000563963879 1072337147 /nfs/dbraw/zinc/33/71/47/1072337147.db2.gz RLVRWJPCJRHQPK-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCOC2)C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000563966515 1072337172 /nfs/dbraw/zinc/33/71/72/1072337172.db2.gz KRTFGTRLZLMNRD-GJYPPUQNSA-N 0 0 445.538 -0.906 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCOC2)C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000563966516 1072337231 /nfs/dbraw/zinc/33/72/31/1072337231.db2.gz KRTFGTRLZLMNRD-GPMSIDNRSA-N 0 0 445.538 -0.906 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCOC2)C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000563966517 1072337240 /nfs/dbraw/zinc/33/72/40/1072337240.db2.gz KRTFGTRLZLMNRD-JTDSTZFVSA-N 0 0 445.538 -0.906 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCOC2)C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000563966518 1072337126 /nfs/dbraw/zinc/33/71/26/1072337126.db2.gz KRTFGTRLZLMNRD-MDZRGWNJSA-N 0 0 445.538 -0.906 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000563967472 1072337138 /nfs/dbraw/zinc/33/71/38/1072337138.db2.gz VLBLSNARUQVTGJ-UHFFFAOYSA-N 0 0 447.583 -0.129 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC3CCCCC3)CC1)CC2 ZINC000563969062 1072337217 /nfs/dbraw/zinc/33/72/17/1072337217.db2.gz ZVHGWNPHJXLSEE-KRWDZBQOSA-N 0 0 445.568 -0.142 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC3CCCCC3)CC1)CC2 ZINC000563969063 1072628026 /nfs/dbraw/zinc/62/80/26/1072628026.db2.gz ZVHGWNPHJXLSEE-QGZVFWFLSA-N 0 0 445.568 -0.142 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CC[C@H]4CCC[C@H]4C3)CC2)CC1 ZINC000563973650 1072337184 /nfs/dbraw/zinc/33/71/84/1072337184.db2.gz NGRLUHRTSCDCAJ-FGTMMUONSA-N 0 0 441.598 -0.292 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CC[C@H]4CCC[C@@H]4C3)CC2)CC1 ZINC000563973651 1072337089 /nfs/dbraw/zinc/33/70/89/1072337089.db2.gz NGRLUHRTSCDCAJ-KZNAEPCWSA-N 0 0 441.598 -0.292 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CC[C@@H]4CCC[C@H]4C3)CC2)CC1 ZINC000563973652 1072337111 /nfs/dbraw/zinc/33/71/11/1072337111.db2.gz NGRLUHRTSCDCAJ-OKZBNKHCSA-N 0 0 441.598 -0.292 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CC[C@@H]4CCC[C@@H]4C3)CC2)CC1 ZINC000563973653 1072337120 /nfs/dbraw/zinc/33/71/20/1072337120.db2.gz NGRLUHRTSCDCAJ-RCCFBDPRSA-N 0 0 441.598 -0.292 20 0 IBADRN O=C(NCCc1c[nH]c2ncccc12)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000563974281 1071258050 /nfs/dbraw/zinc/25/80/50/1071258050.db2.gz HQJUDQVPJZKAHR-UHFFFAOYSA-N 0 0 446.489 -0.116 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C[C@H]1c1ncc[nH]1 ZINC000563975673 1072337208 /nfs/dbraw/zinc/33/72/08/1072337208.db2.gz ZINWLTHCPDWEEQ-CABCVRRESA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C[C@H]1c1ncc[nH]1 ZINC000563975677 1072337067 /nfs/dbraw/zinc/33/70/67/1072337067.db2.gz ZINWLTHCPDWEEQ-GJZGRUSLSA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000563975679 1072337081 /nfs/dbraw/zinc/33/70/81/1072337081.db2.gz ZINWLTHCPDWEEQ-HUUCEWRRSA-N 0 0 428.497 -0.340 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000563975680 1072337772 /nfs/dbraw/zinc/33/77/72/1072337772.db2.gz ZINWLTHCPDWEEQ-LSDHHAIUSA-N 0 0 428.497 -0.340 20 0 IBADRN O=C(NCc1ccc2c(c1)OCO2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000563978808 1072337515 /nfs/dbraw/zinc/33/75/15/1072337515.db2.gz PLWPDEOXVFZLOG-HNNXBMFYSA-N 0 0 439.490 -0.316 20 0 IBADRN O=C(NCc1ccc2c(c1)OCO2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000563978809 1072337462 /nfs/dbraw/zinc/33/74/62/1072337462.db2.gz PLWPDEOXVFZLOG-OAHLLOKOSA-N 0 0 439.490 -0.316 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)nn1 ZINC000563982434 1072628598 /nfs/dbraw/zinc/62/85/98/1072628598.db2.gz REWYYRKOVQYYQL-UHFFFAOYSA-N 0 0 440.416 -0.174 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c1 ZINC000563987157 1072337557 /nfs/dbraw/zinc/33/75/57/1072337557.db2.gz WDZTUBQLLFGOMQ-CVEARBPZSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c1 ZINC000563987158 1072337699 /nfs/dbraw/zinc/33/76/99/1072337699.db2.gz WDZTUBQLLFGOMQ-HOTGVXAUSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)c1 ZINC000563987159 1072337838 /nfs/dbraw/zinc/33/78/38/1072337838.db2.gz WDZTUBQLLFGOMQ-HZPDHXFCSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)c1 ZINC000563987160 1072337785 /nfs/dbraw/zinc/33/77/85/1072337785.db2.gz WDZTUBQLLFGOMQ-JKSUJKDBSA-N 0 0 438.550 -0.047 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000563988806 1072337671 /nfs/dbraw/zinc/33/76/71/1072337671.db2.gz JFZQGQZVCHAFID-CYBMUJFWSA-N 0 0 428.486 -0.542 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000563988808 1072337807 /nfs/dbraw/zinc/33/78/07/1072337807.db2.gz JFZQGQZVCHAFID-ZDUSSCGKSA-N 0 0 428.486 -0.542 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)s1 ZINC000563990002 1071262732 /nfs/dbraw/zinc/26/27/32/1071262732.db2.gz OMHKSHSBHJPTLA-VIFPVBQESA-N 0 0 432.442 -0.098 20 0 IBADRN COc1ccccc1O[C@@H](C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000563992282 1072337688 /nfs/dbraw/zinc/33/76/88/1072337688.db2.gz FMYLDWWXYLUIDY-AWEZNQCLSA-N 0 0 432.477 -0.472 20 0 IBADRN COc1ccccc1O[C@H](C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000563992283 1072337540 /nfs/dbraw/zinc/33/75/40/1072337540.db2.gz FMYLDWWXYLUIDY-CQSZACIVSA-N 0 0 432.477 -0.472 20 0 IBADRN COCc1cc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)ncn1 ZINC000563994004 1072338188 /nfs/dbraw/zinc/33/81/88/1072338188.db2.gz VUVKWNVQQOQXPL-UHFFFAOYSA-N 0 0 427.508 -0.219 20 0 IBADRN CN(C[C@H](O)C(F)(F)F)C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000563994033 1072338232 /nfs/dbraw/zinc/33/82/32/1072338232.db2.gz GTAAARPDYQHJCM-NEPJUHHUSA-N 0 0 429.403 -0.162 20 0 IBADRN CN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000563994058 1072338252 /nfs/dbraw/zinc/33/82/52/1072338252.db2.gz GTAAARPDYQHJCM-NWDGAFQWSA-N 0 0 429.403 -0.162 20 0 IBADRN CN(C[C@H](O)C(F)(F)F)C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000563994077 1072338308 /nfs/dbraw/zinc/33/83/08/1072338308.db2.gz GTAAARPDYQHJCM-RYUDHWBXSA-N 0 0 429.403 -0.162 20 0 IBADRN CN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000563994080 1072338321 /nfs/dbraw/zinc/33/83/21/1072338321.db2.gz GTAAARPDYQHJCM-VXGBXAGGSA-N 0 0 429.403 -0.162 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cccc(S(=O)(=O)N(C)C)c1 ZINC000563995929 1072338218 /nfs/dbraw/zinc/33/82/18/1072338218.db2.gz QHQVCDGVECGVID-UHFFFAOYSA-N 0 0 448.567 -0.613 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1)C(=O)N1CCOCC1 ZINC000563996557 1072338201 /nfs/dbraw/zinc/33/82/01/1072338201.db2.gz VLDJYPZZADYVFK-CYBMUJFWSA-N 0 0 446.551 -0.795 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1)C(=O)N1CCOCC1 ZINC000563996562 1072338241 /nfs/dbraw/zinc/33/82/41/1072338241.db2.gz VLDJYPZZADYVFK-ZDUSSCGKSA-N 0 0 446.551 -0.795 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000563999336 1072338142 /nfs/dbraw/zinc/33/81/42/1072338142.db2.gz FOWREDZXDLXQAK-KRWDZBQOSA-N 0 0 443.574 -0.002 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000563999339 1072338283 /nfs/dbraw/zinc/33/82/83/1072338283.db2.gz FOWREDZXDLXQAK-QGZVFWFLSA-N 0 0 443.574 -0.002 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000564001178 1072338228 /nfs/dbraw/zinc/33/82/28/1072338228.db2.gz ANFCOVOUBNANCB-CRAIPNDOSA-N 0 0 429.521 -0.209 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000564001179 1072338180 /nfs/dbraw/zinc/33/81/80/1072338180.db2.gz ANFCOVOUBNANCB-MAUKXSAKSA-N 0 0 429.521 -0.209 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000564001180 1072338260 /nfs/dbraw/zinc/33/82/60/1072338260.db2.gz ANFCOVOUBNANCB-QAPCUYQASA-N 0 0 429.521 -0.209 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000564001181 1072338174 /nfs/dbraw/zinc/33/81/74/1072338174.db2.gz ANFCOVOUBNANCB-YJBOKZPZSA-N 0 0 429.521 -0.209 20 0 IBADRN O=C(NC[C@H]1COCCO1)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000564006302 1072338866 /nfs/dbraw/zinc/33/88/66/1072338866.db2.gz TVYIJCMRQYEIHJ-KRWDZBQOSA-N 0 0 430.461 -0.030 20 0 IBADRN O=C(NC[C@@H]1COCCO1)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000564006304 1072338827 /nfs/dbraw/zinc/33/88/27/1072338827.db2.gz TVYIJCMRQYEIHJ-QGZVFWFLSA-N 0 0 430.461 -0.030 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccccc2SCC(=O)NCCOC)CC1 ZINC000564006482 1072338800 /nfs/dbraw/zinc/33/88/00/1072338800.db2.gz WWHXYSSBJAQYLB-UHFFFAOYSA-N 0 0 430.552 -0.237 20 0 IBADRN CCN(C)C(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)CC)cc1 ZINC000564007951 1072338647 /nfs/dbraw/zinc/33/86/47/1072338647.db2.gz SRIDBPJPQCVXOV-UHFFFAOYSA-N 0 0 434.540 -0.800 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCC1CCN(CC(F)(F)F)CC1 ZINC000564008514 1072338701 /nfs/dbraw/zinc/33/87/01/1072338701.db2.gz AXRPIKKRCBHSNQ-CYBMUJFWSA-N 0 0 428.477 -0.083 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCC1CCN(CC(F)(F)F)CC1 ZINC000564008515 1072338771 /nfs/dbraw/zinc/33/87/71/1072338771.db2.gz AXRPIKKRCBHSNQ-ZDUSSCGKSA-N 0 0 428.477 -0.083 20 0 IBADRN CC(=O)N1CC(NC(=O)[C@H]2CC[C@@H](C(=O)NC3CN(C(C)=O)C3)N2Cc2ccccc2)C1 ZINC000564009469 1072338665 /nfs/dbraw/zinc/33/86/65/1072338665.db2.gz IBBMZLMMGPTHDD-OYRHEFFESA-N 0 0 441.532 -0.287 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000564010851 1072338787 /nfs/dbraw/zinc/33/87/87/1072338787.db2.gz DIHVALADLZLQTG-UHFFFAOYSA-N 0 0 434.478 -1.000 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(c2ccc(C(=O)NCCO)nn2)CC1 ZINC000564012599 1072338744 /nfs/dbraw/zinc/33/87/44/1072338744.db2.gz KDBSYEJIYBJQSE-UHFFFAOYSA-N 0 0 425.433 -0.009 20 0 IBADRN CSCc1cccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000564014989 1072338815 /nfs/dbraw/zinc/33/88/15/1072338815.db2.gz ZKTOFQJKDPOYPD-UHFFFAOYSA-N 0 0 446.533 -0.345 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cc(C)nn4C)C3)C2=O)n(C)n1 ZINC000564016485 1072338721 /nfs/dbraw/zinc/33/87/21/1072338721.db2.gz CHYIJTXBMGGZPT-CVEARBPZSA-N 0 0 442.524 -0.223 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4cc(C)nn4C)C3)C2=O)n(C)n1 ZINC000564016490 1072338732 /nfs/dbraw/zinc/33/87/32/1072338732.db2.gz CHYIJTXBMGGZPT-HOTGVXAUSA-N 0 0 442.524 -0.223 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cc(C)nn4C)C3)C2=O)n(C)n1 ZINC000564016492 1072338589 /nfs/dbraw/zinc/33/85/89/1072338589.db2.gz CHYIJTXBMGGZPT-HZPDHXFCSA-N 0 0 442.524 -0.223 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4cc(C)nn4C)C3)C2=O)n(C)n1 ZINC000564016494 1072339287 /nfs/dbraw/zinc/33/92/87/1072339287.db2.gz CHYIJTXBMGGZPT-JKSUJKDBSA-N 0 0 442.524 -0.223 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCC[C@@H](c2nc(N(C)C)no2)C1 ZINC000564017264 1072339186 /nfs/dbraw/zinc/33/91/86/1072339186.db2.gz KJVMRUIROCSDLK-QLFBSQMISA-N 0 0 425.486 -0.222 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCC[C@@H](c2nc(N(C)C)no2)C1 ZINC000564017265 1072339220 /nfs/dbraw/zinc/33/92/20/1072339220.db2.gz KJVMRUIROCSDLK-RBSFLKMASA-N 0 0 425.486 -0.222 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCC[C@H](c2nc(N(C)C)no2)C1 ZINC000564017266 1072339322 /nfs/dbraw/zinc/33/93/22/1072339322.db2.gz KJVMRUIROCSDLK-RRFJBIMHSA-N 0 0 425.486 -0.222 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCC[C@H](c2nc(N(C)C)no2)C1 ZINC000564017267 1072339299 /nfs/dbraw/zinc/33/92/99/1072339299.db2.gz KJVMRUIROCSDLK-SOUVJXGZSA-N 0 0 425.486 -0.222 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H](CNC(=O)C(C)C)C3)C2=O)n(C)n1 ZINC000564017402 1072339136 /nfs/dbraw/zinc/33/91/36/1072339136.db2.gz MXTVVUVXYUTFBQ-CVEARBPZSA-N 0 0 432.525 -0.039 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H](CNC(=O)C(C)C)C3)C2=O)n(C)n1 ZINC000564017404 1072339194 /nfs/dbraw/zinc/33/91/94/1072339194.db2.gz MXTVVUVXYUTFBQ-HOTGVXAUSA-N 0 0 432.525 -0.039 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC[C@H](CNC(=O)C(C)C)C3)C2=O)n(C)n1 ZINC000564017405 1072339202 /nfs/dbraw/zinc/33/92/02/1072339202.db2.gz MXTVVUVXYUTFBQ-HZPDHXFCSA-N 0 0 432.525 -0.039 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC[C@@H](CNC(=O)C(C)C)C3)C2=O)n(C)n1 ZINC000564017406 1072339212 /nfs/dbraw/zinc/33/92/12/1072339212.db2.gz MXTVVUVXYUTFBQ-JKSUJKDBSA-N 0 0 432.525 -0.039 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000564017567 1072339167 /nfs/dbraw/zinc/33/91/67/1072339167.db2.gz POZRTEICRIQKBL-KRWDZBQOSA-N 0 0 434.541 -0.393 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000564017568 1072339231 /nfs/dbraw/zinc/33/92/31/1072339231.db2.gz POZRTEICRIQKBL-QGZVFWFLSA-N 0 0 434.541 -0.393 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)C1 ZINC000564017799 1072339630 /nfs/dbraw/zinc/33/96/30/1072339630.db2.gz TUMIPZFWGBGMGH-HZMVEIRTSA-N 0 0 429.514 -0.687 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)C1 ZINC000564017800 1072339695 /nfs/dbraw/zinc/33/96/95/1072339695.db2.gz TUMIPZFWGBGMGH-VVLHAWIVSA-N 0 0 429.514 -0.687 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)C1 ZINC000564017801 1072339699 /nfs/dbraw/zinc/33/96/99/1072339699.db2.gz TUMIPZFWGBGMGH-YLFCFFPRSA-N 0 0 429.514 -0.687 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)C1 ZINC000564017802 1072339668 /nfs/dbraw/zinc/33/96/68/1072339668.db2.gz TUMIPZFWGBGMGH-YVSFHVDLSA-N 0 0 429.514 -0.687 20 0 IBADRN O=S(=O)(c1ccc(C(F)(F)F)nc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000564018027 1072339757 /nfs/dbraw/zinc/33/97/57/1072339757.db2.gz WQYOFJXODTZMAB-UHFFFAOYSA-N 0 0 444.457 -0.016 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000564018362 1072339240 /nfs/dbraw/zinc/33/92/40/1072339240.db2.gz ZENCRNSFDANTJH-MSOLQXFVSA-N 0 0 448.568 -0.004 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000564018363 1072339109 /nfs/dbraw/zinc/33/91/09/1072339109.db2.gz ZENCRNSFDANTJH-QZTJIDSGSA-N 0 0 448.568 -0.004 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000564018364 1072339175 /nfs/dbraw/zinc/33/91/75/1072339175.db2.gz ZENCRNSFDANTJH-ROUUACIJSA-N 0 0 448.568 -0.004 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000564018365 1072339782 /nfs/dbraw/zinc/33/97/82/1072339782.db2.gz ZENCRNSFDANTJH-ZWKOTPCHSA-N 0 0 448.568 -0.004 20 0 IBADRN CC[C@H](NC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000564021170 1072339748 /nfs/dbraw/zinc/33/97/48/1072339748.db2.gz FQYNSAACMOGFEN-BBRMVZONSA-N 0 0 440.522 -0.393 20 0 IBADRN CC[C@H](NC(=O)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000564021171 1072339685 /nfs/dbraw/zinc/33/96/85/1072339685.db2.gz FQYNSAACMOGFEN-CJNGLKHVSA-N 0 0 440.522 -0.393 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000564021172 1072339765 /nfs/dbraw/zinc/33/97/65/1072339765.db2.gz FQYNSAACMOGFEN-CZUORRHYSA-N 0 0 440.522 -0.393 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000564021173 1072339657 /nfs/dbraw/zinc/33/96/57/1072339657.db2.gz FQYNSAACMOGFEN-XJKSGUPXSA-N 0 0 440.522 -0.393 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000564021337 1072339808 /nfs/dbraw/zinc/33/98/08/1072339808.db2.gz CKYYEBXDDMIUGX-UHFFFAOYSA-N 0 0 426.499 -0.066 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)cn1C ZINC000564030089 1072339643 /nfs/dbraw/zinc/33/96/43/1072339643.db2.gz JJMZGHUTLOHLMZ-UHFFFAOYSA-N 0 0 429.524 -0.426 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NCc3ccccc3S(N)(=O)=O)nc2n(C)c1=O ZINC000564034102 1073354121 /nfs/dbraw/zinc/35/41/21/1073354121.db2.gz OKAYLAGAEISTNH-UHFFFAOYSA-N 0 0 446.489 -0.046 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCc1ccccc1S(N)(=O)=O ZINC000564034529 1072339716 /nfs/dbraw/zinc/33/97/16/1072339716.db2.gz XGKZLIUYXDZEPD-LLVKDONJSA-N 0 0 429.524 -0.332 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCc1ccccc1S(N)(=O)=O ZINC000564034530 1072339791 /nfs/dbraw/zinc/33/97/91/1072339791.db2.gz XGKZLIUYXDZEPD-NSHDSACASA-N 0 0 429.524 -0.332 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c(N2CCOCC2)c1 ZINC000564034547 1072340148 /nfs/dbraw/zinc/34/01/48/1072340148.db2.gz XTQLHPPQPUABJX-UHFFFAOYSA-N 0 0 431.537 -0.144 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@H]2CCCOC2)c(=O)[nH]c1=O ZINC000564037841 1072340109 /nfs/dbraw/zinc/34/01/09/1072340109.db2.gz NFPSPMXLURPPDO-ZDUSSCGKSA-N 0 0 425.486 -0.004 20 0 IBADRN COc1ccc(NC(=O)NC(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)cc1 ZINC000564039795 1072340260 /nfs/dbraw/zinc/34/02/60/1072340260.db2.gz JAICRRYBEURBES-UHFFFAOYSA-N 0 0 434.434 -0.110 20 0 IBADRN CSCC[C@H](NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C)C(=O)N(C)C ZINC000564041424 1072340296 /nfs/dbraw/zinc/34/02/96/1072340296.db2.gz FPBOBOSRUGVITI-LBPRGKRZSA-N 0 0 436.538 0.317 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCCC1 ZINC000564042425 1072340035 /nfs/dbraw/zinc/34/00/35/1072340035.db2.gz ULDHSHUIGADGOL-UHFFFAOYSA-N 0 0 436.513 -0.812 20 0 IBADRN C[C@@H]1C[C@@H](CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCO1 ZINC000564047971 1072340272 /nfs/dbraw/zinc/34/02/72/1072340272.db2.gz YJECCEMOTUZAKA-CABCVRRESA-N 0 0 428.511 -0.030 20 0 IBADRN C[C@H]1C[C@@H](CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCO1 ZINC000564047972 1072340243 /nfs/dbraw/zinc/34/02/43/1072340243.db2.gz YJECCEMOTUZAKA-GJZGRUSLSA-N 0 0 428.511 -0.030 20 0 IBADRN C[C@@H]1C[C@H](CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCO1 ZINC000564047973 1072340285 /nfs/dbraw/zinc/34/02/85/1072340285.db2.gz YJECCEMOTUZAKA-HUUCEWRRSA-N 0 0 428.511 -0.030 20 0 IBADRN C[C@H]1C[C@H](CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCO1 ZINC000564047974 1072340363 /nfs/dbraw/zinc/34/03/63/1072340363.db2.gz YJECCEMOTUZAKA-LSDHHAIUSA-N 0 0 428.511 -0.030 20 0 IBADRN CC(C)(C)C1CCC2(CC1)NC(=O)N(CC(=O)NCCOCCS(N)(=O)=O)C2=O ZINC000564055407 1072340326 /nfs/dbraw/zinc/34/03/26/1072340326.db2.gz BFDISINJHZANFE-UHFFFAOYSA-N 0 0 432.543 -0.065 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CS(=O)(=O)Cc1ccc(Br)cc1 ZINC000564056575 1072340312 /nfs/dbraw/zinc/34/03/12/1072340312.db2.gz JUNISLZLZDTISZ-UHFFFAOYSA-N 0 0 442.357 -0.124 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCOCC3(CCOCC3)C2)C1=O ZINC000564060404 1072340074 /nfs/dbraw/zinc/34/00/74/1072340074.db2.gz ARVXNLDPIMQWGF-INIZCTEOSA-N 0 0 433.509 -0.317 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCOCC3(CCOCC3)C2)C1=O ZINC000564060405 1072340827 /nfs/dbraw/zinc/34/08/27/1072340827.db2.gz ARVXNLDPIMQWGF-MRXNPFEDSA-N 0 0 433.509 -0.317 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000564060555 1072340048 /nfs/dbraw/zinc/34/00/48/1072340048.db2.gz BSPVFMPFSLUTLT-GOSISDBHSA-N 0 0 444.536 -0.689 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000564060564 1072340086 /nfs/dbraw/zinc/34/00/86/1072340086.db2.gz BSPVFMPFSLUTLT-SFHVURJKSA-N 0 0 444.536 -0.689 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000564060864 1072340706 /nfs/dbraw/zinc/34/07/06/1072340706.db2.gz IIEZFCKNJUETNM-BHIYHBOVSA-N 0 0 448.520 -0.439 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000564060865 1072340716 /nfs/dbraw/zinc/34/07/16/1072340716.db2.gz IIEZFCKNJUETNM-QRQLOZEOSA-N 0 0 448.520 -0.439 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000564060866 1072340749 /nfs/dbraw/zinc/34/07/49/1072340749.db2.gz IIEZFCKNJUETNM-QXAKKESOSA-N 0 0 448.520 -0.439 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000564060867 1072340667 /nfs/dbraw/zinc/34/06/67/1072340667.db2.gz IIEZFCKNJUETNM-UHOSZYNNSA-N 0 0 448.520 -0.439 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000564060888 1072340786 /nfs/dbraw/zinc/34/07/86/1072340786.db2.gz JEQBSCHRWXHWQP-GOSISDBHSA-N 0 0 444.536 -0.642 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000564060889 1072340799 /nfs/dbraw/zinc/34/07/99/1072340799.db2.gz JEQBSCHRWXHWQP-SFHVURJKSA-N 0 0 444.536 -0.642 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(F)(F)F)CC2)C1=O ZINC000564061115 1072340835 /nfs/dbraw/zinc/34/08/35/1072340835.db2.gz OCZZUHKSOVCEAV-CYBMUJFWSA-N 0 0 430.431 -0.266 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(CC(F)(F)F)CC2)C1=O ZINC000564061116 1072340685 /nfs/dbraw/zinc/34/06/85/1072340685.db2.gz OCZZUHKSOVCEAV-ZDUSSCGKSA-N 0 0 430.431 -0.266 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1)NC1CC1 ZINC000564061409 1072340737 /nfs/dbraw/zinc/34/07/37/1072340737.db2.gz UDPOCKRSGWUGEJ-KRWDZBQOSA-N 0 0 445.495 -0.140 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1)NC1CC1 ZINC000564061410 1072340767 /nfs/dbraw/zinc/34/07/67/1072340767.db2.gz UDPOCKRSGWUGEJ-QGZVFWFLSA-N 0 0 445.495 -0.140 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000564061495 1072340726 /nfs/dbraw/zinc/34/07/26/1072340726.db2.gz VUOCVOKFUHUZEJ-MSOLQXFVSA-N 0 0 443.504 -0.461 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000564061496 1072340677 /nfs/dbraw/zinc/34/06/77/1072340677.db2.gz VUOCVOKFUHUZEJ-QZTJIDSGSA-N 0 0 443.504 -0.461 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000564061497 1072340808 /nfs/dbraw/zinc/34/08/08/1072340808.db2.gz VUOCVOKFUHUZEJ-ROUUACIJSA-N 0 0 443.504 -0.461 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000564061498 1072340758 /nfs/dbraw/zinc/34/07/58/1072340758.db2.gz VUOCVOKFUHUZEJ-ZWKOTPCHSA-N 0 0 443.504 -0.461 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000564061693 1072340649 /nfs/dbraw/zinc/34/06/49/1072340649.db2.gz ZETZEYHNXDOIMT-HNNXBMFYSA-N 0 0 431.497 -0.352 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000564061698 1072340779 /nfs/dbraw/zinc/34/07/79/1072340779.db2.gz ZETZEYHNXDOIMT-OAHLLOKOSA-N 0 0 431.497 -0.352 20 0 IBADRN CN(CCCNC(=O)C1CCC1)C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000564061869 1072340844 /nfs/dbraw/zinc/34/08/44/1072340844.db2.gz GGJCJADNWORITK-INIZCTEOSA-N 0 0 432.525 -0.208 20 0 IBADRN CN(CCCNC(=O)C1CCC1)C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000564061870 1072340697 /nfs/dbraw/zinc/34/06/97/1072340697.db2.gz GGJCJADNWORITK-MRXNPFEDSA-N 0 0 432.525 -0.208 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)C1=O ZINC000564061925 1072340818 /nfs/dbraw/zinc/34/08/18/1072340818.db2.gz JVSINYWGKHTVMD-LLVKDONJSA-N 0 0 430.387 -0.782 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)C1=O ZINC000564061926 1072341126 /nfs/dbraw/zinc/34/11/26/1072341126.db2.gz JVSINYWGKHTVMD-NSHDSACASA-N 0 0 430.387 -0.782 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000564073252 1072341300 /nfs/dbraw/zinc/34/13/00/1072341300.db2.gz NRJYLWMULDKVSJ-HNNXBMFYSA-N 0 0 432.554 -0.378 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000564073254 1072341256 /nfs/dbraw/zinc/34/12/56/1072341256.db2.gz NRJYLWMULDKVSJ-OAHLLOKOSA-N 0 0 432.554 -0.378 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000564073691 1072341323 /nfs/dbraw/zinc/34/13/23/1072341323.db2.gz PABTZHZTBUGAKU-GHMZBOCLSA-N 0 0 428.433 -0.804 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000564073699 1072341132 /nfs/dbraw/zinc/34/11/32/1072341132.db2.gz PABTZHZTBUGAKU-MNOVXSKESA-N 0 0 428.433 -0.804 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000564073700 1072341220 /nfs/dbraw/zinc/34/12/20/1072341220.db2.gz PABTZHZTBUGAKU-QWRGUYRKSA-N 0 0 428.433 -0.804 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000564073701 1072341201 /nfs/dbraw/zinc/34/12/01/1072341201.db2.gz PABTZHZTBUGAKU-WDEREUQCSA-N 0 0 428.433 -0.804 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000564073881 1072341317 /nfs/dbraw/zinc/34/13/17/1072341317.db2.gz UOMYEGCMAWGOEM-RBSFLKMASA-N 0 0 426.481 -0.806 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000564073882 1072341210 /nfs/dbraw/zinc/34/12/10/1072341210.db2.gz UOMYEGCMAWGOEM-RRFJBIMHSA-N 0 0 426.481 -0.806 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000564074948 1072341291 /nfs/dbraw/zinc/34/12/91/1072341291.db2.gz YCGLRIRYNNLDEN-HZSPNIEDSA-N 0 0 432.543 -0.054 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000564074949 1072341183 /nfs/dbraw/zinc/34/11/83/1072341183.db2.gz YCGLRIRYNNLDEN-IHRRRGAJSA-N 0 0 432.543 -0.054 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000564074950 1072341698 /nfs/dbraw/zinc/34/16/98/1072341698.db2.gz YCGLRIRYNNLDEN-MELADBBJSA-N 0 0 432.543 -0.054 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000564074951 1072341582 /nfs/dbraw/zinc/34/15/82/1072341582.db2.gz YCGLRIRYNNLDEN-RDBSUJKOSA-N 0 0 432.543 -0.054 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H](C)CO[C@H]3CCOC3)C2)no1 ZINC000564082067 1072341706 /nfs/dbraw/zinc/34/17/06/1072341706.db2.gz HYFNKEPWHLOMOI-BMFZPTHFSA-N 0 0 437.497 -0.108 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H](C)CO[C@@H]3CCOC3)C2)no1 ZINC000564082068 1072341716 /nfs/dbraw/zinc/34/17/16/1072341716.db2.gz HYFNKEPWHLOMOI-FVQBIDKESA-N 0 0 437.497 -0.108 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H](C)CO[C@H]3CCOC3)C2)no1 ZINC000564082069 1072341594 /nfs/dbraw/zinc/34/15/94/1072341594.db2.gz HYFNKEPWHLOMOI-IMJJTQAJSA-N 0 0 437.497 -0.108 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H](C)CO[C@@H]3CCOC3)C2)no1 ZINC000564082070 1072341780 /nfs/dbraw/zinc/34/17/80/1072341780.db2.gz HYFNKEPWHLOMOI-NUEKZKHPSA-N 0 0 437.497 -0.108 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@H]1CCn2ncc(C(N)=O)c2C1 ZINC000564082586 1072341725 /nfs/dbraw/zinc/34/17/25/1072341725.db2.gz WJSRJYNMHZFZCV-KBPBESRZSA-N 0 0 441.558 -0.496 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@@H]1CCn2ncc(C(N)=O)c2C1 ZINC000564082587 1072341753 /nfs/dbraw/zinc/34/17/53/1072341753.db2.gz WJSRJYNMHZFZCV-KGLIPLIRSA-N 0 0 441.558 -0.496 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@H]1CCn2ncc(C(N)=O)c2C1 ZINC000564082588 1072341634 /nfs/dbraw/zinc/34/16/34/1072341634.db2.gz WJSRJYNMHZFZCV-UONOGXRCSA-N 0 0 441.558 -0.496 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@@H]1CCn2ncc(C(N)=O)c2C1 ZINC000564082589 1072341615 /nfs/dbraw/zinc/34/16/15/1072341615.db2.gz WJSRJYNMHZFZCV-ZIAGYGMSSA-N 0 0 441.558 -0.496 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2ccc(F)c(F)c2F)CC1)N1CCOCC1 ZINC000564083772 1072341663 /nfs/dbraw/zinc/34/16/63/1072341663.db2.gz LNOUNPDJVTTYQW-UHFFFAOYSA-N 0 0 442.438 -0.234 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCCCCn2cc(Br)cn2)CC1 ZINC000564084625 1072341739 /nfs/dbraw/zinc/34/17/39/1072341739.db2.gz RGTGMISCPGGGIO-UHFFFAOYSA-N 0 0 443.346 -0.050 20 0 IBADRN Cn1ccc(NC(=O)CNS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)n1 ZINC000564086565 1073355283 /nfs/dbraw/zinc/35/52/83/1073355283.db2.gz OMCGINOMCRABJE-UHFFFAOYSA-N 0 0 427.508 0.122 20 0 IBADRN CCn1cnc(S(=O)(=O)NCCN2CCN(S(=O)(=O)c3cn(CC)cn3)CC2)c1 ZINC000564086570 1072341675 /nfs/dbraw/zinc/34/16/75/1072341675.db2.gz ORCMMPMOZMRNOF-UHFFFAOYSA-N 0 0 445.571 -0.596 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000564086876 1072341687 /nfs/dbraw/zinc/34/16/87/1072341687.db2.gz VCXUDSXEPQRMSW-UHFFFAOYSA-N 0 0 427.570 -0.019 20 0 IBADRN COc1ccc(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC000564087637 1072341811 /nfs/dbraw/zinc/34/18/11/1072341811.db2.gz CANIQLHEQZGRBG-CYBMUJFWSA-N 0 0 449.555 -0.587 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(-n3nc(C)cc3C)nc2)CC1 ZINC000564091484 1072341648 /nfs/dbraw/zinc/34/16/48/1072341648.db2.gz SEDGASZXJQJELT-UHFFFAOYSA-N 0 0 427.509 -0.219 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000564102538 1072341799 /nfs/dbraw/zinc/34/17/99/1072341799.db2.gz MWPRSZFKWADTBA-SMDDNHRTSA-N 0 0 428.529 -0.201 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC(N3CCc4cc(F)ccc43)CC2)n1 ZINC000564103651 1072341822 /nfs/dbraw/zinc/34/18/22/1072341822.db2.gz LJCGFEKJUMVSHZ-UHFFFAOYSA-N 0 0 429.456 0.155 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1 ZINC000564107167 1072342030 /nfs/dbraw/zinc/34/20/30/1072342030.db2.gz SRAJQPQJUKUSAB-UHFFFAOYSA-N 0 0 442.476 -0.434 20 0 IBADRN Cc1cc2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc2n(C)c1=O ZINC000564107280 1072342313 /nfs/dbraw/zinc/34/23/13/1072342313.db2.gz WHVNHCBRHVNKOD-UHFFFAOYSA-N 0 0 438.448 -0.857 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000564108305 1072342210 /nfs/dbraw/zinc/34/22/10/1072342210.db2.gz ZWQIPGONEFKLCS-UHFFFAOYSA-N 0 0 433.509 -0.248 20 0 IBADRN COc1cccc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2)c1 ZINC000564110877 1072342061 /nfs/dbraw/zinc/34/20/61/1072342061.db2.gz VDXFVSVGOOERKP-HNNXBMFYSA-N 0 0 426.477 -0.001 20 0 IBADRN COc1cccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2)c1 ZINC000564110879 1072342076 /nfs/dbraw/zinc/34/20/76/1072342076.db2.gz VDXFVSVGOOERKP-OAHLLOKOSA-N 0 0 426.477 -0.001 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cnn(CC(F)(F)F)c2)CC1)N1CCCC1 ZINC000564111762 1072342352 /nfs/dbraw/zinc/34/23/52/1072342352.db2.gz LENOCZURTUOTFD-UHFFFAOYSA-N 0 0 430.431 -0.172 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)c3nc(N)nc(N4CCOCC4)n3)CC2)C1 ZINC000564113123 1073361396 /nfs/dbraw/zinc/36/13/96/1073361396.db2.gz QEOINNIBSFVBSH-HOTGVXAUSA-N 0 0 446.556 -0.447 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)c3nc(N)nc(N4CCOCC4)n3)CC2)C1 ZINC000564113125 1073360615 /nfs/dbraw/zinc/36/06/15/1073360615.db2.gz QEOINNIBSFVBSH-HZPDHXFCSA-N 0 0 446.556 -0.447 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)c3nc(N)nc(N4CCOCC4)n3)CC2)C1 ZINC000564113127 1072342601 /nfs/dbraw/zinc/34/26/01/1072342601.db2.gz QEOINNIBSFVBSH-IYBDPMFKSA-N 0 0 446.556 -0.447 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCCCCn2cc(Br)cn2)CCO1 ZINC000564113753 1072342153 /nfs/dbraw/zinc/34/21/53/1072342153.db2.gz QBMQOLQOBWKNQH-AWEZNQCLSA-N 0 0 430.303 -0.095 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCCCn2cc(Br)cn2)CCO1 ZINC000564113754 1072342232 /nfs/dbraw/zinc/34/22/32/1072342232.db2.gz QBMQOLQOBWKNQH-CQSZACIVSA-N 0 0 430.303 -0.095 20 0 IBADRN Cc1c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cccc1N1C(=O)N[C@H](C)C1=O ZINC000564115529 1072342700 /nfs/dbraw/zinc/34/27/00/1072342700.db2.gz LYTKPBBPWPXEFF-LLVKDONJSA-N 0 0 439.432 -0.174 20 0 IBADRN Cc1c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cccc1N1C(=O)N[C@@H](C)C1=O ZINC000564115532 1072342631 /nfs/dbraw/zinc/34/26/31/1072342631.db2.gz LYTKPBBPWPXEFF-NSHDSACASA-N 0 0 439.432 -0.174 20 0 IBADRN COc1cc(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)sc1S(N)(=O)=O ZINC000564119779 1072342668 /nfs/dbraw/zinc/34/26/68/1072342668.db2.gz ZJZQMWPCLBKRRL-JTQLQIEISA-N 0 0 440.569 -0.205 20 0 IBADRN COc1cc(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)sc1S(N)(=O)=O ZINC000564119780 1072342656 /nfs/dbraw/zinc/34/26/56/1072342656.db2.gz ZJZQMWPCLBKRRL-SNVBAGLBSA-N 0 0 440.569 -0.205 20 0 IBADRN C[C@]1(c2ccc(C(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)cc2)NC(=O)NC1=O ZINC000564128106 1072342618 /nfs/dbraw/zinc/34/26/18/1072342618.db2.gz SYXQOTZXEQWVGT-GOSISDBHSA-N 0 0 432.462 -0.389 20 0 IBADRN C[C@@]1(c2ccc(C(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)cc2)NC(=O)NC1=O ZINC000564128109 1072342986 /nfs/dbraw/zinc/34/29/86/1072342986.db2.gz SYXQOTZXEQWVGT-SFHVURJKSA-N 0 0 432.462 -0.389 20 0 IBADRN C[C@H](O)CN(CCO)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000564131000 1072343088 /nfs/dbraw/zinc/34/30/88/1072343088.db2.gz KIOXPKZTWMVLMR-AWEZNQCLSA-N 0 0 430.527 -0.020 20 0 IBADRN C[C@@H](O)CN(CCO)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000564131001 1072343077 /nfs/dbraw/zinc/34/30/77/1072343077.db2.gz KIOXPKZTWMVLMR-CQSZACIVSA-N 0 0 430.527 -0.020 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000564133482 1072343111 /nfs/dbraw/zinc/34/31/11/1072343111.db2.gz HFHKTLGMPPVBEO-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)CCOC(C)C)CC3)cn2n1 ZINC000564133558 1072343124 /nfs/dbraw/zinc/34/31/24/1072343124.db2.gz IWYMBKCYXCZMRO-UHFFFAOYSA-N 0 0 438.510 -0.125 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CCN(c3ccccc3OC)C1=O)CC2 ZINC000564134635 1072343099 /nfs/dbraw/zinc/34/30/99/1072343099.db2.gz INDZFCLQYYQDAV-KBPBESRZSA-N 0 0 442.476 -0.214 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CCN(c3ccccc3OC)C1=O)CC2 ZINC000564134637 1072343150 /nfs/dbraw/zinc/34/31/50/1072343150.db2.gz INDZFCLQYYQDAV-KGLIPLIRSA-N 0 0 442.476 -0.214 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CCN(c3ccccc3OC)C1=O)CC2 ZINC000564134639 1072343022 /nfs/dbraw/zinc/34/30/22/1072343022.db2.gz INDZFCLQYYQDAV-UONOGXRCSA-N 0 0 442.476 -0.214 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CCN(c3ccccc3OC)C1=O)CC2 ZINC000564134640 1072343066 /nfs/dbraw/zinc/34/30/66/1072343066.db2.gz INDZFCLQYYQDAV-ZIAGYGMSSA-N 0 0 442.476 -0.214 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc1 ZINC000564135126 1072343136 /nfs/dbraw/zinc/34/31/36/1072343136.db2.gz NQPXDBHLNXQQJB-IAGOWNOFSA-N 0 0 436.534 -0.026 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CC[C@H](NS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000564142377 1072343473 /nfs/dbraw/zinc/34/34/73/1072343473.db2.gz BNXZEJPQDLYDMP-GUTXKFCHSA-N 0 0 425.511 -0.216 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CC[C@H](NS(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000564142414 1072343041 /nfs/dbraw/zinc/34/30/41/1072343041.db2.gz BNXZEJPQDLYDMP-NFAWXSAZSA-N 0 0 425.511 -0.216 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000564142421 1072343009 /nfs/dbraw/zinc/34/30/09/1072343009.db2.gz BNXZEJPQDLYDMP-UMVBOHGHSA-N 0 0 425.511 -0.216 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000564142428 1072343505 /nfs/dbraw/zinc/34/35/05/1072343505.db2.gz BNXZEJPQDLYDMP-VNHYZAJKSA-N 0 0 425.511 -0.216 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000564142864 1072343495 /nfs/dbraw/zinc/34/34/95/1072343495.db2.gz DFUDCQGWHMWQNW-AWEZNQCLSA-N 0 0 428.898 -0.335 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000564142872 1072343597 /nfs/dbraw/zinc/34/35/97/1072343597.db2.gz DFUDCQGWHMWQNW-CQSZACIVSA-N 0 0 428.898 -0.335 20 0 IBADRN COc1ccccc1C1(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CCC1 ZINC000564142952 1072343364 /nfs/dbraw/zinc/34/33/64/1072343364.db2.gz GUHKYCBZWDDAFD-UHFFFAOYSA-N 0 0 441.492 -0.409 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CCO1 ZINC000564143151 1072343329 /nfs/dbraw/zinc/34/33/29/1072343329.db2.gz FQNLSSVGZCUGLL-MOPGFXCFSA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CCO1 ZINC000564143155 1072343383 /nfs/dbraw/zinc/34/33/83/1072343383.db2.gz FQNLSSVGZCUGLL-OALUTQOASA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CCO1 ZINC000564143157 1072343627 /nfs/dbraw/zinc/34/36/27/1072343627.db2.gz FQNLSSVGZCUGLL-RBUKOAKNSA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CCO1 ZINC000564143159 1072343638 /nfs/dbraw/zinc/34/36/38/1072343638.db2.gz FQNLSSVGZCUGLL-RTBURBONSA-N 0 0 430.505 -0.300 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000564144936 1072343479 /nfs/dbraw/zinc/34/34/79/1072343479.db2.gz PTVPRVDZNFLZDX-AWEZNQCLSA-N 0 0 446.476 -0.026 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000564144940 1072343613 /nfs/dbraw/zinc/34/36/13/1072343613.db2.gz PTVPRVDZNFLZDX-CQSZACIVSA-N 0 0 446.476 -0.026 20 0 IBADRN Cc1cc(F)ccc1CCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000564145135 1072343353 /nfs/dbraw/zinc/34/33/53/1072343353.db2.gz QJDVAICHSXUOBZ-UHFFFAOYSA-N 0 0 432.456 -0.718 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@H](O)C3)CC2)cc1 ZINC000564149502 1072343555 /nfs/dbraw/zinc/34/35/55/1072343555.db2.gz WKBDBNLPRLPFAI-CRAIPNDOSA-N 0 0 427.523 -0.797 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@H](O)C3)CC2)cc1 ZINC000564149503 1072343392 /nfs/dbraw/zinc/34/33/92/1072343392.db2.gz WKBDBNLPRLPFAI-MAUKXSAKSA-N 0 0 427.523 -0.797 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@@H](O)C3)CC2)cc1 ZINC000564149504 1072343649 /nfs/dbraw/zinc/34/36/49/1072343649.db2.gz WKBDBNLPRLPFAI-QAPCUYQASA-N 0 0 427.523 -0.797 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@@H](O)C3)CC2)cc1 ZINC000564149505 1072343520 /nfs/dbraw/zinc/34/35/20/1072343520.db2.gz WKBDBNLPRLPFAI-YJBOKZPZSA-N 0 0 427.523 -0.797 20 0 IBADRN CC(C)Oc1cc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)ccn1 ZINC000564154618 1072343342 /nfs/dbraw/zinc/34/33/42/1072343342.db2.gz AGJZQULMLRKWHT-UHFFFAOYSA-N 0 0 425.467 -0.291 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000564157175 1072344007 /nfs/dbraw/zinc/34/40/07/1072344007.db2.gz KIHYLGCXLRZTLE-INIZCTEOSA-N 0 0 449.533 -0.095 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000564157176 1072344083 /nfs/dbraw/zinc/34/40/83/1072344083.db2.gz KIHYLGCXLRZTLE-MRXNPFEDSA-N 0 0 449.533 -0.095 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000564157201 1072343952 /nfs/dbraw/zinc/34/39/52/1072343952.db2.gz LIRQFVBZGBFTDA-INIZCTEOSA-N 0 0 443.547 -0.122 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000564157210 1072343997 /nfs/dbraw/zinc/34/39/97/1072343997.db2.gz LIRQFVBZGBFTDA-MRXNPFEDSA-N 0 0 443.547 -0.122 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000564158141 1072344059 /nfs/dbraw/zinc/34/40/59/1072344059.db2.gz ZXICHJFJBAHYLY-CALCHBBNSA-N 0 0 437.585 -0.086 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000564158147 1072344107 /nfs/dbraw/zinc/34/41/07/1072344107.db2.gz ZXICHJFJBAHYLY-IAGOWNOFSA-N 0 0 437.585 -0.086 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000564158149 1072344117 /nfs/dbraw/zinc/34/41/17/1072344117.db2.gz ZXICHJFJBAHYLY-IRXDYDNUSA-N 0 0 437.585 -0.086 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000564158302 1072343989 /nfs/dbraw/zinc/34/39/89/1072343989.db2.gz YRXSTENHCKAZBY-UHFFFAOYSA-N 0 0 443.548 -0.002 20 0 IBADRN COc1ccc(C[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000564161147 1072343934 /nfs/dbraw/zinc/34/39/34/1072343934.db2.gz OWUUWJBYMDXJQQ-HNNXBMFYSA-N 0 0 429.481 -0.653 20 0 IBADRN COc1ccc(C[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000564161152 1072344094 /nfs/dbraw/zinc/34/40/94/1072344094.db2.gz OWUUWJBYMDXJQQ-OAHLLOKOSA-N 0 0 429.481 -0.653 20 0 IBADRN CC(C)Oc1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccn1 ZINC000564161722 1072343920 /nfs/dbraw/zinc/34/39/20/1072343920.db2.gz XYOPLTCOCZKSOX-UHFFFAOYSA-N 0 0 433.509 -0.512 20 0 IBADRN COc1ccc(CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1S(N)(=O)=O ZINC000564162138 1072343959 /nfs/dbraw/zinc/34/39/59/1072343959.db2.gz STJKCHUUIXXYGJ-UHFFFAOYSA-N 0 0 448.461 -0.390 20 0 IBADRN Cc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)n2C ZINC000564162396 1072344051 /nfs/dbraw/zinc/34/40/51/1072344051.db2.gz XQLRQMRVRAAICJ-UHFFFAOYSA-N 0 0 426.477 -0.553 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)c3nccs3)CC2)cn1C ZINC000564162434 1072343976 /nfs/dbraw/zinc/34/39/76/1072343976.db2.gz YVVJWAYJKCTEMM-GFCCVEGCSA-N 0 0 440.551 -0.062 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H](C)c3nccs3)CC2)cn1C ZINC000564162435 1072344074 /nfs/dbraw/zinc/34/40/74/1072344074.db2.gz YVVJWAYJKCTEMM-LBPRGKRZSA-N 0 0 440.551 -0.062 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)[C@H](c1ccc(C)cc1)n1cncn1 ZINC000564165566 1072343927 /nfs/dbraw/zinc/34/39/27/1072343927.db2.gz MGLCBGQSNSHXDT-IKGGRYGDSA-N 0 0 434.522 -0.040 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)[C@@H](c1ccc(C)cc1)n1cncn1 ZINC000564165580 1072344019 /nfs/dbraw/zinc/34/40/19/1072344019.db2.gz MGLCBGQSNSHXDT-IXDOHACOSA-N 0 0 434.522 -0.040 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)[C@H](c1ccc(C)cc1)n1cncn1 ZINC000564165581 1072344605 /nfs/dbraw/zinc/34/46/05/1072344605.db2.gz MGLCBGQSNSHXDT-ULQDDVLXSA-N 0 0 434.522 -0.040 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)[C@@H](c1ccc(C)cc1)n1cncn1 ZINC000564165582 1072344722 /nfs/dbraw/zinc/34/47/22/1072344722.db2.gz MGLCBGQSNSHXDT-YESZJQIVSA-N 0 0 434.522 -0.040 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)cn1 ZINC000564166020 1072344647 /nfs/dbraw/zinc/34/46/47/1072344647.db2.gz PUEZZLOAZURUSN-UHFFFAOYSA-N 0 0 434.541 -0.452 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC2)cn1 ZINC000564166209 1072344559 /nfs/dbraw/zinc/34/45/59/1072344559.db2.gz RJMZVVMZJCCNIX-HKUYNNGSSA-N 0 0 448.568 -0.015 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)cn1 ZINC000564166215 1072344505 /nfs/dbraw/zinc/34/45/05/1072344505.db2.gz RJMZVVMZJCCNIX-IEBWSBKVSA-N 0 0 448.568 -0.015 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)cn1 ZINC000564166216 1072344543 /nfs/dbraw/zinc/34/45/43/1072344543.db2.gz RJMZVVMZJCCNIX-MJGOQNOKSA-N 0 0 448.568 -0.015 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC2)cn1 ZINC000564166218 1072344416 /nfs/dbraw/zinc/34/44/16/1072344416.db2.gz RJMZVVMZJCCNIX-PKOBYXMFSA-N 0 0 448.568 -0.015 20 0 IBADRN CCN(C(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1)[C@H](C)CS(C)(=O)=O ZINC000564166728 1072344475 /nfs/dbraw/zinc/34/44/75/1072344475.db2.gz UFAOZHZUVKBOND-LLVKDONJSA-N 0 0 439.581 -0.267 20 0 IBADRN CCN(C(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1)[C@@H](C)CS(C)(=O)=O ZINC000564166734 1072344574 /nfs/dbraw/zinc/34/45/74/1072344574.db2.gz UFAOZHZUVKBOND-NSHDSACASA-N 0 0 439.581 -0.267 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCc2ccc(C(N)=O)nc2)s1 ZINC000564167180 1072344459 /nfs/dbraw/zinc/34/44/59/1072344459.db2.gz WOENGBZONDLYTA-UHFFFAOYSA-N 0 0 425.492 -0.739 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCc2ccnc(N(C)C)n2)s1 ZINC000564167421 1072344633 /nfs/dbraw/zinc/34/46/33/1072344633.db2.gz YWXBRLVYOJBYIX-UHFFFAOYSA-N 0 0 426.524 -0.377 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)nc1 ZINC000564167736 1072344518 /nfs/dbraw/zinc/34/45/18/1072344518.db2.gz ANLDXFYSKMUBNO-GFCCVEGCSA-N 0 0 434.544 -0.228 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)nc1 ZINC000564167758 1072344492 /nfs/dbraw/zinc/34/44/92/1072344492.db2.gz ANLDXFYSKMUBNO-LBPRGKRZSA-N 0 0 434.544 -0.228 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCc2ccnc(N(C)C)n2)cc1 ZINC000564168145 1072344433 /nfs/dbraw/zinc/34/44/33/1072344433.db2.gz BUWGNDZOMIDUIU-UHFFFAOYSA-N 0 0 434.522 -0.096 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2ncn(C(C)(C)C)n2)CC1 ZINC000564169894 1072344587 /nfs/dbraw/zinc/34/45/87/1072344587.db2.gz LZBLAZKRSVXNJE-UHFFFAOYSA-N 0 0 449.537 0.229 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)n1 ZINC000564170961 1072344529 /nfs/dbraw/zinc/34/45/29/1072344529.db2.gz SYTNAYCEAJUVSC-UHFFFAOYSA-N 0 0 431.541 -0.294 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2cnc(C3CC3)nc2)CC1)N1CCOCC1 ZINC000564171198 1072344622 /nfs/dbraw/zinc/34/46/22/1072344622.db2.gz SOSZVJHTBNMUPT-UHFFFAOYSA-N 0 0 430.509 -0.984 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ncc(C)cn3)CC2)cn1C ZINC000564172086 1072345238 /nfs/dbraw/zinc/34/52/38/1072345238.db2.gz ALNVIMLJYGBJJH-UHFFFAOYSA-N 0 0 435.510 -0.981 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)nc1 ZINC000564175262 1072345322 /nfs/dbraw/zinc/34/53/22/1072345322.db2.gz NMAUWZZPXAALHJ-HNNXBMFYSA-N 0 0 425.511 -0.903 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)nc1 ZINC000564175268 1072344958 /nfs/dbraw/zinc/34/49/58/1072344958.db2.gz NMAUWZZPXAALHJ-OAHLLOKOSA-N 0 0 425.511 -0.903 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000564177379 1072345045 /nfs/dbraw/zinc/34/50/45/1072345045.db2.gz AXMCEHZSFCQSEX-SMDDNHRTSA-N 0 0 447.535 -0.177 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000564179468 1072345134 /nfs/dbraw/zinc/34/51/34/1072345134.db2.gz HXXUZPYHQZNEKR-BLLLJJGKSA-N 0 0 439.490 -0.202 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(OCC(N)=O)cc1 ZINC000564180853 1072345059 /nfs/dbraw/zinc/34/50/59/1072345059.db2.gz VITJMFFHOJCYSE-AWEZNQCLSA-N 0 0 441.510 -0.734 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(OCC(N)=O)cc1 ZINC000564180863 1072344983 /nfs/dbraw/zinc/34/49/83/1072344983.db2.gz VITJMFFHOJCYSE-CQSZACIVSA-N 0 0 441.510 -0.734 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000564184649 1072345307 /nfs/dbraw/zinc/34/53/07/1072345307.db2.gz LEFDXHGSTAJLCC-UHFFFAOYSA-N 0 0 429.495 -0.233 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)C(F)(F)F ZINC000564184766 1072345299 /nfs/dbraw/zinc/34/52/99/1072345299.db2.gz LSDVDEOGPLSXRR-CYBMUJFWSA-N 0 0 438.428 -0.002 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)C(F)(F)F ZINC000564184768 1072345210 /nfs/dbraw/zinc/34/52/10/1072345210.db2.gz LSDVDEOGPLSXRR-ZDUSSCGKSA-N 0 0 438.428 -0.002 20 0 IBADRN COc1ccc([C@H](CO)N(C)C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000564188742 1072345316 /nfs/dbraw/zinc/34/53/16/1072345316.db2.gz FZZWTXAQPMGLKI-INIZCTEOSA-N 0 0 440.478 -0.589 20 0 IBADRN COc1ccc([C@@H](CO)N(C)C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000564188762 1072345249 /nfs/dbraw/zinc/34/52/49/1072345249.db2.gz FZZWTXAQPMGLKI-MRXNPFEDSA-N 0 0 440.478 -0.589 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCCN(CC(F)(F)F)CC1 ZINC000564189458 1072345229 /nfs/dbraw/zinc/34/52/29/1072345229.db2.gz JZUBLAPEDDDDJD-UHFFFAOYSA-N 0 0 441.432 -0.693 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC000564190224 1072345552 /nfs/dbraw/zinc/34/55/52/1072345552.db2.gz OHJKWYOIBWICBG-AWEZNQCLSA-N 0 0 442.523 -0.740 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC000564190229 1072345687 /nfs/dbraw/zinc/34/56/87/1072345687.db2.gz OHJKWYOIBWICBG-CQSZACIVSA-N 0 0 442.523 -0.740 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCNC(=O)C[C@H]1c1ccccc1 ZINC000564190686 1072345715 /nfs/dbraw/zinc/34/57/15/1072345715.db2.gz SFQSWAYECLJLKC-INIZCTEOSA-N 0 0 449.489 -0.700 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCNC(=O)C[C@@H]1c1ccccc1 ZINC000564190692 1072345564 /nfs/dbraw/zinc/34/55/64/1072345564.db2.gz SFQSWAYECLJLKC-MRXNPFEDSA-N 0 0 449.489 -0.700 20 0 IBADRN COc1c(C)cnc(CN(C)C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1C ZINC000564190726 1072344971 /nfs/dbraw/zinc/34/49/71/1072344971.db2.gz SOUDJUYIGDHZHX-UHFFFAOYSA-N 0 0 439.494 -0.111 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCn3cnnc3[C@@H]2C)C1=O ZINC000564190789 1072345639 /nfs/dbraw/zinc/34/56/39/1072345639.db2.gz QTIMGNLVKQEYIO-CMPLNLGQSA-N 0 0 432.462 -0.049 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCn3cnnc3[C@@H]2C)C1=O ZINC000564190794 1072345573 /nfs/dbraw/zinc/34/55/73/1072345573.db2.gz QTIMGNLVKQEYIO-JQWIXIFHSA-N 0 0 432.462 -0.049 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCn3cnnc3[C@H]2C)C1=O ZINC000564190795 1072345741 /nfs/dbraw/zinc/34/57/41/1072345741.db2.gz QTIMGNLVKQEYIO-PWSUYJOCSA-N 0 0 432.462 -0.049 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCn3cnnc3[C@H]2C)C1=O ZINC000564190796 1072345651 /nfs/dbraw/zinc/34/56/51/1072345651.db2.gz QTIMGNLVKQEYIO-ZYHUDNBSSA-N 0 0 432.462 -0.049 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1ccn(C3CCCC3)n1)C2 ZINC000564191759 1072345725 /nfs/dbraw/zinc/34/57/25/1072345725.db2.gz YUAJAXOMAZSOBB-UHFFFAOYSA-N 0 0 444.496 -0.897 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H](CCO)CC(C)C)CC2)cn1C ZINC000564192007 1072345543 /nfs/dbraw/zinc/34/55/43/1072345543.db2.gz ZZOJPPSMDARKPZ-INIZCTEOSA-N 0 0 443.570 -0.278 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H](CCO)CC(C)C)CC2)cn1C ZINC000564192008 1072345700 /nfs/dbraw/zinc/34/57/00/1072345700.db2.gz ZZOJPPSMDARKPZ-MRXNPFEDSA-N 0 0 443.570 -0.278 20 0 IBADRN O=C(CN1CCC[C@H]1CN1CCOCC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000564192126 1072345674 /nfs/dbraw/zinc/34/56/74/1072345674.db2.gz BPTQGZYFWGUJCN-FHWLQOOXSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC[C@H]1CN1CCOCC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000564192129 1072345774 /nfs/dbraw/zinc/34/57/74/1072345774.db2.gz BPTQGZYFWGUJCN-GBESFXJTSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC[C@H]1CN1CCOCC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000564192130 1072345661 /nfs/dbraw/zinc/34/56/61/1072345661.db2.gz BPTQGZYFWGUJCN-IPMKNSEASA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC[C@H]1CN1CCOCC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000564192131 1072345599 /nfs/dbraw/zinc/34/55/99/1072345599.db2.gz BPTQGZYFWGUJCN-OTWHNJEPSA-N 0 0 429.583 -0.022 20 0 IBADRN CC(C)C(=O)N1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000564192982 1072345750 /nfs/dbraw/zinc/34/57/50/1072345750.db2.gz IQULQWFIJQEDTF-UHFFFAOYSA-N 0 0 445.586 -0.072 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(CCO)C2CCOCC2)C1=O ZINC000564193365 1072345628 /nfs/dbraw/zinc/34/56/28/1072345628.db2.gz MBIKSYUNTFXWKW-AWEZNQCLSA-N 0 0 439.490 -0.244 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N(CCO)C2CCOCC2)C1=O ZINC000564193370 1072345587 /nfs/dbraw/zinc/34/55/87/1072345587.db2.gz MBIKSYUNTFXWKW-CQSZACIVSA-N 0 0 439.490 -0.244 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)Nc2ccn(CC(N)=O)n2)n1 ZINC000564194219 1073340684 /nfs/dbraw/zinc/34/06/84/1073340684.db2.gz RJDTXQLSHADOIG-UHFFFAOYSA-N 0 0 425.405 0.308 20 0 IBADRN CCCCn1c2nc(CN3CCN(S(=O)(=O)NC(C)C)CC3)n(C)c2c(=O)[nH]c1=O ZINC000564194280 1072345612 /nfs/dbraw/zinc/34/56/12/1072345612.db2.gz RXDBZRZFYBQHRG-UHFFFAOYSA-N 0 0 441.558 -0.004 20 0 IBADRN CCCCn1cc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)n1 ZINC000564199635 1072345760 /nfs/dbraw/zinc/34/57/60/1072345760.db2.gz NPVVAOQMCSFCFZ-UHFFFAOYSA-N 0 0 446.512 -0.903 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000564204897 1072346027 /nfs/dbraw/zinc/34/60/27/1072346027.db2.gz SPUVUERRDWSVDB-HZSPNIEDSA-N 0 0 431.453 -0.599 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000564204904 1072346161 /nfs/dbraw/zinc/34/61/61/1072346161.db2.gz SPUVUERRDWSVDB-MCIONIFRSA-N 0 0 431.453 -0.599 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000564204905 1072346039 /nfs/dbraw/zinc/34/60/39/1072346039.db2.gz SPUVUERRDWSVDB-MGPQQGTHSA-N 0 0 431.453 -0.599 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000564204906 1072346230 /nfs/dbraw/zinc/34/62/30/1072346230.db2.gz SPUVUERRDWSVDB-RDBSUJKOSA-N 0 0 431.453 -0.599 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000564215979 1072346064 /nfs/dbraw/zinc/34/60/64/1072346064.db2.gz SWNJRXJHMZGBHU-CHWSQXEVSA-N 0 0 443.551 -0.685 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000564215980 1072346095 /nfs/dbraw/zinc/34/60/95/1072346095.db2.gz SWNJRXJHMZGBHU-OLZOCXBDSA-N 0 0 443.551 -0.685 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000564215981 1072346075 /nfs/dbraw/zinc/34/60/75/1072346075.db2.gz SWNJRXJHMZGBHU-QWHCGFSZSA-N 0 0 443.551 -0.685 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000564215982 1072346139 /nfs/dbraw/zinc/34/61/39/1072346139.db2.gz SWNJRXJHMZGBHU-STQMWFEESA-N 0 0 443.551 -0.685 20 0 IBADRN Cc1ccc(NC(=O)C2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)nc1 ZINC000564222375 1072346241 /nfs/dbraw/zinc/34/62/41/1072346241.db2.gz UUDKQMFRCGHYRO-UHFFFAOYSA-N 0 0 444.536 -0.153 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2nnc(C)s2)C1 ZINC000564227152 1072346083 /nfs/dbraw/zinc/34/60/83/1072346083.db2.gz FOMQUDYNUAVSCL-AAEUAGOBSA-N 0 0 433.556 -0.077 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)c2nnc(C)s2)C1 ZINC000564227156 1072346048 /nfs/dbraw/zinc/34/60/48/1072346048.db2.gz FOMQUDYNUAVSCL-DGCLKSJQSA-N 0 0 433.556 -0.077 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2nnc(C)s2)C1 ZINC000564227157 1072346129 /nfs/dbraw/zinc/34/61/29/1072346129.db2.gz FOMQUDYNUAVSCL-WCQYABFASA-N 0 0 433.556 -0.077 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](C)c2nnc(C)s2)C1 ZINC000564227161 1072346151 /nfs/dbraw/zinc/34/61/51/1072346151.db2.gz FOMQUDYNUAVSCL-YPMHNXCESA-N 0 0 433.556 -0.077 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000564228020 1073363209 /nfs/dbraw/zinc/36/32/09/1073363209.db2.gz LVUZNXFIAVNCSV-AWEZNQCLSA-N 0 0 438.558 0.033 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000564228024 1072346213 /nfs/dbraw/zinc/34/62/13/1072346213.db2.gz LVUZNXFIAVNCSV-CQSZACIVSA-N 0 0 438.558 0.033 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000564228941 1072346107 /nfs/dbraw/zinc/34/61/07/1072346107.db2.gz UWVWJOUWJSBUPU-GFCCVEGCSA-N 0 0 438.510 -0.977 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000564228943 1072346119 /nfs/dbraw/zinc/34/61/19/1072346119.db2.gz UWVWJOUWJSBUPU-LBPRGKRZSA-N 0 0 438.510 -0.977 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2c[nH]c3cc(F)ccc23)CC1 ZINC000564232741 1072346194 /nfs/dbraw/zinc/34/61/94/1072346194.db2.gz WUIRVZBQSTUVAL-UHFFFAOYSA-N 0 0 428.428 -0.677 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)CC2=O)c1 ZINC000564236968 1072346697 /nfs/dbraw/zinc/34/66/97/1072346697.db2.gz SKUMOEPPNMIRDK-HNNXBMFYSA-N 0 0 426.477 -0.016 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)CC2=O)c1 ZINC000564236969 1072346757 /nfs/dbraw/zinc/34/67/57/1072346757.db2.gz SKUMOEPPNMIRDK-OAHLLOKOSA-N 0 0 426.477 -0.016 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1)N1CCOCC1 ZINC000564238670 1072346536 /nfs/dbraw/zinc/34/65/36/1072346536.db2.gz OXAKFSXQTADLGJ-HNNXBMFYSA-N 0 0 437.463 -0.565 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1)N1CCOCC1 ZINC000564238675 1072346622 /nfs/dbraw/zinc/34/66/22/1072346622.db2.gz OXAKFSXQTADLGJ-OAHLLOKOSA-N 0 0 437.463 -0.565 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000564242590 1072346720 /nfs/dbraw/zinc/34/67/20/1072346720.db2.gz FLSDSBISSVQTJX-AEFFLSMTSA-N 0 0 438.550 -0.504 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000564242594 1072346668 /nfs/dbraw/zinc/34/66/68/1072346668.db2.gz FLSDSBISSVQTJX-FUHWJXTLSA-N 0 0 438.550 -0.504 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000564242596 1072346802 /nfs/dbraw/zinc/34/68/02/1072346802.db2.gz FLSDSBISSVQTJX-SJLPKXTDSA-N 0 0 438.550 -0.504 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000564242597 1072346567 /nfs/dbraw/zinc/34/65/67/1072346567.db2.gz FLSDSBISSVQTJX-WMZOPIPTSA-N 0 0 438.550 -0.504 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000564243555 1072346709 /nfs/dbraw/zinc/34/67/09/1072346709.db2.gz CIGNVDXRJQWEJQ-UHFFFAOYSA-N 0 0 445.442 -0.038 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(C3CCCCC3)C2=O)CC1 ZINC000564243565 1072346631 /nfs/dbraw/zinc/34/66/31/1072346631.db2.gz CKACQQWJNMEDIN-KRWDZBQOSA-N 0 0 447.536 -0.624 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(C3CCCCC3)C2=O)CC1 ZINC000564243567 1072346654 /nfs/dbraw/zinc/34/66/54/1072346654.db2.gz CKACQQWJNMEDIN-QGZVFWFLSA-N 0 0 447.536 -0.624 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000564244032 1072347125 /nfs/dbraw/zinc/34/71/25/1072347125.db2.gz FOTBFQHZNKVUAA-KRWDZBQOSA-N 0 0 425.493 -0.244 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000564244043 1072347153 /nfs/dbraw/zinc/34/71/53/1072347153.db2.gz FOTBFQHZNKVUAA-QGZVFWFLSA-N 0 0 425.493 -0.244 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)C1CCOCC1 ZINC000564244839 1072346662 /nfs/dbraw/zinc/34/66/62/1072346662.db2.gz RNQKICQYAGFMQB-DLBZAZTESA-N 0 0 431.555 -0.039 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)C1CCOCC1 ZINC000564244846 1072346547 /nfs/dbraw/zinc/34/65/47/1072346547.db2.gz RNQKICQYAGFMQB-IAGOWNOFSA-N 0 0 431.555 -0.039 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)C1CCOCC1 ZINC000564244847 1072346795 /nfs/dbraw/zinc/34/67/95/1072346795.db2.gz RNQKICQYAGFMQB-IRXDYDNUSA-N 0 0 431.555 -0.039 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)C1CCOCC1 ZINC000564244848 1072347111 /nfs/dbraw/zinc/34/71/11/1072347111.db2.gz RNQKICQYAGFMQB-SJORKVTESA-N 0 0 431.555 -0.039 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)CC1 ZINC000564250502 1072347071 /nfs/dbraw/zinc/34/70/71/1072347071.db2.gz MHHDSXROVOWAKQ-INIZCTEOSA-N 0 0 439.557 -0.811 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)CC1 ZINC000564250510 1072347098 /nfs/dbraw/zinc/34/70/98/1072347098.db2.gz MHHDSXROVOWAKQ-MRXNPFEDSA-N 0 0 439.557 -0.811 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(OCC(N)=O)c1 ZINC000564250800 1072347139 /nfs/dbraw/zinc/34/71/39/1072347139.db2.gz BGNAZKBEKYWPAQ-AWEZNQCLSA-N 0 0 441.510 -0.734 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(OCC(N)=O)c1 ZINC000564250802 1072347259 /nfs/dbraw/zinc/34/72/59/1072347259.db2.gz BGNAZKBEKYWPAQ-CQSZACIVSA-N 0 0 441.510 -0.734 20 0 IBADRN O=C(COc1ccc(N2CCCC2=O)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000564256338 1072347233 /nfs/dbraw/zinc/34/72/33/1072347233.db2.gz BBSNGJNFESIQKI-UHFFFAOYSA-N 0 0 434.478 -0.157 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C[C@H](C)S1(=O)=O ZINC000564259179 1072347085 /nfs/dbraw/zinc/34/70/85/1072347085.db2.gz COFMBMWQKQWSII-KBPBESRZSA-N 0 0 443.547 -0.106 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C[C@H](C)S1(=O)=O ZINC000564259183 1072347249 /nfs/dbraw/zinc/34/72/49/1072347249.db2.gz COFMBMWQKQWSII-OKILXGFUSA-N 0 0 443.547 -0.106 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c(OC)c1 ZINC000564259184 1072347269 /nfs/dbraw/zinc/34/72/69/1072347269.db2.gz WWODUBFMEBZSLM-UHFFFAOYSA-N 0 0 435.524 -0.015 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C[C@@H](C)S1(=O)=O ZINC000564259185 1072347039 /nfs/dbraw/zinc/34/70/39/1072347039.db2.gz COFMBMWQKQWSII-ZIAGYGMSSA-N 0 0 443.547 -0.106 20 0 IBADRN Cc1ncsc1CCS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000564261322 1072347192 /nfs/dbraw/zinc/34/71/92/1072347192.db2.gz BAVSVHLJWYDLFU-UHFFFAOYSA-N 0 0 437.613 -0.566 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(Cc3csc(C)n3)CC1)CC2 ZINC000564261332 1072347725 /nfs/dbraw/zinc/34/77/25/1072347725.db2.gz BDIWDUVFNKQFGD-AWEZNQCLSA-N 0 0 433.538 -0.035 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(Cc3csc(C)n3)CC1)CC2 ZINC000564261338 1072347582 /nfs/dbraw/zinc/34/75/82/1072347582.db2.gz BDIWDUVFNKQFGD-CQSZACIVSA-N 0 0 433.538 -0.035 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(Cc3nc(C)c(C)o3)CC1)CC2 ZINC000564262116 1072347552 /nfs/dbraw/zinc/34/75/52/1072347552.db2.gz HKIOFAOCIWXYSB-HNNXBMFYSA-N 0 0 431.497 -0.195 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(Cc3nc(C)c(C)o3)CC1)CC2 ZINC000564262124 1072347699 /nfs/dbraw/zinc/34/76/99/1072347699.db2.gz HKIOFAOCIWXYSB-OAHLLOKOSA-N 0 0 431.497 -0.195 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccccc2)C1 ZINC000564262233 1072347685 /nfs/dbraw/zinc/34/76/85/1072347685.db2.gz WRNNYLLMCIFOAM-INIZCTEOSA-N 0 0 433.552 -0.136 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccccc2)C1 ZINC000564262237 1072347593 /nfs/dbraw/zinc/34/75/93/1072347593.db2.gz WRNNYLLMCIFOAM-MRXNPFEDSA-N 0 0 433.552 -0.136 20 0 IBADRN NC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)nn1-c1ccccc1 ZINC000564268705 1072347664 /nfs/dbraw/zinc/34/76/64/1072347664.db2.gz IRZSNZGJYCQVMH-UHFFFAOYSA-N 0 0 429.462 -0.159 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cn1 ZINC000564273293 1072347652 /nfs/dbraw/zinc/34/76/52/1072347652.db2.gz JYYCJPVEIIJAOK-DHMKHTPVSA-N 0 0 432.506 -0.262 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cn1 ZINC000564273303 1072347672 /nfs/dbraw/zinc/34/76/72/1072347672.db2.gz JYYCJPVEIIJAOK-FOGNVHKLSA-N 0 0 432.506 -0.262 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cn1 ZINC000564273304 1072347751 /nfs/dbraw/zinc/34/77/51/1072347751.db2.gz JYYCJPVEIIJAOK-INCQDFKNSA-N 0 0 432.506 -0.262 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cn1 ZINC000564273305 1072347616 /nfs/dbraw/zinc/34/76/16/1072347616.db2.gz JYYCJPVEIIJAOK-JGVYIQDASA-N 0 0 432.506 -0.262 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@@H]3OCC[C@H]32)CC1 ZINC000564276057 1072347568 /nfs/dbraw/zinc/34/75/68/1072347568.db2.gz JCXJEZVTGQAAJL-BBWFWOEESA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H]3OCC[C@@H]32)CC1 ZINC000564276058 1072347537 /nfs/dbraw/zinc/34/75/37/1072347537.db2.gz JCXJEZVTGQAAJL-BRWVUGGUSA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H]3OCC[C@H]32)CC1 ZINC000564276059 1072347738 /nfs/dbraw/zinc/34/77/38/1072347738.db2.gz JCXJEZVTGQAAJL-GVDBMIGSSA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@@H]3OCC[C@@H]32)CC1 ZINC000564276060 1072347713 /nfs/dbraw/zinc/34/77/13/1072347713.db2.gz JCXJEZVTGQAAJL-ZACQAIPSSA-N 0 0 431.555 -0.041 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCSC3)C2)CC1 ZINC000564278147 1072347605 /nfs/dbraw/zinc/34/76/05/1072347605.db2.gz IANWXKASTKJDCF-JXFKEZNVSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCSC3)C2)CC1 ZINC000564278158 1072347511 /nfs/dbraw/zinc/34/75/11/1072347511.db2.gz IANWXKASTKJDCF-OXJNMPFZSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCSC3)C2)CC1 ZINC000564278162 1072347629 /nfs/dbraw/zinc/34/76/29/1072347629.db2.gz IANWXKASTKJDCF-OXQOHEQNSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCSC3)C2)CC1 ZINC000564278165 1072347527 /nfs/dbraw/zinc/34/75/27/1072347527.db2.gz IANWXKASTKJDCF-UZLBHIALSA-N 0 0 440.566 -0.840 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC(C)(C)CCO)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000564280282 1072348337 /nfs/dbraw/zinc/34/83/37/1072348337.db2.gz VVLPRRDFZDVJBY-CYBMUJFWSA-N 0 0 429.495 -0.022 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC(C)(C)CCO)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000564280284 1072348301 /nfs/dbraw/zinc/34/83/01/1072348301.db2.gz VVLPRRDFZDVJBY-ZDUSSCGKSA-N 0 0 429.495 -0.022 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC(C)(CO)CO)cc1S(=O)(=O)N(CC)CC ZINC000564280679 1072347640 /nfs/dbraw/zinc/34/76/40/1072347640.db2.gz UKIFRASTHBJRPT-UHFFFAOYSA-N 0 0 431.511 -0.086 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000564281698 1072348352 /nfs/dbraw/zinc/34/83/52/1072348352.db2.gz LWYGXXYOCZODDN-BBRMVZONSA-N 0 0 448.567 -0.932 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000564281699 1072348392 /nfs/dbraw/zinc/34/83/92/1072348392.db2.gz LWYGXXYOCZODDN-CJNGLKHVSA-N 0 0 448.567 -0.932 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000564281700 1072348325 /nfs/dbraw/zinc/34/83/25/1072348325.db2.gz LWYGXXYOCZODDN-CZUORRHYSA-N 0 0 448.567 -0.932 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000564281701 1072348375 /nfs/dbraw/zinc/34/83/75/1072348375.db2.gz LWYGXXYOCZODDN-XJKSGUPXSA-N 0 0 448.567 -0.932 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000564285510 1072348379 /nfs/dbraw/zinc/34/83/79/1072348379.db2.gz BILDZVSYNPETPB-CQSZACIVSA-N 0 0 443.501 -0.786 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCn2c(nnc2-c2ccccc2)C1 ZINC000564285522 1072348315 /nfs/dbraw/zinc/34/83/15/1072348315.db2.gz BMUZZZRDMHJEKJ-OAHLLOKOSA-N 0 0 432.506 -0.173 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCC(NC(=O)c2ccccc2)CC1 ZINC000564287006 1072348342 /nfs/dbraw/zinc/34/83/42/1072348342.db2.gz XYSKQCGYOZAJIZ-QGZVFWFLSA-N 0 0 436.534 -0.052 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@H](CNc2nccn(C)c2=O)C1)[C@@H]1COc2ccccc21 ZINC000564287013 1073310836 /nfs/dbraw/zinc/31/08/36/1073310836.db2.gz YGUBVTSDOHVLLF-UXLLHSPISA-N 0 0 441.488 0.101 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@@H](CNc2nccn(C)c2=O)C1)[C@H]1COc2ccccc21 ZINC000564287014 1073310732 /nfs/dbraw/zinc/31/07/32/1073310732.db2.gz YGUBVTSDOHVLLF-YQQAZPJKSA-N 0 0 441.488 0.101 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@H](CNc2nccn(C)c2=O)C1)[C@H]1COc2ccccc21 ZINC000564287015 1072348178 /nfs/dbraw/zinc/34/81/78/1072348178.db2.gz YGUBVTSDOHVLLF-ZMSDIMECSA-N 0 0 441.488 0.101 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@@H](CNc2nccn(C)c2=O)C1)[C@@H]1COc2ccccc21 ZINC000564287016 1073310705 /nfs/dbraw/zinc/31/07/05/1073310705.db2.gz YGUBVTSDOHVLLF-ZOBUZTSGSA-N 0 0 441.488 0.101 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)C1 ZINC000564287611 1072348164 /nfs/dbraw/zinc/34/81/64/1072348164.db2.gz JYKHRCBZRFQQFN-DOTOQJQBSA-N 0 0 425.530 -0.360 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)C1 ZINC000564287612 1072348386 /nfs/dbraw/zinc/34/83/86/1072348386.db2.gz JYKHRCBZRFQQFN-NVXWUHKLSA-N 0 0 425.530 -0.360 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)C1 ZINC000564287613 1072348030 /nfs/dbraw/zinc/34/80/30/1072348030.db2.gz JYKHRCBZRFQQFN-RDJZCZTQSA-N 0 0 425.530 -0.360 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)C1 ZINC000564287614 1072348368 /nfs/dbraw/zinc/34/83/68/1072348368.db2.gz JYKHRCBZRFQQFN-WBVHZDCISA-N 0 0 425.530 -0.360 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)s1 ZINC000564298661 1072348004 /nfs/dbraw/zinc/34/80/04/1072348004.db2.gz MERXBECPQKJULA-UHFFFAOYSA-N 0 0 433.523 -0.098 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)Cc3ccccc3)C2)CC1 ZINC000564299441 1072348358 /nfs/dbraw/zinc/34/83/58/1072348358.db2.gz VTCRTVMLFNNVGA-KRWDZBQOSA-N 0 0 444.579 -0.163 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)Cc3ccccc3)C2)CC1 ZINC000564299442 1072348776 /nfs/dbraw/zinc/34/87/76/1072348776.db2.gz VTCRTVMLFNNVGA-QGZVFWFLSA-N 0 0 444.579 -0.163 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000564303089 1072348832 /nfs/dbraw/zinc/34/88/32/1072348832.db2.gz CBUNRAKTSPRZAU-UHFFFAOYSA-N 0 0 448.445 -0.624 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)[C@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC000564304062 1072348746 /nfs/dbraw/zinc/34/87/46/1072348746.db2.gz QIXDJOYHQVYCJE-INIZCTEOSA-N 0 0 432.568 -0.259 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)[C@@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC000564304065 1072348762 /nfs/dbraw/zinc/34/87/62/1072348762.db2.gz QIXDJOYHQVYCJE-MRXNPFEDSA-N 0 0 432.568 -0.259 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000564304627 1072348685 /nfs/dbraw/zinc/34/86/85/1072348685.db2.gz LGUGGBMVQLBOKO-UHFFFAOYSA-N 0 0 436.488 -0.918 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000564305945 1071315007 /nfs/dbraw/zinc/31/50/07/1071315007.db2.gz XPTISWJGVYKSQL-OALUTQOASA-N 0 0 445.589 -0.134 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000564305948 1071314948 /nfs/dbraw/zinc/31/49/48/1071314948.db2.gz XPTISWJGVYKSQL-RBUKOAKNSA-N 0 0 445.589 -0.134 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1)CCC2 ZINC000564309156 1072348847 /nfs/dbraw/zinc/34/88/47/1072348847.db2.gz ATZCIIINOXIDSU-KRWDZBQOSA-N 0 0 434.541 -0.308 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1)CCC2 ZINC000564309157 1072348792 /nfs/dbraw/zinc/34/87/92/1072348792.db2.gz ATZCIIINOXIDSU-QGZVFWFLSA-N 0 0 434.541 -0.308 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)C1 ZINC000564310184 1072348612 /nfs/dbraw/zinc/34/86/12/1072348612.db2.gz MKADYMNHVGAQFK-CYBMUJFWSA-N 0 0 434.478 -0.632 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2-n2cc(C)cn2)C1 ZINC000564310188 1072348815 /nfs/dbraw/zinc/34/88/15/1072348815.db2.gz MKADYMNHVGAQFK-ZDUSSCGKSA-N 0 0 434.478 -0.632 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(c3cnn(C)c3)C(=O)C1)CCC2 ZINC000564311000 1072348882 /nfs/dbraw/zinc/34/88/82/1072348882.db2.gz IRRSBAQZSOAAQO-INIZCTEOSA-N 0 0 429.481 -0.368 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(c3cnn(C)c3)C(=O)C1)CCC2 ZINC000564311001 1072348707 /nfs/dbraw/zinc/34/87/07/1072348707.db2.gz IRRSBAQZSOAAQO-MRXNPFEDSA-N 0 0 429.481 -0.368 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCCc2cn(CCOC)nc21 ZINC000564311186 1072348875 /nfs/dbraw/zinc/34/88/75/1072348875.db2.gz NUZVVCYSUGILIY-CABCVRRESA-N 0 0 427.527 -0.437 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCCc2cn(CCOC)nc21 ZINC000564311187 1072348728 /nfs/dbraw/zinc/34/87/28/1072348728.db2.gz NUZVVCYSUGILIY-GJZGRUSLSA-N 0 0 427.527 -0.437 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCc2cn(CCOC)nc21 ZINC000564311188 1072348672 /nfs/dbraw/zinc/34/86/72/1072348672.db2.gz NUZVVCYSUGILIY-HUUCEWRRSA-N 0 0 427.527 -0.437 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCc2cn(CCOC)nc21 ZINC000564311189 1072348596 /nfs/dbraw/zinc/34/85/96/1072348596.db2.gz NUZVVCYSUGILIY-LSDHHAIUSA-N 0 0 427.527 -0.437 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000564312282 1072348642 /nfs/dbraw/zinc/34/86/42/1072348642.db2.gz BHDXLFICIHMFEQ-UHFFFAOYSA-N 0 0 441.492 -0.502 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NC1CCC(C(=O)N2CCSCC2)CC1 ZINC000564313066 1072348578 /nfs/dbraw/zinc/34/85/78/1072348578.db2.gz MKVYTYUFOCQXQX-UHFFFAOYSA-N 0 0 427.571 -0.034 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCCCN2CCOCC2)C1=O ZINC000564313936 1071315746 /nfs/dbraw/zinc/31/57/46/1071315746.db2.gz OCMZMATXAPUVJZ-AWEZNQCLSA-N 0 0 438.506 -0.405 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCCCN2CCOCC2)C1=O ZINC000564313937 1071315706 /nfs/dbraw/zinc/31/57/06/1071315706.db2.gz OCMZMATXAPUVJZ-CQSZACIVSA-N 0 0 438.506 -0.405 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000564314321 1072348857 /nfs/dbraw/zinc/34/88/57/1072348857.db2.gz DGRBSVIMLFEDIL-UHFFFAOYSA-N 0 0 430.513 -0.022 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000564315234 1072348563 /nfs/dbraw/zinc/34/85/63/1072348563.db2.gz KZAASCVDYPWNAL-UHFFFAOYSA-N 0 0 440.386 -0.012 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CCC1 ZINC000564315287 1072348625 /nfs/dbraw/zinc/34/86/25/1072348625.db2.gz NMERMELDOAIRIS-UHFFFAOYSA-N 0 0 435.569 -0.044 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@@H]1CCCC(F)(F)C1)C2 ZINC000564317161 1072349305 /nfs/dbraw/zinc/34/93/05/1072349305.db2.gz GMGLLWOMSVEWKC-GFCCVEGCSA-N 0 0 428.440 -0.583 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@H]1CCCC(F)(F)C1)C2 ZINC000564317165 1072349148 /nfs/dbraw/zinc/34/91/48/1072349148.db2.gz GMGLLWOMSVEWKC-LBPRGKRZSA-N 0 0 428.440 -0.583 20 0 IBADRN O=C(NC[C@H]1CCCC(F)(F)C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000564318174 1072349320 /nfs/dbraw/zinc/34/93/20/1072349320.db2.gz YNKUIHSHULNDBV-AWEZNQCLSA-N 0 0 430.452 -0.542 20 0 IBADRN O=C(NC[C@@H]1CCCC(F)(F)C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000564318177 1072349378 /nfs/dbraw/zinc/34/93/78/1072349378.db2.gz YNKUIHSHULNDBV-CQSZACIVSA-N 0 0 430.452 -0.542 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000564318665 1072349165 /nfs/dbraw/zinc/34/91/65/1072349165.db2.gz CPFWLUXYAGRPAD-INIZCTEOSA-N 0 0 432.477 -0.351 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000564318666 1072349094 /nfs/dbraw/zinc/34/90/94/1072349094.db2.gz CPFWLUXYAGRPAD-MRXNPFEDSA-N 0 0 432.477 -0.351 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)Nc3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000564326666 1072962948 /nfs/dbraw/zinc/96/29/48/1072962948.db2.gz WYAIWEBGERYDCE-UHFFFAOYSA-N 0 0 435.506 -0.663 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O)C1=O ZINC000564330801 1072349189 /nfs/dbraw/zinc/34/91/89/1072349189.db2.gz OVLKXQCNYHXOCN-IHRRRGAJSA-N 0 0 427.527 -0.205 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)C1=O ZINC000564330802 1072349262 /nfs/dbraw/zinc/34/92/62/1072349262.db2.gz OVLKXQCNYHXOCN-MCIONIFRSA-N 0 0 427.527 -0.205 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O)C1=O ZINC000564330803 1072965006 /nfs/dbraw/zinc/96/50/06/1072965006.db2.gz OVLKXQCNYHXOCN-MJBXVCDLSA-N 0 0 427.527 -0.205 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O)C1=O ZINC000564330804 1072965066 /nfs/dbraw/zinc/96/50/66/1072965066.db2.gz OVLKXQCNYHXOCN-RDBSUJKOSA-N 0 0 427.527 -0.205 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2C[C@@H](C)O[C@@]3(CCOC3)C2)(N2CCOCC2)C1 ZINC000564332221 1072349207 /nfs/dbraw/zinc/34/92/07/1072349207.db2.gz CKUAMXYIUJQLDJ-CCQWQLPESA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2C[C@H](C)O[C@]3(CCOC3)C2)(N2CCOCC2)C1 ZINC000564332222 1072349175 /nfs/dbraw/zinc/34/91/75/1072349175.db2.gz CKUAMXYIUJQLDJ-DPSCBSAHSA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2C[C@@H](C)O[C@]3(CCOC3)C2)(N2CCOCC2)C1 ZINC000564332223 1072349272 /nfs/dbraw/zinc/34/92/72/1072349272.db2.gz CKUAMXYIUJQLDJ-KQRDDFEUSA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCOC3)C2)(N2CCOCC2)C1 ZINC000564332224 1072349133 /nfs/dbraw/zinc/34/91/33/1072349133.db2.gz CKUAMXYIUJQLDJ-XFFDBYKISA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)(N2CCOCC2)C1 ZINC000564332916 1072349285 /nfs/dbraw/zinc/34/92/85/1072349285.db2.gz JFQXEGNUDUMVNL-UHFFFAOYSA-N 0 0 448.520 -0.050 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)C1=O ZINC000564333403 1072967397 /nfs/dbraw/zinc/96/73/97/1072967397.db2.gz GLZPUHSNGAPHIL-KRWDZBQOSA-N 0 0 442.524 -0.408 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)C1=O ZINC000564333408 1072967342 /nfs/dbraw/zinc/96/73/42/1072967342.db2.gz GLZPUHSNGAPHIL-QGZVFWFLSA-N 0 0 442.524 -0.408 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)(N2CCOCC2)C1 ZINC000564333696 1072349108 /nfs/dbraw/zinc/34/91/08/1072349108.db2.gz LIWDONOSRASXQB-FLPIEVNYSA-N 0 0 438.569 -0.304 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)(N2CCOCC2)C1 ZINC000564333699 1072349122 /nfs/dbraw/zinc/34/91/22/1072349122.db2.gz LIWDONOSRASXQB-PGFLUOATSA-N 0 0 438.569 -0.304 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H]2[C@@H]2COCC[C@H]2O)C1=O ZINC000564336079 1072349334 /nfs/dbraw/zinc/34/93/34/1072349334.db2.gz VSNHGWIQCWHGTD-MWDXBVQZSA-N 0 0 433.509 -0.097 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H]2[C@@H]2COCC[C@@H]2O)C1=O ZINC000564336082 1072349352 /nfs/dbraw/zinc/34/93/52/1072349352.db2.gz VSNHGWIQCWHGTD-NXOAAHMSSA-N 0 0 433.509 -0.097 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H]2[C@H]2COCC[C@@H]2O)C1=O ZINC000564336084 1072349365 /nfs/dbraw/zinc/34/93/65/1072349365.db2.gz VSNHGWIQCWHGTD-TWMKSMIVSA-N 0 0 433.509 -0.097 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H]2[C@H]2COCC[C@H]2O)C1=O ZINC000564336087 1072349390 /nfs/dbraw/zinc/34/93/90/1072349390.db2.gz VSNHGWIQCWHGTD-YYIAUSFCSA-N 0 0 433.509 -0.097 20 0 IBADRN CS(=O)(=O)N1CCN(c2cc(C3CC3)nc(N3CCN(S(C)(=O)=O)CC3)n2)CC1 ZINC000564342060 1072349729 /nfs/dbraw/zinc/34/97/29/1072349729.db2.gz HZFBYQBUQZWQRW-UHFFFAOYSA-N 0 0 444.583 -0.483 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000564343720 1072350030 /nfs/dbraw/zinc/35/00/30/1072350030.db2.gz XKYCLEFOQHJKRJ-AWEZNQCLSA-N 0 0 445.505 -0.203 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000564343725 1072974248 /nfs/dbraw/zinc/97/42/48/1072974248.db2.gz XKYCLEFOQHJKRJ-CQSZACIVSA-N 0 0 445.505 -0.203 20 0 IBADRN CN(C[C@@H](O)COCc1ccccc1)C(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1 ZINC000564346218 1072977452 /nfs/dbraw/zinc/97/74/52/1072977452.db2.gz DTUXCBZXCFUYFQ-GGPKGHCWSA-N 0 0 443.504 -0.108 20 0 IBADRN CN(C[C@H](O)COCc1ccccc1)C(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1 ZINC000564346225 1072977342 /nfs/dbraw/zinc/97/73/42/1072977342.db2.gz DTUXCBZXCFUYFQ-NSHGMRRFSA-N 0 0 443.504 -0.108 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C(=O)c3ccccc3)CC2)cn1 ZINC000564348450 1072349831 /nfs/dbraw/zinc/34/98/31/1072349831.db2.gz KPXVWXZHDAFLIH-MJGOQNOKSA-N 0 0 438.488 -0.159 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000564354742 1072349952 /nfs/dbraw/zinc/34/99/52/1072349952.db2.gz FCHOJBYKXAFRGI-FGTMMUONSA-N 0 0 437.541 -0.314 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000564354747 1072349756 /nfs/dbraw/zinc/34/97/56/1072349756.db2.gz FCHOJBYKXAFRGI-KZNAEPCWSA-N 0 0 437.541 -0.314 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000564354748 1072349842 /nfs/dbraw/zinc/34/98/42/1072349842.db2.gz FCHOJBYKXAFRGI-OKZBNKHCSA-N 0 0 437.541 -0.314 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000564354749 1072349784 /nfs/dbraw/zinc/34/97/84/1072349784.db2.gz FCHOJBYKXAFRGI-RCCFBDPRSA-N 0 0 437.541 -0.314 20 0 IBADRN O=S(=O)(NCCCn1cc(CO)nn1)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000564355949 1072350008 /nfs/dbraw/zinc/35/00/08/1072350008.db2.gz JFEZRASBAQMLGO-UHFFFAOYSA-N 0 0 429.524 -0.077 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)C2)n(C)n1 ZINC000564356197 1072986869 /nfs/dbraw/zinc/98/68/69/1072986869.db2.gz KKSNWPYUHUOXHN-INIZCTEOSA-N 0 0 442.524 -0.744 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)C2)n(C)n1 ZINC000564356203 1072987079 /nfs/dbraw/zinc/98/70/79/1072987079.db2.gz KKSNWPYUHUOXHN-MRXNPFEDSA-N 0 0 442.524 -0.744 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)C1 ZINC000564359515 1072988251 /nfs/dbraw/zinc/98/82/51/1072988251.db2.gz ZVJUPCCXIZISSD-CYBMUJFWSA-N 0 0 437.565 -0.435 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)CCN1c1nccn2cnnc12 ZINC000564365795 1072993382 /nfs/dbraw/zinc/99/33/82/1072993382.db2.gz ABMMGBONOKNCEF-CYBMUJFWSA-N 0 0 426.485 -0.176 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)CCN1c1nccn2cnnc12 ZINC000564365838 1072350295 /nfs/dbraw/zinc/35/02/95/1072350295.db2.gz ABMMGBONOKNCEF-ZDUSSCGKSA-N 0 0 426.485 -0.176 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(Cc3cc(C)on3)CC2)cn1 ZINC000564368732 1072350388 /nfs/dbraw/zinc/35/03/88/1072350388.db2.gz KTNJZGWBHNGZKG-MJGOQNOKSA-N 0 0 443.508 -0.020 20 0 IBADRN O=C(NCCc1cnc(C2CC2)nc1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000564370668 1072996856 /nfs/dbraw/zinc/99/68/56/1072996856.db2.gz LLIPBDUPNSXEPE-UHFFFAOYSA-N 0 0 444.517 -0.059 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)[C@@H]1CCCO1 ZINC000564371662 1072997329 /nfs/dbraw/zinc/99/73/29/1072997329.db2.gz RXUPVMXOXHQMLQ-CABCVRRESA-N 0 0 427.527 -0.365 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)[C@@H]1CCCO1 ZINC000564371666 1072997351 /nfs/dbraw/zinc/99/73/51/1072997351.db2.gz RXUPVMXOXHQMLQ-GJZGRUSLSA-N 0 0 427.527 -0.365 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)[C@H]1CCCO1 ZINC000564371672 1072350482 /nfs/dbraw/zinc/35/04/82/1072350482.db2.gz RXUPVMXOXHQMLQ-HUUCEWRRSA-N 0 0 427.527 -0.365 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)[C@H]1CCCO1 ZINC000564371700 1072350262 /nfs/dbraw/zinc/35/02/62/1072350262.db2.gz RXUPVMXOXHQMLQ-LSDHHAIUSA-N 0 0 427.527 -0.365 20 0 IBADRN Cc1c(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cccc1N1C(=O)N[C@H](C)C1=O ZINC000564374322 1072350423 /nfs/dbraw/zinc/35/04/23/1072350423.db2.gz ZPVYYVVDRSBXSG-LLVKDONJSA-N 0 0 439.432 -0.174 20 0 IBADRN Cc1c(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cccc1N1C(=O)N[C@@H](C)C1=O ZINC000564374358 1072350372 /nfs/dbraw/zinc/35/03/72/1072350372.db2.gz ZPVYYVVDRSBXSG-NSHDSACASA-N 0 0 439.432 -0.174 20 0 IBADRN CNC(=O)CCN(C)S(=O)(=O)c1ccc(S(=O)(=O)N(C)CCC(=O)NC)cc1 ZINC000564375980 1072350467 /nfs/dbraw/zinc/35/04/67/1072350467.db2.gz CLIBTHHBXZFBMG-UHFFFAOYSA-N 0 0 434.540 -0.800 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000564376447 1073005086 /nfs/dbraw/zinc/00/50/86/1073005086.db2.gz FDTKZUMTNOHPQR-UHFFFAOYSA-N 0 0 437.565 -0.481 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(S(=O)(=O)CCCS(=O)(=O)N(C)C)C2)cc1 ZINC000564377603 1073007328 /nfs/dbraw/zinc/00/73/28/1073007328.db2.gz GXZLWQWDZFYUSZ-UHFFFAOYSA-N 0 0 439.581 -0.431 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000564379377 1073009188 /nfs/dbraw/zinc/00/91/88/1073009188.db2.gz LTOVWXOFTZZLAG-HNNXBMFYSA-N 0 0 446.551 -0.065 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000564379387 1073008575 /nfs/dbraw/zinc/00/85/75/1073008575.db2.gz LTOVWXOFTZZLAG-OAHLLOKOSA-N 0 0 446.551 -0.065 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CCC1 ZINC000564384552 1072350248 /nfs/dbraw/zinc/35/02/48/1072350248.db2.gz QEGRTSAXRDKKOE-GDLZYMKVSA-N 0 0 426.583 -0.049 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CCC1 ZINC000564384553 1073010298 /nfs/dbraw/zinc/01/02/98/1073010298.db2.gz QEGRTSAXRDKKOE-LJAQVGFWSA-N 0 0 426.583 -0.049 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CCC3)C2)no1 ZINC000564384740 1072350277 /nfs/dbraw/zinc/35/02/77/1072350277.db2.gz WPJVFTJCNPSFDO-ILHIWHGASA-N 0 0 439.538 -0.001 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCC3([S@](C)=O)CCC3)C2)no1 ZINC000564384741 1072350337 /nfs/dbraw/zinc/35/03/37/1072350337.db2.gz WPJVFTJCNPSFDO-KJEZJMDVSA-N 0 0 439.538 -0.001 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CCC3)C2)no1 ZINC000564384742 1072350352 /nfs/dbraw/zinc/35/03/52/1072350352.db2.gz WPJVFTJCNPSFDO-NGFNCXNUSA-N 0 0 439.538 -0.001 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCC3([S@](C)=O)CCC3)C2)no1 ZINC000564384743 1072350456 /nfs/dbraw/zinc/35/04/56/1072350456.db2.gz WPJVFTJCNPSFDO-WYIRRWHOSA-N 0 0 439.538 -0.001 20 0 IBADRN COCCc1nc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000564385920 1072350444 /nfs/dbraw/zinc/35/04/44/1072350444.db2.gz ZKPQVTUTNSIODN-UHFFFAOYSA-N 0 0 445.523 -0.588 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)s1 ZINC000564388233 1072350790 /nfs/dbraw/zinc/35/07/90/1072350790.db2.gz WIRVNNXYISNPEM-MUUNZHRXSA-N 0 0 428.536 -0.004 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)s1 ZINC000564388238 1073011279 /nfs/dbraw/zinc/01/12/79/1073011279.db2.gz WIRVNNXYISNPEM-NDEPHWFRSA-N 0 0 428.536 -0.004 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CC[C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)O1 ZINC000564390513 1072351005 /nfs/dbraw/zinc/35/10/05/1072351005.db2.gz PSAICTKYVPOISZ-LSDHHAIUSA-N 0 0 425.482 -0.595 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC(C)(C)CCO)cc1S(=O)(=O)N1CCOCC1 ZINC000564402231 1072350941 /nfs/dbraw/zinc/35/09/41/1072350941.db2.gz SAOPBGQQSKKMQM-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)NCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C ZINC000564402547 1072350853 /nfs/dbraw/zinc/35/08/53/1072350853.db2.gz CBZHBPVMERSGNF-UHFFFAOYSA-N 0 0 449.537 -0.444 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000564403092 1072350890 /nfs/dbraw/zinc/35/08/90/1072350890.db2.gz IMALCQFHJWNEFE-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000564403386 1071009418 /nfs/dbraw/zinc/00/94/18/1071009418.db2.gz MVOZOIJJGQPVDQ-UHFFFAOYSA-N 0 0 439.581 -0.099 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000564403833 1072350992 /nfs/dbraw/zinc/35/09/92/1072350992.db2.gz QKGDMPWDLTZPDK-UHFFFAOYSA-N 0 0 448.567 -0.434 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)C2)cc1 ZINC000564404095 1072350839 /nfs/dbraw/zinc/35/08/39/1072350839.db2.gz VORBGGTVBCQNMJ-UHFFFAOYSA-N 0 0 445.544 -0.006 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000564406194 1071082154 /nfs/dbraw/zinc/08/21/54/1071082154.db2.gz WPZZKPSBUINSCR-UHFFFAOYSA-N 0 0 436.534 -0.125 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000564407431 1071099369 /nfs/dbraw/zinc/09/93/69/1071099369.db2.gz ZIEZZIRILLLLPP-UHFFFAOYSA-N 0 0 447.535 -0.715 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNS(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)nn1 ZINC000564408851 1071129001 /nfs/dbraw/zinc/12/90/01/1071129001.db2.gz BVDUWOMTUSUJSW-HUUCEWRRSA-N 0 0 428.492 -0.327 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000564416901 1072350864 /nfs/dbraw/zinc/35/08/64/1072350864.db2.gz KJOYHENWDXWCMT-UHFFFAOYSA-N 0 0 430.461 -0.001 20 0 IBADRN COC(=O)CCCc1nnc(NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)s1 ZINC000564422003 1073323532 /nfs/dbraw/zinc/32/35/32/1073323532.db2.gz UOZVXFQFCJTHHD-UHFFFAOYSA-N 0 0 434.544 0.380 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000564422073 1072350904 /nfs/dbraw/zinc/35/09/04/1072350904.db2.gz VEVWQDLHEMXXQZ-ATZDWAIDSA-N 0 0 447.488 -0.050 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000564422075 1072351014 /nfs/dbraw/zinc/35/10/14/1072351014.db2.gz VEVWQDLHEMXXQZ-AYOQOUSVSA-N 0 0 447.488 -0.050 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000564422077 1072350876 /nfs/dbraw/zinc/35/08/76/1072350876.db2.gz VEVWQDLHEMXXQZ-KFKAGJAMSA-N 0 0 447.488 -0.050 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000564422079 1072350913 /nfs/dbraw/zinc/35/09/13/1072350913.db2.gz VEVWQDLHEMXXQZ-ZYSHUDEJSA-N 0 0 447.488 -0.050 20 0 IBADRN Cc1cc(C)n(C[C@H]2CN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CCO2)n1 ZINC000564437101 1072350957 /nfs/dbraw/zinc/35/09/57/1072350957.db2.gz WPJKYCRGZPZGJX-LMMKCTJWSA-N 0 0 443.508 -0.403 20 0 IBADRN Cc1cc(C)n(C[C@@H]2CN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CCO2)n1 ZINC000564437102 1072351058 /nfs/dbraw/zinc/35/10/58/1072351058.db2.gz WPJKYCRGZPZGJX-SCTDSRPQSA-N 0 0 443.508 -0.403 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000564440815 1072350763 /nfs/dbraw/zinc/35/07/63/1072350763.db2.gz CQZORQPMTBMGPY-UHFFFAOYSA-N 0 0 431.518 -0.180 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000564448680 1072351514 /nfs/dbraw/zinc/35/15/14/1072351514.db2.gz SJQLQNAXKZODEA-AWEZNQCLSA-N 0 0 431.536 -0.657 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000564448686 1072351397 /nfs/dbraw/zinc/35/13/97/1072351397.db2.gz SJQLQNAXKZODEA-CQSZACIVSA-N 0 0 431.536 -0.657 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCN(CCn3cncn3)CC2)c1 ZINC000564451281 1070975136 /nfs/dbraw/zinc/97/51/36/1070975136.db2.gz YYURAKAPQSUVJY-UHFFFAOYSA-N 0 0 434.522 -0.007 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1 ZINC000564454424 1072351528 /nfs/dbraw/zinc/35/15/28/1072351528.db2.gz OGLVPHJBCZVCPW-UHFFFAOYSA-N 0 0 439.494 -0.286 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000564455117 1072351423 /nfs/dbraw/zinc/35/14/23/1072351423.db2.gz RXGNAYMYGQUYDE-UHFFFAOYSA-N 0 0 448.448 -0.138 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1C ZINC000564459808 1072351361 /nfs/dbraw/zinc/35/13/61/1072351361.db2.gz SNAHDVXREVOPMI-UHFFFAOYSA-N 0 0 434.424 -0.191 20 0 IBADRN O=C(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)N1CCc2ccccc21 ZINC000564461332 1072351583 /nfs/dbraw/zinc/35/15/83/1072351583.db2.gz BIRZHUNFBPVUDG-AEVYOOLXSA-N 0 0 426.433 -0.561 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000564463397 1072351332 /nfs/dbraw/zinc/35/13/32/1072351332.db2.gz QMHANQFAYNMGNH-JTQLQIEISA-N 0 0 434.421 -0.482 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000564463408 1072351316 /nfs/dbraw/zinc/35/13/16/1072351316.db2.gz QMHANQFAYNMGNH-SNVBAGLBSA-N 0 0 434.421 -0.482 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000564464139 1072351372 /nfs/dbraw/zinc/35/13/72/1072351372.db2.gz WARJWJSRDYGPRR-UHFFFAOYSA-N 0 0 441.435 -0.352 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)C(=O)Nc1cc(C2CC2)n(C)n1 ZINC000564464153 1073310306 /nfs/dbraw/zinc/31/03/06/1073310306.db2.gz UJSKEKQSQQDKAJ-UHFFFAOYSA-N 0 0 435.506 0.165 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000564466743 1072351480 /nfs/dbraw/zinc/35/14/80/1072351480.db2.gz MCIZMXUWSNKUAG-UHFFFAOYSA-N 0 0 435.506 0.335 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000564467723 1072351451 /nfs/dbraw/zinc/35/14/51/1072351451.db2.gz BTKHHWGTLIYCGM-UHFFFAOYSA-N 0 0 441.897 0.312 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2F)CC1 ZINC000564468471 1072351303 /nfs/dbraw/zinc/35/13/03/1072351303.db2.gz NQBMQEYAARWYGH-HNNXBMFYSA-N 0 0 442.513 -0.505 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2F)CC1 ZINC000564468477 1072351466 /nfs/dbraw/zinc/35/14/66/1072351466.db2.gz NQBMQEYAARWYGH-OAHLLOKOSA-N 0 0 442.513 -0.505 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000564472930 1072351438 /nfs/dbraw/zinc/35/14/38/1072351438.db2.gz QNWUTVXNDNUABE-AWEZNQCLSA-N 0 0 436.494 -0.880 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000564472934 1072351552 /nfs/dbraw/zinc/35/15/52/1072351552.db2.gz QNWUTVXNDNUABE-CQSZACIVSA-N 0 0 436.494 -0.880 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)(N2CCOCC2)C1 ZINC000564473845 1072351539 /nfs/dbraw/zinc/35/15/39/1072351539.db2.gz AVSJPPSOVXPRPJ-LYGNXSNRSA-N 0 0 446.552 -0.052 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)(N2CCOCC2)C1 ZINC000564473847 1072351346 /nfs/dbraw/zinc/35/13/46/1072351346.db2.gz AVSJPPSOVXPRPJ-PDDLGQBUSA-N 0 0 446.552 -0.052 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@](O)(C(N)=O)C2)c1 ZINC000564476066 1071327833 /nfs/dbraw/zinc/32/78/33/1071327833.db2.gz LKCRNLKZUXQTPE-IBGZPJMESA-N 0 0 440.522 -0.116 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@](O)(C(N)=O)C2)c1 ZINC000564476067 1071328650 /nfs/dbraw/zinc/32/86/50/1071328650.db2.gz LKCRNLKZUXQTPE-LJQANCHMSA-N 0 0 440.522 -0.116 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000564476420 1072352076 /nfs/dbraw/zinc/35/20/76/1072352076.db2.gz SAIIPGFDLAREFZ-UHFFFAOYSA-N 0 0 435.453 -0.133 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@]2(CO)C[C@H]2c2ccccc2)n1)N1CCOCC1 ZINC000564476782 1072352084 /nfs/dbraw/zinc/35/20/84/1072352084.db2.gz PBVUHHBXNMCTKW-HTAPYJJXSA-N 0 0 441.488 -0.037 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@]2(CO)C[C@H]2c2ccccc2)n1)N1CCOCC1 ZINC000564476784 1072351916 /nfs/dbraw/zinc/35/19/16/1072351916.db2.gz PBVUHHBXNMCTKW-JTSKRJEESA-N 0 0 441.488 -0.037 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@]2(CO)C[C@@H]2c2ccccc2)n1)N1CCOCC1 ZINC000564476785 1072352047 /nfs/dbraw/zinc/35/20/47/1072352047.db2.gz PBVUHHBXNMCTKW-VGOFRKELSA-N 0 0 441.488 -0.037 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@]2(CO)C[C@@H]2c2ccccc2)n1)N1CCOCC1 ZINC000564476786 1073322451 /nfs/dbraw/zinc/32/24/51/1073322451.db2.gz PBVUHHBXNMCTKW-VGSWGCGISA-N 0 0 441.488 -0.037 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)Nc1ccn(CC(=O)N(C)C)n1)CC2 ZINC000564477093 1073338019 /nfs/dbraw/zinc/33/80/19/1073338019.db2.gz ZKFMPOGSRMCMSX-UHFFFAOYSA-N 0 0 448.505 -0.203 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3cc(C4CC4)n(C)n3)CC2)c(C(N)=O)c1C ZINC000564479198 1073352344 /nfs/dbraw/zinc/35/23/44/1073352344.db2.gz ZLSKOPMLCWFYOM-UHFFFAOYSA-N 0 0 426.481 0.091 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c(F)c1 ZINC000564482030 1073328153 /nfs/dbraw/zinc/32/81/53/1073328153.db2.gz LXZUARGHYRRIML-UHFFFAOYSA-N 0 0 433.396 0.245 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)Nc2cccc(N3CCCC3=O)c2)n1)N1CCOCC1 ZINC000564482417 1072352005 /nfs/dbraw/zinc/35/20/05/1072352005.db2.gz OLXIWYSWPYTVKC-UHFFFAOYSA-N 0 0 440.460 0.446 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)C1 ZINC000564483484 1072351843 /nfs/dbraw/zinc/35/18/43/1072351843.db2.gz JZUFIODPIJUJDR-GFCCVEGCSA-N 0 0 434.492 -0.038 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)C1 ZINC000564483496 1072351884 /nfs/dbraw/zinc/35/18/84/1072351884.db2.gz JZUFIODPIJUJDR-LBPRGKRZSA-N 0 0 434.492 -0.038 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000564483915 1071082267 /nfs/dbraw/zinc/08/22/67/1071082267.db2.gz SBHVAIAWRPOHMT-VIFPVBQESA-N 0 0 425.385 -0.222 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c(OCC(F)F)c1 ZINC000564484699 1072351902 /nfs/dbraw/zinc/35/19/02/1072351902.db2.gz SWGXPALLDIUTIX-GFCCVEGCSA-N 0 0 428.392 -0.533 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c(OCC(F)F)c1 ZINC000564484700 1072351830 /nfs/dbraw/zinc/35/18/30/1072351830.db2.gz SWGXPALLDIUTIX-LBPRGKRZSA-N 0 0 428.392 -0.533 20 0 IBADRN CSCc1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000564486097 1072351938 /nfs/dbraw/zinc/35/19/38/1072351938.db2.gz FHHDQESHDMEEKM-UHFFFAOYSA-N 0 0 448.545 -0.305 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1-n1cnnn1 ZINC000564486970 1072351891 /nfs/dbraw/zinc/35/18/91/1072351891.db2.gz JRIOURGTHJSNQL-UHFFFAOYSA-N 0 0 436.498 -0.750 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000564487644 1071094284 /nfs/dbraw/zinc/09/42/84/1071094284.db2.gz JZTJQHBIQAAXNT-UHFFFAOYSA-N 0 0 442.494 -0.482 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(Cn3cncn3)cc2)CC1 ZINC000564487900 1071094920 /nfs/dbraw/zinc/09/49/20/1071094920.db2.gz LANYZKMGSHFVLC-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)CC2)nc(C)n1 ZINC000564488370 1072352056 /nfs/dbraw/zinc/35/20/56/1072352056.db2.gz RQVNUNQWECFCIV-UHFFFAOYSA-N 0 0 431.541 -0.413 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)C(=O)Nc3cccc(OCC(N)=O)c3)C2)cc1 ZINC000564490387 1072352563 /nfs/dbraw/zinc/35/25/63/1072352563.db2.gz ZNUVXOOUPMNQKJ-UHFFFAOYSA-N 0 0 446.485 -0.013 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)n1 ZINC000564490935 1072352453 /nfs/dbraw/zinc/35/24/53/1072352453.db2.gz BYMGNXMWVDKKHF-AWEZNQCLSA-N 0 0 446.512 -0.016 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)n1 ZINC000564490942 1072352482 /nfs/dbraw/zinc/35/24/82/1072352482.db2.gz BYMGNXMWVDKKHF-CQSZACIVSA-N 0 0 446.512 -0.016 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2nc3ccccc3n2C2CC2)CC1 ZINC000564492482 1072352466 /nfs/dbraw/zinc/35/24/66/1072352466.db2.gz MYHQUWGUZNMANX-UHFFFAOYSA-N 0 0 437.464 -0.655 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000564493149 1072352409 /nfs/dbraw/zinc/35/24/09/1072352409.db2.gz XMUPBZNRINHQTQ-UHFFFAOYSA-N 0 0 429.499 -0.326 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)CC2)ncn1 ZINC000564493264 1072352579 /nfs/dbraw/zinc/35/25/79/1072352579.db2.gz ZSGALKMBVVKARD-GFCCVEGCSA-N 0 0 444.414 -0.197 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)CC2)ncn1 ZINC000564493265 1072352435 /nfs/dbraw/zinc/35/24/35/1072352435.db2.gz ZSGALKMBVVKARD-LBPRGKRZSA-N 0 0 444.414 -0.197 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC000564493812 1072352550 /nfs/dbraw/zinc/35/25/50/1072352550.db2.gz BZERILMGIGAFMU-HNNXBMFYSA-N 0 0 434.541 -0.238 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000564493842 1072352598 /nfs/dbraw/zinc/35/25/98/1072352598.db2.gz BMAXKADTZKSZBA-UHFFFAOYSA-N 0 0 448.567 -0.043 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC000564493843 1072352507 /nfs/dbraw/zinc/35/25/07/1072352507.db2.gz BZERILMGIGAFMU-OAHLLOKOSA-N 0 0 434.541 -0.238 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000564497516 1072352359 /nfs/dbraw/zinc/35/23/59/1072352359.db2.gz NOPOEMUGMNPRRI-UHFFFAOYSA-N 0 0 446.489 -0.510 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cccc1C(=O)N1CCOCC1 ZINC000564498520 1072352496 /nfs/dbraw/zinc/35/24/96/1072352496.db2.gz QTPNGZVACPEKQA-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1 ZINC000564500919 1072353001 /nfs/dbraw/zinc/35/30/01/1072353001.db2.gz WQDMDEQIEQGMRC-UHFFFAOYSA-N 0 0 442.563 -0.249 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2F)CC1 ZINC000564501896 1072353017 /nfs/dbraw/zinc/35/30/17/1072353017.db2.gz AYPACHCTPSQIHE-UHFFFAOYSA-N 0 0 437.515 -0.386 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc2)C[C@H](C)O1 ZINC000564504049 1072352920 /nfs/dbraw/zinc/35/29/20/1072352920.db2.gz INGZZTACQXAQGT-KBPBESRZSA-N 0 0 440.522 -0.118 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc2)C[C@H](C)O1 ZINC000564504050 1072352990 /nfs/dbraw/zinc/35/29/90/1072352990.db2.gz INGZZTACQXAQGT-OKILXGFUSA-N 0 0 440.522 -0.118 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc2)C[C@@H](C)O1 ZINC000564504054 1072352867 /nfs/dbraw/zinc/35/28/67/1072352867.db2.gz INGZZTACQXAQGT-ZIAGYGMSSA-N 0 0 440.522 -0.118 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000564505055 1072352773 /nfs/dbraw/zinc/35/27/73/1072352773.db2.gz LWJPBMYDCSMQIZ-UHFFFAOYSA-N 0 0 448.567 -0.030 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000564510823 1072352899 /nfs/dbraw/zinc/35/28/99/1072352899.db2.gz PTJFHVOPCNNARM-LLVKDONJSA-N 0 0 434.482 -0.255 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000564510830 1072352806 /nfs/dbraw/zinc/35/28/06/1072352806.db2.gz PTJFHVOPCNNARM-NSHDSACASA-N 0 0 434.482 -0.255 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1cccnc1 ZINC000564512348 1072352832 /nfs/dbraw/zinc/35/28/32/1072352832.db2.gz BKRZETRKXYOLKB-INIZCTEOSA-N 0 0 433.490 -0.921 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1cccnc1 ZINC000564512370 1072352960 /nfs/dbraw/zinc/35/29/60/1072352960.db2.gz BKRZETRKXYOLKB-MRXNPFEDSA-N 0 0 433.490 -0.921 20 0 IBADRN COc1cc2c(cc1CN1CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC1)OCO2 ZINC000564512658 1072352976 /nfs/dbraw/zinc/35/29/76/1072352976.db2.gz CMDBZNQUDJJTBQ-HNNXBMFYSA-N 0 0 425.507 -0.155 20 0 IBADRN COc1cc2c(cc1CN1CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC1)OCO2 ZINC000564512675 1071157482 /nfs/dbraw/zinc/15/74/82/1071157482.db2.gz CMDBZNQUDJJTBQ-OAHLLOKOSA-N 0 0 425.507 -0.155 20 0 IBADRN CCN(Cc1nnc(C)o1)[C@H]1CN(C(=O)CNS(=O)(=O)c2c(C)noc2C)C[C@H]1O ZINC000564524228 1071200001 /nfs/dbraw/zinc/20/00/01/1071200001.db2.gz FGRKUCIBXDTGIQ-UONOGXRCSA-N 0 0 442.498 -0.645 20 0 IBADRN CCN(Cc1nnc(C)o1)[C@@H]1CN(C(=O)CNS(=O)(=O)c2c(C)noc2C)C[C@H]1O ZINC000564524232 1071199975 /nfs/dbraw/zinc/19/99/75/1071199975.db2.gz FGRKUCIBXDTGIQ-ZIAGYGMSSA-N 0 0 442.498 -0.645 20 0 IBADRN CCOC1CC(CNC(=O)CNS(=O)(=O)c2c(C)noc2C)(N2CCOCC2)C1 ZINC000564526650 1071202346 /nfs/dbraw/zinc/20/23/46/1071202346.db2.gz AYKGHDQNBIRQJE-UHFFFAOYSA-N 0 0 430.527 -0.044 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cc1OC ZINC000564528299 1072352946 /nfs/dbraw/zinc/35/29/46/1072352946.db2.gz GSLNEMFWVPXVLN-HOCLYGCPSA-N 0 0 438.506 -0.050 20 0 IBADRN CC(C)[C@@H](NC(=O)CNS(=O)(=O)c1cccnc1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000564530196 1072352880 /nfs/dbraw/zinc/35/28/80/1072352880.db2.gz WNCOPDDCRHQSGS-GOSISDBHSA-N 0 0 449.537 -0.303 20 0 IBADRN CC(C)[C@H](NC(=O)CNS(=O)(=O)c1cccnc1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000564530198 1072352933 /nfs/dbraw/zinc/35/29/33/1072352933.db2.gz WNCOPDDCRHQSGS-SFHVURJKSA-N 0 0 449.537 -0.303 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)c2C1 ZINC000564535971 1072353522 /nfs/dbraw/zinc/35/35/22/1072353522.db2.gz AADGRYZTGPMALD-CVEARBPZSA-N 0 0 440.570 -0.028 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)c2C1 ZINC000564535982 1072353509 /nfs/dbraw/zinc/35/35/09/1072353509.db2.gz AADGRYZTGPMALD-HOTGVXAUSA-N 0 0 440.570 -0.028 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)c2C1 ZINC000564535983 1072353354 /nfs/dbraw/zinc/35/33/54/1072353354.db2.gz AADGRYZTGPMALD-HZPDHXFCSA-N 0 0 440.570 -0.028 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)c2C1 ZINC000564535984 1072353314 /nfs/dbraw/zinc/35/33/14/1072353314.db2.gz AADGRYZTGPMALD-JKSUJKDBSA-N 0 0 440.570 -0.028 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3C)nc2n(CC)c1=O ZINC000564537433 1072353303 /nfs/dbraw/zinc/35/33/03/1072353303.db2.gz VOCOKBYRMHQNNP-QWHCGFSZSA-N 0 0 437.522 0.506 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000564540841 1072353563 /nfs/dbraw/zinc/35/35/63/1072353563.db2.gz KXRRWOKUHIGWRV-INIZCTEOSA-N 0 0 446.551 -0.194 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000564540851 1072353481 /nfs/dbraw/zinc/35/34/81/1072353481.db2.gz KXRRWOKUHIGWRV-MRXNPFEDSA-N 0 0 446.551 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCCn3cc(CO)nn3)CC2)cc1 ZINC000564542058 1072353382 /nfs/dbraw/zinc/35/33/82/1072353382.db2.gz MHGVRNFLZLASRY-UHFFFAOYSA-N 0 0 436.538 -0.408 20 0 IBADRN COc1ccc(CNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cc1S(N)(=O)=O ZINC000564545549 1072353531 /nfs/dbraw/zinc/35/35/31/1072353531.db2.gz IYQXVUSVMKYYRW-UHFFFAOYSA-N 0 0 445.523 -0.631 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1 ZINC000564546224 1072353495 /nfs/dbraw/zinc/35/34/95/1072353495.db2.gz UKINFUIENWEENW-XJKSGUPXSA-N 0 0 445.563 -0.004 20 0 IBADRN Cc1nc2ccccc2n1CCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000564548828 1072353446 /nfs/dbraw/zinc/35/34/46/1072353446.db2.gz VWUXNRBVRYOIAB-UHFFFAOYSA-N 0 0 439.563 -0.044 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCc2ccc(S(=O)(=O)N(C)C)cc21 ZINC000564555221 1072353466 /nfs/dbraw/zinc/35/34/66/1072353466.db2.gz VDJQMXLDRPNZTO-UHFFFAOYSA-N 0 0 439.581 -0.089 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000564559814 1072353367 /nfs/dbraw/zinc/35/33/67/1072353367.db2.gz YZSFZXCZKKILPJ-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CNC(=O)c1ccn([C@@H]2CCCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2)n1 ZINC000564594760 1072353914 /nfs/dbraw/zinc/35/39/14/1072353914.db2.gz MLAWHCLRICBFKT-CYBMUJFWSA-N 0 0 427.465 -0.542 20 0 IBADRN CNC(=O)c1ccn([C@H]2CCCN(C(=O)Cn3ccc4c3n(C)c(=O)n(C)c4=O)C2)n1 ZINC000564594762 1072353894 /nfs/dbraw/zinc/35/38/94/1072353894.db2.gz MLAWHCLRICBFKT-ZDUSSCGKSA-N 0 0 427.465 -0.542 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000564598627 1072353903 /nfs/dbraw/zinc/35/39/03/1072353903.db2.gz XGQLPHVIZSEZSU-BDAKNGLRSA-N 0 0 432.321 -0.065 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000564598639 1070933517 /nfs/dbraw/zinc/93/35/17/1070933517.db2.gz XGQLPHVIZSEZSU-DTWKUNHWSA-N 0 0 432.321 -0.065 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000564598643 1072353845 /nfs/dbraw/zinc/35/38/45/1072353845.db2.gz XGQLPHVIZSEZSU-IUCAKERBSA-N 0 0 432.321 -0.065 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000564598646 1072353823 /nfs/dbraw/zinc/35/38/23/1072353823.db2.gz XGQLPHVIZSEZSU-RKDXNWHRSA-N 0 0 432.321 -0.065 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000564600250 1072353832 /nfs/dbraw/zinc/35/38/32/1072353832.db2.gz PLQKXDBOZGQCAR-GFCCVEGCSA-N 0 0 435.499 -0.792 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000564600263 1072353884 /nfs/dbraw/zinc/35/38/84/1072353884.db2.gz PLQKXDBOZGQCAR-LBPRGKRZSA-N 0 0 435.499 -0.792 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000564600873 1072353852 /nfs/dbraw/zinc/35/38/52/1072353852.db2.gz CLOVSRYYNJLBIS-UHFFFAOYSA-N 0 0 438.510 -0.319 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1 ZINC000564603881 1072353878 /nfs/dbraw/zinc/35/38/78/1072353878.db2.gz LQZWCIYSNOUJTH-UHFFFAOYSA-N 0 0 430.508 -0.655 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4ncc(F)cn4)CC3)C2=O)n(C)n1 ZINC000564604649 1072353870 /nfs/dbraw/zinc/35/38/70/1072353870.db2.gz XXDSAHYEDKSXAH-AWEZNQCLSA-N 0 0 430.444 -0.772 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4ncc(F)cn4)CC3)C2=O)n(C)n1 ZINC000564604650 1072353926 /nfs/dbraw/zinc/35/39/26/1072353926.db2.gz XXDSAHYEDKSXAH-CQSZACIVSA-N 0 0 430.444 -0.772 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCCS(=O)(=O)N(C)C)CC1 ZINC000564610745 1072353862 /nfs/dbraw/zinc/35/38/62/1072353862.db2.gz OYOMYVHTFXNEFD-UHFFFAOYSA-N 0 0 437.544 -0.411 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000564611550 1072354478 /nfs/dbraw/zinc/35/44/78/1072354478.db2.gz GODUHTCZYIOERV-DLBZAZTESA-N 0 0 429.521 -0.410 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000564611551 1072354420 /nfs/dbraw/zinc/35/44/20/1072354420.db2.gz GODUHTCZYIOERV-IRXDYDNUSA-N 0 0 429.521 -0.410 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccc(C)o1 ZINC000564612275 1072353807 /nfs/dbraw/zinc/35/38/07/1072353807.db2.gz WSTSEBUXJZHGEW-KRWDZBQOSA-N 0 0 436.509 -0.215 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccc(C)o1 ZINC000564612277 1072354251 /nfs/dbraw/zinc/35/42/51/1072354251.db2.gz WSTSEBUXJZHGEW-QGZVFWFLSA-N 0 0 436.509 -0.215 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCCS(N)(=O)=O)c1 ZINC000564617278 1072354441 /nfs/dbraw/zinc/35/44/41/1072354441.db2.gz NKDJLCWFFRHLJZ-UHFFFAOYSA-N 0 0 434.540 -0.160 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)ccc21 ZINC000564617350 1072354331 /nfs/dbraw/zinc/35/43/31/1072354331.db2.gz KLOLONHTWLVJFZ-UHFFFAOYSA-N 0 0 426.495 -0.442 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000564618411 1072354341 /nfs/dbraw/zinc/35/43/41/1072354341.db2.gz ZZWUYUDVDWIQES-UHFFFAOYSA-N 0 0 438.510 -0.844 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)c1 ZINC000564618503 1072354264 /nfs/dbraw/zinc/35/42/64/1072354264.db2.gz YRMWIAQJESECMU-GFCCVEGCSA-N 0 0 425.554 -0.374 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](CS(N)(=O)=O)C2)c1 ZINC000564618504 1072354465 /nfs/dbraw/zinc/35/44/65/1072354465.db2.gz YRMWIAQJESECMU-LBPRGKRZSA-N 0 0 425.554 -0.374 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)c1 ZINC000564618605 1072354308 /nfs/dbraw/zinc/35/43/08/1072354308.db2.gz CYPNYVYWRZPGEJ-UHFFFAOYSA-N 0 0 428.496 -0.483 20 0 IBADRN CN(CC(C)(C)O)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000564642727 1072354373 /nfs/dbraw/zinc/35/43/73/1072354373.db2.gz QBEMHBOHZZEINA-UHFFFAOYSA-N 0 0 427.523 -0.306 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)cc1 ZINC000564660006 1072354453 /nfs/dbraw/zinc/35/44/53/1072354453.db2.gz AMTVDXVWGRRSOM-UHFFFAOYSA-N 0 0 444.492 -0.074 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)c(OC)c1OC ZINC000564663458 1073355213 /nfs/dbraw/zinc/35/52/13/1073355213.db2.gz HVRFAANBVQRJKJ-UHFFFAOYSA-N 0 0 436.494 0.111 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCc1ccncc1 ZINC000564670703 1072354281 /nfs/dbraw/zinc/35/42/81/1072354281.db2.gz SGLXSPLITGTXIR-UHFFFAOYSA-N 0 0 432.506 -0.639 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2ccc(F)cc2)c1 ZINC000564672130 1072354318 /nfs/dbraw/zinc/35/43/18/1072354318.db2.gz OARKBZHIIILZLD-INIZCTEOSA-N 0 0 446.435 -0.122 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(F)cc2)c1 ZINC000564672151 1072354431 /nfs/dbraw/zinc/35/44/31/1072354431.db2.gz OARKBZHIIILZLD-MRXNPFEDSA-N 0 0 446.435 -0.122 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(c3ncccc3Cl)CC2)[C@H](O)[C@@H]1O ZINC000564675110 1072354811 /nfs/dbraw/zinc/35/48/11/1072354811.db2.gz AUMKPXHSQPIGNB-QEPJRFBGSA-N 0 0 447.883 -0.187 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)[C@@H]3CCCO3)c2)CC1 ZINC000564675608 1072354862 /nfs/dbraw/zinc/35/48/62/1072354862.db2.gz QGNKWLWSWOLJRP-KRWDZBQOSA-N 0 0 445.520 -0.172 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2cccc(NC(=O)[C@H]3CCCO3)c2)CC1 ZINC000564675611 1072354842 /nfs/dbraw/zinc/35/48/42/1072354842.db2.gz QGNKWLWSWOLJRP-QGZVFWFLSA-N 0 0 445.520 -0.172 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(Cc4ccncc4)CC3)C2=O)n(C)n1 ZINC000564681131 1072354915 /nfs/dbraw/zinc/35/49/15/1072354915.db2.gz LTOXWAZBBBEHPV-KRWDZBQOSA-N 0 0 425.493 -0.311 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(Cc4ccncc4)CC3)C2=O)n(C)n1 ZINC000564681139 1072354949 /nfs/dbraw/zinc/35/49/49/1072354949.db2.gz LTOXWAZBBBEHPV-QGZVFWFLSA-N 0 0 425.493 -0.311 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000564683940 1072354819 /nfs/dbraw/zinc/35/48/19/1072354819.db2.gz UKCBLOHDHVPUGS-HNNXBMFYSA-N 0 0 447.517 -0.036 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC000564683941 1072354869 /nfs/dbraw/zinc/35/48/69/1072354869.db2.gz UKCBLOHDHVPUGS-OAHLLOKOSA-N 0 0 447.517 -0.036 20 0 IBADRN COCc1n[nH]c([C@@H]2CCCCN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000564688699 1072354797 /nfs/dbraw/zinc/35/47/97/1072354797.db2.gz KDJWTIHCCVIMJX-KRWDZBQOSA-N 0 0 449.556 -0.519 20 0 IBADRN COCc1n[nH]c([C@H]2CCCCN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000564688703 1072354886 /nfs/dbraw/zinc/35/48/86/1072354886.db2.gz KDJWTIHCCVIMJX-QGZVFWFLSA-N 0 0 449.556 -0.519 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCC(=O)N[C@@H]1[C@@H]1CCCO1 ZINC000564693564 1072354850 /nfs/dbraw/zinc/35/48/50/1072354850.db2.gz SXBRAUTXDNGHJL-AIANPOQGSA-N 0 0 431.559 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCC(=O)N[C@@H]1[C@H]1CCCO1 ZINC000564693571 1072354856 /nfs/dbraw/zinc/35/48/56/1072354856.db2.gz SXBRAUTXDNGHJL-ANQUJSFKSA-N 0 0 431.559 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCC(=O)N[C@H]1[C@H]1CCCO1 ZINC000564693572 1072354942 /nfs/dbraw/zinc/35/49/42/1072354942.db2.gz SXBRAUTXDNGHJL-KCYZZUKISA-N 0 0 431.559 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCC(=O)N[C@H]1[C@@H]1CCCO1 ZINC000564693573 1072354908 /nfs/dbraw/zinc/35/49/08/1072354908.db2.gz SXBRAUTXDNGHJL-PNBKFKSVSA-N 0 0 431.559 -0.227 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)CNS(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000564693848 1071126519 /nfs/dbraw/zinc/12/65/19/1071126519.db2.gz ZCLILKYQTWWSEK-UHFFFAOYSA-N 0 0 426.455 -0.188 20 0 IBADRN O=C(CCNC(=O)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1)N1CCN(c2ccccc2)CC1 ZINC000564717818 1072354875 /nfs/dbraw/zinc/35/48/75/1072354875.db2.gz AIVTXDNRLCXARA-KRWDZBQOSA-N 0 0 441.532 -0.031 20 0 IBADRN O=C(CCNC(=O)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1)N1CCN(c2ccccc2)CC1 ZINC000564717821 1072355377 /nfs/dbraw/zinc/35/53/77/1072355377.db2.gz AIVTXDNRLCXARA-QGZVFWFLSA-N 0 0 441.532 -0.031 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000564719346 1072355352 /nfs/dbraw/zinc/35/53/52/1072355352.db2.gz JNWDQVYHLFDESU-DZGCQCFKSA-N 0 0 427.531 -0.515 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000564719347 1072355281 /nfs/dbraw/zinc/35/52/81/1072355281.db2.gz JNWDQVYHLFDESU-HIFRSBDPSA-N 0 0 427.531 -0.515 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000564719348 1072355320 /nfs/dbraw/zinc/35/53/20/1072355320.db2.gz JNWDQVYHLFDESU-UKRRQHHQSA-N 0 0 427.531 -0.515 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000564719349 1072355345 /nfs/dbraw/zinc/35/53/45/1072355345.db2.gz JNWDQVYHLFDESU-ZFWWWQNUSA-N 0 0 427.531 -0.515 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4ncc(F)cn4)CC3)C2=O)n1 ZINC000564733139 1072355476 /nfs/dbraw/zinc/35/54/76/1072355476.db2.gz LZAKTZQHMRKOLT-AWEZNQCLSA-N 0 0 430.444 -0.690 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4ncc(F)cn4)CC3)C2=O)n1 ZINC000564733140 1072355290 /nfs/dbraw/zinc/35/52/90/1072355290.db2.gz LZAKTZQHMRKOLT-CQSZACIVSA-N 0 0 430.444 -0.690 20 0 IBADRN CCNC(=O)C[C@H]1CCCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000564744797 1072355253 /nfs/dbraw/zinc/35/52/53/1072355253.db2.gz VFSOCCOIAWMPFD-CYBMUJFWSA-N 0 0 430.465 -0.522 20 0 IBADRN CCNC(=O)C[C@@H]1CCCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000564744802 1072355262 /nfs/dbraw/zinc/35/52/62/1072355262.db2.gz VFSOCCOIAWMPFD-ZDUSSCGKSA-N 0 0 430.465 -0.522 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)C1 ZINC000564746615 1072355360 /nfs/dbraw/zinc/35/53/60/1072355360.db2.gz ZRSDMGYJSQFMCL-CABCVRRESA-N 0 0 432.543 -0.989 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C(C)C)C2=O)C1 ZINC000564746618 1072355483 /nfs/dbraw/zinc/35/54/83/1072355483.db2.gz ZRSDMGYJSQFMCL-GJZGRUSLSA-N 0 0 432.543 -0.989 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)C1 ZINC000564746619 1072355267 /nfs/dbraw/zinc/35/52/67/1072355267.db2.gz ZRSDMGYJSQFMCL-HUUCEWRRSA-N 0 0 432.543 -0.989 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C(C)C)C2=O)C1 ZINC000564746620 1072355311 /nfs/dbraw/zinc/35/53/11/1072355311.db2.gz ZRSDMGYJSQFMCL-LSDHHAIUSA-N 0 0 432.543 -0.989 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)C1)S(C)(=O)=O ZINC000564750318 1073310663 /nfs/dbraw/zinc/31/06/63/1073310663.db2.gz HHTBXAGEUVDVCZ-INIZCTEOSA-N 0 0 434.522 0.395 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)C1)S(C)(=O)=O ZINC000564750319 1073310647 /nfs/dbraw/zinc/31/06/47/1073310647.db2.gz HHTBXAGEUVDVCZ-MRXNPFEDSA-N 0 0 434.522 0.395 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000564751892 1072355274 /nfs/dbraw/zinc/35/52/74/1072355274.db2.gz AFYYIJUBDPAREC-LLVKDONJSA-N 0 0 428.433 -0.850 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000564751893 1072355463 /nfs/dbraw/zinc/35/54/63/1072355463.db2.gz AFYYIJUBDPAREC-NSHDSACASA-N 0 0 428.433 -0.850 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCO[C@H]2CCOC2)CC1)NCc1ccccc1 ZINC000564752422 1072355301 /nfs/dbraw/zinc/35/53/01/1072355301.db2.gz LFIPIIHFDYNIKJ-IBGZPJMESA-N 0 0 432.521 -0.241 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCO[C@@H]2CCOC2)CC1)NCc1ccccc1 ZINC000564752424 1072355365 /nfs/dbraw/zinc/35/53/65/1072355365.db2.gz LFIPIIHFDYNIKJ-LJQANCHMSA-N 0 0 432.521 -0.241 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000564753676 1072355783 /nfs/dbraw/zinc/35/57/83/1072355783.db2.gz ZXNXFHMOKSZFQU-HNNXBMFYSA-N 0 0 443.574 -0.269 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000564753692 1072355798 /nfs/dbraw/zinc/35/57/98/1072355798.db2.gz ZXNXFHMOKSZFQU-OAHLLOKOSA-N 0 0 443.574 -0.269 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1=O ZINC000564753890 1072355386 /nfs/dbraw/zinc/35/53/86/1072355386.db2.gz ORJBAJGSOCRXCP-HNNXBMFYSA-N 0 0 431.493 -0.803 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1=O ZINC000564753891 1072355328 /nfs/dbraw/zinc/35/53/28/1072355328.db2.gz ORJBAJGSOCRXCP-OAHLLOKOSA-N 0 0 431.493 -0.803 20 0 IBADRN C[C@H](O)C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000564753947 1072355829 /nfs/dbraw/zinc/35/58/29/1072355829.db2.gz AOOMMEYISPDFCJ-AZUAARDMSA-N 0 0 446.548 -0.340 20 0 IBADRN C[C@H](O)C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000564753949 1072355853 /nfs/dbraw/zinc/35/58/53/1072355853.db2.gz AOOMMEYISPDFCJ-ICSRJNTNSA-N 0 0 446.548 -0.340 20 0 IBADRN C[C@@H](O)C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000564753950 1072355822 /nfs/dbraw/zinc/35/58/22/1072355822.db2.gz AOOMMEYISPDFCJ-QUCCMNQESA-N 0 0 446.548 -0.340 20 0 IBADRN C[C@@H](O)C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000564753951 1072355808 /nfs/dbraw/zinc/35/58/08/1072355808.db2.gz AOOMMEYISPDFCJ-UYAOXDASSA-N 0 0 446.548 -0.340 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000564756237 1072355760 /nfs/dbraw/zinc/35/57/60/1072355760.db2.gz VEIAJNRCZNYJLC-CABCVRRESA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000564756242 1072355816 /nfs/dbraw/zinc/35/58/16/1072355816.db2.gz VEIAJNRCZNYJLC-GJZGRUSLSA-N 0 0 432.520 -0.442 20 0 IBADRN COC[C@](C)(NCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)C(=O)OC ZINC000564756288 1072355740 /nfs/dbraw/zinc/35/57/40/1072355740.db2.gz BTFAQIZRWIVYHN-IBGZPJMESA-N 0 0 443.522 -0.509 20 0 IBADRN COC[C@@](C)(NCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)C(=O)OC ZINC000564756294 1072355837 /nfs/dbraw/zinc/35/58/37/1072355837.db2.gz BTFAQIZRWIVYHN-LJQANCHMSA-N 0 0 443.522 -0.509 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000564756646 1072355793 /nfs/dbraw/zinc/35/57/93/1072355793.db2.gz VEIAJNRCZNYJLC-HUUCEWRRSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000564756647 1072355753 /nfs/dbraw/zinc/35/57/53/1072355753.db2.gz VEIAJNRCZNYJLC-LSDHHAIUSA-N 0 0 432.520 -0.442 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000564760376 1072355867 /nfs/dbraw/zinc/35/58/67/1072355867.db2.gz OKSVNMJCBKLTGT-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN NC(=O)CCN(C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)c1ccc(F)cc1 ZINC000564760705 1072355895 /nfs/dbraw/zinc/35/58/95/1072355895.db2.gz QNOLXHNWRLDJHQ-UHFFFAOYSA-N 0 0 438.485 -0.236 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000564763158 1072355860 /nfs/dbraw/zinc/35/58/60/1072355860.db2.gz VJOWEENQGYNNAT-BBRMVZONSA-N 0 0 446.551 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000564763159 1072355789 /nfs/dbraw/zinc/35/57/89/1072355789.db2.gz VJOWEENQGYNNAT-CJNGLKHVSA-N 0 0 446.551 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000564763160 1072355747 /nfs/dbraw/zinc/35/57/47/1072355747.db2.gz VJOWEENQGYNNAT-CZUORRHYSA-N 0 0 446.551 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000564763161 1072355766 /nfs/dbraw/zinc/35/57/66/1072355766.db2.gz VJOWEENQGYNNAT-XJKSGUPXSA-N 0 0 446.551 -0.243 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)CC1 ZINC000564763753 1072355846 /nfs/dbraw/zinc/35/58/46/1072355846.db2.gz YAFHEPBXVZWWRG-UHFFFAOYSA-N 0 0 446.599 -0.664 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CC2)n1 ZINC000564789051 1072356248 /nfs/dbraw/zinc/35/62/48/1072356248.db2.gz PFBSCFFBLHENCU-UHFFFAOYSA-N 0 0 449.493 -0.285 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000564790241 1072356300 /nfs/dbraw/zinc/35/63/00/1072356300.db2.gz UCDMKGUGPAFNJW-CQSZACIVSA-N 0 0 433.556 -0.335 20 0 IBADRN CC1(CS(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)COC1 ZINC000564799941 1072356123 /nfs/dbraw/zinc/35/61/23/1072356123.db2.gz QQSYWDBGGKCDCO-UHFFFAOYSA-N 0 0 445.519 -0.310 20 0 IBADRN COc1ccc(NC(=O)C(=O)Nc2ccn(CC(N)=O)n2)c(I)c1 ZINC000564807398 1072356172 /nfs/dbraw/zinc/35/61/72/1072356172.db2.gz LMCISOTYHXEKHO-UHFFFAOYSA-N 0 0 443.201 0.559 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(N)(=O)=O)cc2F)C1 ZINC000564877399 1072356157 /nfs/dbraw/zinc/35/61/57/1072356157.db2.gz HAEUQYVTCVWORA-CYBMUJFWSA-N 0 0 437.515 -0.014 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(N)(=O)=O)cc2F)C1 ZINC000564877405 1072356186 /nfs/dbraw/zinc/35/61/86/1072356186.db2.gz HAEUQYVTCVWORA-ZDUSSCGKSA-N 0 0 437.515 -0.014 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCc3nc(CC)nn3C2)CC1 ZINC000565058516 1072356864 /nfs/dbraw/zinc/35/68/64/1072356864.db2.gz YEVZZFBJYRNJDX-AWEZNQCLSA-N 0 0 426.543 -0.408 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCc3nc(CC)nn3C2)CC1 ZINC000565058523 1072356816 /nfs/dbraw/zinc/35/68/16/1072356816.db2.gz YEVZZFBJYRNJDX-CQSZACIVSA-N 0 0 426.543 -0.408 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CN1C(=O)C(=O)N(C)C1=O)Oc1ccc(Br)cc1 ZINC000565067886 1072356773 /nfs/dbraw/zinc/35/67/73/1072356773.db2.gz UVGWSNSQKOUZGC-LLVKDONJSA-N 0 0 442.222 -0.094 20 0 IBADRN COC(=O)[C@H](CNC(=O)CN1C(=O)C(=O)N(C)C1=O)Oc1ccc(Br)cc1 ZINC000565067891 1072356826 /nfs/dbraw/zinc/35/68/26/1072356826.db2.gz UVGWSNSQKOUZGC-NSHDSACASA-N 0 0 442.222 -0.094 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CC(=O)N(c3cccc(OC)c3)C1)CC2 ZINC000565081651 1072356724 /nfs/dbraw/zinc/35/67/24/1072356724.db2.gz RCHWKSXNLGFTGM-KBPBESRZSA-N 0 0 442.476 -0.214 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CC(=O)N(c3cccc(OC)c3)C1)CC2 ZINC000565081653 1072356620 /nfs/dbraw/zinc/35/66/20/1072356620.db2.gz RCHWKSXNLGFTGM-KGLIPLIRSA-N 0 0 442.476 -0.214 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CC(=O)N(c3cccc(OC)c3)C1)CC2 ZINC000565081654 1072356753 /nfs/dbraw/zinc/35/67/53/1072356753.db2.gz RCHWKSXNLGFTGM-UONOGXRCSA-N 0 0 442.476 -0.214 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CC(=O)N(c3cccc(OC)c3)C1)CC2 ZINC000565081655 1072356696 /nfs/dbraw/zinc/35/66/96/1072356696.db2.gz RCHWKSXNLGFTGM-ZIAGYGMSSA-N 0 0 442.476 -0.214 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)nn1 ZINC000565081885 1072356767 /nfs/dbraw/zinc/35/67/67/1072356767.db2.gz UHDZNUFIKAOOTE-UHFFFAOYSA-N 0 0 436.450 -0.641 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1)C(F)(F)F ZINC000565087526 1072356761 /nfs/dbraw/zinc/35/67/61/1072356761.db2.gz PLBRKTDRKZUPES-GFCCVEGCSA-N 0 0 446.517 -0.424 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1)C(F)(F)F ZINC000565087536 1072356700 /nfs/dbraw/zinc/35/67/00/1072356700.db2.gz PLBRKTDRKZUPES-LBPRGKRZSA-N 0 0 446.517 -0.424 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(c2cccc(O)c2)CC1 ZINC000565088973 1072356784 /nfs/dbraw/zinc/35/67/84/1072356784.db2.gz PUANBAOVVZCBEB-UHFFFAOYSA-N 0 0 437.478 -0.735 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000565089831 1072357185 /nfs/dbraw/zinc/35/71/85/1072357185.db2.gz UFZWJLAXUXQWPA-INIZCTEOSA-N 0 0 442.524 -0.562 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000565089832 1072357138 /nfs/dbraw/zinc/35/71/38/1072357138.db2.gz UFZWJLAXUXQWPA-MRXNPFEDSA-N 0 0 442.524 -0.562 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC[C@H]3CN(Cc4ccccc4)CCO3)CC2=O)cn1 ZINC000565091780 1072357490 /nfs/dbraw/zinc/35/74/90/1072357490.db2.gz HLZKULUGFOWOGD-IBGZPJMESA-N 0 0 440.504 -0.388 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC[C@@H]3CN(Cc4ccccc4)CCO3)CC2=O)cn1 ZINC000565091793 1072357301 /nfs/dbraw/zinc/35/73/01/1072357301.db2.gz HLZKULUGFOWOGD-LJQANCHMSA-N 0 0 440.504 -0.388 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(S(=O)(=O)N3CCCCC3)c2)CCO1 ZINC000565092929 1072357292 /nfs/dbraw/zinc/35/72/92/1072357292.db2.gz NYKRTRLVNLFKQS-INIZCTEOSA-N 0 0 438.506 -0.810 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(S(=O)(=O)N3CCCCC3)c2)CCO1 ZINC000565092938 1072357150 /nfs/dbraw/zinc/35/71/50/1072357150.db2.gz NYKRTRLVNLFKQS-MRXNPFEDSA-N 0 0 438.506 -0.810 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(CC(F)(F)F)CC1 ZINC000565092961 1072357522 /nfs/dbraw/zinc/35/75/22/1072357522.db2.gz OVPLHOXFHKMFGR-UHFFFAOYSA-N 0 0 443.474 -0.189 20 0 IBADRN Cc1ccc(C(=O)N2CCC(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)CC2)cc1 ZINC000565093352 1072357206 /nfs/dbraw/zinc/35/72/06/1072357206.db2.gz QYLZSXJLZOSWJK-FQEVSTJZSA-N 0 0 446.548 -0.133 20 0 IBADRN Cc1ccc(C(=O)N2CCC(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)CC2)cc1 ZINC000565093357 1072357165 /nfs/dbraw/zinc/35/71/65/1072357165.db2.gz QYLZSXJLZOSWJK-HXUWFJFHSA-N 0 0 446.548 -0.133 20 0 IBADRN O=C(NCc1ccc(N2CCCC2)nc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000565094786 1072357427 /nfs/dbraw/zinc/35/74/27/1072357427.db2.gz XTSGODCSZQEFHE-UHFFFAOYSA-N 0 0 428.493 -0.753 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000565095606 1072357257 /nfs/dbraw/zinc/35/72/57/1072357257.db2.gz DRHLJEFUOKUMKW-UHFFFAOYSA-N 0 0 442.524 -0.659 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000565097600 1072357194 /nfs/dbraw/zinc/35/71/94/1072357194.db2.gz FTVVABZVSLIIAZ-CQSZACIVSA-N 0 0 427.479 -0.050 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCN2CCN(c3cccc(Cl)c3)CC2)C1 ZINC000565097928 1072357407 /nfs/dbraw/zinc/35/74/07/1072357407.db2.gz GEZQURFXVNRYLJ-INIZCTEOSA-N 0 0 443.957 -0.532 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCN2CCN(c3cccc(Cl)c3)CC2)C1 ZINC000565097933 1072357386 /nfs/dbraw/zinc/35/73/86/1072357386.db2.gz GEZQURFXVNRYLJ-MRXNPFEDSA-N 0 0 443.957 -0.532 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)NCCNS(=O)(=O)N(C)C)cc(C3CC3)nc21 ZINC000565098791 1072357339 /nfs/dbraw/zinc/35/73/39/1072357339.db2.gz QQBULVDLRDNMLV-UHFFFAOYSA-N 0 0 438.510 -0.090 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000565124149 1072357796 /nfs/dbraw/zinc/35/77/96/1072357796.db2.gz RURYSFGDIYVSAM-UHFFFAOYSA-N 0 0 441.540 -0.224 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@H](CCSC)NC(N)=O)c1 ZINC000565125283 1072357868 /nfs/dbraw/zinc/35/78/68/1072357868.db2.gz YZXVBGXWEOUGLQ-GWCFXTLKSA-N 0 0 431.540 -0.172 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H](CCSC)NC(N)=O)c1 ZINC000565125284 1072357788 /nfs/dbraw/zinc/35/77/88/1072357788.db2.gz YZXVBGXWEOUGLQ-GXFFZTMASA-N 0 0 431.540 -0.172 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@H](CCSC)NC(N)=O)c1 ZINC000565125285 1072357885 /nfs/dbraw/zinc/35/78/85/1072357885.db2.gz YZXVBGXWEOUGLQ-MFKMUULPSA-N 0 0 431.540 -0.172 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@@H](CCSC)NC(N)=O)c1 ZINC000565125286 1072357874 /nfs/dbraw/zinc/35/78/74/1072357874.db2.gz YZXVBGXWEOUGLQ-ZWNOBZJWSA-N 0 0 431.540 -0.172 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cn1 ZINC000565125625 1072357756 /nfs/dbraw/zinc/35/77/56/1072357756.db2.gz QXXDTMXPFNKXIK-DZGCQCFKSA-N 0 0 430.531 -0.125 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cn1 ZINC000565125633 1072357969 /nfs/dbraw/zinc/35/79/69/1072357969.db2.gz QXXDTMXPFNKXIK-HIFRSBDPSA-N 0 0 430.531 -0.125 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cn1 ZINC000565125635 1072357763 /nfs/dbraw/zinc/35/77/63/1072357763.db2.gz QXXDTMXPFNKXIK-UKRRQHHQSA-N 0 0 430.531 -0.125 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cn1 ZINC000565125636 1072358069 /nfs/dbraw/zinc/35/80/69/1072358069.db2.gz QXXDTMXPFNKXIK-ZFWWWQNUSA-N 0 0 430.531 -0.125 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1c(C)oc(C)c1C(=O)OC ZINC000565130333 1072358550 /nfs/dbraw/zinc/35/85/50/1072358550.db2.gz GGSBSRKSFFLPIB-GFCCVEGCSA-N 0 0 436.508 -0.003 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1c(C)oc(C)c1C(=O)OC ZINC000565130336 1072358312 /nfs/dbraw/zinc/35/83/12/1072358312.db2.gz GGSBSRKSFFLPIB-LBPRGKRZSA-N 0 0 436.508 -0.003 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)Nc2nnc(CCC(=O)OC)s2)C1)C(N)=O ZINC000565133185 1073326911 /nfs/dbraw/zinc/32/69/11/1073326911.db2.gz PGJOTPIDWBUKER-UHFFFAOYSA-N 0 0 426.499 0.268 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCn1cc(Br)cn1)C2 ZINC000565133484 1072358589 /nfs/dbraw/zinc/35/85/89/1072358589.db2.gz DTSWUASRBQXPBY-UHFFFAOYSA-N 0 0 441.290 -0.683 20 0 IBADRN CCOC(=O)C[C@H](C)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000565135582 1072358444 /nfs/dbraw/zinc/35/84/44/1072358444.db2.gz HTINUGSUTAYINN-AWEZNQCLSA-N 0 0 432.477 -0.325 20 0 IBADRN CCOC(=O)C[C@@H](C)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000565135584 1072358531 /nfs/dbraw/zinc/35/85/31/1072358531.db2.gz HTINUGSUTAYINN-CQSZACIVSA-N 0 0 432.477 -0.325 20 0 IBADRN O=C(CNC(=O)C(=O)NCc1nnc2n1CCC2)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000565135928 1072358428 /nfs/dbraw/zinc/35/84/28/1072358428.db2.gz KFCZPCRVJMLQON-UHFFFAOYSA-N 0 0 445.911 -0.041 20 0 IBADRN O=C(NCCc1ccc(-n2cccn2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000565136781 1072358324 /nfs/dbraw/zinc/35/83/24/1072358324.db2.gz QNMUEJLEOZSTAY-UHFFFAOYSA-N 0 0 438.488 -0.520 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)CC2)c1=O ZINC000565139644 1072358480 /nfs/dbraw/zinc/35/84/80/1072358480.db2.gz UXQXSEOPKDBSAU-HNNXBMFYSA-N 0 0 426.481 -0.996 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)CC2)c1=O ZINC000565139647 1072358519 /nfs/dbraw/zinc/35/85/19/1072358519.db2.gz UXQXSEOPKDBSAU-OAHLLOKOSA-N 0 0 426.481 -0.996 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)C1=O ZINC000565140828 1072358459 /nfs/dbraw/zinc/35/84/59/1072358459.db2.gz WWMQHTPZSWTTSA-INIZCTEOSA-N 0 0 445.549 -0.172 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)C1=O ZINC000565140829 1072358572 /nfs/dbraw/zinc/35/85/72/1072358572.db2.gz WWMQHTPZSWTTSA-MRXNPFEDSA-N 0 0 445.549 -0.172 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000565142134 1072358335 /nfs/dbraw/zinc/35/83/35/1072358335.db2.gz POCIVIRDXPYBCG-UHFFFAOYSA-N 0 0 429.521 -0.181 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@@H]1CC(=O)N2CCCC[C@@H]12)N1CCN(c2ccccc2)CC1 ZINC000565144839 1072358559 /nfs/dbraw/zinc/35/85/59/1072358559.db2.gz FEYAKQNIAHGUFD-MSOLQXFVSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@@H]1CC(=O)N2CCCC[C@H]12)N1CCN(c2ccccc2)CC1 ZINC000565144848 1072358412 /nfs/dbraw/zinc/35/84/12/1072358412.db2.gz FEYAKQNIAHGUFD-QZTJIDSGSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@H]1CC(=O)N2CCCC[C@@H]12)N1CCN(c2ccccc2)CC1 ZINC000565144849 1072358374 /nfs/dbraw/zinc/35/83/74/1072358374.db2.gz FEYAKQNIAHGUFD-ROUUACIJSA-N 0 0 427.505 -0.279 20 0 IBADRN O=C(CNC(=O)C(=O)N[C@H]1CC(=O)N2CCCC[C@H]12)N1CCN(c2ccccc2)CC1 ZINC000565144850 1072358602 /nfs/dbraw/zinc/35/86/02/1072358602.db2.gz FEYAKQNIAHGUFD-ZWKOTPCHSA-N 0 0 427.505 -0.279 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCCO1 ZINC000565258144 1072359072 /nfs/dbraw/zinc/35/90/72/1072359072.db2.gz KGEUYHFQVQZYAK-CVEARBPZSA-N 0 0 447.540 -0.087 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCCCO1 ZINC000565258150 1072358993 /nfs/dbraw/zinc/35/89/93/1072358993.db2.gz KGEUYHFQVQZYAK-HOTGVXAUSA-N 0 0 447.540 -0.087 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCCCO1 ZINC000565258474 1072359187 /nfs/dbraw/zinc/35/91/87/1072359187.db2.gz KGEUYHFQVQZYAK-HZPDHXFCSA-N 0 0 447.540 -0.087 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCCCO1 ZINC000565258476 1072358859 /nfs/dbraw/zinc/35/88/59/1072358859.db2.gz KGEUYHFQVQZYAK-JKSUJKDBSA-N 0 0 447.540 -0.087 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC1=O ZINC000565260449 1072359038 /nfs/dbraw/zinc/35/90/38/1072359038.db2.gz PYYGCUZNSNXUED-UHFFFAOYSA-N 0 0 444.492 -0.647 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CCCC1 ZINC000565264064 1072359172 /nfs/dbraw/zinc/35/91/72/1072359172.db2.gz YTUNEJGIDXTGTO-UHFFFAOYSA-N 0 0 438.572 -0.752 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)CC2)nc1 ZINC000565264100 1072359178 /nfs/dbraw/zinc/35/91/78/1072359178.db2.gz ZDCLGYGDJAMEJB-MSOLQXFVSA-N 0 0 444.536 -0.411 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)CC2)nc1 ZINC000565264101 1072358956 /nfs/dbraw/zinc/35/89/56/1072358956.db2.gz ZDCLGYGDJAMEJB-QZTJIDSGSA-N 0 0 444.536 -0.411 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)CC2)nc1 ZINC000565264102 1072359051 /nfs/dbraw/zinc/35/90/51/1072359051.db2.gz ZDCLGYGDJAMEJB-ROUUACIJSA-N 0 0 444.536 -0.411 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)CC2)nc1 ZINC000565264103 1072359418 /nfs/dbraw/zinc/35/94/18/1072359418.db2.gz ZDCLGYGDJAMEJB-ZWKOTPCHSA-N 0 0 444.536 -0.411 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000565268881 1072359617 /nfs/dbraw/zinc/35/96/17/1072359617.db2.gz RCPWMJAZUWIYID-CYBMUJFWSA-N 0 0 431.602 -0.656 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000565268882 1072359652 /nfs/dbraw/zinc/35/96/52/1072359652.db2.gz RCPWMJAZUWIYID-ZDUSSCGKSA-N 0 0 431.602 -0.656 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000565269677 1072359533 /nfs/dbraw/zinc/35/95/33/1072359533.db2.gz AGCZXLRMVJDORP-UHFFFAOYSA-N 0 0 448.545 -0.429 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cnc(C3CC3)nc2)CC1 ZINC000565270871 1072359442 /nfs/dbraw/zinc/35/94/42/1072359442.db2.gz MYYWYNAJGSZCHV-UHFFFAOYSA-N 0 0 430.509 -0.984 20 0 IBADRN C[C@H](CCC1CC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000565271861 1072359499 /nfs/dbraw/zinc/35/94/99/1072359499.db2.gz XTPMBRPSXGMRTE-GFCCVEGCSA-N 0 0 426.495 -0.094 20 0 IBADRN C[C@@H](CCC1CC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000565271870 1072359564 /nfs/dbraw/zinc/35/95/64/1072359564.db2.gz XTPMBRPSXGMRTE-LBPRGKRZSA-N 0 0 426.495 -0.094 20 0 IBADRN CN1c2ccc(NC(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)cc2OCC1=O ZINC000565283375 1072359603 /nfs/dbraw/zinc/35/96/03/1072359603.db2.gz CKFQWJUOVXJTHQ-UHFFFAOYSA-N 0 0 438.444 -0.177 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CN(Cc1ccccc1)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000565295211 1072359393 /nfs/dbraw/zinc/35/93/93/1072359393.db2.gz JUYDENFGTQIGDC-FDDCHVKYSA-N 0 0 446.548 -0.165 20 0 IBADRN C[C@H]1OCC[C@]1(O)CN(Cc1ccccc1)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000565295212 1072359482 /nfs/dbraw/zinc/35/94/82/1072359482.db2.gz JUYDENFGTQIGDC-JPYJTQIMSA-N 0 0 446.548 -0.165 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CN(Cc1ccccc1)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000565295213 1072359546 /nfs/dbraw/zinc/35/95/46/1072359546.db2.gz JUYDENFGTQIGDC-MBSDFSHPSA-N 0 0 446.548 -0.165 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CN(Cc1ccccc1)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000565295214 1072359455 /nfs/dbraw/zinc/35/94/55/1072359455.db2.gz JUYDENFGTQIGDC-WZONZLPQSA-N 0 0 446.548 -0.165 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)n1 ZINC000565306521 1072359520 /nfs/dbraw/zinc/35/95/20/1072359520.db2.gz CBOGYKATTBZRHP-CAMPQQCRSA-N 0 0 427.527 -0.161 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)n1 ZINC000565306532 1072360134 /nfs/dbraw/zinc/36/01/34/1072360134.db2.gz CBOGYKATTBZRHP-JYCIKRDWSA-N 0 0 427.527 -0.161 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)n1 ZINC000565306533 1072359974 /nfs/dbraw/zinc/35/99/74/1072359974.db2.gz CBOGYKATTBZRHP-MUWSIPGASA-N 0 0 427.527 -0.161 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)n1 ZINC000565306535 1072359964 /nfs/dbraw/zinc/35/99/64/1072359964.db2.gz CBOGYKATTBZRHP-YBKRRLQSSA-N 0 0 427.527 -0.161 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C3CC3)c2)CC1=O ZINC000565318468 1072360010 /nfs/dbraw/zinc/36/00/10/1072360010.db2.gz SKAMTQVFWIOOQP-UHFFFAOYSA-N 0 0 429.437 -0.239 20 0 IBADRN CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000565318621 1072360101 /nfs/dbraw/zinc/36/01/01/1072360101.db2.gz YKHXBYPMFXAQFB-CYBMUJFWSA-N 0 0 438.576 -0.604 20 0 IBADRN CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(S(=O)(=O)CCCOC)CC1 ZINC000565318625 1072360185 /nfs/dbraw/zinc/36/01/85/1072360185.db2.gz YKHXBYPMFXAQFB-ZDUSSCGKSA-N 0 0 438.576 -0.604 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O)CN1CCOCC1 ZINC000565318733 1072360113 /nfs/dbraw/zinc/36/01/13/1072360113.db2.gz NDOURRACWMTSDE-INIZCTEOSA-N 0 0 437.541 -0.168 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O)CN1CCOCC1 ZINC000565318736 1072360204 /nfs/dbraw/zinc/36/02/04/1072360204.db2.gz NDOURRACWMTSDE-MRXNPFEDSA-N 0 0 437.541 -0.168 20 0 IBADRN COc1ccc(NC(=O)Cn2c(=O)ccn(C)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000565321343 1072360047 /nfs/dbraw/zinc/36/00/47/1072360047.db2.gz JSUSNNNITGTRLV-UHFFFAOYSA-N 0 0 438.462 -0.785 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CCC1=O ZINC000565321756 1072360090 /nfs/dbraw/zinc/36/00/90/1072360090.db2.gz IBJPHIZNJZNQBC-KRWDZBQOSA-N 0 0 427.505 -0.543 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CCC1=O ZINC000565321757 1072360061 /nfs/dbraw/zinc/36/00/61/1072360061.db2.gz IBJPHIZNJZNQBC-QGZVFWFLSA-N 0 0 427.505 -0.543 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1)S(C)(=O)=O ZINC000565322698 1072360162 /nfs/dbraw/zinc/36/01/62/1072360162.db2.gz VZECPYMZZSFTMD-MSOLQXFVSA-N 0 0 444.598 -0.170 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1)S(C)(=O)=O ZINC000565322704 1072360534 /nfs/dbraw/zinc/36/05/34/1072360534.db2.gz VZECPYMZZSFTMD-QZTJIDSGSA-N 0 0 444.598 -0.170 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1)S(C)(=O)=O ZINC000565322707 1072360583 /nfs/dbraw/zinc/36/05/83/1072360583.db2.gz VZECPYMZZSFTMD-ROUUACIJSA-N 0 0 444.598 -0.170 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1)S(C)(=O)=O ZINC000565322709 1072360642 /nfs/dbraw/zinc/36/06/42/1072360642.db2.gz VZECPYMZZSFTMD-ZWKOTPCHSA-N 0 0 444.598 -0.170 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OC(C)C)c1 ZINC000565324529 1072360570 /nfs/dbraw/zinc/36/05/70/1072360570.db2.gz FSTSQOMHBLJAKI-UHFFFAOYSA-N 0 0 429.481 -0.244 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)CC2)cn1 ZINC000565325399 1072360669 /nfs/dbraw/zinc/36/06/69/1072360669.db2.gz KPQYAQXAQXNQBZ-GOSISDBHSA-N 0 0 425.493 -0.229 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)CC2)cn1 ZINC000565325409 1072360752 /nfs/dbraw/zinc/36/07/52/1072360752.db2.gz KPQYAQXAQXNQBZ-SFHVURJKSA-N 0 0 425.493 -0.229 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)CCO2)n1 ZINC000565325430 1072360609 /nfs/dbraw/zinc/36/06/09/1072360609.db2.gz LQCOCRGVVLLIGH-DLBZAZTESA-N 0 0 443.574 -0.034 20 0 IBADRN Cc1nc(C)n(C[C@H]2CN(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)CCO2)n1 ZINC000565325448 1072360557 /nfs/dbraw/zinc/36/05/57/1072360557.db2.gz LQCOCRGVVLLIGH-IAGOWNOFSA-N 0 0 443.574 -0.034 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)CCO2)n1 ZINC000565325450 1072360742 /nfs/dbraw/zinc/36/07/42/1072360742.db2.gz LQCOCRGVVLLIGH-IRXDYDNUSA-N 0 0 443.574 -0.034 20 0 IBADRN Cc1nc(C)n(C[C@@H]2CN(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)CCO2)n1 ZINC000565325452 1072360762 /nfs/dbraw/zinc/36/07/62/1072360762.db2.gz LQCOCRGVVLLIGH-SJORKVTESA-N 0 0 443.574 -0.034 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000565326276 1072360710 /nfs/dbraw/zinc/36/07/10/1072360710.db2.gz LMRQUIRAGFIVKZ-BXUZGUMPSA-N 0 0 444.460 -0.274 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000565326281 1072360718 /nfs/dbraw/zinc/36/07/18/1072360718.db2.gz LMRQUIRAGFIVKZ-RISCZKNCSA-N 0 0 444.460 -0.274 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000565326643 1072360732 /nfs/dbraw/zinc/36/07/32/1072360732.db2.gz SLGSEFRSGPHQFL-GOSISDBHSA-N 0 0 444.536 -0.294 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000565326656 1072360628 /nfs/dbraw/zinc/36/06/28/1072360628.db2.gz SLGSEFRSGPHQFL-SFHVURJKSA-N 0 0 444.536 -0.294 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2)n1 ZINC000565326688 1072360684 /nfs/dbraw/zinc/36/06/84/1072360684.db2.gz UURXYTVASCDJPU-LLVKDONJSA-N 0 0 437.863 0.492 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2)n1 ZINC000565326695 1072360725 /nfs/dbraw/zinc/36/07/25/1072360725.db2.gz UURXYTVASCDJPU-NSHDSACASA-N 0 0 437.863 0.492 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)C1=O ZINC000565327265 1072360795 /nfs/dbraw/zinc/36/07/95/1072360795.db2.gz YBYUINGAYZXTGG-CABCVRRESA-N 0 0 431.497 -0.910 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)C1=O ZINC000565327266 1072360775 /nfs/dbraw/zinc/36/07/75/1072360775.db2.gz YBYUINGAYZXTGG-GJZGRUSLSA-N 0 0 431.497 -0.910 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)C1=O ZINC000565327267 1072360697 /nfs/dbraw/zinc/36/06/97/1072360697.db2.gz YBYUINGAYZXTGG-HUUCEWRRSA-N 0 0 431.497 -0.910 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)C1=O ZINC000565327268 1072361149 /nfs/dbraw/zinc/36/11/49/1072361149.db2.gz YBYUINGAYZXTGG-LSDHHAIUSA-N 0 0 431.497 -0.910 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)s1 ZINC000565327542 1072360785 /nfs/dbraw/zinc/36/07/85/1072360785.db2.gz DCGPQLBBNKDHAF-UHFFFAOYSA-N 0 0 440.551 -0.467 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000565328564 1072361240 /nfs/dbraw/zinc/36/12/40/1072361240.db2.gz GJFGWHUEKQSCFM-HNNXBMFYSA-N 0 0 434.493 -0.284 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000565328567 1072361194 /nfs/dbraw/zinc/36/11/94/1072361194.db2.gz GJFGWHUEKQSCFM-OAHLLOKOSA-N 0 0 434.493 -0.284 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2c(C)nn(C)c2C)C1 ZINC000565330654 1072361035 /nfs/dbraw/zinc/36/10/35/1072361035.db2.gz BEKFZLICWMWMPN-QMTMVMCOSA-N 0 0 432.525 -0.058 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2c(C)nn(C)c2C)C1 ZINC000565330677 1072361049 /nfs/dbraw/zinc/36/10/49/1072361049.db2.gz BEKFZLICWMWMPN-SPYBWZPUSA-N 0 0 432.525 -0.058 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)Nc1cccc(NC(=O)NCCC(N)=O)c1 ZINC000565336116 1072361136 /nfs/dbraw/zinc/36/11/36/1072361136.db2.gz MTECLUOLWRFWGG-UHFFFAOYSA-N 0 0 435.528 -0.293 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000565353815 1072361097 /nfs/dbraw/zinc/36/10/97/1072361097.db2.gz FGXHFGLKJOEOMD-CABCVRRESA-N 0 0 428.497 -0.416 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000565353816 1072361282 /nfs/dbraw/zinc/36/12/82/1072361282.db2.gz FGXHFGLKJOEOMD-GJZGRUSLSA-N 0 0 428.497 -0.416 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000565353817 1072361163 /nfs/dbraw/zinc/36/11/63/1072361163.db2.gz FGXHFGLKJOEOMD-HUUCEWRRSA-N 0 0 428.497 -0.416 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000565353818 1072361255 /nfs/dbraw/zinc/36/12/55/1072361255.db2.gz FGXHFGLKJOEOMD-LSDHHAIUSA-N 0 0 428.497 -0.416 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3c(C)cc(C)[nH]c3=O)CC2)no1 ZINC000565376239 1073329519 /nfs/dbraw/zinc/32/95/19/1073329519.db2.gz POHUHAWFSBXZCD-UHFFFAOYSA-N 0 0 430.465 0.100 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCCCS(=O)(=O)c1ccccc1 ZINC000565378270 1072361063 /nfs/dbraw/zinc/36/10/63/1072361063.db2.gz ZIHHVIDKBGSWRR-UHFFFAOYSA-N 0 0 449.533 -0.132 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1=O ZINC000565378648 1072361022 /nfs/dbraw/zinc/36/10/22/1072361022.db2.gz XNOJFHUMHKPUBS-KRWDZBQOSA-N 0 0 438.550 0.000 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1=O ZINC000565378655 1072361179 /nfs/dbraw/zinc/36/11/79/1072361179.db2.gz XNOJFHUMHKPUBS-QGZVFWFLSA-N 0 0 438.550 0.000 20 0 IBADRN COCCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000565380098 1072361212 /nfs/dbraw/zinc/36/12/12/1072361212.db2.gz WIVZCLDIGFCLHU-HOTGVXAUSA-N 0 0 434.559 -0.583 20 0 IBADRN COCCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000565380099 1072361617 /nfs/dbraw/zinc/36/16/17/1072361617.db2.gz WIVZCLDIGFCLHU-HZPDHXFCSA-N 0 0 434.559 -0.583 20 0 IBADRN COCCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000565380100 1072361569 /nfs/dbraw/zinc/36/15/69/1072361569.db2.gz WIVZCLDIGFCLHU-IYBDPMFKSA-N 0 0 434.559 -0.583 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)C1 ZINC000565383922 1072361625 /nfs/dbraw/zinc/36/16/25/1072361625.db2.gz DUSLEQDDCPYASF-DLBZAZTESA-N 0 0 436.534 -0.248 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)C1 ZINC000565383926 1072361577 /nfs/dbraw/zinc/36/15/77/1072361577.db2.gz DUSLEQDDCPYASF-IAGOWNOFSA-N 0 0 436.534 -0.248 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)C1 ZINC000565383927 1072361533 /nfs/dbraw/zinc/36/15/33/1072361533.db2.gz DUSLEQDDCPYASF-IRXDYDNUSA-N 0 0 436.534 -0.248 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)C1 ZINC000565383928 1072361654 /nfs/dbraw/zinc/36/16/54/1072361654.db2.gz DUSLEQDDCPYASF-SJORKVTESA-N 0 0 436.534 -0.248 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCOCCS(N)(=O)=O)cc1F ZINC000565388497 1072361588 /nfs/dbraw/zinc/36/15/88/1072361588.db2.gz KNPHRXRUKFCLSW-UHFFFAOYSA-N 0 0 447.532 -0.439 20 0 IBADRN NS(=O)(=O)CCOCCNS(=O)(=O)c1ccc(C(=O)NCC(F)(F)F)cc1 ZINC000565388773 1072361688 /nfs/dbraw/zinc/36/16/88/1072361688.db2.gz ZDFBVWHADCQXFV-UHFFFAOYSA-N 0 0 433.430 -0.438 20 0 IBADRN O=C(C[C@H]1CCCC(=O)N1)NCCC(=O)N1CCN(C(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC000565391831 1072361700 /nfs/dbraw/zinc/36/17/00/1072361700.db2.gz ZOYKFEGFBZSARG-CVEARBPZSA-N 0 0 435.525 -0.719 20 0 IBADRN O=C(C[C@@H]1CCCC(=O)N1)NCCC(=O)N1CCN(C(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC000565391833 1072361676 /nfs/dbraw/zinc/36/16/76/1072361676.db2.gz ZOYKFEGFBZSARG-HOTGVXAUSA-N 0 0 435.525 -0.719 20 0 IBADRN O=C(C[C@H]1CCCC(=O)N1)NCCC(=O)N1CCN(C(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC000565391835 1072361644 /nfs/dbraw/zinc/36/16/44/1072361644.db2.gz ZOYKFEGFBZSARG-HZPDHXFCSA-N 0 0 435.525 -0.719 20 0 IBADRN O=C(C[C@@H]1CCCC(=O)N1)NCCC(=O)N1CCN(C(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC000565391836 1072361556 /nfs/dbraw/zinc/36/15/56/1072361556.db2.gz ZOYKFEGFBZSARG-JKSUJKDBSA-N 0 0 435.525 -0.719 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000565393729 1072361542 /nfs/dbraw/zinc/36/15/42/1072361542.db2.gz KAUKIVFGJRICFZ-KBPBESRZSA-N 0 0 438.506 -0.971 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000565393730 1072361608 /nfs/dbraw/zinc/36/16/08/1072361608.db2.gz KAUKIVFGJRICFZ-KGLIPLIRSA-N 0 0 438.506 -0.971 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000565393731 1072361634 /nfs/dbraw/zinc/36/16/34/1072361634.db2.gz KAUKIVFGJRICFZ-UONOGXRCSA-N 0 0 438.506 -0.971 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000565393732 1072362023 /nfs/dbraw/zinc/36/20/23/1072362023.db2.gz KAUKIVFGJRICFZ-ZIAGYGMSSA-N 0 0 438.506 -0.971 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2F)c1=O ZINC000565394536 1072361974 /nfs/dbraw/zinc/36/19/74/1072361974.db2.gz GQTSLZIJCTUWLZ-UHFFFAOYSA-N 0 0 426.426 -0.654 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCS(=O)(=O)N(C)C)CC1 ZINC000565394819 1072361993 /nfs/dbraw/zinc/36/19/93/1072361993.db2.gz AZUBESSVTCQTLE-UHFFFAOYSA-N 0 0 433.556 -0.682 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)C2 ZINC000565413472 1072362029 /nfs/dbraw/zinc/36/20/29/1072362029.db2.gz XXAUFAGMDZVJCQ-UHFFFAOYSA-N 0 0 426.481 -0.821 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCNS(=O)(=O)N(C)C)CC2)cc1 ZINC000565414292 1072362001 /nfs/dbraw/zinc/36/20/01/1072362001.db2.gz FVYXLJRMVCZKII-UHFFFAOYSA-N 0 0 448.567 -0.392 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C(=O)NCc2nnc3n2CCC3)CC1)C1CCCCC1 ZINC000565436613 1072362053 /nfs/dbraw/zinc/36/20/53/1072362053.db2.gz VAFLCZSGTHPOHS-UHFFFAOYSA-N 0 0 431.541 -0.224 20 0 IBADRN CNC(=O)c1ccc(CN(C)c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000565439737 1072362069 /nfs/dbraw/zinc/36/20/69/1072362069.db2.gz SGAQTLHPBNVEGS-KHTYJDQRSA-N 0 0 428.449 -0.566 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCNS(C)(=O)=O ZINC000565444955 1072361948 /nfs/dbraw/zinc/36/19/48/1072361948.db2.gz SKZNVWMTTMJATR-UHFFFAOYSA-N 0 0 448.567 -0.700 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCCC3)CC1)CC2 ZINC000565447435 1072361967 /nfs/dbraw/zinc/36/19/67/1072361967.db2.gz OKHRUVVOLFWECL-INIZCTEOSA-N 0 0 447.540 -0.988 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCCC3)CC1)CC2 ZINC000565447440 1072362011 /nfs/dbraw/zinc/36/20/11/1072362011.db2.gz OKHRUVVOLFWECL-MRXNPFEDSA-N 0 0 447.540 -0.988 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1)c1ccc(F)cc1 ZINC000565450072 1072361983 /nfs/dbraw/zinc/36/19/83/1072361983.db2.gz NIMLTBWVFLWQMP-HNNXBMFYSA-N 0 0 443.545 -0.067 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1)c1ccc(F)cc1 ZINC000565450084 1072362551 /nfs/dbraw/zinc/36/25/51/1072362551.db2.gz NIMLTBWVFLWQMP-OAHLLOKOSA-N 0 0 443.545 -0.067 20 0 IBADRN O=C(Nc1cccc2c1OCC(=O)N2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000565450470 1072362457 /nfs/dbraw/zinc/36/24/57/1072362457.db2.gz RYZKJFIOIQBGNG-UHFFFAOYSA-N 0 0 445.457 -0.116 20 0 IBADRN COC(=O)Cc1occc1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000565450902 1072362530 /nfs/dbraw/zinc/36/25/30/1072362530.db2.gz UFZXIRYBLHOJAQ-UHFFFAOYSA-N 0 0 425.419 -0.166 20 0 IBADRN COc1ccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1S(N)(=O)=O ZINC000565455317 1072362539 /nfs/dbraw/zinc/36/25/39/1072362539.db2.gz UXDSLAIVETXTSH-UHFFFAOYSA-N 0 0 435.462 -0.838 20 0 IBADRN CCOC(=O)CN(C(=O)CN1C(=O)N[C@H](CCS(N)(=O)=O)C1=O)c1ccccc1 ZINC000565459917 1072362594 /nfs/dbraw/zinc/36/25/94/1072362594.db2.gz QLQNZORFYPJBLU-CYBMUJFWSA-N 0 0 426.451 -0.818 20 0 IBADRN CCOC(=O)CN(C(=O)CN1C(=O)N[C@@H](CCS(N)(=O)=O)C1=O)c1ccccc1 ZINC000565459918 1072362411 /nfs/dbraw/zinc/36/24/11/1072362411.db2.gz QLQNZORFYPJBLU-ZDUSSCGKSA-N 0 0 426.451 -0.818 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000565472722 1072362601 /nfs/dbraw/zinc/36/26/01/1072362601.db2.gz KYUYZRPEYBFXAE-INIZCTEOSA-N 0 0 447.511 -0.173 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000565472726 1072362363 /nfs/dbraw/zinc/36/23/63/1072362363.db2.gz KYUYZRPEYBFXAE-MRXNPFEDSA-N 0 0 447.511 -0.173 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)cn1 ZINC000565473499 1072362507 /nfs/dbraw/zinc/36/25/07/1072362507.db2.gz YAEZCYUJVHPJHK-KYJSFNMBSA-N 0 0 434.541 -0.358 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)cn1 ZINC000565473500 1072362378 /nfs/dbraw/zinc/36/23/78/1072362378.db2.gz YAEZCYUJVHPJHK-LESCRADOSA-N 0 0 434.541 -0.358 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3ccc(OC)c(F)c3)CC2)CC1 ZINC000565484369 1072362487 /nfs/dbraw/zinc/36/24/87/1072362487.db2.gz PEVKKRGPEADOJB-UHFFFAOYSA-N 0 0 449.527 -0.195 20 0 IBADRN Cc1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000565494523 1072362347 /nfs/dbraw/zinc/36/23/47/1072362347.db2.gz BJWVOYWUVAYEJY-UHFFFAOYSA-N 0 0 434.474 -0.164 20 0 IBADRN Cc1cc(C)n(-c2ccc(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)n1 ZINC000565494875 1072362559 /nfs/dbraw/zinc/36/25/59/1072362559.db2.gz IAGOPDGGYSEPJN-UHFFFAOYSA-N 0 0 427.509 -0.219 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CCN(CC(=O)NC)CC3)nc2n(CC)c1=O ZINC000565495858 1073351687 /nfs/dbraw/zinc/35/16/87/1073351687.db2.gz SDLYIDKHBCBFKU-UHFFFAOYSA-N 0 0 445.524 -0.153 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC000565497717 1072362609 /nfs/dbraw/zinc/36/26/09/1072362609.db2.gz CDHMVZYVDCYFHH-LLVKDONJSA-N 0 0 437.396 -0.126 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC000565497718 1072363161 /nfs/dbraw/zinc/36/31/61/1072363161.db2.gz CDHMVZYVDCYFHH-NSHDSACASA-N 0 0 437.396 -0.126 20 0 IBADRN Cn1nccc1[C@@H]1NC(=O)CC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000565499121 1072362970 /nfs/dbraw/zinc/36/29/70/1072362970.db2.gz SHHNYXUTSWXZLX-CRAIPNDOSA-N 0 0 447.521 -0.149 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N(C)CCN3CCOCC3)C2=O)c1 ZINC000565506093 1072363114 /nfs/dbraw/zinc/36/31/14/1072363114.db2.gz SLFPMCBMGWCFHY-GOSISDBHSA-N 0 0 434.493 -0.284 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N(C)CCN3CCOCC3)C2=O)c1 ZINC000565506102 1072363170 /nfs/dbraw/zinc/36/31/70/1072363170.db2.gz SLFPMCBMGWCFHY-SFHVURJKSA-N 0 0 434.493 -0.284 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000565506352 1072363084 /nfs/dbraw/zinc/36/30/84/1072363084.db2.gz WCKPCHLGSGXDOA-UHFFFAOYSA-N 0 0 425.507 -0.157 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000565506634 1072363074 /nfs/dbraw/zinc/36/30/74/1072363074.db2.gz IRAUNPNIOJYPKQ-UHFFFAOYSA-N 0 0 442.494 -0.856 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)c3ccc(Br)cc3C2=O)CC1 ZINC000565507904 1072362954 /nfs/dbraw/zinc/36/29/54/1072362954.db2.gz JVJXQJLGASKBBY-UHFFFAOYSA-N 0 0 445.295 -0.347 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(CN3CCOCC3)cn2)CC1 ZINC000565511281 1072363127 /nfs/dbraw/zinc/36/31/27/1072363127.db2.gz PDVRCUOYLKKGCC-GOSISDBHSA-N 0 0 431.537 -0.081 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(CN3CCOCC3)cn2)CC1 ZINC000565511284 1072362854 /nfs/dbraw/zinc/36/28/54/1072362854.db2.gz PDVRCUOYLKKGCC-SFHVURJKSA-N 0 0 431.537 -0.081 20 0 IBADRN COc1ccc(CNC(=O)c2ccc3c(n2)n(C)c(=O)n(C)c3=O)cc1S(N)(=O)=O ZINC000565512946 1072362938 /nfs/dbraw/zinc/36/29/38/1072362938.db2.gz VJCQSJVMQJXPOC-UHFFFAOYSA-N 0 0 433.446 -0.782 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000565513009 1072363136 /nfs/dbraw/zinc/36/31/36/1072363136.db2.gz XXLAFLZWWCXBCG-GFCCVEGCSA-N 0 0 447.564 -0.271 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N[C@H](CC)C(=O)N1CCOCC1)N1CCOCC1 ZINC000565527179 1072363479 /nfs/dbraw/zinc/36/34/79/1072363479.db2.gz GZDMKMCCLHNZHZ-MSOLQXFVSA-N 0 0 426.558 -0.007 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N[C@H](CC)C(=O)N1CCOCC1)N1CCOCC1 ZINC000565527201 1072363005 /nfs/dbraw/zinc/36/30/05/1072363005.db2.gz GZDMKMCCLHNZHZ-QZTJIDSGSA-N 0 0 426.558 -0.007 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N[C@@H](CC)C(=O)N1CCOCC1)N1CCOCC1 ZINC000565527202 1072362825 /nfs/dbraw/zinc/36/28/25/1072362825.db2.gz GZDMKMCCLHNZHZ-ROUUACIJSA-N 0 0 426.558 -0.007 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N[C@@H](CC)C(=O)N1CCOCC1)N1CCOCC1 ZINC000565527203 1072362905 /nfs/dbraw/zinc/36/29/05/1072362905.db2.gz GZDMKMCCLHNZHZ-ZWKOTPCHSA-N 0 0 426.558 -0.007 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCc1cccnc1 ZINC000565528341 1072363573 /nfs/dbraw/zinc/36/35/73/1072363573.db2.gz PTCRRYVCDGIZAO-UHFFFAOYSA-N 0 0 432.506 -0.639 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c1C ZINC000565530751 1072363600 /nfs/dbraw/zinc/36/36/00/1072363600.db2.gz OLOYKIWCJZXHDV-GFCCVEGCSA-N 0 0 432.524 -0.666 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c1C ZINC000565530752 1072363533 /nfs/dbraw/zinc/36/35/33/1072363533.db2.gz OLOYKIWCJZXHDV-LBPRGKRZSA-N 0 0 432.524 -0.666 20 0 IBADRN O=C(NCCc1nc2c(s1)CCCC2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000565531389 1072363648 /nfs/dbraw/zinc/36/36/48/1072363648.db2.gz KQFSGXLFVOAFHQ-UHFFFAOYSA-N 0 0 433.534 -0.370 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)NCCN1CCNC(=O)C1 ZINC000565531469 1072363659 /nfs/dbraw/zinc/36/36/59/1072363659.db2.gz ABBFKIUNSUIGAZ-UHFFFAOYSA-N 0 0 434.312 -0.125 20 0 IBADRN COCCN1CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1=O ZINC000565551753 1072363608 /nfs/dbraw/zinc/36/36/08/1072363608.db2.gz DLPTZGBIDHHXGS-UHFFFAOYSA-N 0 0 427.479 -0.209 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000565552698 1072363507 /nfs/dbraw/zinc/36/35/07/1072363507.db2.gz AOOFUWKCTMRHQY-UHFFFAOYSA-N 0 0 442.520 -0.140 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)nn1 ZINC000565552729 1072363542 /nfs/dbraw/zinc/36/35/42/1072363542.db2.gz BGDAHPXREOWVJX-DOMZBBRYSA-N 0 0 425.467 -0.783 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)nn1 ZINC000565552735 1072363592 /nfs/dbraw/zinc/36/35/92/1072363592.db2.gz BGDAHPXREOWVJX-IUODEOHRSA-N 0 0 425.467 -0.783 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)nn1 ZINC000565552736 1072363468 /nfs/dbraw/zinc/36/34/68/1072363468.db2.gz BGDAHPXREOWVJX-SWLSCSKDSA-N 0 0 425.467 -0.783 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)nn1 ZINC000565552737 1072363497 /nfs/dbraw/zinc/36/34/97/1072363497.db2.gz BGDAHPXREOWVJX-WFASDCNBSA-N 0 0 425.467 -0.783 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000565554408 1072363452 /nfs/dbraw/zinc/36/34/52/1072363452.db2.gz APRWJNAFSSAXHX-AWEZNQCLSA-N 0 0 446.551 -0.907 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000565554419 1072363637 /nfs/dbraw/zinc/36/36/37/1072363637.db2.gz APRWJNAFSSAXHX-CQSZACIVSA-N 0 0 446.551 -0.907 20 0 IBADRN O=C(NCCCN1CCc2ccccc21)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000565554783 1072363669 /nfs/dbraw/zinc/36/36/69/1072363669.db2.gz DILOOKWZRFWBSP-UHFFFAOYSA-N 0 0 427.505 -0.495 20 0 IBADRN COCc1cc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)ccc1F ZINC000565556339 1072363963 /nfs/dbraw/zinc/36/39/63/1072363963.db2.gz JZCPRIMSIIZSBI-UHFFFAOYSA-N 0 0 428.442 -0.188 20 0 IBADRN Cc1ccc(NC(=O)CN(C)c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000565556506 1072363950 /nfs/dbraw/zinc/36/39/50/1072363950.db2.gz NVWFRTCPSYBQHI-AEVYOOLXSA-N 0 0 428.449 -0.179 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C[C@H](CO)O1 ZINC000565557320 1072363975 /nfs/dbraw/zinc/36/39/75/1072363975.db2.gz DRXDIQMMODIOFU-BRWVUGGUSA-N 0 0 448.524 -0.994 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C[C@@H](CO)O1 ZINC000565557325 1072364205 /nfs/dbraw/zinc/36/42/05/1072364205.db2.gz DRXDIQMMODIOFU-IKGGRYGDSA-N 0 0 448.524 -0.994 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C[C@H](CO)O1 ZINC000565557326 1072364264 /nfs/dbraw/zinc/36/42/64/1072364264.db2.gz DRXDIQMMODIOFU-IXDOHACOSA-N 0 0 448.524 -0.994 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C[C@@H](CO)O1 ZINC000565557327 1072364149 /nfs/dbraw/zinc/36/41/49/1072364149.db2.gz DRXDIQMMODIOFU-ZACQAIPSSA-N 0 0 448.524 -0.994 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCc2ncnn2-c2ccccc2)C1=O ZINC000565559983 1072364049 /nfs/dbraw/zinc/36/40/49/1072364049.db2.gz IYMWWZGJPSVUAS-INIZCTEOSA-N 0 0 436.476 -0.076 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCc2ncnn2-c2ccccc2)C1=O ZINC000565559993 1072364092 /nfs/dbraw/zinc/36/40/92/1072364092.db2.gz IYMWWZGJPSVUAS-MRXNPFEDSA-N 0 0 436.476 -0.076 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1)NC1CC1 ZINC000565560534 1072364020 /nfs/dbraw/zinc/36/40/20/1072364020.db2.gz HAIWOYMWNKWYRU-GOSISDBHSA-N 0 0 445.495 -0.534 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1)NC1CC1 ZINC000565560539 1072364115 /nfs/dbraw/zinc/36/41/15/1072364115.db2.gz HAIWOYMWNKWYRU-SFHVURJKSA-N 0 0 445.495 -0.534 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CC[C@@H](C(=O)N2CCCCC2)C1 ZINC000565564680 1072364077 /nfs/dbraw/zinc/36/40/77/1072364077.db2.gz HRUNGGXFVKZNNA-OWCLPIDISA-N 0 0 428.555 -0.176 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CC(=O)N(CC(F)(F)F)C3)C2)nc1 ZINC000565565561 1072364039 /nfs/dbraw/zinc/36/40/39/1072364039.db2.gz GEUHMJNRYIBSSS-UHFFFAOYSA-N 0 0 443.382 -0.246 20 0 IBADRN O=C(CN(CC(=O)N1CCc2n[nH]nc2C1)Cc1ccccc1)N1CCc2n[nH]nc2C1 ZINC000565567450 1072364031 /nfs/dbraw/zinc/36/40/31/1072364031.db2.gz UANXMDNIPXKKLB-UHFFFAOYSA-N 0 0 435.492 -0.105 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCOC3(CCSCC3)C2)CC1 ZINC000565568180 1072364085 /nfs/dbraw/zinc/36/40/85/1072364085.db2.gz ZBLOYQOXINDLMY-HNNXBMFYSA-N 0 0 438.550 -0.503 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCOC3(CCSCC3)C2)CC1 ZINC000565568181 1072364010 /nfs/dbraw/zinc/36/40/10/1072364010.db2.gz ZBLOYQOXINDLMY-OAHLLOKOSA-N 0 0 438.550 -0.503 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC2CCC3(CC2)NC(=O)NC3=O)cc1OC ZINC000565570573 1072364797 /nfs/dbraw/zinc/36/47/97/1072364797.db2.gz FPHNEPDGEATMRU-UHFFFAOYSA-N 0 0 440.478 -0.381 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1F ZINC000565571856 1072364610 /nfs/dbraw/zinc/36/46/10/1072364610.db2.gz NIEPTRIEUGYKMG-UHFFFAOYSA-N 0 0 434.421 -0.877 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000565572438 1072364777 /nfs/dbraw/zinc/36/47/77/1072364777.db2.gz POYMBLMOAIYBFB-LLVKDONJSA-N 0 0 437.927 -0.620 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000565572443 1072364760 /nfs/dbraw/zinc/36/47/60/1072364760.db2.gz POYMBLMOAIYBFB-NSHDSACASA-N 0 0 437.927 -0.620 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2C[C@H](C)O[C@H](CO)C2)Cc2ccccc2)C[C@H](CO)O1 ZINC000565573423 1072364702 /nfs/dbraw/zinc/36/47/02/1072364702.db2.gz OXFRGPXBDFRGTE-GHSBBIOCSA-N 0 0 449.548 -0.295 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2C[C@H](CO)O[C@@H](C)C2)Cc2ccccc2)C[C@H](CO)O1 ZINC000565573426 1072364641 /nfs/dbraw/zinc/36/46/41/1072364641.db2.gz OXFRGPXBDFRGTE-KOUHRCEDSA-N 0 0 449.548 -0.295 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2C[C@H](CO)O[C@@H](C)C2)Cc2ccccc2)C[C@@H](CO)O1 ZINC000565573428 1072364564 /nfs/dbraw/zinc/36/45/64/1072364564.db2.gz OXFRGPXBDFRGTE-NZXJAIKPSA-N 0 0 449.548 -0.295 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CC(=O)N2C[C@H](C)O[C@H](CO)C2)Cc2ccccc2)C[C@@H](CO)O1 ZINC000565573431 1072364741 /nfs/dbraw/zinc/36/47/41/1072364741.db2.gz OXFRGPXBDFRGTE-YHELAOLJSA-N 0 0 449.548 -0.295 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2cc(C3CC3)n(C)n2)c1 ZINC000565581560 1073333579 /nfs/dbraw/zinc/33/35/79/1073333579.db2.gz XBJTVFKSEWOXBS-UHFFFAOYSA-N 0 0 435.506 0.477 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000565589193 1072364667 /nfs/dbraw/zinc/36/46/67/1072364667.db2.gz HTJAZPIJXYDYFL-PMACEKPBSA-N 0 0 434.537 -0.139 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000565589194 1072364710 /nfs/dbraw/zinc/36/47/10/1072364710.db2.gz HTJAZPIJXYDYFL-UXHICEINSA-N 0 0 434.537 -0.139 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000565589195 1072364571 /nfs/dbraw/zinc/36/45/71/1072364571.db2.gz HTJAZPIJXYDYFL-VQTJNVASSA-N 0 0 434.537 -0.139 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000565589196 1072364649 /nfs/dbraw/zinc/36/46/49/1072364649.db2.gz HTJAZPIJXYDYFL-WOJBJXKFSA-N 0 0 434.537 -0.139 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCC3(N4CCOCC4)CCCCC3)C2=O)cn1 ZINC000565611760 1072365220 /nfs/dbraw/zinc/36/52/20/1072365220.db2.gz DGKCLWXZHVFSMT-KRWDZBQOSA-N 0 0 432.525 -0.207 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCC3(N4CCOCC4)CCCCC3)C2=O)cn1 ZINC000565611768 1072365285 /nfs/dbraw/zinc/36/52/85/1072365285.db2.gz DGKCLWXZHVFSMT-QGZVFWFLSA-N 0 0 432.525 -0.207 20 0 IBADRN Cc1cc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)ccc2n(C)c1=O ZINC000565612172 1072364628 /nfs/dbraw/zinc/36/46/28/1072364628.db2.gz GTHBXDABSIVLOI-UHFFFAOYSA-N 0 0 441.488 -0.019 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)C1 ZINC000565612311 1072365313 /nfs/dbraw/zinc/36/53/13/1072365313.db2.gz HYRJRMZIFKRVDG-CVEARBPZSA-N 0 0 442.538 -0.382 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)C1 ZINC000565612314 1072365163 /nfs/dbraw/zinc/36/51/63/1072365163.db2.gz HYRJRMZIFKRVDG-HOTGVXAUSA-N 0 0 442.538 -0.382 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)C1 ZINC000565612316 1072365139 /nfs/dbraw/zinc/36/51/39/1072365139.db2.gz HYRJRMZIFKRVDG-HZPDHXFCSA-N 0 0 442.538 -0.382 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)C1 ZINC000565612317 1072365069 /nfs/dbraw/zinc/36/50/69/1072365069.db2.gz HYRJRMZIFKRVDG-JKSUJKDBSA-N 0 0 442.538 -0.382 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000565612532 1072365122 /nfs/dbraw/zinc/36/51/22/1072365122.db2.gz LWYZEPFAYBKLHY-AWEZNQCLSA-N 0 0 446.551 -0.196 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000565612537 1072365081 /nfs/dbraw/zinc/36/50/81/1072365081.db2.gz LWYZEPFAYBKLHY-CQSZACIVSA-N 0 0 446.551 -0.196 20 0 IBADRN COc1ccc([C@H](C)NC(=O)C(=O)N2CCO[C@H](CNc3nccn(C)c3=O)C2)cc1F ZINC000565613345 1072365170 /nfs/dbraw/zinc/36/51/70/1072365170.db2.gz RERDMQUKAWYCCF-DZGCQCFKSA-N 0 0 447.467 0.445 20 0 IBADRN COc1ccc([C@@H](C)NC(=O)C(=O)N2CCO[C@@H](CNc3nccn(C)c3=O)C2)cc1F ZINC000565613358 1073347209 /nfs/dbraw/zinc/34/72/09/1073347209.db2.gz RERDMQUKAWYCCF-HIFRSBDPSA-N 0 0 447.467 0.445 20 0 IBADRN COc1ccc([C@@H](C)NC(=O)C(=O)N2CCO[C@H](CNc3nccn(C)c3=O)C2)cc1F ZINC000565613359 1073347198 /nfs/dbraw/zinc/34/71/98/1073347198.db2.gz RERDMQUKAWYCCF-UKRRQHHQSA-N 0 0 447.467 0.445 20 0 IBADRN COc1ccc([C@H](C)NC(=O)C(=O)N2CCO[C@@H](CNc3nccn(C)c3=O)C2)cc1F ZINC000565613360 1072365109 /nfs/dbraw/zinc/36/51/09/1072365109.db2.gz RERDMQUKAWYCCF-ZFWWWQNUSA-N 0 0 447.467 0.445 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCc4nc(C)ncc4C3)CC2)n1 ZINC000565614274 1072365181 /nfs/dbraw/zinc/36/51/81/1072365181.db2.gz UWMWGYKCGAWTRP-HNNXBMFYSA-N 0 0 429.481 -0.368 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)ncc4C3)CC2)n1 ZINC000565614275 1072365132 /nfs/dbraw/zinc/36/51/32/1072365132.db2.gz UWMWGYKCGAWTRP-OAHLLOKOSA-N 0 0 429.481 -0.368 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C12CCOCC2 ZINC000565614606 1072365258 /nfs/dbraw/zinc/36/52/58/1072365258.db2.gz ZAKNEOLGSLSCAF-MSOLQXFVSA-N 0 0 446.552 -0.195 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C12CCOCC2 ZINC000565614609 1072365276 /nfs/dbraw/zinc/36/52/76/1072365276.db2.gz ZAKNEOLGSLSCAF-QZTJIDSGSA-N 0 0 446.552 -0.195 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C12CCOCC2 ZINC000565614610 1072365148 /nfs/dbraw/zinc/36/51/48/1072365148.db2.gz ZAKNEOLGSLSCAF-ROUUACIJSA-N 0 0 446.552 -0.195 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C12CCOCC2 ZINC000565614611 1072365269 /nfs/dbraw/zinc/36/52/69/1072365269.db2.gz ZAKNEOLGSLSCAF-ZWKOTPCHSA-N 0 0 446.552 -0.195 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)cn1 ZINC000565620965 1072365637 /nfs/dbraw/zinc/36/56/37/1072365637.db2.gz MJLKGMDJRHXNLC-UHFFFAOYSA-N 0 0 428.493 -0.071 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1COc2ccccc21 ZINC000565626530 1072365647 /nfs/dbraw/zinc/36/56/47/1072365647.db2.gz MYZURRYVCPKIEV-AEFFLSMTSA-N 0 0 430.505 -0.330 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1COc2ccccc21 ZINC000565626534 1072365657 /nfs/dbraw/zinc/36/56/57/1072365657.db2.gz MYZURRYVCPKIEV-FUHWJXTLSA-N 0 0 430.505 -0.330 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1COc2ccccc21 ZINC000565626535 1072365556 /nfs/dbraw/zinc/36/55/56/1072365556.db2.gz MYZURRYVCPKIEV-SJLPKXTDSA-N 0 0 430.505 -0.330 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1COc2ccccc21 ZINC000565626536 1072365652 /nfs/dbraw/zinc/36/56/52/1072365652.db2.gz MYZURRYVCPKIEV-WMZOPIPTSA-N 0 0 430.505 -0.330 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCNC(=O)C[C@@H]2c2ccccc2)cn1 ZINC000565628771 1072365702 /nfs/dbraw/zinc/36/57/02/1072365702.db2.gz ZEINRGAAFSIXGU-HLIPFELVSA-N 0 0 438.488 -0.054 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCNC(=O)C[C@H]2c2ccccc2)cn1 ZINC000565628772 1072365610 /nfs/dbraw/zinc/36/56/10/1072365610.db2.gz ZEINRGAAFSIXGU-UWVAXJGDSA-N 0 0 438.488 -0.054 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000565628972 1072365579 /nfs/dbraw/zinc/36/55/79/1072365579.db2.gz IUSKGLCIHNJROC-UHFFFAOYSA-N 0 0 442.519 -0.064 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCc4[nH]cnc4C3)CC2)C[C@@H](C)O1 ZINC000565630372 1072365618 /nfs/dbraw/zinc/36/56/18/1072365618.db2.gz KMQODKKFPWAGIP-KFWWJZLASA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCc4[nH]cnc4C3)CC2)C[C@H](C)O1 ZINC000565630381 1072365668 /nfs/dbraw/zinc/36/56/68/1072365668.db2.gz KMQODKKFPWAGIP-QLFBSQMISA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCc4[nH]cnc4C3)CC2)C[C@@H](C)O1 ZINC000565630383 1072365676 /nfs/dbraw/zinc/36/56/76/1072365676.db2.gz KMQODKKFPWAGIP-RBSFLKMASA-N 0 0 426.543 -0.052 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCc4[nH]cnc4C3)CC2)C[C@H](C)O1 ZINC000565630385 1072365537 /nfs/dbraw/zinc/36/55/37/1072365537.db2.gz KMQODKKFPWAGIP-ZNMIVQPWSA-N 0 0 426.543 -0.052 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@@H]2CCC(=O)N(C)[C@H]2c2ccnn2C)CC1 ZINC000565630411 1072365688 /nfs/dbraw/zinc/36/56/88/1072365688.db2.gz KUSJPEGADBPZKH-RHSMWYFYSA-N 0 0 441.558 -0.394 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)COCc3nncn3C)CC2)c1 ZINC000565646097 1072365566 /nfs/dbraw/zinc/36/55/66/1072365566.db2.gz PGBAQKZYMHPXAH-UHFFFAOYSA-N 0 0 443.507 -0.287 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000565648050 1072366096 /nfs/dbraw/zinc/36/60/96/1072366096.db2.gz FGGONVHQGLRPHJ-HNNXBMFYSA-N 0 0 435.912 -0.158 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000565648059 1072366258 /nfs/dbraw/zinc/36/62/58/1072366258.db2.gz FGGONVHQGLRPHJ-OAHLLOKOSA-N 0 0 435.912 -0.158 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2C[C@@H](C)[C@@H](N3CCOCC3)C2)C1=O ZINC000565663305 1072366308 /nfs/dbraw/zinc/36/63/08/1072366308.db2.gz HGLUWZDTGUKVLU-IKGGRYGDSA-N 0 0 432.525 -0.306 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2C[C@@H](C)[C@H](N3CCOCC3)C2)C1=O ZINC000565663306 1072366289 /nfs/dbraw/zinc/36/62/89/1072366289.db2.gz HGLUWZDTGUKVLU-IXDOHACOSA-N 0 0 432.525 -0.306 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2C[C@H](N3CCOCC3)[C@@H](C)C2)C1=O ZINC000565663307 1072366131 /nfs/dbraw/zinc/36/61/31/1072366131.db2.gz HGLUWZDTGUKVLU-ULQDDVLXSA-N 0 0 432.525 -0.306 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2C[C@H](C)[C@H](N3CCOCC3)C2)C1=O ZINC000565663308 1072366225 /nfs/dbraw/zinc/36/62/25/1072366225.db2.gz HGLUWZDTGUKVLU-YESZJQIVSA-N 0 0 432.525 -0.306 20 0 IBADRN O=C(NCCn1cccn1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000565664262 1072366390 /nfs/dbraw/zinc/36/63/90/1072366390.db2.gz GMKORGJSRWEXAK-INIZCTEOSA-N 0 0 428.497 -0.589 20 0 IBADRN O=C(NCCn1cccn1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000565664272 1072366154 /nfs/dbraw/zinc/36/61/54/1072366154.db2.gz GMKORGJSRWEXAK-MRXNPFEDSA-N 0 0 428.497 -0.589 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000565670023 1072365996 /nfs/dbraw/zinc/36/59/96/1072365996.db2.gz IMNPSPMBSOESRZ-UHFFFAOYSA-N 0 0 435.525 -0.320 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000565671144 1072366119 /nfs/dbraw/zinc/36/61/19/1072366119.db2.gz OCRZSBBBFZISHN-UHFFFAOYSA-N 0 0 433.508 -0.770 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000565678954 1072366866 /nfs/dbraw/zinc/36/68/66/1072366866.db2.gz RTLNIPBWJWHKHY-INIZCTEOSA-N 0 0 434.541 -0.404 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000565678961 1072366806 /nfs/dbraw/zinc/36/68/06/1072366806.db2.gz RTLNIPBWJWHKHY-MRXNPFEDSA-N 0 0 434.541 -0.404 20 0 IBADRN COc1ccc(C2(CNC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CCC2)cc1 ZINC000565680529 1072366917 /nfs/dbraw/zinc/36/69/17/1072366917.db2.gz XYPYVBHGRIPRHN-UHFFFAOYSA-N 0 0 441.492 -0.409 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2cccnc2OCC(F)F)CC1 ZINC000565682063 1072366886 /nfs/dbraw/zinc/36/68/86/1072366886.db2.gz AFTBFRIUUOVWCH-UHFFFAOYSA-N 0 0 427.452 -0.036 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)n1 ZINC000565683018 1072366753 /nfs/dbraw/zinc/36/67/53/1072366753.db2.gz JDNNZYNUFHSQTJ-UHFFFAOYSA-N 0 0 442.523 -0.083 20 0 IBADRN CCOc1ncccc1NC(=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000565683601 1072366699 /nfs/dbraw/zinc/36/66/99/1072366699.db2.gz VDQMZTWKPRLCIW-UHFFFAOYSA-N 0 0 441.510 -0.424 20 0 IBADRN CN(C)c1cccnc1CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000565688497 1072366852 /nfs/dbraw/zinc/36/68/52/1072366852.db2.gz UFHKWBMLRRFWKH-UHFFFAOYSA-N 0 0 425.559 -0.533 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(CCn3cncn3)CC2)cc1OC ZINC000565688799 1072366765 /nfs/dbraw/zinc/36/67/65/1072366765.db2.gz BCEUAEQZIBKUOL-UHFFFAOYSA-N 0 0 438.510 -0.582 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000565704361 1072366943 /nfs/dbraw/zinc/36/69/43/1072366943.db2.gz DDTBRULKRJPFAJ-UHFFFAOYSA-N 0 0 428.449 -0.072 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CC1 ZINC000565707993 1072366782 /nfs/dbraw/zinc/36/67/82/1072366782.db2.gz FXGRQWXVEYXUGA-UHFFFAOYSA-N 0 0 435.569 -0.046 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCc3sc(C)nc3C)CC2)no1 ZINC000565708847 1073329510 /nfs/dbraw/zinc/32/95/10/1073329510.db2.gz RHSULDFTRCTYMZ-UHFFFAOYSA-N 0 0 434.522 0.498 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000565721374 1072366744 /nfs/dbraw/zinc/36/67/44/1072366744.db2.gz QILAVBBDPTWFRR-CYBMUJFWSA-N 0 0 445.567 -0.184 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc2c(c1)n(C)c(=O)n2C ZINC000565721376 1072366709 /nfs/dbraw/zinc/36/67/09/1072366709.db2.gz QILAVBBDPTWFRR-ZDUSSCGKSA-N 0 0 445.567 -0.184 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccco2)CC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000565729371 1072367239 /nfs/dbraw/zinc/36/72/39/1072367239.db2.gz FXZZYMVKXOHWFY-KRWDZBQOSA-N 0 0 432.477 -0.150 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccco2)CC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000565729381 1072367213 /nfs/dbraw/zinc/36/72/13/1072367213.db2.gz FXZZYMVKXOHWFY-QGZVFWFLSA-N 0 0 432.477 -0.150 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)NCc3cc[nH]n3)cc2)CC1 ZINC000565730335 1072367298 /nfs/dbraw/zinc/36/72/98/1072367298.db2.gz SCBMDJKBVSHXJC-UHFFFAOYSA-N 0 0 442.520 -0.072 20 0 IBADRN O=C(NCCCOC[C@@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000565730997 1072367153 /nfs/dbraw/zinc/36/71/53/1072367153.db2.gz ZAGXNUVGTTWYMD-INIZCTEOSA-N 0 0 440.522 -0.384 20 0 IBADRN O=C(NCCCOC[C@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000565731001 1072367343 /nfs/dbraw/zinc/36/73/43/1072367343.db2.gz ZAGXNUVGTTWYMD-MRXNPFEDSA-N 0 0 440.522 -0.384 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)CC1 ZINC000565731042 1072367253 /nfs/dbraw/zinc/36/72/53/1072367253.db2.gz WLMRDLNGSWCVSO-HNNXBMFYSA-N 0 0 431.541 -0.178 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)CC1 ZINC000565731043 1072367191 /nfs/dbraw/zinc/36/71/91/1072367191.db2.gz WLMRDLNGSWCVSO-OAHLLOKOSA-N 0 0 431.541 -0.178 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000565732120 1072367398 /nfs/dbraw/zinc/36/73/98/1072367398.db2.gz DAVSXUNBSPRWSF-GFCCVEGCSA-N 0 0 442.448 -0.406 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000565732121 1072367358 /nfs/dbraw/zinc/36/73/58/1072367358.db2.gz DAVSXUNBSPRWSF-LBPRGKRZSA-N 0 0 442.448 -0.406 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CC[S@@](=O)C[C@@H]1c1cnn(C)c1)S(C)(=O)=O ZINC000565734189 1072367227 /nfs/dbraw/zinc/36/72/27/1072367227.db2.gz TUJNPTGWJRIUSB-CHAGWJKLSA-N 0 0 433.600 -0.298 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CC[S@](=O)C[C@@H]1c1cnn(C)c1)S(C)(=O)=O ZINC000565734192 1072367176 /nfs/dbraw/zinc/36/71/76/1072367176.db2.gz TUJNPTGWJRIUSB-JWIGPWBQSA-N 0 0 433.600 -0.298 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CC[S@](=O)C[C@H]1c1cnn(C)c1)S(C)(=O)=O ZINC000565734193 1072367182 /nfs/dbraw/zinc/36/71/82/1072367182.db2.gz TUJNPTGWJRIUSB-OQRWROFFSA-N 0 0 433.600 -0.298 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CC[S@@](=O)C[C@H]1c1cnn(C)c1)S(C)(=O)=O ZINC000565734194 1072367160 /nfs/dbraw/zinc/36/71/60/1072367160.db2.gz TUJNPTGWJRIUSB-RKOGDMNLSA-N 0 0 433.600 -0.298 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC000565736142 1072367279 /nfs/dbraw/zinc/36/72/79/1072367279.db2.gz DVUGHIIHKTVKDW-AWEZNQCLSA-N 0 0 425.577 -0.630 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC000565736144 1072367330 /nfs/dbraw/zinc/36/73/30/1072367330.db2.gz DVUGHIIHKTVKDW-CQSZACIVSA-N 0 0 425.577 -0.630 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)C1=O ZINC000565737352 1072367166 /nfs/dbraw/zinc/36/71/66/1072367166.db2.gz NCBPADGQRQJQRH-DLBZAZTESA-N 0 0 432.525 -0.650 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)C1=O ZINC000565737355 1072367202 /nfs/dbraw/zinc/36/72/02/1072367202.db2.gz NCBPADGQRQJQRH-IAGOWNOFSA-N 0 0 432.525 -0.650 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)C1=O ZINC000565737356 1072367797 /nfs/dbraw/zinc/36/77/97/1072367797.db2.gz NCBPADGQRQJQRH-IRXDYDNUSA-N 0 0 432.525 -0.650 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)C1=O ZINC000565737357 1072367701 /nfs/dbraw/zinc/36/77/01/1072367701.db2.gz NCBPADGQRQJQRH-SJORKVTESA-N 0 0 432.525 -0.650 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000565738357 1072367855 /nfs/dbraw/zinc/36/78/55/1072367855.db2.gz VYKVUHKZHGVEGR-AWEZNQCLSA-N 0 0 430.552 -0.349 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)C1 ZINC000565738600 1072367975 /nfs/dbraw/zinc/36/79/75/1072367975.db2.gz XODIMEGCWMENFD-FCEWJHQRSA-N 0 0 447.554 -0.660 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)C1 ZINC000565738601 1072367841 /nfs/dbraw/zinc/36/78/41/1072367841.db2.gz XODIMEGCWMENFD-FRQCXROJSA-N 0 0 447.554 -0.660 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)C1 ZINC000565738602 1072367954 /nfs/dbraw/zinc/36/79/54/1072367954.db2.gz XODIMEGCWMENFD-GPMSIDNRSA-N 0 0 447.554 -0.660 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)C(=O)N1CCOCC1 ZINC000565738620 1072367965 /nfs/dbraw/zinc/36/79/65/1072367965.db2.gz STYXGYDIRQAFGD-GOSISDBHSA-N 0 0 445.520 -0.477 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1)C(=O)N1CCOCC1 ZINC000565738622 1072367811 /nfs/dbraw/zinc/36/78/11/1072367811.db2.gz STYXGYDIRQAFGD-SFHVURJKSA-N 0 0 445.520 -0.477 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)C1 ZINC000565738820 1072367909 /nfs/dbraw/zinc/36/79/09/1072367909.db2.gz XODIMEGCWMENFD-MDZRGWNJSA-N 0 0 447.554 -0.660 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1C(F)(F)F ZINC000565743310 1072367898 /nfs/dbraw/zinc/36/78/98/1072367898.db2.gz HPPZIQSRBRCMLC-LLVKDONJSA-N 0 0 437.396 -0.562 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1C(F)(F)F ZINC000565743313 1072367825 /nfs/dbraw/zinc/36/78/25/1072367825.db2.gz HPPZIQSRBRCMLC-NSHDSACASA-N 0 0 437.396 -0.562 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc21 ZINC000565754190 1072367726 /nfs/dbraw/zinc/36/77/26/1072367726.db2.gz LWOGPXHVQDPQLF-UHFFFAOYSA-N 0 0 431.449 -0.423 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1nnc2n1CCCCC2 ZINC000565763650 1072367875 /nfs/dbraw/zinc/36/78/75/1072367875.db2.gz KMZIIFNLDMXTHZ-UHFFFAOYSA-N 0 0 430.556 -0.885 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000565764033 1072367739 /nfs/dbraw/zinc/36/77/39/1072367739.db2.gz GWPCQZBUTIGLRC-UHFFFAOYSA-N 0 0 427.509 -0.097 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2nnn(CCOc3ccc(F)cc3)c2C)CCO1 ZINC000565764104 1072367947 /nfs/dbraw/zinc/36/79/47/1072367947.db2.gz OOTDUVOBZBRVTO-HNNXBMFYSA-N 0 0 434.428 -0.283 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2nnn(CCOc3ccc(F)cc3)c2C)CCO1 ZINC000565764105 1072367783 /nfs/dbraw/zinc/36/77/83/1072367783.db2.gz OOTDUVOBZBRVTO-OAHLLOKOSA-N 0 0 434.428 -0.283 20 0 IBADRN COCCOc1ccc(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)nn1 ZINC000565766130 1072367714 /nfs/dbraw/zinc/36/77/14/1072367714.db2.gz JWWWRXNCAZYBSY-UHFFFAOYSA-N 0 0 449.489 -0.448 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)on1 ZINC000565766198 1072367925 /nfs/dbraw/zinc/36/79/25/1072367925.db2.gz SBKSASKKBZPISJ-UHFFFAOYSA-N 0 0 431.449 -0.583 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CCCC1 ZINC000565766615 1072368422 /nfs/dbraw/zinc/36/84/22/1072368422.db2.gz PJOYSNZZSNUSSY-UHFFFAOYSA-N 0 0 434.584 -0.754 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2cccnc2)C1 ZINC000565767089 1072367886 /nfs/dbraw/zinc/36/78/86/1072367886.db2.gz HVMLOYXBQBQZBF-HNNXBMFYSA-N 0 0 434.540 -0.741 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2cccnc2)C1 ZINC000565767090 1072368269 /nfs/dbraw/zinc/36/82/69/1072368269.db2.gz HVMLOYXBQBQZBF-OAHLLOKOSA-N 0 0 434.540 -0.741 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000565767859 1072368255 /nfs/dbraw/zinc/36/82/55/1072368255.db2.gz IZJBMFIWUNNNAE-INIZCTEOSA-N 0 0 442.476 -0.149 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000565767860 1072368469 /nfs/dbraw/zinc/36/84/69/1072368469.db2.gz IZJBMFIWUNNNAE-MRXNPFEDSA-N 0 0 442.476 -0.149 20 0 IBADRN O=S(=O)(CCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000565792762 1072368558 /nfs/dbraw/zinc/36/85/58/1072368558.db2.gz VZZLSEHLLITXLX-NVQRDWNXSA-N 0 0 449.489 -0.286 20 0 IBADRN COC1(C)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000565793043 1072368240 /nfs/dbraw/zinc/36/82/40/1072368240.db2.gz ZXHUGSRZOBYDLE-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCc3cn(CCOC)nc32)CC1 ZINC000565800389 1072368232 /nfs/dbraw/zinc/36/82/32/1072368232.db2.gz GCBXRGYPQBDZMB-HNNXBMFYSA-N 0 0 427.527 -0.483 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3cn(CCOC)nc32)CC1 ZINC000565800392 1072368201 /nfs/dbraw/zinc/36/82/01/1072368201.db2.gz GCBXRGYPQBDZMB-OAHLLOKOSA-N 0 0 427.527 -0.483 20 0 IBADRN O=C(N[C@@H]1CNC(=O)C1)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000565801030 1072368411 /nfs/dbraw/zinc/36/84/11/1072368411.db2.gz MGCWTPUGKPOEKP-AWEZNQCLSA-N 0 0 438.506 -0.838 20 0 IBADRN O=C(N[C@H]1CNC(=O)C1)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000565801031 1072368318 /nfs/dbraw/zinc/36/83/18/1072368318.db2.gz MGCWTPUGKPOEKP-CQSZACIVSA-N 0 0 438.506 -0.838 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)CC1 ZINC000565803377 1072368512 /nfs/dbraw/zinc/36/85/12/1072368512.db2.gz XHRIPOCCWRSJHO-GDLZYMKVSA-N 0 0 426.583 -0.194 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)CC1 ZINC000565803378 1072368336 /nfs/dbraw/zinc/36/83/36/1072368336.db2.gz XHRIPOCCWRSJHO-LJAQVGFWSA-N 0 0 426.583 -0.194 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000565803872 1072368838 /nfs/dbraw/zinc/36/88/38/1072368838.db2.gz SWGBBTKUSREWTJ-AWEZNQCLSA-N 0 0 431.536 -0.609 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000565803873 1072368942 /nfs/dbraw/zinc/36/89/42/1072368942.db2.gz SWGBBTKUSREWTJ-CQSZACIVSA-N 0 0 431.536 -0.609 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000565805022 1072368912 /nfs/dbraw/zinc/36/89/12/1072368912.db2.gz SAOOFMPPEUDEJJ-JTQLQIEISA-N 0 0 434.421 -0.353 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000565805023 1072369064 /nfs/dbraw/zinc/36/90/64/1072369064.db2.gz SAOOFMPPEUDEJJ-SNVBAGLBSA-N 0 0 434.421 -0.353 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cn1 ZINC000565810523 1072368927 /nfs/dbraw/zinc/36/89/27/1072368927.db2.gz RGURPKVIQVKFQG-CJNGLKHVSA-N 0 0 427.465 -0.458 20 0 IBADRN O=C(N[C@H]1CSc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000565825218 1072369005 /nfs/dbraw/zinc/36/90/05/1072369005.db2.gz CUWLZZFNGSOWOQ-HNNXBMFYSA-N 0 0 432.502 -0.521 20 0 IBADRN O=C(N[C@@H]1CSc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000565825220 1072368971 /nfs/dbraw/zinc/36/89/71/1072368971.db2.gz CUWLZZFNGSOWOQ-OAHLLOKOSA-N 0 0 432.502 -0.521 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccn(Cc3ccncc3)n2)CC1 ZINC000565826764 1073309317 /nfs/dbraw/zinc/30/93/17/1073309317.db2.gz ZIZLBFWAHAJRHA-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN COc1nnc(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)s1 ZINC000565847598 1072368795 /nfs/dbraw/zinc/36/87/95/1072368795.db2.gz PSEKPNGRWWNJNW-UHFFFAOYSA-N 0 0 427.512 0.215 20 0 IBADRN COC(=O)CN1CCC[C@@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1 ZINC000565849429 1072369081 /nfs/dbraw/zinc/36/90/81/1072369081.db2.gz MOVFGGXMUDJUNC-AWEZNQCLSA-N 0 0 428.511 -0.350 20 0 IBADRN COC(=O)CN1CCC[C@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1 ZINC000565849430 1072368851 /nfs/dbraw/zinc/36/88/51/1072368851.db2.gz MOVFGGXMUDJUNC-CQSZACIVSA-N 0 0 428.511 -0.350 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N[C@@H]2CCC(=O)N(C3CC3)[C@H]2c2ccnn2C)nn1 ZINC000565851113 1072368995 /nfs/dbraw/zinc/36/89/95/1072368995.db2.gz GLNSOEUUGMTTEQ-CXAGYDPISA-N 0 0 430.469 -0.008 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC(C(=O)OC)CC2)c1 ZINC000565851124 1072368819 /nfs/dbraw/zinc/36/88/19/1072368819.db2.gz GWFRALBOEYZZIP-UHFFFAOYSA-N 0 0 441.506 -0.361 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000565855613 1072369600 /nfs/dbraw/zinc/36/96/00/1072369600.db2.gz VCHRTWFAIZXPMB-CRAIPNDOSA-N 0 0 442.520 -0.496 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000565855614 1072369564 /nfs/dbraw/zinc/36/95/64/1072369564.db2.gz VCHRTWFAIZXPMB-MAUKXSAKSA-N 0 0 442.520 -0.496 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000565855617 1072369362 /nfs/dbraw/zinc/36/93/62/1072369362.db2.gz VCHRTWFAIZXPMB-QAPCUYQASA-N 0 0 442.520 -0.496 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000565855619 1072369507 /nfs/dbraw/zinc/36/95/07/1072369507.db2.gz VCHRTWFAIZXPMB-YJBOKZPZSA-N 0 0 442.520 -0.496 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000565856065 1072368878 /nfs/dbraw/zinc/36/88/78/1072368878.db2.gz XUTVPSPKRCIAJQ-KFWWJZLASA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000565856066 1072368983 /nfs/dbraw/zinc/36/89/83/1072368983.db2.gz XUTVPSPKRCIAJQ-RBSFLKMASA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000565856067 1072369056 /nfs/dbraw/zinc/36/90/56/1072369056.db2.gz XUTVPSPKRCIAJQ-RRFJBIMHSA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000565856068 1072369495 /nfs/dbraw/zinc/36/94/95/1072369495.db2.gz XUTVPSPKRCIAJQ-ZNMIVQPWSA-N 0 0 439.604 -0.336 20 0 IBADRN Cc1cccn2c(=O)cc(CN3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)nc12 ZINC000565857826 1072369325 /nfs/dbraw/zinc/36/93/25/1072369325.db2.gz LIPZROMEYJRBQS-INIZCTEOSA-N 0 0 433.534 -0.576 20 0 IBADRN Cc1cccn2c(=O)cc(CN3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)nc12 ZINC000565857827 1072369464 /nfs/dbraw/zinc/36/94/64/1072369464.db2.gz LIPZROMEYJRBQS-MRXNPFEDSA-N 0 0 433.534 -0.576 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCC2(N3CCOCC3)CC2)cn1 ZINC000565862314 1072369551 /nfs/dbraw/zinc/36/95/51/1072369551.db2.gz ZNKDHAHIQDJVRE-INIZCTEOSA-N 0 0 439.538 -0.818 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCC2(N3CCOCC3)CC2)cn1 ZINC000565862315 1072369537 /nfs/dbraw/zinc/36/95/37/1072369537.db2.gz ZNKDHAHIQDJVRE-MRXNPFEDSA-N 0 0 439.538 -0.818 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(Cc3noc(C)n3)CC2)cc1 ZINC000565863255 1072369522 /nfs/dbraw/zinc/36/95/22/1072369522.db2.gz YLQKVPILPXNHDW-UHFFFAOYSA-N 0 0 436.494 -0.041 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(I)cn1 ZINC000565863501 1072369419 /nfs/dbraw/zinc/36/94/19/1072369419.db2.gz AQJDPXDLWOQQNJ-UHFFFAOYSA-N 0 0 426.236 -0.368 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000565884148 1072369579 /nfs/dbraw/zinc/36/95/79/1072369579.db2.gz XVCQYGIVTSTVIM-UHFFFAOYSA-N 0 0 445.446 -0.354 20 0 IBADRN C[C@@H]1[C@H](C)OCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000565903922 1072369474 /nfs/dbraw/zinc/36/94/74/1072369474.db2.gz AAUPHGKDLANZHL-CABCVRRESA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@@H]1OCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H]1C ZINC000565903939 1072369348 /nfs/dbraw/zinc/36/93/48/1072369348.db2.gz AAUPHGKDLANZHL-GJZGRUSLSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@H]1OCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H]1C ZINC000565903941 1072370189 /nfs/dbraw/zinc/37/01/89/1072370189.db2.gz AAUPHGKDLANZHL-HUUCEWRRSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@H]1OCCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H]1C ZINC000565903942 1072369912 /nfs/dbraw/zinc/36/99/12/1072369912.db2.gz AAUPHGKDLANZHL-LSDHHAIUSA-N 0 0 425.507 -0.041 20 0 IBADRN CCn1nc(C)c(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000565905191 1072369855 /nfs/dbraw/zinc/36/98/55/1072369855.db2.gz JHKVLQFCBXTEJY-INIZCTEOSA-N 0 0 425.555 -0.490 20 0 IBADRN CCn1nc(C)c(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000565905195 1072369803 /nfs/dbraw/zinc/36/98/03/1072369803.db2.gz JHKVLQFCBXTEJY-MRXNPFEDSA-N 0 0 425.555 -0.490 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1=O ZINC000565905766 1072369928 /nfs/dbraw/zinc/36/99/28/1072369928.db2.gz OFZDDDGWDXZWPE-UHFFFAOYSA-N 0 0 431.541 -0.568 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)C(=O)NC3CCN(CC(=O)NC)CC3)CC2)ncn1 ZINC000565906137 1072369969 /nfs/dbraw/zinc/36/99/69/1072369969.db2.gz QPGQDCXNLKZKDD-UHFFFAOYSA-N 0 0 447.540 -0.395 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1S(N)(=O)=O ZINC000565908180 1072369865 /nfs/dbraw/zinc/36/98/65/1072369865.db2.gz DEIZTDLNWCBOBG-UHFFFAOYSA-N 0 0 425.496 -0.637 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000565908429 1072369997 /nfs/dbraw/zinc/36/99/97/1072369997.db2.gz GVQMQFGMGLWJPN-UHFFFAOYSA-N 0 0 443.548 -0.002 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CCO1 ZINC000565909644 1072369840 /nfs/dbraw/zinc/36/98/40/1072369840.db2.gz FTZAQMHAWXEANW-UHFFFAOYSA-N 0 0 427.523 -0.188 20 0 IBADRN CCc1cnc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000565912227 1072369954 /nfs/dbraw/zinc/36/99/54/1072369954.db2.gz IPFILQOCYDBWQE-AWEZNQCLSA-N 0 0 430.552 -0.025 20 0 IBADRN CCc1cnc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000565912228 1072370178 /nfs/dbraw/zinc/37/01/78/1072370178.db2.gz IPFILQOCYDBWQE-CQSZACIVSA-N 0 0 430.552 -0.025 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000565912515 1072370162 /nfs/dbraw/zinc/37/01/62/1072370162.db2.gz DGIPWENRCIJMIJ-UHFFFAOYSA-N 0 0 440.522 -0.547 20 0 IBADRN CC(C)C1CCC(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000565912783 1072370573 /nfs/dbraw/zinc/37/05/73/1072370573.db2.gz XYMOFCFZEMEWOV-UHFFFAOYSA-N 0 0 443.614 -0.046 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCCC4)C3)CC2)c1=O ZINC000565912876 1072370654 /nfs/dbraw/zinc/37/06/54/1072370654.db2.gz ONMZZHPYSUGEEZ-KRWDZBQOSA-N 0 0 444.536 -0.274 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCCC4)C3)CC2)c1=O ZINC000565912883 1072370396 /nfs/dbraw/zinc/37/03/96/1072370396.db2.gz ONMZZHPYSUGEEZ-QGZVFWFLSA-N 0 0 444.536 -0.274 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CCNS(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000565913820 1072370520 /nfs/dbraw/zinc/37/05/20/1072370520.db2.gz JHGDTHGKBUCHMT-UHFFFAOYSA-N 0 0 446.551 -0.398 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)CCN1CCOC ZINC000565916439 1072370602 /nfs/dbraw/zinc/37/06/02/1072370602.db2.gz OGIZHJLAWCHPIZ-LMMKCTJWSA-N 0 0 434.541 -0.092 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)CCN1CCOC ZINC000565916443 1072370633 /nfs/dbraw/zinc/37/06/33/1072370633.db2.gz OGIZHJLAWCHPIZ-SCTDSRPQSA-N 0 0 434.541 -0.092 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1 ZINC000565919169 1072370589 /nfs/dbraw/zinc/37/05/89/1072370589.db2.gz DWZPWRAYOLKVRL-APWZRJJASA-N 0 0 429.477 -0.114 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cc(C)nn4C)C3)CC2)ncn1 ZINC000565920424 1072370509 /nfs/dbraw/zinc/37/05/09/1072370509.db2.gz XXILQISFNWYIMZ-INIZCTEOSA-N 0 0 442.524 -0.039 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cc(C)nn4C)C3)CC2)ncn1 ZINC000565920428 1072370445 /nfs/dbraw/zinc/37/04/45/1072370445.db2.gz XXILQISFNWYIMZ-MRXNPFEDSA-N 0 0 442.524 -0.039 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)C2)nn1 ZINC000565921293 1072370430 /nfs/dbraw/zinc/37/04/30/1072370430.db2.gz FKLCPWCBTMMRHT-CYBMUJFWSA-N 0 0 430.421 -0.269 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(OCCC(N)=O)cc3)C2)nn1 ZINC000565921300 1072370628 /nfs/dbraw/zinc/37/06/28/1072370628.db2.gz FKLCPWCBTMMRHT-ZDUSSCGKSA-N 0 0 430.421 -0.269 20 0 IBADRN COc1ncccc1CCNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000565922382 1072370662 /nfs/dbraw/zinc/37/06/62/1072370662.db2.gz JPPKBHWJCHLDEL-UHFFFAOYSA-N 0 0 427.527 -0.027 20 0 IBADRN COCc1nc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cs1 ZINC000565924155 1072370479 /nfs/dbraw/zinc/37/04/79/1072370479.db2.gz RVTWNMLDLCHDRR-UHFFFAOYSA-N 0 0 432.572 -0.391 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)n1)N1CCOCC1 ZINC000565940051 1073322422 /nfs/dbraw/zinc/32/24/22/1073322422.db2.gz LLFYYOXHKDLUNO-UHFFFAOYSA-N 0 0 440.526 -0.226 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)[C@@H](C)C1 ZINC000565940427 1072370530 /nfs/dbraw/zinc/37/05/30/1072370530.db2.gz XRCFDKKRARZKCJ-HNNXBMFYSA-N 0 0 440.522 -0.823 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)[C@H](C)C1 ZINC000565940429 1072371056 /nfs/dbraw/zinc/37/10/56/1072371056.db2.gz XRCFDKKRARZKCJ-OAHLLOKOSA-N 0 0 440.522 -0.823 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000565943612 1072371099 /nfs/dbraw/zinc/37/10/99/1072371099.db2.gz IGPKWSUBKPTPMJ-UHFFFAOYSA-N 0 0 428.898 -0.432 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C1=O ZINC000565943750 1072371073 /nfs/dbraw/zinc/37/10/73/1072371073.db2.gz WJNWIQJNZLAPRJ-HNNXBMFYSA-N 0 0 444.471 -0.695 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C1=O ZINC000565943751 1072371173 /nfs/dbraw/zinc/37/11/73/1072371173.db2.gz WJNWIQJNZLAPRJ-OAHLLOKOSA-N 0 0 444.471 -0.695 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCCN1CCOCC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000565954105 1072370977 /nfs/dbraw/zinc/37/09/77/1072370977.db2.gz KYBUCZLLNVKBCK-HNNXBMFYSA-N 0 0 426.539 -0.047 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCCN1CCOCC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000565954119 1072371114 /nfs/dbraw/zinc/37/11/14/1072371114.db2.gz KYBUCZLLNVKBCK-OAHLLOKOSA-N 0 0 426.539 -0.047 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)c(C)o1 ZINC000565956388 1072371180 /nfs/dbraw/zinc/37/11/80/1072371180.db2.gz KJTRMWIIWOPNLZ-UHFFFAOYSA-N 0 0 447.536 -0.102 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCn3cccn3)CC2)cc1 ZINC000565964430 1072371136 /nfs/dbraw/zinc/37/11/36/1072371136.db2.gz LVNWEULIMSLYRJ-UHFFFAOYSA-N 0 0 435.506 -0.069 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000565965432 1072371000 /nfs/dbraw/zinc/37/10/00/1072371000.db2.gz YOMVCUKQBQPMMI-UHFFFAOYSA-N 0 0 433.509 -0.331 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCCNC(=O)c3cccnc3)CC2)CC1 ZINC000565965435 1072371012 /nfs/dbraw/zinc/37/10/12/1072371012.db2.gz RVUKRJXNQQSGGI-UHFFFAOYSA-N 0 0 444.536 -0.670 20 0 IBADRN O=S(=O)(N1CCS(=O)(=O)CC1)N1CCOC[C@H]1c1ncn(Cc2ccccc2)n1 ZINC000565966313 1072371089 /nfs/dbraw/zinc/37/10/89/1072371089.db2.gz VBCDEVIWHWCEFN-INIZCTEOSA-N 0 0 441.535 -0.325 20 0 IBADRN O=S(=O)(N1CCS(=O)(=O)CC1)N1CCOC[C@@H]1c1ncn(Cc2ccccc2)n1 ZINC000565966314 1072371141 /nfs/dbraw/zinc/37/11/41/1072371141.db2.gz VBCDEVIWHWCEFN-MRXNPFEDSA-N 0 0 441.535 -0.325 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000565969320 1072371156 /nfs/dbraw/zinc/37/11/56/1072371156.db2.gz SGMGBGHHKPLDHR-UHFFFAOYSA-N 0 0 427.570 -0.019 20 0 IBADRN CC(C)(C)Oc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)n1 ZINC000565972201 1072371129 /nfs/dbraw/zinc/37/11/29/1072371129.db2.gz KXSQXTSCLNVPPF-UHFFFAOYSA-N 0 0 447.536 -0.122 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1=O ZINC000565973261 1072371082 /nfs/dbraw/zinc/37/10/82/1072371082.db2.gz QLVTWPWKEQSRLU-HNNXBMFYSA-N 0 0 438.431 -0.106 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1=O ZINC000565973267 1072371105 /nfs/dbraw/zinc/37/11/05/1072371105.db2.gz QLVTWPWKEQSRLU-OAHLLOKOSA-N 0 0 438.431 -0.106 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCn3ccnc3C2)CC1 ZINC000565976876 1072371034 /nfs/dbraw/zinc/37/10/34/1072371034.db2.gz DRDAADCNRJLASK-INIZCTEOSA-N 0 0 440.570 -0.175 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCn3ccnc3C2)CC1 ZINC000565976887 1072371195 /nfs/dbraw/zinc/37/11/95/1072371195.db2.gz DRDAADCNRJLASK-MRXNPFEDSA-N 0 0 440.570 -0.175 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NC3CCN(c4cc(C)[nH]n4)CC3)CC2)n1 ZINC000565977039 1072371165 /nfs/dbraw/zinc/37/11/65/1072371165.db2.gz TXBYOQJNZOZIRM-UHFFFAOYSA-N 0 0 428.497 -0.049 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc(S(=O)(=O)NC3(C(=O)OC)CC3)cc2)CC1 ZINC000565979473 1072371651 /nfs/dbraw/zinc/37/16/51/1072371651.db2.gz OPPORWYMAMDOPU-UHFFFAOYSA-N 0 0 432.476 -0.346 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@H](C)S(=O)(=O)[C@@H](C)C1)CC2 ZINC000565981310 1072371684 /nfs/dbraw/zinc/37/16/84/1072371684.db2.gz QQYQFHNQGIPUMP-RYUDHWBXSA-N 0 0 429.520 -0.482 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H](C)S(=O)(=O)[C@@H](C)C1)CC2 ZINC000565981312 1072371444 /nfs/dbraw/zinc/37/14/44/1072371444.db2.gz QQYQFHNQGIPUMP-TXEJJXNPSA-N 0 0 429.520 -0.482 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1C[C@@H](C)S(=O)(=O)[C@H](C)C1)CC2 ZINC000565981313 1072371492 /nfs/dbraw/zinc/37/14/92/1072371492.db2.gz QQYQFHNQGIPUMP-VXGBXAGGSA-N 0 0 429.520 -0.482 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000565981687 1072371608 /nfs/dbraw/zinc/37/16/08/1072371608.db2.gz KSHLXFZYQIWQMI-CQSZACIVSA-N 0 0 432.524 -0.537 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)n1 ZINC000565984521 1072371537 /nfs/dbraw/zinc/37/15/37/1072371537.db2.gz XVVSMBTUSFHSBU-UHFFFAOYSA-N 0 0 425.471 -0.601 20 0 IBADRN CCn1cc([C@H]2OCC[C@@H]2NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000565990172 1072371659 /nfs/dbraw/zinc/37/16/59/1072371659.db2.gz LYNXXABMTHFEHE-WMLDXEAASA-N 0 0 432.485 -0.595 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(=O)[nH]1 ZINC000565998397 1072371573 /nfs/dbraw/zinc/37/15/73/1072371573.db2.gz IBRNECKMYXWOAN-HNNXBMFYSA-N 0 0 440.522 -0.327 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(=O)[nH]1 ZINC000565998398 1072371601 /nfs/dbraw/zinc/37/16/01/1072371601.db2.gz IBRNECKMYXWOAN-OAHLLOKOSA-N 0 0 440.522 -0.327 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N[C@H]1CCN(c3cc(C)nn3C)C1=O)CCC2 ZINC000566002049 1072371593 /nfs/dbraw/zinc/37/15/93/1072371593.db2.gz LOTRUECBAQMCKM-CVEARBPZSA-N 0 0 443.508 -0.013 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N[C@H]1CCN(c3cc(C)nn3C)C1=O)CCC2 ZINC000566002050 1072371517 /nfs/dbraw/zinc/37/15/17/1072371517.db2.gz LOTRUECBAQMCKM-HOTGVXAUSA-N 0 0 443.508 -0.013 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N[C@@H]1CCN(c3cc(C)nn3C)C1=O)CCC2 ZINC000566002051 1072371464 /nfs/dbraw/zinc/37/14/64/1072371464.db2.gz LOTRUECBAQMCKM-HZPDHXFCSA-N 0 0 443.508 -0.013 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N[C@@H]1CCN(c3cc(C)nn3C)C1=O)CCC2 ZINC000566002052 1072371635 /nfs/dbraw/zinc/37/16/35/1072371635.db2.gz LOTRUECBAQMCKM-JKSUJKDBSA-N 0 0 443.508 -0.013 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cn2)CCO1 ZINC000566014464 1072371587 /nfs/dbraw/zinc/37/15/87/1072371587.db2.gz GZDUFOPOZXRDBO-AWEZNQCLSA-N 0 0 425.511 -0.973 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)cn2)CCO1 ZINC000566014465 1072371677 /nfs/dbraw/zinc/37/16/77/1072371677.db2.gz GZDUFOPOZXRDBO-CQSZACIVSA-N 0 0 425.511 -0.973 20 0 IBADRN Cc1c(CNC(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)cnn1C ZINC000566023000 1072371525 /nfs/dbraw/zinc/37/15/25/1072371525.db2.gz GEBUYVPLZDIJOY-UHFFFAOYSA-N 0 0 449.533 -0.177 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000566023341 1072371643 /nfs/dbraw/zinc/37/16/43/1072371643.db2.gz YCVDRCWNFBOIDI-UHFFFAOYSA-N 0 0 429.477 -0.185 20 0 IBADRN O=S(=O)(c1cccc2nsnc21)N1CCN(CC2(O)CCS(=O)(=O)CC2)CC1 ZINC000566023344 1072371501 /nfs/dbraw/zinc/37/15/01/1072371501.db2.gz YDMVKHDKFJVODZ-UHFFFAOYSA-N 0 0 446.576 -0.063 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccc(Cl)s3)CC2)n1 ZINC000566023389 1073306677 /nfs/dbraw/zinc/30/66/77/1073306677.db2.gz ANJQQFLMLHYTCP-UHFFFAOYSA-N 0 0 432.915 0.392 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)n1 ZINC000566023541 1072371619 /nfs/dbraw/zinc/37/16/19/1072371619.db2.gz HLLJGUPKUWVKJO-UHFFFAOYSA-N 0 0 427.513 -0.723 20 0 IBADRN Cc1cnc([C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C2CC2)s1 ZINC000566028780 1072371831 /nfs/dbraw/zinc/37/18/31/1072371831.db2.gz CXGMJEDLMPYUQI-HNNXBMFYSA-N 0 0 447.521 -0.492 20 0 IBADRN Cc1cnc([C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C2CC2)s1 ZINC000566028781 1072371941 /nfs/dbraw/zinc/37/19/41/1072371941.db2.gz CXGMJEDLMPYUQI-OAHLLOKOSA-N 0 0 447.521 -0.492 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCC[C@H](CNS(=O)(=O)C4CC4)C3)cc2[nH]c1=O ZINC000566032217 1072372036 /nfs/dbraw/zinc/37/20/36/1072372036.db2.gz XXFZRBAZHVXFST-LLVKDONJSA-N 0 0 442.519 -0.301 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCC[C@@H](CNS(=O)(=O)C4CC4)C3)cc2[nH]c1=O ZINC000566032218 1072372097 /nfs/dbraw/zinc/37/20/97/1072372097.db2.gz XXFZRBAZHVXFST-NSHDSACASA-N 0 0 442.519 -0.301 20 0 IBADRN CC[C@H](C)Oc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccn1 ZINC000566032277 1072371976 /nfs/dbraw/zinc/37/19/76/1072371976.db2.gz AXLJQQIQPWFWOY-AWEZNQCLSA-N 0 0 431.493 -0.176 20 0 IBADRN CC[C@@H](C)Oc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccn1 ZINC000566032278 1072372007 /nfs/dbraw/zinc/37/20/07/1072372007.db2.gz AXLJQQIQPWFWOY-CQSZACIVSA-N 0 0 431.493 -0.176 20 0 IBADRN COC(=O)[C@@](C)(O)CN1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000566032807 1072372047 /nfs/dbraw/zinc/37/20/47/1072372047.db2.gz WAFOATSORMOUAB-KRWDZBQOSA-N 0 0 447.897 -0.099 20 0 IBADRN COC(=O)[C@](C)(O)CN1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000566032808 1072371852 /nfs/dbraw/zinc/37/18/52/1072371852.db2.gz WAFOATSORMOUAB-QGZVFWFLSA-N 0 0 447.897 -0.099 20 0 IBADRN CC(C)N(C)c1ccccc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000566034057 1072372023 /nfs/dbraw/zinc/37/20/23/1072372023.db2.gz SWSIMYRBPBAXOJ-UHFFFAOYSA-N 0 0 428.497 -0.495 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1OC ZINC000566035328 1072371958 /nfs/dbraw/zinc/37/19/58/1072371958.db2.gz FLUDRGYVKUTWOF-UHFFFAOYSA-N 0 0 437.478 -0.310 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@]2(CO)C[C@H]2c2ccccc2)cn1)N1CCOCC1 ZINC000566040056 1072372084 /nfs/dbraw/zinc/37/20/84/1072372084.db2.gz TUHBWFHKJKSMLQ-AVRDEDQJSA-N 0 0 441.488 -0.037 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@]2(CO)C[C@@H]2c2ccccc2)cn1)N1CCOCC1 ZINC000566040057 1072371874 /nfs/dbraw/zinc/37/18/74/1072371874.db2.gz TUHBWFHKJKSMLQ-GCJKJVERSA-N 0 0 441.488 -0.037 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@]2(CO)C[C@H]2c2ccccc2)cn1)N1CCOCC1 ZINC000566040058 1072372484 /nfs/dbraw/zinc/37/24/84/1072372484.db2.gz TUHBWFHKJKSMLQ-PGRDOPGGSA-N 0 0 441.488 -0.037 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@]2(CO)C[C@@H]2c2ccccc2)cn1)N1CCOCC1 ZINC000566040059 1072372470 /nfs/dbraw/zinc/37/24/70/1072372470.db2.gz TUHBWFHKJKSMLQ-XMSQKQJNSA-N 0 0 441.488 -0.037 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000566040075 1072372503 /nfs/dbraw/zinc/37/25/03/1072372503.db2.gz ULIRLMPQGCJYEQ-UHFFFAOYSA-N 0 0 429.376 -0.106 20 0 IBADRN CN1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@H]3CCN(C)C3=O)N2Cc2ccccc2)C1=O ZINC000566040252 1072371996 /nfs/dbraw/zinc/37/19/96/1072371996.db2.gz CGNUHVOEUBAAJQ-CADBVGFASA-N 0 0 441.532 -0.287 20 0 IBADRN CN1CC[C@@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@@H]3CCN(C)C3=O)N2Cc2ccccc2)C1=O ZINC000566040253 1072372054 /nfs/dbraw/zinc/37/20/54/1072372054.db2.gz CGNUHVOEUBAAJQ-MKXGPGLRSA-N 0 0 441.532 -0.287 20 0 IBADRN CN1CC[C@@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@H]3CCN(C)C3=O)N2Cc2ccccc2)C1=O ZINC000566040254 1072371919 /nfs/dbraw/zinc/37/19/19/1072371919.db2.gz CGNUHVOEUBAAJQ-QGFMHUBQSA-N 0 0 441.532 -0.287 20 0 IBADRN CN1CC[C@@H](NC(=O)[C@@H]2CC[C@H](C(=O)N[C@H]3CCN(C)C3=O)N2Cc2ccccc2)C1=O ZINC000566040255 1072372091 /nfs/dbraw/zinc/37/20/91/1072372091.db2.gz CGNUHVOEUBAAJQ-SEXKYXSUSA-N 0 0 441.532 -0.287 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000566041150 1072372530 /nfs/dbraw/zinc/37/25/30/1072372530.db2.gz SFEJSDGVPJJVHI-CABCVRRESA-N 0 0 446.522 -0.146 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000566041151 1072372417 /nfs/dbraw/zinc/37/24/17/1072372417.db2.gz SFEJSDGVPJJVHI-GJZGRUSLSA-N 0 0 446.522 -0.146 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000566041152 1072372452 /nfs/dbraw/zinc/37/24/52/1072372452.db2.gz SFEJSDGVPJJVHI-HUUCEWRRSA-N 0 0 446.522 -0.146 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000566041153 1072372365 /nfs/dbraw/zinc/37/23/65/1072372365.db2.gz SFEJSDGVPJJVHI-LSDHHAIUSA-N 0 0 446.522 -0.146 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)C(=O)Nc2cnc(OC)c(C(N)=O)c2)CC1 ZINC000566058836 1072372441 /nfs/dbraw/zinc/37/24/41/1072372441.db2.gz CESYCLLSTQRWQH-HIFRSBDPSA-N 0 0 434.449 -0.056 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNS(=O)(=O)c1cc(C)ccc1C ZINC000566072776 1072372457 /nfs/dbraw/zinc/37/24/57/1072372457.db2.gz DCQUWTKADJDFAI-UHFFFAOYSA-N 0 0 427.523 -0.084 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000566313835 1072372517 /nfs/dbraw/zinc/37/25/17/1072372517.db2.gz QWXQDVQGFCZRMJ-UHFFFAOYSA-N 0 0 428.371 -0.319 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)Nc1nc(CS(C)(=O)=O)no1 ZINC000566314468 1072372476 /nfs/dbraw/zinc/37/24/76/1072372476.db2.gz UXNNALCVLMNMJV-LLVKDONJSA-N 0 0 431.496 -0.085 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)Nc1nc(CS(C)(=O)=O)no1 ZINC000566314469 1072372908 /nfs/dbraw/zinc/37/29/08/1072372908.db2.gz UXNNALCVLMNMJV-NSHDSACASA-N 0 0 431.496 -0.085 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)nc2c1c(=O)n(C)c(=O)n2C ZINC000566318690 1072372997 /nfs/dbraw/zinc/37/29/97/1072372997.db2.gz QZWTXHBRJJBTGF-UHFFFAOYSA-N 0 0 432.462 -0.162 20 0 IBADRN COCCN(Cc1ccco1)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000566325220 1072373071 /nfs/dbraw/zinc/37/30/71/1072373071.db2.gz RAZIEVVCIZATBG-UHFFFAOYSA-N 0 0 444.492 -0.100 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(CC(C)(C)O)CC1 ZINC000566329345 1072373045 /nfs/dbraw/zinc/37/30/45/1072373045.db2.gz COVHRXZMXYGPBS-UHFFFAOYSA-N 0 0 426.539 -0.532 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1nc(C(C)(C)C)cs1)C2 ZINC000566330232 1072372811 /nfs/dbraw/zinc/37/28/11/1072372811.db2.gz VGVCLBSQFXNXAU-UHFFFAOYSA-N 0 0 449.537 -0.454 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(S(=O)(=O)N2CCOCC2)[C@@H]2CCCC[C@H]21 ZINC000566330616 1072372745 /nfs/dbraw/zinc/37/27/45/1072372745.db2.gz ADASEDHEOVIFPF-HZPDHXFCSA-N 0 0 438.572 -0.931 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](C(=O)NCC(C)(C)N(C)C(=O)[C@@H]2CC[C@H](C(=O)N(C)C)O2)O1 ZINC000566332999 1072372937 /nfs/dbraw/zinc/37/29/37/1072372937.db2.gz ZXCLBKBHBFLZLS-JJXSEGSLSA-N 0 0 440.541 -0.389 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000566343316 1072373131 /nfs/dbraw/zinc/37/31/31/1072373131.db2.gz IROKPKPQRVAVEQ-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NC[C@@]2(C)CCN(C(=O)[C@@H]3CC[C@H](C(=O)OC)O3)C2)O1 ZINC000566344067 1072372796 /nfs/dbraw/zinc/37/27/96/1072372796.db2.gz DUQYAVUXQZDBHL-AHGCTEEOSA-N 0 0 426.466 -0.218 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NC[C@]2(C)CCN(C(=O)[C@@H]3CC[C@H](C(=O)OC)O3)C2)O1 ZINC000566344069 1072373104 /nfs/dbraw/zinc/37/31/04/1072373104.db2.gz DUQYAVUXQZDBHL-OFCPVLAQSA-N 0 0 426.466 -0.218 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(N(C)C)nc3)CC2)cn1C ZINC000566350630 1072372922 /nfs/dbraw/zinc/37/29/22/1072372922.db2.gz HHAPDWJENWGTRM-UHFFFAOYSA-N 0 0 428.540 -0.115 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1 ZINC000566354102 1072372954 /nfs/dbraw/zinc/37/29/54/1072372954.db2.gz OHOJTFHUPDZSET-CYBMUJFWSA-N 0 0 439.581 -0.027 20 0 IBADRN Cc1n[nH]cc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000566368905 1072373537 /nfs/dbraw/zinc/37/35/37/1072373537.db2.gz VDJCTZSVJOEIKY-UHFFFAOYSA-N 0 0 431.478 -0.256 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000566371291 1072373396 /nfs/dbraw/zinc/37/33/96/1072373396.db2.gz WZUUIWOCHKEXOP-UHFFFAOYSA-N 0 0 443.508 -0.604 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000566371653 1072373552 /nfs/dbraw/zinc/37/35/52/1072373552.db2.gz CAHAEHCKTQAJGT-INIZCTEOSA-N 0 0 437.522 -0.817 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000566371654 1072373469 /nfs/dbraw/zinc/37/34/69/1072373469.db2.gz CAHAEHCKTQAJGT-MRXNPFEDSA-N 0 0 437.522 -0.817 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000566384522 1072373709 /nfs/dbraw/zinc/37/37/09/1072373709.db2.gz IWFWGEAGSGXMLE-UHFFFAOYSA-N 0 0 445.564 -0.196 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)(N2CCOCC2)C1 ZINC000566390166 1072373748 /nfs/dbraw/zinc/37/37/48/1072373748.db2.gz DLASEXXDJRRTEU-JYMZVQIXSA-N 0 0 433.571 -0.348 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O)(N2CCOCC2)C1 ZINC000566390167 1072373672 /nfs/dbraw/zinc/37/36/72/1072373672.db2.gz DLASEXXDJRRTEU-RXDZZFBJSA-N 0 0 433.571 -0.348 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O)(N2CCOCC2)C1 ZINC000566390168 1072373739 /nfs/dbraw/zinc/37/37/39/1072373739.db2.gz DLASEXXDJRRTEU-SCXZOKCQSA-N 0 0 433.571 -0.348 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O)(N2CCOCC2)C1 ZINC000566390169 1072373658 /nfs/dbraw/zinc/37/36/58/1072373658.db2.gz DLASEXXDJRRTEU-YZWVMBDNSA-N 0 0 433.571 -0.348 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)nn1 ZINC000566394334 1072373609 /nfs/dbraw/zinc/37/36/09/1072373609.db2.gz GYFYYVDKPBZGAK-UHFFFAOYSA-N 0 0 444.448 -0.179 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000566396458 1072374043 /nfs/dbraw/zinc/37/40/43/1072374043.db2.gz DRMRDOXNQFPBCB-AWEZNQCLSA-N 0 0 445.476 -0.653 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000566396459 1072374262 /nfs/dbraw/zinc/37/42/62/1072374262.db2.gz DRMRDOXNQFPBCB-CQSZACIVSA-N 0 0 445.476 -0.653 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)C1=O ZINC000566397044 1072374245 /nfs/dbraw/zinc/37/42/45/1072374245.db2.gz IMWKGQPEHZEFOR-CYBMUJFWSA-N 0 0 434.474 -0.411 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)C1=O ZINC000566397045 1072374118 /nfs/dbraw/zinc/37/41/18/1072374118.db2.gz IMWKGQPEHZEFOR-ZDUSSCGKSA-N 0 0 434.474 -0.411 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000566397895 1072374251 /nfs/dbraw/zinc/37/42/51/1072374251.db2.gz SURJWQGZTSFDFN-CYBMUJFWSA-N 0 0 429.499 -0.930 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000566397896 1072374206 /nfs/dbraw/zinc/37/42/06/1072374206.db2.gz SURJWQGZTSFDFN-ZDUSSCGKSA-N 0 0 429.499 -0.930 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000566398228 1071128777 /nfs/dbraw/zinc/12/87/77/1071128777.db2.gz PHYWSPJEDPGKNI-JTQLQIEISA-N 0 0 426.483 -0.221 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000566398229 1071128960 /nfs/dbraw/zinc/12/89/60/1071128960.db2.gz PHYWSPJEDPGKNI-SNVBAGLBSA-N 0 0 426.483 -0.221 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)s1 ZINC000566398655 1072374058 /nfs/dbraw/zinc/37/40/58/1072374058.db2.gz IVOIAUULTPBQRK-UHFFFAOYSA-N 0 0 439.585 -0.320 20 0 IBADRN CCn1cncc1S(=O)(=O)NCCN1CCN(S(=O)(=O)c2cncn2CC)CC1 ZINC000566399252 1072374137 /nfs/dbraw/zinc/37/41/37/1072374137.db2.gz OEKZZPWBTSXVHZ-UHFFFAOYSA-N 0 0 445.571 -0.596 20 0 IBADRN CSCC[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1nnc2ccccn21 ZINC000566400935 1072374183 /nfs/dbraw/zinc/37/41/83/1072374183.db2.gz KRLUCQXIXOSVRE-NEPJUHHUSA-N 0 0 426.524 -0.471 20 0 IBADRN CSCC[C@@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1nnc2ccccn21 ZINC000566400936 1072374063 /nfs/dbraw/zinc/37/40/63/1072374063.db2.gz KRLUCQXIXOSVRE-NWDGAFQWSA-N 0 0 426.524 -0.471 20 0 IBADRN CSCC[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1nnc2ccccn21 ZINC000566400937 1072374198 /nfs/dbraw/zinc/37/41/98/1072374198.db2.gz KRLUCQXIXOSVRE-RYUDHWBXSA-N 0 0 426.524 -0.471 20 0 IBADRN CSCC[C@@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1nnc2ccccn21 ZINC000566400938 1072374190 /nfs/dbraw/zinc/37/41/90/1072374190.db2.gz KRLUCQXIXOSVRE-VXGBXAGGSA-N 0 0 426.524 -0.471 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H](C)CN3CCCC3=O)C2)no1 ZINC000566402790 1072374233 /nfs/dbraw/zinc/37/42/33/1072374233.db2.gz GSLZKNRUAHDLLX-DZGCQCFKSA-N 0 0 434.497 -0.292 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)N[C@H](C)CN3CCCC3=O)C2)no1 ZINC000566402798 1072374218 /nfs/dbraw/zinc/37/42/18/1072374218.db2.gz GSLZKNRUAHDLLX-HIFRSBDPSA-N 0 0 434.497 -0.292 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H](C)CN3CCCC3=O)C2)no1 ZINC000566402802 1072374125 /nfs/dbraw/zinc/37/41/25/1072374125.db2.gz GSLZKNRUAHDLLX-UKRRQHHQSA-N 0 0 434.497 -0.292 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)N[C@@H](C)CN3CCCC3=O)C2)no1 ZINC000566402803 1072374031 /nfs/dbraw/zinc/37/40/31/1072374031.db2.gz GSLZKNRUAHDLLX-ZFWWWQNUSA-N 0 0 434.497 -0.292 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)no1 ZINC000566408728 1072374270 /nfs/dbraw/zinc/37/42/70/1072374270.db2.gz KSNQSPFKAVCTAW-GFCCVEGCSA-N 0 0 442.523 0.299 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)no1 ZINC000566408733 1072374132 /nfs/dbraw/zinc/37/41/32/1072374132.db2.gz KSNQSPFKAVCTAW-LBPRGKRZSA-N 0 0 442.523 0.299 20 0 IBADRN COC[C@@H](C)OC[C@@H](O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000566409211 1072374970 /nfs/dbraw/zinc/37/49/70/1072374970.db2.gz CBOGECCPIKAWPB-CABCVRRESA-N 0 0 443.522 -0.264 20 0 IBADRN COC[C@H](C)OC[C@@H](O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000566409212 1072374819 /nfs/dbraw/zinc/37/48/19/1072374819.db2.gz CBOGECCPIKAWPB-GJZGRUSLSA-N 0 0 443.522 -0.264 20 0 IBADRN COC[C@@H](C)OC[C@H](O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000566409213 1072374840 /nfs/dbraw/zinc/37/48/40/1072374840.db2.gz CBOGECCPIKAWPB-HUUCEWRRSA-N 0 0 443.522 -0.264 20 0 IBADRN COC[C@H](C)OC[C@H](O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000566409214 1072374903 /nfs/dbraw/zinc/37/49/03/1072374903.db2.gz CBOGECCPIKAWPB-LSDHHAIUSA-N 0 0 443.522 -0.264 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000566516553 1072375472 /nfs/dbraw/zinc/37/54/72/1072375472.db2.gz ZSGNDVWMSOGBBU-UHFFFAOYSA-N 0 0 434.400 -0.532 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)CC1=O ZINC000566521167 1072375465 /nfs/dbraw/zinc/37/54/65/1072375465.db2.gz WJEOVWHCTUNRBR-UHFFFAOYSA-N 0 0 440.522 -0.692 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCc3cccc(N4CCOCC4)n3)C2=O)n(C)n1 ZINC000566522516 1072375486 /nfs/dbraw/zinc/37/54/86/1072375486.db2.gz OARHYQWHDZKKID-INIZCTEOSA-N 0 0 441.492 -0.502 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCc3cccc(N4CCOCC4)n3)C2=O)n(C)n1 ZINC000566522517 1072375315 /nfs/dbraw/zinc/37/53/15/1072375315.db2.gz OARHYQWHDZKKID-MRXNPFEDSA-N 0 0 441.492 -0.502 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCOCCS(N)(=O)=O)CC2)cc1 ZINC000566523600 1072375265 /nfs/dbraw/zinc/37/52/65/1072375265.db2.gz HJHPYPNVYDKYFD-UHFFFAOYSA-N 0 0 449.551 -0.483 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCCC1 ZINC000566523627 1072375229 /nfs/dbraw/zinc/37/52/29/1072375229.db2.gz HWLVTFRWJNKVJV-UHFFFAOYSA-N 0 0 438.525 -0.771 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000566524727 1072375376 /nfs/dbraw/zinc/37/53/76/1072375376.db2.gz VNMQFDFSFJWWHD-AWEZNQCLSA-N 0 0 426.481 -0.710 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000566524728 1072375208 /nfs/dbraw/zinc/37/52/08/1072375208.db2.gz VNMQFDFSFJWWHD-CQSZACIVSA-N 0 0 426.481 -0.710 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000566525251 1072375436 /nfs/dbraw/zinc/37/54/36/1072375436.db2.gz NKHKYENTWQOWRH-GFCCVEGCSA-N 0 0 432.524 -0.043 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000566525300 1072375390 /nfs/dbraw/zinc/37/53/90/1072375390.db2.gz NKHKYENTWQOWRH-LBPRGKRZSA-N 0 0 432.524 -0.043 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)CC2)ncn1 ZINC000566525322 1072375455 /nfs/dbraw/zinc/37/54/55/1072375455.db2.gz LVWLSLYWFFHLOT-UHFFFAOYSA-N 0 0 443.552 -0.453 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)[C@H](C)C1CCN(S(=O)(=O)CC)CC1 ZINC000566525342 1072375443 /nfs/dbraw/zinc/37/54/43/1072375443.db2.gz OCEQLAYOZJATRH-CYBMUJFWSA-N 0 0 433.618 -0.363 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)[C@@H](C)C1CCN(S(=O)(=O)CC)CC1 ZINC000566525343 1072375491 /nfs/dbraw/zinc/37/54/91/1072375491.db2.gz OCEQLAYOZJATRH-ZDUSSCGKSA-N 0 0 433.618 -0.363 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000566526524 1072375298 /nfs/dbraw/zinc/37/52/98/1072375298.db2.gz CVHHULXBXBUCGL-UHFFFAOYSA-N 0 0 430.508 -0.777 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCCN(c2ccnn2CC)C1=O)[C@@H](C)CS(C)(=O)=O ZINC000566530134 1072375281 /nfs/dbraw/zinc/37/52/81/1072375281.db2.gz VLUYQVWJXWCXTD-KBPBESRZSA-N 0 0 427.527 -0.204 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCCN(c2ccnn2CC)C1=O)[C@H](C)CS(C)(=O)=O ZINC000566530135 1072375243 /nfs/dbraw/zinc/37/52/43/1072375243.db2.gz VLUYQVWJXWCXTD-KGLIPLIRSA-N 0 0 427.527 -0.204 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCCN(c2ccnn2CC)C1=O)[C@@H](C)CS(C)(=O)=O ZINC000566530136 1072375481 /nfs/dbraw/zinc/37/54/81/1072375481.db2.gz VLUYQVWJXWCXTD-UONOGXRCSA-N 0 0 427.527 -0.204 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCCN(c2ccnn2CC)C1=O)[C@H](C)CS(C)(=O)=O ZINC000566530137 1072375326 /nfs/dbraw/zinc/37/53/26/1072375326.db2.gz VLUYQVWJXWCXTD-ZIAGYGMSSA-N 0 0 427.527 -0.204 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000566530300 1072375843 /nfs/dbraw/zinc/37/58/43/1072375843.db2.gz MJFZDTFGGYTCNU-QGZVFWFLSA-N 0 0 438.550 -0.120 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2)n(C)n1 ZINC000566530549 1072375923 /nfs/dbraw/zinc/37/59/23/1072375923.db2.gz ANTWXWILQORGHW-KBPBESRZSA-N 0 0 435.447 -0.052 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2)n(C)n1 ZINC000566530553 1072375699 /nfs/dbraw/zinc/37/56/99/1072375699.db2.gz ANTWXWILQORGHW-KGLIPLIRSA-N 0 0 435.447 -0.052 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000566533222 1072375986 /nfs/dbraw/zinc/37/59/86/1072375986.db2.gz BNFAYJKDMJKHOX-UHFFFAOYSA-N 0 0 425.511 -0.366 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)c(OC)n1 ZINC000566533718 1072375968 /nfs/dbraw/zinc/37/59/68/1072375968.db2.gz WFCRALABAXCBPD-UHFFFAOYSA-N 0 0 429.433 -0.089 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000566534670 1072375811 /nfs/dbraw/zinc/37/58/11/1072375811.db2.gz IZWZWHCQLXGAMP-UHFFFAOYSA-N 0 0 448.548 -0.183 20 0 IBADRN NC(=O)[C@@H]1COCCN1c1ccc2nc(C(F)F)nc(N3CCOC[C@H]3C(N)=O)c2c1 ZINC000566534913 1072375911 /nfs/dbraw/zinc/37/59/11/1072375911.db2.gz NLQDFGLDGNZIIU-KBPBESRZSA-N 0 0 436.419 -0.052 20 0 IBADRN NC(=O)[C@H]1COCCN1c1ccc2nc(C(F)F)nc(N3CCOC[C@H]3C(N)=O)c2c1 ZINC000566534916 1072375718 /nfs/dbraw/zinc/37/57/18/1072375718.db2.gz NLQDFGLDGNZIIU-KGLIPLIRSA-N 0 0 436.419 -0.052 20 0 IBADRN NC(=O)[C@H]1COCCN1c1nc(C(F)F)nc2ccc(N3CCOC[C@H]3C(N)=O)cc21 ZINC000566534919 1072375869 /nfs/dbraw/zinc/37/58/69/1072375869.db2.gz NLQDFGLDGNZIIU-UONOGXRCSA-N 0 0 436.419 -0.052 20 0 IBADRN NC(=O)[C@H]1COCCN1c1ccc2nc(C(F)F)nc(N3CCOC[C@@H]3C(N)=O)c2c1 ZINC000566534920 1072375650 /nfs/dbraw/zinc/37/56/50/1072375650.db2.gz NLQDFGLDGNZIIU-ZIAGYGMSSA-N 0 0 436.419 -0.052 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)CC1 ZINC000566539772 1072375896 /nfs/dbraw/zinc/37/58/96/1072375896.db2.gz OXMOFQUYULTBEQ-UHFFFAOYSA-N 0 0 449.555 -0.152 20 0 IBADRN CCN1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)CC1=O ZINC000566554371 1072375828 /nfs/dbraw/zinc/37/58/28/1072375828.db2.gz WFPHJZREZJWENX-CYBMUJFWSA-N 0 0 436.490 -0.163 20 0 IBADRN CCN1CCCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)CC1=O ZINC000566554372 1072375857 /nfs/dbraw/zinc/37/58/57/1072375857.db2.gz WFPHJZREZJWENX-ZDUSSCGKSA-N 0 0 436.490 -0.163 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000566575934 1072375882 /nfs/dbraw/zinc/37/58/82/1072375882.db2.gz LFULHCVRFWJELQ-UHFFFAOYSA-N 0 0 442.520 -0.152 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)CC2)cn1 ZINC000566577746 1072376448 /nfs/dbraw/zinc/37/64/48/1072376448.db2.gz RXHYACYZVXNSOO-UHFFFAOYSA-N 0 0 430.484 -0.322 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)C(=O)NCCNC(=O)c3cnccn3)c2)CCO1 ZINC000566577816 1072375936 /nfs/dbraw/zinc/37/59/36/1072375936.db2.gz VNIYJTGOEAJRGH-INIZCTEOSA-N 0 0 440.504 -0.140 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)C(=O)NCCNC(=O)c3cnccn3)c2)CCO1 ZINC000566577817 1072375748 /nfs/dbraw/zinc/37/57/48/1072375748.db2.gz VNIYJTGOEAJRGH-MRXNPFEDSA-N 0 0 440.504 -0.140 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCOC[C@H]3CCCO3)CC2)C1 ZINC000566577949 1072375979 /nfs/dbraw/zinc/37/59/79/1072375979.db2.gz VPAPKWPAQQRABP-CEXWTWQISA-N 0 0 438.569 -0.053 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCOC[C@H]3CCCO3)CC2)C1 ZINC000566577950 1072375729 /nfs/dbraw/zinc/37/57/29/1072375729.db2.gz VPAPKWPAQQRABP-GUDVDZBRSA-N 0 0 438.569 -0.053 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCOC[C@@H]3CCCO3)CC2)C1 ZINC000566577952 1072376417 /nfs/dbraw/zinc/37/64/17/1072376417.db2.gz VPAPKWPAQQRABP-OTWHNJEPSA-N 0 0 438.569 -0.053 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCOC[C@@H]3CCCO3)CC2)C1 ZINC000566577953 1072376430 /nfs/dbraw/zinc/37/64/30/1072376430.db2.gz VPAPKWPAQQRABP-QRVBRYPASA-N 0 0 438.569 -0.053 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCN(Cc3cccc(F)c3)CC2)[C@H](O)[C@@H]1O ZINC000566578128 1072375668 /nfs/dbraw/zinc/37/56/68/1072375668.db2.gz BSEATNMPXGZJIK-QTQZEZTPSA-N 0 0 444.467 -0.101 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)C2=O)n1 ZINC000566578966 1072376280 /nfs/dbraw/zinc/37/62/80/1072376280.db2.gz JJZBUZITFIFDQA-GHMZBOCLSA-N 0 0 430.387 -0.689 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)C2=O)n1 ZINC000566578967 1072376404 /nfs/dbraw/zinc/37/64/04/1072376404.db2.gz JJZBUZITFIFDQA-MNOVXSKESA-N 0 0 430.387 -0.689 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)C2=O)n1 ZINC000566578968 1072376267 /nfs/dbraw/zinc/37/62/67/1072376267.db2.gz JJZBUZITFIFDQA-QWRGUYRKSA-N 0 0 430.387 -0.689 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)C2=O)n1 ZINC000566578970 1072376351 /nfs/dbraw/zinc/37/63/51/1072376351.db2.gz JJZBUZITFIFDQA-WDEREUQCSA-N 0 0 430.387 -0.689 20 0 IBADRN COc1cc(NC(C)=O)c(Cl)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000566583141 1072376365 /nfs/dbraw/zinc/37/63/65/1072376365.db2.gz CSGSZZPYMQCGNL-JTQLQIEISA-N 0 0 430.870 -0.362 20 0 IBADRN COc1cc(NC(C)=O)c(Cl)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000566583142 1072376425 /nfs/dbraw/zinc/37/64/25/1072376425.db2.gz CSGSZZPYMQCGNL-SNVBAGLBSA-N 0 0 430.870 -0.362 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)cn1 ZINC000566586253 1072376304 /nfs/dbraw/zinc/37/63/04/1072376304.db2.gz IOGKNWWLJVQJTM-QAPCUYQASA-N 0 0 434.497 -0.954 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cn1 ZINC000566586430 1072376339 /nfs/dbraw/zinc/37/63/39/1072376339.db2.gz QJMKADPJDCBTQA-AEFFLSMTSA-N 0 0 428.497 -0.579 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1ccc(-n2ccnn2)cc1 ZINC000566587075 1072376291 /nfs/dbraw/zinc/37/62/91/1072376291.db2.gz CVLVKYBCZXLPKQ-HNNXBMFYSA-N 0 0 436.476 -0.113 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1ccc(-n2ccnn2)cc1 ZINC000566587076 1072376232 /nfs/dbraw/zinc/37/62/32/1072376232.db2.gz CVLVKYBCZXLPKQ-OAHLLOKOSA-N 0 0 436.476 -0.113 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)Nc2cccc(C(=O)N3CCSCC3)c2)CC1 ZINC000566588179 1072376373 /nfs/dbraw/zinc/37/63/73/1072376373.db2.gz QFVLNEAFERCEEJ-UHFFFAOYSA-N 0 0 441.579 -0.104 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000566592461 1072376856 /nfs/dbraw/zinc/37/68/56/1072376856.db2.gz QFTZPSWAMYQJSV-GFCCVEGCSA-N 0 0 437.565 -0.184 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000566592464 1072376985 /nfs/dbraw/zinc/37/69/85/1072376985.db2.gz QFTZPSWAMYQJSV-LBPRGKRZSA-N 0 0 437.565 -0.184 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1)CN1CCOCC1 ZINC000566624664 1072376811 /nfs/dbraw/zinc/37/68/11/1072376811.db2.gz JEPPXMRLLUJPHZ-MSOLQXFVSA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CCCC2)CC1)CN1CCOCC1 ZINC000566624665 1072376710 /nfs/dbraw/zinc/37/67/10/1072376710.db2.gz JEPPXMRLLUJPHZ-QZTJIDSGSA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CCCC2)CC1)CN1CCOCC1 ZINC000566624666 1072376747 /nfs/dbraw/zinc/37/67/47/1072376747.db2.gz JEPPXMRLLUJPHZ-ROUUACIJSA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NC[C@H](C)CN2CCOCC2)CC1 ZINC000566624667 1072377016 /nfs/dbraw/zinc/37/70/16/1072377016.db2.gz JEPPXMRLLUJPHZ-ZWKOTPCHSA-N 0 0 437.585 -0.338 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1 ZINC000566633118 1073343687 /nfs/dbraw/zinc/34/36/87/1073343687.db2.gz NZGZQWNDSIYUKZ-UHFFFAOYSA-N 0 0 429.477 0.433 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000566634471 1072376872 /nfs/dbraw/zinc/37/68/72/1072376872.db2.gz AHCIPAMRYVRPFG-INIZCTEOSA-N 0 0 447.536 -0.275 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000566634473 1072376923 /nfs/dbraw/zinc/37/69/23/1072376923.db2.gz AHCIPAMRYVRPFG-MRXNPFEDSA-N 0 0 447.536 -0.275 20 0 IBADRN CN(C(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000566635093 1073353270 /nfs/dbraw/zinc/35/32/70/1073353270.db2.gz NQIYQRPSABCTQA-AWEZNQCLSA-N 0 0 440.913 0.411 20 0 IBADRN CN(C(=O)C(=O)Nc1ncn(Cc2ccc(Cl)cc2)n1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000566635094 1072376673 /nfs/dbraw/zinc/37/66/73/1072376673.db2.gz NQIYQRPSABCTQA-CQSZACIVSA-N 0 0 440.913 0.411 20 0 IBADRN CCSc1cc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccn1 ZINC000566639153 1072376942 /nfs/dbraw/zinc/37/69/42/1072376942.db2.gz BDUMXZIDEQBUGB-UHFFFAOYSA-N 0 0 447.521 -0.701 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)s1 ZINC000566639877 1072376956 /nfs/dbraw/zinc/37/69/56/1072376956.db2.gz QFUJAUJQTRVYDR-GFCCVEGCSA-N 0 0 449.576 -0.206 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)s1 ZINC000566639878 1072376909 /nfs/dbraw/zinc/37/69/09/1072376909.db2.gz QFUJAUJQTRVYDR-LBPRGKRZSA-N 0 0 449.576 -0.206 20 0 IBADRN CC[C@H](CSC)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000566641508 1072376736 /nfs/dbraw/zinc/37/67/36/1072376736.db2.gz ZCDNYYXSBHSGBR-LLVKDONJSA-N 0 0 432.524 -0.531 20 0 IBADRN CC[C@@H](CSC)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000566641510 1072376698 /nfs/dbraw/zinc/37/66/98/1072376698.db2.gz ZCDNYYXSBHSGBR-NSHDSACASA-N 0 0 432.524 -0.531 20 0 IBADRN CC(C)(C)C[C@H](CC(=O)NCC(=O)N1CCOCC1)C(=O)NCC(=O)N1CCOCC1 ZINC000566646080 1072376839 /nfs/dbraw/zinc/37/68/39/1072376839.db2.gz BINIOXKXUONLPS-INIZCTEOSA-N 0 0 440.541 -0.621 20 0 IBADRN CC(C)(C)C[C@@H](CC(=O)NCC(=O)N1CCOCC1)C(=O)NCC(=O)N1CCOCC1 ZINC000566646082 1072377501 /nfs/dbraw/zinc/37/75/01/1072377501.db2.gz BINIOXKXUONLPS-MRXNPFEDSA-N 0 0 440.541 -0.621 20 0 IBADRN O=C(NC[C@]1(CO)C[C@H]1c1ccccc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000566650505 1073327826 /nfs/dbraw/zinc/32/78/26/1073327826.db2.gz RFEXQWDLOWXDJY-AVRDEDQJSA-N 0 0 427.505 0.436 20 0 IBADRN O=C(NC[C@]1(CO)C[C@@H]1c1ccccc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000566650506 1073327747 /nfs/dbraw/zinc/32/77/47/1073327747.db2.gz RFEXQWDLOWXDJY-GCJKJVERSA-N 0 0 427.505 0.436 20 0 IBADRN O=C(NC[C@@]1(CO)C[C@H]1c1ccccc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000566650507 1072377298 /nfs/dbraw/zinc/37/72/98/1072377298.db2.gz RFEXQWDLOWXDJY-PGRDOPGGSA-N 0 0 427.505 0.436 20 0 IBADRN O=C(NC[C@@]1(CO)C[C@@H]1c1ccccc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000566650508 1073327174 /nfs/dbraw/zinc/32/71/74/1073327174.db2.gz RFEXQWDLOWXDJY-XMSQKQJNSA-N 0 0 427.505 0.436 20 0 IBADRN CC1C[C@@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)[C@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)C1 ZINC000566650826 1072377508 /nfs/dbraw/zinc/37/75/08/1072377508.db2.gz YYFHWDUPAVMSFF-KPRKPIBOSA-N 0 0 434.580 -0.253 20 0 IBADRN CC1C[C@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)[C@@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)C1 ZINC000566650833 1072377455 /nfs/dbraw/zinc/37/74/55/1072377455.db2.gz YYFHWDUPAVMSFF-OSLXHZNNSA-N 0 0 434.580 -0.253 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@@H]1C[C@@H](C)C[C@@H]1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000566650835 1072377355 /nfs/dbraw/zinc/37/73/55/1072377355.db2.gz YYFHWDUPAVMSFF-XZEYQLJZSA-N 0 0 434.580 -0.253 20 0 IBADRN CC(C)OCCCNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000566666826 1072377426 /nfs/dbraw/zinc/37/74/26/1072377426.db2.gz IWCWHUCZIPECRM-UHFFFAOYSA-N 0 0 441.554 -0.419 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000566667436 1072377440 /nfs/dbraw/zinc/37/74/40/1072377440.db2.gz YSYGGMPFHIKYJE-GFCCVEGCSA-N 0 0 434.540 -0.701 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000566667439 1072377387 /nfs/dbraw/zinc/37/73/87/1072377387.db2.gz YSYGGMPFHIKYJE-LBPRGKRZSA-N 0 0 434.540 -0.701 20 0 IBADRN COCCCN(CC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000566670187 1072377227 /nfs/dbraw/zinc/37/72/27/1072377227.db2.gz YJTHOKAWRUKRGM-AWEZNQCLSA-N 0 0 444.554 -0.507 20 0 IBADRN COCCCN(CC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000566670188 1072377244 /nfs/dbraw/zinc/37/72/44/1072377244.db2.gz YJTHOKAWRUKRGM-CQSZACIVSA-N 0 0 444.554 -0.507 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)C[C@H]3CCCO3)CC2)cn1C ZINC000566670201 1072377329 /nfs/dbraw/zinc/37/73/29/1072377329.db2.gz ZOUXISOJKXEHOU-DZGCQCFKSA-N 0 0 427.527 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)C[C@@H]3CCCO3)CC2)cn1C ZINC000566670202 1072377448 /nfs/dbraw/zinc/37/74/48/1072377448.db2.gz ZOUXISOJKXEHOU-HIFRSBDPSA-N 0 0 427.527 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)C[C@H]3CCCO3)CC2)cn1C ZINC000566670203 1072377497 /nfs/dbraw/zinc/37/74/97/1072377497.db2.gz ZOUXISOJKXEHOU-UKRRQHHQSA-N 0 0 427.527 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)C[C@@H]3CCCO3)CC2)cn1C ZINC000566670204 1072377344 /nfs/dbraw/zinc/37/73/44/1072377344.db2.gz ZOUXISOJKXEHOU-ZFWWWQNUSA-N 0 0 427.527 -0.365 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000566671457 1072377374 /nfs/dbraw/zinc/37/73/74/1072377374.db2.gz SDNOFWGUTXQLPU-CYBMUJFWSA-N 0 0 447.539 -0.799 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000566671458 1072378040 /nfs/dbraw/zinc/37/80/40/1072378040.db2.gz SDNOFWGUTXQLPU-ZDUSSCGKSA-N 0 0 447.539 -0.799 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccncc2OCC(F)F)CC1)NC1CC1 ZINC000566671526 1072377757 /nfs/dbraw/zinc/37/77/57/1072377757.db2.gz VEQRVLVOLBDZRD-UHFFFAOYSA-N 0 0 425.436 -0.236 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000566693205 1072377785 /nfs/dbraw/zinc/37/77/85/1072377785.db2.gz VTQMDAYYUUNWGR-UHFFFAOYSA-N 0 0 442.476 -0.670 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)C[C@H](C)O1 ZINC000566693239 1072377836 /nfs/dbraw/zinc/37/78/36/1072377836.db2.gz WYNYFSRURLVSQE-HOTGVXAUSA-N 0 0 446.552 -0.281 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)C[C@@H](C)O1 ZINC000566693240 1072377795 /nfs/dbraw/zinc/37/77/95/1072377795.db2.gz WYNYFSRURLVSQE-HZPDHXFCSA-N 0 0 446.552 -0.281 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cn2)C[C@H](C)O1 ZINC000566693241 1072377899 /nfs/dbraw/zinc/37/78/99/1072377899.db2.gz WYNYFSRURLVSQE-IYBDPMFKSA-N 0 0 446.552 -0.281 20 0 IBADRN Cc1ccc(OCCCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000566695081 1072377880 /nfs/dbraw/zinc/37/78/80/1072377880.db2.gz LEBPCIIBIJYQSD-UHFFFAOYSA-N 0 0 432.521 -0.117 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccccc2S(N)(=O)=O)c2ccccc2O1 ZINC000566697757 1072377746 /nfs/dbraw/zinc/37/77/46/1072377746.db2.gz QVOGGSHJFWPVNJ-HNNXBMFYSA-N 0 0 433.442 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccccc2S(N)(=O)=O)c2ccccc2O1 ZINC000566697758 1072377766 /nfs/dbraw/zinc/37/77/66/1072377766.db2.gz QVOGGSHJFWPVNJ-OAHLLOKOSA-N 0 0 433.442 -0.083 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H](C)CCc3cccn3C)CC2)no1 ZINC000566698768 1073329760 /nfs/dbraw/zinc/32/97/60/1073329760.db2.gz SOUBCIKUNRXDQM-HNNXBMFYSA-N 0 0 430.509 0.542 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H](C)CCc3cccn3C)CC2)no1 ZINC000566698770 1073329820 /nfs/dbraw/zinc/32/98/20/1073329820.db2.gz SOUBCIKUNRXDQM-OAHLLOKOSA-N 0 0 430.509 0.542 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N[C@H]3CCN(S(=O)(=O)c4ccccc4)C3)nc2c(=O)[nH]c1=O ZINC000566699792 1072377864 /nfs/dbraw/zinc/37/78/64/1072377864.db2.gz MKBNSMZZSIJSJE-LBPRGKRZSA-N 0 0 446.489 -0.126 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)C2=O)c1 ZINC000566702695 1072377891 /nfs/dbraw/zinc/37/78/91/1072377891.db2.gz KWKIGXZKHQUIIH-KRWDZBQOSA-N 0 0 430.461 -0.242 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)C2=O)c1 ZINC000566702696 1072377776 /nfs/dbraw/zinc/37/77/76/1072377776.db2.gz KWKIGXZKHQUIIH-QGZVFWFLSA-N 0 0 430.461 -0.242 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(C)o1 ZINC000566706519 1072377909 /nfs/dbraw/zinc/37/79/09/1072377909.db2.gz XYWXTXPHSWWCEH-KRWDZBQOSA-N 0 0 436.509 -0.215 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(C)o1 ZINC000566706523 1072378646 /nfs/dbraw/zinc/37/86/46/1072378646.db2.gz XYWXTXPHSWWCEH-QGZVFWFLSA-N 0 0 436.509 -0.215 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)cn1 ZINC000566707202 1072377820 /nfs/dbraw/zinc/37/78/20/1072377820.db2.gz TYTYZCRFCQUPLT-MJGOQNOKSA-N 0 0 425.493 -0.405 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1C ZINC000566707642 1072378522 /nfs/dbraw/zinc/37/85/22/1072378522.db2.gz UTLYIHCULGNMLS-UHFFFAOYSA-N 0 0 436.534 -0.125 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1)Cc1ccccc1S(N)(=O)=O ZINC000566707720 1072378682 /nfs/dbraw/zinc/37/86/82/1072378682.db2.gz WGZJJMUSMFUKAH-UHFFFAOYSA-N 0 0 439.582 -0.175 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000566712093 1072378511 /nfs/dbraw/zinc/37/85/11/1072378511.db2.gz ZHPHRUAOJNGPIN-UHFFFAOYSA-N 0 0 447.583 -0.039 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N1CC(=O)N(C2CC2)C1 ZINC000566718079 1072378703 /nfs/dbraw/zinc/37/87/03/1072378703.db2.gz JUKHVICUICZMSZ-CYBMUJFWSA-N 0 0 442.519 -0.052 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N1CC(=O)N(C2CC2)C1 ZINC000566718083 1072378637 /nfs/dbraw/zinc/37/86/37/1072378637.db2.gz JUKHVICUICZMSZ-ZDUSSCGKSA-N 0 0 442.519 -0.052 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CC(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000566726507 1072378402 /nfs/dbraw/zinc/37/84/02/1072378402.db2.gz FGMILSYQYCCZPK-CYBMUJFWSA-N 0 0 425.463 -0.868 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CC(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000566726508 1072378695 /nfs/dbraw/zinc/37/86/95/1072378695.db2.gz FGMILSYQYCCZPK-ZDUSSCGKSA-N 0 0 425.463 -0.868 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000566750631 1072378654 /nfs/dbraw/zinc/37/86/54/1072378654.db2.gz KIONSKQYQKUFCC-KDURUIRLSA-N 0 0 440.585 -0.058 20 0 IBADRN C[C@H]1CN(CCOC2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000566750632 1072378370 /nfs/dbraw/zinc/37/83/70/1072378370.db2.gz KIONSKQYQKUFCC-OALUTQOASA-N 0 0 440.585 -0.058 20 0 IBADRN C[C@@H]1CN(CCOC2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)C[C@@H](C)O1 ZINC000566750633 1072378417 /nfs/dbraw/zinc/37/84/17/1072378417.db2.gz KIONSKQYQKUFCC-RTBURBONSA-N 0 0 440.585 -0.058 20 0 IBADRN CCn1cc(CN(C)C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000566751097 1072378496 /nfs/dbraw/zinc/37/84/96/1072378496.db2.gz FCRXBZKIFAUYOC-UHFFFAOYSA-N 0 0 426.477 -0.250 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000566751864 1072378554 /nfs/dbraw/zinc/37/85/54/1072378554.db2.gz QZYBETSGXZIVRA-INIZCTEOSA-N 0 0 448.520 -0.289 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000566751865 1072379255 /nfs/dbraw/zinc/37/92/55/1072379255.db2.gz QZYBETSGXZIVRA-MRXNPFEDSA-N 0 0 448.520 -0.289 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)ccn(C)c3=O)CC2)cc1 ZINC000566756285 1072379108 /nfs/dbraw/zinc/37/91/08/1072379108.db2.gz OVQNRNSXSNPDDL-UHFFFAOYSA-N 0 0 436.490 -0.521 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)C1 ZINC000566756585 1072378968 /nfs/dbraw/zinc/37/89/68/1072378968.db2.gz SKUGQUWFXYEGNC-AWEZNQCLSA-N 0 0 437.478 -0.436 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)C1 ZINC000566756586 1072379133 /nfs/dbraw/zinc/37/91/33/1072379133.db2.gz SKUGQUWFXYEGNC-CQSZACIVSA-N 0 0 437.478 -0.436 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000566758168 1072379196 /nfs/dbraw/zinc/37/91/96/1072379196.db2.gz FJZVDPJSQCCLOZ-UHFFFAOYSA-N 0 0 434.459 -0.325 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCC[C@H]3CS(=O)(=O)NC)CC2)ncn1 ZINC000566760845 1072379147 /nfs/dbraw/zinc/37/91/47/1072379147.db2.gz YLCBNDZKXOKAJW-INIZCTEOSA-N 0 0 438.554 -0.336 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCC[C@@H]3CS(=O)(=O)NC)CC2)ncn1 ZINC000566760846 1072378953 /nfs/dbraw/zinc/37/89/53/1072378953.db2.gz YLCBNDZKXOKAJW-MRXNPFEDSA-N 0 0 438.554 -0.336 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000566761096 1072379123 /nfs/dbraw/zinc/37/91/23/1072379123.db2.gz DKUQESIBDRVMBB-HNNXBMFYSA-N 0 0 438.550 -0.237 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000566761097 1072379056 /nfs/dbraw/zinc/37/90/56/1072379056.db2.gz DKUQESIBDRVMBB-OAHLLOKOSA-N 0 0 438.550 -0.237 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000566762325 1072379094 /nfs/dbraw/zinc/37/90/94/1072379094.db2.gz UANKYAKGWGAHQI-UHFFFAOYSA-N 0 0 442.538 -0.317 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)NC2=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000566762406 1072379215 /nfs/dbraw/zinc/37/92/15/1072379215.db2.gz NNWCXSKTIWWLAI-UHFFFAOYSA-N 0 0 437.478 -0.267 20 0 IBADRN CCO[C@@H](CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(C)C ZINC000566762751 1072378919 /nfs/dbraw/zinc/37/89/19/1072378919.db2.gz WROYKRJEMKQHMF-KRWDZBQOSA-N 0 0 447.602 -0.810 20 0 IBADRN CCO[C@H](CCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(C)C ZINC000566762752 1072378987 /nfs/dbraw/zinc/37/89/87/1072378987.db2.gz WROYKRJEMKQHMF-QGZVFWFLSA-N 0 0 447.602 -0.810 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NC2CN(c3ccc(C(=O)OCC)cn3)C2)CCC1 ZINC000566763556 1072379235 /nfs/dbraw/zinc/37/92/35/1072379235.db2.gz MBKQCSSCCHKFOO-UHFFFAOYSA-N 0 0 431.493 -0.014 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000566764774 1072379077 /nfs/dbraw/zinc/37/90/77/1072379077.db2.gz PWGDDFPGFVAMPA-UHFFFAOYSA-N 0 0 443.501 -0.833 20 0 IBADRN CC(=O)NCc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000566768123 1072379208 /nfs/dbraw/zinc/37/92/08/1072379208.db2.gz BFTKLRSOQJWCTD-UHFFFAOYSA-N 0 0 425.511 -0.398 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000566773834 1072379729 /nfs/dbraw/zinc/37/97/29/1072379729.db2.gz AGKCAKBEHCOKJU-GFCCVEGCSA-N 0 0 447.535 -0.033 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000566773835 1072379712 /nfs/dbraw/zinc/37/97/12/1072379712.db2.gz AGKCAKBEHCOKJU-LBPRGKRZSA-N 0 0 447.535 -0.033 20 0 IBADRN CC(C)(CNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)N1CCOCC1 ZINC000566784949 1072379557 /nfs/dbraw/zinc/37/95/57/1072379557.db2.gz IQKQOQLBMPDHEZ-INIZCTEOSA-N 0 0 444.540 -0.316 20 0 IBADRN CC(C)(CNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)N1CCOCC1 ZINC000566784954 1072379571 /nfs/dbraw/zinc/37/95/71/1072379571.db2.gz IQKQOQLBMPDHEZ-MRXNPFEDSA-N 0 0 444.540 -0.316 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1OC ZINC000566802554 1072379485 /nfs/dbraw/zinc/37/94/85/1072379485.db2.gz IWSYYRIVQFBASR-CQSZACIVSA-N 0 0 428.511 -0.179 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cn(Cc3ccccc3)nn2)CC1 ZINC000566809680 1072379669 /nfs/dbraw/zinc/37/96/69/1072379669.db2.gz BSJZZTJPNJGKFJ-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCN4C(=O)N(CC(C)C)C[C@@H]4C3)c2C)no1 ZINC000566810537 1072380258 /nfs/dbraw/zinc/38/02/58/1072380258.db2.gz FIMNWIGEXHPUEP-AWEZNQCLSA-N 0 0 445.484 -0.131 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCN4C(=O)N(CC(C)C)C[C@H]4C3)c2C)no1 ZINC000566810538 1072380373 /nfs/dbraw/zinc/38/03/73/1072380373.db2.gz FIMNWIGEXHPUEP-CQSZACIVSA-N 0 0 445.484 -0.131 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000566812582 1072380381 /nfs/dbraw/zinc/38/03/81/1072380381.db2.gz JSTXCHOUJQLLDW-GDBMZVCRSA-N 0 0 428.555 -0.034 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000566812585 1072380389 /nfs/dbraw/zinc/38/03/89/1072380389.db2.gz JSTXCHOUJQLLDW-GOEBONIOSA-N 0 0 428.555 -0.034 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000566812586 1072380242 /nfs/dbraw/zinc/38/02/42/1072380242.db2.gz JSTXCHOUJQLLDW-HOCLYGCPSA-N 0 0 428.555 -0.034 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000566812587 1072380367 /nfs/dbraw/zinc/38/03/67/1072380367.db2.gz JSTXCHOUJQLLDW-ZBFHGGJFSA-N 0 0 428.555 -0.034 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[N@@H+]1CCCNC(=O)C(=O)N1CCC[C@H](C[NH+]2CCOCC2)C1 ZINC000566813624 1072380136 /nfs/dbraw/zinc/38/01/36/1072380136.db2.gz XMYBHPFLDWZRPS-MOPGFXCFSA-N 0 0 437.585 -0.384 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[N@@H+]1CCCNC(=O)C(=O)N1CCC[C@H](C[NH+]2CCOCC2)C1 ZINC000566813625 1072380309 /nfs/dbraw/zinc/38/03/09/1072380309.db2.gz XMYBHPFLDWZRPS-RTBURBONSA-N 0 0 437.585 -0.384 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)c2cn(CC(N)=O)nn2)c1 ZINC000566814178 1072380395 /nfs/dbraw/zinc/38/03/95/1072380395.db2.gz YSTXYODBMPRLMQ-UHFFFAOYSA-N 0 0 445.299 -0.457 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)C1 ZINC000566815637 1073309111 /nfs/dbraw/zinc/30/91/11/1073309111.db2.gz IPRVXXXLGOJXAZ-OAHLLOKOSA-N 0 0 435.510 -0.348 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)CCCS(=O)(=O)CCCS(N)(=O)=O)cc1 ZINC000566816012 1072380358 /nfs/dbraw/zinc/38/03/58/1072380358.db2.gz PCMNVUHMXJBXBE-UHFFFAOYSA-N 0 0 426.538 -0.098 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000566818415 1072380748 /nfs/dbraw/zinc/38/07/48/1072380748.db2.gz WLZIMSUMNXSMQG-CABCVRRESA-N 0 0 445.467 -0.141 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000566818417 1072380893 /nfs/dbraw/zinc/38/08/93/1072380893.db2.gz WLZIMSUMNXSMQG-GJZGRUSLSA-N 0 0 445.467 -0.141 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000566818419 1072380919 /nfs/dbraw/zinc/38/09/19/1072380919.db2.gz WLZIMSUMNXSMQG-HUUCEWRRSA-N 0 0 445.467 -0.141 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000566818420 1072380886 /nfs/dbraw/zinc/38/08/86/1072380886.db2.gz WLZIMSUMNXSMQG-LSDHHAIUSA-N 0 0 445.467 -0.141 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CCO1 ZINC000566818491 1072380927 /nfs/dbraw/zinc/38/09/27/1072380927.db2.gz XRFYRRQSGNEPOT-MOPGFXCFSA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CCO1 ZINC000566818492 1072380900 /nfs/dbraw/zinc/38/09/00/1072380900.db2.gz XRFYRRQSGNEPOT-OALUTQOASA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CCO1 ZINC000566818493 1072380776 /nfs/dbraw/zinc/38/07/76/1072380776.db2.gz XRFYRRQSGNEPOT-RBUKOAKNSA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CCO1 ZINC000566818494 1072380855 /nfs/dbraw/zinc/38/08/55/1072380855.db2.gz XRFYRRQSGNEPOT-RTBURBONSA-N 0 0 430.505 -0.300 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)s1 ZINC000566820268 1072380907 /nfs/dbraw/zinc/38/09/07/1072380907.db2.gz HBZAOJQOTFUCHN-UHFFFAOYSA-N 0 0 440.551 -0.481 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000566822037 1072380806 /nfs/dbraw/zinc/38/08/06/1072380806.db2.gz SDQCFRPHBYBWKT-XJKSGUPXSA-N 0 0 438.506 -0.113 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCCS(N)(=O)=O ZINC000566822684 1072380828 /nfs/dbraw/zinc/38/08/28/1072380828.db2.gz DLTYYLJJBMQYMI-UHFFFAOYSA-N 0 0 448.567 -0.570 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC2(c3ccc4c(c3)OCCO4)CCCC2)n1 ZINC000566826470 1072380793 /nfs/dbraw/zinc/38/07/93/1072380793.db2.gz KALMWCDMNMQQMS-UHFFFAOYSA-N 0 0 428.449 0.320 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)CSCC(=O)N2CCN(C(=O)N(C)C)CC2)CC1 ZINC000566846591 1072380818 /nfs/dbraw/zinc/38/08/18/1072380818.db2.gz DERNUANFHMTENG-UHFFFAOYSA-N 0 0 428.559 -0.629 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NCCC2(O)CCC2)ccc1OC ZINC000566846914 1072381316 /nfs/dbraw/zinc/38/13/16/1072381316.db2.gz ARMMFBCWVCNSRP-UHFFFAOYSA-N 0 0 429.495 -0.020 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000566848590 1072381323 /nfs/dbraw/zinc/38/13/23/1072381323.db2.gz BCIMLJQXRWMFIP-AWEZNQCLSA-N 0 0 431.536 -0.235 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000566848591 1072381290 /nfs/dbraw/zinc/38/12/90/1072381290.db2.gz BCIMLJQXRWMFIP-CQSZACIVSA-N 0 0 431.536 -0.235 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000566861790 1072381381 /nfs/dbraw/zinc/38/13/81/1072381381.db2.gz KLHKDSDUKKQOBD-UHFFFAOYSA-N 0 0 431.537 -0.457 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1F ZINC000566869040 1072381325 /nfs/dbraw/zinc/38/13/25/1072381325.db2.gz NBSUPWARLVUHMY-CQSZACIVSA-N 0 0 428.486 -0.480 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@@H](C)CN2CCOCC2)cc1 ZINC000566869769 1072381359 /nfs/dbraw/zinc/38/13/59/1072381359.db2.gz WIYUYTRLLZEDNL-INIZCTEOSA-N 0 0 426.539 -0.431 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@H](C)CN2CCOCC2)cc1 ZINC000566869770 1072381398 /nfs/dbraw/zinc/38/13/98/1072381398.db2.gz WIYUYTRLLZEDNL-MRXNPFEDSA-N 0 0 426.539 -0.431 20 0 IBADRN CC(C)CCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000566870704 1072381309 /nfs/dbraw/zinc/38/13/09/1072381309.db2.gz GLFBNUQHIPNJJM-CALCHBBNSA-N 0 0 448.586 -0.337 20 0 IBADRN CC(C)CCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000566870705 1072381313 /nfs/dbraw/zinc/38/13/13/1072381313.db2.gz GLFBNUQHIPNJJM-IAGOWNOFSA-N 0 0 448.586 -0.337 20 0 IBADRN CC(C)CCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000566870706 1072381285 /nfs/dbraw/zinc/38/12/85/1072381285.db2.gz GLFBNUQHIPNJJM-IRXDYDNUSA-N 0 0 448.586 -0.337 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCc3ccn(-c4ccccc4)n3)CC2)c1=O ZINC000566871566 1072381374 /nfs/dbraw/zinc/38/13/74/1072381374.db2.gz ASZAPZLRMKSRJD-UHFFFAOYSA-N 0 0 435.488 -0.027 20 0 IBADRN CN1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C[C@H]1c1nc(C2CC2)no1 ZINC000566872053 1072381367 /nfs/dbraw/zinc/38/13/67/1072381367.db2.gz RTCSYBYDZANTMM-AWEZNQCLSA-N 0 0 427.465 -0.186 20 0 IBADRN CN1CCN(C(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)C[C@@H]1c1nc(C2CC2)no1 ZINC000566872054 1072381340 /nfs/dbraw/zinc/38/13/40/1072381340.db2.gz RTCSYBYDZANTMM-CQSZACIVSA-N 0 0 427.465 -0.186 20 0 IBADRN COC1CCC(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000566872230 1072381329 /nfs/dbraw/zinc/38/13/29/1072381329.db2.gz ZWAMKBJZUNUIPU-UHFFFAOYSA-N 0 0 432.525 -0.047 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2cccc(-c3ccccn3)c2)CC1 ZINC000566875333 1072381345 /nfs/dbraw/zinc/38/13/45/1072381345.db2.gz XMXIYRYIAQIHBE-UHFFFAOYSA-N 0 0 434.460 -0.278 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@@H](C3CC3)C2)CC1 ZINC000566876595 1072381839 /nfs/dbraw/zinc/38/18/39/1072381839.db2.gz LAHLTOLRPPEJRZ-DLBZAZTESA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@H](C3CC3)C2)CC1 ZINC000566876596 1072381725 /nfs/dbraw/zinc/38/17/25/1072381725.db2.gz LAHLTOLRPPEJRZ-IAGOWNOFSA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@H](C3CC3)C2)CC1 ZINC000566876597 1072381784 /nfs/dbraw/zinc/38/17/84/1072381784.db2.gz LAHLTOLRPPEJRZ-IRXDYDNUSA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@H](C3CC3)C2)CC1 ZINC000566876598 1072381749 /nfs/dbraw/zinc/38/17/49/1072381749.db2.gz LAHLTOLRPPEJRZ-SJORKVTESA-N 0 0 431.555 -0.041 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)ncn1 ZINC000566878392 1072381903 /nfs/dbraw/zinc/38/19/03/1072381903.db2.gz UIMWLHCWXQGTHO-MRXNPFEDSA-N 0 0 438.554 -0.336 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CCO1 ZINC000566885549 1072381891 /nfs/dbraw/zinc/38/18/91/1072381891.db2.gz WEIOIRBOFVNUGA-GFCCVEGCSA-N 0 0 428.392 -0.269 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CCO1 ZINC000566885550 1072381878 /nfs/dbraw/zinc/38/18/78/1072381878.db2.gz WEIOIRBOFVNUGA-LBPRGKRZSA-N 0 0 428.392 -0.269 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc([S@@](C)=O)c(F)c2)CC1 ZINC000566886038 1072381932 /nfs/dbraw/zinc/38/19/32/1072381932.db2.gz RSNUDTWQDQJDCT-HHHXNRCGSA-N 0 0 434.515 -0.158 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc([S@](C)=O)c(F)c2)CC1 ZINC000566886039 1072381792 /nfs/dbraw/zinc/38/17/92/1072381792.db2.gz RSNUDTWQDQJDCT-MHZLTWQESA-N 0 0 434.515 -0.158 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000566887470 1072381771 /nfs/dbraw/zinc/38/17/71/1072381771.db2.gz RQSWRURLPOCSBQ-LLVKDONJSA-N 0 0 441.325 -0.343 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000566887471 1072381921 /nfs/dbraw/zinc/38/19/21/1072381921.db2.gz RQSWRURLPOCSBQ-NSHDSACASA-N 0 0 441.325 -0.343 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000566888800 1072381848 /nfs/dbraw/zinc/38/18/48/1072381848.db2.gz MDROMBOGWQOBLO-UHFFFAOYSA-N 0 0 449.283 -0.793 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCC2CCS(=O)(=O)CC2)C1 ZINC000566891043 1072381757 /nfs/dbraw/zinc/38/17/57/1072381757.db2.gz KCDWHOUCWGMPRN-HNNXBMFYSA-N 0 0 425.573 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCC2CCS(=O)(=O)CC2)C1 ZINC000566891044 1072381804 /nfs/dbraw/zinc/38/18/04/1072381804.db2.gz KCDWHOUCWGMPRN-OAHLLOKOSA-N 0 0 425.573 -0.107 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000566893775 1072381689 /nfs/dbraw/zinc/38/16/89/1072381689.db2.gz BTAVQFONVWEUCL-UHFFFAOYSA-N 0 0 427.461 -0.327 20 0 IBADRN O=C(COCC(=O)N1CC[C@H](C(=O)N2CCCC2)C1)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000566907244 1072381815 /nfs/dbraw/zinc/38/18/15/1072381815.db2.gz GYOAEKDAWAAMLR-HDICACEKSA-N 0 0 434.537 -0.055 20 0 IBADRN O=C(COCC(=O)N1CC[C@@H](C(=O)N2CCCC2)C1)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000566907245 1072381835 /nfs/dbraw/zinc/38/18/35/1072381835.db2.gz GYOAEKDAWAAMLR-QZTJIDSGSA-N 0 0 434.537 -0.055 20 0 IBADRN O=C(COCC(=O)N1CC[C@H](C(=O)N2CCCC2)C1)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000566907246 1072382446 /nfs/dbraw/zinc/38/24/46/1072382446.db2.gz GYOAEKDAWAAMLR-ROUUACIJSA-N 0 0 434.537 -0.055 20 0 IBADRN COCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCC1 ZINC000566907569 1072381734 /nfs/dbraw/zinc/38/17/34/1072381734.db2.gz MUNJAFPWTVPSJX-UHFFFAOYSA-N 0 0 427.527 -0.506 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000566917957 1072382282 /nfs/dbraw/zinc/38/22/82/1072382282.db2.gz DBEFMDWZXPWUTN-UHFFFAOYSA-N 0 0 427.509 -0.010 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCCN(S(=O)(=O)C4CC4)CC3)cnc21 ZINC000566926519 1072382473 /nfs/dbraw/zinc/38/24/73/1072382473.db2.gz UGCGZRPAKNNUIR-UHFFFAOYSA-N 0 0 443.507 -0.777 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3nc(C)n(C)n3)CC2)cc1 ZINC000566928282 1073309283 /nfs/dbraw/zinc/30/92/83/1073309283.db2.gz MEJCZEMTXRCSNZ-UHFFFAOYSA-N 0 0 434.478 -0.202 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC(C)C)nn2)CC1 ZINC000566929222 1073332198 /nfs/dbraw/zinc/33/21/98/1073332198.db2.gz JIECAOOOGFZUOY-UHFFFAOYSA-N 0 0 429.499 -0.287 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)CC1 ZINC000566930508 1072382401 /nfs/dbraw/zinc/38/24/01/1072382401.db2.gz LZPPIMZOWYZGKK-NFOMZHRRSA-N 0 0 428.497 -0.418 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)CC1 ZINC000566930509 1072382250 /nfs/dbraw/zinc/38/22/50/1072382250.db2.gz LZPPIMZOWYZGKK-WLYUNCDWSA-N 0 0 428.497 -0.418 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000566930736 1072382266 /nfs/dbraw/zinc/38/22/66/1072382266.db2.gz CWWUXQFXGKVYMO-MAZHCROVSA-N 0 0 428.511 -0.096 20 0 IBADRN COC(=O)[C@@H]1CCO[C@H]1C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000566930737 1072382396 /nfs/dbraw/zinc/38/23/96/1072382396.db2.gz CWWUXQFXGKVYMO-WQVCFCJDSA-N 0 0 428.511 -0.096 20 0 IBADRN COc1cc(OC)c(CCNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c(OC)c1 ZINC000566932835 1072382485 /nfs/dbraw/zinc/38/24/85/1072382485.db2.gz YUYZUKZHBGQPJE-UHFFFAOYSA-N 0 0 445.476 -0.020 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000566933745 1072382369 /nfs/dbraw/zinc/38/23/69/1072382369.db2.gz RLTSMIMOVQXRAC-HKUYNNGSSA-N 0 0 445.564 -0.200 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000566933746 1072382334 /nfs/dbraw/zinc/38/23/34/1072382334.db2.gz RLTSMIMOVQXRAC-IEBWSBKVSA-N 0 0 445.564 -0.200 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000566933747 1072382350 /nfs/dbraw/zinc/38/23/50/1072382350.db2.gz RLTSMIMOVQXRAC-MJGOQNOKSA-N 0 0 445.564 -0.200 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000566933748 1072382299 /nfs/dbraw/zinc/38/22/99/1072382299.db2.gz RLTSMIMOVQXRAC-PKOBYXMFSA-N 0 0 445.564 -0.200 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000566934656 1072382502 /nfs/dbraw/zinc/38/25/02/1072382502.db2.gz NHPDRKSZIGGDFM-UHFFFAOYSA-N 0 0 431.536 -0.136 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000566935110 1072382464 /nfs/dbraw/zinc/38/24/64/1072382464.db2.gz GHOJLHHTAMUFGQ-KBPBESRZSA-N 0 0 433.490 -0.266 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000566935111 1072382455 /nfs/dbraw/zinc/38/24/55/1072382455.db2.gz GHOJLHHTAMUFGQ-KGLIPLIRSA-N 0 0 433.490 -0.266 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000566935112 1072382408 /nfs/dbraw/zinc/38/24/08/1072382408.db2.gz GHOJLHHTAMUFGQ-UONOGXRCSA-N 0 0 433.490 -0.266 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000566935113 1072382417 /nfs/dbraw/zinc/38/24/17/1072382417.db2.gz GHOJLHHTAMUFGQ-ZIAGYGMSSA-N 0 0 433.490 -0.266 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1 ZINC000566935168 1072382387 /nfs/dbraw/zinc/38/23/87/1072382387.db2.gz IBKDJUSLFYBICD-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCC(=O)N[C@H]1c1ccnn1C ZINC000566936542 1072382980 /nfs/dbraw/zinc/38/29/80/1072382980.db2.gz OSQOHJGULDZLDZ-CKEIUWERSA-N 0 0 441.558 -0.300 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CCC(=O)N[C@H]1c1ccnn1C ZINC000566936543 1072383124 /nfs/dbraw/zinc/38/31/24/1072383124.db2.gz OSQOHJGULDZLDZ-JJRVBVJISA-N 0 0 441.558 -0.300 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1noc(-c2ccccc2)n1 ZINC000566937768 1072382897 /nfs/dbraw/zinc/38/28/97/1072382897.db2.gz KNQOUYCARPRZRA-CYBMUJFWSA-N 0 0 439.436 -0.729 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1noc(-c2ccccc2)n1 ZINC000566937769 1072383135 /nfs/dbraw/zinc/38/31/35/1072383135.db2.gz KNQOUYCARPRZRA-ZDUSSCGKSA-N 0 0 439.436 -0.729 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000566938838 1072382935 /nfs/dbraw/zinc/38/29/35/1072382935.db2.gz YIRXMXYAHCRODI-INIZCTEOSA-N 0 0 435.912 -0.158 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000566938839 1072383030 /nfs/dbraw/zinc/38/30/30/1072383030.db2.gz YIRXMXYAHCRODI-MRXNPFEDSA-N 0 0 435.912 -0.158 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)NCCOc1ccc2c(c1)OCO2 ZINC000566942295 1072382887 /nfs/dbraw/zinc/38/28/87/1072382887.db2.gz NTDLXRNINHQGBT-UHFFFAOYSA-N 0 0 437.478 -0.360 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)C1=O ZINC000566942836 1072383011 /nfs/dbraw/zinc/38/30/11/1072383011.db2.gz PTOIYONVAYFEPP-INIZCTEOSA-N 0 0 440.508 -0.038 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)C1=O ZINC000566942837 1072383109 /nfs/dbraw/zinc/38/31/09/1072383109.db2.gz PTOIYONVAYFEPP-MRXNPFEDSA-N 0 0 440.508 -0.038 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)cc1 ZINC000566965500 1072382923 /nfs/dbraw/zinc/38/29/23/1072382923.db2.gz LAUQFLCJPUECTO-HNNXBMFYSA-N 0 0 439.534 -0.152 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)cc1 ZINC000566965501 1072382867 /nfs/dbraw/zinc/38/28/67/1072382867.db2.gz LAUQFLCJPUECTO-OAHLLOKOSA-N 0 0 439.534 -0.152 20 0 IBADRN CC(C)n1nccc1[C@H]1OCC[C@@H]1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000566980412 1072383178 /nfs/dbraw/zinc/38/31/78/1072383178.db2.gz VUUWBSDSXCMTPJ-KSSFIOAISA-N 0 0 446.512 -0.034 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)o1 ZINC000566980587 1072382955 /nfs/dbraw/zinc/38/29/55/1072382955.db2.gz UUTCGIRVFRCEQO-JTQLQIEISA-N 0 0 428.389 -0.964 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)o1 ZINC000566980592 1072382993 /nfs/dbraw/zinc/38/29/93/1072382993.db2.gz UUTCGIRVFRCEQO-SNVBAGLBSA-N 0 0 428.389 -0.964 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCCc1nnc2n1CCCCC2 ZINC000566981241 1072382911 /nfs/dbraw/zinc/38/29/11/1072382911.db2.gz MYPQFFWGYKNLCG-UHFFFAOYSA-N 0 0 434.522 -0.242 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000566985937 1072383596 /nfs/dbraw/zinc/38/35/96/1072383596.db2.gz FUSNBNYTUMHLGR-UHFFFAOYSA-N 0 0 426.543 0.247 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCCN(S(=O)(=O)C2CC2)CC1)S(C)(=O)=O ZINC000566986290 1072383630 /nfs/dbraw/zinc/38/36/30/1072383630.db2.gz WVSOZXAYUYACLQ-UHFFFAOYSA-N 0 0 438.616 -0.337 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CNC(=O)c2cccnc2)CC3)CC1 ZINC000566986517 1072383647 /nfs/dbraw/zinc/38/36/47/1072383647.db2.gz GRRDRHWLIDXAPD-INIZCTEOSA-N 0 0 439.520 -0.226 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CNC(=O)c2cccnc2)CC3)CC1 ZINC000566986518 1072383575 /nfs/dbraw/zinc/38/35/75/1072383575.db2.gz GRRDRHWLIDXAPD-MRXNPFEDSA-N 0 0 439.520 -0.226 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCCn3cccn3)CC2)ncc1Br ZINC000566988968 1072383608 /nfs/dbraw/zinc/38/36/08/1072383608.db2.gz RNLFURRKNRODAO-UHFFFAOYSA-N 0 0 438.286 -0.091 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@@H]2CCC(=O)N(C3CC3)[C@H]2c2ccnn2C)c1 ZINC000566989392 1072383539 /nfs/dbraw/zinc/38/35/39/1072383539.db2.gz BIEFCUIBPFUKFG-FZKQIMNGSA-N 0 0 435.510 -0.557 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000566990168 1072383682 /nfs/dbraw/zinc/38/36/82/1072383682.db2.gz KFBDGYYNPPLHCE-GFCCVEGCSA-N 0 0 425.554 -0.408 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000566990169 1072383623 /nfs/dbraw/zinc/38/36/23/1072383623.db2.gz KFBDGYYNPPLHCE-LBPRGKRZSA-N 0 0 425.554 -0.408 20 0 IBADRN Cn1ccnc1[C@H]1OCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000566991288 1072383634 /nfs/dbraw/zinc/38/36/34/1072383634.db2.gz YCZXRXOERPHEJY-GJZGRUSLSA-N 0 0 428.515 -0.846 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000566993648 1072383555 /nfs/dbraw/zinc/38/35/55/1072383555.db2.gz ISQWLOHBNBMEBZ-UHFFFAOYSA-N 0 0 448.505 -0.610 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000566995729 1072383618 /nfs/dbraw/zinc/38/36/18/1072383618.db2.gz VBRXYWKVYCEJQU-DLBZAZTESA-N 0 0 444.540 -0.364 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000566995730 1072383568 /nfs/dbraw/zinc/38/35/68/1072383568.db2.gz VBRXYWKVYCEJQU-IAGOWNOFSA-N 0 0 444.540 -0.364 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000566995731 1072383548 /nfs/dbraw/zinc/38/35/48/1072383548.db2.gz VBRXYWKVYCEJQU-IRXDYDNUSA-N 0 0 444.540 -0.364 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000566995732 1072383642 /nfs/dbraw/zinc/38/36/42/1072383642.db2.gz VBRXYWKVYCEJQU-SJORKVTESA-N 0 0 444.540 -0.364 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000566995871 1072383562 /nfs/dbraw/zinc/38/35/62/1072383562.db2.gz ZLUZSOJLVWXICP-INIZCTEOSA-N 0 0 431.493 -0.211 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000566995872 1072383498 /nfs/dbraw/zinc/38/34/98/1072383498.db2.gz ZLUZSOJLVWXICP-MRXNPFEDSA-N 0 0 431.493 -0.211 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cc1 ZINC000566996939 1072383510 /nfs/dbraw/zinc/38/35/10/1072383510.db2.gz GCRGNEBZKAJSBG-INIZCTEOSA-N 0 0 438.550 -0.039 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cc1 ZINC000566996940 1072383658 /nfs/dbraw/zinc/38/36/58/1072383658.db2.gz GCRGNEBZKAJSBG-MRXNPFEDSA-N 0 0 438.550 -0.039 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1nccn1CCc1ccccc1 ZINC000566997744 1072384067 /nfs/dbraw/zinc/38/40/67/1072384067.db2.gz YQRFVVVUODJUFE-IBGZPJMESA-N 0 0 429.521 -0.107 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1nccn1CCc1ccccc1 ZINC000566997745 1072384102 /nfs/dbraw/zinc/38/41/02/1072384102.db2.gz YQRFVVVUODJUFE-LJQANCHMSA-N 0 0 429.521 -0.107 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccn(Cc2ccncc2)n1 ZINC000566997909 1073308827 /nfs/dbraw/zinc/30/88/27/1073308827.db2.gz JHXFSHKILDLGLZ-INIZCTEOSA-N 0 0 449.537 0.042 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccn(Cc2ccncc2)n1 ZINC000566997910 1073308882 /nfs/dbraw/zinc/30/88/82/1073308882.db2.gz JHXFSHKILDLGLZ-MRXNPFEDSA-N 0 0 449.537 0.042 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000567004569 1072384120 /nfs/dbraw/zinc/38/41/20/1072384120.db2.gz ZDUHBMZIBVPTDX-UHFFFAOYSA-N 0 0 439.538 -0.024 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)[C@H](C)C1 ZINC000567005877 1072384110 /nfs/dbraw/zinc/38/41/10/1072384110.db2.gz SEJONASFKIKXBW-CYBMUJFWSA-N 0 0 449.551 -0.136 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC2CCN(CC(F)(F)F)CC2)C1 ZINC000567017419 1072384176 /nfs/dbraw/zinc/38/41/76/1072384176.db2.gz ZQBHLIKIZCCEOU-CYBMUJFWSA-N 0 0 428.477 -0.083 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC2CCN(CC(F)(F)F)CC2)C1 ZINC000567017425 1072384153 /nfs/dbraw/zinc/38/41/53/1072384153.db2.gz ZQBHLIKIZCCEOU-ZDUSSCGKSA-N 0 0 428.477 -0.083 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3nccn(C)c3=O)C2)C[C@H]1c1ncc[nH]1 ZINC000567017843 1072384131 /nfs/dbraw/zinc/38/41/31/1072384131.db2.gz HXBVTQXWUXFERV-CVEARBPZSA-N 0 0 442.524 -0.506 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3nccn(C)c3=O)C2)C[C@H]1c1ncc[nH]1 ZINC000567017845 1072384158 /nfs/dbraw/zinc/38/41/58/1072384158.db2.gz HXBVTQXWUXFERV-HOTGVXAUSA-N 0 0 442.524 -0.506 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3nccn(C)c3=O)C2)C[C@@H]1c1ncc[nH]1 ZINC000567017846 1072384046 /nfs/dbraw/zinc/38/40/46/1072384046.db2.gz HXBVTQXWUXFERV-HZPDHXFCSA-N 0 0 442.524 -0.506 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3nccn(C)c3=O)C2)C[C@@H]1c1ncc[nH]1 ZINC000567017847 1072384090 /nfs/dbraw/zinc/38/40/90/1072384090.db2.gz HXBVTQXWUXFERV-JKSUJKDBSA-N 0 0 442.524 -0.506 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)CC2)cn1 ZINC000567019558 1072384056 /nfs/dbraw/zinc/38/40/56/1072384056.db2.gz KULXOMFPKQCGSC-GOSISDBHSA-N 0 0 425.493 -0.229 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)CC2)cn1 ZINC000567019559 1072384135 /nfs/dbraw/zinc/38/41/35/1072384135.db2.gz KULXOMFPKQCGSC-SFHVURJKSA-N 0 0 425.493 -0.229 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000567020419 1072384125 /nfs/dbraw/zinc/38/41/25/1072384125.db2.gz SPMUVMRNMCZOHZ-CABCVRRESA-N 0 0 439.604 -0.287 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000567020420 1072384095 /nfs/dbraw/zinc/38/40/95/1072384095.db2.gz SPMUVMRNMCZOHZ-GJZGRUSLSA-N 0 0 439.604 -0.287 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000567020421 1072384116 /nfs/dbraw/zinc/38/41/16/1072384116.db2.gz SPMUVMRNMCZOHZ-HUUCEWRRSA-N 0 0 439.604 -0.287 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000567020422 1072384549 /nfs/dbraw/zinc/38/45/49/1072384549.db2.gz SPMUVMRNMCZOHZ-LSDHHAIUSA-N 0 0 439.604 -0.287 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)C1=O ZINC000567021504 1072384623 /nfs/dbraw/zinc/38/46/23/1072384623.db2.gz YYSHKCGZTHSONG-INIZCTEOSA-N 0 0 440.504 -0.005 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)C1=O ZINC000567021505 1072384503 /nfs/dbraw/zinc/38/45/03/1072384503.db2.gz YYSHKCGZTHSONG-MRXNPFEDSA-N 0 0 440.504 -0.005 20 0 IBADRN CC(=O)N(C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1)C1CC1 ZINC000567027102 1072384653 /nfs/dbraw/zinc/38/46/53/1072384653.db2.gz BGYKFFMEKVRJLA-LMMKCTJWSA-N 0 0 430.509 -0.142 20 0 IBADRN CC(=O)N(C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1)C1CC1 ZINC000567027103 1072384595 /nfs/dbraw/zinc/38/45/95/1072384595.db2.gz BGYKFFMEKVRJLA-SCTDSRPQSA-N 0 0 430.509 -0.142 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3CC)C2=O)C1 ZINC000567027618 1072384586 /nfs/dbraw/zinc/38/45/86/1072384586.db2.gz ZGNLANPCVSGRDX-KBPBESRZSA-N 0 0 440.526 -0.945 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3CC)C2=O)C1 ZINC000567027619 1072384606 /nfs/dbraw/zinc/38/46/06/1072384606.db2.gz ZGNLANPCVSGRDX-KGLIPLIRSA-N 0 0 440.526 -0.945 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3CC)C2=O)C1 ZINC000567027620 1072384581 /nfs/dbraw/zinc/38/45/81/1072384581.db2.gz ZGNLANPCVSGRDX-UONOGXRCSA-N 0 0 440.526 -0.945 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3CC)C2=O)C1 ZINC000567027621 1072384571 /nfs/dbraw/zinc/38/45/71/1072384571.db2.gz ZGNLANPCVSGRDX-ZIAGYGMSSA-N 0 0 440.526 -0.945 20 0 IBADRN COCCN1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000567029233 1072384638 /nfs/dbraw/zinc/38/46/38/1072384638.db2.gz QCNAZPPECNWJDE-UHFFFAOYSA-N 0 0 440.522 -0.928 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1cccnc1OCC(F)(F)F ZINC000567032226 1072384529 /nfs/dbraw/zinc/38/45/29/1072384529.db2.gz RZFBTRXZLFQVHD-UHFFFAOYSA-N 0 0 426.355 -0.025 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000567034793 1073351309 /nfs/dbraw/zinc/35/13/09/1073351309.db2.gz ODIRFLCOPGFKKN-CABCVRRESA-N 0 0 442.542 -0.029 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000567034794 1073351174 /nfs/dbraw/zinc/35/11/74/1073351174.db2.gz ODIRFLCOPGFKKN-GJZGRUSLSA-N 0 0 442.542 -0.029 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000567034795 1073350778 /nfs/dbraw/zinc/35/07/78/1073350778.db2.gz ODIRFLCOPGFKKN-HUUCEWRRSA-N 0 0 442.542 -0.029 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000567034796 1072384539 /nfs/dbraw/zinc/38/45/39/1072384539.db2.gz ODIRFLCOPGFKKN-LSDHHAIUSA-N 0 0 442.542 -0.029 20 0 IBADRN CNC(=O)c1cc(Oc2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2F)ccn1 ZINC000567035659 1072384630 /nfs/dbraw/zinc/38/46/30/1072384630.db2.gz NVLNKNXYDHVGSR-UHFFFAOYSA-N 0 0 433.396 -0.464 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000567035689 1072384646 /nfs/dbraw/zinc/38/46/46/1072384646.db2.gz OIKGFYUSCGMLJH-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000567035690 1072384611 /nfs/dbraw/zinc/38/46/11/1072384611.db2.gz OIKGFYUSCGMLJH-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN CC(=O)N1CCc2cc(NC(=O)C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)ccc21 ZINC000567036044 1072384515 /nfs/dbraw/zinc/38/45/15/1072384515.db2.gz ZBACNMXAOQHZOA-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000567055478 1072384565 /nfs/dbraw/zinc/38/45/65/1072384565.db2.gz WDXQBXDONSJLAV-UHFFFAOYSA-N 0 0 436.494 -0.770 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@@H]2CCCO2)CC1)N1CCOCC1 ZINC000567065025 1072384492 /nfs/dbraw/zinc/38/44/92/1072384492.db2.gz MYIGSWHRDBJTMY-INIZCTEOSA-N 0 0 443.508 -0.040 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@H]2CCCO2)CC1)N1CCOCC1 ZINC000567065026 1072384475 /nfs/dbraw/zinc/38/44/75/1072384475.db2.gz MYIGSWHRDBJTMY-MRXNPFEDSA-N 0 0 443.508 -0.040 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2nnn(Cc3ccccc3F)c2C)CC1 ZINC000567066595 1072384976 /nfs/dbraw/zinc/38/49/76/1072384976.db2.gz UQADSDHIVANLTC-UHFFFAOYSA-N 0 0 431.472 -0.007 20 0 IBADRN COc1cccc(N2CC[C@@H](CNC(=O)C(=O)NCCNC(=O)c3cnccn3)C2)c1 ZINC000567069847 1072384912 /nfs/dbraw/zinc/38/49/12/1072384912.db2.gz ZGHZXZPVIMPIGK-HNNXBMFYSA-N 0 0 426.477 -0.026 20 0 IBADRN COc1cccc(N2CC[C@H](CNC(=O)C(=O)NCCNC(=O)c3cnccn3)C2)c1 ZINC000567069848 1072384926 /nfs/dbraw/zinc/38/49/26/1072384926.db2.gz ZGHZXZPVIMPIGK-OAHLLOKOSA-N 0 0 426.477 -0.026 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CN(C)NC3=O)CC2)o1 ZINC000567072264 1072385034 /nfs/dbraw/zinc/38/50/34/1072385034.db2.gz PLLXZCOKIPTNNS-GFCCVEGCSA-N 0 0 428.467 -0.682 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CN(C)NC3=O)CC2)o1 ZINC000567072265 1072385095 /nfs/dbraw/zinc/38/50/95/1072385095.db2.gz PLLXZCOKIPTNNS-LBPRGKRZSA-N 0 0 428.467 -0.682 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000567079753 1072385024 /nfs/dbraw/zinc/38/50/24/1072385024.db2.gz XUHKTBVOTROBAT-AWEZNQCLSA-N 0 0 444.535 -0.440 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000567079754 1072385017 /nfs/dbraw/zinc/38/50/17/1072385017.db2.gz XUHKTBVOTROBAT-CQSZACIVSA-N 0 0 444.535 -0.440 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccc(-n2ccnn2)cc1 ZINC000567080973 1072385677 /nfs/dbraw/zinc/38/56/77/1072385677.db2.gz JDWGIXFRNDLFLA-CYBMUJFWSA-N 0 0 447.477 -0.332 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccc(-n2ccnn2)cc1 ZINC000567080974 1072385621 /nfs/dbraw/zinc/38/56/21/1072385621.db2.gz JDWGIXFRNDLFLA-ZDUSSCGKSA-N 0 0 447.477 -0.332 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000567081315 1072385003 /nfs/dbraw/zinc/38/50/03/1072385003.db2.gz QDYOVRZXVSHQMB-BLVKFPJESA-N 0 0 436.490 -0.259 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000567081316 1072385646 /nfs/dbraw/zinc/38/56/46/1072385646.db2.gz QDYOVRZXVSHQMB-BUXKBTBVSA-N 0 0 436.490 -0.259 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000567081317 1072385650 /nfs/dbraw/zinc/38/56/50/1072385650.db2.gz QDYOVRZXVSHQMB-CWTRNNRKSA-N 0 0 436.490 -0.259 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000567081318 1072385660 /nfs/dbraw/zinc/38/56/60/1072385660.db2.gz QDYOVRZXVSHQMB-HXPMCKFVSA-N 0 0 436.490 -0.259 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2=O)n(C)n1 ZINC000567081407 1072385049 /nfs/dbraw/zinc/38/50/49/1072385049.db2.gz UIUBSWVDHXXOOF-QWHCGFSZSA-N 0 0 449.430 -0.526 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2=O)n(C)n1 ZINC000567081408 1072385066 /nfs/dbraw/zinc/38/50/66/1072385066.db2.gz UIUBSWVDHXXOOF-STQMWFEESA-N 0 0 449.430 -0.526 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCCN(Cc2nc([C@@H](C)OC)no2)CC1 ZINC000567082018 1072385561 /nfs/dbraw/zinc/38/55/61/1072385561.db2.gz PDPILVDEIFAYDE-KFWWJZLASA-N 0 0 436.513 -0.158 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCCN(Cc2nc([C@@H](C)OC)no2)CC1 ZINC000567082019 1072385589 /nfs/dbraw/zinc/38/55/89/1072385589.db2.gz PDPILVDEIFAYDE-RBSFLKMASA-N 0 0 436.513 -0.158 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCCN(Cc2nc([C@H](C)OC)no2)CC1 ZINC000567082020 1072385579 /nfs/dbraw/zinc/38/55/79/1072385579.db2.gz PDPILVDEIFAYDE-RRFJBIMHSA-N 0 0 436.513 -0.158 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCCN(Cc2nc([C@H](C)OC)no2)CC1 ZINC000567082021 1072385605 /nfs/dbraw/zinc/38/56/05/1072385605.db2.gz PDPILVDEIFAYDE-ZNMIVQPWSA-N 0 0 436.513 -0.158 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(C)[C@@H](C)CN3CCOCC3)C2)nc1 ZINC000567082864 1072385669 /nfs/dbraw/zinc/38/56/69/1072385669.db2.gz YGNADULLZDKELL-HNNXBMFYSA-N 0 0 433.509 -0.258 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(C)[C@H](C)CN3CCOCC3)C2)nc1 ZINC000567082865 1072385598 /nfs/dbraw/zinc/38/55/98/1072385598.db2.gz YGNADULLZDKELL-OAHLLOKOSA-N 0 0 433.509 -0.258 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N[C@H]1CC(=O)N(C)C1=O ZINC000567085067 1072385569 /nfs/dbraw/zinc/38/55/69/1072385569.db2.gz NOFUOIKQPZUBKN-INIZCTEOSA-N 0 0 433.490 -0.057 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)N[C@@H]1CC(=O)N(C)C1=O ZINC000567085068 1072385635 /nfs/dbraw/zinc/38/56/35/1072385635.db2.gz NOFUOIKQPZUBKN-MRXNPFEDSA-N 0 0 433.490 -0.057 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)C1 ZINC000567086387 1072385630 /nfs/dbraw/zinc/38/56/30/1072385630.db2.gz GDOFPAJEAUSXCL-KDURUIRLSA-N 0 0 449.596 -0.384 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)C1 ZINC000567086388 1072385515 /nfs/dbraw/zinc/38/55/15/1072385515.db2.gz GDOFPAJEAUSXCL-OALUTQOASA-N 0 0 449.596 -0.384 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)C1 ZINC000567086389 1072385687 /nfs/dbraw/zinc/38/56/87/1072385687.db2.gz GDOFPAJEAUSXCL-RTBURBONSA-N 0 0 449.596 -0.384 20 0 IBADRN O=S(=O)(NCCCn1cc(CO)nn1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000567086442 1072385613 /nfs/dbraw/zinc/38/56/13/1072385613.db2.gz IEUPNPWBWSKPLG-UHFFFAOYSA-N 0 0 445.523 -0.840 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000567088779 1072386157 /nfs/dbraw/zinc/38/61/57/1072386157.db2.gz YEUNEIWGVPGQHK-UHFFFAOYSA-N 0 0 449.551 -0.230 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000567089366 1072385506 /nfs/dbraw/zinc/38/55/06/1072385506.db2.gz UMBYLDAIPKMYSV-LLVKDONJSA-N 0 0 440.341 -0.123 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000567089367 1072385548 /nfs/dbraw/zinc/38/55/48/1072385548.db2.gz UMBYLDAIPKMYSV-NSHDSACASA-N 0 0 440.341 -0.123 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cccc1S(=O)(=O)NC1CC1 ZINC000567089872 1072386141 /nfs/dbraw/zinc/38/61/41/1072386141.db2.gz MZDJHXKCJGOQOZ-UHFFFAOYSA-N 0 0 432.524 -0.618 20 0 IBADRN O=C(NCCc1cn[nH]c1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000567092551 1072385992 /nfs/dbraw/zinc/38/59/92/1072385992.db2.gz NHQQHNULBIDVTM-UHFFFAOYSA-N 0 0 434.478 -0.001 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1 ZINC000567095026 1072386009 /nfs/dbraw/zinc/38/60/09/1072386009.db2.gz MMUFLLLBWPMZAB-INIZCTEOSA-N 0 0 447.540 -0.988 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1 ZINC000567095027 1072386039 /nfs/dbraw/zinc/38/60/39/1072386039.db2.gz MMUFLLLBWPMZAB-MRXNPFEDSA-N 0 0 447.540 -0.988 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000567110235 1072386025 /nfs/dbraw/zinc/38/60/25/1072386025.db2.gz BHKDSOZQWQXQEK-GDBMZVCRSA-N 0 0 427.461 -0.154 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000567110236 1072386127 /nfs/dbraw/zinc/38/61/27/1072386127.db2.gz BHKDSOZQWQXQEK-GOEBONIOSA-N 0 0 427.461 -0.154 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC000567110237 1072386002 /nfs/dbraw/zinc/38/60/02/1072386002.db2.gz BHKDSOZQWQXQEK-HOCLYGCPSA-N 0 0 427.461 -0.154 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC000567110238 1072386045 /nfs/dbraw/zinc/38/60/45/1072386045.db2.gz BHKDSOZQWQXQEK-ZBFHGGJFSA-N 0 0 427.461 -0.154 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)cn1 ZINC000567115368 1072386113 /nfs/dbraw/zinc/38/61/13/1072386113.db2.gz VDYQYVDTOMSUQA-UHFFFAOYSA-N 0 0 446.532 -0.259 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)CC2)CC1 ZINC000567117708 1072386094 /nfs/dbraw/zinc/38/60/94/1072386094.db2.gz QDHWGBLBJOKAJL-GOSISDBHSA-N 0 0 445.568 -0.190 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)CC2)CC1 ZINC000567117709 1072386016 /nfs/dbraw/zinc/38/60/16/1072386016.db2.gz QDHWGBLBJOKAJL-SFHVURJKSA-N 0 0 445.568 -0.190 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)N2CCN(c3nccn(C)c3=O)CC2)CCC(=O)N1C ZINC000567133798 1072386670 /nfs/dbraw/zinc/38/66/70/1072386670.db2.gz VQJYPYYUXAGLMW-RHSMWYFYSA-N 0 0 428.497 -0.293 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC000567138174 1072386546 /nfs/dbraw/zinc/38/65/46/1072386546.db2.gz FYUKKDBHAUDKSY-CYBMUJFWSA-N 0 0 446.537 -0.287 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC000567138175 1072386683 /nfs/dbraw/zinc/38/66/83/1072386683.db2.gz FYUKKDBHAUDKSY-ZDUSSCGKSA-N 0 0 446.537 -0.287 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1 ZINC000567138221 1072386734 /nfs/dbraw/zinc/38/67/34/1072386734.db2.gz IBDLVZAZQBBDGV-AWEZNQCLSA-N 0 0 446.533 -0.473 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1 ZINC000567138222 1072386750 /nfs/dbraw/zinc/38/67/50/1072386750.db2.gz IBDLVZAZQBBDGV-CQSZACIVSA-N 0 0 446.533 -0.473 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N(C)CC(C)(C)CN3CCOCC3)C2=O)n(C)n1 ZINC000567138307 1072386694 /nfs/dbraw/zinc/38/66/94/1072386694.db2.gz KEDNFKNERRPYNK-INIZCTEOSA-N 0 0 434.541 -0.233 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N(C)CC(C)(C)CN3CCOCC3)C2=O)n(C)n1 ZINC000567138308 1072386590 /nfs/dbraw/zinc/38/65/90/1072386590.db2.gz KEDNFKNERRPYNK-MRXNPFEDSA-N 0 0 434.541 -0.233 20 0 IBADRN COc1ccc(CNS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cc1S(N)(=O)=O ZINC000567140742 1072386746 /nfs/dbraw/zinc/38/67/46/1072386746.db2.gz GGIJWBWXGNAWST-UHFFFAOYSA-N 0 0 435.505 -0.380 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(F)(F)F)C2=O)CCO1 ZINC000567142075 1072387258 /nfs/dbraw/zinc/38/72/58/1072387258.db2.gz CVYVTCZCVMSGQI-GXTWGEPZSA-N 0 0 442.394 -0.100 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(F)(F)F)C2=O)CCO1 ZINC000567142076 1072387200 /nfs/dbraw/zinc/38/72/00/1072387200.db2.gz CVYVTCZCVMSGQI-JSGCOSHPSA-N 0 0 442.394 -0.100 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(F)(F)F)C2=O)CCO1 ZINC000567142077 1072387153 /nfs/dbraw/zinc/38/71/53/1072387153.db2.gz CVYVTCZCVMSGQI-OCCSQVGLSA-N 0 0 442.394 -0.100 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(F)(F)F)C2=O)CCO1 ZINC000567142078 1072387250 /nfs/dbraw/zinc/38/72/50/1072387250.db2.gz CVYVTCZCVMSGQI-TZMCWYRMSA-N 0 0 442.394 -0.100 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CC2)on1 ZINC000567149230 1072387289 /nfs/dbraw/zinc/38/72/89/1072387289.db2.gz DXMONLUUFWPMBE-AEFFLSMTSA-N 0 0 429.481 -0.503 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](C)S(=O)(=O)[C@@H](C)C1 ZINC000567153175 1072387159 /nfs/dbraw/zinc/38/71/59/1072387159.db2.gz PDLHLKMOZBBPAX-RYUDHWBXSA-N 0 0 447.535 -0.082 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](C)S(=O)(=O)[C@@H](C)C1 ZINC000567153176 1072387104 /nfs/dbraw/zinc/38/71/04/1072387104.db2.gz PDLHLKMOZBBPAX-TXEJJXNPSA-N 0 0 447.535 -0.082 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@@H](C)S(=O)(=O)[C@H](C)C1 ZINC000567153177 1072387124 /nfs/dbraw/zinc/38/71/24/1072387124.db2.gz PDLHLKMOZBBPAX-VXGBXAGGSA-N 0 0 447.535 -0.082 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)c(C)c1 ZINC000567164753 1072387139 /nfs/dbraw/zinc/38/71/39/1072387139.db2.gz LBGIKFGSDVSXJD-AWEZNQCLSA-N 0 0 426.495 -0.401 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)c(C)c1 ZINC000567164754 1072387263 /nfs/dbraw/zinc/38/72/63/1072387263.db2.gz LBGIKFGSDVSXJD-CQSZACIVSA-N 0 0 426.495 -0.401 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1)CN1CCOCC1 ZINC000567173644 1072387110 /nfs/dbraw/zinc/38/71/10/1072387110.db2.gz HJFLGLWSIGEHJZ-AEFFLSMTSA-N 0 0 444.598 -0.076 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1)CN1CCOCC1 ZINC000567173645 1072387166 /nfs/dbraw/zinc/38/71/66/1072387166.db2.gz HJFLGLWSIGEHJZ-FUHWJXTLSA-N 0 0 444.598 -0.076 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1)CN1CCOCC1 ZINC000567173646 1072387208 /nfs/dbraw/zinc/38/72/08/1072387208.db2.gz HJFLGLWSIGEHJZ-SJLPKXTDSA-N 0 0 444.598 -0.076 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1)CN1CCOCC1 ZINC000567173647 1072387763 /nfs/dbraw/zinc/38/77/63/1072387763.db2.gz HJFLGLWSIGEHJZ-WMZOPIPTSA-N 0 0 444.598 -0.076 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000567182627 1072387809 /nfs/dbraw/zinc/38/78/09/1072387809.db2.gz SRLWRKBDGFXLKO-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCC(C)(C)CO)CC2)cn1C ZINC000567183715 1072387713 /nfs/dbraw/zinc/38/77/13/1072387713.db2.gz FTVBBLIAYXHANH-UHFFFAOYSA-N 0 0 429.543 -0.524 20 0 IBADRN COC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1OC ZINC000567185074 1072387755 /nfs/dbraw/zinc/38/77/55/1072387755.db2.gz GFYVRLROPIHAKT-JTQLQIEISA-N 0 0 431.854 -0.534 20 0 IBADRN COC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1OC ZINC000567185075 1072387674 /nfs/dbraw/zinc/38/76/74/1072387674.db2.gz GFYVRLROPIHAKT-SNVBAGLBSA-N 0 0 431.854 -0.534 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC[C@H]1CCn2ncc(C(=O)NC(C)C)c2C1 ZINC000567186976 1072387838 /nfs/dbraw/zinc/38/78/38/1072387838.db2.gz OVUGNJKJWSLIKS-AWEZNQCLSA-N 0 0 429.543 -0.344 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC[C@@H]1CCn2ncc(C(=O)NC(C)C)c2C1 ZINC000567186977 1072387685 /nfs/dbraw/zinc/38/76/85/1072387685.db2.gz OVUGNJKJWSLIKS-CQSZACIVSA-N 0 0 429.543 -0.344 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cn3c(n2)CCCC3)CC1 ZINC000567187713 1072387894 /nfs/dbraw/zinc/38/78/94/1072387894.db2.gz NKDBWJUURSPJED-INIZCTEOSA-N 0 0 432.525 -0.773 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cn3c(n2)CCCC3)CC1 ZINC000567187714 1072387735 /nfs/dbraw/zinc/38/77/35/1072387735.db2.gz NKDBWJUURSPJED-MRXNPFEDSA-N 0 0 432.525 -0.773 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)CCC1=O ZINC000567187904 1072387798 /nfs/dbraw/zinc/38/77/98/1072387798.db2.gz XCABUMOVBLQMJE-HNNXBMFYSA-N 0 0 435.912 -0.158 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)CCC1=O ZINC000567187905 1072387861 /nfs/dbraw/zinc/38/78/61/1072387861.db2.gz XCABUMOVBLQMJE-OAHLLOKOSA-N 0 0 435.912 -0.158 20 0 IBADRN COCCO[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000567187975 1072387953 /nfs/dbraw/zinc/38/79/53/1072387953.db2.gz ZQLFMTURIPMTQB-KRWDZBQOSA-N 0 0 448.524 -0.715 20 0 IBADRN COCCO[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000567187976 1072387723 /nfs/dbraw/zinc/38/77/23/1072387723.db2.gz ZQLFMTURIPMTQB-QGZVFWFLSA-N 0 0 448.524 -0.715 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1 ZINC000567190339 1072387780 /nfs/dbraw/zinc/38/77/80/1072387780.db2.gz IITMZHNOBPHQDF-CYBMUJFWSA-N 0 0 433.552 -0.214 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1 ZINC000567190340 1072387849 /nfs/dbraw/zinc/38/78/49/1072387849.db2.gz IITMZHNOBPHQDF-ZDUSSCGKSA-N 0 0 433.552 -0.214 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000567192107 1072387872 /nfs/dbraw/zinc/38/78/72/1072387872.db2.gz PPIVRVJSHKRDPF-HNNXBMFYSA-N 0 0 426.481 -0.521 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000567192108 1072387925 /nfs/dbraw/zinc/38/79/25/1072387925.db2.gz PPIVRVJSHKRDPF-OAHLLOKOSA-N 0 0 426.481 -0.521 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@H](CO)[C@H](O)C1 ZINC000567197160 1072388431 /nfs/dbraw/zinc/38/84/31/1072388431.db2.gz LHOUGVKDRHSJSI-IIDMSEBBSA-N 0 0 427.523 -0.290 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@H](CO)[C@@H](O)C1 ZINC000567197161 1072388398 /nfs/dbraw/zinc/38/83/98/1072388398.db2.gz LHOUGVKDRHSJSI-RKVPGOIHSA-N 0 0 427.523 -0.290 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@@H](CO)[C@H](O)C1 ZINC000567197162 1072388248 /nfs/dbraw/zinc/38/82/48/1072388248.db2.gz LHOUGVKDRHSJSI-RVKKMQEKSA-N 0 0 427.523 -0.290 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000567197163 1072388345 /nfs/dbraw/zinc/38/83/45/1072388345.db2.gz LHOUGVKDRHSJSI-VKJFTORMSA-N 0 0 427.523 -0.290 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(Cc2ccco2)CC1 ZINC000567197803 1072387823 /nfs/dbraw/zinc/38/78/23/1072387823.db2.gz LBIJKDSPQRRPAI-UHFFFAOYSA-N 0 0 425.467 -0.852 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC000567198857 1072388329 /nfs/dbraw/zinc/38/83/29/1072388329.db2.gz FZGQDCXPXGWSSP-GASCZTMLSA-N 0 0 448.611 -0.114 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC000567198858 1072388284 /nfs/dbraw/zinc/38/82/84/1072388284.db2.gz FZGQDCXPXGWSSP-GJZGRUSLSA-N 0 0 448.611 -0.114 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCC1 ZINC000567198859 1072388415 /nfs/dbraw/zinc/38/84/15/1072388415.db2.gz FZGQDCXPXGWSSP-HUUCEWRRSA-N 0 0 448.611 -0.114 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)C1=O ZINC000567201109 1072388339 /nfs/dbraw/zinc/38/83/39/1072388339.db2.gz KOVRSLHLYTTWST-INIZCTEOSA-N 0 0 442.524 -0.408 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)C1=O ZINC000567201110 1072388273 /nfs/dbraw/zinc/38/82/73/1072388273.db2.gz KOVRSLHLYTTWST-MRXNPFEDSA-N 0 0 442.524 -0.408 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H](C(=O)OC)[C@@H](C)O ZINC000567201332 1072388292 /nfs/dbraw/zinc/38/82/92/1072388292.db2.gz SPOOQHGZZXHCBO-CXAGYDPISA-N 0 0 443.522 -0.326 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H](C(=O)OC)[C@@H](C)O ZINC000567201333 1072388212 /nfs/dbraw/zinc/38/82/12/1072388212.db2.gz SPOOQHGZZXHCBO-DYVFJYSZSA-N 0 0 443.522 -0.326 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H](C(=O)OC)[C@H](C)O ZINC000567201334 1072388321 /nfs/dbraw/zinc/38/83/21/1072388321.db2.gz SPOOQHGZZXHCBO-GUYCJALGSA-N 0 0 443.522 -0.326 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H](C(=O)OC)[C@H](C)O ZINC000567201335 1072388222 /nfs/dbraw/zinc/38/82/22/1072388222.db2.gz SPOOQHGZZXHCBO-SUMWQHHRSA-N 0 0 443.522 -0.326 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)n(C3CCCCC3)n2)CC1 ZINC000567203760 1072388308 /nfs/dbraw/zinc/38/83/08/1072388308.db2.gz FBCXHGUWOMJQQA-UHFFFAOYSA-N 0 0 434.541 0.542 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)c1 ZINC000567205486 1072388229 /nfs/dbraw/zinc/38/82/29/1072388229.db2.gz LRDQSSMKUWJCLK-UHFFFAOYSA-N 0 0 446.507 -0.475 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)nn1 ZINC000567205723 1072388382 /nfs/dbraw/zinc/38/83/82/1072388382.db2.gz XQTSFCCJBGHIEO-UHFFFAOYSA-N 0 0 427.439 -0.823 20 0 IBADRN Cc1cc(NC(=O)CN2C(=O)N[C@H](CCS(N)(=O)=O)C2=O)n(-c2ccc(F)cc2)n1 ZINC000567211713 1072388729 /nfs/dbraw/zinc/38/87/29/1072388729.db2.gz OIFNCIKGGWWTPZ-CYBMUJFWSA-N 0 0 438.441 -0.143 20 0 IBADRN Cc1cc(NC(=O)CN2C(=O)N[C@@H](CCS(N)(=O)=O)C2=O)n(-c2ccc(F)cc2)n1 ZINC000567211714 1072388874 /nfs/dbraw/zinc/38/88/74/1072388874.db2.gz OIFNCIKGGWWTPZ-ZDUSSCGKSA-N 0 0 438.441 -0.143 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1cccnc1N1CCOCC1 ZINC000567223656 1072388750 /nfs/dbraw/zinc/38/87/50/1072388750.db2.gz RNEYCXDUXMNULK-UHFFFAOYSA-N 0 0 427.527 -0.678 20 0 IBADRN Cc1ccc(CC[C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)o1 ZINC000567224806 1072389005 /nfs/dbraw/zinc/38/90/05/1072389005.db2.gz AWSJHIJDWSONHM-HNNXBMFYSA-N 0 0 434.493 -0.445 20 0 IBADRN Cc1ccc(CC[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)o1 ZINC000567224807 1072388781 /nfs/dbraw/zinc/38/87/81/1072388781.db2.gz AWSJHIJDWSONHM-OAHLLOKOSA-N 0 0 434.493 -0.445 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000567241925 1072388794 /nfs/dbraw/zinc/38/87/94/1072388794.db2.gz LGEQXAIVHWUZRS-GFCCVEGCSA-N 0 0 434.506 -0.073 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000567241930 1072388863 /nfs/dbraw/zinc/38/88/63/1072388863.db2.gz LGEQXAIVHWUZRS-LBPRGKRZSA-N 0 0 434.506 -0.073 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)N1CCOCC1 ZINC000567255706 1072388803 /nfs/dbraw/zinc/38/88/03/1072388803.db2.gz LFXJLSCZDQYTEC-IBGZPJMESA-N 0 0 439.601 -0.138 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)N1CCOCC1 ZINC000567255707 1072388930 /nfs/dbraw/zinc/38/89/30/1072388930.db2.gz LFXJLSCZDQYTEC-LJQANCHMSA-N 0 0 439.601 -0.138 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc(S(C)(=O)=O)c1 ZINC000567259239 1072388742 /nfs/dbraw/zinc/38/87/42/1072388742.db2.gz MZFVBBRQNHFXHA-UHFFFAOYSA-N 0 0 441.462 -0.869 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@]3(C)CCCO3)CC2)C[C@H](C)O1 ZINC000567260416 1072389431 /nfs/dbraw/zinc/38/94/31/1072389431.db2.gz AEGWERXJWIWRTM-DAYGRLMNSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@]3(C)CCCO3)CC2)C[C@@H](C)O1 ZINC000567260417 1072389293 /nfs/dbraw/zinc/38/92/93/1072389293.db2.gz AEGWERXJWIWRTM-IIDMSEBBSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@]3(C)CCCO3)CC2)C[C@@H](C)O1 ZINC000567260418 1072389257 /nfs/dbraw/zinc/38/92/57/1072389257.db2.gz AEGWERXJWIWRTM-RKVPGOIHSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@]3(C)CCCO3)CC2)C[C@H](C)O1 ZINC000567260419 1072389286 /nfs/dbraw/zinc/38/92/86/1072389286.db2.gz AEGWERXJWIWRTM-RVKKMQEKSA-N 0 0 432.543 -0.830 20 0 IBADRN CN(CCNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1)S(=O)(=O)c1ccc(F)cc1 ZINC000567260864 1072388990 /nfs/dbraw/zinc/38/89/90/1072388990.db2.gz OXLIQSYZIQXWLM-DLBZAZTESA-N 0 0 443.497 -0.031 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCN(C(=O)Cc2cccc(F)c2)CC1)S(C)(=O)=O ZINC000567262711 1072389338 /nfs/dbraw/zinc/38/93/38/1072389338.db2.gz FGOHUJSFWOXAPW-AWEZNQCLSA-N 0 0 427.498 -0.022 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCN(C(=O)Cc2cccc(F)c2)CC1)S(C)(=O)=O ZINC000567262712 1072389348 /nfs/dbraw/zinc/38/93/48/1072389348.db2.gz FGOHUJSFWOXAPW-CQSZACIVSA-N 0 0 427.498 -0.022 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1 ZINC000567268342 1072389304 /nfs/dbraw/zinc/38/93/04/1072389304.db2.gz VOBVLOBRWZLZQI-GOSISDBHSA-N 0 0 429.521 -0.426 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1 ZINC000567268343 1072389386 /nfs/dbraw/zinc/38/93/86/1072389386.db2.gz VOBVLOBRWZLZQI-SFHVURJKSA-N 0 0 429.521 -0.426 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NCc3ccco3)c2)CC1 ZINC000567269110 1072389245 /nfs/dbraw/zinc/38/92/45/1072389245.db2.gz NWJDMCKHHMDQOD-UHFFFAOYSA-N 0 0 442.519 -0.020 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000567273182 1072389369 /nfs/dbraw/zinc/38/93/69/1072389369.db2.gz ADBKNUHKAOWZKA-HNNXBMFYSA-N 0 0 427.531 -0.056 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000567273183 1072389355 /nfs/dbraw/zinc/38/93/55/1072389355.db2.gz ADBKNUHKAOWZKA-OAHLLOKOSA-N 0 0 427.531 -0.056 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N(CCO)C3CCOCC3)C2=O)c1 ZINC000567273404 1072389421 /nfs/dbraw/zinc/38/94/21/1072389421.db2.gz KCQCGNOYCATXNA-GOSISDBHSA-N 0 0 435.477 -0.075 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N(CCO)C3CCOCC3)C2=O)c1 ZINC000567273405 1072389398 /nfs/dbraw/zinc/38/93/98/1072389398.db2.gz KCQCGNOYCATXNA-SFHVURJKSA-N 0 0 435.477 -0.075 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000567287738 1072389329 /nfs/dbraw/zinc/38/93/29/1072389329.db2.gz NLOYCYNQGYJRJG-LLVKDONJSA-N 0 0 426.314 -0.383 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000567287739 1072389271 /nfs/dbraw/zinc/38/92/71/1072389271.db2.gz NLOYCYNQGYJRJG-NSHDSACASA-N 0 0 426.314 -0.383 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000567289534 1072389898 /nfs/dbraw/zinc/38/98/98/1072389898.db2.gz OIKCRVWOGXYFCI-UHFFFAOYSA-N 0 0 434.540 -0.014 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000567289570 1072389775 /nfs/dbraw/zinc/38/97/75/1072389775.db2.gz PPHBQWIOVFNFQQ-UHFFFAOYSA-N 0 0 425.504 -0.482 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000567289977 1072389804 /nfs/dbraw/zinc/38/98/04/1072389804.db2.gz ZVZYAOCGRBCVPE-GDBMZVCRSA-N 0 0 428.559 -0.130 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000567289981 1072389867 /nfs/dbraw/zinc/38/98/67/1072389867.db2.gz ZVZYAOCGRBCVPE-ZBFHGGJFSA-N 0 0 428.559 -0.130 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000567291400 1072389799 /nfs/dbraw/zinc/38/97/99/1072389799.db2.gz AEXRQBRGSXFHLJ-CYBMUJFWSA-N 0 0 431.481 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000567291401 1072389828 /nfs/dbraw/zinc/38/98/28/1072389828.db2.gz AEXRQBRGSXFHLJ-ZDUSSCGKSA-N 0 0 431.481 -0.227 20 0 IBADRN CCCN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@H]1CC(=O)N(C)C1=O ZINC000567293662 1072389819 /nfs/dbraw/zinc/38/98/19/1072389819.db2.gz LVLGQNHDRMPSNB-KRWDZBQOSA-N 0 0 436.534 -0.011 20 0 IBADRN CCCN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@@H]1CC(=O)N(C)C1=O ZINC000567293663 1072389853 /nfs/dbraw/zinc/38/98/53/1072389853.db2.gz LVLGQNHDRMPSNB-QGZVFWFLSA-N 0 0 436.534 -0.011 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)CC(F)(F)F ZINC000567299250 1072389923 /nfs/dbraw/zinc/38/99/23/1072389923.db2.gz JVHMUKVJAAGICY-GFCCVEGCSA-N 0 0 445.402 -0.020 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)CC(F)(F)F ZINC000567299251 1072389839 /nfs/dbraw/zinc/38/98/39/1072389839.db2.gz JVHMUKVJAAGICY-LBPRGKRZSA-N 0 0 445.402 -0.020 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000567299568 1072389843 /nfs/dbraw/zinc/38/98/43/1072389843.db2.gz YXFMXLMNOWUBBC-UHFFFAOYSA-N 0 0 445.524 -0.898 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2=O)n(C)n1 ZINC000567303670 1072389849 /nfs/dbraw/zinc/38/98/49/1072389849.db2.gz BRSJIOUYQYIGQA-HNNXBMFYSA-N 0 0 426.481 -0.521 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2=O)n(C)n1 ZINC000567303671 1072389830 /nfs/dbraw/zinc/38/98/30/1072389830.db2.gz BRSJIOUYQYIGQA-OAHLLOKOSA-N 0 0 426.481 -0.521 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N(C)Cc1nnnn1C ZINC000567307099 1072389816 /nfs/dbraw/zinc/38/98/16/1072389816.db2.gz VBNJNXIJPFXUTN-UHFFFAOYSA-N 0 0 437.526 -0.183 20 0 IBADRN O=C(N[C@H]1CCn2ccnc2C1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000567311054 1072389789 /nfs/dbraw/zinc/38/97/89/1072389789.db2.gz OCZKPJQGULFYEW-INIZCTEOSA-N 0 0 440.508 -0.711 20 0 IBADRN O=C(N[C@@H]1CCn2ccnc2C1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000567311055 1072389913 /nfs/dbraw/zinc/38/99/13/1072389913.db2.gz OCZKPJQGULFYEW-MRXNPFEDSA-N 0 0 440.508 -0.711 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](N3CCNC3=O)C2)cc1OC ZINC000567315116 1072390368 /nfs/dbraw/zinc/39/03/68/1072390368.db2.gz CJGPVAXKMVIRFS-CYBMUJFWSA-N 0 0 426.495 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](N3CCNC3=O)C2)cc1OC ZINC000567315117 1072390516 /nfs/dbraw/zinc/39/05/16/1072390516.db2.gz CJGPVAXKMVIRFS-ZDUSSCGKSA-N 0 0 426.495 -0.002 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1-n1nnnc1C1CC1 ZINC000567317621 1072390276 /nfs/dbraw/zinc/39/02/76/1072390276.db2.gz ZSMGJGNONUEGSZ-UHFFFAOYSA-N 0 0 437.482 -0.506 20 0 IBADRN CCCN(C(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)[C@@H]1CC(=O)N(C)C1=O ZINC000567318007 1072390494 /nfs/dbraw/zinc/39/04/94/1072390494.db2.gz OZPCWAQCGJEQAY-CYBMUJFWSA-N 0 0 427.479 -0.022 20 0 IBADRN CCCN(C(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)[C@H]1CC(=O)N(C)C1=O ZINC000567318008 1072390470 /nfs/dbraw/zinc/39/04/70/1072390470.db2.gz OZPCWAQCGJEQAY-ZDUSSCGKSA-N 0 0 427.479 -0.022 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000567358680 1072390228 /nfs/dbraw/zinc/39/02/28/1072390228.db2.gz BLYBQUIJYXYOJE-UHFFFAOYSA-N 0 0 441.535 -0.212 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)c1cn[nH]c1 ZINC000567359664 1072390399 /nfs/dbraw/zinc/39/03/99/1072390399.db2.gz KDVSVZHVWIOTQE-GFCCVEGCSA-N 0 0 442.523 -0.166 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)c1cn[nH]c1 ZINC000567359665 1072390378 /nfs/dbraw/zinc/39/03/78/1072390378.db2.gz KDVSVZHVWIOTQE-LBPRGKRZSA-N 0 0 442.523 -0.166 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000567359964 1072390389 /nfs/dbraw/zinc/39/03/89/1072390389.db2.gz HDGSGMMREMMMRB-CABCVRRESA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)CO1 ZINC000567359965 1072390297 /nfs/dbraw/zinc/39/02/97/1072390297.db2.gz HDGSGMMREMMMRB-GJZGRUSLSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@H](C)CO1 ZINC000567359966 1072390361 /nfs/dbraw/zinc/39/03/61/1072390361.db2.gz HDGSGMMREMMMRB-HUUCEWRRSA-N 0 0 425.507 -0.041 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[C@@H](C)CO1 ZINC000567359967 1072390267 /nfs/dbraw/zinc/39/02/67/1072390267.db2.gz HDGSGMMREMMMRB-LSDHHAIUSA-N 0 0 425.507 -0.041 20 0 IBADRN Cn1cnc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)n1 ZINC000567368679 1072390817 /nfs/dbraw/zinc/39/08/17/1072390817.db2.gz BBUSKQDSEQULCE-UHFFFAOYSA-N 0 0 436.494 -0.075 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1 ZINC000567374107 1072390896 /nfs/dbraw/zinc/39/08/96/1072390896.db2.gz LDGXRZPDTMJNGH-HNNXBMFYSA-N 0 0 431.493 -0.053 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1 ZINC000567374108 1072390879 /nfs/dbraw/zinc/39/08/79/1072390879.db2.gz LDGXRZPDTMJNGH-OAHLLOKOSA-N 0 0 431.493 -0.053 20 0 IBADRN CN(c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@H]1CCN(c2ccccc2)C1=O ZINC000567377638 1072390909 /nfs/dbraw/zinc/39/09/09/1072390909.db2.gz VYWBSXJZICXMGS-QMBVYZDCSA-N 0 0 440.460 -0.321 20 0 IBADRN CN(c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@@H]1CCN(c2ccccc2)C1=O ZINC000567377639 1072390825 /nfs/dbraw/zinc/39/08/25/1072390825.db2.gz VYWBSXJZICXMGS-UAYHHJIBSA-N 0 0 440.460 -0.321 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000567378667 1072390840 /nfs/dbraw/zinc/39/08/40/1072390840.db2.gz GGISSEXOBJNPQV-INIZCTEOSA-N 0 0 427.461 -0.205 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000567378673 1072390856 /nfs/dbraw/zinc/39/08/56/1072390856.db2.gz GGISSEXOBJNPQV-MRXNPFEDSA-N 0 0 427.461 -0.205 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC1 ZINC000567381569 1072390862 /nfs/dbraw/zinc/39/08/62/1072390862.db2.gz DKYIUZJWLWCNGB-AWEZNQCLSA-N 0 0 425.507 -0.081 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC1 ZINC000567381570 1072390793 /nfs/dbraw/zinc/39/07/93/1072390793.db2.gz DKYIUZJWLWCNGB-CQSZACIVSA-N 0 0 425.507 -0.081 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H](O)COc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000567384762 1072390874 /nfs/dbraw/zinc/39/08/74/1072390874.db2.gz KRTHULPGCQBUCB-GXSJLCMTSA-N 0 0 427.401 -0.646 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H](O)COc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000567384770 1072390890 /nfs/dbraw/zinc/39/08/90/1072390890.db2.gz KRTHULPGCQBUCB-KOLCDFICSA-N 0 0 427.401 -0.646 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H](O)COc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000567384772 1072390846 /nfs/dbraw/zinc/39/08/46/1072390846.db2.gz KRTHULPGCQBUCB-MWLCHTKSSA-N 0 0 427.401 -0.646 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H](O)COc1ccc(C(F)(F)F)cc1)S(N)(=O)=O ZINC000567384774 1072390902 /nfs/dbraw/zinc/39/09/02/1072390902.db2.gz KRTHULPGCQBUCB-ONGXEEELSA-N 0 0 427.401 -0.646 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCCN(c4nc(C)ns4)CC3)C2=O)n(C)n1 ZINC000567386528 1072390885 /nfs/dbraw/zinc/39/08/85/1072390885.db2.gz SQTWKXHROQXKOY-AWEZNQCLSA-N 0 0 446.537 -0.151 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCCN(c4nc(C)ns4)CC3)C2=O)n(C)n1 ZINC000567386529 1072390802 /nfs/dbraw/zinc/39/08/02/1072390802.db2.gz SQTWKXHROQXKOY-CQSZACIVSA-N 0 0 446.537 -0.151 20 0 IBADRN CCS[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000567386633 1072391350 /nfs/dbraw/zinc/39/13/50/1072391350.db2.gz WLQAZYHCBSJABY-KBPBESRZSA-N 0 0 438.554 -0.344 20 0 IBADRN CCS[C@H]1CCCC[C@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000567386634 1072391231 /nfs/dbraw/zinc/39/12/31/1072391231.db2.gz WLQAZYHCBSJABY-KGLIPLIRSA-N 0 0 438.554 -0.344 20 0 IBADRN CCS[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000567386635 1072391142 /nfs/dbraw/zinc/39/11/42/1072391142.db2.gz WLQAZYHCBSJABY-UONOGXRCSA-N 0 0 438.554 -0.344 20 0 IBADRN CCS[C@@H]1CCCC[C@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000567386636 1072391199 /nfs/dbraw/zinc/39/11/99/1072391199.db2.gz WLQAZYHCBSJABY-ZIAGYGMSSA-N 0 0 438.554 -0.344 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](c3cc(C(N)=O)[nH]n3)C2)C1 ZINC000567387933 1072391426 /nfs/dbraw/zinc/39/14/26/1072391426.db2.gz CVPOEJGWZHPJOW-KBPBESRZSA-N 0 0 428.497 -0.267 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](c3cc(C(N)=O)[nH]n3)C2)C1 ZINC000567387934 1072391156 /nfs/dbraw/zinc/39/11/56/1072391156.db2.gz CVPOEJGWZHPJOW-KGLIPLIRSA-N 0 0 428.497 -0.267 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](c3cc(C(N)=O)[nH]n3)C2)C1 ZINC000567387935 1072391307 /nfs/dbraw/zinc/39/13/07/1072391307.db2.gz CVPOEJGWZHPJOW-UONOGXRCSA-N 0 0 428.497 -0.267 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](c3cc(C(N)=O)[nH]n3)C2)C1 ZINC000567387936 1072391168 /nfs/dbraw/zinc/39/11/68/1072391168.db2.gz CVPOEJGWZHPJOW-ZIAGYGMSSA-N 0 0 428.497 -0.267 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(S(=O)(=O)CC)CC3)CC2)ncn1 ZINC000567390289 1072391402 /nfs/dbraw/zinc/39/14/02/1072391402.db2.gz KVSZRTXJUYPDCJ-UHFFFAOYSA-N 0 0 438.554 -0.382 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)[C@H](C)C1 ZINC000567390390 1072391124 /nfs/dbraw/zinc/39/11/24/1072391124.db2.gz PGASKTQOQPFBLI-CABCVRRESA-N 0 0 439.604 -0.287 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)[C@H](C)C1 ZINC000567390391 1072391339 /nfs/dbraw/zinc/39/13/39/1072391339.db2.gz PGASKTQOQPFBLI-HUUCEWRRSA-N 0 0 439.604 -0.287 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)C2)no1 ZINC000567392652 1072391415 /nfs/dbraw/zinc/39/14/15/1072391415.db2.gz AESFSAMHISCLGL-HNNXBMFYSA-N 0 0 444.496 -0.246 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)C2)no1 ZINC000567392653 1072391215 /nfs/dbraw/zinc/39/12/15/1072391215.db2.gz AESFSAMHISCLGL-OAHLLOKOSA-N 0 0 444.496 -0.246 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCN(c3nc(C)cc(C)n3)CC2)CC1 ZINC000567394388 1072391322 /nfs/dbraw/zinc/39/13/22/1072391322.db2.gz REUQQFFYYQYNNP-UHFFFAOYSA-N 0 0 432.525 -0.114 20 0 IBADRN Cc1cscc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000567396708 1072391180 /nfs/dbraw/zinc/39/11/80/1072391180.db2.gz VGCKKNMMDNQJTE-UHFFFAOYSA-N 0 0 440.503 -0.102 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC(=O)N(C)C(=O)C2)o1 ZINC000567398667 1072391270 /nfs/dbraw/zinc/39/12/70/1072391270.db2.gz JDEVUVCMQCXDCH-LLVKDONJSA-N 0 0 427.435 -0.563 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC(=O)N(C)C(=O)C2)o1 ZINC000567398668 1072391394 /nfs/dbraw/zinc/39/13/94/1072391394.db2.gz JDEVUVCMQCXDCH-NSHDSACASA-N 0 0 427.435 -0.563 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nnn(C4CC4)c3=O)CC2)cc1 ZINC000567401457 1072391289 /nfs/dbraw/zinc/39/12/89/1072391289.db2.gz HNDFNKUMDXAORP-UHFFFAOYSA-N 0 0 436.494 -0.294 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1OC ZINC000567403832 1072391245 /nfs/dbraw/zinc/39/12/45/1072391245.db2.gz RNRKACONMIOUKH-UHFFFAOYSA-N 0 0 449.551 -0.136 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)NCCS(=O)(=O)N1CCSCC1 ZINC000567404379 1073329398 /nfs/dbraw/zinc/32/93/98/1073329398.db2.gz BQGSOHFXFVLXAE-UHFFFAOYSA-N 0 0 425.529 -0.401 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(Cc3nnc(C)[nH]3)CC2)cc1OC ZINC000567406273 1072391362 /nfs/dbraw/zinc/39/13/62/1072391362.db2.gz VSDLWXISFQEEDW-UHFFFAOYSA-N 0 0 438.510 -0.247 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1OC ZINC000567412430 1072391783 /nfs/dbraw/zinc/39/17/83/1072391783.db2.gz DQUOBAQJVXOJNR-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN Cn1cccc1CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000567413239 1072391980 /nfs/dbraw/zinc/39/19/80/1072391980.db2.gz CTXFFRGLUOFRMQ-UHFFFAOYSA-N 0 0 433.534 -0.106 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)nnn1CCOc1ccc(F)cc1 ZINC000567413578 1072391679 /nfs/dbraw/zinc/39/16/79/1072391679.db2.gz PZNOWXSHMSKMHU-AWEZNQCLSA-N 0 0 431.428 -0.021 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)nnn1CCOc1ccc(F)cc1 ZINC000567413579 1072391836 /nfs/dbraw/zinc/39/18/36/1072391836.db2.gz PZNOWXSHMSKMHU-CQSZACIVSA-N 0 0 431.428 -0.021 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000567417798 1072391939 /nfs/dbraw/zinc/39/19/39/1072391939.db2.gz ZLJCESGLTYEWAK-UHFFFAOYSA-N 0 0 433.553 -0.340 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1Cl ZINC000567420179 1072391689 /nfs/dbraw/zinc/39/16/89/1072391689.db2.gz FNJJIECAXMIKOT-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000567421859 1072391870 /nfs/dbraw/zinc/39/18/70/1072391870.db2.gz ITCPWNJOFHINHG-CYBMUJFWSA-N 0 0 449.533 -0.125 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000567421860 1072391713 /nfs/dbraw/zinc/39/17/13/1072391713.db2.gz ITCPWNJOFHINHG-ZDUSSCGKSA-N 0 0 449.533 -0.125 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCC2(C1)Oc1ccccc1O2 ZINC000567425322 1072391808 /nfs/dbraw/zinc/39/18/08/1072391808.db2.gz GTWOLUXXUBGJTR-UHFFFAOYSA-N 0 0 427.461 0.554 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)nn1-c1ccc(F)cc1F ZINC000567425672 1072391737 /nfs/dbraw/zinc/39/17/37/1072391737.db2.gz QJAQSTGUQAYCJO-UHFFFAOYSA-N 0 0 427.433 0.501 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000567426285 1072391771 /nfs/dbraw/zinc/39/17/71/1072391771.db2.gz NRWXWSILIDFPEF-UHFFFAOYSA-N 0 0 447.485 -0.094 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(CCc2cccs2)CC1 ZINC000567427922 1072391991 /nfs/dbraw/zinc/39/19/91/1072391991.db2.gz JDWYYCVYLBBOPK-OAHLLOKOSA-N 0 0 428.580 -0.025 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)[C@@H](C)[C@H]1OC ZINC000567428333 1072392557 /nfs/dbraw/zinc/39/25/57/1072392557.db2.gz AGKSNGOCYLGYJK-BHIYHBOVSA-N 0 0 438.569 -0.055 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)[C@@H](C)[C@@H]1OC ZINC000567428334 1072392594 /nfs/dbraw/zinc/39/25/94/1072392594.db2.gz AGKSNGOCYLGYJK-QRQLOZEOSA-N 0 0 438.569 -0.055 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)[C@H](C)[C@H]1OC ZINC000567428335 1072392461 /nfs/dbraw/zinc/39/24/61/1072392461.db2.gz AGKSNGOCYLGYJK-QXAKKESOSA-N 0 0 438.569 -0.055 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)[C@H](C)[C@@H]1OC ZINC000567428336 1072392433 /nfs/dbraw/zinc/39/24/33/1072392433.db2.gz AGKSNGOCYLGYJK-UHOSZYNNSA-N 0 0 438.569 -0.055 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@H](C)O)CC2)c1 ZINC000567431005 1072392320 /nfs/dbraw/zinc/39/23/20/1072392320.db2.gz VDPAOUBLOSXWHB-HNNXBMFYSA-N 0 0 445.538 -0.788 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@@H](C)O)CC2)c1 ZINC000567431006 1072392379 /nfs/dbraw/zinc/39/23/79/1072392379.db2.gz VDPAOUBLOSXWHB-OAHLLOKOSA-N 0 0 445.538 -0.788 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NCCCN1C(=O)CNC1=O ZINC000567432885 1072392366 /nfs/dbraw/zinc/39/23/66/1072392366.db2.gz OKFCYOZUEPWKBN-UHFFFAOYSA-N 0 0 433.284 -0.215 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000567433229 1072392448 /nfs/dbraw/zinc/39/24/48/1072392448.db2.gz BNOVOTSMFVBGTC-UHFFFAOYSA-N 0 0 441.510 -0.909 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)CNS(=O)(=O)c1cccc(F)c1 ZINC000567434961 1072392413 /nfs/dbraw/zinc/39/24/13/1072392413.db2.gz QLEYEVCMDIMXID-AWEZNQCLSA-N 0 0 436.531 -0.119 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)CNS(=O)(=O)c1cccc(F)c1 ZINC000567434962 1072392520 /nfs/dbraw/zinc/39/25/20/1072392520.db2.gz QLEYEVCMDIMXID-CQSZACIVSA-N 0 0 436.531 -0.119 20 0 IBADRN Cc1ccc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C(=O)N1CCOCC1 ZINC000567438718 1072392586 /nfs/dbraw/zinc/39/25/86/1072392586.db2.gz ZIIJRRNQKOKTAH-HNNXBMFYSA-N 0 0 438.506 -0.108 20 0 IBADRN Cc1ccc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C(=O)N1CCOCC1 ZINC000567438719 1072392246 /nfs/dbraw/zinc/39/22/46/1072392246.db2.gz ZIIJRRNQKOKTAH-OAHLLOKOSA-N 0 0 438.506 -0.108 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C[C@H](O)COc2ccccc2)C(C)C)cc1C ZINC000567451029 1073341673 /nfs/dbraw/zinc/34/16/73/1073341673.db2.gz GSBIRSVSATTYEW-INIZCTEOSA-N 0 0 431.493 0.553 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C[C@@H](O)COc2ccccc2)C(C)C)cc1C ZINC000567451030 1073341835 /nfs/dbraw/zinc/34/18/35/1073341835.db2.gz GSBIRSVSATTYEW-MRXNPFEDSA-N 0 0 431.493 0.553 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC[C@@]4(C3)NC(=O)NC4=O)CC2)c1 ZINC000567451717 1072392264 /nfs/dbraw/zinc/39/22/64/1072392264.db2.gz JHEFUHCBDCIDCT-FQEVSTJZSA-N 0 0 449.533 -0.353 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC[C@]4(C3)NC(=O)NC4=O)CC2)c1 ZINC000567451718 1072392533 /nfs/dbraw/zinc/39/25/33/1072392533.db2.gz JHEFUHCBDCIDCT-HXUWFJFHSA-N 0 0 449.533 -0.353 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCc1ccc(S(N)(=O)=O)cc1)C(F)(F)F ZINC000567480253 1072393238 /nfs/dbraw/zinc/39/32/38/1072393238.db2.gz BCOLNZLPFXXSTC-LLVKDONJSA-N 0 0 432.446 -0.045 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCc1ccc(S(N)(=O)=O)cc1)C(F)(F)F ZINC000567480254 1072393268 /nfs/dbraw/zinc/39/32/68/1072393268.db2.gz BCOLNZLPFXXSTC-NSHDSACASA-N 0 0 432.446 -0.045 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)c1 ZINC000567480771 1072393162 /nfs/dbraw/zinc/39/31/62/1072393162.db2.gz YPOUVSIUZBRHAT-UHFFFAOYSA-N 0 0 448.505 -0.642 20 0 IBADRN Cn1ccnc1[C@H]1OCC[C@@H]1NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000567482161 1072393199 /nfs/dbraw/zinc/39/31/99/1072393199.db2.gz ALFDAQZULLHBLE-RDJZCZTQSA-N 0 0 433.490 -0.199 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000567491822 1072393243 /nfs/dbraw/zinc/39/32/43/1072393243.db2.gz ISZDAOLMQLARPB-HNNXBMFYSA-N 0 0 438.550 -0.400 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](C)CS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000567491823 1072393117 /nfs/dbraw/zinc/39/31/17/1072393117.db2.gz ISZDAOLMQLARPB-OAHLLOKOSA-N 0 0 438.550 -0.400 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1)N1CCCC1 ZINC000567491853 1072393209 /nfs/dbraw/zinc/39/32/09/1072393209.db2.gz JWTRMYZJLPGXSZ-UHFFFAOYSA-N 0 0 444.536 -0.699 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)NC(=O)NC1=O ZINC000567498039 1072392854 /nfs/dbraw/zinc/39/28/54/1072392854.db2.gz ZWVRYGPBKZGXKR-CKJXQJPGSA-N 0 0 435.525 -0.321 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)NC(=O)NC1=O ZINC000567498040 1072393030 /nfs/dbraw/zinc/39/30/30/1072393030.db2.gz ZWVRYGPBKZGXKR-GCKMJXCFSA-N 0 0 435.525 -0.321 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)NC(=O)NC1=O ZINC000567498041 1072393709 /nfs/dbraw/zinc/39/37/09/1072393709.db2.gz ZWVRYGPBKZGXKR-VWKPWSFCSA-N 0 0 435.525 -0.321 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)NC(=O)NC1=O ZINC000567498042 1072393820 /nfs/dbraw/zinc/39/38/20/1072393820.db2.gz ZWVRYGPBKZGXKR-WHSLLNHNSA-N 0 0 435.525 -0.321 20 0 IBADRN Cc1c(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)cnn1C ZINC000567499480 1072393630 /nfs/dbraw/zinc/39/36/30/1072393630.db2.gz BXDVIKNNFVCURM-KRWDZBQOSA-N 0 0 442.524 -0.243 20 0 IBADRN Cc1c(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)cnn1C ZINC000567499481 1072393754 /nfs/dbraw/zinc/39/37/54/1072393754.db2.gz BXDVIKNNFVCURM-QGZVFWFLSA-N 0 0 442.524 -0.243 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)CC2)n1 ZINC000567509547 1073349705 /nfs/dbraw/zinc/34/97/05/1073349705.db2.gz BGTQSPFYAMUMOA-HNNXBMFYSA-N 0 0 446.512 -0.621 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)CC2)n1 ZINC000567509548 1073349709 /nfs/dbraw/zinc/34/97/09/1073349709.db2.gz BGTQSPFYAMUMOA-OAHLLOKOSA-N 0 0 446.512 -0.621 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000567511460 1072393677 /nfs/dbraw/zinc/39/36/77/1072393677.db2.gz VGTOBZURHUSXSO-UHFFFAOYSA-N 0 0 427.545 -0.854 20 0 IBADRN Cc1ccccc1O[C@@H](C)CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000567514272 1072393661 /nfs/dbraw/zinc/39/36/61/1072393661.db2.gz LSSVDJOGXFUTJV-HNNXBMFYSA-N 0 0 444.492 -0.633 20 0 IBADRN Cc1ccccc1O[C@H](C)CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000567514273 1072393726 /nfs/dbraw/zinc/39/37/26/1072393726.db2.gz LSSVDJOGXFUTJV-OAHLLOKOSA-N 0 0 444.492 -0.633 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)nn1 ZINC000567514346 1073345958 /nfs/dbraw/zinc/34/59/58/1073345958.db2.gz QXRBYEAHMPMRCH-UHFFFAOYSA-N 0 0 438.488 0.547 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)C1=O ZINC000567514814 1072393723 /nfs/dbraw/zinc/39/37/23/1072393723.db2.gz NVRNPHGESUOEBQ-CMPLNLGQSA-N 0 0 445.519 -0.352 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)C1=O ZINC000567514815 1072393790 /nfs/dbraw/zinc/39/37/90/1072393790.db2.gz NVRNPHGESUOEBQ-JQWIXIFHSA-N 0 0 445.519 -0.352 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)C1=O ZINC000567514816 1072393801 /nfs/dbraw/zinc/39/38/01/1072393801.db2.gz NVRNPHGESUOEBQ-PWSUYJOCSA-N 0 0 445.519 -0.352 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)C1=O ZINC000567514817 1072393595 /nfs/dbraw/zinc/39/35/95/1072393595.db2.gz NVRNPHGESUOEBQ-ZYHUDNBSSA-N 0 0 445.519 -0.352 20 0 IBADRN O=C(CN1CCN(CCCN2C(=O)c3ccccc3C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000567515278 1072393491 /nfs/dbraw/zinc/39/34/91/1072393491.db2.gz AZJDRVQKRCVLLA-INIZCTEOSA-N 0 0 448.545 -0.406 20 0 IBADRN O=C(CN1CCN(CCCN2C(=O)c3ccccc3C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000567515279 1072393795 /nfs/dbraw/zinc/39/37/95/1072393795.db2.gz AZJDRVQKRCVLLA-MRXNPFEDSA-N 0 0 448.545 -0.406 20 0 IBADRN CCCCn1cc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(C)n1 ZINC000567515639 1072393518 /nfs/dbraw/zinc/39/35/18/1072393518.db2.gz RPCNKRXUFBJGDY-UHFFFAOYSA-N 0 0 434.541 -0.389 20 0 IBADRN CCS(=O)(=O)[N-]c1cc(OC)ccc1S(=O)(=O)N1CCN2C(=O)[C@@H]([NH3+])C[C@H]2C1 ZINC000567522693 1072393548 /nfs/dbraw/zinc/39/35/48/1072393548.db2.gz XJEDNEZTLRKDQE-AAEUAGOBSA-N 0 0 432.524 -0.611 20 0 IBADRN CCS(=O)(=O)[N-]c1cc(OC)ccc1S(=O)(=O)N1CCN2C(=O)[C@H]([NH3+])C[C@@H]2C1 ZINC000567522694 1072393771 /nfs/dbraw/zinc/39/37/71/1072393771.db2.gz XJEDNEZTLRKDQE-DGCLKSJQSA-N 0 0 432.524 -0.611 20 0 IBADRN CCS(=O)(=O)[N-]c1cc(OC)ccc1S(=O)(=O)N1CCN2C(=O)[C@H]([NH3+])C[C@H]2C1 ZINC000567522695 1072393807 /nfs/dbraw/zinc/39/38/07/1072393807.db2.gz XJEDNEZTLRKDQE-WCQYABFASA-N 0 0 432.524 -0.611 20 0 IBADRN CCS(=O)(=O)[N-]c1cc(OC)ccc1S(=O)(=O)N1CCN2C(=O)[C@@H]([NH3+])C[C@@H]2C1 ZINC000567522696 1072393733 /nfs/dbraw/zinc/39/37/33/1072393733.db2.gz XJEDNEZTLRKDQE-YPMHNXCESA-N 0 0 432.524 -0.611 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCN2CCCC2=O)CC1)NCc1ccccc1 ZINC000567527270 1072394318 /nfs/dbraw/zinc/39/43/18/1072394318.db2.gz YNVYPWYJCXPNIJ-UHFFFAOYSA-N 0 0 429.521 -0.424 20 0 IBADRN COc1cc(NC(=O)CN(C)C(=O)CNS(=O)(=O)c2cn(C)cn2)cc(OC)c1 ZINC000567527352 1072394178 /nfs/dbraw/zinc/39/41/78/1072394178.db2.gz CBISNAVWMMAPOE-UHFFFAOYSA-N 0 0 425.467 -0.187 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000567527780 1072393784 /nfs/dbraw/zinc/39/37/84/1072393784.db2.gz WNJDZPAGSQXYAF-UHFFFAOYSA-N 0 0 441.510 -0.666 20 0 IBADRN CN(C)c1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000567528140 1072393695 /nfs/dbraw/zinc/39/36/95/1072393695.db2.gz LVQRQBYPDWHDCU-UHFFFAOYSA-N 0 0 440.508 -0.591 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCC(C)(CO)CO)CC2)o1 ZINC000567532631 1072394267 /nfs/dbraw/zinc/39/42/67/1072394267.db2.gz FSCFGSIVHODVIV-UHFFFAOYSA-N 0 0 432.495 -0.036 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000567534695 1072394227 /nfs/dbraw/zinc/39/42/27/1072394227.db2.gz CSUIJLPGCMILMQ-HNNXBMFYSA-N 0 0 433.552 -0.090 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NC3CCN(c4cccnn4)CC3)CC2)nc1 ZINC000567538545 1072394309 /nfs/dbraw/zinc/39/43/09/1072394309.db2.gz XKBHIZOJFHBYEF-UHFFFAOYSA-N 0 0 438.492 -0.596 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)N1CCN(S(=O)(=O)CC(C)(C)C(=O)OC)CC1 ZINC000567540218 1072394081 /nfs/dbraw/zinc/39/40/81/1072394081.db2.gz GZLHBXNVJSNPJY-UHFFFAOYSA-N 0 0 442.556 -0.338 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@]3(CNC(=O)C3)C2)s1 ZINC000567542427 1072394216 /nfs/dbraw/zinc/39/42/16/1072394216.db2.gz IHQABOSADPIWQU-KRWDZBQOSA-N 0 0 428.536 -0.420 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)s1 ZINC000567542428 1072394067 /nfs/dbraw/zinc/39/40/67/1072394067.db2.gz IHQABOSADPIWQU-QGZVFWFLSA-N 0 0 428.536 -0.420 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)s1 ZINC000567542535 1072394334 /nfs/dbraw/zinc/39/43/34/1072394334.db2.gz LRSDLSWRZFAPHL-JTQLQIEISA-N 0 0 425.554 -0.657 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)s1 ZINC000567542536 1072394294 /nfs/dbraw/zinc/39/42/94/1072394294.db2.gz LRSDLSWRZFAPHL-SNVBAGLBSA-N 0 0 425.554 -0.657 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000567546411 1072394811 /nfs/dbraw/zinc/39/48/11/1072394811.db2.gz NRWQSDLZCQUATE-HNNXBMFYSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000567546412 1072394703 /nfs/dbraw/zinc/39/47/03/1072394703.db2.gz NRWQSDLZCQUATE-OAHLLOKOSA-N 0 0 446.533 -0.006 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)C1=O ZINC000567547030 1072394735 /nfs/dbraw/zinc/39/47/35/1072394735.db2.gz HFLCVNRTBQLDKM-HNNXBMFYSA-N 0 0 434.497 -0.778 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)C1=O ZINC000567547031 1072394768 /nfs/dbraw/zinc/39/47/68/1072394768.db2.gz HFLCVNRTBQLDKM-OAHLLOKOSA-N 0 0 434.497 -0.778 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC[S@@]1=O ZINC000567548864 1072394785 /nfs/dbraw/zinc/39/47/85/1072394785.db2.gz WCCOZXOFIPWJOY-QZXCRCNTSA-N 0 0 429.564 -0.224 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC[S@]1=O ZINC000567548865 1072394855 /nfs/dbraw/zinc/39/48/55/1072394855.db2.gz WCCOZXOFIPWJOY-KUNJGFBQSA-N 0 0 429.564 -0.224 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC[S@]1=O ZINC000567548866 1072394759 /nfs/dbraw/zinc/39/47/59/1072394759.db2.gz WCCOZXOFIPWJOY-YVORESIASA-N 0 0 429.564 -0.224 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC[S@@]1=O ZINC000567548867 1072394684 /nfs/dbraw/zinc/39/46/84/1072394684.db2.gz WCCOZXOFIPWJOY-RXAIFQJESA-N 0 0 429.564 -0.224 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000567551613 1072394829 /nfs/dbraw/zinc/39/48/29/1072394829.db2.gz YFCMDKVMHPZKHW-UHFFFAOYSA-N 0 0 449.489 -0.139 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000567556239 1072394747 /nfs/dbraw/zinc/39/47/47/1072394747.db2.gz AWGQZNKWDDNONJ-UHFFFAOYSA-N 0 0 439.494 -0.535 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H](C)CN2CCOCC2)CC1 ZINC000567573867 1072394838 /nfs/dbraw/zinc/39/48/38/1072394838.db2.gz KXAARKYXFOJQKR-KRWDZBQOSA-N 0 0 426.558 -0.007 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)C(=O)N[C@H](C)CN2CCOCC2)CC1 ZINC000567573868 1072394665 /nfs/dbraw/zinc/39/46/65/1072394665.db2.gz KXAARKYXFOJQKR-QGZVFWFLSA-N 0 0 426.558 -0.007 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000567592504 1072394843 /nfs/dbraw/zinc/39/48/43/1072394843.db2.gz OKKZOONDKUNFIK-CYBMUJFWSA-N 0 0 432.930 -0.429 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000567592505 1072394822 /nfs/dbraw/zinc/39/48/22/1072394822.db2.gz OKKZOONDKUNFIK-ZDUSSCGKSA-N 0 0 432.930 -0.429 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1nccnc1C(=O)NCc1ccc(F)cc1 ZINC000567593673 1072394790 /nfs/dbraw/zinc/39/47/90/1072394790.db2.gz CJJRMTGKAUVJOT-UHFFFAOYSA-N 0 0 425.442 -0.419 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)c1 ZINC000567597994 1072395348 /nfs/dbraw/zinc/39/53/48/1072395348.db2.gz NXESCAHFIHFSAF-CABCVRRESA-N 0 0 426.539 -0.049 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)c1 ZINC000567597995 1072395134 /nfs/dbraw/zinc/39/51/34/1072395134.db2.gz NXESCAHFIHFSAF-GJZGRUSLSA-N 0 0 426.539 -0.049 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)c1 ZINC000567597996 1072395324 /nfs/dbraw/zinc/39/53/24/1072395324.db2.gz NXESCAHFIHFSAF-HUUCEWRRSA-N 0 0 426.539 -0.049 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)c1 ZINC000567597997 1072395243 /nfs/dbraw/zinc/39/52/43/1072395243.db2.gz NXESCAHFIHFSAF-LSDHHAIUSA-N 0 0 426.539 -0.049 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccc(S(C)(=O)=O)s1 ZINC000567598799 1072395282 /nfs/dbraw/zinc/39/52/82/1072395282.db2.gz NLLJIBWGQWNVTD-UHFFFAOYSA-N 0 0 425.554 -0.444 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1cnn(CC(=O)N(C)C)c1)C2 ZINC000567599403 1072395374 /nfs/dbraw/zinc/39/53/74/1072395374.db2.gz ZXVWEORDPMLVRP-UHFFFAOYSA-N 0 0 448.505 -0.597 20 0 IBADRN C[C@@H](c1cccnc1)N1CCN(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000567599960 1072395332 /nfs/dbraw/zinc/39/53/32/1072395332.db2.gz AVFJEJKPCFCFBQ-KRWDZBQOSA-N 0 0 430.553 -0.044 20 0 IBADRN C[C@H](c1cccnc1)N1CCN(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000567599961 1072395166 /nfs/dbraw/zinc/39/51/66/1072395166.db2.gz AVFJEJKPCFCFBQ-QGZVFWFLSA-N 0 0 430.553 -0.044 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2nnc(C)s2)CC1 ZINC000567600797 1072395150 /nfs/dbraw/zinc/39/51/50/1072395150.db2.gz IHPBQWFAGROUOS-UHFFFAOYSA-N 0 0 432.572 -0.026 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000567601363 1072395220 /nfs/dbraw/zinc/39/52/20/1072395220.db2.gz OKPPJANKKPZGPO-INIZCTEOSA-N 0 0 431.497 -0.735 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000567601364 1072395383 /nfs/dbraw/zinc/39/53/83/1072395383.db2.gz OKPPJANKKPZGPO-MRXNPFEDSA-N 0 0 431.497 -0.735 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)CN(C)S(C)(=O)=O)c2ccccc21)S(C)(=O)=O ZINC000567620815 1072395356 /nfs/dbraw/zinc/39/53/56/1072395356.db2.gz OWTZEKOEOUFIDB-UHFFFAOYSA-N 0 0 432.524 -0.851 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)C1 ZINC000567621555 1072395040 /nfs/dbraw/zinc/39/50/40/1072395040.db2.gz RYGVBXJKRRIPCQ-CABCVRRESA-N 0 0 444.579 -0.222 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)C1 ZINC000567621556 1072395303 /nfs/dbraw/zinc/39/53/03/1072395303.db2.gz RYGVBXJKRRIPCQ-GJZGRUSLSA-N 0 0 444.579 -0.222 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)C1 ZINC000567621557 1072395294 /nfs/dbraw/zinc/39/52/94/1072395294.db2.gz RYGVBXJKRRIPCQ-HUUCEWRRSA-N 0 0 444.579 -0.222 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)C1 ZINC000567621558 1072395234 /nfs/dbraw/zinc/39/52/34/1072395234.db2.gz RYGVBXJKRRIPCQ-LSDHHAIUSA-N 0 0 444.579 -0.222 20 0 IBADRN Cc1ccc([N+](=O)[O-])cc1S(=O)(=O)N1CCN(C(=O)CCn2ncn(C)c2=O)CC1 ZINC000567629820 1072395694 /nfs/dbraw/zinc/39/56/94/1072395694.db2.gz BUNMKUILOWXVQW-UHFFFAOYSA-N 0 0 438.466 -0.278 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@@H]1CCCO1 ZINC000567630589 1072395666 /nfs/dbraw/zinc/39/56/66/1072395666.db2.gz DQLGFWXNGSFNFJ-KRWDZBQOSA-N 0 0 449.556 -0.424 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@H]1CCCO1 ZINC000567630590 1072395763 /nfs/dbraw/zinc/39/57/63/1072395763.db2.gz DQLGFWXNGSFNFJ-QGZVFWFLSA-N 0 0 449.556 -0.424 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000567632645 1072395866 /nfs/dbraw/zinc/39/58/66/1072395866.db2.gz VNHLNEMJMQIVLG-UHFFFAOYSA-N 0 0 440.508 -0.494 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000567633339 1072395859 /nfs/dbraw/zinc/39/58/59/1072395859.db2.gz ZJNSRIHGZHNNNO-INIZCTEOSA-N 0 0 438.550 -0.207 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000567633340 1072395680 /nfs/dbraw/zinc/39/56/80/1072395680.db2.gz ZJNSRIHGZHNNNO-MRXNPFEDSA-N 0 0 438.550 -0.207 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(c3ncc(F)cn3)CC2)c(S(N)(=O)=O)c1 ZINC000567636391 1072395800 /nfs/dbraw/zinc/39/58/00/1072395800.db2.gz FYNRMNIWMNPDGI-UHFFFAOYSA-N 0 0 444.514 -0.160 20 0 IBADRN CSc1cc(C)ccc1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000567642271 1072395724 /nfs/dbraw/zinc/39/57/24/1072395724.db2.gz ZOCIFMOQXOPFCF-UHFFFAOYSA-N 0 0 446.533 -0.178 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCSC[C@@H]3CO)CC2)cc1 ZINC000567646196 1072395626 /nfs/dbraw/zinc/39/56/26/1072395626.db2.gz IEOIMVQEPMYLSB-HNNXBMFYSA-N 0 0 429.564 -0.062 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCSC[C@H]3CO)CC2)cc1 ZINC000567646197 1072395882 /nfs/dbraw/zinc/39/58/82/1072395882.db2.gz IEOIMVQEPMYLSB-OAHLLOKOSA-N 0 0 429.564 -0.062 20 0 IBADRN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000567646745 1072395774 /nfs/dbraw/zinc/39/57/74/1072395774.db2.gz BKGTVYAQBMRODL-CVEARBPZSA-N 0 0 448.505 -0.209 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000567647287 1072395711 /nfs/dbraw/zinc/39/57/11/1072395711.db2.gz NHGPFQUNNVZBPD-INIZCTEOSA-N 0 0 447.536 -0.321 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2)CC1 ZINC000567647288 1072395827 /nfs/dbraw/zinc/39/58/27/1072395827.db2.gz NHGPFQUNNVZBPD-MRXNPFEDSA-N 0 0 447.536 -0.321 20 0 IBADRN CC(C)[C@H](Cc1ccc(F)cc1)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000567647393 1072395753 /nfs/dbraw/zinc/39/57/53/1072395753.db2.gz SBVUTTMGKHIDPP-KRWDZBQOSA-N 0 0 431.472 -0.134 20 0 IBADRN CC(C)[C@@H](Cc1ccc(F)cc1)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000567647394 1072395876 /nfs/dbraw/zinc/39/58/76/1072395876.db2.gz SBVUTTMGKHIDPP-QGZVFWFLSA-N 0 0 431.472 -0.134 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)(N2CCOCC2)C1 ZINC000567651160 1072395787 /nfs/dbraw/zinc/39/57/87/1072395787.db2.gz IQPHTTJGCDAVEO-UHFFFAOYSA-N 0 0 436.431 -0.437 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)c(Cl)c2)CC1 ZINC000567654727 1072395831 /nfs/dbraw/zinc/39/58/31/1072395831.db2.gz WPJMJSMFJGZMDV-UHFFFAOYSA-N 0 0 431.323 -0.381 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000567655504 1072395904 /nfs/dbraw/zinc/39/59/04/1072395904.db2.gz WBXMUUGCQARYRJ-UHFFFAOYSA-N 0 0 446.533 -0.060 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1OC ZINC000567656453 1072396372 /nfs/dbraw/zinc/39/63/72/1072396372.db2.gz ALGNCCRRNOVFHD-UHFFFAOYSA-N 0 0 449.551 -0.230 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000567718485 1072396294 /nfs/dbraw/zinc/39/62/94/1072396294.db2.gz BSDOYRCWIGEWSV-KRWDZBQOSA-N 0 0 431.493 -0.952 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000567718486 1072396134 /nfs/dbraw/zinc/39/61/34/1072396134.db2.gz BSDOYRCWIGEWSV-QGZVFWFLSA-N 0 0 431.493 -0.952 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000567742510 1072396387 /nfs/dbraw/zinc/39/63/87/1072396387.db2.gz JFFUHLJCVZJXEP-AWEZNQCLSA-N 0 0 438.506 -0.589 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000567742511 1072396158 /nfs/dbraw/zinc/39/61/58/1072396158.db2.gz JFFUHLJCVZJXEP-CQSZACIVSA-N 0 0 438.506 -0.589 20 0 IBADRN Cc1noc(C)c1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000567761096 1072396396 /nfs/dbraw/zinc/39/63/96/1072396396.db2.gz VQSKZSYQZOGIHZ-UHFFFAOYSA-N 0 0 429.481 -0.117 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)CC2)ncn1 ZINC000567762300 1072396437 /nfs/dbraw/zinc/39/64/37/1072396437.db2.gz GQFPRSPNKCOCLR-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)N1CCOCC1 ZINC000567762595 1072396259 /nfs/dbraw/zinc/39/62/59/1072396259.db2.gz SHNNZAVFDZETTF-KRWDZBQOSA-N 0 0 426.539 -0.446 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)N1CCOCC1 ZINC000567762596 1072396464 /nfs/dbraw/zinc/39/64/64/1072396464.db2.gz SHNNZAVFDZETTF-QGZVFWFLSA-N 0 0 426.539 -0.446 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)Nc1n[nH]c2cc(NC(=O)CS(=O)(=O)N(C)C)ccc21 ZINC000567771520 1072396277 /nfs/dbraw/zinc/39/62/77/1072396277.db2.gz GKDODKLZVRCIFH-UHFFFAOYSA-N 0 0 446.511 -0.777 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCC(Cn2cncn2)CC1 ZINC000567772037 1072396197 /nfs/dbraw/zinc/39/61/97/1072396197.db2.gz RTFPQUULEIRJBH-UHFFFAOYSA-N 0 0 430.556 -0.989 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000567773249 1072396815 /nfs/dbraw/zinc/39/68/15/1072396815.db2.gz KADSXCKAMHONRF-CHWSQXEVSA-N 0 0 435.447 -0.675 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000567773250 1072396836 /nfs/dbraw/zinc/39/68/36/1072396836.db2.gz KADSXCKAMHONRF-OLZOCXBDSA-N 0 0 435.447 -0.675 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000567773251 1072397037 /nfs/dbraw/zinc/39/70/37/1072397037.db2.gz KADSXCKAMHONRF-QWHCGFSZSA-N 0 0 435.447 -0.675 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000567773252 1072396830 /nfs/dbraw/zinc/39/68/30/1072396830.db2.gz KADSXCKAMHONRF-STQMWFEESA-N 0 0 435.447 -0.675 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)[C@@H]2CCOC2)CC1 ZINC000567773414 1072396116 /nfs/dbraw/zinc/39/61/16/1072396116.db2.gz QKKRFXIAAANRFR-CTNGQTDRSA-N 0 0 444.532 -0.117 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)[C@H]2CCOC2)CC1 ZINC000567773415 1072396944 /nfs/dbraw/zinc/39/69/44/1072396944.db2.gz QKKRFXIAAANRFR-FPOVZHCZSA-N 0 0 444.532 -0.117 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H](c2ccccc2)[C@H]2CCOC2)CC1 ZINC000567773416 1072396801 /nfs/dbraw/zinc/39/68/01/1072396801.db2.gz QKKRFXIAAANRFR-PZJWPPBQSA-N 0 0 444.532 -0.117 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H](c2ccccc2)[C@@H]2CCOC2)CC1 ZINC000567773417 1072397049 /nfs/dbraw/zinc/39/70/49/1072397049.db2.gz QKKRFXIAAANRFR-TZIWHRDSSA-N 0 0 444.532 -0.117 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)c1 ZINC000567780874 1072396847 /nfs/dbraw/zinc/39/68/47/1072396847.db2.gz LWRWRXXNZRXLQK-UHFFFAOYSA-N 0 0 433.490 -0.395 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@H](C(C)C)C3)CC2)cn1C ZINC000567783490 1072397008 /nfs/dbraw/zinc/39/70/08/1072397008.db2.gz AUCXXARZCITDHK-CVEARBPZSA-N 0 0 441.554 -0.119 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCO[C@H](C(C)C)C3)CC2)cn1C ZINC000567783491 1072396973 /nfs/dbraw/zinc/39/69/73/1072396973.db2.gz AUCXXARZCITDHK-HOTGVXAUSA-N 0 0 441.554 -0.119 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@@H](C(C)C)C3)CC2)cn1C ZINC000567783492 1072396966 /nfs/dbraw/zinc/39/69/66/1072396966.db2.gz AUCXXARZCITDHK-HZPDHXFCSA-N 0 0 441.554 -0.119 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCO[C@@H](C(C)C)C3)CC2)cn1C ZINC000567783493 1072396957 /nfs/dbraw/zinc/39/69/57/1072396957.db2.gz AUCXXARZCITDHK-JKSUJKDBSA-N 0 0 441.554 -0.119 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1(C)C ZINC000567784609 1073386407 /nfs/dbraw/zinc/38/64/07/1073386407.db2.gz OSYWNWCPYRISKZ-HNNXBMFYSA-N 0 0 432.525 -0.115 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1(C)C ZINC000567784610 1073386487 /nfs/dbraw/zinc/38/64/87/1073386487.db2.gz OSYWNWCPYRISKZ-OAHLLOKOSA-N 0 0 432.525 -0.115 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCC[C@H]2C(=O)NC)cn1 ZINC000567788810 1072397436 /nfs/dbraw/zinc/39/74/36/1072397436.db2.gz SZVHRUCNINRIQM-ILXRZTDVSA-N 0 0 425.511 -0.768 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCC[C@H]2C(=O)NC)cn1 ZINC000567788811 1072397566 /nfs/dbraw/zinc/39/75/66/1072397566.db2.gz SZVHRUCNINRIQM-KFWWJZLASA-N 0 0 425.511 -0.768 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCC[C@@H]2C(=O)NC)cn1 ZINC000567788812 1072397545 /nfs/dbraw/zinc/39/75/45/1072397545.db2.gz SZVHRUCNINRIQM-KKUMJFAQSA-N 0 0 425.511 -0.768 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCC[C@@H]2C(=O)NC)cn1 ZINC000567788813 1072397491 /nfs/dbraw/zinc/39/74/91/1072397491.db2.gz SZVHRUCNINRIQM-ZNMIVQPWSA-N 0 0 425.511 -0.768 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)CC1 ZINC000567795671 1073361820 /nfs/dbraw/zinc/36/18/20/1073361820.db2.gz IPOWWZPJEYPIBE-SSEXGKCCSA-N 0 0 430.534 -0.117 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)CC1 ZINC000567795672 1073361751 /nfs/dbraw/zinc/36/17/51/1073361751.db2.gz IPOWWZPJEYPIBE-PMERELPUSA-N 0 0 430.534 -0.117 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CCC3)CC2)cs1 ZINC000567795849 1072397549 /nfs/dbraw/zinc/39/75/49/1072397549.db2.gz OVKGYNWLFIHNRN-HHHXNRCGSA-N 0 0 448.592 -0.298 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3([S@](C)=O)CCC3)CC2)cs1 ZINC000567795850 1072397310 /nfs/dbraw/zinc/39/73/10/1072397310.db2.gz OVKGYNWLFIHNRN-MHZLTWQESA-N 0 0 448.592 -0.298 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CNS(=O)(=O)c2c(C)noc2C)C1)C(N)=O ZINC000567803624 1072397504 /nfs/dbraw/zinc/39/75/04/1072397504.db2.gz MWORFYKUBKNHBS-UHFFFAOYSA-N 0 0 429.499 -0.812 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000567837079 1072398118 /nfs/dbraw/zinc/39/81/18/1072398118.db2.gz LZSAKMLBOVIQSW-UHFFFAOYSA-N 0 0 444.473 -0.218 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@H](O)C[C@@H]2C)ccc1OC ZINC000567876194 1072397988 /nfs/dbraw/zinc/39/79/88/1072397988.db2.gz LWOSYWWDPAQBPP-JSGCOSHPSA-N 0 0 429.495 -0.070 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CC[C@](CO)(Cc3ccc(F)cc3)C2)CC1 ZINC000567881869 1072398074 /nfs/dbraw/zinc/39/80/74/1072398074.db2.gz RTIOHJGEABNNPX-KRWDZBQOSA-N 0 0 435.543 -0.125 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CC[C@@](CO)(Cc3ccc(F)cc3)C2)CC1 ZINC000567881870 1072397974 /nfs/dbraw/zinc/39/79/74/1072397974.db2.gz RTIOHJGEABNNPX-QGZVFWFLSA-N 0 0 435.543 -0.125 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2cn(C)nc2C)C1 ZINC000567906362 1072398139 /nfs/dbraw/zinc/39/81/39/1072398139.db2.gz BDLGRZSYDLMTSU-DZGCQCFKSA-N 0 0 429.543 -0.195 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](C)c2cn(C)nc2C)C1 ZINC000567906363 1072398087 /nfs/dbraw/zinc/39/80/87/1072398087.db2.gz BDLGRZSYDLMTSU-HIFRSBDPSA-N 0 0 429.543 -0.195 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)c2cn(C)nc2C)C1 ZINC000567906364 1072397964 /nfs/dbraw/zinc/39/79/64/1072397964.db2.gz BDLGRZSYDLMTSU-UKRRQHHQSA-N 0 0 429.543 -0.195 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2cn(C)nc2C)C1 ZINC000567906365 1072397949 /nfs/dbraw/zinc/39/79/49/1072397949.db2.gz BDLGRZSYDLMTSU-ZFWWWQNUSA-N 0 0 429.543 -0.195 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNC(=O)c2ccc(Br)s2)CC1 ZINC000567908258 1072398034 /nfs/dbraw/zinc/39/80/34/1072398034.db2.gz GTJJBASKXYIJBD-UHFFFAOYSA-N 0 0 425.330 -0.151 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1C ZINC000567924720 1072398043 /nfs/dbraw/zinc/39/80/43/1072398043.db2.gz PQJAVRBBEAWRKA-UHFFFAOYSA-N 0 0 429.521 -0.113 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)C2=O)n1 ZINC000567924768 1072398019 /nfs/dbraw/zinc/39/80/19/1072398019.db2.gz RIPHQXLLZAYYOK-INIZCTEOSA-N 0 0 441.492 -0.420 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)C2=O)n1 ZINC000567924769 1072398109 /nfs/dbraw/zinc/39/81/09/1072398109.db2.gz RIPHQXLLZAYYOK-MRXNPFEDSA-N 0 0 441.492 -0.420 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)cc1 ZINC000567941786 1072398053 /nfs/dbraw/zinc/39/80/53/1072398053.db2.gz RUHQSCFEEMYGNA-CYBMUJFWSA-N 0 0 447.535 -0.923 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)cc1 ZINC000567941787 1072398010 /nfs/dbraw/zinc/39/80/10/1072398010.db2.gz RUHQSCFEEMYGNA-ZDUSSCGKSA-N 0 0 447.535 -0.923 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)C1)C(N)=O ZINC000567945690 1072398059 /nfs/dbraw/zinc/39/80/59/1072398059.db2.gz VVOUVMKMFBPTEW-UHFFFAOYSA-N 0 0 427.465 -0.176 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC(OC[C@H]4CCOC4)CC3)C2=O)n(C)n1 ZINC000567950877 1073417306 /nfs/dbraw/zinc/41/73/06/1073417306.db2.gz CRVDTWVCMMZQFX-DOTOQJQBSA-N 0 0 433.509 -0.006 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC(OC[C@@H]4CCOC4)CC3)C2=O)n(C)n1 ZINC000567950878 1073417394 /nfs/dbraw/zinc/41/73/94/1073417394.db2.gz CRVDTWVCMMZQFX-NVXWUHKLSA-N 0 0 433.509 -0.006 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC(OC[C@H]4CCOC4)CC3)C2=O)n(C)n1 ZINC000567950879 1073417191 /nfs/dbraw/zinc/41/71/91/1073417191.db2.gz CRVDTWVCMMZQFX-RDJZCZTQSA-N 0 0 433.509 -0.006 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC(OC[C@@H]4CCOC4)CC3)C2=O)n(C)n1 ZINC000567950880 1073417551 /nfs/dbraw/zinc/41/75/51/1073417551.db2.gz CRVDTWVCMMZQFX-WBVHZDCISA-N 0 0 433.509 -0.006 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccncc3OC[C@@H]3CCOC3)c2c(=O)n(C)c1=O ZINC000567951250 1073416795 /nfs/dbraw/zinc/41/67/95/1073416795.db2.gz RMWLPGYQIKLCNW-CYBMUJFWSA-N 0 0 428.449 -0.440 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccncc3OC[C@H]3CCOC3)c2c(=O)n(C)c1=O ZINC000567951251 1073416840 /nfs/dbraw/zinc/41/68/40/1073416840.db2.gz RMWLPGYQIKLCNW-ZDUSSCGKSA-N 0 0 428.449 -0.440 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@H](c2ccccc2F)C1 ZINC000567960657 1072398374 /nfs/dbraw/zinc/39/83/74/1072398374.db2.gz UQXKLSYQWNMTSH-INIZCTEOSA-N 0 0 440.453 -0.051 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@@H](c2ccccc2F)C1 ZINC000567960658 1072398518 /nfs/dbraw/zinc/39/85/18/1072398518.db2.gz UQXKLSYQWNMTSH-MRXNPFEDSA-N 0 0 440.453 -0.051 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000567962411 1073417316 /nfs/dbraw/zinc/41/73/16/1073417316.db2.gz IKQHLIJYXUAVEE-UHFFFAOYSA-N 0 0 432.525 -0.105 20 0 IBADRN COC[C@]1(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)OCCc2ccccc21 ZINC000567967019 1072398455 /nfs/dbraw/zinc/39/84/55/1072398455.db2.gz GFOLEHKYMJNIIH-KRWDZBQOSA-N 0 0 433.552 -0.487 20 0 IBADRN COC[C@@]1(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)OCCc2ccccc21 ZINC000567967021 1072398535 /nfs/dbraw/zinc/39/85/35/1072398535.db2.gz GFOLEHKYMJNIIH-QGZVFWFLSA-N 0 0 433.552 -0.487 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000567968538 1072398476 /nfs/dbraw/zinc/39/84/76/1072398476.db2.gz NTMDLSDWTBBQJU-ACJLOTCBSA-N 0 0 431.511 -0.078 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000567968539 1072398485 /nfs/dbraw/zinc/39/84/85/1072398485.db2.gz NTMDLSDWTBBQJU-FZKQIMNGSA-N 0 0 431.511 -0.078 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000567968540 1072398390 /nfs/dbraw/zinc/39/83/90/1072398390.db2.gz NTMDLSDWTBBQJU-SCLBCKFNSA-N 0 0 431.511 -0.078 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000567968541 1072398466 /nfs/dbraw/zinc/39/84/66/1072398466.db2.gz NTMDLSDWTBBQJU-UGSOOPFHSA-N 0 0 431.511 -0.078 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000567973075 1072398400 /nfs/dbraw/zinc/39/84/00/1072398400.db2.gz VIJOPGPHSVOTLI-RYUDHWBXSA-N 0 0 447.535 -0.082 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000567973076 1072398560 /nfs/dbraw/zinc/39/85/60/1072398560.db2.gz VIJOPGPHSVOTLI-TXEJJXNPSA-N 0 0 447.535 -0.082 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)ccc1S(=O)(=O)N(C)C ZINC000567973077 1072398569 /nfs/dbraw/zinc/39/85/69/1072398569.db2.gz VIJOPGPHSVOTLI-VXGBXAGGSA-N 0 0 447.535 -0.082 20 0 IBADRN CC(C)COCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000568003018 1072398942 /nfs/dbraw/zinc/39/89/42/1072398942.db2.gz UROVPGHWZUCZAV-UHFFFAOYSA-N 0 0 444.510 -0.610 20 0 IBADRN O=C(Nc1cccnc1N1CCOCC1)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000568003401 1072398980 /nfs/dbraw/zinc/39/89/80/1072398980.db2.gz IVTCZVFRGNJIKQ-UHFFFAOYSA-N 0 0 437.522 -0.117 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000568008184 1072399090 /nfs/dbraw/zinc/39/90/90/1072399090.db2.gz OKVPODMWKWSMAR-CYBMUJFWSA-N 0 0 435.447 -0.722 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000568008185 1072399083 /nfs/dbraw/zinc/39/90/83/1072399083.db2.gz OKVPODMWKWSMAR-ZDUSSCGKSA-N 0 0 435.447 -0.722 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2C[C@]3(CCOC3)OC(C)(C)C2)C1=O ZINC000568008979 1072398959 /nfs/dbraw/zinc/39/89/59/1072398959.db2.gz RZVZLCSDHQBNFX-BTYIYWSLSA-N 0 0 433.509 -0.176 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2C[C@@]3(CCOC3)OC(C)(C)C2)C1=O ZINC000568008980 1072398969 /nfs/dbraw/zinc/39/89/69/1072398969.db2.gz RZVZLCSDHQBNFX-QVKFZJNVSA-N 0 0 433.509 -0.176 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2C[C@]3(CCOC3)OC(C)(C)C2)C1=O ZINC000568008981 1072399125 /nfs/dbraw/zinc/39/91/25/1072399125.db2.gz RZVZLCSDHQBNFX-VFNWGFHPSA-N 0 0 433.509 -0.176 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2C[C@@]3(CCOC3)OC(C)(C)C2)C1=O ZINC000568008982 1072398925 /nfs/dbraw/zinc/39/89/25/1072398925.db2.gz RZVZLCSDHQBNFX-YCRPNKLZSA-N 0 0 433.509 -0.176 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1=O ZINC000568009003 1072399095 /nfs/dbraw/zinc/39/90/95/1072399095.db2.gz SNQVBWITVKKNEK-KRWDZBQOSA-N 0 0 434.468 -0.250 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1=O ZINC000568009004 1072399102 /nfs/dbraw/zinc/39/91/02/1072399102.db2.gz SNQVBWITVKKNEK-QGZVFWFLSA-N 0 0 434.468 -0.250 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCn1ccnn1 ZINC000568009968 1072399002 /nfs/dbraw/zinc/39/90/02/1072399002.db2.gz WMIOMKLFEAPNBL-UHFFFAOYSA-N 0 0 436.494 -0.248 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCc2ccccc2S(N)(=O)=O)cc1 ZINC000568027334 1072398989 /nfs/dbraw/zinc/39/89/89/1072398989.db2.gz OJKUICPSLOECTR-UHFFFAOYSA-N 0 0 440.503 -0.113 20 0 IBADRN COc1ccc(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)cc1S(N)(=O)=O ZINC000568035573 1072399048 /nfs/dbraw/zinc/39/90/48/1072399048.db2.gz AEXZIKAYJFQCKW-UHFFFAOYSA-N 0 0 447.473 -0.473 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000568037223 1072399758 /nfs/dbraw/zinc/39/97/58/1072399758.db2.gz HPPJNJNUWBBQIR-UHFFFAOYSA-N 0 0 441.435 -0.352 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3[C@H]4CCO[C@H]4C34CCCC4)CC2)no1 ZINC000568039629 1073329848 /nfs/dbraw/zinc/32/98/48/1073329848.db2.gz OWTNPJJFXZPEBH-ATZDWAIDSA-N 0 0 445.520 0.530 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3[C@@H]4CCO[C@@H]4C34CCCC4)CC2)no1 ZINC000568039630 1073329747 /nfs/dbraw/zinc/32/97/47/1073329747.db2.gz OWTNPJJFXZPEBH-IPELMVKDSA-N 0 0 445.520 0.530 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3[C@@H]4CCO[C@H]4C34CCCC4)CC2)no1 ZINC000568039631 1073329868 /nfs/dbraw/zinc/32/98/68/1073329868.db2.gz OWTNPJJFXZPEBH-KFKAGJAMSA-N 0 0 445.520 0.530 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3[C@H]4CCO[C@@H]4C34CCCC4)CC2)no1 ZINC000568039632 1073329794 /nfs/dbraw/zinc/32/97/94/1073329794.db2.gz OWTNPJJFXZPEBH-LZQZEXGQSA-N 0 0 445.520 0.530 20 0 IBADRN Cn1ccnc1[C@H]1OCC[C@@H]1NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000568039703 1072399446 /nfs/dbraw/zinc/39/94/46/1072399446.db2.gz SOKXHTKIDHBZBM-HOTGVXAUSA-N 0 0 441.558 -0.931 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1C(=O)N(C)C ZINC000568040866 1072399628 /nfs/dbraw/zinc/39/96/28/1072399628.db2.gz BEFVVNIMTQWIMY-UHFFFAOYSA-N 0 0 439.538 -0.024 20 0 IBADRN CCOc1cc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1OC ZINC000568049056 1072399727 /nfs/dbraw/zinc/39/97/27/1072399727.db2.gz LTINPSBFZYPKQR-UHFFFAOYSA-N 0 0 448.520 -0.287 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1)CN1CCOCC1 ZINC000568049432 1072399511 /nfs/dbraw/zinc/39/95/11/1072399511.db2.gz AWCXHSNSPGWZCU-CABCVRRESA-N 0 0 426.539 -0.062 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1)CN1CCOCC1 ZINC000568049433 1072399607 /nfs/dbraw/zinc/39/96/07/1072399607.db2.gz AWCXHSNSPGWZCU-GJZGRUSLSA-N 0 0 426.539 -0.062 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1)CN1CCOCC1 ZINC000568049434 1072399464 /nfs/dbraw/zinc/39/94/64/1072399464.db2.gz AWCXHSNSPGWZCU-HUUCEWRRSA-N 0 0 426.539 -0.062 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NC[C@H](C)CN1CCOCC1 ZINC000568049435 1072399656 /nfs/dbraw/zinc/39/96/56/1072399656.db2.gz AWCXHSNSPGWZCU-LSDHHAIUSA-N 0 0 426.539 -0.062 20 0 IBADRN COc1c(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc(Cl)cc1C(=O)N(C)C ZINC000568056201 1072399431 /nfs/dbraw/zinc/39/94/31/1072399431.db2.gz JQIIGRJMIYOHEO-UHFFFAOYSA-N 0 0 434.902 -0.004 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1NS(C)(=O)=O ZINC000568063958 1072399537 /nfs/dbraw/zinc/39/95/37/1072399537.db2.gz DWSDLHUKAOUOEE-UHFFFAOYSA-N 0 0 432.524 -0.201 20 0 IBADRN Nc1nc(C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)nc(N2CCOCC2)n1 ZINC000568064013 1073364277 /nfs/dbraw/zinc/36/42/77/1073364277.db2.gz IFZRZXCTRXCIKI-UHFFFAOYSA-N 0 0 440.508 0.123 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1 ZINC000568075100 1072399740 /nfs/dbraw/zinc/39/97/40/1072399740.db2.gz XJOWPGWZICNUMF-GOSISDBHSA-N 0 0 429.521 -0.461 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1 ZINC000568075101 1072399675 /nfs/dbraw/zinc/39/96/75/1072399675.db2.gz XJOWPGWZICNUMF-SFHVURJKSA-N 0 0 429.521 -0.461 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000568079855 1072399578 /nfs/dbraw/zinc/39/95/78/1072399578.db2.gz OTRNARPCJXOTHQ-UHFFFAOYSA-N 0 0 432.446 -0.504 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc2c(c1)OCO2 ZINC000568080935 1072399546 /nfs/dbraw/zinc/39/95/46/1072399546.db2.gz LNMMLWVUVGLOHJ-UHFFFAOYSA-N 0 0 443.464 -0.833 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(CC(N)=O)cc1 ZINC000568086052 1072399714 /nfs/dbraw/zinc/39/97/14/1072399714.db2.gz QPCWXFWVZXPGTR-HNNXBMFYSA-N 0 0 425.511 -0.570 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(CC(N)=O)cc1 ZINC000568086053 1072399594 /nfs/dbraw/zinc/39/95/94/1072399594.db2.gz QPCWXFWVZXPGTR-OAHLLOKOSA-N 0 0 425.511 -0.570 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)CC1 ZINC000568090795 1073431821 /nfs/dbraw/zinc/43/18/21/1073431821.db2.gz YGCHNZGUVUMVTR-GOSISDBHSA-N 0 0 425.574 -0.434 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)CC1 ZINC000568090796 1073432058 /nfs/dbraw/zinc/43/20/58/1073432058.db2.gz YGCHNZGUVUMVTR-SFHVURJKSA-N 0 0 425.574 -0.434 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000568095481 1072399563 /nfs/dbraw/zinc/39/95/63/1072399563.db2.gz FQJRSWPJAYCZME-HNNXBMFYSA-N 0 0 440.584 -0.273 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000568095483 1072399647 /nfs/dbraw/zinc/39/96/47/1072399647.db2.gz FQJRSWPJAYCZME-OAHLLOKOSA-N 0 0 440.584 -0.273 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)CC1 ZINC000568095803 1073431679 /nfs/dbraw/zinc/43/16/79/1073431679.db2.gz LUJBDOLPUDWZSC-KUHNRFIWSA-N 0 0 426.583 -0.147 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)CC1 ZINC000568095804 1073431649 /nfs/dbraw/zinc/43/16/49/1073431649.db2.gz LUJBDOLPUDWZSC-QXKGYIFTSA-N 0 0 426.583 -0.147 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2=O)c1 ZINC000568099233 1072399492 /nfs/dbraw/zinc/39/94/92/1072399492.db2.gz SNQHSDUNOUWZEN-CXAGYDPISA-N 0 0 440.460 -0.428 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2=O)c1 ZINC000568099234 1072400197 /nfs/dbraw/zinc/40/01/97/1072400197.db2.gz SNQHSDUNOUWZEN-DYVFJYSZSA-N 0 0 440.460 -0.428 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2=O)c1 ZINC000568099235 1072400187 /nfs/dbraw/zinc/40/01/87/1072400187.db2.gz SNQHSDUNOUWZEN-GUYCJALGSA-N 0 0 440.460 -0.428 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2=O)c1 ZINC000568099236 1072400238 /nfs/dbraw/zinc/40/02/38/1072400238.db2.gz SNQHSDUNOUWZEN-SUMWQHHRSA-N 0 0 440.460 -0.428 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)N2CCSCC2)c1 ZINC000568101360 1072400142 /nfs/dbraw/zinc/40/01/42/1072400142.db2.gz RZTDJJSEXLQMJF-UHFFFAOYSA-N 0 0 428.536 -0.178 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000568101533 1072400126 /nfs/dbraw/zinc/40/01/26/1072400126.db2.gz FULPIAYXYKTQBB-KRWDZBQOSA-N 0 0 449.552 -0.072 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000568101534 1072400172 /nfs/dbraw/zinc/40/01/72/1072400172.db2.gz FULPIAYXYKTQBB-QGZVFWFLSA-N 0 0 449.552 -0.072 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@H](C)CN3CCCC3=O)CC2)n1 ZINC000568102384 1072400104 /nfs/dbraw/zinc/40/01/04/1072400104.db2.gz QCJRLRMXTWWITG-CABCVRRESA-N 0 0 436.513 -0.062 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@@H](C)CN3CCCC3=O)CC2)n1 ZINC000568102385 1072400152 /nfs/dbraw/zinc/40/01/52/1072400152.db2.gz QCJRLRMXTWWITG-GJZGRUSLSA-N 0 0 436.513 -0.062 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@H](C)CN3CCCC3=O)CC2)n1 ZINC000568102386 1072400139 /nfs/dbraw/zinc/40/01/39/1072400139.db2.gz QCJRLRMXTWWITG-HUUCEWRRSA-N 0 0 436.513 -0.062 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@@H](C)CN3CCCC3=O)CC2)n1 ZINC000568102387 1072400207 /nfs/dbraw/zinc/40/02/07/1072400207.db2.gz QCJRLRMXTWWITG-LSDHHAIUSA-N 0 0 436.513 -0.062 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)NCc1nncn1-c1ccccc1 ZINC000568105724 1072400230 /nfs/dbraw/zinc/40/02/30/1072400230.db2.gz SKZUGJMOPLMQAZ-UHFFFAOYSA-N 0 0 435.492 -0.388 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1 ZINC000568114493 1072400181 /nfs/dbraw/zinc/40/01/81/1072400181.db2.gz BGAWFOQNACYMFU-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1 ZINC000568114494 1072400201 /nfs/dbraw/zinc/40/02/01/1072400201.db2.gz BGAWFOQNACYMFU-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1 ZINC000568114495 1072400235 /nfs/dbraw/zinc/40/02/35/1072400235.db2.gz BGAWFOQNACYMFU-ROUUACIJSA-N 0 0 438.550 -0.284 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1 ZINC000568114496 1072400191 /nfs/dbraw/zinc/40/01/91/1072400191.db2.gz BGAWFOQNACYMFU-ZWKOTPCHSA-N 0 0 438.550 -0.284 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)NCCN1CCOCC1(C)C ZINC000568115358 1072400861 /nfs/dbraw/zinc/40/08/61/1072400861.db2.gz DWPKXELEXBEZJG-UHFFFAOYSA-N 0 0 426.539 -0.220 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1C ZINC000568117426 1072400841 /nfs/dbraw/zinc/40/08/41/1072400841.db2.gz POEMFRFOCFFAGG-UHFFFAOYSA-N 0 0 425.511 -0.366 20 0 IBADRN O=C(Nc1nc(C(F)(F)F)cs1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000568117975 1072400834 /nfs/dbraw/zinc/40/08/34/1072400834.db2.gz YHFBGXXHPCAZKX-UHFFFAOYSA-N 0 0 449.411 -0.370 20 0 IBADRN O=C(NCCc1cc(F)cc(F)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000568119969 1072400816 /nfs/dbraw/zinc/40/08/16/1072400816.db2.gz LIOTXNGHODLVLE-UHFFFAOYSA-N 0 0 438.431 -0.847 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)ccc1NS(C)(=O)=O ZINC000568122882 1072400802 /nfs/dbraw/zinc/40/08/02/1072400802.db2.gz HAJVAXQAEOCGPP-GFCCVEGCSA-N 0 0 448.523 -0.503 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)ccc1NS(C)(=O)=O ZINC000568122883 1072400850 /nfs/dbraw/zinc/40/08/50/1072400850.db2.gz HAJVAXQAEOCGPP-LBPRGKRZSA-N 0 0 448.523 -0.503 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC[C@@]1(N2CCOCC2)CCSC1)S(C)(=O)=O ZINC000568127614 1072400790 /nfs/dbraw/zinc/40/07/90/1072400790.db2.gz CZCBCOZDGYEYHB-KRWDZBQOSA-N 0 0 436.600 -0.902 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC[C@]1(N2CCOCC2)CCSC1)S(C)(=O)=O ZINC000568127615 1072400872 /nfs/dbraw/zinc/40/08/72/1072400872.db2.gz CZCBCOZDGYEYHB-QGZVFWFLSA-N 0 0 436.600 -0.902 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)nn1 ZINC000568127748 1072400878 /nfs/dbraw/zinc/40/08/78/1072400878.db2.gz NHRCVSUZKWXLDS-UHFFFAOYSA-N 0 0 438.466 -0.540 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000568128096 1072400904 /nfs/dbraw/zinc/40/09/04/1072400904.db2.gz GBECLABUKHBVRZ-INIZCTEOSA-N 0 0 448.524 -0.866 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1c(C)nn(C)c1C ZINC000568128097 1072400913 /nfs/dbraw/zinc/40/09/13/1072400913.db2.gz GBECLABUKHBVRZ-MRXNPFEDSA-N 0 0 448.524 -0.866 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)nc1 ZINC000568129735 1072400891 /nfs/dbraw/zinc/40/08/91/1072400891.db2.gz LYSMMMFRMQCRML-UHFFFAOYSA-N 0 0 427.527 -0.657 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000568134579 1072400783 /nfs/dbraw/zinc/40/07/83/1072400783.db2.gz FELAXZJRQRRXNV-INIZCTEOSA-N 0 0 440.453 -0.051 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000568134580 1072401555 /nfs/dbraw/zinc/40/15/55/1072401555.db2.gz FELAXZJRQRRXNV-MRXNPFEDSA-N 0 0 440.453 -0.051 20 0 IBADRN NC(=O)N[C@@H](CC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000568134817 1072401441 /nfs/dbraw/zinc/40/14/41/1072401441.db2.gz JPPNSGACELRMAX-KRWDZBQOSA-N 0 0 439.538 -0.056 20 0 IBADRN NC(=O)N[C@H](CC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000568134818 1072401325 /nfs/dbraw/zinc/40/13/25/1072401325.db2.gz JPPNSGACELRMAX-QGZVFWFLSA-N 0 0 439.538 -0.056 20 0 IBADRN CN(C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)C(=O)C(=O)NCC1(N2CCOCC2)CC1 ZINC000568135696 1072401502 /nfs/dbraw/zinc/40/15/02/1072401502.db2.gz KLYRMRZZBZNYEJ-WMZOPIPTSA-N 0 0 436.532 -0.228 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@@]2(CCOC2)C1)N1CCN(c2ccccn2)CC1 ZINC000568141009 1072401423 /nfs/dbraw/zinc/40/14/23/1072401423.db2.gz LCDJIUWOCVTNSK-NRFANRHFSA-N 0 0 431.493 -0.746 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@]2(CCOC2)C1)N1CCN(c2ccccn2)CC1 ZINC000568141010 1072401546 /nfs/dbraw/zinc/40/15/46/1072401546.db2.gz LCDJIUWOCVTNSK-OAQYLSRUSA-N 0 0 431.493 -0.746 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)C1 ZINC000568142316 1072401354 /nfs/dbraw/zinc/40/13/54/1072401354.db2.gz FSRMKDZHVWCZSG-DUOAEJKSSA-N 0 0 426.583 -0.147 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)C1 ZINC000568142317 1072401498 /nfs/dbraw/zinc/40/14/98/1072401498.db2.gz FSRMKDZHVWCZSG-GZRVVXPKSA-N 0 0 426.583 -0.147 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)C1 ZINC000568142318 1072401538 /nfs/dbraw/zinc/40/15/38/1072401538.db2.gz FSRMKDZHVWCZSG-HDBFXVAESA-N 0 0 426.583 -0.147 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)C1 ZINC000568142319 1072401561 /nfs/dbraw/zinc/40/15/61/1072401561.db2.gz FSRMKDZHVWCZSG-YPOBSOSXSA-N 0 0 426.583 -0.147 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCNC(=O)CC2)N1Cc1ccccc1)N1CCNC(=O)CC1 ZINC000568145894 1072401367 /nfs/dbraw/zinc/40/13/67/1072401367.db2.gz RKCDKBSJQPUALP-KDURUIRLSA-N 0 0 441.532 -0.283 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000568147610 1072401391 /nfs/dbraw/zinc/40/13/91/1072401391.db2.gz NBXXOPUMIIAFHG-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN Cc1[nH]c(=O)sc1S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccccc1 ZINC000568147844 1072401487 /nfs/dbraw/zinc/40/14/87/1072401487.db2.gz YEXHGCLHYONUFO-UHFFFAOYSA-N 0 0 434.521 -0.470 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)C1 ZINC000568159192 1072401338 /nfs/dbraw/zinc/40/13/38/1072401338.db2.gz FUVWFVVFOATVRL-OWCLPIDISA-N 0 0 428.555 -0.176 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)C1 ZINC000568159193 1072402047 /nfs/dbraw/zinc/40/20/47/1072402047.db2.gz FUVWFVVFOATVRL-PMPSAXMXSA-N 0 0 428.555 -0.176 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C2(S(=O)(=O)c3ccccc3)CCOCC2)CC1 ZINC000568242175 1072402057 /nfs/dbraw/zinc/40/20/57/1072402057.db2.gz XPJKLPDBZCFSIU-UHFFFAOYSA-N 0 0 449.529 -0.041 20 0 IBADRN Cn1ccnc(NC[C@H]2CN(C(=O)C(=O)NCCc3c[nH]c4ncccc34)CCO2)c1=O ZINC000568242215 1073305314 /nfs/dbraw/zinc/30/53/14/1073305314.db2.gz ZSBJGWFCMBSSAI-HNNXBMFYSA-N 0 0 439.476 -0.345 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)NCCc3c[nH]c4ncccc34)CCO2)c1=O ZINC000568242216 1073304394 /nfs/dbraw/zinc/30/43/94/1073304394.db2.gz ZSBJGWFCMBSSAI-OAHLLOKOSA-N 0 0 439.476 -0.345 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000568243004 1072401947 /nfs/dbraw/zinc/40/19/47/1072401947.db2.gz FYIROPBOTKJQMI-GOSISDBHSA-N 0 0 434.541 -0.514 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000568243005 1072402080 /nfs/dbraw/zinc/40/20/80/1072402080.db2.gz FYIROPBOTKJQMI-SFHVURJKSA-N 0 0 434.541 -0.514 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1=O ZINC000568243317 1072402118 /nfs/dbraw/zinc/40/21/18/1072402118.db2.gz VFGQWCMVRPFEGY-KGLIPLIRSA-N 0 0 440.526 -0.945 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1=O ZINC000568243318 1072401993 /nfs/dbraw/zinc/40/19/93/1072401993.db2.gz VFGQWCMVRPFEGY-ZIAGYGMSSA-N 0 0 440.526 -0.945 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)NC34CCC4)CC2)C[C@@H](C)O1 ZINC000568298708 1072401969 /nfs/dbraw/zinc/40/19/69/1072401969.db2.gz OPOSQIYZNAGNEL-BZUAXINKSA-N 0 0 443.570 -0.131 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCC(=O)NC34CCC4)CC2)C[C@@H](C)O1 ZINC000568298709 1072402041 /nfs/dbraw/zinc/40/20/41/1072402041.db2.gz OPOSQIYZNAGNEL-OAGGEKHMSA-N 0 0 443.570 -0.131 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)NC34CCC4)CC2)C[C@H](C)O1 ZINC000568298710 1072402096 /nfs/dbraw/zinc/40/20/96/1072402096.db2.gz OPOSQIYZNAGNEL-OWCLPIDISA-N 0 0 443.570 -0.131 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCC(=O)NC34CCC4)CC2)C[C@H](C)O1 ZINC000568298711 1072402051 /nfs/dbraw/zinc/40/20/51/1072402051.db2.gz OPOSQIYZNAGNEL-XHSDSOJGSA-N 0 0 443.570 -0.131 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cn(Cc3ccccc3)nn2)CC1 ZINC000568301310 1072402124 /nfs/dbraw/zinc/40/21/24/1072402124.db2.gz BBDKLVQIICGYEU-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCCN(c3cccnn3)CC2)CC1 ZINC000568301819 1072402069 /nfs/dbraw/zinc/40/20/69/1072402069.db2.gz ZDLDOPUMQKHQAG-UHFFFAOYSA-N 0 0 438.554 -0.164 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCc3cccc(C(N)=O)c3)C2)no1 ZINC000568302462 1072402770 /nfs/dbraw/zinc/40/27/70/1072402770.db2.gz BLMIONWEJAZHLK-INIZCTEOSA-N 0 0 442.476 -0.003 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCc3cccc(C(N)=O)c3)C2)no1 ZINC000568302463 1072402766 /nfs/dbraw/zinc/40/27/66/1072402766.db2.gz BLMIONWEJAZHLK-MRXNPFEDSA-N 0 0 442.476 -0.003 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1C(=O)OC ZINC000568302581 1072402111 /nfs/dbraw/zinc/40/21/11/1072402111.db2.gz HJEIIYKVGDOKGI-GFCCVEGCSA-N 0 0 441.462 -0.309 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1C(=O)OC ZINC000568302582 1072402776 /nfs/dbraw/zinc/40/27/76/1072402776.db2.gz HJEIIYKVGDOKGI-LBPRGKRZSA-N 0 0 441.462 -0.309 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)C1 ZINC000568305992 1072402642 /nfs/dbraw/zinc/40/26/42/1072402642.db2.gz IXJZFXLLXABFRE-JOCHJYFZSA-N 0 0 432.521 -0.002 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCc2ccccc2OCCN2CCOCC2)C1 ZINC000568305993 1072402761 /nfs/dbraw/zinc/40/27/61/1072402761.db2.gz IXJZFXLLXABFRE-QFIPXVFZSA-N 0 0 432.521 -0.002 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000568308866 1072402716 /nfs/dbraw/zinc/40/27/16/1072402716.db2.gz XTICGRUJGGBUQJ-BLLLJJGKSA-N 0 0 436.431 -0.019 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000568308867 1072402722 /nfs/dbraw/zinc/40/27/22/1072402722.db2.gz XTICGRUJGGBUQJ-LRDDRELGSA-N 0 0 436.431 -0.019 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000568308868 1072402671 /nfs/dbraw/zinc/40/26/71/1072402671.db2.gz XTICGRUJGGBUQJ-MLGOLLRUSA-N 0 0 436.431 -0.019 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000568308869 1072402626 /nfs/dbraw/zinc/40/26/26/1072402626.db2.gz XTICGRUJGGBUQJ-WBMJQRKESA-N 0 0 436.431 -0.019 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCC[C@@H]1C ZINC000568309351 1072402709 /nfs/dbraw/zinc/40/27/09/1072402709.db2.gz WFHYKUZRGRCGAZ-KBPBESRZSA-N 0 0 434.584 -0.613 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCC[C@H]1C ZINC000568309352 1072402749 /nfs/dbraw/zinc/40/27/49/1072402749.db2.gz WFHYKUZRGRCGAZ-KGLIPLIRSA-N 0 0 434.584 -0.613 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCC[C@@H]1C ZINC000568309353 1072402773 /nfs/dbraw/zinc/40/27/73/1072402773.db2.gz WFHYKUZRGRCGAZ-UONOGXRCSA-N 0 0 434.584 -0.613 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCC[C@H]1C ZINC000568309354 1072402726 /nfs/dbraw/zinc/40/27/26/1072402726.db2.gz WFHYKUZRGRCGAZ-ZIAGYGMSSA-N 0 0 434.584 -0.613 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)c2ccccc2O1 ZINC000568312204 1072403213 /nfs/dbraw/zinc/40/32/13/1072403213.db2.gz GRFROASSAIEEOT-HCQWSFJTSA-N 0 0 444.444 -0.067 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)c2ccccc2O1 ZINC000568312205 1072403185 /nfs/dbraw/zinc/40/31/85/1072403185.db2.gz GRFROASSAIEEOT-PYDTXJQDSA-N 0 0 444.444 -0.067 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)c2ccccc2O1 ZINC000568312206 1072403097 /nfs/dbraw/zinc/40/30/97/1072403097.db2.gz GRFROASSAIEEOT-QOKQMOMRSA-N 0 0 444.444 -0.067 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)c2ccccc2O1 ZINC000568312207 1072403064 /nfs/dbraw/zinc/40/30/64/1072403064.db2.gz GRFROASSAIEEOT-RKFVTTHHSA-N 0 0 444.444 -0.067 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC(Oc4cnccn4)CC3)C2=O)n(C)n1 ZINC000568314332 1072403175 /nfs/dbraw/zinc/40/31/75/1072403175.db2.gz VTJVSNYTXXIYQA-HNNXBMFYSA-N 0 0 427.465 -0.190 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC(Oc4cnccn4)CC3)C2=O)n(C)n1 ZINC000568314333 1072403136 /nfs/dbraw/zinc/40/31/36/1072403136.db2.gz VTJVSNYTXXIYQA-OAHLLOKOSA-N 0 0 427.465 -0.190 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)cn1 ZINC000568317352 1072403168 /nfs/dbraw/zinc/40/31/68/1072403168.db2.gz QRQFSXLXMPPCRM-FCEWJHQRSA-N 0 0 442.524 -0.142 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)cn1 ZINC000568317353 1072403104 /nfs/dbraw/zinc/40/31/04/1072403104.db2.gz QRQFSXLXMPPCRM-MDZRGWNJSA-N 0 0 442.524 -0.142 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3cnn(CC(F)(F)F)c3)CC2)nc1 ZINC000568318754 1072403051 /nfs/dbraw/zinc/40/30/51/1072403051.db2.gz ABBFWEOVMBMGGR-UHFFFAOYSA-N 0 0 439.398 -0.096 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)CC2)n1 ZINC000568319400 1072403077 /nfs/dbraw/zinc/40/30/77/1072403077.db2.gz DAENGJCVIZDIQM-HNNXBMFYSA-N 0 0 441.558 -0.022 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)CC2)n1 ZINC000568319401 1072403224 /nfs/dbraw/zinc/40/32/24/1072403224.db2.gz DAENGJCVIZDIQM-OAHLLOKOSA-N 0 0 441.558 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)s1 ZINC000568322082 1072403956 /nfs/dbraw/zinc/40/39/56/1072403956.db2.gz NLKBESFINVHQCA-CYBMUJFWSA-N 0 0 446.595 -0.245 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)s1 ZINC000568322083 1072403901 /nfs/dbraw/zinc/40/39/01/1072403901.db2.gz NLKBESFINVHQCA-ZDUSSCGKSA-N 0 0 446.595 -0.245 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(C4CC4)cc3)CC2)CC1 ZINC000568322209 1072403942 /nfs/dbraw/zinc/40/39/42/1072403942.db2.gz QYRQJPNAANKDEW-UHFFFAOYSA-N 0 0 449.577 -0.183 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)CC1 ZINC000568322810 1072403930 /nfs/dbraw/zinc/40/39/30/1072403930.db2.gz QEOJAEXEEJBZLC-INIZCTEOSA-N 0 0 446.570 -0.550 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)CC1 ZINC000568322811 1072403949 /nfs/dbraw/zinc/40/39/49/1072403949.db2.gz QEOJAEXEEJBZLC-MRXNPFEDSA-N 0 0 446.570 -0.550 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1)C(F)(F)F ZINC000568323134 1072403894 /nfs/dbraw/zinc/40/38/94/1072403894.db2.gz DNYXLAGQGTZFRK-LLVKDONJSA-N 0 0 441.432 -0.695 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1)C(F)(F)F ZINC000568323135 1072403827 /nfs/dbraw/zinc/40/38/27/1072403827.db2.gz DNYXLAGQGTZFRK-NSHDSACASA-N 0 0 441.432 -0.695 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)cn1 ZINC000568330165 1072403837 /nfs/dbraw/zinc/40/38/37/1072403837.db2.gz XBLBFPWMKGCXRK-QAPCUYQASA-N 0 0 429.456 -0.261 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(CC)[C@@H](C)CS(C)(=O)=O)(N2CCOCC2)C1 ZINC000568330198 1072403981 /nfs/dbraw/zinc/40/39/81/1072403981.db2.gz YIOUWPDFHORPCJ-LYGPFTKASA-N 0 0 433.571 -0.346 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(CC)[C@H](C)CS(C)(=O)=O)(N2CCOCC2)C1 ZINC000568330199 1072404603 /nfs/dbraw/zinc/40/46/03/1072404603.db2.gz YIOUWPDFHORPCJ-MDCZIUGASA-N 0 0 433.571 -0.346 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CCC1 ZINC000568330560 1072403937 /nfs/dbraw/zinc/40/39/37/1072403937.db2.gz OWHAZCGFDNBYTD-HHHXNRCGSA-N 0 0 428.536 -0.668 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CCC1 ZINC000568330561 1072403920 /nfs/dbraw/zinc/40/39/20/1072403920.db2.gz OWHAZCGFDNBYTD-MHZLTWQESA-N 0 0 428.536 -0.668 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000568331113 1072404551 /nfs/dbraw/zinc/40/45/51/1072404551.db2.gz MJOFQNQEYPUROH-KRWDZBQOSA-N 0 0 442.582 -0.180 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000568331114 1072404595 /nfs/dbraw/zinc/40/45/95/1072404595.db2.gz MJOFQNQEYPUROH-QGZVFWFLSA-N 0 0 442.582 -0.180 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000568331719 1072404565 /nfs/dbraw/zinc/40/45/65/1072404565.db2.gz OFXQKJUCZJRUPP-UHFFFAOYSA-N 0 0 426.470 -0.603 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H](Cc3c[nH]cn3)NC(=O)CC(C)C)CC2)no1 ZINC000568332210 1072404453 /nfs/dbraw/zinc/40/44/53/1072404453.db2.gz MLAMFLUGHPRWLS-KRWDZBQOSA-N 0 0 445.524 0.562 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H](Cc3c[nH]cn3)NC(=O)CC(C)C)CC2)no1 ZINC000568332211 1072404485 /nfs/dbraw/zinc/40/44/85/1072404485.db2.gz MLAMFLUGHPRWLS-QGZVFWFLSA-N 0 0 445.524 0.562 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000568335987 1072404435 /nfs/dbraw/zinc/40/44/35/1072404435.db2.gz JYRDPHLGEMJYRY-AWEZNQCLSA-N 0 0 444.469 -0.117 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000568335993 1072404547 /nfs/dbraw/zinc/40/45/47/1072404547.db2.gz JYRDPHLGEMJYRY-CQSZACIVSA-N 0 0 444.469 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000568336251 1072404395 /nfs/dbraw/zinc/40/43/95/1072404395.db2.gz POKPFYQTGGTHCL-UHFFFAOYSA-N 0 0 449.551 -0.136 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCCn1cc(CO)nn1 ZINC000568338269 1072404584 /nfs/dbraw/zinc/40/45/84/1072404584.db2.gz ZQPCAYZCCPXJGV-UHFFFAOYSA-N 0 0 425.467 -0.584 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCN(C)c3ccccc3)CC2)no1 ZINC000568369069 1073329075 /nfs/dbraw/zinc/32/90/75/1073329075.db2.gz DPOJSGGDUHTMFT-UHFFFAOYSA-N 0 0 428.493 0.318 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1OC ZINC000568370340 1072404474 /nfs/dbraw/zinc/40/44/74/1072404474.db2.gz CMQRFPOGGKHSJR-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccccc1CN1CCCC1=O ZINC000568373287 1072404525 /nfs/dbraw/zinc/40/45/25/1072404525.db2.gz GISOWKTUOOHNPG-KRWDZBQOSA-N 0 0 436.534 -0.035 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccccc1CN1CCCC1=O ZINC000568373288 1072404506 /nfs/dbraw/zinc/40/45/06/1072404506.db2.gz GISOWKTUOOHNPG-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN CCOC1CC(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000568376656 1072405076 /nfs/dbraw/zinc/40/50/76/1072405076.db2.gz UVRGXXSKKVFWMW-UHFFFAOYSA-N 0 0 441.554 -0.117 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000568376680 1072405013 /nfs/dbraw/zinc/40/50/13/1072405013.db2.gz VNPYKBBRFLBNLC-GFCCVEGCSA-N 0 0 440.569 -0.727 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000568376683 1072405093 /nfs/dbraw/zinc/40/50/93/1072405093.db2.gz VNPYKBBRFLBNLC-LBPRGKRZSA-N 0 0 440.569 -0.727 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCN(c2ccc(Cl)cc2)C1=O ZINC000568376968 1072404501 /nfs/dbraw/zinc/40/45/01/1072404501.db2.gz QHGJNNNLOKZXNN-AWEZNQCLSA-N 0 0 430.852 -0.102 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCN(c2ccc(Cl)cc2)C1=O ZINC000568376969 1072404405 /nfs/dbraw/zinc/40/44/05/1072404405.db2.gz QHGJNNNLOKZXNN-CQSZACIVSA-N 0 0 430.852 -0.102 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000568378057 1072405063 /nfs/dbraw/zinc/40/50/63/1072405063.db2.gz MQRWJEJIQIKPDP-CVEARBPZSA-N 0 0 443.508 -0.018 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000568378058 1072405125 /nfs/dbraw/zinc/40/51/25/1072405125.db2.gz MQRWJEJIQIKPDP-HOTGVXAUSA-N 0 0 443.508 -0.018 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000568378059 1072405009 /nfs/dbraw/zinc/40/50/09/1072405009.db2.gz MQRWJEJIQIKPDP-HZPDHXFCSA-N 0 0 443.508 -0.018 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000568378060 1072404998 /nfs/dbraw/zinc/40/49/98/1072404998.db2.gz MQRWJEJIQIKPDP-JKSUJKDBSA-N 0 0 443.508 -0.018 20 0 IBADRN CCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCCC1 ZINC000568378148 1072405081 /nfs/dbraw/zinc/40/50/81/1072405081.db2.gz RGBCKKCOMYIFSU-UHFFFAOYSA-N 0 0 429.587 -0.291 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000568378505 1072405131 /nfs/dbraw/zinc/40/51/31/1072405131.db2.gz KHTHHOAWVMEEGT-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000568403620 1072405050 /nfs/dbraw/zinc/40/50/50/1072405050.db2.gz HPOMCBMILCOWTQ-HNNXBMFYSA-N 0 0 445.295 -0.072 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000568403621 1072405116 /nfs/dbraw/zinc/40/51/16/1072405116.db2.gz HPOMCBMILCOWTQ-OAHLLOKOSA-N 0 0 445.295 -0.072 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000568407168 1072405762 /nfs/dbraw/zinc/40/57/62/1072405762.db2.gz YFTFJUMHBUOCCM-UHFFFAOYSA-N 0 0 438.959 -0.042 20 0 IBADRN COC(=O)c1ccc(CCNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000568417962 1072405720 /nfs/dbraw/zinc/40/57/20/1072405720.db2.gz YTLPVCSAEGHTQQ-UHFFFAOYSA-N 0 0 435.524 -0.564 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CCN1CC(C)(C)O ZINC000568419882 1072405724 /nfs/dbraw/zinc/40/57/24/1072405724.db2.gz YNJNQZXBNCUOQQ-GOSISDBHSA-N 0 0 447.584 -0.592 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CCN1CC(C)(C)O ZINC000568419887 1072405661 /nfs/dbraw/zinc/40/56/61/1072405661.db2.gz YNJNQZXBNCUOQQ-SFHVURJKSA-N 0 0 447.584 -0.592 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000568419980 1072405734 /nfs/dbraw/zinc/40/57/34/1072405734.db2.gz QILSEVIXQRYRFA-INIZCTEOSA-N 0 0 445.586 -0.768 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000568419981 1072405738 /nfs/dbraw/zinc/40/57/38/1072405738.db2.gz QILSEVIXQRYRFA-MRXNPFEDSA-N 0 0 445.586 -0.768 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000568421014 1072405694 /nfs/dbraw/zinc/40/56/94/1072405694.db2.gz RAVJEYZRZXWXAW-DOMZBBRYSA-N 0 0 425.463 -0.554 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000568421015 1072405680 /nfs/dbraw/zinc/40/56/80/1072405680.db2.gz RAVJEYZRZXWXAW-IUODEOHRSA-N 0 0 425.463 -0.554 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000568421016 1072405736 /nfs/dbraw/zinc/40/57/36/1072405736.db2.gz RAVJEYZRZXWXAW-SWLSCSKDSA-N 0 0 425.463 -0.554 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000568421017 1072405753 /nfs/dbraw/zinc/40/57/53/1072405753.db2.gz RAVJEYZRZXWXAW-WFASDCNBSA-N 0 0 425.463 -0.554 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000568421110 1072405755 /nfs/dbraw/zinc/40/57/55/1072405755.db2.gz VERCKWVDCPEKDB-UHFFFAOYSA-N 0 0 437.526 -0.772 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000568421225 1072405786 /nfs/dbraw/zinc/40/57/86/1072405786.db2.gz CHFOYTMEXAHZLK-UHFFFAOYSA-N 0 0 438.488 -0.086 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)C1 ZINC000568421272 1072405742 /nfs/dbraw/zinc/40/57/42/1072405742.db2.gz DYUJKZHWIPJMNB-KRWDZBQOSA-N 0 0 436.534 -0.035 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccccc2CN2CCCC2=O)C1 ZINC000568421273 1072405744 /nfs/dbraw/zinc/40/57/44/1072405744.db2.gz DYUJKZHWIPJMNB-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCc3cc(F)cc4c3OCOC4)CC2)c1=O ZINC000568422768 1072405713 /nfs/dbraw/zinc/40/57/13/1072405713.db2.gz WSRYDAXRPMHUAS-UHFFFAOYSA-N 0 0 445.451 -0.207 20 0 IBADRN COC(=O)[C@H](C)NC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000568423779 1072405740 /nfs/dbraw/zinc/40/57/40/1072405740.db2.gz WSTLWPHSPGLCFP-NSHDSACASA-N 0 0 433.508 -0.588 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)COC)CC2)c1 ZINC000568424954 1072405751 /nfs/dbraw/zinc/40/57/51/1072405751.db2.gz HWNQQCRDBZAMDM-CYBMUJFWSA-N 0 0 426.495 -0.911 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)COC)CC2)c1 ZINC000568424955 1072405747 /nfs/dbraw/zinc/40/57/47/1072405747.db2.gz HWNQQCRDBZAMDM-ZDUSSCGKSA-N 0 0 426.495 -0.911 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000568426139 1072405774 /nfs/dbraw/zinc/40/57/74/1072405774.db2.gz NRCPPILQCRHMOC-AGIUHOORSA-N 0 0 427.445 -0.599 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000568426140 1072406302 /nfs/dbraw/zinc/40/63/02/1072406302.db2.gz NRCPPILQCRHMOC-AVGNSLFASA-N 0 0 427.445 -0.599 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000568426141 1072406253 /nfs/dbraw/zinc/40/62/53/1072406253.db2.gz NRCPPILQCRHMOC-UPJWGTAASA-N 0 0 427.445 -0.599 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000568426142 1072406235 /nfs/dbraw/zinc/40/62/35/1072406235.db2.gz NRCPPILQCRHMOC-XQQFMLRXSA-N 0 0 427.445 -0.599 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)n1C ZINC000568427022 1072406383 /nfs/dbraw/zinc/40/63/83/1072406383.db2.gz DEQASKRAGGHNHW-AWEZNQCLSA-N 0 0 443.468 -0.514 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)n1C ZINC000568427023 1072406281 /nfs/dbraw/zinc/40/62/81/1072406281.db2.gz DEQASKRAGGHNHW-CQSZACIVSA-N 0 0 443.468 -0.514 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)(N2CCOCC2)C1 ZINC000568427705 1072406241 /nfs/dbraw/zinc/40/62/41/1072406241.db2.gz KMVXXVMMDFRLFY-DQOAATFDSA-N 0 0 426.558 -0.402 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)(N2CCOCC2)C1 ZINC000568427706 1072406202 /nfs/dbraw/zinc/40/62/02/1072406202.db2.gz KMVXXVMMDFRLFY-IRDVNMAFSA-N 0 0 426.558 -0.402 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)(N2CCOCC2)C1 ZINC000568427707 1072406361 /nfs/dbraw/zinc/40/63/61/1072406361.db2.gz KMVXXVMMDFRLFY-NSSZEPTDSA-N 0 0 426.558 -0.402 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)(N2CCOCC2)C1 ZINC000568427708 1072406245 /nfs/dbraw/zinc/40/62/45/1072406245.db2.gz KMVXXVMMDFRLFY-WVNPWQTNSA-N 0 0 426.558 -0.402 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)C1)C(N)=O ZINC000568430956 1073326841 /nfs/dbraw/zinc/32/68/41/1073326841.db2.gz DJWWHLFHKXZEQK-UHFFFAOYSA-N 0 0 441.492 -0.116 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000568431017 1072406293 /nfs/dbraw/zinc/40/62/93/1072406293.db2.gz GDFDQCXXRJAFIM-UHFFFAOYSA-N 0 0 446.508 -0.278 20 0 IBADRN Cc1ccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2)nc1 ZINC000568431920 1072406261 /nfs/dbraw/zinc/40/62/61/1072406261.db2.gz ALVSHMUPGYSGFI-AWEZNQCLSA-N 0 0 442.480 -0.114 20 0 IBADRN Cc1ccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2)nc1 ZINC000568431921 1072406356 /nfs/dbraw/zinc/40/63/56/1072406356.db2.gz ALVSHMUPGYSGFI-CQSZACIVSA-N 0 0 442.480 -0.114 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H](c4nc(N(C)C)no4)C3)C2=O)n(C)n1 ZINC000568432481 1072406227 /nfs/dbraw/zinc/40/62/27/1072406227.db2.gz YKEPHRDWQIJOBU-KBPBESRZSA-N 0 0 444.496 -0.195 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H](c4nc(N(C)C)no4)C3)C2=O)n(C)n1 ZINC000568432482 1072406273 /nfs/dbraw/zinc/40/62/73/1072406273.db2.gz YKEPHRDWQIJOBU-KGLIPLIRSA-N 0 0 444.496 -0.195 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC[C@H](c4nc(N(C)C)no4)C3)C2=O)n(C)n1 ZINC000568432483 1072406373 /nfs/dbraw/zinc/40/63/73/1072406373.db2.gz YKEPHRDWQIJOBU-UONOGXRCSA-N 0 0 444.496 -0.195 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC[C@@H](c4nc(N(C)C)no4)C3)C2=O)n(C)n1 ZINC000568432484 1072406352 /nfs/dbraw/zinc/40/63/52/1072406352.db2.gz YKEPHRDWQIJOBU-ZIAGYGMSSA-N 0 0 444.496 -0.195 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000568436784 1072406887 /nfs/dbraw/zinc/40/68/87/1072406887.db2.gz DAOJCCGPKIQQQX-BXUZGUMPSA-N 0 0 444.460 -0.274 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000568436785 1072407082 /nfs/dbraw/zinc/40/70/82/1072407082.db2.gz DAOJCCGPKIQQQX-FZMZJTMJSA-N 0 0 444.460 -0.274 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000568436786 1072407089 /nfs/dbraw/zinc/40/70/89/1072407089.db2.gz DAOJCCGPKIQQQX-RISCZKNCSA-N 0 0 444.460 -0.274 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000568436787 1072407100 /nfs/dbraw/zinc/40/71/00/1072407100.db2.gz DAOJCCGPKIQQQX-SMDDNHRTSA-N 0 0 444.460 -0.274 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc1 ZINC000568437256 1072407123 /nfs/dbraw/zinc/40/71/23/1072407123.db2.gz XAUNYXXHQXJPNT-CABCVRRESA-N 0 0 429.539 -0.173 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc1 ZINC000568437257 1072407095 /nfs/dbraw/zinc/40/70/95/1072407095.db2.gz XAUNYXXHQXJPNT-GJZGRUSLSA-N 0 0 429.539 -0.173 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc1 ZINC000568437258 1072407154 /nfs/dbraw/zinc/40/71/54/1072407154.db2.gz XAUNYXXHQXJPNT-HUUCEWRRSA-N 0 0 429.539 -0.173 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc1 ZINC000568437259 1072406953 /nfs/dbraw/zinc/40/69/53/1072406953.db2.gz XAUNYXXHQXJPNT-LSDHHAIUSA-N 0 0 429.539 -0.173 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000568440386 1072406911 /nfs/dbraw/zinc/40/69/11/1072406911.db2.gz XKZBACXJVXXGKU-BBRMVZONSA-N 0 0 433.477 -0.527 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000568440387 1072407053 /nfs/dbraw/zinc/40/70/53/1072407053.db2.gz XKZBACXJVXXGKU-CJNGLKHVSA-N 0 0 433.477 -0.527 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000568440388 1072406899 /nfs/dbraw/zinc/40/68/99/1072406899.db2.gz XKZBACXJVXXGKU-CZUORRHYSA-N 0 0 433.477 -0.527 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000568440389 1072406878 /nfs/dbraw/zinc/40/68/78/1072406878.db2.gz XKZBACXJVXXGKU-XJKSGUPXSA-N 0 0 433.477 -0.527 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000568441225 1072406969 /nfs/dbraw/zinc/40/69/69/1072406969.db2.gz JFQJJZUKYWUGDG-UHFFFAOYSA-N 0 0 425.511 -0.428 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)C(F)(F)F ZINC000568442662 1072406988 /nfs/dbraw/zinc/40/69/88/1072406988.db2.gz WUOYXEQQEDECGR-CYBMUJFWSA-N 0 0 447.476 -0.031 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1)C(F)(F)F ZINC000568442663 1072407075 /nfs/dbraw/zinc/40/70/75/1072407075.db2.gz WUOYXEQQEDECGR-ZDUSSCGKSA-N 0 0 447.476 -0.031 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1cc2c(cc1Br)OCCO2 ZINC000568443085 1072407664 /nfs/dbraw/zinc/40/76/64/1072407664.db2.gz QUGTYZHWINUHEG-UHFFFAOYSA-N 0 0 444.329 -0.105 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000568445378 1072407699 /nfs/dbraw/zinc/40/76/99/1072407699.db2.gz SHODMAFIXDXCGX-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000568447833 1072407773 /nfs/dbraw/zinc/40/77/73/1072407773.db2.gz JYOHITWTRYTXKN-CVEARBPZSA-N 0 0 438.554 -0.873 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000568447834 1072407827 /nfs/dbraw/zinc/40/78/27/1072407827.db2.gz JYOHITWTRYTXKN-HOTGVXAUSA-N 0 0 438.554 -0.873 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000568447835 1072407601 /nfs/dbraw/zinc/40/76/01/1072407601.db2.gz JYOHITWTRYTXKN-HZPDHXFCSA-N 0 0 438.554 -0.873 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000568447836 1072407799 /nfs/dbraw/zinc/40/77/99/1072407799.db2.gz JYOHITWTRYTXKN-JKSUJKDBSA-N 0 0 438.554 -0.873 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)NCCNC(=O)c2cnccn2)c1 ZINC000568452345 1072407747 /nfs/dbraw/zinc/40/77/47/1072407747.db2.gz UKEZLJUWFRYSLZ-UHFFFAOYSA-N 0 0 436.375 -0.296 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(N3CCOCC3)c2)CC1 ZINC000568453150 1072407635 /nfs/dbraw/zinc/40/76/35/1072407635.db2.gz GONREKQCYUXQQA-UHFFFAOYSA-N 0 0 439.538 -0.198 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000568453164 1072407792 /nfs/dbraw/zinc/40/77/92/1072407792.db2.gz HGPOPOYVNPUDCF-UHFFFAOYSA-N 0 0 430.458 -0.109 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c2cccnc12 ZINC000568453196 1072407722 /nfs/dbraw/zinc/40/77/22/1072407722.db2.gz IGECFACOQIQKLY-UHFFFAOYSA-N 0 0 438.462 -0.267 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000568455835 1072407785 /nfs/dbraw/zinc/40/77/85/1072407785.db2.gz FSWVANGEDLDJEQ-GOSISDBHSA-N 0 0 432.502 -0.054 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000568455836 1072407763 /nfs/dbraw/zinc/40/77/63/1072407763.db2.gz FSWVANGEDLDJEQ-SFHVURJKSA-N 0 0 432.502 -0.054 20 0 IBADRN O=C(CN1CCCNC1=O)NCCN(CCC(F)(F)F)C(=O)CN1CCCNC1=O ZINC000568462234 1072407654 /nfs/dbraw/zinc/40/76/54/1072407654.db2.gz IEZQXCUNMLOVLZ-UHFFFAOYSA-N 0 0 436.435 -0.286 20 0 IBADRN CC[C@](C)(CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000568468994 1072407714 /nfs/dbraw/zinc/40/77/14/1072407714.db2.gz LSJOHNDSXQJURD-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@@](C)(CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000568468995 1072407675 /nfs/dbraw/zinc/40/76/75/1072407675.db2.gz LSJOHNDSXQJURD-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)C(=O)NCCN3C(=O)NC4(CCCC4)C3=O)ccc21 ZINC000568469518 1072408292 /nfs/dbraw/zinc/40/82/92/1072408292.db2.gz CQIOCGZQIPHOSP-UHFFFAOYSA-N 0 0 429.433 -0.039 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000568470082 1072407625 /nfs/dbraw/zinc/40/76/25/1072407625.db2.gz ZHAWDHWXEYHVLR-INIZCTEOSA-N 0 0 438.506 -0.824 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000568470083 1072407688 /nfs/dbraw/zinc/40/76/88/1072407688.db2.gz ZHAWDHWXEYHVLR-MRXNPFEDSA-N 0 0 438.506 -0.824 20 0 IBADRN Cn1c(C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000568495419 1072408271 /nfs/dbraw/zinc/40/82/71/1072408271.db2.gz QPEJTCNLDATYJM-GFCCVEGCSA-N 0 0 437.522 -0.490 20 0 IBADRN Cn1c(C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000568495420 1072408322 /nfs/dbraw/zinc/40/83/22/1072408322.db2.gz QPEJTCNLDATYJM-LBPRGKRZSA-N 0 0 437.522 -0.490 20 0 IBADRN COC1CCC(C)(CNC(=O)C(=O)N2CCO[C@@H](CNc3nccn(C)c3=O)C2)CC1 ZINC000568496025 1073331469 /nfs/dbraw/zinc/33/14/69/1073331469.db2.gz LQQVSBGMVBLCRB-TZQQIIETSA-N 0 0 435.525 0.131 20 0 IBADRN COC1CCC(C)(CNC(=O)C(=O)N2CCO[C@H](CNc3nccn(C)c3=O)C2)CC1 ZINC000568496028 1072408390 /nfs/dbraw/zinc/40/83/90/1072408390.db2.gz LQQVSBGMVBLCRB-ZGOJQLDESA-N 0 0 435.525 0.131 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)Nc3nc(CS(C)(=O)=O)no3)C2)cc1 ZINC000568496435 1072408308 /nfs/dbraw/zinc/40/83/08/1072408308.db2.gz NIDZGCCTICIJHW-UHFFFAOYSA-N 0 0 429.480 -0.461 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCN(CCN4CCCS4(=O)=O)CC3)cc2[nH]1 ZINC000568520984 1072408279 /nfs/dbraw/zinc/40/82/79/1072408279.db2.gz CQBGHAVGOZUBLR-UHFFFAOYSA-N 0 0 429.524 -0.390 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N[C@@H]1CCN(c2cc(C)nn2C)C1=O)c1ccccc1 ZINC000568521452 1072408384 /nfs/dbraw/zinc/40/83/84/1072408384.db2.gz HRQVSQVLTZFSLI-CVEARBPZSA-N 0 0 426.477 -0.056 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N[C@H]1CCN(c2cc(C)nn2C)C1=O)c1ccccc1 ZINC000568521456 1072408317 /nfs/dbraw/zinc/40/83/17/1072408317.db2.gz HRQVSQVLTZFSLI-HOTGVXAUSA-N 0 0 426.477 -0.056 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N[C@@H]1CCN(c2cc(C)nn2C)C1=O)c1ccccc1 ZINC000568521458 1072408267 /nfs/dbraw/zinc/40/82/67/1072408267.db2.gz HRQVSQVLTZFSLI-HZPDHXFCSA-N 0 0 426.477 -0.056 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N[C@H]1CCN(c2cc(C)nn2C)C1=O)c1ccccc1 ZINC000568521459 1072408249 /nfs/dbraw/zinc/40/82/49/1072408249.db2.gz HRQVSQVLTZFSLI-JKSUJKDBSA-N 0 0 426.477 -0.056 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000568538188 1072408260 /nfs/dbraw/zinc/40/82/60/1072408260.db2.gz UDAJDRZVVJTRSQ-UHFFFAOYSA-N 0 0 432.525 -0.797 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCc2cccnc2)CC1 ZINC000568538389 1072408286 /nfs/dbraw/zinc/40/82/86/1072408286.db2.gz KRAFZHUXDUHRDJ-UHFFFAOYSA-N 0 0 445.545 -0.007 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1NC(C)=O ZINC000568542664 1072409046 /nfs/dbraw/zinc/40/90/46/1072409046.db2.gz FVQHEVRITBFPNT-UHFFFAOYSA-N 0 0 433.509 -0.108 20 0 IBADRN CN(CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)CC(F)(F)F ZINC000568543300 1072409030 /nfs/dbraw/zinc/40/90/30/1072409030.db2.gz FITSUFLXURBZCO-UHFFFAOYSA-N 0 0 445.446 -0.758 20 0 IBADRN Cc1ccc(CN(CCO)C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)o1 ZINC000568544813 1072409100 /nfs/dbraw/zinc/40/91/00/1072409100.db2.gz VIMLNNWSBXBCAK-UHFFFAOYSA-N 0 0 444.492 -0.446 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCCC3)CC1)CC2 ZINC000568545837 1072408997 /nfs/dbraw/zinc/40/89/97/1072408997.db2.gz COWUQJQTSITWMW-DOTOQJQBSA-N 0 0 431.541 -0.580 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCCC3)CC1)CC2 ZINC000568545843 1072408987 /nfs/dbraw/zinc/40/89/87/1072408987.db2.gz COWUQJQTSITWMW-NVXWUHKLSA-N 0 0 431.541 -0.580 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCCC3)CC1)CC2 ZINC000568545844 1072408964 /nfs/dbraw/zinc/40/89/64/1072408964.db2.gz COWUQJQTSITWMW-RDJZCZTQSA-N 0 0 431.541 -0.580 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCCC3)CC1)CC2 ZINC000568545845 1072408992 /nfs/dbraw/zinc/40/89/92/1072408992.db2.gz COWUQJQTSITWMW-WBVHZDCISA-N 0 0 431.541 -0.580 20 0 IBADRN Cc1noc(C)c1CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000568545929 1072408977 /nfs/dbraw/zinc/40/89/77/1072408977.db2.gz DYFDUZYRTOVCEF-UHFFFAOYSA-N 0 0 425.467 -0.388 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cc2ccccc2F)CC1)C(=O)N1CCOCC1 ZINC000568550866 1072409005 /nfs/dbraw/zinc/40/90/05/1072409005.db2.gz DZSVMNBZOYMFCX-GOSISDBHSA-N 0 0 448.495 -0.207 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)Cc2ccccc2F)CC1)C(=O)N1CCOCC1 ZINC000568550869 1072408939 /nfs/dbraw/zinc/40/89/39/1072408939.db2.gz DZSVMNBZOYMFCX-SFHVURJKSA-N 0 0 448.495 -0.207 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)C2=O)n(C)n1 ZINC000568551237 1072409010 /nfs/dbraw/zinc/40/90/10/1072409010.db2.gz SPKOGPIVZMPTAR-INIZCTEOSA-N 0 0 439.476 -0.670 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)C2=O)n(C)n1 ZINC000568551238 1072408924 /nfs/dbraw/zinc/40/89/24/1072408924.db2.gz SPKOGPIVZMPTAR-MRXNPFEDSA-N 0 0 439.476 -0.670 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)N[C@@H](CC)C(=O)N3CCOCC3)CC2)n1 ZINC000568551677 1072409065 /nfs/dbraw/zinc/40/90/65/1072409065.db2.gz WYTFNSJDSNWQAQ-INIZCTEOSA-N 0 0 433.509 -0.118 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)N[C@H](CC)C(=O)N3CCOCC3)CC2)n1 ZINC000568551680 1072409018 /nfs/dbraw/zinc/40/90/18/1072409018.db2.gz WYTFNSJDSNWQAQ-MRXNPFEDSA-N 0 0 433.509 -0.118 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)cn1 ZINC000568552493 1072408914 /nfs/dbraw/zinc/40/89/14/1072408914.db2.gz QHWFGOGBGYQPKX-HNNXBMFYSA-N 0 0 430.509 -0.189 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)cn1 ZINC000568552498 1072409061 /nfs/dbraw/zinc/40/90/61/1072409061.db2.gz QHWFGOGBGYQPKX-OAHLLOKOSA-N 0 0 430.509 -0.189 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)NC[C@H]2COc3ccccc3O2)n1)N1CCCCCC1 ZINC000568552795 1073323160 /nfs/dbraw/zinc/32/31/60/1073323160.db2.gz WRRKTPODZFPAFG-HNNXBMFYSA-N 0 0 442.476 0.575 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)NC[C@@H]2COc3ccccc3O2)n1)N1CCCCCC1 ZINC000568552798 1073323152 /nfs/dbraw/zinc/32/31/52/1073323152.db2.gz WRRKTPODZFPAFG-OAHLLOKOSA-N 0 0 442.476 0.575 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCOC3(CCCCC3)C1)C2 ZINC000568553773 1072409609 /nfs/dbraw/zinc/40/96/09/1072409609.db2.gz ZMTYYEBXGMNACI-HNNXBMFYSA-N 0 0 448.524 -0.527 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCOC3(CCCCC3)C1)C2 ZINC000568553774 1072409621 /nfs/dbraw/zinc/40/96/21/1072409621.db2.gz ZMTYYEBXGMNACI-OAHLLOKOSA-N 0 0 448.524 -0.527 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000568554250 1072409668 /nfs/dbraw/zinc/40/96/68/1072409668.db2.gz RQFFGSQUEYUCPX-GDBMZVCRSA-N 0 0 443.497 -0.031 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000568554251 1072409535 /nfs/dbraw/zinc/40/95/35/1072409535.db2.gz RQFFGSQUEYUCPX-GOEBONIOSA-N 0 0 443.497 -0.031 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000568554252 1072409549 /nfs/dbraw/zinc/40/95/49/1072409549.db2.gz RQFFGSQUEYUCPX-HOCLYGCPSA-N 0 0 443.497 -0.031 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000568554253 1072409657 /nfs/dbraw/zinc/40/96/57/1072409657.db2.gz RQFFGSQUEYUCPX-ZBFHGGJFSA-N 0 0 443.497 -0.031 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)CC2)NC(=O)NC1=O ZINC000568554333 1072409554 /nfs/dbraw/zinc/40/95/54/1072409554.db2.gz BVMMESGVEOURND-APPDUMDISA-N 0 0 447.414 -0.507 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)CC2)NC(=O)NC1=O ZINC000568554335 1072409663 /nfs/dbraw/zinc/40/96/63/1072409663.db2.gz BVMMESGVEOURND-DIFFPNOSSA-N 0 0 447.414 -0.507 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)CC2)NC(=O)NC1=O ZINC000568554336 1072409601 /nfs/dbraw/zinc/40/96/01/1072409601.db2.gz BVMMESGVEOURND-GTNSWQLSSA-N 0 0 447.414 -0.507 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)CC2)NC(=O)NC1=O ZINC000568554337 1072409583 /nfs/dbraw/zinc/40/95/83/1072409583.db2.gz BVMMESGVEOURND-PIGZYNQJSA-N 0 0 447.414 -0.507 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000568555445 1072409637 /nfs/dbraw/zinc/40/96/37/1072409637.db2.gz PHWGHHDBNQBDBY-JTQLQIEISA-N 0 0 426.542 -0.131 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000568555448 1072409467 /nfs/dbraw/zinc/40/94/67/1072409467.db2.gz PHWGHHDBNQBDBY-SNVBAGLBSA-N 0 0 426.542 -0.131 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000568557883 1072409514 /nfs/dbraw/zinc/40/95/14/1072409514.db2.gz WRKCHNIVKLDRNV-GOSISDBHSA-N 0 0 447.511 -0.335 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000568557885 1072409647 /nfs/dbraw/zinc/40/96/47/1072409647.db2.gz WRKCHNIVKLDRNV-SFHVURJKSA-N 0 0 447.511 -0.335 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCC[C@H]1CS(=O)(=O)NC ZINC000568558567 1072409687 /nfs/dbraw/zinc/40/96/87/1072409687.db2.gz WCKUBOWUWWBJMZ-CABCVRRESA-N 0 0 445.563 -0.105 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCC[C@H]1CS(=O)(=O)NC ZINC000568558568 1072410249 /nfs/dbraw/zinc/41/02/49/1072410249.db2.gz WCKUBOWUWWBJMZ-GJZGRUSLSA-N 0 0 445.563 -0.105 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCC[C@@H]1CS(=O)(=O)NC ZINC000568558569 1072410127 /nfs/dbraw/zinc/41/01/27/1072410127.db2.gz WCKUBOWUWWBJMZ-HUUCEWRRSA-N 0 0 445.563 -0.105 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCC[C@@H]1CS(=O)(=O)NC ZINC000568558570 1072410131 /nfs/dbraw/zinc/41/01/31/1072410131.db2.gz WCKUBOWUWWBJMZ-LSDHHAIUSA-N 0 0 445.563 -0.105 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(C(C)C)C(=O)C3)n2)c1C ZINC000568559277 1072410195 /nfs/dbraw/zinc/41/01/95/1072410195.db2.gz ZEFKBXIADMPCDX-UHFFFAOYSA-N 0 0 429.481 0.365 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000568559711 1073309194 /nfs/dbraw/zinc/30/91/94/1073309194.db2.gz OELSRLLHHVHRMR-INIZCTEOSA-N 0 0 449.537 0.042 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000568559712 1073308750 /nfs/dbraw/zinc/30/87/50/1073308750.db2.gz OELSRLLHHVHRMR-MRXNPFEDSA-N 0 0 449.537 0.042 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000568561783 1072410075 /nfs/dbraw/zinc/41/00/75/1072410075.db2.gz MHFHVKZCBDLIFB-UHFFFAOYSA-N 0 0 428.486 -0.357 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccncc1OCC(F)(F)F ZINC000568564774 1072410182 /nfs/dbraw/zinc/41/01/82/1072410182.db2.gz CEMSTYNAXUIUOZ-AWEZNQCLSA-N 0 0 434.415 -0.210 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccncc1OCC(F)(F)F ZINC000568564778 1072410173 /nfs/dbraw/zinc/41/01/73/1072410173.db2.gz CEMSTYNAXUIUOZ-CQSZACIVSA-N 0 0 434.415 -0.210 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NCC2CCC2)cc1OC ZINC000568570996 1072410158 /nfs/dbraw/zinc/41/01/58/1072410158.db2.gz OQUZHKWDUXJOCJ-UHFFFAOYSA-N 0 0 449.551 -0.182 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCCn3cc(CO)nn3)CC2)c1 ZINC000568572893 1072410148 /nfs/dbraw/zinc/41/01/48/1072410148.db2.gz CLHNNZFOIMYLEO-UHFFFAOYSA-N 0 0 436.538 -0.263 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)c1 ZINC000568573331 1072410110 /nfs/dbraw/zinc/41/01/10/1072410110.db2.gz YYIFRIPOOZFAGA-ZDUSSCGKSA-N 0 0 427.508 -0.722 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCc4[nH]cnc4C3)cc2)CC1 ZINC000568575076 1072410138 /nfs/dbraw/zinc/41/01/38/1072410138.db2.gz BCHDHZSYRLWHRQ-HNNXBMFYSA-N 0 0 446.533 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCc4[nH]cnc4C3)cc2)CC1 ZINC000568575077 1072410207 /nfs/dbraw/zinc/41/02/07/1072410207.db2.gz BCHDHZSYRLWHRQ-OAHLLOKOSA-N 0 0 446.533 -0.042 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000568575196 1072410100 /nfs/dbraw/zinc/41/01/00/1072410100.db2.gz RPBRPUPGJVGJPG-AWEZNQCLSA-N 0 0 446.551 -0.664 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000568575200 1072410121 /nfs/dbraw/zinc/41/01/21/1072410121.db2.gz RPBRPUPGJVGJPG-CQSZACIVSA-N 0 0 446.551 -0.664 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(C)CC3)CC1 ZINC000568575278 1072410169 /nfs/dbraw/zinc/41/01/69/1072410169.db2.gz GMWRYTBUEWLDSF-UHFFFAOYSA-N 0 0 437.522 -0.406 20 0 IBADRN O=C(NCc1nnnn1-c1ccccc1)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000568578291 1072410278 /nfs/dbraw/zinc/41/02/78/1072410278.db2.gz CQVKHICLNNTMDJ-UHFFFAOYSA-N 0 0 434.460 -0.151 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc2c(c1)OCC(=O)N2C ZINC000568587150 1072410219 /nfs/dbraw/zinc/41/02/19/1072410219.db2.gz ZQQBUQBQJKAJHK-ZDUSSCGKSA-N 0 0 434.449 -0.200 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)NCC(C)(C)N1CCOCC1 ZINC000568606798 1072410901 /nfs/dbraw/zinc/41/09/01/1072410901.db2.gz LSHXYAWKNBFKOX-UHFFFAOYSA-N 0 0 426.539 -0.220 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1)C(N)=O ZINC000568612400 1072410891 /nfs/dbraw/zinc/41/08/91/1072410891.db2.gz KNVSYPFKPRIPOI-UHFFFAOYSA-N 0 0 444.492 -0.827 20 0 IBADRN CN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000568612517 1072410887 /nfs/dbraw/zinc/41/08/87/1072410887.db2.gz PLXFYCPCXLLLGW-HNNXBMFYSA-N 0 0 431.536 -0.187 20 0 IBADRN CN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000568612518 1072410808 /nfs/dbraw/zinc/41/08/08/1072410808.db2.gz PLXFYCPCXLLLGW-OAHLLOKOSA-N 0 0 431.536 -0.187 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC000568613118 1072410791 /nfs/dbraw/zinc/41/07/91/1072410791.db2.gz MRHKOLQWCDYCEI-JOCHJYFZSA-N 0 0 427.505 -0.420 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCC[C@]2(CNC(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC000568613119 1072410913 /nfs/dbraw/zinc/41/09/13/1072410913.db2.gz MRHKOLQWCDYCEI-QFIPXVFZSA-N 0 0 427.505 -0.420 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000568614072 1072410857 /nfs/dbraw/zinc/41/08/57/1072410857.db2.gz LZBYWMZVDJKOJP-UHFFFAOYSA-N 0 0 445.568 -0.036 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CCC2 ZINC000568614466 1072410812 /nfs/dbraw/zinc/41/08/12/1072410812.db2.gz ITWMUGIKBHXWKB-KRWDZBQOSA-N 0 0 432.525 -0.812 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CCC2 ZINC000568614467 1072410845 /nfs/dbraw/zinc/41/08/45/1072410845.db2.gz ITWMUGIKBHXWKB-QGZVFWFLSA-N 0 0 432.525 -0.812 20 0 IBADRN COCCn1nnc2c1CCN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C2 ZINC000568658603 1072410849 /nfs/dbraw/zinc/41/08/49/1072410849.db2.gz AZZLJQHPWWUVJG-CVEARBPZSA-N 0 0 441.554 -0.523 20 0 IBADRN COCCn1nnc2c1CCN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C2 ZINC000568658604 1072410855 /nfs/dbraw/zinc/41/08/55/1072410855.db2.gz AZZLJQHPWWUVJG-HOTGVXAUSA-N 0 0 441.554 -0.523 20 0 IBADRN COCCn1nnc2c1CCN(CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C2 ZINC000568658605 1072410852 /nfs/dbraw/zinc/41/08/52/1072410852.db2.gz AZZLJQHPWWUVJG-HZPDHXFCSA-N 0 0 441.554 -0.523 20 0 IBADRN COCCn1nnc2c1CCN(CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C2 ZINC000568658606 1072410835 /nfs/dbraw/zinc/41/08/35/1072410835.db2.gz AZZLJQHPWWUVJG-JKSUJKDBSA-N 0 0 441.554 -0.523 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2nc3ccccc3s2)CC1 ZINC000568658772 1072410917 /nfs/dbraw/zinc/41/09/17/1072410917.db2.gz GLVJWCAJSISSJX-UHFFFAOYSA-N 0 0 431.518 -0.085 20 0 IBADRN COCc1ncc(CNC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)c(N)n1 ZINC000568660984 1073358595 /nfs/dbraw/zinc/35/85/95/1073358595.db2.gz ZQMFNOUKVGWLSL-UHFFFAOYSA-N 0 0 448.549 0.136 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCC[C@@](O)(C(=O)N2CCCC2)C1 ZINC000568665020 1072411527 /nfs/dbraw/zinc/41/15/27/1072411527.db2.gz BEHGKKYUXZMYQG-NRFANRHFSA-N 0 0 431.541 -0.243 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCC[C@](O)(C(=O)N2CCCC2)C1 ZINC000568665021 1072411343 /nfs/dbraw/zinc/41/13/43/1072411343.db2.gz BEHGKKYUXZMYQG-OAQYLSRUSA-N 0 0 431.541 -0.243 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)[C@@H](C)C1=O ZINC000568665867 1072411353 /nfs/dbraw/zinc/41/13/53/1072411353.db2.gz ZXTOVWVKOFJOSL-AWEZNQCLSA-N 0 0 440.522 -0.693 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)[C@H](C)C1=O ZINC000568665870 1072411540 /nfs/dbraw/zinc/41/15/40/1072411540.db2.gz ZXTOVWVKOFJOSL-CQSZACIVSA-N 0 0 440.522 -0.693 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000568668906 1072411520 /nfs/dbraw/zinc/41/15/20/1072411520.db2.gz GWGBMUUEGLVPER-UHFFFAOYSA-N 0 0 449.489 -0.675 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000568668989 1072411334 /nfs/dbraw/zinc/41/13/34/1072411334.db2.gz JTOPLJLARNQIFY-UHFFFAOYSA-N 0 0 445.524 -0.185 20 0 IBADRN Cn1cc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c(C(F)(F)F)n1 ZINC000568669723 1072411386 /nfs/dbraw/zinc/41/13/86/1072411386.db2.gz UHSQLXKPNZSRPI-UHFFFAOYSA-N 0 0 440.430 -0.217 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccccc1 ZINC000568673330 1072411322 /nfs/dbraw/zinc/41/13/22/1072411322.db2.gz MSEATTPZQDRIEV-HNNXBMFYSA-N 0 0 437.478 -0.796 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccccc1 ZINC000568673347 1072411380 /nfs/dbraw/zinc/41/13/80/1072411380.db2.gz MSEATTPZQDRIEV-OAHLLOKOSA-N 0 0 437.478 -0.796 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(c2nc(NCCO)nc(NC(C)C)n2)CC1 ZINC000568675688 1072411397 /nfs/dbraw/zinc/41/13/97/1072411397.db2.gz UCXQXCROVFNCDT-UHFFFAOYSA-N 0 0 444.540 -0.291 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cc1OC ZINC000568684049 1072412078 /nfs/dbraw/zinc/41/20/78/1072412078.db2.gz QSDGLPHRBGSOLM-UHFFFAOYSA-N 0 0 449.489 -0.048 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000568685353 1072412160 /nfs/dbraw/zinc/41/21/60/1072412160.db2.gz WALSNOCXSJKUPR-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN Cn1nc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cc1C1CC1 ZINC000568687572 1073307230 /nfs/dbraw/zinc/30/72/30/1073307230.db2.gz HKYBZFAZEKVCCJ-UHFFFAOYSA-N 0 0 425.493 0.486 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000568687631 1072412036 /nfs/dbraw/zinc/41/20/36/1072412036.db2.gz IKYWKIWWSKDPAH-UHFFFAOYSA-N 0 0 436.498 -0.750 20 0 IBADRN Cn1[nH]c([C@H]2CCCN2C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)nc1=N ZINC000568687946 1072412053 /nfs/dbraw/zinc/41/20/53/1072412053.db2.gz VBKWEOQLLYWMCD-BXUZGUMPSA-N 0 0 433.494 -0.408 20 0 IBADRN Cn1[nH]c([C@@H]2CCCN2C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)nc1=N ZINC000568687948 1072412017 /nfs/dbraw/zinc/41/20/17/1072412017.db2.gz VBKWEOQLLYWMCD-FZMZJTMJSA-N 0 0 433.494 -0.408 20 0 IBADRN Cn1[nH]c([C@@H]2CCCN2C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)nc1=N ZINC000568687949 1072411984 /nfs/dbraw/zinc/41/19/84/1072411984.db2.gz VBKWEOQLLYWMCD-RISCZKNCSA-N 0 0 433.494 -0.408 20 0 IBADRN Cn1[nH]c([C@H]2CCCN2C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)nc1=N ZINC000568687954 1072412086 /nfs/dbraw/zinc/41/20/86/1072412086.db2.gz VBKWEOQLLYWMCD-SMDDNHRTSA-N 0 0 433.494 -0.408 20 0 IBADRN CCc1ccc([C@@H]2COCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)o1 ZINC000568693017 1072412152 /nfs/dbraw/zinc/41/21/52/1072412152.db2.gz GDIJIBLYSFQHJQ-HNNXBMFYSA-N 0 0 440.478 -0.034 20 0 IBADRN CCc1ccc([C@H]2COCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)o1 ZINC000568693018 1072412009 /nfs/dbraw/zinc/41/20/09/1072412009.db2.gz GDIJIBLYSFQHJQ-OAHLLOKOSA-N 0 0 440.478 -0.034 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000568693062 1072412032 /nfs/dbraw/zinc/41/20/32/1072412032.db2.gz UDZLHDQCLWXSIV-CYBMUJFWSA-N 0 0 430.552 -0.080 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000568693063 1072412091 /nfs/dbraw/zinc/41/20/91/1072412091.db2.gz UDZLHDQCLWXSIV-ZDUSSCGKSA-N 0 0 430.552 -0.080 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1Cl)C(=O)N1CCN(CCO)CC1 ZINC000568696568 1072412136 /nfs/dbraw/zinc/41/21/36/1072412136.db2.gz MKVYZVXKDSZMBQ-UHFFFAOYSA-N 0 0 430.914 -0.045 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCCC1 ZINC000568697242 1072412023 /nfs/dbraw/zinc/41/20/23/1072412023.db2.gz JNAXCCKQKZQSDQ-UHFFFAOYSA-N 0 0 429.499 -0.988 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CC2)n1 ZINC000568697290 1072412068 /nfs/dbraw/zinc/41/20/68/1072412068.db2.gz MNDDDPAQFRZBEU-ZBFHGGJFSA-N 0 0 431.522 -0.030 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000568699689 1072412101 /nfs/dbraw/zinc/41/21/01/1072412101.db2.gz CNHRUTLDLRZEGA-UHFFFAOYSA-N 0 0 426.495 -0.380 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000568700967 1072412058 /nfs/dbraw/zinc/41/20/58/1072412058.db2.gz MCEMYEQWNRUFBC-UHFFFAOYSA-N 0 0 425.511 -0.052 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000568710302 1072412046 /nfs/dbraw/zinc/41/20/46/1072412046.db2.gz ZHVUHECCIAQLEE-CYBMUJFWSA-N 0 0 433.508 -0.594 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000568710303 1072412761 /nfs/dbraw/zinc/41/27/61/1072412761.db2.gz ZHVUHECCIAQLEE-ZDUSSCGKSA-N 0 0 433.508 -0.594 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CC(=O)NC(=O)C34CCCCC4)cc2)c1=O ZINC000568716285 1072412578 /nfs/dbraw/zinc/41/25/78/1072412578.db2.gz AWOXPRQEHPOVSY-UHFFFAOYSA-N 0 0 426.433 -0.303 20 0 IBADRN NC(=O)c1ccccc1NCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000568736555 1072412769 /nfs/dbraw/zinc/41/27/69/1072412769.db2.gz SKEYFQSSAHHRSW-UHFFFAOYSA-N 0 0 446.533 -0.139 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCCn3cc(CO)nn3)c2)CC1 ZINC000568736598 1072412548 /nfs/dbraw/zinc/41/25/48/1072412548.db2.gz VSPDDNMZWKXUOX-UHFFFAOYSA-N 0 0 437.526 -0.082 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)c1nnc2n1CCCCC2 ZINC000568756968 1073511850 /nfs/dbraw/zinc/51/18/50/1073511850.db2.gz AUUOEUMBGZLWMD-CABCVRRESA-N 0 0 431.541 -0.007 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1)c1nnc2n1CCCCC2 ZINC000568756969 1073512161 /nfs/dbraw/zinc/51/21/61/1073512161.db2.gz AUUOEUMBGZLWMD-HUUCEWRRSA-N 0 0 431.541 -0.007 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCc3ccnc(-n4cccn4)c3)CC2)CC1 ZINC000568774270 1072412618 /nfs/dbraw/zinc/41/26/18/1072412618.db2.gz ACMHEJNFGDTNNH-UHFFFAOYSA-N 0 0 439.520 -0.104 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC(=O)N1C ZINC000568774973 1072412673 /nfs/dbraw/zinc/41/26/73/1072412673.db2.gz OERWJJMPLVDRMA-AWEZNQCLSA-N 0 0 438.506 -0.987 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC(=O)N1C ZINC000568774974 1072412824 /nfs/dbraw/zinc/41/28/24/1072412824.db2.gz OERWJJMPLVDRMA-CQSZACIVSA-N 0 0 438.506 -0.987 20 0 IBADRN CCCCn1c(N)c(N(C)CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000568780689 1072412708 /nfs/dbraw/zinc/41/27/08/1072412708.db2.gz UVUZBNIFDIBPQH-CYBMUJFWSA-N 0 0 445.542 -0.571 20 0 IBADRN CCCCn1c(N)c(N(C)CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c(=O)[nH]c1=O ZINC000568780690 1072412754 /nfs/dbraw/zinc/41/27/54/1072412754.db2.gz UVUZBNIFDIBPQH-ZDUSSCGKSA-N 0 0 445.542 -0.571 20 0 IBADRN O=C(NCCc1cn2ccccc2n1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000568786819 1072412735 /nfs/dbraw/zinc/41/27/35/1072412735.db2.gz OUQUUCOQNLIBDT-UHFFFAOYSA-N 0 0 425.536 -0.512 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC(C(=O)Nc2nncs2)CC1 ZINC000568788181 1072412536 /nfs/dbraw/zinc/41/25/36/1072412536.db2.gz YQYZTNOASUGUBH-UHFFFAOYSA-N 0 0 446.555 -0.497 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)ncn1 ZINC000568790179 1073513695 /nfs/dbraw/zinc/51/36/95/1073513695.db2.gz SYRCGDBVIKOZSW-AWEZNQCLSA-N 0 0 442.480 -0.821 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)ncn1 ZINC000568790180 1073513837 /nfs/dbraw/zinc/51/38/37/1073513837.db2.gz SYRCGDBVIKOZSW-CQSZACIVSA-N 0 0 442.480 -0.821 20 0 IBADRN COCCNc1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000568790421 1073513874 /nfs/dbraw/zinc/51/38/74/1073513874.db2.gz DFZFIUCYRVYFDI-UHFFFAOYSA-N 0 0 428.493 -0.196 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)NC[C@H](C)CNC(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000568791558 1072413583 /nfs/dbraw/zinc/41/35/83/1072413583.db2.gz VZWIWGKBNOZLKK-BTTYYORXSA-N 0 0 442.604 -0.998 20 0 IBADRN CC(CNC(=O)[C@@H](NS(C)(=O)=O)C(C)C)CNC(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000568791559 1072413354 /nfs/dbraw/zinc/41/33/54/1072413354.db2.gz VZWIWGKBNOZLKK-KBPBESRZSA-N 0 0 442.604 -0.998 20 0 IBADRN CC(CNC(=O)[C@H](NS(C)(=O)=O)C(C)C)CNC(=O)[C@H](NS(C)(=O)=O)C(C)C ZINC000568791560 1072413474 /nfs/dbraw/zinc/41/34/74/1072413474.db2.gz VZWIWGKBNOZLKK-ZIAGYGMSSA-N 0 0 442.604 -0.998 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000568795011 1072413588 /nfs/dbraw/zinc/41/35/88/1072413588.db2.gz ZUJFRTIUZDDMKO-ARFHVFGLSA-N 0 0 440.508 -0.274 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000568795014 1072413580 /nfs/dbraw/zinc/41/35/80/1072413580.db2.gz ZUJFRTIUZDDMKO-HRCADAONSA-N 0 0 440.508 -0.274 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000568795015 1072413592 /nfs/dbraw/zinc/41/35/92/1072413592.db2.gz ZUJFRTIUZDDMKO-JYJNAYRXSA-N 0 0 440.508 -0.274 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000568795016 1072413533 /nfs/dbraw/zinc/41/35/33/1072413533.db2.gz ZUJFRTIUZDDMKO-XHSDSOJGSA-N 0 0 440.508 -0.274 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@@H](O)C3)CC2)cc1C ZINC000568796110 1072413565 /nfs/dbraw/zinc/41/35/65/1072413565.db2.gz MSCMNDOIHMVAEZ-HKUYNNGSSA-N 0 0 425.551 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@H](O)C3)CC2)cc1C ZINC000568796111 1072413547 /nfs/dbraw/zinc/41/35/47/1072413547.db2.gz MSCMNDOIHMVAEZ-IEBWSBKVSA-N 0 0 425.551 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@@H](O)C3)CC2)cc1C ZINC000568796112 1072413556 /nfs/dbraw/zinc/41/35/56/1072413556.db2.gz MSCMNDOIHMVAEZ-MJGOQNOKSA-N 0 0 425.551 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@H](O)C3)CC2)cc1C ZINC000568796113 1072413380 /nfs/dbraw/zinc/41/33/80/1072413380.db2.gz MSCMNDOIHMVAEZ-PKOBYXMFSA-N 0 0 425.551 -0.189 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)s1 ZINC000568796839 1073324729 /nfs/dbraw/zinc/32/47/29/1073324729.db2.gz QYEQSDCOFTUECY-LLVKDONJSA-N 0 0 434.544 0.426 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)s1 ZINC000568796840 1073324485 /nfs/dbraw/zinc/32/44/85/1073324485.db2.gz QYEQSDCOFTUECY-NSHDSACASA-N 0 0 434.544 0.426 20 0 IBADRN CO[C@@H](C)c1nc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cs1 ZINC000568797105 1072413489 /nfs/dbraw/zinc/41/34/89/1072413489.db2.gz CBZTYOCDDPWWLE-AWEZNQCLSA-N 0 0 439.538 -0.530 20 0 IBADRN CO[C@H](C)c1nc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cs1 ZINC000568797106 1072413908 /nfs/dbraw/zinc/41/39/08/1072413908.db2.gz CBZTYOCDDPWWLE-CQSZACIVSA-N 0 0 439.538 -0.530 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@H](CNC(N)=O)C3)C2)nc1 ZINC000568798132 1072414026 /nfs/dbraw/zinc/41/40/26/1072414026.db2.gz VMTHPFCUKXPLOQ-CYBMUJFWSA-N 0 0 432.481 -0.530 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@@H](CNC(N)=O)C3)C2)nc1 ZINC000568798133 1072413957 /nfs/dbraw/zinc/41/39/57/1072413957.db2.gz VMTHPFCUKXPLOQ-ZDUSSCGKSA-N 0 0 432.481 -0.530 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)C(=O)N1CCOCC1 ZINC000568800930 1072413977 /nfs/dbraw/zinc/41/39/77/1072413977.db2.gz LMFPTLMVBMSCCK-CABCVRRESA-N 0 0 432.543 -0.940 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)C(=O)N1CCOCC1 ZINC000568800934 1072414053 /nfs/dbraw/zinc/41/40/53/1072414053.db2.gz LMFPTLMVBMSCCK-GJZGRUSLSA-N 0 0 432.543 -0.940 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)C(=O)N1CCOCC1 ZINC000568800936 1072413901 /nfs/dbraw/zinc/41/39/01/1072413901.db2.gz LMFPTLMVBMSCCK-HUUCEWRRSA-N 0 0 432.543 -0.940 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)C(=O)N1CCOCC1 ZINC000568800937 1072413942 /nfs/dbraw/zinc/41/39/42/1072413942.db2.gz LMFPTLMVBMSCCK-LSDHHAIUSA-N 0 0 432.543 -0.940 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ncn(CC(=O)N2CCCCCC2)n1)N1CCCCCC1 ZINC000568803814 1072414036 /nfs/dbraw/zinc/41/40/36/1072414036.db2.gz VEPOXCBRCLKNSD-UHFFFAOYSA-N 0 0 447.540 0.528 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)nc1 ZINC000568804958 1072413986 /nfs/dbraw/zinc/41/39/86/1072413986.db2.gz ILPZVBQIGQMITI-UHFFFAOYSA-N 0 0 435.466 -0.892 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000568805836 1072413927 /nfs/dbraw/zinc/41/39/27/1072413927.db2.gz ZPYSSTLNMHGEIN-INIZCTEOSA-N 0 0 442.524 -0.895 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000568805840 1072413891 /nfs/dbraw/zinc/41/38/91/1072413891.db2.gz ZPYSSTLNMHGEIN-MRXNPFEDSA-N 0 0 442.524 -0.895 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000568807378 1072414018 /nfs/dbraw/zinc/41/40/18/1072414018.db2.gz PWPGYJVQBDSYQN-CVEARBPZSA-N 0 0 442.520 0.166 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000568807397 1073358140 /nfs/dbraw/zinc/35/81/40/1073358140.db2.gz PWPGYJVQBDSYQN-HOTGVXAUSA-N 0 0 442.520 0.166 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000568807399 1072413933 /nfs/dbraw/zinc/41/39/33/1072413933.db2.gz PWPGYJVQBDSYQN-HZPDHXFCSA-N 0 0 442.520 0.166 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000568807762 1073358178 /nfs/dbraw/zinc/35/81/78/1073358178.db2.gz PWPGYJVQBDSYQN-JKSUJKDBSA-N 0 0 442.520 0.166 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000568810533 1072414706 /nfs/dbraw/zinc/41/47/06/1072414706.db2.gz RTOXOFJNYLASCH-UHFFFAOYSA-N 0 0 445.922 -0.171 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000568811220 1072414658 /nfs/dbraw/zinc/41/46/58/1072414658.db2.gz DPKZWQSLABCVKZ-UHFFFAOYSA-N 0 0 440.569 -0.540 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCC(c2nnc3ccccn32)CC1 ZINC000568813921 1072414804 /nfs/dbraw/zinc/41/48/04/1072414804.db2.gz JXLJTSKDNSURIZ-OAHLLOKOSA-N 0 0 434.522 -0.025 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)[C@@H](C)CO ZINC000568814524 1072414646 /nfs/dbraw/zinc/41/46/46/1072414646.db2.gz NMFBXKSPZMFRDX-CAMMJAKZSA-N 0 0 432.521 -0.708 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000568814525 1072414765 /nfs/dbraw/zinc/41/47/65/1072414765.db2.gz NMFBXKSPZMFRDX-KCITZHQASA-N 0 0 432.521 -0.708 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000568814526 1072414651 /nfs/dbraw/zinc/41/46/51/1072414651.db2.gz NMFBXKSPZMFRDX-NEPXVJNWSA-N 0 0 432.521 -0.708 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2)[C@@H](C)CO ZINC000568814527 1072414559 /nfs/dbraw/zinc/41/45/59/1072414559.db2.gz NMFBXKSPZMFRDX-PBWTXFEYSA-N 0 0 432.521 -0.708 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000568818403 1072414769 /nfs/dbraw/zinc/41/47/69/1072414769.db2.gz MJUPMYNTOOOKHY-UHFFFAOYSA-N 0 0 425.442 -0.202 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCc2nnc(N)cc2C1 ZINC000568828689 1073355264 /nfs/dbraw/zinc/35/52/64/1073355264.db2.gz ZYSFLSPMCQFOAR-UHFFFAOYSA-N 0 0 425.536 0.141 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000568829523 1072414792 /nfs/dbraw/zinc/41/47/92/1072414792.db2.gz GXOFTNQJHMSKJE-LLVKDONJSA-N 0 0 426.467 -0.424 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000568829524 1072414543 /nfs/dbraw/zinc/41/45/43/1072414543.db2.gz GXOFTNQJHMSKJE-NSHDSACASA-N 0 0 426.467 -0.424 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000568845889 1072414721 /nfs/dbraw/zinc/41/47/21/1072414721.db2.gz FIBNWDXRSNKOTM-UHFFFAOYSA-N 0 0 431.537 -0.585 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cc(OC)c(OC)c(OC)c2)C1 ZINC000568846224 1072414821 /nfs/dbraw/zinc/41/48/21/1072414821.db2.gz LLVKJHGFIKAFPI-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cc(OC)c(OC)c(OC)c2)C1 ZINC000568846225 1072414610 /nfs/dbraw/zinc/41/46/10/1072414610.db2.gz LLVKJHGFIKAFPI-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN CC(C)(C)Oc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000568851751 1072414783 /nfs/dbraw/zinc/41/47/83/1072414783.db2.gz FSOXNCOPXKTGLQ-UHFFFAOYSA-N 0 0 447.536 -0.122 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000568854270 1072414691 /nfs/dbraw/zinc/41/46/91/1072414691.db2.gz PVFYZRIFUMJKMW-KRWDZBQOSA-N 0 0 445.568 -0.182 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1 ZINC000568854271 1072414815 /nfs/dbraw/zinc/41/48/15/1072414815.db2.gz PVFYZRIFUMJKMW-QGZVFWFLSA-N 0 0 445.568 -0.182 20 0 IBADRN Nc1nc(C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)nc(N2CCOCC2)n1 ZINC000568861312 1072415242 /nfs/dbraw/zinc/41/52/42/1072415242.db2.gz HQKJJRMJELAHKC-UHFFFAOYSA-N 0 0 442.480 -0.187 20 0 IBADRN CN(C)c1nc(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)cc(=O)[nH]1 ZINC000568885530 1072415266 /nfs/dbraw/zinc/41/52/66/1072415266.db2.gz FJMKGOFDQGWLEX-UHFFFAOYSA-N 0 0 447.477 -0.023 20 0 IBADRN COCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000568893053 1072415236 /nfs/dbraw/zinc/41/52/36/1072415236.db2.gz SYDGWRWYNHFRTP-UHFFFAOYSA-N 0 0 434.559 -0.581 20 0 IBADRN CC(C)CSCCCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000568894130 1072415192 /nfs/dbraw/zinc/41/51/92/1072415192.db2.gz DNPVUWAYVVIFNO-UHFFFAOYSA-N 0 0 428.555 -0.589 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)C1 ZINC000568894800 1072415203 /nfs/dbraw/zinc/41/52/03/1072415203.db2.gz OOCXRUDUVZBRCV-MRXNPFEDSA-N 0 0 438.554 -0.112 20 0 IBADRN CO[C@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000568896241 1072415183 /nfs/dbraw/zinc/41/51/83/1072415183.db2.gz PPIBNNLGJPSCNL-CABCVRRESA-N 0 0 427.527 -0.507 20 0 IBADRN CO[C@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000568896242 1072415281 /nfs/dbraw/zinc/41/52/81/1072415281.db2.gz PPIBNNLGJPSCNL-GJZGRUSLSA-N 0 0 427.527 -0.507 20 0 IBADRN CO[C@@H]1CCC[C@@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000568896243 1072415201 /nfs/dbraw/zinc/41/52/01/1072415201.db2.gz PPIBNNLGJPSCNL-HUUCEWRRSA-N 0 0 427.527 -0.507 20 0 IBADRN CO[C@@H]1CCC[C@H]1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000568896244 1072415212 /nfs/dbraw/zinc/41/52/12/1072415212.db2.gz PPIBNNLGJPSCNL-LSDHHAIUSA-N 0 0 427.527 -0.507 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CC[C@H](c3nccn3C)C2)c1 ZINC000568900081 1072415170 /nfs/dbraw/zinc/41/51/70/1072415170.db2.gz YWHHGCHLGMJTSH-INIZCTEOSA-N 0 0 449.533 -0.023 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CC[C@@H](c3nccn3C)C2)c1 ZINC000568900082 1072415215 /nfs/dbraw/zinc/41/52/15/1072415215.db2.gz YWHHGCHLGMJTSH-MRXNPFEDSA-N 0 0 449.533 -0.023 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)c1 ZINC000568906516 1072415259 /nfs/dbraw/zinc/41/52/59/1072415259.db2.gz CESAAYKUDXJZEJ-GFCCVEGCSA-N 0 0 436.412 -0.510 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)c1 ZINC000568906517 1072415311 /nfs/dbraw/zinc/41/53/11/1072415311.db2.gz CESAAYKUDXJZEJ-LBPRGKRZSA-N 0 0 436.412 -0.510 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000568907109 1072415301 /nfs/dbraw/zinc/41/53/01/1072415301.db2.gz POHSTACEABNKNR-ARFHVFGLSA-N 0 0 448.524 -0.579 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000568907121 1072415294 /nfs/dbraw/zinc/41/52/94/1072415294.db2.gz POHSTACEABNKNR-BZUAXINKSA-N 0 0 448.524 -0.579 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000568907123 1072415317 /nfs/dbraw/zinc/41/53/17/1072415317.db2.gz POHSTACEABNKNR-OAGGEKHMSA-N 0 0 448.524 -0.579 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000568907130 1072415248 /nfs/dbraw/zinc/41/52/48/1072415248.db2.gz POHSTACEABNKNR-XHSDSOJGSA-N 0 0 448.524 -0.579 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@H]1CC ZINC000568908275 1072415863 /nfs/dbraw/zinc/41/58/63/1072415863.db2.gz RGWLGTOCEFZPFH-IBGZPJMESA-N 0 0 447.584 -0.326 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@H]1CC ZINC000568908277 1072415678 /nfs/dbraw/zinc/41/56/78/1072415678.db2.gz RGWLGTOCEFZPFH-LJQANCHMSA-N 0 0 447.584 -0.326 20 0 IBADRN O=C(NCC1CCOCC1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000568908297 1072415698 /nfs/dbraw/zinc/41/56/98/1072415698.db2.gz RXOKXMJBLHXZGN-KRWDZBQOSA-N 0 0 432.525 -0.059 20 0 IBADRN O=C(NCC1CCOCC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000568908300 1072415815 /nfs/dbraw/zinc/41/58/15/1072415815.db2.gz RXOKXMJBLHXZGN-QGZVFWFLSA-N 0 0 432.525 -0.059 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000568908565 1072415287 /nfs/dbraw/zinc/41/52/87/1072415287.db2.gz ZBEIQMLOTVXSKM-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NCCCOC)CC1)C1CCOCC1 ZINC000568908879 1072415846 /nfs/dbraw/zinc/41/58/46/1072415846.db2.gz FOGZACSBCIBKRL-AEFFLSMTSA-N 0 0 426.558 -0.007 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NCCCOC)CC1)C1CCOCC1 ZINC000568908880 1072415884 /nfs/dbraw/zinc/41/58/84/1072415884.db2.gz FOGZACSBCIBKRL-FUHWJXTLSA-N 0 0 426.558 -0.007 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NCCCOC)CC1)C1CCOCC1 ZINC000568908881 1072415758 /nfs/dbraw/zinc/41/57/58/1072415758.db2.gz FOGZACSBCIBKRL-SJLPKXTDSA-N 0 0 426.558 -0.007 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NCCCOC)CC1)C1CCOCC1 ZINC000568908882 1072415839 /nfs/dbraw/zinc/41/58/39/1072415839.db2.gz FOGZACSBCIBKRL-WMZOPIPTSA-N 0 0 426.558 -0.007 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000568913095 1072415791 /nfs/dbraw/zinc/41/57/91/1072415791.db2.gz ZLTJJGZSFXECJN-AEVYOOLXSA-N 0 0 428.449 -0.492 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)CC1 ZINC000568913172 1072415911 /nfs/dbraw/zinc/41/59/11/1072415911.db2.gz QMXQISCUQWVAOW-GOSISDBHSA-N 0 0 434.493 -0.284 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)CC1 ZINC000568913173 1072415893 /nfs/dbraw/zinc/41/58/93/1072415893.db2.gz QMXQISCUQWVAOW-SFHVURJKSA-N 0 0 434.493 -0.284 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000568913781 1072415777 /nfs/dbraw/zinc/41/57/77/1072415777.db2.gz ODKXGOZNXSTUIB-UHFFFAOYSA-N 0 0 432.449 -0.608 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCSC[C@@H]1c1nccs1 ZINC000568913891 1072415754 /nfs/dbraw/zinc/41/57/54/1072415754.db2.gz RZUYVJDHXUDFBT-GFCCVEGCSA-N 0 0 445.548 -0.017 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCSC[C@H]1c1nccs1 ZINC000568913892 1072415740 /nfs/dbraw/zinc/41/57/40/1072415740.db2.gz RZUYVJDHXUDFBT-LBPRGKRZSA-N 0 0 445.548 -0.017 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000568914715 1072415804 /nfs/dbraw/zinc/41/58/04/1072415804.db2.gz HEFNWOIKNFGNHE-UHFFFAOYSA-N 0 0 442.563 -0.800 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000568915132 1073523347 /nfs/dbraw/zinc/52/33/47/1073523347.db2.gz OPFCLFKOVSMYQE-UHFFFAOYSA-N 0 0 446.507 -0.234 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCCN(c3cccnn3)CC2)C1=O ZINC000568917908 1072416395 /nfs/dbraw/zinc/41/63/95/1072416395.db2.gz LNAVWKDDDGJLKF-HNNXBMFYSA-N 0 0 426.481 -0.439 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCCN(c3cccnn3)CC2)C1=O ZINC000568917909 1072416282 /nfs/dbraw/zinc/41/62/82/1072416282.db2.gz LNAVWKDDDGJLKF-OAHLLOKOSA-N 0 0 426.481 -0.439 20 0 IBADRN O=S(=O)(CC1Cc2ccccc2C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000568918504 1072416476 /nfs/dbraw/zinc/41/64/76/1072416476.db2.gz IGBAMGOWURTPTA-UHFFFAOYSA-N 0 0 429.564 -0.074 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CC[C@H](S(=O)(=O)NC(C)C)C3)C2)n(C)n1 ZINC000568918700 1073523548 /nfs/dbraw/zinc/52/35/48/1073523548.db2.gz LSBFRLBZRRDJNA-CVEARBPZSA-N 0 0 440.570 -0.258 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CC[C@H](S(=O)(=O)NC(C)C)C3)C2)n(C)n1 ZINC000568918707 1073523463 /nfs/dbraw/zinc/52/34/63/1073523463.db2.gz LSBFRLBZRRDJNA-HOTGVXAUSA-N 0 0 440.570 -0.258 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CC[C@@H](S(=O)(=O)NC(C)C)C3)C2)n(C)n1 ZINC000568918708 1073523536 /nfs/dbraw/zinc/52/35/36/1073523536.db2.gz LSBFRLBZRRDJNA-HZPDHXFCSA-N 0 0 440.570 -0.258 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CC[C@@H](S(=O)(=O)NC(C)C)C3)C2)n(C)n1 ZINC000568918709 1073523504 /nfs/dbraw/zinc/52/35/04/1073523504.db2.gz LSBFRLBZRRDJNA-JKSUJKDBSA-N 0 0 440.570 -0.258 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(c2cc(C)nn2C)C1 ZINC000568919084 1073523416 /nfs/dbraw/zinc/52/34/16/1073523416.db2.gz SBCQZBYNZUGVJC-CABCVRRESA-N 0 0 426.543 -0.646 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(c2cc(C)nn2C)C1 ZINC000568919087 1073523556 /nfs/dbraw/zinc/52/35/56/1073523556.db2.gz SBCQZBYNZUGVJC-GJZGRUSLSA-N 0 0 426.543 -0.646 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(c2cc(C)nn2C)C1 ZINC000568919088 1073523490 /nfs/dbraw/zinc/52/34/90/1073523490.db2.gz SBCQZBYNZUGVJC-HUUCEWRRSA-N 0 0 426.543 -0.646 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(c2cc(C)nn2C)C1 ZINC000568919089 1073523425 /nfs/dbraw/zinc/52/34/25/1073523425.db2.gz SBCQZBYNZUGVJC-LSDHHAIUSA-N 0 0 426.543 -0.646 20 0 IBADRN NC(=O)CCn1ccc(NC(=O)C(=O)Nc2ccccc2S(=O)(=O)N2CCCCC2)n1 ZINC000568923524 1072416419 /nfs/dbraw/zinc/41/64/19/1072416419.db2.gz GQEVGIARSOXCBS-UHFFFAOYSA-N 0 0 448.505 0.510 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(Cc2nccn2C(F)F)CC1)C(=O)N1CCOCC1 ZINC000568924474 1072416312 /nfs/dbraw/zinc/41/63/12/1072416312.db2.gz YXQWLSFMVDJGKP-AWEZNQCLSA-N 0 0 442.467 -0.324 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(Cc2nccn2C(F)F)CC1)C(=O)N1CCOCC1 ZINC000568924478 1072416456 /nfs/dbraw/zinc/41/64/56/1072416456.db2.gz YXQWLSFMVDJGKP-CQSZACIVSA-N 0 0 442.467 -0.324 20 0 IBADRN CCn1cc([C@H]2CN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)CCO2)cn1 ZINC000568925682 1072416486 /nfs/dbraw/zinc/41/64/86/1072416486.db2.gz IPFADIWACTXKGF-DLBZAZTESA-N 0 0 443.508 -0.156 20 0 IBADRN CCn1cc([C@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)CCO2)cn1 ZINC000568925685 1072416361 /nfs/dbraw/zinc/41/63/61/1072416361.db2.gz IPFADIWACTXKGF-IAGOWNOFSA-N 0 0 443.508 -0.156 20 0 IBADRN CCn1cc([C@@H]2CN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)CCO2)cn1 ZINC000568925687 1072416410 /nfs/dbraw/zinc/41/64/10/1072416410.db2.gz IPFADIWACTXKGF-IRXDYDNUSA-N 0 0 443.508 -0.156 20 0 IBADRN CCn1cc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)CCO2)cn1 ZINC000568925689 1072416323 /nfs/dbraw/zinc/41/63/23/1072416323.db2.gz IPFADIWACTXKGF-SJORKVTESA-N 0 0 443.508 -0.156 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC1CCC2(CC1)NC(=O)NC2=O ZINC000568927226 1072416303 /nfs/dbraw/zinc/41/63/03/1072416303.db2.gz GIKLRVOMYDHOMN-JZLYGMAVSA-N 0 0 430.531 -0.533 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC1CCC2(CC1)NC(=O)NC2=O ZINC000568927227 1072416401 /nfs/dbraw/zinc/41/64/01/1072416401.db2.gz GIKLRVOMYDHOMN-UIORMTCPSA-N 0 0 430.531 -0.533 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cn1 ZINC000568928056 1072417119 /nfs/dbraw/zinc/41/71/19/1072417119.db2.gz LPYUBTHMRXCOOE-AWEZNQCLSA-N 0 0 433.556 -0.277 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cn1 ZINC000568928057 1072417059 /nfs/dbraw/zinc/41/70/59/1072417059.db2.gz LPYUBTHMRXCOOE-CQSZACIVSA-N 0 0 433.556 -0.277 20 0 IBADRN C[C@@H](O)CN(CCO)CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000568935762 1072417070 /nfs/dbraw/zinc/41/70/70/1072417070.db2.gz LKEBTYJVGMWEDP-CYBMUJFWSA-N 0 0 435.930 -0.026 20 0 IBADRN C[C@H](O)CN(CCO)CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000568935763 1072417015 /nfs/dbraw/zinc/41/70/15/1072417015.db2.gz LKEBTYJVGMWEDP-ZDUSSCGKSA-N 0 0 435.930 -0.026 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)s1 ZINC000568935836 1072417125 /nfs/dbraw/zinc/41/71/25/1072417125.db2.gz OZMJPZSSZPUMTH-UHFFFAOYSA-N 0 0 438.597 -0.071 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)c1 ZINC000568937831 1072416989 /nfs/dbraw/zinc/41/69/89/1072416989.db2.gz OQLMGLFZIQGZKW-LLVKDONJSA-N 0 0 434.492 -0.051 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@H](CS(N)(=O)=O)C2)c1 ZINC000568937832 1072417085 /nfs/dbraw/zinc/41/70/85/1072417085.db2.gz OQLMGLFZIQGZKW-NSHDSACASA-N 0 0 434.492 -0.051 20 0 IBADRN C[C@@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C[C@@H](C)S1(=O)=O ZINC000568939153 1072417081 /nfs/dbraw/zinc/41/70/81/1072417081.db2.gz HINGAOZRJWOCAK-GHMZBOCLSA-N 0 0 425.554 -0.404 20 0 IBADRN C[C@@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C[C@H](C)S1(=O)=O ZINC000568939157 1072416951 /nfs/dbraw/zinc/41/69/51/1072416951.db2.gz HINGAOZRJWOCAK-PHIMTYICSA-N 0 0 425.554 -0.404 20 0 IBADRN C[C@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)C[C@H](C)S1(=O)=O ZINC000568939159 1072416967 /nfs/dbraw/zinc/41/69/67/1072416967.db2.gz HINGAOZRJWOCAK-QWRGUYRKSA-N 0 0 425.554 -0.404 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC3(CCC3)[C@H]2[C@H]2CCCO2)n1)N1CCOCC1 ZINC000568939899 1072417049 /nfs/dbraw/zinc/41/70/49/1072417049.db2.gz XRANZVWHXLKPQL-CRAIPNDOSA-N 0 0 431.493 0.241 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC3(CCC3)[C@H]2[C@@H]2CCCO2)n1)N1CCOCC1 ZINC000568939901 1072417041 /nfs/dbraw/zinc/41/70/41/1072417041.db2.gz XRANZVWHXLKPQL-MAUKXSAKSA-N 0 0 431.493 0.241 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC3(CCC3)[C@@H]2[C@H]2CCCO2)n1)N1CCOCC1 ZINC000568939903 1072417000 /nfs/dbraw/zinc/41/70/00/1072417000.db2.gz XRANZVWHXLKPQL-QAPCUYQASA-N 0 0 431.493 0.241 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)n1)N1CCOCC1 ZINC000568939906 1073321628 /nfs/dbraw/zinc/32/16/28/1073321628.db2.gz XRANZVWHXLKPQL-YJBOKZPZSA-N 0 0 431.493 0.241 20 0 IBADRN CN(C)CCN(C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12)[C@@H]1CCS(=O)(=O)C1 ZINC000568968912 1072416934 /nfs/dbraw/zinc/41/69/34/1072416934.db2.gz UWYOBFMQFXXHSF-CYBMUJFWSA-N 0 0 443.551 -0.582 20 0 IBADRN CN(C)CCN(C(=O)CNS(=O)(=O)c1c[nH]c2ncccc12)[C@H]1CCS(=O)(=O)C1 ZINC000568968916 1072417147 /nfs/dbraw/zinc/41/71/47/1072417147.db2.gz UWYOBFMQFXXHSF-ZDUSSCGKSA-N 0 0 443.551 -0.582 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1 ZINC000568973012 1072417595 /nfs/dbraw/zinc/41/75/95/1072417595.db2.gz BRUPLARKOQTIKO-INIZCTEOSA-N 0 0 427.509 -0.109 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1 ZINC000568973013 1072417568 /nfs/dbraw/zinc/41/75/68/1072417568.db2.gz BRUPLARKOQTIKO-MRXNPFEDSA-N 0 0 427.509 -0.109 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)N1CCOCC1 ZINC000568973168 1073357330 /nfs/dbraw/zinc/35/73/30/1073357330.db2.gz RPNXDJOGDHRNNF-AWEZNQCLSA-N 0 0 425.559 -0.368 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1)N1CCOCC1 ZINC000568973173 1072416908 /nfs/dbraw/zinc/41/69/08/1072416908.db2.gz RPNXDJOGDHRNNF-CQSZACIVSA-N 0 0 425.559 -0.368 20 0 IBADRN COc1ccc(CNC(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000568978354 1072417686 /nfs/dbraw/zinc/41/76/86/1072417686.db2.gz FSQLBMIVBKEWCR-CYBMUJFWSA-N 0 0 434.540 -0.044 20 0 IBADRN COc1ccc(CNC(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000568978356 1072417697 /nfs/dbraw/zinc/41/76/97/1072417697.db2.gz FSQLBMIVBKEWCR-ZDUSSCGKSA-N 0 0 434.540 -0.044 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)Nc1nnc2ccccn21 ZINC000568981764 1072417653 /nfs/dbraw/zinc/41/76/53/1072417653.db2.gz OLHBBJUQPRGISG-UHFFFAOYSA-N 0 0 430.494 0.398 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000568982629 1072417642 /nfs/dbraw/zinc/41/76/42/1072417642.db2.gz GXGOZLWKEMJTJL-UHFFFAOYSA-N 0 0 429.480 -0.978 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)C1 ZINC000568990192 1072417516 /nfs/dbraw/zinc/41/75/16/1072417516.db2.gz GFZQAAJDDPYWRG-HNNXBMFYSA-N 0 0 431.536 -0.365 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)C1 ZINC000568990194 1072417703 /nfs/dbraw/zinc/41/77/03/1072417703.db2.gz GFZQAAJDDPYWRG-OAHLLOKOSA-N 0 0 431.536 -0.365 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)NC)CC2)cc1F ZINC000568991013 1072417611 /nfs/dbraw/zinc/41/76/11/1072417611.db2.gz PLHMNEOXFGWOMO-UHFFFAOYSA-N 0 0 444.532 -0.642 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@@H]1CCCO1 ZINC000569023775 1072418253 /nfs/dbraw/zinc/41/82/53/1072418253.db2.gz YPCFBUYJKGSTLC-INIZCTEOSA-N 0 0 447.540 -0.134 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@H]1CCCO1 ZINC000569023776 1072418305 /nfs/dbraw/zinc/41/83/05/1072418305.db2.gz YPCFBUYJKGSTLC-MRXNPFEDSA-N 0 0 447.540 -0.134 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)CC2)ncn1 ZINC000569023911 1072417670 /nfs/dbraw/zinc/41/76/70/1072417670.db2.gz CZMXGNUSZNOMQB-UHFFFAOYSA-N 0 0 439.519 -0.085 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)C1 ZINC000569023913 1072417542 /nfs/dbraw/zinc/41/75/42/1072417542.db2.gz DEAPCWYNKAKLCT-CVEARBPZSA-N 0 0 428.555 -0.176 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)C1 ZINC000569023915 1072417484 /nfs/dbraw/zinc/41/74/84/1072417484.db2.gz DEAPCWYNKAKLCT-HOTGVXAUSA-N 0 0 428.555 -0.176 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)C1 ZINC000569023916 1072417693 /nfs/dbraw/zinc/41/76/93/1072417693.db2.gz DEAPCWYNKAKLCT-HZPDHXFCSA-N 0 0 428.555 -0.176 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)C1 ZINC000569023917 1072418259 /nfs/dbraw/zinc/41/82/59/1072418259.db2.gz DEAPCWYNKAKLCT-JKSUJKDBSA-N 0 0 428.555 -0.176 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000569025910 1072418205 /nfs/dbraw/zinc/41/82/05/1072418205.db2.gz DZWFHGHMKZHDFY-UHFFFAOYSA-N 0 0 442.476 -0.434 20 0 IBADRN Cc1nc(NC(=O)C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)nn1C ZINC000569026998 1073349985 /nfs/dbraw/zinc/34/99/85/1073349985.db2.gz XUIDBGQLWFNURD-HNNXBMFYSA-N 0 0 432.456 0.035 20 0 IBADRN Cc1nc(NC(=O)C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)nn1C ZINC000569026999 1073349857 /nfs/dbraw/zinc/34/98/57/1073349857.db2.gz XUIDBGQLWFNURD-OAHLLOKOSA-N 0 0 432.456 0.035 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000569027334 1072418152 /nfs/dbraw/zinc/41/81/52/1072418152.db2.gz LXOKOTSVVMQGGA-CVEARBPZSA-N 0 0 425.507 -0.031 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000569027336 1072418235 /nfs/dbraw/zinc/41/82/35/1072418235.db2.gz LXOKOTSVVMQGGA-HOTGVXAUSA-N 0 0 425.507 -0.031 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000569027337 1072418270 /nfs/dbraw/zinc/41/82/70/1072418270.db2.gz LXOKOTSVVMQGGA-HZPDHXFCSA-N 0 0 425.507 -0.031 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000569027339 1072418231 /nfs/dbraw/zinc/41/82/31/1072418231.db2.gz LXOKOTSVVMQGGA-JKSUJKDBSA-N 0 0 425.507 -0.031 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1)[C@@H](C)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000569029216 1072418314 /nfs/dbraw/zinc/41/83/14/1072418314.db2.gz HWBYYLGUDQONRL-DOMZBBRYSA-N 0 0 436.490 -0.072 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)[C@@H](C)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000569029225 1072418364 /nfs/dbraw/zinc/41/83/64/1072418364.db2.gz HWBYYLGUDQONRL-IUODEOHRSA-N 0 0 436.490 -0.072 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)[C@H](C)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000569029226 1072418380 /nfs/dbraw/zinc/41/83/80/1072418380.db2.gz HWBYYLGUDQONRL-SWLSCSKDSA-N 0 0 436.490 -0.072 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1)[C@H](C)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000569029228 1072418327 /nfs/dbraw/zinc/41/83/27/1072418327.db2.gz HWBYYLGUDQONRL-WFASDCNBSA-N 0 0 436.490 -0.072 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000569029566 1072418335 /nfs/dbraw/zinc/41/83/35/1072418335.db2.gz LLBLDMPIYSEENU-UHFFFAOYSA-N 0 0 436.534 -0.730 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)C2=O)c1 ZINC000569032097 1072418388 /nfs/dbraw/zinc/41/83/88/1072418388.db2.gz CIILDWUTMTWHEN-BLLLJJGKSA-N 0 0 441.506 -0.183 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)C2=O)c1 ZINC000569032098 1072418219 /nfs/dbraw/zinc/41/82/19/1072418219.db2.gz CIILDWUTMTWHEN-LRDDRELGSA-N 0 0 441.506 -0.183 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)C2=O)c1 ZINC000569032099 1072418175 /nfs/dbraw/zinc/41/81/75/1072418175.db2.gz CIILDWUTMTWHEN-MLGOLLRUSA-N 0 0 441.506 -0.183 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)C2=O)c1 ZINC000569032100 1072418245 /nfs/dbraw/zinc/41/82/45/1072418245.db2.gz CIILDWUTMTWHEN-WBMJQRKESA-N 0 0 441.506 -0.183 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000569033299 1072418348 /nfs/dbraw/zinc/41/83/48/1072418348.db2.gz KOHQPFIUTLGLPZ-UHFFFAOYSA-N 0 0 428.497 -0.946 20 0 IBADRN O=C(N[C@@H]1CCO[C@H]1C1CC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000569035158 1072418367 /nfs/dbraw/zinc/41/83/67/1072418367.db2.gz PAVSFCYSWQGLIG-APWZRJJASA-N 0 0 430.509 -0.355 20 0 IBADRN O=C(N[C@H]1CCO[C@H]1C1CC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000569035162 1072418883 /nfs/dbraw/zinc/41/88/83/1072418883.db2.gz PAVSFCYSWQGLIG-LPHOPBHVSA-N 0 0 430.509 -0.355 20 0 IBADRN O=C(N[C@H]1CCO[C@@H]1C1CC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000569035164 1072418785 /nfs/dbraw/zinc/41/87/85/1072418785.db2.gz PAVSFCYSWQGLIG-QFBILLFUSA-N 0 0 430.509 -0.355 20 0 IBADRN O=C(N[C@@H]1CCO[C@@H]1C1CC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000569035167 1072418821 /nfs/dbraw/zinc/41/88/21/1072418821.db2.gz PAVSFCYSWQGLIG-VQIMIIECSA-N 0 0 430.509 -0.355 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000569038119 1072418831 /nfs/dbraw/zinc/41/88/31/1072418831.db2.gz DPWWMTPSJOPDQT-CVEARBPZSA-N 0 0 446.508 -0.636 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000569038120 1072418858 /nfs/dbraw/zinc/41/88/58/1072418858.db2.gz DPWWMTPSJOPDQT-HOTGVXAUSA-N 0 0 446.508 -0.636 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)C1=O ZINC000569038121 1072418902 /nfs/dbraw/zinc/41/89/02/1072418902.db2.gz DPWWMTPSJOPDQT-HZPDHXFCSA-N 0 0 446.508 -0.636 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)C1=O ZINC000569038122 1072418904 /nfs/dbraw/zinc/41/89/04/1072418904.db2.gz DPWWMTPSJOPDQT-JKSUJKDBSA-N 0 0 446.508 -0.636 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000569039077 1072418816 /nfs/dbraw/zinc/41/88/16/1072418816.db2.gz QPSGOTGWUCFRPR-HNNXBMFYSA-N 0 0 425.493 -0.305 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000569039078 1072418763 /nfs/dbraw/zinc/41/87/63/1072418763.db2.gz QPSGOTGWUCFRPR-OAHLLOKOSA-N 0 0 425.493 -0.305 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000569044182 1072418809 /nfs/dbraw/zinc/41/88/09/1072418809.db2.gz UVLBKPDTBRDCNP-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000569044214 1072418894 /nfs/dbraw/zinc/41/88/94/1072418894.db2.gz VWHQMSYWHBIBJH-UHFFFAOYSA-N 0 0 430.483 -0.512 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCc4[nH]cnc4C3)c2)CC1 ZINC000569044362 1072418859 /nfs/dbraw/zinc/41/88/59/1072418859.db2.gz XJDZBCUOIKCJLJ-HNNXBMFYSA-N 0 0 446.533 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCc4[nH]cnc4C3)c2)CC1 ZINC000569044365 1072418876 /nfs/dbraw/zinc/41/88/76/1072418876.db2.gz XJDZBCUOIKCJLJ-OAHLLOKOSA-N 0 0 446.533 -0.042 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC2CCN(c3cc(C)nc(C)n3)CC2)C1 ZINC000569049126 1072419339 /nfs/dbraw/zinc/41/93/39/1072419339.db2.gz XHFNBWZXZYBVGI-INIZCTEOSA-N 0 0 438.554 -0.281 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC2CCN(c3cc(C)nc(C)n3)CC2)C1 ZINC000569049127 1072419463 /nfs/dbraw/zinc/41/94/63/1072419463.db2.gz XHFNBWZXZYBVGI-MRXNPFEDSA-N 0 0 438.554 -0.281 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)C1=O ZINC000569049352 1072419296 /nfs/dbraw/zinc/41/92/96/1072419296.db2.gz YBAHBLRYEONMHX-BFUOFWGJSA-N 0 0 437.474 -0.226 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)C1=O ZINC000569049353 1072419332 /nfs/dbraw/zinc/41/93/32/1072419332.db2.gz YBAHBLRYEONMHX-DJJJIMSYSA-N 0 0 437.474 -0.226 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)C1=O ZINC000569049354 1072419442 /nfs/dbraw/zinc/41/94/42/1072419442.db2.gz YBAHBLRYEONMHX-ORAYPTAESA-N 0 0 437.474 -0.226 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)C1=O ZINC000569049355 1072419491 /nfs/dbraw/zinc/41/94/91/1072419491.db2.gz YBAHBLRYEONMHX-YJYMSZOUSA-N 0 0 437.474 -0.226 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCC[C@H](CNC(N)=O)C3)C2=O)c1 ZINC000569049604 1072419476 /nfs/dbraw/zinc/41/94/76/1072419476.db2.gz YGLBLRASMQBDDX-CXAGYDPISA-N 0 0 447.492 -0.168 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H](CNC(N)=O)C3)C2=O)c1 ZINC000569049605 1072419404 /nfs/dbraw/zinc/41/94/04/1072419404.db2.gz YGLBLRASMQBDDX-DYVFJYSZSA-N 0 0 447.492 -0.168 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H](CNC(N)=O)C3)C2=O)c1 ZINC000569049606 1072419388 /nfs/dbraw/zinc/41/93/88/1072419388.db2.gz YGLBLRASMQBDDX-GUYCJALGSA-N 0 0 447.492 -0.168 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCC[C@@H](CNC(N)=O)C3)C2=O)c1 ZINC000569049607 1072419486 /nfs/dbraw/zinc/41/94/86/1072419486.db2.gz YGLBLRASMQBDDX-SUMWQHHRSA-N 0 0 447.492 -0.168 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCn4ccnc4C3)CC2)C1 ZINC000569050577 1072419522 /nfs/dbraw/zinc/41/95/22/1072419522.db2.gz DGOOMEVFXCVNSO-FGTMMUONSA-N 0 0 430.553 -0.037 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCn4ccnc4C3)CC2)C1 ZINC000569050582 1072419361 /nfs/dbraw/zinc/41/93/61/1072419361.db2.gz DGOOMEVFXCVNSO-KSZLIROESA-N 0 0 430.553 -0.037 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCn4ccnc4C3)CC2)C1 ZINC000569050586 1072419425 /nfs/dbraw/zinc/41/94/25/1072419425.db2.gz DGOOMEVFXCVNSO-KURKYZTESA-N 0 0 430.553 -0.037 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCn4ccnc4C3)CC2)C1 ZINC000569050590 1072419448 /nfs/dbraw/zinc/41/94/48/1072419448.db2.gz DGOOMEVFXCVNSO-KZNAEPCWSA-N 0 0 430.553 -0.037 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC2CCN(c3cc(C)[nH]n3)CC2)CC1 ZINC000569052852 1072419513 /nfs/dbraw/zinc/41/95/13/1072419513.db2.gz YYYUYZLQENRMFO-UHFFFAOYSA-N 0 0 433.557 -0.184 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cn(C2CCOCC2)nn1 ZINC000569056019 1072419411 /nfs/dbraw/zinc/41/94/11/1072419411.db2.gz ZFDHKYMFRRRJJG-UHFFFAOYSA-N 0 0 449.537 -0.094 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccn(-c2ncccc2C(F)(F)F)n1 ZINC000569056960 1072419937 /nfs/dbraw/zinc/41/99/37/1072419937.db2.gz GQQOBKTZMOQUPQ-UHFFFAOYSA-N 0 0 434.400 0.232 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCc1ccc(OCCOC)nc1 ZINC000569060723 1072420096 /nfs/dbraw/zinc/42/00/96/1072420096.db2.gz UWODHVPTLBMUSL-HNNXBMFYSA-N 0 0 428.511 -0.058 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCc1ccc(OCCOC)nc1 ZINC000569060724 1072419874 /nfs/dbraw/zinc/41/98/74/1072419874.db2.gz UWODHVPTLBMUSL-OAHLLOKOSA-N 0 0 428.511 -0.058 20 0 IBADRN C[C@@H]1CN(CCNC(=O)CC2(C(=O)NCCN3CCO[C@@H](C)C3)CCOCC2)CCO1 ZINC000569065338 1072419893 /nfs/dbraw/zinc/41/98/93/1072419893.db2.gz WCPYOGGQTOGOLI-MOPGFXCFSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@H]1CN(CCNC(=O)CC2(C(=O)NCCN3CCO[C@@H](C)C3)CCOCC2)CCO1 ZINC000569065339 1072419993 /nfs/dbraw/zinc/41/99/93/1072419993.db2.gz WCPYOGGQTOGOLI-OALUTQOASA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C2(CC(=O)NCCN3CCO[C@@H](C)C3)CCOCC2)CCO1 ZINC000569065340 1072420080 /nfs/dbraw/zinc/42/00/80/1072420080.db2.gz WCPYOGGQTOGOLI-RBUKOAKNSA-N 0 0 440.585 -0.153 20 0 IBADRN C[C@@H]1CN(CCNC(=O)CC2(C(=O)NCCN3CCO[C@H](C)C3)CCOCC2)CCO1 ZINC000569065341 1072419986 /nfs/dbraw/zinc/41/99/86/1072419986.db2.gz WCPYOGGQTOGOLI-RTBURBONSA-N 0 0 440.585 -0.153 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N[C@@H](CN2CCOCC2)C(=O)OC)c1 ZINC000569065713 1072420023 /nfs/dbraw/zinc/42/00/23/1072420023.db2.gz DAPVGIUXSVMBDR-INIZCTEOSA-N 0 0 430.479 -0.228 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N[C@H](CN2CCOCC2)C(=O)OC)c1 ZINC000569065714 1072420046 /nfs/dbraw/zinc/42/00/46/1072420046.db2.gz DAPVGIUXSVMBDR-MRXNPFEDSA-N 0 0 430.479 -0.228 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)CC2=O)c1 ZINC000569090069 1072419961 /nfs/dbraw/zinc/41/99/61/1072419961.db2.gz SNMXNBAZUJJFDI-BXWFABGCSA-N 0 0 441.488 -0.115 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)CC2=O)c1 ZINC000569090070 1072420043 /nfs/dbraw/zinc/42/00/43/1072420043.db2.gz SNMXNBAZUJJFDI-FCEWJHQRSA-N 0 0 441.488 -0.115 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCOCC4)n3)CC2)n1 ZINC000569090285 1072419946 /nfs/dbraw/zinc/41/99/46/1072419946.db2.gz YLWQOAIPPVBPOP-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN O=C(CN1CCC(NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)CC1)Nc1ccccc1 ZINC000569092098 1072419972 /nfs/dbraw/zinc/41/99/72/1072419972.db2.gz JHJQIHYAXKEVBO-QZTJIDSGSA-N 0 0 427.505 -0.057 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC(n2ccnc2)CC1)N1CCN(c2ncccn2)CC1 ZINC000569097787 1073530780 /nfs/dbraw/zinc/53/07/80/1073530780.db2.gz XROKRXPKCFHCAP-UHFFFAOYSA-N 0 0 440.508 -0.308 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)N1CCOCC1 ZINC000569108915 1072419999 /nfs/dbraw/zinc/41/99/99/1072419999.db2.gz RKXRCXMXWYPSDM-UHFFFAOYSA-N 0 0 446.552 -0.537 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1=O ZINC000569124864 1072420050 /nfs/dbraw/zinc/42/00/50/1072420050.db2.gz FXZVUWNQNWGGCU-UHFFFAOYSA-N 0 0 437.478 -0.630 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CC2)CC1 ZINC000569127477 1073534789 /nfs/dbraw/zinc/53/47/89/1073534789.db2.gz DRBPJWVVJCVAFW-MUUNZHRXSA-N 0 0 448.611 -0.333 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCC2([S@](C)=O)CC2)CC1 ZINC000569127483 1073534986 /nfs/dbraw/zinc/53/49/86/1073534986.db2.gz DRBPJWVVJCVAFW-NDEPHWFRSA-N 0 0 448.611 -0.333 20 0 IBADRN O=C(CN1CCCN(S(=O)(=O)c2cccc3cnccc32)CC1)N1CCNC(=O)C1 ZINC000569131053 1072420031 /nfs/dbraw/zinc/42/00/31/1072420031.db2.gz PRHYRKSRKXQTFV-UHFFFAOYSA-N 0 0 431.518 -0.110 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000569131661 1072420037 /nfs/dbraw/zinc/42/00/37/1072420037.db2.gz ZGVQURCDXNUPTO-UHFFFAOYSA-N 0 0 431.415 -0.081 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)[C@@H](C)C(N)=O ZINC000569132919 1072420721 /nfs/dbraw/zinc/42/07/21/1072420721.db2.gz LBWNXHZEBZWOSY-AWEZNQCLSA-N 0 0 430.502 -0.519 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)[C@H](C)C(N)=O ZINC000569132920 1072420803 /nfs/dbraw/zinc/42/08/03/1072420803.db2.gz LBWNXHZEBZWOSY-CQSZACIVSA-N 0 0 430.502 -0.519 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCc2cn3ccccc3n2)CC1 ZINC000569133486 1072420697 /nfs/dbraw/zinc/42/06/97/1072420697.db2.gz GOQBSYOZUOXKPH-KRWDZBQOSA-N 0 0 444.536 -0.322 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCc2cn3ccccc3n2)CC1 ZINC000569133487 1072420716 /nfs/dbraw/zinc/42/07/16/1072420716.db2.gz GOQBSYOZUOXKPH-QGZVFWFLSA-N 0 0 444.536 -0.322 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1S(=O)(=O)N(C)C ZINC000569135095 1072420668 /nfs/dbraw/zinc/42/06/68/1072420668.db2.gz HZRIZUSFLUUNDK-CQSZACIVSA-N 0 0 448.567 -0.054 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1)S(C)(=O)=O ZINC000569136901 1073535389 /nfs/dbraw/zinc/53/53/89/1073535389.db2.gz KIDKVGGDCRQECE-GXTWGEPZSA-N 0 0 449.526 -0.356 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1)S(C)(=O)=O ZINC000569136904 1072420744 /nfs/dbraw/zinc/42/07/44/1072420744.db2.gz KIDKVGGDCRQECE-JSGCOSHPSA-N 0 0 449.526 -0.356 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1)S(C)(=O)=O ZINC000569136905 1072420661 /nfs/dbraw/zinc/42/06/61/1072420661.db2.gz KIDKVGGDCRQECE-OCCSQVGLSA-N 0 0 449.526 -0.356 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1)S(C)(=O)=O ZINC000569136906 1072420793 /nfs/dbraw/zinc/42/07/93/1072420793.db2.gz KIDKVGGDCRQECE-TZMCWYRMSA-N 0 0 449.526 -0.356 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Sc1ccccc1 ZINC000569142814 1072420677 /nfs/dbraw/zinc/42/06/77/1072420677.db2.gz GJULNENVLDMZLN-AWEZNQCLSA-N 0 0 446.533 -0.228 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Sc1ccccc1 ZINC000569142815 1072420648 /nfs/dbraw/zinc/42/06/48/1072420648.db2.gz GJULNENVLDMZLN-CQSZACIVSA-N 0 0 446.533 -0.228 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000569143739 1072420799 /nfs/dbraw/zinc/42/07/99/1072420799.db2.gz JLDVMQBTGRIJHA-INIZCTEOSA-N 0 0 428.555 -0.222 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000569143744 1072420748 /nfs/dbraw/zinc/42/07/48/1072420748.db2.gz JLDVMQBTGRIJHA-MRXNPFEDSA-N 0 0 428.555 -0.222 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NC[C@@H]3CCN(CC(F)(F)F)C3)CC2)CC1 ZINC000569144307 1072420625 /nfs/dbraw/zinc/42/06/25/1072420625.db2.gz CEVHFNVKWKZYPS-HNNXBMFYSA-N 0 0 447.502 -0.001 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NC[C@H]3CCN(CC(F)(F)F)C3)CC2)CC1 ZINC000569144318 1072421130 /nfs/dbraw/zinc/42/11/30/1072421130.db2.gz CEVHFNVKWKZYPS-OAHLLOKOSA-N 0 0 447.502 -0.001 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3C[C@H](CO)C[C@H](OCCOC)C3)CC2)ncn1 ZINC000569148015 1072421217 /nfs/dbraw/zinc/42/12/17/1072421217.db2.gz BHKXFBLORVDMIV-APWZRJJASA-N 0 0 449.552 -0.004 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3C[C@@H](CO)C[C@H](OCCOC)C3)CC2)ncn1 ZINC000569148016 1072421245 /nfs/dbraw/zinc/42/12/45/1072421245.db2.gz BHKXFBLORVDMIV-LPHOPBHVSA-N 0 0 449.552 -0.004 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3C[C@H](OCCOC)C[C@H](CO)C3)CC2)ncn1 ZINC000569148017 1072421237 /nfs/dbraw/zinc/42/12/37/1072421237.db2.gz BHKXFBLORVDMIV-QFBILLFUSA-N 0 0 449.552 -0.004 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3C[C@H](CO)C[C@@H](OCCOC)C3)CC2)ncn1 ZINC000569148018 1072421239 /nfs/dbraw/zinc/42/12/39/1072421239.db2.gz BHKXFBLORVDMIV-VQIMIIECSA-N 0 0 449.552 -0.004 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)CC2)nc(C)n1 ZINC000569148102 1072421186 /nfs/dbraw/zinc/42/11/86/1072421186.db2.gz FHFOGPDKLGXKIL-UHFFFAOYSA-N 0 0 440.508 -0.213 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(Cl)cc1 ZINC000569149511 1072421213 /nfs/dbraw/zinc/42/12/13/1072421213.db2.gz PERHPLIPKWODQE-HNNXBMFYSA-N 0 0 435.872 -0.499 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(Cl)cc1 ZINC000569149515 1072421143 /nfs/dbraw/zinc/42/11/43/1072421143.db2.gz PERHPLIPKWODQE-OAHLLOKOSA-N 0 0 435.872 -0.499 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@](C)(c3cnn(C)c3)C2)cn1 ZINC000569151619 1072421242 /nfs/dbraw/zinc/42/12/42/1072421242.db2.gz QNKOJVXUFMESGU-MMOPVJDHSA-N 0 0 443.508 -0.153 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@@](C)(c3cnn(C)c3)C2)cn1 ZINC000569151620 1072421171 /nfs/dbraw/zinc/42/11/71/1072421171.db2.gz QNKOJVXUFMESGU-PLMTUMEDSA-N 0 0 443.508 -0.153 20 0 IBADRN CN1CCN(C(=O)c2ccc(-c3noc(CN4CCN(S(C)(=O)=O)CC4)n3)cn2)CC1 ZINC000569153376 1072421203 /nfs/dbraw/zinc/42/12/03/1072421203.db2.gz VQBSAUPNKRMPJA-UHFFFAOYSA-N 0 0 449.537 -0.404 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000569156029 1072421825 /nfs/dbraw/zinc/42/18/25/1072421825.db2.gz PEIWCSUTYLHONN-UHFFFAOYSA-N 0 0 429.456 -0.188 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCCN(c3cccnn3)CC2)(N2CCOCC2)C1 ZINC000569156320 1072421244 /nfs/dbraw/zinc/42/12/44/1072421244.db2.gz ALUJVSMDPJWBOY-UHFFFAOYSA-N 0 0 446.552 -0.099 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000569156424 1072421235 /nfs/dbraw/zinc/42/12/35/1072421235.db2.gz ZPMITSQNFLZRBU-KBPBESRZSA-N 0 0 441.410 -0.032 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000569156426 1072421220 /nfs/dbraw/zinc/42/12/20/1072421220.db2.gz ZPMITSQNFLZRBU-KGLIPLIRSA-N 0 0 441.410 -0.032 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000569156427 1072421233 /nfs/dbraw/zinc/42/12/33/1072421233.db2.gz ZPMITSQNFLZRBU-UONOGXRCSA-N 0 0 441.410 -0.032 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000569156428 1072421156 /nfs/dbraw/zinc/42/11/56/1072421156.db2.gz ZPMITSQNFLZRBU-ZIAGYGMSSA-N 0 0 441.410 -0.032 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)C1=O ZINC000569156836 1072421680 /nfs/dbraw/zinc/42/16/80/1072421680.db2.gz ROHFPFNYOBCXLZ-DLBZAZTESA-N 0 0 432.525 -0.162 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)C1=O ZINC000569156837 1072421853 /nfs/dbraw/zinc/42/18/53/1072421853.db2.gz ROHFPFNYOBCXLZ-IAGOWNOFSA-N 0 0 432.525 -0.162 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)C1=O ZINC000569156838 1072421847 /nfs/dbraw/zinc/42/18/47/1072421847.db2.gz ROHFPFNYOBCXLZ-IRXDYDNUSA-N 0 0 432.525 -0.162 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)C1=O ZINC000569156839 1072421800 /nfs/dbraw/zinc/42/18/00/1072421800.db2.gz ROHFPFNYOBCXLZ-SJORKVTESA-N 0 0 432.525 -0.162 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C(=O)N2CCOCC2)C(C)C)cc1OC ZINC000569160242 1072421841 /nfs/dbraw/zinc/42/18/41/1072421841.db2.gz DOJMIYXKGHTGIN-GOSISDBHSA-N 0 0 443.522 -0.018 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)cc1OC ZINC000569160245 1072421742 /nfs/dbraw/zinc/42/17/42/1072421742.db2.gz DOJMIYXKGHTGIN-SFHVURJKSA-N 0 0 443.522 -0.018 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)[C@@H](C)CS(=O)(=O)CC ZINC000569161538 1072421805 /nfs/dbraw/zinc/42/18/05/1072421805.db2.gz HWYPBVCSUDPRGP-KBPBESRZSA-N 0 0 427.527 -0.297 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)[C@H](C)CS(=O)(=O)CC ZINC000569161539 1072421810 /nfs/dbraw/zinc/42/18/10/1072421810.db2.gz HWYPBVCSUDPRGP-KGLIPLIRSA-N 0 0 427.527 -0.297 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)[C@@H](C)CS(=O)(=O)CC ZINC000569161540 1072421776 /nfs/dbraw/zinc/42/17/76/1072421776.db2.gz HWYPBVCSUDPRGP-UONOGXRCSA-N 0 0 427.527 -0.297 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)[C@H](C)CS(=O)(=O)CC ZINC000569161541 1072421711 /nfs/dbraw/zinc/42/17/11/1072421711.db2.gz HWYPBVCSUDPRGP-ZIAGYGMSSA-N 0 0 427.527 -0.297 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c(OC)c1 ZINC000569162629 1072421788 /nfs/dbraw/zinc/42/17/88/1072421788.db2.gz UNDPIDHDMSGOLQ-UHFFFAOYSA-N 0 0 443.460 -0.022 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)Cc2ccccc2C)CC1)C(=O)N1CCOCC1 ZINC000569163971 1072421762 /nfs/dbraw/zinc/42/17/62/1072421762.db2.gz WMNIPQDIZSTGLD-IBGZPJMESA-N 0 0 444.532 -0.038 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cc2ccccc2C)CC1)C(=O)N1CCOCC1 ZINC000569163973 1072421783 /nfs/dbraw/zinc/42/17/83/1072421783.db2.gz WMNIPQDIZSTGLD-LJQANCHMSA-N 0 0 444.532 -0.038 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2cnn(CC(F)(F)F)c2)CC1 ZINC000569166109 1072421836 /nfs/dbraw/zinc/42/18/36/1072421836.db2.gz CTQCNLUGULZZBD-UHFFFAOYSA-N 0 0 439.398 -0.096 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000569166120 1072421755 /nfs/dbraw/zinc/42/17/55/1072421755.db2.gz DBHZJEUVVWDXKC-DUZHOBMZSA-N 0 0 431.492 -0.539 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000569166121 1072421700 /nfs/dbraw/zinc/42/17/00/1072421700.db2.gz DBHZJEUVVWDXKC-PXTQAZKISA-N 0 0 431.492 -0.539 20 0 IBADRN CC(C)(C)Oc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000569167878 1072421659 /nfs/dbraw/zinc/42/16/59/1072421659.db2.gz KCJPUAYKXVZLSK-UHFFFAOYSA-N 0 0 431.493 -0.176 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3C(=O)NC)CC2)s1 ZINC000569169557 1072421815 /nfs/dbraw/zinc/42/18/15/1072421815.db2.gz SQSBUNBXVBORLD-CHWSQXEVSA-N 0 0 437.522 -0.001 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@H]3CCC[C@H]3C(=O)NC)CC2)s1 ZINC000569169558 1072421724 /nfs/dbraw/zinc/42/17/24/1072421724.db2.gz SQSBUNBXVBORLD-OLZOCXBDSA-N 0 0 437.522 -0.001 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3C(=O)NC)CC2)s1 ZINC000569169559 1072422342 /nfs/dbraw/zinc/42/23/42/1072422342.db2.gz SQSBUNBXVBORLD-QWHCGFSZSA-N 0 0 437.522 -0.001 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H]3C(=O)NC)CC2)s1 ZINC000569169560 1072422327 /nfs/dbraw/zinc/42/23/27/1072422327.db2.gz SQSBUNBXVBORLD-STQMWFEESA-N 0 0 437.522 -0.001 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@H]2CCc3nc(COC)nn3C2)C1=O ZINC000569170621 1072422329 /nfs/dbraw/zinc/42/23/29/1072422329.db2.gz REOVUSAIONREKH-KBPBESRZSA-N 0 0 444.496 -0.616 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCc3nc(COC)nn3C2)C1=O ZINC000569170622 1072422344 /nfs/dbraw/zinc/42/23/44/1072422344.db2.gz REOVUSAIONREKH-KGLIPLIRSA-N 0 0 444.496 -0.616 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCc3nc(COC)nn3C2)C1=O ZINC000569170623 1072422299 /nfs/dbraw/zinc/42/22/99/1072422299.db2.gz REOVUSAIONREKH-UONOGXRCSA-N 0 0 444.496 -0.616 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCc3nc(COC)nn3C2)C1=O ZINC000569170624 1072422339 /nfs/dbraw/zinc/42/23/39/1072422339.db2.gz REOVUSAIONREKH-ZIAGYGMSSA-N 0 0 444.496 -0.616 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)CC1 ZINC000569172560 1072422285 /nfs/dbraw/zinc/42/22/85/1072422285.db2.gz ZPFRKQXNGKXMPX-BHIYHBOVSA-N 0 0 434.537 -0.547 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)CC1 ZINC000569172561 1072422257 /nfs/dbraw/zinc/42/22/57/1072422257.db2.gz ZPFRKQXNGKXMPX-QRQLOZEOSA-N 0 0 434.537 -0.547 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)CC1 ZINC000569172562 1072422320 /nfs/dbraw/zinc/42/23/20/1072422320.db2.gz ZPFRKQXNGKXMPX-QXAKKESOSA-N 0 0 434.537 -0.547 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)CC1 ZINC000569172563 1072422277 /nfs/dbraw/zinc/42/22/77/1072422277.db2.gz ZPFRKQXNGKXMPX-UHOSZYNNSA-N 0 0 434.537 -0.547 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000569172786 1072422221 /nfs/dbraw/zinc/42/22/21/1072422221.db2.gz QKSIMZULXMURKK-UHFFFAOYSA-N 0 0 441.466 -0.666 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)CC1 ZINC000569173308 1072422331 /nfs/dbraw/zinc/42/23/31/1072422331.db2.gz OGJPGGRQHJTWBR-HNNXBMFYSA-N 0 0 435.529 -0.959 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)CC1 ZINC000569173309 1072422292 /nfs/dbraw/zinc/42/22/92/1072422292.db2.gz OGJPGGRQHJTWBR-OAHLLOKOSA-N 0 0 435.529 -0.959 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@H](n3cc(Cl)cn3)C2)n1)N1CCOCC1 ZINC000569176022 1072422346 /nfs/dbraw/zinc/42/23/46/1072422346.db2.gz BNHLWADGZHOZKU-AWEZNQCLSA-N 0 0 435.872 0.004 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@@H](n3cc(Cl)cn3)C2)n1)N1CCOCC1 ZINC000569176023 1072422323 /nfs/dbraw/zinc/42/23/23/1072422323.db2.gz BNHLWADGZHOZKU-CQSZACIVSA-N 0 0 435.872 0.004 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC000569178201 1072422349 /nfs/dbraw/zinc/42/23/49/1072422349.db2.gz YKGWBZMMCGJLGS-UHFFFAOYSA-N 0 0 446.473 -0.068 20 0 IBADRN C[C@H](NC(=O)N1CCN(CCS(N)(=O)=O)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000569191259 1072422307 /nfs/dbraw/zinc/42/23/07/1072422307.db2.gz UGMZJWXYBCXWDW-HKUYNNGSSA-N 0 0 439.582 -0.108 20 0 IBADRN C[C@@H](NC(=O)N1CCN(CCS(N)(=O)=O)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000569191272 1072422334 /nfs/dbraw/zinc/42/23/34/1072422334.db2.gz UGMZJWXYBCXWDW-IEBWSBKVSA-N 0 0 439.582 -0.108 20 0 IBADRN C[C@@H](NC(=O)N1CCN(CCS(N)(=O)=O)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000569191273 1072422873 /nfs/dbraw/zinc/42/28/73/1072422873.db2.gz UGMZJWXYBCXWDW-MJGOQNOKSA-N 0 0 439.582 -0.108 20 0 IBADRN C[C@H](NC(=O)N1CCN(CCS(N)(=O)=O)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000569191274 1072422951 /nfs/dbraw/zinc/42/29/51/1072422951.db2.gz UGMZJWXYBCXWDW-PKOBYXMFSA-N 0 0 439.582 -0.108 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000569208007 1072422993 /nfs/dbraw/zinc/42/29/93/1072422993.db2.gz QUZIDEGJWCFTND-UHFFFAOYSA-N 0 0 441.366 -0.090 20 0 IBADRN O=C(CCC(=O)N1C[C@@H]2COC[C@H](C1)O2)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000569212055 1072422906 /nfs/dbraw/zinc/42/29/06/1072422906.db2.gz NVUDFPCWTXSGME-CALCHBBNSA-N 0 0 437.518 -0.074 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCC(CO)CC2)CC1 ZINC000569212173 1072423072 /nfs/dbraw/zinc/42/30/72/1072423072.db2.gz DSXDEJQDHWTTSV-UHFFFAOYSA-N 0 0 438.550 -0.530 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000569212317 1072423036 /nfs/dbraw/zinc/42/30/36/1072423036.db2.gz NOXZMLJPIZKWPF-UHFFFAOYSA-N 0 0 449.533 -0.180 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000569217669 1072422917 /nfs/dbraw/zinc/42/29/17/1072422917.db2.gz JGYODPDGAVREKH-UHFFFAOYSA-N 0 0 438.488 -0.396 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CCN1CCOC ZINC000569222972 1072422656 /nfs/dbraw/zinc/42/26/56/1072422656.db2.gz VEWCDKWSXMYFHK-DLBZAZTESA-N 0 0 434.541 -0.009 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CCN1CCOC ZINC000569222973 1072422976 /nfs/dbraw/zinc/42/29/76/1072422976.db2.gz VEWCDKWSXMYFHK-IAGOWNOFSA-N 0 0 434.541 -0.009 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CCN1CCOC ZINC000569222975 1072422764 /nfs/dbraw/zinc/42/27/64/1072422764.db2.gz VEWCDKWSXMYFHK-IRXDYDNUSA-N 0 0 434.541 -0.009 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CCN1CCOC ZINC000569222977 1072422837 /nfs/dbraw/zinc/42/28/37/1072422837.db2.gz VEWCDKWSXMYFHK-SJORKVTESA-N 0 0 434.541 -0.009 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000569225129 1072422933 /nfs/dbraw/zinc/42/29/33/1072422933.db2.gz GVEIIBXTVHLXQT-JTQLQIEISA-N 0 0 425.433 -0.063 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000569225134 1072423480 /nfs/dbraw/zinc/42/34/80/1072423480.db2.gz GVEIIBXTVHLXQT-SNVBAGLBSA-N 0 0 425.433 -0.063 20 0 IBADRN COC(=O)[C@H](CF)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000569230118 1072423555 /nfs/dbraw/zinc/42/35/55/1072423555.db2.gz OXDKMGIAWPJCRG-HNNXBMFYSA-N 0 0 429.470 -0.073 20 0 IBADRN COC(=O)[C@@H](CF)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000569230120 1072423565 /nfs/dbraw/zinc/42/35/65/1072423565.db2.gz OXDKMGIAWPJCRG-OAHLLOKOSA-N 0 0 429.470 -0.073 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000569245315 1072423549 /nfs/dbraw/zinc/42/35/49/1072423549.db2.gz RFUHPTIOGUXHRX-HNNXBMFYSA-N 0 0 431.536 -0.495 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000569245317 1072423490 /nfs/dbraw/zinc/42/34/90/1072423490.db2.gz RFUHPTIOGUXHRX-OAHLLOKOSA-N 0 0 431.536 -0.495 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)C[C@H]2CO)cn1 ZINC000569251282 1072423465 /nfs/dbraw/zinc/42/34/65/1072423465.db2.gz UYTDVIDUGIGLLB-FHWLQOOXSA-N 0 0 425.489 -0.128 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)C[C@H]2CO)cn1 ZINC000569251283 1072423458 /nfs/dbraw/zinc/42/34/58/1072423458.db2.gz UYTDVIDUGIGLLB-GBESFXJTSA-N 0 0 425.489 -0.128 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3(C)CCOCC3)CC2)cn1C ZINC000569251947 1072423470 /nfs/dbraw/zinc/42/34/70/1072423470.db2.gz AAIYIYIKTUNSHJ-UHFFFAOYSA-N 0 0 427.527 -0.506 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000569252650 1072423572 /nfs/dbraw/zinc/42/35/72/1072423572.db2.gz JEEXICYLQNVHCQ-ZDUSSCGKSA-N 0 0 441.535 -0.343 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(CC)c1)C(=O)N1CCOCC1 ZINC000569261989 1072423592 /nfs/dbraw/zinc/42/35/92/1072423592.db2.gz HNXCWBHWZAUZGF-INMHGKMJSA-N 0 0 434.497 -0.908 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(CC)c1)C(=O)N1CCOCC1 ZINC000569262008 1072423448 /nfs/dbraw/zinc/42/34/48/1072423448.db2.gz HNXCWBHWZAUZGF-UXLLHSPISA-N 0 0 434.497 -0.908 20 0 IBADRN COc1cnc(NC[C@@H]2CCCS(=O)(=O)C2)nc1NC[C@@H]1CCCS(=O)(=O)C1 ZINC000569264224 1072423601 /nfs/dbraw/zinc/42/36/01/1072423601.db2.gz ZLDOCTGBQBMVTF-KBPBESRZSA-N 0 0 432.568 -0.198 20 0 IBADRN COc1cnc(NC[C@@H]2CCCS(=O)(=O)C2)nc1NC[C@H]1CCCS(=O)(=O)C1 ZINC000569264225 1072423587 /nfs/dbraw/zinc/42/35/87/1072423587.db2.gz ZLDOCTGBQBMVTF-KGLIPLIRSA-N 0 0 432.568 -0.198 20 0 IBADRN COc1cnc(NC[C@H]2CCCS(=O)(=O)C2)nc1NC[C@@H]1CCCS(=O)(=O)C1 ZINC000569264226 1072423531 /nfs/dbraw/zinc/42/35/31/1072423531.db2.gz ZLDOCTGBQBMVTF-UONOGXRCSA-N 0 0 432.568 -0.198 20 0 IBADRN COc1cnc(NC[C@H]2CCCS(=O)(=O)C2)nc1NC[C@H]1CCCS(=O)(=O)C1 ZINC000569264227 1072423607 /nfs/dbraw/zinc/42/36/07/1072423607.db2.gz ZLDOCTGBQBMVTF-ZIAGYGMSSA-N 0 0 432.568 -0.198 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)N1CCOCC1 ZINC000569264296 1072423594 /nfs/dbraw/zinc/42/35/94/1072423594.db2.gz BXZQXIDGJJCZKP-MSOLQXFVSA-N 0 0 448.568 -0.110 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)N1CCOCC1 ZINC000569264297 1072423991 /nfs/dbraw/zinc/42/39/91/1072423991.db2.gz BXZQXIDGJJCZKP-QZTJIDSGSA-N 0 0 448.568 -0.110 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)N1CCOCC1 ZINC000569264298 1072424076 /nfs/dbraw/zinc/42/40/76/1072424076.db2.gz BXZQXIDGJJCZKP-ROUUACIJSA-N 0 0 448.568 -0.110 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)N1CCOCC1 ZINC000569264299 1072424163 /nfs/dbraw/zinc/42/41/63/1072424163.db2.gz BXZQXIDGJJCZKP-ZWKOTPCHSA-N 0 0 448.568 -0.110 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)CC2=O)cn1 ZINC000569265903 1072424051 /nfs/dbraw/zinc/42/40/51/1072424051.db2.gz OIAQSELUGLTJQH-INIZCTEOSA-N 0 0 425.449 -0.698 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)CC2=O)cn1 ZINC000569265912 1072424156 /nfs/dbraw/zinc/42/41/56/1072424156.db2.gz OIAQSELUGLTJQH-MRXNPFEDSA-N 0 0 425.449 -0.698 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2c1=O ZINC000569268508 1072424090 /nfs/dbraw/zinc/42/40/90/1072424090.db2.gz DBQOOZBBHSNDSX-UHFFFAOYSA-N 0 0 441.488 -0.520 20 0 IBADRN CCS[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000569270993 1072424035 /nfs/dbraw/zinc/42/40/35/1072424035.db2.gz NIPVJYPERYUBTP-CVEARBPZSA-N 0 0 440.566 -0.304 20 0 IBADRN CCS[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000569270995 1072424151 /nfs/dbraw/zinc/42/41/51/1072424151.db2.gz NIPVJYPERYUBTP-HOTGVXAUSA-N 0 0 440.566 -0.304 20 0 IBADRN CCS[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000569270996 1072424103 /nfs/dbraw/zinc/42/41/03/1072424103.db2.gz NIPVJYPERYUBTP-HZPDHXFCSA-N 0 0 440.566 -0.304 20 0 IBADRN CCS[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000569270999 1072424171 /nfs/dbraw/zinc/42/41/71/1072424171.db2.gz NIPVJYPERYUBTP-JKSUJKDBSA-N 0 0 440.566 -0.304 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC000569272082 1072424134 /nfs/dbraw/zinc/42/41/34/1072424134.db2.gz GRXFHZZSYYXWMI-GASCZTMLSA-N 0 0 432.543 -0.830 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC000569272089 1072424109 /nfs/dbraw/zinc/42/41/09/1072424109.db2.gz GRXFHZZSYYXWMI-GJZGRUSLSA-N 0 0 432.543 -0.830 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCC1 ZINC000569272090 1072424064 /nfs/dbraw/zinc/42/40/64/1072424064.db2.gz GRXFHZZSYYXWMI-HUUCEWRRSA-N 0 0 432.543 -0.830 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(C)o1 ZINC000569272535 1072424121 /nfs/dbraw/zinc/42/41/21/1072424121.db2.gz MIGCCQRQZCEJNZ-AWEZNQCLSA-N 0 0 448.480 -0.729 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(C)o1 ZINC000569272544 1072424142 /nfs/dbraw/zinc/42/41/42/1072424142.db2.gz MIGCCQRQZCEJNZ-CQSZACIVSA-N 0 0 448.480 -0.729 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)(N2CCOCC2)C1 ZINC000569276009 1072424013 /nfs/dbraw/zinc/42/40/13/1072424013.db2.gz JLIQDZZYNQNSKN-BQJIDLTASA-N 0 0 437.541 -0.565 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)(N2CCOCC2)C1 ZINC000569276016 1072424086 /nfs/dbraw/zinc/42/40/86/1072424086.db2.gz JLIQDZZYNQNSKN-ZGGTZUKQSA-N 0 0 437.541 -0.565 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000569279734 1072424748 /nfs/dbraw/zinc/42/47/48/1072424748.db2.gz GUWVUVRKARBTBZ-UHFFFAOYSA-N 0 0 427.479 -0.160 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H](Cc1c[nH]cn1)NC(=O)C1CCCCC1 ZINC000569281018 1072424782 /nfs/dbraw/zinc/42/47/82/1072424782.db2.gz TVPFGHCQBPHLBV-INIZCTEOSA-N 0 0 428.559 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H](Cc1c[nH]cn1)NC(=O)C1CCCCC1 ZINC000569281019 1072424699 /nfs/dbraw/zinc/42/46/99/1072424699.db2.gz TVPFGHCQBPHLBV-MRXNPFEDSA-N 0 0 428.559 -0.034 20 0 IBADRN COc1ccc(OC)c(CCCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000569282236 1072424801 /nfs/dbraw/zinc/42/48/01/1072424801.db2.gz XOJCXGQAAVSEST-UHFFFAOYSA-N 0 0 445.480 -0.890 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000569282457 1072424798 /nfs/dbraw/zinc/42/47/98/1072424798.db2.gz YYSYVXUZPWPDEA-KRWDZBQOSA-N 0 0 443.552 -0.283 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000569282458 1072424761 /nfs/dbraw/zinc/42/47/61/1072424761.db2.gz YYSYVXUZPWPDEA-QGZVFWFLSA-N 0 0 443.552 -0.283 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCN(Cc4ccc(F)cc4)C3=O)CC2)n1 ZINC000569286047 1073364043 /nfs/dbraw/zinc/36/40/43/1073364043.db2.gz HSPUYGXLBCHHHC-AWEZNQCLSA-N 0 0 447.496 -0.175 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(Cc4ccc(F)cc4)C3=O)CC2)n1 ZINC000569286048 1073363899 /nfs/dbraw/zinc/36/38/99/1073363899.db2.gz HSPUYGXLBCHHHC-CQSZACIVSA-N 0 0 447.496 -0.175 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000569286419 1072424652 /nfs/dbraw/zinc/42/46/52/1072424652.db2.gz XJWQHMRYOZDUMR-KRWDZBQOSA-N 0 0 438.550 -0.220 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000569286420 1072424810 /nfs/dbraw/zinc/42/48/10/1072424810.db2.gz XJWQHMRYOZDUMR-QGZVFWFLSA-N 0 0 438.550 -0.220 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)CNS(=O)(=O)c2cccc(C(F)(F)F)c2)n1 ZINC000569288621 1072424814 /nfs/dbraw/zinc/42/48/14/1072424814.db2.gz UPQMULMMMSEYDW-UHFFFAOYSA-N 0 0 442.397 -0.028 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2)n(C)n1 ZINC000569291671 1072424794 /nfs/dbraw/zinc/42/47/94/1072424794.db2.gz NDDYEMLZWLZKQC-HNNXBMFYSA-N 0 0 428.497 -0.578 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2)n(C)n1 ZINC000569291672 1072424773 /nfs/dbraw/zinc/42/47/73/1072424773.db2.gz NDDYEMLZWLZKQC-OAHLLOKOSA-N 0 0 428.497 -0.578 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3ccncc3F)CC2)cc1 ZINC000569294349 1072424665 /nfs/dbraw/zinc/42/46/65/1072424665.db2.gz RNKFOHBVXAGZRX-UHFFFAOYSA-N 0 0 443.482 -0.172 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000569295076 1072424678 /nfs/dbraw/zinc/42/46/78/1072424678.db2.gz XTWDWBMAPACALW-UHFFFAOYSA-N 0 0 428.392 -0.317 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000569324422 1072425423 /nfs/dbraw/zinc/42/54/23/1072425423.db2.gz AORWLZJXUKYGKY-HNNXBMFYSA-N 0 0 428.511 -0.348 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000569324423 1072425477 /nfs/dbraw/zinc/42/54/77/1072425477.db2.gz AORWLZJXUKYGKY-OAHLLOKOSA-N 0 0 428.511 -0.348 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C2CCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000569338024 1070961994 /nfs/dbraw/zinc/96/19/94/1070961994.db2.gz RCULJWYSBIZVJG-UHFFFAOYSA-N 0 0 440.482 -0.113 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)cnn1C ZINC000569371747 1072425413 /nfs/dbraw/zinc/42/54/13/1072425413.db2.gz HEAFYMJAOSALEE-UHFFFAOYSA-N 0 0 429.506 -0.241 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N[C@H](CO)Cc2ccc(F)cc2)n1)N1CCCCCC1 ZINC000569381332 1072425276 /nfs/dbraw/zinc/42/52/76/1072425276.db2.gz CXFTYNQEHBSLPW-KRWDZBQOSA-N 0 0 446.483 0.478 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N[C@@H](CO)Cc2ccc(F)cc2)n1)N1CCCCCC1 ZINC000569381333 1072425468 /nfs/dbraw/zinc/42/54/68/1072425468.db2.gz CXFTYNQEHBSLPW-QGZVFWFLSA-N 0 0 446.483 0.478 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)Nc2ccn(CCN3CCOCC3)n2)C1=O ZINC000569382584 1072425357 /nfs/dbraw/zinc/42/53/57/1072425357.db2.gz XDJWWNOMHOFCDY-INIZCTEOSA-N 0 0 430.513 0.262 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)Nc2ccn(CCN3CCOCC3)n2)C1=O ZINC000569382585 1073306109 /nfs/dbraw/zinc/30/61/09/1073306109.db2.gz XDJWWNOMHOFCDY-MRXNPFEDSA-N 0 0 430.513 0.262 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)nc(C)n1 ZINC000569382728 1072425295 /nfs/dbraw/zinc/42/52/95/1072425295.db2.gz CGQINHQIGJXVQF-MRXNPFEDSA-N 0 0 438.554 -0.281 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCN(c2cccnn2)CC1 ZINC000569385171 1072425486 /nfs/dbraw/zinc/42/54/86/1072425486.db2.gz GBYLVXFPWGQICM-UHFFFAOYSA-N 0 0 443.508 -0.114 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)nn1 ZINC000569386728 1073319208 /nfs/dbraw/zinc/31/92/08/1073319208.db2.gz FWMYLAJXTJVOED-CYBMUJFWSA-N 0 0 428.515 -0.021 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)nn1 ZINC000569386729 1073319067 /nfs/dbraw/zinc/31/90/67/1073319067.db2.gz FWMYLAJXTJVOED-ZDUSSCGKSA-N 0 0 428.515 -0.021 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CC(NS(=O)(=O)c2ccc(C)cc2)C1 ZINC000569387915 1072426012 /nfs/dbraw/zinc/42/60/12/1072426012.db2.gz IWPRSDCNQGHFJW-UHFFFAOYSA-N 0 0 435.462 -0.357 20 0 IBADRN COc1ccc(Br)c(C(=O)NCCCNC(=O)C(=O)NCC(=O)N(C)C)c1 ZINC000569388261 1072426020 /nfs/dbraw/zinc/42/60/20/1072426020.db2.gz PKGQALHIEKQUDG-UHFFFAOYSA-N 0 0 443.298 -0.102 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1cccc(C(=O)NCC(F)(F)F)c1 ZINC000569389708 1072426091 /nfs/dbraw/zinc/42/60/91/1072426091.db2.gz CDCBHAONIOOKIU-UHFFFAOYSA-N 0 0 432.446 -0.347 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)(N2CCOCC2)C1 ZINC000569392228 1072425952 /nfs/dbraw/zinc/42/59/52/1072425952.db2.gz ANIFTLYIPLCXEQ-UHFFFAOYSA-N 0 0 434.541 -0.560 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)CC(=O)N2C)cnn1C ZINC000569392516 1072426076 /nfs/dbraw/zinc/42/60/76/1072426076.db2.gz OGKQPULINJIUFJ-RMRDIRSESA-N 0 0 443.508 -0.915 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC000569394141 1072426003 /nfs/dbraw/zinc/42/60/03/1072426003.db2.gz HKAYAEUPOLTMCM-UHFFFAOYSA-N 0 0 429.480 -0.917 20 0 IBADRN CC[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@H](C)S1(=O)=O ZINC000569395030 1072425983 /nfs/dbraw/zinc/42/59/83/1072425983.db2.gz BQEOBEGTILGGHZ-DZGCQCFKSA-N 0 0 443.547 -0.155 20 0 IBADRN CC[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@@H](C)S1(=O)=O ZINC000569395031 1072426008 /nfs/dbraw/zinc/42/60/08/1072426008.db2.gz BQEOBEGTILGGHZ-HIFRSBDPSA-N 0 0 443.547 -0.155 20 0 IBADRN CC[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@@H](C)S1(=O)=O ZINC000569395032 1072425966 /nfs/dbraw/zinc/42/59/66/1072425966.db2.gz BQEOBEGTILGGHZ-UKRRQHHQSA-N 0 0 443.547 -0.155 20 0 IBADRN CC[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@H](C)S1(=O)=O ZINC000569395033 1072425987 /nfs/dbraw/zinc/42/59/87/1072425987.db2.gz BQEOBEGTILGGHZ-ZFWWWQNUSA-N 0 0 443.547 -0.155 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc3c2OCC(=O)N3)C1 ZINC000569397102 1072426055 /nfs/dbraw/zinc/42/60/55/1072426055.db2.gz ANPPSSGABVHUHF-LLVKDONJSA-N 0 0 425.467 -0.657 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)N(C)C)CC1 ZINC000569401117 1072426046 /nfs/dbraw/zinc/42/60/46/1072426046.db2.gz QBLWNFPEEHVOLB-UHFFFAOYSA-N 0 0 427.593 -0.428 20 0 IBADRN CCOc1cc(C)ccc1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000569403704 1072425978 /nfs/dbraw/zinc/42/59/78/1072425978.db2.gz PLJHLXYJTKNARN-UHFFFAOYSA-N 0 0 444.492 -0.501 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(C)cc2N2CCOCC2)CC1 ZINC000569403841 1072426084 /nfs/dbraw/zinc/42/60/84/1072426084.db2.gz VCCHZPDIKBQAMF-UHFFFAOYSA-N 0 0 431.537 -0.272 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000569404389 1072426028 /nfs/dbraw/zinc/42/60/28/1072426028.db2.gz QVSQOCFQUWMWSF-KBPBESRZSA-N 0 0 425.577 -0.772 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000569404390 1072426591 /nfs/dbraw/zinc/42/65/91/1072426591.db2.gz QVSQOCFQUWMWSF-KGLIPLIRSA-N 0 0 425.577 -0.772 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000569404391 1072426497 /nfs/dbraw/zinc/42/64/97/1072426497.db2.gz QVSQOCFQUWMWSF-UONOGXRCSA-N 0 0 425.577 -0.772 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000569404392 1072426595 /nfs/dbraw/zinc/42/65/95/1072426595.db2.gz QVSQOCFQUWMWSF-ZIAGYGMSSA-N 0 0 425.577 -0.772 20 0 IBADRN CCn1cc(S(=O)(=O)NCCN2CCN(S(=O)(=O)c3cnn(CC)c3)CC2)cn1 ZINC000569405060 1072426038 /nfs/dbraw/zinc/42/60/38/1072426038.db2.gz QHWVXSVLLAXYLT-UHFFFAOYSA-N 0 0 445.571 -0.596 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCC[C@]3(CNC(=O)C3)C2)C1=O ZINC000569407669 1072426432 /nfs/dbraw/zinc/42/64/32/1072426432.db2.gz KIMCKZJYFYRUKD-RBZFPXEDSA-N 0 0 448.501 -0.115 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)C1=O ZINC000569407670 1072426535 /nfs/dbraw/zinc/42/65/35/1072426535.db2.gz KIMCKZJYFYRUKD-RNODOKPDSA-N 0 0 448.501 -0.115 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCC[C@]3(CNC(=O)C3)C2)C1=O ZINC000569407671 1072426464 /nfs/dbraw/zinc/42/64/64/1072426464.db2.gz KIMCKZJYFYRUKD-XCLFUZPHSA-N 0 0 448.501 -0.115 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCC[C@@]3(CNC(=O)C3)C2)C1=O ZINC000569407672 1072426458 /nfs/dbraw/zinc/42/64/58/1072426458.db2.gz KIMCKZJYFYRUKD-ZUOKHONESA-N 0 0 448.501 -0.115 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NC2CN(c3ccc(C(=O)OCC)cn3)C2)CC1 ZINC000569408570 1072426479 /nfs/dbraw/zinc/42/64/79/1072426479.db2.gz SKUNTEQPOQLKGJ-UHFFFAOYSA-N 0 0 433.509 -0.256 20 0 IBADRN CNc1nnc(CC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)s1 ZINC000569414258 1072426559 /nfs/dbraw/zinc/42/65/59/1072426559.db2.gz OWVDAXPDTUTRRY-UHFFFAOYSA-N 0 0 425.492 0.083 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccnc2-c2cnn(C)c2)CC1 ZINC000569414996 1072426598 /nfs/dbraw/zinc/42/65/98/1072426598.db2.gz UWCGAPFITDVGFH-UHFFFAOYSA-N 0 0 435.510 -0.239 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1Cl ZINC000569415074 1072426448 /nfs/dbraw/zinc/42/64/48/1072426448.db2.gz XNWYDTBADASACO-UHFFFAOYSA-N 0 0 434.902 -0.247 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C[C@H](C)O1 ZINC000569415681 1072426617 /nfs/dbraw/zinc/42/66/17/1072426617.db2.gz WHYRUEMNCMUGEJ-HZSPNIEDSA-N 0 0 428.511 -0.243 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C[C@@H](C)O1 ZINC000569415682 1072426507 /nfs/dbraw/zinc/42/65/07/1072426507.db2.gz WHYRUEMNCMUGEJ-MCIONIFRSA-N 0 0 428.511 -0.243 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C[C@@H](C)O1 ZINC000569415683 1072427074 /nfs/dbraw/zinc/42/70/74/1072427074.db2.gz WHYRUEMNCMUGEJ-MGPQQGTHSA-N 0 0 428.511 -0.243 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C[C@H](C)O1 ZINC000569415684 1072427034 /nfs/dbraw/zinc/42/70/34/1072427034.db2.gz WHYRUEMNCMUGEJ-MJBXVCDLSA-N 0 0 428.511 -0.243 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000569416014 1072426611 /nfs/dbraw/zinc/42/66/11/1072426611.db2.gz HLFAZPBKWDZDEY-CABCVRRESA-N 0 0 425.507 -0.022 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000569416015 1072426587 /nfs/dbraw/zinc/42/65/87/1072426587.db2.gz HLFAZPBKWDZDEY-GJZGRUSLSA-N 0 0 425.507 -0.022 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000569416016 1072426540 /nfs/dbraw/zinc/42/65/40/1072426540.db2.gz HLFAZPBKWDZDEY-HUUCEWRRSA-N 0 0 425.507 -0.022 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000569416017 1072426606 /nfs/dbraw/zinc/42/66/06/1072426606.db2.gz HLFAZPBKWDZDEY-LSDHHAIUSA-N 0 0 425.507 -0.022 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(C(=O)NC)cc2)c(=O)n1CC(=O)NCCOC ZINC000569442899 1072427257 /nfs/dbraw/zinc/42/72/57/1072427257.db2.gz HNUQXXDMIHPYHS-UHFFFAOYSA-N 0 0 447.513 -0.796 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cn1 ZINC000569445082 1072427343 /nfs/dbraw/zinc/42/73/43/1072427343.db2.gz FNSAHJAKFHRFNK-APWZRJJASA-N 0 0 447.540 -0.992 20 0 IBADRN O=C(NCCc1cnc(C2CC2)nc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000569445179 1072427319 /nfs/dbraw/zinc/42/73/19/1072427319.db2.gz JNTFFOQWYYBQIG-UHFFFAOYSA-N 0 0 448.505 -0.325 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000569454440 1072427248 /nfs/dbraw/zinc/42/72/48/1072427248.db2.gz PDLTVZKQWWYWEK-CHWSQXEVSA-N 0 0 430.527 -0.363 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000569454442 1072427357 /nfs/dbraw/zinc/42/73/57/1072427357.db2.gz PDLTVZKQWWYWEK-OLZOCXBDSA-N 0 0 430.527 -0.363 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)S(C)(=O)=O ZINC000569454443 1072426999 /nfs/dbraw/zinc/42/69/99/1072426999.db2.gz PDLTVZKQWWYWEK-QWHCGFSZSA-N 0 0 430.527 -0.363 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000569454444 1072427025 /nfs/dbraw/zinc/42/70/25/1072427025.db2.gz PDLTVZKQWWYWEK-STQMWFEESA-N 0 0 430.527 -0.363 20 0 IBADRN COc1c(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc(Cl)cc1C(N)=O ZINC000569462059 1072427380 /nfs/dbraw/zinc/42/73/80/1072427380.db2.gz CZOOFBHIZSVVQT-UHFFFAOYSA-N 0 0 425.873 -0.334 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCCC4)CC2)C1 ZINC000569462416 1072427347 /nfs/dbraw/zinc/42/73/47/1072427347.db2.gz WIMKDFBYSNFRGF-CALCHBBNSA-N 0 0 445.568 -0.121 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCCC4)CC2)C1 ZINC000569462424 1072427197 /nfs/dbraw/zinc/42/71/97/1072427197.db2.gz WIMKDFBYSNFRGF-IAGOWNOFSA-N 0 0 445.568 -0.121 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCCC4)CC2)C1 ZINC000569462426 1072427332 /nfs/dbraw/zinc/42/73/32/1072427332.db2.gz WIMKDFBYSNFRGF-IRXDYDNUSA-N 0 0 445.568 -0.121 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000569464930 1072427059 /nfs/dbraw/zinc/42/70/59/1072427059.db2.gz MMRODVLRXSKFPW-AAEUAGOBSA-N 0 0 433.431 -0.923 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000569464936 1072427353 /nfs/dbraw/zinc/42/73/53/1072427353.db2.gz MMRODVLRXSKFPW-DGCLKSJQSA-N 0 0 433.431 -0.923 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000569464938 1072427337 /nfs/dbraw/zinc/42/73/37/1072427337.db2.gz MMRODVLRXSKFPW-WCQYABFASA-N 0 0 433.431 -0.923 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000569464939 1072427900 /nfs/dbraw/zinc/42/79/00/1072427900.db2.gz MMRODVLRXSKFPW-YPMHNXCESA-N 0 0 433.431 -0.923 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cn1 ZINC000569467293 1072427973 /nfs/dbraw/zinc/42/79/73/1072427973.db2.gz WTRROPXSFBRZJI-INMHGKMJSA-N 0 0 431.497 -0.599 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cn1 ZINC000569467294 1072427681 /nfs/dbraw/zinc/42/76/81/1072427681.db2.gz WTRROPXSFBRZJI-UXLLHSPISA-N 0 0 431.497 -0.599 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)C1 ZINC000569480515 1073550277 /nfs/dbraw/zinc/55/02/77/1073550277.db2.gz VOAVGCCSZARPJZ-KRWDZBQOSA-N 0 0 436.534 -0.035 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)C1 ZINC000569480516 1073550247 /nfs/dbraw/zinc/55/02/47/1073550247.db2.gz VOAVGCCSZARPJZ-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC2CCN(c3ccccn3)CC2)CC1 ZINC000569480737 1072427795 /nfs/dbraw/zinc/42/77/95/1072427795.db2.gz YJWDUSOXOKKYPB-INIZCTEOSA-N 0 0 428.537 -0.022 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC2CCN(c3ccccn3)CC2)CC1 ZINC000569480743 1072427658 /nfs/dbraw/zinc/42/76/58/1072427658.db2.gz YJWDUSOXOKKYPB-MRXNPFEDSA-N 0 0 428.537 -0.022 20 0 IBADRN CN(C)C(=O)Cc1ccc(Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000569484136 1072428000 /nfs/dbraw/zinc/42/80/00/1072428000.db2.gz ANYSEQPFARYCHH-AEVYOOLXSA-N 0 0 428.449 -0.188 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC1)CC2 ZINC000569485662 1072427878 /nfs/dbraw/zinc/42/78/78/1072427878.db2.gz OCOMGKUERGYROB-JFIYKMOQSA-N 0 0 445.568 -0.334 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC1)CC2 ZINC000569485664 1072427889 /nfs/dbraw/zinc/42/78/89/1072427889.db2.gz OCOMGKUERGYROB-JZXOWHBKSA-N 0 0 445.568 -0.334 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC1)CC2 ZINC000569485666 1072427754 /nfs/dbraw/zinc/42/77/54/1072427754.db2.gz OCOMGKUERGYROB-SOLBZPMBSA-N 0 0 445.568 -0.334 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC1)CC2 ZINC000569485667 1072427866 /nfs/dbraw/zinc/42/78/66/1072427866.db2.gz OCOMGKUERGYROB-XYJFISCASA-N 0 0 445.568 -0.334 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCC3CCN(CC(F)(F)F)CC3)CC2=O)cn1 ZINC000569496562 1072427705 /nfs/dbraw/zinc/42/77/05/1072427705.db2.gz XBVVNHYDPLKCIH-UHFFFAOYSA-N 0 0 430.431 -0.014 20 0 IBADRN C[C@H]1CC(C)(C)CC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000569504104 1072427723 /nfs/dbraw/zinc/42/77/23/1072427723.db2.gz SALLLRWYSZJQNQ-DLBZAZTESA-N 0 0 443.614 -0.046 20 0 IBADRN C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000569504115 1072427906 /nfs/dbraw/zinc/42/79/06/1072427906.db2.gz SALLLRWYSZJQNQ-IAGOWNOFSA-N 0 0 443.614 -0.046 20 0 IBADRN C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000569504118 1072427822 /nfs/dbraw/zinc/42/78/22/1072427822.db2.gz SALLLRWYSZJQNQ-IRXDYDNUSA-N 0 0 443.614 -0.046 20 0 IBADRN C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000569504119 1072427774 /nfs/dbraw/zinc/42/77/74/1072427774.db2.gz SALLLRWYSZJQNQ-SJORKVTESA-N 0 0 443.614 -0.046 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000569508411 1072428476 /nfs/dbraw/zinc/42/84/76/1072428476.db2.gz RMJJMYXQAZWRPB-CYBMUJFWSA-N 0 0 426.495 -0.514 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000569508422 1072428513 /nfs/dbraw/zinc/42/85/13/1072428513.db2.gz RMJJMYXQAZWRPB-ZDUSSCGKSA-N 0 0 426.495 -0.514 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCC1 ZINC000569508679 1072428565 /nfs/dbraw/zinc/42/85/65/1072428565.db2.gz ZRAANJHAWATKOD-UHFFFAOYSA-N 0 0 429.568 -0.037 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)NCCNS(=O)(=O)c3cccnc3)ccn2)CC1 ZINC000569509866 1072428576 /nfs/dbraw/zinc/42/85/76/1072428576.db2.gz DQKJOVPEVJUMDC-UHFFFAOYSA-N 0 0 447.521 -0.036 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)cc1 ZINC000569511152 1072428489 /nfs/dbraw/zinc/42/84/89/1072428489.db2.gz GPRGFTMHWVGLKW-UHFFFAOYSA-N 0 0 430.465 -0.464 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)N1CCOCC1 ZINC000569511179 1072428555 /nfs/dbraw/zinc/42/85/55/1072428555.db2.gz HGXCBBSOSBDXGK-DLBZAZTESA-N 0 0 434.541 -0.500 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)N1CCOCC1 ZINC000569511182 1072428529 /nfs/dbraw/zinc/42/85/29/1072428529.db2.gz HGXCBBSOSBDXGK-IAGOWNOFSA-N 0 0 434.541 -0.500 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)N1CCOCC1 ZINC000569511184 1072428621 /nfs/dbraw/zinc/42/86/21/1072428621.db2.gz HGXCBBSOSBDXGK-IRXDYDNUSA-N 0 0 434.541 -0.500 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)N1CCOCC1 ZINC000569511185 1072428587 /nfs/dbraw/zinc/42/85/87/1072428587.db2.gz HGXCBBSOSBDXGK-SJORKVTESA-N 0 0 434.541 -0.500 20 0 IBADRN O=C(Nc1ccc2c(c1)N(CC(F)F)C(=O)CS2)C(=O)N1CCN(C(=O)CO)CC1 ZINC000569514186 1072428612 /nfs/dbraw/zinc/42/86/12/1072428612.db2.gz ZFJXOUHTZRGAFJ-UHFFFAOYSA-N 0 0 442.444 -0.008 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)CCCS(C)(=O)=O)c1 ZINC000569514474 1072428627 /nfs/dbraw/zinc/42/86/27/1072428627.db2.gz DVTSKWKXOWWXMB-UHFFFAOYSA-N 0 0 428.554 -0.535 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1cccc2c1OCC(=O)N2)C(F)(F)F ZINC000569514918 1072428525 /nfs/dbraw/zinc/42/85/25/1072428525.db2.gz XXWXULAQEYSACP-JTQLQIEISA-N 0 0 438.384 -0.106 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1cccc2c1OCC(=O)N2)C(F)(F)F ZINC000569514919 1072428453 /nfs/dbraw/zinc/42/84/53/1072428453.db2.gz XXWXULAQEYSACP-SNVBAGLBSA-N 0 0 438.384 -0.106 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)nn1 ZINC000569516474 1072428504 /nfs/dbraw/zinc/42/85/04/1072428504.db2.gz DCZHXNROZCERKF-GFCCVEGCSA-N 0 0 426.524 -0.132 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)nn1 ZINC000569516478 1072428519 /nfs/dbraw/zinc/42/85/19/1072428519.db2.gz DCZHXNROZCERKF-LBPRGKRZSA-N 0 0 426.524 -0.132 20 0 IBADRN Cc1c(C)c(NC(=O)CS(=O)(=O)N(C)C)ccc1NC(=O)CS(=O)(=O)N(C)C ZINC000569517416 1072428543 /nfs/dbraw/zinc/42/85/43/1072428543.db2.gz NWHBPXYMMXUPEA-UHFFFAOYSA-N 0 0 434.540 -0.037 20 0 IBADRN O=C(NCCCN(C(=O)C1CCC1)C1CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000569518481 1071031277 /nfs/dbraw/zinc/03/12/77/1071031277.db2.gz MPIITZSDGOFBFP-UHFFFAOYSA-N 0 0 449.552 -0.120 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c(OC[C@@H]2CCOC2)c1 ZINC000569524786 1072428596 /nfs/dbraw/zinc/42/85/96/1072428596.db2.gz PBHRRCFOHLZSHA-GDBMZVCRSA-N 0 0 425.507 -0.084 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c(OC[C@H]2CCOC2)c1 ZINC000569524787 1072428410 /nfs/dbraw/zinc/42/84/10/1072428410.db2.gz PBHRRCFOHLZSHA-GOEBONIOSA-N 0 0 425.507 -0.084 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c(OC[C@H]2CCOC2)c1 ZINC000569524789 1072428539 /nfs/dbraw/zinc/42/85/39/1072428539.db2.gz PBHRRCFOHLZSHA-HOCLYGCPSA-N 0 0 425.507 -0.084 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c(OC[C@@H]2CCOC2)c1 ZINC000569524791 1072429123 /nfs/dbraw/zinc/42/91/23/1072429123.db2.gz PBHRRCFOHLZSHA-ZBFHGGJFSA-N 0 0 425.507 -0.084 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000569526010 1072429088 /nfs/dbraw/zinc/42/90/88/1072429088.db2.gz YURGHLMABZOYRR-HNNXBMFYSA-N 0 0 439.604 -0.334 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000569526014 1072429081 /nfs/dbraw/zinc/42/90/81/1072429081.db2.gz YURGHLMABZOYRR-OAHLLOKOSA-N 0 0 439.604 -0.334 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)CC2)ncn1 ZINC000569526138 1072429125 /nfs/dbraw/zinc/42/91/25/1072429125.db2.gz RXPKYABUPLXCNL-UHFFFAOYSA-N 0 0 431.541 -0.468 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1=O ZINC000569527297 1072429101 /nfs/dbraw/zinc/42/91/01/1072429101.db2.gz ALWXJGAGZIULJK-UHFFFAOYSA-N 0 0 446.551 -0.842 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000569527728 1072429130 /nfs/dbraw/zinc/42/91/30/1072429130.db2.gz NLLBOLVFTZGFSU-CVEARBPZSA-N 0 0 440.497 -0.417 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000569527729 1072429117 /nfs/dbraw/zinc/42/91/17/1072429117.db2.gz NLLBOLVFTZGFSU-HOTGVXAUSA-N 0 0 440.497 -0.417 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000569527730 1072429111 /nfs/dbraw/zinc/42/91/11/1072429111.db2.gz NLLBOLVFTZGFSU-HZPDHXFCSA-N 0 0 440.497 -0.417 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000569527731 1072429097 /nfs/dbraw/zinc/42/90/97/1072429097.db2.gz NLLBOLVFTZGFSU-JKSUJKDBSA-N 0 0 440.497 -0.417 20 0 IBADRN CO[C@]1(C)C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1(C)C ZINC000569528524 1072429093 /nfs/dbraw/zinc/42/90/93/1072429093.db2.gz KTSCJZBCKVNUGB-KBXCAEBGSA-N 0 0 428.511 -0.032 20 0 IBADRN CO[C@@]1(C)C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1(C)C ZINC000569528531 1072429115 /nfs/dbraw/zinc/42/91/15/1072429115.db2.gz KTSCJZBCKVNUGB-KDOFPFPSSA-N 0 0 428.511 -0.032 20 0 IBADRN CO[C@@]1(C)C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1(C)C ZINC000569528533 1072429159 /nfs/dbraw/zinc/42/91/59/1072429159.db2.gz KTSCJZBCKVNUGB-KSSFIOAISA-N 0 0 428.511 -0.032 20 0 IBADRN CO[C@]1(C)C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1(C)C ZINC000569528535 1072429162 /nfs/dbraw/zinc/42/91/62/1072429162.db2.gz KTSCJZBCKVNUGB-RDTXWAMCSA-N 0 0 428.511 -0.032 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1)C1CCCCC1 ZINC000569532621 1072429107 /nfs/dbraw/zinc/42/91/07/1072429107.db2.gz NXNITTJXVJKNAC-UHFFFAOYSA-N 0 0 449.596 -0.097 20 0 IBADRN COc1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000569534602 1072429090 /nfs/dbraw/zinc/42/90/90/1072429090.db2.gz JOUNVXZJVUAHEO-UHFFFAOYSA-N 0 0 426.420 -0.085 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CNS(=O)(=O)c2ccccc2F)C1)C(N)=O ZINC000569537846 1072429442 /nfs/dbraw/zinc/42/94/42/1072429442.db2.gz WFISGBJGDAFWQQ-UHFFFAOYSA-N 0 0 428.486 -0.277 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000569538592 1072429475 /nfs/dbraw/zinc/42/94/75/1072429475.db2.gz NOMPQJDANWZZQY-UHFFFAOYSA-N 0 0 427.487 -0.288 20 0 IBADRN COc1cc(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc(OC)c1O ZINC000569543261 1072429343 /nfs/dbraw/zinc/42/93/43/1072429343.db2.gz MKWFFESUTRGGKN-UHFFFAOYSA-N 0 0 429.495 -0.327 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cccc1-n1cnnn1 ZINC000569548705 1072429455 /nfs/dbraw/zinc/42/94/55/1072429455.db2.gz KEBYIJOWNXSVET-AWEZNQCLSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cccc1-n1cnnn1 ZINC000569548711 1072429570 /nfs/dbraw/zinc/42/95/70/1072429570.db2.gz KEBYIJOWNXSVET-CQSZACIVSA-N 0 0 433.494 -0.064 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)NC(C)(CO)CO)c1 ZINC000569549722 1072429586 /nfs/dbraw/zinc/42/95/86/1072429586.db2.gz CLSJQULEPPIOPR-UHFFFAOYSA-N 0 0 436.202 -0.124 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NC(C)(CO)CO)c1 ZINC000569549748 1072429360 /nfs/dbraw/zinc/42/93/60/1072429360.db2.gz DTMQDDSTWXYDHG-UHFFFAOYSA-N 0 0 430.527 -0.419 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000569560741 1072429431 /nfs/dbraw/zinc/42/94/31/1072429431.db2.gz UJJBFVPUDVHUQA-UHFFFAOYSA-N 0 0 425.493 -0.609 20 0 IBADRN CN(C)C(=O)CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N(C)CC(=O)N(C)C)cc1 ZINC000569563320 1072429487 /nfs/dbraw/zinc/42/94/87/1072429487.db2.gz MVFKABXOYFQEFE-UHFFFAOYSA-N 0 0 434.540 -0.896 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1cc(C)cc(S(C)(=O)=O)c1C ZINC000569565963 1072429562 /nfs/dbraw/zinc/42/95/62/1072429562.db2.gz QEVGZOFXJREIGX-AWEZNQCLSA-N 0 0 434.536 -0.141 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1cc(C)cc(S(C)(=O)=O)c1C ZINC000569565966 1072429421 /nfs/dbraw/zinc/42/94/21/1072429421.db2.gz QEVGZOFXJREIGX-CQSZACIVSA-N 0 0 434.536 -0.141 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000569566068 1072429517 /nfs/dbraw/zinc/42/95/17/1072429517.db2.gz VABOXRIZQJHCNZ-DLBZAZTESA-N 0 0 431.555 -0.039 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000569566069 1072429497 /nfs/dbraw/zinc/42/94/97/1072429497.db2.gz VABOXRIZQJHCNZ-IAGOWNOFSA-N 0 0 431.555 -0.039 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000569566070 1072429310 /nfs/dbraw/zinc/42/93/10/1072429310.db2.gz VABOXRIZQJHCNZ-IRXDYDNUSA-N 0 0 431.555 -0.039 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC000569566071 1072429538 /nfs/dbraw/zinc/42/95/38/1072429538.db2.gz VABOXRIZQJHCNZ-SJORKVTESA-N 0 0 431.555 -0.039 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCC[C@@H](CNC(N)=O)C3)cc2)CC1 ZINC000569581823 1072430153 /nfs/dbraw/zinc/43/01/53/1072430153.db2.gz SPWPXRZYBAHYJI-KRWDZBQOSA-N 0 0 444.536 -0.403 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCC[C@H](CNC(N)=O)C3)cc2)CC1 ZINC000569581831 1072430070 /nfs/dbraw/zinc/43/00/70/1072430070.db2.gz SPWPXRZYBAHYJI-QGZVFWFLSA-N 0 0 444.536 -0.403 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccsc3)CC2)C[C@H](C)O1 ZINC000569596698 1072430112 /nfs/dbraw/zinc/43/01/12/1072430112.db2.gz NCNKGLLMQJPOGP-KBPBESRZSA-N 0 0 430.552 -0.138 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccsc3)CC2)C[C@H](C)O1 ZINC000569596699 1072430138 /nfs/dbraw/zinc/43/01/38/1072430138.db2.gz NCNKGLLMQJPOGP-OKILXGFUSA-N 0 0 430.552 -0.138 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccsc3)CC2)C[C@@H](C)O1 ZINC000569596700 1072430145 /nfs/dbraw/zinc/43/01/45/1072430145.db2.gz NCNKGLLMQJPOGP-ZIAGYGMSSA-N 0 0 430.552 -0.138 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000569597982 1072430048 /nfs/dbraw/zinc/43/00/48/1072430048.db2.gz QGGHXVQZTWAESD-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN CCc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000569601445 1072430018 /nfs/dbraw/zinc/43/00/18/1072430018.db2.gz FFQMKSRCNBPTHN-UHFFFAOYSA-N 0 0 430.505 -0.563 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCn2cc(Br)cn2)n1)N1CCOCC1 ZINC000569604284 1073322856 /nfs/dbraw/zinc/32/28/56/1073322856.db2.gz DLXTWBHFPAOKKB-UHFFFAOYSA-N 0 0 426.275 0.523 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000569612540 1072430574 /nfs/dbraw/zinc/43/05/74/1072430574.db2.gz CKVOGUKSADOHRG-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000569615378 1071090570 /nfs/dbraw/zinc/09/05/70/1071090570.db2.gz HIYFVRWFVABEPE-HNNXBMFYSA-N 0 0 439.604 -0.239 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000569615379 1071090717 /nfs/dbraw/zinc/09/07/17/1071090717.db2.gz HIYFVRWFVABEPE-OAHLLOKOSA-N 0 0 439.604 -0.239 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Br)c3)C2)CCO1 ZINC000569619322 1071134785 /nfs/dbraw/zinc/13/47/85/1071134785.db2.gz OPEGJEUQTNKJML-GXTWGEPZSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Br)c3)C2)CCO1 ZINC000569619324 1071135591 /nfs/dbraw/zinc/13/55/91/1071135591.db2.gz OPEGJEUQTNKJML-JSGCOSHPSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Br)c3)C2)CCO1 ZINC000569619326 1071134901 /nfs/dbraw/zinc/13/49/01/1071134901.db2.gz OPEGJEUQTNKJML-OCCSQVGLSA-N 0 0 425.283 -0.143 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Br)c3)C2)CCO1 ZINC000569619329 1071135533 /nfs/dbraw/zinc/13/55/33/1071135533.db2.gz OPEGJEUQTNKJML-TZMCWYRMSA-N 0 0 425.283 -0.143 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c3cc(C)nn3C)C1)CC2 ZINC000569621377 1071151143 /nfs/dbraw/zinc/15/11/43/1071151143.db2.gz OOBOCVCKLDRROX-CABCVRRESA-N 0 0 430.513 -0.317 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c3cc(C)nn3C)C1)CC2 ZINC000569621378 1071150930 /nfs/dbraw/zinc/15/09/30/1071150930.db2.gz OOBOCVCKLDRROX-GJZGRUSLSA-N 0 0 430.513 -0.317 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c3cc(C)nn3C)C1)CC2 ZINC000569621379 1072430745 /nfs/dbraw/zinc/43/07/45/1072430745.db2.gz OOBOCVCKLDRROX-HUUCEWRRSA-N 0 0 430.513 -0.317 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CCCN(c3cc(C)nn3C)C1)CC2 ZINC000569621380 1072430681 /nfs/dbraw/zinc/43/06/81/1072430681.db2.gz OOBOCVCKLDRROX-LSDHHAIUSA-N 0 0 430.513 -0.317 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCN2CCOCC2(C)C)c1 ZINC000569624412 1073560100 /nfs/dbraw/zinc/56/01/00/1073560100.db2.gz UKPGGKSXUCXXPV-UHFFFAOYSA-N 0 0 427.527 -0.057 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000569625742 1072430815 /nfs/dbraw/zinc/43/08/15/1072430815.db2.gz QSUKAYVHOZLOOR-UHFFFAOYSA-N 0 0 429.481 -0.550 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000569630037 1071167802 /nfs/dbraw/zinc/16/78/02/1071167802.db2.gz PHCQZJMUTLUQCD-UHFFFAOYSA-N 0 0 429.506 -0.197 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CC(=O)N2CCCC[C@H]12 ZINC000569630694 1071169522 /nfs/dbraw/zinc/16/95/22/1071169522.db2.gz UQFHMOYAWMFASP-DLBZAZTESA-N 0 0 429.525 -0.626 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CC(=O)N2CCCC[C@H]12 ZINC000569630700 1071169358 /nfs/dbraw/zinc/16/93/58/1071169358.db2.gz UQFHMOYAWMFASP-IAGOWNOFSA-N 0 0 429.525 -0.626 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CC(=O)N2CCCC[C@@H]12 ZINC000569630703 1071169601 /nfs/dbraw/zinc/16/96/01/1071169601.db2.gz UQFHMOYAWMFASP-IRXDYDNUSA-N 0 0 429.525 -0.626 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CC(=O)N2CCCC[C@@H]12 ZINC000569630705 1072430524 /nfs/dbraw/zinc/43/05/24/1072430524.db2.gz UQFHMOYAWMFASP-SJORKVTESA-N 0 0 429.525 -0.626 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000569631180 1071171188 /nfs/dbraw/zinc/17/11/88/1071171188.db2.gz CJWRNNGBAIMPEZ-AWEZNQCLSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000569631181 1073560653 /nfs/dbraw/zinc/56/06/53/1073560653.db2.gz CJWRNNGBAIMPEZ-CQSZACIVSA-N 0 0 432.524 -0.537 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CNS(=O)(=O)c3ccccc3)CC2)cn1C ZINC000569631788 1071173650 /nfs/dbraw/zinc/17/36/50/1071173650.db2.gz JBPVDJFHNABUMQ-UHFFFAOYSA-N 0 0 441.535 -0.460 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)CNS(=O)(=O)c1ccccc1F ZINC000569634324 1072430833 /nfs/dbraw/zinc/43/08/33/1072430833.db2.gz QHHAEZCWDRCHMY-CYBMUJFWSA-N 0 0 436.531 -0.119 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)CNS(=O)(=O)c1ccccc1F ZINC000569634327 1072430542 /nfs/dbraw/zinc/43/05/42/1072430542.db2.gz QHHAEZCWDRCHMY-ZDUSSCGKSA-N 0 0 436.531 -0.119 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)[C@H](C)C1 ZINC000569641553 1071219458 /nfs/dbraw/zinc/21/94/58/1071219458.db2.gz QHBSDLIGGOBPLV-GFCCVEGCSA-N 0 0 431.536 -0.136 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)[C@@H](C)C1 ZINC000569641554 1071219797 /nfs/dbraw/zinc/21/97/97/1071219797.db2.gz QHBSDLIGGOBPLV-LBPRGKRZSA-N 0 0 431.536 -0.136 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1=O ZINC000569644777 1072430559 /nfs/dbraw/zinc/43/05/59/1072430559.db2.gz MCFRIJCLQMHPHP-INIZCTEOSA-N 0 0 443.504 -0.661 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1=O ZINC000569644778 1072430583 /nfs/dbraw/zinc/43/05/83/1072430583.db2.gz MCFRIJCLQMHPHP-MRXNPFEDSA-N 0 0 443.504 -0.661 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)CCC1 ZINC000569649220 1072431169 /nfs/dbraw/zinc/43/11/69/1072431169.db2.gz BQEHQQIIHOOPPV-GDLZYMKVSA-N 0 0 426.583 -0.003 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)CCC1 ZINC000569649226 1072431354 /nfs/dbraw/zinc/43/13/54/1072431354.db2.gz BQEHQQIIHOOPPV-LJAQVGFWSA-N 0 0 426.583 -0.003 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1)NC1CCCCC1 ZINC000569650417 1072431379 /nfs/dbraw/zinc/43/13/79/1072431379.db2.gz MWALZZLJVKJWOD-UHFFFAOYSA-N 0 0 435.569 -0.440 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCS(=O)(=O)C[C@@H]2C)c(N)n(Cc2ccccc2)c1=O ZINC000569651593 1072431303 /nfs/dbraw/zinc/43/13/03/1072431303.db2.gz CAEUYMHIIXWMDD-AWEZNQCLSA-N 0 0 434.518 -0.038 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCS(=O)(=O)C[C@H]2C)c(N)n(Cc2ccccc2)c1=O ZINC000569651594 1072431369 /nfs/dbraw/zinc/43/13/69/1072431369.db2.gz CAEUYMHIIXWMDD-CQSZACIVSA-N 0 0 434.518 -0.038 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000569653545 1072431406 /nfs/dbraw/zinc/43/14/06/1072431406.db2.gz QUSFAVLGIIXGDD-UHFFFAOYSA-N 0 0 425.511 -0.980 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1 ZINC000569653914 1072431332 /nfs/dbraw/zinc/43/13/32/1072431332.db2.gz VMGCNNVAGCNRIG-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1=O ZINC000569660096 1072431190 /nfs/dbraw/zinc/43/11/90/1072431190.db2.gz FJCIYXSDYPSBDI-CYBMUJFWSA-N 0 0 427.465 -0.283 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1=O ZINC000569660099 1072431395 /nfs/dbraw/zinc/43/13/95/1072431395.db2.gz FJCIYXSDYPSBDI-ZDUSSCGKSA-N 0 0 427.465 -0.283 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CC(=O)NCCOc1ccc3c(c1)OCO3)c2=O ZINC000569660750 1072431314 /nfs/dbraw/zinc/43/13/14/1072431314.db2.gz HACUMIHJCXKGQM-UHFFFAOYSA-N 0 0 449.445 -0.543 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)cn1 ZINC000569665849 1072431241 /nfs/dbraw/zinc/43/12/41/1072431241.db2.gz HVHRCFPOBNHYGE-UHFFFAOYSA-N 0 0 425.471 -0.065 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)C(=O)C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000569666917 1072431743 /nfs/dbraw/zinc/43/17/43/1072431743.db2.gz IDZGPFMUDIXUFU-CYBMUJFWSA-N 0 0 440.478 -0.999 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C)C(=O)C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000569666918 1072431692 /nfs/dbraw/zinc/43/16/92/1072431692.db2.gz IDZGPFMUDIXUFU-ZDUSSCGKSA-N 0 0 440.478 -0.999 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000569672015 1072431753 /nfs/dbraw/zinc/43/17/53/1072431753.db2.gz XNAMKUGCPZITMD-AEGPPILISA-N 0 0 445.519 -0.616 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000569672023 1072431917 /nfs/dbraw/zinc/43/19/17/1072431917.db2.gz XNAMKUGCPZITMD-BPLDGKMQSA-N 0 0 445.519 -0.616 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000569672025 1072431908 /nfs/dbraw/zinc/43/19/08/1072431908.db2.gz XNAMKUGCPZITMD-NWANDNLSSA-N 0 0 445.519 -0.616 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000569672027 1072431923 /nfs/dbraw/zinc/43/19/23/1072431923.db2.gz XNAMKUGCPZITMD-VHDGCEQUSA-N 0 0 445.519 -0.616 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCS(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000569672393 1072431845 /nfs/dbraw/zinc/43/18/45/1072431845.db2.gz XDGQZUFQZUJRIG-UHFFFAOYSA-N 0 0 434.559 -0.291 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC1 ZINC000569680492 1072431779 /nfs/dbraw/zinc/43/17/79/1072431779.db2.gz UFQLRPPPLCDIEZ-HNNXBMFYSA-N 0 0 438.550 -0.119 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC1 ZINC000569680501 1072431888 /nfs/dbraw/zinc/43/18/88/1072431888.db2.gz UFQLRPPPLCDIEZ-OAHLLOKOSA-N 0 0 438.550 -0.119 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(C)[C@H]1c1ccc(F)c(F)c1 ZINC000569680830 1072431925 /nfs/dbraw/zinc/43/19/25/1072431925.db2.gz IRHQJUAFWBOQDQ-IPELMVKDSA-N 0 0 440.491 -0.022 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(C)[C@@H]1c1ccc(F)c(F)c1 ZINC000569680831 1072431896 /nfs/dbraw/zinc/43/18/96/1072431896.db2.gz IRHQJUAFWBOQDQ-KFKAGJAMSA-N 0 0 440.491 -0.022 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(C)[C@H]1c1ccc(F)c(F)c1 ZINC000569680832 1072431867 /nfs/dbraw/zinc/43/18/67/1072431867.db2.gz IRHQJUAFWBOQDQ-SNRMKQJTSA-N 0 0 440.491 -0.022 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(C)[C@@H]1c1ccc(F)c(F)c1 ZINC000569680833 1072431797 /nfs/dbraw/zinc/43/17/97/1072431797.db2.gz IRHQJUAFWBOQDQ-ZYSHUDEJSA-N 0 0 440.491 -0.022 20 0 IBADRN CCCN(CC(=O)Nc1ccccc1OC)C(=O)CN1CCN(S(N)(=O)=O)CC1 ZINC000569680945 1072431708 /nfs/dbraw/zinc/43/17/08/1072431708.db2.gz WJHLQWUVDODAAD-UHFFFAOYSA-N 0 0 427.527 -0.307 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CC2)cs1 ZINC000569681397 1072431829 /nfs/dbraw/zinc/43/18/29/1072431829.db2.gz GFTKXKSIJYLXDO-AEFFLSMTSA-N 0 0 445.549 -0.035 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1ccc2c(c1)OCO2)N1CCN(c2ncccn2)CC1 ZINC000569692227 1072431878 /nfs/dbraw/zinc/43/18/78/1072431878.db2.gz SQVHQGROGZIDLX-UHFFFAOYSA-N 0 0 440.460 -0.323 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1 ZINC000569704878 1072432306 /nfs/dbraw/zinc/43/23/06/1072432306.db2.gz VUEZTDCUASRHIB-LLVKDONJSA-N 0 0 444.436 -0.411 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1 ZINC000569704880 1072432351 /nfs/dbraw/zinc/43/23/51/1072432351.db2.gz VUEZTDCUASRHIB-NSHDSACASA-N 0 0 444.436 -0.411 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1)CC(F)(F)F ZINC000569713343 1072432450 /nfs/dbraw/zinc/43/24/50/1072432450.db2.gz JCXPLFJRZVMWHF-UHFFFAOYSA-N 0 0 435.491 -0.001 20 0 IBADRN CN(C(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000569713427 1072432204 /nfs/dbraw/zinc/43/22/04/1072432204.db2.gz KAFVDIIXSQKSCZ-GFCCVEGCSA-N 0 0 432.524 -0.487 20 0 IBADRN CN(C(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000569713431 1072432466 /nfs/dbraw/zinc/43/24/66/1072432466.db2.gz KAFVDIIXSQKSCZ-LBPRGKRZSA-N 0 0 432.524 -0.487 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCS(=O)(=O)NC(C)(C)C)c1 ZINC000569717763 1072432223 /nfs/dbraw/zinc/43/22/23/1072432223.db2.gz IMUMLPZJMYQVAI-UHFFFAOYSA-N 0 0 449.555 -0.450 20 0 IBADRN Cn1cnn(-c2ccc(N[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)cc2)c1=O ZINC000569718127 1072432363 /nfs/dbraw/zinc/43/23/63/1072432363.db2.gz XXQHUDKOUPNFOE-INIZCTEOSA-N 0 0 442.457 -0.038 20 0 IBADRN Cn1cnn(-c2ccc(N[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3=O)cc2)c1=O ZINC000569718128 1072432426 /nfs/dbraw/zinc/43/24/26/1072432426.db2.gz XXQHUDKOUPNFOE-MRXNPFEDSA-N 0 0 442.457 -0.038 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000569731669 1072432280 /nfs/dbraw/zinc/43/22/80/1072432280.db2.gz YWPOFZATYSMUIJ-GOSISDBHSA-N 0 0 445.568 -0.482 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000569731672 1072432437 /nfs/dbraw/zinc/43/24/37/1072432437.db2.gz YWPOFZATYSMUIJ-SFHVURJKSA-N 0 0 445.568 -0.482 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000569734597 1072432377 /nfs/dbraw/zinc/43/23/77/1072432377.db2.gz DINGQZFGFJWJIN-AWEZNQCLSA-N 0 0 430.852 -0.102 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000569734606 1072432321 /nfs/dbraw/zinc/43/23/21/1072432321.db2.gz DINGQZFGFJWJIN-CQSZACIVSA-N 0 0 430.852 -0.102 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(C(=O)c2ccccc2)CC1 ZINC000569736141 1072432988 /nfs/dbraw/zinc/43/29/88/1072432988.db2.gz LJHSFWSLQUIANU-UHFFFAOYSA-N 0 0 449.489 -0.805 20 0 IBADRN C[C@]1(c2ccc(-c3noc(CN4CCN(S(C)(=O)=O)CC4)n3)cc2)NC(=O)NC1=O ZINC000569736929 1072432813 /nfs/dbraw/zinc/43/28/13/1072432813.db2.gz KLVCETYBSRPEKO-GOSISDBHSA-N 0 0 434.478 -0.132 20 0 IBADRN C[C@@]1(c2ccc(-c3noc(CN4CCN(S(C)(=O)=O)CC4)n3)cc2)NC(=O)NC1=O ZINC000569736930 1072433034 /nfs/dbraw/zinc/43/30/34/1072433034.db2.gz KLVCETYBSRPEKO-SFHVURJKSA-N 0 0 434.478 -0.132 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)CC2)n[nH]1 ZINC000569740674 1071268305 /nfs/dbraw/zinc/26/83/05/1071268305.db2.gz AWNNULCHDOHQJI-UHFFFAOYSA-N 0 0 440.508 -0.354 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000569747877 1072433086 /nfs/dbraw/zinc/43/30/86/1072433086.db2.gz HHIWUCSIPBQPHO-UHFFFAOYSA-N 0 0 425.442 -0.202 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H](CN1CCOCC1)c1ccccc1 ZINC000569749058 1072433042 /nfs/dbraw/zinc/43/30/42/1072433042.db2.gz HUKSLJSYCSJVHY-GOSISDBHSA-N 0 0 440.566 -0.036 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1 ZINC000569749059 1072432885 /nfs/dbraw/zinc/43/28/85/1072432885.db2.gz HUKSLJSYCSJVHY-SFHVURJKSA-N 0 0 440.566 -0.036 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCCCC1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000569749459 1072432935 /nfs/dbraw/zinc/43/29/35/1072432935.db2.gz MJMYSAORAQTWDE-GOSISDBHSA-N 0 0 436.553 -0.013 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCCCC1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000569749460 1072433050 /nfs/dbraw/zinc/43/30/50/1072433050.db2.gz MJMYSAORAQTWDE-SFHVURJKSA-N 0 0 436.553 -0.013 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)C1 ZINC000569750552 1072432962 /nfs/dbraw/zinc/43/29/62/1072432962.db2.gz PNBFPTTUCVGYTJ-LLVKDONJSA-N 0 0 448.427 -0.096 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)C1 ZINC000569750562 1072432801 /nfs/dbraw/zinc/43/28/01/1072432801.db2.gz PNBFPTTUCVGYTJ-NSHDSACASA-N 0 0 448.427 -0.096 20 0 IBADRN COc1ccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c(OC)n1 ZINC000569753416 1072432915 /nfs/dbraw/zinc/43/29/15/1072432915.db2.gz DRJXQFKQXYHWCY-UHFFFAOYSA-N 0 0 443.526 -0.061 20 0 IBADRN CNC(=O)c1cc(O[C@H]2CCCN(C(=O)CNS(=O)(=O)CCCOC)C2)ccn1 ZINC000569754741 1072432787 /nfs/dbraw/zinc/43/27/87/1072432787.db2.gz MZMGZCVEEIJPCE-HNNXBMFYSA-N 0 0 428.511 -0.233 20 0 IBADRN CNC(=O)c1cc(O[C@@H]2CCCN(C(=O)CNS(=O)(=O)CCCOC)C2)ccn1 ZINC000569754742 1072432866 /nfs/dbraw/zinc/43/28/66/1072432866.db2.gz MZMGZCVEEIJPCE-OAHLLOKOSA-N 0 0 428.511 -0.233 20 0 IBADRN COC(=O)[C@@]1(c2ccccc2)CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000569756102 1072433093 /nfs/dbraw/zinc/43/30/93/1072433093.db2.gz WREWBFWVBWCLBR-NRFANRHFSA-N 0 0 425.445 -0.223 20 0 IBADRN COC(=O)[C@]1(c2ccccc2)CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000569756103 1071268908 /nfs/dbraw/zinc/26/89/08/1071268908.db2.gz WREWBFWVBWCLBR-OAQYLSRUSA-N 0 0 425.445 -0.223 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)N3CCN(c4cnccn4)CC3)CC2)no1 ZINC000569756197 1072432862 /nfs/dbraw/zinc/43/28/62/1072432862.db2.gz YTBUDUIBCRABNL-UHFFFAOYSA-N 0 0 428.497 -0.322 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000569757038 1072433522 /nfs/dbraw/zinc/43/35/22/1072433522.db2.gz RPTYWPNMDHAAOX-LSDHHAIUSA-N 0 0 447.460 -0.234 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)C2=O ZINC000569757414 1072432975 /nfs/dbraw/zinc/43/29/75/1072432975.db2.gz WVALQCNCOFPIFF-TXKKKEFDSA-N 0 0 429.543 -0.126 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CC2)cc1 ZINC000569759391 1072433648 /nfs/dbraw/zinc/43/36/48/1072433648.db2.gz IULAHOSFBVCSIW-AWEZNQCLSA-N 0 0 440.522 -0.908 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CC2)cc1 ZINC000569759392 1072433622 /nfs/dbraw/zinc/43/36/22/1072433622.db2.gz IULAHOSFBVCSIW-CQSZACIVSA-N 0 0 440.522 -0.908 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000569761897 1072433633 /nfs/dbraw/zinc/43/36/33/1072433633.db2.gz IAQJNVRDKVMDIX-AWEZNQCLSA-N 0 0 429.528 -0.399 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000569761898 1072433496 /nfs/dbraw/zinc/43/34/96/1072433496.db2.gz IAQJNVRDKVMDIX-CQSZACIVSA-N 0 0 429.528 -0.399 20 0 IBADRN O=C(C[C@H]1CCCC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000569763354 1073569220 /nfs/dbraw/zinc/56/92/20/1073569220.db2.gz YYEZQSMJGWATFI-CYBMUJFWSA-N 0 0 436.490 -0.091 20 0 IBADRN O=C(C[C@@H]1CCCC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000569763356 1073569208 /nfs/dbraw/zinc/56/92/08/1073569208.db2.gz YYEZQSMJGWATFI-ZDUSSCGKSA-N 0 0 436.490 -0.091 20 0 IBADRN O=C(Cn1nnn(C2CC2)c1=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000569771054 1071269331 /nfs/dbraw/zinc/26/93/31/1071269331.db2.gz XCEYMOBTDOVHBU-UHFFFAOYSA-N 0 0 426.886 -0.039 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000569771918 1071269355 /nfs/dbraw/zinc/26/93/55/1071269355.db2.gz BBGGSGFBOJQLOR-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000569771919 1072433596 /nfs/dbraw/zinc/43/35/96/1072433596.db2.gz BBGGSGFBOJQLOR-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCc1nnnn1-c1ccccc1)CC2 ZINC000569789118 1072433643 /nfs/dbraw/zinc/43/36/43/1072433643.db2.gz NMMMVAAHGJMFDR-UHFFFAOYSA-N 0 0 441.473 -0.224 20 0 IBADRN COCn1nccc1S(=O)(=O)NCC(C)CNS(=O)(=O)c1ccnn1COC ZINC000569791806 1071269487 /nfs/dbraw/zinc/26/94/87/1071269487.db2.gz ISZDOFCXPARAFZ-UHFFFAOYSA-N 0 0 436.516 -0.820 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000569795189 1071269724 /nfs/dbraw/zinc/26/97/24/1071269724.db2.gz IGYYYYJJSRBYTQ-KSZLIROESA-N 0 0 428.489 -0.051 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000569795190 1072433602 /nfs/dbraw/zinc/43/36/02/1072433602.db2.gz IGYYYYJJSRBYTQ-KURKYZTESA-N 0 0 428.489 -0.051 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000569795191 1072433630 /nfs/dbraw/zinc/43/36/30/1072433630.db2.gz IGYYYYJJSRBYTQ-KZNAEPCWSA-N 0 0 428.489 -0.051 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000569795192 1072433591 /nfs/dbraw/zinc/43/35/91/1072433591.db2.gz IGYYYYJJSRBYTQ-RCCFBDPRSA-N 0 0 428.489 -0.051 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)nc1 ZINC000569802675 1072434230 /nfs/dbraw/zinc/43/42/30/1072434230.db2.gz QVYPKLXCUWXHRP-UHFFFAOYSA-N 0 0 440.526 -0.660 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CN2C(=O)N(C)C3(CCCCC3)C2=O)C1 ZINC000569820121 1072434034 /nfs/dbraw/zinc/43/40/34/1072434034.db2.gz HCDRRVANBVCJJW-CQSZACIVSA-N 0 0 429.543 -0.030 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000569820180 1072434125 /nfs/dbraw/zinc/43/41/25/1072434125.db2.gz GOESPILLRQJJPU-DLBZAZTESA-N 0 0 448.524 -0.728 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000569820181 1072434007 /nfs/dbraw/zinc/43/40/07/1072434007.db2.gz GOESPILLRQJJPU-IAGOWNOFSA-N 0 0 448.524 -0.728 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000569820182 1072434072 /nfs/dbraw/zinc/43/40/72/1072434072.db2.gz GOESPILLRQJJPU-IRXDYDNUSA-N 0 0 448.524 -0.728 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000569820183 1072433973 /nfs/dbraw/zinc/43/39/73/1072433973.db2.gz GOESPILLRQJJPU-SJORKVTESA-N 0 0 448.524 -0.728 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCN(Cc2cc(OC)c(OC)c(OC)c2)CC1 ZINC000569828642 1072434182 /nfs/dbraw/zinc/43/41/82/1072434182.db2.gz WCKYEGLNJQXSKE-UHFFFAOYSA-N 0 0 436.509 -0.049 20 0 IBADRN CS(=O)(=O)NCCN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C1CC1 ZINC000569831282 1072434045 /nfs/dbraw/zinc/43/40/45/1072434045.db2.gz IDWVONYGTPJDEM-CVEARBPZSA-N 0 0 437.584 -0.805 20 0 IBADRN CS(=O)(=O)NCCN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C1CC1 ZINC000569831283 1072434089 /nfs/dbraw/zinc/43/40/89/1072434089.db2.gz IDWVONYGTPJDEM-HOTGVXAUSA-N 0 0 437.584 -0.805 20 0 IBADRN CS(=O)(=O)NCCN(CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)C1CC1 ZINC000569831284 1072434093 /nfs/dbraw/zinc/43/40/93/1072434093.db2.gz IDWVONYGTPJDEM-HZPDHXFCSA-N 0 0 437.584 -0.805 20 0 IBADRN CS(=O)(=O)NCCN(CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)C1CC1 ZINC000569831285 1072434196 /nfs/dbraw/zinc/43/41/96/1072434196.db2.gz IDWVONYGTPJDEM-JKSUJKDBSA-N 0 0 437.584 -0.805 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000569831436 1072434163 /nfs/dbraw/zinc/43/41/63/1072434163.db2.gz GTRSKUFYEOWISY-KRWDZBQOSA-N 0 0 433.557 -0.388 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)CC1 ZINC000569831438 1071271375 /nfs/dbraw/zinc/27/13/75/1071271375.db2.gz GTRSKUFYEOWISY-QGZVFWFLSA-N 0 0 433.557 -0.388 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000569831471 1071272363 /nfs/dbraw/zinc/27/23/63/1071272363.db2.gz LKGYYGMFWKWUOE-UHFFFAOYSA-N 0 0 449.576 -0.799 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000569834266 1071272947 /nfs/dbraw/zinc/27/29/47/1071272947.db2.gz ODRDAXAIXBZEJK-UHFFFAOYSA-N 0 0 437.522 -0.665 20 0 IBADRN C[C@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000569835657 1072434222 /nfs/dbraw/zinc/43/42/22/1072434222.db2.gz OGXMLTCYBYAIAL-RYUDHWBXSA-N 0 0 427.527 -0.114 20 0 IBADRN C[C@@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000569835658 1072434206 /nfs/dbraw/zinc/43/42/06/1072434206.db2.gz OGXMLTCYBYAIAL-TXEJJXNPSA-N 0 0 427.527 -0.114 20 0 IBADRN C[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H](C)N1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000569835659 1072434146 /nfs/dbraw/zinc/43/41/46/1072434146.db2.gz OGXMLTCYBYAIAL-VXGBXAGGSA-N 0 0 427.527 -0.114 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000569841967 1072434743 /nfs/dbraw/zinc/43/47/43/1072434743.db2.gz AGNDHPLLFYQEKX-NEPJUHHUSA-N 0 0 444.414 -0.380 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000569841968 1072434767 /nfs/dbraw/zinc/43/47/67/1072434767.db2.gz AGNDHPLLFYQEKX-NWDGAFQWSA-N 0 0 444.414 -0.380 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000569841969 1072434783 /nfs/dbraw/zinc/43/47/83/1072434783.db2.gz AGNDHPLLFYQEKX-RYUDHWBXSA-N 0 0 444.414 -0.380 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000569841970 1072434761 /nfs/dbraw/zinc/43/47/61/1072434761.db2.gz AGNDHPLLFYQEKX-VXGBXAGGSA-N 0 0 444.414 -0.380 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000569844984 1072434753 /nfs/dbraw/zinc/43/47/53/1072434753.db2.gz GIDXJDIKQGZGAV-NEPJUHHUSA-N 0 0 442.460 -0.415 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000569844987 1072434747 /nfs/dbraw/zinc/43/47/47/1072434747.db2.gz GIDXJDIKQGZGAV-NWDGAFQWSA-N 0 0 442.460 -0.415 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000569844989 1072434750 /nfs/dbraw/zinc/43/47/50/1072434750.db2.gz GIDXJDIKQGZGAV-RYUDHWBXSA-N 0 0 442.460 -0.415 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000569844991 1072434759 /nfs/dbraw/zinc/43/47/59/1072434759.db2.gz GIDXJDIKQGZGAV-VXGBXAGGSA-N 0 0 442.460 -0.415 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)C2=O)n(C)n1 ZINC000569846982 1071272788 /nfs/dbraw/zinc/27/27/88/1071272788.db2.gz RSQMYLBBIUATIL-CABCVRRESA-N 0 0 441.558 -0.206 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)C2=O)n(C)n1 ZINC000569846983 1072434796 /nfs/dbraw/zinc/43/47/96/1072434796.db2.gz RSQMYLBBIUATIL-GJZGRUSLSA-N 0 0 441.558 -0.206 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)C2=O)n(C)n1 ZINC000569846984 1071272822 /nfs/dbraw/zinc/27/28/22/1071272822.db2.gz RSQMYLBBIUATIL-HUUCEWRRSA-N 0 0 441.558 -0.206 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)C2=O)n(C)n1 ZINC000569846985 1071272958 /nfs/dbraw/zinc/27/29/58/1071272958.db2.gz RSQMYLBBIUATIL-LSDHHAIUSA-N 0 0 441.558 -0.206 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000569847827 1072434781 /nfs/dbraw/zinc/43/47/81/1072434781.db2.gz JKICFTGEIOMNSB-LLVKDONJSA-N 0 0 448.523 -0.966 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000569847828 1072434778 /nfs/dbraw/zinc/43/47/78/1072434778.db2.gz JKICFTGEIOMNSB-NSHDSACASA-N 0 0 448.523 -0.966 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc2[nH]ccc21 ZINC000569853741 1072434739 /nfs/dbraw/zinc/43/47/39/1072434739.db2.gz ZIZPXLSSKAPWRT-UHFFFAOYSA-N 0 0 440.420 -0.750 20 0 IBADRN COc1c(F)cc(Cc2nc(CC(=O)N3CCN(C)CC3)no2)cc1S(N)(=O)=O ZINC000569854816 1072434784 /nfs/dbraw/zinc/43/47/84/1072434784.db2.gz RIOHANRQHHLCST-UHFFFAOYSA-N 0 0 427.458 -0.228 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1C(=O)N(C)C ZINC000569858373 1072434772 /nfs/dbraw/zinc/43/47/72/1072434772.db2.gz IDVJZDWWDOZFIC-UHFFFAOYSA-N 0 0 448.567 -0.100 20 0 IBADRN CC(=O)N[C@H](C)C1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)CC1 ZINC000569858464 1072434763 /nfs/dbraw/zinc/43/47/63/1072434763.db2.gz NCAXMEFLEPTFAE-LLSQANQASA-N 0 0 432.525 -0.120 20 0 IBADRN CC(=O)N[C@@H](C)C1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)CC1 ZINC000569858471 1072434775 /nfs/dbraw/zinc/43/47/75/1072434775.db2.gz NCAXMEFLEPTFAE-WILYLXEWSA-N 0 0 432.525 -0.120 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)C1=O ZINC000569858810 1072434755 /nfs/dbraw/zinc/43/47/55/1072434755.db2.gz PSSSORDXWSDKMA-KBPBESRZSA-N 0 0 425.511 -0.450 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)C1=O ZINC000569858819 1072434769 /nfs/dbraw/zinc/43/47/69/1072434769.db2.gz PSSSORDXWSDKMA-KGLIPLIRSA-N 0 0 425.511 -0.450 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)C1=O ZINC000569858821 1072434770 /nfs/dbraw/zinc/43/47/70/1072434770.db2.gz PSSSORDXWSDKMA-UONOGXRCSA-N 0 0 425.511 -0.450 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)C1=O ZINC000569858823 1072435331 /nfs/dbraw/zinc/43/53/31/1072435331.db2.gz PSSSORDXWSDKMA-ZIAGYGMSSA-N 0 0 425.511 -0.450 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NCCNC(=O)c1ccn[nH]1 ZINC000569865207 1072435287 /nfs/dbraw/zinc/43/52/87/1072435287.db2.gz WNKRESGSRGQSGM-UHFFFAOYSA-N 0 0 430.284 -0.003 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)C1 ZINC000569872437 1072435246 /nfs/dbraw/zinc/43/52/46/1072435246.db2.gz IALHLXNFLWVJQK-DOTOQJQBSA-N 0 0 439.484 -0.147 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)C1 ZINC000569872438 1072435310 /nfs/dbraw/zinc/43/53/10/1072435310.db2.gz IALHLXNFLWVJQK-NVXWUHKLSA-N 0 0 439.484 -0.147 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)C1 ZINC000569872439 1072435314 /nfs/dbraw/zinc/43/53/14/1072435314.db2.gz IALHLXNFLWVJQK-RDJZCZTQSA-N 0 0 439.484 -0.147 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)C1 ZINC000569872440 1072435260 /nfs/dbraw/zinc/43/52/60/1072435260.db2.gz IALHLXNFLWVJQK-WBVHZDCISA-N 0 0 439.484 -0.147 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000569873289 1071274487 /nfs/dbraw/zinc/27/44/87/1071274487.db2.gz ZVPXXVAHOPIDJF-UHFFFAOYSA-N 0 0 445.520 -0.898 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)CNS(=O)(=O)c1cnn(C)c1)C2 ZINC000569874763 1072435281 /nfs/dbraw/zinc/43/52/81/1072435281.db2.gz ANOWYMUJVGXDFP-UHFFFAOYSA-N 0 0 441.535 -0.466 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)N(C)C ZINC000569881750 1071274722 /nfs/dbraw/zinc/27/47/22/1071274722.db2.gz HMXXYSWCTYWBGP-CYBMUJFWSA-N 0 0 431.536 -0.162 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)C(=O)N(C)C ZINC000569881751 1072435302 /nfs/dbraw/zinc/43/53/02/1072435302.db2.gz HMXXYSWCTYWBGP-ZDUSSCGKSA-N 0 0 431.536 -0.162 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000569882783 1072435337 /nfs/dbraw/zinc/43/53/37/1072435337.db2.gz UAGHQNHTGITSCA-KRWDZBQOSA-N 0 0 446.618 -0.063 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000569882784 1072435318 /nfs/dbraw/zinc/43/53/18/1072435318.db2.gz UAGHQNHTGITSCA-QGZVFWFLSA-N 0 0 446.618 -0.063 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)C2=O)cn1 ZINC000569885253 1072435346 /nfs/dbraw/zinc/43/53/46/1072435346.db2.gz GHRWZUDDESLCDJ-INIZCTEOSA-N 0 0 433.494 -0.364 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)C2=O)cn1 ZINC000569885259 1072435209 /nfs/dbraw/zinc/43/52/09/1072435209.db2.gz GHRWZUDDESLCDJ-MRXNPFEDSA-N 0 0 433.494 -0.364 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CCN1S(C)(=O)=O ZINC000569892240 1072435251 /nfs/dbraw/zinc/43/52/51/1072435251.db2.gz DUKGTVSVNCWSBQ-JTQLQIEISA-N 0 0 448.448 -0.140 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCNC3=O)C2)cc1 ZINC000569893470 1072435239 /nfs/dbraw/zinc/43/52/39/1072435239.db2.gz SKFSNISZQRENPW-INIZCTEOSA-N 0 0 445.476 -0.527 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCNC3=O)C2)cc1 ZINC000569893471 1072435185 /nfs/dbraw/zinc/43/51/85/1072435185.db2.gz SKFSNISZQRENPW-MRXNPFEDSA-N 0 0 445.476 -0.527 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4C)CC2)c1=O ZINC000569893613 1073577858 /nfs/dbraw/zinc/57/78/58/1073577858.db2.gz QXTJORKIISIULD-UHFFFAOYSA-N 0 0 426.433 -0.577 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000569893925 1072435326 /nfs/dbraw/zinc/43/53/26/1072435326.db2.gz HEFRDEPFCFWLJE-CRAIPNDOSA-N 0 0 435.477 -0.193 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000569893926 1072435353 /nfs/dbraw/zinc/43/53/53/1072435353.db2.gz HEFRDEPFCFWLJE-MAUKXSAKSA-N 0 0 435.477 -0.193 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000569893927 1072435939 /nfs/dbraw/zinc/43/59/39/1072435939.db2.gz HEFRDEPFCFWLJE-QAPCUYQASA-N 0 0 435.477 -0.193 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000569893928 1072435922 /nfs/dbraw/zinc/43/59/22/1072435922.db2.gz HEFRDEPFCFWLJE-YJBOKZPZSA-N 0 0 435.477 -0.193 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)N[C@H]2CC[C@H](NC(=O)[C@@H]3CC[C@H](C(=O)OC)O3)CC2)O1 ZINC000569901361 1072435878 /nfs/dbraw/zinc/43/58/78/1072435878.db2.gz GOVYRLHTTOBENX-OSLXHZNNSA-N 0 0 426.466 -0.029 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000569913391 1072435890 /nfs/dbraw/zinc/43/58/90/1072435890.db2.gz IMKJIJBIZAKTBB-JTQLQIEISA-N 0 0 435.300 -0.421 20 0 IBADRN CN(C(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000569913394 1072435811 /nfs/dbraw/zinc/43/58/11/1072435811.db2.gz IMKJIJBIZAKTBB-SNVBAGLBSA-N 0 0 435.300 -0.421 20 0 IBADRN O=C(NCCOCC1CC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000569914157 1072435870 /nfs/dbraw/zinc/43/58/70/1072435870.db2.gz SRGHIQLSFRHZFO-UHFFFAOYSA-N 0 0 435.506 -0.061 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1 ZINC000569915275 1072435796 /nfs/dbraw/zinc/43/57/96/1072435796.db2.gz HEPVAAZEMUDJSC-UHFFFAOYSA-N 0 0 427.469 -0.829 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1F ZINC000569933573 1072435953 /nfs/dbraw/zinc/43/59/53/1072435953.db2.gz PLHIHGKMDJDZIH-UHFFFAOYSA-N 0 0 435.485 -0.237 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000569937214 1072435918 /nfs/dbraw/zinc/43/59/18/1072435918.db2.gz LCVNVVBSWUXXHF-KRWDZBQOSA-N 0 0 431.898 -0.248 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000569937215 1072435907 /nfs/dbraw/zinc/43/59/07/1072435907.db2.gz LCVNVVBSWUXXHF-QGZVFWFLSA-N 0 0 431.898 -0.248 20 0 IBADRN O=C(CN1C[C@@H]2COC[C@H](C1)O2)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000569941964 1072435781 /nfs/dbraw/zinc/43/57/81/1072435781.db2.gz IGAIUKMQYUDQGW-OKILXGFUSA-N 0 0 431.461 -0.103 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(CCNC(C)=O)Cc2ccc(C)s2)cc1C ZINC000569942362 1073581060 /nfs/dbraw/zinc/58/10/60/1073581060.db2.gz KPAZJQKUXSBWEE-UHFFFAOYSA-N 0 0 434.522 0.411 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)[C@@H](C)C1 ZINC000569945968 1071277525 /nfs/dbraw/zinc/27/75/25/1071277525.db2.gz AUQHSQBTFKAPTN-KBPBESRZSA-N 0 0 440.522 -0.393 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000569945969 1072435826 /nfs/dbraw/zinc/43/58/26/1072435826.db2.gz AUQHSQBTFKAPTN-KGLIPLIRSA-N 0 0 440.522 -0.393 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)[C@@H](C)C1 ZINC000569945970 1072435853 /nfs/dbraw/zinc/43/58/53/1072435853.db2.gz AUQHSQBTFKAPTN-UONOGXRCSA-N 0 0 440.522 -0.393 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)[C@H](C)C1 ZINC000569945971 1072435962 /nfs/dbraw/zinc/43/59/62/1072435962.db2.gz AUQHSQBTFKAPTN-ZIAGYGMSSA-N 0 0 440.522 -0.393 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccsc1 ZINC000569946945 1072435925 /nfs/dbraw/zinc/43/59/25/1072435925.db2.gz PWERJBOHPYYMCW-KRWDZBQOSA-N 0 0 437.566 -0.530 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccsc1 ZINC000569946954 1072435978 /nfs/dbraw/zinc/43/59/78/1072435978.db2.gz PWERJBOHPYYMCW-QGZVFWFLSA-N 0 0 437.566 -0.530 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000569949378 1072435842 /nfs/dbraw/zinc/43/58/42/1072435842.db2.gz QMDDDTMIKZCSEA-UHFFFAOYSA-N 0 0 442.524 -0.163 20 0 IBADRN COCCOc1ccc(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)nn1 ZINC000569950331 1072435896 /nfs/dbraw/zinc/43/58/96/1072435896.db2.gz SZTKVVIZDRKKIU-UHFFFAOYSA-N 0 0 449.489 -0.448 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000569950678 1072436403 /nfs/dbraw/zinc/43/64/03/1072436403.db2.gz NZIHCRNAPJZIEW-UHFFFAOYSA-N 0 0 430.505 -0.153 20 0 IBADRN O=C(NC1CCC2(CC1)NC(=O)NC2=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000569951984 1072436425 /nfs/dbraw/zinc/43/64/25/1072436425.db2.gz ZASXUZNBCMYJAO-UHFFFAOYSA-N 0 0 436.490 -0.042 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CC[N@H+](C4CCOCC4)C[C@H]3C)C2=O)n(C)n1 ZINC000569958137 1072436374 /nfs/dbraw/zinc/43/63/74/1072436374.db2.gz NUKWUGIVAXNSRI-WBVHZDCISA-N 0 0 432.525 -0.338 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C[C@@]2(CCOC2)O1 ZINC000569958616 1072436351 /nfs/dbraw/zinc/43/63/51/1072436351.db2.gz KDWSURULSPUTJU-VWKPWSFCSA-N 0 0 443.508 -0.137 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C[C@@]2(CCOC2)O1 ZINC000569958624 1072436367 /nfs/dbraw/zinc/43/63/67/1072436367.db2.gz KDWSURULSPUTJU-WHSLLNHNSA-N 0 0 443.508 -0.137 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C[C@]2(CCOC2)O1 ZINC000569958628 1072436430 /nfs/dbraw/zinc/43/64/30/1072436430.db2.gz KDWSURULSPUTJU-XFQAVAEZSA-N 0 0 443.508 -0.137 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C[C@]2(CCOC2)O1 ZINC000569958632 1072436411 /nfs/dbraw/zinc/43/64/11/1072436411.db2.gz KDWSURULSPUTJU-ZOCZFRKYSA-N 0 0 443.508 -0.137 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000569959364 1072436369 /nfs/dbraw/zinc/43/63/69/1072436369.db2.gz HQAJFVMQRVZYGM-AWEZNQCLSA-N 0 0 448.571 -0.680 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000569959365 1072436445 /nfs/dbraw/zinc/43/64/45/1072436445.db2.gz HQAJFVMQRVZYGM-CQSZACIVSA-N 0 0 448.571 -0.680 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3cnn(CC(F)(F)F)c3)CC2)n1 ZINC000569959377 1072436391 /nfs/dbraw/zinc/43/63/91/1072436391.db2.gz IAIPRBPSKOIOHX-UHFFFAOYSA-N 0 0 445.402 -0.065 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000569973926 1071278066 /nfs/dbraw/zinc/27/80/66/1071278066.db2.gz BGIAEESVRQPKRP-LLVKDONJSA-N 0 0 440.341 -0.123 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000569973927 1071278132 /nfs/dbraw/zinc/27/81/32/1071278132.db2.gz BGIAEESVRQPKRP-NSHDSACASA-N 0 0 440.341 -0.123 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@H](n4cc(Cl)cn4)C3)cnc2n(C)c1=O ZINC000569975770 1072436357 /nfs/dbraw/zinc/43/63/57/1072436357.db2.gz WWWFSZRDGRKTEF-GFCCVEGCSA-N 0 0 431.840 -0.106 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@H](n4cc(Cl)cn4)C3)cnc2n(C)c1=O ZINC000569975771 1072436421 /nfs/dbraw/zinc/43/64/21/1072436421.db2.gz WWWFSZRDGRKTEF-LBPRGKRZSA-N 0 0 431.840 -0.106 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)C1)S(C)(=O)=O ZINC000569980646 1072436385 /nfs/dbraw/zinc/43/63/85/1072436385.db2.gz IBZVBQDFGBZYGG-RRQGHBQHSA-N 0 0 427.527 -0.552 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2cnn(C)c2)C1)S(C)(=O)=O ZINC000569980648 1072436416 /nfs/dbraw/zinc/43/64/16/1072436416.db2.gz IBZVBQDFGBZYGG-XOKHGSTOSA-N 0 0 427.527 -0.552 20 0 IBADRN CCS(=O)(=O)N1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)[C@H](C)C1 ZINC000569982117 1072436437 /nfs/dbraw/zinc/43/64/37/1072436437.db2.gz CWSQPNBFPYUMNN-CYBMUJFWSA-N 0 0 428.540 -0.078 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)N(C)C)CC2)cc1 ZINC000569983535 1071278095 /nfs/dbraw/zinc/27/80/95/1071278095.db2.gz XTNBSDDVXKUIBK-UHFFFAOYSA-N 0 0 434.540 -0.398 20 0 IBADRN CSCC[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)c1nnc2ccccn21 ZINC000569984506 1071279118 /nfs/dbraw/zinc/27/91/18/1071279118.db2.gz VLQJAMFDKVMQJT-HNNXBMFYSA-N 0 0 447.565 -0.080 20 0 IBADRN CSCC[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)c1nnc2ccccn21 ZINC000569984507 1072436996 /nfs/dbraw/zinc/43/69/96/1072436996.db2.gz VLQJAMFDKVMQJT-OAHLLOKOSA-N 0 0 447.565 -0.080 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)c1ccccc1 ZINC000569993726 1072437049 /nfs/dbraw/zinc/43/70/49/1072437049.db2.gz AFLZBCCPZLSLTN-INIZCTEOSA-N 0 0 428.493 -0.119 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)c1ccccc1 ZINC000569993727 1072436977 /nfs/dbraw/zinc/43/69/77/1072436977.db2.gz AFLZBCCPZLSLTN-MRXNPFEDSA-N 0 0 428.493 -0.119 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NCCN3C(=O)c4ccccc4C3=O)cc2)c1=O ZINC000569995046 1072436959 /nfs/dbraw/zinc/43/69/59/1072436959.db2.gz DEBSNBJWRPAQQH-UHFFFAOYSA-N 0 0 434.412 -0.078 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)ccc1OCCO ZINC000570001351 1072437077 /nfs/dbraw/zinc/43/70/77/1072437077.db2.gz YCFBAYDSTPBOFA-HNNXBMFYSA-N 0 0 445.476 -0.354 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)ccc1OCCO ZINC000570001352 1072437152 /nfs/dbraw/zinc/43/71/52/1072437152.db2.gz YCFBAYDSTPBOFA-OAHLLOKOSA-N 0 0 445.476 -0.354 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000570001775 1072436915 /nfs/dbraw/zinc/43/69/15/1072436915.db2.gz FCJJGYQPJBEPAR-GHMZBOCLSA-N 0 0 428.433 -0.804 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000570001779 1072436933 /nfs/dbraw/zinc/43/69/33/1072436933.db2.gz FCJJGYQPJBEPAR-MNOVXSKESA-N 0 0 428.433 -0.804 20 0 IBADRN C[C@@H](O)CN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000570010997 1071278865 /nfs/dbraw/zinc/27/88/65/1071278865.db2.gz NXCVWIWCNGECDS-GFCCVEGCSA-N 0 0 425.960 -0.091 20 0 IBADRN C[C@H](O)CN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000570010998 1072437092 /nfs/dbraw/zinc/43/70/92/1072437092.db2.gz NXCVWIWCNGECDS-LBPRGKRZSA-N 0 0 425.960 -0.091 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000570012096 1071279089 /nfs/dbraw/zinc/27/90/89/1071279089.db2.gz SDMKPHBLAXLNDO-UHFFFAOYSA-N 0 0 435.524 -0.015 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000570014077 1072436893 /nfs/dbraw/zinc/43/68/93/1072436893.db2.gz BZFWWPZHOHIYQD-GFCCVEGCSA-N 0 0 442.494 -0.686 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000570014078 1072437138 /nfs/dbraw/zinc/43/71/38/1072437138.db2.gz BZFWWPZHOHIYQD-LBPRGKRZSA-N 0 0 442.494 -0.686 20 0 IBADRN COc1ccc(CCNC(=O)CNS(=O)(=O)c2ccccc2)cc1S(N)(=O)=O ZINC000570014563 1072437122 /nfs/dbraw/zinc/43/71/22/1072437122.db2.gz UFAYKGAHQMPORX-UHFFFAOYSA-N 0 0 427.504 -0.020 20 0 IBADRN COC[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC000570016396 1073582566 /nfs/dbraw/zinc/58/25/66/1073582566.db2.gz MPWPMWQLUGPNFT-KRWDZBQOSA-N 0 0 427.523 -0.329 20 0 IBADRN COC[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC000570016397 1073582556 /nfs/dbraw/zinc/58/25/56/1073582556.db2.gz MPWPMWQLUGPNFT-QGZVFWFLSA-N 0 0 427.523 -0.329 20 0 IBADRN CC(C)[C@H](NC(=O)COc1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000570027943 1072437538 /nfs/dbraw/zinc/43/75/38/1072437538.db2.gz UZCVXFBIKBLEEV-IBGZPJMESA-N 0 0 429.481 -0.436 20 0 IBADRN CC[C@@](C)(CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000570029962 1072437685 /nfs/dbraw/zinc/43/76/85/1072437685.db2.gz IQAPODAFHSHLRO-ACJLOTCBSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@](C)(CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000570029963 1071279786 /nfs/dbraw/zinc/27/97/86/1071279786.db2.gz IQAPODAFHSHLRO-FZKQIMNGSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@](C)(CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000570029964 1072437627 /nfs/dbraw/zinc/43/76/27/1072437627.db2.gz IQAPODAFHSHLRO-SCLBCKFNSA-N 0 0 429.495 -0.022 20 0 IBADRN CC[C@@](C)(CO)NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000570029965 1072437711 /nfs/dbraw/zinc/43/77/11/1072437711.db2.gz IQAPODAFHSHLRO-UGSOOPFHSA-N 0 0 429.495 -0.022 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC000570030526 1072437635 /nfs/dbraw/zinc/43/76/35/1072437635.db2.gz GVLUZOIDOGXXPJ-HNNXBMFYSA-N 0 0 428.449 -0.307 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000570030527 1071279813 /nfs/dbraw/zinc/27/98/13/1071279813.db2.gz GVLUZOIDOGXXPJ-OAHLLOKOSA-N 0 0 428.449 -0.307 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)ncn1 ZINC000570030819 1071279559 /nfs/dbraw/zinc/27/95/59/1071279559.db2.gz JONAQBZKJWDMJY-KRWDZBQOSA-N 0 0 431.541 -0.596 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)ncn1 ZINC000570030822 1072437738 /nfs/dbraw/zinc/43/77/38/1072437738.db2.gz JONAQBZKJWDMJY-QGZVFWFLSA-N 0 0 431.541 -0.596 20 0 IBADRN O=C(CNC(=O)c1ccco1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000570032438 1072437549 /nfs/dbraw/zinc/43/75/49/1072437549.db2.gz XCTRWAKAVZUYKM-UHFFFAOYSA-N 0 0 448.457 -0.127 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000570033781 1073333840 /nfs/dbraw/zinc/33/38/40/1073333840.db2.gz IEUGMULPGRAOPE-CABCVRRESA-N 0 0 449.508 -0.137 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000570033786 1073334119 /nfs/dbraw/zinc/33/41/19/1073334119.db2.gz IEUGMULPGRAOPE-GJZGRUSLSA-N 0 0 449.508 -0.137 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000570033787 1073333793 /nfs/dbraw/zinc/33/37/93/1073333793.db2.gz IEUGMULPGRAOPE-HUUCEWRRSA-N 0 0 449.508 -0.137 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000570033789 1073334129 /nfs/dbraw/zinc/33/41/29/1073334129.db2.gz IEUGMULPGRAOPE-LSDHHAIUSA-N 0 0 449.508 -0.137 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c2)ccn1 ZINC000570065307 1072437602 /nfs/dbraw/zinc/43/76/02/1072437602.db2.gz UTUJQVVKUGNPIW-LLVKDONJSA-N 0 0 435.462 -0.035 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c2)ccn1 ZINC000570065310 1072437677 /nfs/dbraw/zinc/43/76/77/1072437677.db2.gz UTUJQVVKUGNPIW-NSHDSACASA-N 0 0 435.462 -0.035 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCCN(C(=O)c3cccc(C)c3)CC2)CC1 ZINC000570065495 1072437664 /nfs/dbraw/zinc/43/76/64/1072437664.db2.gz XNQDORDPELORFX-UHFFFAOYSA-N 0 0 443.548 -0.004 20 0 IBADRN CCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000570065536 1071280602 /nfs/dbraw/zinc/28/06/02/1071280602.db2.gz YROMGCGBQFSGOL-UHFFFAOYSA-N 0 0 445.494 -0.331 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000570067528 1072437715 /nfs/dbraw/zinc/43/77/15/1072437715.db2.gz VNTIXNSGUWSWCK-DOTOQJQBSA-N 0 0 436.534 -0.258 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000570067529 1072437699 /nfs/dbraw/zinc/43/76/99/1072437699.db2.gz VNTIXNSGUWSWCK-NVXWUHKLSA-N 0 0 436.534 -0.258 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000570067530 1072437728 /nfs/dbraw/zinc/43/77/28/1072437728.db2.gz VNTIXNSGUWSWCK-RDJZCZTQSA-N 0 0 436.534 -0.258 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000570067531 1072437646 /nfs/dbraw/zinc/43/76/46/1072437646.db2.gz VNTIXNSGUWSWCK-WBVHZDCISA-N 0 0 436.534 -0.258 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000570069235 1072437692 /nfs/dbraw/zinc/43/76/92/1072437692.db2.gz LLUZRKVWDDGKKG-CYBMUJFWSA-N 0 0 428.602 -0.522 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000570069239 1072437556 /nfs/dbraw/zinc/43/75/56/1072437556.db2.gz LLUZRKVWDDGKKG-ZDUSSCGKSA-N 0 0 428.602 -0.522 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000570070239 1071280485 /nfs/dbraw/zinc/28/04/85/1071280485.db2.gz FBZXFJZJZMCKRY-GFCCVEGCSA-N 0 0 449.533 -0.454 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000570070240 1072437570 /nfs/dbraw/zinc/43/75/70/1072437570.db2.gz FBZXFJZJZMCKRY-LBPRGKRZSA-N 0 0 449.533 -0.454 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)CC1 ZINC000570074571 1072438272 /nfs/dbraw/zinc/43/82/72/1072438272.db2.gz WEZJJAHRTUSFQL-INIZCTEOSA-N 0 0 434.516 -0.072 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)CC1 ZINC000570074572 1072438184 /nfs/dbraw/zinc/43/81/84/1072438184.db2.gz WEZJJAHRTUSFQL-MRXNPFEDSA-N 0 0 434.516 -0.072 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000570075141 1072438221 /nfs/dbraw/zinc/43/82/21/1072438221.db2.gz KIIXLBATJBOQBO-KRWDZBQOSA-N 0 0 430.509 -0.638 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000570075142 1072438163 /nfs/dbraw/zinc/43/81/63/1072438163.db2.gz KIIXLBATJBOQBO-QGZVFWFLSA-N 0 0 430.509 -0.638 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3cccc(N(C)C)n3)CC2)no1 ZINC000570075989 1073329288 /nfs/dbraw/zinc/32/92/88/1073329288.db2.gz RNJDVZNMVKQUQX-UHFFFAOYSA-N 0 0 429.481 -0.157 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cc2c(cc1OC(F)F)OCO2 ZINC000570076615 1072438286 /nfs/dbraw/zinc/43/82/86/1072438286.db2.gz AYRGGHRKLKJAKQ-CYBMUJFWSA-N 0 0 445.419 -0.216 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cc2c(cc1OC(F)F)OCO2 ZINC000570076616 1072438241 /nfs/dbraw/zinc/43/82/41/1072438241.db2.gz AYRGGHRKLKJAKQ-ZDUSSCGKSA-N 0 0 445.419 -0.216 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000570078054 1072438255 /nfs/dbraw/zinc/43/82/55/1072438255.db2.gz IUKYWCMGYNELNJ-UHFFFAOYSA-N 0 0 439.469 -0.302 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1)CC(=O)N2C ZINC000570078338 1072438154 /nfs/dbraw/zinc/43/81/54/1072438154.db2.gz VEKPJMJVVWKTSB-HNNXBMFYSA-N 0 0 437.522 -0.079 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCSC2(CCOCC2)C1 ZINC000570078339 1071281432 /nfs/dbraw/zinc/28/14/32/1071281432.db2.gz OAPRGUXEYQPODC-UHFFFAOYSA-N 0 0 432.524 -0.665 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1)CC(=O)N2C ZINC000570078341 1072438181 /nfs/dbraw/zinc/43/81/81/1072438181.db2.gz VEKPJMJVVWKTSB-OAHLLOKOSA-N 0 0 437.522 -0.079 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@H]1CCN(c2cc(C)nn2C)C1=O ZINC000570081050 1072438948 /nfs/dbraw/zinc/43/89/48/1072438948.db2.gz SJVBHAVLXVGLSM-HNNXBMFYSA-N 0 0 430.465 -0.313 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@@H]1CCN(c2cc(C)nn2C)C1=O ZINC000570081051 1072438928 /nfs/dbraw/zinc/43/89/28/1072438928.db2.gz SJVBHAVLXVGLSM-OAHLLOKOSA-N 0 0 430.465 -0.313 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCCC2)cc1 ZINC000570085651 1072438725 /nfs/dbraw/zinc/43/87/25/1072438725.db2.gz VSTSVBHIMCPYHB-UHFFFAOYSA-N 0 0 446.551 -0.015 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000570091442 1071281397 /nfs/dbraw/zinc/28/13/97/1071281397.db2.gz ZSNZUSSBKGHIQU-UHFFFAOYSA-N 0 0 439.473 -0.314 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000570111124 1072438873 /nfs/dbraw/zinc/43/88/73/1072438873.db2.gz MEBLFGZPVWRQMN-UHFFFAOYSA-N 0 0 435.506 -0.273 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCOC[C@H]1c1c(C)nn(C)c1C ZINC000570111486 1072438857 /nfs/dbraw/zinc/43/88/57/1072438857.db2.gz OINOYTLWRYGHGJ-HNNXBMFYSA-N 0 0 429.543 -0.275 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCOC[C@@H]1c1c(C)nn(C)c1C ZINC000570111489 1072438904 /nfs/dbraw/zinc/43/89/04/1072438904.db2.gz OINOYTLWRYGHGJ-OAHLLOKOSA-N 0 0 429.543 -0.275 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCN2CCc3ccccc3C2)CC1)N1CCOCC1 ZINC000570111734 1071282104 /nfs/dbraw/zinc/28/21/04/1071282104.db2.gz XAEKALRITIPTPX-UHFFFAOYSA-N 0 0 443.548 -0.836 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000570112652 1072438833 /nfs/dbraw/zinc/43/88/33/1072438833.db2.gz VQCBRDIYXLFRHI-AWEZNQCLSA-N 0 0 443.547 -0.091 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000570112653 1072438882 /nfs/dbraw/zinc/43/88/82/1072438882.db2.gz VQCBRDIYXLFRHI-CQSZACIVSA-N 0 0 443.547 -0.091 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)c1 ZINC000570115771 1072438820 /nfs/dbraw/zinc/43/88/20/1072438820.db2.gz MRJZBVROWQDNRS-IBGZPJMESA-N 0 0 441.506 -0.755 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)c1 ZINC000570115773 1071282476 /nfs/dbraw/zinc/28/24/76/1071282476.db2.gz MRJZBVROWQDNRS-LJQANCHMSA-N 0 0 441.506 -0.755 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)ccc1-n1cnnn1 ZINC000570117144 1072438936 /nfs/dbraw/zinc/43/89/36/1072438936.db2.gz JOKXSRLTXNHPSD-UHFFFAOYSA-N 0 0 440.508 -0.030 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000570119327 1073585787 /nfs/dbraw/zinc/58/57/87/1073585787.db2.gz FLCTTYVNYAWCCU-UHFFFAOYSA-N 0 0 430.552 -0.610 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000570121921 1073585670 /nfs/dbraw/zinc/58/56/70/1073585670.db2.gz QCEISGRQEBGSPA-JLTOFOAXSA-N 0 0 429.481 -0.132 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000570121924 1073585692 /nfs/dbraw/zinc/58/56/92/1073585692.db2.gz QCEISGRQEBGSPA-VBKZILBWSA-N 0 0 429.481 -0.132 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000570121925 1073585869 /nfs/dbraw/zinc/58/58/69/1073585869.db2.gz QCEISGRQEBGSPA-VLIAUNLRSA-N 0 0 429.481 -0.132 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000570121926 1073585848 /nfs/dbraw/zinc/58/58/48/1073585848.db2.gz QCEISGRQEBGSPA-XOBRGWDASA-N 0 0 429.481 -0.132 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(F)c(F)c1 ZINC000570122647 1071282255 /nfs/dbraw/zinc/28/22/55/1071282255.db2.gz BCDFSNDBUMRVBO-HNNXBMFYSA-N 0 0 437.407 -0.874 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(F)c(F)c1 ZINC000570122651 1072439428 /nfs/dbraw/zinc/43/94/28/1072439428.db2.gz BCDFSNDBUMRVBO-OAHLLOKOSA-N 0 0 437.407 -0.874 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cnc(C3CC3)nc2)CC1 ZINC000570125068 1072439303 /nfs/dbraw/zinc/43/93/03/1072439303.db2.gz ZHIWERRVFFWITE-INIZCTEOSA-N 0 0 444.536 -0.596 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCc2cnc(C3CC3)nc2)CC1 ZINC000570125071 1072439449 /nfs/dbraw/zinc/43/94/49/1072439449.db2.gz ZHIWERRVFFWITE-MRXNPFEDSA-N 0 0 444.536 -0.596 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2nc(CCN3C(=O)NC4(CCCC4)C3=O)no2)CC1 ZINC000570127477 1072439312 /nfs/dbraw/zinc/43/93/12/1072439312.db2.gz PJLFANSPUFRBLF-UHFFFAOYSA-N 0 0 426.499 -0.446 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000570127480 1072439438 /nfs/dbraw/zinc/43/94/38/1072439438.db2.gz YSTOPSJZJJQDCE-INIZCTEOSA-N 0 0 448.568 -0.447 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000570127486 1072439318 /nfs/dbraw/zinc/43/93/18/1072439318.db2.gz YSTOPSJZJJQDCE-MRXNPFEDSA-N 0 0 448.568 -0.447 20 0 IBADRN C[C@H](O)C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000570127608 1072439434 /nfs/dbraw/zinc/43/94/34/1072439434.db2.gz UDIHPORFODWJMT-DOTOQJQBSA-N 0 0 430.505 -0.393 20 0 IBADRN C[C@@H](O)C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000570127609 1072439418 /nfs/dbraw/zinc/43/94/18/1072439418.db2.gz UDIHPORFODWJMT-NVXWUHKLSA-N 0 0 430.505 -0.393 20 0 IBADRN C[C@H](O)C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000570127610 1072439344 /nfs/dbraw/zinc/43/93/44/1072439344.db2.gz UDIHPORFODWJMT-RDJZCZTQSA-N 0 0 430.505 -0.393 20 0 IBADRN C[C@@H](O)C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccccc1 ZINC000570127611 1072439445 /nfs/dbraw/zinc/43/94/45/1072439445.db2.gz UDIHPORFODWJMT-WBVHZDCISA-N 0 0 430.505 -0.393 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)CCC1 ZINC000570128988 1072439354 /nfs/dbraw/zinc/43/93/54/1072439354.db2.gz SCNNDFQNMJKUCR-HNNXBMFYSA-N 0 0 446.570 -0.692 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)CCC1 ZINC000570128990 1072439475 /nfs/dbraw/zinc/43/94/75/1072439475.db2.gz SCNNDFQNMJKUCR-OAHLLOKOSA-N 0 0 446.570 -0.692 20 0 IBADRN Cc1cc(=O)cc(C)n1CCCC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000570129612 1072439327 /nfs/dbraw/zinc/43/93/27/1072439327.db2.gz FMQQLXOYRKFFTR-UHFFFAOYSA-N 0 0 439.582 -0.118 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000570130032 1072439403 /nfs/dbraw/zinc/43/94/03/1072439403.db2.gz JNEHWHSSHDKMBU-UHFFFAOYSA-N 0 0 430.508 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000570133155 1072439949 /nfs/dbraw/zinc/43/99/49/1072439949.db2.gz ILVOCDAFLGRWMK-UHFFFAOYSA-N 0 0 441.485 -0.010 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000570147333 1072440020 /nfs/dbraw/zinc/44/00/20/1072440020.db2.gz NGLGQUAZSWMRJD-AEFFLSMTSA-N 0 0 445.563 -0.018 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000570147337 1071283208 /nfs/dbraw/zinc/28/32/08/1071283208.db2.gz NGLGQUAZSWMRJD-FUHWJXTLSA-N 0 0 445.563 -0.018 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000570147339 1072440028 /nfs/dbraw/zinc/44/00/28/1072440028.db2.gz NGLGQUAZSWMRJD-SJLPKXTDSA-N 0 0 445.563 -0.018 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC000570147341 1072440005 /nfs/dbraw/zinc/44/00/05/1072440005.db2.gz NGLGQUAZSWMRJD-WMZOPIPTSA-N 0 0 445.563 -0.018 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)CC1 ZINC000570152154 1072439892 /nfs/dbraw/zinc/43/98/92/1072439892.db2.gz MSHKFTDACOCCSY-DOTOQJQBSA-N 0 0 447.883 -0.356 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)CC1 ZINC000570152155 1072439959 /nfs/dbraw/zinc/43/99/59/1072439959.db2.gz MSHKFTDACOCCSY-NVXWUHKLSA-N 0 0 447.883 -0.356 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@H]2c2ccc(Cl)cc2)CC1 ZINC000570152156 1072439908 /nfs/dbraw/zinc/43/99/08/1072439908.db2.gz MSHKFTDACOCCSY-RDJZCZTQSA-N 0 0 447.883 -0.356 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)CC1 ZINC000570152157 1072440024 /nfs/dbraw/zinc/44/00/24/1072440024.db2.gz MSHKFTDACOCCSY-WBVHZDCISA-N 0 0 447.883 -0.356 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)N2CCOCC2)o1 ZINC000570181001 1072439943 /nfs/dbraw/zinc/43/99/43/1072439943.db2.gz QCPXFFGLOQKIKM-DLBZAZTESA-N 0 0 444.492 -0.267 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)N2CCOCC2)o1 ZINC000570181002 1072440011 /nfs/dbraw/zinc/44/00/11/1072440011.db2.gz QCPXFFGLOQKIKM-IAGOWNOFSA-N 0 0 444.492 -0.267 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)N2CCOCC2)o1 ZINC000570181003 1072439878 /nfs/dbraw/zinc/43/98/78/1072439878.db2.gz QCPXFFGLOQKIKM-IRXDYDNUSA-N 0 0 444.492 -0.267 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)N2CCOCC2)o1 ZINC000570181004 1072439858 /nfs/dbraw/zinc/43/98/58/1072439858.db2.gz QCPXFFGLOQKIKM-SJORKVTESA-N 0 0 444.492 -0.267 20 0 IBADRN CC(=O)N(c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)[C@@H]1C[C@H]1C ZINC000570181557 1072440033 /nfs/dbraw/zinc/44/00/33/1072440033.db2.gz HJDXQVFRZSCPBC-CSMYWGQOSA-N 0 0 427.461 -0.444 20 0 IBADRN CC(=O)N(c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)[C@@H]1C[C@@H]1C ZINC000570181558 1071283248 /nfs/dbraw/zinc/28/32/48/1071283248.db2.gz HJDXQVFRZSCPBC-JCURWCKSSA-N 0 0 427.461 -0.444 20 0 IBADRN CC(=O)N(c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)[C@H]1C[C@H]1C ZINC000570181559 1072439997 /nfs/dbraw/zinc/43/99/97/1072439997.db2.gz HJDXQVFRZSCPBC-JLZZUVOBSA-N 0 0 427.461 -0.444 20 0 IBADRN CC(=O)N(c1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1)[C@H]1C[C@@H]1C ZINC000570181560 1072440036 /nfs/dbraw/zinc/44/00/36/1072440036.db2.gz HJDXQVFRZSCPBC-VUCTXSBTSA-N 0 0 427.461 -0.444 20 0 IBADRN CCC(CC)C(=O)N1CCC(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)CC1 ZINC000570181926 1072439991 /nfs/dbraw/zinc/43/99/91/1072439991.db2.gz QXDRVPSHFZCSSL-UHFFFAOYSA-N 0 0 446.552 -0.028 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1(C)C ZINC000570181991 1071282962 /nfs/dbraw/zinc/28/29/62/1071282962.db2.gz SMZJQEMXAZJAFO-UHFFFAOYSA-N 0 0 431.541 -0.427 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)s1 ZINC000570188259 1072440737 /nfs/dbraw/zinc/44/07/37/1072440737.db2.gz NBDMDMDFOAFTPW-UHFFFAOYSA-N 0 0 432.510 -0.562 20 0 IBADRN Cn1c(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nnc1-c1ccccc1 ZINC000570189227 1072440733 /nfs/dbraw/zinc/44/07/33/1072440733.db2.gz JHUJHMDQGZTNJR-KRWDZBQOSA-N 0 0 432.550 -0.097 20 0 IBADRN Cn1c(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nnc1-c1ccccc1 ZINC000570189229 1072440715 /nfs/dbraw/zinc/44/07/15/1072440715.db2.gz JHUJHMDQGZTNJR-QGZVFWFLSA-N 0 0 432.550 -0.097 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000570189320 1071283769 /nfs/dbraw/zinc/28/37/69/1071283769.db2.gz OGUWZCVGGLOMMN-LLVKDONJSA-N 0 0 444.897 -0.618 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000570189321 1072440669 /nfs/dbraw/zinc/44/06/69/1072440669.db2.gz OGUWZCVGGLOMMN-NSHDSACASA-N 0 0 444.897 -0.618 20 0 IBADRN CCc1cnc(CCNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)s1 ZINC000570192148 1073350198 /nfs/dbraw/zinc/35/01/98/1073350198.db2.gz LWTBGYFYOVJXJQ-UHFFFAOYSA-N 0 0 434.522 0.444 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC000570192171 1072440778 /nfs/dbraw/zinc/44/07/78/1072440778.db2.gz MXLJUTFBLPVUAS-KRWDZBQOSA-N 0 0 429.521 -0.187 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC000570192172 1072440706 /nfs/dbraw/zinc/44/07/06/1072440706.db2.gz MXLJUTFBLPVUAS-QGZVFWFLSA-N 0 0 429.521 -0.187 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000570195471 1071283905 /nfs/dbraw/zinc/28/39/05/1071283905.db2.gz LBMAIVOZVOGCLN-HNNXBMFYSA-N 0 0 447.583 -0.075 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCNS(=O)(=O)c1ccccc1 ZINC000570195474 1072440672 /nfs/dbraw/zinc/44/06/72/1072440672.db2.gz LBMAIVOZVOGCLN-OAHLLOKOSA-N 0 0 447.583 -0.075 20 0 IBADRN COCC1(NC(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@@H]3CCOC3)c2)CC1 ZINC000570196354 1072440792 /nfs/dbraw/zinc/44/07/92/1072440792.db2.gz VHHJXGIZRGRKHP-CYBMUJFWSA-N 0 0 427.479 -0.004 20 0 IBADRN COCC1(NC(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N[C@H]3CCOC3)c2)CC1 ZINC000570196355 1072440785 /nfs/dbraw/zinc/44/07/85/1072440785.db2.gz VHHJXGIZRGRKHP-ZDUSSCGKSA-N 0 0 427.479 -0.004 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)c1C ZINC000570198136 1072440770 /nfs/dbraw/zinc/44/07/70/1072440770.db2.gz AYDBUZKCJZVJKK-CYBMUJFWSA-N 0 0 444.514 -0.920 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)c1C ZINC000570198137 1072440727 /nfs/dbraw/zinc/44/07/27/1072440727.db2.gz AYDBUZKCJZVJKK-ZDUSSCGKSA-N 0 0 444.514 -0.920 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)c1 ZINC000570198177 1072440608 /nfs/dbraw/zinc/44/06/08/1072440608.db2.gz CSQSVOQARSSSGE-UHFFFAOYSA-N 0 0 449.533 -0.137 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1)CC2 ZINC000570199335 1072441325 /nfs/dbraw/zinc/44/13/25/1072441325.db2.gz LTZKQIAEHYCWKT-LLVKDONJSA-N 0 0 436.410 -0.100 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1)CC2 ZINC000570199336 1071283805 /nfs/dbraw/zinc/28/38/05/1071283805.db2.gz LTZKQIAEHYCWKT-NSHDSACASA-N 0 0 436.410 -0.100 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)c1 ZINC000570205013 1071284392 /nfs/dbraw/zinc/28/43/92/1071284392.db2.gz NBUQQOAJTWJWEL-UHFFFAOYSA-N 0 0 446.485 -0.301 20 0 IBADRN Cc1c(NC(=O)C(=O)N2C[C@@H](C)O[C@H](C(N)=O)C2)nnn1CCOc1ccc(F)cc1 ZINC000570219375 1072441240 /nfs/dbraw/zinc/44/12/40/1072441240.db2.gz LMQILPRLCFSGGV-ABAIWWIYSA-N 0 0 434.428 -0.156 20 0 IBADRN Cc1c(NC(=O)C(=O)N2C[C@@H](C)O[C@@H](C(N)=O)C2)nnn1CCOc1ccc(F)cc1 ZINC000570219376 1072441138 /nfs/dbraw/zinc/44/11/38/1072441138.db2.gz LMQILPRLCFSGGV-IAQYHMDHSA-N 0 0 434.428 -0.156 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000570229049 1071285127 /nfs/dbraw/zinc/28/51/27/1071285127.db2.gz LGGQBXALMYZEIY-AWEZNQCLSA-N 0 0 436.534 -0.482 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000570229051 1072441364 /nfs/dbraw/zinc/44/13/64/1072441364.db2.gz LGGQBXALMYZEIY-CQSZACIVSA-N 0 0 436.534 -0.482 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)CC3CCS(=O)(=O)CC3)CC2)no1 ZINC000570229408 1073331329 /nfs/dbraw/zinc/33/13/29/1073331329.db2.gz PQBVNECQTFXTOD-UHFFFAOYSA-N 0 0 434.540 -0.306 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CCNS(=O)(=O)c2ccccc2F)C1 ZINC000570233538 1071285514 /nfs/dbraw/zinc/28/55/14/1071285514.db2.gz NDVUAFXLRRDDHE-CYBMUJFWSA-N 0 0 436.531 -0.119 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(N3CCOCC3)c2)C1 ZINC000570237176 1071285213 /nfs/dbraw/zinc/28/52/13/1071285213.db2.gz GWSXOMOMRMCAKI-MRXNPFEDSA-N 0 0 439.538 -0.151 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)n1 ZINC000570239116 1072441273 /nfs/dbraw/zinc/44/12/73/1072441273.db2.gz WWJNKDDXBLXXAX-UHFFFAOYSA-N 0 0 443.464 -0.110 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)CCO1 ZINC000570241544 1072441180 /nfs/dbraw/zinc/44/11/80/1072441180.db2.gz KGFPTGMZKNCWMT-GFCCVEGCSA-N 0 0 429.376 -0.004 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)CCO1 ZINC000570241545 1072441819 /nfs/dbraw/zinc/44/18/19/1072441819.db2.gz KGFPTGMZKNCWMT-LBPRGKRZSA-N 0 0 429.376 -0.004 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000570243670 1072441917 /nfs/dbraw/zinc/44/19/17/1072441917.db2.gz HPOOEIQDOZJYBF-CYBMUJFWSA-N 0 0 447.539 -0.950 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000570243672 1072441861 /nfs/dbraw/zinc/44/18/61/1072441861.db2.gz HPOOEIQDOZJYBF-ZDUSSCGKSA-N 0 0 447.539 -0.950 20 0 IBADRN Cn1nc(CCNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c2ccccc21 ZINC000570243693 1072441778 /nfs/dbraw/zinc/44/17/78/1072441778.db2.gz HXEWCQLNVXANEE-UHFFFAOYSA-N 0 0 434.478 -0.544 20 0 IBADRN O=C(N[C@@H]1CCC[C@H]2OCC[C@H]21)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000570245208 1071285494 /nfs/dbraw/zinc/28/54/94/1071285494.db2.gz KNZZHIFKYNWBRA-ARFHVFGLSA-N 0 0 426.495 -0.278 20 0 IBADRN O=C(N[C@@H]1CCC[C@H]2OCC[C@@H]21)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000570245213 1072441885 /nfs/dbraw/zinc/44/18/85/1072441885.db2.gz KNZZHIFKYNWBRA-BZUAXINKSA-N 0 0 426.495 -0.278 20 0 IBADRN O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000570245214 1072441810 /nfs/dbraw/zinc/44/18/10/1072441810.db2.gz KNZZHIFKYNWBRA-OAGGEKHMSA-N 0 0 426.495 -0.278 20 0 IBADRN O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000570245215 1072441907 /nfs/dbraw/zinc/44/19/07/1072441907.db2.gz KNZZHIFKYNWBRA-XHSDSOJGSA-N 0 0 426.495 -0.278 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)NCc1cccc(C(=O)NC2CC2)c1 ZINC000570248292 1071285476 /nfs/dbraw/zinc/28/54/76/1071285476.db2.gz PPBDJGULKULPKZ-UHFFFAOYSA-N 0 0 446.533 -0.075 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F)CN1CCOCC1 ZINC000570250135 1071285341 /nfs/dbraw/zinc/28/53/41/1071285341.db2.gz BTDAHDOJLUMJDJ-IBGZPJMESA-N 0 0 444.435 -0.433 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F)CN1CCOCC1 ZINC000570250137 1073594100 /nfs/dbraw/zinc/59/41/00/1073594100.db2.gz BTDAHDOJLUMJDJ-LJQANCHMSA-N 0 0 444.435 -0.433 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)nn1 ZINC000570250936 1072441892 /nfs/dbraw/zinc/44/18/92/1072441892.db2.gz GTOCHCQWIZMFCT-UHFFFAOYSA-N 0 0 427.439 -0.937 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CNS(=O)(=O)c2cccc(C(C)=O)c2)cn1 ZINC000570252500 1073594579 /nfs/dbraw/zinc/59/45/79/1073594579.db2.gz PDHLHHYDSFUWSR-UHFFFAOYSA-N 0 0 437.478 -0.235 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000570266082 1072441785 /nfs/dbraw/zinc/44/17/85/1072441785.db2.gz IMMIOABJFMVNSE-UHFFFAOYSA-N 0 0 445.446 -0.308 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)nn1 ZINC000570267030 1073319187 /nfs/dbraw/zinc/31/91/87/1073319187.db2.gz WXTUKEKSZUIYSS-UHFFFAOYSA-N 0 0 428.497 0.321 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)on1 ZINC000570267252 1071286238 /nfs/dbraw/zinc/28/62/38/1071286238.db2.gz FEDZVUUTZXHNTK-UHFFFAOYSA-N 0 0 428.449 -0.755 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCN2CCCCC2=O)CC1)NCc1ccccc1 ZINC000570267395 1072441901 /nfs/dbraw/zinc/44/19/01/1072441901.db2.gz GYMKYQRLUWOCAX-UHFFFAOYSA-N 0 0 443.548 -0.034 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000570267820 1072441847 /nfs/dbraw/zinc/44/18/47/1072441847.db2.gz UZMNBPBVTHIWNV-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@H]1c1ncc[nH]1 ZINC000570267825 1072441838 /nfs/dbraw/zinc/44/18/38/1072441838.db2.gz VJIBGYZUUGXBNH-AWEZNQCLSA-N 0 0 444.583 -0.890 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@H]1c1ncc[nH]1 ZINC000570267826 1071285945 /nfs/dbraw/zinc/28/59/45/1071285945.db2.gz VJIBGYZUUGXBNH-CQSZACIVSA-N 0 0 444.583 -0.890 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)[C@H](C(=O)OC)C1 ZINC000570271019 1072441761 /nfs/dbraw/zinc/44/17/61/1072441761.db2.gz RJTYODQVFIVYTH-GWCFXTLKSA-N 0 0 427.435 -0.774 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000570271020 1072441832 /nfs/dbraw/zinc/44/18/32/1072441832.db2.gz RJTYODQVFIVYTH-GXFFZTMASA-N 0 0 427.435 -0.774 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)[C@H](C(=O)OC)C1 ZINC000570271021 1072441912 /nfs/dbraw/zinc/44/19/12/1072441912.db2.gz RJTYODQVFIVYTH-MFKMUULPSA-N 0 0 427.435 -0.774 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)[C@@H](C(=O)OC)C1 ZINC000570271022 1072441914 /nfs/dbraw/zinc/44/19/14/1072441914.db2.gz RJTYODQVFIVYTH-ZWNOBZJWSA-N 0 0 427.435 -0.774 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CC(=O)N(C)C(=O)C3)CC2)o1 ZINC000570296745 1072442531 /nfs/dbraw/zinc/44/25/31/1072442531.db2.gz IRLMABYARGRAJW-UHFFFAOYSA-N 0 0 441.462 -0.316 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)NCC1(N2CCOCC2)CC1 ZINC000570299855 1072442430 /nfs/dbraw/zinc/44/24/30/1072442430.db2.gz SPCVKUAGWSEAAY-UHFFFAOYSA-N 0 0 435.525 -0.272 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)c3cnn(C)c3C)CC2)cn1C ZINC000570300417 1071287437 /nfs/dbraw/zinc/28/74/37/1071287437.db2.gz VBOZYIVRGPUEOL-GFCCVEGCSA-N 0 0 437.526 -0.519 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)c3cnn(C)c3C)CC2)cn1C ZINC000570300419 1073598816 /nfs/dbraw/zinc/59/88/16/1073598816.db2.gz VBOZYIVRGPUEOL-LBPRGKRZSA-N 0 0 437.526 -0.519 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3cc(C(=O)N(C)C)n(C)c3)CC2)no1 ZINC000570302544 1072442292 /nfs/dbraw/zinc/44/22/92/1072442292.db2.gz NSWRWPMAYDZFAV-UHFFFAOYSA-N 0 0 438.510 -0.032 20 0 IBADRN CNS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1cc(C)ccc1Br ZINC000570302899 1072442478 /nfs/dbraw/zinc/44/24/78/1072442478.db2.gz XARSMGBLQLRVNN-UHFFFAOYSA-N 0 0 443.345 -0.794 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)CC1 ZINC000570308390 1072442421 /nfs/dbraw/zinc/44/24/21/1072442421.db2.gz HELWIBSALCGFDR-DOTOQJQBSA-N 0 0 449.570 -0.821 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)CC1 ZINC000570308391 1072442514 /nfs/dbraw/zinc/44/25/14/1072442514.db2.gz HELWIBSALCGFDR-NVXWUHKLSA-N 0 0 449.570 -0.821 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)CC1 ZINC000570308392 1071287612 /nfs/dbraw/zinc/28/76/12/1071287612.db2.gz HELWIBSALCGFDR-RDJZCZTQSA-N 0 0 449.570 -0.821 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)CC1 ZINC000570308393 1072442329 /nfs/dbraw/zinc/44/23/29/1072442329.db2.gz HELWIBSALCGFDR-WBVHZDCISA-N 0 0 449.570 -0.821 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC000570308402 1072442372 /nfs/dbraw/zinc/44/23/72/1072442372.db2.gz IUCOKYQFBJAAHO-FQEVSTJZSA-N 0 0 439.516 -0.013 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC000570308403 1072442523 /nfs/dbraw/zinc/44/25/23/1072442523.db2.gz IUCOKYQFBJAAHO-HXUWFJFHSA-N 0 0 439.516 -0.013 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)C2=O)n(C)n1 ZINC000570309265 1072442546 /nfs/dbraw/zinc/44/25/46/1072442546.db2.gz BQPZUWVKMZSZSB-CABCVRRESA-N 0 0 426.481 -0.475 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)C2=O)n(C)n1 ZINC000570309267 1072442509 /nfs/dbraw/zinc/44/25/09/1072442509.db2.gz BQPZUWVKMZSZSB-GJZGRUSLSA-N 0 0 426.481 -0.475 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)C2=O)n(C)n1 ZINC000570309269 1072442313 /nfs/dbraw/zinc/44/23/13/1072442313.db2.gz BQPZUWVKMZSZSB-HUUCEWRRSA-N 0 0 426.481 -0.475 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)C2=O)n(C)n1 ZINC000570309271 1072442460 /nfs/dbraw/zinc/44/24/60/1072442460.db2.gz BQPZUWVKMZSZSB-LSDHHAIUSA-N 0 0 426.481 -0.475 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccc(CS(C)(=O)=O)cc3)CC2=O)cn1 ZINC000570310354 1071287659 /nfs/dbraw/zinc/28/76/59/1071287659.db2.gz WAXLOIJCYCWZRB-UHFFFAOYSA-N 0 0 433.490 -0.544 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)NCCCO[C@H]3CCOC3)CC2)nc2ccccc12 ZINC000570311397 1072442404 /nfs/dbraw/zinc/44/24/04/1072442404.db2.gz SZOUEJZYFVDWKM-INIZCTEOSA-N 0 0 442.520 0.168 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)NCCCO[C@@H]3CCOC3)CC2)nc2ccccc12 ZINC000570311404 1072442447 /nfs/dbraw/zinc/44/24/47/1072442447.db2.gz SZOUEJZYFVDWKM-MRXNPFEDSA-N 0 0 442.520 0.168 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1F ZINC000570313768 1072442467 /nfs/dbraw/zinc/44/24/67/1072442467.db2.gz LRBYKHNHTGWHLI-UHFFFAOYSA-N 0 0 430.458 -0.109 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2=O)cc1 ZINC000570316495 1071288504 /nfs/dbraw/zinc/28/85/04/1071288504.db2.gz SATUGXITHBUGKF-IBGZPJMESA-N 0 0 441.532 -0.365 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2=O)cc1 ZINC000570316497 1071288249 /nfs/dbraw/zinc/28/82/49/1071288249.db2.gz SATUGXITHBUGKF-LJQANCHMSA-N 0 0 441.532 -0.365 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccccc2Cn2cncn2)CC1 ZINC000570321681 1072442384 /nfs/dbraw/zinc/44/23/84/1072442384.db2.gz PXERPYPZLBIMCS-UHFFFAOYSA-N 0 0 428.540 -0.171 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000570328338 1072442961 /nfs/dbraw/zinc/44/29/61/1072442961.db2.gz KOEDHSGXIWKISC-UHFFFAOYSA-N 0 0 429.547 -0.705 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(CCOc3ccccc3)CC2)CC1 ZINC000570339986 1071288440 /nfs/dbraw/zinc/28/84/40/1071288440.db2.gz CNEIMAPZSMDBMK-UHFFFAOYSA-N 0 0 445.564 -0.122 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)c[nH]1 ZINC000570346234 1072443114 /nfs/dbraw/zinc/44/31/14/1072443114.db2.gz HJCZBYYMSMWYFE-CYBMUJFWSA-N 0 0 449.489 -0.812 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)c[nH]1 ZINC000570346235 1072442900 /nfs/dbraw/zinc/44/29/00/1072442900.db2.gz HJCZBYYMSMWYFE-ZDUSSCGKSA-N 0 0 449.489 -0.812 20 0 IBADRN CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000570346902 1073351600 /nfs/dbraw/zinc/35/16/00/1073351600.db2.gz SXULWLIMDCOEAZ-CYBMUJFWSA-N 0 0 440.530 -0.035 20 0 IBADRN CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000570346903 1072442884 /nfs/dbraw/zinc/44/28/84/1072442884.db2.gz SXULWLIMDCOEAZ-ZDUSSCGKSA-N 0 0 440.530 -0.035 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(-c3ccc4c(c3)OCO4)n1C)C2 ZINC000570347458 1072442972 /nfs/dbraw/zinc/44/29/72/1072442972.db2.gz SLPWBNUOKRJHOK-UHFFFAOYSA-N 0 0 426.437 -0.323 20 0 IBADRN CCOc1ccc(C(=O)N2CCC(NC(=O)C(=O)N3CCO[C@@H](C(=O)NC)C3)CC2)cc1 ZINC000570347520 1072443035 /nfs/dbraw/zinc/44/30/35/1072443035.db2.gz BCDJOWVPMSOISQ-GOSISDBHSA-N 0 0 446.504 -0.221 20 0 IBADRN CCOc1ccc(C(=O)N2CCC(NC(=O)C(=O)N3CCO[C@H](C(=O)NC)C3)CC2)cc1 ZINC000570347521 1072443088 /nfs/dbraw/zinc/44/30/88/1072443088.db2.gz BCDJOWVPMSOISQ-SFHVURJKSA-N 0 0 446.504 -0.221 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000570347823 1071288231 /nfs/dbraw/zinc/28/82/31/1071288231.db2.gz NDRBFCKKNZOWFS-UHFFFAOYSA-N 0 0 432.525 -0.797 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCn3ncnc3C2)N2CCOCC2)cc1OC ZINC000570348148 1072443046 /nfs/dbraw/zinc/44/30/46/1072443046.db2.gz KSCXQNBMNSBAHT-INIZCTEOSA-N 0 0 444.492 -0.173 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCn3ncnc3C2)N2CCOCC2)cc1OC ZINC000570348151 1072443094 /nfs/dbraw/zinc/44/30/94/1072443094.db2.gz KSCXQNBMNSBAHT-MRXNPFEDSA-N 0 0 444.492 -0.173 20 0 IBADRN COC(=O)c1ccc(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)nc1 ZINC000570348352 1072443124 /nfs/dbraw/zinc/44/31/24/1072443124.db2.gz OSAFSOJZBULSRX-UHFFFAOYSA-N 0 0 432.458 -0.081 20 0 IBADRN CCOCc1ccccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000570350064 1072443011 /nfs/dbraw/zinc/44/30/11/1072443011.db2.gz VCWHYYBQIPRKRN-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN CC(=O)Nc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000570351034 1071288924 /nfs/dbraw/zinc/28/89/24/1071288924.db2.gz FLXHTJMXKHKDAL-UHFFFAOYSA-N 0 0 445.520 -0.693 20 0 IBADRN COC(=O)[C@@]1(c2ccccc2)CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000570352581 1072443000 /nfs/dbraw/zinc/44/30/00/1072443000.db2.gz RBMBGHMNEUCBCV-NRFANRHFSA-N 0 0 425.445 -0.223 20 0 IBADRN COC(=O)[C@]1(c2ccccc2)CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000570352582 1072442950 /nfs/dbraw/zinc/44/29/50/1072442950.db2.gz RBMBGHMNEUCBCV-OAQYLSRUSA-N 0 0 425.445 -0.223 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)c1 ZINC000570354107 1072443101 /nfs/dbraw/zinc/44/31/01/1072443101.db2.gz JAEJTDBDOVNYGZ-HNNXBMFYSA-N 0 0 440.522 -0.659 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)c1 ZINC000570354110 1072443066 /nfs/dbraw/zinc/44/30/66/1072443066.db2.gz JAEJTDBDOVNYGZ-OAHLLOKOSA-N 0 0 440.522 -0.659 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)C1=O ZINC000570357745 1072442926 /nfs/dbraw/zinc/44/29/26/1072442926.db2.gz BOVCHBNRASZSJC-HNNXBMFYSA-N 0 0 428.497 -0.905 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)C1=O ZINC000570357746 1072443536 /nfs/dbraw/zinc/44/35/36/1072443536.db2.gz BOVCHBNRASZSJC-OAHLLOKOSA-N 0 0 428.497 -0.905 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCC[C@H]2CCO[C@@H](C)C2)CC1 ZINC000570358357 1071289734 /nfs/dbraw/zinc/28/97/34/1071289734.db2.gz FSYCXYSBYNJCRZ-BZSNNMDCSA-N 0 0 426.558 -0.007 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCC[C@@H]2CCO[C@H](C)C2)CC1 ZINC000570358360 1072443596 /nfs/dbraw/zinc/44/35/96/1072443596.db2.gz FSYCXYSBYNJCRZ-FGTMMUONSA-N 0 0 426.558 -0.007 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCC[C@@H]2CCO[C@@H](C)C2)CC1 ZINC000570358361 1072443619 /nfs/dbraw/zinc/44/36/19/1072443619.db2.gz FSYCXYSBYNJCRZ-OKZBNKHCSA-N 0 0 426.558 -0.007 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCC[C@H]2CCO[C@H](C)C2)CC1 ZINC000570358362 1072443533 /nfs/dbraw/zinc/44/35/33/1072443533.db2.gz FSYCXYSBYNJCRZ-SQNIBIBYSA-N 0 0 426.558 -0.007 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000570360957 1072443612 /nfs/dbraw/zinc/44/36/12/1072443612.db2.gz FOMASRYCMKUNOI-CVEARBPZSA-N 0 0 440.497 -0.417 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000570360958 1072443592 /nfs/dbraw/zinc/44/35/92/1072443592.db2.gz FOMASRYCMKUNOI-HOTGVXAUSA-N 0 0 440.497 -0.417 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000570360959 1072443569 /nfs/dbraw/zinc/44/35/69/1072443569.db2.gz FOMASRYCMKUNOI-HZPDHXFCSA-N 0 0 440.497 -0.417 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000570360960 1072443577 /nfs/dbraw/zinc/44/35/77/1072443577.db2.gz FOMASRYCMKUNOI-JKSUJKDBSA-N 0 0 440.497 -0.417 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)cn1 ZINC000570363336 1072443574 /nfs/dbraw/zinc/44/35/74/1072443574.db2.gz JDAOSPZWCKOQCF-LMMKCTJWSA-N 0 0 432.525 -0.338 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)cn1 ZINC000570363337 1072443540 /nfs/dbraw/zinc/44/35/40/1072443540.db2.gz JDAOSPZWCKOQCF-SCTDSRPQSA-N 0 0 432.525 -0.338 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)O1 ZINC000570370080 1072443600 /nfs/dbraw/zinc/44/36/00/1072443600.db2.gz GMJKOYGXEPDQMH-FHLIZLRMSA-N 0 0 433.509 -0.330 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)O1 ZINC000570370081 1072443614 /nfs/dbraw/zinc/44/36/14/1072443614.db2.gz GMJKOYGXEPDQMH-JCGIZDLHSA-N 0 0 433.509 -0.330 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3ccc(=O)n(C)c3c2)CC1 ZINC000570372859 1072443525 /nfs/dbraw/zinc/44/35/25/1072443525.db2.gz JVDWBVFWWMIGFN-UHFFFAOYSA-N 0 0 435.506 -0.182 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCCNc1nccc(C(F)(F)F)n1 ZINC000570384106 1072444096 /nfs/dbraw/zinc/44/40/96/1072444096.db2.gz ZQSPHBBLVKSIIB-UHFFFAOYSA-N 0 0 426.359 -0.640 20 0 IBADRN O=C(CN1CCn2nnc(CO)c2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000570388054 1072444237 /nfs/dbraw/zinc/44/42/37/1072444237.db2.gz BNXCWSFDFAYTLE-UHFFFAOYSA-N 0 0 438.485 -0.742 20 0 IBADRN COC(=O)C1(NC(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)CCCCC1 ZINC000570392835 1072444131 /nfs/dbraw/zinc/44/41/31/1072444131.db2.gz FMXGZQRPMSURJE-UHFFFAOYSA-N 0 0 425.467 -0.474 20 0 IBADRN Cc1c([C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cnn1C ZINC000570416314 1072444081 /nfs/dbraw/zinc/44/40/81/1072444081.db2.gz HLSOECSTGFNSLN-DZGCQCFKSA-N 0 0 427.527 -0.441 20 0 IBADRN Cc1c([C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cnn1C ZINC000570416317 1072444215 /nfs/dbraw/zinc/44/42/15/1072444215.db2.gz HLSOECSTGFNSLN-HIFRSBDPSA-N 0 0 427.527 -0.441 20 0 IBADRN Cc1c([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cnn1C ZINC000570416319 1072444090 /nfs/dbraw/zinc/44/40/90/1072444090.db2.gz HLSOECSTGFNSLN-UKRRQHHQSA-N 0 0 427.527 -0.441 20 0 IBADRN Cc1c([C@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cnn1C ZINC000570416321 1071291284 /nfs/dbraw/zinc/29/12/84/1071291284.db2.gz HLSOECSTGFNSLN-ZFWWWQNUSA-N 0 0 427.527 -0.441 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Oc1ccccc1 ZINC000570422291 1073609671 /nfs/dbraw/zinc/60/96/71/1073609671.db2.gz QAZWXNSNSWJSNZ-UHFFFAOYSA-N 0 0 432.521 -0.037 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)C2)n(C)n1 ZINC000570422993 1072444227 /nfs/dbraw/zinc/44/42/27/1072444227.db2.gz CDHIRELMEXZGEG-CVEARBPZSA-N 0 0 442.524 -0.141 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)C2)n(C)n1 ZINC000570423000 1072444231 /nfs/dbraw/zinc/44/42/31/1072444231.db2.gz CDHIRELMEXZGEG-HOTGVXAUSA-N 0 0 442.524 -0.141 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)C2)n(C)n1 ZINC000570423004 1072444107 /nfs/dbraw/zinc/44/41/07/1072444107.db2.gz CDHIRELMEXZGEG-HZPDHXFCSA-N 0 0 442.524 -0.141 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)C2)n(C)n1 ZINC000570423010 1072444168 /nfs/dbraw/zinc/44/41/68/1072444168.db2.gz CDHIRELMEXZGEG-JKSUJKDBSA-N 0 0 442.524 -0.141 20 0 IBADRN O=C(Nc1cc(-n2cnnn2)ccc1F)C(=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000570423099 1072444205 /nfs/dbraw/zinc/44/42/05/1072444205.db2.gz COFGYDCQZQDYSP-UHFFFAOYSA-N 0 0 437.457 -0.187 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1nnc2n1CCCCC2 ZINC000570423911 1071292313 /nfs/dbraw/zinc/29/23/13/1071292313.db2.gz KIFJZKJJNFDPSD-CYBMUJFWSA-N 0 0 431.497 -0.869 20 0 IBADRN CO[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1(C)C ZINC000570430956 1072444137 /nfs/dbraw/zinc/44/41/37/1072444137.db2.gz HRHIWTSTSBKRJS-CVEARBPZSA-N 0 0 432.525 -0.109 20 0 IBADRN CO[C@H]1C[C@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1(C)C ZINC000570430959 1072444183 /nfs/dbraw/zinc/44/41/83/1072444183.db2.gz HRHIWTSTSBKRJS-HOTGVXAUSA-N 0 0 432.525 -0.109 20 0 IBADRN CO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1(C)C ZINC000570430960 1072444196 /nfs/dbraw/zinc/44/41/96/1072444196.db2.gz HRHIWTSTSBKRJS-HZPDHXFCSA-N 0 0 432.525 -0.109 20 0 IBADRN CO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1(C)C ZINC000570430961 1072444158 /nfs/dbraw/zinc/44/41/58/1072444158.db2.gz HRHIWTSTSBKRJS-JKSUJKDBSA-N 0 0 432.525 -0.109 20 0 IBADRN Cn1nc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c2ccccc21 ZINC000570432580 1072444756 /nfs/dbraw/zinc/44/47/56/1072444756.db2.gz UCTQLKLWHSDPRF-UHFFFAOYSA-N 0 0 442.520 -0.765 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CCNS(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000570433982 1071292263 /nfs/dbraw/zinc/29/22/63/1071292263.db2.gz QXYXWQVRHURXQB-UHFFFAOYSA-N 0 0 446.551 -0.398 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@H]2CCc3nc(COC)nn3C2)C1 ZINC000570434213 1071292206 /nfs/dbraw/zinc/29/22/06/1071292206.db2.gz ILJWSBWQBBOBLQ-KFWWJZLASA-N 0 0 436.513 -0.755 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCc3nc(COC)nn3C2)C1 ZINC000570434214 1072444676 /nfs/dbraw/zinc/44/46/76/1072444676.db2.gz ILJWSBWQBBOBLQ-RBSFLKMASA-N 0 0 436.513 -0.755 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCc3nc(COC)nn3C2)C1 ZINC000570434215 1072444728 /nfs/dbraw/zinc/44/47/28/1072444728.db2.gz ILJWSBWQBBOBLQ-RRFJBIMHSA-N 0 0 436.513 -0.755 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCc3nc(COC)nn3C2)C1 ZINC000570434216 1072444766 /nfs/dbraw/zinc/44/47/66/1072444766.db2.gz ILJWSBWQBBOBLQ-ZNMIVQPWSA-N 0 0 436.513 -0.755 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCS(=O)(=O)C[C@@H]3C)cc2)CC1 ZINC000570438959 1072444779 /nfs/dbraw/zinc/44/47/79/1072444779.db2.gz RNPFYWFUDXAIKA-AWEZNQCLSA-N 0 0 443.547 -0.202 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCS(=O)(=O)C[C@H]3C)cc2)CC1 ZINC000570438965 1072444708 /nfs/dbraw/zinc/44/47/08/1072444708.db2.gz RNPFYWFUDXAIKA-CQSZACIVSA-N 0 0 443.547 -0.202 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)CC1 ZINC000570439644 1072444805 /nfs/dbraw/zinc/44/48/05/1072444805.db2.gz YGGYPUDPMXTWEB-UHFFFAOYSA-N 0 0 431.540 -0.620 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000570440632 1072444785 /nfs/dbraw/zinc/44/47/85/1072444785.db2.gz HXIKBNLLRDGSDO-BETUJISGSA-N 0 0 447.535 -0.416 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)cc1 ZINC000570440633 1072444720 /nfs/dbraw/zinc/44/47/20/1072444720.db2.gz HXIKBNLLRDGSDO-CHWSQXEVSA-N 0 0 447.535 -0.416 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000570440634 1072444820 /nfs/dbraw/zinc/44/48/20/1072444820.db2.gz HXIKBNLLRDGSDO-STQMWFEESA-N 0 0 447.535 -0.416 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1C(=O)N(C)C ZINC000570440831 1072444815 /nfs/dbraw/zinc/44/48/15/1072444815.db2.gz NFPBLWUUCYCFQU-UHFFFAOYSA-N 0 0 439.538 -0.024 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000570440907 1072444791 /nfs/dbraw/zinc/44/47/91/1072444791.db2.gz QBKYWKAOBLBQFG-UHFFFAOYSA-N 0 0 433.396 0.245 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)CC1)N1CCOCC1 ZINC000570458479 1073612336 /nfs/dbraw/zinc/61/23/36/1073612336.db2.gz ZQVAVXNUZNBQGZ-BHIYHBOVSA-N 0 0 434.537 -0.547 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)CC1)N1CCOCC1 ZINC000570458480 1073612252 /nfs/dbraw/zinc/61/22/52/1073612252.db2.gz ZQVAVXNUZNBQGZ-QRQLOZEOSA-N 0 0 434.537 -0.547 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)CC1)N1CCOCC1 ZINC000570458481 1073612315 /nfs/dbraw/zinc/61/23/15/1073612315.db2.gz ZQVAVXNUZNBQGZ-QXAKKESOSA-N 0 0 434.537 -0.547 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)CC1)N1CCOCC1 ZINC000570458482 1073612291 /nfs/dbraw/zinc/61/22/91/1073612291.db2.gz ZQVAVXNUZNBQGZ-UHOSZYNNSA-N 0 0 434.537 -0.547 20 0 IBADRN COCCc1ccc(OC[C@@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000570465361 1071292881 /nfs/dbraw/zinc/29/28/81/1071292881.db2.gz DLIIYQYHEGSNKS-IBGZPJMESA-N 0 0 435.521 -0.382 20 0 IBADRN COCCc1ccc(OC[C@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000570465362 1072445226 /nfs/dbraw/zinc/44/52/26/1072445226.db2.gz DLIIYQYHEGSNKS-LJQANCHMSA-N 0 0 435.521 -0.382 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(C)c(S(C)(=O)=O)c2)CC1 ZINC000570473779 1072445270 /nfs/dbraw/zinc/44/52/70/1072445270.db2.gz INHKQRMPXOAEEX-UHFFFAOYSA-N 0 0 426.539 -0.012 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1 ZINC000570476514 1072445249 /nfs/dbraw/zinc/44/52/49/1072445249.db2.gz BETUUMZMEYLZCZ-HNNXBMFYSA-N 0 0 432.543 -0.988 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1 ZINC000570476523 1072445253 /nfs/dbraw/zinc/44/52/53/1072445253.db2.gz BETUUMZMEYLZCZ-OAHLLOKOSA-N 0 0 432.543 -0.988 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CC[C@H](N(Cc2noc(CC)n2)C(C)=O)C1 ZINC000570480548 1072445216 /nfs/dbraw/zinc/44/52/16/1072445216.db2.gz MAFWQUZPVXGGDJ-AWEZNQCLSA-N 0 0 436.513 -0.140 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CC[C@@H](N(Cc2noc(CC)n2)C(C)=O)C1 ZINC000570480552 1072445237 /nfs/dbraw/zinc/44/52/37/1072445237.db2.gz MAFWQUZPVXGGDJ-CQSZACIVSA-N 0 0 436.513 -0.140 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000570483854 1072445222 /nfs/dbraw/zinc/44/52/22/1072445222.db2.gz QBSCNINHVWKJDC-DZGCQCFKSA-N 0 0 446.547 -0.192 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)CC2)n[nH]1 ZINC000570484655 1072445244 /nfs/dbraw/zinc/44/52/44/1072445244.db2.gz IAIGZLMAMJKQTK-INIZCTEOSA-N 0 0 438.554 -0.170 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)CC2)n[nH]1 ZINC000570484656 1072445248 /nfs/dbraw/zinc/44/52/48/1072445248.db2.gz IAIGZLMAMJKQTK-MRXNPFEDSA-N 0 0 438.554 -0.170 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000570488033 1072445241 /nfs/dbraw/zinc/44/52/41/1072445241.db2.gz IMTZENLNZZPHOY-UHFFFAOYSA-N 0 0 447.535 -0.079 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000570490615 1073614544 /nfs/dbraw/zinc/61/45/44/1073614544.db2.gz VAZOILPRODGYPX-AWEZNQCLSA-N 0 0 434.474 -0.199 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000570490616 1072445263 /nfs/dbraw/zinc/44/52/63/1072445263.db2.gz VAZOILPRODGYPX-CQSZACIVSA-N 0 0 434.474 -0.199 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCC(=O)OC)c(OC)c1 ZINC000570502344 1072445913 /nfs/dbraw/zinc/44/59/13/1072445913.db2.gz SVVOFANZWBTDEH-UHFFFAOYSA-N 0 0 437.496 -0.586 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CC[C@@](O)(C(F)(F)F)C1 ZINC000570504334 1072445935 /nfs/dbraw/zinc/44/59/35/1072445935.db2.gz SRRQKAFZTXTCIT-PXAZEXFGSA-N 0 0 441.414 -0.017 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CC[C@@](O)(C(F)(F)F)C1 ZINC000570504335 1072445932 /nfs/dbraw/zinc/44/59/32/1072445932.db2.gz SRRQKAFZTXTCIT-SJCJKPOMSA-N 0 0 441.414 -0.017 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CC[C@](O)(C(F)(F)F)C1 ZINC000570504336 1072445863 /nfs/dbraw/zinc/44/58/63/1072445863.db2.gz SRRQKAFZTXTCIT-SJKOYZFVSA-N 0 0 441.414 -0.017 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CC[C@](O)(C(F)(F)F)C1 ZINC000570504337 1072445758 /nfs/dbraw/zinc/44/57/58/1072445758.db2.gz SRRQKAFZTXTCIT-YVEFUNNKSA-N 0 0 441.414 -0.017 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCc4nnn(C)c4C3)CC2)C[C@@H](C)O1 ZINC000570505300 1072445768 /nfs/dbraw/zinc/44/57/68/1072445768.db2.gz QIKKGZQLCCNXMX-KFWWJZLASA-N 0 0 426.543 -0.582 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCc4nnn(C)c4C3)CC2)C[C@H](C)O1 ZINC000570505301 1072445941 /nfs/dbraw/zinc/44/59/41/1072445941.db2.gz QIKKGZQLCCNXMX-QLFBSQMISA-N 0 0 426.543 -0.582 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCc4nnn(C)c4C3)CC2)C[C@@H](C)O1 ZINC000570505302 1072445884 /nfs/dbraw/zinc/44/58/84/1072445884.db2.gz QIKKGZQLCCNXMX-RBSFLKMASA-N 0 0 426.543 -0.582 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCc4nnn(C)c4C3)CC2)C[C@H](C)O1 ZINC000570505303 1072445926 /nfs/dbraw/zinc/44/59/26/1072445926.db2.gz QIKKGZQLCCNXMX-ZNMIVQPWSA-N 0 0 426.543 -0.582 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](c2nccn2C)c2ccc(OC)cc2)CC1 ZINC000570532060 1072445779 /nfs/dbraw/zinc/44/57/79/1072445779.db2.gz CFDBDGASGSQSFL-IBGZPJMESA-N 0 0 442.520 -0.085 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](c2nccn2C)c2ccc(OC)cc2)CC1 ZINC000570532061 1072445855 /nfs/dbraw/zinc/44/58/55/1072445855.db2.gz CFDBDGASGSQSFL-LJQANCHMSA-N 0 0 442.520 -0.085 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)NCCc2ccc(-n3cccn3)cc2)CCO1 ZINC000570532424 1072445896 /nfs/dbraw/zinc/44/58/96/1072445896.db2.gz MNDXKPHTTUSVTC-GOSISDBHSA-N 0 0 428.493 -0.825 20 0 IBADRN CN(CC(N)=O)C[C@H]1CN(C(=O)C(=O)NCCc2ccc(-n3cccn3)cc2)CCO1 ZINC000570532425 1072445792 /nfs/dbraw/zinc/44/57/92/1072445792.db2.gz MNDXKPHTTUSVTC-SFHVURJKSA-N 0 0 428.493 -0.825 20 0 IBADRN CC(C)(O)CCNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000570532499 1072445889 /nfs/dbraw/zinc/44/58/89/1072445889.db2.gz QDZURFXUFIUGHM-UHFFFAOYSA-N 0 0 427.523 -0.258 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000570533934 1072445851 /nfs/dbraw/zinc/44/58/51/1072445851.db2.gz CPBORLJRJCZQOM-CYBMUJFWSA-N 0 0 442.442 -0.171 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000570533935 1072445835 /nfs/dbraw/zinc/44/58/35/1072445835.db2.gz CPBORLJRJCZQOM-ZDUSSCGKSA-N 0 0 442.442 -0.171 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000570538220 1072445948 /nfs/dbraw/zinc/44/59/48/1072445948.db2.gz YZEZKPGRJBRDCS-UHFFFAOYSA-N 0 0 449.533 -0.337 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)CC1 ZINC000570547175 1072445963 /nfs/dbraw/zinc/44/59/63/1072445963.db2.gz YUOPTSSDUWMODC-AWEZNQCLSA-N 0 0 446.888 -0.196 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)CC1 ZINC000570547180 1072446326 /nfs/dbraw/zinc/44/63/26/1072446326.db2.gz YUOPTSSDUWMODC-CQSZACIVSA-N 0 0 446.888 -0.196 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000570550950 1072446356 /nfs/dbraw/zinc/44/63/56/1072446356.db2.gz ZOZCIIPOKOIDPE-OAHLLOKOSA-N 0 0 443.522 -0.435 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCCCOCCOCc2ccccc2)CC1 ZINC000570553382 1072446429 /nfs/dbraw/zinc/44/64/29/1072446429.db2.gz LKKSMANBHIRGPI-UHFFFAOYSA-N 0 0 427.523 -0.170 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCc3ncnn3-c3ccccc3)CC2)NC(=O)NC1=O ZINC000570556593 1072446346 /nfs/dbraw/zinc/44/63/46/1072446346.db2.gz RKWTWEGPPSMJBL-FQEVSTJZSA-N 0 0 425.449 -0.280 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCc3ncnn3-c3ccccc3)CC2)NC(=O)NC1=O ZINC000570556594 1072446445 /nfs/dbraw/zinc/44/64/45/1072446445.db2.gz RKWTWEGPPSMJBL-HXUWFJFHSA-N 0 0 425.449 -0.280 20 0 IBADRN CS(=O)(=O)Nc1ccc(OCCNC(=O)CNS(=O)(=O)c2cccnc2)cc1 ZINC000570556624 1072446414 /nfs/dbraw/zinc/44/64/14/1072446414.db2.gz SZNDVWQDXIBEHV-UHFFFAOYSA-N 0 0 428.492 -0.073 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cccnc3)cn2)C[C@H](C)O1 ZINC000570558552 1072446401 /nfs/dbraw/zinc/44/64/01/1072446401.db2.gz SCEIIMLZKZSFHM-KBPBESRZSA-N 0 0 436.494 -0.169 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cccnc3)cn2)C[C@H](C)O1 ZINC000570558553 1072446368 /nfs/dbraw/zinc/44/63/68/1072446368.db2.gz SCEIIMLZKZSFHM-OKILXGFUSA-N 0 0 436.494 -0.169 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CNS(=O)(=O)c3cccnc3)cn2)C[C@@H](C)O1 ZINC000570558554 1072446389 /nfs/dbraw/zinc/44/63/89/1072446389.db2.gz SCEIIMLZKZSFHM-ZIAGYGMSSA-N 0 0 436.494 -0.169 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3nc(N)ns3)CC2)cc1OC ZINC000570558645 1073355760 /nfs/dbraw/zinc/35/57/60/1073355760.db2.gz WNUJPGDYBYENIG-UHFFFAOYSA-N 0 0 442.523 -0.235 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C(=O)c3ccsc3)CC2)cn1 ZINC000570578349 1072446458 /nfs/dbraw/zinc/44/64/58/1072446458.db2.gz GLUKCQDAOJBZIH-WBVHZDCISA-N 0 0 444.517 -0.098 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000570579104 1072446379 /nfs/dbraw/zinc/44/63/79/1072446379.db2.gz JYAUVKVPTNKYCE-UHFFFAOYSA-N 0 0 445.563 -0.489 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NC(C)(C)CCO)c1 ZINC000570584539 1072447016 /nfs/dbraw/zinc/44/70/16/1072447016.db2.gz WKXXJADYCVCKRD-UHFFFAOYSA-N 0 0 442.538 -0.011 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCc1ccc(C(=O)N3CCN(C)CC3)cc1)C2 ZINC000570586936 1072447076 /nfs/dbraw/zinc/44/70/76/1072447076.db2.gz GJRAIUNZRPGINW-UHFFFAOYSA-N 0 0 425.493 -0.367 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccc(N3C[C@H](C)O[C@@H](C)C3)nc2)CC1 ZINC000570587119 1072446967 /nfs/dbraw/zinc/44/69/67/1072446967.db2.gz NYFYIZIWBMGEJU-HOTGVXAUSA-N 0 0 433.509 -0.371 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccc(N3C[C@@H](C)O[C@H](C)C3)nc2)CC1 ZINC000570587120 1072446955 /nfs/dbraw/zinc/44/69/55/1072446955.db2.gz NYFYIZIWBMGEJU-HZPDHXFCSA-N 0 0 433.509 -0.371 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)CC1 ZINC000570587121 1072447042 /nfs/dbraw/zinc/44/70/42/1072447042.db2.gz NYFYIZIWBMGEJU-IYBDPMFKSA-N 0 0 433.509 -0.371 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000570587236 1072446999 /nfs/dbraw/zinc/44/69/99/1072446999.db2.gz AEGDOZVGJZPNJV-GOSISDBHSA-N 0 0 438.550 -0.008 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000570587237 1072447130 /nfs/dbraw/zinc/44/71/30/1072447130.db2.gz AEGDOZVGJZPNJV-SFHVURJKSA-N 0 0 438.550 -0.008 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)ccc1OCCO ZINC000570592584 1072446912 /nfs/dbraw/zinc/44/69/12/1072446912.db2.gz ACZXBZGIASDCDY-GFCCVEGCSA-N 0 0 433.383 -0.038 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)ccc1OCCO ZINC000570592585 1072447107 /nfs/dbraw/zinc/44/71/07/1072447107.db2.gz ACZXBZGIASDCDY-LBPRGKRZSA-N 0 0 433.383 -0.038 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)N[C@@H]1CCCN(Cc3nccn3C)C1=O)C[C@@H](C)O2 ZINC000570596792 1072447032 /nfs/dbraw/zinc/44/70/32/1072447032.db2.gz KFNTZACBRUVPIO-DXEZIKHYSA-N 0 0 433.509 -0.178 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)N[C@@H]1CCCN(Cc3nccn3C)C1=O)C[C@@H](C)O2 ZINC000570596794 1072447048 /nfs/dbraw/zinc/44/70/48/1072447048.db2.gz KFNTZACBRUVPIO-KQDGPHEJSA-N 0 0 433.509 -0.178 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)N[C@@H]1CCCN(Cc3nccn3C)C1=O)C[C@@H](C)O2 ZINC000570596797 1072447602 /nfs/dbraw/zinc/44/76/02/1072447602.db2.gz KFNTZACBRUVPIO-PFKNGNAYSA-N 0 0 433.509 -0.178 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)N[C@@H]1CCCN(Cc3nccn3C)C1=O)C[C@@H](C)O2 ZINC000570596799 1072447615 /nfs/dbraw/zinc/44/76/15/1072447615.db2.gz KFNTZACBRUVPIO-WSOZGMELSA-N 0 0 433.509 -0.178 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1cccc(S(=O)(=O)NCc2ccco2)c1 ZINC000570597027 1072446939 /nfs/dbraw/zinc/44/69/39/1072446939.db2.gz PMMQIAVXIFKWCF-UHFFFAOYSA-N 0 0 431.492 -0.207 20 0 IBADRN CNC(=O)[C@H](CCSC)NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000570599679 1072447739 /nfs/dbraw/zinc/44/77/39/1072447739.db2.gz OMEVXIGEAJZFSV-SJCJKPOMSA-N 0 0 444.535 -0.104 20 0 IBADRN CNC(=O)[C@H](CCSC)NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000570599689 1072447749 /nfs/dbraw/zinc/44/77/49/1072447749.db2.gz OMEVXIGEAJZFSV-YVEFUNNKSA-N 0 0 444.535 -0.104 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CCC1 ZINC000570600487 1072447637 /nfs/dbraw/zinc/44/76/37/1072447637.db2.gz GIGUKFSGFGKBAI-UHFFFAOYSA-N 0 0 430.552 -0.126 20 0 IBADRN NC(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)c(OCC(F)F)c1 ZINC000570607741 1072447758 /nfs/dbraw/zinc/44/77/58/1072447758.db2.gz JILXZJKEQZXGIM-UHFFFAOYSA-N 0 0 435.453 -0.133 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)C(=O)Nc3cnn(CC(=O)N(C)C)c3)C2)cc1 ZINC000570611466 1072447697 /nfs/dbraw/zinc/44/76/97/1072447697.db2.gz LAGKLHXRULOLEX-UHFFFAOYSA-N 0 0 448.505 -0.592 20 0 IBADRN CCOCCCn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000570615964 1072447622 /nfs/dbraw/zinc/44/76/22/1072447622.db2.gz FHUBTAKRLFMUGW-INIZCTEOSA-N 0 0 430.513 -0.287 20 0 IBADRN CCOCCCn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000570615965 1072447670 /nfs/dbraw/zinc/44/76/70/1072447670.db2.gz FHUBTAKRLFMUGW-MRXNPFEDSA-N 0 0 430.513 -0.287 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cn1 ZINC000570621460 1072447711 /nfs/dbraw/zinc/44/77/11/1072447711.db2.gz LXKCMVLSJKTTCE-CYBMUJFWSA-N 0 0 437.464 -0.169 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cn1 ZINC000570621461 1072447721 /nfs/dbraw/zinc/44/77/21/1072447721.db2.gz LXKCMVLSJKTTCE-ZDUSSCGKSA-N 0 0 437.464 -0.169 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1OC ZINC000570622386 1072447650 /nfs/dbraw/zinc/44/76/50/1072447650.db2.gz ICYSBEQZEGPNLF-UHFFFAOYSA-N 0 0 442.538 -0.395 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)c1=O ZINC000570636274 1072447587 /nfs/dbraw/zinc/44/75/87/1072447587.db2.gz JJSQKAYNBUMBTQ-GFCCVEGCSA-N 0 0 446.420 -0.798 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)c1=O ZINC000570636275 1072448462 /nfs/dbraw/zinc/44/84/62/1072448462.db2.gz JJSQKAYNBUMBTQ-LBPRGKRZSA-N 0 0 446.420 -0.798 20 0 IBADRN COC[C@]1(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)OCCc2ccccc21 ZINC000570637443 1072448364 /nfs/dbraw/zinc/44/83/64/1072448364.db2.gz SHSLVNDPSYTZML-IBGZPJMESA-N 0 0 426.539 -0.283 20 0 IBADRN COC[C@@]1(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)OCCc2ccccc21 ZINC000570637444 1072448260 /nfs/dbraw/zinc/44/82/60/1072448260.db2.gz SHSLVNDPSYTZML-LJQANCHMSA-N 0 0 426.539 -0.283 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C[C@@H](C)O1 ZINC000570645200 1072448345 /nfs/dbraw/zinc/44/83/45/1072448345.db2.gz COXJNHBEXTWXSS-LMMKCTJWSA-N 0 0 437.585 -0.245 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C[C@H](C)O1 ZINC000570645229 1072448417 /nfs/dbraw/zinc/44/84/17/1072448417.db2.gz COXJNHBEXTWXSS-SCTDSRPQSA-N 0 0 437.585 -0.245 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C[C@@H](C)O1 ZINC000570645231 1072448325 /nfs/dbraw/zinc/44/83/25/1072448325.db2.gz COXJNHBEXTWXSS-ZHALLVOQSA-N 0 0 437.585 -0.245 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C[C@H](C)O1 ZINC000570645234 1072448468 /nfs/dbraw/zinc/44/84/68/1072448468.db2.gz COXJNHBEXTWXSS-ZIFCJYIRSA-N 0 0 437.585 -0.245 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnn(-c4ccccn4)c3N)CC2)C[C@H](C)O1 ZINC000570650514 1072448315 /nfs/dbraw/zinc/44/83/15/1072448315.db2.gz OHDZVFYHQVDQLW-GASCZTMLSA-N 0 0 449.537 -0.039 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnn(-c4ccccn4)c3N)CC2)C[C@H](C)O1 ZINC000570650527 1072448307 /nfs/dbraw/zinc/44/83/07/1072448307.db2.gz OHDZVFYHQVDQLW-GJZGRUSLSA-N 0 0 449.537 -0.039 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cnn(-c4ccccn4)c3N)CC2)C[C@@H](C)O1 ZINC000570650529 1072448278 /nfs/dbraw/zinc/44/82/78/1072448278.db2.gz OHDZVFYHQVDQLW-HUUCEWRRSA-N 0 0 449.537 -0.039 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCc1cnn(CC(F)(F)F)c1 ZINC000570652032 1072449000 /nfs/dbraw/zinc/44/90/00/1072449000.db2.gz ZBKAMKYWYLHIMR-UHFFFAOYSA-N 0 0 429.403 -0.037 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@@H]43)cc2)CC1 ZINC000570652216 1072448451 /nfs/dbraw/zinc/44/84/51/1072448451.db2.gz RYUBKVXSLUXPLW-MOPGFXCFSA-N 0 0 441.532 -0.040 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CC(=O)N[C@H]4CCCC[C@@H]43)cc2)CC1 ZINC000570652237 1072448214 /nfs/dbraw/zinc/44/82/14/1072448214.db2.gz RYUBKVXSLUXPLW-OALUTQOASA-N 0 0 441.532 -0.040 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CC(=O)N[C@H]4CCCC[C@H]43)cc2)CC1 ZINC000570652240 1072448292 /nfs/dbraw/zinc/44/82/92/1072448292.db2.gz RYUBKVXSLUXPLW-RBUKOAKNSA-N 0 0 441.532 -0.040 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@H]43)cc2)CC1 ZINC000570652242 1072448244 /nfs/dbraw/zinc/44/82/44/1072448244.db2.gz RYUBKVXSLUXPLW-RTBURBONSA-N 0 0 441.532 -0.040 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@H](C)C1 ZINC000570652940 1072449048 /nfs/dbraw/zinc/44/90/48/1072449048.db2.gz WACGWGPYCKNKAC-CYBMUJFWSA-N 0 0 434.540 -0.468 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc21 ZINC000570661651 1072448979 /nfs/dbraw/zinc/44/89/79/1072448979.db2.gz SEVNNMHEFFCHFJ-UHFFFAOYSA-N 0 0 429.433 -0.133 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCNc2ccccn2)C1 ZINC000570668450 1072448970 /nfs/dbraw/zinc/44/89/70/1072448970.db2.gz XQWZZUXEZZIBQW-INIZCTEOSA-N 0 0 441.554 -0.101 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCNc2ccccn2)C1 ZINC000570668451 1072449015 /nfs/dbraw/zinc/44/90/15/1072449015.db2.gz XQWZZUXEZZIBQW-MRXNPFEDSA-N 0 0 441.554 -0.101 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(Cc4cnn(C)c4)CC3)CC2=O)c1 ZINC000570689817 1072448994 /nfs/dbraw/zinc/44/89/94/1072448994.db2.gz WGOCQHIHRGNEDH-KRWDZBQOSA-N 0 0 440.504 -0.005 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(Cc4cnn(C)c4)CC3)CC2=O)c1 ZINC000570689818 1072449635 /nfs/dbraw/zinc/44/96/35/1072449635.db2.gz WGOCQHIHRGNEDH-QGZVFWFLSA-N 0 0 440.504 -0.005 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCN2C(=O)CNC2=O)c(Br)c1 ZINC000570699550 1072449656 /nfs/dbraw/zinc/44/96/56/1072449656.db2.gz FPLFBYFAFXPRDB-UHFFFAOYSA-N 0 0 440.297 -0.317 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)nc(C)n1 ZINC000570700396 1072449580 /nfs/dbraw/zinc/44/95/80/1072449580.db2.gz MYVVWKIXRHPSMJ-UHFFFAOYSA-N 0 0 426.481 -0.485 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)NCc1cccc2c1OCO2 ZINC000570703505 1072449644 /nfs/dbraw/zinc/44/96/44/1072449644.db2.gz FCVLYPSZWVYXLK-UHFFFAOYSA-N 0 0 438.440 -0.080 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C1 ZINC000570703587 1072449650 /nfs/dbraw/zinc/44/96/50/1072449650.db2.gz GOXNQLTVIIXIOK-HOTGVXAUSA-N 0 0 431.541 -0.511 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C1 ZINC000570703588 1072449623 /nfs/dbraw/zinc/44/96/23/1072449623.db2.gz GOXNQLTVIIXIOK-HZPDHXFCSA-N 0 0 431.541 -0.511 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)C1 ZINC000570703589 1072449728 /nfs/dbraw/zinc/44/97/28/1072449728.db2.gz GOXNQLTVIIXIOK-IYBDPMFKSA-N 0 0 431.541 -0.511 20 0 IBADRN CC[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000570703841 1072449812 /nfs/dbraw/zinc/44/98/12/1072449812.db2.gz DGGQWWXKBPASCF-ZDUSSCGKSA-N 0 0 428.511 -0.401 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000570704036 1072449737 /nfs/dbraw/zinc/44/97/37/1072449737.db2.gz UXAFEOIVNXEWFZ-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCCC[C@H]3CN3CCOCC3)C2=O)n(C)n1 ZINC000570713901 1072449706 /nfs/dbraw/zinc/44/97/06/1072449706.db2.gz BIHNOBPDMKPLJY-DLBZAZTESA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCCC[C@@H]3CN3CCOCC3)C2=O)n(C)n1 ZINC000570713904 1072449769 /nfs/dbraw/zinc/44/97/69/1072449769.db2.gz BIHNOBPDMKPLJY-IAGOWNOFSA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCCC[C@H]3CN3CCOCC3)C2=O)n(C)n1 ZINC000570713906 1072449743 /nfs/dbraw/zinc/44/97/43/1072449743.db2.gz BIHNOBPDMKPLJY-IRXDYDNUSA-N 0 0 432.525 -0.337 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCCC[C@@H]3CN3CCOCC3)C2=O)n(C)n1 ZINC000570713908 1072449832 /nfs/dbraw/zinc/44/98/32/1072449832.db2.gz BIHNOBPDMKPLJY-SJORKVTESA-N 0 0 432.525 -0.337 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)c1 ZINC000570714579 1072449694 /nfs/dbraw/zinc/44/96/94/1072449694.db2.gz DCUKHGWIHJREAO-UHFFFAOYSA-N 0 0 438.487 -0.055 20 0 IBADRN CN(C)c1nccc([C@H]2CN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)CCO2)n1 ZINC000570717050 1072449761 /nfs/dbraw/zinc/44/97/61/1072449761.db2.gz QSGTWQRAABPMCQ-DOTOQJQBSA-N 0 0 442.524 -0.039 20 0 IBADRN CN(C)c1nccc([C@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)CCO2)n1 ZINC000570717051 1072449640 /nfs/dbraw/zinc/44/96/40/1072449640.db2.gz QSGTWQRAABPMCQ-NVXWUHKLSA-N 0 0 442.524 -0.039 20 0 IBADRN CN(C)c1nccc([C@@H]2CN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)CCO2)n1 ZINC000570717052 1072449599 /nfs/dbraw/zinc/44/95/99/1072449599.db2.gz QSGTWQRAABPMCQ-RDJZCZTQSA-N 0 0 442.524 -0.039 20 0 IBADRN CN(C)c1nccc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)CCO2)n1 ZINC000570717053 1072450268 /nfs/dbraw/zinc/45/02/68/1072450268.db2.gz QSGTWQRAABPMCQ-WBVHZDCISA-N 0 0 442.524 -0.039 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000570719515 1072450350 /nfs/dbraw/zinc/45/03/50/1072450350.db2.gz SVTHBIREDLLNBV-CABCVRRESA-N 0 0 440.588 -0.243 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000570719519 1072450326 /nfs/dbraw/zinc/45/03/26/1072450326.db2.gz SVTHBIREDLLNBV-GJZGRUSLSA-N 0 0 440.588 -0.243 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000570719521 1072450254 /nfs/dbraw/zinc/45/02/54/1072450254.db2.gz SVTHBIREDLLNBV-HUUCEWRRSA-N 0 0 440.588 -0.243 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000570719523 1072450451 /nfs/dbraw/zinc/45/04/51/1072450451.db2.gz SVTHBIREDLLNBV-LSDHHAIUSA-N 0 0 440.588 -0.243 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cc(C(N)=O)ccc1F ZINC000570720990 1072450209 /nfs/dbraw/zinc/45/02/09/1072450209.db2.gz AEKKKFXYZDGXEY-GFCCVEGCSA-N 0 0 429.474 -0.360 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cc(C(N)=O)ccc1F ZINC000570720991 1072450431 /nfs/dbraw/zinc/45/04/31/1072450431.db2.gz AEKKKFXYZDGXEY-LBPRGKRZSA-N 0 0 429.474 -0.360 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)c1 ZINC000570722018 1072450391 /nfs/dbraw/zinc/45/03/91/1072450391.db2.gz XBMBZUBXJKWTAP-UHFFFAOYSA-N 0 0 436.494 -0.738 20 0 IBADRN Cn1c2c(ccn2CC(=O)N2CCc3c(cccc3NS(C)(=O)=O)C2)c(=O)n(C)c1=O ZINC000570728209 1072450357 /nfs/dbraw/zinc/45/03/57/1072450357.db2.gz NODCFXYOBIKNGE-UHFFFAOYSA-N 0 0 445.501 -0.005 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(-n2nc(C)cc2C)nc1 ZINC000570728668 1072450238 /nfs/dbraw/zinc/45/02/38/1072450238.db2.gz UVUXURTUIBXSAP-HNNXBMFYSA-N 0 0 427.465 -0.324 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(-n2nc(C)cc2C)nc1 ZINC000570728669 1072450275 /nfs/dbraw/zinc/45/02/75/1072450275.db2.gz UVUXURTUIBXSAP-OAHLLOKOSA-N 0 0 427.465 -0.324 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000570728702 1072450435 /nfs/dbraw/zinc/45/04/35/1072450435.db2.gz WKOICXTVLBTXDD-UHFFFAOYSA-N 0 0 430.513 -0.287 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)C1=O ZINC000570729626 1072450456 /nfs/dbraw/zinc/45/04/56/1072450456.db2.gz LHNXJHMORIJYPU-HNNXBMFYSA-N 0 0 448.524 -0.390 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)C1=O ZINC000570729627 1072451182 /nfs/dbraw/zinc/45/11/82/1072451182.db2.gz LHNXJHMORIJYPU-OAHLLOKOSA-N 0 0 448.524 -0.390 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)CCN2CCOC(C)(C)C2)(N2CCOCC2)C1 ZINC000570730312 1072450373 /nfs/dbraw/zinc/45/03/73/1072450373.db2.gz WFVHNMGLFSXCQP-UHFFFAOYSA-N 0 0 440.585 -0.058 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1)Nc1ccccc1 ZINC000570730964 1072451073 /nfs/dbraw/zinc/45/10/73/1072451073.db2.gz PAROEDHDHDRABC-UHFFFAOYSA-N 0 0 429.521 -0.250 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@H](C)O)CC2)cc1 ZINC000570732119 1072450980 /nfs/dbraw/zinc/45/09/80/1072450980.db2.gz WBEIRUXGJUPMAK-HNNXBMFYSA-N 0 0 427.523 -0.603 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@@H](C)O)CC2)cc1 ZINC000570732120 1072450957 /nfs/dbraw/zinc/45/09/57/1072450957.db2.gz WBEIRUXGJUPMAK-OAHLLOKOSA-N 0 0 427.523 -0.603 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)N2CCS(=O)CC2)cc1OC ZINC000570735078 1072450937 /nfs/dbraw/zinc/45/09/37/1072450937.db2.gz XNVACBKZLBASOX-UHFFFAOYSA-N 0 0 447.579 -0.059 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc(S(C)(=O)=O)c1 ZINC000570742614 1072451196 /nfs/dbraw/zinc/45/11/96/1072451196.db2.gz RITWIZMLODTJLV-OKILXGFUSA-N 0 0 426.447 -0.169 20 0 IBADRN CCOC(=O)C1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000570744245 1072451152 /nfs/dbraw/zinc/45/11/52/1072451152.db2.gz IPHMVNHQABLDRF-UHFFFAOYSA-N 0 0 432.525 -0.093 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)c2ccnc(C(=O)N3CCN(C(=O)N(C)C)CC3)c2)CC1 ZINC000570744690 1072451133 /nfs/dbraw/zinc/45/11/33/1072451133.db2.gz VNOXKUXJFAHLRS-UHFFFAOYSA-N 0 0 445.524 -0.040 20 0 IBADRN CN(CCCn1ccnc1)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000570745143 1072451167 /nfs/dbraw/zinc/45/11/67/1072451167.db2.gz ZEJUYAINZURLNZ-UHFFFAOYSA-N 0 0 426.477 -0.380 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCc2cn3c(n2)CCCC3)CC1 ZINC000570745197 1072451039 /nfs/dbraw/zinc/45/10/39/1072451039.db2.gz KEGJZYHDLXPCEY-UHFFFAOYSA-N 0 0 437.508 -0.096 20 0 IBADRN COC(=O)c1nsc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000570750097 1072451106 /nfs/dbraw/zinc/45/11/06/1072451106.db2.gz QOKPMDUGRFPFOJ-UHFFFAOYSA-N 0 0 425.448 -0.303 20 0 IBADRN Cc1nnc([C@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000570757156 1072450948 /nfs/dbraw/zinc/45/09/48/1072450948.db2.gz FIZULAKEQDHXGP-AAEUAGOBSA-N 0 0 431.540 -0.323 20 0 IBADRN Cc1nnc([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000570757158 1072451220 /nfs/dbraw/zinc/45/12/20/1072451220.db2.gz FIZULAKEQDHXGP-DGCLKSJQSA-N 0 0 431.540 -0.323 20 0 IBADRN Cc1nnc([C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000570757159 1072450884 /nfs/dbraw/zinc/45/08/84/1072450884.db2.gz FIZULAKEQDHXGP-WCQYABFASA-N 0 0 431.540 -0.323 20 0 IBADRN Cc1nnc([C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000570757161 1072451188 /nfs/dbraw/zinc/45/11/88/1072451188.db2.gz FIZULAKEQDHXGP-YPMHNXCESA-N 0 0 431.540 -0.323 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c(OC)c1 ZINC000570765619 1073328238 /nfs/dbraw/zinc/32/82/38/1073328238.db2.gz QUVCZCWGLYTZCD-UHFFFAOYSA-N 0 0 445.432 0.114 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC(C(=O)NCCCO)CC2)c1 ZINC000570765963 1072451091 /nfs/dbraw/zinc/45/10/91/1072451091.db2.gz BDMSNUUVJXTXAO-UHFFFAOYSA-N 0 0 425.507 -0.095 20 0 IBADRN CN(C)S(=O)(=O)Cc1noc(-c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000570766897 1072450911 /nfs/dbraw/zinc/45/09/11/1072450911.db2.gz AIUUTYWZIBOSCZ-UHFFFAOYSA-N 0 0 429.480 -0.752 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)NCCN2CCN(C(=O)C3CCC3)CC2)nn1 ZINC000570768434 1072451210 /nfs/dbraw/zinc/45/12/10/1072451210.db2.gz YQCLOKJGGZILAS-QZTJIDSGSA-N 0 0 435.529 -0.392 20 0 IBADRN CCN(C(=O)CN1CC[C@H](CO)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000570777182 1072451742 /nfs/dbraw/zinc/45/17/42/1072451742.db2.gz QGIOIBOEFIFRNJ-CVEARBPZSA-N 0 0 431.493 -0.393 20 0 IBADRN CCN(C(=O)CN1CC[C@@H](CO)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000570777188 1072451733 /nfs/dbraw/zinc/45/17/33/1072451733.db2.gz QGIOIBOEFIFRNJ-HOTGVXAUSA-N 0 0 431.493 -0.393 20 0 IBADRN CCN(C(=O)CN1CC[C@H](CO)[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000570777190 1072451691 /nfs/dbraw/zinc/45/16/91/1072451691.db2.gz QGIOIBOEFIFRNJ-HZPDHXFCSA-N 0 0 431.493 -0.393 20 0 IBADRN CCN(C(=O)CN1CC[C@@H](CO)[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000570777191 1072451719 /nfs/dbraw/zinc/45/17/19/1072451719.db2.gz QGIOIBOEFIFRNJ-JKSUJKDBSA-N 0 0 431.493 -0.393 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000570783970 1072451758 /nfs/dbraw/zinc/45/17/58/1072451758.db2.gz WHKGNLODKRLKIH-UHFFFAOYSA-N 0 0 437.522 -0.038 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)n1 ZINC000570787368 1072451624 /nfs/dbraw/zinc/45/16/24/1072451624.db2.gz FEIALEFKJYLKSW-UHFFFAOYSA-N 0 0 448.553 -0.350 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000570810149 1072451682 /nfs/dbraw/zinc/45/16/82/1072451682.db2.gz HEZQBPMPRDYIJO-UHFFFAOYSA-N 0 0 440.504 -0.001 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCc2nnnn2-c2ccccc2)CC1 ZINC000570811173 1072451710 /nfs/dbraw/zinc/45/17/10/1072451710.db2.gz SZSUFMAPINWBFK-UHFFFAOYSA-N 0 0 437.526 -0.102 20 0 IBADRN C[C@@H](CNC(=O)CCC(=O)N1CCOCC1)N(C)C(=O)CCC(=O)N1CCOCC1 ZINC000570821214 1072451643 /nfs/dbraw/zinc/45/16/43/1072451643.db2.gz VFVCPYKVIQOEIS-INIZCTEOSA-N 0 0 426.514 -0.773 20 0 IBADRN C[C@H](CNC(=O)CCC(=O)N1CCOCC1)N(C)C(=O)CCC(=O)N1CCOCC1 ZINC000570821215 1072451729 /nfs/dbraw/zinc/45/17/29/1072451729.db2.gz VFVCPYKVIQOEIS-MRXNPFEDSA-N 0 0 426.514 -0.773 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000570821227 1072451629 /nfs/dbraw/zinc/45/16/29/1072451629.db2.gz VMDNXDLYOMBMBA-UHFFFAOYSA-N 0 0 443.507 -0.465 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000570821907 1072451662 /nfs/dbraw/zinc/45/16/62/1072451662.db2.gz PUTDXEQGLCHWMT-UHFFFAOYSA-N 0 0 433.509 -0.822 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)[C@@H]2C1 ZINC000570822953 1072451677 /nfs/dbraw/zinc/45/16/77/1072451677.db2.gz MAIACCOGXNXJEK-ARFHVFGLSA-N 0 0 433.513 -0.695 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)[C@H]2C1 ZINC000570822954 1072451649 /nfs/dbraw/zinc/45/16/49/1072451649.db2.gz MAIACCOGXNXJEK-HRCADAONSA-N 0 0 433.513 -0.695 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)[C@H]2C1 ZINC000570822955 1072451671 /nfs/dbraw/zinc/45/16/71/1072451671.db2.gz MAIACCOGXNXJEK-JYJNAYRXSA-N 0 0 433.513 -0.695 20 0 IBADRN CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)[C@@H]2C1 ZINC000570822956 1072452176 /nfs/dbraw/zinc/45/21/76/1072452176.db2.gz MAIACCOGXNXJEK-XHSDSOJGSA-N 0 0 433.513 -0.695 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@](=O)[C@@H](C)[C@@H]2C)CC1 ZINC000570824372 1071305618 /nfs/dbraw/zinc/30/56/18/1071305618.db2.gz XZQJGPSLLIEGEF-DIJLTQHDSA-N 0 0 432.568 -0.034 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@](=O)[C@H](C)[C@@H]2C)CC1 ZINC000570824375 1072452261 /nfs/dbraw/zinc/45/22/61/1072452261.db2.gz XZQJGPSLLIEGEF-LGMFNTMXSA-N 0 0 432.568 -0.034 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@](=O)[C@H](C)[C@H]2C)CC1 ZINC000570824377 1072452266 /nfs/dbraw/zinc/45/22/66/1072452266.db2.gz XZQJGPSLLIEGEF-RFWILCRPSA-N 0 0 432.568 -0.034 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC[S@](=O)[C@@H](C)[C@H]2C)CC1 ZINC000570824380 1072452212 /nfs/dbraw/zinc/45/22/12/1072452212.db2.gz XZQJGPSLLIEGEF-SEJHRTTRSA-N 0 0 432.568 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000570829684 1072452256 /nfs/dbraw/zinc/45/22/56/1072452256.db2.gz HOLMCKRIHISQRB-INIZCTEOSA-N 0 0 439.538 -0.537 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000570829685 1072452249 /nfs/dbraw/zinc/45/22/49/1072452249.db2.gz HOLMCKRIHISQRB-MRXNPFEDSA-N 0 0 439.538 -0.537 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N(CCO)CC(F)F ZINC000570830095 1072452287 /nfs/dbraw/zinc/45/22/87/1072452287.db2.gz KAGYBSYXZXYEFX-UHFFFAOYSA-N 0 0 435.449 -0.590 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000570831049 1072452292 /nfs/dbraw/zinc/45/22/92/1072452292.db2.gz XRLUNYXIOATBSC-UHFFFAOYSA-N 0 0 425.493 -0.609 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)[C@H](C)CO ZINC000570831560 1072452141 /nfs/dbraw/zinc/45/21/41/1072452141.db2.gz OORZPVANAWXTFY-CYBMUJFWSA-N 0 0 429.495 -0.116 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)[C@H]2CCCO2)CC1 ZINC000570832790 1072452277 /nfs/dbraw/zinc/45/22/77/1072452277.db2.gz XRHHZUCCEWTQSD-AEFFLSMTSA-N 0 0 427.465 -0.620 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2ccccc2)[C@@H]2CCCO2)CC1 ZINC000570832791 1072452188 /nfs/dbraw/zinc/45/21/88/1072452188.db2.gz XRHHZUCCEWTQSD-FUHWJXTLSA-N 0 0 427.465 -0.620 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2ccccc2)[C@H]2CCCO2)CC1 ZINC000570832792 1072452118 /nfs/dbraw/zinc/45/21/18/1072452118.db2.gz XRHHZUCCEWTQSD-SJLPKXTDSA-N 0 0 427.465 -0.620 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)[C@@H]2CCCO2)CC1 ZINC000570832793 1072452205 /nfs/dbraw/zinc/45/22/05/1072452205.db2.gz XRHHZUCCEWTQSD-WMZOPIPTSA-N 0 0 427.465 -0.620 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1n[nH]c2cc(NC(=O)CN3CCOCC3=O)ccc21 ZINC000570833724 1072452272 /nfs/dbraw/zinc/45/22/72/1072452272.db2.gz BJQATVYKQYIDCJ-UHFFFAOYSA-N 0 0 430.421 -0.843 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(F)c(N3C(=O)CCC3=O)c1)c(=O)n2C ZINC000570834823 1072452192 /nfs/dbraw/zinc/45/21/92/1072452192.db2.gz VDCMJXMTLFYGCU-UHFFFAOYSA-N 0 0 428.380 -0.135 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000570835660 1072452283 /nfs/dbraw/zinc/45/22/83/1072452283.db2.gz RQAOVUSSQVVKKD-MRXNPFEDSA-N 0 0 443.570 -0.871 20 0 IBADRN Cc1cnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000570841531 1072452220 /nfs/dbraw/zinc/45/22/20/1072452220.db2.gz DOVQTPOHVSOJBZ-UHFFFAOYSA-N 0 0 426.524 -0.357 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)CC2)nc1 ZINC000570843838 1072452873 /nfs/dbraw/zinc/45/28/73/1072452873.db2.gz GYCKUXKFQWHBMP-HNNXBMFYSA-N 0 0 441.467 -0.242 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)CC2)nc1 ZINC000570843845 1072452862 /nfs/dbraw/zinc/45/28/62/1072452862.db2.gz GYCKUXKFQWHBMP-OAHLLOKOSA-N 0 0 441.467 -0.242 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)CC1 ZINC000570844339 1072452697 /nfs/dbraw/zinc/45/26/97/1072452697.db2.gz PWJIFFWJOCOXTI-GDBMZVCRSA-N 0 0 432.500 -0.273 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)CC1 ZINC000570844340 1072452903 /nfs/dbraw/zinc/45/29/03/1072452903.db2.gz PWJIFFWJOCOXTI-GOEBONIOSA-N 0 0 432.500 -0.273 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)CC1 ZINC000570844341 1072452794 /nfs/dbraw/zinc/45/27/94/1072452794.db2.gz PWJIFFWJOCOXTI-HOCLYGCPSA-N 0 0 432.500 -0.273 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)CC1 ZINC000570844342 1072452900 /nfs/dbraw/zinc/45/29/00/1072452900.db2.gz PWJIFFWJOCOXTI-ZBFHGGJFSA-N 0 0 432.500 -0.273 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000570845927 1072452844 /nfs/dbraw/zinc/45/28/44/1072452844.db2.gz KHSNEPLVHOKUNI-AWEZNQCLSA-N 0 0 449.474 -0.332 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000570845928 1072452896 /nfs/dbraw/zinc/45/28/96/1072452896.db2.gz KHSNEPLVHOKUNI-CQSZACIVSA-N 0 0 449.474 -0.332 20 0 IBADRN CNC(=O)CC1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000570848658 1072452709 /nfs/dbraw/zinc/45/27/09/1072452709.db2.gz FLRQVQIEWRTUGS-UHFFFAOYSA-N 0 0 430.552 -0.174 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000570855074 1072452827 /nfs/dbraw/zinc/45/28/27/1072452827.db2.gz RNJMAHNUHLXRON-HNNXBMFYSA-N 0 0 426.495 -0.449 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000570855089 1072452654 /nfs/dbraw/zinc/45/26/54/1072452654.db2.gz RNJMAHNUHLXRON-OAHLLOKOSA-N 0 0 426.495 -0.449 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000570870085 1072453302 /nfs/dbraw/zinc/45/33/02/1072453302.db2.gz AKNUVIRHFOFGCJ-CYBMUJFWSA-N 0 0 447.535 -0.741 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)CCO1 ZINC000570870088 1072453351 /nfs/dbraw/zinc/45/33/51/1072453351.db2.gz AKNUVIRHFOFGCJ-ZDUSSCGKSA-N 0 0 447.535 -0.741 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCSc1ccccc1)C2 ZINC000570870193 1072453403 /nfs/dbraw/zinc/45/34/03/1072453403.db2.gz CPDVSRMUHHBTHW-UHFFFAOYSA-N 0 0 432.506 -0.616 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)nnn1Cc1ccccc1F ZINC000570870413 1072453396 /nfs/dbraw/zinc/45/33/96/1072453396.db2.gz KGDJVHNDIUZYPG-FQEVSTJZSA-N 0 0 434.472 -0.088 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)nnn1Cc1ccccc1F ZINC000570870414 1072453318 /nfs/dbraw/zinc/45/33/18/1072453318.db2.gz KGDJVHNDIUZYPG-HXUWFJFHSA-N 0 0 434.472 -0.088 20 0 IBADRN O=C(NC[C@@H]1CCN(c2ccccc2)C1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000570871671 1072453226 /nfs/dbraw/zinc/45/32/26/1072453226.db2.gz KGMVZKRWDGWREC-INIZCTEOSA-N 0 0 427.505 -0.422 20 0 IBADRN O=C(NC[C@H]1CCN(c2ccccc2)C1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000570871673 1072453289 /nfs/dbraw/zinc/45/32/89/1072453289.db2.gz KGMVZKRWDGWREC-MRXNPFEDSA-N 0 0 427.505 -0.422 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000570873698 1072453361 /nfs/dbraw/zinc/45/33/61/1072453361.db2.gz SUNHNEDXUAEXFS-FQEVSTJZSA-N 0 0 445.476 -0.202 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000570873699 1072453410 /nfs/dbraw/zinc/45/34/10/1072453410.db2.gz SUNHNEDXUAEXFS-HXUWFJFHSA-N 0 0 445.476 -0.202 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)cn1 ZINC000570874404 1072453383 /nfs/dbraw/zinc/45/33/83/1072453383.db2.gz CGWJVOJHBODNTB-RKVPGOIHSA-N 0 0 442.451 -0.083 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)cn1 ZINC000570874405 1072453295 /nfs/dbraw/zinc/45/32/95/1072453295.db2.gz CGWJVOJHBODNTB-VKJFTORMSA-N 0 0 442.451 -0.083 20 0 IBADRN Cc1cnc([C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C2CC2)s1 ZINC000570877519 1072453406 /nfs/dbraw/zinc/45/34/06/1072453406.db2.gz HSZRBHBVUBXOHW-HNNXBMFYSA-N 0 0 449.533 -0.452 20 0 IBADRN Cc1cnc([C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C2CC2)s1 ZINC000570877520 1072453337 /nfs/dbraw/zinc/45/33/37/1072453337.db2.gz HSZRBHBVUBXOHW-OAHLLOKOSA-N 0 0 449.533 -0.452 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000570878192 1073675182 /nfs/dbraw/zinc/67/51/82/1073675182.db2.gz SBOSSOOWQGNKOD-HNNXBMFYSA-N 0 0 429.525 -0.627 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000570878193 1073675154 /nfs/dbraw/zinc/67/51/54/1073675154.db2.gz SBOSSOOWQGNKOD-OAHLLOKOSA-N 0 0 429.525 -0.627 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@@H]2c2ccccc2)CC1 ZINC000570878364 1073675188 /nfs/dbraw/zinc/67/51/88/1073675188.db2.gz VQAPPSSGVXBTTH-AZUAARDMSA-N 0 0 430.505 -0.364 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@H]2c2ccccc2)CC1 ZINC000570878367 1073675178 /nfs/dbraw/zinc/67/51/78/1073675178.db2.gz VQAPPSSGVXBTTH-ICSRJNTNSA-N 0 0 430.505 -0.364 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@H]2c2ccccc2)CC1 ZINC000570878370 1073675100 /nfs/dbraw/zinc/67/51/00/1073675100.db2.gz VQAPPSSGVXBTTH-QUCCMNQESA-N 0 0 430.505 -0.364 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@H]2c2ccccc2)CC1 ZINC000570878373 1073675124 /nfs/dbraw/zinc/67/51/24/1073675124.db2.gz VQAPPSSGVXBTTH-UYAOXDASSA-N 0 0 430.505 -0.364 20 0 IBADRN Cc1cccc(O[C@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000570878936 1072453276 /nfs/dbraw/zinc/45/32/76/1072453276.db2.gz KVFVHJCBPHQCDS-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1cccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000570878937 1072453886 /nfs/dbraw/zinc/45/38/86/1072453886.db2.gz KVFVHJCBPHQCDS-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000570879848 1072453793 /nfs/dbraw/zinc/45/37/93/1072453793.db2.gz IZPFMTUDOJDMBI-UHFFFAOYSA-N 0 0 425.488 -0.041 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000570884659 1073351296 /nfs/dbraw/zinc/35/12/96/1073351296.db2.gz JEGWKQXKFGLNAE-UHFFFAOYSA-N 0 0 445.524 0.240 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@@H]3C3CC3)CC2)cn1C ZINC000570884960 1073675134 /nfs/dbraw/zinc/67/51/34/1073675134.db2.gz PPMUVPRIBOFEOU-GDBMZVCRSA-N 0 0 425.511 -0.755 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCO[C@@H]3C3CC3)CC2)cn1C ZINC000570884961 1073675091 /nfs/dbraw/zinc/67/50/91/1073675091.db2.gz PPMUVPRIBOFEOU-GOEBONIOSA-N 0 0 425.511 -0.755 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCO[C@H]3C3CC3)CC2)cn1C ZINC000570884962 1073675167 /nfs/dbraw/zinc/67/51/67/1073675167.db2.gz PPMUVPRIBOFEOU-HOCLYGCPSA-N 0 0 425.511 -0.755 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCO[C@H]3C3CC3)CC2)cn1C ZINC000570884963 1073675215 /nfs/dbraw/zinc/67/52/15/1073675215.db2.gz PPMUVPRIBOFEOU-ZBFHGGJFSA-N 0 0 425.511 -0.755 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)cc1C(N)=O ZINC000570888867 1073675146 /nfs/dbraw/zinc/67/51/46/1073675146.db2.gz KXXVYFYWSVJSTO-UHFFFAOYSA-N 0 0 441.535 -0.535 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1Cl ZINC000570891980 1072453734 /nfs/dbraw/zinc/45/37/34/1072453734.db2.gz GOLKMRGGABIJDZ-UHFFFAOYSA-N 0 0 445.929 -0.021 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CCS(=O)(=O)C1 ZINC000570905131 1072453641 /nfs/dbraw/zinc/45/36/41/1072453641.db2.gz OGWDUMCTQCNDTC-GFCCVEGCSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CCS(=O)(=O)C1 ZINC000570905132 1072453763 /nfs/dbraw/zinc/45/37/63/1072453763.db2.gz OGWDUMCTQCNDTC-LBPRGKRZSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CN(CC(=O)N[C@H]1C(=O)NCC[C@H]1C)Cc1ccccc1 ZINC000570908316 1072453877 /nfs/dbraw/zinc/45/38/77/1072453877.db2.gz MNVIRELEFMDGAI-IMAQQZIHSA-N 0 0 443.548 -0.230 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CN(CC(=O)N[C@@H]1C(=O)NCC[C@@H]1C)Cc1ccccc1 ZINC000570908317 1072453722 /nfs/dbraw/zinc/45/37/22/1072453722.db2.gz MNVIRELEFMDGAI-LPTDTENNSA-N 0 0 443.548 -0.230 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CN(CC(=O)N[C@@H]1C(=O)NCC[C@H]1C)Cc1ccccc1 ZINC000570908318 1072453770 /nfs/dbraw/zinc/45/37/70/1072453770.db2.gz MNVIRELEFMDGAI-NBJYBMASSA-N 0 0 443.548 -0.230 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)CN(CC(=O)N[C@H]1C(=O)NCC[C@@H]1C)Cc1ccccc1 ZINC000570908319 1072453816 /nfs/dbraw/zinc/45/38/16/1072453816.db2.gz MNVIRELEFMDGAI-VAKZPMALSA-N 0 0 443.548 -0.230 20 0 IBADRN Cc1cc(NC(=O)CS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)n(C)n1 ZINC000570910291 1072453899 /nfs/dbraw/zinc/45/38/99/1072453899.db2.gz PQSDNDXNWIWYGC-UHFFFAOYSA-N 0 0 443.507 -0.443 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N[C@H]1CCCOCC1 ZINC000570913654 1072453837 /nfs/dbraw/zinc/45/38/37/1072453837.db2.gz GDXQDBVFSXTINW-INIZCTEOSA-N 0 0 439.538 -0.665 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N[C@@H]1CCCOCC1 ZINC000570913656 1072453654 /nfs/dbraw/zinc/45/36/54/1072453654.db2.gz GDXQDBVFSXTINW-MRXNPFEDSA-N 0 0 439.538 -0.665 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CNS(=O)(=O)c2cccnc2)c1 ZINC000570916587 1072453628 /nfs/dbraw/zinc/45/36/28/1072453628.db2.gz UPPLGRZXLKPGOE-UHFFFAOYSA-N 0 0 442.519 -0.399 20 0 IBADRN O=C(NCc1nnc2ccccn21)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000570937355 1073678207 /nfs/dbraw/zinc/67/82/07/1073678207.db2.gz UKEJUZAVCYWHED-UHFFFAOYSA-N 0 0 429.462 -0.726 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCc1ccc(N2CCOCC2)nc1 ZINC000570938040 1073678254 /nfs/dbraw/zinc/67/82/54/1073678254.db2.gz ORRAPHDPZXRAOE-UHFFFAOYSA-N 0 0 443.508 -0.565 20 0 IBADRN O=C(NCCCNc1ccccn1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000570943914 1073678233 /nfs/dbraw/zinc/67/82/33/1073678233.db2.gz BMPBPUDMBRPRCO-UHFFFAOYSA-N 0 0 438.488 -0.112 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1cn2ccccc2n1)N1CCN(c2ncccn2)CC1 ZINC000570945439 1072454505 /nfs/dbraw/zinc/45/45/05/1072454505.db2.gz VFTXWXJTPKFZNS-UHFFFAOYSA-N 0 0 436.476 -0.404 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(=O)NC4CC4)CC3)n2C[C@@H]2CCOC2)cn1 ZINC000570945836 1072454329 /nfs/dbraw/zinc/45/43/29/1072454329.db2.gz ZEHBGIHDLNYKHW-AWEZNQCLSA-N 0 0 428.497 -0.358 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(=O)NC4CC4)CC3)n2C[C@H]2CCOC2)cn1 ZINC000570945837 1072454487 /nfs/dbraw/zinc/45/44/87/1072454487.db2.gz ZEHBGIHDLNYKHW-CQSZACIVSA-N 0 0 428.497 -0.358 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)C1 ZINC000570946027 1072454392 /nfs/dbraw/zinc/45/43/92/1072454392.db2.gz RKBLFGKRUDNPTG-GFCCVEGCSA-N 0 0 426.538 -0.199 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)C1 ZINC000570946028 1072454496 /nfs/dbraw/zinc/45/44/96/1072454496.db2.gz RKBLFGKRUDNPTG-LBPRGKRZSA-N 0 0 426.538 -0.199 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@H]3CO)cc2)nn1 ZINC000570946734 1072454428 /nfs/dbraw/zinc/45/44/28/1072454428.db2.gz JJSVRUDOGOFXKV-AWEZNQCLSA-N 0 0 436.494 -0.236 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc2)nn1 ZINC000570946739 1071334298 /nfs/dbraw/zinc/33/42/98/1071334298.db2.gz JJSVRUDOGOFXKV-CQSZACIVSA-N 0 0 436.494 -0.236 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000570948423 1072454517 /nfs/dbraw/zinc/45/45/17/1072454517.db2.gz MNUMTWPUIYGNEI-HNNXBMFYSA-N 0 0 426.539 -0.268 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000570948428 1072454372 /nfs/dbraw/zinc/45/43/72/1072454372.db2.gz MNUMTWPUIYGNEI-OAHLLOKOSA-N 0 0 426.539 -0.268 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(c2ncccc2F)CC1 ZINC000570948929 1072454551 /nfs/dbraw/zinc/45/45/51/1072454551.db2.gz ZYLUCWNDRUCCNT-UHFFFAOYSA-N 0 0 442.499 -0.402 20 0 IBADRN O=C(Nc1scnc1C1CC1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000570949899 1073678403 /nfs/dbraw/zinc/67/84/03/1073678403.db2.gz ZPWWSEJMODDLJH-UHFFFAOYSA-N 0 0 429.524 -0.320 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000570952815 1072454402 /nfs/dbraw/zinc/45/44/02/1072454402.db2.gz XOMVZISJRQMUFJ-KRWDZBQOSA-N 0 0 432.525 -0.927 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000570952816 1072454443 /nfs/dbraw/zinc/45/44/43/1072454443.db2.gz XOMVZISJRQMUFJ-QGZVFWFLSA-N 0 0 432.525 -0.927 20 0 IBADRN COc1cccc([C@@H]2[C@@H](NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)CCN2C2CC2)c1 ZINC000570960721 1073678337 /nfs/dbraw/zinc/67/83/37/1073678337.db2.gz GDJUCXJCLYHCJI-FGTMMUONSA-N 0 0 436.534 -0.021 20 0 IBADRN COc1cccc([C@@H]2[C@H](NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)CCN2C2CC2)c1 ZINC000570960726 1073678386 /nfs/dbraw/zinc/67/83/86/1073678386.db2.gz GDJUCXJCLYHCJI-KZNAEPCWSA-N 0 0 436.534 -0.021 20 0 IBADRN COc1cccc([C@@H]2[C@@H](NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)CCN2C2CC2)c1 ZINC000570960728 1073678317 /nfs/dbraw/zinc/67/83/17/1073678317.db2.gz GDJUCXJCLYHCJI-OKZBNKHCSA-N 0 0 436.534 -0.021 20 0 IBADRN COc1cccc([C@@H]2[C@H](NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)CCN2C2CC2)c1 ZINC000570960729 1073678298 /nfs/dbraw/zinc/67/82/98/1073678298.db2.gz GDJUCXJCLYHCJI-RCCFBDPRSA-N 0 0 436.534 -0.021 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)nn1-c1ccc(F)cc1F ZINC000570967073 1073678373 /nfs/dbraw/zinc/67/83/73/1073678373.db2.gz SQWYYIJQMALGCU-GFCCVEGCSA-N 0 0 427.433 0.548 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)nn1-c1ccc(F)cc1F ZINC000570967074 1073678262 /nfs/dbraw/zinc/67/82/62/1073678262.db2.gz SQWYYIJQMALGCU-LBPRGKRZSA-N 0 0 427.433 0.548 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3nccc4sccc43)CC2)n1 ZINC000570967103 1073305304 /nfs/dbraw/zinc/30/53/04/1073305304.db2.gz UEBKPBGIEYBYEF-UHFFFAOYSA-N 0 0 442.505 0.263 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1OC ZINC000570977673 1072454479 /nfs/dbraw/zinc/45/44/79/1072454479.db2.gz DIRIIBDDUNITMO-CYBMUJFWSA-N 0 0 449.551 -0.230 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1OC ZINC000570977674 1072454298 /nfs/dbraw/zinc/45/42/98/1072454298.db2.gz DIRIIBDDUNITMO-ZDUSSCGKSA-N 0 0 449.551 -0.230 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)CCC3)CC1)N1CCOCC1 ZINC000570977861 1072454536 /nfs/dbraw/zinc/45/45/36/1072454536.db2.gz ISUUXLXJFAHMNA-UHFFFAOYSA-N 0 0 435.502 -0.103 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000570979999 1072454459 /nfs/dbraw/zinc/45/44/59/1072454459.db2.gz PBBAUHABBOVXMI-CYBMUJFWSA-N 0 0 438.528 -0.826 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000570980000 1072454863 /nfs/dbraw/zinc/45/48/63/1072454863.db2.gz PBBAUHABBOVXMI-ZDUSSCGKSA-N 0 0 438.528 -0.826 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000570980741 1072455078 /nfs/dbraw/zinc/45/50/78/1072455078.db2.gz XECXOBMXLBVGHW-UHFFFAOYSA-N 0 0 440.503 -0.343 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC000570988367 1073678991 /nfs/dbraw/zinc/67/89/91/1073678991.db2.gz RCXUGHVEBPCMKZ-GOSISDBHSA-N 0 0 447.536 -0.244 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC000570988368 1073678878 /nfs/dbraw/zinc/67/88/78/1073678878.db2.gz RCXUGHVEBPCMKZ-SFHVURJKSA-N 0 0 447.536 -0.244 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1nnc2n1CCCCC2 ZINC000570988810 1073678938 /nfs/dbraw/zinc/67/89/38/1073678938.db2.gz DYQAUWGPTHTXDD-MRXNPFEDSA-N 0 0 447.540 -0.815 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc3c(c1)nc1n(c3=O)CCC1)C2 ZINC000570990147 1073679095 /nfs/dbraw/zinc/67/90/95/1073679095.db2.gz ZYNLLFAQEBUGHK-UHFFFAOYSA-N 0 0 437.460 -0.555 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1 ZINC000570990188 1073678914 /nfs/dbraw/zinc/67/89/14/1073678914.db2.gz BMWLDECRRCTJMH-RDJZCZTQSA-N 0 0 435.506 -0.821 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(OC)c3OC)CC2)nn1 ZINC000570991027 1072455060 /nfs/dbraw/zinc/45/50/60/1072455060.db2.gz SMUXQDRVKLTTAP-UHFFFAOYSA-N 0 0 429.433 0.426 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)O[C@@H](C)C3)CC2)cn1C ZINC000570994992 1072455038 /nfs/dbraw/zinc/45/50/38/1072455038.db2.gz LQAZUTKJDXLGRZ-AGGWBTHJSA-N 0 0 427.527 -0.366 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3C[C@@H](C)O[C@H](C)C3)CC2)cn1C ZINC000570994993 1072454992 /nfs/dbraw/zinc/45/49/92/1072454992.db2.gz LQAZUTKJDXLGRZ-CHWSQXEVSA-N 0 0 427.527 -0.366 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3C[C@H](C)O[C@@H](C)C3)CC2)cn1C ZINC000570994994 1072454951 /nfs/dbraw/zinc/45/49/51/1072454951.db2.gz LQAZUTKJDXLGRZ-STQMWFEESA-N 0 0 427.527 -0.366 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1=O ZINC000570999343 1072455373 /nfs/dbraw/zinc/45/53/73/1072455373.db2.gz IODJTSBFFVIHFE-HNNXBMFYSA-N 0 0 428.497 -0.462 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1=O ZINC000570999345 1072455493 /nfs/dbraw/zinc/45/54/93/1072455493.db2.gz IODJTSBFFVIHFE-OAHLLOKOSA-N 0 0 428.497 -0.462 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCCCO[C@H]3CCOC3)C2)no1 ZINC000570999496 1072455054 /nfs/dbraw/zinc/45/50/54/1072455054.db2.gz MLDNBPKPQOASNJ-CVEARBPZSA-N 0 0 437.497 -0.107 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCCCO[C@H]3CCOC3)C2)no1 ZINC000570999498 1072454925 /nfs/dbraw/zinc/45/49/25/1072454925.db2.gz MLDNBPKPQOASNJ-HOTGVXAUSA-N 0 0 437.497 -0.107 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCCCO[C@@H]3CCOC3)C2)no1 ZINC000570999499 1072454941 /nfs/dbraw/zinc/45/49/41/1072454941.db2.gz MLDNBPKPQOASNJ-HZPDHXFCSA-N 0 0 437.497 -0.107 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCCCO[C@@H]3CCOC3)C2)no1 ZINC000570999500 1072454984 /nfs/dbraw/zinc/45/49/84/1072454984.db2.gz MLDNBPKPQOASNJ-JKSUJKDBSA-N 0 0 437.497 -0.107 20 0 IBADRN CNC(=O)[C@@H](CO)NS(=O)(=O)c1cc(Cl)c(OCC(=O)N(C)C)c(Cl)c1 ZINC000570999731 1073679101 /nfs/dbraw/zinc/67/91/01/1073679101.db2.gz PFJVWCTZRYVLNA-LLVKDONJSA-N 0 0 428.294 -0.154 20 0 IBADRN CNC(=O)[C@H](CO)NS(=O)(=O)c1cc(Cl)c(OCC(=O)N(C)C)c(Cl)c1 ZINC000570999732 1073678901 /nfs/dbraw/zinc/67/89/01/1073678901.db2.gz PFJVWCTZRYVLNA-NSHDSACASA-N 0 0 428.294 -0.154 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)cn1 ZINC000571002767 1072455544 /nfs/dbraw/zinc/45/55/44/1072455544.db2.gz KFZPTXBGDFSKBZ-APWZRJJASA-N 0 0 440.508 -0.214 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCc2csc(CNC(=O)c3ccccc3)n2)CCO1 ZINC000571002839 1072455558 /nfs/dbraw/zinc/45/55/58/1072455558.db2.gz MIJVPMHIYBSCQN-AWEZNQCLSA-N 0 0 431.474 -0.598 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCc2csc(CNC(=O)c3ccccc3)n2)CCO1 ZINC000571002840 1072455504 /nfs/dbraw/zinc/45/55/04/1072455504.db2.gz MIJVPMHIYBSCQN-CQSZACIVSA-N 0 0 431.474 -0.598 20 0 IBADRN Cc1nnc([C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)s1 ZINC000571004454 1072455517 /nfs/dbraw/zinc/45/55/17/1072455517.db2.gz VNBBWUICBWKDQZ-GFCCVEGCSA-N 0 0 433.556 -0.077 20 0 IBADRN Cc1nnc([C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)s1 ZINC000571004455 1072455593 /nfs/dbraw/zinc/45/55/93/1072455593.db2.gz VNBBWUICBWKDQZ-LBPRGKRZSA-N 0 0 433.556 -0.077 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3CC)C2=O)CCN1C[C@H](C)O ZINC000571006501 1073679060 /nfs/dbraw/zinc/67/90/60/1073679060.db2.gz GDULHUVNDKNHGI-BBWFWOEESA-N 0 0 434.541 -0.182 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3CC)C2=O)CCN1C[C@@H](C)O ZINC000571006502 1073678944 /nfs/dbraw/zinc/67/89/44/1073678944.db2.gz GDULHUVNDKNHGI-BRWVUGGUSA-N 0 0 434.541 -0.182 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3CC)C2=O)CCN1C[C@H](C)O ZINC000571006503 1073679113 /nfs/dbraw/zinc/67/91/13/1073679113.db2.gz GDULHUVNDKNHGI-GVDBMIGSSA-N 0 0 434.541 -0.182 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3CC)C2=O)CCN1C[C@@H](C)O ZINC000571006504 1073679012 /nfs/dbraw/zinc/67/90/12/1073679012.db2.gz GDULHUVNDKNHGI-ZACQAIPSSA-N 0 0 434.541 -0.182 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)OCCc2sccc21 ZINC000571010778 1073678971 /nfs/dbraw/zinc/67/89/71/1073678971.db2.gz GEGCUDASSICMMJ-FQEVSTJZSA-N 0 0 447.517 0.346 20 0 IBADRN C[C@]1(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)OCCc2sccc21 ZINC000571010779 1073679090 /nfs/dbraw/zinc/67/90/90/1073679090.db2.gz GEGCUDASSICMMJ-HXUWFJFHSA-N 0 0 447.517 0.346 20 0 IBADRN CCc1c(C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000571014525 1072455585 /nfs/dbraw/zinc/45/55/85/1072455585.db2.gz KNKHEGDOZUHJCZ-KBPBESRZSA-N 0 0 434.493 -0.319 20 0 IBADRN CCc1c(C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000571014526 1072455532 /nfs/dbraw/zinc/45/55/32/1072455532.db2.gz KNKHEGDOZUHJCZ-KGLIPLIRSA-N 0 0 434.493 -0.319 20 0 IBADRN CCc1c(C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000571014527 1072455354 /nfs/dbraw/zinc/45/53/54/1072455354.db2.gz KNKHEGDOZUHJCZ-UONOGXRCSA-N 0 0 434.493 -0.319 20 0 IBADRN CCc1c(C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000571014528 1072455438 /nfs/dbraw/zinc/45/54/38/1072455438.db2.gz KNKHEGDOZUHJCZ-ZIAGYGMSSA-N 0 0 434.493 -0.319 20 0 IBADRN COCC1(NC(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000571024876 1073678364 /nfs/dbraw/zinc/67/83/64/1073678364.db2.gz QTUFAMHXPFREBT-UHFFFAOYSA-N 0 0 427.479 -0.050 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CNC(=O)c2cnc3ccccc3c2O)C1 ZINC000571033705 1073679044 /nfs/dbraw/zinc/67/90/44/1073679044.db2.gz USWTUXXKKJJLAP-CYBMUJFWSA-N 0 0 435.506 -0.355 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cc(C)ccc3C)CC2)nn1 ZINC000571065815 1073346591 /nfs/dbraw/zinc/34/65/91/1073346591.db2.gz SIUPZMWVPSKELY-UHFFFAOYSA-N 0 0 433.490 0.574 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)nnn1CCOc1ccc(F)cc1 ZINC000571067426 1072455615 /nfs/dbraw/zinc/45/56/15/1072455615.db2.gz RYOYPEGFOWOHPQ-AWEZNQCLSA-N 0 0 445.411 -0.494 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)nnn1CCOc1ccc(F)cc1 ZINC000571067427 1072455424 /nfs/dbraw/zinc/45/54/24/1072455424.db2.gz RYOYPEGFOWOHPQ-CQSZACIVSA-N 0 0 445.411 -0.494 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCCOCCc2ccccc2)CC1)N1CCOCC1 ZINC000571068415 1072455448 /nfs/dbraw/zinc/45/54/48/1072455448.db2.gz IQWCLQHVRZJCBO-UHFFFAOYSA-N 0 0 446.548 -0.245 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(N3CN=NC3=O)CC2)c1 ZINC000571069325 1073679658 /nfs/dbraw/zinc/67/96/58/1073679658.db2.gz AJZULWWFBYHEKZ-UHFFFAOYSA-N 0 0 436.494 -0.173 20 0 IBADRN O=S(=O)(NCCN1CCN(S(=O)(=O)[C@H]2CCCOC2)CC1)[C@@H]1CCCOC1 ZINC000571080937 1073679706 /nfs/dbraw/zinc/67/97/06/1073679706.db2.gz MEJSJEOQAVBFOL-CVEARBPZSA-N 0 0 425.573 -0.789 20 0 IBADRN O=S(=O)(NCCN1CCN(S(=O)(=O)[C@H]2CCCOC2)CC1)[C@H]1CCCOC1 ZINC000571080940 1073679494 /nfs/dbraw/zinc/67/94/94/1073679494.db2.gz MEJSJEOQAVBFOL-HOTGVXAUSA-N 0 0 425.573 -0.789 20 0 IBADRN O=S(=O)(NCCN1CCN(S(=O)(=O)[C@@H]2CCCOC2)CC1)[C@@H]1CCCOC1 ZINC000571080942 1073679734 /nfs/dbraw/zinc/67/97/34/1073679734.db2.gz MEJSJEOQAVBFOL-HZPDHXFCSA-N 0 0 425.573 -0.789 20 0 IBADRN O=S(=O)(NCCN1CCN(S(=O)(=O)[C@@H]2CCCOC2)CC1)[C@H]1CCCOC1 ZINC000571080943 1073679592 /nfs/dbraw/zinc/67/95/92/1073679592.db2.gz MEJSJEOQAVBFOL-JKSUJKDBSA-N 0 0 425.573 -0.789 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C1=O ZINC000571081364 1072456148 /nfs/dbraw/zinc/45/61/48/1072456148.db2.gz IUBDIEYPZIGGSL-QWHCGFSZSA-N 0 0 449.430 -0.839 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C1=O ZINC000571081367 1072456229 /nfs/dbraw/zinc/45/62/29/1072456229.db2.gz IUBDIEYPZIGGSL-STQMWFEESA-N 0 0 449.430 -0.839 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1noc(-c2ccccc2)n1 ZINC000571082254 1073679558 /nfs/dbraw/zinc/67/95/58/1073679558.db2.gz RYDSNIYOGRDKRH-CYBMUJFWSA-N 0 0 440.460 -0.137 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1noc(-c2ccccc2)n1 ZINC000571082255 1073679700 /nfs/dbraw/zinc/67/97/00/1073679700.db2.gz RYDSNIYOGRDKRH-ZDUSSCGKSA-N 0 0 440.460 -0.137 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCN(CC(F)F)CC1 ZINC000571082952 1073679603 /nfs/dbraw/zinc/67/96/03/1073679603.db2.gz WYUZYDAUOVPDOH-AWEZNQCLSA-N 0 0 436.467 -0.134 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCN(CC(F)F)CC1 ZINC000571082954 1073679625 /nfs/dbraw/zinc/67/96/25/1073679625.db2.gz WYUZYDAUOVPDOH-CQSZACIVSA-N 0 0 436.467 -0.134 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCc3cnc(C4CC4)nc3)CC2)n1 ZINC000571084433 1072456055 /nfs/dbraw/zinc/45/60/55/1072456055.db2.gz PFTCBBAHEZQPLT-UHFFFAOYSA-N 0 0 429.481 -0.114 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2nc(-c3ccccc3CN3C(=O)CNC3=O)no2)CC1 ZINC000571084898 1072456196 /nfs/dbraw/zinc/45/61/96/1072456196.db2.gz BULZVOVCEWTDSG-UHFFFAOYSA-N 0 0 434.478 -0.134 20 0 IBADRN Cc1onc(N)c1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000571090737 1073679529 /nfs/dbraw/zinc/67/95/29/1073679529.db2.gz PCPJDTSYEVCHLQ-UHFFFAOYSA-N 0 0 444.491 0.032 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)[C@H](C)C1 ZINC000571097607 1072456254 /nfs/dbraw/zinc/45/62/54/1072456254.db2.gz PHNGYZWQPSMIEN-CYBMUJFWSA-N 0 0 446.551 -0.194 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)c1=O ZINC000571108070 1072456165 /nfs/dbraw/zinc/45/61/65/1072456165.db2.gz JHLOWGJUELSMCQ-LLVKDONJSA-N 0 0 432.355 -0.371 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)c1=O ZINC000571108071 1072456117 /nfs/dbraw/zinc/45/61/17/1072456117.db2.gz JHLOWGJUELSMCQ-NSHDSACASA-N 0 0 432.355 -0.371 20 0 IBADRN CCN(C(=O)[C@@H](C)S(=O)(=O)c1nc2nc(C)cc(C)n2n1)[C@@H]1CCS(=O)(=O)C1 ZINC000571109112 1072456171 /nfs/dbraw/zinc/45/61/71/1072456171.db2.gz ODSAQBCYSDIALX-CHWSQXEVSA-N 0 0 429.524 -0.061 20 0 IBADRN CCN(C(=O)[C@@H](C)S(=O)(=O)c1nc2nc(C)cc(C)n2n1)[C@H]1CCS(=O)(=O)C1 ZINC000571109113 1072456175 /nfs/dbraw/zinc/45/61/75/1072456175.db2.gz ODSAQBCYSDIALX-OLZOCXBDSA-N 0 0 429.524 -0.061 20 0 IBADRN CCN(C(=O)[C@H](C)S(=O)(=O)c1nc2nc(C)cc(C)n2n1)[C@@H]1CCS(=O)(=O)C1 ZINC000571109114 1072456152 /nfs/dbraw/zinc/45/61/52/1072456152.db2.gz ODSAQBCYSDIALX-QWHCGFSZSA-N 0 0 429.524 -0.061 20 0 IBADRN CCN(C(=O)[C@H](C)S(=O)(=O)c1nc2nc(C)cc(C)n2n1)[C@H]1CCS(=O)(=O)C1 ZINC000571109115 1072456133 /nfs/dbraw/zinc/45/61/33/1072456133.db2.gz ODSAQBCYSDIALX-STQMWFEESA-N 0 0 429.524 -0.061 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1nnn(CCOc2ccc(F)cc2)c1C ZINC000571109423 1072456157 /nfs/dbraw/zinc/45/61/57/1072456157.db2.gz CUKVBTAYHUDNRA-UHFFFAOYSA-N 0 0 428.446 -0.591 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CN(Cc1ccccc1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000571112857 1073679740 /nfs/dbraw/zinc/67/97/40/1073679740.db2.gz QGPFSIWEAZGXQN-AOMKIAJQSA-N 0 0 441.488 -0.109 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CN(Cc1ccccc1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000571112858 1073679730 /nfs/dbraw/zinc/67/97/30/1073679730.db2.gz QGPFSIWEAZGXQN-KSFYIVLOSA-N 0 0 441.488 -0.109 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CN(Cc1ccccc1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000571112859 1073679615 /nfs/dbraw/zinc/67/96/15/1073679615.db2.gz QGPFSIWEAZGXQN-OPAMFIHVSA-N 0 0 441.488 -0.109 20 0 IBADRN C[C@H]1OCC[C@]1(O)CN(Cc1ccccc1)C(=O)C(=O)NCCNC(=O)c1cnccn1 ZINC000571112860 1073679574 /nfs/dbraw/zinc/67/95/74/1073679574.db2.gz QGPFSIWEAZGXQN-ZHRRBRCNSA-N 0 0 441.488 -0.109 20 0 IBADRN Cc1nn(C)c(C)c1CCCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000571114449 1072456219 /nfs/dbraw/zinc/45/62/19/1072456219.db2.gz XLKFUVXZWUWMRM-UHFFFAOYSA-N 0 0 431.584 -0.419 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCCOC3)CC2)cc1 ZINC000571117537 1072456180 /nfs/dbraw/zinc/45/61/80/1072456180.db2.gz YTXZZFKMXKESLS-ZDUSSCGKSA-N 0 0 432.524 -0.471 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000571121425 1072456850 /nfs/dbraw/zinc/45/68/50/1072456850.db2.gz KFUZCAIRSSWBTA-LLVKDONJSA-N 0 0 425.496 -0.527 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000571121429 1072456743 /nfs/dbraw/zinc/45/67/43/1072456743.db2.gz KFUZCAIRSSWBTA-NSHDSACASA-N 0 0 425.496 -0.527 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1cccnc1 ZINC000571122680 1072456819 /nfs/dbraw/zinc/45/68/19/1072456819.db2.gz FWBCZWLHKHIYDD-GOSISDBHSA-N 0 0 445.520 -0.533 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1cccnc1 ZINC000571122681 1072456832 /nfs/dbraw/zinc/45/68/32/1072456832.db2.gz FWBCZWLHKHIYDD-SFHVURJKSA-N 0 0 445.520 -0.533 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@@H]1CCCO1 ZINC000571125196 1072456757 /nfs/dbraw/zinc/45/67/57/1072456757.db2.gz TYUHYVLJKCJELM-CVEARBPZSA-N 0 0 426.495 -0.775 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@@H]1CCCO1 ZINC000571125197 1072456730 /nfs/dbraw/zinc/45/67/30/1072456730.db2.gz TYUHYVLJKCJELM-HOTGVXAUSA-N 0 0 426.495 -0.775 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@H]1CCCO1 ZINC000571125198 1072456788 /nfs/dbraw/zinc/45/67/88/1072456788.db2.gz TYUHYVLJKCJELM-HZPDHXFCSA-N 0 0 426.495 -0.775 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)[C@H]1CCCO1 ZINC000571125199 1072456645 /nfs/dbraw/zinc/45/66/45/1072456645.db2.gz TYUHYVLJKCJELM-JKSUJKDBSA-N 0 0 426.495 -0.775 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@@]1(O)CCOC1 ZINC000571126578 1072456635 /nfs/dbraw/zinc/45/66/35/1072456635.db2.gz HWMCCCPJFIVHBZ-IBGZPJMESA-N 0 0 427.523 -0.097 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@]1(O)CCOC1 ZINC000571126579 1072456592 /nfs/dbraw/zinc/45/65/92/1072456592.db2.gz HWMCCCPJFIVHBZ-LJQANCHMSA-N 0 0 427.523 -0.097 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC[C@H]3CN3CCOCC3)nc2n(C)c1=O ZINC000571131807 1072456681 /nfs/dbraw/zinc/45/66/81/1072456681.db2.gz JQZNLVYJDXIUML-HNNXBMFYSA-N 0 0 430.509 0.314 20 0 IBADRN Cc1ccc(CNC(=O)N2CCO[C@@H](CNc3nccn(C)c3=O)C2)cc1S(C)(=O)=O ZINC000571132240 1073339556 /nfs/dbraw/zinc/33/95/56/1073339556.db2.gz MFYGCJBHXDLPHZ-INIZCTEOSA-N 0 0 449.533 0.515 20 0 IBADRN Cc1ccc(CNC(=O)N2CCO[C@H](CNc3nccn(C)c3=O)C2)cc1S(C)(=O)=O ZINC000571132241 1073339312 /nfs/dbraw/zinc/33/93/12/1073339312.db2.gz MFYGCJBHXDLPHZ-MRXNPFEDSA-N 0 0 449.533 0.515 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000571150329 1073309759 /nfs/dbraw/zinc/30/97/59/1073309759.db2.gz VMOADGXOXPFTHZ-INIZCTEOSA-N 0 0 430.513 -0.328 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000571150330 1073309534 /nfs/dbraw/zinc/30/95/34/1073309534.db2.gz VMOADGXOXPFTHZ-MRXNPFEDSA-N 0 0 430.513 -0.328 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)CC1 ZINC000571172094 1073680206 /nfs/dbraw/zinc/68/02/06/1073680206.db2.gz LKSQDNYZZBMJCJ-BSDSXHPESA-N 0 0 436.553 -0.254 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)CC1 ZINC000571172095 1073680248 /nfs/dbraw/zinc/68/02/48/1073680248.db2.gz LKSQDNYZZBMJCJ-FJIDUMEYSA-N 0 0 436.553 -0.254 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)CC1 ZINC000571172096 1073680175 /nfs/dbraw/zinc/68/01/75/1073680175.db2.gz LKSQDNYZZBMJCJ-MLHJIOFPSA-N 0 0 436.553 -0.254 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)CC1 ZINC000571172097 1073680242 /nfs/dbraw/zinc/68/02/42/1073680242.db2.gz LKSQDNYZZBMJCJ-WNRNVDISSA-N 0 0 436.553 -0.254 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCC[C@H](CNS(=O)(=O)C4CC4)C3)cnc2n(C)c1=O ZINC000571173122 1073680221 /nfs/dbraw/zinc/68/02/21/1073680221.db2.gz MVWIEEMHYFHPBB-GFCCVEGCSA-N 0 0 435.506 -0.434 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCC[C@@H](CNS(=O)(=O)C4CC4)C3)cnc2n(C)c1=O ZINC000571173124 1073680077 /nfs/dbraw/zinc/68/00/77/1073680077.db2.gz MVWIEEMHYFHPBB-LBPRGKRZSA-N 0 0 435.506 -0.434 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC(C)C)cc2)nn1 ZINC000571173292 1073680065 /nfs/dbraw/zinc/68/00/65/1073680065.db2.gz SGRXDVBYXQTXFK-UHFFFAOYSA-N 0 0 438.466 -0.494 20 0 IBADRN O=C(NCc1ccccc1N1CCOCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000571173445 1073680188 /nfs/dbraw/zinc/68/01/88/1073680188.db2.gz VGZCBCLBJIXYDN-UHFFFAOYSA-N 0 0 443.504 -0.911 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000571182918 1072456812 /nfs/dbraw/zinc/45/68/12/1072456812.db2.gz REPUIWWTKWKLAT-JOCHJYFZSA-N 0 0 428.489 -0.096 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000571182919 1072456839 /nfs/dbraw/zinc/45/68/39/1072456839.db2.gz REPUIWWTKWKLAT-QFIPXVFZSA-N 0 0 428.489 -0.096 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc4c(c3)OCO4)CC2)cn1C ZINC000571183355 1072456844 /nfs/dbraw/zinc/45/68/44/1072456844.db2.gz KAHBUKLFZBIDNA-UHFFFAOYSA-N 0 0 449.489 -0.393 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000571187753 1072456712 /nfs/dbraw/zinc/45/67/12/1072456712.db2.gz FQSCWMKNVBSHPJ-ADKAHSJRSA-N 0 0 435.569 -0.047 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000571187754 1072457361 /nfs/dbraw/zinc/45/73/61/1072457361.db2.gz FQSCWMKNVBSHPJ-LXPRWKDFSA-N 0 0 435.569 -0.047 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CN2C(=O)NC(C)(C)C2=O)CC3)CC1 ZINC000571187941 1072457548 /nfs/dbraw/zinc/45/75/48/1072457548.db2.gz KEBGHZBIBUUPEJ-AWEZNQCLSA-N 0 0 445.524 -0.720 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CN2C(=O)NC(C)(C)C2=O)CC3)CC1 ZINC000571187943 1072457414 /nfs/dbraw/zinc/45/74/14/1072457414.db2.gz KEBGHZBIBUUPEJ-CQSZACIVSA-N 0 0 445.524 -0.720 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccn(C(C)C)n2)CC1 ZINC000571191805 1072457235 /nfs/dbraw/zinc/45/72/35/1072457235.db2.gz DXYRJWNXLZBTBR-UHFFFAOYSA-N 0 0 429.543 -0.021 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCC[C@H](OCCO)C1 ZINC000571197333 1072457328 /nfs/dbraw/zinc/45/73/28/1072457328.db2.gz WAXUBMVCQXUGDK-IBGZPJMESA-N 0 0 448.520 -0.313 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCC[C@@H](OCCO)C1 ZINC000571197336 1072457500 /nfs/dbraw/zinc/45/75/00/1072457500.db2.gz WAXUBMVCQXUGDK-LJQANCHMSA-N 0 0 448.520 -0.313 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)C2=O)c1 ZINC000571200771 1072457542 /nfs/dbraw/zinc/45/75/42/1072457542.db2.gz IXBHZSJPQOOIEA-MSOLQXFVSA-N 0 0 448.476 -0.711 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)C2=O)c1 ZINC000571200773 1072457512 /nfs/dbraw/zinc/45/75/12/1072457512.db2.gz IXBHZSJPQOOIEA-QZTJIDSGSA-N 0 0 448.476 -0.711 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)C2=O)c1 ZINC000571200774 1072457520 /nfs/dbraw/zinc/45/75/20/1072457520.db2.gz IXBHZSJPQOOIEA-ROUUACIJSA-N 0 0 448.476 -0.711 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)C2=O)c1 ZINC000571200775 1072457305 /nfs/dbraw/zinc/45/73/05/1072457305.db2.gz IXBHZSJPQOOIEA-ZWKOTPCHSA-N 0 0 448.476 -0.711 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOCC(F)(F)C3)cn2)C[C@H](C)O1 ZINC000571201567 1072457807 /nfs/dbraw/zinc/45/78/07/1072457807.db2.gz OSMRLYFSKKQCGS-BETUJISGSA-N 0 0 429.424 -0.049 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOCC(F)(F)C3)cn2)C[C@@H](C)O1 ZINC000571201768 1072457563 /nfs/dbraw/zinc/45/75/63/1072457563.db2.gz OSMRLYFSKKQCGS-CHWSQXEVSA-N 0 0 429.424 -0.049 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCOCC(F)(F)C3)cn2)C[C@H](C)O1 ZINC000571201769 1072457816 /nfs/dbraw/zinc/45/78/16/1072457816.db2.gz OSMRLYFSKKQCGS-STQMWFEESA-N 0 0 429.424 -0.049 20 0 IBADRN CCn1cc(-c2noc(-c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)n2)c(=O)[nH]c1=O ZINC000571206157 1072457797 /nfs/dbraw/zinc/45/77/97/1072457797.db2.gz PCXDLTYDHJBILB-UHFFFAOYSA-N 0 0 446.445 -0.194 20 0 IBADRN Cc1cc(=O)cc(C)n1CCCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000571211397 1073680161 /nfs/dbraw/zinc/68/01/61/1073680161.db2.gz GQBUBNVUHHFNRM-UHFFFAOYSA-N 0 0 426.539 -0.033 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000571213272 1073680214 /nfs/dbraw/zinc/68/02/14/1073680214.db2.gz IYMWPAHQBMIYLJ-UHFFFAOYSA-N 0 0 439.538 -0.404 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCCc2c1cccc2S(N)(=O)=O ZINC000571217889 1072457913 /nfs/dbraw/zinc/45/79/13/1072457913.db2.gz FKVHRFYKGSNXHP-UHFFFAOYSA-N 0 0 425.554 -0.302 20 0 IBADRN O=C(CNC(=O)C(=O)NCCNC(=O)c1cccnc1)N1CCN(c2ccccc2)CC1 ZINC000571229455 1072457763 /nfs/dbraw/zinc/45/77/63/1072457763.db2.gz FTKQQAJKWXODKD-UHFFFAOYSA-N 0 0 438.488 -0.607 20 0 IBADRN CC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000571233367 1073680126 /nfs/dbraw/zinc/68/01/26/1073680126.db2.gz LXDKQRIBQRCNQM-GOSISDBHSA-N 0 0 429.521 -0.174 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000571233378 1073680144 /nfs/dbraw/zinc/68/01/44/1073680144.db2.gz LXDKQRIBQRCNQM-SFHVURJKSA-N 0 0 429.521 -0.174 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCS(=O)(=O)NCC2CCC2)C1 ZINC000571234497 1072457858 /nfs/dbraw/zinc/45/78/58/1072457858.db2.gz SRRVFOVKSHLQGA-CQSZACIVSA-N 0 0 425.577 -0.724 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1c(C)nn(C)c1C ZINC000571238173 1072457838 /nfs/dbraw/zinc/45/78/38/1072457838.db2.gz WSNABUWQUYBNEV-AWEZNQCLSA-N 0 0 446.512 -0.907 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1c(C)nn(C)c1C ZINC000571238174 1072457851 /nfs/dbraw/zinc/45/78/51/1072457851.db2.gz WSNABUWQUYBNEV-CQSZACIVSA-N 0 0 446.512 -0.907 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)[C@H](C)CS(=O)(=O)CC ZINC000571240404 1072457793 /nfs/dbraw/zinc/45/77/93/1072457793.db2.gz NSBDFVURDABFTF-CABCVRRESA-N 0 0 441.554 -0.301 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)[C@@H](C)CS(=O)(=O)CC ZINC000571240409 1072457925 /nfs/dbraw/zinc/45/79/25/1072457925.db2.gz NSBDFVURDABFTF-GJZGRUSLSA-N 0 0 441.554 -0.301 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)[C@H](C)CS(=O)(=O)CC ZINC000571240411 1072457789 /nfs/dbraw/zinc/45/77/89/1072457789.db2.gz NSBDFVURDABFTF-HUUCEWRRSA-N 0 0 441.554 -0.301 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)[C@@H](C)CS(=O)(=O)CC ZINC000571240414 1072457774 /nfs/dbraw/zinc/45/77/74/1072457774.db2.gz NSBDFVURDABFTF-LSDHHAIUSA-N 0 0 441.554 -0.301 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCS(=O)(=O)[C@@H]2CCCC[C@@H]21 ZINC000571245535 1072457931 /nfs/dbraw/zinc/45/79/31/1072457931.db2.gz UUJQZUJEOZUYGZ-DZGCQCFKSA-N 0 0 443.503 -0.190 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCS(=O)(=O)[C@H]2CCCC[C@H]21 ZINC000571245536 1072457890 /nfs/dbraw/zinc/45/78/90/1072457890.db2.gz UUJQZUJEOZUYGZ-HIFRSBDPSA-N 0 0 443.503 -0.190 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCS(=O)(=O)[C@@H]2CCCC[C@H]21 ZINC000571245537 1072458490 /nfs/dbraw/zinc/45/84/90/1072458490.db2.gz UUJQZUJEOZUYGZ-UKRRQHHQSA-N 0 0 443.503 -0.190 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCS(=O)(=O)[C@H]2CCCC[C@@H]21 ZINC000571245538 1072458655 /nfs/dbraw/zinc/45/86/55/1072458655.db2.gz UUJQZUJEOZUYGZ-ZFWWWQNUSA-N 0 0 443.503 -0.190 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CC[C@](C)(CNS(=O)(=O)C[C@@H](C)C(=O)OC)C1 ZINC000571245841 1072457754 /nfs/dbraw/zinc/45/77/54/1072457754.db2.gz VJNSNJZHOGIJON-DVOMOZLQSA-N 0 0 442.556 -0.434 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)NC[C@]1(C)CCN(S(=O)(=O)C[C@@H](C)C(=O)OC)C1 ZINC000571245842 1072457883 /nfs/dbraw/zinc/45/78/83/1072457883.db2.gz VJNSNJZHOGIJON-IOASZLSFSA-N 0 0 442.556 -0.434 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N1CC[C@@](C)(CNS(=O)(=O)C[C@@H](C)C(=O)OC)C1 ZINC000571245843 1072457783 /nfs/dbraw/zinc/45/77/83/1072457783.db2.gz VJNSNJZHOGIJON-WWGRRREGSA-N 0 0 442.556 -0.434 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)NC[C@@]1(C)CCN(S(=O)(=O)C[C@@H](C)C(=O)OC)C1 ZINC000571245844 1072457822 /nfs/dbraw/zinc/45/78/22/1072457822.db2.gz VJNSNJZHOGIJON-XJKCOSOUSA-N 0 0 442.556 -0.434 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000571246056 1072458595 /nfs/dbraw/zinc/45/85/95/1072458595.db2.gz GHVPPFMZZGZUHD-UHFFFAOYSA-N 0 0 429.481 -0.550 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C1=O ZINC000571246778 1072458669 /nfs/dbraw/zinc/45/86/69/1072458669.db2.gz OSTGWUMZRKCECC-NWDGAFQWSA-N 0 0 435.403 -0.834 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C1=O ZINC000571246779 1072458613 /nfs/dbraw/zinc/45/86/13/1072458613.db2.gz OSTGWUMZRKCECC-RYUDHWBXSA-N 0 0 435.403 -0.834 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)C2 ZINC000571267387 1073680229 /nfs/dbraw/zinc/68/02/29/1073680229.db2.gz FNMCOIKGGVZYCS-UHFFFAOYSA-N 0 0 426.886 -0.323 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000571293112 1073680151 /nfs/dbraw/zinc/68/01/51/1073680151.db2.gz GUKQGACXNUMZBI-DZGCQCFKSA-N 0 0 436.490 -0.677 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000571293113 1071575894 /nfs/dbraw/zinc/57/58/94/1071575894.db2.gz GUKQGACXNUMZBI-HIFRSBDPSA-N 0 0 436.490 -0.677 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000571293114 1073680200 /nfs/dbraw/zinc/68/02/00/1073680200.db2.gz GUKQGACXNUMZBI-UKRRQHHQSA-N 0 0 436.490 -0.677 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000571293115 1071575906 /nfs/dbraw/zinc/57/59/06/1071575906.db2.gz GUKQGACXNUMZBI-ZFWWWQNUSA-N 0 0 436.490 -0.677 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc(CC3CC3)n2C[C@@H]2CCCO2)CC1)N1CCOCC1 ZINC000571297630 1073680093 /nfs/dbraw/zinc/68/00/93/1073680093.db2.gz GQAUNQBHRYLQRW-KRWDZBQOSA-N 0 0 432.525 -0.083 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc(CC3CC3)n2C[C@H]2CCCO2)CC1)N1CCOCC1 ZINC000571297631 1073680118 /nfs/dbraw/zinc/68/01/18/1073680118.db2.gz GQAUNQBHRYLQRW-QGZVFWFLSA-N 0 0 432.525 -0.083 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCc4ccccc43)C2)nn1C ZINC000571304407 1073349559 /nfs/dbraw/zinc/34/95/59/1073349559.db2.gz MBKPGPFRVJXXDU-HNNXBMFYSA-N 0 0 432.506 0.446 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCc4ccccc43)C2)nn1C ZINC000571304408 1073349579 /nfs/dbraw/zinc/34/95/79/1073349579.db2.gz MBKPGPFRVJXXDU-OAHLLOKOSA-N 0 0 432.506 0.446 20 0 IBADRN CCOC(=O)C1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000571304534 1072458515 /nfs/dbraw/zinc/45/85/15/1072458515.db2.gz RALOUBJFFSWTCK-UHFFFAOYSA-N 0 0 444.488 -0.324 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCS(=O)(=O)[C@H]1C)CC2 ZINC000571305146 1073680838 /nfs/dbraw/zinc/68/08/38/1073680838.db2.gz CRVVDRGQCYBGOC-FZMZJTMJSA-N 0 0 429.520 -0.434 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CC[C@H](Oc2ccccn2)C1 ZINC000571305298 1072458497 /nfs/dbraw/zinc/45/84/97/1072458497.db2.gz CHIHJGQCOZZERN-KRWDZBQOSA-N 0 0 425.493 -0.210 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CC[C@@H](Oc2ccccn2)C1 ZINC000571305299 1072458637 /nfs/dbraw/zinc/45/86/37/1072458637.db2.gz CHIHJGQCOZZERN-QGZVFWFLSA-N 0 0 425.493 -0.210 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCNc3ccn(C)n3)cc2)CC1 ZINC000571307947 1073306762 /nfs/dbraw/zinc/30/67/62/1073306762.db2.gz WBLNSCXUSDDNGC-UHFFFAOYSA-N 0 0 434.522 0.115 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000571308006 1072458583 /nfs/dbraw/zinc/45/85/83/1072458583.db2.gz AQFJIODMQKXJMI-DLBZAZTESA-N 0 0 436.534 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000571308007 1072458644 /nfs/dbraw/zinc/45/86/44/1072458644.db2.gz AQFJIODMQKXJMI-IAGOWNOFSA-N 0 0 436.534 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000571308008 1072458458 /nfs/dbraw/zinc/45/84/58/1072458458.db2.gz AQFJIODMQKXJMI-IRXDYDNUSA-N 0 0 436.534 -0.309 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000571308009 1072458464 /nfs/dbraw/zinc/45/84/64/1072458464.db2.gz AQFJIODMQKXJMI-SJORKVTESA-N 0 0 436.534 -0.309 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCCOc2ccc(F)cc2)CC1 ZINC000571308049 1072458425 /nfs/dbraw/zinc/45/84/25/1072458425.db2.gz CLZJFTOYAZIEHN-UHFFFAOYSA-N 0 0 436.484 -0.286 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000571308484 1073680939 /nfs/dbraw/zinc/68/09/39/1073680939.db2.gz MNYNSQSBLXNDBE-HKUYNNGSSA-N 0 0 443.548 -0.037 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000571308485 1073680873 /nfs/dbraw/zinc/68/08/73/1073680873.db2.gz MNYNSQSBLXNDBE-IEBWSBKVSA-N 0 0 443.548 -0.037 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000571308486 1073680768 /nfs/dbraw/zinc/68/07/68/1073680768.db2.gz MNYNSQSBLXNDBE-MJGOQNOKSA-N 0 0 443.548 -0.037 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000571308487 1073680953 /nfs/dbraw/zinc/68/09/53/1073680953.db2.gz MNYNSQSBLXNDBE-PKOBYXMFSA-N 0 0 443.548 -0.037 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000571308678 1073680946 /nfs/dbraw/zinc/68/09/46/1073680946.db2.gz QVVCMLWPSVTACC-GOSISDBHSA-N 0 0 449.527 -0.277 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000571308679 1073680830 /nfs/dbraw/zinc/68/08/30/1073680830.db2.gz QVVCMLWPSVTACC-SFHVURJKSA-N 0 0 449.527 -0.277 20 0 IBADRN O=C(N[C@H]1CCO[C@@H]1c1cccnc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000571310603 1072458528 /nfs/dbraw/zinc/45/85/28/1072458528.db2.gz NJLFRSJHZCDXBK-DLBZAZTESA-N 0 0 425.511 -0.184 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1OC ZINC000571317053 1072458435 /nfs/dbraw/zinc/45/84/35/1072458435.db2.gz BUOMRZMDAOGSJS-UHFFFAOYSA-N 0 0 427.479 -0.161 20 0 IBADRN Cc1nnc([C@@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)C2)[nH]1 ZINC000571317140 1072459058 /nfs/dbraw/zinc/45/90/58/1072459058.db2.gz GCIGOEVWEIMDJU-CABCVRRESA-N 0 0 428.497 -0.140 20 0 IBADRN Cc1nnc([C@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)C2)[nH]1 ZINC000571317141 1072459130 /nfs/dbraw/zinc/45/91/30/1072459130.db2.gz GCIGOEVWEIMDJU-GJZGRUSLSA-N 0 0 428.497 -0.140 20 0 IBADRN Cc1nnc([C@@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)C2)[nH]1 ZINC000571317142 1072459232 /nfs/dbraw/zinc/45/92/32/1072459232.db2.gz GCIGOEVWEIMDJU-HUUCEWRRSA-N 0 0 428.497 -0.140 20 0 IBADRN Cc1nnc([C@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)C2)[nH]1 ZINC000571317143 1072459177 /nfs/dbraw/zinc/45/91/77/1072459177.db2.gz GCIGOEVWEIMDJU-LSDHHAIUSA-N 0 0 428.497 -0.140 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCC(CS(N)(=O)=O)CC1 ZINC000571317913 1072459170 /nfs/dbraw/zinc/45/91/70/1072459170.db2.gz AMCJYBVJFLGYNQ-AWEZNQCLSA-N 0 0 425.577 -0.643 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCC(CS(N)(=O)=O)CC1 ZINC000571317922 1072459161 /nfs/dbraw/zinc/45/91/61/1072459161.db2.gz AMCJYBVJFLGYNQ-CQSZACIVSA-N 0 0 425.577 -0.643 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000571318029 1072459077 /nfs/dbraw/zinc/45/90/77/1072459077.db2.gz CYRNBQRIGHIAFF-DOTOQJQBSA-N 0 0 444.602 -0.358 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000571318030 1072459102 /nfs/dbraw/zinc/45/91/02/1072459102.db2.gz CYRNBQRIGHIAFF-NVXWUHKLSA-N 0 0 444.602 -0.358 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000571318031 1072459203 /nfs/dbraw/zinc/45/92/03/1072459203.db2.gz CYRNBQRIGHIAFF-RDJZCZTQSA-N 0 0 444.602 -0.358 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000571318032 1072459091 /nfs/dbraw/zinc/45/90/91/1072459091.db2.gz CYRNBQRIGHIAFF-WBVHZDCISA-N 0 0 444.602 -0.358 20 0 IBADRN Cn1cc(-c2ccccc2CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cn1 ZINC000571319145 1073680848 /nfs/dbraw/zinc/68/08/48/1073680848.db2.gz OEDWHJRXFNEDBZ-UHFFFAOYSA-N 0 0 437.464 -0.939 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c(-n2cc(Cl)cn2)n1 ZINC000571322529 1072458985 /nfs/dbraw/zinc/45/89/85/1072458985.db2.gz MPQGBOOBQMISNH-UHFFFAOYSA-N 0 0 440.913 -0.051 20 0 IBADRN CC(C)(C)Oc1ccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cn1 ZINC000571322751 1072459207 /nfs/dbraw/zinc/45/92/07/1072459207.db2.gz IJAVDAJKBGOARS-UHFFFAOYSA-N 0 0 430.469 -0.767 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000571327717 1072459012 /nfs/dbraw/zinc/45/90/12/1072459012.db2.gz CRDODBGYEDAULR-UHFFFAOYSA-N 0 0 426.451 -0.851 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000571329190 1073680822 /nfs/dbraw/zinc/68/08/22/1073680822.db2.gz XGPIXBDRHGOBDH-AWEZNQCLSA-N 0 0 439.538 -0.096 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000571329192 1073680803 /nfs/dbraw/zinc/68/08/03/1073680803.db2.gz XGPIXBDRHGOBDH-CQSZACIVSA-N 0 0 439.538 -0.096 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)CC1 ZINC000571330759 1073680904 /nfs/dbraw/zinc/68/09/04/1073680904.db2.gz MRBIXFFNFJUYOG-HOLBHBGLSA-N 0 0 426.583 -0.005 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)CC1 ZINC000571330765 1073680775 /nfs/dbraw/zinc/68/07/75/1073680775.db2.gz MRBIXFFNFJUYOG-MHTXLSKPSA-N 0 0 426.583 -0.005 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)CC1 ZINC000571330769 1073680920 /nfs/dbraw/zinc/68/09/20/1073680920.db2.gz MRBIXFFNFJUYOG-PEGYKEAPSA-N 0 0 426.583 -0.005 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)CC1 ZINC000571330771 1073680911 /nfs/dbraw/zinc/68/09/11/1073680911.db2.gz MRBIXFFNFJUYOG-WNNBVUDTSA-N 0 0 426.583 -0.005 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000571333053 1073681456 /nfs/dbraw/zinc/68/14/56/1073681456.db2.gz ANORGDBEAZXQIB-UHFFFAOYSA-N 0 0 441.435 -0.224 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1S(C)(=O)=O ZINC000571335274 1072460047 /nfs/dbraw/zinc/46/00/47/1072460047.db2.gz LDWGNOGHIICSDH-UHFFFAOYSA-N 0 0 446.551 -0.322 20 0 IBADRN COCC[C@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)c1ccco1 ZINC000571358120 1072460028 /nfs/dbraw/zinc/46/00/28/1072460028.db2.gz YSIKBUBWXCGDEL-HNNXBMFYSA-N 0 0 433.465 0.553 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)c1ccco1 ZINC000571358121 1072459990 /nfs/dbraw/zinc/45/99/90/1072459990.db2.gz YSIKBUBWXCGDEL-OAHLLOKOSA-N 0 0 433.465 0.553 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC2CCN(c3cc(C)nc(C)n3)CC2)C1 ZINC000571360285 1072460104 /nfs/dbraw/zinc/46/01/04/1072460104.db2.gz UNVKPGLBFWIWMQ-INIZCTEOSA-N 0 0 438.554 -0.281 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC2CCN(c3cc(C)nc(C)n3)CC2)C1 ZINC000571360286 1072460013 /nfs/dbraw/zinc/46/00/13/1072460013.db2.gz UNVKPGLBFWIWMQ-MRXNPFEDSA-N 0 0 438.554 -0.281 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)[C@H]1c1ccccc1 ZINC000571365071 1072459977 /nfs/dbraw/zinc/45/99/77/1072459977.db2.gz JVUWOLBZZVCYQE-YADHBBJMSA-N 0 0 444.532 -0.022 20 0 IBADRN COC(C)(C)CS(=O)(=O)NCCN1CCN(S(=O)(=O)CC(C)(C)OC)CC1 ZINC000571366270 1073681422 /nfs/dbraw/zinc/68/14/22/1073681422.db2.gz SFBVRLQSTLNLMJ-UHFFFAOYSA-N 0 0 429.605 -0.297 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N[C@H](CN2CCOCC2)C(=O)OC)c1OC ZINC000571367276 1072460635 /nfs/dbraw/zinc/46/06/35/1072460635.db2.gz TVGWXEAEBXSDQV-GFCCVEGCSA-N 0 0 446.478 -0.358 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N[C@@H](CN2CCOCC2)C(=O)OC)c1OC ZINC000571367277 1072460499 /nfs/dbraw/zinc/46/04/99/1072460499.db2.gz TVGWXEAEBXSDQV-LBPRGKRZSA-N 0 0 446.478 -0.358 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2)CC1 ZINC000571367527 1072460532 /nfs/dbraw/zinc/46/05/32/1072460532.db2.gz CIKQWFRLFKVDGX-INIZCTEOSA-N 0 0 426.539 -0.350 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2)CC1 ZINC000571367529 1072460591 /nfs/dbraw/zinc/46/05/91/1072460591.db2.gz CIKQWFRLFKVDGX-MRXNPFEDSA-N 0 0 426.539 -0.350 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000571370649 1072460642 /nfs/dbraw/zinc/46/06/42/1072460642.db2.gz MDJZMIJJDFZQGE-UHFFFAOYSA-N 0 0 434.521 -0.492 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C(=O)Nc2cc(Cl)cc(C(N)=O)c2OC)CC1 ZINC000571388870 1072460444 /nfs/dbraw/zinc/46/04/44/1072460444.db2.gz HNWYCMUZYPYOHL-UHFFFAOYSA-N 0 0 432.886 -0.074 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCC2(S(C)(=O)=O)CCC2)c(S(N)(=O)=O)c1 ZINC000571390684 1072460516 /nfs/dbraw/zinc/46/05/16/1072460516.db2.gz PREORNZZDAKLNG-UHFFFAOYSA-N 0 0 425.554 -0.037 20 0 IBADRN CNc1ccc(C(=O)N2CCN(C(=O)c3ccc(NC)nc3)[C@H](C(=O)N(C)C)C2)cn1 ZINC000571412170 1071594019 /nfs/dbraw/zinc/59/40/19/1071594019.db2.gz YZQVJZHLMPFUDC-INIZCTEOSA-N 0 0 425.493 -0.541 20 0 IBADRN CNc1ccc(C(=O)N2CCN(C(=O)c3ccc(NC)nc3)[C@@H](C(=O)N(C)C)C2)cn1 ZINC000571412174 1071594147 /nfs/dbraw/zinc/59/41/47/1071594147.db2.gz YZQVJZHLMPFUDC-MRXNPFEDSA-N 0 0 425.493 -0.541 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1=O ZINC000571420847 1072460659 /nfs/dbraw/zinc/46/06/59/1072460659.db2.gz BGEJWPIOKWLEBI-UHFFFAOYSA-N 0 0 428.467 -0.841 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCc2nnnn2-c2ccccc2)n1)N1CCOCC1 ZINC000571421179 1072460603 /nfs/dbraw/zinc/46/06/03/1072460603.db2.gz RLHGLMVKOVZPPE-UHFFFAOYSA-N 0 0 425.453 0.082 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000571423462 1072460549 /nfs/dbraw/zinc/46/05/49/1072460549.db2.gz WTVSNVXTCSTYFF-AWEZNQCLSA-N 0 0 439.538 -0.948 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000571423463 1072460671 /nfs/dbraw/zinc/46/06/71/1072460671.db2.gz WTVSNVXTCSTYFF-CQSZACIVSA-N 0 0 439.538 -0.948 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCCNc2ccccn2)CC1 ZINC000571423900 1072460573 /nfs/dbraw/zinc/46/05/73/1072460573.db2.gz CBIQGXMGJDGSMY-KRWDZBQOSA-N 0 0 432.525 -0.609 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCCNc2ccccn2)CC1 ZINC000571423903 1072460618 /nfs/dbraw/zinc/46/06/18/1072460618.db2.gz CBIQGXMGJDGSMY-QGZVFWFLSA-N 0 0 432.525 -0.609 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC(=O)N(C)C(=O)C1 ZINC000571426036 1072460713 /nfs/dbraw/zinc/46/07/13/1072460713.db2.gz QGYAJYARVXGPAN-GOSISDBHSA-N 0 0 439.490 -0.022 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC(=O)N(C)C(=O)C1 ZINC000571426037 1072460390 /nfs/dbraw/zinc/46/03/90/1072460390.db2.gz QGYAJYARVXGPAN-SFHVURJKSA-N 0 0 439.490 -0.022 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000571427581 1072460684 /nfs/dbraw/zinc/46/06/84/1072460684.db2.gz ZBEUFAFUHUQVGK-UHFFFAOYSA-N 0 0 433.556 -0.511 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCO[C@H](c4nnc(C)[nH]4)C3)CC2=O)c1 ZINC000571428066 1071594180 /nfs/dbraw/zinc/59/41/80/1071594180.db2.gz DHTQIVVJCYEFQQ-BBRMVZONSA-N 0 0 428.449 -0.057 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCO[C@H](c4nnc(C)[nH]4)C3)CC2=O)c1 ZINC000571428069 1071594162 /nfs/dbraw/zinc/59/41/62/1071594162.db2.gz DHTQIVVJCYEFQQ-CJNGLKHVSA-N 0 0 428.449 -0.057 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCO[C@@H](c4nnc(C)[nH]4)C3)CC2=O)c1 ZINC000571428070 1071594125 /nfs/dbraw/zinc/59/41/25/1071594125.db2.gz DHTQIVVJCYEFQQ-CZUORRHYSA-N 0 0 428.449 -0.057 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCO[C@@H](c4nnc(C)[nH]4)C3)CC2=O)c1 ZINC000571428071 1071594052 /nfs/dbraw/zinc/59/40/52/1071594052.db2.gz DHTQIVVJCYEFQQ-XJKSGUPXSA-N 0 0 428.449 -0.057 20 0 IBADRN COCCn1c(N)c(C(=O)CN(C)CCn2cc(Br)cn2)c(=O)[nH]c1=O ZINC000571440908 1072460465 /nfs/dbraw/zinc/46/04/65/1072460465.db2.gz DGVAZJIPDLZCRL-UHFFFAOYSA-N 0 0 429.275 -0.049 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)C1=O ZINC000571443216 1071594660 /nfs/dbraw/zinc/59/46/60/1071594660.db2.gz XSDCHGHSWJSWLY-GVXVVHGQSA-N 0 0 427.479 -0.654 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)C1=O ZINC000571443217 1072160921 /nfs/dbraw/zinc/16/09/21/1072160921.db2.gz XSDCHGHSWJSWLY-LOWVWBTDSA-N 0 0 427.479 -0.654 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)C1=O ZINC000571443218 1072161056 /nfs/dbraw/zinc/16/10/56/1072161056.db2.gz XSDCHGHSWJSWLY-MDZLAQPJSA-N 0 0 427.479 -0.654 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)C1=O ZINC000571443219 1071594602 /nfs/dbraw/zinc/59/46/02/1071594602.db2.gz XSDCHGHSWJSWLY-WZRBSPASSA-N 0 0 427.479 -0.654 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)N[C@H]3C(C)(C)C)CC2)CC1 ZINC000571452100 1071594647 /nfs/dbraw/zinc/59/46/47/1071594647.db2.gz FMOIXJLFJXIDFY-NVXWUHKLSA-N 0 0 444.602 -0.501 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc4nc(C)nn4c3)CC2)no1 ZINC000571454162 1072461239 /nfs/dbraw/zinc/46/12/39/1072461239.db2.gz AEVNJAMRFZESPE-UHFFFAOYSA-N 0 0 426.437 0.056 20 0 IBADRN C[C@H](O)C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000571455156 1071594580 /nfs/dbraw/zinc/59/45/80/1071594580.db2.gz FLBYFUSVXFFQRL-DOTOQJQBSA-N 0 0 429.481 -0.985 20 0 IBADRN C[C@@H](O)C[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000571455158 1072461202 /nfs/dbraw/zinc/46/12/02/1072461202.db2.gz FLBYFUSVXFFQRL-NVXWUHKLSA-N 0 0 429.481 -0.985 20 0 IBADRN C[C@H](O)C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000571455160 1072461232 /nfs/dbraw/zinc/46/12/32/1072461232.db2.gz FLBYFUSVXFFQRL-RDJZCZTQSA-N 0 0 429.481 -0.985 20 0 IBADRN C[C@@H](O)C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000571455162 1072461184 /nfs/dbraw/zinc/46/11/84/1072461184.db2.gz FLBYFUSVXFFQRL-WBVHZDCISA-N 0 0 429.481 -0.985 20 0 IBADRN COCCn1nnc2c1CCN([C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1)C2 ZINC000571455596 1072461224 /nfs/dbraw/zinc/46/12/24/1072461224.db2.gz XBOJQNVXCYHNNH-AWEZNQCLSA-N 0 0 436.538 -0.323 20 0 IBADRN COCCn1nnc2c1CCN([C@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1)C2 ZINC000571455597 1072461173 /nfs/dbraw/zinc/46/11/73/1072461173.db2.gz XBOJQNVXCYHNNH-CQSZACIVSA-N 0 0 436.538 -0.323 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NC3CC3)c2)CC1 ZINC000571456146 1073681509 /nfs/dbraw/zinc/68/15/09/1073681509.db2.gz IVVHQRVIQLOGTP-UHFFFAOYSA-N 0 0 437.522 -0.142 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000571456797 1073681346 /nfs/dbraw/zinc/68/13/46/1073681346.db2.gz GOVRZEZWSPBTBW-CABCVRRESA-N 0 0 444.531 -0.246 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000571456800 1073681448 /nfs/dbraw/zinc/68/14/48/1073681448.db2.gz GOVRZEZWSPBTBW-GJZGRUSLSA-N 0 0 444.531 -0.246 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000571456801 1073681387 /nfs/dbraw/zinc/68/13/87/1073681387.db2.gz GOVRZEZWSPBTBW-HUUCEWRRSA-N 0 0 444.531 -0.246 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000571456802 1073681499 /nfs/dbraw/zinc/68/14/99/1073681499.db2.gz GOVRZEZWSPBTBW-LSDHHAIUSA-N 0 0 444.531 -0.246 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)Nc1ccn(CC(N)=O)n1 ZINC000571467347 1072161028 /nfs/dbraw/zinc/16/10/28/1072161028.db2.gz SLBDDKCRHSMNBI-UHFFFAOYSA-N 0 0 448.505 -0.073 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC(F)F)C2)CCO1 ZINC000571471649 1072161037 /nfs/dbraw/zinc/16/10/37/1072161037.db2.gz KGFDVTVTGDLMAS-DOMZBBRYSA-N 0 0 426.420 -0.044 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC(F)F)C2)CCO1 ZINC000571471650 1072161013 /nfs/dbraw/zinc/16/10/13/1072161013.db2.gz KGFDVTVTGDLMAS-IUODEOHRSA-N 0 0 426.420 -0.044 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC(F)F)C2)CCO1 ZINC000571471651 1072160961 /nfs/dbraw/zinc/16/09/61/1072160961.db2.gz KGFDVTVTGDLMAS-SWLSCSKDSA-N 0 0 426.420 -0.044 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC(F)F)C2)CCO1 ZINC000571471652 1072161053 /nfs/dbraw/zinc/16/10/53/1072161053.db2.gz KGFDVTVTGDLMAS-WFASDCNBSA-N 0 0 426.420 -0.044 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)ccc1OCCO ZINC000571474500 1072461208 /nfs/dbraw/zinc/46/12/08/1072461208.db2.gz HDYNJNSYJHTXQB-HNNXBMFYSA-N 0 0 445.476 -0.272 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)ccc1OCCO ZINC000571474503 1072461251 /nfs/dbraw/zinc/46/12/51/1072461251.db2.gz HDYNJNSYJHTXQB-OAHLLOKOSA-N 0 0 445.476 -0.272 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)CC1 ZINC000571475801 1072461114 /nfs/dbraw/zinc/46/11/14/1072461114.db2.gz KNNJZNSZWGTLLU-CVEARBPZSA-N 0 0 434.516 -0.026 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)CC1 ZINC000571475805 1072461247 /nfs/dbraw/zinc/46/12/47/1072461247.db2.gz KNNJZNSZWGTLLU-HOTGVXAUSA-N 0 0 434.516 -0.026 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)CC1 ZINC000571475806 1072461195 /nfs/dbraw/zinc/46/11/95/1072461195.db2.gz KNNJZNSZWGTLLU-HZPDHXFCSA-N 0 0 434.516 -0.026 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)CC1 ZINC000571475807 1072461220 /nfs/dbraw/zinc/46/12/20/1072461220.db2.gz KNNJZNSZWGTLLU-JKSUJKDBSA-N 0 0 434.516 -0.026 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(C3CCCCC3)C2=O)C1 ZINC000571476759 1072461199 /nfs/dbraw/zinc/46/11/99/1072461199.db2.gz OHJKPVKTAOEIJY-CVEARBPZSA-N 0 0 428.555 -0.034 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(C3CCCCC3)C2=O)C1 ZINC000571476760 1072461228 /nfs/dbraw/zinc/46/12/28/1072461228.db2.gz OHJKPVKTAOEIJY-HOTGVXAUSA-N 0 0 428.555 -0.034 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(C3CCCCC3)C2=O)C1 ZINC000571476761 1072461153 /nfs/dbraw/zinc/46/11/53/1072461153.db2.gz OHJKPVKTAOEIJY-HZPDHXFCSA-N 0 0 428.555 -0.034 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(C3CCCCC3)C2=O)C1 ZINC000571476762 1072461215 /nfs/dbraw/zinc/46/12/15/1072461215.db2.gz OHJKPVKTAOEIJY-JKSUJKDBSA-N 0 0 428.555 -0.034 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc3[nH]ccc3c(C(=O)OC)c2)CC1 ZINC000571478905 1072160977 /nfs/dbraw/zinc/16/09/77/1072160977.db2.gz JAAOPTWHAYZCTO-UHFFFAOYSA-N 0 0 445.476 -0.200 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)C2=O)c1 ZINC000571480036 1072461128 /nfs/dbraw/zinc/46/11/28/1072461128.db2.gz XHBFAQNXGLMGDT-BRWVUGGUSA-N 0 0 430.461 -0.338 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H]4C(=O)NC[C@H]43)C2=O)c1 ZINC000571480038 1072461189 /nfs/dbraw/zinc/46/11/89/1072461189.db2.gz XHBFAQNXGLMGDT-IXDOHACOSA-N 0 0 430.461 -0.338 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000571480127 1072461144 /nfs/dbraw/zinc/46/11/44/1072461144.db2.gz ZFFODISUHQAHPY-UHFFFAOYSA-N 0 0 449.533 -0.180 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CC)[C@@H](c3ncc[nH]3)C2)cn1 ZINC000571480736 1072461912 /nfs/dbraw/zinc/46/19/12/1072461912.db2.gz XUYCDEMIFVIKBZ-NUJGCVRESA-N 0 0 442.524 -0.033 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CC)[C@H](c3ncc[nH]3)C2)cn1 ZINC000571480737 1072461763 /nfs/dbraw/zinc/46/17/63/1072461763.db2.gz XUYCDEMIFVIKBZ-RYRKJORJSA-N 0 0 442.524 -0.033 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)CCC2 ZINC000571483493 1071594969 /nfs/dbraw/zinc/59/49/69/1071594969.db2.gz BZTHAAJSRFYIQA-INIZCTEOSA-N 0 0 443.508 -0.534 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)CCC2 ZINC000571483494 1071595010 /nfs/dbraw/zinc/59/50/10/1071595010.db2.gz BZTHAAJSRFYIQA-MRXNPFEDSA-N 0 0 443.508 -0.534 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000571485914 1072161128 /nfs/dbraw/zinc/16/11/28/1072161128.db2.gz YCBFFGHHRHQEJP-AEFFLSMTSA-N 0 0 447.584 -0.128 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000571485915 1072161046 /nfs/dbraw/zinc/16/10/46/1072161046.db2.gz YCBFFGHHRHQEJP-FUHWJXTLSA-N 0 0 447.584 -0.128 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000571485916 1072160938 /nfs/dbraw/zinc/16/09/38/1072160938.db2.gz YCBFFGHHRHQEJP-SJLPKXTDSA-N 0 0 447.584 -0.128 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000571485917 1072161007 /nfs/dbraw/zinc/16/10/07/1072161007.db2.gz YCBFFGHHRHQEJP-WMZOPIPTSA-N 0 0 447.584 -0.128 20 0 IBADRN O=C(NCCn1cncn1)[C@H]1CC[C@@H](C(=O)NCCn2cncn2)N1Cc1ccccc1 ZINC000571486983 1072161108 /nfs/dbraw/zinc/16/11/08/1072161108.db2.gz UYCAIBMZZOZKSF-KDURUIRLSA-N 0 0 437.508 -0.165 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000571487280 1072161082 /nfs/dbraw/zinc/16/10/82/1072161082.db2.gz XBQBWEOCQYTGGK-HNNXBMFYSA-N 0 0 426.495 -0.242 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000571487281 1072161018 /nfs/dbraw/zinc/16/10/18/1072161018.db2.gz XBQBWEOCQYTGGK-OAHLLOKOSA-N 0 0 426.495 -0.242 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000571490106 1072161095 /nfs/dbraw/zinc/16/10/95/1072161095.db2.gz QVKFCWAXVHLZFX-UHFFFAOYSA-N 0 0 426.543 -0.058 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCc1nccn1Cc1ccccc1 ZINC000571531708 1072163049 /nfs/dbraw/zinc/16/30/49/1072163049.db2.gz QCNOQKPAKFQOQZ-IBGZPJMESA-N 0 0 429.521 -0.258 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCc1nccn1Cc1ccccc1 ZINC000571531709 1072162882 /nfs/dbraw/zinc/16/28/82/1072162882.db2.gz QCNOQKPAKFQOQZ-LJQANCHMSA-N 0 0 429.521 -0.258 20 0 IBADRN CCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000571542028 1072461731 /nfs/dbraw/zinc/46/17/31/1072461731.db2.gz DPGPBHZCUSLCAJ-UHFFFAOYSA-N 0 0 432.477 -0.769 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)c1 ZINC000571543288 1072461907 /nfs/dbraw/zinc/46/19/07/1072461907.db2.gz YHINMSDGJXQVJF-KRWDZBQOSA-N 0 0 445.501 -0.082 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)c1 ZINC000571543289 1072461864 /nfs/dbraw/zinc/46/18/64/1072461864.db2.gz YHINMSDGJXQVJF-QGZVFWFLSA-N 0 0 445.501 -0.082 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000571551938 1072163071 /nfs/dbraw/zinc/16/30/71/1072163071.db2.gz CDPRGNOYXOTDSV-CYBMUJFWSA-N 0 0 446.551 -0.276 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C1 ZINC000571553944 1073359138 /nfs/dbraw/zinc/35/91/38/1073359138.db2.gz QSUFBYMJLZOBLT-HNNXBMFYSA-N 0 0 439.520 -0.017 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C1 ZINC000571553945 1073358691 /nfs/dbraw/zinc/35/86/91/1073358691.db2.gz QSUFBYMJLZOBLT-OAHLLOKOSA-N 0 0 439.520 -0.017 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2cccc(N(C)C)n2)CC1 ZINC000571558963 1072162982 /nfs/dbraw/zinc/16/29/82/1072162982.db2.gz MEMCLCQSNOVJAJ-INIZCTEOSA-N 0 0 434.541 -0.551 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2cccc(N(C)C)n2)CC1 ZINC000571558964 1072163088 /nfs/dbraw/zinc/16/30/88/1072163088.db2.gz MEMCLCQSNOVJAJ-MRXNPFEDSA-N 0 0 434.541 -0.551 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)nn1-c1ccc(F)cc1F ZINC000571562072 1072461901 /nfs/dbraw/zinc/46/19/01/1072461901.db2.gz ZWOAAIPOUSHEJQ-GFCCVEGCSA-N 0 0 428.417 0.327 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)nn1-c1ccc(F)cc1F ZINC000571562076 1072461662 /nfs/dbraw/zinc/46/16/62/1072461662.db2.gz ZWOAAIPOUSHEJQ-LBPRGKRZSA-N 0 0 428.417 0.327 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000571572068 1072162170 /nfs/dbraw/zinc/16/21/70/1072162170.db2.gz DAPZQEFTONTQTB-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)NCCNS(=O)(=O)c3cccnc3)CC2)nn1 ZINC000571573606 1072162295 /nfs/dbraw/zinc/16/22/95/1072162295.db2.gz WYHNFPJFGCUQPY-UHFFFAOYSA-N 0 0 437.482 -0.215 20 0 IBADRN COc1cccc(C2(CNC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)c1 ZINC000571574111 1073348176 /nfs/dbraw/zinc/34/81/76/1073348176.db2.gz HYSCQWFTVNNDMS-UHFFFAOYSA-N 0 0 441.488 0.537 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C)C(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000571574521 1072163042 /nfs/dbraw/zinc/16/30/42/1072163042.db2.gz RHRPGUDRALDIFA-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000571575210 1072162325 /nfs/dbraw/zinc/16/23/25/1072162325.db2.gz DNLWNPGDMPDFFQ-UHFFFAOYSA-N 0 0 427.531 -0.418 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000571576818 1072461877 /nfs/dbraw/zinc/46/18/77/1072461877.db2.gz KMNXYUBOLVZQCW-UHFFFAOYSA-N 0 0 431.526 -0.169 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c(=O)[nH]1 ZINC000571584826 1072461748 /nfs/dbraw/zinc/46/17/48/1072461748.db2.gz OTHJOKDXDPGHMB-UHFFFAOYSA-N 0 0 442.538 -0.081 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)NCCN1CCOC(C)(C)C1 ZINC000571585304 1072461818 /nfs/dbraw/zinc/46/18/18/1072461818.db2.gz FLDUQJDVJLORSR-UHFFFAOYSA-N 0 0 426.539 -0.220 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C)N2CCN(c3ccccc3)CC2)CC1 ZINC000571587029 1072461785 /nfs/dbraw/zinc/46/17/85/1072461785.db2.gz YHHAHJIMVOLQOQ-IBGZPJMESA-N 0 0 444.580 -0.406 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C)N2CCN(c3ccccc3)CC2)CC1 ZINC000571587030 1072461707 /nfs/dbraw/zinc/46/17/07/1072461707.db2.gz YHHAHJIMVOLQOQ-LJQANCHMSA-N 0 0 444.580 -0.406 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nn1 ZINC000571587146 1072461890 /nfs/dbraw/zinc/46/18/90/1072461890.db2.gz CTHPJCBQUGMJCI-KBPBESRZSA-N 0 0 426.495 0.397 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nn1 ZINC000571587147 1073318649 /nfs/dbraw/zinc/31/86/49/1073318649.db2.gz CTHPJCBQUGMJCI-KGLIPLIRSA-N 0 0 426.495 0.397 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nn1 ZINC000571587148 1072461801 /nfs/dbraw/zinc/46/18/01/1072461801.db2.gz CTHPJCBQUGMJCI-UONOGXRCSA-N 0 0 426.495 0.397 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nn1 ZINC000571587152 1073318835 /nfs/dbraw/zinc/31/88/35/1073318835.db2.gz CTHPJCBQUGMJCI-ZIAGYGMSSA-N 0 0 426.495 0.397 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000571587551 1072461775 /nfs/dbraw/zinc/46/17/75/1072461775.db2.gz SBGXTMUXXWXZOV-UHFFFAOYSA-N 0 0 433.534 -0.075 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000571588740 1072462425 /nfs/dbraw/zinc/46/24/25/1072462425.db2.gz LIUGRINTCQZDGY-INIZCTEOSA-N 0 0 443.497 -0.030 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000571588741 1072462413 /nfs/dbraw/zinc/46/24/13/1072462413.db2.gz LIUGRINTCQZDGY-MRXNPFEDSA-N 0 0 443.497 -0.030 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000571590434 1072462433 /nfs/dbraw/zinc/46/24/33/1072462433.db2.gz XAZJNROILPUTHX-LLVKDONJSA-N 0 0 438.494 -0.078 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000571590435 1072462342 /nfs/dbraw/zinc/46/23/42/1072462342.db2.gz XAZJNROILPUTHX-NSHDSACASA-N 0 0 438.494 -0.078 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000571593925 1072462356 /nfs/dbraw/zinc/46/23/56/1072462356.db2.gz JIXZBXDMABQIHY-GOSISDBHSA-N 0 0 443.548 -0.256 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000571593927 1072462437 /nfs/dbraw/zinc/46/24/37/1072462437.db2.gz JIXZBXDMABQIHY-SFHVURJKSA-N 0 0 443.548 -0.256 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)C1 ZINC000571595964 1072163015 /nfs/dbraw/zinc/16/30/15/1072163015.db2.gz MAQNPXIAXDRNMP-CVEARBPZSA-N 0 0 433.509 -0.076 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)C1 ZINC000571595966 1072163002 /nfs/dbraw/zinc/16/30/02/1072163002.db2.gz MAQNPXIAXDRNMP-HOTGVXAUSA-N 0 0 433.509 -0.076 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)C1 ZINC000571595967 1072163091 /nfs/dbraw/zinc/16/30/91/1072163091.db2.gz MAQNPXIAXDRNMP-HZPDHXFCSA-N 0 0 433.509 -0.076 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)C1 ZINC000571595968 1072162840 /nfs/dbraw/zinc/16/28/40/1072162840.db2.gz MAQNPXIAXDRNMP-JKSUJKDBSA-N 0 0 433.509 -0.076 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCCCCn2cc(Br)cn2)CC1 ZINC000571596769 1072163082 /nfs/dbraw/zinc/16/30/82/1072163082.db2.gz WCAFDSSVLRYETP-UHFFFAOYSA-N 0 0 436.332 -0.354 20 0 IBADRN O=C(NC[C@@H]1CCCn2ccnc21)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000571597216 1072462226 /nfs/dbraw/zinc/46/22/26/1072462226.db2.gz GRTNGEUECPUSPS-HNNXBMFYSA-N 0 0 432.506 -0.195 20 0 IBADRN O=C(NC[C@H]1CCCn2ccnc21)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000571597217 1072462397 /nfs/dbraw/zinc/46/23/97/1072462397.db2.gz GRTNGEUECPUSPS-OAHLLOKOSA-N 0 0 432.506 -0.195 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N(CC2CC2)C[C@H]2CN(C)CCO2)cn1 ZINC000571600236 1072462449 /nfs/dbraw/zinc/46/24/49/1072462449.db2.gz YQSPFEDMVNKPKM-GGPKGHCWSA-N 0 0 446.552 -0.092 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N(CC2CC2)C[C@@H]2CN(C)CCO2)cn1 ZINC000571600237 1072462481 /nfs/dbraw/zinc/46/24/81/1072462481.db2.gz YQSPFEDMVNKPKM-NSHGMRRFSA-N 0 0 446.552 -0.092 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]4OCC[C@H]43)CC2)cn1C ZINC000571601331 1072163645 /nfs/dbraw/zinc/16/36/45/1072163645.db2.gz VEUXWMBMODEYIN-ARFHVFGLSA-N 0 0 439.538 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]4OCC[C@@H]43)CC2)cn1C ZINC000571601332 1072163677 /nfs/dbraw/zinc/16/36/77/1072163677.db2.gz VEUXWMBMODEYIN-BZUAXINKSA-N 0 0 439.538 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]4OCC[C@@H]43)CC2)cn1C ZINC000571601333 1072163706 /nfs/dbraw/zinc/16/37/06/1072163706.db2.gz VEUXWMBMODEYIN-OAGGEKHMSA-N 0 0 439.538 -0.365 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]4OCC[C@H]43)CC2)cn1C ZINC000571601334 1072163607 /nfs/dbraw/zinc/16/36/07/1072163607.db2.gz VEUXWMBMODEYIN-XHSDSOJGSA-N 0 0 439.538 -0.365 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)CCC1 ZINC000571603098 1072163564 /nfs/dbraw/zinc/16/35/64/1072163564.db2.gz VEVAPEZTFFPADA-UHFFFAOYSA-N 0 0 435.569 -0.188 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)CN1CCN(CC(=O)NC(=O)NC(C)(C)C)[C@@H](CCO)C1 ZINC000571603887 1072163633 /nfs/dbraw/zinc/16/36/33/1072163633.db2.gz IXMSDXGFEPMXNT-AWEZNQCLSA-N 0 0 442.561 -0.396 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)CN1CCN(CC(=O)NC(=O)NC(C)(C)C)[C@H](CCO)C1 ZINC000571603888 1072163650 /nfs/dbraw/zinc/16/36/50/1072163650.db2.gz IXMSDXGFEPMXNT-CQSZACIVSA-N 0 0 442.561 -0.396 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN(c2cc(C)nc3ncnn32)CC1 ZINC000571604823 1072163657 /nfs/dbraw/zinc/16/36/57/1072163657.db2.gz MTOGYNCGOJOHMH-UHFFFAOYSA-N 0 0 434.482 -0.335 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)C1 ZINC000571605756 1072163531 /nfs/dbraw/zinc/16/35/31/1072163531.db2.gz OHMJPMDGXZTBFH-GDBMZVCRSA-N 0 0 438.506 -0.307 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCN(C)C3=O)cc2C)C1 ZINC000571605757 1072163709 /nfs/dbraw/zinc/16/37/09/1072163709.db2.gz OHMJPMDGXZTBFH-GOEBONIOSA-N 0 0 438.506 -0.307 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)C1 ZINC000571605758 1072163582 /nfs/dbraw/zinc/16/35/82/1072163582.db2.gz OHMJPMDGXZTBFH-HOCLYGCPSA-N 0 0 438.506 -0.307 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCN(C)C3=O)cc2C)C1 ZINC000571605759 1072163701 /nfs/dbraw/zinc/16/37/01/1072163701.db2.gz OHMJPMDGXZTBFH-ZBFHGGJFSA-N 0 0 438.506 -0.307 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000571606392 1072163518 /nfs/dbraw/zinc/16/35/18/1072163518.db2.gz UKBSANQJYYPTSZ-UHFFFAOYSA-N 0 0 441.435 -0.352 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)CC1 ZINC000571650744 1072462281 /nfs/dbraw/zinc/46/22/81/1072462281.db2.gz JZWOKAVCEMFZNP-HHHXNRCGSA-N 0 0 437.584 -0.697 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)CC1 ZINC000571650747 1072462405 /nfs/dbraw/zinc/46/24/05/1072462405.db2.gz JZWOKAVCEMFZNP-MHZLTWQESA-N 0 0 437.584 -0.697 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000571659746 1072164969 /nfs/dbraw/zinc/16/49/69/1072164969.db2.gz HDURKQBIPLISQX-UHFFFAOYSA-N 0 0 425.511 -0.972 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000571662786 1072462296 /nfs/dbraw/zinc/46/22/96/1072462296.db2.gz HRFABIFBEPLYRF-DLBZAZTESA-N 0 0 433.553 -0.341 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000571662787 1072462550 /nfs/dbraw/zinc/46/25/50/1072462550.db2.gz HRFABIFBEPLYRF-IAGOWNOFSA-N 0 0 433.553 -0.341 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000571662788 1072462971 /nfs/dbraw/zinc/46/29/71/1072462971.db2.gz HRFABIFBEPLYRF-IRXDYDNUSA-N 0 0 433.553 -0.341 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000571662789 1072463035 /nfs/dbraw/zinc/46/30/35/1072463035.db2.gz HRFABIFBEPLYRF-SJORKVTESA-N 0 0 433.553 -0.341 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccc(Cn4cncn4)cc3)cnc2n(C)c1=O ZINC000571663706 1072163697 /nfs/dbraw/zinc/16/36/97/1072163697.db2.gz FTIVUZVQUPUGMB-UHFFFAOYSA-N 0 0 448.443 -0.473 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)C2=O)n1 ZINC000571672666 1072163549 /nfs/dbraw/zinc/16/35/49/1072163549.db2.gz FJJPWNXFTXFPHB-CVEARBPZSA-N 0 0 439.476 -0.262 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)C2=O)n1 ZINC000571672667 1072163596 /nfs/dbraw/zinc/16/35/96/1072163596.db2.gz FJJPWNXFTXFPHB-HOTGVXAUSA-N 0 0 439.476 -0.262 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)C2=O)n1 ZINC000571672668 1072164258 /nfs/dbraw/zinc/16/42/58/1072164258.db2.gz FJJPWNXFTXFPHB-HZPDHXFCSA-N 0 0 439.476 -0.262 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)C2=O)n1 ZINC000571672669 1072164166 /nfs/dbraw/zinc/16/41/66/1072164166.db2.gz FJJPWNXFTXFPHB-JKSUJKDBSA-N 0 0 439.476 -0.262 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000571676056 1072164310 /nfs/dbraw/zinc/16/43/10/1072164310.db2.gz KXMTUXHUYPQDCP-AWEZNQCLSA-N 0 0 428.536 -0.595 20 0 IBADRN Cc1cnn(C)c1S(=O)(=O)NCCN1CCN(S(=O)(=O)c2c(C)cnn2C)CC1 ZINC000571677472 1072463022 /nfs/dbraw/zinc/46/30/22/1072463022.db2.gz NMXKHNWSIMGCQT-UHFFFAOYSA-N 0 0 445.571 -0.945 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccncc2OC[C@H]2CCOC2)CC1 ZINC000571677954 1072463062 /nfs/dbraw/zinc/46/30/62/1072463062.db2.gz USPFCPSEQDLLDJ-AWEZNQCLSA-N 0 0 434.540 -0.592 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccncc2OC[C@@H]2CCOC2)CC1 ZINC000571677955 1072462949 /nfs/dbraw/zinc/46/29/49/1072462949.db2.gz USPFCPSEQDLLDJ-CQSZACIVSA-N 0 0 434.540 -0.592 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000571678194 1072627359 /nfs/dbraw/zinc/62/73/59/1072627359.db2.gz ZMDCMFLWCTUENN-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)cn1 ZINC000571681122 1072463067 /nfs/dbraw/zinc/46/30/67/1072463067.db2.gz DBYSRVKGHRCVEI-KRWDZBQOSA-N 0 0 434.541 -0.309 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)cn1 ZINC000571681126 1072462994 /nfs/dbraw/zinc/46/29/94/1072462994.db2.gz DBYSRVKGHRCVEI-QGZVFWFLSA-N 0 0 434.541 -0.309 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H]1CCCOC1 ZINC000571682955 1072164389 /nfs/dbraw/zinc/16/43/89/1072164389.db2.gz ZYCNDPHHYHATQG-CQSZACIVSA-N 0 0 440.522 -0.135 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1cc2[nH]c(=O)[nH]c2cc1Br ZINC000571683793 1072164195 /nfs/dbraw/zinc/16/41/95/1072164195.db2.gz OTKZJUBCOBMRHQ-UHFFFAOYSA-N 0 0 442.317 -0.295 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CCN1CC(C)(C)O ZINC000571688451 1072164283 /nfs/dbraw/zinc/16/42/83/1072164283.db2.gz VUWGRFVZBWZQLF-HNNXBMFYSA-N 0 0 437.516 -0.023 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CCN1CC(C)(C)O ZINC000571688455 1072164233 /nfs/dbraw/zinc/16/42/33/1072164233.db2.gz VUWGRFVZBWZQLF-OAHLLOKOSA-N 0 0 437.516 -0.023 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)ncn1 ZINC000571689117 1072164412 /nfs/dbraw/zinc/16/44/12/1072164412.db2.gz PKRIBRLRODUAAH-GOSISDBHSA-N 0 0 444.536 -0.026 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)ncn1 ZINC000571689118 1072164176 /nfs/dbraw/zinc/16/41/76/1072164176.db2.gz PKRIBRLRODUAAH-SFHVURJKSA-N 0 0 444.536 -0.026 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000571692645 1072164342 /nfs/dbraw/zinc/16/43/42/1072164342.db2.gz LXLRFRMSUFRGHO-UHFFFAOYSA-N 0 0 442.494 -0.744 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)n1 ZINC000571705870 1072164328 /nfs/dbraw/zinc/16/43/28/1072164328.db2.gz LGPOIXVKEZEDDV-UHFFFAOYSA-N 0 0 445.553 -0.669 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(C(F)(F)F)cc2)CC1 ZINC000571706144 1072164206 /nfs/dbraw/zinc/16/42/06/1072164206.db2.gz UBPUUKIVJAXOTD-UHFFFAOYSA-N 0 0 425.371 -0.321 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCCS(=O)(=O)NC(C)(C)C)C(F)(F)F ZINC000571710415 1072463005 /nfs/dbraw/zinc/46/30/05/1072463005.db2.gz OSFOXBBCNPADKQ-SECBINFHSA-N 0 0 426.483 -0.174 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCCS(=O)(=O)NC(C)(C)C)C(F)(F)F ZINC000571710416 1072462975 /nfs/dbraw/zinc/46/29/75/1072462975.db2.gz OSFOXBBCNPADKQ-VIFPVBQESA-N 0 0 426.483 -0.174 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCCS(=O)(=O)c3ccccc3)C2=O)n(C)n1 ZINC000571713184 1072462964 /nfs/dbraw/zinc/46/29/64/1072462964.db2.gz CAOWUQVRYNPWOE-HNNXBMFYSA-N 0 0 433.490 -0.460 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)c3ccccc3)C2=O)n(C)n1 ZINC000571713185 1072462990 /nfs/dbraw/zinc/46/29/90/1072462990.db2.gz CAOWUQVRYNPWOE-OAHLLOKOSA-N 0 0 433.490 -0.460 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCc3cccnc3N3CCOCC3)C2=O)n(C)n1 ZINC000571714114 1072164272 /nfs/dbraw/zinc/16/42/72/1072164272.db2.gz OOBTWDHSIGUADM-INIZCTEOSA-N 0 0 441.492 -0.502 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCc3cccnc3N3CCOCC3)C2=O)n(C)n1 ZINC000571714121 1072164407 /nfs/dbraw/zinc/16/44/07/1072164407.db2.gz OOBTWDHSIGUADM-MRXNPFEDSA-N 0 0 441.492 -0.502 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)c1 ZINC000571714379 1072164303 /nfs/dbraw/zinc/16/43/03/1072164303.db2.gz RYGUPBLQDSGGQX-KBPBESRZSA-N 0 0 433.490 -0.266 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)c1 ZINC000571714381 1072164265 /nfs/dbraw/zinc/16/42/65/1072164265.db2.gz RYGUPBLQDSGGQX-KGLIPLIRSA-N 0 0 433.490 -0.266 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)c1 ZINC000571714383 1072164384 /nfs/dbraw/zinc/16/43/84/1072164384.db2.gz RYGUPBLQDSGGQX-UONOGXRCSA-N 0 0 433.490 -0.266 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)c1 ZINC000571714385 1072164221 /nfs/dbraw/zinc/16/42/21/1072164221.db2.gz RYGUPBLQDSGGQX-ZIAGYGMSSA-N 0 0 433.490 -0.266 20 0 IBADRN O=C(N[C@H](CO)C1CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000571714685 1072463043 /nfs/dbraw/zinc/46/30/43/1072463043.db2.gz SPTZMVCWHUZIBV-APWZRJJASA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(N[C@@H](CO)C1CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000571714690 1072463070 /nfs/dbraw/zinc/46/30/70/1072463070.db2.gz SPTZMVCWHUZIBV-LPHOPBHVSA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(N[C@@H](CO)C1CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000571714692 1072462956 /nfs/dbraw/zinc/46/29/56/1072462956.db2.gz SPTZMVCWHUZIBV-QFBILLFUSA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(N[C@H](CO)C1CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000571714693 1072463011 /nfs/dbraw/zinc/46/30/11/1072463011.db2.gz SPTZMVCWHUZIBV-VQIMIIECSA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000571715623 1072463017 /nfs/dbraw/zinc/46/30/17/1072463017.db2.gz LSUCCBXHIFAROX-CVEARBPZSA-N 0 0 431.497 -0.231 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000571715624 1072462960 /nfs/dbraw/zinc/46/29/60/1072462960.db2.gz LSUCCBXHIFAROX-HOTGVXAUSA-N 0 0 431.497 -0.231 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000571715625 1072462983 /nfs/dbraw/zinc/46/29/83/1072462983.db2.gz LSUCCBXHIFAROX-HZPDHXFCSA-N 0 0 431.497 -0.231 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000571715626 1072462944 /nfs/dbraw/zinc/46/29/44/1072462944.db2.gz LSUCCBXHIFAROX-JKSUJKDBSA-N 0 0 431.497 -0.231 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1 ZINC000571717231 1072463722 /nfs/dbraw/zinc/46/37/22/1072463722.db2.gz WODRLPKOWOLWGH-AWEZNQCLSA-N 0 0 427.527 -0.520 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1 ZINC000571717232 1072463731 /nfs/dbraw/zinc/46/37/31/1072463731.db2.gz WODRLPKOWOLWGH-CQSZACIVSA-N 0 0 427.527 -0.520 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@H](c2cccc(F)c2)C1 ZINC000571719657 1072164905 /nfs/dbraw/zinc/16/49/05/1072164905.db2.gz VYQREOHLXZUNPW-INIZCTEOSA-N 0 0 440.453 -0.051 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@@H](c2cccc(F)c2)C1 ZINC000571719658 1072164825 /nfs/dbraw/zinc/16/48/25/1072164825.db2.gz VYQREOHLXZUNPW-MRXNPFEDSA-N 0 0 440.453 -0.051 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000571724576 1072463577 /nfs/dbraw/zinc/46/35/77/1072463577.db2.gz NJNDQXUAVGKWIM-CVEARBPZSA-N 0 0 445.563 -0.804 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000571724583 1072463716 /nfs/dbraw/zinc/46/37/16/1072463716.db2.gz NJNDQXUAVGKWIM-HOTGVXAUSA-N 0 0 445.563 -0.804 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000571724585 1072463591 /nfs/dbraw/zinc/46/35/91/1072463591.db2.gz NJNDQXUAVGKWIM-HZPDHXFCSA-N 0 0 445.563 -0.804 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000571724586 1072463598 /nfs/dbraw/zinc/46/35/98/1072463598.db2.gz NJNDQXUAVGKWIM-JKSUJKDBSA-N 0 0 445.563 -0.804 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000571725631 1072463635 /nfs/dbraw/zinc/46/36/35/1072463635.db2.gz IKBSMWGLCAEOFL-HNNXBMFYSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000571725634 1072463605 /nfs/dbraw/zinc/46/36/05/1072463605.db2.gz IKBSMWGLCAEOFL-OAHLLOKOSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cc1 ZINC000571727111 1072463645 /nfs/dbraw/zinc/46/36/45/1072463645.db2.gz UIIZOGMMBGXZQT-INIZCTEOSA-N 0 0 448.505 -0.113 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cc1 ZINC000571727112 1072463672 /nfs/dbraw/zinc/46/36/72/1072463672.db2.gz UIIZOGMMBGXZQT-MRXNPFEDSA-N 0 0 448.505 -0.113 20 0 IBADRN Cc1cc(C)n2c(nnc2S(=O)(=O)CC(=O)NCCCN(C(C)C)S(C)(=O)=O)n1 ZINC000571730667 1072164958 /nfs/dbraw/zinc/16/49/58/1072164958.db2.gz ZPYPURSITZPPPJ-UHFFFAOYSA-N 0 0 446.555 -0.309 20 0 IBADRN O=C(CCC(=O)N1CC[C@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000571740432 1072463736 /nfs/dbraw/zinc/46/37/36/1072463736.db2.gz OHJXMQVNRCFQNA-AEFFLSMTSA-N 0 0 439.534 -0.499 20 0 IBADRN O=C(CCC(=O)N1CC[C@@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000571740433 1072463669 /nfs/dbraw/zinc/46/36/69/1072463669.db2.gz OHJXMQVNRCFQNA-FUHWJXTLSA-N 0 0 439.534 -0.499 20 0 IBADRN O=C(CCC(=O)N1CC[C@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000571740434 1072463561 /nfs/dbraw/zinc/46/35/61/1072463561.db2.gz OHJXMQVNRCFQNA-SJLPKXTDSA-N 0 0 439.534 -0.499 20 0 IBADRN O=C(CCC(=O)N1CC[C@@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000571740435 1072463677 /nfs/dbraw/zinc/46/36/77/1072463677.db2.gz OHJXMQVNRCFQNA-WMZOPIPTSA-N 0 0 439.534 -0.499 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000571747622 1072164934 /nfs/dbraw/zinc/16/49/34/1072164934.db2.gz KIJQRUCSVYZGBM-UHFFFAOYSA-N 0 0 449.527 -0.183 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)cn1 ZINC000571749437 1072164979 /nfs/dbraw/zinc/16/49/79/1072164979.db2.gz NQMKZMVOIVDBOG-UHFFFAOYSA-N 0 0 434.541 -0.261 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000571768417 1072463618 /nfs/dbraw/zinc/46/36/18/1072463618.db2.gz GXRJGVYAPQURRY-CYBMUJFWSA-N 0 0 432.524 -0.203 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000571768427 1072463742 /nfs/dbraw/zinc/46/37/42/1072463742.db2.gz GXRJGVYAPQURRY-ZDUSSCGKSA-N 0 0 432.524 -0.203 20 0 IBADRN CCn1c(C(=O)N2CCCC2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000571779167 1072464173 /nfs/dbraw/zinc/46/41/73/1072464173.db2.gz KLQPMTJYPALJGF-UHFFFAOYSA-N 0 0 427.531 -0.767 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(OC)c1OC ZINC000571779335 1072464090 /nfs/dbraw/zinc/46/40/90/1072464090.db2.gz GGESDDOCRRVLJO-UHFFFAOYSA-N 0 0 434.493 -0.249 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCc2nnnn2-c2ccccc2)CC1 ZINC000571779404 1072463651 /nfs/dbraw/zinc/46/36/51/1072463651.db2.gz RHEKBJNLODGQSI-UHFFFAOYSA-N 0 0 440.464 -0.792 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCC(=O)NC34CCC4)CC2)CC1 ZINC000571779582 1072170618 /nfs/dbraw/zinc/17/06/18/1072170618.db2.gz UZNWFDJPLFMMJT-HNNXBMFYSA-N 0 0 428.559 -0.993 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC(=O)NC34CCC4)CC2)CC1 ZINC000571779585 1072170777 /nfs/dbraw/zinc/17/07/77/1072170777.db2.gz UZNWFDJPLFMMJT-OAHLLOKOSA-N 0 0 428.559 -0.993 20 0 IBADRN O=C(C[C@@H]1CCCS(=O)(=O)C1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000571779698 1072170842 /nfs/dbraw/zinc/17/08/42/1072170842.db2.gz DMENTEBLAZHNFB-INIZCTEOSA-N 0 0 444.579 -0.136 20 0 IBADRN O=C(C[C@H]1CCCS(=O)(=O)C1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000571779701 1072170595 /nfs/dbraw/zinc/17/05/95/1072170595.db2.gz DMENTEBLAZHNFB-MRXNPFEDSA-N 0 0 444.579 -0.136 20 0 IBADRN C[C@@H](CCc1ccc(O)cc1)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000571780944 1072170749 /nfs/dbraw/zinc/17/07/49/1072170749.db2.gz LRBXCZBDNPEDCG-INIZCTEOSA-N 0 0 446.504 -0.641 20 0 IBADRN C[C@H](CCc1ccc(O)cc1)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000571780945 1072170624 /nfs/dbraw/zinc/17/06/24/1072170624.db2.gz LRBXCZBDNPEDCG-MRXNPFEDSA-N 0 0 446.504 -0.641 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000571781771 1072170613 /nfs/dbraw/zinc/17/06/13/1072170613.db2.gz ZWBHXDNGOUXWRT-UHFFFAOYSA-N 0 0 431.493 -0.212 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCCN3CCOCC3(C)C)cc2)CC1 ZINC000571782628 1072464114 /nfs/dbraw/zinc/46/41/14/1072464114.db2.gz ZWWAYVXMFWDYES-UHFFFAOYSA-N 0 0 445.564 -0.083 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)C1 ZINC000571784213 1072464186 /nfs/dbraw/zinc/46/41/86/1072464186.db2.gz VLOBMRZDIGCNOL-MOPGFXCFSA-N 0 0 449.596 -0.194 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)C1 ZINC000571784215 1072464126 /nfs/dbraw/zinc/46/41/26/1072464126.db2.gz VLOBMRZDIGCNOL-OALUTQOASA-N 0 0 449.596 -0.194 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)C1 ZINC000571784216 1072464209 /nfs/dbraw/zinc/46/42/09/1072464209.db2.gz VLOBMRZDIGCNOL-RBUKOAKNSA-N 0 0 449.596 -0.194 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)C1 ZINC000571784218 1072464106 /nfs/dbraw/zinc/46/41/06/1072464106.db2.gz VLOBMRZDIGCNOL-RTBURBONSA-N 0 0 449.596 -0.194 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)CCO1 ZINC000571787315 1072464056 /nfs/dbraw/zinc/46/40/56/1072464056.db2.gz MDPPDRRCEGRSCT-GOSISDBHSA-N 0 0 430.505 -0.443 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCC(Cc3ccccc3)CC2)CCO1 ZINC000571787317 1072464123 /nfs/dbraw/zinc/46/41/23/1072464123.db2.gz MDPPDRRCEGRSCT-SFHVURJKSA-N 0 0 430.505 -0.443 20 0 IBADRN COc1ccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1S(N)(=O)=O ZINC000571796756 1072170725 /nfs/dbraw/zinc/17/07/25/1072170725.db2.gz JZYKWXQXYWOEAW-UHFFFAOYSA-N 0 0 433.446 -0.782 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000571797745 1072464066 /nfs/dbraw/zinc/46/40/66/1072464066.db2.gz RJAIQDBGHIYAGV-HNNXBMFYSA-N 0 0 438.431 -0.060 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000571797749 1072464100 /nfs/dbraw/zinc/46/41/00/1072464100.db2.gz RJAIQDBGHIYAGV-OAHLLOKOSA-N 0 0 438.431 -0.060 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cccc(S(=O)(=O)NCc2ccco2)c1 ZINC000571798244 1072464132 /nfs/dbraw/zinc/46/41/32/1072464132.db2.gz OMIFHBRSJPQZDE-UHFFFAOYSA-N 0 0 430.508 -0.116 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N(C)CCNS(=O)(=O)c2ccc(C)cc2)cn1 ZINC000571799007 1072170788 /nfs/dbraw/zinc/17/07/88/1072170788.db2.gz CUSHEBGNVVOPDP-UHFFFAOYSA-N 0 0 437.522 -0.109 20 0 IBADRN Cc1cnc([C@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000571799864 1072171520 /nfs/dbraw/zinc/17/15/20/1072171520.db2.gz OECRPRQVNMWILC-LLVKDONJSA-N 0 0 435.510 -0.840 20 0 IBADRN Cc1cnc([C@@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000571799870 1072171559 /nfs/dbraw/zinc/17/15/59/1072171559.db2.gz OECRPRQVNMWILC-NSHDSACASA-N 0 0 435.510 -0.840 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1 ZINC000571809458 1072171460 /nfs/dbraw/zinc/17/14/60/1072171460.db2.gz NBZVMKDGVYABGF-KRWDZBQOSA-N 0 0 435.569 -0.441 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1 ZINC000571809462 1072171475 /nfs/dbraw/zinc/17/14/75/1072171475.db2.gz NBZVMKDGVYABGF-QGZVFWFLSA-N 0 0 435.569 -0.441 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)nc1 ZINC000571809508 1072464072 /nfs/dbraw/zinc/46/40/72/1072464072.db2.gz OGNNAWXHNMROTL-UHFFFAOYSA-N 0 0 445.520 -0.112 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCN(c2ccccc2F)C1=O ZINC000571811178 1072171502 /nfs/dbraw/zinc/17/15/02/1072171502.db2.gz QNPDKGNAQIMFRY-HNNXBMFYSA-N 0 0 444.467 -0.052 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCN(c2ccccc2F)C1=O ZINC000571811184 1072171455 /nfs/dbraw/zinc/17/14/55/1072171455.db2.gz QNPDKGNAQIMFRY-OAHLLOKOSA-N 0 0 444.467 -0.052 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)s1 ZINC000571811209 1072171595 /nfs/dbraw/zinc/17/15/95/1072171595.db2.gz RNAUZEJYFXFKME-LLVKDONJSA-N 0 0 426.542 -0.132 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)s1 ZINC000571811211 1072171509 /nfs/dbraw/zinc/17/15/09/1072171509.db2.gz RNAUZEJYFXFKME-NSHDSACASA-N 0 0 426.542 -0.132 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H]3CC[C@@H](CO)O3)c2)CC1 ZINC000571812046 1072171532 /nfs/dbraw/zinc/17/15/32/1072171532.db2.gz XXLYWMZGARVOCZ-SJORKVTESA-N 0 0 425.507 -0.191 20 0 IBADRN COC(=O)c1cn(C2CN(S(=O)(=O)c3cc(S(C)(=O)=O)ccc3OC)C2)nn1 ZINC000571813416 1072171479 /nfs/dbraw/zinc/17/14/79/1072171479.db2.gz INIQQYPJHSAEJR-UHFFFAOYSA-N 0 0 430.464 -0.278 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000571814048 1072464137 /nfs/dbraw/zinc/46/41/37/1072464137.db2.gz GKBFWSYIUYNCLC-UHFFFAOYSA-N 0 0 432.524 -0.584 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)CC1 ZINC000571824849 1072170563 /nfs/dbraw/zinc/17/05/63/1072170563.db2.gz BARONDSMSQRIER-UHFFFAOYSA-N 0 0 442.419 -0.087 20 0 IBADRN C[C@H](Cc1ccccc1F)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000571824892 1072170589 /nfs/dbraw/zinc/17/05/89/1072170589.db2.gz BVIQTCVYWGVKJF-CYBMUJFWSA-N 0 0 432.456 -0.638 20 0 IBADRN C[C@@H](Cc1ccccc1F)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000571824896 1072170573 /nfs/dbraw/zinc/17/05/73/1072170573.db2.gz BVIQTCVYWGVKJF-ZDUSSCGKSA-N 0 0 432.456 -0.638 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)n[nH]1 ZINC000571825283 1072956013 /nfs/dbraw/zinc/95/60/13/1072956013.db2.gz MFDVFYJYMVKYNP-UHFFFAOYSA-N 0 0 441.540 -0.468 20 0 IBADRN CC(C)(C)C(=O)N1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000571825793 1072170658 /nfs/dbraw/zinc/17/06/58/1072170658.db2.gz VFMIEJCECSSORJ-UHFFFAOYSA-N 0 0 445.568 -0.178 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000571827583 1072464149 /nfs/dbraw/zinc/46/41/49/1072464149.db2.gz UXGXVTOLYGKRLT-UHFFFAOYSA-N 0 0 431.493 -0.352 20 0 IBADRN CCOc1cccc(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000571829740 1072464144 /nfs/dbraw/zinc/46/41/44/1072464144.db2.gz APSZPGJTPYDVDI-UHFFFAOYSA-N 0 0 444.492 -0.767 20 0 IBADRN COC(=O)[C@H]1CCC[C@@H]1S(=O)(=O)NCCNS(=O)(=O)[C@H]1CCC[C@H]1C(=O)OC ZINC000571832731 1072464642 /nfs/dbraw/zinc/46/46/42/1072464642.db2.gz FSKSLZUXWZPHDB-CRWXNKLISA-N 0 0 440.540 -0.491 20 0 IBADRN COC(=O)[C@H]1CCC[C@H]1S(=O)(=O)NCCNS(=O)(=O)[C@H]1CCC[C@H]1C(=O)OC ZINC000571832732 1072464661 /nfs/dbraw/zinc/46/46/61/1072464661.db2.gz FSKSLZUXWZPHDB-LVEBTZEWSA-N 0 0 440.540 -0.491 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)NCCNS(=O)(=O)[C@H]1CCC[C@H]1C(=O)OC ZINC000571832733 1072464834 /nfs/dbraw/zinc/46/48/34/1072464834.db2.gz FSKSLZUXWZPHDB-MQYQWHSLSA-N 0 0 440.540 -0.491 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)NCCNS(=O)(=O)[C@H]1CCC[C@H]1C(=O)OC ZINC000571832734 1072464721 /nfs/dbraw/zinc/46/47/21/1072464721.db2.gz FSKSLZUXWZPHDB-SYQHCUMBSA-N 0 0 440.540 -0.491 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1=O ZINC000571836766 1072464654 /nfs/dbraw/zinc/46/46/54/1072464654.db2.gz LRYCVVAOFZSYSR-AWEZNQCLSA-N 0 0 431.493 -0.675 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1=O ZINC000571836767 1072464666 /nfs/dbraw/zinc/46/46/66/1072464666.db2.gz LRYCVVAOFZSYSR-CQSZACIVSA-N 0 0 431.493 -0.675 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCN(c2cccnn2)CC1 ZINC000571837745 1072464767 /nfs/dbraw/zinc/46/47/67/1072464767.db2.gz SACLFHPIGXVHBH-UHFFFAOYSA-N 0 0 444.517 -0.019 20 0 IBADRN Cc1oc2ccccc2c1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000571840661 1072464710 /nfs/dbraw/zinc/46/47/10/1072464710.db2.gz ICPXFXFPWKIECX-UHFFFAOYSA-N 0 0 440.460 -0.154 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CCO1 ZINC000571841772 1072464728 /nfs/dbraw/zinc/46/47/28/1072464728.db2.gz NNMKOBLPZPHUGF-DLBZAZTESA-N 0 0 444.467 -0.121 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CCO1 ZINC000571841774 1072464697 /nfs/dbraw/zinc/46/46/97/1072464697.db2.gz NNMKOBLPZPHUGF-IAGOWNOFSA-N 0 0 444.467 -0.121 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CCO1 ZINC000571841776 1072464737 /nfs/dbraw/zinc/46/47/37/1072464737.db2.gz NNMKOBLPZPHUGF-IRXDYDNUSA-N 0 0 444.467 -0.121 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CCO1 ZINC000571841777 1072464789 /nfs/dbraw/zinc/46/47/89/1072464789.db2.gz NNMKOBLPZPHUGF-SJORKVTESA-N 0 0 444.467 -0.121 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1 ZINC000571842617 1072465364 /nfs/dbraw/zinc/46/53/64/1072465364.db2.gz VLHVPAHMBLADLY-GOSISDBHSA-N 0 0 435.569 -0.487 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1 ZINC000571842619 1072465453 /nfs/dbraw/zinc/46/54/53/1072465453.db2.gz VLHVPAHMBLADLY-SFHVURJKSA-N 0 0 435.569 -0.487 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CCN1c1nccn2cnnc12 ZINC000571842668 1072465392 /nfs/dbraw/zinc/46/53/92/1072465392.db2.gz KXIQYMVAFYMOHX-HNNXBMFYSA-N 0 0 428.497 -0.858 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CCN1c1nccn2cnnc12 ZINC000571842673 1072465372 /nfs/dbraw/zinc/46/53/72/1072465372.db2.gz KXIQYMVAFYMOHX-OAHLLOKOSA-N 0 0 428.497 -0.858 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C1 ZINC000571842828 1072465431 /nfs/dbraw/zinc/46/54/31/1072465431.db2.gz NJDFDIGIWCQZFF-CABCVRRESA-N 0 0 427.527 -0.094 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C1 ZINC000571842829 1072465336 /nfs/dbraw/zinc/46/53/36/1072465336.db2.gz NJDFDIGIWCQZFF-GJZGRUSLSA-N 0 0 427.527 -0.094 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C1 ZINC000571842830 1072465417 /nfs/dbraw/zinc/46/54/17/1072465417.db2.gz NJDFDIGIWCQZFF-HUUCEWRRSA-N 0 0 427.527 -0.094 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C1 ZINC000571842831 1072465389 /nfs/dbraw/zinc/46/53/89/1072465389.db2.gz NJDFDIGIWCQZFF-LSDHHAIUSA-N 0 0 427.527 -0.094 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000571842878 1072464681 /nfs/dbraw/zinc/46/46/81/1072464681.db2.gz WUKMQPICMFSFDW-HNNXBMFYSA-N 0 0 426.543 -0.693 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000571842879 1072464670 /nfs/dbraw/zinc/46/46/70/1072464670.db2.gz WUKMQPICMFSFDW-OAHLLOKOSA-N 0 0 426.543 -0.693 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cc1 ZINC000571843888 1072465435 /nfs/dbraw/zinc/46/54/35/1072465435.db2.gz PZRUDGNYUZISJH-UHFFFAOYSA-N 0 0 446.489 -0.107 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000571843992 1072465342 /nfs/dbraw/zinc/46/53/42/1072465342.db2.gz WAICIILVWNHUQV-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000571843999 1072465347 /nfs/dbraw/zinc/46/53/47/1072465347.db2.gz WHNFFKAMKLFNIB-UHFFFAOYSA-N 0 0 428.511 -0.691 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1C(=O)N1CCOCC1 ZINC000571846340 1072465443 /nfs/dbraw/zinc/46/54/43/1072465443.db2.gz XEOGMILEUOIFGM-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000571863273 1072465329 /nfs/dbraw/zinc/46/53/29/1072465329.db2.gz GYOYIRRMPYWXIE-CABCVRRESA-N 0 0 430.527 -0.423 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000571863274 1072465412 /nfs/dbraw/zinc/46/54/12/1072465412.db2.gz GYOYIRRMPYWXIE-GJZGRUSLSA-N 0 0 430.527 -0.423 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000571863276 1072465357 /nfs/dbraw/zinc/46/53/57/1072465357.db2.gz GYOYIRRMPYWXIE-HUUCEWRRSA-N 0 0 430.527 -0.423 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)CC1 ZINC000571863277 1072465377 /nfs/dbraw/zinc/46/53/77/1072465377.db2.gz GYOYIRRMPYWXIE-LSDHHAIUSA-N 0 0 430.527 -0.423 20 0 IBADRN CCCCN(C)C(=O)CS(=O)(=O)CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000571865169 1072172063 /nfs/dbraw/zinc/17/20/63/1072172063.db2.gz CJYBWDWTAYARQA-UHFFFAOYSA-N 0 0 431.536 -0.104 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1 ZINC000571868077 1072172110 /nfs/dbraw/zinc/17/21/10/1072172110.db2.gz RNTYRTTUZSNZMW-LLVKDONJSA-N 0 0 432.490 -0.766 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1 ZINC000571868078 1072172125 /nfs/dbraw/zinc/17/21/25/1072172125.db2.gz RNTYRTTUZSNZMW-NSHDSACASA-N 0 0 432.490 -0.766 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)nc2)CC1 ZINC000571886918 1072171541 /nfs/dbraw/zinc/17/15/41/1072171541.db2.gz HNEWGHSXLOLQAL-UHFFFAOYSA-N 0 0 438.510 -0.291 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000571899808 1072171580 /nfs/dbraw/zinc/17/15/80/1072171580.db2.gz GLRUNPRXSKFAOE-UHFFFAOYSA-N 0 0 444.467 -0.185 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)CCNS(=O)(=O)c1cccnc1)C(F)(F)F ZINC000571900345 1072171496 /nfs/dbraw/zinc/17/14/96/1072171496.db2.gz NLZJEKROEFYCLN-LLVKDONJSA-N 0 0 432.446 -0.312 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)CCNS(=O)(=O)c1cccnc1)C(F)(F)F ZINC000571900349 1072171446 /nfs/dbraw/zinc/17/14/46/1072171446.db2.gz NLZJEKROEFYCLN-NSHDSACASA-N 0 0 432.446 -0.312 20 0 IBADRN COc1ccc([C@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C(C)C)cc1 ZINC000571900918 1072171470 /nfs/dbraw/zinc/17/14/70/1072171470.db2.gz SCZZSUWNFLBYBM-GOSISDBHSA-N 0 0 429.481 -0.134 20 0 IBADRN COc1ccc([C@@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C(C)C)cc1 ZINC000571900919 1072172169 /nfs/dbraw/zinc/17/21/69/1072172169.db2.gz SCZZSUWNFLBYBM-SFHVURJKSA-N 0 0 429.481 -0.134 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1nc(C)cs1 ZINC000571905787 1072465836 /nfs/dbraw/zinc/46/58/36/1072465836.db2.gz PGQKHXLHPJIUKS-AWEZNQCLSA-N 0 0 437.522 -0.452 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1nc(C)cs1 ZINC000571905791 1072465882 /nfs/dbraw/zinc/46/58/82/1072465882.db2.gz PGQKHXLHPJIUKS-CQSZACIVSA-N 0 0 437.522 -0.452 20 0 IBADRN O=C1C[C@H](N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C(=O)N1c1cnccn1 ZINC000571906362 1072465383 /nfs/dbraw/zinc/46/53/83/1072465383.db2.gz SSTASWMWQXVUKP-AWEZNQCLSA-N 0 0 441.473 -0.009 20 0 IBADRN O=C1C[C@@H](N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C(=O)N1c1cnccn1 ZINC000571906363 1072465900 /nfs/dbraw/zinc/46/59/00/1072465900.db2.gz SSTASWMWQXVUKP-CQSZACIVSA-N 0 0 441.473 -0.009 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NC[C@H]2CC[C@@H](c3nc(C4CC4)no3)O2)CC1 ZINC000571908345 1072465807 /nfs/dbraw/zinc/46/58/07/1072465807.db2.gz RFZHSXGHDAATPR-KGLIPLIRSA-N 0 0 428.515 -0.217 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CC(F)(F)C(F)F)CC3)C2=O)n(C)n1 ZINC000571911445 1072465976 /nfs/dbraw/zinc/46/59/76/1072465976.db2.gz BWIZMKVJWRXNLK-GFCCVEGCSA-N 0 0 448.421 -0.005 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(CC(F)(F)C(F)F)CC3)C2=O)n(C)n1 ZINC000571911455 1072465984 /nfs/dbraw/zinc/46/59/84/1072465984.db2.gz BWIZMKVJWRXNLK-LBPRGKRZSA-N 0 0 448.421 -0.005 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2)on1 ZINC000571915347 1072172094 /nfs/dbraw/zinc/17/20/94/1072172094.db2.gz ZGLOSQWCFZWBLV-INIZCTEOSA-N 0 0 429.481 -0.409 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2)on1 ZINC000571915348 1072172203 /nfs/dbraw/zinc/17/22/03/1072172203.db2.gz ZGLOSQWCFZWBLV-MRXNPFEDSA-N 0 0 429.481 -0.409 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000571918711 1072465870 /nfs/dbraw/zinc/46/58/70/1072465870.db2.gz MAOBFTXNMBALFO-UHFFFAOYSA-N 0 0 449.533 -0.137 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000571923310 1072465996 /nfs/dbraw/zinc/46/59/96/1072465996.db2.gz DGJKJYGXOSHYDK-KRWDZBQOSA-N 0 0 435.500 -0.003 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000571923315 1072465823 /nfs/dbraw/zinc/46/58/23/1072465823.db2.gz DGJKJYGXOSHYDK-QGZVFWFLSA-N 0 0 435.500 -0.003 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000571923558 1072465816 /nfs/dbraw/zinc/46/58/16/1072465816.db2.gz YMKNLCYJVXSVKV-SWLSCSKDSA-N 0 0 432.520 -0.121 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)C1=O ZINC000571924118 1072466000 /nfs/dbraw/zinc/46/60/00/1072466000.db2.gz CMFZDCDAZHJPHU-CVEARBPZSA-N 0 0 434.541 -0.182 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)C1=O ZINC000571924124 1072465781 /nfs/dbraw/zinc/46/57/81/1072465781.db2.gz CMFZDCDAZHJPHU-HOTGVXAUSA-N 0 0 434.541 -0.182 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)C1=O ZINC000571924125 1072465940 /nfs/dbraw/zinc/46/59/40/1072465940.db2.gz CMFZDCDAZHJPHU-HZPDHXFCSA-N 0 0 434.541 -0.182 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)C1=O ZINC000571924126 1072465796 /nfs/dbraw/zinc/46/57/96/1072465796.db2.gz CMFZDCDAZHJPHU-JKSUJKDBSA-N 0 0 434.541 -0.182 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1)Nc1ccc(F)cc1 ZINC000571928164 1072465933 /nfs/dbraw/zinc/46/59/33/1072465933.db2.gz ZAAFMUYBTDOBII-UHFFFAOYSA-N 0 0 447.511 -0.111 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)C(=O)Nc4ncn(C)n4)CC3)n2)cc1 ZINC000571931282 1073342919 /nfs/dbraw/zinc/34/29/19/1073342919.db2.gz QJBXTWAMIZWPPB-UHFFFAOYSA-N 0 0 426.437 0.157 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(S(C)(=O)=O)cc3)CC2)n1 ZINC000571935281 1072175549 /nfs/dbraw/zinc/17/55/49/1072175549.db2.gz LDHZUYRIHMNWGQ-UHFFFAOYSA-N 0 0 433.490 -0.146 20 0 IBADRN Cn1c(C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000571943081 1072175742 /nfs/dbraw/zinc/17/57/42/1072175742.db2.gz ZJGGSZDWRSAYJM-AWEZNQCLSA-N 0 0 439.472 -0.015 20 0 IBADRN Cn1c(C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000571943082 1072175749 /nfs/dbraw/zinc/17/57/49/1072175749.db2.gz ZJGGSZDWRSAYJM-CQSZACIVSA-N 0 0 439.472 -0.015 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000571943953 1072175630 /nfs/dbraw/zinc/17/56/30/1072175630.db2.gz KXSVYRZXAMNDOS-GFCCVEGCSA-N 0 0 442.925 -0.138 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000571943954 1072175533 /nfs/dbraw/zinc/17/55/33/1072175533.db2.gz KXSVYRZXAMNDOS-LBPRGKRZSA-N 0 0 442.925 -0.138 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3ccc(Cl)cc3)C(=O)C2)CC1 ZINC000571944497 1072175732 /nfs/dbraw/zinc/17/57/32/1072175732.db2.gz FLQVYVMUFGUJAJ-UHFFFAOYSA-N 0 0 449.939 -0.163 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)cc1 ZINC000571950126 1072466516 /nfs/dbraw/zinc/46/65/16/1072466516.db2.gz JRFBPZZZRFTLCE-UHFFFAOYSA-N 0 0 441.510 -0.624 20 0 IBADRN Cc1ccc(CC[C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000571951419 1072466445 /nfs/dbraw/zinc/46/64/45/1072466445.db2.gz RTYFPWDUVMOZRZ-CYBMUJFWSA-N 0 0 432.481 -0.486 20 0 IBADRN Cc1ccc(CC[C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)o1 ZINC000571951420 1072466471 /nfs/dbraw/zinc/46/64/71/1072466471.db2.gz RTYFPWDUVMOZRZ-ZDUSSCGKSA-N 0 0 432.481 -0.486 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CCN1CCOC ZINC000571952461 1072175597 /nfs/dbraw/zinc/17/55/97/1072175597.db2.gz GTWQFAQVDSZYEM-DLBZAZTESA-N 0 0 434.541 -0.091 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CCN1CCOC ZINC000571952464 1072175762 /nfs/dbraw/zinc/17/57/62/1072175762.db2.gz GTWQFAQVDSZYEM-IAGOWNOFSA-N 0 0 434.541 -0.091 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CCN1CCOC ZINC000571952465 1072175650 /nfs/dbraw/zinc/17/56/50/1072175650.db2.gz GTWQFAQVDSZYEM-IRXDYDNUSA-N 0 0 434.541 -0.091 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CCN1CCOC ZINC000571952466 1072175690 /nfs/dbraw/zinc/17/56/90/1072175690.db2.gz GTWQFAQVDSZYEM-SJORKVTESA-N 0 0 434.541 -0.091 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cc(Cl)ccc2N2CCOCC2)CC1 ZINC000571953510 1072175666 /nfs/dbraw/zinc/17/56/66/1072175666.db2.gz WFJNKDLDOMZCTO-UHFFFAOYSA-N 0 0 444.941 -0.103 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)C1CN(C(=O)C2CCCC2)C1 ZINC000571954562 1072466499 /nfs/dbraw/zinc/46/64/99/1072466499.db2.gz GENIWUXMOZSFHX-HNNXBMFYSA-N 0 0 436.600 -0.032 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)C1CN(C(=O)C2CCCC2)C1 ZINC000571954563 1072466531 /nfs/dbraw/zinc/46/65/31/1072466531.db2.gz GENIWUXMOZSFHX-OAHLLOKOSA-N 0 0 436.600 -0.032 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1 ZINC000571956397 1072466552 /nfs/dbraw/zinc/46/65/52/1072466552.db2.gz DVEHSROOICDEGU-CVEARBPZSA-N 0 0 425.507 -0.281 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1 ZINC000571956398 1072466450 /nfs/dbraw/zinc/46/64/50/1072466450.db2.gz DVEHSROOICDEGU-HOTGVXAUSA-N 0 0 425.507 -0.281 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1 ZINC000571956399 1072466440 /nfs/dbraw/zinc/46/64/40/1072466440.db2.gz DVEHSROOICDEGU-HZPDHXFCSA-N 0 0 425.507 -0.281 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1 ZINC000571956400 1072466488 /nfs/dbraw/zinc/46/64/88/1072466488.db2.gz DVEHSROOICDEGU-JKSUJKDBSA-N 0 0 425.507 -0.281 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)C2=O)cc1 ZINC000571956498 1072466535 /nfs/dbraw/zinc/46/65/35/1072466535.db2.gz KBDKNCARQXJNRV-HNNXBMFYSA-N 0 0 443.533 -0.005 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)C2=O)cc1 ZINC000571956502 1073362534 /nfs/dbraw/zinc/36/25/34/1073362534.db2.gz KBDKNCARQXJNRV-OAHLLOKOSA-N 0 0 443.533 -0.005 20 0 IBADRN COCCOC[C@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1 ZINC000571956546 1072466429 /nfs/dbraw/zinc/46/64/29/1072466429.db2.gz HJDAHTXSFFQSBZ-AWEZNQCLSA-N 0 0 433.552 -0.037 20 0 IBADRN COCCOC[C@@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1 ZINC000571956555 1072466483 /nfs/dbraw/zinc/46/64/83/1072466483.db2.gz HJDAHTXSFFQSBZ-CQSZACIVSA-N 0 0 433.552 -0.037 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000571956695 1072466476 /nfs/dbraw/zinc/46/64/76/1072466476.db2.gz HIUXACUIQOKIKT-UHFFFAOYSA-N 0 0 426.495 -0.877 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000571960946 1072175722 /nfs/dbraw/zinc/17/57/22/1072175722.db2.gz QCCWHOHSMGURFU-UHFFFAOYSA-N 0 0 443.478 -0.625 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1OC ZINC000571966268 1072466493 /nfs/dbraw/zinc/46/64/93/1072466493.db2.gz LDBABWJMKBYGAT-LBPRGKRZSA-N 0 0 435.524 -0.526 20 0 IBADRN CS(=O)(=O)NCCCNc1cc(NCCCNS(C)(=O)=O)c2ccncc2n1 ZINC000571985240 1072175564 /nfs/dbraw/zinc/17/55/64/1072175564.db2.gz QUCFCCUGUONCFA-UHFFFAOYSA-N 0 0 430.556 0.332 20 0 IBADRN O=C(NCCCc1nc2ccccc2[nH]1)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000571986524 1072175754 /nfs/dbraw/zinc/17/57/54/1072175754.db2.gz IJZZQMRVMMHPCD-UHFFFAOYSA-N 0 0 434.478 -0.165 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000572010364 1072180185 /nfs/dbraw/zinc/18/01/85/1072180185.db2.gz MYLPQHXZCVCRSV-UHFFFAOYSA-N 0 0 445.501 -0.628 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000572017045 1072179219 /nfs/dbraw/zinc/17/92/19/1072179219.db2.gz XEOPLVDNXCBRJB-UHFFFAOYSA-N 0 0 447.413 -0.905 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NC[C@@H](O)COC)c1 ZINC000572018894 1072466418 /nfs/dbraw/zinc/46/64/18/1072466418.db2.gz MFNLOAXBQZFNBY-CYBMUJFWSA-N 0 0 430.527 -0.155 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000572020228 1072466453 /nfs/dbraw/zinc/46/64/53/1072466453.db2.gz AQBXJLWCKFZZOR-OAHLLOKOSA-N 0 0 427.527 -0.005 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC2CCN(C(=O)C3CC3)CC2)CC1 ZINC000572020971 1072466504 /nfs/dbraw/zinc/46/65/04/1072466504.db2.gz HEJMWQFGUNPPCJ-UHFFFAOYSA-N 0 0 435.569 -0.094 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)C2=O)n(C)n1 ZINC000572021072 1072466523 /nfs/dbraw/zinc/46/65/23/1072466523.db2.gz LZHWSANTEQANSG-INIZCTEOSA-N 0 0 441.492 -0.502 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)C2=O)n(C)n1 ZINC000572021073 1072466547 /nfs/dbraw/zinc/46/65/47/1072466547.db2.gz LZHWSANTEQANSG-MRXNPFEDSA-N 0 0 441.492 -0.502 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)n1 ZINC000572021846 1073306724 /nfs/dbraw/zinc/30/67/24/1073306724.db2.gz VONGUEGETJIFKW-UHFFFAOYSA-N 0 0 432.384 -0.296 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1)S(C)(=O)=O ZINC000572029909 1072179287 /nfs/dbraw/zinc/17/92/87/1072179287.db2.gz DCVZGWBROYAROO-AWEZNQCLSA-N 0 0 425.507 -0.349 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1)S(C)(=O)=O ZINC000572029913 1072179432 /nfs/dbraw/zinc/17/94/32/1072179432.db2.gz DCVZGWBROYAROO-CQSZACIVSA-N 0 0 425.507 -0.349 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000572033303 1072179243 /nfs/dbraw/zinc/17/92/43/1072179243.db2.gz DBLIQPDOUCVPCQ-FGTMMUONSA-N 0 0 437.468 -0.021 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000572033304 1072179207 /nfs/dbraw/zinc/17/92/07/1072179207.db2.gz DBLIQPDOUCVPCQ-KZNAEPCWSA-N 0 0 437.468 -0.021 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000572033305 1072179894 /nfs/dbraw/zinc/17/98/94/1072179894.db2.gz DBLIQPDOUCVPCQ-OKZBNKHCSA-N 0 0 437.468 -0.021 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000572033306 1072180106 /nfs/dbraw/zinc/18/01/06/1072180106.db2.gz DBLIQPDOUCVPCQ-RCCFBDPRSA-N 0 0 437.468 -0.021 20 0 IBADRN Cc1ccc(SC[C@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000572033375 1072180127 /nfs/dbraw/zinc/18/01/27/1072180127.db2.gz MZWGUPYWXMJTPH-HNNXBMFYSA-N 0 0 431.522 -0.051 20 0 IBADRN Cc1ccc(SC[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000572033377 1072180020 /nfs/dbraw/zinc/18/00/20/1072180020.db2.gz MZWGUPYWXMJTPH-OAHLLOKOSA-N 0 0 431.522 -0.051 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000572037128 1072467110 /nfs/dbraw/zinc/46/71/10/1072467110.db2.gz VTNQPPZDVCQKHO-CVEARBPZSA-N 0 0 430.513 -0.706 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000572037129 1072467114 /nfs/dbraw/zinc/46/71/14/1072467114.db2.gz VTNQPPZDVCQKHO-HOTGVXAUSA-N 0 0 430.513 -0.706 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000572037130 1072467119 /nfs/dbraw/zinc/46/71/19/1072467119.db2.gz VTNQPPZDVCQKHO-HZPDHXFCSA-N 0 0 430.513 -0.706 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000572037131 1072467049 /nfs/dbraw/zinc/46/70/49/1072467049.db2.gz VTNQPPZDVCQKHO-JKSUJKDBSA-N 0 0 430.513 -0.706 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000572037155 1072467115 /nfs/dbraw/zinc/46/71/15/1072467115.db2.gz WZJMYCKJFLNTAU-CYBMUJFWSA-N 0 0 435.524 -0.048 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000572037156 1072467067 /nfs/dbraw/zinc/46/70/67/1072467067.db2.gz WZJMYCKJFLNTAU-ZDUSSCGKSA-N 0 0 435.524 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCCN2CCOCC2(C)C)s1 ZINC000572040192 1072180155 /nfs/dbraw/zinc/18/01/55/1072180155.db2.gz MWZWCJBKKNTZNN-UHFFFAOYSA-N 0 0 432.568 -0.322 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000572044639 1072179990 /nfs/dbraw/zinc/17/99/90/1072179990.db2.gz GYWXZXDOQSZXPB-DVOMOZLQSA-N 0 0 442.567 -0.032 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@H]12)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000572044641 1072467121 /nfs/dbraw/zinc/46/71/21/1072467121.db2.gz GYWXZXDOQSZXPB-IOASZLSFSA-N 0 0 442.567 -0.032 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000572044642 1072467044 /nfs/dbraw/zinc/46/70/44/1072467044.db2.gz GYWXZXDOQSZXPB-WWGRRREGSA-N 0 0 442.567 -0.032 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@@H]12)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000572044643 1072467083 /nfs/dbraw/zinc/46/70/83/1072467083.db2.gz GYWXZXDOQSZXPB-XJKCOSOUSA-N 0 0 442.567 -0.032 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1)NCc1ccccc1 ZINC000572049865 1072179951 /nfs/dbraw/zinc/17/99/51/1072179951.db2.gz SQADVVMJJBSXCW-UHFFFAOYSA-N 0 0 443.548 -0.572 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1ncc(Cl)c(N(C)CC(=O)N2CCOCC2)n1 ZINC000572052024 1072467613 /nfs/dbraw/zinc/46/76/13/1072467613.db2.gz BPCKWOQCGDNENT-UHFFFAOYSA-N 0 0 426.905 -0.280 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000572052757 1072467568 /nfs/dbraw/zinc/46/75/68/1072467568.db2.gz KHXPMQGFBYLSEJ-UHFFFAOYSA-N 0 0 445.929 -0.021 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C ZINC000572056027 1072179961 /nfs/dbraw/zinc/17/99/61/1072179961.db2.gz RZLQTWVONAZTGZ-KPRKPIBOSA-N 0 0 434.580 -0.109 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)C1 ZINC000572061780 1072467545 /nfs/dbraw/zinc/46/75/45/1072467545.db2.gz YBIGHXVRUUZTMJ-CYBMUJFWSA-N 0 0 436.450 -0.858 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)C1 ZINC000572061784 1072467588 /nfs/dbraw/zinc/46/75/88/1072467588.db2.gz YBIGHXVRUUZTMJ-ZDUSSCGKSA-N 0 0 436.450 -0.858 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCCCO1 ZINC000572062828 1072467553 /nfs/dbraw/zinc/46/75/53/1072467553.db2.gz QFMDCUNCNVHTGZ-BZUAXINKSA-N 0 0 436.513 -0.282 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCCO1 ZINC000572062829 1072467622 /nfs/dbraw/zinc/46/76/22/1072467622.db2.gz QFMDCUNCNVHTGZ-OAGGEKHMSA-N 0 0 436.513 -0.282 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCCCO1 ZINC000572062830 1072467540 /nfs/dbraw/zinc/46/75/40/1072467540.db2.gz QFMDCUNCNVHTGZ-OWCLPIDISA-N 0 0 436.513 -0.282 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCCCO1 ZINC000572062831 1072467471 /nfs/dbraw/zinc/46/74/71/1072467471.db2.gz QFMDCUNCNVHTGZ-PMPSAXMXSA-N 0 0 436.513 -0.282 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCCN3CCOC(C)(C)C3)cc2)CC1 ZINC000572063716 1072467596 /nfs/dbraw/zinc/46/75/96/1072467596.db2.gz QIKDZYVXNLNKRB-UHFFFAOYSA-N 0 0 445.564 -0.083 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)cn2)CCO1 ZINC000572064064 1072467509 /nfs/dbraw/zinc/46/75/09/1072467509.db2.gz ZKEJYOOZCPQKSY-MNEFBYGVSA-N 0 0 442.520 -0.109 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)cn2)CCO1 ZINC000572064065 1072467526 /nfs/dbraw/zinc/46/75/26/1072467526.db2.gz ZKEJYOOZCPQKSY-SNRMKQJTSA-N 0 0 442.520 -0.109 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C(=O)N(C)C)CC3)cn2)C[C@H](C)O1 ZINC000572064377 1072467603 /nfs/dbraw/zinc/46/76/03/1072467603.db2.gz VNBWRLAHSPNOCG-GASCZTMLSA-N 0 0 449.512 -0.717 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C(=O)N(C)C)CC3)cn2)C[C@H](C)O1 ZINC000572064378 1072467592 /nfs/dbraw/zinc/46/75/92/1072467592.db2.gz VNBWRLAHSPNOCG-GJZGRUSLSA-N 0 0 449.512 -0.717 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN(C(=O)N(C)C)CC3)cn2)C[C@@H](C)O1 ZINC000572064379 1072467577 /nfs/dbraw/zinc/46/75/77/1072467577.db2.gz VNBWRLAHSPNOCG-HUUCEWRRSA-N 0 0 449.512 -0.717 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1CCOC ZINC000572065252 1072468156 /nfs/dbraw/zinc/46/81/56/1072468156.db2.gz RESHIPCZQZFWED-IBGZPJMESA-N 0 0 447.584 -0.326 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CCN1CCOC ZINC000572065256 1072467936 /nfs/dbraw/zinc/46/79/36/1072467936.db2.gz RESHIPCZQZFWED-LJQANCHMSA-N 0 0 447.584 -0.326 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000572065568 1072467969 /nfs/dbraw/zinc/46/79/69/1072467969.db2.gz YCKXAYGWUVEACV-KRWDZBQOSA-N 0 0 441.540 -0.767 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000572065571 1072468168 /nfs/dbraw/zinc/46/81/68/1072468168.db2.gz YCKXAYGWUVEACV-QGZVFWFLSA-N 0 0 441.540 -0.767 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000572073481 1072468022 /nfs/dbraw/zinc/46/80/22/1072468022.db2.gz IPQPYLYZFQTNKW-CABCVRRESA-N 0 0 426.495 -0.775 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000572073485 1072468087 /nfs/dbraw/zinc/46/80/87/1072468087.db2.gz IPQPYLYZFQTNKW-GJZGRUSLSA-N 0 0 426.495 -0.775 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000572073486 1072468045 /nfs/dbraw/zinc/46/80/45/1072468045.db2.gz IPQPYLYZFQTNKW-HUUCEWRRSA-N 0 0 426.495 -0.775 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000572073487 1072468095 /nfs/dbraw/zinc/46/80/95/1072468095.db2.gz IPQPYLYZFQTNKW-LSDHHAIUSA-N 0 0 426.495 -0.775 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000572074623 1072467909 /nfs/dbraw/zinc/46/79/09/1072467909.db2.gz QKLVYTVNRKKWOB-KBPBESRZSA-N 0 0 438.506 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000572074624 1072468150 /nfs/dbraw/zinc/46/81/50/1072468150.db2.gz QKLVYTVNRKKWOB-KGLIPLIRSA-N 0 0 438.506 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000572074625 1072468057 /nfs/dbraw/zinc/46/80/57/1072468057.db2.gz QKLVYTVNRKKWOB-UONOGXRCSA-N 0 0 438.506 -0.543 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000572074626 1072467978 /nfs/dbraw/zinc/46/79/78/1072467978.db2.gz QKLVYTVNRKKWOB-ZIAGYGMSSA-N 0 0 438.506 -0.543 20 0 IBADRN O=C(Cn1nnn(C2CC2)c1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000572080636 1072468137 /nfs/dbraw/zinc/46/81/37/1072468137.db2.gz INTFXFOCRABMOR-UHFFFAOYSA-N 0 0 432.506 -0.204 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000572083836 1072467891 /nfs/dbraw/zinc/46/78/91/1072467891.db2.gz IECSZLSQZOPYQC-UHFFFAOYSA-N 0 0 430.458 -0.109 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1 ZINC000572084697 1072468126 /nfs/dbraw/zinc/46/81/26/1072468126.db2.gz YDNTYHAEADWUBB-UHFFFAOYSA-N 0 0 438.510 -0.505 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCCCC2)c1 ZINC000572090449 1072468063 /nfs/dbraw/zinc/46/80/63/1072468063.db2.gz ASRAGHCVHGIGSD-UHFFFAOYSA-N 0 0 447.535 -0.181 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cc(C4CC4)n(C)n3)CC2)cs1 ZINC000572092950 1073350329 /nfs/dbraw/zinc/35/03/29/1073350329.db2.gz JYHLPTHWQOKIBU-UHFFFAOYSA-N 0 0 438.535 0.534 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(CN3CCN(C(C)=O)CC3)cc2)CC1=O ZINC000572101876 1072467983 /nfs/dbraw/zinc/46/79/83/1072467983.db2.gz ZDQPCMPZPQTTJW-UHFFFAOYSA-N 0 0 431.493 -0.436 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1)N1CCCC1 ZINC000572106544 1072181350 /nfs/dbraw/zinc/18/13/50/1072181350.db2.gz PSOBBELGXMYYQZ-UHFFFAOYSA-N 0 0 431.468 -0.183 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000572107677 1072467878 /nfs/dbraw/zinc/46/78/78/1072467878.db2.gz ZYLHGUKDRVKCDO-UHFFFAOYSA-N 0 0 429.521 -0.078 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000572128968 1073331733 /nfs/dbraw/zinc/33/17/33/1073331733.db2.gz VLDXAFIRSDCRMQ-UHFFFAOYSA-N 0 0 442.476 0.459 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1)c1ccccc1OCC(=O)N1CCCC1 ZINC000572141133 1072468007 /nfs/dbraw/zinc/46/80/07/1072468007.db2.gz RKLWABRNCHPHHR-AEFFLSMTSA-N 0 0 446.504 -0.032 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1)c1ccccc1OCC(=O)N1CCCC1 ZINC000572141134 1072468719 /nfs/dbraw/zinc/46/87/19/1072468719.db2.gz RKLWABRNCHPHHR-FUHWJXTLSA-N 0 0 446.504 -0.032 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1)c1ccccc1OCC(=O)N1CCCC1 ZINC000572141135 1072468540 /nfs/dbraw/zinc/46/85/40/1072468540.db2.gz RKLWABRNCHPHHR-SJLPKXTDSA-N 0 0 446.504 -0.032 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1)c1ccccc1OCC(=O)N1CCCC1 ZINC000572141136 1072468726 /nfs/dbraw/zinc/46/87/26/1072468726.db2.gz RKLWABRNCHPHHR-WMZOPIPTSA-N 0 0 446.504 -0.032 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)CNS(=O)(=O)c1cn(C)cn1)CC2 ZINC000572144845 1072468714 /nfs/dbraw/zinc/46/87/14/1072468714.db2.gz HFPKFFPAQDYYLY-CYBMUJFWSA-N 0 0 437.526 -0.588 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)CNS(=O)(=O)c1cn(C)cn1)CC2 ZINC000572144846 1072468595 /nfs/dbraw/zinc/46/85/95/1072468595.db2.gz HFPKFFPAQDYYLY-ZDUSSCGKSA-N 0 0 437.526 -0.588 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000572146766 1072468741 /nfs/dbraw/zinc/46/87/41/1072468741.db2.gz CTMYOYUSNZMILE-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000572146767 1072468546 /nfs/dbraw/zinc/46/85/46/1072468546.db2.gz CTMYOYUSNZMILE-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)c1 ZINC000572148452 1072468699 /nfs/dbraw/zinc/46/86/99/1072468699.db2.gz QDDFLIKNWUAROT-HNNXBMFYSA-N 0 0 427.523 -0.233 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)c1 ZINC000572148453 1072468738 /nfs/dbraw/zinc/46/87/38/1072468738.db2.gz QDDFLIKNWUAROT-OAHLLOKOSA-N 0 0 427.523 -0.233 20 0 IBADRN Cn1c(C(=O)NCc2ccc(S(=O)(=O)CCO)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000572150446 1072181301 /nfs/dbraw/zinc/18/13/01/1072181301.db2.gz PXWZEPLIWOAUOS-UHFFFAOYSA-N 0 0 434.474 -0.728 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000572154941 1072181320 /nfs/dbraw/zinc/18/13/20/1072181320.db2.gz VDSRTVOOXDZQSR-CABCVRRESA-N 0 0 435.506 -0.612 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000572154942 1072181437 /nfs/dbraw/zinc/18/14/37/1072181437.db2.gz VDSRTVOOXDZQSR-GJZGRUSLSA-N 0 0 435.506 -0.612 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000572154943 1072181378 /nfs/dbraw/zinc/18/13/78/1072181378.db2.gz VDSRTVOOXDZQSR-HUUCEWRRSA-N 0 0 435.506 -0.612 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000572154944 1072181293 /nfs/dbraw/zinc/18/12/93/1072181293.db2.gz VDSRTVOOXDZQSR-LSDHHAIUSA-N 0 0 435.506 -0.612 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000572156119 1072181396 /nfs/dbraw/zinc/18/13/96/1072181396.db2.gz ZRTBPVHONHNIAU-KRWDZBQOSA-N 0 0 434.541 -0.404 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000572156120 1072181328 /nfs/dbraw/zinc/18/13/28/1072181328.db2.gz ZRTBPVHONHNIAU-QGZVFWFLSA-N 0 0 434.541 -0.404 20 0 IBADRN O=S(=O)(C[C@H]1CCCS(=O)(=O)C1)NCCCN1CCN(c2ncccn2)CC1 ZINC000572163738 1072181162 /nfs/dbraw/zinc/18/11/62/1072181162.db2.gz YWFYLSPARPFNKX-INIZCTEOSA-N 0 0 431.584 -0.267 20 0 IBADRN O=S(=O)(C[C@@H]1CCCS(=O)(=O)C1)NCCCN1CCN(c2ncccn2)CC1 ZINC000572163739 1072181258 /nfs/dbraw/zinc/18/12/58/1072181258.db2.gz YWFYLSPARPFNKX-MRXNPFEDSA-N 0 0 431.584 -0.267 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)cs1 ZINC000572169518 1072468523 /nfs/dbraw/zinc/46/85/23/1072468523.db2.gz WULVIBXXRIARLE-AREMUKBSSA-N 0 0 434.565 -0.688 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)cs1 ZINC000572169519 1072468587 /nfs/dbraw/zinc/46/85/87/1072468587.db2.gz WULVIBXXRIARLE-SANMLTNESA-N 0 0 434.565 -0.688 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)N1CC(=O)NC[C@@H]1C(=O)OC ZINC000572170736 1072468605 /nfs/dbraw/zinc/46/86/05/1072468605.db2.gz GMEZDQQPHNMNLM-LLVKDONJSA-N 0 0 449.279 -0.173 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)N1CC(=O)NC[C@H]1C(=O)OC ZINC000572170737 1072468735 /nfs/dbraw/zinc/46/87/35/1072468735.db2.gz GMEZDQQPHNMNLM-NSHDSACASA-N 0 0 449.279 -0.173 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000572174956 1072468660 /nfs/dbraw/zinc/46/86/60/1072468660.db2.gz QAZXCGBBVDPFKQ-UHFFFAOYSA-N 0 0 434.521 -0.492 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCCOCC1 ZINC000572183037 1072183646 /nfs/dbraw/zinc/18/36/46/1072183646.db2.gz CFEARKKDIMYTNO-UHFFFAOYSA-N 0 0 425.507 -0.286 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccccc3F)CC2)CC1 ZINC000572184197 1072183633 /nfs/dbraw/zinc/18/36/33/1072183633.db2.gz QIZYFLNKZFDSHD-UHFFFAOYSA-N 0 0 440.497 -0.350 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)c1 ZINC000572191261 1072183609 /nfs/dbraw/zinc/18/36/09/1072183609.db2.gz LHIZUSBCZZVLOX-UHFFFAOYSA-N 0 0 444.473 -0.044 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000572191329 1072183571 /nfs/dbraw/zinc/18/35/71/1072183571.db2.gz OTHCIFWDUBQWQI-INIZCTEOSA-N 0 0 435.525 -0.462 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000572191330 1072183660 /nfs/dbraw/zinc/18/36/60/1072183660.db2.gz OTHCIFWDUBQWQI-MRXNPFEDSA-N 0 0 435.525 -0.462 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2SCCc3ccccc32)CC1 ZINC000572196786 1072468500 /nfs/dbraw/zinc/46/85/00/1072468500.db2.gz GFFBABLEMQTSTH-INIZCTEOSA-N 0 0 429.506 -0.509 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2SCCc3ccccc32)CC1 ZINC000572196787 1072468731 /nfs/dbraw/zinc/46/87/31/1072468731.db2.gz GFFBABLEMQTSTH-MRXNPFEDSA-N 0 0 429.506 -0.509 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000572198738 1072468996 /nfs/dbraw/zinc/46/89/96/1072468996.db2.gz WTOIAHVAYCFGSW-CVEARBPZSA-N 0 0 438.554 -0.468 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000572198739 1072469028 /nfs/dbraw/zinc/46/90/28/1072469028.db2.gz WTOIAHVAYCFGSW-HOTGVXAUSA-N 0 0 438.554 -0.468 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000572198740 1072469009 /nfs/dbraw/zinc/46/90/09/1072469009.db2.gz WTOIAHVAYCFGSW-HZPDHXFCSA-N 0 0 438.554 -0.468 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000572198741 1072469127 /nfs/dbraw/zinc/46/91/27/1072469127.db2.gz WTOIAHVAYCFGSW-JKSUJKDBSA-N 0 0 438.554 -0.468 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](N3CCCC3=O)C2)C1=O ZINC000572199357 1072183676 /nfs/dbraw/zinc/18/36/76/1072183676.db2.gz PMXADCDQEDVHKT-CVEARBPZSA-N 0 0 430.509 -0.359 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](N3CCCC3=O)C2)C1=O ZINC000572199358 1072183583 /nfs/dbraw/zinc/18/35/83/1072183583.db2.gz PMXADCDQEDVHKT-HOTGVXAUSA-N 0 0 430.509 -0.359 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](N3CCCC3=O)C2)C1=O ZINC000572199359 1072183818 /nfs/dbraw/zinc/18/38/18/1072183818.db2.gz PMXADCDQEDVHKT-HZPDHXFCSA-N 0 0 430.509 -0.359 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](N3CCCC3=O)C2)C1=O ZINC000572199360 1072183761 /nfs/dbraw/zinc/18/37/61/1072183761.db2.gz PMXADCDQEDVHKT-JKSUJKDBSA-N 0 0 430.509 -0.359 20 0 IBADRN CN(CCn1cc(Br)cn1)CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000572201328 1072469041 /nfs/dbraw/zinc/46/90/41/1072469041.db2.gz BPSGVMUZQLATIP-HNNXBMFYSA-N 0 0 448.387 -0.091 20 0 IBADRN CN(CCn1cc(Br)cn1)CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000572201329 1072468985 /nfs/dbraw/zinc/46/89/85/1072468985.db2.gz BPSGVMUZQLATIP-OAHLLOKOSA-N 0 0 448.387 -0.091 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C1 ZINC000572201785 1072469158 /nfs/dbraw/zinc/46/91/58/1072469158.db2.gz SYSAGZIPTKSJAV-BLLLJJGKSA-N 0 0 433.465 -0.545 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C1 ZINC000572201786 1072469094 /nfs/dbraw/zinc/46/90/94/1072469094.db2.gz SYSAGZIPTKSJAV-LRDDRELGSA-N 0 0 433.465 -0.545 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C1 ZINC000572201787 1072469050 /nfs/dbraw/zinc/46/90/50/1072469050.db2.gz SYSAGZIPTKSJAV-MLGOLLRUSA-N 0 0 433.465 -0.545 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)C1 ZINC000572201788 1072469032 /nfs/dbraw/zinc/46/90/32/1072469032.db2.gz SYSAGZIPTKSJAV-WBMJQRKESA-N 0 0 433.465 -0.545 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)ccc1C(N)=O ZINC000572202475 1072469061 /nfs/dbraw/zinc/46/90/61/1072469061.db2.gz RSTGLUYDUNYRJG-AWEZNQCLSA-N 0 0 425.511 -0.190 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)ccc1C(N)=O ZINC000572202476 1072469068 /nfs/dbraw/zinc/46/90/68/1072469068.db2.gz RSTGLUYDUNYRJG-CQSZACIVSA-N 0 0 425.511 -0.190 20 0 IBADRN CO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1(C)C ZINC000572202798 1072469019 /nfs/dbraw/zinc/46/90/19/1072469019.db2.gz ZHWVQNLNFALVLM-LVQVYYBASA-N 0 0 446.570 -0.586 20 0 IBADRN CO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1(C)C ZINC000572202799 1072469147 /nfs/dbraw/zinc/46/91/47/1072469147.db2.gz ZHWVQNLNFALVLM-QKPAOTATSA-N 0 0 446.570 -0.586 20 0 IBADRN CO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1(C)C ZINC000572202800 1072468972 /nfs/dbraw/zinc/46/89/72/1072468972.db2.gz ZHWVQNLNFALVLM-XUWVNRHRSA-N 0 0 446.570 -0.586 20 0 IBADRN CO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1(C)C ZINC000572202801 1072469045 /nfs/dbraw/zinc/46/90/45/1072469045.db2.gz ZHWVQNLNFALVLM-YHUYYLMFSA-N 0 0 446.570 -0.586 20 0 IBADRN O=C(N[C@H]1CCn2ccnc2C1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000572204437 1072468955 /nfs/dbraw/zinc/46/89/55/1072468955.db2.gz PSXNRPNNGDYDLT-HNNXBMFYSA-N 0 0 435.481 -0.014 20 0 IBADRN O=C(N[C@@H]1CCn2ccnc2C1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000572204438 1072469104 /nfs/dbraw/zinc/46/91/04/1072469104.db2.gz PSXNRPNNGDYDLT-OAHLLOKOSA-N 0 0 435.481 -0.014 20 0 IBADRN CCNC(=O)C1CN(C(=O)CN(CC(=O)N2CC(C(=O)NCC)C2)Cc2ccccc2)C1 ZINC000572205355 1072183621 /nfs/dbraw/zinc/18/36/21/1072183621.db2.gz FEGNXYFDPRONLX-UHFFFAOYSA-N 0 0 443.548 -0.322 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@H](C)O)CC2)c1 ZINC000572207550 1072469643 /nfs/dbraw/zinc/46/96/43/1072469643.db2.gz BBMMHCMEPOJHHU-HNNXBMFYSA-N 0 0 427.523 -0.603 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@@H](C)O)CC2)c1 ZINC000572207551 1072469792 /nfs/dbraw/zinc/46/97/92/1072469792.db2.gz BBMMHCMEPOJHHU-OAHLLOKOSA-N 0 0 427.523 -0.603 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000572208582 1072183685 /nfs/dbraw/zinc/18/36/85/1072183685.db2.gz TUKJPCTXWRTIFV-UHFFFAOYSA-N 0 0 440.569 -0.903 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)O1 ZINC000572210076 1072469759 /nfs/dbraw/zinc/46/97/59/1072469759.db2.gz ZLATVQQQBGBITK-JKSUJKDBSA-N 0 0 425.507 -0.041 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000572216090 1072183558 /nfs/dbraw/zinc/18/35/58/1072183558.db2.gz GKTMUWINHMEDPG-UHFFFAOYSA-N 0 0 433.508 -0.571 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCC[C@@H](CNC(=O)c2ccccc2)C1 ZINC000572229830 1072469695 /nfs/dbraw/zinc/46/96/95/1072469695.db2.gz XREXUUQPNCSXNT-INIZCTEOSA-N 0 0 438.488 -0.009 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N1CCC[C@H](CNC(=O)c2ccccc2)C1 ZINC000572229831 1072469840 /nfs/dbraw/zinc/46/98/40/1072469840.db2.gz XREXUUQPNCSXNT-MRXNPFEDSA-N 0 0 438.488 -0.009 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000572230414 1072469731 /nfs/dbraw/zinc/46/97/31/1072469731.db2.gz BDGYZIKPALLOAN-CABCVRRESA-N 0 0 446.533 -0.569 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000572230415 1072469882 /nfs/dbraw/zinc/46/98/82/1072469882.db2.gz BDGYZIKPALLOAN-GJZGRUSLSA-N 0 0 446.533 -0.569 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000572230416 1072469746 /nfs/dbraw/zinc/46/97/46/1072469746.db2.gz BDGYZIKPALLOAN-HUUCEWRRSA-N 0 0 446.533 -0.569 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000572230417 1072469682 /nfs/dbraw/zinc/46/96/82/1072469682.db2.gz BDGYZIKPALLOAN-LSDHHAIUSA-N 0 0 446.533 -0.569 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)cc2NC1=O ZINC000572231449 1072184460 /nfs/dbraw/zinc/18/44/60/1072184460.db2.gz OSFMGHXLRWKDFT-GFCCVEGCSA-N 0 0 439.494 -0.315 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)cc2NC1=O ZINC000572231450 1072184421 /nfs/dbraw/zinc/18/44/21/1072184421.db2.gz OSFMGHXLRWKDFT-LBPRGKRZSA-N 0 0 439.494 -0.315 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000572250955 1072183027 /nfs/dbraw/zinc/18/30/27/1072183027.db2.gz NMOIUBIFXMGXDW-UHFFFAOYSA-N 0 0 439.538 -0.183 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(CC3CC3)n1C[C@@H]1CCCO1)C2 ZINC000572259005 1072469851 /nfs/dbraw/zinc/46/98/51/1072469851.db2.gz GQZRSNWSYPPKJD-HNNXBMFYSA-N 0 0 430.513 -0.124 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(CC3CC3)n1C[C@H]1CCCO1)C2 ZINC000572259006 1072469807 /nfs/dbraw/zinc/46/98/07/1072469807.db2.gz GQZRSNWSYPPKJD-OAHLLOKOSA-N 0 0 430.513 -0.124 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(Cl)cc(C(N)=O)c3OC)C2)nn1 ZINC000572264462 1072184319 /nfs/dbraw/zinc/18/43/19/1072184319.db2.gz PCNXSSNWVRNTLY-UHFFFAOYSA-N 0 0 436.812 -0.152 20 0 IBADRN O=C(Nc1ccc(Br)c(C2CC2)n1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000572265174 1072184486 /nfs/dbraw/zinc/18/44/86/1072184486.db2.gz GWEQFVCPZDLITK-JTQLQIEISA-N 0 0 436.266 -0.171 20 0 IBADRN O=C(Nc1ccc(Br)c(C2CC2)n1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000572265176 1072184346 /nfs/dbraw/zinc/18/43/46/1072184346.db2.gz GWEQFVCPZDLITK-SNVBAGLBSA-N 0 0 436.266 -0.171 20 0 IBADRN CCCCOCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000572265595 1072184515 /nfs/dbraw/zinc/18/45/15/1072184515.db2.gz LTPBVABSVVIVLU-UHFFFAOYSA-N 0 0 430.483 -0.856 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000572270024 1072469858 /nfs/dbraw/zinc/46/98/58/1072469858.db2.gz CRUKBEFYRACTAR-UHFFFAOYSA-N 0 0 446.552 -0.453 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)NCCNS(=O)(=O)c2cccnc2)CCC(=O)N1C ZINC000572270374 1072470358 /nfs/dbraw/zinc/47/03/58/1072470358.db2.gz TZQSEQJQSLKLRX-RHSMWYFYSA-N 0 0 435.510 -0.245 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1C ZINC000572270755 1072469669 /nfs/dbraw/zinc/46/96/69/1072469669.db2.gz PZHHTIBFZLPJQR-DZGCQCFKSA-N 0 0 433.552 -0.235 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1C ZINC000572270756 1072469736 /nfs/dbraw/zinc/46/97/36/1072469736.db2.gz PZHHTIBFZLPJQR-HIFRSBDPSA-N 0 0 433.552 -0.235 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc1C ZINC000572270757 1072469750 /nfs/dbraw/zinc/46/97/50/1072469750.db2.gz PZHHTIBFZLPJQR-UKRRQHHQSA-N 0 0 433.552 -0.235 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc1C ZINC000572270758 1072469787 /nfs/dbraw/zinc/46/97/87/1072469787.db2.gz PZHHTIBFZLPJQR-ZFWWWQNUSA-N 0 0 433.552 -0.235 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2nc(C(F)(F)F)cs2)CC1 ZINC000572273373 1072470322 /nfs/dbraw/zinc/47/03/22/1072470322.db2.gz UUPRIEJWFNPZOB-UHFFFAOYSA-N 0 0 446.415 -0.822 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3Cl)C2)CC1 ZINC000572273866 1072470434 /nfs/dbraw/zinc/47/04/34/1072470434.db2.gz DTAJUPWWIPAMHM-AWEZNQCLSA-N 0 0 448.911 -0.621 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3Cl)C2)CC1 ZINC000572273867 1072470338 /nfs/dbraw/zinc/47/03/38/1072470338.db2.gz DTAJUPWWIPAMHM-CQSZACIVSA-N 0 0 448.911 -0.621 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)cc2[nH]1 ZINC000572281953 1072470459 /nfs/dbraw/zinc/47/04/59/1072470459.db2.gz YPOVHCJRMHGNEE-UHFFFAOYSA-N 0 0 445.523 -0.412 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000572282247 1072184491 /nfs/dbraw/zinc/18/44/91/1072184491.db2.gz LGIYCGGCPKDTMO-BHYGNILZSA-N 0 0 442.542 -0.905 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)[C@@H]1OCC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000572282248 1072184536 /nfs/dbraw/zinc/18/45/36/1072184536.db2.gz LGIYCGGCPKDTMO-HYVNUMGLSA-N 0 0 442.542 -0.905 20 0 IBADRN CN(Cc1cn2c(n1)CCCC2)C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000572292926 1072470301 /nfs/dbraw/zinc/47/03/01/1072470301.db2.gz YRLFKCIOZNCPNS-UHFFFAOYSA-N 0 0 426.525 -0.094 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2F)CC1 ZINC000572293063 1072184502 /nfs/dbraw/zinc/18/45/02/1072184502.db2.gz FKDWPJZMXQNZIY-HNNXBMFYSA-N 0 0 444.529 -0.211 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2F)CC1 ZINC000572293064 1072184512 /nfs/dbraw/zinc/18/45/12/1072184512.db2.gz FKDWPJZMXQNZIY-OAHLLOKOSA-N 0 0 444.529 -0.211 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccccc2C#N)CC1 ZINC000572298552 1072470372 /nfs/dbraw/zinc/47/03/72/1072470372.db2.gz MIBUHFUZCGTWIY-UHFFFAOYSA-N 0 0 429.524 -0.534 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N[C@@H]2CCC(=O)N(C)[C@H]2c2ccnn2C)c1 ZINC000572299483 1072470264 /nfs/dbraw/zinc/47/02/64/1072470264.db2.gz FJVRXWRWEMVEOO-CXAGYDPISA-N 0 0 438.510 -0.235 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccc2c(c1)NC(=O)CNC2=O)C(=O)NCCN1CCOCC1 ZINC000572307382 1072470248 /nfs/dbraw/zinc/47/02/48/1072470248.db2.gz KUEWTTXFGGZCDZ-AUUYWEPGSA-N 0 0 445.520 -0.039 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccc2c(c1)NC(=O)CNC2=O)C(=O)NCCN1CCOCC1 ZINC000572307383 1072470453 /nfs/dbraw/zinc/47/04/53/1072470453.db2.gz KUEWTTXFGGZCDZ-IFXJQAMLSA-N 0 0 445.520 -0.039 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccc2c(c1)NC(=O)CNC2=O)C(=O)NCCN1CCOCC1 ZINC000572307384 1072470449 /nfs/dbraw/zinc/47/04/49/1072470449.db2.gz KUEWTTXFGGZCDZ-KUHUBIRLSA-N 0 0 445.520 -0.039 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccc2c(c1)NC(=O)CNC2=O)C(=O)NCCN1CCOCC1 ZINC000572307385 1072471122 /nfs/dbraw/zinc/47/11/22/1072471122.db2.gz KUEWTTXFGGZCDZ-LIRRHRJNSA-N 0 0 445.520 -0.039 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000572307399 1072471113 /nfs/dbraw/zinc/47/11/13/1072471113.db2.gz LIJYUKIWMAPXRD-CYBMUJFWSA-N 0 0 429.399 -0.186 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000572307400 1072470959 /nfs/dbraw/zinc/47/09/59/1072470959.db2.gz LIJYUKIWMAPXRD-ZDUSSCGKSA-N 0 0 429.399 -0.186 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000572307915 1072470401 /nfs/dbraw/zinc/47/04/01/1072470401.db2.gz CYBCZTBBXJTBGX-UHFFFAOYSA-N 0 0 431.537 -0.538 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000572310845 1072470986 /nfs/dbraw/zinc/47/09/86/1072470986.db2.gz WPGLOXDELJNOEF-UHFFFAOYSA-N 0 0 429.521 -0.222 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000572312108 1072471079 /nfs/dbraw/zinc/47/10/79/1072471079.db2.gz YOFHOSDUWUPZPA-AWEZNQCLSA-N 0 0 425.554 -0.313 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000572312109 1072470971 /nfs/dbraw/zinc/47/09/71/1072470971.db2.gz YOFHOSDUWUPZPA-CQSZACIVSA-N 0 0 425.554 -0.313 20 0 IBADRN COc1cc(C)ccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000572315334 1072184325 /nfs/dbraw/zinc/18/43/25/1072184325.db2.gz INFBCKOSOIBEJD-UHFFFAOYSA-N 0 0 432.477 -0.851 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3nc(-c4cccc(F)c4)no3)CC2)c1=O ZINC000572319422 1072184304 /nfs/dbraw/zinc/18/43/04/1072184304.db2.gz USIQQSJPOJAYMX-UHFFFAOYSA-N 0 0 441.423 -0.066 20 0 IBADRN CC(C)Oc1cc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)ccn1 ZINC000572319826 1072184480 /nfs/dbraw/zinc/18/44/80/1072184480.db2.gz HASXRBLXRVFFHI-KRWDZBQOSA-N 0 0 447.536 -0.124 20 0 IBADRN CC(C)Oc1cc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)ccn1 ZINC000572319827 1072184442 /nfs/dbraw/zinc/18/44/42/1072184442.db2.gz HASXRBLXRVFFHI-QGZVFWFLSA-N 0 0 447.536 -0.124 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)C1 ZINC000572348591 1072471007 /nfs/dbraw/zinc/47/10/07/1072471007.db2.gz YYNFYGKYQDYPBE-GDBMZVCRSA-N 0 0 439.538 -0.537 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)C1 ZINC000572348592 1072471066 /nfs/dbraw/zinc/47/10/66/1072471066.db2.gz YYNFYGKYQDYPBE-GOEBONIOSA-N 0 0 439.538 -0.537 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)C1 ZINC000572348593 1072471105 /nfs/dbraw/zinc/47/11/05/1072471105.db2.gz YYNFYGKYQDYPBE-HOCLYGCPSA-N 0 0 439.538 -0.537 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)C1 ZINC000572348594 1072471059 /nfs/dbraw/zinc/47/10/59/1072471059.db2.gz YYNFYGKYQDYPBE-ZBFHGGJFSA-N 0 0 439.538 -0.537 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000572351058 1072471117 /nfs/dbraw/zinc/47/11/17/1072471117.db2.gz FHYZNJCKOYMJMG-MSOLQXFVSA-N 0 0 440.541 -0.920 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000572351059 1072471047 /nfs/dbraw/zinc/47/10/47/1072471047.db2.gz FHYZNJCKOYMJMG-QZTJIDSGSA-N 0 0 440.541 -0.920 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000572351060 1072471072 /nfs/dbraw/zinc/47/10/72/1072471072.db2.gz FHYZNJCKOYMJMG-ROUUACIJSA-N 0 0 440.541 -0.920 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000572351061 1072471132 /nfs/dbraw/zinc/47/11/32/1072471132.db2.gz FHYZNJCKOYMJMG-ZWKOTPCHSA-N 0 0 440.541 -0.920 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000572351440 1072471085 /nfs/dbraw/zinc/47/10/85/1072471085.db2.gz XQXDAAIBSPXHNA-UHFFFAOYSA-N 0 0 438.554 -0.445 20 0 IBADRN O=C(N[C@H]1CCCN(c2ccccc2F)C1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000572373059 1072471025 /nfs/dbraw/zinc/47/10/25/1072471025.db2.gz PQRNCRGIOYXJEA-HNNXBMFYSA-N 0 0 444.471 -0.732 20 0 IBADRN O=C(N[C@@H]1CCCN(c2ccccc2F)C1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000572373060 1072471580 /nfs/dbraw/zinc/47/15/80/1072471580.db2.gz PQRNCRGIOYXJEA-OAHLLOKOSA-N 0 0 444.471 -0.732 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000572377300 1072184919 /nfs/dbraw/zinc/18/49/19/1072184919.db2.gz ZHJSJMWRGBKWCH-INIZCTEOSA-N 0 0 441.506 -0.803 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000572377301 1072184955 /nfs/dbraw/zinc/18/49/55/1072184955.db2.gz ZHJSJMWRGBKWCH-MRXNPFEDSA-N 0 0 441.506 -0.803 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1=O ZINC000572382253 1072471591 /nfs/dbraw/zinc/47/15/91/1072471591.db2.gz OQRULWGXUNWORF-UHFFFAOYSA-N 0 0 428.442 -0.421 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(Cn2cncn2)CC1 ZINC000572384073 1072471626 /nfs/dbraw/zinc/47/16/26/1072471626.db2.gz YWIJQSMSMPQUNU-UHFFFAOYSA-N 0 0 441.540 -0.365 20 0 IBADRN CN(Cc1cc[nH]n1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000572384924 1072471449 /nfs/dbraw/zinc/47/14/49/1072471449.db2.gz TZXUURPOYKWGGZ-UHFFFAOYSA-N 0 0 435.510 -0.969 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccn(Cc3ccccc3)n2)C1 ZINC000572387013 1073309334 /nfs/dbraw/zinc/30/93/34/1073309334.db2.gz FXDBAHYXIGIPSD-MRXNPFEDSA-N 0 0 434.522 0.257 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCCN2CCOC[C@@H]2C)C1=O ZINC000572387020 1072471465 /nfs/dbraw/zinc/47/14/65/1072471465.db2.gz GLEYCAXEQCQKRW-GXTWGEPZSA-N 0 0 438.506 -0.407 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCCN2CCOC[C@@H]2C)C1=O ZINC000572387021 1072471536 /nfs/dbraw/zinc/47/15/36/1072471536.db2.gz GLEYCAXEQCQKRW-JSGCOSHPSA-N 0 0 438.506 -0.407 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCCN2CCOC[C@H]2C)C1=O ZINC000572387022 1072471643 /nfs/dbraw/zinc/47/16/43/1072471643.db2.gz GLEYCAXEQCQKRW-OCCSQVGLSA-N 0 0 438.506 -0.407 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCCN2CCOC[C@H]2C)C1=O ZINC000572387023 1072471573 /nfs/dbraw/zinc/47/15/73/1072471573.db2.gz GLEYCAXEQCQKRW-TZMCWYRMSA-N 0 0 438.506 -0.407 20 0 IBADRN O=S(=O)(CC1CCOCC1)NCCN(CCO)S(=O)(=O)CC1CCOCC1 ZINC000572387119 1072471498 /nfs/dbraw/zinc/47/14/98/1072471498.db2.gz LKKUMGMAZQDXIP-UHFFFAOYSA-N 0 0 428.573 -0.617 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(C(=O)c3ccccn3)CC1)CC2 ZINC000572388130 1072471504 /nfs/dbraw/zinc/47/15/04/1072471504.db2.gz MQTNRIUTJSMLAR-AWEZNQCLSA-N 0 0 427.465 -0.765 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(C(=O)c3ccccn3)CC1)CC2 ZINC000572388131 1072471516 /nfs/dbraw/zinc/47/15/16/1072471516.db2.gz MQTNRIUTJSMLAR-CQSZACIVSA-N 0 0 427.465 -0.765 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N(C)C3)CC2)cs1 ZINC000572390611 1072471521 /nfs/dbraw/zinc/47/15/21/1072471521.db2.gz OZEJZPHHBCBWHE-GFCCVEGCSA-N 0 0 429.524 -0.979 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCC(=O)N(C)C3)CC2)cs1 ZINC000572390612 1072471440 /nfs/dbraw/zinc/47/14/40/1072471440.db2.gz OZEJZPHHBCBWHE-LBPRGKRZSA-N 0 0 429.524 -0.979 20 0 IBADRN COc1ccccc1O[C@@H](C)CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000572394209 1072184524 /nfs/dbraw/zinc/18/45/24/1072184524.db2.gz RARJERLACWEKEZ-KRWDZBQOSA-N 0 0 448.520 -0.418 20 0 IBADRN COc1ccccc1O[C@H](C)CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000572394210 1072185041 /nfs/dbraw/zinc/18/50/41/1072185041.db2.gz RARJERLACWEKEZ-QGZVFWFLSA-N 0 0 448.520 -0.418 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3Cl)C2)CC1)NC1CC1 ZINC000572395179 1072471527 /nfs/dbraw/zinc/47/15/27/1072471527.db2.gz DQYPHFCSEJYNAE-HNNXBMFYSA-N 0 0 434.928 -0.147 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3Cl)C2)CC1)NC1CC1 ZINC000572395181 1072471432 /nfs/dbraw/zinc/47/14/32/1072471432.db2.gz DQYPHFCSEJYNAE-OAHLLOKOSA-N 0 0 434.928 -0.147 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(c3ccc(F)cc3Cl)CC2)CC1 ZINC000572397351 1072184880 /nfs/dbraw/zinc/18/48/80/1072184880.db2.gz VCWZIPMMDRHRPF-UHFFFAOYSA-N 0 0 449.874 -0.016 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2ccc(F)c(F)c2F)CC1 ZINC000572399469 1072185019 /nfs/dbraw/zinc/18/50/19/1072185019.db2.gz KECQAYDWLZKCFK-UHFFFAOYSA-N 0 0 442.438 -0.234 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)C2=O)c1 ZINC000572401876 1072184903 /nfs/dbraw/zinc/18/49/03/1072184903.db2.gz MBGKRUOVYWNRMM-CRAIPNDOSA-N 0 0 432.477 -0.532 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)C2=O)c1 ZINC000572401877 1072184973 /nfs/dbraw/zinc/18/49/73/1072184973.db2.gz MBGKRUOVYWNRMM-MAUKXSAKSA-N 0 0 432.477 -0.532 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)C2=O)c1 ZINC000572401878 1072185034 /nfs/dbraw/zinc/18/50/34/1072185034.db2.gz MBGKRUOVYWNRMM-QAPCUYQASA-N 0 0 432.477 -0.532 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)C2=O)c1 ZINC000572401879 1072184965 /nfs/dbraw/zinc/18/49/65/1072184965.db2.gz MBGKRUOVYWNRMM-YJBOKZPZSA-N 0 0 432.477 -0.532 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC(c3nncn3C)CC2)s1 ZINC000572401890 1072185011 /nfs/dbraw/zinc/18/50/11/1072185011.db2.gz MSGNSVKELIUJIQ-UHFFFAOYSA-N 0 0 440.551 -0.014 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000572402007 1072471619 /nfs/dbraw/zinc/47/16/19/1072471619.db2.gz RDHXJRHLEPJDQC-UHFFFAOYSA-N 0 0 436.534 -0.132 20 0 IBADRN CCc1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000572405065 1072471477 /nfs/dbraw/zinc/47/14/77/1072471477.db2.gz KGNIZJWIXNLZOI-HNNXBMFYSA-N 0 0 447.521 -0.280 20 0 IBADRN CCc1nc2n(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000572405066 1072471491 /nfs/dbraw/zinc/47/14/91/1072471491.db2.gz KGNIZJWIXNLZOI-OAHLLOKOSA-N 0 0 447.521 -0.280 20 0 IBADRN CC(=O)N1CCN(c2cc(-c3noc(CN4CCN(S(C)(=O)=O)CC4)n3)ccn2)CC1 ZINC000572405084 1072472050 /nfs/dbraw/zinc/47/20/50/1072472050.db2.gz KZMAZIUAXFOYMT-UHFFFAOYSA-N 0 0 449.537 -0.123 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)(N2CCOCC2)C1 ZINC000572409515 1072184940 /nfs/dbraw/zinc/18/49/40/1072184940.db2.gz QGRIQBJNEZOIGU-MPQSDHOMSA-N 0 0 426.558 -0.448 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)(N2CCOCC2)C1 ZINC000572409516 1072184998 /nfs/dbraw/zinc/18/49/98/1072184998.db2.gz QGRIQBJNEZOIGU-XPQZIERPSA-N 0 0 426.558 -0.448 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCN(c4ncc(F)cn4)CC3)nc2n(C)c1=O ZINC000572415445 1073353983 /nfs/dbraw/zinc/35/39/83/1073353983.db2.gz RITXECDMIMLLQV-UHFFFAOYSA-N 0 0 442.455 0.269 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)nn1-c1ccccc1 ZINC000572416688 1073323662 /nfs/dbraw/zinc/32/36/62/1073323662.db2.gz YMLXCDAMJKXSNF-UHFFFAOYSA-N 0 0 434.522 0.460 20 0 IBADRN Cc1cccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)n1 ZINC000572417353 1072472281 /nfs/dbraw/zinc/47/22/81/1072472281.db2.gz KKFFODFALWRCFU-UHFFFAOYSA-N 0 0 426.539 -0.052 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCN2CCc3ccccc3C2)CC1 ZINC000572427801 1072472142 /nfs/dbraw/zinc/47/21/42/1072472142.db2.gz ACQJQWALFSVYHC-KRWDZBQOSA-N 0 0 427.549 -0.028 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCN2CCc3ccccc3C2)CC1 ZINC000572427802 1072472327 /nfs/dbraw/zinc/47/23/27/1072472327.db2.gz ACQJQWALFSVYHC-QGZVFWFLSA-N 0 0 427.549 -0.028 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000572427855 1072472258 /nfs/dbraw/zinc/47/22/58/1072472258.db2.gz CNZCHWCAXWKJRR-UHFFFAOYSA-N 0 0 445.520 -0.992 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000572428199 1072472109 /nfs/dbraw/zinc/47/21/09/1072472109.db2.gz QVEIMYUJXSQGNN-KRWDZBQOSA-N 0 0 438.550 -0.503 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000572428200 1072472353 /nfs/dbraw/zinc/47/23/53/1072472353.db2.gz QVEIMYUJXSQGNN-QGZVFWFLSA-N 0 0 438.550 -0.503 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)CC1)NCc1ccccc1 ZINC000572429457 1072472060 /nfs/dbraw/zinc/47/20/60/1072472060.db2.gz ROJPMSIVKYKERG-UHFFFAOYSA-N 0 0 439.520 -0.309 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)NCCN3CCN(c4ncccn4)CC3)C[C@@H]2C(N)=O)cn1 ZINC000572431850 1072472205 /nfs/dbraw/zinc/47/22/05/1072472205.db2.gz FGKJAEMSAILDFF-SJORKVTESA-N 0 0 427.513 -0.757 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)CCC(N)=O)CC2)cc1 ZINC000572432044 1072472241 /nfs/dbraw/zinc/47/22/41/1072472241.db2.gz PPVXSOZXIBSNKW-UHFFFAOYSA-N 0 0 440.522 -0.358 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C[C@@]2(CCCOC2)O1 ZINC000572437364 1072472196 /nfs/dbraw/zinc/47/21/96/1072472196.db2.gz ABVPXUFNWFLJPM-VWKPWSFCSA-N 0 0 433.509 -0.176 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C[C@@]2(CCCOC2)O1 ZINC000572437365 1072472093 /nfs/dbraw/zinc/47/20/93/1072472093.db2.gz ABVPXUFNWFLJPM-WHSLLNHNSA-N 0 0 433.509 -0.176 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C[C@]2(CCCOC2)O1 ZINC000572437366 1072472320 /nfs/dbraw/zinc/47/23/20/1072472320.db2.gz ABVPXUFNWFLJPM-XFQAVAEZSA-N 0 0 433.509 -0.176 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C[C@]2(CCCOC2)O1 ZINC000572437367 1072472187 /nfs/dbraw/zinc/47/21/87/1072472187.db2.gz ABVPXUFNWFLJPM-ZOCZFRKYSA-N 0 0 433.509 -0.176 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000572439222 1072472126 /nfs/dbraw/zinc/47/21/26/1072472126.db2.gz PYHYHEJUAKCZBP-HNNXBMFYSA-N 0 0 446.551 -0.874 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000572439223 1072472177 /nfs/dbraw/zinc/47/21/77/1072472177.db2.gz PYHYHEJUAKCZBP-OAHLLOKOSA-N 0 0 446.551 -0.874 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000572439471 1072472343 /nfs/dbraw/zinc/47/23/43/1072472343.db2.gz MMAKVWVFBCUMHW-UHFFFAOYSA-N 0 0 426.476 -0.042 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N1Cc2ccccc2C[C@H]1C(=O)OC ZINC000572440620 1072472829 /nfs/dbraw/zinc/47/28/29/1072472829.db2.gz FJJGRFXMMFQUIE-IBGZPJMESA-N 0 0 433.505 -0.080 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)OC ZINC000572440621 1072472962 /nfs/dbraw/zinc/47/29/62/1072472962.db2.gz FJJGRFXMMFQUIE-LJQANCHMSA-N 0 0 433.505 -0.080 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)C2=O)c1 ZINC000572440786 1072473030 /nfs/dbraw/zinc/47/30/30/1072473030.db2.gz LOBVPMMAXXRVHG-ABAIWWIYSA-N 0 0 427.479 -0.525 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)C2=O)c1 ZINC000572440787 1072472980 /nfs/dbraw/zinc/47/29/80/1072472980.db2.gz LOBVPMMAXXRVHG-IAQYHMDHSA-N 0 0 427.479 -0.525 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)C2=O)c1 ZINC000572440788 1072472999 /nfs/dbraw/zinc/47/29/99/1072472999.db2.gz LOBVPMMAXXRVHG-NHYWBVRUSA-N 0 0 427.479 -0.525 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)C2=O)c1 ZINC000572440789 1072473017 /nfs/dbraw/zinc/47/30/17/1072473017.db2.gz LOBVPMMAXXRVHG-XHDPSFHLSA-N 0 0 427.479 -0.525 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(c2cccs2)CC1 ZINC000572441626 1072472815 /nfs/dbraw/zinc/47/28/15/1072472815.db2.gz RBXXSEINBRNEGG-UHFFFAOYSA-N 0 0 427.508 -0.380 20 0 IBADRN COCCC1(C)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000572441682 1072473033 /nfs/dbraw/zinc/47/30/33/1072473033.db2.gz TYBRAHGEOLFAOZ-UHFFFAOYSA-N 0 0 438.506 -0.369 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cnn(-c2ncccn2)c1 ZINC000572441759 1072472994 /nfs/dbraw/zinc/47/29/94/1072472994.db2.gz WKEWRVMOGTZZRS-AWEZNQCLSA-N 0 0 436.498 -0.622 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cnn(-c2ncccn2)c1 ZINC000572441760 1072472804 /nfs/dbraw/zinc/47/28/04/1072472804.db2.gz WKEWRVMOGTZZRS-CQSZACIVSA-N 0 0 436.498 -0.622 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)CCC1 ZINC000572444979 1072472971 /nfs/dbraw/zinc/47/29/71/1072472971.db2.gz NJAKZQLWCGTYEY-AWEZNQCLSA-N 0 0 428.497 -0.416 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)CCC1 ZINC000572444980 1072473014 /nfs/dbraw/zinc/47/30/14/1072473014.db2.gz NJAKZQLWCGTYEY-CQSZACIVSA-N 0 0 428.497 -0.416 20 0 IBADRN O=C(Cn1nnn(C2CC2)c1=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000572446409 1072472868 /nfs/dbraw/zinc/47/28/68/1072472868.db2.gz SPYSKCMSYKWDSF-UHFFFAOYSA-N 0 0 428.421 -0.414 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000572446999 1072472756 /nfs/dbraw/zinc/47/27/56/1072472756.db2.gz QVUNQIYZEWTXLN-UHFFFAOYSA-N 0 0 427.527 -0.274 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000572448641 1072472892 /nfs/dbraw/zinc/47/28/92/1072472892.db2.gz JZARRRFNAGZTQH-MSOLQXFVSA-N 0 0 434.541 -0.468 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000572448642 1072472920 /nfs/dbraw/zinc/47/29/20/1072472920.db2.gz JZARRRFNAGZTQH-QZTJIDSGSA-N 0 0 434.541 -0.468 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000572448643 1072472784 /nfs/dbraw/zinc/47/27/84/1072472784.db2.gz JZARRRFNAGZTQH-ROUUACIJSA-N 0 0 434.541 -0.468 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000572448644 1072472950 /nfs/dbraw/zinc/47/29/50/1072472950.db2.gz JZARRRFNAGZTQH-ZWKOTPCHSA-N 0 0 434.541 -0.468 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000572448693 1072473640 /nfs/dbraw/zinc/47/36/40/1072473640.db2.gz MPGVWRWWLMDNRM-UHFFFAOYSA-N 0 0 446.482 -0.234 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000572448742 1073328261 /nfs/dbraw/zinc/32/82/61/1073328261.db2.gz OZHUFURZQVPDBM-UHFFFAOYSA-N 0 0 445.432 0.114 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCn3cnnc3C2)N2CCOCC2)cc1OC ZINC000572465212 1072473560 /nfs/dbraw/zinc/47/35/60/1072473560.db2.gz IGZVTOWLLUTPPX-INIZCTEOSA-N 0 0 444.492 -0.173 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCn3cnnc3C2)N2CCOCC2)cc1OC ZINC000572465213 1072473469 /nfs/dbraw/zinc/47/34/69/1072473469.db2.gz IGZVTOWLLUTPPX-MRXNPFEDSA-N 0 0 444.492 -0.173 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1)oc(=O)n2C ZINC000572470406 1072473483 /nfs/dbraw/zinc/47/34/83/1072473483.db2.gz GOGHVJWVFNVFPN-CYBMUJFWSA-N 0 0 439.494 -0.153 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1)oc(=O)n2C ZINC000572470407 1072473531 /nfs/dbraw/zinc/47/35/31/1072473531.db2.gz GOGHVJWVFNVFPN-ZDUSSCGKSA-N 0 0 439.494 -0.153 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)C1 ZINC000572471204 1072473499 /nfs/dbraw/zinc/47/34/99/1072473499.db2.gz KRCOJGNQRASURB-AWEZNQCLSA-N 0 0 443.501 -0.786 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)C1 ZINC000572471205 1072473574 /nfs/dbraw/zinc/47/35/74/1072473574.db2.gz KRCOJGNQRASURB-CQSZACIVSA-N 0 0 443.501 -0.786 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000572471238 1072473475 /nfs/dbraw/zinc/47/34/75/1072473475.db2.gz MISYMNNMTTXCLU-UHFFFAOYSA-N 0 0 426.495 -0.319 20 0 IBADRN O=S(=O)(CC[C@@H]1CCOC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000572500652 1072473599 /nfs/dbraw/zinc/47/35/99/1072473599.db2.gz IZWQPOSDGSYYEI-INIZCTEOSA-N 0 0 432.568 -0.121 20 0 IBADRN O=S(=O)(CC[C@H]1CCOC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000572500653 1072473621 /nfs/dbraw/zinc/47/36/21/1072473621.db2.gz IZWQPOSDGSYYEI-MRXNPFEDSA-N 0 0 432.568 -0.121 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCCNS(=O)(=O)c2ccc(F)c(Cl)c2)nn1 ZINC000572501552 1072473449 /nfs/dbraw/zinc/47/34/49/1072473449.db2.gz SMKHUACYUXJFLC-UHFFFAOYSA-N 0 0 432.865 -0.133 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCCCN3CCOCC3)CC2)CC1 ZINC000572501620 1072473688 /nfs/dbraw/zinc/47/36/88/1072473688.db2.gz WUKKPUJSHVGYGT-UHFFFAOYSA-N 0 0 437.585 -0.382 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(OC[C@@H]2CCOC2)CC1 ZINC000572502975 1072473543 /nfs/dbraw/zinc/47/35/43/1072473543.db2.gz FWESNDNCFSYNAC-GOSISDBHSA-N 0 0 446.552 -0.241 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(OC[C@H]2CCOC2)CC1 ZINC000572502976 1072473678 /nfs/dbraw/zinc/47/36/78/1072473678.db2.gz FWESNDNCFSYNAC-SFHVURJKSA-N 0 0 446.552 -0.241 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)c1 ZINC000572503575 1072473458 /nfs/dbraw/zinc/47/34/58/1072473458.db2.gz AFVADCPXYNEEDO-CHWSQXEVSA-N 0 0 425.511 -0.321 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)c1 ZINC000572503576 1072473682 /nfs/dbraw/zinc/47/36/82/1072473682.db2.gz AFVADCPXYNEEDO-OLZOCXBDSA-N 0 0 425.511 -0.321 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)c1 ZINC000572503577 1072473650 /nfs/dbraw/zinc/47/36/50/1072473650.db2.gz AFVADCPXYNEEDO-QWHCGFSZSA-N 0 0 425.511 -0.321 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)c1 ZINC000572503578 1072473585 /nfs/dbraw/zinc/47/35/85/1072473585.db2.gz AFVADCPXYNEEDO-STQMWFEESA-N 0 0 425.511 -0.321 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)on1 ZINC000572503680 1072473507 /nfs/dbraw/zinc/47/35/07/1072473507.db2.gz GQJHZSJWSAXNFM-UHFFFAOYSA-N 0 0 431.449 -0.583 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCc3cccc(N4CCOCC4)n3)CC2)cn1 ZINC000572509588 1072474355 /nfs/dbraw/zinc/47/43/55/1072474355.db2.gz QMFLLTXFRJMMQA-UHFFFAOYSA-N 0 0 427.509 -0.388 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccn(C(C)C)n2)C1 ZINC000572509666 1072474224 /nfs/dbraw/zinc/47/42/24/1072474224.db2.gz UMSFVXZAKKAJOV-INIZCTEOSA-N 0 0 429.543 -0.021 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccn(C(C)C)n2)C1 ZINC000572509667 1072474347 /nfs/dbraw/zinc/47/43/47/1072474347.db2.gz UMSFVXZAKKAJOV-MRXNPFEDSA-N 0 0 429.543 -0.021 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CCCC1 ZINC000572509695 1072474247 /nfs/dbraw/zinc/47/42/47/1072474247.db2.gz VKBSPHYCTDXWEJ-HNNXBMFYSA-N 0 0 432.525 -0.208 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CCCC1 ZINC000572509696 1072474116 /nfs/dbraw/zinc/47/41/16/1072474116.db2.gz VKBSPHYCTDXWEJ-OAHLLOKOSA-N 0 0 432.525 -0.208 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cccc1-n1cnnn1 ZINC000572512012 1072474160 /nfs/dbraw/zinc/47/41/60/1072474160.db2.gz USVJIXHFCWWHFZ-CYBMUJFWSA-N 0 0 436.498 -0.704 20 0 IBADRN COCCOc1cc(C)ccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000572515668 1072474323 /nfs/dbraw/zinc/47/43/23/1072474323.db2.gz MVMRWGXWHSWYAU-UHFFFAOYSA-N 0 0 446.504 -0.414 20 0 IBADRN CCCCn1cc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c(C)n1 ZINC000572521754 1072474399 /nfs/dbraw/zinc/47/43/99/1072474399.db2.gz OLMOOTQBVKYTJT-GOSISDBHSA-N 0 0 448.568 -0.001 20 0 IBADRN CCCCn1cc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c(C)n1 ZINC000572521757 1072474136 /nfs/dbraw/zinc/47/41/36/1072474136.db2.gz OLMOOTQBVKYTJT-SFHVURJKSA-N 0 0 448.568 -0.001 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN([C@@H](C)C(=O)N4CCCC4)CC3)CC2)n[nH]1 ZINC000572526364 1072198517 /nfs/dbraw/zinc/19/85/17/1072198517.db2.gz DCCIIFGXHOTKGI-KRWDZBQOSA-N 0 0 445.568 -0.042 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN([C@H](C)C(=O)N4CCCC4)CC3)CC2)n[nH]1 ZINC000572526366 1072198624 /nfs/dbraw/zinc/19/86/24/1072198624.db2.gz DCCIIFGXHOTKGI-QGZVFWFLSA-N 0 0 445.568 -0.042 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000572530328 1072198615 /nfs/dbraw/zinc/19/86/15/1072198615.db2.gz UGHJMFLKEROECT-UHFFFAOYSA-N 0 0 442.925 -0.089 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1 ZINC000572532379 1072198580 /nfs/dbraw/zinc/19/85/80/1072198580.db2.gz LLINQHSVFDVJIS-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000572534369 1072198471 /nfs/dbraw/zinc/19/84/71/1072198471.db2.gz ZCKRHOZYDWHDDS-UHFFFAOYSA-N 0 0 440.566 -0.077 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC(C)(C)C)c1 ZINC000572534464 1072198366 /nfs/dbraw/zinc/19/83/66/1072198366.db2.gz DBYWWYRHHGVCLU-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)N2CCOCC2)cc1 ZINC000572546383 1072474404 /nfs/dbraw/zinc/47/44/04/1072474404.db2.gz YRQYGTSGVKECKR-DLBZAZTESA-N 0 0 440.522 -0.926 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)N2CCOCC2)cc1 ZINC000572546384 1072474342 /nfs/dbraw/zinc/47/43/42/1072474342.db2.gz YRQYGTSGVKECKR-IAGOWNOFSA-N 0 0 440.522 -0.926 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)N2CCOCC2)cc1 ZINC000572546385 1072474079 /nfs/dbraw/zinc/47/40/79/1072474079.db2.gz YRQYGTSGVKECKR-IRXDYDNUSA-N 0 0 440.522 -0.926 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)N2CCOCC2)cc1 ZINC000572546386 1073019612 /nfs/dbraw/zinc/01/96/12/1073019612.db2.gz YRQYGTSGVKECKR-SJORKVTESA-N 0 0 440.522 -0.926 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000572546624 1072474365 /nfs/dbraw/zinc/47/43/65/1072474365.db2.gz GWQLGNWKCAUBQS-AWEZNQCLSA-N 0 0 434.415 -0.331 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CCOCC1 ZINC000572553788 1073022634 /nfs/dbraw/zinc/02/26/34/1073022634.db2.gz COHVOCYMJCKTFI-UHFFFAOYSA-N 0 0 427.479 -0.039 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)CO[C@@H]3CCOC3)CC2)cs1 ZINC000572557103 1073023631 /nfs/dbraw/zinc/02/36/31/1073023631.db2.gz HYYMYELIVXRPRP-GXTWGEPZSA-N 0 0 446.551 -0.405 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)CO[C@H]3CCOC3)CC2)cs1 ZINC000572557104 1073023606 /nfs/dbraw/zinc/02/36/06/1073023606.db2.gz HYYMYELIVXRPRP-JSGCOSHPSA-N 0 0 446.551 -0.405 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)CO[C@H]3CCOC3)CC2)cs1 ZINC000572557105 1073023780 /nfs/dbraw/zinc/02/37/80/1073023780.db2.gz HYYMYELIVXRPRP-OCCSQVGLSA-N 0 0 446.551 -0.405 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)CO[C@@H]3CCOC3)CC2)cs1 ZINC000572557106 1073023794 /nfs/dbraw/zinc/02/37/94/1073023794.db2.gz HYYMYELIVXRPRP-TZMCWYRMSA-N 0 0 446.551 -0.405 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000572559526 1072474236 /nfs/dbraw/zinc/47/42/36/1072474236.db2.gz LZAWUECRGYXGDA-CYBMUJFWSA-N 0 0 439.494 -0.267 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000572559527 1072474206 /nfs/dbraw/zinc/47/42/06/1072474206.db2.gz LZAWUECRGYXGDA-ZDUSSCGKSA-N 0 0 439.494 -0.267 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(c4ncccc4C(N)=O)CC3)CC2)n[nH]1 ZINC000572563377 1072474738 /nfs/dbraw/zinc/47/47/38/1072474738.db2.gz XLGHXBPUMPBCSC-UHFFFAOYSA-N 0 0 440.508 -0.354 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)C1=O ZINC000572563901 1072474881 /nfs/dbraw/zinc/47/48/81/1072474881.db2.gz QOTRBLNIETUBFB-KBPBESRZSA-N 0 0 440.526 -0.945 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)C1=O ZINC000572563902 1072474791 /nfs/dbraw/zinc/47/47/91/1072474791.db2.gz QOTRBLNIETUBFB-KGLIPLIRSA-N 0 0 440.526 -0.945 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)C1=O ZINC000572563903 1072474918 /nfs/dbraw/zinc/47/49/18/1072474918.db2.gz QOTRBLNIETUBFB-UONOGXRCSA-N 0 0 440.526 -0.945 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)C1=O ZINC000572563904 1072474978 /nfs/dbraw/zinc/47/49/78/1072474978.db2.gz QOTRBLNIETUBFB-ZIAGYGMSSA-N 0 0 440.526 -0.945 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2cccnc2)cc1 ZINC000572565921 1073029938 /nfs/dbraw/zinc/02/99/38/1073029938.db2.gz QIWPQMKDHFCZEG-UHFFFAOYSA-N 0 0 442.519 -0.399 20 0 IBADRN COc1ccc(Cn2ccc(=NC(=O)C(=O)N=c3ccn(CC(=O)N(C)C)[nH]3)[nH]2)cc1 ZINC000572567538 1072474777 /nfs/dbraw/zinc/47/47/77/1072474777.db2.gz HTPTXOBLBJIOJV-UHFFFAOYSA-N 0 0 425.449 -0.354 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000572567599 1073030198 /nfs/dbraw/zinc/03/01/98/1073030198.db2.gz KEMMSFOYDGNOOH-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000572567634 1073030372 /nfs/dbraw/zinc/03/03/72/1073030372.db2.gz LXBKQAGVRVFGPA-INIZCTEOSA-N 0 0 448.505 -0.031 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000572567635 1073030401 /nfs/dbraw/zinc/03/04/01/1073030401.db2.gz LXBKQAGVRVFGPA-MRXNPFEDSA-N 0 0 448.505 -0.031 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CNS(=O)(=O)c3ccccc3)CC2)cn1 ZINC000572567861 1072474990 /nfs/dbraw/zinc/47/49/90/1072474990.db2.gz WYYOYYLAXDILNA-UHFFFAOYSA-N 0 0 441.535 -0.332 20 0 IBADRN CCN(Cc1nnc(C)o1)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccccc2F)C[C@H]1O ZINC000572568799 1073031649 /nfs/dbraw/zinc/03/16/49/1073031649.db2.gz DEDSYUVKNLBSBM-HUUCEWRRSA-N 0 0 441.485 -0.111 20 0 IBADRN CCN(Cc1nnc(C)o1)[C@H]1CN(C(=O)CNS(=O)(=O)c2ccccc2F)C[C@H]1O ZINC000572568800 1073031594 /nfs/dbraw/zinc/03/15/94/1073031594.db2.gz DEDSYUVKNLBSBM-LSDHHAIUSA-N 0 0 441.485 -0.111 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1 ZINC000572569739 1073031503 /nfs/dbraw/zinc/03/15/03/1073031503.db2.gz HHKJVELBPWLBCG-DAYGRLMNSA-N 0 0 436.461 -0.174 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1 ZINC000572569743 1073031722 /nfs/dbraw/zinc/03/17/22/1073031722.db2.gz HHKJVELBPWLBCG-HDMKZQKVSA-N 0 0 436.461 -0.174 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)C1 ZINC000572569745 1072474758 /nfs/dbraw/zinc/47/47/58/1072474758.db2.gz HHKJVELBPWLBCG-IIDMSEBBSA-N 0 0 436.461 -0.174 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)C1 ZINC000572569746 1072474834 /nfs/dbraw/zinc/47/48/34/1072474834.db2.gz HHKJVELBPWLBCG-RKVPGOIHSA-N 0 0 436.461 -0.174 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)c2ccc(C(=O)N3CCN(C(=O)N(C)C)CC3)nc2)CC1 ZINC000572576015 1073035394 /nfs/dbraw/zinc/03/53/94/1073035394.db2.gz KMFQOMSXNLRSSS-UHFFFAOYSA-N 0 0 445.524 -0.040 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000572583777 1073037626 /nfs/dbraw/zinc/03/76/26/1073037626.db2.gz MLYVQBVEBJMMRH-UHFFFAOYSA-N 0 0 435.515 -0.540 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000572610130 1072198414 /nfs/dbraw/zinc/19/84/14/1072198414.db2.gz JHAOZOXDCNTLDK-UHFFFAOYSA-N 0 0 431.537 -0.457 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000572611515 1072474855 /nfs/dbraw/zinc/47/48/55/1072474855.db2.gz BTHXEAQNMGBHPP-INIZCTEOSA-N 0 0 442.480 -0.793 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000572611516 1072474984 /nfs/dbraw/zinc/47/49/84/1072474984.db2.gz BTHXEAQNMGBHPP-MRXNPFEDSA-N 0 0 442.480 -0.793 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000572611661 1073320945 /nfs/dbraw/zinc/32/09/45/1073320945.db2.gz NCQATSXEQBNMGH-UHFFFAOYSA-N 0 0 425.442 -0.202 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)c1 ZINC000572622317 1072474838 /nfs/dbraw/zinc/47/48/38/1072474838.db2.gz SWIDBXVWCFWNEQ-UHFFFAOYSA-N 0 0 439.520 -0.437 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000572623481 1072474951 /nfs/dbraw/zinc/47/49/51/1072474951.db2.gz FYUHJJICIXCVMR-UHFFFAOYSA-N 0 0 432.431 -0.122 20 0 IBADRN Nc1nc2c(s1)C[C@@H](NC(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC000572625969 1073362557 /nfs/dbraw/zinc/36/25/57/1073362557.db2.gz SWGIIGILYNIUSS-LBPRGKRZSA-N 0 0 435.531 0.133 20 0 IBADRN Cc1nc(CN2CCC(CNC(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)oc1C ZINC000572627121 1072474805 /nfs/dbraw/zinc/47/48/05/1072474805.db2.gz KZVKEZLYEWNLBZ-UHFFFAOYSA-N 0 0 443.508 -0.044 20 0 IBADRN CN(C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000572627953 1072474935 /nfs/dbraw/zinc/47/49/35/1072474935.db2.gz PDQUTKGWWOGFOY-GFCCVEGCSA-N 0 0 436.581 -0.142 20 0 IBADRN CN(C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000572627956 1072475517 /nfs/dbraw/zinc/47/55/17/1072475517.db2.gz PDQUTKGWWOGFOY-LBPRGKRZSA-N 0 0 436.581 -0.142 20 0 IBADRN COCCCN(Cc1ccncc1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000572628453 1072200715 /nfs/dbraw/zinc/20/07/15/1072200715.db2.gz FODMJWSGJBCOEK-UHFFFAOYSA-N 0 0 444.579 -0.059 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)ccc1-n1cnnn1 ZINC000572631622 1072200883 /nfs/dbraw/zinc/20/08/83/1072200883.db2.gz ZJZNZEASGYIKPW-BFUOFWGJSA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)ccc1-n1cnnn1 ZINC000572631623 1072200654 /nfs/dbraw/zinc/20/06/54/1072200654.db2.gz ZJZNZEASGYIKPW-DJJJIMSYSA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)ccc1-n1cnnn1 ZINC000572631624 1072200736 /nfs/dbraw/zinc/20/07/36/1072200736.db2.gz ZJZNZEASGYIKPW-ORAYPTAESA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)ccc1-n1cnnn1 ZINC000572631625 1072200700 /nfs/dbraw/zinc/20/07/00/1072200700.db2.gz ZJZNZEASGYIKPW-YJYMSZOUSA-N 0 0 426.437 -0.112 20 0 IBADRN CCC(CC)c1cc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)on1 ZINC000572633463 1072200944 /nfs/dbraw/zinc/20/09/44/1072200944.db2.gz PCWNADQSLCSJOE-UHFFFAOYSA-N 0 0 447.496 -0.317 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000572637442 1072200342 /nfs/dbraw/zinc/20/03/42/1072200342.db2.gz HGXJVJJNBSCNJV-HNNXBMFYSA-N 0 0 426.495 -0.774 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000572637443 1072200291 /nfs/dbraw/zinc/20/02/91/1072200291.db2.gz HGXJVJJNBSCNJV-OAHLLOKOSA-N 0 0 426.495 -0.774 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccnc(N(C)C)n2)CC1 ZINC000572640264 1072475576 /nfs/dbraw/zinc/47/55/76/1072475576.db2.gz DWABKMBEAYFLCK-UHFFFAOYSA-N 0 0 442.542 -0.942 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCc3nc(COC)nn3C2)C1 ZINC000572644298 1072200277 /nfs/dbraw/zinc/20/02/77/1072200277.db2.gz GFTDPPNPKAXFJZ-JLTOFOAXSA-N 0 0 437.497 -0.326 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@H]2CCc3nc(COC)nn3C2)C1 ZINC000572644299 1072200033 /nfs/dbraw/zinc/20/00/33/1072200033.db2.gz GFTDPPNPKAXFJZ-VBKZILBWSA-N 0 0 437.497 -0.326 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCc3nc(COC)nn3C2)C1 ZINC000572644300 1072200225 /nfs/dbraw/zinc/20/02/25/1072200225.db2.gz GFTDPPNPKAXFJZ-VLIAUNLRSA-N 0 0 437.497 -0.326 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@H]2CCc3nc(COC)nn3C2)C1 ZINC000572644301 1072200176 /nfs/dbraw/zinc/20/01/76/1072200176.db2.gz GFTDPPNPKAXFJZ-XOBRGWDASA-N 0 0 437.497 -0.326 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)CC1 ZINC000572648333 1072475607 /nfs/dbraw/zinc/47/56/07/1072475607.db2.gz YFEBHUYPNKWMTN-APWZRJJASA-N 0 0 425.493 -0.092 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(CC(C)(C)O)C[C@@H]3C)C2)nc1 ZINC000572654823 1072200072 /nfs/dbraw/zinc/20/00/72/1072200072.db2.gz NFOBHDQALHWEPO-HNNXBMFYSA-N 0 0 447.536 -0.133 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(CC(C)(C)O)C[C@H]3C)C2)nc1 ZINC000572654824 1072200308 /nfs/dbraw/zinc/20/03/08/1072200308.db2.gz NFOBHDQALHWEPO-OAHLLOKOSA-N 0 0 447.536 -0.133 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(c3ccc(C(N)=O)cc3)CC2)c(=O)[nH]c1=O ZINC000572657364 1072475479 /nfs/dbraw/zinc/47/54/79/1072475479.db2.gz DREHXVFMHBFGGS-UHFFFAOYSA-N 0 0 430.465 -0.719 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(Cn3cncn3)cc1)C2 ZINC000572658627 1072200260 /nfs/dbraw/zinc/20/02/60/1072200260.db2.gz ZPHCBWDJTBWGPF-UHFFFAOYSA-N 0 0 439.480 -0.502 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCn2c(Cc3ccccc3)nnc2C1)S(C)(=O)=O ZINC000572667868 1072200873 /nfs/dbraw/zinc/20/08/73/1072200873.db2.gz QAGJYTRZKMBKLH-UHFFFAOYSA-N 0 0 448.549 -0.001 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC(F)(c3cccnc3)CC2)n1)N1CCOCC1 ZINC000572667869 1072200806 /nfs/dbraw/zinc/20/08/06/1072200806.db2.gz QAHRLAMRCXYQHK-UHFFFAOYSA-N 0 0 444.467 0.563 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000572668428 1072200861 /nfs/dbraw/zinc/20/08/61/1072200861.db2.gz NBIKBGNKPKGOQB-GOSISDBHSA-N 0 0 449.533 -0.493 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000572668429 1072200834 /nfs/dbraw/zinc/20/08/34/1072200834.db2.gz NBIKBGNKPKGOQB-SFHVURJKSA-N 0 0 449.533 -0.493 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cc1 ZINC000572669207 1072475631 /nfs/dbraw/zinc/47/56/31/1072475631.db2.gz NWQAEECCVFEYDU-OAHLLOKOSA-N 0 0 445.563 -0.014 20 0 IBADRN Cc1nn(Cc2ccccc2)c(N)c1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000572669286 1072475595 /nfs/dbraw/zinc/47/55/95/1072475595.db2.gz RDQCKWKJBCWUQQ-UHFFFAOYSA-N 0 0 438.492 -0.076 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(Cc2ccco2)CC1 ZINC000572670387 1072475585 /nfs/dbraw/zinc/47/55/85/1072475585.db2.gz JOJYUKRWZZSTGU-UHFFFAOYSA-N 0 0 430.552 -0.581 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000572672359 1072475503 /nfs/dbraw/zinc/47/55/03/1072475503.db2.gz ZEPBCCVTGAQJKH-AWEZNQCLSA-N 0 0 432.524 -0.073 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000572672360 1072475534 /nfs/dbraw/zinc/47/55/34/1072475534.db2.gz ZEPBCCVTGAQJKH-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1C ZINC000572682488 1072200641 /nfs/dbraw/zinc/20/06/41/1072200641.db2.gz HKIUCZUOUQOKKF-UHFFFAOYSA-N 0 0 446.551 -0.275 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](Cc2c[nH]c3cc(F)ccc23)NC(C)=O)CC1 ZINC000572682595 1072200848 /nfs/dbraw/zinc/20/08/48/1072200848.db2.gz MGUWNLMKISSVPC-KRWDZBQOSA-N 0 0 425.486 -0.037 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](Cc2c[nH]c3cc(F)ccc23)NC(C)=O)CC1 ZINC000572682596 1072200933 /nfs/dbraw/zinc/20/09/33/1072200933.db2.gz MGUWNLMKISSVPC-QGZVFWFLSA-N 0 0 425.486 -0.037 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000572685128 1072475523 /nfs/dbraw/zinc/47/55/23/1072475523.db2.gz CZCOKFGLFZSUGA-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)CCO3)CC1 ZINC000572687104 1072475496 /nfs/dbraw/zinc/47/54/96/1072475496.db2.gz OJTYVDIZOBQYLX-UHFFFAOYSA-N 0 0 425.511 -0.206 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)cn1C ZINC000572688247 1072475622 /nfs/dbraw/zinc/47/56/22/1072475622.db2.gz MENNFFVETAQCBV-UHFFFAOYSA-N 0 0 429.524 -0.331 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000572703931 1072200890 /nfs/dbraw/zinc/20/08/90/1072200890.db2.gz YLJBXVFWSNLPNI-GOSISDBHSA-N 0 0 431.537 -0.588 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000572703932 1072200768 /nfs/dbraw/zinc/20/07/68/1072200768.db2.gz YLJBXVFWSNLPNI-SFHVURJKSA-N 0 0 431.537 -0.588 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000572704220 1072475636 /nfs/dbraw/zinc/47/56/36/1072475636.db2.gz VTWLBWHDIZZZBA-UHFFFAOYSA-N 0 0 445.523 -0.109 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000572707599 1072476164 /nfs/dbraw/zinc/47/61/64/1072476164.db2.gz NNTMZKGARNDZIF-INIZCTEOSA-N 0 0 430.513 -0.328 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000572707600 1072475998 /nfs/dbraw/zinc/47/59/98/1072475998.db2.gz NNTMZKGARNDZIF-MRXNPFEDSA-N 0 0 430.513 -0.328 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(S(C)(=O)=O)c(C(F)(F)F)c1)S(N)(=O)=O ZINC000572708081 1072475463 /nfs/dbraw/zinc/47/54/63/1072475463.db2.gz IILOLASQJPBQDU-MRVPVSSYSA-N 0 0 445.441 -0.482 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(S(C)(=O)=O)c(C(F)(F)F)c1)S(N)(=O)=O ZINC000572708082 1072475491 /nfs/dbraw/zinc/47/54/91/1072475491.db2.gz IILOLASQJPBQDU-QMMMGPOBSA-N 0 0 445.441 -0.482 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)CC2)no1 ZINC000572709685 1072201232 /nfs/dbraw/zinc/20/12/32/1072201232.db2.gz MKQNTPDLNAPLPL-KRWDZBQOSA-N 0 0 443.508 -0.332 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)CC2)no1 ZINC000572709686 1072201280 /nfs/dbraw/zinc/20/12/80/1072201280.db2.gz MKQNTPDLNAPLPL-QGZVFWFLSA-N 0 0 443.508 -0.332 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)CN1CCOCC1 ZINC000572709869 1072200623 /nfs/dbraw/zinc/20/06/23/1072200623.db2.gz WBVCSZFDBFMCEP-IBGZPJMESA-N 0 0 440.522 -0.853 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)CN1CCOCC1 ZINC000572709870 1072201268 /nfs/dbraw/zinc/20/12/68/1072201268.db2.gz WBVCSZFDBFMCEP-LJQANCHMSA-N 0 0 440.522 -0.853 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(CCCc2nc(-c3cccs3)no2)CC1 ZINC000572734909 1072201298 /nfs/dbraw/zinc/20/12/98/1072201298.db2.gz BYKCBKAJLSJQPT-UHFFFAOYSA-N 0 0 428.540 -0.071 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@H]1CN(C(=O)C(=O)NCc2nnc3ccccn32)CCO1 ZINC000572735228 1072201484 /nfs/dbraw/zinc/20/14/84/1072201484.db2.gz RKPRLVFUXHBJLZ-HNNXBMFYSA-N 0 0 446.508 -0.154 20 0 IBADRN CN(CC(=O)OC(C)(C)C)C[C@@H]1CN(C(=O)C(=O)NCc2nnc3ccccn32)CCO1 ZINC000572735231 1072201324 /nfs/dbraw/zinc/20/13/24/1072201324.db2.gz RKPRLVFUXHBJLZ-OAHLLOKOSA-N 0 0 446.508 -0.154 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000572738744 1072201378 /nfs/dbraw/zinc/20/13/78/1072201378.db2.gz RTIYIECAQRGELI-UHFFFAOYSA-N 0 0 448.563 -0.054 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1=O ZINC000572739368 1072476134 /nfs/dbraw/zinc/47/61/34/1072476134.db2.gz HFZKVLGPADXETL-UHFFFAOYSA-N 0 0 428.442 -0.520 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000572740325 1072476148 /nfs/dbraw/zinc/47/61/48/1072476148.db2.gz QHGSVZXAHZIFOF-CPUCHLNUSA-N 0 0 442.538 -0.145 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000572740326 1072476119 /nfs/dbraw/zinc/47/61/19/1072476119.db2.gz QHGSVZXAHZIFOF-VBQJREDUSA-N 0 0 442.538 -0.145 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000572740327 1072476175 /nfs/dbraw/zinc/47/61/75/1072476175.db2.gz QHGSVZXAHZIFOF-ZQIUZPCESA-N 0 0 442.538 -0.145 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2cc(Cl)c3c(c2)OCCO3)CC1 ZINC000572741053 1072476099 /nfs/dbraw/zinc/47/60/99/1072476099.db2.gz JUPQHZNUKOHBDW-UHFFFAOYSA-N 0 0 449.855 -0.915 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)N1CCOCC1 ZINC000572741274 1072476036 /nfs/dbraw/zinc/47/60/36/1072476036.db2.gz TYPQKHIMGIYCKS-KRWDZBQOSA-N 0 0 432.525 -0.698 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)N1CCOCC1 ZINC000572741275 1072476181 /nfs/dbraw/zinc/47/61/81/1072476181.db2.gz TYPQKHIMGIYCKS-QGZVFWFLSA-N 0 0 432.525 -0.698 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)Nc2c(C(=O)OC)cnn2C)C1=O ZINC000572741402 1072476113 /nfs/dbraw/zinc/47/61/13/1072476113.db2.gz AUKJKSLNPABETH-LLVKDONJSA-N 0 0 449.445 -0.085 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)Nc2c(C(=O)OC)cnn2C)C1=O ZINC000572741403 1072476056 /nfs/dbraw/zinc/47/60/56/1072476056.db2.gz AUKJKSLNPABETH-NSHDSACASA-N 0 0 449.445 -0.085 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000572743720 1072201287 /nfs/dbraw/zinc/20/12/87/1072201287.db2.gz LIAVNTRMQFSFSP-BFHYXJOUSA-N 0 0 433.552 -0.905 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000572743721 1072201220 /nfs/dbraw/zinc/20/12/20/1072201220.db2.gz LIAVNTRMQFSFSP-MCIONIFRSA-N 0 0 433.552 -0.905 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000572743722 1072201399 /nfs/dbraw/zinc/20/13/99/1072201399.db2.gz LIAVNTRMQFSFSP-MGPQQGTHSA-N 0 0 433.552 -0.905 20 0 IBADRN O=C(N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000572743723 1072201489 /nfs/dbraw/zinc/20/14/89/1072201489.db2.gz LIAVNTRMQFSFSP-MJBXVCDLSA-N 0 0 433.552 -0.905 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C1 ZINC000572744713 1072476092 /nfs/dbraw/zinc/47/60/92/1072476092.db2.gz MFQOEOSXQPJPAO-INIZCTEOSA-N 0 0 445.563 -0.224 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C1 ZINC000572744714 1072476016 /nfs/dbraw/zinc/47/60/16/1072476016.db2.gz MFQOEOSXQPJPAO-MRXNPFEDSA-N 0 0 445.563 -0.224 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCCCS(=O)(=O)c3ccccc3)CC2)n1 ZINC000572744905 1073363769 /nfs/dbraw/zinc/36/37/69/1073363769.db2.gz VYMUXIRRPVJAPB-UHFFFAOYSA-N 0 0 438.535 -0.251 20 0 IBADRN CN(C)c1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n1 ZINC000572755685 1072476796 /nfs/dbraw/zinc/47/67/96/1072476796.db2.gz HKCXBEFPRMQTJJ-UHFFFAOYSA-N 0 0 432.506 -0.308 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000572756816 1072476869 /nfs/dbraw/zinc/47/68/69/1072476869.db2.gz CONLLCCMRRBJPK-UHFFFAOYSA-N 0 0 431.537 -0.275 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCC(C(=O)Nc2nncs2)CC1 ZINC000572763418 1072477013 /nfs/dbraw/zinc/47/70/13/1072477013.db2.gz LLZAPTLNYTVUKK-GFCCVEGCSA-N 0 0 444.539 -0.745 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)C1=O ZINC000572765076 1072476762 /nfs/dbraw/zinc/47/67/62/1072476762.db2.gz CDJCHURKDDZMFD-CVEARBPZSA-N 0 0 448.524 -0.390 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)C1=O ZINC000572765077 1072477029 /nfs/dbraw/zinc/47/70/29/1072477029.db2.gz CDJCHURKDDZMFD-HOTGVXAUSA-N 0 0 448.524 -0.390 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)C1=O ZINC000572765078 1072476993 /nfs/dbraw/zinc/47/69/93/1072476993.db2.gz CDJCHURKDDZMFD-HZPDHXFCSA-N 0 0 448.524 -0.390 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)C1=O ZINC000572765079 1072476905 /nfs/dbraw/zinc/47/69/05/1072476905.db2.gz CDJCHURKDDZMFD-JKSUJKDBSA-N 0 0 448.524 -0.390 20 0 IBADRN CN1CN(C(=O)COc2cn(-c3ccccc3)nc2C(=O)N2CC(=O)N(C)C2)CC1=O ZINC000572767513 1072201971 /nfs/dbraw/zinc/20/19/71/1072201971.db2.gz OFEXTZODBOGCSP-UHFFFAOYSA-N 0 0 426.433 -0.619 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)C)cc1)C(=O)N(C)C ZINC000572767810 1072201832 /nfs/dbraw/zinc/20/18/32/1072201832.db2.gz VKKMRFSVOLBXDU-RYUDHWBXSA-N 0 0 434.540 -0.803 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCc2cccc(S(N)(=O)=O)c2)cc1OC ZINC000572767857 1072201989 /nfs/dbraw/zinc/20/19/89/1072201989.db2.gz XMUINCGKRWCGHL-UHFFFAOYSA-N 0 0 443.503 -0.054 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC(NC(=O)c3ccc(F)cc3)CC2)CC1 ZINC000572771663 1072202491 /nfs/dbraw/zinc/20/24/91/1072202491.db2.gz PPNLXRKGOUGARS-UHFFFAOYSA-N 0 0 447.511 -0.173 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)C3CCOCC3)c2)CC1=O ZINC000572786375 1072476722 /nfs/dbraw/zinc/47/67/22/1072476722.db2.gz ZGVYURQOOXSNEV-UHFFFAOYSA-N 0 0 439.490 -0.148 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000572788137 1072476812 /nfs/dbraw/zinc/47/68/12/1072476812.db2.gz MJZCWZKGQDRCOS-INIZCTEOSA-N 0 0 440.570 -0.292 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000572788138 1072476978 /nfs/dbraw/zinc/47/69/78/1072476978.db2.gz MJZCWZKGQDRCOS-MRXNPFEDSA-N 0 0 440.570 -0.292 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC[C@](O)(C(F)(F)F)C1)N1CCN(c2ccccc2)CC1 ZINC000572788676 1072201897 /nfs/dbraw/zinc/20/18/97/1072201897.db2.gz GNMCGAGFFTULKH-GOSISDBHSA-N 0 0 428.411 -0.023 20 0 IBADRN O=C(CNC(=O)C(=O)N1CC[C@@](O)(C(F)(F)F)C1)N1CCN(c2ccccc2)CC1 ZINC000572788677 1072202004 /nfs/dbraw/zinc/20/20/04/1072202004.db2.gz GNMCGAGFFTULKH-SFHVURJKSA-N 0 0 428.411 -0.023 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](CS(C)(=O)=O)C2)c1 ZINC000572789880 1072476931 /nfs/dbraw/zinc/47/69/31/1072476931.db2.gz BSNODMOVWUIPOW-CYBMUJFWSA-N 0 0 431.536 -0.440 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](CS(C)(=O)=O)C2)c1 ZINC000572789881 1072476743 /nfs/dbraw/zinc/47/67/43/1072476743.db2.gz BSNODMOVWUIPOW-ZDUSSCGKSA-N 0 0 431.536 -0.440 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)[C@H](C)C1 ZINC000572790004 1072476948 /nfs/dbraw/zinc/47/69/48/1072476948.db2.gz HBWJZSYNKYDWMM-CQSZACIVSA-N 0 0 439.581 -0.350 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCOCC4)n3)CC2)n1 ZINC000572790511 1072476888 /nfs/dbraw/zinc/47/68/88/1072476888.db2.gz DUNWMSDKJYFCKZ-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1)NCc1ccccc1 ZINC000572790918 1072201929 /nfs/dbraw/zinc/20/19/29/1072201929.db2.gz UVNBQOUNHLZPFT-GOSISDBHSA-N 0 0 441.532 -0.426 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1)NCc1ccccc1 ZINC000572790919 1072202008 /nfs/dbraw/zinc/20/20/08/1072202008.db2.gz UVNBQOUNHLZPFT-SFHVURJKSA-N 0 0 441.532 -0.426 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N[C@@H](CC)C(=O)N3CCOCC3)CC2)ncn1 ZINC000572793729 1072201821 /nfs/dbraw/zinc/20/18/21/1072201821.db2.gz RKHXCZCQKLEDJO-KRWDZBQOSA-N 0 0 432.525 -0.122 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N[C@H](CC)C(=O)N3CCOCC3)CC2)ncn1 ZINC000572793730 1072201919 /nfs/dbraw/zinc/20/19/19/1072201919.db2.gz RKHXCZCQKLEDJO-QGZVFWFLSA-N 0 0 432.525 -0.122 20 0 IBADRN O=C(NCc1nc(C2CC2)cs1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000572796455 1072201847 /nfs/dbraw/zinc/20/18/47/1072201847.db2.gz KRGOGLYYWHHFCG-UHFFFAOYSA-N 0 0 435.506 -0.834 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cnn(CC(F)(F)F)c2)C1 ZINC000572796720 1072477286 /nfs/dbraw/zinc/47/72/86/1072477286.db2.gz VZZJRNZVFZAVPY-GFCCVEGCSA-N 0 0 425.433 -0.010 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cnn(CC(F)(F)F)c2)C1 ZINC000572796721 1072477454 /nfs/dbraw/zinc/47/74/54/1072477454.db2.gz VZZJRNZVFZAVPY-LBPRGKRZSA-N 0 0 425.433 -0.010 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1nc(C(F)(F)F)cs1 ZINC000572797417 1072477326 /nfs/dbraw/zinc/47/73/26/1072477326.db2.gz VEXHJWRVRWGNKX-SECBINFHSA-N 0 0 446.415 -0.303 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1nc(C(F)(F)F)cs1 ZINC000572797418 1072477408 /nfs/dbraw/zinc/47/74/08/1072477408.db2.gz VEXHJWRVRWGNKX-VIFPVBQESA-N 0 0 446.415 -0.303 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000572797468 1072477499 /nfs/dbraw/zinc/47/74/99/1072477499.db2.gz XUGFCGMZVCZISC-BBRMVZONSA-N 0 0 440.447 -0.662 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000572797469 1072477480 /nfs/dbraw/zinc/47/74/80/1072477480.db2.gz XUGFCGMZVCZISC-CJNGLKHVSA-N 0 0 440.447 -0.662 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000572797470 1072477436 /nfs/dbraw/zinc/47/74/36/1072477436.db2.gz XUGFCGMZVCZISC-CZUORRHYSA-N 0 0 440.447 -0.662 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000572797471 1072477357 /nfs/dbraw/zinc/47/73/57/1072477357.db2.gz XUGFCGMZVCZISC-XJKSGUPXSA-N 0 0 440.447 -0.662 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)C2)nc1 ZINC000572800289 1072477421 /nfs/dbraw/zinc/47/74/21/1072477421.db2.gz TZEDEDXFRHINSI-UHFFFAOYSA-N 0 0 431.493 -0.456 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c2)CC1 ZINC000572806654 1072477461 /nfs/dbraw/zinc/47/74/61/1072477461.db2.gz QTXQDHROQIQNBU-UHFFFAOYSA-N 0 0 425.511 -0.699 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1-n1nnnc1C ZINC000572806673 1072477580 /nfs/dbraw/zinc/47/75/80/1072477580.db2.gz SDMBEOICIMFYLM-AWEZNQCLSA-N 0 0 428.453 -0.020 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1-n1nnnc1C ZINC000572806674 1072477554 /nfs/dbraw/zinc/47/75/54/1072477554.db2.gz SDMBEOICIMFYLM-CQSZACIVSA-N 0 0 428.453 -0.020 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000572825268 1072201999 /nfs/dbraw/zinc/20/19/99/1072201999.db2.gz MGBLKJNHGUGGOZ-SECBINFHSA-N 0 0 438.247 -0.225 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000572825269 1072201957 /nfs/dbraw/zinc/20/19/57/1072201957.db2.gz MGBLKJNHGUGGOZ-VIFPVBQESA-N 0 0 438.247 -0.225 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000572827755 1072201873 /nfs/dbraw/zinc/20/18/73/1072201873.db2.gz HEKLKSZKKGLPJA-AWEZNQCLSA-N 0 0 430.552 -0.093 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000572827756 1072201859 /nfs/dbraw/zinc/20/18/59/1072201859.db2.gz HEKLKSZKKGLPJA-CQSZACIVSA-N 0 0 430.552 -0.093 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)C1=O ZINC000572829093 1072201985 /nfs/dbraw/zinc/20/19/85/1072201985.db2.gz SSEJCSNJFREGBM-CVEARBPZSA-N 0 0 442.524 -0.454 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)C1=O ZINC000572829094 1072201797 /nfs/dbraw/zinc/20/17/97/1072201797.db2.gz SSEJCSNJFREGBM-HOTGVXAUSA-N 0 0 442.524 -0.454 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)C1=O ZINC000572829095 1072201944 /nfs/dbraw/zinc/20/19/44/1072201944.db2.gz SSEJCSNJFREGBM-HZPDHXFCSA-N 0 0 442.524 -0.454 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)C1=O ZINC000572829096 1072201809 /nfs/dbraw/zinc/20/18/09/1072201809.db2.gz SSEJCSNJFREGBM-JKSUJKDBSA-N 0 0 442.524 -0.454 20 0 IBADRN Cc1ccc(OCCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C)c1 ZINC000572860697 1072478275 /nfs/dbraw/zinc/47/82/75/1072478275.db2.gz SKIDIQCERLGQPJ-UHFFFAOYSA-N 0 0 432.521 -0.199 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1)CC2 ZINC000572860861 1072477380 /nfs/dbraw/zinc/47/73/80/1072477380.db2.gz BMKUBRFMSFKXHM-AWEZNQCLSA-N 0 0 432.456 -0.151 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1)CC2 ZINC000572860862 1072478270 /nfs/dbraw/zinc/47/82/70/1072478270.db2.gz BMKUBRFMSFKXHM-CQSZACIVSA-N 0 0 432.456 -0.151 20 0 IBADRN COC(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@@H](C)C1 ZINC000572866079 1072203226 /nfs/dbraw/zinc/20/32/26/1072203226.db2.gz QKWCYELPPXBJAW-AWEZNQCLSA-N 0 0 428.511 -0.255 20 0 IBADRN COC(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)[C@H](C)C1 ZINC000572866080 1072202869 /nfs/dbraw/zinc/20/28/69/1072202869.db2.gz QKWCYELPPXBJAW-CQSZACIVSA-N 0 0 428.511 -0.255 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)C1 ZINC000572868709 1072203274 /nfs/dbraw/zinc/20/32/74/1072203274.db2.gz NTMDNEDMGUEMQF-LLVKDONJSA-N 0 0 432.449 -0.110 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)C1 ZINC000572868710 1072202846 /nfs/dbraw/zinc/20/28/46/1072202846.db2.gz NTMDNEDMGUEMQF-NSHDSACASA-N 0 0 432.449 -0.110 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cccc1-n1cnnn1 ZINC000572870637 1072203269 /nfs/dbraw/zinc/20/32/69/1072203269.db2.gz AHPRXFOEPQZLJY-CYBMUJFWSA-N 0 0 433.494 -0.018 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)[C@H](C)C1 ZINC000572873260 1072478321 /nfs/dbraw/zinc/47/83/21/1072478321.db2.gz KLVUPXYKCZPVAF-CABCVRRESA-N 0 0 434.497 -0.954 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)[C@@H](C)C1 ZINC000572873261 1072478197 /nfs/dbraw/zinc/47/81/97/1072478197.db2.gz KLVUPXYKCZPVAF-GJZGRUSLSA-N 0 0 434.497 -0.954 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)[C@H](C)C1 ZINC000572873262 1072478258 /nfs/dbraw/zinc/47/82/58/1072478258.db2.gz KLVUPXYKCZPVAF-HUUCEWRRSA-N 0 0 434.497 -0.954 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)[C@@H](C)C1 ZINC000572873263 1072478058 /nfs/dbraw/zinc/47/80/58/1072478058.db2.gz KLVUPXYKCZPVAF-LSDHHAIUSA-N 0 0 434.497 -0.954 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000572875939 1072203174 /nfs/dbraw/zinc/20/31/74/1072203174.db2.gz IOUSRAGOYDIQIX-MSOLQXFVSA-N 0 0 445.568 -0.340 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000572875940 1072202801 /nfs/dbraw/zinc/20/28/01/1072202801.db2.gz IOUSRAGOYDIQIX-QZTJIDSGSA-N 0 0 445.568 -0.340 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000572875941 1072203128 /nfs/dbraw/zinc/20/31/28/1072203128.db2.gz IOUSRAGOYDIQIX-ROUUACIJSA-N 0 0 445.568 -0.340 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000572875942 1072203723 /nfs/dbraw/zinc/20/37/23/1072203723.db2.gz IOUSRAGOYDIQIX-ZWKOTPCHSA-N 0 0 445.568 -0.340 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC3(C2)Oc2ccccc2O3)[C@H](O)[C@@H]1O ZINC000572876112 1072203215 /nfs/dbraw/zinc/20/32/15/1072203215.db2.gz PMGRZRITFVVOCZ-NVQRDWNXSA-N 0 0 427.417 -0.184 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)NCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000572877311 1072478244 /nfs/dbraw/zinc/47/82/44/1072478244.db2.gz UIXICQRKFKCFFL-GHMZBOCLSA-N 0 0 430.483 -0.402 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000572877312 1072478315 /nfs/dbraw/zinc/47/83/15/1072478315.db2.gz UIXICQRKFKCFFL-MNOVXSKESA-N 0 0 430.483 -0.402 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000572877313 1072478184 /nfs/dbraw/zinc/47/81/84/1072478184.db2.gz UIXICQRKFKCFFL-QWRGUYRKSA-N 0 0 430.483 -0.402 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000572877314 1072478239 /nfs/dbraw/zinc/47/82/39/1072478239.db2.gz UIXICQRKFKCFFL-WDEREUQCSA-N 0 0 430.483 -0.402 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCn2cc(CO)nn2)cc1 ZINC000572887817 1072202824 /nfs/dbraw/zinc/20/28/24/1072202824.db2.gz WOGYFCAXFYIDMK-UHFFFAOYSA-N 0 0 438.510 -0.054 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@@H](C)O1 ZINC000572889009 1072203111 /nfs/dbraw/zinc/20/31/11/1072203111.db2.gz UYMYWSLMVOUNHH-BYDUFCQCSA-N 0 0 446.552 -0.044 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@H](C)O1 ZINC000572889010 1072203188 /nfs/dbraw/zinc/20/31/88/1072203188.db2.gz UYMYWSLMVOUNHH-FHHNZLSQSA-N 0 0 446.552 -0.044 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@H](C)O1 ZINC000572889011 1072203089 /nfs/dbraw/zinc/20/30/89/1072203089.db2.gz UYMYWSLMVOUNHH-HOXSDCPRSA-N 0 0 446.552 -0.044 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C[C@@H](C)O1 ZINC000572889012 1072203067 /nfs/dbraw/zinc/20/30/67/1072203067.db2.gz UYMYWSLMVOUNHH-YEDLOKONSA-N 0 0 446.552 -0.044 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](Cc2c[nH]cn2)NC(=O)CC(C)C)CC1 ZINC000572894725 1072202950 /nfs/dbraw/zinc/20/29/50/1072202950.db2.gz VDDNOVFMYJYUMW-KRWDZBQOSA-N 0 0 443.570 -0.006 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H](Cc2c[nH]cn2)NC(=O)CC(C)C)CC1 ZINC000572894728 1072203138 /nfs/dbraw/zinc/20/31/38/1072203138.db2.gz VDDNOVFMYJYUMW-QGZVFWFLSA-N 0 0 443.570 -0.006 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCCCn1cc(CO)nn1 ZINC000572896326 1072203246 /nfs/dbraw/zinc/20/32/46/1072203246.db2.gz ZRKKELBIVHLJNQ-UHFFFAOYSA-N 0 0 437.522 -0.070 20 0 IBADRN O=C(Nc1cn(Cc2ccccc2)nn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000572902072 1072203256 /nfs/dbraw/zinc/20/32/56/1072203256.db2.gz FIUKPEZDDKRQLZ-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN O=C(NCCc1ccc(F)cc1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000572905922 1072478305 /nfs/dbraw/zinc/47/83/05/1072478305.db2.gz LJJHZVASGGMHFZ-UHFFFAOYSA-N 0 0 438.431 -0.847 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)NCCCS(=O)(=O)c3ccccc3)C[C@H]2CO)cn1 ZINC000572907287 1072478733 /nfs/dbraw/zinc/47/87/33/1072478733.db2.gz JFQHEJKBSFLSDW-WMZOPIPTSA-N 0 0 434.518 -0.065 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)s1 ZINC000572909289 1072478812 /nfs/dbraw/zinc/47/88/12/1072478812.db2.gz KWZKXTWCLPTGKN-GFCCVEGCSA-N 0 0 428.536 -0.422 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)s1 ZINC000572909290 1072478866 /nfs/dbraw/zinc/47/88/66/1072478866.db2.gz KWZKXTWCLPTGKN-LBPRGKRZSA-N 0 0 428.536 -0.422 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000572909448 1072478860 /nfs/dbraw/zinc/47/88/60/1072478860.db2.gz SOYJBDBYCXRASV-UHFFFAOYSA-N 0 0 449.537 -0.263 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCN(C(=O)C2CCCCC2)C1 ZINC000572911874 1072478680 /nfs/dbraw/zinc/47/86/80/1072478680.db2.gz BMPJCJVAEBUPMJ-HZPDHXFCSA-N 0 0 428.555 -0.176 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCN(C(=O)C2CCCCC2)C1 ZINC000572911875 1072478775 /nfs/dbraw/zinc/47/87/75/1072478775.db2.gz BMPJCJVAEBUPMJ-JKSUJKDBSA-N 0 0 428.555 -0.176 20 0 IBADRN Cn1cc(C[C@@H]2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)C2)cn1 ZINC000572914167 1072478781 /nfs/dbraw/zinc/47/87/81/1072478781.db2.gz DTIZRXUHJHGRGT-DOTOQJQBSA-N 0 0 427.509 -0.148 20 0 IBADRN Cn1cc(C[C@H]2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)C2)cn1 ZINC000572914168 1072478803 /nfs/dbraw/zinc/47/88/03/1072478803.db2.gz DTIZRXUHJHGRGT-NVXWUHKLSA-N 0 0 427.509 -0.148 20 0 IBADRN Cn1cc(C[C@@H]2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)C2)cn1 ZINC000572914169 1072478769 /nfs/dbraw/zinc/47/87/69/1072478769.db2.gz DTIZRXUHJHGRGT-RDJZCZTQSA-N 0 0 427.509 -0.148 20 0 IBADRN Cn1cc(C[C@H]2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)C2)cn1 ZINC000572914170 1072478870 /nfs/dbraw/zinc/47/88/70/1072478870.db2.gz DTIZRXUHJHGRGT-WBVHZDCISA-N 0 0 427.509 -0.148 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC[C@@H]2CCCn3cc(C)nc32)CC1 ZINC000572915891 1072478821 /nfs/dbraw/zinc/47/88/21/1072478821.db2.gz IYCRPMZPAAQASL-MSOLQXFVSA-N 0 0 448.568 -0.129 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC[C@H]2CCCn3cc(C)nc32)CC1 ZINC000572915892 1072478828 /nfs/dbraw/zinc/47/88/28/1072478828.db2.gz IYCRPMZPAAQASL-QZTJIDSGSA-N 0 0 448.568 -0.129 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NC[C@@H]2CCCn3cc(C)nc32)CC1 ZINC000572915893 1072478726 /nfs/dbraw/zinc/47/87/26/1072478726.db2.gz IYCRPMZPAAQASL-ROUUACIJSA-N 0 0 448.568 -0.129 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NC[C@H]2CCCn3cc(C)nc32)CC1 ZINC000572915894 1072478654 /nfs/dbraw/zinc/47/86/54/1072478654.db2.gz IYCRPMZPAAQASL-ZWKOTPCHSA-N 0 0 448.568 -0.129 20 0 IBADRN CCCN(C(=O)CN1CC[C@@H](CO)[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000572916517 1072478874 /nfs/dbraw/zinc/47/88/74/1072478874.db2.gz GKLFERXRHQHUCS-DLBZAZTESA-N 0 0 445.520 -0.003 20 0 IBADRN CCCN(C(=O)CN1CC[C@H](CO)[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000572916518 1072478697 /nfs/dbraw/zinc/47/86/97/1072478697.db2.gz GKLFERXRHQHUCS-IAGOWNOFSA-N 0 0 445.520 -0.003 20 0 IBADRN CCCN(C(=O)CN1CC[C@@H](CO)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000572916519 1072478786 /nfs/dbraw/zinc/47/87/86/1072478786.db2.gz GKLFERXRHQHUCS-IRXDYDNUSA-N 0 0 445.520 -0.003 20 0 IBADRN CCCN(C(=O)CN1CC[C@H](CO)[C@@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000572916520 1072478846 /nfs/dbraw/zinc/47/88/46/1072478846.db2.gz GKLFERXRHQHUCS-SJORKVTESA-N 0 0 445.520 -0.003 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000572918211 1072479556 /nfs/dbraw/zinc/47/95/56/1072479556.db2.gz OTIJZUWEODNRKV-GFCCVEGCSA-N 0 0 430.483 -0.600 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000572918212 1072479387 /nfs/dbraw/zinc/47/93/87/1072479387.db2.gz OTIJZUWEODNRKV-LBPRGKRZSA-N 0 0 430.483 -0.600 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3cccnn3)CC2)cn1 ZINC000572918751 1072479549 /nfs/dbraw/zinc/47/95/49/1072479549.db2.gz IQIBLWMEGYGSFD-QAPCUYQASA-N 0 0 426.481 -0.522 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCCN(Cc2cscn2)CC1 ZINC000572920878 1072479613 /nfs/dbraw/zinc/47/96/13/1072479613.db2.gz PFDZQDWHSKZUIP-OAHLLOKOSA-N 0 0 429.568 -0.282 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000572923076 1072479602 /nfs/dbraw/zinc/47/96/02/1072479602.db2.gz JUFVBWLRVYFZLJ-UHFFFAOYSA-N 0 0 425.471 -0.735 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3nc4c(s3)CCC4)CC2)CC1 ZINC000572940724 1072214100 /nfs/dbraw/zinc/21/41/00/1072214100.db2.gz MFEACICUVIXYHF-UHFFFAOYSA-N 0 0 442.567 -0.793 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000572942259 1072214076 /nfs/dbraw/zinc/21/40/76/1072214076.db2.gz FDHCHISBHGQVJA-UHFFFAOYSA-N 0 0 446.551 -0.634 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(Cc4nccn4C)CC3)C2=O)n1 ZINC000572948054 1072214225 /nfs/dbraw/zinc/21/42/25/1072214225.db2.gz LMWQCTFUCWRBCA-HNNXBMFYSA-N 0 0 428.497 -0.890 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(Cc4nccn4C)CC3)C2=O)n1 ZINC000572948055 1072214195 /nfs/dbraw/zinc/21/41/95/1072214195.db2.gz LMWQCTFUCWRBCA-OAHLLOKOSA-N 0 0 428.497 -0.890 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCn3nc(C)nc3C2)CC1 ZINC000572968757 1072214210 /nfs/dbraw/zinc/21/42/10/1072214210.db2.gz WLSGLCCLDZRMQE-UHFFFAOYSA-N 0 0 425.493 -0.086 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)[C@@H]1CC)Cc1ccccc1 ZINC000572984025 1072214112 /nfs/dbraw/zinc/21/41/12/1072214112.db2.gz LMZHDUIEAVFICN-KDURUIRLSA-N 0 0 443.548 -0.037 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)[C@@H]1CC)Cc1ccccc1 ZINC000572984026 1072214250 /nfs/dbraw/zinc/21/42/50/1072214250.db2.gz LMZHDUIEAVFICN-OALUTQOASA-N 0 0 443.548 -0.037 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)[C@H]1CC)Cc1ccccc1 ZINC000572984027 1072214186 /nfs/dbraw/zinc/21/41/86/1072214186.db2.gz LMZHDUIEAVFICN-RTBURBONSA-N 0 0 443.548 -0.037 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H](C)CCNS(=O)(=O)c1ccccc1 ZINC000572987829 1072479470 /nfs/dbraw/zinc/47/94/70/1072479470.db2.gz JWAOYDSDWSSAQC-CYBMUJFWSA-N 0 0 427.570 -0.398 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H](C)CCNS(=O)(=O)c1ccccc1 ZINC000572987830 1072479563 /nfs/dbraw/zinc/47/95/63/1072479563.db2.gz JWAOYDSDWSSAQC-ZDUSSCGKSA-N 0 0 427.570 -0.398 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)NC(C)C)C1 ZINC000572990376 1072479542 /nfs/dbraw/zinc/47/95/42/1072479542.db2.gz WJTNAMNLWGBPJQ-INIZCTEOSA-N 0 0 439.538 -0.340 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)NC(C)C)C1 ZINC000572990377 1072479488 /nfs/dbraw/zinc/47/94/88/1072479488.db2.gz WJTNAMNLWGBPJQ-MRXNPFEDSA-N 0 0 439.538 -0.340 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)c1 ZINC000572991900 1072480236 /nfs/dbraw/zinc/48/02/36/1072480236.db2.gz ZARNNAZLVWBPTC-UHFFFAOYSA-N 0 0 444.517 -0.017 20 0 IBADRN CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@@H]1CCC[C@H]1O ZINC000572996205 1072480342 /nfs/dbraw/zinc/48/03/42/1072480342.db2.gz MNHGEWLHCCLEKK-RBSFLKMASA-N 0 0 441.506 -0.258 20 0 IBADRN CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2)[C@@H]1CCC[C@H]1O ZINC000572996206 1072480383 /nfs/dbraw/zinc/48/03/83/1072480383.db2.gz MNHGEWLHCCLEKK-RRFJBIMHSA-N 0 0 441.506 -0.258 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)C2)ncn1 ZINC000572998387 1073358755 /nfs/dbraw/zinc/35/87/55/1073358755.db2.gz VWURBVBWYXWJMJ-AWEZNQCLSA-N 0 0 445.553 -0.094 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)C2)ncn1 ZINC000572998388 1073358640 /nfs/dbraw/zinc/35/86/40/1073358640.db2.gz VWURBVBWYXWJMJ-CQSZACIVSA-N 0 0 445.553 -0.094 20 0 IBADRN CC(C)CN(C)S(=O)(=O)NCCN1CCN(S(=O)(=O)N(C)CC(C)C)CC1 ZINC000573009424 1072480991 /nfs/dbraw/zinc/48/09/91/1072480991.db2.gz DZBHPKFYPMJPGK-UHFFFAOYSA-N 0 0 427.637 -0.141 20 0 IBADRN O=C(N[C@H]1CCOC2(CCCC2)C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000573009629 1072480373 /nfs/dbraw/zinc/48/03/73/1072480373.db2.gz NCICBRNGQPUAJF-INIZCTEOSA-N 0 0 436.509 -0.876 20 0 IBADRN O=C(N[C@@H]1CCOC2(CCCC2)C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000573009630 1072480364 /nfs/dbraw/zinc/48/03/64/1072480364.db2.gz NCICBRNGQPUAJF-MRXNPFEDSA-N 0 0 436.509 -0.876 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000573010460 1072480938 /nfs/dbraw/zinc/48/09/38/1072480938.db2.gz CBYGIAMZNRWUKR-CABCVRRESA-N 0 0 439.604 -0.241 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000573010461 1072480942 /nfs/dbraw/zinc/48/09/42/1072480942.db2.gz CBYGIAMZNRWUKR-GJZGRUSLSA-N 0 0 439.604 -0.241 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000573010462 1072480881 /nfs/dbraw/zinc/48/08/81/1072480881.db2.gz CBYGIAMZNRWUKR-HUUCEWRRSA-N 0 0 439.604 -0.241 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000573010463 1072480987 /nfs/dbraw/zinc/48/09/87/1072480987.db2.gz CBYGIAMZNRWUKR-LSDHHAIUSA-N 0 0 439.604 -0.241 20 0 IBADRN Cc1cn(C2CCCCC2)nc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000573013099 1072480908 /nfs/dbraw/zinc/48/09/08/1072480908.db2.gz ZJPOLCZOPHLUCV-UHFFFAOYSA-N 0 0 429.485 -0.007 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H]3C(=O)NC)C2)no1 ZINC000573014759 1072480805 /nfs/dbraw/zinc/48/08/05/1072480805.db2.gz MJJKVYPKITUYTE-ILXRZTDVSA-N 0 0 434.497 -0.388 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H]3CCC[C@H]3C(=O)NC)C2)no1 ZINC000573014760 1072480990 /nfs/dbraw/zinc/48/09/90/1072480990.db2.gz MJJKVYPKITUYTE-KFWWJZLASA-N 0 0 434.497 -0.388 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3C(=O)NC)C2)no1 ZINC000573014761 1072480895 /nfs/dbraw/zinc/48/08/95/1072480895.db2.gz MJJKVYPKITUYTE-QLFBSQMISA-N 0 0 434.497 -0.388 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3C(=O)NC)C2)no1 ZINC000573014762 1072480953 /nfs/dbraw/zinc/48/09/53/1072480953.db2.gz MJJKVYPKITUYTE-RBSFLKMASA-N 0 0 434.497 -0.388 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)CCO1 ZINC000573015528 1072480956 /nfs/dbraw/zinc/48/09/56/1072480956.db2.gz ITFQWYAKHPKKSK-JFIYKMOQSA-N 0 0 438.912 -0.069 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)CCO1 ZINC000573015529 1072480968 /nfs/dbraw/zinc/48/09/68/1072480968.db2.gz ITFQWYAKHPKKSK-NUJGCVRESA-N 0 0 438.912 -0.069 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCO[C@H]2c2ccc(Cl)cc2)CCO1 ZINC000573015530 1072480852 /nfs/dbraw/zinc/48/08/52/1072480852.db2.gz ITFQWYAKHPKKSK-RYRKJORJSA-N 0 0 438.912 -0.069 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)CCO1 ZINC000573015531 1072480916 /nfs/dbraw/zinc/48/09/16/1072480916.db2.gz ITFQWYAKHPKKSK-SOLBZPMBSA-N 0 0 438.912 -0.069 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000573022666 1072480930 /nfs/dbraw/zinc/48/09/30/1072480930.db2.gz OWOKBQZTRKLRNC-KRWDZBQOSA-N 0 0 435.506 -0.059 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000573022667 1072480994 /nfs/dbraw/zinc/48/09/94/1072480994.db2.gz OWOKBQZTRKLRNC-QGZVFWFLSA-N 0 0 435.506 -0.059 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)n1 ZINC000573022682 1072480999 /nfs/dbraw/zinc/48/09/99/1072480999.db2.gz PQEQVAUKELKEOA-GOSISDBHSA-N 0 0 445.568 -0.096 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)n1 ZINC000573022683 1072480976 /nfs/dbraw/zinc/48/09/76/1072480976.db2.gz PQEQVAUKELKEOA-SFHVURJKSA-N 0 0 445.568 -0.096 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)NCC2CCN(S(C)(=O)=O)CC2)c1 ZINC000573024246 1072221553 /nfs/dbraw/zinc/22/15/53/1072221553.db2.gz XIOOZEIJQGOBEJ-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000573024718 1072480946 /nfs/dbraw/zinc/48/09/46/1072480946.db2.gz BWRPKMSJVADVMQ-UHFFFAOYSA-N 0 0 435.506 0.276 20 0 IBADRN CCN1CC[C@H]2OCCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)[C@H]2C1 ZINC000573026814 1072221454 /nfs/dbraw/zinc/22/14/54/1072221454.db2.gz YXBHNRLUJPAMLP-AKHDSKFASA-N 0 0 429.583 -0.024 20 0 IBADRN CCN1CC[C@H]2OCCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)[C@H]2C1 ZINC000573026815 1072221472 /nfs/dbraw/zinc/22/14/72/1072221472.db2.gz YXBHNRLUJPAMLP-CADBVGFASA-N 0 0 429.583 -0.024 20 0 IBADRN CCN1CC[C@H]2OCCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)[C@H]2C1 ZINC000573026816 1072221965 /nfs/dbraw/zinc/22/19/65/1072221965.db2.gz YXBHNRLUJPAMLP-YDZRNGNQSA-N 0 0 429.583 -0.024 20 0 IBADRN CCN1CC[C@H]2OCCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)[C@H]2C1 ZINC000573026817 1072222028 /nfs/dbraw/zinc/22/20/28/1072222028.db2.gz YXBHNRLUJPAMLP-ZSYWTGECSA-N 0 0 429.583 -0.024 20 0 IBADRN Cn1ncc(I)c1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000573028457 1072481683 /nfs/dbraw/zinc/48/16/83/1072481683.db2.gz RFBVHXZKCTVYEG-LLVKDONJSA-N 0 0 447.277 -0.312 20 0 IBADRN Cn1ncc(I)c1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000573028460 1072481548 /nfs/dbraw/zinc/48/15/48/1072481548.db2.gz RFBVHXZKCTVYEG-NSHDSACASA-N 0 0 447.277 -0.312 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)[C@@H]3COC[C@H]3O)CC2)cc1 ZINC000573032945 1072221907 /nfs/dbraw/zinc/22/19/07/1072221907.db2.gz IPLCWXVFVOERGS-QZTJIDSGSA-N 0 0 439.534 -0.174 20 0 IBADRN O=C(NCc1ncc(-c2ccccc2)cn1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000573037028 1072222001 /nfs/dbraw/zinc/22/20/01/1072222001.db2.gz IRFIYNQUNHGROY-UHFFFAOYSA-N 0 0 436.472 -0.291 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H](c1cccnc1)C1CC1)C2 ZINC000573039544 1072481620 /nfs/dbraw/zinc/48/16/20/1072481620.db2.gz VTYYJPWPWDZBED-KRWDZBQOSA-N 0 0 427.465 -0.862 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H](c1cccnc1)C1CC1)C2 ZINC000573039545 1072481660 /nfs/dbraw/zinc/48/16/60/1072481660.db2.gz VTYYJPWPWDZBED-QGZVFWFLSA-N 0 0 427.465 -0.862 20 0 IBADRN CSc1cc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)ccn1 ZINC000573039800 1072222040 /nfs/dbraw/zinc/22/20/40/1072222040.db2.gz CJRJKEQYIPYITN-HNNXBMFYSA-N 0 0 435.550 -0.189 20 0 IBADRN CSc1cc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)ccn1 ZINC000573039801 1072222014 /nfs/dbraw/zinc/22/20/14/1072222014.db2.gz CJRJKEQYIPYITN-OAHLLOKOSA-N 0 0 435.550 -0.189 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000573039947 1072221960 /nfs/dbraw/zinc/22/19/60/1072221960.db2.gz JGLJSBBGAWTULP-HNNXBMFYSA-N 0 0 447.583 -0.163 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000573039948 1072221974 /nfs/dbraw/zinc/22/19/74/1072221974.db2.gz JGLJSBBGAWTULP-OAHLLOKOSA-N 0 0 447.583 -0.163 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1=O ZINC000573041476 1072481636 /nfs/dbraw/zinc/48/16/36/1072481636.db2.gz OWKVOYXGBKTYSO-UHFFFAOYSA-N 0 0 430.552 -0.078 20 0 IBADRN O=C(NCc1nc2ccccc2n1C1CC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000573042058 1072221916 /nfs/dbraw/zinc/22/19/16/1072221916.db2.gz HJNSMKHQUDDQMO-UHFFFAOYSA-N 0 0 438.488 -0.063 20 0 IBADRN CCCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(C)n1 ZINC000573042890 1072481687 /nfs/dbraw/zinc/48/16/87/1072481687.db2.gz MTNGDOLKXJEPEC-UHFFFAOYSA-N 0 0 434.541 -0.389 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000573048136 1072221923 /nfs/dbraw/zinc/22/19/23/1072221923.db2.gz PSLZDYIRQRFXRS-UHFFFAOYSA-N 0 0 445.567 -0.963 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC2CCN(c3nnnn3C)CC2)cc1 ZINC000573049290 1072481699 /nfs/dbraw/zinc/48/16/99/1072481699.db2.gz OLJCSAXPPDFYPL-UHFFFAOYSA-N 0 0 436.498 -0.768 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cnn(Cc3ccncc3)c2)CC1 ZINC000573050369 1072221956 /nfs/dbraw/zinc/22/19/56/1072221956.db2.gz ZREKHLLJLRVGEZ-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCc1cc(F)cc2c1OCOC2 ZINC000573076077 1072481625 /nfs/dbraw/zinc/48/16/25/1072481625.db2.gz WWKILHFNBVJLKX-HNNXBMFYSA-N 0 0 429.470 -0.109 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCc1cc(F)cc2c1OCOC2 ZINC000573076078 1072481563 /nfs/dbraw/zinc/48/15/63/1072481563.db2.gz WWKILHFNBVJLKX-OAHLLOKOSA-N 0 0 429.470 -0.109 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000573099046 1072226518 /nfs/dbraw/zinc/22/65/18/1072226518.db2.gz YEKVUYXLOSDULG-UHFFFAOYSA-N 0 0 448.520 -0.134 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)CCO1 ZINC000573112250 1072226539 /nfs/dbraw/zinc/22/65/39/1072226539.db2.gz CFWRTONODJURKQ-DAYGRLMNSA-N 0 0 433.509 -0.325 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccc(N3C[C@@H](C)O[C@H](C)C3)nc2)CCO1 ZINC000573112251 1072226550 /nfs/dbraw/zinc/22/65/50/1072226550.db2.gz CFWRTONODJURKQ-IIDMSEBBSA-N 0 0 433.509 -0.325 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccc(N3C[C@H](C)O[C@@H](C)C3)nc2)CCO1 ZINC000573112252 1072226252 /nfs/dbraw/zinc/22/62/52/1072226252.db2.gz CFWRTONODJURKQ-RLFYNMQTSA-N 0 0 433.509 -0.325 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)CCO1 ZINC000573112253 1072226360 /nfs/dbraw/zinc/22/63/60/1072226360.db2.gz CFWRTONODJURKQ-RVKKMQEKSA-N 0 0 433.509 -0.325 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)n1 ZINC000573116763 1072226570 /nfs/dbraw/zinc/22/65/70/1072226570.db2.gz BNKXAZLVVXXMIB-UHFFFAOYSA-N 0 0 427.465 -0.535 20 0 IBADRN CCS(=O)(=O)NCCNc1cc(NCCNS(=O)(=O)CC)c2ccncc2n1 ZINC000573117482 1072226307 /nfs/dbraw/zinc/22/63/07/1072226307.db2.gz JEMJXYNZCHKDQN-UHFFFAOYSA-N 0 0 430.556 0.332 20 0 IBADRN Cc1nc(S(=O)(=O)NCCc2nc(CCN(C(C)C)S(C)(=O)=O)no2)cn1C ZINC000573126873 1072226529 /nfs/dbraw/zinc/22/65/29/1072226529.db2.gz LPBJWFFMFHLRFR-UHFFFAOYSA-N 0 0 434.544 -0.155 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c(OC)n1 ZINC000573127939 1072226269 /nfs/dbraw/zinc/22/62/69/1072226269.db2.gz AMULQYDLBBWZLF-UHFFFAOYSA-N 0 0 432.437 -0.591 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)[C@H](C)C1=O ZINC000573130405 1072481604 /nfs/dbraw/zinc/48/16/04/1072481604.db2.gz CXRPRBCMGHBOTH-CVEARBPZSA-N 0 0 432.525 -0.257 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)[C@@H](C)C1=O ZINC000573130406 1072482273 /nfs/dbraw/zinc/48/22/73/1072482273.db2.gz CXRPRBCMGHBOTH-HOTGVXAUSA-N 0 0 432.525 -0.257 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)[C@H](C)C1=O ZINC000573130408 1072482243 /nfs/dbraw/zinc/48/22/43/1072482243.db2.gz CXRPRBCMGHBOTH-HZPDHXFCSA-N 0 0 432.525 -0.257 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)[C@@H](C)C1=O ZINC000573130410 1072482137 /nfs/dbraw/zinc/48/21/37/1072482137.db2.gz CXRPRBCMGHBOTH-JKSUJKDBSA-N 0 0 432.525 -0.257 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)CO[C@@H]2CCOC2)cn1 ZINC000573131423 1072482223 /nfs/dbraw/zinc/48/22/23/1072482223.db2.gz GVBOPMNYJOWNBQ-BMFZPTHFSA-N 0 0 428.511 -0.489 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)CO[C@H]2CCOC2)cn1 ZINC000573131424 1072482174 /nfs/dbraw/zinc/48/21/74/1072482174.db2.gz GVBOPMNYJOWNBQ-BPUTZDHNSA-N 0 0 428.511 -0.489 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)CO[C@@H]2CCOC2)cn1 ZINC000573131425 1072482145 /nfs/dbraw/zinc/48/21/45/1072482145.db2.gz GVBOPMNYJOWNBQ-IMJJTQAJSA-N 0 0 428.511 -0.489 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)CO[C@H]2CCOC2)cn1 ZINC000573131426 1072482265 /nfs/dbraw/zinc/48/22/65/1072482265.db2.gz GVBOPMNYJOWNBQ-KBMXLJTQSA-N 0 0 428.511 -0.489 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c(=O)[nH]c1=O ZINC000573132594 1072482110 /nfs/dbraw/zinc/48/21/10/1072482110.db2.gz BYTPMRKGHRUERF-GFCCVEGCSA-N 0 0 427.527 -0.082 20 0 IBADRN CCCn1c(N)c(C(=O)CN2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c(=O)[nH]c1=O ZINC000573132596 1072482270 /nfs/dbraw/zinc/48/22/70/1072482270.db2.gz BYTPMRKGHRUERF-LBPRGKRZSA-N 0 0 427.527 -0.082 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1 ZINC000573133933 1072482251 /nfs/dbraw/zinc/48/22/51/1072482251.db2.gz QIGDLDSLQKYDDW-AWEZNQCLSA-N 0 0 430.552 -0.174 20 0 IBADRN CC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1 ZINC000573133934 1072482127 /nfs/dbraw/zinc/48/21/27/1072482127.db2.gz QIGDLDSLQKYDDW-CQSZACIVSA-N 0 0 430.552 -0.174 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000573143892 1072482074 /nfs/dbraw/zinc/48/20/74/1072482074.db2.gz FRGWVYIBLFBXBD-UHFFFAOYSA-N 0 0 442.505 -0.320 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CNS(=O)(=O)c2cccnc2)c1 ZINC000573144528 1072482166 /nfs/dbraw/zinc/48/21/66/1072482166.db2.gz LALMHYAMQKHEJV-UHFFFAOYSA-N 0 0 441.491 -0.977 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000573146178 1072482256 /nfs/dbraw/zinc/48/22/56/1072482256.db2.gz PGRZZEBSNUHTJG-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000573148205 1072482214 /nfs/dbraw/zinc/48/22/14/1072482214.db2.gz AODUIKHCAKKFLS-HNNXBMFYSA-N 0 0 429.524 -0.284 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000573148206 1072482249 /nfs/dbraw/zinc/48/22/49/1072482249.db2.gz AODUIKHCAKKFLS-OAHLLOKOSA-N 0 0 429.524 -0.284 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)cn1 ZINC000573148672 1072482198 /nfs/dbraw/zinc/48/21/98/1072482198.db2.gz UYVKDEPBXHUVJN-HNNXBMFYSA-N 0 0 442.476 -0.294 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)cn1 ZINC000573148673 1072482236 /nfs/dbraw/zinc/48/22/36/1072482236.db2.gz UYVKDEPBXHUVJN-OAHLLOKOSA-N 0 0 442.476 -0.294 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@@H](OCC(F)(F)F)C2)n1)N1CCOCC1 ZINC000573149417 1073321670 /nfs/dbraw/zinc/32/16/70/1073321670.db2.gz RJGWFANVIPGWPC-CYBMUJFWSA-N 0 0 447.414 0.250 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@H](OCC(F)(F)F)C2)n1)N1CCOCC1 ZINC000573149418 1073321656 /nfs/dbraw/zinc/32/16/56/1073321656.db2.gz RJGWFANVIPGWPC-ZDUSSCGKSA-N 0 0 447.414 0.250 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NCC(C)(C)N2CCOCC2)(N2CCOCC2)C1 ZINC000573150547 1072482674 /nfs/dbraw/zinc/48/26/74/1072482674.db2.gz RVEQKUGWWPMEJD-UHFFFAOYSA-N 0 0 426.558 -0.401 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000573156023 1072227038 /nfs/dbraw/zinc/22/70/38/1072227038.db2.gz OUJFTUYBWFNHGR-CABCVRRESA-N 0 0 437.588 -0.533 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000573156024 1072227536 /nfs/dbraw/zinc/22/75/36/1072227536.db2.gz OUJFTUYBWFNHGR-HUUCEWRRSA-N 0 0 437.588 -0.533 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(c3cccnn3)CC2)C1=O ZINC000573160768 1072227461 /nfs/dbraw/zinc/22/74/61/1072227461.db2.gz WYBIWKBDVYUXOJ-HNNXBMFYSA-N 0 0 426.481 -0.834 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(c3cccnn3)CC2)C1=O ZINC000573160769 1072227370 /nfs/dbraw/zinc/22/73/70/1072227370.db2.gz WYBIWKBDVYUXOJ-OAHLLOKOSA-N 0 0 426.481 -0.834 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000573160960 1072227561 /nfs/dbraw/zinc/22/75/61/1072227561.db2.gz AXYKUDHOJFSCET-BPLDGKMQSA-N 0 0 434.453 -0.320 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000573160961 1072227354 /nfs/dbraw/zinc/22/73/54/1072227354.db2.gz AXYKUDHOJFSCET-SNPRPXQTSA-N 0 0 434.453 -0.320 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000573160962 1072227523 /nfs/dbraw/zinc/22/75/23/1072227523.db2.gz AXYKUDHOJFSCET-VHDGCEQUSA-N 0 0 434.453 -0.320 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000573160963 1072227472 /nfs/dbraw/zinc/22/74/72/1072227472.db2.gz AXYKUDHOJFSCET-YUELXQCFSA-N 0 0 434.453 -0.320 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000573165275 1072482703 /nfs/dbraw/zinc/48/27/03/1072482703.db2.gz YJYKDPZFIZKFHQ-MSOLQXFVSA-N 0 0 430.505 -0.061 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000573165276 1072482691 /nfs/dbraw/zinc/48/26/91/1072482691.db2.gz YJYKDPZFIZKFHQ-QZTJIDSGSA-N 0 0 430.505 -0.061 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000573165277 1072482623 /nfs/dbraw/zinc/48/26/23/1072482623.db2.gz YJYKDPZFIZKFHQ-ROUUACIJSA-N 0 0 430.505 -0.061 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000573165278 1072482801 /nfs/dbraw/zinc/48/28/01/1072482801.db2.gz YJYKDPZFIZKFHQ-ZWKOTPCHSA-N 0 0 430.505 -0.061 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)C1 ZINC000573169124 1072227507 /nfs/dbraw/zinc/22/75/07/1072227507.db2.gz VHBCBTVXZYCFRX-ASHKIFAZSA-N 0 0 437.584 -0.697 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)C1 ZINC000573169125 1072227543 /nfs/dbraw/zinc/22/75/43/1072227543.db2.gz VHBCBTVXZYCFRX-LOKFHWFJSA-N 0 0 437.584 -0.697 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)C1 ZINC000573169126 1072227496 /nfs/dbraw/zinc/22/74/96/1072227496.db2.gz VHBCBTVXZYCFRX-PGGUUEOZSA-N 0 0 437.584 -0.697 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)C1 ZINC000573169127 1072227484 /nfs/dbraw/zinc/22/74/84/1072227484.db2.gz VHBCBTVXZYCFRX-PWFNWSNSSA-N 0 0 437.584 -0.697 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1 ZINC000573171905 1072482817 /nfs/dbraw/zinc/48/28/17/1072482817.db2.gz AETNNDZTJVPLIF-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000573172237 1072226969 /nfs/dbraw/zinc/22/69/69/1072226969.db2.gz PHRSLRKOVXGUMR-UHFFFAOYSA-N 0 0 426.455 -0.736 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)cc1OC ZINC000573172413 1072226948 /nfs/dbraw/zinc/22/69/48/1072226948.db2.gz XUKLEEPMNOCNCM-ADLMAVQZSA-N 0 0 440.478 -0.523 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)cc1OC ZINC000573172414 1072227126 /nfs/dbraw/zinc/22/71/26/1072227126.db2.gz XUKLEEPMNOCNCM-BBATYDOGSA-N 0 0 440.478 -0.523 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)cc1OC ZINC000573172415 1072227048 /nfs/dbraw/zinc/22/70/48/1072227048.db2.gz XUKLEEPMNOCNCM-VOJFVSQTSA-N 0 0 440.478 -0.523 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)cc1OC ZINC000573172416 1072227137 /nfs/dbraw/zinc/22/71/37/1072227137.db2.gz XUKLEEPMNOCNCM-ZMZPIMSZSA-N 0 0 440.478 -0.523 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000573173166 1072227112 /nfs/dbraw/zinc/22/71/12/1072227112.db2.gz YYQMZTFTNMOBNR-UHFFFAOYSA-N 0 0 428.540 -0.493 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000573174374 1072482831 /nfs/dbraw/zinc/48/28/31/1072482831.db2.gz VVTDDUBFRIADHK-UHFFFAOYSA-N 0 0 440.574 -0.878 20 0 IBADRN CC(C)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000573177165 1072227079 /nfs/dbraw/zinc/22/70/79/1072227079.db2.gz LYASZUFRTIWMQM-UHFFFAOYSA-N 0 0 438.466 -0.373 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)ccc1-n1cnnn1 ZINC000573187613 1072227122 /nfs/dbraw/zinc/22/71/22/1072227122.db2.gz XUPUJAOFQTXMGB-HNNXBMFYSA-N 0 0 433.494 -0.064 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)ccc1-n1cnnn1 ZINC000573187614 1072227070 /nfs/dbraw/zinc/22/70/70/1072227070.db2.gz XUPUJAOFQTXMGB-OAHLLOKOSA-N 0 0 433.494 -0.064 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)n1 ZINC000573188647 1072227004 /nfs/dbraw/zinc/22/70/04/1072227004.db2.gz JTEFQNZXYLHLGR-UHFFFAOYSA-N 0 0 439.524 -0.089 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000573190553 1072227103 /nfs/dbraw/zinc/22/71/03/1072227103.db2.gz VPIZJUZESAQHLD-CHWSQXEVSA-N 0 0 440.565 -0.008 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000573190554 1072227091 /nfs/dbraw/zinc/22/70/91/1072227091.db2.gz VPIZJUZESAQHLD-OLZOCXBDSA-N 0 0 440.565 -0.008 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000573190555 1072227132 /nfs/dbraw/zinc/22/71/32/1072227132.db2.gz VPIZJUZESAQHLD-QWHCGFSZSA-N 0 0 440.565 -0.008 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1 ZINC000573190556 1072227026 /nfs/dbraw/zinc/22/70/26/1072227026.db2.gz VPIZJUZESAQHLD-STQMWFEESA-N 0 0 440.565 -0.008 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CC(=O)N(CCOC)C2)c(N)n(Cc2ccccc2)c1=O ZINC000573213054 1072226987 /nfs/dbraw/zinc/22/69/87/1072226987.db2.gz UOATXHREUBLKDB-UHFFFAOYSA-N 0 0 429.477 -0.409 20 0 IBADRN CO[C@H](C)c1noc(CN(C)C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)n1 ZINC000573225791 1072482650 /nfs/dbraw/zinc/48/26/50/1072482650.db2.gz HJMLSSLEHAICGS-GFCCVEGCSA-N 0 0 425.467 -0.170 20 0 IBADRN CO[C@@H](C)c1noc(CN(C)C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)n1 ZINC000573225792 1072482793 /nfs/dbraw/zinc/48/27/93/1072482793.db2.gz HJMLSSLEHAICGS-LBPRGKRZSA-N 0 0 425.467 -0.170 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCC[C@H](CNC(N)=O)C1 ZINC000573226078 1072482808 /nfs/dbraw/zinc/48/28/08/1072482808.db2.gz VUWSIAATRVWITJ-CYBMUJFWSA-N 0 0 425.511 -0.540 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCC[C@@H](CNC(N)=O)C1 ZINC000573226079 1072482782 /nfs/dbraw/zinc/48/27/82/1072482782.db2.gz VUWSIAATRVWITJ-ZDUSSCGKSA-N 0 0 425.511 -0.540 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccn(-c2ccc(Br)cc2)n1)S(N)(=O)=O ZINC000573226391 1072482798 /nfs/dbraw/zinc/48/27/98/1072482798.db2.gz CSOQAVBICKBVMJ-SECBINFHSA-N 0 0 430.284 0.367 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccn(-c2ccc(Br)cc2)n1)S(N)(=O)=O ZINC000573226392 1072482746 /nfs/dbraw/zinc/48/27/46/1072482746.db2.gz CSOQAVBICKBVMJ-VIFPVBQESA-N 0 0 430.284 0.367 20 0 IBADRN COCCN(C(=O)Cn1nnc(-c2ccc(C(=O)OC)cc2)n1)[C@H]1CCS(=O)(=O)C1 ZINC000573227843 1072482712 /nfs/dbraw/zinc/48/27/12/1072482712.db2.gz AUUATBVWIDKKQU-HNNXBMFYSA-N 0 0 437.478 -0.211 20 0 IBADRN COCCN(C(=O)Cn1nnc(-c2ccc(C(=O)OC)cc2)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000573227844 1072482788 /nfs/dbraw/zinc/48/27/88/1072482788.db2.gz AUUATBVWIDKKQU-OAHLLOKOSA-N 0 0 437.478 -0.211 20 0 IBADRN CC[C@H](NC(=O)C(=O)N(C)Cc1ccc(S(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000573230555 1072482811 /nfs/dbraw/zinc/48/28/11/1072482811.db2.gz QFNRBSAQNJPOQH-INIZCTEOSA-N 0 0 425.507 -0.198 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N(C)Cc1ccc(S(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000573230556 1072482773 /nfs/dbraw/zinc/48/27/73/1072482773.db2.gz QFNRBSAQNJPOQH-MRXNPFEDSA-N 0 0 425.507 -0.198 20 0 IBADRN CNS(=O)(=O)CC(=O)NCCCNS(=O)(=O)c1ccccc1Br ZINC000573231154 1072482762 /nfs/dbraw/zinc/48/27/62/1072482762.db2.gz VBAYGCBCCSPUMR-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)c1 ZINC000573232128 1072483427 /nfs/dbraw/zinc/48/34/27/1072483427.db2.gz SXKQCRIPJJWDHP-AWEZNQCLSA-N 0 0 434.522 -0.161 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)c1 ZINC000573232131 1072483403 /nfs/dbraw/zinc/48/34/03/1072483403.db2.gz SXKQCRIPJJWDHP-CQSZACIVSA-N 0 0 434.522 -0.161 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@]2(CCCOC2)O1 ZINC000573232303 1072483256 /nfs/dbraw/zinc/48/32/56/1072483256.db2.gz ZUNVBTFLNMEGPH-PBHICJAKSA-N 0 0 435.568 -0.722 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@]2(CCCOC2)O1 ZINC000573232304 1072483299 /nfs/dbraw/zinc/48/32/99/1072483299.db2.gz ZUNVBTFLNMEGPH-RHSMWYFYSA-N 0 0 435.568 -0.722 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@]2(CCCOC2)O1 ZINC000573232305 1072483330 /nfs/dbraw/zinc/48/33/30/1072483330.db2.gz ZUNVBTFLNMEGPH-WMLDXEAASA-N 0 0 435.568 -0.722 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@]2(CCCOC2)O1 ZINC000573232306 1072483318 /nfs/dbraw/zinc/48/33/18/1072483318.db2.gz ZUNVBTFLNMEGPH-YOEHRIQHSA-N 0 0 435.568 -0.722 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NCCN2CCS(=O)(=O)CC2)cc1)N1CCOCC1 ZINC000573236166 1072230334 /nfs/dbraw/zinc/23/03/34/1072230334.db2.gz PGRMFFILIRMELA-UHFFFAOYSA-N 0 0 431.536 -0.832 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1)NC1CC1 ZINC000573236199 1072230123 /nfs/dbraw/zinc/23/01/23/1072230123.db2.gz QFGIRPZNYYJUCC-GOSISDBHSA-N 0 0 433.553 -0.293 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)CC1)NC1CC1 ZINC000573236200 1072230180 /nfs/dbraw/zinc/23/01/80/1072230180.db2.gz QFGIRPZNYYJUCC-SFHVURJKSA-N 0 0 433.553 -0.293 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C12CCCCC2 ZINC000573236299 1072230353 /nfs/dbraw/zinc/23/03/53/1072230353.db2.gz UFHNMGGXZBBSPY-CVEARBPZSA-N 0 0 433.513 -0.412 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C12CCCCC2 ZINC000573236300 1072230237 /nfs/dbraw/zinc/23/02/37/1072230237.db2.gz UFHNMGGXZBBSPY-HOTGVXAUSA-N 0 0 433.513 -0.412 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C12CCCCC2 ZINC000573236301 1072230203 /nfs/dbraw/zinc/23/02/03/1072230203.db2.gz UFHNMGGXZBBSPY-HZPDHXFCSA-N 0 0 433.513 -0.412 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C12CCCCC2 ZINC000573236302 1072230137 /nfs/dbraw/zinc/23/01/37/1072230137.db2.gz UFHNMGGXZBBSPY-JKSUJKDBSA-N 0 0 433.513 -0.412 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C[C@H](C)O1 ZINC000573239599 1072230167 /nfs/dbraw/zinc/23/01/67/1072230167.db2.gz UVXLKCBLIIHFHQ-JYJNAYRXSA-N 0 0 431.497 -0.281 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C[C@@H](C)O1 ZINC000573239600 1072230193 /nfs/dbraw/zinc/23/01/93/1072230193.db2.gz UVXLKCBLIIHFHQ-OAGGEKHMSA-N 0 0 431.497 -0.281 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C[C@@H](C)O1 ZINC000573239601 1072230228 /nfs/dbraw/zinc/23/02/28/1072230228.db2.gz UVXLKCBLIIHFHQ-PMPSAXMXSA-N 0 0 431.497 -0.281 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C[C@H](C)O1 ZINC000573239602 1072230292 /nfs/dbraw/zinc/23/02/92/1072230292.db2.gz UVXLKCBLIIHFHQ-XHSDSOJGSA-N 0 0 431.497 -0.281 20 0 IBADRN O=C(Cn1cnc(NC(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)n1)NCc1ccccc1 ZINC000573240260 1072483415 /nfs/dbraw/zinc/48/34/15/1072483415.db2.gz OYZGWYHFVMSLDI-DLBZAZTESA-N 0 0 442.476 -0.061 20 0 IBADRN COc1ccc(CNC(=O)Nc2ccn(CCN3CCOCC3)n2)cc1S(N)(=O)=O ZINC000573243235 1073344198 /nfs/dbraw/zinc/34/41/98/1073344198.db2.gz DWHHHZWSAWPGDJ-UHFFFAOYSA-N 0 0 438.510 0.193 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)CC1 ZINC000573244895 1072230750 /nfs/dbraw/zinc/23/07/50/1072230750.db2.gz XRSGSJULLWICAA-CYBMUJFWSA-N 0 0 439.490 -0.244 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)CC1 ZINC000573244896 1072230709 /nfs/dbraw/zinc/23/07/09/1072230709.db2.gz XRSGSJULLWICAA-ZDUSSCGKSA-N 0 0 439.490 -0.244 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCc3ncnn3-c3ccccc3)CC2)CC1 ZINC000573250554 1072230694 /nfs/dbraw/zinc/23/06/94/1072230694.db2.gz RMVZYJRXHRLVAY-UHFFFAOYSA-N 0 0 439.520 -0.104 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000573253456 1072230673 /nfs/dbraw/zinc/23/06/73/1072230673.db2.gz ONTMWPNXEOHIRC-UHFFFAOYSA-N 0 0 430.486 -0.147 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000573254335 1072230642 /nfs/dbraw/zinc/23/06/42/1072230642.db2.gz AFQZCHAIAAYUDN-UHFFFAOYSA-N 0 0 426.495 -0.477 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)cn2n1 ZINC000573257124 1072483324 /nfs/dbraw/zinc/48/33/24/1072483324.db2.gz RWUWIISRMOUCQV-HNNXBMFYSA-N 0 0 436.494 -0.371 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)cn2n1 ZINC000573257125 1072483272 /nfs/dbraw/zinc/48/32/72/1072483272.db2.gz RWUWIISRMOUCQV-OAHLLOKOSA-N 0 0 436.494 -0.371 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000573257194 1072483347 /nfs/dbraw/zinc/48/33/47/1072483347.db2.gz VJWAVIVUVANDFH-UHFFFAOYSA-N 0 0 446.551 -0.322 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)CNS(=O)(=O)c3cccnc3)no2)cn1 ZINC000573258848 1072483226 /nfs/dbraw/zinc/48/32/26/1072483226.db2.gz VHZPSSZJLMSUOI-AWEZNQCLSA-N 0 0 433.450 -0.257 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)CNS(=O)(=O)c3cccnc3)no2)cn1 ZINC000573258849 1072483290 /nfs/dbraw/zinc/48/32/90/1072483290.db2.gz VHZPSSZJLMSUOI-CQSZACIVSA-N 0 0 433.450 -0.257 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)cn1 ZINC000573271024 1072230820 /nfs/dbraw/zinc/23/08/20/1072230820.db2.gz RUIFMVYRAVMUHS-UHFFFAOYSA-N 0 0 430.465 -0.442 20 0 IBADRN C[C@@]1(CO)CCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000573271585 1072230858 /nfs/dbraw/zinc/23/08/58/1072230858.db2.gz QWHDVMNIWSUGGT-IBGZPJMESA-N 0 0 439.538 -0.977 20 0 IBADRN C[C@]1(CO)CCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000573271586 1072230930 /nfs/dbraw/zinc/23/09/30/1072230930.db2.gz QWHDVMNIWSUGGT-LJQANCHMSA-N 0 0 439.538 -0.977 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000573272442 1072230734 /nfs/dbraw/zinc/23/07/34/1072230734.db2.gz ANNODGRISOHPAJ-INIZCTEOSA-N 0 0 432.525 -0.343 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000573272443 1072230800 /nfs/dbraw/zinc/23/08/00/1072230800.db2.gz ANNODGRISOHPAJ-MRXNPFEDSA-N 0 0 432.525 -0.343 20 0 IBADRN C[C@@H]1CC(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C[C@@H](C)O1 ZINC000573276616 1072230893 /nfs/dbraw/zinc/23/08/93/1072230893.db2.gz BNJDFVZNCGOSAY-FPCVCCKLSA-N 0 0 446.570 -0.443 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C[C@H](C)O1 ZINC000573276617 1072231515 /nfs/dbraw/zinc/23/15/15/1072231515.db2.gz BNJDFVZNCGOSAY-KFESYUHLSA-N 0 0 446.570 -0.443 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C[C@H](C)O1 ZINC000573276618 1072231219 /nfs/dbraw/zinc/23/12/19/1072231219.db2.gz BNJDFVZNCGOSAY-QEOTZNIISA-N 0 0 446.570 -0.443 20 0 IBADRN C[C@@H]1CC(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C[C@@H](C)O1 ZINC000573276619 1072231501 /nfs/dbraw/zinc/23/15/01/1072231501.db2.gz BNJDFVZNCGOSAY-WCVJEAGWSA-N 0 0 446.570 -0.443 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCO[C@H](COCC4CC4)C3)C2=O)n(C)n1 ZINC000573284819 1072483305 /nfs/dbraw/zinc/48/33/05/1072483305.db2.gz LIPOTSDFRMPRLP-DLBZAZTESA-N 0 0 433.509 -0.006 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCO[C@@H](COCC4CC4)C3)C2=O)n(C)n1 ZINC000573284820 1072483356 /nfs/dbraw/zinc/48/33/56/1072483356.db2.gz LIPOTSDFRMPRLP-IAGOWNOFSA-N 0 0 433.509 -0.006 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCO[C@H](COCC4CC4)C3)C2=O)n(C)n1 ZINC000573284821 1072483396 /nfs/dbraw/zinc/48/33/96/1072483396.db2.gz LIPOTSDFRMPRLP-IRXDYDNUSA-N 0 0 433.509 -0.006 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCO[C@@H](COCC4CC4)C3)C2=O)n(C)n1 ZINC000573284822 1072483338 /nfs/dbraw/zinc/48/33/38/1072483338.db2.gz LIPOTSDFRMPRLP-SJORKVTESA-N 0 0 433.509 -0.006 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000573285326 1072483950 /nfs/dbraw/zinc/48/39/50/1072483950.db2.gz DOYOMRCDQTVFAD-CEXHIMGSSA-N 0 0 433.527 -0.068 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000573285327 1072483908 /nfs/dbraw/zinc/48/39/08/1072483908.db2.gz DOYOMRCDQTVFAD-JGTBNHKDSA-N 0 0 433.527 -0.068 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000573285328 1072483892 /nfs/dbraw/zinc/48/38/92/1072483892.db2.gz DOYOMRCDQTVFAD-PFUSGODGSA-N 0 0 433.527 -0.068 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000573285329 1072483927 /nfs/dbraw/zinc/48/39/27/1072483927.db2.gz DOYOMRCDQTVFAD-QWVSSGJLSA-N 0 0 433.527 -0.068 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)n1 ZINC000573285561 1072483862 /nfs/dbraw/zinc/48/38/62/1072483862.db2.gz OFVGJINLVXQWHY-UHFFFAOYSA-N 0 0 430.484 -0.208 20 0 IBADRN COC(=O)c1cccc2c1N(C(=O)Cn1c(=O)c3c(ncn3C)n(C)c1=O)[C@@H](C)C(=O)N2 ZINC000573286173 1072483877 /nfs/dbraw/zinc/48/38/77/1072483877.db2.gz PMGNWARDGJTLSI-JTQLQIEISA-N 0 0 440.416 -0.406 20 0 IBADRN COC(=O)c1cccc2c1N(C(=O)Cn1c(=O)c3c(ncn3C)n(C)c1=O)[C@H](C)C(=O)N2 ZINC000573286174 1072483968 /nfs/dbraw/zinc/48/39/68/1072483968.db2.gz PMGNWARDGJTLSI-SNVBAGLBSA-N 0 0 440.416 -0.406 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1=O ZINC000573307139 1072483973 /nfs/dbraw/zinc/48/39/73/1072483973.db2.gz IOAPWWCNEMOEDO-UHFFFAOYSA-N 0 0 430.914 -0.185 20 0 IBADRN C[C@@H](Cc1ccncc1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000573311510 1072483873 /nfs/dbraw/zinc/48/38/73/1072483873.db2.gz ICAXQKPYQOWFLA-INIZCTEOSA-N 0 0 425.493 -0.226 20 0 IBADRN C[C@H](Cc1ccncc1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000573311511 1072483911 /nfs/dbraw/zinc/48/39/11/1072483911.db2.gz ICAXQKPYQOWFLA-MRXNPFEDSA-N 0 0 425.493 -0.226 20 0 IBADRN O=C(NC[C@]1(O)CCOC1)[C@H]1CC[C@@H](C(=O)NC[C@]2(O)CCOC2)N1Cc1ccccc1 ZINC000573313237 1072231964 /nfs/dbraw/zinc/23/19/64/1072231964.db2.gz NJFPJHZPFPYAFM-IYRWYFENSA-N 0 0 447.532 -0.445 20 0 IBADRN O=C(NC[C@]1(O)CCOC1)[C@H]1CC[C@@H](C(=O)NC[C@@]2(O)CCOC2)N1Cc1ccccc1 ZINC000573313238 1072231977 /nfs/dbraw/zinc/23/19/77/1072231977.db2.gz NJFPJHZPFPYAFM-PVTAMDAMSA-N 0 0 447.532 -0.445 20 0 IBADRN O=C(NC[C@@]1(O)CCOC1)[C@H]1CC[C@@H](C(=O)NC[C@@]2(O)CCOC2)N1Cc1ccccc1 ZINC000573313239 1072231844 /nfs/dbraw/zinc/23/18/44/1072231844.db2.gz NJFPJHZPFPYAFM-YDLSIGKMSA-N 0 0 447.532 -0.445 20 0 IBADRN O=C(NC[C@@]1(O)CCOC1)[C@H]1CC[C@@H](C(=O)NC[C@]2(O)CCOC2)N1Cc1ccccc1 ZINC000573313240 1072231993 /nfs/dbraw/zinc/23/19/93/1072231993.db2.gz NJFPJHZPFPYAFM-ZIIYYELXSA-N 0 0 447.532 -0.445 20 0 IBADRN Cc1cc(CNC(=O)c2cc(C)c3c(n2)n(C)c(=O)n(C)c3=O)ccc1S(N)(=O)=O ZINC000573313870 1072232022 /nfs/dbraw/zinc/23/20/22/1072232022.db2.gz HNUHZIPECMKIQF-UHFFFAOYSA-N 0 0 431.474 -0.174 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CCCCC3)CC2)NC(=O)NC1=O ZINC000573343644 1072484613 /nfs/dbraw/zinc/48/46/13/1072484613.db2.gz XOWFALLVACBMHF-NRFANRHFSA-N 0 0 449.552 -0.026 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CCCCC3)CC2)NC(=O)NC1=O ZINC000573343645 1072484661 /nfs/dbraw/zinc/48/46/61/1072484661.db2.gz XOWFALLVACBMHF-OAQYLSRUSA-N 0 0 449.552 -0.026 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000573345652 1072231362 /nfs/dbraw/zinc/23/13/62/1072231362.db2.gz OJHVGSMICFHKLZ-UHFFFAOYSA-N 0 0 445.520 -0.795 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000573351883 1072484501 /nfs/dbraw/zinc/48/45/01/1072484501.db2.gz PVFCHFMGDCLMCA-BZUAXINKSA-N 0 0 430.571 -0.816 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000573351884 1072484471 /nfs/dbraw/zinc/48/44/71/1072484471.db2.gz PVFCHFMGDCLMCA-OAGGEKHMSA-N 0 0 430.571 -0.816 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1)S(C)(=O)=O ZINC000573351885 1072484601 /nfs/dbraw/zinc/48/46/01/1072484601.db2.gz PVFCHFMGDCLMCA-OWCLPIDISA-N 0 0 430.571 -0.816 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1)S(C)(=O)=O ZINC000573351886 1072484692 /nfs/dbraw/zinc/48/46/92/1072484692.db2.gz PVFCHFMGDCLMCA-XHSDSOJGSA-N 0 0 430.571 -0.816 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)s1 ZINC000573361180 1072231452 /nfs/dbraw/zinc/23/14/52/1072231452.db2.gz RJSWXLWGDRCJJQ-CYBMUJFWSA-N 0 0 446.595 -0.245 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)s1 ZINC000573361181 1072232037 /nfs/dbraw/zinc/23/20/37/1072232037.db2.gz RJSWXLWGDRCJJQ-ZDUSSCGKSA-N 0 0 446.595 -0.245 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)N[C@H]3CCc4nc(COC)nn4C3)CC2)n1 ZINC000573362774 1072232111 /nfs/dbraw/zinc/23/21/11/1072232111.db2.gz KIRQMQOWQBCPIR-HNNXBMFYSA-N 0 0 443.508 -0.002 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)N[C@@H]3CCc4nc(COC)nn4C3)CC2)n1 ZINC000573362780 1072232091 /nfs/dbraw/zinc/23/20/91/1072232091.db2.gz KIRQMQOWQBCPIR-OAHLLOKOSA-N 0 0 443.508 -0.002 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000573370270 1072484446 /nfs/dbraw/zinc/48/44/46/1072484446.db2.gz XLQOAPFNNOTDDX-GOSISDBHSA-N 0 0 438.550 -0.073 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000573370271 1072484419 /nfs/dbraw/zinc/48/44/19/1072484419.db2.gz XLQOAPFNNOTDDX-SFHVURJKSA-N 0 0 438.550 -0.073 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(Cl)c(C(N)=O)c2)CC1 ZINC000573372944 1072485059 /nfs/dbraw/zinc/48/50/59/1072485059.db2.gz HCRCGDVZQGOVQI-UHFFFAOYSA-N 0 0 431.902 -0.282 20 0 IBADRN COC(=O)c1ccc(-n2cc(C)cn2)c(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000573373024 1072485167 /nfs/dbraw/zinc/48/51/67/1072485167.db2.gz KRCSZPXSZKPWNQ-UHFFFAOYSA-N 0 0 437.478 -0.087 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000573374386 1072485188 /nfs/dbraw/zinc/48/51/88/1072485188.db2.gz KHCNYGOBNFFXTG-HNNXBMFYSA-N 0 0 429.495 -0.186 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000573374387 1072485225 /nfs/dbraw/zinc/48/52/25/1072485225.db2.gz KHCNYGOBNFFXTG-OAHLLOKOSA-N 0 0 429.495 -0.186 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000573376735 1072485124 /nfs/dbraw/zinc/48/51/24/1072485124.db2.gz IZYJQBQLQBSHDL-UHFFFAOYSA-N 0 0 443.569 -0.366 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000573380554 1072485252 /nfs/dbraw/zinc/48/52/52/1072485252.db2.gz WESZDBVHUOHTCG-INIZCTEOSA-N 0 0 434.541 -0.152 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000573380555 1072485073 /nfs/dbraw/zinc/48/50/73/1072485073.db2.gz WESZDBVHUOHTCG-MRXNPFEDSA-N 0 0 434.541 -0.152 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000573389679 1072485267 /nfs/dbraw/zinc/48/52/67/1072485267.db2.gz CAOFZWYBCLQQLG-INIZCTEOSA-N 0 0 438.506 -0.945 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000573389680 1072485153 /nfs/dbraw/zinc/48/51/53/1072485153.db2.gz CAOFZWYBCLQQLG-MRXNPFEDSA-N 0 0 438.506 -0.945 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000573391131 1072232566 /nfs/dbraw/zinc/23/25/66/1072232566.db2.gz ODXCAEXZTYLYFL-HNNXBMFYSA-N 0 0 425.511 -0.383 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000573391147 1072232646 /nfs/dbraw/zinc/23/26/46/1072232646.db2.gz ODXCAEXZTYLYFL-OAHLLOKOSA-N 0 0 425.511 -0.383 20 0 IBADRN COCCN1CCCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1=O ZINC000573394064 1072485232 /nfs/dbraw/zinc/48/52/32/1072485232.db2.gz BCFMDLYPQFISFY-UHFFFAOYSA-N 0 0 434.540 -0.731 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)n1 ZINC000573395089 1072485042 /nfs/dbraw/zinc/48/50/42/1072485042.db2.gz ODUNGXLLVJVXIK-UHFFFAOYSA-N 0 0 447.608 -0.405 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2C)C1 ZINC000573397989 1072485089 /nfs/dbraw/zinc/48/50/89/1072485089.db2.gz RBWZSYUHFHLOPU-AWEZNQCLSA-N 0 0 425.511 -0.295 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)nc2C)C1 ZINC000573397991 1072485240 /nfs/dbraw/zinc/48/52/40/1072485240.db2.gz RBWZSYUHFHLOPU-CQSZACIVSA-N 0 0 425.511 -0.295 20 0 IBADRN CNC(=O)c1ccc(CS(=O)(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1 ZINC000573406428 1073317468 /nfs/dbraw/zinc/31/74/68/1073317468.db2.gz FLELLABZWBTVAO-UHFFFAOYSA-N 0 0 435.506 0.429 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000573407013 1072232620 /nfs/dbraw/zinc/23/26/20/1072232620.db2.gz AKJALIYXDBBBNO-HOJAQTOUSA-N 0 0 443.548 -0.462 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000573407014 1072232499 /nfs/dbraw/zinc/23/24/99/1072232499.db2.gz AKJALIYXDBBBNO-IHPCNDPISA-N 0 0 443.548 -0.462 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000573407015 1072232665 /nfs/dbraw/zinc/23/26/65/1072232665.db2.gz AKJALIYXDBBBNO-YSIASYRMSA-N 0 0 443.548 -0.462 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000573407016 1072232525 /nfs/dbraw/zinc/23/25/25/1072232525.db2.gz AKJALIYXDBBBNO-YZGWKJHDSA-N 0 0 443.548 -0.462 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCC1 ZINC000573409680 1072232639 /nfs/dbraw/zinc/23/26/39/1072232639.db2.gz XAHOJPWRIOXABF-HHHXNRCGSA-N 0 0 432.524 -0.934 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCC1 ZINC000573409685 1072232694 /nfs/dbraw/zinc/23/26/94/1072232694.db2.gz XAHOJPWRIOXABF-MHZLTWQESA-N 0 0 432.524 -0.934 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)CC1 ZINC000573415501 1072231928 /nfs/dbraw/zinc/23/19/28/1072231928.db2.gz ZZNPRLLZKPCQPY-CQSZACIVSA-N 0 0 437.588 -0.533 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000573427603 1072485680 /nfs/dbraw/zinc/48/56/80/1072485680.db2.gz WCWCCOJDNYFLLM-UHFFFAOYSA-N 0 0 434.474 -0.341 20 0 IBADRN Cn1cc([C@H](CO)Nc2nc(N[C@@H](CO)c3cnn(C)c3)nc(NC(C)(C)C)n2)cn1 ZINC000573431326 1072485658 /nfs/dbraw/zinc/48/56/58/1072485658.db2.gz CHKCFVFJRNBUES-GJZGRUSLSA-N 0 0 430.517 -0.316 20 0 IBADRN Cn1cc([C@@H](CO)Nc2nc(N[C@H](CO)c3cnn(C)c3)nc(NC(C)(C)C)n2)cn1 ZINC000573431327 1072485698 /nfs/dbraw/zinc/48/56/98/1072485698.db2.gz CHKCFVFJRNBUES-HUUCEWRRSA-N 0 0 430.517 -0.316 20 0 IBADRN O=C(CCNC(=O)[C@H]1CCCCC(=O)N1)N1CCN(C(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC000573432710 1072485554 /nfs/dbraw/zinc/48/55/54/1072485554.db2.gz GXJKIINPMBWSNS-CVEARBPZSA-N 0 0 435.525 -0.719 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCCC(=O)N1)N1CCN(C(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC000573432711 1072485770 /nfs/dbraw/zinc/48/57/70/1072485770.db2.gz GXJKIINPMBWSNS-HOTGVXAUSA-N 0 0 435.525 -0.719 20 0 IBADRN O=C(CCNC(=O)[C@H]1CCCCC(=O)N1)N1CCN(C(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC000573432712 1072485548 /nfs/dbraw/zinc/48/55/48/1072485548.db2.gz GXJKIINPMBWSNS-HZPDHXFCSA-N 0 0 435.525 -0.719 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCCC(=O)N1)N1CCN(C(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC000573432713 1072485774 /nfs/dbraw/zinc/48/57/74/1072485774.db2.gz GXJKIINPMBWSNS-JKSUJKDBSA-N 0 0 435.525 -0.719 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)CC2)n1 ZINC000573433172 1072485754 /nfs/dbraw/zinc/48/57/54/1072485754.db2.gz OPTJBDLATNKADX-SECBINFHSA-N 0 0 435.432 -0.562 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)CC2)n1 ZINC000573433173 1073364031 /nfs/dbraw/zinc/36/40/31/1073364031.db2.gz OPTJBDLATNKADX-VIFPVBQESA-N 0 0 435.432 -0.562 20 0 IBADRN CS(=O)(=O)CC[C@@H]1CCCCN1C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000573452703 1072485615 /nfs/dbraw/zinc/48/56/15/1072485615.db2.gz WRZNOWFTZOPGDZ-KRWDZBQOSA-N 0 0 441.554 -0.030 20 0 IBADRN CS(=O)(=O)CC[C@H]1CCCCN1C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000573452705 1072485743 /nfs/dbraw/zinc/48/57/43/1072485743.db2.gz WRZNOWFTZOPGDZ-QGZVFWFLSA-N 0 0 441.554 -0.030 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N(C)CCN2CCOC(C)(C)C2)c1 ZINC000573464129 1072485592 /nfs/dbraw/zinc/48/55/92/1072485592.db2.gz LCDMAAJXAHOOKB-UHFFFAOYSA-N 0 0 426.539 -0.220 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000573464512 1072486208 /nfs/dbraw/zinc/48/62/08/1072486208.db2.gz QETRZFMVOKTGOP-UHFFFAOYSA-N 0 0 443.548 -0.082 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1)OC ZINC000573465396 1073326415 /nfs/dbraw/zinc/32/64/15/1073326415.db2.gz BMDLIFHGRZKXBU-GFCCVEGCSA-N 0 0 440.254 0.332 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1)OC ZINC000573465397 1073327392 /nfs/dbraw/zinc/32/73/92/1073327392.db2.gz BMDLIFHGRZKXBU-LBPRGKRZSA-N 0 0 440.254 0.332 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C(=O)NC ZINC000573468062 1072486196 /nfs/dbraw/zinc/48/61/96/1072486196.db2.gz KSVDXWUCHQTILB-LBPRGKRZSA-N 0 0 442.494 -0.705 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000573469060 1072486279 /nfs/dbraw/zinc/48/62/79/1072486279.db2.gz JMYZSKSIVBZTSJ-INIZCTEOSA-N 0 0 440.508 -0.213 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000573469061 1072486269 /nfs/dbraw/zinc/48/62/69/1072486269.db2.gz JMYZSKSIVBZTSJ-MRXNPFEDSA-N 0 0 440.508 -0.213 20 0 IBADRN COC(=O)[C@@]1(c2ccccc2)CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000573469780 1072486193 /nfs/dbraw/zinc/48/61/93/1072486193.db2.gz GJDLKPMEZSJEEV-IBGZPJMESA-N 0 0 434.474 -0.265 20 0 IBADRN COC(=O)[C@]1(c2ccccc2)CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000573469781 1072486105 /nfs/dbraw/zinc/48/61/05/1072486105.db2.gz GJDLKPMEZSJEEV-LJQANCHMSA-N 0 0 434.474 -0.265 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc2c1oc(=O)n2C ZINC000573472372 1072237376 /nfs/dbraw/zinc/23/73/76/1072237376.db2.gz ZVIHTDJYTNJGCV-GFCCVEGCSA-N 0 0 439.494 -0.153 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc2c1oc(=O)n2C ZINC000573472373 1072237541 /nfs/dbraw/zinc/23/75/41/1072237541.db2.gz ZVIHTDJYTNJGCV-LBPRGKRZSA-N 0 0 439.494 -0.153 20 0 IBADRN COc1ccc(CNC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000573477906 1072486322 /nfs/dbraw/zinc/48/63/22/1072486322.db2.gz LBVCDCKEUAYTSE-CHWSQXEVSA-N 0 0 434.540 -0.140 20 0 IBADRN COc1ccc(CNC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000573477908 1072486347 /nfs/dbraw/zinc/48/63/47/1072486347.db2.gz LBVCDCKEUAYTSE-OLZOCXBDSA-N 0 0 434.540 -0.140 20 0 IBADRN COc1ccc(CNC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000573477910 1072486344 /nfs/dbraw/zinc/48/63/44/1072486344.db2.gz LBVCDCKEUAYTSE-QWHCGFSZSA-N 0 0 434.540 -0.140 20 0 IBADRN COc1ccc(CNC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1S(N)(=O)=O ZINC000573477913 1072486287 /nfs/dbraw/zinc/48/62/87/1072486287.db2.gz LBVCDCKEUAYTSE-STQMWFEESA-N 0 0 434.540 -0.140 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@H](Cn3cccn3)C2)cn1 ZINC000573481745 1072486948 /nfs/dbraw/zinc/48/69/48/1072486948.db2.gz JHPGTHFLCUOLIH-JZXOWHBKSA-N 0 0 429.481 -0.537 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@@H](Cn3cccn3)C2)cn1 ZINC000573481748 1072486819 /nfs/dbraw/zinc/48/68/19/1072486819.db2.gz JHPGTHFLCUOLIH-NUJGCVRESA-N 0 0 429.481 -0.537 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cc(S(=O)(=O)N3CCCCC3)cn2C)CC1 ZINC000573482582 1072237851 /nfs/dbraw/zinc/23/78/51/1072237851.db2.gz GKXUWJYQXSVCIO-UHFFFAOYSA-N 0 0 447.583 -0.142 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCOC4(CCOCC4)C3)C2)nc1 ZINC000573483340 1072486935 /nfs/dbraw/zinc/48/69/35/1072486935.db2.gz KPZFTQHRKQBHGN-UHFFFAOYSA-N 0 0 432.477 -0.029 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000573493672 1072238042 /nfs/dbraw/zinc/23/80/42/1072238042.db2.gz BLRKHHWFDXBRBZ-CYBMUJFWSA-N 0 0 434.540 -0.110 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000573493674 1072238065 /nfs/dbraw/zinc/23/80/65/1072238065.db2.gz BLRKHHWFDXBRBZ-ZDUSSCGKSA-N 0 0 434.540 -0.110 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1OC ZINC000573494267 1072237975 /nfs/dbraw/zinc/23/79/75/1072237975.db2.gz HJOODGGTTFWSJJ-UHFFFAOYSA-N 0 0 435.412 0.475 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)CC2 ZINC000573501212 1072238056 /nfs/dbraw/zinc/23/80/56/1072238056.db2.gz VUFAXLUJBZMSHX-CABCVRRESA-N 0 0 426.543 -0.414 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)CC2 ZINC000573501213 1072238031 /nfs/dbraw/zinc/23/80/31/1072238031.db2.gz VUFAXLUJBZMSHX-GJZGRUSLSA-N 0 0 426.543 -0.414 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)CC2 ZINC000573501214 1072238024 /nfs/dbraw/zinc/23/80/24/1072238024.db2.gz VUFAXLUJBZMSHX-HUUCEWRRSA-N 0 0 426.543 -0.414 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)CC2 ZINC000573501215 1072237986 /nfs/dbraw/zinc/23/79/86/1072237986.db2.gz VUFAXLUJBZMSHX-LSDHHAIUSA-N 0 0 426.543 -0.414 20 0 IBADRN CC(C)OC[C@@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCO1 ZINC000573505170 1072238077 /nfs/dbraw/zinc/23/80/77/1072238077.db2.gz UVKJOMYPKSWVHL-INIZCTEOSA-N 0 0 436.513 0.272 20 0 IBADRN CC(C)OC[C@H]1CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCO1 ZINC000573505176 1072237867 /nfs/dbraw/zinc/23/78/67/1072237867.db2.gz UVKJOMYPKSWVHL-MRXNPFEDSA-N 0 0 436.513 0.272 20 0 IBADRN CCOCc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000573508538 1072487017 /nfs/dbraw/zinc/48/70/17/1072487017.db2.gz AVAUYGKEKWDDJI-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)c1 ZINC000573509816 1072237838 /nfs/dbraw/zinc/23/78/38/1072237838.db2.gz RGPWAHQIQQAGMP-AWEZNQCLSA-N 0 0 436.476 -0.163 20 0 IBADRN CNC(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)c1 ZINC000573509820 1072238442 /nfs/dbraw/zinc/23/84/42/1072238442.db2.gz RGPWAHQIQQAGMP-CQSZACIVSA-N 0 0 436.476 -0.163 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(C)CCN2CCOC(C)(C)C2)CC1 ZINC000573510128 1072237942 /nfs/dbraw/zinc/23/79/42/1072237942.db2.gz VXDDYDLOMCTWFO-UHFFFAOYSA-N 0 0 432.587 -0.124 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000573510392 1072238480 /nfs/dbraw/zinc/23/84/80/1072238480.db2.gz BNNNFLMKGSBFJF-IYBDPMFKSA-N 0 0 440.522 -0.905 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)nn1 ZINC000573511001 1072238468 /nfs/dbraw/zinc/23/84/68/1072238468.db2.gz DEWAHFUHSGAPAR-UHFFFAOYSA-N 0 0 429.462 -0.163 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)CC1 ZINC000573512326 1072486918 /nfs/dbraw/zinc/48/69/18/1072486918.db2.gz XOIHIZXQIBQPCQ-UHFFFAOYSA-N 0 0 433.461 -0.068 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccc(F)cc3F)C2=O)CCO1 ZINC000573518834 1072238408 /nfs/dbraw/zinc/23/84/08/1072238408.db2.gz RUHUPCCBVQYFKC-CVEARBPZSA-N 0 0 438.431 -0.455 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccc(F)cc3F)C2=O)CCO1 ZINC000573518835 1072238510 /nfs/dbraw/zinc/23/85/10/1072238510.db2.gz RUHUPCCBVQYFKC-HOTGVXAUSA-N 0 0 438.431 -0.455 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccc(F)cc3F)C2=O)CCO1 ZINC000573518836 1072238396 /nfs/dbraw/zinc/23/83/96/1072238396.db2.gz RUHUPCCBVQYFKC-HZPDHXFCSA-N 0 0 438.431 -0.455 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccc(F)cc3F)C2=O)CCO1 ZINC000573518837 1072238559 /nfs/dbraw/zinc/23/85/59/1072238559.db2.gz RUHUPCCBVQYFKC-JKSUJKDBSA-N 0 0 438.431 -0.455 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000573519713 1072486980 /nfs/dbraw/zinc/48/69/80/1072486980.db2.gz BZWRRKRAEHDGKM-UHFFFAOYSA-N 0 0 449.532 -0.271 20 0 IBADRN CN(C)c1ccccc1CN(C)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000573522290 1072486882 /nfs/dbraw/zinc/48/68/82/1072486882.db2.gz HANJPFICYZMKDF-UHFFFAOYSA-N 0 0 428.493 0.405 20 0 IBADRN Cc1ccc([C@@H]2COCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)o1 ZINC000573523408 1072238540 /nfs/dbraw/zinc/23/85/40/1072238540.db2.gz BFJZRQLLDOLFEQ-AWEZNQCLSA-N 0 0 426.451 -0.288 20 0 IBADRN Cc1ccc([C@H]2COCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)o1 ZINC000573523409 1072238454 /nfs/dbraw/zinc/23/84/54/1072238454.db2.gz BFJZRQLLDOLFEQ-CQSZACIVSA-N 0 0 426.451 -0.288 20 0 IBADRN CCOC(=O)CC1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000573524220 1072486833 /nfs/dbraw/zinc/48/68/33/1072486833.db2.gz WHWKRLZMQXRYKG-UHFFFAOYSA-N 0 0 430.483 -0.598 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1(C)C ZINC000573524279 1072486956 /nfs/dbraw/zinc/48/69/56/1072486956.db2.gz YTRRQAMMFFEPHD-UHFFFAOYSA-N 0 0 429.499 -0.932 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)cs1 ZINC000573526120 1072486902 /nfs/dbraw/zinc/48/69/02/1072486902.db2.gz VKPMXJWXTIMFAN-OAHLLOKOSA-N 0 0 429.568 -0.364 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1)N1CCCC1 ZINC000573526571 1072238418 /nfs/dbraw/zinc/23/84/18/1072238418.db2.gz BWUBEXBQZUMWMA-UHFFFAOYSA-N 0 0 425.493 -0.350 20 0 IBADRN CCCCN(C(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000573529207 1072486975 /nfs/dbraw/zinc/48/69/75/1072486975.db2.gz QQCYCUCTFPHQNR-INIZCTEOSA-N 0 0 438.616 -0.384 20 0 IBADRN CCCCN(C(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000573529209 1072486965 /nfs/dbraw/zinc/48/69/65/1072486965.db2.gz QQCYCUCTFPHQNR-MRXNPFEDSA-N 0 0 438.616 -0.384 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cc2ccc(S(N)(=O)=O)cc2)C1 ZINC000573538962 1072486990 /nfs/dbraw/zinc/48/69/90/1072486990.db2.gz JJICAUKKIQOXHJ-INIZCTEOSA-N 0 0 433.552 -0.225 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cc2ccc(S(N)(=O)=O)cc2)C1 ZINC000573538963 1072486861 /nfs/dbraw/zinc/48/68/61/1072486861.db2.gz JJICAUKKIQOXHJ-MRXNPFEDSA-N 0 0 433.552 -0.225 20 0 IBADRN CS(=O)(=O)CC1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000573550076 1072486997 /nfs/dbraw/zinc/48/69/97/1072486997.db2.gz ITXMWZQOLHLVSC-UHFFFAOYSA-N 0 0 436.534 -0.160 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)nn1 ZINC000573550306 1072487471 /nfs/dbraw/zinc/48/74/71/1072487471.db2.gz XEAXJPAHXNENAA-HOTGVXAUSA-N 0 0 435.529 -0.104 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)nn1 ZINC000573550309 1072487362 /nfs/dbraw/zinc/48/73/62/1072487362.db2.gz XEAXJPAHXNENAA-HZPDHXFCSA-N 0 0 435.529 -0.104 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)nn1 ZINC000573550310 1072487263 /nfs/dbraw/zinc/48/72/63/1072487263.db2.gz XEAXJPAHXNENAA-IYBDPMFKSA-N 0 0 435.529 -0.104 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](c2nccn2C)c2ccc(OC)cc2)CC1 ZINC000573580179 1072238973 /nfs/dbraw/zinc/23/89/73/1072238973.db2.gz JQHQAWBAJCAZJS-GOSISDBHSA-N 0 0 429.477 -0.049 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](c2nccn2C)c2ccc(OC)cc2)CC1 ZINC000573580180 1072238863 /nfs/dbraw/zinc/23/88/63/1072238863.db2.gz JQHQAWBAJCAZJS-SFHVURJKSA-N 0 0 429.477 -0.049 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)C2=O)n1 ZINC000573586105 1072487350 /nfs/dbraw/zinc/48/73/50/1072487350.db2.gz JRYXELYKHVHUHD-CABCVRRESA-N 0 0 426.481 -0.393 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)C2=O)n1 ZINC000573586106 1072487340 /nfs/dbraw/zinc/48/73/40/1072487340.db2.gz JRYXELYKHVHUHD-GJZGRUSLSA-N 0 0 426.481 -0.393 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)C2=O)n1 ZINC000573586107 1072487412 /nfs/dbraw/zinc/48/74/12/1072487412.db2.gz JRYXELYKHVHUHD-HUUCEWRRSA-N 0 0 426.481 -0.393 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)C2=O)n1 ZINC000573586108 1072487307 /nfs/dbraw/zinc/48/73/07/1072487307.db2.gz JRYXELYKHVHUHD-LSDHHAIUSA-N 0 0 426.481 -0.393 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2cc(S(=O)(=O)NC(C)C)c[nH]2)C1)C(N)=O ZINC000573587760 1072238873 /nfs/dbraw/zinc/23/88/73/1072238873.db2.gz SYXKGZJHWBNYPM-UHFFFAOYSA-N 0 0 427.527 -0.066 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1 ZINC000573589788 1072239485 /nfs/dbraw/zinc/23/94/85/1072239485.db2.gz VMWCUDQVFRRHBQ-GFCCVEGCSA-N 0 0 427.458 -0.166 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1 ZINC000573589790 1072239654 /nfs/dbraw/zinc/23/96/54/1072239654.db2.gz VMWCUDQVFRRHBQ-LBPRGKRZSA-N 0 0 427.458 -0.166 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CS(=O)(=O)Cc1cccc(Br)c1 ZINC000573594502 1072487294 /nfs/dbraw/zinc/48/72/94/1072487294.db2.gz BKQAIGQPDITNIL-UHFFFAOYSA-N 0 0 442.357 -0.124 20 0 IBADRN Cn1cc(CN2CCCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)CC2)cn1 ZINC000573595171 1072487393 /nfs/dbraw/zinc/48/73/93/1072487393.db2.gz DDGUFXGOYQFXEJ-GOSISDBHSA-N 0 0 428.541 -0.027 20 0 IBADRN Cn1cc(CN2CCCN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)CC2)cn1 ZINC000573595177 1072487371 /nfs/dbraw/zinc/48/73/71/1072487371.db2.gz DDGUFXGOYQFXEJ-SFHVURJKSA-N 0 0 428.541 -0.027 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)cn1 ZINC000573601903 1072239695 /nfs/dbraw/zinc/23/96/95/1072239695.db2.gz IAARNRNPKIVDMA-QAPCUYQASA-N 0 0 428.497 -0.594 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)cn1 ZINC000573602030 1072487417 /nfs/dbraw/zinc/48/74/17/1072487417.db2.gz JWOKPJABNPGOLD-MJGOQNOKSA-N 0 0 432.525 -0.338 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000573610557 1072239596 /nfs/dbraw/zinc/23/95/96/1072239596.db2.gz QNBLMDGLDLXNLQ-UHFFFAOYSA-N 0 0 437.540 -0.230 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000573611131 1072487280 /nfs/dbraw/zinc/48/72/80/1072487280.db2.gz WJESUBYKJWGHJK-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN COCCCOc1cnc(-c2noc(Cn3c(=O)c4c(ncn4C)n(C)c3=O)n2)cn1 ZINC000573612175 1072487937 /nfs/dbraw/zinc/48/79/37/1072487937.db2.gz BFIIDCFUHKPCLF-UHFFFAOYSA-N 0 0 428.409 -0.263 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2cc(C3CC3)n(C)n2)cc1 ZINC000573612503 1072239644 /nfs/dbraw/zinc/23/96/44/1072239644.db2.gz HLUOSZGHKHAVEL-UHFFFAOYSA-N 0 0 435.506 0.477 20 0 IBADRN Cn1nc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1C1CC1 ZINC000573612762 1072239690 /nfs/dbraw/zinc/23/96/90/1072239690.db2.gz LRGCHVPDYMTJFK-AWEZNQCLSA-N 0 0 425.511 -0.111 20 0 IBADRN Cn1nc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1C1CC1 ZINC000573612764 1072239616 /nfs/dbraw/zinc/23/96/16/1072239616.db2.gz LRGCHVPDYMTJFK-CQSZACIVSA-N 0 0 425.511 -0.111 20 0 IBADRN O=C(CN1CCN(C(=O)c2c[nH]nc2-c2ccccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000573612911 1072239628 /nfs/dbraw/zinc/23/96/28/1072239628.db2.gz QJBYXFSCMGVJNJ-AWEZNQCLSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2c[nH]nc2-c2ccccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000573612912 1072239516 /nfs/dbraw/zinc/23/95/16/1072239516.db2.gz QJBYXFSCMGVJNJ-CQSZACIVSA-N 0 0 432.506 -0.467 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000573612959 1072239586 /nfs/dbraw/zinc/23/95/86/1072239586.db2.gz RFNFKSYKZMMUTJ-UHFFFAOYSA-N 0 0 434.540 -0.337 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCCn2cc(CO)nn2)C1 ZINC000573616328 1072487907 /nfs/dbraw/zinc/48/79/07/1072487907.db2.gz SLPCKQPAJOOFBN-AWEZNQCLSA-N 0 0 426.499 -0.018 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCCn2cc(CO)nn2)C1 ZINC000573616332 1072488042 /nfs/dbraw/zinc/48/80/42/1072488042.db2.gz SLPCKQPAJOOFBN-CQSZACIVSA-N 0 0 426.499 -0.018 20 0 IBADRN CNS(=O)(=O)c1ccc(-c2noc(Cn3c(=O)c4c(ncn4C)n(C)c3=O)n2)cc1 ZINC000573616781 1072487925 /nfs/dbraw/zinc/48/79/25/1072487925.db2.gz RBMJCLPRCQKCIY-UHFFFAOYSA-N 0 0 431.434 -0.560 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H]2CSCCN2Cc2ccccc2)C1 ZINC000573620398 1072239386 /nfs/dbraw/zinc/23/93/86/1072239386.db2.gz WTUFKHBCYJKZQY-CVEARBPZSA-N 0 0 426.564 -0.390 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H]2CSCCN2Cc2ccccc2)C1 ZINC000573620399 1072239553 /nfs/dbraw/zinc/23/95/53/1072239553.db2.gz WTUFKHBCYJKZQY-HOTGVXAUSA-N 0 0 426.564 -0.390 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H]2CSCCN2Cc2ccccc2)C1 ZINC000573620400 1072239665 /nfs/dbraw/zinc/23/96/65/1072239665.db2.gz WTUFKHBCYJKZQY-HZPDHXFCSA-N 0 0 426.564 -0.390 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H]2CSCCN2Cc2ccccc2)C1 ZINC000573620401 1072239491 /nfs/dbraw/zinc/23/94/91/1072239491.db2.gz WTUFKHBCYJKZQY-JKSUJKDBSA-N 0 0 426.564 -0.390 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1cccc(F)c1 ZINC000573627190 1072240073 /nfs/dbraw/zinc/24/00/73/1072240073.db2.gz ADIHJPZPXGPPCE-CYBMUJFWSA-N 0 0 435.499 -0.744 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1cccc(F)c1 ZINC000573627191 1072240241 /nfs/dbraw/zinc/24/02/41/1072240241.db2.gz ADIHJPZPXGPPCE-ZDUSSCGKSA-N 0 0 435.499 -0.744 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3nnn(Cc4noc(C)n4)c3C)CC2)n1 ZINC000573629573 1072487986 /nfs/dbraw/zinc/48/79/86/1072487986.db2.gz JYZDHZBSYHNFLF-UHFFFAOYSA-N 0 0 442.440 -0.588 20 0 IBADRN Cc1cc(C)n(-c2ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)cn2)n1 ZINC000573630111 1072488010 /nfs/dbraw/zinc/48/80/10/1072488010.db2.gz PVJAACUZYHOIEP-GOSISDBHSA-N 0 0 430.509 -0.348 20 0 IBADRN Cc1cc(C)n(-c2ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)cn2)n1 ZINC000573630112 1072487913 /nfs/dbraw/zinc/48/79/13/1072487913.db2.gz PVJAACUZYHOIEP-SFHVURJKSA-N 0 0 430.509 -0.348 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CC1 ZINC000573631572 1072240040 /nfs/dbraw/zinc/24/00/40/1072240040.db2.gz FWXVVZNQBGEIRI-UHFFFAOYSA-N 0 0 431.497 -0.767 20 0 IBADRN CC(C)n1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000573632294 1072487948 /nfs/dbraw/zinc/48/79/48/1072487948.db2.gz FXWYJIZIXVJKTG-UHFFFAOYSA-N 0 0 428.497 -0.275 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000573634583 1072240013 /nfs/dbraw/zinc/24/00/13/1072240013.db2.gz UZSVLMVXLHZQDC-UHFFFAOYSA-N 0 0 434.478 -0.029 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000573640751 1072488025 /nfs/dbraw/zinc/48/80/25/1072488025.db2.gz KQFRJYKCXMGJHV-KBPBESRZSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000573640752 1072487961 /nfs/dbraw/zinc/48/79/61/1072487961.db2.gz KQFRJYKCXMGJHV-KGLIPLIRSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000573640753 1072488053 /nfs/dbraw/zinc/48/80/53/1072488053.db2.gz KQFRJYKCXMGJHV-UONOGXRCSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000573640754 1072488078 /nfs/dbraw/zinc/48/80/78/1072488078.db2.gz KQFRJYKCXMGJHV-ZIAGYGMSSA-N 0 0 438.506 -0.543 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)Nc1cc(C)n(CC(=O)NC)n1)CC2 ZINC000573649586 1072240027 /nfs/dbraw/zinc/24/00/27/1072240027.db2.gz KWXNWHDNCBLQKV-UHFFFAOYSA-N 0 0 448.505 -0.236 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1cnc(N)c(C)c1=O ZINC000573650463 1072240207 /nfs/dbraw/zinc/24/02/07/1072240207.db2.gz FNQJWOKLQDMWDX-UHFFFAOYSA-N 0 0 437.478 -0.198 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000573669533 1072240245 /nfs/dbraw/zinc/24/02/45/1072240245.db2.gz BBNPZEFQBKIDGJ-KRWDZBQOSA-N 0 0 431.493 -0.616 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000573669537 1072240119 /nfs/dbraw/zinc/24/01/19/1072240119.db2.gz BBNPZEFQBKIDGJ-QGZVFWFLSA-N 0 0 431.493 -0.616 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)c1 ZINC000573669828 1072239925 /nfs/dbraw/zinc/23/99/25/1072239925.db2.gz IHMIETWRIQYFGL-UHFFFAOYSA-N 0 0 431.518 -0.050 20 0 IBADRN O=C(NC[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000573671123 1072240167 /nfs/dbraw/zinc/24/01/67/1072240167.db2.gz XXSNOOLPFZBKED-AWEZNQCLSA-N 0 0 435.506 -0.958 20 0 IBADRN O=C(NC[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000573671124 1072240592 /nfs/dbraw/zinc/24/05/92/1072240592.db2.gz XXSNOOLPFZBKED-CQSZACIVSA-N 0 0 435.506 -0.958 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)[C@H](C)C1 ZINC000573671541 1072240684 /nfs/dbraw/zinc/24/06/84/1072240684.db2.gz LNQUQSZURSIPPJ-GDBMZVCRSA-N 0 0 428.497 -0.464 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)[C@@H](C)C1 ZINC000573671542 1072240634 /nfs/dbraw/zinc/24/06/34/1072240634.db2.gz LNQUQSZURSIPPJ-GOEBONIOSA-N 0 0 428.497 -0.464 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)[C@@H](C)C1 ZINC000573671543 1072240678 /nfs/dbraw/zinc/24/06/78/1072240678.db2.gz LNQUQSZURSIPPJ-HOCLYGCPSA-N 0 0 428.497 -0.464 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)[C@H](C)C1 ZINC000573671544 1072240609 /nfs/dbraw/zinc/24/06/09/1072240609.db2.gz LNQUQSZURSIPPJ-ZBFHGGJFSA-N 0 0 428.497 -0.464 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000573692544 1072241562 /nfs/dbraw/zinc/24/15/62/1072241562.db2.gz YCLIFMGFZJNFPI-AWEZNQCLSA-N 0 0 430.513 -0.265 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000573692553 1072241628 /nfs/dbraw/zinc/24/16/28/1072241628.db2.gz YCLIFMGFZJNFPI-CQSZACIVSA-N 0 0 430.513 -0.265 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)c1 ZINC000573700784 1072241122 /nfs/dbraw/zinc/24/11/22/1072241122.db2.gz FMJMQOCVENSOQC-CYBMUJFWSA-N 0 0 426.495 -0.023 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)c1 ZINC000573700785 1072241135 /nfs/dbraw/zinc/24/11/35/1072241135.db2.gz FMJMQOCVENSOQC-ZDUSSCGKSA-N 0 0 426.495 -0.023 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CC(C)C)N2C(=O)[C@H]3CC=CC[C@@H]3C2=O)CC1 ZINC000573702696 1072241255 /nfs/dbraw/zinc/24/12/55/1072241255.db2.gz QERRGIGSJWVOQC-JYJNAYRXSA-N 0 0 426.539 -0.039 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CC(C)C)N2C(=O)[C@@H]3CC=CC[C@H]3C2=O)CC1 ZINC000573702697 1072241248 /nfs/dbraw/zinc/24/12/48/1072241248.db2.gz QERRGIGSJWVOQC-OAGGEKHMSA-N 0 0 426.539 -0.039 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](CC(C)C)N2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)CC1 ZINC000573702698 1072241218 /nfs/dbraw/zinc/24/12/18/1072241218.db2.gz QERRGIGSJWVOQC-OWCLPIDISA-N 0 0 426.539 -0.039 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CC(C)C)N2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)CC1 ZINC000573702699 1072241187 /nfs/dbraw/zinc/24/11/87/1072241187.db2.gz QERRGIGSJWVOQC-XHSDSOJGSA-N 0 0 426.539 -0.039 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cc1 ZINC000573702935 1072241298 /nfs/dbraw/zinc/24/12/98/1072241298.db2.gz DJLPSAWZVBJQDP-AWEZNQCLSA-N 0 0 436.476 -0.163 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cc1 ZINC000573702936 1072241279 /nfs/dbraw/zinc/24/12/79/1072241279.db2.gz DJLPSAWZVBJQDP-CQSZACIVSA-N 0 0 436.476 -0.163 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC2CCN(C(=O)COC)CC2)CC1 ZINC000573704521 1072488573 /nfs/dbraw/zinc/48/85/73/1072488573.db2.gz KPIZIQJGVHTBIP-UHFFFAOYSA-N 0 0 439.557 -0.857 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)cnc2C)CC1 ZINC000573707834 1072241243 /nfs/dbraw/zinc/24/12/43/1072241243.db2.gz LXYHVUMACSBWNG-UHFFFAOYSA-N 0 0 443.507 -0.211 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000573709595 1072241233 /nfs/dbraw/zinc/24/12/33/1072241233.db2.gz FPJUEAJGEFXNNX-UHFFFAOYSA-N 0 0 442.585 -0.514 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c(N2CCOCC2)c1 ZINC000573716570 1072488704 /nfs/dbraw/zinc/48/87/04/1072488704.db2.gz YWEZDBFZGPNWFP-IBGZPJMESA-N 0 0 434.537 -0.401 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c(N2CCOCC2)c1 ZINC000573716571 1072489230 /nfs/dbraw/zinc/48/92/30/1072489230.db2.gz YWEZDBFZGPNWFP-LJQANCHMSA-N 0 0 434.537 -0.401 20 0 IBADRN COCCC(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000573716811 1072488606 /nfs/dbraw/zinc/48/86/06/1072488606.db2.gz ZLROHIWCCZASSL-UHFFFAOYSA-N 0 0 429.543 -0.260 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c3cccnc3)C1=O)CC2 ZINC000573717003 1072488759 /nfs/dbraw/zinc/48/87/59/1072488759.db2.gz QCSXGOYDSKAXCY-DZGCQCFKSA-N 0 0 427.465 -0.438 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CCCN(c3cccnc3)C1=O)CC2 ZINC000573717004 1072488783 /nfs/dbraw/zinc/48/87/83/1072488783.db2.gz QCSXGOYDSKAXCY-HIFRSBDPSA-N 0 0 427.465 -0.438 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c3cccnc3)C1=O)CC2 ZINC000573717005 1072488788 /nfs/dbraw/zinc/48/87/88/1072488788.db2.gz QCSXGOYDSKAXCY-UKRRQHHQSA-N 0 0 427.465 -0.438 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c3cccnc3)C1=O)CC2 ZINC000573717006 1072488790 /nfs/dbraw/zinc/48/87/90/1072488790.db2.gz QCSXGOYDSKAXCY-ZFWWWQNUSA-N 0 0 427.465 -0.438 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)CC1 ZINC000573717024 1072488804 /nfs/dbraw/zinc/48/88/04/1072488804.db2.gz QVCTUINCVLFRDJ-FGSXEWAUSA-N 0 0 436.553 -0.014 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)CC1 ZINC000573717025 1072488683 /nfs/dbraw/zinc/48/86/83/1072488683.db2.gz QVCTUINCVLFRDJ-HMFYCAOWSA-N 0 0 436.553 -0.014 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)CC1 ZINC000573717026 1072488767 /nfs/dbraw/zinc/48/87/67/1072488767.db2.gz QVCTUINCVLFRDJ-JBYIUTFZSA-N 0 0 436.553 -0.014 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)CC1 ZINC000573717027 1072488671 /nfs/dbraw/zinc/48/86/71/1072488671.db2.gz QVCTUINCVLFRDJ-KGVIQGDOSA-N 0 0 436.553 -0.014 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2cnn(CC(F)(F)F)c2)CC1 ZINC000573719617 1072489424 /nfs/dbraw/zinc/48/94/24/1072489424.db2.gz DKXSSFWSAGTPIR-UHFFFAOYSA-N 0 0 446.430 -0.935 20 0 IBADRN CCCCCn1cc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(C)n1 ZINC000573721131 1072489347 /nfs/dbraw/zinc/48/93/47/1072489347.db2.gz GXTACJTYTYJLAF-UHFFFAOYSA-N 0 0 431.501 -0.645 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000573733052 1072241498 /nfs/dbraw/zinc/24/14/98/1072241498.db2.gz PCCPNCQPDXWHPZ-UHFFFAOYSA-N 0 0 430.508 -0.585 20 0 IBADRN O=C(NCc1ccc(OCC2CC2)nc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000573734596 1072241670 /nfs/dbraw/zinc/24/16/70/1072241670.db2.gz XKLUEKWQKNQEPA-UHFFFAOYSA-N 0 0 429.477 -0.564 20 0 IBADRN CCC1(CO)CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000573735590 1072241534 /nfs/dbraw/zinc/24/15/34/1072241534.db2.gz BXNQPCVHLFRSAD-UHFFFAOYSA-N 0 0 430.505 -0.114 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)C(=O)N1CCCN(CCOC)C(=O)C1)N1CCOCC1 ZINC000573745895 1072489367 /nfs/dbraw/zinc/48/93/67/1072489367.db2.gz FTYDHVUNZQINPR-GOSISDBHSA-N 0 0 426.558 -0.053 20 0 IBADRN CCC(CC)[C@H](CNC(=O)C(=O)N1CCCN(CCOC)C(=O)C1)N1CCOCC1 ZINC000573745896 1072489440 /nfs/dbraw/zinc/48/94/40/1072489440.db2.gz FTYDHVUNZQINPR-SFHVURJKSA-N 0 0 426.558 -0.053 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000573746082 1072489197 /nfs/dbraw/zinc/48/91/97/1072489197.db2.gz IMBZWYDKAQAMAP-UHFFFAOYSA-N 0 0 425.511 -0.972 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccc(Cl)cc3)CC2)CC1 ZINC000573747451 1072489401 /nfs/dbraw/zinc/48/94/01/1072489401.db2.gz HDVVLISNYUISAY-UHFFFAOYSA-N 0 0 449.939 -0.049 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000573750282 1072489462 /nfs/dbraw/zinc/48/94/62/1072489462.db2.gz IJQFJRYHBJRDLT-GXTWGEPZSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000573750284 1072489242 /nfs/dbraw/zinc/48/92/42/1072489242.db2.gz IJQFJRYHBJRDLT-JSGCOSHPSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000573750286 1072489216 /nfs/dbraw/zinc/48/92/16/1072489216.db2.gz IJQFJRYHBJRDLT-OCCSQVGLSA-N 0 0 446.551 -0.688 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000573750288 1072489319 /nfs/dbraw/zinc/48/93/19/1072489319.db2.gz IJQFJRYHBJRDLT-TZMCWYRMSA-N 0 0 446.551 -0.688 20 0 IBADRN CN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)[C@H]1CCN(c2ccccc2)C1=O ZINC000573760306 1072489259 /nfs/dbraw/zinc/48/92/59/1072489259.db2.gz VYILCNNRBHAQHR-INIZCTEOSA-N 0 0 449.489 -0.526 20 0 IBADRN CN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000573760311 1072489307 /nfs/dbraw/zinc/48/93/07/1072489307.db2.gz VYILCNNRBHAQHR-MRXNPFEDSA-N 0 0 449.489 -0.526 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCC1 ZINC000573761463 1072489393 /nfs/dbraw/zinc/48/93/93/1072489393.db2.gz RJROAYKKBKJZLN-UHFFFAOYSA-N 0 0 428.511 -0.028 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(N3CCNC3=O)ccc2Cl)C1 ZINC000573766272 1072489287 /nfs/dbraw/zinc/48/92/87/1072489287.db2.gz ZYBLRDFEKDJHDE-LLVKDONJSA-N 0 0 429.886 -0.042 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(N3CCNC3=O)ccc2Cl)C1 ZINC000573766274 1072489247 /nfs/dbraw/zinc/48/92/47/1072489247.db2.gz ZYBLRDFEKDJHDE-NSHDSACASA-N 0 0 429.886 -0.042 20 0 IBADRN NC(=O)CCn1ccc(NC(=O)CNS(=O)(=O)c2cccc(Br)c2)n1 ZINC000573766689 1073317205 /nfs/dbraw/zinc/31/72/05/1073317205.db2.gz AYZDUSCYPBDLIB-UHFFFAOYSA-N 0 0 430.284 0.438 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1F ZINC000573767063 1072489297 /nfs/dbraw/zinc/48/92/97/1072489297.db2.gz JPFQUNHVQDEWHD-UHFFFAOYSA-N 0 0 440.403 -0.115 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(F)c(C(=O)OC)c1F ZINC000573767386 1072489329 /nfs/dbraw/zinc/48/93/29/1072489329.db2.gz OEIQAVMXVRFMFR-LLVKDONJSA-N 0 0 426.376 -0.457 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000573767463 1072489872 /nfs/dbraw/zinc/48/98/72/1072489872.db2.gz SDRRLCNUOLCGSU-UHFFFAOYSA-N 0 0 437.526 -0.312 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(F)c(C(=O)OC)c1F ZINC000573767519 1072490126 /nfs/dbraw/zinc/49/01/26/1072490126.db2.gz OEIQAVMXVRFMFR-NSHDSACASA-N 0 0 426.376 -0.457 20 0 IBADRN CCOCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000573787667 1072241745 /nfs/dbraw/zinc/24/17/45/1072241745.db2.gz JBFHIJQXFPNEJH-UHFFFAOYSA-N 0 0 430.483 -0.856 20 0 IBADRN CC(C)COc1ccccc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000573787873 1072241735 /nfs/dbraw/zinc/24/17/35/1072241735.db2.gz QEFVYDPEAHARGJ-UHFFFAOYSA-N 0 0 429.481 -0.305 20 0 IBADRN O=C(Nc1nncn1-c1cccnc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000573789736 1072241543 /nfs/dbraw/zinc/24/15/43/1072241543.db2.gz DEPUQCAXWZTLDC-UHFFFAOYSA-N 0 0 427.446 0.035 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N(C)CCNC(=O)N(C)C ZINC000573819974 1072490212 /nfs/dbraw/zinc/49/02/12/1072490212.db2.gz NRZLQLRZGZGWPK-UHFFFAOYSA-N 0 0 441.554 -0.140 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC[C@@H](C(C)C)N3CCOCC3)CC2)n1 ZINC000573820682 1072241576 /nfs/dbraw/zinc/24/15/76/1072241576.db2.gz UAZDGMWQRRJMRS-INIZCTEOSA-N 0 0 438.529 -0.667 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC[C@H](C(C)C)N3CCOCC3)CC2)n1 ZINC000573820691 1072241511 /nfs/dbraw/zinc/24/15/11/1072241511.db2.gz UAZDGMWQRRJMRS-MRXNPFEDSA-N 0 0 438.529 -0.667 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)C(=O)N1CCc2cc(F)ccc21 ZINC000573821550 1072490192 /nfs/dbraw/zinc/49/01/92/1072490192.db2.gz ZXROJPZPPFRPPL-UHFFFAOYSA-N 0 0 440.497 -0.128 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CS(=O)(=O)CCO2)cc1F ZINC000573824694 1072490004 /nfs/dbraw/zinc/49/00/04/1072490004.db2.gz OCVBGWGJBBDTEI-JTQLQIEISA-N 0 0 430.501 -0.321 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@H]2CS(=O)(=O)CCO2)cc1F ZINC000573824695 1072489910 /nfs/dbraw/zinc/48/99/10/1072489910.db2.gz OCVBGWGJBBDTEI-SNVBAGLBSA-N 0 0 430.501 -0.321 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)CC2)nc1 ZINC000573828386 1072490151 /nfs/dbraw/zinc/49/01/51/1072490151.db2.gz FMYWKVGUTBECEY-OAHLLOKOSA-N 0 0 436.538 -0.031 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc(-n3cnnn3)c(C)c2)C1)C(N)=O ZINC000573830534 1072490083 /nfs/dbraw/zinc/49/00/83/1072490083.db2.gz SSMJZIFSORVKGM-UHFFFAOYSA-N 0 0 442.480 -0.472 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C[C@H]1C ZINC000573835875 1072490104 /nfs/dbraw/zinc/49/01/04/1072490104.db2.gz YHHPCUWVBKOBTH-IKGGRYGDSA-N 0 0 444.540 -0.630 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C[C@H]1C ZINC000573835876 1072490135 /nfs/dbraw/zinc/49/01/35/1072490135.db2.gz YHHPCUWVBKOBTH-IXDOHACOSA-N 0 0 444.540 -0.630 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C[C@@H]1C ZINC000573835877 1072489967 /nfs/dbraw/zinc/48/99/67/1072489967.db2.gz YHHPCUWVBKOBTH-ULQDDVLXSA-N 0 0 444.540 -0.630 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C[C@@H]1C ZINC000573835878 1072490160 /nfs/dbraw/zinc/49/01/60/1072490160.db2.gz YHHPCUWVBKOBTH-YESZJQIVSA-N 0 0 444.540 -0.630 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1 ZINC000573837573 1072490173 /nfs/dbraw/zinc/49/01/73/1072490173.db2.gz UENCMOSRHKLRTN-GFCCVEGCSA-N 0 0 426.543 -0.158 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)Cc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1 ZINC000573837578 1072490219 /nfs/dbraw/zinc/49/02/19/1072490219.db2.gz UENCMOSRHKLRTN-LBPRGKRZSA-N 0 0 426.543 -0.158 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cccc(N(C)C)n3)CC2)cn1C ZINC000573837861 1072490199 /nfs/dbraw/zinc/49/01/99/1072490199.db2.gz YDPGMLFQHNOYSS-UHFFFAOYSA-N 0 0 449.537 -0.661 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCc3nc(COC)nn3C2)CC1 ZINC000573841895 1072490697 /nfs/dbraw/zinc/49/06/97/1072490697.db2.gz LNKMZFOOEBGBNV-INIZCTEOSA-N 0 0 449.556 -0.742 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCc3nc(COC)nn3C2)CC1 ZINC000573841896 1072490546 /nfs/dbraw/zinc/49/05/46/1072490546.db2.gz LNKMZFOOEBGBNV-MRXNPFEDSA-N 0 0 449.556 -0.742 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-n3cccn3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000573842431 1072490053 /nfs/dbraw/zinc/49/00/53/1072490053.db2.gz ZPQNJGVZNNZDTG-KRWDZBQOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-n3cccn3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000573842433 1072490603 /nfs/dbraw/zinc/49/06/03/1072490603.db2.gz ZPQNJGVZNNZDTG-QGZVFWFLSA-N 0 0 431.518 -0.067 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C12CCOCC2 ZINC000573845762 1072490648 /nfs/dbraw/zinc/49/06/48/1072490648.db2.gz SGJDYRBCBRHUKW-CBZIJGRNSA-N 0 0 433.509 -0.054 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C12CCOCC2 ZINC000573845763 1072490678 /nfs/dbraw/zinc/49/06/78/1072490678.db2.gz SGJDYRBCBRHUKW-CVYDXHPNSA-N 0 0 433.509 -0.054 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C12CCOCC2 ZINC000573845764 1072490507 /nfs/dbraw/zinc/49/05/07/1072490507.db2.gz SGJDYRBCBRHUKW-HPFXQQBRSA-N 0 0 433.509 -0.054 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)C12CCOCC2 ZINC000573845765 1072490492 /nfs/dbraw/zinc/49/04/92/1072490492.db2.gz SGJDYRBCBRHUKW-KONPQCLYSA-N 0 0 433.509 -0.054 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(CC(=O)OC)c1 ZINC000573846272 1072490581 /nfs/dbraw/zinc/49/05/81/1072490581.db2.gz HOJPJKRTHQVVNP-AWEZNQCLSA-N 0 0 432.520 -0.284 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(CC(=O)OC)c1 ZINC000573846273 1072490672 /nfs/dbraw/zinc/49/06/72/1072490672.db2.gz HOJPJKRTHQVVNP-CQSZACIVSA-N 0 0 432.520 -0.284 20 0 IBADRN CN1C[C@@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@H]3CC(=O)N(C)C3)N2Cc2ccccc2)CC1=O ZINC000573847158 1072490609 /nfs/dbraw/zinc/49/06/09/1072490609.db2.gz CSWMODRXBCOESR-CADBVGFASA-N 0 0 441.532 -0.287 20 0 IBADRN CN1C[C@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@@H]3CC(=O)N(C)C3)N2Cc2ccccc2)CC1=O ZINC000573847159 1072490517 /nfs/dbraw/zinc/49/05/17/1072490517.db2.gz CSWMODRXBCOESR-MKXGPGLRSA-N 0 0 441.532 -0.287 20 0 IBADRN CN1C[C@H](NC(=O)[C@H]2CC[C@@H](C(=O)N[C@H]3CC(=O)N(C)C3)N2Cc2ccccc2)CC1=O ZINC000573847160 1072490653 /nfs/dbraw/zinc/49/06/53/1072490653.db2.gz CSWMODRXBCOESR-QGFMHUBQSA-N 0 0 441.532 -0.287 20 0 IBADRN CN1C[C@H](NC(=O)[C@@H]2CC[C@H](C(=O)N[C@H]3CC(=O)N(C)C3)N2Cc2ccccc2)CC1=O ZINC000573847161 1072490726 /nfs/dbraw/zinc/49/07/26/1072490726.db2.gz CSWMODRXBCOESR-SEXKYXSUSA-N 0 0 441.532 -0.287 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)CC1 ZINC000573851331 1072490589 /nfs/dbraw/zinc/49/05/89/1072490589.db2.gz NUWOFWJEGUEHGM-UHFFFAOYSA-N 0 0 441.510 -0.780 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000573851610 1072490752 /nfs/dbraw/zinc/49/07/52/1072490752.db2.gz SFCKSJYRNNOWSS-HNNXBMFYSA-N 0 0 444.941 -0.332 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000573851613 1072490684 /nfs/dbraw/zinc/49/06/84/1072490684.db2.gz SFCKSJYRNNOWSS-OAHLLOKOSA-N 0 0 444.941 -0.332 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCOCC3(CCOCC3)C2)CC1 ZINC000573867711 1072242199 /nfs/dbraw/zinc/24/21/99/1072242199.db2.gz RJELUZGHDKNFGT-UHFFFAOYSA-N 0 0 431.555 -0.038 20 0 IBADRN CCCCN1C(=O)N=NC1S(=O)(=O)CCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000573869549 1072490714 /nfs/dbraw/zinc/49/07/14/1072490714.db2.gz CJEYIPJQGJHRBM-UHFFFAOYSA-N 0 0 425.471 -0.605 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCc2ccnc(N3CCOCC3)c2)C1 ZINC000573870299 1072490636 /nfs/dbraw/zinc/49/06/36/1072490636.db2.gz CDKFKGSVUXGIEB-MRXNPFEDSA-N 0 0 426.543 -0.012 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000573876718 1072242352 /nfs/dbraw/zinc/24/23/52/1072242352.db2.gz PDPHPIFUAUXOAC-INIZCTEOSA-N 0 0 434.474 -0.251 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1 ZINC000573876720 1072242303 /nfs/dbraw/zinc/24/23/03/1072242303.db2.gz PDPHPIFUAUXOAC-MRXNPFEDSA-N 0 0 434.474 -0.251 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)C1=O ZINC000573878134 1072491410 /nfs/dbraw/zinc/49/14/10/1072491410.db2.gz AIUSYLZXCFDKOE-INIZCTEOSA-N 0 0 448.524 -0.342 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)C1=O ZINC000573878135 1072491444 /nfs/dbraw/zinc/49/14/44/1072491444.db2.gz AIUSYLZXCFDKOE-MRXNPFEDSA-N 0 0 448.524 -0.342 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(F)c(F)c1 ZINC000573878269 1072491529 /nfs/dbraw/zinc/49/15/29/1072491529.db2.gz AYJYYTCZDINUBS-INIZCTEOSA-N 0 0 438.431 -0.282 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(F)c(F)c1 ZINC000573878270 1072491350 /nfs/dbraw/zinc/49/13/50/1072491350.db2.gz AYJYYTCZDINUBS-MRXNPFEDSA-N 0 0 438.431 -0.282 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000573885759 1072491485 /nfs/dbraw/zinc/49/14/85/1072491485.db2.gz PNTRAXJXXYNZEC-UHFFFAOYSA-N 0 0 433.481 -0.166 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000573907177 1072491469 /nfs/dbraw/zinc/49/14/69/1072491469.db2.gz ANHVJZLARUZPAV-KRWDZBQOSA-N 0 0 441.532 -0.153 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000573907180 1072491381 /nfs/dbraw/zinc/49/13/81/1072491381.db2.gz ANHVJZLARUZPAV-QGZVFWFLSA-N 0 0 441.532 -0.153 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)CC2)no1 ZINC000573928645 1072491460 /nfs/dbraw/zinc/49/14/60/1072491460.db2.gz DNLLUUNPZKPZJE-UHFFFAOYSA-N 0 0 446.508 -0.002 20 0 IBADRN CC(C)c1cccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000573928676 1072491526 /nfs/dbraw/zinc/49/15/26/1072491526.db2.gz POILYUVJEBYGPZ-UHFFFAOYSA-N 0 0 428.493 -0.085 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)ccc1-n1cnnn1 ZINC000573948941 1072491937 /nfs/dbraw/zinc/49/19/37/1072491937.db2.gz ZLEWERJFWYMYLC-CQSZACIVSA-N 0 0 433.494 -0.018 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2ncccc2Cl)C1 ZINC000573950267 1072491917 /nfs/dbraw/zinc/49/19/17/1072491917.db2.gz QAHKJNJVEVLVDI-CHWSQXEVSA-N 0 0 429.930 -0.030 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2ncccc2Cl)C1 ZINC000573950275 1072491891 /nfs/dbraw/zinc/49/18/91/1072491891.db2.gz QAHKJNJVEVLVDI-OLZOCXBDSA-N 0 0 429.930 -0.030 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2ncccc2Cl)C1 ZINC000573950276 1072491871 /nfs/dbraw/zinc/49/18/71/1072491871.db2.gz QAHKJNJVEVLVDI-QWHCGFSZSA-N 0 0 429.930 -0.030 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2ncccc2Cl)C1 ZINC000573950278 1072491858 /nfs/dbraw/zinc/49/18/58/1072491858.db2.gz QAHKJNJVEVLVDI-STQMWFEESA-N 0 0 429.930 -0.030 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCCC[C@H]2[C@@H]2CNC(=O)C2)s1 ZINC000573956316 1072492007 /nfs/dbraw/zinc/49/20/07/1072492007.db2.gz XCODLPVZJICIHZ-KBPBESRZSA-N 0 0 442.563 -0.032 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCCC[C@H]2[C@H]2CNC(=O)C2)s1 ZINC000573956318 1072491832 /nfs/dbraw/zinc/49/18/32/1072491832.db2.gz XCODLPVZJICIHZ-KGLIPLIRSA-N 0 0 442.563 -0.032 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCCC[C@@H]2[C@@H]2CNC(=O)C2)s1 ZINC000573956321 1072491964 /nfs/dbraw/zinc/49/19/64/1072491964.db2.gz XCODLPVZJICIHZ-UONOGXRCSA-N 0 0 442.563 -0.032 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCCC[C@@H]2[C@H]2CNC(=O)C2)s1 ZINC000573956322 1072491968 /nfs/dbraw/zinc/49/19/68/1072491968.db2.gz XCODLPVZJICIHZ-ZIAGYGMSSA-N 0 0 442.563 -0.032 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCCN3CCOCC3(C)C)C2)nc1 ZINC000573959749 1072491975 /nfs/dbraw/zinc/49/19/75/1072491975.db2.gz UGHOSYBPOQKDSF-UHFFFAOYSA-N 0 0 433.509 -0.210 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)NCCN1CCS(=O)(=O)CC1 ZINC000573964940 1072246767 /nfs/dbraw/zinc/24/67/67/1072246767.db2.gz CMSGESSUPRIXDA-UHFFFAOYSA-N 0 0 443.469 -0.170 20 0 IBADRN O=C(c1cc2n(n1)CCO2)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000573967752 1072246755 /nfs/dbraw/zinc/24/67/55/1072246755.db2.gz ORWYDDDMMQCVCZ-UHFFFAOYSA-N 0 0 433.446 -0.247 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@]3(CO)C[C@H]3c3ccccc3)cnc2n(C)c1=O ZINC000573969905 1072246813 /nfs/dbraw/zinc/24/68/13/1072246813.db2.gz DKSALCYNFXXEEL-AOMKIAJQSA-N 0 0 437.456 -0.147 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@]3(CO)C[C@H]3c3ccccc3)cnc2n(C)c1=O ZINC000573969907 1072247360 /nfs/dbraw/zinc/24/73/60/1072247360.db2.gz DKSALCYNFXXEEL-KSFYIVLOSA-N 0 0 437.456 -0.147 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@]3(CO)C[C@@H]3c3ccccc3)cnc2n(C)c1=O ZINC000573969909 1072247302 /nfs/dbraw/zinc/24/73/02/1072247302.db2.gz DKSALCYNFXXEEL-OPAMFIHVSA-N 0 0 437.456 -0.147 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@]3(CO)C[C@@H]3c3ccccc3)cnc2n(C)c1=O ZINC000573969911 1072247387 /nfs/dbraw/zinc/24/73/87/1072247387.db2.gz DKSALCYNFXXEEL-ZHRRBRCNSA-N 0 0 437.456 -0.147 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000573971822 1072247314 /nfs/dbraw/zinc/24/73/14/1072247314.db2.gz XIZVHLCCXRXCHG-UHFFFAOYSA-N 0 0 425.442 -0.202 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CC[C@H](S(N)(=O)=O)C1 ZINC000573972956 1072247264 /nfs/dbraw/zinc/24/72/64/1072247264.db2.gz DQAXBXAZJDVAGM-HNNXBMFYSA-N 0 0 430.552 -0.421 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CC[C@@H](S(N)(=O)=O)C1 ZINC000573972962 1072247138 /nfs/dbraw/zinc/24/71/38/1072247138.db2.gz DQAXBXAZJDVAGM-OAHLLOKOSA-N 0 0 430.552 -0.421 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1cc(F)ccc1F)C2 ZINC000573977493 1072247216 /nfs/dbraw/zinc/24/72/16/1072247216.db2.gz UODKPBJLDJBASR-UHFFFAOYSA-N 0 0 436.419 -0.888 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CC1 ZINC000573984714 1072491847 /nfs/dbraw/zinc/49/18/47/1072491847.db2.gz GGINNVHFRYYMEY-UHFFFAOYSA-N 0 0 441.532 -0.153 20 0 IBADRN NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)N3CCCN(S(=O)(=O)C4CC4)CC3)cc21 ZINC000573985424 1072491981 /nfs/dbraw/zinc/49/19/81/1072491981.db2.gz SDEWJGFKNFOJNK-UHFFFAOYSA-N 0 0 434.478 -0.373 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000573989603 1072247351 /nfs/dbraw/zinc/24/73/51/1072247351.db2.gz WQWNTQGRVBXALH-BBRMVZONSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000573989604 1072247155 /nfs/dbraw/zinc/24/71/55/1072247155.db2.gz WQWNTQGRVBXALH-CJNGLKHVSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000573989605 1072247285 /nfs/dbraw/zinc/24/72/85/1072247285.db2.gz WQWNTQGRVBXALH-CZUORRHYSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)C1 ZINC000573989607 1072247227 /nfs/dbraw/zinc/24/72/27/1072247227.db2.gz WQWNTQGRVBXALH-XJKSGUPXSA-N 0 0 438.506 -0.543 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1C ZINC000573989866 1072491897 /nfs/dbraw/zinc/49/18/97/1072491897.db2.gz OJJKNXCMVPPPGA-INIZCTEOSA-N 0 0 441.554 -0.211 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1C ZINC000573989871 1072492014 /nfs/dbraw/zinc/49/20/14/1072492014.db2.gz OJJKNXCMVPPPGA-MRXNPFEDSA-N 0 0 441.554 -0.211 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)CN1CCOCC1 ZINC000573989890 1072492602 /nfs/dbraw/zinc/49/26/02/1072492602.db2.gz YFIBTNXIDHLCSS-IBGZPJMESA-N 0 0 437.585 -0.382 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1)CN1CCOCC1 ZINC000573989891 1072492591 /nfs/dbraw/zinc/49/25/91/1072492591.db2.gz YFIBTNXIDHLCSS-LJQANCHMSA-N 0 0 437.585 -0.382 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)C1 ZINC000573991004 1072247206 /nfs/dbraw/zinc/24/72/06/1072247206.db2.gz OMZOCPHALABUNA-HNNXBMFYSA-N 0 0 438.506 -0.444 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)c(C(=O)N3CCOCC3)c2)C1 ZINC000573991005 1072247191 /nfs/dbraw/zinc/24/71/91/1072247191.db2.gz OMZOCPHALABUNA-OAHLLOKOSA-N 0 0 438.506 -0.444 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NCCCn2c(=O)ccn(C)c2=O)cc1 ZINC000573995364 1072492638 /nfs/dbraw/zinc/49/26/38/1072492638.db2.gz SUVJLHHGEAIBGM-UHFFFAOYSA-N 0 0 436.490 -0.376 20 0 IBADRN CCCCn1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)n1 ZINC000573999906 1072492678 /nfs/dbraw/zinc/49/26/78/1072492678.db2.gz QZUHHJVSPCDKFU-UHFFFAOYSA-N 0 0 448.524 -0.863 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCN(c3cc(C)[nH]n3)CC2)CC1 ZINC000574002021 1072247399 /nfs/dbraw/zinc/24/73/99/1072247399.db2.gz RTXRHENPEDXMND-HNNXBMFYSA-N 0 0 433.557 -0.138 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCN(c3cc(C)[nH]n3)CC2)CC1 ZINC000574002022 1072247271 /nfs/dbraw/zinc/24/72/71/1072247271.db2.gz RTXRHENPEDXMND-OAHLLOKOSA-N 0 0 433.557 -0.138 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2C1=O)N[C@@H](CO)CN1CCOCC1 ZINC000574002099 1072247176 /nfs/dbraw/zinc/24/71/76/1072247176.db2.gz WBAXXGYWWIBCKB-GFCCVEGCSA-N 0 0 426.267 -0.146 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2C1=O)N[C@H](CO)CN1CCOCC1 ZINC000574002100 1072247294 /nfs/dbraw/zinc/24/72/94/1072247294.db2.gz WBAXXGYWWIBCKB-LBPRGKRZSA-N 0 0 426.267 -0.146 20 0 IBADRN O=S(=O)(NCCCn1cc(CO)nn1)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000574002971 1072247764 /nfs/dbraw/zinc/24/77/64/1072247764.db2.gz MIJIUOJBVYBTDE-UHFFFAOYSA-N 0 0 429.524 -0.077 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)cn1 ZINC000574003453 1072247327 /nfs/dbraw/zinc/24/73/27/1072247327.db2.gz XVCXZPMYFVXVGK-LMMKCTJWSA-N 0 0 432.525 -0.431 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)cn1 ZINC000574003454 1072247790 /nfs/dbraw/zinc/24/77/90/1072247790.db2.gz XVCXZPMYFVXVGK-SCTDSRPQSA-N 0 0 432.525 -0.431 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000574006114 1072247745 /nfs/dbraw/zinc/24/77/45/1072247745.db2.gz JTHGGHFOBZETPO-UHFFFAOYSA-N 0 0 435.524 -0.478 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)CC2 ZINC000574006385 1072247716 /nfs/dbraw/zinc/24/77/16/1072247716.db2.gz OGONIUMFUOFLGU-GFCCVEGCSA-N 0 0 439.494 -0.149 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)CC2 ZINC000574006389 1072247783 /nfs/dbraw/zinc/24/77/83/1072247783.db2.gz OGONIUMFUOFLGU-LBPRGKRZSA-N 0 0 439.494 -0.149 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC(n4cnc(C(N)=O)c4N)CC3)nc2)CC1 ZINC000574009475 1072492626 /nfs/dbraw/zinc/49/26/26/1072492626.db2.gz MNIGEPUGEKTSMW-UHFFFAOYSA-N 0 0 448.553 -0.263 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)[C@@H](C)CS(=O)(=O)CC ZINC000574026834 1073335088 /nfs/dbraw/zinc/33/50/88/1073335088.db2.gz GDTBYCFZYAIFJY-HNNXBMFYSA-N 0 0 429.543 -0.175 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccn(CCN2CCOCC2)n1)[C@H](C)CS(=O)(=O)CC ZINC000574026835 1072492717 /nfs/dbraw/zinc/49/27/17/1072492717.db2.gz GDTBYCFZYAIFJY-OAHLLOKOSA-N 0 0 429.543 -0.175 20 0 IBADRN CN1CCN(C(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)CC1=O ZINC000574028342 1072492615 /nfs/dbraw/zinc/49/26/15/1072492615.db2.gz SHVKFDMKHBIWRI-UHFFFAOYSA-N 0 0 434.474 -0.125 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000574057098 1072251043 /nfs/dbraw/zinc/25/10/43/1072251043.db2.gz IGNCCJASXHGPJZ-FQEVSTJZSA-N 0 0 434.537 -0.714 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000574057099 1072251547 /nfs/dbraw/zinc/25/15/47/1072251547.db2.gz IGNCCJASXHGPJZ-HXUWFJFHSA-N 0 0 434.537 -0.714 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000574059019 1072251634 /nfs/dbraw/zinc/25/16/34/1072251634.db2.gz DJBKXXKRIWIPTQ-UHFFFAOYSA-N 0 0 438.488 -0.348 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC000574061338 1072492687 /nfs/dbraw/zinc/49/26/87/1072492687.db2.gz VNFYKRWMKCRIJI-INIZCTEOSA-N 0 0 445.520 -0.090 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC000574061340 1072492713 /nfs/dbraw/zinc/49/27/13/1072492713.db2.gz VNFYKRWMKCRIJI-MRXNPFEDSA-N 0 0 445.520 -0.090 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCC(OC[C@H]2CCOC2)CC1 ZINC000574061380 1072492695 /nfs/dbraw/zinc/49/26/95/1072492695.db2.gz WZODKCKNKRSQSB-INIZCTEOSA-N 0 0 435.525 -0.069 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCC(OC[C@@H]2CCOC2)CC1 ZINC000574061382 1072492650 /nfs/dbraw/zinc/49/26/50/1072492650.db2.gz WZODKCKNKRSQSB-MRXNPFEDSA-N 0 0 435.525 -0.069 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000574061419 1072492733 /nfs/dbraw/zinc/49/27/33/1072492733.db2.gz YNNQTTHEOWZYFO-UHFFFAOYSA-N 0 0 446.533 -0.067 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCNC(=O)C[C@H]1c1ccccc1 ZINC000574062916 1072492667 /nfs/dbraw/zinc/49/26/67/1072492667.db2.gz CYSCPEAOKIFTGD-KRWDZBQOSA-N 0 0 440.504 -0.023 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCNC(=O)C[C@@H]1c1ccccc1 ZINC000574062917 1072493228 /nfs/dbraw/zinc/49/32/28/1072493228.db2.gz CYSCPEAOKIFTGD-QGZVFWFLSA-N 0 0 440.504 -0.023 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N(C)[C@@H](C)CO)cc2)CC1 ZINC000574063518 1072492700 /nfs/dbraw/zinc/49/27/00/1072492700.db2.gz DBLAABRBIMXEED-KRWDZBQOSA-N 0 0 434.537 -0.273 20 0 IBADRN COCCNc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000574064465 1072493243 /nfs/dbraw/zinc/49/32/43/1072493243.db2.gz KOQVJEKBNRIKLF-UHFFFAOYSA-N 0 0 431.493 -0.690 20 0 IBADRN CCOc1ccc(Cl)cc1CCNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000574066257 1072251004 /nfs/dbraw/zinc/25/10/04/1072251004.db2.gz VKWRCCCLCWFDTN-UHFFFAOYSA-N 0 0 449.899 -0.245 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCc1ccn(-c2ccc(F)cc2)n1 ZINC000574069221 1072251027 /nfs/dbraw/zinc/25/10/27/1072251027.db2.gz OEJZBXYUVZOOLH-IBGZPJMESA-N 0 0 433.484 -0.178 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCc1ccn(-c2ccc(F)cc2)n1 ZINC000574069223 1072493265 /nfs/dbraw/zinc/49/32/65/1072493265.db2.gz OEJZBXYUVZOOLH-LJQANCHMSA-N 0 0 433.484 -0.178 20 0 IBADRN CC[C@H](NC(=O)C(=O)N(CCO)Cc1cc(OC)ccc1OC)C(=O)N1CCOCC1 ZINC000574070650 1072493186 /nfs/dbraw/zinc/49/31/86/1072493186.db2.gz RRNMTWDMRSNREW-KRWDZBQOSA-N 0 0 437.493 -0.222 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N(CCO)Cc1cc(OC)ccc1OC)C(=O)N1CCOCC1 ZINC000574070651 1072493165 /nfs/dbraw/zinc/49/31/65/1072493165.db2.gz RRNMTWDMRSNREW-QGZVFWFLSA-N 0 0 437.493 -0.222 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000574073414 1072251016 /nfs/dbraw/zinc/25/10/16/1072251016.db2.gz OIAKOBYKEGCBAJ-AWEZNQCLSA-N 0 0 444.558 -0.189 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCN1C(=O)NC2(CCCC2)C1=O ZINC000574073418 1072251061 /nfs/dbraw/zinc/25/10/61/1072251061.db2.gz OIAKOBYKEGCBAJ-CQSZACIVSA-N 0 0 444.558 -0.189 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)C1=O ZINC000574074022 1072250893 /nfs/dbraw/zinc/25/08/93/1072250893.db2.gz WTECHRQRLDNSIA-INIZCTEOSA-N 0 0 443.483 -0.090 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)C1=O ZINC000574074026 1072250972 /nfs/dbraw/zinc/25/09/72/1072250972.db2.gz WTECHRQRLDNSIA-MRXNPFEDSA-N 0 0 443.483 -0.090 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCN(c2ccccc2OC)C1=O ZINC000574074481 1072250964 /nfs/dbraw/zinc/25/09/64/1072250964.db2.gz SGCNUBYRRDUSSM-AWEZNQCLSA-N 0 0 440.522 -0.296 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2OC)C1=O ZINC000574074482 1072250979 /nfs/dbraw/zinc/25/09/79/1072250979.db2.gz SGCNUBYRRDUSSM-CQSZACIVSA-N 0 0 440.522 -0.296 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCc3cnn(CCOC)c3)CC2)CC1 ZINC000574074721 1072250942 /nfs/dbraw/zinc/25/09/42/1072250942.db2.gz BCPRTHWOKDXJRB-UHFFFAOYSA-N 0 0 448.568 -0.062 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000574077833 1072493182 /nfs/dbraw/zinc/49/31/82/1072493182.db2.gz YREPQZLGDQJOOR-MSOLQXFVSA-N 0 0 441.532 -0.249 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000574077834 1072493223 /nfs/dbraw/zinc/49/32/23/1072493223.db2.gz YREPQZLGDQJOOR-QZTJIDSGSA-N 0 0 441.532 -0.249 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000574077835 1072493177 /nfs/dbraw/zinc/49/31/77/1072493177.db2.gz YREPQZLGDQJOOR-ROUUACIJSA-N 0 0 441.532 -0.249 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000574077836 1072250954 /nfs/dbraw/zinc/25/09/54/1072250954.db2.gz YREPQZLGDQJOOR-ZWKOTPCHSA-N 0 0 441.532 -0.249 20 0 IBADRN CCc1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000574078568 1072493302 /nfs/dbraw/zinc/49/33/02/1072493302.db2.gz PUUWQHKFDDOAJI-CVEARBPZSA-N 0 0 447.540 -0.817 20 0 IBADRN CCc1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000574078569 1072493254 /nfs/dbraw/zinc/49/32/54/1072493254.db2.gz PUUWQHKFDDOAJI-HOTGVXAUSA-N 0 0 447.540 -0.817 20 0 IBADRN CCc1nc2n(n1)CCC[C@H]2NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000574078570 1072493294 /nfs/dbraw/zinc/49/32/94/1072493294.db2.gz PUUWQHKFDDOAJI-HZPDHXFCSA-N 0 0 447.540 -0.817 20 0 IBADRN CCc1nc2n(n1)CCC[C@H]2NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000574078571 1072493218 /nfs/dbraw/zinc/49/32/18/1072493218.db2.gz PUUWQHKFDDOAJI-JKSUJKDBSA-N 0 0 447.540 -0.817 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)C2)nc1 ZINC000574078720 1072493191 /nfs/dbraw/zinc/49/31/91/1072493191.db2.gz YHRRPWMUPQHRGN-UHFFFAOYSA-N 0 0 447.473 -0.151 20 0 IBADRN COC1CCC(C)(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000574081019 1072250899 /nfs/dbraw/zinc/25/08/99/1072250899.db2.gz DBCMGIGZXFUTBM-UHFFFAOYSA-N 0 0 438.525 -0.773 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1OC ZINC000574088846 1072493282 /nfs/dbraw/zinc/49/32/82/1072493282.db2.gz CLTYKZNXKLZONV-GFCCVEGCSA-N 0 0 435.524 -0.478 20 0 IBADRN Cc1ccc(OCCCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000574091833 1072251480 /nfs/dbraw/zinc/25/14/80/1072251480.db2.gz KIQSYZPKCLEKMV-UHFFFAOYSA-N 0 0 432.521 -0.117 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000574094355 1072251529 /nfs/dbraw/zinc/25/15/29/1072251529.db2.gz JHEMYSMZFKZTJC-UHFFFAOYSA-N 0 0 447.583 -0.039 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC(N2CCCC2=O)CC1 ZINC000574103792 1072493173 /nfs/dbraw/zinc/49/31/73/1072493173.db2.gz MDOYTOSEEALOOS-UHFFFAOYSA-N 0 0 433.509 -0.029 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)Cc2ccccc2O1 ZINC000574104225 1072251455 /nfs/dbraw/zinc/25/14/55/1072251455.db2.gz CGWDLJGAWAZGOI-HNNXBMFYSA-N 0 0 427.461 0.490 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)Cc2ccccc2O1 ZINC000574104228 1072251609 /nfs/dbraw/zinc/25/16/09/1072251609.db2.gz CGWDLJGAWAZGOI-OAHLLOKOSA-N 0 0 427.461 0.490 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCc2cccc(-n3cncn3)c2)C1=O ZINC000574108527 1072493199 /nfs/dbraw/zinc/49/31/99/1072493199.db2.gz REYCMAQMMJUDDU-KRWDZBQOSA-N 0 0 436.476 -0.076 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCc2cccc(-n3cncn3)c2)C1=O ZINC000574108530 1072493315 /nfs/dbraw/zinc/49/33/15/1072493315.db2.gz REYCMAQMMJUDDU-QGZVFWFLSA-N 0 0 436.476 -0.076 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000574108857 1072251604 /nfs/dbraw/zinc/25/16/04/1072251604.db2.gz ZDIOTFJDKYFNIT-GOSISDBHSA-N 0 0 429.521 -0.079 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000574108858 1072251443 /nfs/dbraw/zinc/25/14/43/1072251443.db2.gz ZDIOTFJDKYFNIT-SFHVURJKSA-N 0 0 429.521 -0.079 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c2ccccc2n1 ZINC000574111677 1072493323 /nfs/dbraw/zinc/49/33/23/1072493323.db2.gz KPYGBSWPIVABDZ-UHFFFAOYSA-N 0 0 439.472 -0.191 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)CC2=O)cn1 ZINC000574113508 1072494131 /nfs/dbraw/zinc/49/41/31/1072494131.db2.gz IFZZQOGYMQSTFY-GFCCVEGCSA-N 0 0 430.387 -0.735 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)CC2=O)cn1 ZINC000574113509 1072494150 /nfs/dbraw/zinc/49/41/50/1072494150.db2.gz IFZZQOGYMQSTFY-LBPRGKRZSA-N 0 0 430.387 -0.735 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)C[C@H]2CO)cn1 ZINC000574113712 1072494121 /nfs/dbraw/zinc/49/41/21/1072494121.db2.gz KFBFVYLKPJWQDA-RYQLBKOJSA-N 0 0 426.477 -0.339 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)C[C@H]2CO)cn1 ZINC000574113715 1072493929 /nfs/dbraw/zinc/49/39/29/1072493929.db2.gz KFBFVYLKPJWQDA-SZMVWBNQSA-N 0 0 426.477 -0.339 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CCN1CCOC ZINC000574113775 1072493898 /nfs/dbraw/zinc/49/38/98/1072493898.db2.gz LXIJARHQSUXCGG-MSOLQXFVSA-N 0 0 448.568 -0.013 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CCN1CCOC ZINC000574113777 1072494087 /nfs/dbraw/zinc/49/40/87/1072494087.db2.gz LXIJARHQSUXCGG-QZTJIDSGSA-N 0 0 448.568 -0.013 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CCN1CCOC ZINC000574113779 1072493942 /nfs/dbraw/zinc/49/39/42/1072493942.db2.gz LXIJARHQSUXCGG-ROUUACIJSA-N 0 0 448.568 -0.013 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CCN1CCOC ZINC000574113780 1072493969 /nfs/dbraw/zinc/49/39/69/1072493969.db2.gz LXIJARHQSUXCGG-ZWKOTPCHSA-N 0 0 448.568 -0.013 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000574114086 1072494198 /nfs/dbraw/zinc/49/41/98/1072494198.db2.gz AJACEZIBRHSADQ-INIZCTEOSA-N 0 0 440.497 -0.463 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000574114087 1072493862 /nfs/dbraw/zinc/49/38/62/1072493862.db2.gz AJACEZIBRHSADQ-MRXNPFEDSA-N 0 0 440.497 -0.463 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2ccccc2OC)C1=O ZINC000574114677 1072494179 /nfs/dbraw/zinc/49/41/79/1072494179.db2.gz GZRZCAXZXCHVKN-KBPBESRZSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2ccccc2OC)C1=O ZINC000574114680 1072494019 /nfs/dbraw/zinc/49/40/19/1072494019.db2.gz GZRZCAXZXCHVKN-KGLIPLIRSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2ccccc2OC)C1=O ZINC000574114683 1072494000 /nfs/dbraw/zinc/49/40/00/1072494000.db2.gz GZRZCAXZXCHVKN-UONOGXRCSA-N 0 0 438.506 -0.543 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2ccccc2OC)C1=O ZINC000574114684 1072494057 /nfs/dbraw/zinc/49/40/57/1072494057.db2.gz GZRZCAXZXCHVKN-ZIAGYGMSSA-N 0 0 438.506 -0.543 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cc1 ZINC000574116537 1072494229 /nfs/dbraw/zinc/49/42/29/1072494229.db2.gz ANVJDGUOSPDKHK-HNNXBMFYSA-N 0 0 425.511 -0.238 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cc1 ZINC000574116538 1072494033 /nfs/dbraw/zinc/49/40/33/1072494033.db2.gz ANVJDGUOSPDKHK-OAHLLOKOSA-N 0 0 425.511 -0.238 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)n1 ZINC000574119746 1072494067 /nfs/dbraw/zinc/49/40/67/1072494067.db2.gz FUWXCVOCZZZMDJ-CYBMUJFWSA-N 0 0 444.583 -0.321 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)cs1 ZINC000574119904 1072494168 /nfs/dbraw/zinc/49/41/68/1072494168.db2.gz HQRONEPGZNEUII-OAHLLOKOSA-N 0 0 443.595 -0.110 20 0 IBADRN O=C(N[C@H]1CCN(c2ncccc2F)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000574122933 1072494620 /nfs/dbraw/zinc/49/46/20/1072494620.db2.gz VVNPKGBYWNNZDK-HNNXBMFYSA-N 0 0 434.472 -0.488 20 0 IBADRN O=C(N[C@@H]1CCN(c2ncccc2F)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000574122934 1072494838 /nfs/dbraw/zinc/49/48/38/1072494838.db2.gz VVNPKGBYWNNZDK-OAHLLOKOSA-N 0 0 434.472 -0.488 20 0 IBADRN CCCCn1nnnc1CS(=O)(=O)CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000574123277 1072494731 /nfs/dbraw/zinc/49/47/31/1072494731.db2.gz UBTBNXHKLFEQJU-UHFFFAOYSA-N 0 0 442.523 -0.375 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CNS(=O)(=O)c2ccc3ccccc3c2)CC1 ZINC000574124351 1072494875 /nfs/dbraw/zinc/49/48/75/1072494875.db2.gz IRHYACJQINWWPZ-UHFFFAOYSA-N 0 0 440.547 -0.449 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N[C@@H](Cc3ccccc3)C(=O)NCCC(N)=O)cn2n1 ZINC000574125579 1072494825 /nfs/dbraw/zinc/49/48/25/1072494825.db2.gz YMYQXQKQEYDDTL-INIZCTEOSA-N 0 0 437.460 -0.305 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N[C@H](Cc3ccccc3)C(=O)NCCC(N)=O)cn2n1 ZINC000574125584 1072494885 /nfs/dbraw/zinc/49/48/85/1072494885.db2.gz YMYQXQKQEYDDTL-MRXNPFEDSA-N 0 0 437.460 -0.305 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC(C)(CO)CO)cc1S(=O)(=O)N1CCCC1 ZINC000574141834 1072494719 /nfs/dbraw/zinc/49/47/19/1072494719.db2.gz RYOFRADJJBNJPC-UHFFFAOYSA-N 0 0 429.495 -0.332 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000574143169 1072251427 /nfs/dbraw/zinc/25/14/27/1072251427.db2.gz ALOCYLTXAPMJEG-GOSISDBHSA-N 0 0 429.521 -0.207 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)CC1 ZINC000574143172 1072251580 /nfs/dbraw/zinc/25/15/80/1072251580.db2.gz ALOCYLTXAPMJEG-SFHVURJKSA-N 0 0 429.521 -0.207 20 0 IBADRN COC[C@@H](C(N)=O)N(C)S(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000574174359 1072251501 /nfs/dbraw/zinc/25/15/01/1072251501.db2.gz HFLUDYCWGMEGML-NSHDSACASA-N 0 0 433.430 -0.352 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000574177058 1072494797 /nfs/dbraw/zinc/49/47/97/1072494797.db2.gz CYNZKVFYMRUWPC-UHFFFAOYSA-N 0 0 446.551 -0.953 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000574177260 1072494690 /nfs/dbraw/zinc/49/46/90/1072494690.db2.gz LTOAGBGMCGMIGE-CVEARBPZSA-N 0 0 439.538 -0.667 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000574177261 1072494779 /nfs/dbraw/zinc/49/47/79/1072494779.db2.gz LTOAGBGMCGMIGE-HOTGVXAUSA-N 0 0 439.538 -0.667 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000574177262 1072494891 /nfs/dbraw/zinc/49/48/91/1072494891.db2.gz LTOAGBGMCGMIGE-HZPDHXFCSA-N 0 0 439.538 -0.667 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000574177263 1072494659 /nfs/dbraw/zinc/49/46/59/1072494659.db2.gz LTOAGBGMCGMIGE-JKSUJKDBSA-N 0 0 439.538 -0.667 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc3c2OCO3)CC1 ZINC000574179122 1072251595 /nfs/dbraw/zinc/25/15/95/1072251595.db2.gz KASAOKLIJHSGPH-HNNXBMFYSA-N 0 0 432.477 -0.577 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc3c2OCO3)CC1 ZINC000574179123 1072251570 /nfs/dbraw/zinc/25/15/70/1072251570.db2.gz KASAOKLIJHSGPH-OAHLLOKOSA-N 0 0 432.477 -0.577 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3CCSCC3)CC2)cn1C ZINC000574181767 1072251561 /nfs/dbraw/zinc/25/15/61/1072251561.db2.gz KJDRSGNLUSIZOX-UHFFFAOYSA-N 0 0 429.568 -0.179 20 0 IBADRN CN(C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)C1CCN(c2cnccn2)CC1 ZINC000574183272 1072251492 /nfs/dbraw/zinc/25/14/92/1072251492.db2.gz XQDQISMULOQRAW-UHFFFAOYSA-N 0 0 442.524 -0.195 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCNS(=O)(=O)c2cccs2)C1 ZINC000574184365 1072252341 /nfs/dbraw/zinc/25/23/41/1072252341.db2.gz ZHRFTNCGTXZBOG-GFCCVEGCSA-N 0 0 439.585 -0.404 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H](C)Cc3c(C)nn(C)c3C)CC2)n1 ZINC000574188630 1072252180 /nfs/dbraw/zinc/25/21/80/1072252180.db2.gz OVSHZUNDJLPYCG-CYBMUJFWSA-N 0 0 433.513 -0.042 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H](C)Cc3c(C)nn(C)c3C)CC2)n1 ZINC000574188641 1072252087 /nfs/dbraw/zinc/25/20/87/1072252087.db2.gz OVSHZUNDJLPYCG-ZDUSSCGKSA-N 0 0 433.513 -0.042 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@H](C)Oc2ccccc2Br)n1 ZINC000574190137 1072494871 /nfs/dbraw/zinc/49/48/71/1072494871.db2.gz XOVKGDHNTGHMBD-JTQLQIEISA-N 0 0 439.270 0.309 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)NC[C@@H](C)Oc2ccccc2Br)n1 ZINC000574190138 1072494682 /nfs/dbraw/zinc/49/46/82/1072494682.db2.gz XOVKGDHNTGHMBD-SNVBAGLBSA-N 0 0 439.270 0.309 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C[NH+]2CCC(c3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000574192661 1072252302 /nfs/dbraw/zinc/25/23/02/1072252302.db2.gz CJIPUCDUVQFQAD-UHFFFAOYSA-N 0 0 426.485 -0.285 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CCC2 ZINC000574193704 1072494845 /nfs/dbraw/zinc/49/48/45/1072494845.db2.gz QCIVSWGCHICWHU-KRWDZBQOSA-N 0 0 432.525 -0.812 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CCC2 ZINC000574193705 1072495496 /nfs/dbraw/zinc/49/54/96/1072495496.db2.gz QCIVSWGCHICWHU-QGZVFWFLSA-N 0 0 432.525 -0.812 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCc2c(cccc2NS(C)(=O)=O)C1 ZINC000574200815 1072495541 /nfs/dbraw/zinc/49/55/41/1072495541.db2.gz GAPNKSNUAPGPLI-UHFFFAOYSA-N 0 0 425.554 -0.315 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)nn1[C@@H](C)C1CC1 ZINC000574201068 1073324036 /nfs/dbraw/zinc/32/40/36/1073324036.db2.gz JDAPKKLZAMPAQG-INIZCTEOSA-N 0 0 432.525 0.104 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)nn1[C@H](C)C1CC1 ZINC000574201069 1072252206 /nfs/dbraw/zinc/25/22/06/1072252206.db2.gz JDAPKKLZAMPAQG-MRXNPFEDSA-N 0 0 432.525 0.104 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(C)C3=O)CC2)c1 ZINC000574201693 1072252236 /nfs/dbraw/zinc/25/22/36/1072252236.db2.gz DXMPTZUFRLQNON-GFCCVEGCSA-N 0 0 430.508 -0.219 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(C)C3=O)CC2)c1 ZINC000574201695 1072252172 /nfs/dbraw/zinc/25/21/72/1072252172.db2.gz DXMPTZUFRLQNON-LBPRGKRZSA-N 0 0 430.508 -0.219 20 0 IBADRN COC[C@]1(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)OCCc2ccccc21 ZINC000574206646 1072252186 /nfs/dbraw/zinc/25/21/86/1072252186.db2.gz HTTNKYZSGOMASK-NRFANRHFSA-N 0 0 427.461 -0.336 20 0 IBADRN COC[C@@]1(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)OCCc2ccccc21 ZINC000574206656 1072252125 /nfs/dbraw/zinc/25/21/25/1072252125.db2.gz HTTNKYZSGOMASK-OAQYLSRUSA-N 0 0 427.461 -0.336 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000574207638 1072495336 /nfs/dbraw/zinc/49/53/36/1072495336.db2.gz SLRCAGZOVOBIGM-UHFFFAOYSA-N 0 0 426.433 -0.079 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1)N1CCCC1 ZINC000574214275 1072252195 /nfs/dbraw/zinc/25/21/95/1072252195.db2.gz MFIGAEILYQBPTA-IBGZPJMESA-N 0 0 441.532 -0.330 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1)N1CCCC1 ZINC000574214276 1072252366 /nfs/dbraw/zinc/25/23/66/1072252366.db2.gz MFIGAEILYQBPTA-LJQANCHMSA-N 0 0 441.532 -0.330 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000574222789 1072495485 /nfs/dbraw/zinc/49/54/85/1072495485.db2.gz IIBOUCGAXJGSNT-MUUNZHRXSA-N 0 0 443.547 -0.649 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000574222790 1072495517 /nfs/dbraw/zinc/49/55/17/1072495517.db2.gz IIBOUCGAXJGSNT-NDEPHWFRSA-N 0 0 443.547 -0.649 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000574223954 1072495393 /nfs/dbraw/zinc/49/53/93/1072495393.db2.gz GNBNRERHQBFIEF-UHFFFAOYSA-N 0 0 445.442 -0.038 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1 ZINC000574224379 1072495524 /nfs/dbraw/zinc/49/55/24/1072495524.db2.gz NJBREEQBJHQWJZ-UHFFFAOYSA-N 0 0 442.476 -0.434 20 0 IBADRN COCc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc(C(=O)OC)c1 ZINC000574225043 1072252874 /nfs/dbraw/zinc/25/28/74/1072252874.db2.gz YQFQYTSUSKNVKX-UHFFFAOYSA-N 0 0 446.460 -0.493 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)cn1C ZINC000574226687 1072495257 /nfs/dbraw/zinc/49/52/57/1072495257.db2.gz CMLPXTKUAWFEJO-GFCCVEGCSA-N 0 0 427.449 -0.224 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@H](N2CCOCC2)C(F)(F)F)cn1C ZINC000574226688 1072495383 /nfs/dbraw/zinc/49/53/83/1072495383.db2.gz CMLPXTKUAWFEJO-LBPRGKRZSA-N 0 0 427.449 -0.224 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3C)C1 ZINC000574230448 1072495550 /nfs/dbraw/zinc/49/55/50/1072495550.db2.gz WXQQBHWPVMKEKX-OAHLLOKOSA-N 0 0 437.522 -0.079 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000574233476 1072495439 /nfs/dbraw/zinc/49/54/39/1072495439.db2.gz JEXXQNYFQZJBGC-INIZCTEOSA-N 0 0 443.497 -0.030 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000574233477 1072495312 /nfs/dbraw/zinc/49/53/12/1072495312.db2.gz JEXXQNYFQZJBGC-MRXNPFEDSA-N 0 0 443.497 -0.030 20 0 IBADRN Cc1c(C(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000574236967 1072495506 /nfs/dbraw/zinc/49/55/06/1072495506.db2.gz HDMABLOTNVCOPL-UHFFFAOYSA-N 0 0 432.462 -0.162 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccn(C3CCCC3)n2)CC1 ZINC000574237180 1072495240 /nfs/dbraw/zinc/49/52/40/1072495240.db2.gz SJLGPWCXKJWCFC-UHFFFAOYSA-N 0 0 432.525 -0.383 20 0 IBADRN NC(=O)C1(CNS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCOCC1 ZINC000574239120 1072495562 /nfs/dbraw/zinc/49/55/62/1072495562.db2.gz PILCXCKSXAWWOP-UHFFFAOYSA-N 0 0 439.490 -0.499 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1=O ZINC000574240717 1072495357 /nfs/dbraw/zinc/49/53/57/1072495357.db2.gz OZEADPRPGZEWEG-FQEVSTJZSA-N 0 0 431.497 -0.318 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1=O ZINC000574240723 1072495268 /nfs/dbraw/zinc/49/52/68/1072495268.db2.gz OZEADPRPGZEWEG-HXUWFJFHSA-N 0 0 431.497 -0.318 20 0 IBADRN CC(C)(C)C[C@H](CC(=O)N1CCN2C(=O)NC[C@@H]2C1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000574240804 1072495571 /nfs/dbraw/zinc/49/55/71/1072495571.db2.gz QCQKOVGUYIAGET-ARFHVFGLSA-N 0 0 434.541 -0.099 20 0 IBADRN CC(C)(C)C[C@H](CC(=O)N1CCN2C(=O)NC[C@H]2C1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000574240805 1072495920 /nfs/dbraw/zinc/49/59/20/1072495920.db2.gz QCQKOVGUYIAGET-HRCADAONSA-N 0 0 434.541 -0.099 20 0 IBADRN CC(C)(C)C[C@H](CC(=O)N1CCN2C(=O)NC[C@H]2C1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000574240806 1072495930 /nfs/dbraw/zinc/49/59/30/1072495930.db2.gz QCQKOVGUYIAGET-JYJNAYRXSA-N 0 0 434.541 -0.099 20 0 IBADRN CC(C)(C)C[C@H](CC(=O)N1CCN2C(=O)NC[C@@H]2C1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000574240809 1072496115 /nfs/dbraw/zinc/49/61/15/1072496115.db2.gz QCQKOVGUYIAGET-XHSDSOJGSA-N 0 0 434.541 -0.099 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)C2)n(C)n1 ZINC000574241340 1072496124 /nfs/dbraw/zinc/49/61/24/1072496124.db2.gz SUNXOHGPRHABNB-CYBMUJFWSA-N 0 0 433.542 -0.404 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)C2)n(C)n1 ZINC000574241341 1072495895 /nfs/dbraw/zinc/49/58/95/1072495895.db2.gz SUNXOHGPRHABNB-ZDUSSCGKSA-N 0 0 433.542 -0.404 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000574243539 1072496006 /nfs/dbraw/zinc/49/60/06/1072496006.db2.gz HOFXEEKRNWWWNI-JTQLQIEISA-N 0 0 428.392 -0.581 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000574243546 1072495842 /nfs/dbraw/zinc/49/58/42/1072495842.db2.gz HOFXEEKRNWWWNI-SNVBAGLBSA-N 0 0 428.392 -0.581 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000574244209 1072496050 /nfs/dbraw/zinc/49/60/50/1072496050.db2.gz YZWVUMDQDDQHMB-HHHXNRCGSA-N 0 0 425.532 -0.383 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CC1 ZINC000574244224 1072495909 /nfs/dbraw/zinc/49/59/09/1072495909.db2.gz YZWVUMDQDDQHMB-MHZLTWQESA-N 0 0 425.532 -0.383 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000574244488 1072495936 /nfs/dbraw/zinc/49/59/36/1072495936.db2.gz PYOCRLFVOWFIIE-HKUYNNGSSA-N 0 0 448.568 -0.125 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000574244493 1072496028 /nfs/dbraw/zinc/49/60/28/1072496028.db2.gz PYOCRLFVOWFIIE-IEBWSBKVSA-N 0 0 448.568 -0.125 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000574244495 1072495871 /nfs/dbraw/zinc/49/58/71/1072495871.db2.gz PYOCRLFVOWFIIE-MJGOQNOKSA-N 0 0 448.568 -0.125 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000574244496 1072496076 /nfs/dbraw/zinc/49/60/76/1072496076.db2.gz PYOCRLFVOWFIIE-PKOBYXMFSA-N 0 0 448.568 -0.125 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000574245745 1072496093 /nfs/dbraw/zinc/49/60/93/1072496093.db2.gz JQMRFPAMGMZRCJ-UHFFFAOYSA-N 0 0 436.487 -0.788 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000574262882 1072252897 /nfs/dbraw/zinc/25/28/97/1072252897.db2.gz HRXYKXHMHXTLTE-UHFFFAOYSA-N 0 0 425.493 -0.739 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1 ZINC000574264036 1072253306 /nfs/dbraw/zinc/25/33/06/1072253306.db2.gz VUVBBXCLFWARMB-JTQLQIEISA-N 0 0 426.314 -0.140 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1 ZINC000574264039 1072253461 /nfs/dbraw/zinc/25/34/61/1072253461.db2.gz VUVBBXCLFWARMB-SNVBAGLBSA-N 0 0 426.314 -0.140 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000574264609 1072252738 /nfs/dbraw/zinc/25/27/38/1072252738.db2.gz YFPLMNJGOWYIIY-UHFFFAOYSA-N 0 0 445.505 -0.283 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CCO2 ZINC000574283614 1072253373 /nfs/dbraw/zinc/25/33/73/1072253373.db2.gz WEDPIPAEBLMCOF-HTAPYJJXSA-N 0 0 445.520 -0.357 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CCO2 ZINC000574283622 1072253358 /nfs/dbraw/zinc/25/33/58/1072253358.db2.gz WEDPIPAEBLMCOF-JTSKRJEESA-N 0 0 445.520 -0.357 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CCO2 ZINC000574283625 1072253436 /nfs/dbraw/zinc/25/34/36/1072253436.db2.gz WEDPIPAEBLMCOF-VGOFRKELSA-N 0 0 445.520 -0.357 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CCO2 ZINC000574283628 1072253316 /nfs/dbraw/zinc/25/33/16/1072253316.db2.gz WEDPIPAEBLMCOF-VGSWGCGISA-N 0 0 445.520 -0.357 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000574285944 1072253350 /nfs/dbraw/zinc/25/33/50/1072253350.db2.gz RZKYWDGXKPGAGY-CYBMUJFWSA-N 0 0 431.602 -0.609 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000574285948 1072253452 /nfs/dbraw/zinc/25/34/52/1072253452.db2.gz RZKYWDGXKPGAGY-ZDUSSCGKSA-N 0 0 431.602 -0.609 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCC(=O)N(C)Cc3ccccc3)CC2)n1 ZINC000574297819 1072255940 /nfs/dbraw/zinc/25/59/40/1072255940.db2.gz GLRIKVJMTYVJBF-UHFFFAOYSA-N 0 0 444.492 -0.365 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000574298019 1072496017 /nfs/dbraw/zinc/49/60/17/1072496017.db2.gz NCMVHYWZKLZABE-DLBZAZTESA-N 0 0 431.541 -0.522 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000574298020 1072495953 /nfs/dbraw/zinc/49/59/53/1072495953.db2.gz NCMVHYWZKLZABE-IAGOWNOFSA-N 0 0 431.541 -0.522 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000574298021 1072496705 /nfs/dbraw/zinc/49/67/05/1072496705.db2.gz NCMVHYWZKLZABE-IRXDYDNUSA-N 0 0 431.541 -0.522 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000574298022 1072496839 /nfs/dbraw/zinc/49/68/39/1072496839.db2.gz NCMVHYWZKLZABE-SJORKVTESA-N 0 0 431.541 -0.522 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCc3cn4c(n3)CCCC4)CC2)nc1 ZINC000574300076 1072255797 /nfs/dbraw/zinc/25/57/97/1072255797.db2.gz INOBBZJNEADCDO-UHFFFAOYSA-N 0 0 425.493 -0.279 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)NC)c2)C1 ZINC000574302017 1072255833 /nfs/dbraw/zinc/25/58/33/1072255833.db2.gz ZUZHTDBFZJXEAZ-DOMZBBRYSA-N 0 0 446.551 -0.688 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)NC)c2)C1 ZINC000574302020 1072255854 /nfs/dbraw/zinc/25/58/54/1072255854.db2.gz ZUZHTDBFZJXEAZ-IUODEOHRSA-N 0 0 446.551 -0.688 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)NC)c2)C1 ZINC000574302022 1072256376 /nfs/dbraw/zinc/25/63/76/1072256376.db2.gz ZUZHTDBFZJXEAZ-SWLSCSKDSA-N 0 0 446.551 -0.688 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)NC)c2)C1 ZINC000574302023 1072256338 /nfs/dbraw/zinc/25/63/38/1072256338.db2.gz ZUZHTDBFZJXEAZ-WFASDCNBSA-N 0 0 446.551 -0.688 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000574302326 1072256369 /nfs/dbraw/zinc/25/63/69/1072256369.db2.gz WTXOZTYVWGOOJH-AWEZNQCLSA-N 0 0 439.581 -0.350 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C[C@@H](C)O2 ZINC000574303975 1072496888 /nfs/dbraw/zinc/49/68/88/1072496888.db2.gz JYICFOZPDITHHH-IGCXYCKISA-N 0 0 430.483 -0.995 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C[C@@H](C)O2 ZINC000574303979 1072496802 /nfs/dbraw/zinc/49/68/02/1072496802.db2.gz JYICFOZPDITHHH-IIYDPXPESA-N 0 0 430.483 -0.995 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C[C@@H](C)O2 ZINC000574303981 1072496885 /nfs/dbraw/zinc/49/68/85/1072496885.db2.gz JYICFOZPDITHHH-PBFPGSCMSA-N 0 0 430.483 -0.995 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C[C@@H](C)O2 ZINC000574303982 1072496881 /nfs/dbraw/zinc/49/68/81/1072496881.db2.gz JYICFOZPDITHHH-XNJGSVPQSA-N 0 0 430.483 -0.995 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000574308007 1072496831 /nfs/dbraw/zinc/49/68/31/1072496831.db2.gz DBHZRTONVRISMJ-IAOVAPTHSA-N 0 0 443.522 -0.210 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000574308010 1072496633 /nfs/dbraw/zinc/49/66/33/1072496633.db2.gz DBHZRTONVRISMJ-KBRIMQKVSA-N 0 0 443.522 -0.210 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000574308012 1072496824 /nfs/dbraw/zinc/49/68/24/1072496824.db2.gz DBHZRTONVRISMJ-RRQGHBQHSA-N 0 0 443.522 -0.210 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N(C)[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000574308014 1072496819 /nfs/dbraw/zinc/49/68/19/1072496819.db2.gz DBHZRTONVRISMJ-XOKHGSTOSA-N 0 0 443.522 -0.210 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000574311144 1072496609 /nfs/dbraw/zinc/49/66/09/1072496609.db2.gz ZKJSTMCMLVLEHT-GOSISDBHSA-N 0 0 447.584 -0.092 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)CC1 ZINC000574311146 1072496713 /nfs/dbraw/zinc/49/67/13/1072496713.db2.gz ZKJSTMCMLVLEHT-SFHVURJKSA-N 0 0 447.584 -0.092 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)C2=O)c1 ZINC000574313388 1072256399 /nfs/dbraw/zinc/25/63/99/1072256399.db2.gz KTZHJMHRVODTGO-DYESRHJHSA-N 0 0 433.461 -0.057 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)C2=O)c1 ZINC000574313389 1072256499 /nfs/dbraw/zinc/25/64/99/1072256499.db2.gz KTZHJMHRVODTGO-LAUBAEHRSA-N 0 0 433.461 -0.057 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)C2=O)c1 ZINC000574313391 1072256431 /nfs/dbraw/zinc/25/64/31/1072256431.db2.gz KTZHJMHRVODTGO-UTKZUKDTSA-N 0 0 433.461 -0.057 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)C2=O)c1 ZINC000574313392 1072256481 /nfs/dbraw/zinc/25/64/81/1072256481.db2.gz KTZHJMHRVODTGO-UWJYYQICSA-N 0 0 433.461 -0.057 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)C(F)(F)F ZINC000574314671 1072256325 /nfs/dbraw/zinc/25/63/25/1072256325.db2.gz LPPYQLSDFSGXBB-GFCCVEGCSA-N 0 0 435.403 0.149 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)C(F)(F)F ZINC000574314674 1072256364 /nfs/dbraw/zinc/25/63/64/1072256364.db2.gz LPPYQLSDFSGXBB-LBPRGKRZSA-N 0 0 435.403 0.149 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1nnc(C(C)(C)C)s1 ZINC000574315204 1072256488 /nfs/dbraw/zinc/25/64/88/1072256488.db2.gz RQCRRRWJKSJLPL-LLVKDONJSA-N 0 0 432.572 0.551 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1nnc(C(C)(C)C)s1 ZINC000574315205 1072256385 /nfs/dbraw/zinc/25/63/85/1072256385.db2.gz RQCRRRWJKSJLPL-NSHDSACASA-N 0 0 432.572 0.551 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000574315689 1072256508 /nfs/dbraw/zinc/25/65/08/1072256508.db2.gz XTOVGSJCKGBAFO-DLBZAZTESA-N 0 0 433.484 -0.061 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000574315690 1072256307 /nfs/dbraw/zinc/25/63/07/1072256307.db2.gz XTOVGSJCKGBAFO-IAGOWNOFSA-N 0 0 433.484 -0.061 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000574315691 1072256330 /nfs/dbraw/zinc/25/63/30/1072256330.db2.gz XTOVGSJCKGBAFO-IRXDYDNUSA-N 0 0 433.484 -0.061 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000574315692 1072256355 /nfs/dbraw/zinc/25/63/55/1072256355.db2.gz XTOVGSJCKGBAFO-SJORKVTESA-N 0 0 433.484 -0.061 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c(OC)n1 ZINC000574326074 1072256320 /nfs/dbraw/zinc/25/63/20/1072256320.db2.gz JCPXSHVYAMLBSF-UHFFFAOYSA-N 0 0 428.405 -0.701 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CNS(=O)(=O)c3cccnc3)CC2)cn1 ZINC000574328122 1072496646 /nfs/dbraw/zinc/49/66/46/1072496646.db2.gz SOKBMUMZYYNYRF-UHFFFAOYSA-N 0 0 442.523 -0.937 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000574335817 1072256947 /nfs/dbraw/zinc/25/69/47/1072256947.db2.gz XBVHLKRKZVMSOR-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000574335818 1072256894 /nfs/dbraw/zinc/25/68/94/1072256894.db2.gz XBVHLKRKZVMSOR-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cccs3)CC2)cn1C ZINC000574342726 1072496597 /nfs/dbraw/zinc/49/65/97/1072496597.db2.gz BZJWIZWFAAGJQP-UHFFFAOYSA-N 0 0 425.536 -0.018 20 0 IBADRN Cn1cnc(NC(=O)C(=O)NCCc2ccc(S(=O)(=O)N3CCCCC3)s2)n1 ZINC000574343431 1073306786 /nfs/dbraw/zinc/30/67/86/1073306786.db2.gz FVCRFDBAFKJINT-UHFFFAOYSA-N 0 0 426.524 0.349 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C1 ZINC000574345166 1072496867 /nfs/dbraw/zinc/49/68/67/1072496867.db2.gz XKUJUSZOOKPIPH-HNNXBMFYSA-N 0 0 431.536 -0.612 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C1 ZINC000574345167 1072497297 /nfs/dbraw/zinc/49/72/97/1072497297.db2.gz XKUJUSZOOKPIPH-OAHLLOKOSA-N 0 0 431.536 -0.612 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC(C)(C)c1ccc(F)cc1)C2 ZINC000574346980 1072497190 /nfs/dbraw/zinc/49/71/90/1072497190.db2.gz OBJYNKYAKHVNAX-UHFFFAOYSA-N 0 0 446.483 -0.292 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@]3(c4ccccc4)CCC(=O)NC3)CC2)CC1 ZINC000574352574 1072497081 /nfs/dbraw/zinc/49/70/81/1072497081.db2.gz YZJUSRDBSHTGBV-NRFANRHFSA-N 0 0 449.577 -0.529 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@]3(c4ccccc4)CCC(=O)NC3)CC2)CC1 ZINC000574352575 1072497329 /nfs/dbraw/zinc/49/73/29/1072497329.db2.gz YZJUSRDBSHTGBV-OAQYLSRUSA-N 0 0 449.577 -0.529 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCCCC1 ZINC000574352733 1072497171 /nfs/dbraw/zinc/49/71/71/1072497171.db2.gz GYFOVKMQWSYXBK-UHFFFAOYSA-N 0 0 440.522 -0.054 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c1 ZINC000574353317 1072255953 /nfs/dbraw/zinc/25/59/53/1072255953.db2.gz MBJMJEGNWUHRBP-UHFFFAOYSA-N 0 0 432.458 -0.081 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Sc1ccccc1 ZINC000574356465 1072497129 /nfs/dbraw/zinc/49/71/29/1072497129.db2.gz TVHKNTREFWRNQR-INIZCTEOSA-N 0 0 448.545 -0.187 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Sc1ccccc1 ZINC000574356466 1072497318 /nfs/dbraw/zinc/49/73/18/1072497318.db2.gz TVHKNTREFWRNQR-MRXNPFEDSA-N 0 0 448.545 -0.187 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCOC3(CCOCC3)C2)s1 ZINC000574363042 1072497107 /nfs/dbraw/zinc/49/71/07/1072497107.db2.gz LNWHALSNNURXQI-UHFFFAOYSA-N 0 0 431.536 -0.141 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N[C@@H](CO)CN1CCOCC1 ZINC000574365625 1072497939 /nfs/dbraw/zinc/49/79/39/1072497939.db2.gz DNCHIZMKMMNYPW-GFCCVEGCSA-N 0 0 441.428 -0.327 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N[C@H](CO)CN1CCOCC1 ZINC000574365628 1072498009 /nfs/dbraw/zinc/49/80/09/1072498009.db2.gz DNCHIZMKMMNYPW-LBPRGKRZSA-N 0 0 441.428 -0.327 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC[C@H](Oc2ccnc(C(=O)NC)c2)C1 ZINC000574366520 1072497820 /nfs/dbraw/zinc/49/78/20/1072497820.db2.gz SHCBDTODLYQBBN-HNNXBMFYSA-N 0 0 428.511 -0.233 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC[C@@H](Oc2ccnc(C(=O)NC)c2)C1 ZINC000574366521 1072497779 /nfs/dbraw/zinc/49/77/79/1072497779.db2.gz SHCBDTODLYQBBN-OAHLLOKOSA-N 0 0 428.511 -0.233 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000574389522 1072259123 /nfs/dbraw/zinc/25/91/23/1072259123.db2.gz MGXWWDMJQGAJGH-OAHLLOKOSA-N 0 0 446.551 -0.446 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@@H]1CCCO1 ZINC000574407627 1072258685 /nfs/dbraw/zinc/25/86/85/1072258685.db2.gz CEZWGKLZCWWFGK-INIZCTEOSA-N 0 0 436.513 -0.499 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H]1CCCO1 ZINC000574407629 1072258626 /nfs/dbraw/zinc/25/86/26/1072258626.db2.gz CEZWGKLZCWWFGK-MRXNPFEDSA-N 0 0 436.513 -0.499 20 0 IBADRN COCCN1CN([C@@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000574407938 1072258674 /nfs/dbraw/zinc/25/86/74/1072258674.db2.gz RNJBRTFWFTUUPF-HNNXBMFYSA-N 0 0 440.522 -0.217 20 0 IBADRN COCCN1CN([C@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000574407939 1072258514 /nfs/dbraw/zinc/25/85/14/1072258514.db2.gz RNJBRTFWFTUUPF-OAHLLOKOSA-N 0 0 440.522 -0.217 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000574418551 1072497924 /nfs/dbraw/zinc/49/79/24/1072497924.db2.gz CSOTYSRCAUBUAV-UHFFFAOYSA-N 0 0 448.524 -0.014 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000574418658 1072497969 /nfs/dbraw/zinc/49/79/69/1072497969.db2.gz GZLASDLOCZJYGF-UHFFFAOYSA-N 0 0 431.537 -0.457 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(Cc3nnc4n3CCC4)cc2)nn1 ZINC000574419283 1072259070 /nfs/dbraw/zinc/25/90/70/1072259070.db2.gz BSAYSXBECDREQS-UHFFFAOYSA-N 0 0 438.448 -0.052 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCOCC2CC2)CC1 ZINC000574421917 1072497986 /nfs/dbraw/zinc/49/79/86/1072497986.db2.gz JRVMJCQFNSJKQF-UHFFFAOYSA-N 0 0 438.550 -0.218 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2nccn2CC(F)(F)F)C1 ZINC000574423286 1072259194 /nfs/dbraw/zinc/25/91/94/1072259194.db2.gz PVOPWBWEJBWIQI-LLVKDONJSA-N 0 0 425.433 -0.010 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2nccn2CC(F)(F)F)C1 ZINC000574423287 1072259061 /nfs/dbraw/zinc/25/90/61/1072259061.db2.gz PVOPWBWEJBWIQI-NSHDSACASA-N 0 0 425.433 -0.010 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCCC4)C3)CC2)n1 ZINC000574427680 1072259569 /nfs/dbraw/zinc/25/95/69/1072259569.db2.gz FASUKVLWNQGLKK-AWEZNQCLSA-N 0 0 435.554 0.066 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCCC4)C3)CC2)n1 ZINC000574427681 1072259600 /nfs/dbraw/zinc/25/96/00/1072259600.db2.gz FASUKVLWNQGLKK-CQSZACIVSA-N 0 0 435.554 0.066 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)CC2)n1 ZINC000574430315 1072497873 /nfs/dbraw/zinc/49/78/73/1072497873.db2.gz MTLPDNQYHKQYCY-INIZCTEOSA-N 0 0 440.508 -0.525 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)CC2)n1 ZINC000574430329 1072497991 /nfs/dbraw/zinc/49/79/91/1072497991.db2.gz MTLPDNQYHKQYCY-MRXNPFEDSA-N 0 0 440.508 -0.525 20 0 IBADRN COc1ccccc1C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(C)C ZINC000574430555 1072497757 /nfs/dbraw/zinc/49/77/57/1072497757.db2.gz FZECCVAKGLFGPU-KRWDZBQOSA-N 0 0 443.508 -0.264 20 0 IBADRN COc1ccccc1C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(C)C ZINC000574430558 1072498000 /nfs/dbraw/zinc/49/80/00/1072498000.db2.gz FZECCVAKGLFGPU-QGZVFWFLSA-N 0 0 443.508 -0.264 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000574432994 1072497802 /nfs/dbraw/zinc/49/78/02/1072497802.db2.gz VKZFGVXUWNYRMN-UONOGXRCSA-N 0 0 448.567 -0.251 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Br)c3)C2=O)CCO1 ZINC000574433651 1072498484 /nfs/dbraw/zinc/49/84/84/1072498484.db2.gz ASPLHWWWBVMQOZ-CHWSQXEVSA-N 0 0 439.266 -0.617 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Br)c3)C2=O)CCO1 ZINC000574433654 1072498532 /nfs/dbraw/zinc/49/85/32/1072498532.db2.gz ASPLHWWWBVMQOZ-OLZOCXBDSA-N 0 0 439.266 -0.617 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Br)c3)C2=O)CCO1 ZINC000574433657 1072498495 /nfs/dbraw/zinc/49/84/95/1072498495.db2.gz ASPLHWWWBVMQOZ-QWHCGFSZSA-N 0 0 439.266 -0.617 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Br)c3)C2=O)CCO1 ZINC000574433659 1072498429 /nfs/dbraw/zinc/49/84/29/1072498429.db2.gz ASPLHWWWBVMQOZ-STQMWFEESA-N 0 0 439.266 -0.617 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)Nc2cnn(CC(=O)N(C)C)c2)cc1OC ZINC000574443826 1072498564 /nfs/dbraw/zinc/49/85/64/1072498564.db2.gz XKGKRTRREHFUMY-UHFFFAOYSA-N 0 0 425.467 -0.095 20 0 IBADRN COC[C@]1(CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)OCCc2ccccc21 ZINC000574443893 1072498521 /nfs/dbraw/zinc/49/85/21/1072498521.db2.gz PTYUQAQLVCCMDN-NRFANRHFSA-N 0 0 429.477 0.141 20 0 IBADRN COC[C@@]1(CNC(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)OCCc2ccccc21 ZINC000574443904 1072498449 /nfs/dbraw/zinc/49/84/49/1072498449.db2.gz PTYUQAQLVCCMDN-OAQYLSRUSA-N 0 0 429.477 0.141 20 0 IBADRN CN(C)C(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000574446435 1072259735 /nfs/dbraw/zinc/25/97/35/1072259735.db2.gz GUJMMAYSTKJLAZ-UHFFFAOYSA-N 0 0 427.508 -0.193 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)CC)C3)CC2)n1 ZINC000574459030 1072260382 /nfs/dbraw/zinc/26/03/82/1072260382.db2.gz BVIULGCJWSRNBX-CABCVRRESA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)CC)C3)CC2)n1 ZINC000574459035 1072260345 /nfs/dbraw/zinc/26/03/45/1072260345.db2.gz BVIULGCJWSRNBX-GJZGRUSLSA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)CC)C3)CC2)n1 ZINC000574459038 1072260170 /nfs/dbraw/zinc/26/01/70/1072260170.db2.gz BVIULGCJWSRNBX-HUUCEWRRSA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)CC)C3)CC2)n1 ZINC000574459040 1072260354 /nfs/dbraw/zinc/26/03/54/1072260354.db2.gz BVIULGCJWSRNBX-LSDHHAIUSA-N 0 0 436.513 -0.062 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)c1ccco1 ZINC000574469328 1072259605 /nfs/dbraw/zinc/25/96/05/1072259605.db2.gz XMYZDGMOABVXFY-HNNXBMFYSA-N 0 0 439.494 -0.339 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)c1ccco1 ZINC000574469331 1072259588 /nfs/dbraw/zinc/25/95/88/1072259588.db2.gz XMYZDGMOABVXFY-OAHLLOKOSA-N 0 0 439.494 -0.339 20 0 IBADRN COCCCOC1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000574469642 1072259052 /nfs/dbraw/zinc/25/90/52/1072259052.db2.gz NDXJRAIJOCNULQ-UHFFFAOYSA-N 0 0 434.541 -0.241 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)C1 ZINC000574470226 1072498506 /nfs/dbraw/zinc/49/85/06/1072498506.db2.gz BETHPFCFEFAGEO-LLVKDONJSA-N 0 0 426.470 -0.162 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)C1 ZINC000574470227 1072498592 /nfs/dbraw/zinc/49/85/92/1072498592.db2.gz BETHPFCFEFAGEO-NSHDSACASA-N 0 0 426.470 -0.162 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1ccn([C@H](C)COC)n1)C2 ZINC000574470596 1072259717 /nfs/dbraw/zinc/25/97/17/1072259717.db2.gz XGZMWBPPSYKCTM-CYBMUJFWSA-N 0 0 435.506 0.522 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1ccn([C@@H](C)COC)n1)C2 ZINC000574470597 1072259563 /nfs/dbraw/zinc/25/95/63/1072259563.db2.gz XGZMWBPPSYKCTM-ZDUSSCGKSA-N 0 0 435.506 0.522 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)cc(OC)c1 ZINC000574475093 1072498560 /nfs/dbraw/zinc/49/85/60/1072498560.db2.gz QYZDXMCLXGTENO-AWEZNQCLSA-N 0 0 427.523 -0.103 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)cc(OC)c1 ZINC000574475094 1072498468 /nfs/dbraw/zinc/49/84/68/1072498468.db2.gz QYZDXMCLXGTENO-CQSZACIVSA-N 0 0 427.523 -0.103 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)cc1 ZINC000574475845 1072259651 /nfs/dbraw/zinc/25/96/51/1072259651.db2.gz SQRHQOUPQPRYAH-UHFFFAOYSA-N 0 0 426.495 -0.129 20 0 IBADRN C[C@H]1OCC[C@@H]1S(=O)(=O)N1CCN(CCNS(=O)(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000574480054 1072259767 /nfs/dbraw/zinc/25/97/67/1072259767.db2.gz GSPVMYDCDWBETR-FZKCQIBNSA-N 0 0 425.573 -0.792 20 0 IBADRN C[C@@H]1OCC[C@@H]1S(=O)(=O)NCCN1CCN(S(=O)(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000574480055 1072259583 /nfs/dbraw/zinc/25/95/83/1072259583.db2.gz GSPVMYDCDWBETR-VGWMRTNUSA-N 0 0 425.573 -0.792 20 0 IBADRN C[C@H]1OCC[C@H]1S(=O)(=O)N1CCN(CCNS(=O)(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000574480056 1072259618 /nfs/dbraw/zinc/25/96/18/1072259618.db2.gz GSPVMYDCDWBETR-XUWVNRHRSA-N 0 0 425.573 -0.792 20 0 IBADRN C[C@@H]1OCC[C@H]1S(=O)(=O)N1CCN(CCNS(=O)(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000574480057 1072259755 /nfs/dbraw/zinc/25/97/55/1072259755.db2.gz GSPVMYDCDWBETR-YHUYYLMFSA-N 0 0 425.573 -0.792 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)CC1 ZINC000574482170 1072498577 /nfs/dbraw/zinc/49/85/77/1072498577.db2.gz IOOWZDDXXBTUSM-KRWDZBQOSA-N 0 0 435.477 -0.075 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)CC1 ZINC000574482175 1072498597 /nfs/dbraw/zinc/49/85/97/1072498597.db2.gz IOOWZDDXXBTUSM-QGZVFWFLSA-N 0 0 435.477 -0.075 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000574483456 1072498543 /nfs/dbraw/zinc/49/85/43/1072498543.db2.gz PZPPOFBXIJQHMP-XHDPSFHLSA-N 0 0 429.520 -0.043 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCOc2ccc(F)cc2C1 ZINC000574483500 1072499223 /nfs/dbraw/zinc/49/92/23/1072499223.db2.gz RLJBJTDCUCAALZ-UHFFFAOYSA-N 0 0 426.426 -0.230 20 0 IBADRN Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)C(=O)N2CCO[C@@H](CN(C)CC(N)=O)C2)cc1 ZINC000574483528 1072499265 /nfs/dbraw/zinc/49/92/65/1072499265.db2.gz SZIOEUMGJPQHSB-BJLQDIEVSA-N 0 0 432.521 -0.024 20 0 IBADRN Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)C(=O)N2CCO[C@H](CN(C)CC(N)=O)C2)cc1 ZINC000574483529 1072499147 /nfs/dbraw/zinc/49/91/47/1072499147.db2.gz SZIOEUMGJPQHSB-GGPKGHCWSA-N 0 0 432.521 -0.024 20 0 IBADRN Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)C(=O)N2CCO[C@H](CN(C)CC(N)=O)C2)cc1 ZINC000574483530 1072498927 /nfs/dbraw/zinc/49/89/27/1072498927.db2.gz SZIOEUMGJPQHSB-HBFSDRIKSA-N 0 0 432.521 -0.024 20 0 IBADRN Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)C(=O)N2CCO[C@@H](CN(C)CC(N)=O)C2)cc1 ZINC000574483531 1072499183 /nfs/dbraw/zinc/49/91/83/1072499183.db2.gz SZIOEUMGJPQHSB-NSHGMRRFSA-N 0 0 432.521 -0.024 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(F)c(Cl)c1 ZINC000574485618 1072498890 /nfs/dbraw/zinc/49/88/90/1072498890.db2.gz OKASJZLOTFXWME-UHFFFAOYSA-N 0 0 445.922 -0.171 20 0 IBADRN CCOC(=O)c1n[nH]c(-c2cccc(CNC(=O)CNS(=O)(=O)c3cn(C)cn3)c2)n1 ZINC000574491738 1072498992 /nfs/dbraw/zinc/49/89/92/1072498992.db2.gz ASLRUGMTVMOBPG-UHFFFAOYSA-N 0 0 447.477 -0.023 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C[C@H](C)S1(=O)=O ZINC000574494085 1072499121 /nfs/dbraw/zinc/49/91/21/1072499121.db2.gz SJSFMLKYOPJOGQ-BETUJISGSA-N 0 0 433.556 -0.210 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C[C@@H](C)S1(=O)=O ZINC000574494088 1072499102 /nfs/dbraw/zinc/49/91/02/1072499102.db2.gz SJSFMLKYOPJOGQ-CHWSQXEVSA-N 0 0 433.556 -0.210 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C[C@H](C)S1(=O)=O ZINC000574494089 1072499236 /nfs/dbraw/zinc/49/92/36/1072499236.db2.gz SJSFMLKYOPJOGQ-STQMWFEESA-N 0 0 433.556 -0.210 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c1 ZINC000574508069 1072260838 /nfs/dbraw/zinc/26/08/38/1072260838.db2.gz RMXKQTVJBDAXLN-UHFFFAOYSA-N 0 0 435.524 -0.071 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C[C@H](C)O1 ZINC000574508202 1072499244 /nfs/dbraw/zinc/49/92/44/1072499244.db2.gz LBELBWDJGLKCGO-BBWFWOEESA-N 0 0 434.541 -0.405 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C[C@H](C)O1 ZINC000574508203 1072498898 /nfs/dbraw/zinc/49/88/98/1072498898.db2.gz LBELBWDJGLKCGO-IXDOHACOSA-N 0 0 434.541 -0.405 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H]1CCCN(S(=O)(=O)c2cccs2)C1 ZINC000574508642 1072261402 /nfs/dbraw/zinc/26/14/02/1072261402.db2.gz IKGUHIOFEBWIDJ-CYBMUJFWSA-N 0 0 439.581 -0.027 20 0 IBADRN Cc1cccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)n1 ZINC000574523990 1072260947 /nfs/dbraw/zinc/26/09/47/1072260947.db2.gz WXOFIUFQGDAEIB-KRWDZBQOSA-N 0 0 444.536 -0.153 20 0 IBADRN Cc1cccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2)n1 ZINC000574523991 1072260803 /nfs/dbraw/zinc/26/08/03/1072260803.db2.gz WXOFIUFQGDAEIB-QGZVFWFLSA-N 0 0 444.536 -0.153 20 0 IBADRN CC[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)Oc1ccccc1Cl ZINC000574526652 1072260919 /nfs/dbraw/zinc/26/09/19/1072260919.db2.gz GKWYRNRDSSJEEX-AWEZNQCLSA-N 0 0 449.899 -0.029 20 0 IBADRN CC[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)Oc1ccccc1Cl ZINC000574526653 1072260939 /nfs/dbraw/zinc/26/09/39/1072260939.db2.gz GKWYRNRDSSJEEX-CQSZACIVSA-N 0 0 449.899 -0.029 20 0 IBADRN COCCN1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000574534159 1072498913 /nfs/dbraw/zinc/49/89/13/1072498913.db2.gz FBTOOGZSCLQFFF-UHFFFAOYSA-N 0 0 426.495 -0.606 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCSCc2ccccc2F)CC1 ZINC000574536463 1072499213 /nfs/dbraw/zinc/49/92/13/1072499213.db2.gz AGSOXVXKOHUDRG-UHFFFAOYSA-N 0 0 435.485 -0.467 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000574536513 1072499077 /nfs/dbraw/zinc/49/90/77/1072499077.db2.gz CGVWPWDWNADKPT-AWEZNQCLSA-N 0 0 448.505 -0.481 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000574536514 1072499130 /nfs/dbraw/zinc/49/91/30/1072499130.db2.gz CGVWPWDWNADKPT-CQSZACIVSA-N 0 0 448.505 -0.481 20 0 IBADRN CN(C)C(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000574543276 1072498948 /nfs/dbraw/zinc/49/89/48/1072498948.db2.gz SAQRTVKZSFOUEF-LLVKDONJSA-N 0 0 438.428 -0.178 20 0 IBADRN CN(C)C(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000574543277 1072499139 /nfs/dbraw/zinc/49/91/39/1072499139.db2.gz SAQRTVKZSFOUEF-NSHDSACASA-N 0 0 438.428 -0.178 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(CCCN1CCOCC1)Cc1ccncc1)S(C)(=O)=O ZINC000574543977 1072499036 /nfs/dbraw/zinc/49/90/36/1072499036.db2.gz HXBBPNOOZHAVDP-INIZCTEOSA-N 0 0 426.539 -0.318 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(CCCN1CCOCC1)Cc1ccncc1)S(C)(=O)=O ZINC000574543978 1072499992 /nfs/dbraw/zinc/49/99/92/1072499992.db2.gz HXBBPNOOZHAVDP-MRXNPFEDSA-N 0 0 426.539 -0.318 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CCn3ncn(C)c3=O)cc2)CC1 ZINC000574545514 1072260891 /nfs/dbraw/zinc/26/08/91/1072260891.db2.gz OPODQQLFJIXPNW-UHFFFAOYSA-N 0 0 444.536 -0.126 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2=O)c1 ZINC000574554111 1072499657 /nfs/dbraw/zinc/49/96/57/1072499657.db2.gz YNDUDEBQFGEQDB-DZGCQCFKSA-N 0 0 438.506 -0.543 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2=O)c1 ZINC000574554112 1072500022 /nfs/dbraw/zinc/50/00/22/1072500022.db2.gz YNDUDEBQFGEQDB-UKRRQHHQSA-N 0 0 438.506 -0.543 20 0 IBADRN O=C(CN1CCn2c(Cc3ccccc3)nnc2C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000574565576 1072262000 /nfs/dbraw/zinc/26/20/00/1072262000.db2.gz YTXRTJAUSRPVCC-HNNXBMFYSA-N 0 0 432.506 -0.303 20 0 IBADRN O=C(CN1CCn2c(Cc3ccccc3)nnc2C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000574565579 1072261984 /nfs/dbraw/zinc/26/19/84/1072261984.db2.gz YTXRTJAUSRPVCC-OAHLLOKOSA-N 0 0 432.506 -0.303 20 0 IBADRN CC(C)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000574569780 1072262007 /nfs/dbraw/zinc/26/20/07/1072262007.db2.gz CGOUMFFRHSRHFK-UHFFFAOYSA-N 0 0 427.531 -0.961 20 0 IBADRN Cc1cccc([C@@H]2C(=O)NCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000574584516 1072261342 /nfs/dbraw/zinc/26/13/42/1072261342.db2.gz HXNWDGRMRWSPKM-AZUAARDMSA-N 0 0 434.562 -0.201 20 0 IBADRN Cc1cccc([C@H]2C(=O)NCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000574584517 1072261482 /nfs/dbraw/zinc/26/14/82/1072261482.db2.gz HXNWDGRMRWSPKM-ICSRJNTNSA-N 0 0 434.562 -0.201 20 0 IBADRN Cc1cccc([C@H]2C(=O)NCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000574584518 1072261382 /nfs/dbraw/zinc/26/13/82/1072261382.db2.gz HXNWDGRMRWSPKM-QUCCMNQESA-N 0 0 434.562 -0.201 20 0 IBADRN Cc1cccc([C@@H]2C(=O)NCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000574584519 1072261368 /nfs/dbraw/zinc/26/13/68/1072261368.db2.gz HXNWDGRMRWSPKM-UYAOXDASSA-N 0 0 434.562 -0.201 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)c1 ZINC000574587552 1072499797 /nfs/dbraw/zinc/49/97/97/1072499797.db2.gz TVFGQVDKAMWVPF-UHFFFAOYSA-N 0 0 449.461 -0.658 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)Nc1ccc(NC(=O)CN3CCOCC3)cc1)CC2 ZINC000574589126 1072499989 /nfs/dbraw/zinc/49/99/89/1072499989.db2.gz ZMYBUZODHXYGJS-KRWDZBQOSA-N 0 0 441.492 -0.073 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)Nc1ccc(NC(=O)CN3CCOCC3)cc1)CC2 ZINC000574589127 1072499668 /nfs/dbraw/zinc/49/96/68/1072499668.db2.gz ZMYBUZODHXYGJS-QGZVFWFLSA-N 0 0 441.492 -0.073 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000574589465 1072499785 /nfs/dbraw/zinc/49/97/85/1072499785.db2.gz XGRXTZAVKNPJDW-GOSISDBHSA-N 0 0 438.550 -0.330 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000574589466 1072499682 /nfs/dbraw/zinc/49/96/82/1072499682.db2.gz XGRXTZAVKNPJDW-SFHVURJKSA-N 0 0 438.550 -0.330 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCC[C@H]1CN1CCOCC1 ZINC000574592215 1072499697 /nfs/dbraw/zinc/49/96/97/1072499697.db2.gz BRRDLSGVDXGCKI-INIZCTEOSA-N 0 0 448.611 -0.806 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCCC[C@@H]1CN1CCOCC1 ZINC000574592216 1072499886 /nfs/dbraw/zinc/49/98/86/1072499886.db2.gz BRRDLSGVDXGCKI-MRXNPFEDSA-N 0 0 448.611 -0.806 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N[C@H](C)CN1CCN(c3ccccn3)CC1)CC2 ZINC000574592331 1072261328 /nfs/dbraw/zinc/26/13/28/1072261328.db2.gz FGWYQMMKKAIWJE-AEFFLSMTSA-N 0 0 440.552 -0.013 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N[C@@H](C)CN1CCN(c3ccccn3)CC1)CC2 ZINC000574592332 1072261500 /nfs/dbraw/zinc/26/15/00/1072261500.db2.gz FGWYQMMKKAIWJE-FUHWJXTLSA-N 0 0 440.552 -0.013 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N[C@H](C)CN1CCN(c3ccccn3)CC1)CC2 ZINC000574592333 1072261428 /nfs/dbraw/zinc/26/14/28/1072261428.db2.gz FGWYQMMKKAIWJE-SJLPKXTDSA-N 0 0 440.552 -0.013 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N[C@@H](C)CN1CCN(c3ccccn3)CC1)CC2 ZINC000574592334 1072261287 /nfs/dbraw/zinc/26/12/87/1072261287.db2.gz FGWYQMMKKAIWJE-WMZOPIPTSA-N 0 0 440.552 -0.013 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)CC1 ZINC000574593618 1072261389 /nfs/dbraw/zinc/26/13/89/1072261389.db2.gz ZNQAVIFZYYRXMG-HNNXBMFYSA-N 0 0 438.550 -0.137 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)CC1 ZINC000574593619 1072261456 /nfs/dbraw/zinc/26/14/56/1072261456.db2.gz ZNQAVIFZYYRXMG-OAHLLOKOSA-N 0 0 438.550 -0.137 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC1CCC2(CC1)NC(=O)NC2=O)C(F)(F)F ZINC000574594129 1072500296 /nfs/dbraw/zinc/50/02/96/1072500296.db2.gz JJAOVCFRPUDBIV-JVVWGOMOSA-N 0 0 429.421 -0.371 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NC1CCC2(CC1)NC(=O)NC2=O)C(F)(F)F ZINC000574594130 1072500560 /nfs/dbraw/zinc/50/05/60/1072500560.db2.gz JJAOVCFRPUDBIV-XQVHRTOBSA-N 0 0 429.421 -0.371 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)C1=O ZINC000574600568 1072500567 /nfs/dbraw/zinc/50/05/67/1072500567.db2.gz GEGXZSWBFYABDL-CABCVRRESA-N 0 0 429.477 -0.021 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)C1=O ZINC000574600569 1072500556 /nfs/dbraw/zinc/50/05/56/1072500556.db2.gz GEGXZSWBFYABDL-GJZGRUSLSA-N 0 0 429.477 -0.021 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)C1=O ZINC000574600570 1072500402 /nfs/dbraw/zinc/50/04/02/1072500402.db2.gz GEGXZSWBFYABDL-HUUCEWRRSA-N 0 0 429.477 -0.021 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)C1=O ZINC000574600571 1072500563 /nfs/dbraw/zinc/50/05/63/1072500563.db2.gz GEGXZSWBFYABDL-LSDHHAIUSA-N 0 0 429.477 -0.021 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC2CCN(c3cc(CC)ncn3)CC2)C1 ZINC000574600632 1072500313 /nfs/dbraw/zinc/50/03/13/1072500313.db2.gz HSBILAABCOXYOK-INIZCTEOSA-N 0 0 438.554 -0.336 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC2CCN(c3cc(CC)ncn3)CC2)C1 ZINC000574600633 1072500418 /nfs/dbraw/zinc/50/04/18/1072500418.db2.gz HSBILAABCOXYOK-MRXNPFEDSA-N 0 0 438.554 -0.336 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCc2ccnc(N(C)C)n2)C1=O ZINC000574602744 1072500331 /nfs/dbraw/zinc/50/03/31/1072500331.db2.gz CJXIXNPQSAOIHD-CYBMUJFWSA-N 0 0 446.489 -0.071 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCc2ccnc(N(C)C)n2)C1=O ZINC000574602751 1072500432 /nfs/dbraw/zinc/50/04/32/1072500432.db2.gz CJXIXNPQSAOIHD-ZDUSSCGKSA-N 0 0 446.489 -0.071 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000574604273 1072501022 /nfs/dbraw/zinc/50/10/22/1072501022.db2.gz VRGPHVDNNYHUHK-DZUCGIPZSA-N 0 0 442.538 -0.002 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000574604286 1072500988 /nfs/dbraw/zinc/50/09/88/1072500988.db2.gz VRGPHVDNNYHUHK-IWCQGFGOSA-N 0 0 442.538 -0.002 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000574604288 1072500886 /nfs/dbraw/zinc/50/08/86/1072500886.db2.gz VRGPHVDNNYHUHK-SIXLDLHFSA-N 0 0 442.538 -0.002 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000574604292 1072500921 /nfs/dbraw/zinc/50/09/21/1072500921.db2.gz VRGPHVDNNYHUHK-XBVQOTNRSA-N 0 0 442.538 -0.002 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000574606019 1072501095 /nfs/dbraw/zinc/50/10/95/1072501095.db2.gz DVEASRWQNCOHHR-CYBMUJFWSA-N 0 0 426.495 -0.514 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000574606021 1072500904 /nfs/dbraw/zinc/50/09/04/1072500904.db2.gz DVEASRWQNCOHHR-ZDUSSCGKSA-N 0 0 426.495 -0.514 20 0 IBADRN COc1cc(CNC(=O)CNS(=O)(=O)c2c(C)noc2C)ccc1OCC(N)=O ZINC000574609002 1072500807 /nfs/dbraw/zinc/50/08/07/1072500807.db2.gz KYJQNERHTXSPHQ-UHFFFAOYSA-N 0 0 426.451 -0.241 20 0 IBADRN CC1(c2cc(NC(=O)C(=O)N=c3ccn(CC(=O)N4CCOCC4)[nH]3)no2)CCCC1 ZINC000574609749 1072501061 /nfs/dbraw/zinc/50/10/61/1072501061.db2.gz HWFJLNIFYORBRL-UHFFFAOYSA-N 0 0 430.465 -0.017 20 0 IBADRN C[C@@H](COC1CCCC1)CS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000574620990 1072501029 /nfs/dbraw/zinc/50/10/29/1072501029.db2.gz YOJODURFCCIIGV-AWEZNQCLSA-N 0 0 429.543 -0.126 20 0 IBADRN C[C@H](COC1CCCC1)CS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000574620991 1072501077 /nfs/dbraw/zinc/50/10/77/1072501077.db2.gz YOJODURFCCIIGV-CQSZACIVSA-N 0 0 429.543 -0.126 20 0 IBADRN CCCCN(C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H]1CCS(=O)(=O)C1 ZINC000574625321 1072501083 /nfs/dbraw/zinc/50/10/83/1072501083.db2.gz ACVFDHMVVYHDKY-HNNXBMFYSA-N 0 0 427.527 0.563 20 0 IBADRN CCCCN(C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000574625322 1072501089 /nfs/dbraw/zinc/50/10/89/1072501089.db2.gz ACVFDHMVVYHDKY-OAHLLOKOSA-N 0 0 427.527 0.563 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000574626089 1072262460 /nfs/dbraw/zinc/26/24/60/1072262460.db2.gz ZAPRMKQRBZHGBL-CVEARBPZSA-N 0 0 428.559 0.445 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000574626090 1073351154 /nfs/dbraw/zinc/35/11/54/1073351154.db2.gz ZAPRMKQRBZHGBL-HOTGVXAUSA-N 0 0 428.559 0.445 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000574626091 1072262425 /nfs/dbraw/zinc/26/24/25/1072262425.db2.gz ZAPRMKQRBZHGBL-HZPDHXFCSA-N 0 0 428.559 0.445 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000574626092 1072262399 /nfs/dbraw/zinc/26/23/99/1072262399.db2.gz ZAPRMKQRBZHGBL-JKSUJKDBSA-N 0 0 428.559 0.445 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2C)C1)C(N)=O ZINC000574626447 1072262388 /nfs/dbraw/zinc/26/23/88/1072262388.db2.gz GULHKRPHVKSBAN-UHFFFAOYSA-N 0 0 442.480 -0.472 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)CC1 ZINC000574629509 1072262950 /nfs/dbraw/zinc/26/29/50/1072262950.db2.gz MDMHUVXEOBFXSQ-UHFFFAOYSA-N 0 0 444.510 -0.513 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)C2)n(C)n1 ZINC000574633070 1072262962 /nfs/dbraw/zinc/26/29/62/1072262962.db2.gz ARBWGFDLYNSGLQ-KRWDZBQOSA-N 0 0 444.540 -0.019 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3cnn(CCN4CCOCC4)c3)C2)n(C)n1 ZINC000574633080 1072263023 /nfs/dbraw/zinc/26/30/23/1072263023.db2.gz ARBWGFDLYNSGLQ-QGZVFWFLSA-N 0 0 444.540 -0.019 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)[C@H]1CCCO1 ZINC000574643066 1072262878 /nfs/dbraw/zinc/26/28/78/1072262878.db2.gz ODLUEIWIPYEFSC-DLBZAZTESA-N 0 0 448.524 -0.728 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)[C@H]1CCCO1 ZINC000574643067 1072262910 /nfs/dbraw/zinc/26/29/10/1072262910.db2.gz ODLUEIWIPYEFSC-IAGOWNOFSA-N 0 0 448.524 -0.728 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)[C@@H]1CCCO1 ZINC000574643068 1072263063 /nfs/dbraw/zinc/26/30/63/1072263063.db2.gz ODLUEIWIPYEFSC-IRXDYDNUSA-N 0 0 448.524 -0.728 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)[C@@H]1CCCO1 ZINC000574643069 1072263146 /nfs/dbraw/zinc/26/31/46/1072263146.db2.gz ODLUEIWIPYEFSC-SJORKVTESA-N 0 0 448.524 -0.728 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)CC1 ZINC000574657981 1072501547 /nfs/dbraw/zinc/50/15/47/1072501547.db2.gz NJEUMACRZVWOET-UHFFFAOYSA-N 0 0 448.495 -0.207 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000574662170 1072262456 /nfs/dbraw/zinc/26/24/56/1072262456.db2.gz CYXDWABNSCSTSB-UHFFFAOYSA-N 0 0 440.522 -0.597 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000574671124 1072262490 /nfs/dbraw/zinc/26/24/90/1072262490.db2.gz NJPZMBQOLPDVDL-UHFFFAOYSA-N 0 0 449.576 -0.929 20 0 IBADRN CN(C)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000574673856 1072501553 /nfs/dbraw/zinc/50/15/53/1072501553.db2.gz VUYDSCBEWNTXSF-HNNXBMFYSA-N 0 0 426.514 -0.047 20 0 IBADRN CN(C)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000574673865 1072501442 /nfs/dbraw/zinc/50/14/42/1072501442.db2.gz VUYDSCBEWNTXSF-OAHLLOKOSA-N 0 0 426.514 -0.047 20 0 IBADRN O=S(=O)(NCCCn1cc(CO)nn1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000574677887 1072501571 /nfs/dbraw/zinc/50/15/71/1072501571.db2.gz LRYWBQMVORBUBG-UHFFFAOYSA-N 0 0 429.524 -0.077 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C(C)C ZINC000574678947 1072501431 /nfs/dbraw/zinc/50/14/31/1072501431.db2.gz ZIRITLAXKCCVJK-INMHGKMJSA-N 0 0 434.559 -0.728 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000574678948 1072501557 /nfs/dbraw/zinc/50/15/57/1072501557.db2.gz ZIRITLAXKCCVJK-UXLLHSPISA-N 0 0 434.559 -0.728 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000574678949 1072501532 /nfs/dbraw/zinc/50/15/32/1072501532.db2.gz ZIRITLAXKCCVJK-ZOBUZTSGSA-N 0 0 434.559 -0.728 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3cncc3C2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000574681326 1072501562 /nfs/dbraw/zinc/50/15/62/1072501562.db2.gz PQMOCSCWNVWOLP-AWEZNQCLSA-N 0 0 449.489 -0.060 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3cncc3C2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000574681347 1072501535 /nfs/dbraw/zinc/50/15/35/1072501535.db2.gz PQMOCSCWNVWOLP-CQSZACIVSA-N 0 0 449.489 -0.060 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)CC1 ZINC000574695480 1072263572 /nfs/dbraw/zinc/26/35/72/1072263572.db2.gz PEIWOMVZIOJWKX-UHFFFAOYSA-N 0 0 445.568 -0.048 20 0 IBADRN COCC1(NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCN(C)CC3)ccc2OC)CC1 ZINC000574709216 1072502126 /nfs/dbraw/zinc/50/21/26/1072502126.db2.gz ZNBZZTNWSVJREG-UHFFFAOYSA-N 0 0 440.522 -0.135 20 0 IBADRN CC[C@@](C)(CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000574711180 1072263503 /nfs/dbraw/zinc/26/35/03/1072263503.db2.gz MUUJQWDYEMECPS-IBGZPJMESA-N 0 0 442.538 -0.011 20 0 IBADRN CC[C@](C)(CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000574711181 1072263514 /nfs/dbraw/zinc/26/35/14/1072263514.db2.gz MUUJQWDYEMECPS-LJQANCHMSA-N 0 0 442.538 -0.011 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1)S(C)(=O)=O ZINC000574714512 1072264047 /nfs/dbraw/zinc/26/40/47/1072264047.db2.gz IQOLDTLSKDXNBT-CYBMUJFWSA-N 0 0 435.506 -0.471 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1)S(C)(=O)=O ZINC000574714513 1072263955 /nfs/dbraw/zinc/26/39/55/1072263955.db2.gz IQOLDTLSKDXNBT-ZDUSSCGKSA-N 0 0 435.506 -0.471 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CCC(=O)N2CCN(c3ccccn3)CC2)c1=O ZINC000574719462 1072264108 /nfs/dbraw/zinc/26/41/08/1072264108.db2.gz YFOLDZXJWVAQLL-UHFFFAOYSA-N 0 0 428.493 -0.423 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H]3CCC[C@H]3C(=O)NC)CC2)CC1 ZINC000574720855 1072501975 /nfs/dbraw/zinc/50/19/75/1072501975.db2.gz CMZCPZDSENRJGK-MSOLQXFVSA-N 0 0 435.569 -0.190 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3C(=O)NC)CC2)CC1 ZINC000574720856 1072501953 /nfs/dbraw/zinc/50/19/53/1072501953.db2.gz CMZCPZDSENRJGK-QZTJIDSGSA-N 0 0 435.569 -0.190 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H]3C(=O)NC)CC2)CC1 ZINC000574720857 1072501902 /nfs/dbraw/zinc/50/19/02/1072501902.db2.gz CMZCPZDSENRJGK-ROUUACIJSA-N 0 0 435.569 -0.190 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3C(=O)NC)CC2)CC1 ZINC000574720859 1072501782 /nfs/dbraw/zinc/50/17/82/1072501782.db2.gz CMZCPZDSENRJGK-ZWKOTPCHSA-N 0 0 435.569 -0.190 20 0 IBADRN Cc1ccccc1N1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000574721273 1072502098 /nfs/dbraw/zinc/50/20/98/1072502098.db2.gz GXFBUHIGCDDBMU-UHFFFAOYSA-N 0 0 435.506 -0.133 20 0 IBADRN Cc1cccc(N2CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)c1 ZINC000574721793 1072501937 /nfs/dbraw/zinc/50/19/37/1072501937.db2.gz LLIFYDXBEXIOQQ-UHFFFAOYSA-N 0 0 435.506 -0.133 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCCN2CCc3c(Cl)cccc3C2)CC1 ZINC000574725358 1072262992 /nfs/dbraw/zinc/26/29/92/1072262992.db2.gz FLJLEHNHAQFJFS-UHFFFAOYSA-N 0 0 428.942 -0.082 20 0 IBADRN CN1CCC[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCCN(C)C2=O)Cc2ccccc2)C1=O ZINC000574725551 1072263595 /nfs/dbraw/zinc/26/35/95/1072263595.db2.gz FSRPLJMKWFJRKZ-KDURUIRLSA-N 0 0 443.548 -0.037 20 0 IBADRN CN1CCC[C@H](NC(=O)CN(CC(=O)N[C@H]2CCCN(C)C2=O)Cc2ccccc2)C1=O ZINC000574725552 1072263498 /nfs/dbraw/zinc/26/34/98/1072263498.db2.gz FSRPLJMKWFJRKZ-OALUTQOASA-N 0 0 443.548 -0.037 20 0 IBADRN CN1CCC[C@@H](NC(=O)CN(CC(=O)N[C@@H]2CCCN(C)C2=O)Cc2ccccc2)C1=O ZINC000574725553 1072263556 /nfs/dbraw/zinc/26/35/56/1072263556.db2.gz FSRPLJMKWFJRKZ-RTBURBONSA-N 0 0 443.548 -0.037 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)CC2)n[nH]1 ZINC000574725941 1072263507 /nfs/dbraw/zinc/26/35/07/1072263507.db2.gz KAMUDGIOXLFLCA-UHFFFAOYSA-N 0 0 431.541 -0.430 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000574727067 1073351284 /nfs/dbraw/zinc/35/12/84/1073351284.db2.gz YPQAYMIBHGPUJF-UHFFFAOYSA-N 0 0 447.496 -0.313 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000574727665 1072263527 /nfs/dbraw/zinc/26/35/27/1072263527.db2.gz FEYBGRHJMYSIFW-GOSISDBHSA-N 0 0 435.462 -0.059 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000574727669 1072263537 /nfs/dbraw/zinc/26/35/37/1072263537.db2.gz FEYBGRHJMYSIFW-SFHVURJKSA-N 0 0 435.462 -0.059 20 0 IBADRN CC(C)c1nc(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cs1 ZINC000574744497 1072501813 /nfs/dbraw/zinc/50/18/13/1072501813.db2.gz XHCFDHBIITWVTN-UHFFFAOYSA-N 0 0 449.537 -0.586 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000574746343 1072502089 /nfs/dbraw/zinc/50/20/89/1072502089.db2.gz RYAAXIGENBDIHX-UHFFFAOYSA-N 0 0 428.392 -0.315 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2ccc3c(n2)CCCC3)n1)N1CCOCC1 ZINC000574753611 1072263509 /nfs/dbraw/zinc/26/35/09/1072263509.db2.gz OIGRLXZXKFPVLS-UHFFFAOYSA-N 0 0 426.477 0.271 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N(C)[C@H]3CCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000574761032 1072502109 /nfs/dbraw/zinc/50/21/09/1072502109.db2.gz BWWFKZXSJKZZIW-KFWWJZLASA-N 0 0 438.572 -0.803 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000574761033 1072502118 /nfs/dbraw/zinc/50/21/18/1072502118.db2.gz BWWFKZXSJKZZIW-QLFBSQMISA-N 0 0 438.572 -0.803 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000574761034 1072502601 /nfs/dbraw/zinc/50/26/01/1072502601.db2.gz BWWFKZXSJKZZIW-RBSFLKMASA-N 0 0 438.572 -0.803 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N(C)[C@H]3CCS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000574761035 1072502596 /nfs/dbraw/zinc/50/25/96/1072502596.db2.gz BWWFKZXSJKZZIW-ZNMIVQPWSA-N 0 0 438.572 -0.803 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN(c3ncnc4c3cnn4C)CC1)C2 ZINC000574775807 1072502534 /nfs/dbraw/zinc/50/25/34/1072502534.db2.gz NJYHXMSUVSRSQR-HNNXBMFYSA-N 0 0 435.492 -0.216 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN(c3ncnc4c3cnn4C)CC1)C2 ZINC000574775809 1072502642 /nfs/dbraw/zinc/50/26/42/1072502642.db2.gz NJYHXMSUVSRSQR-OAHLLOKOSA-N 0 0 435.492 -0.216 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCc3nc(C)ncc3C2)CC1 ZINC000574776033 1072502505 /nfs/dbraw/zinc/50/25/05/1072502505.db2.gz RUHLGVZNYZYBDU-CRAIPNDOSA-N 0 0 446.552 -0.556 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCc3nc(C)ncc3C2)CC1 ZINC000574776035 1072502679 /nfs/dbraw/zinc/50/26/79/1072502679.db2.gz RUHLGVZNYZYBDU-MAUKXSAKSA-N 0 0 446.552 -0.556 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCc3nc(C)ncc3C2)CC1 ZINC000574776037 1072502654 /nfs/dbraw/zinc/50/26/54/1072502654.db2.gz RUHLGVZNYZYBDU-QAPCUYQASA-N 0 0 446.552 -0.556 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCc3nc(C)ncc3C2)CC1 ZINC000574776039 1072502634 /nfs/dbraw/zinc/50/26/34/1072502634.db2.gz RUHLGVZNYZYBDU-YJBOKZPZSA-N 0 0 446.552 -0.556 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)cn2)CCO1 ZINC000574776407 1072502455 /nfs/dbraw/zinc/50/24/55/1072502455.db2.gz ZNLSLLQEACEPQB-PBHICJAKSA-N 0 0 430.509 -0.015 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)cn2)CCO1 ZINC000574776408 1072502373 /nfs/dbraw/zinc/50/23/73/1072502373.db2.gz ZNLSLLQEACEPQB-RHSMWYFYSA-N 0 0 430.509 -0.015 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)cn2)CCO1 ZINC000574776409 1072502518 /nfs/dbraw/zinc/50/25/18/1072502518.db2.gz ZNLSLLQEACEPQB-WMLDXEAASA-N 0 0 430.509 -0.015 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)cn2)CCO1 ZINC000574776410 1072502492 /nfs/dbraw/zinc/50/24/92/1072502492.db2.gz ZNLSLLQEACEPQB-YOEHRIQHSA-N 0 0 430.509 -0.015 20 0 IBADRN Cc1ccc(NC(=O)C2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)nc1 ZINC000574778513 1072265747 /nfs/dbraw/zinc/26/57/47/1072265747.db2.gz OAFHJFUCSNKAHJ-UHFFFAOYSA-N 0 0 439.476 -0.097 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCC[C@@H]2OCC[C@H]21)N1CCN(c2ncccn2)CC1 ZINC000574780832 1072265954 /nfs/dbraw/zinc/26/59/54/1072265954.db2.gz WNRKYKAOCDNWPW-BBWFWOEESA-N 0 0 430.509 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCC[C@H]2OCC[C@@H]21)N1CCN(c2ncccn2)CC1 ZINC000574780833 1072265590 /nfs/dbraw/zinc/26/55/90/1072265590.db2.gz WNRKYKAOCDNWPW-BRWVUGGUSA-N 0 0 430.509 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCC[C@H]2OCC[C@H]21)N1CCN(c2ncccn2)CC1 ZINC000574780834 1072266398 /nfs/dbraw/zinc/26/63/98/1072266398.db2.gz WNRKYKAOCDNWPW-GVDBMIGSSA-N 0 0 430.509 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N[C@@H]1CCC[C@@H]2OCC[C@@H]21)N1CCN(c2ncccn2)CC1 ZINC000574780835 1072266404 /nfs/dbraw/zinc/26/64/04/1072266404.db2.gz WNRKYKAOCDNWPW-ZACQAIPSSA-N 0 0 430.509 -0.295 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000574783904 1072502389 /nfs/dbraw/zinc/50/23/89/1072502389.db2.gz OCQBUWJKVNVFKX-CEWLAPEOSA-N 0 0 448.564 -0.456 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000574783905 1072502618 /nfs/dbraw/zinc/50/26/18/1072502618.db2.gz OCQBUWJKVNVFKX-JBACZVJFSA-N 0 0 448.564 -0.456 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000574783906 1072502662 /nfs/dbraw/zinc/50/26/62/1072502662.db2.gz OCQBUWJKVNVFKX-SESVDKBCSA-N 0 0 448.564 -0.456 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000574783907 1072502556 /nfs/dbraw/zinc/50/25/56/1072502556.db2.gz OCQBUWJKVNVFKX-TYPHKJRUSA-N 0 0 448.564 -0.456 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](C[C@H]2CCOC2)c2ccccc2)CC1 ZINC000574786400 1072503003 /nfs/dbraw/zinc/50/30/03/1072503003.db2.gz HRQJQTQGSXYNQV-AEFFLSMTSA-N 0 0 441.492 -0.372 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](C[C@@H]2CCOC2)c2ccccc2)CC1 ZINC000574786401 1072503102 /nfs/dbraw/zinc/50/31/02/1072503102.db2.gz HRQJQTQGSXYNQV-FUHWJXTLSA-N 0 0 441.492 -0.372 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](C[C@H]2CCOC2)c2ccccc2)CC1 ZINC000574786402 1072503118 /nfs/dbraw/zinc/50/31/18/1072503118.db2.gz HRQJQTQGSXYNQV-SJLPKXTDSA-N 0 0 441.492 -0.372 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](C[C@@H]2CCOC2)c2ccccc2)CC1 ZINC000574786403 1072502986 /nfs/dbraw/zinc/50/29/86/1072502986.db2.gz HRQJQTQGSXYNQV-WMZOPIPTSA-N 0 0 441.492 -0.372 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)no1 ZINC000574788704 1073331683 /nfs/dbraw/zinc/33/16/83/1073331683.db2.gz BCMGXKKBGBTSQG-CYBMUJFWSA-N 0 0 433.556 0.432 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)no1 ZINC000574788705 1073331830 /nfs/dbraw/zinc/33/18/30/1073331830.db2.gz BCMGXKKBGBTSQG-ZDUSSCGKSA-N 0 0 433.556 0.432 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000574792910 1072502962 /nfs/dbraw/zinc/50/29/62/1072502962.db2.gz JRNTZFBDNVAMPY-UHFFFAOYSA-N 0 0 442.538 -0.317 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(c4ncccn4)CC3)C2)nc1 ZINC000574793146 1072503108 /nfs/dbraw/zinc/50/31/08/1072503108.db2.gz CQFLYCXVKULCRB-UHFFFAOYSA-N 0 0 439.476 -0.298 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)CC1 ZINC000574793241 1072503058 /nfs/dbraw/zinc/50/30/58/1072503058.db2.gz VCLHSJOWMJRSHB-HNNXBMFYSA-N 0 0 426.514 -0.774 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)CC1 ZINC000574793245 1072502927 /nfs/dbraw/zinc/50/29/27/1072502927.db2.gz VCLHSJOWMJRSHB-OAHLLOKOSA-N 0 0 426.514 -0.774 20 0 IBADRN CC(C)(C)c1nc(CN2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)no1 ZINC000574793984 1072503095 /nfs/dbraw/zinc/50/30/95/1072503095.db2.gz UYLBWOOLBCTYFC-UHFFFAOYSA-N 0 0 425.515 -0.055 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N(C)C)c2ccccc2O1 ZINC000574795217 1072502974 /nfs/dbraw/zinc/50/29/74/1072502974.db2.gz CBDLOIJSQGHLRE-HNNXBMFYSA-N 0 0 426.495 -0.686 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N(C)C)c2ccccc2O1 ZINC000574795218 1072503088 /nfs/dbraw/zinc/50/30/88/1072503088.db2.gz CBDLOIJSQGHLRE-OAHLLOKOSA-N 0 0 426.495 -0.686 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)NCC(=O)Nc2ccccc2Br)CC1 ZINC000574795420 1072503235 /nfs/dbraw/zinc/50/32/35/1072503235.db2.gz IXRXXTIZDJRPBX-UHFFFAOYSA-N 0 0 448.343 -0.414 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)C2)cc1 ZINC000574798005 1072503154 /nfs/dbraw/zinc/50/31/54/1072503154.db2.gz AEGUAZXNPYBPKJ-UHFFFAOYSA-N 0 0 445.544 -0.006 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC2CCC(C(=O)N3CCSCC3)CC2)CC1 ZINC000574818016 1072266378 /nfs/dbraw/zinc/26/63/78/1072266378.db2.gz LLYRQONKKPVEQG-UHFFFAOYSA-N 0 0 440.566 -0.446 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000574825399 1072266148 /nfs/dbraw/zinc/26/61/48/1072266148.db2.gz DEFOBOGTMNLMAI-UHFFFAOYSA-N 0 0 440.613 -0.426 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000574825404 1072266295 /nfs/dbraw/zinc/26/62/95/1072266295.db2.gz DOXZMIMLEKJHSO-INIZCTEOSA-N 0 0 428.555 -0.806 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000574825407 1072266350 /nfs/dbraw/zinc/26/63/50/1072266350.db2.gz DOXZMIMLEKJHSO-MRXNPFEDSA-N 0 0 428.555 -0.806 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCn2nc(C)cc2C)C1 ZINC000574825970 1072503253 /nfs/dbraw/zinc/50/32/53/1072503253.db2.gz LJOZQSFMGJACJB-KRWDZBQOSA-N 0 0 443.570 -0.095 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCn2nc(C)cc2C)C1 ZINC000574825973 1072503140 /nfs/dbraw/zinc/50/31/40/1072503140.db2.gz LJOZQSFMGJACJB-QGZVFWFLSA-N 0 0 443.570 -0.095 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCCC2)ccc1N(C)C ZINC000574827649 1072265777 /nfs/dbraw/zinc/26/57/77/1072265777.db2.gz WRQXMUFKMNWHDZ-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1)CN1CCOCC1 ZINC000574830438 1072503168 /nfs/dbraw/zinc/50/31/68/1072503168.db2.gz RJVGRPCGDZVPRL-INIZCTEOSA-N 0 0 438.550 -0.073 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1)CN1CCOCC1 ZINC000574830439 1072503652 /nfs/dbraw/zinc/50/36/52/1072503652.db2.gz RJVGRPCGDZVPRL-MRXNPFEDSA-N 0 0 438.550 -0.073 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000574831867 1072503679 /nfs/dbraw/zinc/50/36/79/1072503679.db2.gz KIXVQBBFVSROAG-GDLZYMKVSA-N 0 0 426.583 -0.146 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000574831868 1072503587 /nfs/dbraw/zinc/50/35/87/1072503587.db2.gz KIXVQBBFVSROAG-LJAQVGFWSA-N 0 0 426.583 -0.146 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3ccccc3)C2=O)CC1 ZINC000574836482 1072503595 /nfs/dbraw/zinc/50/35/95/1072503595.db2.gz NFRWHDMQHNERQA-AEFFLSMTSA-N 0 0 429.521 -0.035 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3ccccc3)C2=O)CC1 ZINC000574836493 1072503686 /nfs/dbraw/zinc/50/36/86/1072503686.db2.gz NFRWHDMQHNERQA-FUHWJXTLSA-N 0 0 429.521 -0.035 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3ccccc3)C2=O)CC1 ZINC000574836496 1072503646 /nfs/dbraw/zinc/50/36/46/1072503646.db2.gz NFRWHDMQHNERQA-SJLPKXTDSA-N 0 0 429.521 -0.035 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3ccccc3)C2=O)CC1 ZINC000574836497 1072503566 /nfs/dbraw/zinc/50/35/66/1072503566.db2.gz NFRWHDMQHNERQA-WMZOPIPTSA-N 0 0 429.521 -0.035 20 0 IBADRN Cc1ccc2c(c1)nc(CNC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)n2C ZINC000574839345 1072503714 /nfs/dbraw/zinc/50/37/14/1072503714.db2.gz IUQZMCYBFMLSHU-UHFFFAOYSA-N 0 0 442.520 -0.499 20 0 IBADRN CC(C)(CO)[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000574843185 1072503577 /nfs/dbraw/zinc/50/35/77/1072503577.db2.gz JYGUDCYTXGNPAP-KRWDZBQOSA-N 0 0 443.508 -0.738 20 0 IBADRN CC(C)(CO)[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1 ZINC000574843188 1072503663 /nfs/dbraw/zinc/50/36/63/1072503663.db2.gz JYGUDCYTXGNPAP-QGZVFWFLSA-N 0 0 443.508 -0.738 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCC(=O)NC(N)=O ZINC000574845675 1072503690 /nfs/dbraw/zinc/50/36/90/1072503690.db2.gz IEZHXTXKAGBVJZ-UHFFFAOYSA-N 0 0 441.510 -0.664 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000574845962 1072503704 /nfs/dbraw/zinc/50/37/04/1072503704.db2.gz AAXPYPWSJSUEJS-UHFFFAOYSA-N 0 0 433.556 -0.605 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)CCOCC(F)(F)F)CC2)cn1C ZINC000574848039 1072503636 /nfs/dbraw/zinc/50/36/36/1072503636.db2.gz YPJHMOGUHCUBIJ-UHFFFAOYSA-N 0 0 434.462 -0.056 20 0 IBADRN O=C(CN1CCN(C(=O)CNS(=O)(=O)c2cccc(F)c2)CC1)Nc1nncs1 ZINC000574848937 1072503669 /nfs/dbraw/zinc/50/36/69/1072503669.db2.gz FIXLUQAJMDTVAE-UHFFFAOYSA-N 0 0 442.498 -0.262 20 0 IBADRN Cn1nc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1C1CC1 ZINC000574850253 1072503544 /nfs/dbraw/zinc/50/35/44/1072503544.db2.gz RGJATLDAAXPCPG-HNNXBMFYSA-N 0 0 426.477 -0.045 20 0 IBADRN Cn1nc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1C1CC1 ZINC000574850254 1073307721 /nfs/dbraw/zinc/30/77/21/1073307721.db2.gz RGJATLDAAXPCPG-OAHLLOKOSA-N 0 0 426.477 -0.045 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000574851624 1072504029 /nfs/dbraw/zinc/50/40/29/1072504029.db2.gz VJNZUNWLDCZMBW-UHFFFAOYSA-N 0 0 435.524 -0.620 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000574860213 1072266974 /nfs/dbraw/zinc/26/69/74/1072266974.db2.gz LMNGHOQVVUABAL-INIZCTEOSA-N 0 0 437.541 -0.074 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000574860214 1072266915 /nfs/dbraw/zinc/26/69/15/1072266915.db2.gz LMNGHOQVVUABAL-MRXNPFEDSA-N 0 0 437.541 -0.074 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000574860241 1072266965 /nfs/dbraw/zinc/26/69/65/1072266965.db2.gz OVAVIMLOEDZFLO-UHFFFAOYSA-N 0 0 433.387 -0.452 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCC2CCN(C(=O)c3ccccc3)CC2)CC1 ZINC000574864321 1072267410 /nfs/dbraw/zinc/26/74/10/1072267410.db2.gz VTGBEMAFCVZOJR-UHFFFAOYSA-N 0 0 443.548 -0.065 20 0 IBADRN C[C@@H]1Cc2ccccc2N1CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000574864659 1072267374 /nfs/dbraw/zinc/26/73/74/1072267374.db2.gz SMPFCTDLLWICBB-GOSISDBHSA-N 0 0 443.548 -0.443 20 0 IBADRN C[C@H]1Cc2ccccc2N1CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000574864660 1072267330 /nfs/dbraw/zinc/26/73/30/1072267330.db2.gz SMPFCTDLLWICBB-SFHVURJKSA-N 0 0 443.548 -0.443 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(C(=O)c3ccccn3)CC2)c(=O)n(C)c1=O ZINC000574874288 1072267448 /nfs/dbraw/zinc/26/74/48/1072267448.db2.gz FHNOAZDHUFUTLC-UHFFFAOYSA-N 0 0 428.493 -0.179 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3ccco3)CC2)C1=O ZINC000574881716 1072266695 /nfs/dbraw/zinc/26/66/95/1072266695.db2.gz PQAXDFOXLCEJDI-KRWDZBQOSA-N 0 0 428.493 -0.035 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3ccco3)CC2)C1=O ZINC000574881725 1072266765 /nfs/dbraw/zinc/26/67/65/1072266765.db2.gz PQAXDFOXLCEJDI-QGZVFWFLSA-N 0 0 428.493 -0.035 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)cc2)CC1 ZINC000574907576 1072266787 /nfs/dbraw/zinc/26/67/87/1072266787.db2.gz RHAJJKJFDYGIIC-JOCHJYFZSA-N 0 0 430.505 -0.292 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)cc2)CC1 ZINC000574907577 1072266951 /nfs/dbraw/zinc/26/69/51/1072266951.db2.gz RHAJJKJFDYGIIC-QFIPXVFZSA-N 0 0 430.505 -0.292 20 0 IBADRN COCCO[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000574933427 1072504167 /nfs/dbraw/zinc/50/41/67/1072504167.db2.gz QOJNBTREMNNKEY-GOSISDBHSA-N 0 0 447.536 -0.110 20 0 IBADRN COCCO[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000574933428 1072504120 /nfs/dbraw/zinc/50/41/20/1072504120.db2.gz QOJNBTREMNNKEY-SFHVURJKSA-N 0 0 447.536 -0.110 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CC1 ZINC000574933718 1072268101 /nfs/dbraw/zinc/26/81/01/1072268101.db2.gz GHBYQJXSWKODMQ-UHFFFAOYSA-N 0 0 443.548 -0.178 20 0 IBADRN Cc1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000574936843 1072504150 /nfs/dbraw/zinc/50/41/50/1072504150.db2.gz KEAPZTDGBININV-HNNXBMFYSA-N 0 0 433.494 -0.534 20 0 IBADRN Cc1nc2n(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000574936844 1072504110 /nfs/dbraw/zinc/50/41/10/1072504110.db2.gz KEAPZTDGBININV-OAHLLOKOSA-N 0 0 433.494 -0.534 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)NCC(C)C)cc2)CCO1 ZINC000574940167 1072504217 /nfs/dbraw/zinc/50/42/17/1072504217.db2.gz XLAIYPFWSCCCFR-INIZCTEOSA-N 0 0 440.522 -0.790 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)NCC(C)C)cc2)CCO1 ZINC000574940168 1072504091 /nfs/dbraw/zinc/50/40/91/1072504091.db2.gz XLAIYPFWSCCCFR-MRXNPFEDSA-N 0 0 440.522 -0.790 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)nn1-c1ccc(F)cc1F ZINC000574940842 1072504244 /nfs/dbraw/zinc/50/42/44/1072504244.db2.gz ASWLOXHNWIZVKL-UHFFFAOYSA-N 0 0 427.433 0.549 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@@H]43)C2)nc1 ZINC000574942489 1072504102 /nfs/dbraw/zinc/50/41/02/1072504102.db2.gz WEXNHCQGTRYPMJ-CVEARBPZSA-N 0 0 429.477 -0.167 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CC(=O)N[C@H]4CCCC[C@@H]43)C2)nc1 ZINC000574942490 1072504251 /nfs/dbraw/zinc/50/42/51/1072504251.db2.gz WEXNHCQGTRYPMJ-HOTGVXAUSA-N 0 0 429.477 -0.167 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CC(=O)N[C@@H]4CCCC[C@H]43)C2)nc1 ZINC000574942491 1072504238 /nfs/dbraw/zinc/50/42/38/1072504238.db2.gz WEXNHCQGTRYPMJ-HZPDHXFCSA-N 0 0 429.477 -0.167 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CC(=O)N[C@H]4CCCC[C@H]43)C2)nc1 ZINC000574942492 1072504047 /nfs/dbraw/zinc/50/40/47/1072504047.db2.gz WEXNHCQGTRYPMJ-JKSUJKDBSA-N 0 0 429.477 -0.167 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3ncnn3-c3ccccc3)CC2)n1 ZINC000574945231 1072504082 /nfs/dbraw/zinc/50/40/82/1072504082.db2.gz XLGJIZAIOZHLJP-UHFFFAOYSA-N 0 0 440.464 -0.243 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC(OCC)C2)CC1 ZINC000574961053 1072504633 /nfs/dbraw/zinc/50/46/33/1072504633.db2.gz FVLPGZFIZDGOIN-UHFFFAOYSA-N 0 0 426.558 -0.447 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](c1ccccc1)N1CC[C@H](NC(=O)C(=O)N2CCNC(=O)C2)C1=O ZINC000574961143 1072504489 /nfs/dbraw/zinc/50/44/89/1072504489.db2.gz IBMSSVSTHXUXLZ-DOTOQJQBSA-N 0 0 444.488 -0.255 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](c1ccccc1)N1CC[C@@H](NC(=O)C(=O)N2CCNC(=O)C2)C1=O ZINC000574961144 1072504476 /nfs/dbraw/zinc/50/44/76/1072504476.db2.gz IBMSSVSTHXUXLZ-NVXWUHKLSA-N 0 0 444.488 -0.255 20 0 IBADRN CC(C)(C)OC(=O)[C@H](c1ccccc1)N1CC[C@H](NC(=O)C(=O)N2CCNC(=O)C2)C1=O ZINC000574961145 1072504660 /nfs/dbraw/zinc/50/46/60/1072504660.db2.gz IBMSSVSTHXUXLZ-RDJZCZTQSA-N 0 0 444.488 -0.255 20 0 IBADRN CC(C)(C)OC(=O)[C@H](c1ccccc1)N1CC[C@@H](NC(=O)C(=O)N2CCNC(=O)C2)C1=O ZINC000574961146 1072504521 /nfs/dbraw/zinc/50/45/21/1072504521.db2.gz IBMSSVSTHXUXLZ-WBVHZDCISA-N 0 0 444.488 -0.255 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)Nc2cn(C)c(=O)n(C)c2=O)cc1OC ZINC000574962600 1072504670 /nfs/dbraw/zinc/50/46/70/1072504670.db2.gz TYCFOXJRELWYMC-UHFFFAOYSA-N 0 0 426.451 -0.592 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3nc(C)no3)c2)CC1 ZINC000574963067 1072267920 /nfs/dbraw/zinc/26/79/20/1072267920.db2.gz ZCFTZKITTLNHLF-UHFFFAOYSA-N 0 0 436.494 -0.041 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1=O ZINC000574965156 1072267893 /nfs/dbraw/zinc/26/78/93/1072267893.db2.gz IWLCYWUEDGWSLG-UHFFFAOYSA-N 0 0 429.499 -0.243 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC000574966106 1072504457 /nfs/dbraw/zinc/50/44/57/1072504457.db2.gz VIVXCWKYCBYTLF-UHFFFAOYSA-N 0 0 433.914 -0.048 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000574966518 1073328202 /nfs/dbraw/zinc/32/82/02/1073328202.db2.gz RJCXEPYRGQNVCQ-UHFFFAOYSA-N 0 0 433.396 0.245 20 0 IBADRN O=S(=O)(c1cnn(C2CCOCC2)c1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000574968135 1072268018 /nfs/dbraw/zinc/26/80/18/1072268018.db2.gz BFOGFZFXFAITIP-UHFFFAOYSA-N 0 0 430.512 -0.347 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)CN(CC(=O)N2CC[C@@](C)(C(N)=O)C2)Cc2ccccc2)C1 ZINC000574972596 1072268112 /nfs/dbraw/zinc/26/81/12/1072268112.db2.gz FHNSTBQCDDUORY-DHIUTWEWSA-N 0 0 443.548 -0.064 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)CN(CC(=O)N2CC[C@](C)(C(N)=O)C2)Cc2ccccc2)C1 ZINC000574972603 1072267966 /nfs/dbraw/zinc/26/79/66/1072267966.db2.gz FHNSTBQCDDUORY-GOTSBHOMSA-N 0 0 443.548 -0.064 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)CN(CC(=O)N2CC[C@](C)(C(N)=O)C2)Cc2ccccc2)C1 ZINC000574972605 1072267832 /nfs/dbraw/zinc/26/78/32/1072267832.db2.gz FHNSTBQCDDUORY-ZRZAMGCNSA-N 0 0 443.548 -0.064 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(Cc2ccccn2)CC1 ZINC000574993105 1072267849 /nfs/dbraw/zinc/26/78/49/1072267849.db2.gz HVUXBICVFFYJFN-UHFFFAOYSA-N 0 0 431.518 -0.180 20 0 IBADRN CCO[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccccc1 ZINC000574995212 1072268074 /nfs/dbraw/zinc/26/80/74/1072268074.db2.gz BVHQAPBMCHXDTC-KRWDZBQOSA-N 0 0 429.477 0.574 20 0 IBADRN CCO[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccccc1 ZINC000574995213 1072268041 /nfs/dbraw/zinc/26/80/41/1072268041.db2.gz BVHQAPBMCHXDTC-QGZVFWFLSA-N 0 0 429.477 0.574 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cc[nH]n3)CC2)c1 ZINC000575006079 1072504597 /nfs/dbraw/zinc/50/45/97/1072504597.db2.gz KHJRHYGXNSHZLR-UHFFFAOYSA-N 0 0 437.478 -0.424 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NCCNC(=O)c3cccnc3)CC2)n1 ZINC000575007493 1072504573 /nfs/dbraw/zinc/50/45/73/1072504573.db2.gz BGABAQAQIMATBI-UHFFFAOYSA-N 0 0 441.492 -0.145 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(-n3cccn3)cc2)CC1)N1CCOCC1 ZINC000575007694 1072504549 /nfs/dbraw/zinc/50/45/49/1072504549.db2.gz LMKCIDUMBJUAQA-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)CC1 ZINC000575008615 1072504561 /nfs/dbraw/zinc/50/45/61/1072504561.db2.gz SYBOJOVUBTXREY-UHFFFAOYSA-N 0 0 434.468 -0.136 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCc2ccn(-c3ccc(F)cc3)n2)CC1 ZINC000575015972 1072267820 /nfs/dbraw/zinc/26/78/20/1072267820.db2.gz AUDPRKAOJHTQJR-UHFFFAOYSA-N 0 0 430.484 -0.050 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCC(C)CC1 ZINC000575017739 1072504719 /nfs/dbraw/zinc/50/47/19/1072504719.db2.gz DRCCRPBSTUCNOA-UHFFFAOYSA-N 0 0 436.513 -0.813 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)CC2)NC(=O)NC1=O ZINC000575018003 1072268494 /nfs/dbraw/zinc/26/84/94/1072268494.db2.gz NUWOJIYHNNFXFS-RBZFPXEDSA-N 0 0 432.456 -0.248 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)CC2)NC(=O)NC1=O ZINC000575018004 1072268561 /nfs/dbraw/zinc/26/85/61/1072268561.db2.gz NUWOJIYHNNFXFS-RNODOKPDSA-N 0 0 432.456 -0.248 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)CC2)NC(=O)NC1=O ZINC000575018005 1072268525 /nfs/dbraw/zinc/26/85/25/1072268525.db2.gz NUWOJIYHNNFXFS-XCLFUZPHSA-N 0 0 432.456 -0.248 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)CC2)NC(=O)NC1=O ZINC000575018006 1072268572 /nfs/dbraw/zinc/26/85/72/1072268572.db2.gz NUWOJIYHNNFXFS-ZUOKHONESA-N 0 0 432.456 -0.248 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000575018087 1072268421 /nfs/dbraw/zinc/26/84/21/1072268421.db2.gz SEWDIRMNMAVJHB-HNNXBMFYSA-N 0 0 446.508 -0.952 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cn1 ZINC000575018088 1072268538 /nfs/dbraw/zinc/26/85/38/1072268538.db2.gz SEWDIRMNMAVJHB-OAHLLOKOSA-N 0 0 446.508 -0.952 20 0 IBADRN CCN1CCN(CC(=O)N[C@@H]2CCCN(S(=O)(=O)c3cccs3)C2)C(=O)C1=O ZINC000575018219 1072268431 /nfs/dbraw/zinc/26/84/31/1072268431.db2.gz ZKNTWVBCYPZJDN-CYBMUJFWSA-N 0 0 428.536 -0.292 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CCN(c2ccccc2OC)C1=O)C(=O)N1CCOCC1 ZINC000575021341 1072504535 /nfs/dbraw/zinc/50/45/35/1072504535.db2.gz YXTQBWCRJDFIMQ-CABCVRRESA-N 0 0 432.477 -0.330 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CCN(c2ccccc2OC)C1=O)C(=O)N1CCOCC1 ZINC000575021342 1072504609 /nfs/dbraw/zinc/50/46/09/1072504609.db2.gz YXTQBWCRJDFIMQ-GJZGRUSLSA-N 0 0 432.477 -0.330 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2OC)C1=O)C(=O)N1CCOCC1 ZINC000575021343 1072504503 /nfs/dbraw/zinc/50/45/03/1072504503.db2.gz YXTQBWCRJDFIMQ-HUUCEWRRSA-N 0 0 432.477 -0.330 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2OC)C1=O)C(=O)N1CCOCC1 ZINC000575021344 1072504687 /nfs/dbraw/zinc/50/46/87/1072504687.db2.gz YXTQBWCRJDFIMQ-LSDHHAIUSA-N 0 0 432.477 -0.330 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N[C@@H](C)CN2CCOCC2)C1=O ZINC000575022980 1072268341 /nfs/dbraw/zinc/26/83/41/1072268341.db2.gz MDFJTFOMPYQUAM-GXTWGEPZSA-N 0 0 438.506 -0.407 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N[C@@H](C)CN2CCOCC2)C1=O ZINC000575022981 1072268519 /nfs/dbraw/zinc/26/85/19/1072268519.db2.gz MDFJTFOMPYQUAM-JSGCOSHPSA-N 0 0 438.506 -0.407 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N[C@H](C)CN2CCOCC2)C1=O ZINC000575022982 1072268508 /nfs/dbraw/zinc/26/85/08/1072268508.db2.gz MDFJTFOMPYQUAM-OCCSQVGLSA-N 0 0 438.506 -0.407 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N[C@H](C)CN2CCOCC2)C1=O ZINC000575022983 1072268386 /nfs/dbraw/zinc/26/83/86/1072268386.db2.gz MDFJTFOMPYQUAM-TZMCWYRMSA-N 0 0 438.506 -0.407 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000575023228 1072504617 /nfs/dbraw/zinc/50/46/17/1072504617.db2.gz VSDCXFWHEQINAA-UHFFFAOYSA-N 0 0 429.495 -0.233 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCOC4(CCC4)C3)CC2)cn1C ZINC000575025272 1072505038 /nfs/dbraw/zinc/50/50/38/1072505038.db2.gz ILBTXPFPXLECLQ-HNNXBMFYSA-N 0 0 439.538 -0.221 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCOC4(CCC4)C3)CC2)cn1C ZINC000575025273 1072505226 /nfs/dbraw/zinc/50/52/26/1072505226.db2.gz ILBTXPFPXLECLQ-OAHLLOKOSA-N 0 0 439.538 -0.221 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2NC1=O ZINC000575026906 1072268402 /nfs/dbraw/zinc/26/84/02/1072268402.db2.gz GQHMBUUUJNUXRI-AWEZNQCLSA-N 0 0 445.476 -0.653 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2NC1=O ZINC000575026907 1072268552 /nfs/dbraw/zinc/26/85/52/1072268552.db2.gz GQHMBUUUJNUXRI-CQSZACIVSA-N 0 0 445.476 -0.653 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000575030617 1072268455 /nfs/dbraw/zinc/26/84/55/1072268455.db2.gz MRHNHIGYJLHWJM-INIZCTEOSA-N 0 0 446.508 -0.697 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000575030618 1072268352 /nfs/dbraw/zinc/26/83/52/1072268352.db2.gz MRHNHIGYJLHWJM-MRXNPFEDSA-N 0 0 446.508 -0.697 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1C ZINC000575031920 1072505153 /nfs/dbraw/zinc/50/51/53/1072505153.db2.gz DALXULMBZITRQT-KRWDZBQOSA-N 0 0 438.550 -0.369 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1C ZINC000575031923 1072505185 /nfs/dbraw/zinc/50/51/85/1072505185.db2.gz DALXULMBZITRQT-QGZVFWFLSA-N 0 0 438.550 -0.369 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)c4cn(C)c(C)n4)CC3)cn2n1 ZINC000575032095 1072505236 /nfs/dbraw/zinc/50/52/36/1072505236.db2.gz HDEYFDKABYITIK-UHFFFAOYSA-N 0 0 446.493 -0.449 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(c4nnc(C)c(C)c4C(N)=O)CC3)cn2n1 ZINC000575033320 1072504971 /nfs/dbraw/zinc/50/49/71/1072504971.db2.gz YQAPKUZEOAFYRG-UHFFFAOYSA-N 0 0 437.464 -0.169 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)C1 ZINC000575033626 1072505122 /nfs/dbraw/zinc/50/51/22/1072505122.db2.gz ZRFKBCYVTPBXFJ-BZSNNMDCSA-N 0 0 435.569 -0.238 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)C1 ZINC000575033627 1072505142 /nfs/dbraw/zinc/50/51/42/1072505142.db2.gz ZRFKBCYVTPBXFJ-FGTMMUONSA-N 0 0 435.569 -0.238 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)C1 ZINC000575033628 1072505132 /nfs/dbraw/zinc/50/51/32/1072505132.db2.gz ZRFKBCYVTPBXFJ-KSZLIROESA-N 0 0 435.569 -0.238 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)C1 ZINC000575033629 1072504992 /nfs/dbraw/zinc/50/49/92/1072504992.db2.gz ZRFKBCYVTPBXFJ-KURKYZTESA-N 0 0 435.569 -0.238 20 0 IBADRN CNC(=O)C1CN(C(=O)[C@H]2CC[C@@H](C(=O)N3CC(C(=O)NC)C3)N2Cc2ccccc2)C1 ZINC000575033656 1072505067 /nfs/dbraw/zinc/50/50/67/1072505067.db2.gz AUJFGTRHUDFCKV-KDURUIRLSA-N 0 0 441.532 -0.572 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCCN(CCOC)C(=O)C3)CC2)ncn1 ZINC000575034320 1072505248 /nfs/dbraw/zinc/50/52/48/1072505248.db2.gz FXHBFRIDWCIAEJ-UHFFFAOYSA-N 0 0 432.525 -0.169 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)C(=O)NCCNS(=O)(=O)c3cccnc3)ccc21 ZINC000575037139 1072505213 /nfs/dbraw/zinc/50/52/13/1072505213.db2.gz KAEURYONHJDOIM-UHFFFAOYSA-N 0 0 433.446 -0.530 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(C(=O)c3ccccc3)CC1)CC2 ZINC000575051278 1072268442 /nfs/dbraw/zinc/26/84/42/1072268442.db2.gz JSKGCWMGYRPHMX-INIZCTEOSA-N 0 0 426.477 -0.160 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(C(=O)c3ccccc3)CC1)CC2 ZINC000575051280 1072269116 /nfs/dbraw/zinc/26/91/16/1072269116.db2.gz JSKGCWMGYRPHMX-MRXNPFEDSA-N 0 0 426.477 -0.160 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CO[C@@H]2CCOC2)CC1 ZINC000575066989 1072505177 /nfs/dbraw/zinc/50/51/77/1072505177.db2.gz PGIPGVZCBPCWSJ-DLBZAZTESA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)CO[C@@H]2CCOC2)CC1 ZINC000575066990 1072505202 /nfs/dbraw/zinc/50/52/02/1072505202.db2.gz PGIPGVZCBPCWSJ-IAGOWNOFSA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CO[C@H]2CCOC2)CC1 ZINC000575066991 1072505255 /nfs/dbraw/zinc/50/52/55/1072505255.db2.gz PGIPGVZCBPCWSJ-IRXDYDNUSA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)CO[C@H]2CCOC2)CC1 ZINC000575066992 1072505054 /nfs/dbraw/zinc/50/50/54/1072505054.db2.gz PGIPGVZCBPCWSJ-SJORKVTESA-N 0 0 448.586 -0.192 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N(C)[C@H](C)CNC(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000575068313 1072505106 /nfs/dbraw/zinc/50/51/06/1072505106.db2.gz CDZYMFMBCLXGSZ-HZSPNIEDSA-N 0 0 442.604 -0.903 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)NC[C@H](C)N(C)C(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000575068314 1072505600 /nfs/dbraw/zinc/50/56/00/1072505600.db2.gz CDZYMFMBCLXGSZ-IHRRRGAJSA-N 0 0 442.604 -0.903 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N(C)[C@@H](C)CNC(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000575068315 1072505545 /nfs/dbraw/zinc/50/55/45/1072505545.db2.gz CDZYMFMBCLXGSZ-MELADBBJSA-N 0 0 442.604 -0.903 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)NC[C@@H](C)N(C)C(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC000575068316 1072505499 /nfs/dbraw/zinc/50/54/99/1072505499.db2.gz CDZYMFMBCLXGSZ-RDBSUJKOSA-N 0 0 442.604 -0.903 20 0 IBADRN CS(=O)(=O)NCCNS(=O)(=O)c1ccc(S(C)(=O)=O)cc1Br ZINC000575074142 1072505520 /nfs/dbraw/zinc/50/55/20/1072505520.db2.gz BSIUUGSKZLYJRC-UHFFFAOYSA-N 0 0 435.343 -0.320 20 0 IBADRN CCOc1cc(C)nc(N2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)n1 ZINC000575079683 1072505532 /nfs/dbraw/zinc/50/55/32/1072505532.db2.gz JOIZSXDCURMQEK-UHFFFAOYSA-N 0 0 442.480 -0.831 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000575079838 1072505642 /nfs/dbraw/zinc/50/56/42/1072505642.db2.gz QCYUCOJSBVIYFI-GFCCVEGCSA-N 0 0 449.533 -0.119 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCNC(=O)CC3CCCC3)CC2)n1 ZINC000575079897 1072505508 /nfs/dbraw/zinc/50/55/08/1072505508.db2.gz SSDNOCRLCLAOEV-UHFFFAOYSA-N 0 0 436.513 -0.327 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(C3CC3)C3CC3)CC2)CC1 ZINC000575085541 1072270337 /nfs/dbraw/zinc/27/03/37/1072270337.db2.gz QAJCRYOJSNPXSC-UHFFFAOYSA-N 0 0 427.571 -0.825 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2c[nH]c3ncccc23)CC1 ZINC000575085880 1072270133 /nfs/dbraw/zinc/27/01/33/1072270133.db2.gz ZZORSDCGTPNERQ-UHFFFAOYSA-N 0 0 428.493 -0.775 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCCCS(=O)(=O)c3ccccc3)C2=O)n(C)n1 ZINC000575086622 1072270097 /nfs/dbraw/zinc/27/00/97/1072270097.db2.gz WYUGEKXOOCYTHD-INIZCTEOSA-N 0 0 447.517 -0.070 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCCCS(=O)(=O)c3ccccc3)C2=O)n(C)n1 ZINC000575086623 1072270232 /nfs/dbraw/zinc/27/02/32/1072270232.db2.gz WYUGEKXOOCYTHD-MRXNPFEDSA-N 0 0 447.517 -0.070 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000575088464 1072270209 /nfs/dbraw/zinc/27/02/09/1072270209.db2.gz JEXDMDAHAOXGMW-UHFFFAOYSA-N 0 0 448.519 -0.051 20 0 IBADRN CS[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(C)(C)C ZINC000575090149 1072505672 /nfs/dbraw/zinc/50/56/72/1072505672.db2.gz NLUYJCRAGACKIF-GFCCVEGCSA-N 0 0 426.543 -0.631 20 0 IBADRN CS[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(C)(C)C ZINC000575090150 1072505487 /nfs/dbraw/zinc/50/54/87/1072505487.db2.gz NLUYJCRAGACKIF-LBPRGKRZSA-N 0 0 426.543 -0.631 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000575091492 1072505681 /nfs/dbraw/zinc/50/56/81/1072505681.db2.gz HLVUYPKCAOGWNU-AWEZNQCLSA-N 0 0 428.486 -0.311 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000575091493 1072505649 /nfs/dbraw/zinc/50/56/49/1072505649.db2.gz HLVUYPKCAOGWNU-CQSZACIVSA-N 0 0 428.486 -0.311 20 0 IBADRN O=C(N[C@H]1CCn2ccnc2C1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000575093899 1072505663 /nfs/dbraw/zinc/50/56/63/1072505663.db2.gz FLVKPELZLFBMRA-KRWDZBQOSA-N 0 0 431.518 -0.012 20 0 IBADRN O=C(N[C@@H]1CCn2ccnc2C1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000575093900 1072505579 /nfs/dbraw/zinc/50/55/79/1072505579.db2.gz FLVKPELZLFBMRA-QGZVFWFLSA-N 0 0 431.518 -0.012 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CCC1 ZINC000575095191 1072505569 /nfs/dbraw/zinc/50/55/69/1072505569.db2.gz BKRLWHNNXHSOBN-UHFFFAOYSA-N 0 0 443.548 -0.130 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1 ZINC000575096214 1072505466 /nfs/dbraw/zinc/50/54/66/1072505466.db2.gz GJYMLJRPABCHCK-AEFFLSMTSA-N 0 0 434.541 -0.327 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)C3CC(O)C3)CC2)cc1OC ZINC000575098490 1072505607 /nfs/dbraw/zinc/50/56/07/1072505607.db2.gz LTWPZMVCPVBAHD-UHFFFAOYSA-N 0 0 427.523 -0.008 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)c1C ZINC000575100093 1072505554 /nfs/dbraw/zinc/50/55/54/1072505554.db2.gz RGARHJIEWKOMRW-UHFFFAOYSA-N 0 0 449.489 -0.199 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(CC(=O)NCCCN(C)S(C)(=O)=O)CC2 ZINC000575102731 1072270273 /nfs/dbraw/zinc/27/02/73/1072270273.db2.gz PJLCLBVUKQZFCF-UHFFFAOYSA-N 0 0 432.568 -0.255 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@H](CO)[C@H](O)C1 ZINC000575109862 1072505903 /nfs/dbraw/zinc/50/59/03/1072505903.db2.gz UCLUEQJISBAYGK-CRAIPNDOSA-N 0 0 441.550 -0.163 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@@H](CO)[C@H](O)C1 ZINC000575109865 1072506107 /nfs/dbraw/zinc/50/61/07/1072506107.db2.gz UCLUEQJISBAYGK-MAUKXSAKSA-N 0 0 441.550 -0.163 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@H](CO)[C@@H](O)C1 ZINC000575109867 1072506135 /nfs/dbraw/zinc/50/61/35/1072506135.db2.gz UCLUEQJISBAYGK-QAPCUYQASA-N 0 0 441.550 -0.163 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000575109869 1072506123 /nfs/dbraw/zinc/50/61/23/1072506123.db2.gz UCLUEQJISBAYGK-YJBOKZPZSA-N 0 0 441.550 -0.163 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000575110268 1072270243 /nfs/dbraw/zinc/27/02/43/1072270243.db2.gz BGVJNSUENGHPIU-UHFFFAOYSA-N 0 0 427.509 -0.060 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000575111713 1072270290 /nfs/dbraw/zinc/27/02/90/1072270290.db2.gz IPUZQXSSDCPZPF-UHFFFAOYSA-N 0 0 429.474 -0.160 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2cccnc2OC)c1 ZINC000575142885 1072506185 /nfs/dbraw/zinc/50/61/85/1072506185.db2.gz XDECVTZDSGQMIV-UHFFFAOYSA-N 0 0 436.490 -0.053 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000575143499 1072505953 /nfs/dbraw/zinc/50/59/53/1072505953.db2.gz NENDPGFBPBVROP-FQEVSTJZSA-N 0 0 426.514 -0.915 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000575143500 1072506001 /nfs/dbraw/zinc/50/60/01/1072506001.db2.gz NENDPGFBPBVROP-HXUWFJFHSA-N 0 0 426.514 -0.915 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1 ZINC000575149419 1072506157 /nfs/dbraw/zinc/50/61/57/1072506157.db2.gz XWXSTTJHTZPFPG-CYBMUJFWSA-N 0 0 431.519 -0.962 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000575151951 1072505940 /nfs/dbraw/zinc/50/59/40/1072505940.db2.gz GFDOUIGPOGYLKQ-INIZCTEOSA-N 0 0 440.504 -0.167 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000575151952 1072506096 /nfs/dbraw/zinc/50/60/96/1072506096.db2.gz GFDOUIGPOGYLKQ-MRXNPFEDSA-N 0 0 440.504 -0.167 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCOC[C@H]3c3c(C)nn(C)c3C)C2=O)n(C)n1 ZINC000575153223 1072506083 /nfs/dbraw/zinc/50/60/83/1072506083.db2.gz PRDFXADNTGKPBG-CVEARBPZSA-N 0 0 443.508 -0.100 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3c3c(C)nn(C)c3C)C2=O)n(C)n1 ZINC000575153224 1072506202 /nfs/dbraw/zinc/50/62/02/1072506202.db2.gz PRDFXADNTGKPBG-HOTGVXAUSA-N 0 0 443.508 -0.100 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCOC[C@@H]3c3c(C)nn(C)c3C)C2=O)n(C)n1 ZINC000575153225 1072506149 /nfs/dbraw/zinc/50/61/49/1072506149.db2.gz PRDFXADNTGKPBG-HZPDHXFCSA-N 0 0 443.508 -0.100 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3c3c(C)nn(C)c3C)C2=O)n(C)n1 ZINC000575153226 1072505918 /nfs/dbraw/zinc/50/59/18/1072505918.db2.gz PRDFXADNTGKPBG-JKSUJKDBSA-N 0 0 443.508 -0.100 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000575154664 1072506173 /nfs/dbraw/zinc/50/61/73/1072506173.db2.gz XVUURVQFORMCDU-UHFFFAOYSA-N 0 0 446.551 -0.726 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C1CCOCC1 ZINC000575155622 1072505983 /nfs/dbraw/zinc/50/59/83/1072505983.db2.gz SIDDBSWSIZOZQS-INIZCTEOSA-N 0 0 428.511 -0.030 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C1CCOCC1 ZINC000575155623 1072506142 /nfs/dbraw/zinc/50/61/42/1072506142.db2.gz SIDDBSWSIZOZQS-MRXNPFEDSA-N 0 0 428.511 -0.030 20 0 IBADRN CC[C@@H](COC)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000575161011 1072271279 /nfs/dbraw/zinc/27/12/79/1072271279.db2.gz QBQKNEDNIXVMDD-INIZCTEOSA-N 0 0 426.539 -0.220 20 0 IBADRN CC[C@H](COC)NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000575161012 1072271235 /nfs/dbraw/zinc/27/12/35/1072271235.db2.gz QBQKNEDNIXVMDD-MRXNPFEDSA-N 0 0 426.539 -0.220 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccn(-c3ccc(Br)cc3)n2)CCO1 ZINC000575161508 1073317114 /nfs/dbraw/zinc/31/71/14/1073317114.db2.gz ATGSAHPIFUBPCY-CYBMUJFWSA-N 0 0 436.266 0.547 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccn(-c3ccc(Br)cc3)n2)CCO1 ZINC000575161509 1072271309 /nfs/dbraw/zinc/27/13/09/1072271309.db2.gz ATGSAHPIFUBPCY-ZDUSSCGKSA-N 0 0 436.266 0.547 20 0 IBADRN CCS(=O)(=O)NCC(=O)Nc1ccccc1CN(C)C(=O)CNS(=O)(=O)CC ZINC000575161688 1072270650 /nfs/dbraw/zinc/27/06/50/1072270650.db2.gz NPVYOZWKFHIUKD-UHFFFAOYSA-N 0 0 434.540 -0.538 20 0 IBADRN O=C(N[C@H]1CCn2ccnc2C1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000575162109 1072506058 /nfs/dbraw/zinc/50/60/58/1072506058.db2.gz MMIWDJKHUWRWQX-AWEZNQCLSA-N 0 0 441.517 -0.272 20 0 IBADRN O=C(N[C@@H]1CCn2ccnc2C1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000575162110 1072506028 /nfs/dbraw/zinc/50/60/28/1072506028.db2.gz MMIWDJKHUWRWQX-CQSZACIVSA-N 0 0 441.517 -0.272 20 0 IBADRN COc1cc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CC2)ncn1 ZINC000575163375 1072271415 /nfs/dbraw/zinc/27/14/15/1072271415.db2.gz MVVABLVRBUUQFV-UHFFFAOYSA-N 0 0 431.478 -0.011 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC3CCN(c4cc(C)[nH]n4)CC3)CC2)n1 ZINC000575163907 1072506194 /nfs/dbraw/zinc/50/61/94/1072506194.db2.gz MSSXTSNRBXTZAO-UHFFFAOYSA-N 0 0 446.512 -0.323 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3ncnn3-c3ccccc3)CC2)nc1 ZINC000575164878 1072506476 /nfs/dbraw/zinc/50/64/76/1072506476.db2.gz PBLJALLOEPRUGA-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN COc1ccc(NC(=O)Cn2nnn(C3CC3)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000575165229 1072271223 /nfs/dbraw/zinc/27/12/23/1072271223.db2.gz AOWGMQBHGIXOQQ-UHFFFAOYSA-N 0 0 438.466 -0.557 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)Cn3nnn(C4CC4)c3=O)CC2)c1 ZINC000575166891 1072506584 /nfs/dbraw/zinc/50/65/84/1072506584.db2.gz HSXQCELJEWDQHT-UHFFFAOYSA-N 0 0 434.478 -0.490 20 0 IBADRN CCCOc1cc(C)ccc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000575186520 1072270257 /nfs/dbraw/zinc/27/02/57/1072270257.db2.gz JGEPTCCPZLLSIS-UHFFFAOYSA-N 0 0 429.481 -0.242 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000575199749 1072270592 /nfs/dbraw/zinc/27/05/92/1072270592.db2.gz FGTSDBJJCNJJKG-UHFFFAOYSA-N 0 0 445.520 -0.945 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000575200827 1072506465 /nfs/dbraw/zinc/50/64/65/1072506465.db2.gz LFESCMIZNBMSHU-CEXWTWQISA-N 0 0 437.585 -0.528 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000575200828 1072506491 /nfs/dbraw/zinc/50/64/91/1072506491.db2.gz LFESCMIZNBMSHU-GUDVDZBRSA-N 0 0 437.585 -0.528 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000575200829 1072506448 /nfs/dbraw/zinc/50/64/48/1072506448.db2.gz LFESCMIZNBMSHU-OTWHNJEPSA-N 0 0 437.585 -0.528 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000575200830 1072506520 /nfs/dbraw/zinc/50/65/20/1072506520.db2.gz LFESCMIZNBMSHU-QRVBRYPASA-N 0 0 437.585 -0.528 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000575201425 1072506568 /nfs/dbraw/zinc/50/65/68/1072506568.db2.gz WVTJGNCJHJDWHM-DLBZAZTESA-N 0 0 431.536 -0.901 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000575201426 1072506414 /nfs/dbraw/zinc/50/64/14/1072506414.db2.gz WVTJGNCJHJDWHM-IAGOWNOFSA-N 0 0 431.536 -0.901 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000575201427 1072506601 /nfs/dbraw/zinc/50/66/01/1072506601.db2.gz WVTJGNCJHJDWHM-IRXDYDNUSA-N 0 0 431.536 -0.901 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000575201428 1072506437 /nfs/dbraw/zinc/50/64/37/1072506437.db2.gz WVTJGNCJHJDWHM-SJORKVTESA-N 0 0 431.536 -0.901 20 0 IBADRN CN(CCCn1ccnc1)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000575204997 1072270767 /nfs/dbraw/zinc/27/07/67/1072270767.db2.gz MZPKHQUCHDKRFU-UHFFFAOYSA-N 0 0 427.509 -0.018 20 0 IBADRN O=C(CCNC(=O)C(=O)N(C[C@@H]1CCOC1)C1CC1)N1CCN(c2ncccn2)CC1 ZINC000575205885 1072270843 /nfs/dbraw/zinc/27/08/43/1072270843.db2.gz YFHAZFKNCOHNEQ-INIZCTEOSA-N 0 0 430.509 -0.341 20 0 IBADRN O=C(CCNC(=O)C(=O)N(C[C@H]1CCOC1)C1CC1)N1CCN(c2ncccn2)CC1 ZINC000575205886 1072270892 /nfs/dbraw/zinc/27/08/92/1072270892.db2.gz YFHAZFKNCOHNEQ-MRXNPFEDSA-N 0 0 430.509 -0.341 20 0 IBADRN CC(C)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(C(C)C)C(=O)C3)c[nH]2)CC1=O ZINC000575207045 1072270791 /nfs/dbraw/zinc/27/07/91/1072270791.db2.gz WLEUPIVANAWHMW-UHFFFAOYSA-N 0 0 439.538 -0.051 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000575211054 1072270975 /nfs/dbraw/zinc/27/09/75/1072270975.db2.gz AEBCKDYJFRLYTG-BZUAXINKSA-N 0 0 431.497 -0.233 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000575211055 1072270586 /nfs/dbraw/zinc/27/05/86/1072270586.db2.gz AEBCKDYJFRLYTG-OAGGEKHMSA-N 0 0 431.497 -0.233 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000575211056 1072270664 /nfs/dbraw/zinc/27/06/64/1072270664.db2.gz AEBCKDYJFRLYTG-OWCLPIDISA-N 0 0 431.497 -0.233 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000575211057 1072270644 /nfs/dbraw/zinc/27/06/44/1072270644.db2.gz AEBCKDYJFRLYTG-PMPSAXMXSA-N 0 0 431.497 -0.233 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2ccncc2OC[C@H]2CCOC2)CC1 ZINC000575212025 1072507000 /nfs/dbraw/zinc/50/70/00/1072507000.db2.gz FLFVTJGDBMAYOF-HNNXBMFYSA-N 0 0 427.527 -0.387 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCc2ccncc2OC[C@@H]2CCOC2)CC1 ZINC000575212026 1072507013 /nfs/dbraw/zinc/50/70/13/1072507013.db2.gz FLFVTJGDBMAYOF-OAHLLOKOSA-N 0 0 427.527 -0.387 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000575212806 1072507055 /nfs/dbraw/zinc/50/70/55/1072507055.db2.gz IIYHQSNLTNKAFS-CVEARBPZSA-N 0 0 440.497 -0.417 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000575212807 1072506880 /nfs/dbraw/zinc/50/68/80/1072506880.db2.gz IIYHQSNLTNKAFS-HOTGVXAUSA-N 0 0 440.497 -0.417 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000575212808 1072507201 /nfs/dbraw/zinc/50/72/01/1072507201.db2.gz IIYHQSNLTNKAFS-HZPDHXFCSA-N 0 0 440.497 -0.417 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000575212809 1072507070 /nfs/dbraw/zinc/50/70/70/1072507070.db2.gz IIYHQSNLTNKAFS-JKSUJKDBSA-N 0 0 440.497 -0.417 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)CCN1C[C@@H](C)O ZINC000575215366 1072270624 /nfs/dbraw/zinc/27/06/24/1072270624.db2.gz LDRMBMPOVSUSJJ-MYZZLAAOSA-N 0 0 434.541 -0.358 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)CCN1C[C@H](C)O ZINC000575215367 1072270937 /nfs/dbraw/zinc/27/09/37/1072270937.db2.gz LDRMBMPOVSUSJJ-RMRDIRSESA-N 0 0 434.541 -0.358 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)CCN1C[C@H](C)O ZINC000575215368 1072270729 /nfs/dbraw/zinc/27/07/29/1072270729.db2.gz LDRMBMPOVSUSJJ-WFEDQXDTSA-N 0 0 434.541 -0.358 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)CCN1C[C@@H](C)O ZINC000575215369 1072270904 /nfs/dbraw/zinc/27/09/04/1072270904.db2.gz LDRMBMPOVSUSJJ-XZKMEQPCSA-N 0 0 434.541 -0.358 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N(CCO)Cc1cc(OC)ccc1OC)CC2 ZINC000575216668 1072507117 /nfs/dbraw/zinc/50/71/17/1072507117.db2.gz KGPBUILHNGPEOW-HNNXBMFYSA-N 0 0 447.492 -0.106 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N(CCO)Cc1cc(OC)ccc1OC)CC2 ZINC000575216669 1072507136 /nfs/dbraw/zinc/50/71/36/1072507136.db2.gz KGPBUILHNGPEOW-OAHLLOKOSA-N 0 0 447.492 -0.106 20 0 IBADRN CN(C)S(=O)(=O)CCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000575221226 1072506952 /nfs/dbraw/zinc/50/69/52/1072506952.db2.gz KWSUSITVGSBWMZ-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN NC(=O)CCn1ccc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCCC3)c2)n1 ZINC000575223149 1072507039 /nfs/dbraw/zinc/50/70/39/1072507039.db2.gz KXXIMTNVLKCQEU-UHFFFAOYSA-N 0 0 448.505 0.510 20 0 IBADRN O=C(N[C@H]1CCC(=O)N[C@@H]1[C@@H]1CCCO1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000575225930 1072507212 /nfs/dbraw/zinc/50/72/12/1072507212.db2.gz GCYBHPIISQNKST-BQFCYCMXSA-N 0 0 437.522 -0.076 20 0 IBADRN O=C(N[C@H]1CCC(=O)N[C@@H]1[C@H]1CCCO1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000575225931 1072507084 /nfs/dbraw/zinc/50/70/84/1072507084.db2.gz GCYBHPIISQNKST-JZXOWHBKSA-N 0 0 437.522 -0.076 20 0 IBADRN O=C(N[C@H]1CCC(=O)N[C@H]1[C@H]1CCCO1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000575225932 1072506984 /nfs/dbraw/zinc/50/69/84/1072506984.db2.gz GCYBHPIISQNKST-LZLYRXPVSA-N 0 0 437.522 -0.076 20 0 IBADRN O=C(N[C@H]1CCC(=O)N[C@H]1[C@@H]1CCCO1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000575225933 1072507024 /nfs/dbraw/zinc/50/70/24/1072507024.db2.gz GCYBHPIISQNKST-XYJFISCASA-N 0 0 437.522 -0.076 20 0 IBADRN COC(=O)c1ccc(OCCNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000575238617 1071548797 /nfs/dbraw/zinc/54/87/97/1071548797.db2.gz OGXHVPAMYLOSSS-NVQRDWNXSA-N 0 0 445.432 -0.285 20 0 IBADRN COCc1ccccc1CS(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000575238698 1072507730 /nfs/dbraw/zinc/50/77/30/1072507730.db2.gz QUUSGCLALAVQIE-UHFFFAOYSA-N 0 0 425.507 -0.334 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)NCCS(=O)(=O)N(C)C)CC1 ZINC000575240069 1072507690 /nfs/dbraw/zinc/50/76/90/1072507690.db2.gz ISCVDGRVTYXKBX-UHFFFAOYSA-N 0 0 439.538 -0.703 20 0 IBADRN C[C@@](CNC(=O)CCN1C(=O)CCC1=O)(NC(=O)CCN1C(=O)CCC1=O)C(F)(F)F ZINC000575337364 1072507506 /nfs/dbraw/zinc/50/75/06/1072507506.db2.gz MDHNNTKHRUIAJJ-KRWDZBQOSA-N 0 0 448.398 -0.382 20 0 IBADRN C[C@](CNC(=O)CCN1C(=O)CCC1=O)(NC(=O)CCN1C(=O)CCC1=O)C(F)(F)F ZINC000575337365 1072507744 /nfs/dbraw/zinc/50/77/44/1072507744.db2.gz MDHNNTKHRUIAJJ-QGZVFWFLSA-N 0 0 448.398 -0.382 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000575346181 1072507522 /nfs/dbraw/zinc/50/75/22/1072507522.db2.gz TVZHZPQSUJVQAR-UHFFFAOYSA-N 0 0 432.477 -0.072 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000575349920 1072507538 /nfs/dbraw/zinc/50/75/38/1072507538.db2.gz MIJIKXVKUVWWJY-LLVKDONJSA-N 0 0 426.538 -0.199 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000575349921 1072507556 /nfs/dbraw/zinc/50/75/56/1072507556.db2.gz MIJIKXVKUVWWJY-NSHDSACASA-N 0 0 426.538 -0.199 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCc3c[nH]c4ncccc34)CC2)no1 ZINC000575351383 1073329084 /nfs/dbraw/zinc/32/90/84/1073329084.db2.gz OGAVEGQORUDLIZ-UHFFFAOYSA-N 0 0 439.476 0.301 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O ZINC000575352577 1072507719 /nfs/dbraw/zinc/50/77/19/1072507719.db2.gz VOZAASVRDHSKBV-ARFHVFGLSA-N 0 0 435.543 -0.757 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000575352578 1072507738 /nfs/dbraw/zinc/50/77/38/1072507738.db2.gz VOZAASVRDHSKBV-BZUAXINKSA-N 0 0 435.543 -0.757 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O ZINC000575352579 1072507397 /nfs/dbraw/zinc/50/73/97/1072507397.db2.gz VOZAASVRDHSKBV-HRCADAONSA-N 0 0 435.543 -0.757 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000575352580 1072507638 /nfs/dbraw/zinc/50/76/38/1072507638.db2.gz VOZAASVRDHSKBV-OWCLPIDISA-N 0 0 435.543 -0.757 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2ccc(NC(C)=O)nc2)CC1 ZINC000575353112 1072507572 /nfs/dbraw/zinc/50/75/72/1072507572.db2.gz JMWSCCRMJNFFDJ-HNNXBMFYSA-N 0 0 448.524 -0.658 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2ccc(NC(C)=O)nc2)CC1 ZINC000575353113 1072507622 /nfs/dbraw/zinc/50/76/22/1072507622.db2.gz JMWSCCRMJNFFDJ-OAHLLOKOSA-N 0 0 448.524 -0.658 20 0 IBADRN Cc1cc(N2CC[C@H](NS(C)(=O)=O)C2)nc([C@@H](C)N2CC[C@H](NS(C)(=O)=O)C2)n1 ZINC000575353157 1072508259 /nfs/dbraw/zinc/50/82/59/1072508259.db2.gz LJHMIBWFWMFFFS-ILXRZTDVSA-N 0 0 446.599 -0.403 20 0 IBADRN Cc1cc(N2CC[C@H](NS(C)(=O)=O)C2)nc([C@@H](C)N2CC[C@@H](NS(C)(=O)=O)C2)n1 ZINC000575353158 1072508266 /nfs/dbraw/zinc/50/82/66/1072508266.db2.gz LJHMIBWFWMFFFS-KFWWJZLASA-N 0 0 446.599 -0.403 20 0 IBADRN Cc1cc(N2CC[C@H](NS(C)(=O)=O)C2)nc([C@H](C)N2CC[C@H](NS(C)(=O)=O)C2)n1 ZINC000575353159 1072508255 /nfs/dbraw/zinc/50/82/55/1072508255.db2.gz LJHMIBWFWMFFFS-KKUMJFAQSA-N 0 0 446.599 -0.403 20 0 IBADRN Cc1cc(N2CC[C@H](NS(C)(=O)=O)C2)nc([C@H](C)N2CC[C@@H](NS(C)(=O)=O)C2)n1 ZINC000575353160 1072508240 /nfs/dbraw/zinc/50/82/40/1072508240.db2.gz LJHMIBWFWMFFFS-ZNMIVQPWSA-N 0 0 446.599 -0.403 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000575353454 1072508067 /nfs/dbraw/zinc/50/80/67/1072508067.db2.gz XXYMGULLCGOKOG-UHFFFAOYSA-N 0 0 438.485 -0.024 20 0 IBADRN O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000575353769 1072508181 /nfs/dbraw/zinc/50/81/81/1072508181.db2.gz AIRREQUQEFPMJP-BRWVUGGUSA-N 0 0 429.539 -0.287 20 0 IBADRN O=C(N[C@@H]1CCO[C@H](C2CC2)C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000575353770 1072508166 /nfs/dbraw/zinc/50/81/66/1072508166.db2.gz AIRREQUQEFPMJP-IKGGRYGDSA-N 0 0 429.539 -0.287 20 0 IBADRN O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000575353771 1072508194 /nfs/dbraw/zinc/50/81/94/1072508194.db2.gz AIRREQUQEFPMJP-IXDOHACOSA-N 0 0 429.539 -0.287 20 0 IBADRN O=C(N[C@@H]1CCO[C@H](C2CC2)C1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000575353772 1072508249 /nfs/dbraw/zinc/50/82/49/1072508249.db2.gz AIRREQUQEFPMJP-ZACQAIPSSA-N 0 0 429.539 -0.287 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCC3CCCC3)CC2)CC1 ZINC000575360687 1072508152 /nfs/dbraw/zinc/50/81/52/1072508152.db2.gz YYWBSLZPTNVONV-UHFFFAOYSA-N 0 0 429.587 -0.291 20 0 IBADRN Nc1ncncc1CNC(=O)CN(CC(=O)NCc1cncnc1N)Cc1ccccc1 ZINC000575368601 1072508084 /nfs/dbraw/zinc/50/80/84/1072508084.db2.gz LZPDYRCOQICYRS-UHFFFAOYSA-N 0 0 435.492 -0.473 20 0 IBADRN Cn1cc(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)c(=O)n(C)c1=O ZINC000575368865 1072508005 /nfs/dbraw/zinc/50/80/05/1072508005.db2.gz WVVNKVIIYYBUEH-UHFFFAOYSA-N 0 0 438.462 -0.448 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000575370265 1072508129 /nfs/dbraw/zinc/50/81/29/1072508129.db2.gz WSRXASUBPPJIGM-UHFFFAOYSA-N 0 0 433.430 -0.081 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000575372185 1072508745 /nfs/dbraw/zinc/50/87/45/1072508745.db2.gz AHWVTRASTAFULK-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000575372188 1072508725 /nfs/dbraw/zinc/50/87/25/1072508725.db2.gz AHWVTRASTAFULK-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CNS(=O)(=O)c1ccccc1 ZINC000575373358 1072508458 /nfs/dbraw/zinc/50/84/58/1072508458.db2.gz NPBOHXARVBRCGC-UHFFFAOYSA-N 0 0 441.531 -0.106 20 0 IBADRN COc1ccc(CNC(=O)c2cc(C)c3c(n2)n(C)c(=O)n(C)c3=O)cc1S(N)(=O)=O ZINC000575376147 1072508611 /nfs/dbraw/zinc/50/86/11/1072508611.db2.gz ABQHXSRZWUHVBE-UHFFFAOYSA-N 0 0 447.473 -0.473 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CNS(=O)(=O)c2cccc(F)c2)C1)C(N)=O ZINC000575376547 1072508786 /nfs/dbraw/zinc/50/87/86/1072508786.db2.gz VOWMMASRBUPGLE-UHFFFAOYSA-N 0 0 428.486 -0.277 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000575433562 1072508713 /nfs/dbraw/zinc/50/87/13/1072508713.db2.gz ZFRLUJCORFPBDK-CHWSQXEVSA-N 0 0 431.536 -0.177 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000575433563 1072508702 /nfs/dbraw/zinc/50/87/02/1072508702.db2.gz ZFRLUJCORFPBDK-OLZOCXBDSA-N 0 0 431.536 -0.177 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000575433564 1072508591 /nfs/dbraw/zinc/50/85/91/1072508591.db2.gz ZFRLUJCORFPBDK-QWHCGFSZSA-N 0 0 431.536 -0.177 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000575433565 1072508440 /nfs/dbraw/zinc/50/84/40/1072508440.db2.gz ZFRLUJCORFPBDK-STQMWFEESA-N 0 0 431.536 -0.177 20 0 IBADRN O=C(NC[C@@H]1CCCS(=O)(=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000575460306 1072508800 /nfs/dbraw/zinc/50/88/00/1072508800.db2.gz ZHMCYDIPCCOXFL-INIZCTEOSA-N 0 0 438.554 -0.030 20 0 IBADRN O=C(NC[C@H]1CCCS(=O)(=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000575460307 1072508482 /nfs/dbraw/zinc/50/84/82/1072508482.db2.gz ZHMCYDIPCCOXFL-MRXNPFEDSA-N 0 0 438.554 -0.030 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C(=O)N1c1ccccc1 ZINC000575474060 1072508554 /nfs/dbraw/zinc/50/85/54/1072508554.db2.gz CDHOXAUSEIACDO-AEFFLSMTSA-N 0 0 429.521 -0.081 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C(=O)N1c1ccccc1 ZINC000575474061 1072508674 /nfs/dbraw/zinc/50/86/74/1072508674.db2.gz CDHOXAUSEIACDO-FUHWJXTLSA-N 0 0 429.521 -0.081 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C(=O)N1c1ccccc1 ZINC000575474062 1072508648 /nfs/dbraw/zinc/50/86/48/1072508648.db2.gz CDHOXAUSEIACDO-SJLPKXTDSA-N 0 0 429.521 -0.081 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C(=O)N1c1ccccc1 ZINC000575474063 1072508821 /nfs/dbraw/zinc/50/88/21/1072508821.db2.gz CDHOXAUSEIACDO-WMZOPIPTSA-N 0 0 429.521 -0.081 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N[C@H]1CCC(=O)NC12CCC2 ZINC000575477638 1072508529 /nfs/dbraw/zinc/50/85/29/1072508529.db2.gz FXVFBQPXLJKWAE-CABCVRRESA-N 0 0 440.508 -0.226 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N[C@H]1CCC(=O)NC12CCC2 ZINC000575477639 1072508735 /nfs/dbraw/zinc/50/87/35/1072508735.db2.gz FXVFBQPXLJKWAE-GJZGRUSLSA-N 0 0 440.508 -0.226 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N[C@@H]1CCC(=O)NC12CCC2 ZINC000575477640 1072509306 /nfs/dbraw/zinc/50/93/06/1072509306.db2.gz FXVFBQPXLJKWAE-HUUCEWRRSA-N 0 0 440.508 -0.226 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N[C@@H]1CCC(=O)NC12CCC2 ZINC000575477641 1072509321 /nfs/dbraw/zinc/50/93/21/1072509321.db2.gz FXVFBQPXLJKWAE-LSDHHAIUSA-N 0 0 440.508 -0.226 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)NCC(C)C)cc2)C1 ZINC000575495609 1072509293 /nfs/dbraw/zinc/50/92/93/1072509293.db2.gz UHAMEGYWIDKCBQ-HNNXBMFYSA-N 0 0 439.538 -0.233 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)NCC(C)C)cc2)C1 ZINC000575495610 1072509343 /nfs/dbraw/zinc/50/93/43/1072509343.db2.gz UHAMEGYWIDKCBQ-OAHLLOKOSA-N 0 0 439.538 -0.233 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000575505620 1072509326 /nfs/dbraw/zinc/50/93/26/1072509326.db2.gz VJDKUQFXYRFLID-UHFFFAOYSA-N 0 0 448.549 -0.321 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cccc1C(N)=O ZINC000575516394 1072509283 /nfs/dbraw/zinc/50/92/83/1072509283.db2.gz NFKXKZSDYBVNJR-GFCCVEGCSA-N 0 0 441.510 -0.490 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cccc1C(N)=O ZINC000575516395 1072509259 /nfs/dbraw/zinc/50/92/59/1072509259.db2.gz NFKXKZSDYBVNJR-LBPRGKRZSA-N 0 0 441.510 -0.490 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(CC(C)(C)O)[C@@H](C)C3)C2)nc1 ZINC000575539884 1072509187 /nfs/dbraw/zinc/50/91/87/1072509187.db2.gz SVEBJVGQWCAEGO-HNNXBMFYSA-N 0 0 447.536 -0.133 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(CC(C)(C)O)[C@H](C)C3)C2)nc1 ZINC000575539890 1072509099 /nfs/dbraw/zinc/50/90/99/1072509099.db2.gz SVEBJVGQWCAEGO-OAHLLOKOSA-N 0 0 447.536 -0.133 20 0 IBADRN CCc1cnccc1[C@@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000575572323 1072509151 /nfs/dbraw/zinc/50/91/51/1072509151.db2.gz MIHNOTYKZDGSNI-CYBMUJFWSA-N 0 0 429.481 -0.690 20 0 IBADRN CCc1cnccc1[C@H](C)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000575572324 1072509223 /nfs/dbraw/zinc/50/92/23/1072509223.db2.gz MIHNOTYKZDGSNI-ZDUSSCGKSA-N 0 0 429.481 -0.690 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCC3)CC1)CCC2 ZINC000575586093 1072509311 /nfs/dbraw/zinc/50/93/11/1072509311.db2.gz APFMQMNDCRHIFP-GOSISDBHSA-N 0 0 446.552 -0.210 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCC3)CC1)CCC2 ZINC000575586101 1072509167 /nfs/dbraw/zinc/50/91/67/1072509167.db2.gz APFMQMNDCRHIFP-SFHVURJKSA-N 0 0 446.552 -0.210 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1c(C)noc1C ZINC000575586797 1072509331 /nfs/dbraw/zinc/50/93/31/1072509331.db2.gz GDKJKUWWAOSQOK-CYBMUJFWSA-N 0 0 433.469 -0.652 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1c(C)noc1C ZINC000575586800 1072509229 /nfs/dbraw/zinc/50/92/29/1072509229.db2.gz GDKJKUWWAOSQOK-ZDUSSCGKSA-N 0 0 433.469 -0.652 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc2c(c1)OCO2)N1CCN(c2ncccn2)CC1 ZINC000575591575 1072509247 /nfs/dbraw/zinc/50/92/47/1072509247.db2.gz ZXGAWWJECDDSMX-UHFFFAOYSA-N 0 0 426.433 -0.001 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1 ZINC000575628367 1072509273 /nfs/dbraw/zinc/50/92/73/1072509273.db2.gz FCLWMFZSLOPVOX-CYBMUJFWSA-N 0 0 447.535 -0.331 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1 ZINC000575628368 1072509711 /nfs/dbraw/zinc/50/97/11/1072509711.db2.gz FCLWMFZSLOPVOX-ZDUSSCGKSA-N 0 0 447.535 -0.331 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)Nc1cccc(S(=O)(=O)N(C)C)c1N ZINC000575633000 1072509749 /nfs/dbraw/zinc/50/97/49/1072509749.db2.gz VKEMVKIAPMHBTH-UHFFFAOYSA-N 0 0 428.558 -0.458 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000575732248 1072509771 /nfs/dbraw/zinc/50/97/71/1072509771.db2.gz UJXTWDVCMRLBCY-UHFFFAOYSA-N 0 0 435.506 -0.355 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCc1ncccc1S(C)(=O)=O ZINC000575755982 1071552849 /nfs/dbraw/zinc/55/28/49/1071552849.db2.gz DNOIVVZONPGMEJ-CYBMUJFWSA-N 0 0 433.556 -0.055 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCc1ncccc1S(C)(=O)=O ZINC000575755983 1071552823 /nfs/dbraw/zinc/55/28/23/1071552823.db2.gz DNOIVVZONPGMEJ-ZDUSSCGKSA-N 0 0 433.556 -0.055 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC12CCCCC2 ZINC000575756360 1072509696 /nfs/dbraw/zinc/50/96/96/1072509696.db2.gz RCWNVQIGKFIUMZ-MRXNPFEDSA-N 0 0 428.555 -0.080 20 0 IBADRN NC(=O)Cn1ccc(NC(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)n1 ZINC000575766826 1072509621 /nfs/dbraw/zinc/50/96/21/1072509621.db2.gz GVDSCAVVMQQNJK-INIZCTEOSA-N 0 0 440.460 0.114 20 0 IBADRN NC(=O)Cn1ccc(NC(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)n1 ZINC000575766827 1072509498 /nfs/dbraw/zinc/50/94/98/1072509498.db2.gz GVDSCAVVMQQNJK-MRXNPFEDSA-N 0 0 440.460 0.114 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)CCO1 ZINC000575769338 1072509723 /nfs/dbraw/zinc/50/97/23/1072509723.db2.gz BJWNEYYQGLFCML-MOPGFXCFSA-N 0 0 446.504 -0.425 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)CCO1 ZINC000575769339 1072509561 /nfs/dbraw/zinc/50/95/61/1072509561.db2.gz BJWNEYYQGLFCML-OALUTQOASA-N 0 0 446.504 -0.425 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)CCO1 ZINC000575769340 1072509736 /nfs/dbraw/zinc/50/97/36/1072509736.db2.gz BJWNEYYQGLFCML-RBUKOAKNSA-N 0 0 446.504 -0.425 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)CCO1 ZINC000575769341 1072509661 /nfs/dbraw/zinc/50/96/61/1072509661.db2.gz BJWNEYYQGLFCML-RTBURBONSA-N 0 0 446.504 -0.425 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)s1 ZINC000575771524 1072509637 /nfs/dbraw/zinc/50/96/37/1072509637.db2.gz HAOAJXMQVLGUKN-UHFFFAOYSA-N 0 0 435.509 -0.074 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CCCN(C(=O)CCC(F)(F)F)C2)c(=O)n(C)c1=O ZINC000575771619 1072509790 /nfs/dbraw/zinc/50/97/90/1072509790.db2.gz IRWDDJBDFQTPPR-SNVBAGLBSA-N 0 0 426.417 -0.304 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000575771684 1072509515 /nfs/dbraw/zinc/50/95/15/1072509515.db2.gz JUGWUFFQSBAVKI-UHFFFAOYSA-N 0 0 449.942 -0.245 20 0 IBADRN Cc1nn(-c2ccccc2)cc1CCCNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000575777776 1073351651 /nfs/dbraw/zinc/35/16/51/1073351651.db2.gz JNHNNHSXTAVEAN-UHFFFAOYSA-N 0 0 438.492 0.548 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1C[C@H](C(N)=O)[C@@H](c2cnn(C)c2)C1 ZINC000575781072 1072509729 /nfs/dbraw/zinc/50/97/29/1072509729.db2.gz YXQWFAWEDOOHGX-OAGGEKHMSA-N 0 0 441.558 -0.709 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1C[C@H](C(N)=O)[C@@H](c2cnn(C)c2)C1 ZINC000575781073 1072510224 /nfs/dbraw/zinc/51/02/24/1072510224.db2.gz YXQWFAWEDOOHGX-XHSDSOJGSA-N 0 0 441.558 -0.709 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)n1 ZINC000575783294 1072510273 /nfs/dbraw/zinc/51/02/73/1072510273.db2.gz DCFSLWCXAQOVRV-UHFFFAOYSA-N 0 0 429.506 -0.507 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000575786604 1072509998 /nfs/dbraw/zinc/50/99/98/1072509998.db2.gz NBSIBTRMTNIILI-CYBMUJFWSA-N 0 0 427.479 -0.120 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000575786607 1072510215 /nfs/dbraw/zinc/51/02/15/1072510215.db2.gz NBSIBTRMTNIILI-ZDUSSCGKSA-N 0 0 427.479 -0.120 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)NC(C)(C)C)cc1OC ZINC000575790508 1072510011 /nfs/dbraw/zinc/51/00/11/1072510011.db2.gz MKYSYDIEUHWERM-UHFFFAOYSA-N 0 0 437.540 -0.184 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)C1 ZINC000575807174 1072510051 /nfs/dbraw/zinc/51/00/51/1072510051.db2.gz XIWJFNXGBVZXJI-CVEARBPZSA-N 0 0 442.538 -0.382 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccc(C)o2)N2CCOCC2)C1 ZINC000575807175 1072510231 /nfs/dbraw/zinc/51/02/31/1072510231.db2.gz XIWJFNXGBVZXJI-HOTGVXAUSA-N 0 0 442.538 -0.382 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)C1 ZINC000575807176 1072510167 /nfs/dbraw/zinc/51/01/67/1072510167.db2.gz XIWJFNXGBVZXJI-HZPDHXFCSA-N 0 0 442.538 -0.382 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](c2ccc(C)o2)N2CCOCC2)C1 ZINC000575807177 1072510098 /nfs/dbraw/zinc/51/00/98/1072510098.db2.gz XIWJFNXGBVZXJI-JKSUJKDBSA-N 0 0 442.538 -0.382 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCO1 ZINC000575818499 1072510260 /nfs/dbraw/zinc/51/02/60/1072510260.db2.gz NGZMZCFPBKRQBY-CABCVRRESA-N 0 0 433.513 -0.478 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCCO1 ZINC000575818500 1072510031 /nfs/dbraw/zinc/51/00/31/1072510031.db2.gz NGZMZCFPBKRQBY-GJZGRUSLSA-N 0 0 433.513 -0.478 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCCO1 ZINC000575818501 1072510086 /nfs/dbraw/zinc/51/00/86/1072510086.db2.gz NGZMZCFPBKRQBY-HUUCEWRRSA-N 0 0 433.513 -0.478 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCCO1 ZINC000575818502 1072510270 /nfs/dbraw/zinc/51/02/70/1072510270.db2.gz NGZMZCFPBKRQBY-LSDHHAIUSA-N 0 0 433.513 -0.478 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCOC2(CCOCC2)C1 ZINC000575819010 1072510071 /nfs/dbraw/zinc/51/00/71/1072510071.db2.gz DKADXHIGDSSCPS-UHFFFAOYSA-N 0 0 425.507 -0.039 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000575819358 1072510201 /nfs/dbraw/zinc/51/02/01/1072510201.db2.gz WLJYYMUQTNJPOK-UHFFFAOYSA-N 0 0 446.957 -0.085 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCCN1CCN(c2ccc(F)cc2)CC1 ZINC000575819733 1072510239 /nfs/dbraw/zinc/51/02/39/1072510239.db2.gz FVVXPODHUDKMBE-UHFFFAOYSA-N 0 0 443.483 -0.600 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000575822989 1072510799 /nfs/dbraw/zinc/51/07/99/1072510799.db2.gz LRXCMOXVORRKPP-FQEVSTJZSA-N 0 0 427.505 -0.258 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000575822990 1072510503 /nfs/dbraw/zinc/51/05/03/1072510503.db2.gz LRXCMOXVORRKPP-HXUWFJFHSA-N 0 0 427.505 -0.258 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCCN1C(=O)NC3(CCCCC3)C1=O)CC2 ZINC000575823685 1072510755 /nfs/dbraw/zinc/51/07/55/1072510755.db2.gz NEEHTFKGDBSFEP-AWEZNQCLSA-N 0 0 431.497 -0.221 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCCN1C(=O)NC3(CCCCC3)C1=O)CC2 ZINC000575823686 1072510647 /nfs/dbraw/zinc/51/06/47/1072510647.db2.gz NEEHTFKGDBSFEP-CQSZACIVSA-N 0 0 431.497 -0.221 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(Cc4cc(C)on4)CC3)C2=O)n(C)n1 ZINC000575829103 1072510734 /nfs/dbraw/zinc/51/07/34/1072510734.db2.gz MAWUBSQZTJZHCT-INIZCTEOSA-N 0 0 429.481 -0.409 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(Cc4cc(C)on4)CC3)C2=O)n(C)n1 ZINC000575829104 1072510664 /nfs/dbraw/zinc/51/06/64/1072510664.db2.gz MAWUBSQZTJZHCT-MRXNPFEDSA-N 0 0 429.481 -0.409 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCCN(CC(=O)N(CC)CC)CC1)C(=O)N1CCOCC1 ZINC000575829431 1072510768 /nfs/dbraw/zinc/51/07/68/1072510768.db2.gz YHKRQNVWOVUIRQ-KRWDZBQOSA-N 0 0 439.557 -0.857 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCCN(CC(=O)N(CC)CC)CC1)C(=O)N1CCOCC1 ZINC000575829432 1072510676 /nfs/dbraw/zinc/51/06/76/1072510676.db2.gz YHKRQNVWOVUIRQ-QGZVFWFLSA-N 0 0 439.557 -0.857 20 0 IBADRN COc1ccc(CNC(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000575831586 1072510704 /nfs/dbraw/zinc/51/07/04/1072510704.db2.gz SMZJZJAVXFFSKO-UHFFFAOYSA-N 0 0 434.540 -0.187 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)n1 ZINC000575833541 1072510852 /nfs/dbraw/zinc/51/08/52/1072510852.db2.gz KGOMMQBMCJDOBJ-UHFFFAOYSA-N 0 0 439.520 -0.381 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)CCC(F)(F)F ZINC000575834144 1071555644 /nfs/dbraw/zinc/55/56/44/1071555644.db2.gz DEHXQRWNHQVSPR-UHFFFAOYSA-N 0 0 432.447 -0.181 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(Cc2cscn2)CC1 ZINC000575834278 1072510742 /nfs/dbraw/zinc/51/07/42/1072510742.db2.gz JQLTYGUQKFYCKR-UHFFFAOYSA-N 0 0 442.523 -0.989 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC000575835730 1072511199 /nfs/dbraw/zinc/51/11/99/1072511199.db2.gz IWALDEKHXKQFIQ-UHFFFAOYSA-N 0 0 433.508 -0.842 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCC[C@H]3CCO)CC2)cs1 ZINC000575837398 1072511246 /nfs/dbraw/zinc/51/12/46/1072511246.db2.gz ZXDQVRBZZNHKBI-KBPBESRZSA-N 0 0 430.552 -0.048 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H]3CCO)CC2)cs1 ZINC000575837399 1072511122 /nfs/dbraw/zinc/51/11/22/1072511122.db2.gz ZXDQVRBZZNHKBI-KGLIPLIRSA-N 0 0 430.552 -0.048 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3CCO)CC2)cs1 ZINC000575837400 1072511294 /nfs/dbraw/zinc/51/12/94/1072511294.db2.gz ZXDQVRBZZNHKBI-UONOGXRCSA-N 0 0 430.552 -0.048 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3CCO)CC2)cs1 ZINC000575837401 1072511297 /nfs/dbraw/zinc/51/12/97/1072511297.db2.gz ZXDQVRBZZNHKBI-ZIAGYGMSSA-N 0 0 430.552 -0.048 20 0 IBADRN Cn1cc(NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)c(=O)n(C)c1=O ZINC000575837625 1072511226 /nfs/dbraw/zinc/51/12/26/1072511226.db2.gz IOYAOJGORVBDTQ-HNNXBMFYSA-N 0 0 426.433 -0.428 20 0 IBADRN Cn1cc(NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)c(=O)n(C)c1=O ZINC000575837626 1072511218 /nfs/dbraw/zinc/51/12/18/1072511218.db2.gz IOYAOJGORVBDTQ-OAHLLOKOSA-N 0 0 426.433 -0.428 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccnn2Cc2ccccn2)CC1 ZINC000575842500 1072511136 /nfs/dbraw/zinc/51/11/36/1072511136.db2.gz HMCFWBPBGHORES-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)CC1 ZINC000575842522 1072511303 /nfs/dbraw/zinc/51/13/03/1072511303.db2.gz IIWPSYTZCSOCJN-UHFFFAOYSA-N 0 0 439.494 -0.679 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)CC1)N1CCCCCC1 ZINC000575855315 1072511281 /nfs/dbraw/zinc/51/12/81/1072511281.db2.gz RTWHTAVEKYOADW-UHFFFAOYSA-N 0 0 431.541 -0.223 20 0 IBADRN CC(C)(C)OC(=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000575855465 1072511255 /nfs/dbraw/zinc/51/12/55/1072511255.db2.gz AUHGZYIWFDLNHT-UHFFFAOYSA-N 0 0 434.497 -0.521 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000575857243 1072511289 /nfs/dbraw/zinc/51/12/89/1072511289.db2.gz QRDZPPMLUABMGO-AEFFLSMTSA-N 0 0 447.511 -0.269 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000575857244 1072511265 /nfs/dbraw/zinc/51/12/65/1072511265.db2.gz QRDZPPMLUABMGO-FUHWJXTLSA-N 0 0 447.511 -0.269 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000575857245 1072511561 /nfs/dbraw/zinc/51/15/61/1072511561.db2.gz QRDZPPMLUABMGO-SJLPKXTDSA-N 0 0 447.511 -0.269 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000575857246 1072511625 /nfs/dbraw/zinc/51/16/25/1072511625.db2.gz QRDZPPMLUABMGO-WMZOPIPTSA-N 0 0 447.511 -0.269 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCN(c2ncc(Br)c(OC)n2)CC1 ZINC000575857264 1072511710 /nfs/dbraw/zinc/51/17/10/1072511710.db2.gz SAYFSKYJNBFCQD-UHFFFAOYSA-N 0 0 443.302 -0.509 20 0 IBADRN NC(=O)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OCC(F)F)c1 ZINC000575857498 1072511233 /nfs/dbraw/zinc/51/12/33/1072511233.db2.gz DAKUXILZMHXFMT-UHFFFAOYSA-N 0 0 438.395 -0.208 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)c1 ZINC000575857501 1072511300 /nfs/dbraw/zinc/51/13/00/1072511300.db2.gz DGMQDUUMMSMLSY-LLVKDONJSA-N 0 0 428.392 -0.533 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)c1 ZINC000575857502 1072511156 /nfs/dbraw/zinc/51/11/56/1072511156.db2.gz DGMQDUUMMSMLSY-NSHDSACASA-N 0 0 428.392 -0.533 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000575857674 1072511699 /nfs/dbraw/zinc/51/16/99/1072511699.db2.gz PHRHLSWLWCESOC-UHFFFAOYSA-N 0 0 434.540 -0.338 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCNC3=O)C2)cc1 ZINC000575862422 1072511834 /nfs/dbraw/zinc/51/18/34/1072511834.db2.gz GVCAZGNFBIZEFC-HNNXBMFYSA-N 0 0 431.449 -0.456 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCNC3=O)C2)cc1 ZINC000575862423 1072511846 /nfs/dbraw/zinc/51/18/46/1072511846.db2.gz GVCAZGNFBIZEFC-OAHLLOKOSA-N 0 0 431.449 -0.456 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000575885419 1072511574 /nfs/dbraw/zinc/51/15/74/1072511574.db2.gz NQEUIFGNQSPROY-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1=O ZINC000575887639 1072511639 /nfs/dbraw/zinc/51/16/39/1072511639.db2.gz FKCHVORGENHYIY-UHFFFAOYSA-N 0 0 449.527 -0.229 20 0 IBADRN COc1ccc(Br)c(C(=O)NCCCNC(=O)CNC(=O)CNC(C)=O)c1 ZINC000575892350 1072511613 /nfs/dbraw/zinc/51/16/13/1072511613.db2.gz MODGROWZWGOLBG-UHFFFAOYSA-N 0 0 443.298 -0.054 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1Cl ZINC000575896300 1072511785 /nfs/dbraw/zinc/51/17/85/1072511785.db2.gz JOZAGXURLBVPPF-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000575897892 1072512370 /nfs/dbraw/zinc/51/23/70/1072512370.db2.gz BERUEGYONUDYBL-CABCVRRESA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000575897893 1072512158 /nfs/dbraw/zinc/51/21/58/1072512158.db2.gz BERUEGYONUDYBL-GJZGRUSLSA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000575897894 1072512322 /nfs/dbraw/zinc/51/23/22/1072512322.db2.gz BERUEGYONUDYBL-HUUCEWRRSA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000575897895 1072512308 /nfs/dbraw/zinc/51/23/08/1072512308.db2.gz BERUEGYONUDYBL-LSDHHAIUSA-N 0 0 443.547 -0.411 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)CNC(=O)c1ccc2c(c1)OCO2 ZINC000575900845 1072512166 /nfs/dbraw/zinc/51/21/66/1072512166.db2.gz QESUTIFFYKYWMF-AWEZNQCLSA-N 0 0 426.495 -0.078 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)CNC(=O)c1ccc2c(c1)OCO2 ZINC000575900846 1072512332 /nfs/dbraw/zinc/51/23/32/1072512332.db2.gz QESUTIFFYKYWMF-CQSZACIVSA-N 0 0 426.495 -0.078 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCC1CCN(CC(F)(F)F)CC1 ZINC000575901450 1072512341 /nfs/dbraw/zinc/51/23/41/1072512341.db2.gz KZLNZJDYQAEIKC-INIZCTEOSA-N 0 0 438.491 -0.082 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCC1CCN(CC(F)(F)F)CC1 ZINC000575901451 1072512236 /nfs/dbraw/zinc/51/22/36/1072512236.db2.gz KZLNZJDYQAEIKC-MRXNPFEDSA-N 0 0 438.491 -0.082 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2)CC1 ZINC000575903141 1072512258 /nfs/dbraw/zinc/51/22/58/1072512258.db2.gz QDMLRLYOIPVBJS-HNNXBMFYSA-N 0 0 446.899 -0.608 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2)CC1 ZINC000575903142 1072512126 /nfs/dbraw/zinc/51/21/26/1072512126.db2.gz QDMLRLYOIPVBJS-OAHLLOKOSA-N 0 0 446.899 -0.608 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC000575904068 1072512183 /nfs/dbraw/zinc/51/21/83/1072512183.db2.gz OHGQYHZMWFBPHX-HLLBOEOZSA-N 0 0 426.543 -0.283 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000575904069 1072512360 /nfs/dbraw/zinc/51/23/60/1072512360.db2.gz OHGQYHZMWFBPHX-VYDXJSESSA-N 0 0 426.543 -0.283 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC000575904070 1072512196 /nfs/dbraw/zinc/51/21/96/1072512196.db2.gz OHGQYHZMWFBPHX-YQQAZPJKSA-N 0 0 426.543 -0.283 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000575904071 1072512798 /nfs/dbraw/zinc/51/27/98/1072512798.db2.gz OHGQYHZMWFBPHX-ZOBUZTSGSA-N 0 0 426.543 -0.283 20 0 IBADRN Cn1nc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c2ccccc21 ZINC000575904152 1072512789 /nfs/dbraw/zinc/51/27/89/1072512789.db2.gz SQXQGEAYPSGOQO-UHFFFAOYSA-N 0 0 426.521 -0.001 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)n1 ZINC000575907051 1072512708 /nfs/dbraw/zinc/51/27/08/1072512708.db2.gz VBPFVBIVOXKPTF-OAHLLOKOSA-N 0 0 439.538 -0.331 20 0 IBADRN COCC[C@@H](Cc1ccco1)NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000575907566 1072512859 /nfs/dbraw/zinc/51/28/59/1072512859.db2.gz LQYFNAGOHYUUJM-INIZCTEOSA-N 0 0 447.492 0.423 20 0 IBADRN COCC[C@H](Cc1ccco1)NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000575907567 1072512674 /nfs/dbraw/zinc/51/26/74/1072512674.db2.gz LQYFNAGOHYUUJM-MRXNPFEDSA-N 0 0 447.492 0.423 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC000575912334 1072512829 /nfs/dbraw/zinc/51/28/29/1072512829.db2.gz PEMKCEUTRJOSLO-UHFFFAOYSA-N 0 0 441.554 -0.028 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CNS(=O)(=O)c2ccc(Br)cc2)nn1 ZINC000575913744 1072512815 /nfs/dbraw/zinc/51/28/15/1072512815.db2.gz IZYPBSCHUKNBOI-UHFFFAOYSA-N 0 0 446.283 -0.078 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)nn1 ZINC000575915935 1072512664 /nfs/dbraw/zinc/51/26/64/1072512664.db2.gz GARHTEUCQOGUJA-ARFHVFGLSA-N 0 0 445.546 -0.676 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)nn1 ZINC000575915936 1072512736 /nfs/dbraw/zinc/51/27/36/1072512736.db2.gz GARHTEUCQOGUJA-BZUAXINKSA-N 0 0 445.546 -0.676 20 0 IBADRN CN(C)S(=O)(=O)CCNc1cc(NCCS(=O)(=O)N(C)C)c2ccncc2n1 ZINC000575930464 1072512750 /nfs/dbraw/zinc/51/27/50/1072512750.db2.gz WEEHZHJMULRYCK-UHFFFAOYSA-N 0 0 430.556 0.236 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CC(=O)N2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000575937610 1072513301 /nfs/dbraw/zinc/51/33/01/1072513301.db2.gz UNRTXIPPFHMSEH-GOSISDBHSA-N 0 0 440.478 -0.771 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CC(=O)N2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000575937611 1072513311 /nfs/dbraw/zinc/51/33/11/1072513311.db2.gz UNRTXIPPFHMSEH-SFHVURJKSA-N 0 0 440.478 -0.771 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCCNC(=O)C(C)(C)C)cc2)CC1 ZINC000575940539 1072513144 /nfs/dbraw/zinc/51/31/44/1072513144.db2.gz JEPBJPMQIANTGZ-UHFFFAOYSA-N 0 0 431.537 -0.031 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cn1C ZINC000575942045 1072513094 /nfs/dbraw/zinc/51/30/94/1072513094.db2.gz BRZZFTGGGJVZGW-CYBMUJFWSA-N 0 0 433.556 -0.530 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)CC1 ZINC000575942830 1072513322 /nfs/dbraw/zinc/51/33/22/1072513322.db2.gz RJKBTHQDGDHHNW-UHFFFAOYSA-N 0 0 432.521 -0.029 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)C1=O ZINC000575947989 1072513335 /nfs/dbraw/zinc/51/33/35/1072513335.db2.gz CWSOUFMDGJZDQJ-GOSISDBHSA-N 0 0 439.520 -0.233 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)C1=O ZINC000575947994 1072513086 /nfs/dbraw/zinc/51/30/86/1072513086.db2.gz CWSOUFMDGJZDQJ-SFHVURJKSA-N 0 0 439.520 -0.233 20 0 IBADRN Cn1c(CS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)cc(=O)n(C)c1=O ZINC000575949187 1072513288 /nfs/dbraw/zinc/51/32/88/1072513288.db2.gz OXPULPNZPHVJDQ-UHFFFAOYSA-N 0 0 427.504 -0.158 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC2CCN(c3cc(CC)ncn3)CC2)CC1 ZINC000575950857 1072513718 /nfs/dbraw/zinc/51/37/18/1072513718.db2.gz UEJMWDSIABZXRI-UHFFFAOYSA-N 0 0 431.541 -0.596 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1=O ZINC000575950987 1072513800 /nfs/dbraw/zinc/51/38/00/1072513800.db2.gz YPGGRFJGWDVFHB-GOSISDBHSA-N 0 0 430.505 -0.081 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1=O ZINC000575950988 1072513822 /nfs/dbraw/zinc/51/38/22/1072513822.db2.gz YPGGRFJGWDVFHB-SFHVURJKSA-N 0 0 430.505 -0.081 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@@H]2CCCOC2)c(=O)[nH]c1=O ZINC000575953576 1072513741 /nfs/dbraw/zinc/51/37/41/1072513741.db2.gz NFPSPMXLURPPDO-CYBMUJFWSA-N 0 0 425.486 -0.004 20 0 IBADRN COCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCC1 ZINC000575955632 1072513686 /nfs/dbraw/zinc/51/36/86/1072513686.db2.gz SDEONQNWBGJQHA-UHFFFAOYSA-N 0 0 442.494 -0.856 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)C1=O ZINC000575955884 1072513709 /nfs/dbraw/zinc/51/37/09/1072513709.db2.gz ACPOEGZPBALMKF-AWEZNQCLSA-N 0 0 440.526 -0.992 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)C1=O ZINC000575955885 1072513699 /nfs/dbraw/zinc/51/36/99/1072513699.db2.gz ACPOEGZPBALMKF-CQSZACIVSA-N 0 0 440.526 -0.992 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@H](O)C(=O)OC ZINC000575956229 1072513776 /nfs/dbraw/zinc/51/37/76/1072513776.db2.gz PJMYEVNGBCYAPO-HNNXBMFYSA-N 0 0 429.495 -0.715 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)CN(CC(=O)N[C@@H]2CCN(C(C)=O)C2)Cc2ccccc2)C1 ZINC000575956569 1072513666 /nfs/dbraw/zinc/51/36/66/1072513666.db2.gz YOKTVTQIRUINKS-NHCUHLMSSA-N 0 0 443.548 -0.037 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCN(C(C)=O)C2)Cc2ccccc2)C1 ZINC000575956570 1072513675 /nfs/dbraw/zinc/51/36/75/1072513675.db2.gz YOKTVTQIRUINKS-OYRHEFFESA-N 0 0 443.548 -0.037 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)CN(CC(=O)N[C@H]2CCN(C(C)=O)C2)Cc2ccccc2)C1 ZINC000575956571 1072513754 /nfs/dbraw/zinc/51/37/54/1072513754.db2.gz YOKTVTQIRUINKS-SFTDATJTSA-N 0 0 443.548 -0.037 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(C(=O)OC)c2C)CC1 ZINC000575960406 1072514151 /nfs/dbraw/zinc/51/41/51/1072514151.db2.gz JNBYIEDYKWYFEU-UHFFFAOYSA-N 0 0 438.456 -0.234 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c(OCC(F)F)c1 ZINC000575960542 1072514169 /nfs/dbraw/zinc/51/41/69/1072514169.db2.gz OWKUQTFOLHBUKG-JTQLQIEISA-N 0 0 434.421 -0.353 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c(OCC(F)F)c1 ZINC000575960543 1072514156 /nfs/dbraw/zinc/51/41/56/1072514156.db2.gz OWKUQTFOLHBUKG-SNVBAGLBSA-N 0 0 434.421 -0.353 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000575975747 1072514174 /nfs/dbraw/zinc/51/41/74/1072514174.db2.gz AWPRZAVODOZHOW-UHFFFAOYSA-N 0 0 438.488 -0.348 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CN(C)CC(=O)NC(C)C)c1 ZINC000575975869 1072514171 /nfs/dbraw/zinc/51/41/71/1072514171.db2.gz GTSQUYPIVJQHES-CYBMUJFWSA-N 0 0 427.527 -0.506 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CN(C)CC(=O)NC(C)C)c1 ZINC000575975870 1072514164 /nfs/dbraw/zinc/51/41/64/1072514164.db2.gz GTSQUYPIVJQHES-ZDUSSCGKSA-N 0 0 427.527 -0.506 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000575976471 1072514198 /nfs/dbraw/zinc/51/41/98/1072514198.db2.gz GOBOSRHGORGPLJ-UHFFFAOYSA-N 0 0 427.509 -0.388 20 0 IBADRN O=C(NCCCOC1CCCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000575996866 1072514194 /nfs/dbraw/zinc/51/41/94/1072514194.db2.gz BWBVZRBGBAEWPX-UHFFFAOYSA-N 0 0 438.525 -0.628 20 0 IBADRN CN(Cc1nccn1C)C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000575997001 1072514189 /nfs/dbraw/zinc/51/41/89/1072514189.db2.gz KYMBHTRATTWMTK-INIZCTEOSA-N 0 0 442.524 -0.210 20 0 IBADRN CN(Cc1nccn1C)C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000575997002 1072514141 /nfs/dbraw/zinc/51/41/41/1072514141.db2.gz KYMBHTRATTWMTK-MRXNPFEDSA-N 0 0 442.524 -0.210 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000576007837 1072514683 /nfs/dbraw/zinc/51/46/83/1072514683.db2.gz BDYCNNBMEFGBHT-DLBZAZTESA-N 0 0 430.548 -0.120 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000576007838 1072514513 /nfs/dbraw/zinc/51/45/13/1072514513.db2.gz BDYCNNBMEFGBHT-IAGOWNOFSA-N 0 0 430.548 -0.120 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000576007839 1072514693 /nfs/dbraw/zinc/51/46/93/1072514693.db2.gz BDYCNNBMEFGBHT-IRXDYDNUSA-N 0 0 430.548 -0.120 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000576007840 1072514453 /nfs/dbraw/zinc/51/44/53/1072514453.db2.gz BDYCNNBMEFGBHT-SJORKVTESA-N 0 0 430.548 -0.120 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCc3nnc4n3CCCC4)C2)nc1 ZINC000576009027 1072514485 /nfs/dbraw/zinc/51/44/85/1072514485.db2.gz OPQYRWLKNJKZMP-UHFFFAOYSA-N 0 0 427.465 -0.193 20 0 IBADRN COCCN1CN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CC1=O ZINC000576010438 1072514434 /nfs/dbraw/zinc/51/44/34/1072514434.db2.gz PDARRGARHLUKRE-UHFFFAOYSA-N 0 0 425.511 -0.097 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3C)C1 ZINC000576016874 1072514660 /nfs/dbraw/zinc/51/46/60/1072514660.db2.gz XIWAGNRGDLSAOZ-CYBMUJFWSA-N 0 0 439.494 -0.633 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000576017330 1072514605 /nfs/dbraw/zinc/51/46/05/1072514605.db2.gz CNURTMLWLQTTJF-UHFFFAOYSA-N 0 0 446.552 -0.685 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cccc1-n1cnnn1 ZINC000576018484 1072514647 /nfs/dbraw/zinc/51/46/47/1072514647.db2.gz DCRWUOHBJWLOSV-GFCCVEGCSA-N 0 0 449.415 -0.152 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cccc1-n1cnnn1 ZINC000576018486 1072514590 /nfs/dbraw/zinc/51/45/90/1072514590.db2.gz DCRWUOHBJWLOSV-LBPRGKRZSA-N 0 0 449.415 -0.152 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000576018996 1072514653 /nfs/dbraw/zinc/51/46/53/1072514653.db2.gz NZTNDPRAPNAQLC-INIZCTEOSA-N 0 0 438.554 -0.118 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000576018997 1072514575 /nfs/dbraw/zinc/51/45/75/1072514575.db2.gz NZTNDPRAPNAQLC-MRXNPFEDSA-N 0 0 438.554 -0.118 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C[C@@H]1OC ZINC000576019997 1072514619 /nfs/dbraw/zinc/51/46/19/1072514619.db2.gz RCDNYAZNDZWVSJ-CVEARBPZSA-N 0 0 438.525 -0.774 20 0 IBADRN CCC1(CC)[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C[C@@H]1OC ZINC000576020000 1072514974 /nfs/dbraw/zinc/51/49/74/1072514974.db2.gz RCDNYAZNDZWVSJ-HOTGVXAUSA-N 0 0 438.525 -0.774 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C[C@H]1OC ZINC000576020007 1072515141 /nfs/dbraw/zinc/51/51/41/1072515141.db2.gz RCDNYAZNDZWVSJ-HZPDHXFCSA-N 0 0 438.525 -0.774 20 0 IBADRN CCC1(CC)[C@H](OC)C[C@@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000576020008 1072515062 /nfs/dbraw/zinc/51/50/62/1072515062.db2.gz RCDNYAZNDZWVSJ-JKSUJKDBSA-N 0 0 438.525 -0.774 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000576024274 1072515079 /nfs/dbraw/zinc/51/50/79/1072515079.db2.gz ULSDPQYDVJVWEO-UHFFFAOYSA-N 0 0 438.550 -0.061 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)C(=O)Nc2cc(C3CC3)n(C)n2)c1 ZINC000576034442 1072515091 /nfs/dbraw/zinc/51/50/91/1072515091.db2.gz HHPAAOLFXUHBMI-LLVKDONJSA-N 0 0 448.505 0.288 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)C(=O)Nc2cc(C3CC3)n(C)n2)c1 ZINC000576034443 1072515179 /nfs/dbraw/zinc/51/51/79/1072515179.db2.gz HHPAAOLFXUHBMI-NSHDSACASA-N 0 0 448.505 0.288 20 0 IBADRN Cn1nc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1C1CC1 ZINC000576034978 1072515135 /nfs/dbraw/zinc/51/51/35/1072515135.db2.gz YZINLPGEOFPLAX-UHFFFAOYSA-N 0 0 446.489 -0.005 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCO[C@H](c3nnc(C)[nH]3)C2)C1=O ZINC000576047179 1072514939 /nfs/dbraw/zinc/51/49/39/1072514939.db2.gz NKNBSVOLGVEBAF-KBPBESRZSA-N 0 0 430.469 -0.459 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCO[C@H](c3nnc(C)[nH]3)C2)C1=O ZINC000576047180 1072515192 /nfs/dbraw/zinc/51/51/92/1072515192.db2.gz NKNBSVOLGVEBAF-KGLIPLIRSA-N 0 0 430.469 -0.459 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCO[C@@H](c3nnc(C)[nH]3)C2)C1=O ZINC000576047181 1072515184 /nfs/dbraw/zinc/51/51/84/1072515184.db2.gz NKNBSVOLGVEBAF-UONOGXRCSA-N 0 0 430.469 -0.459 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCO[C@@H](c3nnc(C)[nH]3)C2)C1=O ZINC000576047182 1072515163 /nfs/dbraw/zinc/51/51/63/1072515163.db2.gz NKNBSVOLGVEBAF-ZIAGYGMSSA-N 0 0 430.469 -0.459 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCn2cc(CO)nn2)c2ccccc2O1 ZINC000576048146 1072514990 /nfs/dbraw/zinc/51/49/90/1072514990.db2.gz FGCVUCLMIOFIPE-KRWDZBQOSA-N 0 0 430.465 -0.403 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCCn2cc(CO)nn2)c2ccccc2O1 ZINC000576048147 1072515174 /nfs/dbraw/zinc/51/51/74/1072515174.db2.gz FGCVUCLMIOFIPE-QGZVFWFLSA-N 0 0 430.465 -0.403 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000576048645 1072515011 /nfs/dbraw/zinc/51/50/11/1072515011.db2.gz FJBQSCHNPSNEDA-UHFFFAOYSA-N 0 0 428.496 -0.501 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)NCc3nnc4n3CCC4)CC2)s1 ZINC000576056815 1072515025 /nfs/dbraw/zinc/51/50/25/1072515025.db2.gz YZIPWLFNAMYVAK-UHFFFAOYSA-N 0 0 433.494 -0.178 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)N1CCOCC1 ZINC000576057029 1072515406 /nfs/dbraw/zinc/51/54/06/1072515406.db2.gz FNSUWQQTBYTOAG-GOSISDBHSA-N 0 0 446.552 -0.308 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)N1CCOCC1 ZINC000576057030 1072515505 /nfs/dbraw/zinc/51/55/05/1072515505.db2.gz FNSUWQQTBYTOAG-SFHVURJKSA-N 0 0 446.552 -0.308 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C[C@@H](CO)O1 ZINC000576057206 1072515147 /nfs/dbraw/zinc/51/51/47/1072515147.db2.gz LGYZTPWSEGJSDL-APWZRJJASA-N 0 0 448.520 -0.428 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C[C@@H](CO)O1 ZINC000576057207 1072515038 /nfs/dbraw/zinc/51/50/38/1072515038.db2.gz LGYZTPWSEGJSDL-LPHOPBHVSA-N 0 0 448.520 -0.428 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C[C@H](CO)O1 ZINC000576057208 1072515102 /nfs/dbraw/zinc/51/51/02/1072515102.db2.gz LGYZTPWSEGJSDL-QFBILLFUSA-N 0 0 448.520 -0.428 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)C[C@H](CO)O1 ZINC000576057209 1072515547 /nfs/dbraw/zinc/51/55/47/1072515547.db2.gz LGYZTPWSEGJSDL-VQIMIIECSA-N 0 0 448.520 -0.428 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3cccnc3N3CCOCC3)C2=O)n1 ZINC000576057304 1072515427 /nfs/dbraw/zinc/51/54/27/1072515427.db2.gz QNBMDLLAFOXVRR-INIZCTEOSA-N 0 0 441.492 -0.420 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3cccnc3N3CCOCC3)C2=O)n1 ZINC000576057305 1072515389 /nfs/dbraw/zinc/51/53/89/1072515389.db2.gz QNBMDLLAFOXVRR-MRXNPFEDSA-N 0 0 441.492 -0.420 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC2(CCOCC2)O1 ZINC000576061306 1072515494 /nfs/dbraw/zinc/51/54/94/1072515494.db2.gz SYOMHHDJRVZQNB-AWEZNQCLSA-N 0 0 435.568 -0.722 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC2(CCOCC2)O1 ZINC000576061307 1072515483 /nfs/dbraw/zinc/51/54/83/1072515483.db2.gz SYOMHHDJRVZQNB-CQSZACIVSA-N 0 0 435.568 -0.722 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000576063004 1072515464 /nfs/dbraw/zinc/51/54/64/1072515464.db2.gz GEVKLDMKJSASAU-CYBMUJFWSA-N 0 0 431.536 -0.495 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000576063005 1072515450 /nfs/dbraw/zinc/51/54/50/1072515450.db2.gz GEVKLDMKJSASAU-ZDUSSCGKSA-N 0 0 431.536 -0.495 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCO[C@@H]3CCOC3)CC2)C1 ZINC000576068184 1072515585 /nfs/dbraw/zinc/51/55/85/1072515585.db2.gz ZHLIJWMHRNSIKN-CEXWTWQISA-N 0 0 438.569 -0.053 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCO[C@@H]3CCOC3)CC2)C1 ZINC000576068185 1072515472 /nfs/dbraw/zinc/51/54/72/1072515472.db2.gz ZHLIJWMHRNSIKN-GUDVDZBRSA-N 0 0 438.569 -0.053 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCO[C@H]3CCOC3)CC2)C1 ZINC000576068186 1072515499 /nfs/dbraw/zinc/51/54/99/1072515499.db2.gz ZHLIJWMHRNSIKN-OTWHNJEPSA-N 0 0 438.569 -0.053 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCCO[C@H]3CCOC3)CC2)C1 ZINC000576068187 1072515436 /nfs/dbraw/zinc/51/54/36/1072515436.db2.gz ZHLIJWMHRNSIKN-QRVBRYPASA-N 0 0 438.569 -0.053 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1)[C@@H]1CCCO1 ZINC000576072594 1072515539 /nfs/dbraw/zinc/51/55/39/1072515539.db2.gz PVLIMELRMREJAD-MSOLQXFVSA-N 0 0 442.520 0.166 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1)[C@H]1CCCO1 ZINC000576072595 1073356881 /nfs/dbraw/zinc/35/68/81/1073356881.db2.gz PVLIMELRMREJAD-QZTJIDSGSA-N 0 0 442.520 0.166 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1)[C@@H]1CCCO1 ZINC000576072596 1073356862 /nfs/dbraw/zinc/35/68/62/1073356862.db2.gz PVLIMELRMREJAD-ROUUACIJSA-N 0 0 442.520 0.166 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1)[C@H]1CCCO1 ZINC000576072597 1072515371 /nfs/dbraw/zinc/51/53/71/1072515371.db2.gz PVLIMELRMREJAD-ZWKOTPCHSA-N 0 0 442.520 0.166 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)CC1 ZINC000576075202 1072515997 /nfs/dbraw/zinc/51/59/97/1072515997.db2.gz IECGSGGAMNGCBX-UHFFFAOYSA-N 0 0 449.596 -0.194 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)CC1 ZINC000576075912 1072516039 /nfs/dbraw/zinc/51/60/39/1072516039.db2.gz DTXRBZSBAUIBSK-GDLZYMKVSA-N 0 0 425.482 -0.097 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)CC1 ZINC000576075913 1072515911 /nfs/dbraw/zinc/51/59/11/1072515911.db2.gz DTXRBZSBAUIBSK-LJAQVGFWSA-N 0 0 425.482 -0.097 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000576079104 1072515988 /nfs/dbraw/zinc/51/59/88/1072515988.db2.gz AHXZAKWAGDWWGC-GFCCVEGCSA-N 0 0 437.565 -0.184 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000576079105 1072515901 /nfs/dbraw/zinc/51/59/01/1072515901.db2.gz AHXZAKWAGDWWGC-LBPRGKRZSA-N 0 0 437.565 -0.184 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000576080038 1072516212 /nfs/dbraw/zinc/51/62/12/1072516212.db2.gz KXXFQAFBMVWXOY-CYBMUJFWSA-N 0 0 437.544 -0.653 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000576080039 1072516196 /nfs/dbraw/zinc/51/61/96/1072516196.db2.gz KXXFQAFBMVWXOY-ZDUSSCGKSA-N 0 0 437.544 -0.653 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000576097088 1072515879 /nfs/dbraw/zinc/51/58/79/1072515879.db2.gz ITNKSZPCTSDCDM-FRRDWIJNSA-N 0 0 426.495 -0.326 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000576097089 1072516109 /nfs/dbraw/zinc/51/61/09/1072516109.db2.gz ITNKSZPCTSDCDM-JHJVBQTASA-N 0 0 426.495 -0.326 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000576097090 1072516051 /nfs/dbraw/zinc/51/60/51/1072516051.db2.gz ITNKSZPCTSDCDM-RWMBFGLXSA-N 0 0 426.495 -0.326 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)on1 ZINC000576117458 1072516093 /nfs/dbraw/zinc/51/60/93/1072516093.db2.gz DENQPQYCRVXZBW-UHFFFAOYSA-N 0 0 431.497 -0.472 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H]3CC[C@@H](CO)O3)c2)CC1 ZINC000576128664 1072516764 /nfs/dbraw/zinc/51/67/64/1072516764.db2.gz RMWIQBRIWCUVDR-CVEARBPZSA-N 0 0 440.522 -0.783 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)nn1 ZINC000576128717 1072516646 /nfs/dbraw/zinc/51/66/46/1072516646.db2.gz VGUSHTYMYZZEQM-UHFFFAOYSA-N 0 0 429.397 -0.888 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000576131809 1072516731 /nfs/dbraw/zinc/51/67/31/1072516731.db2.gz MUQFOIKQPLNVLV-UHFFFAOYSA-N 0 0 444.579 -0.158 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC2CCN(c3nccs3)CC2)[C@H](O)[C@@H]1O ZINC000576132286 1072516558 /nfs/dbraw/zinc/51/65/58/1072516558.db2.gz OMCWTECRYHWNMG-LSCFUAHRSA-N 0 0 433.494 -0.025 20 0 IBADRN Cc1c([C@H](C)NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cnn1C ZINC000576135897 1072516773 /nfs/dbraw/zinc/51/67/73/1072516773.db2.gz FAAHWUGBDMSCGO-HNNXBMFYSA-N 0 0 442.524 -0.119 20 0 IBADRN Cc1c([C@@H](C)NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cnn1C ZINC000576135898 1072516664 /nfs/dbraw/zinc/51/66/64/1072516664.db2.gz FAAHWUGBDMSCGO-OAHLLOKOSA-N 0 0 442.524 -0.119 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCO[C@@H](CNc3nccn(C)c3=O)C2)cc1Cl ZINC000576136708 1073344165 /nfs/dbraw/zinc/34/41/65/1073344165.db2.gz GYUFVPTVXANUFT-AWEZNQCLSA-N 0 0 449.895 0.398 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCO[C@H](CNc3nccn(C)c3=O)C2)cc1Cl ZINC000576136709 1073344283 /nfs/dbraw/zinc/34/42/83/1073344283.db2.gz GYUFVPTVXANUFT-CQSZACIVSA-N 0 0 449.895 0.398 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1ccc(C(=O)NCC(F)(F)F)cc1 ZINC000576140238 1072516624 /nfs/dbraw/zinc/51/66/24/1072516624.db2.gz HJSQJSPMESKRAP-UHFFFAOYSA-N 0 0 432.446 -0.347 20 0 IBADRN O=C(NCc1ccc(C2CC2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000576143773 1072516485 /nfs/dbraw/zinc/51/64/85/1072516485.db2.gz AHNDBECOHBVOSY-UHFFFAOYSA-N 0 0 428.489 -0.290 20 0 IBADRN CC(C)C[C@H](CCO)CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000576144830 1072516531 /nfs/dbraw/zinc/51/65/31/1072516531.db2.gz JOTSIPROLNJBSU-DLBZAZTESA-N 0 0 433.571 -0.200 20 0 IBADRN CC(C)C[C@@H](CCO)CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000576144831 1072516637 /nfs/dbraw/zinc/51/66/37/1072516637.db2.gz JOTSIPROLNJBSU-IAGOWNOFSA-N 0 0 433.571 -0.200 20 0 IBADRN CC(C)C[C@H](CCO)CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000576144832 1072516616 /nfs/dbraw/zinc/51/66/16/1072516616.db2.gz JOTSIPROLNJBSU-IRXDYDNUSA-N 0 0 433.571 -0.200 20 0 IBADRN CC(C)C[C@@H](CCO)CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000576144833 1072516738 /nfs/dbraw/zinc/51/67/38/1072516738.db2.gz JOTSIPROLNJBSU-SJORKVTESA-N 0 0 433.571 -0.200 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@H](S(C)(=O)=O)C3)C2)nc1 ZINC000576145769 1072516546 /nfs/dbraw/zinc/51/65/46/1072516546.db2.gz YZRHUUDDSHVEKL-HNNXBMFYSA-N 0 0 438.506 -0.401 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@@H](S(C)(=O)=O)C3)C2)nc1 ZINC000576145770 1072517160 /nfs/dbraw/zinc/51/71/60/1072517160.db2.gz YZRHUUDDSHVEKL-OAHLLOKOSA-N 0 0 438.506 -0.401 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)[C@H](C)[C@@H]2C)CC1 ZINC000576146349 1072516982 /nfs/dbraw/zinc/51/69/82/1072516982.db2.gz UGDCQDVUVPVVGJ-DZGCQCFKSA-N 0 0 448.567 -0.368 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)[C@@H](C)[C@H]2C)CC1 ZINC000576146350 1072517236 /nfs/dbraw/zinc/51/72/36/1072517236.db2.gz UGDCQDVUVPVVGJ-HIFRSBDPSA-N 0 0 448.567 -0.368 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)[C@H](C)[C@H]2C)CC1 ZINC000576146351 1072517015 /nfs/dbraw/zinc/51/70/15/1072517015.db2.gz UGDCQDVUVPVVGJ-UKRRQHHQSA-N 0 0 448.567 -0.368 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCS(=O)(=O)[C@@H](C)[C@@H]2C)CC1 ZINC000576146352 1072517214 /nfs/dbraw/zinc/51/72/14/1072517214.db2.gz UGDCQDVUVPVVGJ-ZFWWWQNUSA-N 0 0 448.567 -0.368 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CCCC1 ZINC000576148435 1072517223 /nfs/dbraw/zinc/51/72/23/1072517223.db2.gz FXIJFZCDNPWMTL-UHFFFAOYSA-N 0 0 439.556 -0.756 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CCN1S(C)(=O)=O ZINC000576153233 1072517270 /nfs/dbraw/zinc/51/72/70/1072517270.db2.gz KSCIJHZFEYXKFN-JTQLQIEISA-N 0 0 448.448 -0.140 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(CS(N)(=O)=O)CC2)cc1 ZINC000576153431 1072517201 /nfs/dbraw/zinc/51/72/01/1072517201.db2.gz SXMFKCQNDDOLTO-UHFFFAOYSA-N 0 0 447.535 -0.331 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CC(NS(=O)(=O)c2ccc(C)cc2)C1 ZINC000576154173 1072517121 /nfs/dbraw/zinc/51/71/21/1072517121.db2.gz DFMXIGMTXZRWAL-UHFFFAOYSA-N 0 0 449.489 0.033 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCCn1cc(CO)nn1 ZINC000576157511 1072517079 /nfs/dbraw/zinc/51/70/79/1072517079.db2.gz YSPONWBDFMTXAF-UHFFFAOYSA-N 0 0 439.494 -0.193 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C(=O)OC)CC1=O ZINC000576168539 1072517059 /nfs/dbraw/zinc/51/70/59/1072517059.db2.gz NGMXZTPOEZZVMO-UHFFFAOYSA-N 0 0 434.449 -0.477 20 0 IBADRN Cc1nc2ccccc2n1CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000576176649 1072517149 /nfs/dbraw/zinc/51/71/49/1072517149.db2.gz VYGORLREOWRUQT-UHFFFAOYSA-N 0 0 442.520 -0.536 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)Cn1cnc2c(c(S(C)(=O)=O)nn2C)c1=O ZINC000576177783 1072517135 /nfs/dbraw/zinc/51/71/35/1072517135.db2.gz HJFKDXUOBJLIQY-LLVKDONJSA-N 0 0 425.467 -0.522 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)Cn1cnc2c(c(S(C)(=O)=O)nn2C)c1=O ZINC000576177784 1072516990 /nfs/dbraw/zinc/51/69/90/1072516990.db2.gz HJFKDXUOBJLIQY-NSHDSACASA-N 0 0 425.467 -0.522 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1cccc(CO)c1)N1CCN(c2ncccn2)CC1 ZINC000576180074 1072517248 /nfs/dbraw/zinc/51/72/48/1072517248.db2.gz NLSXOMRFLHEXDJ-UHFFFAOYSA-N 0 0 426.477 -0.560 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000576181214 1072517665 /nfs/dbraw/zinc/51/76/65/1072517665.db2.gz HJHHGITXLYGFHN-KFWWJZLASA-N 0 0 437.584 -0.458 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000576181215 1072517733 /nfs/dbraw/zinc/51/77/33/1072517733.db2.gz HJHHGITXLYGFHN-RBSFLKMASA-N 0 0 437.584 -0.458 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000576181216 1072517805 /nfs/dbraw/zinc/51/78/05/1072517805.db2.gz HJHHGITXLYGFHN-RRFJBIMHSA-N 0 0 437.584 -0.458 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000576181217 1072517719 /nfs/dbraw/zinc/51/77/19/1072517719.db2.gz HJHHGITXLYGFHN-ZNMIVQPWSA-N 0 0 437.584 -0.458 20 0 IBADRN Cn1nccc1[C@@H]1NC(=O)CC[C@H]1NC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000576181606 1072517172 /nfs/dbraw/zinc/51/71/72/1072517172.db2.gz WYELYILATKJJPR-CRAIPNDOSA-N 0 0 427.513 -0.349 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)CCC1=O ZINC000576182589 1071578314 /nfs/dbraw/zinc/57/83/14/1071578314.db2.gz PVIJDFHIVMJXLT-AEFFLSMTSA-N 0 0 427.505 -0.327 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)CCC1=O ZINC000576182590 1071578925 /nfs/dbraw/zinc/57/89/25/1071578925.db2.gz PVIJDFHIVMJXLT-FUHWJXTLSA-N 0 0 427.505 -0.327 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)CCC1=O ZINC000576182591 1071579046 /nfs/dbraw/zinc/57/90/46/1071579046.db2.gz PVIJDFHIVMJXLT-SJLPKXTDSA-N 0 0 427.505 -0.327 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)CCC1=O ZINC000576182592 1071579078 /nfs/dbraw/zinc/57/90/78/1071579078.db2.gz PVIJDFHIVMJXLT-WMZOPIPTSA-N 0 0 427.505 -0.327 20 0 IBADRN NS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)N2CCN(c3ccc(F)cc3)CC2)C1=O ZINC000576182992 1072517776 /nfs/dbraw/zinc/51/77/76/1072517776.db2.gz KBRJGEDMFUCJHM-AWEZNQCLSA-N 0 0 427.458 -0.927 20 0 IBADRN NS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)N2CCN(c3ccc(F)cc3)CC2)C1=O ZINC000576182993 1072517790 /nfs/dbraw/zinc/51/77/90/1072517790.db2.gz KBRJGEDMFUCJHM-CQSZACIVSA-N 0 0 427.458 -0.927 20 0 IBADRN O=C(NCc1nc(-c2cccc(F)c2)no1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000576189592 1072517632 /nfs/dbraw/zinc/51/76/32/1072517632.db2.gz NQFQJPZRYXTDEL-UHFFFAOYSA-N 0 0 444.423 -0.559 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccnc1C(F)(F)F)C2 ZINC000576190799 1072517657 /nfs/dbraw/zinc/51/76/57/1072517657.db2.gz VBARDTAERAGKSM-UHFFFAOYSA-N 0 0 441.370 -0.472 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)[C@@H]1Oc1ccccc1 ZINC000576194405 1072517677 /nfs/dbraw/zinc/51/76/77/1072517677.db2.gz BPTISJVCFVAIKX-BBWFWOEESA-N 0 0 425.507 -0.169 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)[C@H]1Oc1ccccc1 ZINC000576194406 1072517814 /nfs/dbraw/zinc/51/78/14/1072517814.db2.gz BPTISJVCFVAIKX-BRWVUGGUSA-N 0 0 425.507 -0.169 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)[C@H]1Oc1ccccc1 ZINC000576194407 1072517799 /nfs/dbraw/zinc/51/77/99/1072517799.db2.gz BPTISJVCFVAIKX-GVDBMIGSSA-N 0 0 425.507 -0.169 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)[C@@H]1Oc1ccccc1 ZINC000576194408 1072517764 /nfs/dbraw/zinc/51/77/64/1072517764.db2.gz BPTISJVCFVAIKX-ZACQAIPSSA-N 0 0 425.507 -0.169 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCCC1 ZINC000576194711 1072517707 /nfs/dbraw/zinc/51/77/07/1072517707.db2.gz NUPGWZWTDOLSAB-UHFFFAOYSA-N 0 0 431.511 -0.947 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000576199598 1072517743 /nfs/dbraw/zinc/51/77/43/1072517743.db2.gz CVJBPIOJCBRRAF-LLVKDONJSA-N 0 0 426.314 -0.383 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000576199599 1072517693 /nfs/dbraw/zinc/51/76/93/1072517693.db2.gz CVJBPIOJCBRRAF-NSHDSACASA-N 0 0 426.314 -0.383 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(Cn4ccnc4)cc3)CC2)c1=O ZINC000576213162 1072518286 /nfs/dbraw/zinc/51/82/86/1072518286.db2.gz DNGBJMODJZQSIM-UHFFFAOYSA-N 0 0 435.488 -0.010 20 0 IBADRN CNc1nc(C)cc(C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)n1 ZINC000576214069 1073320653 /nfs/dbraw/zinc/32/06/53/1073320653.db2.gz GJABAEUSQMVLLJ-HNNXBMFYSA-N 0 0 426.525 0.403 20 0 IBADRN CNc1nc(C)cc(C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)n1 ZINC000576214070 1073320747 /nfs/dbraw/zinc/32/07/47/1073320747.db2.gz GJABAEUSQMVLLJ-OAHLLOKOSA-N 0 0 426.525 0.403 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCC[C@H](N2CCCC2=O)C1 ZINC000576214676 1072518142 /nfs/dbraw/zinc/51/81/42/1072518142.db2.gz CVQAFGDHXBQAAI-AWEZNQCLSA-N 0 0 432.568 -0.905 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000576214677 1072518022 /nfs/dbraw/zinc/51/80/22/1072518022.db2.gz CVQAFGDHXBQAAI-CQSZACIVSA-N 0 0 432.568 -0.905 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000576214827 1072518238 /nfs/dbraw/zinc/51/82/38/1072518238.db2.gz MGCYLVMGKSBRSP-INIZCTEOSA-N 0 0 445.524 -0.899 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000576214828 1072518131 /nfs/dbraw/zinc/51/81/31/1072518131.db2.gz MGCYLVMGKSBRSP-MRXNPFEDSA-N 0 0 445.524 -0.899 20 0 IBADRN CCN(C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)[C@H](C)CS(C)(=O)=O ZINC000576234929 1072518318 /nfs/dbraw/zinc/51/83/18/1072518318.db2.gz ZKFYMXPNWBUWFW-CYBMUJFWSA-N 0 0 430.527 -0.361 20 0 IBADRN CCN(C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)[C@@H](C)CS(C)(=O)=O ZINC000576234930 1072518304 /nfs/dbraw/zinc/51/83/04/1072518304.db2.gz ZKFYMXPNWBUWFW-ZDUSSCGKSA-N 0 0 430.527 -0.361 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(Br)c(=O)n(C)c2)CC1 ZINC000576249566 1072518276 /nfs/dbraw/zinc/51/82/76/1072518276.db2.gz MNUUUVBBOPHCHJ-UHFFFAOYSA-N 0 0 442.314 -0.245 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc(Br)s2)CC1 ZINC000576250188 1072518113 /nfs/dbraw/zinc/51/81/13/1072518113.db2.gz HFBWQPOHSWOFLP-VIFPVBQESA-N 0 0 440.341 -0.126 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccn(Cc3ccccc3)n2)C1)C(N)=O ZINC000576254078 1072518325 /nfs/dbraw/zinc/51/83/25/1072518325.db2.gz MPCAENNONSUXSS-UHFFFAOYSA-N 0 0 440.504 0.489 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CCN(c3ccccc3)C2)CC1)N1CCOCC1 ZINC000576256593 1072518722 /nfs/dbraw/zinc/51/87/22/1072518722.db2.gz JLEGHTHPOMKCEW-IBGZPJMESA-N 0 0 443.548 -0.368 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CCN(c3ccccc3)C2)CC1)N1CCOCC1 ZINC000576256594 1072518749 /nfs/dbraw/zinc/51/87/49/1072518749.db2.gz JLEGHTHPOMKCEW-LJQANCHMSA-N 0 0 443.548 -0.368 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CC[C@H](C(=O)N4CCCC4)C3)nc2n(C)c1=O ZINC000576257100 1072518763 /nfs/dbraw/zinc/51/87/63/1072518763.db2.gz LWTQLXBYQHYGLQ-AWEZNQCLSA-N 0 0 428.493 0.462 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CC[C@@H](C(=O)N4CCCC4)C3)nc2n(C)c1=O ZINC000576257101 1072518733 /nfs/dbraw/zinc/51/87/33/1072518733.db2.gz LWTQLXBYQHYGLQ-CQSZACIVSA-N 0 0 428.493 0.462 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O)S(C)(=O)=O ZINC000576257587 1072518687 /nfs/dbraw/zinc/51/86/87/1072518687.db2.gz PFSRHBDWGGVVRH-LLVKDONJSA-N 0 0 430.449 -0.556 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O)S(C)(=O)=O ZINC000576257588 1072518740 /nfs/dbraw/zinc/51/87/40/1072518740.db2.gz PFSRHBDWGGVVRH-NSHDSACASA-N 0 0 430.449 -0.556 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000576260074 1072518635 /nfs/dbraw/zinc/51/86/35/1072518635.db2.gz UPTBVADQPBPBCZ-INIZCTEOSA-N 0 0 438.550 -0.267 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000576260075 1072518790 /nfs/dbraw/zinc/51/87/90/1072518790.db2.gz UPTBVADQPBPBCZ-MRXNPFEDSA-N 0 0 438.550 -0.267 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(Cc2ccccn2)CC1 ZINC000576260269 1072518561 /nfs/dbraw/zinc/51/85/61/1072518561.db2.gz ZTFIHAQDHJOILO-UHFFFAOYSA-N 0 0 441.579 -0.779 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)C1=O ZINC000576260938 1072518773 /nfs/dbraw/zinc/51/87/73/1072518773.db2.gz UGHAVMQYCKOSQL-KRWDZBQOSA-N 0 0 440.504 -0.005 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)C1=O ZINC000576260939 1072518663 /nfs/dbraw/zinc/51/86/63/1072518663.db2.gz UGHAVMQYCKOSQL-QGZVFWFLSA-N 0 0 440.504 -0.005 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccnc(N(C)C)n2)C1 ZINC000576261196 1072518674 /nfs/dbraw/zinc/51/86/74/1072518674.db2.gz GDLIDFNJSOFWRH-HNNXBMFYSA-N 0 0 442.542 -0.942 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccnc(N(C)C)n2)C1 ZINC000576261197 1072518647 /nfs/dbraw/zinc/51/86/47/1072518647.db2.gz GDLIDFNJSOFWRH-OAHLLOKOSA-N 0 0 442.542 -0.942 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000576262220 1072518698 /nfs/dbraw/zinc/51/86/98/1072518698.db2.gz YIAREPXPOWTULQ-LLVKDONJSA-N 0 0 449.580 -0.471 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000576262221 1072518781 /nfs/dbraw/zinc/51/87/81/1072518781.db2.gz YIAREPXPOWTULQ-NSHDSACASA-N 0 0 449.580 -0.471 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)cn1 ZINC000576262873 1072518572 /nfs/dbraw/zinc/51/85/72/1072518572.db2.gz UNSYJGCONRQQHG-AWEZNQCLSA-N 0 0 449.489 -0.085 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)cn1 ZINC000576262878 1072518582 /nfs/dbraw/zinc/51/85/82/1072518582.db2.gz UNSYJGCONRQQHG-CQSZACIVSA-N 0 0 449.489 -0.085 20 0 IBADRN CC(C)O[C@H]1C[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1(C)C ZINC000576264527 1072519108 /nfs/dbraw/zinc/51/91/08/1072519108.db2.gz ANFHJPNEQNYDIK-KBPBESRZSA-N 0 0 436.513 -0.817 20 0 IBADRN CC(C)O[C@H]1C[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1(C)C ZINC000576264528 1072519330 /nfs/dbraw/zinc/51/93/30/1072519330.db2.gz ANFHJPNEQNYDIK-KGLIPLIRSA-N 0 0 436.513 -0.817 20 0 IBADRN CC(C)O[C@@H]1C[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1(C)C ZINC000576264529 1072519317 /nfs/dbraw/zinc/51/93/17/1072519317.db2.gz ANFHJPNEQNYDIK-UONOGXRCSA-N 0 0 436.513 -0.817 20 0 IBADRN CC(C)O[C@@H]1C[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1(C)C ZINC000576264530 1072519260 /nfs/dbraw/zinc/51/92/60/1072519260.db2.gz ANFHJPNEQNYDIK-ZIAGYGMSSA-N 0 0 436.513 -0.817 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1ccc(Br)cc1CC(=O)OC ZINC000576268285 1072519195 /nfs/dbraw/zinc/51/91/95/1072519195.db2.gz OUDIYUMVPRRUNM-UHFFFAOYSA-N 0 0 429.314 -0.008 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)N(C)C)CC2)cc1 ZINC000576268859 1072519092 /nfs/dbraw/zinc/51/90/92/1072519092.db2.gz IMCCRJNLBIHDBP-UHFFFAOYSA-N 0 0 426.495 -0.033 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(-c3nncn3C)c2)CC1 ZINC000576270614 1072519419 /nfs/dbraw/zinc/51/94/19/1072519419.db2.gz BPWLSHBNBMAXDI-UHFFFAOYSA-N 0 0 435.510 -0.239 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(CCn3cncn3)CC2)cc1 ZINC000576270893 1072519064 /nfs/dbraw/zinc/51/90/64/1072519064.db2.gz KYNWNFWWLDIEOT-UHFFFAOYSA-N 0 0 435.510 -0.641 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)CC1 ZINC000576271046 1072519337 /nfs/dbraw/zinc/51/93/37/1072519337.db2.gz OYKICZDGRALLJF-UHFFFAOYSA-N 0 0 425.467 -0.589 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)C(=O)Nc2ccn(Cc3ccccc3)n2)cc1 ZINC000576279444 1073317068 /nfs/dbraw/zinc/31/70/68/1073317068.db2.gz YWWDFBMRHONZQU-UHFFFAOYSA-N 0 0 434.456 0.401 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)cn1 ZINC000576282532 1072519290 /nfs/dbraw/zinc/51/92/90/1072519290.db2.gz JFGPUYRWKNGGSC-DLBZAZTESA-N 0 0 436.513 -0.656 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)cn1 ZINC000576282533 1072519028 /nfs/dbraw/zinc/51/90/28/1072519028.db2.gz JFGPUYRWKNGGSC-IAGOWNOFSA-N 0 0 436.513 -0.656 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)cn1 ZINC000576282534 1072519390 /nfs/dbraw/zinc/51/93/90/1072519390.db2.gz JFGPUYRWKNGGSC-IRXDYDNUSA-N 0 0 436.513 -0.656 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)cn1 ZINC000576282535 1072519237 /nfs/dbraw/zinc/51/92/37/1072519237.db2.gz JFGPUYRWKNGGSC-SJORKVTESA-N 0 0 436.513 -0.656 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1)NC1CC1 ZINC000576296602 1072519128 /nfs/dbraw/zinc/51/91/28/1072519128.db2.gz YFYPIHIEZFHPPJ-FQEVSTJZSA-N 0 0 443.548 -0.401 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1)NC1CC1 ZINC000576296603 1072519357 /nfs/dbraw/zinc/51/93/57/1072519357.db2.gz YFYPIHIEZFHPPJ-HXUWFJFHSA-N 0 0 443.548 -0.401 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@H](COC)C2)c1 ZINC000576302063 1072519977 /nfs/dbraw/zinc/51/99/77/1072519977.db2.gz YOYGSTMZTMKATR-HNNXBMFYSA-N 0 0 429.495 -0.899 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@@H](COC)C2)c1 ZINC000576302064 1072519710 /nfs/dbraw/zinc/51/97/10/1072519710.db2.gz YOYGSTMZTMKATR-OAHLLOKOSA-N 0 0 429.495 -0.899 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)s1 ZINC000576302228 1072519982 /nfs/dbraw/zinc/51/99/82/1072519982.db2.gz CZJVFVOAQJSEQR-UHFFFAOYSA-N 0 0 449.518 -0.128 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)C1 ZINC000576302630 1072519907 /nfs/dbraw/zinc/51/99/07/1072519907.db2.gz RWWPCJUEQMVLJP-MRVPVSSYSA-N 0 0 426.494 -0.367 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)C1 ZINC000576302631 1072519883 /nfs/dbraw/zinc/51/98/83/1072519883.db2.gz RWWPCJUEQMVLJP-QMMMGPOBSA-N 0 0 426.494 -0.367 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cc(-c4cccnc4)nn3CCO)c2c(=O)n(C)c1=O ZINC000576304650 1072519962 /nfs/dbraw/zinc/51/99/62/1072519962.db2.gz RUFDLSHMLMHREN-UHFFFAOYSA-N 0 0 438.448 -0.287 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccco4)CC3)C2=O)n(C)n1 ZINC000576304979 1072519917 /nfs/dbraw/zinc/51/99/17/1072519917.db2.gz JPKQNMIIGWHUHN-AWEZNQCLSA-N 0 0 428.449 -0.472 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccco4)CC3)C2=O)n(C)n1 ZINC000576304980 1072519872 /nfs/dbraw/zinc/51/98/72/1072519872.db2.gz JPKQNMIIGWHUHN-CQSZACIVSA-N 0 0 428.449 -0.472 20 0 IBADRN CC[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)Oc1cccc(F)c1 ZINC000576308504 1072519783 /nfs/dbraw/zinc/51/97/83/1072519783.db2.gz UWGKXFWNFRMKTB-HNNXBMFYSA-N 0 0 433.444 -0.543 20 0 IBADRN CC[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)Oc1cccc(F)c1 ZINC000576308505 1072519830 /nfs/dbraw/zinc/51/98/30/1072519830.db2.gz UWGKXFWNFRMKTB-OAHLLOKOSA-N 0 0 433.444 -0.543 20 0 IBADRN COC(=O)c1ccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)o1 ZINC000576310526 1072519851 /nfs/dbraw/zinc/51/98/51/1072519851.db2.gz CMTQVTZBBZOTFQ-UHFFFAOYSA-N 0 0 430.483 -0.093 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2-c1nc(CC(=O)NCCN2CCOCC2)no1 ZINC000576313140 1072519898 /nfs/dbraw/zinc/51/98/98/1072519898.db2.gz VYYOWLDTKOQMKF-UHFFFAOYSA-N 0 0 446.489 -0.077 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)CCC2 ZINC000576314099 1072519737 /nfs/dbraw/zinc/51/97/37/1072519737.db2.gz DQIBSUYABFLYFI-GDBMZVCRSA-N 0 0 441.554 -0.189 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)CCC2 ZINC000576314100 1072519863 /nfs/dbraw/zinc/51/98/63/1072519863.db2.gz DQIBSUYABFLYFI-GOEBONIOSA-N 0 0 441.554 -0.189 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)CCC2 ZINC000576314101 1072519971 /nfs/dbraw/zinc/51/99/71/1072519971.db2.gz DQIBSUYABFLYFI-HOCLYGCPSA-N 0 0 441.554 -0.189 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)CCC2 ZINC000576314102 1072519838 /nfs/dbraw/zinc/51/98/38/1072519838.db2.gz DQIBSUYABFLYFI-ZBFHGGJFSA-N 0 0 441.554 -0.189 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)CC1 ZINC000576315082 1072520462 /nfs/dbraw/zinc/52/04/62/1072520462.db2.gz QAUDAHMOXQMAQM-UHFFFAOYSA-N 0 0 449.596 -0.240 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1OC ZINC000576316300 1072520356 /nfs/dbraw/zinc/52/03/56/1072520356.db2.gz LGNZYJHRSQQWEA-UHFFFAOYSA-N 0 0 435.524 -0.015 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc4c3OCC(=O)N4)CC2)no1 ZINC000576318631 1072520320 /nfs/dbraw/zinc/52/03/20/1072520320.db2.gz VMHSXHQGVKXSHO-UHFFFAOYSA-N 0 0 442.432 0.035 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3ccccc3)C2)CC1 ZINC000576333895 1072520374 /nfs/dbraw/zinc/52/03/74/1072520374.db2.gz AEYVZEGTLRFQKF-KRWDZBQOSA-N 0 0 440.508 -0.623 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3ccccc3)C2)CC1 ZINC000576333906 1072520455 /nfs/dbraw/zinc/52/04/55/1072520455.db2.gz AEYVZEGTLRFQKF-QGZVFWFLSA-N 0 0 440.508 -0.623 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1)NC1CC1 ZINC000576335685 1072520480 /nfs/dbraw/zinc/52/04/80/1072520480.db2.gz YABIODHODNNWJC-KRWDZBQOSA-N 0 0 447.923 -0.016 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1)NC1CC1 ZINC000576335688 1072520287 /nfs/dbraw/zinc/52/02/87/1072520287.db2.gz YABIODHODNNWJC-QGZVFWFLSA-N 0 0 447.923 -0.016 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C3CC3)n(C)n2)CC1 ZINC000576352814 1073318820 /nfs/dbraw/zinc/31/88/20/1073318820.db2.gz ZKJSWYORSDERTG-UHFFFAOYSA-N 0 0 427.527 0.135 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)c2ccc(C(N)=O)nc2)CC3)CC1 ZINC000576356130 1072520178 /nfs/dbraw/zinc/52/01/78/1072520178.db2.gz RTIMNBGZBZUGMW-AWEZNQCLSA-N 0 0 425.493 -0.243 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)c2ccc(C(N)=O)nc2)CC3)CC1 ZINC000576356131 1072520220 /nfs/dbraw/zinc/52/02/20/1072520220.db2.gz RTIMNBGZBZUGMW-CQSZACIVSA-N 0 0 425.493 -0.243 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2c[nH]c3ccccc23)CC1)N1CCOCC1 ZINC000576363340 1072520306 /nfs/dbraw/zinc/52/03/06/1072520306.db2.gz DJSPCRBARRVGDO-UHFFFAOYSA-N 0 0 427.505 -0.170 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)Nc1ccccc1 ZINC000576369324 1072520204 /nfs/dbraw/zinc/52/02/04/1072520204.db2.gz KOKWLZTUUKPMOI-UHFFFAOYSA-N 0 0 431.493 -0.866 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)N2CCOCC2)cc1OC ZINC000576372062 1072520811 /nfs/dbraw/zinc/52/08/11/1072520811.db2.gz YBGQAVPRJOXQLW-AOMKIAJQSA-N 0 0 448.520 -0.083 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)N2CCOCC2)cc1OC ZINC000576372063 1072521015 /nfs/dbraw/zinc/52/10/15/1072521015.db2.gz YBGQAVPRJOXQLW-KSFYIVLOSA-N 0 0 448.520 -0.083 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)N2CCOCC2)cc1OC ZINC000576372064 1072520773 /nfs/dbraw/zinc/52/07/73/1072520773.db2.gz YBGQAVPRJOXQLW-OPAMFIHVSA-N 0 0 448.520 -0.083 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)N2CCOCC2)cc1OC ZINC000576372065 1072520938 /nfs/dbraw/zinc/52/09/38/1072520938.db2.gz YBGQAVPRJOXQLW-ZHRRBRCNSA-N 0 0 448.520 -0.083 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(OCCN2C[C@@H](C)O[C@H](C)C2)CC1)S(C)(=O)=O ZINC000576372846 1072520950 /nfs/dbraw/zinc/52/09/50/1072520950.db2.gz KFCJWYWZFVGPLK-BZUAXINKSA-N 0 0 433.571 -0.348 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(OCCN2C[C@@H](C)O[C@H](C)C2)CC1)S(C)(=O)=O ZINC000576372851 1072520731 /nfs/dbraw/zinc/52/07/31/1072520731.db2.gz KFCJWYWZFVGPLK-OAGGEKHMSA-N 0 0 433.571 -0.348 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(OCCN2C[C@@H](C)O[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000576372854 1072520853 /nfs/dbraw/zinc/52/08/53/1072520853.db2.gz KFCJWYWZFVGPLK-OWCLPIDISA-N 0 0 433.571 -0.348 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(OCCN2C[C@@H](C)O[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000576372856 1072520675 /nfs/dbraw/zinc/52/06/75/1072520675.db2.gz KFCJWYWZFVGPLK-XHSDSOJGSA-N 0 0 433.571 -0.348 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCCNC(=O)c3ccc(C)c(F)c3)C2=O)n(C)n1 ZINC000576375840 1072520762 /nfs/dbraw/zinc/52/07/62/1072520762.db2.gz OGWARKKJWJFPDD-INIZCTEOSA-N 0 0 444.467 -0.056 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCCNC(=O)c3ccc(C)c(F)c3)C2=O)n(C)n1 ZINC000576375841 1072520984 /nfs/dbraw/zinc/52/09/84/1072520984.db2.gz OGWARKKJWJFPDD-MRXNPFEDSA-N 0 0 444.467 -0.056 20 0 IBADRN CC(=O)N1CCN(C(=O)CS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000576378946 1072520925 /nfs/dbraw/zinc/52/09/25/1072520925.db2.gz ROQHUKIDOMNDSN-UHFFFAOYSA-N 0 0 443.547 -0.065 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000576379077 1072520992 /nfs/dbraw/zinc/52/09/92/1072520992.db2.gz VAMGYNAHESVYAG-FOIQADDNSA-N 0 0 429.481 -0.525 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000576379078 1072520827 /nfs/dbraw/zinc/52/08/27/1072520827.db2.gz VAMGYNAHESVYAG-MGPUTAFESA-N 0 0 429.481 -0.525 20 0 IBADRN O=C(NC[C@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000576379079 1072520717 /nfs/dbraw/zinc/52/07/17/1072520717.db2.gz VAMGYNAHESVYAG-QRWLVFNGSA-N 0 0 429.481 -0.525 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2nccn3cnnc23)C1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000576379081 1072520841 /nfs/dbraw/zinc/52/08/41/1072520841.db2.gz VAMGYNAHESVYAG-YWZLYKJASA-N 0 0 429.481 -0.525 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCc1cccc(S(N)(=O)=O)c1 ZINC000576381584 1072520884 /nfs/dbraw/zinc/52/08/84/1072520884.db2.gz WOJUBQKWOLMCOI-AWEZNQCLSA-N 0 0 433.556 -0.206 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCc1cccc(S(N)(=O)=O)c1 ZINC000576381585 1072521369 /nfs/dbraw/zinc/52/13/69/1072521369.db2.gz WOJUBQKWOLMCOI-CQSZACIVSA-N 0 0 433.556 -0.206 20 0 IBADRN CC(C)(C)C(=O)NC[C@H]1CCCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000576383959 1072521448 /nfs/dbraw/zinc/52/14/48/1072521448.db2.gz DDEMKBWLQSUWKS-HUUCEWRRSA-N 0 0 430.571 -0.073 20 0 IBADRN CC(C)(C)C(=O)NC[C@@H]1CCCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000576383964 1072521463 /nfs/dbraw/zinc/52/14/63/1072521463.db2.gz DDEMKBWLQSUWKS-LSDHHAIUSA-N 0 0 430.571 -0.073 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000576386635 1072521323 /nfs/dbraw/zinc/52/13/23/1072521323.db2.gz IUGCYSSXGCRTLW-UHFFFAOYSA-N 0 0 437.478 -0.947 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)cn2n1 ZINC000576389898 1071594029 /nfs/dbraw/zinc/59/40/29/1071594029.db2.gz HJBHCOXOXRWKEP-AWEZNQCLSA-N 0 0 429.481 -0.632 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)cn2n1 ZINC000576389899 1071594038 /nfs/dbraw/zinc/59/40/38/1071594038.db2.gz HJBHCOXOXRWKEP-CQSZACIVSA-N 0 0 429.481 -0.632 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)ccc1C ZINC000576391886 1072521354 /nfs/dbraw/zinc/52/13/54/1072521354.db2.gz RRLNVPANOVBYPO-UHFFFAOYSA-N 0 0 429.433 0.414 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000576392428 1072521341 /nfs/dbraw/zinc/52/13/41/1072521341.db2.gz KVIDEBHRGWJQNY-HNNXBMFYSA-N 0 0 446.551 -0.146 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000576392429 1072521445 /nfs/dbraw/zinc/52/14/45/1072521445.db2.gz KVIDEBHRGWJQNY-OAHLLOKOSA-N 0 0 446.551 -0.146 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000576392572 1072521419 /nfs/dbraw/zinc/52/14/19/1072521419.db2.gz RUKZDMMDUKBGDU-DOTOQJQBSA-N 0 0 443.522 -0.204 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000576392574 1072521497 /nfs/dbraw/zinc/52/14/97/1072521497.db2.gz RUKZDMMDUKBGDU-NVXWUHKLSA-N 0 0 443.522 -0.204 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000576392575 1072521264 /nfs/dbraw/zinc/52/12/64/1072521264.db2.gz RUKZDMMDUKBGDU-RDJZCZTQSA-N 0 0 443.522 -0.204 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000576392576 1072521436 /nfs/dbraw/zinc/52/14/36/1072521436.db2.gz RUKZDMMDUKBGDU-WBVHZDCISA-N 0 0 443.522 -0.204 20 0 IBADRN O=C(NCCCn1cnc2ccccc21)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000576414140 1072521313 /nfs/dbraw/zinc/52/13/13/1072521313.db2.gz GXMQCXWBPXVSEY-UHFFFAOYSA-N 0 0 426.477 -0.508 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000576415385 1071594688 /nfs/dbraw/zinc/59/46/88/1071594688.db2.gz AGOWBPRQMOVGMT-UHFFFAOYSA-N 0 0 433.509 -0.370 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c1 ZINC000576415397 1071594517 /nfs/dbraw/zinc/59/45/17/1071594517.db2.gz ASXPTQSFQKYEEW-UHFFFAOYSA-N 0 0 431.474 -0.670 20 0 IBADRN O=C(NCCCN1C(=O)c2ccccc2C1=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000576419254 1072521302 /nfs/dbraw/zinc/52/13/02/1072521302.db2.gz JUOZPBHLMQCNDU-KBPBESRZSA-N 0 0 433.486 -0.176 20 0 IBADRN O=C(NCCCN1C(=O)c2ccccc2C1=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000576419255 1072521428 /nfs/dbraw/zinc/52/14/28/1072521428.db2.gz JUOZPBHLMQCNDU-KGLIPLIRSA-N 0 0 433.486 -0.176 20 0 IBADRN O=C(NCCCN1C(=O)c2ccccc2C1=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000576419256 1072521276 /nfs/dbraw/zinc/52/12/76/1072521276.db2.gz JUOZPBHLMQCNDU-UONOGXRCSA-N 0 0 433.486 -0.176 20 0 IBADRN O=C(NCCCN1C(=O)c2ccccc2C1=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000576419411 1072521393 /nfs/dbraw/zinc/52/13/93/1072521393.db2.gz JUOZPBHLMQCNDU-ZIAGYGMSSA-N 0 0 433.486 -0.176 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)c1ccco1 ZINC000576420326 1072521250 /nfs/dbraw/zinc/52/12/50/1072521250.db2.gz ZSMHHNOQJLKBFA-HNNXBMFYSA-N 0 0 430.465 -0.272 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)c1ccco1 ZINC000576420327 1072521379 /nfs/dbraw/zinc/52/13/79/1072521379.db2.gz ZSMHHNOQJLKBFA-OAHLLOKOSA-N 0 0 430.465 -0.272 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000576420905 1072521405 /nfs/dbraw/zinc/52/14/05/1072521405.db2.gz QJENZKHWDLXISB-UHFFFAOYSA-N 0 0 430.483 -0.649 20 0 IBADRN CC(CNC(=O)[C@@H]1COCCN1CC(F)F)CNC(=O)[C@@H]1COCCN1CC(F)F ZINC000576424392 1072521847 /nfs/dbraw/zinc/52/18/47/1072521847.db2.gz DJHFDSFEMFCBOJ-KBPBESRZSA-N 0 0 442.454 -0.213 20 0 IBADRN CC(CNC(=O)[C@H]1COCCN1CC(F)F)CNC(=O)[C@H]1COCCN1CC(F)F ZINC000576424393 1072521822 /nfs/dbraw/zinc/52/18/22/1072521822.db2.gz DJHFDSFEMFCBOJ-ZIAGYGMSSA-N 0 0 442.454 -0.213 20 0 IBADRN C[C@H](CNC(=O)[C@H]1COCCN1CC(F)F)CNC(=O)[C@@H]1COCCN1CC(F)F ZINC000576424394 1072521780 /nfs/dbraw/zinc/52/17/80/1072521780.db2.gz DJHFDSFEMFCBOJ-ZSOGYDGISA-N 0 0 442.454 -0.213 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c(-n2cc(Cl)cn2)n1 ZINC000576428341 1072521677 /nfs/dbraw/zinc/52/16/77/1072521677.db2.gz HWXORKRMOMNBRO-CYBMUJFWSA-N 0 0 426.886 -0.265 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)c(-n2cc(Cl)cn2)n1 ZINC000576428342 1072521689 /nfs/dbraw/zinc/52/16/89/1072521689.db2.gz HWXORKRMOMNBRO-ZDUSSCGKSA-N 0 0 426.886 -0.265 20 0 IBADRN Cc1onc(N)c1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000576432791 1072521891 /nfs/dbraw/zinc/52/18/91/1072521891.db2.gz CTDVGAWBFNXURE-UHFFFAOYSA-N 0 0 430.464 -0.312 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NCCN1CCCS1(=O)=O ZINC000576436218 1071595045 /nfs/dbraw/zinc/59/50/45/1071595045.db2.gz PXGLWLZWBKZZHO-UHFFFAOYSA-N 0 0 440.341 -0.121 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccccc2OCCC(N)=O)CC1 ZINC000576436955 1072521739 /nfs/dbraw/zinc/52/17/39/1072521739.db2.gz IXDCLWCFNPWQEV-UHFFFAOYSA-N 0 0 441.510 -0.780 20 0 IBADRN CN(CCNC(=O)CNS(=O)(=O)c1cn(C)cn1)S(=O)(=O)c1ccc(F)cc1 ZINC000576438906 1071595080 /nfs/dbraw/zinc/59/50/80/1071595080.db2.gz XHVRMPVARSCPSD-UHFFFAOYSA-N 0 0 433.487 -0.726 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)[C@H](CCO)C2)C[C@@H](C)O1 ZINC000576455818 1072522173 /nfs/dbraw/zinc/52/21/73/1072522173.db2.gz JGUBFEXUWCUUHU-LTFPLMDUSA-N 0 0 440.585 -0.373 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)[C@@H](CCO)C2)C[C@@H](C)O1 ZINC000576455820 1072522195 /nfs/dbraw/zinc/52/21/95/1072522195.db2.gz JGUBFEXUWCUUHU-UEDWAMCQSA-N 0 0 440.585 -0.373 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)[C@H](CCO)C2)C[C@H](C)O1 ZINC000576455822 1072522286 /nfs/dbraw/zinc/52/22/86/1072522286.db2.gz JGUBFEXUWCUUHU-UJMXGEILSA-N 0 0 440.585 -0.373 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)[C@@H](CCO)C2)C[C@H](C)O1 ZINC000576455824 1072522159 /nfs/dbraw/zinc/52/21/59/1072522159.db2.gz JGUBFEXUWCUUHU-YHDCXSKOSA-N 0 0 440.585 -0.373 20 0 IBADRN O=C(Cc1noc(-c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)n1)NCCN1CCOCC1 ZINC000576457068 1072522308 /nfs/dbraw/zinc/52/23/08/1072522308.db2.gz MVNVWOZFPKOETI-UHFFFAOYSA-N 0 0 441.448 -0.127 20 0 IBADRN COc1ccccc1O[C@@H](C)CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000576475591 1072522296 /nfs/dbraw/zinc/52/22/96/1072522296.db2.gz LISSJAGGKHGZPX-KRWDZBQOSA-N 0 0 448.520 -0.418 20 0 IBADRN COc1ccccc1O[C@H](C)CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000576475593 1072522273 /nfs/dbraw/zinc/52/22/73/1072522273.db2.gz LISSJAGGKHGZPX-QGZVFWFLSA-N 0 0 448.520 -0.418 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000576484047 1072522252 /nfs/dbraw/zinc/52/22/52/1072522252.db2.gz IBFKPOHDGOFMDA-UHFFFAOYSA-N 0 0 447.584 -0.326 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000576489680 1072522130 /nfs/dbraw/zinc/52/21/30/1072522130.db2.gz LEVSCDSKIWWQDP-OAHLLOKOSA-N 0 0 427.527 -0.119 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN([C@H](C)c3ccc(F)cc3F)CC2)n1 ZINC000576495271 1073313906 /nfs/dbraw/zinc/31/39/06/1073313906.db2.gz LEBMJUBSFAUWHC-GFCCVEGCSA-N 0 0 435.435 0.146 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN([C@@H](C)c3ccc(F)cc3F)CC2)n1 ZINC000576495276 1073313952 /nfs/dbraw/zinc/31/39/52/1073313952.db2.gz LEBMJUBSFAUWHC-LBPRGKRZSA-N 0 0 435.435 0.146 20 0 IBADRN C[C@@H]1CCc2ccccc2[C@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000576495516 1072522301 /nfs/dbraw/zinc/52/23/01/1072522301.db2.gz NNNLNWFDGYKUEJ-APWZRJJASA-N 0 0 442.516 -0.044 20 0 IBADRN C[C@H]1CCc2ccccc2[C@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000576495525 1072522180 /nfs/dbraw/zinc/52/21/80/1072522180.db2.gz NNNLNWFDGYKUEJ-LPHOPBHVSA-N 0 0 442.516 -0.044 20 0 IBADRN C[C@H]1CCc2ccccc2[C@@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000576495526 1072522320 /nfs/dbraw/zinc/52/23/20/1072522320.db2.gz NNNLNWFDGYKUEJ-QFBILLFUSA-N 0 0 442.516 -0.044 20 0 IBADRN C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000576495527 1072522620 /nfs/dbraw/zinc/52/26/20/1072522620.db2.gz NNNLNWFDGYKUEJ-VQIMIIECSA-N 0 0 442.516 -0.044 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cn1 ZINC000576498894 1072522586 /nfs/dbraw/zinc/52/25/86/1072522586.db2.gz DFFLGHUIZRUCBN-HNNXBMFYSA-N 0 0 443.526 -0.178 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cn1 ZINC000576498902 1072522696 /nfs/dbraw/zinc/52/26/96/1072522696.db2.gz DFFLGHUIZRUCBN-OAHLLOKOSA-N 0 0 443.526 -0.178 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)C1 ZINC000576499052 1072522555 /nfs/dbraw/zinc/52/25/55/1072522555.db2.gz ZHUUTBXGTSGJSA-CABCVRRESA-N 0 0 426.543 -0.566 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)C1 ZINC000576499058 1072522646 /nfs/dbraw/zinc/52/26/46/1072522646.db2.gz ZHUUTBXGTSGJSA-GJZGRUSLSA-N 0 0 426.543 -0.566 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)C1 ZINC000576499060 1072522631 /nfs/dbraw/zinc/52/26/31/1072522631.db2.gz ZHUUTBXGTSGJSA-HUUCEWRRSA-N 0 0 426.543 -0.566 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)C1 ZINC000576499061 1072522614 /nfs/dbraw/zinc/52/26/14/1072522614.db2.gz ZHUUTBXGTSGJSA-LSDHHAIUSA-N 0 0 426.543 -0.566 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1OC ZINC000576499802 1072522718 /nfs/dbraw/zinc/52/27/18/1072522718.db2.gz LMDOHWYERGLKBL-UHFFFAOYSA-N 0 0 435.524 -0.015 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)C2=O)cn1 ZINC000576502993 1072522675 /nfs/dbraw/zinc/52/26/75/1072522675.db2.gz BPYSUHBKLHYWRT-INIZCTEOSA-N 0 0 446.489 -0.279 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)C2=O)cn1 ZINC000576502995 1072522692 /nfs/dbraw/zinc/52/26/92/1072522692.db2.gz BPYSUHBKLHYWRT-MRXNPFEDSA-N 0 0 446.489 -0.279 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN(Cc2nc(COC)no2)CC1 ZINC000576503049 1072522703 /nfs/dbraw/zinc/52/27/03/1072522703.db2.gz CGMAXKBCHYYPLI-UHFFFAOYSA-N 0 0 435.485 -0.519 20 0 IBADRN C[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCS1(=O)=O ZINC000576505122 1073660222 /nfs/dbraw/zinc/66/02/22/1073660222.db2.gz GDIRCHHBPHHVHO-HNNXBMFYSA-N 0 0 445.563 -0.557 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)N2C[C@@H]3CCCN(C(=O)[C@@H]4CC[C@H](C(=O)OC)O4)[C@@H]3C2)O1 ZINC000576509108 1072522638 /nfs/dbraw/zinc/52/26/38/1072522638.db2.gz ROXLRMLSRVFNSF-RHJCGQNESA-N 0 0 438.477 -0.123 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2nc(-c3nccn3C)cs2)CC1 ZINC000576509290 1072522595 /nfs/dbraw/zinc/52/25/95/1072522595.db2.gz VYIKQXFUZNQRRU-UHFFFAOYSA-N 0 0 441.539 -0.177 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000576509450 1072522653 /nfs/dbraw/zinc/52/26/53/1072522653.db2.gz XMCHCZBMWJJRAX-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000576510267 1072522570 /nfs/dbraw/zinc/52/25/70/1072522570.db2.gz CNUJYLTXKOSTFC-UHFFFAOYSA-N 0 0 444.558 -0.235 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CNS(=O)(=O)c3cccc(F)c3)CC2)n1 ZINC000576511278 1072522537 /nfs/dbraw/zinc/52/25/37/1072522537.db2.gz WNTXMPCSXNJZHG-UHFFFAOYSA-N 0 0 427.458 -0.022 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000576522319 1072522712 /nfs/dbraw/zinc/52/27/12/1072522712.db2.gz DOLHKYNPLMRVHT-UHFFFAOYSA-N 0 0 426.477 -0.091 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000576533331 1072523078 /nfs/dbraw/zinc/52/30/78/1072523078.db2.gz ULYQNYHWCWLHHR-INIZCTEOSA-N 0 0 441.506 -0.185 20 0 IBADRN Cc1nnc([C@H]2CCCN(C(=O)C(=O)NCCCN3CCN(c4ncccn4)CC3)C2)[nH]1 ZINC000576533473 1072522948 /nfs/dbraw/zinc/52/29/48/1072522948.db2.gz MGVUIMSLXYBFNN-KRWDZBQOSA-N 0 0 441.540 -0.062 20 0 IBADRN Cc1nnc([C@@H]2CCCN(C(=O)C(=O)NCCCN3CCN(c4ncccn4)CC3)C2)[nH]1 ZINC000576533475 1072523007 /nfs/dbraw/zinc/52/30/07/1072523007.db2.gz MGVUIMSLXYBFNN-QGZVFWFLSA-N 0 0 441.540 -0.062 20 0 IBADRN COc1cccc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)C2)c1 ZINC000576537740 1072523093 /nfs/dbraw/zinc/52/30/93/1072523093.db2.gz RPYXGUWJBURGKI-INIZCTEOSA-N 0 0 440.504 -0.167 20 0 IBADRN COc1cccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)C2)c1 ZINC000576537744 1072523054 /nfs/dbraw/zinc/52/30/54/1072523054.db2.gz RPYXGUWJBURGKI-MRXNPFEDSA-N 0 0 440.504 -0.167 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCc1ccn(-c2ccccc2)n1 ZINC000576537752 1072523085 /nfs/dbraw/zinc/52/30/85/1072523085.db2.gz RSJJCNHYUGTFLL-UHFFFAOYSA-N 0 0 446.489 -0.245 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000576539027 1072523067 /nfs/dbraw/zinc/52/30/67/1072523067.db2.gz RLVJPJCVAXEXBV-UHFFFAOYSA-N 0 0 430.552 -0.174 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CCN(c2ncccc2F)C1 ZINC000576544861 1072523130 /nfs/dbraw/zinc/52/31/30/1072523130.db2.gz YPRVAHCGRXVOLP-GFCCVEGCSA-N 0 0 440.457 -0.861 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@H]1CCN(c2ncccc2F)C1 ZINC000576544864 1072523045 /nfs/dbraw/zinc/52/30/45/1072523045.db2.gz YPRVAHCGRXVOLP-LBPRGKRZSA-N 0 0 440.457 -0.861 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000576545632 1072523104 /nfs/dbraw/zinc/52/31/04/1072523104.db2.gz BABXBFOWYCEJSY-INIZCTEOSA-N 0 0 435.912 -0.158 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)CC1 ZINC000576545636 1072522983 /nfs/dbraw/zinc/52/29/83/1072522983.db2.gz BABXBFOWYCEJSY-MRXNPFEDSA-N 0 0 435.912 -0.158 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1 ZINC000576545935 1072523120 /nfs/dbraw/zinc/52/31/20/1072523120.db2.gz HVQZDWLTMCONFP-KRWDZBQOSA-N 0 0 436.534 -0.294 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1 ZINC000576545937 1072522965 /nfs/dbraw/zinc/52/29/65/1072522965.db2.gz HVQZDWLTMCONFP-QGZVFWFLSA-N 0 0 436.534 -0.294 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000576547306 1072523029 /nfs/dbraw/zinc/52/30/29/1072523029.db2.gz GGWPDYMJPJRIGK-UHFFFAOYSA-N 0 0 443.551 -0.311 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc2[nH]ccc21 ZINC000576548075 1072522996 /nfs/dbraw/zinc/52/29/96/1072522996.db2.gz QFLMTFXMNJZLEW-UHFFFAOYSA-N 0 0 441.444 -0.158 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)s1 ZINC000576548441 1072522934 /nfs/dbraw/zinc/52/29/34/1072522934.db2.gz UFYATIWBNMXKPI-CHWSQXEVSA-N 0 0 428.536 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)s1 ZINC000576548443 1072523113 /nfs/dbraw/zinc/52/31/13/1072523113.db2.gz UFYATIWBNMXKPI-OLZOCXBDSA-N 0 0 428.536 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)s1 ZINC000576548444 1072523022 /nfs/dbraw/zinc/52/30/22/1072523022.db2.gz UFYATIWBNMXKPI-QWHCGFSZSA-N 0 0 428.536 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)s1 ZINC000576548445 1072522977 /nfs/dbraw/zinc/52/29/77/1072522977.db2.gz UFYATIWBNMXKPI-STQMWFEESA-N 0 0 428.536 -0.279 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCC(O)(C(N)=O)CC2)o1 ZINC000576551542 1072523653 /nfs/dbraw/zinc/52/36/53/1072523653.db2.gz CXVMNWYEMBIINM-GFCCVEGCSA-N 0 0 443.478 -0.552 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCC(O)(C(N)=O)CC2)o1 ZINC000576551543 1072523429 /nfs/dbraw/zinc/52/34/29/1072523429.db2.gz CXVMNWYEMBIINM-LBPRGKRZSA-N 0 0 443.478 -0.552 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2)n(C)n1 ZINC000576552376 1072523514 /nfs/dbraw/zinc/52/35/14/1072523514.db2.gz IUEKGLVOMXNTSZ-KRWDZBQOSA-N 0 0 431.541 -0.764 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2)n(C)n1 ZINC000576552377 1072523536 /nfs/dbraw/zinc/52/35/36/1072523536.db2.gz IUEKGLVOMXNTSZ-QGZVFWFLSA-N 0 0 431.541 -0.764 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCN1CCc2c(Cl)cccc2C1 ZINC000576552781 1072523491 /nfs/dbraw/zinc/52/34/91/1072523491.db2.gz NOEPVNIBSCZJRG-KRWDZBQOSA-N 0 0 438.956 -0.034 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCN1CCc2c(Cl)cccc2C1 ZINC000576552784 1072523472 /nfs/dbraw/zinc/52/34/72/1072523472.db2.gz NOEPVNIBSCZJRG-QGZVFWFLSA-N 0 0 438.956 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1C(N)=O ZINC000576558441 1072523504 /nfs/dbraw/zinc/52/35/04/1072523504.db2.gz HMXOFRCXASNKDP-UHFFFAOYSA-N 0 0 429.480 -0.788 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)n1 ZINC000576570438 1072523678 /nfs/dbraw/zinc/52/36/78/1072523678.db2.gz DTICVJPHRKWBBZ-UHFFFAOYSA-N 0 0 437.570 -0.446 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000576572066 1072523559 /nfs/dbraw/zinc/52/35/59/1072523559.db2.gz CCZKPTWBKXGYBN-AWEZNQCLSA-N 0 0 425.511 -0.269 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000576572067 1072523608 /nfs/dbraw/zinc/52/36/08/1072523608.db2.gz CCZKPTWBKXGYBN-CQSZACIVSA-N 0 0 425.511 -0.269 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000576573815 1072523524 /nfs/dbraw/zinc/52/35/24/1072523524.db2.gz VFGCFMMWWQRPJV-UHFFFAOYSA-N 0 0 448.505 -0.634 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)Cn4cnnn4)CC3)n2C[C@@H]2CCCO2)cn1 ZINC000576601787 1072524172 /nfs/dbraw/zinc/52/41/72/1072524172.db2.gz NYKUJVJVUXRFKS-HNNXBMFYSA-N 0 0 427.473 -0.807 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)Cn4cnnn4)CC3)n2C[C@H]2CCCO2)cn1 ZINC000576601788 1072524013 /nfs/dbraw/zinc/52/40/13/1072524013.db2.gz NYKUJVJVUXRFKS-OAHLLOKOSA-N 0 0 427.473 -0.807 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)N2CCOCC2)c1 ZINC000576603486 1072524094 /nfs/dbraw/zinc/52/40/94/1072524094.db2.gz QCDRGKVVMDJUCN-FQEVSTJZSA-N 0 0 445.564 -0.235 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)N2CCOCC2)c1 ZINC000576603488 1072524147 /nfs/dbraw/zinc/52/41/47/1072524147.db2.gz QCDRGKVVMDJUCN-HXUWFJFHSA-N 0 0 445.564 -0.235 20 0 IBADRN Cn1ncc2c1CCC[C@@H]2NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000576603714 1072524003 /nfs/dbraw/zinc/52/40/03/1072524003.db2.gz HZYMREUDAXWYKC-INIZCTEOSA-N 0 0 440.508 -0.441 20 0 IBADRN Cn1ncc2c1CCC[C@H]2NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000576603719 1072524114 /nfs/dbraw/zinc/52/41/14/1072524114.db2.gz HZYMREUDAXWYKC-MRXNPFEDSA-N 0 0 440.508 -0.441 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCc3n[nH]cc3C2)C1 ZINC000576604357 1072523981 /nfs/dbraw/zinc/52/39/81/1072523981.db2.gz SFRIUDIIFQGDOH-CABCVRRESA-N 0 0 427.527 -0.718 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCc3n[nH]cc3C2)C1 ZINC000576604358 1072523942 /nfs/dbraw/zinc/52/39/42/1072523942.db2.gz SFRIUDIIFQGDOH-GJZGRUSLSA-N 0 0 427.527 -0.718 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCc3n[nH]cc3C2)C1 ZINC000576604359 1072524138 /nfs/dbraw/zinc/52/41/38/1072524138.db2.gz SFRIUDIIFQGDOH-HUUCEWRRSA-N 0 0 427.527 -0.718 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCc3n[nH]cc3C2)C1 ZINC000576604360 1072524179 /nfs/dbraw/zinc/52/41/79/1072524179.db2.gz SFRIUDIIFQGDOH-LSDHHAIUSA-N 0 0 427.527 -0.718 20 0 IBADRN COC(=O)CC1(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CCOCC1 ZINC000576604452 1072524086 /nfs/dbraw/zinc/52/40/86/1072524086.db2.gz UYUVYFZDIATUMV-UHFFFAOYSA-N 0 0 441.462 -0.282 20 0 IBADRN CCCCn1c(CCC(=O)N[C@@H](CO)C(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000576605750 1072524379 /nfs/dbraw/zinc/52/43/79/1072524379.db2.gz QCENYYAUGVZVDO-HNNXBMFYSA-N 0 0 439.538 -0.018 20 0 IBADRN C[C@](O)(CO)CNC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000576608049 1072524558 /nfs/dbraw/zinc/52/45/58/1072524558.db2.gz QHRWEWWTXLRHKR-GOSISDBHSA-N 0 0 427.479 -0.371 20 0 IBADRN C[C@@](O)(CO)CNC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000576608053 1072524427 /nfs/dbraw/zinc/52/44/27/1072524427.db2.gz QHRWEWWTXLRHKR-SFHVURJKSA-N 0 0 427.479 -0.371 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)[C@H](C)C1 ZINC000576608355 1072524504 /nfs/dbraw/zinc/52/45/04/1072524504.db2.gz QCVAWXQKSSFMDJ-CYBMUJFWSA-N 0 0 429.543 -0.676 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)(N2CCOCC2)C1 ZINC000576614480 1072524515 /nfs/dbraw/zinc/52/45/15/1072524515.db2.gz WIZBGYLKOFVOJJ-LYGNXSNRSA-N 0 0 448.568 -0.109 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)(N2CCOCC2)C1 ZINC000576614481 1072524577 /nfs/dbraw/zinc/52/45/77/1072524577.db2.gz WIZBGYLKOFVOJJ-PDDLGQBUSA-N 0 0 448.568 -0.109 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(C(=O)COC)CC1 ZINC000576618056 1072524439 /nfs/dbraw/zinc/52/44/39/1072524439.db2.gz KQTZIMFTRDMJOR-HNNXBMFYSA-N 0 0 425.507 -0.328 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(C(=O)COC)CC1 ZINC000576618059 1072524568 /nfs/dbraw/zinc/52/45/68/1072524568.db2.gz KQTZIMFTRDMJOR-OAHLLOKOSA-N 0 0 425.507 -0.328 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000576618307 1072524469 /nfs/dbraw/zinc/52/44/69/1072524469.db2.gz OICLCBFKCZBDHQ-CYBMUJFWSA-N 0 0 431.536 -0.266 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000576618323 1072524596 /nfs/dbraw/zinc/52/45/96/1072524596.db2.gz OICLCBFKCZBDHQ-ZDUSSCGKSA-N 0 0 431.536 -0.266 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000576618597 1072524537 /nfs/dbraw/zinc/52/45/37/1072524537.db2.gz SDOKDHPCDZPNLX-HNNXBMFYSA-N 0 0 436.498 -0.622 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000576618601 1072524479 /nfs/dbraw/zinc/52/44/79/1072524479.db2.gz SDOKDHPCDZPNLX-OAHLLOKOSA-N 0 0 436.498 -0.622 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)cn1 ZINC000576620764 1072525141 /nfs/dbraw/zinc/52/51/41/1072525141.db2.gz ACPARLBMZUTDAA-GUTXKFCHSA-N 0 0 449.430 -0.527 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CC2)CC1)C1CCCCC1 ZINC000576624691 1072525056 /nfs/dbraw/zinc/52/50/56/1072525056.db2.gz DSZGCTUKDSRZMA-GDLZYMKVSA-N 0 0 426.583 -0.051 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C(=O)NCC2([S@](C)=O)CC2)CC1)C1CCCCC1 ZINC000576624692 1072525031 /nfs/dbraw/zinc/52/50/31/1072525031.db2.gz DSZGCTUKDSRZMA-LJAQVGFWSA-N 0 0 426.583 -0.051 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)N1CCN(c2ccccn2)CC1 ZINC000576624776 1072525014 /nfs/dbraw/zinc/52/50/14/1072525014.db2.gz INLTZSCDJHZZIE-UHFFFAOYSA-N 0 0 433.538 -0.150 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000576627993 1072525102 /nfs/dbraw/zinc/52/51/02/1072525102.db2.gz MMZVQWVYVAPMIE-UHFFFAOYSA-N 0 0 446.551 -0.878 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(Cc4nc(C)c(C)o4)CC3)C2=O)n(C)n1 ZINC000576642772 1072524892 /nfs/dbraw/zinc/52/48/92/1072524892.db2.gz KPWLPHLIZITGGE-INIZCTEOSA-N 0 0 443.508 -0.101 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(Cc4nc(C)c(C)o4)CC3)C2=O)n(C)n1 ZINC000576642773 1072525092 /nfs/dbraw/zinc/52/50/92/1072525092.db2.gz KPWLPHLIZITGGE-MRXNPFEDSA-N 0 0 443.508 -0.101 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCn2cc(C(=O)OC)nn2)cc1F ZINC000576643920 1072524874 /nfs/dbraw/zinc/52/48/74/1072524874.db2.gz YRBIHUQKRWWBFJ-UHFFFAOYSA-N 0 0 435.459 -0.056 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000576656684 1072524968 /nfs/dbraw/zinc/52/49/68/1072524968.db2.gz YLABNTKOOWSXCU-KRWDZBQOSA-N 0 0 444.536 -0.252 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000576656685 1072525113 /nfs/dbraw/zinc/52/51/13/1072525113.db2.gz YLABNTKOOWSXCU-QGZVFWFLSA-N 0 0 444.536 -0.252 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CC[C@@H](S(=O)(=O)NC)C2)c(=O)[nH]c1=O ZINC000576659656 1072525078 /nfs/dbraw/zinc/52/50/78/1072525078.db2.gz GQLVDEBKQCRQHI-GFCCVEGCSA-N 0 0 430.531 -0.692 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CC[C@H](S(=O)(=O)NC)C2)c(=O)[nH]c1=O ZINC000576659657 1072525370 /nfs/dbraw/zinc/52/53/70/1072525370.db2.gz GQLVDEBKQCRQHI-LBPRGKRZSA-N 0 0 430.531 -0.692 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NC3CCN(c4cccnn4)CC3)CC2)ncn1 ZINC000576661921 1072525507 /nfs/dbraw/zinc/52/55/07/1072525507.db2.gz SPPCGDZONHYITO-UHFFFAOYSA-N 0 0 426.481 -0.291 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000576663880 1072525564 /nfs/dbraw/zinc/52/55/64/1072525564.db2.gz HICGEPHCJBPLEX-CABCVRRESA-N 0 0 439.604 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000576663881 1072525482 /nfs/dbraw/zinc/52/54/82/1072525482.db2.gz HICGEPHCJBPLEX-GJZGRUSLSA-N 0 0 439.604 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000576663882 1072525554 /nfs/dbraw/zinc/52/55/54/1072525554.db2.gz HICGEPHCJBPLEX-HUUCEWRRSA-N 0 0 439.604 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000576663884 1072525528 /nfs/dbraw/zinc/52/55/28/1072525528.db2.gz HICGEPHCJBPLEX-LSDHHAIUSA-N 0 0 439.604 -0.239 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000576664892 1072525457 /nfs/dbraw/zinc/52/54/57/1072525457.db2.gz RVVRITKLNZBTKB-CVEARBPZSA-N 0 0 434.541 -0.669 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000576664896 1072525620 /nfs/dbraw/zinc/52/56/20/1072525620.db2.gz RVVRITKLNZBTKB-HOTGVXAUSA-N 0 0 434.541 -0.669 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000576664898 1072525419 /nfs/dbraw/zinc/52/54/19/1072525419.db2.gz RVVRITKLNZBTKB-HZPDHXFCSA-N 0 0 434.541 -0.669 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000576664899 1072525362 /nfs/dbraw/zinc/52/53/62/1072525362.db2.gz RVVRITKLNZBTKB-JKSUJKDBSA-N 0 0 434.541 -0.669 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000576665490 1072525375 /nfs/dbraw/zinc/52/53/75/1072525375.db2.gz SQPMLJPTOYLVAV-CYBMUJFWSA-N 0 0 425.577 -0.630 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000576665492 1072525494 /nfs/dbraw/zinc/52/54/94/1072525494.db2.gz SQPMLJPTOYLVAV-ZDUSSCGKSA-N 0 0 425.577 -0.630 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000576667789 1072525357 /nfs/dbraw/zinc/52/53/57/1072525357.db2.gz OYBUXRVPJMGOIY-AWEZNQCLSA-N 0 0 428.497 -0.205 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000576667793 1072525575 /nfs/dbraw/zinc/52/55/75/1072525575.db2.gz OYBUXRVPJMGOIY-CQSZACIVSA-N 0 0 428.497 -0.205 20 0 IBADRN COCCOc1ccc(CNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)nn1 ZINC000576669300 1072525406 /nfs/dbraw/zinc/52/54/06/1072525406.db2.gz CMSIRJKJYGBXRF-CYBMUJFWSA-N 0 0 449.489 -0.182 20 0 IBADRN COCCOc1ccc(CNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)nn1 ZINC000576669301 1072525539 /nfs/dbraw/zinc/52/55/39/1072525539.db2.gz CMSIRJKJYGBXRF-ZDUSSCGKSA-N 0 0 449.489 -0.182 20 0 IBADRN C[C@H]1CCO[C@H]1c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@H]1CCCO1 ZINC000576721159 1072526049 /nfs/dbraw/zinc/52/60/49/1072526049.db2.gz TYJXRQJUDYXFHG-YQQAZPJKSA-N 0 0 431.501 -0.110 20 0 IBADRN C[C@H]1CCO[C@H]1c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1CCCO1 ZINC000576721164 1072526160 /nfs/dbraw/zinc/52/61/60/1072526160.db2.gz TYJXRQJUDYXFHG-ZMSDIMECSA-N 0 0 431.501 -0.110 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccsc1 ZINC000576721541 1072526009 /nfs/dbraw/zinc/52/60/09/1072526009.db2.gz FWAJDPKPGVGTRJ-AWEZNQCLSA-N 0 0 429.524 -0.309 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccsc1 ZINC000576721542 1072526124 /nfs/dbraw/zinc/52/61/24/1072526124.db2.gz FWAJDPKPGVGTRJ-CQSZACIVSA-N 0 0 429.524 -0.309 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccccc2OC(F)F)CC1 ZINC000576721816 1072525940 /nfs/dbraw/zinc/52/59/40/1072525940.db2.gz KNPHXAGLLMTEON-UHFFFAOYSA-N 0 0 440.447 -0.093 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1 ZINC000576727323 1072525954 /nfs/dbraw/zinc/52/59/54/1072525954.db2.gz XSPXKKIUNVFXMF-UHFFFAOYSA-N 0 0 428.511 -0.165 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1)NC1CC1 ZINC000576728621 1072525985 /nfs/dbraw/zinc/52/59/85/1072525985.db2.gz OVZNJLNOTZIICE-FQEVSTJZSA-N 0 0 443.548 -0.401 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1)NC1CC1 ZINC000576728622 1072526546 /nfs/dbraw/zinc/52/65/46/1072526546.db2.gz OVZNJLNOTZIICE-HXUWFJFHSA-N 0 0 443.548 -0.401 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000576731888 1072526458 /nfs/dbraw/zinc/52/64/58/1072526458.db2.gz LPKKECROULMYRA-KRWDZBQOSA-N 0 0 430.571 -0.008 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000576731894 1072526591 /nfs/dbraw/zinc/52/65/91/1072526591.db2.gz LPKKECROULMYRA-QGZVFWFLSA-N 0 0 430.571 -0.008 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C2=O)n(C)n1 ZINC000576732502 1072526655 /nfs/dbraw/zinc/52/66/55/1072526655.db2.gz QKJYTDRVEKTEKP-HNNXBMFYSA-N 0 0 448.505 -0.955 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C2=O)n(C)n1 ZINC000576732503 1072526703 /nfs/dbraw/zinc/52/67/03/1072526703.db2.gz QKJYTDRVEKTEKP-OAHLLOKOSA-N 0 0 448.505 -0.955 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)n(C)c1 ZINC000576737285 1072526495 /nfs/dbraw/zinc/52/64/95/1072526495.db2.gz XQXNIAVZEZBVSV-CYBMUJFWSA-N 0 0 435.572 -0.334 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)n(C)c1 ZINC000576737293 1072526521 /nfs/dbraw/zinc/52/65/21/1072526521.db2.gz XQXNIAVZEZBVSV-ZDUSSCGKSA-N 0 0 435.572 -0.334 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000576738145 1072526643 /nfs/dbraw/zinc/52/66/43/1072526643.db2.gz VINQWVKPMIPAGK-KRWDZBQOSA-N 0 0 425.493 -0.136 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000576738146 1072526532 /nfs/dbraw/zinc/52/65/32/1072526532.db2.gz VINQWVKPMIPAGK-QGZVFWFLSA-N 0 0 425.493 -0.136 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)CC2 ZINC000576739883 1072526556 /nfs/dbraw/zinc/52/65/56/1072526556.db2.gz OTMIIKCBKOVRKN-KBPBESRZSA-N 0 0 442.542 -0.824 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O)CC2 ZINC000576739885 1072526490 /nfs/dbraw/zinc/52/64/90/1072526490.db2.gz OTMIIKCBKOVRKN-KGLIPLIRSA-N 0 0 442.542 -0.824 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)CC2 ZINC000576739886 1072526513 /nfs/dbraw/zinc/52/65/13/1072526513.db2.gz OTMIIKCBKOVRKN-UONOGXRCSA-N 0 0 442.542 -0.824 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O)CC2 ZINC000576739887 1072526445 /nfs/dbraw/zinc/52/64/45/1072526445.db2.gz OTMIIKCBKOVRKN-ZIAGYGMSSA-N 0 0 442.542 -0.824 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)CN1CCOCC1 ZINC000576743173 1072526665 /nfs/dbraw/zinc/52/66/65/1072526665.db2.gz LYZVGJXCBFZKJQ-KRWDZBQOSA-N 0 0 447.536 -0.020 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)CN1CCOCC1 ZINC000576743174 1072526617 /nfs/dbraw/zinc/52/66/17/1072526617.db2.gz LYZVGJXCBFZKJQ-QGZVFWFLSA-N 0 0 447.536 -0.020 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)CNS(=O)(=O)c2ccccc2C(F)(F)F)n1 ZINC000576747315 1072527122 /nfs/dbraw/zinc/52/71/22/1072527122.db2.gz MBMKBVRPDQBODO-UHFFFAOYSA-N 0 0 442.397 -0.028 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000576771079 1072527090 /nfs/dbraw/zinc/52/70/90/1072527090.db2.gz HRAFGIWPHOSMKW-BXUZGUMPSA-N 0 0 432.524 -0.949 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000576771080 1072527622 /nfs/dbraw/zinc/52/76/22/1072527622.db2.gz HRAFGIWPHOSMKW-FZMZJTMJSA-N 0 0 432.524 -0.949 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000576771081 1072527588 /nfs/dbraw/zinc/52/75/88/1072527588.db2.gz HRAFGIWPHOSMKW-RISCZKNCSA-N 0 0 432.524 -0.949 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)C1 ZINC000576771082 1072527522 /nfs/dbraw/zinc/52/75/22/1072527522.db2.gz HRAFGIWPHOSMKW-SMDDNHRTSA-N 0 0 432.524 -0.949 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCC(C)(C)N3CCOCC3)C2)nc1 ZINC000576771571 1072527767 /nfs/dbraw/zinc/52/77/67/1072527767.db2.gz YGDQZJRJPVFTSM-UHFFFAOYSA-N 0 0 433.509 -0.210 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)c2nnn(-c3ccn(C)n3)c2C)c1 ZINC000576771878 1072527713 /nfs/dbraw/zinc/52/77/13/1072527713.db2.gz GOXUMZVHIRLEIA-LLVKDONJSA-N 0 0 446.493 -0.026 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)c2nnn(-c3ccn(C)n3)c2C)c1 ZINC000576771879 1072527537 /nfs/dbraw/zinc/52/75/37/1072527537.db2.gz GOXUMZVHIRLEIA-NSHDSACASA-N 0 0 446.493 -0.026 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H](CO)CC1CCC1 ZINC000576772646 1072527464 /nfs/dbraw/zinc/52/74/64/1072527464.db2.gz PEZKRWSPVPDCIV-HNNXBMFYSA-N 0 0 438.506 -0.587 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H](CO)CC1CCC1 ZINC000576772647 1072527636 /nfs/dbraw/zinc/52/76/36/1072527636.db2.gz PEZKRWSPVPDCIV-OAHLLOKOSA-N 0 0 438.506 -0.587 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C)OC ZINC000576773138 1072527475 /nfs/dbraw/zinc/52/74/75/1072527475.db2.gz DPUGNXRUDMSEHI-HNNXBMFYSA-N 0 0 443.478 -0.741 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C)OC ZINC000576773139 1072527651 /nfs/dbraw/zinc/52/76/51/1072527651.db2.gz DPUGNXRUDMSEHI-OAHLLOKOSA-N 0 0 443.478 -0.741 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H](C)CN3CCCC3=O)CC2)CC1 ZINC000576774624 1072527690 /nfs/dbraw/zinc/52/76/90/1072527690.db2.gz FQUXANOMYNHHMH-KRWDZBQOSA-N 0 0 435.569 -0.094 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H](C)CN3CCCC3=O)CC2)CC1 ZINC000576774625 1072527492 /nfs/dbraw/zinc/52/74/92/1072527492.db2.gz FQUXANOMYNHHMH-QGZVFWFLSA-N 0 0 435.569 -0.094 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCc1cccc(S(N)(=O)=O)c1)C(F)(F)F ZINC000576777266 1072528009 /nfs/dbraw/zinc/52/80/09/1072528009.db2.gz QKFKFQGOWDALTC-LLVKDONJSA-N 0 0 432.446 -0.045 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCc1cccc(S(N)(=O)=O)c1)C(F)(F)F ZINC000576777269 1072528130 /nfs/dbraw/zinc/52/81/30/1072528130.db2.gz QKFKFQGOWDALTC-NSHDSACASA-N 0 0 432.446 -0.045 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C1CC1 ZINC000576777465 1072528179 /nfs/dbraw/zinc/52/81/79/1072528179.db2.gz RXNIBUPACYHEMI-DLBZAZTESA-N 0 0 432.525 -0.061 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C1CC1 ZINC000576777466 1072528033 /nfs/dbraw/zinc/52/80/33/1072528033.db2.gz RXNIBUPACYHEMI-IAGOWNOFSA-N 0 0 432.525 -0.061 20 0 IBADRN COC[C@H](NC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C1CC1 ZINC000576777467 1072528069 /nfs/dbraw/zinc/52/80/69/1072528069.db2.gz RXNIBUPACYHEMI-IRXDYDNUSA-N 0 0 432.525 -0.061 20 0 IBADRN COC[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C1CC1 ZINC000576777468 1072528108 /nfs/dbraw/zinc/52/81/08/1072528108.db2.gz RXNIBUPACYHEMI-SJORKVTESA-N 0 0 432.525 -0.061 20 0 IBADRN CN(Cc1ccc(OC(F)F)cc1)C(=O)CN1C(=O)N[C@H](CCS(N)(=O)=O)C1=O ZINC000576778063 1072528145 /nfs/dbraw/zinc/52/81/45/1072528145.db2.gz TYYFZIWDTMNBAI-GFCCVEGCSA-N 0 0 434.421 -0.155 20 0 IBADRN CN(Cc1ccc(OC(F)F)cc1)C(=O)CN1C(=O)N[C@@H](CCS(N)(=O)=O)C1=O ZINC000576778064 1072528161 /nfs/dbraw/zinc/52/81/61/1072528161.db2.gz TYYFZIWDTMNBAI-LBPRGKRZSA-N 0 0 434.421 -0.155 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@]3(C)CCCS3)CC2)C[C@H](C)O1 ZINC000576781306 1072528021 /nfs/dbraw/zinc/52/80/21/1072528021.db2.gz OCSMNFUNSZOGTN-DAYGRLMNSA-N 0 0 448.611 -0.114 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@]3(C)CCCS3)CC2)C[C@@H](C)O1 ZINC000576781307 1072528203 /nfs/dbraw/zinc/52/82/03/1072528203.db2.gz OCSMNFUNSZOGTN-IIDMSEBBSA-N 0 0 448.611 -0.114 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@]3(C)CCCS3)CC2)C[C@@H](C)O1 ZINC000576781308 1072528136 /nfs/dbraw/zinc/52/81/36/1072528136.db2.gz OCSMNFUNSZOGTN-RKVPGOIHSA-N 0 0 448.611 -0.114 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@]3(C)CCCS3)CC2)C[C@H](C)O1 ZINC000576781309 1072528216 /nfs/dbraw/zinc/52/82/16/1072528216.db2.gz OCSMNFUNSZOGTN-RVKKMQEKSA-N 0 0 448.611 -0.114 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)s1 ZINC000576781808 1072528173 /nfs/dbraw/zinc/52/81/73/1072528173.db2.gz FCSJJRSBGZJWKQ-CXAGYDPISA-N 0 0 431.536 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)s1 ZINC000576781809 1072528096 /nfs/dbraw/zinc/52/80/96/1072528096.db2.gz FCSJJRSBGZJWKQ-DYVFJYSZSA-N 0 0 431.536 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)s1 ZINC000576781810 1072528166 /nfs/dbraw/zinc/52/81/66/1072528166.db2.gz FCSJJRSBGZJWKQ-GUYCJALGSA-N 0 0 431.536 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)s1 ZINC000576781811 1072528196 /nfs/dbraw/zinc/52/81/96/1072528196.db2.gz FCSJJRSBGZJWKQ-SUMWQHHRSA-N 0 0 431.536 -0.095 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCCO[C@H]2CCOC2)CC1 ZINC000576783277 1072528154 /nfs/dbraw/zinc/52/81/54/1072528154.db2.gz TZEZNIAYBGTGFD-INIZCTEOSA-N 0 0 435.543 -0.803 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCCO[C@@H]2CCOC2)CC1 ZINC000576783283 1072528210 /nfs/dbraw/zinc/52/82/10/1072528210.db2.gz TZEZNIAYBGTGFD-MRXNPFEDSA-N 0 0 435.543 -0.803 20 0 IBADRN CCN(CCCNC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1)S(C)(=O)=O ZINC000576791761 1072528518 /nfs/dbraw/zinc/52/85/18/1072528518.db2.gz BKRFOPSAMNZEBN-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000576796397 1072528560 /nfs/dbraw/zinc/52/85/60/1072528560.db2.gz SCOKGBZZDDNWGM-QLFBSQMISA-N 0 0 425.486 -0.375 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000576796401 1072528427 /nfs/dbraw/zinc/52/84/27/1072528427.db2.gz SCOKGBZZDDNWGM-RBSFLKMASA-N 0 0 425.486 -0.375 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000576796403 1072528532 /nfs/dbraw/zinc/52/85/32/1072528532.db2.gz SCOKGBZZDDNWGM-SOUVJXGZSA-N 0 0 425.486 -0.375 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000576796405 1072528575 /nfs/dbraw/zinc/52/85/75/1072528575.db2.gz SCOKGBZZDDNWGM-ZNMIVQPWSA-N 0 0 425.486 -0.375 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1S(=O)(=O)NC1CC1 ZINC000576796437 1072528547 /nfs/dbraw/zinc/52/85/47/1072528547.db2.gz UGTXRZNWKIKLML-UHFFFAOYSA-N 0 0 448.523 -0.918 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000576813969 1072528601 /nfs/dbraw/zinc/52/86/01/1072528601.db2.gz QNJNBZOEGWLLEL-INIZCTEOSA-N 0 0 447.535 -0.621 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000576813970 1072528501 /nfs/dbraw/zinc/52/85/01/1072528501.db2.gz QNJNBZOEGWLLEL-MRXNPFEDSA-N 0 0 447.535 -0.621 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)c1 ZINC000576843549 1072528587 /nfs/dbraw/zinc/52/85/87/1072528587.db2.gz KCKRVUOCMKCJFY-UHFFFAOYSA-N 0 0 437.474 -0.214 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc(S(C)(=O)=O)c1 ZINC000576846048 1072528613 /nfs/dbraw/zinc/52/86/13/1072528613.db2.gz FNMXWXNPVUFLGJ-UHFFFAOYSA-N 0 0 448.457 -0.244 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000576850456 1072528938 /nfs/dbraw/zinc/52/89/38/1072528938.db2.gz CNMNURIELNQFSL-CYBMUJFWSA-N 0 0 433.490 -0.287 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000576850457 1072528924 /nfs/dbraw/zinc/52/89/24/1072528924.db2.gz CNMNURIELNQFSL-ZDUSSCGKSA-N 0 0 433.490 -0.287 20 0 IBADRN CCN(CC)C(=O)c1ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000576850478 1071634394 /nfs/dbraw/zinc/63/43/94/1071634394.db2.gz DXIHKCIFOPKBJE-IBGZPJMESA-N 0 0 434.537 -0.064 20 0 IBADRN CCN(CC)C(=O)c1ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000576850479 1071634418 /nfs/dbraw/zinc/63/44/18/1071634418.db2.gz DXIHKCIFOPKBJE-LJQANCHMSA-N 0 0 434.537 -0.064 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@@H]2CCCO[C@H]21 ZINC000576851362 1072529075 /nfs/dbraw/zinc/52/90/75/1072529075.db2.gz PTTKZHMHZGOVRG-CGTJXYLNSA-N 0 0 444.536 -0.049 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@@H]2CCCO[C@@H]21 ZINC000576851363 1072528949 /nfs/dbraw/zinc/52/89/49/1072528949.db2.gz PTTKZHMHZGOVRG-JQHSSLGASA-N 0 0 444.536 -0.049 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@H]2CCCO[C@H]21 ZINC000576851364 1072528888 /nfs/dbraw/zinc/52/88/88/1072528888.db2.gz PTTKZHMHZGOVRG-KBAYOESNSA-N 0 0 444.536 -0.049 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)[C@H]2CCCO[C@@H]21 ZINC000576851365 1072528917 /nfs/dbraw/zinc/52/89/17/1072528917.db2.gz PTTKZHMHZGOVRG-NXHRZFHOSA-N 0 0 444.536 -0.049 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000576851412 1072528903 /nfs/dbraw/zinc/52/89/03/1072528903.db2.gz CETSJGHXTMKPKU-GFCCVEGCSA-N 0 0 430.458 -0.062 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCC[C@@](O)(C(N)=O)C2)cc1 ZINC000576852371 1072529005 /nfs/dbraw/zinc/52/90/05/1072529005.db2.gz NXLSAGUUBAKQJT-IBGZPJMESA-N 0 0 440.522 -0.714 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCC[C@](O)(C(N)=O)C2)cc1 ZINC000576852372 1072528874 /nfs/dbraw/zinc/52/88/74/1072528874.db2.gz NXLSAGUUBAKQJT-LJQANCHMSA-N 0 0 440.522 -0.714 20 0 IBADRN O=S(=O)(NCCN1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000576852796 1072529087 /nfs/dbraw/zinc/52/90/87/1072529087.db2.gz DRQJDHBHQPIBMN-UHFFFAOYSA-N 0 0 437.565 -0.864 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000576855230 1072529052 /nfs/dbraw/zinc/52/90/52/1072529052.db2.gz DIRJGCJIJGOHKP-AWEZNQCLSA-N 0 0 430.513 -0.265 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000576855234 1072529065 /nfs/dbraw/zinc/52/90/65/1072529065.db2.gz DIRJGCJIJGOHKP-CQSZACIVSA-N 0 0 430.513 -0.265 20 0 IBADRN CCCNc1ccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000576855463 1072529042 /nfs/dbraw/zinc/52/90/42/1072529042.db2.gz QVYLVQOLJIPTPL-UHFFFAOYSA-N 0 0 443.508 -0.387 20 0 IBADRN O=C(N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000576857364 1072529015 /nfs/dbraw/zinc/52/90/15/1072529015.db2.gz SOBSJEVKWVVBKZ-MSOLQXFVSA-N 0 0 446.479 -0.107 20 0 IBADRN O=C(N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000576857365 1072529031 /nfs/dbraw/zinc/52/90/31/1072529031.db2.gz SOBSJEVKWVVBKZ-QZTJIDSGSA-N 0 0 446.479 -0.107 20 0 IBADRN O=C(N[C@H]1CCN(Cc2ccc(F)cc2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000576857366 1072528860 /nfs/dbraw/zinc/52/88/60/1072528860.db2.gz SOBSJEVKWVVBKZ-ROUUACIJSA-N 0 0 446.479 -0.107 20 0 IBADRN O=C(N[C@H]1CCN(Cc2ccc(F)cc2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000576857367 1072528989 /nfs/dbraw/zinc/52/89/89/1072528989.db2.gz SOBSJEVKWVVBKZ-ZWKOTPCHSA-N 0 0 446.479 -0.107 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)CCO1 ZINC000576858992 1072529583 /nfs/dbraw/zinc/52/95/83/1072529583.db2.gz KIRVYZUCBHWUIJ-AAEUAGOBSA-N 0 0 425.463 -0.370 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)CCO1 ZINC000576858995 1072529130 /nfs/dbraw/zinc/52/91/30/1072529130.db2.gz KIRVYZUCBHWUIJ-DGCLKSJQSA-N 0 0 425.463 -0.370 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)CCO1 ZINC000576858997 1072529441 /nfs/dbraw/zinc/52/94/41/1072529441.db2.gz KIRVYZUCBHWUIJ-WCQYABFASA-N 0 0 425.463 -0.370 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)CCO1 ZINC000576858998 1072529370 /nfs/dbraw/zinc/52/93/70/1072529370.db2.gz KIRVYZUCBHWUIJ-YPMHNXCESA-N 0 0 425.463 -0.370 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000576859949 1072529497 /nfs/dbraw/zinc/52/94/97/1072529497.db2.gz WQGDQAXUEDLLIU-DLBZAZTESA-N 0 0 436.534 -0.060 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000576859951 1072529421 /nfs/dbraw/zinc/52/94/21/1072529421.db2.gz WQGDQAXUEDLLIU-IAGOWNOFSA-N 0 0 436.534 -0.060 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000576859953 1072529603 /nfs/dbraw/zinc/52/96/03/1072529603.db2.gz WQGDQAXUEDLLIU-IRXDYDNUSA-N 0 0 436.534 -0.060 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000576859954 1072529634 /nfs/dbraw/zinc/52/96/34/1072529634.db2.gz WQGDQAXUEDLLIU-SJORKVTESA-N 0 0 436.534 -0.060 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(C(=O)c2ccsc2)CC1 ZINC000576861705 1072529450 /nfs/dbraw/zinc/52/94/50/1072529450.db2.gz KVQCEAWDKYLXBD-CQSZACIVSA-N 0 0 428.536 -0.427 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000576866003 1072529621 /nfs/dbraw/zinc/52/96/21/1072529621.db2.gz BQIUDKSIMIJHKG-UHFFFAOYSA-N 0 0 425.492 -0.712 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c(OC)c1 ZINC000576868648 1072529465 /nfs/dbraw/zinc/52/94/65/1072529465.db2.gz VHWLBUWFLUJTGT-UHFFFAOYSA-N 0 0 442.494 -0.239 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCCC3)s2)CC1 ZINC000576889984 1072529481 /nfs/dbraw/zinc/52/94/81/1072529481.db2.gz MLRKVSUCXIUHQD-UHFFFAOYSA-N 0 0 436.581 -0.317 20 0 IBADRN Cc1ccc(OCCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000576891318 1072529593 /nfs/dbraw/zinc/52/95/93/1072529593.db2.gz PGRCTKWUQKGPOV-UHFFFAOYSA-N 0 0 444.492 -0.713 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2oc1=O ZINC000576893024 1072529402 /nfs/dbraw/zinc/52/94/02/1072529402.db2.gz RFJOEJIQRGWCPE-UHFFFAOYSA-N 0 0 436.446 -0.887 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000576897634 1072529653 /nfs/dbraw/zinc/52/96/53/1072529653.db2.gz RNYBTSUVUFQKAC-UHFFFAOYSA-N 0 0 448.523 -0.812 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)CC)C2)CC1 ZINC000576899758 1072529610 /nfs/dbraw/zinc/52/96/10/1072529610.db2.gz CUZOIWMGVATVCA-INIZCTEOSA-N 0 0 445.586 -0.376 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000576899759 1072530230 /nfs/dbraw/zinc/53/02/30/1072530230.db2.gz CUZOIWMGVATVCA-MRXNPFEDSA-N 0 0 445.586 -0.376 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000576901468 1072530098 /nfs/dbraw/zinc/53/00/98/1072530098.db2.gz VKDKITIARAWAFF-CYBMUJFWSA-N 0 0 435.572 -0.393 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000576901469 1072530221 /nfs/dbraw/zinc/53/02/21/1072530221.db2.gz VKDKITIARAWAFF-ZDUSSCGKSA-N 0 0 435.572 -0.393 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000576902539 1072530039 /nfs/dbraw/zinc/53/00/39/1072530039.db2.gz NPTFFVGVFLJHMO-HKUYNNGSSA-N 0 0 432.521 -0.243 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000576902540 1072530019 /nfs/dbraw/zinc/53/00/19/1072530019.db2.gz NPTFFVGVFLJHMO-IEBWSBKVSA-N 0 0 432.521 -0.243 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000576902541 1072530192 /nfs/dbraw/zinc/53/01/92/1072530192.db2.gz NPTFFVGVFLJHMO-MJGOQNOKSA-N 0 0 432.521 -0.243 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000576902542 1072530112 /nfs/dbraw/zinc/53/01/12/1072530112.db2.gz NPTFFVGVFLJHMO-PKOBYXMFSA-N 0 0 432.521 -0.243 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000576902988 1072530055 /nfs/dbraw/zinc/53/00/55/1072530055.db2.gz ZUFOTLNYDFAXAM-JLTOFOAXSA-N 0 0 428.497 -0.559 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000576902991 1072530133 /nfs/dbraw/zinc/53/01/33/1072530133.db2.gz ZUFOTLNYDFAXAM-VBKZILBWSA-N 0 0 428.497 -0.559 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000576902992 1072530146 /nfs/dbraw/zinc/53/01/46/1072530146.db2.gz ZUFOTLNYDFAXAM-VLIAUNLRSA-N 0 0 428.497 -0.559 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000576902993 1072530122 /nfs/dbraw/zinc/53/01/22/1072530122.db2.gz ZUFOTLNYDFAXAM-XOBRGWDASA-N 0 0 428.497 -0.559 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(CC(C)C)C(=O)C2)s1 ZINC000576904448 1072530071 /nfs/dbraw/zinc/53/00/71/1072530071.db2.gz UVVLALQCHTTXSE-UHFFFAOYSA-N 0 0 430.552 -0.222 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(C[C@@H]4CCOC4)CC3)C2)nc1 ZINC000576905758 1072529985 /nfs/dbraw/zinc/52/99/85/1072529985.db2.gz OJYZYBHRKVQDFI-INIZCTEOSA-N 0 0 445.520 -0.256 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(C[C@H]4CCOC4)CC3)C2)nc1 ZINC000576905759 1072530159 /nfs/dbraw/zinc/53/01/59/1072530159.db2.gz OJYZYBHRKVQDFI-MRXNPFEDSA-N 0 0 445.520 -0.256 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1CCN(CCCO)CC1 ZINC000576906592 1072530201 /nfs/dbraw/zinc/53/02/01/1072530201.db2.gz KYSJHUOGOWVDJL-UHFFFAOYSA-N 0 0 425.507 -0.371 20 0 IBADRN COCC[C@@H](Cc1ccco1)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000576908132 1072529971 /nfs/dbraw/zinc/52/99/71/1072529971.db2.gz WVVIMRDSVVDRPL-KRWDZBQOSA-N 0 0 436.509 -0.654 20 0 IBADRN COCC[C@H](Cc1ccco1)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000576908134 1072530086 /nfs/dbraw/zinc/53/00/86/1072530086.db2.gz WVVIMRDSVVDRPL-QGZVFWFLSA-N 0 0 436.509 -0.654 20 0 IBADRN COC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000576913022 1072530863 /nfs/dbraw/zinc/53/08/63/1072530863.db2.gz ZIZYHAQWXUJGKX-UHFFFAOYSA-N 0 0 430.458 -0.109 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)N(C)C)CC3)c2)nn1 ZINC000576917287 1072530694 /nfs/dbraw/zinc/53/06/94/1072530694.db2.gz CXTJNKXVEBCLIQ-UHFFFAOYSA-N 0 0 429.437 -0.182 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000576924499 1072530898 /nfs/dbraw/zinc/53/08/98/1072530898.db2.gz HFQWIYQLIKIKCT-UHFFFAOYSA-N 0 0 434.474 -0.098 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000576931598 1072530907 /nfs/dbraw/zinc/53/09/07/1072530907.db2.gz OWGVPNIUVVQWIB-UHFFFAOYSA-N 0 0 443.551 -0.140 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)C1 ZINC000576947729 1072530939 /nfs/dbraw/zinc/53/09/39/1072530939.db2.gz BGXCJFMQGJVSTK-OAHLLOKOSA-N 0 0 440.526 -0.316 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000576951558 1072530930 /nfs/dbraw/zinc/53/09/30/1072530930.db2.gz GLQNSWLMRNKQLO-UHFFFAOYSA-N 0 0 445.476 -0.462 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCn2cnc3ccccc32)CC1)N1CCOCC1 ZINC000576962060 1072530568 /nfs/dbraw/zinc/53/05/68/1072530568.db2.gz JUSPABSBXXDCIR-UHFFFAOYSA-N 0 0 428.493 -0.845 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000576962275 1072530918 /nfs/dbraw/zinc/53/09/18/1072530918.db2.gz PNOPNCIYFLQMJE-GFCCVEGCSA-N 0 0 431.902 -0.736 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000576962280 1072530948 /nfs/dbraw/zinc/53/09/48/1072530948.db2.gz PNOPNCIYFLQMJE-LBPRGKRZSA-N 0 0 431.902 -0.736 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000576962559 1072530871 /nfs/dbraw/zinc/53/08/71/1072530871.db2.gz TYWKRKNQDWSBTH-UHFFFAOYSA-N 0 0 444.492 -0.211 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)cn1 ZINC000576962678 1072530547 /nfs/dbraw/zinc/53/05/47/1072530547.db2.gz HBLQVDNMSLRFRB-JZXOWHBKSA-N 0 0 440.508 -0.086 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)cn1 ZINC000576962688 1072530854 /nfs/dbraw/zinc/53/08/54/1072530854.db2.gz HBLQVDNMSLRFRB-NUJGCVRESA-N 0 0 440.508 -0.086 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000576969206 1072531384 /nfs/dbraw/zinc/53/13/84/1072531384.db2.gz ZXVUHXOYNPIXCO-CHWSQXEVSA-N 0 0 430.618 -0.566 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000576969207 1072531451 /nfs/dbraw/zinc/53/14/51/1072531451.db2.gz ZXVUHXOYNPIXCO-OLZOCXBDSA-N 0 0 430.618 -0.566 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000576970631 1072531373 /nfs/dbraw/zinc/53/13/73/1072531373.db2.gz OOUATOJANMAJBS-DLBZAZTESA-N 0 0 445.563 -0.445 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000576970633 1072531396 /nfs/dbraw/zinc/53/13/96/1072531396.db2.gz OOUATOJANMAJBS-IAGOWNOFSA-N 0 0 445.563 -0.445 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000576970635 1072531414 /nfs/dbraw/zinc/53/14/14/1072531414.db2.gz OOUATOJANMAJBS-IRXDYDNUSA-N 0 0 445.563 -0.445 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000576970637 1072531235 /nfs/dbraw/zinc/53/12/35/1072531235.db2.gz OOUATOJANMAJBS-SJORKVTESA-N 0 0 445.563 -0.445 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O)C(=O)N1CCOCC1 ZINC000576973095 1072531277 /nfs/dbraw/zinc/53/12/77/1072531277.db2.gz ICAAHDAEJLTDNF-DLBZAZTESA-N 0 0 434.468 -0.204 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O)C(=O)N1CCOCC1 ZINC000576973096 1072531320 /nfs/dbraw/zinc/53/13/20/1072531320.db2.gz ICAAHDAEJLTDNF-IAGOWNOFSA-N 0 0 434.468 -0.204 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O)C(=O)N1CCOCC1 ZINC000576973097 1072531305 /nfs/dbraw/zinc/53/13/05/1072531305.db2.gz ICAAHDAEJLTDNF-IRXDYDNUSA-N 0 0 434.468 -0.204 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O)C(=O)N1CCOCC1 ZINC000576973098 1072531293 /nfs/dbraw/zinc/53/12/93/1072531293.db2.gz ICAAHDAEJLTDNF-SJORKVTESA-N 0 0 434.468 -0.204 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(C)(C)C)CC2)C1=O ZINC000576974704 1072531248 /nfs/dbraw/zinc/53/12/48/1072531248.db2.gz ARWFAHOSJBDHGR-HNNXBMFYSA-N 0 0 432.525 -0.256 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(C)(C)C)CC2)C1=O ZINC000576974705 1072531405 /nfs/dbraw/zinc/53/14/05/1072531405.db2.gz ARWFAHOSJBDHGR-OAHLLOKOSA-N 0 0 432.525 -0.256 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1=O ZINC000576976422 1072531334 /nfs/dbraw/zinc/53/13/34/1072531334.db2.gz AUGWYPKXMFDJQG-HNNXBMFYSA-N 0 0 440.460 -0.474 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1=O ZINC000576976423 1072531459 /nfs/dbraw/zinc/53/14/59/1072531459.db2.gz AUGWYPKXMFDJQG-OAHLLOKOSA-N 0 0 440.460 -0.474 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000576976435 1072531480 /nfs/dbraw/zinc/53/14/80/1072531480.db2.gz BSDAUXKLPAFRDP-CVEARBPZSA-N 0 0 434.493 -0.932 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000576976436 1072531423 /nfs/dbraw/zinc/53/14/23/1072531423.db2.gz BSDAUXKLPAFRDP-HOTGVXAUSA-N 0 0 434.493 -0.932 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000576976437 1072531437 /nfs/dbraw/zinc/53/14/37/1072531437.db2.gz BSDAUXKLPAFRDP-HZPDHXFCSA-N 0 0 434.493 -0.932 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000576976438 1072531349 /nfs/dbraw/zinc/53/13/49/1072531349.db2.gz BSDAUXKLPAFRDP-JKSUJKDBSA-N 0 0 434.493 -0.932 20 0 IBADRN Cc1cnc(CCNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)nc1 ZINC000576978209 1072531492 /nfs/dbraw/zinc/53/14/92/1072531492.db2.gz ALMKOZGYFHHATM-UHFFFAOYSA-N 0 0 440.508 -0.581 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000576978725 1072531870 /nfs/dbraw/zinc/53/18/70/1072531870.db2.gz RNHNJSHEEDTCJB-HUUCEWRRSA-N 0 0 445.563 -0.105 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000576978728 1072531937 /nfs/dbraw/zinc/53/19/37/1072531937.db2.gz RNHNJSHEEDTCJB-LSDHHAIUSA-N 0 0 445.563 -0.105 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2COc3ccc(F)cc3C2)cn1)N1CCOCC1 ZINC000576979010 1072531964 /nfs/dbraw/zinc/53/19/64/1072531964.db2.gz JBYGWLQXIHDQCR-HNNXBMFYSA-N 0 0 431.424 -0.061 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2COc3ccc(F)cc3C2)cn1)N1CCOCC1 ZINC000576979016 1072531795 /nfs/dbraw/zinc/53/17/95/1072531795.db2.gz JBYGWLQXIHDQCR-OAHLLOKOSA-N 0 0 431.424 -0.061 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NCCCn2c(=O)ccn(C)c2=O)cc1 ZINC000576982431 1072531856 /nfs/dbraw/zinc/53/18/56/1072531856.db2.gz NCZSDUXVUAJMGM-UHFFFAOYSA-N 0 0 438.506 -0.179 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC000576984838 1072531981 /nfs/dbraw/zinc/53/19/81/1072531981.db2.gz WVYHGELLDMOUCU-CYBMUJFWSA-N 0 0 425.463 -0.220 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC000576984839 1072531961 /nfs/dbraw/zinc/53/19/61/1072531961.db2.gz WVYHGELLDMOUCU-ZDUSSCGKSA-N 0 0 425.463 -0.220 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)s1 ZINC000576995109 1072531970 /nfs/dbraw/zinc/53/19/70/1072531970.db2.gz MUJUOHGEFYIJKG-UHFFFAOYSA-N 0 0 433.537 -0.198 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)CC2)n1 ZINC000576996988 1072531833 /nfs/dbraw/zinc/53/18/33/1072531833.db2.gz KMYOZVJXCKHLSH-UHFFFAOYSA-N 0 0 439.519 -0.085 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CC2)n1 ZINC000576998797 1072531918 /nfs/dbraw/zinc/53/19/18/1072531918.db2.gz NXBGZVBRINYKLU-QAPCUYQASA-N 0 0 426.481 -0.697 20 0 IBADRN CC(C)C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCO1 ZINC000577000535 1072531996 /nfs/dbraw/zinc/53/19/96/1072531996.db2.gz IZPMPFOPYJTVRS-DLBZAZTESA-N 0 0 438.525 -0.774 20 0 IBADRN CC(C)C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCO1 ZINC000577000547 1072531817 /nfs/dbraw/zinc/53/18/17/1072531817.db2.gz IZPMPFOPYJTVRS-IAGOWNOFSA-N 0 0 438.525 -0.774 20 0 IBADRN CC(C)C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCO1 ZINC000577000548 1072531986 /nfs/dbraw/zinc/53/19/86/1072531986.db2.gz IZPMPFOPYJTVRS-IRXDYDNUSA-N 0 0 438.525 -0.774 20 0 IBADRN CC(C)C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCO1 ZINC000577000549 1072531992 /nfs/dbraw/zinc/53/19/92/1072531992.db2.gz IZPMPFOPYJTVRS-SJORKVTESA-N 0 0 438.525 -0.774 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000577009399 1072531845 /nfs/dbraw/zinc/53/18/45/1072531845.db2.gz BLXREXFPNCVDBQ-CYBMUJFWSA-N 0 0 430.527 -0.361 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000577009401 1072531957 /nfs/dbraw/zinc/53/19/57/1072531957.db2.gz BLXREXFPNCVDBQ-ZDUSSCGKSA-N 0 0 430.527 -0.361 20 0 IBADRN CCOCc1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000577009848 1072531976 /nfs/dbraw/zinc/53/19/76/1072531976.db2.gz GMMITGUBAMCRIH-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN Cc1nccn1CCCN(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000577010171 1072531899 /nfs/dbraw/zinc/53/18/99/1072531899.db2.gz IOUYGYUPIXFCJA-UHFFFAOYSA-N 0 0 440.504 -0.072 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc(Cl)c3)CC2)nn1C ZINC000577010843 1073349949 /nfs/dbraw/zinc/34/99/49/1073349949.db2.gz POSNNJSQHUQUQN-UHFFFAOYSA-N 0 0 426.886 0.249 20 0 IBADRN Cn1ccc(=O)n(CC(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)c1=O ZINC000577014968 1072532004 /nfs/dbraw/zinc/53/20/04/1072532004.db2.gz HCXCWOBYOZSHQS-UHFFFAOYSA-N 0 0 442.881 -0.140 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)N[C@@H](CC)C(=O)N3CCOCC3)CC2)n1 ZINC000577018243 1072531944 /nfs/dbraw/zinc/53/19/44/1072531944.db2.gz KRMKNGPJLLEUPN-INIZCTEOSA-N 0 0 448.524 -0.332 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)N[C@H](CC)C(=O)N3CCOCC3)CC2)n1 ZINC000577018250 1072532418 /nfs/dbraw/zinc/53/24/18/1072532418.db2.gz KRMKNGPJLLEUPN-MRXNPFEDSA-N 0 0 448.524 -0.332 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)cn1 ZINC000577018876 1072532315 /nfs/dbraw/zinc/53/23/15/1072532315.db2.gz UAVPWEAWCNVFJB-LXZKKBNFSA-N 0 0 429.456 -0.215 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)cn1 ZINC000577018879 1072532429 /nfs/dbraw/zinc/53/24/29/1072532429.db2.gz UAVPWEAWCNVFJB-UNEWFSDZSA-N 0 0 429.456 -0.215 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)CC2)n1 ZINC000577019126 1072532219 /nfs/dbraw/zinc/53/22/19/1072532219.db2.gz UNRCWHCKJPJSRF-INIZCTEOSA-N 0 0 439.476 -0.159 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)CC2)n1 ZINC000577019127 1072532365 /nfs/dbraw/zinc/53/23/65/1072532365.db2.gz UNRCWHCKJPJSRF-MRXNPFEDSA-N 0 0 439.476 -0.159 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)CC1=O ZINC000577020332 1072532386 /nfs/dbraw/zinc/53/23/86/1072532386.db2.gz IOILKPWHHJGLLF-UHFFFAOYSA-N 0 0 426.271 -0.080 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@H](c3cccc(F)c3)C2)CC1 ZINC000577020702 1072532203 /nfs/dbraw/zinc/53/22/03/1072532203.db2.gz DGKHMCSROXILHJ-DOTOQJQBSA-N 0 0 429.456 -0.065 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H](c3cccc(F)c3)C2)CC1 ZINC000577020703 1072532328 /nfs/dbraw/zinc/53/23/28/1072532328.db2.gz DGKHMCSROXILHJ-NVXWUHKLSA-N 0 0 429.456 -0.065 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CC[C@H](c3cccc(F)c3)C2)CC1 ZINC000577020704 1072532375 /nfs/dbraw/zinc/53/23/75/1072532375.db2.gz DGKHMCSROXILHJ-RDJZCZTQSA-N 0 0 429.456 -0.065 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](c3cccc(F)c3)C2)CC1 ZINC000577020705 1072532340 /nfs/dbraw/zinc/53/23/40/1072532340.db2.gz DGKHMCSROXILHJ-WBVHZDCISA-N 0 0 429.456 -0.065 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cccc(N(C)C)n2)CC1 ZINC000577020931 1072532353 /nfs/dbraw/zinc/53/23/53/1072532353.db2.gz DYNXKGXDWPEAKV-UHFFFAOYSA-N 0 0 441.554 -0.337 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C)n(-c2ccc(F)cc2F)n1 ZINC000577022456 1073316779 /nfs/dbraw/zinc/31/67/79/1073316779.db2.gz OUXQVCWWRGIATQ-HNNXBMFYSA-N 0 0 448.430 0.251 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C)n(-c2ccc(F)cc2F)n1 ZINC000577022460 1072532271 /nfs/dbraw/zinc/53/22/71/1072532271.db2.gz OUXQVCWWRGIATQ-OAHLLOKOSA-N 0 0 448.430 0.251 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H]1CCCOC1 ZINC000577022466 1072532283 /nfs/dbraw/zinc/53/22/83/1072532283.db2.gz PAHCTTFBSVTHCG-CYBMUJFWSA-N 0 0 427.479 -0.050 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC(Cn3cncn3)CC2)(N2CCOCC2)C1 ZINC000577024634 1072532293 /nfs/dbraw/zinc/53/22/93/1072532293.db2.gz DWEFXQSTPNJFIT-UHFFFAOYSA-N 0 0 434.541 -0.097 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)s1 ZINC000577026201 1072532233 /nfs/dbraw/zinc/53/22/33/1072532233.db2.gz SGMROYZZNYDIOS-UHFFFAOYSA-N 0 0 442.548 -0.196 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000577027214 1072532403 /nfs/dbraw/zinc/53/24/03/1072532403.db2.gz FURNISPKXHFHGJ-UHFFFAOYSA-N 0 0 438.550 -0.287 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000577029885 1072532772 /nfs/dbraw/zinc/53/27/72/1072532772.db2.gz IGITWXVFGZQPNO-UHFFFAOYSA-N 0 0 449.489 -0.542 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000577031974 1072532737 /nfs/dbraw/zinc/53/27/37/1072532737.db2.gz FLIUXCABWBHOFB-JTQLQIEISA-N 0 0 434.421 -0.146 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000577031975 1072532755 /nfs/dbraw/zinc/53/27/55/1072532755.db2.gz FLIUXCABWBHOFB-SNVBAGLBSA-N 0 0 434.421 -0.146 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C[C@H](C)O1 ZINC000577049030 1072532990 /nfs/dbraw/zinc/53/29/90/1072532990.db2.gz OPBKCLBBBHDFAW-GASCZTMLSA-N 0 0 433.509 -0.250 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)cn1 ZINC000577049665 1072532688 /nfs/dbraw/zinc/53/26/88/1072532688.db2.gz UDOSOJINSAXWIP-UHFFFAOYSA-N 0 0 428.468 -0.126 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CCNS(=O)(=O)c2ccc(F)cc2)C1 ZINC000577050325 1072532928 /nfs/dbraw/zinc/53/29/28/1072532928.db2.gz XBLCGONMLMXZFC-CQSZACIVSA-N 0 0 436.531 -0.119 20 0 IBADRN O=C(CNC(=O)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1)N1CCN(c2ccccc2)CC1 ZINC000577067419 1072532974 /nfs/dbraw/zinc/53/29/74/1072532974.db2.gz CCODGQULHUYPJH-INIZCTEOSA-N 0 0 427.505 -0.422 20 0 IBADRN O=C(CNC(=O)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1)N1CCN(c2ccccc2)CC1 ZINC000577067421 1072532719 /nfs/dbraw/zinc/53/27/19/1072532719.db2.gz CCODGQULHUYPJH-MRXNPFEDSA-N 0 0 427.505 -0.422 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)C2)no1 ZINC000577078485 1072533430 /nfs/dbraw/zinc/53/34/30/1072533430.db2.gz FNNSLHWAJBXBKF-HNNXBMFYSA-N 0 0 442.542 -0.841 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)C2)no1 ZINC000577078488 1072533511 /nfs/dbraw/zinc/53/35/11/1072533511.db2.gz FNNSLHWAJBXBKF-OAHLLOKOSA-N 0 0 442.542 -0.841 20 0 IBADRN C[C@@H]1[C@H](C)OCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000577081612 1072533655 /nfs/dbraw/zinc/53/36/55/1072533655.db2.gz QNEMVUXZVDACSU-CVEARBPZSA-N 0 0 439.538 -0.715 20 0 IBADRN C[C@@H]1OCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@H]1C ZINC000577081613 1072533635 /nfs/dbraw/zinc/53/36/35/1072533635.db2.gz QNEMVUXZVDACSU-HOTGVXAUSA-N 0 0 439.538 -0.715 20 0 IBADRN C[C@H]1OCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@@H]1C ZINC000577081614 1072533441 /nfs/dbraw/zinc/53/34/41/1072533441.db2.gz QNEMVUXZVDACSU-HZPDHXFCSA-N 0 0 439.538 -0.715 20 0 IBADRN C[C@H]1OCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@H]1C ZINC000577081615 1072533685 /nfs/dbraw/zinc/53/36/85/1072533685.db2.gz QNEMVUXZVDACSU-JKSUJKDBSA-N 0 0 439.538 -0.715 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCC2(c3ccc(F)cc3)CC2)CC1 ZINC000577082426 1072533379 /nfs/dbraw/zinc/53/33/79/1072533379.db2.gz DZXCJUBLPBKOAX-UHFFFAOYSA-N 0 0 432.496 -0.024 20 0 IBADRN Cn1ncc2c1CCC[C@@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000577082430 1072533614 /nfs/dbraw/zinc/53/36/14/1072533614.db2.gz FHSKVLWCZCHRHF-INIZCTEOSA-N 0 0 432.506 -0.158 20 0 IBADRN Cn1ncc2c1CCC[C@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000577082431 1072533501 /nfs/dbraw/zinc/53/35/01/1072533501.db2.gz FHSKVLWCZCHRHF-MRXNPFEDSA-N 0 0 432.506 -0.158 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCO[C@@H](Cc2ccccc2)C1 ZINC000577086419 1072533526 /nfs/dbraw/zinc/53/35/26/1072533526.db2.gz AWZIZLWOJVSASW-KRWDZBQOSA-N 0 0 441.575 -0.049 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCO[C@H](Cc2ccccc2)C1 ZINC000577086421 1072533353 /nfs/dbraw/zinc/53/33/53/1072533353.db2.gz AWZIZLWOJVSASW-QGZVFWFLSA-N 0 0 441.575 -0.049 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)c3cccs3)C2)c(=O)n(C)c1=O ZINC000577087025 1072533469 /nfs/dbraw/zinc/53/34/69/1072533469.db2.gz LCPFNFSWGCNUCK-LLVKDONJSA-N 0 0 448.548 -0.723 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1)S(C)(=O)=O ZINC000577091002 1072533366 /nfs/dbraw/zinc/53/33/66/1072533366.db2.gz AWZHUSDQLFOFLE-CYBMUJFWSA-N 0 0 434.522 -0.595 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1)S(C)(=O)=O ZINC000577091003 1073358044 /nfs/dbraw/zinc/35/80/44/1073358044.db2.gz AWZHUSDQLFOFLE-ZDUSSCGKSA-N 0 0 434.522 -0.595 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)[C@H](C)C1 ZINC000577095155 1072533539 /nfs/dbraw/zinc/53/35/39/1072533539.db2.gz MKFXLUXQVWAQPM-AEFFLSMTSA-N 0 0 445.520 -0.215 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)[C@@H](C)C1 ZINC000577095170 1072534029 /nfs/dbraw/zinc/53/40/29/1072534029.db2.gz MKFXLUXQVWAQPM-FUHWJXTLSA-N 0 0 445.520 -0.215 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)[C@H](C)C1 ZINC000577095173 1072534104 /nfs/dbraw/zinc/53/41/04/1072534104.db2.gz MKFXLUXQVWAQPM-SJLPKXTDSA-N 0 0 445.520 -0.215 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)[C@@H](C)C1 ZINC000577095176 1072534286 /nfs/dbraw/zinc/53/42/86/1072534286.db2.gz MKFXLUXQVWAQPM-WMZOPIPTSA-N 0 0 445.520 -0.215 20 0 IBADRN CN(CCn1cc(Br)cn1)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000577096568 1072534313 /nfs/dbraw/zinc/53/43/13/1072534313.db2.gz RDWHYHOQOYHFAD-UHFFFAOYSA-N 0 0 438.286 -0.059 20 0 IBADRN COCCN1C(=O)N[C@@H]2CN(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)CC[C@@H]21 ZINC000577097007 1072534091 /nfs/dbraw/zinc/53/40/91/1072534091.db2.gz WVYMOCMHMNBODX-OAGGEKHMSA-N 0 0 446.574 -0.529 20 0 IBADRN COCCN1C(=O)N[C@@H]2CN(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)CC[C@@H]21 ZINC000577097008 1072534079 /nfs/dbraw/zinc/53/40/79/1072534079.db2.gz WVYMOCMHMNBODX-XHSDSOJGSA-N 0 0 446.574 -0.529 20 0 IBADRN CC(C)Cc1nnc(NC(=O)CN(C)CC(=O)N2CCN(CC(=O)N(C)C)CC2)s1 ZINC000577106290 1072533982 /nfs/dbraw/zinc/53/39/82/1072533982.db2.gz INIZPGTXUKROPQ-UHFFFAOYSA-N 0 0 439.586 -0.161 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)CCOCC)CC1 ZINC000577110497 1072534063 /nfs/dbraw/zinc/53/40/63/1072534063.db2.gz LHVVYYDWEDTPCR-UHFFFAOYSA-N 0 0 442.604 -0.547 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1C ZINC000577118408 1072534001 /nfs/dbraw/zinc/53/40/01/1072534001.db2.gz AWUVWGBBWQEACS-JTQLQIEISA-N 0 0 449.477 -0.665 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1C ZINC000577118409 1072534323 /nfs/dbraw/zinc/53/43/23/1072534323.db2.gz AWUVWGBBWQEACS-SNVBAGLBSA-N 0 0 449.477 -0.665 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(OCC(F)(F)F)c2)CC1 ZINC000577129096 1072534239 /nfs/dbraw/zinc/53/42/39/1072534239.db2.gz UTTRCOPGLNAUGF-UHFFFAOYSA-N 0 0 431.415 -0.081 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)CC2CCCC2)CC1 ZINC000577132078 1072534985 /nfs/dbraw/zinc/53/49/85/1072534985.db2.gz BCCHBKVPZQRZOJ-KRWDZBQOSA-N 0 0 435.569 -0.046 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)CC2CCCC2)CC1 ZINC000577132079 1072534636 /nfs/dbraw/zinc/53/46/36/1072534636.db2.gz BCCHBKVPZQRZOJ-QGZVFWFLSA-N 0 0 435.569 -0.046 20 0 IBADRN Cc1ccc2ncc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c(O)c2c1 ZINC000577132234 1072534610 /nfs/dbraw/zinc/53/46/10/1072534610.db2.gz GZFPUSQLIRYIAH-HNNXBMFYSA-N 0 0 446.529 -0.102 20 0 IBADRN Cc1ccc2ncc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c(O)c2c1 ZINC000577132235 1072534838 /nfs/dbraw/zinc/53/48/38/1072534838.db2.gz GZFPUSQLIRYIAH-OAHLLOKOSA-N 0 0 446.529 -0.102 20 0 IBADRN COCCN(Cc1ccccn1)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000577133069 1072534948 /nfs/dbraw/zinc/53/49/48/1072534948.db2.gz YQQSOOMPMLWDHK-UHFFFAOYSA-N 0 0 430.552 -0.449 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000577133471 1072534794 /nfs/dbraw/zinc/53/47/94/1072534794.db2.gz URUCVDZHEJNSDB-UHFFFAOYSA-N 0 0 447.539 -0.986 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000577134130 1072534707 /nfs/dbraw/zinc/53/47/07/1072534707.db2.gz XZFKHGXJGFVTHP-FQEVSTJZSA-N 0 0 445.564 -0.674 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000577134133 1072534692 /nfs/dbraw/zinc/53/46/92/1072534692.db2.gz XZFKHGXJGFVTHP-HXUWFJFHSA-N 0 0 445.564 -0.674 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@H](CC(C)C)N3CCOCC3)C2=O)n(C)n1 ZINC000577138880 1072534858 /nfs/dbraw/zinc/53/48/58/1072534858.db2.gz XJNXXBMYHBXBLK-DLBZAZTESA-N 0 0 434.541 -0.187 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NC[C@@H](CC(C)C)N3CCOCC3)C2=O)n(C)n1 ZINC000577138881 1072534738 /nfs/dbraw/zinc/53/47/38/1072534738.db2.gz XJNXXBMYHBXBLK-IAGOWNOFSA-N 0 0 434.541 -0.187 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NC[C@H](CC(C)C)N3CCOCC3)C2=O)n(C)n1 ZINC000577138882 1072534965 /nfs/dbraw/zinc/53/49/65/1072534965.db2.gz XJNXXBMYHBXBLK-IRXDYDNUSA-N 0 0 434.541 -0.187 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NC[C@@H](CC(C)C)N3CCOCC3)C2=O)n(C)n1 ZINC000577138883 1072534925 /nfs/dbraw/zinc/53/49/25/1072534925.db2.gz XJNXXBMYHBXBLK-SJORKVTESA-N 0 0 434.541 -0.187 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccnc(N4CCCC4)n3)CC2)o1 ZINC000577139178 1072534649 /nfs/dbraw/zinc/53/46/49/1072534649.db2.gz KOUFRORREKRLOY-UHFFFAOYSA-N 0 0 434.478 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000577142703 1072534909 /nfs/dbraw/zinc/53/49/09/1072534909.db2.gz BLWMKZNVMRITSU-DLBZAZTESA-N 0 0 436.534 -0.013 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000577142704 1072535312 /nfs/dbraw/zinc/53/53/12/1072535312.db2.gz BLWMKZNVMRITSU-IAGOWNOFSA-N 0 0 436.534 -0.013 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000577142705 1072535320 /nfs/dbraw/zinc/53/53/20/1072535320.db2.gz BLWMKZNVMRITSU-IRXDYDNUSA-N 0 0 436.534 -0.013 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCC(NS(=O)(=O)c2ccccc2)CC1 ZINC000577142706 1072535303 /nfs/dbraw/zinc/53/53/03/1072535303.db2.gz BLWMKZNVMRITSU-SJORKVTESA-N 0 0 436.534 -0.013 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)C1 ZINC000577147805 1072535422 /nfs/dbraw/zinc/53/54/22/1072535422.db2.gz NXERRZXISJIELM-DZGCQCFKSA-N 0 0 438.506 -0.158 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)C1 ZINC000577147806 1072535362 /nfs/dbraw/zinc/53/53/62/1072535362.db2.gz NXERRZXISJIELM-HIFRSBDPSA-N 0 0 438.506 -0.158 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)C1 ZINC000577147807 1072535334 /nfs/dbraw/zinc/53/53/34/1072535334.db2.gz NXERRZXISJIELM-UKRRQHHQSA-N 0 0 438.506 -0.158 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)C1 ZINC000577147808 1072535268 /nfs/dbraw/zinc/53/52/68/1072535268.db2.gz NXERRZXISJIELM-ZFWWWQNUSA-N 0 0 438.506 -0.158 20 0 IBADRN COc1ccc(CNC(=O)c2c[nH]nc2NC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000577150069 1072535452 /nfs/dbraw/zinc/53/54/52/1072535452.db2.gz GDTFWYSDGOJMBX-UHFFFAOYSA-N 0 0 447.477 -0.001 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000577154146 1072535342 /nfs/dbraw/zinc/53/53/42/1072535342.db2.gz XGMHCHHXYFTOTP-UHFFFAOYSA-N 0 0 427.527 -0.165 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000577165738 1072535330 /nfs/dbraw/zinc/53/53/30/1072535330.db2.gz MWZLCUNQOJQCGI-NEPJUHHUSA-N 0 0 443.460 -0.146 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000577165739 1072535368 /nfs/dbraw/zinc/53/53/68/1072535368.db2.gz MWZLCUNQOJQCGI-NWDGAFQWSA-N 0 0 443.460 -0.146 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000577165740 1072535291 /nfs/dbraw/zinc/53/52/91/1072535291.db2.gz MWZLCUNQOJQCGI-RYUDHWBXSA-N 0 0 443.460 -0.146 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000577165741 1072535442 /nfs/dbraw/zinc/53/54/42/1072535442.db2.gz MWZLCUNQOJQCGI-VXGBXAGGSA-N 0 0 443.460 -0.146 20 0 IBADRN O=C(NCc1ccc2c(c1)CCO2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000577166062 1072535354 /nfs/dbraw/zinc/53/53/54/1072535354.db2.gz PISHTEWTQJEGTA-UHFFFAOYSA-N 0 0 434.474 -0.100 20 0 IBADRN O=C1[C@H]2CNC(=O)CN2C(=O)N1CCCNS(=O)(=O)c1ccccc1Br ZINC000577166890 1072535429 /nfs/dbraw/zinc/53/54/29/1072535429.db2.gz IVTHBCRLUXZGJI-LLVKDONJSA-N 0 0 445.295 -0.120 20 0 IBADRN O=C1[C@@H]2CNC(=O)CN2C(=O)N1CCCNS(=O)(=O)c1ccccc1Br ZINC000577166891 1072535281 /nfs/dbraw/zinc/53/52/81/1072535281.db2.gz IVTHBCRLUXZGJI-NSHDSACASA-N 0 0 445.295 -0.120 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4C)C2=O)n(C)n1 ZINC000577169765 1072535381 /nfs/dbraw/zinc/53/53/81/1072535381.db2.gz MPFQWHIGWZLJTH-CYBMUJFWSA-N 0 0 426.433 -0.056 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4C)C2=O)n(C)n1 ZINC000577169766 1072535447 /nfs/dbraw/zinc/53/54/47/1072535447.db2.gz MPFQWHIGWZLJTH-ZDUSSCGKSA-N 0 0 426.433 -0.056 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000577183830 1072535677 /nfs/dbraw/zinc/53/56/77/1072535677.db2.gz CQXARDLFJRIHMT-UHFFFAOYSA-N 0 0 440.494 -0.465 20 0 IBADRN COC1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000577196323 1072535898 /nfs/dbraw/zinc/53/58/98/1072535898.db2.gz DWVQFDWZHHMUIS-UHFFFAOYSA-N 0 0 425.507 -0.039 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000577196397 1072535806 /nfs/dbraw/zinc/53/58/06/1072535806.db2.gz HZOJWMKUQULJGF-UHFFFAOYSA-N 0 0 449.489 -0.181 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)CCC(=O)N1C ZINC000577201882 1072535886 /nfs/dbraw/zinc/53/58/86/1072535886.db2.gz DZFBGQZBFDRTLJ-CXAGYDPISA-N 0 0 427.465 -0.750 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000577202683 1072535928 /nfs/dbraw/zinc/53/59/28/1072535928.db2.gz IXJSFYMDVWLVHG-UHFFFAOYSA-N 0 0 431.946 -0.157 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H]([C@@H](C)O)C2)c1 ZINC000577205042 1072535961 /nfs/dbraw/zinc/53/59/61/1072535961.db2.gz XXNWEMBHSSORTC-GDBMZVCRSA-N 0 0 427.523 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H]([C@H](C)O)C2)c1 ZINC000577205043 1072535698 /nfs/dbraw/zinc/53/56/98/1072535698.db2.gz XXNWEMBHSSORTC-GOEBONIOSA-N 0 0 427.523 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H]([C@H](C)O)C2)c1 ZINC000577205044 1072535948 /nfs/dbraw/zinc/53/59/48/1072535948.db2.gz XXNWEMBHSSORTC-HOCLYGCPSA-N 0 0 427.523 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H]([C@@H](C)O)C2)c1 ZINC000577205045 1072535796 /nfs/dbraw/zinc/53/57/96/1072535796.db2.gz XXNWEMBHSSORTC-ZBFHGGJFSA-N 0 0 427.523 -0.153 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)c2cccc(C(N)=O)n2)CC3)CC1 ZINC000577205842 1072535754 /nfs/dbraw/zinc/53/57/54/1072535754.db2.gz GDWANKMTHPUJEM-AWEZNQCLSA-N 0 0 425.493 -0.243 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)c2cccc(C(N)=O)n2)CC3)CC1 ZINC000577205843 1072535735 /nfs/dbraw/zinc/53/57/35/1072535735.db2.gz GDWANKMTHPUJEM-CQSZACIVSA-N 0 0 425.493 -0.243 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3ccc(Cl)c(F)c3)C[C@H]2C)n1 ZINC000577209681 1073313867 /nfs/dbraw/zinc/31/38/67/1073313867.db2.gz DSCINWVPYOUEKO-LLVKDONJSA-N 0 0 437.863 0.492 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3ccc(Cl)c(F)c3)C[C@@H]2C)n1 ZINC000577209682 1073313928 /nfs/dbraw/zinc/31/39/28/1073313928.db2.gz DSCINWVPYOUEKO-NSHDSACASA-N 0 0 437.863 0.492 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000577210736 1072535852 /nfs/dbraw/zinc/53/58/52/1072535852.db2.gz XVZYXWKVVOPFLC-UHFFFAOYSA-N 0 0 449.551 -0.088 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000577212903 1072535785 /nfs/dbraw/zinc/53/57/85/1072535785.db2.gz SIDJJEGOOCNIDD-AWEZNQCLSA-N 0 0 447.525 -0.253 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000577212904 1072535818 /nfs/dbraw/zinc/53/58/18/1072535818.db2.gz SIDJJEGOOCNIDD-CQSZACIVSA-N 0 0 447.525 -0.253 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(Cc2ncc[nH]2)CC1)N1CCN(c2ccccc2)CC1 ZINC000577213020 1072535912 /nfs/dbraw/zinc/53/59/12/1072535912.db2.gz XXSNOWNRSQMLST-UHFFFAOYSA-N 0 0 439.520 -0.481 20 0 IBADRN Cc1csc(NC(=O)CSc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)n1 ZINC000577215065 1072535875 /nfs/dbraw/zinc/53/58/75/1072535875.db2.gz IPWYCYBBRNRTOQ-PMXXHBEXSA-N 0 0 438.491 -0.066 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000577225174 1072535769 /nfs/dbraw/zinc/53/57/69/1072535769.db2.gz MQISPDXOANCPGY-HNNXBMFYSA-N 0 0 428.515 -0.370 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000577225175 1072536208 /nfs/dbraw/zinc/53/62/08/1072536208.db2.gz MQISPDXOANCPGY-OAHLLOKOSA-N 0 0 428.515 -0.370 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)NCCCN(C)S(=O)(=O)CC)CC1 ZINC000577226395 1072536476 /nfs/dbraw/zinc/53/64/76/1072536476.db2.gz QZALRYMKMYTXIO-UHFFFAOYSA-N 0 0 440.588 -0.905 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(=O)N(CC2CC2)C1 ZINC000577228759 1072536362 /nfs/dbraw/zinc/53/63/62/1072536362.db2.gz LAALFPFCAGYBFN-INIZCTEOSA-N 0 0 443.547 -0.194 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(=O)N(CC2CC2)C1 ZINC000577228760 1072536422 /nfs/dbraw/zinc/53/64/22/1072536422.db2.gz LAALFPFCAGYBFN-MRXNPFEDSA-N 0 0 443.547 -0.194 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCC(F)(c3cc(OC)cc(OC)c3)CC2)n1 ZINC000577233881 1073313505 /nfs/dbraw/zinc/31/35/05/1073313505.db2.gz FYIPFIAWDLCVEX-UHFFFAOYSA-N 0 0 448.455 0.467 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(c2cnn(-c3ccccc3)c(=O)c2Cl)CC1 ZINC000577234496 1072536225 /nfs/dbraw/zinc/53/62/25/1072536225.db2.gz SUIIGMAYCMKKRY-UHFFFAOYSA-N 0 0 440.913 -0.412 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC[C@@H](c1ccc(C)o1)N1CCOCC1)S(C)(=O)=O ZINC000577234721 1072536374 /nfs/dbraw/zinc/53/63/74/1072536374.db2.gz SKTDNMGQGRVAMT-INIZCTEOSA-N 0 0 444.554 -0.135 20 0 IBADRN CCN(CCCNC(=O)C(=O)NC[C@H](c1ccc(C)o1)N1CCOCC1)S(C)(=O)=O ZINC000577234722 1072536492 /nfs/dbraw/zinc/53/64/92/1072536492.db2.gz SKTDNMGQGRVAMT-MRXNPFEDSA-N 0 0 444.554 -0.135 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(CC)[C@H](C)CS(C)(=O)=O)C2)nc1 ZINC000577245886 1072536399 /nfs/dbraw/zinc/53/63/99/1072536399.db2.gz WNYJSTZYEIQRJP-CYBMUJFWSA-N 0 0 440.522 -0.155 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(CC)[C@@H](C)CS(C)(=O)=O)C2)nc1 ZINC000577245887 1072536470 /nfs/dbraw/zinc/53/64/70/1072536470.db2.gz WNYJSTZYEIQRJP-ZDUSSCGKSA-N 0 0 440.522 -0.155 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000577247356 1072536248 /nfs/dbraw/zinc/53/62/48/1072536248.db2.gz JUTDJHCRCLDKMD-OALUTQOASA-N 0 0 439.520 -0.121 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000577247362 1072536931 /nfs/dbraw/zinc/53/69/31/1072536931.db2.gz JUTDJHCRCLDKMD-RBUKOAKNSA-N 0 0 439.520 -0.121 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000577249001 1072536797 /nfs/dbraw/zinc/53/67/97/1072536797.db2.gz WAJJPXGESAWQBL-CABCVRRESA-N 0 0 426.543 -0.646 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000577249002 1072536877 /nfs/dbraw/zinc/53/68/77/1072536877.db2.gz WAJJPXGESAWQBL-GJZGRUSLSA-N 0 0 426.543 -0.646 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000577249003 1072536897 /nfs/dbraw/zinc/53/68/97/1072536897.db2.gz WAJJPXGESAWQBL-HUUCEWRRSA-N 0 0 426.543 -0.646 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000577249004 1072536808 /nfs/dbraw/zinc/53/68/08/1072536808.db2.gz WAJJPXGESAWQBL-LSDHHAIUSA-N 0 0 426.543 -0.646 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000577250267 1072536826 /nfs/dbraw/zinc/53/68/26/1072536826.db2.gz SSMGKFKSSNTIQU-UHFFFAOYSA-N 0 0 436.531 -0.018 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000577251346 1072536928 /nfs/dbraw/zinc/53/69/28/1072536928.db2.gz HJCUFEVOWVJOKA-UHFFFAOYSA-N 0 0 440.931 -0.464 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCCn1cc(CO)nn1)CC2 ZINC000577251604 1072536890 /nfs/dbraw/zinc/53/68/90/1072536890.db2.gz RVEDCCYSAWQTCJ-UHFFFAOYSA-N 0 0 436.494 -0.836 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000577252283 1072536922 /nfs/dbraw/zinc/53/69/22/1072536922.db2.gz ZPHJVMFXMDAKRA-INIZCTEOSA-N 0 0 449.526 -0.243 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000577252284 1072536846 /nfs/dbraw/zinc/53/68/46/1072536846.db2.gz ZPHJVMFXMDAKRA-MRXNPFEDSA-N 0 0 449.526 -0.243 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCCCC2)c1 ZINC000577254451 1072536870 /nfs/dbraw/zinc/53/68/70/1072536870.db2.gz UOLGKEZNNJDNTD-UHFFFAOYSA-N 0 0 447.535 -0.263 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC000577270940 1072536814 /nfs/dbraw/zinc/53/68/14/1072536814.db2.gz ROEDENPWTNHBPH-INIZCTEOSA-N 0 0 429.521 -0.428 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC000577270941 1072536861 /nfs/dbraw/zinc/53/68/61/1072536861.db2.gz ROEDENPWTNHBPH-MRXNPFEDSA-N 0 0 429.521 -0.428 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CC(=O)N(c3ccccc3)C2)CC1 ZINC000577271505 1072536833 /nfs/dbraw/zinc/53/68/33/1072536833.db2.gz OGQFCTNUCNTFAM-HNNXBMFYSA-N 0 0 427.461 -0.205 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CC(=O)N(c3ccccc3)C2)CC1 ZINC000577271506 1072536857 /nfs/dbraw/zinc/53/68/57/1072536857.db2.gz OGQFCTNUCNTFAM-OAHLLOKOSA-N 0 0 427.461 -0.205 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C[C@H]1CO ZINC000577283569 1072536899 /nfs/dbraw/zinc/53/68/99/1072536899.db2.gz ACGZSELFQGGVQR-IKGGRYGDSA-N 0 0 432.525 -0.515 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C[C@H]1CO ZINC000577283572 1072537389 /nfs/dbraw/zinc/53/73/89/1072537389.db2.gz ACGZSELFQGGVQR-IXDOHACOSA-N 0 0 432.525 -0.515 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCC(=O)N(C)C3)CC2)cc1 ZINC000577284911 1072537287 /nfs/dbraw/zinc/53/72/87/1072537287.db2.gz ZENNOGXTDJTSEQ-AWEZNQCLSA-N 0 0 438.506 -0.735 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N(C)C3)CC2)cc1 ZINC000577284918 1072537433 /nfs/dbraw/zinc/53/74/33/1072537433.db2.gz ZENNOGXTDJTSEQ-CQSZACIVSA-N 0 0 438.506 -0.735 20 0 IBADRN O=C(N[C@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000577289032 1072537278 /nfs/dbraw/zinc/53/72/78/1072537278.db2.gz MWXWUCVDCIMOER-MSOLQXFVSA-N 0 0 448.545 -0.070 20 0 IBADRN O=C(N[C@@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000577289033 1072537299 /nfs/dbraw/zinc/53/72/99/1072537299.db2.gz MWXWUCVDCIMOER-QZTJIDSGSA-N 0 0 448.545 -0.070 20 0 IBADRN O=C(N[C@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000577289034 1072537240 /nfs/dbraw/zinc/53/72/40/1072537240.db2.gz MWXWUCVDCIMOER-ROUUACIJSA-N 0 0 448.545 -0.070 20 0 IBADRN O=C(N[C@@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000577289035 1072537454 /nfs/dbraw/zinc/53/74/54/1072537454.db2.gz MWXWUCVDCIMOER-ZWKOTPCHSA-N 0 0 448.545 -0.070 20 0 IBADRN Cc1cc(C(F)(F)F)ccc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000577291520 1072537327 /nfs/dbraw/zinc/53/73/27/1072537327.db2.gz DZJUMMULWPLKTF-UHFFFAOYSA-N 0 0 439.398 -0.012 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)CC2)n1 ZINC000577291722 1072537338 /nfs/dbraw/zinc/53/73/38/1072537338.db2.gz AKUWOCCEEQLYSC-AWEZNQCLSA-N 0 0 444.521 -0.529 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)CC2)n1 ZINC000577291729 1072537370 /nfs/dbraw/zinc/53/73/70/1072537370.db2.gz AKUWOCCEEQLYSC-CQSZACIVSA-N 0 0 444.521 -0.529 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(Cc4ccncc4)CC3)C2=O)n1 ZINC000577295843 1072537311 /nfs/dbraw/zinc/53/73/11/1072537311.db2.gz YLNQOMSFQMGGFZ-KRWDZBQOSA-N 0 0 425.493 -0.229 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(Cc4ccncc4)CC3)C2=O)n1 ZINC000577295847 1072537225 /nfs/dbraw/zinc/53/72/25/1072537225.db2.gz YLNQOMSFQMGGFZ-QGZVFWFLSA-N 0 0 425.493 -0.229 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(S(=O)(=O)CC)CC1 ZINC000577297522 1072537444 /nfs/dbraw/zinc/53/74/44/1072537444.db2.gz AOVRZSVBGKCYFU-HNNXBMFYSA-N 0 0 445.563 -0.151 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(S(=O)(=O)CC)CC1 ZINC000577297525 1072537209 /nfs/dbraw/zinc/53/72/09/1072537209.db2.gz AOVRZSVBGKCYFU-OAHLLOKOSA-N 0 0 445.563 -0.151 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCC2([S@](C)=O)CC2)C1=O ZINC000577299109 1072537880 /nfs/dbraw/zinc/53/78/80/1072537880.db2.gz JLSBIIYBSGKSSY-CTUXIKGSSA-N 0 0 427.504 -0.217 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCC2([S@](C)=O)CC2)C1=O ZINC000577299111 1072537909 /nfs/dbraw/zinc/53/79/09/1072537909.db2.gz JLSBIIYBSGKSSY-DNMZCBJRSA-N 0 0 427.504 -0.217 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCC2([S@@](C)=O)CC2)C1=O ZINC000577299112 1072537808 /nfs/dbraw/zinc/53/78/08/1072537808.db2.gz JLSBIIYBSGKSSY-GFRLJBLXSA-N 0 0 427.504 -0.217 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCC2([S@@](C)=O)CC2)C1=O ZINC000577299113 1072537959 /nfs/dbraw/zinc/53/79/59/1072537959.db2.gz JLSBIIYBSGKSSY-NQNZVUTLSA-N 0 0 427.504 -0.217 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CC[C@H](Cc3ccccc3)C2)CC1 ZINC000577299222 1072537198 /nfs/dbraw/zinc/53/71/98/1072537198.db2.gz LXLXDXWIKQHTSR-MSOLQXFVSA-N 0 0 425.493 -0.129 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@H](Cc3ccccc3)C2)CC1 ZINC000577299225 1072537421 /nfs/dbraw/zinc/53/74/21/1072537421.db2.gz LXLXDXWIKQHTSR-QZTJIDSGSA-N 0 0 425.493 -0.129 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CC[C@@H](Cc3ccccc3)C2)CC1 ZINC000577299226 1072537872 /nfs/dbraw/zinc/53/78/72/1072537872.db2.gz LXLXDXWIKQHTSR-ROUUACIJSA-N 0 0 425.493 -0.129 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H](Cc3ccccc3)C2)CC1 ZINC000577299227 1072537897 /nfs/dbraw/zinc/53/78/97/1072537897.db2.gz LXLXDXWIKQHTSR-ZWKOTPCHSA-N 0 0 425.493 -0.129 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@@H](CNC(C)=O)C3)C2)nc1 ZINC000577300693 1072537985 /nfs/dbraw/zinc/53/79/85/1072537985.db2.gz LAFYVJFWJAITDA-HNNXBMFYSA-N 0 0 431.493 -0.062 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC[C@H](CNC(C)=O)C3)C2)nc1 ZINC000577300694 1072537942 /nfs/dbraw/zinc/53/79/42/1072537942.db2.gz LAFYVJFWJAITDA-OAHLLOKOSA-N 0 0 431.493 -0.062 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC(=O)N(C)CCc1ccccn1)c1cccc(S(N)(=O)=O)c1 ZINC000577301621 1072537792 /nfs/dbraw/zinc/53/77/92/1072537792.db2.gz GKLIACACUWIODT-AWEZNQCLSA-N 0 0 447.517 -0.277 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC(=O)N(C)CCc1ccccn1)c1cccc(S(N)(=O)=O)c1 ZINC000577301622 1072537953 /nfs/dbraw/zinc/53/79/53/1072537953.db2.gz GKLIACACUWIODT-CQSZACIVSA-N 0 0 447.517 -0.277 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCN1CCCC1=O)N1CCN(c2ccccn2)CC1 ZINC000577301664 1072537761 /nfs/dbraw/zinc/53/77/61/1072537761.db2.gz JJCKFIXHTKWRGT-UHFFFAOYSA-N 0 0 430.509 -0.635 20 0 IBADRN CC[C@@H](C)NC(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000577302178 1072537819 /nfs/dbraw/zinc/53/78/19/1072537819.db2.gz IOJHNQMUOJUOAL-APWZRJJASA-N 0 0 434.537 -0.017 20 0 IBADRN CC[C@H](C)NC(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC000577302179 1072537963 /nfs/dbraw/zinc/53/79/63/1072537963.db2.gz IOJHNQMUOJUOAL-LPHOPBHVSA-N 0 0 434.537 -0.017 20 0 IBADRN CC[C@H](C)NC(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000577302180 1072537836 /nfs/dbraw/zinc/53/78/36/1072537836.db2.gz IOJHNQMUOJUOAL-QFBILLFUSA-N 0 0 434.537 -0.017 20 0 IBADRN CC[C@@H](C)NC(=O)c1cccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC000577302181 1072538343 /nfs/dbraw/zinc/53/83/43/1072538343.db2.gz IOJHNQMUOJUOAL-VQIMIIECSA-N 0 0 434.537 -0.017 20 0 IBADRN CCC(=O)Nc1cccc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000577302224 1072538635 /nfs/dbraw/zinc/53/86/35/1072538635.db2.gz LGVLMNJZGLZVCI-UHFFFAOYSA-N 0 0 437.478 -0.125 20 0 IBADRN COCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC000577304748 1072538368 /nfs/dbraw/zinc/53/83/68/1072538368.db2.gz LJXILBIGWYBXCA-HOTGVXAUSA-N 0 0 446.570 -0.583 20 0 IBADRN COCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCC1 ZINC000577304753 1072538302 /nfs/dbraw/zinc/53/83/02/1072538302.db2.gz LJXILBIGWYBXCA-HZPDHXFCSA-N 0 0 446.570 -0.583 20 0 IBADRN COCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC000577304754 1072538448 /nfs/dbraw/zinc/53/84/48/1072538448.db2.gz LJXILBIGWYBXCA-IYBDPMFKSA-N 0 0 446.570 -0.583 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)CCC1 ZINC000577306062 1072538579 /nfs/dbraw/zinc/53/85/79/1072538579.db2.gz REAVIZCZIWPGGC-KRWDZBQOSA-N 0 0 435.569 -0.046 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)CCC1 ZINC000577306063 1072538627 /nfs/dbraw/zinc/53/86/27/1072538627.db2.gz REAVIZCZIWPGGC-QGZVFWFLSA-N 0 0 435.569 -0.046 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(C)(=O)=O)c1 ZINC000577309830 1072538492 /nfs/dbraw/zinc/53/84/92/1072538492.db2.gz RWGXHONJDWWVQS-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000577310104 1072538427 /nfs/dbraw/zinc/53/84/27/1072538427.db2.gz DSPOZECUALZZQM-UHFFFAOYSA-N 0 0 429.564 -0.042 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000577311688 1072538286 /nfs/dbraw/zinc/53/82/86/1072538286.db2.gz OVNCAXDIXYHNND-CYBMUJFWSA-N 0 0 428.898 -0.335 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)CC1 ZINC000577311689 1072538595 /nfs/dbraw/zinc/53/85/95/1072538595.db2.gz OVNCAXDIXYHNND-ZDUSSCGKSA-N 0 0 428.898 -0.335 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)n1C ZINC000577311977 1072538548 /nfs/dbraw/zinc/53/85/48/1072538548.db2.gz SUYYOULMPDVEIF-UHFFFAOYSA-N 0 0 433.557 -0.351 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n[nH]1 ZINC000577316668 1072539042 /nfs/dbraw/zinc/53/90/42/1072539042.db2.gz JVEJTDNTLCYJGI-HNNXBMFYSA-N 0 0 428.497 -0.152 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n[nH]1 ZINC000577316669 1072539086 /nfs/dbraw/zinc/53/90/86/1072539086.db2.gz JVEJTDNTLCYJGI-OAHLLOKOSA-N 0 0 428.497 -0.152 20 0 IBADRN CCS[C@H]1CCCC[C@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000577316740 1072538270 /nfs/dbraw/zinc/53/82/70/1072538270.db2.gz IWJZSSQWRGUTGX-CVEARBPZSA-N 0 0 440.566 -0.304 20 0 IBADRN CCS[C@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000577316741 1072538461 /nfs/dbraw/zinc/53/84/61/1072538461.db2.gz IWJZSSQWRGUTGX-HOTGVXAUSA-N 0 0 440.566 -0.304 20 0 IBADRN CCS[C@@H]1CCCC[C@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000577316742 1072538481 /nfs/dbraw/zinc/53/84/81/1072538481.db2.gz IWJZSSQWRGUTGX-HZPDHXFCSA-N 0 0 440.566 -0.304 20 0 IBADRN CCS[C@@H]1CCCC[C@@H]1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000577316743 1072538935 /nfs/dbraw/zinc/53/89/35/1072538935.db2.gz IWJZSSQWRGUTGX-JKSUJKDBSA-N 0 0 440.566 -0.304 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000577318771 1072539107 /nfs/dbraw/zinc/53/91/07/1072539107.db2.gz WHMPFOUOUXQEAQ-UHFFFAOYSA-N 0 0 436.494 -0.544 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000577319942 1072539094 /nfs/dbraw/zinc/53/90/94/1072539094.db2.gz CLLLBIVFQHVNEO-BBWFWOEESA-N 0 0 449.504 -0.211 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000577319943 1072539137 /nfs/dbraw/zinc/53/91/37/1072539137.db2.gz CLLLBIVFQHVNEO-BRWVUGGUSA-N 0 0 449.504 -0.211 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000577319944 1072538968 /nfs/dbraw/zinc/53/89/68/1072538968.db2.gz CLLLBIVFQHVNEO-GVDBMIGSSA-N 0 0 449.504 -0.211 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)C1 ZINC000577319945 1072538999 /nfs/dbraw/zinc/53/89/99/1072538999.db2.gz CLLLBIVFQHVNEO-ZACQAIPSSA-N 0 0 449.504 -0.211 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@](CO)(Cc3ccc(F)cc3)C2)cc1C ZINC000577322443 1072539058 /nfs/dbraw/zinc/53/90/58/1072539058.db2.gz QQIFYMHGEIECBW-NRFANRHFSA-N 0 0 431.468 0.469 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@@](CO)(Cc3ccc(F)cc3)C2)cc1C ZINC000577322444 1072539123 /nfs/dbraw/zinc/53/91/23/1072539123.db2.gz QQIFYMHGEIECBW-OAQYLSRUSA-N 0 0 431.468 0.469 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCNC(=O)C[C@H]1c1ccccc1)CC2 ZINC000577323694 1072539081 /nfs/dbraw/zinc/53/90/81/1072539081.db2.gz KIAJHOSWILLFKG-CVEARBPZSA-N 0 0 426.477 -0.055 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCNC(=O)C[C@H]1c1ccccc1)CC2 ZINC000577323695 1072538958 /nfs/dbraw/zinc/53/89/58/1072538958.db2.gz KIAJHOSWILLFKG-HOTGVXAUSA-N 0 0 426.477 -0.055 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCNC(=O)C[C@@H]1c1ccccc1)CC2 ZINC000577323696 1072539029 /nfs/dbraw/zinc/53/90/29/1072539029.db2.gz KIAJHOSWILLFKG-HZPDHXFCSA-N 0 0 426.477 -0.055 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCNC(=O)C[C@@H]1c1ccccc1)CC2 ZINC000577323698 1072539647 /nfs/dbraw/zinc/53/96/47/1072539647.db2.gz KIAJHOSWILLFKG-JKSUJKDBSA-N 0 0 426.477 -0.055 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NC3CCN(c4cc(C)[nH]n4)CC3)CC2)ncn1 ZINC000577327127 1072539487 /nfs/dbraw/zinc/53/94/87/1072539487.db2.gz WBCYOKOOSKRVOW-UHFFFAOYSA-N 0 0 428.497 -0.049 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)CNS(=O)(=O)c3cccnc3)C2)no1 ZINC000577330260 1072539681 /nfs/dbraw/zinc/53/96/81/1072539681.db2.gz OLEYIDTYZAYZRP-AWEZNQCLSA-N 0 0 436.494 -0.045 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)CNS(=O)(=O)c3cccnc3)C2)no1 ZINC000577330261 1072539443 /nfs/dbraw/zinc/53/94/43/1072539443.db2.gz OLEYIDTYZAYZRP-CQSZACIVSA-N 0 0 436.494 -0.045 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000577330370 1072539567 /nfs/dbraw/zinc/53/95/67/1072539567.db2.gz XADOQDOQAXHVGK-UHFFFAOYSA-N 0 0 432.524 -0.679 20 0 IBADRN COC(=O)c1ccc(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)nc1 ZINC000577352573 1072539576 /nfs/dbraw/zinc/53/95/76/1072539576.db2.gz IDDQZDMPJHIVSH-UHFFFAOYSA-N 0 0 432.458 -0.081 20 0 IBADRN Cc1cnc([C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000577359377 1072539522 /nfs/dbraw/zinc/53/95/22/1072539522.db2.gz GPGQFWNJJJYODP-AWEZNQCLSA-N 0 0 444.583 -0.735 20 0 IBADRN Cc1cnc([C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000577359378 1072539612 /nfs/dbraw/zinc/53/96/12/1072539612.db2.gz GPGQFWNJJJYODP-CQSZACIVSA-N 0 0 444.583 -0.735 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)(N2CCOCC2)C1 ZINC000577360039 1072539434 /nfs/dbraw/zinc/53/94/34/1072539434.db2.gz GTDCCJXCSFYHCW-UHFFFAOYSA-N 0 0 448.568 -0.550 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2c3ccccc3oc2C(=O)N2CCOCC2)CCO1 ZINC000577374408 1072539547 /nfs/dbraw/zinc/53/95/47/1072539547.db2.gz YYCVZTAWOVKMAW-HNNXBMFYSA-N 0 0 444.444 -0.183 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2c3ccccc3oc2C(=O)N2CCOCC2)CCO1 ZINC000577374411 1072539710 /nfs/dbraw/zinc/53/97/10/1072539710.db2.gz YYCVZTAWOVKMAW-OAHLLOKOSA-N 0 0 444.444 -0.183 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000577374632 1072539473 /nfs/dbraw/zinc/53/94/73/1072539473.db2.gz QMMJBSWLTWGLPS-DLBZAZTESA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000577374634 1072539496 /nfs/dbraw/zinc/53/94/96/1072539496.db2.gz QMMJBSWLTWGLPS-IAGOWNOFSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000577374635 1072539697 /nfs/dbraw/zinc/53/96/97/1072539697.db2.gz QMMJBSWLTWGLPS-IRXDYDNUSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000577374637 1072539632 /nfs/dbraw/zinc/53/96/32/1072539632.db2.gz QMMJBSWLTWGLPS-SJORKVTESA-N 0 0 447.536 -0.076 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@@H](Cc2ccccc2)C1 ZINC000577375107 1072539418 /nfs/dbraw/zinc/53/94/18/1072539418.db2.gz DJTTYKCIPQSDFT-KRWDZBQOSA-N 0 0 436.490 -0.320 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@H](Cc2ccccc2)C1 ZINC000577375109 1072539663 /nfs/dbraw/zinc/53/96/63/1072539663.db2.gz DJTTYKCIPQSDFT-QGZVFWFLSA-N 0 0 436.490 -0.320 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1)NC1CC1 ZINC000577379670 1072540266 /nfs/dbraw/zinc/54/02/66/1072540266.db2.gz OJEFZJMNJMFRNI-UHFFFAOYSA-N 0 0 428.493 -0.427 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCC[C@@]4(CNC(=O)C4)C3)cc2)CC1 ZINC000577401667 1072540231 /nfs/dbraw/zinc/54/02/31/1072540231.db2.gz NFVZAEMOWUODFM-HSZRJFAPSA-N 0 0 441.532 -0.181 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCC[C@]4(CNC(=O)C4)C3)cc2)CC1 ZINC000577401670 1072539943 /nfs/dbraw/zinc/53/99/43/1072539943.db2.gz NFVZAEMOWUODFM-QHCPKHFHSA-N 0 0 441.532 -0.181 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNC(=O)CC2CCCC2)CCN1c1nccn2cnnc12 ZINC000577403001 1072540005 /nfs/dbraw/zinc/54/00/05/1072540005.db2.gz XUGRPAVNWVGJCP-HNNXBMFYSA-N 0 0 442.524 -0.026 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNC(=O)CC2CCCC2)CCN1c1nccn2cnnc12 ZINC000577403002 1072540110 /nfs/dbraw/zinc/54/01/10/1072540110.db2.gz XUGRPAVNWVGJCP-OAHLLOKOSA-N 0 0 442.524 -0.026 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000577409823 1072540122 /nfs/dbraw/zinc/54/01/22/1072540122.db2.gz LRNXPVILUCCMCF-UHFFFAOYSA-N 0 0 439.538 -0.719 20 0 IBADRN CC(CNC(=O)c1cnc2c(c1)NC(=O)CO2)CNC(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000577413865 1072540096 /nfs/dbraw/zinc/54/00/96/1072540096.db2.gz HJGBTGGKRAJVNL-UHFFFAOYSA-N 0 0 440.416 -0.066 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N[C@@H]2CCCN(S(=O)(=O)c3cccs3)C2)c1 ZINC000577414251 1072540287 /nfs/dbraw/zinc/54/02/87/1072540287.db2.gz XPWDKRYHNFGBMH-GFCCVEGCSA-N 0 0 447.564 -0.048 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000577415056 1072540206 /nfs/dbraw/zinc/54/02/06/1072540206.db2.gz MOGCODNLLJITQJ-INIZCTEOSA-N 0 0 425.493 -0.229 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC000577415058 1072540343 /nfs/dbraw/zinc/54/03/43/1072540343.db2.gz MOGCODNLLJITQJ-MRXNPFEDSA-N 0 0 425.493 -0.229 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)CC2)c1=O ZINC000577415414 1072539962 /nfs/dbraw/zinc/53/99/62/1072539962.db2.gz QOBUAURHCSNQAT-INIZCTEOSA-N 0 0 439.476 -0.864 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)CC2)c1=O ZINC000577415415 1072540648 /nfs/dbraw/zinc/54/06/48/1072540648.db2.gz QOBUAURHCSNQAT-MRXNPFEDSA-N 0 0 439.476 -0.864 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000577415907 1072540134 /nfs/dbraw/zinc/54/01/34/1072540134.db2.gz XMDXAYSQLDKWQZ-KRWDZBQOSA-N 0 0 448.568 -0.156 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000577415913 1072539988 /nfs/dbraw/zinc/53/99/88/1072539988.db2.gz XMDXAYSQLDKWQZ-QGZVFWFLSA-N 0 0 448.568 -0.156 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3nc4c(s3)COCC4)CC2)no1 ZINC000577418998 1073330138 /nfs/dbraw/zinc/33/01/38/1073330138.db2.gz BZGRXVYOTJHHRB-UHFFFAOYSA-N 0 0 434.478 0.234 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H](CN2CCCC2=O)c2ccccc2)CC1)NC1CC1 ZINC000577419910 1072540789 /nfs/dbraw/zinc/54/07/89/1072540789.db2.gz QTJQEJWCSQFNDJ-IBGZPJMESA-N 0 0 441.532 -0.111 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H](CN2CCCC2=O)c2ccccc2)CC1)NC1CC1 ZINC000577419911 1072540772 /nfs/dbraw/zinc/54/07/72/1072540772.db2.gz QTJQEJWCSQFNDJ-LJQANCHMSA-N 0 0 441.532 -0.111 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000577423837 1072540669 /nfs/dbraw/zinc/54/06/69/1072540669.db2.gz SNFJQDPPFJQHJU-UHFFFAOYSA-N 0 0 433.556 -0.734 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)CC2)c1=O ZINC000577425279 1072540656 /nfs/dbraw/zinc/54/06/56/1072540656.db2.gz MXMXUDBFYGSFKV-KRWDZBQOSA-N 0 0 438.488 -0.654 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)CC2)c1=O ZINC000577425281 1072540757 /nfs/dbraw/zinc/54/07/57/1072540757.db2.gz MXMXUDBFYGSFKV-QGZVFWFLSA-N 0 0 438.488 -0.654 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000577428998 1072540713 /nfs/dbraw/zinc/54/07/13/1072540713.db2.gz FPXUADRKHTVVPM-UHFFFAOYSA-N 0 0 441.510 -0.655 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000577429813 1072540728 /nfs/dbraw/zinc/54/07/28/1072540728.db2.gz AYCOSQBIKFBKAX-GDBMZVCRSA-N 0 0 426.495 -0.325 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000577429837 1072540857 /nfs/dbraw/zinc/54/08/57/1072540857.db2.gz AYCOSQBIKFBKAX-GOEBONIOSA-N 0 0 426.495 -0.325 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000577429842 1072540872 /nfs/dbraw/zinc/54/08/72/1072540872.db2.gz AYCOSQBIKFBKAX-HOCLYGCPSA-N 0 0 426.495 -0.325 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000577429847 1072540818 /nfs/dbraw/zinc/54/08/18/1072540818.db2.gz AYCOSQBIKFBKAX-ZBFHGGJFSA-N 0 0 426.495 -0.325 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000577430138 1072540698 /nfs/dbraw/zinc/54/06/98/1072540698.db2.gz RBTSCKYEKXVJIN-UHFFFAOYSA-N 0 0 440.522 -0.291 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)cc1 ZINC000577430199 1072540832 /nfs/dbraw/zinc/54/08/32/1072540832.db2.gz IFUPOYKXDNOZIS-UHFFFAOYSA-N 0 0 436.469 -0.048 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000577431151 1072541471 /nfs/dbraw/zinc/54/14/71/1072541471.db2.gz OXRFUKYDVBYOFT-CYBMUJFWSA-N 0 0 448.567 -0.149 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000577431153 1072541197 /nfs/dbraw/zinc/54/11/97/1072541197.db2.gz OXRFUKYDVBYOFT-ZDUSSCGKSA-N 0 0 448.567 -0.149 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(Cc3nc(-c4ncn(CC(N)=O)n4)no3)CC2)cc1 ZINC000577431819 1072541240 /nfs/dbraw/zinc/54/12/40/1072541240.db2.gz CPCPTOQPPSVIEJ-UHFFFAOYSA-N 0 0 446.493 -0.372 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC2 ZINC000577432839 1072541416 /nfs/dbraw/zinc/54/14/16/1072541416.db2.gz WWKXIVFTUTXMKB-UHFFFAOYSA-N 0 0 439.581 -0.041 20 0 IBADRN O=C(NCc1ccn(C2CCCC2)n1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000577439643 1072541331 /nfs/dbraw/zinc/54/13/31/1072541331.db2.gz KEJVWLPQWGVYEA-UHFFFAOYSA-N 0 0 446.508 -0.856 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000577441431 1072541460 /nfs/dbraw/zinc/54/14/60/1072541460.db2.gz BJZRCQZVYSWIJW-KBPBESRZSA-N 0 0 434.584 -0.504 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000577441433 1072541302 /nfs/dbraw/zinc/54/13/02/1072541302.db2.gz BJZRCQZVYSWIJW-OKILXGFUSA-N 0 0 434.584 -0.504 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000577441434 1072541260 /nfs/dbraw/zinc/54/12/60/1072541260.db2.gz BJZRCQZVYSWIJW-ZIAGYGMSSA-N 0 0 434.584 -0.504 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000577442744 1072541222 /nfs/dbraw/zinc/54/12/22/1072541222.db2.gz IRNDJHUVOMDMMS-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N[C@@H]2CCC(=O)NC23CCC3)CC(=O)N1C ZINC000577444892 1072541284 /nfs/dbraw/zinc/54/12/84/1072541284.db2.gz WNALLOCGMXZOEW-HBUWYVDXSA-N 0 0 430.509 -0.258 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N[C@H]2CCC(=O)NC23CCC3)CC(=O)N1C ZINC000577444900 1072541352 /nfs/dbraw/zinc/54/13/52/1072541352.db2.gz WNALLOCGMXZOEW-QWQRMKEZSA-N 0 0 430.509 -0.258 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc3c2CC(=O)CC3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000577448269 1072541482 /nfs/dbraw/zinc/54/14/82/1072541482.db2.gz XNEAYPVTEVSRLP-INIZCTEOSA-N 0 0 433.530 -0.195 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc3c2CC(=O)CC3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000577448270 1072541959 /nfs/dbraw/zinc/54/19/59/1072541959.db2.gz XNEAYPVTEVSRLP-MRXNPFEDSA-N 0 0 433.530 -0.195 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1c[nH]c3ccccc13)C2 ZINC000577471975 1072541965 /nfs/dbraw/zinc/54/19/65/1072541965.db2.gz XSDKTIUNEHCBML-UHFFFAOYSA-N 0 0 439.476 -0.685 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCC(C)(C)N1CCOCC1 ZINC000577472325 1072541897 /nfs/dbraw/zinc/54/18/97/1072541897.db2.gz HUEYMZMQCFSQCY-GOSISDBHSA-N 0 0 432.569 -0.065 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NCC(C)(C)N1CCOCC1 ZINC000577472334 1072541950 /nfs/dbraw/zinc/54/19/50/1072541950.db2.gz HUEYMZMQCFSQCY-SFHVURJKSA-N 0 0 432.569 -0.065 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000577473218 1072541988 /nfs/dbraw/zinc/54/19/88/1072541988.db2.gz OJSNOYFQFSIZDC-UHFFFAOYSA-N 0 0 447.535 -0.009 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cc(Cl)c2c(c1)OCCO2 ZINC000577476735 1072542045 /nfs/dbraw/zinc/54/20/45/1072542045.db2.gz QLOYPZSQBYORQB-AWEZNQCLSA-N 0 0 427.885 -0.121 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1cc(Cl)c2c(c1)OCCO2 ZINC000577476736 1072541973 /nfs/dbraw/zinc/54/19/73/1072541973.db2.gz QLOYPZSQBYORQB-CQSZACIVSA-N 0 0 427.885 -0.121 20 0 IBADRN COc1cccc(N2CC[C@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)c1 ZINC000577477191 1072542061 /nfs/dbraw/zinc/54/20/61/1072542061.db2.gz RRCTVBWYFRHKRY-KRWDZBQOSA-N 0 0 445.520 -0.014 20 0 IBADRN COc1cccc(N2CC[C@@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)c1 ZINC000577477196 1072541811 /nfs/dbraw/zinc/54/18/11/1072541811.db2.gz RRCTVBWYFRHKRY-QGZVFWFLSA-N 0 0 445.520 -0.014 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000577478105 1072541917 /nfs/dbraw/zinc/54/19/17/1072541917.db2.gz CYFHOLRTQVMVKR-INIZCTEOSA-N 0 0 435.510 -0.017 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000577478106 1072541940 /nfs/dbraw/zinc/54/19/40/1072541940.db2.gz CYFHOLRTQVMVKR-MRXNPFEDSA-N 0 0 435.510 -0.017 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@]2(CCOC2)O1 ZINC000577483586 1072542502 /nfs/dbraw/zinc/54/25/02/1072542502.db2.gz JHZFENCGSKZVJS-IBGZPJMESA-N 0 0 425.507 -0.122 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C[C@@]2(CCOC2)O1 ZINC000577483587 1072542475 /nfs/dbraw/zinc/54/24/75/1072542475.db2.gz JHZFENCGSKZVJS-LJQANCHMSA-N 0 0 425.507 -0.122 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c(OC)c1OC ZINC000577489887 1072542455 /nfs/dbraw/zinc/54/24/55/1072542455.db2.gz WBNOWEKIOXGTRZ-HNNXBMFYSA-N 0 0 425.482 -0.520 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c(OC)c1OC ZINC000577489888 1072542426 /nfs/dbraw/zinc/54/24/26/1072542426.db2.gz WBNOWEKIOXGTRZ-OAHLLOKOSA-N 0 0 425.482 -0.520 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCOc1ccc(F)cc1F ZINC000577490994 1072542445 /nfs/dbraw/zinc/54/24/45/1072542445.db2.gz SLMOGVPIHKLWNV-UHFFFAOYSA-N 0 0 432.405 -0.316 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc3ccccc3n2)CC1 ZINC000577514990 1072542411 /nfs/dbraw/zinc/54/24/11/1072542411.db2.gz XVPBFENQRIYHBH-UHFFFAOYSA-N 0 0 425.489 -0.146 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCc3ccccc3N3CCOCC3)C2=O)cn1 ZINC000577516276 1072542541 /nfs/dbraw/zinc/54/25/41/1072542541.db2.gz RMUISUNBFVUORQ-KRWDZBQOSA-N 0 0 426.477 -0.205 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccccc3N3CCOCC3)C2=O)cn1 ZINC000577516277 1072542368 /nfs/dbraw/zinc/54/23/68/1072542368.db2.gz RMUISUNBFVUORQ-QGZVFWFLSA-N 0 0 426.477 -0.205 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCc3cnn(C)c3)CC2)cs1 ZINC000577522330 1072542528 /nfs/dbraw/zinc/54/25/28/1072542528.db2.gz LRLWVJAVKHIMER-UHFFFAOYSA-N 0 0 440.551 -0.233 20 0 IBADRN COc1cccc(OC)c1OC[C@@H](O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000577522991 1072542506 /nfs/dbraw/zinc/54/25/06/1072542506.db2.gz XRTHFPCBFYYJGH-HNNXBMFYSA-N 0 0 435.477 -0.263 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000577522992 1072542335 /nfs/dbraw/zinc/54/23/35/1072542335.db2.gz XRTHFPCBFYYJGH-OAHLLOKOSA-N 0 0 435.477 -0.263 20 0 IBADRN CSCC[C@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)N(C)C ZINC000577533623 1072542645 /nfs/dbraw/zinc/54/26/45/1072542645.db2.gz HAFWALSYMDQGMJ-HNNXBMFYSA-N 0 0 444.579 -0.007 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000577534690 1072542691 /nfs/dbraw/zinc/54/26/91/1072542691.db2.gz CQXSYWLHLAGRPF-UHFFFAOYSA-N 0 0 435.524 -0.048 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)N2CCOCC2)cc1OC ZINC000577536329 1072543049 /nfs/dbraw/zinc/54/30/49/1072543049.db2.gz WQQVFTMSGFEPOM-DOTOQJQBSA-N 0 0 448.520 -0.118 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)N2CCOCC2)cc1OC ZINC000577536330 1072542970 /nfs/dbraw/zinc/54/29/70/1072542970.db2.gz WQQVFTMSGFEPOM-NVXWUHKLSA-N 0 0 448.520 -0.118 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)N2CCOCC2)cc1OC ZINC000577536331 1072543078 /nfs/dbraw/zinc/54/30/78/1072543078.db2.gz WQQVFTMSGFEPOM-RDJZCZTQSA-N 0 0 448.520 -0.118 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)N2CCOCC2)cc1OC ZINC000577536332 1072542958 /nfs/dbraw/zinc/54/29/58/1072542958.db2.gz WQQVFTMSGFEPOM-WBVHZDCISA-N 0 0 448.520 -0.118 20 0 IBADRN Cc1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000577536536 1072543086 /nfs/dbraw/zinc/54/30/86/1072543086.db2.gz FHLJHUIYJVEGIY-UHFFFAOYSA-N 0 0 435.462 -0.769 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000577539447 1072542980 /nfs/dbraw/zinc/54/29/80/1072542980.db2.gz YCYKPCUZFXCSKI-AWNXRPDDSA-N 0 0 433.527 -0.022 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000577539448 1072543012 /nfs/dbraw/zinc/54/30/12/1072543012.db2.gz YCYKPCUZFXCSKI-DARGTVEUSA-N 0 0 433.527 -0.022 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000577539449 1072543044 /nfs/dbraw/zinc/54/30/44/1072543044.db2.gz YCYKPCUZFXCSKI-OKCBSYDYSA-N 0 0 433.527 -0.022 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000577539450 1072543060 /nfs/dbraw/zinc/54/30/60/1072543060.db2.gz YCYKPCUZFXCSKI-RJVLVOQWSA-N 0 0 433.527 -0.022 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CCC1 ZINC000577542711 1072542996 /nfs/dbraw/zinc/54/29/96/1072542996.db2.gz DVEFTBHDDNQCQT-HNNXBMFYSA-N 0 0 444.554 -0.938 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CCC1 ZINC000577542712 1072543018 /nfs/dbraw/zinc/54/30/18/1072543018.db2.gz DVEFTBHDDNQCQT-OAHLLOKOSA-N 0 0 444.554 -0.938 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C[C@H](C)O1 ZINC000577543839 1072543459 /nfs/dbraw/zinc/54/34/59/1072543459.db2.gz OPRSVESTXPCHHM-HOTGVXAUSA-N 0 0 432.568 -0.007 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C[C@@H](C)O1 ZINC000577543840 1072543613 /nfs/dbraw/zinc/54/36/13/1072543613.db2.gz OPRSVESTXPCHHM-HZPDHXFCSA-N 0 0 432.568 -0.007 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C[C@H](C)O1 ZINC000577543841 1072543573 /nfs/dbraw/zinc/54/35/73/1072543573.db2.gz OPRSVESTXPCHHM-IYBDPMFKSA-N 0 0 432.568 -0.007 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N(Cc2ccc(C(N)=O)cc2F)C2CC2)c[nH]1 ZINC000577545560 1072543502 /nfs/dbraw/zinc/54/35/02/1072543502.db2.gz UMRQPHIHFIJBDU-UHFFFAOYSA-N 0 0 437.453 -0.179 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)Oc1ccccc1F ZINC000577552865 1072543484 /nfs/dbraw/zinc/54/34/84/1072543484.db2.gz PESVJZXXBXTSTG-GFCCVEGCSA-N 0 0 428.442 -0.067 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)Oc1ccccc1F ZINC000577552866 1072543668 /nfs/dbraw/zinc/54/36/68/1072543668.db2.gz PESVJZXXBXTSTG-LBPRGKRZSA-N 0 0 428.442 -0.067 20 0 IBADRN COC(=O)[C@@H](CF)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000577554212 1072543618 /nfs/dbraw/zinc/54/36/18/1072543618.db2.gz NGMYLUPHMRDWFU-CYBMUJFWSA-N 0 0 434.442 -0.034 20 0 IBADRN COC(=O)[C@H](CF)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000577554213 1072543368 /nfs/dbraw/zinc/54/33/68/1072543368.db2.gz NGMYLUPHMRDWFU-ZDUSSCGKSA-N 0 0 434.442 -0.034 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(OC(C)C)c3)CC2)no1 ZINC000577557446 1072544215 /nfs/dbraw/zinc/54/42/15/1072544215.db2.gz FVAPHOYVKYNBFQ-UHFFFAOYSA-N 0 0 444.492 0.564 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)nc1 ZINC000577558061 1072543413 /nfs/dbraw/zinc/54/34/13/1072543413.db2.gz ARPDZPKZOIQYHA-UHFFFAOYSA-N 0 0 429.480 -0.033 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)n1 ZINC000577558341 1072544086 /nfs/dbraw/zinc/54/40/86/1072544086.db2.gz LZEVVHXOFOXPMX-UHFFFAOYSA-N 0 0 444.517 -0.238 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H]2CSCCN2Cc2ccccc2)CC1 ZINC000577560760 1072543932 /nfs/dbraw/zinc/54/39/32/1072543932.db2.gz LNPZXUMOPGYVKR-KRWDZBQOSA-N 0 0 440.591 -0.176 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CSCCN2Cc2ccccc2)CC1 ZINC000577560761 1072544151 /nfs/dbraw/zinc/54/41/51/1072544151.db2.gz LNPZXUMOPGYVKR-QGZVFWFLSA-N 0 0 440.591 -0.176 20 0 IBADRN CC(C)n1ccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000577562732 1072544292 /nfs/dbraw/zinc/54/42/92/1072544292.db2.gz OQUHSCMSKBDFMD-UHFFFAOYSA-N 0 0 448.505 -0.161 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000577588671 1072544674 /nfs/dbraw/zinc/54/46/74/1072544674.db2.gz MUCOIKABOPTXKF-CYBMUJFWSA-N 0 0 444.435 -0.481 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000577588672 1072544650 /nfs/dbraw/zinc/54/46/50/1072544650.db2.gz MUCOIKABOPTXKF-ZDUSSCGKSA-N 0 0 444.435 -0.481 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000577597900 1072544898 /nfs/dbraw/zinc/54/48/98/1072544898.db2.gz WNCINZCSFUEHFT-ARFHVFGLSA-N 0 0 428.555 -0.130 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000577597901 1072544564 /nfs/dbraw/zinc/54/45/64/1072544564.db2.gz WNCINZCSFUEHFT-BZUAXINKSA-N 0 0 428.555 -0.130 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000577597902 1072544910 /nfs/dbraw/zinc/54/49/10/1072544910.db2.gz WNCINZCSFUEHFT-OAGGEKHMSA-N 0 0 428.555 -0.130 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000577597903 1072544819 /nfs/dbraw/zinc/54/48/19/1072544819.db2.gz WNCINZCSFUEHFT-XHSDSOJGSA-N 0 0 428.555 -0.130 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000577610133 1072544750 /nfs/dbraw/zinc/54/47/50/1072544750.db2.gz JUKYPVNILIDKIW-INIZCTEOSA-N 0 0 436.534 -0.160 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000577610134 1072544903 /nfs/dbraw/zinc/54/49/03/1072544903.db2.gz JUKYPVNILIDKIW-MRXNPFEDSA-N 0 0 436.534 -0.160 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCCCn2cc(Br)cn2)CC1 ZINC000577612527 1072544637 /nfs/dbraw/zinc/54/46/37/1072544637.db2.gz AYKXGPAGUVLNLS-UHFFFAOYSA-N 0 0 443.346 -0.178 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ncc(C)cn3)CC2)cc1 ZINC000577612847 1072544726 /nfs/dbraw/zinc/54/47/26/1072544726.db2.gz TWPHPQQFQHHAHZ-UHFFFAOYSA-N 0 0 447.517 -0.015 20 0 IBADRN C[C@@H]1COCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000577622341 1072544695 /nfs/dbraw/zinc/54/46/95/1072544695.db2.gz OCWJNOANZLUYQN-CQSZACIVSA-N 0 0 440.522 -0.041 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cnn(C)c1 ZINC000577642876 1072545765 /nfs/dbraw/zinc/54/57/65/1072545765.db2.gz YLQXRZQKVQCCON-HNNXBMFYSA-N 0 0 449.537 -0.740 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cnn(C)c1 ZINC000577642877 1072546030 /nfs/dbraw/zinc/54/60/30/1072546030.db2.gz YLQXRZQKVQCCON-OAHLLOKOSA-N 0 0 449.537 -0.740 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000577643065 1072545971 /nfs/dbraw/zinc/54/59/71/1072545971.db2.gz GTCAUBNRVSCXPK-HNNXBMFYSA-N 0 0 437.463 -0.565 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000577643066 1072545943 /nfs/dbraw/zinc/54/59/43/1072545943.db2.gz GTCAUBNRVSCXPK-OAHLLOKOSA-N 0 0 437.463 -0.565 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000577644701 1072545989 /nfs/dbraw/zinc/54/59/89/1072545989.db2.gz BMMGHJSQMINQAH-UHFFFAOYSA-N 0 0 437.585 -0.334 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)ccc1-n1cnnn1 ZINC000577645698 1072545960 /nfs/dbraw/zinc/54/59/60/1072545960.db2.gz NYWAIIVBKSKHGG-GFCCVEGCSA-N 0 0 449.415 -0.152 20 0 IBADRN Cc1cc(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)ccc1-n1cnnn1 ZINC000577645699 1072545930 /nfs/dbraw/zinc/54/59/30/1072545930.db2.gz NYWAIIVBKSKHGG-LBPRGKRZSA-N 0 0 449.415 -0.152 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccc(F)c(F)c1 ZINC000577655442 1072545826 /nfs/dbraw/zinc/54/58/26/1072545826.db2.gz LEINBYXWGVWPNP-HNNXBMFYSA-N 0 0 446.432 -0.008 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccc(F)c(F)c1 ZINC000577655443 1072545890 /nfs/dbraw/zinc/54/58/90/1072545890.db2.gz LEINBYXWGVWPNP-OAHLLOKOSA-N 0 0 446.432 -0.008 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)C1 ZINC000577656495 1072546363 /nfs/dbraw/zinc/54/63/63/1072546363.db2.gz GIZGREGGRZEISM-CALCHBBNSA-N 0 0 445.568 -0.193 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)C1 ZINC000577656496 1072546259 /nfs/dbraw/zinc/54/62/59/1072546259.db2.gz GIZGREGGRZEISM-IAGOWNOFSA-N 0 0 445.568 -0.193 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)C1 ZINC000577656497 1072546408 /nfs/dbraw/zinc/54/64/08/1072546408.db2.gz GIZGREGGRZEISM-IRXDYDNUSA-N 0 0 445.568 -0.193 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)nn1 ZINC000577659388 1073346514 /nfs/dbraw/zinc/34/65/14/1073346514.db2.gz DLSKBXWCEHPAOE-UHFFFAOYSA-N 0 0 441.416 0.235 20 0 IBADRN CCC(O)(CC)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000577660117 1072546199 /nfs/dbraw/zinc/54/61/99/1072546199.db2.gz QRRMWHSEUAOJPY-UHFFFAOYSA-N 0 0 429.543 -0.381 20 0 IBADRN COC1CCC(C)(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000577663121 1072546425 /nfs/dbraw/zinc/54/64/25/1072546425.db2.gz MVFMMDXTKVOMPI-UHFFFAOYSA-N 0 0 436.513 -0.813 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)CC1)C(F)(F)F ZINC000577663245 1072546387 /nfs/dbraw/zinc/54/63/87/1072546387.db2.gz RAHSUWRESRMMPK-KYOSRNDESA-N 0 0 430.431 -0.049 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)CC1)C(F)(F)F ZINC000577663246 1072546456 /nfs/dbraw/zinc/54/64/56/1072546456.db2.gz RAHSUWRESRMMPK-LNSITVRQSA-N 0 0 430.431 -0.049 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H](N3CCOCC3)C(F)(F)F)c2c(=O)n(C)c1=O ZINC000577663583 1072546339 /nfs/dbraw/zinc/54/63/39/1072546339.db2.gz GTQCGZIIVYBCJW-LLVKDONJSA-N 0 0 432.403 -0.797 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H](N3CCOCC3)C(F)(F)F)c2c(=O)n(C)c1=O ZINC000577663584 1072546923 /nfs/dbraw/zinc/54/69/23/1072546923.db2.gz GTQCGZIIVYBCJW-NSHDSACASA-N 0 0 432.403 -0.797 20 0 IBADRN O=C(CNC(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)Nc1ccc(F)c(F)c1 ZINC000577664455 1072546823 /nfs/dbraw/zinc/54/68/23/1072546823.db2.gz SLZXESPVGJRTSK-UHFFFAOYSA-N 0 0 442.448 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC2CCN(c3nnnn3C)CC2)cc1OC ZINC000577666717 1072547054 /nfs/dbraw/zinc/54/70/54/1072547054.db2.gz IGGQMEKXARWZHO-UHFFFAOYSA-N 0 0 439.498 -0.709 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N1CC(NS(=O)(=O)c2ccc(C)cc2)C1 ZINC000577667651 1072546881 /nfs/dbraw/zinc/54/68/81/1072546881.db2.gz AKHXFDTYLPCBKT-UHFFFAOYSA-N 0 0 448.505 -0.013 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000577668604 1072547008 /nfs/dbraw/zinc/54/70/08/1072547008.db2.gz NWQODGHWOJOOQF-AWEZNQCLSA-N 0 0 430.494 -0.170 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000577668605 1072547050 /nfs/dbraw/zinc/54/70/50/1072547050.db2.gz NWQODGHWOJOOQF-CQSZACIVSA-N 0 0 430.494 -0.170 20 0 IBADRN COCCN1CCCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1=O ZINC000577669166 1072546935 /nfs/dbraw/zinc/54/69/35/1072546935.db2.gz MWIVTFCXTDHWDY-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)Nc1cc(N2CCCS2(=O)=O)ccc1O ZINC000577670064 1072546957 /nfs/dbraw/zinc/54/69/57/1072546957.db2.gz XXCXKOJZSPEXAY-UHFFFAOYSA-N 0 0 441.553 -0.045 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000577671658 1072546904 /nfs/dbraw/zinc/54/69/04/1072546904.db2.gz IVAKLWQDLYNUEE-JYJNAYRXSA-N 0 0 434.559 -0.728 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C(C)C ZINC000577671659 1072547078 /nfs/dbraw/zinc/54/70/78/1072547078.db2.gz IVAKLWQDLYNUEE-OAGGEKHMSA-N 0 0 434.559 -0.728 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000577671660 1072547544 /nfs/dbraw/zinc/54/75/44/1072547544.db2.gz IVAKLWQDLYNUEE-OWCLPIDISA-N 0 0 434.559 -0.728 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000577671661 1072547587 /nfs/dbraw/zinc/54/75/87/1072547587.db2.gz IVAKLWQDLYNUEE-XHSDSOJGSA-N 0 0 434.559 -0.728 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(Cc4cscn4)CC3)C2=O)n1 ZINC000577672176 1072546814 /nfs/dbraw/zinc/54/68/14/1072546814.db2.gz FRKSRNINFHFMFN-HNNXBMFYSA-N 0 0 431.522 -0.167 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(Cc4cscn4)CC3)C2=O)n1 ZINC000577672177 1072546913 /nfs/dbraw/zinc/54/69/13/1072546913.db2.gz FRKSRNINFHFMFN-OAHLLOKOSA-N 0 0 431.522 -0.167 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCC(C(=O)Nc2nncs2)CC1)C(=O)N1CCOCC1 ZINC000577673279 1072547614 /nfs/dbraw/zinc/54/76/14/1072547614.db2.gz QCBWOQQQEKDQAW-CYBMUJFWSA-N 0 0 438.510 -0.531 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCC(C(=O)Nc2nncs2)CC1)C(=O)N1CCOCC1 ZINC000577673280 1072547360 /nfs/dbraw/zinc/54/73/60/1072547360.db2.gz QCBWOQQQEKDQAW-ZDUSSCGKSA-N 0 0 438.510 -0.531 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)C1 ZINC000577676542 1072547425 /nfs/dbraw/zinc/54/74/25/1072547425.db2.gz IRLHKMHUXAGVDX-GWCFXTLKSA-N 0 0 432.861 -0.410 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)C1 ZINC000577676543 1072547374 /nfs/dbraw/zinc/54/73/74/1072547374.db2.gz IRLHKMHUXAGVDX-GXFFZTMASA-N 0 0 432.861 -0.410 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)C1 ZINC000577676544 1072547579 /nfs/dbraw/zinc/54/75/79/1072547579.db2.gz IRLHKMHUXAGVDX-MFKMUULPSA-N 0 0 432.861 -0.410 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)C1 ZINC000577676545 1072547438 /nfs/dbraw/zinc/54/74/38/1072547438.db2.gz IRLHKMHUXAGVDX-ZWNOBZJWSA-N 0 0 432.861 -0.410 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2C[C@@](C)(OC)C2(C)C)CC1 ZINC000577678195 1072547603 /nfs/dbraw/zinc/54/76/03/1072547603.db2.gz KPRQJPMRTPRTPA-CKJXQJPGSA-N 0 0 426.558 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2C[C@@](C)(OC)C2(C)C)CC1 ZINC000577678196 1072547485 /nfs/dbraw/zinc/54/74/85/1072547485.db2.gz KPRQJPMRTPRTPA-GCKMJXCFSA-N 0 0 426.558 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2C[C@](C)(OC)C2(C)C)CC1 ZINC000577678197 1072547452 /nfs/dbraw/zinc/54/74/52/1072547452.db2.gz KPRQJPMRTPRTPA-MRUHUIDDSA-N 0 0 426.558 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2C[C@](C)(OC)C2(C)C)CC1 ZINC000577678198 1072547556 /nfs/dbraw/zinc/54/75/56/1072547556.db2.gz KPRQJPMRTPRTPA-QYWGDWMGSA-N 0 0 426.558 -0.008 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)N1CCN(C(=O)CO)CC1 ZINC000577683192 1072548074 /nfs/dbraw/zinc/54/80/74/1072548074.db2.gz BXUFQPKJMYBSOZ-UHFFFAOYSA-N 0 0 435.296 -0.010 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000577686500 1072548086 /nfs/dbraw/zinc/54/80/86/1072548086.db2.gz LCWQIZRZIRDJIV-LLVKDONJSA-N 0 0 437.521 -0.418 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000577686501 1072548012 /nfs/dbraw/zinc/54/80/12/1072548012.db2.gz LCWQIZRZIRDJIV-NSHDSACASA-N 0 0 437.521 -0.418 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)CN(CC(=O)NC[C@@]1(O)CCO[C@H]1C)Cc1ccccc1 ZINC000577695136 1072547959 /nfs/dbraw/zinc/54/79/59/1072547959.db2.gz XRBRLUZLQWSMCR-HTUUBCJYSA-N 0 0 449.548 -0.199 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)CN(CC(=O)NC[C@@]1(O)CCO[C@H]1C)Cc1ccccc1 ZINC000577695137 1072547950 /nfs/dbraw/zinc/54/79/50/1072547950.db2.gz XRBRLUZLQWSMCR-PTRHGPIFSA-N 0 0 449.548 -0.199 20 0 IBADRN C[C@H]1OCC[C@@]1(O)CNC(=O)CN(CC(=O)NC[C@@]1(O)CCO[C@H]1C)Cc1ccccc1 ZINC000577695138 1072547974 /nfs/dbraw/zinc/54/79/74/1072547974.db2.gz XRBRLUZLQWSMCR-SGNKAXLRSA-N 0 0 449.548 -0.199 20 0 IBADRN C[C@H]1OCC[C@]1(O)CNC(=O)CN(CC(=O)NC[C@@]1(O)CCO[C@H]1C)Cc1ccccc1 ZINC000577695139 1072547941 /nfs/dbraw/zinc/54/79/41/1072547941.db2.gz XRBRLUZLQWSMCR-WKZKVMAPSA-N 0 0 449.548 -0.199 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000577709887 1072548062 /nfs/dbraw/zinc/54/80/62/1072548062.db2.gz PQFYPFGDCUDPGI-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN COc1cccc(N2CCC[C@H](NC(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2)c1 ZINC000577716971 1073348343 /nfs/dbraw/zinc/34/83/43/1073348343.db2.gz CIVMLGDOVVPRTI-AWEZNQCLSA-N 0 0 429.481 0.099 20 0 IBADRN COc1cccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2)c1 ZINC000577716972 1072548796 /nfs/dbraw/zinc/54/87/96/1072548796.db2.gz CIVMLGDOVVPRTI-CQSZACIVSA-N 0 0 429.481 0.099 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cccc(C(=O)N(C)CC(N)=O)c3)CC2)no1 ZINC000577729149 1072548717 /nfs/dbraw/zinc/54/87/17/1072548717.db2.gz BFIZJHASEIWDAO-UHFFFAOYSA-N 0 0 442.476 -0.177 20 0 IBADRN CCN(CC)C(=O)C1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000577729429 1072548625 /nfs/dbraw/zinc/54/86/25/1072548625.db2.gz OKFJVHXXAXCRAW-UHFFFAOYSA-N 0 0 434.541 -0.006 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)C1 ZINC000577730064 1072548508 /nfs/dbraw/zinc/54/85/08/1072548508.db2.gz FZTSHOBJZLMETL-HNNXBMFYSA-N 0 0 445.563 -0.246 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)C1 ZINC000577730066 1072548520 /nfs/dbraw/zinc/54/85/20/1072548520.db2.gz FZTSHOBJZLMETL-OAHLLOKOSA-N 0 0 445.563 -0.246 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000577754091 1072549332 /nfs/dbraw/zinc/54/93/32/1072549332.db2.gz RXOUAWKWFRCWRI-INIZCTEOSA-N 0 0 442.476 -0.067 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)c1 ZINC000577754092 1072549078 /nfs/dbraw/zinc/54/90/78/1072549078.db2.gz RXOUAWKWFRCWRI-MRXNPFEDSA-N 0 0 442.476 -0.067 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)C1 ZINC000577770081 1072549393 /nfs/dbraw/zinc/54/93/93/1072549393.db2.gz GYISPSYMGUABQH-GFCCVEGCSA-N 0 0 437.565 -0.304 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)C1 ZINC000577770082 1072549403 /nfs/dbraw/zinc/54/94/03/1072549403.db2.gz GYISPSYMGUABQH-LBPRGKRZSA-N 0 0 437.565 -0.304 20 0 IBADRN COC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCOCC1 ZINC000577770210 1072549362 /nfs/dbraw/zinc/54/93/62/1072549362.db2.gz MBDNOYVMUQNSOM-UHFFFAOYSA-N 0 0 443.526 -0.286 20 0 IBADRN O=C(N[C@@H]1CCN(c2ncccc2F)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000577771947 1072549461 /nfs/dbraw/zinc/54/94/61/1072549461.db2.gz QEXQODQEIZYFSI-CABCVRRESA-N 0 0 439.513 -0.058 20 0 IBADRN O=C(N[C@H]1CCN(c2ncccc2F)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000577771949 1072549492 /nfs/dbraw/zinc/54/94/92/1072549492.db2.gz QEXQODQEIZYFSI-GJZGRUSLSA-N 0 0 439.513 -0.058 20 0 IBADRN O=C(N[C@@H]1CCN(c2ncccc2F)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000577771950 1072549424 /nfs/dbraw/zinc/54/94/24/1072549424.db2.gz QEXQODQEIZYFSI-HUUCEWRRSA-N 0 0 439.513 -0.058 20 0 IBADRN O=C(N[C@H]1CCN(c2ncccc2F)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000577771951 1072550017 /nfs/dbraw/zinc/55/00/17/1072550017.db2.gz QEXQODQEIZYFSI-LSDHHAIUSA-N 0 0 439.513 -0.058 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccccc1N1CCOCC1 ZINC000577776654 1072549854 /nfs/dbraw/zinc/54/98/54/1072549854.db2.gz GSAPXHBTOXCUMJ-UHFFFAOYSA-N 0 0 426.539 -0.073 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)Cc2cnc(N(C)C)nc2)s1 ZINC000577777549 1072549927 /nfs/dbraw/zinc/54/99/27/1072549927.db2.gz SCWFEGYZWGWEIF-UHFFFAOYSA-N 0 0 440.551 -0.034 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N(C)CC(C)(C)CN2CCOCC2)CC1 ZINC000577778704 1072549903 /nfs/dbraw/zinc/54/99/03/1072549903.db2.gz ROZDJQVBPDRLFQ-UHFFFAOYSA-N 0 0 426.558 -0.053 20 0 IBADRN CO[C@H]1C[C@@H](C(=O)N2CCCC2)N(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1 ZINC000577782221 1072549840 /nfs/dbraw/zinc/54/98/40/1072549840.db2.gz UZUUGYSXQMYUCS-YOEHRIQHSA-N 0 0 440.522 -0.113 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NC2CCC3(CC2)NC(=O)NC3=O)[C@@H](C)O)cc1 ZINC000577783337 1072550003 /nfs/dbraw/zinc/55/00/03/1072550003.db2.gz LWCRFIFBDWQOOH-CECOHMBGSA-N 0 0 438.506 -0.340 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC2CCC3(CC2)NC(=O)NC3=O)[C@@H](C)O)cc1 ZINC000577783338 1072549867 /nfs/dbraw/zinc/54/98/67/1072549867.db2.gz LWCRFIFBDWQOOH-CLXDAAKHSA-N 0 0 438.506 -0.340 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NC2CCC3(CC2)NC(=O)NC3=O)[C@H](C)O)cc1 ZINC000577783339 1072549945 /nfs/dbraw/zinc/54/99/45/1072549945.db2.gz LWCRFIFBDWQOOH-MBRDSATJSA-N 0 0 438.506 -0.340 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NC2CCC3(CC2)NC(=O)NC3=O)[C@H](C)O)cc1 ZINC000577783340 1072549887 /nfs/dbraw/zinc/54/98/87/1072549887.db2.gz LWCRFIFBDWQOOH-WTWWAQLFSA-N 0 0 438.506 -0.340 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000577784101 1072549977 /nfs/dbraw/zinc/54/99/77/1072549977.db2.gz PWYXGJBMYBSJJN-GFCCVEGCSA-N 0 0 425.554 -0.184 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000577784102 1072549991 /nfs/dbraw/zinc/54/99/91/1072549991.db2.gz PWYXGJBMYBSJJN-LBPRGKRZSA-N 0 0 425.554 -0.184 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3cccc(N4CCOCC4)n3)C2=O)n1 ZINC000577785153 1072550030 /nfs/dbraw/zinc/55/00/30/1072550030.db2.gz YPGFKPMMMRNHRO-INIZCTEOSA-N 0 0 441.492 -0.420 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3cccc(N4CCOCC4)n3)C2=O)n1 ZINC000577785154 1072549957 /nfs/dbraw/zinc/54/99/57/1072549957.db2.gz YPGFKPMMMRNHRO-MRXNPFEDSA-N 0 0 441.492 -0.420 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)cn1 ZINC000577786085 1072550526 /nfs/dbraw/zinc/55/05/26/1072550526.db2.gz LEZZXBXCKCVIFH-UHFFFAOYSA-N 0 0 428.492 -0.284 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000577787457 1072550399 /nfs/dbraw/zinc/55/03/99/1072550399.db2.gz QSKWAJOEHVLQSG-UHFFFAOYSA-N 0 0 446.533 -0.082 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(F)c2)CC1 ZINC000577788630 1072550375 /nfs/dbraw/zinc/55/03/75/1072550375.db2.gz LLEFTNAKWYNYGN-UHFFFAOYSA-N 0 0 437.515 -0.386 20 0 IBADRN Cn1c(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)nc2cccc(Cl)c21 ZINC000577791626 1072550287 /nfs/dbraw/zinc/55/02/87/1072550287.db2.gz FMQONRRSVCEAAU-UHFFFAOYSA-N 0 0 446.895 -0.208 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000577791658 1072550339 /nfs/dbraw/zinc/55/03/39/1072550339.db2.gz GCSXQYFRPWMAIA-UHFFFAOYSA-N 0 0 431.541 -0.426 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000577794027 1072550316 /nfs/dbraw/zinc/55/03/16/1072550316.db2.gz DTBAUILMWHCYMC-AWEZNQCLSA-N 0 0 427.593 -0.382 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000577794028 1072550486 /nfs/dbraw/zinc/55/04/86/1072550486.db2.gz DTBAUILMWHCYMC-CQSZACIVSA-N 0 0 427.593 -0.382 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)C1=O ZINC000577801112 1071785583 /nfs/dbraw/zinc/78/55/83/1071785583.db2.gz UFPRLMJSDGJZDO-KBPBESRZSA-N 0 0 440.526 -0.945 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)C1=O ZINC000577801113 1071785536 /nfs/dbraw/zinc/78/55/36/1071785536.db2.gz UFPRLMJSDGJZDO-KGLIPLIRSA-N 0 0 440.526 -0.945 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)C1=O ZINC000577801114 1072550366 /nfs/dbraw/zinc/55/03/66/1072550366.db2.gz UFPRLMJSDGJZDO-UONOGXRCSA-N 0 0 440.526 -0.945 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)C1=O ZINC000577801115 1072550354 /nfs/dbraw/zinc/55/03/54/1072550354.db2.gz UFPRLMJSDGJZDO-ZIAGYGMSSA-N 0 0 440.526 -0.945 20 0 IBADRN NS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1Cl ZINC000577802134 1072550413 /nfs/dbraw/zinc/55/04/13/1072550413.db2.gz JGGVXZBGOASYHB-UHFFFAOYSA-N 0 0 434.721 -0.215 20 0 IBADRN COC(=O)c1c2c(sc1NC(=O)CN1C(=O)[C@@H]3CN(C(N)=O)CCN3C1=O)CCC2 ZINC000577802638 1072551113 /nfs/dbraw/zinc/55/11/13/1072551113.db2.gz XOSNQFLKQUOFRS-JTQLQIEISA-N 0 0 435.462 -0.011 20 0 IBADRN COC(=O)c1c2c(sc1NC(=O)CN1C(=O)[C@H]3CN(C(N)=O)CCN3C1=O)CCC2 ZINC000577802641 1072551053 /nfs/dbraw/zinc/55/10/53/1072551053.db2.gz XOSNQFLKQUOFRS-SNVBAGLBSA-N 0 0 435.462 -0.011 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(C(=O)N(C)C)CC1)CC2 ZINC000577812893 1072550852 /nfs/dbraw/zinc/55/08/52/1072550852.db2.gz UGBLJANRSASTSY-UHFFFAOYSA-N 0 0 437.522 -0.300 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000577818409 1072550982 /nfs/dbraw/zinc/55/09/82/1072550982.db2.gz OUQFZQXHDQRLES-INIZCTEOSA-N 0 0 440.522 -0.783 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000577818410 1072551005 /nfs/dbraw/zinc/55/10/05/1072551005.db2.gz OUQFZQXHDQRLES-MRXNPFEDSA-N 0 0 440.522 -0.783 20 0 IBADRN CN(CC(=O)NCc1ccccc1)C(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1 ZINC000577834245 1072551150 /nfs/dbraw/zinc/55/11/50/1072551150.db2.gz INVWVUMQLPPCND-UHFFFAOYSA-N 0 0 439.472 -0.043 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](COC)[C@H]2CCCO2)C1 ZINC000577836576 1072550893 /nfs/dbraw/zinc/55/08/93/1072550893.db2.gz FFRCPJCLGFUXAU-BZUAXINKSA-N 0 0 435.543 -0.804 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](COC)[C@@H]2CCCO2)C1 ZINC000577836577 1072550935 /nfs/dbraw/zinc/55/09/35/1072550935.db2.gz FFRCPJCLGFUXAU-OAGGEKHMSA-N 0 0 435.543 -0.804 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](COC)[C@H]2CCCO2)C1 ZINC000577836578 1072551164 /nfs/dbraw/zinc/55/11/64/1072551164.db2.gz FFRCPJCLGFUXAU-OWCLPIDISA-N 0 0 435.543 -0.804 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](COC)[C@@H]2CCCO2)C1 ZINC000577836579 1072551031 /nfs/dbraw/zinc/55/10/31/1072551031.db2.gz FFRCPJCLGFUXAU-PMPSAXMXSA-N 0 0 435.543 -0.804 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000577845436 1072551475 /nfs/dbraw/zinc/55/14/75/1072551475.db2.gz FJOBTSJCQHQPJQ-UHFFFAOYSA-N 0 0 447.492 -0.985 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000577848692 1072551439 /nfs/dbraw/zinc/55/14/39/1072551439.db2.gz ZGCURYXNCGAVPB-BTYIYWSLSA-N 0 0 449.508 -0.232 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000577848693 1072551790 /nfs/dbraw/zinc/55/17/90/1072551790.db2.gz ZGCURYXNCGAVPB-QVKFZJNVSA-N 0 0 449.508 -0.232 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000577848694 1072551559 /nfs/dbraw/zinc/55/15/59/1072551559.db2.gz ZGCURYXNCGAVPB-VFNWGFHPSA-N 0 0 449.508 -0.232 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000577848695 1072551407 /nfs/dbraw/zinc/55/14/07/1072551407.db2.gz ZGCURYXNCGAVPB-YCRPNKLZSA-N 0 0 449.508 -0.232 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)C1=O ZINC000577857641 1072551651 /nfs/dbraw/zinc/55/16/51/1072551651.db2.gz GIBRYGQFTWCGIN-KRWDZBQOSA-N 0 0 432.525 -0.162 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)C1=O ZINC000577857642 1072551763 /nfs/dbraw/zinc/55/17/63/1072551763.db2.gz GIBRYGQFTWCGIN-QGZVFWFLSA-N 0 0 432.525 -0.162 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc3ccon3)CC1)C2 ZINC000577871802 1072552295 /nfs/dbraw/zinc/55/22/95/1072552295.db2.gz HPOKMTYWBKXAOL-HNNXBMFYSA-N 0 0 448.505 -0.579 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc3ccon3)CC1)C2 ZINC000577871803 1072552312 /nfs/dbraw/zinc/55/23/12/1072552312.db2.gz HPOKMTYWBKXAOL-OAHLLOKOSA-N 0 0 448.505 -0.579 20 0 IBADRN C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000577873102 1072552060 /nfs/dbraw/zinc/55/20/60/1072552060.db2.gz OLPBCBOVVIKJSY-AWEZNQCLSA-N 0 0 445.520 -0.364 20 0 IBADRN C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000577873103 1072552155 /nfs/dbraw/zinc/55/21/55/1072552155.db2.gz OLPBCBOVVIKJSY-CQSZACIVSA-N 0 0 445.520 -0.364 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cn(Cc3ccccc3)nn2)C1)C(N)=O ZINC000577874709 1072552274 /nfs/dbraw/zinc/55/22/74/1072552274.db2.gz UGHNEEQROVZVSX-UHFFFAOYSA-N 0 0 441.492 -0.116 20 0 IBADRN COc1ccc(Cn2cc(NC(=O)C(=O)N(CCO)[C@H]3CCS(=O)(=O)C3)cn2)cc1 ZINC000577875473 1072552287 /nfs/dbraw/zinc/55/22/87/1072552287.db2.gz FZBRAHDGZBZQGR-INIZCTEOSA-N 0 0 436.490 -0.114 20 0 IBADRN COc1ccc(Cn2cc(NC(=O)C(=O)N(CCO)[C@@H]3CCS(=O)(=O)C3)cn2)cc1 ZINC000577875474 1072552007 /nfs/dbraw/zinc/55/20/07/1072552007.db2.gz FZBRAHDGZBZQGR-MRXNPFEDSA-N 0 0 436.490 -0.114 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)n1C ZINC000577879031 1072552023 /nfs/dbraw/zinc/55/20/23/1072552023.db2.gz HXZZMZCCXYCDDX-UHFFFAOYSA-N 0 0 427.509 -0.433 20 0 IBADRN C[C@H](C[C@H]1CCCO1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000577881567 1072552074 /nfs/dbraw/zinc/55/20/74/1072552074.db2.gz HFOUVEJJJCWVGX-CHWSQXEVSA-N 0 0 442.494 -0.715 20 0 IBADRN C[C@H](C[C@@H]1CCCO1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000577881568 1072552225 /nfs/dbraw/zinc/55/22/25/1072552225.db2.gz HFOUVEJJJCWVGX-OLZOCXBDSA-N 0 0 442.494 -0.715 20 0 IBADRN C[C@@H](C[C@H]1CCCO1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000577881569 1072551987 /nfs/dbraw/zinc/55/19/87/1072551987.db2.gz HFOUVEJJJCWVGX-QWHCGFSZSA-N 0 0 442.494 -0.715 20 0 IBADRN C[C@@H](C[C@@H]1CCCO1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000577881570 1072552268 /nfs/dbraw/zinc/55/22/68/1072552268.db2.gz HFOUVEJJJCWVGX-STQMWFEESA-N 0 0 442.494 -0.715 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CCC(=O)N1C ZINC000577882234 1072552303 /nfs/dbraw/zinc/55/23/03/1072552303.db2.gz RSYLLSVTGBTLKX-RHSMWYFYSA-N 0 0 431.497 -0.786 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000577890487 1072552044 /nfs/dbraw/zinc/55/20/44/1072552044.db2.gz KGRHCIJLUQMXSE-HNNXBMFYSA-N 0 0 447.583 -0.641 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000577890488 1072552842 /nfs/dbraw/zinc/55/28/42/1072552842.db2.gz KGRHCIJLUQMXSE-OAHLLOKOSA-N 0 0 447.583 -0.641 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000577891038 1072552687 /nfs/dbraw/zinc/55/26/87/1072552687.db2.gz JQILCPSZAWXURH-JLTOFOAXSA-N 0 0 431.497 -0.658 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000577891039 1072552831 /nfs/dbraw/zinc/55/28/31/1072552831.db2.gz JQILCPSZAWXURH-VBKZILBWSA-N 0 0 431.497 -0.658 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000577891040 1072552565 /nfs/dbraw/zinc/55/25/65/1072552565.db2.gz JQILCPSZAWXURH-VLIAUNLRSA-N 0 0 431.497 -0.658 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000577891041 1072552599 /nfs/dbraw/zinc/55/25/99/1072552599.db2.gz JQILCPSZAWXURH-XOBRGWDASA-N 0 0 431.497 -0.658 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000577893125 1072552615 /nfs/dbraw/zinc/55/26/15/1072552615.db2.gz AUINABAZZSGRLB-KGLIPLIRSA-N 0 0 425.577 -0.630 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000577893126 1072552855 /nfs/dbraw/zinc/55/28/55/1072552855.db2.gz AUINABAZZSGRLB-ZIAGYGMSSA-N 0 0 425.577 -0.630 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCN2CCN(c3cccc(Cl)c3)CC2)CCO1 ZINC000577895408 1072552793 /nfs/dbraw/zinc/55/27/93/1072552793.db2.gz IQQTTXMTGRPVFE-KRWDZBQOSA-N 0 0 437.928 -0.448 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCN2CCN(c3cccc(Cl)c3)CC2)CCO1 ZINC000577895409 1072552727 /nfs/dbraw/zinc/55/27/27/1072552727.db2.gz IQQTTXMTGRPVFE-QGZVFWFLSA-N 0 0 437.928 -0.448 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000577897345 1072552701 /nfs/dbraw/zinc/55/27/01/1072552701.db2.gz OFSCCODJXVGJDP-AWEZNQCLSA-N 0 0 443.526 -0.178 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000577897346 1072552713 /nfs/dbraw/zinc/55/27/13/1072552713.db2.gz OFSCCODJXVGJDP-CQSZACIVSA-N 0 0 443.526 -0.178 20 0 IBADRN Cn1c(C(=O)N(Cc2cccnc2)[C@H]2CCS(=O)(=O)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000577898691 1072552651 /nfs/dbraw/zinc/55/26/51/1072552651.db2.gz KEZBNJDANMWWRU-AWEZNQCLSA-N 0 0 445.501 -0.200 20 0 IBADRN Cn1c(C(=O)N(Cc2cccnc2)[C@@H]2CCS(=O)(=O)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000577898692 1072552756 /nfs/dbraw/zinc/55/27/56/1072552756.db2.gz KEZBNJDANMWWRU-CQSZACIVSA-N 0 0 445.501 -0.200 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000577899073 1072552820 /nfs/dbraw/zinc/55/28/20/1072552820.db2.gz FWBXTWLEQWCQCG-CVEARBPZSA-N 0 0 431.497 -0.231 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000577899074 1072552876 /nfs/dbraw/zinc/55/28/76/1072552876.db2.gz FWBXTWLEQWCQCG-HOTGVXAUSA-N 0 0 431.497 -0.231 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000577899075 1072552638 /nfs/dbraw/zinc/55/26/38/1072552638.db2.gz FWBXTWLEQWCQCG-HZPDHXFCSA-N 0 0 431.497 -0.231 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000577899076 1072552585 /nfs/dbraw/zinc/55/25/85/1072552585.db2.gz FWBXTWLEQWCQCG-JKSUJKDBSA-N 0 0 431.497 -0.231 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)cn1 ZINC000577899372 1072552764 /nfs/dbraw/zinc/55/27/64/1072552764.db2.gz SHRPBDMFCRJWGO-UHFFFAOYSA-N 0 0 441.488 -0.176 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000577900643 1072553397 /nfs/dbraw/zinc/55/33/97/1072553397.db2.gz FZDWYDSUFMTYGG-CYBMUJFWSA-N 0 0 448.496 -0.537 20 0 IBADRN COCC[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000577904604 1072553383 /nfs/dbraw/zinc/55/33/83/1072553383.db2.gz LUMYVBNARCXQFE-HNNXBMFYSA-N 0 0 436.508 -0.436 20 0 IBADRN COCC[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000577904605 1072553247 /nfs/dbraw/zinc/55/32/47/1072553247.db2.gz LUMYVBNARCXQFE-OAHLLOKOSA-N 0 0 436.508 -0.436 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNS(=O)(=O)c1ccc(C)c(C)c1 ZINC000577906742 1072553260 /nfs/dbraw/zinc/55/32/60/1072553260.db2.gz ZTPVKLAKEKTKMV-UHFFFAOYSA-N 0 0 427.523 -0.084 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000577907289 1072553368 /nfs/dbraw/zinc/55/33/68/1072553368.db2.gz UFCIYLNWLIRGHA-UHFFFAOYSA-N 0 0 447.466 -0.555 20 0 IBADRN O=C(NCC1(Cc2ccccc2)CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000577909655 1072553091 /nfs/dbraw/zinc/55/30/91/1072553091.db2.gz XTFUCXBOLNTZMF-UHFFFAOYSA-N 0 0 442.516 -0.345 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)CC2)c1=O ZINC000577911191 1072553167 /nfs/dbraw/zinc/55/31/67/1072553167.db2.gz DFIXWHKIYXDFDY-AWEZNQCLSA-N 0 0 429.456 -0.642 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)CC2)c1=O ZINC000577911192 1072553433 /nfs/dbraw/zinc/55/34/33/1072553433.db2.gz DFIXWHKIYXDFDY-CQSZACIVSA-N 0 0 429.456 -0.642 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)C1 ZINC000577912043 1072553424 /nfs/dbraw/zinc/55/34/24/1072553424.db2.gz INVRMZNBNRJVRX-CVEARBPZSA-N 0 0 440.570 -0.175 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)C1 ZINC000577912044 1072553133 /nfs/dbraw/zinc/55/31/33/1072553133.db2.gz INVRMZNBNRJVRX-HOTGVXAUSA-N 0 0 440.570 -0.175 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)C1 ZINC000577912045 1072553353 /nfs/dbraw/zinc/55/33/53/1072553353.db2.gz INVRMZNBNRJVRX-HZPDHXFCSA-N 0 0 440.570 -0.175 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)C1 ZINC000577912046 1072553194 /nfs/dbraw/zinc/55/31/94/1072553194.db2.gz INVRMZNBNRJVRX-JKSUJKDBSA-N 0 0 440.570 -0.175 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@H](C)O)CC2)cc1 ZINC000577920610 1072553970 /nfs/dbraw/zinc/55/39/70/1072553970.db2.gz XYHHQGSHCUSNEB-INIZCTEOSA-N 0 0 429.539 -0.407 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@@H](C)O)CC2)cc1 ZINC000577920611 1072553814 /nfs/dbraw/zinc/55/38/14/1072553814.db2.gz XYHHQGSHCUSNEB-MRXNPFEDSA-N 0 0 429.539 -0.407 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000577922829 1072553758 /nfs/dbraw/zinc/55/37/58/1072553758.db2.gz HECVZNGPGUCOPI-CABCVRRESA-N 0 0 445.563 -0.363 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000577922830 1072553797 /nfs/dbraw/zinc/55/37/97/1072553797.db2.gz HECVZNGPGUCOPI-GJZGRUSLSA-N 0 0 445.563 -0.363 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1C ZINC000577922831 1072553914 /nfs/dbraw/zinc/55/39/14/1072553914.db2.gz HECVZNGPGUCOPI-HUUCEWRRSA-N 0 0 445.563 -0.363 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1C ZINC000577922832 1072553785 /nfs/dbraw/zinc/55/37/85/1072553785.db2.gz HECVZNGPGUCOPI-LSDHHAIUSA-N 0 0 445.563 -0.363 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@@H](CO)C1CCCC1 ZINC000577923403 1072553903 /nfs/dbraw/zinc/55/39/03/1072553903.db2.gz GHAVLOSVOGELKM-INIZCTEOSA-N 0 0 438.506 -0.587 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H](CO)C1CCCC1 ZINC000577923404 1072553883 /nfs/dbraw/zinc/55/38/83/1072553883.db2.gz GHAVLOSVOGELKM-MRXNPFEDSA-N 0 0 438.506 -0.587 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)nnn1Cc1ccc(F)cc1 ZINC000577943278 1072553773 /nfs/dbraw/zinc/55/37/73/1072553773.db2.gz LXFFPBQWKGOWRC-AWEZNQCLSA-N 0 0 443.439 -0.864 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)nnn1Cc1ccc(F)cc1 ZINC000577943279 1072553854 /nfs/dbraw/zinc/55/38/54/1072553854.db2.gz LXFFPBQWKGOWRC-CQSZACIVSA-N 0 0 443.439 -0.864 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCCN3CCOC(C)(C)C3)C2)nc1 ZINC000577945002 1072554040 /nfs/dbraw/zinc/55/40/40/1072554040.db2.gz FPKSYIAUPDUALN-UHFFFAOYSA-N 0 0 433.509 -0.210 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3C)CC1 ZINC000577965349 1072554005 /nfs/dbraw/zinc/55/40/05/1072554005.db2.gz MAORLSNRQYYBGP-UHFFFAOYSA-N 0 0 446.460 -0.102 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2nnc3n2CCC3)CC1 ZINC000577967057 1072553925 /nfs/dbraw/zinc/55/39/25/1072553925.db2.gz KSESFNFIYUFKFF-UHFFFAOYSA-N 0 0 441.558 -0.649 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000577970263 1072553866 /nfs/dbraw/zinc/55/38/66/1072553866.db2.gz ROLMLPGFOCOZNF-UHFFFAOYSA-N 0 0 433.552 -0.140 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCCCS(=O)(=O)c3ccccc3)C2=O)cn1 ZINC000577970595 1072554034 /nfs/dbraw/zinc/55/40/34/1072554034.db2.gz KLVKRHJIOSXOPO-INIZCTEOSA-N 0 0 433.490 -0.378 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCCCS(=O)(=O)c3ccccc3)C2=O)cn1 ZINC000577970598 1072554016 /nfs/dbraw/zinc/55/40/16/1072554016.db2.gz KLVKRHJIOSXOPO-MRXNPFEDSA-N 0 0 433.490 -0.378 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000577977370 1072553993 /nfs/dbraw/zinc/55/39/93/1072553993.db2.gz KEMGKMIOYRXDQJ-UHFFFAOYSA-N 0 0 437.522 -0.431 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000577980560 1072554320 /nfs/dbraw/zinc/55/43/20/1072554320.db2.gz MXRJXSUMIPBRRM-HNNXBMFYSA-N 0 0 432.477 -0.330 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000577980561 1072554543 /nfs/dbraw/zinc/55/45/43/1072554543.db2.gz MXRJXSUMIPBRRM-OAHLLOKOSA-N 0 0 432.477 -0.330 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCC(C)(C)C(=O)NCC)CC1 ZINC000577981513 1072554410 /nfs/dbraw/zinc/55/44/10/1072554410.db2.gz VJPRKNQPWIETFD-UHFFFAOYSA-N 0 0 447.602 -0.224 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000577982076 1072554432 /nfs/dbraw/zinc/55/44/32/1072554432.db2.gz IEXOAJINLGKUQY-SWLSCSKDSA-N 0 0 429.520 -0.041 20 0 IBADRN CSC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCC1 ZINC000577983689 1072554443 /nfs/dbraw/zinc/55/44/43/1072554443.db2.gz KVIBTZRGGDILDA-UHFFFAOYSA-N 0 0 433.600 -0.975 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)N1CCN(C(=O)c2ccco2)CC1 ZINC000577988270 1072554573 /nfs/dbraw/zinc/55/45/73/1072554573.db2.gz IYBDEELCYWZHDC-UHFFFAOYSA-N 0 0 436.494 -0.706 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000577988357 1072554525 /nfs/dbraw/zinc/55/45/25/1072554525.db2.gz MWYVCIGTPGVOLF-GOSISDBHSA-N 0 0 442.520 -0.184 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000577988358 1072554465 /nfs/dbraw/zinc/55/44/65/1072554465.db2.gz MWYVCIGTPGVOLF-SFHVURJKSA-N 0 0 442.520 -0.184 20 0 IBADRN Cc1cc(C(F)(F)F)nn1CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000577989122 1072554492 /nfs/dbraw/zinc/55/44/92/1072554492.db2.gz RBIRJWUYSWVWKX-UHFFFAOYSA-N 0 0 425.433 -0.068 20 0 IBADRN COC(=O)c1scc(C)c1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000577990870 1072554502 /nfs/dbraw/zinc/55/45/02/1072554502.db2.gz DZUXBWWUXHVCPI-UHFFFAOYSA-N 0 0 435.462 0.476 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC2 ZINC000577993121 1072554423 /nfs/dbraw/zinc/55/44/23/1072554423.db2.gz OYXSYGQAZSZLSX-UHFFFAOYSA-N 0 0 425.554 -0.432 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000578015854 1072554872 /nfs/dbraw/zinc/55/48/72/1072554872.db2.gz TZVLBVWQUXARGO-GFCCVEGCSA-N 0 0 440.494 -0.034 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000578015855 1072554934 /nfs/dbraw/zinc/55/49/34/1072554934.db2.gz TZVLBVWQUXARGO-LBPRGKRZSA-N 0 0 440.494 -0.034 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)n1 ZINC000578020473 1072555015 /nfs/dbraw/zinc/55/50/15/1072555015.db2.gz GTPSPJOWIBCKBI-GFCCVEGCSA-N 0 0 441.539 0.260 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)n1 ZINC000578020474 1072555026 /nfs/dbraw/zinc/55/50/26/1072555026.db2.gz GTPSPJOWIBCKBI-LBPRGKRZSA-N 0 0 441.539 0.260 20 0 IBADRN CCOC(=O)C1(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCOCC1 ZINC000578021520 1072554899 /nfs/dbraw/zinc/55/48/99/1072554899.db2.gz XEYXDWITZIOLHG-UHFFFAOYSA-N 0 0 428.511 -0.079 20 0 IBADRN Cc1nnc(SCC(=O)NCCCN(C(C)C)S(C)(=O)=O)n1CC(=O)N(C)C ZINC000578022043 1072555124 /nfs/dbraw/zinc/55/51/24/1072555124.db2.gz XIQDSDWJLOIKIV-UHFFFAOYSA-N 0 0 434.588 -0.057 20 0 IBADRN O=C(NCC1(c2ccccc2)CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000578030814 1072554984 /nfs/dbraw/zinc/55/49/84/1072554984.db2.gz RSBFFQYJDHTEJP-UHFFFAOYSA-N 0 0 428.489 -0.636 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC000578031007 1072555041 /nfs/dbraw/zinc/55/50/41/1072555041.db2.gz AOIVCKUMHKVDAI-UHFFFAOYSA-N 0 0 439.582 -0.287 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000578040711 1072555611 /nfs/dbraw/zinc/55/56/11/1072555611.db2.gz IFCARLWQHJWDSE-AWEZNQCLSA-N 0 0 442.480 -0.821 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)n1 ZINC000578040712 1072555765 /nfs/dbraw/zinc/55/57/65/1072555765.db2.gz IFCARLWQHJWDSE-CQSZACIVSA-N 0 0 442.480 -0.821 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@H](CC)C(=O)N2CCOCC2)C1 ZINC000578041596 1072555512 /nfs/dbraw/zinc/55/55/12/1072555512.db2.gz QRSVKSMDCSMSCU-FOIQADDNSA-N 0 0 427.498 -0.442 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@@H](CC)C(=O)N2CCOCC2)C1 ZINC000578041597 1072555733 /nfs/dbraw/zinc/55/57/33/1072555733.db2.gz QRSVKSMDCSMSCU-MGPUTAFESA-N 0 0 427.498 -0.442 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@H](CC)C(=O)N2CCOCC2)C1 ZINC000578041598 1072555535 /nfs/dbraw/zinc/55/55/35/1072555535.db2.gz QRSVKSMDCSMSCU-QRWLVFNGSA-N 0 0 427.498 -0.442 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@@H](CC)C(=O)N2CCOCC2)C1 ZINC000578041599 1072555525 /nfs/dbraw/zinc/55/55/25/1072555525.db2.gz QRSVKSMDCSMSCU-YWZLYKJASA-N 0 0 427.498 -0.442 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)N2CCC(C(=O)OC)CC2)c1 ZINC000578046115 1072555571 /nfs/dbraw/zinc/55/55/71/1072555571.db2.gz LJUDEKUAGXUVKK-UHFFFAOYSA-N 0 0 449.551 -0.169 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N1CCn2nnc(CO)c2C1 ZINC000578051456 1072555562 /nfs/dbraw/zinc/55/55/62/1072555562.db2.gz BZGRAJSDQYMBLG-UHFFFAOYSA-N 0 0 435.384 -0.010 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)C1)C(N)=O ZINC000578055363 1072555663 /nfs/dbraw/zinc/55/56/63/1072555663.db2.gz CDZFOFHYUNJQIH-UHFFFAOYSA-N 0 0 431.449 -0.425 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3ccc(Br)cc3)C2)nn1 ZINC000578056874 1071917513 /nfs/dbraw/zinc/91/75/13/1071917513.db2.gz ACLVXXXQVKIFEO-UHFFFAOYSA-N 0 0 443.283 -0.499 20 0 IBADRN C[C@H](NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCOCC1 ZINC000578058495 1072555741 /nfs/dbraw/zinc/55/57/41/1072555741.db2.gz LOMVBNNUHPOHTM-AWEZNQCLSA-N 0 0 441.506 -0.120 20 0 IBADRN C[C@@H](NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCOCC1 ZINC000578058496 1072555753 /nfs/dbraw/zinc/55/57/53/1072555753.db2.gz LOMVBNNUHPOHTM-CQSZACIVSA-N 0 0 441.506 -0.120 20 0 IBADRN C[C@@H]1CCC[C@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@H]1C ZINC000578059337 1072555680 /nfs/dbraw/zinc/55/56/80/1072555680.db2.gz KRIXTMALEGVTKB-FGTMMUONSA-N 0 0 443.614 -0.189 20 0 IBADRN C[C@@H]1CCC[C@@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@H]1C ZINC000578059338 1072555623 /nfs/dbraw/zinc/55/56/23/1072555623.db2.gz KRIXTMALEGVTKB-KURKYZTESA-N 0 0 443.614 -0.189 20 0 IBADRN C[C@@H]1CCC[C@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@H]1C ZINC000578059339 1072555712 /nfs/dbraw/zinc/55/57/12/1072555712.db2.gz KRIXTMALEGVTKB-KZNAEPCWSA-N 0 0 443.614 -0.189 20 0 IBADRN C[C@@H]1CCC[C@@H](CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@H]1C ZINC000578059340 1072555695 /nfs/dbraw/zinc/55/56/95/1072555695.db2.gz KRIXTMALEGVTKB-SQNIBIBYSA-N 0 0 443.614 -0.189 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2cccc(-c3nnnn3C)c2)CC1 ZINC000578060338 1072555642 /nfs/dbraw/zinc/55/56/42/1072555642.db2.gz PZSOSFPXSLBFCA-UHFFFAOYSA-N 0 0 429.528 -0.620 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCCc4c3cnn4C)CC2)no1 ZINC000578078923 1073329827 /nfs/dbraw/zinc/32/98/27/1073329827.db2.gz JFEYTQVEJQLCIG-HNNXBMFYSA-N 0 0 429.481 -0.007 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCCc4c3cnn4C)CC2)no1 ZINC000578078924 1072556083 /nfs/dbraw/zinc/55/60/83/1072556083.db2.gz JFEYTQVEJQLCIG-OAHLLOKOSA-N 0 0 429.481 -0.007 20 0 IBADRN Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2ccc(I)cc2)nn1 ZINC000578087071 1072556125 /nfs/dbraw/zinc/55/61/25/1072556125.db2.gz ZYEZKOCYHJQNJY-JTQLQIEISA-N 0 0 445.217 -0.086 20 0 IBADRN Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2ccc(I)cc2)nn1 ZINC000578087072 1072556042 /nfs/dbraw/zinc/55/60/42/1072556042.db2.gz ZYEZKOCYHJQNJY-SNVBAGLBSA-N 0 0 445.217 -0.086 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCn2cnnc2C1 ZINC000578090885 1072556096 /nfs/dbraw/zinc/55/60/96/1072556096.db2.gz GMDKXEGZVPXMQN-HNNXBMFYSA-N 0 0 441.496 -0.968 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCn2cnnc2C1 ZINC000578090886 1072556260 /nfs/dbraw/zinc/55/62/60/1072556260.db2.gz GMDKXEGZVPXMQN-OAHLLOKOSA-N 0 0 441.496 -0.968 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000578092488 1072556170 /nfs/dbraw/zinc/55/61/70/1072556170.db2.gz RPGRPBXIGMEVFJ-HNNXBMFYSA-N 0 0 434.541 -0.913 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000578092489 1072556070 /nfs/dbraw/zinc/55/60/70/1072556070.db2.gz RPGRPBXIGMEVFJ-OAHLLOKOSA-N 0 0 434.541 -0.913 20 0 IBADRN CC(CNC(=O)CCC(=O)N1CCOCC1)CNC(=O)CCC(=O)N1CCOCC1 ZINC000578093377 1072556060 /nfs/dbraw/zinc/55/60/60/1072556060.db2.gz ANORWYCHZVYMAF-UHFFFAOYSA-N 0 0 426.514 -0.867 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000578094187 1072556133 /nfs/dbraw/zinc/55/61/33/1072556133.db2.gz MIOXDOZVVSXGSV-HNNXBMFYSA-N 0 0 448.524 -0.877 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000578094188 1072556702 /nfs/dbraw/zinc/55/67/02/1072556702.db2.gz MIOXDOZVVSXGSV-OAHLLOKOSA-N 0 0 448.524 -0.877 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CS(=O)(=O)Cc1cccc(Br)c1 ZINC000578094308 1072556051 /nfs/dbraw/zinc/55/60/51/1072556051.db2.gz RFYNSBONSPZNFC-UHFFFAOYSA-N 0 0 443.341 -0.215 20 0 IBADRN CN(C)S(=O)(=O)CCNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000578101370 1072556858 /nfs/dbraw/zinc/55/68/58/1072556858.db2.gz CCBRJCZNSPHXTF-UHFFFAOYSA-N 0 0 442.313 -0.050 20 0 IBADRN CCN1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CC(=O)N(CC)C3)cc2)CC1=O ZINC000578101775 1072556631 /nfs/dbraw/zinc/55/66/31/1072556631.db2.gz YOSSTPUMNKDJHN-UHFFFAOYSA-N 0 0 430.508 -0.693 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc2c1OCC(=O)N2 ZINC000578103035 1072556669 /nfs/dbraw/zinc/55/66/69/1072556669.db2.gz KKVSWHJCBFHAQC-GFCCVEGCSA-N 0 0 439.494 -0.267 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc2c1OCC(=O)N2 ZINC000578103036 1072556776 /nfs/dbraw/zinc/55/67/76/1072556776.db2.gz KKVSWHJCBFHAQC-LBPRGKRZSA-N 0 0 439.494 -0.267 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC000578112130 1072556807 /nfs/dbraw/zinc/55/68/07/1072556807.db2.gz JPFKFABPOLQDRU-UHFFFAOYSA-N 0 0 425.488 -0.169 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@H](C)O1 ZINC000578122674 1072556730 /nfs/dbraw/zinc/55/67/30/1072556730.db2.gz BQIGPBKWIFROPW-PBHICJAKSA-N 0 0 432.477 -0.863 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@H](C)O1 ZINC000578122675 1072556742 /nfs/dbraw/zinc/55/67/42/1072556742.db2.gz BQIGPBKWIFROPW-RHSMWYFYSA-N 0 0 432.477 -0.863 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000578122676 1072556692 /nfs/dbraw/zinc/55/66/92/1072556692.db2.gz BQIGPBKWIFROPW-WMLDXEAASA-N 0 0 432.477 -0.863 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000578122677 1072556890 /nfs/dbraw/zinc/55/68/90/1072556890.db2.gz BQIGPBKWIFROPW-YOEHRIQHSA-N 0 0 432.477 -0.863 20 0 IBADRN O=C(NC[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000578124148 1072557333 /nfs/dbraw/zinc/55/73/33/1072557333.db2.gz MUNKUKFPSRVXQT-AWEZNQCLSA-N 0 0 446.529 -0.283 20 0 IBADRN O=C(NC[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000578124149 1072557397 /nfs/dbraw/zinc/55/73/97/1072557397.db2.gz MUNKUKFPSRVXQT-CQSZACIVSA-N 0 0 446.529 -0.283 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1S(N)(=O)=O ZINC000578143716 1072557405 /nfs/dbraw/zinc/55/74/05/1072557405.db2.gz AGMWPMRQBLLHOY-UHFFFAOYSA-N 0 0 439.538 -0.672 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1S(N)(=O)=O ZINC000578146492 1072557320 /nfs/dbraw/zinc/55/73/20/1072557320.db2.gz TVUNYLDAHCBNIG-UHFFFAOYSA-N 0 0 444.535 -0.393 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N[C@H]2CCC(=O)N(C)C2)N2CCOCC2)cc1OC ZINC000578147275 1072557370 /nfs/dbraw/zinc/55/73/70/1072557370.db2.gz XXVWRRGBBKDLAU-DLBZAZTESA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N[C@@H]2CCC(=O)N(C)C2)N2CCOCC2)cc1OC ZINC000578147276 1072557281 /nfs/dbraw/zinc/55/72/81/1072557281.db2.gz XXVWRRGBBKDLAU-IAGOWNOFSA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N[C@H]2CCC(=O)N(C)C2)N2CCOCC2)cc1OC ZINC000578147277 1072557353 /nfs/dbraw/zinc/55/73/53/1072557353.db2.gz XXVWRRGBBKDLAU-IRXDYDNUSA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N[C@@H]2CCC(=O)N(C)C2)N2CCOCC2)cc1OC ZINC000578147278 1072557423 /nfs/dbraw/zinc/55/74/23/1072557423.db2.gz XXVWRRGBBKDLAU-SJORKVTESA-N 0 0 448.520 -0.070 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)c1OC ZINC000578147526 1072557437 /nfs/dbraw/zinc/55/74/37/1072557437.db2.gz ILJNGJFCMRRHKE-UHFFFAOYSA-N 0 0 445.476 0.399 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)N1CCOCC1 ZINC000578147598 1072557379 /nfs/dbraw/zinc/55/73/79/1072557379.db2.gz LWBIBADHZTWFRJ-KRWDZBQOSA-N 0 0 432.525 -0.698 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)N1CCOCC1 ZINC000578147599 1072557303 /nfs/dbraw/zinc/55/73/03/1072557303.db2.gz LWBIBADHZTWFRJ-QGZVFWFLSA-N 0 0 432.525 -0.698 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1 ZINC000578147716 1072557363 /nfs/dbraw/zinc/55/73/63/1072557363.db2.gz PRTUHCVOZLAQQT-GDBMZVCRSA-N 0 0 439.538 -0.537 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1 ZINC000578147717 1072557431 /nfs/dbraw/zinc/55/74/31/1072557431.db2.gz PRTUHCVOZLAQQT-GOEBONIOSA-N 0 0 439.538 -0.537 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1 ZINC000578147718 1072557413 /nfs/dbraw/zinc/55/74/13/1072557413.db2.gz PRTUHCVOZLAQQT-HOCLYGCPSA-N 0 0 439.538 -0.537 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1 ZINC000578147719 1072557187 /nfs/dbraw/zinc/55/71/87/1072557187.db2.gz PRTUHCVOZLAQQT-ZBFHGGJFSA-N 0 0 439.538 -0.537 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)nn1 ZINC000578149481 1072557244 /nfs/dbraw/zinc/55/72/44/1072557244.db2.gz ZPZRIEXFMBPNSG-FQEVSTJZSA-N 0 0 426.433 0.573 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)nn1 ZINC000578149482 1073319087 /nfs/dbraw/zinc/31/90/87/1073319087.db2.gz ZPZRIEXFMBPNSG-HXUWFJFHSA-N 0 0 426.433 0.573 20 0 IBADRN Cn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCCC4)CC2)c1=O ZINC000578154457 1072557860 /nfs/dbraw/zinc/55/78/60/1072557860.db2.gz ARZXVXMIKYSQRU-UHFFFAOYSA-N 0 0 446.529 -0.041 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(-n2cccn2)cc1 ZINC000578157855 1072557758 /nfs/dbraw/zinc/55/77/58/1072557758.db2.gz LDEVQTBZHJZBJL-HNNXBMFYSA-N 0 0 438.488 -0.001 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(-n2cccn2)cc1 ZINC000578157856 1072557900 /nfs/dbraw/zinc/55/79/00/1072557900.db2.gz LDEVQTBZHJZBJL-OAHLLOKOSA-N 0 0 438.488 -0.001 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)CC2)n1 ZINC000578160506 1072557700 /nfs/dbraw/zinc/55/77/00/1072557700.db2.gz MQEDAHUDJHMWCV-GFCCVEGCSA-N 0 0 444.414 -0.197 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)CC2)n1 ZINC000578160509 1072557910 /nfs/dbraw/zinc/55/79/10/1072557910.db2.gz MQEDAHUDJHMWCV-LBPRGKRZSA-N 0 0 444.414 -0.197 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCC(=O)Nc2ccn(C)n2)s1 ZINC000578160786 1072557852 /nfs/dbraw/zinc/55/78/52/1072557852.db2.gz RSKHIUBHXFUUQW-UHFFFAOYSA-N 0 0 435.553 -0.120 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000578160818 1072557954 /nfs/dbraw/zinc/55/79/54/1072557954.db2.gz TVJYUULEFFSTNP-HNNXBMFYSA-N 0 0 439.604 -0.239 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000578160819 1072557773 /nfs/dbraw/zinc/55/77/73/1072557773.db2.gz TVJYUULEFFSTNP-OAHLLOKOSA-N 0 0 439.604 -0.239 20 0 IBADRN Cn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)c1=O ZINC000578162141 1072557931 /nfs/dbraw/zinc/55/79/31/1072557931.db2.gz PGOIMWJQVGAUFY-UHFFFAOYSA-N 0 0 432.502 -0.431 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CC(C(=O)NC(CC)(CC)C(N)=O)C2)c1 ZINC000578163534 1072557787 /nfs/dbraw/zinc/55/77/87/1072557787.db2.gz SELIDCYBSHSTJN-UHFFFAOYSA-N 0 0 431.493 -0.007 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccc(-c3cccnc3)cc2)CC1 ZINC000578165009 1072557720 /nfs/dbraw/zinc/55/77/20/1072557720.db2.gz QJIXMPSLBHQMLP-UHFFFAOYSA-N 0 0 434.460 -0.278 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)CC2)ncn1 ZINC000578169046 1072558372 /nfs/dbraw/zinc/55/83/72/1072558372.db2.gz KXCTZLJQRBNEKD-UHFFFAOYSA-N 0 0 445.568 -0.207 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCNS(=O)(=O)N(C)C)cc1F ZINC000578173059 1072558303 /nfs/dbraw/zinc/55/83/03/1072558303.db2.gz AMHCJXFBZMZHTI-UHFFFAOYSA-N 0 0 446.548 -0.348 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1 ZINC000578176274 1072558564 /nfs/dbraw/zinc/55/85/64/1072558564.db2.gz YPPNCJSZVDRKHL-UHFFFAOYSA-N 0 0 429.521 -0.181 20 0 IBADRN Cc1nc(CN2CCCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)cs1 ZINC000578177835 1072558548 /nfs/dbraw/zinc/55/85/48/1072558548.db2.gz LKTGBGWKTMWMCB-UHFFFAOYSA-N 0 0 431.522 -0.178 20 0 IBADRN Cc1ccc(C(C)(C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000578178756 1072558580 /nfs/dbraw/zinc/55/85/80/1072558580.db2.gz JZHKKCADPGKQGJ-UHFFFAOYSA-N 0 0 444.532 -0.082 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1nc(-c3ccc(N4CCN(C)CC4)nc3)no1)c(=O)n2C ZINC000578181424 1072558405 /nfs/dbraw/zinc/55/84/05/1072558405.db2.gz HFGFXEBDYWEOJJ-UHFFFAOYSA-N 0 0 437.464 -0.321 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000578181872 1072558458 /nfs/dbraw/zinc/55/84/58/1072558458.db2.gz LDSGELBQVQEUMV-UHFFFAOYSA-N 0 0 435.524 -0.557 20 0 IBADRN O=c1[nH]c(=O)c2cc(S(=O)(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)ccc2[nH]1 ZINC000578183200 1072558422 /nfs/dbraw/zinc/55/84/22/1072558422.db2.gz SYIUSDRMYSPAMY-UHFFFAOYSA-N 0 0 440.463 -0.541 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)C1 ZINC000578192071 1072558432 /nfs/dbraw/zinc/55/84/32/1072558432.db2.gz TZIJJRKCVGLXLJ-GUTXKFCHSA-N 0 0 425.511 -0.216 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3C[C@H](C)O[C@@H](C)C3)nc2)C1 ZINC000578192072 1072558482 /nfs/dbraw/zinc/55/84/82/1072558482.db2.gz TZIJJRKCVGLXLJ-KCQAQPDRSA-N 0 0 425.511 -0.216 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@H](C)C3)nc2)C1 ZINC000578192073 1072558396 /nfs/dbraw/zinc/55/83/96/1072558396.db2.gz TZIJJRKCVGLXLJ-UMVBOHGHSA-N 0 0 425.511 -0.216 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)C1 ZINC000578192074 1072558534 /nfs/dbraw/zinc/55/85/34/1072558534.db2.gz TZIJJRKCVGLXLJ-VNHYZAJKSA-N 0 0 425.511 -0.216 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000578200699 1072558498 /nfs/dbraw/zinc/55/84/98/1072558498.db2.gz SPPCBJYRHICWFT-UHFFFAOYSA-N 0 0 427.527 -0.209 20 0 IBADRN CCCCN(C(=O)CN1CC[C@H](CO)[C@@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578201530 1072558960 /nfs/dbraw/zinc/55/89/60/1072558960.db2.gz SYVLNFSMPIKOSL-CABCVRRESA-N 0 0 425.530 -0.005 20 0 IBADRN CCCCN(C(=O)CN1CC[C@@H](CO)[C@@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578201531 1072559124 /nfs/dbraw/zinc/55/91/24/1072559124.db2.gz SYVLNFSMPIKOSL-GJZGRUSLSA-N 0 0 425.530 -0.005 20 0 IBADRN CCCCN(C(=O)CN1CC[C@H](CO)[C@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578201532 1072558898 /nfs/dbraw/zinc/55/88/98/1072558898.db2.gz SYVLNFSMPIKOSL-HUUCEWRRSA-N 0 0 425.530 -0.005 20 0 IBADRN CCCCN(C(=O)CN1CC[C@@H](CO)[C@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578201533 1072559099 /nfs/dbraw/zinc/55/90/99/1072559099.db2.gz SYVLNFSMPIKOSL-LSDHHAIUSA-N 0 0 425.530 -0.005 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCc2nnnn2-c2ccccc2)c1 ZINC000578208825 1072558988 /nfs/dbraw/zinc/55/89/88/1072558988.db2.gz BGJHRFBVDXKVBF-UHFFFAOYSA-N 0 0 429.462 -0.132 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cn(C)nn3)CC2)c1 ZINC000578210279 1072559190 /nfs/dbraw/zinc/55/91/90/1072559190.db2.gz CNKDPASISARBJO-UHFFFAOYSA-N 0 0 438.466 -0.696 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000578210370 1072558952 /nfs/dbraw/zinc/55/89/52/1072558952.db2.gz HFPBWJLRRTWJLK-UHFFFAOYSA-N 0 0 445.563 -0.103 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)n1C ZINC000578210417 1072558909 /nfs/dbraw/zinc/55/89/09/1072558909.db2.gz JORBPLYGHBISRL-UHFFFAOYSA-N 0 0 433.513 -0.137 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000578215711 1072559039 /nfs/dbraw/zinc/55/90/39/1072559039.db2.gz GBHYIWODAAOFBO-UHFFFAOYSA-N 0 0 427.523 -0.188 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccn(C(C)C)n3)CC2)cn1C ZINC000578216432 1072559204 /nfs/dbraw/zinc/55/92/04/1072559204.db2.gz LQILRRPCGPQHQX-UHFFFAOYSA-N 0 0 437.526 -0.345 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)C1 ZINC000578228619 1072559004 /nfs/dbraw/zinc/55/90/04/1072559004.db2.gz NRHPPAOILYFMFU-GFCCVEGCSA-N 0 0 431.540 -0.574 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)on1 ZINC000578243693 1072558938 /nfs/dbraw/zinc/55/89/38/1072558938.db2.gz HXCXJFIJKWXIHB-UHFFFAOYSA-N 0 0 435.506 -0.278 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000578244464 1072558887 /nfs/dbraw/zinc/55/88/87/1072558887.db2.gz QARCPRUZIRTCMD-UHFFFAOYSA-N 0 0 430.552 -0.128 20 0 IBADRN Cc1ccccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000578250822 1072559164 /nfs/dbraw/zinc/55/91/64/1072559164.db2.gz XXCSBRQFBXGFIN-UHFFFAOYSA-N 0 0 434.474 -0.164 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)s1 ZINC000578260698 1072559726 /nfs/dbraw/zinc/55/97/26/1072559726.db2.gz IDHARWDDTSVXSP-CYBMUJFWSA-N 0 0 432.568 -0.370 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)s1 ZINC000578260699 1072559740 /nfs/dbraw/zinc/55/97/40/1072559740.db2.gz IDHARWDDTSVXSP-ZDUSSCGKSA-N 0 0 432.568 -0.370 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)C1=O ZINC000578261282 1072559635 /nfs/dbraw/zinc/55/96/35/1072559635.db2.gz FSFDXQOCAAKOCU-GXTWGEPZSA-N 0 0 436.490 -0.701 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)C1=O ZINC000578261283 1072559575 /nfs/dbraw/zinc/55/95/75/1072559575.db2.gz FSFDXQOCAAKOCU-JSGCOSHPSA-N 0 0 436.490 -0.701 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)C1=O ZINC000578261284 1072559511 /nfs/dbraw/zinc/55/95/11/1072559511.db2.gz FSFDXQOCAAKOCU-OCCSQVGLSA-N 0 0 436.490 -0.701 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCN3CCOC[C@H]3C2)C1=O ZINC000578261285 1072559675 /nfs/dbraw/zinc/55/96/75/1072559675.db2.gz FSFDXQOCAAKOCU-TZMCWYRMSA-N 0 0 436.490 -0.701 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)c1C ZINC000578261779 1072559651 /nfs/dbraw/zinc/55/96/51/1072559651.db2.gz ZAMGESOMIKAWNU-HNNXBMFYSA-N 0 0 444.558 -0.138 20 0 IBADRN COCCn1nc(C)c(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)c1C ZINC000578261780 1072559587 /nfs/dbraw/zinc/55/95/87/1072559587.db2.gz ZAMGESOMIKAWNU-OAHLLOKOSA-N 0 0 444.558 -0.138 20 0 IBADRN CC1CCC(C)(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000578261860 1072559701 /nfs/dbraw/zinc/55/97/01/1072559701.db2.gz CSUDKIGYUUVARF-UHFFFAOYSA-N 0 0 443.614 -0.045 20 0 IBADRN Cc1noc(C)c1[C@H](C)CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000578263502 1072559558 /nfs/dbraw/zinc/55/95/58/1072559558.db2.gz HKPZXDIAIPKYSX-GDBMZVCRSA-N 0 0 435.525 -0.097 20 0 IBADRN Cc1noc(C)c1[C@@H](C)CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000578263503 1072559612 /nfs/dbraw/zinc/55/96/12/1072559612.db2.gz HKPZXDIAIPKYSX-GOEBONIOSA-N 0 0 435.525 -0.097 20 0 IBADRN Cc1noc(C)c1[C@@H](C)CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000578263504 1072559599 /nfs/dbraw/zinc/55/95/99/1072559599.db2.gz HKPZXDIAIPKYSX-HOCLYGCPSA-N 0 0 435.525 -0.097 20 0 IBADRN Cc1noc(C)c1[C@H](C)CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000578263505 1072559622 /nfs/dbraw/zinc/55/96/22/1072559622.db2.gz HKPZXDIAIPKYSX-ZBFHGGJFSA-N 0 0 435.525 -0.097 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)N(C)C)cc1)C(=O)N(C)C ZINC000578266105 1072559760 /nfs/dbraw/zinc/55/97/60/1072559760.db2.gz VKKMRFSVOLBXDU-VXGBXAGGSA-N 0 0 434.540 -0.803 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)O1 ZINC000578267816 1072559661 /nfs/dbraw/zinc/55/96/61/1072559661.db2.gz BCCIOWMMVBVRSX-AEGPPILISA-N 0 0 446.503 -0.189 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)O1 ZINC000578267817 1072559686 /nfs/dbraw/zinc/55/96/86/1072559686.db2.gz BCCIOWMMVBVRSX-VHDGCEQUSA-N 0 0 446.503 -0.189 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000578269724 1072560175 /nfs/dbraw/zinc/56/01/75/1072560175.db2.gz AXFKJQHVINTCIR-UHFFFAOYSA-N 0 0 428.540 -0.525 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H](C)c3nnnn3-c3ccccc3)C2=O)n(C)n1 ZINC000578274073 1072560342 /nfs/dbraw/zinc/56/03/42/1072560342.db2.gz BFXSCPDHVQLCAR-DZGCQCFKSA-N 0 0 437.464 -0.197 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H](C)c3nnnn3-c3ccccc3)C2=O)n(C)n1 ZINC000578274079 1072560296 /nfs/dbraw/zinc/56/02/96/1072560296.db2.gz BFXSCPDHVQLCAR-HIFRSBDPSA-N 0 0 437.464 -0.197 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H](C)c3nnnn3-c3ccccc3)C2=O)n(C)n1 ZINC000578274080 1072560161 /nfs/dbraw/zinc/56/01/61/1072560161.db2.gz BFXSCPDHVQLCAR-UKRRQHHQSA-N 0 0 437.464 -0.197 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H](C)c3nnnn3-c3ccccc3)C2=O)n(C)n1 ZINC000578274081 1072560403 /nfs/dbraw/zinc/56/04/03/1072560403.db2.gz BFXSCPDHVQLCAR-ZFWWWQNUSA-N 0 0 437.464 -0.197 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1)c1ccccc1 ZINC000578274610 1072560384 /nfs/dbraw/zinc/56/03/84/1072560384.db2.gz VZDVCEMFXHAIDQ-IBGZPJMESA-N 0 0 443.548 -0.007 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1)c1ccccc1 ZINC000578274611 1072560115 /nfs/dbraw/zinc/56/01/15/1072560115.db2.gz VZDVCEMFXHAIDQ-LJQANCHMSA-N 0 0 443.548 -0.007 20 0 IBADRN COCCN(C(=O)[C@@H]1CC[C@H](C(=O)N(C)C)O1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578274826 1072560147 /nfs/dbraw/zinc/56/01/47/1072560147.db2.gz BDEAXNPYKSGYFH-OLZOCXBDSA-N 0 0 425.486 -0.198 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000578275627 1072560288 /nfs/dbraw/zinc/56/02/88/1072560288.db2.gz DKRCSWSSLODOGN-CVEARBPZSA-N 0 0 437.522 -0.378 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000578275628 1072560270 /nfs/dbraw/zinc/56/02/70/1072560270.db2.gz DKRCSWSSLODOGN-HOTGVXAUSA-N 0 0 437.522 -0.378 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000578275629 1072560002 /nfs/dbraw/zinc/56/00/02/1072560002.db2.gz DKRCSWSSLODOGN-HZPDHXFCSA-N 0 0 437.522 -0.378 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)C1 ZINC000578275630 1072560356 /nfs/dbraw/zinc/56/03/56/1072560356.db2.gz DKRCSWSSLODOGN-JKSUJKDBSA-N 0 0 437.522 -0.378 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CCN1CC(C)(C)O ZINC000578275788 1072560188 /nfs/dbraw/zinc/56/01/88/1072560188.db2.gz LUISBBDRCIVWOJ-CVEARBPZSA-N 0 0 434.541 -0.669 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CCN1CC(C)(C)O ZINC000578275789 1072560233 /nfs/dbraw/zinc/56/02/33/1072560233.db2.gz LUISBBDRCIVWOJ-HOTGVXAUSA-N 0 0 434.541 -0.669 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CCN1CC(C)(C)O ZINC000578275790 1072560067 /nfs/dbraw/zinc/56/00/67/1072560067.db2.gz LUISBBDRCIVWOJ-HZPDHXFCSA-N 0 0 434.541 -0.669 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CCN1CC(C)(C)O ZINC000578275791 1072560308 /nfs/dbraw/zinc/56/03/08/1072560308.db2.gz LUISBBDRCIVWOJ-JKSUJKDBSA-N 0 0 434.541 -0.669 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC2CCN(c3cc(C)nc(C)n3)CC2)CC1 ZINC000578277102 1072560365 /nfs/dbraw/zinc/56/03/65/1072560365.db2.gz OYJJPIJJNKXSTO-UHFFFAOYSA-N 0 0 431.541 -0.541 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000578279121 1072560948 /nfs/dbraw/zinc/56/09/48/1072560948.db2.gz FVKRPNCOEWHOOD-GFCCVEGCSA-N 0 0 444.514 -0.688 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000578279122 1072560918 /nfs/dbraw/zinc/56/09/18/1072560918.db2.gz FVKRPNCOEWHOOD-LBPRGKRZSA-N 0 0 444.514 -0.688 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cc(OC(F)F)n(C)n1 ZINC000578279240 1072560348 /nfs/dbraw/zinc/56/03/48/1072560348.db2.gz KEKRMFNTBGZWMK-JTQLQIEISA-N 0 0 438.457 -0.263 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cc(OC(F)F)n(C)n1 ZINC000578279241 1072560220 /nfs/dbraw/zinc/56/02/20/1072560220.db2.gz KEKRMFNTBGZWMK-SNVBAGLBSA-N 0 0 438.457 -0.263 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)C2)c1 ZINC000578279253 1072560785 /nfs/dbraw/zinc/56/07/85/1072560785.db2.gz KVLXMEIRWUJROY-BEFAXECRSA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)C2)c1 ZINC000578279254 1072560926 /nfs/dbraw/zinc/56/09/26/1072560926.db2.gz KVLXMEIRWUJROY-DNVCBOLYSA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)C2)c1 ZINC000578279255 1072560905 /nfs/dbraw/zinc/56/09/05/1072560905.db2.gz KVLXMEIRWUJROY-HNAYVOBHSA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)C2)c1 ZINC000578279256 1072560731 /nfs/dbraw/zinc/56/07/31/1072560731.db2.gz KVLXMEIRWUJROY-KXBFYZLASA-N 0 0 434.493 -0.238 20 0 IBADRN Cc1nnc([C@@H](C)NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)s1 ZINC000578280111 1072560859 /nfs/dbraw/zinc/56/08/59/1072560859.db2.gz OHDHKONIHYNKHD-CYBMUJFWSA-N 0 0 446.537 -0.001 20 0 IBADRN Cc1nnc([C@H](C)NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)s1 ZINC000578280112 1072560743 /nfs/dbraw/zinc/56/07/43/1072560743.db2.gz OHDHKONIHYNKHD-ZDUSSCGKSA-N 0 0 446.537 -0.001 20 0 IBADRN CCCCN(C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000578284263 1072560811 /nfs/dbraw/zinc/56/08/11/1072560811.db2.gz RDUPKZSPHOSWCU-HNNXBMFYSA-N 0 0 447.583 -0.468 20 0 IBADRN CCCCN(C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000578284264 1072560941 /nfs/dbraw/zinc/56/09/41/1072560941.db2.gz RDUPKZSPHOSWCU-OAHLLOKOSA-N 0 0 447.583 -0.468 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(CC(=O)OC)c1 ZINC000578285190 1072560828 /nfs/dbraw/zinc/56/08/28/1072560828.db2.gz YSIIRLVDUVGUFH-HNNXBMFYSA-N 0 0 428.463 -0.529 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(CC(=O)OC)c1 ZINC000578285191 1072560695 /nfs/dbraw/zinc/56/06/95/1072560695.db2.gz YSIIRLVDUVGUFH-OAHLLOKOSA-N 0 0 428.463 -0.529 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000578285266 1072560886 /nfs/dbraw/zinc/56/08/86/1072560886.db2.gz HIXLZAVRXIUVLA-CYBMUJFWSA-N 0 0 435.506 -0.037 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC000578285269 1072560912 /nfs/dbraw/zinc/56/09/12/1072560912.db2.gz HIXLZAVRXIUVLA-ZDUSSCGKSA-N 0 0 435.506 -0.037 20 0 IBADRN Cn1c(C(=O)Nc2cccc(NC(=O)NCC(N)=O)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000578285339 1072560798 /nfs/dbraw/zinc/56/07/98/1072560798.db2.gz OUSYODRXLQPILQ-UHFFFAOYSA-N 0 0 427.421 -0.565 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccc2c1OCC(=O)N2 ZINC000578286629 1072560897 /nfs/dbraw/zinc/56/08/97/1072560897.db2.gz DOMLKKMMAFDHRF-UHFFFAOYSA-N 0 0 428.492 -0.549 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000578287601 1072560838 /nfs/dbraw/zinc/56/08/38/1072560838.db2.gz PJWVGVKSWSQPNM-LLVKDONJSA-N 0 0 431.268 -0.510 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000578287602 1072561305 /nfs/dbraw/zinc/56/13/05/1072561305.db2.gz PJWVGVKSWSQPNM-NSHDSACASA-N 0 0 431.268 -0.510 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C[C@H](C)S1(=O)=O ZINC000578287715 1072560722 /nfs/dbraw/zinc/56/07/22/1072560722.db2.gz WLXZMCRJBYSFPU-BETUJISGSA-N 0 0 444.535 -0.161 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C[C@@H](C)S1(=O)=O ZINC000578287716 1072560710 /nfs/dbraw/zinc/56/07/10/1072560710.db2.gz WLXZMCRJBYSFPU-CHWSQXEVSA-N 0 0 444.535 -0.161 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C[C@H](C)S1(=O)=O ZINC000578287717 1072560934 /nfs/dbraw/zinc/56/09/34/1072560934.db2.gz WLXZMCRJBYSFPU-STQMWFEESA-N 0 0 444.535 -0.161 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)c1nnc(Nc2ccccc2)s1)S(C)(=O)=O ZINC000578290962 1073310392 /nfs/dbraw/zinc/31/03/92/1073310392.db2.gz QBELFHXXIBXYDP-UHFFFAOYSA-N 0 0 447.564 0.453 20 0 IBADRN O=C(N=c1ccn(CCN2CCOCC2)[nH]1)C(=O)NCCNc1ccc2ccccc2n1 ZINC000578306286 1072561371 /nfs/dbraw/zinc/56/13/71/1072561371.db2.gz LWAYOQRVJCXYEE-UHFFFAOYSA-N 0 0 437.504 -0.226 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(Cl)c3)C2=O)CCO1 ZINC000578311076 1072561213 /nfs/dbraw/zinc/56/12/13/1072561213.db2.gz SQDPQOVROIAEQM-CHWSQXEVSA-N 0 0 429.260 -0.072 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(Cl)c3)C2=O)CCO1 ZINC000578311077 1072561198 /nfs/dbraw/zinc/56/11/98/1072561198.db2.gz SQDPQOVROIAEQM-OLZOCXBDSA-N 0 0 429.260 -0.072 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(Cl)c3)C2=O)CCO1 ZINC000578311078 1072561497 /nfs/dbraw/zinc/56/14/97/1072561497.db2.gz SQDPQOVROIAEQM-QWHCGFSZSA-N 0 0 429.260 -0.072 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(Cl)c3)C2=O)CCO1 ZINC000578311079 1072561403 /nfs/dbraw/zinc/56/14/03/1072561403.db2.gz SQDPQOVROIAEQM-STQMWFEESA-N 0 0 429.260 -0.072 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000578332506 1072561342 /nfs/dbraw/zinc/56/13/42/1072561342.db2.gz BDELDDODOHDAGK-UHFFFAOYSA-N 0 0 433.557 -0.714 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCc1cccc(Cl)c1)C2 ZINC000578332558 1072561485 /nfs/dbraw/zinc/56/14/85/1072561485.db2.gz GDHSBIKPFNNYQD-UHFFFAOYSA-N 0 0 434.884 -0.513 20 0 IBADRN CCn1c(-c2n[nH]c(=O)c3ccccc32)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000578341584 1072561417 /nfs/dbraw/zinc/56/14/17/1072561417.db2.gz TXJBEWIUUQXPTQ-UHFFFAOYSA-N 0 0 435.452 -0.051 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@H]2CCCCO2)n1 ZINC000578342452 1072561452 /nfs/dbraw/zinc/56/14/52/1072561452.db2.gz YBHAXEQNYUBKPG-DLBZAZTESA-N 0 0 442.524 -0.144 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@H]2CCCCO2)n1 ZINC000578342453 1072561980 /nfs/dbraw/zinc/56/19/80/1072561980.db2.gz YBHAXEQNYUBKPG-IAGOWNOFSA-N 0 0 442.524 -0.144 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@@H]2CCCCO2)n1 ZINC000578342454 1072561763 /nfs/dbraw/zinc/56/17/63/1072561763.db2.gz YBHAXEQNYUBKPG-IRXDYDNUSA-N 0 0 442.524 -0.144 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@@H]2CCCCO2)n1 ZINC000578342455 1072561956 /nfs/dbraw/zinc/56/19/56/1072561956.db2.gz YBHAXEQNYUBKPG-SJORKVTESA-N 0 0 442.524 -0.144 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CC1 ZINC000578342646 1072561245 /nfs/dbraw/zinc/56/12/45/1072561245.db2.gz GYMIALJFSSUMOM-INIZCTEOSA-N 0 0 444.467 -0.168 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CC1 ZINC000578342647 1072561463 /nfs/dbraw/zinc/56/14/63/1072561463.db2.gz GYMIALJFSSUMOM-MRXNPFEDSA-N 0 0 444.467 -0.168 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCc3cn4ccccc4n3)CC2)n1 ZINC000578343944 1072561919 /nfs/dbraw/zinc/56/19/19/1072561919.db2.gz AJXFXDBPUPHBSG-UHFFFAOYSA-N 0 0 427.465 -0.133 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)c1 ZINC000578344053 1072561874 /nfs/dbraw/zinc/56/18/74/1072561874.db2.gz FIIQTEXZUWSPDL-INIZCTEOSA-N 0 0 425.507 -0.135 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)c1 ZINC000578344054 1072561857 /nfs/dbraw/zinc/56/18/57/1072561857.db2.gz FIIQTEXZUWSPDL-MRXNPFEDSA-N 0 0 425.507 -0.135 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000578344093 1072561906 /nfs/dbraw/zinc/56/19/06/1072561906.db2.gz GZRLOVCDPKQBAR-UHFFFAOYSA-N 0 0 432.477 -0.688 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NCCNS(=O)(=O)c2cccc(Cl)c2Cl)c1=O ZINC000578347108 1072561823 /nfs/dbraw/zinc/56/18/23/1072561823.db2.gz PDOIJQHLWWBVLW-UHFFFAOYSA-N 0 0 435.289 -0.052 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H](c2ccc(Cl)cc2)N2CCOCC2)CCO1 ZINC000578347216 1072561989 /nfs/dbraw/zinc/56/19/89/1072561989.db2.gz UUYWBTKACSXUJH-DLBZAZTESA-N 0 0 438.912 -0.197 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H](c2ccc(Cl)cc2)N2CCOCC2)CCO1 ZINC000578347217 1072561895 /nfs/dbraw/zinc/56/18/95/1072561895.db2.gz UUYWBTKACSXUJH-IAGOWNOFSA-N 0 0 438.912 -0.197 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H](c2ccc(Cl)cc2)N2CCOCC2)CCO1 ZINC000578347218 1072561735 /nfs/dbraw/zinc/56/17/35/1072561735.db2.gz UUYWBTKACSXUJH-IRXDYDNUSA-N 0 0 438.912 -0.197 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H](c2ccc(Cl)cc2)N2CCOCC2)CCO1 ZINC000578347219 1072561883 /nfs/dbraw/zinc/56/18/83/1072561883.db2.gz UUYWBTKACSXUJH-SJORKVTESA-N 0 0 438.912 -0.197 20 0 IBADRN Nc1cc2c(nn1)CCN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)C2 ZINC000578347231 1072561965 /nfs/dbraw/zinc/56/19/65/1072561965.db2.gz VHIGUXGPZUZVOU-UHFFFAOYSA-N 0 0 446.533 -0.246 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1cccc(N2CCOCC2)n1 ZINC000578349803 1072561974 /nfs/dbraw/zinc/56/19/74/1072561974.db2.gz XAXPAQCSQLCCNZ-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000578354797 1072561800 /nfs/dbraw/zinc/56/18/00/1072561800.db2.gz ZMTOVDJSNRQAED-CRAIPNDOSA-N 0 0 448.568 -0.524 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000578354798 1072561772 /nfs/dbraw/zinc/56/17/72/1072561772.db2.gz ZMTOVDJSNRQAED-MAUKXSAKSA-N 0 0 448.568 -0.524 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000578354799 1072561812 /nfs/dbraw/zinc/56/18/12/1072561812.db2.gz ZMTOVDJSNRQAED-QAPCUYQASA-N 0 0 448.568 -0.524 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000578354800 1072561791 /nfs/dbraw/zinc/56/17/91/1072561791.db2.gz ZMTOVDJSNRQAED-YJBOKZPZSA-N 0 0 448.568 -0.524 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000578357246 1072562245 /nfs/dbraw/zinc/56/22/45/1072562245.db2.gz COUHXZKKHUYPCO-INIZCTEOSA-N 0 0 440.508 -0.652 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000578357247 1072562468 /nfs/dbraw/zinc/56/24/68/1072562468.db2.gz COUHXZKKHUYPCO-MRXNPFEDSA-N 0 0 440.508 -0.652 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)C[C@H]2CO)cn1 ZINC000578358712 1072562453 /nfs/dbraw/zinc/56/24/53/1072562453.db2.gz KQOBZDCVENMQJJ-WDSOQIARSA-N 0 0 440.504 -0.343 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)C[C@H]2CO)cn1 ZINC000578358714 1072562266 /nfs/dbraw/zinc/56/22/66/1072562266.db2.gz KQOBZDCVENMQJJ-YTQUADARSA-N 0 0 440.504 -0.343 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000578360047 1072562492 /nfs/dbraw/zinc/56/24/92/1072562492.db2.gz NIDOWAGYSFALHI-LLVKDONJSA-N 0 0 445.523 -0.844 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000578360048 1072562585 /nfs/dbraw/zinc/56/25/85/1072562585.db2.gz NIDOWAGYSFALHI-NSHDSACASA-N 0 0 445.523 -0.844 20 0 IBADRN Cc1cc(NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)nn1-c1ccc(F)cc1F ZINC000578364241 1072562508 /nfs/dbraw/zinc/56/25/08/1072562508.db2.gz MTKRDCIIHZBKBX-HNNXBMFYSA-N 0 0 437.447 0.549 20 0 IBADRN Cc1cc(NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)nn1-c1ccc(F)cc1F ZINC000578364242 1072562284 /nfs/dbraw/zinc/56/22/84/1072562284.db2.gz MTKRDCIIHZBKBX-OAHLLOKOSA-N 0 0 437.447 0.549 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000578367822 1072562417 /nfs/dbraw/zinc/56/24/17/1072562417.db2.gz OMXHXBTVKVQFCA-CYBMUJFWSA-N 0 0 447.535 -0.347 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000578367823 1072562551 /nfs/dbraw/zinc/56/25/51/1072562551.db2.gz OMXHXBTVKVQFCA-ZDUSSCGKSA-N 0 0 447.535 -0.347 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000578370894 1072562436 /nfs/dbraw/zinc/56/24/36/1072562436.db2.gz AXHOCEPMWBDIRQ-UHFFFAOYSA-N 0 0 427.509 -0.104 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)nn1[C@@H](C)C1CC1 ZINC000578375504 1072563005 /nfs/dbraw/zinc/56/30/05/1072563005.db2.gz WFKOCSWOMLNRCH-AWEZNQCLSA-N 0 0 426.543 0.442 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)nn1[C@H](C)C1CC1 ZINC000578375505 1072563110 /nfs/dbraw/zinc/56/31/10/1072563110.db2.gz WFKOCSWOMLNRCH-CQSZACIVSA-N 0 0 426.543 0.442 20 0 IBADRN O=C(Nc1cccc2c1OCC(=O)N2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000578375529 1072562396 /nfs/dbraw/zinc/56/23/96/1072562396.db2.gz XDFKIFKAUONIMO-UHFFFAOYSA-N 0 0 449.445 -0.382 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000578377840 1072563133 /nfs/dbraw/zinc/56/31/33/1072563133.db2.gz AKIALBRDCFJGQD-UHFFFAOYSA-N 0 0 433.527 -0.175 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccccc1CN1CCOCC1)S(C)(=O)=O ZINC000578383922 1072563149 /nfs/dbraw/zinc/56/31/49/1072563149.db2.gz FFAVWKBJTMFELJ-UHFFFAOYSA-N 0 0 440.566 -0.077 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)C1 ZINC000578385731 1072563059 /nfs/dbraw/zinc/56/30/59/1072563059.db2.gz ZDQJZJSJXUQPOA-BBWFWOEESA-N 0 0 432.525 -0.049 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)C1 ZINC000578385732 1072563029 /nfs/dbraw/zinc/56/30/29/1072563029.db2.gz ZDQJZJSJXUQPOA-BRWVUGGUSA-N 0 0 432.525 -0.049 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)C1 ZINC000578385733 1072562978 /nfs/dbraw/zinc/56/29/78/1072562978.db2.gz ZDQJZJSJXUQPOA-GVDBMIGSSA-N 0 0 432.525 -0.049 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)C1 ZINC000578385734 1072562944 /nfs/dbraw/zinc/56/29/44/1072562944.db2.gz ZDQJZJSJXUQPOA-ZACQAIPSSA-N 0 0 432.525 -0.049 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)[C@@]2(O)CCCN(C(C)=O)C2)ccc1OC ZINC000578387211 1072563050 /nfs/dbraw/zinc/56/30/50/1072563050.db2.gz BRBSWKDKYOTPDZ-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)[C@]2(O)CCCN(C(C)=O)C2)ccc1OC ZINC000578387212 1072563075 /nfs/dbraw/zinc/56/30/75/1072563075.db2.gz BRBSWKDKYOTPDZ-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000578387554 1072563122 /nfs/dbraw/zinc/56/31/22/1072563122.db2.gz SCXMGBBVGDWLGC-GFCCVEGCSA-N 0 0 430.914 -0.138 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000578387555 1072563067 /nfs/dbraw/zinc/56/30/67/1072563067.db2.gz SCXMGBBVGDWLGC-LBPRGKRZSA-N 0 0 430.914 -0.138 20 0 IBADRN CC(C)CCOCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000578388519 1072563016 /nfs/dbraw/zinc/56/30/16/1072563016.db2.gz DISXSDGIUCVHCT-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)CCNS(=O)(=O)c2cccnc2)C1)C(N)=O ZINC000578388736 1072563090 /nfs/dbraw/zinc/56/30/90/1072563090.db2.gz PJIFJOQUEXOOIN-UHFFFAOYSA-N 0 0 425.511 -0.631 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000578388809 1072563098 /nfs/dbraw/zinc/56/30/98/1072563098.db2.gz TYHWMGKYIHXSHW-BBRMVZONSA-N 0 0 440.522 -0.475 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H](C)c1cccc(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000578388810 1072562987 /nfs/dbraw/zinc/56/29/87/1072562987.db2.gz TYHWMGKYIHXSHW-CJNGLKHVSA-N 0 0 440.522 -0.475 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H](C)c1cccc(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000578388811 1072563040 /nfs/dbraw/zinc/56/30/40/1072563040.db2.gz TYHWMGKYIHXSHW-CZUORRHYSA-N 0 0 440.522 -0.475 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)NC)c1)C(=O)N1CCOCC1 ZINC000578388812 1072562927 /nfs/dbraw/zinc/56/29/27/1072562927.db2.gz TYHWMGKYIHXSHW-XJKSGUPXSA-N 0 0 440.522 -0.475 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1)S(C)(=O)=O ZINC000578390415 1072563773 /nfs/dbraw/zinc/56/37/73/1072563773.db2.gz KFOOXMOXWYPZBG-HNNXBMFYSA-N 0 0 436.534 -0.731 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1)S(C)(=O)=O ZINC000578390416 1072563654 /nfs/dbraw/zinc/56/36/54/1072563654.db2.gz KFOOXMOXWYPZBG-OAHLLOKOSA-N 0 0 436.534 -0.731 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000578390979 1072562961 /nfs/dbraw/zinc/56/29/61/1072562961.db2.gz RJVZKEPRGWSROW-PBHICJAKSA-N 0 0 429.477 -0.002 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000578390980 1072563081 /nfs/dbraw/zinc/56/30/81/1072563081.db2.gz RJVZKEPRGWSROW-RHSMWYFYSA-N 0 0 429.477 -0.002 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000578390981 1072563829 /nfs/dbraw/zinc/56/38/29/1072563829.db2.gz RJVZKEPRGWSROW-WMLDXEAASA-N 0 0 429.477 -0.002 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000578390982 1072563426 /nfs/dbraw/zinc/56/34/26/1072563426.db2.gz RJVZKEPRGWSROW-YOEHRIQHSA-N 0 0 429.477 -0.002 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC(C)(C)C)c2)CCO1 ZINC000578391809 1072563477 /nfs/dbraw/zinc/56/34/77/1072563477.db2.gz AJDYUHIQMFRHDA-AWEZNQCLSA-N 0 0 440.522 -0.016 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC(C)(C)C)c2)CCO1 ZINC000578391810 1072563412 /nfs/dbraw/zinc/56/34/12/1072563412.db2.gz AJDYUHIQMFRHDA-CQSZACIVSA-N 0 0 440.522 -0.016 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000578395789 1072563819 /nfs/dbraw/zinc/56/38/19/1072563819.db2.gz QUFDUJDTTQHMDA-KRWDZBQOSA-N 0 0 444.602 -0.403 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000578395790 1072563787 /nfs/dbraw/zinc/56/37/87/1072563787.db2.gz QUFDUJDTTQHMDA-QGZVFWFLSA-N 0 0 444.602 -0.403 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)CCC2 ZINC000578401356 1072563669 /nfs/dbraw/zinc/56/36/69/1072563669.db2.gz IFLFRMYBAYBYBX-KRWDZBQOSA-N 0 0 434.541 -0.354 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)CCC2 ZINC000578401357 1072563530 /nfs/dbraw/zinc/56/35/30/1072563530.db2.gz IFLFRMYBAYBYBX-QGZVFWFLSA-N 0 0 434.541 -0.354 20 0 IBADRN O=C(CN1CCN(C(=O)CNS(=O)(=O)c2ccccc2F)CC1)Nc1nncs1 ZINC000578405542 1072564284 /nfs/dbraw/zinc/56/42/84/1072564284.db2.gz GJTFDFHETBVVQF-UHFFFAOYSA-N 0 0 442.498 -0.262 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1=O ZINC000578405716 1072563518 /nfs/dbraw/zinc/56/35/18/1072563518.db2.gz ODNJDAJCHIFSGR-UHFFFAOYSA-N 0 0 428.392 -0.315 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1OC ZINC000578408399 1072564341 /nfs/dbraw/zinc/56/43/41/1072564341.db2.gz ZRRPUFWUALSFBQ-UHFFFAOYSA-N 0 0 444.491 -0.100 20 0 IBADRN CC(C)CCn1nccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000578410961 1072564215 /nfs/dbraw/zinc/56/42/15/1072564215.db2.gz QTALEZJAGBVKSJ-UHFFFAOYSA-N 0 0 432.481 -0.313 20 0 IBADRN CC(C)c1nc(CN(C)[C@H]2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)C2)no1 ZINC000578428666 1072564150 /nfs/dbraw/zinc/56/41/50/1072564150.db2.gz BUUUHOPPLYCDFL-AWEZNQCLSA-N 0 0 444.496 -0.438 20 0 IBADRN CC(C)c1nc(CN(C)[C@@H]2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)C2)no1 ZINC000578428667 1072564207 /nfs/dbraw/zinc/56/42/07/1072564207.db2.gz BUUUHOPPLYCDFL-CQSZACIVSA-N 0 0 444.496 -0.438 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cn1)N1CCOCC1 ZINC000578455027 1072564325 /nfs/dbraw/zinc/56/43/25/1072564325.db2.gz LVCFNYJHAJUJOR-UHFFFAOYSA-N 0 0 440.526 -0.226 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000578456133 1072564412 /nfs/dbraw/zinc/56/44/12/1072564412.db2.gz PTKMIQNPTKWOAK-UHFFFAOYSA-N 0 0 428.497 -0.635 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)CC1 ZINC000578456653 1072564361 /nfs/dbraw/zinc/56/43/61/1072564361.db2.gz NSZRXXSVCDFVAV-UHFFFAOYSA-N 0 0 449.527 -0.007 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21)N1CCN(c2ccccc2)CC1 ZINC000578459425 1072564093 /nfs/dbraw/zinc/56/40/93/1072564093.db2.gz NSHZXSRDYQPWDV-QZTJIDSGSA-N 0 0 427.505 -0.422 20 0 IBADRN CC(CNC(=O)[C@@H]1CC[C@H](C(=O)N(C)C)O1)CNC(=O)[C@@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000578463670 1072564298 /nfs/dbraw/zinc/56/42/98/1072564298.db2.gz LQHYIQXLSNQXIX-CAOSSQGBSA-N 0 0 426.514 -0.874 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)CC2)cn1 ZINC000578464668 1072564925 /nfs/dbraw/zinc/56/49/25/1072564925.db2.gz CYOBFBWHMUFTAE-AWEZNQCLSA-N 0 0 430.431 -0.266 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)CC2)cn1 ZINC000578464669 1072564703 /nfs/dbraw/zinc/56/47/03/1072564703.db2.gz CYOBFBWHMUFTAE-CQSZACIVSA-N 0 0 430.431 -0.266 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000578465733 1072564879 /nfs/dbraw/zinc/56/48/79/1072564879.db2.gz YJCRWTCJLXRQPS-KBPBESRZSA-N 0 0 426.561 -0.491 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000578465734 1072564660 /nfs/dbraw/zinc/56/46/60/1072564660.db2.gz YJCRWTCJLXRQPS-KGLIPLIRSA-N 0 0 426.561 -0.491 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000578465735 1072564915 /nfs/dbraw/zinc/56/49/15/1072564915.db2.gz YJCRWTCJLXRQPS-UONOGXRCSA-N 0 0 426.561 -0.491 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000578465736 1072564621 /nfs/dbraw/zinc/56/46/21/1072564621.db2.gz YJCRWTCJLXRQPS-ZIAGYGMSSA-N 0 0 426.561 -0.491 20 0 IBADRN Cn1cc(CN(CCn2ccnc2)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cn1 ZINC000578470062 1072564603 /nfs/dbraw/zinc/56/46/03/1072564603.db2.gz MRMSUBOBBPTPLB-UHFFFAOYSA-N 0 0 425.453 -0.907 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NCc2c(CC)nn(C)c2OC)(N2CCOCC2)C1 ZINC000578470224 1072564866 /nfs/dbraw/zinc/56/48/66/1072564866.db2.gz UPCDMODXGSBCGF-UHFFFAOYSA-N 0 0 437.541 -0.007 20 0 IBADRN Cc1ccccc1-n1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nn1 ZINC000578470281 1072564841 /nfs/dbraw/zinc/56/48/41/1072564841.db2.gz XYZRONZXYWOEKR-KRWDZBQOSA-N 0 0 432.550 -0.003 20 0 IBADRN Cc1ccccc1-n1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nn1 ZINC000578470282 1072564891 /nfs/dbraw/zinc/56/48/91/1072564891.db2.gz XYZRONZXYWOEKR-QGZVFWFLSA-N 0 0 432.550 -0.003 20 0 IBADRN CCOC1CC(CCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000578470886 1072564827 /nfs/dbraw/zinc/56/48/27/1072564827.db2.gz XIEFWKMVEGAILW-JCYILVPMSA-N 0 0 431.555 -0.039 20 0 IBADRN CCOC1CC(CCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC000578470887 1072564739 /nfs/dbraw/zinc/56/47/39/1072564739.db2.gz XIEFWKMVEGAILW-OFLPRAFFSA-N 0 0 431.555 -0.039 20 0 IBADRN NC(=O)CCn1ccc(NC(=O)CNS(=O)(=O)c2ccc(Br)cc2)n1 ZINC000578474080 1072564799 /nfs/dbraw/zinc/56/47/99/1072564799.db2.gz WDRDYJSHWPBFGU-UHFFFAOYSA-N 0 0 430.284 0.438 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)N1CCN(c2ccc3nncn3n2)CC1)N1CCOCC1 ZINC000578487642 1072564674 /nfs/dbraw/zinc/56/46/74/1072564674.db2.gz GSWQMMUPGFBBOM-KRWDZBQOSA-N 0 0 444.540 -0.364 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)N1CCN(c2ccc3nncn3n2)CC1)N1CCOCC1 ZINC000578487643 1072564634 /nfs/dbraw/zinc/56/46/34/1072564634.db2.gz GSWQMMUPGFBBOM-QGZVFWFLSA-N 0 0 444.540 -0.364 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000578491187 1072564758 /nfs/dbraw/zinc/56/47/58/1072564758.db2.gz KAKBYVKKIAFSNB-HNNXBMFYSA-N 0 0 426.495 -0.641 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000578491188 1072564906 /nfs/dbraw/zinc/56/49/06/1072564906.db2.gz KAKBYVKKIAFSNB-OAHLLOKOSA-N 0 0 426.495 -0.641 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000578491457 1072564779 /nfs/dbraw/zinc/56/47/79/1072564779.db2.gz UAEBVTNFQXWTBQ-UHFFFAOYSA-N 0 0 428.493 -0.094 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000578495197 1072565546 /nfs/dbraw/zinc/56/55/46/1072565546.db2.gz QRICNEPDRJBGCG-UHFFFAOYSA-N 0 0 447.492 -0.312 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)C1=O ZINC000578512357 1072565286 /nfs/dbraw/zinc/56/52/86/1072565286.db2.gz KJUUUHKJTDEJOM-INIZCTEOSA-N 0 0 445.520 -0.415 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)C1=O ZINC000578512358 1072565225 /nfs/dbraw/zinc/56/52/25/1072565225.db2.gz KJUUUHKJTDEJOM-MRXNPFEDSA-N 0 0 445.520 -0.415 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1Br ZINC000578513024 1072565493 /nfs/dbraw/zinc/56/54/93/1072565493.db2.gz IHXJDBWOGXGAOJ-SECBINFHSA-N 0 0 426.314 -0.010 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1Br ZINC000578513025 1072565303 /nfs/dbraw/zinc/56/53/03/1072565303.db2.gz IHXJDBWOGXGAOJ-VIFPVBQESA-N 0 0 426.314 -0.010 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)NC2(CO)CCCC2)ccc1OC ZINC000578513775 1072565466 /nfs/dbraw/zinc/56/54/66/1072565466.db2.gz NEYVMZQFBFXZRP-UHFFFAOYSA-N 0 0 429.495 -0.020 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000578513813 1072565531 /nfs/dbraw/zinc/56/55/31/1072565531.db2.gz OBOBQBKTEMBYLP-CYBMUJFWSA-N 0 0 448.567 -0.572 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC[C@H](C)S(N)(=O)=O ZINC000578513814 1072565270 /nfs/dbraw/zinc/56/52/70/1072565270.db2.gz OBOBQBKTEMBYLP-ZDUSSCGKSA-N 0 0 448.567 -0.572 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1 ZINC000578528874 1072565252 /nfs/dbraw/zinc/56/52/52/1072565252.db2.gz CIFZDOQRMSEAOC-UHFFFAOYSA-N 0 0 435.506 -0.114 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)CN1CCOCC1 ZINC000578529563 1072565195 /nfs/dbraw/zinc/56/51/95/1072565195.db2.gz GBSHKBIRLMYXPX-AWEZNQCLSA-N 0 0 446.957 -0.181 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)CN1CCOCC1 ZINC000578529564 1072565454 /nfs/dbraw/zinc/56/54/54/1072565454.db2.gz GBSHKBIRLMYXPX-CQSZACIVSA-N 0 0 446.957 -0.181 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N[C@@H](C)C(=O)NC)c1 ZINC000578531850 1072565979 /nfs/dbraw/zinc/56/59/79/1072565979.db2.gz VHAJICPOGNTUJU-LBPRGKRZSA-N 0 0 427.527 -0.028 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCc2cccc(C(N)=O)c2)CC1 ZINC000578535688 1072566059 /nfs/dbraw/zinc/56/60/59/1072566059.db2.gz MNGCVEBQMCPFSD-INIZCTEOSA-N 0 0 429.521 -0.401 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCc2cccc(C(N)=O)c2)CC1 ZINC000578535689 1072565931 /nfs/dbraw/zinc/56/59/31/1072565931.db2.gz MNGCVEBQMCPFSD-MRXNPFEDSA-N 0 0 429.521 -0.401 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000578536708 1072566011 /nfs/dbraw/zinc/56/60/11/1072566011.db2.gz DOKGFHVTSKMUNW-APIJFGDWSA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000578536709 1072565874 /nfs/dbraw/zinc/56/58/74/1072565874.db2.gz DOKGFHVTSKMUNW-CBBWQLFWSA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000578536711 1072565995 /nfs/dbraw/zinc/56/59/95/1072565995.db2.gz DOKGFHVTSKMUNW-KBUPBQIOSA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000578536712 1072566018 /nfs/dbraw/zinc/56/60/18/1072566018.db2.gz DOKGFHVTSKMUNW-LXTVHRRPSA-N 0 0 435.568 -0.754 20 0 IBADRN Cc1ccc(C(C)(C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000578537279 1072566068 /nfs/dbraw/zinc/56/60/68/1072566068.db2.gz RVNOEKGBHHCUML-UHFFFAOYSA-N 0 0 442.520 -0.122 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@H]1[C@H]1CNC(=O)C1 ZINC000578539815 1072566023 /nfs/dbraw/zinc/56/60/23/1072566023.db2.gz DUDRVWCILFTSKV-CABCVRRESA-N 0 0 433.509 -0.126 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@H]1[C@@H]1CNC(=O)C1 ZINC000578539816 1072565974 /nfs/dbraw/zinc/56/59/74/1072565974.db2.gz DUDRVWCILFTSKV-GJZGRUSLSA-N 0 0 433.509 -0.126 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@@H]1[C@H]1CNC(=O)C1 ZINC000578539817 1072565987 /nfs/dbraw/zinc/56/59/87/1072565987.db2.gz DUDRVWCILFTSKV-HUUCEWRRSA-N 0 0 433.509 -0.126 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCCC[C@@H]1[C@@H]1CNC(=O)C1 ZINC000578539818 1072565895 /nfs/dbraw/zinc/56/58/95/1072565895.db2.gz DUDRVWCILFTSKV-LSDHHAIUSA-N 0 0 433.509 -0.126 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC1(CCO)CCCC1 ZINC000578540412 1072565941 /nfs/dbraw/zinc/56/59/41/1072565941.db2.gz YHUXJNPIPXSJLH-UHFFFAOYSA-N 0 0 438.506 -0.443 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC1 ZINC000578540643 1072565843 /nfs/dbraw/zinc/56/58/43/1072565843.db2.gz NHQNSISPSMKQHD-INIZCTEOSA-N 0 0 438.550 -0.065 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC1 ZINC000578540648 1072565886 /nfs/dbraw/zinc/56/58/86/1072565886.db2.gz NHQNSISPSMKQHD-MRXNPFEDSA-N 0 0 438.550 -0.065 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOCC(F)(F)C1 ZINC000578552733 1072566422 /nfs/dbraw/zinc/56/64/22/1072566422.db2.gz XIVPQSDBBRDSLF-UHFFFAOYSA-N 0 0 446.432 -0.760 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(C(=O)c3ccc(F)cc3)CC1)CC2 ZINC000578555459 1072566612 /nfs/dbraw/zinc/56/66/12/1072566612.db2.gz SKAGVYPKNZTQOF-INIZCTEOSA-N 0 0 444.467 -0.021 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(C(=O)c3ccc(F)cc3)CC1)CC2 ZINC000578555460 1072566486 /nfs/dbraw/zinc/56/64/86/1072566486.db2.gz SKAGVYPKNZTQOF-MRXNPFEDSA-N 0 0 444.467 -0.021 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000578556631 1072566556 /nfs/dbraw/zinc/56/65/56/1072566556.db2.gz JDCBBPWHYGCWFC-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H]2CC(=O)N(C)C2=O)o1 ZINC000578557421 1072566459 /nfs/dbraw/zinc/56/64/59/1072566459.db2.gz VPHXZIBJOATPHG-GHMZBOCLSA-N 0 0 427.435 -0.517 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H]2CC(=O)N(C)C2=O)o1 ZINC000578557422 1072566398 /nfs/dbraw/zinc/56/63/98/1072566398.db2.gz VPHXZIBJOATPHG-MNOVXSKESA-N 0 0 427.435 -0.517 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@H]2CC(=O)N(C)C2=O)o1 ZINC000578557423 1072566511 /nfs/dbraw/zinc/56/65/11/1072566511.db2.gz VPHXZIBJOATPHG-QWRGUYRKSA-N 0 0 427.435 -0.517 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H]2CC(=O)N(C)C2=O)o1 ZINC000578557424 1072566410 /nfs/dbraw/zinc/56/64/10/1072566410.db2.gz VPHXZIBJOATPHG-WDEREUQCSA-N 0 0 427.435 -0.517 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc(N(C)C)nc2)CC1 ZINC000578557543 1072566466 /nfs/dbraw/zinc/56/64/66/1072566466.db2.gz BBZINCYVSMJCMP-INIZCTEOSA-N 0 0 432.525 -0.845 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc(N(C)C)nc2)CC1 ZINC000578557544 1072566441 /nfs/dbraw/zinc/56/64/41/1072566441.db2.gz BBZINCYVSMJCMP-MRXNPFEDSA-N 0 0 432.525 -0.845 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000578559334 1072566448 /nfs/dbraw/zinc/56/64/48/1072566448.db2.gz CICJQZRWKZCBPK-INIZCTEOSA-N 0 0 427.509 -0.058 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ncnn2-c2ccccc2)CC1 ZINC000578559335 1072566504 /nfs/dbraw/zinc/56/65/04/1072566504.db2.gz CICJQZRWKZCBPK-MRXNPFEDSA-N 0 0 427.509 -0.058 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)C2)n(C)n1 ZINC000578559774 1072566626 /nfs/dbraw/zinc/56/66/26/1072566626.db2.gz WTRIOGNTEGYWIL-FCEWJHQRSA-N 0 0 442.524 -0.317 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)C2)n(C)n1 ZINC000578559775 1072566596 /nfs/dbraw/zinc/56/65/96/1072566596.db2.gz WTRIOGNTEGYWIL-MDZRGWNJSA-N 0 0 442.524 -0.317 20 0 IBADRN COc1ccc(CC(=O)N2CCS(=O)(=O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000578561148 1072566389 /nfs/dbraw/zinc/56/63/89/1072566389.db2.gz UGBWFGVKGRPWPR-AWEZNQCLSA-N 0 0 446.547 -0.096 20 0 IBADRN COc1ccc(CC(=O)N2CCS(=O)(=O)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000578561149 1072566475 /nfs/dbraw/zinc/56/64/75/1072566475.db2.gz UGBWFGVKGRPWPR-CQSZACIVSA-N 0 0 446.547 -0.096 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000578562325 1072567180 /nfs/dbraw/zinc/56/71/80/1072567180.db2.gz BAGJCLPHYSDBEJ-JTQLQIEISA-N 0 0 428.392 -0.581 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000578562326 1072566981 /nfs/dbraw/zinc/56/69/81/1072566981.db2.gz BAGJCLPHYSDBEJ-SNVBAGLBSA-N 0 0 428.392 -0.581 20 0 IBADRN COc1ccc2c(c1)nc(CNc1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)n2C ZINC000578564373 1072567166 /nfs/dbraw/zinc/56/71/66/1072567166.db2.gz UOXJBFZDJFIHFQ-AEVYOOLXSA-N 0 0 441.448 -0.055 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1 ZINC000578564674 1072566910 /nfs/dbraw/zinc/56/69/10/1072566910.db2.gz JDXFSRDNGSMIHZ-GOSISDBHSA-N 0 0 446.529 -0.008 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1 ZINC000578564675 1072567093 /nfs/dbraw/zinc/56/70/93/1072567093.db2.gz JDXFSRDNGSMIHZ-SFHVURJKSA-N 0 0 446.529 -0.008 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000578566588 1072566972 /nfs/dbraw/zinc/56/69/72/1072566972.db2.gz YYZHLZCHIPZWRQ-IBGZPJMESA-N 0 0 445.564 -0.546 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000578566589 1072566991 /nfs/dbraw/zinc/56/69/91/1072566991.db2.gz YYZHLZCHIPZWRQ-LJQANCHMSA-N 0 0 445.564 -0.546 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)nc1 ZINC000578568497 1072567046 /nfs/dbraw/zinc/56/70/46/1072567046.db2.gz YCBMIVTWMYWZQB-CYBMUJFWSA-N 0 0 426.495 -0.544 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)nc1 ZINC000578568498 1072567109 /nfs/dbraw/zinc/56/71/09/1072567109.db2.gz YCBMIVTWMYWZQB-ZDUSSCGKSA-N 0 0 426.495 -0.544 20 0 IBADRN CC(C)CSCCCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000578575093 1072567078 /nfs/dbraw/zinc/56/70/78/1072567078.db2.gz KWNJLMHTTAIPTO-UHFFFAOYSA-N 0 0 426.543 -0.629 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)[C@@H](C)C1=O ZINC000578576845 1072567123 /nfs/dbraw/zinc/56/71/23/1072567123.db2.gz WGCTYXWTKFNRLT-AAEUAGOBSA-N 0 0 436.490 -0.165 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)[C@H](C)C1=O ZINC000578576846 1072566925 /nfs/dbraw/zinc/56/69/25/1072566925.db2.gz WGCTYXWTKFNRLT-DGCLKSJQSA-N 0 0 436.490 -0.165 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)[C@@H](C)C1=O ZINC000578576847 1072566955 /nfs/dbraw/zinc/56/69/55/1072566955.db2.gz WGCTYXWTKFNRLT-WCQYABFASA-N 0 0 436.490 -0.165 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)[C@H](C)C1=O ZINC000578576848 1072567015 /nfs/dbraw/zinc/56/70/15/1072567015.db2.gz WGCTYXWTKFNRLT-YPMHNXCESA-N 0 0 436.490 -0.165 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)N(CCC(N)=O)c2ccc(F)cc2)CC1 ZINC000578581969 1072567024 /nfs/dbraw/zinc/56/70/24/1072567024.db2.gz PHGPFHULTZFVBH-UHFFFAOYSA-N 0 0 429.518 -0.152 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000578583797 1072567629 /nfs/dbraw/zinc/56/76/29/1072567629.db2.gz QVLPKECHPPWCCK-UHFFFAOYSA-N 0 0 429.433 0.414 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@H](NC(=O)NC3CC3)C1)CC2 ZINC000578584158 1072567597 /nfs/dbraw/zinc/56/75/97/1072567597.db2.gz DPYLXIGLBDRMDH-AWEZNQCLSA-N 0 0 435.506 -0.454 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H](NC(=O)NC3CC3)C1)CC2 ZINC000578584159 1072567706 /nfs/dbraw/zinc/56/77/06/1072567706.db2.gz DPYLXIGLBDRMDH-CQSZACIVSA-N 0 0 435.506 -0.454 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)NCCS(=O)(=O)N(C)C)CC2)cc1 ZINC000578586642 1072567721 /nfs/dbraw/zinc/56/77/21/1072567721.db2.gz IUZPMPRZDAEHRQ-UHFFFAOYSA-N 0 0 448.567 -0.007 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)COC[C@@H]2CCCO2)CCN1C(=O)COC[C@@H]1CCCO1 ZINC000578588523 1072567644 /nfs/dbraw/zinc/56/76/44/1072567644.db2.gz WHUKVKVFWFHEEX-BZSNNMDCSA-N 0 0 441.525 -0.495 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)COC[C@@H]2CCCO2)CCN1C(=O)COC[C@H]1CCCO1 ZINC000578588524 1072567504 /nfs/dbraw/zinc/56/75/04/1072567504.db2.gz WHUKVKVFWFHEEX-KSZLIROESA-N 0 0 441.525 -0.495 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)COC[C@H]2CCCO2)CCN1C(=O)COC[C@H]1CCCO1 ZINC000578588525 1072567475 /nfs/dbraw/zinc/56/74/75/1072567475.db2.gz WHUKVKVFWFHEEX-KURKYZTESA-N 0 0 441.525 -0.495 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)COC[C@H]2CCCO2)CCN1C(=O)COC[C@@H]1CCCO1 ZINC000578588526 1072567750 /nfs/dbraw/zinc/56/77/50/1072567750.db2.gz WHUKVKVFWFHEEX-SQNIBIBYSA-N 0 0 441.525 -0.495 20 0 IBADRN CN1c2ccc(NC(=O)C(=O)N3CCN(c4ncccc4C(N)=O)CC3)cc2OCC1=O ZINC000578598216 1072567528 /nfs/dbraw/zinc/56/75/28/1072567528.db2.gz YXHXFOGNKBTLHH-UHFFFAOYSA-N 0 0 438.444 -0.177 20 0 IBADRN COC[C@@H](C)CNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000578607349 1072567760 /nfs/dbraw/zinc/56/77/60/1072567760.db2.gz KUUBCABYPLRUQD-HNNXBMFYSA-N 0 0 427.523 -0.137 20 0 IBADRN COC[C@H](C)CNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000578607350 1072567584 /nfs/dbraw/zinc/56/75/84/1072567584.db2.gz KUUBCABYPLRUQD-OAHLLOKOSA-N 0 0 427.523 -0.137 20 0 IBADRN CC(C)C(=O)N1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000578613628 1072567736 /nfs/dbraw/zinc/56/77/36/1072567736.db2.gz XRYJRBCSLSSPBY-INIZCTEOSA-N 0 0 432.525 -0.256 20 0 IBADRN CC(C)C(=O)N1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000578613629 1072567487 /nfs/dbraw/zinc/56/74/87/1072567487.db2.gz XRYJRBCSLSSPBY-MRXNPFEDSA-N 0 0 432.525 -0.256 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)C(=O)Nc3ccc(OC)nn3)CC2)c1 ZINC000578627334 1073342124 /nfs/dbraw/zinc/34/21/24/1073342124.db2.gz CKFXGFPWONWTSN-UHFFFAOYSA-N 0 0 429.433 0.426 20 0 IBADRN CN(C)S(=O)(=O)CCNc1nc2ccccc2c(NCCS(=O)(=O)N(C)C)n1 ZINC000578632773 1072567512 /nfs/dbraw/zinc/56/75/12/1072567512.db2.gz MYINVUNRAOVFBT-UHFFFAOYSA-N 0 0 430.556 -0.920 20 0 IBADRN CNC(=O)NCCC(=O)NCc1ccccc1N1CCN(C(=O)CCNC(=O)NC)CC1 ZINC000578633247 1072567613 /nfs/dbraw/zinc/56/76/13/1072567613.db2.gz IDYULEPZXCYKDJ-UHFFFAOYSA-N 0 0 447.540 -0.410 20 0 IBADRN Cc1cnccc1CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000578658373 1072568067 /nfs/dbraw/zinc/56/80/67/1072568067.db2.gz VRHREJTXRVKZQF-UHFFFAOYSA-N 0 0 425.493 -0.306 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)C1 ZINC000578659764 1072568059 /nfs/dbraw/zinc/56/80/59/1072568059.db2.gz FSYADSXRSHXSLD-GDBMZVCRSA-N 0 0 444.554 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)C1 ZINC000578659765 1072568127 /nfs/dbraw/zinc/56/81/27/1072568127.db2.gz FSYADSXRSHXSLD-GOEBONIOSA-N 0 0 444.554 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)C1 ZINC000578659766 1072568049 /nfs/dbraw/zinc/56/80/49/1072568049.db2.gz FSYADSXRSHXSLD-HOCLYGCPSA-N 0 0 444.554 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)C1 ZINC000578659767 1072568134 /nfs/dbraw/zinc/56/81/34/1072568134.db2.gz FSYADSXRSHXSLD-ZBFHGGJFSA-N 0 0 444.554 -0.988 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)C1 ZINC000578666761 1072568085 /nfs/dbraw/zinc/56/80/85/1072568085.db2.gz XHGXWPWWNHGNFM-CVEARBPZSA-N 0 0 428.555 -0.176 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)C1 ZINC000578666762 1072568035 /nfs/dbraw/zinc/56/80/35/1072568035.db2.gz XHGXWPWWNHGNFM-HOTGVXAUSA-N 0 0 428.555 -0.176 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)C1 ZINC000578666763 1072568209 /nfs/dbraw/zinc/56/82/09/1072568209.db2.gz XHGXWPWWNHGNFM-HZPDHXFCSA-N 0 0 428.555 -0.176 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)C1 ZINC000578666764 1072568262 /nfs/dbraw/zinc/56/82/62/1072568262.db2.gz XHGXWPWWNHGNFM-JKSUJKDBSA-N 0 0 428.555 -0.176 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCOC3(CCCCC3)C2)CC1)N1CCOCC1 ZINC000578669529 1072568108 /nfs/dbraw/zinc/56/81/08/1072568108.db2.gz AMUBMLCBAANBKR-GOSISDBHSA-N 0 0 436.553 -0.013 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCOC3(CCCCC3)C2)CC1)N1CCOCC1 ZINC000578669534 1072568162 /nfs/dbraw/zinc/56/81/62/1072568162.db2.gz AMUBMLCBAANBKR-SFHVURJKSA-N 0 0 436.553 -0.013 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000578675569 1072568120 /nfs/dbraw/zinc/56/81/20/1072568120.db2.gz IKUDQRRDFPNJGY-JOCHJYFZSA-N 0 0 441.488 -0.997 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000578675570 1072568644 /nfs/dbraw/zinc/56/86/44/1072568644.db2.gz IKUDQRRDFPNJGY-QFIPXVFZSA-N 0 0 441.488 -0.997 20 0 IBADRN Cc1onc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)c1C ZINC000578676340 1073352885 /nfs/dbraw/zinc/35/28/85/1073352885.db2.gz XGUJDGAXTNPBOD-UHFFFAOYSA-N 0 0 435.506 0.482 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(OC)c(OC)c2OC)C1 ZINC000578677222 1072568790 /nfs/dbraw/zinc/56/87/90/1072568790.db2.gz NKVBNXWMUATFIN-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(OC)c(OC)c2OC)C1 ZINC000578677223 1072568673 /nfs/dbraw/zinc/56/86/73/1072568673.db2.gz NKVBNXWMUATFIN-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1=O ZINC000578679501 1072568621 /nfs/dbraw/zinc/56/86/21/1072568621.db2.gz GGPJBMAXGAGUNV-UHFFFAOYSA-N 0 0 430.469 -0.885 20 0 IBADRN Cc1c([C@H]2[C@H](CNC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)CC(=O)N2C)cnn1C ZINC000578680637 1072568530 /nfs/dbraw/zinc/56/85/30/1072568530.db2.gz YEWMAIRIKJGWFL-HNAYVOBHSA-N 0 0 442.524 -0.568 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000578681040 1072568798 /nfs/dbraw/zinc/56/87/98/1072568798.db2.gz OTESSJNXVGAJAA-JTQLQIEISA-N 0 0 427.467 -0.731 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000578681042 1072568661 /nfs/dbraw/zinc/56/86/61/1072568661.db2.gz OTESSJNXVGAJAA-SNVBAGLBSA-N 0 0 427.467 -0.731 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCN(c4ccc(C(N)=O)cn4)CC3)nc2)CC1 ZINC000578688335 1072568697 /nfs/dbraw/zinc/56/86/97/1072568697.db2.gz RMQHSIQHERVDLQ-UHFFFAOYSA-N 0 0 445.549 -0.162 20 0 IBADRN COCCCOc1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000578690137 1072568685 /nfs/dbraw/zinc/56/86/85/1072568685.db2.gz JLFMSBOPWATSJJ-UHFFFAOYSA-N 0 0 446.504 -0.333 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2)ncn1 ZINC000578691152 1072568571 /nfs/dbraw/zinc/56/85/71/1072568571.db2.gz ZKKALJRIKRENEV-AWEZNQCLSA-N 0 0 442.480 -0.903 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2)ncn1 ZINC000578691153 1072568739 /nfs/dbraw/zinc/56/87/39/1072568739.db2.gz ZKKALJRIKRENEV-CQSZACIVSA-N 0 0 442.480 -0.903 20 0 IBADRN CC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1 ZINC000578691442 1072568543 /nfs/dbraw/zinc/56/85/43/1072568543.db2.gz GCDQGBUPCCTVKD-UHFFFAOYSA-N 0 0 437.464 -0.318 20 0 IBADRN CC(C)(C)Oc1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n1 ZINC000578691733 1072568633 /nfs/dbraw/zinc/56/86/33/1072568633.db2.gz SRUGFCCTDKZCBR-UHFFFAOYSA-N 0 0 431.493 -0.176 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(CC(F)F)CC2)n1)N1CCCCCC1 ZINC000578692211 1073322648 /nfs/dbraw/zinc/32/26/48/1073322648.db2.gz LVJZJQFWIAKXOF-UHFFFAOYSA-N 0 0 427.456 0.029 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCCN(c2ccccc2F)C1=O ZINC000578692653 1072568747 /nfs/dbraw/zinc/56/87/47/1072568747.db2.gz AFGBDZJEDMCRMD-HIFRSBDPSA-N 0 0 440.497 -0.023 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCCN(c2ccccc2F)C1=O ZINC000578692654 1072568760 /nfs/dbraw/zinc/56/87/60/1072568760.db2.gz AFGBDZJEDMCRMD-UKRRQHHQSA-N 0 0 440.497 -0.023 20 0 IBADRN Cc1ccn(-c2ccccc2CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n1 ZINC000578694831 1072569080 /nfs/dbraw/zinc/56/90/80/1072569080.db2.gz HVARXVWRKXZHKN-UHFFFAOYSA-N 0 0 438.488 -0.254 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000578694839 1072569029 /nfs/dbraw/zinc/56/90/29/1072569029.db2.gz IMPHOACMLWZELN-NEPJUHHUSA-N 0 0 427.527 -0.969 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000578694840 1072569100 /nfs/dbraw/zinc/56/91/00/1072569100.db2.gz IMPHOACMLWZELN-NWDGAFQWSA-N 0 0 427.527 -0.969 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000578694841 1072569206 /nfs/dbraw/zinc/56/92/06/1072569206.db2.gz IMPHOACMLWZELN-RYUDHWBXSA-N 0 0 427.527 -0.969 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000578694842 1072569234 /nfs/dbraw/zinc/56/92/34/1072569234.db2.gz IMPHOACMLWZELN-VXGBXAGGSA-N 0 0 427.527 -0.969 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)CC1 ZINC000578695596 1072569299 /nfs/dbraw/zinc/56/92/99/1072569299.db2.gz HWJOPPFKNHOBBP-UHFFFAOYSA-N 0 0 426.514 -0.774 20 0 IBADRN Cn1cc([C@H]2CSCCN2C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)cn1 ZINC000578699964 1072569089 /nfs/dbraw/zinc/56/90/89/1072569089.db2.gz BBQSCOZCMASMGI-INMHGKMJSA-N 0 0 431.522 -0.094 20 0 IBADRN Cn1cc([C@@H]2CSCCN2C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)cn1 ZINC000578699965 1072569225 /nfs/dbraw/zinc/56/92/25/1072569225.db2.gz BBQSCOZCMASMGI-VYDXJSESSA-N 0 0 431.522 -0.094 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000578733297 1072569327 /nfs/dbraw/zinc/56/93/27/1072569327.db2.gz LLAIPUSDFDCSRF-GOSISDBHSA-N 0 0 427.479 -0.645 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000578733300 1072569310 /nfs/dbraw/zinc/56/93/10/1072569310.db2.gz LLAIPUSDFDCSRF-SFHVURJKSA-N 0 0 427.479 -0.645 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1)S(C)(=O)=O ZINC000578747729 1072569214 /nfs/dbraw/zinc/56/92/14/1072569214.db2.gz NLWZQYBASSDLEF-CYBMUJFWSA-N 0 0 443.551 -0.029 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1)S(C)(=O)=O ZINC000578747730 1072569286 /nfs/dbraw/zinc/56/92/86/1072569286.db2.gz NLWZQYBASSDLEF-ZDUSSCGKSA-N 0 0 443.551 -0.029 20 0 IBADRN CC(C)CN(C(=O)CN1CC[C@H](CO)[C@@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578749508 1072569047 /nfs/dbraw/zinc/56/90/47/1072569047.db2.gz NAXTWRDIMISCAY-CABCVRRESA-N 0 0 425.530 -0.149 20 0 IBADRN CC(C)CN(C(=O)CN1CC[C@@H](CO)[C@@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578749509 1072569638 /nfs/dbraw/zinc/56/96/38/1072569638.db2.gz NAXTWRDIMISCAY-GJZGRUSLSA-N 0 0 425.530 -0.149 20 0 IBADRN CC(C)CN(C(=O)CN1CC[C@H](CO)[C@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578749510 1072569664 /nfs/dbraw/zinc/56/96/64/1072569664.db2.gz NAXTWRDIMISCAY-HUUCEWRRSA-N 0 0 425.530 -0.149 20 0 IBADRN CC(C)CN(C(=O)CN1CC[C@@H](CO)[C@H](O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578749511 1072569823 /nfs/dbraw/zinc/56/98/23/1072569823.db2.gz NAXTWRDIMISCAY-LSDHHAIUSA-N 0 0 425.530 -0.149 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000578750133 1072569655 /nfs/dbraw/zinc/56/96/55/1072569655.db2.gz DTTRGIPRMKWWJZ-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000578750134 1072569694 /nfs/dbraw/zinc/56/96/94/1072569694.db2.gz DTTRGIPRMKWWJZ-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN COC(=O)c1ccc(F)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000578750376 1072569603 /nfs/dbraw/zinc/56/96/03/1072569603.db2.gz LKVQDMOOBYRGHA-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000578772875 1072569870 /nfs/dbraw/zinc/56/98/70/1072569870.db2.gz UKXBOFOESBNWRW-AEFFLSMTSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000578772876 1072569680 /nfs/dbraw/zinc/56/96/80/1072569680.db2.gz UKXBOFOESBNWRW-FUHWJXTLSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000578772877 1072569725 /nfs/dbraw/zinc/56/97/25/1072569725.db2.gz UKXBOFOESBNWRW-SJLPKXTDSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C1 ZINC000578772878 1072569754 /nfs/dbraw/zinc/56/97/54/1072569754.db2.gz UKXBOFOESBNWRW-WMZOPIPTSA-N 0 0 447.536 -0.076 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCCNc3ccccn3)CC2)no1 ZINC000578774104 1073328939 /nfs/dbraw/zinc/32/89/39/1073328939.db2.gz KTMQZWLQUMRMID-UHFFFAOYSA-N 0 0 429.481 0.079 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2cc(S(N)(=O)=O)cnc2C)CC1 ZINC000578780980 1072569744 /nfs/dbraw/zinc/56/97/44/1072569744.db2.gz UENXRHCLWQDUOY-UHFFFAOYSA-N 0 0 426.495 -0.089 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)[C@H](C)C1 ZINC000578782889 1072569900 /nfs/dbraw/zinc/56/99/00/1072569900.db2.gz YLKBGLNEHBOISR-IAGOWNOFSA-N 0 0 430.571 -0.418 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)[C@H](C)C1 ZINC000578782890 1072569928 /nfs/dbraw/zinc/56/99/28/1072569928.db2.gz YLKBGLNEHBOISR-SJORKVTESA-N 0 0 430.571 -0.418 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000578783560 1072161906 /nfs/dbraw/zinc/16/19/06/1072161906.db2.gz UZHJCXDBTYIUMZ-UHFFFAOYSA-N 0 0 446.551 -0.840 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000578789790 1072569733 /nfs/dbraw/zinc/56/97/33/1072569733.db2.gz OHYKYUNRWSODSS-KBPBESRZSA-N 0 0 449.551 -0.612 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000578789791 1072570656 /nfs/dbraw/zinc/57/06/56/1072570656.db2.gz OHYKYUNRWSODSS-KGLIPLIRSA-N 0 0 449.551 -0.612 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000578789792 1072570397 /nfs/dbraw/zinc/57/03/97/1072570397.db2.gz OHYKYUNRWSODSS-UONOGXRCSA-N 0 0 449.551 -0.612 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000578789793 1072570251 /nfs/dbraw/zinc/57/02/51/1072570251.db2.gz OHYKYUNRWSODSS-ZIAGYGMSSA-N 0 0 449.551 -0.612 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCCN(C(=O)c3cccc(F)c3)CC2)CC1 ZINC000578794873 1072570412 /nfs/dbraw/zinc/57/04/12/1072570412.db2.gz FCBLRGHDNDUMQL-UHFFFAOYSA-N 0 0 447.511 -0.173 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(CS(C)(=O)=O)cc1 ZINC000578795884 1072570258 /nfs/dbraw/zinc/57/02/58/1072570258.db2.gz APKUKAOUNCIZAQ-HNNXBMFYSA-N 0 0 431.536 -0.612 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(CS(C)(=O)=O)cc1 ZINC000578795885 1072570439 /nfs/dbraw/zinc/57/04/39/1072570439.db2.gz APKUKAOUNCIZAQ-OAHLLOKOSA-N 0 0 431.536 -0.612 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000578796701 1072570235 /nfs/dbraw/zinc/57/02/35/1072570235.db2.gz OZQQXPABNJSXHV-INIZCTEOSA-N 0 0 440.570 0.541 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000578796702 1072570946 /nfs/dbraw/zinc/57/09/46/1072570946.db2.gz OZQQXPABNJSXHV-MRXNPFEDSA-N 0 0 440.570 0.541 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)c1N ZINC000578799825 1072571064 /nfs/dbraw/zinc/57/10/64/1072571064.db2.gz FEEPZIIESZYYNQ-UHFFFAOYSA-N 0 0 439.498 -0.483 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000578801940 1072571012 /nfs/dbraw/zinc/57/10/12/1072571012.db2.gz DIDWSRJCUVPTHP-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCC([C@]3(C)NC(=O)NC3=O)CC1)CCC2 ZINC000578802308 1072571037 /nfs/dbraw/zinc/57/10/37/1072571037.db2.gz WUNWDKRCAPVYPS-BTYIYWSLSA-N 0 0 446.508 -0.140 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCC([C@@]3(C)NC(=O)NC3=O)CC1)CCC2 ZINC000578802309 1072571026 /nfs/dbraw/zinc/57/10/26/1072571026.db2.gz WUNWDKRCAPVYPS-QVKFZJNVSA-N 0 0 446.508 -0.140 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCC([C@]3(C)NC(=O)NC3=O)CC1)CCC2 ZINC000578802310 1072570891 /nfs/dbraw/zinc/57/08/91/1072570891.db2.gz WUNWDKRCAPVYPS-VFNWGFHPSA-N 0 0 446.508 -0.140 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCC([C@@]3(C)NC(=O)NC3=O)CC1)CCC2 ZINC000578802311 1072571085 /nfs/dbraw/zinc/57/10/85/1072571085.db2.gz WUNWDKRCAPVYPS-YCRPNKLZSA-N 0 0 446.508 -0.140 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000578803535 1072571094 /nfs/dbraw/zinc/57/10/94/1072571094.db2.gz BUIIFZXZPSXXLU-HNNXBMFYSA-N 0 0 428.515 -0.323 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000578803536 1072571136 /nfs/dbraw/zinc/57/11/36/1072571136.db2.gz BUIIFZXZPSXXLU-OAHLLOKOSA-N 0 0 428.515 -0.323 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2c[nH]nc2-c2nccs2)CC1 ZINC000578807134 1072570968 /nfs/dbraw/zinc/57/09/68/1072570968.db2.gz BMRCNCLQELGIQW-UHFFFAOYSA-N 0 0 427.512 -0.188 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)C1 ZINC000578811619 1072571000 /nfs/dbraw/zinc/57/10/00/1072571000.db2.gz CPGDVQGSFJLOMC-BBWFWOEESA-N 0 0 434.541 -0.105 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)C1 ZINC000578811620 1072571126 /nfs/dbraw/zinc/57/11/26/1072571126.db2.gz CPGDVQGSFJLOMC-BRWVUGGUSA-N 0 0 434.541 -0.105 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)C1 ZINC000578811621 1072571049 /nfs/dbraw/zinc/57/10/49/1072571049.db2.gz CPGDVQGSFJLOMC-GVDBMIGSSA-N 0 0 434.541 -0.105 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)C1 ZINC000578811622 1072570926 /nfs/dbraw/zinc/57/09/26/1072570926.db2.gz CPGDVQGSFJLOMC-ZACQAIPSSA-N 0 0 434.541 -0.105 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C)C ZINC000578811947 1072571159 /nfs/dbraw/zinc/57/11/59/1072571159.db2.gz NSQQIOUWYVHQPX-FZMZJTMJSA-N 0 0 430.552 -0.222 20 0 IBADRN CSCC[C@H](NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N(C)C ZINC000578811948 1072571144 /nfs/dbraw/zinc/57/11/44/1072571144.db2.gz NSQQIOUWYVHQPX-RISCZKNCSA-N 0 0 430.552 -0.222 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)CC2)ncn1 ZINC000578812440 1072570903 /nfs/dbraw/zinc/57/09/03/1072570903.db2.gz DZTXBFHAVFQGJW-INIZCTEOSA-N 0 0 439.476 -0.159 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)CC2)ncn1 ZINC000578812441 1072570989 /nfs/dbraw/zinc/57/09/89/1072570989.db2.gz DZTXBFHAVFQGJW-MRXNPFEDSA-N 0 0 439.476 -0.159 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)CC1 ZINC000578814896 1072571076 /nfs/dbraw/zinc/57/10/76/1072571076.db2.gz SEMYYFOBNILHJL-UHFFFAOYSA-N 0 0 442.419 -0.087 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)c(OCC(F)F)c1 ZINC000578823392 1072571852 /nfs/dbraw/zinc/57/18/52/1072571852.db2.gz VDEPEPUPVFNMPZ-UHFFFAOYSA-N 0 0 428.392 -0.267 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000578825585 1072571796 /nfs/dbraw/zinc/57/17/96/1072571796.db2.gz GJUQBMVHSODVJM-KRWDZBQOSA-N 0 0 438.550 -0.055 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000578825586 1072571784 /nfs/dbraw/zinc/57/17/84/1072571784.db2.gz GJUQBMVHSODVJM-QGZVFWFLSA-N 0 0 438.550 -0.055 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000578836637 1072571430 /nfs/dbraw/zinc/57/14/30/1072571430.db2.gz VUWJNBABQWNUEI-UHFFFAOYSA-N 0 0 434.478 -0.325 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCN1CCOCC1)N1CCN(c2ccccn2)CC1 ZINC000578847234 1072571725 /nfs/dbraw/zinc/57/17/25/1072571725.db2.gz AITUOYSFISJQSJ-UHFFFAOYSA-N 0 0 432.525 -0.925 20 0 IBADRN O=C(NC1CCC2(CC1)NC(=O)NC2=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000578868493 1072571573 /nfs/dbraw/zinc/57/15/73/1072571573.db2.gz CXUREAJPTNCBCN-UHFFFAOYSA-N 0 0 436.490 -0.042 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@H]1CCCO1 ZINC000578874831 1072571511 /nfs/dbraw/zinc/57/15/11/1072571511.db2.gz HLOJHOAFPZLSHU-HNNXBMFYSA-N 0 0 426.485 -0.202 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1CCCO1 ZINC000578874834 1072571711 /nfs/dbraw/zinc/57/17/11/1072571711.db2.gz HLOJHOAFPZLSHU-OAHLLOKOSA-N 0 0 426.485 -0.202 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)CCO3)CC1 ZINC000578890150 1072571696 /nfs/dbraw/zinc/57/16/96/1072571696.db2.gz OJWZHULIJPHNQY-INIZCTEOSA-N 0 0 432.521 -0.077 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2ccc3c(c2)CCO3)CC1 ZINC000578890153 1072571771 /nfs/dbraw/zinc/57/17/71/1072571771.db2.gz OJWZHULIJPHNQY-MRXNPFEDSA-N 0 0 432.521 -0.077 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)CC1 ZINC000578894331 1072572134 /nfs/dbraw/zinc/57/21/34/1072572134.db2.gz LLWDBCQNSASRIZ-UHFFFAOYSA-N 0 0 448.564 -0.071 20 0 IBADRN CCc1nc(CCNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cs1 ZINC000578896740 1072572205 /nfs/dbraw/zinc/57/22/05/1072572205.db2.gz YQNZPFMYWVVUER-HNNXBMFYSA-N 0 0 437.566 -0.244 20 0 IBADRN CCc1nc(CCNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cs1 ZINC000578896741 1072572352 /nfs/dbraw/zinc/57/23/52/1072572352.db2.gz YQNZPFMYWVVUER-OAHLLOKOSA-N 0 0 437.566 -0.244 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc(OC)c1OC ZINC000578900489 1072572341 /nfs/dbraw/zinc/57/23/41/1072572341.db2.gz HCYANARHTYWQAR-GFCCVEGCSA-N 0 0 429.495 -0.031 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc(OC)c1OC ZINC000578900491 1072572252 /nfs/dbraw/zinc/57/22/52/1072572252.db2.gz HCYANARHTYWQAR-LBPRGKRZSA-N 0 0 429.495 -0.031 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000578901596 1072572292 /nfs/dbraw/zinc/57/22/92/1072572292.db2.gz XTNDHMFAFFQXFY-GXTWGEPZSA-N 0 0 432.520 -0.121 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000578902182 1072572332 /nfs/dbraw/zinc/57/23/32/1072572332.db2.gz RUTOFPMVKTUCCM-CYBMUJFWSA-N 0 0 441.496 -0.218 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000578902183 1072572188 /nfs/dbraw/zinc/57/21/88/1072572188.db2.gz RUTOFPMVKTUCCM-ZDUSSCGKSA-N 0 0 441.496 -0.218 20 0 IBADRN Cc1ccccc1O[C@H](C)CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000578904534 1072572120 /nfs/dbraw/zinc/57/21/20/1072572120.db2.gz RHYOBKOJNHNGMF-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1ccccc1O[C@@H](C)CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000578904538 1072572100 /nfs/dbraw/zinc/57/21/00/1072572100.db2.gz RHYOBKOJNHNGMF-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)C1=O ZINC000578909153 1072572224 /nfs/dbraw/zinc/57/22/24/1072572224.db2.gz QLNNZRMNYMFTBA-GOSISDBHSA-N 0 0 439.520 -0.233 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)C1=O ZINC000578909164 1072572159 /nfs/dbraw/zinc/57/21/59/1072572159.db2.gz QLNNZRMNYMFTBA-SFHVURJKSA-N 0 0 439.520 -0.233 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000578909686 1072572304 /nfs/dbraw/zinc/57/23/04/1072572304.db2.gz TZGFHLZPRUCGFT-UHFFFAOYSA-N 0 0 448.567 -0.348 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)CC2)cn1 ZINC000578909687 1072572748 /nfs/dbraw/zinc/57/27/48/1072572748.db2.gz UDVXUCQAWBSWEM-INIZCTEOSA-N 0 0 428.497 -0.890 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)CC2)cn1 ZINC000578909689 1072572892 /nfs/dbraw/zinc/57/28/92/1072572892.db2.gz UDVXUCQAWBSWEM-MRXNPFEDSA-N 0 0 428.497 -0.890 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000578913034 1072572630 /nfs/dbraw/zinc/57/26/30/1072572630.db2.gz QLBYBCMFSUIRMN-MSOLQXFVSA-N 0 0 438.550 -0.456 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000578913036 1072572760 /nfs/dbraw/zinc/57/27/60/1072572760.db2.gz QLBYBCMFSUIRMN-QZTJIDSGSA-N 0 0 438.550 -0.456 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000578913038 1072572868 /nfs/dbraw/zinc/57/28/68/1072572868.db2.gz QLBYBCMFSUIRMN-ROUUACIJSA-N 0 0 438.550 -0.456 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000578913041 1072572785 /nfs/dbraw/zinc/57/27/85/1072572785.db2.gz QLBYBCMFSUIRMN-ZWKOTPCHSA-N 0 0 438.550 -0.456 20 0 IBADRN Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000578913890 1072572909 /nfs/dbraw/zinc/57/29/09/1072572909.db2.gz GIGNPGCLKQVEFK-HKUYNNGSSA-N 0 0 441.492 -0.311 20 0 IBADRN Cc1ccc([C@H]2OCCC[C@H]2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000578913895 1072572933 /nfs/dbraw/zinc/57/29/33/1072572933.db2.gz GIGNPGCLKQVEFK-IEBWSBKVSA-N 0 0 441.492 -0.311 20 0 IBADRN Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000578913898 1072572848 /nfs/dbraw/zinc/57/28/48/1072572848.db2.gz GIGNPGCLKQVEFK-MJGOQNOKSA-N 0 0 441.492 -0.311 20 0 IBADRN Cc1ccc([C@H]2OCCC[C@@H]2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000578913899 1072572667 /nfs/dbraw/zinc/57/26/67/1072572667.db2.gz GIGNPGCLKQVEFK-PKOBYXMFSA-N 0 0 441.492 -0.311 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000578914134 1072572900 /nfs/dbraw/zinc/57/29/00/1072572900.db2.gz JHSJPMTYLDOOSO-SMDDNHRTSA-N 0 0 446.551 -0.509 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000578914210 1072572616 /nfs/dbraw/zinc/57/26/16/1072572616.db2.gz BKJWUNBVHWCSDM-ROUUACIJSA-N 0 0 443.548 -0.020 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000578914213 1072572735 /nfs/dbraw/zinc/57/27/35/1072572735.db2.gz BKJWUNBVHWCSDM-ZWKOTPCHSA-N 0 0 443.548 -0.020 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2ccccc2OC(F)F)CC1 ZINC000578914395 1072572920 /nfs/dbraw/zinc/57/29/20/1072572920.db2.gz HEDUIVJGQWFMPI-UHFFFAOYSA-N 0 0 437.407 -0.696 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCn3nc(C)nc3C2)C1=O ZINC000578914885 1072572838 /nfs/dbraw/zinc/57/28/38/1072572838.db2.gz OQNXLLMUOOTZIN-GFCCVEGCSA-N 0 0 432.462 -0.301 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCn3nc(C)nc3C2)C1=O ZINC000578914889 1072572600 /nfs/dbraw/zinc/57/26/00/1072572600.db2.gz OQNXLLMUOOTZIN-LBPRGKRZSA-N 0 0 432.462 -0.301 20 0 IBADRN CSCCCCNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000578917376 1072572854 /nfs/dbraw/zinc/57/28/54/1072572854.db2.gz PYAXQYDOSYXYSX-UHFFFAOYSA-N 0 0 443.595 -0.091 20 0 IBADRN CCc1cnccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000578919251 1072572682 /nfs/dbraw/zinc/57/26/82/1072572682.db2.gz PLGUVRJPGAVLHV-UHFFFAOYSA-N 0 0 449.489 -0.515 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000578920526 1072573290 /nfs/dbraw/zinc/57/32/90/1072573290.db2.gz KPVJSCNEENCCMN-CABCVRRESA-N 0 0 426.543 -0.646 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000578920527 1072573426 /nfs/dbraw/zinc/57/34/26/1072573426.db2.gz KPVJSCNEENCCMN-GJZGRUSLSA-N 0 0 426.543 -0.646 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000578920528 1072573447 /nfs/dbraw/zinc/57/34/47/1072573447.db2.gz KPVJSCNEENCCMN-HUUCEWRRSA-N 0 0 426.543 -0.646 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)C1 ZINC000578920529 1072573350 /nfs/dbraw/zinc/57/33/50/1072573350.db2.gz KPVJSCNEENCCMN-LSDHHAIUSA-N 0 0 426.543 -0.646 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)C(=O)NCCNC(=O)c3cnccn3)C2)ncn1 ZINC000578920864 1072573367 /nfs/dbraw/zinc/57/33/67/1072573367.db2.gz ATFCQNQBDJHQJX-HNNXBMFYSA-N 0 0 426.481 -0.540 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)C(=O)NCCNC(=O)c3cnccn3)C2)ncn1 ZINC000578920865 1072573220 /nfs/dbraw/zinc/57/32/20/1072573220.db2.gz ATFCQNQBDJHQJX-OAHLLOKOSA-N 0 0 426.481 -0.540 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000578921800 1072573374 /nfs/dbraw/zinc/57/33/74/1072573374.db2.gz ZPGLJMHXXPTXRO-AWEZNQCLSA-N 0 0 433.900 -0.099 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000578921804 1072573301 /nfs/dbraw/zinc/57/33/01/1072573301.db2.gz ZPGLJMHXXPTXRO-CQSZACIVSA-N 0 0 433.900 -0.099 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCN(c2ccccc2F)C1=O ZINC000578923902 1072573434 /nfs/dbraw/zinc/57/34/34/1072573434.db2.gz DCDYGLSKPHTCIT-OCCSQVGLSA-N 0 0 426.470 -0.413 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2F)C1=O ZINC000578923912 1072573267 /nfs/dbraw/zinc/57/32/67/1072573267.db2.gz DCDYGLSKPHTCIT-TZMCWYRMSA-N 0 0 426.470 -0.413 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CNS(=O)(=O)c3cccnc3)CC2)cs1 ZINC000578924491 1072573403 /nfs/dbraw/zinc/57/34/03/1072573403.db2.gz IPIPOSOHSRSOIB-UHFFFAOYSA-N 0 0 445.548 -0.342 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2c(Cl)cccc2Cl)CC1 ZINC000578924524 1072573312 /nfs/dbraw/zinc/57/33/12/1072573312.db2.gz JFZPQDHWWQSCPI-UHFFFAOYSA-N 0 0 431.323 -0.381 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCCc1ccc(S(N)(=O)=O)cc1)C(F)(F)F ZINC000578924714 1072573358 /nfs/dbraw/zinc/57/33/58/1072573358.db2.gz SGXRQMYJRCSFIE-GFCCVEGCSA-N 0 0 446.473 -0.002 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCCc1ccc(S(N)(=O)=O)cc1)C(F)(F)F ZINC000578924715 1072573412 /nfs/dbraw/zinc/57/34/12/1072573412.db2.gz SGXRQMYJRCSFIE-LBPRGKRZSA-N 0 0 446.473 -0.002 20 0 IBADRN Cc1ccc([C@@H]2COCCN2C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)o1 ZINC000578924878 1072573229 /nfs/dbraw/zinc/57/32/29/1072573229.db2.gz SMPFSHCRPVIHNP-AWEZNQCLSA-N 0 0 431.536 -0.017 20 0 IBADRN Cc1ccc([C@H]2COCCN2C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)o1 ZINC000578924888 1072573417 /nfs/dbraw/zinc/57/34/17/1072573417.db2.gz SMPFSHCRPVIHNP-CQSZACIVSA-N 0 0 431.536 -0.017 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](CO)C2CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000578925744 1072574009 /nfs/dbraw/zinc/57/40/09/1072574009.db2.gz JKRGCCONSYBZIN-DZGCQCFKSA-N 0 0 441.506 -0.164 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](CO)C2CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000578925745 1072573903 /nfs/dbraw/zinc/57/39/03/1072573903.db2.gz JKRGCCONSYBZIN-HIFRSBDPSA-N 0 0 441.506 -0.164 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](CO)C2CC2)cc1S(=O)(=O)N[C@@H]1CCOC1 ZINC000578925746 1072574001 /nfs/dbraw/zinc/57/40/01/1072574001.db2.gz JKRGCCONSYBZIN-UKRRQHHQSA-N 0 0 441.506 -0.164 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](CO)C2CC2)cc1S(=O)(=O)N[C@H]1CCOC1 ZINC000578925747 1072573992 /nfs/dbraw/zinc/57/39/92/1072573992.db2.gz JKRGCCONSYBZIN-ZFWWWQNUSA-N 0 0 441.506 -0.164 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000578925891 1072573730 /nfs/dbraw/zinc/57/37/30/1072573730.db2.gz PYVDYABTMFXVOT-BETUJISGSA-N 0 0 439.490 -0.048 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)cc1 ZINC000578925892 1072573890 /nfs/dbraw/zinc/57/38/90/1072573890.db2.gz PYVDYABTMFXVOT-CHWSQXEVSA-N 0 0 439.490 -0.048 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000578925893 1072573881 /nfs/dbraw/zinc/57/38/81/1072573881.db2.gz PYVDYABTMFXVOT-STQMWFEESA-N 0 0 439.490 -0.048 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000578932239 1072573851 /nfs/dbraw/zinc/57/38/51/1072573851.db2.gz MLQCTELSQMVDJL-CVEARBPZSA-N 0 0 437.522 -0.771 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000578932240 1072573844 /nfs/dbraw/zinc/57/38/44/1072573844.db2.gz MLQCTELSQMVDJL-HOTGVXAUSA-N 0 0 437.522 -0.771 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000578932241 1072573804 /nfs/dbraw/zinc/57/38/04/1072573804.db2.gz MLQCTELSQMVDJL-HZPDHXFCSA-N 0 0 437.522 -0.771 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000578932242 1072573966 /nfs/dbraw/zinc/57/39/66/1072573966.db2.gz MLQCTELSQMVDJL-JKSUJKDBSA-N 0 0 437.522 -0.771 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@@H](O)C3)CC2)cc1 ZINC000578932451 1072573937 /nfs/dbraw/zinc/57/39/37/1072573937.db2.gz LHELWBPLVJHMLK-HKUYNNGSSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@H](O)C3)CC2)cc1 ZINC000578932452 1072573694 /nfs/dbraw/zinc/57/36/94/1072573694.db2.gz LHELWBPLVJHMLK-IEBWSBKVSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@@H](O)C3)CC2)cc1 ZINC000578932453 1072573810 /nfs/dbraw/zinc/57/38/10/1072573810.db2.gz LHELWBPLVJHMLK-MJGOQNOKSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@H](O)C3)CC2)cc1 ZINC000578932454 1072574019 /nfs/dbraw/zinc/57/40/19/1072574019.db2.gz LHELWBPLVJHMLK-PKOBYXMFSA-N 0 0 439.534 -0.603 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC000578933604 1072574530 /nfs/dbraw/zinc/57/45/30/1072574530.db2.gz MPJNUKPUBABZPV-HNNXBMFYSA-N 0 0 431.536 -0.114 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC000578933617 1072574521 /nfs/dbraw/zinc/57/45/21/1072574521.db2.gz MPJNUKPUBABZPV-OAHLLOKOSA-N 0 0 431.536 -0.114 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1cccnc1 ZINC000578935867 1072574399 /nfs/dbraw/zinc/57/43/99/1072574399.db2.gz CFDXPJNHGADWRM-IBGZPJMESA-N 0 0 431.537 -0.060 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1cccnc1 ZINC000578935870 1072574423 /nfs/dbraw/zinc/57/44/23/1072574423.db2.gz CFDXPJNHGADWRM-LJQANCHMSA-N 0 0 431.537 -0.060 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC3(C2)Oc2ccccc2O3)cn1 ZINC000578935965 1072574535 /nfs/dbraw/zinc/57/45/35/1072574535.db2.gz VVEKMJVCYVBYFU-UHFFFAOYSA-N 0 0 429.433 -0.016 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCO[C@]4(CCO[C@H]4C)C3)C2)nc1 ZINC000578937259 1072574498 /nfs/dbraw/zinc/57/44/98/1072574498.db2.gz VTOXKIBTUDDLDI-LHSJRXKWSA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCO[C@@]4(CCO[C@H]4C)C3)C2)nc1 ZINC000578937262 1072574355 /nfs/dbraw/zinc/57/43/55/1072574355.db2.gz VTOXKIBTUDDLDI-QKKBWIMNSA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCO[C@]4(CCO[C@@H]4C)C3)C2)nc1 ZINC000578937264 1072574391 /nfs/dbraw/zinc/57/43/91/1072574391.db2.gz VTOXKIBTUDDLDI-SPLOXXLWSA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCO[C@@]4(CCO[C@@H]4C)C3)C2)nc1 ZINC000578937265 1072574333 /nfs/dbraw/zinc/57/43/33/1072574333.db2.gz VTOXKIBTUDDLDI-SZNDQCEHSA-N 0 0 432.477 -0.031 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000578942758 1072574488 /nfs/dbraw/zinc/57/44/88/1072574488.db2.gz KUQAKLJEBOUGNV-UHFFFAOYSA-N 0 0 444.510 -0.008 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(C)C)cc2)C1 ZINC000578954096 1072574507 /nfs/dbraw/zinc/57/45/07/1072574507.db2.gz UYRAYAJSQMQMKR-HNNXBMFYSA-N 0 0 439.581 -0.067 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(C)C)cc2)C1 ZINC000578954097 1072574515 /nfs/dbraw/zinc/57/45/15/1072574515.db2.gz UYRAYAJSQMQMKR-OAHLLOKOSA-N 0 0 439.581 -0.067 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000578978198 1072574971 /nfs/dbraw/zinc/57/49/71/1072574971.db2.gz QASCABYDSGKSDL-NRFANRHFSA-N 0 0 429.525 -0.766 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000578978199 1072574825 /nfs/dbraw/zinc/57/48/25/1072574825.db2.gz QASCABYDSGKSDL-OAQYLSRUSA-N 0 0 429.525 -0.766 20 0 IBADRN CCCCN(C(=O)CN1CCn2nnc(CO)c2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000578990441 1072574986 /nfs/dbraw/zinc/57/49/86/1072574986.db2.gz PZOHJMAKIWSIHZ-UHFFFAOYSA-N 0 0 448.528 -0.080 20 0 IBADRN NC(=O)C1(O)CCN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)CC1 ZINC000579012600 1072575008 /nfs/dbraw/zinc/57/50/08/1072575008.db2.gz TZWPILWGPKZZHO-UHFFFAOYSA-N 0 0 434.268 -0.219 20 0 IBADRN CCCC(=O)Nc1ccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000579012783 1072574908 /nfs/dbraw/zinc/57/49/08/1072574908.db2.gz YBOZICKOLUWPDE-UHFFFAOYSA-N 0 0 442.480 -0.601 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCN(c2nccn(C)c2=O)CC1)C(F)(F)F ZINC000579017334 1072575026 /nfs/dbraw/zinc/57/50/26/1072575026.db2.gz AHHDZCPKOYPQDY-LLVKDONJSA-N 0 0 440.448 -0.566 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCN(c2nccn(C)c2=O)CC1)C(F)(F)F ZINC000579017335 1072574876 /nfs/dbraw/zinc/57/48/76/1072574876.db2.gz AHHDZCPKOYPQDY-NSHDSACASA-N 0 0 440.448 -0.566 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@H](CNC(=O)CC(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000579018369 1072575031 /nfs/dbraw/zinc/57/50/31/1072575031.db2.gz XPMAXXJHNMDQGW-LLVKDONJSA-N 0 0 444.414 -0.259 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@@H](CNC(=O)CC(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000579018370 1072574993 /nfs/dbraw/zinc/57/49/93/1072574993.db2.gz XPMAXXJHNMDQGW-NSHDSACASA-N 0 0 444.414 -0.259 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)c1 ZINC000579032208 1072574865 /nfs/dbraw/zinc/57/48/65/1072574865.db2.gz XZJULFGUBBAQOL-KBPBESRZSA-N 0 0 433.490 -0.044 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)c1 ZINC000579032209 1072575014 /nfs/dbraw/zinc/57/50/14/1072575014.db2.gz XZJULFGUBBAQOL-KGLIPLIRSA-N 0 0 433.490 -0.044 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)c1 ZINC000579032211 1072575000 /nfs/dbraw/zinc/57/50/00/1072575000.db2.gz XZJULFGUBBAQOL-UONOGXRCSA-N 0 0 433.490 -0.044 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)c1 ZINC000579032212 1072574962 /nfs/dbraw/zinc/57/49/62/1072574962.db2.gz XZJULFGUBBAQOL-ZIAGYGMSSA-N 0 0 433.490 -0.044 20 0 IBADRN Cc1ccc2c(c1)nc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)n2C ZINC000579035316 1072575018 /nfs/dbraw/zinc/57/50/18/1072575018.db2.gz HVUKAHWUHJNLND-UHFFFAOYSA-N 0 0 434.478 -0.278 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1ccncc1OCC(F)(F)F ZINC000579036632 1072574850 /nfs/dbraw/zinc/57/48/50/1072574850.db2.gz PFBFOYZAGXDECI-UHFFFAOYSA-N 0 0 426.355 -0.025 20 0 IBADRN CCn1cc(-c2noc(-c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)n2)c(=O)[nH]c1=O ZINC000579040637 1072575421 /nfs/dbraw/zinc/57/54/21/1072575421.db2.gz SFFXQZUYKXAAEK-UHFFFAOYSA-N 0 0 446.445 -0.194 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCC[C@H]3N3CCOCC3)CC2)n1 ZINC000579041286 1072575469 /nfs/dbraw/zinc/57/54/69/1072575469.db2.gz ZJXCLNMOYLCRCX-DLBZAZTESA-N 0 0 432.525 -0.107 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@H]3N3CCOCC3)CC2)n1 ZINC000579041287 1072575441 /nfs/dbraw/zinc/57/54/41/1072575441.db2.gz ZJXCLNMOYLCRCX-IAGOWNOFSA-N 0 0 432.525 -0.107 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCC[C@@H]3N3CCOCC3)CC2)n1 ZINC000579041288 1072575460 /nfs/dbraw/zinc/57/54/60/1072575460.db2.gz ZJXCLNMOYLCRCX-IRXDYDNUSA-N 0 0 432.525 -0.107 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCC[C@@H]3N3CCOCC3)CC2)n1 ZINC000579041289 1072575524 /nfs/dbraw/zinc/57/55/24/1072575524.db2.gz ZJXCLNMOYLCRCX-SJORKVTESA-N 0 0 432.525 -0.107 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cc1 ZINC000579041321 1072575481 /nfs/dbraw/zinc/57/54/81/1072575481.db2.gz KEAPAYHDIVZDBW-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN CNC(=O)c1cc(O[C@H]2CCCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)C2)ccn1 ZINC000579041630 1072575505 /nfs/dbraw/zinc/57/55/05/1072575505.db2.gz RJYDOUZVBPJUQL-AWEZNQCLSA-N 0 0 436.494 -0.477 20 0 IBADRN CNC(=O)c1cc(O[C@@H]2CCCN(C(=O)CNS(=O)(=O)c3cn(C)cn3)C2)ccn1 ZINC000579041631 1072575291 /nfs/dbraw/zinc/57/52/91/1072575291.db2.gz RJYDOUZVBPJUQL-CQSZACIVSA-N 0 0 436.494 -0.477 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)CC1 ZINC000579043138 1072575360 /nfs/dbraw/zinc/57/53/60/1072575360.db2.gz DOPHWQAXJLGHPT-BETUJISGSA-N 0 0 448.567 -0.368 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@@H](C)S(=O)(=O)[C@H](C)C2)CC1 ZINC000579043139 1072575377 /nfs/dbraw/zinc/57/53/77/1072575377.db2.gz DOPHWQAXJLGHPT-CHWSQXEVSA-N 0 0 448.567 -0.368 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C[C@H](C)S(=O)(=O)[C@@H](C)C2)CC1 ZINC000579043140 1072575499 /nfs/dbraw/zinc/57/54/99/1072575499.db2.gz DOPHWQAXJLGHPT-STQMWFEESA-N 0 0 448.567 -0.368 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)cc1C ZINC000579046799 1072575348 /nfs/dbraw/zinc/57/53/48/1072575348.db2.gz AZDWBGOFWJJVKY-UHFFFAOYSA-N 0 0 425.467 -0.128 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000579046838 1072575510 /nfs/dbraw/zinc/57/55/10/1072575510.db2.gz DHEGXWMTYNLCFF-BBRMVZONSA-N 0 0 446.551 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000579046839 1072575383 /nfs/dbraw/zinc/57/53/83/1072575383.db2.gz DHEGXWMTYNLCFF-CJNGLKHVSA-N 0 0 446.551 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000579046840 1072575435 /nfs/dbraw/zinc/57/54/35/1072575435.db2.gz DHEGXWMTYNLCFF-CZUORRHYSA-N 0 0 446.551 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000579046841 1072575518 /nfs/dbraw/zinc/57/55/18/1072575518.db2.gz DHEGXWMTYNLCFF-XJKSGUPXSA-N 0 0 446.551 -0.243 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000579049424 1072576055 /nfs/dbraw/zinc/57/60/55/1072576055.db2.gz OWLBWGLPBOBODI-SJLPKXTDSA-N 0 0 431.537 -0.008 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000579049425 1072575911 /nfs/dbraw/zinc/57/59/11/1072575911.db2.gz OWLBWGLPBOBODI-WMZOPIPTSA-N 0 0 431.537 -0.008 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000579050575 1072575879 /nfs/dbraw/zinc/57/58/79/1072575879.db2.gz CSWAEMDVIZUJHL-DLBZAZTESA-N 0 0 429.477 -0.457 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000579050576 1072575938 /nfs/dbraw/zinc/57/59/38/1072575938.db2.gz CSWAEMDVIZUJHL-IAGOWNOFSA-N 0 0 429.477 -0.457 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000579050577 1072576035 /nfs/dbraw/zinc/57/60/35/1072576035.db2.gz CSWAEMDVIZUJHL-IRXDYDNUSA-N 0 0 429.477 -0.457 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000579050578 1072576026 /nfs/dbraw/zinc/57/60/26/1072576026.db2.gz CSWAEMDVIZUJHL-SJORKVTESA-N 0 0 429.477 -0.457 20 0 IBADRN Cn1ccc(NC(=O)CNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)n1 ZINC000579050621 1072575968 /nfs/dbraw/zinc/57/59/68/1072575968.db2.gz FEVRRBWPLNEGLL-UHFFFAOYSA-N 0 0 427.508 0.122 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000579050683 1072576079 /nfs/dbraw/zinc/57/60/79/1072576079.db2.gz HQSMVZQVHJIVPS-HNNXBMFYSA-N 0 0 439.604 -0.382 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000579050684 1072575991 /nfs/dbraw/zinc/57/59/91/1072575991.db2.gz HQSMVZQVHJIVPS-OAHLLOKOSA-N 0 0 439.604 -0.382 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(Cc4nccn4C)CC3)C2)n(C)n1 ZINC000579051969 1072576086 /nfs/dbraw/zinc/57/60/86/1072576086.db2.gz ADRDJOZLODHNGR-KRWDZBQOSA-N 0 0 428.541 -0.109 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(Cc4nccn4C)CC3)C2)n(C)n1 ZINC000579051973 1072576013 /nfs/dbraw/zinc/57/60/13/1072576013.db2.gz ADRDJOZLODHNGR-QGZVFWFLSA-N 0 0 428.541 -0.109 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc2[nH]ccc21 ZINC000579052683 1072575958 /nfs/dbraw/zinc/57/59/58/1072575958.db2.gz IZLDKTJZHIBEEO-UHFFFAOYSA-N 0 0 437.478 -0.674 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCC(=O)NCC(=O)OC)o1 ZINC000579053387 1072575857 /nfs/dbraw/zinc/57/58/57/1072575857.db2.gz GAVPEBPZCHDDKO-LLVKDONJSA-N 0 0 445.450 -0.985 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCC(=O)NCC(=O)OC)o1 ZINC000579053402 1072575947 /nfs/dbraw/zinc/57/59/47/1072575947.db2.gz GAVPEBPZCHDDKO-NSHDSACASA-N 0 0 445.450 -0.985 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1=O ZINC000579053613 1072576020 /nfs/dbraw/zinc/57/60/20/1072576020.db2.gz KPZPACWBKNCIGF-IPYPFGDCSA-N 0 0 426.481 -0.077 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1=O ZINC000579053626 1072576046 /nfs/dbraw/zinc/57/60/46/1072576046.db2.gz KPZPACWBKNCIGF-NFAWXSAZSA-N 0 0 426.481 -0.077 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1=O ZINC000579053628 1072576095 /nfs/dbraw/zinc/57/60/95/1072576095.db2.gz KPZPACWBKNCIGF-UMVBOHGHSA-N 0 0 426.481 -0.077 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1=O ZINC000579053631 1072575977 /nfs/dbraw/zinc/57/59/77/1072575977.db2.gz KPZPACWBKNCIGF-VNHYZAJKSA-N 0 0 426.481 -0.077 20 0 IBADRN COc1cccc2c1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)CC2 ZINC000579055690 1072576103 /nfs/dbraw/zinc/57/61/03/1072576103.db2.gz QGYSGOMEZHQDTI-UHFFFAOYSA-N 0 0 436.490 -0.154 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4CCOC[C@@H]4C3)CC2)cc1 ZINC000579056166 1072576553 /nfs/dbraw/zinc/57/65/53/1072576553.db2.gz LVNBPOJENSFZEQ-KRWDZBQOSA-N 0 0 438.550 -0.456 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4CCOC[C@H]4C3)CC2)cc1 ZINC000579056178 1072576445 /nfs/dbraw/zinc/57/64/45/1072576445.db2.gz LVNBPOJENSFZEQ-QGZVFWFLSA-N 0 0 438.550 -0.456 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000579057174 1072576380 /nfs/dbraw/zinc/57/63/80/1072576380.db2.gz XQZILGAXHZTSTF-UHFFFAOYSA-N 0 0 431.559 -0.766 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)CC1 ZINC000579057849 1072576531 /nfs/dbraw/zinc/57/65/31/1072576531.db2.gz YEDZFXKAOTVUIU-HOLBHBGLSA-N 0 0 430.571 -0.911 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)CC1 ZINC000579057850 1072576546 /nfs/dbraw/zinc/57/65/46/1072576546.db2.gz YEDZFXKAOTVUIU-MHTXLSKPSA-N 0 0 430.571 -0.911 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)CC1 ZINC000579057851 1072576413 /nfs/dbraw/zinc/57/64/13/1072576413.db2.gz YEDZFXKAOTVUIU-PEGYKEAPSA-N 0 0 430.571 -0.911 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)CC1 ZINC000579057852 1072576579 /nfs/dbraw/zinc/57/65/79/1072576579.db2.gz YEDZFXKAOTVUIU-WNNBVUDTSA-N 0 0 430.571 -0.911 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1=O ZINC000579059901 1072576420 /nfs/dbraw/zinc/57/64/20/1072576420.db2.gz KIERQWWUJZTRTN-UHFFFAOYSA-N 0 0 428.392 -0.315 20 0 IBADRN CN1CCOc2ccc(NC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)cc21 ZINC000579060745 1072576537 /nfs/dbraw/zinc/57/65/37/1072576537.db2.gz IRJJDBIZDCIGOV-UHFFFAOYSA-N 0 0 428.449 0.148 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000579061119 1072576612 /nfs/dbraw/zinc/57/66/12/1072576612.db2.gz QFFUCSSWYAVOFA-UHFFFAOYSA-N 0 0 441.329 -0.925 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)cc1 ZINC000579061306 1072576394 /nfs/dbraw/zinc/57/63/94/1072576394.db2.gz SCFCESMFSCXFOP-UHFFFAOYSA-N 0 0 438.535 -0.092 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCC(CNC(=O)c2cnn(C)c2)CC1 ZINC000579061315 1072576590 /nfs/dbraw/zinc/57/65/90/1072576590.db2.gz QEVFZBQTUOVCMG-UHFFFAOYSA-N 0 0 438.510 -0.028 20 0 IBADRN COc1cc(NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)nn1C ZINC000579073110 1072576605 /nfs/dbraw/zinc/57/66/05/1072576605.db2.gz VMDLZRBDRBECPD-GFCCVEGCSA-N 0 0 443.507 0.538 20 0 IBADRN COc1cc(NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)nn1C ZINC000579073111 1072576502 /nfs/dbraw/zinc/57/65/02/1072576502.db2.gz VMDLZRBDRBECPD-LBPRGKRZSA-N 0 0 443.507 0.538 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCNC3=O)C2)cc1-n1nnnc1C ZINC000579074100 1072576434 /nfs/dbraw/zinc/57/64/34/1072576434.db2.gz OMRYRHBWKGWEON-AWEZNQCLSA-N 0 0 428.453 -0.066 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCNC3=O)C2)cc1-n1nnnc1C ZINC000579074101 1072576472 /nfs/dbraw/zinc/57/64/72/1072576472.db2.gz OMRYRHBWKGWEON-CQSZACIVSA-N 0 0 428.453 -0.066 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000579087996 1072576495 /nfs/dbraw/zinc/57/64/95/1072576495.db2.gz NQMYIDMXEAQKDP-AWEZNQCLSA-N 0 0 428.598 -0.054 20 0 IBADRN COCCOCCOCCS(=O)(=O)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000579087997 1072576403 /nfs/dbraw/zinc/57/64/03/1072576403.db2.gz NQMYIDMXEAQKDP-CQSZACIVSA-N 0 0 428.598 -0.054 20 0 IBADRN CN(CC(=O)NCc1ccccc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000579094497 1072576967 /nfs/dbraw/zinc/57/69/67/1072576967.db2.gz XXJKEVNYVYZXSM-AEVYOOLXSA-N 0 0 428.449 -0.810 20 0 IBADRN COc1ccc(S(=O)(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)cc1C(N)=O ZINC000579095644 1072577032 /nfs/dbraw/zinc/57/70/32/1072577032.db2.gz NSKMMWWDOVVOMG-UHFFFAOYSA-N 0 0 429.476 -0.071 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(c2cnccn2)CC1 ZINC000579119426 1072577145 /nfs/dbraw/zinc/57/71/45/1072577145.db2.gz BYTIEPUZCLOOIH-UHFFFAOYSA-N 0 0 429.481 -0.504 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)C1 ZINC000579123183 1072577138 /nfs/dbraw/zinc/57/71/38/1072577138.db2.gz XGVLMKWDZUWWOW-CYBMUJFWSA-N 0 0 449.555 -0.964 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)[C@@H]1COC[C@H]1O ZINC000579132479 1072577162 /nfs/dbraw/zinc/57/71/62/1072577162.db2.gz VYELQVUTCUQJQQ-IAGOWNOFSA-N 0 0 425.507 -0.482 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CN3CCN2CC3)cc1OCC ZINC000579133780 1072577169 /nfs/dbraw/zinc/57/71/69/1072577169.db2.gz DBQNCBMGGGNCQK-HNNXBMFYSA-N 0 0 426.539 -0.122 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CN3CCN2CC3)cc1OCC ZINC000579133781 1072577067 /nfs/dbraw/zinc/57/70/67/1072577067.db2.gz DBQNCBMGGGNCQK-OAHLLOKOSA-N 0 0 426.539 -0.122 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000579136677 1072576944 /nfs/dbraw/zinc/57/69/44/1072576944.db2.gz UJFYGIPATQKDDG-DLBZAZTESA-N 0 0 436.534 -0.168 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000579136678 1072577108 /nfs/dbraw/zinc/57/71/08/1072577108.db2.gz UJFYGIPATQKDDG-IAGOWNOFSA-N 0 0 436.534 -0.168 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000579136679 1072577020 /nfs/dbraw/zinc/57/70/20/1072577020.db2.gz UJFYGIPATQKDDG-IRXDYDNUSA-N 0 0 436.534 -0.168 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)C1 ZINC000579136680 1072577132 /nfs/dbraw/zinc/57/71/32/1072577132.db2.gz UJFYGIPATQKDDG-SJORKVTESA-N 0 0 436.534 -0.168 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc2c(c1F)CCNC2=O ZINC000579138997 1072577055 /nfs/dbraw/zinc/57/70/55/1072577055.db2.gz ORSPRSQCOCNZJI-UHFFFAOYSA-N 0 0 444.510 -0.455 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCCNC(=O)c1ccc(C)c(F)c1 ZINC000579147800 1072577043 /nfs/dbraw/zinc/57/70/43/1072577043.db2.gz RXYNNIUKRBOCGL-UHFFFAOYSA-N 0 0 430.502 -0.232 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCCS(=O)(=O)c1ccccc1 ZINC000579148539 1072577126 /nfs/dbraw/zinc/57/71/26/1072577126.db2.gz UQTJDQLAFQEUOE-UHFFFAOYSA-N 0 0 435.506 -0.523 20 0 IBADRN CN(C[C@H]1CCCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)C1)S(C)(=O)=O ZINC000579149792 1072576934 /nfs/dbraw/zinc/57/69/34/1072576934.db2.gz QRUWPWDVIMTOBB-GFCCVEGCSA-N 0 0 425.554 -0.374 20 0 IBADRN CN(C[C@@H]1CCCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)C1)S(C)(=O)=O ZINC000579149793 1072577083 /nfs/dbraw/zinc/57/70/83/1072577083.db2.gz QRUWPWDVIMTOBB-LBPRGKRZSA-N 0 0 425.554 -0.374 20 0 IBADRN O=C(Nc1ncn(Cc2ccccc2)n1)C(=O)N[C@@H]1CCCN(S(=O)(=O)C2CC2)C1 ZINC000579150588 1072577114 /nfs/dbraw/zinc/57/71/14/1072577114.db2.gz CWQNOBBXYLMEHA-OAHLLOKOSA-N 0 0 432.506 0.338 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1)N1CCCC1 ZINC000579152984 1072577092 /nfs/dbraw/zinc/57/70/92/1072577092.db2.gz HRNXYNSEMRNDTR-MOPGFXCFSA-N 0 0 435.569 -0.487 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1)N1CCCC1 ZINC000579152985 1072577672 /nfs/dbraw/zinc/57/76/72/1072577672.db2.gz HRNXYNSEMRNDTR-OALUTQOASA-N 0 0 435.569 -0.487 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1)N1CCCC1 ZINC000579152986 1072577646 /nfs/dbraw/zinc/57/76/46/1072577646.db2.gz HRNXYNSEMRNDTR-RBUKOAKNSA-N 0 0 435.569 -0.487 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1)N1CCCC1 ZINC000579152987 1072577740 /nfs/dbraw/zinc/57/77/40/1072577740.db2.gz HRNXYNSEMRNDTR-RTBURBONSA-N 0 0 435.569 -0.487 20 0 IBADRN COc1cccc(Cl)c1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000579154374 1072577780 /nfs/dbraw/zinc/57/77/80/1072577780.db2.gz OPWAANWVWZPYGV-UHFFFAOYSA-N 0 0 438.912 -0.032 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)C1 ZINC000579163070 1072256576 /nfs/dbraw/zinc/25/65/76/1072256576.db2.gz CRPOAOCDVQJYBI-AWEZNQCLSA-N 0 0 428.486 -0.291 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)C1 ZINC000579163071 1072256410 /nfs/dbraw/zinc/25/64/10/1072256410.db2.gz CRPOAOCDVQJYBI-CQSZACIVSA-N 0 0 428.486 -0.291 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000579164256 1072577706 /nfs/dbraw/zinc/57/77/06/1072577706.db2.gz LVFBMMHNFRJVET-UHFFFAOYSA-N 0 0 433.430 -0.595 20 0 IBADRN CC(C)(C)n1ncnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000579166801 1072577761 /nfs/dbraw/zinc/57/77/61/1072577761.db2.gz NZKUKVBHKJRZRF-UHFFFAOYSA-N 0 0 435.510 -0.423 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)CC2)ncn1 ZINC000579167557 1072577616 /nfs/dbraw/zinc/57/76/16/1072577616.db2.gz VHRVPPAOEZSDEC-UHFFFAOYSA-N 0 0 445.568 -0.254 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)cc1 ZINC000579170212 1072577496 /nfs/dbraw/zinc/57/74/96/1072577496.db2.gz VBVHUIOTZYEBQU-UHFFFAOYSA-N 0 0 431.474 -0.280 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCc1cn[nH]c1 ZINC000579170548 1072577523 /nfs/dbraw/zinc/57/75/23/1072577523.db2.gz VPXQHSUFCITNAN-UHFFFAOYSA-N 0 0 437.478 -0.263 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@@H]2CCCO2)cc1 ZINC000579171378 1072577772 /nfs/dbraw/zinc/57/77/72/1072577772.db2.gz JRVQPPZKJWPWNJ-HNNXBMFYSA-N 0 0 441.510 -0.067 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@H]2CCCO2)cc1 ZINC000579171379 1072577588 /nfs/dbraw/zinc/57/75/88/1072577588.db2.gz JRVQPPZKJWPWNJ-OAHLLOKOSA-N 0 0 441.510 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)Nc3cc(C4CC4)n(C)n3)C2)cc1 ZINC000579180425 1073347282 /nfs/dbraw/zinc/34/72/82/1073347282.db2.gz QMCHTFWPIVQQEN-UHFFFAOYSA-N 0 0 433.490 0.434 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000579190546 1072577720 /nfs/dbraw/zinc/57/77/20/1072577720.db2.gz KAQWCQAELQHMRE-UHFFFAOYSA-N 0 0 434.540 -0.396 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NCCCN(C)S(=O)(=O)CC)CC2)n1 ZINC000579213646 1072578129 /nfs/dbraw/zinc/57/81/29/1072578129.db2.gz OPJBDZZQTSHVPD-UHFFFAOYSA-N 0 0 432.572 -0.463 20 0 IBADRN CCOC(=O)COCCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000579216992 1072578244 /nfs/dbraw/zinc/57/82/44/1072578244.db2.gz VZNMEUPANQHGLF-UHFFFAOYSA-N 0 0 435.524 -0.780 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000579217444 1072578230 /nfs/dbraw/zinc/57/82/30/1072578230.db2.gz SFDZBGNXPYMTNT-UHFFFAOYSA-N 0 0 449.439 0.483 20 0 IBADRN C[C@H](O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000579242013 1072578433 /nfs/dbraw/zinc/57/84/33/1072578433.db2.gz ATQWFSPURRECKQ-BBWFWOEESA-N 0 0 432.525 -0.373 20 0 IBADRN C[C@H](O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000579242023 1072578268 /nfs/dbraw/zinc/57/82/68/1072578268.db2.gz ATQWFSPURRECKQ-GVDBMIGSSA-N 0 0 432.525 -0.373 20 0 IBADRN C[C@H](O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000579242024 1072578488 /nfs/dbraw/zinc/57/84/88/1072578488.db2.gz ATQWFSPURRECKQ-ULQDDVLXSA-N 0 0 432.525 -0.373 20 0 IBADRN C[C@H](O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1 ZINC000579242025 1072578208 /nfs/dbraw/zinc/57/82/08/1072578208.db2.gz ATQWFSPURRECKQ-YESZJQIVSA-N 0 0 432.525 -0.373 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N3CCCN(S(=O)(=O)C4CC4)CC3)OCC(=O)N2C)cn1 ZINC000579242456 1072578533 /nfs/dbraw/zinc/57/85/33/1072578533.db2.gz FLXUBZHVVJKLGD-DLBZAZTESA-N 0 0 425.511 -0.655 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CCN1c1nccn2cnnc12 ZINC000579244644 1072578192 /nfs/dbraw/zinc/57/81/92/1072578192.db2.gz ATLHLJRBADTKFI-AWEZNQCLSA-N 0 0 437.464 -0.897 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CCN1c1nccn2cnnc12 ZINC000579244662 1072578409 /nfs/dbraw/zinc/57/84/09/1072578409.db2.gz ATLHLJRBADTKFI-CQSZACIVSA-N 0 0 437.464 -0.897 20 0 IBADRN COC[C@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000579262607 1072578117 /nfs/dbraw/zinc/57/81/17/1072578117.db2.gz QADZCDQTZSEFCS-OAHLLOKOSA-N 0 0 443.522 -0.568 20 0 IBADRN CN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000579265095 1072578922 /nfs/dbraw/zinc/57/89/22/1072578922.db2.gz UYHPQFRTUCOUCJ-CYBMUJFWSA-N 0 0 430.383 -0.744 20 0 IBADRN CN(C[C@H](O)C(F)(F)F)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000579265096 1072578759 /nfs/dbraw/zinc/57/87/59/1072578759.db2.gz UYHPQFRTUCOUCJ-ZDUSSCGKSA-N 0 0 430.383 -0.744 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1)N1CCCCCC1 ZINC000579265154 1072579074 /nfs/dbraw/zinc/57/90/74/1072579074.db2.gz WYKZVRXEFKPUIW-UHFFFAOYSA-N 0 0 444.536 -0.526 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCCC[C@H]1[C@@H]1CNC(=O)C1)N1CCN(c2ccccc2)CC1 ZINC000579265510 1072579078 /nfs/dbraw/zinc/57/90/78/1072579078.db2.gz NCJVFSUUOOKVOY-HKUYNNGSSA-N 0 0 441.532 -0.031 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCCC[C@@H]1[C@H]1CNC(=O)C1)N1CCN(c2ccccc2)CC1 ZINC000579265511 1072578392 /nfs/dbraw/zinc/57/83/92/1072578392.db2.gz NCJVFSUUOOKVOY-IEBWSBKVSA-N 0 0 441.532 -0.031 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCCC[C@H]1[C@H]1CNC(=O)C1)N1CCN(c2ccccc2)CC1 ZINC000579265512 1072578341 /nfs/dbraw/zinc/57/83/41/1072578341.db2.gz NCJVFSUUOOKVOY-MJGOQNOKSA-N 0 0 441.532 -0.031 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCCC[C@@H]1[C@@H]1CNC(=O)C1)N1CCN(c2ccccc2)CC1 ZINC000579265513 1072579069 /nfs/dbraw/zinc/57/90/69/1072579069.db2.gz NCJVFSUUOOKVOY-PKOBYXMFSA-N 0 0 441.532 -0.031 20 0 IBADRN CC(C)OC(=O)C[C@@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000579266757 1072579048 /nfs/dbraw/zinc/57/90/48/1072579048.db2.gz QCDUPEDUEGJYJZ-JDLZUTDUSA-N 0 0 435.481 -0.004 20 0 IBADRN CC(C)OC(=O)C[C@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000579266759 1072579064 /nfs/dbraw/zinc/57/90/64/1072579064.db2.gz QCDUPEDUEGJYJZ-SXOQPLQPSA-N 0 0 435.481 -0.004 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCCNS(=O)(=O)c1cc(C)ccc1Br ZINC000579267272 1072578863 /nfs/dbraw/zinc/57/88/63/1072578863.db2.gz GIEDQSWZFQWQPR-UHFFFAOYSA-N 0 0 449.327 -0.596 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCc3cnc(C)nc3N)cc2)CC1 ZINC000579268655 1072279173 /nfs/dbraw/zinc/27/91/73/1072279173.db2.gz NJIZJUQPZSVYHR-UHFFFAOYSA-N 0 0 432.506 0.150 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CCC1=O ZINC000579271713 1072578776 /nfs/dbraw/zinc/57/87/76/1072578776.db2.gz CLPMBZAMNVFCDP-CYBMUJFWSA-N 0 0 448.505 -0.867 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CCC1=O ZINC000579271714 1072579056 /nfs/dbraw/zinc/57/90/56/1072579056.db2.gz CLPMBZAMNVFCDP-ZDUSSCGKSA-N 0 0 448.505 -0.867 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3C)C1)C(N)=O ZINC000579274057 1072579082 /nfs/dbraw/zinc/57/90/82/1072579082.db2.gz SCPSVFGWNJTQQA-UHFFFAOYSA-N 0 0 445.476 -0.401 20 0 IBADRN CC(C)c1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000579274806 1072578841 /nfs/dbraw/zinc/57/88/41/1072578841.db2.gz JIPKIKWCFKJSET-UHFFFAOYSA-N 0 0 430.505 -0.044 20 0 IBADRN CCn1nc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cc1C1CC1 ZINC000579280699 1072578825 /nfs/dbraw/zinc/57/88/25/1072578825.db2.gz LORIPPVRHQHXGZ-AWEZNQCLSA-N 0 0 426.543 0.496 20 0 IBADRN CCn1nc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cc1C1CC1 ZINC000579280700 1072579091 /nfs/dbraw/zinc/57/90/91/1072579091.db2.gz LORIPPVRHQHXGZ-CQSZACIVSA-N 0 0 426.543 0.496 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)cn1 ZINC000579281728 1072283945 /nfs/dbraw/zinc/28/39/45/1072283945.db2.gz BTMLFRHINNLHLN-GMBSWORKSA-N 0 0 448.524 -0.565 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)cn1 ZINC000579281729 1072284148 /nfs/dbraw/zinc/28/41/48/1072284148.db2.gz BTMLFRHINNLHLN-OGWOLHLISA-N 0 0 448.524 -0.565 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)CCO1 ZINC000579284337 1072579018 /nfs/dbraw/zinc/57/90/18/1072579018.db2.gz SFYHLVAQADTMEX-MZMPZRCHSA-N 0 0 440.447 -0.445 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)CCO1 ZINC000579284338 1072578877 /nfs/dbraw/zinc/57/88/77/1072578877.db2.gz SFYHLVAQADTMEX-QBIMZIAESA-N 0 0 440.447 -0.445 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)CCO1 ZINC000579284339 1072578987 /nfs/dbraw/zinc/57/89/87/1072578987.db2.gz SFYHLVAQADTMEX-RPVQJOFSSA-N 0 0 440.447 -0.445 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)CCO1 ZINC000579284340 1072579382 /nfs/dbraw/zinc/57/93/82/1072579382.db2.gz SFYHLVAQADTMEX-SKDZVZGDSA-N 0 0 440.447 -0.445 20 0 IBADRN Cn1cc(-c2noc(-c3cc(S(N)(=O)=O)ccc3N3CCOCC3)n2)c(=O)n(C)c1=O ZINC000579285366 1072579445 /nfs/dbraw/zinc/57/94/45/1072579445.db2.gz HYVOGIZVAZQNHH-UHFFFAOYSA-N 0 0 448.461 -0.715 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2CCOC[C@@H]2C1)N1CCOCC1 ZINC000579286634 1072579435 /nfs/dbraw/zinc/57/94/35/1072579435.db2.gz PTRHOWJQCMBMFC-INIZCTEOSA-N 0 0 432.477 -0.594 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2CCOC[C@H]2C1)N1CCOCC1 ZINC000579286635 1072579351 /nfs/dbraw/zinc/57/93/51/1072579351.db2.gz PTRHOWJQCMBMFC-MRXNPFEDSA-N 0 0 432.477 -0.594 20 0 IBADRN O=C(N[C@H]1CCOC2(CCC2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000579289131 1072579303 /nfs/dbraw/zinc/57/93/03/1072579303.db2.gz BRBAOAZCOIZDQN-AWEZNQCLSA-N 0 0 426.495 -0.134 20 0 IBADRN O=C(N[C@@H]1CCOC2(CCC2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000579289132 1072579577 /nfs/dbraw/zinc/57/95/77/1072579577.db2.gz BRBAOAZCOIZDQN-CQSZACIVSA-N 0 0 426.495 -0.134 20 0 IBADRN O=C(Nc1cccc2c1OCC(=O)N2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000579292895 1072579459 /nfs/dbraw/zinc/57/94/59/1072579459.db2.gz FKSOJDBKWSJFIA-UHFFFAOYSA-N 0 0 429.433 -0.751 20 0 IBADRN COc1cc(NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)nn1C ZINC000579294377 1072579532 /nfs/dbraw/zinc/57/95/32/1072579532.db2.gz MHWRMGFRMCZLFL-UHFFFAOYSA-N 0 0 436.494 -0.058 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000579294828 1072579376 /nfs/dbraw/zinc/57/93/76/1072579376.db2.gz YBGIASNMPOSWOA-HNNXBMFYSA-N 0 0 445.563 -0.557 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000579294831 1072579365 /nfs/dbraw/zinc/57/93/65/1072579365.db2.gz YBGIASNMPOSWOA-OAHLLOKOSA-N 0 0 445.563 -0.557 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000579294863 1072579518 /nfs/dbraw/zinc/57/95/18/1072579518.db2.gz ZONWZARPIANTQS-UHFFFAOYSA-N 0 0 443.555 -0.620 20 0 IBADRN COCCCOc1cnc(-c2noc(Cn3cnc4c3c(=O)n(C)c(=O)n4C)n2)cn1 ZINC000579296036 1072579468 /nfs/dbraw/zinc/57/94/68/1072579468.db2.gz FJIBAAAYMDLRAT-UHFFFAOYSA-N 0 0 428.409 -0.263 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c1 ZINC000579297201 1072579569 /nfs/dbraw/zinc/57/95/69/1072579569.db2.gz JIPRKBBYDOKQBH-GFCCVEGCSA-N 0 0 434.540 -0.161 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c1 ZINC000579297202 1072579318 /nfs/dbraw/zinc/57/93/18/1072579318.db2.gz JIPRKBBYDOKQBH-LBPRGKRZSA-N 0 0 434.540 -0.161 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)[C@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CCO1 ZINC000579299261 1072579545 /nfs/dbraw/zinc/57/95/45/1072579545.db2.gz PKSSPEHLNQZTLU-MSOLQXFVSA-N 0 0 434.541 -0.338 20 0 IBADRN CN(CC(N)=O)C[C@@H]1CN(C(=O)[C@@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CCO1 ZINC000579299262 1072579478 /nfs/dbraw/zinc/57/94/78/1072579478.db2.gz PKSSPEHLNQZTLU-QZTJIDSGSA-N 0 0 434.541 -0.338 20 0 IBADRN CN(CC(N)=O)C[C@H]1CN(C(=O)[C@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CCO1 ZINC000579299263 1072579412 /nfs/dbraw/zinc/57/94/12/1072579412.db2.gz PKSSPEHLNQZTLU-ROUUACIJSA-N 0 0 434.541 -0.338 20 0 IBADRN CN(CC(N)=O)C[C@H]1CN(C(=O)[C@@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CCO1 ZINC000579299264 1072579496 /nfs/dbraw/zinc/57/94/96/1072579496.db2.gz PKSSPEHLNQZTLU-ZWKOTPCHSA-N 0 0 434.541 -0.338 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCOC[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000579313289 1072580002 /nfs/dbraw/zinc/58/00/02/1072580002.db2.gz KATPLPWLPHOHJB-CYBMUJFWSA-N 0 0 427.479 -0.098 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)nn1 ZINC000579330677 1072579974 /nfs/dbraw/zinc/57/99/74/1072579974.db2.gz SAPBWVPZBFNIRC-UHFFFAOYSA-N 0 0 436.479 -0.709 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000579330750 1072579950 /nfs/dbraw/zinc/57/99/50/1072579950.db2.gz YXRQKZGAFHSEEQ-UHFFFAOYSA-N 0 0 436.469 -0.487 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCc3ccc4c(c3)OCO4)CC2)nc1 ZINC000579330862 1072580045 /nfs/dbraw/zinc/58/00/45/1072580045.db2.gz JVVXPBMPICIIPU-UHFFFAOYSA-N 0 0 425.445 -0.083 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000579335528 1072580107 /nfs/dbraw/zinc/58/01/07/1072580107.db2.gz YEIDQTFIGCBEMJ-HNNXBMFYSA-N 0 0 435.491 -0.001 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000579335529 1072579962 /nfs/dbraw/zinc/57/99/62/1072579962.db2.gz YEIDQTFIGCBEMJ-OAHLLOKOSA-N 0 0 435.491 -0.001 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1Cl)C(N)=O ZINC000579336231 1072579988 /nfs/dbraw/zinc/57/99/88/1072579988.db2.gz ICXNNBADOYLPMJ-JTQLQIEISA-N 0 0 425.294 -0.232 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1)OCC(=O)N2 ZINC000579337248 1072580012 /nfs/dbraw/zinc/58/00/12/1072580012.db2.gz IYMVJDLTQGVIHZ-LLVKDONJSA-N 0 0 448.523 -0.425 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1[C@H](NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)CC(=O)N1C ZINC000579338602 1072580025 /nfs/dbraw/zinc/58/00/25/1072580025.db2.gz BOWMOFDGDOELAV-DNVCBOLYSA-N 0 0 442.524 -0.508 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000579340344 1072580089 /nfs/dbraw/zinc/58/00/89/1072580089.db2.gz VSPNRLWGJJBNCF-UHFFFAOYSA-N 0 0 430.464 -0.308 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)n1 ZINC000579362765 1072580518 /nfs/dbraw/zinc/58/05/18/1072580518.db2.gz ZUHNOUMHIRBGHT-UHFFFAOYSA-N 0 0 441.535 -0.522 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(S(=O)(=O)c1cccc(S(N)(=O)=O)c1)C2 ZINC000579363012 1072580639 /nfs/dbraw/zinc/58/06/39/1072580639.db2.gz AXADEIYAGOSXEQ-UHFFFAOYSA-N 0 0 445.544 -0.011 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccnc1-n1cccn1 ZINC000579364730 1072580453 /nfs/dbraw/zinc/58/04/53/1072580453.db2.gz VOCGYBUZEHENMJ-AWEZNQCLSA-N 0 0 435.510 -0.017 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccnc1-n1cccn1 ZINC000579364731 1072580547 /nfs/dbraw/zinc/58/05/47/1072580547.db2.gz VOCGYBUZEHENMJ-CQSZACIVSA-N 0 0 435.510 -0.017 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000579380108 1072580681 /nfs/dbraw/zinc/58/06/81/1072580681.db2.gz SHSUMSKXPASCHJ-HDICACEKSA-N 0 0 446.504 -0.076 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)nn1 ZINC000579381444 1072580600 /nfs/dbraw/zinc/58/06/00/1072580600.db2.gz NBSUMSLSGHXISB-UHFFFAOYSA-N 0 0 432.384 -0.296 20 0 IBADRN CN(C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CCOCC1 ZINC000579381631 1072580609 /nfs/dbraw/zinc/58/06/09/1072580609.db2.gz VFCSTGCMBYPQMD-UHFFFAOYSA-N 0 0 425.507 -0.039 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3ccc(F)cc3OC)CC2)CC1 ZINC000579382732 1072580555 /nfs/dbraw/zinc/58/05/55/1072580555.db2.gz OZIVPBFQSYUXCP-UHFFFAOYSA-N 0 0 435.500 -0.191 20 0 IBADRN O=C(NCCCOCCc1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000579384653 1072580535 /nfs/dbraw/zinc/58/05/35/1072580535.db2.gz FKLUDUXJNMAZQP-UHFFFAOYSA-N 0 0 430.505 -0.299 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000579387061 1072580506 /nfs/dbraw/zinc/58/05/06/1072580506.db2.gz CIJHKROZTLDIQP-KRWDZBQOSA-N 0 0 436.534 -0.513 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000579387062 1072580632 /nfs/dbraw/zinc/58/06/32/1072580632.db2.gz CIJHKROZTLDIQP-QGZVFWFLSA-N 0 0 436.534 -0.513 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000579387128 1072580671 /nfs/dbraw/zinc/58/06/71/1072580671.db2.gz GIKYGJXXDBMGPY-KRWDZBQOSA-N 0 0 446.552 -0.742 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000579387129 1072580527 /nfs/dbraw/zinc/58/05/27/1072580527.db2.gz GIKYGJXXDBMGPY-QGZVFWFLSA-N 0 0 446.552 -0.742 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)NC3CCC(C)CC3)CC2)n1 ZINC000579387939 1072580484 /nfs/dbraw/zinc/58/04/84/1072580484.db2.gz RXQYQSSOWRVVCV-UHFFFAOYSA-N 0 0 434.589 -0.243 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@@H]3CCCC[C@H]3NS(C)(=O)=O)OCC(=O)N2C)cn1 ZINC000579388404 1072580465 /nfs/dbraw/zinc/58/04/65/1072580465.db2.gz QIFNJOWTAVGGGP-JSQNDZKTSA-N 0 0 427.527 -0.457 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@H]3CCCC[C@@H]3NS(C)(=O)=O)OCC(=O)N2C)cn1 ZINC000579388405 1072580585 /nfs/dbraw/zinc/58/05/85/1072580585.db2.gz QIFNJOWTAVGGGP-NQZOLGFYSA-N 0 0 427.527 -0.457 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@H]3CCCC[C@H]3NS(C)(=O)=O)OCC(=O)N2C)cn1 ZINC000579388406 1072580580 /nfs/dbraw/zinc/58/05/80/1072580580.db2.gz QIFNJOWTAVGGGP-QIJZBDPFSA-N 0 0 427.527 -0.457 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NC[C@@H]3CCCC[C@@H]3NS(C)(=O)=O)OCC(=O)N2C)cn1 ZINC000579388407 1072580659 /nfs/dbraw/zinc/58/06/59/1072580659.db2.gz QIFNJOWTAVGGGP-ZFZWDLNGSA-N 0 0 427.527 -0.457 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000579388799 1072580475 /nfs/dbraw/zinc/58/04/75/1072580475.db2.gz IZVGUKAEEWSLLE-UHFFFAOYSA-N 0 0 430.914 -0.186 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N(C)C[C@H](O)COCc2ccccc2)CC1 ZINC000579389304 1072581092 /nfs/dbraw/zinc/58/10/92/1072581092.db2.gz KTDASWMEFVPPTO-IBGZPJMESA-N 0 0 434.537 -0.309 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N(C)C[C@@H](O)COCc2ccccc2)CC1 ZINC000579389305 1072581286 /nfs/dbraw/zinc/58/12/86/1072581286.db2.gz KTDASWMEFVPPTO-LJQANCHMSA-N 0 0 434.537 -0.309 20 0 IBADRN NC(=O)c1ncn([C@@H]2CCCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)C2)n1 ZINC000579389460 1072580621 /nfs/dbraw/zinc/58/06/21/1072580621.db2.gz RCJNPNPUYDWQAT-CHWSQXEVSA-N 0 0 425.515 -0.320 20 0 IBADRN NC(=O)c1ncn([C@H]2CCCN(C(=O)N[C@@H]3CCCN(S(=O)(=O)C4CC4)C3)C2)n1 ZINC000579389461 1072581138 /nfs/dbraw/zinc/58/11/38/1072581138.db2.gz RCJNPNPUYDWQAT-OLZOCXBDSA-N 0 0 425.515 -0.320 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2ccc(CCNS(C)(=O)=O)s2)C1 ZINC000579390643 1072581069 /nfs/dbraw/zinc/58/10/69/1072581069.db2.gz GZBJOLBJOMHLGM-GFCCVEGCSA-N 0 0 438.597 -0.160 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC(C3CC3)C3CC3)CC2)o1 ZINC000579392806 1072581270 /nfs/dbraw/zinc/58/12/70/1072581270.db2.gz UCUPJYYIGWFDJJ-UHFFFAOYSA-N 0 0 438.506 -0.236 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3)C2=O)n(C)n1 ZINC000579393059 1072581150 /nfs/dbraw/zinc/58/11/50/1072581150.db2.gz CDSNCGZOVVWXSE-GDBMZVCRSA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3)C2=O)n(C)n1 ZINC000579393060 1072581209 /nfs/dbraw/zinc/58/12/09/1072581209.db2.gz CDSNCGZOVVWXSE-GOEBONIOSA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4cnn(C)c4)C3)C2=O)n(C)n1 ZINC000579393061 1072581083 /nfs/dbraw/zinc/58/10/83/1072581083.db2.gz CDSNCGZOVVWXSE-HOCLYGCPSA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4cnn(C)c4)C3)C2=O)n(C)n1 ZINC000579393062 1072581024 /nfs/dbraw/zinc/58/10/24/1072581024.db2.gz CDSNCGZOVVWXSE-ZBFHGGJFSA-N 0 0 428.497 -0.531 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000579396538 1072581231 /nfs/dbraw/zinc/58/12/31/1072581231.db2.gz AVFBTXOGSSLCRL-UHFFFAOYSA-N 0 0 433.508 -0.252 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000579397242 1072581252 /nfs/dbraw/zinc/58/12/52/1072581252.db2.gz HJCXQYLYZVJWOY-UHFFFAOYSA-N 0 0 432.399 -0.001 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cn3cc(Br)cc(C)c3n2)CCO1 ZINC000579399351 1072581643 /nfs/dbraw/zinc/58/16/43/1072581643.db2.gz AGVSHLZVRNJATC-CYBMUJFWSA-N 0 0 438.282 -0.005 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cn3cc(Br)cc(C)c3n2)CCO1 ZINC000579399352 1072581779 /nfs/dbraw/zinc/58/17/79/1072581779.db2.gz AGVSHLZVRNJATC-ZDUSSCGKSA-N 0 0 438.282 -0.005 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCN(c2cnccn2)CC1 ZINC000579401771 1072581633 /nfs/dbraw/zinc/58/16/33/1072581633.db2.gz ZGCKRAYGJQLLPI-UHFFFAOYSA-N 0 0 444.517 -0.019 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc2[nH]ccc2c(C(=O)OC)c1 ZINC000579402599 1072581764 /nfs/dbraw/zinc/58/17/64/1072581764.db2.gz UJDPBDKSXIQAHE-HNNXBMFYSA-N 0 0 429.433 -0.254 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc2[nH]ccc2c(C(=O)OC)c1 ZINC000579402602 1072581673 /nfs/dbraw/zinc/58/16/73/1072581673.db2.gz UJDPBDKSXIQAHE-OAHLLOKOSA-N 0 0 429.433 -0.254 20 0 IBADRN CCC(=O)N1CCCC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000579403685 1072581785 /nfs/dbraw/zinc/58/17/85/1072581785.db2.gz OAWJFBPPRAUAFL-CVEARBPZSA-N 0 0 428.555 -0.775 20 0 IBADRN CCC(=O)N1CCCC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000579403689 1072581757 /nfs/dbraw/zinc/58/17/57/1072581757.db2.gz OAWJFBPPRAUAFL-HOTGVXAUSA-N 0 0 428.555 -0.775 20 0 IBADRN CCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000579403690 1072581693 /nfs/dbraw/zinc/58/16/93/1072581693.db2.gz OAWJFBPPRAUAFL-HZPDHXFCSA-N 0 0 428.555 -0.775 20 0 IBADRN CCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000579403691 1072581749 /nfs/dbraw/zinc/58/17/49/1072581749.db2.gz OAWJFBPPRAUAFL-JKSUJKDBSA-N 0 0 428.555 -0.775 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2csc(CNC(=O)c3ccccc3)n2)CCO1 ZINC000579404507 1072581664 /nfs/dbraw/zinc/58/16/64/1072581664.db2.gz IKGSHNMRELJEEF-HNNXBMFYSA-N 0 0 445.501 -0.337 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2csc(CNC(=O)c3ccccc3)n2)CCO1 ZINC000579404508 1072581792 /nfs/dbraw/zinc/58/17/92/1072581792.db2.gz IKGSHNMRELJEEF-OAHLLOKOSA-N 0 0 445.501 -0.337 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H](COC)[C@H]3CCCO3)C2)no1 ZINC000579405802 1072581711 /nfs/dbraw/zinc/58/17/11/1072581711.db2.gz OCXGSCSWKDIHCB-BZUAXINKSA-N 0 0 437.497 -0.108 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@H](COC)[C@@H]3CCCO3)C2)no1 ZINC000579405803 1072581723 /nfs/dbraw/zinc/58/17/23/1072581723.db2.gz OCXGSCSWKDIHCB-OAGGEKHMSA-N 0 0 437.497 -0.108 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H](COC)[C@H]3CCCO3)C2)no1 ZINC000579405804 1072581655 /nfs/dbraw/zinc/58/16/55/1072581655.db2.gz OCXGSCSWKDIHCB-OWCLPIDISA-N 0 0 437.497 -0.108 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)N[C@@H](COC)[C@@H]3CCCO3)C2)no1 ZINC000579405805 1072581687 /nfs/dbraw/zinc/58/16/87/1072581687.db2.gz OCXGSCSWKDIHCB-PMPSAXMXSA-N 0 0 437.497 -0.108 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(c2ccc(Cl)cn2)CC1 ZINC000579407004 1072581769 /nfs/dbraw/zinc/58/17/69/1072581769.db2.gz VUUPFRFBBSBEIJ-CQSZACIVSA-N 0 0 429.930 -0.076 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000579414630 1072582166 /nfs/dbraw/zinc/58/21/66/1072582166.db2.gz UFHFOXOZJCEECQ-UHFFFAOYSA-N 0 0 441.435 -0.224 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCn2cncc2C1 ZINC000579415231 1072582187 /nfs/dbraw/zinc/58/21/87/1072582187.db2.gz HTRJVSHLESCGQX-UHFFFAOYSA-N 0 0 426.477 -0.074 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)cc1C(N)=O ZINC000579415348 1072582362 /nfs/dbraw/zinc/58/23/62/1072582362.db2.gz JCTOZBPUGZYRHR-UHFFFAOYSA-N 0 0 447.473 -0.375 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1OC ZINC000579417660 1072582342 /nfs/dbraw/zinc/58/23/42/1072582342.db2.gz MSPHQNYZECXJFZ-AWEZNQCLSA-N 0 0 449.551 -0.136 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1OC ZINC000579417663 1072582239 /nfs/dbraw/zinc/58/22/39/1072582239.db2.gz MSPHQNYZECXJFZ-CQSZACIVSA-N 0 0 449.551 -0.136 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000579417945 1072582208 /nfs/dbraw/zinc/58/22/08/1072582208.db2.gz SIPGJICJIGUGBK-UHFFFAOYSA-N 0 0 431.492 -0.348 20 0 IBADRN COC(=O)c1cc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)cc(S(C)(=O)=O)c1 ZINC000579418493 1072582257 /nfs/dbraw/zinc/58/22/57/1072582257.db2.gz HSGMKVRUVGZKOA-UHFFFAOYSA-N 0 0 442.537 -0.100 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(c2ccc(F)cc2)CC1 ZINC000579454539 1072582199 /nfs/dbraw/zinc/58/21/99/1072582199.db2.gz KMYSNPIAOGAHBO-HNNXBMFYSA-N 0 0 428.530 -0.045 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(c2ccc(F)cc2)CC1 ZINC000579454540 1072582332 /nfs/dbraw/zinc/58/23/32/1072582332.db2.gz KMYSNPIAOGAHBO-OAHLLOKOSA-N 0 0 428.530 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C1 ZINC000579455878 1072582176 /nfs/dbraw/zinc/58/21/76/1072582176.db2.gz LRNUTXUBKKTNBW-HNNXBMFYSA-N 0 0 428.486 -0.859 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C1 ZINC000579455879 1072582247 /nfs/dbraw/zinc/58/22/47/1072582247.db2.gz LRNUTXUBKKTNBW-OAHLLOKOSA-N 0 0 428.486 -0.859 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)NCCNC(=O)c2cnccn2)C1=O ZINC000579457833 1072582276 /nfs/dbraw/zinc/58/22/76/1072582276.db2.gz FCPXABPUVTYLHA-CYBMUJFWSA-N 0 0 426.433 -0.747 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)NCCNC(=O)c2cnccn2)C1=O ZINC000579457834 1072582352 /nfs/dbraw/zinc/58/23/52/1072582352.db2.gz FCPXABPUVTYLHA-ZDUSSCGKSA-N 0 0 426.433 -0.747 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000579485717 1072582859 /nfs/dbraw/zinc/58/28/59/1072582859.db2.gz PUVSNNRJPZWWNW-CHWSQXEVSA-N 0 0 434.536 -0.135 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000579485718 1072582798 /nfs/dbraw/zinc/58/27/98/1072582798.db2.gz PUVSNNRJPZWWNW-OLZOCXBDSA-N 0 0 434.536 -0.135 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000579485719 1072582786 /nfs/dbraw/zinc/58/27/86/1072582786.db2.gz PUVSNNRJPZWWNW-QWHCGFSZSA-N 0 0 434.536 -0.135 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000579485720 1072582820 /nfs/dbraw/zinc/58/28/20/1072582820.db2.gz PUVSNNRJPZWWNW-STQMWFEESA-N 0 0 434.536 -0.135 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000579487626 1072582724 /nfs/dbraw/zinc/58/27/24/1072582724.db2.gz XDOPRXHDCFADFJ-CYBMUJFWSA-N 0 0 439.581 -0.169 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000579487627 1072582773 /nfs/dbraw/zinc/58/27/73/1072582773.db2.gz XDOPRXHDCFADFJ-ZDUSSCGKSA-N 0 0 439.581 -0.169 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H](CO)C1CCOCC1 ZINC000579488069 1072582852 /nfs/dbraw/zinc/58/28/52/1072582852.db2.gz BTDRMLSVGMIKSM-INIZCTEOSA-N 0 0 441.506 -0.022 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H](CO)C1CCOCC1 ZINC000579488070 1072582779 /nfs/dbraw/zinc/58/27/79/1072582779.db2.gz BTDRMLSVGMIKSM-MRXNPFEDSA-N 0 0 441.506 -0.022 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)n1 ZINC000579501004 1072582865 /nfs/dbraw/zinc/58/28/65/1072582865.db2.gz IUPJMLRFWAPVCX-UHFFFAOYSA-N 0 0 440.913 -0.093 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000579505709 1072582876 /nfs/dbraw/zinc/58/28/76/1072582876.db2.gz RJJCBQDDEQKVHL-GOSISDBHSA-N 0 0 447.488 -0.503 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000579505710 1072582891 /nfs/dbraw/zinc/58/28/91/1072582891.db2.gz RJJCBQDDEQKVHL-SFHVURJKSA-N 0 0 447.488 -0.503 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC000579507186 1072582807 /nfs/dbraw/zinc/58/28/07/1072582807.db2.gz FBIMYYRRXYKBSB-JTQLQIEISA-N 0 0 436.412 -0.038 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC000579507187 1072582766 /nfs/dbraw/zinc/58/27/66/1072582766.db2.gz FBIMYYRRXYKBSB-SNVBAGLBSA-N 0 0 436.412 -0.038 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCC2([S@@](C)=O)CCC2)c1 ZINC000579510456 1072583298 /nfs/dbraw/zinc/58/32/98/1072583298.db2.gz UPDUZEATQCDNIO-MUUNZHRXSA-N 0 0 445.563 -0.355 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCC2([S@](C)=O)CCC2)c1 ZINC000579510457 1072583146 /nfs/dbraw/zinc/58/31/46/1072583146.db2.gz UPDUZEATQCDNIO-NDEPHWFRSA-N 0 0 445.563 -0.355 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC1(C(=O)OC)CCOCC1)CC2 ZINC000579512986 1072583226 /nfs/dbraw/zinc/58/32/26/1072583226.db2.gz CUOUOZLZCNWNLO-UHFFFAOYSA-N 0 0 439.490 -0.430 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCOC[C@@H]3OCCOC)CC2)n1 ZINC000579514374 1072583334 /nfs/dbraw/zinc/58/33/34/1072583334.db2.gz ZYZOMLHNXNKSBZ-KBPBESRZSA-N 0 0 427.527 -0.314 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCOC[C@@H]3OCCOC)CC2)n1 ZINC000579514375 1072583293 /nfs/dbraw/zinc/58/32/93/1072583293.db2.gz ZYZOMLHNXNKSBZ-KGLIPLIRSA-N 0 0 427.527 -0.314 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@H]3CCOC[C@H]3OCCOC)CC2)n1 ZINC000579514376 1072583313 /nfs/dbraw/zinc/58/33/13/1072583313.db2.gz ZYZOMLHNXNKSBZ-UONOGXRCSA-N 0 0 427.527 -0.314 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3CCOC[C@H]3OCCOC)CC2)n1 ZINC000579514377 1072583342 /nfs/dbraw/zinc/58/33/42/1072583342.db2.gz ZYZOMLHNXNKSBZ-ZIAGYGMSSA-N 0 0 427.527 -0.314 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1 ZINC000579515343 1072583324 /nfs/dbraw/zinc/58/33/24/1072583324.db2.gz UPZRCVADXDGPNA-CHWSQXEVSA-N 0 0 429.411 -0.032 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1 ZINC000579515348 1072583376 /nfs/dbraw/zinc/58/33/76/1072583376.db2.gz UPZRCVADXDGPNA-OLZOCXBDSA-N 0 0 429.411 -0.032 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1 ZINC000579515349 1072583361 /nfs/dbraw/zinc/58/33/61/1072583361.db2.gz UPZRCVADXDGPNA-QWHCGFSZSA-N 0 0 429.411 -0.032 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1 ZINC000579515351 1072583280 /nfs/dbraw/zinc/58/32/80/1072583280.db2.gz UPZRCVADXDGPNA-STQMWFEESA-N 0 0 429.411 -0.032 20 0 IBADRN C[C@@H]1C[C@H](CCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CCO1 ZINC000579515808 1072583369 /nfs/dbraw/zinc/58/33/69/1072583369.db2.gz JJUOWFFUBSPFHG-BRWVUGGUSA-N 0 0 431.555 -0.039 20 0 IBADRN C[C@@H]1C[C@@H](CCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CCO1 ZINC000579515809 1072583250 /nfs/dbraw/zinc/58/32/50/1072583250.db2.gz JJUOWFFUBSPFHG-IKGGRYGDSA-N 0 0 431.555 -0.039 20 0 IBADRN C[C@@H]1C[C@@H](CCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CCO1 ZINC000579515810 1072583305 /nfs/dbraw/zinc/58/33/05/1072583305.db2.gz JJUOWFFUBSPFHG-IXDOHACOSA-N 0 0 431.555 -0.039 20 0 IBADRN C[C@@H]1C[C@H](CCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CCO1 ZINC000579515811 1072583165 /nfs/dbraw/zinc/58/31/65/1072583165.db2.gz JJUOWFFUBSPFHG-ZACQAIPSSA-N 0 0 431.555 -0.039 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000579516319 1072583792 /nfs/dbraw/zinc/58/37/92/1072583792.db2.gz FUWXNKJKKDQZMN-CRAIPNDOSA-N 0 0 431.493 -0.655 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000579516320 1072583805 /nfs/dbraw/zinc/58/38/05/1072583805.db2.gz FUWXNKJKKDQZMN-MAUKXSAKSA-N 0 0 431.493 -0.655 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000579516321 1072583817 /nfs/dbraw/zinc/58/38/17/1072583817.db2.gz FUWXNKJKKDQZMN-QAPCUYQASA-N 0 0 431.493 -0.655 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000579516322 1072583799 /nfs/dbraw/zinc/58/37/99/1072583799.db2.gz FUWXNKJKKDQZMN-YJBOKZPZSA-N 0 0 431.493 -0.655 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCCc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000579516963 1072583178 /nfs/dbraw/zinc/58/31/78/1072583178.db2.gz KHYFROBIALRROL-UHFFFAOYSA-N 0 0 438.428 -0.215 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)[C@@H](C)CS(C)(=O)=O ZINC000579516966 1072583243 /nfs/dbraw/zinc/58/32/43/1072583243.db2.gz KPGAMXUQVIAJFI-KBPBESRZSA-N 0 0 427.527 -0.691 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O)[C@H](C)CS(C)(=O)=O ZINC000579516967 1072583352 /nfs/dbraw/zinc/58/33/52/1072583352.db2.gz KPGAMXUQVIAJFI-KGLIPLIRSA-N 0 0 427.527 -0.691 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)[C@@H](C)CS(C)(=O)=O ZINC000579516968 1072583865 /nfs/dbraw/zinc/58/38/65/1072583865.db2.gz KPGAMXUQVIAJFI-UONOGXRCSA-N 0 0 427.527 -0.691 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O)[C@H](C)CS(C)(=O)=O ZINC000579516969 1072583822 /nfs/dbraw/zinc/58/38/22/1072583822.db2.gz KPGAMXUQVIAJFI-ZIAGYGMSSA-N 0 0 427.527 -0.691 20 0 IBADRN C[C@@H]1CN(Cc2ccccc2)CCN1C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000579521719 1072583769 /nfs/dbraw/zinc/58/37/69/1072583769.db2.gz CKALBNMJOWAKFX-MRXNPFEDSA-N 0 0 449.533 -0.057 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000579522328 1072583876 /nfs/dbraw/zinc/58/38/76/1072583876.db2.gz PKAUYZOSTZOGDZ-AWEZNQCLSA-N 0 0 446.551 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000579522330 1072583742 /nfs/dbraw/zinc/58/37/42/1072583742.db2.gz PKAUYZOSTZOGDZ-CQSZACIVSA-N 0 0 446.551 -0.194 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCCN(C(C)=O)CC2)C1=O ZINC000579523301 1072583669 /nfs/dbraw/zinc/58/36/69/1072583669.db2.gz MOAXLMGTSKPVBF-AWEZNQCLSA-N 0 0 436.490 -0.163 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCCN(C(C)=O)CC2)C1=O ZINC000579523303 1072583810 /nfs/dbraw/zinc/58/38/10/1072583810.db2.gz MOAXLMGTSKPVBF-CQSZACIVSA-N 0 0 436.490 -0.163 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000579523345 1072584279 /nfs/dbraw/zinc/58/42/79/1072584279.db2.gz OCLAVJBHZZNQCD-KRWDZBQOSA-N 0 0 440.522 -0.652 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000579523346 1072584308 /nfs/dbraw/zinc/58/43/08/1072584308.db2.gz OCLAVJBHZZNQCD-QGZVFWFLSA-N 0 0 440.522 -0.652 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1cccnc1 ZINC000579523838 1072583845 /nfs/dbraw/zinc/58/38/45/1072583845.db2.gz ZRAVDVVGHCSQRJ-INIZCTEOSA-N 0 0 443.508 -0.574 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1cccnc1 ZINC000579523839 1072583869 /nfs/dbraw/zinc/58/38/69/1072583869.db2.gz ZRAVDVVGHCSQRJ-MRXNPFEDSA-N 0 0 443.508 -0.574 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)[C@@H]1CCCO1 ZINC000579525117 1072584193 /nfs/dbraw/zinc/58/41/93/1072584193.db2.gz GPPNOOZMBCRYRU-AEFFLSMTSA-N 0 0 437.518 -0.100 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)[C@H]1CCCO1 ZINC000579525118 1072584339 /nfs/dbraw/zinc/58/43/39/1072584339.db2.gz GPPNOOZMBCRYRU-FUHWJXTLSA-N 0 0 437.518 -0.100 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)[C@H]1CCCO1 ZINC000579525119 1072584303 /nfs/dbraw/zinc/58/43/03/1072584303.db2.gz GPPNOOZMBCRYRU-SJLPKXTDSA-N 0 0 437.518 -0.100 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)[C@@H]1CCCO1 ZINC000579525120 1072584288 /nfs/dbraw/zinc/58/42/88/1072584288.db2.gz GPPNOOZMBCRYRU-WMZOPIPTSA-N 0 0 437.518 -0.100 20 0 IBADRN COc1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1O ZINC000579527733 1072584324 /nfs/dbraw/zinc/58/43/24/1072584324.db2.gz YWOWNSIFNYHNRL-HNNXBMFYSA-N 0 0 427.523 -0.170 20 0 IBADRN COc1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1O ZINC000579527734 1072584206 /nfs/dbraw/zinc/58/42/06/1072584206.db2.gz YWOWNSIFNYHNRL-OAHLLOKOSA-N 0 0 427.523 -0.170 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C1=O ZINC000579528404 1072584295 /nfs/dbraw/zinc/58/42/95/1072584295.db2.gz MUMQTTPCICMMFR-HNNXBMFYSA-N 0 0 444.471 -0.208 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C1=O ZINC000579528405 1072584249 /nfs/dbraw/zinc/58/42/49/1072584249.db2.gz MUMQTTPCICMMFR-OAHLLOKOSA-N 0 0 444.471 -0.208 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000579533411 1072584225 /nfs/dbraw/zinc/58/42/25/1072584225.db2.gz VGJHMGDRHSAHKF-UHFFFAOYSA-N 0 0 438.503 -0.141 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)CC)CC2)cc1 ZINC000579534366 1072584331 /nfs/dbraw/zinc/58/43/31/1072584331.db2.gz PNKLEMFHVXAIJO-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC000579534668 1072584272 /nfs/dbraw/zinc/58/42/72/1072584272.db2.gz GBFFQUFYZCYYMB-UHFFFAOYSA-N 0 0 427.570 -0.285 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1C ZINC000579535111 1072584259 /nfs/dbraw/zinc/58/42/59/1072584259.db2.gz ZAVBWZHUSZHGFV-UHFFFAOYSA-N 0 0 447.423 0.553 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NC1CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C1)CC2 ZINC000579535800 1072584315 /nfs/dbraw/zinc/58/43/15/1072584315.db2.gz MHSFEQRTJZERFF-GFCCVEGCSA-N 0 0 433.490 -0.120 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)NC1CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C1)CC2 ZINC000579535803 1072584772 /nfs/dbraw/zinc/58/47/72/1072584772.db2.gz MHSFEQRTJZERFF-LBPRGKRZSA-N 0 0 433.490 -0.120 20 0 IBADRN CC(=O)Nc1cccc(OCCNC(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000579551765 1072584849 /nfs/dbraw/zinc/58/48/49/1072584849.db2.gz AVKBBJCXJVBLHB-UHFFFAOYSA-N 0 0 444.492 0.307 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3cccc4cnccc43)C[C@@H]2C)n1 ZINC000579552419 1072584703 /nfs/dbraw/zinc/58/47/03/1072584703.db2.gz NBWXLJCSXUWTNI-AWEZNQCLSA-N 0 0 436.476 0.248 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3cccc4cnccc43)C[C@H]2C)n1 ZINC000579552420 1072584833 /nfs/dbraw/zinc/58/48/33/1072584833.db2.gz NBWXLJCSXUWTNI-CQSZACIVSA-N 0 0 436.476 0.248 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@H](C)N1CCOCC1 ZINC000579573365 1072584751 /nfs/dbraw/zinc/58/47/51/1072584751.db2.gz LEEJYCHSWWFPLF-MSOLQXFVSA-N 0 0 445.564 -0.084 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@@H](C)N1CCOCC1 ZINC000579573366 1072584822 /nfs/dbraw/zinc/58/48/22/1072584822.db2.gz LEEJYCHSWWFPLF-QZTJIDSGSA-N 0 0 445.564 -0.084 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@H](C)N1CCOCC1 ZINC000579573367 1072584810 /nfs/dbraw/zinc/58/48/10/1072584810.db2.gz LEEJYCHSWWFPLF-ROUUACIJSA-N 0 0 445.564 -0.084 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)N1CCOCC1 ZINC000579573368 1072584857 /nfs/dbraw/zinc/58/48/57/1072584857.db2.gz LEEJYCHSWWFPLF-ZWKOTPCHSA-N 0 0 445.564 -0.084 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1O ZINC000579573390 1073344292 /nfs/dbraw/zinc/34/42/92/1073344292.db2.gz OIIXYQKIQGASCZ-UHFFFAOYSA-N 0 0 431.449 0.096 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)c1ccccc1 ZINC000579618641 1072584717 /nfs/dbraw/zinc/58/47/17/1072584717.db2.gz FUFZXNNTTDLGEI-KRWDZBQOSA-N 0 0 429.477 0.570 20 0 IBADRN CO[C@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1)c1ccccc1 ZINC000579618642 1072584867 /nfs/dbraw/zinc/58/48/67/1072584867.db2.gz FUFZXNNTTDLGEI-QGZVFWFLSA-N 0 0 429.477 0.570 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000579618678 1072584691 /nfs/dbraw/zinc/58/46/91/1072584691.db2.gz GWSJGXWLOSXBDB-UHFFFAOYSA-N 0 0 430.914 -0.138 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000579619872 1072584835 /nfs/dbraw/zinc/58/48/35/1072584835.db2.gz HVJZEMGZSTYNDR-CEXWTWQISA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000579619873 1072584652 /nfs/dbraw/zinc/58/46/52/1072584652.db2.gz HVJZEMGZSTYNDR-GUDVDZBRSA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000579619874 1072584793 /nfs/dbraw/zinc/58/47/93/1072584793.db2.gz HVJZEMGZSTYNDR-QRVBRYPASA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000579619875 1072585371 /nfs/dbraw/zinc/58/53/71/1072585371.db2.gz HVJZEMGZSTYNDR-QYZOEREBSA-N 0 0 437.585 -0.338 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)c1 ZINC000579621283 1072585151 /nfs/dbraw/zinc/58/51/51/1072585151.db2.gz UAGMXANCUJMZFA-MSOLQXFVSA-N 0 0 445.520 -0.058 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)c1 ZINC000579621284 1072585074 /nfs/dbraw/zinc/58/50/74/1072585074.db2.gz UAGMXANCUJMZFA-QZTJIDSGSA-N 0 0 445.520 -0.058 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)c1 ZINC000579621285 1072585317 /nfs/dbraw/zinc/58/53/17/1072585317.db2.gz UAGMXANCUJMZFA-ROUUACIJSA-N 0 0 445.520 -0.058 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)c1 ZINC000579621286 1072585252 /nfs/dbraw/zinc/58/52/52/1072585252.db2.gz UAGMXANCUJMZFA-ZWKOTPCHSA-N 0 0 445.520 -0.058 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)N2CCOCC2)o1 ZINC000579621422 1073360931 /nfs/dbraw/zinc/36/09/31/1073360931.db2.gz IRMVLKBABCOMCA-AWEZNQCLSA-N 0 0 449.537 -0.140 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)N2CCOCC2)o1 ZINC000579621423 1073360517 /nfs/dbraw/zinc/36/05/17/1073360517.db2.gz IRMVLKBABCOMCA-CQSZACIVSA-N 0 0 449.537 -0.140 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N(C)CCN2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000579622569 1072585106 /nfs/dbraw/zinc/58/51/06/1072585106.db2.gz VEQJHOGCFBBULA-IYBDPMFKSA-N 0 0 432.587 -0.126 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccc3c2OCCCO3)CC1)N1CCOCC1 ZINC000579623544 1072585349 /nfs/dbraw/zinc/58/53/49/1072585349.db2.gz XEAITRKNGNFZGM-UHFFFAOYSA-N 0 0 446.504 -0.533 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000579625886 1072585310 /nfs/dbraw/zinc/58/53/10/1072585310.db2.gz VGBWTSJCLSRZHT-KRWDZBQOSA-N 0 0 429.521 -0.045 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000579625887 1072585137 /nfs/dbraw/zinc/58/51/37/1072585137.db2.gz VGBWTSJCLSRZHT-QGZVFWFLSA-N 0 0 429.521 -0.045 20 0 IBADRN O=C(NCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccc(F)cc1 ZINC000579626164 1072585208 /nfs/dbraw/zinc/58/52/08/1072585208.db2.gz IWWYQPRACVJVCV-QEPJRFBGSA-N 0 0 432.412 -0.581 20 0 IBADRN O=C1C[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1c1ccccc1 ZINC000579626545 1072585292 /nfs/dbraw/zinc/58/52/92/1072585292.db2.gz CNYHHTHKYUYHLU-AUFSPBJRSA-N 0 0 426.433 -0.345 20 0 IBADRN O=C1C[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CN1c1ccccc1 ZINC000579626546 1072585277 /nfs/dbraw/zinc/58/52/77/1072585277.db2.gz CNYHHTHKYUYHLU-NXDBGETFSA-N 0 0 426.433 -0.345 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCC[C@H]1CCS(C)(=O)=O ZINC000579627335 1072585239 /nfs/dbraw/zinc/58/52/39/1072585239.db2.gz WDLSTDFNJPXMJI-AWEZNQCLSA-N 0 0 425.573 -0.364 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCCC[C@@H]1CCS(C)(=O)=O ZINC000579627336 1072585340 /nfs/dbraw/zinc/58/53/40/1072585340.db2.gz WDLSTDFNJPXMJI-CQSZACIVSA-N 0 0 425.573 -0.364 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2nc3ncc(Br)cn3n2)C1 ZINC000579628766 1072585191 /nfs/dbraw/zinc/58/51/91/1072585191.db2.gz ATIHDBSOPYMCCS-SNVBAGLBSA-N 0 0 432.304 -0.113 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000579629219 1072585221 /nfs/dbraw/zinc/58/52/21/1072585221.db2.gz YWZLTWNDLMBEPE-INIZCTEOSA-N 0 0 439.538 -0.537 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000579629220 1072585300 /nfs/dbraw/zinc/58/53/00/1072585300.db2.gz YWZLTWNDLMBEPE-MRXNPFEDSA-N 0 0 439.538 -0.537 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)C2=O)n1 ZINC000579631256 1072585178 /nfs/dbraw/zinc/58/51/78/1072585178.db2.gz QSLYOFMCTSEHRR-CABCVRRESA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)C2=O)n1 ZINC000579631257 1072585363 /nfs/dbraw/zinc/58/53/63/1072585363.db2.gz QSLYOFMCTSEHRR-GJZGRUSLSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)C2=O)n1 ZINC000579631258 1072585270 /nfs/dbraw/zinc/58/52/70/1072585270.db2.gz QSLYOFMCTSEHRR-HUUCEWRRSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)C2=O)n1 ZINC000579631259 1072585901 /nfs/dbraw/zinc/58/59/01/1072585901.db2.gz QSLYOFMCTSEHRR-LSDHHAIUSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCC[C@H](CNS(=O)(=O)C4CC4)C3)nc2n(C)c1=O ZINC000579633685 1072585730 /nfs/dbraw/zinc/58/57/30/1072585730.db2.gz UKHIOPPVKWEGNA-GFCCVEGCSA-N 0 0 435.506 -0.434 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCC[C@@H](CNS(=O)(=O)C4CC4)C3)nc2n(C)c1=O ZINC000579633686 1072585887 /nfs/dbraw/zinc/58/58/87/1072585887.db2.gz UKHIOPPVKWEGNA-LBPRGKRZSA-N 0 0 435.506 -0.434 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000579636901 1072585679 /nfs/dbraw/zinc/58/56/79/1072585679.db2.gz GSYMJNCNAWTARQ-KBPBESRZSA-N 0 0 425.577 -0.630 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000579636902 1072585795 /nfs/dbraw/zinc/58/57/95/1072585795.db2.gz GSYMJNCNAWTARQ-KGLIPLIRSA-N 0 0 425.577 -0.630 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000579636903 1072585844 /nfs/dbraw/zinc/58/58/44/1072585844.db2.gz GSYMJNCNAWTARQ-UONOGXRCSA-N 0 0 425.577 -0.630 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000579636904 1072585915 /nfs/dbraw/zinc/58/59/15/1072585915.db2.gz GSYMJNCNAWTARQ-ZIAGYGMSSA-N 0 0 425.577 -0.630 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)CC1 ZINC000579640250 1072585784 /nfs/dbraw/zinc/58/57/84/1072585784.db2.gz RFYWNNNHKKTKHC-UHFFFAOYSA-N 0 0 435.405 -0.263 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000579641811 1072585763 /nfs/dbraw/zinc/58/57/63/1072585763.db2.gz DXOGUONXBVHYSI-MSOLQXFVSA-N 0 0 429.521 -0.522 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000579641812 1072585689 /nfs/dbraw/zinc/58/56/89/1072585689.db2.gz DXOGUONXBVHYSI-QZTJIDSGSA-N 0 0 429.521 -0.522 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000579641813 1072585701 /nfs/dbraw/zinc/58/57/01/1072585701.db2.gz DXOGUONXBVHYSI-ROUUACIJSA-N 0 0 429.521 -0.522 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000579641814 1072585754 /nfs/dbraw/zinc/58/57/54/1072585754.db2.gz DXOGUONXBVHYSI-ZWKOTPCHSA-N 0 0 429.521 -0.522 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1)[C@@H]1CCCO1 ZINC000579644698 1072585740 /nfs/dbraw/zinc/58/57/40/1072585740.db2.gz SCPSTUVRIJQUMN-KBPBESRZSA-N 0 0 446.551 -0.405 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1)[C@@H]1CCCO1 ZINC000579644699 1072585830 /nfs/dbraw/zinc/58/58/30/1072585830.db2.gz SCPSTUVRIJQUMN-KGLIPLIRSA-N 0 0 446.551 -0.405 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1)[C@H]1CCCO1 ZINC000579644700 1072585747 /nfs/dbraw/zinc/58/57/47/1072585747.db2.gz SCPSTUVRIJQUMN-UONOGXRCSA-N 0 0 446.551 -0.405 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1)[C@H]1CCCO1 ZINC000579644701 1072585874 /nfs/dbraw/zinc/58/58/74/1072585874.db2.gz SCPSTUVRIJQUMN-ZIAGYGMSSA-N 0 0 446.551 -0.405 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000579646366 1072586318 /nfs/dbraw/zinc/58/63/18/1072586318.db2.gz KOKDGNPJIKEMPT-GFCCVEGCSA-N 0 0 443.501 -0.530 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000579646367 1072586279 /nfs/dbraw/zinc/58/62/79/1072586279.db2.gz KOKDGNPJIKEMPT-LBPRGKRZSA-N 0 0 443.501 -0.530 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3cccnn3)CC2)C1=O ZINC000579647028 1072586305 /nfs/dbraw/zinc/58/63/05/1072586305.db2.gz CJGKDCSAOYFUBH-HNNXBMFYSA-N 0 0 426.481 -0.347 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3cccnn3)CC2)C1=O ZINC000579647029 1072586355 /nfs/dbraw/zinc/58/63/55/1072586355.db2.gz CJGKDCSAOYFUBH-OAHLLOKOSA-N 0 0 426.481 -0.347 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCc1nnc(N)o1 ZINC000579647671 1072586397 /nfs/dbraw/zinc/58/63/97/1072586397.db2.gz RVVXHRVZVMGACJ-UHFFFAOYSA-N 0 0 438.510 -0.041 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC000579650394 1072586322 /nfs/dbraw/zinc/58/63/22/1072586322.db2.gz HJEQADOZGDTOFP-GFCCVEGCSA-N 0 0 437.927 -0.620 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC000579650398 1072586407 /nfs/dbraw/zinc/58/64/07/1072586407.db2.gz HJEQADOZGDTOFP-LBPRGKRZSA-N 0 0 437.927 -0.620 20 0 IBADRN COc1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000579652758 1072586337 /nfs/dbraw/zinc/58/63/37/1072586337.db2.gz UCMGKTVORCRPMS-UHFFFAOYSA-N 0 0 440.403 -0.559 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000579653075 1072586290 /nfs/dbraw/zinc/58/62/90/1072586290.db2.gz IJELJVFOHAQUQZ-UHFFFAOYSA-N 0 0 428.392 -0.317 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c(OCC(F)F)c1 ZINC000579653330 1072586249 /nfs/dbraw/zinc/58/62/49/1072586249.db2.gz WPJWWZVMASRTSU-UHFFFAOYSA-N 0 0 434.421 -0.480 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1C ZINC000579653905 1072586365 /nfs/dbraw/zinc/58/63/65/1072586365.db2.gz WVZTYNWGLYYISD-UHFFFAOYSA-N 0 0 435.506 0.276 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3cncc3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000579654527 1072586414 /nfs/dbraw/zinc/58/64/14/1072586414.db2.gz ZBBUJNJRIJLWGR-UHFFFAOYSA-N 0 0 449.489 -0.107 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000579689111 1070793385 /nfs/dbraw/zinc/79/33/85/1070793385.db2.gz MXCLJRQJZSMELK-UHFFFAOYSA-N 0 0 427.425 -0.829 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000579692571 1073344629 /nfs/dbraw/zinc/34/46/29/1073344629.db2.gz HIIQMBCOMVFODS-UHFFFAOYSA-N 0 0 430.465 0.176 20 0 IBADRN CN(C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCSC1 ZINC000579692957 1070793224 /nfs/dbraw/zinc/79/32/24/1070793224.db2.gz HXMZHASOBAYDLE-HNNXBMFYSA-N 0 0 441.579 -0.387 20 0 IBADRN CN(C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCSC1 ZINC000579692970 1070793459 /nfs/dbraw/zinc/79/34/59/1070793459.db2.gz HXMZHASOBAYDLE-OAHLLOKOSA-N 0 0 441.579 -0.387 20 0 IBADRN Cc1ccncc1N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000579696458 1070793616 /nfs/dbraw/zinc/79/36/16/1070793616.db2.gz VNQIGBFJCSFTCH-WVSUBDOOSA-N 0 0 427.465 -0.532 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000579698312 1070794040 /nfs/dbraw/zinc/79/40/40/1070794040.db2.gz SXKCGRUWXIDJIW-GFCCVEGCSA-N 0 0 435.886 -0.644 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000579698313 1070794281 /nfs/dbraw/zinc/79/42/81/1070794281.db2.gz SXKCGRUWXIDJIW-LBPRGKRZSA-N 0 0 435.886 -0.644 20 0 IBADRN CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000579722357 1070794196 /nfs/dbraw/zinc/79/41/96/1070794196.db2.gz JCKSJGNDUJDBBB-UHFFFAOYSA-N 0 0 442.480 -0.547 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)NCCN3C(=O)CNC3=O)c2)ccn1 ZINC000579723903 1070794138 /nfs/dbraw/zinc/79/41/38/1070794138.db2.gz CAIGDQTWXNCGPK-UHFFFAOYSA-N 0 0 440.416 -0.160 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000579725919 1070794951 /nfs/dbraw/zinc/79/49/51/1070794951.db2.gz SCAYNFYKGRSVNR-UHFFFAOYSA-N 0 0 439.626 -0.008 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000579739276 1070795514 /nfs/dbraw/zinc/79/55/14/1070795514.db2.gz HGKBTIBQGXQLND-UHFFFAOYSA-N 0 0 433.918 -0.536 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](CO)C1 ZINC000579739521 1070795532 /nfs/dbraw/zinc/79/55/32/1070795532.db2.gz FBZRHPOHCVTVIP-IRXDYDNUSA-N 0 0 449.533 -0.479 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)c(OC)c1OC ZINC000579740378 1070795808 /nfs/dbraw/zinc/79/58/08/1070795808.db2.gz LAKQPEBHEJVMNK-UHFFFAOYSA-N 0 0 436.509 -0.049 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000579740448 1070795343 /nfs/dbraw/zinc/79/53/43/1070795343.db2.gz GTEHYCVGPBGEDS-UHFFFAOYSA-N 0 0 446.595 -0.042 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000579740774 1070795852 /nfs/dbraw/zinc/79/58/52/1070795852.db2.gz OBTOIJAOFUGRJC-INIZCTEOSA-N 0 0 442.538 -0.005 20 0 IBADRN CCOc1ncccc1CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000579740775 1070795381 /nfs/dbraw/zinc/79/53/81/1070795381.db2.gz OBTOIJAOFUGRJC-MRXNPFEDSA-N 0 0 442.538 -0.005 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)nn1 ZINC000579741821 1073346617 /nfs/dbraw/zinc/34/66/17/1073346617.db2.gz KFMXDEJGXSVCEX-UHFFFAOYSA-N 0 0 430.440 0.137 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000579742821 1070796305 /nfs/dbraw/zinc/79/63/05/1070796305.db2.gz YLKIWZVQXGNDGG-UHFFFAOYSA-N 0 0 440.570 -0.590 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1)S(C)(=O)=O ZINC000579743833 1070796476 /nfs/dbraw/zinc/79/64/76/1070796476.db2.gz HFOIMSIMOYJYAF-BBRMVZONSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1)S(C)(=O)=O ZINC000579743836 1070796656 /nfs/dbraw/zinc/79/66/56/1070796656.db2.gz HFOIMSIMOYJYAF-CJNGLKHVSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1cccc(C(=O)NC[C@H]2CCCO2)c1)S(C)(=O)=O ZINC000579743837 1070796356 /nfs/dbraw/zinc/79/63/56/1070796356.db2.gz HFOIMSIMOYJYAF-CZUORRHYSA-N 0 0 425.507 -0.239 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1cccc(C(=O)NC[C@H]2CCCO2)c1)S(C)(=O)=O ZINC000579743838 1070796634 /nfs/dbraw/zinc/79/66/34/1070796634.db2.gz HFOIMSIMOYJYAF-XJKSGUPXSA-N 0 0 425.507 -0.239 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(NC(=O)c3ccncc3)cc2)CCO1 ZINC000579744127 1070796409 /nfs/dbraw/zinc/79/64/09/1070796409.db2.gz AXPIDFQKLQIYAY-KRWDZBQOSA-N 0 0 425.445 -0.077 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(NC(=O)c3ccncc3)cc2)CCO1 ZINC000579744132 1070796385 /nfs/dbraw/zinc/79/63/85/1070796385.db2.gz AXPIDFQKLQIYAY-QGZVFWFLSA-N 0 0 425.445 -0.077 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000579745555 1070796570 /nfs/dbraw/zinc/79/65/70/1070796570.db2.gz BNYHJSMMOSDVBC-UHFFFAOYSA-N 0 0 442.524 -0.556 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000579747389 1070796626 /nfs/dbraw/zinc/79/66/26/1070796626.db2.gz MAMVTWKLHQKCSD-HZSPNIEDSA-N 0 0 441.488 -0.254 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000579747390 1070796647 /nfs/dbraw/zinc/79/66/47/1070796647.db2.gz MAMVTWKLHQKCSD-MCIONIFRSA-N 0 0 441.488 -0.254 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000579747391 1070796668 /nfs/dbraw/zinc/79/66/68/1070796668.db2.gz MAMVTWKLHQKCSD-MGPQQGTHSA-N 0 0 441.488 -0.254 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000579747392 1070796281 /nfs/dbraw/zinc/79/62/81/1070796281.db2.gz MAMVTWKLHQKCSD-RDBSUJKOSA-N 0 0 441.488 -0.254 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Oc1cccc(F)c1 ZINC000579750140 1070797000 /nfs/dbraw/zinc/79/70/00/1070797000.db2.gz DMSLIXPKTPYKCC-CYBMUJFWSA-N 0 0 448.455 -0.802 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Oc1cccc(F)c1 ZINC000579750141 1070797129 /nfs/dbraw/zinc/79/71/29/1070797129.db2.gz DMSLIXPKTPYKCC-ZDUSSCGKSA-N 0 0 448.455 -0.802 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000579750968 1070797021 /nfs/dbraw/zinc/79/70/21/1070797021.db2.gz BBBKSDNRLQCPOY-CYBMUJFWSA-N 0 0 428.442 -0.822 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000579750969 1070796976 /nfs/dbraw/zinc/79/69/76/1070796976.db2.gz BBBKSDNRLQCPOY-ZDUSSCGKSA-N 0 0 428.442 -0.822 20 0 IBADRN CCOC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000579754854 1070797149 /nfs/dbraw/zinc/79/71/49/1070797149.db2.gz KCKREEIOZLAKCH-GASCZTMLSA-N 0 0 441.572 -0.369 20 0 IBADRN CCOC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000579754856 1070797246 /nfs/dbraw/zinc/79/72/46/1070797246.db2.gz KCKREEIOZLAKCH-GJZGRUSLSA-N 0 0 441.572 -0.369 20 0 IBADRN CCOC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000579754857 1070797225 /nfs/dbraw/zinc/79/72/25/1070797225.db2.gz KCKREEIOZLAKCH-HUUCEWRRSA-N 0 0 441.572 -0.369 20 0 IBADRN Cc1nn(C)c2ncc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc12 ZINC000579760751 1070798986 /nfs/dbraw/zinc/79/89/86/1070798986.db2.gz WXVIPWBCCAAMPH-CABCVRRESA-N 0 0 429.524 -0.947 20 0 IBADRN Cc1nn(C)c2ncc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc12 ZINC000579760752 1070799410 /nfs/dbraw/zinc/79/94/10/1070799410.db2.gz WXVIPWBCCAAMPH-GJZGRUSLSA-N 0 0 429.524 -0.947 20 0 IBADRN Cc1nn(C)c2ncc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc12 ZINC000579760753 1070799187 /nfs/dbraw/zinc/79/91/87/1070799187.db2.gz WXVIPWBCCAAMPH-HUUCEWRRSA-N 0 0 429.524 -0.947 20 0 IBADRN Cc1nn(C)c2ncc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc12 ZINC000579760754 1070799510 /nfs/dbraw/zinc/79/95/10/1070799510.db2.gz WXVIPWBCCAAMPH-LSDHHAIUSA-N 0 0 429.524 -0.947 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)[C@H](C)C1 ZINC000579760845 1070799431 /nfs/dbraw/zinc/79/94/31/1070799431.db2.gz NTYKMJUDLHPHKR-CJNGLKHVSA-N 0 0 439.490 -0.164 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)[C@H](C)C1 ZINC000579760846 1070799527 /nfs/dbraw/zinc/79/95/27/1070799527.db2.gz NTYKMJUDLHPHKR-CZUORRHYSA-N 0 0 439.490 -0.164 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@@H](CO)C3CCOCC3)cc2)CC1 ZINC000579763551 1070799843 /nfs/dbraw/zinc/79/98/43/1070799843.db2.gz JTKMNQQXRXNUHK-IBGZPJMESA-N 0 0 432.521 -0.155 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N[C@H](CO)C3CCOCC3)cc2)CC1 ZINC000579763553 1070800197 /nfs/dbraw/zinc/80/01/97/1070800197.db2.gz JTKMNQQXRXNUHK-LJQANCHMSA-N 0 0 432.521 -0.155 20 0 IBADRN CC(C)(C)[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCO1 ZINC000579765691 1070800291 /nfs/dbraw/zinc/80/02/91/1070800291.db2.gz FVMHEMOZIYIKFT-CVEARBPZSA-N 0 0 438.525 -0.774 20 0 IBADRN CC(C)(C)[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCO1 ZINC000579765692 1070800162 /nfs/dbraw/zinc/80/01/62/1070800162.db2.gz FVMHEMOZIYIKFT-HOTGVXAUSA-N 0 0 438.525 -0.774 20 0 IBADRN CC(C)(C)[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCO1 ZINC000579765693 1070799994 /nfs/dbraw/zinc/79/99/94/1070799994.db2.gz FVMHEMOZIYIKFT-HZPDHXFCSA-N 0 0 438.525 -0.774 20 0 IBADRN CC(C)(C)[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCO1 ZINC000579765694 1070800074 /nfs/dbraw/zinc/80/00/74/1070800074.db2.gz FVMHEMOZIYIKFT-JKSUJKDBSA-N 0 0 438.525 -0.774 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000579766695 1070799975 /nfs/dbraw/zinc/79/99/75/1070799975.db2.gz DFWWPHALZYOBRO-UHFFFAOYSA-N 0 0 428.511 -0.738 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)O1)N1CCOCC1 ZINC000579766948 1070799903 /nfs/dbraw/zinc/79/99/03/1070799903.db2.gz BFFBHGCAVDHZHT-KFWWJZLASA-N 0 0 429.564 -0.130 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CSC[C@H]2C(=O)N2CCSCC2)O1)N1CCOCC1 ZINC000579766950 1070800103 /nfs/dbraw/zinc/80/01/03/1070800103.db2.gz BFFBHGCAVDHZHT-ZNMIVQPWSA-N 0 0 429.564 -0.130 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000579768019 1070801025 /nfs/dbraw/zinc/80/10/25/1070801025.db2.gz AJJOVZOZFOZNCE-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000579768416 1070800955 /nfs/dbraw/zinc/80/09/55/1070800955.db2.gz WWEIQPUTWDSTEX-MSOLQXFVSA-N 0 0 436.484 -0.806 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000579768417 1070800844 /nfs/dbraw/zinc/80/08/44/1070800844.db2.gz WWEIQPUTWDSTEX-QZTJIDSGSA-N 0 0 436.484 -0.806 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000579768419 1070800622 /nfs/dbraw/zinc/80/06/22/1070800622.db2.gz WWEIQPUTWDSTEX-ROUUACIJSA-N 0 0 436.484 -0.806 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000579768421 1070800784 /nfs/dbraw/zinc/80/07/84/1070800784.db2.gz WWEIQPUTWDSTEX-ZWKOTPCHSA-N 0 0 436.484 -0.806 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](CO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000579771194 1070801660 /nfs/dbraw/zinc/80/16/60/1070801660.db2.gz ZJBBPERQYNBLBL-AWEZNQCLSA-N 0 0 441.506 -0.211 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](CO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000579771195 1070802057 /nfs/dbraw/zinc/80/20/57/1070802057.db2.gz ZJBBPERQYNBLBL-CQSZACIVSA-N 0 0 441.506 -0.211 20 0 IBADRN CCO[C@@H](CCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)C(C)C ZINC000579771225 1070801634 /nfs/dbraw/zinc/80/16/34/1070801634.db2.gz CXZIGLSNERYVFU-MSOLQXFVSA-N 0 0 426.558 -0.055 20 0 IBADRN CCO[C@H](CCNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)C(C)C ZINC000579771226 1070801515 /nfs/dbraw/zinc/80/15/15/1070801515.db2.gz CXZIGLSNERYVFU-QZTJIDSGSA-N 0 0 426.558 -0.055 20 0 IBADRN CCO[C@@H](CCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)C(C)C ZINC000579771227 1070801605 /nfs/dbraw/zinc/80/16/05/1070801605.db2.gz CXZIGLSNERYVFU-ROUUACIJSA-N 0 0 426.558 -0.055 20 0 IBADRN CCO[C@H](CCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)C(C)C ZINC000579771228 1070801835 /nfs/dbraw/zinc/80/18/35/1070801835.db2.gz CXZIGLSNERYVFU-ZWKOTPCHSA-N 0 0 426.558 -0.055 20 0 IBADRN CCCc1nc(C(N)=O)nn1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000579772705 1070801779 /nfs/dbraw/zinc/80/17/79/1070801779.db2.gz KIQVEDFFLWYGGI-UHFFFAOYSA-N 0 0 436.494 -0.011 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)s1 ZINC000579772713 1070801453 /nfs/dbraw/zinc/80/14/53/1070801453.db2.gz IECNYMYCKIGHLQ-LLVKDONJSA-N 0 0 435.528 0.254 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)s1 ZINC000579772716 1070801757 /nfs/dbraw/zinc/80/17/57/1070801757.db2.gz IECNYMYCKIGHLQ-NSHDSACASA-N 0 0 435.528 0.254 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000579776489 1070802000 /nfs/dbraw/zinc/80/20/00/1070802000.db2.gz PJZDISLWAWWVPK-CYBMUJFWSA-N 0 0 427.527 -0.366 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000579776490 1070801483 /nfs/dbraw/zinc/80/14/83/1070801483.db2.gz PJZDISLWAWWVPK-ZDUSSCGKSA-N 0 0 427.527 -0.366 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000579776552 1070802226 /nfs/dbraw/zinc/80/22/26/1070802226.db2.gz TYIHYZSULGEEEJ-CHWSQXEVSA-N 0 0 430.502 -0.047 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000579776553 1070802315 /nfs/dbraw/zinc/80/23/15/1070802315.db2.gz TYIHYZSULGEEEJ-OLZOCXBDSA-N 0 0 430.502 -0.047 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1)S(C)(=O)=O ZINC000579776554 1070802501 /nfs/dbraw/zinc/80/25/01/1070802501.db2.gz TYIHYZSULGEEEJ-QWHCGFSZSA-N 0 0 430.502 -0.047 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000579776555 1070802704 /nfs/dbraw/zinc/80/27/04/1070802704.db2.gz TYIHYZSULGEEEJ-STQMWFEESA-N 0 0 430.502 -0.047 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000579778260 1070803200 /nfs/dbraw/zinc/80/32/00/1070803200.db2.gz NDXZTGGRHMGUKB-AWEZNQCLSA-N 0 0 431.536 -0.657 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000579778263 1070802473 /nfs/dbraw/zinc/80/24/73/1070802473.db2.gz NDXZTGGRHMGUKB-CQSZACIVSA-N 0 0 431.536 -0.657 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000579780162 1070803602 /nfs/dbraw/zinc/80/36/02/1070803602.db2.gz DMGMGYXOFWGQPE-UHFFFAOYSA-N 0 0 438.466 -0.505 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@@](C)(O)CN2CCOCC2)cc1 ZINC000579782002 1070802928 /nfs/dbraw/zinc/80/29/28/1070802928.db2.gz WXJYZZKUZSBTKQ-GOSISDBHSA-N 0 0 428.511 -0.877 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@](C)(O)CN2CCOCC2)cc1 ZINC000579782003 1070804339 /nfs/dbraw/zinc/80/43/39/1070804339.db2.gz WXJYZZKUZSBTKQ-SFHVURJKSA-N 0 0 428.511 -0.877 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3C)CC1 ZINC000579792440 1070804984 /nfs/dbraw/zinc/80/49/84/1070804984.db2.gz AGZFFFAUZHQPOZ-UHFFFAOYSA-N 0 0 431.493 -0.007 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)n1 ZINC000579815110 1070811236 /nfs/dbraw/zinc/81/12/36/1070811236.db2.gz CQKOQRAQUABYOM-UHFFFAOYSA-N 0 0 439.524 -0.838 20 0 IBADRN C[C@@H]1Cc2ccccc2N1CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000579816445 1070811054 /nfs/dbraw/zinc/81/10/54/1070811054.db2.gz FKBFRZUUTYURNZ-GOSISDBHSA-N 0 0 443.548 -0.443 20 0 IBADRN C[C@H]1Cc2ccccc2N1CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000579816446 1070810510 /nfs/dbraw/zinc/81/05/10/1070810510.db2.gz FKBFRZUUTYURNZ-SFHVURJKSA-N 0 0 443.548 -0.443 20 0 IBADRN O=C(NCCCOC(F)F)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000579818244 1070812612 /nfs/dbraw/zinc/81/26/12/1070812612.db2.gz NMNYYSVUXDNOJY-UHFFFAOYSA-N 0 0 449.480 -0.605 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)nn1 ZINC000579818434 1070811358 /nfs/dbraw/zinc/81/13/58/1070811358.db2.gz UFGYTTHWZUWUSG-UHFFFAOYSA-N 0 0 442.429 -0.791 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1cccc2c1OCCCO2 ZINC000579818996 1070813528 /nfs/dbraw/zinc/81/35/28/1070813528.db2.gz PLEJCBWWYSLECB-UHFFFAOYSA-N 0 0 443.547 -0.041 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000579819601 1070814429 /nfs/dbraw/zinc/81/44/29/1070814429.db2.gz PPHBRGQMLGIVJE-UHFFFAOYSA-N 0 0 446.552 -0.583 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000579820119 1070813308 /nfs/dbraw/zinc/81/33/08/1070813308.db2.gz SQVWLLSUJQQLCR-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C1 ZINC000579820120 1070813846 /nfs/dbraw/zinc/81/38/46/1070813846.db2.gz SQVWLLSUJQQLCR-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000579842389 1070819757 /nfs/dbraw/zinc/81/97/57/1070819757.db2.gz GOZUNFHXHAGMDT-UHFFFAOYSA-N 0 0 429.521 -0.243 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCO[C@@H](COc3ccccc3)C2)[C@H](O)[C@@H]1O ZINC000579846032 1070821285 /nfs/dbraw/zinc/82/12/85/1070821285.db2.gz ORQCBRVOPHEISP-SQDDPSDBSA-N 0 0 443.460 -0.278 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCO[C@H](COc3ccccc3)C2)[C@H](O)[C@@H]1O ZINC000579846033 1070821599 /nfs/dbraw/zinc/82/15/99/1070821599.db2.gz ORQCBRVOPHEISP-UQEZQTQMSA-N 0 0 443.460 -0.278 20 0 IBADRN O=C(CC(F)(F)F)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000579847579 1070822099 /nfs/dbraw/zinc/82/20/99/1070822099.db2.gz FUPOWEKBNCCSQH-GFCCVEGCSA-N 0 0 441.366 -0.315 20 0 IBADRN O=C(CC(F)(F)F)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000579847580 1070822126 /nfs/dbraw/zinc/82/21/26/1070822126.db2.gz FUPOWEKBNCCSQH-LBPRGKRZSA-N 0 0 441.366 -0.315 20 0 IBADRN NC(=O)CCOc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000579856967 1070823712 /nfs/dbraw/zinc/82/37/12/1070823712.db2.gz CPAJUHMGWHUJGW-UHFFFAOYSA-N 0 0 438.506 -0.094 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccccn3)CC2)C[C@H](C)O1 ZINC000579858727 1070825345 /nfs/dbraw/zinc/82/53/45/1070825345.db2.gz RESUMJQEUKMMKZ-HOTGVXAUSA-N 0 0 439.538 -0.762 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccccn3)CC2)C[C@@H](C)O1 ZINC000579858728 1070825839 /nfs/dbraw/zinc/82/58/39/1070825839.db2.gz RESUMJQEUKMMKZ-HZPDHXFCSA-N 0 0 439.538 -0.762 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccccn3)CC2)C[C@H](C)O1 ZINC000579858729 1070826161 /nfs/dbraw/zinc/82/61/61/1070826161.db2.gz RESUMJQEUKMMKZ-IYBDPMFKSA-N 0 0 439.538 -0.762 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCCn2cccn2)CC1 ZINC000579860206 1070825752 /nfs/dbraw/zinc/82/57/52/1070825752.db2.gz YYBYSLPDCYZECL-UHFFFAOYSA-N 0 0 448.549 -0.358 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3cccn3)cc2)CC1 ZINC000579866263 1070827398 /nfs/dbraw/zinc/82/73/98/1070827398.db2.gz KXGSGSXNSFRGLB-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)NC)CC2)cc1 ZINC000579867997 1070828266 /nfs/dbraw/zinc/82/82/66/1070828266.db2.gz NMXWYIRHNUPLNI-UHFFFAOYSA-N 0 0 440.569 -0.855 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCC(=O)N2CCCCCC2)CC1 ZINC000579870154 1070829646 /nfs/dbraw/zinc/82/96/46/1070829646.db2.gz IZEYHNRDOQAITR-UHFFFAOYSA-N 0 0 442.524 -0.079 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1C ZINC000579871083 1070830172 /nfs/dbraw/zinc/83/01/72/1070830172.db2.gz XVCRHOWNTRYOFU-UHFFFAOYSA-N 0 0 438.510 -0.202 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(CCNS(C)(=O)=O)C2CC2)cc1 ZINC000579875310 1070829740 /nfs/dbraw/zinc/82/97/40/1070829740.db2.gz JKKYVYOZGFJOLA-UHFFFAOYSA-N 0 0 447.535 -0.318 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)N1CCN(c2ccccn2)CC1 ZINC000579879117 1070832161 /nfs/dbraw/zinc/83/21/61/1070832161.db2.gz SCSNLGQIJZZBEC-BZSNNMDCSA-N 0 0 437.566 -0.794 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)N1CCN(c2ccccn2)CC1 ZINC000579879118 1070832277 /nfs/dbraw/zinc/83/22/77/1070832277.db2.gz SCSNLGQIJZZBEC-KSZLIROESA-N 0 0 437.566 -0.794 20 0 IBADRN C[C@H](N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)N1CCN(c2ccccn2)CC1 ZINC000579879119 1070832032 /nfs/dbraw/zinc/83/20/32/1070832032.db2.gz SCSNLGQIJZZBEC-OKZBNKHCSA-N 0 0 437.566 -0.794 20 0 IBADRN C[C@H](N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)N1CCN(c2ccccn2)CC1 ZINC000579879120 1070832351 /nfs/dbraw/zinc/83/23/51/1070832351.db2.gz SCSNLGQIJZZBEC-RCCFBDPRSA-N 0 0 437.566 -0.794 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000579879777 1070832955 /nfs/dbraw/zinc/83/29/55/1070832955.db2.gz SZKJOJVKFRGQCZ-GHMZBOCLSA-N 0 0 430.387 -0.771 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000579879778 1070834158 /nfs/dbraw/zinc/83/41/58/1070834158.db2.gz SZKJOJVKFRGQCZ-MNOVXSKESA-N 0 0 430.387 -0.771 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000579879779 1070834007 /nfs/dbraw/zinc/83/40/07/1070834007.db2.gz SZKJOJVKFRGQCZ-QWRGUYRKSA-N 0 0 430.387 -0.771 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000579879780 1070834061 /nfs/dbraw/zinc/83/40/61/1070834061.db2.gz SZKJOJVKFRGQCZ-WDEREUQCSA-N 0 0 430.387 -0.771 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1c[nH]c2ncccc12 ZINC000579880454 1070833084 /nfs/dbraw/zinc/83/30/84/1070833084.db2.gz WGBPDKDDINBBOO-UHFFFAOYSA-N 0 0 429.524 -0.117 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1=O ZINC000579883945 1073356506 /nfs/dbraw/zinc/35/65/06/1073356506.db2.gz GLMZAQPTGLUZIR-GFCCVEGCSA-N 0 0 445.505 -0.301 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1=O ZINC000579883946 1073356422 /nfs/dbraw/zinc/35/64/22/1073356422.db2.gz GLMZAQPTGLUZIR-LBPRGKRZSA-N 0 0 445.505 -0.301 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)oc1C ZINC000579884444 1070843021 /nfs/dbraw/zinc/84/30/21/1070843021.db2.gz BQVNAXFXEIQGIL-OAHLLOKOSA-N 0 0 427.527 -0.524 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCc3cc(C(N)=O)no3)C2=O)c1 ZINC000579885434 1070846065 /nfs/dbraw/zinc/84/60/65/1070846065.db2.gz UOUNQURZFHXWOK-AWEZNQCLSA-N 0 0 431.405 -0.671 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCc3cc(C(N)=O)no3)C2=O)c1 ZINC000579885435 1070846095 /nfs/dbraw/zinc/84/60/95/1070846095.db2.gz UOUNQURZFHXWOK-CQSZACIVSA-N 0 0 431.405 -0.671 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cnc(N4CCOCC4)c(F)c3)CC2)cn1 ZINC000579885795 1070850181 /nfs/dbraw/zinc/85/01/81/1070850181.db2.gz KXXUCLAZBBUZAK-UHFFFAOYSA-N 0 0 431.472 -0.244 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccn(C)n3)CC2)cc1 ZINC000579887442 1070850455 /nfs/dbraw/zinc/85/04/55/1070850455.db2.gz DNESSDKGXXZYND-UHFFFAOYSA-N 0 0 435.506 -0.380 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000579887996 1070853477 /nfs/dbraw/zinc/85/34/77/1070853477.db2.gz FGJTUAQPSFUFJB-UHFFFAOYSA-N 0 0 445.498 -0.103 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(c3nc(N)ns3)CC1)CCC2 ZINC000579892949 1073356567 /nfs/dbraw/zinc/35/65/67/1073356567.db2.gz LDSASHVUCWXSHD-CYBMUJFWSA-N 0 0 434.526 -0.194 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(c3nc(N)ns3)CC1)CCC2 ZINC000579892950 1073356478 /nfs/dbraw/zinc/35/64/78/1073356478.db2.gz LDSASHVUCWXSHD-ZDUSSCGKSA-N 0 0 434.526 -0.194 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)nn1 ZINC000579895504 1073338750 /nfs/dbraw/zinc/33/87/50/1073338750.db2.gz UZIUBHUFAJFHIW-CHWSQXEVSA-N 0 0 430.425 -0.124 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000579895860 1070859144 /nfs/dbraw/zinc/85/91/44/1070859144.db2.gz RYHMZAQIFMRQNV-UHFFFAOYSA-N 0 0 442.523 -0.689 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000579899218 1070860073 /nfs/dbraw/zinc/86/00/73/1070860073.db2.gz LYKYYPMIGULDMD-HNNXBMFYSA-N 0 0 428.486 -0.847 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000579899219 1070859985 /nfs/dbraw/zinc/85/99/85/1070859985.db2.gz LYKYYPMIGULDMD-OAHLLOKOSA-N 0 0 428.486 -0.847 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)cc1 ZINC000579900164 1070862655 /nfs/dbraw/zinc/86/26/55/1070862655.db2.gz XQJZRLITYWVMND-INIZCTEOSA-N 0 0 446.551 -0.148 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)cc1 ZINC000579900165 1070862283 /nfs/dbraw/zinc/86/22/83/1070862283.db2.gz XQJZRLITYWVMND-MRXNPFEDSA-N 0 0 446.551 -0.148 20 0 IBADRN O=C(Nc1nc2c(s1)CCC2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000579909700 1070873908 /nfs/dbraw/zinc/87/39/08/1070873908.db2.gz VJNSKELRJZWCAT-UHFFFAOYSA-N 0 0 429.524 -0.709 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Nc1ccn(CCO)n1 ZINC000579912952 1070876173 /nfs/dbraw/zinc/87/61/73/1070876173.db2.gz BFWYWBQQXKJXMK-UHFFFAOYSA-N 0 0 425.467 0.549 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000579915399 1070876486 /nfs/dbraw/zinc/87/64/86/1070876486.db2.gz PBASKJWPMPLDGB-UHFFFAOYSA-N 0 0 425.511 -0.479 20 0 IBADRN CC(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000579929116 1070880222 /nfs/dbraw/zinc/88/02/22/1070880222.db2.gz DSCAAHBCIMEMNJ-UHFFFAOYSA-N 0 0 447.540 -0.323 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1=O ZINC000579936910 1070882625 /nfs/dbraw/zinc/88/26/25/1070882625.db2.gz ZMCDBWVYZQFUHP-FQEVSTJZSA-N 0 0 446.548 -0.247 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1=O ZINC000579936911 1070882774 /nfs/dbraw/zinc/88/27/74/1070882774.db2.gz ZMCDBWVYZQFUHP-HXUWFJFHSA-N 0 0 446.548 -0.247 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CC[C@@H](S(=O)(=O)NC)C1 ZINC000579940365 1070885974 /nfs/dbraw/zinc/88/59/74/1070885974.db2.gz DYHYLXRZIJLMQR-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CC[C@H](S(=O)(=O)NC)C1 ZINC000579940366 1070885387 /nfs/dbraw/zinc/88/53/87/1070885387.db2.gz DYHYLXRZIJLMQR-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN COc1ccc(I)c(NC(=O)C(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000579940539 1073345921 /nfs/dbraw/zinc/34/59/21/1073345921.db2.gz BKFROGMYFQVDML-UHFFFAOYSA-N 0 0 443.201 0.559 20 0 IBADRN O=C(NCCCOC(F)F)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000579966086 1070890755 /nfs/dbraw/zinc/89/07/55/1070890755.db2.gz LJDXTBALDRZGHO-UHFFFAOYSA-N 0 0 428.440 -0.293 20 0 IBADRN CCS(=O)(=O)c1ccc([C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000579981153 1070895335 /nfs/dbraw/zinc/89/53/35/1070895335.db2.gz AICHDPOIQWWRDD-CYBMUJFWSA-N 0 0 439.581 -0.047 20 0 IBADRN CCS(=O)(=O)c1ccc([C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000579981154 1070894903 /nfs/dbraw/zinc/89/49/03/1070894903.db2.gz AICHDPOIQWWRDD-ZDUSSCGKSA-N 0 0 439.581 -0.047 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1)NC1CC1 ZINC000579981286 1070895160 /nfs/dbraw/zinc/89/51/60/1070895160.db2.gz HPCJUDVFHJSXJM-UHFFFAOYSA-N 0 0 442.564 -0.652 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(C)CCN2CCN(c3ccccc3)CC2)CC1 ZINC000579981567 1070895032 /nfs/dbraw/zinc/89/50/32/1070895032.db2.gz VBJYWQIQNMFQTD-UHFFFAOYSA-N 0 0 444.580 -0.406 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000579981574 1070894878 /nfs/dbraw/zinc/89/48/78/1070894878.db2.gz VFYMHPPHAAEXBK-CYBMUJFWSA-N 0 0 432.524 -0.673 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000579981575 1070895445 /nfs/dbraw/zinc/89/54/45/1070895445.db2.gz VFYMHPPHAAEXBK-ZDUSSCGKSA-N 0 0 432.524 -0.673 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000579984929 1070896726 /nfs/dbraw/zinc/89/67/26/1070896726.db2.gz SYNMTELSSXVVFV-UHFFFAOYSA-N 0 0 429.521 -0.295 20 0 IBADRN CCCCNC(=O)[C@@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000579986816 1070896582 /nfs/dbraw/zinc/89/65/82/1070896582.db2.gz FZFXOLYMOHLXLG-DBKKVMMFSA-N 0 0 434.497 -0.430 20 0 IBADRN CCCCNC(=O)[C@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000579986817 1070896464 /nfs/dbraw/zinc/89/64/64/1070896464.db2.gz FZFXOLYMOHLXLG-WUMBASEESA-N 0 0 434.497 -0.430 20 0 IBADRN CCc1cnc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)s1 ZINC000579994291 1070898242 /nfs/dbraw/zinc/89/82/42/1070898242.db2.gz XTHKTABKFVQEBC-UHFFFAOYSA-N 0 0 427.508 -0.016 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1)C(=O)N1CCOCC1 ZINC000579994292 1070897968 /nfs/dbraw/zinc/89/79/68/1070897968.db2.gz XUUNABXATAPLDV-GFCCVEGCSA-N 0 0 426.411 -0.215 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(CC(F)(F)C(F)F)CC1)C(=O)N1CCOCC1 ZINC000579994293 1070898396 /nfs/dbraw/zinc/89/83/96/1070898396.db2.gz XUUNABXATAPLDV-LBPRGKRZSA-N 0 0 426.411 -0.215 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)ccc1OC ZINC000579996591 1070898415 /nfs/dbraw/zinc/89/84/15/1070898415.db2.gz DQWCNQLEEFPZNW-AWEZNQCLSA-N 0 0 448.567 -0.006 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)ccc1OC ZINC000579996592 1070898063 /nfs/dbraw/zinc/89/80/63/1070898063.db2.gz DQWCNQLEEFPZNW-CQSZACIVSA-N 0 0 448.567 -0.006 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000579997833 1070898725 /nfs/dbraw/zinc/89/87/25/1070898725.db2.gz ASYSSZOHAAQMSS-AWEZNQCLSA-N 0 0 444.460 -0.320 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000579997834 1070898906 /nfs/dbraw/zinc/89/89/06/1070898906.db2.gz ASYSSZOHAAQMSS-CQSZACIVSA-N 0 0 444.460 -0.320 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000579998397 1070898945 /nfs/dbraw/zinc/89/89/45/1070898945.db2.gz YZZCEMKZUKTOQI-GOSISDBHSA-N 0 0 443.548 -0.256 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000579998398 1070898924 /nfs/dbraw/zinc/89/89/24/1070898924.db2.gz YZZCEMKZUKTOQI-SFHVURJKSA-N 0 0 443.548 -0.256 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCCN2CCS(=O)(=O)CC2)c1 ZINC000579998953 1070898863 /nfs/dbraw/zinc/89/88/63/1070898863.db2.gz UWZYESHTASOEAF-UHFFFAOYSA-N 0 0 434.492 -0.732 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC)C1 ZINC000579999243 1070898968 /nfs/dbraw/zinc/89/89/68/1070898968.db2.gz GWVFYHCVUWXOKA-GDBMZVCRSA-N 0 0 445.563 -0.105 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC)C1 ZINC000579999244 1070898620 /nfs/dbraw/zinc/89/86/20/1070898620.db2.gz GWVFYHCVUWXOKA-GOEBONIOSA-N 0 0 445.563 -0.105 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC)C1 ZINC000579999245 1070898994 /nfs/dbraw/zinc/89/89/94/1070898994.db2.gz GWVFYHCVUWXOKA-HOCLYGCPSA-N 0 0 445.563 -0.105 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC)C1 ZINC000579999246 1070898794 /nfs/dbraw/zinc/89/87/94/1070898794.db2.gz GWVFYHCVUWXOKA-ZBFHGGJFSA-N 0 0 445.563 -0.105 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2)c1 ZINC000580000562 1070898596 /nfs/dbraw/zinc/89/85/96/1070898596.db2.gz OBXNIMWXHYLCFB-HNNXBMFYSA-N 0 0 447.536 -0.193 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2)c1 ZINC000580000563 1070898755 /nfs/dbraw/zinc/89/87/55/1070898755.db2.gz OBXNIMWXHYLCFB-OAHLLOKOSA-N 0 0 447.536 -0.193 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)[C@H](C)C1=O ZINC000580001951 1070899675 /nfs/dbraw/zinc/89/96/75/1070899675.db2.gz CBNIVKDEDGGPSO-CABCVRRESA-N 0 0 428.497 -0.464 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)[C@@H](C)C1=O ZINC000580001952 1070899305 /nfs/dbraw/zinc/89/93/05/1070899305.db2.gz CBNIVKDEDGGPSO-GJZGRUSLSA-N 0 0 428.497 -0.464 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)[C@H](C)C1=O ZINC000580001953 1070899258 /nfs/dbraw/zinc/89/92/58/1070899258.db2.gz CBNIVKDEDGGPSO-HUUCEWRRSA-N 0 0 428.497 -0.464 20 0 IBADRN CCN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)[C@@H](C)C1=O ZINC000580001954 1070899232 /nfs/dbraw/zinc/89/92/32/1070899232.db2.gz CBNIVKDEDGGPSO-LSDHHAIUSA-N 0 0 428.497 -0.464 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCSCCCO)c2)CC1 ZINC000580005161 1070900127 /nfs/dbraw/zinc/90/01/27/1070900127.db2.gz XDDQZFNXUVVMLS-UHFFFAOYSA-N 0 0 444.579 -0.207 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3nccc4sccc43)CC2)n1 ZINC000580006912 1073313969 /nfs/dbraw/zinc/31/39/69/1073313969.db2.gz SGBCJBMCQRJQJO-UHFFFAOYSA-N 0 0 428.478 -0.079 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2=O)n(C)n1 ZINC000580006944 1070900608 /nfs/dbraw/zinc/90/06/08/1070900608.db2.gz UKBIJKINPQFADP-INIZCTEOSA-N 0 0 440.508 -0.131 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)C2=O)n(C)n1 ZINC000580006945 1070900674 /nfs/dbraw/zinc/90/06/74/1070900674.db2.gz UKBIJKINPQFADP-MRXNPFEDSA-N 0 0 440.508 -0.131 20 0 IBADRN C[C@@H](O)CN(CCO)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000580008809 1070901466 /nfs/dbraw/zinc/90/14/66/1070901466.db2.gz YFGIVKZFXPLZEO-CYBMUJFWSA-N 0 0 435.930 -0.026 20 0 IBADRN C[C@H](O)CN(CCO)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000580008811 1070901553 /nfs/dbraw/zinc/90/15/53/1070901553.db2.gz YFGIVKZFXPLZEO-ZDUSSCGKSA-N 0 0 435.930 -0.026 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000580012938 1070902038 /nfs/dbraw/zinc/90/20/38/1070902038.db2.gz SZVOERFRTHIZBN-HNNXBMFYSA-N 0 0 426.481 -0.347 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000580012939 1070902102 /nfs/dbraw/zinc/90/21/02/1070902102.db2.gz SZVOERFRTHIZBN-OAHLLOKOSA-N 0 0 426.481 -0.347 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000580015516 1070902347 /nfs/dbraw/zinc/90/23/47/1070902347.db2.gz NYCSEKIYMYSIRV-HNNXBMFYSA-N 0 0 445.295 -0.072 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000580015517 1070902302 /nfs/dbraw/zinc/90/23/02/1070902302.db2.gz NYCSEKIYMYSIRV-OAHLLOKOSA-N 0 0 445.295 -0.072 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000580015794 1070902323 /nfs/dbraw/zinc/90/23/23/1070902323.db2.gz BHARQQQDVUIZCB-UHFFFAOYSA-N 0 0 425.507 -0.433 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccc(N2CCO[C@@H](C)C2)nc1 ZINC000580055087 1070910514 /nfs/dbraw/zinc/91/05/14/1070910514.db2.gz IMBYQTSGEHFXGA-HNNXBMFYSA-N 0 0 441.554 -0.289 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccc(N2CCO[C@H](C)C2)nc1 ZINC000580055088 1070910498 /nfs/dbraw/zinc/91/04/98/1070910498.db2.gz IMBYQTSGEHFXGA-OAHLLOKOSA-N 0 0 441.554 -0.289 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000580058878 1070910913 /nfs/dbraw/zinc/91/09/13/1070910913.db2.gz HZHUPJKUKLRRSL-UHFFFAOYSA-N 0 0 434.541 -0.836 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)n1 ZINC000580083858 1070911970 /nfs/dbraw/zinc/91/19/70/1070911970.db2.gz ICHHDTAIKHTRBH-UHFFFAOYSA-N 0 0 441.460 -0.110 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1=O ZINC000580083859 1071313939 /nfs/dbraw/zinc/31/39/39/1071313939.db2.gz IDGZHZMEFVXJQG-FQEVSTJZSA-N 0 0 439.513 -0.691 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1=O ZINC000580083860 1070912162 /nfs/dbraw/zinc/91/21/62/1070912162.db2.gz IDGZHZMEFVXJQG-HXUWFJFHSA-N 0 0 439.513 -0.691 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)nn1 ZINC000580087125 1073318658 /nfs/dbraw/zinc/31/86/58/1073318658.db2.gz ANHLWRCXQCKYGV-GOSISDBHSA-N 0 0 426.477 0.573 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)nn1 ZINC000580087126 1070911918 /nfs/dbraw/zinc/91/19/18/1070911918.db2.gz ANHLWRCXQCKYGV-SFHVURJKSA-N 0 0 426.477 0.573 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccn(Cc3ccc(OC)cc3)n2)nn1 ZINC000580088821 1073328445 /nfs/dbraw/zinc/32/84/45/1073328445.db2.gz HDQITICRHURXPZ-UHFFFAOYSA-N 0 0 427.421 0.073 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)C1 ZINC000580099594 1071314575 /nfs/dbraw/zinc/31/45/75/1071314575.db2.gz MNJZEDJEJITGES-MSOLQXFVSA-N 0 0 435.569 -0.046 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)C1 ZINC000580099595 1070912925 /nfs/dbraw/zinc/91/29/25/1070912925.db2.gz MNJZEDJEJITGES-QZTJIDSGSA-N 0 0 435.569 -0.046 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)C1 ZINC000580099596 1070912705 /nfs/dbraw/zinc/91/27/05/1070912705.db2.gz MNJZEDJEJITGES-ROUUACIJSA-N 0 0 435.569 -0.046 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCCCN3CCCC3=O)CC2)C1 ZINC000580099597 1070912917 /nfs/dbraw/zinc/91/29/17/1070912917.db2.gz MNJZEDJEJITGES-ZWKOTPCHSA-N 0 0 435.569 -0.046 20 0 IBADRN COc1ccc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000580100987 1070912471 /nfs/dbraw/zinc/91/24/71/1070912471.db2.gz ZHIRFXWJIPHYSN-GFCCVEGCSA-N 0 0 448.455 -0.500 20 0 IBADRN COc1ccc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC000580100988 1070912689 /nfs/dbraw/zinc/91/26/89/1070912689.db2.gz ZHIRFXWJIPHYSN-LBPRGKRZSA-N 0 0 448.455 -0.500 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@@H]2CCOC2)CC1)N1CCOCC1 ZINC000580101581 1070912427 /nfs/dbraw/zinc/91/24/27/1070912427.db2.gz YVYLTCZTXYCTKO-INIZCTEOSA-N 0 0 443.508 -0.183 20 0 IBADRN O=C(C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@H]2CCOC2)CC1)N1CCOCC1 ZINC000580101582 1070912541 /nfs/dbraw/zinc/91/25/41/1070912541.db2.gz YVYLTCZTXYCTKO-MRXNPFEDSA-N 0 0 443.508 -0.183 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2cccc(C)c2)N2CCOCC2)CC1 ZINC000580103667 1070912934 /nfs/dbraw/zinc/91/29/34/1070912934.db2.gz ODIUHOKMNSBHIM-IBGZPJMESA-N 0 0 432.521 -0.198 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@H](c2cccc(C)c2)N2CCOCC2)CC1 ZINC000580103669 1070912667 /nfs/dbraw/zinc/91/26/67/1070912667.db2.gz ODIUHOKMNSBHIM-LJQANCHMSA-N 0 0 432.521 -0.198 20 0 IBADRN COc1cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc(S(C)(=O)=O)c1 ZINC000580107541 1070913613 /nfs/dbraw/zinc/91/36/13/1070913613.db2.gz HKYDCAOFCJCXCB-UHFFFAOYSA-N 0 0 435.462 -0.125 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)C1 ZINC000580108386 1070913652 /nfs/dbraw/zinc/91/36/52/1070913652.db2.gz KXLBICPQNNBBFV-AWEZNQCLSA-N 0 0 434.559 -0.836 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)C1 ZINC000580108389 1070913361 /nfs/dbraw/zinc/91/33/61/1070913361.db2.gz KXLBICPQNNBBFV-CQSZACIVSA-N 0 0 434.559 -0.836 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000580109535 1070912868 /nfs/dbraw/zinc/91/28/68/1070912868.db2.gz CTTBQBQTABMZJV-UHFFFAOYSA-N 0 0 433.490 -0.276 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1)S(C)(=O)=O ZINC000580111255 1070913467 /nfs/dbraw/zinc/91/34/67/1070913467.db2.gz KCCPIQGJBUGLIE-AWEZNQCLSA-N 0 0 442.513 -0.751 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1)S(C)(=O)=O ZINC000580111256 1070913375 /nfs/dbraw/zinc/91/33/75/1070913375.db2.gz KCCPIQGJBUGLIE-CQSZACIVSA-N 0 0 442.513 -0.751 20 0 IBADRN CSc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000580112916 1070913599 /nfs/dbraw/zinc/91/35/99/1070913599.db2.gz MEFREWLMHBBVPV-UHFFFAOYSA-N 0 0 448.545 -0.403 20 0 IBADRN Cn1c(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nc2cccc(Cl)c21 ZINC000580114802 1070913521 /nfs/dbraw/zinc/91/35/21/1070913521.db2.gz IVXXMZIGXBDDCG-UHFFFAOYSA-N 0 0 445.871 -0.800 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000580115274 1070913931 /nfs/dbraw/zinc/91/39/31/1070913931.db2.gz SKOGPVRYMRMVTJ-AWEZNQCLSA-N 0 0 434.497 -0.954 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000580115275 1070914397 /nfs/dbraw/zinc/91/43/97/1070914397.db2.gz SKOGPVRYMRMVTJ-CQSZACIVSA-N 0 0 434.497 -0.954 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2ccc(C)cc2N2CCOCC2)CC1 ZINC000580115950 1070913659 /nfs/dbraw/zinc/91/36/59/1070913659.db2.gz CEIYXOCANGZNOY-UHFFFAOYSA-N 0 0 438.550 -0.058 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000580117544 1070913395 /nfs/dbraw/zinc/91/33/95/1070913395.db2.gz PXFKGYHBEFXPBK-DLBZAZTESA-N 0 0 448.524 -0.728 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000580117546 1070914239 /nfs/dbraw/zinc/91/42/39/1070914239.db2.gz PXFKGYHBEFXPBK-IAGOWNOFSA-N 0 0 448.524 -0.728 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000580117548 1070914342 /nfs/dbraw/zinc/91/43/42/1070914342.db2.gz PXFKGYHBEFXPBK-IRXDYDNUSA-N 0 0 448.524 -0.728 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000580117549 1070913883 /nfs/dbraw/zinc/91/38/83/1070913883.db2.gz PXFKGYHBEFXPBK-SJORKVTESA-N 0 0 448.524 -0.728 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000580118209 1070914002 /nfs/dbraw/zinc/91/40/02/1070914002.db2.gz ASLZSDVBXPVBDK-UHFFFAOYSA-N 0 0 427.527 -0.036 20 0 IBADRN COc1ccc(CNC(=O)Cn2ccc3c2n(C)c(=O)n(C)c3=O)cc1S(N)(=O)=O ZINC000580120311 1070914151 /nfs/dbraw/zinc/91/41/51/1070914151.db2.gz YWXOZRZCRGSGAW-UHFFFAOYSA-N 0 0 435.462 -0.989 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000580120584 1070914221 /nfs/dbraw/zinc/91/42/21/1070914221.db2.gz DQFUYPYYIBFBQL-UHFFFAOYSA-N 0 0 438.506 -0.840 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCS(N)(=O)=O ZINC000580127715 1070914857 /nfs/dbraw/zinc/91/48/57/1070914857.db2.gz YLWGFSCQRJUFIJ-UHFFFAOYSA-N 0 0 434.540 -0.960 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000580135878 1070915526 /nfs/dbraw/zinc/91/55/26/1070915526.db2.gz WZWHBUIZQCIJNT-UHFFFAOYSA-N 0 0 436.437 -0.188 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CNS(=O)(=O)c2cccc(Br)c2)nn1 ZINC000580136309 1070915549 /nfs/dbraw/zinc/91/55/49/1070915549.db2.gz QNZOJPFLDXWQDG-UHFFFAOYSA-N 0 0 446.283 -0.078 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cn1C ZINC000580140181 1070915477 /nfs/dbraw/zinc/91/54/77/1070915477.db2.gz AJTOWPIXWIZLSB-UHFFFAOYSA-N 0 0 431.496 -0.380 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000580152148 1070915890 /nfs/dbraw/zinc/91/58/90/1070915890.db2.gz XSMHWHRCHRKNBU-INIZCTEOSA-N 0 0 439.490 -0.534 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000580152149 1070915722 /nfs/dbraw/zinc/91/57/22/1070915722.db2.gz XSMHWHRCHRKNBU-MRXNPFEDSA-N 0 0 439.490 -0.534 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000580170514 1071317346 /nfs/dbraw/zinc/31/73/46/1071317346.db2.gz WTOYMTWUBGCHLL-UHFFFAOYSA-N 0 0 438.488 -0.153 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(c3ccccc3)CC1)CC2 ZINC000580176254 1070916272 /nfs/dbraw/zinc/91/62/72/1070916272.db2.gz OVQXYNLHHVYWRX-INIZCTEOSA-N 0 0 425.493 -0.517 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCC(=O)N1CCN(c3ccccc3)CC1)CC2 ZINC000580176255 1070916668 /nfs/dbraw/zinc/91/66/68/1070916668.db2.gz OVQXYNLHHVYWRX-MRXNPFEDSA-N 0 0 425.493 -0.517 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000580177186 1070916609 /nfs/dbraw/zinc/91/66/09/1070916609.db2.gz DUIDSXJIXBMNMZ-UHFFFAOYSA-N 0 0 446.493 -0.676 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000580177500 1070916458 /nfs/dbraw/zinc/91/64/58/1070916458.db2.gz VMZNPMUMFHBEDI-UHFFFAOYSA-N 0 0 445.523 -0.156 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000580178138 1070916346 /nfs/dbraw/zinc/91/63/46/1070916346.db2.gz KQWPWXUHCDVGGK-AWEZNQCLSA-N 0 0 446.551 -0.289 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000580178139 1070916502 /nfs/dbraw/zinc/91/65/02/1070916502.db2.gz KQWPWXUHCDVGGK-CQSZACIVSA-N 0 0 446.551 -0.289 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccsc4)CC3)C2=O)n(C)n1 ZINC000580178678 1070916714 /nfs/dbraw/zinc/91/67/14/1070916714.db2.gz MMILXHRNBGALHF-HNNXBMFYSA-N 0 0 444.517 -0.004 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccsc4)CC3)C2=O)n(C)n1 ZINC000580178679 1070916388 /nfs/dbraw/zinc/91/63/88/1070916388.db2.gz MMILXHRNBGALHF-OAHLLOKOSA-N 0 0 444.517 -0.004 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2=O)C[C@H]1c1ncc[nH]1 ZINC000580178923 1070916518 /nfs/dbraw/zinc/91/65/18/1070916518.db2.gz VCVKIYFNMIVIHZ-CVEARBPZSA-N 0 0 442.524 -0.031 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2=O)C[C@H]1c1ncc[nH]1 ZINC000580178926 1070916587 /nfs/dbraw/zinc/91/65/87/1070916587.db2.gz VCVKIYFNMIVIHZ-HOTGVXAUSA-N 0 0 442.524 -0.031 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000580178927 1070916418 /nfs/dbraw/zinc/91/64/18/1070916418.db2.gz VCVKIYFNMIVIHZ-HZPDHXFCSA-N 0 0 442.524 -0.031 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000580178928 1070917032 /nfs/dbraw/zinc/91/70/32/1070917032.db2.gz VCVKIYFNMIVIHZ-JKSUJKDBSA-N 0 0 442.524 -0.031 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cnn(C)c2C(F)(F)F)CC1 ZINC000580179376 1070916568 /nfs/dbraw/zinc/91/65/68/1070916568.db2.gz MNCBKKQIDKDCON-UHFFFAOYSA-N 0 0 426.421 -0.282 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(OC)n1 ZINC000580179961 1070917296 /nfs/dbraw/zinc/91/72/96/1070917296.db2.gz YSTRQGDEJUTUBL-UHFFFAOYSA-N 0 0 429.499 -0.497 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)OC)Oc2ccccc21 ZINC000580180171 1071318953 /nfs/dbraw/zinc/31/89/53/1071318953.db2.gz WOUNUMVOTADGEP-HUBLWGQQSA-N 0 0 435.433 -0.474 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)N1C[C@H](C(=O)OC)Oc2ccccc21 ZINC000580180172 1070917196 /nfs/dbraw/zinc/91/71/96/1070917196.db2.gz WOUNUMVOTADGEP-SLEUVZQESA-N 0 0 435.433 -0.474 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)N1C[C@H](C(=O)OC)Oc2ccccc21 ZINC000580180173 1070917313 /nfs/dbraw/zinc/91/73/13/1070917313.db2.gz WOUNUMVOTADGEP-TYNCELHUSA-N 0 0 435.433 -0.474 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)N1C[C@@H](C(=O)OC)Oc2ccccc21 ZINC000580180174 1071318936 /nfs/dbraw/zinc/31/89/36/1071318936.db2.gz WOUNUMVOTADGEP-XUJVJEKNSA-N 0 0 435.433 -0.474 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2[nH]c(CN3CCN(S(N)(=O)=O)CC3)nc2c1 ZINC000580204465 1070917394 /nfs/dbraw/zinc/91/73/94/1070917394.db2.gz JZVJYOZQQVKCJX-UHFFFAOYSA-N 0 0 430.556 -0.086 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000580206397 1070917281 /nfs/dbraw/zinc/91/72/81/1070917281.db2.gz CZVCPCDWOKFOKM-UHFFFAOYSA-N 0 0 440.928 -0.038 20 0 IBADRN CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000580217575 1070917124 /nfs/dbraw/zinc/91/71/24/1070917124.db2.gz PSENQKIDIDEMRD-CYBMUJFWSA-N 0 0 427.531 -0.821 20 0 IBADRN CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000580217576 1070917346 /nfs/dbraw/zinc/91/73/46/1070917346.db2.gz PSENQKIDIDEMRD-ZDUSSCGKSA-N 0 0 427.531 -0.821 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCc2cc[nH]c(=O)c2C1 ZINC000580220377 1070917214 /nfs/dbraw/zinc/91/72/14/1070917214.db2.gz IFRLJNKOAQITKO-UHFFFAOYSA-N 0 0 425.493 -0.210 20 0 IBADRN CN(C[C@@H]1CCCO1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000580220587 1070917383 /nfs/dbraw/zinc/91/73/83/1070917383.db2.gz QMUOWFFEAFBSGW-INIZCTEOSA-N 0 0 425.507 -0.039 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@H]1CCCCO1 ZINC000580220990 1070917051 /nfs/dbraw/zinc/91/70/51/1070917051.db2.gz HEXBXGVMXMRCRS-HNNXBMFYSA-N 0 0 446.516 -0.679 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1CCCCO1 ZINC000580220991 1070918195 /nfs/dbraw/zinc/91/81/95/1070918195.db2.gz HEXBXGVMXMRCRS-OAHLLOKOSA-N 0 0 446.516 -0.679 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)NCCNC(=O)c3cnccn3)CC2=O)c1 ZINC000580227462 1071323967 /nfs/dbraw/zinc/32/39/67/1071323967.db2.gz IIOIXURBSHIIDN-CYBMUJFWSA-N 0 0 426.433 -0.747 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)NCCNC(=O)c3cnccn3)CC2=O)c1 ZINC000580227463 1071323946 /nfs/dbraw/zinc/32/39/46/1071323946.db2.gz IIOIXURBSHIIDN-ZDUSSCGKSA-N 0 0 426.433 -0.747 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3Sc2nnnn2-c2ccccc2)[C@H](O)[C@@H]1O ZINC000580229124 1070918037 /nfs/dbraw/zinc/91/80/37/1070918037.db2.gz SVMBJGHVNZXPDO-XNIJJKJLSA-N 0 0 428.434 -0.435 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(OC)c1 ZINC000580233714 1070917901 /nfs/dbraw/zinc/91/79/01/1070917901.db2.gz KAPZTNDYUJVNRJ-UHFFFAOYSA-N 0 0 438.462 -0.454 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)c1ccccc1 ZINC000580235144 1070918800 /nfs/dbraw/zinc/91/88/00/1070918800.db2.gz SPCGWLJGIZIQFH-GOSISDBHSA-N 0 0 429.521 -0.253 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)c1ccccc1 ZINC000580235145 1070918549 /nfs/dbraw/zinc/91/85/49/1070918549.db2.gz SPCGWLJGIZIQFH-SFHVURJKSA-N 0 0 429.521 -0.253 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000580235756 1070918606 /nfs/dbraw/zinc/91/86/06/1070918606.db2.gz OXPHUIMBBSCPJR-UHFFFAOYSA-N 0 0 444.491 -0.777 20 0 IBADRN COC(=O)C(C)(C)CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000580238092 1070917773 /nfs/dbraw/zinc/91/77/73/1070917773.db2.gz SDHAVRQRYRFVGJ-UHFFFAOYSA-N 0 0 437.496 -0.786 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCCN(c3cccnn3)CC2)C1=O ZINC000580238680 1070917868 /nfs/dbraw/zinc/91/78/68/1070917868.db2.gz SEJYQZOGHKVDHX-INIZCTEOSA-N 0 0 440.508 -0.444 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCCN(c3cccnn3)CC2)C1=O ZINC000580238681 1070917849 /nfs/dbraw/zinc/91/78/49/1070917849.db2.gz SEJYQZOGHKVDHX-MRXNPFEDSA-N 0 0 440.508 -0.444 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000580239506 1071325120 /nfs/dbraw/zinc/32/51/20/1071325120.db2.gz IWKUAEKRCAKIBY-GFCCVEGCSA-N 0 0 444.535 -0.148 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000580239577 1071324866 /nfs/dbraw/zinc/32/48/66/1071324866.db2.gz IWKUAEKRCAKIBY-LBPRGKRZSA-N 0 0 444.535 -0.148 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)n1 ZINC000580241407 1070918180 /nfs/dbraw/zinc/91/81/80/1070918180.db2.gz OECUZNSGGKTAED-AWEZNQCLSA-N 0 0 446.512 -0.016 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)n1 ZINC000580241408 1070918151 /nfs/dbraw/zinc/91/81/51/1070918151.db2.gz OECUZNSGGKTAED-CQSZACIVSA-N 0 0 446.512 -0.016 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(Cc2ncc[nH]2)CC1 ZINC000580244390 1071324848 /nfs/dbraw/zinc/32/48/48/1071324848.db2.gz CVSQROYQWPHHRP-UHFFFAOYSA-N 0 0 431.472 -0.259 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C1=O ZINC000580245304 1070918753 /nfs/dbraw/zinc/91/87/53/1070918753.db2.gz IMYAHCLUNYZHOI-QWHCGFSZSA-N 0 0 449.430 -0.351 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C1=O ZINC000580245305 1070918633 /nfs/dbraw/zinc/91/86/33/1070918633.db2.gz IMYAHCLUNYZHOI-STQMWFEESA-N 0 0 449.430 -0.351 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)o1 ZINC000580245412 1071325157 /nfs/dbraw/zinc/32/51/57/1071325157.db2.gz MTQGMCXZSAQWKI-UHFFFAOYSA-N 0 0 444.492 -0.226 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC000580247357 1070918831 /nfs/dbraw/zinc/91/88/31/1070918831.db2.gz IRYIYRILKMGSNO-AWEZNQCLSA-N 0 0 427.527 -0.094 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC000580247361 1070918701 /nfs/dbraw/zinc/91/87/01/1070918701.db2.gz IRYIYRILKMGSNO-CQSZACIVSA-N 0 0 427.527 -0.094 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)CNS(=O)(=O)c2cn(C)cn2)c1 ZINC000580248553 1070918581 /nfs/dbraw/zinc/91/85/81/1070918581.db2.gz MUPKAYRTMDOHKD-UHFFFAOYSA-N 0 0 429.524 -0.299 20 0 IBADRN Cc1ccc(C(=O)NCCOCCS(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000580249980 1070918594 /nfs/dbraw/zinc/91/85/94/1070918594.db2.gz PWUPAFWTVUASSQ-UHFFFAOYSA-N 0 0 435.524 -0.949 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)c(OC)n1 ZINC000580252482 1073344247 /nfs/dbraw/zinc/34/42/47/1073344247.db2.gz DTXQBXLZBUWTRW-UHFFFAOYSA-N 0 0 445.480 0.348 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000580252773 1070918842 /nfs/dbraw/zinc/91/88/42/1070918842.db2.gz HPAVMDPAAYOBGL-UHFFFAOYSA-N 0 0 449.527 -0.022 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCCN(c2ccccc2)CC1 ZINC000580255352 1070919565 /nfs/dbraw/zinc/91/95/65/1070919565.db2.gz JLJLJAKLPMYWRP-UHFFFAOYSA-N 0 0 435.506 -0.051 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000580255559 1070918737 /nfs/dbraw/zinc/91/87/37/1070918737.db2.gz UYXUVKNPOROFKX-CMPLNLGQSA-N 0 0 437.478 -0.714 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000580255560 1070918817 /nfs/dbraw/zinc/91/88/17/1070918817.db2.gz UYXUVKNPOROFKX-JQWIXIFHSA-N 0 0 437.478 -0.714 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000580255561 1070918768 /nfs/dbraw/zinc/91/87/68/1070918768.db2.gz UYXUVKNPOROFKX-PWSUYJOCSA-N 0 0 437.478 -0.714 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000580255562 1070918722 /nfs/dbraw/zinc/91/87/22/1070918722.db2.gz UYXUVKNPOROFKX-ZYHUDNBSSA-N 0 0 437.478 -0.714 20 0 IBADRN CCOC1CC(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)(N2CCOCC2)C1 ZINC000580255866 1071324949 /nfs/dbraw/zinc/32/49/49/1071324949.db2.gz IOLCKQJLKDOHNS-UHFFFAOYSA-N 0 0 447.602 -0.220 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000580257953 1073343353 /nfs/dbraw/zinc/34/33/53/1073343353.db2.gz FBMOALSCUPBVSS-UHFFFAOYSA-N 0 0 430.465 0.302 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cccc2c1OCC(=O)N2 ZINC000580262912 1070919652 /nfs/dbraw/zinc/91/96/52/1070919652.db2.gz UOEDBFQGLJAEMA-UHFFFAOYSA-N 0 0 425.449 -0.316 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)n1 ZINC000580263308 1073303942 /nfs/dbraw/zinc/30/39/42/1073303942.db2.gz IBOSFRAWPDJGBY-UHFFFAOYSA-N 0 0 438.444 0.555 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000580264014 1070919633 /nfs/dbraw/zinc/91/96/33/1070919633.db2.gz KRQYBTMZBBZRCJ-UHFFFAOYSA-N 0 0 427.479 -0.543 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCN3CCO[C@@H](C)C3)n2)c1C ZINC000580271817 1073339460 /nfs/dbraw/zinc/33/94/60/1073339460.db2.gz ATMZRTQCOICLQG-AWEZNQCLSA-N 0 0 431.497 0.122 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)NCCN3CCO[C@H](C)C3)n2)c1C ZINC000580271818 1070919487 /nfs/dbraw/zinc/91/94/87/1070919487.db2.gz ATMZRTQCOICLQG-CQSZACIVSA-N 0 0 431.497 0.122 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000580276531 1070919199 /nfs/dbraw/zinc/91/91/99/1070919199.db2.gz KIPRJTXVVYJJFY-UHFFFAOYSA-N 0 0 442.432 -0.290 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cc(Cl)c(-n3cnnn3)cc2OC)CC1 ZINC000580295801 1071330131 /nfs/dbraw/zinc/33/01/31/1071330131.db2.gz PYWGBOPTYDQBQG-UHFFFAOYSA-N 0 0 429.890 -0.017 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000580299035 1070920249 /nfs/dbraw/zinc/92/02/49/1070920249.db2.gz UTOLRCYSZOLWHH-UHFFFAOYSA-N 0 0 435.569 -0.044 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)C1 ZINC000580303043 1070920344 /nfs/dbraw/zinc/92/03/44/1070920344.db2.gz AVDFSSVMXUIIHH-CVEARBPZSA-N 0 0 428.486 -0.795 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)C1 ZINC000580303044 1070920167 /nfs/dbraw/zinc/92/01/67/1070920167.db2.gz AVDFSSVMXUIIHH-HOTGVXAUSA-N 0 0 428.486 -0.795 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)C1 ZINC000580303045 1071333384 /nfs/dbraw/zinc/33/33/84/1071333384.db2.gz AVDFSSVMXUIIHH-HZPDHXFCSA-N 0 0 428.486 -0.795 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)C1 ZINC000580303046 1071333151 /nfs/dbraw/zinc/33/31/51/1071333151.db2.gz AVDFSSVMXUIIHH-JKSUJKDBSA-N 0 0 428.486 -0.795 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(c3ccc(F)cc3)CCC2)CC1 ZINC000580303218 1070920461 /nfs/dbraw/zinc/92/04/61/1070920461.db2.gz LGJQWZZUOZRABD-UHFFFAOYSA-N 0 0 429.456 -0.279 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)C)CC1 ZINC000580320933 1070921791 /nfs/dbraw/zinc/92/17/91/1070921791.db2.gz GHPOZNSSJBLZEB-INIZCTEOSA-N 0 0 442.586 -0.279 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)C)CC1 ZINC000580320934 1070921764 /nfs/dbraw/zinc/92/17/64/1070921764.db2.gz GHPOZNSSJBLZEB-MRXNPFEDSA-N 0 0 442.586 -0.279 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)c1 ZINC000580338259 1070922791 /nfs/dbraw/zinc/92/27/91/1070922791.db2.gz REJOIMMOBXQJRY-UHFFFAOYSA-N 0 0 445.501 -0.410 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000580341948 1070923676 /nfs/dbraw/zinc/92/36/76/1070923676.db2.gz MPOPYXBVBOLYIQ-KRWDZBQOSA-N 0 0 429.521 -0.031 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000580341949 1070923658 /nfs/dbraw/zinc/92/36/58/1070923658.db2.gz MPOPYXBVBOLYIQ-QGZVFWFLSA-N 0 0 429.521 -0.031 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000580346274 1070923533 /nfs/dbraw/zinc/92/35/33/1070923533.db2.gz AUXOPOPEAOBODK-CVEARBPZSA-N 0 0 440.522 -0.742 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000580346275 1070923609 /nfs/dbraw/zinc/92/36/09/1070923609.db2.gz AUXOPOPEAOBODK-HOTGVXAUSA-N 0 0 440.522 -0.742 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000580346276 1070923509 /nfs/dbraw/zinc/92/35/09/1070923509.db2.gz AUXOPOPEAOBODK-HZPDHXFCSA-N 0 0 440.522 -0.742 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000580346277 1070923550 /nfs/dbraw/zinc/92/35/50/1070923550.db2.gz AUXOPOPEAOBODK-JKSUJKDBSA-N 0 0 440.522 -0.742 20 0 IBADRN COCCN1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1=O ZINC000580348431 1070923475 /nfs/dbraw/zinc/92/34/75/1070923475.db2.gz AFLPCRVUYDVBRX-HNNXBMFYSA-N 0 0 441.506 -0.497 20 0 IBADRN COCCN1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1=O ZINC000580348432 1070923367 /nfs/dbraw/zinc/92/33/67/1070923367.db2.gz AFLPCRVUYDVBRX-OAHLLOKOSA-N 0 0 441.506 -0.497 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000580350906 1070924130 /nfs/dbraw/zinc/92/41/30/1070924130.db2.gz IQAWFSJUURDKKK-UHFFFAOYSA-N 0 0 445.520 -0.992 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1=O ZINC000580351295 1070924347 /nfs/dbraw/zinc/92/43/47/1070924347.db2.gz YLRUEBAENHBQRI-AWEZNQCLSA-N 0 0 430.914 -0.140 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1=O ZINC000580351296 1070924410 /nfs/dbraw/zinc/92/44/10/1070924410.db2.gz YLRUEBAENHBQRI-CQSZACIVSA-N 0 0 430.914 -0.140 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000580352320 1070924434 /nfs/dbraw/zinc/92/44/34/1070924434.db2.gz PKUCPTYNLYNAGC-HNNXBMFYSA-N 0 0 428.541 -0.187 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000580352321 1070924320 /nfs/dbraw/zinc/92/43/20/1070924320.db2.gz PKUCPTYNLYNAGC-OAHLLOKOSA-N 0 0 428.541 -0.187 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCN(c2cc(C)nn2C)C1=O)[C@@H](C)CS(=O)(=O)CC ZINC000580353177 1070924903 /nfs/dbraw/zinc/92/49/03/1070924903.db2.gz ZGRAHVBRRVGUQT-KBPBESRZSA-N 0 0 427.527 -0.378 20 0 IBADRN CCN(C(=O)C(=O)N[C@H]1CCN(c2cc(C)nn2C)C1=O)[C@H](C)CS(=O)(=O)CC ZINC000580353178 1070925037 /nfs/dbraw/zinc/92/50/37/1070925037.db2.gz ZGRAHVBRRVGUQT-KGLIPLIRSA-N 0 0 427.527 -0.378 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCN(c2cc(C)nn2C)C1=O)[C@@H](C)CS(=O)(=O)CC ZINC000580353179 1070925100 /nfs/dbraw/zinc/92/51/00/1070925100.db2.gz ZGRAHVBRRVGUQT-UONOGXRCSA-N 0 0 427.527 -0.378 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1CCN(c2cc(C)nn2C)C1=O)[C@H](C)CS(=O)(=O)CC ZINC000580353180 1070924965 /nfs/dbraw/zinc/92/49/65/1070924965.db2.gz ZGRAHVBRRVGUQT-ZIAGYGMSSA-N 0 0 427.527 -0.378 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000580354411 1070924245 /nfs/dbraw/zinc/92/42/45/1070924245.db2.gz BIZPCNIULINEEA-HNNXBMFYSA-N 0 0 438.510 -0.952 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000580354412 1070924303 /nfs/dbraw/zinc/92/43/03/1070924303.db2.gz BIZPCNIULINEEA-OAHLLOKOSA-N 0 0 438.510 -0.952 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(C3CCCCC3)C2=O)C1 ZINC000580355321 1070924877 /nfs/dbraw/zinc/92/48/77/1070924877.db2.gz LIYKCUMPNGJWCP-CVEARBPZSA-N 0 0 428.555 -0.034 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(C3CCCCC3)C2=O)C1 ZINC000580355322 1070925085 /nfs/dbraw/zinc/92/50/85/1070925085.db2.gz LIYKCUMPNGJWCP-HOTGVXAUSA-N 0 0 428.555 -0.034 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(C3CCCCC3)C2=O)C1 ZINC000580355323 1070925015 /nfs/dbraw/zinc/92/50/15/1070925015.db2.gz LIYKCUMPNGJWCP-HZPDHXFCSA-N 0 0 428.555 -0.034 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(C3CCCCC3)C2=O)C1 ZINC000580355324 1070925047 /nfs/dbraw/zinc/92/50/47/1070925047.db2.gz LIYKCUMPNGJWCP-JKSUJKDBSA-N 0 0 428.555 -0.034 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NC[C@H]3CC(=O)N(C4CC4)C3)CC2)C1 ZINC000580359895 1070925729 /nfs/dbraw/zinc/92/57/29/1070925729.db2.gz BYVTYSFKIJMECS-FGTMMUONSA-N 0 0 447.580 -0.238 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NC[C@@H]3CC(=O)N(C4CC4)C3)CC2)C1 ZINC000580359896 1070925870 /nfs/dbraw/zinc/92/58/70/1070925870.db2.gz BYVTYSFKIJMECS-KSZLIROESA-N 0 0 447.580 -0.238 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NC[C@@H]3CC(=O)N(C4CC4)C3)CC2)C1 ZINC000580359897 1070925613 /nfs/dbraw/zinc/92/56/13/1070925613.db2.gz BYVTYSFKIJMECS-KURKYZTESA-N 0 0 447.580 -0.238 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NC[C@H]3CC(=O)N(C4CC4)C3)CC2)C1 ZINC000580359898 1070925881 /nfs/dbraw/zinc/92/58/81/1070925881.db2.gz BYVTYSFKIJMECS-KZNAEPCWSA-N 0 0 447.580 -0.238 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)C2)nc1 ZINC000580360856 1070925136 /nfs/dbraw/zinc/92/51/36/1070925136.db2.gz NVVJINACXKVCHL-GFCCVEGCSA-N 0 0 426.495 -0.545 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)C2)nc1 ZINC000580360857 1070925161 /nfs/dbraw/zinc/92/51/61/1070925161.db2.gz NVVJINACXKVCHL-LBPRGKRZSA-N 0 0 426.495 -0.545 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CC[C@@H](S(N)(=O)=O)C3)C2=O)c(OC)c1 ZINC000580360893 1070924854 /nfs/dbraw/zinc/92/48/54/1070924854.db2.gz CVKFZKHURBATDK-CABCVRRESA-N 0 0 426.495 -0.123 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CC[C@H](S(N)(=O)=O)C3)C2=O)c(OC)c1 ZINC000580360894 1070924750 /nfs/dbraw/zinc/92/47/50/1070924750.db2.gz CVKFZKHURBATDK-GJZGRUSLSA-N 0 0 426.495 -0.123 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CC[C@@H](S(N)(=O)=O)C3)C2=O)c(OC)c1 ZINC000580360895 1070925670 /nfs/dbraw/zinc/92/56/70/1070925670.db2.gz CVKFZKHURBATDK-HUUCEWRRSA-N 0 0 426.495 -0.123 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CC[C@H](S(N)(=O)=O)C3)C2=O)c(OC)c1 ZINC000580360896 1070925640 /nfs/dbraw/zinc/92/56/40/1070925640.db2.gz CVKFZKHURBATDK-LSDHHAIUSA-N 0 0 426.495 -0.123 20 0 IBADRN CCOc1cc(C)ccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000580367576 1070926361 /nfs/dbraw/zinc/92/63/61/1070926361.db2.gz CJVDQGBGXALKMT-UHFFFAOYSA-N 0 0 446.504 -0.460 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)cn1C ZINC000580368243 1070926427 /nfs/dbraw/zinc/92/64/27/1070926427.db2.gz ZUMFLKWJYWIMKR-AWEZNQCLSA-N 0 0 441.535 -0.414 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000580368412 1070927258 /nfs/dbraw/zinc/92/72/58/1070927258.db2.gz MBVXPMRNBANHNC-UHFFFAOYSA-N 0 0 444.510 -0.170 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1sccc1S(=O)(=O)N1CCSCC1 ZINC000580369861 1070926376 /nfs/dbraw/zinc/92/63/76/1070926376.db2.gz IGZFOZLVTUEPGO-UHFFFAOYSA-N 0 0 443.594 -0.480 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c2)CC1 ZINC000580370419 1070926942 /nfs/dbraw/zinc/92/69/42/1070926942.db2.gz ICFFGSJAUPUTAY-UHFFFAOYSA-N 0 0 439.538 -0.703 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000580372087 1070927076 /nfs/dbraw/zinc/92/70/76/1070927076.db2.gz HLWSGIYSRAZGEF-GOSISDBHSA-N 0 0 438.462 -0.658 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000580372088 1070926975 /nfs/dbraw/zinc/92/69/75/1070926975.db2.gz HLWSGIYSRAZGEF-SFHVURJKSA-N 0 0 438.462 -0.658 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(COC3CCOCC3)cc2)C1 ZINC000580372358 1070927325 /nfs/dbraw/zinc/92/73/25/1070927325.db2.gz DZXZUBZBBFHXPT-KRWDZBQOSA-N 0 0 425.507 -0.112 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(COC3CCOCC3)cc2)C1 ZINC000580372360 1070927192 /nfs/dbraw/zinc/92/71/92/1070927192.db2.gz DZXZUBZBBFHXPT-QGZVFWFLSA-N 0 0 425.507 -0.112 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)cn1 ZINC000580374027 1070927307 /nfs/dbraw/zinc/92/73/07/1070927307.db2.gz WFWSJVKLVGKEPV-AWEZNQCLSA-N 0 0 427.469 -0.679 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)cn1 ZINC000580374028 1070927232 /nfs/dbraw/zinc/92/72/32/1070927232.db2.gz WFWSJVKLVGKEPV-CQSZACIVSA-N 0 0 427.469 -0.679 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(C(=O)C(C)C)CC3)C2)nc1 ZINC000580376642 1070927875 /nfs/dbraw/zinc/92/78/75/1070927875.db2.gz QWWFPAZHGMKWPE-UHFFFAOYSA-N 0 0 431.493 -0.110 20 0 IBADRN COc1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000580382391 1070928388 /nfs/dbraw/zinc/92/83/88/1070928388.db2.gz SFSNFKGEPZJBTR-UHFFFAOYSA-N 0 0 438.387 -0.268 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000580384107 1070929291 /nfs/dbraw/zinc/92/92/91/1070929291.db2.gz CVKCOYSQALMADW-UHFFFAOYSA-N 0 0 436.542 -0.514 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCn1cc(CO)nn1 ZINC000580384157 1070929024 /nfs/dbraw/zinc/92/90/24/1070929024.db2.gz GSXFYQUDICKRRM-UHFFFAOYSA-N 0 0 439.494 -0.380 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)cc1 ZINC000580394431 1070929333 /nfs/dbraw/zinc/92/93/33/1070929333.db2.gz KKEWBBGSJCEJJC-UHFFFAOYSA-N 0 0 446.489 -0.061 20 0 IBADRN C[C@H](c1cccnc1)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000580399417 1073312444 /nfs/dbraw/zinc/31/24/44/1073312444.db2.gz XJIDRHJSXUTTFS-GOSISDBHSA-N 0 0 441.536 0.454 20 0 IBADRN C[C@@H](c1cccnc1)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000580399418 1070929788 /nfs/dbraw/zinc/92/97/88/1070929788.db2.gz XJIDRHJSXUTTFS-SFHVURJKSA-N 0 0 441.536 0.454 20 0 IBADRN Cc1occc1-c1nnc(S(=O)(=O)CCCn2c(=O)c3c(ncn3C)n(C)c2=O)n1C ZINC000580399805 1070929878 /nfs/dbraw/zinc/92/98/78/1070929878.db2.gz CAIYQOIFBQSJII-UHFFFAOYSA-N 0 0 447.477 -0.005 20 0 IBADRN CC1(C)c2ccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc2NC1=O ZINC000580414068 1070930064 /nfs/dbraw/zinc/93/00/64/1070930064.db2.gz CHZSCTCBSUSSPV-HNNXBMFYSA-N 0 0 448.545 -0.023 20 0 IBADRN CC1(C)c2ccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc2NC1=O ZINC000580414074 1070929912 /nfs/dbraw/zinc/92/99/12/1070929912.db2.gz CHZSCTCBSUSSPV-OAHLLOKOSA-N 0 0 448.545 -0.023 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CC[C@](CO)(Cc3ccc(F)cc3)C2)n1 ZINC000580416940 1073303412 /nfs/dbraw/zinc/30/34/12/1073303412.db2.gz JXQVBPMAAAWLJW-NRFANRHFSA-N 0 0 431.468 0.503 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CC[C@@](CO)(Cc3ccc(F)cc3)C2)n1 ZINC000580416941 1070929983 /nfs/dbraw/zinc/92/99/83/1070929983.db2.gz JXQVBPMAAAWLJW-OAQYLSRUSA-N 0 0 431.468 0.503 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)C2)nn1 ZINC000580417484 1070930556 /nfs/dbraw/zinc/93/05/56/1070930556.db2.gz JBCHDHRHNKEXDB-DOTOQJQBSA-N 0 0 434.497 -0.449 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)C2)nn1 ZINC000580417485 1070930836 /nfs/dbraw/zinc/93/08/36/1070930836.db2.gz JBCHDHRHNKEXDB-NVXWUHKLSA-N 0 0 434.497 -0.449 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)C2)nn1 ZINC000580417486 1070930762 /nfs/dbraw/zinc/93/07/62/1070930762.db2.gz JBCHDHRHNKEXDB-RDJZCZTQSA-N 0 0 434.497 -0.449 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)C2)nn1 ZINC000580417487 1070930628 /nfs/dbraw/zinc/93/06/28/1070930628.db2.gz JBCHDHRHNKEXDB-WBVHZDCISA-N 0 0 434.497 -0.449 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1 ZINC000580418547 1070930498 /nfs/dbraw/zinc/93/04/98/1070930498.db2.gz KXXGVTMOXJONEQ-UHFFFAOYSA-N 0 0 434.474 -0.164 20 0 IBADRN COCCC(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000580426100 1070930742 /nfs/dbraw/zinc/93/07/42/1070930742.db2.gz NYMPECHZHAKOAF-HOTGVXAUSA-N 0 0 448.586 -0.337 20 0 IBADRN COCCC(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000580426101 1070930809 /nfs/dbraw/zinc/93/08/09/1070930809.db2.gz NYMPECHZHAKOAF-HZPDHXFCSA-N 0 0 448.586 -0.337 20 0 IBADRN COCCC(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000580426102 1070930533 /nfs/dbraw/zinc/93/05/33/1070930533.db2.gz NYMPECHZHAKOAF-IYBDPMFKSA-N 0 0 448.586 -0.337 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000580439150 1070931469 /nfs/dbraw/zinc/93/14/69/1070931469.db2.gz ALAYTEDBDJLCHU-UHFFFAOYSA-N 0 0 434.522 -0.711 20 0 IBADRN Cc1ocnc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000580439580 1070931514 /nfs/dbraw/zinc/93/15/14/1070931514.db2.gz JFPFOLLYQJYGIB-UHFFFAOYSA-N 0 0 436.494 -0.738 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCn3ccnc3)CC2)cs1 ZINC000580444630 1070931248 /nfs/dbraw/zinc/93/12/48/1070931248.db2.gz LQZMONXCVONCJB-UHFFFAOYSA-N 0 0 426.524 -0.313 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCC(Oc2cnccn2)CC1 ZINC000580455383 1070931225 /nfs/dbraw/zinc/93/12/25/1070931225.db2.gz DOKKYJMAIVCALR-UHFFFAOYSA-N 0 0 433.490 -0.059 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)C1 ZINC000580457279 1070931986 /nfs/dbraw/zinc/93/19/86/1070931986.db2.gz LQYJAVDSNUQGDS-BZUAXINKSA-N 0 0 432.587 -0.081 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@H](C)O[C@@H](C)C2)C1 ZINC000580457280 1070932066 /nfs/dbraw/zinc/93/20/66/1070932066.db2.gz LQYJAVDSNUQGDS-HRCADAONSA-N 0 0 432.587 -0.081 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000580457281 1070932204 /nfs/dbraw/zinc/93/22/04/1070932204.db2.gz LQYJAVDSNUQGDS-OWCLPIDISA-N 0 0 432.587 -0.081 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000580457282 1070931931 /nfs/dbraw/zinc/93/19/31/1070931931.db2.gz LQYJAVDSNUQGDS-XHSDSOJGSA-N 0 0 432.587 -0.081 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCCc1nnc2n1CCCCC2 ZINC000580457531 1070932127 /nfs/dbraw/zinc/93/21/27/1070932127.db2.gz XOPBTGYOUMBSDM-UHFFFAOYSA-N 0 0 430.513 -0.436 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1OC ZINC000580458083 1070931364 /nfs/dbraw/zinc/93/13/64/1070931364.db2.gz XYMSUZPZOVHVQF-UHFFFAOYSA-N 0 0 445.480 -0.542 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)Cc2nncn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000580458097 1070931492 /nfs/dbraw/zinc/93/14/92/1070931492.db2.gz YWCJLZVDQJPWQZ-UHFFFAOYSA-N 0 0 429.524 -0.035 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N[C@@H](C)Cn2cncn2)N2CCOCC2)cc1OC ZINC000580470102 1070932160 /nfs/dbraw/zinc/93/21/60/1070932160.db2.gz XTPFDYOANYZQRX-DOTOQJQBSA-N 0 0 446.508 -0.010 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N[C@H](C)Cn2cncn2)N2CCOCC2)cc1OC ZINC000580470103 1070931973 /nfs/dbraw/zinc/93/19/73/1070931973.db2.gz XTPFDYOANYZQRX-NVXWUHKLSA-N 0 0 446.508 -0.010 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N[C@@H](C)Cn2cncn2)N2CCOCC2)cc1OC ZINC000580470104 1070932146 /nfs/dbraw/zinc/93/21/46/1070932146.db2.gz XTPFDYOANYZQRX-RDJZCZTQSA-N 0 0 446.508 -0.010 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N[C@H](C)Cn2cncn2)N2CCOCC2)cc1OC ZINC000580470105 1070932048 /nfs/dbraw/zinc/93/20/48/1070932048.db2.gz XTPFDYOANYZQRX-WBVHZDCISA-N 0 0 446.508 -0.010 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000580471432 1070932634 /nfs/dbraw/zinc/93/26/34/1070932634.db2.gz RQOSUGHEAJTRCA-HNNXBMFYSA-N 0 0 431.493 -0.690 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000580471433 1070932657 /nfs/dbraw/zinc/93/26/57/1070932657.db2.gz RQOSUGHEAJTRCA-OAHLLOKOSA-N 0 0 431.493 -0.690 20 0 IBADRN CNC(=O)c1cc(Oc2cccc(NC(=O)C(=O)NCCN3CCNC(=O)C3)c2)ccn1 ZINC000580471449 1070932762 /nfs/dbraw/zinc/93/27/62/1070932762.db2.gz SKPYDLTVSWYBFI-UHFFFAOYSA-N 0 0 440.460 -0.280 20 0 IBADRN Cc1cc(Br)ccc1NC(=O)CCNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000580472210 1070933637 /nfs/dbraw/zinc/93/36/37/1070933637.db2.gz RXSIXMQIPIZACH-JTQLQIEISA-N 0 0 449.327 -0.004 20 0 IBADRN Cc1cc(Br)ccc1NC(=O)CCNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000580472211 1070933510 /nfs/dbraw/zinc/93/35/10/1070933510.db2.gz RXSIXMQIPIZACH-SNVBAGLBSA-N 0 0 449.327 -0.004 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)s1 ZINC000580472330 1070933439 /nfs/dbraw/zinc/93/34/39/1070933439.db2.gz SOKGNQRKIOKVSV-UHFFFAOYSA-N 0 0 428.536 -0.809 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC000580473452 1070933621 /nfs/dbraw/zinc/93/36/21/1070933621.db2.gz SMKRQZXXSVFPIN-HNNXBMFYSA-N 0 0 434.518 -0.283 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC000580473453 1070933630 /nfs/dbraw/zinc/93/36/30/1070933630.db2.gz SMKRQZXXSVFPIN-OAHLLOKOSA-N 0 0 434.518 -0.283 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccncc3OC[C@H]3CCOC3)c2c(=O)n(C)c1=O ZINC000580473636 1070933393 /nfs/dbraw/zinc/93/33/93/1070933393.db2.gz XLBOIIHDLUYZLW-AWEZNQCLSA-N 0 0 442.476 -0.050 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccncc3OC[C@@H]3CCOC3)c2c(=O)n(C)c1=O ZINC000580473637 1070933429 /nfs/dbraw/zinc/93/34/29/1070933429.db2.gz XLBOIIHDLUYZLW-CQSZACIVSA-N 0 0 442.476 -0.050 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CCN1CC(C)(C)O ZINC000580474546 1070933530 /nfs/dbraw/zinc/93/35/30/1070933530.db2.gz CCEJJEWQISUHJQ-AEFFLSMTSA-N 0 0 431.537 -0.008 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CCN1CC(C)(C)O ZINC000580474547 1070933585 /nfs/dbraw/zinc/93/35/85/1070933585.db2.gz CCEJJEWQISUHJQ-FUHWJXTLSA-N 0 0 431.537 -0.008 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CCN1CC(C)(C)O ZINC000580474548 1070933603 /nfs/dbraw/zinc/93/36/03/1070933603.db2.gz CCEJJEWQISUHJQ-SJLPKXTDSA-N 0 0 431.537 -0.008 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CCN1CC(C)(C)O ZINC000580474549 1070933548 /nfs/dbraw/zinc/93/35/48/1070933548.db2.gz CCEJJEWQISUHJQ-WMZOPIPTSA-N 0 0 431.537 -0.008 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1=O ZINC000580474973 1070933525 /nfs/dbraw/zinc/93/35/25/1070933525.db2.gz WCIGNDMFJDEJLL-GOSISDBHSA-N 0 0 437.478 -0.534 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1=O ZINC000580474974 1070933411 /nfs/dbraw/zinc/93/34/11/1070933411.db2.gz WCIGNDMFJDEJLL-SFHVURJKSA-N 0 0 437.478 -0.534 20 0 IBADRN O=C(CN1CCOCC(F)(F)C1)N1CCN(C(=O)CN2CCOCC(F)(F)C2)CC1 ZINC000580478181 1070934003 /nfs/dbraw/zinc/93/40/03/1070934003.db2.gz RZFSMGRDOAFEDR-UHFFFAOYSA-N 0 0 440.438 -0.408 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCC(c2nnc3n2CCCC3)CC1 ZINC000580483002 1070934054 /nfs/dbraw/zinc/93/40/54/1070934054.db2.gz QXDYQHHSYNSRRB-OAHLLOKOSA-N 0 0 438.554 -0.139 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000580487453 1070934676 /nfs/dbraw/zinc/93/46/76/1070934676.db2.gz XRGRBZAGBNEEQO-GFCCVEGCSA-N 0 0 437.927 -0.572 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000580487454 1070934782 /nfs/dbraw/zinc/93/47/82/1070934782.db2.gz XRGRBZAGBNEEQO-LBPRGKRZSA-N 0 0 437.927 -0.572 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000580488537 1070934733 /nfs/dbraw/zinc/93/47/33/1070934733.db2.gz VBRYHEOJHWWASO-UHFFFAOYSA-N 0 0 448.448 -0.138 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000580490475 1070934593 /nfs/dbraw/zinc/93/45/93/1070934593.db2.gz RTHULTGADPZVRP-CYBMUJFWSA-N 0 0 435.447 -0.722 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000580490476 1070934649 /nfs/dbraw/zinc/93/46/49/1070934649.db2.gz RTHULTGADPZVRP-ZDUSSCGKSA-N 0 0 435.447 -0.722 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000580491468 1070935194 /nfs/dbraw/zinc/93/51/94/1070935194.db2.gz LOJXWWKMRKZPFC-CYBMUJFWSA-N 0 0 437.565 -0.084 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000580491469 1070935229 /nfs/dbraw/zinc/93/52/29/1070935229.db2.gz LOJXWWKMRKZPFC-ZDUSSCGKSA-N 0 0 437.565 -0.084 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(c2ncc(Br)cc2S(N)(=O)=O)CC1 ZINC000580493693 1070934809 /nfs/dbraw/zinc/93/48/09/1070934809.db2.gz NGZOFCQEXLOINT-UHFFFAOYSA-N 0 0 442.361 -0.190 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCS(=O)(=O)NCC2CCC2)CC1 ZINC000580494500 1070935254 /nfs/dbraw/zinc/93/52/54/1070935254.db2.gz MHNDFNYTPSCSFO-UHFFFAOYSA-N 0 0 425.577 -0.770 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cn1C ZINC000580494846 1070935382 /nfs/dbraw/zinc/93/53/82/1070935382.db2.gz KMIOLWWJFCKZJQ-AWEZNQCLSA-N 0 0 443.526 -0.083 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cn1C ZINC000580494851 1070935208 /nfs/dbraw/zinc/93/52/08/1070935208.db2.gz KMIOLWWJFCKZJQ-CQSZACIVSA-N 0 0 443.526 -0.083 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(CCOc2ccccc2)CC1 ZINC000580497966 1070935276 /nfs/dbraw/zinc/93/52/76/1070935276.db2.gz XEHJORJNFOEHDF-QGZVFWFLSA-N 0 0 438.550 -0.250 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000580498194 1070935418 /nfs/dbraw/zinc/93/54/18/1070935418.db2.gz CMJCUBGBPYQRKU-UHFFFAOYSA-N 0 0 438.452 -0.739 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3CCO)CC2)C[C@@H](C)O1 ZINC000580498539 1070935457 /nfs/dbraw/zinc/93/54/57/1070935457.db2.gz UWDCWTCRKDTOOM-QBPKDAKJSA-N 0 0 446.570 -0.848 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3CCO)CC2)C[C@H](C)O1 ZINC000580498540 1070935516 /nfs/dbraw/zinc/93/55/16/1070935516.db2.gz UWDCWTCRKDTOOM-VVLHAWIVSA-N 0 0 446.570 -0.848 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3CCO)CC2)C[C@@H](C)O1 ZINC000580498541 1070935548 /nfs/dbraw/zinc/93/55/48/1070935548.db2.gz UWDCWTCRKDTOOM-WCXIOVBPSA-N 0 0 446.570 -0.848 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3CCO)CC2)C[C@H](C)O1 ZINC000580498542 1070935531 /nfs/dbraw/zinc/93/55/31/1070935531.db2.gz UWDCWTCRKDTOOM-YYIAUSFCSA-N 0 0 446.570 -0.848 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000580500251 1070935301 /nfs/dbraw/zinc/93/53/01/1070935301.db2.gz CKZPXHVGDKULQK-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC000580501614 1070935960 /nfs/dbraw/zinc/93/59/60/1070935960.db2.gz MNUFAGAKEPPXEE-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(C(=O)OC)c2F)CC1 ZINC000580502417 1070936068 /nfs/dbraw/zinc/93/60/68/1070936068.db2.gz UTTJFQLHAJGZAE-UHFFFAOYSA-N 0 0 442.419 -0.403 20 0 IBADRN COc1nc(N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)nc(N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)n1 ZINC000580505194 1070935841 /nfs/dbraw/zinc/93/58/41/1070935841.db2.gz GYGZZIBXMPHAJF-CYDGBPFRSA-N 0 0 433.556 -0.096 20 0 IBADRN COc1nc(N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)nc(N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)n1 ZINC000580505195 1070935863 /nfs/dbraw/zinc/93/58/63/1070935863.db2.gz GYGZZIBXMPHAJF-LPWJVIDDSA-N 0 0 433.556 -0.096 20 0 IBADRN COc1nc(N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)nc(N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)n1 ZINC000580505196 1070936106 /nfs/dbraw/zinc/93/61/06/1070936106.db2.gz GYGZZIBXMPHAJF-MPZDIEGVSA-N 0 0 433.556 -0.096 20 0 IBADRN COc1nc(N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)nc(N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)n1 ZINC000580505197 1070936053 /nfs/dbraw/zinc/93/60/53/1070936053.db2.gz GYGZZIBXMPHAJF-ZDEQEGDKSA-N 0 0 433.556 -0.096 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)NC(C)(CO)CO)cc2)CC1 ZINC000580511573 1070936120 /nfs/dbraw/zinc/93/61/20/1070936120.db2.gz JJIZLXTWWDACNW-UHFFFAOYSA-N 0 0 435.477 -0.100 20 0 IBADRN COCc1cc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc(C(=O)OC)c1 ZINC000580530918 1070936030 /nfs/dbraw/zinc/93/60/30/1070936030.db2.gz GPGCPHCMGNCGEQ-UHFFFAOYSA-N 0 0 448.476 -0.245 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1)N1CCOCC1 ZINC000580534222 1070935823 /nfs/dbraw/zinc/93/58/23/1070935823.db2.gz DZIVGGSOASFKCY-UHFFFAOYSA-N 0 0 447.467 -0.947 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000580536824 1070936607 /nfs/dbraw/zinc/93/66/07/1070936607.db2.gz UMKRLDYXFIOLAY-BFHYXJOUSA-N 0 0 439.431 -0.472 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000580536825 1070936541 /nfs/dbraw/zinc/93/65/41/1070936541.db2.gz UMKRLDYXFIOLAY-MCIONIFRSA-N 0 0 439.431 -0.472 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000580536826 1070936728 /nfs/dbraw/zinc/93/67/28/1070936728.db2.gz UMKRLDYXFIOLAY-MGPQQGTHSA-N 0 0 439.431 -0.472 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C1 ZINC000580536827 1070936708 /nfs/dbraw/zinc/93/67/08/1070936708.db2.gz UMKRLDYXFIOLAY-MJBXVCDLSA-N 0 0 439.431 -0.472 20 0 IBADRN CCC(CC)(NC(=O)C1CN(c2ccc(S(N)(=O)=O)cc2C(=O)OC)C1)C(N)=O ZINC000580543984 1073051888 /nfs/dbraw/zinc/05/18/88/1073051888.db2.gz AWSCQRGWFVGOIK-UHFFFAOYSA-N 0 0 426.495 -0.283 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000580564786 1070937512 /nfs/dbraw/zinc/93/75/12/1070937512.db2.gz RKCPHTMQLOUKMV-UHFFFAOYSA-N 0 0 447.583 -0.304 20 0 IBADRN Cn1nccc1[C@@H]1[C@H](C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC(=O)N1C ZINC000580579821 1070937265 /nfs/dbraw/zinc/93/72/65/1070937265.db2.gz WAJYJRJZBOEERF-PBHICJAKSA-N 0 0 436.494 -0.398 20 0 IBADRN Cn1nccc1[C@H]1[C@H](C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC(=O)N1C ZINC000580579822 1070937545 /nfs/dbraw/zinc/93/75/45/1070937545.db2.gz WAJYJRJZBOEERF-RHSMWYFYSA-N 0 0 436.494 -0.398 20 0 IBADRN Cn1nccc1[C@H]1[C@@H](C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC(=O)N1C ZINC000580579823 1070937318 /nfs/dbraw/zinc/93/73/18/1070937318.db2.gz WAJYJRJZBOEERF-WMLDXEAASA-N 0 0 436.494 -0.398 20 0 IBADRN Cn1nccc1[C@@H]1[C@@H](C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC(=O)N1C ZINC000580579824 1070937363 /nfs/dbraw/zinc/93/73/63/1070937363.db2.gz WAJYJRJZBOEERF-YOEHRIQHSA-N 0 0 436.494 -0.398 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2cn3ccccc3n2)CC1 ZINC000580584867 1070937594 /nfs/dbraw/zinc/93/75/94/1070937594.db2.gz ABKLSZRYJIPCLZ-UHFFFAOYSA-N 0 0 437.522 -0.151 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000580586266 1070937636 /nfs/dbraw/zinc/93/76/36/1070937636.db2.gz HXKXHQIWFZVIQI-ZIAGYGMSSA-N 0 0 428.898 -0.529 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOCC3CC3)CC2)o1 ZINC000580586367 1070937336 /nfs/dbraw/zinc/93/73/36/1070937336.db2.gz JFAHBFBDKIXGQS-UHFFFAOYSA-N 0 0 442.494 -0.856 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCCCn2cc(Br)cn2)CC1 ZINC000580586695 1070937244 /nfs/dbraw/zinc/93/72/44/1070937244.db2.gz NIRQFDJVFKHIGQ-UHFFFAOYSA-N 0 0 443.346 -0.178 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CC1)N1CCCCC1 ZINC000580587202 1070937385 /nfs/dbraw/zinc/93/73/85/1070937385.db2.gz YFGZRLAMTCFCPD-UHFFFAOYSA-N 0 0 430.509 -0.916 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000580587544 1070937910 /nfs/dbraw/zinc/93/79/10/1070937910.db2.gz PCKCIVPBRBPYGG-AWEZNQCLSA-N 0 0 447.492 -0.722 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000580587545 1070938216 /nfs/dbraw/zinc/93/82/16/1070938216.db2.gz PCKCIVPBRBPYGG-CQSZACIVSA-N 0 0 447.492 -0.722 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@@H](NC(N)=O)C1 ZINC000580587795 1070937960 /nfs/dbraw/zinc/93/79/60/1070937960.db2.gz BJPYQPFWDKVWOQ-DZGCQCFKSA-N 0 0 425.511 -0.223 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@H](NC(N)=O)C1 ZINC000580587796 1070938068 /nfs/dbraw/zinc/93/80/68/1070938068.db2.gz BJPYQPFWDKVWOQ-HIFRSBDPSA-N 0 0 425.511 -0.223 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@@H](NC(N)=O)C1 ZINC000580587797 1070938270 /nfs/dbraw/zinc/93/82/70/1070938270.db2.gz BJPYQPFWDKVWOQ-UKRRQHHQSA-N 0 0 425.511 -0.223 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CC[C@H](NC(N)=O)C1 ZINC000580587798 1070938301 /nfs/dbraw/zinc/93/83/01/1070938301.db2.gz BJPYQPFWDKVWOQ-ZFWWWQNUSA-N 0 0 425.511 -0.223 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)CC1 ZINC000580589135 1070938141 /nfs/dbraw/zinc/93/81/41/1070938141.db2.gz OZVFONSVWWLNHB-UHFFFAOYSA-N 0 0 445.520 -0.712 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)C1 ZINC000580590478 1070937296 /nfs/dbraw/zinc/93/72/96/1070937296.db2.gz DBQPBZPMWQJAKJ-HNNXBMFYSA-N 0 0 431.536 -0.493 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)C1 ZINC000580590479 1070937434 /nfs/dbraw/zinc/93/74/34/1070937434.db2.gz DBQPBZPMWQJAKJ-OAHLLOKOSA-N 0 0 431.536 -0.493 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000580590659 1070937556 /nfs/dbraw/zinc/93/75/56/1070937556.db2.gz OEOCUTNQOOADCX-UHFFFAOYSA-N 0 0 448.423 -0.346 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C1 ZINC000580592114 1070938010 /nfs/dbraw/zinc/93/80/10/1070938010.db2.gz FZORCWQVFQSFND-INIZCTEOSA-N 0 0 442.513 -0.471 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C1 ZINC000580592115 1070938242 /nfs/dbraw/zinc/93/82/42/1070938242.db2.gz FZORCWQVFQSFND-MRXNPFEDSA-N 0 0 442.513 -0.471 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCCOc1ccc(F)cc1)C2 ZINC000580592224 1073052298 /nfs/dbraw/zinc/05/22/98/1073052298.db2.gz KNFLZHVXSMDWOC-UHFFFAOYSA-N 0 0 448.455 -0.800 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000580594491 1070938295 /nfs/dbraw/zinc/93/82/95/1070938295.db2.gz VUJFHFGUNMYWPU-FRRDWIJNSA-N 0 0 425.404 -0.407 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000580594501 1070938158 /nfs/dbraw/zinc/93/81/58/1070938158.db2.gz VUJFHFGUNMYWPU-JHJVBQTASA-N 0 0 425.404 -0.407 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000580594503 1070938193 /nfs/dbraw/zinc/93/81/93/1070938193.db2.gz VUJFHFGUNMYWPU-RWMBFGLXSA-N 0 0 425.404 -0.407 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000580594504 1070938176 /nfs/dbraw/zinc/93/81/76/1070938176.db2.gz VUJFHFGUNMYWPU-YNEHKIRRSA-N 0 0 425.404 -0.407 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000580595379 1070938258 /nfs/dbraw/zinc/93/82/58/1070938258.db2.gz DFLPQAFQIBLHOT-CVEARBPZSA-N 0 0 437.522 -0.771 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000580595380 1070938124 /nfs/dbraw/zinc/93/81/24/1070938124.db2.gz DFLPQAFQIBLHOT-HOTGVXAUSA-N 0 0 437.522 -0.771 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000580595381 1070938769 /nfs/dbraw/zinc/93/87/69/1070938769.db2.gz DFLPQAFQIBLHOT-HZPDHXFCSA-N 0 0 437.522 -0.771 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)C1 ZINC000580595382 1070938715 /nfs/dbraw/zinc/93/87/15/1070938715.db2.gz DFLPQAFQIBLHOT-JKSUJKDBSA-N 0 0 437.522 -0.771 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC1CCN(c2cc(C)nc(C)n2)CC1 ZINC000580596600 1070938857 /nfs/dbraw/zinc/93/88/57/1070938857.db2.gz AWGWKXCTADZOAR-INIZCTEOSA-N 0 0 438.554 -0.281 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC1CCN(c2cc(C)nc(C)n2)CC1 ZINC000580596603 1070938915 /nfs/dbraw/zinc/93/89/15/1070938915.db2.gz AWGWKXCTADZOAR-MRXNPFEDSA-N 0 0 438.554 -0.281 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCCN2CCOC(C)(C)C2)s1 ZINC000580598262 1070938031 /nfs/dbraw/zinc/93/80/31/1070938031.db2.gz HRJYWNWNJSHNLZ-UHFFFAOYSA-N 0 0 432.568 -0.322 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N(C)CCn2cc(Br)cn2)n1 ZINC000580599141 1070938754 /nfs/dbraw/zinc/93/87/54/1070938754.db2.gz LJGCYVIGWLOBMW-UHFFFAOYSA-N 0 0 426.275 0.027 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000580599320 1070938796 /nfs/dbraw/zinc/93/87/96/1070938796.db2.gz DELAKMCGYWZWTM-FOIPXRHGSA-N 0 0 435.569 -0.144 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000580599321 1070938729 /nfs/dbraw/zinc/93/87/29/1070938729.db2.gz DELAKMCGYWZWTM-HIEASXQVSA-N 0 0 435.569 -0.144 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000580599322 1070938962 /nfs/dbraw/zinc/93/89/62/1070938962.db2.gz DELAKMCGYWZWTM-OPQOLIRYSA-N 0 0 435.569 -0.144 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000580599323 1070938973 /nfs/dbraw/zinc/93/89/73/1070938973.db2.gz DELAKMCGYWZWTM-ZGUYJTEBSA-N 0 0 435.569 -0.144 20 0 IBADRN C[C@]1(c2cccc(-c3noc(CN4CCN(S(C)(=O)=O)CC4)n3)c2)NC(=O)NC1=O ZINC000580600117 1070938697 /nfs/dbraw/zinc/93/86/97/1070938697.db2.gz CACYFFPELNMZIT-GOSISDBHSA-N 0 0 434.478 -0.132 20 0 IBADRN C[C@@]1(c2cccc(-c3noc(CN4CCN(S(C)(=O)=O)CC4)n3)c2)NC(=O)NC1=O ZINC000580600118 1070938807 /nfs/dbraw/zinc/93/88/07/1070938807.db2.gz CACYFFPELNMZIT-SFHVURJKSA-N 0 0 434.478 -0.132 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)CC1 ZINC000580601305 1070938992 /nfs/dbraw/zinc/93/89/92/1070938992.db2.gz DJOGCFOSNWEUOP-BRSBDYLESA-N 0 0 434.537 -0.548 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)CC1 ZINC000580601306 1070938981 /nfs/dbraw/zinc/93/89/81/1070938981.db2.gz DJOGCFOSNWEUOP-TVFCKZIOSA-N 0 0 434.537 -0.548 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)CC1 ZINC000580601307 1070938819 /nfs/dbraw/zinc/93/88/19/1070938819.db2.gz DJOGCFOSNWEUOP-XDNAFOTISA-N 0 0 434.537 -0.548 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)CC1 ZINC000580601308 1070938885 /nfs/dbraw/zinc/93/88/85/1070938885.db2.gz DJOGCFOSNWEUOP-XMTFNYHQSA-N 0 0 434.537 -0.548 20 0 IBADRN Cn1nc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1C1CC1 ZINC000580605375 1073307196 /nfs/dbraw/zinc/30/71/96/1073307196.db2.gz QQUPDEUQGDVAQJ-UHFFFAOYSA-N 0 0 426.481 -0.119 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)ccc1F ZINC000580606326 1070938904 /nfs/dbraw/zinc/93/89/04/1070938904.db2.gz PGCPKTLVGWIZJG-GFCCVEGCSA-N 0 0 430.458 -0.446 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(NC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)ccc1F ZINC000580606328 1070938785 /nfs/dbraw/zinc/93/87/85/1070938785.db2.gz PGCPKTLVGWIZJG-LBPRGKRZSA-N 0 0 430.458 -0.446 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)cc1C ZINC000580606603 1073315782 /nfs/dbraw/zinc/31/57/82/1073315782.db2.gz ZAERTIZNVCYFPN-UHFFFAOYSA-N 0 0 438.444 0.521 20 0 IBADRN O=C(NC[C@@H]1CCCN(c2ccccc2)C1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000580616147 1070938946 /nfs/dbraw/zinc/93/89/46/1070938946.db2.gz HDSGPWCWWNJYSS-KRWDZBQOSA-N 0 0 441.532 -0.031 20 0 IBADRN O=C(NC[C@H]1CCCN(c2ccccc2)C1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000580616148 1070939003 /nfs/dbraw/zinc/93/90/03/1070939003.db2.gz HDSGPWCWWNJYSS-QGZVFWFLSA-N 0 0 441.532 -0.031 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(Cc3cc(C)no3)CC2)cn1 ZINC000580629256 1070939596 /nfs/dbraw/zinc/93/95/96/1070939596.db2.gz LVVJAHBUTMBIGP-MJGOQNOKSA-N 0 0 443.508 -0.020 20 0 IBADRN Cn1ccc(CS(=O)(=O)CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000580640107 1070939401 /nfs/dbraw/zinc/93/94/01/1070939401.db2.gz QZGUXRYSQATAPW-UHFFFAOYSA-N 0 0 442.519 -0.006 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000580643239 1070940197 /nfs/dbraw/zinc/94/01/97/1070940197.db2.gz ASIAECJLBOOKNF-UHFFFAOYSA-N 0 0 449.551 -0.088 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2C(F)(F)F)CC1 ZINC000580648761 1070940337 /nfs/dbraw/zinc/94/03/37/1070940337.db2.gz DDZQCTUDXZOAKH-UHFFFAOYSA-N 0 0 430.430 -0.669 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)NC[C@@H]2CCCN(C(=O)[C@@H]3CC[C@H](C(=O)OC)O3)C2)O1 ZINC000580652789 1070940380 /nfs/dbraw/zinc/94/03/80/1070940380.db2.gz IMXVNMOURJPLLC-RFBLXINOSA-N 0 0 426.466 -0.218 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc(NC(=O)C[N-]S(=O)(=O)c2c(F)cccc2F)cn1 ZINC000580654500 1070940418 /nfs/dbraw/zinc/94/04/18/1070940418.db2.gz ZWSFQSMRYADYCN-UHFFFAOYSA-N 0 0 444.464 -0.244 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCN(CC(F)F)CC1 ZINC000580664007 1070940516 /nfs/dbraw/zinc/94/05/16/1070940516.db2.gz XPKFRKDWAQGBOX-UHFFFAOYSA-N 0 0 437.447 -0.716 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1)OC ZINC000580664480 1070941249 /nfs/dbraw/zinc/94/12/49/1070941249.db2.gz TUKCEGVWZYBBPI-AWEZNQCLSA-N 0 0 443.478 -0.278 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1)OC ZINC000580664481 1070941105 /nfs/dbraw/zinc/94/11/05/1070941105.db2.gz TUKCEGVWZYBBPI-CQSZACIVSA-N 0 0 443.478 -0.278 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H](C)c1ccc(S(=O)(=O)NC)cc1)S(C)(=O)=O ZINC000580664660 1070941321 /nfs/dbraw/zinc/94/13/21/1070941321.db2.gz DNDCFXWYGNPESN-CYBMUJFWSA-N 0 0 448.567 -0.440 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H](C)c1ccc(S(=O)(=O)NC)cc1)S(C)(=O)=O ZINC000580664661 1070941287 /nfs/dbraw/zinc/94/12/87/1070941287.db2.gz DNDCFXWYGNPESN-ZDUSSCGKSA-N 0 0 448.567 -0.440 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)CN1CCN(S(C)(=O)=O)CC1)C2 ZINC000580665368 1070941072 /nfs/dbraw/zinc/94/10/72/1070941072.db2.gz BSQXLXXEEPRIPS-UHFFFAOYSA-N 0 0 444.579 -0.601 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)CC1 ZINC000580665826 1070941174 /nfs/dbraw/zinc/94/11/74/1070941174.db2.gz MLACGHLZOKBDKO-UHFFFAOYSA-N 0 0 438.550 -0.411 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)CC3)CC2=O)c1 ZINC000580666395 1070941010 /nfs/dbraw/zinc/94/10/10/1070941010.db2.gz PIPHGZSBUFVOBR-HNNXBMFYSA-N 0 0 426.477 -0.001 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)CC3)CC2=O)c1 ZINC000580666396 1070941130 /nfs/dbraw/zinc/94/11/30/1070941130.db2.gz PIPHGZSBUFVOBR-OAHLLOKOSA-N 0 0 426.477 -0.001 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1c1cncc(N2CCC[C@H]2CS(=O)(=O)NC)n1 ZINC000580667649 1070941258 /nfs/dbraw/zinc/94/12/58/1070941258.db2.gz SUTBLFSKBTZAEE-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1cncc(N2CCC[C@H]2CS(=O)(=O)NC)n1 ZINC000580667651 1070941333 /nfs/dbraw/zinc/94/13/33/1070941333.db2.gz SUTBLFSKBTZAEE-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1cncc(N2CCC[C@@H]2CS(=O)(=O)NC)n1 ZINC000580667653 1070941304 /nfs/dbraw/zinc/94/13/04/1070941304.db2.gz SUTBLFSKBTZAEE-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(OC)c1 ZINC000580669762 1073052770 /nfs/dbraw/zinc/05/27/70/1073052770.db2.gz JTQZEGVCLNGMNE-UHFFFAOYSA-N 0 0 429.433 -0.412 20 0 IBADRN O=C(NCC1CCN(CC(F)(F)F)CC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000580670058 1070941052 /nfs/dbraw/zinc/94/10/52/1070941052.db2.gz MLFCHWCZVBYBGI-UHFFFAOYSA-N 0 0 447.458 -0.674 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000580671168 1070942072 /nfs/dbraw/zinc/94/20/72/1070942072.db2.gz UCZJFUCZYPFRQU-CYBMUJFWSA-N 0 0 435.572 -0.171 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000580671169 1070941871 /nfs/dbraw/zinc/94/18/71/1070941871.db2.gz UCZJFUCZYPFRQU-ZDUSSCGKSA-N 0 0 435.572 -0.171 20 0 IBADRN CC[C@H](CSC)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000580671265 1070941344 /nfs/dbraw/zinc/94/13/44/1070941344.db2.gz YJAAZCICBYPYGY-QLFBSQMISA-N 0 0 436.600 -0.258 20 0 IBADRN CC[C@H](CSC)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000580671266 1070941894 /nfs/dbraw/zinc/94/18/94/1070941894.db2.gz YJAAZCICBYPYGY-RBSFLKMASA-N 0 0 436.600 -0.258 20 0 IBADRN CC[C@H](CSC)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000580671267 1070941855 /nfs/dbraw/zinc/94/18/55/1070941855.db2.gz YJAAZCICBYPYGY-SOUVJXGZSA-N 0 0 436.600 -0.258 20 0 IBADRN CC[C@@H](CSC)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000580671268 1070941907 /nfs/dbraw/zinc/94/19/07/1070941907.db2.gz YJAAZCICBYPYGY-ZNMIVQPWSA-N 0 0 436.600 -0.258 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccc4nncn4n3)CC2)C1 ZINC000580671560 1070941084 /nfs/dbraw/zinc/94/10/84/1070941084.db2.gz FENOYOIMSMUPNN-HNNXBMFYSA-N 0 0 438.496 -0.708 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccc4nncn4n3)CC2)C1 ZINC000580671563 1070941119 /nfs/dbraw/zinc/94/11/19/1070941119.db2.gz FENOYOIMSMUPNN-OAHLLOKOSA-N 0 0 438.496 -0.708 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000580671886 1073318802 /nfs/dbraw/zinc/31/88/02/1073318802.db2.gz IAZVMPWQKYRCSG-UHFFFAOYSA-N 0 0 444.473 0.190 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCN(Cc4ccc(F)cc4)C3=O)CC2=O)cn1 ZINC000580672508 1070942043 /nfs/dbraw/zinc/94/20/43/1070942043.db2.gz MBMSMMIMZMQJBJ-KRWDZBQOSA-N 0 0 442.451 -0.348 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(Cc4ccc(F)cc4)C3=O)CC2=O)cn1 ZINC000580672509 1070942007 /nfs/dbraw/zinc/94/20/07/1070942007.db2.gz MBMSMMIMZMQJBJ-QGZVFWFLSA-N 0 0 442.451 -0.348 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)Nc2ccn(C)n2)c1 ZINC000580673410 1073336092 /nfs/dbraw/zinc/33/60/92/1073336092.db2.gz XDOZBPDSPBAHIE-UHFFFAOYSA-N 0 0 429.524 0.368 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000580674309 1070942101 /nfs/dbraw/zinc/94/21/01/1070942101.db2.gz YETHOWLRRCWWFV-BRWVUGGUSA-N 0 0 432.477 -0.044 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000580674310 1070941934 /nfs/dbraw/zinc/94/19/34/1070941934.db2.gz YETHOWLRRCWWFV-IKGGRYGDSA-N 0 0 432.477 -0.044 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000580674311 1070941959 /nfs/dbraw/zinc/94/19/59/1070941959.db2.gz YETHOWLRRCWWFV-IXDOHACOSA-N 0 0 432.477 -0.044 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000580674312 1070942056 /nfs/dbraw/zinc/94/20/56/1070942056.db2.gz YETHOWLRRCWWFV-ZACQAIPSSA-N 0 0 432.477 -0.044 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)C1=O ZINC000580674595 1070942084 /nfs/dbraw/zinc/94/20/84/1070942084.db2.gz GAXGMHIXDQYNNY-AAEUAGOBSA-N 0 0 436.490 -0.130 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)C1=O ZINC000580674596 1070941995 /nfs/dbraw/zinc/94/19/95/1070941995.db2.gz GAXGMHIXDQYNNY-DGCLKSJQSA-N 0 0 436.490 -0.130 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)C1=O ZINC000580674597 1070942028 /nfs/dbraw/zinc/94/20/28/1070942028.db2.gz GAXGMHIXDQYNNY-WCQYABFASA-N 0 0 436.490 -0.130 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)C1=O ZINC000580674598 1070941969 /nfs/dbraw/zinc/94/19/69/1070941969.db2.gz GAXGMHIXDQYNNY-YPMHNXCESA-N 0 0 436.490 -0.130 20 0 IBADRN CCC1(CC)[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@@H]1OC ZINC000580676407 1070942016 /nfs/dbraw/zinc/94/20/16/1070942016.db2.gz YFDFUDATVCPPIS-KBPBESRZSA-N 0 0 436.513 -0.815 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@@H]1OC ZINC000580676408 1070941919 /nfs/dbraw/zinc/94/19/19/1070941919.db2.gz YFDFUDATVCPPIS-KGLIPLIRSA-N 0 0 436.513 -0.815 20 0 IBADRN CCC1(CC)[C@H](OC)C[C@@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000580676409 1070941982 /nfs/dbraw/zinc/94/19/82/1070941982.db2.gz YFDFUDATVCPPIS-UONOGXRCSA-N 0 0 436.513 -0.815 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@H]1OC ZINC000580676410 1070941843 /nfs/dbraw/zinc/94/18/43/1070941843.db2.gz YFDFUDATVCPPIS-ZIAGYGMSSA-N 0 0 436.513 -0.815 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)cn1 ZINC000580678366 1070942792 /nfs/dbraw/zinc/94/27/92/1070942792.db2.gz JNEVQKDFVRIFNS-WBVHZDCISA-N 0 0 431.522 -0.343 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCCN(S(=O)(=O)N(C)C)CC2)c(=O)[nH]c1=O ZINC000580679272 1070942664 /nfs/dbraw/zinc/94/26/64/1070942664.db2.gz YSVUASQKOAQUJT-UHFFFAOYSA-N 0 0 430.531 -0.816 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C3CC3)n(C)n2)C1 ZINC000580681454 1073333172 /nfs/dbraw/zinc/33/31/72/1073333172.db2.gz QHXZKNSHXKKERZ-AWEZNQCLSA-N 0 0 427.527 0.135 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C3CC3)n(C)n2)C1 ZINC000580681455 1073333093 /nfs/dbraw/zinc/33/30/93/1073333093.db2.gz QHXZKNSHXKKERZ-CQSZACIVSA-N 0 0 427.527 0.135 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCCO2)CC1=O ZINC000580703037 1070942693 /nfs/dbraw/zinc/94/26/93/1070942693.db2.gz WIVGVIFQGNEKMS-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCCO2)CC1=O ZINC000580703039 1070942774 /nfs/dbraw/zinc/94/27/74/1070942774.db2.gz WIVGVIFQGNEKMS-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCCCO2)CC1=O ZINC000580703040 1070942786 /nfs/dbraw/zinc/94/27/86/1070942786.db2.gz WIVGVIFQGNEKMS-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCCCO2)CC1=O ZINC000580703042 1070942801 /nfs/dbraw/zinc/94/28/01/1070942801.db2.gz WIVGVIFQGNEKMS-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)CC1)N1CCCCC1 ZINC000580703262 1070942650 /nfs/dbraw/zinc/94/26/50/1070942650.db2.gz PINOMZWLPPLIFI-UHFFFAOYSA-N 0 0 445.568 -0.180 20 0 IBADRN C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000580706231 1070942627 /nfs/dbraw/zinc/94/26/27/1070942627.db2.gz DNTMYIDDHOPADY-AUUYWEPGSA-N 0 0 440.504 -0.085 20 0 IBADRN C[C@H]1CCc2ccccc2[C@@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000580706247 1070942686 /nfs/dbraw/zinc/94/26/86/1070942686.db2.gz DNTMYIDDHOPADY-IFXJQAMLSA-N 0 0 440.504 -0.085 20 0 IBADRN C[C@@H]1CCc2ccccc2[C@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000580706248 1070942679 /nfs/dbraw/zinc/94/26/79/1070942679.db2.gz DNTMYIDDHOPADY-KUHUBIRLSA-N 0 0 440.504 -0.085 20 0 IBADRN C[C@H]1CCc2ccccc2[C@H]1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000580706250 1070942811 /nfs/dbraw/zinc/94/28/11/1070942811.db2.gz DNTMYIDDHOPADY-LIRRHRJNSA-N 0 0 440.504 -0.085 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(c2ccccc2F)CC1 ZINC000580706764 1070943369 /nfs/dbraw/zinc/94/33/69/1070943369.db2.gz PIYJNRRYBSXZKN-UHFFFAOYSA-N 0 0 439.469 -0.302 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1OC ZINC000580707874 1070943477 /nfs/dbraw/zinc/94/34/77/1070943477.db2.gz WOGKYGQZQDFJMK-UHFFFAOYSA-N 0 0 428.511 -0.610 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000580727583 1070944331 /nfs/dbraw/zinc/94/43/31/1070944331.db2.gz NNUPHUMVNGDYIY-OKILXGFUSA-N 0 0 443.453 -0.589 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)on1 ZINC000580728109 1070944382 /nfs/dbraw/zinc/94/43/82/1070944382.db2.gz FSJFPXBUPSBAEL-UHFFFAOYSA-N 0 0 428.492 -0.002 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)N1CCOCC1 ZINC000580729308 1070944416 /nfs/dbraw/zinc/94/44/16/1070944416.db2.gz NJZIYMBHJJVGBD-INIZCTEOSA-N 0 0 437.541 -0.170 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)N1CCOCC1 ZINC000580729309 1070944546 /nfs/dbraw/zinc/94/45/46/1070944546.db2.gz NJZIYMBHJJVGBD-MRXNPFEDSA-N 0 0 437.541 -0.170 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000580733073 1070944137 /nfs/dbraw/zinc/94/41/37/1070944137.db2.gz SFPNFCLFECHNTR-MSOLQXFVSA-N 0 0 435.569 -0.238 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000580733074 1070944215 /nfs/dbraw/zinc/94/42/15/1070944215.db2.gz SFPNFCLFECHNTR-QZTJIDSGSA-N 0 0 435.569 -0.238 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000580733075 1070944397 /nfs/dbraw/zinc/94/43/97/1070944397.db2.gz SFPNFCLFECHNTR-ROUUACIJSA-N 0 0 435.569 -0.238 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1 ZINC000580733076 1070944155 /nfs/dbraw/zinc/94/41/55/1070944155.db2.gz SFPNFCLFECHNTR-ZWKOTPCHSA-N 0 0 435.569 -0.238 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)s1 ZINC000580734146 1073357227 /nfs/dbraw/zinc/35/72/27/1073357227.db2.gz HVZVSXXSDLXVNR-UHFFFAOYSA-N 0 0 430.537 -0.450 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCC3CCN(CC(F)(F)F)CC3)CC2)c1=O ZINC000580734373 1070944350 /nfs/dbraw/zinc/94/43/50/1070944350.db2.gz AIDHLBUIUKXTKC-UHFFFAOYSA-N 0 0 444.458 -0.181 20 0 IBADRN NS(=O)(=O)CCOCCNS(=O)(=O)c1cc2c(cc1Br)OCCO2 ZINC000580738935 1070945228 /nfs/dbraw/zinc/94/52/28/1070945228.db2.gz HDZRVKZVFZPJCZ-UHFFFAOYSA-N 0 0 445.313 -0.196 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ncn(C2CCCCC2)n1 ZINC000580739895 1073309270 /nfs/dbraw/zinc/30/92/70/1073309270.db2.gz GDSUMFAGNUVMNQ-HNNXBMFYSA-N 0 0 441.558 0.499 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ncn(C2CCCCC2)n1 ZINC000580739896 1073308780 /nfs/dbraw/zinc/30/87/80/1073308780.db2.gz GDSUMFAGNUVMNQ-OAHLLOKOSA-N 0 0 441.558 0.499 20 0 IBADRN CCOC1CC(CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000580741175 1070946054 /nfs/dbraw/zinc/94/60/54/1070946054.db2.gz MHPGYVPVMYGABC-UHFFFAOYSA-N 0 0 428.511 -0.030 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)NCCCN1CCOCC1 ZINC000580742248 1070945778 /nfs/dbraw/zinc/94/57/78/1070945778.db2.gz ODTIOOHVAUQGII-UHFFFAOYSA-N 0 0 425.507 -0.059 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2C[C@H](O)C3(CC3)C2)cc1)N1C[C@H](O)C2(CC2)C1 ZINC000580743325 1070946113 /nfs/dbraw/zinc/94/61/13/1070946113.db2.gz OQFIDAONWWXDQW-HOTGVXAUSA-N 0 0 428.532 -0.023 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2C[C@@H](O)C3(CC3)C2)cc1)N1C[C@@H](O)C2(CC2)C1 ZINC000580743327 1070945820 /nfs/dbraw/zinc/94/58/20/1070945820.db2.gz OQFIDAONWWXDQW-HZPDHXFCSA-N 0 0 428.532 -0.023 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2C[C@@H](O)C3(CC3)C2)cc1)N1C[C@H](O)C2(CC2)C1 ZINC000580743328 1070945803 /nfs/dbraw/zinc/94/58/03/1070945803.db2.gz OQFIDAONWWXDQW-IYBDPMFKSA-N 0 0 428.532 -0.023 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000580779877 1070946749 /nfs/dbraw/zinc/94/67/49/1070946749.db2.gz YWVXIQZDMTVGSF-AWEZNQCLSA-N 0 0 429.506 -0.198 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000580779878 1070946875 /nfs/dbraw/zinc/94/68/75/1070946875.db2.gz YWVXIQZDMTVGSF-CQSZACIVSA-N 0 0 429.506 -0.198 20 0 IBADRN CCN(CC)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000580780643 1070946720 /nfs/dbraw/zinc/94/67/20/1070946720.db2.gz GIJFSYJHCNUEFS-UHFFFAOYSA-N 0 0 446.552 -0.453 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C[C@H](C)O1 ZINC000580787352 1070946848 /nfs/dbraw/zinc/94/68/48/1070946848.db2.gz CZGDBNCXTSLRST-KDURUIRLSA-N 0 0 447.584 -0.328 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000580789876 1070947467 /nfs/dbraw/zinc/94/74/67/1070947467.db2.gz JTMMXDPSIFZPRI-UHFFFAOYSA-N 0 0 432.525 -0.973 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000580792339 1070946893 /nfs/dbraw/zinc/94/68/93/1070946893.db2.gz IWWLWQYUNLERFD-MSOLQXFVSA-N 0 0 435.569 -0.094 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000580792340 1070947394 /nfs/dbraw/zinc/94/73/94/1070947394.db2.gz IWWLWQYUNLERFD-QZTJIDSGSA-N 0 0 435.569 -0.094 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000580792341 1070947489 /nfs/dbraw/zinc/94/74/89/1070947489.db2.gz IWWLWQYUNLERFD-ROUUACIJSA-N 0 0 435.569 -0.094 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000580792342 1070947387 /nfs/dbraw/zinc/94/73/87/1070947387.db2.gz IWWLWQYUNLERFD-ZWKOTPCHSA-N 0 0 435.569 -0.094 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(c4ccc(F)cn4)CC3)C2=O)n1 ZINC000580793461 1070947508 /nfs/dbraw/zinc/94/75/08/1070947508.db2.gz HNJJKCZTQJBJFW-HNNXBMFYSA-N 0 0 429.456 -0.085 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(c4ccc(F)cn4)CC3)C2=O)n1 ZINC000580793462 1070947428 /nfs/dbraw/zinc/94/74/28/1070947428.db2.gz HNJJKCZTQJBJFW-OAHLLOKOSA-N 0 0 429.456 -0.085 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000580796302 1070948130 /nfs/dbraw/zinc/94/81/30/1070948130.db2.gz KOZBLMYJNLSCRK-HNNXBMFYSA-N 0 0 433.575 -0.026 20 0 IBADRN CNC(=O)CCOC1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000580796304 1070948146 /nfs/dbraw/zinc/94/81/46/1070948146.db2.gz KOZBLMYJNLSCRK-OAHLLOKOSA-N 0 0 433.575 -0.026 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)Nc1nccn(C)c1=O ZINC000580797191 1073344265 /nfs/dbraw/zinc/34/42/65/1073344265.db2.gz WTIFTOPPKFMRQT-UHFFFAOYSA-N 0 0 435.506 0.367 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC3(C2)Oc2ccccc2O3)n1)N1CCOCC1 ZINC000580798479 1073321633 /nfs/dbraw/zinc/32/16/33/1073321633.db2.gz WVHCIWSUFLFXAF-UHFFFAOYSA-N 0 0 441.444 0.080 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000580798558 1070947549 /nfs/dbraw/zinc/94/75/49/1070947549.db2.gz FOTVKQXSHMMTAI-CEXWTWQISA-N 0 0 441.532 -0.033 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000580798559 1070947500 /nfs/dbraw/zinc/94/75/00/1070947500.db2.gz FOTVKQXSHMMTAI-GUDVDZBRSA-N 0 0 441.532 -0.033 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000580798560 1070947444 /nfs/dbraw/zinc/94/74/44/1070947444.db2.gz FOTVKQXSHMMTAI-QRVBRYPASA-N 0 0 441.532 -0.033 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000580798561 1070947570 /nfs/dbraw/zinc/94/75/70/1070947570.db2.gz FOTVKQXSHMMTAI-QYZOEREBSA-N 0 0 441.532 -0.033 20 0 IBADRN CN(Cc1nccn1CC(F)(F)F)C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000580801281 1070948150 /nfs/dbraw/zinc/94/81/50/1070948150.db2.gz ZVUATCCSCFVPKD-LLVKDONJSA-N 0 0 425.433 -0.056 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CC[C@H](S(=O)(=O)NC(C)C)C3)CC2)n[nH]1 ZINC000580801329 1070948078 /nfs/dbraw/zinc/94/80/78/1070948078.db2.gz YNYKDWJOPVWGPS-HNNXBMFYSA-N 0 0 426.543 -0.268 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CC[C@@H](S(=O)(=O)NC(C)C)C3)CC2)n[nH]1 ZINC000580801330 1070948161 /nfs/dbraw/zinc/94/81/61/1070948161.db2.gz YNYKDWJOPVWGPS-OAHLLOKOSA-N 0 0 426.543 -0.268 20 0 IBADRN COc1ccc(NC(=O)CN(CCO)C[C@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000580802673 1070948243 /nfs/dbraw/zinc/94/82/43/1070948243.db2.gz MRCAKXKFIFNSOU-AWEZNQCLSA-N 0 0 431.511 -0.670 20 0 IBADRN COc1ccc(NC(=O)CN(CCO)C[C@@H](C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000580802674 1070948215 /nfs/dbraw/zinc/94/82/15/1070948215.db2.gz MRCAKXKFIFNSOU-CQSZACIVSA-N 0 0 431.511 -0.670 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1OC ZINC000580804831 1070948115 /nfs/dbraw/zinc/94/81/15/1070948115.db2.gz HEBIVKQZBJDQIX-AWEZNQCLSA-N 0 0 431.511 -0.866 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1OC ZINC000580804832 1070948349 /nfs/dbraw/zinc/94/83/49/1070948349.db2.gz HEBIVKQZBJDQIX-CQSZACIVSA-N 0 0 431.511 -0.866 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1N1CCCS1(=O)=O ZINC000580804899 1070948317 /nfs/dbraw/zinc/94/83/17/1070948317.db2.gz KBNLWFVXEGJAQM-UHFFFAOYSA-N 0 0 432.524 -0.519 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCn4cncc4C3)c2)CC1 ZINC000580804930 1070948289 /nfs/dbraw/zinc/94/82/89/1070948289.db2.gz LDDYVLYTLDQMBS-UHFFFAOYSA-N 0 0 432.506 -0.200 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(Cl)c(N3CCNC3=O)c2)C1 ZINC000580812478 1070948814 /nfs/dbraw/zinc/94/88/14/1070948814.db2.gz AALGOJFPMOAUKS-LLVKDONJSA-N 0 0 429.886 -0.042 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(Cl)c(N3CCNC3=O)c2)C1 ZINC000580812479 1070949003 /nfs/dbraw/zinc/94/90/03/1070949003.db2.gz AALGOJFPMOAUKS-NSHDSACASA-N 0 0 429.886 -0.042 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000580847755 1070950362 /nfs/dbraw/zinc/95/03/62/1070950362.db2.gz TYEVSVTXDOJVNW-UHFFFAOYSA-N 0 0 429.521 -0.295 20 0 IBADRN Cc1cc2cc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc2n(C)c1=O ZINC000580847816 1070950280 /nfs/dbraw/zinc/95/02/80/1070950280.db2.gz WDHDBCBERLXDAU-UHFFFAOYSA-N 0 0 435.506 -0.782 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000580851331 1070950458 /nfs/dbraw/zinc/95/04/58/1070950458.db2.gz QSFDUOJQAGFSIP-CYBMUJFWSA-N 0 0 430.531 -0.579 20 0 IBADRN COc1cc(C)ccc1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000580854015 1070950437 /nfs/dbraw/zinc/95/04/37/1070950437.db2.gz WGHQSJSJKMVLTI-UHFFFAOYSA-N 0 0 430.465 -0.891 20 0 IBADRN CCn1nccc1S(=O)(=O)NCCN1CCN(S(=O)(=O)c2ccnn2CC)CC1 ZINC000580855119 1070950480 /nfs/dbraw/zinc/95/04/80/1070950480.db2.gz IOWSKNPMCLCFAQ-UHFFFAOYSA-N 0 0 445.571 -0.596 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1)NC1CC1 ZINC000580856797 1070950256 /nfs/dbraw/zinc/95/02/56/1070950256.db2.gz UDVUKAXZBPJBLV-INIZCTEOSA-N 0 0 449.458 -0.391 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1)NC1CC1 ZINC000580856798 1070950417 /nfs/dbraw/zinc/95/04/17/1070950417.db2.gz UDVUKAXZBPJBLV-MRXNPFEDSA-N 0 0 449.458 -0.391 20 0 IBADRN Cc1cc(NC(=O)C(=O)Nc2cnn(CC(=O)NCCO)c2)nn1-c1ccc(F)cc1F ZINC000580857540 1073327818 /nfs/dbraw/zinc/32/78/18/1073327818.db2.gz RRWJMOVLKGCPOH-UHFFFAOYSA-N 0 0 447.402 0.341 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCC[C@H]3OCC[C@@H]32)C1 ZINC000580859069 1070950292 /nfs/dbraw/zinc/95/02/92/1070950292.db2.gz HHCDOMYUPJLQDA-QBPKDAKJSA-N 0 0 431.555 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCC[C@@H]3OCC[C@H]32)C1 ZINC000580859072 1070950269 /nfs/dbraw/zinc/95/02/69/1070950269.db2.gz HHCDOMYUPJLQDA-TWMKSMIVSA-N 0 0 431.555 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCC[C@@H]3OCC[C@@H]32)C1 ZINC000580859074 1070950329 /nfs/dbraw/zinc/95/03/29/1070950329.db2.gz HHCDOMYUPJLQDA-VQHPVUNQSA-N 0 0 431.555 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCC[C@H]3OCC[C@H]32)C1 ZINC000580859075 1070950298 /nfs/dbraw/zinc/95/02/98/1070950298.db2.gz HHCDOMYUPJLQDA-YYIAUSFCSA-N 0 0 431.555 -0.041 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000580859955 1070950319 /nfs/dbraw/zinc/95/03/19/1070950319.db2.gz HOUVPTPUQAOCQM-KRWDZBQOSA-N 0 0 445.501 -0.357 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000580859956 1070950230 /nfs/dbraw/zinc/95/02/30/1070950230.db2.gz HOUVPTPUQAOCQM-QGZVFWFLSA-N 0 0 445.501 -0.357 20 0 IBADRN Cc1cc(C(F)(F)F)nn1CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000580862450 1070950972 /nfs/dbraw/zinc/95/09/72/1070950972.db2.gz XVAVFOIEMJRVDK-UHFFFAOYSA-N 0 0 438.476 -0.153 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)c(OCC(F)F)c1 ZINC000580863250 1070950998 /nfs/dbraw/zinc/95/09/98/1070950998.db2.gz JZHIMEQUUIUAOI-UHFFFAOYSA-N 0 0 436.375 -0.296 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000580864433 1070951200 /nfs/dbraw/zinc/95/12/00/1070951200.db2.gz RCDFHHLCBRIGSL-UHFFFAOYSA-N 0 0 441.485 -0.609 20 0 IBADRN Cn1ncc2ccc(CNC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc21 ZINC000580867217 1070951135 /nfs/dbraw/zinc/95/11/35/1070951135.db2.gz BAVGOSIKZRDYNL-UHFFFAOYSA-N 0 0 435.554 -0.107 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)C(C)C ZINC000580869982 1070951020 /nfs/dbraw/zinc/95/10/20/1070951020.db2.gz RWFMCGNPKLIZAA-UHFFFAOYSA-N 0 0 434.540 -0.244 20 0 IBADRN COC(=O)[C@H](Cc1ccncc1)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000580886033 1070951123 /nfs/dbraw/zinc/95/11/23/1070951123.db2.gz SVGBQIWHKQDSJO-PBHICJAKSA-N 0 0 446.485 -0.018 20 0 IBADRN COC(=O)[C@@H](Cc1ccncc1)NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000580886034 1070951173 /nfs/dbraw/zinc/95/11/73/1070951173.db2.gz SVGBQIWHKQDSJO-RHSMWYFYSA-N 0 0 446.485 -0.018 20 0 IBADRN COC(=O)[C@@H](Cc1ccncc1)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000580886035 1070951050 /nfs/dbraw/zinc/95/10/50/1070951050.db2.gz SVGBQIWHKQDSJO-WMLDXEAASA-N 0 0 446.485 -0.018 20 0 IBADRN COC(=O)[C@H](Cc1ccncc1)NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000580886036 1070951009 /nfs/dbraw/zinc/95/10/09/1070951009.db2.gz SVGBQIWHKQDSJO-YOEHRIQHSA-N 0 0 446.485 -0.018 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1=O ZINC000580898725 1070951826 /nfs/dbraw/zinc/95/18/26/1070951826.db2.gz QLMHOHCRQHEAKJ-UHFFFAOYSA-N 0 0 444.536 -0.130 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(N2CCOCC2)c1 ZINC000580899717 1070951816 /nfs/dbraw/zinc/95/18/16/1070951816.db2.gz GPEOFYHIEXQXBV-UHFFFAOYSA-N 0 0 443.548 -0.129 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000580906245 1070952564 /nfs/dbraw/zinc/95/25/64/1070952564.db2.gz MPADKORZHQAYCG-UHFFFAOYSA-N 0 0 442.394 -0.034 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000580906305 1070951772 /nfs/dbraw/zinc/95/17/72/1070951772.db2.gz PAAMDVGEAPFDLO-KRWDZBQOSA-N 0 0 444.535 -0.891 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000580906306 1070952580 /nfs/dbraw/zinc/95/25/80/1070952580.db2.gz PAAMDVGEAPFDLO-QGZVFWFLSA-N 0 0 444.535 -0.891 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(CCO)Cc2cc(OC)ccc2OC)CC1 ZINC000580906838 1070952378 /nfs/dbraw/zinc/95/23/78/1070952378.db2.gz OXEAFFBHXRNHJV-UHFFFAOYSA-N 0 0 436.509 -0.649 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCN(S(C)(=O)=O)CC2)c(S(N)(=O)=O)c1 ZINC000580908707 1070952542 /nfs/dbraw/zinc/95/25/42/1070952542.db2.gz FUSMQUFMUUDLJH-UHFFFAOYSA-N 0 0 440.569 -0.944 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)c3cn(CC(=O)N(C)C)nn3)C2=O)c(OC)c1 ZINC000580909680 1070952353 /nfs/dbraw/zinc/95/23/53/1070952353.db2.gz CCNPBTVSNPEHBT-HNNXBMFYSA-N 0 0 430.465 -0.086 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)c3cn(CC(=O)N(C)C)nn3)C2=O)c(OC)c1 ZINC000580909684 1070952336 /nfs/dbraw/zinc/95/23/36/1070952336.db2.gz CCNPBTVSNPEHBT-OAHLLOKOSA-N 0 0 430.465 -0.086 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)C1 ZINC000580909788 1070952532 /nfs/dbraw/zinc/95/25/32/1070952532.db2.gz FXKAEDJOGFVPPL-BFHYXJOUSA-N 0 0 425.577 -0.726 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)C1 ZINC000580909789 1070952571 /nfs/dbraw/zinc/95/25/71/1070952571.db2.gz FXKAEDJOGFVPPL-MCIONIFRSA-N 0 0 425.577 -0.726 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)C1 ZINC000580909790 1070952413 /nfs/dbraw/zinc/95/24/13/1070952413.db2.gz FXKAEDJOGFVPPL-MGPQQGTHSA-N 0 0 425.577 -0.726 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)C1 ZINC000580909791 1070952609 /nfs/dbraw/zinc/95/26/09/1070952609.db2.gz FXKAEDJOGFVPPL-MJBXVCDLSA-N 0 0 425.577 -0.726 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Oc1ccc(F)cc1 ZINC000580911827 1070952487 /nfs/dbraw/zinc/95/24/87/1070952487.db2.gz DDKDUOCURKDCSA-CYBMUJFWSA-N 0 0 448.455 -0.802 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Oc1ccc(F)cc1 ZINC000580911828 1070952616 /nfs/dbraw/zinc/95/26/16/1070952616.db2.gz DDKDUOCURKDCSA-ZDUSSCGKSA-N 0 0 448.455 -0.802 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC2CCN(CC(F)(F)F)CC2)C1 ZINC000580913125 1070953333 /nfs/dbraw/zinc/95/33/33/1070953333.db2.gz HUDFRLPEDMLYBU-CYBMUJFWSA-N 0 0 428.477 -0.083 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCC2CCN(CC(F)(F)F)CC2)C1 ZINC000580913128 1070953324 /nfs/dbraw/zinc/95/33/24/1070953324.db2.gz HUDFRLPEDMLYBU-ZDUSSCGKSA-N 0 0 428.477 -0.083 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1=O ZINC000580913859 1070953289 /nfs/dbraw/zinc/95/32/89/1070953289.db2.gz MDTIBYZLDTUALL-DLBZAZTESA-N 0 0 432.525 -0.792 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1=O ZINC000580913866 1070953222 /nfs/dbraw/zinc/95/32/22/1070953222.db2.gz MDTIBYZLDTUALL-IAGOWNOFSA-N 0 0 432.525 -0.792 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1=O ZINC000580913868 1070953145 /nfs/dbraw/zinc/95/31/45/1070953145.db2.gz MDTIBYZLDTUALL-IRXDYDNUSA-N 0 0 432.525 -0.792 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1=O ZINC000580913870 1070953189 /nfs/dbraw/zinc/95/31/89/1070953189.db2.gz MDTIBYZLDTUALL-SJORKVTESA-N 0 0 432.525 -0.792 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cn1C ZINC000580916922 1070953311 /nfs/dbraw/zinc/95/33/11/1070953311.db2.gz MUUJTGOHVAMSER-CYBMUJFWSA-N 0 0 429.499 -0.473 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cn1C ZINC000580916925 1070953238 /nfs/dbraw/zinc/95/32/38/1070953238.db2.gz MUUJTGOHVAMSER-ZDUSSCGKSA-N 0 0 429.499 -0.473 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCSC3)C2)CC1)N1CCOCC1 ZINC000580918714 1070953773 /nfs/dbraw/zinc/95/37/73/1070953773.db2.gz BDOUIVFJAQHLQP-JXFKEZNVSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCSC3)C2)CC1)N1CCOCC1 ZINC000580918715 1070953909 /nfs/dbraw/zinc/95/39/09/1070953909.db2.gz BDOUIVFJAQHLQP-OXJNMPFZSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCSC3)C2)CC1)N1CCOCC1 ZINC000580918716 1070953906 /nfs/dbraw/zinc/95/39/06/1070953906.db2.gz BDOUIVFJAQHLQP-OXQOHEQNSA-N 0 0 440.566 -0.840 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCSC3)C2)CC1)N1CCOCC1 ZINC000580918717 1070953823 /nfs/dbraw/zinc/95/38/23/1070953823.db2.gz BDOUIVFJAQHLQP-UZLBHIALSA-N 0 0 440.566 -0.840 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1)CCC2 ZINC000580919028 1070953095 /nfs/dbraw/zinc/95/30/95/1070953095.db2.gz MBIYIYMTKBMOMQ-CVEARBPZSA-N 0 0 441.554 -0.048 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1)CCC2 ZINC000580919029 1070953261 /nfs/dbraw/zinc/95/32/61/1070953261.db2.gz MBIYIYMTKBMOMQ-HOTGVXAUSA-N 0 0 441.554 -0.048 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CC[C@@H](S(=O)(=O)NC(C)C)C1)CCC2 ZINC000580919030 1070953255 /nfs/dbraw/zinc/95/32/55/1070953255.db2.gz MBIYIYMTKBMOMQ-HZPDHXFCSA-N 0 0 441.554 -0.048 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CC[C@H](S(=O)(=O)NC(C)C)C1)CCC2 ZINC000580919031 1070953930 /nfs/dbraw/zinc/95/39/30/1070953930.db2.gz MBIYIYMTKBMOMQ-JKSUJKDBSA-N 0 0 441.554 -0.048 20 0 IBADRN CCc1cnccc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000580920085 1070953132 /nfs/dbraw/zinc/95/31/32/1070953132.db2.gz TXADRMTWPGFJOQ-UHFFFAOYSA-N 0 0 434.522 -0.165 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NCCN2CCOC(C)(C)C2)(N2CCOCC2)C1 ZINC000580921909 1070953875 /nfs/dbraw/zinc/95/38/75/1070953875.db2.gz CMPJSJORFOFSBW-UHFFFAOYSA-N 0 0 426.558 -0.401 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)(N2CCOCC2)C1 ZINC000580921912 1070953810 /nfs/dbraw/zinc/95/38/10/1070953810.db2.gz CRBRNEMSXXRABL-UHFFFAOYSA-N 0 0 440.541 -0.920 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)[C@H]1c1ccccc1 ZINC000580922838 1070953898 /nfs/dbraw/zinc/95/38/98/1070953898.db2.gz CXZUPFZOCNRZQB-SJORKVTESA-N 0 0 448.505 -0.374 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NCCS(=O)(=O)N(C)C)C2=O)c(OC)c1 ZINC000580924791 1070953841 /nfs/dbraw/zinc/95/38/41/1070953841.db2.gz BJXIVCFWUNWZLT-HNNXBMFYSA-N 0 0 428.511 -0.005 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NCCS(=O)(=O)N(C)C)C2=O)c(OC)c1 ZINC000580924793 1070953881 /nfs/dbraw/zinc/95/38/81/1070953881.db2.gz BJXIVCFWUNWZLT-OAHLLOKOSA-N 0 0 428.511 -0.005 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000580929939 1070953919 /nfs/dbraw/zinc/95/39/19/1070953919.db2.gz ZCOOADAXQDWSKZ-UHFFFAOYSA-N 0 0 443.507 -0.267 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000580930988 1070954512 /nfs/dbraw/zinc/95/45/12/1070954512.db2.gz QBTZTRQRQDVDEI-CABCVRRESA-N 0 0 429.520 -0.135 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000580930991 1070954581 /nfs/dbraw/zinc/95/45/81/1070954581.db2.gz QBTZTRQRQDVDEI-GJZGRUSLSA-N 0 0 429.520 -0.135 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000580930993 1070954367 /nfs/dbraw/zinc/95/43/67/1070954367.db2.gz QBTZTRQRQDVDEI-HUUCEWRRSA-N 0 0 429.520 -0.135 20 0 IBADRN CS(=O)(=O)[C@H]1CCCCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC000580930994 1070954394 /nfs/dbraw/zinc/95/43/94/1070954394.db2.gz QBTZTRQRQDVDEI-LSDHHAIUSA-N 0 0 429.520 -0.135 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000580940640 1070955297 /nfs/dbraw/zinc/95/52/97/1070955297.db2.gz COGMKKYDPSLHGT-CYBMUJFWSA-N 0 0 446.483 -0.367 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000580940641 1070955137 /nfs/dbraw/zinc/95/51/37/1070955137.db2.gz COGMKKYDPSLHGT-ZDUSSCGKSA-N 0 0 446.483 -0.367 20 0 IBADRN O=C(CCn1cnccc1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000580943035 1070955221 /nfs/dbraw/zinc/95/52/21/1070955221.db2.gz RJVIYQLCMFDZGP-UHFFFAOYSA-N 0 0 447.473 -0.503 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@@H](C)C3)nc2)nn1 ZINC000580943338 1070955246 /nfs/dbraw/zinc/95/52/46/1070955246.db2.gz IFELVIHIWVGKIT-BETUJISGSA-N 0 0 431.453 -0.172 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(N3C[C@@H](C)O[C@H](C)C3)nc2)nn1 ZINC000580943339 1070955234 /nfs/dbraw/zinc/95/52/34/1070955234.db2.gz IFELVIHIWVGKIT-CHWSQXEVSA-N 0 0 431.453 -0.172 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(N3C[C@H](C)O[C@@H](C)C3)nc2)nn1 ZINC000580943340 1070955200 /nfs/dbraw/zinc/95/52/00/1070955200.db2.gz IFELVIHIWVGKIT-STQMWFEESA-N 0 0 431.453 -0.172 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000580955477 1070955990 /nfs/dbraw/zinc/95/59/90/1070955990.db2.gz DBVMRRLKPDGONH-UHFFFAOYSA-N 0 0 449.527 -0.241 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000580966027 1070956851 /nfs/dbraw/zinc/95/68/51/1070956851.db2.gz VNQCAOVPJAEZAZ-UHFFFAOYSA-N 0 0 448.549 -0.761 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000580966604 1070956926 /nfs/dbraw/zinc/95/69/26/1070956926.db2.gz GJGRLINDBMRILF-NRFANRHFSA-N 0 0 429.477 -0.402 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000580966605 1070956841 /nfs/dbraw/zinc/95/68/41/1070956841.db2.gz GJGRLINDBMRILF-OAQYLSRUSA-N 0 0 429.477 -0.402 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N(C)Cc2nnnn2-c2cc(OC)cc(OC)c2)nn1 ZINC000580967769 1070956827 /nfs/dbraw/zinc/95/68/27/1070956827.db2.gz LGBNQRUUKAHUFS-UHFFFAOYSA-N 0 0 445.440 -0.101 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000580969678 1070956917 /nfs/dbraw/zinc/95/69/17/1070956917.db2.gz RBOGCCJTPUPYCL-UHFFFAOYSA-N 0 0 446.507 -0.234 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)c1ccccc1 ZINC000580971512 1070956732 /nfs/dbraw/zinc/95/67/32/1070956732.db2.gz CHVOKJGRVDXHIR-BTYIYWSLSA-N 0 0 429.477 -0.183 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)c1ccccc1 ZINC000580971515 1070957400 /nfs/dbraw/zinc/95/74/00/1070957400.db2.gz CHVOKJGRVDXHIR-QVKFZJNVSA-N 0 0 429.477 -0.183 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)c1ccccc1 ZINC000580971517 1070957767 /nfs/dbraw/zinc/95/77/67/1070957767.db2.gz CHVOKJGRVDXHIR-VFNWGFHPSA-N 0 0 429.477 -0.183 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)c1ccccc1 ZINC000580971518 1070957572 /nfs/dbraw/zinc/95/75/72/1070957572.db2.gz CHVOKJGRVDXHIR-YCRPNKLZSA-N 0 0 429.477 -0.183 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)[C@H](C)C1 ZINC000580972428 1070957701 /nfs/dbraw/zinc/95/77/01/1070957701.db2.gz YTSHJRCBCPWPBT-CABCVRRESA-N 0 0 434.497 -0.873 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)[C@@H](C)C1 ZINC000580972431 1070957479 /nfs/dbraw/zinc/95/74/79/1070957479.db2.gz YTSHJRCBCPWPBT-GJZGRUSLSA-N 0 0 434.497 -0.873 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)[C@H](C)C1 ZINC000580972432 1070957739 /nfs/dbraw/zinc/95/77/39/1070957739.db2.gz YTSHJRCBCPWPBT-HUUCEWRRSA-N 0 0 434.497 -0.873 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)[C@@H](C)C1 ZINC000580972433 1070957539 /nfs/dbraw/zinc/95/75/39/1070957539.db2.gz YTSHJRCBCPWPBT-LSDHHAIUSA-N 0 0 434.497 -0.873 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)CC2)CC1 ZINC000580973035 1070957757 /nfs/dbraw/zinc/95/77/57/1070957757.db2.gz NOMNMMNOTUJMDD-KRWDZBQOSA-N 0 0 446.527 -0.072 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)CC2)CC1 ZINC000580973036 1070957720 /nfs/dbraw/zinc/95/77/20/1070957720.db2.gz NOMNMMNOTUJMDD-QGZVFWFLSA-N 0 0 446.527 -0.072 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCc1ccc(F)c(F)c1F ZINC000580975207 1070958217 /nfs/dbraw/zinc/95/82/17/1070958217.db2.gz VVZMMGUGOCXNHW-UHFFFAOYSA-N 0 0 434.396 -0.014 20 0 IBADRN O=S(=O)(CCOCC(F)(F)F)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000580978725 1070957376 /nfs/dbraw/zinc/95/73/76/1070957376.db2.gz IEVDSTQLPTUHPY-UHFFFAOYSA-N 0 0 439.478 -0.474 20 0 IBADRN Cc1nc(-c2c(C)c(C)nn(C)c2=O)sc1C(=O)NCCNS(=O)(=O)N(C)C ZINC000580979389 1070957661 /nfs/dbraw/zinc/95/76/61/1070957661.db2.gz QJBBBYQUDIWNII-UHFFFAOYSA-N 0 0 428.540 -0.045 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CCO1 ZINC000580979838 1070958443 /nfs/dbraw/zinc/95/84/43/1070958443.db2.gz VOPISBMLKJVEBX-CABCVRRESA-N 0 0 430.440 -0.511 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CCO1 ZINC000580979847 1070957463 /nfs/dbraw/zinc/95/74/63/1070957463.db2.gz VOPISBMLKJVEBX-GJZGRUSLSA-N 0 0 430.440 -0.511 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CCO1 ZINC000580979850 1070957517 /nfs/dbraw/zinc/95/75/17/1070957517.db2.gz VOPISBMLKJVEBX-HUUCEWRRSA-N 0 0 430.440 -0.511 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCc3nnc(-c4ccc(F)cc4)n3C2)CCO1 ZINC000580979852 1070958326 /nfs/dbraw/zinc/95/83/26/1070958326.db2.gz VOPISBMLKJVEBX-LSDHHAIUSA-N 0 0 430.440 -0.511 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)NCCOCCS(N)(=O)=O)cc(C3CC3)nc21 ZINC000580980216 1070957531 /nfs/dbraw/zinc/95/75/31/1070957531.db2.gz ZKRGJHBAYXODDK-UHFFFAOYSA-N 0 0 439.494 -0.181 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000580980797 1070958432 /nfs/dbraw/zinc/95/84/32/1070958432.db2.gz AZHQHPQBOHSXBF-UHFFFAOYSA-N 0 0 448.530 -0.226 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCn3cncc3C2)ccc1OC ZINC000580981388 1070958416 /nfs/dbraw/zinc/95/84/16/1070958416.db2.gz BENHUXULHRYXJR-UHFFFAOYSA-N 0 0 437.478 -0.203 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000580982086 1070958249 /nfs/dbraw/zinc/95/82/49/1070958249.db2.gz NCWRGGSSSJQBQT-UHFFFAOYSA-N 0 0 441.485 -0.010 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)CNS(=O)(=O)c3c(C)noc3C)C2)nn1 ZINC000580983762 1070958311 /nfs/dbraw/zinc/95/83/11/1070958311.db2.gz UBUMPFZAXBKTMX-GFCCVEGCSA-N 0 0 426.455 -0.188 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)CNS(=O)(=O)c3c(C)noc3C)C2)nn1 ZINC000580983763 1070958290 /nfs/dbraw/zinc/95/82/90/1070958290.db2.gz UBUMPFZAXBKTMX-LBPRGKRZSA-N 0 0 426.455 -0.188 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000580987704 1070958275 /nfs/dbraw/zinc/95/82/75/1070958275.db2.gz UBSRGVBYLXCCNI-UHFFFAOYSA-N 0 0 427.339 -0.133 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000581002634 1070958387 /nfs/dbraw/zinc/95/83/87/1070958387.db2.gz HMCOPFJCNHGWQU-CYBMUJFWSA-N 0 0 425.497 -0.129 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000581002635 1070958194 /nfs/dbraw/zinc/95/81/94/1070958194.db2.gz HMCOPFJCNHGWQU-ZDUSSCGKSA-N 0 0 425.497 -0.129 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)C1 ZINC000581003223 1070958260 /nfs/dbraw/zinc/95/82/60/1070958260.db2.gz QRTIRASLMCKURN-GFCCVEGCSA-N 0 0 436.494 -0.167 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@@H]1CCOC1 ZINC000581024439 1070958939 /nfs/dbraw/zinc/95/89/39/1070958939.db2.gz FUMHXDNEMGRLKQ-INIZCTEOSA-N 0 0 436.513 -0.642 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H]1CCOC1 ZINC000581024442 1070959117 /nfs/dbraw/zinc/95/91/17/1070959117.db2.gz FUMHXDNEMGRLKQ-MRXNPFEDSA-N 0 0 436.513 -0.642 20 0 IBADRN Cc1nc2ccccc2n1CCNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000581025738 1070959108 /nfs/dbraw/zinc/95/91/08/1070959108.db2.gz PXZXAXLYDAKFSW-UHFFFAOYSA-N 0 0 434.478 -0.315 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc3ccccc3n2)CC1)N1CCOCC1 ZINC000581026326 1070959132 /nfs/dbraw/zinc/95/91/32/1070959132.db2.gz YFXITORLYXBCEM-UHFFFAOYSA-N 0 0 425.489 -0.146 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000581028302 1070958961 /nfs/dbraw/zinc/95/89/61/1070958961.db2.gz UWAXUISDLRUWID-GOSISDBHSA-N 0 0 431.537 -0.370 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000581028303 1070959152 /nfs/dbraw/zinc/95/91/52/1070959152.db2.gz UWAXUISDLRUWID-SFHVURJKSA-N 0 0 431.537 -0.370 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCC[C@H]1c1ccccc1)C2 ZINC000581031415 1070959139 /nfs/dbraw/zinc/95/91/39/1070959139.db2.gz CZXBFGTVIRFBAF-DLBZAZTESA-N 0 0 440.504 -0.072 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)c1 ZINC000581032953 1070959005 /nfs/dbraw/zinc/95/90/05/1070959005.db2.gz UILSSDMIMMJRPD-UHFFFAOYSA-N 0 0 433.490 -0.244 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)C(=O)Nc2cc(C)n(-c3ccc(F)cc3F)n2)nn1 ZINC000581036125 1073325634 /nfs/dbraw/zinc/32/56/34/1073325634.db2.gz UZKGCOVJMKKXAX-UHFFFAOYSA-N 0 0 433.375 0.478 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(F)c1 ZINC000581039105 1070958847 /nfs/dbraw/zinc/95/88/47/1070958847.db2.gz MOZNBTULPUULDW-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1 ZINC000581041816 1070959849 /nfs/dbraw/zinc/95/98/49/1070959849.db2.gz BPTQQOHBCNWZBD-CYBMUJFWSA-N 0 0 432.524 -0.550 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1 ZINC000581041817 1070959762 /nfs/dbraw/zinc/95/97/62/1070959762.db2.gz BPTQQOHBCNWZBD-ZDUSSCGKSA-N 0 0 432.524 -0.550 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000581041974 1070959726 /nfs/dbraw/zinc/95/97/26/1070959726.db2.gz DDCONRLTOXJEDQ-LLVKDONJSA-N 0 0 431.268 -0.510 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000581041978 1070959887 /nfs/dbraw/zinc/95/98/87/1070959887.db2.gz DDCONRLTOXJEDQ-NSHDSACASA-N 0 0 431.268 -0.510 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000581042516 1070958866 /nfs/dbraw/zinc/95/88/66/1070958866.db2.gz NJQROSNFZOBZOB-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1S(=O)(=O)NC1CC1 ZINC000581042615 1070959098 /nfs/dbraw/zinc/95/90/98/1070959098.db2.gz LCNBNODNEMTVDY-UHFFFAOYSA-N 0 0 432.524 -0.618 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000581042868 1070959160 /nfs/dbraw/zinc/95/91/60/1070959160.db2.gz SLNSTEQDXCPWTA-JTQLQIEISA-N 0 0 434.421 -0.482 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000581042873 1070959792 /nfs/dbraw/zinc/95/97/92/1070959792.db2.gz SLNSTEQDXCPWTA-SNVBAGLBSA-N 0 0 434.421 -0.482 20 0 IBADRN COC[C@H](C)n1ccc(NC(=O)C(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)n1 ZINC000581042997 1070959128 /nfs/dbraw/zinc/95/91/28/1070959128.db2.gz OHYDQRBHGZZTRU-AWEZNQCLSA-N 0 0 435.506 0.527 20 0 IBADRN COC[C@@H](C)n1ccc(NC(=O)C(=O)N2CC(NS(=O)(=O)c3ccc(C)cc3)C2)n1 ZINC000581042998 1070959085 /nfs/dbraw/zinc/95/90/85/1070959085.db2.gz OHYDQRBHGZZTRU-CQSZACIVSA-N 0 0 435.506 0.527 20 0 IBADRN CC(C)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000581046087 1070959689 /nfs/dbraw/zinc/95/96/89/1070959689.db2.gz XUEHUKHUAJVRMN-GASCZTMLSA-N 0 0 442.542 -0.099 20 0 IBADRN CC(C)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000581046088 1070959895 /nfs/dbraw/zinc/95/98/95/1070959895.db2.gz XUEHUKHUAJVRMN-GJZGRUSLSA-N 0 0 442.542 -0.099 20 0 IBADRN CC(C)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000581046089 1070959714 /nfs/dbraw/zinc/95/97/14/1070959714.db2.gz XUEHUKHUAJVRMN-HUUCEWRRSA-N 0 0 442.542 -0.099 20 0 IBADRN COC(=O)CCC1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000581046419 1070959702 /nfs/dbraw/zinc/95/97/02/1070959702.db2.gz LJJJPUGEBVUBRI-UHFFFAOYSA-N 0 0 435.481 -0.128 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000581054064 1070959904 /nfs/dbraw/zinc/95/99/04/1070959904.db2.gz HHIFUQZWFDJQKY-PBHICJAKSA-N 0 0 432.495 -0.081 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000581054069 1070959900 /nfs/dbraw/zinc/95/99/00/1070959900.db2.gz HHIFUQZWFDJQKY-RHSMWYFYSA-N 0 0 432.495 -0.081 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000581054070 1070959803 /nfs/dbraw/zinc/95/98/03/1070959803.db2.gz HHIFUQZWFDJQKY-WMLDXEAASA-N 0 0 432.495 -0.081 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000581054071 1070959855 /nfs/dbraw/zinc/95/98/55/1070959855.db2.gz HHIFUQZWFDJQKY-YOEHRIQHSA-N 0 0 432.495 -0.081 20 0 IBADRN CCOC(=O)C(NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OCC ZINC000581063778 1070959880 /nfs/dbraw/zinc/95/98/80/1070959880.db2.gz QFZFTIHTCUEWEH-UHFFFAOYSA-N 0 0 444.462 -0.263 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCO1 ZINC000581064566 1070959842 /nfs/dbraw/zinc/95/98/42/1070959842.db2.gz UAEWBSKLZWHPTM-AWEZNQCLSA-N 0 0 425.511 -0.359 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCO1 ZINC000581064567 1070959830 /nfs/dbraw/zinc/95/98/30/1070959830.db2.gz UAEWBSKLZWHPTM-CQSZACIVSA-N 0 0 425.511 -0.359 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)O1 ZINC000581076279 1070959816 /nfs/dbraw/zinc/95/98/16/1070959816.db2.gz CLSROHZLFACMLI-LSDHHAIUSA-N 0 0 433.527 -0.403 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000581084717 1070960280 /nfs/dbraw/zinc/96/02/80/1070960280.db2.gz ATBZQHSSLORNDF-UHFFFAOYSA-N 0 0 441.492 -0.708 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3)CC2)CC1 ZINC000581087178 1070960583 /nfs/dbraw/zinc/96/05/83/1070960583.db2.gz DYNPZPXLPXFWGE-HNNXBMFYSA-N 0 0 433.475 -0.248 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3)CC2)CC1 ZINC000581087179 1070960530 /nfs/dbraw/zinc/96/05/30/1070960530.db2.gz DYNPZPXLPXFWGE-OAHLLOKOSA-N 0 0 433.475 -0.248 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)C(=O)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000581087395 1070960606 /nfs/dbraw/zinc/96/06/06/1070960606.db2.gz KWVZMZCLQIWSPS-QZTJIDSGSA-N 0 0 430.505 -0.249 20 0 IBADRN CC(C)n1ccnc1CN1CCCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000581089985 1070961052 /nfs/dbraw/zinc/96/10/52/1070961052.db2.gz VUYUEAHDUCLQSE-UHFFFAOYSA-N 0 0 442.524 -0.166 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)Cn3c(=O)ccn(C)c3=O)cc2)C[C@H](C)O1 ZINC000581090287 1070960517 /nfs/dbraw/zinc/96/05/17/1070960517.db2.gz KCMFYMPWTHCXQY-KBPBESRZSA-N 0 0 436.490 -0.016 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)Cn3c(=O)ccn(C)c3=O)cc2)C[C@H](C)O1 ZINC000581090288 1070960300 /nfs/dbraw/zinc/96/03/00/1070960300.db2.gz KCMFYMPWTHCXQY-OKILXGFUSA-N 0 0 436.490 -0.016 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)Cn3c(=O)ccn(C)c3=O)cc2)C[C@@H](C)O1 ZINC000581090289 1070960474 /nfs/dbraw/zinc/96/04/74/1070960474.db2.gz KCMFYMPWTHCXQY-ZIAGYGMSSA-N 0 0 436.490 -0.016 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c2ccccc2O1 ZINC000581090754 1070960567 /nfs/dbraw/zinc/96/05/67/1070960567.db2.gz UXUYNYSKIWATNT-INIZCTEOSA-N 0 0 439.490 -0.259 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)c2ccccc2O1 ZINC000581090755 1070961260 /nfs/dbraw/zinc/96/12/60/1070961260.db2.gz UXUYNYSKIWATNT-MRXNPFEDSA-N 0 0 439.490 -0.259 20 0 IBADRN Cc1cccc(O[C@@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000581091537 1070961193 /nfs/dbraw/zinc/96/11/93/1070961193.db2.gz LEAWEZHPAYQMDU-HNNXBMFYSA-N 0 0 444.492 -0.633 20 0 IBADRN Cc1cccc(O[C@H](C)CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000581091538 1070961217 /nfs/dbraw/zinc/96/12/17/1070961217.db2.gz LEAWEZHPAYQMDU-OAHLLOKOSA-N 0 0 444.492 -0.633 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC[C@](O)(C(F)(F)F)C2)s1 ZINC000581093011 1070960373 /nfs/dbraw/zinc/96/03/73/1070960373.db2.gz BFUNZASYCBBRJV-CYBMUJFWSA-N 0 0 429.442 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CC[C@@](O)(C(F)(F)F)C2)s1 ZINC000581093014 1070960354 /nfs/dbraw/zinc/96/03/54/1070960354.db2.gz BFUNZASYCBBRJV-ZDUSSCGKSA-N 0 0 429.442 -0.023 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000581095619 1070961179 /nfs/dbraw/zinc/96/11/79/1070961179.db2.gz WGHSNWWHYUQEEQ-KBPBESRZSA-N 0 0 425.577 -0.630 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000581095620 1070961208 /nfs/dbraw/zinc/96/12/08/1070961208.db2.gz WGHSNWWHYUQEEQ-KGLIPLIRSA-N 0 0 425.577 -0.630 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000581095621 1070961102 /nfs/dbraw/zinc/96/11/02/1070961102.db2.gz WGHSNWWHYUQEEQ-UONOGXRCSA-N 0 0 425.577 -0.630 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000581095622 1070961140 /nfs/dbraw/zinc/96/11/40/1070961140.db2.gz WGHSNWWHYUQEEQ-ZIAGYGMSSA-N 0 0 425.577 -0.630 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000581097456 1070961160 /nfs/dbraw/zinc/96/11/60/1070961160.db2.gz SZTVFJFFIPOJKV-AAEUAGOBSA-N 0 0 436.490 -0.117 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000581097457 1070961076 /nfs/dbraw/zinc/96/10/76/1070961076.db2.gz SZTVFJFFIPOJKV-DGCLKSJQSA-N 0 0 436.490 -0.117 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N[C@@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000581097458 1070961170 /nfs/dbraw/zinc/96/11/70/1070961170.db2.gz SZTVFJFFIPOJKV-WCQYABFASA-N 0 0 436.490 -0.117 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N[C@H]2CCN(c3sccc3C(=O)OC)C2=O)C1 ZINC000581097459 1070961093 /nfs/dbraw/zinc/96/10/93/1070961093.db2.gz SZTVFJFFIPOJKV-YPMHNXCESA-N 0 0 436.490 -0.117 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@@H](CO)[C@H](O)C2)o1 ZINC000581106003 1070961965 /nfs/dbraw/zinc/96/19/65/1070961965.db2.gz HTFSMCNUOHISCQ-BFHYXJOUSA-N 0 0 430.479 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@H](CO)[C@@H](O)C2)o1 ZINC000581106013 1070961846 /nfs/dbraw/zinc/96/18/46/1070961846.db2.gz HTFSMCNUOHISCQ-MCIONIFRSA-N 0 0 430.479 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@H](CO)[C@H](O)C2)o1 ZINC000581106014 1070961774 /nfs/dbraw/zinc/96/17/74/1070961774.db2.gz HTFSMCNUOHISCQ-MGPQQGTHSA-N 0 0 430.479 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@@H](CO)[C@@H](O)C2)o1 ZINC000581106015 1070961945 /nfs/dbraw/zinc/96/19/45/1070961945.db2.gz HTFSMCNUOHISCQ-MJBXVCDLSA-N 0 0 430.479 -0.189 20 0 IBADRN COc1c(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)cc(Cl)cc1C(N)=O ZINC000581122184 1070962732 /nfs/dbraw/zinc/96/27/32/1070962732.db2.gz UAZPJDDWUKYOSZ-UHFFFAOYSA-N 0 0 425.873 -0.334 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000581122346 1070962666 /nfs/dbraw/zinc/96/26/66/1070962666.db2.gz BUJIMRGSWWIWTB-UHFFFAOYSA-N 0 0 447.536 -0.148 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)Nc1ccccc1 ZINC000581122729 1070962652 /nfs/dbraw/zinc/96/26/52/1070962652.db2.gz HMEXNNHXDJKNEG-UHFFFAOYSA-N 0 0 428.536 -0.374 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCC(=O)N(C)[C@H]2c2ccnn2C)C1 ZINC000581123376 1070962717 /nfs/dbraw/zinc/96/27/17/1070962717.db2.gz ZTNPLKKSESMIFF-CKEIUWERSA-N 0 0 441.558 -0.348 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)c1 ZINC000581124372 1070962744 /nfs/dbraw/zinc/96/27/44/1070962744.db2.gz OCWJFBAKPLYRFY-UHFFFAOYSA-N 0 0 443.503 -0.041 20 0 IBADRN CC(C)(C)Oc1cccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)n1 ZINC000581125627 1070962471 /nfs/dbraw/zinc/96/24/71/1070962471.db2.gz WTMLJOWVVTXCNU-UHFFFAOYSA-N 0 0 447.536 -0.122 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@H](Cc1c[nH]cn1)NC(=O)C1CCCCC1 ZINC000581144103 1070962561 /nfs/dbraw/zinc/96/25/61/1070962561.db2.gz BKGAMZIICQRUAX-KRWDZBQOSA-N 0 0 425.555 -0.136 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@@H](Cc1c[nH]cn1)NC(=O)C1CCCCC1 ZINC000581144104 1070962505 /nfs/dbraw/zinc/96/25/05/1070962505.db2.gz BKGAMZIICQRUAX-QGZVFWFLSA-N 0 0 425.555 -0.136 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000581145657 1070962709 /nfs/dbraw/zinc/96/27/09/1070962709.db2.gz HXYFCEGVLHLFOM-INIZCTEOSA-N 0 0 441.554 -0.651 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000581145658 1070963436 /nfs/dbraw/zinc/96/34/36/1070963436.db2.gz HXYFCEGVLHLFOM-MRXNPFEDSA-N 0 0 441.554 -0.651 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H]1Cn1ccnc1)N1CCN(c2ncccn2)CC1 ZINC000581148643 1070963408 /nfs/dbraw/zinc/96/34/08/1070963408.db2.gz MESCDEJMFVPWRG-KRWDZBQOSA-N 0 0 440.508 -0.481 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H]1Cn1ccnc1)N1CCN(c2ncccn2)CC1 ZINC000581148645 1070963526 /nfs/dbraw/zinc/96/35/26/1070963526.db2.gz MESCDEJMFVPWRG-QGZVFWFLSA-N 0 0 440.508 -0.481 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2ccc([C@]3(C)NC(=O)NC3=O)cc2)C1)C(N)=O ZINC000581149116 1070963485 /nfs/dbraw/zinc/96/34/85/1070963485.db2.gz VACPQWLUPLVHEB-FQEVSTJZSA-N 0 0 429.477 -0.027 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2ccc([C@@]3(C)NC(=O)NC3=O)cc2)C1)C(N)=O ZINC000581149117 1070963502 /nfs/dbraw/zinc/96/35/02/1070963502.db2.gz VACPQWLUPLVHEB-HXUWFJFHSA-N 0 0 429.477 -0.027 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000581150255 1070963452 /nfs/dbraw/zinc/96/34/52/1070963452.db2.gz HWCBIWVVLAAYGC-CYBMUJFWSA-N 0 0 429.470 -0.575 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000581150256 1070963262 /nfs/dbraw/zinc/96/32/62/1070963262.db2.gz HWCBIWVVLAAYGC-ZDUSSCGKSA-N 0 0 429.470 -0.575 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)CC1 ZINC000581156234 1070964111 /nfs/dbraw/zinc/96/41/11/1070964111.db2.gz JBMCGHZRSMYRRA-UHFFFAOYSA-N 0 0 434.497 -0.723 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000581156282 1070964286 /nfs/dbraw/zinc/96/42/86/1070964286.db2.gz LOWSQRNTCQBMIP-INDMIFKZSA-N 0 0 441.532 -0.268 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000581156283 1070964248 /nfs/dbraw/zinc/96/42/48/1070964248.db2.gz LOWSQRNTCQBMIP-MANSERQUSA-N 0 0 441.532 -0.268 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000581156284 1070964274 /nfs/dbraw/zinc/96/42/74/1070964274.db2.gz LOWSQRNTCQBMIP-OKYOBFRVSA-N 0 0 441.532 -0.268 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000581156285 1070964180 /nfs/dbraw/zinc/96/41/80/1070964180.db2.gz LOWSQRNTCQBMIP-WJFTUGDTSA-N 0 0 441.532 -0.268 20 0 IBADRN COC(=O)Cc1nc(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)n[nH]1 ZINC000581156680 1070964217 /nfs/dbraw/zinc/96/42/17/1070964217.db2.gz CAOOJRBWPGRNHH-UHFFFAOYSA-N 0 0 439.450 -0.011 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccncc2OCC(F)(F)F)CC1 ZINC000581159312 1070964259 /nfs/dbraw/zinc/96/42/59/1070964259.db2.gz WYZPDTDKQYCNAE-UHFFFAOYSA-N 0 0 431.415 -0.081 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000581161921 1070963597 /nfs/dbraw/zinc/96/35/97/1070963597.db2.gz WIAXEJSEXMOWOW-HNNXBMFYSA-N 0 0 427.527 -0.955 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000581161922 1070963619 /nfs/dbraw/zinc/96/36/19/1070963619.db2.gz WIAXEJSEXMOWOW-OAHLLOKOSA-N 0 0 427.527 -0.955 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000581162133 1070963573 /nfs/dbraw/zinc/96/35/73/1070963573.db2.gz FWMXBVTWDJALES-UHFFFAOYSA-N 0 0 438.506 -0.636 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1OC ZINC000581163957 1070964128 /nfs/dbraw/zinc/96/41/28/1070964128.db2.gz ZUFGJLKEHHBBQN-GXTWGEPZSA-N 0 0 449.551 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1OC ZINC000581163958 1070964280 /nfs/dbraw/zinc/96/42/80/1070964280.db2.gz ZUFGJLKEHHBBQN-JSGCOSHPSA-N 0 0 449.551 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1OC ZINC000581163960 1070964171 /nfs/dbraw/zinc/96/41/71/1070964171.db2.gz ZUFGJLKEHHBBQN-OCCSQVGLSA-N 0 0 449.551 -0.184 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1OC ZINC000581163962 1070964099 /nfs/dbraw/zinc/96/40/99/1070964099.db2.gz ZUFGJLKEHHBBQN-TZMCWYRMSA-N 0 0 449.551 -0.184 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)(N2CCOCC2)C1 ZINC000581183711 1070964192 /nfs/dbraw/zinc/96/41/92/1070964192.db2.gz MPOGNBAJFCHDKY-UHFFFAOYSA-N 0 0 426.558 -0.712 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000581197685 1070964782 /nfs/dbraw/zinc/96/47/82/1070964782.db2.gz IJSPWWPILCSLLJ-OLZOCXBDSA-N 0 0 429.495 -0.070 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)CC1 ZINC000581203228 1070964927 /nfs/dbraw/zinc/96/49/27/1070964927.db2.gz HDKVOGQKFMIJJS-UHFFFAOYSA-N 0 0 442.922 -0.211 20 0 IBADRN O=C(NCCCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000581207542 1070964800 /nfs/dbraw/zinc/96/48/00/1070964800.db2.gz FGRNCQQPXKRCHS-KHTYJDQRSA-N 0 0 428.449 -0.330 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CC1 ZINC000581208270 1070964878 /nfs/dbraw/zinc/96/48/78/1070964878.db2.gz NAPVBIXBMACZHW-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000581210813 1070964895 /nfs/dbraw/zinc/96/48/95/1070964895.db2.gz AGFKKCHQQMMBKI-UHFFFAOYSA-N 0 0 438.492 -0.596 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCO[C@@H]1c1ccccc1)C2 ZINC000581212020 1070964847 /nfs/dbraw/zinc/96/48/47/1070964847.db2.gz LCUYUFNYYXPHBK-CRAIPNDOSA-N 0 0 442.476 -0.878 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCO[C@@H]1c1ccccc1)C2 ZINC000581212021 1070965476 /nfs/dbraw/zinc/96/54/76/1070965476.db2.gz LCUYUFNYYXPHBK-MAUKXSAKSA-N 0 0 442.476 -0.878 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCO[C@H]1c1ccccc1)C2 ZINC000581212022 1070965561 /nfs/dbraw/zinc/96/55/61/1070965561.db2.gz LCUYUFNYYXPHBK-QAPCUYQASA-N 0 0 442.476 -0.878 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCO[C@H]1c1ccccc1)C2 ZINC000581212023 1070965572 /nfs/dbraw/zinc/96/55/72/1070965572.db2.gz LCUYUFNYYXPHBK-YJBOKZPZSA-N 0 0 442.476 -0.878 20 0 IBADRN CN(Cc1ccc2c(c1)OCCO2)C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000581215013 1070965618 /nfs/dbraw/zinc/96/56/18/1070965618.db2.gz LQVRJKQHECXABS-UHFFFAOYSA-N 0 0 438.462 -0.360 20 0 IBADRN CCc1noc(CC)c1CNC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000581215598 1070965604 /nfs/dbraw/zinc/96/56/04/1070965604.db2.gz FXLQFIFXCVCCAH-UHFFFAOYSA-N 0 0 432.481 0.495 20 0 IBADRN COCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCC1 ZINC000581215930 1070965402 /nfs/dbraw/zinc/96/54/02/1070965402.db2.gz GIGKJLXONSGSBD-UHFFFAOYSA-N 0 0 441.554 -0.116 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(F)(F)F)C2=O)CCO1 ZINC000581216275 1070965379 /nfs/dbraw/zinc/96/53/79/1070965379.db2.gz YNITZMUDECKQMT-AAEUAGOBSA-N 0 0 428.367 -0.360 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(F)(F)F)C2=O)CCO1 ZINC000581216280 1070965672 /nfs/dbraw/zinc/96/56/72/1070965672.db2.gz YNITZMUDECKQMT-DGCLKSJQSA-N 0 0 428.367 -0.360 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(F)(F)F)C2=O)CCO1 ZINC000581216281 1070965488 /nfs/dbraw/zinc/96/54/88/1070965488.db2.gz YNITZMUDECKQMT-WCQYABFASA-N 0 0 428.367 -0.360 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(F)(F)F)C2=O)CCO1 ZINC000581216283 1070965657 /nfs/dbraw/zinc/96/56/57/1070965657.db2.gz YNITZMUDECKQMT-YPMHNXCESA-N 0 0 428.367 -0.360 20 0 IBADRN Cc1ncc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000581217713 1070965424 /nfs/dbraw/zinc/96/54/24/1070965424.db2.gz MSLJIJVZPDFGOR-UHFFFAOYSA-N 0 0 433.494 -0.613 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1 ZINC000581219678 1070965548 /nfs/dbraw/zinc/96/55/48/1070965548.db2.gz WFARVFVCMOMHRU-UHFFFAOYSA-N 0 0 448.495 -0.144 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)NC(C)C)cc1 ZINC000581220008 1070965513 /nfs/dbraw/zinc/96/55/13/1070965513.db2.gz HOZPKHVWRNABAV-UHFFFAOYSA-N 0 0 434.540 -0.708 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000581221952 1070965500 /nfs/dbraw/zinc/96/55/00/1070965500.db2.gz YTJGROVJNZYLIY-UHFFFAOYSA-N 0 0 438.395 -0.208 20 0 IBADRN O=C(CN1CCn2nnc(CO)c2C1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000581229441 1070966166 /nfs/dbraw/zinc/96/61/66/1070966166.db2.gz IPWDSMFTPSXVFB-UHFFFAOYSA-N 0 0 436.494 -0.754 20 0 IBADRN CC[C@@](C)(CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000581229750 1070965648 /nfs/dbraw/zinc/96/56/48/1070965648.db2.gz VYMCCMRNDQHAKY-IBGZPJMESA-N 0 0 442.538 -0.153 20 0 IBADRN CC[C@](C)(CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000581229751 1070965588 /nfs/dbraw/zinc/96/55/88/1070965588.db2.gz VYMCCMRNDQHAKY-LJQANCHMSA-N 0 0 442.538 -0.153 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000581231170 1070966064 /nfs/dbraw/zinc/96/60/64/1070966064.db2.gz VFTFHOAFYSYFBQ-GDBMZVCRSA-N 0 0 427.479 -0.052 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000581231171 1070966051 /nfs/dbraw/zinc/96/60/51/1070966051.db2.gz VFTFHOAFYSYFBQ-GOEBONIOSA-N 0 0 427.479 -0.052 20 0 IBADRN CCO[C@H]1C[C@@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000581231172 1070966069 /nfs/dbraw/zinc/96/60/69/1070966069.db2.gz VFTFHOAFYSYFBQ-HOCLYGCPSA-N 0 0 427.479 -0.052 20 0 IBADRN CCO[C@H]1C[C@H]1NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000581231173 1070966152 /nfs/dbraw/zinc/96/61/52/1070966152.db2.gz VFTFHOAFYSYFBQ-ZBFHGGJFSA-N 0 0 427.479 -0.052 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)C[S@](C)=O)CC2)cc1 ZINC000581242331 1070966871 /nfs/dbraw/zinc/96/68/71/1070966871.db2.gz JOVNYLXMMSQUEL-CEXHIMGSSA-N 0 0 431.536 -0.589 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)C[S@@](C)=O)CC2)cc1 ZINC000581242338 1070966844 /nfs/dbraw/zinc/96/68/44/1070966844.db2.gz JOVNYLXMMSQUEL-JGTBNHKDSA-N 0 0 431.536 -0.589 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)C[S@@](C)=O)CC2)cc1 ZINC000581242340 1070966749 /nfs/dbraw/zinc/96/67/49/1070966749.db2.gz JOVNYLXMMSQUEL-PFUSGODGSA-N 0 0 431.536 -0.589 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)C[S@](C)=O)CC2)cc1 ZINC000581242342 1070966813 /nfs/dbraw/zinc/96/68/13/1070966813.db2.gz JOVNYLXMMSQUEL-QWVSSGJLSA-N 0 0 431.536 -0.589 20 0 IBADRN COc1ccc([C@H](CO)N(C)C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000581243122 1070966858 /nfs/dbraw/zinc/96/68/58/1070966858.db2.gz KKNDVZZBCUHUFO-IBGZPJMESA-N 0 0 442.520 -0.085 20 0 IBADRN COc1ccc([C@@H](CO)N(C)C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000581243123 1070966819 /nfs/dbraw/zinc/96/68/19/1070966819.db2.gz KKNDVZZBCUHUFO-LJQANCHMSA-N 0 0 442.520 -0.085 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCCCn2c(=O)ccn(C)c2=O)c1 ZINC000581263668 1070966880 /nfs/dbraw/zinc/96/68/80/1070966880.db2.gz BXFXPYAJTJUSSQ-UHFFFAOYSA-N 0 0 438.506 -0.400 20 0 IBADRN COCCN(C(=O)CN1CCCN(S(=O)(=O)C2CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000581264702 1070967705 /nfs/dbraw/zinc/96/77/05/1070967705.db2.gz XTMATKNPIIMOSR-HNNXBMFYSA-N 0 0 437.584 -0.852 20 0 IBADRN COCCN(C(=O)CN1CCCN(S(=O)(=O)C2CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000581264703 1070967601 /nfs/dbraw/zinc/96/76/01/1070967601.db2.gz XTMATKNPIIMOSR-OAHLLOKOSA-N 0 0 437.584 -0.852 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3ccc4c(c3)CCO4)CC2)no1 ZINC000581265104 1073329545 /nfs/dbraw/zinc/32/95/45/1073329545.db2.gz JDPZBHPZBBHXLK-UHFFFAOYSA-N 0 0 427.461 0.317 20 0 IBADRN Cn1cc(C[C@H]2CCN(C(=O)C(=O)NCCCN3CCN(c4ncccn4)CC3)C2)cn1 ZINC000581265171 1070967567 /nfs/dbraw/zinc/96/75/67/1070967567.db2.gz MWNQUQLVXKRSCQ-GOSISDBHSA-N 0 0 440.552 -0.070 20 0 IBADRN Cn1cc(C[C@@H]2CCN(C(=O)C(=O)NCCCN3CCN(c4ncccn4)CC3)C2)cn1 ZINC000581265172 1070967422 /nfs/dbraw/zinc/96/74/22/1070967422.db2.gz MWNQUQLVXKRSCQ-SFHVURJKSA-N 0 0 440.552 -0.070 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ncccn4)C3)CC2)n1 ZINC000581266387 1070967505 /nfs/dbraw/zinc/96/75/05/1070967505.db2.gz RFDDKXOCLDQJJQ-HNNXBMFYSA-N 0 0 444.496 -0.565 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ncccn4)C3)CC2)n1 ZINC000581266388 1070967513 /nfs/dbraw/zinc/96/75/13/1070967513.db2.gz RFDDKXOCLDQJJQ-OAHLLOKOSA-N 0 0 444.496 -0.565 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@]2(CNC(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC000581268139 1070967518 /nfs/dbraw/zinc/96/75/18/1070967518.db2.gz SOQASZMHJCWSMG-HSZRJFAPSA-N 0 0 441.532 -0.030 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@]2(CNC(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC000581268142 1070967383 /nfs/dbraw/zinc/96/73/83/1070967383.db2.gz SOQASZMHJCWSMG-QHCPKHFHSA-N 0 0 441.532 -0.030 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC1(Cc3ccccc3)CC1)C2 ZINC000581270465 1070967680 /nfs/dbraw/zinc/96/76/80/1070967680.db2.gz FTHGFXJHINEAJP-UHFFFAOYSA-N 0 0 440.504 -0.386 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000581270987 1070968160 /nfs/dbraw/zinc/96/81/60/1070968160.db2.gz YYAADJZKHUWLJR-UHFFFAOYSA-N 0 0 444.579 -0.220 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000581271531 1070967693 /nfs/dbraw/zinc/96/76/93/1070967693.db2.gz DAJCVHYJKJMTEU-INIZCTEOSA-N 0 0 442.524 -0.072 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000581271532 1070967482 /nfs/dbraw/zinc/96/74/82/1070967482.db2.gz DAJCVHYJKJMTEU-MRXNPFEDSA-N 0 0 442.524 -0.072 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000581272366 1070968293 /nfs/dbraw/zinc/96/82/93/1070968293.db2.gz RGCBWYIHPBTREZ-UHFFFAOYSA-N 0 0 441.558 -0.432 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000581274227 1070968383 /nfs/dbraw/zinc/96/83/83/1070968383.db2.gz ABDQDERMUFWUOS-UHFFFAOYSA-N 0 0 429.521 -0.296 20 0 IBADRN CS[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(C)(C)C ZINC000581274419 1070968239 /nfs/dbraw/zinc/96/82/39/1070968239.db2.gz HKDORRYVUUQEMK-AWEZNQCLSA-N 0 0 428.555 -0.590 20 0 IBADRN CS[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(C)(C)C ZINC000581274422 1070968110 /nfs/dbraw/zinc/96/81/10/1070968110.db2.gz HKDORRYVUUQEMK-CQSZACIVSA-N 0 0 428.555 -0.590 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@@H](O)C3)CC2)cc1 ZINC000581274589 1070968130 /nfs/dbraw/zinc/96/81/30/1070968130.db2.gz JAXHMMAQGHXYEI-APWZRJJASA-N 0 0 441.550 -0.407 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@@H](O)C3)CC2)cc1 ZINC000581274591 1070968397 /nfs/dbraw/zinc/96/83/97/1070968397.db2.gz JAXHMMAQGHXYEI-LPHOPBHVSA-N 0 0 441.550 -0.407 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@H](O)C3)CC2)cc1 ZINC000581274592 1070968202 /nfs/dbraw/zinc/96/82/02/1070968202.db2.gz JAXHMMAQGHXYEI-QFBILLFUSA-N 0 0 441.550 -0.407 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@H](O)C3)CC2)cc1 ZINC000581274594 1070968333 /nfs/dbraw/zinc/96/83/33/1070968333.db2.gz JAXHMMAQGHXYEI-VQIMIIECSA-N 0 0 441.550 -0.407 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)N[C@H]3CCN(S(=O)(=O)c4ccccc4)C3)OCC(=O)N2C)cn1 ZINC000581275199 1070968360 /nfs/dbraw/zinc/96/83/60/1070968360.db2.gz QWYWCKCFJREDOR-ZYSHUDEJSA-N 0 0 447.517 -0.102 20 0 IBADRN CC(C)(C)n1ncnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000581279126 1070968281 /nfs/dbraw/zinc/96/82/81/1070968281.db2.gz NIHWHJRYJXBROM-UHFFFAOYSA-N 0 0 439.498 -0.689 20 0 IBADRN COC(=O)c1cc(C(C)(C)NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)no1 ZINC000581281171 1070968270 /nfs/dbraw/zinc/96/82/70/1070968270.db2.gz OIMMDXKSCJBNPC-UHFFFAOYSA-N 0 0 437.497 -0.711 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000581281588 1070968192 /nfs/dbraw/zinc/96/81/92/1070968192.db2.gz FVMWZIAEHFXPQG-AWEZNQCLSA-N 0 0 447.535 -0.415 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000581281589 1070968246 /nfs/dbraw/zinc/96/82/46/1070968246.db2.gz FVMWZIAEHFXPQG-CQSZACIVSA-N 0 0 447.535 -0.415 20 0 IBADRN CCN(Cc1nnc(C)o1)[C@@H]1CN(C(=O)CNS(=O)(=O)c2cccc(F)c2)C[C@H]1O ZINC000581282756 1070968173 /nfs/dbraw/zinc/96/81/73/1070968173.db2.gz OVZQPQBDIASKKM-HZPDHXFCSA-N 0 0 441.485 -0.111 20 0 IBADRN CCN(Cc1nnc(C)o1)[C@H]1CN(C(=O)CNS(=O)(=O)c2cccc(F)c2)C[C@H]1O ZINC000581282763 1070968230 /nfs/dbraw/zinc/96/82/30/1070968230.db2.gz OVZQPQBDIASKKM-JKSUJKDBSA-N 0 0 441.485 -0.111 20 0 IBADRN O=C(Nc1ncn(Cc2ccccc2)n1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000581288509 1073337623 /nfs/dbraw/zinc/33/76/23/1073337623.db2.gz ZNBSVVNSCZAXHW-INIZCTEOSA-N 0 0 432.506 0.291 20 0 IBADRN O=C(Nc1ncn(Cc2ccccc2)n1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000581288510 1073336843 /nfs/dbraw/zinc/33/68/43/1073336843.db2.gz ZNBSVVNSCZAXHW-MRXNPFEDSA-N 0 0 432.506 0.291 20 0 IBADRN O=C(CSc1n[nH]c(CO)n1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000581288635 1070968426 /nfs/dbraw/zinc/96/84/26/1070968426.db2.gz GJLTUGXQKWDTGZ-UHFFFAOYSA-N 0 0 427.508 -0.274 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc(OC)c(C(=O)N(C)C)c1 ZINC000581289311 1070969168 /nfs/dbraw/zinc/96/91/68/1070969168.db2.gz LRUUPWLRWXPTRP-HNNXBMFYSA-N 0 0 429.495 -0.451 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc(OC)c(C(=O)N(C)C)c1 ZINC000581289312 1070968915 /nfs/dbraw/zinc/96/89/15/1070968915.db2.gz LRUUPWLRWXPTRP-OAHLLOKOSA-N 0 0 429.495 -0.451 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2nccn2C)CC1 ZINC000581315157 1070969918 /nfs/dbraw/zinc/96/99/18/1070969918.db2.gz SOZGQQCTOOHYBW-UHFFFAOYSA-N 0 0 426.543 -0.310 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)nn1 ZINC000581315414 1070969911 /nfs/dbraw/zinc/96/99/11/1070969911.db2.gz DJSFNJSSORAEBD-UHFFFAOYSA-N 0 0 444.448 -0.400 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)n1 ZINC000581315555 1070969903 /nfs/dbraw/zinc/96/99/03/1070969903.db2.gz IKEAZKZDHZVXRZ-CALCHBBNSA-N 0 0 434.589 -0.434 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)n1 ZINC000581315556 1070969834 /nfs/dbraw/zinc/96/98/34/1070969834.db2.gz IKEAZKZDHZVXRZ-IAGOWNOFSA-N 0 0 434.589 -0.434 20 0 IBADRN CC(C)Nc1nc(NCCO)nc(N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)n1 ZINC000581315557 1070969724 /nfs/dbraw/zinc/96/97/24/1070969724.db2.gz IKEAZKZDHZVXRZ-IRXDYDNUSA-N 0 0 434.589 -0.434 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC000581325849 1070970584 /nfs/dbraw/zinc/97/05/84/1070970584.db2.gz XCWAFHJRTBOQKL-INIZCTEOSA-N 0 0 431.493 -0.467 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC000581325850 1070970498 /nfs/dbraw/zinc/97/04/98/1070970498.db2.gz XCWAFHJRTBOQKL-MRXNPFEDSA-N 0 0 431.493 -0.467 20 0 IBADRN CC(C)(C)OC(=O)C1CCC(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1 ZINC000581330380 1070970763 /nfs/dbraw/zinc/97/07/63/1070970763.db2.gz ZNCBCALGHXYNCP-UHFFFAOYSA-N 0 0 449.512 -0.639 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1 ZINC000581332970 1070971398 /nfs/dbraw/zinc/97/13/98/1070971398.db2.gz JQTPBTOHNLFWDS-GOSISDBHSA-N 0 0 429.521 -0.508 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)CC1 ZINC000581332971 1070971359 /nfs/dbraw/zinc/97/13/59/1070971359.db2.gz JQTPBTOHNLFWDS-SFHVURJKSA-N 0 0 429.521 -0.508 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(C(=O)Cc2ccccc2)CC1 ZINC000581333889 1073341152 /nfs/dbraw/zinc/34/11/52/1073341152.db2.gz ANELGEINEACWDT-UHFFFAOYSA-N 0 0 427.461 0.449 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cnn(-c2ccccn2)c1 ZINC000581334742 1070971424 /nfs/dbraw/zinc/97/14/24/1070971424.db2.gz HTVOSTDOSFKTER-HNNXBMFYSA-N 0 0 435.510 -0.017 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cnn(-c2ccccn2)c1 ZINC000581334743 1070971465 /nfs/dbraw/zinc/97/14/65/1070971465.db2.gz HTVOSTDOSFKTER-OAHLLOKOSA-N 0 0 435.510 -0.017 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)C1=O ZINC000581334852 1070970751 /nfs/dbraw/zinc/97/07/51/1070970751.db2.gz LMGYKTSMLLSCJR-BXUZGUMPSA-N 0 0 448.501 -0.117 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)C1=O ZINC000581334853 1070970595 /nfs/dbraw/zinc/97/05/95/1070970595.db2.gz LMGYKTSMLLSCJR-FZMZJTMJSA-N 0 0 448.501 -0.117 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)C1=O ZINC000581334854 1070970689 /nfs/dbraw/zinc/97/06/89/1070970689.db2.gz LMGYKTSMLLSCJR-RISCZKNCSA-N 0 0 448.501 -0.117 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)C1=O ZINC000581334855 1070970643 /nfs/dbraw/zinc/97/06/43/1070970643.db2.gz LMGYKTSMLLSCJR-SMDDNHRTSA-N 0 0 448.501 -0.117 20 0 IBADRN Cn1cc(CN(CCn2ccnc2)C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000581336861 1070971192 /nfs/dbraw/zinc/97/11/92/1070971192.db2.gz JMNXUQUTRBUEPP-IBGZPJMESA-N 0 0 429.525 -0.174 20 0 IBADRN Cn1cc(CN(CCn2ccnc2)C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000581336862 1070971408 /nfs/dbraw/zinc/97/14/08/1070971408.db2.gz JMNXUQUTRBUEPP-LJQANCHMSA-N 0 0 429.525 -0.174 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000581339428 1070971215 /nfs/dbraw/zinc/97/12/15/1070971215.db2.gz XRRQXBNJDPVZIB-UHFFFAOYSA-N 0 0 426.561 -0.680 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000581340157 1070971284 /nfs/dbraw/zinc/97/12/84/1070971284.db2.gz IHCYDXZZERJAFT-UHFFFAOYSA-N 0 0 433.494 -0.995 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000581340832 1070971303 /nfs/dbraw/zinc/97/13/03/1070971303.db2.gz QETGLOBEUDWUQE-UHFFFAOYSA-N 0 0 441.510 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC2CCC(C(=O)NC(N)=O)CC2)cc1OC ZINC000581341504 1070972045 /nfs/dbraw/zinc/97/20/45/1070972045.db2.gz UOLSIMBZPLOEFQ-UHFFFAOYSA-N 0 0 442.494 -0.148 20 0 IBADRN CC(C)Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000581357687 1070972853 /nfs/dbraw/zinc/97/28/53/1070972853.db2.gz ARHKSPXFLUNZOR-INIZCTEOSA-N 0 0 436.480 -0.276 20 0 IBADRN CC(C)Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000581357688 1070972887 /nfs/dbraw/zinc/97/28/87/1070972887.db2.gz ARHKSPXFLUNZOR-MRXNPFEDSA-N 0 0 436.480 -0.276 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2ccnc(OC(F)F)c2)n1)N1CCOCC1 ZINC000581360839 1070972723 /nfs/dbraw/zinc/97/27/23/1070972723.db2.gz HYCKXGUBWMWJBA-UHFFFAOYSA-N 0 0 438.391 -0.007 20 0 IBADRN CC(C)Cc1nnc(NC(=O)CN(C)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000581361145 1070972778 /nfs/dbraw/zinc/97/27/78/1070972778.db2.gz JYUSVKPGHAMBMZ-UHFFFAOYSA-N 0 0 448.509 -0.419 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000581386234 1070974415 /nfs/dbraw/zinc/97/44/15/1070974415.db2.gz MFQZQAOKZHXZGL-HNNXBMFYSA-N 0 0 432.481 -0.957 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C[C@H](C)O1 ZINC000581388423 1070973511 /nfs/dbraw/zinc/97/35/11/1070973511.db2.gz RATSXMZIPONNMD-CLLJXQQHSA-N 0 0 442.494 -0.716 20 0 IBADRN C[C@H]1CC(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C[C@H](C)O1 ZINC000581388424 1070973394 /nfs/dbraw/zinc/97/33/94/1070973394.db2.gz RATSXMZIPONNMD-RYUDHWBXSA-N 0 0 442.494 -0.716 20 0 IBADRN C[C@@H]1CC(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C[C@@H](C)O1 ZINC000581388425 1070973616 /nfs/dbraw/zinc/97/36/16/1070973616.db2.gz RATSXMZIPONNMD-VXGBXAGGSA-N 0 0 442.494 -0.716 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)n1 ZINC000581388479 1070973417 /nfs/dbraw/zinc/97/34/17/1070973417.db2.gz ILDGVYCZWBJWAY-CHWSQXEVSA-N 0 0 431.515 -0.639 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)n1 ZINC000581388480 1070973572 /nfs/dbraw/zinc/97/35/72/1070973572.db2.gz ILDGVYCZWBJWAY-OLZOCXBDSA-N 0 0 431.515 -0.639 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)n1 ZINC000581388481 1070973481 /nfs/dbraw/zinc/97/34/81/1070973481.db2.gz ILDGVYCZWBJWAY-QWHCGFSZSA-N 0 0 431.515 -0.639 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)n1 ZINC000581388482 1070973594 /nfs/dbraw/zinc/97/35/94/1070973594.db2.gz ILDGVYCZWBJWAY-STQMWFEESA-N 0 0 431.515 -0.639 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1 ZINC000581390679 1070974096 /nfs/dbraw/zinc/97/40/96/1070974096.db2.gz YHLFUCIFDMXRNG-GOSISDBHSA-N 0 0 441.532 -0.153 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1 ZINC000581390680 1070974324 /nfs/dbraw/zinc/97/43/24/1070974324.db2.gz YHLFUCIFDMXRNG-SFHVURJKSA-N 0 0 441.532 -0.153 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)[C@H](C)C1 ZINC000581392368 1070974237 /nfs/dbraw/zinc/97/42/37/1070974237.db2.gz VOXWHOIWTWLRGT-CVEARBPZSA-N 0 0 433.575 -0.074 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)[C@@H](C)C1 ZINC000581392369 1070974106 /nfs/dbraw/zinc/97/41/06/1070974106.db2.gz VOXWHOIWTWLRGT-HOTGVXAUSA-N 0 0 433.575 -0.074 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)[C@H](C)C1 ZINC000581392370 1070974154 /nfs/dbraw/zinc/97/41/54/1070974154.db2.gz VOXWHOIWTWLRGT-HZPDHXFCSA-N 0 0 433.575 -0.074 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)[C@@H](C)C1 ZINC000581392371 1070974333 /nfs/dbraw/zinc/97/43/33/1070974333.db2.gz VOXWHOIWTWLRGT-JKSUJKDBSA-N 0 0 433.575 -0.074 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCc3ccnc(N(C)C)n3)CC2)CC1 ZINC000581393633 1070974288 /nfs/dbraw/zinc/97/42/88/1070974288.db2.gz APOSZZBOSYETMB-UHFFFAOYSA-N 0 0 445.568 -0.002 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000581394093 1070974302 /nfs/dbraw/zinc/97/43/02/1070974302.db2.gz UNTPJNIPFLVISJ-GOSISDBHSA-N 0 0 435.477 -0.239 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000581394094 1070974317 /nfs/dbraw/zinc/97/43/17/1070974317.db2.gz UNTPJNIPFLVISJ-SFHVURJKSA-N 0 0 435.477 -0.239 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1)NC1CC1 ZINC000581396592 1070974213 /nfs/dbraw/zinc/97/42/13/1070974213.db2.gz KLWACIOTVYMGAV-UHFFFAOYSA-N 0 0 448.499 -0.904 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000581399771 1070975091 /nfs/dbraw/zinc/97/50/91/1070975091.db2.gz FTWTVXSVFBVOQI-HNNXBMFYSA-N 0 0 431.584 -0.022 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000581399772 1070974893 /nfs/dbraw/zinc/97/48/93/1070974893.db2.gz FTWTVXSVFBVOQI-OAHLLOKOSA-N 0 0 431.584 -0.022 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000581401399 1070975057 /nfs/dbraw/zinc/97/50/57/1070975057.db2.gz HGOHBSGXCKXWPZ-NEPJUHHUSA-N 0 0 427.479 -0.260 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000581401400 1070975062 /nfs/dbraw/zinc/97/50/62/1070975062.db2.gz HGOHBSGXCKXWPZ-NWDGAFQWSA-N 0 0 427.479 -0.260 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000581401401 1070974993 /nfs/dbraw/zinc/97/49/93/1070974993.db2.gz HGOHBSGXCKXWPZ-RYUDHWBXSA-N 0 0 427.479 -0.260 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000581401402 1070974962 /nfs/dbraw/zinc/97/49/62/1070974962.db2.gz HGOHBSGXCKXWPZ-VXGBXAGGSA-N 0 0 427.479 -0.260 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000581401585 1070975069 /nfs/dbraw/zinc/97/50/69/1070975069.db2.gz PVQDGXMPCFHWKF-AWEZNQCLSA-N 0 0 431.536 -0.152 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000581401586 1070974934 /nfs/dbraw/zinc/97/49/34/1070974934.db2.gz PVQDGXMPCFHWKF-CQSZACIVSA-N 0 0 431.536 -0.152 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(c2ncccc2F)CC1 ZINC000581420202 1070976593 /nfs/dbraw/zinc/97/65/93/1070976593.db2.gz ADJAERTXWXEEAN-UHFFFAOYSA-N 0 0 431.472 -0.230 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CC1=O ZINC000581441749 1070977136 /nfs/dbraw/zinc/97/71/36/1070977136.db2.gz ACHGXZQCLCELKI-UHFFFAOYSA-N 0 0 434.449 -0.619 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(-c4ccc5c(c4)OCO5)n3C[C@@H]3CCCO3)CCN2C1=O ZINC000581441963 1070977031 /nfs/dbraw/zinc/97/70/31/1070977031.db2.gz KBYBUYKOAURWQY-CABCVRRESA-N 0 0 440.460 0.000 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(-c4ccc5c(c4)OCO5)n3C[C@@H]3CCCO3)CCN2C1=O ZINC000581441964 1070977023 /nfs/dbraw/zinc/97/70/23/1070977023.db2.gz KBYBUYKOAURWQY-GJZGRUSLSA-N 0 0 440.460 0.000 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(-c4ccc5c(c4)OCO5)n3C[C@H]3CCCO3)CCN2C1=O ZINC000581441965 1070977127 /nfs/dbraw/zinc/97/71/27/1070977127.db2.gz KBYBUYKOAURWQY-HUUCEWRRSA-N 0 0 440.460 0.000 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(-c4ccc5c(c4)OCO5)n3C[C@H]3CCCO3)CCN2C1=O ZINC000581441966 1070977121 /nfs/dbraw/zinc/97/71/21/1070977121.db2.gz KBYBUYKOAURWQY-LSDHHAIUSA-N 0 0 440.460 0.000 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(CC(=O)N4CCOCC4)CC3)n2C[C@@H]2CCOC2)cn1 ZINC000581442188 1070977044 /nfs/dbraw/zinc/97/70/44/1070977044.db2.gz SJGIWLRNPWTRAO-KRWDZBQOSA-N 0 0 444.540 -0.304 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(CC(=O)N4CCOCC4)CC3)n2C[C@H]2CCOC2)cn1 ZINC000581442189 1070977179 /nfs/dbraw/zinc/97/71/79/1070977179.db2.gz SJGIWLRNPWTRAO-QGZVFWFLSA-N 0 0 444.540 -0.304 20 0 IBADRN CNC(=O)c1ccn([C@H]2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)n1 ZINC000581445846 1070977108 /nfs/dbraw/zinc/97/71/08/1070977108.db2.gz RUXUCVNFCJZMCY-AWEZNQCLSA-N 0 0 442.542 -0.760 20 0 IBADRN CNC(=O)c1ccn([C@@H]2CCCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C2)n1 ZINC000581445847 1070977097 /nfs/dbraw/zinc/97/70/97/1070977097.db2.gz RUXUCVNFCJZMCY-CQSZACIVSA-N 0 0 442.542 -0.760 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H](C)C2(S(C)(=O)=O)CC2)c1 ZINC000581446054 1070977071 /nfs/dbraw/zinc/97/70/71/1070977071.db2.gz BKSCBKPCWUPGGV-CYBMUJFWSA-N 0 0 433.552 -0.039 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H](C)C2(S(C)(=O)=O)CC2)c1 ZINC000581446055 1070977150 /nfs/dbraw/zinc/97/71/50/1070977150.db2.gz BKSCBKPCWUPGGV-ZDUSSCGKSA-N 0 0 433.552 -0.039 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)cs1 ZINC000581446674 1070977052 /nfs/dbraw/zinc/97/70/52/1070977052.db2.gz JDJLGDQLGOAKLR-UHFFFAOYSA-N 0 0 436.582 -0.235 20 0 IBADRN O=C(NC[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000581447325 1070978666 /nfs/dbraw/zinc/97/86/66/1070978666.db2.gz NZRZVDGFSRGPTP-PBHICJAKSA-N 0 0 440.566 -0.034 20 0 IBADRN O=C(NC[C@H]1CC(=O)N(C2CC2)C1)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000581447326 1070978475 /nfs/dbraw/zinc/97/84/75/1070978475.db2.gz NZRZVDGFSRGPTP-RHSMWYFYSA-N 0 0 440.566 -0.034 20 0 IBADRN O=C(NC[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000581447327 1070978440 /nfs/dbraw/zinc/97/84/40/1070978440.db2.gz NZRZVDGFSRGPTP-WMLDXEAASA-N 0 0 440.566 -0.034 20 0 IBADRN O=C(NC[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000581447328 1070978307 /nfs/dbraw/zinc/97/83/07/1070978307.db2.gz NZRZVDGFSRGPTP-YOEHRIQHSA-N 0 0 440.566 -0.034 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Nc1ccccc1 ZINC000581447338 1070978591 /nfs/dbraw/zinc/97/85/91/1070978591.db2.gz OJDRGJIQHQTQBP-UHFFFAOYSA-N 0 0 431.493 -0.866 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000581453105 1070978643 /nfs/dbraw/zinc/97/86/43/1070978643.db2.gz XYAYTOQEPISBNK-KRWDZBQOSA-N 0 0 441.554 -0.001 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)cn1 ZINC000581453106 1070978358 /nfs/dbraw/zinc/97/83/58/1070978358.db2.gz XYAYTOQEPISBNK-QGZVFWFLSA-N 0 0 441.554 -0.001 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCCC3)CC1)CC2 ZINC000581454313 1070977598 /nfs/dbraw/zinc/97/75/98/1070977598.db2.gz ARPFMBWNLPNQPR-CVEARBPZSA-N 0 0 447.540 -0.989 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCCC3)CC1)CC2 ZINC000581454314 1070977696 /nfs/dbraw/zinc/97/76/96/1070977696.db2.gz ARPFMBWNLPNQPR-HOTGVXAUSA-N 0 0 447.540 -0.989 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCCC3)CC1)CC2 ZINC000581454315 1070977834 /nfs/dbraw/zinc/97/78/34/1070977834.db2.gz ARPFMBWNLPNQPR-HZPDHXFCSA-N 0 0 447.540 -0.989 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCCC3)CC1)CC2 ZINC000581454316 1070977638 /nfs/dbraw/zinc/97/76/38/1070977638.db2.gz ARPFMBWNLPNQPR-JKSUJKDBSA-N 0 0 447.540 -0.989 20 0 IBADRN NS(=O)(=O)CCOCCNS(=O)(=O)c1cc(Br)cc2c1OCC2 ZINC000581454424 1070977666 /nfs/dbraw/zinc/97/76/66/1070977666.db2.gz HIJSUPYXOZGVOP-UHFFFAOYSA-N 0 0 429.314 -0.033 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)CC1 ZINC000581455688 1070977648 /nfs/dbraw/zinc/97/76/48/1070977648.db2.gz VHRGVUFVAKDAEK-FCGDIQPGSA-N 0 0 448.564 -0.158 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)CC1 ZINC000581455689 1070977809 /nfs/dbraw/zinc/97/78/09/1070977809.db2.gz VHRGVUFVAKDAEK-HCXYKTFWSA-N 0 0 448.564 -0.158 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)CC1 ZINC000581455690 1070977736 /nfs/dbraw/zinc/97/77/36/1070977736.db2.gz VHRGVUFVAKDAEK-MKXGPGLRSA-N 0 0 448.564 -0.158 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)CC1 ZINC000581455691 1070977846 /nfs/dbraw/zinc/97/78/46/1070977846.db2.gz VHRGVUFVAKDAEK-NCXUSEDFSA-N 0 0 448.564 -0.158 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000581457639 1070977623 /nfs/dbraw/zinc/97/76/23/1070977623.db2.gz DYOQTVKILBKKHH-UHFFFAOYSA-N 0 0 443.507 -0.502 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000581458938 1070977749 /nfs/dbraw/zinc/97/77/49/1070977749.db2.gz RYGCNVADCYZJKJ-UHFFFAOYSA-N 0 0 441.554 -0.128 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCS(=O)(=O)N(C)C ZINC000581459656 1070978651 /nfs/dbraw/zinc/97/86/51/1070978651.db2.gz DHXQSDUILVCACL-UHFFFAOYSA-N 0 0 448.523 -0.918 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCn2cncc2C1 ZINC000581459747 1070977860 /nfs/dbraw/zinc/97/78/60/1070977860.db2.gz JLURWQHQIZZJNJ-UHFFFAOYSA-N 0 0 449.489 -0.107 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1 ZINC000581459768 1070978622 /nfs/dbraw/zinc/97/86/22/1070978622.db2.gz KMPKZSHPNKMKGJ-UHFFFAOYSA-N 0 0 434.478 -0.468 20 0 IBADRN COCCOc1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000581459960 1070977770 /nfs/dbraw/zinc/97/77/70/1070977770.db2.gz RUYVMXWVBZVOSN-UHFFFAOYSA-N 0 0 428.511 -0.009 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)nn1 ZINC000581461694 1070978633 /nfs/dbraw/zinc/97/86/33/1070978633.db2.gz DOPSHABJZVKGDW-ARFHVFGLSA-N 0 0 446.530 -0.848 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)nn1 ZINC000581461695 1070978343 /nfs/dbraw/zinc/97/83/43/1070978343.db2.gz DOPSHABJZVKGDW-BZUAXINKSA-N 0 0 446.530 -0.848 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)NCCCN(C)S(=O)(=O)CC)CC2)ncn1 ZINC000581479220 1070979066 /nfs/dbraw/zinc/97/90/66/1070979066.db2.gz KZYSNENHNAEXEU-UHFFFAOYSA-N 0 0 440.570 -0.088 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000581480177 1070979218 /nfs/dbraw/zinc/97/92/18/1070979218.db2.gz GNGCBLAXTBPDOC-MRXNPFEDSA-N 0 0 430.575 -0.793 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@@H](Cn3cc(C)cn3)C2)cn1 ZINC000581481278 1070979399 /nfs/dbraw/zinc/97/93/99/1070979399.db2.gz XCOKGYYZJDBOBJ-LMMKCTJWSA-N 0 0 443.508 -0.229 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@H](Cn3cc(C)cn3)C2)cn1 ZINC000581481279 1070979173 /nfs/dbraw/zinc/97/91/73/1070979173.db2.gz XCOKGYYZJDBOBJ-SCTDSRPQSA-N 0 0 443.508 -0.229 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)c1 ZINC000581495325 1070979152 /nfs/dbraw/zinc/97/91/52/1070979152.db2.gz FGQPBEILKGATAE-XJKSGUPXSA-N 0 0 445.563 -0.004 20 0 IBADRN O=C(NC[C@@H](c1ccco1)N1CCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000581502301 1070979846 /nfs/dbraw/zinc/97/98/46/1070979846.db2.gz ISWKLCUDSWRTQZ-INIZCTEOSA-N 0 0 431.493 -0.518 20 0 IBADRN O=C(NC[C@H](c1ccco1)N1CCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000581502302 1070979968 /nfs/dbraw/zinc/97/99/68/1070979968.db2.gz ISWKLCUDSWRTQZ-MRXNPFEDSA-N 0 0 431.493 -0.518 20 0 IBADRN Cn1c2ncn(CC(=O)NC3CCN(C(=O)c4cc(Cl)c[nH]4)CC3)c2c(=O)n(C)c1=O ZINC000581504710 1070979891 /nfs/dbraw/zinc/97/98/91/1070979891.db2.gz FBTDEKRHFBIYCA-UHFFFAOYSA-N 0 0 447.883 -0.164 20 0 IBADRN CN(CCC(N)=O)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000581506018 1070980044 /nfs/dbraw/zinc/98/00/44/1070980044.db2.gz NONQZQXPDJVRBI-GFCCVEGCSA-N 0 0 438.428 -0.048 20 0 IBADRN CN(CCC(N)=O)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000581506019 1070979917 /nfs/dbraw/zinc/97/99/17/1070979917.db2.gz NONQZQXPDJVRBI-LBPRGKRZSA-N 0 0 438.428 -0.048 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000581506460 1070979869 /nfs/dbraw/zinc/97/98/69/1070979869.db2.gz KWQCYNCAVPLBJF-DLBZAZTESA-N 0 0 428.537 -0.165 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000581506461 1070980122 /nfs/dbraw/zinc/98/01/22/1070980122.db2.gz KWQCYNCAVPLBJF-IAGOWNOFSA-N 0 0 428.537 -0.165 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000581506462 1070979805 /nfs/dbraw/zinc/97/98/05/1070979805.db2.gz KWQCYNCAVPLBJF-IRXDYDNUSA-N 0 0 428.537 -0.165 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000581506463 1070980156 /nfs/dbraw/zinc/98/01/56/1070980156.db2.gz KWQCYNCAVPLBJF-SJORKVTESA-N 0 0 428.537 -0.165 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCCC[C@H]3CN3CCOCC3)C2=O)n1 ZINC000581508489 1070980674 /nfs/dbraw/zinc/98/06/74/1070980674.db2.gz PYWAEGSDHNAVHI-DLBZAZTESA-N 0 0 432.525 -0.255 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCCC[C@@H]3CN3CCOCC3)C2=O)n1 ZINC000581508490 1070980732 /nfs/dbraw/zinc/98/07/32/1070980732.db2.gz PYWAEGSDHNAVHI-IAGOWNOFSA-N 0 0 432.525 -0.255 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCCC[C@H]3CN3CCOCC3)C2=O)n1 ZINC000581508491 1070980772 /nfs/dbraw/zinc/98/07/72/1070980772.db2.gz PYWAEGSDHNAVHI-IRXDYDNUSA-N 0 0 432.525 -0.255 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCCC[C@@H]3CN3CCOCC3)C2=O)n1 ZINC000581508492 1070980704 /nfs/dbraw/zinc/98/07/04/1070980704.db2.gz PYWAEGSDHNAVHI-SJORKVTESA-N 0 0 432.525 -0.255 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)N[C@@H](CC)C(=O)N3CCOCC3)CC2)n1 ZINC000581508949 1070979903 /nfs/dbraw/zinc/97/99/03/1070979903.db2.gz ITVBPQTVZZYWTJ-AWEZNQCLSA-N 0 0 438.554 -0.107 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)C(=O)N[C@H](CC)C(=O)N3CCOCC3)CC2)n1 ZINC000581508950 1070980081 /nfs/dbraw/zinc/98/00/81/1070980081.db2.gz ITVBPQTVZZYWTJ-CQSZACIVSA-N 0 0 438.554 -0.107 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)C(=O)N3CCO[C@@H](COCC4CC4)C3)C2)c1=O ZINC000581509580 1070980824 /nfs/dbraw/zinc/98/08/24/1070980824.db2.gz JNPWHUBCAJKTQM-DLBZAZTESA-N 0 0 433.509 -0.481 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)C(=O)N3CCO[C@@H](COCC4CC4)C3)C2)c1=O ZINC000581509581 1070980795 /nfs/dbraw/zinc/98/07/95/1070980795.db2.gz JNPWHUBCAJKTQM-IAGOWNOFSA-N 0 0 433.509 -0.481 20 0 IBADRN Cn1ccnc(N2CCC[C@H](NC(=O)C(=O)N3CCO[C@H](COCC4CC4)C3)C2)c1=O ZINC000581509582 1070980599 /nfs/dbraw/zinc/98/05/99/1070980599.db2.gz JNPWHUBCAJKTQM-IRXDYDNUSA-N 0 0 433.509 -0.481 20 0 IBADRN Cn1ccnc(N2CCC[C@@H](NC(=O)C(=O)N3CCO[C@H](COCC4CC4)C3)C2)c1=O ZINC000581509583 1070980656 /nfs/dbraw/zinc/98/06/56/1070980656.db2.gz JNPWHUBCAJKTQM-SJORKVTESA-N 0 0 433.509 -0.481 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000581510753 1070980873 /nfs/dbraw/zinc/98/08/73/1070980873.db2.gz HLXJOMHGKWXPIB-INIZCTEOSA-N 0 0 437.518 -0.099 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000581510754 1070980840 /nfs/dbraw/zinc/98/08/40/1070980840.db2.gz HLXJOMHGKWXPIB-MRXNPFEDSA-N 0 0 437.518 -0.099 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1 ZINC000581512526 1070980584 /nfs/dbraw/zinc/98/05/84/1070980584.db2.gz FMVOITBZFUCBKY-CYBMUJFWSA-N 0 0 430.552 -0.032 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1 ZINC000581512527 1070980808 /nfs/dbraw/zinc/98/08/08/1070980808.db2.gz FMVOITBZFUCBKY-ZDUSSCGKSA-N 0 0 430.552 -0.032 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000581512542 1070980762 /nfs/dbraw/zinc/98/07/62/1070980762.db2.gz GLZNNXOERAFNKE-AWEZNQCLSA-N 0 0 446.551 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000581512543 1070980639 /nfs/dbraw/zinc/98/06/39/1070980639.db2.gz GLZNNXOERAFNKE-CQSZACIVSA-N 0 0 446.551 -0.194 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@@H]1COc2ccccc21 ZINC000581515318 1070981547 /nfs/dbraw/zinc/98/15/47/1070981547.db2.gz LBXJXYLGMPJYDJ-AEFFLSMTSA-N 0 0 430.505 -0.330 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@H]1COc2ccccc21 ZINC000581515319 1070981341 /nfs/dbraw/zinc/98/13/41/1070981341.db2.gz LBXJXYLGMPJYDJ-FUHWJXTLSA-N 0 0 430.505 -0.330 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@H]1COc2ccccc21 ZINC000581515320 1070981359 /nfs/dbraw/zinc/98/13/59/1070981359.db2.gz LBXJXYLGMPJYDJ-SJLPKXTDSA-N 0 0 430.505 -0.330 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@@H]1COc2ccccc21 ZINC000581515321 1070981561 /nfs/dbraw/zinc/98/15/61/1070981561.db2.gz LBXJXYLGMPJYDJ-WMZOPIPTSA-N 0 0 430.505 -0.330 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCc3cn(CCOC)nc32)C1 ZINC000581516024 1070980859 /nfs/dbraw/zinc/98/08/59/1070980859.db2.gz SKBMZXFOGSZHMC-CABCVRRESA-N 0 0 427.527 -0.437 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCc3cn(CCOC)nc32)C1 ZINC000581516025 1070981459 /nfs/dbraw/zinc/98/14/59/1070981459.db2.gz SKBMZXFOGSZHMC-GJZGRUSLSA-N 0 0 427.527 -0.437 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCc3cn(CCOC)nc32)C1 ZINC000581516026 1070981446 /nfs/dbraw/zinc/98/14/46/1070981446.db2.gz SKBMZXFOGSZHMC-HUUCEWRRSA-N 0 0 427.527 -0.437 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCc3cn(CCOC)nc32)C1 ZINC000581516027 1070981570 /nfs/dbraw/zinc/98/15/70/1070981570.db2.gz SKBMZXFOGSZHMC-LSDHHAIUSA-N 0 0 427.527 -0.437 20 0 IBADRN COC(=O)Cc1occ(C)c1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000581517441 1070981483 /nfs/dbraw/zinc/98/14/83/1070981483.db2.gz FGWUADGPDGDLAM-UHFFFAOYSA-N 0 0 428.511 -0.446 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000581518617 1070981373 /nfs/dbraw/zinc/98/13/73/1070981373.db2.gz LDDPJOGDNUPYTK-KRWDZBQOSA-N 0 0 440.566 -0.041 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000581518618 1070981330 /nfs/dbraw/zinc/98/13/30/1070981330.db2.gz LDDPJOGDNUPYTK-QGZVFWFLSA-N 0 0 440.566 -0.041 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NCCCN1CCNC(=O)C1 ZINC000581519621 1070981514 /nfs/dbraw/zinc/98/15/14/1070981514.db2.gz GUHJRMWVKTVCMA-UHFFFAOYSA-N 0 0 433.328 -0.335 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000581521294 1070981465 /nfs/dbraw/zinc/98/14/65/1070981465.db2.gz PLEICLXGLUEKAJ-UHFFFAOYSA-N 0 0 447.583 -0.687 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1 ZINC000581541323 1070982128 /nfs/dbraw/zinc/98/21/28/1070982128.db2.gz OITZEEPXKZAIBV-UHFFFAOYSA-N 0 0 431.496 -0.456 20 0 IBADRN O=C(NCCOCC1CCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000581541453 1070982174 /nfs/dbraw/zinc/98/21/74/1070982174.db2.gz XRCIWRHLNFRCKN-UHFFFAOYSA-N 0 0 438.506 -0.322 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)c1cccc(S(N)(=O)=O)c1 ZINC000581561979 1070983147 /nfs/dbraw/zinc/98/31/47/1070983147.db2.gz SQSKYOFKUHDXKQ-DGCLKSJQSA-N 0 0 432.524 -0.949 20 0 IBADRN C[C@H](NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)c1cccc(S(N)(=O)=O)c1 ZINC000581561980 1070983226 /nfs/dbraw/zinc/98/32/26/1070983226.db2.gz SQSKYOFKUHDXKQ-WCQYABFASA-N 0 0 432.524 -0.949 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@H](c1ccccc1OC)N(C)C ZINC000581562051 1070982855 /nfs/dbraw/zinc/98/28/55/1070982855.db2.gz VZXGUWCBXCLGNG-GDBMZVCRSA-N 0 0 426.539 -0.046 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@H](c1ccccc1OC)N(C)C ZINC000581562052 1070983162 /nfs/dbraw/zinc/98/31/62/1070983162.db2.gz VZXGUWCBXCLGNG-GOEBONIOSA-N 0 0 426.539 -0.046 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@@H](c1ccccc1OC)N(C)C ZINC000581562053 1070983008 /nfs/dbraw/zinc/98/30/08/1070983008.db2.gz VZXGUWCBXCLGNG-HOCLYGCPSA-N 0 0 426.539 -0.046 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@@H](c1ccccc1OC)N(C)C ZINC000581562054 1070983086 /nfs/dbraw/zinc/98/30/86/1070983086.db2.gz VZXGUWCBXCLGNG-ZBFHGGJFSA-N 0 0 426.539 -0.046 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000581564739 1070983134 /nfs/dbraw/zinc/98/31/34/1070983134.db2.gz GFOQVKCKPIAWOW-HNNXBMFYSA-N 0 0 431.493 -0.803 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CC(=O)N(c3cccc(OC)c3)C2)CC1 ZINC000581564740 1070983026 /nfs/dbraw/zinc/98/30/26/1070983026.db2.gz GFOQVKCKPIAWOW-OAHLLOKOSA-N 0 0 431.493 -0.803 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1cc(F)ccc1N1CCOCC1 ZINC000581564937 1070982985 /nfs/dbraw/zinc/98/29/85/1070982985.db2.gz PAHYHUYLOLZMKB-UHFFFAOYSA-N 0 0 430.440 -0.385 20 0 IBADRN CN1C(=O)COc2ccc(Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)cc21 ZINC000581565398 1070983603 /nfs/dbraw/zinc/98/36/03/1070983603.db2.gz MQCFIDSODBOCKK-BGIGGGFGSA-N 0 0 428.405 -0.463 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000581567234 1070982931 /nfs/dbraw/zinc/98/29/31/1070982931.db2.gz BGIDWCYMUVGHKC-BBRMVZONSA-N 0 0 439.490 -0.116 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000581567235 1070983048 /nfs/dbraw/zinc/98/30/48/1070983048.db2.gz BGIDWCYMUVGHKC-CJNGLKHVSA-N 0 0 439.490 -0.116 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000581567236 1070983182 /nfs/dbraw/zinc/98/31/82/1070983182.db2.gz BGIDWCYMUVGHKC-CZUORRHYSA-N 0 0 439.490 -0.116 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c2ccccc2O1 ZINC000581567237 1070982996 /nfs/dbraw/zinc/98/29/96/1070982996.db2.gz BGIDWCYMUVGHKC-XJKSGUPXSA-N 0 0 439.490 -0.116 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCOc2cccc(C(F)(F)F)n2)CC1 ZINC000581569391 1070983853 /nfs/dbraw/zinc/98/38/53/1070983853.db2.gz ZNMHFBGPTVRWNK-UHFFFAOYSA-N 0 0 431.415 -0.124 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)C2)nn1 ZINC000581570572 1070983783 /nfs/dbraw/zinc/98/37/83/1070983783.db2.gz BRRCLDWLFXYFFE-KBPBESRZSA-N 0 0 443.530 -0.318 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)C2)nn1 ZINC000581570573 1070983837 /nfs/dbraw/zinc/98/38/37/1070983837.db2.gz BRRCLDWLFXYFFE-KGLIPLIRSA-N 0 0 443.530 -0.318 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)C2)nn1 ZINC000581570574 1070983939 /nfs/dbraw/zinc/98/39/39/1070983939.db2.gz BRRCLDWLFXYFFE-UONOGXRCSA-N 0 0 443.530 -0.318 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)C2)nn1 ZINC000581570575 1070983621 /nfs/dbraw/zinc/98/36/21/1070983621.db2.gz BRRCLDWLFXYFFE-ZIAGYGMSSA-N 0 0 443.530 -0.318 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(CCCc2ccccc2)C1=O ZINC000581571700 1070983569 /nfs/dbraw/zinc/98/35/69/1070983569.db2.gz XSHCDYSWWRISBY-PMACEKPBSA-N 0 0 446.548 -0.512 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(CCCc2ccccc2)C1=O ZINC000581571701 1070984006 /nfs/dbraw/zinc/98/40/06/1070984006.db2.gz XSHCDYSWWRISBY-UXHICEINSA-N 0 0 446.548 -0.512 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(CCCc2ccccc2)C1=O ZINC000581571702 1070983987 /nfs/dbraw/zinc/98/39/87/1070983987.db2.gz XSHCDYSWWRISBY-VQTJNVASSA-N 0 0 446.548 -0.512 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(CCCc2ccccc2)C1=O ZINC000581571703 1070983801 /nfs/dbraw/zinc/98/38/01/1070983801.db2.gz XSHCDYSWWRISBY-WOJBJXKFSA-N 0 0 446.548 -0.512 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cnn(CCOC)c2)C1 ZINC000581572329 1070984544 /nfs/dbraw/zinc/98/45/44/1070984544.db2.gz WTBZEPBUTZSCLL-INIZCTEOSA-N 0 0 445.542 -0.955 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cnn(CCOC)c2)C1 ZINC000581572330 1070984697 /nfs/dbraw/zinc/98/46/97/1070984697.db2.gz WTBZEPBUTZSCLL-MRXNPFEDSA-N 0 0 445.542 -0.955 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(c2ncccc2F)CC1 ZINC000581572563 1070984611 /nfs/dbraw/zinc/98/46/11/1070984611.db2.gz GZZMXLUSNGOZJH-UHFFFAOYSA-N 0 0 440.457 -0.907 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000581573146 1070984675 /nfs/dbraw/zinc/98/46/75/1070984675.db2.gz HPIUBFQKTGFYCF-DLBZAZTESA-N 0 0 434.468 -0.094 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000581573147 1070984704 /nfs/dbraw/zinc/98/47/04/1070984704.db2.gz HPIUBFQKTGFYCF-IAGOWNOFSA-N 0 0 434.468 -0.094 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000581573148 1070984524 /nfs/dbraw/zinc/98/45/24/1070984524.db2.gz HPIUBFQKTGFYCF-IRXDYDNUSA-N 0 0 434.468 -0.094 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000581573149 1070984648 /nfs/dbraw/zinc/98/46/48/1070984648.db2.gz HPIUBFQKTGFYCF-SJORKVTESA-N 0 0 434.468 -0.094 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccncc3OCC(F)F)CC2)c1=O ZINC000581575390 1070984390 /nfs/dbraw/zinc/98/43/90/1070984390.db2.gz NSZKBKVVAFCCML-UHFFFAOYSA-N 0 0 436.419 -0.216 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)(N2CCOCC2)C1 ZINC000581576160 1070984659 /nfs/dbraw/zinc/98/46/59/1070984659.db2.gz TURIULUIUHSAMD-LYGNXSNRSA-N 0 0 440.585 -0.324 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)(N2CCOCC2)C1 ZINC000581576161 1070984444 /nfs/dbraw/zinc/98/44/44/1070984444.db2.gz TURIULUIUHSAMD-PDDLGQBUSA-N 0 0 440.585 -0.324 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)c3ccccc3)CC2)cc1 ZINC000581577088 1070984415 /nfs/dbraw/zinc/98/44/15/1070984415.db2.gz MADNUBGRTLGRAB-UHFFFAOYSA-N 0 0 438.531 -0.039 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c1 ZINC000581578587 1070985259 /nfs/dbraw/zinc/98/52/59/1070985259.db2.gz JRKPCDMYYSCPPI-UHFFFAOYSA-N 0 0 448.448 -0.105 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC(C)(CO)CO ZINC000581586250 1070985217 /nfs/dbraw/zinc/98/52/17/1070985217.db2.gz DXIWKBMGZQBONE-UHFFFAOYSA-N 0 0 442.538 -0.275 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CC(=O)NC(=O)C12CCCCC2 ZINC000581587461 1070985516 /nfs/dbraw/zinc/98/55/16/1070985516.db2.gz MXUYXIBCRFNZLC-UHFFFAOYSA-N 0 0 428.449 -0.856 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1cccc(OCC(N)=O)c1)C2 ZINC000581598846 1070985540 /nfs/dbraw/zinc/98/55/40/1070985540.db2.gz VZQLUIKQTLHTGZ-UHFFFAOYSA-N 0 0 446.485 -0.018 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC000581600024 1070985435 /nfs/dbraw/zinc/98/54/35/1070985435.db2.gz WLTLPORGBIRRPA-AWEZNQCLSA-N 0 0 442.480 -0.903 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC000581600025 1070985455 /nfs/dbraw/zinc/98/54/55/1070985455.db2.gz WLTLPORGBIRRPA-CQSZACIVSA-N 0 0 442.480 -0.903 20 0 IBADRN O=C(NCc1cccnc1OCC(F)F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000581600588 1070985473 /nfs/dbraw/zinc/98/54/73/1070985473.db2.gz YDLYFPPPKKKHQK-UHFFFAOYSA-N 0 0 439.419 -0.709 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)nc1 ZINC000581612120 1070986272 /nfs/dbraw/zinc/98/62/72/1070986272.db2.gz DBCUUFKOXLDUAJ-UHFFFAOYSA-N 0 0 446.532 -0.259 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H](c1cccs1)N1CCOCC1 ZINC000581613767 1070986360 /nfs/dbraw/zinc/98/63/60/1070986360.db2.gz WDSODPIYORKDCR-DLBZAZTESA-N 0 0 440.566 -0.611 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H](c1cccs1)N1CCOCC1 ZINC000581613768 1070985980 /nfs/dbraw/zinc/98/59/80/1070985980.db2.gz WDSODPIYORKDCR-IAGOWNOFSA-N 0 0 440.566 -0.611 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H](c1cccs1)N1CCOCC1 ZINC000581613769 1070986026 /nfs/dbraw/zinc/98/60/26/1070986026.db2.gz WDSODPIYORKDCR-IRXDYDNUSA-N 0 0 440.566 -0.611 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H](c1cccs1)N1CCOCC1 ZINC000581613770 1070986288 /nfs/dbraw/zinc/98/62/88/1070986288.db2.gz WDSODPIYORKDCR-SJORKVTESA-N 0 0 440.566 -0.611 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1F ZINC000581621602 1070986971 /nfs/dbraw/zinc/98/69/71/1070986971.db2.gz ZKSORLHUUATGGV-UHFFFAOYSA-N 0 0 441.529 -0.613 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000581622178 1070986326 /nfs/dbraw/zinc/98/63/26/1070986326.db2.gz WKLKJYHIUVTOKM-AEFFLSMTSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000581622179 1070986260 /nfs/dbraw/zinc/98/62/60/1070986260.db2.gz WKLKJYHIUVTOKM-FUHWJXTLSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000581622180 1070986012 /nfs/dbraw/zinc/98/60/12/1070986012.db2.gz WKLKJYHIUVTOKM-SJLPKXTDSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NCc2cccnc2N2CCOCC2)C1 ZINC000581622181 1070986168 /nfs/dbraw/zinc/98/61/68/1070986168.db2.gz WKLKJYHIUVTOKM-WMZOPIPTSA-N 0 0 447.536 -0.076 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCCCn2ccnc2)CC1 ZINC000581622902 1070986875 /nfs/dbraw/zinc/98/68/75/1070986875.db2.gz JRABAUYLQDAOAA-UHFFFAOYSA-N 0 0 429.543 -0.322 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccncc1 ZINC000581625492 1070986996 /nfs/dbraw/zinc/98/69/96/1070986996.db2.gz KFPUVXSEOSZZRC-KRWDZBQOSA-N 0 0 438.554 -0.715 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccncc1 ZINC000581625493 1070987042 /nfs/dbraw/zinc/98/70/42/1070987042.db2.gz KFPUVXSEOSZZRC-QGZVFWFLSA-N 0 0 438.554 -0.715 20 0 IBADRN CC(C)n1cc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000581625547 1070986921 /nfs/dbraw/zinc/98/69/21/1070986921.db2.gz MQXGUKISAHWFPK-CYBMUJFWSA-N 0 0 432.485 -0.870 20 0 IBADRN CC(C)n1cc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000581625548 1070987081 /nfs/dbraw/zinc/98/70/81/1070987081.db2.gz MQXGUKISAHWFPK-ZDUSSCGKSA-N 0 0 432.485 -0.870 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3Cl)C2)C1 ZINC000581627732 1070987689 /nfs/dbraw/zinc/98/76/89/1070987689.db2.gz VOYRKBXPCVZJQB-CHWSQXEVSA-N 0 0 429.930 -0.030 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3Cl)C2)C1 ZINC000581627733 1070987697 /nfs/dbraw/zinc/98/76/97/1070987697.db2.gz VOYRKBXPCVZJQB-OLZOCXBDSA-N 0 0 429.930 -0.030 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3Cl)C2)C1 ZINC000581627734 1070987749 /nfs/dbraw/zinc/98/77/49/1070987749.db2.gz VOYRKBXPCVZJQB-QWHCGFSZSA-N 0 0 429.930 -0.030 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3Cl)C2)C1 ZINC000581627735 1070987534 /nfs/dbraw/zinc/98/75/34/1070987534.db2.gz VOYRKBXPCVZJQB-STQMWFEESA-N 0 0 429.930 -0.030 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCCN(Cc3ccc(Cl)cc3)CC2)n1 ZINC000581628414 1070987547 /nfs/dbraw/zinc/98/75/47/1070987547.db2.gz YIFVEANRJRGZGN-UHFFFAOYSA-N 0 0 433.900 0.351 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)CC1 ZINC000581629314 1070987783 /nfs/dbraw/zinc/98/77/83/1070987783.db2.gz MWYRFXYCZKQMTQ-CSODHUTKSA-N 0 0 440.541 -0.874 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)CC1 ZINC000581629315 1070987828 /nfs/dbraw/zinc/98/78/28/1070987828.db2.gz MWYRFXYCZKQMTQ-FIKGOQFSSA-N 0 0 440.541 -0.874 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)CC1 ZINC000581629316 1070987716 /nfs/dbraw/zinc/98/77/16/1070987716.db2.gz MWYRFXYCZKQMTQ-FVJLSDCUSA-N 0 0 440.541 -0.874 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)CC1 ZINC000581629317 1070987799 /nfs/dbraw/zinc/98/77/99/1070987799.db2.gz MWYRFXYCZKQMTQ-XGHQBKJUSA-N 0 0 440.541 -0.874 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1 ZINC000581629578 1070988273 /nfs/dbraw/zinc/98/82/73/1070988273.db2.gz ZVRYBNYAOGMBGO-INMHGKMJSA-N 0 0 441.558 -0.300 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CCC(=O)N[C@H]1c1cnn(C)c1 ZINC000581629579 1070988549 /nfs/dbraw/zinc/98/85/49/1070988549.db2.gz ZVRYBNYAOGMBGO-UXLLHSPISA-N 0 0 441.558 -0.300 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNS(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000581629637 1070988535 /nfs/dbraw/zinc/98/85/35/1070988535.db2.gz CLOLNSVWBVLHTC-GFCCVEGCSA-N 0 0 440.569 -0.576 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNS(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000581629638 1070988562 /nfs/dbraw/zinc/98/85/62/1070988562.db2.gz CLOLNSVWBVLHTC-LBPRGKRZSA-N 0 0 440.569 -0.576 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4c(F)cccc4F)C3=O)CC2=O)cn1 ZINC000581630197 1070988576 /nfs/dbraw/zinc/98/85/76/1070988576.db2.gz BEKFEDHURONLGZ-HNNXBMFYSA-N 0 0 446.414 -0.205 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4c(F)cccc4F)C3=O)CC2=O)cn1 ZINC000581630198 1070987582 /nfs/dbraw/zinc/98/75/82/1070987582.db2.gz BEKFEDHURONLGZ-OAHLLOKOSA-N 0 0 446.414 -0.205 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)C1 ZINC000581630586 1070989212 /nfs/dbraw/zinc/98/92/12/1070989212.db2.gz UGTMOUZRLVKUQZ-DLBZAZTESA-N 0 0 436.534 -0.248 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2=O)C1 ZINC000581630587 1070989119 /nfs/dbraw/zinc/98/91/19/1070989119.db2.gz UGTMOUZRLVKUQZ-IAGOWNOFSA-N 0 0 436.534 -0.248 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)C1 ZINC000581630588 1070989289 /nfs/dbraw/zinc/98/92/89/1070989289.db2.gz UGTMOUZRLVKUQZ-IRXDYDNUSA-N 0 0 436.534 -0.248 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2=O)C1 ZINC000581630589 1070989333 /nfs/dbraw/zinc/98/93/33/1070989333.db2.gz UGTMOUZRLVKUQZ-SJORKVTESA-N 0 0 436.534 -0.248 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000581630712 1070988413 /nfs/dbraw/zinc/98/84/13/1070988413.db2.gz ZYYZRQBBPPDKCC-INIZCTEOSA-N 0 0 445.520 -0.415 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000581630713 1070989241 /nfs/dbraw/zinc/98/92/41/1070989241.db2.gz ZYYZRQBBPPDKCC-MRXNPFEDSA-N 0 0 445.520 -0.415 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C)n(-c3ccc(F)cc3F)n2)C1 ZINC000581631560 1073318007 /nfs/dbraw/zinc/31/80/07/1073318007.db2.gz ICRSFAKWRZBAPU-GFCCVEGCSA-N 0 0 427.433 0.548 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cc(C)n(-c3ccc(F)cc3F)n2)C1 ZINC000581631561 1073317960 /nfs/dbraw/zinc/31/79/60/1073317960.db2.gz ICRSFAKWRZBAPU-LBPRGKRZSA-N 0 0 427.433 0.548 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCc3cnc(C4CC4)nc3)CC2)no1 ZINC000581631930 1070989321 /nfs/dbraw/zinc/98/93/21/1070989321.db2.gz XALNUKTXANPOSW-UHFFFAOYSA-N 0 0 441.492 0.092 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCCN(CCOC)C(=O)C3)C2)nc1 ZINC000581633080 1070989223 /nfs/dbraw/zinc/98/92/23/1070989223.db2.gz YFVOLYHGCONIDP-UHFFFAOYSA-N 0 0 447.492 -0.730 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(=O)(=O)CC)c(C)c2)CC1 ZINC000581634359 1070989132 /nfs/dbraw/zinc/98/91/32/1070989132.db2.gz KXHQOZUNLMGBCN-UHFFFAOYSA-N 0 0 439.538 -0.025 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@]2(C)CO)ccc1OC ZINC000581645166 1070989340 /nfs/dbraw/zinc/98/93/40/1070989340.db2.gz NABOOGGTZXOUPJ-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@]2(C)CO)ccc1OC ZINC000581645167 1070989147 /nfs/dbraw/zinc/98/91/47/1070989147.db2.gz NABOOGGTZXOUPJ-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)CN2CC(=O)NC[C@H]2C(=O)OC)cc1 ZINC000581647359 1070989184 /nfs/dbraw/zinc/98/91/84/1070989184.db2.gz GZSGFXGOZLGUKW-HNNXBMFYSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)CN2CC(=O)NC[C@@H]2C(=O)OC)cc1 ZINC000581647360 1070989282 /nfs/dbraw/zinc/98/92/82/1070989282.db2.gz GZSGFXGOZLGUKW-OAHLLOKOSA-N 0 0 426.495 -0.371 20 0 IBADRN O=C(Nc1ccc(OC(F)F)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000581655153 1070989819 /nfs/dbraw/zinc/98/98/19/1070989819.db2.gz XAFVIZHXTVIELK-UHFFFAOYSA-N 0 0 441.391 -0.849 20 0 IBADRN NS(=O)(=O)c1sc(Cl)cc1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000581655661 1070989696 /nfs/dbraw/zinc/98/96/96/1070989696.db2.gz PPIFOUKFTYHKNI-UHFFFAOYSA-N 0 0 436.943 -0.335 20 0 IBADRN O=C(NCCn1cc(Br)cn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000581658728 1070989763 /nfs/dbraw/zinc/98/97/63/1070989763.db2.gz HXLORJPMRZFFHR-UHFFFAOYSA-N 0 0 443.302 -0.642 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CN(c3ccc(Br)cc3)C2=O)cn1 ZINC000581670548 1070990805 /nfs/dbraw/zinc/99/08/05/1070990805.db2.gz JLSZIDKHCMUACF-CYBMUJFWSA-N 0 0 449.265 -0.138 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CN(c3ccc(Br)cc3)C2=O)cn1 ZINC000581670549 1070990876 /nfs/dbraw/zinc/99/08/76/1070990876.db2.gz JLSZIDKHCMUACF-ZDUSSCGKSA-N 0 0 449.265 -0.138 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCCN1CCN(c2ccccc2)CC1)S(C)(=O)=O ZINC000581681469 1070990556 /nfs/dbraw/zinc/99/05/56/1070990556.db2.gz RCOXVSDAYKMLST-UHFFFAOYSA-N 0 0 439.582 -0.287 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCc2cccc(C(N)=O)c2)CC1 ZINC000581683134 1070990705 /nfs/dbraw/zinc/99/07/05/1070990705.db2.gz CFAQNTOJXWJJQI-UHFFFAOYSA-N 0 0 436.476 -0.530 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)C2)cc(OC)c1 ZINC000581688436 1070991346 /nfs/dbraw/zinc/99/13/46/1070991346.db2.gz BPIIGCQDKNMLGG-PBHICJAKSA-N 0 0 440.522 -0.716 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)C2)cc(OC)c1 ZINC000581688437 1070991460 /nfs/dbraw/zinc/99/14/60/1070991460.db2.gz BPIIGCQDKNMLGG-RHSMWYFYSA-N 0 0 440.522 -0.716 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)C2)cc(OC)c1 ZINC000581688438 1070991485 /nfs/dbraw/zinc/99/14/85/1070991485.db2.gz BPIIGCQDKNMLGG-WMLDXEAASA-N 0 0 440.522 -0.716 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)C2)cc(OC)c1 ZINC000581688439 1070991370 /nfs/dbraw/zinc/99/13/70/1070991370.db2.gz BPIIGCQDKNMLGG-YOEHRIQHSA-N 0 0 440.522 -0.716 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)[C@H]1CCCO1 ZINC000581692404 1070991278 /nfs/dbraw/zinc/99/12/78/1070991278.db2.gz YDSACPYGNIHBEK-LUKYLMHMSA-N 0 0 446.570 -0.442 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)[C@@H]1CCCO1 ZINC000581692405 1070992042 /nfs/dbraw/zinc/99/20/42/1070992042.db2.gz YDSACPYGNIHBEK-QAETUUGQSA-N 0 0 446.570 -0.442 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@H]1CCCO1 ZINC000581692406 1070992156 /nfs/dbraw/zinc/99/21/56/1070992156.db2.gz YDSACPYGNIHBEK-VVLHAWIVSA-N 0 0 446.570 -0.442 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@@H]1CCCO1 ZINC000581692407 1070991956 /nfs/dbraw/zinc/99/19/56/1070991956.db2.gz YDSACPYGNIHBEK-YVSFHVDLSA-N 0 0 446.570 -0.442 20 0 IBADRN O=C(N[C@H]1CCn2ccnc2C1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000581692711 1070991426 /nfs/dbraw/zinc/99/14/26/1070991426.db2.gz NNPGLTIRBWGOPL-HNNXBMFYSA-N 0 0 429.502 -0.083 20 0 IBADRN O=C(N[C@@H]1CCn2ccnc2C1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000581692712 1070991395 /nfs/dbraw/zinc/99/13/95/1070991395.db2.gz NNPGLTIRBWGOPL-OAHLLOKOSA-N 0 0 429.502 -0.083 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCOCC(N)=O ZINC000581693711 1070991472 /nfs/dbraw/zinc/99/14/72/1070991472.db2.gz KZWCNOYLUQMTES-UHFFFAOYSA-N 0 0 428.511 -0.747 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCc2cnc(COC)nc2N)cc1 ZINC000581694073 1073356581 /nfs/dbraw/zinc/35/65/81/1073356581.db2.gz BXEZNLMVMCAVST-UHFFFAOYSA-N 0 0 437.478 -0.023 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000581695803 1070992064 /nfs/dbraw/zinc/99/20/64/1070992064.db2.gz DQUIDUSQDSHEND-UHFFFAOYSA-N 0 0 448.476 -0.008 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000581696833 1070991935 /nfs/dbraw/zinc/99/19/35/1070991935.db2.gz CRWNFHCZXLCOML-UHFFFAOYSA-N 0 0 436.437 -0.188 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000581697286 1070992142 /nfs/dbraw/zinc/99/21/42/1070992142.db2.gz AXTHIFCNEZVABP-UHFFFAOYSA-N 0 0 425.467 -0.703 20 0 IBADRN CN(C(=O)[C@H]1CC[C@@H](C(=O)N(C)[C@@H]2COC[C@H]2O)N1Cc1ccccc1)[C@@H]1COC[C@H]1O ZINC000581698577 1070991977 /nfs/dbraw/zinc/99/19/77/1070991977.db2.gz LCZAPAAGTHKHGN-KXXIFBCNSA-N 0 0 447.532 -0.544 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)Nc1cc(C(=O)NCCC(N)=O)ccc1F ZINC000581698599 1070991910 /nfs/dbraw/zinc/99/19/10/1070991910.db2.gz MYHGKMINYFSZIR-UHFFFAOYSA-N 0 0 438.503 -0.546 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC000581718199 1070992968 /nfs/dbraw/zinc/99/29/68/1070992968.db2.gz AATYTFTUSMWSSQ-INIZCTEOSA-N 0 0 445.524 -0.911 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC000581718200 1070992823 /nfs/dbraw/zinc/99/28/23/1070992823.db2.gz AATYTFTUSMWSSQ-MRXNPFEDSA-N 0 0 445.524 -0.911 20 0 IBADRN CCOC(=O)c1ccn(-c2ccccc2NC(=O)C(=O)NCCS(=O)(=O)N(C)C)n1 ZINC000581732842 1070992707 /nfs/dbraw/zinc/99/27/07/1070992707.db2.gz QABYPZVDJWFFQL-UHFFFAOYSA-N 0 0 437.478 -0.005 20 0 IBADRN CC1(C)CN(C(=O)C2CN(C(=O)[C@H](CO)NC(N)=O)C2)c2ccc(N3CCOCC3)cc21 ZINC000581737523 1070993772 /nfs/dbraw/zinc/99/37/72/1070993772.db2.gz JXCWOYYJLVKIKI-KRWDZBQOSA-N 0 0 445.520 -0.365 20 0 IBADRN CC1(C)CN(C(=O)C2CN(C(=O)[C@@H](CO)NC(N)=O)C2)c2ccc(N3CCOCC3)cc21 ZINC000581737524 1070993764 /nfs/dbraw/zinc/99/37/64/1070993764.db2.gz JXCWOYYJLVKIKI-QGZVFWFLSA-N 0 0 445.520 -0.365 20 0 IBADRN CCN(CC(C)(C)O)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000581739791 1070993497 /nfs/dbraw/zinc/99/34/97/1070993497.db2.gz TWVXLUVZXORSQJ-UHFFFAOYSA-N 0 0 427.523 -0.057 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000581741147 1070993471 /nfs/dbraw/zinc/99/34/71/1070993471.db2.gz CVRBTOFPOCICNZ-GOSISDBHSA-N 0 0 446.504 -0.334 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCN(Cc3ccc(OC)cc3)C2=O)CC1 ZINC000581741148 1070993625 /nfs/dbraw/zinc/99/36/25/1070993625.db2.gz CVRBTOFPOCICNZ-SFHVURJKSA-N 0 0 446.504 -0.334 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@@](C)(O)CN2CCOCC2)cc(Cl)cc1C(N)=O ZINC000581741248 1070993442 /nfs/dbraw/zinc/99/34/42/1070993442.db2.gz JMIGULUWFBAZMP-GOSISDBHSA-N 0 0 428.873 -0.415 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@](C)(O)CN2CCOCC2)cc(Cl)cc1C(N)=O ZINC000581741249 1070993633 /nfs/dbraw/zinc/99/36/33/1070993633.db2.gz JMIGULUWFBAZMP-SFHVURJKSA-N 0 0 428.873 -0.415 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)N3CCN([C@@H](C)C(=O)N4CCOCC4)CC3)nc2c1 ZINC000581741674 1070993484 /nfs/dbraw/zinc/99/34/84/1070993484.db2.gz PWDYDPKPEMPGEB-KRWDZBQOSA-N 0 0 442.520 -0.350 20 0 IBADRN Cc1ccn2cc(CNC(=O)C(=O)N3CCN([C@H](C)C(=O)N4CCOCC4)CC3)nc2c1 ZINC000581741675 1070993743 /nfs/dbraw/zinc/99/37/43/1070993743.db2.gz PWDYDPKPEMPGEB-QGZVFWFLSA-N 0 0 442.520 -0.350 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000581746002 1070993677 /nfs/dbraw/zinc/99/36/77/1070993677.db2.gz AXCRTTNHUOMFST-INIZCTEOSA-N 0 0 431.541 -0.380 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)CC1 ZINC000581746003 1070993755 /nfs/dbraw/zinc/99/37/55/1070993755.db2.gz AXCRTTNHUOMFST-MRXNPFEDSA-N 0 0 431.541 -0.380 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)n1 ZINC000581749976 1070994309 /nfs/dbraw/zinc/99/43/09/1070994309.db2.gz NIWFQGSGTQJPRX-UHFFFAOYSA-N 0 0 431.541 -0.439 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N(C)CCNC(=O)N(C)C)C1=O ZINC000581750336 1070994290 /nfs/dbraw/zinc/99/42/90/1070994290.db2.gz FHJRWSWGUDWULI-GFCCVEGCSA-N 0 0 439.494 -0.514 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C)CCNC(=O)N(C)C)C1=O ZINC000581750337 1070994357 /nfs/dbraw/zinc/99/43/57/1070994357.db2.gz FHJRWSWGUDWULI-LBPRGKRZSA-N 0 0 439.494 -0.514 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C[C@@H](C)O1 ZINC000581752625 1070994598 /nfs/dbraw/zinc/99/45/98/1070994598.db2.gz KHXCSRXJOJRULQ-QBPKDAKJSA-N 0 0 430.571 -0.373 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C[C@H](C)O1 ZINC000581752626 1070994409 /nfs/dbraw/zinc/99/44/09/1070994409.db2.gz KHXCSRXJOJRULQ-TWMKSMIVSA-N 0 0 430.571 -0.373 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C[C@@H](C)O1 ZINC000581752627 1070994574 /nfs/dbraw/zinc/99/45/74/1070994574.db2.gz KHXCSRXJOJRULQ-VQHPVUNQSA-N 0 0 430.571 -0.373 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C[C@H](C)O1 ZINC000581752628 1070994516 /nfs/dbraw/zinc/99/45/16/1070994516.db2.gz KHXCSRXJOJRULQ-YYIAUSFCSA-N 0 0 430.571 -0.373 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCOC3(CCOCC3)C2)(N2CCOCC2)C1 ZINC000581753650 1070994544 /nfs/dbraw/zinc/99/45/44/1070994544.db2.gz IDHGPWHRVCZCAO-UHFFFAOYSA-N 0 0 425.526 -0.220 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000581756524 1070995054 /nfs/dbraw/zinc/99/50/54/1070995054.db2.gz NEYZFASLVLMSDT-UHFFFAOYSA-N 0 0 428.392 -0.315 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCC(CS(N)(=O)=O)CC2)c(OCC(F)F)c1 ZINC000581756564 1070995201 /nfs/dbraw/zinc/99/52/01/1070995201.db2.gz PMUPJRAAIJCBAJ-UHFFFAOYSA-N 0 0 448.448 -0.105 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000581759029 1070995190 /nfs/dbraw/zinc/99/51/90/1070995190.db2.gz ORQPRUJINJJURO-UHFFFAOYSA-N 0 0 433.556 -0.382 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000581778927 1070997171 /nfs/dbraw/zinc/99/71/71/1070997171.db2.gz FITFBFCJZRHTKD-UHFFFAOYSA-N 0 0 429.521 -0.078 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000581838266 1070999857 /nfs/dbraw/zinc/99/98/57/1070999857.db2.gz FMOFZFLFEGIBIV-UHFFFAOYSA-N 0 0 428.493 -0.034 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)C[C@@H](C)O2 ZINC000581838491 1070999629 /nfs/dbraw/zinc/99/96/29/1070999629.db2.gz PDNHUDPVRFAYEW-CLCXKQKWSA-N 0 0 425.507 -0.124 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)C[C@@H](C)O2 ZINC000581838492 1070999757 /nfs/dbraw/zinc/99/97/57/1070999757.db2.gz PDNHUDPVRFAYEW-SPYBWZPUSA-N 0 0 425.507 -0.124 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)C[C@@H](C)O2 ZINC000581838493 1070999801 /nfs/dbraw/zinc/99/98/01/1070999801.db2.gz PDNHUDPVRFAYEW-VCBZYWHSSA-N 0 0 425.507 -0.124 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCNS(=O)(=O)c1ccccc1)C[C@@H](C)O2 ZINC000581838494 1070999849 /nfs/dbraw/zinc/99/98/49/1070999849.db2.gz PDNHUDPVRFAYEW-ZRGWGRIASA-N 0 0 425.507 -0.124 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)nnn1CCOc1ccc(F)cc1 ZINC000581839190 1070999708 /nfs/dbraw/zinc/99/97/08/1070999708.db2.gz VKPAQFABDNSCEG-INIZCTEOSA-N 0 0 448.455 -0.157 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)nnn1CCOc1ccc(F)cc1 ZINC000581839191 1070999815 /nfs/dbraw/zinc/99/98/15/1070999815.db2.gz VKPAQFABDNSCEG-MRXNPFEDSA-N 0 0 448.455 -0.157 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(Cl)cc(C(N)=O)c2OC)CC1 ZINC000581839725 1070999511 /nfs/dbraw/zinc/99/95/11/1070999511.db2.gz WNBNJOIPXYUVLS-UHFFFAOYSA-N 0 0 432.886 -0.120 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NCC3(S(C)(=O)=O)CCCC3)nc2n(C)c1=O ZINC000581841570 1070999462 /nfs/dbraw/zinc/99/94/62/1070999462.db2.gz PEEFYUGUSURKRI-UHFFFAOYSA-N 0 0 437.522 0.464 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)N[C@H]1CCN(c2cc(C)nn2C)C1=O ZINC000581844353 1071000175 /nfs/dbraw/zinc/00/01/75/1071000175.db2.gz GHOIUSXYPLEBQF-INIZCTEOSA-N 0 0 429.477 -0.020 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)N[C@@H]1CCN(c2cc(C)nn2C)C1=O ZINC000581844354 1071000018 /nfs/dbraw/zinc/00/00/18/1071000018.db2.gz GHOIUSXYPLEBQF-MRXNPFEDSA-N 0 0 429.477 -0.020 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(C(=O)C2CCCCC2)C1 ZINC000581844533 1071000284 /nfs/dbraw/zinc/00/02/84/1071000284.db2.gz MQVYSLWKYZENLN-CVEARBPZSA-N 0 0 428.555 -0.176 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(C(=O)C2CCCCC2)C1 ZINC000581844534 1071000220 /nfs/dbraw/zinc/00/02/20/1071000220.db2.gz MQVYSLWKYZENLN-HOTGVXAUSA-N 0 0 428.555 -0.176 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(C(=O)C2CCCCC2)C1 ZINC000581844535 1071000387 /nfs/dbraw/zinc/00/03/87/1071000387.db2.gz MQVYSLWKYZENLN-HZPDHXFCSA-N 0 0 428.555 -0.176 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(C(=O)C2CCCCC2)C1 ZINC000581844536 1071000263 /nfs/dbraw/zinc/00/02/63/1071000263.db2.gz MQVYSLWKYZENLN-JKSUJKDBSA-N 0 0 428.555 -0.176 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCc3cccc(C(=O)N(C)C)c3)C2=O)n(C)n1 ZINC000581844728 1071000324 /nfs/dbraw/zinc/00/03/24/1071000324.db2.gz VNEMIPBCZXPPMX-INIZCTEOSA-N 0 0 426.477 -0.032 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCc3cccc(C(=O)N(C)C)c3)C2=O)n(C)n1 ZINC000581844729 1071000197 /nfs/dbraw/zinc/00/01/97/1071000197.db2.gz VNEMIPBCZXPPMX-MRXNPFEDSA-N 0 0 426.477 -0.032 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)c1 ZINC000581845265 1071000431 /nfs/dbraw/zinc/00/04/31/1071000431.db2.gz RCWKINFMBMDVLR-UHFFFAOYSA-N 0 0 434.478 -0.139 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000581845901 1071000065 /nfs/dbraw/zinc/00/00/65/1071000065.db2.gz UNZBNBSMPXERAL-UHFFFAOYSA-N 0 0 442.448 -0.275 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000581846648 1071000348 /nfs/dbraw/zinc/00/03/48/1071000348.db2.gz AMCKFABTJZUMHG-SWLSCSKDSA-N 0 0 438.549 -0.581 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1C)CC2 ZINC000581848534 1071000824 /nfs/dbraw/zinc/00/08/24/1071000824.db2.gz BMXQBYPGUPVHOX-SMDDNHRTSA-N 0 0 429.520 -0.577 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCC[C@H]2C(=O)NC)CC1 ZINC000581849421 1071000784 /nfs/dbraw/zinc/00/07/84/1071000784.db2.gz QQVVNKDJGYDWLA-CVEARBPZSA-N 0 0 445.586 -0.472 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCC[C@@H]2C(=O)NC)CC1 ZINC000581849422 1071000744 /nfs/dbraw/zinc/00/07/44/1071000744.db2.gz QQVVNKDJGYDWLA-HOTGVXAUSA-N 0 0 445.586 -0.472 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@H]2C(=O)NC)CC1 ZINC000581849423 1071000680 /nfs/dbraw/zinc/00/06/80/1071000680.db2.gz QQVVNKDJGYDWLA-HZPDHXFCSA-N 0 0 445.586 -0.472 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCC[C@@H]2C(=O)NC)CC1 ZINC000581849424 1071000497 /nfs/dbraw/zinc/00/04/97/1071000497.db2.gz QQVVNKDJGYDWLA-JKSUJKDBSA-N 0 0 445.586 -0.472 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(CC(F)F)CC3)C2)nc1 ZINC000581849712 1071000702 /nfs/dbraw/zinc/00/07/02/1071000702.db2.gz CPUXDLQLTSKCRS-UHFFFAOYSA-N 0 0 425.436 -0.028 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000581874819 1071002202 /nfs/dbraw/zinc/00/22/02/1071002202.db2.gz FVGUTPBRZDZMIV-UHFFFAOYSA-N 0 0 438.432 -0.483 20 0 IBADRN CC(C)Cn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000581897265 1071005396 /nfs/dbraw/zinc/00/53/96/1071005396.db2.gz UOCYNGLEFPXTIE-UHFFFAOYSA-N 0 0 443.574 -0.229 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCOCC3CC3)CC2)cn1C ZINC000581898427 1071005414 /nfs/dbraw/zinc/00/54/14/1071005414.db2.gz SVTTZZLKLXYJBX-UHFFFAOYSA-N 0 0 427.527 -0.506 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000581898812 1071005821 /nfs/dbraw/zinc/00/58/21/1071005821.db2.gz NAVBJXXFWSPQOQ-GOSISDBHSA-N 0 0 437.566 -0.535 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000581898813 1071006331 /nfs/dbraw/zinc/00/63/31/1071006331.db2.gz NAVBJXXFWSPQOQ-SFHVURJKSA-N 0 0 437.566 -0.535 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000581899065 1071005339 /nfs/dbraw/zinc/00/53/39/1071005339.db2.gz YIHLUYPCHRMZTI-CBGDNZLLSA-N 0 0 432.525 -0.490 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000581899066 1071005360 /nfs/dbraw/zinc/00/53/60/1071005360.db2.gz YIHLUYPCHRMZTI-LLGFUMIMSA-N 0 0 432.525 -0.490 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000581899067 1071005569 /nfs/dbraw/zinc/00/55/69/1071005569.db2.gz YIHLUYPCHRMZTI-LZJOCLMNSA-N 0 0 432.525 -0.490 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCCN1CCN(c3ncccn3)CC1)C[C@@H](C)O2 ZINC000581899068 1071005629 /nfs/dbraw/zinc/00/56/29/1071005629.db2.gz YIHLUYPCHRMZTI-WWMYMODYSA-N 0 0 432.525 -0.490 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(OC)c(NC(C)=O)c1 ZINC000581900981 1071005939 /nfs/dbraw/zinc/00/59/39/1071005939.db2.gz YDYOPZLFPWVYFT-AWEZNQCLSA-N 0 0 426.495 -0.190 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(OC)c(NC(C)=O)c1 ZINC000581900982 1071006912 /nfs/dbraw/zinc/00/69/12/1071006912.db2.gz YDYOPZLFPWVYFT-CQSZACIVSA-N 0 0 426.495 -0.190 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCN2C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cn1 ZINC000581902533 1071006520 /nfs/dbraw/zinc/00/65/20/1071006520.db2.gz VYBLVLCOYNOPLX-GDBMZVCRSA-N 0 0 445.567 -0.142 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)cn1 ZINC000581902534 1071006534 /nfs/dbraw/zinc/00/65/34/1071006534.db2.gz VYBLVLCOYNOPLX-ZBFHGGJFSA-N 0 0 445.567 -0.142 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)C1 ZINC000581902774 1071006894 /nfs/dbraw/zinc/00/68/94/1071006894.db2.gz SSLSCRZXMDKQEF-GFCCVEGCSA-N 0 0 440.453 -0.042 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)C1 ZINC000581902775 1071006702 /nfs/dbraw/zinc/00/67/02/1071006702.db2.gz SSLSCRZXMDKQEF-LBPRGKRZSA-N 0 0 440.453 -0.042 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000581903477 1071006971 /nfs/dbraw/zinc/00/69/71/1071006971.db2.gz CXUJMLMRIMWORR-KRWDZBQOSA-N 0 0 447.536 -0.767 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000581903478 1071006727 /nfs/dbraw/zinc/00/67/27/1071006727.db2.gz CXUJMLMRIMWORR-QGZVFWFLSA-N 0 0 447.536 -0.767 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1=O ZINC000581903890 1071006503 /nfs/dbraw/zinc/00/65/03/1071006503.db2.gz SQICHVCFZXECOH-GOSISDBHSA-N 0 0 445.520 -0.187 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1=O ZINC000581903891 1071006640 /nfs/dbraw/zinc/00/66/40/1071006640.db2.gz SQICHVCFZXECOH-SFHVURJKSA-N 0 0 445.520 -0.187 20 0 IBADRN CCSc1cc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccn1 ZINC000581904112 1071006749 /nfs/dbraw/zinc/00/67/49/1071006749.db2.gz AKUVMXHQAGJMEB-UHFFFAOYSA-N 0 0 435.550 -0.187 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)C1=O ZINC000581904790 1071007156 /nfs/dbraw/zinc/00/71/56/1071007156.db2.gz BUCNLQRRVPFAGZ-GDBMZVCRSA-N 0 0 443.483 -0.044 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)C1=O ZINC000581904791 1071007220 /nfs/dbraw/zinc/00/72/20/1071007220.db2.gz BUCNLQRRVPFAGZ-GOEBONIOSA-N 0 0 443.483 -0.044 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)C1=O ZINC000581904792 1071007177 /nfs/dbraw/zinc/00/71/77/1071007177.db2.gz BUCNLQRRVPFAGZ-HOCLYGCPSA-N 0 0 443.483 -0.044 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)C1=O ZINC000581904793 1071007095 /nfs/dbraw/zinc/00/70/95/1071007095.db2.gz BUCNLQRRVPFAGZ-ZBFHGGJFSA-N 0 0 443.483 -0.044 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)CCN1c1nccn2cnnc12 ZINC000581905853 1071007401 /nfs/dbraw/zinc/00/74/01/1071007401.db2.gz ACRYMONUXRDUGJ-QEEYODRMSA-N 0 0 429.481 -0.384 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)CCN1c1nccn2cnnc12 ZINC000581905854 1071007242 /nfs/dbraw/zinc/00/72/42/1071007242.db2.gz ACRYMONUXRDUGJ-SIFCLUCFSA-N 0 0 429.481 -0.384 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)CCN1c1nccn2cnnc12 ZINC000581905855 1071007510 /nfs/dbraw/zinc/00/75/10/1071007510.db2.gz ACRYMONUXRDUGJ-STXHMFSFSA-N 0 0 429.481 -0.384 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)CCN1c1nccn2cnnc12 ZINC000581905856 1071007453 /nfs/dbraw/zinc/00/74/53/1071007453.db2.gz ACRYMONUXRDUGJ-SXGZJXTBSA-N 0 0 429.481 -0.384 20 0 IBADRN CCO[C@@H](CCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(C)C ZINC000581908495 1071007437 /nfs/dbraw/zinc/00/74/37/1071007437.db2.gz KMRADWDKNDFVPS-INIZCTEOSA-N 0 0 426.514 -0.917 20 0 IBADRN CCO[C@H](CCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(C)C ZINC000581908496 1071007471 /nfs/dbraw/zinc/00/74/71/1071007471.db2.gz KMRADWDKNDFVPS-MRXNPFEDSA-N 0 0 426.514 -0.917 20 0 IBADRN CC(C)(C(=O)CN1C(=O)[C@H]2CN(C(N)=O)CCN2C1=O)N1C(=O)c2ccccc2C1=O ZINC000581912785 1071008296 /nfs/dbraw/zinc/00/82/96/1071008296.db2.gz BFGZYUWVJNWYJV-CYBMUJFWSA-N 0 0 427.417 -0.343 20 0 IBADRN CC(C)(C(=O)CN1C(=O)[C@@H]2CN(C(N)=O)CCN2C1=O)N1C(=O)c2ccccc2C1=O ZINC000581912786 1071008259 /nfs/dbraw/zinc/00/82/59/1071008259.db2.gz BFGZYUWVJNWYJV-ZDUSSCGKSA-N 0 0 427.417 -0.343 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000581915658 1071008279 /nfs/dbraw/zinc/00/82/79/1071008279.db2.gz MQRGWSDICUVLRB-HNNXBMFYSA-N 0 0 432.524 -0.987 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000581915659 1071008219 /nfs/dbraw/zinc/00/82/19/1071008219.db2.gz MQRGWSDICUVLRB-OAHLLOKOSA-N 0 0 432.524 -0.987 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000581915792 1071008157 /nfs/dbraw/zinc/00/81/57/1071008157.db2.gz UMBZZPPIYCHSNX-HNNXBMFYSA-N 0 0 432.524 -0.537 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000581915793 1071008240 /nfs/dbraw/zinc/00/82/40/1071008240.db2.gz UMBZZPPIYCHSNX-OAHLLOKOSA-N 0 0 432.524 -0.537 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCOC[C@H]1c1c(C)nn(C)c1C)S(C)(=O)=O ZINC000581950411 1071009060 /nfs/dbraw/zinc/00/90/60/1071009060.db2.gz CFPJRYYBNMJSIU-HNNXBMFYSA-N 0 0 429.543 -0.275 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCOC[C@@H]1c1c(C)nn(C)c1C)S(C)(=O)=O ZINC000581950412 1071009299 /nfs/dbraw/zinc/00/92/99/1071009299.db2.gz CFPJRYYBNMJSIU-OAHLLOKOSA-N 0 0 429.543 -0.275 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccnc(OC)c2)C1 ZINC000581957318 1071009186 /nfs/dbraw/zinc/00/91/86/1071009186.db2.gz DEMGUBVMWDHABQ-HNNXBMFYSA-N 0 0 428.511 -0.395 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccnc(OC)c2)C1 ZINC000581957319 1071009317 /nfs/dbraw/zinc/00/93/17/1071009317.db2.gz DEMGUBVMWDHABQ-OAHLLOKOSA-N 0 0 428.511 -0.395 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000581957685 1073356433 /nfs/dbraw/zinc/35/64/33/1073356433.db2.gz RHCJPXASZGXTPM-UHFFFAOYSA-N 0 0 430.509 0.026 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCN(c2ccccc2F)C1=O)S(C)(=O)=O ZINC000581959107 1071009904 /nfs/dbraw/zinc/00/99/04/1071009904.db2.gz NZSWZIHGPWBMKU-AWEZNQCLSA-N 0 0 428.486 -0.165 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2F)C1=O)S(C)(=O)=O ZINC000581959108 1071009707 /nfs/dbraw/zinc/00/97/07/1071009707.db2.gz NZSWZIHGPWBMKU-CQSZACIVSA-N 0 0 428.486 -0.165 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1nnc2n1CCCCC2 ZINC000581960342 1071009684 /nfs/dbraw/zinc/00/96/84/1071009684.db2.gz TVQOOZSMFGCLFY-INIZCTEOSA-N 0 0 431.541 -0.005 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1nnc2n1CCCCC2 ZINC000581960343 1071010041 /nfs/dbraw/zinc/01/00/41/1071010041.db2.gz TVQOOZSMFGCLFY-MRXNPFEDSA-N 0 0 431.541 -0.005 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)N[C@H]3CCO[C@@H]3c3ccccc3)CCO2)c1=O ZINC000581964019 1073304403 /nfs/dbraw/zinc/30/44/03/1073304403.db2.gz VTTFUJYXJCVJOH-FGTMMUONSA-N 0 0 441.488 0.066 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)N[C@@H]3CCO[C@H]3c3ccccc3)CCO2)c1=O ZINC000581964020 1073304314 /nfs/dbraw/zinc/30/43/14/1073304314.db2.gz VTTFUJYXJCVJOH-KURKYZTESA-N 0 0 441.488 0.066 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)N[C@@H]3CCO[C@@H]3c3ccccc3)CCO2)c1=O ZINC000581964021 1073304334 /nfs/dbraw/zinc/30/43/34/1073304334.db2.gz VTTFUJYXJCVJOH-KZNAEPCWSA-N 0 0 441.488 0.066 20 0 IBADRN Cn1ccnc(NC[C@@H]2CN(C(=O)C(=O)N[C@H]3CCO[C@H]3c3ccccc3)CCO2)c1=O ZINC000581964022 1073304438 /nfs/dbraw/zinc/30/44/38/1073304438.db2.gz VTTFUJYXJCVJOH-SQNIBIBYSA-N 0 0 441.488 0.066 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC[C@@H](CO)[C@@H](O)C2)c(=O)[nH]c1=O ZINC000581967360 1071010342 /nfs/dbraw/zinc/01/03/42/1071010342.db2.gz OYZBFNHKVCAACE-KKUMJFAQSA-N 0 0 441.529 -0.626 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC[C@H](CO)[C@H](O)C2)c(=O)[nH]c1=O ZINC000581967361 1071010569 /nfs/dbraw/zinc/01/05/69/1071010569.db2.gz OYZBFNHKVCAACE-RRFJBIMHSA-N 0 0 441.529 -0.626 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC[C@@H](CO)[C@H](O)C2)c(=O)[nH]c1=O ZINC000581967362 1071010434 /nfs/dbraw/zinc/01/04/34/1071010434.db2.gz OYZBFNHKVCAACE-SOUVJXGZSA-N 0 0 441.529 -0.626 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2CC[C@H](CO)[C@@H](O)C2)c(=O)[nH]c1=O ZINC000581967363 1071010204 /nfs/dbraw/zinc/01/02/04/1071010204.db2.gz OYZBFNHKVCAACE-ZNMIVQPWSA-N 0 0 441.529 -0.626 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)Nc2ccn(CC(=O)N(C)C)n2)c1 ZINC000581967775 1073333590 /nfs/dbraw/zinc/33/35/90/1073333590.db2.gz GDNQCOBGERRDAO-UHFFFAOYSA-N 0 0 438.510 0.218 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)CC1 ZINC000581968043 1071010622 /nfs/dbraw/zinc/01/06/22/1071010622.db2.gz QSZREKZRMQOIJJ-UHFFFAOYSA-N 0 0 439.557 -0.857 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCCOc2ccc(I)cc2)CCO1 ZINC000581968107 1071010494 /nfs/dbraw/zinc/01/04/94/1071010494.db2.gz SXSNSYRTNHRUIJ-GFCCVEGCSA-N 0 0 447.229 -0.501 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCCOc2ccc(I)cc2)CCO1 ZINC000581968108 1071010889 /nfs/dbraw/zinc/01/08/89/1071010889.db2.gz SXSNSYRTNHRUIJ-LBPRGKRZSA-N 0 0 447.229 -0.501 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)c(C)o1 ZINC000581969890 1071011085 /nfs/dbraw/zinc/01/10/85/1071011085.db2.gz CWGSEEUKRHNAQG-OAHLLOKOSA-N 0 0 440.522 -0.279 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1nnn(C2CC2)c1=O ZINC000581972412 1071011020 /nfs/dbraw/zinc/01/10/20/1071011020.db2.gz MOTUABJWVXRENN-UHFFFAOYSA-N 0 0 438.466 -0.557 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CC3)cc2)CC1 ZINC000581974369 1071010923 /nfs/dbraw/zinc/01/09/23/1071010923.db2.gz FICYKINSOZCFLO-UHFFFAOYSA-N 0 0 437.522 -0.142 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)C1 ZINC000581974785 1071011314 /nfs/dbraw/zinc/01/13/14/1071011314.db2.gz YXOFHWHJZFWACD-LLVKDONJSA-N 0 0 431.414 -0.247 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)C1 ZINC000581974786 1071011664 /nfs/dbraw/zinc/01/16/64/1071011664.db2.gz YXOFHWHJZFWACD-NSHDSACASA-N 0 0 431.414 -0.247 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@@H](c2cccc(C)c2)N2CCOCC2)CCO1 ZINC000581993487 1071033260 /nfs/dbraw/zinc/03/32/60/1071033260.db2.gz AGHVZEMJZQYBQR-PMACEKPBSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@@H](c2cccc(C)c2)N2CCOCC2)CCO1 ZINC000581993488 1071033241 /nfs/dbraw/zinc/03/32/41/1071033241.db2.gz AGHVZEMJZQYBQR-UXHICEINSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@H](c2cccc(C)c2)N2CCOCC2)CCO1 ZINC000581993489 1071033110 /nfs/dbraw/zinc/03/31/10/1071033110.db2.gz AGHVZEMJZQYBQR-VQTJNVASSA-N 0 0 432.521 -0.152 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@H](c2cccc(C)c2)N2CCOCC2)CCO1 ZINC000581993490 1071033181 /nfs/dbraw/zinc/03/31/81/1071033181.db2.gz AGHVZEMJZQYBQR-WOJBJXKFSA-N 0 0 432.521 -0.152 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)nn1 ZINC000581993983 1071033857 /nfs/dbraw/zinc/03/38/57/1071033857.db2.gz HOCNDUHQOFCTTN-UHFFFAOYSA-N 0 0 432.436 -0.322 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)c1 ZINC000581995449 1071033884 /nfs/dbraw/zinc/03/38/84/1071033884.db2.gz LCFWLWZPBZXQNY-UHFFFAOYSA-N 0 0 449.532 -0.271 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000582009914 1071047699 /nfs/dbraw/zinc/04/76/99/1071047699.db2.gz MUVBBVAAOATQMD-CYBMUJFWSA-N 0 0 431.536 -0.210 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000582009915 1071047607 /nfs/dbraw/zinc/04/76/07/1071047607.db2.gz MUVBBVAAOATQMD-ZDUSSCGKSA-N 0 0 431.536 -0.210 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCc3nc(C)ncc3C2)cn1 ZINC000582016583 1071061681 /nfs/dbraw/zinc/06/16/81/1071061681.db2.gz FOINQJREJWRLBG-AEFFLSMTSA-N 0 0 446.533 -0.027 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCc3nc(C)ncc3C2)cn1 ZINC000582016584 1071061726 /nfs/dbraw/zinc/06/17/26/1071061726.db2.gz FOINQJREJWRLBG-FUHWJXTLSA-N 0 0 446.533 -0.027 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCc3nc(C)ncc3C2)cn1 ZINC000582016585 1071061665 /nfs/dbraw/zinc/06/16/65/1071061665.db2.gz FOINQJREJWRLBG-SJLPKXTDSA-N 0 0 446.533 -0.027 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCc3nc(C)ncc3C2)cn1 ZINC000582016586 1071061776 /nfs/dbraw/zinc/06/17/76/1071061776.db2.gz FOINQJREJWRLBG-WMZOPIPTSA-N 0 0 446.533 -0.027 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1F ZINC000582016751 1071064266 /nfs/dbraw/zinc/06/42/66/1071064266.db2.gz KPOPWBPHHSONRQ-UHFFFAOYSA-N 0 0 444.467 -0.577 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)CC1 ZINC000582018885 1071063743 /nfs/dbraw/zinc/06/37/43/1071063743.db2.gz IXJAOLNOQIXHKN-AOCRQIFASA-N 0 0 435.569 -0.047 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)CC1 ZINC000582018886 1071064099 /nfs/dbraw/zinc/06/40/99/1071064099.db2.gz IXJAOLNOQIXHKN-WWDZGPRUSA-N 0 0 435.569 -0.047 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@H](C)O1 ZINC000582019228 1071063672 /nfs/dbraw/zinc/06/36/72/1071063672.db2.gz FCGOPJYKOCDUNZ-DLBZAZTESA-N 0 0 433.509 -0.501 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@@H](C)O1 ZINC000582019229 1071063533 /nfs/dbraw/zinc/06/35/33/1071063533.db2.gz FCGOPJYKOCDUNZ-IAGOWNOFSA-N 0 0 433.509 -0.501 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@H](C)O1 ZINC000582019230 1071063617 /nfs/dbraw/zinc/06/36/17/1071063617.db2.gz FCGOPJYKOCDUNZ-IRXDYDNUSA-N 0 0 433.509 -0.501 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C[C@@H](C)O1 ZINC000582019231 1071063589 /nfs/dbraw/zinc/06/35/89/1071063589.db2.gz FCGOPJYKOCDUNZ-SJORKVTESA-N 0 0 433.509 -0.501 20 0 IBADRN CC(C)[C@@H](NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)C(N)=O ZINC000582021469 1071064332 /nfs/dbraw/zinc/06/43/32/1071064332.db2.gz PHNZCHWKDAYVHX-GXTWGEPZSA-N 0 0 432.524 -0.217 20 0 IBADRN CC(C)[C@@H](NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)C(N)=O ZINC000582021470 1071064152 /nfs/dbraw/zinc/06/41/52/1071064152.db2.gz PHNZCHWKDAYVHX-TZMCWYRMSA-N 0 0 432.524 -0.217 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000582026163 1071064251 /nfs/dbraw/zinc/06/42/51/1071064251.db2.gz WCJVNUAWRHGZJM-AWEZNQCLSA-N 0 0 437.447 -0.406 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000582026164 1071064279 /nfs/dbraw/zinc/06/42/79/1071064279.db2.gz WCJVNUAWRHGZJM-CQSZACIVSA-N 0 0 437.447 -0.406 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCC2(N3CCOCC3)CC2)s1 ZINC000582027516 1071064369 /nfs/dbraw/zinc/06/43/69/1071064369.db2.gz YRQKQUSANUIINY-UHFFFAOYSA-N 0 0 430.552 -0.568 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)C1=O ZINC000582027569 1071064352 /nfs/dbraw/zinc/06/43/52/1071064352.db2.gz BFOKQTHYEHPGIJ-KGYLQXTDSA-N 0 0 434.474 -0.507 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)C1=O ZINC000582027570 1071064083 /nfs/dbraw/zinc/06/40/83/1071064083.db2.gz BFOKQTHYEHPGIJ-RAIGVLPGSA-N 0 0 434.474 -0.507 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1 ZINC000582029364 1071064045 /nfs/dbraw/zinc/06/40/45/1071064045.db2.gz PGDKKYHLPGMNTG-AWEZNQCLSA-N 0 0 446.551 -0.160 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1 ZINC000582029365 1071064341 /nfs/dbraw/zinc/06/43/41/1071064341.db2.gz PGDKKYHLPGMNTG-CQSZACIVSA-N 0 0 446.551 -0.160 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC000582029732 1071064898 /nfs/dbraw/zinc/06/48/98/1071064898.db2.gz JLMOIZNAULWVOQ-UHFFFAOYSA-N 0 0 434.541 -0.380 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000582029931 1071064172 /nfs/dbraw/zinc/06/41/72/1071064172.db2.gz TWUGGVIFEORHFU-UHFFFAOYSA-N 0 0 437.478 -0.357 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)NCCNS(=O)(=O)Cc1ccon1 ZINC000582033617 1071065215 /nfs/dbraw/zinc/06/52/15/1071065215.db2.gz LOLSMMYLBYKCDL-UHFFFAOYSA-N 0 0 436.899 -0.158 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000582033962 1071065142 /nfs/dbraw/zinc/06/51/42/1071065142.db2.gz DRFKWSQTGDMOFD-GFCCVEGCSA-N 0 0 447.535 -0.034 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000582033963 1071065114 /nfs/dbraw/zinc/06/51/14/1071065114.db2.gz DRFKWSQTGDMOFD-LBPRGKRZSA-N 0 0 447.535 -0.034 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NCCCN1CCNC(=O)C1 ZINC000582034013 1071065225 /nfs/dbraw/zinc/06/52/25/1071065225.db2.gz GXOUQKYZMIMJQS-UHFFFAOYSA-N 0 0 433.328 -0.335 20 0 IBADRN CCN(CC)C(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000582034105 1071065171 /nfs/dbraw/zinc/06/51/71/1071065171.db2.gz KOOZKFQHXUPCCT-UHFFFAOYSA-N 0 0 428.511 -0.120 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCCN1C(=O)NC3(CCCC3)C1=O)CC2 ZINC000582050842 1071065674 /nfs/dbraw/zinc/06/56/74/1071065674.db2.gz HNWXGEGUWYTHAR-CYBMUJFWSA-N 0 0 447.496 -0.774 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCCN1C(=O)NC3(CCCC3)C1=O)CC2 ZINC000582050843 1071065628 /nfs/dbraw/zinc/06/56/28/1071065628.db2.gz HNWXGEGUWYTHAR-ZDUSSCGKSA-N 0 0 447.496 -0.774 20 0 IBADRN O=C(NCc1ccnc(N2CCOCC2)c1)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000582053246 1071065775 /nfs/dbraw/zinc/06/57/75/1071065775.db2.gz SFMPLTOABCIRPC-AWEZNQCLSA-N 0 0 434.415 -0.331 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)cc2CC1 ZINC000582053538 1071065736 /nfs/dbraw/zinc/06/57/36/1071065736.db2.gz UJSVDNSIVHKGBG-UHFFFAOYSA-N 0 0 432.568 -0.256 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1cc2c(cc1OC(F)F)OCO2 ZINC000582053666 1071352966 /nfs/dbraw/zinc/35/29/66/1071352966.db2.gz BTDFAJQVSJHOFO-UHFFFAOYSA-N 0 0 437.359 -0.031 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000582054322 1071065685 /nfs/dbraw/zinc/06/56/85/1071065685.db2.gz PFRRCQVWAWSSBO-AEDCBLDQSA-N 0 0 440.526 -0.317 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H]1C[C@]1(C)NC(=O)NC1=O ZINC000582054323 1071065596 /nfs/dbraw/zinc/06/55/96/1071065596.db2.gz PFRRCQVWAWSSBO-BVRBVLIYSA-N 0 0 440.526 -0.317 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000582054324 1071065477 /nfs/dbraw/zinc/06/54/77/1071065477.db2.gz PFRRCQVWAWSSBO-SUFBMKPHSA-N 0 0 440.526 -0.317 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H]1C[C@]1(C)NC(=O)NC1=O ZINC000582054325 1071066140 /nfs/dbraw/zinc/06/61/40/1071066140.db2.gz PFRRCQVWAWSSBO-YNKQFZHNSA-N 0 0 440.526 -0.317 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000582054390 1071065644 /nfs/dbraw/zinc/06/56/44/1071065644.db2.gz SHUBBYVQOJBNCF-GXTWGEPZSA-N 0 0 425.511 -0.356 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000582054391 1071065719 /nfs/dbraw/zinc/06/57/19/1071065719.db2.gz SHUBBYVQOJBNCF-JSGCOSHPSA-N 0 0 425.511 -0.356 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000582054392 1071065756 /nfs/dbraw/zinc/06/57/56/1071065756.db2.gz SHUBBYVQOJBNCF-OCCSQVGLSA-N 0 0 425.511 -0.356 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000582054393 1071065790 /nfs/dbraw/zinc/06/57/90/1071065790.db2.gz SHUBBYVQOJBNCF-TZMCWYRMSA-N 0 0 425.511 -0.356 20 0 IBADRN COc1ccc(CNS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1S(N)(=O)=O ZINC000582055263 1071066150 /nfs/dbraw/zinc/06/61/50/1071066150.db2.gz YLFHOLPYWJQUDD-UHFFFAOYSA-N 0 0 429.542 -0.957 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1OC ZINC000582055425 1071065702 /nfs/dbraw/zinc/06/57/02/1071065702.db2.gz LINVBSWUKFORHM-GFCCVEGCSA-N 0 0 435.524 -0.491 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1OC ZINC000582055426 1071065566 /nfs/dbraw/zinc/06/55/66/1071065566.db2.gz LINVBSWUKFORHM-LBPRGKRZSA-N 0 0 435.524 -0.491 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC[C@H](OC(F)F)C1 ZINC000582075289 1071353360 /nfs/dbraw/zinc/35/33/60/1071353360.db2.gz QVSLEFMTYVOZLK-LBPRGKRZSA-N 0 0 446.432 -0.414 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000582076300 1071067932 /nfs/dbraw/zinc/06/79/32/1071067932.db2.gz LGSCYLZAOPLSFM-UHFFFAOYSA-N 0 0 428.898 -0.432 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000582076359 1071067689 /nfs/dbraw/zinc/06/76/89/1071067689.db2.gz OSARRIBQVJVKHH-PBHICJAKSA-N 0 0 427.523 -0.261 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000582076360 1071067673 /nfs/dbraw/zinc/06/76/73/1071067673.db2.gz OSARRIBQVJVKHH-RHSMWYFYSA-N 0 0 427.523 -0.261 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000582076361 1071067965 /nfs/dbraw/zinc/06/79/65/1071067965.db2.gz OSARRIBQVJVKHH-WMLDXEAASA-N 0 0 427.523 -0.261 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000582076362 1071067946 /nfs/dbraw/zinc/06/79/46/1071067946.db2.gz OSARRIBQVJVKHH-YOEHRIQHSA-N 0 0 427.523 -0.261 20 0 IBADRN COCc1ccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1 ZINC000582076845 1073338511 /nfs/dbraw/zinc/33/85/11/1073338511.db2.gz JMHCSXVSLCITKF-UHFFFAOYSA-N 0 0 429.477 0.529 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1C ZINC000582079973 1071068414 /nfs/dbraw/zinc/06/84/14/1071068414.db2.gz HRLAADZFMGJWPC-UHFFFAOYSA-N 0 0 441.959 -0.001 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCN1CCOC ZINC000582079978 1071068294 /nfs/dbraw/zinc/06/82/94/1071068294.db2.gz IGEVADBJFAKNIK-GOSISDBHSA-N 0 0 446.552 -0.023 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)CCN1CCOC ZINC000582079979 1071354134 /nfs/dbraw/zinc/35/41/34/1071354134.db2.gz IGEVADBJFAKNIK-SFHVURJKSA-N 0 0 446.552 -0.023 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000582081158 1071068218 /nfs/dbraw/zinc/06/82/18/1071068218.db2.gz NUTMFOSRQDNVCU-BZUAXINKSA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000582081159 1071068430 /nfs/dbraw/zinc/06/84/30/1071068430.db2.gz NUTMFOSRQDNVCU-OAGGEKHMSA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000582081160 1071068198 /nfs/dbraw/zinc/06/81/98/1071068198.db2.gz NUTMFOSRQDNVCU-OWCLPIDISA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1)S(C)(=O)=O ZINC000582081161 1071068525 /nfs/dbraw/zinc/06/85/25/1071068525.db2.gz NUTMFOSRQDNVCU-PMPSAXMXSA-N 0 0 430.571 -0.625 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC2)C1 ZINC000582083533 1071068497 /nfs/dbraw/zinc/06/84/97/1071068497.db2.gz ZNAXGBFSILXXKT-BZSNNMDCSA-N 0 0 435.569 -0.047 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC2)C1 ZINC000582083534 1071068482 /nfs/dbraw/zinc/06/84/82/1071068482.db2.gz ZNAXGBFSILXXKT-KSZLIROESA-N 0 0 435.569 -0.047 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)C1 ZINC000582083535 1071068273 /nfs/dbraw/zinc/06/82/73/1071068273.db2.gz ZNAXGBFSILXXKT-KURKYZTESA-N 0 0 435.569 -0.047 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)C1 ZINC000582083536 1071069316 /nfs/dbraw/zinc/06/93/16/1071069316.db2.gz ZNAXGBFSILXXKT-SQNIBIBYSA-N 0 0 435.569 -0.047 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccccc1-n1ccnn1 ZINC000582086794 1071068887 /nfs/dbraw/zinc/06/88/87/1071068887.db2.gz JWZCFTOBYTWKJT-AWEZNQCLSA-N 0 0 435.510 -0.017 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1-n1ccnn1 ZINC000582086795 1071068870 /nfs/dbraw/zinc/06/88/70/1071068870.db2.gz JWZCFTOBYTWKJT-CQSZACIVSA-N 0 0 435.510 -0.017 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CCC1 ZINC000582089405 1071069811 /nfs/dbraw/zinc/06/98/11/1071069811.db2.gz DURILEXCJQJRJP-UHFFFAOYSA-N 0 0 428.497 -0.566 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)(N2CCOCC2)C1 ZINC000582089909 1071069538 /nfs/dbraw/zinc/06/95/38/1071069538.db2.gz BWTQJADDOJDULS-UHFFFAOYSA-N 0 0 448.568 -0.550 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H]1CCC(=O)NC1=O ZINC000582089938 1071069576 /nfs/dbraw/zinc/06/95/76/1071069576.db2.gz DIGRZRSUQUAQEQ-HNNXBMFYSA-N 0 0 438.506 -0.444 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000582089939 1071069796 /nfs/dbraw/zinc/06/97/96/1071069796.db2.gz DIGRZRSUQUAQEQ-OAHLLOKOSA-N 0 0 438.506 -0.444 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)c4cccnc4)CC3)cn2n1 ZINC000582093028 1071069850 /nfs/dbraw/zinc/06/98/50/1071069850.db2.gz VFIHRMSMILOEIQ-UHFFFAOYSA-N 0 0 429.462 -0.096 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000582093660 1071069556 /nfs/dbraw/zinc/06/95/56/1071069556.db2.gz ZFDGSGHKWYLHQH-BXUZGUMPSA-N 0 0 429.376 -0.107 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000582093661 1071069668 /nfs/dbraw/zinc/06/96/68/1071069668.db2.gz ZFDGSGHKWYLHQH-FZMZJTMJSA-N 0 0 429.376 -0.107 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000582093662 1071069837 /nfs/dbraw/zinc/06/98/37/1071069837.db2.gz ZFDGSGHKWYLHQH-RISCZKNCSA-N 0 0 429.376 -0.107 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000582093663 1071069716 /nfs/dbraw/zinc/06/97/16/1071069716.db2.gz ZFDGSGHKWYLHQH-SMDDNHRTSA-N 0 0 429.376 -0.107 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)C1CCN(S(N)(=O)=O)CC1)C2 ZINC000582113699 1071071588 /nfs/dbraw/zinc/07/15/88/1071071588.db2.gz PKDPYUPVPWZBON-UHFFFAOYSA-N 0 0 430.552 -0.263 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)C[C@@H]1c1cnn(C)c1 ZINC000582127625 1071133318 /nfs/dbraw/zinc/13/33/18/1071133318.db2.gz CDGSVGIMGIXZBW-LKCYJCQHSA-N 0 0 427.509 -0.030 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)C[C@@H]1c1cnn(C)c1 ZINC000582127626 1071133254 /nfs/dbraw/zinc/13/32/54/1071133254.db2.gz CDGSVGIMGIXZBW-NWGLXNPWSA-N 0 0 427.509 -0.030 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H]2CC(=O)N(C)[C@@H]2c2cnn(C)c2C)C[C@@H]1c1cnn(C)c1 ZINC000582127627 1071133928 /nfs/dbraw/zinc/13/39/28/1071133928.db2.gz CDGSVGIMGIXZBW-RUENVJTFSA-N 0 0 427.509 -0.030 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H]2CC(=O)N(C)[C@H]2c2cnn(C)c2C)C[C@@H]1c1cnn(C)c1 ZINC000582127628 1071134150 /nfs/dbraw/zinc/13/41/50/1071134150.db2.gz CDGSVGIMGIXZBW-VYCZESIESA-N 0 0 427.509 -0.030 20 0 IBADRN Cn1ncc2c1CCC[C@H]2NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000582137173 1071072906 /nfs/dbraw/zinc/07/29/06/1071072906.db2.gz ZJPINQWUSGHFJZ-GDBMZVCRSA-N 0 0 439.538 -0.433 20 0 IBADRN Cn1ncc2c1CCC[C@H]2NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000582137174 1071072862 /nfs/dbraw/zinc/07/28/62/1071072862.db2.gz ZJPINQWUSGHFJZ-GOEBONIOSA-N 0 0 439.538 -0.433 20 0 IBADRN Cn1ncc2c1CCC[C@@H]2NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000582137175 1071073058 /nfs/dbraw/zinc/07/30/58/1071073058.db2.gz ZJPINQWUSGHFJZ-HOCLYGCPSA-N 0 0 439.538 -0.433 20 0 IBADRN Cn1ncc2c1CCC[C@@H]2NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000582137176 1071072647 /nfs/dbraw/zinc/07/26/47/1071072647.db2.gz ZJPINQWUSGHFJZ-ZBFHGGJFSA-N 0 0 439.538 -0.433 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000582143042 1071073326 /nfs/dbraw/zinc/07/33/26/1071073326.db2.gz BJSXVSMEZYRJEL-UHFFFAOYSA-N 0 0 430.494 -0.672 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000582144604 1071073578 /nfs/dbraw/zinc/07/35/78/1071073578.db2.gz UFNNXMQUMKOMRO-DLBZAZTESA-N 0 0 446.618 -0.111 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000582144605 1071073491 /nfs/dbraw/zinc/07/34/91/1071073491.db2.gz UFNNXMQUMKOMRO-IAGOWNOFSA-N 0 0 446.618 -0.111 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000582144606 1071073301 /nfs/dbraw/zinc/07/33/01/1071073301.db2.gz UFNNXMQUMKOMRO-IRXDYDNUSA-N 0 0 446.618 -0.111 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000582144607 1071073269 /nfs/dbraw/zinc/07/32/69/1071073269.db2.gz UFNNXMQUMKOMRO-SJORKVTESA-N 0 0 446.618 -0.111 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(F)(F)F)C2=O)C1 ZINC000582145468 1071073289 /nfs/dbraw/zinc/07/32/89/1071073289.db2.gz IYJRQGUEVRNSAZ-CMPLNLGQSA-N 0 0 448.423 -0.184 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(F)(F)F)C2=O)C1 ZINC000582145469 1071073597 /nfs/dbraw/zinc/07/35/97/1071073597.db2.gz IYJRQGUEVRNSAZ-JQWIXIFHSA-N 0 0 448.423 -0.184 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(F)(F)F)C2=O)C1 ZINC000582145470 1071073615 /nfs/dbraw/zinc/07/36/15/1071073615.db2.gz IYJRQGUEVRNSAZ-PWSUYJOCSA-N 0 0 448.423 -0.184 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(F)(F)F)C2=O)C1 ZINC000582145471 1071073372 /nfs/dbraw/zinc/07/33/72/1071073372.db2.gz IYJRQGUEVRNSAZ-ZYHUDNBSSA-N 0 0 448.423 -0.184 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCCS4(=O)=O)c3)CC2)cn1 ZINC000582145496 1071074127 /nfs/dbraw/zinc/07/41/27/1071074127.db2.gz JPEZZFOCGVMATJ-UHFFFAOYSA-N 0 0 446.533 -0.075 20 0 IBADRN CC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c2ccccc21 ZINC000582146685 1071074255 /nfs/dbraw/zinc/07/42/55/1071074255.db2.gz FLFWZIOEEKQXDN-KRWDZBQOSA-N 0 0 441.488 -0.450 20 0 IBADRN CC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c2ccccc21 ZINC000582146686 1071074037 /nfs/dbraw/zinc/07/40/37/1071074037.db2.gz FLFWZIOEEKQXDN-QGZVFWFLSA-N 0 0 441.488 -0.450 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccn(C3CCCC3)n2)CC1)N1CCOCC1 ZINC000582146937 1071074216 /nfs/dbraw/zinc/07/42/16/1071074216.db2.gz PQBXDDUGMDSWPX-UHFFFAOYSA-N 0 0 432.525 -0.383 20 0 IBADRN COCCN(C(=O)CNc1ccn(C)n1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000582147579 1073333114 /nfs/dbraw/zinc/33/31/14/1073333114.db2.gz WGWQIQGCBMJVNA-UHFFFAOYSA-N 0 0 427.465 0.404 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC(n2cnc(C(=O)OCC)c2N)CC1 ZINC000582147900 1071074164 /nfs/dbraw/zinc/07/41/64/1071074164.db2.gz MADZKJWMKXJKST-UHFFFAOYSA-N 0 0 431.515 -0.239 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCS(=O)(=O)C[C@H]2c2cnn(CC)c2)CCC1 ZINC000582149749 1071074147 /nfs/dbraw/zinc/07/41/47/1071074147.db2.gz YXXZTZSUUUKCJT-HNNXBMFYSA-N 0 0 439.538 -0.376 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCS(=O)(=O)C[C@@H]2c2cnn(CC)c2)CCC1 ZINC000582149750 1071074095 /nfs/dbraw/zinc/07/40/95/1071074095.db2.gz YXXZTZSUUUKCJT-OAHLLOKOSA-N 0 0 439.538 -0.376 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3ncnn3C(C)(C)C)CC2)no1 ZINC000582149992 1073329883 /nfs/dbraw/zinc/32/98/83/1073329883.db2.gz KFYMUKBZNVXLGZ-UHFFFAOYSA-N 0 0 432.485 -0.271 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)CC1 ZINC000582150184 1071074020 /nfs/dbraw/zinc/07/40/20/1071074020.db2.gz PTLHMNOMVKGFRX-HNNXBMFYSA-N 0 0 437.545 -0.665 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)CC1 ZINC000582150185 1071074180 /nfs/dbraw/zinc/07/41/80/1071074180.db2.gz PTLHMNOMVKGFRX-OAHLLOKOSA-N 0 0 437.545 -0.665 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000582151160 1073356995 /nfs/dbraw/zinc/35/69/95/1073356995.db2.gz KPVQFOMCJQKSRH-UHFFFAOYSA-N 0 0 436.538 -0.188 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1Cl ZINC000582153406 1071074234 /nfs/dbraw/zinc/07/42/34/1071074234.db2.gz RULKNLCWPXPUFO-UHFFFAOYSA-N 0 0 434.902 -0.338 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1)N1CCCC1 ZINC000582154426 1071074675 /nfs/dbraw/zinc/07/46/75/1071074675.db2.gz WXILCMIFWXSRRR-INIZCTEOSA-N 0 0 444.492 -0.530 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1)N1CCCC1 ZINC000582154427 1071074655 /nfs/dbraw/zinc/07/46/55/1071074655.db2.gz WXILCMIFWXSRRR-MRXNPFEDSA-N 0 0 444.492 -0.530 20 0 IBADRN O=C(NC[C@H]1CC[C@@H](CO)O1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000582172512 1071076006 /nfs/dbraw/zinc/07/60/06/1071076006.db2.gz YFIFQBCULHMMND-KGLIPLIRSA-N 0 0 445.469 -0.559 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000582172959 1071076136 /nfs/dbraw/zinc/07/61/36/1071076136.db2.gz ALAVGXZJPZHLCF-UHFFFAOYSA-N 0 0 432.477 -0.851 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000582188217 1071077035 /nfs/dbraw/zinc/07/70/35/1071077035.db2.gz SGGMKQPHICTTQI-UHFFFAOYSA-N 0 0 442.494 -0.239 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc(OC(F)F)cc2)CC1 ZINC000582195097 1071076670 /nfs/dbraw/zinc/07/66/70/1071076670.db2.gz ODWFSGMFTOSMOY-UHFFFAOYSA-N 0 0 440.447 -0.093 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](C)N2CCN(c3ccccc3)CC2)CC1 ZINC000582195910 1071076969 /nfs/dbraw/zinc/07/69/69/1071076969.db2.gz YSRGISIQNCVVGX-GOSISDBHSA-N 0 0 444.580 -0.360 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](C)N2CCN(c3ccccc3)CC2)CC1 ZINC000582195911 1071076817 /nfs/dbraw/zinc/07/68/17/1071076817.db2.gz YSRGISIQNCVVGX-SFHVURJKSA-N 0 0 444.580 -0.360 20 0 IBADRN CN(CC(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000582197657 1071077005 /nfs/dbraw/zinc/07/70/05/1071077005.db2.gz PHXBQTVFFIJQMO-AWEZNQCLSA-N 0 0 449.526 -0.096 20 0 IBADRN CN(CC(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000582197658 1071076952 /nfs/dbraw/zinc/07/69/52/1071076952.db2.gz PHXBQTVFFIJQMO-CQSZACIVSA-N 0 0 449.526 -0.096 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC2CCN(C(=O)c3cc(Cl)c[nH]3)CC2)CC1 ZINC000582198232 1071076882 /nfs/dbraw/zinc/07/68/82/1071076882.db2.gz RHLJFYNHXPHPBZ-UHFFFAOYSA-N 0 0 431.946 -0.034 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCCC[C@H]3C)CC1)CC2 ZINC000582198516 1071076736 /nfs/dbraw/zinc/07/67/36/1071076736.db2.gz DYUXNLBILFLYSQ-AEFFLSMTSA-N 0 0 445.568 -0.190 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCCC[C@@H]3C)CC1)CC2 ZINC000582198517 1071076656 /nfs/dbraw/zinc/07/66/56/1071076656.db2.gz DYUXNLBILFLYSQ-FUHWJXTLSA-N 0 0 445.568 -0.190 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCCC[C@H]3C)CC1)CC2 ZINC000582198518 1071076940 /nfs/dbraw/zinc/07/69/40/1071076940.db2.gz DYUXNLBILFLYSQ-SJLPKXTDSA-N 0 0 445.568 -0.190 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N3CCCC[C@@H]3C)CC1)CC2 ZINC000582198519 1071076864 /nfs/dbraw/zinc/07/68/64/1071076864.db2.gz DYUXNLBILFLYSQ-WMZOPIPTSA-N 0 0 445.568 -0.190 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)on1 ZINC000582200784 1071076640 /nfs/dbraw/zinc/07/66/40/1071076640.db2.gz TWMQVNVPEFCELX-INIZCTEOSA-N 0 0 429.481 -0.327 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)on1 ZINC000582200785 1071077021 /nfs/dbraw/zinc/07/70/21/1071077021.db2.gz TWMQVNVPEFCELX-MRXNPFEDSA-N 0 0 429.481 -0.327 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)c2ccc(F)cc2)CC1)C(=O)N1CCOCC1 ZINC000582200932 1071076899 /nfs/dbraw/zinc/07/68/99/1071076899.db2.gz ASWIMUHQWHBNNX-KRWDZBQOSA-N 0 0 434.468 -0.136 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)c2ccc(F)cc2)CC1)C(=O)N1CCOCC1 ZINC000582200933 1071076620 /nfs/dbraw/zinc/07/66/20/1071076620.db2.gz ASWIMUHQWHBNNX-QGZVFWFLSA-N 0 0 434.468 -0.136 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H](CO)[C@H](O)C1 ZINC000582204670 1071077485 /nfs/dbraw/zinc/07/74/85/1071077485.db2.gz GJERTBJXEYJIRD-IIDMSEBBSA-N 0 0 427.523 -0.290 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H](CO)[C@@H](O)C1 ZINC000582204671 1071077434 /nfs/dbraw/zinc/07/74/34/1071077434.db2.gz GJERTBJXEYJIRD-RKVPGOIHSA-N 0 0 427.523 -0.290 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@@H](CO)[C@H](O)C1 ZINC000582204672 1071077733 /nfs/dbraw/zinc/07/77/33/1071077733.db2.gz GJERTBJXEYJIRD-RVKKMQEKSA-N 0 0 427.523 -0.290 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000582204673 1071078065 /nfs/dbraw/zinc/07/80/65/1071078065.db2.gz GJERTBJXEYJIRD-VKJFTORMSA-N 0 0 427.523 -0.290 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)n1 ZINC000582205227 1071078336 /nfs/dbraw/zinc/07/83/36/1071078336.db2.gz CSLPTYLEQBPKHY-UHFFFAOYSA-N 0 0 433.494 -0.913 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cn1 ZINC000582206203 1071077582 /nfs/dbraw/zinc/07/75/82/1071077582.db2.gz SQTBLJVHYHIAKW-MJGOQNOKSA-N 0 0 442.524 -0.583 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCc2ccn(C)n2)CC1 ZINC000582206243 1072530407 /nfs/dbraw/zinc/53/04/07/1072530407.db2.gz VCUBCFVNCROXPO-UHFFFAOYSA-N 0 0 428.559 -0.410 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000582208052 1071077470 /nfs/dbraw/zinc/07/74/70/1071077470.db2.gz GLGWIQSLBVNQJI-UHFFFAOYSA-N 0 0 431.442 -0.146 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CCC1 ZINC000582208567 1071077383 /nfs/dbraw/zinc/07/73/83/1071077383.db2.gz DEEXNMDIBAMPGC-UHFFFAOYSA-N 0 0 446.570 -0.692 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)CCC1 ZINC000582208644 1071077408 /nfs/dbraw/zinc/07/74/08/1071077408.db2.gz HNQNCTSAYVJBPK-AWEZNQCLSA-N 0 0 445.480 -0.207 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)CCC1 ZINC000582208645 1071077819 /nfs/dbraw/zinc/07/78/19/1071077819.db2.gz HNQNCTSAYVJBPK-CQSZACIVSA-N 0 0 445.480 -0.207 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@H]2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)C2)no1 ZINC000582209332 1071077362 /nfs/dbraw/zinc/07/73/62/1071077362.db2.gz LCIWJMVHJWIVDX-INIZCTEOSA-N 0 0 448.524 -0.438 20 0 IBADRN CCc1nc(CN(C(C)=O)[C@@H]2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)C2)no1 ZINC000582209333 1071077304 /nfs/dbraw/zinc/07/73/04/1071077304.db2.gz LCIWJMVHJWIVDX-MRXNPFEDSA-N 0 0 448.524 -0.438 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000582213804 1071078280 /nfs/dbraw/zinc/07/82/80/1071078280.db2.gz DCZWMKDTRLNWKP-UHFFFAOYSA-N 0 0 425.577 -0.818 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000582214513 1071078298 /nfs/dbraw/zinc/07/82/98/1071078298.db2.gz WCZRWSRYBZSXCJ-UHFFFAOYSA-N 0 0 430.911 -0.052 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)cn1 ZINC000582216105 1071078107 /nfs/dbraw/zinc/07/81/07/1071078107.db2.gz GVODAMBMYODOMA-HNNXBMFYSA-N 0 0 448.548 -0.289 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)cn1 ZINC000582216106 1071078148 /nfs/dbraw/zinc/07/81/48/1071078148.db2.gz GVODAMBMYODOMA-OAHLLOKOSA-N 0 0 448.548 -0.289 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)CC1)N1CCCC1 ZINC000582232223 1071078884 /nfs/dbraw/zinc/07/88/84/1071078884.db2.gz OXSAVFCKBUSEIC-HNNXBMFYSA-N 0 0 433.475 -0.247 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)CC1)N1CCCC1 ZINC000582232224 1071079069 /nfs/dbraw/zinc/07/90/69/1071079069.db2.gz OXSAVFCKBUSEIC-OAHLLOKOSA-N 0 0 433.475 -0.247 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H]3CCN(C(C)C)C3=O)CC2)CC1 ZINC000582233333 1071079235 /nfs/dbraw/zinc/07/92/35/1071079235.db2.gz WWDXYEOSKCMULV-GOSISDBHSA-N 0 0 435.569 -0.095 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H]3CCN(C(C)C)C3=O)CC2)CC1 ZINC000582233334 1071078829 /nfs/dbraw/zinc/07/88/29/1071078829.db2.gz WWDXYEOSKCMULV-SFHVURJKSA-N 0 0 435.569 -0.095 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000582246632 1071078977 /nfs/dbraw/zinc/07/89/77/1071078977.db2.gz KBKBREOFUDNCDO-UHFFFAOYSA-N 0 0 435.506 -0.321 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(=O)[nH]c(N(C)C)n2)CCN1C(=O)c1cc(=O)[nH]c(N(C)C)n1 ZINC000582253871 1071079619 /nfs/dbraw/zinc/07/96/19/1071079619.db2.gz DLLQVCSPMRAIEI-LLVKDONJSA-N 0 0 430.469 -0.204 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(Cl)cc(C(N)=O)c2OC)C1 ZINC000582256087 1071079740 /nfs/dbraw/zinc/07/97/40/1071079740.db2.gz ANFJNNKGRNGZIA-JTQLQIEISA-N 0 0 432.886 -0.074 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(Cl)cc(C(N)=O)c2OC)C1 ZINC000582256088 1071079762 /nfs/dbraw/zinc/07/97/62/1071079762.db2.gz ANFJNNKGRNGZIA-SNVBAGLBSA-N 0 0 432.886 -0.074 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000582263028 1071079599 /nfs/dbraw/zinc/07/95/99/1071079599.db2.gz CBYDKCAEMUOMNA-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCc3cn(CCOC)nc32)C1 ZINC000582268675 1071080376 /nfs/dbraw/zinc/08/03/76/1071080376.db2.gz YZEKLEHXQKFTOV-CABCVRRESA-N 0 0 427.527 -0.437 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCc3cn(CCOC)nc32)C1 ZINC000582268676 1071080344 /nfs/dbraw/zinc/08/03/44/1071080344.db2.gz YZEKLEHXQKFTOV-GJZGRUSLSA-N 0 0 427.527 -0.437 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCc3cn(CCOC)nc32)C1 ZINC000582268677 1071080362 /nfs/dbraw/zinc/08/03/62/1071080362.db2.gz YZEKLEHXQKFTOV-HUUCEWRRSA-N 0 0 427.527 -0.437 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCc3cn(CCOC)nc32)C1 ZINC000582268678 1071080310 /nfs/dbraw/zinc/08/03/10/1071080310.db2.gz YZEKLEHXQKFTOV-LSDHHAIUSA-N 0 0 427.527 -0.437 20 0 IBADRN Cn1cc(NC(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)c(=O)n(C)c1=O ZINC000582269194 1071080395 /nfs/dbraw/zinc/08/03/95/1071080395.db2.gz UVFJRUHDOWVBMC-UHFFFAOYSA-N 0 0 436.368 -0.100 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)Nc2ccnc(C(=O)N3CCN(C)CC3)c2)nn1 ZINC000582270855 1071080411 /nfs/dbraw/zinc/08/04/11/1071080411.db2.gz XRCFOQGFOFYTDW-QZTJIDSGSA-N 0 0 444.496 -0.031 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)N(C)C)CC1 ZINC000582274768 1071080441 /nfs/dbraw/zinc/08/04/41/1071080441.db2.gz TXWPFZKFROOLDG-UHFFFAOYSA-N 0 0 427.527 -0.148 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CCO1 ZINC000582307740 1071081372 /nfs/dbraw/zinc/08/13/72/1071081372.db2.gz ADYRAIAGMAJGFF-IBGZPJMESA-N 0 0 445.520 -0.795 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CCO1 ZINC000582307741 1071081435 /nfs/dbraw/zinc/08/14/35/1071081435.db2.gz ADYRAIAGMAJGFF-LJQANCHMSA-N 0 0 445.520 -0.795 20 0 IBADRN COC(=O)CCN(Cc1ccncc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000582307965 1071081521 /nfs/dbraw/zinc/08/15/21/1071081521.db2.gz PFZVDRDEPDJKJY-AEVYOOLXSA-N 0 0 444.448 -0.598 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000582308007 1071081560 /nfs/dbraw/zinc/08/15/60/1071081560.db2.gz RYCSBWJBFZZCGQ-KRWDZBQOSA-N 0 0 433.484 -0.677 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000582308008 1071081633 /nfs/dbraw/zinc/08/16/33/1071081633.db2.gz RYCSBWJBFZZCGQ-QGZVFWFLSA-N 0 0 433.484 -0.677 20 0 IBADRN COCCC[C@H](Cc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000582308039 1071081664 /nfs/dbraw/zinc/08/16/64/1071081664.db2.gz UMUBMCFVNHNRAJ-GOSISDBHSA-N 0 0 443.508 -0.502 20 0 IBADRN COCCC[C@@H](Cc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000582308040 1071081612 /nfs/dbraw/zinc/08/16/12/1071081612.db2.gz UMUBMCFVNHNRAJ-SFHVURJKSA-N 0 0 443.508 -0.502 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000582308088 1071081679 /nfs/dbraw/zinc/08/16/79/1071081679.db2.gz YMPUFIBQGHEGKN-AWEZNQCLSA-N 0 0 425.577 -0.772 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)C1 ZINC000582308089 1071081473 /nfs/dbraw/zinc/08/14/73/1071081473.db2.gz YMPUFIBQGHEGKN-CQSZACIVSA-N 0 0 425.577 -0.772 20 0 IBADRN Cc1cccc([C@@H]2C(=O)NCCN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000582311945 1071081712 /nfs/dbraw/zinc/08/17/12/1071081712.db2.gz CMHKQNHFOAXYFR-JOCHJYFZSA-N 0 0 443.548 -0.529 20 0 IBADRN Cc1cccc([C@H]2C(=O)NCCN2CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000582311946 1071081730 /nfs/dbraw/zinc/08/17/30/1071081730.db2.gz CMHKQNHFOAXYFR-QFIPXVFZSA-N 0 0 443.548 -0.529 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000582313602 1071082025 /nfs/dbraw/zinc/08/20/25/1071082025.db2.gz IXUSREDKJXWEFV-UHFFFAOYSA-N 0 0 446.551 -0.219 20 0 IBADRN COc1ccccc1N1CC[C@@H](CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000582315303 1071082197 /nfs/dbraw/zinc/08/21/97/1071082197.db2.gz LBZQZCIWOXYONF-KRWDZBQOSA-N 0 0 431.537 -0.082 20 0 IBADRN COc1ccccc1N1CC[C@H](CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000582315304 1071082081 /nfs/dbraw/zinc/08/20/81/1071082081.db2.gz LBZQZCIWOXYONF-QGZVFWFLSA-N 0 0 431.537 -0.082 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000582315666 1071082226 /nfs/dbraw/zinc/08/22/26/1071082226.db2.gz BNKIKQSKDCSNEN-LLVKDONJSA-N 0 0 443.478 -0.079 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000582315667 1071082290 /nfs/dbraw/zinc/08/22/90/1071082290.db2.gz BNKIKQSKDCSNEN-NSHDSACASA-N 0 0 443.478 -0.079 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000582315960 1071081986 /nfs/dbraw/zinc/08/19/86/1071081986.db2.gz QKPMFHKARNREHA-CYBMUJFWSA-N 0 0 448.567 -0.440 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000582315961 1071082121 /nfs/dbraw/zinc/08/21/21/1071082121.db2.gz QKPMFHKARNREHA-ZDUSSCGKSA-N 0 0 448.567 -0.440 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(Cc2cnn(C)c2)CC1 ZINC000582317648 1071082318 /nfs/dbraw/zinc/08/23/18/1071082318.db2.gz BGTUJQSCUJIUCS-UHFFFAOYSA-N 0 0 427.509 -0.723 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC2CCN(S(C)(=O)=O)CC2)c(S(N)(=O)=O)c1 ZINC000582317960 1071082305 /nfs/dbraw/zinc/08/23/05/1071082305.db2.gz RGGAHNBQTZYXTG-UHFFFAOYSA-N 0 0 440.569 -0.580 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2nccn2CC(F)(F)F)CC1 ZINC000582318211 1071082251 /nfs/dbraw/zinc/08/22/51/1071082251.db2.gz FQFQRLHYXMEURH-UHFFFAOYSA-N 0 0 439.398 -0.096 20 0 IBADRN CCC(CC)(NC(=O)C1CN(C(=O)c2nc(S(C)(=O)=O)n3ccccc23)C1)C(N)=O ZINC000582318545 1071081999 /nfs/dbraw/zinc/08/19/99/1071081999.db2.gz YVFAAQRMTPIITF-UHFFFAOYSA-N 0 0 435.506 -0.030 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000582319342 1071082044 /nfs/dbraw/zinc/08/20/44/1071082044.db2.gz SQNGPEZIBVBXOR-DZGCQCFKSA-N 0 0 440.497 -0.165 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000582319343 1071082178 /nfs/dbraw/zinc/08/21/78/1071082178.db2.gz SQNGPEZIBVBXOR-HIFRSBDPSA-N 0 0 440.497 -0.165 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000582319344 1071082807 /nfs/dbraw/zinc/08/28/07/1071082807.db2.gz SQNGPEZIBVBXOR-UKRRQHHQSA-N 0 0 440.497 -0.165 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000582319345 1071083138 /nfs/dbraw/zinc/08/31/38/1071083138.db2.gz SQNGPEZIBVBXOR-ZFWWWQNUSA-N 0 0 440.497 -0.165 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000582322932 1071083604 /nfs/dbraw/zinc/08/36/04/1071083604.db2.gz DQVKNTZVIIMURH-GFCCVEGCSA-N 0 0 436.556 -0.845 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000582322933 1071083667 /nfs/dbraw/zinc/08/36/67/1071083667.db2.gz DQVKNTZVIIMURH-LBPRGKRZSA-N 0 0 436.556 -0.845 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000582323456 1071083051 /nfs/dbraw/zinc/08/30/51/1071083051.db2.gz APXXPTSIEWMJLA-HRCADAONSA-N 0 0 432.543 -0.830 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)[C@@H]1CC[C@H](C(=O)N2CCOCC2)O1 ZINC000582323457 1071083119 /nfs/dbraw/zinc/08/31/19/1071083119.db2.gz APXXPTSIEWMJLA-OWCLPIDISA-N 0 0 432.543 -0.830 20 0 IBADRN O=C(NCc1csc(-c2ccco2)n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000582323458 1071082867 /nfs/dbraw/zinc/08/28/67/1071082867.db2.gz AQYVRNWTOBQNRB-UHFFFAOYSA-N 0 0 431.474 -0.031 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(N2CCCC2)c1 ZINC000582325968 1071083128 /nfs/dbraw/zinc/08/31/28/1071083128.db2.gz HXMDGCXUBYLVLE-INIZCTEOSA-N 0 0 440.508 -0.179 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(N2CCCC2)c1 ZINC000582325969 1071082740 /nfs/dbraw/zinc/08/27/40/1071082740.db2.gz HXMDGCXUBYLVLE-MRXNPFEDSA-N 0 0 440.508 -0.179 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2C[C@@H](C)[C@H](N3CCOCC3)C2)cn1 ZINC000582326016 1071083066 /nfs/dbraw/zinc/08/30/66/1071083066.db2.gz KIWCXQMSGJIAPJ-MYZZLAAOSA-N 0 0 432.525 -0.482 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2C[C@@H](C)[C@@H](N3CCOCC3)C2)cn1 ZINC000582326017 1071082937 /nfs/dbraw/zinc/08/29/37/1071082937.db2.gz KIWCXQMSGJIAPJ-NWGLXNPWSA-N 0 0 432.525 -0.482 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2C[C@H](N3CCOCC3)[C@@H](C)C2)cn1 ZINC000582326018 1071083093 /nfs/dbraw/zinc/08/30/93/1071083093.db2.gz KIWCXQMSGJIAPJ-RUENVJTFSA-N 0 0 432.525 -0.482 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2C[C@H](C)[C@H](N3CCOCC3)C2)cn1 ZINC000582326019 1071083151 /nfs/dbraw/zinc/08/31/51/1071083151.db2.gz KIWCXQMSGJIAPJ-WFEDQXDTSA-N 0 0 432.525 -0.482 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000582327162 1073310691 /nfs/dbraw/zinc/31/06/91/1073310691.db2.gz PYJAPVBAAPHORC-UHFFFAOYSA-N 0 0 429.481 -0.041 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000582327217 1071082969 /nfs/dbraw/zinc/08/29/69/1071082969.db2.gz UJBFJGGZAQHZNL-BZSNNMDCSA-N 0 0 438.550 -0.328 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000582327218 1071083014 /nfs/dbraw/zinc/08/30/14/1071083014.db2.gz UJBFJGGZAQHZNL-FGTMMUONSA-N 0 0 438.550 -0.328 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000582327219 1071082824 /nfs/dbraw/zinc/08/28/24/1071082824.db2.gz UJBFJGGZAQHZNL-OKZBNKHCSA-N 0 0 438.550 -0.328 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000582327220 1071082762 /nfs/dbraw/zinc/08/27/62/1071082762.db2.gz UJBFJGGZAQHZNL-SQNIBIBYSA-N 0 0 438.550 -0.328 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CC3)CC2)cc1 ZINC000582329589 1071083560 /nfs/dbraw/zinc/08/35/60/1071083560.db2.gz ZDQXGMVCRFDGGS-MUUNZHRXSA-N 0 0 443.547 -0.445 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC3([S@](C)=O)CC3)CC2)cc1 ZINC000582329590 1071083697 /nfs/dbraw/zinc/08/36/97/1071083697.db2.gz ZDQXGMVCRFDGGS-NDEPHWFRSA-N 0 0 443.547 -0.445 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)N2CCS(=O)CC2)cc1 ZINC000582331152 1071083424 /nfs/dbraw/zinc/08/34/24/1071083424.db2.gz BYWQIUXRGCSARC-UHFFFAOYSA-N 0 0 444.579 -0.118 20 0 IBADRN O=C(CN1C[C@@H]2COC[C@H](C1)O2)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000582348062 1071084208 /nfs/dbraw/zinc/08/42/08/1071084208.db2.gz FFTVDRZOEQPJCK-CALCHBBNSA-N 0 0 425.507 -0.577 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)c(C(F)(F)F)c1)C(=O)NCC(N)=O ZINC000582351838 1071085207 /nfs/dbraw/zinc/08/52/07/1071085207.db2.gz PKZCVDPWFFKCON-JTQLQIEISA-N 0 0 444.819 -0.127 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)c(C(F)(F)F)c1)C(=O)NCC(N)=O ZINC000582351839 1071085376 /nfs/dbraw/zinc/08/53/76/1071085376.db2.gz PKZCVDPWFFKCON-SNVBAGLBSA-N 0 0 444.819 -0.127 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)NCCCn1c(=O)ccn(C)c1=O ZINC000582352722 1071084041 /nfs/dbraw/zinc/08/40/41/1071084041.db2.gz VEDNMBYWVTVRFD-UHFFFAOYSA-N 0 0 437.522 -0.120 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCc3c2cccc3O)CC1 ZINC000582366695 1071085189 /nfs/dbraw/zinc/08/51/89/1071085189.db2.gz FZXIJXKLBPEXIB-CRAIPNDOSA-N 0 0 430.505 -0.113 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCc3c2cccc3O)CC1 ZINC000582366696 1071085290 /nfs/dbraw/zinc/08/52/90/1071085290.db2.gz FZXIJXKLBPEXIB-MAUKXSAKSA-N 0 0 430.505 -0.113 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCc3c2cccc3O)CC1 ZINC000582366697 1071085226 /nfs/dbraw/zinc/08/52/26/1071085226.db2.gz FZXIJXKLBPEXIB-QAPCUYQASA-N 0 0 430.505 -0.113 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCc3c2cccc3O)CC1 ZINC000582366698 1071085105 /nfs/dbraw/zinc/08/51/05/1071085105.db2.gz FZXIJXKLBPEXIB-YJBOKZPZSA-N 0 0 430.505 -0.113 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3ccccn32)CC1)N1CCCCCC1 ZINC000582373202 1071085651 /nfs/dbraw/zinc/08/56/51/1071085651.db2.gz IOJRHEULBQEDOK-UHFFFAOYSA-N 0 0 427.509 -0.108 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000582373709 1071085969 /nfs/dbraw/zinc/08/59/69/1071085969.db2.gz GVBBCTNVDGQIHP-KRWDZBQOSA-N 0 0 428.555 -0.034 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000582373710 1071085877 /nfs/dbraw/zinc/08/58/77/1071085877.db2.gz GVBBCTNVDGQIHP-QGZVFWFLSA-N 0 0 428.555 -0.034 20 0 IBADRN CN(Cc1ccccn1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000582374192 1071085999 /nfs/dbraw/zinc/08/59/99/1071085999.db2.gz FHWOBDMXIGJMCM-UHFFFAOYSA-N 0 0 446.533 -0.297 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2cccc(CN3CCO[C@@H](C)C3)c2)CC1 ZINC000582374463 1071085800 /nfs/dbraw/zinc/08/58/00/1071085800.db2.gz QDILRBGGBZCFNJ-KRWDZBQOSA-N 0 0 445.564 -0.150 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCc2cccc(CN3CCO[C@H](C)C3)c2)CC1 ZINC000582374464 1071086024 /nfs/dbraw/zinc/08/60/24/1071086024.db2.gz QDILRBGGBZCFNJ-QGZVFWFLSA-N 0 0 445.564 -0.150 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)nn1 ZINC000582374820 1071085547 /nfs/dbraw/zinc/08/55/47/1071085547.db2.gz HZVWBHJDDGGIKC-UHFFFAOYSA-N 0 0 446.493 -0.339 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC2(C1)CCOCC2 ZINC000582375426 1071085578 /nfs/dbraw/zinc/08/55/78/1071085578.db2.gz OXKVWGFTNREJDF-UHFFFAOYSA-N 0 0 436.490 -0.615 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O)CC2 ZINC000582381773 1071086554 /nfs/dbraw/zinc/08/65/54/1071086554.db2.gz DOUMFGYKYUXVLU-GHMZBOCLSA-N 0 0 432.403 -0.475 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O)CC2 ZINC000582381774 1071086344 /nfs/dbraw/zinc/08/63/44/1071086344.db2.gz DOUMFGYKYUXVLU-MNOVXSKESA-N 0 0 432.403 -0.475 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O)CC2 ZINC000582381775 1071087274 /nfs/dbraw/zinc/08/72/74/1071087274.db2.gz DOUMFGYKYUXVLU-QWRGUYRKSA-N 0 0 432.403 -0.475 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O)CC2 ZINC000582381776 1071087252 /nfs/dbraw/zinc/08/72/52/1071087252.db2.gz DOUMFGYKYUXVLU-WDEREUQCSA-N 0 0 432.403 -0.475 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(C3CCCCC3)C2=O)CC1 ZINC000582382027 1071086298 /nfs/dbraw/zinc/08/62/98/1071086298.db2.gz PKRPXDIAIBSAQB-INIZCTEOSA-N 0 0 428.555 -0.080 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(C3CCCCC3)C2=O)CC1 ZINC000582382028 1071086520 /nfs/dbraw/zinc/08/65/20/1071086520.db2.gz PKRPXDIAIBSAQB-MRXNPFEDSA-N 0 0 428.555 -0.080 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000582382347 1071087262 /nfs/dbraw/zinc/08/72/62/1071087262.db2.gz DMLKETZIACOXMY-CYBMUJFWSA-N 0 0 427.593 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000582382348 1071086995 /nfs/dbraw/zinc/08/69/95/1071086995.db2.gz DMLKETZIACOXMY-ZDUSSCGKSA-N 0 0 427.593 -0.336 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cn1 ZINC000582384894 1071087043 /nfs/dbraw/zinc/08/70/43/1071087043.db2.gz FDLRLTMQVBUUNG-APWZRJJASA-N 0 0 442.524 -0.583 20 0 IBADRN CCCCCn1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(C)n1 ZINC000582384914 1071086879 /nfs/dbraw/zinc/08/68/79/1071086879.db2.gz FTTAAPZRZFFQSL-UHFFFAOYSA-N 0 0 432.525 -0.053 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)n1 ZINC000582384925 1071086971 /nfs/dbraw/zinc/08/69/71/1071086971.db2.gz GGLOZCIRYJKENR-UHFFFAOYSA-N 0 0 445.505 -0.512 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)nn1 ZINC000582385179 1071088233 /nfs/dbraw/zinc/08/82/33/1071088233.db2.gz QIDLLHXMKDLJLP-FVQBIDKESA-N 0 0 427.469 -0.052 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)nn1 ZINC000582385180 1071088252 /nfs/dbraw/zinc/08/82/52/1071088252.db2.gz QIDLLHXMKDLJLP-NUEKZKHPSA-N 0 0 427.469 -0.052 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)CC1 ZINC000582385957 1071087574 /nfs/dbraw/zinc/08/75/74/1071087574.db2.gz CVXHYQLORBSRDN-DOTOQJQBSA-N 0 0 449.418 -0.732 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)CC1 ZINC000582385958 1071087606 /nfs/dbraw/zinc/08/76/06/1071087606.db2.gz CVXHYQLORBSRDN-NVXWUHKLSA-N 0 0 449.418 -0.732 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)CC1 ZINC000582385959 1071087678 /nfs/dbraw/zinc/08/76/78/1071087678.db2.gz CVXHYQLORBSRDN-RDJZCZTQSA-N 0 0 449.418 -0.732 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)CC1 ZINC000582385960 1071087695 /nfs/dbraw/zinc/08/76/95/1071087695.db2.gz CVXHYQLORBSRDN-WBVHZDCISA-N 0 0 449.418 -0.732 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@H]1CCCO1 ZINC000582386312 1071087485 /nfs/dbraw/zinc/08/74/85/1071087485.db2.gz VIFUERXWPQZORM-DLBZAZTESA-N 0 0 443.497 -0.031 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@H]1CCCO1 ZINC000582386313 1071087738 /nfs/dbraw/zinc/08/77/38/1071087738.db2.gz VIFUERXWPQZORM-IAGOWNOFSA-N 0 0 443.497 -0.031 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@@H]1CCCO1 ZINC000582386314 1071087707 /nfs/dbraw/zinc/08/77/07/1071087707.db2.gz VIFUERXWPQZORM-IRXDYDNUSA-N 0 0 443.497 -0.031 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@@H]1CCCO1 ZINC000582386315 1071087723 /nfs/dbraw/zinc/08/77/23/1071087723.db2.gz VIFUERXWPQZORM-SJORKVTESA-N 0 0 443.497 -0.031 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000582387556 1071088191 /nfs/dbraw/zinc/08/81/91/1071088191.db2.gz BSAMPQGTVVNOBK-UHFFFAOYSA-N 0 0 446.551 -0.100 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)cn2n1 ZINC000582391244 1071088890 /nfs/dbraw/zinc/08/88/90/1071088890.db2.gz UYSHFNIBMAGTJE-UHFFFAOYSA-N 0 0 443.489 -0.028 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000582394794 1071089104 /nfs/dbraw/zinc/08/91/04/1071089104.db2.gz BGIBNHSZIHCXJC-AWEZNQCLSA-N 0 0 445.476 -0.227 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000582394795 1071088928 /nfs/dbraw/zinc/08/89/28/1071088928.db2.gz BGIBNHSZIHCXJC-CQSZACIVSA-N 0 0 445.476 -0.227 20 0 IBADRN Cc1cccc(O[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000582412356 1071089856 /nfs/dbraw/zinc/08/98/56/1071089856.db2.gz HTWQXUVHJOGJFV-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1cccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000582412357 1071089878 /nfs/dbraw/zinc/08/98/78/1071089878.db2.gz HTWQXUVHJOGJFV-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)C1=O ZINC000582427460 1071090437 /nfs/dbraw/zinc/09/04/37/1071090437.db2.gz WRDYXAKTJORNSM-ULQDDVLXSA-N 0 0 441.488 -0.115 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)C1=O ZINC000582427461 1071090385 /nfs/dbraw/zinc/09/03/85/1071090385.db2.gz WRDYXAKTJORNSM-YESZJQIVSA-N 0 0 441.488 -0.115 20 0 IBADRN CC1(C)SC[C@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000582433186 1071090495 /nfs/dbraw/zinc/09/04/95/1071090495.db2.gz PYLWPLFUJZBYKR-CYBMUJFWSA-N 0 0 426.520 -0.244 20 0 IBADRN CC1(C)SC[C@@H]1NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000582433187 1072825849 /nfs/dbraw/zinc/82/58/49/1072825849.db2.gz PYLWPLFUJZBYKR-ZDUSSCGKSA-N 0 0 426.520 -0.244 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCN(c3ccccc3OC)C2)CC1 ZINC000582436695 1071090786 /nfs/dbraw/zinc/09/07/86/1071090786.db2.gz GCFHDSINXGDTNO-KRWDZBQOSA-N 0 0 431.537 -0.082 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H]2CCN(c3ccccc3OC)C2)CC1 ZINC000582436696 1071091376 /nfs/dbraw/zinc/09/13/76/1071091376.db2.gz GCFHDSINXGDTNO-QGZVFWFLSA-N 0 0 431.537 -0.082 20 0 IBADRN Cc1ncc2c(n1)CCN(C(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)C2 ZINC000582436895 1071090356 /nfs/dbraw/zinc/09/03/56/1071090356.db2.gz PCDAQJJFMHXTCZ-UHFFFAOYSA-N 0 0 437.504 -0.080 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000582437693 1071091518 /nfs/dbraw/zinc/09/15/18/1071091518.db2.gz AMCCACHSUVJZBD-CYBMUJFWSA-N 0 0 447.535 -0.875 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000582437694 1071091357 /nfs/dbraw/zinc/09/13/57/1071091357.db2.gz AMCCACHSUVJZBD-ZDUSSCGKSA-N 0 0 447.535 -0.875 20 0 IBADRN Cn1ccc(=O)n(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)c1=O ZINC000582438953 1071091322 /nfs/dbraw/zinc/09/13/22/1071091322.db2.gz AODCIIFQIODPCG-UHFFFAOYSA-N 0 0 442.881 -0.140 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)CCCOc1cccc(S(C)(=O)=O)c1)S(C)(=O)=O ZINC000582439093 1071091405 /nfs/dbraw/zinc/09/14/05/1071091405.db2.gz INTRLDFJZUQIMH-CYBMUJFWSA-N 0 0 434.536 -0.133 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)CCCOc1cccc(S(C)(=O)=O)c1)S(C)(=O)=O ZINC000582439094 1071091463 /nfs/dbraw/zinc/09/14/63/1071091463.db2.gz INTRLDFJZUQIMH-ZDUSSCGKSA-N 0 0 434.536 -0.133 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1 ZINC000582441186 1071091477 /nfs/dbraw/zinc/09/14/77/1071091477.db2.gz RJWJTSGVYKNNDL-INIZCTEOSA-N 0 0 447.511 -0.173 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1 ZINC000582441187 1071091287 /nfs/dbraw/zinc/09/12/87/1071091287.db2.gz RJWJTSGVYKNNDL-MRXNPFEDSA-N 0 0 447.511 -0.173 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CC(n3cc(C(N)=O)nn3)C2)nn1-c1ccc(F)cc1F ZINC000582444453 1073323189 /nfs/dbraw/zinc/32/31/89/1073323189.db2.gz FXHCCEXZFLYWGK-UHFFFAOYSA-N 0 0 430.375 0.171 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000582445250 1071092003 /nfs/dbraw/zinc/09/20/03/1071092003.db2.gz JFBUKVBJFYUKDC-FJIDUMEYSA-N 0 0 435.569 -0.334 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000582445251 1071092036 /nfs/dbraw/zinc/09/20/36/1071092036.db2.gz JFBUKVBJFYUKDC-TVFCKZIOSA-N 0 0 435.569 -0.334 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000582445252 1071091880 /nfs/dbraw/zinc/09/18/80/1071091880.db2.gz JFBUKVBJFYUKDC-XDNAFOTISA-N 0 0 435.569 -0.334 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000582445253 1071092020 /nfs/dbraw/zinc/09/20/20/1071092020.db2.gz JFBUKVBJFYUKDC-XMTFNYHQSA-N 0 0 435.569 -0.334 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)cn1 ZINC000582445519 1071092177 /nfs/dbraw/zinc/09/21/77/1071092177.db2.gz XLFHLZPJXNUCGV-QAPCUYQASA-N 0 0 442.476 -0.084 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000582450183 1071092879 /nfs/dbraw/zinc/09/28/79/1071092879.db2.gz IRYHYGUSJKVJPR-AWEZNQCLSA-N 0 0 434.559 -0.836 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000582450184 1071092774 /nfs/dbraw/zinc/09/27/74/1071092774.db2.gz IRYHYGUSJKVJPR-CQSZACIVSA-N 0 0 434.559 -0.836 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CCN1CC(F)F ZINC000582450711 1071092552 /nfs/dbraw/zinc/09/25/52/1071092552.db2.gz DIZRKEBSMLHVCN-CYBMUJFWSA-N 0 0 437.469 -0.602 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CCN1CC(F)F ZINC000582450712 1071092893 /nfs/dbraw/zinc/09/28/93/1071092893.db2.gz DIZRKEBSMLHVCN-ZDUSSCGKSA-N 0 0 437.469 -0.602 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cn1 ZINC000582451360 1071092051 /nfs/dbraw/zinc/09/20/51/1071092051.db2.gz HFKJFPQEBXDTFG-SUMDDJOVSA-N 0 0 432.525 -0.480 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cn1 ZINC000582451361 1071092082 /nfs/dbraw/zinc/09/20/82/1071092082.db2.gz HFKJFPQEBXDTFG-WDYCEAGBSA-N 0 0 432.525 -0.480 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)C[C@@H]1N1CCOCC1 ZINC000582451373 1071092852 /nfs/dbraw/zinc/09/28/52/1071092852.db2.gz HMZSPPWGSVYDHC-LBKNJMOBSA-N 0 0 446.552 -0.092 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)C[C@H]1N1CCOCC1 ZINC000582451374 1071092650 /nfs/dbraw/zinc/09/26/50/1071092650.db2.gz HMZSPPWGSVYDHC-MMTROXRISA-N 0 0 446.552 -0.092 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)C[C@@H]1N1CCOCC1 ZINC000582451375 1071092578 /nfs/dbraw/zinc/09/25/78/1071092578.db2.gz HMZSPPWGSVYDHC-NFBUACBFSA-N 0 0 446.552 -0.092 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(CC)c2)C[C@H]1N1CCOCC1 ZINC000582451376 1071092613 /nfs/dbraw/zinc/09/26/13/1071092613.db2.gz HMZSPPWGSVYDHC-UIXUWTQFSA-N 0 0 446.552 -0.092 20 0 IBADRN CCc1cnc(N2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)s1 ZINC000582452794 1071092832 /nfs/dbraw/zinc/09/28/32/1071092832.db2.gz FQBXTUDYWPPOGU-CYBMUJFWSA-N 0 0 429.568 -0.106 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(CCNS(C)(=O)=O)C2CC2)[C@H](C)O)cc1 ZINC000582453167 1071092713 /nfs/dbraw/zinc/09/27/13/1071092713.db2.gz WYHKYQUCJMWMFQ-BBRMVZONSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(CCNS(C)(=O)=O)C2CC2)[C@@H](C)O)cc1 ZINC000582453168 1071092953 /nfs/dbraw/zinc/09/29/53/1071092953.db2.gz WYHKYQUCJMWMFQ-CJNGLKHVSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(CCNS(C)(=O)=O)C2CC2)[C@@H](C)O)cc1 ZINC000582453169 1071092931 /nfs/dbraw/zinc/09/29/31/1071092931.db2.gz WYHKYQUCJMWMFQ-CZUORRHYSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(CCNS(C)(=O)=O)C2CC2)[C@H](C)O)cc1 ZINC000582453170 1071092920 /nfs/dbraw/zinc/09/29/20/1071092920.db2.gz WYHKYQUCJMWMFQ-XJKSGUPXSA-N 0 0 433.552 -0.437 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)c3cccs3)CC2)nn1 ZINC000582457271 1071093307 /nfs/dbraw/zinc/09/33/07/1071093307.db2.gz IIQYALLVEYBQOI-UHFFFAOYSA-N 0 0 425.492 -0.048 20 0 IBADRN COc1cccc(Cn2nccc2NC(=O)C(=O)NCCS(=O)(=O)N(C)C)c1OC ZINC000582457304 1071093327 /nfs/dbraw/zinc/09/33/27/1071093327.db2.gz JQHUSQILSGBHOF-UHFFFAOYSA-N 0 0 439.494 -0.105 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2cccs2)C1 ZINC000582458047 1071093369 /nfs/dbraw/zinc/09/33/69/1071093369.db2.gz RCRLVDMPSJAYAM-CYBMUJFWSA-N 0 0 439.581 -0.075 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2cccs2)C1 ZINC000582458048 1071093552 /nfs/dbraw/zinc/09/35/52/1071093552.db2.gz RCRLVDMPSJAYAM-ZDUSSCGKSA-N 0 0 439.581 -0.075 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000582459567 1071093425 /nfs/dbraw/zinc/09/34/25/1071093425.db2.gz TWUYWLBKYHRKJP-UHFFFAOYSA-N 0 0 440.494 -0.128 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C1 ZINC000582477258 1071094135 /nfs/dbraw/zinc/09/41/35/1071094135.db2.gz LTMYAGDBUMXYFY-INIZCTEOSA-N 0 0 445.563 -0.224 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C1 ZINC000582477259 1071094356 /nfs/dbraw/zinc/09/43/56/1071094356.db2.gz LTMYAGDBUMXYFY-MRXNPFEDSA-N 0 0 445.563 -0.224 20 0 IBADRN Cc1oc2ccccc2c1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000582479586 1071094492 /nfs/dbraw/zinc/09/44/92/1071094492.db2.gz NEJSWSLCXXNMKE-UHFFFAOYSA-N 0 0 442.472 -0.113 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NCCn1cc(C(=O)OC)nn1 ZINC000582490072 1071094342 /nfs/dbraw/zinc/09/43/42/1071094342.db2.gz PQVPAAKJBZUEPY-UHFFFAOYSA-N 0 0 432.437 -0.080 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc(S(C)(=O)=O)c1 ZINC000582490791 1071094516 /nfs/dbraw/zinc/09/45/16/1071094516.db2.gz NDTAZWBQNOIUMF-UHFFFAOYSA-N 0 0 449.507 -0.787 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000582502035 1071095105 /nfs/dbraw/zinc/09/51/05/1071095105.db2.gz WPMWWXHPHANOHY-CVEARBPZSA-N 0 0 439.534 -0.034 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000582502036 1071094954 /nfs/dbraw/zinc/09/49/54/1071094954.db2.gz WPMWWXHPHANOHY-HOTGVXAUSA-N 0 0 439.534 -0.034 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000582502037 1071095007 /nfs/dbraw/zinc/09/50/07/1071095007.db2.gz WPMWWXHPHANOHY-HZPDHXFCSA-N 0 0 439.534 -0.034 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C1 ZINC000582502038 1071095071 /nfs/dbraw/zinc/09/50/71/1071095071.db2.gz WPMWWXHPHANOHY-JKSUJKDBSA-N 0 0 439.534 -0.034 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000582502898 1071094905 /nfs/dbraw/zinc/09/49/05/1071094905.db2.gz BHQAWPDQUZHVEW-KBPBESRZSA-N 0 0 434.584 -0.756 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000582502899 1071094931 /nfs/dbraw/zinc/09/49/31/1071094931.db2.gz BHQAWPDQUZHVEW-KGLIPLIRSA-N 0 0 434.584 -0.756 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000582502900 1071094942 /nfs/dbraw/zinc/09/49/42/1071094942.db2.gz BHQAWPDQUZHVEW-UONOGXRCSA-N 0 0 434.584 -0.756 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000582502901 1071095035 /nfs/dbraw/zinc/09/50/35/1071095035.db2.gz BHQAWPDQUZHVEW-ZIAGYGMSSA-N 0 0 434.584 -0.756 20 0 IBADRN CCCNc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000582507253 1071094994 /nfs/dbraw/zinc/09/49/94/1071094994.db2.gz LMDFLEKTDKEORP-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)C2=O)n(C)n1 ZINC000582507411 1071095095 /nfs/dbraw/zinc/09/50/95/1071095095.db2.gz QSVFNGSTYNHYNK-DLBZAZTESA-N 0 0 438.488 -0.133 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)C2=O)n(C)n1 ZINC000582507412 1071095063 /nfs/dbraw/zinc/09/50/63/1071095063.db2.gz QSVFNGSTYNHYNK-IAGOWNOFSA-N 0 0 438.488 -0.133 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)C2=O)n(C)n1 ZINC000582507413 1071095082 /nfs/dbraw/zinc/09/50/82/1071095082.db2.gz QSVFNGSTYNHYNK-IRXDYDNUSA-N 0 0 438.488 -0.133 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)C2=O)n(C)n1 ZINC000582507414 1071095026 /nfs/dbraw/zinc/09/50/26/1071095026.db2.gz QSVFNGSTYNHYNK-SJORKVTESA-N 0 0 438.488 -0.133 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCCN(c2ccccc2F)C1=O ZINC000582509008 1071095660 /nfs/dbraw/zinc/09/56/60/1071095660.db2.gz VTIKGHLGGQWNPQ-DOTOQJQBSA-N 0 0 436.484 -0.411 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCCN(c2ccccc2F)C1=O ZINC000582509017 1071095535 /nfs/dbraw/zinc/09/55/35/1071095535.db2.gz VTIKGHLGGQWNPQ-NVXWUHKLSA-N 0 0 436.484 -0.411 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCCN(c2ccccc2F)C1=O ZINC000582509018 1071095687 /nfs/dbraw/zinc/09/56/87/1071095687.db2.gz VTIKGHLGGQWNPQ-RDJZCZTQSA-N 0 0 436.484 -0.411 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCCN(c2ccccc2F)C1=O ZINC000582509019 1071095669 /nfs/dbraw/zinc/09/56/69/1071095669.db2.gz VTIKGHLGGQWNPQ-WBVHZDCISA-N 0 0 436.484 -0.411 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c1 ZINC000582509181 1071095613 /nfs/dbraw/zinc/09/56/13/1071095613.db2.gz YHYPSQDYCDHSST-CYBMUJFWSA-N 0 0 448.567 -0.127 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c1 ZINC000582509184 1071095576 /nfs/dbraw/zinc/09/55/76/1071095576.db2.gz YHYPSQDYCDHSST-ZDUSSCGKSA-N 0 0 448.567 -0.127 20 0 IBADRN COc1ccc(C[C@@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000582509749 1071095680 /nfs/dbraw/zinc/09/56/80/1071095680.db2.gz NHEATFCCAMJNGF-GOSISDBHSA-N 0 0 446.548 -0.007 20 0 IBADRN COc1ccc(C[C@H](C)CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000582509750 1071095519 /nfs/dbraw/zinc/09/55/19/1071095519.db2.gz NHEATFCCAMJNGF-SFHVURJKSA-N 0 0 446.548 -0.007 20 0 IBADRN CCOc1ccccc1OCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000582510925 1071095470 /nfs/dbraw/zinc/09/54/70/1071095470.db2.gz GUCILWPZSLUXEQ-INIZCTEOSA-N 0 0 439.534 -0.088 20 0 IBADRN CCOc1ccccc1OCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000582510926 1071095568 /nfs/dbraw/zinc/09/55/68/1071095568.db2.gz GUCILWPZSLUXEQ-MRXNPFEDSA-N 0 0 439.534 -0.088 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)C2=O)n(C)n1 ZINC000582511257 1071096272 /nfs/dbraw/zinc/09/62/72/1071096272.db2.gz NFIKTDLYGGFESF-HNNXBMFYSA-N 0 0 428.497 -0.592 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(Cc4ncc[nH]4)CC3)C2=O)n(C)n1 ZINC000582511258 1071096228 /nfs/dbraw/zinc/09/62/28/1071096228.db2.gz NFIKTDLYGGFESF-OAHLLOKOSA-N 0 0 428.497 -0.592 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000582513185 1071095555 /nfs/dbraw/zinc/09/55/55/1071095555.db2.gz SVRDEABVVNOKLC-MRXNPFEDSA-N 0 0 440.497 -0.350 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000582513615 1071095586 /nfs/dbraw/zinc/09/55/86/1071095586.db2.gz NICACQQUQIKORF-UHFFFAOYSA-N 0 0 433.362 -0.136 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000582513813 1071095699 /nfs/dbraw/zinc/09/56/99/1071095699.db2.gz QRGFDFYOGMCPRU-UHFFFAOYSA-N 0 0 425.385 -0.298 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cccc1C(N)=O ZINC000582516872 1071096306 /nfs/dbraw/zinc/09/63/06/1071096306.db2.gz HGERYUVDZDQRGU-UHFFFAOYSA-N 0 0 427.483 -0.927 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000582518736 1071096256 /nfs/dbraw/zinc/09/62/56/1071096256.db2.gz XZXFZSNQFFPGBV-OAHLLOKOSA-N 0 0 432.568 -0.031 20 0 IBADRN Cc1nnc(CCNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)s1 ZINC000582519776 1071096127 /nfs/dbraw/zinc/09/61/27/1071096127.db2.gz WVRLIRSQEJTNEV-UHFFFAOYSA-N 0 0 428.496 -0.203 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000582538455 1071096910 /nfs/dbraw/zinc/09/69/10/1071096910.db2.gz DWKTXFKYTMUPDU-HNNXBMFYSA-N 0 0 440.497 -0.214 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000582538456 1071096812 /nfs/dbraw/zinc/09/68/12/1071096812.db2.gz DWKTXFKYTMUPDU-OAHLLOKOSA-N 0 0 440.497 -0.214 20 0 IBADRN O=C(CN1CCN(C(=O)C2(c3ccccc3)CC(=O)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000582539461 1071096076 /nfs/dbraw/zinc/09/60/76/1071096076.db2.gz YYBFUHPYIJPGHX-KRWDZBQOSA-N 0 0 433.530 -0.265 20 0 IBADRN O=C(CN1CCN(C(=O)C2(c3ccccc3)CC(=O)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000582539462 1071096280 /nfs/dbraw/zinc/09/62/80/1071096280.db2.gz YYBFUHPYIJPGHX-QGZVFWFLSA-N 0 0 433.530 -0.265 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000582558866 1071097563 /nfs/dbraw/zinc/09/75/63/1071097563.db2.gz GSOJVWAJTPKFBT-HDICACEKSA-N 0 0 432.477 -0.687 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000582560459 1071097540 /nfs/dbraw/zinc/09/75/40/1071097540.db2.gz KNFUGKWIUFLLQO-CVEARBPZSA-N 0 0 430.513 -0.706 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000582560462 1071097432 /nfs/dbraw/zinc/09/74/32/1071097432.db2.gz KNFUGKWIUFLLQO-HOTGVXAUSA-N 0 0 430.513 -0.706 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000582560463 1071097508 /nfs/dbraw/zinc/09/75/08/1071097508.db2.gz KNFUGKWIUFLLQO-HZPDHXFCSA-N 0 0 430.513 -0.706 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000582560464 1071097186 /nfs/dbraw/zinc/09/71/86/1071097186.db2.gz KNFUGKWIUFLLQO-JKSUJKDBSA-N 0 0 430.513 -0.706 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000582562279 1071097350 /nfs/dbraw/zinc/09/73/50/1071097350.db2.gz QFAXLTCXYLPFAO-GXTWGEPZSA-N 0 0 435.572 -0.414 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000582562280 1071097414 /nfs/dbraw/zinc/09/74/14/1071097414.db2.gz QFAXLTCXYLPFAO-JSGCOSHPSA-N 0 0 435.572 -0.414 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000582562281 1071097551 /nfs/dbraw/zinc/09/75/51/1071097551.db2.gz QFAXLTCXYLPFAO-OCCSQVGLSA-N 0 0 435.572 -0.414 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000582562282 1071097375 /nfs/dbraw/zinc/09/73/75/1071097375.db2.gz QFAXLTCXYLPFAO-TZMCWYRMSA-N 0 0 435.572 -0.414 20 0 IBADRN CN(C)C(=O)CCN1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000582562336 1071097311 /nfs/dbraw/zinc/09/73/11/1071097311.db2.gz TYOQSOZTVRIAQM-UHFFFAOYSA-N 0 0 447.583 -0.815 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000582563830 1071097293 /nfs/dbraw/zinc/09/72/93/1071097293.db2.gz UBJHBKLPRGZBRQ-CQSZACIVSA-N 0 0 425.577 -0.630 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1 ZINC000582567557 1071098132 /nfs/dbraw/zinc/09/81/32/1071098132.db2.gz QLKOVBLJADLJPO-UHFFFAOYSA-N 0 0 436.284 -0.525 20 0 IBADRN C[C@H]1CN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CCN1S(C)(=O)=O ZINC000582568410 1071098575 /nfs/dbraw/zinc/09/85/75/1071098575.db2.gz AOAYTNVERNICHK-KBPBESRZSA-N 0 0 425.577 -0.677 20 0 IBADRN C[C@H]1CN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CCN1S(C)(=O)=O ZINC000582568411 1071098308 /nfs/dbraw/zinc/09/83/08/1071098308.db2.gz AOAYTNVERNICHK-UONOGXRCSA-N 0 0 425.577 -0.677 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)cn1 ZINC000582569766 1071098728 /nfs/dbraw/zinc/09/87/28/1071098728.db2.gz OJHNFJWQXOPVDP-UHFFFAOYSA-N 0 0 445.542 -0.955 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ncc(C)cn3)CC2)cs1 ZINC000582570815 1071098664 /nfs/dbraw/zinc/09/86/64/1071098664.db2.gz NPVIUERWXHHVKX-UHFFFAOYSA-N 0 0 438.535 -0.258 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CC2)n1 ZINC000582574459 1071098988 /nfs/dbraw/zinc/09/89/88/1071098988.db2.gz KLCSZJYGORQYHR-PBHICJAKSA-N 0 0 442.480 -0.997 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000582574588 1071099179 /nfs/dbraw/zinc/09/91/79/1071099179.db2.gz HAORCKFSZZHKPA-UHFFFAOYSA-N 0 0 440.569 -0.903 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)on1 ZINC000582596598 1071099725 /nfs/dbraw/zinc/09/97/25/1071099725.db2.gz QZKNMRFZELOLQC-UHFFFAOYSA-N 0 0 436.494 -0.738 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H](C)c2nnc(C)s2)CC1 ZINC000582597731 1071099777 /nfs/dbraw/zinc/09/97/77/1071099777.db2.gz AMSCVYVONQVRKW-CHWSQXEVSA-N 0 0 426.543 -0.291 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H](C)c2nnc(C)s2)CC1 ZINC000582597732 1071099842 /nfs/dbraw/zinc/09/98/42/1071099842.db2.gz AMSCVYVONQVRKW-OLZOCXBDSA-N 0 0 426.543 -0.291 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnc(C)s2)CC1 ZINC000582597733 1071100492 /nfs/dbraw/zinc/10/04/92/1071100492.db2.gz AMSCVYVONQVRKW-QWHCGFSZSA-N 0 0 426.543 -0.291 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H](C)c2nnc(C)s2)CC1 ZINC000582597734 1071100421 /nfs/dbraw/zinc/10/04/21/1071100421.db2.gz AMSCVYVONQVRKW-STQMWFEESA-N 0 0 426.543 -0.291 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CCCN(c2ccnn2CC)C1=O)C(=O)N1CCOCC1 ZINC000582597752 1071099811 /nfs/dbraw/zinc/09/98/11/1071099811.db2.gz BWCLDWJZMWQVMQ-CABCVRRESA-N 0 0 434.497 -0.732 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CCCN(c2ccnn2CC)C1=O)C(=O)N1CCOCC1 ZINC000582597753 1071099876 /nfs/dbraw/zinc/09/98/76/1071099876.db2.gz BWCLDWJZMWQVMQ-GJZGRUSLSA-N 0 0 434.497 -0.732 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2CC)C1=O)C(=O)N1CCOCC1 ZINC000582597754 1071099794 /nfs/dbraw/zinc/09/97/94/1071099794.db2.gz BWCLDWJZMWQVMQ-HUUCEWRRSA-N 0 0 434.497 -0.732 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCCN(c2ccnn2CC)C1=O)C(=O)N1CCOCC1 ZINC000582597755 1071099691 /nfs/dbraw/zinc/09/96/91/1071099691.db2.gz BWCLDWJZMWQVMQ-LSDHHAIUSA-N 0 0 434.497 -0.732 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1=O ZINC000582611885 1071105717 /nfs/dbraw/zinc/10/57/17/1071105717.db2.gz LTIIWTPRBPSBPX-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccncc2OCC(F)F)CC1 ZINC000582612470 1071106595 /nfs/dbraw/zinc/10/65/95/1071106595.db2.gz TVTDJLTUMSZFSK-UHFFFAOYSA-N 0 0 427.452 -0.036 20 0 IBADRN CCc1nc(CCNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cs1 ZINC000582622444 1073350290 /nfs/dbraw/zinc/35/02/90/1073350290.db2.gz JTQYOEPQMAVDSP-UHFFFAOYSA-N 0 0 434.522 0.444 20 0 IBADRN Cc1cc(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)ccc1S(N)(=O)=O ZINC000582623546 1071110939 /nfs/dbraw/zinc/11/09/39/1071110939.db2.gz OMEQJHCFPJDHPM-CQSZACIVSA-N 0 0 433.556 -0.288 20 0 IBADRN O=C(N[C@H]1CCN(c2ccccc2F)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000582623584 1071110951 /nfs/dbraw/zinc/11/09/51/1071110951.db2.gz PXYVTLTWKJOKIQ-DOTOQJQBSA-N 0 0 432.452 -0.103 20 0 IBADRN O=C(N[C@@H]1CCN(c2ccccc2F)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000582623585 1071111073 /nfs/dbraw/zinc/11/10/73/1071111073.db2.gz PXYVTLTWKJOKIQ-NVXWUHKLSA-N 0 0 432.452 -0.103 20 0 IBADRN O=C(N[C@H]1CCN(c2ccccc2F)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000582623586 1071110894 /nfs/dbraw/zinc/11/08/94/1071110894.db2.gz PXYVTLTWKJOKIQ-RDJZCZTQSA-N 0 0 432.452 -0.103 20 0 IBADRN O=C(N[C@@H]1CCN(c2ccccc2F)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000582623587 1071110742 /nfs/dbraw/zinc/11/07/42/1071110742.db2.gz PXYVTLTWKJOKIQ-WBVHZDCISA-N 0 0 432.452 -0.103 20 0 IBADRN Cn1cc(-c2ccccc2CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC000582628032 1071111828 /nfs/dbraw/zinc/11/18/28/1071111828.db2.gz NUYLDISFRZHGES-UHFFFAOYSA-N 0 0 446.489 -0.073 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000582629678 1071112659 /nfs/dbraw/zinc/11/26/59/1071112659.db2.gz LGDBCAARLRVPIT-BXUZGUMPSA-N 0 0 444.460 -0.274 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000582629679 1071112633 /nfs/dbraw/zinc/11/26/33/1071112633.db2.gz LGDBCAARLRVPIT-FZMZJTMJSA-N 0 0 444.460 -0.274 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000582629680 1071112427 /nfs/dbraw/zinc/11/24/27/1071112427.db2.gz LGDBCAARLRVPIT-RISCZKNCSA-N 0 0 444.460 -0.274 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000582629681 1071112730 /nfs/dbraw/zinc/11/27/30/1071112730.db2.gz LGDBCAARLRVPIT-SMDDNHRTSA-N 0 0 444.460 -0.274 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H](O)CCc2ccccc2)n1)N1CCOCC1 ZINC000582629726 1071112823 /nfs/dbraw/zinc/11/28/23/1071112823.db2.gz HDCUDFNQDCSSRL-KRWDZBQOSA-N 0 0 429.477 -0.210 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H](O)CCc2ccccc2)n1)N1CCOCC1 ZINC000582629727 1073322252 /nfs/dbraw/zinc/32/22/52/1073322252.db2.gz HDCUDFNQDCSSRL-QGZVFWFLSA-N 0 0 429.477 -0.210 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCNc3ccc4ccccc4n3)cnc2n(C)c1=O ZINC000582633793 1071113797 /nfs/dbraw/zinc/11/37/97/1071113797.db2.gz XFRUDPAOEJCFAU-UHFFFAOYSA-N 0 0 447.455 0.347 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000582633895 1071113741 /nfs/dbraw/zinc/11/37/41/1071113741.db2.gz WHJLTJQQUADDRP-UHFFFAOYSA-N 0 0 447.446 -0.049 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000582634049 1071113691 /nfs/dbraw/zinc/11/36/91/1071113691.db2.gz CSZGWDWEQBYHDE-BJLXPSRBSA-N 0 0 432.546 -0.037 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000582634050 1071113789 /nfs/dbraw/zinc/11/37/89/1071113789.db2.gz CSZGWDWEQBYHDE-NOVUIFNWSA-N 0 0 432.546 -0.037 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN([C@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000582634051 1071113434 /nfs/dbraw/zinc/11/34/34/1071113434.db2.gz CSZGWDWEQBYHDE-ZOKDDAQRSA-N 0 0 432.546 -0.037 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN([C@@H]3CCN(c4ccccc4)C3=O)CC2)CC1 ZINC000582634052 1071113534 /nfs/dbraw/zinc/11/35/34/1071113534.db2.gz CSZGWDWEQBYHDE-ZQHDKMAOSA-N 0 0 432.546 -0.037 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000582635168 1071113760 /nfs/dbraw/zinc/11/37/60/1071113760.db2.gz JYNDQNOWDUKYPC-UHFFFAOYSA-N 0 0 439.581 -0.017 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cccc1-n1cnnn1 ZINC000582636005 1071113578 /nfs/dbraw/zinc/11/35/78/1071113578.db2.gz CAMPWAFROAZMJD-UHFFFAOYSA-N 0 0 436.498 -0.750 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000582636155 1071113779 /nfs/dbraw/zinc/11/37/79/1071113779.db2.gz LZRINOYZKSDWBJ-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000582636156 1071113553 /nfs/dbraw/zinc/11/35/53/1071113553.db2.gz LZRINOYZKSDWBJ-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1OC ZINC000582636177 1071113618 /nfs/dbraw/zinc/11/36/18/1071113618.db2.gz GGZQRNXDKDKUGP-UHFFFAOYSA-N 0 0 442.494 -0.239 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(Cc3nc(C)no3)CC2)cc1 ZINC000582636638 1071113710 /nfs/dbraw/zinc/11/37/10/1071113710.db2.gz MGZFRSBKVKIIOS-UHFFFAOYSA-N 0 0 436.494 -0.041 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000582637345 1071113670 /nfs/dbraw/zinc/11/36/70/1071113670.db2.gz CZEMBBAOCJOVLU-UHFFFAOYSA-N 0 0 430.508 -0.565 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)sc1C(F)(F)F ZINC000582640045 1071113697 /nfs/dbraw/zinc/11/36/97/1071113697.db2.gz ZATHYFQOAUSQQT-UHFFFAOYSA-N 0 0 432.388 -0.234 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCC2CCN(Cc3nc(C)c(C)o3)CC2)CC1 ZINC000582657135 1071114482 /nfs/dbraw/zinc/11/44/82/1071114482.db2.gz HMKXNKZWHALZQY-UHFFFAOYSA-N 0 0 448.568 -0.100 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)CCCCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000582657523 1071114459 /nfs/dbraw/zinc/11/44/59/1071114459.db2.gz AGBXOWQSYFLJRD-IBGZPJMESA-N 0 0 441.506 -0.255 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)CCCCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000582657531 1071114424 /nfs/dbraw/zinc/11/44/24/1071114424.db2.gz AGBXOWQSYFLJRD-LJQANCHMSA-N 0 0 441.506 -0.255 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000582678820 1071115440 /nfs/dbraw/zinc/11/54/40/1071115440.db2.gz NAVUMPKFYLTHPY-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CC(C)n1ccc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000582680019 1071115339 /nfs/dbraw/zinc/11/53/39/1071115339.db2.gz CXGAQEHRFPZIPJ-UHFFFAOYSA-N 0 0 426.477 -0.031 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)CNS(=O)(=O)c1cn(C)c(C)n1)C2 ZINC000582682183 1071115624 /nfs/dbraw/zinc/11/56/24/1071115624.db2.gz ILNNTWUQIDCCJZ-UHFFFAOYSA-N 0 0 441.535 -0.500 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Oc1cccc(F)c1 ZINC000582685352 1071116507 /nfs/dbraw/zinc/11/65/07/1071116507.db2.gz VQMFKYIUKJXSHF-INIZCTEOSA-N 0 0 436.484 -0.288 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)Oc1cccc(F)c1 ZINC000582685353 1071116202 /nfs/dbraw/zinc/11/62/02/1071116202.db2.gz VQMFKYIUKJXSHF-MRXNPFEDSA-N 0 0 436.484 -0.288 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)C1=O ZINC000582687662 1071116390 /nfs/dbraw/zinc/11/63/90/1071116390.db2.gz IFWVESFURGHNCL-INIZCTEOSA-N 0 0 441.492 -0.113 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)C1=O ZINC000582687663 1071116342 /nfs/dbraw/zinc/11/63/42/1071116342.db2.gz IFWVESFURGHNCL-MRXNPFEDSA-N 0 0 441.492 -0.113 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cnn(CC(F)(F)F)c2)CC1 ZINC000582689409 1071116434 /nfs/dbraw/zinc/11/64/34/1071116434.db2.gz QAQCKGQCHDLDHX-GFCCVEGCSA-N 0 0 430.431 -0.127 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cnn(CC(F)(F)F)c2)CC1 ZINC000582689410 1071116248 /nfs/dbraw/zinc/11/62/48/1071116248.db2.gz QAQCKGQCHDLDHX-LBPRGKRZSA-N 0 0 430.431 -0.127 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCNS(=O)(=O)N(C)C)cc1F ZINC000582691825 1071117115 /nfs/dbraw/zinc/11/71/15/1071117115.db2.gz WUYVOFRUEJZFLQ-UHFFFAOYSA-N 0 0 432.521 -0.738 20 0 IBADRN CN(Cc1nc2ccsc2c(=O)[nH]1)C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000582691912 1071117291 /nfs/dbraw/zinc/11/72/91/1071117291.db2.gz ANIVNPBDPRLGAM-SNVBAGLBSA-N 0 0 427.508 -0.105 20 0 IBADRN COc1ccc(CN(CCO)C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(OC)c1 ZINC000582692030 1071117197 /nfs/dbraw/zinc/11/71/97/1071117197.db2.gz ISLWJGGUVVAGEF-OAHLLOKOSA-N 0 0 443.522 -0.435 20 0 IBADRN COCCCOc1cnc(-c2noc(CCn3cnc4c3c(=O)n(C)c(=O)n4C)n2)cn1 ZINC000582696361 1071117222 /nfs/dbraw/zinc/11/72/22/1071117222.db2.gz HMBLYQOUJCQVIU-UHFFFAOYSA-N 0 0 442.436 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1OC ZINC000582697107 1071117414 /nfs/dbraw/zinc/11/74/14/1071117414.db2.gz ZPLHLKYECMVDID-AWEZNQCLSA-N 0 0 437.478 -0.363 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1OC ZINC000582697108 1071117265 /nfs/dbraw/zinc/11/72/65/1071117265.db2.gz ZPLHLKYECMVDID-CQSZACIVSA-N 0 0 437.478 -0.363 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(I)cn2)CC1 ZINC000582705250 1071118254 /nfs/dbraw/zinc/11/82/54/1071118254.db2.gz JMMWFJFFYKTRNI-SECBINFHSA-N 0 0 432.218 -0.324 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(I)cn2)CC1 ZINC000582705251 1071118266 /nfs/dbraw/zinc/11/82/66/1071118266.db2.gz JMMWFJFFYKTRNI-VIFPVBQESA-N 0 0 432.218 -0.324 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c2ccccc2O1 ZINC000582705352 1071117813 /nfs/dbraw/zinc/11/78/13/1071117813.db2.gz BBGRADIBKZLMHU-MPGHIAIKSA-N 0 0 428.445 -0.078 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c2ccccc2O1 ZINC000582705353 1071117953 /nfs/dbraw/zinc/11/79/53/1071117953.db2.gz BBGRADIBKZLMHU-RLFYNMQTSA-N 0 0 428.445 -0.078 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc(S(C)(=O)=O)c1 ZINC000582717840 1071118767 /nfs/dbraw/zinc/11/87/67/1071118767.db2.gz YNLCIOMUFAXZOS-UHFFFAOYSA-N 0 0 435.524 -0.129 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000582730338 1071118975 /nfs/dbraw/zinc/11/89/75/1071118975.db2.gz UCXITWKVSUKODT-CABCVRRESA-N 0 0 441.558 -0.519 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000582730339 1071118921 /nfs/dbraw/zinc/11/89/21/1071118921.db2.gz UCXITWKVSUKODT-HUUCEWRRSA-N 0 0 441.558 -0.519 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CN2CCOCC2)CC1 ZINC000582740571 1071121024 /nfs/dbraw/zinc/12/10/24/1071121024.db2.gz QQVCACFWVJSKKT-KRWDZBQOSA-N 0 0 447.602 -0.666 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)CN2CCOCC2)CC1 ZINC000582740573 1071120986 /nfs/dbraw/zinc/12/09/86/1071120986.db2.gz QQVCACFWVJSKKT-QGZVFWFLSA-N 0 0 447.602 -0.666 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1COCCN1CC(F)F)N(C)C(=O)[C@H]1COCCN1CC(F)F ZINC000582741756 1071121234 /nfs/dbraw/zinc/12/12/34/1071121234.db2.gz WJODXHSXWFBCIR-HZSPNIEDSA-N 0 0 442.454 -0.119 20 0 IBADRN C[C@H](CNC(=O)[C@H]1COCCN1CC(F)F)N(C)C(=O)[C@@H]1COCCN1CC(F)F ZINC000582741757 1071121168 /nfs/dbraw/zinc/12/11/68/1071121168.db2.gz WJODXHSXWFBCIR-MCIONIFRSA-N 0 0 442.454 -0.119 20 0 IBADRN C[C@H](CNC(=O)[C@H]1COCCN1CC(F)F)N(C)C(=O)[C@H]1COCCN1CC(F)F ZINC000582741758 1071121087 /nfs/dbraw/zinc/12/10/87/1071121087.db2.gz WJODXHSXWFBCIR-MGPQQGTHSA-N 0 0 442.454 -0.119 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1COCCN1CC(F)F)N(C)C(=O)[C@@H]1COCCN1CC(F)F ZINC000582741759 1071121103 /nfs/dbraw/zinc/12/11/03/1071121103.db2.gz WJODXHSXWFBCIR-RDBSUJKOSA-N 0 0 442.454 -0.119 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000582743256 1071121208 /nfs/dbraw/zinc/12/12/08/1071121208.db2.gz ZISPJKCEYYOUCY-KRWDZBQOSA-N 0 0 430.527 -0.610 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC000582743257 1071121005 /nfs/dbraw/zinc/12/10/05/1071121005.db2.gz ZISPJKCEYYOUCY-QGZVFWFLSA-N 0 0 430.527 -0.610 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCc3ccn(C)n3)CC2)ncc1Br ZINC000582743756 1071121216 /nfs/dbraw/zinc/12/12/16/1071121216.db2.gz QRWPKKQEKRKAJT-UHFFFAOYSA-N 0 0 438.286 -0.054 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Cc1cccs1 ZINC000582744852 1071121058 /nfs/dbraw/zinc/12/10/58/1071121058.db2.gz IMEDTBXWOLTJRX-CYBMUJFWSA-N 0 0 434.522 -0.468 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)Cc1cccs1 ZINC000582744853 1071121203 /nfs/dbraw/zinc/12/12/03/1071121203.db2.gz IMEDTBXWOLTJRX-ZDUSSCGKSA-N 0 0 434.522 -0.468 20 0 IBADRN Cc1ccc([C@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ccccn2)cc1 ZINC000582745684 1071121225 /nfs/dbraw/zinc/12/12/25/1071121225.db2.gz SYDLMKYKFNAQGF-FQEVSTJZSA-N 0 0 448.487 -0.047 20 0 IBADRN Cc1ccc([C@@H](NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ccccn2)cc1 ZINC000582745685 1071121791 /nfs/dbraw/zinc/12/17/91/1071121791.db2.gz SYDLMKYKFNAQGF-HXUWFJFHSA-N 0 0 448.487 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)C(=O)Nc3ccc(OCC(N)=O)cc3)C2)cc1 ZINC000582755775 1071121966 /nfs/dbraw/zinc/12/19/66/1071121966.db2.gz BHZKYFKNWOJQQN-UHFFFAOYSA-N 0 0 446.485 -0.013 20 0 IBADRN CC(CO)(CO)NC(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC000582763570 1071123002 /nfs/dbraw/zinc/12/30/02/1071123002.db2.gz IIBKEQMCQPOJJJ-UHFFFAOYSA-N 0 0 426.445 -0.716 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000582799266 1071124552 /nfs/dbraw/zinc/12/45/52/1071124552.db2.gz MCWBSSYVIVWLIE-HNNXBMFYSA-N 0 0 446.551 -0.980 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000582799267 1071124696 /nfs/dbraw/zinc/12/46/96/1071124696.db2.gz MCWBSSYVIVWLIE-OAHLLOKOSA-N 0 0 446.551 -0.980 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1ccc(OCC(N)=O)cc1)C2 ZINC000582800985 1071124519 /nfs/dbraw/zinc/12/45/19/1071124519.db2.gz HZMVJVMTRZBTTG-UHFFFAOYSA-N 0 0 446.485 -0.018 20 0 IBADRN Cc1occc1C(=O)NC1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000582802298 1071124630 /nfs/dbraw/zinc/12/46/30/1071124630.db2.gz UMSRTJXGYHSOEH-UHFFFAOYSA-N 0 0 428.449 -0.355 20 0 IBADRN COC(=O)Cn1cc(CNC(=O)N2C[C@@H](C(F)(F)F)[C@H](S(=O)(=O)N(C)C)C2)nn1 ZINC000582803833 1071124693 /nfs/dbraw/zinc/12/46/93/1071124693.db2.gz QGCVBMSSKOYWGS-GHMZBOCLSA-N 0 0 442.420 -0.585 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000582803990 1071124602 /nfs/dbraw/zinc/12/46/02/1071124602.db2.gz XNQLPDJJXRCDDR-OAHLLOKOSA-N 0 0 427.527 -0.005 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)cn1 ZINC000582809664 1071124611 /nfs/dbraw/zinc/12/46/11/1071124611.db2.gz ZAPMFXOXMOLOEB-UHFFFAOYSA-N 0 0 442.520 -0.394 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(c2ccc(F)cn2)CC1 ZINC000582811097 1071125091 /nfs/dbraw/zinc/12/50/91/1071125091.db2.gz QRLSXCGIONSLJM-UHFFFAOYSA-N 0 0 440.457 -0.907 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@@H]1CCCO1 ZINC000582811489 1071125431 /nfs/dbraw/zinc/12/54/31/1071125431.db2.gz LJWYWFSNMYOKEE-CVEARBPZSA-N 0 0 449.533 -0.290 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@@H]1CCCO1 ZINC000582811490 1071125450 /nfs/dbraw/zinc/12/54/50/1071125450.db2.gz LJWYWFSNMYOKEE-HOTGVXAUSA-N 0 0 449.533 -0.290 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@H]1CCCO1 ZINC000582811491 1071125106 /nfs/dbraw/zinc/12/51/06/1071125106.db2.gz LJWYWFSNMYOKEE-HZPDHXFCSA-N 0 0 449.533 -0.290 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@H]1CCCO1 ZINC000582811492 1071125141 /nfs/dbraw/zinc/12/51/41/1071125141.db2.gz LJWYWFSNMYOKEE-JKSUJKDBSA-N 0 0 449.533 -0.290 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000582817668 1071125326 /nfs/dbraw/zinc/12/53/26/1071125326.db2.gz BGJKROIFHMLYHE-UHFFFAOYSA-N 0 0 449.551 -0.053 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000582818513 1071125218 /nfs/dbraw/zinc/12/52/18/1071125218.db2.gz LCLMLLAIFMLDNW-UHFFFAOYSA-N 0 0 426.543 -0.058 20 0 IBADRN COC(=O)CN1CCC[C@H](CNC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000582836413 1071127193 /nfs/dbraw/zinc/12/71/93/1071127193.db2.gz HDPLSNHOHTYMQG-DZGCQCFKSA-N 0 0 429.543 -0.295 20 0 IBADRN COC(=O)CN1CCC[C@@H](CNC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000582836414 1071127405 /nfs/dbraw/zinc/12/74/05/1071127405.db2.gz HDPLSNHOHTYMQG-HIFRSBDPSA-N 0 0 429.543 -0.295 20 0 IBADRN COC(=O)CN1CCC[C@H](CNC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000582836415 1071127383 /nfs/dbraw/zinc/12/73/83/1071127383.db2.gz HDPLSNHOHTYMQG-UKRRQHHQSA-N 0 0 429.543 -0.295 20 0 IBADRN COC(=O)CN1CCC[C@@H](CNC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000582836416 1071127116 /nfs/dbraw/zinc/12/71/16/1071127116.db2.gz HDPLSNHOHTYMQG-ZFWWWQNUSA-N 0 0 429.543 -0.295 20 0 IBADRN O=C(CCNC(=O)C(=O)N(CCO)C1CCOCC1)N1CCN(c2ccccn2)CC1 ZINC000582836809 1071127219 /nfs/dbraw/zinc/12/72/19/1071127219.db2.gz QQXNEKMVZSBSNN-UHFFFAOYSA-N 0 0 433.509 -0.764 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000582848950 1071127040 /nfs/dbraw/zinc/12/70/40/1071127040.db2.gz FLRPKDBAXPXWRA-UHFFFAOYSA-N 0 0 432.930 -0.475 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)s1 ZINC000582850762 1073352386 /nfs/dbraw/zinc/35/23/86/1073352386.db2.gz BLDRBKIILREASP-UHFFFAOYSA-N 0 0 439.523 -0.044 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc3cc(C)on3)CC1)CCC2 ZINC000582852097 1073347650 /nfs/dbraw/zinc/34/76/50/1073347650.db2.gz XYNJWDNDAVLWKI-INIZCTEOSA-N 0 0 443.508 0.264 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc3cc(C)on3)CC1)CCC2 ZINC000582852098 1071128183 /nfs/dbraw/zinc/12/81/83/1071128183.db2.gz XYNJWDNDAVLWKI-MRXNPFEDSA-N 0 0 443.508 0.264 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CCC(=O)N1C ZINC000582853246 1071128050 /nfs/dbraw/zinc/12/80/50/1071128050.db2.gz SUNHKWFYGXNSLL-MLGOLLRUSA-N 0 0 425.449 -0.542 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2C[C@H](C)O[C@@H](C)C2)nc1 ZINC000582853407 1071128079 /nfs/dbraw/zinc/12/80/79/1071128079.db2.gz CSMVMDBNBXOMDN-DZKIICNBSA-N 0 0 446.508 -0.513 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)nc1 ZINC000582853408 1071127950 /nfs/dbraw/zinc/12/79/50/1071127950.db2.gz CSMVMDBNBXOMDN-FMKPAKJESA-N 0 0 446.508 -0.513 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000582853409 1071128099 /nfs/dbraw/zinc/12/80/99/1071128099.db2.gz CSMVMDBNBXOMDN-IJEWVQPXSA-N 0 0 446.508 -0.513 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)nc1 ZINC000582853410 1071128083 /nfs/dbraw/zinc/12/80/83/1071128083.db2.gz CSMVMDBNBXOMDN-LZWOXQAQSA-N 0 0 446.508 -0.513 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000582858285 1071127991 /nfs/dbraw/zinc/12/79/91/1071127991.db2.gz AQKJLPOBSVPMKM-CYBMUJFWSA-N 0 0 430.914 -0.138 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC000582858286 1071128829 /nfs/dbraw/zinc/12/88/29/1071128829.db2.gz AQKJLPOBSVPMKM-ZDUSSCGKSA-N 0 0 430.914 -0.138 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000582859867 1071128698 /nfs/dbraw/zinc/12/86/98/1071128698.db2.gz FOKRBWWQGVVVNE-UHFFFAOYSA-N 0 0 445.520 -0.992 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)NC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000582860338 1071128872 /nfs/dbraw/zinc/12/88/72/1071128872.db2.gz YDLHIZCSOVZNMU-HNNXBMFYSA-N 0 0 428.463 -0.122 20 0 IBADRN CCOC(=O)c1cc(COC)cc(NC(=O)C(=O)NC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000582860339 1071128670 /nfs/dbraw/zinc/12/86/70/1071128670.db2.gz YDLHIZCSOVZNMU-OAHLLOKOSA-N 0 0 428.463 -0.122 20 0 IBADRN O=C(NCC1(c2ccccc2)CCOCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000582861082 1071129032 /nfs/dbraw/zinc/12/90/32/1071129032.db2.gz SEEOJDXQQRCZII-UHFFFAOYSA-N 0 0 442.516 -0.200 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCCN(CC(F)F)CC2)c1 ZINC000582861281 1071128978 /nfs/dbraw/zinc/12/89/78/1071128978.db2.gz YWCUPQDPIVZDKB-UHFFFAOYSA-N 0 0 425.436 -0.018 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3)CC2)n1 ZINC000582861882 1071128649 /nfs/dbraw/zinc/12/86/49/1071128649.db2.gz HFPUHQJLNKMTLF-GFCCVEGCSA-N 0 0 434.419 -0.387 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(CC(F)(F)F)C3)CC2)n1 ZINC000582861885 1071128616 /nfs/dbraw/zinc/12/86/16/1071128616.db2.gz HFPUHQJLNKMTLF-LBPRGKRZSA-N 0 0 434.419 -0.387 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000582864251 1071129836 /nfs/dbraw/zinc/12/98/36/1071129836.db2.gz AEGQJPZSHHUDJR-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)C2=O)c1 ZINC000582864281 1071129580 /nfs/dbraw/zinc/12/95/80/1071129580.db2.gz KZWRSKXHSFGFCZ-BLLLJJGKSA-N 0 0 441.506 -0.183 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)C2=O)c1 ZINC000582864282 1071129539 /nfs/dbraw/zinc/12/95/39/1071129539.db2.gz KZWRSKXHSFGFCZ-LRDDRELGSA-N 0 0 441.506 -0.183 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)C2=O)c1 ZINC000582864283 1071129693 /nfs/dbraw/zinc/12/96/93/1071129693.db2.gz KZWRSKXHSFGFCZ-MLGOLLRUSA-N 0 0 441.506 -0.183 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)C2=O)c1 ZINC000582864284 1071129705 /nfs/dbraw/zinc/12/97/05/1071129705.db2.gz KZWRSKXHSFGFCZ-WBMJQRKESA-N 0 0 441.506 -0.183 20 0 IBADRN CNC(=O)[C@H]1CN([C@@H](C)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CCO1 ZINC000582865761 1071130517 /nfs/dbraw/zinc/13/05/17/1071130517.db2.gz NMLDEKPNHGVITC-BLLLJJGKSA-N 0 0 428.511 -0.281 20 0 IBADRN CNC(=O)[C@@H]1CN([C@@H](C)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CCO1 ZINC000582865763 1071130657 /nfs/dbraw/zinc/13/06/57/1071130657.db2.gz NMLDEKPNHGVITC-LRDDRELGSA-N 0 0 428.511 -0.281 20 0 IBADRN CNC(=O)[C@H]1CN([C@H](C)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CCO1 ZINC000582865765 1071130671 /nfs/dbraw/zinc/13/06/71/1071130671.db2.gz NMLDEKPNHGVITC-MLGOLLRUSA-N 0 0 428.511 -0.281 20 0 IBADRN CNC(=O)[C@@H]1CN([C@H](C)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CCO1 ZINC000582865767 1071130589 /nfs/dbraw/zinc/13/05/89/1071130589.db2.gz NMLDEKPNHGVITC-WBMJQRKESA-N 0 0 428.511 -0.281 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000582865900 1071130464 /nfs/dbraw/zinc/13/04/64/1071130464.db2.gz PWBNMIVYPJGRKE-UHFFFAOYSA-N 0 0 439.538 -0.185 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2=O)n(C)n1 ZINC000582866126 1071128741 /nfs/dbraw/zinc/12/87/41/1071128741.db2.gz SIHXQCKGMGYPJW-NWDGAFQWSA-N 0 0 435.403 -0.916 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)C2=O)n(C)n1 ZINC000582866131 1071128914 /nfs/dbraw/zinc/12/89/14/1071128914.db2.gz SIHXQCKGMGYPJW-RYUDHWBXSA-N 0 0 435.403 -0.916 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)CCO1 ZINC000582868991 1071129795 /nfs/dbraw/zinc/12/97/95/1071129795.db2.gz NINQMLAMWQXUFY-KBPBESRZSA-N 0 0 426.832 -0.326 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)CCO1 ZINC000582868994 1071129803 /nfs/dbraw/zinc/12/98/03/1071129803.db2.gz NINQMLAMWQXUFY-KGLIPLIRSA-N 0 0 426.832 -0.326 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)CCO1 ZINC000582868995 1071129670 /nfs/dbraw/zinc/12/96/70/1071129670.db2.gz NINQMLAMWQXUFY-UONOGXRCSA-N 0 0 426.832 -0.326 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)CCO1 ZINC000582868996 1071129745 /nfs/dbraw/zinc/12/97/45/1071129745.db2.gz NINQMLAMWQXUFY-ZIAGYGMSSA-N 0 0 426.832 -0.326 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)CCO1 ZINC000582869247 1071129633 /nfs/dbraw/zinc/12/96/33/1071129633.db2.gz TXLFBNKCOKTDSO-KBPBESRZSA-N 0 0 426.832 -0.326 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)CCO1 ZINC000582869248 1071129846 /nfs/dbraw/zinc/12/98/46/1071129846.db2.gz TXLFBNKCOKTDSO-KGLIPLIRSA-N 0 0 426.832 -0.326 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)CCO1 ZINC000582869249 1071129712 /nfs/dbraw/zinc/12/97/12/1071129712.db2.gz TXLFBNKCOKTDSO-UONOGXRCSA-N 0 0 426.832 -0.326 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)CCO1 ZINC000582869250 1071129731 /nfs/dbraw/zinc/12/97/31/1071129731.db2.gz TXLFBNKCOKTDSO-ZIAGYGMSSA-N 0 0 426.832 -0.326 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000582870751 1071130635 /nfs/dbraw/zinc/13/06/35/1071130635.db2.gz NXPUINJRJAGJON-AWEZNQCLSA-N 0 0 431.497 -0.698 20 0 IBADRN CC(C)c1nc2n(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000582870753 1071130687 /nfs/dbraw/zinc/13/06/87/1071130687.db2.gz NXPUINJRJAGJON-CQSZACIVSA-N 0 0 431.497 -0.698 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000582871498 1071130556 /nfs/dbraw/zinc/13/05/56/1071130556.db2.gz CELHEOQHORRSND-AWEZNQCLSA-N 0 0 443.501 -0.786 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000582871502 1071130502 /nfs/dbraw/zinc/13/05/02/1071130502.db2.gz CELHEOQHORRSND-CQSZACIVSA-N 0 0 443.501 -0.786 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000582874276 1071130602 /nfs/dbraw/zinc/13/06/02/1071130602.db2.gz GUHKVHATWXELBR-UHFFFAOYSA-N 0 0 443.595 -0.153 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)C1 ZINC000582884002 1071131500 /nfs/dbraw/zinc/13/15/00/1071131500.db2.gz LUMPABUACLMTHN-CYBMUJFWSA-N 0 0 433.498 -0.595 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)C1 ZINC000582884003 1071131604 /nfs/dbraw/zinc/13/16/04/1071131604.db2.gz LUMPABUACLMTHN-ZDUSSCGKSA-N 0 0 433.498 -0.595 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cscn3)CC2)o1 ZINC000582894022 1071132437 /nfs/dbraw/zinc/13/24/37/1071132437.db2.gz RDVHVDSHKHMYGD-UHFFFAOYSA-N 0 0 441.491 -0.973 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCc2nnc3n2CCCC3)s1 ZINC000582895963 1071132385 /nfs/dbraw/zinc/13/23/85/1071132385.db2.gz KIRODGKWAMIVML-UHFFFAOYSA-N 0 0 426.524 -0.305 20 0 IBADRN C[NH+](C)CCN1C(=O)C[C@@H](N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C1=O ZINC000582898472 1071132371 /nfs/dbraw/zinc/13/23/71/1071132371.db2.gz RUKIBYSIXOLTPO-GFCCVEGCSA-N 0 0 429.465 -0.874 20 0 IBADRN C[NH+](C)CCN1C(=O)C[C@H](N2CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC2)C1=O ZINC000582898473 1071132183 /nfs/dbraw/zinc/13/21/83/1071132183.db2.gz RUKIBYSIXOLTPO-LBPRGKRZSA-N 0 0 429.465 -0.874 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1ccccc1CN1CCOCC1 ZINC000582917805 1071133812 /nfs/dbraw/zinc/13/38/12/1071133812.db2.gz IQHHCGZILRZQBZ-UHFFFAOYSA-N 0 0 426.477 -0.529 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000582918607 1071133139 /nfs/dbraw/zinc/13/31/39/1071133139.db2.gz CPLVPXXPJOJHTN-NRFANRHFSA-N 0 0 449.577 -0.142 20 0 IBADRN O=C(NCc1cccnc1N1CCOCC1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000582918608 1071133358 /nfs/dbraw/zinc/13/33/58/1071133358.db2.gz CPLVPXXPJOJHTN-OAQYLSRUSA-N 0 0 449.577 -0.142 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000582919150 1071134206 /nfs/dbraw/zinc/13/42/06/1071134206.db2.gz SRWYGRLZHVAYKE-DLBZAZTESA-N 0 0 432.477 -0.815 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000582919151 1071134057 /nfs/dbraw/zinc/13/40/57/1071134057.db2.gz SRWYGRLZHVAYKE-IAGOWNOFSA-N 0 0 432.477 -0.815 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000582919152 1071134142 /nfs/dbraw/zinc/13/41/42/1071134142.db2.gz SRWYGRLZHVAYKE-IRXDYDNUSA-N 0 0 432.477 -0.815 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000582919153 1071133849 /nfs/dbraw/zinc/13/38/49/1071133849.db2.gz SRWYGRLZHVAYKE-SJORKVTESA-N 0 0 432.477 -0.815 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000582919202 1071134007 /nfs/dbraw/zinc/13/40/07/1071134007.db2.gz VXUAVBMLKKSTQO-UHFFFAOYSA-N 0 0 448.427 -0.142 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000582919404 1071133402 /nfs/dbraw/zinc/13/34/02/1071133402.db2.gz ZPKSQKPWXJDGPF-HNNXBMFYSA-N 0 0 425.493 -0.305 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000582919405 1071133203 /nfs/dbraw/zinc/13/32/03/1071133203.db2.gz ZPKSQKPWXJDGPF-OAHLLOKOSA-N 0 0 425.493 -0.305 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)CC1 ZINC000582920184 1071134986 /nfs/dbraw/zinc/13/49/86/1071134986.db2.gz KAVKBZSSJBGHRP-INIZCTEOSA-N 0 0 445.586 -0.978 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)CC1 ZINC000582920185 1071135013 /nfs/dbraw/zinc/13/50/13/1071135013.db2.gz KAVKBZSSJBGHRP-MRXNPFEDSA-N 0 0 445.586 -0.978 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)c1 ZINC000582920245 1071134830 /nfs/dbraw/zinc/13/48/30/1071134830.db2.gz MITYAMMYHNDWMG-UHFFFAOYSA-N 0 0 432.502 -0.071 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)N2CCOCC2)s1 ZINC000582922087 1071134841 /nfs/dbraw/zinc/13/48/41/1071134841.db2.gz MEWYLLGRIODLMP-INIZCTEOSA-N 0 0 446.533 -0.118 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)N2CCOCC2)s1 ZINC000582922088 1071135034 /nfs/dbraw/zinc/13/50/34/1071135034.db2.gz MEWYLLGRIODLMP-MRXNPFEDSA-N 0 0 446.533 -0.118 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)CC2)cn1 ZINC000582924514 1071134933 /nfs/dbraw/zinc/13/49/33/1071134933.db2.gz JALHUKRYLWTAEP-UHFFFAOYSA-N 0 0 425.536 -0.154 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2)oc1C ZINC000582925056 1071134912 /nfs/dbraw/zinc/13/49/12/1071134912.db2.gz WLPMISXQVQQTJA-INIZCTEOSA-N 0 0 443.508 -0.019 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2)oc1C ZINC000582925060 1071134978 /nfs/dbraw/zinc/13/49/78/1071134978.db2.gz WLPMISXQVQQTJA-MRXNPFEDSA-N 0 0 443.508 -0.019 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000582925339 1071134764 /nfs/dbraw/zinc/13/47/64/1071134764.db2.gz FKAPZZJHJOBSPT-KBPBESRZSA-N 0 0 449.599 -0.005 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000582925340 1071134778 /nfs/dbraw/zinc/13/47/78/1071134778.db2.gz FKAPZZJHJOBSPT-KGLIPLIRSA-N 0 0 449.599 -0.005 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000582925341 1071134736 /nfs/dbraw/zinc/13/47/36/1071134736.db2.gz FKAPZZJHJOBSPT-UONOGXRCSA-N 0 0 449.599 -0.005 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000582925342 1071134958 /nfs/dbraw/zinc/13/49/58/1071134958.db2.gz FKAPZZJHJOBSPT-ZIAGYGMSSA-N 0 0 449.599 -0.005 20 0 IBADRN CCSc1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccn1 ZINC000582925761 1071135709 /nfs/dbraw/zinc/13/57/09/1071135709.db2.gz MBWSAHLKBCEKJO-UHFFFAOYSA-N 0 0 449.533 -0.661 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(c3cnccn3)CC2)C1=O ZINC000582926344 1071134967 /nfs/dbraw/zinc/13/49/67/1071134967.db2.gz KGMKNAZHPGEMKQ-HNNXBMFYSA-N 0 0 426.481 -0.834 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(c3cnccn3)CC2)C1=O ZINC000582926346 1071135021 /nfs/dbraw/zinc/13/50/21/1071135021.db2.gz KGMKNAZHPGEMKQ-OAHLLOKOSA-N 0 0 426.481 -0.834 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3cnn(CC(F)(F)F)c3)CC2)c1=O ZINC000582927519 1071135632 /nfs/dbraw/zinc/13/56/32/1071135632.db2.gz LAZGGYLCDDJNNZ-UHFFFAOYSA-N 0 0 427.387 -0.496 20 0 IBADRN O=C(NCc1ccncc1OCC(F)F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000582932140 1071136373 /nfs/dbraw/zinc/13/63/73/1071136373.db2.gz RFENOUGTCVLUAQ-UHFFFAOYSA-N 0 0 439.419 -0.709 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3C)CC1 ZINC000582943242 1071136463 /nfs/dbraw/zinc/13/64/63/1071136463.db2.gz HEWUMEAWEFGBAR-CYBMUJFWSA-N 0 0 429.477 -0.208 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3C)CC1 ZINC000582943243 1071136562 /nfs/dbraw/zinc/13/65/62/1071136562.db2.gz HEWUMEAWEFGBAR-ZDUSSCGKSA-N 0 0 429.477 -0.208 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)ccc21 ZINC000582943406 1071136610 /nfs/dbraw/zinc/13/66/10/1071136610.db2.gz WNOSFKIFRKMRTL-UHFFFAOYSA-N 0 0 429.477 -0.253 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccc(OC)cc2)N2CCOCC2)C1 ZINC000582952865 1071137110 /nfs/dbraw/zinc/13/71/10/1071137110.db2.gz HQWBWRCETCVTLQ-AEFFLSMTSA-N 0 0 433.509 -0.285 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NC[C@H](c2ccc(OC)cc2)N2CCOCC2)C1 ZINC000582952867 1071137382 /nfs/dbraw/zinc/13/73/82/1071137382.db2.gz HQWBWRCETCVTLQ-FUHWJXTLSA-N 0 0 433.509 -0.285 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)C(=O)NC[C@H](c2ccc(OC)cc2)N2CCOCC2)C1 ZINC000582952868 1071137299 /nfs/dbraw/zinc/13/72/99/1071137299.db2.gz HQWBWRCETCVTLQ-SJLPKXTDSA-N 0 0 433.509 -0.285 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)C(=O)NC[C@@H](c2ccc(OC)cc2)N2CCOCC2)C1 ZINC000582952870 1071137091 /nfs/dbraw/zinc/13/70/91/1071137091.db2.gz HQWBWRCETCVTLQ-WMZOPIPTSA-N 0 0 433.509 -0.285 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000582957517 1071138127 /nfs/dbraw/zinc/13/81/27/1071138127.db2.gz XAVBXXNKTRUWDJ-UHFFFAOYSA-N 0 0 439.581 -0.017 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC000582977587 1071139775 /nfs/dbraw/zinc/13/97/75/1071139775.db2.gz FEDGIDYKLCZMBD-UHFFFAOYSA-N 0 0 447.517 -0.371 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](C)O)CC1 ZINC000582978141 1071140832 /nfs/dbraw/zinc/14/08/32/1071140832.db2.gz PAKTXYITBOYZIL-APWZRJJASA-N 0 0 432.521 -0.030 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCOC[C@@H]2C[C@H](C)O)CC1 ZINC000582978143 1071140593 /nfs/dbraw/zinc/14/05/93/1071140593.db2.gz PAKTXYITBOYZIL-LPHOPBHVSA-N 0 0 432.521 -0.030 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCOC[C@H]2C[C@H](C)O)CC1 ZINC000582978144 1071140613 /nfs/dbraw/zinc/14/06/13/1071140613.db2.gz PAKTXYITBOYZIL-QFBILLFUSA-N 0 0 432.521 -0.030 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCOC[C@H]2C[C@@H](C)O)CC1 ZINC000582978145 1071140807 /nfs/dbraw/zinc/14/08/07/1071140807.db2.gz PAKTXYITBOYZIL-VQIMIIECSA-N 0 0 432.521 -0.030 20 0 IBADRN COc1nc(N2CCN(C(=O)CCn3ncn(C)c3=O)CC2)ncc1Br ZINC000582979938 1071139977 /nfs/dbraw/zinc/13/99/77/1071139977.db2.gz MKJJKYGPWVJYSH-UHFFFAOYSA-N 0 0 426.275 -0.118 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000582985022 1071140758 /nfs/dbraw/zinc/14/07/58/1071140758.db2.gz YXJHVUTYCLETQR-INIZCTEOSA-N 0 0 447.540 -0.956 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000582985024 1071140457 /nfs/dbraw/zinc/14/04/57/1071140457.db2.gz YXJHVUTYCLETQR-MRXNPFEDSA-N 0 0 447.540 -0.956 20 0 IBADRN Cn1cc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)nn1 ZINC000582986164 1071140651 /nfs/dbraw/zinc/14/06/51/1071140651.db2.gz JBYJWVJXJRBPRS-UHFFFAOYSA-N 0 0 436.494 -0.075 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000582986532 1071140747 /nfs/dbraw/zinc/14/07/47/1071140747.db2.gz AFBIYRHWKVALNE-KBPBESRZSA-N 0 0 425.577 -0.630 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000582986533 1071140675 /nfs/dbraw/zinc/14/06/75/1071140675.db2.gz AFBIYRHWKVALNE-KGLIPLIRSA-N 0 0 425.577 -0.630 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000582986534 1071140774 /nfs/dbraw/zinc/14/07/74/1071140774.db2.gz AFBIYRHWKVALNE-UONOGXRCSA-N 0 0 425.577 -0.630 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000582986535 1071140529 /nfs/dbraw/zinc/14/05/29/1071140529.db2.gz AFBIYRHWKVALNE-ZIAGYGMSSA-N 0 0 425.577 -0.630 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)cn1 ZINC000582988736 1071141517 /nfs/dbraw/zinc/14/15/17/1071141517.db2.gz PLIYROJOJXJAJS-HDICACEKSA-N 0 0 448.568 -0.206 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)cn1 ZINC000582988737 1071141545 /nfs/dbraw/zinc/14/15/45/1071141545.db2.gz PLIYROJOJXJAJS-QZTJIDSGSA-N 0 0 448.568 -0.206 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)cn1 ZINC000582988738 1071141575 /nfs/dbraw/zinc/14/15/75/1071141575.db2.gz PLIYROJOJXJAJS-ROUUACIJSA-N 0 0 448.568 -0.206 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC(CC(=O)NC)CC3)C2)nc1 ZINC000582989913 1071142239 /nfs/dbraw/zinc/14/22/39/1071142239.db2.gz JJUJZNDIVJTHGX-UHFFFAOYSA-N 0 0 431.493 -0.062 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000582990520 1071141468 /nfs/dbraw/zinc/14/14/68/1071141468.db2.gz RKWYDFHUVWJDEY-KBPBESRZSA-N 0 0 444.645 -0.176 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000582990523 1071142383 /nfs/dbraw/zinc/14/23/83/1071142383.db2.gz RKWYDFHUVWJDEY-KGLIPLIRSA-N 0 0 444.645 -0.176 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000582990524 1071142290 /nfs/dbraw/zinc/14/22/90/1071142290.db2.gz RKWYDFHUVWJDEY-UONOGXRCSA-N 0 0 444.645 -0.176 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000582990525 1071142281 /nfs/dbraw/zinc/14/22/81/1071142281.db2.gz RKWYDFHUVWJDEY-ZIAGYGMSSA-N 0 0 444.645 -0.176 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(c3cc(C4CC4)[nH]n3)CC1)CC2 ZINC000582990764 1071142399 /nfs/dbraw/zinc/14/23/99/1071142399.db2.gz PFNRMHPUNWVVLZ-AWEZNQCLSA-N 0 0 428.497 -0.195 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(c3cc(C4CC4)[nH]n3)CC1)CC2 ZINC000582990765 1071142417 /nfs/dbraw/zinc/14/24/17/1071142417.db2.gz PFNRMHPUNWVVLZ-CQSZACIVSA-N 0 0 428.497 -0.195 20 0 IBADRN COc1c2ccccc2oc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000582992201 1071141667 /nfs/dbraw/zinc/14/16/67/1071141667.db2.gz YRZLNFZEJSLPRE-UHFFFAOYSA-N 0 0 427.421 -0.585 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)C1 ZINC000582992975 1071141655 /nfs/dbraw/zinc/14/16/55/1071141655.db2.gz MUHCYWQTWGCPJO-AWEZNQCLSA-N 0 0 444.558 -0.452 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ncnn2C(C)(C)C)C1 ZINC000582992976 1071141450 /nfs/dbraw/zinc/14/14/50/1071141450.db2.gz MUHCYWQTWGCPJO-CQSZACIVSA-N 0 0 444.558 -0.452 20 0 IBADRN Cc1c([C@H]2[C@H](NC(=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO)CC(=O)N2C)cnn1C ZINC000582993436 1071141417 /nfs/dbraw/zinc/14/14/17/1071141417.db2.gz SXYXXJMWYPKFQC-LNSITVRQSA-N 0 0 449.430 -0.749 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1OC ZINC000582994343 1071142286 /nfs/dbraw/zinc/14/22/86/1071142286.db2.gz WOWYXEPBXUZFCU-GFCCVEGCSA-N 0 0 435.524 -0.478 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1OC ZINC000582994344 1071142270 /nfs/dbraw/zinc/14/22/70/1071142270.db2.gz WOWYXEPBXUZFCU-LBPRGKRZSA-N 0 0 435.524 -0.478 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000582995003 1071142343 /nfs/dbraw/zinc/14/23/43/1071142343.db2.gz DPWJNVOLMDXQMJ-UHFFFAOYSA-N 0 0 444.491 -0.609 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCn2cc(C(=O)OC)nn2)cc1 ZINC000583015416 1071143254 /nfs/dbraw/zinc/14/32/54/1071143254.db2.gz HENFMQBVDITSNE-UHFFFAOYSA-N 0 0 438.466 -0.492 20 0 IBADRN CCN1CCN(CC(=O)Nc2cc(S(C)(=O)=O)ccc2OCCOC)C(=O)C1=O ZINC000583016376 1071143181 /nfs/dbraw/zinc/14/31/81/1071143181.db2.gz VMOVCJYKCYATEP-UHFFFAOYSA-N 0 0 427.479 -0.256 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)on1 ZINC000583016500 1071143269 /nfs/dbraw/zinc/14/32/69/1071143269.db2.gz YPSFRMSBENTWTG-UHFFFAOYSA-N 0 0 439.450 -0.922 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2cccc(O)c2)CC1 ZINC000583016550 1071143225 /nfs/dbraw/zinc/14/32/25/1071143225.db2.gz ZWHBPJMYSXCMJI-UHFFFAOYSA-N 0 0 442.563 -0.464 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1=O ZINC000583017450 1071143242 /nfs/dbraw/zinc/14/32/42/1071143242.db2.gz WSXYOJVLZMPFPI-DLBZAZTESA-N 0 0 436.534 -0.248 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1=O ZINC000583017451 1071143090 /nfs/dbraw/zinc/14/30/90/1071143090.db2.gz WSXYOJVLZMPFPI-IAGOWNOFSA-N 0 0 436.534 -0.248 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1=O ZINC000583017452 1071143211 /nfs/dbraw/zinc/14/32/11/1071143211.db2.gz WSXYOJVLZMPFPI-IRXDYDNUSA-N 0 0 436.534 -0.248 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1=O ZINC000583017453 1071143251 /nfs/dbraw/zinc/14/32/51/1071143251.db2.gz WSXYOJVLZMPFPI-SJORKVTESA-N 0 0 436.534 -0.248 20 0 IBADRN Cc1csc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000583037382 1071144981 /nfs/dbraw/zinc/14/49/81/1071144981.db2.gz CXABTGQTWPHMRS-UHFFFAOYSA-N 0 0 441.491 -0.707 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@H]34)n2)c1C ZINC000583037897 1073339438 /nfs/dbraw/zinc/33/94/38/1073339438.db2.gz VKRIIBXHRAYWCC-GDBMZVCRSA-N 0 0 441.492 0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@H]34)n2)c1C ZINC000583037898 1071145849 /nfs/dbraw/zinc/14/58/49/1071145849.db2.gz VKRIIBXHRAYWCC-GOEBONIOSA-N 0 0 441.492 0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@H]3CC(=O)N4CCCC[C@@H]34)n2)c1C ZINC000583037899 1073339841 /nfs/dbraw/zinc/33/98/41/1073339841.db2.gz VKRIIBXHRAYWCC-HOCLYGCPSA-N 0 0 441.492 0.555 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N[C@@H]3CC(=O)N4CCCC[C@@H]34)n2)c1C ZINC000583037900 1071145801 /nfs/dbraw/zinc/14/58/01/1071145801.db2.gz VKRIIBXHRAYWCC-ZBFHGGJFSA-N 0 0 441.492 0.555 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)cn1 ZINC000583038069 1071145882 /nfs/dbraw/zinc/14/58/82/1071145882.db2.gz BQEGMPCOLCJFAY-INIZCTEOSA-N 0 0 444.492 -0.236 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)cn1 ZINC000583038070 1071145773 /nfs/dbraw/zinc/14/57/73/1071145773.db2.gz BQEGMPCOLCJFAY-MRXNPFEDSA-N 0 0 444.492 -0.236 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(C)[C@@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000583038359 1071144911 /nfs/dbraw/zinc/14/49/11/1071144911.db2.gz OOLCDXUUPSFHGL-GOSISDBHSA-N 0 0 429.521 -0.033 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(C)[C@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000583038360 1071144719 /nfs/dbraw/zinc/14/47/19/1071144719.db2.gz OOLCDXUUPSFHGL-SFHVURJKSA-N 0 0 429.521 -0.033 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCc2n[nH]cc2Br)[C@H](O)[C@@H]1O ZINC000583042015 1071145824 /nfs/dbraw/zinc/14/58/24/1071145824.db2.gz RSTXIDFOZVFJQI-IDTAVKCVSA-N 0 0 426.231 -0.465 20 0 IBADRN CCN1CCN(CC(=O)NC[C@H]2CCN(S(=O)(=O)c3cccs3)C2)C(=O)C1=O ZINC000583042162 1071145833 /nfs/dbraw/zinc/14/58/33/1071145833.db2.gz UQXQEAFSKGMYPG-CYBMUJFWSA-N 0 0 428.536 -0.434 20 0 IBADRN CCN1CCN(CC(=O)NC[C@@H]2CCN(S(=O)(=O)c3cccs3)C2)C(=O)C1=O ZINC000583042163 1071145761 /nfs/dbraw/zinc/14/57/61/1071145761.db2.gz UQXQEAFSKGMYPG-ZDUSSCGKSA-N 0 0 428.536 -0.434 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCC2CCN(CC(F)(F)F)CC2)CC1 ZINC000583044430 1071145814 /nfs/dbraw/zinc/14/58/14/1071145814.db2.gz LAJWSFHXZXRPFX-UHFFFAOYSA-N 0 0 428.477 -0.129 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cccc(N(C)C)n2)cn1 ZINC000583047701 1071146401 /nfs/dbraw/zinc/14/64/01/1071146401.db2.gz WIZNDGVPQGCDIO-INIZCTEOSA-N 0 0 434.522 -0.022 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCc2cccc(N(C)C)n2)cn1 ZINC000583047702 1071146414 /nfs/dbraw/zinc/14/64/14/1071146414.db2.gz WIZNDGVPQGCDIO-MRXNPFEDSA-N 0 0 434.522 -0.022 20 0 IBADRN Cc1nc(-c2c(C)c(C)nn(C)c2=O)sc1C(=O)NCCOCCS(N)(=O)=O ZINC000583051629 1071147440 /nfs/dbraw/zinc/14/74/40/1071147440.db2.gz YOJJNMZKIPNIMH-UHFFFAOYSA-N 0 0 429.524 -0.136 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(C)CCN2C[C@@H](C)O[C@@H](C)C2)(N2CCOCC2)C1 ZINC000583051829 1071147482 /nfs/dbraw/zinc/14/74/82/1071147482.db2.gz HVTSYZVVADXFQI-JREAUHRESA-N 0 0 440.585 -0.060 20 0 IBADRN CCN(C(=O)Cn1nnn(C2CC2)c1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000583053281 1071146517 /nfs/dbraw/zinc/14/65/17/1071146517.db2.gz VUJKREFJNITZAK-UHFFFAOYSA-N 0 0 426.437 -0.279 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000583057701 1071147418 /nfs/dbraw/zinc/14/74/18/1071147418.db2.gz SFRBFMZPFZOCFV-UHFFFAOYSA-N 0 0 439.604 -0.428 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)nn1 ZINC000583075318 1073319001 /nfs/dbraw/zinc/31/90/01/1073319001.db2.gz NMKCFNRXEVULOJ-UHFFFAOYSA-N 0 0 448.505 0.199 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000583076178 1071147390 /nfs/dbraw/zinc/14/73/90/1071147390.db2.gz AFNTZWXZWPKDDS-CYBMUJFWSA-N 0 0 427.509 -0.073 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000583076179 1071147987 /nfs/dbraw/zinc/14/79/87/1071147987.db2.gz AFNTZWXZWPKDDS-ZDUSSCGKSA-N 0 0 427.509 -0.073 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CS(=O)(=O)Cc1ccc(Br)cc1 ZINC000583076729 1072869967 /nfs/dbraw/zinc/86/99/67/1072869967.db2.gz XUYIUZTXWYIZAV-UHFFFAOYSA-N 0 0 443.341 -0.215 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCCN(S(C)(=O)=O)CC2)cc1OC ZINC000583076932 1071148086 /nfs/dbraw/zinc/14/80/86/1071148086.db2.gz QYGAXYYVMZUTAQ-UHFFFAOYSA-N 0 0 435.524 -0.524 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1OC ZINC000583097696 1071148744 /nfs/dbraw/zinc/14/87/44/1071148744.db2.gz VLHDASVIPTUEFC-INIZCTEOSA-N 0 0 448.520 -0.289 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1OC ZINC000583097697 1071148905 /nfs/dbraw/zinc/14/89/05/1071148905.db2.gz VLHDASVIPTUEFC-MRXNPFEDSA-N 0 0 448.520 -0.289 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)cc1 ZINC000583098089 1071149659 /nfs/dbraw/zinc/14/96/59/1071149659.db2.gz NRMHFBFANOKRCX-UHFFFAOYSA-N 0 0 433.465 -0.717 20 0 IBADRN CCN(C(=O)C(=O)NC1CCN(CC(=O)NC)CC1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000583098595 1071149479 /nfs/dbraw/zinc/14/94/79/1071149479.db2.gz QNRUSANJXCCCQW-GOSISDBHSA-N 0 0 429.521 -0.033 20 0 IBADRN CCN(C(=O)C(=O)NC1CCN(CC(=O)NC)CC1)[C@H]1CCN(c2ccccc2)C1=O ZINC000583098596 1071149581 /nfs/dbraw/zinc/14/95/81/1071149581.db2.gz QNRUSANJXCCCQW-SFHVURJKSA-N 0 0 429.521 -0.033 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000583100507 1071148816 /nfs/dbraw/zinc/14/88/16/1071148816.db2.gz QAFGVNRQZDRLRR-UHFFFAOYSA-N 0 0 447.492 -0.984 20 0 IBADRN CCOc1ccnc(N2CCN(C(=O)C(=O)NC[C@@H]3CCc4nnc(C)n4C3)CC2)n1 ZINC000583101391 1071148805 /nfs/dbraw/zinc/14/88/05/1071148805.db2.gz XNARQMBCRNLFBU-HNNXBMFYSA-N 0 0 428.497 -0.197 20 0 IBADRN CCOc1ccnc(N2CCN(C(=O)C(=O)NC[C@H]3CCc4nnc(C)n4C3)CC2)n1 ZINC000583101392 1071148948 /nfs/dbraw/zinc/14/89/48/1071148948.db2.gz XNARQMBCRNLFBU-OAHLLOKOSA-N 0 0 428.497 -0.197 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1)Nc1ccccc1 ZINC000583101933 1071149565 /nfs/dbraw/zinc/14/95/65/1071149565.db2.gz YZJHIWDAAIFTBJ-INIZCTEOSA-N 0 0 427.505 -0.104 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1)Nc1ccccc1 ZINC000583101934 1071149455 /nfs/dbraw/zinc/14/94/55/1071149455.db2.gz YZJHIWDAAIFTBJ-MRXNPFEDSA-N 0 0 427.505 -0.104 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)C1 ZINC000583103777 1071149682 /nfs/dbraw/zinc/14/96/82/1071149682.db2.gz JCYZVPNLCCSADS-CVEARBPZSA-N 0 0 428.555 -0.034 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)C1 ZINC000583103783 1071149646 /nfs/dbraw/zinc/14/96/46/1071149646.db2.gz JCYZVPNLCCSADS-HOTGVXAUSA-N 0 0 428.555 -0.034 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)C1 ZINC000583103785 1071149523 /nfs/dbraw/zinc/14/95/23/1071149523.db2.gz JCYZVPNLCCSADS-HZPDHXFCSA-N 0 0 428.555 -0.034 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)C1 ZINC000583103786 1071149594 /nfs/dbraw/zinc/14/95/94/1071149594.db2.gz JCYZVPNLCCSADS-JKSUJKDBSA-N 0 0 428.555 -0.034 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000583107069 1071149512 /nfs/dbraw/zinc/14/95/12/1071149512.db2.gz WARSGKRXQUSAFD-INIZCTEOSA-N 0 0 442.524 -0.216 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000583107070 1071149535 /nfs/dbraw/zinc/14/95/35/1071149535.db2.gz WARSGKRXQUSAFD-MRXNPFEDSA-N 0 0 442.524 -0.216 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCO[C@H](Cn3cc(C)cn3)C2)C1=O ZINC000583111465 1071150070 /nfs/dbraw/zinc/15/00/70/1071150070.db2.gz CCYGPWCJTSIGBF-DLBZAZTESA-N 0 0 443.508 -0.053 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCO[C@@H](Cn3cc(C)cn3)C2)C1=O ZINC000583111466 1071150154 /nfs/dbraw/zinc/15/01/54/1071150154.db2.gz CCYGPWCJTSIGBF-IAGOWNOFSA-N 0 0 443.508 -0.053 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCO[C@H](Cn3cc(C)cn3)C2)C1=O ZINC000583111467 1071150164 /nfs/dbraw/zinc/15/01/64/1071150164.db2.gz CCYGPWCJTSIGBF-IRXDYDNUSA-N 0 0 443.508 -0.053 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCO[C@@H](Cn3cc(C)cn3)C2)C1=O ZINC000583111468 1071150145 /nfs/dbraw/zinc/15/01/45/1071150145.db2.gz CCYGPWCJTSIGBF-SJORKVTESA-N 0 0 443.508 -0.053 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC(=O)NCCN2CCOCC2)C(C)C)cc1 ZINC000583135915 1071151126 /nfs/dbraw/zinc/15/11/26/1071151126.db2.gz XIJLYBWJIASLQT-IBGZPJMESA-N 0 0 440.566 -0.137 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(Cc2ccccn2)CC1 ZINC000583151245 1071151111 /nfs/dbraw/zinc/15/11/11/1071151111.db2.gz QRHBZDDEPJNNLO-UHFFFAOYSA-N 0 0 438.536 -0.546 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NCC(C)(C)N2CCOCC2)cc1 ZINC000583156610 1071151860 /nfs/dbraw/zinc/15/18/60/1071151860.db2.gz CONUFOLQDKYSEM-AWEZNQCLSA-N 0 0 426.539 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NCC(C)(C)N2CCOCC2)cc1 ZINC000583156612 1071151849 /nfs/dbraw/zinc/15/18/49/1071151849.db2.gz CONUFOLQDKYSEM-CQSZACIVSA-N 0 0 426.539 -0.001 20 0 IBADRN CC(C)OCCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000583156733 1071151827 /nfs/dbraw/zinc/15/18/27/1071151827.db2.gz FURAJCKHASXQBG-UHFFFAOYSA-N 0 0 430.483 -0.857 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCC3)CC1)Nc1ccc(F)cc1 ZINC000583156849 1071151782 /nfs/dbraw/zinc/15/17/82/1071151782.db2.gz IRDSHFZBCQTCQF-UHFFFAOYSA-N 0 0 429.456 -0.238 20 0 IBADRN C[C@]1(C2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CC2)NC(=O)NC1=O ZINC000583159516 1071151727 /nfs/dbraw/zinc/15/17/27/1071151727.db2.gz ASMBIYMNMDVRFW-GOSISDBHSA-N 0 0 434.478 -0.322 20 0 IBADRN C[C@@]1(C2CCN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)CC2)NC(=O)NC1=O ZINC000583159517 1071151754 /nfs/dbraw/zinc/15/17/54/1071151754.db2.gz ASMBIYMNMDVRFW-SFHVURJKSA-N 0 0 434.478 -0.322 20 0 IBADRN COC(=O)[C@@H](CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000583160479 1071151607 /nfs/dbraw/zinc/15/16/07/1071151607.db2.gz DJSJAHPLPYKPNJ-BPAMBQHCSA-N 0 0 429.433 -0.194 20 0 IBADRN COC(=O)[C@H](CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000583160480 1071151761 /nfs/dbraw/zinc/15/17/61/1071151761.db2.gz DJSJAHPLPYKPNJ-BYMDKACISA-N 0 0 429.433 -0.194 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H](C)Cc3c(C)nn(C)c3C)CC2)no1 ZINC000583163453 1073329667 /nfs/dbraw/zinc/32/96/67/1073329667.db2.gz YLSRSKXFAKIJAO-CYBMUJFWSA-N 0 0 445.524 0.163 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H](C)Cc3c(C)nn(C)c3C)CC2)no1 ZINC000583163454 1073329813 /nfs/dbraw/zinc/32/98/13/1073329813.db2.gz YLSRSKXFAKIJAO-ZDUSSCGKSA-N 0 0 445.524 0.163 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000583164576 1071153525 /nfs/dbraw/zinc/15/35/25/1071153525.db2.gz DUXGYBSWIKPVHF-UHFFFAOYSA-N 0 0 441.554 -0.473 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(C(=O)N(C)CC)c1 ZINC000583165192 1071152468 /nfs/dbraw/zinc/15/24/68/1071152468.db2.gz SECVZLCIICJTDP-INIZCTEOSA-N 0 0 441.506 -0.153 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(C(=O)N(C)CC)c1 ZINC000583165195 1071152699 /nfs/dbraw/zinc/15/26/99/1071152699.db2.gz SECVZLCIICJTDP-MRXNPFEDSA-N 0 0 441.506 -0.153 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000583166143 1071152726 /nfs/dbraw/zinc/15/27/26/1071152726.db2.gz DUMXIKPPRGMMIM-KRWDZBQOSA-N 0 0 444.540 -0.362 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1 ZINC000583166144 1071152756 /nfs/dbraw/zinc/15/27/56/1071152756.db2.gz DUMXIKPPRGMMIM-QGZVFWFLSA-N 0 0 444.540 -0.362 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)CC2)cn1 ZINC000583166532 1071152673 /nfs/dbraw/zinc/15/26/73/1071152673.db2.gz WUXNBMIVBUJPFF-IBGZPJMESA-N 0 0 439.520 -0.233 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)CC2)cn1 ZINC000583166533 1071152511 /nfs/dbraw/zinc/15/25/11/1071152511.db2.gz WUXNBMIVBUJPFF-LJQANCHMSA-N 0 0 439.520 -0.233 20 0 IBADRN CCC(O)(CC)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000583168421 1071152440 /nfs/dbraw/zinc/15/24/40/1071152440.db2.gz BXENVVPVAQJSRP-UHFFFAOYSA-N 0 0 444.510 -0.731 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000583169654 1071152742 /nfs/dbraw/zinc/15/27/42/1071152742.db2.gz AIOUYXPSLIHFNR-CXAGYDPISA-N 0 0 449.932 -0.013 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000583169655 1071152679 /nfs/dbraw/zinc/15/26/79/1071152679.db2.gz AIOUYXPSLIHFNR-DYVFJYSZSA-N 0 0 449.932 -0.013 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000583169656 1071152579 /nfs/dbraw/zinc/15/25/79/1071152579.db2.gz AIOUYXPSLIHFNR-GUYCJALGSA-N 0 0 449.932 -0.013 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000583169657 1071152706 /nfs/dbraw/zinc/15/27/06/1071152706.db2.gz AIOUYXPSLIHFNR-SUMWQHHRSA-N 0 0 449.932 -0.013 20 0 IBADRN COc1ccc(C[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000583169762 1071153533 /nfs/dbraw/zinc/15/35/33/1071153533.db2.gz CHRIASWGVDDWCS-HNNXBMFYSA-N 0 0 430.505 -0.061 20 0 IBADRN COc1ccc(C[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000583169764 1071153469 /nfs/dbraw/zinc/15/34/69/1071153469.db2.gz CHRIASWGVDDWCS-OAHLLOKOSA-N 0 0 430.505 -0.061 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCCN3CCOC[C@@H]3C)C2=O)c1 ZINC000583170132 1071153357 /nfs/dbraw/zinc/15/33/57/1071153357.db2.gz NEVMBZOIEHDBHC-KBXCAEBGSA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCCN3CCOC[C@H]3C)C2=O)c1 ZINC000583170133 1071153490 /nfs/dbraw/zinc/15/34/90/1071153490.db2.gz NEVMBZOIEHDBHC-KDOFPFPSSA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCCN3CCOC[C@@H]3C)C2=O)c1 ZINC000583170134 1071153540 /nfs/dbraw/zinc/15/35/40/1071153540.db2.gz NEVMBZOIEHDBHC-KSSFIOAISA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCCN3CCOC[C@H]3C)C2=O)c1 ZINC000583170135 1071153496 /nfs/dbraw/zinc/15/34/96/1071153496.db2.gz NEVMBZOIEHDBHC-RDTXWAMCSA-N 0 0 434.493 -0.238 20 0 IBADRN Cn1c2ncn(CCc3nc(-c4cnc(NCC(F)F)cn4)no3)c2c(=O)n(C)c1=O ZINC000583174165 1071153505 /nfs/dbraw/zinc/15/35/05/1071153505.db2.gz WWQZOOITSGPVLG-UHFFFAOYSA-N 0 0 433.379 0.193 20 0 IBADRN COC(=O)CN(CCN1CC[NH+](C)CC1)C(=O)C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000583175596 1071153514 /nfs/dbraw/zinc/15/35/14/1071153514.db2.gz VEUALDFMVSXTIE-UHFFFAOYSA-N 0 0 448.492 -0.508 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000583175672 1071153435 /nfs/dbraw/zinc/15/34/35/1071153435.db2.gz XGELLMVWPBNEQM-LBPRGKRZSA-N 0 0 432.524 -0.584 20 0 IBADRN Cc1cc(OCC(=O)NC(N)=O)ccc1NS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC000583176190 1071153409 /nfs/dbraw/zinc/15/34/09/1071153409.db2.gz IXCBOEFZJKTTHR-UHFFFAOYSA-N 0 0 436.512 -0.408 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)C3CC3)C2)nn1 ZINC000583176476 1071153480 /nfs/dbraw/zinc/15/34/80/1071153480.db2.gz LUMZMGYVYUULOV-DAXOMENPSA-N 0 0 428.515 -0.380 20 0 IBADRN COC(=O)[C@H](CN1CCOCC1)NS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000583182651 1071153453 /nfs/dbraw/zinc/15/34/53/1071153453.db2.gz NMJNXYFXUNNWJF-AWEZNQCLSA-N 0 0 439.487 -0.651 20 0 IBADRN COC(=O)[C@@H](CN1CCOCC1)NS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000583182657 1071153317 /nfs/dbraw/zinc/15/33/17/1071153317.db2.gz NMJNXYFXUNNWJF-CQSZACIVSA-N 0 0 439.487 -0.651 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1)S(C)(=O)=O ZINC000583195924 1071154445 /nfs/dbraw/zinc/15/44/45/1071154445.db2.gz DAMUWPQCRNGIJD-KBPBESRZSA-N 0 0 442.513 -0.154 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1)S(C)(=O)=O ZINC000583195925 1071154409 /nfs/dbraw/zinc/15/44/09/1071154409.db2.gz DAMUWPQCRNGIJD-KGLIPLIRSA-N 0 0 442.513 -0.154 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC1 ZINC000583195926 1071154331 /nfs/dbraw/zinc/15/43/31/1071154331.db2.gz DAMUWPQCRNGIJD-UONOGXRCSA-N 0 0 442.513 -0.154 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)Nc2cccc(F)c2)CC1)S(C)(=O)=O ZINC000583195927 1071154437 /nfs/dbraw/zinc/15/44/37/1071154437.db2.gz DAMUWPQCRNGIJD-ZIAGYGMSSA-N 0 0 442.513 -0.154 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC1CCN(CC(F)(F)F)CC1)C2 ZINC000583196188 1071154288 /nfs/dbraw/zinc/15/42/88/1071154288.db2.gz COUBMRVWXCXNPD-UHFFFAOYSA-N 0 0 433.435 -0.315 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)N2CCOCC2)cc1 ZINC000583210232 1071154374 /nfs/dbraw/zinc/15/43/74/1071154374.db2.gz PAQFATRSEMIPIS-IBGZPJMESA-N 0 0 440.504 -0.179 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)NCCNC(=O)c2cnccn2)N2CCOCC2)cc1 ZINC000583210236 1071154440 /nfs/dbraw/zinc/15/44/40/1071154440.db2.gz PAQFATRSEMIPIS-LJQANCHMSA-N 0 0 440.504 -0.179 20 0 IBADRN O=C(NCCc1ccc(OC(F)F)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000583216399 1071155004 /nfs/dbraw/zinc/15/50/04/1071155004.db2.gz GULFRNQOLMHOFB-UHFFFAOYSA-N 0 0 438.431 -0.104 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000583216462 1071154883 /nfs/dbraw/zinc/15/48/83/1071154883.db2.gz JQKWVFLYOGMPCF-UHFFFAOYSA-N 0 0 431.537 -0.585 20 0 IBADRN COC[C@@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000583216472 1071154957 /nfs/dbraw/zinc/15/49/57/1071154957.db2.gz KFUMQALAWVAHLA-CYBMUJFWSA-N 0 0 431.536 -0.120 20 0 IBADRN COC[C@H]1CCN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000583216473 1071155107 /nfs/dbraw/zinc/15/51/07/1071155107.db2.gz KFUMQALAWVAHLA-ZDUSSCGKSA-N 0 0 431.536 -0.120 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC[C@H]3CCN(CC(F)(F)F)C3)CC2)n1 ZINC000583217050 1071154962 /nfs/dbraw/zinc/15/49/62/1071154962.db2.gz KIRJWENWIKTMNV-CYBMUJFWSA-N 0 0 448.446 -0.139 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC[C@@H]3CCN(CC(F)(F)F)C3)CC2)n1 ZINC000583217051 1071155020 /nfs/dbraw/zinc/15/50/20/1071155020.db2.gz KIRJWENWIKTMNV-ZDUSSCGKSA-N 0 0 448.446 -0.139 20 0 IBADRN CC(CNC(=O)c1cnc2n(c1=O)CCS2)CNC(=O)c1cnc2n(c1=O)CCS2 ZINC000583223774 1071154988 /nfs/dbraw/zinc/15/49/88/1071154988.db2.gz JMRSFUGWHAEGOE-UHFFFAOYSA-N 0 0 448.530 -0.193 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc(N(C)C)n2)CC1 ZINC000583225446 1071155034 /nfs/dbraw/zinc/15/50/34/1071155034.db2.gz CJTJGSQJPSZVCM-INIZCTEOSA-N 0 0 432.525 -0.845 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc(N(C)C)n2)CC1 ZINC000583225447 1071154946 /nfs/dbraw/zinc/15/49/46/1071154946.db2.gz CJTJGSQJPSZVCM-MRXNPFEDSA-N 0 0 432.525 -0.845 20 0 IBADRN CN(C)c1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000583225645 1071155123 /nfs/dbraw/zinc/15/51/23/1071155123.db2.gz IAPYESAPDGOHHT-UHFFFAOYSA-N 0 0 436.494 -0.574 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCC3(N4CCOCC4)CC3)C2=O)c1 ZINC000583225739 1071154905 /nfs/dbraw/zinc/15/49/05/1071154905.db2.gz BIXUBEPLIOJIKN-GOSISDBHSA-N 0 0 446.504 -0.094 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCC3(N4CCOCC4)CC3)C2=O)c1 ZINC000583225745 1071155080 /nfs/dbraw/zinc/15/50/80/1071155080.db2.gz BIXUBEPLIOJIKN-SFHVURJKSA-N 0 0 446.504 -0.094 20 0 IBADRN C[C@@H]1C[C@@]2(CCO1)CN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C[C@H](C)O2 ZINC000583226118 1071155785 /nfs/dbraw/zinc/15/57/85/1071155785.db2.gz GFRWJJMKSMZCBV-GLJUWKHASA-N 0 0 444.510 -0.605 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@]2(CCO[C@H](C)C2)O1 ZINC000583226126 1072871026 /nfs/dbraw/zinc/87/10/26/1072871026.db2.gz GFRWJJMKSMZCBV-HBUWYVDXSA-N 0 0 444.510 -0.605 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@]2(CCO[C@H](C)C2)O1 ZINC000583226127 1071155831 /nfs/dbraw/zinc/15/58/31/1071155831.db2.gz GFRWJJMKSMZCBV-LBTNJELSSA-N 0 0 444.510 -0.605 20 0 IBADRN C[C@@H]1C[C@]2(CCO1)CN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C[C@H](C)O2 ZINC000583226128 1071155986 /nfs/dbraw/zinc/15/59/86/1071155986.db2.gz GFRWJJMKSMZCBV-QWQRMKEZSA-N 0 0 444.510 -0.605 20 0 IBADRN CCOC(=O)c1cnc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1C ZINC000583226952 1071155758 /nfs/dbraw/zinc/15/57/58/1071155758.db2.gz NSQPCHQUQCTNHW-UHFFFAOYSA-N 0 0 435.462 -0.030 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000583227017 1071155823 /nfs/dbraw/zinc/15/58/23/1071155823.db2.gz OJKSEHAPKVWASX-CYBMUJFWSA-N 0 0 447.539 -0.950 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000583227018 1071155901 /nfs/dbraw/zinc/15/59/01/1071155901.db2.gz OJKSEHAPKVWASX-ZDUSSCGKSA-N 0 0 447.539 -0.950 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(N(C)C)n2)CC1 ZINC000583227601 1071154973 /nfs/dbraw/zinc/15/49/73/1071154973.db2.gz VXWQVUVDJVZCBB-INIZCTEOSA-N 0 0 431.541 -0.296 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2ccnc(N(C)C)n2)CC1 ZINC000583227602 1071155043 /nfs/dbraw/zinc/15/50/43/1071155043.db2.gz VXWQVUVDJVZCBB-MRXNPFEDSA-N 0 0 431.541 -0.296 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC000583227634 1071155112 /nfs/dbraw/zinc/15/51/12/1071155112.db2.gz XAJFEYMTXFXFTN-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)C1 ZINC000583230740 1071155906 /nfs/dbraw/zinc/15/59/06/1071155906.db2.gz FFJJPHRGUNDMPW-HNNXBMFYSA-N 0 0 434.472 -0.264 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NCc2cnc(N3CCOCC3)c(F)c2)C1 ZINC000583230743 1071155970 /nfs/dbraw/zinc/15/59/70/1071155970.db2.gz FFJJPHRGUNDMPW-OAHLLOKOSA-N 0 0 434.472 -0.264 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)c2ccccc2O1 ZINC000583234016 1073331417 /nfs/dbraw/zinc/33/14/17/1073331417.db2.gz GHVVQGSXTVIWID-INIZCTEOSA-N 0 0 442.476 0.230 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)c2ccccc2O1 ZINC000583234017 1073331452 /nfs/dbraw/zinc/33/14/52/1073331452.db2.gz GHVVQGSXTVIWID-MRXNPFEDSA-N 0 0 442.476 0.230 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000583234178 1071155887 /nfs/dbraw/zinc/15/58/87/1071155887.db2.gz IKLJGYQQCHJFMD-UHFFFAOYSA-N 0 0 428.392 -0.315 20 0 IBADRN CC(C)S(=O)(=O)c1cccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000583238003 1071156527 /nfs/dbraw/zinc/15/65/27/1071156527.db2.gz AQWOOBNCJNLKQX-DLBZAZTESA-N 0 0 430.548 -0.216 20 0 IBADRN CC(C)S(=O)(=O)c1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000583238004 1071156595 /nfs/dbraw/zinc/15/65/95/1071156595.db2.gz AQWOOBNCJNLKQX-IAGOWNOFSA-N 0 0 430.548 -0.216 20 0 IBADRN CC(C)S(=O)(=O)c1cccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000583238005 1071156577 /nfs/dbraw/zinc/15/65/77/1071156577.db2.gz AQWOOBNCJNLKQX-IRXDYDNUSA-N 0 0 430.548 -0.216 20 0 IBADRN CC(C)S(=O)(=O)c1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000583238006 1071156558 /nfs/dbraw/zinc/15/65/58/1071156558.db2.gz AQWOOBNCJNLKQX-SJORKVTESA-N 0 0 430.548 -0.216 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000583238270 1071155981 /nfs/dbraw/zinc/15/59/81/1071155981.db2.gz KKDBXLWTFDFSGM-DLBZAZTESA-N 0 0 430.509 -0.284 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000583238271 1071155991 /nfs/dbraw/zinc/15/59/91/1071155991.db2.gz KKDBXLWTFDFSGM-IAGOWNOFSA-N 0 0 430.509 -0.284 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000583238272 1071155725 /nfs/dbraw/zinc/15/57/25/1071155725.db2.gz KKDBXLWTFDFSGM-IRXDYDNUSA-N 0 0 430.509 -0.284 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000583238273 1071155922 /nfs/dbraw/zinc/15/59/22/1071155922.db2.gz KKDBXLWTFDFSGM-SJORKVTESA-N 0 0 430.509 -0.284 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)ccc21 ZINC000583241958 1071156684 /nfs/dbraw/zinc/15/66/84/1071156684.db2.gz HRKAPSXUWDFJNW-UHFFFAOYSA-N 0 0 442.519 -0.525 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)nn1 ZINC000583277982 1071157463 /nfs/dbraw/zinc/15/74/63/1071157463.db2.gz WKCCMRZEDHHATA-UHFFFAOYSA-N 0 0 440.457 -0.080 20 0 IBADRN O=C(Nc1ccc(N2CCCC2)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000583278434 1071157526 /nfs/dbraw/zinc/15/75/26/1071157526.db2.gz UWTBPMXYYBATGR-UHFFFAOYSA-N 0 0 444.492 -0.850 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)cn1C ZINC000583283761 1071158055 /nfs/dbraw/zinc/15/80/55/1071158055.db2.gz AIWHSPFRFHOORZ-UHFFFAOYSA-N 0 0 443.551 -0.036 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCC(C)CC1 ZINC000583284233 1071158249 /nfs/dbraw/zinc/15/82/49/1071158249.db2.gz UCXFQBTUYSTTKW-UHFFFAOYSA-N 0 0 438.525 -0.773 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000583284577 1072872156 /nfs/dbraw/zinc/87/21/56/1072872156.db2.gz LGNTWLMMQTVENH-KBPBESRZSA-N 0 0 432.399 -0.173 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000583284578 1072872078 /nfs/dbraw/zinc/87/20/78/1072872078.db2.gz LGNTWLMMQTVENH-UONOGXRCSA-N 0 0 432.399 -0.173 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NCc1ccc(OC)c(S(N)(=O)=O)c1 ZINC000583285844 1071158129 /nfs/dbraw/zinc/15/81/29/1071158129.db2.gz RNXSUULFFZBEIB-UHFFFAOYSA-N 0 0 429.476 -0.071 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000583286240 1071158014 /nfs/dbraw/zinc/15/80/14/1071158014.db2.gz HTGGCDTWUAUNIJ-DLBZAZTESA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000583286241 1071158232 /nfs/dbraw/zinc/15/82/32/1071158232.db2.gz HTGGCDTWUAUNIJ-IAGOWNOFSA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000583286242 1071158159 /nfs/dbraw/zinc/15/81/59/1071158159.db2.gz HTGGCDTWUAUNIJ-IRXDYDNUSA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2cccc(Cl)c2)C1=O ZINC000583286243 1071158180 /nfs/dbraw/zinc/15/81/80/1071158180.db2.gz HTGGCDTWUAUNIJ-SJORKVTESA-N 0 0 438.912 -0.287 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccccc2S(C)(=O)=O)CC1 ZINC000583291754 1071158335 /nfs/dbraw/zinc/15/83/35/1071158335.db2.gz DIYWHKYJSQEUGQ-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N(C)[C@@H](C)C1CCN(S(=O)(=O)CC)CC1 ZINC000583294526 1071159056 /nfs/dbraw/zinc/15/90/56/1071159056.db2.gz LQHIRCIOBHTJIS-AWEZNQCLSA-N 0 0 427.589 -0.149 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N(C)[C@H](C)C1CCN(S(=O)(=O)CC)CC1 ZINC000583294527 1071158989 /nfs/dbraw/zinc/15/89/89/1071158989.db2.gz LQHIRCIOBHTJIS-CQSZACIVSA-N 0 0 427.589 -0.149 20 0 IBADRN C[C@@H]1Cc2ccccc2N1C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000583295479 1071158986 /nfs/dbraw/zinc/15/89/86/1071158986.db2.gz IMZPMWIWFCLILP-AEFFLSMTSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@H]1Cc2ccccc2N1C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000583295480 1071158867 /nfs/dbraw/zinc/15/88/67/1071158867.db2.gz IMZPMWIWFCLILP-FUHWJXTLSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@@H]1Cc2ccccc2N1C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000583295481 1071159042 /nfs/dbraw/zinc/15/90/42/1071159042.db2.gz IMZPMWIWFCLILP-SJLPKXTDSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@H]1Cc2ccccc2N1C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000583295482 1071159002 /nfs/dbraw/zinc/15/90/02/1071159002.db2.gz IMZPMWIWFCLILP-WMZOPIPTSA-N 0 0 434.562 -0.115 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)N2CCOCC2)o1 ZINC000583310773 1071158879 /nfs/dbraw/zinc/15/88/79/1071158879.db2.gz XWJCXKPYYFTSMC-GOSISDBHSA-N 0 0 449.552 -0.300 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)N2CCOCC2)o1 ZINC000583310774 1071159063 /nfs/dbraw/zinc/15/90/63/1071159063.db2.gz XWJCXKPYYFTSMC-SFHVURJKSA-N 0 0 449.552 -0.300 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000583310954 1071158924 /nfs/dbraw/zinc/15/89/24/1071158924.db2.gz QUSZXYZZXBGVGZ-UHFFFAOYSA-N 0 0 432.477 -0.908 20 0 IBADRN Cn1nccc1[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000583326094 1071159746 /nfs/dbraw/zinc/15/97/46/1071159746.db2.gz LAGZGMWAARWNBL-KRWDZBQOSA-N 0 0 426.525 -0.146 20 0 IBADRN Cn1nccc1[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000583326095 1071159852 /nfs/dbraw/zinc/15/98/52/1071159852.db2.gz LAGZGMWAARWNBL-QGZVFWFLSA-N 0 0 426.525 -0.146 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)C2=O)cn1 ZINC000583328305 1071159651 /nfs/dbraw/zinc/15/96/51/1071159651.db2.gz FBYRJYWEDFODHP-INIZCTEOSA-N 0 0 449.489 -0.760 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)C2=O)cn1 ZINC000583328306 1071159764 /nfs/dbraw/zinc/15/97/64/1071159764.db2.gz FBYRJYWEDFODHP-MRXNPFEDSA-N 0 0 449.489 -0.760 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCn2ncnc2C1 ZINC000583328499 1071159837 /nfs/dbraw/zinc/15/98/37/1071159837.db2.gz OBZNOMPWVNRXLO-HNNXBMFYSA-N 0 0 441.496 -0.968 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCn2ncnc2C1 ZINC000583328500 1071159810 /nfs/dbraw/zinc/15/98/10/1071159810.db2.gz OBZNOMPWVNRXLO-OAHLLOKOSA-N 0 0 441.496 -0.968 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCc2c[nH]c3ncccc23)CC1 ZINC000583341199 1071160581 /nfs/dbraw/zinc/16/05/81/1071160581.db2.gz GDKRZNFRPHFCMQ-INIZCTEOSA-N 0 0 444.536 -0.093 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCc2c[nH]c3ncccc23)CC1 ZINC000583341200 1071160423 /nfs/dbraw/zinc/16/04/23/1071160423.db2.gz GDKRZNFRPHFCMQ-MRXNPFEDSA-N 0 0 444.536 -0.093 20 0 IBADRN CSc1ccc(CCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000583341477 1071160356 /nfs/dbraw/zinc/16/03/56/1071160356.db2.gz SWJYUOZJIGLOSX-UHFFFAOYSA-N 0 0 446.533 -0.444 20 0 IBADRN CCOC(CNS(=O)(=O)c1cn(C)c(C)n1)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000583342645 1071160479 /nfs/dbraw/zinc/16/04/79/1071160479.db2.gz LEJKKMHJCOQSGD-UHFFFAOYSA-N 0 0 434.544 -0.568 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC2CCN(c3cc(C)nc(C)n3)CC2)CC1 ZINC000583344481 1071161303 /nfs/dbraw/zinc/16/13/03/1071161303.db2.gz OYCNVPDMDWPVSQ-UHFFFAOYSA-N 0 0 438.554 -0.328 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000583346822 1071160467 /nfs/dbraw/zinc/16/04/67/1071160467.db2.gz KQKWQEIOMBASPT-BEIWTESXSA-N 0 0 434.562 -0.007 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000583346823 1071160573 /nfs/dbraw/zinc/16/05/73/1071160573.db2.gz KQKWQEIOMBASPT-DFYVNMARSA-N 0 0 434.562 -0.007 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000583346824 1071160407 /nfs/dbraw/zinc/16/04/07/1071160407.db2.gz KQKWQEIOMBASPT-JTBPQFFMSA-N 0 0 434.562 -0.007 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000583346825 1071160437 /nfs/dbraw/zinc/16/04/37/1071160437.db2.gz KQKWQEIOMBASPT-XHDOVSQSSA-N 0 0 434.562 -0.007 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2CCCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000583350730 1071161261 /nfs/dbraw/zinc/16/12/61/1071161261.db2.gz OUCYOZOVQRINSV-UHFFFAOYSA-N 0 0 431.603 -0.094 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000583352489 1071161248 /nfs/dbraw/zinc/16/12/48/1071161248.db2.gz YMSJRKIEJAWTAJ-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN O=C(NCCc1ccc2c(c1)OCO2)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000583394572 1071162858 /nfs/dbraw/zinc/16/28/58/1071162858.db2.gz GVYXIZQWRPEDOZ-UHFFFAOYSA-N 0 0 429.520 -0.431 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1=O ZINC000583399403 1071162968 /nfs/dbraw/zinc/16/29/68/1071162968.db2.gz OEQIQSFGKPWFAR-NEPJUHHUSA-N 0 0 444.414 -0.693 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1=O ZINC000583399404 1071162939 /nfs/dbraw/zinc/16/29/39/1071162939.db2.gz OEQIQSFGKPWFAR-NWDGAFQWSA-N 0 0 444.414 -0.693 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1=O ZINC000583399405 1071162778 /nfs/dbraw/zinc/16/27/78/1071162778.db2.gz OEQIQSFGKPWFAR-RYUDHWBXSA-N 0 0 444.414 -0.693 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1=O ZINC000583399406 1071162891 /nfs/dbraw/zinc/16/28/91/1071162891.db2.gz OEQIQSFGKPWFAR-VXGBXAGGSA-N 0 0 444.414 -0.693 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3Cl)C2)CC1 ZINC000583401210 1071162945 /nfs/dbraw/zinc/16/29/45/1071162945.db2.gz GHOBZDAUARJZTG-CYBMUJFWSA-N 0 0 429.930 -0.076 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3Cl)C2)CC1 ZINC000583401211 1071163011 /nfs/dbraw/zinc/16/30/11/1071163011.db2.gz GHOBZDAUARJZTG-ZDUSSCGKSA-N 0 0 429.930 -0.076 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H](C)CN3CCOCC3)C2=O)c1 ZINC000583404744 1071162810 /nfs/dbraw/zinc/16/28/10/1071162810.db2.gz IYZCIVHUSCSWGK-KBXCAEBGSA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N[C@H](C)CN3CCOCC3)C2=O)c1 ZINC000583404745 1071162932 /nfs/dbraw/zinc/16/29/32/1071162932.db2.gz IYZCIVHUSCSWGK-KDOFPFPSSA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N[C@@H](C)CN3CCOCC3)C2=O)c1 ZINC000583404746 1071163007 /nfs/dbraw/zinc/16/30/07/1071163007.db2.gz IYZCIVHUSCSWGK-KSSFIOAISA-N 0 0 434.493 -0.238 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N[C@H](C)CN3CCOCC3)C2=O)c1 ZINC000583404747 1071162878 /nfs/dbraw/zinc/16/28/78/1071162878.db2.gz IYZCIVHUSCSWGK-RDTXWAMCSA-N 0 0 434.493 -0.238 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000583410880 1071163777 /nfs/dbraw/zinc/16/37/77/1071163777.db2.gz KDCHNDCGWBRDFT-AWEZNQCLSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000583410881 1071163760 /nfs/dbraw/zinc/16/37/60/1071163760.db2.gz KDCHNDCGWBRDFT-CQSZACIVSA-N 0 0 432.524 -0.537 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC000583415282 1071163974 /nfs/dbraw/zinc/16/39/74/1071163974.db2.gz XUAHHIPUISGSKO-KRWDZBQOSA-N 0 0 428.493 -0.427 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000583415283 1071163736 /nfs/dbraw/zinc/16/37/36/1071163736.db2.gz XUAHHIPUISGSKO-QGZVFWFLSA-N 0 0 428.493 -0.427 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000583420232 1071165369 /nfs/dbraw/zinc/16/53/69/1071165369.db2.gz RPCMKUFFABIIRW-GZBFAFLISA-N 0 0 427.479 -0.336 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000583420233 1071165504 /nfs/dbraw/zinc/16/55/04/1071165504.db2.gz RPCMKUFFABIIRW-KCQAQPDRSA-N 0 0 427.479 -0.336 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000583420234 1071165529 /nfs/dbraw/zinc/16/55/29/1071165529.db2.gz RPCMKUFFABIIRW-UMVBOHGHSA-N 0 0 427.479 -0.336 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000583420235 1071165463 /nfs/dbraw/zinc/16/54/63/1071165463.db2.gz RPCMKUFFABIIRW-VNHYZAJKSA-N 0 0 427.479 -0.336 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000583428984 1071165543 /nfs/dbraw/zinc/16/55/43/1071165543.db2.gz VMLZAMRWMIKNNR-KBPBESRZSA-N 0 0 443.551 -0.040 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000583428985 1071165535 /nfs/dbraw/zinc/16/55/35/1071165535.db2.gz VMLZAMRWMIKNNR-KGLIPLIRSA-N 0 0 443.551 -0.040 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H](C)CCNS(=O)(=O)c1ccccc1 ZINC000583428986 1071165436 /nfs/dbraw/zinc/16/54/36/1071165436.db2.gz VMLZAMRWMIKNNR-UONOGXRCSA-N 0 0 443.551 -0.040 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000583428987 1071165551 /nfs/dbraw/zinc/16/55/51/1071165551.db2.gz VMLZAMRWMIKNNR-ZIAGYGMSSA-N 0 0 443.551 -0.040 20 0 IBADRN CCCCOc1ncccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000583431819 1071166054 /nfs/dbraw/zinc/16/60/54/1071166054.db2.gz FQHGJECDSLCNGN-UHFFFAOYSA-N 0 0 431.493 -0.174 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(C3CCOCC3)CC2)(N2CCOCC2)C1 ZINC000583433205 1071166233 /nfs/dbraw/zinc/16/62/33/1071166233.db2.gz SSNJONCMNNQUDL-UHFFFAOYSA-N 0 0 438.569 -0.304 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000583446289 1071166017 /nfs/dbraw/zinc/16/60/17/1071166017.db2.gz XGFCLQVACWMSGL-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000583446290 1071166317 /nfs/dbraw/zinc/16/63/17/1071166317.db2.gz XGFCLQVACWMSGL-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC000583453413 1071167156 /nfs/dbraw/zinc/16/71/56/1071167156.db2.gz QLBADJCWMVYSBD-AWEZNQCLSA-N 0 0 433.552 -0.018 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC000583453414 1071167163 /nfs/dbraw/zinc/16/71/63/1071167163.db2.gz QLBADJCWMVYSBD-CQSZACIVSA-N 0 0 433.552 -0.018 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)NCCN1CCSCC1 ZINC000583454622 1071166781 /nfs/dbraw/zinc/16/67/81/1071166781.db2.gz RRWUFZQUKCQZIQ-UHFFFAOYSA-N 0 0 425.555 -0.088 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NCCNC(=O)c3cnccn3)C2=O)cc1 ZINC000583457294 1071167208 /nfs/dbraw/zinc/16/72/08/1071167208.db2.gz WRXIYUBTOROWCQ-INIZCTEOSA-N 0 0 440.460 -0.752 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NCCNC(=O)c3cnccn3)C2=O)cc1 ZINC000583457295 1071166923 /nfs/dbraw/zinc/16/69/23/1071166923.db2.gz WRXIYUBTOROWCQ-MRXNPFEDSA-N 0 0 440.460 -0.752 20 0 IBADRN Cn1nccc1[C@@H]1NC(=O)CC[C@H]1NC(=O)NCCN1CCN(C(=O)C2CCC2)CC1 ZINC000583457943 1071167086 /nfs/dbraw/zinc/16/70/86/1071167086.db2.gz FASXSKAMCWLPLF-VQIMIIECSA-N 0 0 431.541 -0.017 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4ccnc(C)n4)CC3)C2=O)n(C)n1 ZINC000583460424 1071167914 /nfs/dbraw/zinc/16/79/14/1071167914.db2.gz BBHKASNMCCVEKE-HNNXBMFYSA-N 0 0 426.481 -0.603 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4ccnc(C)n4)CC3)C2=O)n(C)n1 ZINC000583460425 1071167770 /nfs/dbraw/zinc/16/77/70/1071167770.db2.gz BBHKASNMCCVEKE-OAHLLOKOSA-N 0 0 426.481 -0.603 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)C[C@H]1c1ncc[nH]1 ZINC000583460645 1071167145 /nfs/dbraw/zinc/16/71/45/1071167145.db2.gz KIDISNOYVJPIHT-CABCVRRESA-N 0 0 428.497 -0.421 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)C[C@H]1c1ncc[nH]1 ZINC000583460646 1071166739 /nfs/dbraw/zinc/16/67/39/1071166739.db2.gz KIDISNOYVJPIHT-GJZGRUSLSA-N 0 0 428.497 -0.421 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000583460647 1071167112 /nfs/dbraw/zinc/16/71/12/1071167112.db2.gz KIDISNOYVJPIHT-HUUCEWRRSA-N 0 0 428.497 -0.421 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000583460648 1071167146 /nfs/dbraw/zinc/16/71/46/1071167146.db2.gz KIDISNOYVJPIHT-LSDHHAIUSA-N 0 0 428.497 -0.421 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cc(Cl)ccc2N2CCOCC2)C1 ZINC000583461256 1071167823 /nfs/dbraw/zinc/16/78/23/1071167823.db2.gz HFUNYGQJXZKMQQ-AWEZNQCLSA-N 0 0 430.914 -0.318 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cc(Cl)ccc2N2CCOCC2)C1 ZINC000583461257 1071437727 /nfs/dbraw/zinc/43/77/27/1071437727.db2.gz HFUNYGQJXZKMQQ-CQSZACIVSA-N 0 0 430.914 -0.318 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCC(CC(=O)N3CCN(CC)CC3)CC2)C1 ZINC000583461285 1071437632 /nfs/dbraw/zinc/43/76/32/1071437632.db2.gz IKNWRGQMALXEQF-GOSISDBHSA-N 0 0 435.569 -0.094 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCC(CC(=O)N3CCN(CC)CC3)CC2)C1 ZINC000583461286 1071167931 /nfs/dbraw/zinc/16/79/31/1071167931.db2.gz IKNWRGQMALXEQF-SFHVURJKSA-N 0 0 435.569 -0.094 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(OCC2CC2)c1 ZINC000583461498 1071167857 /nfs/dbraw/zinc/16/78/57/1071167857.db2.gz RJTJJZDRAJLFGG-UHFFFAOYSA-N 0 0 441.492 -0.242 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCc3cccs3)CC2)CC1 ZINC000583464066 1071166861 /nfs/dbraw/zinc/16/68/61/1071166861.db2.gz DDIXGZYMGFKXCA-UHFFFAOYSA-N 0 0 443.595 -0.567 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cnc2[nH]c(=O)[nH]c2c1 ZINC000583467331 1071168769 /nfs/dbraw/zinc/16/87/69/1071168769.db2.gz WYFODBAUJZIKOT-UHFFFAOYSA-N 0 0 431.478 -0.060 20 0 IBADRN CCOC(=O)c1c(C)onc1CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000583469116 1071168623 /nfs/dbraw/zinc/16/86/23/1071168623.db2.gz XXGRRUGOCHYXHW-AWEZNQCLSA-N 0 0 428.511 -0.419 20 0 IBADRN CCOC(=O)c1c(C)onc1CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000583469117 1071437601 /nfs/dbraw/zinc/43/76/01/1071437601.db2.gz XXGRRUGOCHYXHW-CQSZACIVSA-N 0 0 428.511 -0.419 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCCN(S(=O)(=O)N(C)C)CC1)OCC(=O)N2 ZINC000583469302 1071167876 /nfs/dbraw/zinc/16/78/76/1071167876.db2.gz GKQNCXJPYIVJTA-UHFFFAOYSA-N 0 0 448.523 -0.471 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1 ZINC000583469314 1071167635 /nfs/dbraw/zinc/16/76/35/1071167635.db2.gz GTBYOSDUCDVZJT-UHFFFAOYSA-N 0 0 433.556 -0.247 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2ccnc(OC)c2)c1 ZINC000583488557 1071168789 /nfs/dbraw/zinc/16/87/89/1071168789.db2.gz GZUSOSFACPVIPD-UHFFFAOYSA-N 0 0 436.490 -0.053 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000583505756 1071169507 /nfs/dbraw/zinc/16/95/07/1071169507.db2.gz UCLIKABBAIZPHB-UHFFFAOYSA-N 0 0 434.497 -0.262 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O)C(=O)N1CCOCC1 ZINC000583507062 1071170424 /nfs/dbraw/zinc/17/04/24/1071170424.db2.gz SSJNRODQFFHYCD-CABCVRRESA-N 0 0 438.431 -0.060 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O)C(=O)N1CCOCC1 ZINC000583507063 1071170253 /nfs/dbraw/zinc/17/02/53/1071170253.db2.gz SSJNRODQFFHYCD-GJZGRUSLSA-N 0 0 438.431 -0.060 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O)C(=O)N1CCOCC1 ZINC000583507064 1071170403 /nfs/dbraw/zinc/17/04/03/1071170403.db2.gz SSJNRODQFFHYCD-HUUCEWRRSA-N 0 0 438.431 -0.060 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O)C(=O)N1CCOCC1 ZINC000583507065 1071170307 /nfs/dbraw/zinc/17/03/07/1071170307.db2.gz SSJNRODQFFHYCD-LSDHHAIUSA-N 0 0 438.431 -0.060 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1ncnn1-c1ccccc1 ZINC000583513455 1071170378 /nfs/dbraw/zinc/17/03/78/1071170378.db2.gz HYLMITYPRZCYFZ-UHFFFAOYSA-N 0 0 428.474 -0.022 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCCN3CCN(c4ncccn4)CC3)CC2)cn1 ZINC000583513832 1071170170 /nfs/dbraw/zinc/17/01/70/1071170170.db2.gz YJOWVRXHONNWNB-UHFFFAOYSA-N 0 0 441.540 -0.813 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccn(-c3ccc(Br)cc3)n2)C1 ZINC000583514246 1073318449 /nfs/dbraw/zinc/31/84/49/1073318449.db2.gz OZBALMJIYAOACB-GFCCVEGCSA-N 0 0 442.295 0.463 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccn(-c3ccc(Br)cc3)n2)C1 ZINC000583514247 1073318350 /nfs/dbraw/zinc/31/83/50/1073318350.db2.gz OZBALMJIYAOACB-LBPRGKRZSA-N 0 0 442.295 0.463 20 0 IBADRN O=C(Cc1ccccc1F)N1CCN(C(=O)C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000583516226 1071171221 /nfs/dbraw/zinc/17/12/21/1071171221.db2.gz POKYORCWACCKKH-UHFFFAOYSA-N 0 0 442.451 -0.625 20 0 IBADRN O=C(NC1CCC2(CC1)NC(=O)NC2=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000583517346 1071170392 /nfs/dbraw/zinc/17/03/92/1071170392.db2.gz PAGCWLOPZQXWIF-UHFFFAOYSA-N 0 0 449.489 -0.942 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccco4)CC3)C2=O)n1 ZINC000583519348 1071171058 /nfs/dbraw/zinc/17/10/58/1071171058.db2.gz BEWSFXVQCZCODU-AWEZNQCLSA-N 0 0 428.449 -0.391 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccco4)CC3)C2=O)n1 ZINC000583519349 1071171310 /nfs/dbraw/zinc/17/13/10/1071171310.db2.gz BEWSFXVQCZCODU-CQSZACIVSA-N 0 0 428.449 -0.391 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@@H](O)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000583523371 1071171262 /nfs/dbraw/zinc/17/12/62/1071171262.db2.gz XMRSKOCWXZKTGZ-BBRMVZONSA-N 0 0 447.941 -0.026 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@@H](O)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000583523372 1071171762 /nfs/dbraw/zinc/17/17/62/1071171762.db2.gz XMRSKOCWXZKTGZ-CJNGLKHVSA-N 0 0 447.941 -0.026 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@H](O)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000583523373 1071171905 /nfs/dbraw/zinc/17/19/05/1071171905.db2.gz XMRSKOCWXZKTGZ-CZUORRHYSA-N 0 0 447.941 -0.026 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@H](O)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000583523374 1071171878 /nfs/dbraw/zinc/17/18/78/1071171878.db2.gz XMRSKOCWXZKTGZ-XJKSGUPXSA-N 0 0 447.941 -0.026 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(c3cccnn3)CC2)s1 ZINC000583523484 1071171207 /nfs/dbraw/zinc/17/12/07/1071171207.db2.gz BCQFHFYBSBWPFL-UHFFFAOYSA-N 0 0 438.535 -0.410 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000583524437 1071171251 /nfs/dbraw/zinc/17/12/51/1071171251.db2.gz PARNWXSTTMAMFZ-VYMQAWTISA-N 0 0 426.539 -0.868 20 0 IBADRN CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000583524438 1071171303 /nfs/dbraw/zinc/17/13/03/1071171303.db2.gz PARNWXSTTMAMFZ-WBHWENKQSA-N 0 0 426.539 -0.868 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000583524439 1071171032 /nfs/dbraw/zinc/17/10/32/1071171032.db2.gz PARNWXSTTMAMFZ-YPLATFLCSA-N 0 0 426.539 -0.868 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000583524440 1071171232 /nfs/dbraw/zinc/17/12/32/1071171232.db2.gz PARNWXSTTMAMFZ-YSVMAZNTSA-N 0 0 426.539 -0.868 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)NCC(F)F)CC1 ZINC000583525777 1071172776 /nfs/dbraw/zinc/17/27/76/1071172776.db2.gz CVMDIIFHVDSBBP-UHFFFAOYSA-N 0 0 437.421 -0.103 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN([C@H](C)C(=O)NC4CC4)CC3)C2)n(C)n1 ZINC000583527492 1071171749 /nfs/dbraw/zinc/17/17/49/1071171749.db2.gz GEUSHNTUVYHRAP-AEFFLSMTSA-N 0 0 445.568 -0.375 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN([C@@H](C)C(=O)NC4CC4)CC3)C2)n(C)n1 ZINC000583527493 1071171821 /nfs/dbraw/zinc/17/18/21/1071171821.db2.gz GEUSHNTUVYHRAP-FUHWJXTLSA-N 0 0 445.568 -0.375 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN([C@H](C)C(=O)NC4CC4)CC3)C2)n(C)n1 ZINC000583527494 1071172011 /nfs/dbraw/zinc/17/20/11/1071172011.db2.gz GEUSHNTUVYHRAP-SJLPKXTDSA-N 0 0 445.568 -0.375 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN([C@@H](C)C(=O)NC4CC4)CC3)C2)n(C)n1 ZINC000583527495 1071171860 /nfs/dbraw/zinc/17/18/60/1071171860.db2.gz GEUSHNTUVYHRAP-WMZOPIPTSA-N 0 0 445.568 -0.375 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000583528794 1071172023 /nfs/dbraw/zinc/17/20/23/1071172023.db2.gz MZFYZQPOZJXHSU-CHWSQXEVSA-N 0 0 437.971 -0.091 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000583528795 1071171941 /nfs/dbraw/zinc/17/19/41/1071171941.db2.gz MZFYZQPOZJXHSU-OLZOCXBDSA-N 0 0 437.971 -0.091 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000583528796 1071171704 /nfs/dbraw/zinc/17/17/04/1071171704.db2.gz MZFYZQPOZJXHSU-QWHCGFSZSA-N 0 0 437.971 -0.091 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000583528797 1071171831 /nfs/dbraw/zinc/17/18/31/1071171831.db2.gz MZFYZQPOZJXHSU-STQMWFEESA-N 0 0 437.971 -0.091 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NCCC2CCN(CC(F)(F)F)CC2)CC1 ZINC000583528909 1071171930 /nfs/dbraw/zinc/17/19/30/1071171930.db2.gz QWPRTJRHVNXQEW-UHFFFAOYSA-N 0 0 428.477 -0.129 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NCc3ccnc(N(C)C)n3)C2)nc1 ZINC000583529593 1071171989 /nfs/dbraw/zinc/17/19/89/1071171989.db2.gz PYBAZSSPOSATDP-UHFFFAOYSA-N 0 0 427.465 -0.265 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3COc4ccc(F)cc4C3)cnc2n(C)c1=O ZINC000583530236 1071171979 /nfs/dbraw/zinc/17/19/79/1071171979.db2.gz QTOXEEIIJLNALE-CYBMUJFWSA-N 0 0 427.392 -0.170 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3COc4ccc(F)cc4C3)cnc2n(C)c1=O ZINC000583530237 1071171806 /nfs/dbraw/zinc/17/18/06/1071171806.db2.gz QTOXEEIIJLNALE-ZDUSSCGKSA-N 0 0 427.392 -0.170 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(C(=O)c3ccsc3)CC1)CC2 ZINC000583531172 1071172549 /nfs/dbraw/zinc/17/25/49/1071172549.db2.gz NNEDFJAOODXWBD-AWEZNQCLSA-N 0 0 432.506 -0.098 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(C(=O)c3ccsc3)CC1)CC2 ZINC000583531173 1071172755 /nfs/dbraw/zinc/17/27/55/1071172755.db2.gz NNEDFJAOODXWBD-CQSZACIVSA-N 0 0 432.506 -0.098 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000583534869 1071172653 /nfs/dbraw/zinc/17/26/53/1071172653.db2.gz IRELSMVICSFSOC-UHFFFAOYSA-N 0 0 441.510 -0.080 20 0 IBADRN COC(=O)CN(C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)C1CCOCC1 ZINC000583539819 1071172840 /nfs/dbraw/zinc/17/28/40/1071172840.db2.gz SEWCZXCOCHECSG-UHFFFAOYSA-N 0 0 447.535 -0.157 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000583555305 1071172719 /nfs/dbraw/zinc/17/27/19/1071172719.db2.gz SSJSTEQTVSUOGE-HNNXBMFYSA-N 0 0 442.524 -0.054 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](C)c2nnnn2-c2ccccc2)CC1 ZINC000583555306 1071172884 /nfs/dbraw/zinc/17/28/84/1071172884.db2.gz SSJSTEQTVSUOGE-OAHLLOKOSA-N 0 0 442.524 -0.054 20 0 IBADRN CO[C@]1(C)C[C@@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1(C)C ZINC000583571639 1071173704 /nfs/dbraw/zinc/17/37/04/1071173704.db2.gz MQRIKSPQZAIQHG-QMTMVMCOSA-N 0 0 431.555 -0.041 20 0 IBADRN CO[C@]1(C)C[C@@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1(C)C ZINC000583571640 1071173679 /nfs/dbraw/zinc/17/36/79/1071173679.db2.gz MQRIKSPQZAIQHG-SPYBWZPUSA-N 0 0 431.555 -0.041 20 0 IBADRN CO[C@]1(C)C[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1(C)C ZINC000583571641 1071173451 /nfs/dbraw/zinc/17/34/51/1071173451.db2.gz MQRIKSPQZAIQHG-YZVOILCLSA-N 0 0 431.555 -0.041 20 0 IBADRN CO[C@]1(C)C[C@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1(C)C ZINC000583571642 1071173420 /nfs/dbraw/zinc/17/34/20/1071173420.db2.gz MQRIKSPQZAIQHG-ZRGWGRIASA-N 0 0 431.555 -0.041 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000583578747 1071173686 /nfs/dbraw/zinc/17/36/86/1071173686.db2.gz GMSLSTMDAVDRAH-HNNXBMFYSA-N 0 0 425.511 -0.752 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000583578748 1071174346 /nfs/dbraw/zinc/17/43/46/1071174346.db2.gz GMSLSTMDAVDRAH-OAHLLOKOSA-N 0 0 425.511 -0.752 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)c(C)o1 ZINC000583580812 1071174625 /nfs/dbraw/zinc/17/46/25/1071174625.db2.gz KNXOFDJGKNJXMI-UHFFFAOYSA-N 0 0 442.476 -0.047 20 0 IBADRN Cc1cccc(O[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000583583855 1071174545 /nfs/dbraw/zinc/17/45/45/1071174545.db2.gz BKTGGICATSCVGY-KRWDZBQOSA-N 0 0 446.504 -0.592 20 0 IBADRN Cc1cccc(O[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000583583856 1071174603 /nfs/dbraw/zinc/17/46/03/1071174603.db2.gz BKTGGICATSCVGY-QGZVFWFLSA-N 0 0 446.504 -0.592 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1 ZINC000583584262 1071174461 /nfs/dbraw/zinc/17/44/61/1071174461.db2.gz GILIQXHLAIOQAR-HNNXBMFYSA-N 0 0 426.477 -0.001 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1 ZINC000583584263 1071174613 /nfs/dbraw/zinc/17/46/13/1071174613.db2.gz GILIQXHLAIOQAR-OAHLLOKOSA-N 0 0 426.477 -0.001 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(N2CCOCC2)c1 ZINC000583585554 1071174685 /nfs/dbraw/zinc/17/46/85/1071174685.db2.gz FICFHVNPDNZSDT-QGZVFWFLSA-N 0 0 438.550 -0.012 20 0 IBADRN Cc1nn(C)cc1S(=O)(=O)NCCN1CCN(S(=O)(=O)c2cn(C)nc2C)CC1 ZINC000583587100 1071174584 /nfs/dbraw/zinc/17/45/84/1071174584.db2.gz WAWMTOMVHWGTLQ-UHFFFAOYSA-N 0 0 445.571 -0.945 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000583587751 1071174525 /nfs/dbraw/zinc/17/45/25/1071174525.db2.gz ATBXQZMTOGQVIJ-UHFFFAOYSA-N 0 0 445.567 -0.437 20 0 IBADRN NC(=O)c1ccc(N2CCN(CC(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)cc1 ZINC000583588992 1071174702 /nfs/dbraw/zinc/17/47/02/1071174702.db2.gz YIPWBXSDRYMJIP-UHFFFAOYSA-N 0 0 445.545 -0.086 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n(C(C)(C)C)n1 ZINC000583591350 1071175287 /nfs/dbraw/zinc/17/52/87/1071175287.db2.gz LTUVNGFHNCUXFR-UHFFFAOYSA-N 0 0 442.542 -0.394 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000583591563 1071175251 /nfs/dbraw/zinc/17/52/51/1071175251.db2.gz VXTNTQOBBLFBLB-OAHLLOKOSA-N 0 0 441.506 -0.070 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCS(=O)(=O)N(C)C)c(OC)c1 ZINC000583593832 1071176270 /nfs/dbraw/zinc/17/62/70/1071176270.db2.gz UMCMUAYWZBOCOS-UHFFFAOYSA-N 0 0 429.542 -0.374 20 0 IBADRN C[C@@H](O)CN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000583593836 1071176423 /nfs/dbraw/zinc/17/64/23/1071176423.db2.gz UQFGLNVUYKJUGA-CYBMUJFWSA-N 0 0 437.921 -0.013 20 0 IBADRN C[C@H](O)CN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000583593837 1071176360 /nfs/dbraw/zinc/17/63/60/1071176360.db2.gz UQFGLNVUYKJUGA-ZDUSSCGKSA-N 0 0 437.921 -0.013 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cc(C3CC3)n(C)n2)CC1 ZINC000583594686 1073318277 /nfs/dbraw/zinc/31/82/77/1073318277.db2.gz IACYRTPMJDQSAO-UHFFFAOYSA-N 0 0 446.533 0.493 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cn(C)nc2C(F)(F)F)CC1 ZINC000583595302 1071175376 /nfs/dbraw/zinc/17/53/76/1071175376.db2.gz MPBAYLYIOWHOMG-UHFFFAOYSA-N 0 0 426.421 -0.282 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1OC ZINC000583596499 1071175310 /nfs/dbraw/zinc/17/53/10/1071175310.db2.gz OKKMILXGVWAFRV-KRWDZBQOSA-N 0 0 426.451 -0.817 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1OC ZINC000583596500 1071175343 /nfs/dbraw/zinc/17/53/43/1071175343.db2.gz OKKMILXGVWAFRV-QGZVFWFLSA-N 0 0 426.451 -0.817 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1ccc3ncccc3c1)C2 ZINC000583617064 1071181618 /nfs/dbraw/zinc/18/16/18/1071181618.db2.gz MNFTUWBZUZQIJE-UHFFFAOYSA-N 0 0 437.460 -0.660 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)CC1 ZINC000583617222 1071180665 /nfs/dbraw/zinc/18/06/65/1071180665.db2.gz VILYNMCWYUZXOU-INIZCTEOSA-N 0 0 425.574 -0.603 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)CC1 ZINC000583617223 1071181329 /nfs/dbraw/zinc/18/13/29/1071181329.db2.gz VILYNMCWYUZXOU-MRXNPFEDSA-N 0 0 425.574 -0.603 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000583618597 1071181482 /nfs/dbraw/zinc/18/14/82/1071181482.db2.gz QNUZJRGNWSQCGH-INIZCTEOSA-N 0 0 447.540 -0.617 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000583618598 1071181575 /nfs/dbraw/zinc/18/15/75/1071181575.db2.gz QNUZJRGNWSQCGH-MRXNPFEDSA-N 0 0 447.540 -0.617 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)C1 ZINC000583637781 1071188417 /nfs/dbraw/zinc/18/84/17/1071188417.db2.gz SCVFUOJOTNAIGP-JTQLQIEISA-N 0 0 438.432 -0.436 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCNc2nccc(C(F)(F)F)n2)C1 ZINC000583637782 1071188438 /nfs/dbraw/zinc/18/84/38/1071188438.db2.gz SCVFUOJOTNAIGP-SNVBAGLBSA-N 0 0 438.432 -0.436 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000583643588 1071190340 /nfs/dbraw/zinc/19/03/40/1071190340.db2.gz PQSWDMIUAHCFKJ-NEPJUHHUSA-N 0 0 427.479 -0.432 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000583643589 1071190383 /nfs/dbraw/zinc/19/03/83/1071190383.db2.gz PQSWDMIUAHCFKJ-NWDGAFQWSA-N 0 0 427.479 -0.432 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000583643590 1071190481 /nfs/dbraw/zinc/19/04/81/1071190481.db2.gz PQSWDMIUAHCFKJ-RYUDHWBXSA-N 0 0 427.479 -0.432 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000583643591 1071190414 /nfs/dbraw/zinc/19/04/14/1071190414.db2.gz PQSWDMIUAHCFKJ-VXGBXAGGSA-N 0 0 427.479 -0.432 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2cccc(NC(=O)COC)c2)CC1 ZINC000583644919 1071191329 /nfs/dbraw/zinc/19/13/29/1071191329.db2.gz AGTGYJNQCOMONW-UHFFFAOYSA-N 0 0 447.536 -0.100 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)CC1 ZINC000583644965 1071191238 /nfs/dbraw/zinc/19/12/38/1071191238.db2.gz BWAQREKMAPOPTN-UHFFFAOYSA-N 0 0 435.480 -0.970 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@@H](C)N2CCN(c3ccccc3)CC2)CC1 ZINC000583645038 1071191351 /nfs/dbraw/zinc/19/13/51/1071191351.db2.gz FLQNLJAURFRZOA-GOSISDBHSA-N 0 0 431.537 -0.370 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NC[C@H](C)N2CCN(c3ccccc3)CC2)CC1 ZINC000583645039 1071191255 /nfs/dbraw/zinc/19/12/55/1071191255.db2.gz FLQNLJAURFRZOA-SFHVURJKSA-N 0 0 431.537 -0.370 20 0 IBADRN Cc1ccc(C(=O)N2CCC(NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)cc1 ZINC000583645294 1071191296 /nfs/dbraw/zinc/19/12/96/1071191296.db2.gz PZGNAIIKKUGSOI-UHFFFAOYSA-N 0 0 443.548 -0.004 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)NC[C@@H]1CSCCN1C(=O)CS(=O)(=O)C(C)C ZINC000583647233 1071192032 /nfs/dbraw/zinc/19/20/32/1071192032.db2.gz LDSMZNQGFUIGOW-CYBMUJFWSA-N 0 0 428.598 -0.307 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)NC[C@H]1CSCCN1C(=O)CS(=O)(=O)C(C)C ZINC000583647234 1071192097 /nfs/dbraw/zinc/19/20/97/1071192097.db2.gz LDSMZNQGFUIGOW-ZDUSSCGKSA-N 0 0 428.598 -0.307 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000583650013 1071193833 /nfs/dbraw/zinc/19/38/33/1071193833.db2.gz ZKYGINAITUPWTQ-INIZCTEOSA-N 0 0 445.563 -0.557 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000583650014 1071193818 /nfs/dbraw/zinc/19/38/18/1071193818.db2.gz ZKYGINAITUPWTQ-MRXNPFEDSA-N 0 0 445.563 -0.557 20 0 IBADRN CC[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000583657334 1071194381 /nfs/dbraw/zinc/19/43/81/1071194381.db2.gz IUOYSMVRUBFIJE-CHWSQXEVSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000583657335 1071195395 /nfs/dbraw/zinc/19/53/95/1071195395.db2.gz IUOYSMVRUBFIJE-OLZOCXBDSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000583657336 1071195347 /nfs/dbraw/zinc/19/53/47/1071195347.db2.gz IUOYSMVRUBFIJE-QWHCGFSZSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000583657337 1071195193 /nfs/dbraw/zinc/19/51/93/1071195193.db2.gz IUOYSMVRUBFIJE-STQMWFEESA-N 0 0 426.495 -0.094 20 0 IBADRN NC(=O)CCn1ccc(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)n1 ZINC000583657462 1071195231 /nfs/dbraw/zinc/19/52/31/1071195231.db2.gz NCXQFGZJUQVBLY-UHFFFAOYSA-N 0 0 437.478 0.227 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCc2ncc(C)cn2)C1 ZINC000583657787 1071195333 /nfs/dbraw/zinc/19/53/33/1071195333.db2.gz ALFQFPAVYIXPAU-HNNXBMFYSA-N 0 0 427.527 -0.657 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCc2ncc(C)cn2)C1 ZINC000583657788 1071195403 /nfs/dbraw/zinc/19/54/03/1071195403.db2.gz ALFQFPAVYIXPAU-OAHLLOKOSA-N 0 0 427.527 -0.657 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)C1 ZINC000583658327 1071195267 /nfs/dbraw/zinc/19/52/67/1071195267.db2.gz VICVBFYRFDXGPD-NRFANRHFSA-N 0 0 441.525 -0.052 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)C1 ZINC000583658328 1071195420 /nfs/dbraw/zinc/19/54/20/1071195420.db2.gz VICVBFYRFDXGPD-OAQYLSRUSA-N 0 0 441.525 -0.052 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000583658664 1071194557 /nfs/dbraw/zinc/19/45/57/1071194557.db2.gz JTZIZFODCYMJND-KGYLQXTDSA-N 0 0 436.490 -0.213 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000583658665 1071194475 /nfs/dbraw/zinc/19/44/75/1071194475.db2.gz JTZIZFODCYMJND-RAIGVLPGSA-N 0 0 436.490 -0.213 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000583658666 1071194610 /nfs/dbraw/zinc/19/46/10/1071194610.db2.gz JTZIZFODCYMJND-RTXFEEFZSA-N 0 0 436.490 -0.213 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000583658667 1071194564 /nfs/dbraw/zinc/19/45/64/1071194564.db2.gz JTZIZFODCYMJND-WXHSDQCUSA-N 0 0 436.490 -0.213 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)cn1 ZINC000583658770 1071194602 /nfs/dbraw/zinc/19/46/02/1071194602.db2.gz OWRIPBJCTIMPGZ-WBVHZDCISA-N 0 0 448.524 -0.565 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000583662041 1071195374 /nfs/dbraw/zinc/19/53/74/1071195374.db2.gz MLOBDKFPDRDFGP-HHHXNRCGSA-N 0 0 427.548 -0.312 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000583662042 1071195210 /nfs/dbraw/zinc/19/52/10/1071195210.db2.gz MLOBDKFPDRDFGP-MHZLTWQESA-N 0 0 427.548 -0.312 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000583663999 1071195279 /nfs/dbraw/zinc/19/52/79/1071195279.db2.gz DYADHHCIHLCRBU-UHFFFAOYSA-N 0 0 443.511 -0.796 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)OCO2 ZINC000583669408 1071195950 /nfs/dbraw/zinc/19/59/50/1071195950.db2.gz ZQCXBHXDMZGWBR-UHFFFAOYSA-N 0 0 429.451 -0.026 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)CC1 ZINC000583684753 1071196920 /nfs/dbraw/zinc/19/69/20/1071196920.db2.gz ITSLNJIMEZXOGU-INIZCTEOSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(=O)N1CCC(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)CC1 ZINC000583684754 1071196773 /nfs/dbraw/zinc/19/67/73/1071196773.db2.gz ITSLNJIMEZXOGU-MRXNPFEDSA-N 0 0 435.569 -0.047 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N(C)CCNS(=O)(=O)c1ccc(C)cc1)CC2 ZINC000583684962 1071196729 /nfs/dbraw/zinc/19/67/29/1071196729.db2.gz URWYRGFYQSKNIR-HNNXBMFYSA-N 0 0 434.522 -0.237 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N(C)CCNS(=O)(=O)c1ccc(C)cc1)CC2 ZINC000583684963 1071197004 /nfs/dbraw/zinc/19/70/04/1071197004.db2.gz URWYRGFYQSKNIR-OAHLLOKOSA-N 0 0 434.522 -0.237 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)C2)n(C)n1 ZINC000583686250 1071197024 /nfs/dbraw/zinc/19/70/24/1071197024.db2.gz WCIPQFCWNAFIFQ-BZSNNMDCSA-N 0 0 429.525 -0.218 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)C2)n(C)n1 ZINC000583686251 1071196751 /nfs/dbraw/zinc/19/67/51/1071196751.db2.gz WCIPQFCWNAFIFQ-KSZLIROESA-N 0 0 429.525 -0.218 20 0 IBADRN CCN(Cc1nc2ccccc2c(=O)[nH]1)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000583700639 1071196933 /nfs/dbraw/zinc/19/69/33/1071196933.db2.gz RCFVMTVCEHZGOS-UHFFFAOYSA-N 0 0 428.493 -0.036 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC(=O)N(CCOC)C2)c1 ZINC000583705973 1071197013 /nfs/dbraw/zinc/19/70/13/1071197013.db2.gz IFCSQGRFXZFADI-UHFFFAOYSA-N 0 0 426.495 -0.022 20 0 IBADRN Cc1nccnc1CCNS(=O)(=O)CCCS(=O)(=O)NCCc1nccnc1C ZINC000583706526 1071197569 /nfs/dbraw/zinc/19/75/69/1071197569.db2.gz JXCKNTPJHHCMKK-UHFFFAOYSA-N 0 0 442.567 -0.102 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(c2cnn(C)c2)CC1 ZINC000583707047 1071197593 /nfs/dbraw/zinc/19/75/93/1071197593.db2.gz IRMPCWULDQHYAK-UHFFFAOYSA-N 0 0 434.522 -0.365 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000583707438 1071197536 /nfs/dbraw/zinc/19/75/36/1071197536.db2.gz AVVWWJMCVMWGRD-UHFFFAOYSA-N 0 0 431.537 -0.585 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000583707715 1071197393 /nfs/dbraw/zinc/19/73/93/1071197393.db2.gz MCNIEJRLMVVTMA-DHMKHTPVSA-N 0 0 438.550 -0.153 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000583707716 1071197523 /nfs/dbraw/zinc/19/75/23/1071197523.db2.gz MCNIEJRLMVVTMA-FOGNVHKLSA-N 0 0 438.550 -0.153 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000583707717 1071197580 /nfs/dbraw/zinc/19/75/80/1071197580.db2.gz MCNIEJRLMVVTMA-INCQDFKNSA-N 0 0 438.550 -0.153 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000583707718 1071197513 /nfs/dbraw/zinc/19/75/13/1071197513.db2.gz MCNIEJRLMVVTMA-JGVYIQDASA-N 0 0 438.550 -0.153 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(S(=O)(=O)NC(C)C)CC1 ZINC000583707858 1071197508 /nfs/dbraw/zinc/19/75/08/1071197508.db2.gz SAJBRNVFNWPKJP-UHFFFAOYSA-N 0 0 441.554 -0.236 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCc3cccc(CS(C)(=O)=O)c3)C2=O)n1 ZINC000583709935 1071197417 /nfs/dbraw/zinc/19/74/17/1071197417.db2.gz ZNJHMPUIJVABFY-INIZCTEOSA-N 0 0 447.517 -0.107 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCc3cccc(CS(C)(=O)=O)c3)C2=O)n1 ZINC000583709936 1071197472 /nfs/dbraw/zinc/19/74/72/1071197472.db2.gz ZNJHMPUIJVABFY-MRXNPFEDSA-N 0 0 447.517 -0.107 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)C1 ZINC000583711783 1071197559 /nfs/dbraw/zinc/19/75/59/1071197559.db2.gz IWEGLVROCYXKOU-LLVKDONJSA-N 0 0 436.487 -0.835 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)C1 ZINC000583711784 1071197330 /nfs/dbraw/zinc/19/73/30/1071197330.db2.gz IWEGLVROCYXKOU-NSHDSACASA-N 0 0 436.487 -0.835 20 0 IBADRN O=C(CN1CCC[C@@H](OCCO)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000583713549 1071198421 /nfs/dbraw/zinc/19/84/21/1071198421.db2.gz CFWNCMPJNLIMEA-GOSISDBHSA-N 0 0 441.550 -0.203 20 0 IBADRN O=C(CN1CCC[C@H](OCCO)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000583713550 1071198396 /nfs/dbraw/zinc/19/83/96/1071198396.db2.gz CFWNCMPJNLIMEA-SFHVURJKSA-N 0 0 441.550 -0.203 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC2(CCOCC2)O1 ZINC000583715111 1071198386 /nfs/dbraw/zinc/19/83/86/1071198386.db2.gz UNFDJQCRRDQXIE-CVEARBPZSA-N 0 0 433.509 -0.176 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC2(CCOCC2)O1 ZINC000583715112 1071198416 /nfs/dbraw/zinc/19/84/16/1071198416.db2.gz UNFDJQCRRDQXIE-HOTGVXAUSA-N 0 0 433.509 -0.176 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC2(CCOCC2)O1 ZINC000583715113 1071198507 /nfs/dbraw/zinc/19/85/07/1071198507.db2.gz UNFDJQCRRDQXIE-HZPDHXFCSA-N 0 0 433.509 -0.176 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC2(CCOCC2)O1 ZINC000583715114 1071198364 /nfs/dbraw/zinc/19/83/64/1071198364.db2.gz UNFDJQCRRDQXIE-JKSUJKDBSA-N 0 0 433.509 -0.176 20 0 IBADRN Cc1cc(F)ccc1CCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000583716347 1071198451 /nfs/dbraw/zinc/19/84/51/1071198451.db2.gz YJWZVABGPXADLC-UHFFFAOYSA-N 0 0 434.468 -0.678 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000583717534 1071198339 /nfs/dbraw/zinc/19/83/39/1071198339.db2.gz VVBVMEVAMVHYTF-CYBMUJFWSA-N 0 0 446.551 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000583717535 1071198436 /nfs/dbraw/zinc/19/84/36/1071198436.db2.gz VVBVMEVAMVHYTF-ZDUSSCGKSA-N 0 0 446.551 -0.194 20 0 IBADRN Cc1ccc(NC(=O)CN(C)S(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000583718550 1071198987 /nfs/dbraw/zinc/19/89/87/1071198987.db2.gz RXLNMMNREDOJHU-UHFFFAOYSA-N 0 0 434.540 -0.307 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N[C@H]2CCCN(CC(F)(F)F)C2)[C@H](O)[C@@H]1O ZINC000583718683 1071199114 /nfs/dbraw/zinc/19/91/14/1071199114.db2.gz YRJMATPMVASVEE-UOYPZJKHSA-N 0 0 432.403 -0.124 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N[C@@H]2CCCN(CC(F)(F)F)C2)[C@H](O)[C@@H]1O ZINC000583718684 1071199132 /nfs/dbraw/zinc/19/91/32/1071199132.db2.gz YRJMATPMVASVEE-ZGOQAQPGSA-N 0 0 432.403 -0.124 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1c(C)oc(C)c1C(=O)OC ZINC000583720605 1071199125 /nfs/dbraw/zinc/19/91/25/1071199125.db2.gz PUHDTZPLDBCNIU-GFCCVEGCSA-N 0 0 432.451 -0.248 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1c(C)oc(C)c1C(=O)OC ZINC000583720606 1071199027 /nfs/dbraw/zinc/19/90/27/1071199027.db2.gz PUHDTZPLDBCNIU-LBPRGKRZSA-N 0 0 432.451 -0.248 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2cccc(F)c2)CC1 ZINC000583723620 1071199228 /nfs/dbraw/zinc/19/92/28/1071199228.db2.gz ZQSXNCOKVHOPGY-HNNXBMFYSA-N 0 0 444.529 -0.211 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2cccc(F)c2)CC1 ZINC000583723621 1071199207 /nfs/dbraw/zinc/19/92/07/1071199207.db2.gz ZQSXNCOKVHOPGY-OAHLLOKOSA-N 0 0 444.529 -0.211 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000583726392 1071199166 /nfs/dbraw/zinc/19/91/66/1071199166.db2.gz QVSZVCZDVKCJRE-UHFFFAOYSA-N 0 0 439.604 -0.286 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN(C(=O)N(C)C)CC3)n2)c1C ZINC000583730885 1073339381 /nfs/dbraw/zinc/33/93/81/1073339381.db2.gz REZSOZINKVUQKL-UHFFFAOYSA-N 0 0 444.496 0.111 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000583757015 1071200185 /nfs/dbraw/zinc/20/01/85/1071200185.db2.gz ZJAGQJRLGJWTFX-HNNXBMFYSA-N 0 0 436.534 -0.141 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000583757016 1071200147 /nfs/dbraw/zinc/20/01/47/1071200147.db2.gz ZJAGQJRLGJWTFX-OAHLLOKOSA-N 0 0 436.534 -0.141 20 0 IBADRN CCn1c(-c2cnn(C)c2)nnc1N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000583765204 1071199916 /nfs/dbraw/zinc/19/99/16/1071199916.db2.gz DKXFAZUTOKOMBW-UHFFFAOYSA-N 0 0 434.482 -0.099 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000583767008 1071200650 /nfs/dbraw/zinc/20/06/50/1071200650.db2.gz LZBDYIIPSPPSPB-UHFFFAOYSA-N 0 0 426.495 -0.506 20 0 IBADRN CC(=O)NC1CCC(N(C)C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000583770552 1071200675 /nfs/dbraw/zinc/20/06/75/1071200675.db2.gz JAZBCUWJJUXQBF-UHFFFAOYSA-N 0 0 434.584 -0.613 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCn4c(Cc5ccccc5)nnc4C3)C2=O)cn1 ZINC000583771840 1071200847 /nfs/dbraw/zinc/20/08/47/1071200847.db2.gz RFGXWJIOIWSARA-KRWDZBQOSA-N 0 0 448.487 -0.134 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCn4c(Cc5ccccc5)nnc4C3)C2=O)cn1 ZINC000583771841 1071200696 /nfs/dbraw/zinc/20/06/96/1071200696.db2.gz RFGXWJIOIWSARA-QGZVFWFLSA-N 0 0 448.487 -0.134 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(Cc4ccccn4)CC3)C2=O)n1 ZINC000583772228 1071200810 /nfs/dbraw/zinc/20/08/10/1071200810.db2.gz ABWFYAVOCPPTJE-KRWDZBQOSA-N 0 0 425.493 -0.229 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(Cc4ccccn4)CC3)C2=O)n1 ZINC000583772229 1071200706 /nfs/dbraw/zinc/20/07/06/1071200706.db2.gz ABWFYAVOCPPTJE-QGZVFWFLSA-N 0 0 425.493 -0.229 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCc3cc(Br)cc(F)c3C2)n1 ZINC000583773763 1073313995 /nfs/dbraw/zinc/31/39/95/1073313995.db2.gz JYRMJLRAFLLFGK-UHFFFAOYSA-N 0 0 439.245 0.449 20 0 IBADRN COc1cc(N2CCN(C(=O)NC[C@@H]3CCCCN3S(=O)(=O)N(C)C)CC2)ncn1 ZINC000583775204 1071200834 /nfs/dbraw/zinc/20/08/34/1071200834.db2.gz AJTXHYNIWVWVIE-HNNXBMFYSA-N 0 0 441.558 -0.022 20 0 IBADRN COc1cc(N2CCN(C(=O)NC[C@H]3CCCCN3S(=O)(=O)N(C)C)CC2)ncn1 ZINC000583775205 1071200725 /nfs/dbraw/zinc/20/07/25/1071200725.db2.gz AJTXHYNIWVWVIE-OAHLLOKOSA-N 0 0 441.558 -0.022 20 0 IBADRN O=C(NCc1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000583776618 1071200823 /nfs/dbraw/zinc/20/08/23/1071200823.db2.gz MGXBBSLLCRDWAS-UHFFFAOYSA-N 0 0 444.517 -0.019 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)c1 ZINC000583777345 1071200663 /nfs/dbraw/zinc/20/06/63/1071200663.db2.gz UBWBIXFDCKWBPC-HNNXBMFYSA-N 0 0 425.511 -0.238 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)c1 ZINC000583777346 1071200791 /nfs/dbraw/zinc/20/07/91/1071200791.db2.gz UBWBIXFDCKWBPC-OAHLLOKOSA-N 0 0 425.511 -0.238 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCC2(Cc3ccc(F)cc3)CC2)CC1 ZINC000583778688 1071201470 /nfs/dbraw/zinc/20/14/70/1071201470.db2.gz GYUVOQGVBZPRIK-UHFFFAOYSA-N 0 0 429.456 -0.378 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCn4ccnc4C3)CC2)cs1 ZINC000583778965 1071201630 /nfs/dbraw/zinc/20/16/30/1071201630.db2.gz WPNAHCYUBDBOGD-CYBMUJFWSA-N 0 0 438.535 -0.388 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCn4ccnc4C3)CC2)cs1 ZINC000583778966 1071201541 /nfs/dbraw/zinc/20/15/41/1071201541.db2.gz WPNAHCYUBDBOGD-ZDUSSCGKSA-N 0 0 438.535 -0.388 20 0 IBADRN NC(=O)c1ccccc1NCC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000583779995 1071201701 /nfs/dbraw/zinc/20/17/01/1071201701.db2.gz UMYPDLFQSCXAMN-UHFFFAOYSA-N 0 0 425.511 -0.645 20 0 IBADRN O=C(CNC(=O)[C@H]1CC[C@@H](C(=O)NCC(=O)NC2CC2)N1Cc1ccccc1)NC1CC1 ZINC000583781342 1071201492 /nfs/dbraw/zinc/20/14/92/1071201492.db2.gz CICPEXNSONUXMB-KDURUIRLSA-N 0 0 441.532 -0.191 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000583781712 1071201329 /nfs/dbraw/zinc/20/13/29/1071201329.db2.gz XQGSBYMMTBKWSF-UHFFFAOYSA-N 0 0 439.581 -0.266 20 0 IBADRN CN1c2ccc(NC(=O)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)cc2OCC1=O ZINC000583791158 1071201664 /nfs/dbraw/zinc/20/16/64/1071201664.db2.gz REPZVICYIKMXON-UHFFFAOYSA-N 0 0 432.458 -0.013 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NC3CCN(c4cc(C)[nH]n4)CC3)C2=O)n(C)n1 ZINC000583804339 1071202454 /nfs/dbraw/zinc/20/24/54/1071202454.db2.gz CYEUCPQFEOCYQC-HNNXBMFYSA-N 0 0 428.497 -0.233 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NC3CCN(c4cc(C)[nH]n4)CC3)C2=O)n(C)n1 ZINC000583804340 1071202474 /nfs/dbraw/zinc/20/24/74/1071202474.db2.gz CYEUCPQFEOCYQC-OAHLLOKOSA-N 0 0 428.497 -0.233 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)n1 ZINC000583804484 1071202394 /nfs/dbraw/zinc/20/23/94/1071202394.db2.gz MCZAOXPTLQACBJ-UHFFFAOYSA-N 0 0 442.523 -0.676 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(Cc4ccccn4)n3C[C@H]3CCCCO3)CCN2C1=O ZINC000583825141 1071203035 /nfs/dbraw/zinc/20/30/35/1071203035.db2.gz DRVTWVMLHGTUEO-DLBZAZTESA-N 0 0 425.493 -0.020 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(Cc4ccccn4)n3C[C@H]3CCCCO3)CCN2C1=O ZINC000583825142 1071203505 /nfs/dbraw/zinc/20/35/05/1071203505.db2.gz DRVTWVMLHGTUEO-IAGOWNOFSA-N 0 0 425.493 -0.020 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(Cc4ccccn4)n3C[C@@H]3CCCCO3)CCN2C1=O ZINC000583825143 1071203069 /nfs/dbraw/zinc/20/30/69/1071203069.db2.gz DRVTWVMLHGTUEO-IRXDYDNUSA-N 0 0 425.493 -0.020 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(Cc4ccccn4)n3C[C@@H]3CCCCO3)CCN2C1=O ZINC000583825144 1071203436 /nfs/dbraw/zinc/20/34/36/1071203436.db2.gz DRVTWVMLHGTUEO-SJORKVTESA-N 0 0 425.493 -0.020 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccccc2OC(F)(F)F)CC1 ZINC000583826767 1071203236 /nfs/dbraw/zinc/20/32/36/1071203236.db2.gz ZIDIEJGBMRDXRD-UHFFFAOYSA-N 0 0 441.370 -0.441 20 0 IBADRN Cn1nccc1[C@@H]1OCC[C@H]1CNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000583827014 1071203113 /nfs/dbraw/zinc/20/31/13/1071203113.db2.gz LPTRDWSQDGAOTP-FUHWJXTLSA-N 0 0 442.524 -0.658 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)N2CCCC2)o1 ZINC000583829290 1071203193 /nfs/dbraw/zinc/20/31/93/1071203193.db2.gz UOLPWFCOISRLME-INIZCTEOSA-N 0 0 444.496 -0.801 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)N2CCCC2)o1 ZINC000583829291 1071203354 /nfs/dbraw/zinc/20/33/54/1071203354.db2.gz UOLPWFCOISRLME-MRXNPFEDSA-N 0 0 444.496 -0.801 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1=O ZINC000583835022 1071204074 /nfs/dbraw/zinc/20/40/74/1071204074.db2.gz LGEQTFANXPOENM-GDBMZVCRSA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1=O ZINC000583835023 1071203992 /nfs/dbraw/zinc/20/39/92/1071203992.db2.gz LGEQTFANXPOENM-GOEBONIOSA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@H]1CCCN(c2cnn(C)c2)C1=O ZINC000583835024 1071203948 /nfs/dbraw/zinc/20/39/48/1071203948.db2.gz LGEQTFANXPOENM-HOCLYGCPSA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCCN(c2cnn(C)c2)C1=O ZINC000583835025 1071203854 /nfs/dbraw/zinc/20/38/54/1071203854.db2.gz LGEQTFANXPOENM-ZBFHGGJFSA-N 0 0 441.558 -0.124 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCc2ccc(F)c(F)c2F)n1)N1CCOCC1 ZINC000583836245 1073322078 /nfs/dbraw/zinc/32/20/78/1073322078.db2.gz MWXCQUIMCMEJIX-UHFFFAOYSA-N 0 0 439.394 0.457 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N(CCO)Cc1ccc(OC)cc1OC)CC2 ZINC000583837935 1071203914 /nfs/dbraw/zinc/20/39/14/1071203914.db2.gz JIMAOHJSIZHZSS-HNNXBMFYSA-N 0 0 447.492 -0.106 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N(CCO)Cc1ccc(OC)cc1OC)CC2 ZINC000583837936 1071204004 /nfs/dbraw/zinc/20/40/04/1071204004.db2.gz JIMAOHJSIZHZSS-OAHLLOKOSA-N 0 0 447.492 -0.106 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cn1 ZINC000583838359 1071203894 /nfs/dbraw/zinc/20/38/94/1071203894.db2.gz GGEKSUZUBNCCSN-UHFFFAOYSA-N 0 0 428.496 -0.798 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000583841899 1071204900 /nfs/dbraw/zinc/20/49/00/1071204900.db2.gz QQGOLYYQJXEHFR-UHFFFAOYSA-N 0 0 433.556 -0.364 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000583842998 1071204619 /nfs/dbraw/zinc/20/46/19/1071204619.db2.gz UPKWDFLCXKFLGH-UHFFFAOYSA-N 0 0 449.576 -0.338 20 0 IBADRN CCOC(=O)c1sc(NC(=O)CN2C(=O)N[C@H](CCS(N)(=O)=O)C2=O)cc1C ZINC000583850308 1071204945 /nfs/dbraw/zinc/20/49/45/1071204945.db2.gz HTZRGBGXQVUVHG-SECBINFHSA-N 0 0 432.480 -0.229 20 0 IBADRN CCOC(=O)c1sc(NC(=O)CN2C(=O)N[C@@H](CCS(N)(=O)=O)C2=O)cc1C ZINC000583850309 1071204786 /nfs/dbraw/zinc/20/47/86/1071204786.db2.gz HTZRGBGXQVUVHG-VIFPVBQESA-N 0 0 432.480 -0.229 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1nnn(CCOc2ccc(F)cc2)c1C ZINC000583860326 1071205554 /nfs/dbraw/zinc/20/55/54/1071205554.db2.gz IHWOOSVDLGPELE-UHFFFAOYSA-N 0 0 442.473 -0.201 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)c3ccccc3)C2=O)n1 ZINC000583862548 1071205639 /nfs/dbraw/zinc/20/56/39/1071205639.db2.gz MYYDSUSEMNKHPJ-HNNXBMFYSA-N 0 0 433.490 -0.378 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)c3ccccc3)C2=O)n1 ZINC000583862549 1071205524 /nfs/dbraw/zinc/20/55/24/1071205524.db2.gz MYYDSUSEMNKHPJ-OAHLLOKOSA-N 0 0 433.490 -0.378 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC000583885755 1071205349 /nfs/dbraw/zinc/20/53/49/1071205349.db2.gz GWEKGCDPVUIXJT-UHFFFAOYSA-N 0 0 431.537 -0.004 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)CC1 ZINC000583888665 1071205623 /nfs/dbraw/zinc/20/56/23/1071205623.db2.gz WXLXUKQPPYSESN-IBGZPJMESA-N 0 0 429.521 -0.486 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)CC1 ZINC000583888666 1071205674 /nfs/dbraw/zinc/20/56/74/1071205674.db2.gz WXLXUKQPPYSESN-LJQANCHMSA-N 0 0 429.521 -0.486 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)c1 ZINC000583892312 1071205714 /nfs/dbraw/zinc/20/57/14/1071205714.db2.gz DKUMAUAVUCFKBZ-ZDUSSCGKSA-N 0 0 427.508 -0.500 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000583893303 1071206504 /nfs/dbraw/zinc/20/65/04/1071206504.db2.gz RRYLKXQSQMBNKQ-KRWDZBQOSA-N 0 0 431.541 -0.728 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000583893304 1071206356 /nfs/dbraw/zinc/20/63/56/1071206356.db2.gz RRYLKXQSQMBNKQ-QGZVFWFLSA-N 0 0 431.541 -0.728 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000583893465 1071205316 /nfs/dbraw/zinc/20/53/16/1071205316.db2.gz ACWRDULYEQAFPG-GJZGRUSLSA-N 0 0 446.426 -0.177 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC000583893466 1071206367 /nfs/dbraw/zinc/20/63/67/1071206367.db2.gz ACWRDULYEQAFPG-LSDHHAIUSA-N 0 0 446.426 -0.177 20 0 IBADRN COc1cc(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)ccc1OCC(N)=O ZINC000583894934 1071206307 /nfs/dbraw/zinc/20/63/07/1071206307.db2.gz OLOLYUDIRSHSOR-AWEZNQCLSA-N 0 0 428.511 -0.050 20 0 IBADRN COc1cc(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)ccc1OCC(N)=O ZINC000583894935 1071206389 /nfs/dbraw/zinc/20/63/89/1071206389.db2.gz OLOLYUDIRSHSOR-CQSZACIVSA-N 0 0 428.511 -0.050 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)cn1 ZINC000583895403 1071206407 /nfs/dbraw/zinc/20/64/07/1071206407.db2.gz KIHZAEXELILWLF-UHFFFAOYSA-N 0 0 434.541 -0.308 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N(C)CCNC(=O)N(C)C)C2=O)c1 ZINC000583895620 1071206221 /nfs/dbraw/zinc/20/62/21/1071206221.db2.gz SBTGWLUPAYJEOL-INIZCTEOSA-N 0 0 435.481 -0.345 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N(C)CCNC(=O)N(C)C)C2=O)c1 ZINC000583895621 1071206255 /nfs/dbraw/zinc/20/62/55/1071206255.db2.gz SBTGWLUPAYJEOL-MRXNPFEDSA-N 0 0 435.481 -0.345 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(CCc2ccccc2)CC1 ZINC000583896527 1071206478 /nfs/dbraw/zinc/20/64/78/1071206478.db2.gz CLJBDNKESJKNCO-UHFFFAOYSA-N 0 0 449.533 -0.403 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1=O ZINC000583899255 1071206192 /nfs/dbraw/zinc/20/61/92/1071206192.db2.gz HWDVXGADMQWZFB-UHFFFAOYSA-N 0 0 444.496 -0.495 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000583900605 1071206967 /nfs/dbraw/zinc/20/69/67/1071206967.db2.gz LUYJCYKLIPRSTQ-UHFFFAOYSA-N 0 0 443.507 -0.502 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc3nc(C)nn3c2)C1 ZINC000583902765 1071207160 /nfs/dbraw/zinc/20/71/60/1071207160.db2.gz OSPPTVYHFNOVDL-HNNXBMFYSA-N 0 0 438.510 -0.125 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3nc(C)nn3c2)C1 ZINC000583902766 1071207142 /nfs/dbraw/zinc/20/71/42/1071207142.db2.gz OSPPTVYHFNOVDL-OAHLLOKOSA-N 0 0 438.510 -0.125 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)NCCN2CCN(c3ncccn3)CC2)nn1 ZINC000583904536 1071207000 /nfs/dbraw/zinc/20/70/00/1071207000.db2.gz AQKNEBBNPQIECI-IAGOWNOFSA-N 0 0 431.501 -0.724 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)nnn1CCOc1ccc(F)cc1 ZINC000583948290 1071207810 /nfs/dbraw/zinc/20/78/10/1071207810.db2.gz CHYWODROZATZIP-UHFFFAOYSA-N 0 0 447.427 -0.199 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000583948638 1071207822 /nfs/dbraw/zinc/20/78/22/1071207822.db2.gz SQCXAGWCPLSOEX-UHFFFAOYSA-N 0 0 445.520 -0.898 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000583948881 1071207899 /nfs/dbraw/zinc/20/78/99/1071207899.db2.gz DPTJBSRQIGSGAG-AWEZNQCLSA-N 0 0 439.538 -0.866 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000583948882 1071207747 /nfs/dbraw/zinc/20/77/47/1071207747.db2.gz DPTJBSRQIGSGAG-CQSZACIVSA-N 0 0 439.538 -0.866 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000583950105 1071207961 /nfs/dbraw/zinc/20/79/61/1071207961.db2.gz OXKPCLYDLPZCPV-FQEVSTJZSA-N 0 0 446.548 -0.200 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)CC1 ZINC000583950106 1071208036 /nfs/dbraw/zinc/20/80/36/1071208036.db2.gz OXKPCLYDLPZCPV-HXUWFJFHSA-N 0 0 446.548 -0.200 20 0 IBADRN Cc1cc(C)n(CC(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)c(=O)n1 ZINC000583951097 1071207776 /nfs/dbraw/zinc/20/77/76/1071207776.db2.gz MGOKYVRLLSWWTI-KRWDZBQOSA-N 0 0 441.536 -0.177 20 0 IBADRN Cc1cc(C)n(CC(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)c(=O)n1 ZINC000583951098 1071207705 /nfs/dbraw/zinc/20/77/05/1071207705.db2.gz MGOKYVRLLSWWTI-QGZVFWFLSA-N 0 0 441.536 -0.177 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)NC)CC3)ccc2O1 ZINC000583952041 1071208072 /nfs/dbraw/zinc/20/80/72/1071208072.db2.gz DMSGWLNSUILWEE-HNNXBMFYSA-N 0 0 433.508 -0.676 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)NC)CC3)ccc2O1 ZINC000583952042 1071207928 /nfs/dbraw/zinc/20/79/28/1071207928.db2.gz DMSGWLNSUILWEE-OAHLLOKOSA-N 0 0 433.508 -0.676 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000583953432 1071207833 /nfs/dbraw/zinc/20/78/33/1071207833.db2.gz UPNGZYIPGJHHSI-CYBMUJFWSA-N 0 0 449.526 -0.402 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000583953433 1071207866 /nfs/dbraw/zinc/20/78/66/1071207866.db2.gz UPNGZYIPGJHHSI-ZDUSSCGKSA-N 0 0 449.526 -0.402 20 0 IBADRN CC(CNC(=O)[C@]12CCC(=O)N1CCS2)CNC(=O)[C@]12CCC(=O)N1CCS2 ZINC000583955567 1071208627 /nfs/dbraw/zinc/20/86/27/1071208627.db2.gz WJIALRXHQOZXJL-QZTJIDSGSA-N 0 0 426.564 -0.014 20 0 IBADRN CC(CNC(=O)[C@@]12CCC(=O)N1CCS2)CNC(=O)[C@@]12CCC(=O)N1CCS2 ZINC000583955568 1071208706 /nfs/dbraw/zinc/20/87/06/1071208706.db2.gz WJIALRXHQOZXJL-ROUUACIJSA-N 0 0 426.564 -0.014 20 0 IBADRN C[C@@H](CNC(=O)[C@]12CCC(=O)N1CCS2)CNC(=O)[C@@]12CCC(=O)N1CCS2 ZINC000583955569 1071208678 /nfs/dbraw/zinc/20/86/78/1071208678.db2.gz WJIALRXHQOZXJL-ZECLBQNYSA-N 0 0 426.564 -0.014 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000583958233 1071208549 /nfs/dbraw/zinc/20/85/49/1071208549.db2.gz HABPOSBKZOJHKM-HZMVEIRTSA-N 0 0 431.555 -0.736 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000583958234 1071208575 /nfs/dbraw/zinc/20/85/75/1071208575.db2.gz HABPOSBKZOJHKM-VVLHAWIVSA-N 0 0 431.555 -0.736 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000583958235 1071208532 /nfs/dbraw/zinc/20/85/32/1071208532.db2.gz HABPOSBKZOJHKM-YLFCFFPRSA-N 0 0 431.555 -0.736 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000583958236 1071208600 /nfs/dbraw/zinc/20/86/00/1071208600.db2.gz HABPOSBKZOJHKM-YVSFHVDLSA-N 0 0 431.555 -0.736 20 0 IBADRN COc1ccc(C[C@@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000583958507 1071208667 /nfs/dbraw/zinc/20/86/67/1071208667.db2.gz QGMMOTVQGIUTGA-GOSISDBHSA-N 0 0 446.548 -0.007 20 0 IBADRN COc1ccc(C[C@H](C)CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000583958508 1071208475 /nfs/dbraw/zinc/20/84/75/1071208475.db2.gz QGMMOTVQGIUTGA-SFHVURJKSA-N 0 0 446.548 -0.007 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2)CCO1 ZINC000583958531 1071208615 /nfs/dbraw/zinc/20/86/15/1071208615.db2.gz RBRBRJWJLOAERP-KBXCAEBGSA-N 0 0 434.493 -0.380 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCN(c3cc(OC)cc(OC)c3)C2)CCO1 ZINC000583958532 1071208490 /nfs/dbraw/zinc/20/84/90/1071208490.db2.gz RBRBRJWJLOAERP-KDOFPFPSSA-N 0 0 434.493 -0.380 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2)CCO1 ZINC000583958533 1071208657 /nfs/dbraw/zinc/20/86/57/1071208657.db2.gz RBRBRJWJLOAERP-KSSFIOAISA-N 0 0 434.493 -0.380 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H]2CCN(c3cc(OC)cc(OC)c3)C2)CCO1 ZINC000583958534 1071208567 /nfs/dbraw/zinc/20/85/67/1071208567.db2.gz RBRBRJWJLOAERP-RDTXWAMCSA-N 0 0 434.493 -0.380 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(c4cnn(C)c4)CC3)C2)nc1 ZINC000583959969 1071209348 /nfs/dbraw/zinc/20/93/48/1071209348.db2.gz BIQQMRFXUNZQCZ-UHFFFAOYSA-N 0 0 441.492 -0.355 20 0 IBADRN CO[C@@H](C)c1nc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cs1 ZINC000583960309 1071209424 /nfs/dbraw/zinc/20/94/24/1071209424.db2.gz UMBIPCPSVBFWNZ-AWEZNQCLSA-N 0 0 439.538 -0.530 20 0 IBADRN CO[C@H](C)c1nc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cs1 ZINC000583960310 1071209190 /nfs/dbraw/zinc/20/91/90/1071209190.db2.gz UMBIPCPSVBFWNZ-CQSZACIVSA-N 0 0 439.538 -0.530 20 0 IBADRN O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000583961376 1071209290 /nfs/dbraw/zinc/20/92/90/1071209290.db2.gz XTBGPJMACJYXFV-MWDXBVQZSA-N 0 0 441.550 -0.287 20 0 IBADRN O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000583961377 1071209499 /nfs/dbraw/zinc/20/94/99/1071209499.db2.gz XTBGPJMACJYXFV-NXOAAHMSSA-N 0 0 441.550 -0.287 20 0 IBADRN O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000583961378 1071209490 /nfs/dbraw/zinc/20/94/90/1071209490.db2.gz XTBGPJMACJYXFV-TWMKSMIVSA-N 0 0 441.550 -0.287 20 0 IBADRN O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000583961379 1071209210 /nfs/dbraw/zinc/20/92/10/1071209210.db2.gz XTBGPJMACJYXFV-YYIAUSFCSA-N 0 0 441.550 -0.287 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000583961851 1071208644 /nfs/dbraw/zinc/20/86/44/1071208644.db2.gz UFPAHLQOQVVCPR-CYBMUJFWSA-N 0 0 429.543 -0.072 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCCC[C@H]1CCNS(C)(=O)=O ZINC000583961852 1071208523 /nfs/dbraw/zinc/20/85/23/1071208523.db2.gz UFPAHLQOQVVCPR-ZDUSSCGKSA-N 0 0 429.543 -0.072 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCC3(N4CCOCC4)CC3)no2)cn1 ZINC000583962639 1071208450 /nfs/dbraw/zinc/20/84/50/1071208450.db2.gz AQNOXDVRNUXRLV-HNNXBMFYSA-N 0 0 445.480 -0.649 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCC3(N4CCOCC4)CC3)no2)cn1 ZINC000583962640 1071208594 /nfs/dbraw/zinc/20/85/94/1071208594.db2.gz AQNOXDVRNUXRLV-OAHLLOKOSA-N 0 0 445.480 -0.649 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CN2CCCN(S(=O)(=O)N(C)C)CC2)c1C ZINC000583964024 1071209557 /nfs/dbraw/zinc/20/95/57/1071209557.db2.gz OBTJYAPUJKEBOX-UHFFFAOYSA-N 0 0 447.583 -0.297 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1OCC(=O)NC1CC1 ZINC000583965519 1071209376 /nfs/dbraw/zinc/20/93/76/1071209376.db2.gz KMKBROLKJZTSAI-UHFFFAOYSA-N 0 0 442.494 -0.701 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000583965722 1073340622 /nfs/dbraw/zinc/34/06/22/1073340622.db2.gz SPCHMORYBQVUHS-UHFFFAOYSA-N 0 0 429.433 0.496 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000583967886 1071209407 /nfs/dbraw/zinc/20/94/07/1071209407.db2.gz ZYRKRFKBWWOLBU-AWEZNQCLSA-N 0 0 427.531 -0.561 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000583967887 1071209241 /nfs/dbraw/zinc/20/92/41/1071209241.db2.gz ZYRKRFKBWWOLBU-CQSZACIVSA-N 0 0 427.531 -0.561 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(Cc3nnc4n3CCC4)cc2)C1 ZINC000583969726 1071209392 /nfs/dbraw/zinc/20/93/92/1071209392.db2.gz BTYUADWQDSQZCX-HNNXBMFYSA-N 0 0 432.506 -0.096 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(Cc3nnc4n3CCC4)cc2)C1 ZINC000583969727 1071209542 /nfs/dbraw/zinc/20/95/42/1071209542.db2.gz BTYUADWQDSQZCX-OAHLLOKOSA-N 0 0 432.506 -0.096 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cc2ccc(S(N)(=O)=O)s2)C1 ZINC000583969962 1071209228 /nfs/dbraw/zinc/20/92/28/1071209228.db2.gz HGCIQYDJRWTEKO-CYBMUJFWSA-N 0 0 439.581 -0.163 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cc2ccc(S(N)(=O)=O)s2)C1 ZINC000583969963 1071209443 /nfs/dbraw/zinc/20/94/43/1071209443.db2.gz HGCIQYDJRWTEKO-ZDUSSCGKSA-N 0 0 439.581 -0.163 20 0 IBADRN CC(C)(C)c1nc(CN2CCN(C(=O)c3nc(N)nc(N4CCOCC4)n3)CC2)no1 ZINC000583986007 1073356489 /nfs/dbraw/zinc/35/64/89/1073356489.db2.gz ILVDJDDOBDFBBV-UHFFFAOYSA-N 0 0 431.501 -0.071 20 0 IBADRN Cc1csc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC000584007496 1071211231 /nfs/dbraw/zinc/21/12/31/1071211231.db2.gz YSNXXSCTHAWIOS-KBPBESRZSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1csc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC000584007497 1071211274 /nfs/dbraw/zinc/21/12/74/1071211274.db2.gz YSNXXSCTHAWIOS-OKILXGFUSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1csc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC000584007498 1071211167 /nfs/dbraw/zinc/21/11/67/1071211167.db2.gz YSNXXSCTHAWIOS-ZIAGYGMSSA-N 0 0 445.567 -0.434 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000584008153 1071211768 /nfs/dbraw/zinc/21/17/68/1071211768.db2.gz FDXYLYXBWWJUQC-UHFFFAOYSA-N 0 0 433.509 -0.510 20 0 IBADRN COc1c(NC(=O)C(=O)NCCN2CCNC(=O)C2)cc(Cl)cc1C(=O)N(C)C ZINC000584009516 1071212042 /nfs/dbraw/zinc/21/20/42/1071212042.db2.gz XPXQIHXHZMJKIN-UHFFFAOYSA-N 0 0 425.873 -0.463 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000584017054 1071212800 /nfs/dbraw/zinc/21/28/00/1071212800.db2.gz BIEJJXPALIZIAQ-UHFFFAOYSA-N 0 0 425.511 -0.807 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC3CC3)CC1)CCC2 ZINC000584021075 1071212784 /nfs/dbraw/zinc/21/27/84/1071212784.db2.gz FLZVBULFCYMPAG-KRWDZBQOSA-N 0 0 432.525 -0.554 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC3CC3)CC1)CCC2 ZINC000584021076 1071212634 /nfs/dbraw/zinc/21/26/34/1071212634.db2.gz FLZVBULFCYMPAG-QGZVFWFLSA-N 0 0 432.525 -0.554 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CC(NS(=O)(=O)c2ccc(C)cc2)C1 ZINC000584022756 1071212702 /nfs/dbraw/zinc/21/27/02/1071212702.db2.gz GZZVARBHILHSNA-UHFFFAOYSA-N 0 0 425.554 -0.773 20 0 IBADRN O=C1CCCc2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc21 ZINC000584022839 1071212774 /nfs/dbraw/zinc/21/27/74/1071212774.db2.gz KPEBILMSTWAQSK-DLBZAZTESA-N 0 0 428.532 -0.018 20 0 IBADRN O=C1CCCc2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc21 ZINC000584022840 1071212570 /nfs/dbraw/zinc/21/25/70/1071212570.db2.gz KPEBILMSTWAQSK-IAGOWNOFSA-N 0 0 428.532 -0.018 20 0 IBADRN O=C1CCCc2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc21 ZINC000584022841 1071212689 /nfs/dbraw/zinc/21/26/89/1071212689.db2.gz KPEBILMSTWAQSK-IRXDYDNUSA-N 0 0 428.532 -0.018 20 0 IBADRN O=C1CCCc2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc21 ZINC000584022842 1071212730 /nfs/dbraw/zinc/21/27/30/1071212730.db2.gz KPEBILMSTWAQSK-SJORKVTESA-N 0 0 428.532 -0.018 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000584024577 1071213510 /nfs/dbraw/zinc/21/35/10/1071213510.db2.gz OJIBXMSINSCGAE-UHFFFAOYSA-N 0 0 430.458 -0.109 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000584024782 1071213306 /nfs/dbraw/zinc/21/33/06/1071213306.db2.gz YYGGJEYQTUNNKD-UHFFFAOYSA-N 0 0 441.535 -0.086 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4C)C2)nn1 ZINC000584032179 1071213551 /nfs/dbraw/zinc/21/35/51/1071213551.db2.gz KAACHJDWYBXNBQ-GFCCVEGCSA-N 0 0 428.405 -0.168 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc4c(c3)OCC(=O)N4C)C2)nn1 ZINC000584032180 1071213478 /nfs/dbraw/zinc/21/34/78/1071213478.db2.gz KAACHJDWYBXNBQ-LBPRGKRZSA-N 0 0 428.405 -0.168 20 0 IBADRN O=C([C@@H]1CCCCC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000584033262 1071213650 /nfs/dbraw/zinc/21/36/50/1071213650.db2.gz YQEXIMLCOGVOQJ-AWEZNQCLSA-N 0 0 436.490 -0.091 20 0 IBADRN O=C([C@H]1CCCCC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000584033263 1071213331 /nfs/dbraw/zinc/21/33/31/1071213331.db2.gz YQEXIMLCOGVOQJ-CQSZACIVSA-N 0 0 436.490 -0.091 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)C(=O)N2CCn3ncnc3C2)CC1 ZINC000584044503 1071213666 /nfs/dbraw/zinc/21/36/66/1071213666.db2.gz DBLBTPBPLZEZOU-UHFFFAOYSA-N 0 0 426.477 -0.021 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000584044745 1071213609 /nfs/dbraw/zinc/21/36/09/1071213609.db2.gz QBVKEUJCPZQRNC-INIZCTEOSA-N 0 0 444.536 -0.990 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000584044746 1071213441 /nfs/dbraw/zinc/21/34/41/1071213441.db2.gz QBVKEUJCPZQRNC-MRXNPFEDSA-N 0 0 444.536 -0.990 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCc2nccn2Cc2ccccc2)CC1 ZINC000584058369 1071213622 /nfs/dbraw/zinc/21/36/22/1071213622.db2.gz SVLWCNPEIYJPJH-UHFFFAOYSA-N 0 0 426.521 -0.130 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000584058699 1071213527 /nfs/dbraw/zinc/21/35/27/1071213527.db2.gz JPDDCMYWNDJFRG-HKUYNNGSSA-N 0 0 429.521 -0.131 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000584058700 1071213561 /nfs/dbraw/zinc/21/35/61/1071213561.db2.gz JPDDCMYWNDJFRG-IEBWSBKVSA-N 0 0 429.521 -0.131 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000584058701 1071213407 /nfs/dbraw/zinc/21/34/07/1071213407.db2.gz JPDDCMYWNDJFRG-MJGOQNOKSA-N 0 0 429.521 -0.131 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000584058702 1071213584 /nfs/dbraw/zinc/21/35/84/1071213584.db2.gz JPDDCMYWNDJFRG-PKOBYXMFSA-N 0 0 429.521 -0.131 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCc1ccc(S(N)(=O)=O)s1 ZINC000584059804 1071214226 /nfs/dbraw/zinc/21/42/26/1071214226.db2.gz MAWGVPVGYAUVAL-LLVKDONJSA-N 0 0 439.585 -0.144 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCc1ccc(S(N)(=O)=O)s1 ZINC000584059805 1071214367 /nfs/dbraw/zinc/21/43/67/1071214367.db2.gz MAWGVPVGYAUVAL-NSHDSACASA-N 0 0 439.585 -0.144 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC(S(=O)(=O)NC)CC2)c(=O)[nH]c1=O ZINC000584066970 1071214170 /nfs/dbraw/zinc/21/41/70/1071214170.db2.gz VGAWGEPECJJJJV-UHFFFAOYSA-N 0 0 444.558 -0.302 20 0 IBADRN O=C(NCCc1cn2ccccc2n1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000584067133 1071214433 /nfs/dbraw/zinc/21/44/33/1071214433.db2.gz CYTRXYKTEIQZBG-KRWDZBQOSA-N 0 0 449.533 -0.354 20 0 IBADRN O=C(NCCc1cn2ccccc2n1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000584067134 1071214091 /nfs/dbraw/zinc/21/40/91/1071214091.db2.gz CYTRXYKTEIQZBG-QGZVFWFLSA-N 0 0 449.533 -0.354 20 0 IBADRN O=S(=O)(CC1CCS(=O)(=O)CC1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000584071312 1071215128 /nfs/dbraw/zinc/21/51/28/1071215128.db2.gz YMZNSILRAYWUBC-HNNXBMFYSA-N 0 0 444.597 -0.733 20 0 IBADRN O=S(=O)(CC1CCS(=O)(=O)CC1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000584071313 1071215039 /nfs/dbraw/zinc/21/50/39/1071215039.db2.gz YMZNSILRAYWUBC-OAHLLOKOSA-N 0 0 444.597 -0.733 20 0 IBADRN CCC(CC)c1cc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)on1 ZINC000584073045 1071214140 /nfs/dbraw/zinc/21/41/40/1071214140.db2.gz MKLBVTZWNVBUBM-UHFFFAOYSA-N 0 0 449.508 -0.276 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000584073730 1071214443 /nfs/dbraw/zinc/21/44/43/1071214443.db2.gz MEYVTBNOWSOVQI-CYBMUJFWSA-N 0 0 435.572 -0.393 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000584073731 1071214302 /nfs/dbraw/zinc/21/43/02/1071214302.db2.gz MEYVTBNOWSOVQI-ZDUSSCGKSA-N 0 0 435.572 -0.393 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000584074840 1071215209 /nfs/dbraw/zinc/21/52/09/1071215209.db2.gz HJHYHRRKBUNCPZ-KRWDZBQOSA-N 0 0 445.520 -0.215 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000584074841 1071215068 /nfs/dbraw/zinc/21/50/68/1071215068.db2.gz HJHYHRRKBUNCPZ-QGZVFWFLSA-N 0 0 445.520 -0.215 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(-n2cccn2)nc1 ZINC000584078076 1071215220 /nfs/dbraw/zinc/21/52/20/1071215220.db2.gz QBSVYODELYLCLJ-HNNXBMFYSA-N 0 0 435.510 -0.017 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(-n2cccn2)nc1 ZINC000584078077 1071215235 /nfs/dbraw/zinc/21/52/35/1071215235.db2.gz QBSVYODELYLCLJ-OAHLLOKOSA-N 0 0 435.510 -0.017 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1ccc(-n2ccnn2)cc1 ZINC000584080130 1071214945 /nfs/dbraw/zinc/21/49/45/1071214945.db2.gz OHPRXXUWCWRIOP-HNNXBMFYSA-N 0 0 425.493 -0.133 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1ccc(-n2ccnn2)cc1 ZINC000584080131 1071215113 /nfs/dbraw/zinc/21/51/13/1071215113.db2.gz OHPRXXUWCWRIOP-OAHLLOKOSA-N 0 0 425.493 -0.133 20 0 IBADRN CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000584080218 1071215018 /nfs/dbraw/zinc/21/50/18/1071215018.db2.gz SBOPJUNWWDAAIE-CQSZACIVSA-N 0 0 431.536 -0.411 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccccc2Cn2cncn2)CC1 ZINC000584084584 1071215164 /nfs/dbraw/zinc/21/51/64/1071215164.db2.gz VDPCZOZZNDTHRJ-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000584101794 1071215776 /nfs/dbraw/zinc/21/57/76/1071215776.db2.gz WATUZLXORMNWAV-AWEZNQCLSA-N 0 0 436.513 -0.346 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000584101795 1071216056 /nfs/dbraw/zinc/21/60/56/1071216056.db2.gz WATUZLXORMNWAV-CQSZACIVSA-N 0 0 436.513 -0.346 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000584103795 1071215803 /nfs/dbraw/zinc/21/58/03/1071215803.db2.gz OKWPYJGYJHEAMU-IBGZPJMESA-N 0 0 431.537 -0.497 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000584103796 1071216066 /nfs/dbraw/zinc/21/60/66/1071216066.db2.gz OKWPYJGYJHEAMU-LJQANCHMSA-N 0 0 431.537 -0.497 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC1)CC2 ZINC000584104315 1070921904 /nfs/dbraw/zinc/92/19/04/1070921904.db2.gz HVHQSJZIMCHVJC-BPQIPLTHSA-N 0 0 445.568 -0.143 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC1)CC2 ZINC000584104316 1071216016 /nfs/dbraw/zinc/21/60/16/1071216016.db2.gz HVHQSJZIMCHVJC-CGTJXYLNSA-N 0 0 445.568 -0.143 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC1)CC2 ZINC000584104317 1071215952 /nfs/dbraw/zinc/21/59/52/1071215952.db2.gz HVHQSJZIMCHVJC-KBAYOESNSA-N 0 0 445.568 -0.143 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC1)CC2 ZINC000584104318 1071215788 /nfs/dbraw/zinc/21/57/88/1071215788.db2.gz HVHQSJZIMCHVJC-RYQLBKOJSA-N 0 0 445.568 -0.143 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)C2=O)n(C)n1 ZINC000584105071 1071216028 /nfs/dbraw/zinc/21/60/28/1071216028.db2.gz BPXSCDZXQUSXKE-CABCVRRESA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)C2=O)n(C)n1 ZINC000584105072 1071216004 /nfs/dbraw/zinc/21/60/04/1071216004.db2.gz BPXSCDZXQUSXKE-GJZGRUSLSA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)C2=O)n(C)n1 ZINC000584105073 1071215745 /nfs/dbraw/zinc/21/57/45/1071215745.db2.gz BPXSCDZXQUSXKE-HUUCEWRRSA-N 0 0 428.497 -0.531 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)C2=O)n(C)n1 ZINC000584105074 1071215945 /nfs/dbraw/zinc/21/59/45/1071215945.db2.gz BPXSCDZXQUSXKE-LSDHHAIUSA-N 0 0 428.497 -0.531 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NC[C@@H]1CS(=O)(=O)CCO1 ZINC000584105636 1071216010 /nfs/dbraw/zinc/21/60/10/1071216010.db2.gz IWRYBCUWTGRBRI-LLVKDONJSA-N 0 0 441.325 -0.343 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NC[C@H]1CS(=O)(=O)CCO1 ZINC000584105637 1071215759 /nfs/dbraw/zinc/21/57/59/1071215759.db2.gz IWRYBCUWTGRBRI-NSHDSACASA-N 0 0 441.325 -0.343 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1)NC1CC1 ZINC000584127344 1071215978 /nfs/dbraw/zinc/21/59/78/1071215978.db2.gz XHRBMBWVGFIZAV-FQEVSTJZSA-N 0 0 443.548 -0.574 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1)NC1CC1 ZINC000584127345 1071215884 /nfs/dbraw/zinc/21/58/84/1071215884.db2.gz XHRBMBWVGFIZAV-HXUWFJFHSA-N 0 0 443.548 -0.574 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1cnn(Cc2ccccc2)c1 ZINC000584127471 1071215961 /nfs/dbraw/zinc/21/59/61/1071215961.db2.gz BWDZIBWXYKQXKM-UHFFFAOYSA-N 0 0 446.489 -0.229 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1ccccc1OCC(=O)N(C)C ZINC000584127923 1071215926 /nfs/dbraw/zinc/21/59/26/1071215926.db2.gz VPDSPLZVTINXQS-DZGCQCFKSA-N 0 0 440.522 -0.390 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1ccccc1OCC(=O)N(C)C ZINC000584127924 1071216655 /nfs/dbraw/zinc/21/66/55/1071216655.db2.gz VPDSPLZVTINXQS-HIFRSBDPSA-N 0 0 440.522 -0.390 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1ccccc1OCC(=O)N(C)C ZINC000584127925 1071216862 /nfs/dbraw/zinc/21/68/62/1071216862.db2.gz VPDSPLZVTINXQS-UKRRQHHQSA-N 0 0 440.522 -0.390 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1ccccc1OCC(=O)N(C)C ZINC000584127926 1071216755 /nfs/dbraw/zinc/21/67/55/1071216755.db2.gz VPDSPLZVTINXQS-ZFWWWQNUSA-N 0 0 440.522 -0.390 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)Nc1ccn(CCCOC)n1)C2 ZINC000584128410 1073318109 /nfs/dbraw/zinc/31/81/09/1073318109.db2.gz UNXWZWNVZBSOQB-UHFFFAOYSA-N 0 0 435.506 0.351 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000584132392 1071216037 /nfs/dbraw/zinc/21/60/37/1071216037.db2.gz UZQTWZASWXZLOP-OAHLLOKOSA-N 0 0 425.511 -0.238 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000584134996 1071216823 /nfs/dbraw/zinc/21/68/23/1071216823.db2.gz MSKLVCJVXRNJMW-INIZCTEOSA-N 0 0 432.591 -0.501 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000584134997 1071216868 /nfs/dbraw/zinc/21/68/68/1071216868.db2.gz MSKLVCJVXRNJMW-MRXNPFEDSA-N 0 0 432.591 -0.501 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@@H]3CCC(=O)N[C@H]3c3cnn(C)c3)CC2)n1 ZINC000584141428 1071216800 /nfs/dbraw/zinc/21/68/00/1071216800.db2.gz XYBVBYVQYBMUQS-AEFFLSMTSA-N 0 0 440.508 -0.388 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000584143956 1071216738 /nfs/dbraw/zinc/21/67/38/1071216738.db2.gz YQYFMUXUZNZWNH-UHFFFAOYSA-N 0 0 446.482 -0.234 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)CC2 ZINC000584164126 1071216600 /nfs/dbraw/zinc/21/66/00/1071216600.db2.gz GHEOHYSMHTZGQV-CYBMUJFWSA-N 0 0 440.913 -0.234 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)CC2 ZINC000584164127 1071216718 /nfs/dbraw/zinc/21/67/18/1071216718.db2.gz GHEOHYSMHTZGQV-ZDUSSCGKSA-N 0 0 440.913 -0.234 20 0 IBADRN COC(=O)c1ccc(CN(C)c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000584164174 1071216701 /nfs/dbraw/zinc/21/67/01/1071216701.db2.gz IGCZHBBDWXKDEB-NVQRDWNXSA-N 0 0 429.433 -0.139 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000584185842 1071217454 /nfs/dbraw/zinc/21/74/54/1071217454.db2.gz FZRQLEPNBRSMLR-HNNXBMFYSA-N 0 0 439.494 -0.244 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000584185843 1071217554 /nfs/dbraw/zinc/21/75/54/1071217554.db2.gz FZRQLEPNBRSMLR-OAHLLOKOSA-N 0 0 439.494 -0.244 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCc1nnc2ccc(C(F)(F)F)cn21 ZINC000584186151 1071217577 /nfs/dbraw/zinc/21/75/77/1071217577.db2.gz SKMXCJQRNLVRPS-LLVKDONJSA-N 0 0 448.427 -0.096 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(c3nc(N)ns3)CC2)c(S(N)(=O)=O)c1 ZINC000584189330 1073355309 /nfs/dbraw/zinc/35/53/09/1073355309.db2.gz WDPBZFHLZWNGMI-UHFFFAOYSA-N 0 0 447.568 -0.655 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCN(S(=O)(=O)c3ccccc3)C1)c(=O)n2C ZINC000584190064 1071218244 /nfs/dbraw/zinc/21/82/44/1071218244.db2.gz IEWLBJWTRBZPMJ-ZDUSSCGKSA-N 0 0 446.489 -0.987 20 0 IBADRN CN(Cc1nccc(N)n1)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)C1 ZINC000584193130 1073358610 /nfs/dbraw/zinc/35/86/10/1073358610.db2.gz BCAUALLEHMSHQY-CVEARBPZSA-N 0 0 441.540 -0.390 20 0 IBADRN CN(Cc1nccc(N)n1)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)C1 ZINC000584193131 1073358630 /nfs/dbraw/zinc/35/86/30/1073358630.db2.gz BCAUALLEHMSHQY-HOTGVXAUSA-N 0 0 441.540 -0.390 20 0 IBADRN CN(Cc1nccc(N)n1)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)C1 ZINC000584193132 1073358128 /nfs/dbraw/zinc/35/81/28/1073358128.db2.gz BCAUALLEHMSHQY-HZPDHXFCSA-N 0 0 441.540 -0.390 20 0 IBADRN CN(Cc1nccc(N)n1)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2)C1 ZINC000584193133 1073358057 /nfs/dbraw/zinc/35/80/57/1073358057.db2.gz BCAUALLEHMSHQY-JKSUJKDBSA-N 0 0 441.540 -0.390 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3)CC2)ncn1 ZINC000584193379 1071217406 /nfs/dbraw/zinc/21/74/06/1071217406.db2.gz MAFVFTFRCNRLPM-HNNXBMFYSA-N 0 0 428.497 -0.348 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3)CC2)ncn1 ZINC000584193380 1071217636 /nfs/dbraw/zinc/21/76/36/1071217636.db2.gz MAFVFTFRCNRLPM-OAHLLOKOSA-N 0 0 428.497 -0.348 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000584194462 1071217445 /nfs/dbraw/zinc/21/74/45/1071217445.db2.gz KEYQJDJOYKEHSP-HZSPNIEDSA-N 0 0 435.547 -0.597 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000584194463 1071217513 /nfs/dbraw/zinc/21/75/13/1071217513.db2.gz KEYQJDJOYKEHSP-IHRRRGAJSA-N 0 0 435.547 -0.597 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000584194464 1071217318 /nfs/dbraw/zinc/21/73/18/1071217318.db2.gz KEYQJDJOYKEHSP-MELADBBJSA-N 0 0 435.547 -0.597 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000584194465 1071217524 /nfs/dbraw/zinc/21/75/24/1071217524.db2.gz KEYQJDJOYKEHSP-RDBSUJKOSA-N 0 0 435.547 -0.597 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000584195425 1071217620 /nfs/dbraw/zinc/21/76/20/1071217620.db2.gz APZCSMMNFJXEQL-INIZCTEOSA-N 0 0 433.484 -0.549 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000584195426 1071217995 /nfs/dbraw/zinc/21/79/95/1071217995.db2.gz APZCSMMNFJXEQL-MRXNPFEDSA-N 0 0 433.484 -0.549 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2)CC1 ZINC000584196765 1071218338 /nfs/dbraw/zinc/21/83/38/1071218338.db2.gz HMCMDJVGUJFOHM-CYBMUJFWSA-N 0 0 448.434 -0.983 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2)CC1 ZINC000584196766 1071218200 /nfs/dbraw/zinc/21/82/00/1071218200.db2.gz HMCMDJVGUJFOHM-ZDUSSCGKSA-N 0 0 448.434 -0.983 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCC[C@@H]3C(=O)NC)CC2)n1 ZINC000584197245 1071217347 /nfs/dbraw/zinc/21/73/47/1071217347.db2.gz BYPWKDDJUBVJJF-ILXRZTDVSA-N 0 0 436.513 -0.158 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCC[C@H]3C(=O)NC)CC2)n1 ZINC000584197246 1071217630 /nfs/dbraw/zinc/21/76/30/1071217630.db2.gz BYPWKDDJUBVJJF-KFWWJZLASA-N 0 0 436.513 -0.158 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCC[C@@H]3C(=O)NC)CC2)n1 ZINC000584197247 1071217607 /nfs/dbraw/zinc/21/76/07/1071217607.db2.gz BYPWKDDJUBVJJF-QLFBSQMISA-N 0 0 436.513 -0.158 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCC[C@H]3C(=O)NC)CC2)n1 ZINC000584197248 1071217615 /nfs/dbraw/zinc/21/76/15/1071217615.db2.gz BYPWKDDJUBVJJF-RBSFLKMASA-N 0 0 436.513 -0.158 20 0 IBADRN COC[C@](C)(NCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000584198951 1071218037 /nfs/dbraw/zinc/21/80/37/1071218037.db2.gz KGEHHJLLWSBXJM-IBGZPJMESA-N 0 0 443.522 -0.509 20 0 IBADRN COC[C@@](C)(NCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000584198952 1071218303 /nfs/dbraw/zinc/21/83/03/1071218303.db2.gz KGEHHJLLWSBXJM-LJQANCHMSA-N 0 0 443.522 -0.509 20 0 IBADRN CCc1nnc([C@@H]2CN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4CC)C3=O)CCO2)[nH]1 ZINC000584200254 1071218221 /nfs/dbraw/zinc/21/82/21/1071218221.db2.gz SLNPPPXOUVIXFH-KBPBESRZSA-N 0 0 444.496 -0.205 20 0 IBADRN CCc1nnc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4CC)C3=O)CCO2)[nH]1 ZINC000584200255 1071218151 /nfs/dbraw/zinc/21/81/51/1071218151.db2.gz SLNPPPXOUVIXFH-KGLIPLIRSA-N 0 0 444.496 -0.205 20 0 IBADRN CCc1nnc([C@H]2CN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4CC)C3=O)CCO2)[nH]1 ZINC000584200256 1071218259 /nfs/dbraw/zinc/21/82/59/1071218259.db2.gz SLNPPPXOUVIXFH-UONOGXRCSA-N 0 0 444.496 -0.205 20 0 IBADRN CCc1nnc([C@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4CC)C3=O)CCO2)[nH]1 ZINC000584200257 1071218121 /nfs/dbraw/zinc/21/81/21/1071218121.db2.gz SLNPPPXOUVIXFH-ZIAGYGMSSA-N 0 0 444.496 -0.205 20 0 IBADRN O=C(NCc1cc[nH]n1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000584220343 1071218179 /nfs/dbraw/zinc/21/81/79/1071218179.db2.gz JARUPRNJYAQHTQ-UHFFFAOYSA-N 0 0 435.491 -0.436 20 0 IBADRN CO[C@H]1C[C@H](C(=O)NCCCCN2C(=O)NC3(CCCC3)C2=O)N(S(C)(=O)=O)C1 ZINC000584225624 1071218285 /nfs/dbraw/zinc/21/82/85/1071218285.db2.gz SPOOAWFXBCYFKM-UONOGXRCSA-N 0 0 430.527 -0.204 20 0 IBADRN CSCC[C@@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1nnc2ccccn21 ZINC000584238621 1071218104 /nfs/dbraw/zinc/21/81/04/1071218104.db2.gz MYZSWMKWMWFRAV-CYBMUJFWSA-N 0 0 440.551 -0.257 20 0 IBADRN CSCC[C@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1nnc2ccccn21 ZINC000584238622 1071218370 /nfs/dbraw/zinc/21/83/70/1071218370.db2.gz MYZSWMKWMWFRAV-ZDUSSCGKSA-N 0 0 440.551 -0.257 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000584245877 1071219017 /nfs/dbraw/zinc/21/90/17/1071219017.db2.gz VDTDDSHFCXEMOA-AWEZNQCLSA-N 0 0 433.509 -0.126 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000584245878 1071218954 /nfs/dbraw/zinc/21/89/54/1071218954.db2.gz VDTDDSHFCXEMOA-CQSZACIVSA-N 0 0 433.509 -0.126 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000584246451 1071219058 /nfs/dbraw/zinc/21/90/58/1071219058.db2.gz QPOMXETXORHLOQ-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN COc1ccc2c(c1)CCC[C@H]2CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000584251720 1071219051 /nfs/dbraw/zinc/21/90/51/1071219051.db2.gz DRSNUGIFDJORKS-INIZCTEOSA-N 0 0 441.492 -0.411 20 0 IBADRN COc1ccc2c(c1)CCC[C@@H]2CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000584251721 1071219029 /nfs/dbraw/zinc/21/90/29/1071219029.db2.gz DRSNUGIFDJORKS-MRXNPFEDSA-N 0 0 441.492 -0.411 20 0 IBADRN Cc1cc(CS(=O)(=O)NCCN2CCN(S(=O)(=O)Cc3cc(C)no3)CC2)on1 ZINC000584254577 1071218886 /nfs/dbraw/zinc/21/88/86/1071218886.db2.gz WJJJDFSKJGRDPR-UHFFFAOYSA-N 0 0 447.539 -0.154 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(OC(F)F)nc1)C2 ZINC000584304573 1071219791 /nfs/dbraw/zinc/21/97/91/1071219791.db2.gz ZVMFAFAXTGPANY-UHFFFAOYSA-N 0 0 439.379 -0.890 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000584304630 1071219570 /nfs/dbraw/zinc/21/95/70/1071219570.db2.gz BTZUTCUGKHZWPF-KRWDZBQOSA-N 0 0 442.513 -0.581 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CC1 ZINC000584304631 1071219704 /nfs/dbraw/zinc/21/97/04/1071219704.db2.gz BTZUTCUGKHZWPF-QGZVFWFLSA-N 0 0 442.513 -0.581 20 0 IBADRN CCOCCCNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000584304728 1071219623 /nfs/dbraw/zinc/21/96/23/1071219623.db2.gz HDQNGUJROARWFS-UHFFFAOYSA-N 0 0 427.527 -0.808 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000584305327 1071219717 /nfs/dbraw/zinc/21/97/17/1071219717.db2.gz LUIKNGBVJOVFLT-GASCZTMLSA-N 0 0 448.524 -0.206 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000584305328 1071219511 /nfs/dbraw/zinc/21/95/11/1071219511.db2.gz LUIKNGBVJOVFLT-GJZGRUSLSA-N 0 0 448.524 -0.206 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000584305329 1071219884 /nfs/dbraw/zinc/21/98/84/1071219884.db2.gz LUIKNGBVJOVFLT-HUUCEWRRSA-N 0 0 448.524 -0.206 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)CN2CCCC2=O)CC1 ZINC000584307469 1071219529 /nfs/dbraw/zinc/21/95/29/1071219529.db2.gz LPYSWRPIVMQRRU-HNNXBMFYSA-N 0 0 432.543 -0.988 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)CN2CCCC2=O)CC1 ZINC000584307470 1071219695 /nfs/dbraw/zinc/21/96/95/1071219695.db2.gz LPYSWRPIVMQRRU-OAHLLOKOSA-N 0 0 432.543 -0.988 20 0 IBADRN Cn1cnn(CC(=O)NCCCNS(=O)(=O)c2ccccc2Br)c1=O ZINC000584308643 1071220620 /nfs/dbraw/zinc/22/06/20/1071220620.db2.gz RUVTZQPYYBEGEL-UHFFFAOYSA-N 0 0 432.300 -0.171 20 0 IBADRN CCOc1cccc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000584309548 1071220386 /nfs/dbraw/zinc/22/03/86/1071220386.db2.gz LQCBXDMEVGAZAR-UHFFFAOYSA-N 0 0 446.504 -0.726 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000584309785 1071220658 /nfs/dbraw/zinc/22/06/58/1071220658.db2.gz XUEJAJMDIOXFAO-CYBMUJFWSA-N 0 0 447.389 -0.047 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000584309786 1071220592 /nfs/dbraw/zinc/22/05/92/1071220592.db2.gz XUEJAJMDIOXFAO-ZDUSSCGKSA-N 0 0 447.389 -0.047 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)NC(=O)NC1=O ZINC000584310470 1073316315 /nfs/dbraw/zinc/31/63/15/1073316315.db2.gz IIFNRWGCRXJNOV-JLTOFOAXSA-N 0 0 425.449 0.244 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)NC(=O)NC1=O ZINC000584310471 1073316205 /nfs/dbraw/zinc/31/62/05/1073316205.db2.gz IIFNRWGCRXJNOV-VBKZILBWSA-N 0 0 425.449 0.244 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)NC(=O)NC1=O ZINC000584310472 1073308701 /nfs/dbraw/zinc/30/87/01/1073308701.db2.gz IIFNRWGCRXJNOV-VLIAUNLRSA-N 0 0 425.449 0.244 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)NC(=O)NC1=O ZINC000584310473 1073308188 /nfs/dbraw/zinc/30/81/88/1073308188.db2.gz IIFNRWGCRXJNOV-XOBRGWDASA-N 0 0 425.449 0.244 20 0 IBADRN Cn1c(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)nc2cc(Cl)ccc21 ZINC000584315324 1071220537 /nfs/dbraw/zinc/22/05/37/1071220537.db2.gz SNAGNXOXBBCWER-UHFFFAOYSA-N 0 0 446.895 -0.208 20 0 IBADRN CCOCC(C)(C)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000584315926 1071223713 /nfs/dbraw/zinc/22/37/13/1071223713.db2.gz QNOZDRXLBPACCL-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3C)CC2)cc1 ZINC000584316811 1071220427 /nfs/dbraw/zinc/22/04/27/1071220427.db2.gz JDZQFHUBNHJTIB-DOTOQJQBSA-N 0 0 429.564 -0.149 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000584320061 1071220681 /nfs/dbraw/zinc/22/06/81/1071220681.db2.gz ABJXLZMQWONYFT-UHFFFAOYSA-N 0 0 434.522 -0.380 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)nc1 ZINC000584321001 1071220406 /nfs/dbraw/zinc/22/04/06/1071220406.db2.gz XONCSMSORPVMJK-UHFFFAOYSA-N 0 0 434.544 -0.322 20 0 IBADRN CC(=O)N1CCc2c1cccc2NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000584322295 1071220371 /nfs/dbraw/zinc/22/03/71/1071220371.db2.gz KKFWVNPWLCVEOD-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN O=C(CCc1ccccc1)N1CC[C@H](NC(=O)C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000584342303 1071221127 /nfs/dbraw/zinc/22/11/27/1071221127.db2.gz FOAYXPZAGWAAGE-KRWDZBQOSA-N 0 0 438.488 -0.328 20 0 IBADRN O=C(CCc1ccccc1)N1CC[C@@H](NC(=O)C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000584342304 1071221364 /nfs/dbraw/zinc/22/13/64/1071221364.db2.gz FOAYXPZAGWAAGE-QGZVFWFLSA-N 0 0 438.488 -0.328 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC[C@@H]3C[C@@]3(C)NC(=O)NC3=O)nc2)CC1 ZINC000584342560 1071221298 /nfs/dbraw/zinc/22/12/98/1071221298.db2.gz SCWDHXBCLNJNFD-AUUYWEPGSA-N 0 0 436.538 -0.025 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC[C@H]3C[C@@]3(C)NC(=O)NC3=O)nc2)CC1 ZINC000584342561 1071221172 /nfs/dbraw/zinc/22/11/72/1071221172.db2.gz SCWDHXBCLNJNFD-IFXJQAMLSA-N 0 0 436.538 -0.025 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC[C@@H]3C[C@]3(C)NC(=O)NC3=O)nc2)CC1 ZINC000584342562 1071221356 /nfs/dbraw/zinc/22/13/56/1071221356.db2.gz SCWDHXBCLNJNFD-KUHUBIRLSA-N 0 0 436.538 -0.025 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(N3CCC[C@H]3C[C@]3(C)NC(=O)NC3=O)nc2)CC1 ZINC000584342563 1071221158 /nfs/dbraw/zinc/22/11/58/1071221158.db2.gz SCWDHXBCLNJNFD-LIRRHRJNSA-N 0 0 436.538 -0.025 20 0 IBADRN CCN(CC(=O)N1CCCCCC1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000584342760 1071220341 /nfs/dbraw/zinc/22/03/41/1071220341.db2.gz BBDNCBFNNKEZBN-AEVYOOLXSA-N 0 0 434.497 -0.333 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000584355896 1071221309 /nfs/dbraw/zinc/22/13/09/1071221309.db2.gz QBUPXHKNDSNRLN-UHFFFAOYSA-N 0 0 428.497 -0.635 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NC[C@H]3CCCC[C@H]3NS(C)(=O)=O)nc2c(=O)[nH]c1=O ZINC000584358394 1071221378 /nfs/dbraw/zinc/22/13/78/1071221378.db2.gz HNTYRXFZNLNELV-GHMZBOCLSA-N 0 0 426.499 -0.481 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NC[C@H]3CCCC[C@@H]3NS(C)(=O)=O)nc2c(=O)[nH]c1=O ZINC000584358395 1071221143 /nfs/dbraw/zinc/22/11/43/1071221143.db2.gz HNTYRXFZNLNELV-MNOVXSKESA-N 0 0 426.499 -0.481 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NC[C@@H]3CCCC[C@@H]3NS(C)(=O)=O)nc2c(=O)[nH]c1=O ZINC000584358396 1071221234 /nfs/dbraw/zinc/22/12/34/1071221234.db2.gz HNTYRXFZNLNELV-QWRGUYRKSA-N 0 0 426.499 -0.481 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NC[C@@H]3CCCC[C@H]3NS(C)(=O)=O)nc2c(=O)[nH]c1=O ZINC000584358397 1071221201 /nfs/dbraw/zinc/22/12/01/1071221201.db2.gz HNTYRXFZNLNELV-WDEREUQCSA-N 0 0 426.499 -0.481 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000584365234 1071222209 /nfs/dbraw/zinc/22/22/09/1071222209.db2.gz LZFQNKKUDXBHNI-UHFFFAOYSA-N 0 0 426.477 -0.091 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@]2(CCOC2)O1 ZINC000584365434 1071221285 /nfs/dbraw/zinc/22/12/85/1071221285.db2.gz UNOTXFKMKOFDIK-NRFANRHFSA-N 0 0 432.525 -0.489 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)C[C@@]2(CCOC2)O1 ZINC000584365435 1071221987 /nfs/dbraw/zinc/22/19/87/1071221987.db2.gz UNOTXFKMKOFDIK-OAQYLSRUSA-N 0 0 432.525 -0.489 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)C1 ZINC000584371297 1071222227 /nfs/dbraw/zinc/22/22/27/1071222227.db2.gz ZYYBIVIQJLFFIP-CVEARBPZSA-N 0 0 432.525 -0.049 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)C1 ZINC000584371298 1071222317 /nfs/dbraw/zinc/22/23/17/1071222317.db2.gz ZYYBIVIQJLFFIP-HOTGVXAUSA-N 0 0 432.525 -0.049 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)C1 ZINC000584371299 1071222192 /nfs/dbraw/zinc/22/21/92/1071222192.db2.gz ZYYBIVIQJLFFIP-HZPDHXFCSA-N 0 0 432.525 -0.049 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NC2CCN(c3cccnn3)CC2)C1 ZINC000584371300 1071222244 /nfs/dbraw/zinc/22/22/44/1071222244.db2.gz ZYYBIVIQJLFFIP-JKSUJKDBSA-N 0 0 432.525 -0.049 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000584372682 1071222362 /nfs/dbraw/zinc/22/23/62/1071222362.db2.gz GQPAZTBFKUJAPP-CABCVRRESA-N 0 0 429.481 -0.132 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000584372683 1071222380 /nfs/dbraw/zinc/22/23/80/1071222380.db2.gz GQPAZTBFKUJAPP-GJZGRUSLSA-N 0 0 429.481 -0.132 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)cc1 ZINC000584373273 1071221902 /nfs/dbraw/zinc/22/19/02/1071221902.db2.gz HJKHDGBTJUVPES-UHFFFAOYSA-N 0 0 449.532 -0.271 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c1 ZINC000584373431 1071222373 /nfs/dbraw/zinc/22/23/73/1071222373.db2.gz OMDONFQICJAYIU-GFCCVEGCSA-N 0 0 437.565 -0.184 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c1 ZINC000584373432 1071222010 /nfs/dbraw/zinc/22/20/10/1071222010.db2.gz OMDONFQICJAYIU-LBPRGKRZSA-N 0 0 437.565 -0.184 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000584374629 1073356060 /nfs/dbraw/zinc/35/60/60/1073356060.db2.gz NEUDBDANSMEOHY-UHFFFAOYSA-N 0 0 441.536 0.135 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000584374933 1071221938 /nfs/dbraw/zinc/22/19/38/1071221938.db2.gz YDRURXDMZCCIAQ-AWEZNQCLSA-N 0 0 431.536 -0.541 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000584374934 1071222298 /nfs/dbraw/zinc/22/22/98/1071222298.db2.gz YDRURXDMZCCIAQ-CQSZACIVSA-N 0 0 431.536 -0.541 20 0 IBADRN Cc1cc(NC(=O)C(=O)N=c2ccn(CC(=O)N3CCOCC3)[nH]2)nn1-c1ccccc1 ZINC000584381524 1071223073 /nfs/dbraw/zinc/22/30/73/1071223073.db2.gz CNYNHZYBYKAVMD-UHFFFAOYSA-N 0 0 437.460 -0.343 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000584381845 1073340638 /nfs/dbraw/zinc/34/06/38/1073340638.db2.gz PUTQSMOCTPXXCI-UHFFFAOYSA-N 0 0 429.433 0.496 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c2ccccc2O1 ZINC000584388536 1071223100 /nfs/dbraw/zinc/22/31/00/1071223100.db2.gz USNKDCGAQOVPCT-INIZCTEOSA-N 0 0 439.428 -0.077 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c2ccccc2O1 ZINC000584388537 1071222843 /nfs/dbraw/zinc/22/28/43/1071222843.db2.gz USNKDCGAQOVPCT-MRXNPFEDSA-N 0 0 439.428 -0.077 20 0 IBADRN Cc1c(CN(C)C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cnn1C ZINC000584390936 1071222893 /nfs/dbraw/zinc/22/28/93/1071222893.db2.gz WIKQZLDTLZSMHD-UHFFFAOYSA-N 0 0 448.505 -0.554 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCO[C@H](Cc3ccccc3)C2)[C@H](O)[C@@H]1O ZINC000584391237 1071222962 /nfs/dbraw/zinc/22/29/62/1071222962.db2.gz DFSDLTVRFOQSOE-SQDDPSDBSA-N 0 0 427.461 -0.114 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCO[C@@H](Cc3ccccc3)C2)[C@H](O)[C@@H]1O ZINC000584391238 1071223092 /nfs/dbraw/zinc/22/30/92/1071223092.db2.gz DFSDLTVRFOQSOE-UQEZQTQMSA-N 0 0 427.461 -0.114 20 0 IBADRN NS(=O)(=O)c1sc(Cl)cc1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000584398830 1071223058 /nfs/dbraw/zinc/22/30/58/1071223058.db2.gz VYPNNGFYOGYCCX-UHFFFAOYSA-N 0 0 436.943 -0.335 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)NCCNS(=O)(=O)N(C)C)CC2=O)cc1OC ZINC000584402638 1071223020 /nfs/dbraw/zinc/22/30/20/1071223020.db2.gz IQOMELZUMQUNOT-HNNXBMFYSA-N 0 0 442.538 -0.393 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)NCCNS(=O)(=O)N(C)C)CC2=O)cc1OC ZINC000584402639 1071222740 /nfs/dbraw/zinc/22/27/40/1071222740.db2.gz IQOMELZUMQUNOT-OAHLLOKOSA-N 0 0 442.538 -0.393 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000584416522 1071223671 /nfs/dbraw/zinc/22/36/71/1071223671.db2.gz IFLBWFYJFOOPGF-UHFFFAOYSA-N 0 0 439.557 -0.952 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000584416854 1071223944 /nfs/dbraw/zinc/22/39/44/1071223944.db2.gz XRCSOAROYDWEIE-UHFFFAOYSA-N 0 0 441.535 -0.293 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000584416862 1071223617 /nfs/dbraw/zinc/22/36/17/1071223617.db2.gz YDYQSEBKWYWYLU-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000584418176 1071223837 /nfs/dbraw/zinc/22/38/37/1071223837.db2.gz UKLHWLWCKBXZGR-UHFFFAOYSA-N 0 0 441.554 -0.094 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000584425848 1071223695 /nfs/dbraw/zinc/22/36/95/1071223695.db2.gz PUXLKDQXMYFVPW-CABCVRRESA-N 0 0 430.513 -0.122 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000584425849 1071223639 /nfs/dbraw/zinc/22/36/39/1071223639.db2.gz PUXLKDQXMYFVPW-GJZGRUSLSA-N 0 0 430.513 -0.122 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000584425850 1071223748 /nfs/dbraw/zinc/22/37/48/1071223748.db2.gz PUXLKDQXMYFVPW-HUUCEWRRSA-N 0 0 430.513 -0.122 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000584425851 1071223656 /nfs/dbraw/zinc/22/36/56/1071223656.db2.gz PUXLKDQXMYFVPW-LSDHHAIUSA-N 0 0 430.513 -0.122 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000584428237 1071224669 /nfs/dbraw/zinc/22/46/69/1071224669.db2.gz NMMUMPCHJYUAPS-INIZCTEOSA-N 0 0 434.518 -0.108 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000584428238 1071224601 /nfs/dbraw/zinc/22/46/01/1071224601.db2.gz NMMUMPCHJYUAPS-MRXNPFEDSA-N 0 0 434.518 -0.108 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(OC)c1 ZINC000584430400 1071224715 /nfs/dbraw/zinc/22/47/15/1071224715.db2.gz WKMQNEGNECMMKP-UHFFFAOYSA-N 0 0 429.433 -0.412 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2c(F)cccc2OC(F)F)CC1 ZINC000584430779 1071224726 /nfs/dbraw/zinc/22/47/26/1071224726.db2.gz KTCKMYFWHLBHTM-UHFFFAOYSA-N 0 0 441.370 -0.599 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)CC2)NC(=O)NC1=O ZINC000584432271 1071224746 /nfs/dbraw/zinc/22/47/46/1071224746.db2.gz ZGKRZHMCPKJKDP-BTYIYWSLSA-N 0 0 442.476 -0.470 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)CC2)NC(=O)NC1=O ZINC000584432272 1071224691 /nfs/dbraw/zinc/22/46/91/1071224691.db2.gz ZGKRZHMCPKJKDP-QVKFZJNVSA-N 0 0 442.476 -0.470 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCCN(c4cccnc4)C3=O)CC2)NC(=O)NC1=O ZINC000584432273 1071224573 /nfs/dbraw/zinc/22/45/73/1071224573.db2.gz ZGKRZHMCPKJKDP-VFNWGFHPSA-N 0 0 442.476 -0.470 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCCN(c4cccnc4)C3=O)CC2)NC(=O)NC1=O ZINC000584432274 1071224758 /nfs/dbraw/zinc/22/47/58/1071224758.db2.gz ZGKRZHMCPKJKDP-YCRPNKLZSA-N 0 0 442.476 -0.470 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000584432871 1071225366 /nfs/dbraw/zinc/22/53/66/1071225366.db2.gz ZPMWONGKYJAXHE-INIZCTEOSA-N 0 0 444.540 -0.316 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000584432872 1071225482 /nfs/dbraw/zinc/22/54/82/1071225482.db2.gz ZPMWONGKYJAXHE-MRXNPFEDSA-N 0 0 444.540 -0.316 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NC[C@@H]1CCCCN1S(=O)(=O)N(C)C ZINC000584433226 1071225497 /nfs/dbraw/zinc/22/54/97/1071225497.db2.gz QLHOWJWWWHIZIF-AWEZNQCLSA-N 0 0 428.577 -0.892 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NC[C@H]1CCCCN1S(=O)(=O)N(C)C ZINC000584433227 1071225277 /nfs/dbraw/zinc/22/52/77/1071225277.db2.gz QLHOWJWWWHIZIF-CQSZACIVSA-N 0 0 428.577 -0.892 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000584433910 1071224680 /nfs/dbraw/zinc/22/46/80/1071224680.db2.gz VSBSVRONHVORDC-BXUZGUMPSA-N 0 0 444.460 -0.274 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000584433911 1071224704 /nfs/dbraw/zinc/22/47/04/1071224704.db2.gz VSBSVRONHVORDC-FZMZJTMJSA-N 0 0 444.460 -0.274 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000584433912 1071224804 /nfs/dbraw/zinc/22/48/04/1071224804.db2.gz VSBSVRONHVORDC-RISCZKNCSA-N 0 0 444.460 -0.274 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000584433913 1071224628 /nfs/dbraw/zinc/22/46/28/1071224628.db2.gz VSBSVRONHVORDC-SMDDNHRTSA-N 0 0 444.460 -0.274 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000584434924 1071225293 /nfs/dbraw/zinc/22/52/93/1071225293.db2.gz JWCKCIZXMFNQDA-DQQVXTMASA-N 0 0 437.490 -0.319 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000584434925 1071225309 /nfs/dbraw/zinc/22/53/09/1071225309.db2.gz JWCKCIZXMFNQDA-LUGWNYHVSA-N 0 0 437.490 -0.319 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000584434926 1071225467 /nfs/dbraw/zinc/22/54/67/1071225467.db2.gz JWCKCIZXMFNQDA-RNUGCUGFSA-N 0 0 437.490 -0.319 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000584434927 1071225531 /nfs/dbraw/zinc/22/55/31/1071225531.db2.gz JWCKCIZXMFNQDA-YBOJIHHZSA-N 0 0 437.490 -0.319 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)C1=O ZINC000584437359 1071226022 /nfs/dbraw/zinc/22/60/22/1071226022.db2.gz FUDHZYRHQNJSMZ-ULQDDVLXSA-N 0 0 443.508 -0.517 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)C1=O ZINC000584437360 1071226248 /nfs/dbraw/zinc/22/62/48/1071226248.db2.gz FUDHZYRHQNJSMZ-YESZJQIVSA-N 0 0 443.508 -0.517 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCC1(CO)COC1 ZINC000584437579 1071226112 /nfs/dbraw/zinc/22/61/12/1071226112.db2.gz OETSSSSCDQKPQW-UHFFFAOYSA-N 0 0 427.523 -0.240 20 0 IBADRN Cn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)cn1 ZINC000584438072 1071226045 /nfs/dbraw/zinc/22/60/45/1071226045.db2.gz IYIUXLRJCAYDEL-WBVHZDCISA-N 0 0 429.456 -0.261 20 0 IBADRN CN(C)S(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000584439125 1071226066 /nfs/dbraw/zinc/22/60/66/1071226066.db2.gz FNJLCIHXJIBMBT-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN Cn1nc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cc1C1CC1 ZINC000584441087 1073307333 /nfs/dbraw/zinc/30/73/33/1073307333.db2.gz XJIJBJOUHSTGHW-UHFFFAOYSA-N 0 0 440.508 0.211 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCC3=CCCCC3)CC2)CC1 ZINC000584457969 1071226894 /nfs/dbraw/zinc/22/68/94/1071226894.db2.gz AVEFAZFXWMOKTN-UHFFFAOYSA-N 0 0 427.571 -0.371 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCO[C@H]2CCOC2)CC1 ZINC000584474586 1071273828 /nfs/dbraw/zinc/27/38/28/1071273828.db2.gz YTCHIMJMDUBIKD-KRWDZBQOSA-N 0 0 448.586 -0.191 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCCO[C@@H]2CCOC2)CC1 ZINC000584474587 1071273742 /nfs/dbraw/zinc/27/37/42/1071273742.db2.gz YTCHIMJMDUBIKD-QGZVFWFLSA-N 0 0 448.586 -0.191 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000584482743 1071279171 /nfs/dbraw/zinc/27/91/71/1071279171.db2.gz JCMZCIALAWLADE-HKUYNNGSSA-N 0 0 438.569 -0.175 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000584482744 1071279149 /nfs/dbraw/zinc/27/91/49/1071279149.db2.gz JCMZCIALAWLADE-IEBWSBKVSA-N 0 0 438.569 -0.175 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000584482745 1071278974 /nfs/dbraw/zinc/27/89/74/1071278974.db2.gz JCMZCIALAWLADE-MJGOQNOKSA-N 0 0 438.569 -0.175 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000584482746 1071279107 /nfs/dbraw/zinc/27/91/07/1071279107.db2.gz JCMZCIALAWLADE-PKOBYXMFSA-N 0 0 438.569 -0.175 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3C)CC2)CC1 ZINC000584484091 1071278814 /nfs/dbraw/zinc/27/88/14/1071278814.db2.gz PEMYLJSNFIXZIT-UHFFFAOYSA-N 0 0 443.548 -0.465 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O)S(C)(=O)=O ZINC000584485147 1071280463 /nfs/dbraw/zinc/28/04/63/1071280463.db2.gz VSTKGNQGUYDFNZ-INIZCTEOSA-N 0 0 442.513 -0.169 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O)S(C)(=O)=O ZINC000584485148 1071280551 /nfs/dbraw/zinc/28/05/51/1071280551.db2.gz VSTKGNQGUYDFNZ-MRXNPFEDSA-N 0 0 442.513 -0.169 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1C[C@@H](c2cnn(C(C)C)c2)[C@H](CO)C1 ZINC000584486027 1071280796 /nfs/dbraw/zinc/28/07/96/1071280796.db2.gz MIGFTOXYSVHBBH-IRXDYDNUSA-N 0 0 443.570 -0.168 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)CC(F)(F)F ZINC000584486384 1071281370 /nfs/dbraw/zinc/28/13/70/1071281370.db2.gz BEOCLNAPUYLNMM-UHFFFAOYSA-N 0 0 428.419 -0.378 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000584487009 1071280530 /nfs/dbraw/zinc/28/05/30/1071280530.db2.gz GYHLSWFSFUGLRR-HNNXBMFYSA-N 0 0 428.497 -0.552 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000584487010 1071280734 /nfs/dbraw/zinc/28/07/34/1071280734.db2.gz GYHLSWFSFUGLRR-OAHLLOKOSA-N 0 0 428.497 -0.552 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)CCO1 ZINC000584520326 1071322843 /nfs/dbraw/zinc/32/28/43/1071322843.db2.gz SEPMCNOGXLHVMM-INIZCTEOSA-N 0 0 432.525 -0.797 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)cn2)CCO1 ZINC000584520327 1071322626 /nfs/dbraw/zinc/32/26/26/1071322626.db2.gz SEPMCNOGXLHVMM-MRXNPFEDSA-N 0 0 432.525 -0.797 20 0 IBADRN CCOCCN(CC)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000584520563 1071322768 /nfs/dbraw/zinc/32/27/68/1071322768.db2.gz KPYVGKPQVZSFEW-UHFFFAOYSA-N 0 0 441.554 -0.465 20 0 IBADRN COc1ccc(COCCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000584521378 1071323370 /nfs/dbraw/zinc/32/33/70/1071323370.db2.gz QOABNIVPDMTKDB-UHFFFAOYSA-N 0 0 448.520 -0.669 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCO[C@H]3CCOC3)CC2)cs1 ZINC000584521786 1071322930 /nfs/dbraw/zinc/32/29/30/1071322930.db2.gz DFXUQSWKNNURIL-AWEZNQCLSA-N 0 0 446.551 -0.404 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCO[C@@H]3CCOC3)CC2)cs1 ZINC000584521787 1071322963 /nfs/dbraw/zinc/32/29/63/1071322963.db2.gz DFXUQSWKNNURIL-CQSZACIVSA-N 0 0 446.551 -0.404 20 0 IBADRN CO[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1(C)C ZINC000584536337 1071339447 /nfs/dbraw/zinc/33/94/47/1071339447.db2.gz ZUKBVTOHVKJIBT-CHWSQXEVSA-N 0 0 442.494 -0.859 20 0 IBADRN CO[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1(C)C ZINC000584536338 1071339183 /nfs/dbraw/zinc/33/91/83/1071339183.db2.gz ZUKBVTOHVKJIBT-OLZOCXBDSA-N 0 0 442.494 -0.859 20 0 IBADRN CO[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1(C)C ZINC000584536339 1071339157 /nfs/dbraw/zinc/33/91/57/1071339157.db2.gz ZUKBVTOHVKJIBT-QWHCGFSZSA-N 0 0 442.494 -0.859 20 0 IBADRN CO[C@H]1C[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1(C)C ZINC000584536340 1071339231 /nfs/dbraw/zinc/33/92/31/1071339231.db2.gz ZUKBVTOHVKJIBT-STQMWFEESA-N 0 0 442.494 -0.859 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)CCO2 ZINC000584544372 1071344309 /nfs/dbraw/zinc/34/43/09/1071344309.db2.gz ZMDDYJGNEILNGK-IVZQSRNASA-N 0 0 444.488 -0.719 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)CCO2 ZINC000584544373 1071344163 /nfs/dbraw/zinc/34/41/63/1071344163.db2.gz ZMDDYJGNEILNGK-NYHFZMIOSA-N 0 0 444.488 -0.719 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)CCO2 ZINC000584544374 1071344019 /nfs/dbraw/zinc/34/40/19/1071344019.db2.gz ZMDDYJGNEILNGK-OYHNWAKOSA-N 0 0 444.488 -0.719 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)CCO2 ZINC000584544375 1071344682 /nfs/dbraw/zinc/34/46/82/1071344682.db2.gz ZMDDYJGNEILNGK-QRQCRPRQSA-N 0 0 444.488 -0.719 20 0 IBADRN CCCC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000584547149 1071344672 /nfs/dbraw/zinc/34/46/72/1071344672.db2.gz KOUYYWODLNMWKD-HNNXBMFYSA-N 0 0 448.611 -0.364 20 0 IBADRN CCCC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000584547150 1071344710 /nfs/dbraw/zinc/34/47/10/1071344710.db2.gz KOUYYWODLNMWKD-OAHLLOKOSA-N 0 0 448.611 -0.364 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)C2)nn1 ZINC000584547973 1071345137 /nfs/dbraw/zinc/34/51/37/1071345137.db2.gz ZXRAGBLPEJFWOO-BZSNNMDCSA-N 0 0 427.509 -0.162 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)C2)nn1 ZINC000584547974 1071345152 /nfs/dbraw/zinc/34/51/52/1071345152.db2.gz ZXRAGBLPEJFWOO-KSZLIROESA-N 0 0 427.509 -0.162 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCC1CCN(CC(F)(F)F)CC1 ZINC000584549709 1071345376 /nfs/dbraw/zinc/34/53/76/1071345376.db2.gz LMDRZASUIKVBKF-CYBMUJFWSA-N 0 0 428.477 -0.083 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000584552594 1071345777 /nfs/dbraw/zinc/34/57/77/1071345777.db2.gz JEDGGJIJRLQFKG-GOSISDBHSA-N 0 0 430.505 -0.347 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000584552595 1071345962 /nfs/dbraw/zinc/34/59/62/1071345962.db2.gz JEDGGJIJRLQFKG-SFHVURJKSA-N 0 0 430.505 -0.347 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(C(=O)C(C)C)CC2)s1 ZINC000584553215 1071345713 /nfs/dbraw/zinc/34/57/13/1071345713.db2.gz KVBOENUODUSSSJ-UHFFFAOYSA-N 0 0 430.552 -0.222 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](CC)CS(=O)(=O)c2ccccc2)CC1 ZINC000584553440 1071345930 /nfs/dbraw/zinc/34/59/30/1071345930.db2.gz RYSVIKNVNWLNAT-INIZCTEOSA-N 0 0 438.550 -0.365 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](CC)CS(=O)(=O)c2ccccc2)CC1 ZINC000584553441 1071345859 /nfs/dbraw/zinc/34/58/59/1071345859.db2.gz RYSVIKNVNWLNAT-MRXNPFEDSA-N 0 0 438.550 -0.365 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@@H](O)C3)CC2)c1 ZINC000584553508 1071345727 /nfs/dbraw/zinc/34/57/27/1071345727.db2.gz UYFPRRTXXDZFLW-HKUYNNGSSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@H](O)C3)CC2)c1 ZINC000584553509 1071345822 /nfs/dbraw/zinc/34/58/22/1071345822.db2.gz UYFPRRTXXDZFLW-IEBWSBKVSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](CO)[C@@H](O)C3)CC2)c1 ZINC000584553510 1071345878 /nfs/dbraw/zinc/34/58/78/1071345878.db2.gz UYFPRRTXXDZFLW-MJGOQNOKSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](CO)[C@H](O)C3)CC2)c1 ZINC000584553511 1071345936 /nfs/dbraw/zinc/34/59/36/1071345936.db2.gz UYFPRRTXXDZFLW-PKOBYXMFSA-N 0 0 439.534 -0.603 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(CC(=O)Nc3ccccc3)CC2)n1 ZINC000584553933 1071345701 /nfs/dbraw/zinc/34/57/01/1071345701.db2.gz KUNBLQVLNFUXBQ-UHFFFAOYSA-N 0 0 425.493 -0.058 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000584554611 1071345760 /nfs/dbraw/zinc/34/57/60/1071345760.db2.gz QIWSZCYVXQYUAP-BODITIBLSA-N 0 0 425.536 -0.717 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000584554612 1071345808 /nfs/dbraw/zinc/34/58/08/1071345808.db2.gz QIWSZCYVXQYUAP-JNFKXCBWSA-N 0 0 425.536 -0.717 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000584554613 1071345792 /nfs/dbraw/zinc/34/57/92/1071345792.db2.gz QIWSZCYVXQYUAP-PSBQPLHCSA-N 0 0 425.536 -0.717 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000584554614 1071346457 /nfs/dbraw/zinc/34/64/57/1071346457.db2.gz QIWSZCYVXQYUAP-YASQENCXSA-N 0 0 425.536 -0.717 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCCn2cc(CO)nn2)s1 ZINC000584557596 1071346320 /nfs/dbraw/zinc/34/63/20/1071346320.db2.gz FSQXAROIZUHPRU-UHFFFAOYSA-N 0 0 437.569 -0.318 20 0 IBADRN C[C@H](O)CN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000584558496 1071346470 /nfs/dbraw/zinc/34/64/70/1071346470.db2.gz SVQITDIWZQOZFQ-INIZCTEOSA-N 0 0 425.551 -0.317 20 0 IBADRN C[C@@H](O)CN(CCO)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000584558497 1071346572 /nfs/dbraw/zinc/34/65/72/1071346572.db2.gz SVQITDIWZQOZFQ-MRXNPFEDSA-N 0 0 425.551 -0.317 20 0 IBADRN O=C(CN1CCN(C(=O)COc2cc(F)cc(F)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000584560118 1071346559 /nfs/dbraw/zinc/34/65/59/1071346559.db2.gz XGWAZNCDBUQQFC-HNNXBMFYSA-N 0 0 431.461 -0.209 20 0 IBADRN O=C(CN1CCN(C(=O)COc2cc(F)cc(F)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000584560119 1071346610 /nfs/dbraw/zinc/34/66/10/1071346610.db2.gz XGWAZNCDBUQQFC-OAHLLOKOSA-N 0 0 431.461 -0.209 20 0 IBADRN COC(=O)c1c(C)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1F ZINC000584560156 1071346409 /nfs/dbraw/zinc/34/64/09/1071346409.db2.gz ZSNQOHGTXSNXTR-UHFFFAOYSA-N 0 0 430.458 -0.708 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000584560585 1071346991 /nfs/dbraw/zinc/34/69/91/1071346991.db2.gz SULZWQUSMIEQPL-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3C)CC2)cn1 ZINC000584569386 1071351974 /nfs/dbraw/zinc/35/19/74/1071351974.db2.gz MMDKOVKSVBTDAD-UHFFFAOYSA-N 0 0 426.477 -0.210 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000584569672 1073338461 /nfs/dbraw/zinc/33/84/61/1073338461.db2.gz DIVTYWGXGYQCGQ-UHFFFAOYSA-N 0 0 428.474 0.537 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCc2nnnn2-c2ccccc2)cc1-n1cnnn1 ZINC000584578300 1071354360 /nfs/dbraw/zinc/35/43/60/1071354360.db2.gz ZAUGFPXWIIWAMH-UHFFFAOYSA-N 0 0 434.420 -0.056 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000584581431 1071355017 /nfs/dbraw/zinc/35/50/17/1071355017.db2.gz UQIMMDKGZJKKDK-KRWDZBQOSA-N 0 0 432.525 -0.927 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000584581432 1071354959 /nfs/dbraw/zinc/35/49/59/1071354959.db2.gz UQIMMDKGZJKKDK-QGZVFWFLSA-N 0 0 432.525 -0.927 20 0 IBADRN COC(=O)CN(CCc1ccccc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000584582493 1071354778 /nfs/dbraw/zinc/35/47/78/1071354778.db2.gz PXKUIGNHQJHFHQ-HAXDFEGKSA-N 0 0 443.460 -0.340 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1cc(C2CC2)nc(N(C)CC(=O)N2CCOCC2)n1 ZINC000584667446 1071356139 /nfs/dbraw/zinc/35/61/39/1071356139.db2.gz GXCAZCREAOYZBQ-UHFFFAOYSA-N 0 0 432.525 -0.056 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000584668784 1071356227 /nfs/dbraw/zinc/35/62/27/1071356227.db2.gz RKFQEIXMRMJZBY-FQEVSTJZSA-N 0 0 445.564 -0.155 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000584668785 1071356025 /nfs/dbraw/zinc/35/60/25/1071356025.db2.gz RKFQEIXMRMJZBY-HXUWFJFHSA-N 0 0 445.564 -0.155 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)CCO1 ZINC000584671549 1071356772 /nfs/dbraw/zinc/35/67/72/1071356772.db2.gz YVPRVEZSRLCQEU-KRWDZBQOSA-N 0 0 438.912 -0.021 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)CCO1 ZINC000584671550 1071356579 /nfs/dbraw/zinc/35/65/79/1071356579.db2.gz YVPRVEZSRLCQEU-QGZVFWFLSA-N 0 0 438.912 -0.021 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(N(C)C)nc3)CC2)C[C@H](C)O1 ZINC000584672333 1071356648 /nfs/dbraw/zinc/35/66/48/1071356648.db2.gz NULNWVLWBXZZAM-GASCZTMLSA-N 0 0 447.583 -0.192 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(N(C)C)nc3)CC2)C[C@H](C)O1 ZINC000584672334 1071356678 /nfs/dbraw/zinc/35/66/78/1071356678.db2.gz NULNWVLWBXZZAM-GJZGRUSLSA-N 0 0 447.583 -0.192 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(N(C)C)nc3)CC2)C[C@@H](C)O1 ZINC000584672335 1071356762 /nfs/dbraw/zinc/35/67/62/1071356762.db2.gz NULNWVLWBXZZAM-HUUCEWRRSA-N 0 0 447.583 -0.192 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COCC(=O)OC)CC2)cc1 ZINC000584673248 1071356494 /nfs/dbraw/zinc/35/64/94/1071356494.db2.gz UFPDRBCSEBBAES-UHFFFAOYSA-N 0 0 428.463 -0.114 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000584674417 1071356592 /nfs/dbraw/zinc/35/65/92/1071356592.db2.gz AFASAYKZBTWOKE-GOSISDBHSA-N 0 0 435.569 -0.094 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000584674418 1071356620 /nfs/dbraw/zinc/35/66/20/1071356620.db2.gz AFASAYKZBTWOKE-SFHVURJKSA-N 0 0 435.569 -0.094 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NC3CCN(c4cccnn4)CC3)CC2)n1 ZINC000584675086 1071356539 /nfs/dbraw/zinc/35/65/39/1071356539.db2.gz ZERNPSMHOBALMA-UHFFFAOYSA-N 0 0 426.481 -0.291 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)s1 ZINC000584678907 1071356734 /nfs/dbraw/zinc/35/67/34/1071356734.db2.gz LATMIBFKMBXLEK-UHFFFAOYSA-N 0 0 432.568 -0.634 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)(N2CCOCC2)C1 ZINC000584682512 1071356988 /nfs/dbraw/zinc/35/69/88/1071356988.db2.gz YEGONICBUFYZFR-LYGNXSNRSA-N 0 0 440.585 -0.324 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)(N2CCOCC2)C1 ZINC000584682513 1071357311 /nfs/dbraw/zinc/35/73/11/1071357311.db2.gz YEGONICBUFYZFR-PDDLGQBUSA-N 0 0 440.585 -0.324 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)CC1 ZINC000584683218 1071357128 /nfs/dbraw/zinc/35/71/28/1071357128.db2.gz BYMPOQAFYIVALC-BCOMRZATSA-N 0 0 426.583 -0.051 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)CC1 ZINC000584683219 1071357069 /nfs/dbraw/zinc/35/70/69/1071357069.db2.gz BYMPOQAFYIVALC-GUNSETOZSA-N 0 0 426.583 -0.051 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCC2([S@@](C)=O)CCC2)CC1 ZINC000584683220 1071357207 /nfs/dbraw/zinc/35/72/07/1071357207.db2.gz BYMPOQAFYIVALC-HYDGNGQDSA-N 0 0 426.583 -0.051 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCC2([S@](C)=O)CCC2)CC1 ZINC000584683221 1071357259 /nfs/dbraw/zinc/35/72/59/1071357259.db2.gz BYMPOQAFYIVALC-OFJJUDJNSA-N 0 0 426.583 -0.051 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)Nc1nc(CS(C)(=O)=O)no1 ZINC000584685875 1071357294 /nfs/dbraw/zinc/35/72/94/1071357294.db2.gz SVGMXWAAWWEUNE-UHFFFAOYSA-N 0 0 431.496 -0.199 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000584687395 1071357029 /nfs/dbraw/zinc/35/70/29/1071357029.db2.gz VHBXZUHSQBNKIG-UHFFFAOYSA-N 0 0 434.541 -0.236 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000584688697 1071357221 /nfs/dbraw/zinc/35/72/21/1071357221.db2.gz DUTWCTBZOMKWBV-KBPBESRZSA-N 0 0 433.556 -0.860 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000584688698 1071357144 /nfs/dbraw/zinc/35/71/44/1071357144.db2.gz DUTWCTBZOMKWBV-KGLIPLIRSA-N 0 0 433.556 -0.860 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000584688699 1071357718 /nfs/dbraw/zinc/35/77/18/1071357718.db2.gz DUTWCTBZOMKWBV-UONOGXRCSA-N 0 0 433.556 -0.860 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000584688700 1071357734 /nfs/dbraw/zinc/35/77/34/1071357734.db2.gz DUTWCTBZOMKWBV-ZIAGYGMSSA-N 0 0 433.556 -0.860 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000584690779 1071358019 /nfs/dbraw/zinc/35/80/19/1071358019.db2.gz CVPPIKSTLFUGGA-UHFFFAOYSA-N 0 0 441.435 -0.352 20 0 IBADRN COc1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000584691048 1071357703 /nfs/dbraw/zinc/35/77/03/1071357703.db2.gz OFEVTVDYBDFANE-UHFFFAOYSA-N 0 0 426.420 -0.085 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCOc2ccc(S(C)(=O)=O)cc21 ZINC000584692391 1071357839 /nfs/dbraw/zinc/35/78/39/1071357839.db2.gz JMNCDECCCBBAQU-UHFFFAOYSA-N 0 0 426.538 -0.100 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(Cc3nccn3S(=O)(=O)N(C)C)CC2)cs1 ZINC000584693091 1071357684 /nfs/dbraw/zinc/35/76/84/1071357684.db2.gz RPGZTBBZSAGEFF-UHFFFAOYSA-N 0 0 434.569 -0.191 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000584710136 1071358629 /nfs/dbraw/zinc/35/86/29/1071358629.db2.gz YGYKALVDAWZYGC-DLBZAZTESA-N 0 0 429.525 -0.626 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000584710143 1071358474 /nfs/dbraw/zinc/35/84/74/1071358474.db2.gz YGYKALVDAWZYGC-IAGOWNOFSA-N 0 0 429.525 -0.626 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000584710144 1071358502 /nfs/dbraw/zinc/35/85/02/1071358502.db2.gz YGYKALVDAWZYGC-IRXDYDNUSA-N 0 0 429.525 -0.626 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000584710145 1071358236 /nfs/dbraw/zinc/35/82/36/1071358236.db2.gz YGYKALVDAWZYGC-SJORKVTESA-N 0 0 429.525 -0.626 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCc2cccc(OCCN3CCOCC3)c2)CC1 ZINC000584710976 1071357879 /nfs/dbraw/zinc/35/78/79/1071357879.db2.gz KQKOHNBIHUPIQD-UHFFFAOYSA-N 0 0 434.537 -0.196 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCCS(=O)(=O)CC3)CC2)cc1 ZINC000584711562 1071358220 /nfs/dbraw/zinc/35/82/20/1071358220.db2.gz VHFUIIGDCZQUBM-UHFFFAOYSA-N 0 0 429.564 -0.052 20 0 IBADRN CN(C)C(=O)COc1c(Cl)cc(S(=O)(=O)N(CC(N)=O)CC(N)=O)cc1Cl ZINC000584727689 1071358442 /nfs/dbraw/zinc/35/84/42/1071358442.db2.gz GRYHUVDNNXUUGI-UHFFFAOYSA-N 0 0 441.293 -0.578 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)N[C@@H]2CCC(=O)N[C@H]2c2cnn(C)c2)cc1F ZINC000584734255 1071358270 /nfs/dbraw/zinc/35/82/70/1071358270.db2.gz OHQWWBWVGHEBMX-AEFFLSMTSA-N 0 0 444.467 -0.150 20 0 IBADRN COc1c(NC(=O)C(=O)NCC(=O)N2CCOCC2)cc(Cl)cc1C(=O)N(C)C ZINC000584735887 1071359094 /nfs/dbraw/zinc/35/90/94/1071359094.db2.gz USOWRKAOXARFRO-UHFFFAOYSA-N 0 0 426.857 -0.036 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000584736664 1071358305 /nfs/dbraw/zinc/35/83/05/1071358305.db2.gz FFFMGDSMDINJJE-CYBMUJFWSA-N 0 0 435.568 -0.329 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000584736665 1071358326 /nfs/dbraw/zinc/35/83/26/1071358326.db2.gz FFFMGDSMDINJJE-ZDUSSCGKSA-N 0 0 435.568 -0.329 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CCNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000584738822 1071359062 /nfs/dbraw/zinc/35/90/62/1071359062.db2.gz LQTXEFGTWRSQRJ-UHFFFAOYSA-N 0 0 434.540 -0.241 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2=O)C1 ZINC000584741596 1071358874 /nfs/dbraw/zinc/35/88/74/1071358874.db2.gz UKXNHYIPQFKSAS-CABCVRRESA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3ccnn3C)C2=O)C1 ZINC000584741598 1071359494 /nfs/dbraw/zinc/35/94/94/1071359494.db2.gz UKXNHYIPQFKSAS-GJZGRUSLSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2=O)C1 ZINC000584741599 1071359837 /nfs/dbraw/zinc/35/98/37/1071359837.db2.gz UKXNHYIPQFKSAS-HUUCEWRRSA-N 0 0 428.497 -0.450 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ccnn3C)C2=O)C1 ZINC000584741600 1071359905 /nfs/dbraw/zinc/35/99/05/1071359905.db2.gz UKXNHYIPQFKSAS-LSDHHAIUSA-N 0 0 428.497 -0.450 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)CC1 ZINC000584742878 1071359586 /nfs/dbraw/zinc/35/95/86/1071359586.db2.gz NXAOAXLRZUQBDP-MSOLQXFVSA-N 0 0 442.557 -0.674 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCOC[C@H]2OCCOC)CC1 ZINC000584742879 1071359726 /nfs/dbraw/zinc/35/97/26/1071359726.db2.gz NXAOAXLRZUQBDP-QZTJIDSGSA-N 0 0 442.557 -0.674 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCOC[C@@H]2OCCOC)CC1 ZINC000584742880 1071359853 /nfs/dbraw/zinc/35/98/53/1071359853.db2.gz NXAOAXLRZUQBDP-ROUUACIJSA-N 0 0 442.557 -0.674 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCOC[C@H]2OCCOC)CC1 ZINC000584742881 1071359967 /nfs/dbraw/zinc/35/99/67/1071359967.db2.gz NXAOAXLRZUQBDP-ZWKOTPCHSA-N 0 0 442.557 -0.674 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000584745168 1071359302 /nfs/dbraw/zinc/35/93/02/1071359302.db2.gz IFBZFUKTGKLJCU-MSOLQXFVSA-N 0 0 435.569 -0.047 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000584745169 1071359125 /nfs/dbraw/zinc/35/91/25/1071359125.db2.gz IFBZFUKTGKLJCU-QZTJIDSGSA-N 0 0 435.569 -0.047 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000584745170 1071359010 /nfs/dbraw/zinc/35/90/10/1071359010.db2.gz IFBZFUKTGKLJCU-ROUUACIJSA-N 0 0 435.569 -0.047 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000584745171 1071359045 /nfs/dbraw/zinc/35/90/45/1071359045.db2.gz IFBZFUKTGKLJCU-ZWKOTPCHSA-N 0 0 435.569 -0.047 20 0 IBADRN CCN(CC)C(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1 ZINC000584747340 1071359780 /nfs/dbraw/zinc/35/97/80/1071359780.db2.gz UGJXVPDUVHJKLL-INIZCTEOSA-N 0 0 431.493 -0.040 20 0 IBADRN CCN(CC)C(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1 ZINC000584747342 1071359799 /nfs/dbraw/zinc/35/97/99/1071359799.db2.gz UGJXVPDUVHJKLL-MRXNPFEDSA-N 0 0 431.493 -0.040 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)CN3CCN(S(=O)(=O)c4cc[nH]n4)CC3)cc2C1=O ZINC000584747876 1071359938 /nfs/dbraw/zinc/35/99/38/1071359938.db2.gz BVURVHBWKHHROK-UHFFFAOYSA-N 0 0 432.462 -0.420 20 0 IBADRN CO[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000584747972 1071359817 /nfs/dbraw/zinc/35/98/17/1071359817.db2.gz QMKWMEWXOTZSOT-LVQVYYBASA-N 0 0 432.543 -0.832 20 0 IBADRN CO[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000584747976 1071359922 /nfs/dbraw/zinc/35/99/22/1071359922.db2.gz QMKWMEWXOTZSOT-QKPAOTATSA-N 0 0 432.543 -0.832 20 0 IBADRN CO[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000584747979 1071359893 /nfs/dbraw/zinc/35/98/93/1071359893.db2.gz QMKWMEWXOTZSOT-XUWVNRHRSA-N 0 0 432.543 -0.832 20 0 IBADRN CO[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000584747983 1071359954 /nfs/dbraw/zinc/35/99/54/1071359954.db2.gz QMKWMEWXOTZSOT-YHUYYLMFSA-N 0 0 432.543 -0.832 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC000584749694 1071359553 /nfs/dbraw/zinc/35/95/53/1071359553.db2.gz UYYWITATXDNRRX-UHFFFAOYSA-N 0 0 440.570 -0.179 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000584750233 1071359519 /nfs/dbraw/zinc/35/95/19/1071359519.db2.gz HGXNDGMTNYLMOH-UHFFFAOYSA-N 0 0 438.528 -0.920 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CNS(=O)(=O)c2cccc(F)c2)CC1 ZINC000584751450 1071360165 /nfs/dbraw/zinc/36/01/65/1071360165.db2.gz YMJDOYBNAGRPOV-HNNXBMFYSA-N 0 0 442.513 -0.505 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CNS(=O)(=O)c2cccc(F)c2)CC1 ZINC000584751455 1071360257 /nfs/dbraw/zinc/36/02/57/1071360257.db2.gz YMJDOYBNAGRPOV-OAHLLOKOSA-N 0 0 442.513 -0.505 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC[C@H](C)O ZINC000584757171 1071360290 /nfs/dbraw/zinc/36/02/90/1071360290.db2.gz KOFSDKTXVOPMGR-ZDUSSCGKSA-N 0 0 428.511 -0.543 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCCS3)CC2)CC1 ZINC000584784939 1071360711 /nfs/dbraw/zinc/36/07/11/1071360711.db2.gz YRHPYPXCDINSPP-HNNXBMFYSA-N 0 0 433.600 -0.975 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCCS3)CC2)CC1 ZINC000584784940 1071360749 /nfs/dbraw/zinc/36/07/49/1071360749.db2.gz YRHPYPXCDINSPP-OAHLLOKOSA-N 0 0 433.600 -0.975 20 0 IBADRN NC(=O)c1ccc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cc1 ZINC000584794445 1071360929 /nfs/dbraw/zinc/36/09/29/1071360929.db2.gz WFSKCEKUNXVADV-UHFFFAOYSA-N 0 0 425.493 -0.480 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000584794527 1071360830 /nfs/dbraw/zinc/36/08/30/1071360830.db2.gz XUZKOBGPBJQBIG-UHFFFAOYSA-N 0 0 431.493 -0.847 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C(=O)N2CCN(CC(F)F)CC2)CC1 ZINC000584796483 1071360729 /nfs/dbraw/zinc/36/07/29/1071360729.db2.gz AVPGPLYUWWXVQL-UHFFFAOYSA-N 0 0 438.479 -0.191 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000584797337 1071360858 /nfs/dbraw/zinc/36/08/58/1071360858.db2.gz QDYMEOJDJOAUNF-UHFFFAOYSA-N 0 0 431.428 -0.990 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@@H]1CCCCN1S(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000584797400 1071360801 /nfs/dbraw/zinc/36/08/01/1071360801.db2.gz PBNPYVLCCPIXPQ-INIZCTEOSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@H]1CCCCN1S(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000584797401 1071360843 /nfs/dbraw/zinc/36/08/43/1071360843.db2.gz PBNPYVLCCPIXPQ-MRXNPFEDSA-N 0 0 439.490 -0.162 20 0 IBADRN NC(=O)[C@H](CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)Cc1ccc(F)cc1 ZINC000584797587 1071361025 /nfs/dbraw/zinc/36/10/25/1071361025.db2.gz QAKLPWYYRVLQKT-FKMNLVFWSA-N 0 0 446.439 -0.667 20 0 IBADRN NC(=O)[C@@H](CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)Cc1ccc(F)cc1 ZINC000584797593 1071360815 /nfs/dbraw/zinc/36/08/15/1071360815.db2.gz QAKLPWYYRVLQKT-JSKICNKESA-N 0 0 446.439 -0.667 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000584800209 1071361349 /nfs/dbraw/zinc/36/13/49/1071361349.db2.gz KIBFGQXDFGDXOK-HKUYNNGSSA-N 0 0 432.521 -0.291 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](C)[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000584800212 1071361261 /nfs/dbraw/zinc/36/12/61/1071361261.db2.gz KIBFGQXDFGDXOK-IEBWSBKVSA-N 0 0 432.521 -0.291 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000584800213 1071361293 /nfs/dbraw/zinc/36/12/93/1071361293.db2.gz KIBFGQXDFGDXOK-MJGOQNOKSA-N 0 0 432.521 -0.291 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](C)[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000584800214 1071361512 /nfs/dbraw/zinc/36/15/12/1071361512.db2.gz KIBFGQXDFGDXOK-PKOBYXMFSA-N 0 0 432.521 -0.291 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000584801899 1071360880 /nfs/dbraw/zinc/36/08/80/1071360880.db2.gz WCFUPNLSWWSQED-CYBMUJFWSA-N 0 0 449.489 -0.124 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000584801903 1071361550 /nfs/dbraw/zinc/36/15/50/1071361550.db2.gz WCFUPNLSWWSQED-ZDUSSCGKSA-N 0 0 449.489 -0.124 20 0 IBADRN CC[C@@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)Oc1ccccc1F ZINC000584802784 1071361410 /nfs/dbraw/zinc/36/14/10/1071361410.db2.gz LTEGSTUPWITFPZ-AWEZNQCLSA-N 0 0 433.444 -0.543 20 0 IBADRN CC[C@H](CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)Oc1ccccc1F ZINC000584802785 1071361326 /nfs/dbraw/zinc/36/13/26/1071361326.db2.gz LTEGSTUPWITFPZ-CQSZACIVSA-N 0 0 433.444 -0.543 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000584803089 1071361380 /nfs/dbraw/zinc/36/13/80/1071361380.db2.gz ZWOJAQLTJKYCNF-HNNXBMFYSA-N 0 0 445.567 -0.935 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000584804574 1071361420 /nfs/dbraw/zinc/36/14/20/1071361420.db2.gz VDSXOPBWFCWVKC-CVEARBPZSA-N 0 0 440.497 -0.417 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000584804575 1071361395 /nfs/dbraw/zinc/36/13/95/1071361395.db2.gz VDSXOPBWFCWVKC-HZPDHXFCSA-N 0 0 440.497 -0.417 20 0 IBADRN NC(=O)[C@@H]1CCCN(C(=O)CN(CC(=O)N2CCC[C@H](C(N)=O)C2)Cc2ccccc2)C1 ZINC000584807280 1071361486 /nfs/dbraw/zinc/36/14/86/1071361486.db2.gz QLMWIKNBTLRXPX-KDURUIRLSA-N 0 0 443.548 -0.064 20 0 IBADRN NC(=O)[C@H]1CCCN(C(=O)CN(CC(=O)N2CCC[C@H](C(N)=O)C2)Cc2ccccc2)C1 ZINC000584807281 1071361562 /nfs/dbraw/zinc/36/15/62/1071361562.db2.gz QLMWIKNBTLRXPX-OALUTQOASA-N 0 0 443.548 -0.064 20 0 IBADRN NC(=O)[C@@H]1CCCN(C(=O)CN(CC(=O)N2CCC[C@@H](C(N)=O)C2)Cc2ccccc2)C1 ZINC000584807282 1071362104 /nfs/dbraw/zinc/36/21/04/1071362104.db2.gz QLMWIKNBTLRXPX-RTBURBONSA-N 0 0 443.548 -0.064 20 0 IBADRN O=C(N[C@H]1CCC(=O)N[C@H]1[C@@H]1CCCO1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000584811854 1071362018 /nfs/dbraw/zinc/36/20/18/1071362018.db2.gz DQLNPIZKTDTJJZ-JLJPHGGASA-N 0 0 426.495 -0.408 20 0 IBADRN O=C(N[C@H]1CCC(=O)N[C@@H]1[C@H]1CCCO1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000584811863 1071362157 /nfs/dbraw/zinc/36/21/57/1071362157.db2.gz DQLNPIZKTDTJJZ-LXZKKBNFSA-N 0 0 426.495 -0.408 20 0 IBADRN O=C(N[C@H]1CCC(=O)N[C@@H]1[C@@H]1CCCO1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000584811865 1071362057 /nfs/dbraw/zinc/36/20/57/1071362057.db2.gz DQLNPIZKTDTJJZ-QRTARXTBSA-N 0 0 426.495 -0.408 20 0 IBADRN O=C(N[C@H]1CCC(=O)N[C@H]1[C@H]1CCCO1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000584811866 1071361891 /nfs/dbraw/zinc/36/18/91/1071361891.db2.gz DQLNPIZKTDTJJZ-YSVLISHTSA-N 0 0 426.495 -0.408 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)CC2)no1 ZINC000584828171 1071362170 /nfs/dbraw/zinc/36/21/70/1071362170.db2.gz PDOCDPQTEXUNCR-UHFFFAOYSA-N 0 0 435.506 -0.278 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)C(=O)NCCn2ccnc2)c1 ZINC000584828203 1071361944 /nfs/dbraw/zinc/36/19/44/1071361944.db2.gz RKJSINKWFLIQPR-UHFFFAOYSA-N 0 0 428.493 -0.024 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000584832491 1071362281 /nfs/dbraw/zinc/36/22/81/1071362281.db2.gz ZXUXAFZZCWXILL-CHWSQXEVSA-N 0 0 434.415 -0.494 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000584832492 1071362085 /nfs/dbraw/zinc/36/20/85/1071362085.db2.gz ZXUXAFZZCWXILL-OLZOCXBDSA-N 0 0 434.415 -0.494 20 0 IBADRN O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000584832493 1071362067 /nfs/dbraw/zinc/36/20/67/1071362067.db2.gz ZXUXAFZZCWXILL-QWHCGFSZSA-N 0 0 434.415 -0.494 20 0 IBADRN O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000584832494 1071362206 /nfs/dbraw/zinc/36/22/06/1071362206.db2.gz ZXUXAFZZCWXILL-STQMWFEESA-N 0 0 434.415 -0.494 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCCO2)CC1 ZINC000584846542 1071362663 /nfs/dbraw/zinc/36/26/63/1071362663.db2.gz ZWGVLKAPQBVWEB-MSOLQXFVSA-N 0 0 434.541 -0.058 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCO2)CC1 ZINC000584846548 1071362763 /nfs/dbraw/zinc/36/27/63/1071362763.db2.gz ZWGVLKAPQBVWEB-QZTJIDSGSA-N 0 0 434.541 -0.058 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCCO2)CC1 ZINC000584846549 1071362988 /nfs/dbraw/zinc/36/29/88/1071362988.db2.gz ZWGVLKAPQBVWEB-ROUUACIJSA-N 0 0 434.541 -0.058 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCO2)CC1 ZINC000584846550 1071362801 /nfs/dbraw/zinc/36/28/01/1071362801.db2.gz ZWGVLKAPQBVWEB-ZWKOTPCHSA-N 0 0 434.541 -0.058 20 0 IBADRN CCn1c(Cn2nc(C)cc2C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000584851536 1071362784 /nfs/dbraw/zinc/36/27/84/1071362784.db2.gz ZTSXQHJJRROUAL-UHFFFAOYSA-N 0 0 430.513 -0.333 20 0 IBADRN C[C@](O)(CO)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000584852389 1071362823 /nfs/dbraw/zinc/36/28/23/1071362823.db2.gz CAALBJRUWROKBZ-DZGCQCFKSA-N 0 0 442.943 -0.719 20 0 IBADRN C[C@@](O)(CO)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000584852390 1071362960 /nfs/dbraw/zinc/36/29/60/1071362960.db2.gz CAALBJRUWROKBZ-HIFRSBDPSA-N 0 0 442.943 -0.719 20 0 IBADRN C[C@](O)(CO)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000584852391 1071362707 /nfs/dbraw/zinc/36/27/07/1071362707.db2.gz CAALBJRUWROKBZ-UKRRQHHQSA-N 0 0 442.943 -0.719 20 0 IBADRN C[C@@](O)(CO)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000584852392 1071363200 /nfs/dbraw/zinc/36/32/00/1071363200.db2.gz CAALBJRUWROKBZ-ZFWWWQNUSA-N 0 0 442.943 -0.719 20 0 IBADRN O=C(Nc1cc(F)cc(F)c1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000584852903 1071363467 /nfs/dbraw/zinc/36/34/67/1071363467.db2.gz ILOMSBLBQIOHOM-UHFFFAOYSA-N 0 0 428.367 -0.428 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCc3ccc(S(C)(=O)=O)cc3)CC2)ncn1 ZINC000584852916 1071363575 /nfs/dbraw/zinc/36/35/75/1071363575.db2.gz JEMOAIVXBSJRGP-UHFFFAOYSA-N 0 0 433.490 -0.146 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000584853340 1071363548 /nfs/dbraw/zinc/36/35/48/1071363548.db2.gz AMJBWFCNCOAWHQ-KRWDZBQOSA-N 0 0 439.524 -0.710 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000584853341 1071363596 /nfs/dbraw/zinc/36/35/96/1071363596.db2.gz AMJBWFCNCOAWHQ-QGZVFWFLSA-N 0 0 439.524 -0.710 20 0 IBADRN O=C(NCc1cn2c(n1)CCCC2)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000584856689 1071363440 /nfs/dbraw/zinc/36/34/40/1071363440.db2.gz ACZPGUVFORPWFG-UHFFFAOYSA-N 0 0 432.506 -0.236 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NC2CCC3(CC2)NC(=O)NC3=O)c2ccccc2O1 ZINC000584858251 1071363215 /nfs/dbraw/zinc/36/32/15/1071363215.db2.gz RIUHLXOMIBUERQ-LCVXBWFXSA-N 0 0 430.417 -0.409 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCC3(CC2)NC(=O)NC3=O)c2ccccc2O1 ZINC000584858252 1071363564 /nfs/dbraw/zinc/36/35/64/1071363564.db2.gz RIUHLXOMIBUERQ-QINJFGQTSA-N 0 0 430.417 -0.409 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)Oc1cccc(F)c1 ZINC000584858837 1071363263 /nfs/dbraw/zinc/36/32/63/1071363263.db2.gz HHOIHDKVTKGTSX-GFCCVEGCSA-N 0 0 428.442 -0.067 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)Oc1cccc(F)c1 ZINC000584858838 1071363331 /nfs/dbraw/zinc/36/33/31/1071363331.db2.gz HHOIHDKVTKGTSX-LBPRGKRZSA-N 0 0 428.442 -0.067 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C[C@@H]1C ZINC000584861243 1071363608 /nfs/dbraw/zinc/36/36/08/1071363608.db2.gz CONMJJOSVWYGAD-DLBZAZTESA-N 0 0 444.540 -0.364 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C[C@H]1C ZINC000584861244 1071363398 /nfs/dbraw/zinc/36/33/98/1071363398.db2.gz CONMJJOSVWYGAD-IAGOWNOFSA-N 0 0 444.540 -0.364 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C[C@@H]1C ZINC000584861245 1071363484 /nfs/dbraw/zinc/36/34/84/1071363484.db2.gz CONMJJOSVWYGAD-IRXDYDNUSA-N 0 0 444.540 -0.364 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C[C@H]1C ZINC000584861246 1071363347 /nfs/dbraw/zinc/36/33/47/1071363347.db2.gz CONMJJOSVWYGAD-SJORKVTESA-N 0 0 444.540 -0.364 20 0 IBADRN COCC[C@@H](NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000584868235 1071363281 /nfs/dbraw/zinc/36/32/81/1071363281.db2.gz UZEQPQVVXCKJFF-PXAZEXFGSA-N 0 0 429.451 -0.394 20 0 IBADRN COCC[C@H](NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000584868236 1071363584 /nfs/dbraw/zinc/36/35/84/1071363584.db2.gz UZEQPQVVXCKJFF-SJCJKPOMSA-N 0 0 429.451 -0.394 20 0 IBADRN COCC[C@@H](NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000584868237 1071364173 /nfs/dbraw/zinc/36/41/73/1071364173.db2.gz UZEQPQVVXCKJFF-SJKOYZFVSA-N 0 0 429.451 -0.394 20 0 IBADRN COCC[C@H](NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000584868238 1071364272 /nfs/dbraw/zinc/36/42/72/1071364272.db2.gz UZEQPQVVXCKJFF-YVEFUNNKSA-N 0 0 429.451 -0.394 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1)Nc1cc(-n2cnnn2)ccc1F ZINC000584868455 1071363307 /nfs/dbraw/zinc/36/33/07/1071363307.db2.gz JLPVYMLEYQLDQH-UHFFFAOYSA-N 0 0 435.445 -0.531 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCC3([S@@](C)=O)CCC3)CC2)CC1 ZINC000584868584 1071364336 /nfs/dbraw/zinc/36/43/36/1071364336.db2.gz LSWCYJRHUYFLJT-GDLZYMKVSA-N 0 0 426.583 -0.194 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCC3([S@](C)=O)CCC3)CC2)CC1 ZINC000584868585 1071363988 /nfs/dbraw/zinc/36/39/88/1071363988.db2.gz LSWCYJRHUYFLJT-LJAQVGFWSA-N 0 0 426.583 -0.194 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000584870603 1071364074 /nfs/dbraw/zinc/36/40/74/1071364074.db2.gz RSXOETDILQIXSK-UHFFFAOYSA-N 0 0 433.527 -0.175 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000585678221 1072531644 /nfs/dbraw/zinc/53/16/44/1072531644.db2.gz LHCMFRZTYQQVML-QBPKDAKJSA-N 0 0 445.586 -0.930 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000585678222 1072531664 /nfs/dbraw/zinc/53/16/64/1072531664.db2.gz LHCMFRZTYQQVML-VQHPVUNQSA-N 0 0 445.586 -0.930 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2ccccc2N)C1 ZINC000585681682 1071452955 /nfs/dbraw/zinc/45/29/55/1071452955.db2.gz HNGKTXDXRGZSOF-AWEZNQCLSA-N 0 0 440.522 -0.193 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2ccccc2N)C1 ZINC000585681687 1071453007 /nfs/dbraw/zinc/45/30/07/1071453007.db2.gz HNGKTXDXRGZSOF-CQSZACIVSA-N 0 0 440.522 -0.193 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000585681890 1071452989 /nfs/dbraw/zinc/45/29/89/1071452989.db2.gz YUNMWORCFXOPQO-UHFFFAOYSA-N 0 0 440.522 -0.193 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCSCc2ccccc2F)CC1 ZINC000585691030 1071453908 /nfs/dbraw/zinc/45/39/08/1071453908.db2.gz HKLQTFFSVCWEQR-UHFFFAOYSA-N 0 0 446.570 -0.132 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CCO1 ZINC000585704415 1071456055 /nfs/dbraw/zinc/45/60/55/1071456055.db2.gz RSMAJRZKXSUTND-HNNXBMFYSA-N 0 0 441.506 -0.350 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CCO1 ZINC000585704421 1071456559 /nfs/dbraw/zinc/45/65/59/1071456559.db2.gz RSMAJRZKXSUTND-OAHLLOKOSA-N 0 0 441.506 -0.350 20 0 IBADRN CCOC(=O)C1(O)CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000585707315 1071456905 /nfs/dbraw/zinc/45/69/05/1071456905.db2.gz MGJHSCZBEQOMGV-UHFFFAOYSA-N 0 0 435.524 -0.521 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)CC1 ZINC000585709523 1071457631 /nfs/dbraw/zinc/45/76/31/1071457631.db2.gz RVJSTKUVJUJHTF-HNNXBMFYSA-N 0 0 447.554 -0.124 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)CC1 ZINC000585709526 1071457648 /nfs/dbraw/zinc/45/76/48/1071457648.db2.gz RVJSTKUVJUJHTF-OAHLLOKOSA-N 0 0 447.554 -0.124 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)nc1C ZINC000585716005 1071457615 /nfs/dbraw/zinc/45/76/15/1071457615.db2.gz ZZKYMTXUUKWPCJ-UHFFFAOYSA-N 0 0 425.511 -0.475 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@H](C)N2CCOCC2)cn1 ZINC000585716199 1071457489 /nfs/dbraw/zinc/45/74/89/1071457489.db2.gz QFMSDSQEIJDBTR-GDBMZVCRSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@H](C)N2CCOCC2)cn1 ZINC000585716200 1071457535 /nfs/dbraw/zinc/45/75/35/1071457535.db2.gz QFMSDSQEIJDBTR-GOEBONIOSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@H](C)N2CCOCC2)cn1 ZINC000585716201 1071457716 /nfs/dbraw/zinc/45/77/16/1071457716.db2.gz QFMSDSQEIJDBTR-HOCLYGCPSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@H](C)N2CCOCC2)cn1 ZINC000585716202 1071457692 /nfs/dbraw/zinc/45/76/92/1071457692.db2.gz QFMSDSQEIJDBTR-ZBFHGGJFSA-N 0 0 427.527 -0.962 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)c1 ZINC000585720570 1071256622 /nfs/dbraw/zinc/25/66/22/1071256622.db2.gz MKOVOGADGBSBCW-HNNXBMFYSA-N 0 0 441.506 -0.220 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)c1 ZINC000585720576 1071458367 /nfs/dbraw/zinc/45/83/67/1071458367.db2.gz MKOVOGADGBSBCW-OAHLLOKOSA-N 0 0 441.506 -0.220 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)CC1 ZINC000585722527 1071458276 /nfs/dbraw/zinc/45/82/76/1071458276.db2.gz HZWNBMPGQCRNTP-INIZCTEOSA-N 0 0 440.541 -0.338 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)CC1 ZINC000585722528 1071458324 /nfs/dbraw/zinc/45/83/24/1071458324.db2.gz HZWNBMPGQCRNTP-MRXNPFEDSA-N 0 0 440.541 -0.338 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000585734980 1071458541 /nfs/dbraw/zinc/45/85/41/1071458541.db2.gz KJEOYNSCKFUYOD-UHFFFAOYSA-N 0 0 431.536 -0.137 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCCN2CCCS2(=O)=O)cc1OC ZINC000585735898 1071459226 /nfs/dbraw/zinc/45/92/26/1071459226.db2.gz ANPBRQNBNJKGSJ-UHFFFAOYSA-N 0 0 449.551 -0.086 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000585736086 1071459197 /nfs/dbraw/zinc/45/91/97/1071459197.db2.gz OSEATQQBQKWSRL-UHFFFAOYSA-N 0 0 447.583 -0.031 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000585736262 1071458427 /nfs/dbraw/zinc/45/84/27/1071458427.db2.gz RXJYCSOIJPDTGT-UHFFFAOYSA-N 0 0 448.567 -0.579 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCN1CCCS1(=O)=O ZINC000585736375 1071458249 /nfs/dbraw/zinc/45/82/49/1071458249.db2.gz WCNKXLMZKTXFSP-UHFFFAOYSA-N 0 0 434.540 -0.799 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000585736477 1071459086 /nfs/dbraw/zinc/45/90/86/1071459086.db2.gz ZCSKHUVHRGPISM-UHFFFAOYSA-N 0 0 437.565 -0.076 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000585736582 1071459015 /nfs/dbraw/zinc/45/90/15/1071459015.db2.gz OTZAOKOIYBEHPZ-UHFFFAOYSA-N 0 0 446.551 -0.674 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000585737614 1071459245 /nfs/dbraw/zinc/45/92/45/1071459245.db2.gz CZHDWSOXMLBHLQ-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000585738034 1071459156 /nfs/dbraw/zinc/45/91/56/1071459156.db2.gz JRWJQVHIXKTCER-UHFFFAOYSA-N 0 0 431.536 -0.137 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000585738097 1071459189 /nfs/dbraw/zinc/45/91/89/1071459189.db2.gz MUVUTLFXXGECSI-UHFFFAOYSA-N 0 0 431.536 -0.137 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000585738475 1071459042 /nfs/dbraw/zinc/45/90/42/1071459042.db2.gz YDPFRIJEECUHMM-ZDUSSCGKSA-N 0 0 446.551 -0.146 20 0 IBADRN COC(=O)c1cn(CCNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)nn1 ZINC000585740672 1071459714 /nfs/dbraw/zinc/45/97/14/1071459714.db2.gz QZEQFAQFRSGIAD-UHFFFAOYSA-N 0 0 443.507 -0.172 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)nn1 ZINC000585750917 1071459732 /nfs/dbraw/zinc/45/97/32/1071459732.db2.gz MUADXCWAGKKCGQ-UHFFFAOYSA-N 0 0 428.496 -0.440 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)c1 ZINC000585758710 1071459754 /nfs/dbraw/zinc/45/97/54/1071459754.db2.gz OMHZYOXGJXKYKH-HNNXBMFYSA-N 0 0 448.476 -0.249 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)c1 ZINC000585758711 1071459837 /nfs/dbraw/zinc/45/98/37/1071459837.db2.gz OMHZYOXGJXKYKH-OAHLLOKOSA-N 0 0 448.476 -0.249 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)nn1 ZINC000585761930 1071459968 /nfs/dbraw/zinc/45/99/68/1071459968.db2.gz GOKLGRHVVLKREY-UHFFFAOYSA-N 0 0 443.464 -0.793 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)nn1 ZINC000585761932 1071459927 /nfs/dbraw/zinc/45/99/27/1071459927.db2.gz IXIVHNMDXCLQNR-UHFFFAOYSA-N 0 0 443.420 -0.203 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CCO1 ZINC000585767649 1071459958 /nfs/dbraw/zinc/45/99/58/1071459958.db2.gz CJIXLLOZESRZHD-AWEZNQCLSA-N 0 0 437.497 -0.544 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CCO1 ZINC000585767650 1071460528 /nfs/dbraw/zinc/46/05/28/1071460528.db2.gz CJIXLLOZESRZHD-CQSZACIVSA-N 0 0 437.497 -0.544 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000585771308 1071460542 /nfs/dbraw/zinc/46/05/42/1071460542.db2.gz XBACIJFYNQRUBG-UHFFFAOYSA-N 0 0 438.525 -0.773 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000585771328 1071460615 /nfs/dbraw/zinc/46/06/15/1071460615.db2.gz XFICDBAGUGAJMF-UHFFFAOYSA-N 0 0 438.525 -0.773 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000585772108 1071460460 /nfs/dbraw/zinc/46/04/60/1071460460.db2.gz YPUIYBQOFTYJNX-UHFFFAOYSA-N 0 0 435.568 -0.281 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)CC1 ZINC000585772411 1071460366 /nfs/dbraw/zinc/46/03/66/1071460366.db2.gz LLHXRVYBBXNWMF-UHFFFAOYSA-N 0 0 449.508 0.304 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000585773689 1071461101 /nfs/dbraw/zinc/46/11/01/1071461101.db2.gz ZSXSINBIHSOAOD-UHFFFAOYSA-N 0 0 430.483 -0.552 20 0 IBADRN CSCc1cc(F)ccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000585774085 1071461255 /nfs/dbraw/zinc/46/12/55/1071461255.db2.gz AAIZYNHOBNTBSE-UHFFFAOYSA-N 0 0 446.570 -0.002 20 0 IBADRN COC(=O)C(C)(C)[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000585774152 1071460437 /nfs/dbraw/zinc/46/04/37/1071460437.db2.gz MNODQFQXENMWLA-HNNXBMFYSA-N 0 0 446.570 -0.882 20 0 IBADRN COC(=O)C(C)(C)[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000585774153 1071460576 /nfs/dbraw/zinc/46/05/76/1071460576.db2.gz MNODQFQXENMWLA-OAHLLOKOSA-N 0 0 446.570 -0.882 20 0 IBADRN CSCc1cccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000585774202 1071460418 /nfs/dbraw/zinc/46/04/18/1071460418.db2.gz QNFUQKBHZXFIEQ-UHFFFAOYSA-N 0 0 428.580 -0.141 20 0 IBADRN CCOC(=O)[C@@]1(C(C)C)CCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000585774213 1071460448 /nfs/dbraw/zinc/46/04/48/1071460448.db2.gz RIOJFXUEADAYGH-IBGZPJMESA-N 0 0 446.570 -0.882 20 0 IBADRN CCOC(=O)[C@]1(C(C)C)CCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000585774214 1071460387 /nfs/dbraw/zinc/46/03/87/1071460387.db2.gz RIOJFXUEADAYGH-LJQANCHMSA-N 0 0 446.570 -0.882 20 0 IBADRN CN(Cc1ccc([S@@](C)=O)cc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000585774225 1071460396 /nfs/dbraw/zinc/46/03/96/1071460396.db2.gz UGNVKODJSBEEAC-MUUNZHRXSA-N 0 0 444.579 -0.924 20 0 IBADRN CN(Cc1ccc([S@](C)=O)cc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000585774226 1071460557 /nfs/dbraw/zinc/46/05/57/1071460557.db2.gz UGNVKODJSBEEAC-NDEPHWFRSA-N 0 0 444.579 -0.924 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CCO1 ZINC000585776013 1071461133 /nfs/dbraw/zinc/46/11/33/1071461133.db2.gz ILSFIJQFMMUKQD-FOIQADDNSA-N 0 0 443.566 -0.120 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CCO1 ZINC000585776022 1071461209 /nfs/dbraw/zinc/46/12/09/1071461209.db2.gz ILSFIJQFMMUKQD-MGPUTAFESA-N 0 0 443.566 -0.120 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CCO1 ZINC000585776024 1071461250 /nfs/dbraw/zinc/46/12/50/1071461250.db2.gz ILSFIJQFMMUKQD-QRWLVFNGSA-N 0 0 443.566 -0.120 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CCO1 ZINC000585776025 1071461151 /nfs/dbraw/zinc/46/11/51/1071461151.db2.gz ILSFIJQFMMUKQD-YWZLYKJASA-N 0 0 443.566 -0.120 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NCCCN2CCCS2(=O)=O)cc1)N1CCOCC1 ZINC000585808967 1071462959 /nfs/dbraw/zinc/46/29/59/1071462959.db2.gz BZHQCLBVYRRPPE-UHFFFAOYSA-N 0 0 431.536 -0.137 20 0 IBADRN CN(C)S(=O)(=O)CCN1c2ccccc2N(CCS(=O)(=O)N(C)C)S1(=O)=O ZINC000586141191 1072614847 /nfs/dbraw/zinc/61/48/47/1072614847.db2.gz CHXBDCXTPTYQGS-UHFFFAOYSA-N 0 0 440.569 -0.659 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2=O)c1 ZINC000586502201 1073000094 /nfs/dbraw/zinc/00/00/94/1073000094.db2.gz GKQVTIPGXNLERF-HNNXBMFYSA-N 0 0 439.538 -0.323 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2=O)c1 ZINC000586502210 1073000192 /nfs/dbraw/zinc/00/01/92/1073000192.db2.gz GKQVTIPGXNLERF-OAHLLOKOSA-N 0 0 439.538 -0.323 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)CC2=O)c1 ZINC000586512227 1073018363 /nfs/dbraw/zinc/01/83/63/1073018363.db2.gz NNMXBKBWAHDYST-AWEZNQCLSA-N 0 0 425.511 -0.066 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)CC2=O)c1 ZINC000586512231 1073018377 /nfs/dbraw/zinc/01/83/77/1073018377.db2.gz NNMXBKBWAHDYST-CQSZACIVSA-N 0 0 425.511 -0.066 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000586585236 1073571352 /nfs/dbraw/zinc/57/13/52/1073571352.db2.gz ZLRQFLOHWHTWGW-UHFFFAOYSA-N 0 0 425.559 -0.287 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000586595558 1073581448 /nfs/dbraw/zinc/58/14/48/1073581448.db2.gz XJQSSKZORFXENF-UHFFFAOYSA-N 0 0 448.567 -0.164 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NCCCN3CCCS3(=O)=O)CC2)cc1 ZINC000586604876 1073585110 /nfs/dbraw/zinc/58/51/10/1073585110.db2.gz IOSOYJVGSCSZKZ-UHFFFAOYSA-N 0 0 445.567 -0.409 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCCCN3CCCS3(=O)=O)CC2)no1 ZINC000586605534 1073585761 /nfs/dbraw/zinc/58/57/61/1073585761.db2.gz QSDUBZQIOYVXFB-UHFFFAOYSA-N 0 0 428.515 -0.326 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000586606034 1073585736 /nfs/dbraw/zinc/58/57/36/1073585736.db2.gz YWKFAORMJBKWKY-UHFFFAOYSA-N 0 0 449.555 -0.267 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000586748784 1073675924 /nfs/dbraw/zinc/67/59/24/1073675924.db2.gz BWLYSQSHRFDYSU-UHFFFAOYSA-N 0 0 429.524 -0.628 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000586748835 1073675820 /nfs/dbraw/zinc/67/58/20/1073675820.db2.gz SUWCXKNMDQPXQV-UHFFFAOYSA-N 0 0 443.551 -0.237 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCC2(NS(C)(=O)=O)CCCCC2)cn1C ZINC000586749181 1073675728 /nfs/dbraw/zinc/67/57/28/1073675728.db2.gz PGXQHVWAVNFKRY-UHFFFAOYSA-N 0 0 435.572 -0.235 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000586757734 1073675786 /nfs/dbraw/zinc/67/57/86/1073675786.db2.gz LNZUUODXFBUFTI-UHFFFAOYSA-N 0 0 435.524 -0.015 20 0 IBADRN CCOC(=O)Cc1cccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000586758509 1073675874 /nfs/dbraw/zinc/67/58/74/1073675874.db2.gz KEPODHMVIBYPGQ-UHFFFAOYSA-N 0 0 433.552 -0.352 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000586758510 1073675880 /nfs/dbraw/zinc/67/58/80/1073675880.db2.gz KGBUPFLFJMLBQL-UHFFFAOYSA-N 0 0 449.551 -0.273 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000586763001 1073675757 /nfs/dbraw/zinc/67/57/57/1073675757.db2.gz FZWMZENIQQEZHC-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCCN1CCCS1(=O)=O ZINC000586763115 1073675850 /nfs/dbraw/zinc/67/58/50/1073675850.db2.gz VXXBYSFJIYTDMF-UHFFFAOYSA-N 0 0 447.535 -0.332 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCCN2CCCS2(=O)=O)cc(OC)c1OC ZINC000586763156 1073675810 /nfs/dbraw/zinc/67/58/10/1073675810.db2.gz ZUXUZGZYXCLCMO-UHFFFAOYSA-N 0 0 429.495 -0.016 20 0 IBADRN Cc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000586769167 1071262586 /nfs/dbraw/zinc/26/25/86/1071262586.db2.gz DJZLOLVGNVMLBY-UHFFFAOYSA-N 0 0 447.583 -0.056 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000586776834 1073675833 /nfs/dbraw/zinc/67/58/33/1073675833.db2.gz PULNRDGUQLKFSC-UHFFFAOYSA-N 0 0 445.567 -0.075 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000586778560 1073675907 /nfs/dbraw/zinc/67/59/07/1073675907.db2.gz DOOIVHWRGWMSFN-UHFFFAOYSA-N 0 0 439.538 -0.142 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCn3ccccc3=O)CC2)cc1 ZINC000586829123 1073677047 /nfs/dbraw/zinc/67/70/47/1073677047.db2.gz QLHIBFOOSNUHGY-UHFFFAOYSA-N 0 0 426.520 -0.498 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)nn1 ZINC000586837727 1073676283 /nfs/dbraw/zinc/67/62/83/1073676283.db2.gz HTXKMFDDMRZXLY-NSHDSACASA-N 0 0 438.466 -0.494 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)nn1 ZINC000586837736 1073676206 /nfs/dbraw/zinc/67/62/06/1073676206.db2.gz NNJGCCCJAWUQFQ-CYBMUJFWSA-N 0 0 440.482 -0.113 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)nn1 ZINC000586837737 1073676242 /nfs/dbraw/zinc/67/62/42/1073676242.db2.gz NNJGCCCJAWUQFQ-ZDUSSCGKSA-N 0 0 440.482 -0.113 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)NCC(=O)N1CCN(c3ccccc3)CC1)CC2 ZINC000586844593 1071264114 /nfs/dbraw/zinc/26/41/14/1071264114.db2.gz UEEZJYOYYZICFW-KRWDZBQOSA-N 0 0 439.520 -0.270 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)C(=O)NCC(=O)N1CCN(c3ccccc3)CC1)CC2 ZINC000586844594 1073676285 /nfs/dbraw/zinc/67/62/85/1073676285.db2.gz UEEZJYOYYZICFW-QGZVFWFLSA-N 0 0 439.520 -0.270 20 0 IBADRN COC(=O)[C@]1(C)CN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@H]1C ZINC000586858626 1073677061 /nfs/dbraw/zinc/67/70/61/1073677061.db2.gz KEMOZTAUOAIVHS-QVKFZJNVSA-N 0 0 446.508 -0.711 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCO[C@H](C(=O)OC(C)(C)C)C1 ZINC000586858674 1073677160 /nfs/dbraw/zinc/67/71/60/1073677160.db2.gz UJUHUYALCKAYKN-INIZCTEOSA-N 0 0 434.493 -0.232 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCO[C@@H](C(=O)OC(C)(C)C)C1 ZINC000586858677 1073677038 /nfs/dbraw/zinc/67/70/38/1073677038.db2.gz UJUHUYALCKAYKN-MRXNPFEDSA-N 0 0 434.493 -0.232 20 0 IBADRN CCc1nnc2n1C[C@H](NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1)CC2 ZINC000586868013 1073677540 /nfs/dbraw/zinc/67/75/40/1073677540.db2.gz SHZFHFLLEQQKHF-CABCVRRESA-N 0 0 449.537 -0.514 20 0 IBADRN CCc1nnc2n1C[C@@H](NC(=O)C(=O)N1CCS(=O)(=O)C[C@H]1c1cnn(CC)c1)CC2 ZINC000586868014 1073677692 /nfs/dbraw/zinc/67/76/92/1073677692.db2.gz SHZFHFLLEQQKHF-GJZGRUSLSA-N 0 0 449.537 -0.514 20 0 IBADRN CCc1nnc2n1C[C@H](NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1)CC2 ZINC000586868015 1073677508 /nfs/dbraw/zinc/67/75/08/1073677508.db2.gz SHZFHFLLEQQKHF-HUUCEWRRSA-N 0 0 449.537 -0.514 20 0 IBADRN CCc1nnc2n1C[C@@H](NC(=O)C(=O)N1CCS(=O)(=O)C[C@@H]1c1cnn(CC)c1)CC2 ZINC000586868016 1073677465 /nfs/dbraw/zinc/67/74/65/1073677465.db2.gz SHZFHFLLEQQKHF-LSDHHAIUSA-N 0 0 449.537 -0.514 20 0 IBADRN O=C(CCNC(=O)NCCCN1CCCS1(=O)=O)N1CCN(c2ccccn2)CC1 ZINC000587119198 1073677475 /nfs/dbraw/zinc/67/74/75/1073677475.db2.gz VPPSTJQLKNKRGW-UHFFFAOYSA-N 0 0 438.554 -0.155 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000587123958 1073677516 /nfs/dbraw/zinc/67/75/16/1073677516.db2.gz MSMJNHLQGONSQO-UHFFFAOYSA-N 0 0 437.522 -0.517 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000587157597 1073677575 /nfs/dbraw/zinc/67/75/75/1073677575.db2.gz MRBHWCYPYLBUPR-GOSISDBHSA-N 0 0 438.510 -0.625 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000587157604 1073677699 /nfs/dbraw/zinc/67/76/99/1073677699.db2.gz MRBHWCYPYLBUPR-SFHVURJKSA-N 0 0 438.510 -0.625 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCCN(C)S(C)(=O)=O)c(S(N)(=O)=O)c1 ZINC000587192536 1073678379 /nfs/dbraw/zinc/67/83/79/1073678379.db2.gz YVOSVHHCZHMGHD-UHFFFAOYSA-N 0 0 428.558 -0.722 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@@H](NS(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000587192551 1073678161 /nfs/dbraw/zinc/67/81/61/1073678161.db2.gz ZFPILQIFIFLPBX-LLVKDONJSA-N 0 0 440.569 -0.898 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@H](NS(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000587192554 1073678279 /nfs/dbraw/zinc/67/82/79/1073678279.db2.gz ZFPILQIFIFLPBX-NSHDSACASA-N 0 0 440.569 -0.898 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000587296497 1071286271 /nfs/dbraw/zinc/28/62/71/1071286271.db2.gz QFJDJHDKCQLHIZ-UHFFFAOYSA-N 0 0 428.536 -0.212 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(F)(F)F)c[nH]c2=O)CC1 ZINC000587449293 1071313129 /nfs/dbraw/zinc/31/31/29/1071313129.db2.gz IGDBGGJPNCTUDV-UHFFFAOYSA-N 0 0 425.389 -0.305 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCO1 ZINC000587630406 1072161753 /nfs/dbraw/zinc/16/17/53/1072161753.db2.gz AJOZRVANUZJEJS-AWEZNQCLSA-N 0 0 441.554 -0.222 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CCO1 ZINC000587630408 1072161810 /nfs/dbraw/zinc/16/18/10/1072161810.db2.gz AJOZRVANUZJEJS-CQSZACIVSA-N 0 0 441.554 -0.222 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCO[C@@H](C)C1 ZINC000587630736 1072161786 /nfs/dbraw/zinc/16/17/86/1072161786.db2.gz IFCPUPYJULKIGA-HNNXBMFYSA-N 0 0 434.493 -0.057 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCO[C@H](C)C1 ZINC000587630745 1071315387 /nfs/dbraw/zinc/31/53/87/1071315387.db2.gz IFCPUPYJULKIGA-OAHLLOKOSA-N 0 0 434.493 -0.057 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000587630870 1072161088 /nfs/dbraw/zinc/16/10/88/1072161088.db2.gz KURXECYLJWOOPE-APWZRJJASA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000587630894 1072161103 /nfs/dbraw/zinc/16/11/03/1072161103.db2.gz KURXECYLJWOOPE-LPHOPBHVSA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000587630896 1072161774 /nfs/dbraw/zinc/16/17/74/1072161774.db2.gz KURXECYLJWOOPE-QFBILLFUSA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCO1 ZINC000587630898 1071315450 /nfs/dbraw/zinc/31/54/50/1071315450.db2.gz KURXECYLJWOOPE-VQIMIIECSA-N 0 0 446.504 -0.524 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCO[C@@H](C)C2)cc1 ZINC000587631176 1072161076 /nfs/dbraw/zinc/16/10/76/1072161076.db2.gz ROFZHEMNVXOKNU-CABCVRRESA-N 0 0 442.538 -0.225 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCO[C@@H](C)C2)cc1 ZINC000587631178 1071315468 /nfs/dbraw/zinc/31/54/68/1071315468.db2.gz ROFZHEMNVXOKNU-GJZGRUSLSA-N 0 0 442.538 -0.225 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCO[C@H](C)C2)cc1 ZINC000587631180 1071315680 /nfs/dbraw/zinc/31/56/80/1071315680.db2.gz ROFZHEMNVXOKNU-HUUCEWRRSA-N 0 0 442.538 -0.225 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCO[C@H](C)C2)cc1 ZINC000587631182 1072161060 /nfs/dbraw/zinc/16/10/60/1072161060.db2.gz ROFZHEMNVXOKNU-LSDHHAIUSA-N 0 0 442.538 -0.225 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000587635232 1071315779 /nfs/dbraw/zinc/31/57/79/1071315779.db2.gz BJJHKWOEWHHHRZ-HNNXBMFYSA-N 0 0 440.522 -0.517 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000587635242 1072161792 /nfs/dbraw/zinc/16/17/92/1072161792.db2.gz BJJHKWOEWHHHRZ-OAHLLOKOSA-N 0 0 440.522 -0.517 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCN1CCO[C@H](C)C1 ZINC000587635352 1071315430 /nfs/dbraw/zinc/31/54/30/1071315430.db2.gz DPVPXLMHUNUBPI-CYBMUJFWSA-N 0 0 440.522 -0.089 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCN1CCO[C@@H](C)C1 ZINC000587635353 1072161816 /nfs/dbraw/zinc/16/18/16/1072161816.db2.gz DPVPXLMHUNUBPI-ZDUSSCGKSA-N 0 0 440.522 -0.089 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCO[C@H](C)C1 ZINC000587636471 1071315732 /nfs/dbraw/zinc/31/57/32/1071315732.db2.gz TUODAGJCVIUIAC-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCO[C@@H](C)C1 ZINC000587636472 1071315408 /nfs/dbraw/zinc/31/54/08/1071315408.db2.gz TUODAGJCVIUIAC-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCCN2CCCS2(=O)=O)c(S(N)(=O)=O)c1 ZINC000587780311 1072164846 /nfs/dbraw/zinc/16/48/46/1072164846.db2.gz DIQMFHUMFHXEIY-UHFFFAOYSA-N 0 0 440.569 -0.578 20 0 IBADRN COC(=O)c1ccc2c(c1)CN(c1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C2 ZINC000587972345 1072164836 /nfs/dbraw/zinc/16/48/36/1072164836.db2.gz OSNYZWOOHVQDIW-NVQRDWNXSA-N 0 0 427.417 -0.255 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCC(C)(C)NS(C)(=O)=O)c(S(N)(=O)=O)c1 ZINC000587974322 1071318317 /nfs/dbraw/zinc/31/83/17/1071318317.db2.gz QWVBGCHRJKQQQT-UHFFFAOYSA-N 0 0 428.558 -0.676 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@@H](CS(N)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000587976324 1072164888 /nfs/dbraw/zinc/16/48/88/1072164888.db2.gz YWIVYOXCZXKORR-LLVKDONJSA-N 0 0 440.569 -0.911 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@H](CS(N)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC000587976325 1072164931 /nfs/dbraw/zinc/16/49/31/1072164931.db2.gz YWIVYOXCZXKORR-NSHDSACASA-N 0 0 440.569 -0.911 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000587980963 1071318733 /nfs/dbraw/zinc/31/87/33/1071318733.db2.gz CAOXKBJCGUCXLD-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000587981073 1072165701 /nfs/dbraw/zinc/16/57/01/1072165701.db2.gz IQSGDTZXEBACDJ-UHFFFAOYSA-N 0 0 444.535 -0.392 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c(OCC(=O)N(C)C)c1 ZINC000587981083 1072165678 /nfs/dbraw/zinc/16/56/78/1072165678.db2.gz JDJUTKKEFLPASO-UHFFFAOYSA-N 0 0 440.522 -0.058 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000587981204 1072165795 /nfs/dbraw/zinc/16/57/95/1072165795.db2.gz PRRFJGFIIMEQFD-UHFFFAOYSA-N 0 0 439.523 -0.136 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)ccc1C ZINC000587981214 1072165737 /nfs/dbraw/zinc/16/57/37/1072165737.db2.gz QCNFMJFAAAKEBE-UHFFFAOYSA-N 0 0 432.524 -0.617 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000587981289 1072165602 /nfs/dbraw/zinc/16/56/02/1072165602.db2.gz UXAMVJCGPDPMQT-UHFFFAOYSA-N 0 0 433.490 -0.177 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1S(=O)(=O)N(C)C ZINC000587981590 1072165756 /nfs/dbraw/zinc/16/57/56/1072165756.db2.gz FAWLHDOGDAFSBY-UHFFFAOYSA-N 0 0 446.551 -0.274 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000587981691 1072165724 /nfs/dbraw/zinc/16/57/24/1072165724.db2.gz LZQQNZSWYNBTTL-UHFFFAOYSA-N 0 0 426.495 -0.318 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000587981706 1072165655 /nfs/dbraw/zinc/16/56/55/1072165655.db2.gz MXUHBUCWQYPPDC-UHFFFAOYSA-N 0 0 426.495 -0.318 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000587981717 1072165712 /nfs/dbraw/zinc/16/57/12/1072165712.db2.gz NUAGOJCFRGGVFK-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000587981794 1072165690 /nfs/dbraw/zinc/16/56/90/1072165690.db2.gz SGKCZIARAROXQS-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000587981796 1072165696 /nfs/dbraw/zinc/16/56/96/1072165696.db2.gz SIHPXJIJCSIOQB-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN CCCC(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000587985612 1072165670 /nfs/dbraw/zinc/16/56/70/1072165670.db2.gz CUSUCWCLLNXYQA-CYBMUJFWSA-N 0 0 444.492 -0.274 20 0 IBADRN CCCC(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000587985613 1072165747 /nfs/dbraw/zinc/16/57/47/1072165747.db2.gz CUSUCWCLLNXYQA-ZDUSSCGKSA-N 0 0 444.492 -0.274 20 0 IBADRN CC(C)(C)C1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(S(N)(=O)=O)CC1)C2=O ZINC000588000451 1072165729 /nfs/dbraw/zinc/16/57/29/1072165729.db2.gz BDQDUMLTQUALHY-UHFFFAOYSA-N 0 0 429.543 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)N3CCN(S(N)(=O)=O)CC3)C2)s1 ZINC000588000488 1072165708 /nfs/dbraw/zinc/16/57/08/1072165708.db2.gz KEZNKSLQIDTYFL-CYBMUJFWSA-N 0 0 436.581 -0.195 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)N3CCN(S(N)(=O)=O)CC3)C2)s1 ZINC000588000489 1072165638 /nfs/dbraw/zinc/16/56/38/1072165638.db2.gz KEZNKSLQIDTYFL-ZDUSSCGKSA-N 0 0 436.581 -0.195 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(N)(=O)=O)CC2)C1 ZINC000588000516 1072165665 /nfs/dbraw/zinc/16/56/65/1072165665.db2.gz RTWHFSQEEWQOKO-CYBMUJFWSA-N 0 0 435.528 -0.960 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(N)(=O)=O)CC2)C1 ZINC000588000517 1072165718 /nfs/dbraw/zinc/16/57/18/1072165718.db2.gz RTWHFSQEEWQOKO-ZDUSSCGKSA-N 0 0 435.528 -0.960 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(S(N)(=O)=O)CC3)CC2)cc1 ZINC000588000683 1072166237 /nfs/dbraw/zinc/16/62/37/1072166237.db2.gz FNGNZXXAVVJZOS-UHFFFAOYSA-N 0 0 446.551 -0.556 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3ccc(F)cc3)C2)CC1 ZINC000588000696 1072166177 /nfs/dbraw/zinc/16/61/77/1072166177.db2.gz LKQVDFPPBRYCIC-CYBMUJFWSA-N 0 0 434.515 -0.426 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3ccc(F)cc3)C2)CC1 ZINC000588000697 1072166148 /nfs/dbraw/zinc/16/61/48/1072166148.db2.gz LKQVDFPPBRYCIC-ZDUSSCGKSA-N 0 0 434.515 -0.426 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(S(N)(=O)=O)CC3)CC2)cc1 ZINC000588000701 1072166229 /nfs/dbraw/zinc/16/62/29/1072166229.db2.gz MOMFZZYSMWVEEA-UHFFFAOYSA-N 0 0 430.552 -0.257 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC2)c(C(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000588000730 1072166335 /nfs/dbraw/zinc/16/63/35/1072166335.db2.gz XFZHUBUDXZKVHW-UHFFFAOYSA-N 0 0 445.567 -0.502 20 0 IBADRN CCOC(=O)CCC1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000588004843 1072166218 /nfs/dbraw/zinc/16/62/18/1072166218.db2.gz UWHCVYXSJAOZMU-AEVYOOLXSA-N 0 0 435.481 -0.003 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)c1 ZINC000588005024 1072166185 /nfs/dbraw/zinc/16/61/85/1072166185.db2.gz WJQPXLAHSKRDNN-UHFFFAOYSA-N 0 0 436.475 -0.168 20 0 IBADRN CCOC(=O)CC[C@@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000588005310 1072166318 /nfs/dbraw/zinc/16/63/18/1072166318.db2.gz PFQSSTLBDIRRKZ-JDLZUTDUSA-N 0 0 435.481 -0.003 20 0 IBADRN CCOC(=O)CC[C@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000588005311 1072166286 /nfs/dbraw/zinc/16/62/86/1072166286.db2.gz PFQSSTLBDIRRKZ-SXOQPLQPSA-N 0 0 435.481 -0.003 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000588009714 1072166310 /nfs/dbraw/zinc/16/63/10/1072166310.db2.gz FDSISRXZTJYHMN-CYBMUJFWSA-N 0 0 431.536 -0.217 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000588009715 1071318613 /nfs/dbraw/zinc/31/86/13/1071318613.db2.gz FDSISRXZTJYHMN-ZDUSSCGKSA-N 0 0 431.536 -0.217 20 0 IBADRN COC(=O)C(C)(C)[C@@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000588011841 1072167060 /nfs/dbraw/zinc/16/70/60/1072167060.db2.gz XKHNVUNNJMQCQW-AJKMGBEJSA-N 0 0 435.481 -0.147 20 0 IBADRN COC(=O)C(C)(C)[C@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000588011842 1072166879 /nfs/dbraw/zinc/16/68/79/1072166879.db2.gz XKHNVUNNJMQCQW-URQYDQELSA-N 0 0 435.481 -0.147 20 0 IBADRN COCCN(CC(=O)OC(C)(C)C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000588011899 1072166160 /nfs/dbraw/zinc/16/61/60/1072166160.db2.gz AKVGDEDWRKRHCG-XKLVTHTNSA-N 0 0 439.469 -0.768 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C1 ZINC000588012943 1072167052 /nfs/dbraw/zinc/16/70/52/1072167052.db2.gz DOGSUQSRZXCUDT-NRFANRHFSA-N 0 0 431.493 -0.189 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C1 ZINC000588012944 1072166930 /nfs/dbraw/zinc/16/69/30/1072166930.db2.gz DOGSUQSRZXCUDT-OAQYLSRUSA-N 0 0 431.493 -0.189 20 0 IBADRN COC(=O)[C@@](C)(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000588029041 1072167013 /nfs/dbraw/zinc/16/70/13/1072167013.db2.gz ABWARDMOVHIGQX-IQRVBBEISA-N 0 0 443.460 -0.019 20 0 IBADRN COC(=O)[C@](C)(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC000588029042 1072167076 /nfs/dbraw/zinc/16/70/76/1072167076.db2.gz ABWARDMOVHIGQX-NEVKOOKOSA-N 0 0 443.460 -0.019 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)CC2=O)cc1 ZINC000588029175 1072166946 /nfs/dbraw/zinc/16/69/46/1072166946.db2.gz QCIQXMSPBSSXDK-INIZCTEOSA-N 0 0 428.489 -0.007 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)CC2=O)cc1 ZINC000588029176 1072167039 /nfs/dbraw/zinc/16/70/39/1072167039.db2.gz QCIQXMSPBSSXDK-MRXNPFEDSA-N 0 0 428.489 -0.007 20 0 IBADRN CN(C)CCn1ccc(=NC(=O)c2ccc(C(=O)N=c3ccn(CCN(C)C)[nH]3)nc2)[nH]1 ZINC000588036698 1072166846 /nfs/dbraw/zinc/16/68/46/1072166846.db2.gz RBBJVCMKYXUQCJ-UHFFFAOYSA-N 0 0 439.524 -0.054 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCOC2)CC1 ZINC000588045887 1072166209 /nfs/dbraw/zinc/16/62/09/1072166209.db2.gz OESHQTYMYZEICD-CYBMUJFWSA-N 0 0 443.478 -0.579 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCOC2)CC1 ZINC000588045888 1072166196 /nfs/dbraw/zinc/16/61/96/1072166196.db2.gz OESHQTYMYZEICD-ZDUSSCGKSA-N 0 0 443.478 -0.579 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)c2cnccn2)cc1S(=O)(=O)N1CCOCC1 ZINC000588047085 1072166263 /nfs/dbraw/zinc/16/62/63/1072166263.db2.gz NRMDNEOPADVPSZ-UHFFFAOYSA-N 0 0 449.489 -0.334 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCNC(=O)c1cnccn1 ZINC000588047185 1072166299 /nfs/dbraw/zinc/16/62/99/1072166299.db2.gz XZUDPFHPFUGIQU-UHFFFAOYSA-N 0 0 449.489 -0.334 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)c2cnccn2)cc1S(=O)(=O)N1CCOCC1 ZINC000588047289 1072166243 /nfs/dbraw/zinc/16/62/43/1072166243.db2.gz ASVMOWDOBALIIR-UHFFFAOYSA-N 0 0 433.490 -0.034 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCNC(=O)c1cnccn1 ZINC000588047393 1072166254 /nfs/dbraw/zinc/16/62/54/1072166254.db2.gz OXDUFFZDAOVIDI-UHFFFAOYSA-N 0 0 439.519 -0.352 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCNC(=O)c1cnccn1 ZINC000588047449 1072166326 /nfs/dbraw/zinc/16/63/26/1072166326.db2.gz UVEGMMHPVWIOKA-UHFFFAOYSA-N 0 0 433.490 -0.034 20 0 IBADRN COc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1-n1nnnc1C ZINC000588055020 1072167554 /nfs/dbraw/zinc/16/75/54/1072167554.db2.gz ANLABAHXRARUPZ-UHFFFAOYSA-N 0 0 439.436 -0.245 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000588077544 1072166862 /nfs/dbraw/zinc/16/68/62/1072166862.db2.gz SIOBRNUUZQQCGP-AWEZNQCLSA-N 0 0 440.522 -0.595 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000588084599 1072166969 /nfs/dbraw/zinc/16/69/69/1072166969.db2.gz DXBPOXJVNBVKCC-CVEARBPZSA-N 0 0 437.518 -0.195 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000588084600 1072167082 /nfs/dbraw/zinc/16/70/82/1072167082.db2.gz DXBPOXJVNBVKCC-HOTGVXAUSA-N 0 0 437.518 -0.195 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000588084601 1072167497 /nfs/dbraw/zinc/16/74/97/1072167497.db2.gz DXBPOXJVNBVKCC-HZPDHXFCSA-N 0 0 437.518 -0.195 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000588084602 1072167603 /nfs/dbraw/zinc/16/76/03/1072167603.db2.gz DXBPOXJVNBVKCC-JKSUJKDBSA-N 0 0 437.518 -0.195 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2=O)cc1 ZINC000588090617 1072167424 /nfs/dbraw/zinc/16/74/24/1072167424.db2.gz VYUIZCLLGNYDES-KRWDZBQOSA-N 0 0 438.550 -0.263 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2=O)cc1 ZINC000588090618 1072167509 /nfs/dbraw/zinc/16/75/09/1072167509.db2.gz VYUIZCLLGNYDES-QGZVFWFLSA-N 0 0 438.550 -0.263 20 0 IBADRN COC(=O)C(C)(C)[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000588091944 1072169386 /nfs/dbraw/zinc/16/93/86/1072169386.db2.gz VFKZRGQXFHZTSP-AWEZNQCLSA-N 0 0 449.595 -0.081 20 0 IBADRN COC(=O)C(C)(C)[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000588091947 1072169401 /nfs/dbraw/zinc/16/94/01/1072169401.db2.gz VFKZRGQXFHZTSP-CQSZACIVSA-N 0 0 449.595 -0.081 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCOC[C@@H]2CCOC2)cn1 ZINC000588108368 1072167479 /nfs/dbraw/zinc/16/74/79/1072167479.db2.gz GAULHODWUHFKBF-GDBMZVCRSA-N 0 0 428.511 -0.630 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCOC[C@H]2CCOC2)cn1 ZINC000588108369 1072167437 /nfs/dbraw/zinc/16/74/37/1072167437.db2.gz GAULHODWUHFKBF-GOEBONIOSA-N 0 0 428.511 -0.630 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCOC[C@H]2CCOC2)cn1 ZINC000588108370 1072167572 /nfs/dbraw/zinc/16/75/72/1072167572.db2.gz GAULHODWUHFKBF-HOCLYGCPSA-N 0 0 428.511 -0.630 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NCCOC[C@@H]2CCOC2)cn1 ZINC000588108371 1072167470 /nfs/dbraw/zinc/16/74/70/1072167470.db2.gz GAULHODWUHFKBF-ZBFHGGJFSA-N 0 0 428.511 -0.630 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@@H]2CC(=O)N(C)C2)c(=O)[nH]c1=O ZINC000588109599 1072167515 /nfs/dbraw/zinc/16/75/15/1072167515.db2.gz ISUPPPHFJFFJKF-GFCCVEGCSA-N 0 0 438.485 -0.952 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N[C@H]2CC(=O)N(C)C2)c(=O)[nH]c1=O ZINC000588109600 1072167637 /nfs/dbraw/zinc/16/76/37/1072167637.db2.gz ISUPPPHFJFFJKF-LBPRGKRZSA-N 0 0 438.485 -0.952 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2CC(=O)N(C)C2)c1OC ZINC000588109666 1072167443 /nfs/dbraw/zinc/16/74/43/1072167443.db2.gz NQLDRPWFDVZEIM-GFCCVEGCSA-N 0 0 427.479 -0.315 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H]2CC(=O)N(C)C2)c1OC ZINC000588109667 1072167454 /nfs/dbraw/zinc/16/74/54/1072167454.db2.gz NQLDRPWFDVZEIM-LBPRGKRZSA-N 0 0 427.479 -0.315 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000588110666 1072167590 /nfs/dbraw/zinc/16/75/90/1072167590.db2.gz JSRJDGFCJSKUOA-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCNC(=O)c1cnccn1 ZINC000588114838 1072167645 /nfs/dbraw/zinc/16/76/45/1072167645.db2.gz IECWTWAUCWTJIG-UHFFFAOYSA-N 0 0 449.489 -0.148 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000588114926 1072167627 /nfs/dbraw/zinc/16/76/27/1072167627.db2.gz MRPNTVVQMCSDIO-UHFFFAOYSA-N 0 0 435.510 -0.237 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCNC(=O)c2cnccn2)cc1OC ZINC000588115074 1072167484 /nfs/dbraw/zinc/16/74/84/1072167484.db2.gz WUZLQVRPFIEPDX-UHFFFAOYSA-N 0 0 437.478 -0.292 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCNC(=O)c2cnccn2)c1 ZINC000588115095 1072167580 /nfs/dbraw/zinc/16/75/80/1072167580.db2.gz YETRRDFGJXNTEB-UHFFFAOYSA-N 0 0 434.478 -0.880 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000588115178 1072167531 /nfs/dbraw/zinc/16/75/31/1072167531.db2.gz XAWLIVXPOQMKPB-UHFFFAOYSA-N 0 0 427.570 -0.019 20 0 IBADRN COc1cc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)ccc1OCC(N)=O ZINC000588115826 1072168066 /nfs/dbraw/zinc/16/80/66/1072168066.db2.gz CAQSMYLHNOSTLB-UHFFFAOYSA-N 0 0 442.476 -0.182 20 0 IBADRN O=C(CCn1nnc2ccccc2c1=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588115828 1072168037 /nfs/dbraw/zinc/16/80/37/1072168037.db2.gz CDEKSKSVRBRXFD-UHFFFAOYSA-N 0 0 436.476 -0.173 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000588115918 1072168087 /nfs/dbraw/zinc/16/80/87/1072168087.db2.gz MPXHAQQDJYULIS-UHFFFAOYSA-N 0 0 432.506 -0.147 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588115987 1072168062 /nfs/dbraw/zinc/16/80/62/1072168062.db2.gz SXNHHHWSGZKYDM-UHFFFAOYSA-N 0 0 438.535 -0.329 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)o1 ZINC000588116014 1072168032 /nfs/dbraw/zinc/16/80/32/1072168032.db2.gz WERZBJXSQNTJKI-UHFFFAOYSA-N 0 0 436.494 -0.211 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588116040 1072168129 /nfs/dbraw/zinc/16/81/29/1072168129.db2.gz ZPWQOZHDGYVNLW-INIZCTEOSA-N 0 0 446.533 -0.002 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588116152 1072168117 /nfs/dbraw/zinc/16/81/17/1072168117.db2.gz DFNRATZHFQYCKI-UHFFFAOYSA-N 0 0 448.505 -0.399 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC000588116209 1072168121 /nfs/dbraw/zinc/16/81/21/1072168121.db2.gz JFPXSEZWWQXZND-UHFFFAOYSA-N 0 0 431.497 -0.258 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588116221 1072168044 /nfs/dbraw/zinc/16/80/44/1072168044.db2.gz JWZJFJBQEAUDBO-UHFFFAOYSA-N 0 0 443.508 -0.114 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)cnc21 ZINC000588116277 1072168027 /nfs/dbraw/zinc/16/80/27/1072168027.db2.gz QWXKQINMKVYXIZ-UHFFFAOYSA-N 0 0 438.448 -0.707 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000588116280 1072168040 /nfs/dbraw/zinc/16/80/40/1072168040.db2.gz RAQRKIMFLFHELA-UHFFFAOYSA-N 0 0 446.533 -0.088 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588116321 1072168109 /nfs/dbraw/zinc/16/81/09/1072168109.db2.gz VNZAMNDFLMOJJB-UHFFFAOYSA-N 0 0 432.506 -0.099 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)n1cccn1 ZINC000588116435 1072168048 /nfs/dbraw/zinc/16/80/48/1072168048.db2.gz DBKOZTJQGWUTHJ-INIZCTEOSA-N 0 0 428.497 -0.452 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)n1cccn1 ZINC000588116436 1072168022 /nfs/dbraw/zinc/16/80/22/1072168022.db2.gz DBKOZTJQGWUTHJ-MRXNPFEDSA-N 0 0 428.497 -0.452 20 0 IBADRN O=C(CCCS(=O)(=O)N1CCCC1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588116590 1072168138 /nfs/dbraw/zinc/16/81/38/1072168138.db2.gz RZXFJYAERROAGV-UHFFFAOYSA-N 0 0 438.554 -0.163 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000588116643 1072168077 /nfs/dbraw/zinc/16/80/77/1072168077.db2.gz YYJBIEHBHDQSNC-INIZCTEOSA-N 0 0 438.554 -0.307 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000588116644 1072168105 /nfs/dbraw/zinc/16/81/05/1072168105.db2.gz YYJBIEHBHDQSNC-MRXNPFEDSA-N 0 0 438.554 -0.307 20 0 IBADRN CN(CC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)S(=O)(=O)c1cccnc1 ZINC000588116723 1072168097 /nfs/dbraw/zinc/16/80/97/1072168097.db2.gz CQCIXXXMEXORJK-UHFFFAOYSA-N 0 0 447.521 -0.653 20 0 IBADRN Cc1oc(C)c(S(N)(=O)=O)c1C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588116774 1071318875 /nfs/dbraw/zinc/31/88/75/1071318875.db2.gz IDVGJAUDKAESHB-UHFFFAOYSA-N 0 0 436.494 -0.197 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)s1 ZINC000588116812 1072168055 /nfs/dbraw/zinc/16/80/55/1072168055.db2.gz LFWMQJAOYQRMJN-UHFFFAOYSA-N 0 0 438.535 -0.417 20 0 IBADRN CCN(C)C(=O)[C@H]1CSCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000588121535 1072168803 /nfs/dbraw/zinc/16/88/03/1072168803.db2.gz AAXIGSQACKLIGB-GFCCVEGCSA-N 0 0 428.492 -0.378 20 0 IBADRN CCN(C)C(=O)[C@@H]1CSCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000588121536 1072168678 /nfs/dbraw/zinc/16/86/78/1072168678.db2.gz AAXIGSQACKLIGB-LBPRGKRZSA-N 0 0 428.492 -0.378 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cc1 ZINC000588122876 1072168768 /nfs/dbraw/zinc/16/87/68/1072168768.db2.gz IFTXIWDBUNQZSB-UHFFFAOYSA-N 0 0 443.507 -0.001 20 0 IBADRN COc1ccc(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1-n1nnnc1C ZINC000588125276 1072168715 /nfs/dbraw/zinc/16/87/15/1072168715.db2.gz JBOBUXWTPVOJOD-GXTWGEPZSA-N 0 0 434.478 -0.047 20 0 IBADRN COc1ccc(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1-n1nnnc1C ZINC000588125277 1072168711 /nfs/dbraw/zinc/16/87/11/1072168711.db2.gz JBOBUXWTPVOJOD-JSGCOSHPSA-N 0 0 434.478 -0.047 20 0 IBADRN COc1ccc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1-n1nnnc1C ZINC000588125278 1072168713 /nfs/dbraw/zinc/16/87/13/1072168713.db2.gz JBOBUXWTPVOJOD-OCCSQVGLSA-N 0 0 434.478 -0.047 20 0 IBADRN COc1ccc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1-n1nnnc1C ZINC000588125279 1072168706 /nfs/dbraw/zinc/16/87/06/1072168706.db2.gz JBOBUXWTPVOJOD-TZMCWYRMSA-N 0 0 434.478 -0.047 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000588131082 1072170116 /nfs/dbraw/zinc/17/01/16/1072170116.db2.gz OKWJTHPKJKYMRM-UHFFFAOYSA-N 0 0 425.554 -0.455 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(C[C@H]3CN(C)CCO3)C[C@H]1C)CC2 ZINC000588134986 1072170097 /nfs/dbraw/zinc/17/00/97/1072170097.db2.gz BWNJJXBDIGZJFZ-BPQIPLTHSA-N 0 0 433.557 -0.872 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(C[C@@H]3CN(C)CCO3)C[C@H]1C)CC2 ZINC000588134987 1072170026 /nfs/dbraw/zinc/17/00/26/1072170026.db2.gz BWNJJXBDIGZJFZ-NJAFHUGGSA-N 0 0 433.557 -0.872 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(C[C@H]3CN(C)CCO3)C[C@@H]1C)CC2 ZINC000588134988 1072169997 /nfs/dbraw/zinc/16/99/97/1072169997.db2.gz BWNJJXBDIGZJFZ-RYQLBKOJSA-N 0 0 433.557 -0.872 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)C(=O)N1CCN(C[C@@H]3CN(C)CCO3)C[C@@H]1C)CC2 ZINC000588134989 1072170060 /nfs/dbraw/zinc/17/00/60/1072170060.db2.gz BWNJJXBDIGZJFZ-SZMVWBNQSA-N 0 0 433.557 -0.872 20 0 IBADRN COc1cc(C)nc(N2CCN(C(=O)C(=O)NC[C@@H]3CCc4nnc(C)n4C3)CC2)n1 ZINC000588135127 1072170133 /nfs/dbraw/zinc/17/01/33/1072170133.db2.gz DVFVSYVMBBGNEL-HNNXBMFYSA-N 0 0 428.497 -0.279 20 0 IBADRN COc1cc(C)nc(N2CCN(C(=O)C(=O)NC[C@H]3CCc4nnc(C)n4C3)CC2)n1 ZINC000588135128 1072170157 /nfs/dbraw/zinc/17/01/57/1072170157.db2.gz DVFVSYVMBBGNEL-OAHLLOKOSA-N 0 0 428.497 -0.279 20 0 IBADRN CCN(C[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)CCO1)c1cccnn1 ZINC000588135617 1072170171 /nfs/dbraw/zinc/17/01/71/1072170171.db2.gz ZEQCTHAFANLMHA-DLBZAZTESA-N 0 0 442.524 -0.191 20 0 IBADRN CCN(C[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)CCO1)c1cccnn1 ZINC000588135618 1072170179 /nfs/dbraw/zinc/17/01/79/1072170179.db2.gz ZEQCTHAFANLMHA-IAGOWNOFSA-N 0 0 442.524 -0.191 20 0 IBADRN CCN(C[C@H]1CN(C(=O)C(=O)NC[C@@H]2CCc3nnc(C)n3C2)CCO1)c1cccnn1 ZINC000588135619 1071319200 /nfs/dbraw/zinc/31/92/00/1071319200.db2.gz ZEQCTHAFANLMHA-IRXDYDNUSA-N 0 0 442.524 -0.191 20 0 IBADRN CCN(C[C@H]1CN(C(=O)C(=O)NC[C@H]2CCc3nnc(C)n3C2)CCO1)c1cccnn1 ZINC000588135620 1072170049 /nfs/dbraw/zinc/17/00/49/1072170049.db2.gz ZEQCTHAFANLMHA-SJORKVTESA-N 0 0 442.524 -0.191 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)[C@H](C)C1 ZINC000588136918 1072170081 /nfs/dbraw/zinc/17/00/81/1072170081.db2.gz IBKQGDJXXIJSIJ-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCC(=O)N1CCc2ccccc2C1 ZINC000588138880 1072170161 /nfs/dbraw/zinc/17/01/61/1072170161.db2.gz RWWBMRJXFTUCDA-UHFFFAOYSA-N 0 0 444.469 -0.057 20 0 IBADRN COC(=O)C[C@@H]1COCCN1S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000588140497 1072170043 /nfs/dbraw/zinc/17/00/43/1072170043.db2.gz VMUXHZIDZGOWOB-ADLMAVQZSA-N 0 0 441.462 -0.298 20 0 IBADRN COC(=O)C[C@H]1COCCN1S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000588140498 1072170056 /nfs/dbraw/zinc/17/00/56/1072170056.db2.gz VMUXHZIDZGOWOB-BBATYDOGSA-N 0 0 441.462 -0.298 20 0 IBADRN COC(=O)C[C@H]1COCCN1S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000588140499 1072170130 /nfs/dbraw/zinc/17/01/30/1072170130.db2.gz VMUXHZIDZGOWOB-VOJFVSQTSA-N 0 0 441.462 -0.298 20 0 IBADRN COC(=O)C[C@@H]1COCCN1S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000588140500 1072169987 /nfs/dbraw/zinc/16/99/87/1072169987.db2.gz VMUXHZIDZGOWOB-ZMZPIMSZSA-N 0 0 441.462 -0.298 20 0 IBADRN COC(=O)C[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000588140997 1072170186 /nfs/dbraw/zinc/17/01/86/1072170186.db2.gz KENAYMRMVVQJLO-AWEZNQCLSA-N 0 0 448.519 -0.340 20 0 IBADRN COC(=O)C[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000588140998 1072170091 /nfs/dbraw/zinc/17/00/91/1072170091.db2.gz KENAYMRMVVQJLO-CQSZACIVSA-N 0 0 448.519 -0.340 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@H]2CN(CC)CCO2)cn1 ZINC000588146668 1072170144 /nfs/dbraw/zinc/17/01/44/1072170144.db2.gz UTPZAVMBCRXAMT-CVEARBPZSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@H]2CN(CC)CCO2)cn1 ZINC000588146669 1072169960 /nfs/dbraw/zinc/16/99/60/1072169960.db2.gz UTPZAVMBCRXAMT-HOTGVXAUSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@@H]2CN(CC)CCO2)cn1 ZINC000588146670 1072170874 /nfs/dbraw/zinc/17/08/74/1072170874.db2.gz UTPZAVMBCRXAMT-HZPDHXFCSA-N 0 0 427.527 -0.962 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)NC[C@H]2CN(CC)CCO2)cn1 ZINC000588146671 1072170759 /nfs/dbraw/zinc/17/07/59/1072170759.db2.gz UTPZAVMBCRXAMT-JKSUJKDBSA-N 0 0 427.527 -0.962 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000588148427 1072170578 /nfs/dbraw/zinc/17/05/78/1072170578.db2.gz BAGOMPQBANTHQK-UHFFFAOYSA-N 0 0 430.486 -0.122 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)[C@H](C)C1 ZINC000588159245 1072169419 /nfs/dbraw/zinc/16/94/19/1072169419.db2.gz GPTCUSCDDFEKQB-CVEARBPZSA-N 0 0 445.520 -0.424 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)[C@@H](C)C1 ZINC000588159246 1072169440 /nfs/dbraw/zinc/16/94/40/1072169440.db2.gz GPTCUSCDDFEKQB-HOTGVXAUSA-N 0 0 445.520 -0.424 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)[C@H](C)C1 ZINC000588159247 1072169290 /nfs/dbraw/zinc/16/92/90/1072169290.db2.gz GPTCUSCDDFEKQB-HZPDHXFCSA-N 0 0 445.520 -0.424 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)[C@@H](C)C1 ZINC000588159248 1072169458 /nfs/dbraw/zinc/16/94/58/1072169458.db2.gz GPTCUSCDDFEKQB-JKSUJKDBSA-N 0 0 445.520 -0.424 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000588159823 1072169313 /nfs/dbraw/zinc/16/93/13/1072169313.db2.gz IOWDWVYBTVPFKB-HNNXBMFYSA-N 0 0 445.520 -0.424 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000588159824 1072169307 /nfs/dbraw/zinc/16/93/07/1072169307.db2.gz IOWDWVYBTVPFKB-OAHLLOKOSA-N 0 0 445.520 -0.424 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000588168162 1072173536 /nfs/dbraw/zinc/17/35/36/1072173536.db2.gz QTIIQFCCYVKCDC-GJZGRUSLSA-N 0 0 445.563 -0.307 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000588168163 1072173484 /nfs/dbraw/zinc/17/34/84/1072173484.db2.gz QTIIQFCCYVKCDC-LSDHHAIUSA-N 0 0 445.563 -0.307 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1 ZINC000588170566 1072172029 /nfs/dbraw/zinc/17/20/29/1072172029.db2.gz NQNZKXADBKXCIN-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(c4ncccc4C(N)=O)CC3)cc2n(C)c1=O ZINC000588170576 1072172175 /nfs/dbraw/zinc/17/21/75/1072172175.db2.gz OPNUQUQNJYNCDF-UHFFFAOYSA-N 0 0 430.490 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)NCCNC(=O)c2cnccn2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000588171483 1072172084 /nfs/dbraw/zinc/17/20/84/1072172084.db2.gz ZJHQFDCTYRWLOJ-GOSISDBHSA-N 0 0 448.461 -0.752 20 0 IBADRN COc1ccc(S(=O)(=O)NCCNC(=O)c2cnccn2)cc1[C@]1(C)NC(=O)NC1=O ZINC000588171484 1072172039 /nfs/dbraw/zinc/17/20/39/1072172039.db2.gz ZJHQFDCTYRWLOJ-SFHVURJKSA-N 0 0 448.461 -0.752 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1C(N)=O ZINC000588171517 1072172076 /nfs/dbraw/zinc/17/20/76/1072172076.db2.gz AISQCICBHRQLMS-UHFFFAOYSA-N 0 0 432.506 -0.099 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(-n2cnnn2)c1)N1CCN(c2ncccn2)CC1 ZINC000588171543 1072172210 /nfs/dbraw/zinc/17/22/10/1072172210.db2.gz CWTBPPBQXIZAKK-UHFFFAOYSA-N 0 0 443.493 -0.531 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)cc2oc1=O ZINC000588171576 1072172949 /nfs/dbraw/zinc/17/29/49/1072172949.db2.gz HDNPLBDJJGYQBI-UHFFFAOYSA-N 0 0 446.489 -0.061 20 0 IBADRN CN(CCS(=O)(=O)NCCNC(=O)c1cnccn1)S(=O)(=O)c1ccc(F)cc1 ZINC000588171609 1072172193 /nfs/dbraw/zinc/17/21/93/1072172193.db2.gz IXBKHXZEYNCYOI-UHFFFAOYSA-N 0 0 445.498 -0.414 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(-n2cnnn2)cc1)N1CCN(c2ncccn2)CC1 ZINC000588171793 1072172016 /nfs/dbraw/zinc/17/20/16/1072172016.db2.gz VASHILQIEOFFRW-UHFFFAOYSA-N 0 0 443.493 -0.531 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1C ZINC000588171841 1072172810 /nfs/dbraw/zinc/17/28/10/1072172810.db2.gz YJCCCTWZPDLKNZ-UHFFFAOYSA-N 0 0 435.510 -0.808 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000588181601 1072172960 /nfs/dbraw/zinc/17/29/60/1072172960.db2.gz PZJOGDVJHQHGAX-UHFFFAOYSA-N 0 0 433.494 -0.016 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000588183986 1072172826 /nfs/dbraw/zinc/17/28/26/1072172826.db2.gz JOUCWDAASFDRID-UHFFFAOYSA-N 0 0 448.505 -0.273 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)nc1C ZINC000588187349 1072172787 /nfs/dbraw/zinc/17/27/87/1072172787.db2.gz SCRWDEFEVJSPTI-UHFFFAOYSA-N 0 0 439.538 -0.087 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)CC1 ZINC000588187696 1072172803 /nfs/dbraw/zinc/17/28/03/1072172803.db2.gz IBZHJIDVABBWMQ-HNNXBMFYSA-N 0 0 426.514 -0.776 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)CC1 ZINC000588187697 1072172939 /nfs/dbraw/zinc/17/29/39/1072172939.db2.gz IBZHJIDVABBWMQ-OAHLLOKOSA-N 0 0 426.514 -0.776 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000588188896 1072172849 /nfs/dbraw/zinc/17/28/49/1072172849.db2.gz KMZKGVRKHGUBPX-UHFFFAOYSA-N 0 0 425.449 -0.722 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3cnccn3)CC2)cc1 ZINC000588193725 1072172933 /nfs/dbraw/zinc/17/29/33/1072172933.db2.gz BHBZDEQQUKUSNG-UHFFFAOYSA-N 0 0 448.505 -0.069 20 0 IBADRN O=C(NCCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)c1cnccn1 ZINC000588193953 1072172862 /nfs/dbraw/zinc/17/28/62/1072172862.db2.gz MSHOKEYJIYEGPK-UHFFFAOYSA-N 0 0 442.505 -0.197 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NCCNC(=O)c3cnccn3)CC2)cc1 ZINC000588194109 1072172822 /nfs/dbraw/zinc/17/28/22/1072172822.db2.gz VYRDPUSLEVOFQM-UHFFFAOYSA-N 0 0 433.494 -0.614 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)[C@@H](C)C1 ZINC000588204732 1072172835 /nfs/dbraw/zinc/17/28/35/1072172835.db2.gz COSAYARVPXBTOH-INIZCTEOSA-N 0 0 433.509 -0.370 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)[C@H](C)C1 ZINC000588204733 1072173377 /nfs/dbraw/zinc/17/33/77/1072173377.db2.gz COSAYARVPXBTOH-MRXNPFEDSA-N 0 0 433.509 -0.370 20 0 IBADRN O=C(NCc1cc(F)cc2c1OCOC2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000588206526 1072173360 /nfs/dbraw/zinc/17/33/60/1072173360.db2.gz KCCWGIOOXJBREW-UHFFFAOYSA-N 0 0 436.440 -0.095 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCc3cccc(C(N)=O)c3)CC2)CC1 ZINC000588209862 1072175521 /nfs/dbraw/zinc/17/55/21/1072175521.db2.gz LIDMIENGKMEZLI-UHFFFAOYSA-N 0 0 438.554 -0.853 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000588213014 1072173444 /nfs/dbraw/zinc/17/34/44/1072173444.db2.gz VIWQLDAPSIJAPN-INIZCTEOSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000588213015 1072173418 /nfs/dbraw/zinc/17/34/18/1072173418.db2.gz VIWQLDAPSIJAPN-MRXNPFEDSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000588213061 1071319168 /nfs/dbraw/zinc/31/91/68/1071319168.db2.gz AFLGXLFEBRDUOO-APWZRJJASA-N 0 0 447.488 -0.239 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000588213062 1072173529 /nfs/dbraw/zinc/17/35/29/1072173529.db2.gz AFLGXLFEBRDUOO-LPHOPBHVSA-N 0 0 447.488 -0.239 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000588213063 1072173431 /nfs/dbraw/zinc/17/34/31/1072173431.db2.gz AFLGXLFEBRDUOO-QFBILLFUSA-N 0 0 447.488 -0.239 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000588213064 1072173437 /nfs/dbraw/zinc/17/34/37/1072173437.db2.gz AFLGXLFEBRDUOO-VQIMIIECSA-N 0 0 447.488 -0.239 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000588213256 1072173405 /nfs/dbraw/zinc/17/34/05/1072173405.db2.gz PMDSZYHNQVRNNU-GOSISDBHSA-N 0 0 439.534 -0.076 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000588213257 1072173520 /nfs/dbraw/zinc/17/35/20/1072173520.db2.gz PMDSZYHNQVRNNU-SFHVURJKSA-N 0 0 439.534 -0.076 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000588213528 1071319229 /nfs/dbraw/zinc/31/92/29/1071319229.db2.gz HOFVEXRPYGVGEC-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000588213529 1072173411 /nfs/dbraw/zinc/17/34/11/1072173411.db2.gz HOFVEXRPYGVGEC-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCOC[C@H]2CC(=O)OC)c(=O)[nH]c1=O ZINC000588213551 1072173468 /nfs/dbraw/zinc/17/34/68/1072173468.db2.gz JKDSRFPEQUXPJN-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCOC[C@@H]2CC(=O)OC)c(=O)[nH]c1=O ZINC000588213555 1072173396 /nfs/dbraw/zinc/17/33/96/1072173396.db2.gz JKDSRFPEQUXPJN-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000588213641 1072173475 /nfs/dbraw/zinc/17/34/75/1072173475.db2.gz NNWHHLKZQKPMHS-INIZCTEOSA-N 0 0 425.507 -0.217 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000588213643 1072173456 /nfs/dbraw/zinc/17/34/56/1072173456.db2.gz NNWHHLKZQKPMHS-MRXNPFEDSA-N 0 0 425.507 -0.217 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000588213750 1072173371 /nfs/dbraw/zinc/17/33/71/1072173371.db2.gz SNLQOQTWILUQKG-INIZCTEOSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000588213751 1072173510 /nfs/dbraw/zinc/17/35/10/1072173510.db2.gz SNLQOQTWILUQKG-MRXNPFEDSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@H]1COCCN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000588213904 1072173547 /nfs/dbraw/zinc/17/35/47/1072173547.db2.gz ZWJILZWQIZQWKG-AWEZNQCLSA-N 0 0 434.536 -0.026 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC000588213907 1072173497 /nfs/dbraw/zinc/17/34/97/1072173497.db2.gz ZWJILZWQIZQWKG-CQSZACIVSA-N 0 0 434.536 -0.026 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)cc1 ZINC000588213932 1072174118 /nfs/dbraw/zinc/17/41/18/1072174118.db2.gz DIGHBYILECOOJW-INIZCTEOSA-N 0 0 427.465 -0.599 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)cc1 ZINC000588213933 1072174088 /nfs/dbraw/zinc/17/40/88/1072174088.db2.gz DIGHBYILECOOJW-MRXNPFEDSA-N 0 0 427.465 -0.599 20 0 IBADRN CS(=O)(=O)Cc1c2ccccc2oc1C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000588213992 1072174103 /nfs/dbraw/zinc/17/41/03/1072174103.db2.gz LDWDXPSGNHEMIY-UHFFFAOYSA-N 0 0 432.462 -0.052 20 0 IBADRN CC(=O)N1c2ccccc2C[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000588214146 1072174156 /nfs/dbraw/zinc/17/41/56/1072174156.db2.gz HPHGHIJFWJNEPN-IBGZPJMESA-N 0 0 435.550 -0.399 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000588214724 1072174065 /nfs/dbraw/zinc/17/40/65/1072174065.db2.gz RQQXELLZQSCQQS-HNNXBMFYSA-N 0 0 430.461 -0.376 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000588214735 1072174100 /nfs/dbraw/zinc/17/41/00/1072174100.db2.gz RQQXELLZQSCQQS-OAHLLOKOSA-N 0 0 430.461 -0.376 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000588214820 1072174048 /nfs/dbraw/zinc/17/40/48/1072174048.db2.gz YSZXPNWOWLBZFB-INIZCTEOSA-N 0 0 441.506 -0.090 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000588214821 1072174132 /nfs/dbraw/zinc/17/41/32/1072174132.db2.gz YSZXPNWOWLBZFB-MRXNPFEDSA-N 0 0 441.506 -0.090 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000588214826 1072174120 /nfs/dbraw/zinc/17/41/20/1072174120.db2.gz YVBGKYIAJDVQSQ-HNNXBMFYSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000588214827 1072174139 /nfs/dbraw/zinc/17/41/39/1072174139.db2.gz YVBGKYIAJDVQSQ-OAHLLOKOSA-N 0 0 443.497 -0.078 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000588215035 1072174078 /nfs/dbraw/zinc/17/40/78/1072174078.db2.gz MMNALODXBBLNPA-HNNXBMFYSA-N 0 0 444.510 -0.612 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000588215036 1072174084 /nfs/dbraw/zinc/17/40/84/1072174084.db2.gz MMNALODXBBLNPA-OAHLLOKOSA-N 0 0 444.510 -0.612 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCNC(=O)c3cccc(O)c3)c2c(=O)n(C)c1=O ZINC000588218953 1072174143 /nfs/dbraw/zinc/17/41/43/1072174143.db2.gz XLQUQVBMMVMLBI-UHFFFAOYSA-N 0 0 428.449 -0.534 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCNC(=O)c2cnccn2)c1 ZINC000588219820 1072174175 /nfs/dbraw/zinc/17/41/75/1072174175.db2.gz AVVPWIFIOBIYCZ-UHFFFAOYSA-N 0 0 434.478 -0.997 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCNC(=O)c1cnccn1 ZINC000588219888 1072174093 /nfs/dbraw/zinc/17/40/93/1072174093.db2.gz HWEKODLOUCOJTJ-UHFFFAOYSA-N 0 0 435.462 -0.538 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588220206 1073362449 /nfs/dbraw/zinc/36/24/49/1073362449.db2.gz IUNGRQHSPILSMN-UHFFFAOYSA-N 0 0 438.496 -0.489 20 0 IBADRN NS(=O)(=O)Cc1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000588220211 1072174125 /nfs/dbraw/zinc/17/41/25/1072174125.db2.gz IUYSJBNMVXSTKC-UHFFFAOYSA-N 0 0 432.506 -0.266 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588220336 1072174058 /nfs/dbraw/zinc/17/40/58/1072174058.db2.gz NLVDGHHVXFDCDO-UHFFFAOYSA-N 0 0 440.460 -0.210 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000588220437 1072174152 /nfs/dbraw/zinc/17/41/52/1072174152.db2.gz QQYIYEFEARZKLN-UHFFFAOYSA-N 0 0 448.505 -0.642 20 0 IBADRN O=C(CNC(=O)COc1ccccc1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588220636 1072174072 /nfs/dbraw/zinc/17/40/72/1072174072.db2.gz ZFAOVKUMXYVUMD-UHFFFAOYSA-N 0 0 426.477 -0.173 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(Cc3ccc(OC)cc3)C2)CC1 ZINC000588231121 1072174107 /nfs/dbraw/zinc/17/41/07/1072174107.db2.gz NRXLBMDWVSSDNK-GOSISDBHSA-N 0 0 432.521 -0.050 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(Cc3ccc(OC)cc3)C2)CC1 ZINC000588231122 1072174946 /nfs/dbraw/zinc/17/49/46/1072174946.db2.gz NRXLBMDWVSSDNK-SFHVURJKSA-N 0 0 432.521 -0.050 20 0 IBADRN COc1cccc(S(=O)(=O)CCN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000588235682 1072175038 /nfs/dbraw/zinc/17/50/38/1072175038.db2.gz WZYTZIUGJXYWIK-UHFFFAOYSA-N 0 0 446.595 -0.421 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCNC(=O)c3cnccn3)c2)CC1 ZINC000588250988 1072176296 /nfs/dbraw/zinc/17/62/96/1072176296.db2.gz MNDRZGGCNCSEGH-UHFFFAOYSA-N 0 0 447.521 -0.036 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2ncn(Cc3ccccc3)n2)CC1 ZINC000588252255 1073319776 /nfs/dbraw/zinc/31/97/76/1073319776.db2.gz VIOVVVRDSCUZSY-UHFFFAOYSA-N 0 0 425.449 0.152 20 0 IBADRN COc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1-n1nnnc1C ZINC000588258257 1072176351 /nfs/dbraw/zinc/17/63/51/1072176351.db2.gz UDDOMJDAAOPZMF-UHFFFAOYSA-N 0 0 438.514 -0.322 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1-n1nnnc1C ZINC000588259789 1072176360 /nfs/dbraw/zinc/17/63/60/1072176360.db2.gz WFUWAORKSILRGP-UHFFFAOYSA-N 0 0 428.453 -0.066 20 0 IBADRN COc1ccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1-n1nnnc1C ZINC000588260077 1072176286 /nfs/dbraw/zinc/17/62/86/1072176286.db2.gz DSOHTBBTFCJHDQ-UHFFFAOYSA-N 0 0 444.496 -0.012 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000588266129 1072176258 /nfs/dbraw/zinc/17/62/58/1072176258.db2.gz URQIZDUNQJWZDU-UHFFFAOYSA-N 0 0 447.521 -0.338 20 0 IBADRN O=C(CCNC(=O)NCCNC(=O)c1cccnc1)N1CCN(c2ncccn2)CC1 ZINC000588277687 1072176241 /nfs/dbraw/zinc/17/62/41/1072176241.db2.gz VYVPIYASFUFSQO-UHFFFAOYSA-N 0 0 426.481 -0.361 20 0 IBADRN CN(CCCNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)S(C)(=O)=O ZINC000588280418 1072176209 /nfs/dbraw/zinc/17/62/09/1072176209.db2.gz IJSZFKFUQXXSKE-UHFFFAOYSA-N 0 0 427.531 -0.904 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000588280940 1072176232 /nfs/dbraw/zinc/17/62/32/1072176232.db2.gz RHBWIQLEWDYJLF-UHFFFAOYSA-N 0 0 447.521 -0.338 20 0 IBADRN CCN(CCCNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)S(C)(=O)=O ZINC000588284063 1071320479 /nfs/dbraw/zinc/32/04/79/1071320479.db2.gz XTJAJWCCCWBMJW-UHFFFAOYSA-N 0 0 441.558 -0.514 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588290862 1072176861 /nfs/dbraw/zinc/17/68/61/1072176861.db2.gz UQHYIOAZTFGIGK-UHFFFAOYSA-N 0 0 427.531 -0.858 20 0 IBADRN O=C(CCNC(=O)NCCNC(=O)c1cnccn1)N1CCN(c2ccccn2)CC1 ZINC000588291770 1072176869 /nfs/dbraw/zinc/17/68/69/1072176869.db2.gz IVNZRANBKIUDEA-UHFFFAOYSA-N 0 0 426.481 -0.361 20 0 IBADRN O=C(CCNC(=O)NCCCN1CCCS1(=O)=O)N1CCN(c2ncccn2)CC1 ZINC000588294668 1072176845 /nfs/dbraw/zinc/17/68/45/1072176845.db2.gz GFJSRNXLCJNWOE-UHFFFAOYSA-N 0 0 439.542 -0.760 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)NCCCN3CCCS3(=O)=O)CC2)cn1 ZINC000588294754 1072177006 /nfs/dbraw/zinc/17/70/06/1072177006.db2.gz KLPFCLYPRBAXMS-UHFFFAOYSA-N 0 0 426.543 -0.003 20 0 IBADRN O=C(CCNC(=O)NCCNC(=O)c1cnccn1)N1CCN(c2ncccn2)CC1 ZINC000588300486 1072177012 /nfs/dbraw/zinc/17/70/12/1072177012.db2.gz GQVMCXZVQHMPFR-UHFFFAOYSA-N 0 0 427.469 -0.966 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000588300706 1072176828 /nfs/dbraw/zinc/17/68/28/1072176828.db2.gz YFHHNHPAUMGWSQ-AWEZNQCLSA-N 0 0 428.449 -0.170 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000588300707 1072176895 /nfs/dbraw/zinc/17/68/95/1072176895.db2.gz YFHHNHPAUMGWSQ-CQSZACIVSA-N 0 0 428.449 -0.170 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000588300864 1073304388 /nfs/dbraw/zinc/30/43/88/1073304388.db2.gz AVORFGNPMYPZRL-UHFFFAOYSA-N 0 0 429.485 -0.378 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000588300946 1072176919 /nfs/dbraw/zinc/17/69/19/1072176919.db2.gz GAVODANKQAATCW-HNNXBMFYSA-N 0 0 439.542 -0.762 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000588300948 1072176937 /nfs/dbraw/zinc/17/69/37/1072176937.db2.gz GAVODANKQAATCW-OAHLLOKOSA-N 0 0 439.542 -0.762 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000588301230 1072176910 /nfs/dbraw/zinc/17/69/10/1072176910.db2.gz MTZODLPYPZHJRV-UHFFFAOYSA-N 0 0 439.542 -0.808 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000588301291 1072176889 /nfs/dbraw/zinc/17/68/89/1072176889.db2.gz OSVWBJXSKSUWLO-UHFFFAOYSA-N 0 0 427.531 -0.856 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000588301478 1071320176 /nfs/dbraw/zinc/32/01/76/1071320176.db2.gz UOMZYVRNSJCHRQ-UHFFFAOYSA-N 0 0 439.542 -0.808 20 0 IBADRN O=C(CCNC(=O)N1CCN(c2cnccn2)CC1)N1CCN(c2ncccn2)CC1 ZINC000588301508 1072176996 /nfs/dbraw/zinc/17/69/96/1072176996.db2.gz WGBLCAQKDPZXMQ-UHFFFAOYSA-N 0 0 425.497 -0.163 20 0 IBADRN O=C(CCNC(=O)N1CCN(c2ncccn2)CC1)N1CCN(c2ncccn2)CC1 ZINC000588302095 1072177546 /nfs/dbraw/zinc/17/75/46/1072177546.db2.gz BMYQONGNTMALRD-UHFFFAOYSA-N 0 0 425.497 -0.163 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000588302340 1072176981 /nfs/dbraw/zinc/17/69/81/1072176981.db2.gz NIPNCAJGKDNYBK-UHFFFAOYSA-N 0 0 446.556 -0.633 20 0 IBADRN O=C(CCNC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCN(c2ncccn2)CC1 ZINC000588302344 1072176903 /nfs/dbraw/zinc/17/69/03/1072176903.db2.gz NITZZAICKYCJES-KRWDZBQOSA-N 0 0 445.524 -0.452 20 0 IBADRN O=C(CCNC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCN(c2ncccn2)CC1 ZINC000588302348 1072177535 /nfs/dbraw/zinc/17/75/35/1072177535.db2.gz NITZZAICKYCJES-QGZVFWFLSA-N 0 0 445.524 -0.452 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000588302373 1072177523 /nfs/dbraw/zinc/17/75/23/1072177523.db2.gz OQOYWBCQZSSEFT-UHFFFAOYSA-N 0 0 447.540 -0.301 20 0 IBADRN O=C(CN1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1)NC1CC1 ZINC000588302396 1072177505 /nfs/dbraw/zinc/17/75/05/1072177505.db2.gz OWMFPHPKBDUKOQ-UHFFFAOYSA-N 0 0 444.540 -0.879 20 0 IBADRN CO[C@H](C)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000588305683 1072177459 /nfs/dbraw/zinc/17/74/59/1072177459.db2.gz WECOCNACOGSPRN-CYBMUJFWSA-N 0 0 434.540 -0.225 20 0 IBADRN CO[C@@H](C)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000588305684 1072177437 /nfs/dbraw/zinc/17/74/37/1072177437.db2.gz WECOCNACOGSPRN-ZDUSSCGKSA-N 0 0 434.540 -0.225 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)N1CCc2ccccc2C1 ZINC000588307651 1072177575 /nfs/dbraw/zinc/17/75/75/1072177575.db2.gz QNEFYOPXTGIGDG-UHFFFAOYSA-N 0 0 429.521 -0.243 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1-n1nnnc1C ZINC000588313498 1072177510 /nfs/dbraw/zinc/17/75/10/1072177510.db2.gz BHOGYYQTDIYWHX-UHFFFAOYSA-N 0 0 439.498 -0.248 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1-n1nnnc1C ZINC000588313772 1072177466 /nfs/dbraw/zinc/17/74/66/1072177466.db2.gz OFQHVTOKRHFCGE-UHFFFAOYSA-N 0 0 425.471 -0.684 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1 ZINC000588313817 1072177471 /nfs/dbraw/zinc/17/74/71/1072177471.db2.gz QQJWPHDCYWADNP-UHFFFAOYSA-N 0 0 439.498 -0.294 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)cc1-n1nnnc1C ZINC000588314395 1072177586 /nfs/dbraw/zinc/17/75/86/1072177586.db2.gz PJDMJZZBMSVNTI-UHFFFAOYSA-N 0 0 430.469 -0.802 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1-n1nnnc1C ZINC000588315585 1072177527 /nfs/dbraw/zinc/17/75/27/1072177527.db2.gz OXRFEBZSRIVQDT-KRWDZBQOSA-N 0 0 443.464 -0.232 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1-n1nnnc1C ZINC000588315586 1072177487 /nfs/dbraw/zinc/17/74/87/1072177487.db2.gz OXRFEBZSRIVQDT-QGZVFWFLSA-N 0 0 443.464 -0.232 20 0 IBADRN CCOCc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000588316231 1072177475 /nfs/dbraw/zinc/17/74/75/1072177475.db2.gz IBFJGJCRZZRFCT-UHFFFAOYSA-N 0 0 432.477 -0.309 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1-n1nnnc1C ZINC000588316251 1072177481 /nfs/dbraw/zinc/17/74/81/1072177481.db2.gz JQQBFDRDPBFCDR-UHFFFAOYSA-N 0 0 442.480 -0.659 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1-n1nnnc1C ZINC000588316450 1072177450 /nfs/dbraw/zinc/17/74/50/1072177450.db2.gz VBXDRJPPUUHVLL-UHFFFAOYSA-N 0 0 444.496 -0.413 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1 ZINC000588316945 1072177517 /nfs/dbraw/zinc/17/75/17/1072177517.db2.gz GEVKKCVQDWFYRF-UHFFFAOYSA-N 0 0 445.480 -0.081 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1-n1nnnc1C ZINC000588316980 1072177536 /nfs/dbraw/zinc/17/75/36/1072177536.db2.gz HYORFJQHWGTBBS-UHFFFAOYSA-N 0 0 430.469 -0.802 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)CC1 ZINC000588317212 1072176309 /nfs/dbraw/zinc/17/63/09/1072176309.db2.gz WTFXIUBHURPPJN-UHFFFAOYSA-N 0 0 430.469 -0.802 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1-n1nnnc1C ZINC000588317666 1072178110 /nfs/dbraw/zinc/17/81/10/1072178110.db2.gz OEDXYLSMEXNBEI-CYBMUJFWSA-N 0 0 437.482 -0.542 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1-n1nnnc1C ZINC000588317667 1072178122 /nfs/dbraw/zinc/17/81/22/1072178122.db2.gz OEDXYLSMEXNBEI-ZDUSSCGKSA-N 0 0 437.482 -0.542 20 0 IBADRN CCOCc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000588317806 1072177503 /nfs/dbraw/zinc/17/75/03/1072177503.db2.gz VMHZIHXWEQDPKV-UHFFFAOYSA-N 0 0 440.522 -0.117 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1)S(C)(=O)=O ZINC000588318052 1072176333 /nfs/dbraw/zinc/17/63/33/1072176333.db2.gz KHYBJLDWFFVFOI-UHFFFAOYSA-N 0 0 439.498 -0.294 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1-n1nnnc1C ZINC000588319842 1071320414 /nfs/dbraw/zinc/32/04/14/1071320414.db2.gz RVWWDEDAVNFDTL-CYBMUJFWSA-N 0 0 437.482 -0.542 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1-n1nnnc1C ZINC000588319843 1072176973 /nfs/dbraw/zinc/17/69/73/1072176973.db2.gz RVWWDEDAVNFDTL-ZDUSSCGKSA-N 0 0 437.482 -0.542 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1-n1nnnc1C ZINC000588320527 1072176946 /nfs/dbraw/zinc/17/69/46/1072176946.db2.gz PHFKSCGFWNRPSL-UHFFFAOYSA-N 0 0 425.471 -0.638 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C)c1 ZINC000588320636 1072176987 /nfs/dbraw/zinc/17/69/87/1072176987.db2.gz WFMJSGAGCCXHEA-UHFFFAOYSA-N 0 0 425.471 -0.637 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1-n1nnnc1C ZINC000588321094 1072176837 /nfs/dbraw/zinc/17/68/37/1072176837.db2.gz OECURDIIJAHCMB-UHFFFAOYSA-N 0 0 437.482 -0.540 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC(=O)N3CCc4ccccc43)cnc2n(C)c1=O ZINC000588326886 1072178206 /nfs/dbraw/zinc/17/82/06/1072178206.db2.gz FCGBALPVFSHAMP-UHFFFAOYSA-N 0 0 436.428 -0.724 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCNC(=O)c3cnccn3)cc2)CC1 ZINC000588327271 1072178073 /nfs/dbraw/zinc/17/80/73/1072178073.db2.gz AMAATMVAVRKBBH-UHFFFAOYSA-N 0 0 439.476 -0.651 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1-n1nnnc1C ZINC000588327307 1072178038 /nfs/dbraw/zinc/17/80/38/1072178038.db2.gz DKBJYWJKKZHBGX-UHFFFAOYSA-N 0 0 425.409 -0.746 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000588327336 1071320138 /nfs/dbraw/zinc/32/01/38/1071320138.db2.gz GVYIPKIOJQXNAQ-UHFFFAOYSA-N 0 0 426.477 -0.164 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1Cl ZINC000588327375 1072178179 /nfs/dbraw/zinc/17/81/79/1072178179.db2.gz KIDNWOWQRCXVIY-UHFFFAOYSA-N 0 0 448.867 -0.009 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)c1 ZINC000588327448 1071320503 /nfs/dbraw/zinc/32/05/03/1071320503.db2.gz QXIKJKGMNNLEOO-UHFFFAOYSA-N 0 0 427.450 -0.341 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000588328131 1072178766 /nfs/dbraw/zinc/17/87/66/1072178766.db2.gz FRRHVVDIYBBYSA-UHFFFAOYSA-N 0 0 434.478 -0.352 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000588328135 1072178746 /nfs/dbraw/zinc/17/87/46/1072178746.db2.gz FVCXSASIATVUKR-UHFFFAOYSA-N 0 0 448.505 -0.010 20 0 IBADRN O=C(COc1cccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)c1)N1CCCC1 ZINC000588328232 1072178702 /nfs/dbraw/zinc/17/87/02/1072178702.db2.gz KSNKZOLORCKPHE-UHFFFAOYSA-N 0 0 440.460 -0.038 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000588328242 1072178755 /nfs/dbraw/zinc/17/87/55/1072178755.db2.gz LBJIPOOJUZKWDX-UHFFFAOYSA-N 0 0 446.489 -0.254 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2cnccn2)c2ccccc2O1 ZINC000588328251 1072178198 /nfs/dbraw/zinc/17/81/98/1072178198.db2.gz LIIQJHCLYPLXOL-KRWDZBQOSA-N 0 0 440.460 -0.357 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2cnccn2)c2ccccc2O1 ZINC000588328253 1072178045 /nfs/dbraw/zinc/17/80/45/1072178045.db2.gz LIIQJHCLYPLXOL-QGZVFWFLSA-N 0 0 440.460 -0.357 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1)N1CCOCC1 ZINC000588328372 1072178751 /nfs/dbraw/zinc/17/87/51/1072178751.db2.gz QMRUILINPBIZFL-UHFFFAOYSA-N 0 0 440.460 -0.637 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000588328388 1072178712 /nfs/dbraw/zinc/17/87/12/1072178712.db2.gz RXHKUUIWVGATON-UHFFFAOYSA-N 0 0 446.489 -0.254 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1S(=O)(=O)N(C)C ZINC000588328468 1072178058 /nfs/dbraw/zinc/17/80/58/1072178058.db2.gz XILXHNRGBZVMEX-UHFFFAOYSA-N 0 0 434.478 -0.480 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000588328469 1072178171 /nfs/dbraw/zinc/17/81/71/1072178171.db2.gz XLGIDYOATSMJOQ-UHFFFAOYSA-N 0 0 432.462 -0.598 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1 ZINC000588328893 1072178129 /nfs/dbraw/zinc/17/81/29/1072178129.db2.gz LTSKMAOZTRYJAG-UHFFFAOYSA-N 0 0 448.505 -0.008 20 0 IBADRN C[C@@H](C[C@H]1CCCO1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000588332563 1072178740 /nfs/dbraw/zinc/17/87/40/1072178740.db2.gz QQZJIEJKFRHFGA-DZGCQCFKSA-N 0 0 438.506 -0.181 20 0 IBADRN C[C@H](C[C@@H]1CCCO1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000588332564 1072178776 /nfs/dbraw/zinc/17/87/76/1072178776.db2.gz QQZJIEJKFRHFGA-HIFRSBDPSA-N 0 0 438.506 -0.181 20 0 IBADRN C[C@H](C[C@H]1CCCO1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000588332565 1072178769 /nfs/dbraw/zinc/17/87/69/1072178769.db2.gz QQZJIEJKFRHFGA-UKRRQHHQSA-N 0 0 438.506 -0.181 20 0 IBADRN C[C@@H](C[C@@H]1CCCO1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000588332566 1072178725 /nfs/dbraw/zinc/17/87/25/1072178725.db2.gz QQZJIEJKFRHFGA-ZFWWWQNUSA-N 0 0 438.506 -0.181 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3cc(F)cc4c3OCOC4)cnc2n(C)c1=O ZINC000588341192 1072178025 /nfs/dbraw/zinc/17/80/25/1072178025.db2.gz RLWDDEKTJABJNJ-UHFFFAOYSA-N 0 0 443.391 -0.107 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCNC(=O)[C@@H]2c2c(C)nc3ccccn32)nn1 ZINC000588343400 1072178062 /nfs/dbraw/zinc/17/80/62/1072178062.db2.gz CXEIINKCFKATNY-INIZCTEOSA-N 0 0 426.437 -0.096 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCNC(=O)[C@H]2c2c(C)nc3ccccn32)nn1 ZINC000588343401 1072178200 /nfs/dbraw/zinc/17/82/00/1072178200.db2.gz CXEIINKCFKATNY-MRXNPFEDSA-N 0 0 426.437 -0.096 20 0 IBADRN COC(=O)c1c(C)csc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000588378477 1072178730 /nfs/dbraw/zinc/17/87/30/1072178730.db2.gz WAQVIJWWUHCHRC-UHFFFAOYSA-N 0 0 432.524 -0.525 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)Nc3ncn(CC(=O)N4CCCCCC4)n3)nc2n(C)c1=O ZINC000588380871 1073353570 /nfs/dbraw/zinc/35/35/70/1073353570.db2.gz GQCUETMVYVZBAD-UHFFFAOYSA-N 0 0 440.464 -0.121 20 0 IBADRN Cn1c(=O)c2cc(C(=O)Nc3ncn(CC(=O)N4CCCCCC4)n3)cnc2n(C)c1=O ZINC000588381590 1072178802 /nfs/dbraw/zinc/17/88/02/1072178802.db2.gz TXCLRHXVOWJSFO-UHFFFAOYSA-N 0 0 440.464 -0.121 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ncn(CC(=O)N4CCCCCC4)n3)c2c(=O)n(C)c1=O ZINC000588382002 1072178791 /nfs/dbraw/zinc/17/87/91/1072178791.db2.gz MONZQDVWMBGXLL-UHFFFAOYSA-N 0 0 443.468 -0.934 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ncn(CC(=O)N3CCCCCC3)n1)c(=O)n2C ZINC000588382251 1072178717 /nfs/dbraw/zinc/17/87/17/1072178717.db2.gz WSGVFIFTSUXQJZ-UHFFFAOYSA-N 0 0 443.468 -0.934 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000588640082 1072179352 /nfs/dbraw/zinc/17/93/52/1072179352.db2.gz XDOVTOGVLMLHSM-UHFFFAOYSA-N 0 0 427.483 -0.831 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)cn1C ZINC000588640699 1072179314 /nfs/dbraw/zinc/17/93/14/1072179314.db2.gz MKTRFGWFMTYDHZ-AWEZNQCLSA-N 0 0 435.572 -0.283 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)cn1C ZINC000588640700 1072179330 /nfs/dbraw/zinc/17/93/30/1072179330.db2.gz MKTRFGWFMTYDHZ-CQSZACIVSA-N 0 0 435.572 -0.283 20 0 IBADRN COC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000588642560 1072179404 /nfs/dbraw/zinc/17/94/04/1072179404.db2.gz MWWJMEVAFYHOEA-UHFFFAOYSA-N 0 0 433.509 -0.097 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)cn1C ZINC000588645641 1072179233 /nfs/dbraw/zinc/17/92/33/1072179233.db2.gz DWSGVOPPXNZUHP-UHFFFAOYSA-N 0 0 448.549 -0.533 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000588646033 1072179468 /nfs/dbraw/zinc/17/94/68/1072179468.db2.gz TXUSVIILXCRHLT-UHFFFAOYSA-N 0 0 435.572 -0.127 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)cn1C ZINC000588658078 1072179280 /nfs/dbraw/zinc/17/92/80/1072179280.db2.gz RGRTVJXHSRSYFJ-UHFFFAOYSA-N 0 0 438.514 -0.360 20 0 IBADRN O=C(C(=O)N1CCN(Cc2nc(-c3ccc4c(c3)OCO4)no2)CC1)N1CCOCC1 ZINC000588668786 1072178783 /nfs/dbraw/zinc/17/87/83/1072178783.db2.gz WFMVNYIKUTWRNV-UHFFFAOYSA-N 0 0 429.433 -0.032 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)nc1C ZINC000588672291 1072178698 /nfs/dbraw/zinc/17/86/98/1072178698.db2.gz IZEDEIBGRUYHEK-GFCCVEGCSA-N 0 0 434.478 -0.100 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)nc1C ZINC000588672301 1072178688 /nfs/dbraw/zinc/17/86/88/1072178688.db2.gz IZEDEIBGRUYHEK-LBPRGKRZSA-N 0 0 434.478 -0.100 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1)NC1CC1 ZINC000588674291 1072178706 /nfs/dbraw/zinc/17/87/06/1072178706.db2.gz HWSPSSMQCAVYTO-UHFFFAOYSA-N 0 0 442.563 -0.189 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000588674884 1072180786 /nfs/dbraw/zinc/18/07/86/1072180786.db2.gz QCJHSUASEBODET-UHFFFAOYSA-N 0 0 431.492 -0.774 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(F)c1 ZINC000588675709 1072180840 /nfs/dbraw/zinc/18/08/40/1072180840.db2.gz ZSERDWSALWUTDV-UHFFFAOYSA-N 0 0 433.433 -0.335 20 0 IBADRN CNC(=O)CN1CCC(NS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000588676761 1072180831 /nfs/dbraw/zinc/18/08/31/1072180831.db2.gz HBCDCDKTTIGOGU-UHFFFAOYSA-N 0 0 430.552 -0.285 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000588676913 1072180805 /nfs/dbraw/zinc/18/08/05/1072180805.db2.gz OXTZMHLPWDBDAY-UHFFFAOYSA-N 0 0 430.552 -0.331 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000588677271 1072180816 /nfs/dbraw/zinc/18/08/16/1072180816.db2.gz GLGZVTDPQSWYCZ-UHFFFAOYSA-N 0 0 430.552 -0.331 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(N3CCC[C@H]3C(N)=O)CC2)c1 ZINC000588682165 1072180137 /nfs/dbraw/zinc/18/01/37/1072180137.db2.gz PCLGTOJMAUSIDZ-INIZCTEOSA-N 0 0 430.552 -0.303 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(N3CCC[C@@H]3C(N)=O)CC2)c1 ZINC000588682170 1072180189 /nfs/dbraw/zinc/18/01/89/1072180189.db2.gz PCLGTOJMAUSIDZ-MRXNPFEDSA-N 0 0 430.552 -0.303 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1)c1cnccn1 ZINC000588683902 1072180176 /nfs/dbraw/zinc/18/01/76/1072180176.db2.gz RKJXBXOCOFCIAQ-UHFFFAOYSA-N 0 0 425.492 -0.275 20 0 IBADRN CCOC(=O)CN(C(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000588690447 1071005116 /nfs/dbraw/zinc/00/51/16/1071005116.db2.gz LMODYYBJNABKDE-UHFFFAOYSA-N 0 0 446.504 -0.414 20 0 IBADRN O=C(CNC(=O)c1cc2c(s1)CCCC2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000588695196 1072179976 /nfs/dbraw/zinc/17/99/76/1072179976.db2.gz CHNOOFBAQBPQPO-UHFFFAOYSA-N 0 0 448.545 -0.114 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000588695946 1072180607 /nfs/dbraw/zinc/18/06/07/1072180607.db2.gz ABMPRBUBVLALGH-SECBINFHSA-N 0 0 428.389 -0.013 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000588695948 1072180724 /nfs/dbraw/zinc/18/07/24/1072180724.db2.gz ABMPRBUBVLALGH-VIFPVBQESA-N 0 0 428.389 -0.013 20 0 IBADRN CCOC(=O)C(C)(C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000588704880 1072180676 /nfs/dbraw/zinc/18/06/76/1072180676.db2.gz OLWZHLDZLXSMAW-UHFFFAOYSA-N 0 0 441.510 -0.440 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000588727349 1072181408 /nfs/dbraw/zinc/18/14/08/1072181408.db2.gz JBBKLGCIRWIAFN-GFCCVEGCSA-N 0 0 442.494 -0.193 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cc1F ZINC000588729736 1072181233 /nfs/dbraw/zinc/18/12/33/1072181233.db2.gz QMKJUZFPCMWPDX-UHFFFAOYSA-N 0 0 429.456 -0.018 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cc1F ZINC000588732466 1072181386 /nfs/dbraw/zinc/18/13/86/1072181386.db2.gz JQMYYNBXCQLLTP-UHFFFAOYSA-N 0 0 435.437 -0.209 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cc1F ZINC000588734512 1072180733 /nfs/dbraw/zinc/18/07/33/1072180733.db2.gz JROLEMQAORRDFT-UHFFFAOYSA-N 0 0 449.464 -0.141 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)CC1 ZINC000588735762 1072180771 /nfs/dbraw/zinc/18/07/71/1072180771.db2.gz VWJCRBBWEQADPI-UHFFFAOYSA-N 0 0 426.412 -0.111 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000588737207 1072180698 /nfs/dbraw/zinc/18/06/98/1072180698.db2.gz LPHFIYYQZWEGOM-UHFFFAOYSA-N 0 0 448.505 -0.772 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@H](CC(N)=O)C2)cc(S(C)(=O)=O)c1 ZINC000588745703 1072180762 /nfs/dbraw/zinc/18/07/62/1072180762.db2.gz UFLIXUMZIHPPAO-LLVKDONJSA-N 0 0 425.463 -0.071 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CC[C@@H](CC(N)=O)C2)cc(S(C)(=O)=O)c1 ZINC000588745710 1072180798 /nfs/dbraw/zinc/18/07/98/1072180798.db2.gz UFLIXUMZIHPPAO-NSHDSACASA-N 0 0 425.463 -0.071 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCO[C@H](C(=O)OC(C)(C)C)C3)C2=O)n1 ZINC000588761944 1072182993 /nfs/dbraw/zinc/18/29/93/1072182993.db2.gz JOPIYNZEOMVKLB-KBPBESRZSA-N 0 0 435.481 -0.399 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCO[C@H](C(=O)OC(C)(C)C)C3)C2=O)n1 ZINC000588761946 1072183118 /nfs/dbraw/zinc/18/31/18/1072183118.db2.gz JOPIYNZEOMVKLB-KGLIPLIRSA-N 0 0 435.481 -0.399 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCO[C@@H](C(=O)OC(C)(C)C)C3)C2=O)n1 ZINC000588761947 1072183105 /nfs/dbraw/zinc/18/31/05/1072183105.db2.gz JOPIYNZEOMVKLB-UONOGXRCSA-N 0 0 435.481 -0.399 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCO[C@@H](C(=O)OC(C)(C)C)C3)C2=O)n1 ZINC000588761948 1072183057 /nfs/dbraw/zinc/18/30/57/1072183057.db2.gz JOPIYNZEOMVKLB-ZIAGYGMSSA-N 0 0 435.481 -0.399 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000588762003 1072182951 /nfs/dbraw/zinc/18/29/51/1072182951.db2.gz RKLLTTIMJZFCNU-BTYIYWSLSA-N 0 0 449.508 -0.150 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000588762007 1072183064 /nfs/dbraw/zinc/18/30/64/1072183064.db2.gz RKLLTTIMJZFCNU-QVKFZJNVSA-N 0 0 449.508 -0.150 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000588762009 1072183015 /nfs/dbraw/zinc/18/30/15/1072183015.db2.gz RKLLTTIMJZFCNU-VFNWGFHPSA-N 0 0 449.508 -0.150 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C1 ZINC000588762010 1072182965 /nfs/dbraw/zinc/18/29/65/1072182965.db2.gz RKLLTTIMJZFCNU-YCRPNKLZSA-N 0 0 449.508 -0.150 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(Cc3cc(=O)n(C)c(=O)n3C)CC2)c1 ZINC000588763163 1072183111 /nfs/dbraw/zinc/18/31/11/1072183111.db2.gz DVJWBJIIRJTLGL-UHFFFAOYSA-N 0 0 442.519 -0.561 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)cn1 ZINC000588765421 1072183004 /nfs/dbraw/zinc/18/30/04/1072183004.db2.gz MRUXDJLFGOVDTF-DLBZAZTESA-N 0 0 446.533 -0.009 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)cn1 ZINC000588765422 1072183128 /nfs/dbraw/zinc/18/31/28/1072183128.db2.gz MRUXDJLFGOVDTF-IAGOWNOFSA-N 0 0 446.533 -0.009 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)cn1 ZINC000588765423 1072182979 /nfs/dbraw/zinc/18/29/79/1072182979.db2.gz MRUXDJLFGOVDTF-IRXDYDNUSA-N 0 0 446.533 -0.009 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)cn1 ZINC000588765424 1072183072 /nfs/dbraw/zinc/18/30/72/1072183072.db2.gz MRUXDJLFGOVDTF-SJORKVTESA-N 0 0 446.533 -0.009 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000588768827 1072183086 /nfs/dbraw/zinc/18/30/86/1072183086.db2.gz FYHDDPSLRZEKII-UHFFFAOYSA-N 0 0 432.481 -0.350 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000588768988 1072183042 /nfs/dbraw/zinc/18/30/42/1072183042.db2.gz RIOOGGMZFJADFK-UHFFFAOYSA-N 0 0 432.481 -0.350 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(C(=O)c3ccco3)CC2)CC1)N1CCOCC1 ZINC000588772005 1072181417 /nfs/dbraw/zinc/18/14/17/1072181417.db2.gz IENNPIYHRQAPRW-UHFFFAOYSA-N 0 0 433.509 -0.960 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@H](C(=O)OC(C)(C)C)C3)C2=O)n(C)n1 ZINC000588773487 1072181250 /nfs/dbraw/zinc/18/12/50/1072181250.db2.gz DDAHOWXKDZXPNW-KBPBESRZSA-N 0 0 435.481 -0.481 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@H](C(=O)OC(C)(C)C)C3)C2=O)n(C)n1 ZINC000588773490 1072181215 /nfs/dbraw/zinc/18/12/15/1072181215.db2.gz DDAHOWXKDZXPNW-KGLIPLIRSA-N 0 0 435.481 -0.481 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCO[C@@H](C(=O)OC(C)(C)C)C3)C2=O)n(C)n1 ZINC000588773492 1072181864 /nfs/dbraw/zinc/18/18/64/1072181864.db2.gz DDAHOWXKDZXPNW-UONOGXRCSA-N 0 0 435.481 -0.481 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCO[C@@H](C(=O)OC(C)(C)C)C3)C2=O)n(C)n1 ZINC000588773493 1072181855 /nfs/dbraw/zinc/18/18/55/1072181855.db2.gz DDAHOWXKDZXPNW-ZIAGYGMSSA-N 0 0 435.481 -0.481 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N[C@H](CC)C(=O)N2CCOCC2)nc1C ZINC000588774412 1072181930 /nfs/dbraw/zinc/18/19/30/1072181930.db2.gz VOUMUZWCLQWIAK-GFCCVEGCSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N[C@@H](CC)C(=O)N2CCOCC2)nc1C ZINC000588774413 1072181798 /nfs/dbraw/zinc/18/17/98/1072181798.db2.gz VOUMUZWCLQWIAK-LBPRGKRZSA-N 0 0 426.495 -0.002 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000588777148 1072182504 /nfs/dbraw/zinc/18/25/04/1072182504.db2.gz WXDITVYYEXAIJT-AWEZNQCLSA-N 0 0 432.449 -0.432 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000588777152 1072182475 /nfs/dbraw/zinc/18/24/75/1072182475.db2.gz WXDITVYYEXAIJT-CQSZACIVSA-N 0 0 432.449 -0.432 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](CCC(N)=O)NC(C)=O)CC2)cc1 ZINC000588777488 1072182495 /nfs/dbraw/zinc/18/24/95/1072182495.db2.gz LGHUEGNHUAOICB-INIZCTEOSA-N 0 0 426.495 -0.702 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](CCC(N)=O)NC(C)=O)CC2)cc1 ZINC000588777489 1072182500 /nfs/dbraw/zinc/18/25/00/1072182500.db2.gz LGHUEGNHUAOICB-MRXNPFEDSA-N 0 0 426.495 -0.702 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000588778514 1072182434 /nfs/dbraw/zinc/18/24/34/1072182434.db2.gz LSTMLYFDKDOYFI-INIZCTEOSA-N 0 0 431.559 -0.590 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000588778525 1072182472 /nfs/dbraw/zinc/18/24/72/1072182472.db2.gz LSTMLYFDKDOYFI-MRXNPFEDSA-N 0 0 431.559 -0.590 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC000588779163 1072182441 /nfs/dbraw/zinc/18/24/41/1072182441.db2.gz WHVGTELWUPBJKP-AWEZNQCLSA-N 0 0 430.914 -0.057 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC000588779164 1072182508 /nfs/dbraw/zinc/18/25/08/1072182508.db2.gz WHVGTELWUPBJKP-CQSZACIVSA-N 0 0 430.914 -0.057 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc(N4CCCC4)nn3)CC2)o1 ZINC000588782094 1072182469 /nfs/dbraw/zinc/18/24/69/1072182469.db2.gz QXHOWMUCJKWYSO-UHFFFAOYSA-N 0 0 434.478 -0.085 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000588782127 1072182446 /nfs/dbraw/zinc/18/24/46/1072182446.db2.gz SWBMBIORFVUGGQ-UHFFFAOYSA-N 0 0 428.493 -0.314 20 0 IBADRN CSCC[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)N(C)C ZINC000588803447 1072184851 /nfs/dbraw/zinc/18/48/51/1072184851.db2.gz CPXHNDUTHKXNOA-AWEZNQCLSA-N 0 0 432.568 -0.014 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4ccc(F)cc4F)CC3)c2c(=O)n(C)c1=O ZINC000588803479 1072184865 /nfs/dbraw/zinc/18/48/65/1072184865.db2.gz SHEUUHDEPGUVFJ-UHFFFAOYSA-N 0 0 446.414 -0.304 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3ccc(F)cc3F)CC1)c(=O)n2C ZINC000588803624 1072184982 /nfs/dbraw/zinc/18/49/82/1072184982.db2.gz CJHSHJPJYAMMGU-UHFFFAOYSA-N 0 0 446.414 -0.304 20 0 IBADRN CC(C)(C)OC(=O)CCCCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000588805420 1072185046 /nfs/dbraw/zinc/18/50/46/1072185046.db2.gz GCAMLGWHGMOLKN-UHFFFAOYSA-N 0 0 440.541 -0.382 20 0 IBADRN CC(C)(C)OC(=O)CCCCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000588808327 1072185620 /nfs/dbraw/zinc/18/56/20/1072185620.db2.gz BZAZWKVQHCILRQ-UHFFFAOYSA-N 0 0 440.541 -0.382 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCC(=O)N1CC(=O)Nc2ccccc21 ZINC000588830369 1072185467 /nfs/dbraw/zinc/18/54/67/1072185467.db2.gz FSBNSLOQMUIROU-INIZCTEOSA-N 0 0 446.460 -0.341 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCC(=O)N1CC(=O)Nc2ccccc21 ZINC000588830380 1072185582 /nfs/dbraw/zinc/18/55/82/1072185582.db2.gz FSBNSLOQMUIROU-MRXNPFEDSA-N 0 0 446.460 -0.341 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(=O)n(-c2ccccc2F)n1 ZINC000588831186 1072185591 /nfs/dbraw/zinc/18/55/91/1072185591.db2.gz UEXDOJDOBZDURY-INIZCTEOSA-N 0 0 432.408 -0.108 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(=O)n(-c2ccccc2F)n1 ZINC000588831190 1072185633 /nfs/dbraw/zinc/18/56/33/1072185633.db2.gz UEXDOJDOBZDURY-MRXNPFEDSA-N 0 0 432.408 -0.108 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C[C@@H]1COc2ccccc2O1 ZINC000588833192 1072187270 /nfs/dbraw/zinc/18/72/70/1072187270.db2.gz VKDBVLUKNXKIOT-GOSISDBHSA-N 0 0 432.521 -0.239 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C[C@H]1COc2ccccc2O1 ZINC000588833193 1072187495 /nfs/dbraw/zinc/18/74/95/1072187495.db2.gz VKDBVLUKNXKIOT-SFHVURJKSA-N 0 0 432.521 -0.239 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000588848984 1072186131 /nfs/dbraw/zinc/18/61/31/1072186131.db2.gz BHENPQOOSWVLBY-UHFFFAOYSA-N 0 0 425.507 -0.379 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000588849749 1072186151 /nfs/dbraw/zinc/18/61/51/1072186151.db2.gz JQRTXWVZDWZBIB-UHFFFAOYSA-N 0 0 431.536 -0.832 20 0 IBADRN O=C([C@@H]1CCC[C@@H](C(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)C1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000588852811 1072186231 /nfs/dbraw/zinc/18/62/31/1072186231.db2.gz MOBFZGDVUQOXAE-BHTHQVBYSA-N 0 0 444.488 -0.395 20 0 IBADRN O=C([C@@H]1CCC[C@H](C(=O)N(C2CC2)[C@@H]2CC(=O)NC2=O)C1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000588852813 1072186158 /nfs/dbraw/zinc/18/61/58/1072186158.db2.gz MOBFZGDVUQOXAE-CRJCFHLZSA-N 0 0 444.488 -0.395 20 0 IBADRN O=C([C@@H]1CCC[C@@H](C(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)C1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000588852814 1072186169 /nfs/dbraw/zinc/18/61/69/1072186169.db2.gz MOBFZGDVUQOXAE-MPTQWLOMSA-N 0 0 444.488 -0.395 20 0 IBADRN O=C([C@@H]1CCC[C@H](C(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)C1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000588852815 1072186247 /nfs/dbraw/zinc/18/62/47/1072186247.db2.gz MOBFZGDVUQOXAE-VZAMPYOESA-N 0 0 444.488 -0.395 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N(C3CC3)[C@H]3CC(=O)NC3=O)CC2)c1 ZINC000588853087 1072186206 /nfs/dbraw/zinc/18/62/06/1072186206.db2.gz ZDFNDVMDZODPJM-KRWDZBQOSA-N 0 0 434.518 -0.147 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N(C3CC3)[C@@H]3CC(=O)NC3=O)CC2)c1 ZINC000588853088 1072186187 /nfs/dbraw/zinc/18/61/87/1072186187.db2.gz ZDFNDVMDZODPJM-QGZVFWFLSA-N 0 0 434.518 -0.147 20 0 IBADRN COc1ccc(C(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000588854486 1072186215 /nfs/dbraw/zinc/18/62/15/1072186215.db2.gz CHHOAHURCTUDMJ-AWEZNQCLSA-N 0 0 437.474 -0.264 20 0 IBADRN COc1ccc(C(=O)N(C2CC2)[C@@H]2CC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000588854490 1072186225 /nfs/dbraw/zinc/18/62/25/1072186225.db2.gz CHHOAHURCTUDMJ-CQSZACIVSA-N 0 0 437.474 -0.264 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C3CC3)[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000588854872 1072186177 /nfs/dbraw/zinc/18/61/77/1072186177.db2.gz LWRVGQQJXQCMTD-KRWDZBQOSA-N 0 0 448.501 -0.441 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C3CC3)[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000588854873 1072186238 /nfs/dbraw/zinc/18/62/38/1072186238.db2.gz LWRVGQQJXQCMTD-QGZVFWFLSA-N 0 0 448.501 -0.441 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)C1=O ZINC000588858839 1072186848 /nfs/dbraw/zinc/18/68/48/1072186848.db2.gz RITYDDMHXHDPGS-CABCVRRESA-N 0 0 449.508 -0.404 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)C1=O ZINC000588858840 1072186675 /nfs/dbraw/zinc/18/66/75/1072186675.db2.gz RITYDDMHXHDPGS-GJZGRUSLSA-N 0 0 449.508 -0.404 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)C1=O ZINC000588858841 1072186937 /nfs/dbraw/zinc/18/69/37/1072186937.db2.gz RITYDDMHXHDPGS-HUUCEWRRSA-N 0 0 449.508 -0.404 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)C1=O ZINC000588858843 1072186958 /nfs/dbraw/zinc/18/69/58/1072186958.db2.gz RITYDDMHXHDPGS-LSDHHAIUSA-N 0 0 449.508 -0.404 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000588867010 1072187345 /nfs/dbraw/zinc/18/73/45/1072187345.db2.gz GZIVFAKBYOYVQQ-GFCCVEGCSA-N 0 0 436.431 -0.248 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000588867021 1072187298 /nfs/dbraw/zinc/18/72/98/1072187298.db2.gz GZIVFAKBYOYVQQ-LBPRGKRZSA-N 0 0 436.431 -0.248 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)cn1 ZINC000588869275 1072187352 /nfs/dbraw/zinc/18/73/52/1072187352.db2.gz AZXJVDBUWYOBOB-UHFFFAOYSA-N 0 0 427.433 -0.039 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2CC(=O)OC)cc1 ZINC000588869303 1072187305 /nfs/dbraw/zinc/18/73/05/1072187305.db2.gz FDABFBSORKCVLG-AWEZNQCLSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2CC(=O)OC)cc1 ZINC000588869310 1072187511 /nfs/dbraw/zinc/18/75/11/1072187511.db2.gz FDABFBSORKCVLG-CQSZACIVSA-N 0 0 428.463 -0.068 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000588870360 1072187429 /nfs/dbraw/zinc/18/74/29/1072187429.db2.gz QGVPATZWORKICW-AWEZNQCLSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000588870425 1072187366 /nfs/dbraw/zinc/18/73/66/1072187366.db2.gz QGVPATZWORKICW-CQSZACIVSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000588874142 1072188012 /nfs/dbraw/zinc/18/80/12/1072188012.db2.gz BSMOEGSCDPRPMS-GXTWGEPZSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000588874147 1072188162 /nfs/dbraw/zinc/18/81/62/1072188162.db2.gz BSMOEGSCDPRPMS-JSGCOSHPSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000588874150 1072188104 /nfs/dbraw/zinc/18/81/04/1072188104.db2.gz BSMOEGSCDPRPMS-OCCSQVGLSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000588874153 1072188097 /nfs/dbraw/zinc/18/80/97/1072188097.db2.gz BSMOEGSCDPRPMS-TZMCWYRMSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000588875056 1072188128 /nfs/dbraw/zinc/18/81/28/1072188128.db2.gz VJUOIANXYGBPDT-HNNXBMFYSA-N 0 0 428.511 -0.080 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000588875063 1072188134 /nfs/dbraw/zinc/18/81/34/1072188134.db2.gz VJUOIANXYGBPDT-OAHLLOKOSA-N 0 0 428.511 -0.080 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCCO2)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000588881102 1072188052 /nfs/dbraw/zinc/18/80/52/1072188052.db2.gz FVJYSBGVGGXRBA-CEXWTWQISA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCCO2)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000588881104 1072188085 /nfs/dbraw/zinc/18/80/85/1072188085.db2.gz FVJYSBGVGGXRBA-FHWLQOOXSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCCO2)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000588881105 1072188148 /nfs/dbraw/zinc/18/81/48/1072188148.db2.gz FVJYSBGVGGXRBA-GBESFXJTSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCCO2)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000588881107 1072187961 /nfs/dbraw/zinc/18/79/61/1072187961.db2.gz FVJYSBGVGGXRBA-QYZOEREBSA-N 0 0 429.583 -0.022 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000588887067 1072187992 /nfs/dbraw/zinc/18/79/92/1072187992.db2.gz PJWVMSCWFBLSKO-UHFFFAOYSA-N 0 0 439.581 -0.017 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000588893929 1072187924 /nfs/dbraw/zinc/18/79/24/1072187924.db2.gz BGSSWLCFNOLUOY-UHFFFAOYSA-N 0 0 439.581 -0.535 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)NC)CC2)cc1 ZINC000588900443 1072188058 /nfs/dbraw/zinc/18/80/58/1072188058.db2.gz RLRVGFIMLPXGJC-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)c(=O)n(C)c1=O ZINC000588907762 1072188698 /nfs/dbraw/zinc/18/86/98/1072188698.db2.gz WIAQGKNUMVEKIP-UHFFFAOYSA-N 0 0 428.417 -0.491 20 0 IBADRN CCCCNC(=O)CN1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000588911652 1072188139 /nfs/dbraw/zinc/18/81/39/1072188139.db2.gz OAFAWWDAVYIFDV-UHFFFAOYSA-N 0 0 438.617 -0.421 20 0 IBADRN Cc1cc(CN2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)no1 ZINC000588931219 1071074526 /nfs/dbraw/zinc/07/45/26/1071074526.db2.gz PFWTYYSNOYXBFF-SFHVURJKSA-N 0 0 425.555 -0.568 20 0 IBADRN O=C(Nc1ccc(-c2ncon2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000588991345 1071282304 /nfs/dbraw/zinc/28/23/04/1071282304.db2.gz HPOSLSCZTFBFKB-UHFFFAOYSA-N 0 0 426.433 -0.032 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(-n4ccnn4)cc3)CC2)no1 ZINC000588991784 1072189313 /nfs/dbraw/zinc/18/93/13/1072189313.db2.gz FVPWZMHOVSVVDR-UHFFFAOYSA-N 0 0 438.448 0.285 20 0 IBADRN O=C(Nc1ccc(-n2ccnn2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000588992331 1071283097 /nfs/dbraw/zinc/28/30/97/1071283097.db2.gz MBUFERMHOBPBNP-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN Cn1ccc2c1cccc2NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000588993731 1071283050 /nfs/dbraw/zinc/28/30/50/1071283050.db2.gz QUBDKLQVHROLBG-UHFFFAOYSA-N 0 0 427.461 -0.354 20 0 IBADRN O=C(Nc1ccc(-c2ncon2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000588994353 1071282993 /nfs/dbraw/zinc/28/29/93/1071282993.db2.gz TUVGCEGYVOGHNW-UHFFFAOYSA-N 0 0 442.432 -0.795 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-c3ncon3)cc2)CC1 ZINC000588995218 1071283634 /nfs/dbraw/zinc/28/36/34/1071283634.db2.gz UNYSCWFUGPKYEZ-UHFFFAOYSA-N 0 0 428.449 -0.322 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)nc1C ZINC000588995508 1071283787 /nfs/dbraw/zinc/28/37/87/1071283787.db2.gz DEOKGALSZKZNAE-LLVKDONJSA-N 0 0 432.524 -0.215 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)nc1C ZINC000588995509 1071283820 /nfs/dbraw/zinc/28/38/20/1071283820.db2.gz DEOKGALSZKZNAE-NSHDSACASA-N 0 0 432.524 -0.215 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1 ZINC000588995665 1071283869 /nfs/dbraw/zinc/28/38/69/1071283869.db2.gz ZDTNEXXLNIXQOH-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2nc(C)c(C(=O)OCC)s2)CC1 ZINC000588996079 1071283701 /nfs/dbraw/zinc/28/37/01/1071283701.db2.gz FACCWWCNFBFBAF-UHFFFAOYSA-N 0 0 425.511 -0.475 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)nc1C ZINC000588996207 1071283667 /nfs/dbraw/zinc/28/36/67/1071283667.db2.gz GGYRRESOOAPMRA-UHFFFAOYSA-N 0 0 439.538 -0.133 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)nc1C ZINC000588996215 1071284442 /nfs/dbraw/zinc/28/44/42/1071284442.db2.gz HQCGMYQCDKKPDD-UHFFFAOYSA-N 0 0 426.495 -0.048 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)nc1C ZINC000588996443 1071284475 /nfs/dbraw/zinc/28/44/75/1071284475.db2.gz LMJUAOVZRPRTKV-LLVKDONJSA-N 0 0 432.524 -0.215 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)nc1C ZINC000588997030 1071284375 /nfs/dbraw/zinc/28/43/75/1071284375.db2.gz NNUZXDHQTACIQV-UHFFFAOYSA-N 0 0 448.505 -0.313 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)nc1C ZINC000588997456 1072189383 /nfs/dbraw/zinc/18/93/83/1072189383.db2.gz SUZWBXAMACKDEU-LLVKDONJSA-N 0 0 432.524 -0.215 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)nc1C ZINC000588997459 1071284712 /nfs/dbraw/zinc/28/47/12/1071284712.db2.gz SUZWBXAMACKDEU-NSHDSACASA-N 0 0 432.524 -0.215 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)nc1C ZINC000588997479 1073357030 /nfs/dbraw/zinc/35/70/30/1073357030.db2.gz SXDLGVGXXGYZPJ-UHFFFAOYSA-N 0 0 439.523 0.027 20 0 IBADRN CCOC(=O)c1sc([C@H](C)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)nc1C ZINC000588997654 1071284541 /nfs/dbraw/zinc/28/45/41/1071284541.db2.gz MWFJUTLCDDMTLQ-KBPBESRZSA-N 0 0 442.538 -0.043 20 0 IBADRN CCOC(=O)c1sc([C@@H](C)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)nc1C ZINC000588997655 1071284340 /nfs/dbraw/zinc/28/43/40/1071284340.db2.gz MWFJUTLCDDMTLQ-KGLIPLIRSA-N 0 0 442.538 -0.043 20 0 IBADRN CCOC(=O)c1sc([C@H](C)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)nc1C ZINC000588997656 1071284415 /nfs/dbraw/zinc/28/44/15/1071284415.db2.gz MWFJUTLCDDMTLQ-UONOGXRCSA-N 0 0 442.538 -0.043 20 0 IBADRN CCOC(=O)c1sc([C@@H](C)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)nc1C ZINC000588997657 1071284576 /nfs/dbraw/zinc/28/45/76/1071284576.db2.gz MWFJUTLCDDMTLQ-ZIAGYGMSSA-N 0 0 442.538 -0.043 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)nc1C ZINC000588997693 1071285434 /nfs/dbraw/zinc/28/54/34/1071285434.db2.gz URXIOKJIKIBRBJ-UHFFFAOYSA-N 0 0 434.478 -0.147 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)nc1C ZINC000588998076 1071284511 /nfs/dbraw/zinc/28/45/11/1071284511.db2.gz ZGSUBXKUUFEXED-CYBMUJFWSA-N 0 0 428.511 -0.604 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)nc1C ZINC000588998077 1071285407 /nfs/dbraw/zinc/28/54/07/1071285407.db2.gz ZGSUBXKUUFEXED-ZDUSSCGKSA-N 0 0 428.511 -0.604 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)nc1C ZINC000588998796 1072189307 /nfs/dbraw/zinc/18/93/07/1072189307.db2.gz NYKRXDPJWKHBJT-UHFFFAOYSA-N 0 0 439.538 -0.087 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)nc1C ZINC000588999166 1071285465 /nfs/dbraw/zinc/28/54/65/1071285465.db2.gz QGUQJQZFSUBKJR-UHFFFAOYSA-N 0 0 425.511 -0.347 20 0 IBADRN CCOC(=O)c1sc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)nc1C ZINC000588999173 1071285158 /nfs/dbraw/zinc/28/51/58/1071285158.db2.gz QKHUFPANPAJDTR-UHFFFAOYSA-N 0 0 437.522 -0.333 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2nc(C)c(C(=O)OCC)s2)C1 ZINC000588999546 1071285537 /nfs/dbraw/zinc/28/55/37/1071285537.db2.gz UKNVPKQVPDWOML-LLVKDONJSA-N 0 0 432.524 -0.215 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2nc(C)c(C(=O)OCC)s2)C1 ZINC000588999550 1071285447 /nfs/dbraw/zinc/28/54/47/1071285447.db2.gz UKNVPKQVPDWOML-NSHDSACASA-N 0 0 432.524 -0.215 20 0 IBADRN Cn1ccc2c1cccc2NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000588999864 1071286208 /nfs/dbraw/zinc/28/62/08/1071286208.db2.gz BDCIMHWNWAWMHJ-UHFFFAOYSA-N 0 0 448.549 -0.247 20 0 IBADRN Cn1ccc2c1cccc2NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000589001007 1071286135 /nfs/dbraw/zinc/28/61/35/1071286135.db2.gz JYUYGYGOGWQYCD-UHFFFAOYSA-N 0 0 435.506 -0.162 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)s1 ZINC000589004264 1072190919 /nfs/dbraw/zinc/19/09/19/1072190919.db2.gz RKHZZLYIKYUUNK-LLVKDONJSA-N 0 0 434.544 0.426 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)s1 ZINC000589004275 1072191067 /nfs/dbraw/zinc/19/10/67/1072191067.db2.gz RKHZZLYIKYUUNK-NSHDSACASA-N 0 0 434.544 0.426 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1COCC(=O)N1Cc1ccccc1 ZINC000589019707 1071294951 /nfs/dbraw/zinc/29/49/51/1071294951.db2.gz NPMSVEIXIHBQKN-DLBZAZTESA-N 0 0 437.518 -0.434 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1COCC(=O)N1Cc1ccccc1 ZINC000589019710 1071294990 /nfs/dbraw/zinc/29/49/90/1071294990.db2.gz NPMSVEIXIHBQKN-IAGOWNOFSA-N 0 0 437.518 -0.434 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc2[nH]ccc21 ZINC000589027021 1071298086 /nfs/dbraw/zinc/29/80/86/1071298086.db2.gz UNSVQMBPFNVSKY-UHFFFAOYSA-N 0 0 437.478 -0.156 20 0 IBADRN C[C@@H](NC(=O)[C@H]1COCC(=O)N1Cc1ccccc1)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000589028324 1071297871 /nfs/dbraw/zinc/29/78/71/1071297871.db2.gz YUNKEVRMMFIPDY-DJIMGWMZSA-N 0 0 437.518 -0.530 20 0 IBADRN C[C@@H](NC(=O)[C@H]1COCC(=O)N1Cc1ccccc1)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000589028325 1072189171 /nfs/dbraw/zinc/18/91/71/1072189171.db2.gz YUNKEVRMMFIPDY-HYVNUMGLSA-N 0 0 437.518 -0.530 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCCO4)CC2)CC1 ZINC000589032010 1071300832 /nfs/dbraw/zinc/30/08/32/1071300832.db2.gz QNFPJJXBFSZXSB-UHFFFAOYSA-N 0 0 438.550 -0.072 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000589032071 1071300637 /nfs/dbraw/zinc/30/06/37/1071300637.db2.gz DWZNNBHFGZWALJ-HNNXBMFYSA-N 0 0 445.563 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000589032072 1071300660 /nfs/dbraw/zinc/30/06/60/1071300660.db2.gz DWZNNBHFGZWALJ-OAHLLOKOSA-N 0 0 445.563 -0.353 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)CC1 ZINC000589033765 1071301434 /nfs/dbraw/zinc/30/14/34/1071301434.db2.gz VLFXUDPGLCWKPT-HNNXBMFYSA-N 0 0 427.498 -0.301 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)CC1 ZINC000589033768 1071301368 /nfs/dbraw/zinc/30/13/68/1071301368.db2.gz VLFXUDPGLCWKPT-OAHLLOKOSA-N 0 0 427.498 -0.301 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)[C@H](C)C1 ZINC000589034829 1071305233 /nfs/dbraw/zinc/30/52/33/1071305233.db2.gz KZNFPIKFPKWQNR-IIAWOOMASA-N 0 0 434.497 -0.874 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)[C@@H](C)C1 ZINC000589034830 1071305550 /nfs/dbraw/zinc/30/55/50/1071305550.db2.gz KZNFPIKFPKWQNR-IJEWVQPXSA-N 0 0 434.497 -0.874 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)[C@@H](C)C1 ZINC000589034831 1071305489 /nfs/dbraw/zinc/30/54/89/1071305489.db2.gz KZNFPIKFPKWQNR-OFQRWUPVSA-N 0 0 434.497 -0.874 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)[C@H](C)C1 ZINC000589034832 1071305446 /nfs/dbraw/zinc/30/54/46/1071305446.db2.gz KZNFPIKFPKWQNR-SQWLQELKSA-N 0 0 434.497 -0.874 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)C(=O)N1c1cnn(C)c1 ZINC000589037809 1071308109 /nfs/dbraw/zinc/30/81/09/1071308109.db2.gz AHDSCXSJQVLZRI-BPLDGKMQSA-N 0 0 435.481 -0.401 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)C(=O)N1c1cnn(C)c1 ZINC000589037813 1071308085 /nfs/dbraw/zinc/30/80/85/1071308085.db2.gz AHDSCXSJQVLZRI-SNPRPXQTSA-N 0 0 435.481 -0.401 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)C(=O)N1c1cnn(C)c1 ZINC000589037816 1071308139 /nfs/dbraw/zinc/30/81/39/1071308139.db2.gz AHDSCXSJQVLZRI-VHDGCEQUSA-N 0 0 435.481 -0.401 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)C(=O)N1c1cnn(C)c1 ZINC000589037823 1071308299 /nfs/dbraw/zinc/30/82/99/1071308299.db2.gz AHDSCXSJQVLZRI-YUELXQCFSA-N 0 0 435.481 -0.401 20 0 IBADRN COC(=O)C(C)(C)[C@@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000589037951 1071309078 /nfs/dbraw/zinc/30/90/78/1071309078.db2.gz ILWUQYXAODSOFP-CYBMUJFWSA-N 0 0 444.510 -0.352 20 0 IBADRN COC(=O)C(C)(C)[C@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000589037952 1071309639 /nfs/dbraw/zinc/30/96/39/1071309639.db2.gz ILWUQYXAODSOFP-ZDUSSCGKSA-N 0 0 444.510 -0.352 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)C1 ZINC000589037965 1071309749 /nfs/dbraw/zinc/30/97/49/1071309749.db2.gz JUPZJJQAXDRQAW-CMPZQBNXSA-N 0 0 449.508 -0.152 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)C1 ZINC000589037966 1071309737 /nfs/dbraw/zinc/30/97/37/1071309737.db2.gz JUPZJJQAXDRQAW-IUSZMWJPSA-N 0 0 449.508 -0.152 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)C1 ZINC000589037967 1071309630 /nfs/dbraw/zinc/30/96/30/1071309630.db2.gz JUPZJJQAXDRQAW-IVZHQMGZSA-N 0 0 449.508 -0.152 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)C1 ZINC000589037968 1072189925 /nfs/dbraw/zinc/18/99/25/1072189925.db2.gz JUPZJJQAXDRQAW-WDUKFBBWSA-N 0 0 449.508 -0.152 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000589037975 1072189834 /nfs/dbraw/zinc/18/98/34/1072189834.db2.gz KWKHKIRVONFTCB-DZGCQCFKSA-N 0 0 434.497 -0.874 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000589037976 1071309531 /nfs/dbraw/zinc/30/95/31/1071309531.db2.gz KWKHKIRVONFTCB-HIFRSBDPSA-N 0 0 434.497 -0.874 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000589038220 1071308985 /nfs/dbraw/zinc/30/89/85/1071308985.db2.gz KWKHKIRVONFTCB-UKRRQHHQSA-N 0 0 434.497 -0.874 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000589038225 1072189930 /nfs/dbraw/zinc/18/99/30/1072189930.db2.gz KWKHKIRVONFTCB-ZFWWWQNUSA-N 0 0 434.497 -0.874 20 0 IBADRN CSCc1cc(F)ccc1CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000589049354 1071314981 /nfs/dbraw/zinc/31/49/81/1071314981.db2.gz HUEHCHXMQZFLJP-UHFFFAOYSA-N 0 0 435.485 -0.338 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCc2cnc(N(C)C)n2C)C1=O ZINC000589051710 1071320314 /nfs/dbraw/zinc/32/03/14/1071320314.db2.gz DQLOPLLFIJVLEE-CYBMUJFWSA-N 0 0 448.505 -0.128 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCc2cnc(N(C)C)n2C)C1=O ZINC000589051713 1072189807 /nfs/dbraw/zinc/18/98/07/1072189807.db2.gz DQLOPLLFIJVLEE-ZDUSSCGKSA-N 0 0 448.505 -0.128 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N2CCC(CO)(OC)CC2)C1=O ZINC000589052174 1072189921 /nfs/dbraw/zinc/18/99/21/1072189921.db2.gz OJJTZAPDQMNNTC-CYBMUJFWSA-N 0 0 439.490 -0.244 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N2CCC(CO)(OC)CC2)C1=O ZINC000589052182 1072189860 /nfs/dbraw/zinc/18/98/60/1072189860.db2.gz OJJTZAPDQMNNTC-ZDUSSCGKSA-N 0 0 439.490 -0.244 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCCOC[C@H]2CCOC2)C1=O ZINC000589052571 1072189875 /nfs/dbraw/zinc/18/98/75/1072189875.db2.gz YQCJYESPKAUHKX-GXTWGEPZSA-N 0 0 439.490 -0.075 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCCOC[C@H]2CCOC2)C1=O ZINC000589052572 1072189868 /nfs/dbraw/zinc/18/98/68/1072189868.db2.gz YQCJYESPKAUHKX-JSGCOSHPSA-N 0 0 439.490 -0.075 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)NCCOC[C@@H]2CCOC2)C1=O ZINC000589052573 1072189851 /nfs/dbraw/zinc/18/98/51/1072189851.db2.gz YQCJYESPKAUHKX-OCCSQVGLSA-N 0 0 439.490 -0.075 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)NCCOC[C@@H]2CCOC2)C1=O ZINC000589052574 1072189821 /nfs/dbraw/zinc/18/98/21/1072189821.db2.gz YQCJYESPKAUHKX-TZMCWYRMSA-N 0 0 439.490 -0.075 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)C1=O ZINC000589053288 1072189840 /nfs/dbraw/zinc/18/98/40/1072189840.db2.gz PSTDXUSEXUUAFA-FPMFFAJLSA-N 0 0 438.506 -0.409 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)C1=O ZINC000589053289 1072190543 /nfs/dbraw/zinc/19/05/43/1072190543.db2.gz PSTDXUSEXUUAFA-KWCYVHTRSA-N 0 0 438.506 -0.409 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)C1=O ZINC000589053290 1072190471 /nfs/dbraw/zinc/19/04/71/1072190471.db2.gz PSTDXUSEXUUAFA-UBHSHLNASA-N 0 0 438.506 -0.409 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)C1=O ZINC000589053291 1072190430 /nfs/dbraw/zinc/19/04/30/1072190430.db2.gz PSTDXUSEXUUAFA-XBFCOCLRSA-N 0 0 438.506 -0.409 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)N[C@H](C)C[S@@](C)=O)CC2)ncc1Br ZINC000589062477 1072190508 /nfs/dbraw/zinc/19/05/08/1072190508.db2.gz ZIDULTXKJPXADX-AFNXNYLOSA-N 0 0 448.343 -0.221 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)N[C@@H](C)C[S@@](C)=O)CC2)ncc1Br ZINC000589062489 1072190537 /nfs/dbraw/zinc/19/05/37/1072190537.db2.gz ZIDULTXKJPXADX-RSNKEIGGSA-N 0 0 448.343 -0.221 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)N[C@H](C)C[S@](C)=O)CC2)ncc1Br ZINC000589062491 1072190529 /nfs/dbraw/zinc/19/05/29/1072190529.db2.gz ZIDULTXKJPXADX-SKVICXGVSA-N 0 0 448.343 -0.221 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)N[C@@H](C)C[S@](C)=O)CC2)ncc1Br ZINC000589062492 1071320757 /nfs/dbraw/zinc/32/07/57/1071320757.db2.gz ZIDULTXKJPXADX-ZHZWEUQESA-N 0 0 448.343 -0.221 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)C1 ZINC000589065675 1072190586 /nfs/dbraw/zinc/19/05/86/1072190586.db2.gz MBPBBBQXZIJZMW-BGQBYYDXSA-N 0 0 426.583 -0.006 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)C1 ZINC000589065678 1072190379 /nfs/dbraw/zinc/19/03/79/1072190379.db2.gz MBPBBBQXZIJZMW-KWDPHORHSA-N 0 0 426.583 -0.006 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)C1 ZINC000589065679 1072190517 /nfs/dbraw/zinc/19/05/17/1072190517.db2.gz MBPBBBQXZIJZMW-OSJPROMYSA-N 0 0 426.583 -0.006 20 0 IBADRN CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)C1 ZINC000589065680 1072190465 /nfs/dbraw/zinc/19/04/65/1072190465.db2.gz MBPBBBQXZIJZMW-PONBRNSYSA-N 0 0 426.583 -0.006 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)c1ccc(C(=O)N2CCOC[C@@H]2CC(=O)OC)nc1 ZINC000589071376 1072191075 /nfs/dbraw/zinc/19/10/75/1072191075.db2.gz AFSCOIIBGUVBKU-CVEARBPZSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)c1ccc(C(=O)N2CCOC[C@@H]2CC(=O)OC)nc1 ZINC000589071377 1072191012 /nfs/dbraw/zinc/19/10/12/1072191012.db2.gz AFSCOIIBGUVBKU-HOTGVXAUSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)c1ccc(C(=O)N2CCOC[C@H]2CC(=O)OC)nc1 ZINC000589071378 1072191134 /nfs/dbraw/zinc/19/11/34/1072191134.db2.gz AFSCOIIBGUVBKU-HZPDHXFCSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)c1ccc(C(=O)N2CCOC[C@@H]2CC(=O)OC)cn1 ZINC000589071379 1072190945 /nfs/dbraw/zinc/19/09/45/1072190945.db2.gz AFSCOIIBGUVBKU-JKSUJKDBSA-N 0 0 449.460 -0.110 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCOC[C@@H]2CC(=O)OC)c1 ZINC000589073909 1072190995 /nfs/dbraw/zinc/19/09/95/1072190995.db2.gz RGLMAGSNYMMPAU-AWEZNQCLSA-N 0 0 429.495 -0.331 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCOC[C@H]2CC(=O)OC)c1 ZINC000589073929 1072190941 /nfs/dbraw/zinc/19/09/41/1072190941.db2.gz RGLMAGSNYMMPAU-CQSZACIVSA-N 0 0 429.495 -0.331 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000589073949 1072190931 /nfs/dbraw/zinc/19/09/31/1072190931.db2.gz SOVAZCWQRJREGP-AWEZNQCLSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000589073957 1072191056 /nfs/dbraw/zinc/19/10/56/1072191056.db2.gz SOVAZCWQRJREGP-CQSZACIVSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)CSCC(=O)N1CCOC[C@@H]1CC(=O)OC ZINC000589075066 1071321178 /nfs/dbraw/zinc/32/11/78/1071321178.db2.gz FODVPOUCHQLPAO-KBPBESRZSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)CSCC(=O)N1CCOC[C@@H]1CC(=O)OC ZINC000589075080 1072191709 /nfs/dbraw/zinc/19/17/09/1072191709.db2.gz FODVPOUCHQLPAO-OKILXGFUSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)CSCC(=O)N1CCOC[C@H]1CC(=O)OC ZINC000589075081 1072191698 /nfs/dbraw/zinc/19/16/98/1072191698.db2.gz FODVPOUCHQLPAO-ZIAGYGMSSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)c1cncc(C(=O)N2CCOC[C@@H]2CC(=O)OC)c1 ZINC000589075271 1072190906 /nfs/dbraw/zinc/19/09/06/1072190906.db2.gz VRYHTNWOOZPBSE-CALCHBBNSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)c1cncc(C(=O)N2CCOC[C@H]2CC(=O)OC)c1 ZINC000589075277 1072190927 /nfs/dbraw/zinc/19/09/27/1072190927.db2.gz VRYHTNWOOZPBSE-IAGOWNOFSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)c1cncc(C(=O)N2CCOC[C@@H]2CC(=O)OC)c1 ZINC000589075282 1072191749 /nfs/dbraw/zinc/19/17/49/1072191749.db2.gz VRYHTNWOOZPBSE-IRXDYDNUSA-N 0 0 449.460 -0.110 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@H](C)[C@@H](C)C(=O)N1CCOC[C@@H]1CC(=O)OC ZINC000589075505 1071321042 /nfs/dbraw/zinc/32/10/42/1071321042.db2.gz HUJVCMUWRSBYMX-FPCVCCKLSA-N 0 0 428.482 -0.160 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@H](C)[C@H](C)C(=O)N1CCOC[C@@H]1CC(=O)OC ZINC000589075510 1072190958 /nfs/dbraw/zinc/19/09/58/1072190958.db2.gz HUJVCMUWRSBYMX-GEEKYZPCSA-N 0 0 428.482 -0.160 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@H](C)[C@@H](C)C(=O)N1CCOC[C@H]1CC(=O)OC ZINC000589075512 1072190935 /nfs/dbraw/zinc/19/09/35/1072190935.db2.gz HUJVCMUWRSBYMX-KLHDSHLOSA-N 0 0 428.482 -0.160 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)[C@H](C)[C@H](C)C(=O)N1CCOC[C@H]1CC(=O)OC ZINC000589075513 1072191048 /nfs/dbraw/zinc/19/10/48/1072191048.db2.gz HUJVCMUWRSBYMX-QKPAOTATSA-N 0 0 428.482 -0.160 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)CC(C)CC(=O)N1CCOC[C@@H]1CC(=O)OC ZINC000589077378 1072191769 /nfs/dbraw/zinc/19/17/69/1072191769.db2.gz PNOXFODTMZNBCL-HOTGVXAUSA-N 0 0 428.482 -0.016 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)CC(C)CC(=O)N1CCOC[C@H]1CC(=O)OC ZINC000589077381 1072191734 /nfs/dbraw/zinc/19/17/34/1072191734.db2.gz PNOXFODTMZNBCL-HZPDHXFCSA-N 0 0 428.482 -0.016 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)C[C@@H](C)CC(=O)N1CCOC[C@@H]1CC(=O)OC ZINC000589077383 1072191723 /nfs/dbraw/zinc/19/17/23/1072191723.db2.gz PNOXFODTMZNBCL-PHZGNYQRSA-N 0 0 428.482 -0.016 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)cn1 ZINC000589077412 1072191789 /nfs/dbraw/zinc/19/17/89/1072191789.db2.gz QKXNYZVJVKEBIY-INMHGKMJSA-N 0 0 449.508 -0.092 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)cn1 ZINC000589077417 1072191686 /nfs/dbraw/zinc/19/16/86/1072191686.db2.gz QKXNYZVJVKEBIY-VYDXJSESSA-N 0 0 449.508 -0.092 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCC(OCCCO)CC3)C2)nc1 ZINC000589089652 1072191738 /nfs/dbraw/zinc/19/17/38/1072191738.db2.gz LNNZKYGCIGZMRC-UHFFFAOYSA-N 0 0 434.493 -0.047 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCO[C@@H]([C@@H]4CCCO4)C3)C2)nc1 ZINC000589089663 1072191712 /nfs/dbraw/zinc/19/17/12/1072191712.db2.gz OBUFWPFPMCEJOP-DLBZAZTESA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCO[C@@H]([C@H]4CCCO4)C3)C2)nc1 ZINC000589089665 1072191745 /nfs/dbraw/zinc/19/17/45/1072191745.db2.gz OBUFWPFPMCEJOP-IAGOWNOFSA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCO[C@H]([C@@H]4CCCO4)C3)C2)nc1 ZINC000589089666 1072191795 /nfs/dbraw/zinc/19/17/95/1072191795.db2.gz OBUFWPFPMCEJOP-IRXDYDNUSA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCO[C@H]([C@H]4CCCO4)C3)C2)nc1 ZINC000589089668 1072191729 /nfs/dbraw/zinc/19/17/29/1072191729.db2.gz OBUFWPFPMCEJOP-SJORKVTESA-N 0 0 432.477 -0.031 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CC[C@H](NC(=O)NC4CC4)C3)C2)nc1 ZINC000589089692 1072191802 /nfs/dbraw/zinc/19/18/02/1072191802.db2.gz QLTLFPGCCOVRPR-HNNXBMFYSA-N 0 0 444.492 -0.374 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CC[C@@H](NC(=O)NC4CC4)C3)C2)nc1 ZINC000589089693 1072191775 /nfs/dbraw/zinc/19/17/75/1072191775.db2.gz QLTLFPGCCOVRPR-OAHLLOKOSA-N 0 0 444.492 -0.374 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(C4CCOCC4)CC3)C2)nc1 ZINC000589089698 1072191671 /nfs/dbraw/zinc/19/16/71/1072191671.db2.gz RFANILIBUSFKCU-UHFFFAOYSA-N 0 0 445.520 -0.114 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(c4cccnn4)CC3)C2)nc1 ZINC000589089968 1072191666 /nfs/dbraw/zinc/19/16/66/1072191666.db2.gz PQGRJZKDEOXALH-UHFFFAOYSA-N 0 0 439.476 -0.298 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(CC(C)C)C(=O)C3)C2)nc1 ZINC000589090005 1072191717 /nfs/dbraw/zinc/19/17/17/1072191717.db2.gz TWUQFPQIFWDHOV-UHFFFAOYSA-N 0 0 431.493 -0.110 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(C[C@H]4CCCO4)CC3)C2)nc1 ZINC000589090016 1072191757 /nfs/dbraw/zinc/19/17/57/1072191757.db2.gz WWOBGAXSBGGZHT-GOSISDBHSA-N 0 0 445.520 -0.114 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(C[C@@H]4CCCO4)CC3)C2)nc1 ZINC000589090017 1072191781 /nfs/dbraw/zinc/19/17/81/1072191781.db2.gz WWOBGAXSBGGZHT-SFHVURJKSA-N 0 0 445.520 -0.114 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H]3COCC(=O)N3Cc3ccccc3)CC2)no1 ZINC000589091743 1073331044 /nfs/dbraw/zinc/33/10/44/1073331044.db2.gz HQZZTZVKKDQIEA-GOSISDBHSA-N 0 0 441.488 0.493 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000589095255 1072190980 /nfs/dbraw/zinc/19/09/80/1072190980.db2.gz TWZJOECWFWAXSH-LJQANCHMSA-N 0 0 430.505 -0.583 20 0 IBADRN COC(=O)c1cccc2c1N(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)[C@@H](C)C(=O)N2 ZINC000589097075 1072191086 /nfs/dbraw/zinc/19/10/86/1072191086.db2.gz WOGARVITAHZFSW-JTQLQIEISA-N 0 0 440.416 -0.406 20 0 IBADRN COC(=O)c1cccc2c1N(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)[C@H](C)C(=O)N2 ZINC000589097083 1072190962 /nfs/dbraw/zinc/19/09/62/1072190962.db2.gz WOGARVITAHZFSW-SNVBAGLBSA-N 0 0 440.416 -0.406 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000589106218 1072192351 /nfs/dbraw/zinc/19/23/51/1072192351.db2.gz LMPRCOLHRHOXTR-QGZVFWFLSA-N 0 0 442.472 -0.766 20 0 IBADRN COc1ccc(NC(=O)c2cn(CC(=O)N(C)C)nn2)c(N2CCN(C(C)=O)CC2)n1 ZINC000589118465 1071320783 /nfs/dbraw/zinc/32/07/83/1071320783.db2.gz OFMHFRCQEYWHOU-UHFFFAOYSA-N 0 0 430.469 -0.309 20 0 IBADRN CN(CCN1CCOCC1)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000589124790 1072192980 /nfs/dbraw/zinc/19/29/80/1072192980.db2.gz LMYWPRYSDYTFMI-KRWDZBQOSA-N 0 0 447.579 -0.441 20 0 IBADRN CN(CCN1CCOCC1)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000589124791 1072193025 /nfs/dbraw/zinc/19/30/25/1072193025.db2.gz LMYWPRYSDYTFMI-QGZVFWFLSA-N 0 0 447.579 -0.441 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C)CCN3CCOCC3)cc2)CC1 ZINC000589124822 1071321153 /nfs/dbraw/zinc/32/11/53/1071321153.db2.gz MTURIVNDEMXQLR-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C)CCN3CCOCC3)c2)CC1 ZINC000589124907 1072192892 /nfs/dbraw/zinc/19/28/92/1072192892.db2.gz JOPMOCXUTGPRLX-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN CN(CC(=O)Nc1ccc(Br)cn1)C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000589126687 1071320836 /nfs/dbraw/zinc/32/08/36/1071320836.db2.gz STHJRISCLJCJLU-UHFFFAOYSA-N 0 0 445.299 -0.047 20 0 IBADRN CCN1CCN(CC(=O)N(C)CC(=O)Nc2ccc(Br)cn2)C(=O)C1=O ZINC000589126857 1072192901 /nfs/dbraw/zinc/19/29/01/1072192901.db2.gz XDOGYLYRNCGPCH-UHFFFAOYSA-N 0 0 426.271 -0.068 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000589133606 1072193030 /nfs/dbraw/zinc/19/30/30/1072193030.db2.gz CPSMJTYAYMQOTB-UHFFFAOYSA-N 0 0 431.268 -0.184 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2cccc(CS(N)(=O)=O)c2)cn1C ZINC000589136619 1072193062 /nfs/dbraw/zinc/19/30/62/1072193062.db2.gz YMXOZDDTNVHQIL-UHFFFAOYSA-N 0 0 429.524 -0.498 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cn1 ZINC000589138408 1071320929 /nfs/dbraw/zinc/32/09/29/1071320929.db2.gz GBXNBVLWIUGZLW-BPUTZDHNSA-N 0 0 427.527 -0.964 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cn1 ZINC000589138409 1072192093 /nfs/dbraw/zinc/19/20/93/1072192093.db2.gz GBXNBVLWIUGZLW-CWRNSKLLSA-N 0 0 427.527 -0.964 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cn1 ZINC000589138410 1072192291 /nfs/dbraw/zinc/19/22/91/1072192291.db2.gz GBXNBVLWIUGZLW-KBMXLJTQSA-N 0 0 427.527 -0.964 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cn1 ZINC000589138411 1072192329 /nfs/dbraw/zinc/19/23/29/1072192329.db2.gz GBXNBVLWIUGZLW-VNQPRFMTSA-N 0 0 427.527 -0.964 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)CC2)s1 ZINC000589138766 1071321067 /nfs/dbraw/zinc/32/10/67/1071321067.db2.gz HUJXKDDBMPKSBM-KBPBESRZSA-N 0 0 439.538 -0.196 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)CC2)s1 ZINC000589138775 1072192228 /nfs/dbraw/zinc/19/22/28/1072192228.db2.gz HUJXKDDBMPKSBM-KGLIPLIRSA-N 0 0 439.538 -0.196 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)CC2)s1 ZINC000589138777 1072192440 /nfs/dbraw/zinc/19/24/40/1072192440.db2.gz HUJXKDDBMPKSBM-UONOGXRCSA-N 0 0 439.538 -0.196 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)CC2)s1 ZINC000589138779 1072192207 /nfs/dbraw/zinc/19/22/07/1072192207.db2.gz HUJXKDDBMPKSBM-ZIAGYGMSSA-N 0 0 439.538 -0.196 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)CC2)nc1 ZINC000589138803 1072192125 /nfs/dbraw/zinc/19/21/25/1072192125.db2.gz IDSGLZCAFHSDHC-DOTOQJQBSA-N 0 0 433.509 -0.258 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)CC2)nc1 ZINC000589138805 1072192424 /nfs/dbraw/zinc/19/24/24/1072192424.db2.gz IDSGLZCAFHSDHC-NVXWUHKLSA-N 0 0 433.509 -0.258 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)CC2)nc1 ZINC000589138806 1072192368 /nfs/dbraw/zinc/19/23/68/1072192368.db2.gz IDSGLZCAFHSDHC-RDJZCZTQSA-N 0 0 433.509 -0.258 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)CC2)nc1 ZINC000589138807 1072192394 /nfs/dbraw/zinc/19/23/94/1072192394.db2.gz IDSGLZCAFHSDHC-WBVHZDCISA-N 0 0 433.509 -0.258 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](COC)[C@H]2CCCO2)cn1 ZINC000589143776 1072193548 /nfs/dbraw/zinc/19/35/48/1072193548.db2.gz XPGGJUNLSSAYTL-HRCADAONSA-N 0 0 428.511 -0.489 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@@H](COC)[C@@H]2CCCO2)cn1 ZINC000589143777 1072193527 /nfs/dbraw/zinc/19/35/27/1072193527.db2.gz XPGGJUNLSSAYTL-JYJNAYRXSA-N 0 0 428.511 -0.489 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](COC)[C@H]2CCCO2)cn1 ZINC000589143778 1072193644 /nfs/dbraw/zinc/19/36/44/1072193644.db2.gz XPGGJUNLSSAYTL-OWCLPIDISA-N 0 0 428.511 -0.489 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)C(=O)N[C@H](COC)[C@@H]2CCCO2)cn1 ZINC000589143779 1072193558 /nfs/dbraw/zinc/19/35/58/1072193558.db2.gz XPGGJUNLSSAYTL-PMPSAXMXSA-N 0 0 428.511 -0.489 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NCc3cccc(CS(N)(=O)=O)c3)nc2)CC1 ZINC000589150883 1072193623 /nfs/dbraw/zinc/19/36/23/1072193623.db2.gz GCNMQQPBHAKNMA-UHFFFAOYSA-N 0 0 439.563 0.418 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1 ZINC000589154921 1072193493 /nfs/dbraw/zinc/19/34/93/1072193493.db2.gz HNYNVCKQHNGXMI-UHFFFAOYSA-N 0 0 437.566 -0.808 20 0 IBADRN C[C@@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)NC(=O)C1CCCC1 ZINC000589161418 1072194311 /nfs/dbraw/zinc/19/43/11/1072194311.db2.gz SSITXXAVKWDLLG-INIZCTEOSA-N 0 0 429.587 -0.292 20 0 IBADRN C[C@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)NC(=O)C1CCCC1 ZINC000589161419 1072194243 /nfs/dbraw/zinc/19/42/43/1072194243.db2.gz SSITXXAVKWDLLG-MRXNPFEDSA-N 0 0 429.587 -0.292 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000589166238 1072193069 /nfs/dbraw/zinc/19/30/69/1072193069.db2.gz MSIVIKPRLUQFKR-GOSISDBHSA-N 0 0 440.478 -0.397 20 0 IBADRN COC[C@]1(C)NC(=O)N(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000589166239 1072192993 /nfs/dbraw/zinc/19/29/93/1072192993.db2.gz MSIVIKPRLUQFKR-SFHVURJKSA-N 0 0 440.478 -0.397 20 0 IBADRN Cc1nc(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1C ZINC000589167731 1072192958 /nfs/dbraw/zinc/19/29/58/1072192958.db2.gz DXSCVTBQRNCSQS-UHFFFAOYSA-N 0 0 428.540 -0.446 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCN2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000589168835 1072192988 /nfs/dbraw/zinc/19/29/88/1072192988.db2.gz BHSHULWNMYQKBQ-GOSISDBHSA-N 0 0 426.495 -0.298 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCN2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000589168844 1072193012 /nfs/dbraw/zinc/19/30/12/1072193012.db2.gz BHSHULWNMYQKBQ-SFHVURJKSA-N 0 0 426.495 -0.298 20 0 IBADRN CN(CCN1CCOCC1)C(=O)c1cc(S(=O)(=O)N(C)CCN2CCOCC2)c[nH]1 ZINC000589168917 1072193571 /nfs/dbraw/zinc/19/35/71/1072193571.db2.gz CSDPPEFHWQKTRM-UHFFFAOYSA-N 0 0 443.570 -0.628 20 0 IBADRN CN(CCN1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000589169653 1072193479 /nfs/dbraw/zinc/19/34/79/1072193479.db2.gz FGRNIOGLXILRMW-UHFFFAOYSA-N 0 0 433.552 -0.340 20 0 IBADRN CN(CC(=O)Nc1ccc(Br)cn1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000589170474 1072193515 /nfs/dbraw/zinc/19/35/15/1072193515.db2.gz QOHUWJYIKUTSGK-UHFFFAOYSA-N 0 0 446.283 -0.499 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000589171731 1072193586 /nfs/dbraw/zinc/19/35/86/1072193586.db2.gz KBMYQAPASJINGD-AWEZNQCLSA-N 0 0 441.535 -0.099 20 0 IBADRN Cc1nc2n(n1)C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000589171735 1072193606 /nfs/dbraw/zinc/19/36/06/1072193606.db2.gz KBMYQAPASJINGD-CQSZACIVSA-N 0 0 441.535 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CCc3nc(C)nn3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000589172404 1072193539 /nfs/dbraw/zinc/19/35/39/1072193539.db2.gz SHLQIRARJIFOMB-ADLMAVQZSA-N 0 0 434.478 -0.057 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CCc3nc(C)nn3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000589172414 1072193577 /nfs/dbraw/zinc/19/35/77/1072193577.db2.gz SHLQIRARJIFOMB-BBATYDOGSA-N 0 0 434.478 -0.057 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CCc3nc(C)nn3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000589172417 1072193639 /nfs/dbraw/zinc/19/36/39/1072193639.db2.gz SHLQIRARJIFOMB-VOJFVSQTSA-N 0 0 434.478 -0.057 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CCc3nc(C)nn3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000589172419 1072193563 /nfs/dbraw/zinc/19/35/63/1072193563.db2.gz SHLQIRARJIFOMB-ZMZPIMSZSA-N 0 0 434.478 -0.057 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000589186736 1072194117 /nfs/dbraw/zinc/19/41/17/1072194117.db2.gz QOMYAZKQQBQFOX-GOSISDBHSA-N 0 0 431.541 -0.121 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000589186737 1072194221 /nfs/dbraw/zinc/19/42/21/1072194221.db2.gz QOMYAZKQQBQFOX-SFHVURJKSA-N 0 0 431.541 -0.121 20 0 IBADRN COc1cccc(N2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)c1 ZINC000589189479 1072194137 /nfs/dbraw/zinc/19/41/37/1072194137.db2.gz SGBZXTHWPPRTKN-UHFFFAOYSA-N 0 0 445.564 -0.180 20 0 IBADRN Cn1c2ncc(NC(=O)NCc3cccc(CS(N)(=O)=O)c3)cc2c(=O)n(C)c1=O ZINC000589193455 1072194300 /nfs/dbraw/zinc/19/43/00/1072194300.db2.gz SKKFIOOBYOMKFM-UHFFFAOYSA-N 0 0 432.462 -0.258 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H](CO)C(=O)OC ZINC000589202645 1072194186 /nfs/dbraw/zinc/19/41/86/1072194186.db2.gz RAQGBFMPXNQCEQ-OAHLLOKOSA-N 0 0 429.495 -0.715 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OCC ZINC000589205432 1072194720 /nfs/dbraw/zinc/19/47/20/1072194720.db2.gz QJDZOEDKYKVWJO-INIZCTEOSA-N 0 0 443.522 -0.325 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OCC ZINC000589205435 1072194776 /nfs/dbraw/zinc/19/47/76/1072194776.db2.gz QJDZOEDKYKVWJO-MRXNPFEDSA-N 0 0 443.522 -0.325 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)NCCS(=O)(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000589210719 1072194685 /nfs/dbraw/zinc/19/46/85/1072194685.db2.gz NFQSLGGAXLGDQR-INIZCTEOSA-N 0 0 448.553 -0.252 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)NCCS(=O)(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000589210720 1072194871 /nfs/dbraw/zinc/19/48/71/1072194871.db2.gz NFQSLGGAXLGDQR-MRXNPFEDSA-N 0 0 448.553 -0.252 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)CC2 ZINC000589210862 1072194790 /nfs/dbraw/zinc/19/47/90/1072194790.db2.gz BICCQJJKXXCUAB-GFCCVEGCSA-N 0 0 437.482 -0.691 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)N1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)CC2 ZINC000589210863 1072194805 /nfs/dbraw/zinc/19/48/05/1072194805.db2.gz BICCQJJKXXCUAB-LBPRGKRZSA-N 0 0 437.482 -0.691 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)[C@@H](C)C2)cc1 ZINC000589211257 1072194762 /nfs/dbraw/zinc/19/47/62/1072194762.db2.gz VOLWJMDHDIGGTP-AWEZNQCLSA-N 0 0 442.476 -0.052 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)[C@H](C)C2)cc1 ZINC000589211260 1072194891 /nfs/dbraw/zinc/19/48/91/1072194891.db2.gz VOLWJMDHDIGGTP-CQSZACIVSA-N 0 0 442.476 -0.052 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N(CC(N)=O)CC(N)=O)cc(Br)c1C ZINC000589220579 1072194286 /nfs/dbraw/zinc/19/42/86/1072194286.db2.gz QCDGLZBMNYJZME-UHFFFAOYSA-N 0 0 436.284 -0.104 20 0 IBADRN Cc1noc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000589228471 1072196624 /nfs/dbraw/zinc/19/66/24/1072196624.db2.gz VOBUGGICFJLRKJ-UHFFFAOYSA-N 0 0 444.495 -0.758 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)N1CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC1)CC2 ZINC000589228876 1072196655 /nfs/dbraw/zinc/19/66/55/1072196655.db2.gz BDSDAIFGAFUTNM-IIAWOOMASA-N 0 0 441.558 -0.417 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)N1CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC1)CC2 ZINC000589228877 1072196682 /nfs/dbraw/zinc/19/66/82/1072196682.db2.gz BDSDAIFGAFUTNM-IJEWVQPXSA-N 0 0 441.558 -0.417 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)N1CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC1)CC2 ZINC000589228878 1072196594 /nfs/dbraw/zinc/19/65/94/1072196594.db2.gz BDSDAIFGAFUTNM-LZWOXQAQSA-N 0 0 441.558 -0.417 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)N1CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC1)CC2 ZINC000589228879 1072196675 /nfs/dbraw/zinc/19/66/75/1072196675.db2.gz BDSDAIFGAFUTNM-OFQRWUPVSA-N 0 0 441.558 -0.417 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(C)c1 ZINC000589233140 1072196570 /nfs/dbraw/zinc/19/65/70/1072196570.db2.gz BYDXIIGPWOEUDY-UHFFFAOYSA-N 0 0 439.538 -0.421 20 0 IBADRN CSc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000589234088 1072194747 /nfs/dbraw/zinc/19/47/47/1072194747.db2.gz PJLNDWSWSBUWAR-UHFFFAOYSA-N 0 0 442.567 -0.622 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(C)c1 ZINC000589234230 1072194811 /nfs/dbraw/zinc/19/48/11/1072194811.db2.gz RIOHMBDJZOMEFS-UHFFFAOYSA-N 0 0 426.495 -0.337 20 0 IBADRN CSc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000589234723 1072196472 /nfs/dbraw/zinc/19/64/72/1072196472.db2.gz ZCBHILIAJOAAAC-UHFFFAOYSA-N 0 0 429.524 -0.537 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCc3cccc(CS(N)(=O)=O)c3)cc2C1=O ZINC000589237378 1072194741 /nfs/dbraw/zinc/19/47/41/1072194741.db2.gz ALXBBRIQETZCFG-UHFFFAOYSA-N 0 0 430.442 -0.044 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCc3cccc(CS(N)(=O)=O)c3)cc2n(C)c1=O ZINC000589240046 1072194864 /nfs/dbraw/zinc/19/48/64/1072194864.db2.gz WNHUNBIFQRBAIH-UHFFFAOYSA-N 0 0 431.474 -0.080 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cccc(CS(N)(=O)=O)c2)c2ccccc2O1 ZINC000589240065 1071321610 /nfs/dbraw/zinc/32/16/10/1071321610.db2.gz WUZBPFSQAVHHMW-KRWDZBQOSA-N 0 0 446.485 -0.369 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2cccc(CS(N)(=O)=O)c2)c2ccccc2O1 ZINC000589240068 1072194729 /nfs/dbraw/zinc/19/47/29/1072194729.db2.gz WUZBPFSQAVHHMW-QGZVFWFLSA-N 0 0 446.485 -0.369 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N3CCOCC3)c1)CC2 ZINC000589241607 1072194838 /nfs/dbraw/zinc/19/48/38/1072194838.db2.gz ILIDTCKQRSTUJQ-HNNXBMFYSA-N 0 0 448.505 -0.323 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N3CCOCC3)c1)CC2 ZINC000589241608 1072195332 /nfs/dbraw/zinc/19/53/32/1072195332.db2.gz ILIDTCKQRSTUJQ-OAHLLOKOSA-N 0 0 448.505 -0.323 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H]1CCc2nc(C)nn2C1 ZINC000589241799 1072194857 /nfs/dbraw/zinc/19/48/57/1072194857.db2.gz OBZNHOLAJCYQKC-GFCCVEGCSA-N 0 0 436.494 -0.085 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H]1CCc2nc(C)nn2C1 ZINC000589241800 1072194705 /nfs/dbraw/zinc/19/47/05/1072194705.db2.gz OBZNHOLAJCYQKC-LBPRGKRZSA-N 0 0 436.494 -0.085 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000589241848 1072194884 /nfs/dbraw/zinc/19/48/84/1072194884.db2.gz REZWYBMILMTSOX-HNNXBMFYSA-N 0 0 448.505 -0.323 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000589241849 1072194786 /nfs/dbraw/zinc/19/47/86/1072194786.db2.gz REZWYBMILMTSOX-OAHLLOKOSA-N 0 0 448.505 -0.323 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)Nc1ccc(CC(=O)N3CCN(C)CC3)cc1)CC2 ZINC000589243736 1072195413 /nfs/dbraw/zinc/19/54/13/1072195413.db2.gz OMSWQFIRYWASBR-GOSISDBHSA-N 0 0 439.520 -0.027 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)Nc1ccc(CC(=O)N3CCN(C)CC3)cc1)CC2 ZINC000589243738 1072195298 /nfs/dbraw/zinc/19/52/98/1072195298.db2.gz OMSWQFIRYWASBR-SFHVURJKSA-N 0 0 439.520 -0.027 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)CC2 ZINC000589244172 1072195582 /nfs/dbraw/zinc/19/55/82/1072195582.db2.gz SRIDRTHHBXDTCM-CYBMUJFWSA-N 0 0 449.537 -0.027 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)CC2 ZINC000589244177 1072195319 /nfs/dbraw/zinc/19/53/19/1072195319.db2.gz SRIDRTHHBXDTCM-ZDUSSCGKSA-N 0 0 449.537 -0.027 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1 ZINC000589247251 1072195434 /nfs/dbraw/zinc/19/54/34/1072195434.db2.gz YFILMDDINKNLTB-GDLZYMKVSA-N 0 0 437.547 -0.573 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1 ZINC000589247252 1072195489 /nfs/dbraw/zinc/19/54/89/1072195489.db2.gz YFILMDDINKNLTB-LJAQVGFWSA-N 0 0 437.547 -0.573 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000589247464 1072195392 /nfs/dbraw/zinc/19/53/92/1072195392.db2.gz PROXIBLZUPVHPM-HHHXNRCGSA-N 0 0 431.511 -0.314 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000589247465 1072195591 /nfs/dbraw/zinc/19/55/91/1072195591.db2.gz PROXIBLZUPVHPM-MHZLTWQESA-N 0 0 431.511 -0.314 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000589247686 1072195377 /nfs/dbraw/zinc/19/53/77/1072195377.db2.gz LPNFPRGMDMYWNE-HHHXNRCGSA-N 0 0 427.548 -0.063 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000589247687 1072195503 /nfs/dbraw/zinc/19/55/03/1072195503.db2.gz LPNFPRGMDMYWNE-MHZLTWQESA-N 0 0 427.548 -0.063 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCC3([S@@](C)=O)CCC3)no2)cn1 ZINC000589248394 1072195542 /nfs/dbraw/zinc/19/55/42/1072195542.db2.gz QLLXBQIBEBAJSY-BRRNQIAESA-N 0 0 436.494 -0.213 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCC3([S@](C)=O)CCC3)no2)cn1 ZINC000589248396 1072195282 /nfs/dbraw/zinc/19/52/82/1072195282.db2.gz QLLXBQIBEBAJSY-FZPQWHJUSA-N 0 0 436.494 -0.213 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCC3([S@@](C)=O)CCC3)no2)cn1 ZINC000589248397 1072195382 /nfs/dbraw/zinc/19/53/82/1072195382.db2.gz QLLXBQIBEBAJSY-GNDSEDMPSA-N 0 0 436.494 -0.213 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCC3([S@](C)=O)CCC3)no2)cn1 ZINC000589248398 1072195402 /nfs/dbraw/zinc/19/54/02/1072195402.db2.gz QLLXBQIBEBAJSY-OSXTUODASA-N 0 0 436.494 -0.213 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CC1 ZINC000589248478 1071321014 /nfs/dbraw/zinc/32/10/14/1071321014.db2.gz BBFQJGMIRKZIPK-PMERELPUSA-N 0 0 432.546 -0.253 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CC1 ZINC000589248479 1071320896 /nfs/dbraw/zinc/32/08/96/1071320896.db2.gz BBFQJGMIRKZIPK-SSEXGKCCSA-N 0 0 432.546 -0.253 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000589249209 1072196139 /nfs/dbraw/zinc/19/61/39/1072196139.db2.gz SHHHCUDLGRHQRW-AWEZNQCLSA-N 0 0 443.504 -0.174 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000589249210 1072196081 /nfs/dbraw/zinc/19/60/81/1072196081.db2.gz SHHHCUDLGRHQRW-CQSZACIVSA-N 0 0 443.504 -0.174 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(Cc3ccc4c(c3)OCCO4)CC2)CC1 ZINC000589249257 1072196163 /nfs/dbraw/zinc/19/61/63/1072196163.db2.gz YJMHNCQIMMPVOD-UHFFFAOYSA-N 0 0 445.564 -0.192 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(CCOc3ccccc3)CC2)CC1 ZINC000589249466 1072196093 /nfs/dbraw/zinc/19/60/93/1072196093.db2.gz AYRJMBFEWFQSTP-UHFFFAOYSA-N 0 0 439.582 -0.030 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CCC1 ZINC000589249662 1071321138 /nfs/dbraw/zinc/32/11/38/1071321138.db2.gz RONVORLPESJVHY-PMERELPUSA-N 0 0 434.562 -0.136 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CCC1 ZINC000589249663 1072195367 /nfs/dbraw/zinc/19/53/67/1072195367.db2.gz RONVORLPESJVHY-SSEXGKCCSA-N 0 0 434.562 -0.136 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000589249830 1072195454 /nfs/dbraw/zinc/19/54/54/1072195454.db2.gz QPLBGSSQMSAAGN-IBGZPJMESA-N 0 0 439.582 -0.236 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000589249835 1072195556 /nfs/dbraw/zinc/19/55/56/1072195556.db2.gz QPLBGSSQMSAAGN-LJQANCHMSA-N 0 0 439.582 -0.236 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000589249939 1072196107 /nfs/dbraw/zinc/19/61/07/1072196107.db2.gz WTZUYQTYAGKXIN-INIZCTEOSA-N 0 0 445.611 -0.002 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000589249941 1072195976 /nfs/dbraw/zinc/19/59/76/1072195976.db2.gz WTZUYQTYAGKXIN-MRXNPFEDSA-N 0 0 445.611 -0.002 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1 ZINC000589249947 1072196588 /nfs/dbraw/zinc/19/65/88/1072196588.db2.gz ACFTXOMYFGTDGT-UHFFFAOYSA-N 0 0 427.527 -0.239 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000589250110 1072196486 /nfs/dbraw/zinc/19/64/86/1072196486.db2.gz DFTSPKDTRIRDFU-UHFFFAOYSA-N 0 0 427.527 -0.812 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000589250149 1072196463 /nfs/dbraw/zinc/19/64/63/1072196463.db2.gz ZHRDMXKZPJJZHV-AWEZNQCLSA-N 0 0 447.583 -0.386 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000589250150 1071321648 /nfs/dbraw/zinc/32/16/48/1071321648.db2.gz ZHRDMXKZPJJZHV-CQSZACIVSA-N 0 0 447.583 -0.386 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC2CCN(C(=O)CC(F)(F)F)CC2)CC1 ZINC000589250190 1072196607 /nfs/dbraw/zinc/19/66/07/1072196607.db2.gz OJNLYGXJZDLSNS-UHFFFAOYSA-N 0 0 443.492 -0.194 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000589250248 1072196064 /nfs/dbraw/zinc/19/60/64/1072196064.db2.gz FOGOZVFZSPHMKO-CVEARBPZSA-N 0 0 433.575 -0.864 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000589250249 1072196119 /nfs/dbraw/zinc/19/61/19/1072196119.db2.gz FOGOZVFZSPHMKO-HOTGVXAUSA-N 0 0 433.575 -0.864 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000589250250 1072196059 /nfs/dbraw/zinc/19/60/59/1072196059.db2.gz FOGOZVFZSPHMKO-HZPDHXFCSA-N 0 0 433.575 -0.864 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000589250251 1072196005 /nfs/dbraw/zinc/19/60/05/1072196005.db2.gz FOGOZVFZSPHMKO-JKSUJKDBSA-N 0 0 433.575 -0.864 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000589250259 1072196170 /nfs/dbraw/zinc/19/61/70/1072196170.db2.gz FSQSIQDLWJAQAU-UHFFFAOYSA-N 0 0 426.543 -0.930 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCC(C(=O)NCC(F)(F)F)CC2)CC1 ZINC000589250293 1071321621 /nfs/dbraw/zinc/32/16/21/1071321621.db2.gz GYBKDFSGDZJNSF-UHFFFAOYSA-N 0 0 443.492 -0.336 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)CC1 ZINC000589250314 1072195986 /nfs/dbraw/zinc/19/59/86/1072195986.db2.gz HSEHMPREYFRNCS-UHFFFAOYSA-N 0 0 439.538 -0.563 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)c3ccccc3O)CC2)CC1 ZINC000589250426 1072196147 /nfs/dbraw/zinc/19/61/47/1072196147.db2.gz IEUSKPPQDZSLHO-UHFFFAOYSA-N 0 0 439.538 -0.563 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)N3CCCCC3)CC2)CC1 ZINC000589250431 1072196129 /nfs/dbraw/zinc/19/61/29/1072196129.db2.gz ILBBHSSBMUCOLV-UHFFFAOYSA-N 0 0 430.575 -0.503 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000589250456 1072195966 /nfs/dbraw/zinc/19/59/66/1072195966.db2.gz JGGISFYYVJSZPW-UHFFFAOYSA-N 0 0 429.518 -0.639 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)c3cccc(F)c3)CC2)CC1 ZINC000589250469 1072195998 /nfs/dbraw/zinc/19/59/98/1072195998.db2.gz JUIUKCFYRDCCHH-UHFFFAOYSA-N 0 0 441.529 -0.130 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000589250656 1072196071 /nfs/dbraw/zinc/19/60/71/1072196071.db2.gz NSLJYNGOZRSTJO-HNNXBMFYSA-N 0 0 439.604 -0.933 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000589250657 1072196032 /nfs/dbraw/zinc/19/60/32/1072196032.db2.gz NSLJYNGOZRSTJO-OAHLLOKOSA-N 0 0 439.604 -0.933 20 0 IBADRN CCOc1ccc(NC(=O)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000589251018 1072196704 /nfs/dbraw/zinc/19/67/04/1072196704.db2.gz UZTCXKNEXYNNSC-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000589251184 1072196507 /nfs/dbraw/zinc/19/65/07/1072196507.db2.gz WUJDEJRXMULNFL-UHFFFAOYSA-N 0 0 439.585 -0.967 20 0 IBADRN Cc1ccc([C@H](CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)N2CCOCC2)o1 ZINC000589251458 1072196614 /nfs/dbraw/zinc/19/66/14/1072196614.db2.gz YDQDWCWQUJUUDX-KRWDZBQOSA-N 0 0 443.570 -0.162 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)N2CCOCC2)o1 ZINC000589251461 1071321682 /nfs/dbraw/zinc/32/16/82/1071321682.db2.gz YDQDWCWQUJUUDX-QGZVFWFLSA-N 0 0 443.570 -0.162 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(Cc3cn4ccccc4n3)CC2)CC1 ZINC000589251477 1072196666 /nfs/dbraw/zinc/19/66/66/1072196666.db2.gz YIZJGTUJFDLPLJ-UHFFFAOYSA-N 0 0 449.581 -0.261 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCCCC1 ZINC000589251494 1072196522 /nfs/dbraw/zinc/19/65/22/1072196522.db2.gz YKYJYPOEGXVWFT-UHFFFAOYSA-N 0 0 439.604 -0.885 20 0 IBADRN CCOC(=O)COCCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000589256252 1072196639 /nfs/dbraw/zinc/19/66/39/1072196639.db2.gz YHLLAEFNJDAWLP-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)NCCNS(C)(=O)=O ZINC000589273491 1072197135 /nfs/dbraw/zinc/19/71/35/1072197135.db2.gz MVSWCLONCAJRLD-UHFFFAOYSA-N 0 0 429.314 -0.008 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000589274817 1072197098 /nfs/dbraw/zinc/19/70/98/1072197098.db2.gz JUEKQAUCXQSSFH-UHFFFAOYSA-N 0 0 439.490 -0.906 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000589300555 1072196494 /nfs/dbraw/zinc/19/64/94/1072196494.db2.gz LRDIMRKEERXGTC-UHFFFAOYSA-N 0 0 440.569 -0.903 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)CC1 ZINC000589300682 1072196689 /nfs/dbraw/zinc/19/66/89/1072196689.db2.gz ZCMJZZQAUZHEGJ-UHFFFAOYSA-N 0 0 443.544 -0.268 20 0 IBADRN CN(C)S(=O)(=O)CCNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000589307296 1072197313 /nfs/dbraw/zinc/19/73/13/1072197313.db2.gz KDIOATPRIQIUON-UHFFFAOYSA-N 0 0 431.533 -0.743 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCS(=O)(=O)N(C)C)s1 ZINC000589307346 1072197209 /nfs/dbraw/zinc/19/72/09/1072197209.db2.gz QXPYQIIDFBHMFE-UHFFFAOYSA-N 0 0 433.599 -0.600 20 0 IBADRN CCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000589312277 1072197116 /nfs/dbraw/zinc/19/71/16/1072197116.db2.gz NRCCOXNOXXONEW-GFCCVEGCSA-N 0 0 444.535 -0.087 20 0 IBADRN CCCC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000589312279 1072197143 /nfs/dbraw/zinc/19/71/43/1072197143.db2.gz NRCCOXNOXXONEW-LBPRGKRZSA-N 0 0 444.535 -0.087 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccc(O)c2OC)c(=O)n1CC(=O)NCCOC ZINC000589342342 1072197300 /nfs/dbraw/zinc/19/73/00/1072197300.db2.gz KTQDLPDBOTYGMK-UHFFFAOYSA-N 0 0 436.486 -0.441 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cc(-c3cccs3)nn1CCO)c(=O)n2C ZINC000589343875 1072197065 /nfs/dbraw/zinc/19/70/65/1072197065.db2.gz ZRKCZPCCPWYDFV-UHFFFAOYSA-N 0 0 429.462 -0.010 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000589346347 1072197078 /nfs/dbraw/zinc/19/70/78/1072197078.db2.gz IRHKETFYXOSHMN-UHFFFAOYSA-N 0 0 434.478 -0.656 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)Nc2cnn(CC(=O)N(C)C)c2)c1 ZINC000589349279 1072197129 /nfs/dbraw/zinc/19/71/29/1072197129.db2.gz NXVQSZDGXUWWNE-UHFFFAOYSA-N 0 0 438.510 -0.198 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1C ZINC000589393047 1072197904 /nfs/dbraw/zinc/19/79/04/1072197904.db2.gz LZJKRMGZDZMQOZ-UHFFFAOYSA-N 0 0 430.458 -0.190 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1F ZINC000589393063 1072197814 /nfs/dbraw/zinc/19/78/14/1072197814.db2.gz OVVFVIDFAXKYOW-UHFFFAOYSA-N 0 0 434.421 -0.360 20 0 IBADRN Cc1occc1C(=O)NC1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000589394199 1072197914 /nfs/dbraw/zinc/19/79/14/1072197914.db2.gz APLKKGHACOJHIA-UHFFFAOYSA-N 0 0 428.449 -0.244 20 0 IBADRN Cc1occc1C(=O)NC1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000589395701 1072197748 /nfs/dbraw/zinc/19/77/48/1072197748.db2.gz YUQKDNCUDBZRKN-UHFFFAOYSA-N 0 0 428.449 -0.244 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCCC[C@H]3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000589397795 1072198358 /nfs/dbraw/zinc/19/83/58/1072198358.db2.gz DUDFISLFHLLXOD-AWEZNQCLSA-N 0 0 432.481 -0.936 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCCC[C@@H]3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000589397806 1072198600 /nfs/dbraw/zinc/19/86/00/1072198600.db2.gz DUDFISLFHLLXOD-CQSZACIVSA-N 0 0 432.481 -0.936 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000589398243 1072197763 /nfs/dbraw/zinc/19/77/63/1072197763.db2.gz NHXZLJQFHWUXHN-UHFFFAOYSA-N 0 0 427.465 -0.964 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000589398340 1072197823 /nfs/dbraw/zinc/19/78/23/1072197823.db2.gz QQDDOKLLUUJPGH-UHFFFAOYSA-N 0 0 449.533 -0.128 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)NCCS(=O)(=O)N1CCSCC1 ZINC000589401587 1072197781 /nfs/dbraw/zinc/19/77/81/1072197781.db2.gz ZHWYENVGDLIVJO-UHFFFAOYSA-N 0 0 425.529 -0.401 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000589401780 1072197789 /nfs/dbraw/zinc/19/77/89/1072197789.db2.gz BJRCXFMAGTVTJK-UHFFFAOYSA-N 0 0 444.485 -0.447 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1F ZINC000589401787 1072197845 /nfs/dbraw/zinc/19/78/45/1072197845.db2.gz CXVJXXWPUTXNAP-UHFFFAOYSA-N 0 0 448.448 -0.617 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)Cn2nnc(-c3ccc(C(N)=O)cc3)n2)CC1 ZINC000589415693 1072197852 /nfs/dbraw/zinc/19/78/52/1072197852.db2.gz RCCBZYVAYRFUQE-UHFFFAOYSA-N 0 0 428.497 -0.502 20 0 IBADRN CC(C)CC(=O)N1CCN(C(=O)CCCCCNC(=O)Cn2ccc(=O)n(C)c2=O)CC1 ZINC000589422813 1072197863 /nfs/dbraw/zinc/19/78/63/1072197863.db2.gz GHEMYVRDVDUKOY-UHFFFAOYSA-N 0 0 449.552 -0.060 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1C ZINC000589426870 1072197805 /nfs/dbraw/zinc/19/78/05/1072197805.db2.gz AHEAPKCOMAZYNB-UHFFFAOYSA-N 0 0 435.462 0.476 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000589426947 1072197755 /nfs/dbraw/zinc/19/77/55/1072197755.db2.gz FKJIVRMXXDUWDC-UHFFFAOYSA-N 0 0 445.432 0.114 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1C ZINC000589427367 1073328625 /nfs/dbraw/zinc/32/86/25/1073328625.db2.gz VIVHKPYSGCVTIF-UHFFFAOYSA-N 0 0 429.433 0.414 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1OC ZINC000589427375 1073328215 /nfs/dbraw/zinc/32/82/15/1073328215.db2.gz VNSZKKNRPXHZDD-UHFFFAOYSA-N 0 0 445.432 0.114 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000589427416 1073328103 /nfs/dbraw/zinc/32/81/03/1073328103.db2.gz XVHKXLRKKWRWOX-UHFFFAOYSA-N 0 0 429.433 0.414 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000589440464 1072198399 /nfs/dbraw/zinc/19/83/99/1072198399.db2.gz UGECFLBOARVNLE-UHFFFAOYSA-N 0 0 442.494 -0.239 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000589447513 1072198377 /nfs/dbraw/zinc/19/83/77/1072198377.db2.gz KHILAFDGBQRUQU-KRWDZBQOSA-N 0 0 431.493 -0.634 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000589447521 1072198606 /nfs/dbraw/zinc/19/86/06/1072198606.db2.gz KHILAFDGBQRUQU-QGZVFWFLSA-N 0 0 431.493 -0.634 20 0 IBADRN O=C(Cc1csc(-c2cnccn2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000589447528 1072198389 /nfs/dbraw/zinc/19/83/89/1072198389.db2.gz KQOHDPLURWEYED-UHFFFAOYSA-N 0 0 430.490 -0.328 20 0 IBADRN O=C(Cc1csc(-c2cnccn2)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000589450886 1072198487 /nfs/dbraw/zinc/19/84/87/1072198487.db2.gz AVYFDRVZZFHQJZ-UHFFFAOYSA-N 0 0 438.535 -0.136 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000589451683 1072198537 /nfs/dbraw/zinc/19/85/37/1072198537.db2.gz RYIBSOSJOXXDFF-KRWDZBQOSA-N 0 0 439.538 -0.443 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000589451684 1072198861 /nfs/dbraw/zinc/19/88/61/1072198861.db2.gz RYIBSOSJOXXDFF-QGZVFWFLSA-N 0 0 439.538 -0.443 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2n[nH]c(SCCO)n2)cc1 ZINC000589453042 1072199024 /nfs/dbraw/zinc/19/90/24/1072199024.db2.gz VVHQGFFZDYUTLJ-UHFFFAOYSA-N 0 0 429.480 -0.017 20 0 IBADRN Cn1c2ncn(CC(=O)N3CSC[C@@H]3C(=O)Nc3ccccc3)c2c(=O)n(C)c1=O ZINC000589455200 1072199090 /nfs/dbraw/zinc/19/90/90/1072199090.db2.gz DZRVAXDTRYFVBK-CYBMUJFWSA-N 0 0 428.474 -0.026 20 0 IBADRN Cn1c2ncn(CC(=O)N3CSC[C@H]3C(=O)Nc3ccccc3)c2c(=O)n(C)c1=O ZINC000589455204 1072199148 /nfs/dbraw/zinc/19/91/48/1072199148.db2.gz DZRVAXDTRYFVBK-ZDUSSCGKSA-N 0 0 428.474 -0.026 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CSC[C@@H]1C(=O)Nc1ccccc1)c(=O)n2C ZINC000589456340 1072198831 /nfs/dbraw/zinc/19/88/31/1072198831.db2.gz MOIXKLNXIFSYOQ-CYBMUJFWSA-N 0 0 428.474 -0.026 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CSC[C@H]1C(=O)Nc1ccccc1)c(=O)n2C ZINC000589456342 1072198885 /nfs/dbraw/zinc/19/88/85/1072198885.db2.gz MOIXKLNXIFSYOQ-ZDUSSCGKSA-N 0 0 428.474 -0.026 20 0 IBADRN O=C(CCNC(=O)CNC(=O)NCc1ccc(Cl)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC000589462090 1072199110 /nfs/dbraw/zinc/19/91/10/1072199110.db2.gz OEBAUCSQGIKPOD-AWEZNQCLSA-N 0 0 430.914 -0.051 20 0 IBADRN O=C(CCNC(=O)CNC(=O)NCc1ccc(Cl)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000589462091 1072199070 /nfs/dbraw/zinc/19/90/70/1072199070.db2.gz OEBAUCSQGIKPOD-CQSZACIVSA-N 0 0 430.914 -0.051 20 0 IBADRN O=C(Cc1csc(-c2cnccn2)n1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000589467804 1072198955 /nfs/dbraw/zinc/19/89/55/1072198955.db2.gz CBOKWTXCEGZZBS-UHFFFAOYSA-N 0 0 428.474 -0.038 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000589468185 1072198990 /nfs/dbraw/zinc/19/89/90/1072198990.db2.gz MELNKMDAPJGFCG-IBGZPJMESA-N 0 0 429.477 -0.344 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000589468190 1072199157 /nfs/dbraw/zinc/19/91/57/1072199157.db2.gz MELNKMDAPJGFCG-LJQANCHMSA-N 0 0 429.477 -0.344 20 0 IBADRN O=C(CNC(=O)NCc1ccc(Cl)cc1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000589468765 1072198933 /nfs/dbraw/zinc/19/89/33/1072198933.db2.gz YGSGTJJRCVUPAQ-UHFFFAOYSA-N 0 0 449.895 -0.041 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNC(=O)NCc2ccc(Cl)cc2)CC1 ZINC000589470939 1072198964 /nfs/dbraw/zinc/19/89/64/1072198964.db2.gz FSIWDYLHWDDGJL-UHFFFAOYSA-N 0 0 431.946 -0.167 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NCCN(C(=O)CCC(=O)N1CCOCC1)C1CC1 ZINC000589482045 1072199003 /nfs/dbraw/zinc/19/90/03/1072199003.db2.gz ZZYZQSBBGPBSCK-UHFFFAOYSA-N 0 0 438.525 -0.628 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000589484323 1072199724 /nfs/dbraw/zinc/19/97/24/1072199724.db2.gz MXSZDJYSNDGBRT-AWEZNQCLSA-N 0 0 426.520 -0.590 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000589484324 1071322351 /nfs/dbraw/zinc/32/23/51/1071322351.db2.gz MXSZDJYSNDGBRT-CQSZACIVSA-N 0 0 426.520 -0.590 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CSC[C@H]2C(=O)N(C)C)c1 ZINC000589485116 1072198875 /nfs/dbraw/zinc/19/88/75/1072198875.db2.gz ZZMTZCALUUAVHY-AWEZNQCLSA-N 0 0 430.552 -0.132 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CSC[C@@H]2C(=O)N(C)C)c1 ZINC000589485118 1072199809 /nfs/dbraw/zinc/19/98/09/1072199809.db2.gz ZZMTZCALUUAVHY-CQSZACIVSA-N 0 0 430.552 -0.132 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)CNS(=O)(=O)c2cccnc2)cn1 ZINC000589491317 1072199705 /nfs/dbraw/zinc/19/97/05/1072199705.db2.gz IEBSYJWPJXSSCM-HNNXBMFYSA-N 0 0 427.508 -0.425 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)CNS(=O)(=O)c2cccnc2)cn1 ZINC000589491318 1072199776 /nfs/dbraw/zinc/19/97/76/1072199776.db2.gz IEBSYJWPJXSSCM-OAHLLOKOSA-N 0 0 427.508 -0.425 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000589498045 1072199756 /nfs/dbraw/zinc/19/97/56/1072199756.db2.gz DQCUYRRYGIZYRJ-UHFFFAOYSA-N 0 0 443.464 -0.868 20 0 IBADRN COc1ccc(-n2cnnc2SCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000589500056 1072199620 /nfs/dbraw/zinc/19/96/20/1072199620.db2.gz HAZLWGIRHNSJHJ-UHFFFAOYSA-N 0 0 443.493 -0.275 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2C)nn1 ZINC000589500290 1072200237 /nfs/dbraw/zinc/20/02/37/1072200237.db2.gz IRBSPROLVAGTAR-UHFFFAOYSA-N 0 0 426.441 -0.659 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)sc2nc3n(c(=O)c12)CCC3 ZINC000589500353 1072200390 /nfs/dbraw/zinc/20/03/90/1072200390.db2.gz KDFDYXRZQDYVOT-UHFFFAOYSA-N 0 0 428.478 -0.316 20 0 IBADRN COc1cccc(C[C@@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n2nnnc2C)c1 ZINC000589500389 1072200248 /nfs/dbraw/zinc/20/02/48/1072200248.db2.gz MXWZJVFGOABPQV-KRWDZBQOSA-N 0 0 440.468 -0.869 20 0 IBADRN COc1cccc(C[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n2nnnc2C)c1 ZINC000589500390 1072200355 /nfs/dbraw/zinc/20/03/55/1072200355.db2.gz MXWZJVFGOABPQV-QGZVFWFLSA-N 0 0 440.468 -0.869 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)CCC2)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000589500396 1072200380 /nfs/dbraw/zinc/20/03/80/1072200380.db2.gz NFXOKJZPNDGXKX-UHFFFAOYSA-N 0 0 432.506 -0.303 20 0 IBADRN Cn1c(SCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nnc1-c1cccs1 ZINC000589500649 1072199734 /nfs/dbraw/zinc/19/97/34/1072199734.db2.gz PRWFBGPKNDSGKE-UHFFFAOYSA-N 0 0 433.523 -0.007 20 0 IBADRN CC(C)[C@@H](NC(=O)NCc1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000589500674 1072199782 /nfs/dbraw/zinc/19/97/82/1072199782.db2.gz QUZFZHIJYOFXSO-GOSISDBHSA-N 0 0 428.497 -0.132 20 0 IBADRN CC(C)[C@H](NC(=O)NCc1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000589500675 1072199671 /nfs/dbraw/zinc/19/96/71/1072199671.db2.gz QUZFZHIJYOFXSO-SFHVURJKSA-N 0 0 428.497 -0.132 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000589500700 1072199718 /nfs/dbraw/zinc/19/97/18/1072199718.db2.gz SKPFUBHUYZECHW-INIZCTEOSA-N 0 0 428.432 -0.738 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000589500701 1072199796 /nfs/dbraw/zinc/19/97/96/1072199796.db2.gz SKPFUBHUYZECHW-MRXNPFEDSA-N 0 0 428.432 -0.738 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000589500713 1072199767 /nfs/dbraw/zinc/19/97/67/1072199767.db2.gz UCXPULZGJBHUNO-INIZCTEOSA-N 0 0 428.432 -0.738 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000589500714 1072200159 /nfs/dbraw/zinc/20/01/59/1072200159.db2.gz UCXPULZGJBHUNO-MRXNPFEDSA-N 0 0 428.432 -0.738 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)CN2c3cccc4cccc(c34)S2(=O)=O)CC1 ZINC000589501043 1072199801 /nfs/dbraw/zinc/19/98/01/1072199801.db2.gz WEYZFWROOSTUKR-UHFFFAOYSA-N 0 0 441.473 -0.294 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000589501068 1072199633 /nfs/dbraw/zinc/19/96/33/1072199633.db2.gz XNGPISWKQDLNBJ-UHFFFAOYSA-N 0 0 441.901 -0.682 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000589550586 1072202561 /nfs/dbraw/zinc/20/25/61/1072202561.db2.gz KPMCQCNPMZXQDS-UHFFFAOYSA-N 0 0 435.524 -0.459 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000589557991 1072202365 /nfs/dbraw/zinc/20/23/65/1072202365.db2.gz XWBRVRPQDAFXOT-UHFFFAOYSA-N 0 0 435.506 -0.139 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000589559817 1072202358 /nfs/dbraw/zinc/20/23/58/1072202358.db2.gz DCVFIPALUOTCOE-GFCCVEGCSA-N 0 0 448.505 -0.391 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000589559827 1072202304 /nfs/dbraw/zinc/20/23/04/1072202304.db2.gz DCVFIPALUOTCOE-LBPRGKRZSA-N 0 0 448.505 -0.391 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000589560766 1072202315 /nfs/dbraw/zinc/20/23/15/1072202315.db2.gz AFXAAPBSYYKTNS-GFCCVEGCSA-N 0 0 448.505 -0.439 20 0 IBADRN C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000589560771 1072202411 /nfs/dbraw/zinc/20/24/11/1072202411.db2.gz AFXAAPBSYYKTNS-LBPRGKRZSA-N 0 0 448.505 -0.439 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)c1 ZINC000589563061 1072202326 /nfs/dbraw/zinc/20/23/26/1072202326.db2.gz VPSRGWVFZJSUPG-LLVKDONJSA-N 0 0 433.490 -0.025 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)c1 ZINC000589563065 1072202525 /nfs/dbraw/zinc/20/25/25/1072202525.db2.gz VPSRGWVFZJSUPG-NSHDSACASA-N 0 0 433.490 -0.025 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)c1 ZINC000589563542 1072202345 /nfs/dbraw/zinc/20/23/45/1072202345.db2.gz YZGCJSUIBZWUEH-LLVKDONJSA-N 0 0 429.524 -0.208 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)c1 ZINC000589563543 1072202549 /nfs/dbraw/zinc/20/25/49/1072202549.db2.gz YZGCJSUIBZWUEH-NSHDSACASA-N 0 0 429.524 -0.208 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000589563941 1071322401 /nfs/dbraw/zinc/32/24/01/1071322401.db2.gz YCQRMSUPBYLISG-LLVKDONJSA-N 0 0 434.478 -0.781 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000589563946 1072202437 /nfs/dbraw/zinc/20/24/37/1072202437.db2.gz YCQRMSUPBYLISG-NSHDSACASA-N 0 0 434.478 -0.781 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000589564802 1072202285 /nfs/dbraw/zinc/20/22/85/1072202285.db2.gz YHKLSHRMQNATMC-AEGPPILISA-N 0 0 443.547 -0.193 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000589564809 1072202577 /nfs/dbraw/zinc/20/25/77/1072202577.db2.gz YHKLSHRMQNATMC-CFVMTHIKSA-N 0 0 443.547 -0.193 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000589564810 1072202384 /nfs/dbraw/zinc/20/23/84/1072202384.db2.gz YHKLSHRMQNATMC-NWANDNLSSA-N 0 0 443.547 -0.193 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000589564812 1072202425 /nfs/dbraw/zinc/20/24/25/1072202425.db2.gz YHKLSHRMQNATMC-QEJZJMRPSA-N 0 0 443.547 -0.193 20 0 IBADRN C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000589565549 1072203265 /nfs/dbraw/zinc/20/32/65/1072203265.db2.gz ICCAHADWNYXQEY-GFCCVEGCSA-N 0 0 448.505 -0.439 20 0 IBADRN C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000589565552 1072203045 /nfs/dbraw/zinc/20/30/45/1072203045.db2.gz ICCAHADWNYXQEY-LBPRGKRZSA-N 0 0 448.505 -0.439 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1cnn(C)c1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000589567656 1072202535 /nfs/dbraw/zinc/20/25/35/1072202535.db2.gz OECMDYORXDTTPO-GFCCVEGCSA-N 0 0 429.524 -0.174 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1cnn(C)c1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000589567657 1072202451 /nfs/dbraw/zinc/20/24/51/1072202451.db2.gz OECMDYORXDTTPO-LBPRGKRZSA-N 0 0 429.524 -0.174 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCCC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000589607826 1072203607 /nfs/dbraw/zinc/20/36/07/1072203607.db2.gz WATLMVAPMHXWDK-GOSISDBHSA-N 0 0 437.585 -0.241 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCCC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000589607827 1072203585 /nfs/dbraw/zinc/20/35/85/1072203585.db2.gz WATLMVAPMHXWDK-SFHVURJKSA-N 0 0 437.585 -0.241 20 0 IBADRN CCn1cc(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000589613667 1072203777 /nfs/dbraw/zinc/20/37/77/1072203777.db2.gz IXFRXRQSWQNULY-UHFFFAOYSA-N 0 0 428.540 -0.272 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC[C@H]2C(=O)N2CCOCC2)c1 ZINC000589627270 1072203689 /nfs/dbraw/zinc/20/36/89/1072203689.db2.gz HLUNUQPFDONNOD-INIZCTEOSA-N 0 0 431.536 -0.003 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)N2CCOCC2)c1 ZINC000589627278 1072203768 /nfs/dbraw/zinc/20/37/68/1072203768.db2.gz HLUNUQPFDONNOD-MRXNPFEDSA-N 0 0 431.536 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2C(=O)N2CCOCC2)cc1 ZINC000589628188 1072203641 /nfs/dbraw/zinc/20/36/41/1072203641.db2.gz RXUYLWDHFJEBRG-INIZCTEOSA-N 0 0 431.536 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)N2CCOCC2)cc1 ZINC000589628189 1072203698 /nfs/dbraw/zinc/20/36/98/1072203698.db2.gz RXUYLWDHFJEBRG-MRXNPFEDSA-N 0 0 431.536 -0.003 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N(C)CCc2ccccn2)c1 ZINC000589628753 1072203829 /nfs/dbraw/zinc/20/38/29/1072203829.db2.gz YGVFHGOMAWXYAY-UHFFFAOYSA-N 0 0 426.520 -0.031 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000589630699 1072203710 /nfs/dbraw/zinc/20/37/10/1072203710.db2.gz OJGRTMWAVDGXDD-LLVKDONJSA-N 0 0 430.508 -0.626 20 0 IBADRN C[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000589630700 1072203677 /nfs/dbraw/zinc/20/36/77/1072203677.db2.gz OJGRTMWAVDGXDD-NSHDSACASA-N 0 0 430.508 -0.626 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000589667530 1072204869 /nfs/dbraw/zinc/20/48/69/1072204869.db2.gz JXDRYRDXVYWMQU-UHFFFAOYSA-N 0 0 426.525 -0.006 20 0 IBADRN Cc1noc(CCCNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n1 ZINC000589674383 1072204224 /nfs/dbraw/zinc/20/42/24/1072204224.db2.gz FTTRDSROHGMMBM-UHFFFAOYSA-N 0 0 426.455 -0.281 20 0 IBADRN Cc1noc(CCCNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC000589674706 1072204258 /nfs/dbraw/zinc/20/42/58/1072204258.db2.gz NUWPHEIYRBTWPS-KBPBESRZSA-N 0 0 430.531 -0.008 20 0 IBADRN Cc1noc(CCCNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC000589674711 1072204236 /nfs/dbraw/zinc/20/42/36/1072204236.db2.gz NUWPHEIYRBTWPS-OKILXGFUSA-N 0 0 430.531 -0.008 20 0 IBADRN Cc1noc(CCCNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC000589674713 1072204180 /nfs/dbraw/zinc/20/41/80/1072204180.db2.gz NUWPHEIYRBTWPS-ZIAGYGMSSA-N 0 0 430.531 -0.008 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000589676160 1072204402 /nfs/dbraw/zinc/20/44/02/1072204402.db2.gz BOUKOJMBPIUDGY-UHFFFAOYSA-N 0 0 430.509 -0.441 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCC(=O)N(C)CCc3ccccn3)CC2)no1 ZINC000589676742 1073330707 /nfs/dbraw/zinc/33/07/07/1073330707.db2.gz XACMFFIZQCSRIC-UHFFFAOYSA-N 0 0 443.508 0.345 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)CCC2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000589683985 1072204152 /nfs/dbraw/zinc/20/41/52/1072204152.db2.gz DEYYRBPKTQNVJS-UHFFFAOYSA-N 0 0 428.489 -0.565 20 0 IBADRN CCCC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000589687119 1072204335 /nfs/dbraw/zinc/20/43/35/1072204335.db2.gz IBHZQDMFGWGKBY-HNNXBMFYSA-N 0 0 433.600 -0.676 20 0 IBADRN CCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000589687120 1072204282 /nfs/dbraw/zinc/20/42/82/1072204282.db2.gz IBHZQDMFGWGKBY-OAHLLOKOSA-N 0 0 433.600 -0.676 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc4c(c3)CCC4)CC2)CC1 ZINC000589687127 1072204209 /nfs/dbraw/zinc/20/42/09/1072204209.db2.gz IOSYOBCRYFCKAV-UHFFFAOYSA-N 0 0 449.577 -0.459 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc(O)cc3)CC2)o1 ZINC000589687412 1072204193 /nfs/dbraw/zinc/20/41/93/1072204193.db2.gz FQQROLGAVJGIFI-UHFFFAOYSA-N 0 0 436.446 -0.653 20 0 IBADRN O=C(CNC(=O)c1ccc(O)cc1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000589687830 1072204308 /nfs/dbraw/zinc/20/43/08/1072204308.db2.gz SFWNMNZZOXLAEJ-UHFFFAOYSA-N 0 0 447.517 -0.215 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)CCC2)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000589688504 1072204171 /nfs/dbraw/zinc/20/41/71/1072204171.db2.gz BQDBHBUTCQERKY-UHFFFAOYSA-N 0 0 426.473 -0.275 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)cn1 ZINC000589697906 1072204363 /nfs/dbraw/zinc/20/43/63/1072204363.db2.gz AYPAOCBQDLYTLD-UHFFFAOYSA-N 0 0 436.494 -0.410 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCc3nnc4n3CCCCC4)c2c(=O)n(C)c1=O ZINC000589698720 1072204270 /nfs/dbraw/zinc/20/42/70/1072204270.db2.gz JLUFRKWKQZNVRU-UHFFFAOYSA-N 0 0 428.497 -0.109 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000589700551 1072204935 /nfs/dbraw/zinc/20/49/35/1072204935.db2.gz DYAHMIGMFUHYMZ-DOTOQJQBSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000589700552 1072204772 /nfs/dbraw/zinc/20/47/72/1072204772.db2.gz DYAHMIGMFUHYMZ-NVXWUHKLSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000589700553 1072204855 /nfs/dbraw/zinc/20/48/55/1072204855.db2.gz DYAHMIGMFUHYMZ-RDJZCZTQSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000589700554 1072204751 /nfs/dbraw/zinc/20/47/51/1072204751.db2.gz DYAHMIGMFUHYMZ-WBVHZDCISA-N 0 0 438.550 -0.047 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000589718788 1072204954 /nfs/dbraw/zinc/20/49/54/1072204954.db2.gz HWFDYTJMXSGLJA-UHFFFAOYSA-N 0 0 425.449 -0.150 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)c2)nn1 ZINC000589762130 1072204825 /nfs/dbraw/zinc/20/48/25/1072204825.db2.gz JROKBCZYEOAKNN-UHFFFAOYSA-N 0 0 438.466 -0.610 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2cccc(-n3cc(C(=O)OC)nn3)c2)CC1 ZINC000589762521 1072204843 /nfs/dbraw/zinc/20/48/43/1072204843.db2.gz YYUGSGPYPNZXLJ-UHFFFAOYSA-N 0 0 444.448 -0.254 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c2)nn1 ZINC000589764367 1072204966 /nfs/dbraw/zinc/20/49/66/1072204966.db2.gz DIEUADLNDDUYMU-UHFFFAOYSA-N 0 0 438.466 -0.564 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CCN(CCO)C(C)(C)C3)c2)nn1 ZINC000589770366 1071322875 /nfs/dbraw/zinc/32/28/75/1071322875.db2.gz XGDDTZYRLXCNEO-UHFFFAOYSA-N 0 0 430.465 -0.093 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NC3CC(NS(C)(=O)=O)C3)c2)nn1 ZINC000589773018 1072204943 /nfs/dbraw/zinc/20/49/43/1072204943.db2.gz YAPTXJAXWBPGKB-UHFFFAOYSA-N 0 0 436.450 -0.811 20 0 IBADRN CCn1nc(C)c(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000589782397 1072205411 /nfs/dbraw/zinc/20/54/11/1072205411.db2.gz CWYHKONVVZTDBZ-UHFFFAOYSA-N 0 0 427.575 -0.161 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000589783255 1071322703 /nfs/dbraw/zinc/32/27/03/1071322703.db2.gz CXEPZZKPUKDCMJ-CYBMUJFWSA-N 0 0 437.522 -0.212 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000589783261 1072205253 /nfs/dbraw/zinc/20/52/53/1072205253.db2.gz CXEPZZKPUKDCMJ-ZDUSSCGKSA-N 0 0 437.522 -0.212 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000589783454 1072205301 /nfs/dbraw/zinc/20/53/01/1072205301.db2.gz HKFJDJUVLNDJNI-UHFFFAOYSA-N 0 0 432.525 -0.732 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000589784112 1072205487 /nfs/dbraw/zinc/20/54/87/1072205487.db2.gz OSBAFOIYTKUNBM-CYBMUJFWSA-N 0 0 436.498 -0.804 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000589784123 1072205358 /nfs/dbraw/zinc/20/53/58/1072205358.db2.gz OSBAFOIYTKUNBM-ZDUSSCGKSA-N 0 0 436.498 -0.804 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000589784636 1072205957 /nfs/dbraw/zinc/20/59/57/1072205957.db2.gz VJTRMPSROGITFF-CYBMUJFWSA-N 0 0 433.556 -0.211 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000589784647 1072205854 /nfs/dbraw/zinc/20/58/54/1072205854.db2.gz VJTRMPSROGITFF-ZDUSSCGKSA-N 0 0 433.556 -0.211 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1)S(C)(=O)=O ZINC000589796777 1072204891 /nfs/dbraw/zinc/20/48/91/1072204891.db2.gz NTIJHKZVHGZNIN-UHFFFAOYSA-N 0 0 427.451 -0.296 20 0 IBADRN COc1ccc2cc(NC(=O)C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2n1 ZINC000589798025 1072204922 /nfs/dbraw/zinc/20/49/22/1072204922.db2.gz LERPULMIZUSYLF-AWEZNQCLSA-N 0 0 434.474 -0.009 20 0 IBADRN COc1ccc2cc(NC(=O)C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2n1 ZINC000589798030 1071323300 /nfs/dbraw/zinc/32/33/00/1071323300.db2.gz LERPULMIZUSYLF-CQSZACIVSA-N 0 0 434.474 -0.009 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)CC1 ZINC000589798781 1072204898 /nfs/dbraw/zinc/20/48/98/1072204898.db2.gz SVWZPMDKRVPMPW-UHFFFAOYSA-N 0 0 446.476 -0.024 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000589799328 1072204925 /nfs/dbraw/zinc/20/49/25/1072204925.db2.gz SOKDYWOWBKSQOL-UHFFFAOYSA-N 0 0 436.440 -0.261 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000589799593 1072204803 /nfs/dbraw/zinc/20/48/03/1072204803.db2.gz NFWNNRDLJWFRJF-UHFFFAOYSA-N 0 0 438.456 -0.015 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccn(-c4ccccc4)n3)CC2)no1 ZINC000589801072 1072205332 /nfs/dbraw/zinc/20/53/32/1072205332.db2.gz XLCMZZNSINVIEJ-UHFFFAOYSA-N 0 0 437.460 -0.266 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000589801131 1071323263 /nfs/dbraw/zinc/32/32/63/1071323263.db2.gz OMBNGVMUILVVAU-UHFFFAOYSA-N 0 0 432.474 -0.260 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1F ZINC000589801326 1072205369 /nfs/dbraw/zinc/20/53/69/1072205369.db2.gz VSDRQUGLHGEHDG-UHFFFAOYSA-N 0 0 439.462 -0.199 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000589801448 1072204836 /nfs/dbraw/zinc/20/48/36/1072204836.db2.gz VDVNDUWQPAGCMU-SECBINFHSA-N 0 0 439.462 -0.153 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000589801449 1072204819 /nfs/dbraw/zinc/20/48/19/1072204819.db2.gz VDVNDUWQPAGCMU-VIFPVBQESA-N 0 0 439.462 -0.153 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)CC1 ZINC000589801456 1072205378 /nfs/dbraw/zinc/20/53/78/1072205378.db2.gz VWNRPLMFTAQBQS-UHFFFAOYSA-N 0 0 432.449 -0.413 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1F ZINC000589802944 1072205432 /nfs/dbraw/zinc/20/54/32/1072205432.db2.gz DZKWWRGKPMAEKI-SECBINFHSA-N 0 0 439.462 -0.153 20 0 IBADRN CS(=O)(=O)c1c(F)cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1F ZINC000589802945 1072205443 /nfs/dbraw/zinc/20/54/43/1072205443.db2.gz DZKWWRGKPMAEKI-VIFPVBQESA-N 0 0 439.462 -0.153 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000589806985 1072205458 /nfs/dbraw/zinc/20/54/58/1072205458.db2.gz LCZQFCMIXRDVOS-UHFFFAOYSA-N 0 0 427.451 -0.249 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)CC1 ZINC000589808527 1072205472 /nfs/dbraw/zinc/20/54/72/1072205472.db2.gz LXFDLDDZEOHTCP-UHFFFAOYSA-N 0 0 439.462 -0.199 20 0 IBADRN COc1nc(C)cc(C)c1CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000589828491 1072205920 /nfs/dbraw/zinc/20/59/20/1072205920.db2.gz YTRVGMZYMZIKBL-UHFFFAOYSA-N 0 0 426.433 -0.092 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(NS(C)(=O)=O)CC2)cc1 ZINC000589841196 1072205989 /nfs/dbraw/zinc/20/59/89/1072205989.db2.gz CNTBEISXFVSOQP-UHFFFAOYSA-N 0 0 447.535 -0.318 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)cn1 ZINC000589846221 1072205930 /nfs/dbraw/zinc/20/59/30/1072205930.db2.gz PHSVOKJYNNAAHW-UHFFFAOYSA-N 0 0 439.476 -0.352 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)cn1 ZINC000589846812 1072205868 /nfs/dbraw/zinc/20/58/68/1072205868.db2.gz XJSKAVNWBKDPDA-UHFFFAOYSA-N 0 0 439.476 -0.352 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)cn1C ZINC000589847486 1072205835 /nfs/dbraw/zinc/20/58/35/1072205835.db2.gz UNTKCSAFBPFRLW-UHFFFAOYSA-N 0 0 437.526 -0.591 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3c(F)cccc3F)CC1)c(=O)n2C ZINC000589866152 1072206013 /nfs/dbraw/zinc/20/60/13/1072206013.db2.gz AWRILDSDSXALOQ-UHFFFAOYSA-N 0 0 446.414 -0.304 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4c(F)cccc4F)CC3)c2c(=O)n(C)c1=O ZINC000589868626 1072205860 /nfs/dbraw/zinc/20/58/60/1072205860.db2.gz RELXICHLAGDYNC-UHFFFAOYSA-N 0 0 446.414 -0.304 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)cn1 ZINC000589881663 1072205874 /nfs/dbraw/zinc/20/58/74/1072205874.db2.gz KUOVYAZQPIBAOE-UHFFFAOYSA-N 0 0 427.433 -0.039 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000589886188 1072205900 /nfs/dbraw/zinc/20/59/00/1072205900.db2.gz TUGKWBAMMBKTHL-UHFFFAOYSA-N 0 0 435.524 -0.268 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000589887556 1072206034 /nfs/dbraw/zinc/20/60/34/1072206034.db2.gz CPKRREYRDDUOFU-UHFFFAOYSA-N 0 0 449.551 -0.525 20 0 IBADRN COC(=O)C1(CS(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCCCC1 ZINC000589887616 1072205975 /nfs/dbraw/zinc/20/59/75/1072205975.db2.gz YODYPIVFLNTWOF-UHFFFAOYSA-N 0 0 425.573 -0.394 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)c(=O)n(C)c1=O ZINC000589889040 1072205887 /nfs/dbraw/zinc/20/58/87/1072205887.db2.gz GNXOVWYLDXILOY-UHFFFAOYSA-N 0 0 428.417 -0.491 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCC(=O)Nc3ccc(-n4cncn4)cc3)nc2n(C)c1=O ZINC000589912152 1072206398 /nfs/dbraw/zinc/20/63/98/1072206398.db2.gz DZAPBZPRANEVRI-UHFFFAOYSA-N 0 0 434.416 -0.419 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCC(=O)Nc3ccc(-n4cncn4)cc3)cnc2n(C)c1=O ZINC000589912407 1072206546 /nfs/dbraw/zinc/20/65/46/1072206546.db2.gz JBVNNFGWEKHGHB-UHFFFAOYSA-N 0 0 434.416 -0.419 20 0 IBADRN COc1ccc(CCNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(OC)c1OC ZINC000589918773 1072206657 /nfs/dbraw/zinc/20/66/57/1072206657.db2.gz BTTZHJNVMQHYBY-UHFFFAOYSA-N 0 0 431.449 -0.182 20 0 IBADRN COc1ccc(CCNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(OC)c1OC ZINC000589918992 1072206617 /nfs/dbraw/zinc/20/66/17/1072206617.db2.gz VVKKKDXLZRHRJJ-UHFFFAOYSA-N 0 0 431.449 -0.182 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NC3COCCOC3)CC2)c1 ZINC000589940304 1072207133 /nfs/dbraw/zinc/20/71/33/1072207133.db2.gz OQHUUGHHCCKZCC-UHFFFAOYSA-N 0 0 433.508 -0.034 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CCc3nc(-c4ncccn4)no3)CC2)no1 ZINC000589941441 1072207000 /nfs/dbraw/zinc/20/70/00/1072207000.db2.gz HYBUJXBRWOVQCJ-UHFFFAOYSA-N 0 0 426.437 0.539 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CCSc2nnnn2C)CC1 ZINC000589965827 1072206581 /nfs/dbraw/zinc/20/65/81/1072206581.db2.gz AOLGUSCMBYMDHT-UHFFFAOYSA-N 0 0 425.540 -0.051 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CS(=O)(=O)NC(C)(C)C)CC1 ZINC000589965902 1072206446 /nfs/dbraw/zinc/20/64/46/1072206446.db2.gz GDOMCRXTNJZGTM-UHFFFAOYSA-N 0 0 432.568 -0.039 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC000589966703 1072206490 /nfs/dbraw/zinc/20/64/90/1072206490.db2.gz GEQMPCLNVJRWPI-UHFFFAOYSA-N 0 0 435.510 -0.763 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@@H]2CCCN2S(C)(=O)=O)CC1 ZINC000589967105 1072206610 /nfs/dbraw/zinc/20/66/10/1072206610.db2.gz BTWWWXLVLIKIMT-HNNXBMFYSA-N 0 0 430.552 -0.333 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000589967106 1072206569 /nfs/dbraw/zinc/20/65/69/1072206569.db2.gz BTWWWXLVLIKIMT-OAHLLOKOSA-N 0 0 430.552 -0.333 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)Cn2nc3ccccn3c2=O)CC1 ZINC000589968124 1072206559 /nfs/dbraw/zinc/20/65/59/1072206559.db2.gz NTSCOFPYXVAESM-UHFFFAOYSA-N 0 0 430.490 -0.247 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CCN2CCCS2(=O)=O)CC1 ZINC000589968194 1072206435 /nfs/dbraw/zinc/20/64/35/1072206435.db2.gz OMTXBQLJZWHIAS-UHFFFAOYSA-N 0 0 430.552 -0.331 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2ccc(S(N)(=O)=O)o2)CC1 ZINC000589968496 1072206600 /nfs/dbraw/zinc/20/66/00/1072206600.db2.gz HQWPLVYWBOHQCU-UHFFFAOYSA-N 0 0 428.492 -0.203 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000589968776 1072206520 /nfs/dbraw/zinc/20/65/20/1072206520.db2.gz UPIOVPDDESGJPV-UHFFFAOYSA-N 0 0 445.567 -0.841 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CCCN2C(=O)CN(C)C2=O)CC1 ZINC000589969061 1072206590 /nfs/dbraw/zinc/20/65/90/1072206590.db2.gz BEVXNJBYNWVYID-UHFFFAOYSA-N 0 0 437.522 -0.083 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)CC1 ZINC000589969554 1072206535 /nfs/dbraw/zinc/20/65/35/1072206535.db2.gz XZWDQSNVFTWBNN-FQEVSTJZSA-N 0 0 449.533 -0.036 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)CC1 ZINC000589969555 1071324029 /nfs/dbraw/zinc/32/40/29/1071324029.db2.gz XZWDQSNVFTWBNN-HXUWFJFHSA-N 0 0 449.533 -0.036 20 0 IBADRN COCC[C@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1)C(=O)OC ZINC000589981860 1072207706 /nfs/dbraw/zinc/20/77/06/1072207706.db2.gz MXVHVGGABXIFNV-KCXAZCMYSA-N 0 0 430.479 -0.487 20 0 IBADRN COCC[C@@H](NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1)C(=O)OC ZINC000589981865 1072207740 /nfs/dbraw/zinc/20/77/40/1072207740.db2.gz MXVHVGGABXIFNV-MAZHCROVSA-N 0 0 430.479 -0.487 20 0 IBADRN COCC[C@H](NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1)C(=O)OC ZINC000589981867 1072207503 /nfs/dbraw/zinc/20/75/03/1072207503.db2.gz MXVHVGGABXIFNV-RCBQFDQVSA-N 0 0 430.479 -0.487 20 0 IBADRN COCC[C@@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1)C(=O)OC ZINC000589981869 1072207624 /nfs/dbraw/zinc/20/76/24/1072207624.db2.gz MXVHVGGABXIFNV-WQVCFCJDSA-N 0 0 430.479 -0.487 20 0 IBADRN COc1ccccc1N(C)S(=O)(=O)c1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1 ZINC000589982583 1072207541 /nfs/dbraw/zinc/20/75/41/1072207541.db2.gz NQWXVMXWPRVSCG-UHFFFAOYSA-N 0 0 434.474 -0.067 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)CCCN1C(=O)c2ccc(Br)cc2C1=O ZINC000589982596 1072207586 /nfs/dbraw/zinc/20/75/86/1072207586.db2.gz OIEVEZKBZUDTHO-UHFFFAOYSA-N 0 0 425.239 -0.376 20 0 IBADRN CN(c1ccc(OCC(=O)N(CC(N)=O)CC(N)=O)cc1)S(=O)(=O)c1cccs1 ZINC000589982661 1072207780 /nfs/dbraw/zinc/20/77/80/1072207780.db2.gz URNQFMVICQWFHQ-UHFFFAOYSA-N 0 0 440.503 -0.249 20 0 IBADRN COc1ccc(C(=O)N(CC(N)=O)CC(N)=O)cc1S(=O)(=O)N1CCCCCC1 ZINC000589982755 1072207633 /nfs/dbraw/zinc/20/76/33/1072207633.db2.gz YVJGBCWFGQUSBZ-UHFFFAOYSA-N 0 0 426.495 -0.327 20 0 IBADRN O=C(COCC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1)NCc1cccnc1 ZINC000589994792 1072206946 /nfs/dbraw/zinc/20/69/46/1072206946.db2.gz QQQCYSYPMQFBHI-UHFFFAOYSA-N 0 0 433.490 -0.358 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)NCCCn1nc2n(c1=O)CCCC2 ZINC000589996530 1072207179 /nfs/dbraw/zinc/20/71/79/1072207179.db2.gz LBCSTNPMJAMMIR-UHFFFAOYSA-N 0 0 435.506 -0.104 20 0 IBADRN Cn1c2ncc(S(=O)(=O)N3CCc4cc(S(N)(=O)=O)ccc43)cc2c(=O)[nH]c1=O ZINC000590001186 1072207077 /nfs/dbraw/zinc/20/70/77/1072207077.db2.gz FLWCSGVDUVQJSH-UHFFFAOYSA-N 0 0 437.459 -0.567 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC[C@@H](C(=O)N4CCOCC4)C3)cnc21 ZINC000590001207 1072207011 /nfs/dbraw/zinc/20/70/11/1072207011.db2.gz GBRJVGYPHNAHRA-GFCCVEGCSA-N 0 0 437.478 -0.706 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC[C@H](C(=O)N4CCOCC4)C3)cnc21 ZINC000590001218 1072207097 /nfs/dbraw/zinc/20/70/97/1072207097.db2.gz GBRJVGYPHNAHRA-LBPRGKRZSA-N 0 0 437.478 -0.706 20 0 IBADRN CCN(CC)C(=O)CN1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000590001225 1072206980 /nfs/dbraw/zinc/20/69/80/1072206980.db2.gz GEKNNJSXTQEMTA-UHFFFAOYSA-N 0 0 438.510 -0.791 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)c1cccc(S(N)(=O)=O)c1 ZINC000590001277 1072207164 /nfs/dbraw/zinc/20/71/64/1072207164.db2.gz HNNYAPNROSGDLO-SECBINFHSA-N 0 0 439.475 -0.279 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)c1cccc(S(N)(=O)=O)c1 ZINC000590001283 1071323685 /nfs/dbraw/zinc/32/36/85/1071323685.db2.gz HNNYAPNROSGDLO-VIFPVBQESA-N 0 0 439.475 -0.279 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3ccnc(N4CCOCC4)c3)cnc21 ZINC000590001358 1072207125 /nfs/dbraw/zinc/20/71/25/1072207125.db2.gz LGRSENCHDSASBY-UHFFFAOYSA-N 0 0 432.462 -0.256 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCN(C(=O)N4CCCCC4)CC3)cnc21 ZINC000590001445 1072206957 /nfs/dbraw/zinc/20/69/57/1072206957.db2.gz VTPVUQYLWHCDAJ-UHFFFAOYSA-N 0 0 436.494 -0.054 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCc3cccnc3N3CCOCC3)cnc21 ZINC000590001454 1072207065 /nfs/dbraw/zinc/20/70/65/1072207065.db2.gz WIYLDMKGIYQECQ-UHFFFAOYSA-N 0 0 432.462 -0.256 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCCn3nc4n(c3=O)CCCC4)cnc21 ZINC000590001477 1072207144 /nfs/dbraw/zinc/20/71/44/1072207144.db2.gz XMUZTXOHFTXZPE-UHFFFAOYSA-N 0 0 435.466 -0.903 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000590001754 1072207024 /nfs/dbraw/zinc/20/70/24/1072207024.db2.gz IDNCSNTVSDYOJO-LLVKDONJSA-N 0 0 434.478 -0.699 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000590001755 1072206971 /nfs/dbraw/zinc/20/69/71/1072206971.db2.gz IDNCSNTVSDYOJO-NSHDSACASA-N 0 0 434.478 -0.699 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000590003018 1072207172 /nfs/dbraw/zinc/20/71/72/1072207172.db2.gz DNGKRHSWLJGHAB-AWEZNQCLSA-N 0 0 449.533 -0.126 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000590003019 1072207152 /nfs/dbraw/zinc/20/71/52/1072207152.db2.gz DNGKRHSWLJGHAB-CQSZACIVSA-N 0 0 449.533 -0.126 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCS(=O)(=O)CC2)c1 ZINC000590004021 1072207111 /nfs/dbraw/zinc/20/71/11/1072207111.db2.gz LUKDGDYAZSMRIQ-UHFFFAOYSA-N 0 0 431.536 -0.296 20 0 IBADRN CN1CCN([C@@H](C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c2ccccc2)CC1 ZINC000590007666 1072207430 /nfs/dbraw/zinc/20/74/30/1072207430.db2.gz KSUDKIQBYYKBSX-JOCHJYFZSA-N 0 0 429.565 -0.022 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c2ccccc2)CC1 ZINC000590007668 1072207652 /nfs/dbraw/zinc/20/76/52/1072207652.db2.gz KSUDKIQBYYKBSX-QFIPXVFZSA-N 0 0 429.565 -0.022 20 0 IBADRN CC[C@@H](C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000590007941 1072207450 /nfs/dbraw/zinc/20/74/50/1072207450.db2.gz NCJRCLMAXGLIIG-CVEARBPZSA-N 0 0 447.627 -0.430 20 0 IBADRN CC[C@H](C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000590007942 1072207715 /nfs/dbraw/zinc/20/77/15/1072207715.db2.gz NCJRCLMAXGLIIG-HOTGVXAUSA-N 0 0 447.627 -0.430 20 0 IBADRN CC[C@@H](C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000590007943 1072207558 /nfs/dbraw/zinc/20/75/58/1072207558.db2.gz NCJRCLMAXGLIIG-HZPDHXFCSA-N 0 0 447.627 -0.430 20 0 IBADRN CC[C@H](C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000590007944 1072207759 /nfs/dbraw/zinc/20/77/59/1072207759.db2.gz NCJRCLMAXGLIIG-JKSUJKDBSA-N 0 0 447.627 -0.430 20 0 IBADRN O=C(CN1C(=O)NC2(CCOCC2)C1=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000590013366 1072207520 /nfs/dbraw/zinc/20/75/20/1072207520.db2.gz RCIPWXRUEYEJKX-UHFFFAOYSA-N 0 0 436.490 -0.380 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1F ZINC000590022481 1072207417 /nfs/dbraw/zinc/20/74/17/1072207417.db2.gz KCASTJXJSRPXMQ-UHFFFAOYSA-N 0 0 427.400 -0.114 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2c(I)cnn2C)CC1 ZINC000590031151 1072207749 /nfs/dbraw/zinc/20/77/49/1072207749.db2.gz JLUHUGCQZIVKGD-UHFFFAOYSA-N 0 0 427.268 -0.411 20 0 IBADRN Cn1ncc(I)c1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000590033258 1072208202 /nfs/dbraw/zinc/20/82/02/1072208202.db2.gz FTVMGBZWMPGQTK-UHFFFAOYSA-N 0 0 441.295 -0.668 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000590035299 1072208151 /nfs/dbraw/zinc/20/81/51/1072208151.db2.gz BXUCGJYDVFYPRN-UHFFFAOYSA-N 0 0 439.538 -0.397 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C(=O)C1=O ZINC000590036584 1072208272 /nfs/dbraw/zinc/20/82/72/1072208272.db2.gz VWNSJRYIHHYUFJ-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3nc4c(cnn4C(C)(C)C)c(=O)[nH]3)CC2)CC1 ZINC000590053568 1072208334 /nfs/dbraw/zinc/20/83/34/1072208334.db2.gz ZYQZWZNMBUMNJG-UHFFFAOYSA-N 0 0 438.558 -0.099 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(c2nc3c(c(=O)[nH]c(=O)n3C)n2C)CC1 ZINC000590055397 1072208279 /nfs/dbraw/zinc/20/82/79/1072208279.db2.gz OCBAAQAXSYIGFM-UHFFFAOYSA-N 0 0 433.494 -0.393 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(C(=O)c3c(F)cccc3F)CC2)CC1 ZINC000590061011 1072208346 /nfs/dbraw/zinc/20/83/46/1072208346.db2.gz HNVYYRNOHFPCRS-UHFFFAOYSA-N 0 0 437.491 -0.045 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@@H](C)C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC000590061718 1072208308 /nfs/dbraw/zinc/20/83/08/1072208308.db2.gz LPSPSXBCKLSOOC-HNNXBMFYSA-N 0 0 437.566 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@H](C)C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC000590061719 1072208214 /nfs/dbraw/zinc/20/82/14/1072208214.db2.gz LPSPSXBCKLSOOC-OAHLLOKOSA-N 0 0 437.566 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@@H](C)C(=O)N(C)CC(=O)NC2CC2)CC1 ZINC000590061722 1072208295 /nfs/dbraw/zinc/20/82/95/1072208295.db2.gz MEQGGGSJGGIVQY-HNNXBMFYSA-N 0 0 437.566 -0.158 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@H](C)C(=O)N(C)CC(=O)NC2CC2)CC1 ZINC000590061723 1072208179 /nfs/dbraw/zinc/20/81/79/1072208179.db2.gz MEQGGGSJGGIVQY-OAHLLOKOSA-N 0 0 437.566 -0.158 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@@H](C)C(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000590061985 1072208251 /nfs/dbraw/zinc/20/82/51/1072208251.db2.gz USBJETSEZMYQEP-INIZCTEOSA-N 0 0 437.566 -0.204 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN([C@H](C)C(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000590061986 1072208097 /nfs/dbraw/zinc/20/80/97/1072208097.db2.gz USBJETSEZMYQEP-MRXNPFEDSA-N 0 0 437.566 -0.204 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)NCCCN2CCCC2=O)CC1 ZINC000590062193 1072208300 /nfs/dbraw/zinc/20/83/00/1072208300.db2.gz XYFUGTSKONMFAD-UHFFFAOYSA-N 0 0 437.566 -0.155 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)c1 ZINC000590064619 1072208327 /nfs/dbraw/zinc/20/83/27/1072208327.db2.gz IFFLOSKTYLRGFI-UHFFFAOYSA-N 0 0 428.492 -0.114 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)COC4)CC2)CC1 ZINC000590065621 1072208190 /nfs/dbraw/zinc/20/81/90/1072208190.db2.gz POPAYLYRCAIUJI-UHFFFAOYSA-N 0 0 430.552 -0.485 20 0 IBADRN CNC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000590071341 1072208232 /nfs/dbraw/zinc/20/82/32/1072208232.db2.gz LJSPKQRRJGALAD-UHFFFAOYSA-N 0 0 430.483 -0.165 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000590087451 1072208162 /nfs/dbraw/zinc/20/81/62/1072208162.db2.gz RXGYQJWKNBAEBT-UHFFFAOYSA-N 0 0 447.583 -0.292 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCC(=O)N2CCOCC2)CC1 ZINC000590089508 1072208260 /nfs/dbraw/zinc/20/82/60/1072208260.db2.gz YSHRSAKYYQPMCW-UHFFFAOYSA-N 0 0 439.538 -0.325 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCC(=O)Nc2ccc(-n3cccn3)cc2)CC1 ZINC000590093279 1072208687 /nfs/dbraw/zinc/20/86/87/1072208687.db2.gz AWZLBFLRRCCRFX-UHFFFAOYSA-N 0 0 449.537 -0.313 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCCN(Cc3cscn3)CC2)CC1 ZINC000590093300 1072208606 /nfs/dbraw/zinc/20/86/06/1072208606.db2.gz CIVWFTJAPYQJRG-UHFFFAOYSA-N 0 0 430.600 -0.062 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCNC(=O)c2cnccn2)CC1 ZINC000590093413 1072208762 /nfs/dbraw/zinc/20/87/62/1072208762.db2.gz OUESTHDTWBLYGI-UHFFFAOYSA-N 0 0 447.521 -0.354 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCC(c3nnc4n3CCC4)CC2)CC1 ZINC000590095119 1072208755 /nfs/dbraw/zinc/20/87/55/1072208755.db2.gz WARNWZFFLZITAQ-UHFFFAOYSA-N 0 0 425.559 -0.310 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000590118580 1072208318 /nfs/dbraw/zinc/20/83/18/1072208318.db2.gz SZXBUDKSNIWQFM-HNNXBMFYSA-N 0 0 444.579 -0.638 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000590118581 1072208646 /nfs/dbraw/zinc/20/86/46/1072208646.db2.gz SZXBUDKSNIWQFM-OAHLLOKOSA-N 0 0 444.579 -0.638 20 0 IBADRN O=C(CS(=O)(=O)Cc1nnnn1-c1ccccc1Br)N1CCNC(=O)C1 ZINC000590125689 1072208695 /nfs/dbraw/zinc/20/86/95/1072208695.db2.gz UGLBCAYVYLZLBS-UHFFFAOYSA-N 0 0 443.283 -0.702 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC1 ZINC000590142708 1072208782 /nfs/dbraw/zinc/20/87/82/1072208782.db2.gz UCRLSWGGJHVVJT-UHFFFAOYSA-N 0 0 449.537 0.234 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1F ZINC000590147829 1072208676 /nfs/dbraw/zinc/20/86/76/1072208676.db2.gz LZWKBCMBIWHIJN-UHFFFAOYSA-N 0 0 446.443 -0.355 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000590149687 1072208828 /nfs/dbraw/zinc/20/88/28/1072208828.db2.gz DTOIWQATNIXJHU-UHFFFAOYSA-N 0 0 426.478 -0.191 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCCS(N)(=O)=O)cc1 ZINC000590162305 1072208652 /nfs/dbraw/zinc/20/86/52/1072208652.db2.gz DMMCOXIBOCGMLN-UHFFFAOYSA-N 0 0 427.570 -0.032 20 0 IBADRN CN(CCS(=O)(=O)NCCCCS(N)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000590162754 1072208635 /nfs/dbraw/zinc/20/86/35/1072208635.db2.gz VDDKSYOEVDDQQS-UHFFFAOYSA-N 0 0 431.533 -0.566 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000590163944 1072208820 /nfs/dbraw/zinc/20/88/20/1072208820.db2.gz PIRIZTQPFDFHGO-AWEZNQCLSA-N 0 0 447.583 -0.468 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000590163945 1072208666 /nfs/dbraw/zinc/20/86/66/1072208666.db2.gz PIRIZTQPFDFHGO-CQSZACIVSA-N 0 0 447.583 -0.468 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCc2nnc3n2CCCCC3)CC1 ZINC000590164059 1072208743 /nfs/dbraw/zinc/20/87/43/1072208743.db2.gz TUFWWGIDGWRAMJ-UHFFFAOYSA-N 0 0 427.575 -0.187 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000590179553 1072209735 /nfs/dbraw/zinc/20/97/35/1072209735.db2.gz DEXWTRNKUFGSTC-UHFFFAOYSA-N 0 0 441.488 -0.089 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)ccc1F ZINC000590180501 1072208731 /nfs/dbraw/zinc/20/87/31/1072208731.db2.gz IRVACGILTWAAFM-UHFFFAOYSA-N 0 0 427.462 -0.118 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000590181855 1072208805 /nfs/dbraw/zinc/20/88/05/1072208805.db2.gz ITXDAIZWDFWAAB-UHFFFAOYSA-N 0 0 441.488 -0.042 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1F ZINC000590182840 1072208622 /nfs/dbraw/zinc/20/86/22/1072208622.db2.gz QWMFVIRALKFGAN-UHFFFAOYSA-N 0 0 446.443 -0.756 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000590182845 1072208773 /nfs/dbraw/zinc/20/87/73/1072208773.db2.gz RCXVWWJJEWELDO-UHFFFAOYSA-N 0 0 434.474 -0.265 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)ccc1F ZINC000590183239 1072209327 /nfs/dbraw/zinc/20/93/27/1072209327.db2.gz XNEXMEHHPXKOFD-UHFFFAOYSA-N 0 0 430.444 -0.529 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)ccc1F ZINC000590183783 1072209267 /nfs/dbraw/zinc/20/92/67/1072209267.db2.gz PKNHAETVRJDDHL-UHFFFAOYSA-N 0 0 444.471 -0.185 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)ccc1F ZINC000590184007 1072209284 /nfs/dbraw/zinc/20/92/84/1072209284.db2.gz DZHZLXMRJOVCJG-GFCCVEGCSA-N 0 0 425.446 -0.411 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)ccc1F ZINC000590184012 1072209369 /nfs/dbraw/zinc/20/93/69/1072209369.db2.gz DZHZLXMRJOVCJG-LBPRGKRZSA-N 0 0 425.446 -0.411 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)ccc1F ZINC000590184623 1072209192 /nfs/dbraw/zinc/20/91/92/1072209192.db2.gz FBUFPVBSMMHLLT-UHFFFAOYSA-N 0 0 425.446 -0.458 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(OC)c1OC ZINC000590184670 1072209363 /nfs/dbraw/zinc/20/93/63/1072209363.db2.gz HWJBNVPJMBFWII-UHFFFAOYSA-N 0 0 430.483 -0.399 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000590184720 1072209377 /nfs/dbraw/zinc/20/93/77/1072209377.db2.gz WUFVRUOXJZYSFF-UHFFFAOYSA-N 0 0 441.488 -0.089 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000590185155 1072209336 /nfs/dbraw/zinc/20/93/36/1072209336.db2.gz PCLQBERWUNEJEY-UHFFFAOYSA-N 0 0 441.488 -0.089 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)ccc1F ZINC000590185625 1072209317 /nfs/dbraw/zinc/20/93/17/1072209317.db2.gz LRAVSIRYSRISPY-UHFFFAOYSA-N 0 0 443.443 -0.051 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C)c1)S(C)(=O)=O ZINC000590186628 1072209353 /nfs/dbraw/zinc/20/93/53/1072209353.db2.gz IXWMUQLZMPETAX-UHFFFAOYSA-N 0 0 427.462 -0.164 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)ccc1F ZINC000590187850 1072209231 /nfs/dbraw/zinc/20/92/31/1072209231.db2.gz GONFDDFXMZZFML-GFCCVEGCSA-N 0 0 425.446 -0.411 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)ccc1F ZINC000590187851 1072209347 /nfs/dbraw/zinc/20/93/47/1072209347.db2.gz GONFDDFXMZZFML-LBPRGKRZSA-N 0 0 425.446 -0.411 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000590189663 1072209208 /nfs/dbraw/zinc/20/92/08/1072209208.db2.gz GGQAWXOENDAXSM-UHFFFAOYSA-N 0 0 436.428 -0.033 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)ccc1F ZINC000590191264 1071324800 /nfs/dbraw/zinc/32/48/00/1071324800.db2.gz QUUKALUGXQJAPW-UHFFFAOYSA-N 0 0 425.446 -0.410 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000590191615 1072209244 /nfs/dbraw/zinc/20/92/44/1072209244.db2.gz ZQYAOOIMVFRKPV-UHFFFAOYSA-N 0 0 434.474 -0.217 20 0 IBADRN Cc1nn(C)cc1[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000590198979 1072209181 /nfs/dbraw/zinc/20/91/81/1072209181.db2.gz PVUCSRIOPNKUOO-GFCCVEGCSA-N 0 0 448.505 -0.335 20 0 IBADRN Cc1nn(C)cc1[C@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000590198987 1072209277 /nfs/dbraw/zinc/20/92/77/1072209277.db2.gz PVUCSRIOPNKUOO-LBPRGKRZSA-N 0 0 448.505 -0.335 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2)CC1 ZINC000590203042 1072209240 /nfs/dbraw/zinc/20/92/40/1072209240.db2.gz QPTCGZCDCVPFHZ-UHFFFAOYSA-N 0 0 445.501 -0.019 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000590212852 1072209257 /nfs/dbraw/zinc/20/92/57/1072209257.db2.gz UDCIRRFOOMMRPP-HNNXBMFYSA-N 0 0 445.563 -0.353 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000590212853 1072209215 /nfs/dbraw/zinc/20/92/15/1072209215.db2.gz UDCIRRFOOMMRPP-OAHLLOKOSA-N 0 0 445.563 -0.353 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000590215104 1072209719 /nfs/dbraw/zinc/20/97/19/1072209719.db2.gz VGQRSJQXPCUDCZ-UHFFFAOYSA-N 0 0 434.497 -0.308 20 0 IBADRN COC(=O)C(C)(C)c1csc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n1 ZINC000590216209 1071325567 /nfs/dbraw/zinc/32/55/67/1071325567.db2.gz SXMLZIAEMLFTCC-UHFFFAOYSA-N 0 0 447.539 -0.517 20 0 IBADRN COCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(C(=O)OC)c1 ZINC000590216299 1071326271 /nfs/dbraw/zinc/32/62/71/1071326271.db2.gz XKUGSOFUZHNZIX-UHFFFAOYSA-N 0 0 442.494 -0.491 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000590220874 1072209882 /nfs/dbraw/zinc/20/98/82/1072209882.db2.gz FZXCHARJLGBXCI-HNNXBMFYSA-N 0 0 441.579 -0.039 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000590220891 1072209754 /nfs/dbraw/zinc/20/97/54/1072209754.db2.gz FZXCHARJLGBXCI-OAHLLOKOSA-N 0 0 441.579 -0.039 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000590221504 1071327939 /nfs/dbraw/zinc/32/79/39/1071327939.db2.gz SJUWZNNCJUKSTM-LLVKDONJSA-N 0 0 430.508 -0.477 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000590221505 1071327768 /nfs/dbraw/zinc/32/77/68/1071327768.db2.gz SJUWZNNCJUKSTM-NSHDSACASA-N 0 0 430.508 -0.477 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCO[C@@H](C(=O)OC(C)(C)C)C3)c2C)no1 ZINC000590234062 1071329549 /nfs/dbraw/zinc/32/95/49/1071329549.db2.gz VDJBGLNSEWVVLJ-GFCCVEGCSA-N 0 0 435.441 -0.166 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCO[C@H](C(=O)OC(C)(C)C)C3)c2C)no1 ZINC000590234068 1071329718 /nfs/dbraw/zinc/32/97/18/1071329718.db2.gz VDJBGLNSEWVVLJ-LBPRGKRZSA-N 0 0 435.441 -0.166 20 0 IBADRN COC(=O)C(C)(C)c1csc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)n1 ZINC000590245706 1072209949 /nfs/dbraw/zinc/20/99/49/1072209949.db2.gz ITSGEJUVECVXIA-UHFFFAOYSA-N 0 0 428.511 -0.315 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCNC(=O)[C@@H]1c1c(C)nc2ccccn21 ZINC000590248429 1072209873 /nfs/dbraw/zinc/20/98/73/1072209873.db2.gz TXHVOFQNOBJFEU-HNNXBMFYSA-N 0 0 439.432 -0.199 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCNC(=O)[C@H]1c1c(C)nc2ccccn21 ZINC000590248432 1072209744 /nfs/dbraw/zinc/20/97/44/1072209744.db2.gz TXHVOFQNOBJFEU-OAHLLOKOSA-N 0 0 439.432 -0.199 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)C(=O)Nc3c(C(=O)OC)cnn3C)CC2)n1 ZINC000590254664 1072209901 /nfs/dbraw/zinc/20/99/01/1072209901.db2.gz KGMPLSWOURORAV-UHFFFAOYSA-N 0 0 433.425 -0.154 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000590259016 1072209806 /nfs/dbraw/zinc/20/98/06/1072209806.db2.gz WLVUKXWQWDNWDJ-UHFFFAOYSA-N 0 0 449.489 -0.020 20 0 IBADRN CCCCC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000590267258 1072210480 /nfs/dbraw/zinc/21/04/80/1072210480.db2.gz DIZGQRNAUJHLRP-HNNXBMFYSA-N 0 0 434.584 -0.201 20 0 IBADRN CCCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000590267259 1072210358 /nfs/dbraw/zinc/21/03/58/1072210358.db2.gz DIZGQRNAUJHLRP-OAHLLOKOSA-N 0 0 434.584 -0.201 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000590269206 1072210432 /nfs/dbraw/zinc/21/04/32/1072210432.db2.gz KOUDLBXJSSPVBK-QLFBSQMISA-N 0 0 434.584 -0.204 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000590269207 1072210313 /nfs/dbraw/zinc/21/03/13/1072210313.db2.gz KOUDLBXJSSPVBK-RBSFLKMASA-N 0 0 434.584 -0.204 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000590269208 1072210352 /nfs/dbraw/zinc/21/03/52/1072210352.db2.gz KOUDLBXJSSPVBK-SOUVJXGZSA-N 0 0 434.584 -0.204 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000590269209 1072210471 /nfs/dbraw/zinc/21/04/71/1072210471.db2.gz KOUDLBXJSSPVBK-ZNMIVQPWSA-N 0 0 434.584 -0.204 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC2COCCOC2)cc1F ZINC000590278285 1071336482 /nfs/dbraw/zinc/33/64/82/1071336482.db2.gz RHYWHIOVVHPAAV-LLVKDONJSA-N 0 0 425.413 -0.023 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NC2COCCOC2)cc1F ZINC000590278288 1072209828 /nfs/dbraw/zinc/20/98/28/1072209828.db2.gz RHYWHIOVVHPAAV-NSHDSACASA-N 0 0 425.413 -0.023 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN(C)S(C)(=O)=O)cc2)CC1 ZINC000590284097 1072209847 /nfs/dbraw/zinc/20/98/47/1072209847.db2.gz RHIBSFHMZYEHHS-UHFFFAOYSA-N 0 0 440.566 -0.220 20 0 IBADRN COc1ccccc1CN1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000590287964 1072209779 /nfs/dbraw/zinc/20/97/79/1072209779.db2.gz VKJPROKSDOLIDH-UHFFFAOYSA-N 0 0 426.477 -0.213 20 0 IBADRN O=C(COn1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21)NC1CCOCC1 ZINC000590292429 1072209800 /nfs/dbraw/zinc/20/98/00/1072209800.db2.gz GFKQFLZJWROLAY-UHFFFAOYSA-N 0 0 425.467 -0.824 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000590306837 1072210414 /nfs/dbraw/zinc/21/04/14/1072210414.db2.gz VNZSHMSIGVDUEM-UHFFFAOYSA-N 0 0 442.476 -0.788 20 0 IBADRN CC(C)(C)NC(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000590308142 1072210304 /nfs/dbraw/zinc/21/03/04/1072210304.db2.gz SGKONURUMHLIRV-UHFFFAOYSA-N 0 0 429.499 -0.301 20 0 IBADRN CCCCC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000590310468 1072210338 /nfs/dbraw/zinc/21/03/38/1072210338.db2.gz OWDUUILVMKRLEF-INIZCTEOSA-N 0 0 447.627 -0.286 20 0 IBADRN CCCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000590310471 1072210382 /nfs/dbraw/zinc/21/03/82/1072210382.db2.gz OWDUUILVMKRLEF-MRXNPFEDSA-N 0 0 447.627 -0.286 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000590310588 1072210485 /nfs/dbraw/zinc/21/04/85/1072210485.db2.gz APUMDJHHARIBPG-UHFFFAOYSA-N 0 0 442.538 -0.696 20 0 IBADRN Cn1c(C(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000590315436 1072210927 /nfs/dbraw/zinc/21/09/27/1072210927.db2.gz ZLZZHJCDXNTFQR-UHFFFAOYSA-N 0 0 436.432 -0.475 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(-c2cccnc2)n1 ZINC000590319592 1072210915 /nfs/dbraw/zinc/21/09/15/1072210915.db2.gz GJTHNOHLIOVEEK-UHFFFAOYSA-N 0 0 433.538 -0.268 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)cn1 ZINC000590341584 1072210396 /nfs/dbraw/zinc/21/03/96/1072210396.db2.gz AYGNTAFPRXFFGD-GFCCVEGCSA-N 0 0 426.499 -0.107 20 0 IBADRN CCOC(=O)c1cn(CCNC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)cn1 ZINC000590341593 1072210324 /nfs/dbraw/zinc/21/03/24/1072210324.db2.gz AYGNTAFPRXFFGD-LBPRGKRZSA-N 0 0 426.499 -0.107 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)s1 ZINC000590347414 1072210957 /nfs/dbraw/zinc/21/09/57/1072210957.db2.gz WWYWDIOZSQHPMT-UHFFFAOYSA-N 0 0 434.521 -0.326 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)NCCS(N)(=O)=O)cc2)CC1 ZINC000590348106 1072210895 /nfs/dbraw/zinc/21/08/95/1072210895.db2.gz CWQVNMUCFIANQR-UHFFFAOYSA-N 0 0 441.554 -0.640 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000590367089 1072210880 /nfs/dbraw/zinc/21/08/80/1072210880.db2.gz UKBXVIJJYUVJAN-UHFFFAOYSA-N 0 0 433.465 -0.662 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000590367638 1073334984 /nfs/dbraw/zinc/33/49/84/1073334984.db2.gz FJLYEAPWKMXUPO-UHFFFAOYSA-N 0 0 446.464 0.125 20 0 IBADRN COCCOc1ncccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000590369138 1072210862 /nfs/dbraw/zinc/21/08/62/1072210862.db2.gz QCMSJSMFYROSTD-UHFFFAOYSA-N 0 0 435.481 -0.952 20 0 IBADRN CCOC(=O)C[C@@H](CO)NC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000590384613 1071348750 /nfs/dbraw/zinc/34/87/50/1071348750.db2.gz HNEVMRUMPYUHIC-AWEZNQCLSA-N 0 0 430.479 -0.240 20 0 IBADRN CCOC(=O)C[C@H](CO)NC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000590384629 1072211023 /nfs/dbraw/zinc/21/10/23/1072211023.db2.gz HNEVMRUMPYUHIC-CQSZACIVSA-N 0 0 430.479 -0.240 20 0 IBADRN CCOC(=O)C[C@@H](CO)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000590387469 1072212085 /nfs/dbraw/zinc/21/20/85/1072212085.db2.gz ROZZUMYHMJUIDA-INIZCTEOSA-N 0 0 427.523 -0.268 20 0 IBADRN CCOC(=O)C[C@H](CO)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000590387472 1072212109 /nfs/dbraw/zinc/21/21/09/1072212109.db2.gz ROZZUMYHMJUIDA-MRXNPFEDSA-N 0 0 427.523 -0.268 20 0 IBADRN COC(=O)CN1CCC[C@@H](CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000590387669 1073325040 /nfs/dbraw/zinc/32/50/40/1073325040.db2.gz XQOOEIYZHGDAEJ-AWEZNQCLSA-N 0 0 446.508 -0.008 20 0 IBADRN COC(=O)CN1CCC[C@H](CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC000590387675 1072211991 /nfs/dbraw/zinc/21/19/91/1072211991.db2.gz XQOOEIYZHGDAEJ-CQSZACIVSA-N 0 0 446.508 -0.008 20 0 IBADRN CCOC(=O)C[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000590388020 1072211979 /nfs/dbraw/zinc/21/19/79/1072211979.db2.gz VDHOMBRSFQMIHU-AWEZNQCLSA-N 0 0 443.522 -0.183 20 0 IBADRN CCOC(=O)C[C@H](CO)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000590388036 1072212117 /nfs/dbraw/zinc/21/21/17/1072212117.db2.gz VDHOMBRSFQMIHU-CQSZACIVSA-N 0 0 443.522 -0.183 20 0 IBADRN CCOC(=O)C[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000590388527 1072212615 /nfs/dbraw/zinc/21/26/15/1072212615.db2.gz VIAFSDXDUHFVAJ-INIZCTEOSA-N 0 0 441.506 -0.417 20 0 IBADRN CCOC(=O)C[C@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000590388532 1072212597 /nfs/dbraw/zinc/21/25/97/1072212597.db2.gz VIAFSDXDUHFVAJ-MRXNPFEDSA-N 0 0 441.506 -0.417 20 0 IBADRN CCOC(=O)C[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000590392479 1072210976 /nfs/dbraw/zinc/21/09/76/1072210976.db2.gz UFCCEHXJYVXAIA-CYBMUJFWSA-N 0 0 430.479 -0.240 20 0 IBADRN CCOC(=O)C[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000590392482 1072210850 /nfs/dbraw/zinc/21/08/50/1072210850.db2.gz UFCCEHXJYVXAIA-ZDUSSCGKSA-N 0 0 430.479 -0.240 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000590393479 1072211047 /nfs/dbraw/zinc/21/10/47/1072211047.db2.gz REXKEECZBKGAHY-UHFFFAOYSA-N 0 0 447.535 -0.204 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)CC1 ZINC000590393840 1072210950 /nfs/dbraw/zinc/21/09/50/1072210950.db2.gz ICSFAZBWNDSCOT-UHFFFAOYSA-N 0 0 433.538 -0.022 20 0 IBADRN CC(C)(C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000590394345 1072210869 /nfs/dbraw/zinc/21/08/69/1072210869.db2.gz MXYDFLFHAMHGQQ-AWEZNQCLSA-N 0 0 434.584 -0.345 20 0 IBADRN CC(C)(C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000590394350 1072211042 /nfs/dbraw/zinc/21/10/42/1072211042.db2.gz MXYDFLFHAMHGQQ-CQSZACIVSA-N 0 0 434.584 -0.345 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1nnc(C2CC2)o1 ZINC000590394839 1071349413 /nfs/dbraw/zinc/34/94/13/1071349413.db2.gz QKKRVVHLWRLKJC-UHFFFAOYSA-N 0 0 442.498 -0.613 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(Cc3nnnn3-c3ccccc3)CC1)c2=O ZINC000590395113 1072211458 /nfs/dbraw/zinc/21/14/58/1072211458.db2.gz YFRCQICXPRBGID-UHFFFAOYSA-N 0 0 434.464 -0.550 20 0 IBADRN COc1cccc(CS(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000590400767 1072211555 /nfs/dbraw/zinc/21/15/55/1072211555.db2.gz VYMIRISJGAYKAT-UHFFFAOYSA-N 0 0 432.568 -0.365 20 0 IBADRN CCC[C@H](C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1)S(N)(=O)=O ZINC000590410474 1072211466 /nfs/dbraw/zinc/21/14/66/1072211466.db2.gz OYRTWILUNAABMR-CYBMUJFWSA-N 0 0 447.564 -0.019 20 0 IBADRN CCC[C@@H](C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1)S(N)(=O)=O ZINC000590410633 1071349961 /nfs/dbraw/zinc/34/99/61/1071349961.db2.gz OYRTWILUNAABMR-ZDUSSCGKSA-N 0 0 447.564 -0.019 20 0 IBADRN CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000590410654 1072211522 /nfs/dbraw/zinc/21/15/22/1072211522.db2.gz QNLMTDKQTRNKPO-LLVKDONJSA-N 0 0 447.564 -0.148 20 0 IBADRN CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000590410656 1072211549 /nfs/dbraw/zinc/21/15/49/1072211549.db2.gz QNLMTDKQTRNKPO-NSHDSACASA-N 0 0 447.564 -0.148 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1C ZINC000590418814 1072211509 /nfs/dbraw/zinc/21/15/09/1072211509.db2.gz CHVDPBFAGGZPHA-UHFFFAOYSA-N 0 0 434.522 -0.326 20 0 IBADRN CNC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000590419056 1071350335 /nfs/dbraw/zinc/35/03/35/1071350335.db2.gz MCWOZLDSCYVVNA-UHFFFAOYSA-N 0 0 427.527 -0.418 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)nc1 ZINC000590420262 1072211941 /nfs/dbraw/zinc/21/19/41/1072211941.db2.gz KCLAVCBPSZUWLP-HNNXBMFYSA-N 0 0 425.511 -0.178 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)nc1 ZINC000590420265 1072212012 /nfs/dbraw/zinc/21/20/12/1072212012.db2.gz KCLAVCBPSZUWLP-OAHLLOKOSA-N 0 0 425.511 -0.178 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)nc1 ZINC000590420409 1072212043 /nfs/dbraw/zinc/21/20/43/1072212043.db2.gz NDNSRFSGTBRSIY-UHFFFAOYSA-N 0 0 449.489 -0.224 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)NC(=O)N2)CC1 ZINC000590422472 1072212060 /nfs/dbraw/zinc/21/20/60/1072212060.db2.gz DEJYVBBMWWJMSA-NSHDSACASA-N 0 0 442.450 -0.886 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C1 ZINC000590433308 1072212486 /nfs/dbraw/zinc/21/24/86/1072212486.db2.gz SLJUQEIJEIPFIJ-GFCCVEGCSA-N 0 0 442.494 -0.885 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C1 ZINC000590433332 1072212534 /nfs/dbraw/zinc/21/25/34/1072212534.db2.gz SLJUQEIJEIPFIJ-LBPRGKRZSA-N 0 0 442.494 -0.885 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)NC3(CCSCC3)C2=O)CC1 ZINC000590450674 1072212544 /nfs/dbraw/zinc/21/25/44/1072212544.db2.gz FYVTUTGEDXIAIX-UHFFFAOYSA-N 0 0 444.521 -0.171 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)NC3(CCSCC3)C2=O)CC1 ZINC000590450819 1072212518 /nfs/dbraw/zinc/21/25/18/1072212518.db2.gz ZHYDAWZPALNLTK-UHFFFAOYSA-N 0 0 425.555 -0.137 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000590482573 1072212620 /nfs/dbraw/zinc/21/26/20/1072212620.db2.gz QEWBNCQYVFKJOK-UHFFFAOYSA-N 0 0 426.539 -0.511 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000590482578 1072212642 /nfs/dbraw/zinc/21/26/42/1072212642.db2.gz RCYLKSCOCDWSAG-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc3c(c2)CCCN3S(C)(=O)=O)CC1 ZINC000590491340 1072212511 /nfs/dbraw/zinc/21/25/11/1072212511.db2.gz ACTYIPGHCLYBIH-UHFFFAOYSA-N 0 0 438.550 -0.081 20 0 IBADRN O=C(CCNc1nc2ccccc2c(NCCC(=O)N2CCOCC2)n1)N1CCOCC1 ZINC000590491931 1072212566 /nfs/dbraw/zinc/21/25/66/1072212566.db2.gz SVKMRWVQZSKZEA-UHFFFAOYSA-N 0 0 442.520 -0.205 20 0 IBADRN CCS(=O)(=O)NCCNc1nc2ccccc2c(NCCNS(=O)(=O)CC)n1 ZINC000590493150 1072213050 /nfs/dbraw/zinc/21/30/50/1072213050.db2.gz NPMJUOQNXSPHEU-UHFFFAOYSA-N 0 0 430.556 -0.824 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000590493173 1072213188 /nfs/dbraw/zinc/21/31/88/1072213188.db2.gz AEFHYGVBCZFPQI-UHFFFAOYSA-N 0 0 432.930 -0.475 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCCN(S(C)(=O)=O)CC2)C1 ZINC000590496215 1072213128 /nfs/dbraw/zinc/21/31/28/1072213128.db2.gz AIDLUDINSWBUOY-HNNXBMFYSA-N 0 0 447.583 -0.079 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCCN(S(C)(=O)=O)CC2)C1 ZINC000590496220 1072213094 /nfs/dbraw/zinc/21/30/94/1072213094.db2.gz AIDLUDINSWBUOY-OAHLLOKOSA-N 0 0 447.583 -0.079 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000590497237 1072213028 /nfs/dbraw/zinc/21/30/28/1072213028.db2.gz SRZOFVLEHBWCQJ-AWEZNQCLSA-N 0 0 442.476 -0.789 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000590497245 1072213104 /nfs/dbraw/zinc/21/31/04/1072213104.db2.gz SRZOFVLEHBWCQJ-CQSZACIVSA-N 0 0 442.476 -0.789 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000590498682 1072213709 /nfs/dbraw/zinc/21/37/09/1072213709.db2.gz DXBLQHHCHSZPEE-INIZCTEOSA-N 0 0 440.570 -0.292 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000590498894 1072213580 /nfs/dbraw/zinc/21/35/80/1072213580.db2.gz DXBLQHHCHSZPEE-MRXNPFEDSA-N 0 0 440.570 -0.292 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCC2(C(N)=O)CCOCC2)C1 ZINC000590498991 1072213173 /nfs/dbraw/zinc/21/31/73/1072213173.db2.gz NGTPXQONEJWJNH-AWEZNQCLSA-N 0 0 427.527 -0.174 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCC2(C(N)=O)CCOCC2)C1 ZINC000590498992 1072213200 /nfs/dbraw/zinc/21/32/00/1072213200.db2.gz NGTPXQONEJWJNH-CQSZACIVSA-N 0 0 427.527 -0.174 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)C1 ZINC000590499842 1071397592 /nfs/dbraw/zinc/39/75/92/1071397592.db2.gz NBLSZUPSEFYUAU-CABCVRRESA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)C1 ZINC000590499844 1072213614 /nfs/dbraw/zinc/21/36/14/1072213614.db2.gz NBLSZUPSEFYUAU-GJZGRUSLSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)C1 ZINC000590499845 1072213654 /nfs/dbraw/zinc/21/36/54/1072213654.db2.gz NBLSZUPSEFYUAU-HUUCEWRRSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)C1 ZINC000590499846 1072213604 /nfs/dbraw/zinc/21/36/04/1072213604.db2.gz NBLSZUPSEFYUAU-LSDHHAIUSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCCN(C)S(C)(=O)=O)C1 ZINC000590500296 1072213635 /nfs/dbraw/zinc/21/36/35/1072213635.db2.gz WQEGYDLYYVWUNZ-AWEZNQCLSA-N 0 0 435.572 -0.175 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCCN(C)S(C)(=O)=O)C1 ZINC000590500308 1072213647 /nfs/dbraw/zinc/21/36/47/1072213647.db2.gz WQEGYDLYYVWUNZ-CQSZACIVSA-N 0 0 435.572 -0.175 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000590500485 1072213692 /nfs/dbraw/zinc/21/36/92/1072213692.db2.gz ZQWHZYODOGHTKK-HNNXBMFYSA-N 0 0 426.543 -0.683 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000590500486 1072213549 /nfs/dbraw/zinc/21/35/49/1072213549.db2.gz ZQWHZYODOGHTKK-OAHLLOKOSA-N 0 0 426.543 -0.683 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCN2CCS(=O)(=O)CC2)C1 ZINC000590500686 1072213627 /nfs/dbraw/zinc/21/36/27/1072213627.db2.gz XVUCLRCSZUKVSI-HNNXBMFYSA-N 0 0 447.583 -0.726 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCN2CCS(=O)(=O)CC2)C1 ZINC000590500687 1072213535 /nfs/dbraw/zinc/21/35/35/1072213535.db2.gz XVUCLRCSZUKVSI-OAHLLOKOSA-N 0 0 447.583 -0.726 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000590503230 1072213671 /nfs/dbraw/zinc/21/36/71/1072213671.db2.gz NFJZJXCLXXREKT-AWEZNQCLSA-N 0 0 426.477 -0.026 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000590503231 1072213588 /nfs/dbraw/zinc/21/35/88/1072213588.db2.gz NFJZJXCLXXREKT-CQSZACIVSA-N 0 0 426.477 -0.026 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCS(=O)(=O)NC(C)C)C1 ZINC000590504118 1072213564 /nfs/dbraw/zinc/21/35/64/1072213564.db2.gz FTTLBOYDYDUXSJ-AWEZNQCLSA-N 0 0 435.572 -0.129 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCS(=O)(=O)NC(C)C)C1 ZINC000590504119 1072213685 /nfs/dbraw/zinc/21/36/85/1072213685.db2.gz FTTLBOYDYDUXSJ-CQSZACIVSA-N 0 0 435.572 -0.129 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000590505362 1072213555 /nfs/dbraw/zinc/21/35/55/1072213555.db2.gz XDMKJKNKIWYJCD-CABCVRRESA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000590505364 1072213544 /nfs/dbraw/zinc/21/35/44/1072213544.db2.gz XDMKJKNKIWYJCD-GJZGRUSLSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000590505366 1072213699 /nfs/dbraw/zinc/21/36/99/1072213699.db2.gz XDMKJKNKIWYJCD-HUUCEWRRSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC000590505368 1072213723 /nfs/dbraw/zinc/21/37/23/1072213723.db2.gz XDMKJKNKIWYJCD-LSDHHAIUSA-N 0 0 447.583 -0.033 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000590506319 1072214131 /nfs/dbraw/zinc/21/41/31/1072214131.db2.gz YQSKSRUYKBKBSJ-HNNXBMFYSA-N 0 0 447.583 -0.079 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC000590506320 1072214122 /nfs/dbraw/zinc/21/41/22/1072214122.db2.gz YQSKSRUYKBKBSJ-OAHLLOKOSA-N 0 0 447.583 -0.079 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCC(=O)N1CCN(c2cccc(Cl)c2)CC1 ZINC000590506686 1072214148 /nfs/dbraw/zinc/21/41/48/1072214148.db2.gz BYGBDDJRYXJKDC-UHFFFAOYSA-N 0 0 428.942 -0.165 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000590506816 1072214233 /nfs/dbraw/zinc/21/42/33/1072214233.db2.gz DBCFQORAUPGRCD-AWEZNQCLSA-N 0 0 435.510 -0.237 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCNC(=O)c2cnccn2)C1 ZINC000590506820 1072214242 /nfs/dbraw/zinc/21/42/42/1072214242.db2.gz DBCFQORAUPGRCD-CQSZACIVSA-N 0 0 435.510 -0.237 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCCN2CCCS2(=O)=O)C1 ZINC000590507876 1072214057 /nfs/dbraw/zinc/21/40/57/1072214057.db2.gz PDUXTRGCRBVKCE-HNNXBMFYSA-N 0 0 447.583 -0.031 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCCN2CCCS2(=O)=O)C1 ZINC000590507879 1072214089 /nfs/dbraw/zinc/21/40/89/1072214089.db2.gz PDUXTRGCRBVKCE-OAHLLOKOSA-N 0 0 447.583 -0.031 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1 ZINC000590508197 1072214176 /nfs/dbraw/zinc/21/41/76/1072214176.db2.gz XALVMJUTXISIQI-AWEZNQCLSA-N 0 0 437.526 0.351 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)Nc2ccn(CC(=O)N(C)C)n2)C1 ZINC000590508198 1072214260 /nfs/dbraw/zinc/21/42/60/1072214260.db2.gz XALVMJUTXISIQI-CQSZACIVSA-N 0 0 437.526 0.351 20 0 IBADRN Cc1nnc(SCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)n1CC(N)=O ZINC000590516554 1072214138 /nfs/dbraw/zinc/21/41/38/1072214138.db2.gz MBQYKEMUJYEJIS-UHFFFAOYSA-N 0 0 443.489 -0.462 20 0 IBADRN CCOC(=O)c1n[nH]c([C@@H](CC(C)C)NC(=O)CNS(=O)(=O)c2cn(C)cn2)n1 ZINC000590537284 1072214656 /nfs/dbraw/zinc/21/46/56/1072214656.db2.gz HLSCZBBARXVSGI-LLVKDONJSA-N 0 0 427.487 -0.103 20 0 IBADRN CCOC(=O)c1n[nH]c([C@H](CC(C)C)NC(=O)CNS(=O)(=O)c2cn(C)cn2)n1 ZINC000590537292 1072214741 /nfs/dbraw/zinc/21/47/41/1072214741.db2.gz HLSCZBBARXVSGI-NSHDSACASA-N 0 0 427.487 -0.103 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)Nc1ccn(C)n1 ZINC000590537516 1073351640 /nfs/dbraw/zinc/35/16/40/1073351640.db2.gz GAMAWPJAJPSKOB-AWEZNQCLSA-N 0 0 425.536 0.575 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)Nc1ccn(C)n1 ZINC000590537523 1073351675 /nfs/dbraw/zinc/35/16/75/1073351675.db2.gz GAMAWPJAJPSKOB-CQSZACIVSA-N 0 0 425.536 0.575 20 0 IBADRN CNS(=O)(=O)c1ccc(CNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)s1 ZINC000590537930 1072214067 /nfs/dbraw/zinc/21/40/67/1072214067.db2.gz LQROYWPFVAHHQY-UHFFFAOYSA-N 0 0 425.535 -0.218 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)CN3CCCS3(=O)=O)c2)CC1 ZINC000590542377 1072214699 /nfs/dbraw/zinc/21/46/99/1072214699.db2.gz JPYAJQLXEJQMMW-UHFFFAOYSA-N 0 0 430.552 -0.013 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000590542441 1072214681 /nfs/dbraw/zinc/21/46/81/1072214681.db2.gz NFKGXGWDHORAJF-UHFFFAOYSA-N 0 0 444.579 -0.318 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000590542676 1072214728 /nfs/dbraw/zinc/21/47/28/1072214728.db2.gz BTCDVONQFHCGJL-HNNXBMFYSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000590542678 1072214651 /nfs/dbraw/zinc/21/46/51/1072214651.db2.gz BTCDVONQFHCGJL-OAHLLOKOSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CS[C@H](C)C(=O)Nc1cc(C)on1 ZINC000590542693 1072214630 /nfs/dbraw/zinc/21/46/30/1072214630.db2.gz CNSSGFXJTLDODP-CHWSQXEVSA-N 0 0 442.494 -0.050 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CS[C@H](C)C(=O)Nc1cc(C)on1 ZINC000590542694 1072214727 /nfs/dbraw/zinc/21/47/27/1072214727.db2.gz CNSSGFXJTLDODP-OLZOCXBDSA-N 0 0 442.494 -0.050 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CS[C@@H](C)C(=O)Nc1cc(C)on1 ZINC000590542695 1073338528 /nfs/dbraw/zinc/33/85/28/1073338528.db2.gz CNSSGFXJTLDODP-QWHCGFSZSA-N 0 0 442.494 -0.050 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CS[C@@H](C)C(=O)Nc1cc(C)on1 ZINC000590542696 1073338494 /nfs/dbraw/zinc/33/84/94/1073338494.db2.gz CNSSGFXJTLDODP-STQMWFEESA-N 0 0 442.494 -0.050 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000590543030 1072214675 /nfs/dbraw/zinc/21/46/75/1072214675.db2.gz GPVCLHCZYGOCRX-JLTOFOAXSA-N 0 0 432.433 -0.739 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000590543034 1072214646 /nfs/dbraw/zinc/21/46/46/1072214646.db2.gz GPVCLHCZYGOCRX-VBKZILBWSA-N 0 0 432.433 -0.739 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000590543035 1072214723 /nfs/dbraw/zinc/21/47/23/1072214723.db2.gz GPVCLHCZYGOCRX-VLIAUNLRSA-N 0 0 432.433 -0.739 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000590543036 1072214635 /nfs/dbraw/zinc/21/46/35/1072214635.db2.gz GPVCLHCZYGOCRX-XOBRGWDASA-N 0 0 432.433 -0.739 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCn1[nH]c(=O)c2ccccc2c1=O ZINC000590543150 1072214713 /nfs/dbraw/zinc/21/47/13/1072214713.db2.gz JJTSZHPYBBZSSW-HNNXBMFYSA-N 0 0 432.433 -0.601 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCn1[nH]c(=O)c2ccccc2c1=O ZINC000590543151 1072214693 /nfs/dbraw/zinc/21/46/93/1072214693.db2.gz JJTSZHPYBBZSSW-OAHLLOKOSA-N 0 0 432.433 -0.601 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNC(=O)N1CCc2ccccc2C1 ZINC000590544394 1072214732 /nfs/dbraw/zinc/21/47/32/1072214732.db2.gz ORHDAZUQWDDUPG-KRWDZBQOSA-N 0 0 432.477 -0.339 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNC(=O)N1CCc2ccccc2C1 ZINC000590544405 1072215157 /nfs/dbraw/zinc/21/51/57/1072215157.db2.gz ORHDAZUQWDDUPG-QGZVFWFLSA-N 0 0 432.477 -0.339 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)c1=O ZINC000590544422 1072215179 /nfs/dbraw/zinc/21/51/79/1072215179.db2.gz OPAWEYHBJFGSDQ-UHFFFAOYSA-N 0 0 435.506 -0.488 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cccc(N2CCCS2(=O)=O)c1 ZINC000590544534 1072214679 /nfs/dbraw/zinc/21/46/79/1072214679.db2.gz QEPGCYWZERXFNQ-INIZCTEOSA-N 0 0 439.490 -0.253 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cccc(N2CCCS2(=O)=O)c1 ZINC000590544537 1072214640 /nfs/dbraw/zinc/21/46/40/1072214640.db2.gz QEPGCYWZERXFNQ-MRXNPFEDSA-N 0 0 439.490 -0.253 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(CN2C(=O)CCC2=O)cc1 ZINC000590545291 1072215108 /nfs/dbraw/zinc/21/51/08/1072215108.db2.gz VAKRPJVMMBVXIR-INIZCTEOSA-N 0 0 431.445 -0.144 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(CN2C(=O)CCC2=O)cc1 ZINC000590545293 1072215292 /nfs/dbraw/zinc/21/52/92/1072215292.db2.gz VAKRPJVMMBVXIR-MRXNPFEDSA-N 0 0 431.445 -0.144 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(C(N)=O)n(-c2ccccc2)n1 ZINC000590545302 1072215626 /nfs/dbraw/zinc/21/56/26/1072215626.db2.gz VANZBNCKYVZVJB-INIZCTEOSA-N 0 0 429.433 -0.509 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(C(N)=O)n(-c2ccccc2)n1 ZINC000590545311 1072215619 /nfs/dbraw/zinc/21/56/19/1072215619.db2.gz VANZBNCKYVZVJB-MRXNPFEDSA-N 0 0 429.433 -0.509 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cnn(CC(=O)NC2CCCCC2)c1 ZINC000590545982 1072215145 /nfs/dbraw/zinc/21/51/45/1072215145.db2.gz GXTHZJZXLICQEZ-KRWDZBQOSA-N 0 0 449.508 -0.148 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cnn(CC(=O)NC2CCCCC2)c1 ZINC000590545987 1072215274 /nfs/dbraw/zinc/21/52/74/1072215274.db2.gz GXTHZJZXLICQEZ-QGZVFWFLSA-N 0 0 449.508 -0.148 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O ZINC000590546001 1072215281 /nfs/dbraw/zinc/21/52/81/1072215281.db2.gz HIJYQTLZHBEPSR-AWEZNQCLSA-N 0 0 438.481 -0.510 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O ZINC000590546002 1072215313 /nfs/dbraw/zinc/21/53/13/1072215313.db2.gz HIJYQTLZHBEPSR-CQSZACIVSA-N 0 0 438.481 -0.510 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNC(=O)c1ccc(OC)c(OC)c1 ZINC000590546031 1072215217 /nfs/dbraw/zinc/21/52/17/1072215217.db2.gz HOMLSZOEAVSCFN-AWEZNQCLSA-N 0 0 437.449 -0.660 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNC(=O)c1ccc(OC)c(OC)c1 ZINC000590546040 1072215323 /nfs/dbraw/zinc/21/53/23/1072215323.db2.gz HOMLSZOEAVSCFN-CQSZACIVSA-N 0 0 437.449 -0.660 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000590546094 1072215241 /nfs/dbraw/zinc/21/52/41/1072215241.db2.gz YGKVOQKJQNSIDN-INIZCTEOSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000590546096 1072215253 /nfs/dbraw/zinc/21/52/53/1072215253.db2.gz YGKVOQKJQNSIDN-MRXNPFEDSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21 ZINC000590546131 1072215121 /nfs/dbraw/zinc/21/51/21/1072215121.db2.gz ZFRJWQNQVGAZOM-AYMQEEERSA-N 0 0 442.538 -0.381 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]21 ZINC000590546132 1072215209 /nfs/dbraw/zinc/21/52/09/1072215209.db2.gz ZFRJWQNQVGAZOM-FHIRATQRSA-N 0 0 442.538 -0.381 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC000590546133 1072215261 /nfs/dbraw/zinc/21/52/61/1072215261.db2.gz ZFRJWQNQVGAZOM-WSMBLCCSSA-N 0 0 442.538 -0.381 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]21 ZINC000590546134 1072215231 /nfs/dbraw/zinc/21/52/31/1072215231.db2.gz ZFRJWQNQVGAZOM-XJIUQZFPSA-N 0 0 442.538 -0.381 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C1CCN(c2ccc3nncn3n2)CC1 ZINC000590546359 1072215681 /nfs/dbraw/zinc/21/56/81/1072215681.db2.gz MMWNOCUVXJGDHG-HNNXBMFYSA-N 0 0 445.480 -0.753 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C1CCN(c2ccc3nncn3n2)CC1 ZINC000590546361 1072215614 /nfs/dbraw/zinc/21/56/14/1072215614.db2.gz MMWNOCUVXJGDHG-OAHLLOKOSA-N 0 0 445.480 -0.753 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CN1CCN(Cc2ccccc2)CC1 ZINC000590546588 1072215696 /nfs/dbraw/zinc/21/56/96/1072215696.db2.gz OEJDYLRAXFXSGT-IBGZPJMESA-N 0 0 432.521 -0.289 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CN1CCN(Cc2ccccc2)CC1 ZINC000590546589 1072215655 /nfs/dbraw/zinc/21/56/55/1072215655.db2.gz OEJDYLRAXFXSGT-LJQANCHMSA-N 0 0 432.521 -0.289 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000590546624 1072215761 /nfs/dbraw/zinc/21/57/61/1072215761.db2.gz PUZDINIWUXDBCV-DLBZAZTESA-N 0 0 432.477 -0.160 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000590546625 1072215622 /nfs/dbraw/zinc/21/56/22/1072215622.db2.gz PUZDINIWUXDBCV-IAGOWNOFSA-N 0 0 432.477 -0.160 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000590546626 1072215691 /nfs/dbraw/zinc/21/56/91/1072215691.db2.gz PUZDINIWUXDBCV-IRXDYDNUSA-N 0 0 432.477 -0.160 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CCCN(C(=O)c2ccncc2)C1 ZINC000590546627 1072215718 /nfs/dbraw/zinc/21/57/18/1072215718.db2.gz PUZDINIWUXDBCV-SJORKVTESA-N 0 0 432.477 -0.160 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cc1cccc(S(=O)(=O)CC)c1 ZINC000590546894 1072215649 /nfs/dbraw/zinc/21/56/49/1072215649.db2.gz SHVOHQLEYRFEQH-INIZCTEOSA-N 0 0 426.491 -0.071 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cc1cccc(S(=O)(=O)CC)c1 ZINC000590546895 1072215750 /nfs/dbraw/zinc/21/57/50/1072215750.db2.gz SHVOHQLEYRFEQH-MRXNPFEDSA-N 0 0 426.491 -0.071 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)Cn2ccc(=O)n(C)c2=O)cc1 ZINC000590547610 1072215777 /nfs/dbraw/zinc/21/57/77/1072215777.db2.gz DZMAWKXUCZVYIS-UHFFFAOYSA-N 0 0 428.493 -0.604 20 0 IBADRN CCOC(=O)C[C@@H](CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000590553674 1072215709 /nfs/dbraw/zinc/21/57/09/1072215709.db2.gz IJONXOWJPXTDRL-HNNXBMFYSA-N 0 0 444.506 -0.311 20 0 IBADRN CCOC(=O)C[C@H](CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000590553675 1072215632 /nfs/dbraw/zinc/21/56/32/1072215632.db2.gz IJONXOWJPXTDRL-OAHLLOKOSA-N 0 0 444.506 -0.311 20 0 IBADRN CCOC(=O)C[C@H](CO)NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000590555066 1072215668 /nfs/dbraw/zinc/21/56/68/1072215668.db2.gz WDAFNGHYPQOKBA-CYBMUJFWSA-N 0 0 430.479 -0.053 20 0 IBADRN CCOC(=O)C[C@@H](CO)NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000590555071 1072215752 /nfs/dbraw/zinc/21/57/52/1072215752.db2.gz WDAFNGHYPQOKBA-ZDUSSCGKSA-N 0 0 430.479 -0.053 20 0 IBADRN O=C(CSCC(=O)N1CC(CN2CCOCC2)C1)N1CC(CN2CCOCC2)C1 ZINC000590557758 1072216318 /nfs/dbraw/zinc/21/63/18/1072216318.db2.gz IQWOYQYZMQKHIL-UHFFFAOYSA-N 0 0 426.583 -0.699 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC(CN3CCOCC3)C2)cc1 ZINC000590558097 1072216156 /nfs/dbraw/zinc/21/61/56/1072216156.db2.gz NTLNZLHRAKORRH-UHFFFAOYSA-N 0 0 425.507 -0.068 20 0 IBADRN COC(=O)[C@H](Cc1ccncc1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000590560908 1072216744 /nfs/dbraw/zinc/21/67/44/1072216744.db2.gz RJGTTWDLLAEQAE-KRWDZBQOSA-N 0 0 446.485 -0.284 20 0 IBADRN COC(=O)[C@@H](Cc1ccncc1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000590560920 1072216794 /nfs/dbraw/zinc/21/67/94/1072216794.db2.gz RJGTTWDLLAEQAE-QGZVFWFLSA-N 0 0 446.485 -0.284 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000590571844 1072216360 /nfs/dbraw/zinc/21/63/60/1072216360.db2.gz SLLNVOVHASNZQY-UHFFFAOYSA-N 0 0 435.502 -0.158 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000590577538 1072216280 /nfs/dbraw/zinc/21/62/80/1072216280.db2.gz ANTDMVQKLNVWDW-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)Cn3cnc4c(cnn4C)c3=O)CC2)CC1 ZINC000590578773 1072216186 /nfs/dbraw/zinc/21/61/86/1072216186.db2.gz BAICSFFRRHONDC-UHFFFAOYSA-N 0 0 429.525 -0.031 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000590580333 1072216390 /nfs/dbraw/zinc/21/63/90/1072216390.db2.gz MXWZIYNQLFJPRZ-UHFFFAOYSA-N 0 0 440.570 -0.463 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)CC1 ZINC000590580338 1072216179 /nfs/dbraw/zinc/21/61/79/1072216179.db2.gz NEICHKBFFDPNBI-UHFFFAOYSA-N 0 0 429.587 -0.259 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C(=O)C1=O ZINC000590582063 1072216247 /nfs/dbraw/zinc/21/62/47/1072216247.db2.gz DJEXWQBORFZNHY-UHFFFAOYSA-N 0 0 444.536 -0.474 20 0 IBADRN CCOC(=O)C(C(=O)OCC)N(C)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000590587593 1072216197 /nfs/dbraw/zinc/21/61/97/1072216197.db2.gz GYTJVQUHNKAAOF-UHFFFAOYSA-N 0 0 428.423 -0.851 20 0 IBADRN O=C(CN1CCN(Cc2cn3ccccc3n2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000590614331 1072216780 /nfs/dbraw/zinc/21/67/80/1072216780.db2.gz MTRCREUNKQYUKD-HNNXBMFYSA-N 0 0 434.522 -0.535 20 0 IBADRN O=C(CN1CCN(Cc2cn3ccccc3n2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000590614333 1072216799 /nfs/dbraw/zinc/21/67/99/1072216799.db2.gz MTRCREUNKQYUKD-OAHLLOKOSA-N 0 0 434.522 -0.535 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)NCCCNS(C)(=O)=O)cc1 ZINC000590629926 1072216757 /nfs/dbraw/zinc/21/67/57/1072216757.db2.gz HNWWYIQTADDXKN-UHFFFAOYSA-N 0 0 440.570 -0.042 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)NCCNS(C)(=O)=O)cc1 ZINC000590631718 1072216838 /nfs/dbraw/zinc/21/68/38/1072216838.db2.gz NMZMVKCPOVOEJV-UHFFFAOYSA-N 0 0 426.543 -0.433 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000590634252 1072216803 /nfs/dbraw/zinc/21/68/03/1072216803.db2.gz SBCCTENYHPGQAX-UHFFFAOYSA-N 0 0 440.570 -0.042 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCn3cncn3)c2)CC1 ZINC000590640271 1072216850 /nfs/dbraw/zinc/21/68/50/1072216850.db2.gz KBAHSEMXVXPNAB-UHFFFAOYSA-N 0 0 449.537 -0.251 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000590641495 1072216911 /nfs/dbraw/zinc/21/69/11/1072216911.db2.gz LEFXALBASVTDEX-INIZCTEOSA-N 0 0 440.522 -0.097 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000590641496 1072216788 /nfs/dbraw/zinc/21/67/88/1072216788.db2.gz LEFXALBASVTDEX-MRXNPFEDSA-N 0 0 440.522 -0.097 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC1 ZINC000590642815 1072216874 /nfs/dbraw/zinc/21/68/74/1072216874.db2.gz YRUMAWTZIIKXMT-UHFFFAOYSA-N 0 0 430.553 -0.001 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2 ZINC000590642863 1072216770 /nfs/dbraw/zinc/21/67/70/1072216770.db2.gz QWSZYGVDZLPGNP-INIZCTEOSA-N 0 0 432.477 -0.289 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2 ZINC000590642865 1072216941 /nfs/dbraw/zinc/21/69/41/1072216941.db2.gz QWSZYGVDZLPGNP-MRXNPFEDSA-N 0 0 432.477 -0.289 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC3(CC2)NC(=O)NC3=O)cc1 ZINC000590643625 1072216817 /nfs/dbraw/zinc/21/68/17/1072216817.db2.gz WHROYWQIMYJHHN-UHFFFAOYSA-N 0 0 438.462 -0.658 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000590644284 1072216828 /nfs/dbraw/zinc/21/68/28/1072216828.db2.gz NXVIVRFURMCBOO-UHFFFAOYSA-N 0 0 446.552 -0.228 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000590644933 1072216898 /nfs/dbraw/zinc/21/68/98/1072216898.db2.gz FKARPSWMXCWUCQ-UHFFFAOYSA-N 0 0 446.552 -0.132 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)C2 ZINC000590652072 1072217499 /nfs/dbraw/zinc/21/74/99/1072217499.db2.gz QDHNNHYDYMGDGA-HNNXBMFYSA-N 0 0 426.429 -0.031 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)C2 ZINC000590652073 1072217434 /nfs/dbraw/zinc/21/74/34/1072217434.db2.gz QDHNNHYDYMGDGA-OAHLLOKOSA-N 0 0 426.429 -0.031 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C2 ZINC000590653839 1072217408 /nfs/dbraw/zinc/21/74/08/1072217408.db2.gz VVJRGQBEUAFTAM-CYBMUJFWSA-N 0 0 439.428 -0.301 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C2 ZINC000590653840 1072217418 /nfs/dbraw/zinc/21/74/18/1072217418.db2.gz VVJRGQBEUAFTAM-ZDUSSCGKSA-N 0 0 439.428 -0.301 20 0 IBADRN CCOC(=O)CN(C(=O)CN1C(=O)N[C@@H](CCS(C)(=O)=O)C1=O)c1ccccc1 ZINC000590654868 1072217334 /nfs/dbraw/zinc/21/73/34/1072217334.db2.gz LQMXRTFCGMAACT-AWEZNQCLSA-N 0 0 425.463 -0.062 20 0 IBADRN CCOC(=O)CN(C(=O)CN1C(=O)N[C@H](CCS(C)(=O)=O)C1=O)c1ccccc1 ZINC000590654869 1072217472 /nfs/dbraw/zinc/21/74/72/1072217472.db2.gz LQMXRTFCGMAACT-CQSZACIVSA-N 0 0 425.463 -0.062 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000590654887 1072217374 /nfs/dbraw/zinc/21/73/74/1072217374.db2.gz BJEWJEWMEIQCIQ-KRWDZBQOSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000590654888 1072217493 /nfs/dbraw/zinc/21/74/93/1072217493.db2.gz BJEWJEWMEIQCIQ-QGZVFWFLSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000590654927 1072217450 /nfs/dbraw/zinc/21/74/50/1072217450.db2.gz XXXATSONBBBKPE-KRWDZBQOSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000590654928 1072217464 /nfs/dbraw/zinc/21/74/64/1072217464.db2.gz XXXATSONBBBKPE-QGZVFWFLSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000590656069 1072217487 /nfs/dbraw/zinc/21/74/87/1072217487.db2.gz VWTNCLZJZQAMEX-KRWDZBQOSA-N 0 0 440.497 -0.214 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000590656070 1072217383 /nfs/dbraw/zinc/21/73/83/1072217383.db2.gz VWTNCLZJZQAMEX-QGZVFWFLSA-N 0 0 440.497 -0.214 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000590660353 1072217426 /nfs/dbraw/zinc/21/74/26/1072217426.db2.gz UCTVHISWWBYAFG-INIZCTEOSA-N 0 0 446.533 -0.473 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000590660355 1072217364 /nfs/dbraw/zinc/21/73/64/1072217364.db2.gz UCTVHISWWBYAFG-MRXNPFEDSA-N 0 0 446.533 -0.473 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000590661299 1072217356 /nfs/dbraw/zinc/21/73/56/1072217356.db2.gz VPOQSHMYOGBZFH-INIZCTEOSA-N 0 0 430.461 -0.173 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000590661300 1072217482 /nfs/dbraw/zinc/21/74/82/1072217482.db2.gz VPOQSHMYOGBZFH-MRXNPFEDSA-N 0 0 430.461 -0.173 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000590661733 1072217948 /nfs/dbraw/zinc/21/79/48/1072217948.db2.gz XTANRRARCIKUNH-GOSISDBHSA-N 0 0 436.534 -0.212 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000590661739 1072217960 /nfs/dbraw/zinc/21/79/60/1072217960.db2.gz XTANRRARCIKUNH-SFHVURJKSA-N 0 0 436.534 -0.212 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000590662690 1072218024 /nfs/dbraw/zinc/21/80/24/1072218024.db2.gz JNYUHVUEFLFSTL-HNNXBMFYSA-N 0 0 430.914 -0.138 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000590662696 1072218005 /nfs/dbraw/zinc/21/80/05/1072218005.db2.gz JNYUHVUEFLFSTL-OAHLLOKOSA-N 0 0 430.914 -0.138 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000590664268 1072217938 /nfs/dbraw/zinc/21/79/38/1072217938.db2.gz GZPNOMHAOISVSU-KRWDZBQOSA-N 0 0 437.522 -0.890 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000590664272 1072217864 /nfs/dbraw/zinc/21/78/64/1072217864.db2.gz GZPNOMHAOISVSU-QGZVFWFLSA-N 0 0 437.522 -0.890 20 0 IBADRN COc1cc(CNC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)ccc1OCC(=O)N(C)C ZINC000590664393 1072217882 /nfs/dbraw/zinc/21/78/82/1072217882.db2.gz LKCMKQRNXZXHQR-INIZCTEOSA-N 0 0 434.493 -0.095 20 0 IBADRN COc1cc(CNC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)ccc1OCC(=O)N(C)C ZINC000590664395 1072217919 /nfs/dbraw/zinc/21/79/19/1072217919.db2.gz LKCMKQRNXZXHQR-MRXNPFEDSA-N 0 0 434.493 -0.095 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000590664588 1072217844 /nfs/dbraw/zinc/21/78/44/1072217844.db2.gz GZVOXJAINFULEO-IBGZPJMESA-N 0 0 429.521 -0.183 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000590664589 1072217815 /nfs/dbraw/zinc/21/78/15/1072217815.db2.gz GZVOXJAINFULEO-LJQANCHMSA-N 0 0 429.521 -0.183 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)cc1OC ZINC000590665586 1072217905 /nfs/dbraw/zinc/21/79/05/1072217905.db2.gz RPSFLDSIQLYARJ-INIZCTEOSA-N 0 0 434.493 -0.047 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)cc1OC ZINC000590665587 1072217999 /nfs/dbraw/zinc/21/79/99/1072217999.db2.gz RPSFLDSIQLYARJ-MRXNPFEDSA-N 0 0 434.493 -0.047 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000590665944 1072217993 /nfs/dbraw/zinc/21/79/93/1072217993.db2.gz BOZAXKREUIUMQD-KRWDZBQOSA-N 0 0 429.477 -0.998 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000590665945 1072217929 /nfs/dbraw/zinc/21/79/29/1072217929.db2.gz BOZAXKREUIUMQD-QGZVFWFLSA-N 0 0 429.477 -0.998 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000590670764 1072217794 /nfs/dbraw/zinc/21/77/94/1072217794.db2.gz DNTBBWUZPMTPSX-IBGZPJMESA-N 0 0 445.520 -0.186 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000590670765 1072218034 /nfs/dbraw/zinc/21/80/34/1072218034.db2.gz DNTBBWUZPMTPSX-LJQANCHMSA-N 0 0 445.520 -0.186 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ncn(CC(=O)NCc2ccccc2)n1 ZINC000590672706 1072218030 /nfs/dbraw/zinc/21/80/30/1072218030.db2.gz HWXSFVNQXVZCMZ-INIZCTEOSA-N 0 0 427.465 -0.340 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)Nc1ncn(CC(=O)NCc2ccccc2)n1 ZINC000590672707 1072217888 /nfs/dbraw/zinc/21/78/88/1072217888.db2.gz HWXSFVNQXVZCMZ-MRXNPFEDSA-N 0 0 427.465 -0.340 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000590694827 1072218514 /nfs/dbraw/zinc/21/85/14/1072218514.db2.gz NMAYRERWVCBOIQ-AWEZNQCLSA-N 0 0 443.551 -0.588 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000590694828 1072218342 /nfs/dbraw/zinc/21/83/42/1072218342.db2.gz NMAYRERWVCBOIQ-CQSZACIVSA-N 0 0 443.551 -0.588 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000590697483 1072218312 /nfs/dbraw/zinc/21/83/12/1072218312.db2.gz HXKJWPLSAHGXKQ-JENIJYKNSA-N 0 0 435.569 -0.238 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000590697484 1072218543 /nfs/dbraw/zinc/21/85/43/1072218543.db2.gz HXKJWPLSAHGXKQ-SCTDSRPQSA-N 0 0 435.569 -0.238 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000590697485 1072218361 /nfs/dbraw/zinc/21/83/61/1072218361.db2.gz HXKJWPLSAHGXKQ-ZHALLVOQSA-N 0 0 435.569 -0.238 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000590697486 1072218376 /nfs/dbraw/zinc/21/83/76/1072218376.db2.gz HXKJWPLSAHGXKQ-ZIFCJYIRSA-N 0 0 435.569 -0.238 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000590698019 1072218415 /nfs/dbraw/zinc/21/84/15/1072218415.db2.gz HRPSPMDJOAUNCH-HNNXBMFYSA-N 0 0 441.510 -0.749 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000590698020 1072218496 /nfs/dbraw/zinc/21/84/96/1072218496.db2.gz HRPSPMDJOAUNCH-OAHLLOKOSA-N 0 0 441.510 -0.749 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000590699206 1072218349 /nfs/dbraw/zinc/21/83/49/1072218349.db2.gz FBMDBJVNSFDLNX-IBGZPJMESA-N 0 0 429.521 -0.426 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000590699207 1072219022 /nfs/dbraw/zinc/21/90/22/1072219022.db2.gz FBMDBJVNSFDLNX-LJQANCHMSA-N 0 0 429.521 -0.426 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000590700049 1072218855 /nfs/dbraw/zinc/21/88/55/1072218855.db2.gz GVWOVWNOJWQXCC-GOSISDBHSA-N 0 0 439.520 -0.017 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000590700050 1073355716 /nfs/dbraw/zinc/35/57/16/1073355716.db2.gz GVWOVWNOJWQXCC-SFHVURJKSA-N 0 0 439.520 -0.017 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000590701656 1072218913 /nfs/dbraw/zinc/21/89/13/1072218913.db2.gz HGLFJYJKPYUACO-FQEVSTJZSA-N 0 0 441.532 -0.153 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000590701657 1072218846 /nfs/dbraw/zinc/21/88/46/1072218846.db2.gz HGLFJYJKPYUACO-HXUWFJFHSA-N 0 0 441.532 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)cc1 ZINC000590702358 1072218791 /nfs/dbraw/zinc/21/87/91/1072218791.db2.gz QIHLXGIYKRMGFM-KRWDZBQOSA-N 0 0 440.522 -0.645 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)cc1 ZINC000590702360 1072218838 /nfs/dbraw/zinc/21/88/38/1072218838.db2.gz QIHLXGIYKRMGFM-QGZVFWFLSA-N 0 0 440.522 -0.645 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(C(=O)c2ccc3[nH]cnc3c2)CC1 ZINC000590704209 1072218996 /nfs/dbraw/zinc/21/89/96/1072218996.db2.gz UVSVYAFGOCJYGI-GOSISDBHSA-N 0 0 426.477 -0.026 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)c2ccc3[nH]cnc3c2)CC1 ZINC000590704210 1072218963 /nfs/dbraw/zinc/21/89/63/1072218963.db2.gz UVSVYAFGOCJYGI-SFHVURJKSA-N 0 0 426.477 -0.026 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000590707247 1072218938 /nfs/dbraw/zinc/21/89/38/1072218938.db2.gz KCGODWDKXBQIRV-IBGZPJMESA-N 0 0 429.521 -0.426 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000590707249 1072218873 /nfs/dbraw/zinc/21/88/73/1072218873.db2.gz KCGODWDKXBQIRV-LJQANCHMSA-N 0 0 429.521 -0.426 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000590707512 1072218924 /nfs/dbraw/zinc/21/89/24/1072218924.db2.gz RMQNRIFBBOSVEQ-IBGZPJMESA-N 0 0 429.521 -0.031 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000590707513 1072219011 /nfs/dbraw/zinc/21/90/11/1072219011.db2.gz RMQNRIFBBOSVEQ-LJQANCHMSA-N 0 0 429.521 -0.031 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000590707520 1072219031 /nfs/dbraw/zinc/21/90/31/1072219031.db2.gz UKARWSBVDJEICF-KRWDZBQOSA-N 0 0 430.509 -0.636 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000590707523 1072218798 /nfs/dbraw/zinc/21/87/98/1072218798.db2.gz UKARWSBVDJEICF-QGZVFWFLSA-N 0 0 430.509 -0.636 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000590710481 1072218981 /nfs/dbraw/zinc/21/89/81/1072218981.db2.gz HCDMFMABANTXEB-KVZIAJEVSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000590710482 1072219047 /nfs/dbraw/zinc/21/90/47/1072219047.db2.gz HCDMFMABANTXEB-NKELODKYSA-N 0 0 435.569 -0.047 20 0 IBADRN Cn1c(C(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000590738133 1072218901 /nfs/dbraw/zinc/21/89/01/1072218901.db2.gz ZGLACALWEAECAJ-UHFFFAOYSA-N 0 0 426.433 -0.567 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCC3(NS(C)(=O)=O)CCCCC3)cnc21 ZINC000590792213 1072219374 /nfs/dbraw/zinc/21/93/74/1072219374.db2.gz VVCVQRWLIMCGCU-UHFFFAOYSA-N 0 0 445.523 -0.436 20 0 IBADRN Cn1cccc1C(=O)N1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000590792843 1072219386 /nfs/dbraw/zinc/21/93/86/1072219386.db2.gz IABUGBHYOKPGHR-UHFFFAOYSA-N 0 0 432.462 -0.481 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCCN(C)S(C)(=O)=O)CC2)cs1 ZINC000590802615 1072219466 /nfs/dbraw/zinc/21/94/66/1072219466.db2.gz HSXTYNPKMHWJOQ-UHFFFAOYSA-N 0 0 439.585 -0.251 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000590832458 1072219959 /nfs/dbraw/zinc/21/99/59/1072219959.db2.gz OLIYXJYPINERDR-DLBZAZTESA-N 0 0 445.563 -0.994 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000590832464 1072220056 /nfs/dbraw/zinc/22/00/56/1072220056.db2.gz OLIYXJYPINERDR-IAGOWNOFSA-N 0 0 445.563 -0.994 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000590832465 1072220109 /nfs/dbraw/zinc/22/01/09/1072220109.db2.gz OLIYXJYPINERDR-IRXDYDNUSA-N 0 0 445.563 -0.994 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000590832466 1072220016 /nfs/dbraw/zinc/22/00/16/1072220016.db2.gz OLIYXJYPINERDR-SJORKVTESA-N 0 0 445.563 -0.994 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000590832589 1072219529 /nfs/dbraw/zinc/21/95/29/1072219529.db2.gz URIZMSYSFZSEML-CABCVRRESA-N 0 0 449.489 -0.940 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000590832590 1072219395 /nfs/dbraw/zinc/21/93/95/1072219395.db2.gz URIZMSYSFZSEML-GJZGRUSLSA-N 0 0 449.489 -0.940 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000590832591 1072219491 /nfs/dbraw/zinc/21/94/91/1072219491.db2.gz URIZMSYSFZSEML-HUUCEWRRSA-N 0 0 449.489 -0.940 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000590832592 1072219494 /nfs/dbraw/zinc/21/94/94/1072219494.db2.gz URIZMSYSFZSEML-LSDHHAIUSA-N 0 0 449.489 -0.940 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(Cc3nccn3C(F)F)CC2)CC1 ZINC000590865866 1072219965 /nfs/dbraw/zinc/21/99/65/1072219965.db2.gz MXOFPTQDYMQFOI-UHFFFAOYSA-N 0 0 449.528 -0.317 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000590913799 1072220488 /nfs/dbraw/zinc/22/04/88/1072220488.db2.gz LCQZVWUBLXJXNL-AWEZNQCLSA-N 0 0 444.536 -0.219 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000590913800 1072220472 /nfs/dbraw/zinc/22/04/72/1072220472.db2.gz LCQZVWUBLXJXNL-CQSZACIVSA-N 0 0 444.536 -0.219 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000590918274 1072221003 /nfs/dbraw/zinc/22/10/03/1072221003.db2.gz LBHVNIVZWLRWNY-INIZCTEOSA-N 0 0 440.566 -0.048 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000590918275 1072221058 /nfs/dbraw/zinc/22/10/58/1072221058.db2.gz LBHVNIVZWLRWNY-MRXNPFEDSA-N 0 0 440.566 -0.048 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2C)CC1 ZINC000590918292 1072220477 /nfs/dbraw/zinc/22/04/77/1072220477.db2.gz TWPJSDJPESALRI-HNNXBMFYSA-N 0 0 426.539 -0.059 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2C)CC1 ZINC000590918293 1072220967 /nfs/dbraw/zinc/22/09/67/1072220967.db2.gz TWPJSDJPESALRI-OAHLLOKOSA-N 0 0 426.539 -0.059 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2c(C)oc(C)c2S(N)(=O)=O)CC1 ZINC000590918387 1072220959 /nfs/dbraw/zinc/22/09/59/1072220959.db2.gz OIOXAUQGRQMJQI-GFCCVEGCSA-N 0 0 430.527 -0.157 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2c(C)oc(C)c2S(N)(=O)=O)CC1 ZINC000590918388 1072220949 /nfs/dbraw/zinc/22/09/49/1072220949.db2.gz OIOXAUQGRQMJQI-LBPRGKRZSA-N 0 0 430.527 -0.157 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)CC1 ZINC000590918419 1072221048 /nfs/dbraw/zinc/22/10/48/1072221048.db2.gz RYCWLJYYXWVIPU-AWEZNQCLSA-N 0 0 429.543 -0.436 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)CC1 ZINC000590918420 1072221038 /nfs/dbraw/zinc/22/10/38/1072221038.db2.gz RYCWLJYYXWVIPU-CQSZACIVSA-N 0 0 429.543 -0.436 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000590958205 1072221592 /nfs/dbraw/zinc/22/15/92/1072221592.db2.gz WOLPWFDNHPNTNI-INIZCTEOSA-N 0 0 433.575 -0.720 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000590958206 1072221488 /nfs/dbraw/zinc/22/14/88/1072221488.db2.gz WOLPWFDNHPNTNI-MRXNPFEDSA-N 0 0 433.575 -0.720 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000590965403 1072221585 /nfs/dbraw/zinc/22/15/85/1072221585.db2.gz CLZZBPICTOWALP-HNNXBMFYSA-N 0 0 442.538 -0.602 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000590965404 1072221400 /nfs/dbraw/zinc/22/14/00/1072221400.db2.gz CLZZBPICTOWALP-OAHLLOKOSA-N 0 0 442.538 -0.602 20 0 IBADRN O=C(NCC1(O)CCOCC1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000590967934 1072221442 /nfs/dbraw/zinc/22/14/42/1072221442.db2.gz QXZMLHJBAZVKFR-AWEZNQCLSA-N 0 0 447.535 -0.185 20 0 IBADRN O=C(NCC1(O)CCOCC1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000590967935 1072221513 /nfs/dbraw/zinc/22/15/13/1072221513.db2.gz QXZMLHJBAZVKFR-CQSZACIVSA-N 0 0 447.535 -0.185 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1)S(C)(=O)=O ZINC000590988747 1072222025 /nfs/dbraw/zinc/22/20/25/1072222025.db2.gz WFSSOFQCMPPFFV-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000591027099 1072223074 /nfs/dbraw/zinc/22/30/74/1072223074.db2.gz DUZJIHNARDKONF-UHFFFAOYSA-N 0 0 435.528 -0.886 20 0 IBADRN CN(CC(=O)N1CCCC1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000591027374 1072222962 /nfs/dbraw/zinc/22/29/62/1072222962.db2.gz SCRWVUBILJINAW-UHFFFAOYSA-N 0 0 438.554 -0.203 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000591029731 1072222556 /nfs/dbraw/zinc/22/25/56/1072222556.db2.gz YWOZPNJOMGTTKB-UHFFFAOYSA-N 0 0 447.539 -0.742 20 0 IBADRN CC(=O)Nc1cccc(OCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000591030218 1072222534 /nfs/dbraw/zinc/22/25/34/1072222534.db2.gz JIBNDHJRFFPLFD-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN CC[C@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000591030402 1072222490 /nfs/dbraw/zinc/22/24/90/1072222490.db2.gz WPKMXLHIMGWAKU-INIZCTEOSA-N 0 0 447.583 -0.339 20 0 IBADRN CC[C@@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000591030403 1072222570 /nfs/dbraw/zinc/22/25/70/1072222570.db2.gz WPKMXLHIMGWAKU-MRXNPFEDSA-N 0 0 447.583 -0.339 20 0 IBADRN CC[C@H](NC(=O)N1CCN(S(=O)(=O)N(C)C)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000591033682 1072222508 /nfs/dbraw/zinc/22/25/08/1072222508.db2.gz DOHSUHJTNMGHIF-HNNXBMFYSA-N 0 0 433.556 -0.081 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(S(=O)(=O)N(C)C)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000591033683 1072222414 /nfs/dbraw/zinc/22/24/14/1072222414.db2.gz DOHSUHJTNMGHIF-OAHLLOKOSA-N 0 0 433.556 -0.081 20 0 IBADRN CCN1CCN(CC(=O)Nc2cccc(OCc3nc(N)nc(N(C)C)n3)c2)C(=O)C1=O ZINC000591046566 1072222439 /nfs/dbraw/zinc/22/24/39/1072222439.db2.gz DASLTZRKIBPJSV-UHFFFAOYSA-N 0 0 442.480 -0.272 20 0 IBADRN C[C@@H](NC(=O)c1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000591049404 1072222452 /nfs/dbraw/zinc/22/24/52/1072222452.db2.gz GJYBNPITWDJYRH-CYBMUJFWSA-N 0 0 429.520 -0.398 20 0 IBADRN C[C@H](NC(=O)c1cccc(N2CCCS2(=O)=O)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000591049411 1072222574 /nfs/dbraw/zinc/22/25/74/1072222574.db2.gz GJYBNPITWDJYRH-ZDUSSCGKSA-N 0 0 429.520 -0.398 20 0 IBADRN C[C@@H](NC(=O)Cn1cnc2c3ccccc3oc2c1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000591049494 1072222482 /nfs/dbraw/zinc/22/24/82/1072222482.db2.gz MXAMZQTZYAGAGI-GFCCVEGCSA-N 0 0 432.458 -0.096 20 0 IBADRN C[C@H](NC(=O)Cn1cnc2c3ccccc3oc2c1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000591049495 1072222527 /nfs/dbraw/zinc/22/25/27/1072222527.db2.gz MXAMZQTZYAGAGI-LBPRGKRZSA-N 0 0 432.458 -0.096 20 0 IBADRN C[C@@H](NC(=O)c1ccc2c(c1)CCN2S(C)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000591049678 1072222565 /nfs/dbraw/zinc/22/25/65/1072222565.db2.gz SRWCCYITBVLTGK-GFCCVEGCSA-N 0 0 429.520 -0.616 20 0 IBADRN C[C@H](NC(=O)c1ccc2c(c1)CCN2S(C)(=O)=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000591049681 1072222517 /nfs/dbraw/zinc/22/25/17/1072222517.db2.gz SRWCCYITBVLTGK-LBPRGKRZSA-N 0 0 429.520 -0.616 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(Cl)c(OCC(N)=O)c(OC)c1 ZINC000591050210 1072222542 /nfs/dbraw/zinc/22/25/42/1072222542.db2.gz WTJGXGGKYXXNAS-GFCCVEGCSA-N 0 0 426.857 -0.321 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(Cl)c(OCC(N)=O)c(OC)c1 ZINC000591050211 1072222400 /nfs/dbraw/zinc/22/24/00/1072222400.db2.gz WTJGXGGKYXXNAS-LBPRGKRZSA-N 0 0 426.857 -0.321 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000591050760 1072222500 /nfs/dbraw/zinc/22/25/00/1072222500.db2.gz OSHPNVLIMFULAV-GFCCVEGCSA-N 0 0 444.535 -0.764 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000591050761 1072222918 /nfs/dbraw/zinc/22/29/18/1072222918.db2.gz OSHPNVLIMFULAV-LBPRGKRZSA-N 0 0 444.535 -0.764 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN(C)S(=O)(=O)c1ccc(Cl)cc1 ZINC000591050914 1072222548 /nfs/dbraw/zinc/22/25/48/1072222548.db2.gz YUWZPYMMEDDORN-AWEZNQCLSA-N 0 0 430.914 -0.186 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN(C)S(=O)(=O)c1ccc(Cl)cc1 ZINC000591050917 1072222429 /nfs/dbraw/zinc/22/24/29/1072222429.db2.gz YUWZPYMMEDDORN-CQSZACIVSA-N 0 0 430.914 -0.186 20 0 IBADRN COc1ccc(CC(=O)N2CCNC(=O)C2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000591051902 1072222878 /nfs/dbraw/zinc/22/28/78/1072222878.db2.gz UWBMFCACVYSPIB-UHFFFAOYSA-N 0 0 425.507 -0.004 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N[C@H](C(=O)Nc3cc[nH]n3)c3ccccc3)nc2n(C)c1=O ZINC000591057727 1073353453 /nfs/dbraw/zinc/35/34/53/1073353453.db2.gz DYSDYUXVMLIBFN-INIZCTEOSA-N 0 0 433.428 0.465 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N[C@@H](C(=O)Nc3cc[nH]n3)c3ccccc3)nc2n(C)c1=O ZINC000591057731 1073353529 /nfs/dbraw/zinc/35/35/29/1073353529.db2.gz DYSDYUXVMLIBFN-MRXNPFEDSA-N 0 0 433.428 0.465 20 0 IBADRN Cn1cnnc1CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000591060071 1072223513 /nfs/dbraw/zinc/22/35/13/1072223513.db2.gz BQQVBACUJMSFDB-UHFFFAOYSA-N 0 0 434.522 -0.213 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000591061137 1072224082 /nfs/dbraw/zinc/22/40/82/1072224082.db2.gz PRFQUPBDHADKMA-HNNXBMFYSA-N 0 0 434.536 -0.113 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000591061138 1072223941 /nfs/dbraw/zinc/22/39/41/1072223941.db2.gz PRFQUPBDHADKMA-OAHLLOKOSA-N 0 0 434.536 -0.113 20 0 IBADRN CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000591070848 1072223924 /nfs/dbraw/zinc/22/39/24/1072223924.db2.gz VXYWZUQUOXTKIX-HNNXBMFYSA-N 0 0 433.575 -0.170 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)c1 ZINC000591072284 1072224067 /nfs/dbraw/zinc/22/40/67/1072224067.db2.gz VTPYQBOYNGVQJG-UHFFFAOYSA-N 0 0 425.488 -0.041 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)NCc1ccc(C(=O)NCC(N)=O)cc1 ZINC000591074088 1072223936 /nfs/dbraw/zinc/22/39/36/1072223936.db2.gz RTIHXQNOSURGIP-KRWDZBQOSA-N 0 0 436.476 -0.194 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)NCc1ccc(C(=O)NCC(N)=O)cc1 ZINC000591074089 1072224057 /nfs/dbraw/zinc/22/40/57/1072224057.db2.gz RTIHXQNOSURGIP-QGZVFWFLSA-N 0 0 436.476 -0.194 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2C(C)C)c[nH]1 ZINC000591084664 1072222926 /nfs/dbraw/zinc/22/29/26/1072222926.db2.gz OZENRXSGWMSFSK-CVEARBPZSA-N 0 0 439.538 -0.244 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2C(C)C)c[nH]1 ZINC000591084665 1072222850 /nfs/dbraw/zinc/22/28/50/1072222850.db2.gz OZENRXSGWMSFSK-HOTGVXAUSA-N 0 0 439.538 -0.244 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@H]2C(C)C)c[nH]1 ZINC000591084666 1072223009 /nfs/dbraw/zinc/22/30/09/1072223009.db2.gz OZENRXSGWMSFSK-HZPDHXFCSA-N 0 0 439.538 -0.244 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1S(=O)(=O)c1c[nH]c(C(=O)N2CCNC(=O)[C@@H]2C(C)C)c1 ZINC000591084667 1072222861 /nfs/dbraw/zinc/22/28/61/1072222861.db2.gz OZENRXSGWMSFSK-JKSUJKDBSA-N 0 0 439.538 -0.244 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCOC[C@@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000591084814 1072223060 /nfs/dbraw/zinc/22/30/60/1072223060.db2.gz DBYCEPWECOCBFO-KPZWWZAWSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCOC[C@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000591084815 1072222933 /nfs/dbraw/zinc/22/29/33/1072222933.db2.gz DBYCEPWECOCBFO-KZULUSFZSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCOC[C@@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000591084816 1072222977 /nfs/dbraw/zinc/22/29/77/1072222977.db2.gz DBYCEPWECOCBFO-SGTLLEGYSA-N 0 0 426.495 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCOC[C@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000591084817 1072223044 /nfs/dbraw/zinc/22/30/44/1072223044.db2.gz DBYCEPWECOCBFO-XIKOKIGWSA-N 0 0 426.495 -0.251 20 0 IBADRN C[C@H]1COCCN1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000591085113 1072223554 /nfs/dbraw/zinc/22/35/54/1072223554.db2.gz YZGNCZAEZLAHON-HNNXBMFYSA-N 0 0 433.552 -0.294 20 0 IBADRN C[C@@H]1COCCN1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000591085114 1072223484 /nfs/dbraw/zinc/22/34/84/1072223484.db2.gz YZGNCZAEZLAHON-OAHLLOKOSA-N 0 0 433.552 -0.294 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)c1cc(S(=O)(=O)NCCN2CCOC[C@@H]2C)c[nH]1 ZINC000591085115 1072223529 /nfs/dbraw/zinc/22/35/29/1072223529.db2.gz ZOAQPAKMZZMCHW-CVEARBPZSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)c1cc(S(=O)(=O)NCCN2CCOC[C@@H]2C)c[nH]1 ZINC000591085116 1072223558 /nfs/dbraw/zinc/22/35/58/1072223558.db2.gz ZOAQPAKMZZMCHW-HOTGVXAUSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)c1cc(S(=O)(=O)NCCN2CCOC[C@H]2C)c[nH]1 ZINC000591085117 1072223537 /nfs/dbraw/zinc/22/35/37/1072223537.db2.gz ZOAQPAKMZZMCHW-HZPDHXFCSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@@H]1COCCN1CCNS(=O)(=O)c1c[nH]c(C(=O)NCCN2CCOC[C@@H]2C)c1 ZINC000591085118 1072223645 /nfs/dbraw/zinc/22/36/45/1072223645.db2.gz ZOAQPAKMZZMCHW-JKSUJKDBSA-N 0 0 443.570 -0.536 20 0 IBADRN COc1ccc(OC)c(CN2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000591086423 1072223461 /nfs/dbraw/zinc/22/34/61/1072223461.db2.gz CWEMMOPQOUWCQH-UHFFFAOYSA-N 0 0 433.552 -0.203 20 0 IBADRN C[C@@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000591086470 1072223541 /nfs/dbraw/zinc/22/35/41/1072223541.db2.gz LMUGWSPEVYYOFH-CYBMUJFWSA-N 0 0 444.535 -0.343 20 0 IBADRN C[C@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)S(=O)(=O)N1CCS(=O)(=O)CC1 ZINC000591086471 1072223492 /nfs/dbraw/zinc/22/34/92/1072223492.db2.gz LMUGWSPEVYYOFH-ZDUSSCGKSA-N 0 0 444.535 -0.343 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC(CS(N)(=O)=O)CC2)cc1 ZINC000591087808 1072223522 /nfs/dbraw/zinc/22/35/22/1072223522.db2.gz WECUMQPDTYWQBG-UHFFFAOYSA-N 0 0 446.551 -0.207 20 0 IBADRN COc1ccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N(C)C ZINC000591088936 1072223634 /nfs/dbraw/zinc/22/36/34/1072223634.db2.gz WKWBJDJTDIPOTF-GFCCVEGCSA-N 0 0 447.535 -0.679 20 0 IBADRN COc1ccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N(C)C ZINC000591088937 1072223663 /nfs/dbraw/zinc/22/36/63/1072223663.db2.gz WKWBJDJTDIPOTF-LBPRGKRZSA-N 0 0 447.535 -0.679 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CNC(=O)c1cc(OC)c(OC)c(OC)c1 ZINC000591090024 1072223548 /nfs/dbraw/zinc/22/35/48/1072223548.db2.gz LNJMUUZHQIXUPY-CYBMUJFWSA-N 0 0 436.465 -0.705 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CNC(=O)c1cc(OC)c(OC)c(OC)c1 ZINC000591090025 1072223563 /nfs/dbraw/zinc/22/35/63/1072223563.db2.gz LNJMUUZHQIXUPY-ZDUSSCGKSA-N 0 0 436.465 -0.705 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000591096783 1072223468 /nfs/dbraw/zinc/22/34/68/1072223468.db2.gz ILXIUIAPXOETRJ-KRWDZBQOSA-N 0 0 440.508 -0.432 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000591096786 1072223480 /nfs/dbraw/zinc/22/34/80/1072223480.db2.gz ILXIUIAPXOETRJ-QGZVFWFLSA-N 0 0 440.508 -0.432 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000591101277 1072223982 /nfs/dbraw/zinc/22/39/82/1072223982.db2.gz HJCSEBOQFZCANT-UHFFFAOYSA-N 0 0 440.570 -0.292 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCCNS(C)(=O)=O)cc1Br ZINC000591121773 1072223929 /nfs/dbraw/zinc/22/39/29/1072223929.db2.gz JFGRZXJPHPNPSI-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000591123428 1072224002 /nfs/dbraw/zinc/22/40/02/1072224002.db2.gz XCTOQEOAOSUIIJ-IBGZPJMESA-N 0 0 436.534 -0.319 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000591123429 1072223974 /nfs/dbraw/zinc/22/39/74/1072223974.db2.gz XCTOQEOAOSUIIJ-LJQANCHMSA-N 0 0 436.534 -0.319 20 0 IBADRN O=C(c1ccc(-n2cnnn2)cc1Cl)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000591123440 1072223918 /nfs/dbraw/zinc/22/39/18/1072223918.db2.gz YIZMTEMKQNAQKF-UHFFFAOYSA-N 0 0 441.901 -0.350 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000591136058 1072223965 /nfs/dbraw/zinc/22/39/65/1072223965.db2.gz CVWBRGGSCFQJTD-UHFFFAOYSA-N 0 0 425.507 -0.098 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC000591136062 1072223934 /nfs/dbraw/zinc/22/39/34/1072223934.db2.gz DKYHONBOXLOZEU-UHFFFAOYSA-N 0 0 440.570 -0.292 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(-n3cnnn3)cc2Cl)CC1 ZINC000591145051 1072224547 /nfs/dbraw/zinc/22/45/47/1072224547.db2.gz SYQLCLXDEJPNRY-UHFFFAOYSA-N 0 0 431.840 -0.251 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591145080 1072224569 /nfs/dbraw/zinc/22/45/69/1072224569.db2.gz WNWAJINLPQQGHS-GOSISDBHSA-N 0 0 426.473 -0.220 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591145081 1072224416 /nfs/dbraw/zinc/22/44/16/1072224416.db2.gz WNWAJINLPQQGHS-SFHVURJKSA-N 0 0 426.473 -0.220 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000591146225 1072224597 /nfs/dbraw/zinc/22/45/97/1072224597.db2.gz TUTSNRDCJQEBAH-DLBZAZTESA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000591146242 1072224509 /nfs/dbraw/zinc/22/45/09/1072224509.db2.gz TUTSNRDCJQEBAH-IAGOWNOFSA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000591146243 1072224576 /nfs/dbraw/zinc/22/45/76/1072224576.db2.gz TUTSNRDCJQEBAH-IRXDYDNUSA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000591146244 1072224523 /nfs/dbraw/zinc/22/45/23/1072224523.db2.gz TUTSNRDCJQEBAH-SJORKVTESA-N 0 0 430.571 -0.513 20 0 IBADRN COCCCN(C(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)[C@@H]1CCS(=O)(=O)C1 ZINC000591156086 1072224423 /nfs/dbraw/zinc/22/44/23/1072224423.db2.gz VVXSLPLPIGKVSP-GFCCVEGCSA-N 0 0 426.495 -0.759 20 0 IBADRN COCCCN(C(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)[C@H]1CCS(=O)(=O)C1 ZINC000591156093 1072224608 /nfs/dbraw/zinc/22/46/08/1072224608.db2.gz VVXSLPLPIGKVSP-LBPRGKRZSA-N 0 0 426.495 -0.759 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000591157186 1072224501 /nfs/dbraw/zinc/22/45/01/1072224501.db2.gz AXAHQNUSTDAIMY-CABCVRRESA-N 0 0 448.567 -0.408 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1C ZINC000591157187 1072224889 /nfs/dbraw/zinc/22/48/89/1072224889.db2.gz AXAHQNUSTDAIMY-GJZGRUSLSA-N 0 0 448.567 -0.408 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000591157188 1072224928 /nfs/dbraw/zinc/22/49/28/1072224928.db2.gz AXAHQNUSTDAIMY-HUUCEWRRSA-N 0 0 448.567 -0.408 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1C ZINC000591157189 1072224966 /nfs/dbraw/zinc/22/49/66/1072224966.db2.gz AXAHQNUSTDAIMY-LSDHHAIUSA-N 0 0 448.567 -0.408 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000591157245 1072224894 /nfs/dbraw/zinc/22/48/94/1072224894.db2.gz FEHTYPYZWGBRSB-CABCVRRESA-N 0 0 431.536 -0.055 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000591157246 1072224904 /nfs/dbraw/zinc/22/49/04/1072224904.db2.gz FEHTYPYZWGBRSB-GJZGRUSLSA-N 0 0 431.536 -0.055 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000591157247 1072224948 /nfs/dbraw/zinc/22/49/48/1072224948.db2.gz FEHTYPYZWGBRSB-HUUCEWRRSA-N 0 0 431.536 -0.055 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000591157248 1072224885 /nfs/dbraw/zinc/22/48/85/1072224885.db2.gz FEHTYPYZWGBRSB-LSDHHAIUSA-N 0 0 431.536 -0.055 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000591157482 1072224556 /nfs/dbraw/zinc/22/45/56/1072224556.db2.gz LJXUSFIUMQUQBA-BZSNNMDCSA-N 0 0 443.566 -0.638 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000591157483 1072224454 /nfs/dbraw/zinc/22/44/54/1072224454.db2.gz LJXUSFIUMQUQBA-KSZLIROESA-N 0 0 443.566 -0.638 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000591157484 1072224472 /nfs/dbraw/zinc/22/44/72/1072224472.db2.gz LJXUSFIUMQUQBA-OKZBNKHCSA-N 0 0 443.566 -0.638 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000591157485 1072224441 /nfs/dbraw/zinc/22/44/41/1072224441.db2.gz LJXUSFIUMQUQBA-RCCFBDPRSA-N 0 0 443.566 -0.638 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1C ZINC000591157877 1072224912 /nfs/dbraw/zinc/22/49/12/1072224912.db2.gz RHYMEEZEGCODFG-KBPBESRZSA-N 0 0 434.540 -0.798 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000591157878 1072224880 /nfs/dbraw/zinc/22/48/80/1072224880.db2.gz RHYMEEZEGCODFG-KGLIPLIRSA-N 0 0 434.540 -0.798 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1C ZINC000591157879 1072224877 /nfs/dbraw/zinc/22/48/77/1072224877.db2.gz RHYMEEZEGCODFG-UONOGXRCSA-N 0 0 434.540 -0.798 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000591157880 1072224901 /nfs/dbraw/zinc/22/49/01/1072224901.db2.gz RHYMEEZEGCODFG-ZIAGYGMSSA-N 0 0 434.540 -0.798 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)NCCN1CCS(=O)(=O)CC1 ZINC000591159471 1072224940 /nfs/dbraw/zinc/22/49/40/1072224940.db2.gz NIOFMQFNYORTPV-UHFFFAOYSA-N 0 0 443.469 -0.170 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCN(CC(N)=O)CC1 ZINC000591162285 1072224935 /nfs/dbraw/zinc/22/49/35/1072224935.db2.gz FUXUJSIKLAVBLX-SFHVURJKSA-N 0 0 440.522 -0.610 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCN(CC(N)=O)CC1 ZINC000591162299 1072224915 /nfs/dbraw/zinc/22/49/15/1072224915.db2.gz LVSCLHWVPYLALA-ZDUSSCGKSA-N 0 0 426.495 -0.856 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(-n2cnnn2)cc1Cl ZINC000591170793 1072224871 /nfs/dbraw/zinc/22/48/71/1072224871.db2.gz WNHRMKIOBJEQOQ-CABCVRRESA-N 0 0 426.886 -0.457 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(-n2cnnn2)cc1Cl ZINC000591170795 1072224921 /nfs/dbraw/zinc/22/49/21/1072224921.db2.gz WNHRMKIOBJEQOQ-GJZGRUSLSA-N 0 0 426.886 -0.457 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(-n2cnnn2)cc1Cl ZINC000591170797 1072224925 /nfs/dbraw/zinc/22/49/25/1072224925.db2.gz WNHRMKIOBJEQOQ-HUUCEWRRSA-N 0 0 426.886 -0.457 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(-n2cnnn2)cc1Cl ZINC000591170800 1072224898 /nfs/dbraw/zinc/22/48/98/1072224898.db2.gz WNHRMKIOBJEQOQ-LSDHHAIUSA-N 0 0 426.886 -0.457 20 0 IBADRN C[C@H](CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000591170899 1072224588 /nfs/dbraw/zinc/22/45/88/1072224588.db2.gz ZSYRXQYRPJYXCY-COXVUDFISA-N 0 0 448.538 -0.008 20 0 IBADRN C[C@H](CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000591170900 1072224541 /nfs/dbraw/zinc/22/45/41/1072224541.db2.gz ZSYRXQYRPJYXCY-KBRIMQKVSA-N 0 0 448.538 -0.008 20 0 IBADRN C[C@H](CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000591170901 1072224492 /nfs/dbraw/zinc/22/44/92/1072224492.db2.gz ZSYRXQYRPJYXCY-XOKHGSTOSA-N 0 0 448.538 -0.008 20 0 IBADRN C[C@H](CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000591170902 1072224436 /nfs/dbraw/zinc/22/44/36/1072224436.db2.gz ZSYRXQYRPJYXCY-XYPHTWIQSA-N 0 0 448.538 -0.008 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000591171133 1072223960 /nfs/dbraw/zinc/22/39/60/1072223960.db2.gz IICWXZDOHHPZDQ-HNNXBMFYSA-N 0 0 425.507 -0.004 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000591171134 1072223951 /nfs/dbraw/zinc/22/39/51/1072223951.db2.gz IICWXZDOHHPZDQ-OAHLLOKOSA-N 0 0 425.507 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCCC[C@H]2CNC(N)=O)c1 ZINC000591179795 1072224486 /nfs/dbraw/zinc/22/44/86/1072224486.db2.gz FAGXPFFMRLGGAB-AWEZNQCLSA-N 0 0 427.527 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCCC[C@@H]2CNC(N)=O)c1 ZINC000591179796 1072224405 /nfs/dbraw/zinc/22/44/05/1072224405.db2.gz FAGXPFFMRLGGAB-CQSZACIVSA-N 0 0 427.527 -0.072 20 0 IBADRN COCCOc1cn(-c2ccccc2)nc1C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000591189032 1072225456 /nfs/dbraw/zinc/22/54/56/1072225456.db2.gz OYLREIXGFGUHAO-UHFFFAOYSA-N 0 0 440.464 -0.131 20 0 IBADRN O=C(CNC(=O)c1ccc(C(F)(F)F)cc1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000591189258 1072225408 /nfs/dbraw/zinc/22/54/08/1072225408.db2.gz WZZQFAZBGIDTBB-UHFFFAOYSA-N 0 0 425.371 -0.207 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000591194472 1072224961 /nfs/dbraw/zinc/22/49/61/1072224961.db2.gz CBKCJVGJXKQNAU-JYJNAYRXSA-N 0 0 427.523 -0.738 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000591194480 1072224954 /nfs/dbraw/zinc/22/49/54/1072224954.db2.gz CBKCJVGJXKQNAU-OAGGEKHMSA-N 0 0 427.523 -0.738 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000591194481 1072225392 /nfs/dbraw/zinc/22/53/92/1072225392.db2.gz CBKCJVGJXKQNAU-PMPSAXMXSA-N 0 0 427.523 -0.738 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000591194482 1072225518 /nfs/dbraw/zinc/22/55/18/1072225518.db2.gz CBKCJVGJXKQNAU-XHSDSOJGSA-N 0 0 427.523 -0.738 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCCC[C@H]2C(=O)N2CCOCC2)cn1C ZINC000591195834 1072225511 /nfs/dbraw/zinc/22/55/11/1072225511.db2.gz LMOIMRINVRYMBM-HNNXBMFYSA-N 0 0 427.527 -0.363 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCCC[C@@H]2C(=O)N2CCOCC2)cn1C ZINC000591195843 1072225529 /nfs/dbraw/zinc/22/55/29/1072225529.db2.gz LMOIMRINVRYMBM-OAHLLOKOSA-N 0 0 427.527 -0.363 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)Cn2ccc(=O)n(C)c2=O)s1 ZINC000591220559 1072225475 /nfs/dbraw/zinc/22/54/75/1072225475.db2.gz QAKUSGBQCNKNFV-UHFFFAOYSA-N 0 0 428.536 -0.002 20 0 IBADRN CC(=O)Nc1cccc(OCCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000591224215 1072226424 /nfs/dbraw/zinc/22/64/24/1072226424.db2.gz FEVMTQSOEVBQPQ-UHFFFAOYSA-N 0 0 428.449 -0.023 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCN3CCOC[C@@H]3C)c2)CC1 ZINC000591228520 1072226372 /nfs/dbraw/zinc/22/63/72/1072226372.db2.gz WPYCNGPXIGQRFD-INIZCTEOSA-N 0 0 438.550 -0.010 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCN3CCOC[C@H]3C)c2)CC1 ZINC000591228521 1072226289 /nfs/dbraw/zinc/22/62/89/1072226289.db2.gz WPYCNGPXIGQRFD-MRXNPFEDSA-N 0 0 438.550 -0.010 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Cc2ccc(S(N)(=O)=O)s2)c1 ZINC000591259397 1072225984 /nfs/dbraw/zinc/22/59/84/1072225984.db2.gz CQZQLKSKRSHHIL-UHFFFAOYSA-N 0 0 446.532 -0.399 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c1 ZINC000591259421 1072225972 /nfs/dbraw/zinc/22/59/72/1072225972.db2.gz FBSYJAYDAXODKX-UHFFFAOYSA-N 0 0 446.445 -0.689 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)c1 ZINC000591259469 1072225936 /nfs/dbraw/zinc/22/59/36/1072225936.db2.gz HOMADYICCSKBKR-GOSISDBHSA-N 0 0 437.478 -0.630 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)c1 ZINC000591259477 1072225876 /nfs/dbraw/zinc/22/58/76/1072225876.db2.gz HOMADYICCSKBKR-SFHVURJKSA-N 0 0 437.478 -0.630 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cccc(S(=O)(=O)NC)c2)c1 ZINC000591259508 1072225497 /nfs/dbraw/zinc/22/54/97/1072225497.db2.gz FJKNNAMTQZZSEG-UHFFFAOYSA-N 0 0 440.503 -0.129 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000591259534 1072225414 /nfs/dbraw/zinc/22/54/14/1072225414.db2.gz HYONECNFMFMORC-UHFFFAOYSA-N 0 0 437.478 -0.486 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000591259617 1072225508 /nfs/dbraw/zinc/22/55/08/1072225508.db2.gz FWFIHKOSORSRPW-AWEZNQCLSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000591259618 1072225962 /nfs/dbraw/zinc/22/59/62/1072225962.db2.gz FWFIHKOSORSRPW-CQSZACIVSA-N 0 0 432.524 -0.537 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000591259674 1072225490 /nfs/dbraw/zinc/22/54/90/1072225490.db2.gz JGJNNHDBWYYFKN-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Cn2c(=O)[nH]c3ccccc3c2=O)c1 ZINC000591259748 1072225423 /nfs/dbraw/zinc/22/54/23/1072225423.db2.gz KDYCMQTZGNFYHI-UHFFFAOYSA-N 0 0 445.457 -0.235 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)c1 ZINC000591259928 1072225898 /nfs/dbraw/zinc/22/58/98/1072225898.db2.gz DFLZBUVRZSRJIC-UHFFFAOYSA-N 0 0 443.507 -0.458 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)C1 ZINC000591259994 1072225884 /nfs/dbraw/zinc/22/58/84/1072225884.db2.gz GENXACIFDXGPSM-CYBMUJFWSA-N 0 0 446.551 -0.289 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)C1 ZINC000591259995 1072225866 /nfs/dbraw/zinc/22/58/66/1072225866.db2.gz GENXACIFDXGPSM-ZDUSSCGKSA-N 0 0 446.551 -0.289 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)cc1 ZINC000591260033 1072225814 /nfs/dbraw/zinc/22/58/14/1072225814.db2.gz LBICTYQRUNJPOZ-UHFFFAOYSA-N 0 0 440.503 -0.129 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CCCN2C(=O)NC(C)(C)C2=O)c1 ZINC000591260047 1072225948 /nfs/dbraw/zinc/22/59/48/1072225948.db2.gz MJZOKJQAUMFKEQ-UHFFFAOYSA-N 0 0 439.494 -0.240 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)C1=O ZINC000591260074 1072225837 /nfs/dbraw/zinc/22/58/37/1072225837.db2.gz OUEUSQUQZQIJKP-UHFFFAOYSA-N 0 0 439.494 -0.240 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cc(S(N)(=O)=O)ccc2C)c1 ZINC000591260097 1072226006 /nfs/dbraw/zinc/22/60/06/1072226006.db2.gz PRJSGSDBQBIBQP-UHFFFAOYSA-N 0 0 440.503 -0.081 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)o1 ZINC000591260381 1072225912 /nfs/dbraw/zinc/22/59/12/1072225912.db2.gz NUPZSTZHHDEVCF-UHFFFAOYSA-N 0 0 430.464 -0.536 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Cn2nnc3ccccc3c2=O)c1 ZINC000591260397 1072226000 /nfs/dbraw/zinc/22/60/00/1072226000.db2.gz YHWBTDVWEXHQOD-UHFFFAOYSA-N 0 0 430.446 -0.546 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(S(=O)(=O)N(C)C)o2)c1 ZINC000591260437 1072226026 /nfs/dbraw/zinc/22/60/26/1072226026.db2.gz QOPKZDDTKFKNQS-UHFFFAOYSA-N 0 0 444.491 -0.194 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CCCS(=O)(=O)N2CCCC2)c1 ZINC000591260607 1072225851 /nfs/dbraw/zinc/22/58/51/1072225851.db2.gz WERNGIAVVDBWLQ-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2sc(S(N)(=O)=O)cc2C)c1 ZINC000591262167 1072226017 /nfs/dbraw/zinc/22/60/17/1072226017.db2.gz XKSNQRMBERDOGI-UHFFFAOYSA-N 0 0 446.532 -0.019 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000591295887 1072226546 /nfs/dbraw/zinc/22/65/46/1072226546.db2.gz BJVKDHRWZMYHKQ-HNNXBMFYSA-N 0 0 433.527 -0.175 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000591295888 1072226389 /nfs/dbraw/zinc/22/63/89/1072226389.db2.gz BJVKDHRWZMYHKQ-OAHLLOKOSA-N 0 0 433.527 -0.175 20 0 IBADRN CCN1CCN(CC(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)C(=O)C1=O ZINC000591296284 1072226412 /nfs/dbraw/zinc/22/64/12/1072226412.db2.gz NHQXLMAINJDJRC-HNNXBMFYSA-N 0 0 440.497 -0.214 20 0 IBADRN CCN1CCN(CC(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)C(=O)C1=O ZINC000591296285 1072226400 /nfs/dbraw/zinc/22/64/00/1072226400.db2.gz NHQXLMAINJDJRC-OAHLLOKOSA-N 0 0 440.497 -0.214 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000591337057 1072227059 /nfs/dbraw/zinc/22/70/59/1072227059.db2.gz XOZUGAAFGFTUDU-UHFFFAOYSA-N 0 0 428.449 -0.023 20 0 IBADRN CNC(=O)[C@@H]1CCCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000591373366 1072228143 /nfs/dbraw/zinc/22/81/43/1072228143.db2.gz GAGVNHUFDGRMQQ-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CNC(=O)[C@H]1CCCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000591373367 1072228067 /nfs/dbraw/zinc/22/80/67/1072228067.db2.gz GAGVNHUFDGRMQQ-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN CNC(=O)[C@@H]1CCCCN1CC(=O)N(CCOC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000591373606 1072227321 /nfs/dbraw/zinc/22/73/21/1072227321.db2.gz QCDYCFKNJYAYJC-AWEZNQCLSA-N 0 0 438.529 -0.233 20 0 IBADRN CNC(=O)[C@H]1CCCCN1CC(=O)N(CCOC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000591373607 1072227390 /nfs/dbraw/zinc/22/73/90/1072227390.db2.gz QCDYCFKNJYAYJC-CQSZACIVSA-N 0 0 438.529 -0.233 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)c1 ZINC000591379946 1072228146 /nfs/dbraw/zinc/22/81/46/1072228146.db2.gz QCRMKKQCIBLGQQ-KRWDZBQOSA-N 0 0 437.566 -0.457 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)c1 ZINC000591379947 1072228135 /nfs/dbraw/zinc/22/81/35/1072228135.db2.gz QCRMKKQCIBLGQQ-QGZVFWFLSA-N 0 0 437.566 -0.457 20 0 IBADRN CC(=O)N1CCN(CCNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000591387045 1072227530 /nfs/dbraw/zinc/22/75/30/1072227530.db2.gz GLLMXFQTACJQHG-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cnn(Cc3ccccc3)c2)CC1 ZINC000591387927 1072227441 /nfs/dbraw/zinc/22/74/41/1072227441.db2.gz COWMZCBITQTEFP-UHFFFAOYSA-N 0 0 445.501 -0.087 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cnn(-c3ccccc3)c2)CC1 ZINC000591388032 1072227552 /nfs/dbraw/zinc/22/75/52/1072227552.db2.gz GNXFSMNJWOXHQZ-UHFFFAOYSA-N 0 0 431.474 -0.146 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591388479 1072227401 /nfs/dbraw/zinc/22/74/01/1072227401.db2.gz QFDBSTYRTABWGG-UHFFFAOYSA-N 0 0 443.503 -0.928 20 0 IBADRN Cc1nn(C)c2ncc(S(=O)(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc12 ZINC000591388504 1072227518 /nfs/dbraw/zinc/22/75/18/1072227518.db2.gz RZVHZPWJXVLBKJ-UHFFFAOYSA-N 0 0 434.478 -0.741 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(F)c1 ZINC000591388523 1072227339 /nfs/dbraw/zinc/22/73/39/1072227339.db2.gz USJWPTHMFCDPGS-UHFFFAOYSA-N 0 0 431.417 -0.045 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCCN2CCC[C@H]2C(=O)N(C)C)c1 ZINC000591391368 1072228695 /nfs/dbraw/zinc/22/86/95/1072228695.db2.gz YMACLAMZFFGIFB-INIZCTEOSA-N 0 0 432.568 -0.184 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCCCN2CCC[C@@H]2C(=O)N(C)C)c1 ZINC000591391377 1072228668 /nfs/dbraw/zinc/22/86/68/1072228668.db2.gz YMACLAMZFFGIFB-MRXNPFEDSA-N 0 0 432.568 -0.184 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC000591392153 1072228592 /nfs/dbraw/zinc/22/85/92/1072228592.db2.gz YGAVZPJGJNBTEU-UHFFFAOYSA-N 0 0 429.543 -0.288 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)cn1C ZINC000591393999 1072228633 /nfs/dbraw/zinc/22/86/33/1072228633.db2.gz LBQQCDRTRBGOFC-UHFFFAOYSA-N 0 0 443.507 -0.790 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000591394004 1072228495 /nfs/dbraw/zinc/22/84/95/1072228495.db2.gz LNQQVCQPIRDJBF-UHFFFAOYSA-N 0 0 426.476 -0.389 20 0 IBADRN COc1ccc(NC(=O)[C@H]2CSCN2S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000591396300 1072228545 /nfs/dbraw/zinc/22/85/45/1072228545.db2.gz DJZIYYJEAYGJRE-CYBMUJFWSA-N 0 0 440.503 -0.205 20 0 IBADRN COc1ccc(NC(=O)[C@@H]2CSCN2S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000591396311 1072228435 /nfs/dbraw/zinc/22/84/35/1072228435.db2.gz DJZIYYJEAYGJRE-ZDUSSCGKSA-N 0 0 440.503 -0.205 20 0 IBADRN Cn1cc(S(=O)(=O)N2CSC[C@@H]2C(=O)Nc2ccc(F)cc2)c(=O)n(C)c1=O ZINC000591397130 1072228573 /nfs/dbraw/zinc/22/85/73/1072228573.db2.gz HMGLGJXYTYMLOH-GFCCVEGCSA-N 0 0 428.467 -0.075 20 0 IBADRN Cn1cc(S(=O)(=O)N2CSC[C@H]2C(=O)Nc2ccc(F)cc2)c(=O)n(C)c1=O ZINC000591397131 1072228642 /nfs/dbraw/zinc/22/86/42/1072228642.db2.gz HMGLGJXYTYMLOH-LBPRGKRZSA-N 0 0 428.467 -0.075 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC1 ZINC000591412411 1072228115 /nfs/dbraw/zinc/22/81/15/1072228115.db2.gz SNFVHMSHELFEMX-HNNXBMFYSA-N 0 0 435.591 -0.427 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC1 ZINC000591412412 1072227997 /nfs/dbraw/zinc/22/79/97/1072227997.db2.gz SNFVHMSHELFEMX-OAHLLOKOSA-N 0 0 435.591 -0.427 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1c(C)nc2c(c(=O)[nH]n2C)c1C ZINC000591419391 1072228101 /nfs/dbraw/zinc/22/81/01/1072228101.db2.gz WFWCBXQJKHHUSF-CYBMUJFWSA-N 0 0 437.522 -0.015 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1c(C)nc2c(c(=O)[nH]n2C)c1C ZINC000591419392 1072228155 /nfs/dbraw/zinc/22/81/55/1072228155.db2.gz WFWCBXQJKHHUSF-ZDUSSCGKSA-N 0 0 437.522 -0.015 20 0 IBADRN CN1CCN([C@@H](C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc2)CC1 ZINC000591420595 1072228159 /nfs/dbraw/zinc/22/81/59/1072228159.db2.gz DFWRAEZAJVEQEV-JOCHJYFZSA-N 0 0 429.565 -0.022 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc2)CC1 ZINC000591420597 1072227938 /nfs/dbraw/zinc/22/79/38/1072227938.db2.gz DFWRAEZAJVEQEV-QFIPXVFZSA-N 0 0 429.565 -0.022 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ccccc2)CC1 ZINC000591422623 1072228714 /nfs/dbraw/zinc/22/87/14/1072228714.db2.gz GQVLDJCAXFWYEW-FQEVSTJZSA-N 0 0 443.548 -0.495 20 0 IBADRN CN1CCN([C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ccccc2)CC1 ZINC000591422624 1072228602 /nfs/dbraw/zinc/22/86/02/1072228602.db2.gz GQVLDJCAXFWYEW-HXUWFJFHSA-N 0 0 443.548 -0.495 20 0 IBADRN NC(=O)C(NC(=O)CN1CCN(Cc2ccc(Br)cc2F)CC1)C(N)=O ZINC000591424775 1072228623 /nfs/dbraw/zinc/22/86/23/1072228623.db2.gz GGUCZXNWFRMNGB-UHFFFAOYSA-N 0 0 430.278 -0.839 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)C(=O)NC4=O)CC2)C[C@H](C)O1 ZINC000591428834 1072228511 /nfs/dbraw/zinc/22/85/11/1072228511.db2.gz PZIQQQHJPFMPOQ-BETUJISGSA-N 0 0 436.490 -0.318 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)C(=O)NC4=O)CC2)C[C@@H](C)O1 ZINC000591428838 1072228647 /nfs/dbraw/zinc/22/86/47/1072228647.db2.gz PZIQQQHJPFMPOQ-CHWSQXEVSA-N 0 0 436.490 -0.318 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)C(=O)NC4=O)CC2)C[C@H](C)O1 ZINC000591428840 1072228532 /nfs/dbraw/zinc/22/85/32/1072228532.db2.gz PZIQQQHJPFMPOQ-STQMWFEESA-N 0 0 436.490 -0.318 20 0 IBADRN C[C@@H]1Oc2c(cccc2C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)NC1=O ZINC000591430691 1072228555 /nfs/dbraw/zinc/22/85/55/1072228555.db2.gz PRYAAPZBYQYEBR-AWEZNQCLSA-N 0 0 437.522 -0.344 20 0 IBADRN C[C@H]1Oc2c(cccc2C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)NC1=O ZINC000591430692 1072228477 /nfs/dbraw/zinc/22/84/77/1072228477.db2.gz PRYAAPZBYQYEBR-CQSZACIVSA-N 0 0 437.522 -0.344 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCCc3ccccc3)CC2)o1 ZINC000591432010 1072228688 /nfs/dbraw/zinc/22/86/88/1072228688.db2.gz CWBBKZDPGOHXMM-UHFFFAOYSA-N 0 0 448.501 -0.040 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000591432716 1072229147 /nfs/dbraw/zinc/22/91/47/1072229147.db2.gz RRNDKNMYHRIUJO-DZGCQCFKSA-N 0 0 435.510 -0.916 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000591432723 1072229284 /nfs/dbraw/zinc/22/92/84/1072229284.db2.gz RRNDKNMYHRIUJO-HIFRSBDPSA-N 0 0 435.510 -0.916 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000591432724 1072229275 /nfs/dbraw/zinc/22/92/75/1072229275.db2.gz RRNDKNMYHRIUJO-UKRRQHHQSA-N 0 0 435.510 -0.916 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000591432725 1072228004 /nfs/dbraw/zinc/22/80/04/1072228004.db2.gz RRNDKNMYHRIUJO-ZFWWWQNUSA-N 0 0 435.510 -0.916 20 0 IBADRN CN1CCN([C@@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c2ccccc2)CC1 ZINC000591437101 1072229256 /nfs/dbraw/zinc/22/92/56/1072229256.db2.gz PMSUJIPLQAMOQT-JOCHJYFZSA-N 0 0 441.532 -0.205 20 0 IBADRN CN1CCN([C@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c2ccccc2)CC1 ZINC000591437102 1072229072 /nfs/dbraw/zinc/22/90/72/1072229072.db2.gz PMSUJIPLQAMOQT-QFIPXVFZSA-N 0 0 441.532 -0.205 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591437153 1072229220 /nfs/dbraw/zinc/22/92/20/1072229220.db2.gz RPJOEBFNKJQQTR-UHFFFAOYSA-N 0 0 428.449 -0.586 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000591453666 1072229239 /nfs/dbraw/zinc/22/92/39/1072229239.db2.gz VYHMLYCNCZAMFG-DZKIICNBSA-N 0 0 449.537 -0.670 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000591453667 1072229050 /nfs/dbraw/zinc/22/90/50/1072229050.db2.gz VYHMLYCNCZAMFG-FMKPAKJESA-N 0 0 449.537 -0.670 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@@H](C)C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000591453668 1072229155 /nfs/dbraw/zinc/22/91/55/1072229155.db2.gz VYHMLYCNCZAMFG-LZWOXQAQSA-N 0 0 449.537 -0.670 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@H](C)C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000591453669 1072229250 /nfs/dbraw/zinc/22/92/50/1072229250.db2.gz VYHMLYCNCZAMFG-YCPHGPKFSA-N 0 0 449.537 -0.670 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCNC(=O)[C@@H]3C)CC2)cc1 ZINC000591455676 1072229128 /nfs/dbraw/zinc/22/91/28/1072229128.db2.gz ZNKMOKMZFOPCEE-INIZCTEOSA-N 0 0 436.534 -0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCNC(=O)[C@H]3C)CC2)cc1 ZINC000591455677 1072229186 /nfs/dbraw/zinc/22/91/86/1072229186.db2.gz ZNKMOKMZFOPCEE-MRXNPFEDSA-N 0 0 436.534 -0.045 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000591459482 1072229302 /nfs/dbraw/zinc/22/93/02/1072229302.db2.gz JEHTYGGFYZEEFX-HNNXBMFYSA-N 0 0 426.539 -0.438 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000591459483 1072229098 /nfs/dbraw/zinc/22/90/98/1072229098.db2.gz JEHTYGGFYZEEFX-OAHLLOKOSA-N 0 0 426.539 -0.438 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CNC(=O)c2ccc3c(c2)OCO3)c1 ZINC000591463156 1072229164 /nfs/dbraw/zinc/22/91/64/1072229164.db2.gz IMKDCJDJLVIRRI-UHFFFAOYSA-N 0 0 448.457 -0.192 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Cc2ccc(S(N)(=O)=O)cc2)c1 ZINC000591463218 1072229732 /nfs/dbraw/zinc/22/97/32/1072229732.db2.gz KNBYWSAOQSSBMS-UHFFFAOYSA-N 0 0 440.503 -0.461 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Cn2c3ccccc3c(=O)[nH]c2=O)c1 ZINC000591463404 1072229294 /nfs/dbraw/zinc/22/92/94/1072229294.db2.gz WJJGDSNXKUFJPH-UHFFFAOYSA-N 0 0 445.457 -0.235 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H](O)COc3ccc(F)c(F)c3)c2c(=O)n(C)c1=O ZINC000591467302 1072229778 /nfs/dbraw/zinc/22/97/78/1072229778.db2.gz KNNHPBXULMUEJO-LLVKDONJSA-N 0 0 437.403 -0.342 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H](O)COc3ccc(F)c(F)c3)c2c(=O)n(C)c1=O ZINC000591467303 1072229805 /nfs/dbraw/zinc/22/98/05/1072229805.db2.gz KNNHPBXULMUEJO-NSHDSACASA-N 0 0 437.403 -0.342 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)c(F)c1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000591467994 1072229811 /nfs/dbraw/zinc/22/98/11/1072229811.db2.gz QTGYAFIEOMYGAO-AGIUHOORSA-N 0 0 432.445 -0.144 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)c(F)c1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000591467995 1072229769 /nfs/dbraw/zinc/22/97/69/1072229769.db2.gz QTGYAFIEOMYGAO-AVGNSLFASA-N 0 0 432.445 -0.144 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)c(F)c1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000591467996 1072229662 /nfs/dbraw/zinc/22/96/62/1072229662.db2.gz QTGYAFIEOMYGAO-UPJWGTAASA-N 0 0 432.445 -0.144 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)c(F)c1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000591467997 1072229670 /nfs/dbraw/zinc/22/96/70/1072229670.db2.gz QTGYAFIEOMYGAO-XQQFMLRXSA-N 0 0 432.445 -0.144 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@H](C)N1CCOCC1 ZINC000591468637 1072229579 /nfs/dbraw/zinc/22/95/79/1072229579.db2.gz DXRZEGKEONOKIY-CABCVRRESA-N 0 0 426.539 -0.108 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@H](C)N1CCOCC1 ZINC000591468644 1072229678 /nfs/dbraw/zinc/22/96/78/1072229678.db2.gz DXRZEGKEONOKIY-GJZGRUSLSA-N 0 0 426.539 -0.108 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)[C@@H](C)N1CCOCC1 ZINC000591468649 1072229633 /nfs/dbraw/zinc/22/96/33/1072229633.db2.gz DXRZEGKEONOKIY-HUUCEWRRSA-N 0 0 426.539 -0.108 20 0 IBADRN C[C@H]([C@H](C)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)N1CCOCC1 ZINC000591468650 1072229617 /nfs/dbraw/zinc/22/96/17/1072229617.db2.gz DXRZEGKEONOKIY-LSDHHAIUSA-N 0 0 426.539 -0.108 20 0 IBADRN CN(C)C(=O)Cc1nc(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cs1 ZINC000591469701 1072229717 /nfs/dbraw/zinc/22/97/17/1072229717.db2.gz VLDCZMUZOJBNRU-UHFFFAOYSA-N 0 0 430.600 -0.616 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)CCOC)CC2)cc1 ZINC000591470660 1072229737 /nfs/dbraw/zinc/22/97/37/1072229737.db2.gz QJOUFHXAWZDEJI-UHFFFAOYSA-N 0 0 429.539 -0.141 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591471683 1072229594 /nfs/dbraw/zinc/22/95/94/1072229594.db2.gz AZYXXYNAMIOBCB-GOSISDBHSA-N 0 0 428.489 -0.404 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591471684 1072229655 /nfs/dbraw/zinc/22/96/55/1072229655.db2.gz AZYXXYNAMIOBCB-SFHVURJKSA-N 0 0 428.489 -0.404 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591471723 1072230247 /nfs/dbraw/zinc/23/02/47/1072230247.db2.gz DVRZNVQBHJRRHA-UHFFFAOYSA-N 0 0 443.504 -0.403 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000591471903 1072229571 /nfs/dbraw/zinc/22/95/71/1072229571.db2.gz LJEDGUBTEYDRDW-UHFFFAOYSA-N 0 0 449.552 -0.615 20 0 IBADRN COc1ccc(COC[C@@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000591471907 1072229600 /nfs/dbraw/zinc/22/96/00/1072229600.db2.gz LPTLLQIWXHKGPN-KRWDZBQOSA-N 0 0 449.504 -0.126 20 0 IBADRN COc1ccc(COC[C@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1OC ZINC000591471909 1072229700 /nfs/dbraw/zinc/22/97/00/1072229700.db2.gz LPTLLQIWXHKGPN-QGZVFWFLSA-N 0 0 449.504 -0.126 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NCCCN1CCCCCC1=O ZINC000591472060 1072229785 /nfs/dbraw/zinc/22/97/85/1072229785.db2.gz OSNKVZBMSJYQLD-UHFFFAOYSA-N 0 0 435.525 -0.812 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NCCN1CCc2ccccc21 ZINC000591472070 1072229798 /nfs/dbraw/zinc/22/97/98/1072229798.db2.gz PCXSIRBUWGMJTC-UHFFFAOYSA-N 0 0 427.505 -0.542 20 0 IBADRN CC(=O)Nc1ccc(OC[C@@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000591472419 1072231165 /nfs/dbraw/zinc/23/11/65/1072231165.db2.gz XPERXFDALVMSTP-KRWDZBQOSA-N 0 0 432.477 -0.322 20 0 IBADRN CC(=O)Nc1ccc(OC[C@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000591472420 1072231404 /nfs/dbraw/zinc/23/14/04/1072231404.db2.gz XPERXFDALVMSTP-QGZVFWFLSA-N 0 0 432.477 -0.322 20 0 IBADRN COCCN(C(=O)CN1CCN(c2cc(OC)ccn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000591472542 1072230908 /nfs/dbraw/zinc/23/09/08/1072230908.db2.gz MIXMJEIBVXKTLC-INIZCTEOSA-N 0 0 426.539 -0.126 20 0 IBADRN COCCN(C(=O)CN1CCN(c2cc(OC)ccn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000591472543 1072230665 /nfs/dbraw/zinc/23/06/65/1072230665.db2.gz MIXMJEIBVXKTLC-MRXNPFEDSA-N 0 0 426.539 -0.126 20 0 IBADRN COc1ccnc(N2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)c1 ZINC000591472546 1072230652 /nfs/dbraw/zinc/23/06/52/1072230652.db2.gz MPBXLWIUXTVPOT-UHFFFAOYSA-N 0 0 446.552 -0.785 20 0 IBADRN COc1ccnc(N2CCN(C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000591491628 1072231488 /nfs/dbraw/zinc/23/14/88/1072231488.db2.gz LHKPWZZFVIQADL-UHFFFAOYSA-N 0 0 426.437 -0.215 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N3CCC(C(=O)N4CCOCC4)CC3)cnc2n(C)c1=O ZINC000591492014 1072231197 /nfs/dbraw/zinc/23/11/97/1072231197.db2.gz UBNQSGNTDLQUTE-UHFFFAOYSA-N 0 0 430.465 -0.265 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)n1 ZINC000591512745 1072231829 /nfs/dbraw/zinc/23/18/29/1072231829.db2.gz QICHDVPIZUCVOK-UHFFFAOYSA-N 0 0 428.497 0.390 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000591534111 1072231900 /nfs/dbraw/zinc/23/19/00/1072231900.db2.gz DBNHNGLGFNLBJU-UHFFFAOYSA-N 0 0 441.510 -0.462 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000591534461 1072231980 /nfs/dbraw/zinc/23/19/80/1072231980.db2.gz ZPWHKDOAMWEEDU-UHFFFAOYSA-N 0 0 431.449 -0.364 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000591538128 1072231888 /nfs/dbraw/zinc/23/18/88/1072231888.db2.gz NDMOAZZMLOLGHS-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000591552221 1072232589 /nfs/dbraw/zinc/23/25/89/1072232589.db2.gz NHBYTYMFEWOMCY-UHFFFAOYSA-N 0 0 429.477 -0.109 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC000591552970 1072232698 /nfs/dbraw/zinc/23/26/98/1072232698.db2.gz HWTKECHZFDUEHZ-UHFFFAOYSA-N 0 0 447.467 -0.133 20 0 IBADRN CCNC(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000591553573 1072232652 /nfs/dbraw/zinc/23/26/52/1072232652.db2.gz XRDVHYKVCPWRPZ-UHFFFAOYSA-N 0 0 429.477 -0.061 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000591556951 1072232516 /nfs/dbraw/zinc/23/25/16/1072232516.db2.gz VAKJTYBMUSSDDL-UHFFFAOYSA-N 0 0 444.492 -0.579 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000591557200 1072232483 /nfs/dbraw/zinc/23/24/83/1072232483.db2.gz HWNPCAURKAXIIM-UHFFFAOYSA-N 0 0 431.541 -0.253 20 0 IBADRN CN(C)C(=O)c1cccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000591559181 1072232582 /nfs/dbraw/zinc/23/25/82/1072232582.db2.gz LBPKXRCUGRUHAW-UHFFFAOYSA-N 0 0 429.477 -0.109 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000591561362 1072233057 /nfs/dbraw/zinc/23/30/57/1072233057.db2.gz IAZYLYOXUJMZBZ-KRWDZBQOSA-N 0 0 440.570 -0.447 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000591561371 1072233284 /nfs/dbraw/zinc/23/32/84/1072233284.db2.gz IAZYLYOXUJMZBZ-QGZVFWFLSA-N 0 0 440.570 -0.447 20 0 IBADRN COCCOc1ncccc1CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591561517 1072233261 /nfs/dbraw/zinc/23/32/61/1072233261.db2.gz UDYNRLAVYIHVSX-UHFFFAOYSA-N 0 0 433.465 -0.390 20 0 IBADRN COCCOc1ccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1 ZINC000591561545 1072232994 /nfs/dbraw/zinc/23/29/94/1072232994.db2.gz VTLFTSYGXSZYND-UHFFFAOYSA-N 0 0 433.465 -0.390 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000591562028 1072233101 /nfs/dbraw/zinc/23/31/01/1072233101.db2.gz MVDIVKXDZASULD-UHFFFAOYSA-N 0 0 436.490 -0.407 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000591562556 1072233194 /nfs/dbraw/zinc/23/31/94/1072233194.db2.gz BJFAKXCHEOUHLN-CYBMUJFWSA-N 0 0 433.431 -0.127 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)CC1 ZINC000591562557 1072233186 /nfs/dbraw/zinc/23/31/86/1072233186.db2.gz BJFAKXCHEOUHLN-ZDUSSCGKSA-N 0 0 433.431 -0.127 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2nncn2-c2ccccc2)CC1 ZINC000591563172 1072233030 /nfs/dbraw/zinc/23/30/30/1072233030.db2.gz QIKMAHZBZGEJPZ-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000591565398 1072233163 /nfs/dbraw/zinc/23/31/63/1072233163.db2.gz RZIOVGSXAOVJGK-CYBMUJFWSA-N 0 0 429.499 -0.885 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000591565399 1072233237 /nfs/dbraw/zinc/23/32/37/1072233237.db2.gz RZIOVGSXAOVJGK-ZDUSSCGKSA-N 0 0 429.499 -0.885 20 0 IBADRN COc1cc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1OCCO ZINC000591568537 1072233208 /nfs/dbraw/zinc/23/32/08/1072233208.db2.gz LYIKTPUWTPMQIP-UHFFFAOYSA-N 0 0 448.476 -0.431 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000591578672 1072233045 /nfs/dbraw/zinc/23/30/45/1072233045.db2.gz HUEGZEBQGZCCOU-KRWDZBQOSA-N 0 0 441.488 -0.210 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000591578676 1072233273 /nfs/dbraw/zinc/23/32/73/1072233273.db2.gz HUEGZEBQGZCCOU-QGZVFWFLSA-N 0 0 441.488 -0.210 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@H](C)O1 ZINC000591584039 1072233605 /nfs/dbraw/zinc/23/36/05/1072233605.db2.gz IXHSHHYCBLODLD-FGTMMUONSA-N 0 0 431.603 -0.153 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@H](C)O1 ZINC000591584040 1072233636 /nfs/dbraw/zinc/23/36/36/1072233636.db2.gz IXHSHHYCBLODLD-KSZLIROESA-N 0 0 431.603 -0.153 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@@H](C)O1 ZINC000591584041 1072233580 /nfs/dbraw/zinc/23/35/80/1072233580.db2.gz IXHSHHYCBLODLD-KURKYZTESA-N 0 0 431.603 -0.153 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@@H](C)O1 ZINC000591584042 1072233629 /nfs/dbraw/zinc/23/36/29/1072233629.db2.gz IXHSHHYCBLODLD-KZNAEPCWSA-N 0 0 431.603 -0.153 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H](O)COc2ccc(F)c(F)c2)CC1 ZINC000591584043 1072233674 /nfs/dbraw/zinc/23/36/74/1072233674.db2.gz JADUURLGVHDHEW-CYBMUJFWSA-N 0 0 436.481 -0.419 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H](O)COc2ccc(F)c(F)c2)CC1 ZINC000591584044 1072233006 /nfs/dbraw/zinc/23/30/06/1072233006.db2.gz JADUURLGVHDHEW-ZDUSSCGKSA-N 0 0 436.481 -0.419 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1CCc2ccccc21 ZINC000591586982 1072233620 /nfs/dbraw/zinc/23/36/20/1072233620.db2.gz YODQSLOGDOATSH-UHFFFAOYSA-N 0 0 427.461 -0.422 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000591587330 1072233737 /nfs/dbraw/zinc/23/37/37/1072233737.db2.gz NOBMGLXWPQWLFP-UHFFFAOYSA-N 0 0 447.495 -0.114 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)cc1 ZINC000591587763 1072233699 /nfs/dbraw/zinc/23/36/99/1072233699.db2.gz ANRYCXIGKGKBQZ-UHFFFAOYSA-N 0 0 433.533 -0.138 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000591587840 1073340193 /nfs/dbraw/zinc/34/01/93/1073340193.db2.gz ORSTVKAJRKELQX-UHFFFAOYSA-N 0 0 426.455 -0.172 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000591590310 1072233691 /nfs/dbraw/zinc/23/36/91/1072233691.db2.gz GWTNITYSOWNJFE-UHFFFAOYSA-N 0 0 444.492 -0.915 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2nccn2CC(F)(F)F)CC1 ZINC000591591132 1072233597 /nfs/dbraw/zinc/23/35/97/1072233597.db2.gz SPFQMTXDKYHTHO-UHFFFAOYSA-N 0 0 430.387 -0.052 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)N2CCN(CC(=O)NC3CC3)CC2)CC1 ZINC000591597437 1072233654 /nfs/dbraw/zinc/23/36/54/1072233654.db2.gz QCCMUFNATVRTSD-UHFFFAOYSA-N 0 0 443.552 -0.111 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOC[C@H]3C)CC2)C[C@@H](C)O1 ZINC000591601584 1072233719 /nfs/dbraw/zinc/23/37/19/1072233719.db2.gz USXJALSDVJCTDQ-BRWVUGGUSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOC[C@@H]3C)CC2)C[C@@H](C)O1 ZINC000591601585 1072233680 /nfs/dbraw/zinc/23/36/80/1072233680.db2.gz USXJALSDVJCTDQ-GVDBMIGSSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOC[C@@H]3C)CC2)C[C@H](C)O1 ZINC000591601586 1072233744 /nfs/dbraw/zinc/23/37/44/1072233744.db2.gz USXJALSDVJCTDQ-YESZJQIVSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCOC[C@H]3C)CC2)C[C@H](C)O1 ZINC000591601587 1072234302 /nfs/dbraw/zinc/23/43/02/1072234302.db2.gz USXJALSDVJCTDQ-ZACQAIPSSA-N 0 0 433.575 -0.612 20 0 IBADRN CC[C@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000591602417 1072234187 /nfs/dbraw/zinc/23/41/87/1072234187.db2.gz AKOXTWWIDHFPLK-HNNXBMFYSA-N 0 0 436.498 -0.674 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000591602418 1072234337 /nfs/dbraw/zinc/23/43/37/1072234337.db2.gz AKOXTWWIDHFPLK-OAHLLOKOSA-N 0 0 436.498 -0.674 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1nnc2n1CCCCC2 ZINC000591605166 1072234260 /nfs/dbraw/zinc/23/42/60/1072234260.db2.gz OSZYZBXOJOPPDG-OAHLLOKOSA-N 0 0 433.513 -0.222 20 0 IBADRN CC(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000591637148 1072234739 /nfs/dbraw/zinc/23/47/39/1072234739.db2.gz FZMMQEDODLOCMP-HOTGVXAUSA-N 0 0 445.586 -0.074 20 0 IBADRN CC(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000591637160 1072234727 /nfs/dbraw/zinc/23/47/27/1072234727.db2.gz FZMMQEDODLOCMP-HZPDHXFCSA-N 0 0 445.586 -0.074 20 0 IBADRN CC(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000591637162 1072234855 /nfs/dbraw/zinc/23/48/55/1072234855.db2.gz FZMMQEDODLOCMP-IYBDPMFKSA-N 0 0 445.586 -0.074 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)cn1C ZINC000591642178 1072235467 /nfs/dbraw/zinc/23/54/67/1072235467.db2.gz SYSHPCTWZFXZFN-HNNXBMFYSA-N 0 0 426.543 -0.838 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)cn1C ZINC000591642179 1072235223 /nfs/dbraw/zinc/23/52/23/1072235223.db2.gz SYSHPCTWZFXZFN-OAHLLOKOSA-N 0 0 426.543 -0.838 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000591653499 1072236005 /nfs/dbraw/zinc/23/60/05/1072236005.db2.gz WNAOEKXPWWFVDM-GOSISDBHSA-N 0 0 431.493 -0.659 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC000591653500 1072235804 /nfs/dbraw/zinc/23/58/04/1072235804.db2.gz WNAOEKXPWWFVDM-SFHVURJKSA-N 0 0 431.493 -0.659 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000591653885 1072235981 /nfs/dbraw/zinc/23/59/81/1072235981.db2.gz IHAIIUGXFGVSGK-UHFFFAOYSA-N 0 0 444.492 -0.410 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)c1=O ZINC000591663292 1072234770 /nfs/dbraw/zinc/23/47/70/1072234770.db2.gz TUBICQSYTCASKY-UHFFFAOYSA-N 0 0 442.881 -0.140 20 0 IBADRN Cc1nn(CC(=O)N2CCN(C(=O)C3CC3)CC2)c(C)c1S(=O)(=O)N1CCOCC1 ZINC000591684458 1072236027 /nfs/dbraw/zinc/23/60/27/1072236027.db2.gz HSFWIMRWMWDOEA-UHFFFAOYSA-N 0 0 439.538 -0.398 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(CC(=O)Nc4cc(C)on4)CC3)C2=O)cn1 ZINC000591691095 1072235936 /nfs/dbraw/zinc/23/59/36/1072235936.db2.gz KMJLGNIEVLTVFK-HNNXBMFYSA-N 0 0 428.449 0.275 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(CC(=O)Nc4cc(C)on4)CC3)C2=O)cn1 ZINC000591691105 1072235992 /nfs/dbraw/zinc/23/59/92/1072235992.db2.gz KMJLGNIEVLTVFK-OAHLLOKOSA-N 0 0 428.449 0.275 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000591708322 1072236018 /nfs/dbraw/zinc/23/60/18/1072236018.db2.gz PGLQUURAKMBZOZ-UHFFFAOYSA-N 0 0 447.535 -0.253 20 0 IBADRN O=S(=O)(c1cccc2nsnc21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000591708594 1072235830 /nfs/dbraw/zinc/23/58/30/1072235830.db2.gz GKZFQYHVRMYQFA-UHFFFAOYSA-N 0 0 433.537 -0.425 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)c1nnc(-c2cccs2)n1CCC(N)=O ZINC000591728586 1072236469 /nfs/dbraw/zinc/23/64/69/1072236469.db2.gz HNJLYTMBGWCUMU-UHFFFAOYSA-N 0 0 435.553 -0.063 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000591728923 1072236332 /nfs/dbraw/zinc/23/63/32/1072236332.db2.gz AFGUNJPYAUGBPS-CABCVRRESA-N 0 0 441.506 -0.859 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000591728924 1072236308 /nfs/dbraw/zinc/23/63/08/1072236308.db2.gz AFGUNJPYAUGBPS-GJZGRUSLSA-N 0 0 441.506 -0.859 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000591729695 1072236380 /nfs/dbraw/zinc/23/63/80/1072236380.db2.gz ZWYJBBUUSPMCCQ-KRWDZBQOSA-N 0 0 443.478 -0.834 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cc1 ZINC000591732657 1072236371 /nfs/dbraw/zinc/23/63/71/1072236371.db2.gz JEJQROWQFDZGRQ-UHFFFAOYSA-N 0 0 434.474 -0.155 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)cc1 ZINC000591736780 1072236357 /nfs/dbraw/zinc/23/63/57/1072236357.db2.gz CKXMGLTZHRWACZ-UHFFFAOYSA-N 0 0 434.474 -0.026 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000591737755 1072236424 /nfs/dbraw/zinc/23/64/24/1072236424.db2.gz JXSYLDVFSVDTEM-KRWDZBQOSA-N 0 0 432.477 -0.010 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000591737756 1072236348 /nfs/dbraw/zinc/23/63/48/1072236348.db2.gz JXSYLDVFSVDTEM-QGZVFWFLSA-N 0 0 432.477 -0.010 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000591737998 1072236339 /nfs/dbraw/zinc/23/63/39/1072236339.db2.gz AAEKKHREXOINNS-UHFFFAOYSA-N 0 0 445.520 -0.093 20 0 IBADRN CN1c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2CCC1=O ZINC000591738039 1072236461 /nfs/dbraw/zinc/23/64/61/1072236461.db2.gz WZPBRAAGEPOZAK-UHFFFAOYSA-N 0 0 441.488 -0.173 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000591738098 1072236365 /nfs/dbraw/zinc/23/63/65/1072236365.db2.gz ZCNPJPMFGXIUEE-UHFFFAOYSA-N 0 0 445.476 -0.957 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000591738496 1072236451 /nfs/dbraw/zinc/23/64/51/1072236451.db2.gz UWRVZHPWOWXFOI-UHFFFAOYSA-N 0 0 431.493 -0.484 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000591738877 1072236418 /nfs/dbraw/zinc/23/64/18/1072236418.db2.gz QYQHQYDDZROSST-UHFFFAOYSA-N 0 0 428.511 -0.026 20 0 IBADRN CCOc1ccc(OC)cc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000591738884 1072236292 /nfs/dbraw/zinc/23/62/92/1072236292.db2.gz RJPWXELGIHMROL-GFCCVEGCSA-N 0 0 427.479 -0.158 20 0 IBADRN CCOc1ccc(OC)cc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000591738885 1072236326 /nfs/dbraw/zinc/23/63/26/1072236326.db2.gz RJPWXELGIHMROL-LBPRGKRZSA-N 0 0 427.479 -0.158 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000591739006 1072236320 /nfs/dbraw/zinc/23/63/20/1072236320.db2.gz HERXXOZPSWXMQN-UHFFFAOYSA-N 0 0 445.476 -0.911 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000591740424 1072237104 /nfs/dbraw/zinc/23/71/04/1072237104.db2.gz BUJWBTVSJPFPJJ-UHFFFAOYSA-N 0 0 441.510 -0.909 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2)CC1 ZINC000591740752 1072236391 /nfs/dbraw/zinc/23/63/91/1072236391.db2.gz YUBOBKDUOSUBSV-HNNXBMFYSA-N 0 0 433.509 -0.191 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2)CC1 ZINC000591740753 1072237052 /nfs/dbraw/zinc/23/70/52/1072237052.db2.gz YUBOBKDUOSUBSV-OAHLLOKOSA-N 0 0 433.509 -0.191 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCC(=O)N3C)CC1 ZINC000591741209 1072236437 /nfs/dbraw/zinc/23/64/37/1072236437.db2.gz GYYGREKPUQQBJH-UHFFFAOYSA-N 0 0 429.477 -0.271 20 0 IBADRN CN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc21 ZINC000591741636 1072237061 /nfs/dbraw/zinc/23/70/61/1072237061.db2.gz LSKGZYKVOXLCDX-UHFFFAOYSA-N 0 0 443.504 -0.463 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000591742470 1072237070 /nfs/dbraw/zinc/23/70/70/1072237070.db2.gz UPKZGBGNDHCTHG-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000591742471 1072237040 /nfs/dbraw/zinc/23/70/40/1072237040.db2.gz UPKZGBGNDHCTHG-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000591742954 1072237091 /nfs/dbraw/zinc/23/70/91/1072237091.db2.gz HOPOYWLZMMQAEU-UHFFFAOYSA-N 0 0 430.465 -0.349 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)NC)cc2)CC1 ZINC000591743903 1072236924 /nfs/dbraw/zinc/23/69/24/1072236924.db2.gz JTAIUJQBCIJTFT-UHFFFAOYSA-N 0 0 426.495 -0.320 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000591750964 1072236958 /nfs/dbraw/zinc/23/69/58/1072236958.db2.gz LSWDVOWBTWSOIA-UHFFFAOYSA-N 0 0 426.495 -0.318 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000591755134 1072237087 /nfs/dbraw/zinc/23/70/87/1072237087.db2.gz AKXKTRBCUHNNEE-AWEZNQCLSA-N 0 0 441.554 -0.222 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000591755136 1072237076 /nfs/dbraw/zinc/23/70/76/1072237076.db2.gz AKXKTRBCUHNNEE-CQSZACIVSA-N 0 0 441.554 -0.222 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000591755430 1072236943 /nfs/dbraw/zinc/23/69/43/1072236943.db2.gz GOBRCQUXFQNVFM-KRWDZBQOSA-N 0 0 431.537 -0.222 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000591755431 1072237009 /nfs/dbraw/zinc/23/70/09/1072237009.db2.gz GOBRCQUXFQNVFM-QGZVFWFLSA-N 0 0 431.537 -0.222 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000591755462 1072237558 /nfs/dbraw/zinc/23/75/58/1072237558.db2.gz JGZAFIJXCZBDIR-APWZRJJASA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000591755463 1072237528 /nfs/dbraw/zinc/23/75/28/1072237528.db2.gz JGZAFIJXCZBDIR-LPHOPBHVSA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000591755464 1072237381 /nfs/dbraw/zinc/23/73/81/1072237381.db2.gz JGZAFIJXCZBDIR-QFBILLFUSA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000591755465 1072237423 /nfs/dbraw/zinc/23/74/23/1072237423.db2.gz JGZAFIJXCZBDIR-VQIMIIECSA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000591755736 1072237512 /nfs/dbraw/zinc/23/75/12/1072237512.db2.gz BASHJMMJQFGBJL-KRWDZBQOSA-N 0 0 447.536 -0.476 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000591755737 1072237436 /nfs/dbraw/zinc/23/74/36/1072237436.db2.gz BASHJMMJQFGBJL-QGZVFWFLSA-N 0 0 447.536 -0.476 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOC[C@@H]2C)cc1 ZINC000591755886 1072236934 /nfs/dbraw/zinc/23/69/34/1072236934.db2.gz SXJVIRZDDHGKEV-CABCVRRESA-N 0 0 442.538 -0.225 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOC[C@@H]2C)cc1 ZINC000591755887 1072237026 /nfs/dbraw/zinc/23/70/26/1072237026.db2.gz SXJVIRZDDHGKEV-GJZGRUSLSA-N 0 0 442.538 -0.225 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOC[C@H]2C)cc1 ZINC000591755888 1072236965 /nfs/dbraw/zinc/23/69/65/1072236965.db2.gz SXJVIRZDDHGKEV-HUUCEWRRSA-N 0 0 442.538 -0.225 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCOC[C@H]2C)cc1 ZINC000591755889 1072237506 /nfs/dbraw/zinc/23/75/06/1072237506.db2.gz SXJVIRZDDHGKEV-LSDHHAIUSA-N 0 0 442.538 -0.225 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000591756072 1072236971 /nfs/dbraw/zinc/23/69/71/1072236971.db2.gz XZNAHDGINKVOAE-INIZCTEOSA-N 0 0 444.536 -0.084 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000591756080 1072237097 /nfs/dbraw/zinc/23/70/97/1072237097.db2.gz XZNAHDGINKVOAE-MRXNPFEDSA-N 0 0 444.536 -0.084 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCN1CCOC[C@H]1C ZINC000591757846 1072237391 /nfs/dbraw/zinc/23/73/91/1072237391.db2.gz CONVVPXOWCMYAJ-CYBMUJFWSA-N 0 0 440.522 -0.089 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCN1CCOC[C@@H]1C ZINC000591757847 1072237414 /nfs/dbraw/zinc/23/74/14/1072237414.db2.gz CONVVPXOWCMYAJ-ZDUSSCGKSA-N 0 0 440.522 -0.089 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000591758121 1072237410 /nfs/dbraw/zinc/23/74/10/1072237410.db2.gz GAJXHAWCICXOOS-INIZCTEOSA-N 0 0 433.509 -0.267 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000591758122 1072237430 /nfs/dbraw/zinc/23/74/30/1072237430.db2.gz GAJXHAWCICXOOS-MRXNPFEDSA-N 0 0 433.509 -0.267 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCOC[C@H]1C ZINC000591758151 1072237384 /nfs/dbraw/zinc/23/73/84/1072237384.db2.gz HOYXVVRGWOTFIT-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCOC[C@@H]1C ZINC000591758152 1072237483 /nfs/dbraw/zinc/23/74/83/1072237483.db2.gz HOYXVVRGWOTFIT-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000591768971 1072239050 /nfs/dbraw/zinc/23/90/50/1072239050.db2.gz QTEUKNVVQRCSML-HNNXBMFYSA-N 0 0 429.543 -0.010 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000591768973 1072238883 /nfs/dbraw/zinc/23/88/83/1072238883.db2.gz QTEUKNVVQRCSML-OAHLLOKOSA-N 0 0 429.543 -0.010 20 0 IBADRN C[C@@H](NC(=O)CCCCCC(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000591778092 1072239028 /nfs/dbraw/zinc/23/90/28/1072239028.db2.gz MLYBUIPMRNSCHC-CALCHBBNSA-N 0 0 440.541 -0.336 20 0 IBADRN C[C@@H](NC(=O)CCCCCC(=O)N[C@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000591778095 1072238838 /nfs/dbraw/zinc/23/88/38/1072238838.db2.gz MLYBUIPMRNSCHC-IAGOWNOFSA-N 0 0 440.541 -0.336 20 0 IBADRN C[C@H](NC(=O)CCCCCC(=O)N[C@@H](C)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC000591778097 1072239038 /nfs/dbraw/zinc/23/90/38/1072239038.db2.gz MLYBUIPMRNSCHC-IRXDYDNUSA-N 0 0 440.541 -0.336 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000591795512 1072238493 /nfs/dbraw/zinc/23/84/93/1072238493.db2.gz RPLKEAANACIRBT-UHFFFAOYSA-N 0 0 442.432 -0.635 20 0 IBADRN O=C(COCC(=O)N1CCN(C[C@@H]2CCCO2)CC1)N1CCN(C[C@H]2CCCO2)CC1 ZINC000591797184 1072239017 /nfs/dbraw/zinc/23/90/17/1072239017.db2.gz GCFBVOGWLRGLGC-BGYRXZFFSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C(COCC(=O)N1CCN(C[C@@H]2CCCO2)CC1)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000591797191 1072238892 /nfs/dbraw/zinc/23/88/92/1072238892.db2.gz GCFBVOGWLRGLGC-PMACEKPBSA-N 0 0 438.569 -0.351 20 0 IBADRN O=C(COCC(=O)N1CCN(C[C@H]2CCCO2)CC1)N1CCN(C[C@H]2CCCO2)CC1 ZINC000591797193 1072238917 /nfs/dbraw/zinc/23/89/17/1072238917.db2.gz GCFBVOGWLRGLGC-WOJBJXKFSA-N 0 0 438.569 -0.351 20 0 IBADRN C[C@@H](CCC(=O)N(C)CC(=O)N1CCOCC1)CC(=O)N(C)CC(=O)N1CCOCC1 ZINC000591798051 1072238814 /nfs/dbraw/zinc/23/88/14/1072238814.db2.gz APUOAQLVETYGNA-KRWDZBQOSA-N 0 0 440.541 -0.573 20 0 IBADRN C[C@H](CCC(=O)N(C)CC(=O)N1CCOCC1)CC(=O)N(C)CC(=O)N1CCOCC1 ZINC000591798056 1072238992 /nfs/dbraw/zinc/23/89/92/1072238992.db2.gz APUOAQLVETYGNA-QGZVFWFLSA-N 0 0 440.541 -0.573 20 0 IBADRN COCC(=O)N1CCN(C(=O)CCCCCC(=O)N2CCN(C(=O)COC)CC2)CC1 ZINC000591800918 1072238980 /nfs/dbraw/zinc/23/89/80/1072238980.db2.gz SZOWFLJMJFUXGE-UHFFFAOYSA-N 0 0 440.541 -0.429 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cc(F)c(F)cc3F)CC2)CC1 ZINC000591813719 1072240222 /nfs/dbraw/zinc/24/02/22/1072240222.db2.gz BTVNREONULXUNW-UHFFFAOYSA-N 0 0 442.485 -0.098 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2nnc(-c3ccc(F)cc3)[nH]2)CC1 ZINC000591840974 1072240180 /nfs/dbraw/zinc/24/01/80/1072240180.db2.gz BXGRMQUVULSBPL-UHFFFAOYSA-N 0 0 425.490 -0.013 20 0 IBADRN Cc1cccnc1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000591853213 1072240615 /nfs/dbraw/zinc/24/06/15/1072240615.db2.gz SRCGIFLSOBJYDY-UHFFFAOYSA-N 0 0 431.474 -0.235 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCOc3ccc(S(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000591986004 1072242312 /nfs/dbraw/zinc/24/23/12/1072242312.db2.gz HYOFOSGPIDYREK-UHFFFAOYSA-N 0 0 449.489 -0.578 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000592010208 1072241724 /nfs/dbraw/zinc/24/17/24/1072241724.db2.gz SXWPIPDINPLNLS-UHFFFAOYSA-N 0 0 437.448 -0.670 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3Cc4ccccc4C3=O)CC2)o1 ZINC000592022301 1072242263 /nfs/dbraw/zinc/24/22/63/1072242263.db2.gz JYZWRCMFKSJGEZ-UHFFFAOYSA-N 0 0 432.458 -0.133 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000592026672 1072242286 /nfs/dbraw/zinc/24/22/86/1072242286.db2.gz NLGNBFJZMMZSHN-UHFFFAOYSA-N 0 0 449.415 -0.853 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CSc2nnnn2CC(F)(F)F)CC1 ZINC000592030877 1072242142 /nfs/dbraw/zinc/24/21/42/1072242142.db2.gz VBMBLIUCCSXOCO-UHFFFAOYSA-N 0 0 431.466 -0.979 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000592057299 1072242799 /nfs/dbraw/zinc/24/27/99/1072242799.db2.gz KSXSIKHKACESNA-UHFFFAOYSA-N 0 0 434.478 -0.680 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000592057648 1072242730 /nfs/dbraw/zinc/24/27/30/1072242730.db2.gz AFFVQKKGDKVGCA-UHFFFAOYSA-N 0 0 436.538 -0.263 20 0 IBADRN Cn1cc(CN2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)cn1 ZINC000592064435 1072242124 /nfs/dbraw/zinc/24/21/24/1072242124.db2.gz OGSDFIGNJSJVNJ-UHFFFAOYSA-N 0 0 446.533 -0.501 20 0 IBADRN Cn1cc(CN2CCN(C(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)CC2)cn1 ZINC000592065414 1072242819 /nfs/dbraw/zinc/24/28/19/1072242819.db2.gz BNWBBKHKMZRIQV-UHFFFAOYSA-N 0 0 448.549 -0.138 20 0 IBADRN Cn1cc(CN2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)cn1 ZINC000592065818 1072242748 /nfs/dbraw/zinc/24/27/48/1072242748.db2.gz RYFKFBJOGQMVFZ-UHFFFAOYSA-N 0 0 434.478 -0.680 20 0 IBADRN Cn1cc(CN2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)CC2)cn1 ZINC000592065903 1072242712 /nfs/dbraw/zinc/24/27/12/1072242712.db2.gz NJARNRKWFXRIHN-UHFFFAOYSA-N 0 0 436.538 -0.263 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000592079500 1072242851 /nfs/dbraw/zinc/24/28/51/1072242851.db2.gz FKRYHHUHBJSVKK-BXUZGUMPSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H]2CCCN2S(C)(=O)=O)c1 ZINC000592079505 1072242739 /nfs/dbraw/zinc/24/27/39/1072242739.db2.gz FKRYHHUHBJSVKK-FZMZJTMJSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@@H]2CCCN2S(C)(=O)=O)c1 ZINC000592079508 1072242812 /nfs/dbraw/zinc/24/28/12/1072242812.db2.gz FKRYHHUHBJSVKK-RISCZKNCSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000592079510 1072242853 /nfs/dbraw/zinc/24/28/53/1072242853.db2.gz FKRYHHUHBJSVKK-SMDDNHRTSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CN(C)c2ncnc3nc[nH]c32)c1 ZINC000592080274 1072242829 /nfs/dbraw/zinc/24/28/29/1072242829.db2.gz LARIZXYCUYKWKJ-LLVKDONJSA-N 0 0 446.493 -0.159 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CN(C)c2ncnc3nc[nH]c32)c1 ZINC000592080275 1072242757 /nfs/dbraw/zinc/24/27/57/1072242757.db2.gz LARIZXYCUYKWKJ-NSHDSACASA-N 0 0 446.493 -0.159 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCOc2ccc(S(C)(=O)=O)cc2)cn1C ZINC000592080642 1072242894 /nfs/dbraw/zinc/24/28/94/1072242894.db2.gz FJBIDZSOGXNOLB-UHFFFAOYSA-N 0 0 430.508 -0.394 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CCCN2C(=O)CN(C)C2=O)c1 ZINC000592080746 1072243270 /nfs/dbraw/zinc/24/32/70/1072243270.db2.gz CNDAEXPGLZIVOW-GFCCVEGCSA-N 0 0 439.494 -0.288 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CCCN2C(=O)CN(C)C2=O)c1 ZINC000592080752 1072243229 /nfs/dbraw/zinc/24/32/29/1072243229.db2.gz CNDAEXPGLZIVOW-LBPRGKRZSA-N 0 0 439.494 -0.288 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CCCN2C(=O)CNC2=O)c1 ZINC000592080759 1072243364 /nfs/dbraw/zinc/24/33/64/1072243364.db2.gz CZCLKKFBUILGME-LLVKDONJSA-N 0 0 425.467 -0.630 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CCCN2C(=O)CNC2=O)c1 ZINC000592080760 1072243399 /nfs/dbraw/zinc/24/33/99/1072243399.db2.gz CZCLKKFBUILGME-NSHDSACASA-N 0 0 425.467 -0.630 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)Cn2nnc3ccccc3c2=O)c1 ZINC000592080788 1072243407 /nfs/dbraw/zinc/24/34/07/1072243407.db2.gz FJSRNCCYQSHQLT-GFCCVEGCSA-N 0 0 444.473 -0.157 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)Cn2nnc3ccccc3c2=O)c1 ZINC000592080797 1072243264 /nfs/dbraw/zinc/24/32/64/1072243264.db2.gz FJSRNCCYQSHQLT-LBPRGKRZSA-N 0 0 444.473 -0.157 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CS(=O)(=O)NC(C)(C)C)c1 ZINC000592080945 1072243223 /nfs/dbraw/zinc/24/32/23/1072243223.db2.gz UDQLXLYWJKDXCM-LLVKDONJSA-N 0 0 434.540 -0.244 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CS(=O)(=O)NC(C)(C)C)c1 ZINC000592080946 1072243374 /nfs/dbraw/zinc/24/33/74/1072243374.db2.gz UDQLXLYWJKDXCM-NSHDSACASA-N 0 0 434.540 -0.244 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@@H]2CC(=O)N(CCOC)C2)c1 ZINC000592080947 1072243236 /nfs/dbraw/zinc/24/32/36/1072243236.db2.gz UGDGFENGBRVJGK-CHWSQXEVSA-N 0 0 426.495 -0.467 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@H]2CC(=O)N(CCOC)C2)c1 ZINC000592080951 1072243240 /nfs/dbraw/zinc/24/32/40/1072243240.db2.gz UGDGFENGBRVJGK-OLZOCXBDSA-N 0 0 426.495 -0.467 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H]2CC(=O)N(CCOC)C2)c1 ZINC000592080959 1072243384 /nfs/dbraw/zinc/24/33/84/1072243384.db2.gz UGDGFENGBRVJGK-QWHCGFSZSA-N 0 0 426.495 -0.467 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@H]2CC(=O)N(CCOC)C2)c1 ZINC000592080960 1072243438 /nfs/dbraw/zinc/24/34/38/1072243438.db2.gz UGDGFENGBRVJGK-STQMWFEESA-N 0 0 426.495 -0.467 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000592081168 1072242795 /nfs/dbraw/zinc/24/27/95/1072242795.db2.gz JZZZFEZFQWYEKF-DOMZBBRYSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000592081170 1072242860 /nfs/dbraw/zinc/24/28/60/1072242860.db2.gz JZZZFEZFQWYEKF-IUODEOHRSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000592081172 1072243192 /nfs/dbraw/zinc/24/31/92/1072243192.db2.gz JZZZFEZFQWYEKF-SWLSCSKDSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000592081175 1072242844 /nfs/dbraw/zinc/24/28/44/1072242844.db2.gz JZZZFEZFQWYEKF-WFASDCNBSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CN2C(=O)NC(C)(C)C2=O)c1 ZINC000592081507 1072242870 /nfs/dbraw/zinc/24/28/70/1072242870.db2.gz OCTNXWBRKJAGIZ-JTQLQIEISA-N 0 0 425.467 -0.632 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CN2C(=O)NC(C)(C)C2=O)c1 ZINC000592081508 1072242788 /nfs/dbraw/zinc/24/27/88/1072242788.db2.gz OCTNXWBRKJAGIZ-SNVBAGLBSA-N 0 0 425.467 -0.632 20 0 IBADRN CN(C(=O)COc1ccc(C(N)=O)cc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592082300 1072243246 /nfs/dbraw/zinc/24/32/46/1072243246.db2.gz BZJDWHQXFALAMJ-UHFFFAOYSA-N 0 0 431.537 -0.139 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592082330 1072243291 /nfs/dbraw/zinc/24/32/91/1072243291.db2.gz HAWPZJAYDFYFJV-KRWDZBQOSA-N 0 0 425.599 -0.005 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592082331 1072243316 /nfs/dbraw/zinc/24/33/16/1072243316.db2.gz HAWPZJAYDFYFJV-QGZVFWFLSA-N 0 0 425.599 -0.005 20 0 IBADRN COCCn1cc(C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)ccc1=O ZINC000592082652 1072243204 /nfs/dbraw/zinc/24/32/04/1072243204.db2.gz OXGUMQHXPYJLGZ-UHFFFAOYSA-N 0 0 433.553 -0.195 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1)n1cccn1 ZINC000592082890 1072243274 /nfs/dbraw/zinc/24/32/74/1072243274.db2.gz WUCRUSUXKMYMCE-GOSISDBHSA-N 0 0 447.584 -0.401 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1)n1cccn1 ZINC000592082899 1072243908 /nfs/dbraw/zinc/24/39/08/1072243908.db2.gz WUCRUSUXKMYMCE-SFHVURJKSA-N 0 0 447.584 -0.401 20 0 IBADRN CN(C(=O)CS(=O)(=O)NC(C)(C)C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592083004 1072243338 /nfs/dbraw/zinc/24/33/38/1072243338.db2.gz ZSGANEYXWJVPQR-UHFFFAOYSA-N 0 0 431.603 -0.599 20 0 IBADRN CN(C(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592086016 1072243944 /nfs/dbraw/zinc/24/39/44/1072243944.db2.gz FZSFADBUZYFKFJ-JOCHJYFZSA-N 0 0 448.568 -0.596 20 0 IBADRN CN(C(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592086022 1072244002 /nfs/dbraw/zinc/24/40/02/1072244002.db2.gz FZSFADBUZYFKFJ-QFIPXVFZSA-N 0 0 448.568 -0.596 20 0 IBADRN CN(C(=O)[C@@H]1CCCN1S(C)(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592086052 1072243862 /nfs/dbraw/zinc/24/38/62/1072243862.db2.gz GNGKGDZOPGWDKZ-KRWDZBQOSA-N 0 0 429.587 -0.893 20 0 IBADRN CN(C(=O)[C@H]1CCCN1S(C)(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592086056 1072244084 /nfs/dbraw/zinc/24/40/84/1072244084.db2.gz GNGKGDZOPGWDKZ-QGZVFWFLSA-N 0 0 429.587 -0.893 20 0 IBADRN CN(C(=O)c1ccccc1OCC(N)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592086770 1072244097 /nfs/dbraw/zinc/24/40/97/1072244097.db2.gz UNZTUKZSJZWUFK-UHFFFAOYSA-N 0 0 431.537 -0.139 20 0 IBADRN CN(C(=O)[C@H]1CCCCN1S(C)(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592086808 1072244108 /nfs/dbraw/zinc/24/41/08/1072244108.db2.gz WCAIHZXENDXKFG-GOSISDBHSA-N 0 0 443.614 -0.503 20 0 IBADRN CN(C(=O)[C@@H]1CCCCN1S(C)(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592086813 1072243928 /nfs/dbraw/zinc/24/39/28/1072243928.db2.gz WCAIHZXENDXKFG-SFHVURJKSA-N 0 0 443.614 -0.503 20 0 IBADRN CN(C(=O)c1ccccc1-n1cnnn1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592087049 1072244067 /nfs/dbraw/zinc/24/40/67/1072244067.db2.gz ZEKVXTYNAMTCBM-UHFFFAOYSA-N 0 0 426.525 -0.027 20 0 IBADRN CN(C(=O)Cn1nc2ccccn2c1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592087052 1072243834 /nfs/dbraw/zinc/24/38/34/1072243834.db2.gz ZNOPEMFNMYFJPZ-UHFFFAOYSA-N 0 0 429.525 -0.807 20 0 IBADRN CN(C(=O)Cn1nnc2ccccc2c1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592087056 1072244050 /nfs/dbraw/zinc/24/40/50/1072244050.db2.gz ZTJUPHKCNIMBPI-UHFFFAOYSA-N 0 0 441.536 -0.512 20 0 IBADRN CN(C(=O)CN1C(=O)NC2(CCCC2)C1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592089058 1072243960 /nfs/dbraw/zinc/24/39/60/1072243960.db2.gz OUDGWECDUQZZHP-UHFFFAOYSA-N 0 0 448.568 -0.452 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCc3nnc(NC)cc3C2)c(=O)[nH]c1=O ZINC000592115060 1073331121 /nfs/dbraw/zinc/33/11/21/1073331121.db2.gz AJHPDXNUJRMXTP-UHFFFAOYSA-N 0 0 430.513 0.574 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)Nc1cccc(S(=O)(=O)N3CCOCC3)c1)C2 ZINC000592115208 1072244121 /nfs/dbraw/zinc/24/41/21/1072244121.db2.gz CZWXVRWTIKTGOZ-UHFFFAOYSA-N 0 0 446.533 0.536 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)N1CCN(S(=O)(=O)c3ccc(F)cc3)CC1)C2 ZINC000592115994 1072244024 /nfs/dbraw/zinc/24/40/24/1072244024.db2.gz HARDGDLHDOXVID-UHFFFAOYSA-N 0 0 448.524 0.549 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)N1CCN(S(=O)(=O)c3ccccc3)CC1)C2 ZINC000592118048 1072244040 /nfs/dbraw/zinc/24/40/40/1072244040.db2.gz JFLQNSNEFRJOKK-UHFFFAOYSA-N 0 0 430.534 0.410 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)c1c(N)n(Cc3ccccc3)c(=O)n(C)c1=O)C2 ZINC000592118219 1072243897 /nfs/dbraw/zinc/24/38/97/1072243897.db2.gz QQORKHQBIMNHPV-UHFFFAOYSA-N 0 0 435.488 0.250 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)N1CCN(S(=O)(=O)c3ccccc3F)CC1)C2 ZINC000592118279 1072243991 /nfs/dbraw/zinc/24/39/91/1072243991.db2.gz SZDSNPSMGJKLDN-UHFFFAOYSA-N 0 0 448.524 0.549 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)N1CCN(S(=O)(=O)c3c(C)noc3C)CC1)C2 ZINC000592118801 1072244405 /nfs/dbraw/zinc/24/44/05/1072244405.db2.gz VMEGVBBCZATVHV-UHFFFAOYSA-N 0 0 449.537 0.014 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)N1CCN(S(=O)(=O)c3cccc(F)c3)CC1)C2 ZINC000592118847 1072244513 /nfs/dbraw/zinc/24/45/13/1072244513.db2.gz WCKNIQCLANEOSV-UHFFFAOYSA-N 0 0 448.524 0.549 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)N1CCN(S(=O)(=O)Cc3ccccc3)CC1)C2 ZINC000592118865 1072244617 /nfs/dbraw/zinc/24/46/17/1072244617.db2.gz WRDCDMWWIDJFPT-UHFFFAOYSA-N 0 0 444.561 0.551 20 0 IBADRN CNc1cc2c(nn1)CCN(CC(=O)Nc1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000592119076 1072244477 /nfs/dbraw/zinc/24/44/77/1072244477.db2.gz XNLSNPPLLDIENU-UHFFFAOYSA-N 0 0 446.533 0.536 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000592151914 1072244441 /nfs/dbraw/zinc/24/44/41/1072244441.db2.gz FJCGKWIHLRCXNO-GFCCVEGCSA-N 0 0 448.295 -0.383 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000592151918 1072244624 /nfs/dbraw/zinc/24/46/24/1072244624.db2.gz FJCGKWIHLRCXNO-LBPRGKRZSA-N 0 0 448.295 -0.383 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)cc1 ZINC000592152117 1072244423 /nfs/dbraw/zinc/24/44/23/1072244423.db2.gz XYDNUTQTTQUPFS-AWEZNQCLSA-N 0 0 441.462 -0.968 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCNC(=O)[C@H]2CC(=O)OC)cc1 ZINC000592152118 1072244541 /nfs/dbraw/zinc/24/45/41/1072244541.db2.gz XYDNUTQTTQUPFS-CQSZACIVSA-N 0 0 441.462 -0.968 20 0 IBADRN C[C@H]1Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2O1 ZINC000592279681 1072244876 /nfs/dbraw/zinc/24/48/76/1072244876.db2.gz IBDWFEVOKMSZMB-AWEZNQCLSA-N 0 0 431.536 -0.107 20 0 IBADRN C[C@@H]1Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2O1 ZINC000592279683 1072245128 /nfs/dbraw/zinc/24/51/28/1072245128.db2.gz IBDWFEVOKMSZMB-CQSZACIVSA-N 0 0 431.536 -0.107 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1 ZINC000592285222 1072245140 /nfs/dbraw/zinc/24/51/40/1072245140.db2.gz PRIDVSXGTFPACT-JTQLQIEISA-N 0 0 443.507 -0.662 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1 ZINC000592285223 1072245111 /nfs/dbraw/zinc/24/51/11/1072245111.db2.gz PRIDVSXGTFPACT-SNVBAGLBSA-N 0 0 443.507 -0.662 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCCC(=O)N2CCOCC2)c1 ZINC000592294911 1072245098 /nfs/dbraw/zinc/24/50/98/1072245098.db2.gz SNUPWVFZFKVSGL-CYBMUJFWSA-N 0 0 441.510 -0.530 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCCC(=O)N2CCOCC2)c1 ZINC000592294912 1072244960 /nfs/dbraw/zinc/24/49/60/1072244960.db2.gz SNUPWVFZFKVSGL-ZDUSSCGKSA-N 0 0 441.510 -0.530 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)CCC2=O)CC1 ZINC000592295151 1072245000 /nfs/dbraw/zinc/24/50/00/1072245000.db2.gz HUHZCCSIWXVDJB-UHFFFAOYSA-N 0 0 427.483 -0.154 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N[C@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000592295644 1072244975 /nfs/dbraw/zinc/24/49/75/1072244975.db2.gz JCFKZQSXWBQVSM-LLVKDONJSA-N 0 0 435.528 -0.840 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000592295645 1072245080 /nfs/dbraw/zinc/24/50/80/1072245080.db2.gz JCFKZQSXWBQVSM-NSHDSACASA-N 0 0 435.528 -0.840 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000592295720 1072245158 /nfs/dbraw/zinc/24/51/58/1072245158.db2.gz YHOILVKMYMCRAU-GFCCVEGCSA-N 0 0 439.494 -0.240 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000592295728 1072244926 /nfs/dbraw/zinc/24/49/26/1072244926.db2.gz YHOILVKMYMCRAU-LBPRGKRZSA-N 0 0 439.494 -0.240 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N[C@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000592296015 1072244947 /nfs/dbraw/zinc/24/49/47/1072244947.db2.gz UNOQVTNCCZQYRP-GFCCVEGCSA-N 0 0 449.555 -0.450 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000592296016 1072244989 /nfs/dbraw/zinc/24/49/89/1072244989.db2.gz UNOQVTNCCZQYRP-LBPRGKRZSA-N 0 0 449.555 -0.450 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCCS(=O)(=O)NC(C)C)c1 ZINC000592296026 1072245418 /nfs/dbraw/zinc/24/54/18/1072245418.db2.gz VQVYAQPSIKCUIP-GFCCVEGCSA-N 0 0 449.555 -0.451 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCCS(=O)(=O)NC(C)C)c1 ZINC000592296028 1072245473 /nfs/dbraw/zinc/24/54/73/1072245473.db2.gz VQVYAQPSIKCUIP-LBPRGKRZSA-N 0 0 449.555 -0.451 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000592298526 1072245611 /nfs/dbraw/zinc/24/56/11/1072245611.db2.gz BSQOYEXLGWTOCW-GFCCVEGCSA-N 0 0 449.555 -0.498 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000592298527 1072245455 /nfs/dbraw/zinc/24/54/55/1072245455.db2.gz BSQOYEXLGWTOCW-LBPRGKRZSA-N 0 0 449.555 -0.498 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(Cc3cnn(C)c3)CC2)c1 ZINC000592313496 1072245574 /nfs/dbraw/zinc/24/55/74/1072245574.db2.gz YBLWIJDVUAEEGJ-UHFFFAOYSA-N 0 0 448.549 -0.255 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(Cc3nccn3C)CC2)c1 ZINC000592314548 1072245561 /nfs/dbraw/zinc/24/55/61/1072245561.db2.gz DMTBIUYYDXDTQR-UHFFFAOYSA-N 0 0 448.549 -0.255 20 0 IBADRN CN(C(=O)CNC(=O)COc1ccccc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000592316993 1072245020 /nfs/dbraw/zinc/24/50/20/1072245020.db2.gz PUXYLQQBTIQEHE-UHFFFAOYSA-N 0 0 445.564 -0.122 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000592351298 1072245444 /nfs/dbraw/zinc/24/54/44/1072245444.db2.gz GCEQLDXLKJPKCR-UHFFFAOYSA-N 0 0 448.567 -0.655 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000592352481 1072245549 /nfs/dbraw/zinc/24/55/49/1072245549.db2.gz KZNZHGPZZPGGIT-UHFFFAOYSA-N 0 0 434.540 -0.398 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1 ZINC000592355300 1072246040 /nfs/dbraw/zinc/24/60/40/1072246040.db2.gz DJHAQTFQWPKBJL-UHFFFAOYSA-N 0 0 434.522 -0.047 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1-n1nnnc1C ZINC000592355372 1072245522 /nfs/dbraw/zinc/24/55/22/1072245522.db2.gz PPKCXDOZXNBOPN-UHFFFAOYSA-N 0 0 439.480 -0.004 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000592355424 1072245668 /nfs/dbraw/zinc/24/56/68/1072245668.db2.gz XBBVQQSAUQQDGB-UHFFFAOYSA-N 0 0 426.481 -0.966 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)ccc1C ZINC000592355449 1072245430 /nfs/dbraw/zinc/24/54/30/1072245430.db2.gz XVPMQJXDXMERJD-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1OCC(N)=O ZINC000592357581 1072246298 /nfs/dbraw/zinc/24/62/98/1072246298.db2.gz BMVHGGHXTMYACY-UHFFFAOYSA-N 0 0 430.465 -0.424 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)ccc1C ZINC000592357634 1072246305 /nfs/dbraw/zinc/24/63/05/1072246305.db2.gz JKQFFJLXLLZCIC-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c2ccccc2O1 ZINC000592357656 1072246279 /nfs/dbraw/zinc/24/62/79/1072246279.db2.gz LEQRZSOCIOBEIW-GOSISDBHSA-N 0 0 426.477 -0.396 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)c2ccccc2O1 ZINC000592357657 1072245994 /nfs/dbraw/zinc/24/59/94/1072245994.db2.gz LEQRZSOCIOBEIW-SFHVURJKSA-N 0 0 426.477 -0.396 20 0 IBADRN CC(C)(C)C(=O)N1CSC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000592362544 1072245623 /nfs/dbraw/zinc/24/56/23/1072245623.db2.gz HPSZYHGDLSEVPK-AWEZNQCLSA-N 0 0 426.539 -0.536 20 0 IBADRN CC(C)(C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000592362545 1072245402 /nfs/dbraw/zinc/24/54/02/1072245402.db2.gz HPSZYHGDLSEVPK-CQSZACIVSA-N 0 0 426.539 -0.536 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CSCN2C(=O)C2CCCC2)CC1 ZINC000592364180 1072245651 /nfs/dbraw/zinc/24/56/51/1072245651.db2.gz HUZBCJYBPABHQB-HNNXBMFYSA-N 0 0 436.534 -0.102 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CSCN2C(=O)C2CCCC2)CC1 ZINC000592364181 1072245662 /nfs/dbraw/zinc/24/56/62/1072245662.db2.gz HUZBCJYBPABHQB-OAHLLOKOSA-N 0 0 436.534 -0.102 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CSCN3C(=O)C3CCC3)CC2)CC1 ZINC000592372269 1072245535 /nfs/dbraw/zinc/24/55/35/1072245535.db2.gz WINONLJUFAUYTB-INIZCTEOSA-N 0 0 445.611 -0.676 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CSCN3C(=O)C3CCC3)CC2)CC1 ZINC000592372270 1072245617 /nfs/dbraw/zinc/24/56/17/1072245617.db2.gz WINONLJUFAUYTB-MRXNPFEDSA-N 0 0 445.611 -0.676 20 0 IBADRN CC(C)c1nc2c(n1C)CCN(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2 ZINC000592391005 1072246652 /nfs/dbraw/zinc/24/66/52/1072246652.db2.gz IULZKVFLQDVNMH-UHFFFAOYSA-N 0 0 432.569 -0.095 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)c1 ZINC000592404724 1072246169 /nfs/dbraw/zinc/24/61/69/1072246169.db2.gz MKAQLSSWELYVPR-MOPGFXCFSA-N 0 0 438.550 -0.158 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)c1 ZINC000592404725 1072246052 /nfs/dbraw/zinc/24/60/52/1072246052.db2.gz MKAQLSSWELYVPR-OALUTQOASA-N 0 0 438.550 -0.158 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)c1 ZINC000592404726 1072245939 /nfs/dbraw/zinc/24/59/39/1072245939.db2.gz MKAQLSSWELYVPR-RBUKOAKNSA-N 0 0 438.550 -0.158 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)c1 ZINC000592404727 1072246152 /nfs/dbraw/zinc/24/61/52/1072246152.db2.gz MKAQLSSWELYVPR-RTBURBONSA-N 0 0 438.550 -0.158 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000592408430 1072246285 /nfs/dbraw/zinc/24/62/85/1072246285.db2.gz NZTIYPYXPPWROV-MSOLQXFVSA-N 0 0 436.534 -0.522 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000592408431 1072246127 /nfs/dbraw/zinc/24/61/27/1072246127.db2.gz NZTIYPYXPPWROV-QZTJIDSGSA-N 0 0 436.534 -0.522 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000592408432 1072246073 /nfs/dbraw/zinc/24/60/73/1072246073.db2.gz NZTIYPYXPPWROV-ROUUACIJSA-N 0 0 436.534 -0.522 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000592408435 1072246215 /nfs/dbraw/zinc/24/62/15/1072246215.db2.gz NZTIYPYXPPWROV-ZWKOTPCHSA-N 0 0 436.534 -0.522 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000592410754 1072246682 /nfs/dbraw/zinc/24/66/82/1072246682.db2.gz LTDZWKCYJAHNME-MSOLQXFVSA-N 0 0 436.534 -0.522 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000592410756 1072246804 /nfs/dbraw/zinc/24/68/04/1072246804.db2.gz LTDZWKCYJAHNME-QZTJIDSGSA-N 0 0 436.534 -0.522 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000592410758 1072246820 /nfs/dbraw/zinc/24/68/20/1072246820.db2.gz LTDZWKCYJAHNME-ROUUACIJSA-N 0 0 436.534 -0.522 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000592410760 1072246637 /nfs/dbraw/zinc/24/66/37/1072246637.db2.gz LTDZWKCYJAHNME-ZWKOTPCHSA-N 0 0 436.534 -0.522 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000592415330 1072246734 /nfs/dbraw/zinc/24/67/34/1072246734.db2.gz XMWIVWKLZIPSHR-AEFFLSMTSA-N 0 0 426.539 -0.283 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000592415332 1072246619 /nfs/dbraw/zinc/24/66/19/1072246619.db2.gz XMWIVWKLZIPSHR-FUHWJXTLSA-N 0 0 426.539 -0.283 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000592415334 1072246797 /nfs/dbraw/zinc/24/67/97/1072246797.db2.gz XMWIVWKLZIPSHR-SJLPKXTDSA-N 0 0 426.539 -0.283 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000592415336 1072246720 /nfs/dbraw/zinc/24/67/20/1072246720.db2.gz XMWIVWKLZIPSHR-WMZOPIPTSA-N 0 0 426.539 -0.283 20 0 IBADRN COC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000592428619 1072247698 /nfs/dbraw/zinc/24/76/98/1072247698.db2.gz IPOVVQKYEPZEBZ-AWEZNQCLSA-N 0 0 441.506 -0.165 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000592435302 1072247803 /nfs/dbraw/zinc/24/78/03/1072247803.db2.gz IADSAOBCUUNTNT-FXUDXRNXSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000592435303 1072247692 /nfs/dbraw/zinc/24/76/92/1072247692.db2.gz IADSAOBCUUNTNT-KLHDSHLOSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000592435304 1072248372 /nfs/dbraw/zinc/24/83/72/1072248372.db2.gz IADSAOBCUUNTNT-LVQVYYBASA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000592435305 1072248415 /nfs/dbraw/zinc/24/84/15/1072248415.db2.gz IADSAOBCUUNTNT-QKPAOTATSA-N 0 0 449.595 -0.364 20 0 IBADRN CN(CCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000592435635 1072247728 /nfs/dbraw/zinc/24/77/28/1072247728.db2.gz RXYBYRLZKDDUNW-KFWWJZLASA-N 0 0 437.584 -0.506 20 0 IBADRN CN(CCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000592435636 1072247684 /nfs/dbraw/zinc/24/76/84/1072247684.db2.gz RXYBYRLZKDDUNW-RBSFLKMASA-N 0 0 437.584 -0.506 20 0 IBADRN CN(CCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000592435637 1072247706 /nfs/dbraw/zinc/24/77/06/1072247706.db2.gz RXYBYRLZKDDUNW-RRFJBIMHSA-N 0 0 437.584 -0.506 20 0 IBADRN CN(CCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000592435638 1072247722 /nfs/dbraw/zinc/24/77/22/1072247722.db2.gz RXYBYRLZKDDUNW-ZNMIVQPWSA-N 0 0 437.584 -0.506 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000592436313 1072248328 /nfs/dbraw/zinc/24/83/28/1072248328.db2.gz OLCOZEWYXWPQOQ-BRWVUGGUSA-N 0 0 442.582 -0.624 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000592436314 1072248379 /nfs/dbraw/zinc/24/83/79/1072248379.db2.gz OLCOZEWYXWPQOQ-GVDBMIGSSA-N 0 0 442.582 -0.624 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000592436315 1072248282 /nfs/dbraw/zinc/24/82/82/1072248282.db2.gz OLCOZEWYXWPQOQ-IXDOHACOSA-N 0 0 442.582 -0.624 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000592436318 1072248335 /nfs/dbraw/zinc/24/83/35/1072248335.db2.gz OLCOZEWYXWPQOQ-YESZJQIVSA-N 0 0 442.582 -0.624 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N1CCOCC1 ZINC000592441511 1072248323 /nfs/dbraw/zinc/24/83/23/1072248323.db2.gz KQANJOXYXFOFNG-CAOSSQGBSA-N 0 0 429.539 -0.540 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N1CCOCC1 ZINC000592441513 1072248306 /nfs/dbraw/zinc/24/83/06/1072248306.db2.gz KQANJOXYXFOFNG-JJXSEGSLSA-N 0 0 429.539 -0.540 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N1CCOCC1 ZINC000592441515 1072248409 /nfs/dbraw/zinc/24/84/09/1072248409.db2.gz KQANJOXYXFOFNG-JONQDZQNSA-N 0 0 429.539 -0.540 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N1CCOCC1 ZINC000592441516 1072248421 /nfs/dbraw/zinc/24/84/21/1072248421.db2.gz KQANJOXYXFOFNG-ZJIFWQFVSA-N 0 0 429.539 -0.540 20 0 IBADRN Cc1nc(CN2CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2)no1 ZINC000592444663 1072248344 /nfs/dbraw/zinc/24/83/44/1072248344.db2.gz VZYSEXJYMDOILZ-UHFFFAOYSA-N 0 0 448.505 -0.543 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000592477540 1071417258 /nfs/dbraw/zinc/41/72/58/1071417258.db2.gz AFSUVNXNXYBBSQ-HNNXBMFYSA-N 0 0 425.445 -0.050 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000592477545 1072248295 /nfs/dbraw/zinc/24/82/95/1072248295.db2.gz AFSUVNXNXYBBSQ-OAHLLOKOSA-N 0 0 425.445 -0.050 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000592515368 1072248803 /nfs/dbraw/zinc/24/88/03/1072248803.db2.gz IGFBBHBHJYJKGF-UHFFFAOYSA-N 0 0 440.570 -0.111 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCn2c(=O)oc3ccccc32)CC1 ZINC000592531472 1072248811 /nfs/dbraw/zinc/24/88/11/1072248811.db2.gz GRSSWOBVFPHRKZ-UHFFFAOYSA-N 0 0 429.433 -0.403 20 0 IBADRN COC(=O)CN1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000592569462 1072249393 /nfs/dbraw/zinc/24/93/93/1072249393.db2.gz AVGFXMURFQBIDW-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN COC(=O)CN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000592569894 1072249320 /nfs/dbraw/zinc/24/93/20/1072249320.db2.gz QFYFFCGRTGPMOV-UHFFFAOYSA-N 0 0 440.522 -0.563 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(CC(=O)OC)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000592570125 1072249388 /nfs/dbraw/zinc/24/93/88/1072249388.db2.gz VJKIBQUHVKQHMW-UHFFFAOYSA-N 0 0 443.504 -0.366 20 0 IBADRN COC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000592570188 1072248938 /nfs/dbraw/zinc/24/89/38/1072248938.db2.gz XHYAPHLQBPPDFI-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN COC(=O)CN1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000592570368 1072249454 /nfs/dbraw/zinc/24/94/54/1072249454.db2.gz ZTRITOIHOHFWRF-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000592668069 1072249401 /nfs/dbraw/zinc/24/94/01/1072249401.db2.gz HLXVDLSFUVSMIC-HNNXBMFYSA-N 0 0 425.507 -0.004 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000592668070 1072249370 /nfs/dbraw/zinc/24/93/70/1072249370.db2.gz HLXVDLSFUVSMIC-OAHLLOKOSA-N 0 0 425.507 -0.004 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000592678711 1072249331 /nfs/dbraw/zinc/24/93/31/1072249331.db2.gz UGMXQWKUXZQNRT-UHFFFAOYSA-N 0 0 427.465 -0.424 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)n1 ZINC000592680798 1072249470 /nfs/dbraw/zinc/24/94/70/1072249470.db2.gz QJJXJVHPTGBQIW-UHFFFAOYSA-N 0 0 427.465 -0.424 20 0 IBADRN O=C(c1ccc(N2CCOCC2)c(F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000592728250 1072249481 /nfs/dbraw/zinc/24/94/81/1072249481.db2.gz IFNQHKMBWJINAJ-UHFFFAOYSA-N 0 0 442.513 -0.003 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(N3CCOCC3)c(F)c2)CC1)N1CCOCC1 ZINC000592728711 1072249501 /nfs/dbraw/zinc/24/95/01/1072249501.db2.gz SXKXKPLLWVRMCJ-UHFFFAOYSA-N 0 0 434.468 -0.195 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(N2CCOCC2)c(F)c1 ZINC000592754421 1072249307 /nfs/dbraw/zinc/24/93/07/1072249307.db2.gz FHPAVVRMUCTKQO-AEFFLSMTSA-N 0 0 427.498 -0.110 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(N2CCOCC2)c(F)c1 ZINC000592754422 1072249438 /nfs/dbraw/zinc/24/94/38/1072249438.db2.gz FHPAVVRMUCTKQO-FUHWJXTLSA-N 0 0 427.498 -0.110 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(N2CCOCC2)c(F)c1 ZINC000592754423 1072249879 /nfs/dbraw/zinc/24/98/79/1072249879.db2.gz FHPAVVRMUCTKQO-SJLPKXTDSA-N 0 0 427.498 -0.110 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(N2CCOCC2)c(F)c1 ZINC000592754426 1072250022 /nfs/dbraw/zinc/25/00/22/1072250022.db2.gz FHPAVVRMUCTKQO-WMZOPIPTSA-N 0 0 427.498 -0.110 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)cn1 ZINC000592798514 1072250005 /nfs/dbraw/zinc/25/00/05/1072250005.db2.gz JESXZFHFWWGYGH-UHFFFAOYSA-N 0 0 438.491 -0.074 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N(C)[C@@H]2CCOC2)c(=O)[nH]c1=O ZINC000592802597 1072249942 /nfs/dbraw/zinc/24/99/42/1072249942.db2.gz CDNUTFWCXQHDGL-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N(C)[C@H]2CCOC2)c(=O)[nH]c1=O ZINC000592802598 1072249837 /nfs/dbraw/zinc/24/98/37/1072249837.db2.gz CDNUTFWCXQHDGL-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CSCN3C(=O)C(C)(C)C)CC2)CC1 ZINC000592884433 1072249807 /nfs/dbraw/zinc/24/98/07/1072249807.db2.gz ORDUZUKRAUJSGN-HNNXBMFYSA-N 0 0 447.627 -0.430 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CSCN3C(=O)C(C)(C)C)CC2)CC1 ZINC000592884450 1072249902 /nfs/dbraw/zinc/24/99/02/1072249902.db2.gz ORDUZUKRAUJSGN-OAHLLOKOSA-N 0 0 447.627 -0.430 20 0 IBADRN Cc1cn(-c2ccccc2)nc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000592944851 1072250417 /nfs/dbraw/zinc/25/04/17/1072250417.db2.gz RGUGKXGUSXBSKC-UHFFFAOYSA-N 0 0 440.504 0.122 20 0 IBADRN Cc1cn(-c2ccccc2)nc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000592945526 1072250507 /nfs/dbraw/zinc/25/05/07/1072250507.db2.gz XGTMSLFQSXILCD-UHFFFAOYSA-N 0 0 426.477 0.315 20 0 IBADRN Cc1cn(-c2ccccc2)nc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000592946513 1072250537 /nfs/dbraw/zinc/25/05/37/1072250537.db2.gz OSJXDUUKPGTODT-UHFFFAOYSA-N 0 0 438.488 0.412 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCn3c4ccccc4oc3=O)cnc2n(C)c1=O ZINC000592948449 1072250466 /nfs/dbraw/zinc/25/04/66/1072250466.db2.gz FMBQFLHBRQKRTD-UHFFFAOYSA-N 0 0 438.400 -0.705 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000592962602 1072250514 /nfs/dbraw/zinc/25/05/14/1072250514.db2.gz FIRRXWFDIBENMO-INIZCTEOSA-N 0 0 431.493 -0.242 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000592962603 1072250474 /nfs/dbraw/zinc/25/04/74/1072250474.db2.gz FIRRXWFDIBENMO-MRXNPFEDSA-N 0 0 431.493 -0.242 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000592962624 1072250016 /nfs/dbraw/zinc/25/00/16/1072250016.db2.gz HCPQTBBTMIJQEQ-AWEZNQCLSA-N 0 0 425.511 -0.322 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000592962625 1072249956 /nfs/dbraw/zinc/24/99/56/1072249956.db2.gz HCPQTBBTMIJQEQ-CQSZACIVSA-N 0 0 425.511 -0.322 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000592962630 1072250542 /nfs/dbraw/zinc/25/05/42/1072250542.db2.gz IBDAASYXTTVOQD-AWEZNQCLSA-N 0 0 442.469 -0.478 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000592962631 1072250451 /nfs/dbraw/zinc/25/04/51/1072250451.db2.gz IBDAASYXTTVOQD-CQSZACIVSA-N 0 0 442.469 -0.478 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000592962647 1072250530 /nfs/dbraw/zinc/25/05/30/1072250530.db2.gz JOAOASCWZDLNLC-GOSISDBHSA-N 0 0 444.536 -0.428 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000592962648 1072250435 /nfs/dbraw/zinc/25/04/35/1072250435.db2.gz JOAOASCWZDLNLC-SFHVURJKSA-N 0 0 444.536 -0.428 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000592963053 1072250522 /nfs/dbraw/zinc/25/05/22/1072250522.db2.gz KXNMNCRCNZNMGC-PBHICJAKSA-N 0 0 430.461 -0.624 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000592963056 1072250448 /nfs/dbraw/zinc/25/04/48/1072250448.db2.gz KXNMNCRCNZNMGC-RHSMWYFYSA-N 0 0 430.461 -0.624 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000592963058 1072250553 /nfs/dbraw/zinc/25/05/53/1072250553.db2.gz KXNMNCRCNZNMGC-WMLDXEAASA-N 0 0 430.461 -0.624 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000592963060 1072250500 /nfs/dbraw/zinc/25/05/00/1072250500.db2.gz KXNMNCRCNZNMGC-YOEHRIQHSA-N 0 0 430.461 -0.624 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000592963079 1072250407 /nfs/dbraw/zinc/25/04/07/1072250407.db2.gz MIERVOMDJPDDTR-INIZCTEOSA-N 0 0 438.506 -0.309 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000592963080 1072250527 /nfs/dbraw/zinc/25/05/27/1072250527.db2.gz MIERVOMDJPDDTR-MRXNPFEDSA-N 0 0 438.506 -0.309 20 0 IBADRN CC(C)(C)CN(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000592970880 1072250426 /nfs/dbraw/zinc/25/04/26/1072250426.db2.gz GLLJXYPOMVAOKK-UHFFFAOYSA-N 0 0 440.522 -0.387 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000592971648 1072250459 /nfs/dbraw/zinc/25/04/59/1072250459.db2.gz NSYVXWAVYNHXAM-AWEZNQCLSA-N 0 0 427.479 -0.098 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000592971652 1072250547 /nfs/dbraw/zinc/25/05/47/1072250547.db2.gz NSYVXWAVYNHXAM-CQSZACIVSA-N 0 0 427.479 -0.098 20 0 IBADRN O=C(NCc1nnnn1-c1ccccc1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000593072841 1072252970 /nfs/dbraw/zinc/25/29/70/1072252970.db2.gz BYDZCXHUZROQEH-UHFFFAOYSA-N 0 0 441.473 -0.287 20 0 IBADRN O=C(NCc1nnnn1-c1ccccc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000593072890 1072252824 /nfs/dbraw/zinc/25/28/24/1072252824.db2.gz DWQWGYBPZIPXNY-UHFFFAOYSA-N 0 0 441.473 -0.287 20 0 IBADRN O=C(COCC(=O)NCc1nnnn1-c1ccccc1)NCc1nnnn1-c1ccccc1 ZINC000593073548 1072252807 /nfs/dbraw/zinc/25/28/07/1072252807.db2.gz DSVWLBSSATVEAV-UHFFFAOYSA-N 0 0 448.447 -0.413 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1nnnn1-c1ccccc1 ZINC000593074810 1072253005 /nfs/dbraw/zinc/25/30/05/1072253005.db2.gz QYBXWGFXXLNKPL-UHFFFAOYSA-N 0 0 431.478 -0.048 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000593148524 1072252924 /nfs/dbraw/zinc/25/29/24/1072252924.db2.gz TTYQIMOPHJEFDN-HNNXBMFYSA-N 0 0 438.506 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC000593148525 1071418222 /nfs/dbraw/zinc/41/82/22/1071418222.db2.gz TTYQIMOPHJEFDN-OAHLLOKOSA-N 0 0 438.506 -0.239 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NCc1nnnn1-c1ccccc1 ZINC000593163452 1072253041 /nfs/dbraw/zinc/25/30/41/1072253041.db2.gz SMAKBYSCHAYIJI-UHFFFAOYSA-N 0 0 429.418 -0.466 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCc3nnnn3-c3ccccc3)CC2)no1 ZINC000593376113 1073330483 /nfs/dbraw/zinc/33/04/83/1073330483.db2.gz DRNJNPYWBUFEOM-UHFFFAOYSA-N 0 0 425.453 0.425 20 0 IBADRN O=C(NCc1nnnn1-c1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000593377277 1072253933 /nfs/dbraw/zinc/25/39/33/1072253933.db2.gz PWNVDZZSFZBPTB-UHFFFAOYSA-N 0 0 436.498 -0.934 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000593380977 1072253951 /nfs/dbraw/zinc/25/39/51/1072253951.db2.gz OVWJTWMQYJXQPE-UHFFFAOYSA-N 0 0 429.568 -0.129 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCc2nnnn2-c2ccccc2)c1 ZINC000593419427 1072254021 /nfs/dbraw/zinc/25/40/21/1072254021.db2.gz AXDXHVPAPZXDTE-UHFFFAOYSA-N 0 0 443.489 -0.041 20 0 IBADRN O=C(CCNC(=O)NCc1nnnn1-c1ccccc1)N1CCN(c2ncccn2)CC1 ZINC000593524630 1072253898 /nfs/dbraw/zinc/25/38/98/1072253898.db2.gz BLPXWOZRNLWKCL-UHFFFAOYSA-N 0 0 436.480 -0.010 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2nnnn2-c2ccccc2)CC1 ZINC000593531788 1072254448 /nfs/dbraw/zinc/25/44/48/1072254448.db2.gz LEKDHMHRVWDEHH-UHFFFAOYSA-N 0 0 426.437 -0.835 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc2c(c1)NC(=O)CO2 ZINC000593574822 1072254403 /nfs/dbraw/zinc/25/44/03/1072254403.db2.gz GIFRLXIPOOTCDO-UHFFFAOYSA-N 0 0 428.492 -0.549 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000593574861 1072254423 /nfs/dbraw/zinc/25/44/23/1072254423.db2.gz WSCBGSCTBKMMDO-UHFFFAOYSA-N 0 0 428.536 -0.592 20 0 IBADRN Cc1nn(C)c2ncc(NC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)cc12 ZINC000593574862 1072254498 /nfs/dbraw/zinc/25/44/98/1072254498.db2.gz YNNKKKBUDAKDFX-UHFFFAOYSA-N 0 0 426.524 -0.290 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cccc(N2CCOCC2)c1 ZINC000593575198 1072254504 /nfs/dbraw/zinc/25/45/04/1072254504.db2.gz FROJFMRZBHDUGY-UHFFFAOYSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cc(F)ccc2N2CCOCC2)CC1)N1CCOCC1 ZINC000593765272 1072256872 /nfs/dbraw/zinc/25/68/72/1072256872.db2.gz XQWVEJXQNYUMIN-UHFFFAOYSA-N 0 0 434.468 -0.195 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000593821084 1072257294 /nfs/dbraw/zinc/25/72/94/1072257294.db2.gz BEMSTENRXXSJBW-GOSISDBHSA-N 0 0 440.508 -0.566 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000593821085 1072257475 /nfs/dbraw/zinc/25/74/75/1072257475.db2.gz BEMSTENRXXSJBW-SFHVURJKSA-N 0 0 440.508 -0.566 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)N3CCN(Cc4nnnn4-c4ccccc4)CC3)cnc21 ZINC000593822501 1072257343 /nfs/dbraw/zinc/25/73/43/1072257343.db2.gz YSADRFZJQPTQJK-UHFFFAOYSA-N 0 0 447.459 -0.032 20 0 IBADRN Cn1ccc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)n1 ZINC000593822938 1072257414 /nfs/dbraw/zinc/25/74/14/1072257414.db2.gz NROPFBVZWITWQQ-UHFFFAOYSA-N 0 0 435.506 0.530 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000593853596 1072258039 /nfs/dbraw/zinc/25/80/39/1072258039.db2.gz HKUODVRNHKUTOV-UHFFFAOYSA-N 0 0 442.494 -0.889 20 0 IBADRN COc1ccc(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000593854174 1072257902 /nfs/dbraw/zinc/25/79/02/1072257902.db2.gz WQHBZNDZQAWHDW-UHFFFAOYSA-N 0 0 440.522 -0.244 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000593854738 1072258046 /nfs/dbraw/zinc/25/80/46/1072258046.db2.gz BCOKWRHPLWYTNU-UHFFFAOYSA-N 0 0 446.504 -0.029 20 0 IBADRN COc1cccc(C[C@@H](C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)n2nnnc2C)c1 ZINC000593855095 1072258094 /nfs/dbraw/zinc/25/80/94/1072258094.db2.gz MVMKQINOTZHORF-IBGZPJMESA-N 0 0 443.508 -0.029 20 0 IBADRN COc1cccc(C[C@H](C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)n2nnnc2C)c1 ZINC000593855110 1072257953 /nfs/dbraw/zinc/25/79/53/1072257953.db2.gz MVMKQINOTZHORF-LJQANCHMSA-N 0 0 443.508 -0.029 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)CC1 ZINC000593859996 1072257458 /nfs/dbraw/zinc/25/74/58/1072257458.db2.gz HJWQRLKKFANSGX-LBPRGKRZSA-N 0 0 429.499 -0.845 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@@H](Cc2c[nH]c3ccccc23)NC(N)=O)CC1 ZINC000593860038 1072257319 /nfs/dbraw/zinc/25/73/19/1072257319.db2.gz LXZPMOADKGBATH-GOSISDBHSA-N 0 0 428.493 -0.056 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@H](Cc2c[nH]c3ccccc23)NC(N)=O)CC1 ZINC000593860237 1072257436 /nfs/dbraw/zinc/25/74/36/1072257436.db2.gz LXZPMOADKGBATH-SFHVURJKSA-N 0 0 428.493 -0.056 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2ccc(CCNS(C)(=O)=O)s2)CC1 ZINC000593860572 1072257483 /nfs/dbraw/zinc/25/74/83/1072257483.db2.gz PEIKTJNTKKXJPN-UHFFFAOYSA-N 0 0 430.552 -0.350 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000593860578 1072257394 /nfs/dbraw/zinc/25/73/94/1072257394.db2.gz QCMHDRICQDVADG-UHFFFAOYSA-N 0 0 425.507 -0.083 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@H](C)NS(=O)(=O)c2ccccc2F)CC1 ZINC000593860669 1072257402 /nfs/dbraw/zinc/25/74/02/1072257402.db2.gz XBMBLMOHLKJNFU-ZDUSSCGKSA-N 0 0 428.486 -0.311 20 0 IBADRN NC(=O)[C@@H]1c2ccccc2CCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000593949677 1072259090 /nfs/dbraw/zinc/25/90/90/1072259090.db2.gz SWHUHVACRZNYGC-KRWDZBQOSA-N 0 0 430.442 -0.147 20 0 IBADRN NC(=O)[C@H]1c2ccccc2CCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000593949678 1072259146 /nfs/dbraw/zinc/25/91/46/1072259146.db2.gz SWHUHVACRZNYGC-QGZVFWFLSA-N 0 0 430.442 -0.147 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2CCCc3cc(S(=O)(=O)N4CCCC4)ccc32)CC1 ZINC000594004737 1072259134 /nfs/dbraw/zinc/25/91/34/1072259134.db2.gz IAQVBECAGCQABK-UHFFFAOYSA-N 0 0 449.577 -0.147 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(CC(N)=O)CC3)CC2)cc1C ZINC000594006483 1072259154 /nfs/dbraw/zinc/25/91/54/1072259154.db2.gz JBVVEXGTEPCTRF-UHFFFAOYSA-N 0 0 437.566 -0.761 20 0 IBADRN NC(=O)CN1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCCCC2)CC1 ZINC000594006714 1071420923 /nfs/dbraw/zinc/42/09/23/1071420923.db2.gz WYTYPWPEHWOXHT-UHFFFAOYSA-N 0 0 437.566 -0.420 20 0 IBADRN NC(=O)[C@@H]1CCCN1CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000594027701 1072259037 /nfs/dbraw/zinc/25/90/37/1072259037.db2.gz HJZGWQPYVVBHTB-INIZCTEOSA-N 0 0 430.552 -0.255 20 0 IBADRN NC(=O)[C@H]1CCCN1CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000594027721 1072259206 /nfs/dbraw/zinc/25/92/06/1072259206.db2.gz HJZGWQPYVVBHTB-MRXNPFEDSA-N 0 0 430.552 -0.255 20 0 IBADRN NC(=O)[C@@H]1CCCN1CCCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000594027740 1072259096 /nfs/dbraw/zinc/25/90/96/1072259096.db2.gz JFSKFNZUZCAFFZ-INIZCTEOSA-N 0 0 430.552 -0.255 20 0 IBADRN NC(=O)[C@H]1CCCN1CCCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000594027741 1071420788 /nfs/dbraw/zinc/42/07/88/1071420788.db2.gz JFSKFNZUZCAFFZ-MRXNPFEDSA-N 0 0 430.552 -0.255 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000594028848 1072259029 /nfs/dbraw/zinc/25/90/29/1072259029.db2.gz ZVDGLMAWMNIZRO-UHFFFAOYSA-N 0 0 446.551 -0.704 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000594030854 1072259079 /nfs/dbraw/zinc/25/90/79/1072259079.db2.gz SQYPHOIZKLVCNR-UHFFFAOYSA-N 0 0 431.536 -0.161 20 0 IBADRN O=C1C[C@H](N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C(=O)N1c1cnccn1 ZINC000594047067 1072259164 /nfs/dbraw/zinc/25/91/64/1072259164.db2.gz FBGCDRLQVFFKFZ-AWEZNQCLSA-N 0 0 425.474 -0.004 20 0 IBADRN O=C1C[C@@H](N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C(=O)N1c1cnccn1 ZINC000594047078 1072259183 /nfs/dbraw/zinc/25/91/83/1072259183.db2.gz FBGCDRLQVFFKFZ-CQSZACIVSA-N 0 0 425.474 -0.004 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000594063396 1072260301 /nfs/dbraw/zinc/26/03/01/1072260301.db2.gz HUTNORLODIOWPD-UHFFFAOYSA-N 0 0 430.465 -0.059 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1 ZINC000594063416 1072260158 /nfs/dbraw/zinc/26/01/58/1072260158.db2.gz JHOAPGYPEILHMI-UHFFFAOYSA-N 0 0 430.465 -0.059 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000594074259 1072260279 /nfs/dbraw/zinc/26/02/79/1072260279.db2.gz PGQSKPAKORIXOT-UHFFFAOYSA-N 0 0 439.538 -0.384 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000594077389 1072260126 /nfs/dbraw/zinc/26/01/26/1072260126.db2.gz XSWWIWZBVQMAJZ-UHFFFAOYSA-N 0 0 439.538 -0.183 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000594084399 1072260137 /nfs/dbraw/zinc/26/01/37/1072260137.db2.gz ROUDBPZVJYVFFD-UHFFFAOYSA-N 0 0 446.556 -0.631 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000594091615 1072260391 /nfs/dbraw/zinc/26/03/91/1072260391.db2.gz OHLBUXQLSPAECT-UHFFFAOYSA-N 0 0 439.538 -0.183 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000594095325 1072260367 /nfs/dbraw/zinc/26/03/67/1072260367.db2.gz UURNBIIYBQGBFI-UHFFFAOYSA-N 0 0 425.511 -0.062 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000594125575 1072260241 /nfs/dbraw/zinc/26/02/41/1072260241.db2.gz HASGJGHCDWMHDO-UHFFFAOYSA-N 0 0 431.559 -0.429 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000594125601 1072260929 /nfs/dbraw/zinc/26/09/29/1072260929.db2.gz JUERPANBMQLLII-CYBMUJFWSA-N 0 0 425.511 -0.225 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000594125602 1072260827 /nfs/dbraw/zinc/26/08/27/1072260827.db2.gz JUERPANBMQLLII-ZDUSSCGKSA-N 0 0 425.511 -0.225 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000594125938 1072260760 /nfs/dbraw/zinc/26/07/60/1072260760.db2.gz KWGJERRSMGOLPL-UHFFFAOYSA-N 0 0 425.511 -0.744 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC(C(=O)Nc3cc(C)on3)CC2)CC1 ZINC000594125967 1073306654 /nfs/dbraw/zinc/30/66/54/1073306654.db2.gz SBDRWIXWVWOAMS-UHFFFAOYSA-N 0 0 434.497 0.424 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCC2(NS(C)(=O)=O)CCCCC2)CC1 ZINC000594285672 1072260741 /nfs/dbraw/zinc/26/07/41/1072260741.db2.gz CSHBDDMRBFWYKP-UHFFFAOYSA-N 0 0 431.559 -0.382 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3cocn3)CC2)cc1 ZINC000594324980 1072261931 /nfs/dbraw/zinc/26/19/31/1072261931.db2.gz WHXBKNRHDQGCRI-UHFFFAOYSA-N 0 0 429.480 -0.462 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(N3CCCC3=O)cc2)CC1 ZINC000594343057 1072261975 /nfs/dbraw/zinc/26/19/75/1072261975.db2.gz BVBJKVCEJFVULB-UHFFFAOYSA-N 0 0 429.477 -0.051 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(N3CCOCC3)c2)CC1 ZINC000594343173 1072261911 /nfs/dbraw/zinc/26/19/11/1072261911.db2.gz GDZGXRWHWALBJI-UHFFFAOYSA-N 0 0 431.493 -0.341 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000594343794 1072261852 /nfs/dbraw/zinc/26/18/52/1072261852.db2.gz JWYNTTDQAZGDSG-UHFFFAOYSA-N 0 0 431.493 -0.038 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2nn(-c3ccccc3)cc2C)CC1 ZINC000594344251 1072262499 /nfs/dbraw/zinc/26/24/99/1072262499.db2.gz OROPJIONGRDOFL-UHFFFAOYSA-N 0 0 426.477 0.316 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000594344292 1072261892 /nfs/dbraw/zinc/26/18/92/1072261892.db2.gz QSTXNOAWTFDRKI-UHFFFAOYSA-N 0 0 431.493 -0.120 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1F ZINC000594344320 1072261972 /nfs/dbraw/zinc/26/19/72/1072261972.db2.gz TVCBXURRILSSKE-UHFFFAOYSA-N 0 0 438.456 -0.014 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(N3CCOCC3)cc2C)CC1 ZINC000594346547 1072262344 /nfs/dbraw/zinc/26/23/44/1072262344.db2.gz AXNUTGHQVLGPCD-UHFFFAOYSA-N 0 0 445.520 -0.033 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000594346559 1072262430 /nfs/dbraw/zinc/26/24/30/1072262430.db2.gz BKJMJGCLBYSZBK-UHFFFAOYSA-N 0 0 434.493 -0.015 20 0 IBADRN CN(C[C@@H]1CCCOC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000594358770 1072262435 /nfs/dbraw/zinc/26/24/35/1072262435.db2.gz OCLRRSRMLZRNDK-AWEZNQCLSA-N 0 0 438.506 -0.369 20 0 IBADRN CN(C[C@H]1CCCOC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000594358771 1072262363 /nfs/dbraw/zinc/26/23/63/1072262363.db2.gz OCLRRSRMLZRNDK-CQSZACIVSA-N 0 0 438.506 -0.369 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCc1cocn1 ZINC000594363200 1072262023 /nfs/dbraw/zinc/26/20/23/1072262023.db2.gz PRYNKHMKJYMJQC-UHFFFAOYSA-N 0 0 437.478 -0.126 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1nnc2n1CCC2 ZINC000594395850 1072263046 /nfs/dbraw/zinc/26/30/46/1072263046.db2.gz CXFBALJNWQIZOG-AWEZNQCLSA-N 0 0 426.547 -0.895 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1nnc2n1CCC2 ZINC000594395851 1072262859 /nfs/dbraw/zinc/26/28/59/1072262859.db2.gz CXFBALJNWQIZOG-CQSZACIVSA-N 0 0 426.547 -0.895 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000594485449 1072264098 /nfs/dbraw/zinc/26/40/98/1072264098.db2.gz BXOUQWBCWMKJKP-UHFFFAOYSA-N 0 0 427.400 -0.114 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000594605121 1072264085 /nfs/dbraw/zinc/26/40/85/1072264085.db2.gz CNAQPDVGLOTZOQ-NSHDSACASA-N 0 0 425.467 -0.630 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCCN3C(=O)CNC3=O)CC2)c1 ZINC000594606414 1072264591 /nfs/dbraw/zinc/26/45/91/1072264591.db2.gz KZVYTJHEZKWUBQ-UHFFFAOYSA-N 0 0 437.522 -0.495 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCCN2C(=O)CNC2=O)C1 ZINC000594606624 1072264583 /nfs/dbraw/zinc/26/45/83/1072264583.db2.gz VRCVHWRZTBNDNB-CYBMUJFWSA-N 0 0 426.499 -0.515 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCCN2C(=O)CNC2=O)C1 ZINC000594606629 1072264596 /nfs/dbraw/zinc/26/45/96/1072264596.db2.gz VRCVHWRZTBNDNB-ZDUSSCGKSA-N 0 0 426.499 -0.515 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCCCN1C(=O)CNC1=O ZINC000594608385 1072264674 /nfs/dbraw/zinc/26/46/74/1072264674.db2.gz ICYZSOUBWFARAV-UHFFFAOYSA-N 0 0 438.506 -0.302 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCCN1C(=O)CNC1=O ZINC000594608446 1072264604 /nfs/dbraw/zinc/26/46/04/1072264604.db2.gz PDEXDPTUZCOBFE-LBPRGKRZSA-N 0 0 440.478 -0.427 20 0 IBADRN CCc1ccc(C(=O)NCCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000594618366 1072264630 /nfs/dbraw/zinc/26/46/30/1072264630.db2.gz CSJKMCGDBRXHMA-UHFFFAOYSA-N 0 0 438.506 -0.058 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000594618388 1072264611 /nfs/dbraw/zinc/26/46/11/1072264611.db2.gz FVWYSAHQEMYKOH-UHFFFAOYSA-N 0 0 425.467 -0.676 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCCN3C(=O)CNC3=O)CC2)cc1 ZINC000594620018 1072264656 /nfs/dbraw/zinc/26/46/56/1072264656.db2.gz JQMKOZKQRSVCAO-UHFFFAOYSA-N 0 0 437.522 -0.641 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCCN1C(=O)CNC1=O ZINC000594620027 1072264668 /nfs/dbraw/zinc/26/46/68/1072264668.db2.gz JTZCQPULISHVOJ-UHFFFAOYSA-N 0 0 430.508 -0.630 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NCCCN1C(=O)CNC1=O ZINC000594620081 1072264640 /nfs/dbraw/zinc/26/46/40/1072264640.db2.gz RANGTHPLSONLDC-UHFFFAOYSA-N 0 0 440.478 -0.426 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H](CCSC)NS(=O)(=O)c2ccccc2)CC1 ZINC000594667851 1072264563 /nfs/dbraw/zinc/26/45/63/1072264563.db2.gz GSOOZGHCZVXDSQ-INIZCTEOSA-N 0 0 428.580 -0.023 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H](CCSC)NS(=O)(=O)c2ccccc2)CC1 ZINC000594667856 1072264635 /nfs/dbraw/zinc/26/46/35/1072264635.db2.gz GSOOZGHCZVXDSQ-MRXNPFEDSA-N 0 0 428.580 -0.023 20 0 IBADRN O=C1CNC(=O)N1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000594761784 1072264686 /nfs/dbraw/zinc/26/46/86/1072264686.db2.gz AMISKNJYMSLVRB-UHFFFAOYSA-N 0 0 430.508 -0.309 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000594762533 1072265020 /nfs/dbraw/zinc/26/50/20/1072265020.db2.gz NOYMRFBAQOAUHJ-UHFFFAOYSA-N 0 0 432.524 -0.063 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000594824268 1072265192 /nfs/dbraw/zinc/26/51/92/1072265192.db2.gz GUGMPDMKCJDZOM-UHFFFAOYSA-N 0 0 427.483 -0.648 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCCN2C(=O)CNC2=O)CC1 ZINC000594824305 1072265030 /nfs/dbraw/zinc/26/50/30/1072265030.db2.gz NFFDZFGKHBDTJA-UHFFFAOYSA-N 0 0 438.510 -0.632 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000594824827 1072265231 /nfs/dbraw/zinc/26/52/31/1072265231.db2.gz YYUJCNKNGDWFHW-UHFFFAOYSA-N 0 0 433.494 -0.475 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCCN1C(=O)CNC1=O ZINC000594855319 1072265859 /nfs/dbraw/zinc/26/58/59/1072265859.db2.gz DGGLZOGPNUNEIV-UHFFFAOYSA-N 0 0 426.451 -0.816 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000594879464 1072265895 /nfs/dbraw/zinc/26/58/95/1072265895.db2.gz IUGNWRUENBERKL-UHFFFAOYSA-N 0 0 425.467 -0.229 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000594897008 1072265942 /nfs/dbraw/zinc/26/59/42/1072265942.db2.gz QVKKXDMYOBVIGP-UHFFFAOYSA-N 0 0 443.457 -0.090 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000594907075 1072265717 /nfs/dbraw/zinc/26/57/17/1072265717.db2.gz WAEIWQFSNNEUGP-UHFFFAOYSA-N 0 0 445.524 -0.040 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCCNC(=O)NCCS(N)(=O)=O)CC2 ZINC000594970810 1072266313 /nfs/dbraw/zinc/26/63/13/1072266313.db2.gz HHKINSAXAHRFIH-UHFFFAOYSA-N 0 0 428.511 -0.044 20 0 IBADRN CC(C)n1c(N(C)C)nnc1S(=O)(=O)CCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000595049752 1072266986 /nfs/dbraw/zinc/26/69/86/1072266986.db2.gz SXPYVLDGLUTEEV-UHFFFAOYSA-N 0 0 438.514 -0.464 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cc(Br)cnc1-n1cccn1 ZINC000595059050 1072266997 /nfs/dbraw/zinc/26/69/97/1072266997.db2.gz OHTDCRXQADNVFG-UHFFFAOYSA-N 0 0 425.243 -0.153 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCCN3C(=O)CNC3=O)cc2)CC1 ZINC000595083722 1071423233 /nfs/dbraw/zinc/42/32/33/1071423233.db2.gz XSQRYJHFRXKBRR-UHFFFAOYSA-N 0 0 444.492 -0.539 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000595084452 1072267486 /nfs/dbraw/zinc/26/74/86/1072267486.db2.gz ASUXSBZUHPWIRR-UHFFFAOYSA-N 0 0 427.417 -0.311 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000595084581 1072267516 /nfs/dbraw/zinc/26/75/16/1072267516.db2.gz PNLATUHOZKHPEM-UHFFFAOYSA-N 0 0 439.494 -0.240 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)CC2)CC1 ZINC000595361090 1072269234 /nfs/dbraw/zinc/26/92/34/1072269234.db2.gz UADBEXJDEMCFPE-IBGZPJMESA-N 0 0 435.569 -0.094 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)[C@H]3CCCN3C(=O)CNC(C)=O)CC2)CC1 ZINC000595361172 1072269186 /nfs/dbraw/zinc/26/91/86/1072269186.db2.gz UADBEXJDEMCFPE-LJQANCHMSA-N 0 0 435.569 -0.094 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)COCC(=O)NCc3cccnc3)CC2)cc1 ZINC000595396438 1072269103 /nfs/dbraw/zinc/26/91/03/1072269103.db2.gz FYYVXUUZJDITIO-UHFFFAOYSA-N 0 0 447.517 -0.289 20 0 IBADRN O=C(COCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)NCc1cccnc1 ZINC000595408431 1072268963 /nfs/dbraw/zinc/26/89/63/1072268963.db2.gz QCWVQELNQPOXAX-DLBZAZTESA-N 0 0 425.507 -0.091 20 0 IBADRN O=C(COCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)NCc1cccnc1 ZINC000595408433 1072269090 /nfs/dbraw/zinc/26/90/90/1072269090.db2.gz QCWVQELNQPOXAX-IAGOWNOFSA-N 0 0 425.507 -0.091 20 0 IBADRN O=C(COCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)NCc1cccnc1 ZINC000595408436 1072268951 /nfs/dbraw/zinc/26/89/51/1072268951.db2.gz QCWVQELNQPOXAX-IRXDYDNUSA-N 0 0 425.507 -0.091 20 0 IBADRN O=C(COCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)NCc1cccnc1 ZINC000595408438 1072269256 /nfs/dbraw/zinc/26/92/56/1072269256.db2.gz QCWVQELNQPOXAX-SJORKVTESA-N 0 0 425.507 -0.091 20 0 IBADRN O=C(COCC(=O)NCc1cccnc1)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000595426257 1072269128 /nfs/dbraw/zinc/26/91/28/1072269128.db2.gz GDHZOJBOHOABFH-UHFFFAOYSA-N 0 0 440.504 -0.036 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000595488372 1072269073 /nfs/dbraw/zinc/26/90/73/1072269073.db2.gz VCYREZCJOHFMDC-BHIYHBOVSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000595488373 1072269166 /nfs/dbraw/zinc/26/91/66/1072269166.db2.gz VCYREZCJOHFMDC-NZSAHSFTSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H](C)C2)CC1 ZINC000595488374 1072269000 /nfs/dbraw/zinc/26/90/00/1072269000.db2.gz VCYREZCJOHFMDC-QXAKKESOSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H](C)C2)CC1 ZINC000595488375 1072269064 /nfs/dbraw/zinc/26/90/64/1072269064.db2.gz VCYREZCJOHFMDC-YTQUADARSA-N 0 0 435.569 -0.047 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)C1 ZINC000595491461 1072269742 /nfs/dbraw/zinc/26/97/42/1072269742.db2.gz MAOCZVLTNAKTDR-DOTOQJQBSA-N 0 0 429.587 -0.259 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)C1 ZINC000595491462 1072269803 /nfs/dbraw/zinc/26/98/03/1072269803.db2.gz MAOCZVLTNAKTDR-NVXWUHKLSA-N 0 0 429.587 -0.259 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)C1 ZINC000595491463 1072269783 /nfs/dbraw/zinc/26/97/83/1072269783.db2.gz MAOCZVLTNAKTDR-RDJZCZTQSA-N 0 0 429.587 -0.259 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)C1 ZINC000595491464 1072269808 /nfs/dbraw/zinc/26/98/08/1072269808.db2.gz MAOCZVLTNAKTDR-WBVHZDCISA-N 0 0 429.587 -0.259 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CC1 ZINC000595495939 1072269712 /nfs/dbraw/zinc/26/97/12/1072269712.db2.gz UZIFIWJLIQZANN-UHFFFAOYSA-N 0 0 441.529 -0.385 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000595497674 1072269557 /nfs/dbraw/zinc/26/95/57/1072269557.db2.gz VZBQXTLFCMYUMP-IBGZPJMESA-N 0 0 447.511 -0.173 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000595497675 1072269666 /nfs/dbraw/zinc/26/96/66/1072269666.db2.gz VZBQXTLFCMYUMP-LJQANCHMSA-N 0 0 447.511 -0.173 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000595568079 1072269792 /nfs/dbraw/zinc/26/97/92/1072269792.db2.gz GTZQAKMRFCVUHW-AZUAARDMSA-N 0 0 430.505 -0.046 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000595568080 1072269728 /nfs/dbraw/zinc/26/97/28/1072269728.db2.gz GTZQAKMRFCVUHW-ICSRJNTNSA-N 0 0 430.505 -0.046 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000595568081 1072269490 /nfs/dbraw/zinc/26/94/90/1072269490.db2.gz GTZQAKMRFCVUHW-QUCCMNQESA-N 0 0 430.505 -0.046 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000595568082 1072269773 /nfs/dbraw/zinc/26/97/73/1072269773.db2.gz GTZQAKMRFCVUHW-UYAOXDASSA-N 0 0 430.505 -0.046 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCNC(=O)[C@@H]2C(C)C)c(=O)[nH]c1=O ZINC000595568771 1072269545 /nfs/dbraw/zinc/26/95/45/1072269545.db2.gz MHVWSJPWFPJKPU-HNNXBMFYSA-N 0 0 438.529 -0.233 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCNC(=O)[C@H]2C(C)C)c(=O)[nH]c1=O ZINC000595568774 1072269818 /nfs/dbraw/zinc/26/98/18/1072269818.db2.gz MHVWSJPWFPJKPU-OAHLLOKOSA-N 0 0 438.529 -0.233 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)[C@@H]3C(C)C)CC2)cc1 ZINC000595569162 1072269567 /nfs/dbraw/zinc/26/95/67/1072269567.db2.gz SSYADOXWUWXNPZ-IBGZPJMESA-N 0 0 438.550 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)[C@H]3C(C)C)CC2)cc1 ZINC000595569163 1072269510 /nfs/dbraw/zinc/26/95/10/1072269510.db2.gz SSYADOXWUWXNPZ-LJQANCHMSA-N 0 0 438.550 -0.016 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000595569392 1072269526 /nfs/dbraw/zinc/26/95/26/1072269526.db2.gz YYRCLCYBSACHDT-IBGZPJMESA-N 0 0 438.550 -0.220 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000595569393 1072269760 /nfs/dbraw/zinc/26/97/60/1072269760.db2.gz YYRCLCYBSACHDT-LJQANCHMSA-N 0 0 438.550 -0.220 20 0 IBADRN Cn1cc(-c2cncc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c2)cn1 ZINC000595671180 1072271374 /nfs/dbraw/zinc/27/13/74/1072271374.db2.gz PLPYUOIEFSGIDG-UHFFFAOYSA-N 0 0 433.538 -0.268 20 0 IBADRN CN(CC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000595696117 1072271518 /nfs/dbraw/zinc/27/15/18/1072271518.db2.gz QVOIWUDDCOTSSW-INIZCTEOSA-N 0 0 440.541 -0.181 20 0 IBADRN CN(CC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000595696118 1072271465 /nfs/dbraw/zinc/27/14/65/1072271465.db2.gz QVOIWUDDCOTSSW-MRXNPFEDSA-N 0 0 440.541 -0.181 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)cn1 ZINC000595859175 1072271266 /nfs/dbraw/zinc/27/12/66/1072271266.db2.gz YGENJRHFCATLQT-UHFFFAOYSA-N 0 0 445.455 -0.980 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000596029622 1073334966 /nfs/dbraw/zinc/33/49/66/1073334966.db2.gz DVMKUFIPHZBWPU-UHFFFAOYSA-N 0 0 449.533 0.489 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000596033671 1072271921 /nfs/dbraw/zinc/27/19/21/1072271921.db2.gz UYFXKQJLEJAAND-UHFFFAOYSA-N 0 0 449.533 0.489 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000596042120 1072272001 /nfs/dbraw/zinc/27/20/01/1072272001.db2.gz WOIZPSPSOJCEPQ-UHFFFAOYSA-N 0 0 439.494 0.082 20 0 IBADRN O=C(N1CCN(S(=O)(=O)N2CCOCC2)CC1)C1(S(=O)(=O)c2ccccc2)CC1 ZINC000596072534 1072271903 /nfs/dbraw/zinc/27/19/03/1072271903.db2.gz QBPLXRKKYAXIBN-UHFFFAOYSA-N 0 0 443.547 -0.286 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C2(S(=O)(=O)c3ccccc3)CC2)CC1)N1CCOCC1 ZINC000596080040 1072271787 /nfs/dbraw/zinc/27/17/87/1072271787.db2.gz XSPDWQRMYXDYFV-UHFFFAOYSA-N 0 0 435.502 -0.478 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C2(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000596093761 1072272090 /nfs/dbraw/zinc/27/20/90/1072272090.db2.gz YDMHADCCXSLIOI-UHFFFAOYSA-N 0 0 433.486 -0.187 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000596111578 1072272031 /nfs/dbraw/zinc/27/20/31/1072272031.db2.gz ZOWUCKVTLMCXLX-UHFFFAOYSA-N 0 0 425.511 -0.538 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000596113952 1072271824 /nfs/dbraw/zinc/27/18/24/1072271824.db2.gz XXWOVOVMSHARKA-UHFFFAOYSA-N 0 0 435.572 -0.331 20 0 IBADRN CCN(C1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1)S(C)(=O)=O ZINC000596119396 1072271953 /nfs/dbraw/zinc/27/19/53/1072271953.db2.gz KANGVZKSWDBERH-UHFFFAOYSA-N 0 0 435.572 -0.331 20 0 IBADRN CCN(C1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)S(C)(=O)=O ZINC000596120085 1072272040 /nfs/dbraw/zinc/27/20/40/1072272040.db2.gz PPHKCNOEEZPZSX-INIZCTEOSA-N 0 0 430.571 -0.560 20 0 IBADRN CCN(C1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)S(C)(=O)=O ZINC000596120110 1072272076 /nfs/dbraw/zinc/27/20/76/1072272076.db2.gz PPHKCNOEEZPZSX-MRXNPFEDSA-N 0 0 430.571 -0.560 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)C(=O)C1=O ZINC000596131786 1072271968 /nfs/dbraw/zinc/27/19/68/1072271968.db2.gz IJGCGUIRWXIWLB-UHFFFAOYSA-N 0 0 441.532 -0.199 20 0 IBADRN NC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nc1 ZINC000596158955 1072272102 /nfs/dbraw/zinc/27/21/02/1072272102.db2.gz JQVZYUCTCAZPEG-UHFFFAOYSA-N 0 0 445.457 -0.342 20 0 IBADRN CNC(=O)C1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCC1 ZINC000596163391 1072272112 /nfs/dbraw/zinc/27/21/12/1072272112.db2.gz ANWZFVRQHCUFBB-UHFFFAOYSA-N 0 0 436.490 -0.233 20 0 IBADRN CN1CC[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1=O ZINC000596164695 1072272319 /nfs/dbraw/zinc/27/23/19/1072272319.db2.gz CGRPQOYXQVSANC-CYBMUJFWSA-N 0 0 436.490 -0.281 20 0 IBADRN CN1CC[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1=O ZINC000596164696 1072272345 /nfs/dbraw/zinc/27/23/45/1072272345.db2.gz CGRPQOYXQVSANC-ZDUSSCGKSA-N 0 0 436.490 -0.281 20 0 IBADRN NC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)c[nH]1 ZINC000596164803 1072271988 /nfs/dbraw/zinc/27/19/88/1072271988.db2.gz IOZKPMNXDLWTTI-UHFFFAOYSA-N 0 0 433.446 -0.409 20 0 IBADRN O=C(NC1CC1)C1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1 ZINC000596164825 1072272331 /nfs/dbraw/zinc/27/23/31/1072272331.db2.gz KYUZNFPKWDZAOE-UHFFFAOYSA-N 0 0 448.501 -0.091 20 0 IBADRN O=C([C@@H]1CC(=O)N(C2CC2)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596164826 1072272588 /nfs/dbraw/zinc/27/25/88/1072272588.db2.gz LATVSZIAGDBRKU-CYBMUJFWSA-N 0 0 448.501 -0.139 20 0 IBADRN O=C([C@H]1CC(=O)N(C2CC2)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596164827 1072272577 /nfs/dbraw/zinc/27/25/77/1072272577.db2.gz LATVSZIAGDBRKU-ZDUSSCGKSA-N 0 0 448.501 -0.139 20 0 IBADRN O=C(Cn1cccnc1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596165119 1072272511 /nfs/dbraw/zinc/27/25/11/1072272511.db2.gz SOENWISNURVYPI-UHFFFAOYSA-N 0 0 433.446 -0.893 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)S(C)(=O)=O ZINC000596165176 1072272446 /nfs/dbraw/zinc/27/24/46/1072272446.db2.gz AXHUGXOBYMRNDE-LLVKDONJSA-N 0 0 431.492 -0.717 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)S(C)(=O)=O ZINC000596165177 1072272434 /nfs/dbraw/zinc/27/24/34/1072272434.db2.gz AXHUGXOBYMRNDE-NSHDSACASA-N 0 0 431.492 -0.717 20 0 IBADRN O=C(CCN1CCCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596165600 1072272474 /nfs/dbraw/zinc/27/24/74/1072272474.db2.gz SLENKXGQBUWVPI-UHFFFAOYSA-N 0 0 436.490 -0.137 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)Nc2ccn(CCN3CCOCC3)n2)c1 ZINC000596171795 1073333631 /nfs/dbraw/zinc/33/36/31/1073333631.db2.gz IGGKLFNGKRWLMQ-UHFFFAOYSA-N 0 0 437.522 0.392 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000596172177 1072272525 /nfs/dbraw/zinc/27/25/25/1072272525.db2.gz QHJYLYNQIKWFND-ZDUSSCGKSA-N 0 0 426.499 0.126 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)cn1C ZINC000596183433 1072271839 /nfs/dbraw/zinc/27/18/39/1072271839.db2.gz WGMYKUFSRSZEAQ-UHFFFAOYSA-N 0 0 440.570 -0.590 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(c4cncc(-n5cncn5)n4)CC3)cc2N1 ZINC000596189074 1072271768 /nfs/dbraw/zinc/27/17/68/1072271768.db2.gz CHXJFKUVOBCNET-UHFFFAOYSA-N 0 0 442.461 -0.101 20 0 IBADRN Cn1ncc2c1nc(N1CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC1)[nH]c2=O ZINC000596189141 1072272023 /nfs/dbraw/zinc/27/20/23/1072272023.db2.gz CYECZEQWQLPDCX-UHFFFAOYSA-N 0 0 445.461 -0.089 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000596214123 1072272389 /nfs/dbraw/zinc/27/23/89/1072272389.db2.gz QQWRTOUMUMAXTE-UHFFFAOYSA-N 0 0 446.595 -0.261 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000596233736 1072272355 /nfs/dbraw/zinc/27/23/55/1072272355.db2.gz FDJSLLQAELWSDY-UHFFFAOYSA-N 0 0 441.491 -0.554 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)C[C@H]4CCCO4)CC3)cc2N1 ZINC000596233892 1072272595 /nfs/dbraw/zinc/27/25/95/1072272595.db2.gz JYVHYRINKMLDLS-CYBMUJFWSA-N 0 0 445.519 -0.167 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)C[C@@H]4CCCO4)CC3)cc2N1 ZINC000596233898 1072272608 /nfs/dbraw/zinc/27/26/08/1072272608.db2.gz JYVHYRINKMLDLS-ZDUSSCGKSA-N 0 0 445.519 -0.167 20 0 IBADRN CCN(C1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1)S(C)(=O)=O ZINC000596234729 1072272955 /nfs/dbraw/zinc/27/29/55/1072272955.db2.gz SOVBQHPOGIRSAI-UHFFFAOYSA-N 0 0 445.523 -0.531 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CC(=O)N(c4cccc(O)c4)C3=O)CC2)o1 ZINC000596243307 1072272978 /nfs/dbraw/zinc/27/29/78/1072272978.db2.gz CNRXBRDKSVHUQH-AWEZNQCLSA-N 0 0 448.457 -0.278 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CC(=O)N(c4cccc(O)c4)C3=O)CC2)o1 ZINC000596243308 1072273141 /nfs/dbraw/zinc/27/31/41/1072273141.db2.gz CNRXBRDKSVHUQH-CQSZACIVSA-N 0 0 448.457 -0.278 20 0 IBADRN CC(=O)NCCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596263313 1072273055 /nfs/dbraw/zinc/27/30/55/1072273055.db2.gz CIKLLTAHNLDIPL-UHFFFAOYSA-N 0 0 439.494 -0.440 20 0 IBADRN O=C(NCCCn1cncn1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596277593 1072272884 /nfs/dbraw/zinc/27/28/84/1072272884.db2.gz SXVBCEHZXMEUNJ-UHFFFAOYSA-N 0 0 449.493 -0.285 20 0 IBADRN CCn1cnnc1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596277806 1072272968 /nfs/dbraw/zinc/27/29/68/1072272968.db2.gz LRKUOLXRHJDRBI-UHFFFAOYSA-N 0 0 449.493 -0.155 20 0 IBADRN CCN(C1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1)S(C)(=O)=O ZINC000596294115 1072273086 /nfs/dbraw/zinc/27/30/86/1072273086.db2.gz HPOJFJBSEKIRGU-UHFFFAOYSA-N 0 0 439.604 -0.981 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596294772 1072273125 /nfs/dbraw/zinc/27/31/25/1072273125.db2.gz MVLBCXIMNPDCBK-CYBMUJFWSA-N 0 0 449.493 -0.286 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596294773 1072272937 /nfs/dbraw/zinc/27/29/37/1072272937.db2.gz MVLBCXIMNPDCBK-ZDUSSCGKSA-N 0 0 449.493 -0.286 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000596294815 1072272996 /nfs/dbraw/zinc/27/29/96/1072272996.db2.gz PBTBAGWBVVSOHI-UHFFFAOYSA-N 0 0 439.604 -0.981 20 0 IBADRN CCN(C1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1)S(C)(=O)=O ZINC000596354719 1072273007 /nfs/dbraw/zinc/27/30/07/1072273007.db2.gz ZZAOCCGHBFHGNX-UHFFFAOYSA-N 0 0 438.510 -0.090 20 0 IBADRN O=C(NCCn1ccnc1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596417505 1072273103 /nfs/dbraw/zinc/27/31/03/1072273103.db2.gz GKOKQZNJZZUNRA-UHFFFAOYSA-N 0 0 434.478 -0.070 20 0 IBADRN CCNC(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596418742 1072273145 /nfs/dbraw/zinc/27/31/45/1072273145.db2.gz FWPWPOWDSNBFEQ-UHFFFAOYSA-N 0 0 425.467 -0.831 20 0 IBADRN CN(C)C(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596419992 1072273072 /nfs/dbraw/zinc/27/30/72/1072273072.db2.gz FFDWUYSCWSNHJA-UHFFFAOYSA-N 0 0 439.494 -0.488 20 0 IBADRN CNC(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000596420806 1072273468 /nfs/dbraw/zinc/27/34/68/1072273468.db2.gz QEMSHMLIOXBLIP-UHFFFAOYSA-N 0 0 439.494 -0.440 20 0 IBADRN O=C(Nc1ccc(NC(=O)C2CC2)nc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000596464381 1072273574 /nfs/dbraw/zinc/27/35/74/1072273574.db2.gz VQSJKPGQMISFKZ-UHFFFAOYSA-N 0 0 428.449 -0.682 20 0 IBADRN O=C(Nc1ccc(NC(=O)C2CC2)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000596464409 1072273361 /nfs/dbraw/zinc/27/33/61/1072273361.db2.gz YKNHMIDNYNGUET-UHFFFAOYSA-N 0 0 442.476 -0.338 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)C3CC3)nc2)CC1 ZINC000596473053 1072273432 /nfs/dbraw/zinc/27/34/32/1072273432.db2.gz ODPSTYULHCMQHU-UHFFFAOYSA-N 0 0 430.465 -0.436 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)[C@@H]1COCC(=O)N1Cc1ccccc1 ZINC000596560593 1072273528 /nfs/dbraw/zinc/27/35/28/1072273528.db2.gz ZZDSRYGQWXODOH-INIZCTEOSA-N 0 0 427.548 -0.091 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3COCC(=O)N3Cc3ccccc3)CC2)no1 ZINC000596560776 1073331060 /nfs/dbraw/zinc/33/10/60/1073331060.db2.gz HQZZTZVKKDQIEA-SFHVURJKSA-N 0 0 441.488 0.493 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000596561036 1072273345 /nfs/dbraw/zinc/27/33/45/1072273345.db2.gz TWZJOECWFWAXSH-IBGZPJMESA-N 0 0 430.505 -0.583 20 0 IBADRN CC(=O)Nc1ccc([N-]S(C)(=O)=O)c(C(=O)N2CCN(C(=O)C[NH+](C)C)CC2)c1 ZINC000596561113 1072273445 /nfs/dbraw/zinc/27/34/45/1072273445.db2.gz QJYRJQKOUQLIGL-UHFFFAOYSA-N 0 0 425.511 -0.138 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000596562048 1072273387 /nfs/dbraw/zinc/27/33/87/1072273387.db2.gz LMPRCOLHRHOXTR-KRWDZBQOSA-N 0 0 442.472 -0.766 20 0 IBADRN CCN(CC)CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000596584932 1072273554 /nfs/dbraw/zinc/27/35/54/1072273554.db2.gz ZTKDODRSDUJGRM-UHFFFAOYSA-N 0 0 432.568 -0.272 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)nnn1-c1ccc2c(c1)OCCO2 ZINC000596721829 1072274034 /nfs/dbraw/zinc/27/40/34/1072274034.db2.gz JWUPLLALHCFHNK-UHFFFAOYSA-N 0 0 436.494 -0.089 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nnn1-c1ccc2c(c1)OCCO2 ZINC000596723533 1072274057 /nfs/dbraw/zinc/27/40/57/1072274057.db2.gz WHEITVGNAVDATD-UHFFFAOYSA-N 0 0 439.436 -0.682 20 0 IBADRN Cc1c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)nnn1-c1ccc2c(c1)OCCO2 ZINC000596730963 1072274006 /nfs/dbraw/zinc/27/40/06/1072274006.db2.gz RMTCAOITIWTEKN-CYBMUJFWSA-N 0 0 449.489 -0.230 20 0 IBADRN Cc1c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)nnn1-c1ccc2c(c1)OCCO2 ZINC000596730964 1072273893 /nfs/dbraw/zinc/27/38/93/1072273893.db2.gz RMTCAOITIWTEKN-ZDUSSCGKSA-N 0 0 449.489 -0.230 20 0 IBADRN Cc1c(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)nnn1-c1ccc2c(c1)OCCO2 ZINC000596731036 1072273935 /nfs/dbraw/zinc/27/39/35/1072273935.db2.gz ZJQBZVQKSMTOGG-CYBMUJFWSA-N 0 0 449.489 -0.278 20 0 IBADRN Cc1c(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)nnn1-c1ccc2c(c1)OCCO2 ZINC000596731037 1072274042 /nfs/dbraw/zinc/27/40/42/1072274042.db2.gz ZJQBZVQKSMTOGG-ZDUSSCGKSA-N 0 0 449.489 -0.278 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)cc1 ZINC000596804814 1072274048 /nfs/dbraw/zinc/27/40/48/1072274048.db2.gz GJNSBFLWTLDWKG-UHFFFAOYSA-N 0 0 448.486 -0.282 20 0 IBADRN C[C@@H](NC(=O)c1nc2ncc(Br)cn2n1)C(=O)N1CCS(=O)(=O)CC1 ZINC000596978964 1072275073 /nfs/dbraw/zinc/27/50/73/1072275073.db2.gz ICVFKPZEUDJHAK-MRVPVSSYSA-N 0 0 431.272 -0.738 20 0 IBADRN C[C@H](NC(=O)c1nc2ncc(Br)cn2n1)C(=O)N1CCS(=O)(=O)CC1 ZINC000596978965 1072274950 /nfs/dbraw/zinc/27/49/50/1072274950.db2.gz ICVFKPZEUDJHAK-QMMMGPOBSA-N 0 0 431.272 -0.738 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000596978972 1072275052 /nfs/dbraw/zinc/27/50/52/1072275052.db2.gz IJXSLYGRLUXOGT-AWEZNQCLSA-N 0 0 445.563 -0.004 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000596978973 1072275023 /nfs/dbraw/zinc/27/50/23/1072275023.db2.gz IJXSLYGRLUXOGT-CQSZACIVSA-N 0 0 445.563 -0.004 20 0 IBADRN Cc1sc2ncn(CC(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)c(=O)c2c1C ZINC000596979495 1072275062 /nfs/dbraw/zinc/27/50/62/1072275062.db2.gz NEXBYISKMUTJQH-LLVKDONJSA-N 0 0 426.520 -0.163 20 0 IBADRN Cc1sc2ncn(CC(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)c(=O)c2c1C ZINC000596979498 1072274968 /nfs/dbraw/zinc/27/49/68/1072274968.db2.gz NEXBYISKMUTJQH-NSHDSACASA-N 0 0 426.520 -0.163 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000596979544 1072274936 /nfs/dbraw/zinc/27/49/36/1072274936.db2.gz PRWLTWUKSWHRAD-KBPBESRZSA-N 0 0 431.536 -0.576 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000596979546 1072275030 /nfs/dbraw/zinc/27/50/30/1072275030.db2.gz PRWLTWUKSWHRAD-UONOGXRCSA-N 0 0 431.536 -0.576 20 0 IBADRN C[C@@H](NC(=O)CNS(=O)(=O)c1ccc(Cl)s1)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981454 1072274991 /nfs/dbraw/zinc/27/49/91/1072274991.db2.gz AVIAXOSANOPJEP-SECBINFHSA-N 0 0 443.956 -0.559 20 0 IBADRN C[C@H](NC(=O)CNS(=O)(=O)c1ccc(Cl)s1)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981455 1072274921 /nfs/dbraw/zinc/27/49/21/1072274921.db2.gz AVIAXOSANOPJEP-VIFPVBQESA-N 0 0 443.956 -0.559 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)c2C)nn1 ZINC000596981470 1072274940 /nfs/dbraw/zinc/27/49/40/1072274940.db2.gz BRIFDGOSFSCRCG-GFCCVEGCSA-N 0 0 436.494 -0.255 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)c2C)nn1 ZINC000596981471 1072274954 /nfs/dbraw/zinc/27/49/54/1072274954.db2.gz BRIFDGOSFSCRCG-LBPRGKRZSA-N 0 0 436.494 -0.255 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981512 1072275014 /nfs/dbraw/zinc/27/50/14/1072275014.db2.gz GYDIWYOEDYZUPI-BLLLJJGKSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981513 1072274945 /nfs/dbraw/zinc/27/49/45/1072274945.db2.gz GYDIWYOEDYZUPI-LRDDRELGSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981514 1072275043 /nfs/dbraw/zinc/27/50/43/1072275043.db2.gz GYDIWYOEDYZUPI-MLGOLLRUSA-N 0 0 438.485 -0.334 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981515 1072275470 /nfs/dbraw/zinc/27/54/70/1072275470.db2.gz GYDIWYOEDYZUPI-WBMJQRKESA-N 0 0 438.485 -0.334 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000596981748 1072275452 /nfs/dbraw/zinc/27/54/52/1072275452.db2.gz ITURLGUMHQBQAL-CYBMUJFWSA-N 0 0 432.520 -0.518 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000596981749 1072275647 /nfs/dbraw/zinc/27/56/47/1072275647.db2.gz ITURLGUMHQBQAL-ZDUSSCGKSA-N 0 0 432.520 -0.518 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000596981778 1072275376 /nfs/dbraw/zinc/27/53/76/1072275376.db2.gz LREDLFQDHAEPIU-CXAGYDPISA-N 0 0 425.507 -0.496 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000596981779 1072275357 /nfs/dbraw/zinc/27/53/57/1072275357.db2.gz LREDLFQDHAEPIU-DYVFJYSZSA-N 0 0 425.507 -0.496 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000596981780 1072275525 /nfs/dbraw/zinc/27/55/25/1072275525.db2.gz LREDLFQDHAEPIU-GUYCJALGSA-N 0 0 425.507 -0.496 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000596981781 1072275539 /nfs/dbraw/zinc/27/55/39/1072275539.db2.gz LREDLFQDHAEPIU-SUMWQHHRSA-N 0 0 425.507 -0.496 20 0 IBADRN C[C@@H](NC(=O)CCNS(=O)(=O)c1ccccc1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981820 1072275480 /nfs/dbraw/zinc/27/54/80/1072275480.db2.gz NDDFRKGDQGVBFR-GFCCVEGCSA-N 0 0 435.499 -0.744 20 0 IBADRN C[C@H](NC(=O)CCNS(=O)(=O)c1ccccc1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981828 1072275559 /nfs/dbraw/zinc/27/55/59/1072275559.db2.gz NDDFRKGDQGVBFR-LBPRGKRZSA-N 0 0 435.499 -0.744 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000596981863 1072275515 /nfs/dbraw/zinc/27/55/15/1072275515.db2.gz NXQNZWKACXHBBD-AWEZNQCLSA-N 0 0 431.536 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000596981864 1072275366 /nfs/dbraw/zinc/27/53/66/1072275366.db2.gz NXQNZWKACXHBBD-CQSZACIVSA-N 0 0 431.536 -0.575 20 0 IBADRN C[C@H](NC(=O)c1cc(C2CC2)nc2c1c(=O)[nH]c(=O)n2C)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981875 1072275506 /nfs/dbraw/zinc/27/55/06/1072275506.db2.gz OCMVDNIMSYCASI-JTQLQIEISA-N 0 0 449.489 -0.713 20 0 IBADRN C[C@@H](NC(=O)c1cc(C2CC2)nc2c1c(=O)[nH]c(=O)n2C)C(=O)N1CCS(=O)(=O)CC1 ZINC000596981890 1072275459 /nfs/dbraw/zinc/27/54/59/1072275459.db2.gz OCMVDNIMSYCASI-SNVBAGLBSA-N 0 0 449.489 -0.713 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000596982208 1072275547 /nfs/dbraw/zinc/27/55/47/1072275547.db2.gz WZFGEOXQZJZSFB-CYBMUJFWSA-N 0 0 446.485 -0.615 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000596982213 1072275623 /nfs/dbraw/zinc/27/56/23/1072275623.db2.gz WZFGEOXQZJZSFB-ZDUSSCGKSA-N 0 0 446.485 -0.615 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1CC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000597189676 1072277157 /nfs/dbraw/zinc/27/71/57/1072277157.db2.gz WDDMFXGLARNVHF-NRFANRHFSA-N 0 0 441.536 -0.652 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1CC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000597189677 1072277237 /nfs/dbraw/zinc/27/72/37/1072277237.db2.gz WDDMFXGLARNVHF-OAQYLSRUSA-N 0 0 441.536 -0.652 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000597190243 1072277260 /nfs/dbraw/zinc/27/72/60/1072277260.db2.gz CRVNZYPDLPWZAM-INIZCTEOSA-N 0 0 436.476 -0.437 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000597190244 1072277278 /nfs/dbraw/zinc/27/72/78/1072277278.db2.gz CRVNZYPDLPWZAM-MRXNPFEDSA-N 0 0 436.476 -0.437 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCNC(=O)[C@@H]2c2c(C)nc3ccccn32)c(=O)[nH]c1=O ZINC000597192621 1072277314 /nfs/dbraw/zinc/27/73/14/1072277314.db2.gz NZAXTJJCPZQVLP-INIZCTEOSA-N 0 0 425.449 -0.137 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCNC(=O)[C@H]2c2c(C)nc3ccccn32)c(=O)[nH]c1=O ZINC000597192622 1072277301 /nfs/dbraw/zinc/27/73/01/1072277301.db2.gz NZAXTJJCPZQVLP-MRXNPFEDSA-N 0 0 425.449 -0.137 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1CC(=O)NCCCN(C)S(C)(=O)=O ZINC000597194367 1072277101 /nfs/dbraw/zinc/27/71/01/1072277101.db2.gz TZCQOVJRNSOLQL-GOSISDBHSA-N 0 0 436.538 -0.487 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1CC(=O)NCCCN(C)S(C)(=O)=O ZINC000597194377 1072277172 /nfs/dbraw/zinc/27/71/72/1072277172.db2.gz TZCQOVJRNSOLQL-SFHVURJKSA-N 0 0 436.538 -0.487 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000597205305 1072277755 /nfs/dbraw/zinc/27/77/55/1072277755.db2.gz CYDSNQURYCETBD-UHFFFAOYSA-N 0 0 429.389 -0.354 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000597419977 1072279332 /nfs/dbraw/zinc/27/93/32/1072279332.db2.gz UIENURQHMWCXBP-KRWDZBQOSA-N 0 0 425.555 -0.438 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000597419979 1072279517 /nfs/dbraw/zinc/27/95/17/1072279517.db2.gz UIENURQHMWCXBP-QGZVFWFLSA-N 0 0 425.555 -0.438 20 0 IBADRN COCCNc1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000597439042 1072279427 /nfs/dbraw/zinc/27/94/27/1072279427.db2.gz LYVNTHNXFVBZNP-UHFFFAOYSA-N 0 0 433.509 -0.043 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000597460234 1072279220 /nfs/dbraw/zinc/27/92/20/1072279220.db2.gz JYEXVVBHFKFTMU-IBGZPJMESA-N 0 0 427.509 -0.011 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000597460235 1072279349 /nfs/dbraw/zinc/27/93/49/1072279349.db2.gz JYEXVVBHFKFTMU-LJQANCHMSA-N 0 0 427.509 -0.011 20 0 IBADRN CC(C)(CNC(=O)CCCC(=O)NCC(C)(C)NS(C)(=O)=O)NS(C)(=O)=O ZINC000597632210 1072282000 /nfs/dbraw/zinc/28/20/00/1072282000.db2.gz GBKQGTBKAKMRME-UHFFFAOYSA-N 0 0 428.577 -0.955 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCC(C)(C)NS(C)(=O)=O)CC2)c1 ZINC000597634497 1072282043 /nfs/dbraw/zinc/28/20/43/1072282043.db2.gz AYFQKCRSJRWDMD-UHFFFAOYSA-N 0 0 446.595 -0.109 20 0 IBADRN COc1ccc(C(=O)NCC(C)(C)NS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000597635118 1072281871 /nfs/dbraw/zinc/28/18/71/1072281871.db2.gz NWYDEWVZYODWSL-UHFFFAOYSA-N 0 0 449.551 -0.226 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCC(C)(C)NS(C)(=O)=O)CC2)cc1 ZINC000597635253 1072282106 /nfs/dbraw/zinc/28/21/06/1072282106.db2.gz XLBDCJBUODSCND-UHFFFAOYSA-N 0 0 446.595 -0.255 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000597637764 1072282072 /nfs/dbraw/zinc/28/20/72/1072282072.db2.gz YLZHZVWDEYZQJS-UHFFFAOYSA-N 0 0 436.556 -0.677 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000597637797 1072281938 /nfs/dbraw/zinc/28/19/38/1072281938.db2.gz MWSJJOKFVYUDDZ-UHFFFAOYSA-N 0 0 449.551 -0.226 20 0 IBADRN CC(C)(CNC(=O)c1sccc1S(=O)(=O)N1CCOCC1)NS(C)(=O)=O ZINC000597637830 1072282094 /nfs/dbraw/zinc/28/20/94/1072282094.db2.gz QTMHPUJCMDYRCE-UHFFFAOYSA-N 0 0 425.554 -0.173 20 0 IBADRN CC(C)(CNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NS(C)(=O)=O ZINC000597637850 1072282035 /nfs/dbraw/zinc/28/20/35/1072282035.db2.gz SSRUVSXORPJJLX-UHFFFAOYSA-N 0 0 439.581 -0.245 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000597645481 1072281948 /nfs/dbraw/zinc/28/19/48/1072281948.db2.gz BMRLOQQQEOVGQR-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000597686623 1072282663 /nfs/dbraw/zinc/28/26/63/1072282663.db2.gz DRKYGYIDNYRNSZ-BRWVUGGUSA-N 0 0 442.582 -0.672 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000597686624 1072282404 /nfs/dbraw/zinc/28/24/04/1072282404.db2.gz DRKYGYIDNYRNSZ-GVDBMIGSSA-N 0 0 442.582 -0.672 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000597686625 1072282529 /nfs/dbraw/zinc/28/25/29/1072282529.db2.gz DRKYGYIDNYRNSZ-IXDOHACOSA-N 0 0 442.582 -0.672 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000597686626 1072282622 /nfs/dbraw/zinc/28/26/22/1072282622.db2.gz DRKYGYIDNYRNSZ-YESZJQIVSA-N 0 0 442.582 -0.672 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000597687026 1072282635 /nfs/dbraw/zinc/28/26/35/1072282635.db2.gz WLHUGVNGQMKRQA-ARFHVFGLSA-N 0 0 429.539 -0.587 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000597687027 1072282507 /nfs/dbraw/zinc/28/25/07/1072282507.db2.gz WLHUGVNGQMKRQA-BZUAXINKSA-N 0 0 429.539 -0.587 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000597687028 1072282437 /nfs/dbraw/zinc/28/24/37/1072282437.db2.gz WLHUGVNGQMKRQA-HRCADAONSA-N 0 0 429.539 -0.587 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000597687029 1072282463 /nfs/dbraw/zinc/28/24/63/1072282463.db2.gz WLHUGVNGQMKRQA-OWCLPIDISA-N 0 0 429.539 -0.587 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000597687944 1072282419 /nfs/dbraw/zinc/28/24/19/1072282419.db2.gz URZPWLSLSSLTGP-ARFHVFGLSA-N 0 0 428.555 -0.030 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000597687945 1072282495 /nfs/dbraw/zinc/28/24/95/1072282495.db2.gz URZPWLSLSSLTGP-BZUAXINKSA-N 0 0 428.555 -0.030 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000597687946 1072282609 /nfs/dbraw/zinc/28/26/09/1072282609.db2.gz URZPWLSLSSLTGP-HRCADAONSA-N 0 0 428.555 -0.030 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000597687947 1072282486 /nfs/dbraw/zinc/28/24/86/1072282486.db2.gz URZPWLSLSSLTGP-OWCLPIDISA-N 0 0 428.555 -0.030 20 0 IBADRN NC(=O)C1(C(=O)N2CCN(S(=O)(=O)c3cc4c(cc3Cl)NC(=O)CO4)CC2)CC1 ZINC000597691026 1072282599 /nfs/dbraw/zinc/28/25/99/1072282599.db2.gz PSRDINMFTFDDRU-UHFFFAOYSA-N 0 0 442.881 -0.231 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000597703567 1072282516 /nfs/dbraw/zinc/28/25/16/1072282516.db2.gz YJJFZXSDLFVXDL-ARFHVFGLSA-N 0 0 429.539 -0.539 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000597703585 1072282542 /nfs/dbraw/zinc/28/25/42/1072282542.db2.gz YJJFZXSDLFVXDL-BZUAXINKSA-N 0 0 429.539 -0.539 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000597703588 1072282474 /nfs/dbraw/zinc/28/24/74/1072282474.db2.gz YJJFZXSDLFVXDL-OAGGEKHMSA-N 0 0 429.539 -0.539 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000597703590 1072282447 /nfs/dbraw/zinc/28/24/47/1072282447.db2.gz YJJFZXSDLFVXDL-XHSDSOJGSA-N 0 0 429.539 -0.539 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000597712119 1072282587 /nfs/dbraw/zinc/28/25/87/1072282587.db2.gz XAGQQZCQBWYDDF-ARFHVFGLSA-N 0 0 429.539 -0.587 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000597712122 1072283175 /nfs/dbraw/zinc/28/31/75/1072283175.db2.gz XAGQQZCQBWYDDF-BZUAXINKSA-N 0 0 429.539 -0.587 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000597712124 1072283024 /nfs/dbraw/zinc/28/30/24/1072283024.db2.gz XAGQQZCQBWYDDF-HRCADAONSA-N 0 0 429.539 -0.587 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000597712126 1072283013 /nfs/dbraw/zinc/28/30/13/1072283013.db2.gz XAGQQZCQBWYDDF-OWCLPIDISA-N 0 0 429.539 -0.587 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000597724509 1072282997 /nfs/dbraw/zinc/28/29/97/1072282997.db2.gz SVHJTFIHQTWBGZ-CAOSSQGBSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000597724510 1072283106 /nfs/dbraw/zinc/28/31/06/1072283106.db2.gz SVHJTFIHQTWBGZ-JJXSEGSLSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000597724511 1072282930 /nfs/dbraw/zinc/28/29/30/1072282930.db2.gz SVHJTFIHQTWBGZ-JONQDZQNSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000597724512 1072282948 /nfs/dbraw/zinc/28/29/48/1072282948.db2.gz SVHJTFIHQTWBGZ-ZJIFWQFVSA-N 0 0 449.595 -0.364 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000597733699 1072283072 /nfs/dbraw/zinc/28/30/72/1072283072.db2.gz OUYRFRIUNABWQR-ARFHVFGLSA-N 0 0 449.595 -0.362 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000597733700 1072283200 /nfs/dbraw/zinc/28/32/00/1072283200.db2.gz OUYRFRIUNABWQR-BZUAXINKSA-N 0 0 449.595 -0.362 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000597733701 1072283117 /nfs/dbraw/zinc/28/31/17/1072283117.db2.gz OUYRFRIUNABWQR-OAGGEKHMSA-N 0 0 449.595 -0.362 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000597733702 1072283752 /nfs/dbraw/zinc/28/37/52/1072283752.db2.gz OUYRFRIUNABWQR-XHSDSOJGSA-N 0 0 449.595 -0.362 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)c1cnccn1 ZINC000597735591 1072283193 /nfs/dbraw/zinc/28/31/93/1072283193.db2.gz PSMYDMFGUAAONI-KFWWJZLASA-N 0 0 437.522 -0.568 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)c1cnccn1 ZINC000597735592 1072283155 /nfs/dbraw/zinc/28/31/55/1072283155.db2.gz PSMYDMFGUAAONI-RBSFLKMASA-N 0 0 437.522 -0.568 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)c1cnccn1 ZINC000597735593 1072283132 /nfs/dbraw/zinc/28/31/32/1072283132.db2.gz PSMYDMFGUAAONI-RRFJBIMHSA-N 0 0 437.522 -0.568 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)c1cnccn1 ZINC000597735594 1072282980 /nfs/dbraw/zinc/28/29/80/1072282980.db2.gz PSMYDMFGUAAONI-ZNMIVQPWSA-N 0 0 437.522 -0.568 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000597780586 1072283721 /nfs/dbraw/zinc/28/37/21/1072283721.db2.gz MQRBTCGPMPBQGZ-KFWWJZLASA-N 0 0 427.523 -0.249 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000597780587 1072283586 /nfs/dbraw/zinc/28/35/86/1072283586.db2.gz MQRBTCGPMPBQGZ-RBSFLKMASA-N 0 0 427.523 -0.249 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000597780588 1072284104 /nfs/dbraw/zinc/28/41/04/1072284104.db2.gz MQRBTCGPMPBQGZ-RRFJBIMHSA-N 0 0 427.523 -0.249 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000597780589 1072284209 /nfs/dbraw/zinc/28/42/09/1072284209.db2.gz MQRBTCGPMPBQGZ-ZNMIVQPWSA-N 0 0 427.523 -0.249 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000597814178 1072284086 /nfs/dbraw/zinc/28/40/86/1072284086.db2.gz SQECWBZUHQVJQI-UHFFFAOYSA-N 0 0 440.507 -0.453 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000597815613 1072284177 /nfs/dbraw/zinc/28/41/77/1072284177.db2.gz VKQNICLGUKYEKR-UHFFFAOYSA-N 0 0 435.524 -0.250 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCS(=O)(=O)NCC1CCC1 ZINC000597817242 1072284165 /nfs/dbraw/zinc/28/41/65/1072284165.db2.gz VZRBJBCDCROQJK-UHFFFAOYSA-N 0 0 448.567 -0.505 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000597831449 1072284757 /nfs/dbraw/zinc/28/47/57/1072284757.db2.gz GCSHCIKPLGUNJV-NSHDSACASA-N 0 0 434.540 -0.244 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)NS(C)(=O)=O ZINC000597831479 1072284241 /nfs/dbraw/zinc/28/42/41/1072284241.db2.gz JFKKWGJDJOJQRM-BFHYXJOUSA-N 0 0 437.584 -0.460 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)NS(C)(=O)=O ZINC000597831480 1072284147 /nfs/dbraw/zinc/28/41/47/1072284147.db2.gz JFKKWGJDJOJQRM-MCIONIFRSA-N 0 0 437.584 -0.460 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)NS(C)(=O)=O ZINC000597831481 1072284192 /nfs/dbraw/zinc/28/41/92/1072284192.db2.gz JFKKWGJDJOJQRM-MGPQQGTHSA-N 0 0 437.584 -0.460 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)NS(C)(=O)=O ZINC000597831482 1072284046 /nfs/dbraw/zinc/28/40/46/1072284046.db2.gz JFKKWGJDJOJQRM-MJBXVCDLSA-N 0 0 437.584 -0.460 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCC(C)(C)NS(C)(=O)=O)cc1OC ZINC000597831869 1072284945 /nfs/dbraw/zinc/28/49/45/1072284945.db2.gz KNJRYFWLUQWHIX-UHFFFAOYSA-N 0 0 437.540 -0.184 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCC(C)(C)NS(C)(=O)=O)C1 ZINC000597831872 1072284968 /nfs/dbraw/zinc/28/49/68/1072284968.db2.gz LBYBCTPSYQUUDM-CYBMUJFWSA-N 0 0 435.572 -0.129 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCC(C)(C)NS(C)(=O)=O)C1 ZINC000597831873 1072284502 /nfs/dbraw/zinc/28/45/02/1072284502.db2.gz LBYBCTPSYQUUDM-ZDUSSCGKSA-N 0 0 435.572 -0.129 20 0 IBADRN CC(C)(CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)NS(C)(=O)=O ZINC000597831881 1072284765 /nfs/dbraw/zinc/28/47/65/1072284765.db2.gz LODKQDVXGAWYEB-UHFFFAOYSA-N 0 0 434.540 -0.772 20 0 IBADRN CC(C)(CNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NS(C)(=O)=O ZINC000597832072 1072284232 /nfs/dbraw/zinc/28/42/32/1072284232.db2.gz VEXCHPLYMCUEEP-UHFFFAOYSA-N 0 0 449.551 -0.040 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCC(C)(C)NS(C)(=O)=O)CC1 ZINC000597832169 1072284223 /nfs/dbraw/zinc/28/42/23/1072284223.db2.gz FIUFEHVIJGBAKL-UHFFFAOYSA-N 0 0 435.572 -0.129 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000597832615 1072284517 /nfs/dbraw/zinc/28/45/17/1072284517.db2.gz VNYMHRUPNCVJPB-LBPRGKRZSA-N 0 0 449.551 -0.041 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000597861455 1072284747 /nfs/dbraw/zinc/28/47/47/1072284747.db2.gz LRRJLSLXMCTSNW-UHFFFAOYSA-N 0 0 433.494 -0.620 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000597867613 1072284770 /nfs/dbraw/zinc/28/47/70/1072284770.db2.gz ZCSSCZWJPHIQLG-UHFFFAOYSA-N 0 0 441.554 -0.672 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000597867768 1072284922 /nfs/dbraw/zinc/28/49/22/1072284922.db2.gz IFZYBNPYYILTBH-UHFFFAOYSA-N 0 0 428.511 -0.417 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000597883088 1072285463 /nfs/dbraw/zinc/28/54/63/1072285463.db2.gz KCSUCHWDMHOBGT-UHFFFAOYSA-N 0 0 444.539 -0.124 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000597883536 1072285414 /nfs/dbraw/zinc/28/54/14/1072285414.db2.gz ZABGYTUCLSEPHV-UHFFFAOYSA-N 0 0 433.556 -0.143 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000597983770 1072286072 /nfs/dbraw/zinc/28/60/72/1072286072.db2.gz ILKHJUFYNKJXEP-GOSISDBHSA-N 0 0 425.574 -0.922 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000597983776 1072285890 /nfs/dbraw/zinc/28/58/90/1072285890.db2.gz ILKHJUFYNKJXEP-SFHVURJKSA-N 0 0 425.574 -0.922 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000597984112 1072286159 /nfs/dbraw/zinc/28/61/59/1072286159.db2.gz UTIDTNPTDOVGQH-INIZCTEOSA-N 0 0 426.539 -0.422 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000597984113 1072286024 /nfs/dbraw/zinc/28/60/24/1072286024.db2.gz UTIDTNPTDOVGQH-MRXNPFEDSA-N 0 0 426.539 -0.422 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NCCCN2CCCCCC2=O)CC1 ZINC000597984133 1072286145 /nfs/dbraw/zinc/28/61/45/1072286145.db2.gz XDDRILBMCMJJNL-IBGZPJMESA-N 0 0 437.585 -0.240 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(CC(=O)NCCCN2CCCCCC2=O)CC1 ZINC000597984135 1072286099 /nfs/dbraw/zinc/28/60/99/1072286099.db2.gz XDDRILBMCMJJNL-LJQANCHMSA-N 0 0 437.585 -0.240 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)C[C@@H]4CCOC4)CC3)cc2N1 ZINC000598012755 1072285814 /nfs/dbraw/zinc/28/58/14/1072285814.db2.gz ZOXRWJJQINTNDQ-CYBMUJFWSA-N 0 0 445.519 -0.310 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)C[C@H]4CCOC4)CC3)cc2N1 ZINC000598012756 1072286570 /nfs/dbraw/zinc/28/65/70/1072286570.db2.gz ZOXRWJJQINTNDQ-ZDUSSCGKSA-N 0 0 445.519 -0.310 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCS(=O)(=O)NCC3CCC3)cnc21 ZINC000598018155 1072285804 /nfs/dbraw/zinc/28/58/04/1072285804.db2.gz PWLUUUILBOFJTE-UHFFFAOYSA-N 0 0 431.496 -0.968 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(C)(C)NS(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000598026178 1072285858 /nfs/dbraw/zinc/28/58/58/1072285858.db2.gz PHQAINVGYQUZLQ-INIZCTEOSA-N 0 0 448.523 -0.644 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(C)(C)NS(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000598026190 1072285788 /nfs/dbraw/zinc/28/57/88/1072285788.db2.gz PHQAINVGYQUZLQ-MRXNPFEDSA-N 0 0 448.523 -0.644 20 0 IBADRN CN(C)C(=O)CN1CCC(NS(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000598031685 1072286508 /nfs/dbraw/zinc/28/65/08/1072286508.db2.gz BVJBXWVRVWFZGF-UHFFFAOYSA-N 0 0 432.568 -0.232 20 0 IBADRN CN(C)C(=O)CN1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000598031706 1072286710 /nfs/dbraw/zinc/28/67/10/1072286710.db2.gz GEORGEOCJPEMOA-UHFFFAOYSA-N 0 0 432.568 -0.232 20 0 IBADRN CC(C)(CNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NS(C)(=O)=O ZINC000598054461 1072286788 /nfs/dbraw/zinc/28/67/88/1072286788.db2.gz MLNWKMHNZQKYGG-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000598064049 1072286600 /nfs/dbraw/zinc/28/66/00/1072286600.db2.gz BWNUVFWUDUTJOM-UHFFFAOYSA-N 0 0 436.556 -0.262 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000598064300 1072286771 /nfs/dbraw/zinc/28/67/71/1072286771.db2.gz IBMYCKFHLHXRLY-KRWDZBQOSA-N 0 0 441.554 -0.114 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000598064301 1072286655 /nfs/dbraw/zinc/28/66/55/1072286655.db2.gz IBMYCKFHLHXRLY-QGZVFWFLSA-N 0 0 441.554 -0.114 20 0 IBADRN CC(C)(CNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)NS(C)(=O)=O ZINC000598065761 1072286617 /nfs/dbraw/zinc/28/66/17/1072286617.db2.gz LWWOGEADBMGNRO-UHFFFAOYSA-N 0 0 425.511 -0.614 20 0 IBADRN CC(C)(CNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)NS(C)(=O)=O ZINC000598107150 1072286556 /nfs/dbraw/zinc/28/65/56/1072286556.db2.gz OZKYKBZTFMPRQU-UHFFFAOYSA-N 0 0 425.555 -0.042 20 0 IBADRN CC(C)(CNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)NS(C)(=O)=O ZINC000598107159 1072287254 /nfs/dbraw/zinc/28/72/54/1072287254.db2.gz PMKOZGSJVGTXDY-UHFFFAOYSA-N 0 0 433.556 -0.507 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCC(C)(C)NS(C)(=O)=O)CC1 ZINC000598107382 1072286796 /nfs/dbraw/zinc/28/67/96/1072286796.db2.gz AHNGIDCGPQELKV-UHFFFAOYSA-N 0 0 437.544 -0.365 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000598108829 1072287198 /nfs/dbraw/zinc/28/71/98/1072287198.db2.gz LKONCCNFYWLNJC-UHFFFAOYSA-N 0 0 435.528 -0.840 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)NS(C)(=O)=O)CC2)cs1 ZINC000598108845 1072287183 /nfs/dbraw/zinc/28/71/83/1072287183.db2.gz LYWNHSKLWPXFGY-UHFFFAOYSA-N 0 0 439.585 -0.205 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000598109553 1072287162 /nfs/dbraw/zinc/28/71/62/1072287162.db2.gz PADNKMQYYBBUEA-LLVKDONJSA-N 0 0 449.555 -0.451 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000598109557 1072287174 /nfs/dbraw/zinc/28/71/74/1072287174.db2.gz PADNKMQYYBBUEA-NSHDSACASA-N 0 0 449.555 -0.451 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCOC[C@@H]3CCOC3)CC2)o1 ZINC000598109934 1072287237 /nfs/dbraw/zinc/28/72/37/1072287237.db2.gz QCAJMWGOYNIBHW-CYBMUJFWSA-N 0 0 430.483 -0.553 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCOC[C@H]3CCOC3)CC2)o1 ZINC000598109935 1072287222 /nfs/dbraw/zinc/28/72/22/1072287222.db2.gz QCAJMWGOYNIBHW-ZDUSSCGKSA-N 0 0 430.483 -0.553 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCC(C)(C)NS(C)(=O)=O)CC1 ZINC000598110478 1072287103 /nfs/dbraw/zinc/28/71/03/1072287103.db2.gz ZOFKLMGSSDAPKT-UHFFFAOYSA-N 0 0 447.583 -0.246 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC[C@H]3CCOC3)CC2)C[C@H](C)O1 ZINC000598110926 1072287089 /nfs/dbraw/zinc/28/70/89/1072287089.db2.gz XHQDLQBYCSWILD-BBWFWOEESA-N 0 0 434.559 -0.279 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC[C@@H]3CCOC3)CC2)C[C@@H](C)O1 ZINC000598110932 1072287144 /nfs/dbraw/zinc/28/71/44/1072287144.db2.gz XHQDLQBYCSWILD-BRWVUGGUSA-N 0 0 434.559 -0.279 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC[C@@H]3CCOC3)CC2)C[C@H](C)O1 ZINC000598110933 1072287248 /nfs/dbraw/zinc/28/72/48/1072287248.db2.gz XHQDLQBYCSWILD-IXDOHACOSA-N 0 0 434.559 -0.279 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC[C@H]3CCOC3)CC2)C[C@@H](C)O1 ZINC000598110935 1072287230 /nfs/dbraw/zinc/28/72/30/1072287230.db2.gz XHQDLQBYCSWILD-ZACQAIPSSA-N 0 0 434.559 -0.279 20 0 IBADRN CC(C)(CNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)NS(C)(=O)=O ZINC000598113052 1072287076 /nfs/dbraw/zinc/28/70/76/1072287076.db2.gz ICZNXLRWBZQQIZ-UHFFFAOYSA-N 0 0 442.567 -0.089 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000598125407 1071433103 /nfs/dbraw/zinc/43/31/03/1071433103.db2.gz BPCDOGUDDJUPCT-UHFFFAOYSA-N 0 0 434.540 -0.889 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000598125884 1072287571 /nfs/dbraw/zinc/28/75/71/1072287571.db2.gz XBKGOQKXTXJLMR-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H]1C(=O)N1CCSCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000598140652 1072287133 /nfs/dbraw/zinc/28/71/33/1072287133.db2.gz FQSHLBNNVDUGQY-CVEARBPZSA-N 0 0 433.596 -0.312 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H]1C(=O)N1CCSCC1)[C@H]1CCS(=O)(=O)C1 ZINC000598140667 1071433702 /nfs/dbraw/zinc/43/37/02/1071433702.db2.gz FQSHLBNNVDUGQY-HOTGVXAUSA-N 0 0 433.596 -0.312 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H]1C(=O)N1CCSCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000598140668 1072287243 /nfs/dbraw/zinc/28/72/43/1072287243.db2.gz FQSHLBNNVDUGQY-HZPDHXFCSA-N 0 0 433.596 -0.312 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H]1C(=O)N1CCSCC1)[C@H]1CCS(=O)(=O)C1 ZINC000598140669 1072287188 /nfs/dbraw/zinc/28/71/88/1072287188.db2.gz FQSHLBNNVDUGQY-JKSUJKDBSA-N 0 0 433.596 -0.312 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)s1 ZINC000598150933 1072287502 /nfs/dbraw/zinc/28/75/02/1072287502.db2.gz MQLBEKNLHXGQFR-UHFFFAOYSA-N 0 0 440.488 -0.263 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000598244526 1072287752 /nfs/dbraw/zinc/28/77/52/1072287752.db2.gz OHBYPJLBLMFCCG-UHFFFAOYSA-N 0 0 444.536 -0.390 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000598257544 1072287772 /nfs/dbraw/zinc/28/77/72/1072287772.db2.gz YIPGDSDNNPZAII-UHFFFAOYSA-N 0 0 425.555 -0.042 20 0 IBADRN CC(C)(CNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)NS(C)(=O)=O ZINC000598269592 1072288213 /nfs/dbraw/zinc/28/82/13/1072288213.db2.gz OJFNTLSTMGVDGK-UHFFFAOYSA-N 0 0 426.543 -0.253 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000598269889 1072288203 /nfs/dbraw/zinc/28/82/03/1072288203.db2.gz OWCBEEDNVKWXKR-UHFFFAOYSA-N 0 0 445.568 -0.028 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H](Cc2ccccc2Cl)C(N)=O)CC1 ZINC000598275572 1072288293 /nfs/dbraw/zinc/28/82/93/1072288293.db2.gz CCSICYWWQPBGRX-HNNXBMFYSA-N 0 0 445.973 -0.140 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H](Cc2ccccc2Cl)C(N)=O)CC1 ZINC000598275573 1072288229 /nfs/dbraw/zinc/28/82/29/1072288229.db2.gz CCSICYWWQPBGRX-OAHLLOKOSA-N 0 0 445.973 -0.140 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H](Cc2ccc(F)cc2)C(N)=O)CC1 ZINC000598275704 1072288277 /nfs/dbraw/zinc/28/82/77/1072288277.db2.gz JWLBYKSSDKDONJ-HNNXBMFYSA-N 0 0 429.518 -0.654 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H](Cc2ccc(F)cc2)C(N)=O)CC1 ZINC000598275710 1072288317 /nfs/dbraw/zinc/28/83/17/1072288317.db2.gz JWLBYKSSDKDONJ-OAHLLOKOSA-N 0 0 429.518 -0.654 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000598281403 1072287515 /nfs/dbraw/zinc/28/75/15/1072287515.db2.gz QUAQIJRMSJAQLY-UHFFFAOYSA-N 0 0 446.556 -0.633 20 0 IBADRN CC(C)(CNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)NS(C)(=O)=O ZINC000598281439 1072287786 /nfs/dbraw/zinc/28/77/86/1072287786.db2.gz UOTABVISUJXWIS-UHFFFAOYSA-N 0 0 427.531 -0.858 20 0 IBADRN CC(C)(CNC(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)NS(C)(=O)=O ZINC000598302601 1072288179 /nfs/dbraw/zinc/28/81/79/1072288179.db2.gz CWTOLSYRARSJIS-CYBMUJFWSA-N 0 0 428.511 -0.062 20 0 IBADRN CC(C)(CNC(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)NS(C)(=O)=O ZINC000598302607 1072288167 /nfs/dbraw/zinc/28/81/67/1072288167.db2.gz CWTOLSYRARSJIS-ZDUSSCGKSA-N 0 0 428.511 -0.062 20 0 IBADRN O=C(N[C@H]1CCN(c2ccccc2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000598314842 1072288324 /nfs/dbraw/zinc/28/83/24/1072288324.db2.gz SPENDBVREDECMP-KRWDZBQOSA-N 0 0 429.477 -0.496 20 0 IBADRN O=C(N[C@@H]1CCN(c2ccccc2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000598314843 1072288225 /nfs/dbraw/zinc/28/82/25/1072288225.db2.gz SPENDBVREDECMP-QGZVFWFLSA-N 0 0 429.477 -0.496 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)no1 ZINC000598322665 1072288241 /nfs/dbraw/zinc/28/82/41/1072288241.db2.gz BHBPCROADHZLNN-UHFFFAOYSA-N 0 0 435.529 -0.199 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC000598339061 1072288782 /nfs/dbraw/zinc/28/87/82/1072288782.db2.gz SGWUTQZSPPUEDD-UHFFFAOYSA-N 0 0 448.498 -0.592 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)ccc1C ZINC000598355240 1072289179 /nfs/dbraw/zinc/28/91/79/1072289179.db2.gz NBDMIUIINMAWJP-UHFFFAOYSA-N 0 0 446.551 -0.323 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000598355386 1072289110 /nfs/dbraw/zinc/28/91/10/1072289110.db2.gz SCTXUOXCZXFZKL-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)C(=O)Nc1cccnc1N1CCOCC1 ZINC000598355569 1072288752 /nfs/dbraw/zinc/28/87/52/1072288752.db2.gz YKEWTPWSYAALGO-UHFFFAOYSA-N 0 0 425.511 -0.308 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc2)CC1 ZINC000598357792 1072289195 /nfs/dbraw/zinc/28/91/95/1072289195.db2.gz AZVMIWGHZJLNHI-UHFFFAOYSA-N 0 0 439.538 -0.543 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)CC1 ZINC000598357836 1072289133 /nfs/dbraw/zinc/28/91/33/1072289133.db2.gz BQDWVCFHAGHRIF-UHFFFAOYSA-N 0 0 439.538 -0.543 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000598357847 1072289241 /nfs/dbraw/zinc/28/92/41/1072289241.db2.gz CXFHBTYMMXGUOP-UHFFFAOYSA-N 0 0 446.551 -0.148 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)NS(C)(=O)=O ZINC000598357853 1072289164 /nfs/dbraw/zinc/28/91/64/1072289164.db2.gz DEXLXIABPIUEHC-UHFFFAOYSA-N 0 0 432.524 -0.490 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c(OCC(=O)N(C)C)c1 ZINC000598357864 1072289129 /nfs/dbraw/zinc/28/91/29/1072289129.db2.gz DYVHLOVZOHWJPH-UHFFFAOYSA-N 0 0 428.511 -0.155 20 0 IBADRN Cc1c(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cccc1C(=O)N1CCOCC1 ZINC000598358811 1072289249 /nfs/dbraw/zinc/28/92/49/1072289249.db2.gz JISVPNCGVATQEE-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000598358875 1072289192 /nfs/dbraw/zinc/28/91/92/1072289192.db2.gz LIYJGVOOLOUIMV-UHFFFAOYSA-N 0 0 434.540 -0.244 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000598358891 1072289185 /nfs/dbraw/zinc/28/91/85/1072289185.db2.gz MSSPVCCNODSFCR-UHFFFAOYSA-N 0 0 427.512 -0.233 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1)NS(C)(=O)=O ZINC000598358908 1072289150 /nfs/dbraw/zinc/28/91/50/1072289150.db2.gz NPXBYDTYFCMLMS-UHFFFAOYSA-N 0 0 427.451 -0.249 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)NS(C)(=O)=O ZINC000598358923 1072289144 /nfs/dbraw/zinc/28/91/44/1072289144.db2.gz PATVYUDEZQFAOL-UHFFFAOYSA-N 0 0 432.524 -0.391 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)NS(C)(=O)=O ZINC000598359802 1072289171 /nfs/dbraw/zinc/28/91/71/1072289171.db2.gz PXZIQFLWULIYJV-UHFFFAOYSA-N 0 0 432.524 -0.391 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)NS(C)(=O)=O ZINC000598359816 1072289122 /nfs/dbraw/zinc/28/91/22/1072289122.db2.gz QGYZGIPTLDMGFV-UHFFFAOYSA-N 0 0 440.522 -0.530 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1)NS(C)(=O)=O ZINC000598359820 1072289221 /nfs/dbraw/zinc/28/92/21/1072289221.db2.gz QLICEMNBDCMOHD-UHFFFAOYSA-N 0 0 426.495 -0.459 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1-n1nnnc1C ZINC000598359840 1072289257 /nfs/dbraw/zinc/28/92/57/1072289257.db2.gz QVNLAUJQDIDCSX-UHFFFAOYSA-N 0 0 425.471 -0.638 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1)NS(C)(=O)=O ZINC000598359884 1072289213 /nfs/dbraw/zinc/28/92/13/1072289213.db2.gz SLGXUBXHDPKLGH-UHFFFAOYSA-N 0 0 426.495 -0.459 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1)NS(C)(=O)=O ZINC000598359893 1072289761 /nfs/dbraw/zinc/28/97/61/1072289761.db2.gz SWZDEFXLGKXUOY-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1)NS(C)(=O)=O ZINC000598359952 1072289599 /nfs/dbraw/zinc/28/95/99/1072289599.db2.gz UUMWGEAMJIUVCH-UHFFFAOYSA-N 0 0 426.495 -0.459 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1)NS(C)(=O)=O ZINC000598359957 1072289637 /nfs/dbraw/zinc/28/96/37/1072289637.db2.gz UYPDIBHELGGHSO-UHFFFAOYSA-N 0 0 426.539 -0.056 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1)NS(C)(=O)=O ZINC000598360027 1072289626 /nfs/dbraw/zinc/28/96/26/1072289626.db2.gz WLHDVGAADUJYRI-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000598364441 1072288821 /nfs/dbraw/zinc/28/88/21/1072288821.db2.gz IASIMIUFNQUOFY-UHFFFAOYSA-N 0 0 434.540 -0.372 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c2ccccc2O1 ZINC000598365115 1072288686 /nfs/dbraw/zinc/28/86/86/1072288686.db2.gz XJSQRNHHEGLAJF-HNNXBMFYSA-N 0 0 440.522 -0.249 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c2ccccc2O1 ZINC000598365116 1072288744 /nfs/dbraw/zinc/28/87/44/1072288744.db2.gz XJSQRNHHEGLAJF-OAHLLOKOSA-N 0 0 440.522 -0.249 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000598365650 1072288671 /nfs/dbraw/zinc/28/86/71/1072288671.db2.gz NQWBYKMVFLADJX-HNNXBMFYSA-N 0 0 441.506 -0.185 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000598365651 1072288855 /nfs/dbraw/zinc/28/88/55/1072288855.db2.gz NQWBYKMVFLADJX-OAHLLOKOSA-N 0 0 441.506 -0.185 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000598365660 1072288713 /nfs/dbraw/zinc/28/87/13/1072288713.db2.gz ODDUKBHFWNKRBX-APWZRJJASA-N 0 0 447.488 -0.191 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000598365661 1072288774 /nfs/dbraw/zinc/28/87/74/1072288774.db2.gz ODDUKBHFWNKRBX-LPHOPBHVSA-N 0 0 447.488 -0.191 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000598365662 1072288630 /nfs/dbraw/zinc/28/86/30/1072288630.db2.gz ODDUKBHFWNKRBX-QFBILLFUSA-N 0 0 447.488 -0.191 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000598365663 1072288760 /nfs/dbraw/zinc/28/87/60/1072288760.db2.gz ODDUKBHFWNKRBX-VQIMIIECSA-N 0 0 447.488 -0.191 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000598366677 1072288831 /nfs/dbraw/zinc/28/88/31/1072288831.db2.gz YTOWUCRKHJULKC-HNNXBMFYSA-N 0 0 441.506 -0.185 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000598366678 1072288766 /nfs/dbraw/zinc/28/87/66/1072288766.db2.gz YTOWUCRKHJULKC-OAHLLOKOSA-N 0 0 441.506 -0.185 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000598383884 1072289654 /nfs/dbraw/zinc/28/96/54/1072289654.db2.gz JPIWEVNNQXVBAG-CYBMUJFWSA-N 0 0 447.521 -0.230 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000598383885 1072289751 /nfs/dbraw/zinc/28/97/51/1072289751.db2.gz JPIWEVNNQXVBAG-ZDUSSCGKSA-N 0 0 447.521 -0.230 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000598383917 1072289647 /nfs/dbraw/zinc/28/96/47/1072289647.db2.gz MJZNLTAVEAIKFD-UHFFFAOYSA-N 0 0 447.521 -0.182 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000598384001 1072289745 /nfs/dbraw/zinc/28/97/45/1072289745.db2.gz OTEOCAUBGVEMOT-UHFFFAOYSA-N 0 0 440.445 -0.319 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000598384153 1072289718 /nfs/dbraw/zinc/28/97/18/1072289718.db2.gz QWGLEUVJXOEUFX-LLVKDONJSA-N 0 0 426.480 -0.748 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000598384154 1072289696 /nfs/dbraw/zinc/28/96/96/1072289696.db2.gz QWGLEUVJXOEUFX-NSHDSACASA-N 0 0 426.480 -0.748 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000598659232 1072290266 /nfs/dbraw/zinc/29/02/66/1072290266.db2.gz RZBSTECJWPGUND-CYBMUJFWSA-N 0 0 427.479 -0.558 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000598659236 1072290273 /nfs/dbraw/zinc/29/02/73/1072290273.db2.gz RZBSTECJWPGUND-ZDUSSCGKSA-N 0 0 427.479 -0.558 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC(N3C[C@@H](C(=O)OC(C)(C)C)CC3=O)CC2)c1=O ZINC000598796287 1072290655 /nfs/dbraw/zinc/29/06/55/1072290655.db2.gz OUOJBSKIXBJUCP-AWEZNQCLSA-N 0 0 434.493 -0.272 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC(N3C[C@H](C(=O)OC(C)(C)C)CC3=O)CC2)c1=O ZINC000598796290 1072290738 /nfs/dbraw/zinc/29/07/38/1072290738.db2.gz OUOJBSKIXBJUCP-CQSZACIVSA-N 0 0 434.493 -0.272 20 0 IBADRN CN(CCN(C)C(=O)CS(=O)(=O)NC(C)(C)C)C(=O)CS(=O)(=O)NC(C)(C)C ZINC000598890541 1072291147 /nfs/dbraw/zinc/29/11/47/1072291147.db2.gz HUCMLQGUBQSLBY-UHFFFAOYSA-N 0 0 442.604 -0.661 20 0 IBADRN COc1ccnc(N2CCN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CC2)n1 ZINC000598890785 1072291217 /nfs/dbraw/zinc/29/12/17/1072291217.db2.gz LMXGUQAWKYYZNC-UHFFFAOYSA-N 0 0 442.461 -0.141 20 0 IBADRN O=C(Cn1cc(NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)cn1)NC1CC1 ZINC000598890825 1072291280 /nfs/dbraw/zinc/29/12/80/1072291280.db2.gz MRNUYJMEXPWCIX-UHFFFAOYSA-N 0 0 428.434 -0.175 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000598895728 1072291204 /nfs/dbraw/zinc/29/12/04/1072291204.db2.gz HFUCVZQDDRATIF-LLVKDONJSA-N 0 0 426.480 -0.748 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000598895729 1072291482 /nfs/dbraw/zinc/29/14/82/1072291482.db2.gz HFUCVZQDDRATIF-NSHDSACASA-N 0 0 426.480 -0.748 20 0 IBADRN Cc1noc(CN2CCN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CC2)n1 ZINC000598896575 1072291312 /nfs/dbraw/zinc/29/13/12/1072291312.db2.gz LGWSDKSAUZONHW-UHFFFAOYSA-N 0 0 430.450 -0.253 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000598896825 1072291334 /nfs/dbraw/zinc/29/13/34/1072291334.db2.gz WERJPBMHQBBKDY-UHFFFAOYSA-N 0 0 426.480 -0.747 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000598912226 1072291271 /nfs/dbraw/zinc/29/12/71/1072291271.db2.gz SZSNLZPSCWYJHN-LLVKDONJSA-N 0 0 433.508 -0.481 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000598912227 1072291303 /nfs/dbraw/zinc/29/13/03/1072291303.db2.gz SZSNLZPSCWYJHN-NSHDSACASA-N 0 0 433.508 -0.481 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000598917416 1072291891 /nfs/dbraw/zinc/29/18/91/1072291891.db2.gz XBSTZIFFXNMXKC-GFCCVEGCSA-N 0 0 427.479 -0.805 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000598917417 1072291878 /nfs/dbraw/zinc/29/18/78/1072291878.db2.gz XBSTZIFFXNMXKC-LBPRGKRZSA-N 0 0 427.479 -0.805 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1ccccc1OC(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC000598917427 1072292001 /nfs/dbraw/zinc/29/20/01/1072292001.db2.gz YTZFFSYBXOYIKY-LLVKDONJSA-N 0 0 433.433 -0.221 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1ccccc1OC(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC000598917428 1072291956 /nfs/dbraw/zinc/29/19/56/1072291956.db2.gz YTZFFSYBXOYIKY-NSHDSACASA-N 0 0 433.433 -0.221 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000598918783 1072291783 /nfs/dbraw/zinc/29/17/83/1072291783.db2.gz CWRCFMIXPJCCJM-UHFFFAOYSA-N 0 0 444.477 -0.121 20 0 IBADRN CCN1CCO[C@@H](CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000598939579 1072291829 /nfs/dbraw/zinc/29/18/29/1072291829.db2.gz WXLZBPDPGZDVSJ-DOTOQJQBSA-N 0 0 443.570 -0.177 20 0 IBADRN CCN1CCO[C@H](CN2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000598939581 1072291739 /nfs/dbraw/zinc/29/17/39/1072291739.db2.gz WXLZBPDPGZDVSJ-RDJZCZTQSA-N 0 0 443.570 -0.177 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C[C@H]2CN(C)CCO2)C[C@@H]1C ZINC000599024937 1072292983 /nfs/dbraw/zinc/29/29/83/1072292983.db2.gz DLOPTEHTBMPQLU-JLJPHGGASA-N 0 0 443.570 -0.178 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C[C@@H]2CN(C)CCO2)C[C@H]1C ZINC000599024954 1072292399 /nfs/dbraw/zinc/29/23/99/1072292399.db2.gz DLOPTEHTBMPQLU-KMFMINBZSA-N 0 0 443.570 -0.178 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C[C@@H]2CN(C)CCO2)C[C@@H]1C ZINC000599024955 1072292320 /nfs/dbraw/zinc/29/23/20/1072292320.db2.gz DLOPTEHTBMPQLU-QRTARXTBSA-N 0 0 443.570 -0.178 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C[C@H]2CN(C)CCO2)C[C@H]1C ZINC000599024956 1072293076 /nfs/dbraw/zinc/29/30/76/1072293076.db2.gz DLOPTEHTBMPQLU-UKPHBRMFSA-N 0 0 443.570 -0.178 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000599095596 1072295321 /nfs/dbraw/zinc/29/53/21/1072295321.db2.gz GPFCXLCKGLQJLX-UHFFFAOYSA-N 0 0 428.478 -0.211 20 0 IBADRN CC(C)(CNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NS(C)(=O)=O ZINC000599109462 1072295929 /nfs/dbraw/zinc/29/59/29/1072295929.db2.gz AFINXUIMCRUKPP-UHFFFAOYSA-N 0 0 435.524 -0.430 20 0 IBADRN Cn1c(N)c(C(=O)Cn2nnc([C@@H]3CN(Cc4ccccc4)CCO3)n2)c(=O)n(C)c1=O ZINC000599122115 1072295853 /nfs/dbraw/zinc/29/58/53/1072295853.db2.gz SGRBIYMQSHNTLF-HNNXBMFYSA-N 0 0 440.464 -0.891 20 0 IBADRN Cn1c(N)c(C(=O)Cn2nnc([C@H]3CN(Cc4ccccc4)CCO3)n2)c(=O)n(C)c1=O ZINC000599122120 1072295913 /nfs/dbraw/zinc/29/59/13/1072295913.db2.gz SGRBIYMQSHNTLF-OAHLLOKOSA-N 0 0 440.464 -0.891 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCC(=O)NC1=O ZINC000599149169 1072296411 /nfs/dbraw/zinc/29/64/11/1072296411.db2.gz RMFUWAPFCFNVDA-UHFFFAOYSA-N 0 0 440.478 -0.013 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000599154137 1072296918 /nfs/dbraw/zinc/29/69/18/1072296918.db2.gz JUWAGHIUUCODQK-KRWDZBQOSA-N 0 0 431.493 -0.447 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000599154138 1072296736 /nfs/dbraw/zinc/29/67/36/1072296736.db2.gz JUWAGHIUUCODQK-QGZVFWFLSA-N 0 0 431.493 -0.447 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CN(C)S(C)(=O)=O)CC2)cc1 ZINC000599223605 1072298824 /nfs/dbraw/zinc/29/88/24/1072298824.db2.gz GJYFFHKQRUJATL-UHFFFAOYSA-N 0 0 432.568 -0.691 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)CCOCC(F)(F)F)CC2)CC1 ZINC000599228048 1072299497 /nfs/dbraw/zinc/29/94/97/1072299497.db2.gz WFFLVFULPPTXQW-UHFFFAOYSA-N 0 0 438.494 -0.995 20 0 IBADRN O=C(CN1CC(=O)NC1=O)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC000599294006 1072299552 /nfs/dbraw/zinc/29/95/52/1072299552.db2.gz OXVYDZXHFXRURC-UHFFFAOYSA-N 0 0 445.295 -0.166 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)NC(C(N)=O)C(N)=O)C(=O)c1ccc(Br)cc1 ZINC000599300708 1072300032 /nfs/dbraw/zinc/30/00/32/1072300032.db2.gz CANGVWPDPHVSFF-MRVPVSSYSA-N 0 0 427.255 -0.628 20 0 IBADRN C[C@H](NC(=O)CCC(=O)NC(C(N)=O)C(N)=O)C(=O)c1ccc(Br)cc1 ZINC000599300709 1072299984 /nfs/dbraw/zinc/29/99/84/1072299984.db2.gz CANGVWPDPHVSFF-QMMMGPOBSA-N 0 0 427.255 -0.628 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000599301257 1072299955 /nfs/dbraw/zinc/29/99/55/1072299955.db2.gz MNIOROYFUCTROM-UHFFFAOYSA-N 0 0 429.521 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1 ZINC000599359325 1072301756 /nfs/dbraw/zinc/30/17/56/1072301756.db2.gz OFCWQHIWWVAWPK-GXTWGEPZSA-N 0 0 446.551 -0.161 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1 ZINC000599359326 1072301668 /nfs/dbraw/zinc/30/16/68/1072301668.db2.gz OFCWQHIWWVAWPK-JSGCOSHPSA-N 0 0 446.551 -0.161 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000599368954 1072301850 /nfs/dbraw/zinc/30/18/50/1072301850.db2.gz PIKSOEGMNZSLPQ-INIZCTEOSA-N 0 0 449.533 -0.471 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000599368955 1072301807 /nfs/dbraw/zinc/30/18/07/1072301807.db2.gz PIKSOEGMNZSLPQ-MRXNPFEDSA-N 0 0 449.533 -0.471 20 0 IBADRN NC(=O)c1ccc(OCC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000599368956 1072301856 /nfs/dbraw/zinc/30/18/56/1072301856.db2.gz PJALHPBZFWSYLW-KRWDZBQOSA-N 0 0 439.534 -0.098 20 0 IBADRN NC(=O)c1ccc(OCC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000599368957 1072301866 /nfs/dbraw/zinc/30/18/66/1072301866.db2.gz PJALHPBZFWSYLW-QGZVFWFLSA-N 0 0 439.534 -0.098 20 0 IBADRN CCN1CCN(CC(=O)NCCCC(=O)N2CCN(c3ccccc3)CC2)C(=O)C1=O ZINC000599369769 1072301077 /nfs/dbraw/zinc/30/10/77/1072301077.db2.gz WFNOKHOHRVLIKM-UHFFFAOYSA-N 0 0 429.521 -0.078 20 0 IBADRN CN(CCCC(=O)NCC(=O)NCCN1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000599373931 1072301298 /nfs/dbraw/zinc/30/12/98/1072301298.db2.gz BRDURMFOFJSPML-UHFFFAOYSA-N 0 0 444.529 -0.209 20 0 IBADRN O=C(CNC(=O)CN1c2cccc3cccc(c23)S1(=O)=O)NCCN1CCOCC1 ZINC000599374133 1072301277 /nfs/dbraw/zinc/30/12/77/1072301277.db2.gz KWCZCFHDNWOASN-UHFFFAOYSA-N 0 0 432.502 -0.087 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NCC(=O)NCCN3CCOCC3)cc(C3CC3)nc21 ZINC000599374182 1072301208 /nfs/dbraw/zinc/30/12/08/1072301208.db2.gz NGGOBWUANLPRLQ-UHFFFAOYSA-N 0 0 444.492 -0.427 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCN2CCOCC2)cc(Cl)c1OCC(N)=O ZINC000599374229 1072301293 /nfs/dbraw/zinc/30/12/93/1072301293.db2.gz PNNBHRGMBKTXRL-UHFFFAOYSA-N 0 0 428.873 -0.609 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000599374506 1072301252 /nfs/dbraw/zinc/30/12/52/1072301252.db2.gz SSNHMOLZUJGBDZ-SFHVURJKSA-N 0 0 444.529 -0.307 20 0 IBADRN O=C(CNC(=O)c1sccc1S(=O)(=O)N1CCCC1)NCCN1CCOCC1 ZINC000599374531 1072301126 /nfs/dbraw/zinc/30/11/26/1072301126.db2.gz XQLWSJWFMPPNDY-UHFFFAOYSA-N 0 0 430.552 -0.289 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)NCCN1CCOCC1 ZINC000599376426 1072301238 /nfs/dbraw/zinc/30/12/38/1072301238.db2.gz IVPPAYOYDRLWRN-AVBTWRTFSA-N 0 0 437.541 -0.314 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)NCCN1CCOCC1 ZINC000599376429 1072301689 /nfs/dbraw/zinc/30/16/89/1072301689.db2.gz IVPPAYOYDRLWRN-LFRPXUGBSA-N 0 0 437.541 -0.314 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)NCCN1CCOCC1 ZINC000599376432 1072301874 /nfs/dbraw/zinc/30/18/74/1072301874.db2.gz IVPPAYOYDRLWRN-OXTHUFEASA-N 0 0 437.541 -0.314 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)NCCN1CCOCC1 ZINC000599376436 1072301730 /nfs/dbraw/zinc/30/17/30/1072301730.db2.gz IVPPAYOYDRLWRN-XXHMAFKTSA-N 0 0 437.541 -0.314 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cn1nnc2ccccc2c1=O)C(=O)NCCN1CCOCC1 ZINC000599376945 1072301306 /nfs/dbraw/zinc/30/13/06/1072301306.db2.gz NWIYQSSRNPCRME-BEFAXECRSA-N 0 0 430.509 -0.229 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cn1nnc2ccccc2c1=O)C(=O)NCCN1CCOCC1 ZINC000599376947 1072301182 /nfs/dbraw/zinc/30/11/82/1072301182.db2.gz NWIYQSSRNPCRME-DNVCBOLYSA-N 0 0 430.509 -0.229 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cn1nnc2ccccc2c1=O)C(=O)NCCN1CCOCC1 ZINC000599376949 1072301087 /nfs/dbraw/zinc/30/10/87/1072301087.db2.gz NWIYQSSRNPCRME-HNAYVOBHSA-N 0 0 430.509 -0.229 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cn1nnc2ccccc2c1=O)C(=O)NCCN1CCOCC1 ZINC000599376951 1072301138 /nfs/dbraw/zinc/30/11/38/1072301138.db2.gz NWIYQSSRNPCRME-KXBFYZLASA-N 0 0 430.509 -0.229 20 0 IBADRN O=C(C(=O)N1CCN(c2ccc(S(=O)(=O)NCC3CC3)cn2)CC1)N1CCOCC1 ZINC000599430774 1072303439 /nfs/dbraw/zinc/30/34/39/1072303439.db2.gz PEIQKBXPZLCUSF-UHFFFAOYSA-N 0 0 437.522 -0.723 20 0 IBADRN COCCN(C)S(=O)(=O)NCc1cccc(CNS(=O)(=O)N(C)CCOC)c1 ZINC000599432362 1072303473 /nfs/dbraw/zinc/30/34/73/1072303473.db2.gz MBBWDNRUAXGHJE-UHFFFAOYSA-N 0 0 438.572 -0.488 20 0 IBADRN Cn1cnc2c1ncnc2N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000599448510 1072303278 /nfs/dbraw/zinc/30/32/78/1072303278.db2.gz IBKYVEFHXAKCHS-UHFFFAOYSA-N 0 0 437.507 -0.478 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000599469481 1072303506 /nfs/dbraw/zinc/30/35/06/1072303506.db2.gz JFCMFMYBTLEDDD-UHFFFAOYSA-N 0 0 438.462 -0.767 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)nc1 ZINC000599498892 1072303887 /nfs/dbraw/zinc/30/38/87/1072303887.db2.gz SFBTVHYXVHNNTG-UHFFFAOYSA-N 0 0 429.480 -0.808 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000599503724 1072304533 /nfs/dbraw/zinc/30/45/33/1072304533.db2.gz URWSADFHDUDLMX-DLBZAZTESA-N 0 0 430.571 -0.370 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000599503727 1072304576 /nfs/dbraw/zinc/30/45/76/1072304576.db2.gz URWSADFHDUDLMX-IAGOWNOFSA-N 0 0 430.571 -0.370 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000599503729 1072304368 /nfs/dbraw/zinc/30/43/68/1072304368.db2.gz URWSADFHDUDLMX-IRXDYDNUSA-N 0 0 430.571 -0.370 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000599503731 1072304384 /nfs/dbraw/zinc/30/43/84/1072304384.db2.gz URWSADFHDUDLMX-SJORKVTESA-N 0 0 430.571 -0.370 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000599503962 1072303839 /nfs/dbraw/zinc/30/38/39/1072303839.db2.gz ZBAOXBZRNMITIM-KRWDZBQOSA-N 0 0 430.509 -0.330 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000599503963 1072304491 /nfs/dbraw/zinc/30/44/91/1072304491.db2.gz ZBAOXBZRNMITIM-QGZVFWFLSA-N 0 0 430.509 -0.330 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCn3nc4ccccn4c3=O)CC2)o1 ZINC000599507115 1072304430 /nfs/dbraw/zinc/30/44/30/1072304430.db2.gz LVVCETNACPSQSD-UHFFFAOYSA-N 0 0 448.461 -0.889 20 0 IBADRN COc1ncnc(OC)c1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000599507359 1072304588 /nfs/dbraw/zinc/30/45/88/1072304588.db2.gz RYPUJKUISZQUEL-UHFFFAOYSA-N 0 0 425.423 -0.668 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CCn3nc4ccccn4c3=O)CC2)cc1 ZINC000599509204 1072304462 /nfs/dbraw/zinc/30/44/62/1072304462.db2.gz YDWKPRZCCCKXIY-UHFFFAOYSA-N 0 0 430.490 -0.118 20 0 IBADRN NC(=O)CNC(=O)c1ccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000599543909 1072305561 /nfs/dbraw/zinc/30/55/61/1072305561.db2.gz JHULZMBEOMTXFF-KRWDZBQOSA-N 0 0 431.493 -0.447 20 0 IBADRN NC(=O)CNC(=O)c1ccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000599543911 1072305550 /nfs/dbraw/zinc/30/55/50/1072305550.db2.gz JHULZMBEOMTXFF-QGZVFWFLSA-N 0 0 431.493 -0.447 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000599554173 1071437672 /nfs/dbraw/zinc/43/76/72/1071437672.db2.gz QKTYQDNHRPHHOJ-MOPGFXCFSA-N 0 0 436.553 -0.060 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000599554174 1072305534 /nfs/dbraw/zinc/30/55/34/1072305534.db2.gz QKTYQDNHRPHHOJ-OALUTQOASA-N 0 0 436.553 -0.060 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000599554175 1072305486 /nfs/dbraw/zinc/30/54/86/1072305486.db2.gz QKTYQDNHRPHHOJ-RBUKOAKNSA-N 0 0 436.553 -0.060 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000599554176 1072305493 /nfs/dbraw/zinc/30/54/93/1072305493.db2.gz QKTYQDNHRPHHOJ-RTBURBONSA-N 0 0 436.553 -0.060 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000599560627 1072306023 /nfs/dbraw/zinc/30/60/23/1072306023.db2.gz VGQXLATZNAEWPC-GOSISDBHSA-N 0 0 445.520 -0.056 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000599560630 1072305987 /nfs/dbraw/zinc/30/59/87/1072305987.db2.gz VGQXLATZNAEWPC-SFHVURJKSA-N 0 0 445.520 -0.056 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)Nc1ccn(CCN2CCOCC2)n1 ZINC000599572157 1072305580 /nfs/dbraw/zinc/30/55/80/1072305580.db2.gz GWOXBKPXRSKNCI-GOSISDBHSA-N 0 0 434.541 -0.133 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)Nc1ccn(CCN2CCOCC2)n1 ZINC000599572158 1072305595 /nfs/dbraw/zinc/30/55/95/1072305595.db2.gz GWOXBKPXRSKNCI-SFHVURJKSA-N 0 0 434.541 -0.133 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCCCN1C(=O)c3ccccc3C1=O)c(=O)n2C ZINC000599804997 1072309171 /nfs/dbraw/zinc/30/91/71/1072309171.db2.gz XPIVMMQXFJPLOF-UHFFFAOYSA-N 0 0 438.444 -0.374 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)S(=O)(=O)c1cccnc1 ZINC000599841795 1072309095 /nfs/dbraw/zinc/30/90/95/1072309095.db2.gz AKPCTTAVRAJDGJ-AWEZNQCLSA-N 0 0 434.540 -0.693 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)S(=O)(=O)c1cccnc1 ZINC000599841796 1072309049 /nfs/dbraw/zinc/30/90/49/1072309049.db2.gz AKPCTTAVRAJDGJ-CQSZACIVSA-N 0 0 434.540 -0.693 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000599841849 1072308975 /nfs/dbraw/zinc/30/89/75/1072308975.db2.gz GLPXJIVGRWLYJK-HNNXBMFYSA-N 0 0 433.552 -0.129 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000599841850 1072308968 /nfs/dbraw/zinc/30/89/68/1072308968.db2.gz GLPXJIVGRWLYJK-OAHLLOKOSA-N 0 0 433.552 -0.129 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)S(=O)(=O)c1ccccc1 ZINC000599842159 1072309115 /nfs/dbraw/zinc/30/91/15/1072309115.db2.gz CQSVUURBIOJRNE-HNNXBMFYSA-N 0 0 433.552 -0.088 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)S(=O)(=O)c1ccccc1 ZINC000599842160 1072308945 /nfs/dbraw/zinc/30/89/45/1072308945.db2.gz CQSVUURBIOJRNE-OAHLLOKOSA-N 0 0 433.552 -0.088 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1C(=O)NC2(CCCC2)C1=O ZINC000599842580 1072309723 /nfs/dbraw/zinc/30/97/23/1072309723.db2.gz YXFHKKCVHOORAK-KBPBESRZSA-N 0 0 430.527 -0.156 20 0 IBADRN C[C@H](C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1C(=O)NC2(CCCC2)C1=O ZINC000599842581 1072309664 /nfs/dbraw/zinc/30/96/64/1072309664.db2.gz YXFHKKCVHOORAK-KGLIPLIRSA-N 0 0 430.527 -0.156 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)N1C(=O)NC2(CCCC2)C1=O ZINC000599842582 1072309567 /nfs/dbraw/zinc/30/95/67/1072309567.db2.gz YXFHKKCVHOORAK-UONOGXRCSA-N 0 0 430.527 -0.156 20 0 IBADRN C[C@H](C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)N1C(=O)NC2(CCCC2)C1=O ZINC000599842583 1072309650 /nfs/dbraw/zinc/30/96/50/1072309650.db2.gz YXFHKKCVHOORAK-ZIAGYGMSSA-N 0 0 430.527 -0.156 20 0 IBADRN O=C(CCCS(=O)(=O)N1CCCC1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000599842622 1072309616 /nfs/dbraw/zinc/30/96/16/1072309616.db2.gz QQYKEPBIMDGLPD-HNNXBMFYSA-N 0 0 425.573 -0.203 20 0 IBADRN O=C(CCCS(=O)(=O)N1CCCC1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000599842623 1072309711 /nfs/dbraw/zinc/30/97/11/1072309711.db2.gz QQYKEPBIMDGLPD-OAHLLOKOSA-N 0 0 425.573 -0.203 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000599842692 1072309699 /nfs/dbraw/zinc/30/96/99/1072309699.db2.gz WBVAWJHYJGQXER-JTQLQIEISA-N 0 0 446.477 -0.068 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000599842693 1072309645 /nfs/dbraw/zinc/30/96/45/1072309645.db2.gz WBVAWJHYJGQXER-SNVBAGLBSA-N 0 0 446.477 -0.068 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c2ccccn21 ZINC000599845042 1072309628 /nfs/dbraw/zinc/30/96/28/1072309628.db2.gz GFAZXAKUIOELKR-CYBMUJFWSA-N 0 0 444.535 -0.044 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c2ccccn21 ZINC000599845045 1072309598 /nfs/dbraw/zinc/30/95/98/1072309598.db2.gz GFAZXAKUIOELKR-ZDUSSCGKSA-N 0 0 444.535 -0.044 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000599846000 1072309676 /nfs/dbraw/zinc/30/96/76/1072309676.db2.gz WZMRJJNEHGGIFM-KRWDZBQOSA-N 0 0 447.579 -0.003 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000599846002 1072309636 /nfs/dbraw/zinc/30/96/36/1072309636.db2.gz WZMRJJNEHGGIFM-QGZVFWFLSA-N 0 0 447.579 -0.003 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000599846121 1072309555 /nfs/dbraw/zinc/30/95/55/1072309555.db2.gz ZLCSUOMDULBJBQ-HNNXBMFYSA-N 0 0 425.573 -0.347 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000599846123 1072309539 /nfs/dbraw/zinc/30/95/39/1072309539.db2.gz ZLCSUOMDULBJBQ-OAHLLOKOSA-N 0 0 425.573 -0.347 20 0 IBADRN CN(c1ccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1)S(C)(=O)=O ZINC000599885684 1072310662 /nfs/dbraw/zinc/31/06/62/1072310662.db2.gz BJWKKZNXHCWJQO-UHFFFAOYSA-N 0 0 431.474 -0.042 20 0 IBADRN CN(c1ccc(CNC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1)S(C)(=O)=O ZINC000599885749 1072310781 /nfs/dbraw/zinc/31/07/81/1072310781.db2.gz FQKJHAYJBSBEPP-UHFFFAOYSA-N 0 0 431.474 -0.042 20 0 IBADRN CN(c1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1)S(C)(=O)=O ZINC000599886239 1072310718 /nfs/dbraw/zinc/31/07/18/1072310718.db2.gz HOEUASASOZHVGG-UHFFFAOYSA-N 0 0 448.505 -0.464 20 0 IBADRN CN(c1ccc(CNC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1)S(C)(=O)=O ZINC000599889828 1072310574 /nfs/dbraw/zinc/31/05/74/1072310574.db2.gz WVYSGLFSSKVRHO-UHFFFAOYSA-N 0 0 434.478 -0.854 20 0 IBADRN CN(c1ccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1)S(C)(=O)=O ZINC000599891254 1072310547 /nfs/dbraw/zinc/31/05/47/1072310547.db2.gz TXOSZAMBIHHREJ-UHFFFAOYSA-N 0 0 434.478 -0.854 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000599949804 1072313437 /nfs/dbraw/zinc/31/34/37/1072313437.db2.gz IRBAAEYBBXHUMZ-HNNXBMFYSA-N 0 0 430.914 -0.057 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000599949807 1072313499 /nfs/dbraw/zinc/31/34/99/1072313499.db2.gz IRBAAEYBBXHUMZ-OAHLLOKOSA-N 0 0 430.914 -0.057 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000599953448 1072313641 /nfs/dbraw/zinc/31/36/41/1072313641.db2.gz UYVPIEXHGWHPDC-AWEZNQCLSA-N 0 0 432.449 -0.432 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000599953455 1072313585 /nfs/dbraw/zinc/31/35/85/1072313585.db2.gz UYVPIEXHGWHPDC-CQSZACIVSA-N 0 0 432.449 -0.432 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000599965458 1072313000 /nfs/dbraw/zinc/31/30/00/1072313000.db2.gz HOHSHSJFTWGFET-UHFFFAOYSA-N 0 0 430.552 -0.385 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000599966034 1072312860 /nfs/dbraw/zinc/31/28/60/1072312860.db2.gz QOXCZMBTLLWPGO-GOSISDBHSA-N 0 0 436.534 -0.222 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000599966035 1072313124 /nfs/dbraw/zinc/31/31/24/1072313124.db2.gz QOXCZMBTLLWPGO-SFHVURJKSA-N 0 0 436.534 -0.222 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000599966816 1071438222 /nfs/dbraw/zinc/43/82/22/1071438222.db2.gz TVQZDVKDEBVMIO-AWEZNQCLSA-N 0 0 431.492 -0.821 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000599966817 1072312948 /nfs/dbraw/zinc/31/29/48/1072312948.db2.gz TVQZDVKDEBVMIO-CQSZACIVSA-N 0 0 431.492 -0.821 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000599967394 1072313036 /nfs/dbraw/zinc/31/30/36/1072313036.db2.gz LTSLFXPOLVZUHW-MSOLQXFVSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000599967395 1072312832 /nfs/dbraw/zinc/31/28/32/1072312832.db2.gz LTSLFXPOLVZUHW-QZTJIDSGSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000599967396 1072313050 /nfs/dbraw/zinc/31/30/50/1072313050.db2.gz LTSLFXPOLVZUHW-ROUUACIJSA-N 0 0 442.582 -0.969 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000599967397 1072313019 /nfs/dbraw/zinc/31/30/19/1072313019.db2.gz LTSLFXPOLVZUHW-ZWKOTPCHSA-N 0 0 442.582 -0.969 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000599972089 1072314046 /nfs/dbraw/zinc/31/40/46/1072314046.db2.gz AZKLTGLKISXEPR-UHFFFAOYSA-N 0 0 444.535 -0.858 20 0 IBADRN CCn1nc(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)ccc1=O ZINC000599972594 1072314066 /nfs/dbraw/zinc/31/40/66/1072314066.db2.gz NTJWMVWRRVBJSC-UHFFFAOYSA-N 0 0 432.462 -0.008 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000599972663 1072314633 /nfs/dbraw/zinc/31/46/33/1072314633.db2.gz SWMMRLRJENZHDW-FQEVSTJZSA-N 0 0 449.596 -0.144 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000599972669 1072314768 /nfs/dbraw/zinc/31/47/68/1072314768.db2.gz SWMMRLRJENZHDW-HXUWFJFHSA-N 0 0 449.596 -0.144 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cccc(CN3CCOCC3)c2)CC1)N1CCOCC1 ZINC000599972682 1072314846 /nfs/dbraw/zinc/31/48/46/1072314846.db2.gz UKOQDKARQKUCAG-UHFFFAOYSA-N 0 0 430.505 -0.338 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000599973280 1072314729 /nfs/dbraw/zinc/31/47/29/1072314729.db2.gz ZCMRGHQNDRMCNI-HKUYNNGSSA-N 0 0 435.569 -0.489 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000599973282 1072314806 /nfs/dbraw/zinc/31/48/06/1072314806.db2.gz ZCMRGHQNDRMCNI-IEBWSBKVSA-N 0 0 435.569 -0.489 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000599973283 1072314879 /nfs/dbraw/zinc/31/48/79/1072314879.db2.gz ZCMRGHQNDRMCNI-MJGOQNOKSA-N 0 0 435.569 -0.489 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000599973284 1072314824 /nfs/dbraw/zinc/31/48/24/1072314824.db2.gz ZCMRGHQNDRMCNI-PKOBYXMFSA-N 0 0 435.569 -0.489 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000600004463 1074475650 /nfs/dbraw/zinc/47/56/50/1074475650.db2.gz ZHZGHACFUSMCRB-DLBZAZTESA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000600004464 1074475665 /nfs/dbraw/zinc/47/56/65/1074475665.db2.gz ZHZGHACFUSMCRB-IAGOWNOFSA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000600004465 1074475492 /nfs/dbraw/zinc/47/54/92/1074475492.db2.gz ZHZGHACFUSMCRB-IRXDYDNUSA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000600004466 1074475625 /nfs/dbraw/zinc/47/56/25/1074475625.db2.gz ZHZGHACFUSMCRB-SJORKVTESA-N 0 0 430.571 -0.513 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)CC1 ZINC000600005903 1073362936 /nfs/dbraw/zinc/36/29/36/1073362936.db2.gz DEGGZOGVTOTKJT-FQEVSTJZSA-N 0 0 449.596 -0.288 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)CC1 ZINC000600005904 1073363023 /nfs/dbraw/zinc/36/30/23/1073363023.db2.gz DEGGZOGVTOTKJT-HXUWFJFHSA-N 0 0 449.596 -0.288 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000600013916 1073362897 /nfs/dbraw/zinc/36/28/97/1073362897.db2.gz AADSRALFTPFGNN-KRWDZBQOSA-N 0 0 430.571 -0.370 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000600013921 1073362872 /nfs/dbraw/zinc/36/28/72/1073362872.db2.gz AADSRALFTPFGNN-QGZVFWFLSA-N 0 0 430.571 -0.370 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cccc(CN3CCOCC3)c2)CC1 ZINC000600026525 1073363401 /nfs/dbraw/zinc/36/34/01/1073363401.db2.gz CLUOYYMTPLPVMX-UHFFFAOYSA-N 0 0 428.489 -0.048 20 0 IBADRN COc1cc(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)ccc1OCC(N)=O ZINC000600027628 1073363432 /nfs/dbraw/zinc/36/34/32/1073363432.db2.gz CRJWVUKPUOBNOR-GOSISDBHSA-N 0 0 448.520 -0.111 20 0 IBADRN COc1cc(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)ccc1OCC(N)=O ZINC000600027630 1073363234 /nfs/dbraw/zinc/36/32/34/1073363234.db2.gz CRJWVUKPUOBNOR-SFHVURJKSA-N 0 0 448.520 -0.111 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000600028272 1073363459 /nfs/dbraw/zinc/36/34/59/1073363459.db2.gz WQJKYIKRVLSNKZ-GOSISDBHSA-N 0 0 445.520 -0.769 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000600028273 1073363636 /nfs/dbraw/zinc/36/36/36/1073363636.db2.gz WQJKYIKRVLSNKZ-SFHVURJKSA-N 0 0 445.520 -0.769 20 0 IBADRN CS(=O)(=O)NC1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000600036710 1073364050 /nfs/dbraw/zinc/36/40/50/1073364050.db2.gz WAZJVGCQDZPFDR-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN COCCCN(C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000600049108 1073364343 /nfs/dbraw/zinc/36/43/43/1073364343.db2.gz YRISNDQHXYCPCO-MSOLQXFVSA-N 0 0 445.582 -0.248 20 0 IBADRN COCCCN(C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000600049109 1073364404 /nfs/dbraw/zinc/36/44/04/1073364404.db2.gz YRISNDQHXYCPCO-QZTJIDSGSA-N 0 0 445.582 -0.248 20 0 IBADRN COCCCN(C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000600049110 1073364310 /nfs/dbraw/zinc/36/43/10/1073364310.db2.gz YRISNDQHXYCPCO-ROUUACIJSA-N 0 0 445.582 -0.248 20 0 IBADRN COCCCN(C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000600049111 1073364491 /nfs/dbraw/zinc/36/44/91/1073364491.db2.gz YRISNDQHXYCPCO-ZWKOTPCHSA-N 0 0 445.582 -0.248 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)CCCC(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000600088368 1073364624 /nfs/dbraw/zinc/36/46/24/1073364624.db2.gz AQALGLAXHSCRGH-UHFFFAOYSA-N 0 0 446.551 -0.362 20 0 IBADRN CS(=O)(=O)c1cc(Br)cc(C(=O)N2CCN(S(N)(=O)=O)CC2)c1F ZINC000600088472 1073366078 /nfs/dbraw/zinc/36/60/78/1073366078.db2.gz GRBQWJXCSOUQBC-UHFFFAOYSA-N 0 0 444.304 -0.047 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(S(N)(=O)=O)CC2)(c2ccccc2)CC1 ZINC000600088975 1073366007 /nfs/dbraw/zinc/36/60/07/1073366007.db2.gz QYAVEHPIAHGUEW-UHFFFAOYSA-N 0 0 444.579 -0.282 20 0 IBADRN COc1cc2ncn(CCCC(=O)N3CCN(S(N)(=O)=O)CC3)c(=O)c2cc1OC ZINC000600089084 1073365666 /nfs/dbraw/zinc/36/56/66/1073365666.db2.gz YXZXRSOEYRPGIE-UHFFFAOYSA-N 0 0 439.494 -0.458 20 0 IBADRN C[C@@H](NC(=O)c1ccc(Br)s1)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000600089087 1073365728 /nfs/dbraw/zinc/36/57/28/1073365728.db2.gz ZDIHICUZMWPDKU-MRVPVSSYSA-N 0 0 425.330 -0.023 20 0 IBADRN C[C@H](NC(=O)c1ccc(Br)s1)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000600089088 1073365655 /nfs/dbraw/zinc/36/56/55/1073365655.db2.gz ZDIHICUZMWPDKU-QMMMGPOBSA-N 0 0 425.330 -0.023 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCN2C(=O)COc3cc(Br)ccc32)CC1 ZINC000600089091 1073364642 /nfs/dbraw/zinc/36/46/42/1073364642.db2.gz ZNVAGXRGHLCAEV-UHFFFAOYSA-N 0 0 447.311 -0.088 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CN2C(=O)COc3cc(Br)ccc32)CC1 ZINC000600089675 1073365988 /nfs/dbraw/zinc/36/59/88/1073365988.db2.gz LWQLCHIUYWOSIB-UHFFFAOYSA-N 0 0 433.284 -0.478 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)C(=O)N(Cc2ccncc2)C3=O)CC1 ZINC000600089694 1073365961 /nfs/dbraw/zinc/36/59/61/1073365961.db2.gz NLJZZVRFKSQJTB-UHFFFAOYSA-N 0 0 429.458 -0.161 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N3CCN(S(N)(=O)=O)CC3)CC2=O)cc(OC)c1OC ZINC000600089971 1073364680 /nfs/dbraw/zinc/36/46/80/1073364680.db2.gz POGOUFNDGZSGNF-GFCCVEGCSA-N 0 0 442.494 -0.587 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N3CCN(S(N)(=O)=O)CC3)CC2=O)cc(OC)c1OC ZINC000600089972 1073364723 /nfs/dbraw/zinc/36/47/23/1073364723.db2.gz POGOUFNDGZSGNF-LBPRGKRZSA-N 0 0 442.494 -0.587 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000600090001 1073365282 /nfs/dbraw/zinc/36/52/82/1073365282.db2.gz URKSMVCKKKIVCS-UHFFFAOYSA-N 0 0 434.515 -0.426 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)Nc3ccccc3F)c[nH]2)CC1 ZINC000600090004 1073365256 /nfs/dbraw/zinc/36/52/56/1073365256.db2.gz UUBDBGOFRMOHNR-UHFFFAOYSA-N 0 0 431.471 -0.084 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000600090007 1073365272 /nfs/dbraw/zinc/36/52/72/1073365272.db2.gz UVFMREOOMAWMHP-UHFFFAOYSA-N 0 0 430.552 -0.419 20 0 IBADRN C[C@H](C(=O)N1CCN(S(N)(=O)=O)CC1)N1C(=O)c2ccc(Br)cc2C1=O ZINC000600091508 1073366070 /nfs/dbraw/zinc/36/60/70/1073366070.db2.gz GZGPPOGRNAXHIJ-SECBINFHSA-N 0 0 445.295 -0.219 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(N)(=O)=O)CC1)N1C(=O)c2ccc(Br)cc2C1=O ZINC000600091509 1073366369 /nfs/dbraw/zinc/36/63/69/1073366369.db2.gz GZGPPOGRNAXHIJ-VIFPVBQESA-N 0 0 445.295 -0.219 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3ccccc3c2)CC1 ZINC000600091585 1073366408 /nfs/dbraw/zinc/36/64/08/1073366408.db2.gz PAQBYCACRMWOCG-UHFFFAOYSA-N 0 0 426.520 -0.144 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCC(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000600091724 1073366173 /nfs/dbraw/zinc/36/61/73/1073366173.db2.gz VOSZMPPWZSXBSG-UHFFFAOYSA-N 0 0 432.568 -0.003 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000600092534 1073366496 /nfs/dbraw/zinc/36/64/96/1073366496.db2.gz IWBDOFLMFYJBTC-GOSISDBHSA-N 0 0 438.550 -0.195 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000600092535 1073366535 /nfs/dbraw/zinc/36/65/35/1073366535.db2.gz IWBDOFLMFYJBTC-SFHVURJKSA-N 0 0 438.550 -0.195 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)OC ZINC000600100188 1073366334 /nfs/dbraw/zinc/36/63/34/1073366334.db2.gz RLMHXINHMZTJGX-AWEZNQCLSA-N 0 0 434.492 -0.146 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)C(=O)OC ZINC000600100189 1073366320 /nfs/dbraw/zinc/36/63/20/1073366320.db2.gz RLMHXINHMZTJGX-CQSZACIVSA-N 0 0 434.492 -0.146 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000600101135 1073366395 /nfs/dbraw/zinc/36/63/95/1073366395.db2.gz JBDZLIZPXKPPEB-GFCCVEGCSA-N 0 0 448.505 -0.309 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000600101138 1073366485 /nfs/dbraw/zinc/36/64/85/1073366485.db2.gz JBDZLIZPXKPPEB-LBPRGKRZSA-N 0 0 448.505 -0.309 20 0 IBADRN CC(C)CCNC(=O)[C@H]1CSCN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000600113138 1073366849 /nfs/dbraw/zinc/36/68/49/1073366849.db2.gz XFUCBRADOUNZLM-CYBMUJFWSA-N 0 0 436.538 -0.112 20 0 IBADRN CC(C)CCNC(=O)[C@@H]1CSCN1C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000600113139 1073366908 /nfs/dbraw/zinc/36/69/08/1073366908.db2.gz XFUCBRADOUNZLM-ZDUSSCGKSA-N 0 0 436.538 -0.112 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000600116200 1073366349 /nfs/dbraw/zinc/36/63/49/1073366349.db2.gz MQJFUWCUFAXEAO-GOSISDBHSA-N 0 0 434.518 -0.011 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000600116202 1073366440 /nfs/dbraw/zinc/36/64/40/1073366440.db2.gz MQJFUWCUFAXEAO-SFHVURJKSA-N 0 0 434.518 -0.011 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000600121770 1073367180 /nfs/dbraw/zinc/36/71/80/1073367180.db2.gz MZVMAUIQSWMMAS-KRWDZBQOSA-N 0 0 436.534 -0.616 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000600121771 1073367043 /nfs/dbraw/zinc/36/70/43/1073367043.db2.gz MZVMAUIQSWMMAS-QGZVFWFLSA-N 0 0 436.534 -0.616 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(C2CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000600124302 1073366889 /nfs/dbraw/zinc/36/68/89/1073366889.db2.gz QMXMBYUSSDMKLK-CYBMUJFWSA-N 0 0 428.449 -0.622 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(C2CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000600124303 1073366835 /nfs/dbraw/zinc/36/68/35/1073366835.db2.gz QMXMBYUSSDMKLK-ZDUSSCGKSA-N 0 0 428.449 -0.622 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000600128500 1073366902 /nfs/dbraw/zinc/36/69/02/1073366902.db2.gz KYRCXPWJJZCNFM-KRWDZBQOSA-N 0 0 436.534 -0.087 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000600128504 1073366809 /nfs/dbraw/zinc/36/68/09/1073366809.db2.gz KYRCXPWJJZCNFM-QGZVFWFLSA-N 0 0 436.534 -0.087 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCC(=O)NCC(=O)c1ccc(OC)cc1 ZINC000600129131 1073367273 /nfs/dbraw/zinc/36/72/73/1073367273.db2.gz QCURGUJFKCAXKE-INIZCTEOSA-N 0 0 432.477 -0.373 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCC(=O)NCC(=O)c1ccc(OC)cc1 ZINC000600129143 1073367029 /nfs/dbraw/zinc/36/70/29/1073367029.db2.gz QCURGUJFKCAXKE-MRXNPFEDSA-N 0 0 432.477 -0.373 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)Nc1cnn(CCN2CCOCC2)c1 ZINC000600129759 1073367231 /nfs/dbraw/zinc/36/72/31/1073367231.db2.gz MSLXKHLHLGTZOH-IBGZPJMESA-N 0 0 434.541 -0.133 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)Nc1cnn(CCN2CCOCC2)c1 ZINC000600129779 1073367085 /nfs/dbraw/zinc/36/70/85/1073367085.db2.gz MSLXKHLHLGTZOH-LJQANCHMSA-N 0 0 434.541 -0.133 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000600129961 1073367070 /nfs/dbraw/zinc/36/70/70/1073367070.db2.gz WNNRLOMQMIXZRP-CABCVRRESA-N 0 0 430.461 -0.336 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000600129962 1073367160 /nfs/dbraw/zinc/36/71/60/1073367160.db2.gz WNNRLOMQMIXZRP-GJZGRUSLSA-N 0 0 430.461 -0.336 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000600129963 1073367168 /nfs/dbraw/zinc/36/71/68/1073367168.db2.gz WNNRLOMQMIXZRP-HUUCEWRRSA-N 0 0 430.461 -0.336 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000600129964 1073367194 /nfs/dbraw/zinc/36/71/94/1073367194.db2.gz WNNRLOMQMIXZRP-LSDHHAIUSA-N 0 0 430.461 -0.336 20 0 IBADRN CC[C@H](CNC(=O)CS(=O)(=O)NC(C)(C)C)NC(=O)CS(=O)(=O)NC(C)(C)C ZINC000600148050 1073367251 /nfs/dbraw/zinc/36/72/51/1073367251.db2.gz JJUWVLRFZUNGTE-GFCCVEGCSA-N 0 0 442.604 -0.567 20 0 IBADRN CC[C@@H](CNC(=O)CS(=O)(=O)NC(C)(C)C)NC(=O)CS(=O)(=O)NC(C)(C)C ZINC000600148051 1073367131 /nfs/dbraw/zinc/36/71/31/1073367131.db2.gz JJUWVLRFZUNGTE-LBPRGKRZSA-N 0 0 442.604 -0.567 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CC[C@@H]3NC(=O)NC3=O)CC2)cc1 ZINC000600160523 1073367659 /nfs/dbraw/zinc/36/76/59/1073367659.db2.gz AUTIKPLBRTZOOQ-INIZCTEOSA-N 0 0 437.522 -0.594 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CC[C@H]3NC(=O)NC3=O)CC2)cc1 ZINC000600160524 1073367523 /nfs/dbraw/zinc/36/75/23/1073367523.db2.gz AUTIKPLBRTZOOQ-MRXNPFEDSA-N 0 0 437.522 -0.594 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CN3C(=O)CN(C)C3=O)CC2)cc1 ZINC000600161299 1073367975 /nfs/dbraw/zinc/36/79/75/1073367975.db2.gz WIHPSKVISJNPFM-UHFFFAOYSA-N 0 0 437.522 -0.688 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@H](C)N3C(=O)CCC3=O)CC2)cc1 ZINC000600161302 1073367920 /nfs/dbraw/zinc/36/79/20/1073367920.db2.gz WKEDQWCOBFNDBQ-INIZCTEOSA-N 0 0 436.534 -0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@@H](C)N3C(=O)CCC3=O)CC2)cc1 ZINC000600161303 1073367960 /nfs/dbraw/zinc/36/79/60/1073367960.db2.gz WKEDQWCOBFNDBQ-MRXNPFEDSA-N 0 0 436.534 -0.045 20 0 IBADRN CCc1nc(CN2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)no1 ZINC000600202988 1073369333 /nfs/dbraw/zinc/36/93/33/1073369333.db2.gz BGLVTAAUUUVJOR-KBPBESRZSA-N 0 0 425.511 -0.688 20 0 IBADRN CCc1nc(CN2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)no1 ZINC000600202989 1073369360 /nfs/dbraw/zinc/36/93/60/1073369360.db2.gz BGLVTAAUUUVJOR-KGLIPLIRSA-N 0 0 425.511 -0.688 20 0 IBADRN CCc1nc(CN2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)no1 ZINC000600202990 1073369349 /nfs/dbraw/zinc/36/93/49/1073369349.db2.gz BGLVTAAUUUVJOR-UONOGXRCSA-N 0 0 425.511 -0.688 20 0 IBADRN CCc1nc(CN2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)no1 ZINC000600202991 1073369355 /nfs/dbraw/zinc/36/93/55/1073369355.db2.gz BGLVTAAUUUVJOR-ZIAGYGMSSA-N 0 0 425.511 -0.688 20 0 IBADRN CCc1nc(CN2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)no1 ZINC000600203035 1073369230 /nfs/dbraw/zinc/36/92/30/1073369230.db2.gz HVKWIMVAGBKMTJ-UHFFFAOYSA-N 0 0 430.469 -0.886 20 0 IBADRN CCc1nc(CN2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)CC2)no1 ZINC000600203045 1073369135 /nfs/dbraw/zinc/36/91/35/1073369135.db2.gz INJBOUSTPOTMSC-UHFFFAOYSA-N 0 0 438.510 -0.061 20 0 IBADRN CCc1nc(CN2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)no1 ZINC000600203702 1073369289 /nfs/dbraw/zinc/36/92/89/1073369289.db2.gz VHJJVHKJDQAZEW-KRWDZBQOSA-N 0 0 434.541 -0.011 20 0 IBADRN CCc1nc(CN2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)no1 ZINC000600203705 1073369249 /nfs/dbraw/zinc/36/92/49/1073369249.db2.gz VHJJVHKJDQAZEW-QGZVFWFLSA-N 0 0 434.541 -0.011 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000600210295 1073368670 /nfs/dbraw/zinc/36/86/70/1073368670.db2.gz UKYPFHDFGDKVTK-GXTWGEPZSA-N 0 0 434.540 -0.710 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000600210296 1073368741 /nfs/dbraw/zinc/36/87/41/1073368741.db2.gz UKYPFHDFGDKVTK-JSGCOSHPSA-N 0 0 434.540 -0.710 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@@H](C)N2CCN(S(N)(=O)=O)CC2)cc(C(=O)OC)c1 ZINC000600217013 1073369649 /nfs/dbraw/zinc/36/96/49/1073369649.db2.gz DNAAKAPKXIETEJ-LLVKDONJSA-N 0 0 428.467 -0.592 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@H](C)N2CCN(S(N)(=O)=O)CC2)cc(C(=O)OC)c1 ZINC000600217014 1073369521 /nfs/dbraw/zinc/36/95/21/1073369521.db2.gz DNAAKAPKXIETEJ-NSHDSACASA-N 0 0 428.467 -0.592 20 0 IBADRN CN(C(=O)CN1CSCC1=O)c1nc(CNC(=O)CN2CSCC2=O)cs1 ZINC000600243275 1073370527 /nfs/dbraw/zinc/37/05/27/1073370527.db2.gz MXTSYDZSVUNSTO-UHFFFAOYSA-N 0 0 429.549 -0.212 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000600253564 1073371426 /nfs/dbraw/zinc/37/14/26/1073371426.db2.gz NABLDGAKJKQKGM-HNNXBMFYSA-N 0 0 439.600 -0.558 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000600253754 1070796545 /nfs/dbraw/zinc/79/65/45/1070796545.db2.gz NABLDGAKJKQKGM-OAHLLOKOSA-N 0 0 439.600 -0.558 20 0 IBADRN NC(=O)COc1cccc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000600257065 1073371044 /nfs/dbraw/zinc/37/10/44/1073371044.db2.gz HIVZZSVEEUNYAT-KRWDZBQOSA-N 0 0 439.534 -0.098 20 0 IBADRN NC(=O)COc1cccc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000600257066 1073370849 /nfs/dbraw/zinc/37/08/49/1073370849.db2.gz HIVZZSVEEUNYAT-QGZVFWFLSA-N 0 0 439.534 -0.098 20 0 IBADRN O=C(NCC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)NCc1ccco1 ZINC000600257697 1073370899 /nfs/dbraw/zinc/37/08/99/1073370899.db2.gz ISXSPCWVSQMCQN-INIZCTEOSA-N 0 0 442.538 -0.183 20 0 IBADRN O=C(NCC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)NCc1ccco1 ZINC000600257699 1073371025 /nfs/dbraw/zinc/37/10/25/1073371025.db2.gz ISXSPCWVSQMCQN-MRXNPFEDSA-N 0 0 442.538 -0.183 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000600259113 1073371002 /nfs/dbraw/zinc/37/10/02/1073371002.db2.gz ZSJLSUSYPPFIGY-INIZCTEOSA-N 0 0 429.539 -0.346 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000600259122 1073370956 /nfs/dbraw/zinc/37/09/56/1073370956.db2.gz ZSJLSUSYPPFIGY-MRXNPFEDSA-N 0 0 429.539 -0.346 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)C1 ZINC000600265124 1073372639 /nfs/dbraw/zinc/37/26/39/1073372639.db2.gz GOYNOFBDIMLEIN-DLBZAZTESA-N 0 0 430.571 -0.560 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)C1 ZINC000600265125 1073372825 /nfs/dbraw/zinc/37/28/25/1073372825.db2.gz GOYNOFBDIMLEIN-IAGOWNOFSA-N 0 0 430.571 -0.560 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)C1 ZINC000600265126 1073372729 /nfs/dbraw/zinc/37/27/29/1073372729.db2.gz GOYNOFBDIMLEIN-IRXDYDNUSA-N 0 0 430.571 -0.560 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)C1 ZINC000600265127 1073372815 /nfs/dbraw/zinc/37/28/15/1073372815.db2.gz GOYNOFBDIMLEIN-SJORKVTESA-N 0 0 430.571 -0.560 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)s2)CC1 ZINC000600265481 1073371919 /nfs/dbraw/zinc/37/19/19/1073371919.db2.gz IAQZVELESMQFMJ-CYBMUJFWSA-N 0 0 430.552 -0.671 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cc2ccc(S(N)(=O)=O)s2)CC1 ZINC000600265489 1073371807 /nfs/dbraw/zinc/37/18/07/1073371807.db2.gz IAQZVELESMQFMJ-ZDUSSCGKSA-N 0 0 430.552 -0.671 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCc2nc(-c3ncccn3)no2)CC1 ZINC000600266079 1073371742 /nfs/dbraw/zinc/37/17/42/1073371742.db2.gz OZRSLZMIUCIMCZ-HNNXBMFYSA-N 0 0 429.481 -0.149 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)CCc2nc(-c3ncccn3)no2)CC1 ZINC000600266080 1073371867 /nfs/dbraw/zinc/37/18/67/1073371867.db2.gz OZRSLZMIUCIMCZ-OAHLLOKOSA-N 0 0 429.481 -0.149 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cc2cccc(NS(C)(=O)=O)c2)CC1 ZINC000600266135 1073371877 /nfs/dbraw/zinc/37/18/77/1073371877.db2.gz RNUJBLLCRHHJKX-INIZCTEOSA-N 0 0 438.550 -0.008 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cc2cccc(NS(C)(=O)=O)c2)CC1 ZINC000600266136 1073371785 /nfs/dbraw/zinc/37/17/85/1073371785.db2.gz RNUJBLLCRHHJKX-MRXNPFEDSA-N 0 0 438.550 -0.008 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H](C)N2C(=O)[C@H]3CCCC[C@@H]3C2=O)CC1 ZINC000600266552 1073371860 /nfs/dbraw/zinc/37/18/60/1073371860.db2.gz VRPWMJPKVSVDRA-OWSLCNJRSA-N 0 0 434.537 -0.058 20 0 IBADRN C[C@H](C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000600266554 1073371779 /nfs/dbraw/zinc/37/17/79/1073371779.db2.gz VRPWMJPKVSVDRA-TVFCKZIOSA-N 0 0 434.537 -0.058 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H](C)N2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC000600266555 1073371799 /nfs/dbraw/zinc/37/17/99/1073371799.db2.gz VRPWMJPKVSVDRA-XDNAFOTISA-N 0 0 434.537 -0.058 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H](C)N2C(=O)[C@@H]3CCCC[C@H]3C2=O)CC1 ZINC000600266556 1073371757 /nfs/dbraw/zinc/37/17/57/1073371757.db2.gz VRPWMJPKVSVDRA-XMTFNYHQSA-N 0 0 434.537 -0.058 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000600267842 1073371824 /nfs/dbraw/zinc/37/18/24/1073371824.db2.gz HXXWAWJTTNXGRR-ICSRJNTNSA-N 0 0 444.532 -0.195 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2COCC(=O)N2Cc2ccccc2)CC1 ZINC000600267843 1073371853 /nfs/dbraw/zinc/37/18/53/1073371853.db2.gz HXXWAWJTTNXGRR-QUCCMNQESA-N 0 0 444.532 -0.195 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000600268631 1073371962 /nfs/dbraw/zinc/37/19/62/1073371962.db2.gz OZYACBCJFWMFEJ-INIZCTEOSA-N 0 0 438.550 -0.010 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000600268646 1073371833 /nfs/dbraw/zinc/37/18/33/1073371833.db2.gz OZYACBCJFWMFEJ-MRXNPFEDSA-N 0 0 438.550 -0.010 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)CCCN3C(=O)CNC3=O)CC2)cc1 ZINC000600276111 1073373137 /nfs/dbraw/zinc/37/31/37/1073373137.db2.gz DKBPAZFEHLUJJA-UHFFFAOYSA-N 0 0 431.493 -0.099 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC000600277509 1073373104 /nfs/dbraw/zinc/37/31/04/1073373104.db2.gz FUWBSIHPQXOHPF-UHFFFAOYSA-N 0 0 438.550 -0.700 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)c3cn(CC(=O)N(C)C)nn3)CC2)cc1 ZINC000600277550 1073373021 /nfs/dbraw/zinc/37/30/21/1073373021.db2.gz HWJJDONTKSAPTR-UHFFFAOYSA-N 0 0 443.508 -0.437 20 0 IBADRN CC(=O)N[C@H](CCC(N)=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000600277562 1073373058 /nfs/dbraw/zinc/37/30/58/1073373058.db2.gz IGADLCDRLTYCRB-GOSISDBHSA-N 0 0 429.521 -0.120 20 0 IBADRN CC(=O)N[C@@H](CCC(N)=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000600277564 1073373079 /nfs/dbraw/zinc/37/30/79/1073373079.db2.gz IGADLCDRLTYCRB-SFHVURJKSA-N 0 0 429.521 -0.120 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)CCN3CCCS3(=O)=O)CC2)cc1 ZINC000600278082 1073373149 /nfs/dbraw/zinc/37/31/49/1073373149.db2.gz LEAHQYYLHSRTFI-UHFFFAOYSA-N 0 0 438.550 -0.005 20 0 IBADRN CCn1nc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)ccc1=O ZINC000600278153 1073373128 /nfs/dbraw/zinc/37/31/28/1073373128.db2.gz PGHGHYOTPYIAQM-UHFFFAOYSA-N 0 0 447.473 -0.259 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)[C@H]3CCCN3S(C)(=O)=O)CC2)cc1 ZINC000600278226 1073373013 /nfs/dbraw/zinc/37/30/13/1073373013.db2.gz WWUBLDFZISVFDW-GOSISDBHSA-N 0 0 438.550 -0.007 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)[C@@H]3CCCN3S(C)(=O)=O)CC2)cc1 ZINC000600278229 1073373051 /nfs/dbraw/zinc/37/30/51/1073373051.db2.gz WWUBLDFZISVFDW-SFHVURJKSA-N 0 0 438.550 -0.007 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000600278387 1073372974 /nfs/dbraw/zinc/37/29/74/1073372974.db2.gz YATIISFLIJWGDD-GOSISDBHSA-N 0 0 444.598 -0.028 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000600278388 1073373030 /nfs/dbraw/zinc/37/30/30/1073373030.db2.gz YATIISFLIJWGDD-SFHVURJKSA-N 0 0 444.598 -0.028 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCS(=O)(=O)NCC1CCC1 ZINC000600293743 1073373831 /nfs/dbraw/zinc/37/38/31/1073373831.db2.gz PQWPMJVMHYSPDQ-KRWDZBQOSA-N 0 0 430.571 -0.465 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCS(=O)(=O)NCC1CCC1 ZINC000600293744 1073373789 /nfs/dbraw/zinc/37/37/89/1073373789.db2.gz PQWPMJVMHYSPDQ-QGZVFWFLSA-N 0 0 430.571 -0.465 20 0 IBADRN C[C@H](CNC(=O)CS(=O)(=O)NC(C)(C)C)N(C)C(=O)CS(=O)(=O)NC(C)(C)C ZINC000600316034 1073375718 /nfs/dbraw/zinc/37/57/18/1073375718.db2.gz JEKYGCYWRBSPCZ-GFCCVEGCSA-N 0 0 442.604 -0.615 20 0 IBADRN C[C@@H](CNC(=O)CS(=O)(=O)NC(C)(C)C)N(C)C(=O)CS(=O)(=O)NC(C)(C)C ZINC000600316035 1073375752 /nfs/dbraw/zinc/37/57/52/1073375752.db2.gz JEKYGCYWRBSPCZ-LBPRGKRZSA-N 0 0 442.604 -0.615 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000600340113 1073378354 /nfs/dbraw/zinc/37/83/54/1073378354.db2.gz GTVUBEMWURHNJM-UHFFFAOYSA-N 0 0 440.566 -0.121 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000600344936 1073377389 /nfs/dbraw/zinc/37/73/89/1073377389.db2.gz PAZQHFIPNFXDCS-UHFFFAOYSA-N 0 0 446.957 -0.085 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NC(C)C)cc2)CC1 ZINC000600346343 1073377541 /nfs/dbraw/zinc/37/75/41/1073377541.db2.gz TWWHWMHCNVAZJS-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)NC(C)C)c2)CC1 ZINC000600346960 1073377856 /nfs/dbraw/zinc/37/78/56/1073377856.db2.gz WKDKBLCSBXUTNA-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000600352375 1073379446 /nfs/dbraw/zinc/37/94/46/1073379446.db2.gz IBTJKINFAZWAMZ-UHFFFAOYSA-N 0 0 430.502 -0.599 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccccc2Cl)CC1 ZINC000600360600 1073379648 /nfs/dbraw/zinc/37/96/48/1073379648.db2.gz CTNVLOFRXYSHPS-UHFFFAOYSA-N 0 0 446.957 -0.085 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC[C@H](NC(=O)C(=O)Nc2cc(C)on2)C2CCCCC2)no1 ZINC000600369327 1073380364 /nfs/dbraw/zinc/38/03/64/1073380364.db2.gz FQOUMGASKQNQNE-AWEZNQCLSA-N 0 0 446.464 -0.118 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC[C@@H](NC(=O)C(=O)Nc2cc(C)on2)C2CCCCC2)no1 ZINC000600369328 1073380313 /nfs/dbraw/zinc/38/03/13/1073380313.db2.gz FQOUMGASKQNQNE-CQSZACIVSA-N 0 0 446.464 -0.118 20 0 IBADRN Cn1cc(C(=O)NC[C@H](NC(=O)c2cn(C)c(=O)[nH]c2=O)C2CCCCC2)c(=O)[nH]c1=O ZINC000600369627 1073380282 /nfs/dbraw/zinc/38/02/82/1073380282.db2.gz JKDZBKFBVRRILV-AWEZNQCLSA-N 0 0 446.464 -0.606 20 0 IBADRN Cn1cc(C(=O)NC[C@@H](NC(=O)c2cn(C)c(=O)[nH]c2=O)C2CCCCC2)c(=O)[nH]c1=O ZINC000600369639 1073380678 /nfs/dbraw/zinc/38/06/78/1073380678.db2.gz JKDZBKFBVRRILV-CQSZACIVSA-N 0 0 446.464 -0.606 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000600418900 1073383454 /nfs/dbraw/zinc/38/34/54/1073383454.db2.gz OTRNMEGPSZVHSB-UHFFFAOYSA-N 0 0 425.401 -0.213 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1CCOCC1 ZINC000600488476 1073387387 /nfs/dbraw/zinc/38/73/87/1073387387.db2.gz HNSKFTIQAVFKCB-DOTOQJQBSA-N 0 0 437.541 -0.170 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1CCOCC1 ZINC000600488479 1073387471 /nfs/dbraw/zinc/38/74/71/1073387471.db2.gz HNSKFTIQAVFKCB-NVXWUHKLSA-N 0 0 437.541 -0.170 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1CCOCC1 ZINC000600488481 1073387369 /nfs/dbraw/zinc/38/73/69/1073387369.db2.gz HNSKFTIQAVFKCB-RDJZCZTQSA-N 0 0 437.541 -0.170 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCCN1CCOCC1 ZINC000600488482 1073387429 /nfs/dbraw/zinc/38/74/29/1073387429.db2.gz HNSKFTIQAVFKCB-WBVHZDCISA-N 0 0 437.541 -0.170 20 0 IBADRN COc1cccc(C[C@H](C(=O)NCC(=O)NCCN2CCOCC2)n2nnnc2C)c1 ZINC000600488781 1073387780 /nfs/dbraw/zinc/38/77/80/1073387780.db2.gz AJVHFKCDMNZSEL-GOSISDBHSA-N 0 0 431.497 -0.661 20 0 IBADRN COc1cccc(C[C@@H](C(=O)NCC(=O)NCCN2CCOCC2)n2nnnc2C)c1 ZINC000600488789 1073387883 /nfs/dbraw/zinc/38/78/83/1073387883.db2.gz AJVHFKCDMNZSEL-SFHVURJKSA-N 0 0 431.497 -0.661 20 0 IBADRN O=C(CNC(=O)[C@@H]1CS[C@H](Cc2ccccc2F)C(=O)N1)NCCN1CCOCC1 ZINC000600488938 1073387901 /nfs/dbraw/zinc/38/79/01/1073387901.db2.gz IEAJLVJDCRXNAI-DLBZAZTESA-N 0 0 438.525 -0.467 20 0 IBADRN O=C(CNC(=O)[C@H]1CS[C@H](Cc2ccccc2F)C(=O)N1)NCCN1CCOCC1 ZINC000600488939 1073387795 /nfs/dbraw/zinc/38/77/95/1073387795.db2.gz IEAJLVJDCRXNAI-IAGOWNOFSA-N 0 0 438.525 -0.467 20 0 IBADRN O=C(CNC(=O)[C@@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1)NCCN1CCOCC1 ZINC000600488940 1073387788 /nfs/dbraw/zinc/38/77/88/1073387788.db2.gz IEAJLVJDCRXNAI-IRXDYDNUSA-N 0 0 438.525 -0.467 20 0 IBADRN O=C(CNC(=O)[C@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1)NCCN1CCOCC1 ZINC000600488941 1073387855 /nfs/dbraw/zinc/38/78/55/1073387855.db2.gz IEAJLVJDCRXNAI-SJORKVTESA-N 0 0 438.525 -0.467 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2C(=O)NCC(=O)NCCN2CCOCC2)c(OC)c1 ZINC000600489709 1073387108 /nfs/dbraw/zinc/38/71/08/1073387108.db2.gz RGSZBOCCZZBBCA-GOSISDBHSA-N 0 0 448.520 -0.127 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)NCC(=O)NCCN2CCOCC2)c(OC)c1 ZINC000600489729 1073387094 /nfs/dbraw/zinc/38/70/94/1073387094.db2.gz RGSZBOCCZZBBCA-SFHVURJKSA-N 0 0 448.520 -0.127 20 0 IBADRN O=C(CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cccnc1)NCCN1CCOCC1 ZINC000600489795 1073387000 /nfs/dbraw/zinc/38/70/00/1073387000.db2.gz UPRCLSNOWOSWMV-FQEVSTJZSA-N 0 0 439.516 -0.013 20 0 IBADRN O=C(CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cccnc1)NCCN1CCOCC1 ZINC000600489802 1073387039 /nfs/dbraw/zinc/38/70/39/1073387039.db2.gz UPRCLSNOWOSWMV-HXUWFJFHSA-N 0 0 439.516 -0.013 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000600489892 1073387062 /nfs/dbraw/zinc/38/70/62/1073387062.db2.gz YCXMDEQFAXDCER-UHFFFAOYSA-N 0 0 426.539 -0.464 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)C1CCC2(CC1)NC(=O)NC2=O)C(=O)NCCN1CCOCC1 ZINC000600490039 1073386968 /nfs/dbraw/zinc/38/69/68/1073386968.db2.gz UIUWTWNHRLKLSS-ANZKDXPISA-N 0 0 437.541 -0.266 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)C1CCC2(CC1)NC(=O)NC2=O)C(=O)NCCN1CCOCC1 ZINC000600490040 1073387008 /nfs/dbraw/zinc/38/70/08/1073387008.db2.gz UIUWTWNHRLKLSS-KHQOZGCUSA-N 0 0 437.541 -0.266 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)C1CCC2(CC1)NC(=O)NC2=O)C(=O)NCCN1CCOCC1 ZINC000600490041 1073387015 /nfs/dbraw/zinc/38/70/15/1073387015.db2.gz UIUWTWNHRLKLSS-SDMXJPDVSA-N 0 0 437.541 -0.266 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)C1CCC2(CC1)NC(=O)NC2=O)C(=O)NCCN1CCOCC1 ZINC000600490045 1073387072 /nfs/dbraw/zinc/38/70/72/1073387072.db2.gz UIUWTWNHRLKLSS-XAHBDIOTSA-N 0 0 437.541 -0.266 20 0 IBADRN CC(C)C[C@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000600492493 1073387829 /nfs/dbraw/zinc/38/78/29/1073387829.db2.gz NFRIANALYWLHDC-FGTMMUONSA-N 0 0 434.537 -0.083 20 0 IBADRN CC(C)C[C@@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000600492497 1073387801 /nfs/dbraw/zinc/38/78/01/1073387801.db2.gz NFRIANALYWLHDC-KSZLIROESA-N 0 0 434.537 -0.083 20 0 IBADRN CC(C)C[C@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)[C@@H]2CC=CC[C@H]2C1=O ZINC000600492498 1073387890 /nfs/dbraw/zinc/38/78/90/1073387890.db2.gz NFRIANALYWLHDC-KZNAEPCWSA-N 0 0 434.537 -0.083 20 0 IBADRN CC(C)C[C@H](C(=O)NCC(=O)NCCN1CCOCC1)N1C(=O)[C@H]2CC=CC[C@@H]2C1=O ZINC000600492499 1073387922 /nfs/dbraw/zinc/38/79/22/1073387922.db2.gz NFRIANALYWLHDC-OKZBNKHCSA-N 0 0 434.537 -0.083 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCCCN1S(=O)(=O)c1cccs1)NCCN1CCOCC1 ZINC000600492507 1073387896 /nfs/dbraw/zinc/38/78/96/1073387896.db2.gz OFEZSIVPFNZTEM-HNNXBMFYSA-N 0 0 444.579 -0.144 20 0 IBADRN O=C(CNC(=O)[C@H]1CCCCN1S(=O)(=O)c1cccs1)NCCN1CCOCC1 ZINC000600492508 1073387910 /nfs/dbraw/zinc/38/79/10/1073387910.db2.gz OFEZSIVPFNZTEM-OAHLLOKOSA-N 0 0 444.579 -0.144 20 0 IBADRN O=C(CNC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)NCCN1CCOCC1 ZINC000600492594 1073388380 /nfs/dbraw/zinc/38/83/80/1073388380.db2.gz MODHKRYUXJXIIP-UHFFFAOYSA-N 0 0 438.550 -0.905 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000600493387 1073387862 /nfs/dbraw/zinc/38/78/62/1073387862.db2.gz ROZPEIIUNPHJTP-UHFFFAOYSA-N 0 0 426.539 -0.201 20 0 IBADRN O=C(CNC(=O)c1cn(-c2ccc(C(F)(F)F)cn2)nn1)NCCN1CCOCC1 ZINC000600493596 1073388330 /nfs/dbraw/zinc/38/83/30/1073388330.db2.gz ZLMAWWZUIMPYJV-UHFFFAOYSA-N 0 0 427.387 -0.141 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)CN(C)c1ncnc2nc[nH]c21)C(=O)NCCN1CCOCC1 ZINC000600494172 1073388309 /nfs/dbraw/zinc/38/83/09/1073388309.db2.gz UZNXKJYKJGKRJG-GDBMZVCRSA-N 0 0 432.529 -0.232 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN(C)c1ncnc2nc[nH]c21)C(=O)NCCN1CCOCC1 ZINC000600494190 1073388365 /nfs/dbraw/zinc/38/83/65/1073388365.db2.gz UZNXKJYKJGKRJG-GOEBONIOSA-N 0 0 432.529 -0.232 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN(C)c1ncnc2nc[nH]c21)C(=O)NCCN1CCOCC1 ZINC000600494194 1073388294 /nfs/dbraw/zinc/38/82/94/1073388294.db2.gz UZNXKJYKJGKRJG-HOCLYGCPSA-N 0 0 432.529 -0.232 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)CN(C)c1ncnc2nc[nH]c21)C(=O)NCCN1CCOCC1 ZINC000600494196 1073388400 /nfs/dbraw/zinc/38/84/00/1073388400.db2.gz UZNXKJYKJGKRJG-ZBFHGGJFSA-N 0 0 432.529 -0.232 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1)NCCN1CCOCC1 ZINC000600497429 1073388803 /nfs/dbraw/zinc/38/88/03/1073388803.db2.gz LWCCKCQAEAJEDE-HNNXBMFYSA-N 0 0 432.477 -0.625 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1)NCCN1CCOCC1 ZINC000600497441 1073388825 /nfs/dbraw/zinc/38/88/25/1073388825.db2.gz LWCCKCQAEAJEDE-OAHLLOKOSA-N 0 0 432.477 -0.625 20 0 IBADRN NC(=O)c1ncn2c1nc(-c1ccco1)cc2C(=O)NCC(=O)NCCN1CCOCC1 ZINC000600497464 1073388792 /nfs/dbraw/zinc/38/87/92/1073388792.db2.gz MHJUEQCPRPKPHT-UHFFFAOYSA-N 0 0 441.448 -0.734 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000600498895 1073389364 /nfs/dbraw/zinc/38/93/64/1073389364.db2.gz YUFIMMTXGOFNCB-UHFFFAOYSA-N 0 0 442.538 -0.902 20 0 IBADRN CN1C[C@H](C(=O)N2CCN(c3ncccc3CNC(=O)[C@H]3CC(=O)N(C)C3)CC2)CC1=O ZINC000600512873 1073392711 /nfs/dbraw/zinc/39/27/11/1073392711.db2.gz PYAOLIHHIHWUHS-DLBZAZTESA-N 0 0 442.520 -0.697 20 0 IBADRN CN1C[C@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@@H]3CC(=O)N(C)C3)CC2)CC1=O ZINC000600512882 1073392650 /nfs/dbraw/zinc/39/26/50/1073392650.db2.gz PYAOLIHHIHWUHS-IAGOWNOFSA-N 0 0 442.520 -0.697 20 0 IBADRN CN1C[C@@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@H]3CC(=O)N(C)C3)CC2)CC1=O ZINC000600512884 1073392790 /nfs/dbraw/zinc/39/27/90/1073392790.db2.gz PYAOLIHHIHWUHS-IRXDYDNUSA-N 0 0 442.520 -0.697 20 0 IBADRN CN1C[C@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@H]3CC(=O)N(C)C3)CC2)CC1=O ZINC000600512886 1073392697 /nfs/dbraw/zinc/39/26/97/1073392697.db2.gz PYAOLIHHIHWUHS-SJORKVTESA-N 0 0 442.520 -0.697 20 0 IBADRN O=C(CNC(=O)C1CC1)NCc1cccnc1N1CCN(C(=O)CNC(=O)C2CC2)CC1 ZINC000600512914 1073392704 /nfs/dbraw/zinc/39/27/04/1073392704.db2.gz SOWMSAJDQBSFRN-UHFFFAOYSA-N 0 0 442.520 -0.601 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000600527485 1073394592 /nfs/dbraw/zinc/39/45/92/1073394592.db2.gz GFSAGAILUKQGSV-GFCCVEGCSA-N 0 0 435.524 -0.439 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000600527490 1073394497 /nfs/dbraw/zinc/39/44/97/1073394497.db2.gz GFSAGAILUKQGSV-LBPRGKRZSA-N 0 0 435.524 -0.439 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000600532176 1073395579 /nfs/dbraw/zinc/39/55/79/1073395579.db2.gz HJGIIDYQTUBBCE-LLVKDONJSA-N 0 0 425.554 -0.078 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000600532181 1073395483 /nfs/dbraw/zinc/39/54/83/1073395483.db2.gz HJGIIDYQTUBBCE-NSHDSACASA-N 0 0 425.554 -0.078 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000600534041 1073395501 /nfs/dbraw/zinc/39/55/01/1073395501.db2.gz YZWCAVCLFLLJCE-GJZGRUSLSA-N 0 0 433.552 -0.042 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000600534042 1071022195 /nfs/dbraw/zinc/02/21/95/1071022195.db2.gz YZWCAVCLFLLJCE-LSDHHAIUSA-N 0 0 433.552 -0.042 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H](Cc3ccccc3)CN3CCOCC3)c2c(=O)n(C)c1=O ZINC000600567277 1073397972 /nfs/dbraw/zinc/39/79/72/1073397972.db2.gz BWBUNSADFKINFC-KRWDZBQOSA-N 0 0 440.504 -0.507 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H](Cc3ccccc3)CN3CCOCC3)c2c(=O)n(C)c1=O ZINC000600567278 1073397917 /nfs/dbraw/zinc/39/79/17/1073397917.db2.gz BWBUNSADFKINFC-QGZVFWFLSA-N 0 0 440.504 -0.507 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)cn1C ZINC000600595005 1073403627 /nfs/dbraw/zinc/40/36/27/1073403627.db2.gz GJBLDXMNFORMKH-UHFFFAOYSA-N 0 0 429.524 -0.281 20 0 IBADRN CN(c1ccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1)S(C)(=O)=O ZINC000600596121 1073403647 /nfs/dbraw/zinc/40/36/47/1073403647.db2.gz CEMVJGGARJRQKL-KRWDZBQOSA-N 0 0 438.550 -0.121 20 0 IBADRN CN(c1ccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1)S(C)(=O)=O ZINC000600596122 1073403720 /nfs/dbraw/zinc/40/37/20/1073403720.db2.gz CEMVJGGARJRQKL-QGZVFWFLSA-N 0 0 438.550 -0.121 20 0 IBADRN CN(c1ccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1)S(C)(=O)=O ZINC000600597360 1073404375 /nfs/dbraw/zinc/40/43/75/1073404375.db2.gz YFZXOAOPVUTHHQ-UHFFFAOYSA-N 0 0 433.490 -0.099 20 0 IBADRN Cn1cc(N2CC[C@H](On3nnc4ccc(S(=O)(=O)N5CCOCC5)cc43)C2=O)cn1 ZINC000600608562 1073405024 /nfs/dbraw/zinc/40/50/24/1073405024.db2.gz YKVYIVOEXIBJPE-KRWDZBQOSA-N 0 0 447.477 -0.580 20 0 IBADRN Cn1cc(N2CC[C@@H](On3nnc4ccc(S(=O)(=O)N5CCOCC5)cc43)C2=O)cn1 ZINC000600608574 1073404840 /nfs/dbraw/zinc/40/48/40/1073404840.db2.gz YKVYIVOEXIBJPE-QGZVFWFLSA-N 0 0 447.477 -0.580 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)C[C@@H](C)O1 ZINC000600626901 1073406235 /nfs/dbraw/zinc/40/62/35/1073406235.db2.gz HVRMSVZHTXLKJH-BFYDXBDKSA-N 0 0 426.543 -0.503 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)C[C@H](C)O1 ZINC000600626907 1073406381 /nfs/dbraw/zinc/40/63/81/1073406381.db2.gz HVRMSVZHTXLKJH-HLLBOEOZSA-N 0 0 426.543 -0.503 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CCN(c4cnn(C)c4)C3=O)CC2)C[C@@H](C)O1 ZINC000600626910 1073406204 /nfs/dbraw/zinc/40/62/04/1073406204.db2.gz HVRMSVZHTXLKJH-INMHGKMJSA-N 0 0 426.543 -0.503 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN([C@H]3CCN(c4cnn(C)c4)C3=O)CC2)C[C@H](C)O1 ZINC000600626913 1073406361 /nfs/dbraw/zinc/40/63/61/1073406361.db2.gz HVRMSVZHTXLKJH-UXLLHSPISA-N 0 0 426.543 -0.503 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)CCCO)CC2)cc1 ZINC000600656013 1072542013 /nfs/dbraw/zinc/54/20/13/1072542013.db2.gz UJRKZQFEDBTUEE-UHFFFAOYSA-N 0 0 429.539 -0.405 20 0 IBADRN O=C(CN1CCCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000600715481 1072542381 /nfs/dbraw/zinc/54/23/81/1072542381.db2.gz RKIYROUHKFQESS-UHFFFAOYSA-N 0 0 433.527 -0.221 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000600744698 1072543101 /nfs/dbraw/zinc/54/31/01/1072543101.db2.gz BYIGCINVMIHXTC-UHFFFAOYSA-N 0 0 435.524 -0.413 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCc2ccc(OCC(N)=O)c(OC)c2)cc1 ZINC000600746199 1072543034 /nfs/dbraw/zinc/54/30/34/1072543034.db2.gz DEGVGHIYIJSCCR-UHFFFAOYSA-N 0 0 443.503 -0.054 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCc2ccc(OCC(N)=O)c(OC)c2)c1 ZINC000600746244 1072543093 /nfs/dbraw/zinc/54/30/93/1072543093.db2.gz FBHRABWSOZTUFP-UHFFFAOYSA-N 0 0 443.503 -0.054 20 0 IBADRN COc1cc(CNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)ccc1OCC(N)=O ZINC000600747179 1072543628 /nfs/dbraw/zinc/54/36/28/1072543628.db2.gz QGWNJYOLXNOLSW-UHFFFAOYSA-N 0 0 429.476 -0.315 20 0 IBADRN COCCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000600763002 1072544198 /nfs/dbraw/zinc/54/41/98/1072544198.db2.gz ALUPYEMKWDNTHO-UHFFFAOYSA-N 0 0 448.523 -0.853 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000600763226 1072543396 /nfs/dbraw/zinc/54/33/96/1072543396.db2.gz JNCUIFXXVUXCEX-HNNXBMFYSA-N 0 0 444.535 -0.857 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000600763232 1072543449 /nfs/dbraw/zinc/54/34/49/1072543449.db2.gz JNCUIFXXVUXCEX-OAHLLOKOSA-N 0 0 444.535 -0.857 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000600764139 1072543909 /nfs/dbraw/zinc/54/39/09/1072543909.db2.gz UFAIKDRFQICCCS-HNNXBMFYSA-N 0 0 444.535 -0.857 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000600764142 1072544187 /nfs/dbraw/zinc/54/41/87/1072544187.db2.gz UFAIKDRFQICCCS-OAHLLOKOSA-N 0 0 444.535 -0.857 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H]2CN(C)CCN2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000600780488 1072544241 /nfs/dbraw/zinc/54/42/41/1072544241.db2.gz UMXLWNNMOXBGQG-KPZWWZAWSA-N 0 0 425.511 -0.726 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CN(C)CCN2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000600780496 1072544115 /nfs/dbraw/zinc/54/41/15/1072544115.db2.gz UMXLWNNMOXBGQG-KZULUSFZSA-N 0 0 425.511 -0.726 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@H]2CN(C)CCN2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000600780499 1072543982 /nfs/dbraw/zinc/54/39/82/1072543982.db2.gz UMXLWNNMOXBGQG-SGTLLEGYSA-N 0 0 425.511 -0.726 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@H]2CN(C)CCN2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000600780501 1072544040 /nfs/dbraw/zinc/54/40/40/1072544040.db2.gz UMXLWNNMOXBGQG-XIKOKIGWSA-N 0 0 425.511 -0.726 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc2n(C)c1=O ZINC000600784124 1072543889 /nfs/dbraw/zinc/54/38/89/1072543889.db2.gz GRSHWGBYIZKLOK-CYBMUJFWSA-N 0 0 446.551 -0.356 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc2n(C)c1=O ZINC000600784125 1072544179 /nfs/dbraw/zinc/54/41/79/1072544179.db2.gz GRSHWGBYIZKLOK-ZDUSSCGKSA-N 0 0 446.551 -0.356 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1cccc(-n2cnnn2)c1)NC[C@@H]1CCCCO1 ZINC000600785309 1072544302 /nfs/dbraw/zinc/54/43/02/1072544302.db2.gz YVLVBGNJVAGBNW-AWEZNQCLSA-N 0 0 430.512 -0.571 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1cccc(-n2cnnn2)c1)NC[C@H]1CCCCO1 ZINC000600785310 1072544274 /nfs/dbraw/zinc/54/42/74/1072544274.db2.gz YVLVBGNJVAGBNW-CQSZACIVSA-N 0 0 430.512 -0.571 20 0 IBADRN Cc1nnc2n1CCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000600793323 1072544583 /nfs/dbraw/zinc/54/45/83/1072544583.db2.gz QWPCKMMOZMXRJQ-UHFFFAOYSA-N 0 0 427.508 -0.188 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000600840414 1072545293 /nfs/dbraw/zinc/54/52/93/1072545293.db2.gz AHFJSSNUIHCWQQ-AWEZNQCLSA-N 0 0 428.463 -0.150 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000600840429 1072545412 /nfs/dbraw/zinc/54/54/12/1072545412.db2.gz AHFJSSNUIHCWQQ-CQSZACIVSA-N 0 0 428.463 -0.150 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)OC ZINC000600841345 1072545324 /nfs/dbraw/zinc/54/53/24/1072545324.db2.gz NMEHVSQUMOIJGS-BNOWGMLFSA-N 0 0 432.426 -0.830 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)OC ZINC000600841350 1072545547 /nfs/dbraw/zinc/54/55/47/1072545547.db2.gz NMEHVSQUMOIJGS-UBHSHLNASA-N 0 0 432.426 -0.830 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)OC ZINC000600841351 1072545282 /nfs/dbraw/zinc/54/52/82/1072545282.db2.gz NMEHVSQUMOIJGS-XBFCOCLRSA-N 0 0 432.426 -0.830 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)OC ZINC000600841352 1072545457 /nfs/dbraw/zinc/54/54/57/1072545457.db2.gz NMEHVSQUMOIJGS-YUTCNCBUSA-N 0 0 432.426 -0.830 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C)C(=O)OC ZINC000600841374 1072545255 /nfs/dbraw/zinc/54/52/55/1072545255.db2.gz OJFLLJHITXAFRQ-HNNXBMFYSA-N 0 0 428.463 -0.150 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C)C(=O)OC ZINC000600841376 1072545274 /nfs/dbraw/zinc/54/52/74/1072545274.db2.gz OJFLLJHITXAFRQ-OAHLLOKOSA-N 0 0 428.463 -0.150 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000600841572 1072545338 /nfs/dbraw/zinc/54/53/38/1072545338.db2.gz QJMHTDGVNOFISX-CYBMUJFWSA-N 0 0 444.462 -0.449 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000600841585 1072545241 /nfs/dbraw/zinc/54/52/41/1072545241.db2.gz QJMHTDGVNOFISX-ZDUSSCGKSA-N 0 0 444.462 -0.449 20 0 IBADRN COC(=O)C[C@H](NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000600841761 1072545472 /nfs/dbraw/zinc/54/54/72/1072545472.db2.gz WKUDCYCONOWSHQ-INIZCTEOSA-N 0 0 442.490 -0.139 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)OC ZINC000600841771 1072545520 /nfs/dbraw/zinc/54/55/20/1072545520.db2.gz WKUDCYCONOWSHQ-MRXNPFEDSA-N 0 0 442.490 -0.139 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1)C(=O)OC ZINC000600842606 1072545490 /nfs/dbraw/zinc/54/54/90/1072545490.db2.gz FNKCDUUWKNISHP-HZMBPMFUSA-N 0 0 429.451 -0.467 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1)C(=O)OC ZINC000600842620 1072545358 /nfs/dbraw/zinc/54/53/58/1072545358.db2.gz FNKCDUUWKNISHP-IINYFYTJSA-N 0 0 429.451 -0.467 20 0 IBADRN COC(=O)C[C@H](NC(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1)C(=O)OC ZINC000600842876 1072546015 /nfs/dbraw/zinc/54/60/15/1072546015.db2.gz IVCOVQCTKZRQSH-AWEZNQCLSA-N 0 0 429.451 -0.514 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1)C(=O)OC ZINC000600842877 1072546007 /nfs/dbraw/zinc/54/60/07/1072546007.db2.gz IVCOVQCTKZRQSH-CQSZACIVSA-N 0 0 429.451 -0.514 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CNS(=O)(=O)c1cccc(Br)c1)C(=O)OC ZINC000600842902 1072545840 /nfs/dbraw/zinc/54/58/40/1072545840.db2.gz JFWHDQYQBOGAHW-LLVKDONJSA-N 0 0 437.268 -0.052 20 0 IBADRN COC(=O)C[C@H](NC(=O)CNS(=O)(=O)c1cccc(Br)c1)C(=O)OC ZINC000600842911 1072546024 /nfs/dbraw/zinc/54/60/24/1072546024.db2.gz JFWHDQYQBOGAHW-NSHDSACASA-N 0 0 437.268 -0.052 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1)C(=O)OC ZINC000600843214 1072545898 /nfs/dbraw/zinc/54/58/98/1072545898.db2.gz NMLMFGNATIJJLT-CHWSQXEVSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1)C(=O)OC ZINC000600843215 1072545753 /nfs/dbraw/zinc/54/57/53/1072545753.db2.gz NMLMFGNATIJJLT-OLZOCXBDSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1)C(=O)OC ZINC000600843216 1072545790 /nfs/dbraw/zinc/54/57/90/1072545790.db2.gz NMLMFGNATIJJLT-QWHCGFSZSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1)C(=O)OC ZINC000600843217 1072545979 /nfs/dbraw/zinc/54/59/79/1072545979.db2.gz NMLMFGNATIJJLT-STQMWFEESA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OC ZINC000600843236 1072545506 /nfs/dbraw/zinc/54/55/06/1072545506.db2.gz OQPZSYFWPWBIGV-GFCCVEGCSA-N 0 0 430.435 -0.653 20 0 IBADRN COC(=O)C[C@H](NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OC ZINC000600843237 1072545445 /nfs/dbraw/zinc/54/54/45/1072545445.db2.gz OQPZSYFWPWBIGV-LBPRGKRZSA-N 0 0 430.435 -0.653 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CNS(=O)(=O)c1ccc(Br)cc1)C(=O)OC ZINC000600843362 1072545309 /nfs/dbraw/zinc/54/53/09/1072545309.db2.gz VOFJCYOZHZAAGY-LLVKDONJSA-N 0 0 437.268 -0.052 20 0 IBADRN COC(=O)C[C@H](NC(=O)CNS(=O)(=O)c1ccc(Br)cc1)C(=O)OC ZINC000600843363 1072545533 /nfs/dbraw/zinc/54/55/33/1072545533.db2.gz VOFJCYOZHZAAGY-NSHDSACASA-N 0 0 437.268 -0.052 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000600844598 1072545803 /nfs/dbraw/zinc/54/58/03/1072545803.db2.gz YENWFTUMSGZSTC-KRWDZBQOSA-N 0 0 447.602 -0.235 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000600844599 1072545910 /nfs/dbraw/zinc/54/59/10/1072545910.db2.gz YENWFTUMSGZSTC-QGZVFWFLSA-N 0 0 447.602 -0.235 20 0 IBADRN COC(=O)C[C@@H](NC(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1)C(=O)OC ZINC000600856651 1072545853 /nfs/dbraw/zinc/54/58/53/1072545853.db2.gz CCRYQVXFVQCJHF-CYBMUJFWSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)C[C@H](NC(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1)C(=O)OC ZINC000600856655 1072546524 /nfs/dbraw/zinc/54/65/24/1072546524.db2.gz CCRYQVXFVQCJHF-ZDUSSCGKSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)C(=O)OC ZINC000600857531 1072546370 /nfs/dbraw/zinc/54/63/70/1072546370.db2.gz PREGMUVHCKEWDX-DZGCQCFKSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)C(=O)OC ZINC000600857532 1072546487 /nfs/dbraw/zinc/54/64/87/1072546487.db2.gz PREGMUVHCKEWDX-HIFRSBDPSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)C(=O)OC ZINC000600857533 1072546243 /nfs/dbraw/zinc/54/62/43/1072546243.db2.gz PREGMUVHCKEWDX-UKRRQHHQSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)C(=O)OC ZINC000600857534 1072546279 /nfs/dbraw/zinc/54/62/79/1072546279.db2.gz PREGMUVHCKEWDX-ZFWWWQNUSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OC ZINC000600857891 1072546493 /nfs/dbraw/zinc/54/64/93/1072546493.db2.gz XCANNMRGDOCQGG-AAEUAGOBSA-N 0 0 444.462 -0.264 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OC ZINC000600857892 1072546349 /nfs/dbraw/zinc/54/63/49/1072546349.db2.gz XCANNMRGDOCQGG-WCQYABFASA-N 0 0 444.462 -0.264 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000600865568 1072546994 /nfs/dbraw/zinc/54/69/94/1072546994.db2.gz SENRLDMNZVZSOF-AWEZNQCLSA-N 0 0 426.561 -0.800 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000600865569 1072547018 /nfs/dbraw/zinc/54/70/18/1072547018.db2.gz SENRLDMNZVZSOF-CQSZACIVSA-N 0 0 426.561 -0.800 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCNC(=O)c2ccc(F)cc2)CC1 ZINC000600869530 1072547026 /nfs/dbraw/zinc/54/70/26/1072547026.db2.gz MZAJAXRXKJVWOZ-UHFFFAOYSA-N 0 0 429.518 -0.178 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCNC(=O)c2cccc(F)c2)CC1 ZINC000600869642 1072546984 /nfs/dbraw/zinc/54/69/84/1072546984.db2.gz RCERPZJDOSIJND-UHFFFAOYSA-N 0 0 429.518 -0.178 20 0 IBADRN CN(c1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1)S(C)(=O)=O ZINC000600869667 1072546840 /nfs/dbraw/zinc/54/68/40/1072546840.db2.gz TWXRZTJHLGGTSE-UHFFFAOYSA-N 0 0 447.583 -0.541 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC000600873049 1072547528 /nfs/dbraw/zinc/54/75/28/1072547528.db2.gz RUTPSCGUPMSAGT-UHFFFAOYSA-N 0 0 439.538 -0.038 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000600881362 1072547517 /nfs/dbraw/zinc/54/75/17/1072547517.db2.gz IGMOUEVITBZURG-UHFFFAOYSA-N 0 0 431.493 -0.563 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000600881404 1072547386 /nfs/dbraw/zinc/54/73/86/1072547386.db2.gz KBSZDBGHDFVGCQ-UHFFFAOYSA-N 0 0 439.538 -0.371 20 0 IBADRN O=C(NCCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000600882368 1072548030 /nfs/dbraw/zinc/54/80/30/1072548030.db2.gz HQDPVPISLLCQBR-UHFFFAOYSA-N 0 0 449.483 -0.342 20 0 IBADRN O=C(CCNC(=O)NCCCNC(=O)c1ccc(F)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC000600882812 1072547924 /nfs/dbraw/zinc/54/79/24/1072547924.db2.gz NTEKSWYKXIAFNI-HNNXBMFYSA-N 0 0 428.486 -0.062 20 0 IBADRN O=C(CCNC(=O)NCCCNC(=O)c1ccc(F)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000600882822 1072548120 /nfs/dbraw/zinc/54/81/20/1072548120.db2.gz NTEKSWYKXIAFNI-OAHLLOKOSA-N 0 0 428.486 -0.062 20 0 IBADRN CN(c1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1)S(C)(=O)=O ZINC000600911795 1072548082 /nfs/dbraw/zinc/54/80/82/1072548082.db2.gz KAEHNYPOZYJQFH-UHFFFAOYSA-N 0 0 437.522 -0.285 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC000600912167 1072548070 /nfs/dbraw/zinc/54/80/70/1072548070.db2.gz QDQQQSQZBNYNEX-UHFFFAOYSA-N 0 0 433.556 -0.284 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC000600912401 1072547964 /nfs/dbraw/zinc/54/79/64/1072547964.db2.gz SVMVYFIGUPALOA-UHFFFAOYSA-N 0 0 439.538 -0.039 20 0 IBADRN NC(=O)c1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)nn1-c1ccccc1 ZINC000600931480 1072548635 /nfs/dbraw/zinc/54/86/35/1072548635.db2.gz ALTKQDNZWXNNNP-UHFFFAOYSA-N 0 0 426.477 -0.412 20 0 IBADRN NC(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nn1-c1ccccc1 ZINC000600931815 1072548427 /nfs/dbraw/zinc/54/84/27/1072548427.db2.gz RIPUNAPVCHXWPR-UHFFFAOYSA-N 0 0 440.460 -0.886 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000600932004 1072548531 /nfs/dbraw/zinc/54/85/31/1072548531.db2.gz XWSWPGOCGAZSQK-UHFFFAOYSA-N 0 0 436.450 -0.957 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000600936667 1072548690 /nfs/dbraw/zinc/54/86/90/1072548690.db2.gz XGZVGCRRRMGSTN-UHFFFAOYSA-N 0 0 427.509 -0.111 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000600939163 1072548578 /nfs/dbraw/zinc/54/85/78/1072548578.db2.gz OQPANLSSDMZFTE-UHFFFAOYSA-N 0 0 446.476 -0.170 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000600944788 1072548774 /nfs/dbraw/zinc/54/87/74/1072548774.db2.gz IBUFLWIFZZCZFN-ZDUSSCGKSA-N 0 0 446.551 -0.493 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000600946544 1072548666 /nfs/dbraw/zinc/54/86/66/1072548666.db2.gz YMGPXCIACVEWQG-INIZCTEOSA-N 0 0 430.488 -0.306 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000600946545 1072549207 /nfs/dbraw/zinc/54/92/07/1072549207.db2.gz YMGPXCIACVEWQG-MRXNPFEDSA-N 0 0 430.488 -0.306 20 0 IBADRN O=C(CCNC(=O)N1CCc2ccccc2C1)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000600953429 1072549346 /nfs/dbraw/zinc/54/93/46/1072549346.db2.gz TUTBWBHMMZJYQC-KRWDZBQOSA-N 0 0 436.534 -0.046 20 0 IBADRN O=C(CCNC(=O)N1CCc2ccccc2C1)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000600953430 1072549317 /nfs/dbraw/zinc/54/93/17/1072549317.db2.gz TUTBWBHMMZJYQC-QGZVFWFLSA-N 0 0 436.534 -0.046 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000600953443 1072549227 /nfs/dbraw/zinc/54/92/27/1072549227.db2.gz VBQNIZWKHQWPNO-ZDUSSCGKSA-N 0 0 431.536 -0.597 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000600956999 1072549445 /nfs/dbraw/zinc/54/94/45/1072549445.db2.gz JSGYVWVXFQUPRR-UHFFFAOYSA-N 0 0 429.521 -0.248 20 0 IBADRN O=C(Cc1cccnc1)NCCS(=O)(=O)N1CCN(C(=O)Cc2cccnc2)CC1 ZINC000600963008 1072549477 /nfs/dbraw/zinc/54/94/77/1072549477.db2.gz HYYUZMZSIGZPIV-UHFFFAOYSA-N 0 0 431.518 -0.148 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCNC(=O)N2CCc3ccccc3C2)CC1 ZINC000600967939 1071218309 /nfs/dbraw/zinc/21/83/09/1071218309.db2.gz BHDOFDCRLXLWTP-UHFFFAOYSA-N 0 0 437.566 -0.162 20 0 IBADRN CN1CCN(CC(=O)NC[C@H](Cc2ccccc2)NC(=O)CN2CCN(C)CC2)CC1 ZINC000600974558 1071223961 /nfs/dbraw/zinc/22/39/61/1071223961.db2.gz QIRBBTQSKYPCJS-NRFANRHFSA-N 0 0 430.597 -0.675 20 0 IBADRN CN1CCN(CC(=O)NC[C@@H](Cc2ccccc2)NC(=O)CN2CCN(C)CC2)CC1 ZINC000600974563 1072550060 /nfs/dbraw/zinc/55/00/60/1072550060.db2.gz QIRBBTQSKYPCJS-OAQYLSRUSA-N 0 0 430.597 -0.675 20 0 IBADRN CN1CCN(CC(=O)N2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1 ZINC000600981107 1072550039 /nfs/dbraw/zinc/55/00/39/1072550039.db2.gz UHJDHINGWHZVAU-UHFFFAOYSA-N 0 0 438.550 -0.072 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000600991944 1072550514 /nfs/dbraw/zinc/55/05/14/1072550514.db2.gz FXMZNECDGNOLIZ-UHFFFAOYSA-N 0 0 428.497 -0.661 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CCC(=O)NCCNC(C)=O)CC1 ZINC000600991960 1072550390 /nfs/dbraw/zinc/55/03/90/1072550390.db2.gz GRLSLFPTWATBTJ-UHFFFAOYSA-N 0 0 439.538 -0.724 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN3CC(=O)NC3=O)cc2)CC1 ZINC000600992595 1072550298 /nfs/dbraw/zinc/55/02/98/1072550298.db2.gz PPYPDNHPTIAINP-UHFFFAOYSA-N 0 0 431.493 -0.560 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000600994558 1072550326 /nfs/dbraw/zinc/55/03/26/1072550326.db2.gz BSBKNVJQFHCWLM-INIZCTEOSA-N 0 0 438.506 -0.589 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000600994559 1072550448 /nfs/dbraw/zinc/55/04/48/1072550448.db2.gz BSBKNVJQFHCWLM-MRXNPFEDSA-N 0 0 438.506 -0.589 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000600995084 1072550499 /nfs/dbraw/zinc/55/04/99/1072550499.db2.gz OCBKPSQQPWZSLI-BLLLJJGKSA-N 0 0 438.506 -0.591 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000600995088 1072550562 /nfs/dbraw/zinc/55/05/62/1072550562.db2.gz OCBKPSQQPWZSLI-LRDDRELGSA-N 0 0 438.506 -0.591 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CCn2nc3ccccn3c2=O)c1 ZINC000601000561 1072550545 /nfs/dbraw/zinc/55/05/45/1072550545.db2.gz DGFPKARFNRNUSI-UHFFFAOYSA-N 0 0 432.462 -0.451 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CCn2nc3ccccn3c2=O)c1 ZINC000601005031 1072550878 /nfs/dbraw/zinc/55/08/78/1072550878.db2.gz IGHHXAUZQULWLV-CYBMUJFWSA-N 0 0 446.489 -0.062 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CCn2nc3ccccn3c2=O)c1 ZINC000601005033 1072551125 /nfs/dbraw/zinc/55/11/25/1072551125.db2.gz IGHHXAUZQULWLV-ZDUSSCGKSA-N 0 0 446.489 -0.062 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CC1 ZINC000601007456 1072550958 /nfs/dbraw/zinc/55/09/58/1072550958.db2.gz LDJRXTORHBZZEJ-UHFFFAOYSA-N 0 0 437.522 -0.902 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000601007562 1072550909 /nfs/dbraw/zinc/55/09/09/1072550909.db2.gz VACYLAZTRDMOKO-UHFFFAOYSA-N 0 0 435.500 -0.268 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000601034362 1072551084 /nfs/dbraw/zinc/55/10/84/1072551084.db2.gz MZEVFBKOBDCUPT-AWEZNQCLSA-N 0 0 435.524 -0.683 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000601034363 1072550864 /nfs/dbraw/zinc/55/08/64/1072550864.db2.gz MZEVFBKOBDCUPT-CQSZACIVSA-N 0 0 435.524 -0.683 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000601034438 1072550945 /nfs/dbraw/zinc/55/09/45/1072550945.db2.gz QNUSMGNKEXYETJ-AWEZNQCLSA-N 0 0 427.479 -0.250 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000601034441 1072551069 /nfs/dbraw/zinc/55/10/69/1072551069.db2.gz QNUSMGNKEXYETJ-CQSZACIVSA-N 0 0 427.479 -0.250 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)NCc2ccco2)cc1 ZINC000601042623 1072551780 /nfs/dbraw/zinc/55/17/80/1072551780.db2.gz TZZRBLLPZAWJCF-DYVFJYSZSA-N 0 0 437.474 -0.155 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)NCc2ccco2)cc1 ZINC000601042624 1072551612 /nfs/dbraw/zinc/55/16/12/1072551612.db2.gz TZZRBLLPZAWJCF-GUYCJALGSA-N 0 0 437.474 -0.155 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000601043435 1072551524 /nfs/dbraw/zinc/55/15/24/1072551524.db2.gz ZWGDPCLYPQQFRU-FQEVSTJZSA-N 0 0 427.505 -0.306 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000601043436 1072551736 /nfs/dbraw/zinc/55/17/36/1072551736.db2.gz ZWGDPCLYPQQFRU-HXUWFJFHSA-N 0 0 427.505 -0.306 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000601044878 1072551629 /nfs/dbraw/zinc/55/16/29/1072551629.db2.gz YLGUTOWJMHHJRF-FQEVSTJZSA-N 0 0 427.505 -0.258 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000601044881 1072551580 /nfs/dbraw/zinc/55/15/80/1072551580.db2.gz YLGUTOWJMHHJRF-HXUWFJFHSA-N 0 0 427.505 -0.258 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC[C@H]1C(=O)N1CCSCC1 ZINC000601046818 1072551684 /nfs/dbraw/zinc/55/16/84/1072551684.db2.gz NPGGYWAFAGPOFD-ILXRZTDVSA-N 0 0 429.564 -0.412 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC[C@H]1C(=O)N1CCSCC1 ZINC000601046819 1072551452 /nfs/dbraw/zinc/55/14/52/1072551452.db2.gz NPGGYWAFAGPOFD-KFWWJZLASA-N 0 0 429.564 -0.412 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC[C@H]1C(=O)N1CCSCC1 ZINC000601046820 1072551489 /nfs/dbraw/zinc/55/14/89/1072551489.db2.gz NPGGYWAFAGPOFD-KKUMJFAQSA-N 0 0 429.564 -0.412 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC[C@H]1C(=O)N1CCSCC1 ZINC000601046821 1072551755 /nfs/dbraw/zinc/55/17/55/1072551755.db2.gz NPGGYWAFAGPOFD-ZNMIVQPWSA-N 0 0 429.564 -0.412 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H]2C(=O)N2CCSCC2)cn1C ZINC000601047022 1072551507 /nfs/dbraw/zinc/55/15/07/1072551507.db2.gz SFSDGKDUSWQGDU-AWEZNQCLSA-N 0 0 429.568 -0.037 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]2C(=O)N2CCSCC2)cn1C ZINC000601047023 1072551564 /nfs/dbraw/zinc/55/15/64/1072551564.db2.gz SFSDGKDUSWQGDU-CQSZACIVSA-N 0 0 429.568 -0.037 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCCN(C(N)=O)CC3)CC2)cc1 ZINC000601063206 1072552095 /nfs/dbraw/zinc/55/20/95/1072552095.db2.gz PVBPZMIWOPYKRL-UHFFFAOYSA-N 0 0 439.538 -0.386 20 0 IBADRN COCCN(CC(=O)Nc1c(C)cccc1C)C(=O)CN1CCN(S(N)(=O)=O)CC1 ZINC000601066434 1072552196 /nfs/dbraw/zinc/55/21/96/1072552196.db2.gz RWHGVFCQWJPJEV-UHFFFAOYSA-N 0 0 441.554 -0.462 20 0 IBADRN CNC(=O)CCN(C)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000601098390 1072553118 /nfs/dbraw/zinc/55/31/18/1072553118.db2.gz ISERVWFSSBZNJM-CYBMUJFWSA-N 0 0 432.524 -0.248 20 0 IBADRN CNC(=O)CCN(C)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000601098393 1072553184 /nfs/dbraw/zinc/55/31/84/1072553184.db2.gz ISERVWFSSBZNJM-ZDUSSCGKSA-N 0 0 432.524 -0.248 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000601233727 1072555656 /nfs/dbraw/zinc/55/56/56/1072555656.db2.gz NBRXUJUVAGIEKH-HNNXBMFYSA-N 0 0 448.567 -0.247 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000601233730 1072555545 /nfs/dbraw/zinc/55/55/45/1072555545.db2.gz NBRXUJUVAGIEKH-OAHLLOKOSA-N 0 0 448.567 -0.247 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000601296094 1072557264 /nfs/dbraw/zinc/55/72/64/1072557264.db2.gz BEXZXKGFDNKLAN-UHFFFAOYSA-N 0 0 444.554 -0.215 20 0 IBADRN COc1ccc(-c2nnc(CNC(=O)NCCN3CCN(S(C)(=O)=O)CC3)[nH]2)cc1 ZINC000601296298 1072557201 /nfs/dbraw/zinc/55/72/01/1072557201.db2.gz KGEKIGHQXNQCEW-UHFFFAOYSA-N 0 0 437.526 -0.143 20 0 IBADRN COc1ccc(CN(CCO)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000601296650 1072557293 /nfs/dbraw/zinc/55/72/93/1072557293.db2.gz XZJXXYZVUKSCCS-UHFFFAOYSA-N 0 0 444.554 -0.215 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000601351607 1072274321 /nfs/dbraw/zinc/27/43/21/1072274321.db2.gz ZPWWEWLVYWJBAJ-KBPBESRZSA-N 0 0 426.561 -0.802 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC000601351608 1072274410 /nfs/dbraw/zinc/27/44/10/1072274410.db2.gz ZPWWEWLVYWJBAJ-KGLIPLIRSA-N 0 0 426.561 -0.802 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000601351609 1072274343 /nfs/dbraw/zinc/27/43/43/1072274343.db2.gz ZPWWEWLVYWJBAJ-UONOGXRCSA-N 0 0 426.561 -0.802 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC000601351610 1072274355 /nfs/dbraw/zinc/27/43/55/1072274355.db2.gz ZPWWEWLVYWJBAJ-ZIAGYGMSSA-N 0 0 426.561 -0.802 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000601354133 1072274487 /nfs/dbraw/zinc/27/44/87/1072274487.db2.gz PXPHJFCQOIKCAH-INIZCTEOSA-N 0 0 441.554 -0.019 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000601354134 1072274306 /nfs/dbraw/zinc/27/43/06/1072274306.db2.gz PXPHJFCQOIKCAH-MRXNPFEDSA-N 0 0 441.554 -0.019 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000601354238 1072274590 /nfs/dbraw/zinc/27/45/90/1072274590.db2.gz XNWJUSUEQHQZPW-INIZCTEOSA-N 0 0 434.559 -0.341 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000601354242 1072274366 /nfs/dbraw/zinc/27/43/66/1072274366.db2.gz XNWJUSUEQHQZPW-MRXNPFEDSA-N 0 0 434.559 -0.341 20 0 IBADRN CN(c1ccc(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1)S(C)(=O)=O ZINC000601360407 1071359026 /nfs/dbraw/zinc/35/90/26/1071359026.db2.gz FJHPWHAPEFELJR-UHFFFAOYSA-N 0 0 436.498 -0.877 20 0 IBADRN Cc1ccc(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000601402985 1072275958 /nfs/dbraw/zinc/27/59/58/1072275958.db2.gz LEBNXABTCZSRQH-UHFFFAOYSA-N 0 0 445.501 -0.192 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)ccc1F ZINC000601413420 1072275385 /nfs/dbraw/zinc/27/53/85/1072275385.db2.gz BZJVBDASUTWAKI-GFCCVEGCSA-N 0 0 430.458 -0.532 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)ccc1F ZINC000601413421 1072276101 /nfs/dbraw/zinc/27/61/01/1072276101.db2.gz BZJVBDASUTWAKI-LBPRGKRZSA-N 0 0 430.458 -0.532 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c(OC)n1 ZINC000601413425 1072276093 /nfs/dbraw/zinc/27/60/93/1072276093.db2.gz JDDROFILSXAPAM-GFCCVEGCSA-N 0 0 430.483 -0.358 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c(OC)n1 ZINC000601413426 1072275944 /nfs/dbraw/zinc/27/59/44/1072275944.db2.gz JDDROFILSXAPAM-LBPRGKRZSA-N 0 0 430.483 -0.358 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccccc1-n1cncn1 ZINC000601413427 1072276026 /nfs/dbraw/zinc/27/60/26/1072276026.db2.gz JIYKURDHGMGUCB-AWEZNQCLSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccccc1-n1cncn1 ZINC000601413428 1072275989 /nfs/dbraw/zinc/27/59/89/1072275989.db2.gz JIYKURDHGMGUCB-CQSZACIVSA-N 0 0 436.494 -0.190 20 0 IBADRN Cc1nn(C)c2ncc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc12 ZINC000601413429 1072275951 /nfs/dbraw/zinc/27/59/51/1072275951.db2.gz KEUXVBLGHIYDMH-AWEZNQCLSA-N 0 0 438.510 -0.180 20 0 IBADRN Cc1nn(C)c2ncc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc12 ZINC000601413430 1072275980 /nfs/dbraw/zinc/27/59/80/1072275980.db2.gz KEUXVBLGHIYDMH-CQSZACIVSA-N 0 0 438.510 -0.180 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc2c(c1)NC(=O)CO2 ZINC000601413435 1072276036 /nfs/dbraw/zinc/27/60/36/1072276036.db2.gz LXGVEOXKBDAWMV-CYBMUJFWSA-N 0 0 440.478 -0.439 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc2c(c1)NC(=O)CO2 ZINC000601413436 1072276108 /nfs/dbraw/zinc/27/61/08/1072276108.db2.gz LXGVEOXKBDAWMV-ZDUSSCGKSA-N 0 0 440.478 -0.439 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000601413447 1072276045 /nfs/dbraw/zinc/27/60/45/1072276045.db2.gz RJAOTINJDPDRJE-INIZCTEOSA-N 0 0 440.522 -0.482 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000601413448 1072276007 /nfs/dbraw/zinc/27/60/07/1072276007.db2.gz RJAOTINJDPDRJE-MRXNPFEDSA-N 0 0 440.522 -0.482 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000601460995 1072276785 /nfs/dbraw/zinc/27/67/85/1072276785.db2.gz BJNDRSGQPOYXTI-GFCCVEGCSA-N 0 0 438.428 -0.061 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000601460996 1072276816 /nfs/dbraw/zinc/27/68/16/1072276816.db2.gz BJNDRSGQPOYXTI-LBPRGKRZSA-N 0 0 438.428 -0.061 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)cn1C ZINC000601494302 1072276680 /nfs/dbraw/zinc/27/66/80/1072276680.db2.gz XIASIWDFTIVMPY-AWEZNQCLSA-N 0 0 434.522 -0.109 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)cn1C ZINC000601494303 1072276586 /nfs/dbraw/zinc/27/65/86/1072276586.db2.gz XIASIWDFTIVMPY-CQSZACIVSA-N 0 0 434.522 -0.109 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)c2c(=O)n(C)c1=O ZINC000601494360 1072276656 /nfs/dbraw/zinc/27/66/56/1072276656.db2.gz JRKJSCXUVIXERT-CYBMUJFWSA-N 0 0 439.476 -0.682 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)c2c(=O)n(C)c1=O ZINC000601494361 1072276851 /nfs/dbraw/zinc/27/68/51/1072276851.db2.gz JRKJSCXUVIXERT-ZDUSSCGKSA-N 0 0 439.476 -0.682 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000601495003 1071439177 /nfs/dbraw/zinc/43/91/77/1071439177.db2.gz ADOZSZIYXZUMBH-DLBZAZTESA-N 0 0 429.521 -0.339 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000601495006 1072276638 /nfs/dbraw/zinc/27/66/38/1072276638.db2.gz ADOZSZIYXZUMBH-IAGOWNOFSA-N 0 0 429.521 -0.339 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000601495007 1072276456 /nfs/dbraw/zinc/27/64/56/1072276456.db2.gz ADOZSZIYXZUMBH-IRXDYDNUSA-N 0 0 429.521 -0.339 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000601495009 1072276728 /nfs/dbraw/zinc/27/67/28/1072276728.db2.gz ADOZSZIYXZUMBH-SJORKVTESA-N 0 0 429.521 -0.339 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NCCN3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601513666 1074350614 /nfs/dbraw/zinc/35/06/14/1074350614.db2.gz VKHBLLBODSRCJL-UHFFFAOYSA-N 0 0 446.599 -0.993 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)C1 ZINC000601532055 1072276689 /nfs/dbraw/zinc/27/66/89/1072276689.db2.gz NBSPTKKAFUHLHG-LLVKDONJSA-N 0 0 429.458 -0.128 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)C1 ZINC000601532056 1072276834 /nfs/dbraw/zinc/27/68/34/1072276834.db2.gz NBSPTKKAFUHLHG-NSHDSACASA-N 0 0 429.458 -0.128 20 0 IBADRN Cn1c2ncc(S(=O)(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)cc2c(=O)[nH]c1=O ZINC000601532087 1072276664 /nfs/dbraw/zinc/27/66/64/1072276664.db2.gz OUWSPJAWMGAICQ-LLVKDONJSA-N 0 0 444.473 -0.310 20 0 IBADRN Cn1c2ncc(S(=O)(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)cc2c(=O)[nH]c1=O ZINC000601532088 1072276806 /nfs/dbraw/zinc/27/68/06/1072276806.db2.gz OUWSPJAWMGAICQ-NSHDSACASA-N 0 0 444.473 -0.310 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000601550445 1072277183 /nfs/dbraw/zinc/27/71/83/1072277183.db2.gz FYKJETNYSLPXTO-KRWDZBQOSA-N 0 0 444.536 -0.450 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000601550450 1072277328 /nfs/dbraw/zinc/27/73/28/1072277328.db2.gz FYKJETNYSLPXTO-QGZVFWFLSA-N 0 0 444.536 -0.450 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000601551588 1072277247 /nfs/dbraw/zinc/27/72/47/1072277247.db2.gz LLWYQBHQWIIUPS-INIZCTEOSA-N 0 0 430.509 -0.256 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000601551590 1072277142 /nfs/dbraw/zinc/27/71/42/1072277142.db2.gz LLWYQBHQWIIUPS-MRXNPFEDSA-N 0 0 430.509 -0.256 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000601552046 1072277289 /nfs/dbraw/zinc/27/72/89/1072277289.db2.gz QHQZUWNUEBLCQL-HNNXBMFYSA-N 0 0 438.554 -0.759 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000601552048 1072277115 /nfs/dbraw/zinc/27/71/15/1072277115.db2.gz QHQZUWNUEBLCQL-OAHLLOKOSA-N 0 0 438.554 -0.759 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000601554722 1072277210 /nfs/dbraw/zinc/27/72/10/1072277210.db2.gz UIKNXKAWSDYUDH-HNNXBMFYSA-N 0 0 428.493 -0.503 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1 ZINC000601554727 1072277340 /nfs/dbraw/zinc/27/73/40/1072277340.db2.gz UIKNXKAWSDYUDH-OAHLLOKOSA-N 0 0 428.493 -0.503 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000601564269 1071439315 /nfs/dbraw/zinc/43/93/15/1071439315.db2.gz NLEPWLRKDFTCEU-KRWDZBQOSA-N 0 0 444.536 -0.450 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000601564272 1072277133 /nfs/dbraw/zinc/27/71/33/1072277133.db2.gz NLEPWLRKDFTCEU-QGZVFWFLSA-N 0 0 444.536 -0.450 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000601568616 1072277122 /nfs/dbraw/zinc/27/71/22/1072277122.db2.gz XEIFOASCMKFGBF-HNNXBMFYSA-N 0 0 430.509 -0.257 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000601568622 1072277200 /nfs/dbraw/zinc/27/72/00/1072277200.db2.gz XEIFOASCMKFGBF-OAHLLOKOSA-N 0 0 430.509 -0.257 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1 ZINC000601569059 1072277722 /nfs/dbraw/zinc/27/77/22/1072277722.db2.gz ZCXINLPXYVKTQH-INIZCTEOSA-N 0 0 442.520 -0.160 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1 ZINC000601569060 1072277835 /nfs/dbraw/zinc/27/78/35/1072277835.db2.gz ZCXINLPXYVKTQH-MRXNPFEDSA-N 0 0 442.520 -0.160 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)c1 ZINC000601618785 1072277779 /nfs/dbraw/zinc/27/77/79/1072277779.db2.gz JTVHFOHDYOAKJO-AWEZNQCLSA-N 0 0 425.445 -0.017 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)c1 ZINC000601618786 1072277685 /nfs/dbraw/zinc/27/76/85/1072277685.db2.gz JTVHFOHDYOAKJO-CQSZACIVSA-N 0 0 425.445 -0.017 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)cc1 ZINC000601620553 1071439016 /nfs/dbraw/zinc/43/90/16/1071439016.db2.gz UDCCQRFFTYHIGM-AWEZNQCLSA-N 0 0 425.445 -0.017 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)cc1 ZINC000601620555 1071439303 /nfs/dbraw/zinc/43/93/03/1071439303.db2.gz UDCCQRFFTYHIGM-CQSZACIVSA-N 0 0 425.445 -0.017 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000601684004 1072278411 /nfs/dbraw/zinc/27/84/11/1072278411.db2.gz DTVWXJSNXRPHTF-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)Cn3nnc4ccccc4c3=O)cn2)CC1 ZINC000601696387 1072278309 /nfs/dbraw/zinc/27/83/09/1072278309.db2.gz BFNODQSJIZSKJO-UHFFFAOYSA-N 0 0 443.489 -0.093 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1=O ZINC000601696460 1072277880 /nfs/dbraw/zinc/27/78/80/1072277880.db2.gz GVJVHEHSIIMYFP-GOSISDBHSA-N 0 0 438.510 -0.178 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1=O ZINC000601696465 1072278469 /nfs/dbraw/zinc/27/84/69/1072278469.db2.gz GVJVHEHSIIMYFP-SFHVURJKSA-N 0 0 438.510 -0.178 20 0 IBADRN Cn1nnnc1SCCC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601696512 1072278300 /nfs/dbraw/zinc/27/83/00/1072278300.db2.gz IUKICOKEJUYGSU-UHFFFAOYSA-N 0 0 426.528 -0.192 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)Cn3nc4ccccn4c3=O)cn2)CC1 ZINC000601697896 1072278237 /nfs/dbraw/zinc/27/82/37/1072278237.db2.gz BQMOSHGIUIPZJB-UHFFFAOYSA-N 0 0 431.478 -0.389 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601697982 1072278458 /nfs/dbraw/zinc/27/84/58/1072278458.db2.gz DBVPLFUBEVAWSZ-AWEZNQCLSA-N 0 0 431.540 -0.474 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601697997 1072278249 /nfs/dbraw/zinc/27/82/49/1072278249.db2.gz DBVPLFUBEVAWSZ-CQSZACIVSA-N 0 0 431.540 -0.474 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)Nc1ccc(N3CCN(S(C)(=O)=O)CC3)nc1)c2=O ZINC000601698749 1072278464 /nfs/dbraw/zinc/27/84/64/1072278464.db2.gz KIGBSUIJFRKGCQ-UHFFFAOYSA-N 0 0 446.493 -0.755 20 0 IBADRN CN(CC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)c1ncnc2nc[nH]c21 ZINC000601698851 1072278332 /nfs/dbraw/zinc/27/83/32/1072278332.db2.gz MEMBZRCBJKUUCE-UHFFFAOYSA-N 0 0 445.509 -0.096 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601698867 1072278200 /nfs/dbraw/zinc/27/82/00/1072278200.db2.gz MPIKBMPWJXHDNW-UHFFFAOYSA-N 0 0 445.567 -0.227 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)nn1 ZINC000601698891 1072278790 /nfs/dbraw/zinc/27/87/90/1072278790.db2.gz NGYFQKXGYFGYOD-UHFFFAOYSA-N 0 0 436.498 -0.905 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601700142 1072278881 /nfs/dbraw/zinc/27/88/81/1072278881.db2.gz UZQSPKQLBAUZSD-UHFFFAOYSA-N 0 0 445.505 -0.011 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)CCN3CCCS3(=O)=O)cn2)CC1 ZINC000601700274 1072278832 /nfs/dbraw/zinc/27/88/32/1072278832.db2.gz XABQKWSONZCQRI-UHFFFAOYSA-N 0 0 431.540 -0.473 20 0 IBADRN COCCN1C[C@@H](C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1=O ZINC000601703700 1072278851 /nfs/dbraw/zinc/27/88/51/1072278851.db2.gz LGNLHMBTVRFOEI-AWEZNQCLSA-N 0 0 425.511 -0.403 20 0 IBADRN COCCN1C[C@H](C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1=O ZINC000601703724 1071439218 /nfs/dbraw/zinc/43/92/18/1071439218.db2.gz LGNLHMBTVRFOEI-CQSZACIVSA-N 0 0 425.511 -0.403 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)[C@@H]3CCCCN3S(C)(=O)=O)cn2)CC1 ZINC000601705510 1072278807 /nfs/dbraw/zinc/27/88/07/1072278807.db2.gz YIJPHCYVXWVNPC-HNNXBMFYSA-N 0 0 445.567 -0.084 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)[C@H]3CCCCN3S(C)(=O)=O)cn2)CC1 ZINC000601705511 1072278717 /nfs/dbraw/zinc/27/87/17/1072278717.db2.gz YIJPHCYVXWVNPC-OAHLLOKOSA-N 0 0 445.567 -0.084 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)o1 ZINC000601742974 1072278765 /nfs/dbraw/zinc/27/87/65/1072278765.db2.gz HJFBGMUXMMUDQW-UHFFFAOYSA-N 0 0 443.507 -0.083 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601742994 1072278817 /nfs/dbraw/zinc/27/88/17/1072278817.db2.gz KGAAAHWDTPKWEJ-UHFFFAOYSA-N 0 0 433.556 -0.180 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C3CCN(S(N)(=O)=O)CC3)cn2)CC1 ZINC000601743259 1072278746 /nfs/dbraw/zinc/27/87/46/1072278746.db2.gz RHSRZWKBWMHHAL-UHFFFAOYSA-N 0 0 446.555 -0.983 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)CCc3nc(-c4nc[nH]n4)no3)cn2)CC1 ZINC000601743263 1072278705 /nfs/dbraw/zinc/27/87/05/1072278705.db2.gz RQHRLYUDAHJTIK-UHFFFAOYSA-N 0 0 447.481 -0.097 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601743269 1072278897 /nfs/dbraw/zinc/27/88/97/1072278897.db2.gz SDENNLSLHDYKQC-UHFFFAOYSA-N 0 0 426.543 -0.442 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)c3ccc(S(N)(=O)=O)o3)cn2)CC1 ZINC000601743292 1072278954 /nfs/dbraw/zinc/27/89/54/1072278954.db2.gz UWUIAQLSRXDJLR-UHFFFAOYSA-N 0 0 429.480 -0.344 20 0 IBADRN CN1CC(=O)N(CCCC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1=O ZINC000601743297 1072278944 /nfs/dbraw/zinc/27/89/44/1072278944.db2.gz VQLHEILTEPUBBO-UHFFFAOYSA-N 0 0 438.510 -0.224 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)CCC(=O)N3CCOCC3)cn2)CC1 ZINC000601744549 1072278909 /nfs/dbraw/zinc/27/89/09/1072278909.db2.gz DSABSNOYYRURKK-UHFFFAOYSA-N 0 0 425.511 -0.259 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)cn2)CC1 ZINC000601744696 1072278862 /nfs/dbraw/zinc/27/88/62/1072278862.db2.gz QBISSKDSWWSIOZ-UHFFFAOYSA-N 0 0 444.473 -0.055 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000601746341 1072278756 /nfs/dbraw/zinc/27/87/56/1072278756.db2.gz HIIVPPCQLSMGKN-BBRMVZONSA-N 0 0 447.535 -0.350 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000601746343 1072278687 /nfs/dbraw/zinc/27/86/87/1072278687.db2.gz HIIVPPCQLSMGKN-CJNGLKHVSA-N 0 0 447.535 -0.350 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000601746822 1072278734 /nfs/dbraw/zinc/27/87/34/1072278734.db2.gz RKRHMGVEPKOCDX-AAEUAGOBSA-N 0 0 433.508 -0.596 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000601746823 1072279301 /nfs/dbraw/zinc/27/93/01/1072279301.db2.gz RKRHMGVEPKOCDX-WCQYABFASA-N 0 0 433.508 -0.596 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN([C@@H](CO)c3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000601762287 1072278934 /nfs/dbraw/zinc/27/89/34/1072278934.db2.gz ZTBHYRQCDPFBPN-HNNXBMFYSA-N 0 0 435.912 -0.147 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN([C@H](CO)c3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000601762288 1072278921 /nfs/dbraw/zinc/27/89/21/1072278921.db2.gz ZTBHYRQCDPFBPN-OAHLLOKOSA-N 0 0 435.912 -0.147 20 0 IBADRN CN(CC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000601828398 1072279315 /nfs/dbraw/zinc/27/93/15/1072279315.db2.gz CGAPYWUCZIREAH-HNNXBMFYSA-N 0 0 445.567 -0.779 20 0 IBADRN CN(CC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000601828399 1072279509 /nfs/dbraw/zinc/27/95/09/1072279509.db2.gz CGAPYWUCZIREAH-OAHLLOKOSA-N 0 0 445.567 -0.779 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601828441 1072279768 /nfs/dbraw/zinc/27/97/68/1072279768.db2.gz KUZUVRBYUUSNGA-UHFFFAOYSA-N 0 0 442.523 -0.599 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601828613 1072279524 /nfs/dbraw/zinc/27/95/24/1072279524.db2.gz VWCDFKHVHHBOGI-UHFFFAOYSA-N 0 0 440.526 -0.866 20 0 IBADRN COC[C@@](C)(O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000601841553 1072279465 /nfs/dbraw/zinc/27/94/65/1072279465.db2.gz QQOCJEUKLCXGAS-BBRMVZONSA-N 0 0 435.524 -0.329 20 0 IBADRN COC[C@@](C)(O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000601841558 1072279253 /nfs/dbraw/zinc/27/92/53/1072279253.db2.gz QQOCJEUKLCXGAS-CJNGLKHVSA-N 0 0 435.524 -0.329 20 0 IBADRN COC[C@](C)(O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000601841561 1072279413 /nfs/dbraw/zinc/27/94/13/1072279413.db2.gz QQOCJEUKLCXGAS-CZUORRHYSA-N 0 0 435.524 -0.329 20 0 IBADRN COC[C@](C)(O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000601841562 1072279488 /nfs/dbraw/zinc/27/94/88/1072279488.db2.gz QQOCJEUKLCXGAS-XJKSGUPXSA-N 0 0 435.524 -0.329 20 0 IBADRN CN(C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000601868878 1072279739 /nfs/dbraw/zinc/27/97/39/1072279739.db2.gz FFOUXHBIMXQVSG-AWEZNQCLSA-N 0 0 431.540 -0.186 20 0 IBADRN CN(C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000601868879 1072279883 /nfs/dbraw/zinc/27/98/83/1072279883.db2.gz FFOUXHBIMXQVSG-CQSZACIVSA-N 0 0 431.540 -0.186 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601868904 1072280030 /nfs/dbraw/zinc/28/00/30/1072280030.db2.gz FVDKJODPPYMMPL-UHFFFAOYSA-N 0 0 446.555 -0.728 20 0 IBADRN CN(CCN1CCOCC1)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601868935 1072279835 /nfs/dbraw/zinc/27/98/35/1072279835.db2.gz GQZZAWRNKLXXQW-UHFFFAOYSA-N 0 0 426.543 -0.041 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCCCN3C(=O)CNC3=O)cn2)CC1 ZINC000601868945 1072280035 /nfs/dbraw/zinc/28/00/35/1072280035.db2.gz HATGPXPEXVZACO-UHFFFAOYSA-N 0 0 439.498 -0.773 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601870069 1072279723 /nfs/dbraw/zinc/27/97/23/1072279723.db2.gz JQJXQKFTTPTKCD-UHFFFAOYSA-N 0 0 434.544 -0.776 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCCN3CCCS3(=O)=O)cn2)CC1 ZINC000601870220 1072280002 /nfs/dbraw/zinc/28/00/02/1072280002.db2.gz MYUKNLPJOCFUOQ-UHFFFAOYSA-N 0 0 446.555 -0.680 20 0 IBADRN COCCN1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601870324 1072279799 /nfs/dbraw/zinc/27/97/99/1072279799.db2.gz NSAKLYXILTWCSB-UHFFFAOYSA-N 0 0 426.543 -0.041 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601871086 1072280043 /nfs/dbraw/zinc/28/00/43/1072280043.db2.gz ODKLYOBZVFCOKX-UHFFFAOYSA-N 0 0 434.544 -0.776 20 0 IBADRN CC(C)(CNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)NS(C)(=O)=O ZINC000601871909 1072279866 /nfs/dbraw/zinc/27/98/66/1072279866.db2.gz TXKWUAZUPBONGH-UHFFFAOYSA-N 0 0 448.571 -0.388 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCC(=O)NCC(F)(F)F)cn2)CC1 ZINC000601871939 1072280014 /nfs/dbraw/zinc/28/00/14/1072280014.db2.gz VGVWJSWEPNIXDZ-UHFFFAOYSA-N 0 0 438.432 -0.037 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601871945 1072279960 /nfs/dbraw/zinc/27/99/60/1072279960.db2.gz VVTQNEIUBNEKDO-UHFFFAOYSA-N 0 0 440.526 -0.514 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601872428 1071439827 /nfs/dbraw/zinc/43/98/27/1071439827.db2.gz XOTSMUQTYGFTGV-UHFFFAOYSA-N 0 0 448.571 -0.388 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCCCN3C(=O)CCC3=O)cn2)CC1 ZINC000601872485 1071439958 /nfs/dbraw/zinc/43/99/58/1071439958.db2.gz YHNFFXWQMBNCGX-UHFFFAOYSA-N 0 0 438.510 -0.176 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601872521 1072279944 /nfs/dbraw/zinc/27/99/44/1072279944.db2.gz YUASWNGEUJSRKY-UHFFFAOYSA-N 0 0 439.542 -0.006 20 0 IBADRN COCC(=O)N1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601872544 1072279706 /nfs/dbraw/zinc/27/97/06/1072279706.db2.gz ZNGLTYDDEHBRSI-UHFFFAOYSA-N 0 0 440.526 -0.514 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@H]3CCS(=O)(=O)C3)cn2)CC1 ZINC000601873591 1072280021 /nfs/dbraw/zinc/28/00/21/1072280021.db2.gz ISRPQEOOCDIATA-CYBMUJFWSA-N 0 0 431.540 -0.281 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@@H]3CCS(=O)(=O)C3)cn2)CC1 ZINC000601873594 1072279783 /nfs/dbraw/zinc/27/97/83/1072279783.db2.gz ISRPQEOOCDIATA-ZDUSSCGKSA-N 0 0 431.540 -0.281 20 0 IBADRN CN(CCCNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)S(C)(=O)=O ZINC000601873641 1072279930 /nfs/dbraw/zinc/27/99/30/1072279930.db2.gz JGMMUACYGHGPNG-UHFFFAOYSA-N 0 0 448.571 -0.434 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601874745 1072280329 /nfs/dbraw/zinc/28/03/29/1072280329.db2.gz QNHCOVLNEHGRLY-UHFFFAOYSA-N 0 0 448.571 -0.386 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCNc3ccccn3)cn2)CC1 ZINC000601908961 1072280467 /nfs/dbraw/zinc/28/04/67/1072280467.db2.gz OSGILUMQLFRMMX-UHFFFAOYSA-N 0 0 447.521 -0.275 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000601913698 1072280509 /nfs/dbraw/zinc/28/05/09/1072280509.db2.gz BCDYNVJUPWWEIC-UHFFFAOYSA-N 0 0 425.511 -0.261 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000601913952 1072280517 /nfs/dbraw/zinc/28/05/17/1072280517.db2.gz JTURVDYMYCBRRC-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000601913957 1072280372 /nfs/dbraw/zinc/28/03/72/1072280372.db2.gz JTURVDYMYCBRRC-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN COC1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601914012 1072280542 /nfs/dbraw/zinc/28/05/42/1072280542.db2.gz KOQNMPATKOLDNH-UHFFFAOYSA-N 0 0 425.511 -0.261 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601914076 1072280526 /nfs/dbraw/zinc/28/05/26/1072280526.db2.gz MWRFXLZLJKBDGA-UHFFFAOYSA-N 0 0 449.537 -0.187 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC(CO)CC3)cn2)CC1 ZINC000601914106 1072280361 /nfs/dbraw/zinc/28/03/61/1072280361.db2.gz NWEWZNJIIHIINV-UHFFFAOYSA-N 0 0 425.511 -0.667 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601914190 1072280548 /nfs/dbraw/zinc/28/05/48/1072280548.db2.gz UGQITJZODLDZJT-UHFFFAOYSA-N 0 0 438.554 -0.346 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601914217 1072280264 /nfs/dbraw/zinc/28/02/64/1072280264.db2.gz VIVOURLWZYMKPI-UHFFFAOYSA-N 0 0 440.526 -0.962 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3=O)c(F)c2)CC1 ZINC000601914323 1072280406 /nfs/dbraw/zinc/28/04/06/1072280406.db2.gz YICLCMVGBFEGAM-UHFFFAOYSA-N 0 0 441.485 -0.158 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601915397 1072280883 /nfs/dbraw/zinc/28/08/83/1072280883.db2.gz CPSMQEVAFWECCY-UHFFFAOYSA-N 0 0 443.526 -0.777 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@H](C)O1 ZINC000601915414 1072280969 /nfs/dbraw/zinc/28/09/69/1072280969.db2.gz JNAPAVPQNYYJJP-OKILXGFUSA-N 0 0 425.511 -0.263 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601915423 1072280860 /nfs/dbraw/zinc/28/08/60/1072280860.db2.gz LSKVMYOUBIMGFF-UHFFFAOYSA-N 0 0 440.526 -0.915 20 0 IBADRN CCCCN(CCO)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601915458 1072280919 /nfs/dbraw/zinc/28/09/19/1072280919.db2.gz TXYDHDDYTBEMQD-UHFFFAOYSA-N 0 0 427.527 -0.277 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC(CCO)CC3)cn2)CC1 ZINC000601915721 1072280457 /nfs/dbraw/zinc/28/04/57/1072280457.db2.gz GCDCHWNCXWIUTR-UHFFFAOYSA-N 0 0 439.538 -0.277 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCOCC3CC3)cn2)CC1 ZINC000601915724 1072281023 /nfs/dbraw/zinc/28/10/23/1072281023.db2.gz GGZVZVNGPINOKQ-UHFFFAOYSA-N 0 0 425.511 -0.356 20 0 IBADRN CCOCCN(CC)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601915739 1072280996 /nfs/dbraw/zinc/28/09/96/1072280996.db2.gz JFVZGYRYJGOMGF-UHFFFAOYSA-N 0 0 427.527 -0.013 20 0 IBADRN COCCN(CC(F)F)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601915740 1072280829 /nfs/dbraw/zinc/28/08/29/1072280829.db2.gz JIBZSRMSKJHROZ-UHFFFAOYSA-N 0 0 449.480 -0.158 20 0 IBADRN CC(C)(C)CN(CCO)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601915753 1072280872 /nfs/dbraw/zinc/28/08/72/1072280872.db2.gz MAKZUSLQGZJZKD-UHFFFAOYSA-N 0 0 441.554 -0.031 20 0 IBADRN CN(CCn1cccn1)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601915799 1072280316 /nfs/dbraw/zinc/28/03/16/1072280316.db2.gz XGEIXAFKKMOECW-UHFFFAOYSA-N 0 0 435.510 -0.543 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)cn1 ZINC000601916215 1072280984 /nfs/dbraw/zinc/28/09/84/1072280984.db2.gz DUAKGBMWKFXHLT-UHFFFAOYSA-N 0 0 448.505 -0.178 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601916229 1072281512 /nfs/dbraw/zinc/28/15/12/1072281512.db2.gz ILKHPEQKVIGXDR-AWEZNQCLSA-N 0 0 435.510 -0.497 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601916230 1072281447 /nfs/dbraw/zinc/28/14/47/1072281447.db2.gz ILKHPEQKVIGXDR-CQSZACIVSA-N 0 0 435.510 -0.497 20 0 IBADRN COc1cc(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)ccn1 ZINC000601916234 1072281563 /nfs/dbraw/zinc/28/15/63/1072281563.db2.gz IVGKPGRCXYSSSN-UHFFFAOYSA-N 0 0 448.505 -0.178 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601916241 1072281425 /nfs/dbraw/zinc/28/14/25/1072281425.db2.gz LHKYZJIHZUOETJ-UHFFFAOYSA-N 0 0 448.505 -0.178 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCCn3cccn3)cn2)CC1 ZINC000601916266 1072281473 /nfs/dbraw/zinc/28/14/73/1072281473.db2.gz SOIWBLDLMUMFTR-UHFFFAOYSA-N 0 0 435.510 -0.495 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCCO1 ZINC000601916451 1072280842 /nfs/dbraw/zinc/28/08/42/1072280842.db2.gz FBLJPNHPMQVUGM-AWEZNQCLSA-N 0 0 425.511 -0.261 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCCO1 ZINC000601916454 1072281034 /nfs/dbraw/zinc/28/10/34/1072281034.db2.gz FBLJPNHPMQVUGM-CQSZACIVSA-N 0 0 425.511 -0.261 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCc3ccccc3CO)cn2)CC1 ZINC000601916565 1072280957 /nfs/dbraw/zinc/28/09/57/1072280957.db2.gz HZXJHORNJRZZDR-UHFFFAOYSA-N 0 0 447.517 -0.090 20 0 IBADRN CO[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000601916579 1072280907 /nfs/dbraw/zinc/28/09/07/1072280907.db2.gz KVJQZKUPQVHKNL-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN CO[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000601916581 1072280945 /nfs/dbraw/zinc/28/09/45/1072280945.db2.gz KVJQZKUPQVHKNL-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN CCCNC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601916618 1072280896 /nfs/dbraw/zinc/28/08/96/1072280896.db2.gz DFLSKDSOVIFJRA-UHFFFAOYSA-N 0 0 440.526 -0.866 20 0 IBADRN CCCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000601916673 1071440108 /nfs/dbraw/zinc/44/01/08/1071440108.db2.gz HLKJZZHWOMKKMX-UHFFFAOYSA-N 0 0 438.554 -0.344 20 0 IBADRN COc1cccc(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)n1 ZINC000601916722 1072280794 /nfs/dbraw/zinc/28/07/94/1072280794.db2.gz QZRZKCIZQPAFTP-UHFFFAOYSA-N 0 0 448.505 -0.178 20 0 IBADRN CCC(O)(CC)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000601916747 1072281007 /nfs/dbraw/zinc/28/10/07/1072281007.db2.gz SVSJXDWOOWMWLV-UHFFFAOYSA-N 0 0 427.527 -0.231 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(C4CC4)CC3)cn2)CC1 ZINC000601916895 1072281043 /nfs/dbraw/zinc/28/10/43/1072281043.db2.gz NGYGJMKYYVAFGG-UHFFFAOYSA-N 0 0 436.538 -0.592 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)Cn1cccn1 ZINC000601916947 1072281525 /nfs/dbraw/zinc/28/15/25/1072281525.db2.gz PUEVCJDYOVCRHI-HNNXBMFYSA-N 0 0 449.537 -0.249 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)Cn1cccn1 ZINC000601916950 1072281639 /nfs/dbraw/zinc/28/16/39/1072281639.db2.gz PUEVCJDYOVCRHI-OAHLLOKOSA-N 0 0 449.537 -0.249 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@@H]3CCCCO3)cn2)CC1 ZINC000601917402 1072281648 /nfs/dbraw/zinc/28/16/48/1072281648.db2.gz WMYWJCPVYFUODV-HNNXBMFYSA-N 0 0 425.511 -0.213 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@H]3CCCCO3)cn2)CC1 ZINC000601917403 1072281461 /nfs/dbraw/zinc/28/14/61/1072281461.db2.gz WMYWJCPVYFUODV-OAHLLOKOSA-N 0 0 425.511 -0.213 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@@H](CO)c3ccc(Cl)cc3)CC2)cn1 ZINC000601927914 1072281351 /nfs/dbraw/zinc/28/13/51/1072281351.db2.gz NNLZQHJRLALKSN-INIZCTEOSA-N 0 0 434.884 -0.162 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN([C@H](CO)c3ccc(Cl)cc3)CC2)cn1 ZINC000601927915 1072281433 /nfs/dbraw/zinc/28/14/33/1072281433.db2.gz NNLZQHJRLALKSN-MRXNPFEDSA-N 0 0 434.884 -0.162 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OC ZINC000601966216 1072282060 /nfs/dbraw/zinc/28/20/60/1072282060.db2.gz QVMIIMXBKMTHKL-CYBMUJFWSA-N 0 0 444.462 -0.263 20 0 IBADRN COC(=O)C[C@H](NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)OC ZINC000601966225 1072282014 /nfs/dbraw/zinc/28/20/14/1072282014.db2.gz QVMIIMXBKMTHKL-ZDUSSCGKSA-N 0 0 444.462 -0.263 20 0 IBADRN COC(=O)CN1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000602149971 1072283488 /nfs/dbraw/zinc/28/34/88/1072283488.db2.gz DEKSBAJGURMHSW-IBGZPJMESA-N 0 0 446.504 -0.712 20 0 IBADRN COC(=O)CN1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000602149972 1071440955 /nfs/dbraw/zinc/44/09/55/1071440955.db2.gz DEKSBAJGURMHSW-LJQANCHMSA-N 0 0 446.504 -0.712 20 0 IBADRN COC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000602150546 1072283569 /nfs/dbraw/zinc/28/35/69/1072283569.db2.gz LKEBDVVJSMIVMO-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN CCN(CC)c1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(CC(=O)OC)CC1 ZINC000602151847 1072283710 /nfs/dbraw/zinc/28/37/10/1072283710.db2.gz PRLCWKJEXHGIND-UHFFFAOYSA-N 0 0 441.554 -0.091 20 0 IBADRN COC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000602151855 1072283736 /nfs/dbraw/zinc/28/37/36/1072283736.db2.gz PZDWWBJTMDDANJ-UHFFFAOYSA-N 0 0 438.550 -0.549 20 0 IBADRN COC(=O)CN1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000602152189 1072283622 /nfs/dbraw/zinc/28/36/22/1072283622.db2.gz JTWMNMWDMMAEKL-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN COC(=O)CN1CCN(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)CC1 ZINC000602152642 1072283507 /nfs/dbraw/zinc/28/35/07/1072283507.db2.gz WLCGNAWWBFZFCU-UHFFFAOYSA-N 0 0 435.500 -0.301 20 0 IBADRN COC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000602152687 1072283758 /nfs/dbraw/zinc/28/37/58/1072283758.db2.gz LHWXTAPNMAMENO-UHFFFAOYSA-N 0 0 442.513 -0.551 20 0 IBADRN Cc1nc(CN2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)CC2)no1 ZINC000602153821 1072284124 /nfs/dbraw/zinc/28/41/24/1072284124.db2.gz LGPDZHFKKILFJB-UHFFFAOYSA-N 0 0 425.467 -0.050 20 0 IBADRN Cc1nc(CN2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)CC2)no1 ZINC000602161407 1072283727 /nfs/dbraw/zinc/28/37/27/1072283727.db2.gz DEOZIBCDTIQGGY-UHFFFAOYSA-N 0 0 438.510 -0.305 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000602312191 1072285501 /nfs/dbraw/zinc/28/55/01/1072285501.db2.gz UQYLFWUVZFWFGZ-HNNXBMFYSA-N 0 0 427.502 -0.193 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000602312193 1072285473 /nfs/dbraw/zinc/28/54/73/1072285473.db2.gz UQYLFWUVZFWFGZ-OAHLLOKOSA-N 0 0 427.502 -0.193 20 0 IBADRN CN1CCN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000602335369 1072285842 /nfs/dbraw/zinc/28/58/42/1072285842.db2.gz GNILBDSSXGGQRS-UHFFFAOYSA-N 0 0 430.552 -0.491 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000602335668 1072285970 /nfs/dbraw/zinc/28/59/70/1072285970.db2.gz WUYYGRQNMQZJIH-AWEZNQCLSA-N 0 0 431.536 -0.250 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000602335883 1072285454 /nfs/dbraw/zinc/28/54/54/1072285454.db2.gz WUYYGRQNMQZJIH-CQSZACIVSA-N 0 0 431.536 -0.250 20 0 IBADRN O=C(CN1CCN([C@@H]2CCC[C@@H]2O)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000602345697 1072285323 /nfs/dbraw/zinc/28/53/23/1072285323.db2.gz JIFZODDONDNCDI-HCXYKTFWSA-N 0 0 429.583 -0.288 20 0 IBADRN O=C(CN1CCN([C@@H]2CCC[C@@H]2O)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000602345713 1072285395 /nfs/dbraw/zinc/28/53/95/1072285395.db2.gz JIFZODDONDNCDI-MANSERQUSA-N 0 0 429.583 -0.288 20 0 IBADRN O=C(CN1CCN([C@@H]2CCC[C@@H]2O)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000602345717 1072285432 /nfs/dbraw/zinc/28/54/32/1072285432.db2.gz JIFZODDONDNCDI-MKXGPGLRSA-N 0 0 429.583 -0.288 20 0 IBADRN O=C(CN1CCN([C@@H]2CCC[C@@H]2O)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000602345722 1072285487 /nfs/dbraw/zinc/28/54/87/1072285487.db2.gz JIFZODDONDNCDI-OKYOBFRVSA-N 0 0 429.583 -0.288 20 0 IBADRN CN(C[C@@H](O)COCc1ccccc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000602428061 1072286539 /nfs/dbraw/zinc/28/65/39/1072286539.db2.gz FBLVGVQETACAMK-GOSISDBHSA-N 0 0 428.555 -0.217 20 0 IBADRN CN(C[C@H](O)COCc1ccccc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000602428062 1072286491 /nfs/dbraw/zinc/28/64/91/1072286491.db2.gz FBLVGVQETACAMK-SFHVURJKSA-N 0 0 428.555 -0.217 20 0 IBADRN COCC1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000602476691 1072286735 /nfs/dbraw/zinc/28/67/35/1072286735.db2.gz BYIZJAOTHWACSN-UHFFFAOYSA-N 0 0 439.538 -0.013 20 0 IBADRN CC[C@H](C)[C@H](O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000602491097 1072287215 /nfs/dbraw/zinc/28/72/15/1072287215.db2.gz JBVWBUJAPKYQSR-DZGCQCFKSA-N 0 0 427.527 -0.375 20 0 IBADRN CC[C@@H](C)[C@@H](O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000602491100 1072287153 /nfs/dbraw/zinc/28/71/53/1072287153.db2.gz JBVWBUJAPKYQSR-HIFRSBDPSA-N 0 0 427.527 -0.375 20 0 IBADRN CC[C@@H](C)[C@H](O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000602491102 1072287206 /nfs/dbraw/zinc/28/72/06/1072287206.db2.gz JBVWBUJAPKYQSR-UKRRQHHQSA-N 0 0 427.527 -0.375 20 0 IBADRN CC[C@H](C)[C@@H](O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000602491103 1072287116 /nfs/dbraw/zinc/28/71/16/1072287116.db2.gz JBVWBUJAPKYQSR-ZFWWWQNUSA-N 0 0 427.527 -0.375 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000602506128 1072287826 /nfs/dbraw/zinc/28/78/26/1072287826.db2.gz CADAGCYBLLUTPS-CVEARBPZSA-N 0 0 438.554 -0.178 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000602506130 1072287802 /nfs/dbraw/zinc/28/78/02/1072287802.db2.gz CADAGCYBLLUTPS-HOTGVXAUSA-N 0 0 438.554 -0.178 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000602506131 1072287602 /nfs/dbraw/zinc/28/76/02/1072287602.db2.gz CADAGCYBLLUTPS-HZPDHXFCSA-N 0 0 438.554 -0.178 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000602506134 1072287727 /nfs/dbraw/zinc/28/77/27/1072287727.db2.gz CADAGCYBLLUTPS-JKSUJKDBSA-N 0 0 438.554 -0.178 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000602520291 1072287641 /nfs/dbraw/zinc/28/76/41/1072287641.db2.gz GINSBYWOSLSDTA-AWEZNQCLSA-N 0 0 449.537 -0.302 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000602520292 1072287858 /nfs/dbraw/zinc/28/78/58/1072287858.db2.gz GINSBYWOSLSDTA-CQSZACIVSA-N 0 0 449.537 -0.302 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)ncn1 ZINC000602523199 1072287715 /nfs/dbraw/zinc/28/77/15/1072287715.db2.gz IJPBBOIFOUXKRE-KRWDZBQOSA-N 0 0 439.586 -0.116 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)ncn1 ZINC000602523207 1072287680 /nfs/dbraw/zinc/28/76/80/1072287680.db2.gz IJPBBOIFOUXKRE-QGZVFWFLSA-N 0 0 439.586 -0.116 20 0 IBADRN COc1ccccc1[C@H](CNC(=O)CN1CCCNC1=O)NC(=O)CN1CCCNC1=O ZINC000602791758 1072289711 /nfs/dbraw/zinc/28/97/11/1072289711.db2.gz ANXGRCNQWUARAM-INIZCTEOSA-N 0 0 446.508 -0.201 20 0 IBADRN COc1ccccc1[C@@H](CNC(=O)CN1CCCNC1=O)NC(=O)CN1CCCNC1=O ZINC000602791759 1072289665 /nfs/dbraw/zinc/28/96/65/1072289665.db2.gz ANXGRCNQWUARAM-MRXNPFEDSA-N 0 0 446.508 -0.201 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)c1cc(S(=O)(=O)N(C)CCN2CCCC2=O)c[nH]1 ZINC000602895172 1072290223 /nfs/dbraw/zinc/29/02/23/1072290223.db2.gz IJMGTGGCHKBRLW-UHFFFAOYSA-N 0 0 439.538 -0.048 20 0 IBADRN CN(CCN1CCCC1=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000602895688 1072290208 /nfs/dbraw/zinc/29/02/08/1072290208.db2.gz YMBAYBCDPZFYRV-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCN2CCN(C(=O)C3CCC3)CC2)c1 ZINC000602897343 1072290156 /nfs/dbraw/zinc/29/01/56/1072290156.db2.gz PBUQDKCWSWXNSC-UHFFFAOYSA-N 0 0 430.552 -0.443 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCNC(=O)c2c(F)cccc2F)CC1 ZINC000602927351 1072290191 /nfs/dbraw/zinc/29/01/91/1072290191.db2.gz WVHGYFKTYBIVCH-UHFFFAOYSA-N 0 0 433.481 -0.429 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3S(C)(=O)=O)CC2)cc1 ZINC000602962278 1072290143 /nfs/dbraw/zinc/29/01/43/1072290143.db2.gz ZWLFXYJEABSVIG-INIZCTEOSA-N 0 0 431.536 -0.048 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3S(C)(=O)=O)CC2)cc1 ZINC000602962279 1072290217 /nfs/dbraw/zinc/29/02/17/1072290217.db2.gz ZWLFXYJEABSVIG-MRXNPFEDSA-N 0 0 431.536 -0.048 20 0 IBADRN CN(CCCNC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)S(C)(=O)=O ZINC000602990710 1072290184 /nfs/dbraw/zinc/29/01/84/1072290184.db2.gz QHSAFICYIVVUHD-UHFFFAOYSA-N 0 0 436.556 -0.620 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCCN1CCCS1(=O)=O ZINC000602996965 1072290167 /nfs/dbraw/zinc/29/01/67/1072290167.db2.gz VCRGGCSPMAPEDG-UHFFFAOYSA-N 0 0 448.567 -0.476 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCC(C)(C)NS(C)(=O)=O ZINC000602999670 1072290175 /nfs/dbraw/zinc/29/01/75/1072290175.db2.gz JZSMEEGFJVEINO-UHFFFAOYSA-N 0 0 436.556 -0.574 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000603002049 1072290300 /nfs/dbraw/zinc/29/03/00/1072290300.db2.gz CSCMLLAZDSXZEG-HNNXBMFYSA-N 0 0 448.567 -0.477 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000603002050 1072290328 /nfs/dbraw/zinc/29/03/28/1072290328.db2.gz CSCMLLAZDSXZEG-OAHLLOKOSA-N 0 0 448.567 -0.477 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N(CCO)CCc3ccccc3)cnc2n(C)c1=O ZINC000603009804 1072290337 /nfs/dbraw/zinc/29/03/37/1072290337.db2.gz GTRVEMBDIZVYOU-UHFFFAOYSA-N 0 0 425.445 -0.366 20 0 IBADRN NS(=O)(=O)c1cccnc1NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000603024171 1072290349 /nfs/dbraw/zinc/29/03/49/1072290349.db2.gz WBRVJMHULISWQZ-UHFFFAOYSA-N 0 0 426.524 -0.312 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000603145215 1072290796 /nfs/dbraw/zinc/29/07/96/1072290796.db2.gz HDYUXXLRTQIJSX-IBGZPJMESA-N 0 0 435.569 -0.046 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000603145220 1072290682 /nfs/dbraw/zinc/29/06/82/1072290682.db2.gz HDYUXXLRTQIJSX-LJQANCHMSA-N 0 0 435.569 -0.046 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)C(=O)OC ZINC000603161838 1072290245 /nfs/dbraw/zinc/29/02/45/1072290245.db2.gz LKUFUKIWPHEEKG-DZGCQCFKSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)C(=O)OC ZINC000603161840 1072290287 /nfs/dbraw/zinc/29/02/87/1072290287.db2.gz LKUFUKIWPHEEKG-HIFRSBDPSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)C(=O)OC ZINC000603161841 1072290721 /nfs/dbraw/zinc/29/07/21/1072290721.db2.gz LKUFUKIWPHEEKG-UKRRQHHQSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)C(=O)OC ZINC000603161843 1072290828 /nfs/dbraw/zinc/29/08/28/1072290828.db2.gz LKUFUKIWPHEEKG-ZFWWWQNUSA-N 0 0 428.463 -0.022 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)C(=O)C1CC1 ZINC000603264730 1072290751 /nfs/dbraw/zinc/29/07/51/1072290751.db2.gz NBUPCGVURLQENI-UHFFFAOYSA-N 0 0 436.490 -0.281 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000603292088 1072291290 /nfs/dbraw/zinc/29/12/90/1072291290.db2.gz VDRYUSHEYPHIJP-ZDUSSCGKSA-N 0 0 428.511 -0.125 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000603440011 1072291970 /nfs/dbraw/zinc/29/19/70/1072291970.db2.gz FZTIZADNXWVQPW-UHFFFAOYSA-N 0 0 434.492 -0.084 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC(NS(C)(=O)=O)CC2)c1 ZINC000603440137 1072291906 /nfs/dbraw/zinc/29/19/06/1072291906.db2.gz NHVOUNBUTKYUMS-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN COCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000603440351 1072292028 /nfs/dbraw/zinc/29/20/28/1072292028.db2.gz VBYVCWHAWYCQOD-CYBMUJFWSA-N 0 0 440.565 -0.239 20 0 IBADRN COCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000603440352 1072291681 /nfs/dbraw/zinc/29/16/81/1072291681.db2.gz VBYVCWHAWYCQOD-ZDUSSCGKSA-N 0 0 440.565 -0.239 20 0 IBADRN CCOC(=O)CSc1nnc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000603441586 1072291709 /nfs/dbraw/zinc/29/17/09/1072291709.db2.gz MOXXWEHKMQCYHK-UHFFFAOYSA-N 0 0 439.479 -0.421 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)COCC(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC000603450162 1072292444 /nfs/dbraw/zinc/29/24/44/1072292444.db2.gz GCZDJGVGCPPQGF-CRWXNKLISA-N 0 0 428.573 -0.437 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)COCC(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC000603450163 1072292605 /nfs/dbraw/zinc/29/26/05/1072292605.db2.gz GCZDJGVGCPPQGF-LVEBTZEWSA-N 0 0 428.573 -0.437 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)COCC(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC000603450165 1072292564 /nfs/dbraw/zinc/29/25/64/1072292564.db2.gz GCZDJGVGCPPQGF-MQYQWHSLSA-N 0 0 428.573 -0.437 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)COCC(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC000603450166 1072292557 /nfs/dbraw/zinc/29/25/57/1072292557.db2.gz GCZDJGVGCPPQGF-SYQHCUMBSA-N 0 0 428.573 -0.437 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000603462196 1072292585 /nfs/dbraw/zinc/29/25/85/1072292585.db2.gz HYLYZAYLQFXXCS-HNNXBMFYSA-N 0 0 425.463 -0.552 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000603462197 1072292518 /nfs/dbraw/zinc/29/25/18/1072292518.db2.gz HYLYZAYLQFXXCS-OAHLLOKOSA-N 0 0 425.463 -0.552 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCCC2)s1 ZINC000603462557 1072293464 /nfs/dbraw/zinc/29/34/64/1072293464.db2.gz GGBSTKVMUNQBGY-CYBMUJFWSA-N 0 0 429.520 -0.035 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCCC2)s1 ZINC000603462564 1072293450 /nfs/dbraw/zinc/29/34/50/1072293450.db2.gz GGBSTKVMUNQBGY-ZDUSSCGKSA-N 0 0 429.520 -0.035 20 0 IBADRN CCOC(=O)[C@@H]1CSCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000603465618 1072293435 /nfs/dbraw/zinc/29/34/35/1072293435.db2.gz WTECLXNXGJATLX-HNNXBMFYSA-N 0 0 441.531 -0.072 20 0 IBADRN CCOC(=O)[C@H]1CSCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000603465627 1072293566 /nfs/dbraw/zinc/29/35/66/1072293566.db2.gz WTECLXNXGJATLX-OAHLLOKOSA-N 0 0 441.531 -0.072 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000603467677 1072293509 /nfs/dbraw/zinc/29/35/09/1072293509.db2.gz IUSDFLCEBBGFAN-UHFFFAOYSA-N 0 0 426.373 -0.947 20 0 IBADRN O=C(COCC(=O)N1CCN(Cc2ncc[nH]2)CC1)N1CCN(Cc2ncc[nH]2)CC1 ZINC000603474394 1072293182 /nfs/dbraw/zinc/29/31/82/1072293182.db2.gz IAAUJVINCLDDHC-UHFFFAOYSA-N 0 0 430.513 -0.862 20 0 IBADRN COc1cccc(C(=O)N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000603476931 1071445351 /nfs/dbraw/zinc/44/53/51/1071445351.db2.gz PEUNEODJDLXCDH-HNNXBMFYSA-N 0 0 438.506 -0.534 20 0 IBADRN COc1cccc(C(=O)N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000603476932 1071445258 /nfs/dbraw/zinc/44/52/58/1071445258.db2.gz PEUNEODJDLXCDH-OAHLLOKOSA-N 0 0 438.506 -0.534 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000603477298 1072293154 /nfs/dbraw/zinc/29/31/54/1072293154.db2.gz OMHHXNIBDUGKCV-HNNXBMFYSA-N 0 0 438.506 -0.534 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000603477299 1072292901 /nfs/dbraw/zinc/29/29/01/1072292901.db2.gz OMHHXNIBDUGKCV-OAHLLOKOSA-N 0 0 438.506 -0.534 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000603478751 1072293172 /nfs/dbraw/zinc/29/31/72/1072293172.db2.gz IETAAZSBWCWRIQ-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000603478752 1072293038 /nfs/dbraw/zinc/29/30/38/1072293038.db2.gz IETAAZSBWCWRIQ-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000603478796 1072293133 /nfs/dbraw/zinc/29/31/33/1072293133.db2.gz ITLWJSNRLRRKEA-AWEZNQCLSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000603478797 1072292922 /nfs/dbraw/zinc/29/29/22/1072292922.db2.gz ITLWJSNRLRRKEA-CQSZACIVSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000603478935 1072293030 /nfs/dbraw/zinc/29/30/30/1072293030.db2.gz KLZFBSRQHQZOBW-AWEZNQCLSA-N 0 0 446.551 -0.676 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000603478944 1072292977 /nfs/dbraw/zinc/29/29/77/1072292977.db2.gz KLZFBSRQHQZOBW-CQSZACIVSA-N 0 0 446.551 -0.676 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000603480098 1072293593 /nfs/dbraw/zinc/29/35/93/1072293593.db2.gz DOGZWAWQVNUUBV-AWEZNQCLSA-N 0 0 449.551 -0.088 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)C1 ZINC000603480099 1072293457 /nfs/dbraw/zinc/29/34/57/1072293457.db2.gz DOGZWAWQVNUUBV-CQSZACIVSA-N 0 0 449.551 -0.088 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000603480250 1072293496 /nfs/dbraw/zinc/29/34/96/1072293496.db2.gz CWOOSLJWXMYXJO-CABCVRRESA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000603480251 1072293503 /nfs/dbraw/zinc/29/35/03/1072293503.db2.gz CWOOSLJWXMYXJO-GJZGRUSLSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000603480252 1072293418 /nfs/dbraw/zinc/29/34/18/1072293418.db2.gz CWOOSLJWXMYXJO-HUUCEWRRSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)C1 ZINC000603480253 1072293554 /nfs/dbraw/zinc/29/35/54/1072293554.db2.gz CWOOSLJWXMYXJO-LSDHHAIUSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000603480372 1072292929 /nfs/dbraw/zinc/29/29/29/1072292929.db2.gz IQSKVPRNYVPGJF-KLHDSHLOSA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000603480373 1072293428 /nfs/dbraw/zinc/29/34/28/1072293428.db2.gz IQSKVPRNYVPGJF-LVQVYYBASA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000603480374 1072293490 /nfs/dbraw/zinc/29/34/90/1072293490.db2.gz IQSKVPRNYVPGJF-XUWVNRHRSA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000603480375 1072293608 /nfs/dbraw/zinc/29/36/08/1072293608.db2.gz IQSKVPRNYVPGJF-ZJIFWQFVSA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000603480448 1072293580 /nfs/dbraw/zinc/29/35/80/1072293580.db2.gz XHJMLYZUXMJXGE-LLVKDONJSA-N 0 0 426.480 -0.748 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC000603480449 1072293471 /nfs/dbraw/zinc/29/34/71/1072293471.db2.gz XHJMLYZUXMJXGE-NSHDSACASA-N 0 0 426.480 -0.748 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000603480572 1072294028 /nfs/dbraw/zinc/29/40/28/1072294028.db2.gz JTWSCNRJRNGYNQ-CYBMUJFWSA-N 0 0 437.565 -0.077 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000603480573 1072293935 /nfs/dbraw/zinc/29/39/35/1072293935.db2.gz JTWSCNRJRNGYNQ-ZDUSSCGKSA-N 0 0 437.565 -0.077 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](S(=O)(=O)NC)C2)c1 ZINC000603480833 1072293955 /nfs/dbraw/zinc/29/39/55/1072293955.db2.gz MXFOWFGPZXROCS-AWEZNQCLSA-N 0 0 448.567 -0.581 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000603480834 1072293972 /nfs/dbraw/zinc/29/39/72/1072293972.db2.gz MXFOWFGPZXROCS-CQSZACIVSA-N 0 0 448.567 -0.581 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000603480849 1072293963 /nfs/dbraw/zinc/29/39/63/1072293963.db2.gz NZBFUMZSIFSGSB-BLLLJJGKSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000603480850 1072293938 /nfs/dbraw/zinc/29/39/38/1072293938.db2.gz NZBFUMZSIFSGSB-LRDDRELGSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000603480925 1072293959 /nfs/dbraw/zinc/29/39/59/1072293959.db2.gz NYLRCLFAQLFMPD-INIZCTEOSA-N 0 0 446.551 -0.194 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000603480926 1072293949 /nfs/dbraw/zinc/29/39/49/1072293949.db2.gz NYLRCLFAQLFMPD-MRXNPFEDSA-N 0 0 446.551 -0.194 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)C1 ZINC000603481465 1072292594 /nfs/dbraw/zinc/29/25/94/1072292594.db2.gz GEDJNIHXENOCRT-HNNXBMFYSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)C1 ZINC000603481466 1072292524 /nfs/dbraw/zinc/29/25/24/1072292524.db2.gz GEDJNIHXENOCRT-OAHLLOKOSA-N 0 0 447.583 -0.033 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC000603481533 1072293943 /nfs/dbraw/zinc/29/39/43/1072293943.db2.gz ILIRWVXCDNIFLJ-CYBMUJFWSA-N 0 0 434.540 -0.800 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC000603481534 1072293967 /nfs/dbraw/zinc/29/39/67/1072293967.db2.gz ILIRWVXCDNIFLJ-ZDUSSCGKSA-N 0 0 434.540 -0.800 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000603481541 1072294480 /nfs/dbraw/zinc/29/44/80/1072294480.db2.gz ITPBWNCCYPRDTN-GFCCVEGCSA-N 0 0 437.565 -0.077 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC000603481542 1072294569 /nfs/dbraw/zinc/29/45/69/1072294569.db2.gz ITPBWNCCYPRDTN-LBPRGKRZSA-N 0 0 437.565 -0.077 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000603491526 1072293920 /nfs/dbraw/zinc/29/39/20/1072293920.db2.gz INWBIZSKCCPVEV-INIZCTEOSA-N 0 0 446.570 -0.629 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000603491527 1072293987 /nfs/dbraw/zinc/29/39/87/1072293987.db2.gz INWBIZSKCCPVEV-MRXNPFEDSA-N 0 0 446.570 -0.629 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)NC(=O)NCc1cccs1 ZINC000603491532 1072293979 /nfs/dbraw/zinc/29/39/79/1072293979.db2.gz MVENXQKCLZUXHY-UHFFFAOYSA-N 0 0 431.540 -0.732 20 0 IBADRN CS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000603491941 1072295017 /nfs/dbraw/zinc/29/50/17/1072295017.db2.gz DOKHIAOVZVIJHB-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000603492545 1072294970 /nfs/dbraw/zinc/29/49/70/1072294970.db2.gz SOYLOROBNLZAOZ-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN CN(CCS(=O)(=O)NC[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000603492731 1072294930 /nfs/dbraw/zinc/29/49/30/1072294930.db2.gz AETLRXZVHLWWNY-GFCCVEGCSA-N 0 0 428.529 -0.200 20 0 IBADRN CN(CCS(=O)(=O)NC[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000603492732 1072294997 /nfs/dbraw/zinc/29/49/97/1072294997.db2.gz AETLRXZVHLWWNY-LBPRGKRZSA-N 0 0 428.529 -0.200 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000603492744 1072295011 /nfs/dbraw/zinc/29/50/11/1072295011.db2.gz DOGUSZITKMBMTO-UHFFFAOYSA-N 0 0 433.508 -0.644 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000603492756 1072294911 /nfs/dbraw/zinc/29/49/11/1072294911.db2.gz HPABSMTYXKDTBW-UHFFFAOYSA-N 0 0 433.508 -0.644 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000603492787 1072294942 /nfs/dbraw/zinc/29/49/42/1072294942.db2.gz UFCSDJPDNPMHBA-UHFFFAOYSA-N 0 0 439.537 -0.582 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000603492788 1072294935 /nfs/dbraw/zinc/29/49/35/1072294935.db2.gz VSMWZHNGHZAVNF-UHFFFAOYSA-N 0 0 447.535 -0.335 20 0 IBADRN O=C(CCN1CCN(S(=O)(=O)N2CCOCC2)CC1)NC(=O)Nc1ccccc1 ZINC000603494597 1072294379 /nfs/dbraw/zinc/29/43/79/1072294379.db2.gz KJCBTQIXCQXTQM-UHFFFAOYSA-N 0 0 425.511 -0.081 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000603497135 1072294438 /nfs/dbraw/zinc/29/44/38/1072294438.db2.gz GEGCHOXOWCWDLE-KBPBESRZSA-N 0 0 439.556 -0.869 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000603497136 1072294523 /nfs/dbraw/zinc/29/45/23/1072294523.db2.gz GEGCHOXOWCWDLE-KGLIPLIRSA-N 0 0 439.556 -0.869 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000603497137 1072294536 /nfs/dbraw/zinc/29/45/36/1072294536.db2.gz GEGCHOXOWCWDLE-UONOGXRCSA-N 0 0 439.556 -0.869 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000603497138 1072294610 /nfs/dbraw/zinc/29/46/10/1072294610.db2.gz GEGCHOXOWCWDLE-ZIAGYGMSSA-N 0 0 439.556 -0.869 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000603498066 1072294407 /nfs/dbraw/zinc/29/44/07/1072294407.db2.gz IJADJZRVRRAVDW-INIZCTEOSA-N 0 0 432.543 -0.875 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000603498067 1072294920 /nfs/dbraw/zinc/29/49/20/1072294920.db2.gz IJADJZRVRRAVDW-MRXNPFEDSA-N 0 0 432.543 -0.875 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000603498085 1072294899 /nfs/dbraw/zinc/29/48/99/1072294899.db2.gz PWLQZMRGBCNGPN-HNNXBMFYSA-N 0 0 425.511 -0.082 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000603498086 1071445324 /nfs/dbraw/zinc/44/53/24/1071445324.db2.gz PWLQZMRGBCNGPN-OAHLLOKOSA-N 0 0 425.511 -0.082 20 0 IBADRN O=C(CN1CCCN(C(=O)c2ccc(F)cc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000603498114 1072295021 /nfs/dbraw/zinc/29/50/21/1072295021.db2.gz UYWXOVDJPIWEPX-INIZCTEOSA-N 0 0 440.497 -0.014 20 0 IBADRN O=C(CN1CCCN(C(=O)c2ccc(F)cc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000603498115 1072294965 /nfs/dbraw/zinc/29/49/65/1072294965.db2.gz UYWXOVDJPIWEPX-MRXNPFEDSA-N 0 0 440.497 -0.014 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000603500821 1072294949 /nfs/dbraw/zinc/29/49/49/1072294949.db2.gz ATCPLANKMCDIIV-UHFFFAOYSA-N 0 0 447.539 -0.025 20 0 IBADRN CCNC(=O)NC(=O)CN1c2ccccc2N(CC(=O)NC(=O)NCC)S1(=O)=O ZINC000603503388 1072294959 /nfs/dbraw/zinc/29/49/59/1072294959.db2.gz OYBKSDBXMAQQNA-UHFFFAOYSA-N 0 0 426.455 -0.751 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000603507468 1072295480 /nfs/dbraw/zinc/29/54/80/1072295480.db2.gz CEOWCFVJEDETRH-INIZCTEOSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000603507470 1072295459 /nfs/dbraw/zinc/29/54/59/1072295459.db2.gz CEOWCFVJEDETRH-MRXNPFEDSA-N 0 0 431.536 -0.139 20 0 IBADRN COC(=O)C[C@@H](NC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1)C(=O)OC ZINC000603513153 1072295287 /nfs/dbraw/zinc/29/52/87/1072295287.db2.gz AUNFKYMJDHTGII-CYBMUJFWSA-N 0 0 430.483 -0.352 20 0 IBADRN COC(=O)C[C@H](NC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1)C(=O)OC ZINC000603513154 1072295226 /nfs/dbraw/zinc/29/52/26/1072295226.db2.gz AUNFKYMJDHTGII-ZDUSSCGKSA-N 0 0 430.483 -0.352 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCNS(C)(=O)=O)CC2)cc1 ZINC000603514252 1072295485 /nfs/dbraw/zinc/29/54/85/1072295485.db2.gz ASEKAQDJHVQESP-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CSC[C@H](C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000603521792 1072295385 /nfs/dbraw/zinc/29/53/85/1072295385.db2.gz HQHALABMNVHXLI-QLFBSQMISA-N 0 0 446.595 -0.156 20 0 IBADRN CSC[C@H](C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000603521793 1072295467 /nfs/dbraw/zinc/29/54/67/1072295467.db2.gz HQHALABMNVHXLI-RBSFLKMASA-N 0 0 446.595 -0.156 20 0 IBADRN CSC[C@H](C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000603521794 1072295404 /nfs/dbraw/zinc/29/54/04/1072295404.db2.gz HQHALABMNVHXLI-SOUVJXGZSA-N 0 0 446.595 -0.156 20 0 IBADRN CSC[C@@H](C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000603521795 1072295373 /nfs/dbraw/zinc/29/53/73/1072295373.db2.gz HQHALABMNVHXLI-ZNMIVQPWSA-N 0 0 446.595 -0.156 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CSCc2cc(=O)n3ccsc3n2)CC1 ZINC000603521850 1072295451 /nfs/dbraw/zinc/29/54/51/1072295451.db2.gz SDDVSRPYISIFLX-UHFFFAOYSA-N 0 0 431.565 -0.060 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000603524469 1072295350 /nfs/dbraw/zinc/29/53/50/1072295350.db2.gz TXDLUKNVZDECNE-INIZCTEOSA-N 0 0 425.559 -0.288 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000603524470 1072295256 /nfs/dbraw/zinc/29/52/56/1072295256.db2.gz TXDLUKNVZDECNE-MRXNPFEDSA-N 0 0 425.559 -0.288 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000603526898 1072295828 /nfs/dbraw/zinc/29/58/28/1072295828.db2.gz FDPCSYPDJAIHJR-INIZCTEOSA-N 0 0 437.522 -0.518 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000603526906 1072295304 /nfs/dbraw/zinc/29/53/04/1072295304.db2.gz FDPCSYPDJAIHJR-MRXNPFEDSA-N 0 0 437.522 -0.518 20 0 IBADRN Cn1cnc2c1c(=O)n(CCC[S@](=O)Cc1nnnn1Cc1ccccc1)c(=O)n2C ZINC000603541697 1072296280 /nfs/dbraw/zinc/29/62/80/1072296280.db2.gz JRJFAHCCHJGTME-HKBQPEDESA-N 0 0 442.505 -0.193 20 0 IBADRN Cn1cnc2c1c(=O)n(CCC[S@@](=O)Cc1nnnn1Cc1ccccc1)c(=O)n2C ZINC000603541698 1072296296 /nfs/dbraw/zinc/29/62/96/1072296296.db2.gz JRJFAHCCHJGTME-WJOKGBTCSA-N 0 0 442.505 -0.193 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000603545693 1072296239 /nfs/dbraw/zinc/29/62/39/1072296239.db2.gz CIBQWOVVBPMDCX-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000603545703 1072296398 /nfs/dbraw/zinc/29/63/98/1072296398.db2.gz CWRXQCMJPXNOFP-HNNXBMFYSA-N 0 0 446.551 -0.146 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000603545709 1072296430 /nfs/dbraw/zinc/29/64/30/1072296430.db2.gz CWRXQCMJPXNOFP-OAHLLOKOSA-N 0 0 446.551 -0.146 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000603547477 1072296386 /nfs/dbraw/zinc/29/63/86/1072296386.db2.gz VBYUCWMUWWPHSR-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN COCCN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000603549690 1072295895 /nfs/dbraw/zinc/29/58/95/1072295895.db2.gz JJMHWKXRHLOBCE-INIZCTEOSA-N 0 0 446.547 -0.017 20 0 IBADRN COCCN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000603549691 1072295784 /nfs/dbraw/zinc/29/57/84/1072295784.db2.gz JJMHWKXRHLOBCE-MRXNPFEDSA-N 0 0 446.547 -0.017 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)C1 ZINC000603551013 1072295759 /nfs/dbraw/zinc/29/57/59/1072295759.db2.gz DQWJDRXJMNWWNJ-CYBMUJFWSA-N 0 0 429.495 -0.018 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)C1 ZINC000603551014 1072295844 /nfs/dbraw/zinc/29/58/44/1072295844.db2.gz DQWJDRXJMNWWNJ-ZDUSSCGKSA-N 0 0 429.495 -0.018 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000603551059 1072295939 /nfs/dbraw/zinc/29/59/39/1072295939.db2.gz IRXAMSSIZGDYST-AWEZNQCLSA-N 0 0 447.535 -0.334 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000603551060 1072295803 /nfs/dbraw/zinc/29/58/03/1072295803.db2.gz IRXAMSSIZGDYST-CQSZACIVSA-N 0 0 447.535 -0.334 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000603551120 1072295875 /nfs/dbraw/zinc/29/58/75/1072295875.db2.gz LPCARCPQKHWLOR-HNNXBMFYSA-N 0 0 446.551 -0.793 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000603551126 1072295919 /nfs/dbraw/zinc/29/59/19/1072295919.db2.gz LPCARCPQKHWLOR-OAHLLOKOSA-N 0 0 446.551 -0.793 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000603551212 1072295813 /nfs/dbraw/zinc/29/58/13/1072295813.db2.gz SAIXCRCCZTUXIE-INIZCTEOSA-N 0 0 446.551 -0.146 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000603551213 1072295824 /nfs/dbraw/zinc/29/58/24/1072295824.db2.gz SAIXCRCCZTUXIE-MRXNPFEDSA-N 0 0 446.551 -0.146 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)cc(C(=O)OC)c1 ZINC000603555129 1072296439 /nfs/dbraw/zinc/29/64/39/1072296439.db2.gz HEYWQCZGPAZPGG-UHFFFAOYSA-N 0 0 442.494 -0.378 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000603555651 1072296449 /nfs/dbraw/zinc/29/64/49/1072296449.db2.gz CIEWIZSEOWFEMT-UHFFFAOYSA-N 0 0 449.507 -0.628 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000603555688 1072296258 /nfs/dbraw/zinc/29/62/58/1072296258.db2.gz PYHHYPZRPLCKEJ-UHFFFAOYSA-N 0 0 440.569 -0.950 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000603555704 1072296220 /nfs/dbraw/zinc/29/62/20/1072296220.db2.gz SUASPQNGBFKALW-UHFFFAOYSA-N 0 0 440.569 -0.950 20 0 IBADRN CNS(=O)(=O)c1ccc(CNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)s1 ZINC000603556129 1072296362 /nfs/dbraw/zinc/29/63/62/1072296362.db2.gz UBJFWZCIBVBYIY-UHFFFAOYSA-N 0 0 425.535 -0.218 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCc3ccco3)CC2)C[C@H](C)O1 ZINC000603560187 1072296956 /nfs/dbraw/zinc/29/69/56/1072296956.db2.gz AKECUFSOTCDGJH-GASCZTMLSA-N 0 0 443.526 -0.423 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCc3ccco3)CC2)C[C@H](C)O1 ZINC000603560188 1072296846 /nfs/dbraw/zinc/29/68/46/1072296846.db2.gz AKECUFSOTCDGJH-GJZGRUSLSA-N 0 0 443.526 -0.423 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCc3ccco3)CC2)C[C@@H](C)O1 ZINC000603560189 1072296892 /nfs/dbraw/zinc/29/68/92/1072296892.db2.gz AKECUFSOTCDGJH-HUUCEWRRSA-N 0 0 443.526 -0.423 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1)S(C)(=O)=O ZINC000603564391 1072296878 /nfs/dbraw/zinc/29/68/78/1072296878.db2.gz CRVRCICMRRQQSH-UHFFFAOYSA-N 0 0 433.537 -0.584 20 0 IBADRN Cn1c(SCC(=O)NC(=O)NC2CC2)nnc1SCC(=O)NC(=O)NC1CC1 ZINC000603565149 1072296931 /nfs/dbraw/zinc/29/69/31/1072296931.db2.gz LPNFMQFXHBFIQD-UHFFFAOYSA-N 0 0 427.512 -0.024 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)NCCN2C(=O)CNC2=O)cc1 ZINC000603577910 1072296781 /nfs/dbraw/zinc/29/67/81/1072296781.db2.gz MXEZKJMYVWCHGU-UHFFFAOYSA-N 0 0 431.497 -0.430 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)CC1 ZINC000603578345 1072296816 /nfs/dbraw/zinc/29/68/16/1072296816.db2.gz NHSRJXNRYFMGKM-UHFFFAOYSA-N 0 0 432.481 -0.506 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)CC1 ZINC000603579510 1072296966 /nfs/dbraw/zinc/29/69/66/1072296966.db2.gz ITJVWENBCOLEAP-UHFFFAOYSA-N 0 0 426.499 -0.752 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000603581337 1072297483 /nfs/dbraw/zinc/29/74/83/1072297483.db2.gz LBQNRSZIPYVERG-BBWFWOEESA-N 0 0 448.586 -0.338 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000603581338 1072297444 /nfs/dbraw/zinc/29/74/44/1072297444.db2.gz LBQNRSZIPYVERG-IXDOHACOSA-N 0 0 448.586 -0.338 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CN1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000603581339 1072297405 /nfs/dbraw/zinc/29/74/05/1072297405.db2.gz LBQNRSZIPYVERG-ULQDDVLXSA-N 0 0 448.586 -0.338 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000603581340 1072297395 /nfs/dbraw/zinc/29/73/95/1072297395.db2.gz LBQNRSZIPYVERG-ZACQAIPSSA-N 0 0 448.586 -0.338 20 0 IBADRN CC(C)CNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000603581373 1072296828 /nfs/dbraw/zinc/29/68/28/1072296828.db2.gz UCTORLCKFMTEOS-JYJNAYRXSA-N 0 0 433.575 -0.172 20 0 IBADRN CC(C)CNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000603581374 1072296948 /nfs/dbraw/zinc/29/69/48/1072296948.db2.gz UCTORLCKFMTEOS-OAGGEKHMSA-N 0 0 433.575 -0.172 20 0 IBADRN CC(C)CNC(=O)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000603581375 1072296857 /nfs/dbraw/zinc/29/68/57/1072296857.db2.gz UCTORLCKFMTEOS-OWCLPIDISA-N 0 0 433.575 -0.172 20 0 IBADRN CC(C)CNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000603581376 1072296840 /nfs/dbraw/zinc/29/68/40/1072296840.db2.gz UCTORLCKFMTEOS-XHSDSOJGSA-N 0 0 433.575 -0.172 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000603581395 1072296868 /nfs/dbraw/zinc/29/68/68/1072296868.db2.gz YWTWRFWCINNWFO-HOTGVXAUSA-N 0 0 446.570 -0.630 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000603581396 1072297425 /nfs/dbraw/zinc/29/74/25/1072297425.db2.gz YWTWRFWCINNWFO-HZPDHXFCSA-N 0 0 446.570 -0.630 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000603581397 1072297434 /nfs/dbraw/zinc/29/74/34/1072297434.db2.gz YWTWRFWCINNWFO-IYBDPMFKSA-N 0 0 446.570 -0.630 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000603581858 1072297502 /nfs/dbraw/zinc/29/75/02/1072297502.db2.gz BOHGPIQQWRRGPE-UHFFFAOYSA-N 0 0 448.567 -0.195 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000603583574 1072297370 /nfs/dbraw/zinc/29/73/70/1072297370.db2.gz JWBUDCGFDCCUKY-UHFFFAOYSA-N 0 0 427.570 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NC(C)(C)C)c1 ZINC000603583696 1072297336 /nfs/dbraw/zinc/29/73/36/1072297336.db2.gz WGVHBGVTEXSIMO-UHFFFAOYSA-N 0 0 427.570 -0.067 20 0 IBADRN CS(=O)(=O)NC1(CNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CCCCC1 ZINC000603584777 1072297510 /nfs/dbraw/zinc/29/75/10/1072297510.db2.gz PPPNJFHDXNTWPK-UHFFFAOYSA-N 0 0 425.554 -0.136 20 0 IBADRN CS(=O)(=O)NC1(CNS(=O)(=O)c2cccc(S(N)(=O)=O)c2)CCCCC1 ZINC000603584789 1072297356 /nfs/dbraw/zinc/29/73/56/1072297356.db2.gz QOTLGWGWMVCMRY-UHFFFAOYSA-N 0 0 425.554 -0.136 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000603596343 1072296746 /nfs/dbraw/zinc/29/67/46/1072296746.db2.gz PHQPDLZHNZUGSX-INIZCTEOSA-N 0 0 438.554 -0.157 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000603596346 1072296800 /nfs/dbraw/zinc/29/68/00/1072296800.db2.gz PHQPDLZHNZUGSX-MRXNPFEDSA-N 0 0 438.554 -0.157 20 0 IBADRN C[C@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1NS(C)(=O)=O ZINC000603596850 1072297886 /nfs/dbraw/zinc/29/78/86/1072297886.db2.gz JRXTXFPSDZTRTD-AWEZNQCLSA-N 0 0 447.583 -0.005 20 0 IBADRN C[C@@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1NS(C)(=O)=O ZINC000603596851 1072297797 /nfs/dbraw/zinc/29/77/97/1072297797.db2.gz JRXTXFPSDZTRTD-CQSZACIVSA-N 0 0 447.583 -0.005 20 0 IBADRN CC(=O)NCCN(CC(=O)NC(=O)NC1CCCC1)CC(=O)NC(=O)NC1CCCC1 ZINC000603597354 1072297930 /nfs/dbraw/zinc/29/79/30/1072297930.db2.gz LNJNCYQLIWDQBO-UHFFFAOYSA-N 0 0 438.529 -0.039 20 0 IBADRN CN(C)C(=O)CN(CC(=O)NC(=O)NC1CCCC1)CC(=O)NC(=O)NC1CCCC1 ZINC000603597363 1072297977 /nfs/dbraw/zinc/29/79/77/1072297977.db2.gz RMHKJTRDSVVHJY-UHFFFAOYSA-N 0 0 438.529 -0.087 20 0 IBADRN CS(=O)(=O)N(CC(=O)NC(=O)NC1CCCC1)CC(=O)NC(=O)NC1CCCC1 ZINC000603598838 1071445796 /nfs/dbraw/zinc/44/57/96/1071445796.db2.gz XNQVSEWJYQEICK-UHFFFAOYSA-N 0 0 431.515 -0.215 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000603603341 1072297382 /nfs/dbraw/zinc/29/73/82/1072297382.db2.gz FQBMVBMKEDHYAS-HNNXBMFYSA-N 0 0 448.567 -0.477 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC000603603343 1072297922 /nfs/dbraw/zinc/29/79/22/1072297922.db2.gz FQBMVBMKEDHYAS-OAHLLOKOSA-N 0 0 448.567 -0.477 20 0 IBADRN O=C(NCc1cc2c([nH]c1=O)CCC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000603607944 1072297967 /nfs/dbraw/zinc/29/79/67/1072297967.db2.gz NAISZZXQPFZUNV-UHFFFAOYSA-N 0 0 425.511 -0.320 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3cc4c([nH]c3=O)CCC4)CC2)CC1 ZINC000603608470 1072298003 /nfs/dbraw/zinc/29/80/03/1072298003.db2.gz PMQKXUURYONAPE-UHFFFAOYSA-N 0 0 438.554 -0.405 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000603616776 1072299006 /nfs/dbraw/zinc/29/90/06/1072299006.db2.gz YQKGXQKTYWGXKB-AWEZNQCLSA-N 0 0 447.535 -0.415 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000603616777 1072298887 /nfs/dbraw/zinc/29/88/87/1072298887.db2.gz YQKGXQKTYWGXKB-CQSZACIVSA-N 0 0 447.535 -0.415 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000603618320 1072299036 /nfs/dbraw/zinc/29/90/36/1072299036.db2.gz YLGOYARGHUDWOT-UHFFFAOYSA-N 0 0 435.524 -0.511 20 0 IBADRN COC[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000603619148 1072297832 /nfs/dbraw/zinc/29/78/32/1072297832.db2.gz HEJPUJTWOWATNU-HNNXBMFYSA-N 0 0 439.538 -0.013 20 0 IBADRN COC[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000603619149 1072297985 /nfs/dbraw/zinc/29/79/85/1072297985.db2.gz HEJPUJTWOWATNU-OAHLLOKOSA-N 0 0 439.538 -0.013 20 0 IBADRN C[C@]1(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCOC1 ZINC000603622456 1072297993 /nfs/dbraw/zinc/29/79/93/1072297993.db2.gz KGONHWMXLQQTMV-GOSISDBHSA-N 0 0 425.511 -0.356 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCOC1 ZINC000603622457 1072297816 /nfs/dbraw/zinc/29/78/16/1072297816.db2.gz KGONHWMXLQQTMV-SFHVURJKSA-N 0 0 425.511 -0.356 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCN3C(=O)CNC3=O)cc2)CC1 ZINC000603622804 1072297941 /nfs/dbraw/zinc/29/79/41/1072297941.db2.gz PVPFORMPJGONPN-UHFFFAOYSA-N 0 0 430.465 -0.929 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)Cn3cccnc3=O)CC2)c1 ZINC000603625041 1072297843 /nfs/dbraw/zinc/29/78/43/1072297843.db2.gz VTUBHXDCTMRADX-UHFFFAOYSA-N 0 0 426.476 -0.376 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000603625110 1072298518 /nfs/dbraw/zinc/29/85/18/1072298518.db2.gz FUAZDPKIXYYNPE-CYBMUJFWSA-N 0 0 437.482 -0.542 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OC)c(-n3nnnc3C)c2)C1 ZINC000603625111 1072298294 /nfs/dbraw/zinc/29/82/94/1072298294.db2.gz FUAZDPKIXYYNPE-ZDUSSCGKSA-N 0 0 437.482 -0.542 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2c(C)n(C)n(-c3ccccc3)c2=O)C1 ZINC000603625130 1072298353 /nfs/dbraw/zinc/29/83/53/1072298353.db2.gz HXGSDDHORFWRRC-HNNXBMFYSA-N 0 0 435.506 -0.037 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2c(C)n(C)n(-c3ccccc3)c2=O)C1 ZINC000603625131 1072298434 /nfs/dbraw/zinc/29/84/34/1072298434.db2.gz HXGSDDHORFWRRC-OAHLLOKOSA-N 0 0 435.506 -0.037 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1 ZINC000603625134 1072298322 /nfs/dbraw/zinc/29/83/22/1072298322.db2.gz IAUAUWGVQGNJDN-AWEZNQCLSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1 ZINC000603625135 1072298329 /nfs/dbraw/zinc/29/83/29/1072298329.db2.gz IAUAUWGVQGNJDN-CQSZACIVSA-N 0 0 438.506 -0.362 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000603625148 1072298313 /nfs/dbraw/zinc/29/83/13/1072298313.db2.gz JHQATSXWWZYXGX-GFCCVEGCSA-N 0 0 425.446 -0.411 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C)c2)C1 ZINC000603625149 1072298304 /nfs/dbraw/zinc/29/83/04/1072298304.db2.gz JHQATSXWWZYXGX-LBPRGKRZSA-N 0 0 425.446 -0.411 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000603625160 1072298275 /nfs/dbraw/zinc/29/82/75/1072298275.db2.gz KFPYMKYDVFMCST-HNNXBMFYSA-N 0 0 426.495 -0.368 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)C1 ZINC000603625161 1072298421 /nfs/dbraw/zinc/29/84/21/1072298421.db2.gz KFPYMKYDVFMCST-OAHLLOKOSA-N 0 0 426.495 -0.368 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)C1 ZINC000603625630 1072298475 /nfs/dbraw/zinc/29/84/75/1072298475.db2.gz QBWQSXVCVWOBDE-INIZCTEOSA-N 0 0 433.490 -0.230 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)C1 ZINC000603625631 1072298265 /nfs/dbraw/zinc/29/82/65/1072298265.db2.gz QBWQSXVCVWOBDE-MRXNPFEDSA-N 0 0 433.490 -0.230 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)c1 ZINC000603625682 1072298448 /nfs/dbraw/zinc/29/84/48/1072298448.db2.gz XRQMHOSEJVINCX-HNNXBMFYSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000603625683 1072298286 /nfs/dbraw/zinc/29/82/86/1072298286.db2.gz XRQMHOSEJVINCX-OAHLLOKOSA-N 0 0 426.495 -0.320 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000603625908 1072298368 /nfs/dbraw/zinc/29/83/68/1072298368.db2.gz IAUIRJMKQDEINW-GDBMZVCRSA-N 0 0 439.490 -0.352 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000603625909 1072298338 /nfs/dbraw/zinc/29/83/38/1072298338.db2.gz IAUIRJMKQDEINW-GOEBONIOSA-N 0 0 439.490 -0.352 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000603625910 1072298462 /nfs/dbraw/zinc/29/84/62/1072298462.db2.gz IAUIRJMKQDEINW-HOCLYGCPSA-N 0 0 439.490 -0.352 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000603625911 1072298407 /nfs/dbraw/zinc/29/84/07/1072298407.db2.gz IAUIRJMKQDEINW-ZBFHGGJFSA-N 0 0 439.490 -0.352 20 0 IBADRN COc1ccc(-c2nc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)n[nH]2)cc1OC ZINC000603628513 1072298490 /nfs/dbraw/zinc/29/84/90/1072298490.db2.gz OZBSRHVQMWEBIL-UHFFFAOYSA-N 0 0 440.420 -0.125 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000603630941 1072298968 /nfs/dbraw/zinc/29/89/68/1072298968.db2.gz AOZIUSFADRHCBG-UHFFFAOYSA-N 0 0 439.490 -0.694 20 0 IBADRN CN(CCCNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1)S(C)(=O)=O ZINC000603631970 1072297909 /nfs/dbraw/zinc/29/79/09/1072297909.db2.gz DLRFJLXTHGBXMK-UHFFFAOYSA-N 0 0 445.560 -0.353 20 0 IBADRN CCCS(=O)(=O)NC1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000603632301 1072298979 /nfs/dbraw/zinc/29/89/79/1072298979.db2.gz VUUOMNFOZFKSMF-UHFFFAOYSA-N 0 0 425.554 -0.184 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000603632772 1072298848 /nfs/dbraw/zinc/29/88/48/1072298848.db2.gz XYAHUNUPYCPZDP-UHFFFAOYSA-N 0 0 447.535 -0.502 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN3CCCNC3=O)CC2)c1 ZINC000603634164 1072298952 /nfs/dbraw/zinc/29/89/52/1072298952.db2.gz JGHRSTQPHDZJRL-UHFFFAOYSA-N 0 0 430.508 -0.217 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000603635217 1072298861 /nfs/dbraw/zinc/29/88/61/1072298861.db2.gz SJSQBLXMFKLNKK-INIZCTEOSA-N 0 0 449.620 -0.067 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000603635218 1072299017 /nfs/dbraw/zinc/29/90/17/1072299017.db2.gz SJSQBLXMFKLNKK-MRXNPFEDSA-N 0 0 449.620 -0.067 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000603640003 1072299664 /nfs/dbraw/zinc/29/96/64/1072299664.db2.gz JALUTPFWRQESSI-NHYWBVRUSA-N 0 0 440.478 -0.798 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000603640004 1072299536 /nfs/dbraw/zinc/29/95/36/1072299536.db2.gz JALUTPFWRQESSI-XHDPSFHLSA-N 0 0 440.478 -0.798 20 0 IBADRN CCS(=O)(=O)N(C)CCCNS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000603640746 1072299470 /nfs/dbraw/zinc/29/94/70/1072299470.db2.gz PCDYJFZNCGRVDH-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN COC(=O)Cc1csc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000603647855 1072299026 /nfs/dbraw/zinc/29/90/26/1072299026.db2.gz PHNFXEUPSYCAJI-UHFFFAOYSA-N 0 0 425.467 -0.607 20 0 IBADRN COC(=O)Cc1csc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000603647945 1072298838 /nfs/dbraw/zinc/29/88/38/1072298838.db2.gz OBLZVHJWMWXTQT-UHFFFAOYSA-N 0 0 433.512 -0.415 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000603652847 1072299649 /nfs/dbraw/zinc/29/96/49/1072299649.db2.gz LNQQYTKOJZLCOE-UHFFFAOYSA-N 0 0 427.483 -0.455 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000603653214 1072299483 /nfs/dbraw/zinc/29/94/83/1072299483.db2.gz KPESSHHJZQINSN-UHFFFAOYSA-N 0 0 441.510 -0.065 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000603653310 1072299585 /nfs/dbraw/zinc/29/95/85/1072299585.db2.gz PNSKIKLSBDZCNI-UHFFFAOYSA-N 0 0 447.492 -0.402 20 0 IBADRN COC(=O)COc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000603660651 1072299614 /nfs/dbraw/zinc/29/96/14/1072299614.db2.gz IWFHHMJXIQTRBB-UHFFFAOYSA-N 0 0 434.449 -0.227 20 0 IBADRN COC(=O)COc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000603661176 1072299516 /nfs/dbraw/zinc/29/95/16/1072299516.db2.gz OBFOIOOTLQEGCI-UHFFFAOYSA-N 0 0 442.494 -0.035 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)nc1 ZINC000603670360 1072299388 /nfs/dbraw/zinc/29/93/88/1072299388.db2.gz AHUBKMWAAQRFDR-UHFFFAOYSA-N 0 0 436.494 -0.381 20 0 IBADRN COC(=O)c1c[nH]c(=O)c(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000603675359 1072299361 /nfs/dbraw/zinc/29/93/61/1072299361.db2.gz XMXDBBQMQUVEOZ-UHFFFAOYSA-N 0 0 434.430 -0.053 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1 ZINC000603683709 1072300145 /nfs/dbraw/zinc/30/01/45/1072300145.db2.gz LPROXSJWICHJOI-INIZCTEOSA-N 0 0 435.477 -0.356 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000603683810 1072299970 /nfs/dbraw/zinc/29/99/70/1072299970.db2.gz YCWIPCJBGBKQSV-GOSISDBHSA-N 0 0 428.489 -0.464 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000603683811 1072300022 /nfs/dbraw/zinc/30/00/22/1072300022.db2.gz YCWIPCJBGBKQSV-SFHVURJKSA-N 0 0 428.489 -0.464 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000603688061 1071445846 /nfs/dbraw/zinc/44/58/46/1071445846.db2.gz USSCRZQLCQLLRR-UHFFFAOYSA-N 0 0 441.510 -0.526 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nc1C ZINC000603689027 1072300165 /nfs/dbraw/zinc/30/01/65/1072300165.db2.gz OODQDPIRCZCBCR-UHFFFAOYSA-N 0 0 439.538 -0.183 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)NCC(C)(C)N2CCOCC2)cc1 ZINC000603700489 1072300249 /nfs/dbraw/zinc/30/02/49/1072300249.db2.gz WXSXTYVWADKVLU-UHFFFAOYSA-N 0 0 434.493 -0.316 20 0 IBADRN CNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)OC)C2)cc1 ZINC000603701024 1072300155 /nfs/dbraw/zinc/30/01/55/1072300155.db2.gz WWLINSRFZJSCDI-GFCCVEGCSA-N 0 0 440.478 -0.939 20 0 IBADRN CNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)OC)C2)cc1 ZINC000603701025 1072300004 /nfs/dbraw/zinc/30/00/04/1072300004.db2.gz WWLINSRFZJSCDI-LBPRGKRZSA-N 0 0 440.478 -0.939 20 0 IBADRN COC(=O)c1cc(CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)ccc1OC ZINC000603702282 1072300047 /nfs/dbraw/zinc/30/00/47/1072300047.db2.gz VUKVNUGASIXVAM-UHFFFAOYSA-N 0 0 442.428 -0.048 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H](C)CO)cc2)C1 ZINC000603712541 1072300096 /nfs/dbraw/zinc/30/00/96/1072300096.db2.gz PGPKSTXYKQFICO-CHWSQXEVSA-N 0 0 427.479 -0.304 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H](C)CO)cc2)C1 ZINC000603712542 1072300242 /nfs/dbraw/zinc/30/02/42/1072300242.db2.gz PGPKSTXYKQFICO-OLZOCXBDSA-N 0 0 427.479 -0.304 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000603712614 1072300259 /nfs/dbraw/zinc/30/02/59/1072300259.db2.gz DTHLZLGGAQFTDH-UHFFFAOYSA-N 0 0 434.449 -0.717 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000603715113 1072300783 /nfs/dbraw/zinc/30/07/83/1072300783.db2.gz PSAWESHYELUVJX-UHFFFAOYSA-N 0 0 427.417 -0.531 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000603720296 1072300752 /nfs/dbraw/zinc/30/07/52/1072300752.db2.gz WUNCUIRFSKPHTJ-UHFFFAOYSA-N 0 0 442.494 -0.638 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000603720703 1072300798 /nfs/dbraw/zinc/30/07/98/1072300798.db2.gz CLVSNXMGHBAULH-AWEZNQCLSA-N 0 0 425.463 -0.389 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000603720704 1072300764 /nfs/dbraw/zinc/30/07/64/1072300764.db2.gz CLVSNXMGHBAULH-CQSZACIVSA-N 0 0 425.463 -0.389 20 0 IBADRN COC(=O)c1ccc(Cl)c(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000603720744 1072300815 /nfs/dbraw/zinc/30/08/15/1072300815.db2.gz HYYAZOJISBZUSI-LLVKDONJSA-N 0 0 445.881 -0.125 20 0 IBADRN COC(=O)c1ccc(Cl)c(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000603720745 1072300831 /nfs/dbraw/zinc/30/08/31/1072300831.db2.gz HYYAZOJISBZUSI-NSHDSACASA-N 0 0 445.881 -0.125 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000603721079 1071445679 /nfs/dbraw/zinc/44/56/79/1071445679.db2.gz QPKHBHMIEPPZAV-GFCCVEGCSA-N 0 0 425.463 -0.470 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000603721081 1072300824 /nfs/dbraw/zinc/30/08/24/1072300824.db2.gz QPKHBHMIEPPZAV-LBPRGKRZSA-N 0 0 425.463 -0.470 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H](C)S(C)(=O)=O)CC1 ZINC000603741439 1072301284 /nfs/dbraw/zinc/30/12/84/1072301284.db2.gz AGMXBHMLCKLFNI-GFCCVEGCSA-N 0 0 436.508 -0.051 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H](C)S(C)(=O)=O)CC1 ZINC000603741440 1072301259 /nfs/dbraw/zinc/30/12/59/1072301259.db2.gz AGMXBHMLCKLFNI-LBPRGKRZSA-N 0 0 436.508 -0.051 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)NCCNC(C)=O)CC1 ZINC000603745814 1071445870 /nfs/dbraw/zinc/44/58/70/1071445870.db2.gz LCPPMUHXSOIFDN-UHFFFAOYSA-N 0 0 430.483 -0.165 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)NCC(=O)N(C)C)CC1 ZINC000603747693 1072301794 /nfs/dbraw/zinc/30/17/94/1072301794.db2.gz WRIWRBISIBWDQM-UHFFFAOYSA-N 0 0 430.483 -0.213 20 0 IBADRN CCNC(=O)CNC(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC000603747853 1071445707 /nfs/dbraw/zinc/44/57/07/1071445707.db2.gz SHEYQYAGNXVANK-UHFFFAOYSA-N 0 0 430.483 -0.165 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccc3c2OCO3)c(=O)n1CC(=O)N1CCOCC1 ZINC000603748479 1072301196 /nfs/dbraw/zinc/30/11/96/1072301196.db2.gz WCOHPLOVMQCEQZ-UHFFFAOYSA-N 0 0 446.481 -0.330 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3C(=O)CCC3=O)CC2)c1 ZINC000603752934 1072302376 /nfs/dbraw/zinc/30/23/76/1072302376.db2.gz ZONBXPUOEUJPJT-LLVKDONJSA-N 0 0 443.503 -0.095 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3C(=O)CCC3=O)CC2)c1 ZINC000603752935 1072302322 /nfs/dbraw/zinc/30/23/22/1072302322.db2.gz ZONBXPUOEUJPJT-NSHDSACASA-N 0 0 443.503 -0.095 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000603761696 1072301705 /nfs/dbraw/zinc/30/17/05/1072301705.db2.gz PRGLHXDWETZHFF-UHFFFAOYSA-N 0 0 439.428 -0.284 20 0 IBADRN CCn1cc(CN(C)C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)cn1 ZINC000603779595 1072302413 /nfs/dbraw/zinc/30/24/13/1072302413.db2.gz VZPOYPZZBZCKEV-UHFFFAOYSA-N 0 0 449.537 -0.023 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(NC(C)=O)cc2)c(=O)n1CC(=O)NCCOC ZINC000603783820 1072302394 /nfs/dbraw/zinc/30/23/94/1072302394.db2.gz JTPSQYSPCMLZKF-UHFFFAOYSA-N 0 0 447.513 -0.197 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(OC)cc2)c(=O)n1CC(=O)N1CCOCC1 ZINC000603783879 1072302186 /nfs/dbraw/zinc/30/21/86/1072302186.db2.gz OXRZMMOHBNLFBO-UHFFFAOYSA-N 0 0 432.498 -0.050 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(OC)c(O)c2)c(=O)n1CC(=O)N1CCOCC1 ZINC000603783933 1071446470 /nfs/dbraw/zinc/44/64/70/1071446470.db2.gz UEWPNNQTYARAEJ-UHFFFAOYSA-N 0 0 448.497 -0.345 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc3c(c2)OCCO3)c(=O)n1CC(=O)NCCOC ZINC000603783964 1072302271 /nfs/dbraw/zinc/30/22/71/1072302271.db2.gz WOZBJLRDKXWDKB-UHFFFAOYSA-N 0 0 448.497 -0.384 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(OC)c(O)c2)c(=O)n1CC(=O)NCCOC ZINC000603784599 1072302310 /nfs/dbraw/zinc/30/23/10/1072302310.db2.gz BOPHVEFAEPATJR-UHFFFAOYSA-N 0 0 436.486 -0.441 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000603810292 1071446621 /nfs/dbraw/zinc/44/66/21/1071446621.db2.gz XGSUTKADRPRTEU-CYBMUJFWSA-N 0 0 447.535 -0.204 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000603810293 1072303491 /nfs/dbraw/zinc/30/34/91/1072303491.db2.gz XGSUTKADRPRTEU-ZDUSSCGKSA-N 0 0 447.535 -0.204 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)[C@@H]1CCCCN1S(C)(=O)=O ZINC000603812053 1072303542 /nfs/dbraw/zinc/30/35/42/1072303542.db2.gz HNVQEUMHIBFRPY-HNNXBMFYSA-N 0 0 433.552 -0.270 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)[C@H]1CCCCN1S(C)(=O)=O ZINC000603812054 1072303321 /nfs/dbraw/zinc/30/33/21/1072303321.db2.gz HNVQEUMHIBFRPY-OAHLLOKOSA-N 0 0 433.552 -0.270 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000603817005 1072303875 /nfs/dbraw/zinc/30/38/75/1072303875.db2.gz OKTUDZRJQSUNPR-CHWSQXEVSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000603817006 1072303829 /nfs/dbraw/zinc/30/38/29/1072303829.db2.gz OKTUDZRJQSUNPR-OLZOCXBDSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000603817007 1072303941 /nfs/dbraw/zinc/30/39/41/1072303941.db2.gz OKTUDZRJQSUNPR-QWHCGFSZSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000603817008 1072304025 /nfs/dbraw/zinc/30/40/25/1072304025.db2.gz OKTUDZRJQSUNPR-STQMWFEESA-N 0 0 444.535 -0.773 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CSCC(=O)NCCO ZINC000603820120 1072304013 /nfs/dbraw/zinc/30/40/13/1072304013.db2.gz PXJISTLPFWALFB-UHFFFAOYSA-N 0 0 447.535 -0.504 20 0 IBADRN CCN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)Nc1cccc(OC)c1 ZINC000603821617 1072303897 /nfs/dbraw/zinc/30/38/97/1072303897.db2.gz KPNMSPHQEPYXTN-AWEZNQCLSA-N 0 0 426.495 -0.032 20 0 IBADRN CCN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)Nc1cccc(OC)c1 ZINC000603821618 1072303949 /nfs/dbraw/zinc/30/39/49/1072303949.db2.gz KPNMSPHQEPYXTN-CQSZACIVSA-N 0 0 426.495 -0.032 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccccc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000603821674 1072303976 /nfs/dbraw/zinc/30/39/76/1072303976.db2.gz WOZZPDNEKNRLFW-AWEZNQCLSA-N 0 0 444.535 -0.994 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccccc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000603821675 1072304037 /nfs/dbraw/zinc/30/40/37/1072304037.db2.gz WOZZPDNEKNRLFW-CQSZACIVSA-N 0 0 444.535 -0.994 20 0 IBADRN Cc1ccc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC000603821862 1072304044 /nfs/dbraw/zinc/30/40/44/1072304044.db2.gz WIHCRRJKNXJWEI-CYBMUJFWSA-N 0 0 432.524 -0.330 20 0 IBADRN Cc1ccc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC000603821863 1072303926 /nfs/dbraw/zinc/30/39/26/1072303926.db2.gz WIHCRRJKNXJWEI-ZDUSSCGKSA-N 0 0 432.524 -0.330 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c(OC)c1 ZINC000603840870 1072304875 /nfs/dbraw/zinc/30/48/75/1072304875.db2.gz FRZKKGODXZNEAK-KRWDZBQOSA-N 0 0 449.504 -0.012 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c(OC)c1 ZINC000603840871 1072304947 /nfs/dbraw/zinc/30/49/47/1072304947.db2.gz FRZKKGODXZNEAK-QGZVFWFLSA-N 0 0 449.504 -0.012 20 0 IBADRN COC(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)nn1 ZINC000603842171 1072304510 /nfs/dbraw/zinc/30/45/10/1072304510.db2.gz PCAJPKMPSJKIMZ-UHFFFAOYSA-N 0 0 441.491 -0.579 20 0 IBADRN C[C@@H](C(=O)NC(=O)Nc1ccccc1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000603846742 1072304399 /nfs/dbraw/zinc/30/43/99/1072304399.db2.gz AIRJHOLTPPMRAC-INIZCTEOSA-N 0 0 438.554 -0.167 20 0 IBADRN C[C@H](C(=O)NC(=O)Nc1ccccc1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000603846747 1072304443 /nfs/dbraw/zinc/30/44/43/1072304443.db2.gz AIRJHOLTPPMRAC-MRXNPFEDSA-N 0 0 438.554 -0.167 20 0 IBADRN COC(=O)c1sccc1NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000603847323 1072304330 /nfs/dbraw/zinc/30/43/30/1072304330.db2.gz RKUHPPIZMNAWMF-UHFFFAOYSA-N 0 0 445.567 -0.417 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NC(=O)NCc3cccs3)CC2)CC1 ZINC000603847418 1072304568 /nfs/dbraw/zinc/30/45/68/1072304568.db2.gz RZXZAIJLOURWHP-UHFFFAOYSA-N 0 0 444.583 -0.816 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000603852405 1072304395 /nfs/dbraw/zinc/30/43/95/1072304395.db2.gz XVZGGZNEZOGDSI-IBGZPJMESA-N 0 0 442.516 -0.121 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000603852406 1072304355 /nfs/dbraw/zinc/30/43/55/1072304355.db2.gz XVZGGZNEZOGDSI-LJQANCHMSA-N 0 0 442.516 -0.121 20 0 IBADRN Cc1ccc(C(=O)N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000603856474 1072304559 /nfs/dbraw/zinc/30/45/59/1072304559.db2.gz WUTMLVSZJUKUBS-HNNXBMFYSA-N 0 0 440.497 -0.095 20 0 IBADRN Cc1ccc(C(=O)N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000603856475 1072305048 /nfs/dbraw/zinc/30/50/48/1072305048.db2.gz WUTMLVSZJUKUBS-OAHLLOKOSA-N 0 0 440.497 -0.095 20 0 IBADRN Cc1oc(C)c(S(N)(=O)=O)c1C(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000603872905 1072305468 /nfs/dbraw/zinc/30/54/68/1072305468.db2.gz PDWGNLYILFUDOY-UHFFFAOYSA-N 0 0 428.496 -0.208 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)cc1 ZINC000603872966 1072305502 /nfs/dbraw/zinc/30/55/02/1072305502.db2.gz UXVINYTXEAMOGT-UHFFFAOYSA-N 0 0 438.535 -0.099 20 0 IBADRN CSCC[C@H](NC(=O)c1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000603873364 1072305542 /nfs/dbraw/zinc/30/55/42/1072305542.db2.gz YTROCNZKKKFCST-INIZCTEOSA-N 0 0 431.522 -0.104 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000603873365 1072305592 /nfs/dbraw/zinc/30/55/92/1072305592.db2.gz YTROCNZKKKFCST-MRXNPFEDSA-N 0 0 431.522 -0.104 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000603881907 1071447335 /nfs/dbraw/zinc/44/73/35/1071447335.db2.gz GVJGBSDWDJFNBS-UHFFFAOYSA-N 0 0 445.476 -0.081 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000603881923 1071447311 /nfs/dbraw/zinc/44/73/11/1071447311.db2.gz KBNPDCLJYLQXLX-UHFFFAOYSA-N 0 0 431.449 -0.471 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCc3c(C)[nH][nH]c3=O)c2)CC1 ZINC000603889209 1072305570 /nfs/dbraw/zinc/30/55/70/1072305570.db2.gz JVZYWTAWGJFEFN-KRWDZBQOSA-N 0 0 435.506 -0.219 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCc3c(C)[nH][nH]c3=O)c2)CC1 ZINC000603889210 1072305517 /nfs/dbraw/zinc/30/55/17/1072305517.db2.gz JVZYWTAWGJFEFN-QGZVFWFLSA-N 0 0 435.506 -0.219 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCCC2)CC1)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000603889838 1072305527 /nfs/dbraw/zinc/30/55/27/1072305527.db2.gz WKFILKWXLJKOMS-UHFFFAOYSA-N 0 0 445.476 -0.128 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCCC2)CC1)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000603890310 1072305979 /nfs/dbraw/zinc/30/59/79/1072305979.db2.gz YVJWZSWJPMWWAH-UHFFFAOYSA-N 0 0 431.449 -0.170 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CCS(C)(=O)=O)CC1 ZINC000603890772 1072305880 /nfs/dbraw/zinc/30/58/80/1072305880.db2.gz RKQRTTMSKNTRRN-UHFFFAOYSA-N 0 0 436.508 -0.049 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000603891914 1072305971 /nfs/dbraw/zinc/30/59/71/1072305971.db2.gz FHBISRZLNXEGDR-AWEZNQCLSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000603891920 1072306018 /nfs/dbraw/zinc/30/60/18/1072306018.db2.gz FHBISRZLNXEGDR-CQSZACIVSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC[C@H]3CS(N)(=O)=O)CC2)c1 ZINC000603892208 1072305914 /nfs/dbraw/zinc/30/59/14/1072305914.db2.gz JREDHVGFTWPIDC-KRWDZBQOSA-N 0 0 444.579 -0.274 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC[C@@H]3CS(N)(=O)=O)CC2)c1 ZINC000603892209 1072306042 /nfs/dbraw/zinc/30/60/42/1072306042.db2.gz JREDHVGFTWPIDC-QGZVFWFLSA-N 0 0 444.579 -0.274 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000603892313 1072305935 /nfs/dbraw/zinc/30/59/35/1072305935.db2.gz ODICCQINZBVRJF-FZMZJTMJSA-N 0 0 432.524 -0.409 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000603892319 1072305951 /nfs/dbraw/zinc/30/59/51/1072305951.db2.gz ODICCQINZBVRJF-SMDDNHRTSA-N 0 0 432.524 -0.409 20 0 IBADRN Cc1ccc(C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000603892420 1072305999 /nfs/dbraw/zinc/30/59/99/1072305999.db2.gz RXVNDQZHQXIBEE-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1ccc(C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000603892421 1072305905 /nfs/dbraw/zinc/30/59/05/1072305905.db2.gz RXVNDQZHQXIBEE-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000603892522 1072305868 /nfs/dbraw/zinc/30/58/68/1072305868.db2.gz VLJMZLMYXPNICU-GFCCVEGCSA-N 0 0 437.565 -0.409 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000603892523 1072306008 /nfs/dbraw/zinc/30/60/08/1072306008.db2.gz VLJMZLMYXPNICU-LBPRGKRZSA-N 0 0 437.565 -0.409 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000603893765 1072306321 /nfs/dbraw/zinc/30/63/21/1072306321.db2.gz ZHEIMYNLTZZUFL-QWHCGFSZSA-N 0 0 447.535 -0.206 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000603893766 1072306418 /nfs/dbraw/zinc/30/64/18/1072306418.db2.gz ZHEIMYNLTZZUFL-STQMWFEESA-N 0 0 447.535 -0.206 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)Nc2cnn(CC(N)=O)c2)o1 ZINC000603901595 1072306583 /nfs/dbraw/zinc/30/65/83/1072306583.db2.gz LFVKCMNCUHIFRU-GFCCVEGCSA-N 0 0 439.450 -0.070 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)Nc2cnn(CC(N)=O)c2)o1 ZINC000603901596 1072306307 /nfs/dbraw/zinc/30/63/07/1072306307.db2.gz LFVKCMNCUHIFRU-LBPRGKRZSA-N 0 0 439.450 -0.070 20 0 IBADRN CCOC(=O)c1c(C)oc2ncn(CC(=O)NCCCN(C)S(C)(=O)=O)c(=O)c21 ZINC000603907945 1072306480 /nfs/dbraw/zinc/30/64/80/1072306480.db2.gz DAEJTNOWTRPLMG-UHFFFAOYSA-N 0 0 428.467 -0.128 20 0 IBADRN C[C@H]1CN(C(=O)CCCN2C(=O)CCC2=O)CCN1C(=O)CCCN1C(=O)CCC1=O ZINC000603911092 1072306525 /nfs/dbraw/zinc/30/65/25/1072306525.db2.gz FFZHQYZIVFDUBQ-HNNXBMFYSA-N 0 0 434.493 -0.096 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000603912477 1072306499 /nfs/dbraw/zinc/30/64/99/1072306499.db2.gz ABCICQSNUASJLZ-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000603912591 1072306516 /nfs/dbraw/zinc/30/65/16/1072306516.db2.gz JHSJDZXLSMWCJG-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2ccc(S(C)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000603915759 1072306443 /nfs/dbraw/zinc/30/64/43/1072306443.db2.gz DQFXZFQFTGUCBK-UHFFFAOYSA-N 0 0 425.554 -0.578 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC[C@](C)(CC(C)C)NC(=O)C(=O)Nc2cc(C)on2)no1 ZINC000603918067 1072306284 /nfs/dbraw/zinc/30/62/84/1072306284.db2.gz LNBOOUWWNQZASC-IBGZPJMESA-N 0 0 434.453 -0.262 20 0 IBADRN Cc1cc(NC(=O)C(=O)NC[C@@](C)(CC(C)C)NC(=O)C(=O)Nc2cc(C)on2)no1 ZINC000603918071 1072307086 /nfs/dbraw/zinc/30/70/86/1072307086.db2.gz LNBOOUWWNQZASC-LJQANCHMSA-N 0 0 434.453 -0.262 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000603927310 1072306589 /nfs/dbraw/zinc/30/65/89/1072306589.db2.gz FAXMLJQCQUAVJF-UHFFFAOYSA-N 0 0 440.522 -0.626 20 0 IBADRN O=C(CSCc1cc(=O)n2ccsc2n1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000603941026 1072307071 /nfs/dbraw/zinc/30/70/71/1072307071.db2.gz LKUFDNPTJHGEHP-UHFFFAOYSA-N 0 0 434.507 -0.653 20 0 IBADRN CSC[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000603941125 1071448039 /nfs/dbraw/zinc/44/80/39/1071448039.db2.gz OKRWNWMBXDYJAK-QLFBSQMISA-N 0 0 449.537 -0.749 20 0 IBADRN CSC[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000603941126 1072307063 /nfs/dbraw/zinc/30/70/63/1072307063.db2.gz OKRWNWMBXDYJAK-RBSFLKMASA-N 0 0 449.537 -0.749 20 0 IBADRN CSC[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000603941127 1072307105 /nfs/dbraw/zinc/30/71/05/1072307105.db2.gz OKRWNWMBXDYJAK-SOUVJXGZSA-N 0 0 449.537 -0.749 20 0 IBADRN CSC[C@@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000603941128 1071447813 /nfs/dbraw/zinc/44/78/13/1071447813.db2.gz OKRWNWMBXDYJAK-ZNMIVQPWSA-N 0 0 449.537 -0.749 20 0 IBADRN CCC(=O)N[C@@H](CCSC)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000603941295 1071447913 /nfs/dbraw/zinc/44/79/13/1071447913.db2.gz PKRDMDMQNYXSFH-HNNXBMFYSA-N 0 0 435.616 -0.729 20 0 IBADRN CCC(=O)N[C@H](CCSC)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000603941296 1071447863 /nfs/dbraw/zinc/44/78/63/1071447863.db2.gz PKRDMDMQNYXSFH-OAHLLOKOSA-N 0 0 435.616 -0.729 20 0 IBADRN CCC(=O)N[C@H](CCSC)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000603941741 1071448080 /nfs/dbraw/zinc/44/80/80/1071448080.db2.gz ANIQUVADTFQPNJ-GFCCVEGCSA-N 0 0 446.551 -0.141 20 0 IBADRN CCC(=O)N[C@@H](CCSC)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000603941742 1072306956 /nfs/dbraw/zinc/30/69/56/1072306956.db2.gz ANIQUVADTFQPNJ-LBPRGKRZSA-N 0 0 446.551 -0.141 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc3c(c2)CCO3)c(=O)n1CC(=O)N1CCOCC1 ZINC000603942146 1072306876 /nfs/dbraw/zinc/30/68/76/1072306876.db2.gz ALMSFTIUKCEBKS-UHFFFAOYSA-N 0 0 444.509 -0.124 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000603957466 1072306894 /nfs/dbraw/zinc/30/68/94/1072306894.db2.gz JSDWDWXJEIZUBW-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000603958013 1071448067 /nfs/dbraw/zinc/44/80/67/1071448067.db2.gz OIGTUZQVCBOWBR-UHFFFAOYSA-N 0 0 437.474 -0.236 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000603958407 1072306922 /nfs/dbraw/zinc/30/69/22/1072306922.db2.gz WRMQQRVJEHTTEU-CYBMUJFWSA-N 0 0 439.581 -0.113 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000603958409 1072307079 /nfs/dbraw/zinc/30/70/79/1072307079.db2.gz WRMQQRVJEHTTEU-ZDUSSCGKSA-N 0 0 439.581 -0.113 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000603958452 1072307662 /nfs/dbraw/zinc/30/76/62/1072307662.db2.gz SOWICILPZPOYIT-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN CCN(CCCNS(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1)S(C)(=O)=O ZINC000603958966 1072307627 /nfs/dbraw/zinc/30/76/27/1072307627.db2.gz MVQJMCORYOQZEG-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)cc1 ZINC000603977034 1072307511 /nfs/dbraw/zinc/30/75/11/1072307511.db2.gz CDLKTDMLEXWGNA-UHFFFAOYSA-N 0 0 441.487 -0.034 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cc1 ZINC000603977363 1072307638 /nfs/dbraw/zinc/30/76/38/1072307638.db2.gz TUNUPKPROHJSJD-UHFFFAOYSA-N 0 0 446.441 -0.151 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)cc1 ZINC000603977409 1072307481 /nfs/dbraw/zinc/30/74/81/1072307481.db2.gz ZIOIKHYDQZWBLJ-UHFFFAOYSA-N 0 0 441.487 -0.034 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(CC(F)(F)C(F)F)CC2)CC1 ZINC000603986025 1072307462 /nfs/dbraw/zinc/30/74/62/1072307462.db2.gz UWDWZJUACVUIFX-UHFFFAOYSA-N 0 0 433.472 -0.209 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000603996174 1072307574 /nfs/dbraw/zinc/30/75/74/1072307574.db2.gz GXHSZWVLZCBLKV-UHFFFAOYSA-N 0 0 432.524 -0.712 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000604007993 1072307399 /nfs/dbraw/zinc/30/73/99/1072307399.db2.gz ZUSHBMSINVRWEU-GFCCVEGCSA-N 0 0 427.483 -0.920 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000604008004 1071447922 /nfs/dbraw/zinc/44/79/22/1071447922.db2.gz ZUSHBMSINVRWEU-LBPRGKRZSA-N 0 0 427.483 -0.920 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000604021659 1072308010 /nfs/dbraw/zinc/30/80/10/1072308010.db2.gz JLHRYMBUHNIHBL-AWEZNQCLSA-N 0 0 432.520 -0.268 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000604021663 1072308165 /nfs/dbraw/zinc/30/81/65/1072308165.db2.gz JLHRYMBUHNIHBL-CQSZACIVSA-N 0 0 432.520 -0.268 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000604021915 1072307654 /nfs/dbraw/zinc/30/76/54/1072307654.db2.gz RQGDBSRAPVOMCU-AWEZNQCLSA-N 0 0 436.577 -0.026 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000604021916 1072307371 /nfs/dbraw/zinc/30/73/71/1072307371.db2.gz RQGDBSRAPVOMCU-CQSZACIVSA-N 0 0 436.577 -0.026 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccc(Cl)cn1 ZINC000604022293 1071448725 /nfs/dbraw/zinc/44/87/25/1071448725.db2.gz QPMBKICYMLLRGQ-UHFFFAOYSA-N 0 0 430.962 -0.192 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)CC1 ZINC000604022862 1073323204 /nfs/dbraw/zinc/32/32/04/1073323204.db2.gz GIEWBZXLVCFNDZ-UHFFFAOYSA-N 0 0 429.499 -0.331 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000604023650 1072308154 /nfs/dbraw/zinc/30/81/54/1072308154.db2.gz NVOWQKXCFFMVDF-UHFFFAOYSA-N 0 0 434.521 -0.037 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000604024392 1072308141 /nfs/dbraw/zinc/30/81/41/1072308141.db2.gz LJQRFAMVRXELIP-UHFFFAOYSA-N 0 0 431.492 -0.774 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000604024895 1072308028 /nfs/dbraw/zinc/30/80/28/1072308028.db2.gz VNDJZABOBDXXSD-APPDUMDISA-N 0 0 443.434 -0.867 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000604024898 1072307933 /nfs/dbraw/zinc/30/79/33/1072307933.db2.gz VNDJZABOBDXXSD-DIFFPNOSSA-N 0 0 443.434 -0.867 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000604024899 1072307959 /nfs/dbraw/zinc/30/79/59/1072307959.db2.gz VNDJZABOBDXXSD-GTNSWQLSSA-N 0 0 443.434 -0.867 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1)C(=O)OC ZINC000604024900 1072308063 /nfs/dbraw/zinc/30/80/63/1072308063.db2.gz VNDJZABOBDXXSD-PIGZYNQJSA-N 0 0 443.434 -0.867 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000604025488 1072308084 /nfs/dbraw/zinc/30/80/84/1072308084.db2.gz OFAOTMVMUJNCIE-UHFFFAOYSA-N 0 0 441.506 -0.597 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000604026761 1072308615 /nfs/dbraw/zinc/30/86/15/1072308615.db2.gz MHYVDQGIWNPCKH-UHFFFAOYSA-N 0 0 449.507 -0.628 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000604026812 1071448581 /nfs/dbraw/zinc/44/85/81/1071448581.db2.gz RLSSKTWAXUMEJT-UHFFFAOYSA-N 0 0 425.554 -0.489 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000604026926 1072308004 /nfs/dbraw/zinc/30/80/04/1072308004.db2.gz ZWMUHHICGNGOMM-UHFFFAOYSA-N 0 0 435.524 -0.259 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000604027579 1072308627 /nfs/dbraw/zinc/30/86/27/1072308627.db2.gz ILQBGDSTBQNIIO-UHFFFAOYSA-N 0 0 432.524 -0.482 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000604027935 1072308649 /nfs/dbraw/zinc/30/86/49/1072308649.db2.gz IQXOXZFAQJUXST-UHFFFAOYSA-N 0 0 439.537 -0.582 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)CC1 ZINC000604028150 1071448666 /nfs/dbraw/zinc/44/86/66/1071448666.db2.gz ULVFBIJYYGWNHY-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000604031424 1072308584 /nfs/dbraw/zinc/30/85/84/1072308584.db2.gz BVIBZBOGDYSSDU-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000604031434 1072308641 /nfs/dbraw/zinc/30/86/41/1072308641.db2.gz BVIBZBOGDYSSDU-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000604031513 1072308557 /nfs/dbraw/zinc/30/85/57/1072308557.db2.gz GSILNPCJPZWIFN-GFCCVEGCSA-N 0 0 434.492 -0.038 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000604031524 1072308605 /nfs/dbraw/zinc/30/86/05/1072308605.db2.gz GSILNPCJPZWIFN-LBPRGKRZSA-N 0 0 434.492 -0.038 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000604031614 1072308452 /nfs/dbraw/zinc/30/84/52/1072308452.db2.gz MBKUVQKBRDQCSO-HNNXBMFYSA-N 0 0 425.511 -0.178 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000604031615 1072308596 /nfs/dbraw/zinc/30/85/96/1072308596.db2.gz MBKUVQKBRDQCSO-OAHLLOKOSA-N 0 0 425.511 -0.178 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000604031738 1072308463 /nfs/dbraw/zinc/30/84/63/1072308463.db2.gz DORSNSIGLMCFDK-GFCCVEGCSA-N 0 0 434.492 -0.838 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000604031739 1072308540 /nfs/dbraw/zinc/30/85/40/1072308540.db2.gz DORSNSIGLMCFDK-LBPRGKRZSA-N 0 0 434.492 -0.838 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000604031786 1071449322 /nfs/dbraw/zinc/44/93/22/1071449322.db2.gz NFHYDRNEZOAJHY-GFCCVEGCSA-N 0 0 434.492 -0.790 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000604031787 1071449575 /nfs/dbraw/zinc/44/95/75/1071449575.db2.gz NFHYDRNEZOAJHY-LBPRGKRZSA-N 0 0 434.492 -0.790 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000604031811 1072308511 /nfs/dbraw/zinc/30/85/11/1072308511.db2.gz PEYXUXUNQVJMHR-CYBMUJFWSA-N 0 0 448.519 -0.448 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000604031814 1072308573 /nfs/dbraw/zinc/30/85/73/1072308573.db2.gz PEYXUXUNQVJMHR-ZDUSSCGKSA-N 0 0 448.519 -0.448 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000604031816 1072308504 /nfs/dbraw/zinc/30/85/04/1072308504.db2.gz PGMNEPXRJQKJGR-GFCCVEGCSA-N 0 0 438.549 -0.548 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000604031822 1072308491 /nfs/dbraw/zinc/30/84/91/1072308491.db2.gz PGMNEPXRJQKJGR-LBPRGKRZSA-N 0 0 438.549 -0.548 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000604032024 1072308485 /nfs/dbraw/zinc/30/84/85/1072308485.db2.gz RNSDQTDPTWGYAL-CYBMUJFWSA-N 0 0 434.492 -0.038 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000604032026 1071449334 /nfs/dbraw/zinc/44/93/34/1071449334.db2.gz RNSDQTDPTWGYAL-ZDUSSCGKSA-N 0 0 434.492 -0.038 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000604032230 1071449301 /nfs/dbraw/zinc/44/93/01/1071449301.db2.gz WLXMZPAABUZBOD-GFCCVEGCSA-N 0 0 438.549 -0.630 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000604032239 1072308473 /nfs/dbraw/zinc/30/84/73/1072308473.db2.gz WLXMZPAABUZBOD-LBPRGKRZSA-N 0 0 438.549 -0.630 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000604032606 1072308519 /nfs/dbraw/zinc/30/85/19/1072308519.db2.gz VDOSNRRLNNPXIS-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000604032607 1072308534 /nfs/dbraw/zinc/30/85/34/1072308534.db2.gz VDOSNRRLNNPXIS-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)NCc2ccccc2)c1 ZINC000604034218 1072309157 /nfs/dbraw/zinc/30/91/57/1072309157.db2.gz QOOWZYMXLURPCX-UHFFFAOYSA-N 0 0 433.533 -0.268 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000604035787 1072309123 /nfs/dbraw/zinc/30/91/23/1072309123.db2.gz WXRAVFVZYTZRJP-UHFFFAOYSA-N 0 0 439.490 -0.260 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000604037106 1071449536 /nfs/dbraw/zinc/44/95/36/1071449536.db2.gz NYTXIYJOKPSKQQ-UHFFFAOYSA-N 0 0 439.490 -0.306 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000604037167 1072308117 /nfs/dbraw/zinc/30/81/17/1072308117.db2.gz UAAMQCJZWNFJOA-UHFFFAOYSA-N 0 0 430.527 -0.727 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)N2CCC(C(=O)OC)CC2)cc1 ZINC000604037247 1072308071 /nfs/dbraw/zinc/30/80/71/1072308071.db2.gz LYGBIEXWPSEKDE-UHFFFAOYSA-N 0 0 449.551 -0.169 20 0 IBADRN COC(=O)COc1cccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000604037314 1072307968 /nfs/dbraw/zinc/30/79/68/1072307968.db2.gz AMJVINDQSWHWPF-UHFFFAOYSA-N 0 0 427.479 -0.427 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1 ZINC000604037405 1072308051 /nfs/dbraw/zinc/30/80/51/1072308051.db2.gz YPRGNWUFEOWJAZ-HNNXBMFYSA-N 0 0 430.527 -0.727 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1 ZINC000604037407 1072307989 /nfs/dbraw/zinc/30/79/89/1072307989.db2.gz YPRGNWUFEOWJAZ-OAHLLOKOSA-N 0 0 430.527 -0.727 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000604037589 1071449452 /nfs/dbraw/zinc/44/94/52/1071449452.db2.gz VKSSGYFPWFXNLN-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000604037591 1071449591 /nfs/dbraw/zinc/44/95/91/1071449591.db2.gz VKSSGYFPWFXNLN-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1 ZINC000604056819 1072309004 /nfs/dbraw/zinc/30/90/04/1072309004.db2.gz KDHPPKXUUYMYSP-UHFFFAOYSA-N 0 0 428.540 -0.639 20 0 IBADRN O=C(CN1CCCN(C(=O)c2ccsc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000604071481 1072309065 /nfs/dbraw/zinc/30/90/65/1072309065.db2.gz OQTYVXYGDMJQBN-AWEZNQCLSA-N 0 0 428.536 -0.091 20 0 IBADRN O=C(CN1CCCN(C(=O)c2ccsc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000604071482 1072309151 /nfs/dbraw/zinc/30/91/51/1072309151.db2.gz OQTYVXYGDMJQBN-CQSZACIVSA-N 0 0 428.536 -0.091 20 0 IBADRN Cc1ccc(C(=O)N2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000604071752 1072309103 /nfs/dbraw/zinc/30/91/03/1072309103.db2.gz UZMJIBHAKIRFIO-CYBMUJFWSA-N 0 0 428.536 -0.173 20 0 IBADRN Cc1ccc(C(=O)N2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000604071753 1071449517 /nfs/dbraw/zinc/44/95/17/1071449517.db2.gz UZMJIBHAKIRFIO-ZDUSSCGKSA-N 0 0 428.536 -0.173 20 0 IBADRN COC(=O)C[C@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(=O)OC ZINC000604076608 1072309035 /nfs/dbraw/zinc/30/90/35/1072309035.db2.gz XUKRZGUHHJPBTL-INIZCTEOSA-N 0 0 441.506 -0.478 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(=O)OC ZINC000604076611 1072309025 /nfs/dbraw/zinc/30/90/25/1072309025.db2.gz XUKRZGUHHJPBTL-MRXNPFEDSA-N 0 0 441.506 -0.478 20 0 IBADRN COC(=O)COc1cccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000604078131 1072309135 /nfs/dbraw/zinc/30/91/35/1072309135.db2.gz NDLVCQMSSAEHJD-UHFFFAOYSA-N 0 0 440.522 -0.512 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)CCCS(=O)(=O)CC(=O)N2CCNC(=O)C2)cc1 ZINC000604089675 1072308957 /nfs/dbraw/zinc/30/89/57/1072308957.db2.gz YVZSOQMIFXMWEF-UHFFFAOYSA-N 0 0 445.519 -0.818 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3COCCO3)CC2)c1 ZINC000604102076 1072309579 /nfs/dbraw/zinc/30/95/79/1072309579.db2.gz HDFWQECATVZIPC-CYBMUJFWSA-N 0 0 433.508 -0.034 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3COCCO3)CC2)c1 ZINC000604102077 1072309688 /nfs/dbraw/zinc/30/96/88/1072309688.db2.gz HDFWQECATVZIPC-ZDUSSCGKSA-N 0 0 433.508 -0.034 20 0 IBADRN COc1cc(CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1O ZINC000604114886 1072310116 /nfs/dbraw/zinc/31/01/16/1072310116.db2.gz GCIKJUSVVKQCOH-UHFFFAOYSA-N 0 0 441.554 -0.237 20 0 IBADRN CCOC(=O)C=c1sc(=C2COc3ccc(F)cc32)c(=O)n1CC(=O)N1CCOCC1 ZINC000604115065 1072310227 /nfs/dbraw/zinc/31/02/27/1072310227.db2.gz CXYFKBNGLYTFQQ-UHFFFAOYSA-N 0 0 448.472 -0.157 20 0 IBADRN COc1cc(CCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1O ZINC000604117157 1072310006 /nfs/dbraw/zinc/31/00/06/1072310006.db2.gz YNERIYJJVPSILA-UHFFFAOYSA-N 0 0 428.511 -0.153 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1=O ZINC000604118246 1072310250 /nfs/dbraw/zinc/31/02/50/1072310250.db2.gz WSNRGYWCVJKUME-BZUAXINKSA-N 0 0 431.559 -0.323 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1=O ZINC000604118251 1072310074 /nfs/dbraw/zinc/31/00/74/1072310074.db2.gz WSNRGYWCVJKUME-OAGGEKHMSA-N 0 0 431.559 -0.323 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000604118252 1072310287 /nfs/dbraw/zinc/31/02/87/1072310287.db2.gz WSNRGYWCVJKUME-OWCLPIDISA-N 0 0 431.559 -0.323 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000604118253 1072310261 /nfs/dbraw/zinc/31/02/61/1072310261.db2.gz WSNRGYWCVJKUME-XHSDSOJGSA-N 0 0 431.559 -0.323 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000604123039 1072310150 /nfs/dbraw/zinc/31/01/50/1072310150.db2.gz XOSBSFRXQFOIOI-CVEARBPZSA-N 0 0 431.559 -0.462 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000604123040 1072310214 /nfs/dbraw/zinc/31/02/14/1072310214.db2.gz XOSBSFRXQFOIOI-HOTGVXAUSA-N 0 0 431.559 -0.462 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000604123041 1072310271 /nfs/dbraw/zinc/31/02/71/1072310271.db2.gz XOSBSFRXQFOIOI-HZPDHXFCSA-N 0 0 431.559 -0.462 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000604123042 1072310032 /nfs/dbraw/zinc/31/00/32/1072310032.db2.gz XOSBSFRXQFOIOI-JKSUJKDBSA-N 0 0 431.559 -0.462 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000604128716 1072311322 /nfs/dbraw/zinc/31/13/22/1072311322.db2.gz QSGIEKOFZSQNPJ-CRAIPNDOSA-N 0 0 444.488 -0.235 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000604128717 1072311199 /nfs/dbraw/zinc/31/11/99/1072311199.db2.gz QSGIEKOFZSQNPJ-MAUKXSAKSA-N 0 0 444.488 -0.235 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000604128718 1072311299 /nfs/dbraw/zinc/31/12/99/1072311299.db2.gz QSGIEKOFZSQNPJ-QAPCUYQASA-N 0 0 444.488 -0.235 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000604128719 1072311226 /nfs/dbraw/zinc/31/12/26/1072311226.db2.gz QSGIEKOFZSQNPJ-YJBOKZPZSA-N 0 0 444.488 -0.235 20 0 IBADRN COC(=O)c1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000604130115 1072311361 /nfs/dbraw/zinc/31/13/61/1072311361.db2.gz JMKGSUAFRSXZLQ-UHFFFAOYSA-N 0 0 425.401 -0.326 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c2ccccc2O1 ZINC000604130427 1072310644 /nfs/dbraw/zinc/31/06/44/1072310644.db2.gz PGEWGVUXIQEPOM-KRWDZBQOSA-N 0 0 447.469 -0.040 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c2ccccc2O1 ZINC000604130428 1072310515 /nfs/dbraw/zinc/31/05/15/1072310515.db2.gz PGEWGVUXIQEPOM-QGZVFWFLSA-N 0 0 447.469 -0.040 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000604130779 1072311334 /nfs/dbraw/zinc/31/13/34/1072311334.db2.gz ZXULQXGPLJIYAY-INIZCTEOSA-N 0 0 438.506 -0.229 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000604130780 1072311275 /nfs/dbraw/zinc/31/12/75/1072311275.db2.gz ZXULQXGPLJIYAY-MRXNPFEDSA-N 0 0 438.506 -0.229 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2)c2ccccc2O1 ZINC000604131031 1071449564 /nfs/dbraw/zinc/44/95/64/1071449564.db2.gz WNCBAHXUCPOTOY-INIZCTEOSA-N 0 0 433.442 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2)c2ccccc2O1 ZINC000604131032 1072311252 /nfs/dbraw/zinc/31/12/52/1072311252.db2.gz WNCBAHXUCPOTOY-MRXNPFEDSA-N 0 0 433.442 -0.083 20 0 IBADRN CC(C)OC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000604133547 1072310504 /nfs/dbraw/zinc/31/05/04/1072310504.db2.gz IGYDRGBFXLFPRJ-UHFFFAOYSA-N 0 0 440.478 -0.797 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)s2)c2ccccc2O1 ZINC000604135426 1072310606 /nfs/dbraw/zinc/31/06/06/1072310606.db2.gz LKWDILIZGDCLKZ-CYBMUJFWSA-N 0 0 439.471 -0.021 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)s2)c2ccccc2O1 ZINC000604135427 1072310629 /nfs/dbraw/zinc/31/06/29/1072310629.db2.gz LKWDILIZGDCLKZ-ZDUSSCGKSA-N 0 0 439.471 -0.021 20 0 IBADRN COC(=O)c1ccc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000604136426 1072310749 /nfs/dbraw/zinc/31/07/49/1072310749.db2.gz CPZQLLHTWHEVJM-UHFFFAOYSA-N 0 0 439.428 -0.284 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000604137721 1072310494 /nfs/dbraw/zinc/31/04/94/1072310494.db2.gz MPGUCGVWUPUPCU-HNNXBMFYSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NC2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000604137723 1072310581 /nfs/dbraw/zinc/31/05/81/1072310581.db2.gz MPGUCGVWUPUPCU-OAHLLOKOSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c2ccccc2O1 ZINC000604140877 1071449920 /nfs/dbraw/zinc/44/99/20/1071449920.db2.gz CJNCYPJVFBBJFK-AWEZNQCLSA-N 0 0 427.479 -0.212 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c2ccccc2O1 ZINC000604140883 1072310798 /nfs/dbraw/zinc/31/07/98/1072310798.db2.gz CJNCYPJVFBBJFK-CQSZACIVSA-N 0 0 427.479 -0.212 20 0 IBADRN CCOC(=O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000604140938 1072310698 /nfs/dbraw/zinc/31/06/98/1072310698.db2.gz RSUYPCYDRAZWRY-UHFFFAOYSA-N 0 0 440.478 -0.795 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1C[C@@H](C(=O)OC)Oc2ccccc21 ZINC000604141496 1072310736 /nfs/dbraw/zinc/31/07/36/1072310736.db2.gz HEYUZJITYYBMEY-HNNXBMFYSA-N 0 0 427.479 -0.259 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1C[C@H](C(=O)OC)Oc2ccccc21 ZINC000604141497 1071450117 /nfs/dbraw/zinc/45/01/17/1071450117.db2.gz HEYUZJITYYBMEY-OAHLLOKOSA-N 0 0 427.479 -0.259 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(C)c1 ZINC000604145046 1072311626 /nfs/dbraw/zinc/31/16/26/1072311626.db2.gz BIFTVZNLFOEUHW-UHFFFAOYSA-N 0 0 446.460 -0.565 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000604145047 1072311682 /nfs/dbraw/zinc/31/16/82/1072311682.db2.gz BIHOVQZJPKNOAV-UHFFFAOYSA-N 0 0 432.433 -0.874 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000604145640 1072311813 /nfs/dbraw/zinc/31/18/13/1072311813.db2.gz PYHILHQGWSVOHY-UHFFFAOYSA-N 0 0 446.460 -0.484 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000604146426 1072311787 /nfs/dbraw/zinc/31/17/87/1072311787.db2.gz VLYCAHRSCIMKOF-UHFFFAOYSA-N 0 0 446.460 -0.530 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000604150717 1072311828 /nfs/dbraw/zinc/31/18/28/1072311828.db2.gz KJMNIRUFSIKVND-UHFFFAOYSA-N 0 0 447.535 -0.347 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000604156578 1072311239 /nfs/dbraw/zinc/31/12/39/1072311239.db2.gz WRMVQQRAYUUFDK-HNNXBMFYSA-N 0 0 441.554 -0.298 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000604156579 1072311344 /nfs/dbraw/zinc/31/13/44/1072311344.db2.gz WRMVQQRAYUUFDK-OAHLLOKOSA-N 0 0 441.554 -0.298 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c2ccccc2O1 ZINC000604157333 1072311216 /nfs/dbraw/zinc/31/12/16/1072311216.db2.gz MUFINCKZLMUROE-DLBZAZTESA-N 0 0 431.445 -0.197 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c2ccccc2O1 ZINC000604157334 1072311354 /nfs/dbraw/zinc/31/13/54/1072311354.db2.gz MUFINCKZLMUROE-IAGOWNOFSA-N 0 0 431.445 -0.197 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c2ccccc2O1 ZINC000604157335 1072311367 /nfs/dbraw/zinc/31/13/67/1072311367.db2.gz MUFINCKZLMUROE-IRXDYDNUSA-N 0 0 431.445 -0.197 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c2ccccc2O1 ZINC000604157336 1072311158 /nfs/dbraw/zinc/31/11/58/1072311158.db2.gz MUFINCKZLMUROE-SJORKVTESA-N 0 0 431.445 -0.197 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)s2)CC1 ZINC000604158426 1072311194 /nfs/dbraw/zinc/31/11/94/1072311194.db2.gz RZYFYPNMJWLBOK-CYBMUJFWSA-N 0 0 445.567 -0.530 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)s2)CC1 ZINC000604158427 1072311142 /nfs/dbraw/zinc/31/11/42/1072311142.db2.gz RZYFYPNMJWLBOK-ZDUSSCGKSA-N 0 0 445.567 -0.530 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000604159301 1072311752 /nfs/dbraw/zinc/31/17/52/1072311752.db2.gz DSEFHMQVFKRAIR-UHFFFAOYSA-N 0 0 430.461 -0.001 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c2ccccc2O1 ZINC000604161058 1072311645 /nfs/dbraw/zinc/31/16/45/1072311645.db2.gz PXQZFTMZROPDIM-KRWDZBQOSA-N 0 0 432.477 -0.378 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c2ccccc2O1 ZINC000604161059 1072311896 /nfs/dbraw/zinc/31/18/96/1072311896.db2.gz PXQZFTMZROPDIM-QGZVFWFLSA-N 0 0 432.477 -0.378 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000604161077 1072311658 /nfs/dbraw/zinc/31/16/58/1072311658.db2.gz QYINERVDHVWRIJ-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c2ccccc2O1 ZINC000604161089 1072311771 /nfs/dbraw/zinc/31/17/71/1072311771.db2.gz RTKMDBNNTHPPCM-GOSISDBHSA-N 0 0 444.488 -0.280 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c2ccccc2O1 ZINC000604161090 1072311720 /nfs/dbraw/zinc/31/17/20/1072311720.db2.gz RTKMDBNNTHPPCM-SFHVURJKSA-N 0 0 444.488 -0.280 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000604161113 1072311849 /nfs/dbraw/zinc/31/18/49/1072311849.db2.gz TXHHVZZDZYCAON-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(C)c1 ZINC000604162486 1072311693 /nfs/dbraw/zinc/31/16/93/1072311693.db2.gz DOAWOSGXEYUFHX-UHFFFAOYSA-N 0 0 432.477 -0.092 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000604163071 1072311619 /nfs/dbraw/zinc/31/16/19/1072311619.db2.gz ZGTUJYLFTZXIJE-KRWDZBQOSA-N 0 0 430.461 -0.624 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c2ccccc2O1 ZINC000604163072 1072311673 /nfs/dbraw/zinc/31/16/73/1072311673.db2.gz ZGTUJYLFTZXIJE-QGZVFWFLSA-N 0 0 430.461 -0.624 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000604163793 1072311866 /nfs/dbraw/zinc/31/18/66/1072311866.db2.gz HEDVPERELNOVTJ-UHFFFAOYSA-N 0 0 434.449 -0.628 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000604164333 1072312419 /nfs/dbraw/zinc/31/24/19/1072312419.db2.gz LPSNUPZZHZRUOB-UHFFFAOYSA-N 0 0 446.460 -0.750 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000604164682 1072312303 /nfs/dbraw/zinc/31/23/03/1072312303.db2.gz SRPULERVPTWHST-UHFFFAOYSA-N 0 0 446.460 -0.750 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)c2ccccc2O1 ZINC000604168811 1071450094 /nfs/dbraw/zinc/45/00/94/1071450094.db2.gz VSPZZXUHSCVQSS-HNNXBMFYSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)c2ccccc2O1 ZINC000604168812 1072312203 /nfs/dbraw/zinc/31/22/03/1072312203.db2.gz VSPZZXUHSCVQSS-OAHLLOKOSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)COc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000604172732 1072312393 /nfs/dbraw/zinc/31/23/93/1072312393.db2.gz XURHOJMNLUMRCV-UHFFFAOYSA-N 0 0 440.522 -0.512 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000604174024 1072312259 /nfs/dbraw/zinc/31/22/59/1072312259.db2.gz ZRFRIMGEUVKLDI-KRWDZBQOSA-N 0 0 438.554 -0.301 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000604174025 1072312325 /nfs/dbraw/zinc/31/23/25/1072312325.db2.gz ZRFRIMGEUVKLDI-QGZVFWFLSA-N 0 0 438.554 -0.301 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)CC1 ZINC000604174529 1071450035 /nfs/dbraw/zinc/45/00/35/1071450035.db2.gz GFPSANIXCIGXFN-HNNXBMFYSA-N 0 0 439.538 -0.592 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)CC1 ZINC000604174534 1072313010 /nfs/dbraw/zinc/31/30/10/1072313010.db2.gz GFPSANIXCIGXFN-OAHLLOKOSA-N 0 0 439.538 -0.592 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)CC1 ZINC000604174651 1072312189 /nfs/dbraw/zinc/31/21/89/1072312189.db2.gz LDNYJAKGEKYJSP-HNNXBMFYSA-N 0 0 441.554 -0.298 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)CC1 ZINC000604174652 1072312202 /nfs/dbraw/zinc/31/22/02/1072312202.db2.gz LDNYJAKGEKYJSP-OAHLLOKOSA-N 0 0 441.554 -0.298 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)CC1 ZINC000604175944 1072312986 /nfs/dbraw/zinc/31/29/86/1072312986.db2.gz MQRSMTWZCCQOCZ-INIZCTEOSA-N 0 0 425.530 -0.802 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)CC1 ZINC000604175945 1072313066 /nfs/dbraw/zinc/31/30/66/1072313066.db2.gz MQRSMTWZCCQOCZ-MRXNPFEDSA-N 0 0 425.530 -0.802 20 0 IBADRN CCN(CCCNC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)S(C)(=O)=O ZINC000604178598 1072312967 /nfs/dbraw/zinc/31/29/67/1072312967.db2.gz WDKZAAVSWVWGBY-INIZCTEOSA-N 0 0 433.575 -0.768 20 0 IBADRN CCN(CCCNC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)S(C)(=O)=O ZINC000604178599 1072312978 /nfs/dbraw/zinc/31/29/78/1072312978.db2.gz WDKZAAVSWVWGBY-MRXNPFEDSA-N 0 0 433.575 -0.768 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000604179485 1072313130 /nfs/dbraw/zinc/31/31/30/1072313130.db2.gz OHCPERKSHXJPLT-INIZCTEOSA-N 0 0 443.504 -0.143 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)NCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000604179486 1072312895 /nfs/dbraw/zinc/31/28/95/1072312895.db2.gz OHCPERKSHXJPLT-MRXNPFEDSA-N 0 0 443.504 -0.143 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NCCCN3CCCS3(=O)=O)CC2)CC1 ZINC000604187622 1072313072 /nfs/dbraw/zinc/31/30/72/1072313072.db2.gz ALHQOUSPOHYMAN-UHFFFAOYSA-N 0 0 429.587 -0.002 20 0 IBADRN Cc1nc(CN2CCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)oc1C ZINC000604188348 1072313701 /nfs/dbraw/zinc/31/37/01/1072313701.db2.gz MFKUBBIVVJQQDA-UHFFFAOYSA-N 0 0 428.559 -0.304 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000604196654 1071450158 /nfs/dbraw/zinc/45/01/58/1071450158.db2.gz JPWMZTYZAGOMMS-KRWDZBQOSA-N 0 0 440.589 -0.593 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000604196655 1072313595 /nfs/dbraw/zinc/31/35/95/1072313595.db2.gz JPWMZTYZAGOMMS-QGZVFWFLSA-N 0 0 440.589 -0.593 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)cc1 ZINC000604206366 1072314229 /nfs/dbraw/zinc/31/42/29/1072314229.db2.gz GVBFKLCRINENNF-UHFFFAOYSA-N 0 0 445.476 -0.112 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000604206381 1072314165 /nfs/dbraw/zinc/31/41/65/1072314165.db2.gz INNKBBFEZIBDHE-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000604207346 1072314199 /nfs/dbraw/zinc/31/41/99/1072314199.db2.gz FGTZXIZOKMTVPQ-UHFFFAOYSA-N 0 0 428.467 -0.873 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(C)c1 ZINC000604207355 1072314186 /nfs/dbraw/zinc/31/41/86/1072314186.db2.gz GPVPAKIGCJWTJS-UHFFFAOYSA-N 0 0 442.494 -0.564 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(F)cc1F ZINC000604207372 1072314060 /nfs/dbraw/zinc/31/40/60/1072314060.db2.gz JSOUVKOVQKWIDZ-UHFFFAOYSA-N 0 0 434.421 -0.360 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000604207578 1072314037 /nfs/dbraw/zinc/31/40/37/1072314037.db2.gz QGCVJJHHNDYVQW-KRWDZBQOSA-N 0 0 433.461 -0.045 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000604207579 1072313999 /nfs/dbraw/zinc/31/39/99/1072313999.db2.gz QGCVJJHHNDYVQW-QGZVFWFLSA-N 0 0 433.461 -0.045 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000604208063 1072314221 /nfs/dbraw/zinc/31/42/21/1072314221.db2.gz SSPPMDQBQZBLJU-UHFFFAOYSA-N 0 0 428.467 -0.873 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000604208155 1072314082 /nfs/dbraw/zinc/31/40/82/1072314082.db2.gz YAHFDHJUWTYJDV-UHFFFAOYSA-N 0 0 442.494 -0.482 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000604213847 1072313712 /nfs/dbraw/zinc/31/37/12/1072313712.db2.gz JTIVIYJBBUYPSU-AWEZNQCLSA-N 0 0 440.478 -0.932 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000604213849 1072313707 /nfs/dbraw/zinc/31/37/07/1072313707.db2.gz JTIVIYJBBUYPSU-CQSZACIVSA-N 0 0 440.478 -0.932 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000604213850 1072313695 /nfs/dbraw/zinc/31/36/95/1072313695.db2.gz JYLMUZNHPREUTF-DOMZBBRYSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000604213851 1072313633 /nfs/dbraw/zinc/31/36/33/1072313633.db2.gz JYLMUZNHPREUTF-IUODEOHRSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000604213852 1072314076 /nfs/dbraw/zinc/31/40/76/1072314076.db2.gz JYLMUZNHPREUTF-SWLSCSKDSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000604213853 1072314122 /nfs/dbraw/zinc/31/41/22/1072314122.db2.gz JYLMUZNHPREUTF-WFASDCNBSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000604214051 1072313508 /nfs/dbraw/zinc/31/35/08/1072313508.db2.gz ZEGZXZMQFGCFJN-CYBMUJFWSA-N 0 0 427.479 -0.035 20 0 IBADRN COC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000604214052 1072313407 /nfs/dbraw/zinc/31/34/07/1072313407.db2.gz ZEGZXZMQFGCFJN-ZDUSSCGKSA-N 0 0 427.479 -0.035 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)N2C[C@@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000604219507 1072314010 /nfs/dbraw/zinc/31/40/10/1072314010.db2.gz FZKYTWRCZWSMEG-INIZCTEOSA-N 0 0 439.490 -0.116 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)N2C[C@H](C(=O)OC)Oc3ccccc32)CC1 ZINC000604219508 1072314193 /nfs/dbraw/zinc/31/41/93/1072314193.db2.gz FZKYTWRCZWSMEG-MRXNPFEDSA-N 0 0 439.490 -0.116 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)CC(N)=O)cc2)C1 ZINC000604221047 1072314174 /nfs/dbraw/zinc/31/41/74/1072314174.db2.gz ALJFXLJSHHVJDX-GFCCVEGCSA-N 0 0 440.478 -0.858 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N(C)CC(N)=O)cc2)C1 ZINC000604221048 1071450806 /nfs/dbraw/zinc/45/08/06/1071450806.db2.gz ALJFXLJSHHVJDX-LBPRGKRZSA-N 0 0 440.478 -0.858 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@@H]2CCCCN2S(C)(=O)=O)c1 ZINC000604238870 1072314604 /nfs/dbraw/zinc/31/46/04/1072314604.db2.gz DPGIUVJOKXCMFL-AWEZNQCLSA-N 0 0 433.508 -0.110 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@H]2CCCCN2S(C)(=O)=O)c1 ZINC000604238871 1072314904 /nfs/dbraw/zinc/31/49/04/1072314904.db2.gz DPGIUVJOKXCMFL-CQSZACIVSA-N 0 0 433.508 -0.110 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)[C@@H]3CCCN3S(C)(=O)=O)CC2)c1 ZINC000604245390 1072314754 /nfs/dbraw/zinc/31/47/54/1072314754.db2.gz GBXOBVRZGSXRDM-INIZCTEOSA-N 0 0 443.547 -0.202 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)[C@H]3CCCN3S(C)(=O)=O)CC2)c1 ZINC000604245391 1072314714 /nfs/dbraw/zinc/31/47/14/1072314714.db2.gz GBXOBVRZGSXRDM-MRXNPFEDSA-N 0 0 443.547 -0.202 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CCN3CCCS3(=O)=O)CC2)c1 ZINC000604245737 1071450728 /nfs/dbraw/zinc/45/07/28/1071450728.db2.gz PUJDEVIVSILFCN-UHFFFAOYSA-N 0 0 443.547 -0.200 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)CCNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000604264914 1072314915 /nfs/dbraw/zinc/31/49/15/1072314915.db2.gz BDJXCPXKOAITFF-UHFFFAOYSA-N 0 0 427.465 -0.467 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccnc1N1CCC(CO)CC1)c(=O)n2C ZINC000604268709 1072314617 /nfs/dbraw/zinc/31/46/17/1072314617.db2.gz OUDYWRUJCAMKEU-UHFFFAOYSA-N 0 0 427.465 -0.324 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1cccc(C(=O)Nc3ccncc3)c1)c(=O)n2C ZINC000604269226 1072314870 /nfs/dbraw/zinc/31/48/70/1072314870.db2.gz ZPPMIPDIPLHBQK-UHFFFAOYSA-N 0 0 447.455 -0.181 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000604297753 1072316753 /nfs/dbraw/zinc/31/67/53/1072316753.db2.gz KMZVPAHICJSATL-UHFFFAOYSA-N 0 0 427.417 -0.269 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC000604300700 1072316212 /nfs/dbraw/zinc/31/62/12/1072316212.db2.gz GPCSGSWLBICEBA-UHFFFAOYSA-N 0 0 446.489 -0.727 20 0 IBADRN Cc1sc(=O)n(CCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000604312171 1072316335 /nfs/dbraw/zinc/31/63/35/1072316335.db2.gz LKOSDDMYZRLSJI-UHFFFAOYSA-N 0 0 431.584 -0.447 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CCC(=O)N2C)cc1 ZINC000604323626 1072316177 /nfs/dbraw/zinc/31/61/77/1072316177.db2.gz BYQLRXLASHGUPL-FXAWDEMLSA-N 0 0 441.492 -0.038 20 0 IBADRN COc1ccc([C@H]2[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CCC(=O)N2C)cc1 ZINC000604323627 1072316705 /nfs/dbraw/zinc/31/67/05/1072316705.db2.gz BYQLRXLASHGUPL-PXNSSMCTSA-N 0 0 441.492 -0.038 20 0 IBADRN COc1ccc([C@H]2[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CCC(=O)N2C)cc1 ZINC000604323628 1072316221 /nfs/dbraw/zinc/31/62/21/1072316221.db2.gz BYQLRXLASHGUPL-XLIONFOSSA-N 0 0 441.492 -0.038 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CCC(=O)N2C)cc1 ZINC000604323629 1072316193 /nfs/dbraw/zinc/31/61/93/1072316193.db2.gz BYQLRXLASHGUPL-YLJYHZDGSA-N 0 0 441.492 -0.038 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000604335932 1072317345 /nfs/dbraw/zinc/31/73/45/1072317345.db2.gz KXJSVYJRGFLMMI-UHFFFAOYSA-N 0 0 441.444 -0.340 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC(C(N)=O)(c4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000604355551 1072318203 /nfs/dbraw/zinc/31/82/03/1072318203.db2.gz YYVZJVWSWPXNMN-UHFFFAOYSA-N 0 0 438.488 -0.131 20 0 IBADRN COc1ccc(CN(CCNC(=O)[C@H]2CCC(=O)N2)C(=O)[C@@H]2CCC(=O)N2)c(OC)c1 ZINC000604361573 1072316633 /nfs/dbraw/zinc/31/66/33/1072316633.db2.gz YNCBAKAWAKPZKM-CVEARBPZSA-N 0 0 432.477 -0.294 20 0 IBADRN COc1ccc(CN(CCNC(=O)[C@@H]2CCC(=O)N2)C(=O)[C@@H]2CCC(=O)N2)c(OC)c1 ZINC000604361574 1072316771 /nfs/dbraw/zinc/31/67/71/1072316771.db2.gz YNCBAKAWAKPZKM-HOTGVXAUSA-N 0 0 432.477 -0.294 20 0 IBADRN COc1ccc(CN(CCNC(=O)[C@H]2CCC(=O)N2)C(=O)[C@H]2CCC(=O)N2)c(OC)c1 ZINC000604361575 1072316802 /nfs/dbraw/zinc/31/68/02/1072316802.db2.gz YNCBAKAWAKPZKM-HZPDHXFCSA-N 0 0 432.477 -0.294 20 0 IBADRN COc1ccc(CN(CCNC(=O)[C@@H]2CCC(=O)N2)C(=O)[C@H]2CCC(=O)N2)c(OC)c1 ZINC000604361576 1072316677 /nfs/dbraw/zinc/31/66/77/1072316677.db2.gz YNCBAKAWAKPZKM-JKSUJKDBSA-N 0 0 432.477 -0.294 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2=O)cc1 ZINC000604366810 1072316778 /nfs/dbraw/zinc/31/67/78/1072316778.db2.gz MBKOTVXPAWZRNR-BQFCYCMXSA-N 0 0 449.529 -0.052 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2=O)cc1 ZINC000604366811 1072316736 /nfs/dbraw/zinc/31/67/36/1072316736.db2.gz MBKOTVXPAWZRNR-JZXOWHBKSA-N 0 0 449.529 -0.052 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2=O)cc1 ZINC000604366812 1072316745 /nfs/dbraw/zinc/31/67/45/1072316745.db2.gz MBKOTVXPAWZRNR-NUJGCVRESA-N 0 0 449.529 -0.052 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2=O)cc1 ZINC000604366813 1072316607 /nfs/dbraw/zinc/31/66/07/1072316607.db2.gz MBKOTVXPAWZRNR-RYRKJORJSA-N 0 0 449.529 -0.052 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(C(=O)CCn1cc(S(N)(=O)=O)cn1)CC2 ZINC000604375426 1072318832 /nfs/dbraw/zinc/31/88/32/1072318832.db2.gz GKSBLRLWHDHESS-UHFFFAOYSA-N 0 0 427.508 -0.240 20 0 IBADRN O=C(N[C@H]1CCN(CCN2CCOCC2)C1)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000604376170 1072318894 /nfs/dbraw/zinc/31/88/94/1072318894.db2.gz HPPOBHSJHSFZQE-HNNXBMFYSA-N 0 0 447.521 -0.766 20 0 IBADRN O=C(N[C@@H]1CCN(CCN2CCOCC2)C1)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000604376171 1072318632 /nfs/dbraw/zinc/31/86/32/1072318632.db2.gz HPPOBHSJHSFZQE-OAHLLOKOSA-N 0 0 447.521 -0.766 20 0 IBADRN Cn1c(N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000604379024 1072318815 /nfs/dbraw/zinc/31/88/15/1072318815.db2.gz GHFBUEDNQHVREK-UHFFFAOYSA-N 0 0 446.489 -0.262 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(CC(=O)NCC(=O)N3CCCC3)CC2)c1 ZINC000604387171 1072319342 /nfs/dbraw/zinc/31/93/42/1072319342.db2.gz FIJJAXPMQHGWLR-UHFFFAOYSA-N 0 0 436.534 -0.414 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)CC1 ZINC000604387813 1072319232 /nfs/dbraw/zinc/31/92/32/1072319232.db2.gz DFSKEHMZJRKPJH-UHFFFAOYSA-N 0 0 436.534 -0.461 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(C(N)=O)(c3ccccc3)CC2)c(=O)[nH]c1=O ZINC000604391943 1072319357 /nfs/dbraw/zinc/31/93/57/1072319357.db2.gz VIVRVFQZUKDHHF-UHFFFAOYSA-N 0 0 429.477 -0.121 20 0 IBADRN NC(=O)C1(c2ccccc2)CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000604393396 1072319512 /nfs/dbraw/zinc/31/95/12/1072319512.db2.gz SQHGFXHMCUPAGR-IBGZPJMESA-N 0 0 448.589 -0.163 20 0 IBADRN NC(=O)C1(c2ccccc2)CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000604393397 1072319139 /nfs/dbraw/zinc/31/91/39/1072319139.db2.gz SQHGFXHMCUPAGR-LJQANCHMSA-N 0 0 448.589 -0.163 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)N(C(C)=O)CC2 ZINC000604397112 1072319976 /nfs/dbraw/zinc/31/99/76/1072319976.db2.gz GYAYDOAGBSAQID-HNNXBMFYSA-N 0 0 443.547 -0.091 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)N(C(C)=O)CC2 ZINC000604397113 1072319990 /nfs/dbraw/zinc/31/99/90/1072319990.db2.gz GYAYDOAGBSAQID-OAHLLOKOSA-N 0 0 443.547 -0.091 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1C(=O)N(C)C ZINC000604398482 1072318028 /nfs/dbraw/zinc/31/80/28/1072318028.db2.gz GHNQUGBXMQBRKK-UHFFFAOYSA-N 0 0 434.540 -0.490 20 0 IBADRN Cc1nn(C(C)C)c(C)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000604398608 1071452358 /nfs/dbraw/zinc/45/23/58/1071452358.db2.gz SGGQHDQVLSWGDE-UHFFFAOYSA-N 0 0 435.572 -0.036 20 0 IBADRN Cc1nn(C(C)C)c(C)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000604398991 1072318219 /nfs/dbraw/zinc/31/82/19/1072318219.db2.gz WBZKGZYIXUFUOX-UHFFFAOYSA-N 0 0 448.615 -0.121 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C(=O)N(C)C ZINC000604400175 1071452351 /nfs/dbraw/zinc/45/23/51/1071452351.db2.gz VSXTWOUQMXURTE-UHFFFAOYSA-N 0 0 448.567 -0.748 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1C(=O)N(C)C ZINC000604402237 1072318066 /nfs/dbraw/zinc/31/80/66/1072318066.db2.gz DIJNKTOHBVCMFR-UHFFFAOYSA-N 0 0 440.522 -0.244 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OC)c(C(=O)N(C)C)c1 ZINC000604402898 1072318193 /nfs/dbraw/zinc/31/81/93/1072318193.db2.gz SAFYNEIFTNOLGZ-AWEZNQCLSA-N 0 0 426.495 -0.588 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OC)c(C(=O)N(C)C)c1 ZINC000604402899 1072318564 /nfs/dbraw/zinc/31/85/64/1072318564.db2.gz SAFYNEIFTNOLGZ-CQSZACIVSA-N 0 0 426.495 -0.588 20 0 IBADRN COc1cc(C)nc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000604403608 1072318662 /nfs/dbraw/zinc/31/86/62/1072318662.db2.gz XZNREOJPUPILHG-UHFFFAOYSA-N 0 0 427.508 -0.048 20 0 IBADRN COc1cc(C)nc(N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)n1 ZINC000604403631 1072318755 /nfs/dbraw/zinc/31/87/55/1072318755.db2.gz ZCGHTWOPCCBPKM-UHFFFAOYSA-N 0 0 432.462 -0.166 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)c1 ZINC000604405511 1072318524 /nfs/dbraw/zinc/31/85/24/1072318524.db2.gz HOSWLUFREYAHNB-UHFFFAOYSA-N 0 0 428.423 -0.519 20 0 IBADRN Cn1c2ncc(S(=O)(=O)NCc3cc(S(N)(=O)=O)ccc3F)cc2c(=O)[nH]c1=O ZINC000604405901 1072318684 /nfs/dbraw/zinc/31/86/84/1072318684.db2.gz PFFQZECXBCKMCR-UHFFFAOYSA-N 0 0 443.438 -0.701 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CCCN3C(=O)CNC3=O)CC2)c1 ZINC000604409332 1072318603 /nfs/dbraw/zinc/31/86/03/1072318603.db2.gz GFWLDRMHRCUHKN-UHFFFAOYSA-N 0 0 430.508 -0.217 20 0 IBADRN COCCN(C)S(=O)(=O)NC1CCC(CN(C)S(=O)(=O)N(C)CCOC)CC1 ZINC000604409835 1072318576 /nfs/dbraw/zinc/31/85/76/1072318576.db2.gz DMKZUCPGDLEFNN-UHFFFAOYSA-N 0 0 444.620 -0.287 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC2 ZINC000604411845 1072318736 /nfs/dbraw/zinc/31/87/36/1072318736.db2.gz BTZHIXKNWYVIPJ-UHFFFAOYSA-N 0 0 428.492 -0.914 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H](C)CO)cc2)C1 ZINC000604423525 1072319856 /nfs/dbraw/zinc/31/98/56/1072319856.db2.gz OQSJGKAXPWBJFM-KBPBESRZSA-N 0 0 441.506 -0.057 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H](C)CO)cc2)C1 ZINC000604423526 1072320004 /nfs/dbraw/zinc/32/00/04/1072320004.db2.gz OQSJGKAXPWBJFM-KGLIPLIRSA-N 0 0 441.506 -0.057 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H](C)CO)cc2)C1 ZINC000604423527 1072319931 /nfs/dbraw/zinc/31/99/31/1072319931.db2.gz OQSJGKAXPWBJFM-UONOGXRCSA-N 0 0 441.506 -0.057 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H](C)CO)cc2)C1 ZINC000604423528 1072319938 /nfs/dbraw/zinc/31/99/38/1072319938.db2.gz OQSJGKAXPWBJFM-ZIAGYGMSSA-N 0 0 441.506 -0.057 20 0 IBADRN CS(=O)(=O)c1ccc(NCCN2CCN(S(C)(=O)=O)CC2)c(S(C)(=O)=O)c1 ZINC000604429086 1072319897 /nfs/dbraw/zinc/31/98/97/1072319897.db2.gz IELCTHHVDIXABY-UHFFFAOYSA-N 0 0 439.581 -0.517 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000604429369 1071452310 /nfs/dbraw/zinc/45/23/10/1071452310.db2.gz IKMGCNYSMCVCGL-UHFFFAOYSA-N 0 0 428.511 -0.452 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CSCc2cc(=O)n3ccsc3n2)CC1 ZINC000604429645 1071452196 /nfs/dbraw/zinc/45/21/96/1071452196.db2.gz RKTDIGSMEXDWEQ-UHFFFAOYSA-N 0 0 445.592 -0.317 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)no1 ZINC000604429832 1072319890 /nfs/dbraw/zinc/31/98/90/1072319890.db2.gz ZHUGGFWJWYIHNN-CYBMUJFWSA-N 0 0 433.556 -0.263 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)no1 ZINC000604429833 1072319846 /nfs/dbraw/zinc/31/98/46/1072319846.db2.gz ZHUGGFWJWYIHNN-ZDUSSCGKSA-N 0 0 433.556 -0.263 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC(NS(C)(=O)=O)CC2)c(F)c1 ZINC000604429975 1071452967 /nfs/dbraw/zinc/45/29/67/1071452967.db2.gz NCGOLSZTDHTDCM-UHFFFAOYSA-N 0 0 429.517 -0.101 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000604432153 1072320432 /nfs/dbraw/zinc/32/04/32/1072320432.db2.gz PTJQQZREYTVDRV-CYBMUJFWSA-N 0 0 434.492 -0.038 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000604432154 1072320419 /nfs/dbraw/zinc/32/04/19/1072320419.db2.gz PTJQQZREYTVDRV-ZDUSSCGKSA-N 0 0 434.492 -0.038 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000604433000 1072320410 /nfs/dbraw/zinc/32/04/10/1072320410.db2.gz RGSGEYSKRXRDTG-DOMZBBRYSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000604433003 1072320476 /nfs/dbraw/zinc/32/04/76/1072320476.db2.gz RGSGEYSKRXRDTG-IUODEOHRSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000604433004 1072320466 /nfs/dbraw/zinc/32/04/66/1072320466.db2.gz RGSGEYSKRXRDTG-SWLSCSKDSA-N 0 0 425.463 -0.506 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000604433005 1072320383 /nfs/dbraw/zinc/32/03/83/1072320383.db2.gz RGSGEYSKRXRDTG-WFASDCNBSA-N 0 0 425.463 -0.506 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000604436454 1071452826 /nfs/dbraw/zinc/45/28/26/1071452826.db2.gz PRNOVUSFPACLOR-UHFFFAOYSA-N 0 0 444.579 -0.322 20 0 IBADRN CCOC(=O)CCN(Cc1cccnc1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000604440942 1072320397 /nfs/dbraw/zinc/32/03/97/1072320397.db2.gz DTBDOZQSQPRGMK-UHFFFAOYSA-N 0 0 428.449 -0.189 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000604457892 1072321523 /nfs/dbraw/zinc/32/15/23/1072321523.db2.gz VGRMAWHFDBJZLX-GFCCVEGCSA-N 0 0 436.581 -0.094 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000604457893 1072321444 /nfs/dbraw/zinc/32/14/44/1072321444.db2.gz VGRMAWHFDBJZLX-LBPRGKRZSA-N 0 0 436.581 -0.094 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000604460352 1072321393 /nfs/dbraw/zinc/32/13/93/1072321393.db2.gz SRTXBPFNLGTBHH-UHFFFAOYSA-N 0 0 442.538 -0.243 20 0 IBADRN CCOC(=O)C1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000604462820 1072321499 /nfs/dbraw/zinc/32/14/99/1072321499.db2.gz UEDFXMSZSHMIIU-UHFFFAOYSA-N 0 0 445.586 -0.072 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000604483759 1072320892 /nfs/dbraw/zinc/32/08/92/1072320892.db2.gz GEVAQYXDMAWBPA-UHFFFAOYSA-N 0 0 439.581 -0.664 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000604483813 1072320920 /nfs/dbraw/zinc/32/09/20/1072320920.db2.gz KXJBJPGLJDQMNF-UHFFFAOYSA-N 0 0 435.524 -0.906 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000604483815 1072320938 /nfs/dbraw/zinc/32/09/38/1072320938.db2.gz LMKBBFKZKHZRQB-UHFFFAOYSA-N 0 0 449.551 -0.516 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000604483868 1072320960 /nfs/dbraw/zinc/32/09/60/1072320960.db2.gz XEEZJYFHNNFYCN-UHFFFAOYSA-N 0 0 439.581 -0.746 20 0 IBADRN CCOC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000604489806 1072321884 /nfs/dbraw/zinc/32/18/84/1072321884.db2.gz WGEVQRRYEUIPTQ-UHFFFAOYSA-N 0 0 441.506 -0.214 20 0 IBADRN COC(=O)C1CCN(C(=O)Cn2nc(C)c(S(=O)(=O)N3CCOCC3)c2C)CC1 ZINC000604506469 1072321939 /nfs/dbraw/zinc/32/19/39/1072321939.db2.gz OQGMJWICTYPASI-UHFFFAOYSA-N 0 0 428.511 -0.068 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000604512658 1071452835 /nfs/dbraw/zinc/45/28/35/1071452835.db2.gz NFKXPLBXFSOTSC-UHFFFAOYSA-N 0 0 439.490 -0.527 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000604512721 1072321798 /nfs/dbraw/zinc/32/17/98/1072321798.db2.gz XTGITCIWOWRQHC-UHFFFAOYSA-N 0 0 425.507 -0.053 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000604514470 1072321778 /nfs/dbraw/zinc/32/17/78/1072321778.db2.gz RJAMZXSTSUFBMP-UHFFFAOYSA-N 0 0 442.494 -0.482 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000604537000 1072321898 /nfs/dbraw/zinc/32/18/98/1072321898.db2.gz CSRXGYFQYQBDMQ-UHFFFAOYSA-N 0 0 441.510 -0.712 20 0 IBADRN COC(=O)c1cc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1OC ZINC000604537153 1072321833 /nfs/dbraw/zinc/32/18/33/1072321833.db2.gz SNNVIPGVRIDUBG-UHFFFAOYSA-N 0 0 428.511 -0.142 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(CC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000604543379 1072322277 /nfs/dbraw/zinc/32/22/77/1072322277.db2.gz CJHIHEJZKHJVRS-UHFFFAOYSA-N 0 0 441.506 -0.240 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000604543955 1072322328 /nfs/dbraw/zinc/32/23/28/1072322328.db2.gz MLARVEUKZXEINW-UHFFFAOYSA-N 0 0 443.478 -0.793 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000604543985 1072322265 /nfs/dbraw/zinc/32/22/65/1072322265.db2.gz YMTRHBVXMLHTRY-UHFFFAOYSA-N 0 0 441.506 -0.483 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000604564727 1072322401 /nfs/dbraw/zinc/32/24/01/1072322401.db2.gz BFPNUYNZIPTPNL-UHFFFAOYSA-N 0 0 437.478 -0.062 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCC(C(N)=O)(c3ccccc3)CC2)CC1 ZINC000604586692 1072322317 /nfs/dbraw/zinc/32/23/17/1072322317.db2.gz VJZHPWIRCBCREZ-UHFFFAOYSA-N 0 0 437.566 -0.208 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000604588325 1072322390 /nfs/dbraw/zinc/32/23/90/1072322390.db2.gz DJWCYEBSJHZYND-UHFFFAOYSA-N 0 0 429.474 -0.647 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCOC[C@H]3CCCO3)CC2)o1 ZINC000604594923 1072322369 /nfs/dbraw/zinc/32/23/69/1072322369.db2.gz SRKVCDRAYUFGSP-CYBMUJFWSA-N 0 0 430.483 -0.410 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCOC[C@@H]3CCCO3)CC2)o1 ZINC000604594924 1072322289 /nfs/dbraw/zinc/32/22/89/1072322289.db2.gz SRKVCDRAYUFGSP-ZDUSSCGKSA-N 0 0 430.483 -0.410 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1 ZINC000604596097 1072323022 /nfs/dbraw/zinc/32/30/22/1072323022.db2.gz IGTWCZGXWLOECG-KRWDZBQOSA-N 0 0 439.538 -0.070 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1 ZINC000604596098 1072322673 /nfs/dbraw/zinc/32/26/73/1072322673.db2.gz IGTWCZGXWLOECG-QGZVFWFLSA-N 0 0 439.538 -0.070 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000604596345 1072322408 /nfs/dbraw/zinc/32/24/08/1072322408.db2.gz WEWOQEGWOIGFMN-GOSISDBHSA-N 0 0 443.504 -0.072 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000604596346 1072322689 /nfs/dbraw/zinc/32/26/89/1072322689.db2.gz WEWOQEGWOIGFMN-SFHVURJKSA-N 0 0 443.504 -0.072 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1nnnn1-c1ccccc1 ZINC000604600626 1072322383 /nfs/dbraw/zinc/32/23/83/1072322383.db2.gz SOTFRTDKHMDNQW-HNNXBMFYSA-N 0 0 442.480 -0.564 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1nnnn1-c1ccccc1 ZINC000604600627 1072322337 /nfs/dbraw/zinc/32/23/37/1072322337.db2.gz SOTFRTDKHMDNQW-OAHLLOKOSA-N 0 0 442.480 -0.564 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c1 ZINC000604603478 1072323487 /nfs/dbraw/zinc/32/34/87/1072323487.db2.gz NZMGRRHTTPXNBM-UHFFFAOYSA-N 0 0 435.231 -0.186 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000604603641 1072323395 /nfs/dbraw/zinc/32/33/95/1072323395.db2.gz UOYHYXDJNVPTNH-AWEZNQCLSA-N 0 0 428.486 -0.730 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000604603642 1071453648 /nfs/dbraw/zinc/45/36/48/1071453648.db2.gz UOYHYXDJNVPTNH-CQSZACIVSA-N 0 0 428.486 -0.730 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCc2cc(S(N)(=O)=O)ccc2F)cn1C ZINC000604604333 1072322932 /nfs/dbraw/zinc/32/29/32/1072322932.db2.gz ZEDZSSYODQIKFK-UHFFFAOYSA-N 0 0 433.487 -0.500 20 0 IBADRN Cn1c2ncc(NC(=O)NCc3cc(S(N)(=O)=O)ccc3F)cc2c(=O)n(C)c1=O ZINC000604614335 1072322695 /nfs/dbraw/zinc/32/26/95/1072322695.db2.gz KCYXRIPXPROKCT-UHFFFAOYSA-N 0 0 436.425 -0.260 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000604637086 1072323867 /nfs/dbraw/zinc/32/38/67/1072323867.db2.gz SGQMAIFINKCGOB-UHFFFAOYSA-N 0 0 432.524 -0.893 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000604639735 1072322869 /nfs/dbraw/zinc/32/28/69/1072322869.db2.gz LJAFARAWNKNQNP-UHFFFAOYSA-N 0 0 446.551 -0.503 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000604657615 1072324291 /nfs/dbraw/zinc/32/42/91/1072324291.db2.gz OBQMSMMMXFMXLK-UHFFFAOYSA-N 0 0 429.474 -0.649 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000604657915 1072324302 /nfs/dbraw/zinc/32/43/02/1072324302.db2.gz HWMJOMHGIXCSHI-UHFFFAOYSA-N 0 0 443.501 -0.841 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000604658082 1072324199 /nfs/dbraw/zinc/32/41/99/1072324199.db2.gz OGYYLUKBDMYXCI-UHFFFAOYSA-N 0 0 427.502 -0.077 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCc3cc(S(N)(=O)=O)ccc3F)CC2)nc1 ZINC000604658326 1072324251 /nfs/dbraw/zinc/32/42/51/1072324251.db2.gz XELZESJAEKGNGW-UHFFFAOYSA-N 0 0 436.469 -0.001 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000604658389 1072324220 /nfs/dbraw/zinc/32/42/20/1072324220.db2.gz YVNCAKDATQSHFZ-UHFFFAOYSA-N 0 0 436.469 -0.001 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000604658565 1072324441 /nfs/dbraw/zinc/32/44/41/1072324441.db2.gz GTZSAKVYOVIWSN-UHFFFAOYSA-N 0 0 441.485 -0.551 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000604658911 1072324257 /nfs/dbraw/zinc/32/42/57/1072324257.db2.gz RQYORUFVOHYWLP-UHFFFAOYSA-N 0 0 427.458 -0.895 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(OCC(=O)N(C)C)c(Cl)c1 ZINC000604672455 1072326004 /nfs/dbraw/zinc/32/60/04/1072326004.db2.gz PHQFTZZDCKEQGP-UHFFFAOYSA-N 0 0 434.902 -0.199 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000604673324 1072326046 /nfs/dbraw/zinc/32/60/46/1072326046.db2.gz VJWSGOIDOJRBQS-UHFFFAOYSA-N 0 0 446.913 -0.151 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1Cl ZINC000604675138 1072325918 /nfs/dbraw/zinc/32/59/18/1072325918.db2.gz UZZQRHLFPHMZEQ-UHFFFAOYSA-N 0 0 446.913 -0.103 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)C(=O)NCc3cc(S(N)(=O)=O)ccc3F)cc2C1=O ZINC000604677487 1072325991 /nfs/dbraw/zinc/32/59/91/1072325991.db2.gz HJDLOLWEJMDCDQ-UHFFFAOYSA-N 0 0 434.405 -0.046 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)NCc3cc(S(N)(=O)=O)ccc3F)cc2n(C)c1=O ZINC000604677666 1072326130 /nfs/dbraw/zinc/32/61/30/1072326130.db2.gz SKCSVJRORLMOHY-UHFFFAOYSA-N 0 0 435.437 -0.082 20 0 IBADRN NC(=O)C1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCCC1 ZINC000604688805 1072327527 /nfs/dbraw/zinc/32/75/27/1072327527.db2.gz JJCGVZVNXZQQPB-UHFFFAOYSA-N 0 0 438.506 -0.192 20 0 IBADRN NC(=O)C1(CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCCC1 ZINC000604688863 1072327540 /nfs/dbraw/zinc/32/75/40/1072327540.db2.gz SHXNTAAUXATYSC-UHFFFAOYSA-N 0 0 445.520 -0.151 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1nnnn1-c1ccccc1 ZINC000604689912 1072327574 /nfs/dbraw/zinc/32/75/74/1072327574.db2.gz KERUUGMTUODKNI-LLVKDONJSA-N 0 0 449.431 -0.576 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1nnnn1-c1ccccc1 ZINC000604689913 1072327269 /nfs/dbraw/zinc/32/72/69/1072327269.db2.gz KERUUGMTUODKNI-NSHDSACASA-N 0 0 449.431 -0.576 20 0 IBADRN CC[C@H]1COCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000604691061 1072327452 /nfs/dbraw/zinc/32/74/52/1072327452.db2.gz ZCDDZXGMEYUIGZ-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN CC[C@@H]1COCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000604691062 1072327475 /nfs/dbraw/zinc/32/74/75/1072327475.db2.gz ZCDDZXGMEYUIGZ-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1F ZINC000604739807 1072327352 /nfs/dbraw/zinc/32/73/52/1072327352.db2.gz LUSNQGDHXQRGKA-UHFFFAOYSA-N 0 0 435.499 -0.247 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC(=O)N2C)cc1 ZINC000604740016 1072327339 /nfs/dbraw/zinc/32/73/39/1072327339.db2.gz CPEBIAIIWVMMHX-IDHHARJASA-N 0 0 437.518 -0.024 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC(=O)N2C)cc1 ZINC000604740017 1072327469 /nfs/dbraw/zinc/32/74/69/1072327469.db2.gz CPEBIAIIWVMMHX-SIXWZSSISA-N 0 0 437.518 -0.024 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)CC(=O)N2C)cc1 ZINC000604740018 1072327550 /nfs/dbraw/zinc/32/75/50/1072327550.db2.gz CPEBIAIIWVMMHX-URLQWDBASA-N 0 0 437.518 -0.024 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)CC(=O)N2C)cc1 ZINC000604740019 1072327564 /nfs/dbraw/zinc/32/75/64/1072327564.db2.gz CPEBIAIIWVMMHX-ZSZQSSIHSA-N 0 0 437.518 -0.024 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000604741611 1072328115 /nfs/dbraw/zinc/32/81/15/1072328115.db2.gz PNYHDZYUMKQLFQ-UHFFFAOYSA-N 0 0 449.526 -0.505 20 0 IBADRN COc1ccc([C@H]2[C@@H](C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC(=O)N2C)cc1 ZINC000604741625 1072328075 /nfs/dbraw/zinc/32/80/75/1072328075.db2.gz QDSKVYGNDBAFSP-HKUYNNGSSA-N 0 0 438.550 -0.092 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC(=O)N2C)cc1 ZINC000604741631 1072327441 /nfs/dbraw/zinc/32/74/41/1072327441.db2.gz QDSKVYGNDBAFSP-IEBWSBKVSA-N 0 0 438.550 -0.092 20 0 IBADRN COc1ccc([C@H]2[C@H](C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC(=O)N2C)cc1 ZINC000604741632 1072327312 /nfs/dbraw/zinc/32/73/12/1072327312.db2.gz QDSKVYGNDBAFSP-MJGOQNOKSA-N 0 0 438.550 -0.092 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC(=O)N2C)cc1 ZINC000604741633 1072328009 /nfs/dbraw/zinc/32/80/09/1072328009.db2.gz QDSKVYGNDBAFSP-PKOBYXMFSA-N 0 0 438.550 -0.092 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CS(=O)(=O)c1ccc(C(C)=O)c(F)c1 ZINC000604744449 1072328131 /nfs/dbraw/zinc/32/81/31/1072328131.db2.gz PCRUPYGRWXISLU-HNNXBMFYSA-N 0 0 427.454 -0.345 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CS(=O)(=O)c1ccc(C(C)=O)c(F)c1 ZINC000604744450 1072328042 /nfs/dbraw/zinc/32/80/42/1072328042.db2.gz PCRUPYGRWXISLU-OAHLLOKOSA-N 0 0 427.454 -0.345 20 0 IBADRN CN(C)c1nc(N)nc(COc2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)n1 ZINC000604758259 1073355997 /nfs/dbraw/zinc/35/59/97/1073355997.db2.gz FJPJXEYHWIOKDJ-UHFFFAOYSA-N 0 0 435.510 -0.184 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000604761878 1072328059 /nfs/dbraw/zinc/32/80/59/1072328059.db2.gz USFULAOFMHELEA-CYBMUJFWSA-N 0 0 429.520 -0.714 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)C(=O)NCC2 ZINC000604761879 1072328066 /nfs/dbraw/zinc/32/80/66/1072328066.db2.gz USFULAOFMHELEA-ZDUSSCGKSA-N 0 0 429.520 -0.714 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)NCC3)CC1 ZINC000604764193 1072327830 /nfs/dbraw/zinc/32/78/30/1072327830.db2.gz XLOZVEIJFUUQTG-HNNXBMFYSA-N 0 0 438.550 -0.180 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)NCC3)CC1 ZINC000604764195 1072328122 /nfs/dbraw/zinc/32/81/22/1072328122.db2.gz XLOZVEIJFUUQTG-OAHLLOKOSA-N 0 0 438.550 -0.180 20 0 IBADRN O=C1NCCc2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)cc21 ZINC000604765044 1072327910 /nfs/dbraw/zinc/32/79/10/1072327910.db2.gz MRWLYOYQAWJUFX-AWEZNQCLSA-N 0 0 431.536 -0.261 20 0 IBADRN O=C1NCCc2ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)cc21 ZINC000604765045 1072328501 /nfs/dbraw/zinc/32/85/01/1072328501.db2.gz MRWLYOYQAWJUFX-CQSZACIVSA-N 0 0 431.536 -0.261 20 0 IBADRN CCNC(=O)COc1ccc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000604765522 1072327800 /nfs/dbraw/zinc/32/78/00/1072327800.db2.gz RWIASKKSNJLZEH-DLBZAZTESA-N 0 0 441.550 -0.203 20 0 IBADRN CCNC(=O)COc1ccc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000604765531 1072327965 /nfs/dbraw/zinc/32/79/65/1072327965.db2.gz RWIASKKSNJLZEH-IAGOWNOFSA-N 0 0 441.550 -0.203 20 0 IBADRN CCNC(=O)COc1ccc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000604765532 1072327988 /nfs/dbraw/zinc/32/79/88/1072327988.db2.gz RWIASKKSNJLZEH-IRXDYDNUSA-N 0 0 441.550 -0.203 20 0 IBADRN CCNC(=O)COc1ccc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000604765533 1072327885 /nfs/dbraw/zinc/32/78/85/1072327885.db2.gz RWIASKKSNJLZEH-SJORKVTESA-N 0 0 441.550 -0.203 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)C(=O)NCC2)N1CCN(c2ncccn2)CC1 ZINC000604765833 1072328400 /nfs/dbraw/zinc/32/84/00/1072328400.db2.gz WRJTYJBWYJUQAX-UHFFFAOYSA-N 0 0 444.517 -0.220 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C[C@H](O)COCc1ccccc1 ZINC000604773929 1072328088 /nfs/dbraw/zinc/32/80/88/1072328088.db2.gz QWCAJWGSIQHQKU-NRFANRHFSA-N 0 0 448.564 -0.501 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C[C@@H](O)COCc1ccccc1 ZINC000604773930 1072327844 /nfs/dbraw/zinc/32/78/44/1072327844.db2.gz QWCAJWGSIQHQKU-OAQYLSRUSA-N 0 0 448.564 -0.501 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000604821074 1072328358 /nfs/dbraw/zinc/32/83/58/1072328358.db2.gz NRAHOGDFYMJQRQ-DLBZAZTESA-N 0 0 445.586 -0.026 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000604821075 1072328436 /nfs/dbraw/zinc/32/84/36/1072328436.db2.gz NRAHOGDFYMJQRQ-IAGOWNOFSA-N 0 0 445.586 -0.026 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000604821076 1072328418 /nfs/dbraw/zinc/32/84/18/1072328418.db2.gz NRAHOGDFYMJQRQ-IRXDYDNUSA-N 0 0 445.586 -0.026 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000604821077 1072328581 /nfs/dbraw/zinc/32/85/81/1072328581.db2.gz NRAHOGDFYMJQRQ-SJORKVTESA-N 0 0 445.586 -0.026 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000604822006 1072328337 /nfs/dbraw/zinc/32/83/37/1072328337.db2.gz VATCUEXLUTYHKI-DLBZAZTESA-N 0 0 437.541 -0.218 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000604822012 1072328470 /nfs/dbraw/zinc/32/84/70/1072328470.db2.gz VATCUEXLUTYHKI-IAGOWNOFSA-N 0 0 437.541 -0.218 20 0 IBADRN CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000604822014 1072328449 /nfs/dbraw/zinc/32/84/49/1072328449.db2.gz VATCUEXLUTYHKI-IRXDYDNUSA-N 0 0 437.541 -0.218 20 0 IBADRN CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000604822016 1072328457 /nfs/dbraw/zinc/32/84/57/1072328457.db2.gz VATCUEXLUTYHKI-SJORKVTESA-N 0 0 437.541 -0.218 20 0 IBADRN C[C@@H](Sc1nnnn1-c1ccc2c(c1)OCCO2)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000604840597 1072328515 /nfs/dbraw/zinc/32/85/15/1072328515.db2.gz OZWIKCSWKRATKE-SECBINFHSA-N 0 0 445.461 -0.223 20 0 IBADRN C[C@H](Sc1nnnn1-c1ccc2c(c1)OCCO2)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000604840599 1072328382 /nfs/dbraw/zinc/32/83/82/1072328382.db2.gz OZWIKCSWKRATKE-VIFPVBQESA-N 0 0 445.461 -0.223 20 0 IBADRN CN(CCCNC(=O)CSc1nnnn1-c1ccc2c(c1)OCCO2)S(C)(=O)=O ZINC000604840978 1072328522 /nfs/dbraw/zinc/32/85/22/1072328522.db2.gz LEHCWWZSGOVHQB-UHFFFAOYSA-N 0 0 442.523 -0.077 20 0 IBADRN Cn1c2ncn(CCSc3nnnn3-c3ccc4c(c3)OCCO4)c2c(=O)n(C)c1=O ZINC000604841736 1072328597 /nfs/dbraw/zinc/32/85/97/1072328597.db2.gz YPTTYNRPPYCZMD-UHFFFAOYSA-N 0 0 442.461 -0.027 20 0 IBADRN COCCn1c(N)c(C(=O)CSc2nnnn2-c2ccc3c(c2)OCO3)c(=O)[nH]c1=O ZINC000604841954 1072328571 /nfs/dbraw/zinc/32/85/71/1072328571.db2.gz UEAJQLAQJLJAKW-UHFFFAOYSA-N 0 0 447.433 -0.143 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)Cc2nc3ncccn3n2)CC1 ZINC000604846648 1072328544 /nfs/dbraw/zinc/32/85/44/1072328544.db2.gz ANSSIMHTELSCJP-UHFFFAOYSA-N 0 0 429.506 -0.081 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)nn1 ZINC000604846983 1072328890 /nfs/dbraw/zinc/32/88/90/1072328890.db2.gz ZNSVDYJCQWOHPY-UHFFFAOYSA-N 0 0 449.537 -0.768 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)[C@@H]2CCCN2S(C)(=O)=O)CC1 ZINC000604847109 1072328590 /nfs/dbraw/zinc/32/85/90/1072328590.db2.gz LRNMDXJGWXOQER-INIZCTEOSA-N 0 0 444.579 -0.337 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000604847112 1072328828 /nfs/dbraw/zinc/32/88/28/1072328828.db2.gz LRNMDXJGWXOQER-MRXNPFEDSA-N 0 0 444.579 -0.337 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CN2CCCS2(=O)=O)CC1 ZINC000604847161 1072329015 /nfs/dbraw/zinc/32/90/15/1072329015.db2.gz ODIXZKIWBYUVAP-UHFFFAOYSA-N 0 0 430.552 -0.726 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000604864075 1072329063 /nfs/dbraw/zinc/32/90/63/1072329063.db2.gz WTEBQSUNBGNAGK-HNNXBMFYSA-N 0 0 447.579 -0.202 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000604864076 1072328985 /nfs/dbraw/zinc/32/89/85/1072328985.db2.gz WTEBQSUNBGNAGK-OAHLLOKOSA-N 0 0 447.579 -0.202 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000604864306 1072328867 /nfs/dbraw/zinc/32/88/67/1072328867.db2.gz BWGGKFMVTDXJLI-AWEZNQCLSA-N 0 0 436.494 -0.538 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000604864307 1072328839 /nfs/dbraw/zinc/32/88/39/1072328839.db2.gz BWGGKFMVTDXJLI-CQSZACIVSA-N 0 0 436.494 -0.538 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H](C)CS(=O)(=O)c1ccc(OC)cc1 ZINC000604864459 1072328953 /nfs/dbraw/zinc/32/89/53/1072328953.db2.gz JQAUPUCSEJFVBY-BBRMVZONSA-N 0 0 425.507 -0.042 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](C)CS(=O)(=O)c1ccc(OC)cc1 ZINC000604864460 1072328906 /nfs/dbraw/zinc/32/89/06/1072328906.db2.gz JQAUPUCSEJFVBY-CJNGLKHVSA-N 0 0 425.507 -0.042 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@H](C)CS(=O)(=O)c1ccc(OC)cc1 ZINC000604864461 1072328879 /nfs/dbraw/zinc/32/88/79/1072328879.db2.gz JQAUPUCSEJFVBY-CZUORRHYSA-N 0 0 425.507 -0.042 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H](C)CS(=O)(=O)c1ccc(OC)cc1 ZINC000604864462 1071456240 /nfs/dbraw/zinc/45/62/40/1071456240.db2.gz JQAUPUCSEJFVBY-XJKSGUPXSA-N 0 0 425.507 -0.042 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000604868573 1072328533 /nfs/dbraw/zinc/32/85/33/1072328533.db2.gz UVQMUSRVFGDTCX-HNNXBMFYSA-N 0 0 427.523 -0.330 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000604868580 1072328483 /nfs/dbraw/zinc/32/84/83/1072328483.db2.gz UVQMUSRVFGDTCX-OAHLLOKOSA-N 0 0 427.523 -0.330 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CCC(=O)N2CCOCC2)CC1 ZINC000604874466 1072329499 /nfs/dbraw/zinc/32/94/99/1072329499.db2.gz DHLVGPJUNLPVFE-UHFFFAOYSA-N 0 0 438.550 -0.122 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CCCN2C(=O)CNC2=O)CC1 ZINC000604874549 1072329309 /nfs/dbraw/zinc/32/93/09/1072329309.db2.gz KTLVRDBBJUBIOT-UHFFFAOYSA-N 0 0 437.522 -0.429 20 0 IBADRN COCCn1nc(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)ccc1=O ZINC000604874701 1072329343 /nfs/dbraw/zinc/32/93/43/1072329343.db2.gz OEZQBTMCMYOCBS-UHFFFAOYSA-N 0 0 449.533 -0.244 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CS(=O)(=O)NC(C)(C)C)CC1 ZINC000604874750 1072329378 /nfs/dbraw/zinc/32/93/78/1072329378.db2.gz SBCHSSAVLKPPNT-UHFFFAOYSA-N 0 0 446.595 -0.043 20 0 IBADRN Cc1ccc(C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000604877322 1072329431 /nfs/dbraw/zinc/32/94/31/1072329431.db2.gz CLKBWHZHTDVJNF-HNNXBMFYSA-N 0 0 431.536 -0.220 20 0 IBADRN Cc1ccc(C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000604877323 1072329993 /nfs/dbraw/zinc/32/99/93/1072329993.db2.gz CLKBWHZHTDVJNF-OAHLLOKOSA-N 0 0 431.536 -0.220 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000604877493 1072329486 /nfs/dbraw/zinc/32/94/86/1072329486.db2.gz HBWPECSIPQLISF-CYBMUJFWSA-N 0 0 447.535 -0.520 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000604877498 1072329532 /nfs/dbraw/zinc/32/95/32/1072329532.db2.gz HBWPECSIPQLISF-ZDUSSCGKSA-N 0 0 447.535 -0.520 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000604877503 1072329458 /nfs/dbraw/zinc/32/94/58/1072329458.db2.gz HRHCPSRCVOEUIF-CYBMUJFWSA-N 0 0 434.540 -0.971 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000604877505 1072329405 /nfs/dbraw/zinc/32/94/05/1072329405.db2.gz HRHCPSRCVOEUIF-ZDUSSCGKSA-N 0 0 434.540 -0.971 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1 ZINC000604877547 1072329523 /nfs/dbraw/zinc/32/95/23/1072329523.db2.gz GUBQWRRXPZQYRH-KBPBESRZSA-N 0 0 433.556 -0.423 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1 ZINC000604877548 1072329392 /nfs/dbraw/zinc/32/93/92/1072329392.db2.gz GUBQWRRXPZQYRH-KGLIPLIRSA-N 0 0 433.556 -0.423 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1 ZINC000604877550 1072329417 /nfs/dbraw/zinc/32/94/17/1072329417.db2.gz GUBQWRRXPZQYRH-UONOGXRCSA-N 0 0 433.556 -0.423 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1 ZINC000604877552 1072329543 /nfs/dbraw/zinc/32/95/43/1072329543.db2.gz GUBQWRRXPZQYRH-ZIAGYGMSSA-N 0 0 433.556 -0.423 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC000604877670 1071456188 /nfs/dbraw/zinc/45/61/88/1071456188.db2.gz IGXIKWNKYWXMTN-QWHCGFSZSA-N 0 0 447.535 -0.335 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC000604877671 1072329447 /nfs/dbraw/zinc/32/94/47/1072329447.db2.gz IGXIKWNKYWXMTN-STQMWFEESA-N 0 0 447.535 -0.335 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000604877690 1072329358 /nfs/dbraw/zinc/32/93/58/1072329358.db2.gz JOSOQCITAVTLDP-CABCVRRESA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000604877691 1071456204 /nfs/dbraw/zinc/45/62/04/1071456204.db2.gz JOSOQCITAVTLDP-GJZGRUSLSA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000604877692 1072329556 /nfs/dbraw/zinc/32/95/56/1072329556.db2.gz JOSOQCITAVTLDP-HUUCEWRRSA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000604877693 1072329325 /nfs/dbraw/zinc/32/93/25/1072329325.db2.gz JOSOQCITAVTLDP-LSDHHAIUSA-N 0 0 431.536 -0.092 20 0 IBADRN COc1ccc(C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000604878042 1072329816 /nfs/dbraw/zinc/32/98/16/1072329816.db2.gz AGQBALNRHSOPLX-AWEZNQCLSA-N 0 0 447.535 -0.520 20 0 IBADRN COc1ccc(C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000604878043 1072329971 /nfs/dbraw/zinc/32/99/71/1072329971.db2.gz AGQBALNRHSOPLX-CQSZACIVSA-N 0 0 447.535 -0.520 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@H](NS(C)(=O)=O)C3)CC2)cc1 ZINC000604878110 1072330022 /nfs/dbraw/zinc/33/00/22/1072330022.db2.gz FBSFKMUSCQGWBD-INIZCTEOSA-N 0 0 444.579 -0.549 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@@H](NS(C)(=O)=O)C3)CC2)cc1 ZINC000604878111 1072329839 /nfs/dbraw/zinc/32/98/39/1072329839.db2.gz FBSFKMUSCQGWBD-MRXNPFEDSA-N 0 0 444.579 -0.549 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000604878133 1072329919 /nfs/dbraw/zinc/32/99/19/1072329919.db2.gz NLHNKLRBKJPRLL-MRVPVSSYSA-N 0 0 446.370 -0.061 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000604878142 1072329954 /nfs/dbraw/zinc/32/99/54/1072329954.db2.gz NLHNKLRBKJPRLL-QMMMGPOBSA-N 0 0 446.370 -0.061 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000604878763 1072329935 /nfs/dbraw/zinc/32/99/35/1072329935.db2.gz WIMIOWCVEYMBCW-INIZCTEOSA-N 0 0 445.563 -0.210 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000604878766 1072329944 /nfs/dbraw/zinc/32/99/44/1072329944.db2.gz WIMIOWCVEYMBCW-MRXNPFEDSA-N 0 0 445.563 -0.210 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1 ZINC000604878837 1072329979 /nfs/dbraw/zinc/32/99/79/1072329979.db2.gz XYUMMUSEWZORGB-KBPBESRZSA-N 0 0 434.540 -0.158 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1 ZINC000604878852 1072329899 /nfs/dbraw/zinc/32/98/99/1072329899.db2.gz XYUMMUSEWZORGB-KGLIPLIRSA-N 0 0 434.540 -0.158 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1 ZINC000604878853 1071456215 /nfs/dbraw/zinc/45/62/15/1071456215.db2.gz XYUMMUSEWZORGB-UONOGXRCSA-N 0 0 434.540 -0.158 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1 ZINC000604878854 1071456222 /nfs/dbraw/zinc/45/62/22/1071456222.db2.gz XYUMMUSEWZORGB-ZIAGYGMSSA-N 0 0 434.540 -0.158 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1OC ZINC000604879190 1072330016 /nfs/dbraw/zinc/33/00/16/1072330016.db2.gz XLZQBVANMCCHMH-GFCCVEGCSA-N 0 0 435.524 -0.478 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1OC ZINC000604879191 1072329909 /nfs/dbraw/zinc/32/99/09/1072329909.db2.gz XLZQBVANMCCHMH-LBPRGKRZSA-N 0 0 435.524 -0.478 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000604879214 1072330028 /nfs/dbraw/zinc/33/00/28/1072330028.db2.gz IBCNAEBDDBASHT-FZMZJTMJSA-N 0 0 432.524 -0.538 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000604879215 1072329986 /nfs/dbraw/zinc/32/99/86/1072329986.db2.gz IBCNAEBDDBASHT-SMDDNHRTSA-N 0 0 432.524 -0.538 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000604879288 1072329889 /nfs/dbraw/zinc/32/98/89/1072329889.db2.gz NQTFUQZWOPDOBT-CYBMUJFWSA-N 0 0 447.535 -0.334 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000604879289 1072330032 /nfs/dbraw/zinc/33/00/32/1072330032.db2.gz NQTFUQZWOPDOBT-ZDUSSCGKSA-N 0 0 447.535 -0.334 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000604885704 1072329756 /nfs/dbraw/zinc/32/97/56/1072329756.db2.gz ANOLBTGKMZACCH-HNNXBMFYSA-N 0 0 448.542 -0.718 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000604885705 1072329997 /nfs/dbraw/zinc/32/99/97/1072329997.db2.gz ANOLBTGKMZACCH-OAHLLOKOSA-N 0 0 448.542 -0.718 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000604885915 1072329853 /nfs/dbraw/zinc/32/98/53/1072329853.db2.gz IZWSENZTXQQKJL-HNNXBMFYSA-N 0 0 433.552 -0.290 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000604885916 1072330289 /nfs/dbraw/zinc/33/02/89/1072330289.db2.gz IZWSENZTXQQKJL-OAHLLOKOSA-N 0 0 433.552 -0.290 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000604885939 1072330463 /nfs/dbraw/zinc/33/04/63/1072330463.db2.gz NYOGBPWFTNIEKP-KBPBESRZSA-N 0 0 435.593 -0.113 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000604885940 1072330398 /nfs/dbraw/zinc/33/03/98/1072330398.db2.gz NYOGBPWFTNIEKP-KGLIPLIRSA-N 0 0 435.593 -0.113 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000604885941 1071456197 /nfs/dbraw/zinc/45/61/97/1071456197.db2.gz NYOGBPWFTNIEKP-UONOGXRCSA-N 0 0 435.593 -0.113 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000604885942 1071455963 /nfs/dbraw/zinc/45/59/63/1071455963.db2.gz NYOGBPWFTNIEKP-ZIAGYGMSSA-N 0 0 435.593 -0.113 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000604893257 1072329108 /nfs/dbraw/zinc/32/91/08/1072329108.db2.gz KZTFLIISBZFSHA-HNNXBMFYSA-N 0 0 444.579 -0.143 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@H]2CCS(=O)(=O)C2)CC1 ZINC000604893258 1072328810 /nfs/dbraw/zinc/32/88/10/1072328810.db2.gz KZTFLIISBZFSHA-OAHLLOKOSA-N 0 0 444.579 -0.143 20 0 IBADRN COc1cc(CNC(=O)N2CC[C@H](NS(C)(=O)=O)C2)ccc1OCC(=O)N(C)C ZINC000604895194 1072328923 /nfs/dbraw/zinc/32/89/23/1072328923.db2.gz OZBYHUDLXXTVSC-AWEZNQCLSA-N 0 0 428.511 -0.005 20 0 IBADRN COc1cc(CNC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)ccc1OCC(=O)N(C)C ZINC000604895204 1072328968 /nfs/dbraw/zinc/32/89/68/1072328968.db2.gz OZBYHUDLXXTVSC-CQSZACIVSA-N 0 0 428.511 -0.005 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000604895546 1072329000 /nfs/dbraw/zinc/32/90/00/1072329000.db2.gz VTDCVIFOGSBABY-AWEZNQCLSA-N 0 0 434.540 -0.556 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000604895547 1071456034 /nfs/dbraw/zinc/45/60/34/1071456034.db2.gz VTDCVIFOGSBABY-CQSZACIVSA-N 0 0 434.540 -0.556 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000604903702 1072329076 /nfs/dbraw/zinc/32/90/76/1072329076.db2.gz VJBDIMMVCPBNPU-AWEZNQCLSA-N 0 0 432.524 -0.137 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000604903703 1072328853 /nfs/dbraw/zinc/32/88/53/1072328853.db2.gz VJBDIMMVCPBNPU-CQSZACIVSA-N 0 0 432.524 -0.137 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CC[C@H](NS(C)(=O)=O)C3)c2)CC1 ZINC000604906273 1072328937 /nfs/dbraw/zinc/32/89/37/1072328937.db2.gz HGPDBWRVVZPRGV-HNNXBMFYSA-N 0 0 445.567 -0.222 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CC[C@@H](NS(C)(=O)=O)C3)c2)CC1 ZINC000604906274 1072329371 /nfs/dbraw/zinc/32/93/71/1072329371.db2.gz HGPDBWRVVZPRGV-OAHLLOKOSA-N 0 0 445.567 -0.222 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000604907456 1072329513 /nfs/dbraw/zinc/32/95/13/1072329513.db2.gz NVTGALMCVDXIQA-AWEZNQCLSA-N 0 0 446.555 -0.681 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000604907457 1072329474 /nfs/dbraw/zinc/32/94/74/1072329474.db2.gz NVTGALMCVDXIQA-CQSZACIVSA-N 0 0 446.555 -0.681 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCO[C@H](C(N)=O)C2)CC1 ZINC000604920731 1072330264 /nfs/dbraw/zinc/33/02/64/1072330264.db2.gz HMEHVDCOHHRKLC-HNNXBMFYSA-N 0 0 425.511 -0.982 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCO[C@@H](C(N)=O)C2)CC1 ZINC000604920732 1072330419 /nfs/dbraw/zinc/33/04/19/1072330419.db2.gz HMEHVDCOHHRKLC-OAHLLOKOSA-N 0 0 425.511 -0.982 20 0 IBADRN CC(C)COc1ccc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC000604924489 1072330361 /nfs/dbraw/zinc/33/03/61/1072330361.db2.gz YLQLRSQYSBOEBD-AWEZNQCLSA-N 0 0 426.495 -0.136 20 0 IBADRN CC(C)COc1ccc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000604924490 1072330338 /nfs/dbraw/zinc/33/03/38/1072330338.db2.gz YLQLRSQYSBOEBD-CQSZACIVSA-N 0 0 426.495 -0.136 20 0 IBADRN Cc1c(CS(C)(=O)=O)cccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000604924860 1072330374 /nfs/dbraw/zinc/33/03/74/1072330374.db2.gz FGGIBWRPUXPOHY-UHFFFAOYSA-N 0 0 446.551 -0.571 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000604927218 1072331110 /nfs/dbraw/zinc/33/11/10/1072331110.db2.gz CYTJABNYXHHPGC-CYBMUJFWSA-N 0 0 434.474 -0.219 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000604927219 1072330811 /nfs/dbraw/zinc/33/08/11/1072330811.db2.gz CYTJABNYXHHPGC-ZDUSSCGKSA-N 0 0 434.474 -0.219 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000604927236 1072330862 /nfs/dbraw/zinc/33/08/62/1072330862.db2.gz FCSZHTIWCQKARY-AWEZNQCLSA-N 0 0 446.551 -0.194 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000604927237 1072331070 /nfs/dbraw/zinc/33/10/70/1072331070.db2.gz FCSZHTIWCQKARY-CQSZACIVSA-N 0 0 446.551 -0.194 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000604927242 1072330829 /nfs/dbraw/zinc/33/08/29/1072330829.db2.gz FISCGAQNTLTGAW-CYBMUJFWSA-N 0 0 426.495 -0.449 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000604927243 1072331594 /nfs/dbraw/zinc/33/15/94/1072331594.db2.gz FISCGAQNTLTGAW-ZDUSSCGKSA-N 0 0 426.495 -0.449 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(N3CCNC3=O)ccc2Cl)C1 ZINC000604927334 1072331499 /nfs/dbraw/zinc/33/14/99/1072331499.db2.gz LGOBOCVCQZKRSX-JTQLQIEISA-N 0 0 429.886 -0.042 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(N3CCNC3=O)ccc2Cl)C1 ZINC000604927335 1072331582 /nfs/dbraw/zinc/33/15/82/1072331582.db2.gz LGOBOCVCQZKRSX-SNVBAGLBSA-N 0 0 429.886 -0.042 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000604927340 1072331059 /nfs/dbraw/zinc/33/10/59/1072331059.db2.gz LRRKWWRORAYGAQ-CYBMUJFWSA-N 0 0 444.535 -0.442 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000604927341 1072331012 /nfs/dbraw/zinc/33/10/12/1072331012.db2.gz LRRKWWRORAYGAQ-ZDUSSCGKSA-N 0 0 444.535 -0.442 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1Cl ZINC000604927448 1072331121 /nfs/dbraw/zinc/33/11/21/1072331121.db2.gz SICZCXJNUYWTSA-GFCCVEGCSA-N 0 0 446.913 -0.104 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1Cl ZINC000604927449 1072330871 /nfs/dbraw/zinc/33/08/71/1072330871.db2.gz SICZCXJNUYWTSA-LBPRGKRZSA-N 0 0 446.913 -0.104 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)CC1 ZINC000604927456 1072330961 /nfs/dbraw/zinc/33/09/61/1072330961.db2.gz SRHOXHZITPVQRD-AWEZNQCLSA-N 0 0 437.522 -0.837 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)CC1 ZINC000604927457 1072331035 /nfs/dbraw/zinc/33/10/35/1072331035.db2.gz SRHOXHZITPVQRD-CQSZACIVSA-N 0 0 437.522 -0.837 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000604927460 1072330850 /nfs/dbraw/zinc/33/08/50/1072330850.db2.gz SWAJTMYUIRMYJB-CYBMUJFWSA-N 0 0 446.551 -0.148 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000604927461 1072331024 /nfs/dbraw/zinc/33/10/24/1072331024.db2.gz SWAJTMYUIRMYJB-ZDUSSCGKSA-N 0 0 446.551 -0.148 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)C1 ZINC000604927754 1072330948 /nfs/dbraw/zinc/33/09/48/1072330948.db2.gz NEIJWGOYOIEWQX-HNNXBMFYSA-N 0 0 438.506 -0.224 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)C1 ZINC000604927755 1072331130 /nfs/dbraw/zinc/33/11/30/1072331130.db2.gz NEIJWGOYOIEWQX-OAHLLOKOSA-N 0 0 438.506 -0.224 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000604927782 1072330442 /nfs/dbraw/zinc/33/04/42/1072330442.db2.gz QMNVGLUGPYNURO-CYBMUJFWSA-N 0 0 430.508 -0.784 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000604927783 1071457606 /nfs/dbraw/zinc/45/76/06/1071457606.db2.gz QMNVGLUGPYNURO-ZDUSSCGKSA-N 0 0 430.508 -0.784 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000604927792 1072330349 /nfs/dbraw/zinc/33/03/49/1072330349.db2.gz RLNFDBYGSUDOQV-MRVPVSSYSA-N 0 0 425.435 -0.543 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000604927793 1072330278 /nfs/dbraw/zinc/33/02/78/1072330278.db2.gz RLNFDBYGSUDOQV-QMMMGPOBSA-N 0 0 425.435 -0.543 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000604927822 1072330409 /nfs/dbraw/zinc/33/04/09/1072330409.db2.gz USIOVFKPKNIINL-BBRMVZONSA-N 0 0 438.506 -0.543 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000604927823 1072330494 /nfs/dbraw/zinc/33/04/94/1072330494.db2.gz USIOVFKPKNIINL-CJNGLKHVSA-N 0 0 438.506 -0.543 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000604927824 1072330455 /nfs/dbraw/zinc/33/04/55/1072330455.db2.gz USIOVFKPKNIINL-CZUORRHYSA-N 0 0 438.506 -0.543 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000604927825 1072330508 /nfs/dbraw/zinc/33/05/08/1072330508.db2.gz USIOVFKPKNIINL-XJKSGUPXSA-N 0 0 438.506 -0.543 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000604927848 1072330429 /nfs/dbraw/zinc/33/04/29/1072330429.db2.gz WBPJLPKCYWZVGG-AWEZNQCLSA-N 0 0 446.551 -0.196 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000604927849 1072330324 /nfs/dbraw/zinc/33/03/24/1072330324.db2.gz WBPJLPKCYWZVGG-CQSZACIVSA-N 0 0 446.551 -0.196 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000604927868 1072330484 /nfs/dbraw/zinc/33/04/84/1072330484.db2.gz XGSFSNBIWGETKU-CYBMUJFWSA-N 0 0 430.508 -0.685 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000604927869 1072330838 /nfs/dbraw/zinc/33/08/38/1072330838.db2.gz XGSFSNBIWGETKU-ZDUSSCGKSA-N 0 0 430.508 -0.685 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Cn1nnc([C@H]2CN(Cc3ccccc3)CCO2)n1 ZINC000604932276 1072331607 /nfs/dbraw/zinc/33/16/07/1072331607.db2.gz CBPXSZDUAUDFAB-GOSISDBHSA-N 0 0 443.508 -0.436 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Cn1nnc([C@@H]2CN(Cc3ccccc3)CCO2)n1 ZINC000604932277 1072331457 /nfs/dbraw/zinc/33/14/57/1072331457.db2.gz CBPXSZDUAUDFAB-SFHVURJKSA-N 0 0 443.508 -0.436 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000604953097 1074354761 /nfs/dbraw/zinc/35/47/61/1074354761.db2.gz ZQINHRWVIFALKP-KRWDZBQOSA-N 0 0 448.524 -0.606 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000604953098 1074354726 /nfs/dbraw/zinc/35/47/26/1074354726.db2.gz ZQINHRWVIFALKP-QGZVFWFLSA-N 0 0 448.524 -0.606 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)ccc1OC ZINC000604980643 1072331673 /nfs/dbraw/zinc/33/16/73/1072331673.db2.gz JAPVSEJHOWHJQN-UHFFFAOYSA-N 0 0 437.478 -0.089 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1C ZINC000604980756 1074354618 /nfs/dbraw/zinc/35/46/18/1074354618.db2.gz OGUKHPTVAQJEFP-UHFFFAOYSA-N 0 0 439.498 -0.909 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000604980821 1073348815 /nfs/dbraw/zinc/34/88/15/1073348815.db2.gz QYYDFXNXPOAISH-INIZCTEOSA-N 0 0 439.480 -0.031 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000604980822 1073347602 /nfs/dbraw/zinc/34/76/02/1073347602.db2.gz QYYDFXNXPOAISH-MRXNPFEDSA-N 0 0 439.480 -0.031 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000604980823 1074354713 /nfs/dbraw/zinc/35/47/13/1074354713.db2.gz REBRMBUZNRTTCA-UHFFFAOYSA-N 0 0 441.242 -0.595 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000604980864 1073320673 /nfs/dbraw/zinc/32/06/73/1073320673.db2.gz UMVCLVUFBZUDPU-UHFFFAOYSA-N 0 0 438.444 -0.048 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000604980952 1073352399 /nfs/dbraw/zinc/35/23/99/1073352399.db2.gz ZWJVMOGDKPYGPB-LBPRGKRZSA-N 0 0 440.482 -0.348 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000605022281 1072331558 /nfs/dbraw/zinc/33/15/58/1072331558.db2.gz FHTDZHDFWJXUID-INIZCTEOSA-N 0 0 448.520 -0.175 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)c1 ZINC000605022282 1072331547 /nfs/dbraw/zinc/33/15/47/1072331547.db2.gz FHTDZHDFWJXUID-MRXNPFEDSA-N 0 0 448.520 -0.175 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000605022283 1072332192 /nfs/dbraw/zinc/33/21/92/1072332192.db2.gz FKEDPFXEFGNQNI-HNNXBMFYSA-N 0 0 430.513 -0.743 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000605022284 1073362381 /nfs/dbraw/zinc/36/23/81/1073362381.db2.gz FKEDPFXEFGNQNI-OAHLLOKOSA-N 0 0 430.513 -0.743 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cn2c3ccccc3c(=O)[nH]c2=O)CC1 ZINC000605022323 1072332095 /nfs/dbraw/zinc/33/20/95/1072332095.db2.gz LYELNDSFMVYEDW-HNNXBMFYSA-N 0 0 429.477 -0.506 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)Cn2c3ccccc3c(=O)[nH]c2=O)CC1 ZINC000605022324 1072331970 /nfs/dbraw/zinc/33/19/70/1072331970.db2.gz LYELNDSFMVYEDW-OAHLLOKOSA-N 0 0 429.477 -0.506 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000605023012 1072331487 /nfs/dbraw/zinc/33/14/87/1072331487.db2.gz XJAFWWNNYUZOLX-UHFFFAOYSA-N 0 0 449.489 -0.139 20 0 IBADRN O=C(NCCNC(=O)N1CCN(C[C@H](O)COc2ccccc2)CC1)c1cnccn1 ZINC000605054828 1072332035 /nfs/dbraw/zinc/33/20/35/1072332035.db2.gz ZVUOSRQQOZLMBR-KRWDZBQOSA-N 0 0 428.493 -0.027 20 0 IBADRN O=C(NCCNC(=O)N1CCN(C[C@@H](O)COc2ccccc2)CC1)c1cnccn1 ZINC000605054829 1072331984 /nfs/dbraw/zinc/33/19/84/1072331984.db2.gz ZVUOSRQQOZLMBR-QGZVFWFLSA-N 0 0 428.493 -0.027 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)NCC2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000605063654 1072332078 /nfs/dbraw/zinc/33/20/78/1072332078.db2.gz QHMPFJVDMZWWJN-UHFFFAOYSA-N 0 0 427.461 -0.796 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)NCC4)CC2)CC1 ZINC000605063915 1072332152 /nfs/dbraw/zinc/33/21/52/1072332152.db2.gz DFTIOTWNXHWIBN-UHFFFAOYSA-N 0 0 427.505 -0.076 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)NCC4)CC2)no1 ZINC000605064157 1072332235 /nfs/dbraw/zinc/33/22/35/1072332235.db2.gz OPLPADQIMYHQFD-UHFFFAOYSA-N 0 0 440.460 -0.010 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)NCC3)CC1 ZINC000605064368 1072331930 /nfs/dbraw/zinc/33/19/30/1072331930.db2.gz YTXJYXXCEWUHNJ-UHFFFAOYSA-N 0 0 434.460 -0.064 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC000605065667 1072332109 /nfs/dbraw/zinc/33/21/09/1072332109.db2.gz BAZVQPGQFNNUOG-HNNXBMFYSA-N 0 0 438.506 -0.484 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC000605065668 1072332246 /nfs/dbraw/zinc/33/22/46/1072332246.db2.gz BAZVQPGQFNNUOG-OAHLLOKOSA-N 0 0 438.506 -0.484 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC000605066040 1072332022 /nfs/dbraw/zinc/33/20/22/1072332022.db2.gz RSHZGMDTFWGLES-UHFFFAOYSA-N 0 0 426.520 -0.594 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCCO1 ZINC000605067534 1072332223 /nfs/dbraw/zinc/33/22/23/1072332223.db2.gz RNYRRMGMSDAPCF-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(C)(C)C[C@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000605071798 1072332182 /nfs/dbraw/zinc/33/21/82/1072332182.db2.gz QCZZMRLPCYTUOL-AWEZNQCLSA-N 0 0 440.522 -0.341 20 0 IBADRN CC(C)(C)C[C@@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000605071799 1072331959 /nfs/dbraw/zinc/33/19/59/1072331959.db2.gz QCZZMRLPCYTUOL-CQSZACIVSA-N 0 0 440.522 -0.341 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)C2=O)cn1 ZINC000605095698 1072332008 /nfs/dbraw/zinc/33/20/08/1072332008.db2.gz HJSLMFJQRYREAG-GFCCVEGCSA-N 0 0 428.434 -0.633 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)C2=O)cn1 ZINC000605095699 1072332257 /nfs/dbraw/zinc/33/22/57/1072332257.db2.gz HJSLMFJQRYREAG-LBPRGKRZSA-N 0 0 428.434 -0.633 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)C2=O)cn1 ZINC000605096101 1072332063 /nfs/dbraw/zinc/33/20/63/1072332063.db2.gz GVFIWWBLIVWDJO-HNNXBMFYSA-N 0 0 436.494 -0.685 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)C2=O)cn1 ZINC000605096103 1072332580 /nfs/dbraw/zinc/33/25/80/1072332580.db2.gz GVFIWWBLIVWDJO-OAHLLOKOSA-N 0 0 436.494 -0.685 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)C2=O)cn1 ZINC000605096328 1072332518 /nfs/dbraw/zinc/33/25/18/1072332518.db2.gz QLFQVWMGZWKVBO-KRWDZBQOSA-N 0 0 433.490 -0.024 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)C2=O)cn1 ZINC000605096329 1072332643 /nfs/dbraw/zinc/33/26/43/1072332643.db2.gz QLFQVWMGZWKVBO-QGZVFWFLSA-N 0 0 433.490 -0.024 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2=O)cn1 ZINC000605097014 1072332501 /nfs/dbraw/zinc/33/25/01/1072332501.db2.gz WXTWTTRTABPWOB-INIZCTEOSA-N 0 0 446.489 -0.924 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2=O)cn1 ZINC000605097015 1072332705 /nfs/dbraw/zinc/33/27/05/1072332705.db2.gz WXTWTTRTABPWOB-MRXNPFEDSA-N 0 0 446.489 -0.924 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2=O)cn1 ZINC000605097240 1072332475 /nfs/dbraw/zinc/33/24/75/1072332475.db2.gz OTHQQKIGAMFTJT-INIZCTEOSA-N 0 0 446.489 -0.924 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2=O)cn1 ZINC000605097241 1072332777 /nfs/dbraw/zinc/33/27/77/1072332777.db2.gz OTHQQKIGAMFTJT-MRXNPFEDSA-N 0 0 446.489 -0.924 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NC1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000605099359 1072332134 /nfs/dbraw/zinc/33/21/34/1072332134.db2.gz HNVCGZHGUSIPRT-UHFFFAOYSA-N 0 0 429.564 -0.173 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)CN(C)S(C)(=O)=O)CC2)cc1OC ZINC000605101148 1072332210 /nfs/dbraw/zinc/33/22/10/1072332210.db2.gz XBNOSWBVMMCVNP-UHFFFAOYSA-N 0 0 449.551 -0.136 20 0 IBADRN CCc1nc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nn1-c1ccccc1 ZINC000605120186 1072332630 /nfs/dbraw/zinc/33/26/30/1072332630.db2.gz XEKRHSBBRHTQQH-UHFFFAOYSA-N 0 0 426.477 -0.027 20 0 IBADRN CCN(C(=O)CN1C(=O)CN(C)C1=O)c1ccc(NC(=O)CN2C(=O)CN(C)C2=O)cc1 ZINC000605130252 1072332595 /nfs/dbraw/zinc/33/25/95/1072332595.db2.gz LHYSMYYMTWYACA-UHFFFAOYSA-N 0 0 444.448 -0.234 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1OC ZINC000605130497 1072332679 /nfs/dbraw/zinc/33/26/79/1072332679.db2.gz IQAGDZHUOUPGIO-AWEZNQCLSA-N 0 0 429.495 -0.264 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCO[C@H](CNC(C)=O)C2)cc1OC ZINC000605130498 1072332751 /nfs/dbraw/zinc/33/27/51/1072332751.db2.gz IQAGDZHUOUPGIO-CQSZACIVSA-N 0 0 429.495 -0.264 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CCO1 ZINC000605130885 1072332765 /nfs/dbraw/zinc/33/27/65/1072332765.db2.gz JCFFEEYTBSBYDE-INIZCTEOSA-N 0 0 425.507 -0.007 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2C)CCO1 ZINC000605130886 1072332485 /nfs/dbraw/zinc/33/24/85/1072332485.db2.gz JCFFEEYTBSBYDE-MRXNPFEDSA-N 0 0 425.507 -0.007 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CCO1 ZINC000605130931 1072332533 /nfs/dbraw/zinc/33/25/33/1072332533.db2.gz NAMOYJCDRXDTGB-IBGZPJMESA-N 0 0 438.550 -0.190 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CCO1 ZINC000605130932 1072332694 /nfs/dbraw/zinc/33/26/94/1072332694.db2.gz NAMOYJCDRXDTGB-LJQANCHMSA-N 0 0 438.550 -0.190 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCO[C@@H](CNC(C)=O)C2)c1 ZINC000605131533 1072333105 /nfs/dbraw/zinc/33/31/05/1072333105.db2.gz FSEGYEOUNSVWGT-HNNXBMFYSA-N 0 0 428.511 -0.758 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCO[C@H](CNC(C)=O)C2)c1 ZINC000605131534 1072333072 /nfs/dbraw/zinc/33/30/72/1072333072.db2.gz FSEGYEOUNSVWGT-OAHLLOKOSA-N 0 0 428.511 -0.758 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCO[C@@H](CNC(C)=O)C1 ZINC000605131683 1072332613 /nfs/dbraw/zinc/33/26/13/1072332613.db2.gz REXJDNHMCPBWAF-HNNXBMFYSA-N 0 0 441.506 -0.307 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCO[C@H](CNC(C)=O)C1 ZINC000605131684 1072332719 /nfs/dbraw/zinc/33/27/19/1072332719.db2.gz REXJDNHMCPBWAF-OAHLLOKOSA-N 0 0 441.506 -0.307 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000605131725 1072332734 /nfs/dbraw/zinc/33/27/34/1072332734.db2.gz UEQMDILVXSRJIW-DZGCQCFKSA-N 0 0 441.506 -0.122 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000605131726 1072333204 /nfs/dbraw/zinc/33/32/04/1072333204.db2.gz UEQMDILVXSRJIW-ZFWWWQNUSA-N 0 0 441.506 -0.122 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CCO1 ZINC000605132327 1072333123 /nfs/dbraw/zinc/33/31/23/1072333123.db2.gz MAJZLIDVBOKKOY-CVEARBPZSA-N 0 0 427.527 -0.209 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CCO1 ZINC000605132328 1072333239 /nfs/dbraw/zinc/33/32/39/1072333239.db2.gz MAJZLIDVBOKKOY-HOTGVXAUSA-N 0 0 427.527 -0.209 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CCO1 ZINC000605132329 1072333181 /nfs/dbraw/zinc/33/31/81/1072333181.db2.gz MAJZLIDVBOKKOY-HZPDHXFCSA-N 0 0 427.527 -0.209 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CCO1 ZINC000605132330 1072333043 /nfs/dbraw/zinc/33/30/43/1072333043.db2.gz MAJZLIDVBOKKOY-JKSUJKDBSA-N 0 0 427.527 -0.209 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CCO1 ZINC000605132587 1072333158 /nfs/dbraw/zinc/33/31/58/1072333158.db2.gz SYXFBQGVPHRJQZ-BLLLJJGKSA-N 0 0 426.495 -0.325 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CCO1 ZINC000605132588 1072333051 /nfs/dbraw/zinc/33/30/51/1072333051.db2.gz SYXFBQGVPHRJQZ-LRDDRELGSA-N 0 0 426.495 -0.325 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)C2=O)cn1 ZINC000605133737 1072333062 /nfs/dbraw/zinc/33/30/62/1072333062.db2.gz VWMQWTDMVOWNHL-INIZCTEOSA-N 0 0 448.505 -0.561 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)C2=O)cn1 ZINC000605133738 1072333112 /nfs/dbraw/zinc/33/31/12/1072333112.db2.gz VWMQWTDMVOWNHL-MRXNPFEDSA-N 0 0 448.505 -0.561 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605134818 1072333189 /nfs/dbraw/zinc/33/31/89/1072333189.db2.gz WQCJZBUKXKBXNA-SJCJKPOMSA-N 0 0 448.505 -0.033 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605134819 1072333230 /nfs/dbraw/zinc/33/32/30/1072333230.db2.gz WQCJZBUKXKBXNA-YVEFUNNKSA-N 0 0 448.505 -0.033 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)CCNS(C)(=O)=O)CC2)cc1OC ZINC000605135490 1072333094 /nfs/dbraw/zinc/33/30/94/1072333094.db2.gz UVNFRRCYQPCXAW-UHFFFAOYSA-N 0 0 449.551 -0.088 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)c1 ZINC000605136148 1072333148 /nfs/dbraw/zinc/33/31/48/1072333148.db2.gz SLCVNDBRMLRTHW-KRWDZBQOSA-N 0 0 440.522 -0.645 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)c1 ZINC000605136149 1072333083 /nfs/dbraw/zinc/33/30/83/1072333083.db2.gz SLCVNDBRMLRTHW-QGZVFWFLSA-N 0 0 440.522 -0.645 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)Cn2cnc3c(cnn3C)c2=O)c1 ZINC000605136204 1072333217 /nfs/dbraw/zinc/33/32/17/1072333217.db2.gz WRUBJWDEBFFSKZ-UHFFFAOYSA-N 0 0 434.478 -0.629 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C2CCN(S(C)(=O)=O)CC2)c1 ZINC000605136557 1072333754 /nfs/dbraw/zinc/33/37/54/1072333754.db2.gz VMFYJBIBOOMEGY-UHFFFAOYSA-N 0 0 433.552 -0.101 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C2CCN(S(N)(=O)=O)CC2)c1 ZINC000605136667 1072333492 /nfs/dbraw/zinc/33/34/92/1072333492.db2.gz DCCWDAKIFLGTSW-UHFFFAOYSA-N 0 0 434.540 -0.857 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)c1 ZINC000605136762 1072333031 /nfs/dbraw/zinc/33/30/31/1072333031.db2.gz LASOAMBZLMCNAP-UHFFFAOYSA-N 0 0 447.473 -0.111 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)c1 ZINC000605136966 1072333170 /nfs/dbraw/zinc/33/31/70/1072333170.db2.gz YXLHKPPPPJPASZ-IBGZPJMESA-N 0 0 438.506 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)c1 ZINC000605136967 1072333134 /nfs/dbraw/zinc/33/31/34/1072333134.db2.gz YXLHKPPPPJPASZ-LJQANCHMSA-N 0 0 438.506 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CCCN2C(=O)CN(C)C2=O)c1 ZINC000605137213 1072333776 /nfs/dbraw/zinc/33/37/76/1072333776.db2.gz OEAUULXTSMCKJU-UHFFFAOYSA-N 0 0 426.495 -0.098 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000605137242 1072333556 /nfs/dbraw/zinc/33/35/56/1072333556.db2.gz PUAHJVWRKMETRZ-HNNXBMFYSA-N 0 0 439.520 -0.089 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000605137243 1072333735 /nfs/dbraw/zinc/33/37/35/1072333735.db2.gz PUAHJVWRKMETRZ-OAHLLOKOSA-N 0 0 439.520 -0.089 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000605137840 1072333597 /nfs/dbraw/zinc/33/35/97/1072333597.db2.gz SLPSYPSIZJZRMR-HNNXBMFYSA-N 0 0 437.504 -0.032 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000605137841 1072333650 /nfs/dbraw/zinc/33/36/50/1072333650.db2.gz SLPSYPSIZJZRMR-OAHLLOKOSA-N 0 0 437.504 -0.032 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000605138417 1072333571 /nfs/dbraw/zinc/33/35/71/1072333571.db2.gz RQZDCPCNJDKCGH-HNNXBMFYSA-N 0 0 440.508 -0.844 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000605138418 1072333788 /nfs/dbraw/zinc/33/37/88/1072333788.db2.gz RQZDCPCNJDKCGH-OAHLLOKOSA-N 0 0 440.508 -0.844 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000605138619 1072333699 /nfs/dbraw/zinc/33/36/99/1072333699.db2.gz AGSNXNGCXSECIB-HNNXBMFYSA-N 0 0 437.504 -0.032 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000605138620 1072333679 /nfs/dbraw/zinc/33/36/79/1072333679.db2.gz AGSNXNGCXSECIB-OAHLLOKOSA-N 0 0 437.504 -0.032 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000605139313 1072333634 /nfs/dbraw/zinc/33/36/34/1072333634.db2.gz HUGDKGCASCMLSB-FGTMMUONSA-N 0 0 449.577 -0.256 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000605139314 1072333499 /nfs/dbraw/zinc/33/34/99/1072333499.db2.gz HUGDKGCASCMLSB-KURKYZTESA-N 0 0 449.577 -0.256 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000605139315 1072333716 /nfs/dbraw/zinc/33/37/16/1072333716.db2.gz HUGDKGCASCMLSB-KZNAEPCWSA-N 0 0 449.577 -0.256 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000605139316 1072333529 /nfs/dbraw/zinc/33/35/29/1072333529.db2.gz HUGDKGCASCMLSB-SQNIBIBYSA-N 0 0 449.577 -0.256 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(CNS(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000605140183 1072333764 /nfs/dbraw/zinc/33/37/64/1072333764.db2.gz YAKUEYMTHGZOQV-UHFFFAOYSA-N 0 0 434.478 -0.488 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(c4ccc(C(N)=O)cc4)CC3)c2c(=O)n(C)c1=O ZINC000605142214 1072333618 /nfs/dbraw/zinc/33/36/18/1072333618.db2.gz JEXOZJPTJPCZKU-UHFFFAOYSA-N 0 0 439.476 -0.729 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)cn1C ZINC000605142360 1072334118 /nfs/dbraw/zinc/33/41/18/1072334118.db2.gz UWGBJMOTWUOWMM-UHFFFAOYSA-N 0 0 434.522 -0.155 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)cc1 ZINC000605143046 1072333515 /nfs/dbraw/zinc/33/35/15/1072333515.db2.gz WATMUARNFWBLHJ-GOSISDBHSA-N 0 0 429.521 -0.385 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)cc1 ZINC000605143047 1072333545 /nfs/dbraw/zinc/33/35/45/1072333545.db2.gz WATMUARNFWBLHJ-SFHVURJKSA-N 0 0 429.521 -0.385 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CNc2ccccc2C(=O)NCCC(N)=O)c(=O)n(C)c1=O ZINC000605149537 1072334623 /nfs/dbraw/zinc/33/46/23/1072334623.db2.gz RAWBRDOFMJPTKW-UHFFFAOYSA-N 0 0 444.492 -0.315 20 0 IBADRN Cn1nc(C(C)(C)C)cc1NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605149816 1072334644 /nfs/dbraw/zinc/33/46/44/1072334644.db2.gz WZTPYFWQRQGCQV-UHFFFAOYSA-N 0 0 428.559 -0.149 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCO1 ZINC000605152710 1072334921 /nfs/dbraw/zinc/33/49/21/1072334921.db2.gz KXTFYRMRQDKWAG-FXAWDEMLSA-N 0 0 446.504 -0.666 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCO1 ZINC000605152711 1072334985 /nfs/dbraw/zinc/33/49/85/1072334985.db2.gz KXTFYRMRQDKWAG-PXNSSMCTSA-N 0 0 446.504 -0.666 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCO1 ZINC000605152712 1072334935 /nfs/dbraw/zinc/33/49/35/1072334935.db2.gz KXTFYRMRQDKWAG-XLIONFOSSA-N 0 0 446.504 -0.666 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCO1 ZINC000605152713 1072335021 /nfs/dbraw/zinc/33/50/21/1072335021.db2.gz KXTFYRMRQDKWAG-YLJYHZDGSA-N 0 0 446.504 -0.666 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000605152736 1072334973 /nfs/dbraw/zinc/33/49/73/1072334973.db2.gz OXSHHQMIPNEVMZ-KRWDZBQOSA-N 0 0 442.513 -0.505 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000605152737 1072335040 /nfs/dbraw/zinc/33/50/40/1072335040.db2.gz OXSHHQMIPNEVMZ-QGZVFWFLSA-N 0 0 442.513 -0.505 20 0 IBADRN CC(=O)NC[C@@H]1CN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000605152854 1072335010 /nfs/dbraw/zinc/33/50/10/1072335010.db2.gz LUYQFLFOTMAPEB-GOSISDBHSA-N 0 0 427.523 -0.077 20 0 IBADRN CC(=O)NC[C@H]1CN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000605152855 1072335031 /nfs/dbraw/zinc/33/50/31/1072335031.db2.gz LUYQFLFOTMAPEB-SFHVURJKSA-N 0 0 427.523 -0.077 20 0 IBADRN CCCCN(C(=O)CN1CCO[C@@H](CNC(C)=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000605152981 1072334614 /nfs/dbraw/zinc/33/46/14/1072334614.db2.gz HVCAGFFGUCWCKR-HNNXBMFYSA-N 0 0 438.529 -0.089 20 0 IBADRN CCCCN(C(=O)CN1CCO[C@H](CNC(C)=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000605152982 1072335077 /nfs/dbraw/zinc/33/50/77/1072335077.db2.gz HVCAGFFGUCWCKR-OAHLLOKOSA-N 0 0 438.529 -0.089 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCN(c3ccc(C(N)=O)cc3)CC2)CC1 ZINC000605156858 1072335101 /nfs/dbraw/zinc/33/51/01/1072335101.db2.gz LIXUIWTWVMKLTQ-UHFFFAOYSA-N 0 0 444.580 -0.034 20 0 IBADRN CC(=O)NC[C@H]1CN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000605161853 1072334908 /nfs/dbraw/zinc/33/49/08/1072334908.db2.gz GDWDZGACYJKAHQ-HNNXBMFYSA-N 0 0 437.515 -0.387 20 0 IBADRN CC(=O)NC[C@@H]1CN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000605161854 1072335114 /nfs/dbraw/zinc/33/51/14/1072335114.db2.gz GDWDZGACYJKAHQ-OAHLLOKOSA-N 0 0 437.515 -0.387 20 0 IBADRN CC(=O)NC[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC000605161863 1072335058 /nfs/dbraw/zinc/33/50/58/1072335058.db2.gz HACKHFXACOVZPR-HNNXBMFYSA-N 0 0 431.536 -0.003 20 0 IBADRN CC(=O)NC[C@@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC000605161864 1072335067 /nfs/dbraw/zinc/33/50/67/1072335067.db2.gz HACKHFXACOVZPR-OAHLLOKOSA-N 0 0 431.536 -0.003 20 0 IBADRN CC(=O)NC[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000605162082 1072335050 /nfs/dbraw/zinc/33/50/50/1072335050.db2.gz ZIVHBQFQSPGNAX-HNNXBMFYSA-N 0 0 447.535 -0.767 20 0 IBADRN CC(=O)NC[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000605162083 1072334965 /nfs/dbraw/zinc/33/49/65/1072334965.db2.gz ZIVHBQFQSPGNAX-OAHLLOKOSA-N 0 0 447.535 -0.767 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605162156 1072335000 /nfs/dbraw/zinc/33/50/00/1072335000.db2.gz DOJAFQSHOXPVMI-HNNXBMFYSA-N 0 0 427.508 -0.246 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605162157 1072334092 /nfs/dbraw/zinc/33/40/92/1072334092.db2.gz DOJAFQSHOXPVMI-OAHLLOKOSA-N 0 0 427.508 -0.246 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000605162194 1072334199 /nfs/dbraw/zinc/33/41/99/1072334199.db2.gz HNDYMROUSQXUPD-HNNXBMFYSA-N 0 0 427.508 -0.246 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000605162195 1072334164 /nfs/dbraw/zinc/33/41/64/1072334164.db2.gz HNDYMROUSQXUPD-OAHLLOKOSA-N 0 0 427.508 -0.246 20 0 IBADRN Cn1cc(N2CC[C@H](NS(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)C2=O)cn1 ZINC000605162301 1072334141 /nfs/dbraw/zinc/33/41/41/1072334141.db2.gz OLHVUJOVOCOWON-KRWDZBQOSA-N 0 0 433.490 -0.024 20 0 IBADRN Cn1cc(N2CC[C@@H](NS(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)C2=O)cn1 ZINC000605162302 1072334031 /nfs/dbraw/zinc/33/40/31/1072334031.db2.gz OLHVUJOVOCOWON-QGZVFWFLSA-N 0 0 433.490 -0.024 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)c2cc(C(N)=O)n(C)c2)c1 ZINC000605162604 1072333997 /nfs/dbraw/zinc/33/39/97/1072333997.db2.gz HMZUDNPONWOBJG-UHFFFAOYSA-N 0 0 430.508 -0.473 20 0 IBADRN Cn1c2ncc(S(=O)(=O)N3CCN(c4ccc(C(N)=O)cc4)CC3)cc2c(=O)[nH]c1=O ZINC000605163907 1072334214 /nfs/dbraw/zinc/33/42/14/1072334214.db2.gz XXXLIWFZBWTKMC-UHFFFAOYSA-N 0 0 444.473 -0.356 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCCCN3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000605169070 1072334176 /nfs/dbraw/zinc/33/41/76/1072334176.db2.gz OYXRPCCUUQZTDU-KRWDZBQOSA-N 0 0 427.513 -0.173 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCCCN3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000605169071 1072334074 /nfs/dbraw/zinc/33/40/74/1072334074.db2.gz OYXRPCCUUQZTDU-QGZVFWFLSA-N 0 0 427.513 -0.173 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)C2=O)cn1 ZINC000605171292 1072334108 /nfs/dbraw/zinc/33/41/08/1072334108.db2.gz MVXYUHGWXGGAAP-KRWDZBQOSA-N 0 0 439.476 -0.403 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)C2=O)cn1 ZINC000605171293 1072334022 /nfs/dbraw/zinc/33/40/22/1072334022.db2.gz MVXYUHGWXGGAAP-QGZVFWFLSA-N 0 0 439.476 -0.403 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000605171812 1072334150 /nfs/dbraw/zinc/33/41/50/1072334150.db2.gz PBIVXZSZWFWOQU-UHFFFAOYSA-N 0 0 436.556 -0.308 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000605172631 1072334050 /nfs/dbraw/zinc/33/40/50/1072334050.db2.gz JTQQOIRBBJVUJW-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000605172632 1072334132 /nfs/dbraw/zinc/33/41/32/1072334132.db2.gz JTQQOIRBBJVUJW-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)CC1 ZINC000605173383 1072333986 /nfs/dbraw/zinc/33/39/86/1072333986.db2.gz DADQKROZYCCSMW-UHFFFAOYSA-N 0 0 438.554 -0.806 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000605173551 1072334101 /nfs/dbraw/zinc/33/41/01/1072334101.db2.gz KPDMFWYJFQLNRH-UHFFFAOYSA-N 0 0 448.567 -0.164 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCNC(=O)c2cnccn2)c1 ZINC000605173582 1072334043 /nfs/dbraw/zinc/33/40/43/1072334043.db2.gz LQVZCVMRDWRQTJ-UHFFFAOYSA-N 0 0 436.494 -0.370 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCCN2C(=O)CCC2=O)c1 ZINC000605174074 1072334010 /nfs/dbraw/zinc/33/40/10/1072334010.db2.gz KHOUVDOSAMBART-UHFFFAOYSA-N 0 0 426.495 -0.050 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)NC(C)C)c1 ZINC000605174146 1072334063 /nfs/dbraw/zinc/33/40/63/1072334063.db2.gz NQJGMARTESWGJB-UHFFFAOYSA-N 0 0 436.556 -0.262 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)CC1 ZINC000605174456 1072334579 /nfs/dbraw/zinc/33/45/79/1072334579.db2.gz CEHDEVDQLDLALD-UHFFFAOYSA-N 0 0 430.509 -0.302 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000605174934 1072334455 /nfs/dbraw/zinc/33/44/55/1072334455.db2.gz VGEKLBVCXMXHCY-UHFFFAOYSA-N 0 0 436.556 -0.262 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000605175899 1072334605 /nfs/dbraw/zinc/33/46/05/1072334605.db2.gz LJMONEAUVXEXBJ-AWEZNQCLSA-N 0 0 434.540 -0.556 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000605175900 1072334588 /nfs/dbraw/zinc/33/45/88/1072334588.db2.gz LJMONEAUVXEXBJ-CQSZACIVSA-N 0 0 434.540 -0.556 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC000605176005 1072334631 /nfs/dbraw/zinc/33/46/31/1072334631.db2.gz PXPBZOXJYWKSHO-UHFFFAOYSA-N 0 0 441.554 -0.425 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000605176150 1072334548 /nfs/dbraw/zinc/33/45/48/1072334548.db2.gz XCDOBJMAMSKQAD-UHFFFAOYSA-N 0 0 448.567 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000605176185 1072334638 /nfs/dbraw/zinc/33/46/38/1072334638.db2.gz YDTGNVOGQHFRHH-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000605176186 1072334596 /nfs/dbraw/zinc/33/45/96/1072334596.db2.gz YDTGNVOGQHFRHH-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000605176495 1072334662 /nfs/dbraw/zinc/33/46/62/1072334662.db2.gz JSNSLPYDQGRHAH-KRWDZBQOSA-N 0 0 439.586 -0.274 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000605176496 1072334481 /nfs/dbraw/zinc/33/44/81/1072334481.db2.gz JSNSLPYDQGRHAH-QGZVFWFLSA-N 0 0 439.586 -0.274 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3cccc(-n4cncn4)c3)CC2)CC1 ZINC000605179159 1072334565 /nfs/dbraw/zinc/33/45/65/1072334565.db2.gz RSERVBVQZOXNMD-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN O=C(NCc1cccc(-n2cncn2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000605179834 1072334500 /nfs/dbraw/zinc/33/45/00/1072334500.db2.gz YVPXRJGRJXNOQZ-UHFFFAOYSA-N 0 0 427.465 -0.520 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC2)cc1 ZINC000605180288 1072334557 /nfs/dbraw/zinc/33/45/57/1072334557.db2.gz ZMOPFOAAZQNJTF-HNNXBMFYSA-N 0 0 432.568 -0.645 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC2)cc1 ZINC000605180289 1072334468 /nfs/dbraw/zinc/33/44/68/1072334468.db2.gz ZMOPFOAAZQNJTF-OAHLLOKOSA-N 0 0 432.568 -0.645 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CNS(=O)(=O)c1ccc2ccccc2c1 ZINC000605182366 1072334656 /nfs/dbraw/zinc/33/46/56/1072334656.db2.gz OAGCIPVYKMBRTC-KRWDZBQOSA-N 0 0 432.502 -0.029 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CNS(=O)(=O)c1ccc2ccccc2c1 ZINC000605182367 1072334528 /nfs/dbraw/zinc/33/45/28/1072334528.db2.gz OAGCIPVYKMBRTC-QGZVFWFLSA-N 0 0 432.502 -0.029 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCO[C@H](Cn3cncn3)C2)cc1 ZINC000605184973 1072335523 /nfs/dbraw/zinc/33/55/23/1072335523.db2.gz LSRSLFOVWVJPGR-BBRMVZONSA-N 0 0 436.494 -0.169 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCO[C@@H](Cn3cncn3)C2)cc1 ZINC000605184974 1072335551 /nfs/dbraw/zinc/33/55/51/1072335551.db2.gz LSRSLFOVWVJPGR-XJKSGUPXSA-N 0 0 436.494 -0.169 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000605185287 1072335560 /nfs/dbraw/zinc/33/55/60/1072335560.db2.gz FSTOCAAHQFCYBD-HNNXBMFYSA-N 0 0 426.495 -0.970 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000605185288 1072335402 /nfs/dbraw/zinc/33/54/02/1072335402.db2.gz FSTOCAAHQFCYBD-OAHLLOKOSA-N 0 0 426.495 -0.970 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000605185414 1072335543 /nfs/dbraw/zinc/33/55/43/1072335543.db2.gz RPSMDQYSUJPKJX-INIZCTEOSA-N 0 0 448.505 -0.678 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000605185415 1072335533 /nfs/dbraw/zinc/33/55/33/1072335533.db2.gz RPSMDQYSUJPKJX-MRXNPFEDSA-N 0 0 448.505 -0.678 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000605185461 1072335460 /nfs/dbraw/zinc/33/54/60/1072335460.db2.gz VIKBMTFREVUOMN-AWEZNQCLSA-N 0 0 427.479 -0.510 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000605185462 1072335359 /nfs/dbraw/zinc/33/53/59/1072335359.db2.gz VIKBMTFREVUOMN-CQSZACIVSA-N 0 0 427.479 -0.510 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)CN3CC(=O)NC3=O)CC2)cc1OC ZINC000605185756 1072335348 /nfs/dbraw/zinc/33/53/48/1072335348.db2.gz RGKQZDHLIOQLMD-UHFFFAOYSA-N 0 0 440.478 -0.475 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000605185818 1072335487 /nfs/dbraw/zinc/33/54/87/1072335487.db2.gz VTIWOOCKHBRJOR-UHFFFAOYSA-N 0 0 430.508 -0.473 20 0 IBADRN COCCN(C(=O)CN1CCN(c2ccc(C(N)=O)cc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000605187881 1072335558 /nfs/dbraw/zinc/33/55/58/1072335558.db2.gz UUCPFIDSWYHXNR-GOSISDBHSA-N 0 0 438.550 -0.430 20 0 IBADRN COCCN(C(=O)CN1CCN(c2ccc(C(N)=O)cc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000605187882 1072335471 /nfs/dbraw/zinc/33/54/71/1072335471.db2.gz UUCPFIDSWYHXNR-SFHVURJKSA-N 0 0 438.550 -0.430 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000605204608 1072335088 /nfs/dbraw/zinc/33/50/88/1072335088.db2.gz IMHWGIVUHOGPHN-INIZCTEOSA-N 0 0 440.526 -0.468 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000605204609 1072335124 /nfs/dbraw/zinc/33/51/24/1072335124.db2.gz IMHWGIVUHOGPHN-MRXNPFEDSA-N 0 0 440.526 -0.468 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000605208350 1072335409 /nfs/dbraw/zinc/33/54/09/1072335409.db2.gz ZMXMETHGICSEMF-UHFFFAOYSA-N 0 0 425.511 -0.064 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000605208905 1072334949 /nfs/dbraw/zinc/33/49/49/1072334949.db2.gz RJVWKJXFMWQAOD-UHFFFAOYSA-N 0 0 425.511 -0.062 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000605215704 1072335372 /nfs/dbraw/zinc/33/53/72/1072335372.db2.gz PDMDTZGVYZEMJM-KRWDZBQOSA-N 0 0 440.522 -0.246 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000605215705 1072335566 /nfs/dbraw/zinc/33/55/66/1072335566.db2.gz PDMDTZGVYZEMJM-QGZVFWFLSA-N 0 0 440.522 -0.246 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)NC2CC2)c1 ZINC000605220422 1072335421 /nfs/dbraw/zinc/33/54/21/1072335421.db2.gz RTJMFDBOGRNANC-UHFFFAOYSA-N 0 0 434.540 -0.508 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000605220795 1072335497 /nfs/dbraw/zinc/33/54/97/1072335497.db2.gz LRPXHXQFMFQJJK-INIZCTEOSA-N 0 0 428.511 -0.342 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000605220796 1072335389 /nfs/dbraw/zinc/33/53/89/1072335389.db2.gz LRPXHXQFMFQJJK-MRXNPFEDSA-N 0 0 428.511 -0.342 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2=O)cn1 ZINC000605228647 1072340239 /nfs/dbraw/zinc/34/02/39/1072340239.db2.gz RGUDOADAAWKVGT-CABCVRRESA-N 0 0 428.515 -0.687 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2=O)cn1 ZINC000605228648 1072339979 /nfs/dbraw/zinc/33/99/79/1072339979.db2.gz RGUDOADAAWKVGT-GJZGRUSLSA-N 0 0 428.515 -0.687 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2=O)cn1 ZINC000605228649 1072340061 /nfs/dbraw/zinc/34/00/61/1072340061.db2.gz RGUDOADAAWKVGT-HUUCEWRRSA-N 0 0 428.515 -0.687 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2=O)cn1 ZINC000605228650 1072340052 /nfs/dbraw/zinc/34/00/52/1072340052.db2.gz RGUDOADAAWKVGT-LSDHHAIUSA-N 0 0 428.515 -0.687 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000605229097 1072339989 /nfs/dbraw/zinc/33/99/89/1072339989.db2.gz MLEFEBGFKTYNFG-MSOLQXFVSA-N 0 0 447.540 -0.172 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000605229098 1072340190 /nfs/dbraw/zinc/34/01/90/1072340190.db2.gz MLEFEBGFKTYNFG-QZTJIDSGSA-N 0 0 447.540 -0.172 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000605229099 1072340024 /nfs/dbraw/zinc/34/00/24/1072340024.db2.gz MLEFEBGFKTYNFG-ROUUACIJSA-N 0 0 447.540 -0.172 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC000605229100 1072340084 /nfs/dbraw/zinc/34/00/84/1072340084.db2.gz MLEFEBGFKTYNFG-ZWKOTPCHSA-N 0 0 447.540 -0.172 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCO1 ZINC000605229228 1072340181 /nfs/dbraw/zinc/34/01/81/1072340181.db2.gz QQDMCRCBGYSANU-INIZCTEOSA-N 0 0 428.511 -0.654 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCO1 ZINC000605229229 1072340247 /nfs/dbraw/zinc/34/02/47/1072340247.db2.gz QQDMCRCBGYSANU-MRXNPFEDSA-N 0 0 428.511 -0.654 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000605230870 1072340787 /nfs/dbraw/zinc/34/07/87/1072340787.db2.gz KVVSYBVEYSIIOD-DOTOQJQBSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000605230871 1072340623 /nfs/dbraw/zinc/34/06/23/1072340623.db2.gz KVVSYBVEYSIIOD-NVXWUHKLSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000605230872 1072340118 /nfs/dbraw/zinc/34/01/18/1072340118.db2.gz KVVSYBVEYSIIOD-RDJZCZTQSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000605230873 1072340653 /nfs/dbraw/zinc/34/06/53/1072340653.db2.gz KVVSYBVEYSIIOD-WBVHZDCISA-N 0 0 433.513 -0.900 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)N3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)C2=O)cn1 ZINC000605231366 1070800525 /nfs/dbraw/zinc/80/05/25/1070800525.db2.gz PXGZHFQHROPVEQ-KRWDZBQOSA-N 0 0 447.521 -0.295 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)N3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)C2=O)cn1 ZINC000605231367 1072340728 /nfs/dbraw/zinc/34/07/28/1072340728.db2.gz PXGZHFQHROPVEQ-QGZVFWFLSA-N 0 0 447.521 -0.295 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000605231460 1072340757 /nfs/dbraw/zinc/34/07/57/1072340757.db2.gz TWELTFXGZZOWKB-DOTOQJQBSA-N 0 0 435.529 -0.606 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000605231461 1072340631 /nfs/dbraw/zinc/34/06/31/1072340631.db2.gz TWELTFXGZZOWKB-NVXWUHKLSA-N 0 0 435.529 -0.606 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000605231462 1072340778 /nfs/dbraw/zinc/34/07/78/1072340778.db2.gz TWELTFXGZZOWKB-RDJZCZTQSA-N 0 0 435.529 -0.606 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000605231463 1072340717 /nfs/dbraw/zinc/34/07/17/1072340717.db2.gz TWELTFXGZZOWKB-WBVHZDCISA-N 0 0 435.529 -0.606 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)no1 ZINC000605231873 1072340765 /nfs/dbraw/zinc/34/07/65/1072340765.db2.gz RFSPHSSBEVRWEV-HNNXBMFYSA-N 0 0 430.469 -0.212 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)no1 ZINC000605231874 1072340589 /nfs/dbraw/zinc/34/05/89/1072340589.db2.gz RFSPHSSBEVRWEV-OAHLLOKOSA-N 0 0 430.469 -0.212 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)N3CCNC(=O)C3)CC2)cc1OC ZINC000605232387 1072340665 /nfs/dbraw/zinc/34/06/65/1072340665.db2.gz YLGXZJPJRBAJFP-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000605232933 1072340645 /nfs/dbraw/zinc/34/06/45/1072340645.db2.gz QPHYOLLALUCSJB-UHFFFAOYSA-N 0 0 434.540 -0.602 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCO[C@@H](CNC(C)=O)C2)c1 ZINC000605232937 1072340691 /nfs/dbraw/zinc/34/06/91/1072340691.db2.gz REHKGDHHAVPNCW-INIZCTEOSA-N 0 0 428.511 -0.342 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCO[C@H](CNC(C)=O)C2)c1 ZINC000605232938 1072340614 /nfs/dbraw/zinc/34/06/14/1072340614.db2.gz REHKGDHHAVPNCW-MRXNPFEDSA-N 0 0 428.511 -0.342 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC000605233635 1072340747 /nfs/dbraw/zinc/34/07/47/1072340747.db2.gz SSLCQKAGRVSQTH-UHFFFAOYSA-N 0 0 448.567 -0.212 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N(C)CC(=O)N2CCOCC2)c1 ZINC000605233688 1072340796 /nfs/dbraw/zinc/34/07/96/1072340796.db2.gz WIDPKMUIXZEETF-UHFFFAOYSA-N 0 0 428.511 -0.389 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000605234296 1072340699 /nfs/dbraw/zinc/34/06/99/1072340699.db2.gz QWNNNTGTRBRUNP-KRWDZBQOSA-N 0 0 442.528 -0.867 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000605234297 1072340676 /nfs/dbraw/zinc/34/06/76/1072340676.db2.gz QWNNNTGTRBRUNP-QGZVFWFLSA-N 0 0 442.528 -0.867 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000605236514 1072340600 /nfs/dbraw/zinc/34/06/00/1072340600.db2.gz ZGUJULTWWXVGSN-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN CC(C)C(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242365 1072337817 /nfs/dbraw/zinc/33/78/17/1072337817.db2.gz AGZISORFTRHKLA-UHFFFAOYSA-N 0 0 439.538 -0.087 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000605242382 1072337762 /nfs/dbraw/zinc/33/77/62/1072337762.db2.gz AVBLHHXHRBGUIN-UHFFFAOYSA-N 0 0 441.485 -0.658 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000605242391 1072338015 /nfs/dbraw/zinc/33/80/15/1072338015.db2.gz BSVQETKDZSVHNA-UHFFFAOYSA-N 0 0 439.538 -0.542 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000605242402 1072337742 /nfs/dbraw/zinc/33/77/42/1072337742.db2.gz CJEGZQDFTLWBEN-UHFFFAOYSA-N 0 0 446.501 -0.517 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccnc2N2CCCCC2)CC1 ZINC000605242406 1072337427 /nfs/dbraw/zinc/33/74/27/1072337427.db2.gz CMKXFNIIADPHKM-UHFFFAOYSA-N 0 0 438.554 -0.296 20 0 IBADRN COc1ccc2cc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc2n1 ZINC000605242407 1072337351 /nfs/dbraw/zinc/33/73/51/1072337351.db2.gz CNUQKZCHPWKZTJ-UHFFFAOYSA-N 0 0 435.506 -0.125 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cc3c(cc2Cl)OCCO3)CC1 ZINC000605242423 1072337381 /nfs/dbraw/zinc/33/73/81/1072337381.db2.gz DEAPSPROJRUYCY-UHFFFAOYSA-N 0 0 446.913 -0.257 20 0 IBADRN CC(C)COc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000605242426 1072337484 /nfs/dbraw/zinc/33/74/84/1072337484.db2.gz DIZQQWZSSACIHK-UHFFFAOYSA-N 0 0 427.527 -0.252 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(-n3cccn3)c(F)c2)CC1 ZINC000605242429 1072337357 /nfs/dbraw/zinc/33/73/57/1072337357.db2.gz DRTHUHPTPWXAAW-UHFFFAOYSA-N 0 0 438.485 -0.357 20 0 IBADRN CCC(=O)Nc1ccc(C)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242430 1072337259 /nfs/dbraw/zinc/33/72/59/1072337259.db2.gz DRUJGDQFGPITAN-UHFFFAOYSA-N 0 0 439.538 -0.025 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242442 1072337470 /nfs/dbraw/zinc/33/74/70/1072337470.db2.gz FTIHCVJUIAUSFT-UHFFFAOYSA-N 0 0 449.537 -0.403 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(NC(=O)C3CC3)cc2)CC1 ZINC000605242449 1072337886 /nfs/dbraw/zinc/33/78/86/1072337886.db2.gz GFQXGQWKSPUMFT-UHFFFAOYSA-N 0 0 437.522 -0.333 20 0 IBADRN CC(=O)Nc1ccc(C)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242459 1072337777 /nfs/dbraw/zinc/33/77/77/1072337777.db2.gz GUQFCKUXTFZYCT-UHFFFAOYSA-N 0 0 425.511 -0.415 20 0 IBADRN CN1c2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2CCC1=O ZINC000605242464 1072337898 /nfs/dbraw/zinc/33/78/98/1072337898.db2.gz HCSDBIPXTKMTCJ-UHFFFAOYSA-N 0 0 437.522 -0.773 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1Cl ZINC000605242471 1072337941 /nfs/dbraw/zinc/33/79/41/1072337941.db2.gz HKXCLHSSDZPWCY-UHFFFAOYSA-N 0 0 445.929 -0.070 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242483 1072338022 /nfs/dbraw/zinc/33/80/22/1072338022.db2.gz HWCFAAWIESGGDE-UHFFFAOYSA-N 0 0 442.538 -0.519 20 0 IBADRN COCCOc1ccccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242517 1072338051 /nfs/dbraw/zinc/33/80/51/1072338051.db2.gz JRTSTQWKXDDJKL-UHFFFAOYSA-N 0 0 428.511 -0.656 20 0 IBADRN CCC(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000605242533 1072337922 /nfs/dbraw/zinc/33/79/22/1072337922.db2.gz KLPLNEDDOVZRBH-UHFFFAOYSA-N 0 0 425.511 -0.333 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc3c(c2)OC(F)(F)O3)CC1 ZINC000605242567 1072336973 /nfs/dbraw/zinc/33/69/73/1072336973.db2.gz MJATZTRKXJSWOB-UHFFFAOYSA-N 0 0 434.421 -0.360 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)c1 ZINC000605242573 1072336877 /nfs/dbraw/zinc/33/68/77/1072336877.db2.gz MMIQEQPVIJNOGY-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c2)no1 ZINC000605242578 1072336867 /nfs/dbraw/zinc/33/68/67/1072336867.db2.gz MQCMGMDKVUFPBC-UHFFFAOYSA-N 0 0 436.494 -0.323 20 0 IBADRN CC1(C)Oc2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2O1 ZINC000605242606 1072336857 /nfs/dbraw/zinc/33/68/57/1072336857.db2.gz OOBAWHDCQCMQGQ-UHFFFAOYSA-N 0 0 426.495 -0.174 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000605242608 1070800551 /nfs/dbraw/zinc/80/05/51/1070800551.db2.gz PJKCEFZVLPBMES-UHFFFAOYSA-N 0 0 445.929 -0.669 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(C(=O)NC3CC3)cc2)CC1 ZINC000605242614 1072337454 /nfs/dbraw/zinc/33/74/54/1072337454.db2.gz PNXBZMYCAPUJIN-UHFFFAOYSA-N 0 0 437.522 -0.789 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000605242615 1072337393 /nfs/dbraw/zinc/33/73/93/1072337393.db2.gz POFZWHKMUQZOAM-UHFFFAOYSA-N 0 0 445.929 -0.070 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(NC(=O)C3CC3)nc2)CC1 ZINC000605242618 1072337418 /nfs/dbraw/zinc/33/74/18/1072337418.db2.gz PVMMELPWQWCXFS-UHFFFAOYSA-N 0 0 438.510 -0.938 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242621 1072337496 /nfs/dbraw/zinc/33/74/96/1072337496.db2.gz PZDZTYCISVNVNZ-UHFFFAOYSA-N 0 0 439.538 -0.542 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c2cccnc12 ZINC000605242629 1072337296 /nfs/dbraw/zinc/33/72/96/1072337296.db2.gz QIACSOIMUQPOAM-UHFFFAOYSA-N 0 0 435.506 -0.125 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000605242632 1072337271 /nfs/dbraw/zinc/33/72/71/1072337271.db2.gz QIYMITFCCUKOKH-UHFFFAOYSA-N 0 0 425.511 -0.699 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242636 1072337506 /nfs/dbraw/zinc/33/75/06/1072337506.db2.gz QJPMBJHQIQQDDB-UHFFFAOYSA-N 0 0 439.538 -0.623 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242642 1072337308 /nfs/dbraw/zinc/33/73/08/1072337308.db2.gz QVBZNKQWRGLZGD-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN CC(C)NC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000605242645 1072337283 /nfs/dbraw/zinc/33/72/83/1072337283.db2.gz RFQBBAFGNKINBV-UHFFFAOYSA-N 0 0 439.538 -0.543 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000605242649 1070801103 /nfs/dbraw/zinc/80/11/03/1070801103.db2.gz RNEVDHXNIFIGBV-UHFFFAOYSA-N 0 0 429.474 -0.584 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(-c3csnn3)cc2)CC1 ZINC000605242652 1072337323 /nfs/dbraw/zinc/33/73/23/1072337323.db2.gz RSPIKKHIOWFBBU-UHFFFAOYSA-N 0 0 438.535 -0.163 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242660 1072337334 /nfs/dbraw/zinc/33/73/34/1072337334.db2.gz SDBFUSXFGTWGGH-UHFFFAOYSA-N 0 0 446.501 -0.517 20 0 IBADRN COc1cc(F)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000605242661 1072337406 /nfs/dbraw/zinc/33/74/06/1072337406.db2.gz SDIRGOJSVAYFSG-UHFFFAOYSA-N 0 0 432.474 -0.525 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)c1OC ZINC000605242675 1070801200 /nfs/dbraw/zinc/80/12/00/1070801200.db2.gz SWJJXRNCDWPPKK-UHFFFAOYSA-N 0 0 444.510 -0.656 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(N3CCCC3=O)cc2)CC1 ZINC000605242681 1072337441 /nfs/dbraw/zinc/33/74/41/1072337441.db2.gz UBXFCTKUWZFREQ-UHFFFAOYSA-N 0 0 437.522 -0.555 20 0 IBADRN COc1cc(OC)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000605242708 1072336938 /nfs/dbraw/zinc/33/69/38/1072336938.db2.gz VGQPBPDEFKMOHH-UHFFFAOYSA-N 0 0 448.929 -0.011 20 0 IBADRN COCCOc1cc(C)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242734 1072336982 /nfs/dbraw/zinc/33/69/82/1072336982.db2.gz WPRPEPOWWLGLMC-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN CCN(CC)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000605242739 1072336947 /nfs/dbraw/zinc/33/69/47/1072336947.db2.gz WWAHPZIASPVANA-UHFFFAOYSA-N 0 0 426.543 -0.440 20 0 IBADRN COCCOc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242740 1072336996 /nfs/dbraw/zinc/33/69/96/1072336996.db2.gz WWFWFYHTBUCMMP-UHFFFAOYSA-N 0 0 428.511 -0.656 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242766 1072337027 /nfs/dbraw/zinc/33/70/27/1072337027.db2.gz XYKYFUUIRFZZHL-UHFFFAOYSA-N 0 0 446.551 -0.970 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)c1 ZINC000605242777 1072337000 /nfs/dbraw/zinc/33/70/00/1072337000.db2.gz YMUDBKLVGVFSHR-UHFFFAOYSA-N 0 0 439.538 -0.623 20 0 IBADRN CC(C)n1ncc2cc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cnc21 ZINC000605242780 1072336906 /nfs/dbraw/zinc/33/69/06/1072336906.db2.gz YQFOLROVPYJZTE-UHFFFAOYSA-N 0 0 437.526 -0.356 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc3c(c2)CCCC(=O)N3)CC1 ZINC000605242789 1070800570 /nfs/dbraw/zinc/80/05/70/1070800570.db2.gz ZCNJJLIXZUGVLD-UHFFFAOYSA-N 0 0 437.522 -0.407 20 0 IBADRN CC(=O)Nc1ccc(Cl)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242794 1072336955 /nfs/dbraw/zinc/33/69/55/1072336955.db2.gz ZJYWXLNQTBANRU-UHFFFAOYSA-N 0 0 445.929 -0.070 20 0 IBADRN Cc1cc(C)n(-c2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cn2)n1 ZINC000605242798 1070801136 /nfs/dbraw/zinc/80/11/36/1070801136.db2.gz ZLRFQEFCHBPBMS-UHFFFAOYSA-N 0 0 449.537 -0.484 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1Cl ZINC000605242805 1070800758 /nfs/dbraw/zinc/80/07/58/1070800758.db2.gz ZSGNMDREXYXLLB-UHFFFAOYSA-N 0 0 445.929 -0.669 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc3c(c2)NC(=O)CS3)CC1 ZINC000605242811 1072336990 /nfs/dbraw/zinc/33/69/90/1072336990.db2.gz AJZXPMUWVWUYLA-UHFFFAOYSA-N 0 0 441.535 -0.637 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc3c(c2)OCCCO3)CC1 ZINC000605242815 1072337015 /nfs/dbraw/zinc/33/70/15/1072337015.db2.gz ALPZMBNZETVPKM-UHFFFAOYSA-N 0 0 426.495 -0.520 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(N3CCCC3=O)c2)CC1 ZINC000605242847 1072336559 /nfs/dbraw/zinc/33/65/59/1072336559.db2.gz DZIIUCFQXHNIQB-UHFFFAOYSA-N 0 0 437.522 -0.555 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1C ZINC000605242848 1072336503 /nfs/dbraw/zinc/33/65/03/1072336503.db2.gz FAVYTARYEUTGHD-UHFFFAOYSA-N 0 0 425.511 -0.415 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(Oc3cnccn3)c2)CC1 ZINC000605242849 1072336538 /nfs/dbraw/zinc/33/65/38/1072336538.db2.gz FBVXEOXAUYLGQC-UHFFFAOYSA-N 0 0 448.505 -0.099 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000605242854 1072336510 /nfs/dbraw/zinc/33/65/10/1072336510.db2.gz FGCMWBPZUMGGSM-UHFFFAOYSA-N 0 0 428.511 -0.274 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242861 1072336602 /nfs/dbraw/zinc/33/66/02/1072336602.db2.gz FWYRKTRCCMXFGB-UHFFFAOYSA-N 0 0 446.551 -0.970 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(NC(=O)C3CC3)c2)CC1 ZINC000605242869 1072336453 /nfs/dbraw/zinc/33/64/53/1072336453.db2.gz GEEDIHFSFABADB-UHFFFAOYSA-N 0 0 437.522 -0.333 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(N3CCOCC3)c2)CC1 ZINC000605242876 1072336568 /nfs/dbraw/zinc/33/65/68/1072336568.db2.gz GUIBRBCNTDDJIG-UHFFFAOYSA-N 0 0 439.538 -0.845 20 0 IBADRN CCOc1ccc(OC)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242879 1072336431 /nfs/dbraw/zinc/33/64/31/1072336431.db2.gz HEGMHJQLNZWOQR-UHFFFAOYSA-N 0 0 428.511 -0.274 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)cc1Cl ZINC000605242888 1072336496 /nfs/dbraw/zinc/33/64/96/1072336496.db2.gz IBOWVRLNCGGZQY-UHFFFAOYSA-N 0 0 448.929 -0.011 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242889 1072336485 /nfs/dbraw/zinc/33/64/85/1072336485.db2.gz ICHQPMGYJVARJN-UHFFFAOYSA-N 0 0 445.929 -0.669 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242892 1072336549 /nfs/dbraw/zinc/33/65/49/1072336549.db2.gz IMXVGRNSCGDPMG-UHFFFAOYSA-N 0 0 441.510 -0.715 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(OC)c1OC ZINC000605242901 1072336462 /nfs/dbraw/zinc/33/64/62/1072336462.db2.gz JMLPDSPFFPWRFF-UHFFFAOYSA-N 0 0 444.510 -0.656 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000605242902 1072336580 /nfs/dbraw/zinc/33/65/80/1072336580.db2.gz JNIBXMYHAQSHBR-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN COc1cc(C)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000605242906 1072336518 /nfs/dbraw/zinc/33/65/18/1072336518.db2.gz JWJCTHDDRJWZML-UHFFFAOYSA-N 0 0 428.511 -0.356 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2NC1=O ZINC000605242914 1070800996 /nfs/dbraw/zinc/80/09/96/1070800996.db2.gz KILPLKSMQUNEFN-GFCCVEGCSA-N 0 0 439.494 -0.962 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2NC1=O ZINC000605242915 1072336611 /nfs/dbraw/zinc/33/66/11/1072336611.db2.gz KILPLKSMQUNEFN-LBPRGKRZSA-N 0 0 439.494 -0.962 20 0 IBADRN CC(=O)Nc1c(C)ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000605242919 1072336474 /nfs/dbraw/zinc/33/64/74/1072336474.db2.gz KRFSVARHNLUMRW-UHFFFAOYSA-N 0 0 439.538 -0.106 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242926 1072336621 /nfs/dbraw/zinc/33/66/21/1072336621.db2.gz KYVFQVSNQOOBOJ-UHFFFAOYSA-N 0 0 439.538 -0.671 20 0 IBADRN Cc1cn(-c2ccccc2)nc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242942 1073345640 /nfs/dbraw/zinc/34/56/40/1073345640.db2.gz LZOVQVFGCJPXGL-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(Cn3cccn3)c2)CC1 ZINC000605242944 1070801169 /nfs/dbraw/zinc/80/11/69/1070801169.db2.gz MAZHAGPFEOKTQE-UHFFFAOYSA-N 0 0 434.522 -0.437 20 0 IBADRN CC(C)C(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000605242947 1070801232 /nfs/dbraw/zinc/80/12/32/1070801232.db2.gz MDNUQYFWDLGWNH-UHFFFAOYSA-N 0 0 439.538 -0.087 20 0 IBADRN CCOc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1OC ZINC000605242948 1072336888 /nfs/dbraw/zinc/33/68/88/1072336888.db2.gz MELXEMUYYMFIPH-UHFFFAOYSA-N 0 0 428.511 -0.274 20 0 IBADRN COCCCOc1ccccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000605242958 1072336928 /nfs/dbraw/zinc/33/69/28/1072336928.db2.gz MWNDBBUSRQKKLX-UHFFFAOYSA-N 0 0 442.538 -0.266 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(C(=O)NC3CC3)c2)CC1 ZINC000605242962 1072336918 /nfs/dbraw/zinc/33/69/18/1072336918.db2.gz NFYMMAZICKNHGF-UHFFFAOYSA-N 0 0 437.522 -0.789 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000605242983 1072336965 /nfs/dbraw/zinc/33/69/65/1072336965.db2.gz PDICRSOVBCULTE-UHFFFAOYSA-N 0 0 446.551 -0.888 20 0 IBADRN Cn1cnnc1-c1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605242997 1072335926 /nfs/dbraw/zinc/33/59/26/1072335926.db2.gz QABVAPKDRXBEPR-UHFFFAOYSA-N 0 0 435.510 -0.886 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000605243014 1072336094 /nfs/dbraw/zinc/33/60/94/1072336094.db2.gz RMUKRBNBXIKKLV-UHFFFAOYSA-N 0 0 428.511 -0.656 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000605243051 1072335839 /nfs/dbraw/zinc/33/58/39/1072335839.db2.gz UNMIGMYTENZBSI-UHFFFAOYSA-N 0 0 425.511 -0.415 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cc3c(cc2Cl)OCO3)CC1 ZINC000605243103 1072335848 /nfs/dbraw/zinc/33/58/48/1072335848.db2.gz XXNOLRASOAHFDC-UHFFFAOYSA-N 0 0 432.886 -0.299 20 0 IBADRN CC(=O)N1CCc2cc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc21 ZINC000605243111 1072335859 /nfs/dbraw/zinc/33/58/59/1072335859.db2.gz YXQUMYXAGPKIIQ-UHFFFAOYSA-N 0 0 437.522 -0.773 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2C[C@@H]3CCCN3c3ccccc32)CC1 ZINC000605243116 1072336590 /nfs/dbraw/zinc/33/65/90/1072336590.db2.gz ZMEAIVKGFIVDMN-INIZCTEOSA-N 0 0 435.550 -0.305 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2C[C@H]3CCCN3c3ccccc32)CC1 ZINC000605243117 1072336527 /nfs/dbraw/zinc/33/65/27/1072336527.db2.gz ZMEAIVKGFIVDMN-MRXNPFEDSA-N 0 0 435.550 -0.305 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC000605251557 1072339131 /nfs/dbraw/zinc/33/91/31/1072339131.db2.gz FGEWFWJCDLAFHH-INIZCTEOSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC000605251558 1072339134 /nfs/dbraw/zinc/33/91/34/1072339134.db2.gz FGEWFWJCDLAFHH-MRXNPFEDSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)CCO1 ZINC000605251606 1072339144 /nfs/dbraw/zinc/33/91/44/1072339144.db2.gz IEPYZCLVWLQWNL-INIZCTEOSA-N 0 0 432.477 -0.231 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2C)CCO1 ZINC000605251607 1072339205 /nfs/dbraw/zinc/33/92/05/1072339205.db2.gz IEPYZCLVWLQWNL-MRXNPFEDSA-N 0 0 432.477 -0.231 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CCO1 ZINC000605251712 1072339151 /nfs/dbraw/zinc/33/91/51/1072339151.db2.gz OMXCEZCBYRWIHM-HNNXBMFYSA-N 0 0 428.445 -0.005 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CCO1 ZINC000605251713 1070802729 /nfs/dbraw/zinc/80/27/29/1070802729.db2.gz OMXCEZCBYRWIHM-OAHLLOKOSA-N 0 0 428.445 -0.005 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CCO1 ZINC000605251740 1072339232 /nfs/dbraw/zinc/33/92/32/1072339232.db2.gz PVOOPRARRRZNOI-INIZCTEOSA-N 0 0 438.506 -0.229 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C3CC3)cc2)CCO1 ZINC000605251741 1072339225 /nfs/dbraw/zinc/33/92/25/1072339225.db2.gz PVOOPRARRRZNOI-MRXNPFEDSA-N 0 0 438.506 -0.229 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)cc2)CC1 ZINC000605251766 1072339159 /nfs/dbraw/zinc/33/91/59/1072339159.db2.gz QVWZOJFEDZYKHT-IBGZPJMESA-N 0 0 445.520 -0.234 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)cc2)CC1 ZINC000605251767 1072339063 /nfs/dbraw/zinc/33/90/63/1072339063.db2.gz QVWZOJFEDZYKHT-LJQANCHMSA-N 0 0 445.520 -0.234 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CCO1 ZINC000605251801 1072339110 /nfs/dbraw/zinc/33/91/10/1072339110.db2.gz UNRQRNBHOLCBNJ-GOSISDBHSA-N 0 0 432.477 -0.610 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CCO1 ZINC000605251802 1072339116 /nfs/dbraw/zinc/33/91/16/1072339116.db2.gz UNRQRNBHOLCBNJ-SFHVURJKSA-N 0 0 432.477 -0.610 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CCO1 ZINC000605251867 1072339181 /nfs/dbraw/zinc/33/91/81/1072339181.db2.gz ZIUDKGXWBWMLFT-AWEZNQCLSA-N 0 0 442.469 -0.332 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CCO1 ZINC000605251868 1072339057 /nfs/dbraw/zinc/33/90/57/1072339057.db2.gz ZIUDKGXWBWMLFT-CQSZACIVSA-N 0 0 442.469 -0.332 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)c2ccccc2O1 ZINC000605251884 1070802412 /nfs/dbraw/zinc/80/24/12/1070802412.db2.gz AHHUUBLFBBCDDS-CRAIPNDOSA-N 0 0 432.477 -0.330 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)c2ccccc2O1 ZINC000605251885 1070802444 /nfs/dbraw/zinc/80/24/44/1070802444.db2.gz AHHUUBLFBBCDDS-MAUKXSAKSA-N 0 0 432.477 -0.330 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)c2ccccc2O1 ZINC000605251886 1072339079 /nfs/dbraw/zinc/33/90/79/1072339079.db2.gz AHHUUBLFBBCDDS-QAPCUYQASA-N 0 0 432.477 -0.330 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)c2ccccc2O1 ZINC000605251887 1072339086 /nfs/dbraw/zinc/33/90/86/1072339086.db2.gz AHHUUBLFBBCDDS-YJBOKZPZSA-N 0 0 432.477 -0.330 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1 ZINC000605251968 1072339215 /nfs/dbraw/zinc/33/92/15/1072339215.db2.gz GHFGMHAKGOGQFZ-CYBMUJFWSA-N 0 0 442.494 -0.753 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1 ZINC000605251969 1072339123 /nfs/dbraw/zinc/33/91/23/1072339123.db2.gz GHFGMHAKGOGQFZ-ZDUSSCGKSA-N 0 0 442.494 -0.753 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CCO1 ZINC000605252086 1072338399 /nfs/dbraw/zinc/33/83/99/1072338399.db2.gz NWBKKPXKCUPDMB-INIZCTEOSA-N 0 0 431.493 -0.624 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CCO1 ZINC000605252087 1072338554 /nfs/dbraw/zinc/33/85/54/1072338554.db2.gz NWBKKPXKCUPDMB-MRXNPFEDSA-N 0 0 431.493 -0.624 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CCO1 ZINC000605252190 1072338624 /nfs/dbraw/zinc/33/86/24/1072338624.db2.gz UHEWJXMUFGXMAH-INIZCTEOSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CCO1 ZINC000605252191 1072338658 /nfs/dbraw/zinc/33/86/58/1072338658.db2.gz UHEWJXMUFGXMAH-MRXNPFEDSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000605252264 1072339619 /nfs/dbraw/zinc/33/96/19/1072339619.db2.gz ZZZWQIWWOJFEPI-IBGZPJMESA-N 0 0 445.520 -0.695 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CCO1 ZINC000605252265 1072339571 /nfs/dbraw/zinc/33/95/71/1072339571.db2.gz ZZZWQIWWOJFEPI-LJQANCHMSA-N 0 0 445.520 -0.695 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000605252562 1072339955 /nfs/dbraw/zinc/33/99/55/1072339955.db2.gz QYRNKBZPGOAYQW-AWEZNQCLSA-N 0 0 441.477 -0.022 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000605252563 1072339968 /nfs/dbraw/zinc/33/99/68/1072339968.db2.gz QYRNKBZPGOAYQW-CQSZACIVSA-N 0 0 441.477 -0.022 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000605252762 1072339700 /nfs/dbraw/zinc/33/97/00/1072339700.db2.gz BHSIYNLLGAYVLK-HNNXBMFYSA-N 0 0 434.478 -0.469 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000605252763 1072340072 /nfs/dbraw/zinc/34/00/72/1072340072.db2.gz BHSIYNLLGAYVLK-OAHLLOKOSA-N 0 0 434.478 -0.469 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605252848 1072339611 /nfs/dbraw/zinc/33/96/11/1072339611.db2.gz GMWFYHUZFRBRNI-INIZCTEOSA-N 0 0 428.449 -0.253 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605252849 1072339655 /nfs/dbraw/zinc/33/96/55/1072339655.db2.gz GMWFYHUZFRBRNI-MRXNPFEDSA-N 0 0 428.449 -0.253 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)C2=O)cn1 ZINC000605252934 1070802255 /nfs/dbraw/zinc/80/22/55/1070802255.db2.gz KEXPFHAWGIKRLO-INIZCTEOSA-N 0 0 446.489 -0.279 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)C2=O)cn1 ZINC000605252935 1072339605 /nfs/dbraw/zinc/33/96/05/1072339605.db2.gz KEXPFHAWGIKRLO-MRXNPFEDSA-N 0 0 446.489 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605252938 1072339642 /nfs/dbraw/zinc/33/96/42/1072339642.db2.gz KLMLPUAQDGJYFA-INIZCTEOSA-N 0 0 448.505 -0.033 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605252939 1072339649 /nfs/dbraw/zinc/33/96/49/1072339649.db2.gz KLMLPUAQDGJYFA-MRXNPFEDSA-N 0 0 448.505 -0.033 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1-n1nnnc1C ZINC000605252948 1072339680 /nfs/dbraw/zinc/33/96/80/1072339680.db2.gz KXZLRBCWRHNCAC-AWEZNQCLSA-N 0 0 439.436 -0.427 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1-n1nnnc1C ZINC000605252949 1070802288 /nfs/dbraw/zinc/80/22/88/1070802288.db2.gz KXZLRBCWRHNCAC-CQSZACIVSA-N 0 0 439.436 -0.427 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc(N4CCCS4(=O)=O)cc3)C2=O)cn1 ZINC000605252978 1072339672 /nfs/dbraw/zinc/33/96/72/1072339672.db2.gz MUZLPHHTQOJFDJ-INIZCTEOSA-N 0 0 446.489 -0.180 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc(N4CCCS4(=O)=O)cc3)C2=O)cn1 ZINC000605252979 1072339594 /nfs/dbraw/zinc/33/95/94/1072339594.db2.gz MUZLPHHTQOJFDJ-MRXNPFEDSA-N 0 0 446.489 -0.180 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)ccc1F ZINC000605252990 1072339559 /nfs/dbraw/zinc/33/95/59/1072339559.db2.gz NNOCKZDPORCDSB-AWEZNQCLSA-N 0 0 427.400 -0.296 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)ccc1F ZINC000605252991 1072339585 /nfs/dbraw/zinc/33/95/85/1072339585.db2.gz NNOCKZDPORCDSB-CQSZACIVSA-N 0 0 427.400 -0.296 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1S(=O)(=O)N(C)C ZINC000605252998 1072339635 /nfs/dbraw/zinc/33/96/35/1072339635.db2.gz ODIHJDRBOLRIQZ-HNNXBMFYSA-N 0 0 448.505 -0.161 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1S(=O)(=O)N(C)C ZINC000605252999 1072339708 /nfs/dbraw/zinc/33/97/08/1072339708.db2.gz ODIHJDRBOLRIQZ-OAHLLOKOSA-N 0 0 448.505 -0.161 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc4nc5n(c(=O)c4c3)CCC5)C2=O)cn1 ZINC000605253014 1072339725 /nfs/dbraw/zinc/33/97/25/1072339725.db2.gz OUSJVSDJHHQWMR-INIZCTEOSA-N 0 0 435.444 -0.064 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc4nc5n(c(=O)c4c3)CCC5)C2=O)cn1 ZINC000605253015 1070802349 /nfs/dbraw/zinc/80/23/49/1070802349.db2.gz OUSJVSDJHHQWMR-MRXNPFEDSA-N 0 0 435.444 -0.064 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605253128 1072339686 /nfs/dbraw/zinc/33/96/86/1072339686.db2.gz VRJQRUHMFSFGCA-INIZCTEOSA-N 0 0 428.449 -0.205 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000605253129 1072339717 /nfs/dbraw/zinc/33/97/17/1072339717.db2.gz VRJQRUHMFSFGCA-MRXNPFEDSA-N 0 0 428.449 -0.205 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000605253136 1072339663 /nfs/dbraw/zinc/33/96/63/1072339663.db2.gz VUXOHMZQIMZBMH-BBRMVZONSA-N 0 0 426.433 -0.818 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000605253137 1070802524 /nfs/dbraw/zinc/80/25/24/1070802524.db2.gz VUXOHMZQIMZBMH-CJNGLKHVSA-N 0 0 426.433 -0.818 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000605253138 1070802611 /nfs/dbraw/zinc/80/26/11/1070802611.db2.gz VUXOHMZQIMZBMH-CZUORRHYSA-N 0 0 426.433 -0.818 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000605253139 1072339696 /nfs/dbraw/zinc/33/96/96/1072339696.db2.gz VUXOHMZQIMZBMH-XJKSGUPXSA-N 0 0 426.433 -0.818 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)c1 ZINC000605254699 1072340156 /nfs/dbraw/zinc/34/01/56/1072340156.db2.gz ZJAGXSPLOULRFH-UHFFFAOYSA-N 0 0 445.501 -0.019 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)cc1 ZINC000605255089 1072340169 /nfs/dbraw/zinc/34/01/69/1072340169.db2.gz UIQQRCLTYIQAFB-UHFFFAOYSA-N 0 0 425.445 -0.063 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3cccc(-n4cncn4)c3)cnc2n(C)c1=O ZINC000605257010 1072340130 /nfs/dbraw/zinc/34/01/30/1072340130.db2.gz SYHHSPZCNAQZJC-UHFFFAOYSA-N 0 0 434.416 -0.532 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(CC(=O)NC(C)C)CC3)ccc21 ZINC000605260927 1072340221 /nfs/dbraw/zinc/34/02/21/1072340221.db2.gz SZKULHBEDJVAAG-UHFFFAOYSA-N 0 0 429.521 -0.006 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)ccc21 ZINC000605264356 1072340145 /nfs/dbraw/zinc/34/01/45/1072340145.db2.gz SXRPCKCFQLZHAJ-UHFFFAOYSA-N 0 0 437.522 -0.724 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc21 ZINC000605266295 1072340259 /nfs/dbraw/zinc/34/02/59/1072340259.db2.gz BPALSQJRJCQHHW-UHFFFAOYSA-N 0 0 441.488 -0.725 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@@H]1CSCN1C(=O)C1CCC1 ZINC000605279043 1072341179 /nfs/dbraw/zinc/34/11/79/1072341179.db2.gz BPIJORKGEDGCJE-INIZCTEOSA-N 0 0 446.595 -0.154 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@H]1CSCN1C(=O)C1CCC1 ZINC000605279044 1072341173 /nfs/dbraw/zinc/34/11/73/1072341173.db2.gz BPIJORKGEDGCJE-MRXNPFEDSA-N 0 0 446.595 -0.154 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)cnc12 ZINC000605279055 1072341160 /nfs/dbraw/zinc/34/11/60/1072341160.db2.gz CVJJVQCNANMVBR-UHFFFAOYSA-N 0 0 449.533 -0.137 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cccnc1N1CCOCC1 ZINC000605279130 1072341101 /nfs/dbraw/zinc/34/11/01/1072341101.db2.gz LEXNAOACECWZON-UHFFFAOYSA-N 0 0 439.538 -0.311 20 0 IBADRN O=C(CCNC(=O)Cc1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279135 1072341055 /nfs/dbraw/zinc/34/10/55/1072341055.db2.gz LPHVREUBDNLPMX-UHFFFAOYSA-N 0 0 438.550 -0.107 20 0 IBADRN O=C(CCS(=O)(=O)C1CCCC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279179 1072341124 /nfs/dbraw/zinc/34/11/24/1072341124.db2.gz QBLUIAKUZJNEIV-UHFFFAOYSA-N 0 0 437.584 -0.109 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc(N2CCNC2=O)cc1 ZINC000605279257 1072341146 /nfs/dbraw/zinc/34/11/46/1072341146.db2.gz XAXKZFDKHLJQBI-UHFFFAOYSA-N 0 0 437.522 -0.013 20 0 IBADRN O=C(Cn1cnc2sccc2c1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279279 1070803231 /nfs/dbraw/zinc/80/32/31/1070803231.db2.gz YWCYTXGBLUORAN-UHFFFAOYSA-N 0 0 441.535 -0.384 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279312 1072341507 /nfs/dbraw/zinc/34/15/07/1072341507.db2.gz BHXUQFREGRTYDY-UHFFFAOYSA-N 0 0 443.526 -0.991 20 0 IBADRN Cn1c2ccccc2n(CC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1=O ZINC000605279328 1072341538 /nfs/dbraw/zinc/34/15/38/1072341538.db2.gz CJLZMFGBONHFAN-UHFFFAOYSA-N 0 0 437.522 -0.502 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605279421 1072341604 /nfs/dbraw/zinc/34/16/04/1072341604.db2.gz KUIDXQFJNDWUDE-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN O=C(Cc1ccc(-n2cnnn2)cc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279422 1072341679 /nfs/dbraw/zinc/34/16/79/1072341679.db2.gz KVTBYWLOIISYMV-UHFFFAOYSA-N 0 0 435.510 -0.638 20 0 IBADRN Cc1ccc2ncc(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)c(=O)n2c1 ZINC000605279489 1072341587 /nfs/dbraw/zinc/34/15/87/1072341587.db2.gz PYDWZLZYDPFUIH-UHFFFAOYSA-N 0 0 435.506 -0.226 20 0 IBADRN O=C(C[C@@H]1Oc2ccccc2NC1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279552 1072341667 /nfs/dbraw/zinc/34/16/67/1072341667.db2.gz WBNHASJRCOOOBB-KRWDZBQOSA-N 0 0 438.506 -0.066 20 0 IBADRN O=C(C[C@H]1Oc2ccccc2NC1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279553 1072341700 /nfs/dbraw/zinc/34/17/00/1072341700.db2.gz WBNHASJRCOOOBB-QGZVFWFLSA-N 0 0 438.506 -0.066 20 0 IBADRN O=C(CCn1ncc(=O)c2ccccc21)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279580 1072341462 /nfs/dbraw/zinc/34/14/62/1072341462.db2.gz YRPRHRPLMPQCSZ-UHFFFAOYSA-N 0 0 449.533 -0.056 20 0 IBADRN CCCC(=O)N1CSC[C@H]1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279612 1072341566 /nfs/dbraw/zinc/34/15/66/1072341566.db2.gz BXDRCVDSEMJZMI-HNNXBMFYSA-N 0 0 434.584 -0.154 20 0 IBADRN CCCC(=O)N1CSC[C@@H]1C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279613 1072341475 /nfs/dbraw/zinc/34/14/75/1072341475.db2.gz BXDRCVDSEMJZMI-OAHLLOKOSA-N 0 0 434.584 -0.154 20 0 IBADRN O=C(Cn1cc(Br)cn1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279623 1072341445 /nfs/dbraw/zinc/34/14/45/1072341445.db2.gz DGRVMAVKKBZHKM-UHFFFAOYSA-N 0 0 436.332 -0.197 20 0 IBADRN CCCCCS(=O)(=O)CC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279653 1072341689 /nfs/dbraw/zinc/34/16/89/1072341689.db2.gz HNMFEXMAFUNGGK-UHFFFAOYSA-N 0 0 425.573 -0.251 20 0 IBADRN O=C(CN1C(=O)c2ccccc2C1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279677 1072341490 /nfs/dbraw/zinc/34/14/90/1072341490.db2.gz KALXXNVZVOJYSY-UHFFFAOYSA-N 0 0 436.490 -0.560 20 0 IBADRN CN(CC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)C(=O)c1cccs1 ZINC000605279700 1072341656 /nfs/dbraw/zinc/34/16/56/1072341656.db2.gz MQAHNQVFEAZRSE-UHFFFAOYSA-N 0 0 430.552 -0.022 20 0 IBADRN O=C(CCn1cnc2ccccc2c1=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605279750 1072341578 /nfs/dbraw/zinc/34/15/78/1072341578.db2.gz RJSKHTUVKWAIPQ-UHFFFAOYSA-N 0 0 449.533 -0.056 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(CC(=O)NC4CC4)CC3)ccc21 ZINC000605293187 1072341131 /nfs/dbraw/zinc/34/11/31/1072341131.db2.gz RWEOMRLDNPAZPK-UHFFFAOYSA-N 0 0 427.505 -0.252 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000605293274 1072340738 /nfs/dbraw/zinc/34/07/38/1072340738.db2.gz ZBAJKANWEXBHDX-IBGZPJMESA-N 0 0 427.509 -0.232 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000605293275 1072341166 /nfs/dbraw/zinc/34/11/66/1072341166.db2.gz ZBAJKANWEXBHDX-LJQANCHMSA-N 0 0 427.509 -0.232 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc3c(c2)CCN3C(=O)CNC(C)=O)CC1 ZINC000605293797 1072341136 /nfs/dbraw/zinc/34/11/36/1072341136.db2.gz UHJDQGVJUVTILS-UHFFFAOYSA-N 0 0 445.520 -0.768 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)ccc21 ZINC000605293822 1072341087 /nfs/dbraw/zinc/34/10/87/1072341087.db2.gz WHDZJTXSSXGDPI-UHFFFAOYSA-N 0 0 443.504 -0.479 20 0 IBADRN CCn1nc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(=O)c2ccccc21 ZINC000605293911 1072341236 /nfs/dbraw/zinc/34/12/36/1072341236.db2.gz DQGWPVJCAPOUEL-UHFFFAOYSA-N 0 0 427.461 -0.440 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000605293915 1072341118 /nfs/dbraw/zinc/34/11/18/1072341118.db2.gz FBTXQMHDEBEKSD-IBGZPJMESA-N 0 0 427.509 -0.232 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000605293916 1072341077 /nfs/dbraw/zinc/34/10/77/1072341077.db2.gz FBTXQMHDEBEKSD-LJQANCHMSA-N 0 0 427.509 -0.232 20 0 IBADRN CCn1nc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(=O)c2ccccc21 ZINC000605295924 1072341106 /nfs/dbraw/zinc/34/11/06/1072341106.db2.gz NEEHHSDDVWWYER-UHFFFAOYSA-N 0 0 448.549 -0.334 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2ccc3c(c2)CCN3C(=O)CNC(C)=O)CC1 ZINC000605295986 1072341209 /nfs/dbraw/zinc/34/12/09/1072341209.db2.gz SDRHQSUZDLGOOD-UHFFFAOYSA-N 0 0 429.521 -0.004 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000605298374 1072341070 /nfs/dbraw/zinc/34/10/70/1072341070.db2.gz LLAJENAITCWOGF-KRWDZBQOSA-N 0 0 439.476 -0.415 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000605298375 1072341156 /nfs/dbraw/zinc/34/11/56/1072341156.db2.gz LLAJENAITCWOGF-QGZVFWFLSA-N 0 0 439.476 -0.415 20 0 IBADRN COc1ccc(NC(=O)CCC(=O)NCCNC(C)=O)c(N2CCN(C(C)=O)CC2)n1 ZINC000605302343 1072341093 /nfs/dbraw/zinc/34/10/93/1072341093.db2.gz PANWKAUEYKUUAF-UHFFFAOYSA-N 0 0 434.497 -0.270 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NC3CCN(CC(=O)N(C)C)CC3)ccc21 ZINC000605305620 1072341187 /nfs/dbraw/zinc/34/11/87/1072341187.db2.gz VQXPAHDIDLEFST-UHFFFAOYSA-N 0 0 429.521 -0.006 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1csc(-c2nc[nH]n2)n1 ZINC000605310578 1072343280 /nfs/dbraw/zinc/34/32/80/1072343280.db2.gz BBAPDRLIRLUCMI-UHFFFAOYSA-N 0 0 427.512 -0.301 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605310644 1072343290 /nfs/dbraw/zinc/34/32/90/1072343290.db2.gz KFLRVSQBSSTABQ-UHFFFAOYSA-N 0 0 446.551 -0.634 20 0 IBADRN O=C(NCCCc1ccccc1)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605310665 1072343192 /nfs/dbraw/zinc/34/31/92/1072343192.db2.gz MEILGNIMOFORSE-UHFFFAOYSA-N 0 0 438.550 -0.107 20 0 IBADRN O=C(CNC(=O)NC1CCCCC1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605310817 1070804723 /nfs/dbraw/zinc/80/47/23/1070804723.db2.gz CRELVXGJOGGULF-UHFFFAOYSA-N 0 0 431.559 -0.224 20 0 IBADRN Cc1cc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c2c(=O)[nH]n(C)c2n1 ZINC000605310858 1072342526 /nfs/dbraw/zinc/34/25/26/1072342526.db2.gz IJVCHWNKUUTNDE-UHFFFAOYSA-N 0 0 438.510 -0.246 20 0 IBADRN C[C@@H](Sc1nnnn1C1CC1)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605310912 1070805015 /nfs/dbraw/zinc/80/50/15/1070805015.db2.gz NROOMBXTTQMJHH-GFCCVEGCSA-N 0 0 445.571 -0.354 20 0 IBADRN C[C@H](Sc1nnnn1C1CC1)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605310913 1072342596 /nfs/dbraw/zinc/34/25/96/1072342596.db2.gz NROOMBXTTQMJHH-LBPRGKRZSA-N 0 0 445.571 -0.354 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)n1cccn1 ZINC000605310920 1072342793 /nfs/dbraw/zinc/34/27/93/1072342793.db2.gz OQIRNHBUQDXPGV-HNNXBMFYSA-N 0 0 442.542 -0.940 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)n1cccn1 ZINC000605310921 1072342751 /nfs/dbraw/zinc/34/27/51/1072342751.db2.gz OQIRNHBUQDXPGV-OAHLLOKOSA-N 0 0 442.542 -0.940 20 0 IBADRN CS(=O)(=O)Nc1ccc(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605310935 1070804820 /nfs/dbraw/zinc/80/48/20/1070804820.db2.gz RLPGOAFCIYXAAR-UHFFFAOYSA-N 0 0 446.551 -0.171 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)c2=O ZINC000605310988 1072342617 /nfs/dbraw/zinc/34/26/17/1072342617.db2.gz XKCNGEXOKXEXJQ-UHFFFAOYSA-N 0 0 435.506 -0.226 20 0 IBADRN COCCNC(=O)c1ccc(NC2CCN(S(=O)(=O)N3CCOCC3)CC2)nc1 ZINC000605315536 1072343273 /nfs/dbraw/zinc/34/32/73/1072343273.db2.gz QNUPXAAABNPVJE-UHFFFAOYSA-N 0 0 427.527 -0.089 20 0 IBADRN Cn1ncc(NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c(Br)c1=O ZINC000605315809 1072343079 /nfs/dbraw/zinc/34/30/79/1072343079.db2.gz OQGZFYKBVGLMCP-UHFFFAOYSA-N 0 0 436.332 -0.004 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc2c(c1)OCCO2 ZINC000605319692 1072343220 /nfs/dbraw/zinc/34/32/20/1072343220.db2.gz HIIPAEVYMUSXJH-UHFFFAOYSA-N 0 0 447.535 -0.223 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605319972 1072343212 /nfs/dbraw/zinc/34/32/12/1072343212.db2.gz VEJIHWHPNADQHW-UHFFFAOYSA-N 0 0 432.524 -0.895 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc2c(c1)CCO2 ZINC000605320094 1072343110 /nfs/dbraw/zinc/34/31/10/1072343110.db2.gz ZWGGCUOHZIAWOV-UHFFFAOYSA-N 0 0 431.536 -0.059 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605320248 1072343244 /nfs/dbraw/zinc/34/32/44/1072343244.db2.gz QGAMUDRTDFDSEC-UHFFFAOYSA-N 0 0 446.551 -0.634 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cccc2c1OCCO2 ZINC000605320284 1072343123 /nfs/dbraw/zinc/34/31/23/1072343123.db2.gz VROPLDDRJRUIDL-UHFFFAOYSA-N 0 0 447.535 -0.223 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000605323637 1072341522 /nfs/dbraw/zinc/34/15/22/1072341522.db2.gz WTDCRKOAYPAYEI-UHFFFAOYSA-N 0 0 447.539 -0.352 20 0 IBADRN CC(C)(CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000605324209 1072341619 /nfs/dbraw/zinc/34/16/19/1072341619.db2.gz SRDZIWSNUVSPHK-UHFFFAOYSA-N 0 0 433.575 -0.562 20 0 IBADRN CC(C)[C@@H](CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000605324670 1072342051 /nfs/dbraw/zinc/34/20/51/1072342051.db2.gz UYCDCCFVUUQJQE-GOSISDBHSA-N 0 0 447.602 -0.316 20 0 IBADRN CC(C)[C@H](CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000605324671 1072342209 /nfs/dbraw/zinc/34/22/09/1072342209.db2.gz UYCDCCFVUUQJQE-SFHVURJKSA-N 0 0 447.602 -0.316 20 0 IBADRN CN(C)c1ccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000605324759 1072342071 /nfs/dbraw/zinc/34/20/71/1072342071.db2.gz CLHQDFZJNSZFBC-UHFFFAOYSA-N 0 0 426.543 -0.012 20 0 IBADRN Cc1nn(C)c(C)c1CCNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605324779 1072342123 /nfs/dbraw/zinc/34/21/23/1072342123.db2.gz FEIGGEMIAMBHAI-UHFFFAOYSA-N 0 0 428.559 -0.080 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605324883 1072341923 /nfs/dbraw/zinc/34/19/23/1072341923.db2.gz MPXAIXWQKTXUSH-UHFFFAOYSA-N 0 0 439.538 -0.113 20 0 IBADRN CN(C)c1ncccc1CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605324918 1072341645 /nfs/dbraw/zinc/34/16/45/1072341645.db2.gz OXWAPOMKAZEVNW-UHFFFAOYSA-N 0 0 426.543 -0.012 20 0 IBADRN NC(=O)c1cccc(CNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000605324924 1072341705 /nfs/dbraw/zinc/34/17/05/1072341705.db2.gz PZHBHATVSHXHOQ-UHFFFAOYSA-N 0 0 425.511 -0.374 20 0 IBADRN O=C(NCCNC(=O)c1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605324982 1072341551 /nfs/dbraw/zinc/34/15/51/1072341551.db2.gz UGKKTOVAEMWDGB-UHFFFAOYSA-N 0 0 439.538 -0.243 20 0 IBADRN O=C(NCCNC(=O)c1ccco1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605324983 1072341962 /nfs/dbraw/zinc/34/19/62/1072341962.db2.gz USOGSNXBJGHLAS-UHFFFAOYSA-N 0 0 429.499 -0.650 20 0 IBADRN CCN(CC)C(=O)CCCNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605326267 1072341989 /nfs/dbraw/zinc/34/19/89/1072341989.db2.gz WQZZECOVIDMILK-UHFFFAOYSA-N 0 0 433.575 -0.024 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000605326898 1072342190 /nfs/dbraw/zinc/34/21/90/1072342190.db2.gz BTHFPLSFRBLFBU-UHFFFAOYSA-N 0 0 439.538 -0.331 20 0 IBADRN O=C(CNC(=O)c1cccc(F)c1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605335061 1072342148 /nfs/dbraw/zinc/34/21/48/1072342148.db2.gz DQOONFKCVOJFKL-UHFFFAOYSA-N 0 0 428.486 -0.287 20 0 IBADRN O=C(CNC(=O)c1cc(F)cc(F)c1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605335114 1072342242 /nfs/dbraw/zinc/34/22/42/1072342242.db2.gz KYAQHXVXIBQSHE-UHFFFAOYSA-N 0 0 446.476 -0.148 20 0 IBADRN O=C(CNC(=O)c1ccc(F)c(F)c1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605335147 1072342200 /nfs/dbraw/zinc/34/22/00/1072342200.db2.gz PFMVDWLZXBRUPP-UHFFFAOYSA-N 0 0 446.476 -0.148 20 0 IBADRN O=C(CNC(=O)c1ccc(F)cc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605335177 1072342135 /nfs/dbraw/zinc/34/21/35/1072342135.db2.gz SVNQNPVDEKGNCR-UHFFFAOYSA-N 0 0 428.486 -0.287 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605335195 1072342225 /nfs/dbraw/zinc/34/22/25/1072342225.db2.gz WPSXKKCIAAIASA-UHFFFAOYSA-N 0 0 440.522 -0.417 20 0 IBADRN O=C(Nc1ccc(-n2cnnn2)cc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605336212 1072342233 /nfs/dbraw/zinc/34/22/33/1072342233.db2.gz LXQIGIQLZWFKAD-UHFFFAOYSA-N 0 0 436.498 -0.175 20 0 IBADRN NC(=O)COc1cccc(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000605336940 1072342086 /nfs/dbraw/zinc/34/20/86/1072342086.db2.gz CPHCMQBISPRTSI-UHFFFAOYSA-N 0 0 441.510 -0.286 20 0 IBADRN NC(=O)COc1ccc(NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605337104 1072341974 /nfs/dbraw/zinc/34/19/74/1072341974.db2.gz SHSWDDSYBBJXBX-UHFFFAOYSA-N 0 0 441.510 -0.286 20 0 IBADRN O=C(Nc1cccnc1-n1cncn1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605338426 1072342821 /nfs/dbraw/zinc/34/28/21/1072342821.db2.gz QSINJAPJFDUTDP-UHFFFAOYSA-N 0 0 436.498 -0.175 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000605340692 1072342604 /nfs/dbraw/zinc/34/26/04/1072342604.db2.gz GHRLYGIROBARDI-UHFFFAOYSA-N 0 0 443.457 -0.992 20 0 IBADRN CCc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000605342507 1072342514 /nfs/dbraw/zinc/34/25/14/1072342514.db2.gz XAUSLWSKVGOYLV-UHFFFAOYSA-N 0 0 433.556 -0.405 20 0 IBADRN CCc1ccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1S(N)(=O)=O ZINC000605343112 1072342499 /nfs/dbraw/zinc/34/24/99/1072342499.db2.gz QMCPMEHHVPAUDD-UHFFFAOYSA-N 0 0 439.538 -0.095 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1S(N)(=O)=O ZINC000605343585 1072342803 /nfs/dbraw/zinc/34/28/03/1072342803.db2.gz GGQOQNDCIOWHLQ-UHFFFAOYSA-N 0 0 437.478 -0.532 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1S(N)(=O)=O ZINC000605344073 1072342731 /nfs/dbraw/zinc/34/27/31/1072342731.db2.gz WEURTJHJZOTGLK-UHFFFAOYSA-N 0 0 439.494 -0.823 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1S(N)(=O)=O ZINC000605344733 1072342544 /nfs/dbraw/zinc/34/25/44/1072342544.db2.gz HDSBDVQYJMKUFR-UHFFFAOYSA-N 0 0 425.511 -0.349 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000605345618 1072342720 /nfs/dbraw/zinc/34/27/20/1072342720.db2.gz CYGTWNPGBWUVCD-UHFFFAOYSA-N 0 0 433.556 -0.350 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000605346422 1072342585 /nfs/dbraw/zinc/34/25/85/1072342585.db2.gz VVIFMHNHQHDSDM-UHFFFAOYSA-N 0 0 439.538 -0.041 20 0 IBADRN O=C(NCCCOC[C@@H]1CCCO1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605348510 1070807119 /nfs/dbraw/zinc/80/71/19/1070807119.db2.gz ZXDZXYZWTDKUHX-KRWDZBQOSA-N 0 0 434.559 -0.087 20 0 IBADRN O=C(NCCCOC[C@H]1CCCO1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605348511 1072346509 /nfs/dbraw/zinc/34/65/09/1072346509.db2.gz ZXDZXYZWTDKUHX-QGZVFWFLSA-N 0 0 434.559 -0.087 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605349096 1072346665 /nfs/dbraw/zinc/34/66/65/1072346665.db2.gz JVJCGLBMQGZKKV-UHFFFAOYSA-N 0 0 440.526 -0.848 20 0 IBADRN O=C(CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)NC1CCCCC1 ZINC000605350626 1072346657 /nfs/dbraw/zinc/34/66/57/1072346657.db2.gz AEAGDUCQUMVWLZ-UHFFFAOYSA-N 0 0 431.559 -0.224 20 0 IBADRN O=C(N[C@H]1CCN(CC(F)(F)F)C1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605350915 1072346650 /nfs/dbraw/zinc/34/66/50/1072346650.db2.gz FCHFMJZWLPCYCI-AWEZNQCLSA-N 0 0 443.492 -0.036 20 0 IBADRN O=C(N[C@@H]1CCN(CC(F)(F)F)C1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605350916 1070806513 /nfs/dbraw/zinc/80/65/13/1070806513.db2.gz FCHFMJZWLPCYCI-CQSZACIVSA-N 0 0 443.492 -0.036 20 0 IBADRN CC1(C)CN(CCNC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CCO1 ZINC000605351123 1072346466 /nfs/dbraw/zinc/34/64/66/1072346466.db2.gz BUKWRCMCSZACKS-UHFFFAOYSA-N 0 0 433.575 -0.562 20 0 IBADRN C[C@@H](NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@H](C)N1CCOCC1 ZINC000605351719 1070806880 /nfs/dbraw/zinc/80/68/80/1070806880.db2.gz MZTITAYYVIPKMT-CVEARBPZSA-N 0 0 433.575 -0.564 20 0 IBADRN C[C@H](NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@H](C)N1CCOCC1 ZINC000605351720 1072346532 /nfs/dbraw/zinc/34/65/32/1072346532.db2.gz MZTITAYYVIPKMT-HOTGVXAUSA-N 0 0 433.575 -0.564 20 0 IBADRN C[C@@H](NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)[C@@H](C)N1CCOCC1 ZINC000605351721 1072346609 /nfs/dbraw/zinc/34/66/09/1072346609.db2.gz MZTITAYYVIPKMT-HZPDHXFCSA-N 0 0 433.575 -0.564 20 0 IBADRN C[C@H]([C@H](C)NC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000605351722 1072346559 /nfs/dbraw/zinc/34/65/59/1072346559.db2.gz MZTITAYYVIPKMT-JKSUJKDBSA-N 0 0 433.575 -0.564 20 0 IBADRN CCc1nc2n(n1)C[C@@H](NC(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CC2 ZINC000605352734 1072346518 /nfs/dbraw/zinc/34/65/18/1072346518.db2.gz RENJVRNUADRLNT-HNNXBMFYSA-N 0 0 441.558 -0.504 20 0 IBADRN CCc1nc2n(n1)C[C@H](NC(=O)NC1CCN(S(=O)(=O)N3CCOCC3)CC1)CC2 ZINC000605352735 1072346640 /nfs/dbraw/zinc/34/66/40/1072346640.db2.gz RENJVRNUADRLNT-OAHLLOKOSA-N 0 0 441.558 -0.504 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000605352802 1072346626 /nfs/dbraw/zinc/34/66/26/1072346626.db2.gz VKYYYZRXFQCLAO-DOTOQJQBSA-N 0 0 445.586 -0.026 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000605352803 1070806813 /nfs/dbraw/zinc/80/68/13/1070806813.db2.gz VKYYYZRXFQCLAO-NVXWUHKLSA-N 0 0 445.586 -0.026 20 0 IBADRN CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000605352804 1072346637 /nfs/dbraw/zinc/34/66/37/1072346637.db2.gz VKYYYZRXFQCLAO-RDJZCZTQSA-N 0 0 445.586 -0.026 20 0 IBADRN CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000605352805 1072346489 /nfs/dbraw/zinc/34/64/89/1072346489.db2.gz VKYYYZRXFQCLAO-WBVHZDCISA-N 0 0 445.586 -0.026 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(c2ccccn2)CC1 ZINC000605355714 1070807489 /nfs/dbraw/zinc/80/74/89/1070807489.db2.gz BEPDYSZSCLMGME-UHFFFAOYSA-N 0 0 438.554 -0.045 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000605355748 1070807053 /nfs/dbraw/zinc/80/70/53/1070807053.db2.gz DJVQQRLTUAPBES-INIZCTEOSA-N 0 0 445.586 -0.024 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000605355749 1072346971 /nfs/dbraw/zinc/34/69/71/1072346971.db2.gz DJVQQRLTUAPBES-MRXNPFEDSA-N 0 0 445.586 -0.024 20 0 IBADRN CCOCCN1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000605355815 1072347015 /nfs/dbraw/zinc/34/70/15/1072347015.db2.gz KUHKREPSJOKROH-UHFFFAOYSA-N 0 0 433.575 -0.609 20 0 IBADRN Cn1cc([C@H]2CCN(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)C2)cn1 ZINC000605355817 1072346981 /nfs/dbraw/zinc/34/69/81/1072346981.db2.gz KZANOTJFFZPTEB-HNNXBMFYSA-N 0 0 426.543 -0.040 20 0 IBADRN Cn1cc([C@@H]2CCN(C(=O)NC3CCN(S(=O)(=O)N4CCOCC4)CC3)C2)cn1 ZINC000605355818 1072347142 /nfs/dbraw/zinc/34/71/42/1072347142.db2.gz KZANOTJFFZPTEB-OAHLLOKOSA-N 0 0 426.543 -0.040 20 0 IBADRN O=C(NC[C@H](O)COc1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605355820 1072346992 /nfs/dbraw/zinc/34/69/92/1072346992.db2.gz LAEGDKPKLLTNOR-KRWDZBQOSA-N 0 0 442.538 -0.233 20 0 IBADRN O=C(NC[C@@H](O)COc1ccccc1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605355821 1072347005 /nfs/dbraw/zinc/34/70/05/1072347005.db2.gz LAEGDKPKLLTNOR-QGZVFWFLSA-N 0 0 442.538 -0.233 20 0 IBADRN CC(C)(C)C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000605355829 1072347107 /nfs/dbraw/zinc/34/71/07/1072347107.db2.gz LUNDIWQDOXTJIL-UHFFFAOYSA-N 0 0 445.586 -0.072 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(c2cccnn2)CC1 ZINC000605355853 1072346550 /nfs/dbraw/zinc/34/65/50/1072346550.db2.gz NDVWTRSOSGTJGD-UHFFFAOYSA-N 0 0 439.542 -0.650 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(CC(F)(F)F)CC1 ZINC000605355920 1072346929 /nfs/dbraw/zinc/34/69/29/1072346929.db2.gz SQUIGEUYURTDDR-UHFFFAOYSA-N 0 0 443.492 -0.083 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(Cc2ncc[nH]2)CC1 ZINC000605355958 1072347032 /nfs/dbraw/zinc/34/70/32/1072347032.db2.gz VQFQCDDZWSUBDE-UHFFFAOYSA-N 0 0 441.558 -0.722 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(CC(F)F)CC1 ZINC000605355975 1070806946 /nfs/dbraw/zinc/80/69/46/1070806946.db2.gz XJYVWERRNNYHTP-UHFFFAOYSA-N 0 0 425.502 -0.380 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000605355983 1070807424 /nfs/dbraw/zinc/80/74/24/1070807424.db2.gz XWQJCURFGZFLTE-UHFFFAOYSA-N 0 0 431.559 -0.462 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@H](N2CCCC2=O)C1 ZINC000605355989 1070806759 /nfs/dbraw/zinc/80/67/59/1070806759.db2.gz YHDHQNRGFGEEIO-KRWDZBQOSA-N 0 0 443.570 -0.176 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000605355990 1070807032 /nfs/dbraw/zinc/80/70/32/1070807032.db2.gz YHDHQNRGFGEEIO-QGZVFWFLSA-N 0 0 443.570 -0.176 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCN(c2cnccn2)CC1 ZINC000605356016 1072346681 /nfs/dbraw/zinc/34/66/81/1072346681.db2.gz ZQIBDYAEWKPZAV-UHFFFAOYSA-N 0 0 439.542 -0.650 20 0 IBADRN CN(C)c1ccccc1NC(=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605358097 1072347054 /nfs/dbraw/zinc/34/70/54/1072347054.db2.gz AYHMEYIUJBJVLJ-UHFFFAOYSA-N 0 0 439.538 -0.151 20 0 IBADRN COc1cc(F)ccc1NC(=O)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605358283 1072347040 /nfs/dbraw/zinc/34/70/40/1072347040.db2.gz IKNGOXGUXWGYSE-UHFFFAOYSA-N 0 0 444.485 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1F ZINC000605358538 1070808296 /nfs/dbraw/zinc/80/82/96/1070808296.db2.gz RILUZBPHFMSSMN-UHFFFAOYSA-N 0 0 444.485 -0.070 20 0 IBADRN O=C(Nc1ccc2c(c1)CCO2)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000605358703 1072346939 /nfs/dbraw/zinc/34/69/39/1072346939.db2.gz XXVKQGITUZDCQC-UHFFFAOYSA-N 0 0 438.506 -0.282 20 0 IBADRN COc1cccc(NC(=O)C(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000605358753 1070807746 /nfs/dbraw/zinc/80/77/46/1070807746.db2.gz ZDSICSALGGEQAU-UHFFFAOYSA-N 0 0 426.495 -0.209 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCc3ccc(S(N)(=O)=O)cc32)cn1C ZINC000605371559 1072343237 /nfs/dbraw/zinc/34/32/37/1072343237.db2.gz PWPNXBHMILIZDE-UHFFFAOYSA-N 0 0 427.508 -0.366 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c2ccccn21 ZINC000605378436 1070912813 /nfs/dbraw/zinc/91/28/13/1070912813.db2.gz STVDECKLKKNPOL-AWEZNQCLSA-N 0 0 435.506 -0.599 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c2ccccn21 ZINC000605378437 1072343228 /nfs/dbraw/zinc/34/32/28/1072343228.db2.gz STVDECKLKKNPOL-CQSZACIVSA-N 0 0 435.506 -0.599 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378546 1072344227 /nfs/dbraw/zinc/34/42/27/1072344227.db2.gz BTWCKZWAWIIAEY-GOSISDBHSA-N 0 0 425.489 -0.040 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378547 1072344302 /nfs/dbraw/zinc/34/43/02/1072344302.db2.gz BTWCKZWAWIIAEY-SFHVURJKSA-N 0 0 425.489 -0.040 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378638 1072343884 /nfs/dbraw/zinc/34/38/84/1072343884.db2.gz HKMJAXINPMWQSJ-IBGZPJMESA-N 0 0 429.521 -0.196 20 0 IBADRN O=C(CNC(=O)N1CCc2ccccc2C1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378639 1072343805 /nfs/dbraw/zinc/34/38/05/1072343805.db2.gz HKMJAXINPMWQSJ-LJQANCHMSA-N 0 0 429.521 -0.196 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378728 1072343759 /nfs/dbraw/zinc/34/37/59/1072343759.db2.gz LTTYJSVJODKSPK-DZGCQCFKSA-N 0 0 443.526 -0.992 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378729 1072343540 /nfs/dbraw/zinc/34/35/40/1072343540.db2.gz LTTYJSVJODKSPK-ZFWWWQNUSA-N 0 0 443.526 -0.992 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378738 1072344293 /nfs/dbraw/zinc/34/42/93/1072344293.db2.gz MDQIAMPYVOKZTN-KBPBESRZSA-N 0 0 430.552 -0.536 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378739 1072344327 /nfs/dbraw/zinc/34/43/27/1072344327.db2.gz MDQIAMPYVOKZTN-KGLIPLIRSA-N 0 0 430.552 -0.536 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378740 1072344372 /nfs/dbraw/zinc/34/43/72/1072344372.db2.gz MDQIAMPYVOKZTN-UONOGXRCSA-N 0 0 430.552 -0.536 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378741 1072344358 /nfs/dbraw/zinc/34/43/58/1072344358.db2.gz MDQIAMPYVOKZTN-ZIAGYGMSSA-N 0 0 430.552 -0.536 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC000605378782 1072343776 /nfs/dbraw/zinc/34/37/76/1072343776.db2.gz OHSLFCIGSVQVBV-AWEZNQCLSA-N 0 0 428.486 -0.140 20 0 IBADRN CS(=O)(=O)Nc1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC000605378783 1072343869 /nfs/dbraw/zinc/34/38/69/1072343869.db2.gz OHSLFCIGSVQVBV-CQSZACIVSA-N 0 0 428.486 -0.140 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378906 1072343639 /nfs/dbraw/zinc/34/36/39/1072343639.db2.gz VJFARAJIGKAJRZ-CYBMUJFWSA-N 0 0 426.495 -0.995 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378907 1072343704 /nfs/dbraw/zinc/34/37/04/1072343704.db2.gz VJFARAJIGKAJRZ-ZDUSSCGKSA-N 0 0 426.495 -0.995 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378930 1072343665 /nfs/dbraw/zinc/34/36/65/1072343665.db2.gz WWUZDQAASCQARI-MOPGFXCFSA-N 0 0 445.499 -0.046 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378931 1072343614 /nfs/dbraw/zinc/34/36/14/1072343614.db2.gz WWUZDQAASCQARI-OALUTQOASA-N 0 0 445.499 -0.046 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378932 1072343911 /nfs/dbraw/zinc/34/39/11/1072343911.db2.gz WWUZDQAASCQARI-RBUKOAKNSA-N 0 0 445.499 -0.046 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605378933 1072343741 /nfs/dbraw/zinc/34/37/41/1072343741.db2.gz WWUZDQAASCQARI-RTBURBONSA-N 0 0 445.499 -0.046 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605378936 1070913573 /nfs/dbraw/zinc/91/35/73/1070913573.db2.gz XFLUXQKLDZRXAU-KRWDZBQOSA-N 0 0 439.534 -0.230 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605378937 1072343833 /nfs/dbraw/zinc/34/38/33/1072343833.db2.gz XFLUXQKLDZRXAU-QGZVFWFLSA-N 0 0 439.534 -0.230 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605378966 1070913671 /nfs/dbraw/zinc/91/36/71/1070913671.db2.gz YVUBQYSJRXACRG-KRWDZBQOSA-N 0 0 438.550 -0.423 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605378967 1072343897 /nfs/dbraw/zinc/34/38/97/1072343897.db2.gz YVUBQYSJRXACRG-QGZVFWFLSA-N 0 0 438.550 -0.423 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000605379064 1072343261 /nfs/dbraw/zinc/34/32/61/1072343261.db2.gz FWVUWDJSYYQNJU-KRWDZBQOSA-N 0 0 436.534 -0.210 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000605379065 1072343200 /nfs/dbraw/zinc/34/32/00/1072343200.db2.gz FWVUWDJSYYQNJU-QGZVFWFLSA-N 0 0 436.534 -0.210 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605379070 1072343093 /nfs/dbraw/zinc/34/30/93/1072343093.db2.gz GDEGPLUGRRSPOK-HNNXBMFYSA-N 0 0 429.477 -0.459 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605379071 1072343135 /nfs/dbraw/zinc/34/31/35/1072343135.db2.gz GDEGPLUGRRSPOK-OAHLLOKOSA-N 0 0 429.477 -0.459 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000605379084 1072343249 /nfs/dbraw/zinc/34/32/49/1072343249.db2.gz HBKQDDYJXONREE-GOSISDBHSA-N 0 0 444.598 -0.122 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000605379085 1070913624 /nfs/dbraw/zinc/91/36/24/1070913624.db2.gz HBKQDDYJXONREE-SFHVURJKSA-N 0 0 444.598 -0.122 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605379144 1072343819 /nfs/dbraw/zinc/34/38/19/1072343819.db2.gz JUYKZLDRWDQFGH-DRMAHVMPSA-N 0 0 439.582 -0.239 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605379145 1072343790 /nfs/dbraw/zinc/34/37/90/1072343790.db2.gz JUYKZLDRWDQFGH-FPXQBCRKSA-N 0 0 439.582 -0.239 20 0 IBADRN NC(=O)c1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)nn1-c1ccccc1 ZINC000605379166 1072343724 /nfs/dbraw/zinc/34/37/24/1072343724.db2.gz KMQIXNXWOGDIBH-HNNXBMFYSA-N 0 0 426.477 -0.366 20 0 IBADRN NC(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)nn1-c1ccccc1 ZINC000605379167 1072343588 /nfs/dbraw/zinc/34/35/88/1072343588.db2.gz KMQIXNXWOGDIBH-OAHLLOKOSA-N 0 0 426.477 -0.366 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)ccc2c1=O ZINC000605379212 1070913539 /nfs/dbraw/zinc/91/35/39/1070913539.db2.gz NPZDLVRTYIAYFL-HNNXBMFYSA-N 0 0 429.477 -0.215 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)ccc2c1=O ZINC000605379213 1072343294 /nfs/dbraw/zinc/34/32/94/1072343294.db2.gz NPZDLVRTYIAYFL-OAHLLOKOSA-N 0 0 429.477 -0.215 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605379300 1072343155 /nfs/dbraw/zinc/34/31/55/1072343155.db2.gz RWTLIFCVUNPMDS-AWEZNQCLSA-N 0 0 427.299 -0.340 20 0 IBADRN O=C(Cn1cc(Br)ccc1=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605379301 1072343174 /nfs/dbraw/zinc/34/31/74/1072343174.db2.gz RWTLIFCVUNPMDS-CQSZACIVSA-N 0 0 427.299 -0.340 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000605379374 1072344385 /nfs/dbraw/zinc/34/43/85/1072344385.db2.gz WLEAIXPBNGHEDB-INIZCTEOSA-N 0 0 438.550 -0.044 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000605379375 1072344251 /nfs/dbraw/zinc/34/42/51/1072344251.db2.gz WLEAIXPBNGHEDB-MRXNPFEDSA-N 0 0 438.550 -0.044 20 0 IBADRN CCCC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386397 1070926269 /nfs/dbraw/zinc/92/62/69/1070926269.db2.gz AGCMLOACVVDTLP-CVEARBPZSA-N 0 0 428.555 -0.775 20 0 IBADRN CCCC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386398 1072344215 /nfs/dbraw/zinc/34/42/15/1072344215.db2.gz AGCMLOACVVDTLP-HOTGVXAUSA-N 0 0 428.555 -0.775 20 0 IBADRN CCCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386399 1072344415 /nfs/dbraw/zinc/34/44/15/1072344415.db2.gz AGCMLOACVVDTLP-HZPDHXFCSA-N 0 0 428.555 -0.775 20 0 IBADRN CCCC(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386400 1072344400 /nfs/dbraw/zinc/34/44/00/1072344400.db2.gz AGCMLOACVVDTLP-JKSUJKDBSA-N 0 0 428.555 -0.775 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N(c3ccccc3)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386401 1072344221 /nfs/dbraw/zinc/34/42/21/1072344221.db2.gz AHSBWUZDHNKKRG-DLBZAZTESA-N 0 0 448.545 -0.513 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N(c3ccccc3)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386402 1072344280 /nfs/dbraw/zinc/34/42/80/1072344280.db2.gz AHSBWUZDHNKKRG-IAGOWNOFSA-N 0 0 448.545 -0.513 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N(c3ccccc3)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386403 1072344287 /nfs/dbraw/zinc/34/42/87/1072344287.db2.gz AHSBWUZDHNKKRG-IRXDYDNUSA-N 0 0 448.545 -0.513 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N(c3ccccc3)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386404 1072344245 /nfs/dbraw/zinc/34/42/45/1072344245.db2.gz AHSBWUZDHNKKRG-SJORKVTESA-N 0 0 448.545 -0.513 20 0 IBADRN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386407 1072344209 /nfs/dbraw/zinc/34/42/09/1072344209.db2.gz ALGZRRVBBTUMGT-DLBZAZTESA-N 0 0 442.582 -0.529 20 0 IBADRN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386408 1072344319 /nfs/dbraw/zinc/34/43/19/1072344319.db2.gz ALGZRRVBBTUMGT-IAGOWNOFSA-N 0 0 442.582 -0.529 20 0 IBADRN CC(C)C(=O)N1CCCC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386409 1072344852 /nfs/dbraw/zinc/34/48/52/1072344852.db2.gz ALGZRRVBBTUMGT-IRXDYDNUSA-N 0 0 442.582 -0.529 20 0 IBADRN CC(C)C(=O)N1CCCC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386410 1072344863 /nfs/dbraw/zinc/34/48/63/1072344863.db2.gz ALGZRRVBBTUMGT-SJORKVTESA-N 0 0 442.582 -0.529 20 0 IBADRN Cn1ncc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1-n1cccc1 ZINC000605386431 1072344803 /nfs/dbraw/zinc/34/48/03/1072344803.db2.gz CAIKSEOJZGVWHT-HNNXBMFYSA-N 0 0 434.522 -0.728 20 0 IBADRN Cn1ncc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1-n1cccc1 ZINC000605386432 1070926550 /nfs/dbraw/zinc/92/65/50/1070926550.db2.gz CAIKSEOJZGVWHT-OAHLLOKOSA-N 0 0 434.522 -0.728 20 0 IBADRN O=C(CN1CCN(C(=O)COCCOc2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386437 1072344838 /nfs/dbraw/zinc/34/48/38/1072344838.db2.gz CFPSGEPIBCMENN-KRWDZBQOSA-N 0 0 439.534 -0.471 20 0 IBADRN O=C(CN1CCN(C(=O)COCCOc2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386438 1070926316 /nfs/dbraw/zinc/92/63/16/1070926316.db2.gz CFPSGEPIBCMENN-QGZVFWFLSA-N 0 0 439.534 -0.471 20 0 IBADRN Cc1cc(C)nc(SCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000605386464 1072344723 /nfs/dbraw/zinc/34/47/23/1072344723.db2.gz DVNAHWRNACTRTG-HNNXBMFYSA-N 0 0 441.579 -0.367 20 0 IBADRN Cc1cc(C)nc(SCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000605386465 1072344822 /nfs/dbraw/zinc/34/48/22/1072344822.db2.gz DVNAHWRNACTRTG-OAHLLOKOSA-N 0 0 441.579 -0.367 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)[nH]c2c1C(=O)CCC2 ZINC000605386466 1072344795 /nfs/dbraw/zinc/34/47/95/1072344795.db2.gz DWUOGKJWGZMGRP-AWEZNQCLSA-N 0 0 436.534 -0.097 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)[nH]c2c1C(=O)CCC2 ZINC000605386467 1072344742 /nfs/dbraw/zinc/34/47/42/1072344742.db2.gz DWUOGKJWGZMGRP-CQSZACIVSA-N 0 0 436.534 -0.097 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000605386479 1072344732 /nfs/dbraw/zinc/34/47/32/1072344732.db2.gz FYQFAEVIHGQHRM-AEFFLSMTSA-N 0 0 428.555 -0.871 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000605386480 1072344845 /nfs/dbraw/zinc/34/48/45/1072344845.db2.gz FYQFAEVIHGQHRM-FUHWJXTLSA-N 0 0 428.555 -0.871 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000605386481 1072344783 /nfs/dbraw/zinc/34/47/83/1072344783.db2.gz FYQFAEVIHGQHRM-SJLPKXTDSA-N 0 0 428.555 -0.871 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000605386482 1072344824 /nfs/dbraw/zinc/34/48/24/1072344824.db2.gz FYQFAEVIHGQHRM-WMZOPIPTSA-N 0 0 428.555 -0.871 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(Cc3ccccc3)nn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386513 1072346104 /nfs/dbraw/zinc/34/61/04/1072346104.db2.gz HQVXKGPXWROXDK-KRWDZBQOSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(Cc3ccccc3)nn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386514 1072345962 /nfs/dbraw/zinc/34/59/62/1072345962.db2.gz HQVXKGPXWROXDK-QGZVFWFLSA-N 0 0 446.533 -0.613 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)ccc1-n1cnnn1 ZINC000605386522 1072346145 /nfs/dbraw/zinc/34/61/45/1072346145.db2.gz IAVPRGJUFLNTLG-INIZCTEOSA-N 0 0 447.521 -0.968 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)ccc1-n1cnnn1 ZINC000605386523 1072346033 /nfs/dbraw/zinc/34/60/33/1072346033.db2.gz IAVPRGJUFLNTLG-MRXNPFEDSA-N 0 0 447.521 -0.968 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccc3c(c2)OCCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386537 1072346136 /nfs/dbraw/zinc/34/61/36/1072346136.db2.gz IUOUQZGAIGAKQR-INIZCTEOSA-N 0 0 437.518 -0.552 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccc3c(c2)OCCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386538 1072345972 /nfs/dbraw/zinc/34/59/72/1072345972.db2.gz IUOUQZGAIGAKQR-MRXNPFEDSA-N 0 0 437.518 -0.552 20 0 IBADRN C[C@H](OC[C@@H]1CCCCO1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386551 1072346024 /nfs/dbraw/zinc/34/60/24/1072346024.db2.gz KDNWUPKJXYAILG-BBWFWOEESA-N 0 0 431.555 -0.592 20 0 IBADRN C[C@H](OC[C@H]1CCCCO1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386552 1072346079 /nfs/dbraw/zinc/34/60/79/1072346079.db2.gz KDNWUPKJXYAILG-GVDBMIGSSA-N 0 0 431.555 -0.592 20 0 IBADRN C[C@H](OC[C@@H]1CCCCO1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386553 1072346114 /nfs/dbraw/zinc/34/61/14/1072346114.db2.gz KDNWUPKJXYAILG-ULQDDVLXSA-N 0 0 431.555 -0.592 20 0 IBADRN C[C@H](OC[C@H]1CCCCO1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386554 1072345985 /nfs/dbraw/zinc/34/59/85/1072345985.db2.gz KDNWUPKJXYAILG-YESZJQIVSA-N 0 0 431.555 -0.592 20 0 IBADRN CC(C)(C)C(=O)NCCCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386611 1072346043 /nfs/dbraw/zinc/34/60/43/1072346043.db2.gz OCSQOLSINDFEDW-HNNXBMFYSA-N 0 0 430.571 -0.624 20 0 IBADRN CC(C)(C)C(=O)NCCCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386612 1072346015 /nfs/dbraw/zinc/34/60/15/1072346015.db2.gz OCSQOLSINDFEDW-OAHLLOKOSA-N 0 0 430.571 -0.624 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(-c3ccccc3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386617 1072346051 /nfs/dbraw/zinc/34/60/51/1072346051.db2.gz OMDIYGFYXRPOPM-KRWDZBQOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(-c3ccccc3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386618 1072345994 /nfs/dbraw/zinc/34/59/94/1072345994.db2.gz OMDIYGFYXRPOPM-QGZVFWFLSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)COc2ccc(F)cc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386620 1072346066 /nfs/dbraw/zinc/34/60/66/1072346066.db2.gz OUTHDQVIRGQJMG-AWEZNQCLSA-N 0 0 431.461 -0.209 20 0 IBADRN O=C(CN1CCN(C(=O)COc2ccc(F)cc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386621 1072346005 /nfs/dbraw/zinc/34/60/05/1072346005.db2.gz OUTHDQVIRGQJMG-CQSZACIVSA-N 0 0 431.461 -0.209 20 0 IBADRN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386649 1072346061 /nfs/dbraw/zinc/34/60/61/1072346061.db2.gz PVKMHNJUJCTAQE-DOXZYTNZSA-N 0 0 437.518 -0.338 20 0 IBADRN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386650 1072346092 /nfs/dbraw/zinc/34/60/92/1072346092.db2.gz PVKMHNJUJCTAQE-KHYOSLBOSA-N 0 0 437.518 -0.338 20 0 IBADRN C[C@@H]1Oc2ccccc2O[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386651 1072346525 /nfs/dbraw/zinc/34/65/25/1072346525.db2.gz PVKMHNJUJCTAQE-QMTMVMCOSA-N 0 0 437.518 -0.338 20 0 IBADRN C[C@@H]1Oc2ccccc2O[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386652 1072346581 /nfs/dbraw/zinc/34/65/81/1072346581.db2.gz PVKMHNJUJCTAQE-YZVOILCLSA-N 0 0 437.518 -0.338 20 0 IBADRN Cc1cccc(-n2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)nn2)c1 ZINC000605386668 1072345558 /nfs/dbraw/zinc/34/55/58/1072345558.db2.gz QKPSIGJKRBWTTG-INIZCTEOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1cccc(-n2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)nn2)c1 ZINC000605386669 1072345584 /nfs/dbraw/zinc/34/55/84/1072345584.db2.gz QKPSIGJKRBWTTG-MRXNPFEDSA-N 0 0 446.533 -0.363 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)OCCCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386675 1072345645 /nfs/dbraw/zinc/34/56/45/1072345645.db2.gz QRGAWGKZDPXJLK-INIZCTEOSA-N 0 0 437.518 -0.091 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)OCCCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386676 1072345594 /nfs/dbraw/zinc/34/55/94/1072345594.db2.gz QRGAWGKZDPXJLK-MRXNPFEDSA-N 0 0 437.518 -0.091 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(Cc3ccccc3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386712 1072345670 /nfs/dbraw/zinc/34/56/70/1072345670.db2.gz TUNDTYACCYMHFL-IBGZPJMESA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(Cc3ccccc3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386713 1072345657 /nfs/dbraw/zinc/34/56/57/1072345657.db2.gz TUNDTYACCYMHFL-LJQANCHMSA-N 0 0 445.545 -0.008 20 0 IBADRN C[C@H](NC(=O)c1ccccc1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386716 1072345576 /nfs/dbraw/zinc/34/55/76/1072345576.db2.gz UCEDYKQYPALAEM-DOTOQJQBSA-N 0 0 436.534 -0.748 20 0 IBADRN C[C@@H](NC(=O)c1ccccc1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386717 1072345569 /nfs/dbraw/zinc/34/55/69/1072345569.db2.gz UCEDYKQYPALAEM-NVXWUHKLSA-N 0 0 436.534 -0.748 20 0 IBADRN C[C@H](NC(=O)c1ccccc1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386718 1072345696 /nfs/dbraw/zinc/34/56/96/1072345696.db2.gz UCEDYKQYPALAEM-RDJZCZTQSA-N 0 0 436.534 -0.748 20 0 IBADRN C[C@@H](NC(=O)c1ccccc1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386719 1072345603 /nfs/dbraw/zinc/34/56/03/1072345603.db2.gz UCEDYKQYPALAEM-WBVHZDCISA-N 0 0 436.534 -0.748 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(Cl)cn1 ZINC000605386728 1072345622 /nfs/dbraw/zinc/34/56/22/1072345622.db2.gz UMCCCXWVDXRCKY-HNNXBMFYSA-N 0 0 443.957 -0.381 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(Cl)cn1 ZINC000605386729 1072345549 /nfs/dbraw/zinc/34/55/49/1072345549.db2.gz UMCCCXWVDXRCKY-OAHLLOKOSA-N 0 0 443.957 -0.381 20 0 IBADRN COCCOc1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000605386741 1072346159 /nfs/dbraw/zinc/34/61/59/1072346159.db2.gz UVPHYSIJBBRGBN-KRWDZBQOSA-N 0 0 439.534 -0.227 20 0 IBADRN COCCOc1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000605386742 1072346126 /nfs/dbraw/zinc/34/61/26/1072346126.db2.gz UVPHYSIJBBRGBN-QGZVFWFLSA-N 0 0 439.534 -0.227 20 0 IBADRN O=C(CN1CCN(C(=O)CCNC(=O)c2cccs2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386816 1072345292 /nfs/dbraw/zinc/34/52/92/1072345292.db2.gz YPGYZNFDGREAAL-AWEZNQCLSA-N 0 0 442.563 -0.685 20 0 IBADRN O=C(CN1CCN(C(=O)CCNC(=O)c2cccs2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386817 1072345278 /nfs/dbraw/zinc/34/52/78/1072345278.db2.gz YPGYZNFDGREAAL-CQSZACIVSA-N 0 0 442.563 -0.685 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCCCCCC2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386826 1072345190 /nfs/dbraw/zinc/34/51/90/1072345190.db2.gz ZGBWDACWJPNGNI-INIZCTEOSA-N 0 0 428.555 -0.773 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCCCCCC2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386827 1072345260 /nfs/dbraw/zinc/34/52/60/1072345260.db2.gz ZGBWDACWJPNGNI-MRXNPFEDSA-N 0 0 428.555 -0.773 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccc(-n3cccn3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386846 1072345232 /nfs/dbraw/zinc/34/52/32/1072345232.db2.gz AYWZTVYWWSLCLU-GOSISDBHSA-N 0 0 445.545 -0.138 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccc(-n3cccn3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386847 1072345133 /nfs/dbraw/zinc/34/51/33/1072345133.db2.gz AYWZTVYWWSLCLU-SFHVURJKSA-N 0 0 445.545 -0.138 20 0 IBADRN O=C(CN1CCN(C(=O)CCn2cnc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386861 1072345268 /nfs/dbraw/zinc/34/52/68/1072345268.db2.gz CPEDEIYYGRCRGB-INIZCTEOSA-N 0 0 433.534 -0.126 20 0 IBADRN O=C(CN1CCN(C(=O)CCn2cnc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386862 1072345162 /nfs/dbraw/zinc/34/51/62/1072345162.db2.gz CPEDEIYYGRCRGB-MRXNPFEDSA-N 0 0 433.534 -0.126 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(=O)c1cccs1 ZINC000605386864 1072345251 /nfs/dbraw/zinc/34/52/51/1072345251.db2.gz DEICOPMZLKFTRJ-AWEZNQCLSA-N 0 0 442.563 -0.732 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(=O)c1cccs1 ZINC000605386865 1072345142 /nfs/dbraw/zinc/34/51/42/1072345142.db2.gz DEICOPMZLKFTRJ-CQSZACIVSA-N 0 0 442.563 -0.732 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3ccnc3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386874 1072345108 /nfs/dbraw/zinc/34/51/08/1072345108.db2.gz DSRDTXLPDSYXNO-IBGZPJMESA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3ccnc3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386875 1072345171 /nfs/dbraw/zinc/34/51/71/1072345171.db2.gz DSRDTXLPDSYXNO-LJQANCHMSA-N 0 0 445.545 -0.008 20 0 IBADRN COCCOc1ccccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386929 1072345707 /nfs/dbraw/zinc/34/57/07/1072345707.db2.gz MOEPCNZBSRHRAN-INIZCTEOSA-N 0 0 439.534 -0.227 20 0 IBADRN COCCOc1ccccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386930 1072345680 /nfs/dbraw/zinc/34/56/80/1072345680.db2.gz MOEPCNZBSRHRAN-MRXNPFEDSA-N 0 0 439.534 -0.227 20 0 IBADRN O=C(CC1CCCCC1)NCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386931 1072345737 /nfs/dbraw/zinc/34/57/37/1072345737.db2.gz MTWGJEZDQFAIKB-KRWDZBQOSA-N 0 0 442.582 -0.480 20 0 IBADRN O=C(CC1CCCCC1)NCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386932 1072345611 /nfs/dbraw/zinc/34/56/11/1072345611.db2.gz MTWGJEZDQFAIKB-QGZVFWFLSA-N 0 0 442.582 -0.480 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccnc2N2CCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386936 1072345636 /nfs/dbraw/zinc/34/56/36/1072345636.db2.gz MZONDHJCOLCJJR-INIZCTEOSA-N 0 0 435.550 -0.257 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccnc2N2CCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386937 1072345729 /nfs/dbraw/zinc/34/57/29/1072345729.db2.gz MZONDHJCOLCJJR-MRXNPFEDSA-N 0 0 435.550 -0.257 20 0 IBADRN O=C(CN1CCN(C(=O)c2cncc(Br)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605386938 1072345536 /nfs/dbraw/zinc/34/55/36/1072345536.db2.gz NCZJWMBHJNJLFU-AWEZNQCLSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2cncc(Br)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605386939 1072345718 /nfs/dbraw/zinc/34/57/18/1072345718.db2.gz NCZJWMBHJNJLFU-CQSZACIVSA-N 0 0 445.339 -0.095 20 0 IBADRN CCCN(CCC)C(=O)CCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605386986 1072344760 /nfs/dbraw/zinc/34/47/60/1072344760.db2.gz SENHTEZYUIFYSB-KRWDZBQOSA-N 0 0 444.598 -0.137 20 0 IBADRN CCCN(CCC)C(=O)CCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605386987 1072344768 /nfs/dbraw/zinc/34/47/68/1072344768.db2.gz SENHTEZYUIFYSB-QGZVFWFLSA-N 0 0 444.598 -0.137 20 0 IBADRN COc1ccc(OC)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000605386990 1072344750 /nfs/dbraw/zinc/34/47/50/1072344750.db2.gz SVYBJHIQWSVAEG-AWEZNQCLSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1ccc(OC)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000605386991 1072344811 /nfs/dbraw/zinc/34/48/11/1072344811.db2.gz SVYBJHIQWSVAEG-CQSZACIVSA-N 0 0 425.507 -0.235 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCCC3=O)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605387002 1072344707 /nfs/dbraw/zinc/34/47/07/1072344707.db2.gz UZIWGONFFZRMAC-KRWDZBQOSA-N 0 0 448.545 -0.126 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCCC3=O)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605387003 1072344774 /nfs/dbraw/zinc/34/47/74/1072344774.db2.gz UZIWGONFFZRMAC-QGZVFWFLSA-N 0 0 448.545 -0.126 20 0 IBADRN C[C@H](NC(=O)c1cccs1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605387016 1072344856 /nfs/dbraw/zinc/34/48/56/1072344856.db2.gz VTEPSCAEYHYEOQ-KBPBESRZSA-N 0 0 442.563 -0.686 20 0 IBADRN C[C@@H](NC(=O)c1cccs1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605387017 1072344833 /nfs/dbraw/zinc/34/48/33/1072344833.db2.gz VTEPSCAEYHYEOQ-KGLIPLIRSA-N 0 0 442.563 -0.686 20 0 IBADRN C[C@H](NC(=O)c1cccs1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605387018 1072345284 /nfs/dbraw/zinc/34/52/84/1072345284.db2.gz VTEPSCAEYHYEOQ-UONOGXRCSA-N 0 0 442.563 -0.686 20 0 IBADRN C[C@@H](NC(=O)c1cccs1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605387019 1072345151 /nfs/dbraw/zinc/34/51/51/1072345151.db2.gz VTEPSCAEYHYEOQ-ZIAGYGMSSA-N 0 0 442.563 -0.686 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3cccn3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605387020 1072345222 /nfs/dbraw/zinc/34/52/22/1072345222.db2.gz VZPCFHBRGGTGTG-IBGZPJMESA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3cccn3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605387021 1072345300 /nfs/dbraw/zinc/34/53/00/1072345300.db2.gz VZPCFHBRGGTGTG-LJQANCHMSA-N 0 0 445.545 -0.008 20 0 IBADRN Cc1nn(C)c2nc(C)cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c12 ZINC000605387030 1072345201 /nfs/dbraw/zinc/34/52/01/1072345201.db2.gz WTKQKQBPXJNWCI-HNNXBMFYSA-N 0 0 448.549 -0.354 20 0 IBADRN Cc1nn(C)c2nc(C)cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c12 ZINC000605387031 1072345309 /nfs/dbraw/zinc/34/53/09/1072345309.db2.gz WTKQKQBPXJNWCI-OAHLLOKOSA-N 0 0 448.549 -0.354 20 0 IBADRN COCCOc1ncccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605387066 1072345213 /nfs/dbraw/zinc/34/52/13/1072345213.db2.gz ZRVGTLFJSUEMDK-HNNXBMFYSA-N 0 0 440.522 -0.832 20 0 IBADRN COCCOc1ncccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605387067 1072345244 /nfs/dbraw/zinc/34/52/44/1072345244.db2.gz ZRVGTLFJSUEMDK-OAHLLOKOSA-N 0 0 440.522 -0.832 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2nc(CS(C)(=O)=O)no2)C(C)C)cc1 ZINC000605397822 1072347734 /nfs/dbraw/zinc/34/77/34/1072347734.db2.gz JSIQJCZZGACGIX-AWEZNQCLSA-N 0 0 446.507 -0.014 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)NC(=O)C2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000605399936 1072347681 /nfs/dbraw/zinc/34/76/81/1072347681.db2.gz QXZCNVLAOKZKLT-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)CN2C(=O)NC(=O)C2(C)C)CC1 ZINC000605400854 1072347799 /nfs/dbraw/zinc/34/77/99/1072347799.db2.gz IIYFSCKBBPCHFR-UHFFFAOYSA-N 0 0 429.543 -0.030 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000605405049 1072348385 /nfs/dbraw/zinc/34/83/85/1072348385.db2.gz ZGSKVADRSOSIHN-UHFFFAOYSA-N 0 0 427.527 -0.151 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605405793 1072348280 /nfs/dbraw/zinc/34/82/80/1072348280.db2.gz HFSZSFAWWCMWLG-HNNXBMFYSA-N 0 0 442.542 -0.537 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605405794 1072348373 /nfs/dbraw/zinc/34/83/73/1072348373.db2.gz HFSZSFAWWCMWLG-OAHLLOKOSA-N 0 0 442.542 -0.537 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)CC1 ZINC000605415669 1072347156 /nfs/dbraw/zinc/34/71/56/1072347156.db2.gz GBLIQVYZFAEXOX-UHFFFAOYSA-N 0 0 434.497 -0.796 20 0 IBADRN CCN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)CC(=O)N(C)C ZINC000605418928 1072347200 /nfs/dbraw/zinc/34/72/00/1072347200.db2.gz HJRDWAZCWAOIPO-UHFFFAOYSA-N 0 0 426.539 -0.266 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@H](C)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000605418950 1072346951 /nfs/dbraw/zinc/34/69/51/1072346951.db2.gz JZHROHYDTNZCPI-INIZCTEOSA-N 0 0 427.575 -0.099 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@@H](C)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000605418951 1072347119 /nfs/dbraw/zinc/34/71/19/1072347119.db2.gz JZHROHYDTNZCPI-MRXNPFEDSA-N 0 0 427.575 -0.099 20 0 IBADRN COc1ccc(OC)c(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000605418990 1072347608 /nfs/dbraw/zinc/34/76/08/1072347608.db2.gz MTUIPKUCLQQBAB-UHFFFAOYSA-N 0 0 441.554 -0.248 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(C(N)=O)cc1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000605418991 1072347775 /nfs/dbraw/zinc/34/77/75/1072347775.db2.gz MTYKQLAQPZXXEV-HNNXBMFYSA-N 0 0 438.554 -0.778 20 0 IBADRN C[C@H](C(=O)Nc1ccc(C(N)=O)cc1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000605418992 1072347786 /nfs/dbraw/zinc/34/77/86/1072347786.db2.gz MTYKQLAQPZXXEV-OAHLLOKOSA-N 0 0 438.554 -0.778 20 0 IBADRN COc1cc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc(OC)c1 ZINC000605419003 1072347695 /nfs/dbraw/zinc/34/76/95/1072347695.db2.gz ODMMODKJNCUJNQ-UHFFFAOYSA-N 0 0 441.554 -0.248 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3nc4c(s3)CCC4)CC2)CC1 ZINC000605419047 1072347584 /nfs/dbraw/zinc/34/75/84/1072347584.db2.gz RQFNRDKDNHVYTB-UHFFFAOYSA-N 0 0 428.584 -0.320 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCc2ccc(S(N)(=O)=O)cc21 ZINC000605421397 1072347749 /nfs/dbraw/zinc/34/77/49/1072347749.db2.gz QBVMFIUYEWFNMT-UHFFFAOYSA-N 0 0 436.515 -0.067 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CCc3ccc(S(N)(=O)=O)cc32)cc1 ZINC000605421489 1072347723 /nfs/dbraw/zinc/34/77/23/1072347723.db2.gz PIIZRIPZOZLNSV-UHFFFAOYSA-N 0 0 438.531 -0.297 20 0 IBADRN Cc1nnc(CN2CCN(CC(=O)N3CCN(c4ncnc5c4cnn5C)CC3)CC2)[nH]1 ZINC000605422043 1072347460 /nfs/dbraw/zinc/34/74/60/1072347460.db2.gz HBYJQBHGXYTRJS-UHFFFAOYSA-N 0 0 439.528 -0.744 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(Cc3nnc(C)[nH]3)CC2)c(=O)[nH]c1=O ZINC000605422160 1072347658 /nfs/dbraw/zinc/34/76/58/1072347658.db2.gz NGMOEFXTUXFDIF-UHFFFAOYSA-N 0 0 447.544 -0.072 20 0 IBADRN Cc1nnc(CN2CCN([C@@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)[nH]1 ZINC000605422381 1072347491 /nfs/dbraw/zinc/34/74/91/1072347491.db2.gz YWWQEVSMNUYJEP-AWEZNQCLSA-N 0 0 435.554 -0.374 20 0 IBADRN Cc1nnc(CN2CCN([C@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)[nH]1 ZINC000605422382 1072347474 /nfs/dbraw/zinc/34/74/74/1072347474.db2.gz YWWQEVSMNUYJEP-CQSZACIVSA-N 0 0 435.554 -0.374 20 0 IBADRN Cc1nnc(CN2CCN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@H]3C)CC2)[nH]1 ZINC000605422535 1072347758 /nfs/dbraw/zinc/34/77/58/1072347758.db2.gz HDVBHQHKWPOEBF-CYBMUJFWSA-N 0 0 433.538 -0.144 20 0 IBADRN Cc1nnc(CN2CCN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@@H]3C)CC2)[nH]1 ZINC000605422536 1072347638 /nfs/dbraw/zinc/34/76/38/1072347638.db2.gz HDVBHQHKWPOEBF-ZDUSSCGKSA-N 0 0 433.538 -0.144 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000605426963 1072347596 /nfs/dbraw/zinc/34/75/96/1072347596.db2.gz HMZKFEGALMRIGJ-GFCCVEGCSA-N 0 0 434.478 -0.390 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)cn1 ZINC000605426964 1072347507 /nfs/dbraw/zinc/34/75/07/1072347507.db2.gz HMZKFEGALMRIGJ-LBPRGKRZSA-N 0 0 434.478 -0.390 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000605428980 1072350336 /nfs/dbraw/zinc/35/03/36/1072350336.db2.gz SJYZYFVBBMZEKZ-UHFFFAOYSA-N 0 0 447.539 -0.679 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccn1 ZINC000605429318 1072350210 /nfs/dbraw/zinc/35/02/10/1072350210.db2.gz BAYQRKKFSREHMR-UHFFFAOYSA-N 0 0 426.499 -0.490 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000605429584 1074355149 /nfs/dbraw/zinc/35/51/49/1074355149.db2.gz YAMNIWJFYKZWFX-UHFFFAOYSA-N 0 0 433.513 -0.486 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2C(=O)N(CC(N)=O)C(C)(C)C2=O)c1 ZINC000605433967 1072348316 /nfs/dbraw/zinc/34/83/16/1072348316.db2.gz FEBFILRHBQDQJB-UHFFFAOYSA-N 0 0 425.467 -0.597 20 0 IBADRN CCn1cnnc1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000605435091 1072348343 /nfs/dbraw/zinc/34/83/43/1072348343.db2.gz LXOCFZQYDDSTRV-UHFFFAOYSA-N 0 0 429.524 -0.160 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C3Cc4ccccc4C3)CC2)CC1 ZINC000605436961 1072348366 /nfs/dbraw/zinc/34/83/66/1072348366.db2.gz HHHLABOMPKXKEW-UHFFFAOYSA-N 0 0 428.580 -0.407 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc(Br)cn2)CC1)N1CCOCC1 ZINC000605437913 1072348205 /nfs/dbraw/zinc/34/82/05/1072348205.db2.gz NVDYBBTWTKAEAG-UHFFFAOYSA-N 0 0 447.311 -0.464 20 0 IBADRN CCC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000605438233 1072348047 /nfs/dbraw/zinc/34/80/47/1072348047.db2.gz DIFIBAFPJQSMFW-CVEARBPZSA-N 0 0 431.536 -0.189 20 0 IBADRN CCC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000605438238 1072348093 /nfs/dbraw/zinc/34/80/93/1072348093.db2.gz DIFIBAFPJQSMFW-HOTGVXAUSA-N 0 0 431.536 -0.189 20 0 IBADRN CCC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000605438244 1072348327 /nfs/dbraw/zinc/34/83/27/1072348327.db2.gz DIFIBAFPJQSMFW-HZPDHXFCSA-N 0 0 431.536 -0.189 20 0 IBADRN CCC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000605438246 1072348378 /nfs/dbraw/zinc/34/83/78/1072348378.db2.gz DIFIBAFPJQSMFW-JKSUJKDBSA-N 0 0 431.536 -0.189 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000605438466 1072348189 /nfs/dbraw/zinc/34/81/89/1072348189.db2.gz HOKSYAIDCMQTLY-CHWSQXEVSA-N 0 0 438.499 -0.381 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000605438473 1072348333 /nfs/dbraw/zinc/34/83/33/1072348333.db2.gz HOKSYAIDCMQTLY-OLZOCXBDSA-N 0 0 438.499 -0.381 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC000605438481 1072348678 /nfs/dbraw/zinc/34/86/78/1072348678.db2.gz HOKSYAIDCMQTLY-QWHCGFSZSA-N 0 0 438.499 -0.381 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC000605438485 1072348565 /nfs/dbraw/zinc/34/85/65/1072348565.db2.gz HOKSYAIDCMQTLY-STQMWFEESA-N 0 0 438.499 -0.381 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(-c2ccccc2)c1 ZINC000605438581 1072348745 /nfs/dbraw/zinc/34/87/45/1072348745.db2.gz ISICCZHGCWYKMA-DLBZAZTESA-N 0 0 426.520 -0.352 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(-c2ccccc2)c1 ZINC000605438596 1072348782 /nfs/dbraw/zinc/34/87/82/1072348782.db2.gz ISICCZHGCWYKMA-IAGOWNOFSA-N 0 0 426.520 -0.352 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(-c2ccccc2)c1 ZINC000605438597 1072349292 /nfs/dbraw/zinc/34/92/92/1072349292.db2.gz ISICCZHGCWYKMA-IRXDYDNUSA-N 0 0 426.520 -0.352 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(-c2ccccc2)c1 ZINC000605438598 1072349188 /nfs/dbraw/zinc/34/91/88/1072349188.db2.gz ISICCZHGCWYKMA-SJORKVTESA-N 0 0 426.520 -0.352 20 0 IBADRN CCOCCOc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000605439044 1072348636 /nfs/dbraw/zinc/34/86/36/1072348636.db2.gz KCVVLUQXFLOYJO-MSOLQXFVSA-N 0 0 448.563 -0.122 20 0 IBADRN CCOCCOc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000605439047 1072348806 /nfs/dbraw/zinc/34/88/06/1072348806.db2.gz KCVVLUQXFLOYJO-QZTJIDSGSA-N 0 0 448.563 -0.122 20 0 IBADRN CCOCCOc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000605439048 1072348579 /nfs/dbraw/zinc/34/85/79/1072348579.db2.gz KCVVLUQXFLOYJO-ROUUACIJSA-N 0 0 448.563 -0.122 20 0 IBADRN CCOCCOc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000605439049 1072348594 /nfs/dbraw/zinc/34/85/94/1072348594.db2.gz KCVVLUQXFLOYJO-ZWKOTPCHSA-N 0 0 448.563 -0.122 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c(F)cc2N1 ZINC000605439145 1072348790 /nfs/dbraw/zinc/34/87/90/1072348790.db2.gz MWLGRNGRKMKRKV-CABCVRRESA-N 0 0 447.510 -0.514 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c(F)cc2N1 ZINC000605439147 1072348716 /nfs/dbraw/zinc/34/87/16/1072348716.db2.gz MWLGRNGRKMKRKV-GJZGRUSLSA-N 0 0 447.510 -0.514 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c(F)cc2N1 ZINC000605439150 1072348729 /nfs/dbraw/zinc/34/87/29/1072348729.db2.gz MWLGRNGRKMKRKV-HUUCEWRRSA-N 0 0 447.510 -0.514 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c(F)cc2N1 ZINC000605439153 1072348624 /nfs/dbraw/zinc/34/86/24/1072348624.db2.gz MWLGRNGRKMKRKV-LSDHHAIUSA-N 0 0 447.510 -0.514 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1C ZINC000605439253 1072348692 /nfs/dbraw/zinc/34/86/92/1072348692.db2.gz PCXJVYCQHNJWRO-DLBZAZTESA-N 0 0 431.536 -0.270 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1C ZINC000605439257 1072348703 /nfs/dbraw/zinc/34/87/03/1072348703.db2.gz PCXJVYCQHNJWRO-IAGOWNOFSA-N 0 0 431.536 -0.270 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1C ZINC000605439258 1072348664 /nfs/dbraw/zinc/34/86/64/1072348664.db2.gz PCXJVYCQHNJWRO-IRXDYDNUSA-N 0 0 431.536 -0.270 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1C ZINC000605439259 1072348648 /nfs/dbraw/zinc/34/86/48/1072348648.db2.gz PCXJVYCQHNJWRO-SJORKVTESA-N 0 0 431.536 -0.270 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000605439805 1072349260 /nfs/dbraw/zinc/34/92/60/1072349260.db2.gz WCPJPIWYQHUUPN-DLBZAZTESA-N 0 0 434.536 -0.512 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000605439807 1072349436 /nfs/dbraw/zinc/34/94/36/1072349436.db2.gz WCPJPIWYQHUUPN-IAGOWNOFSA-N 0 0 434.536 -0.512 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000605439809 1072349328 /nfs/dbraw/zinc/34/93/28/1072349328.db2.gz WCPJPIWYQHUUPN-IRXDYDNUSA-N 0 0 434.536 -0.512 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000605439810 1072349443 /nfs/dbraw/zinc/34/94/43/1072349443.db2.gz WCPJPIWYQHUUPN-SJORKVTESA-N 0 0 434.536 -0.512 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)c(C)c12 ZINC000605440377 1072349159 /nfs/dbraw/zinc/34/91/59/1072349159.db2.gz BFAHZHBATGXDDD-INIZCTEOSA-N 0 0 444.536 -0.140 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c(C)c12 ZINC000605440379 1072349303 /nfs/dbraw/zinc/34/93/03/1072349303.db2.gz BFAHZHBATGXDDD-MRXNPFEDSA-N 0 0 444.536 -0.140 20 0 IBADRN CCn1c2ccc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)cc2[nH]c(=O)c1=O ZINC000605440480 1072349343 /nfs/dbraw/zinc/34/93/43/1072349343.db2.gz GTYSABXYLGUTGR-HNNXBMFYSA-N 0 0 429.477 -0.627 20 0 IBADRN CCn1c2ccc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)cc2[nH]c(=O)c1=O ZINC000605440486 1072349203 /nfs/dbraw/zinc/34/92/03/1072349203.db2.gz GTYSABXYLGUTGR-OAHLLOKOSA-N 0 0 429.477 -0.627 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)C1CCN(c2ccc3nncn3n2)CC1 ZINC000605440613 1072349053 /nfs/dbraw/zinc/34/90/53/1072349053.db2.gz KCBKZIMYOCOJHK-KRWDZBQOSA-N 0 0 442.524 -0.610 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C1CCN(c2ccc3nncn3n2)CC1 ZINC000605440618 1072349232 /nfs/dbraw/zinc/34/92/32/1072349232.db2.gz KCBKZIMYOCOJHK-QGZVFWFLSA-N 0 0 442.524 -0.610 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1OC ZINC000605440770 1072349112 /nfs/dbraw/zinc/34/91/12/1072349112.db2.gz ORONHNYMGFWINA-INIZCTEOSA-N 0 0 434.493 -0.517 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1OC ZINC000605440771 1072349388 /nfs/dbraw/zinc/34/93/88/1072349388.db2.gz ORONHNYMGFWINA-MRXNPFEDSA-N 0 0 434.493 -0.517 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(CN2C(=O)CCC2=O)cc1 ZINC000605440772 1072349425 /nfs/dbraw/zinc/34/94/25/1072349425.db2.gz OZWKQQCRJFOOKQ-GOSISDBHSA-N 0 0 428.489 -0.002 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(CN2C(=O)CCC2=O)cc1 ZINC000605440773 1072349410 /nfs/dbraw/zinc/34/94/10/1072349410.db2.gz OZWKQQCRJFOOKQ-SFHVURJKSA-N 0 0 428.489 -0.002 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605440795 1072349269 /nfs/dbraw/zinc/34/92/69/1072349269.db2.gz PRQRISFUQBLDLD-HNNXBMFYSA-N 0 0 430.509 -0.117 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605440796 1072349095 /nfs/dbraw/zinc/34/90/95/1072349095.db2.gz PRQRISFUQBLDLD-OAHLLOKOSA-N 0 0 430.509 -0.117 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605440992 1070985280 /nfs/dbraw/zinc/98/52/80/1070985280.db2.gz YFAHBUAXYIMELA-GJZGRUSLSA-N 0 0 442.513 -0.458 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605440993 1072349366 /nfs/dbraw/zinc/34/93/66/1072349366.db2.gz YFAHBUAXYIMELA-LSDHHAIUSA-N 0 0 442.513 -0.458 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2)[nH]1 ZINC000605441087 1072349136 /nfs/dbraw/zinc/34/91/36/1072349136.db2.gz TZHKBMFXGVGBEM-UHFFFAOYSA-N 0 0 447.521 -0.808 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(N3CCCC3=O)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605441819 1072349982 /nfs/dbraw/zinc/34/99/82/1072349982.db2.gz ITPPDDXPWNYFFS-KRWDZBQOSA-N 0 0 448.545 -0.126 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(N3CCCC3=O)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605441821 1072349825 /nfs/dbraw/zinc/34/98/25/1072349825.db2.gz ITPPDDXPWNYFFS-QGZVFWFLSA-N 0 0 448.545 -0.126 20 0 IBADRN O=C(CN1CCN(C(=O)CCc2nc3ccccc3[nH]2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605442299 1072349770 /nfs/dbraw/zinc/34/97/70/1072349770.db2.gz GQLQVBJJMAXKMK-HNNXBMFYSA-N 0 0 433.534 -0.057 20 0 IBADRN O=C(CN1CCN(C(=O)CCc2nc3ccccc3[nH]2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605442304 1072349834 /nfs/dbraw/zinc/34/98/34/1072349834.db2.gz GQLQVBJJMAXKMK-OAHLLOKOSA-N 0 0 433.534 -0.057 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)c2ccc(F)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605442313 1072349975 /nfs/dbraw/zinc/34/99/75/1072349975.db2.gz HKSOZUUKRAURTC-INIZCTEOSA-N 0 0 440.497 -0.997 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)c2ccc(F)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605442317 1072349698 /nfs/dbraw/zinc/34/96/98/1072349698.db2.gz HKSOZUUKRAURTC-MRXNPFEDSA-N 0 0 440.497 -0.997 20 0 IBADRN O=C(CN1CCN(C(=O)CCCNC(=O)c2ccco2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605442321 1072349713 /nfs/dbraw/zinc/34/97/13/1072349713.db2.gz IEURVEQFSWVZOR-HNNXBMFYSA-N 0 0 440.522 -0.763 20 0 IBADRN O=C(CN1CCN(C(=O)CCCNC(=O)c2ccco2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605442322 1072349730 /nfs/dbraw/zinc/34/97/30/1072349730.db2.gz IEURVEQFSWVZOR-OAHLLOKOSA-N 0 0 440.522 -0.763 20 0 IBADRN COc1cc(C)ccc1OCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605442425 1072349964 /nfs/dbraw/zinc/34/99/64/1072349964.db2.gz PASJBDXYYHECAW-INIZCTEOSA-N 0 0 439.534 -0.170 20 0 IBADRN COc1cc(C)ccc1OCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605442426 1072349743 /nfs/dbraw/zinc/34/97/43/1072349743.db2.gz PASJBDXYYHECAW-MRXNPFEDSA-N 0 0 439.534 -0.170 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)CC1 ZINC000605442489 1072349686 /nfs/dbraw/zinc/34/96/86/1072349686.db2.gz ULVJSDQSVKJKKT-AWEZNQCLSA-N 0 0 432.524 -0.895 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)CC1 ZINC000605442495 1072349812 /nfs/dbraw/zinc/34/98/12/1072349812.db2.gz ULVJSDQSVKJKKT-CQSZACIVSA-N 0 0 432.524 -0.895 20 0 IBADRN CC(=O)N1c2ccccc2C[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605442545 1070985060 /nfs/dbraw/zinc/98/50/60/1070985060.db2.gz UTANKVWLYWNJHM-HKUYNNGSSA-N 0 0 448.545 -0.588 20 0 IBADRN CC(=O)N1c2ccccc2C[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605442549 1072349674 /nfs/dbraw/zinc/34/96/74/1072349674.db2.gz UTANKVWLYWNJHM-MJGOQNOKSA-N 0 0 448.545 -0.588 20 0 IBADRN Cc1ccc2c(O)c(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cnc2n1 ZINC000605442604 1072349899 /nfs/dbraw/zinc/34/98/99/1072349899.db2.gz XYJRJTZXBGKLCZ-AWEZNQCLSA-N 0 0 447.517 -0.295 20 0 IBADRN Cc1ccc2c(O)c(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cnc2n1 ZINC000605442627 1072349857 /nfs/dbraw/zinc/34/98/57/1072349857.db2.gz XYJRJTZXBGKLCZ-CQSZACIVSA-N 0 0 447.517 -0.295 20 0 IBADRN Cc1ccccc1C(=O)NCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605442655 1072349757 /nfs/dbraw/zinc/34/97/57/1072349757.db2.gz ZIDAUAFNYHZJSF-INIZCTEOSA-N 0 0 436.534 -0.828 20 0 IBADRN Cc1ccccc1C(=O)NCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605442660 1072349783 /nfs/dbraw/zinc/34/97/83/1072349783.db2.gz ZIDAUAFNYHZJSF-MRXNPFEDSA-N 0 0 436.534 -0.828 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)n1 ZINC000605447085 1072349840 /nfs/dbraw/zinc/34/98/40/1072349840.db2.gz QDHSNSMBBCCFDZ-GFCCVEGCSA-N 0 0 444.491 -0.254 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)n1 ZINC000605447086 1072350478 /nfs/dbraw/zinc/35/04/78/1072350478.db2.gz QDHSNSMBBCCFDZ-LBPRGKRZSA-N 0 0 444.491 -0.254 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)n1 ZINC000605447194 1072349798 /nfs/dbraw/zinc/34/97/98/1072349798.db2.gz ZGOPWPXSBPFFIL-GFCCVEGCSA-N 0 0 444.491 -0.254 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)n1 ZINC000605447195 1072349955 /nfs/dbraw/zinc/34/99/55/1072349955.db2.gz ZGOPWPXSBPFFIL-LBPRGKRZSA-N 0 0 444.491 -0.254 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC000605481955 1072350426 /nfs/dbraw/zinc/35/04/26/1072350426.db2.gz HFVHZTJQJCOXMP-UHFFFAOYSA-N 0 0 435.506 -0.119 20 0 IBADRN O=C(CN1CC(=O)NC1=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000605502678 1072350384 /nfs/dbraw/zinc/35/03/84/1072350384.db2.gz YJBBVVAVLIWALT-UHFFFAOYSA-N 0 0 437.460 -0.142 20 0 IBADRN COCCCN(C(=O)CN1CC(=O)NC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000605505294 1072350194 /nfs/dbraw/zinc/35/01/94/1072350194.db2.gz LTEMCKYYXOLBRL-UHFFFAOYSA-N 0 0 444.448 -0.499 20 0 IBADRN COCCn1c(=O)[nH]c(=O)c(NCc2ccccc2)c1NC(=O)CN1CC(=O)NC1=O ZINC000605509150 1072350170 /nfs/dbraw/zinc/35/01/70/1072350170.db2.gz OCRQHGLXVUMELK-UHFFFAOYSA-N 0 0 430.421 -0.302 20 0 IBADRN O=C(CN1CC(=O)NC1=O)Nc1ccc(CN(C(=O)CN2CC(=O)NC2=O)C2CC2)cc1 ZINC000605514770 1072350461 /nfs/dbraw/zinc/35/04/61/1072350461.db2.gz WRGGAXRZIBELPC-UHFFFAOYSA-N 0 0 442.432 -0.780 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000605515745 1072350805 /nfs/dbraw/zinc/35/08/05/1072350805.db2.gz GKWMCDQSKNLWRN-KRWDZBQOSA-N 0 0 442.513 -0.197 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000605515764 1072350765 /nfs/dbraw/zinc/35/07/65/1072350765.db2.gz GKWMCDQSKNLWRN-QGZVFWFLSA-N 0 0 442.513 -0.197 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCc4ccc(S(N)(=O)=O)cc43)c2c(=O)n(C)c1=O ZINC000605515940 1072350304 /nfs/dbraw/zinc/35/03/04/1072350304.db2.gz CIYPZYNDHFSRLR-UHFFFAOYSA-N 0 0 432.462 -0.940 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)Cn1cc(Br)c(=O)[nH]c1=O)CC2 ZINC000605515981 1072350994 /nfs/dbraw/zinc/35/09/94/1072350994.db2.gz DELBCEVYLJTWFK-UHFFFAOYSA-N 0 0 429.252 -0.052 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)c1ccc3c(c1)S(=O)(=O)N=C1NC=NN13)CC2 ZINC000605517933 1072351075 /nfs/dbraw/zinc/35/10/75/1072351075.db2.gz PVSKOPCMIABQBW-UHFFFAOYSA-N 0 0 446.470 -0.052 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)CCN1CCN(C(=O)[C@H]3CCCO3)CC1)CC2 ZINC000605519022 1072351010 /nfs/dbraw/zinc/35/10/10/1072351010.db2.gz ZIQXIDIUOQUEPP-GOSISDBHSA-N 0 0 436.534 -0.064 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)CCN1CCN(C(=O)[C@@H]3CCCO3)CC1)CC2 ZINC000605519023 1072350865 /nfs/dbraw/zinc/35/08/65/1072350865.db2.gz ZIQXIDIUOQUEPP-SFHVURJKSA-N 0 0 436.534 -0.064 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CC2)[nH]1 ZINC000605526903 1072351083 /nfs/dbraw/zinc/35/10/83/1072351083.db2.gz MDWAVDIEEPCAAK-UHFFFAOYSA-N 0 0 429.466 -0.518 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)[nH]1 ZINC000605527504 1072351107 /nfs/dbraw/zinc/35/11/07/1072351107.db2.gz DPZDHZFYFCFDNH-UHFFFAOYSA-N 0 0 435.466 -0.987 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)CC2)[nH]1 ZINC000605527932 1072350929 /nfs/dbraw/zinc/35/09/29/1072350929.db2.gz OCGKBNVJYCYOPL-UHFFFAOYSA-N 0 0 437.526 -0.569 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605528381 1072350787 /nfs/dbraw/zinc/35/07/87/1072350787.db2.gz CHZPIMMIVLVQAV-INIZCTEOSA-N 0 0 439.534 -0.092 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605528382 1072350974 /nfs/dbraw/zinc/35/09/74/1072350974.db2.gz CHZPIMMIVLVQAV-MRXNPFEDSA-N 0 0 439.534 -0.092 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605528713 1072351619 /nfs/dbraw/zinc/35/16/19/1072351619.db2.gz HENOUGHAKZDBDQ-HNNXBMFYSA-N 0 0 428.493 -0.268 20 0 IBADRN O=C(CCNC(=O)c1[nH]nc2ccccc21)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605528714 1072351465 /nfs/dbraw/zinc/35/14/65/1072351465.db2.gz HENOUGHAKZDBDQ-OAHLLOKOSA-N 0 0 428.493 -0.268 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605528761 1072351691 /nfs/dbraw/zinc/35/16/91/1072351691.db2.gz KRXDVPLFCVRVMR-GOSISDBHSA-N 0 0 438.550 -0.559 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605528762 1072351717 /nfs/dbraw/zinc/35/17/17/1072351717.db2.gz KRXDVPLFCVRVMR-SFHVURJKSA-N 0 0 438.550 -0.559 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605528779 1072351341 /nfs/dbraw/zinc/35/13/41/1072351341.db2.gz LQORHUCJAWLGLZ-DLBZAZTESA-N 0 0 438.550 -0.289 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000605528780 1072351369 /nfs/dbraw/zinc/35/13/69/1072351369.db2.gz LQORHUCJAWLGLZ-IRXDYDNUSA-N 0 0 438.550 -0.289 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)s1 ZINC000605529057 1072351034 /nfs/dbraw/zinc/35/10/34/1072351034.db2.gz BAPBKUDRTPHOLS-AWEZNQCLSA-N 0 0 444.579 -0.497 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)s1 ZINC000605529058 1072351588 /nfs/dbraw/zinc/35/15/88/1072351588.db2.gz BAPBKUDRTPHOLS-CQSZACIVSA-N 0 0 444.579 -0.497 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1OC ZINC000605529182 1072351056 /nfs/dbraw/zinc/35/10/56/1072351056.db2.gz QZUNZXDALUBFBH-INIZCTEOSA-N 0 0 434.493 -0.517 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1OC ZINC000605529183 1072350849 /nfs/dbraw/zinc/35/08/49/1072350849.db2.gz QZUNZXDALUBFBH-MRXNPFEDSA-N 0 0 434.493 -0.517 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000605529394 1072350752 /nfs/dbraw/zinc/35/07/52/1072350752.db2.gz GMHYZPYPQOJQRS-JQHMZKTJSA-N 0 0 449.552 -0.074 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2=O ZINC000605529403 1072350952 /nfs/dbraw/zinc/35/09/52/1072350952.db2.gz GMHYZPYPQOJQRS-PSCUFVCCSA-N 0 0 449.552 -0.074 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529416 1072350829 /nfs/dbraw/zinc/35/08/29/1072350829.db2.gz HFKMVXTUSNSMEJ-AWEZNQCLSA-N 0 0 430.552 -0.534 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529425 1072351097 /nfs/dbraw/zinc/35/10/97/1072351097.db2.gz HFKMVXTUSNSMEJ-CQSZACIVSA-N 0 0 430.552 -0.534 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC000605529426 1072350887 /nfs/dbraw/zinc/35/08/87/1072350887.db2.gz HGRWVQNAQNIORD-KRWDZBQOSA-N 0 0 429.477 -0.599 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC000605529432 1072350909 /nfs/dbraw/zinc/35/09/09/1072350909.db2.gz HGRWVQNAQNIORD-QGZVFWFLSA-N 0 0 429.477 -0.599 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529572 1072351568 /nfs/dbraw/zinc/35/15/68/1072351568.db2.gz JUYKZLDRWDQFGH-IUVQAAGXSA-N 0 0 439.582 -0.239 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529573 1072351549 /nfs/dbraw/zinc/35/15/49/1072351549.db2.gz JUYKZLDRWDQFGH-PASDCYSWSA-N 0 0 439.582 -0.239 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1cccc(CN2C(=O)CNC2=O)c1 ZINC000605529766 1072351731 /nfs/dbraw/zinc/35/17/31/1072351731.db2.gz LJLYMBVQUYBNSV-KRWDZBQOSA-N 0 0 429.477 -0.599 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1cccc(CN2C(=O)CNC2=O)c1 ZINC000605529767 1071049384 /nfs/dbraw/zinc/04/93/84/1071049384.db2.gz LJLYMBVQUYBNSV-QGZVFWFLSA-N 0 0 429.477 -0.599 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529778 1072351529 /nfs/dbraw/zinc/35/15/29/1072351529.db2.gz LOQRVVATGCDBHE-LLVKDONJSA-N 0 0 437.448 -0.623 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529779 1072351645 /nfs/dbraw/zinc/35/16/45/1072351645.db2.gz LOQRVVATGCDBHE-NSHDSACASA-N 0 0 437.448 -0.623 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529809 1072351395 /nfs/dbraw/zinc/35/13/95/1072351395.db2.gz MGOGSYTYGFWISP-MOPGFXCFSA-N 0 0 427.509 -0.185 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529810 1072351661 /nfs/dbraw/zinc/35/16/61/1072351661.db2.gz MGOGSYTYGFWISP-OALUTQOASA-N 0 0 427.509 -0.185 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529812 1072351504 /nfs/dbraw/zinc/35/15/04/1072351504.db2.gz MGOGSYTYGFWISP-RBUKOAKNSA-N 0 0 427.509 -0.185 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605529813 1072351677 /nfs/dbraw/zinc/35/16/77/1072351677.db2.gz MGOGSYTYGFWISP-RTBURBONSA-N 0 0 427.509 -0.185 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000605529844 1072351441 /nfs/dbraw/zinc/35/14/41/1072351441.db2.gz NDQWFJIUGJEZQZ-AWEZNQCLSA-N 0 0 442.476 -0.505 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000605529846 1072351704 /nfs/dbraw/zinc/35/17/04/1072351704.db2.gz NDQWFJIUGJEZQZ-CQSZACIVSA-N 0 0 442.476 -0.505 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1nc2ncc(Br)cn2n1 ZINC000605530984 1072352249 /nfs/dbraw/zinc/35/22/49/1072352249.db2.gz SKELURJDVMKOHY-GFCCVEGCSA-N 0 0 438.286 -0.450 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1nc2ncc(Br)cn2n1 ZINC000605531016 1072352157 /nfs/dbraw/zinc/35/21/57/1072352157.db2.gz SKELURJDVMKOHY-LBPRGKRZSA-N 0 0 438.286 -0.450 20 0 IBADRN NC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531018 1072351997 /nfs/dbraw/zinc/35/19/97/1072351997.db2.gz SLIIGBIHYLBEOH-APWZRJJASA-N 0 0 442.520 -0.203 20 0 IBADRN NC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531030 1072352026 /nfs/dbraw/zinc/35/20/26/1072352026.db2.gz SLIIGBIHYLBEOH-LPHOPBHVSA-N 0 0 442.520 -0.203 20 0 IBADRN NC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531032 1072352170 /nfs/dbraw/zinc/35/21/70/1072352170.db2.gz SLIIGBIHYLBEOH-QFBILLFUSA-N 0 0 442.520 -0.203 20 0 IBADRN NC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531034 1072352099 /nfs/dbraw/zinc/35/20/99/1072352099.db2.gz SLIIGBIHYLBEOH-VQIMIIECSA-N 0 0 442.520 -0.203 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531193 1072352227 /nfs/dbraw/zinc/35/22/27/1072352227.db2.gz VEANKZXLRYIOBX-HNNXBMFYSA-N 0 0 442.513 -0.457 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531200 1072352050 /nfs/dbraw/zinc/35/20/50/1072352050.db2.gz VEANKZXLRYIOBX-OAHLLOKOSA-N 0 0 442.513 -0.457 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531525 1072352183 /nfs/dbraw/zinc/35/21/83/1072352183.db2.gz YAZFKONRRVNCMJ-AEFFLSMTSA-N 0 0 442.524 -0.676 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531528 1072352195 /nfs/dbraw/zinc/35/21/95/1072352195.db2.gz YAZFKONRRVNCMJ-FUHWJXTLSA-N 0 0 442.524 -0.676 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531530 1072352136 /nfs/dbraw/zinc/35/21/36/1072352136.db2.gz YAZFKONRRVNCMJ-SJLPKXTDSA-N 0 0 442.524 -0.676 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000605531531 1072352077 /nfs/dbraw/zinc/35/20/77/1072352077.db2.gz YAZFKONRRVNCMJ-WMZOPIPTSA-N 0 0 442.524 -0.676 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)Nc1nn[nH]n1 ZINC000605532682 1072352112 /nfs/dbraw/zinc/35/21/12/1072352112.db2.gz MYBXBEWLODJFBJ-AWEZNQCLSA-N 0 0 437.482 -0.386 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)Nc1nn[nH]n1 ZINC000605532700 1072352207 /nfs/dbraw/zinc/35/22/07/1072352207.db2.gz MYBXBEWLODJFBJ-CQSZACIVSA-N 0 0 437.482 -0.386 20 0 IBADRN Cc1ccc(-n2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)nn2)cc1 ZINC000605534624 1072353008 /nfs/dbraw/zinc/35/30/08/1072353008.db2.gz AHIWZGBRHCQVRC-INIZCTEOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1ccc(-n2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)nn2)cc1 ZINC000605534625 1072353107 /nfs/dbraw/zinc/35/31/07/1072353107.db2.gz AHIWZGBRHCQVRC-MRXNPFEDSA-N 0 0 446.533 -0.363 20 0 IBADRN Cn1nc(C(C)(C)C)cc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605534699 1072352618 /nfs/dbraw/zinc/35/26/18/1072352618.db2.gz BWHSZTWINICRQO-AWEZNQCLSA-N 0 0 425.555 -0.221 20 0 IBADRN Cn1nc(C(C)(C)C)cc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605534701 1072352521 /nfs/dbraw/zinc/35/25/21/1072352521.db2.gz BWHSZTWINICRQO-CQSZACIVSA-N 0 0 425.555 -0.221 20 0 IBADRN CCCCCS(=O)(=O)CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605534703 1072352593 /nfs/dbraw/zinc/35/25/93/1072352593.db2.gz BWRKANYHOWQBON-HNNXBMFYSA-N 0 0 437.584 -0.961 20 0 IBADRN CCCCCS(=O)(=O)CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605534708 1072352665 /nfs/dbraw/zinc/35/26/65/1072352665.db2.gz BWRKANYHOWQBON-OAHLLOKOSA-N 0 0 437.584 -0.961 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cn3ccsc3n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605534771 1072352674 /nfs/dbraw/zinc/35/26/74/1072352674.db2.gz FAULPFOHUXZGIL-CYBMUJFWSA-N 0 0 425.536 -0.614 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cn3ccsc3n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605534772 1072352548 /nfs/dbraw/zinc/35/25/48/1072352548.db2.gz FAULPFOHUXZGIL-ZDUSSCGKSA-N 0 0 425.536 -0.614 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(-c3ccccc3)nn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605534773 1072352680 /nfs/dbraw/zinc/35/26/80/1072352680.db2.gz FBZUWAOUGGKPOX-HNNXBMFYSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(-c3ccccc3)nn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605534774 1072352630 /nfs/dbraw/zinc/35/26/30/1072352630.db2.gz FBZUWAOUGGKPOX-OAHLLOKOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H]2C(=O)Nc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605534775 1072352873 /nfs/dbraw/zinc/35/28/73/1072352873.db2.gz FESGRSOWRYSOSG-GDBMZVCRSA-N 0 0 434.518 -0.440 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H]2C(=O)Nc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605534776 1072353037 /nfs/dbraw/zinc/35/30/37/1072353037.db2.gz FESGRSOWRYSOSG-GOEBONIOSA-N 0 0 434.518 -0.440 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H]2C(=O)Nc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605534777 1072353093 /nfs/dbraw/zinc/35/30/93/1072353093.db2.gz FESGRSOWRYSOSG-HOCLYGCPSA-N 0 0 434.518 -0.440 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H]2C(=O)Nc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605534778 1072352923 /nfs/dbraw/zinc/35/29/23/1072352923.db2.gz FESGRSOWRYSOSG-ZBFHGGJFSA-N 0 0 434.518 -0.440 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)NC2CCCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605535268 1072352610 /nfs/dbraw/zinc/35/26/10/1072352610.db2.gz GVGJOVGFGBKVFZ-INIZCTEOSA-N 0 0 443.570 -0.934 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)NC2CCCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605535272 1072352649 /nfs/dbraw/zinc/35/26/49/1072352649.db2.gz GVGJOVGFGBKVFZ-MRXNPFEDSA-N 0 0 443.570 -0.934 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)Nc2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605535306 1072352240 /nfs/dbraw/zinc/35/22/40/1072352240.db2.gz HXZUNONYFSYAFY-INIZCTEOSA-N 0 0 437.522 -0.744 20 0 IBADRN O=C(CN1CCN(C(=O)CNC(=O)Nc2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605535308 1072352154 /nfs/dbraw/zinc/35/21/54/1072352154.db2.gz HXZUNONYFSYAFY-MRXNPFEDSA-N 0 0 437.522 -0.744 20 0 IBADRN Cn1c2ccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc2[nH]c1=O ZINC000605535310 1072351984 /nfs/dbraw/zinc/35/19/84/1072351984.db2.gz HZRWTXZYORPLCH-AWEZNQCLSA-N 0 0 435.506 -0.660 20 0 IBADRN Cn1c2ccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc2[nH]c1=O ZINC000605535311 1072352066 /nfs/dbraw/zinc/35/20/66/1072352066.db2.gz HZRWTXZYORPLCH-CQSZACIVSA-N 0 0 435.506 -0.660 20 0 IBADRN CC(C)(C)N1C[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000605535362 1072352090 /nfs/dbraw/zinc/35/20/90/1072352090.db2.gz JBZMHKLGPXDSKV-CABCVRRESA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)(C)N1C[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000605535363 1072352149 /nfs/dbraw/zinc/35/21/49/1072352149.db2.gz JBZMHKLGPXDSKV-GJZGRUSLSA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)(C)N1C[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000605535364 1072352562 /nfs/dbraw/zinc/35/25/62/1072352562.db2.gz JBZMHKLGPXDSKV-HUUCEWRRSA-N 0 0 428.555 -0.919 20 0 IBADRN CC(C)(C)N1C[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000605535365 1072352623 /nfs/dbraw/zinc/35/26/23/1072352623.db2.gz JBZMHKLGPXDSKV-LSDHHAIUSA-N 0 0 428.555 -0.919 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2c(=O)[nH]c3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605535371 1072352583 /nfs/dbraw/zinc/35/25/83/1072352583.db2.gz JLHRPFWLLGSBQA-AWEZNQCLSA-N 0 0 435.506 -0.811 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2c(=O)[nH]c3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605535372 1072352659 /nfs/dbraw/zinc/35/26/59/1072352659.db2.gz JLHRPFWLLGSBQA-CQSZACIVSA-N 0 0 435.506 -0.811 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](c2ccccc2)n2cccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605535375 1072352572 /nfs/dbraw/zinc/35/25/72/1072352572.db2.gz JQHLZYOCOMLGNO-AZUAARDMSA-N 0 0 445.545 -0.080 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](c2ccccc2)n2cccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605535376 1072352534 /nfs/dbraw/zinc/35/25/34/1072352534.db2.gz JQHLZYOCOMLGNO-ICSRJNTNSA-N 0 0 445.545 -0.080 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](c2ccccc2)n2cccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605535377 1072352644 /nfs/dbraw/zinc/35/26/44/1072352644.db2.gz JQHLZYOCOMLGNO-QUCCMNQESA-N 0 0 445.545 -0.080 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](c2ccccc2)n2cccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605535378 1072352655 /nfs/dbraw/zinc/35/26/55/1072352655.db2.gz JQHLZYOCOMLGNO-UYAOXDASSA-N 0 0 445.545 -0.080 20 0 IBADRN COCCOc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000605535381 1072352638 /nfs/dbraw/zinc/35/26/38/1072352638.db2.gz JUVXXNOVTHCSPB-KRWDZBQOSA-N 0 0 439.534 -0.227 20 0 IBADRN COCCOc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000605535382 1072352668 /nfs/dbraw/zinc/35/26/68/1072352668.db2.gz JUVXXNOVTHCSPB-QGZVFWFLSA-N 0 0 439.534 -0.227 20 0 IBADRN CCc1noc2ncc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000605535797 1072353545 /nfs/dbraw/zinc/35/35/45/1072353545.db2.gz MXYCKUJMZHLEJM-AWEZNQCLSA-N 0 0 435.506 -0.154 20 0 IBADRN CCc1noc2ncc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000605535798 1072353677 /nfs/dbraw/zinc/35/36/77/1072353677.db2.gz MXYCKUJMZHLEJM-CQSZACIVSA-N 0 0 435.506 -0.154 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-n3ccnc3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605535801 1072353497 /nfs/dbraw/zinc/35/34/97/1072353497.db2.gz MYGZOCXYKDMNIL-KRWDZBQOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-n3ccnc3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605535802 1072353401 /nfs/dbraw/zinc/35/34/01/1072353401.db2.gz MYGZOCXYKDMNIL-QGZVFWFLSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3ccnc3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605535821 1072353610 /nfs/dbraw/zinc/35/36/10/1072353610.db2.gz NOZVABMVTSYKMQ-KRWDZBQOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3ccnc3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605535822 1072353586 /nfs/dbraw/zinc/35/35/86/1072353586.db2.gz NOZVABMVTSYKMQ-QGZVFWFLSA-N 0 0 431.518 -0.067 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605535843 1072353667 /nfs/dbraw/zinc/35/36/67/1072353667.db2.gz NXGULNLRLJDOJP-CVEARBPZSA-N 0 0 442.582 -0.529 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605535849 1072353659 /nfs/dbraw/zinc/35/36/59/1072353659.db2.gz NXGULNLRLJDOJP-HOTGVXAUSA-N 0 0 442.582 -0.529 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605535852 1072353639 /nfs/dbraw/zinc/35/36/39/1072353639.db2.gz NXGULNLRLJDOJP-HZPDHXFCSA-N 0 0 442.582 -0.529 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605535854 1072353629 /nfs/dbraw/zinc/35/36/29/1072353629.db2.gz NXGULNLRLJDOJP-JKSUJKDBSA-N 0 0 442.582 -0.529 20 0 IBADRN CC(C)(C)n1cc(C(=O)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000605535893 1072353429 /nfs/dbraw/zinc/35/34/29/1072353429.db2.gz OGLVMSLUTDCBPQ-HNNXBMFYSA-N 0 0 439.538 -0.732 20 0 IBADRN CC(C)(C)n1cc(C(=O)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000605535899 1072353537 /nfs/dbraw/zinc/35/35/37/1072353537.db2.gz OGLVMSLUTDCBPQ-OAHLLOKOSA-N 0 0 439.538 -0.732 20 0 IBADRN O=C(CCC1CCCC1)NCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605536344 1072353048 /nfs/dbraw/zinc/35/30/48/1072353048.db2.gz OUUCXXIMQHWPSL-KRWDZBQOSA-N 0 0 442.582 -0.480 20 0 IBADRN O=C(CCC1CCCC1)NCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605536345 1072353100 /nfs/dbraw/zinc/35/31/00/1072353100.db2.gz OUUCXXIMQHWPSL-QGZVFWFLSA-N 0 0 442.582 -0.480 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nnn1-c1ccccc1 ZINC000605536454 1072353055 /nfs/dbraw/zinc/35/30/55/1072353055.db2.gz RBLQCZAKOFKIOT-INIZCTEOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nnn1-c1ccccc1 ZINC000605536455 1072352895 /nfs/dbraw/zinc/35/28/95/1072352895.db2.gz RBLQCZAKOFKIOT-MRXNPFEDSA-N 0 0 446.533 -0.363 20 0 IBADRN COc1cccc(OCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000605536489 1072352935 /nfs/dbraw/zinc/35/29/35/1072352935.db2.gz RTQURYZDBBRONK-HNNXBMFYSA-N 0 0 425.507 -0.479 20 0 IBADRN COc1cccc(OCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000605536490 1072353015 /nfs/dbraw/zinc/35/30/15/1072353015.db2.gz RTQURYZDBBRONK-OAHLLOKOSA-N 0 0 425.507 -0.479 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)c2ccc3c(c2)CCC3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605536491 1072352947 /nfs/dbraw/zinc/35/29/47/1072352947.db2.gz RWANODSCXVLODN-GOSISDBHSA-N 0 0 433.530 -0.195 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)c2ccc3c(c2)CCC3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605536492 1072353027 /nfs/dbraw/zinc/35/30/27/1072353027.db2.gz RWANODSCXVLODN-SFHVURJKSA-N 0 0 433.530 -0.195 20 0 IBADRN O=C(CC1CCCC1)NCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605536498 1072352959 /nfs/dbraw/zinc/35/29/59/1072352959.db2.gz SCCVBLHMYOKBMH-INIZCTEOSA-N 0 0 428.555 -0.870 20 0 IBADRN O=C(CC1CCCC1)NCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605536499 1072352909 /nfs/dbraw/zinc/35/29/09/1072352909.db2.gz SCCVBLHMYOKBMH-MRXNPFEDSA-N 0 0 428.555 -0.870 20 0 IBADRN O=C(CN1CCN(C(=O)c2cncn2-c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605536790 1072354013 /nfs/dbraw/zinc/35/40/13/1072354013.db2.gz DPTKDODEQBDEDU-INIZCTEOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2cncn2-c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605536791 1072353947 /nfs/dbraw/zinc/35/39/47/1072353947.db2.gz DPTKDODEQBDEDU-MRXNPFEDSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2-n2cccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605536818 1072353899 /nfs/dbraw/zinc/35/38/99/1072353899.db2.gz UGYGHZOALSCYOI-INIZCTEOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2-n2cccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605536819 1072353921 /nfs/dbraw/zinc/35/39/21/1072353921.db2.gz UGYGHZOALSCYOI-MRXNPFEDSA-N 0 0 431.518 -0.067 20 0 IBADRN CCOc1ccc(OCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000605536847 1072353958 /nfs/dbraw/zinc/35/39/58/1072353958.db2.gz UODZUYRRZCCXDA-INIZCTEOSA-N 0 0 439.534 -0.088 20 0 IBADRN CCOc1ccc(OCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000605536851 1072353929 /nfs/dbraw/zinc/35/39/29/1072353929.db2.gz UODZUYRRZCCXDA-MRXNPFEDSA-N 0 0 439.534 -0.088 20 0 IBADRN CC(=O)NC1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CCCCC1 ZINC000605537010 1072353985 /nfs/dbraw/zinc/35/39/85/1072353985.db2.gz WESAXEHIKWFUKJ-INIZCTEOSA-N 0 0 428.555 -0.727 20 0 IBADRN CC(=O)NC1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CCCCC1 ZINC000605537017 1072353886 /nfs/dbraw/zinc/35/38/86/1072353886.db2.gz WESAXEHIKWFUKJ-MRXNPFEDSA-N 0 0 428.555 -0.727 20 0 IBADRN CCCC(=O)N1CSC[C@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605537058 1072354045 /nfs/dbraw/zinc/35/40/45/1072354045.db2.gz WQRMSKGFYCBXOP-CABCVRRESA-N 0 0 446.595 -0.865 20 0 IBADRN CCCC(=O)N1CSC[C@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605537064 1072354036 /nfs/dbraw/zinc/35/40/36/1072354036.db2.gz WQRMSKGFYCBXOP-GJZGRUSLSA-N 0 0 446.595 -0.865 20 0 IBADRN CCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605537065 1072353907 /nfs/dbraw/zinc/35/39/07/1072353907.db2.gz WQRMSKGFYCBXOP-HUUCEWRRSA-N 0 0 446.595 -0.865 20 0 IBADRN CCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605537067 1072353938 /nfs/dbraw/zinc/35/39/38/1072353938.db2.gz WQRMSKGFYCBXOP-LSDHHAIUSA-N 0 0 446.595 -0.865 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)c2ccc(Cl)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605537068 1072353967 /nfs/dbraw/zinc/35/39/67/1072353967.db2.gz WZLLMKGNFQPMME-HNNXBMFYSA-N 0 0 427.910 -0.030 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)c2ccc(Cl)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605537072 1072354002 /nfs/dbraw/zinc/35/40/02/1072354002.db2.gz WZLLMKGNFQPMME-OAHLLOKOSA-N 0 0 427.910 -0.030 20 0 IBADRN Cc1nn(C)c2nc(C)c(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000605537208 1072353487 /nfs/dbraw/zinc/35/34/87/1072353487.db2.gz YVZZWMNHIHQIJQ-HNNXBMFYSA-N 0 0 448.549 -0.354 20 0 IBADRN Cc1nn(C)c2nc(C)c(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000605537215 1072353558 /nfs/dbraw/zinc/35/35/58/1072353558.db2.gz YVZZWMNHIHQIJQ-OAHLLOKOSA-N 0 0 448.549 -0.354 20 0 IBADRN CCN(CC)C(=O)CCCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000605537284 1072354027 /nfs/dbraw/zinc/35/40/27/1072354027.db2.gz YZBKHSWMGFJCBS-INIZCTEOSA-N 0 0 430.571 -0.527 20 0 IBADRN CCN(CC)C(=O)CCCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000605537285 1072354065 /nfs/dbraw/zinc/35/40/65/1072354065.db2.gz YZBKHSWMGFJCBS-MRXNPFEDSA-N 0 0 430.571 -0.527 20 0 IBADRN CCNC(=O)NC1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC000605537286 1072353977 /nfs/dbraw/zinc/35/39/77/1072353977.db2.gz YZMBAHYGTOTNJD-HNNXBMFYSA-N 0 0 443.570 -0.934 20 0 IBADRN CCNC(=O)NC1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC000605537287 1072353997 /nfs/dbraw/zinc/35/39/97/1072353997.db2.gz YZMBAHYGTOTNJD-OAHLLOKOSA-N 0 0 443.570 -0.934 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-c3ncon3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000605537288 1072354021 /nfs/dbraw/zinc/35/40/21/1072354021.db2.gz YZVJZWXFAWDAIF-INIZCTEOSA-N 0 0 433.490 -0.202 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-c3ncon3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000605537289 1072354054 /nfs/dbraw/zinc/35/40/54/1072354054.db2.gz YZVJZWXFAWDAIF-MRXNPFEDSA-N 0 0 433.490 -0.202 20 0 IBADRN CCC[C@H](C)N1C[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000605537402 1072353461 /nfs/dbraw/zinc/35/34/61/1072353461.db2.gz NPOBZKMUDMZVBW-BBWFWOEESA-N 0 0 442.582 -0.529 20 0 IBADRN CCC[C@H](C)N1C[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000605537404 1072353525 /nfs/dbraw/zinc/35/35/25/1072353525.db2.gz NPOBZKMUDMZVBW-GVDBMIGSSA-N 0 0 442.582 -0.529 20 0 IBADRN CCC[C@H](C)N1C[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000605537406 1072353650 /nfs/dbraw/zinc/35/36/50/1072353650.db2.gz NPOBZKMUDMZVBW-ULQDDVLXSA-N 0 0 442.582 -0.529 20 0 IBADRN CCC[C@H](C)N1C[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000605537407 1072353443 /nfs/dbraw/zinc/35/34/43/1072353443.db2.gz NPOBZKMUDMZVBW-YESZJQIVSA-N 0 0 442.582 -0.529 20 0 IBADRN COc1ccc(COCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000605537482 1072353473 /nfs/dbraw/zinc/35/34/73/1072353473.db2.gz RIEMKLDMADUFOT-KRWDZBQOSA-N 0 0 439.534 -0.341 20 0 IBADRN COc1ccc(COCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000605537483 1072353570 /nfs/dbraw/zinc/35/35/70/1072353570.db2.gz RIEMKLDMADUFOT-QGZVFWFLSA-N 0 0 439.534 -0.341 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC[C@H](C)O)c(=O)n2Cc1ccccc1 ZINC000605541690 1072350320 /nfs/dbraw/zinc/35/03/20/1072350320.db2.gz PZHWDSCUGUJTMD-HNNXBMFYSA-N 0 0 429.477 -0.059 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2ccc3nncn3n2)CC1 ZINC000605552616 1072350226 /nfs/dbraw/zinc/35/02/26/1072350226.db2.gz VMSUXFFFXFJSRZ-LBPRGKRZSA-N 0 0 434.482 -0.255 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000605569495 1072354460 /nfs/dbraw/zinc/35/44/60/1072354460.db2.gz XVNSQEWCLXYWOB-CHWSQXEVSA-N 0 0 431.536 -0.182 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000605569496 1072354369 /nfs/dbraw/zinc/35/43/69/1072354369.db2.gz XVNSQEWCLXYWOB-OLZOCXBDSA-N 0 0 431.536 -0.182 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCS1(=O)=O ZINC000605569497 1072354448 /nfs/dbraw/zinc/35/44/48/1072354448.db2.gz XVNSQEWCLXYWOB-QWHCGFSZSA-N 0 0 431.536 -0.182 20 0 IBADRN C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000605569498 1072354377 /nfs/dbraw/zinc/35/43/77/1072354377.db2.gz XVNSQEWCLXYWOB-STQMWFEESA-N 0 0 431.536 -0.182 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1C ZINC000605578470 1071270693 /nfs/dbraw/zinc/27/06/93/1071270693.db2.gz SNVFEYVCGHVDBN-UHFFFAOYSA-N 0 0 446.551 -0.163 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc21 ZINC000605579628 1071272446 /nfs/dbraw/zinc/27/24/46/1071272446.db2.gz DVQDFGOJZMMRCZ-UHFFFAOYSA-N 0 0 444.579 -0.159 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)cc21 ZINC000605580960 1071272331 /nfs/dbraw/zinc/27/23/31/1071272331.db2.gz DQSRMHVFFRVMIS-HNNXBMFYSA-N 0 0 443.547 -0.091 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)cc21 ZINC000605580994 1071272351 /nfs/dbraw/zinc/27/23/51/1071272351.db2.gz DQSRMHVFFRVMIS-OAHLLOKOSA-N 0 0 443.547 -0.091 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1C ZINC000605582337 1071273849 /nfs/dbraw/zinc/27/38/49/1071273849.db2.gz BKQZGKJNLWRTCN-UHFFFAOYSA-N 0 0 436.490 -0.065 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Br)OCCO3)CC1 ZINC000605587431 1072354435 /nfs/dbraw/zinc/35/44/35/1072354435.db2.gz AFGVTWJROVAPEP-UHFFFAOYSA-N 0 0 442.313 -0.270 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC(F)(F)F)c2)CC1 ZINC000605587502 1072354384 /nfs/dbraw/zinc/35/43/84/1072354384.db2.gz DLROQILUWATUCM-UHFFFAOYSA-N 0 0 430.430 -0.512 20 0 IBADRN CN(C1CC1)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000605591666 1071318225 /nfs/dbraw/zinc/31/82/25/1071318225.db2.gz UXELNUQHCQZSIE-UHFFFAOYSA-N 0 0 430.508 -0.337 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H](C)[C@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000605594215 1071323538 /nfs/dbraw/zinc/32/35/38/1071323538.db2.gz NGYVLHQAFYXLBP-AGKHESDQSA-N 0 0 445.519 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H](C)[C@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000605594216 1072354562 /nfs/dbraw/zinc/35/45/62/1072354562.db2.gz NGYVLHQAFYXLBP-CZIZLABSSA-N 0 0 445.519 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H](C)[C@@H]2C)cc1[C@]1(C)NC(=O)NC1=O ZINC000605594217 1071323421 /nfs/dbraw/zinc/32/34/21/1071323421.db2.gz NGYVLHQAFYXLBP-RVPKQNPDSA-N 0 0 445.519 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H](C)[C@@H]2C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000605594218 1071323411 /nfs/dbraw/zinc/32/34/11/1071323411.db2.gz NGYVLHQAFYXLBP-WVQJBOLRSA-N 0 0 445.519 -0.054 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](O)[C@@H]1CCOC1 ZINC000605601568 1072354525 /nfs/dbraw/zinc/35/45/25/1072354525.db2.gz VCDAIFJKLUJQLD-CVEARBPZSA-N 0 0 427.527 -0.770 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](O)[C@H]1CCOC1 ZINC000605601572 1072354418 /nfs/dbraw/zinc/35/44/18/1072354418.db2.gz VCDAIFJKLUJQLD-HOTGVXAUSA-N 0 0 427.527 -0.770 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](O)[C@@H]1CCOC1 ZINC000605601574 1072354612 /nfs/dbraw/zinc/35/46/12/1072354612.db2.gz VCDAIFJKLUJQLD-HZPDHXFCSA-N 0 0 427.527 -0.770 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](O)[C@H]1CCOC1 ZINC000605601575 1072354397 /nfs/dbraw/zinc/35/43/97/1072354397.db2.gz VCDAIFJKLUJQLD-JKSUJKDBSA-N 0 0 427.527 -0.770 20 0 IBADRN CN(CC(=O)N1CCCN(C(=O)CN(C)S(C)(=O)=O)c2ccccc21)S(C)(=O)=O ZINC000605613457 1072354551 /nfs/dbraw/zinc/35/45/51/1072354551.db2.gz XAPYBMOMOFHZJB-UHFFFAOYSA-N 0 0 446.551 -0.461 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000605628632 1072354655 /nfs/dbraw/zinc/35/46/55/1072354655.db2.gz VZWHKNHDHALNBA-UHFFFAOYSA-N 0 0 440.460 -0.147 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(S(N)(=O)=O)c(C)c2)CC1 ZINC000605662020 1072354925 /nfs/dbraw/zinc/35/49/25/1072354925.db2.gz FEJHWZMHIGTLGR-HNNXBMFYSA-N 0 0 426.539 -0.059 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(S(N)(=O)=O)c(C)c2)CC1 ZINC000605662021 1072354989 /nfs/dbraw/zinc/35/49/89/1072354989.db2.gz FEJHWZMHIGTLGR-OAHLLOKOSA-N 0 0 426.539 -0.059 20 0 IBADRN Cc1cc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)ccc1S(N)(=O)=O ZINC000605662140 1072355003 /nfs/dbraw/zinc/35/50/03/1072355003.db2.gz LWFBQFGANOGPOI-UHFFFAOYSA-N 0 0 432.506 -0.099 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)CC(F)(F)F)cc2)CC1 ZINC000605662165 1072355076 /nfs/dbraw/zinc/35/50/76/1072355076.db2.gz NCZSLIOJXYIHNT-UHFFFAOYSA-N 0 0 429.442 -0.087 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(S(N)(=O)=O)c(C)c2)c1 ZINC000605662528 1072355043 /nfs/dbraw/zinc/35/50/43/1072355043.db2.gz YHSAHVNULBINRC-UHFFFAOYSA-N 0 0 440.503 -0.081 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@]2(C1)NC(=O)NC2=O ZINC000605674583 1072355132 /nfs/dbraw/zinc/35/51/32/1072355132.db2.gz PKBDLPSOUQWQCZ-BBATYDOGSA-N 0 0 438.462 -0.675 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CC[C@@]2(C1)NC(=O)NC2=O ZINC000605674584 1072355207 /nfs/dbraw/zinc/35/52/07/1072355207.db2.gz PKBDLPSOUQWQCZ-VOJFVSQTSA-N 0 0 438.462 -0.675 20 0 IBADRN CC(=O)Nc1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000605771172 1072355146 /nfs/dbraw/zinc/35/51/46/1072355146.db2.gz MKWXEBJXBVVFFH-UHFFFAOYSA-N 0 0 428.486 -0.051 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1S(=O)(=O)N(CC(N)=O)CC(N)=O ZINC000605787464 1072355106 /nfs/dbraw/zinc/35/51/06/1072355106.db2.gz CARPEHKBUSDTFM-UHFFFAOYSA-N 0 0 432.524 -0.869 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N(CC(N)=O)CC(N)=O)cc2)C1 ZINC000605787566 1072355017 /nfs/dbraw/zinc/35/50/17/1072355017.db2.gz NROCZYKBEASNFQ-BETUJISGSA-N 0 0 446.551 -0.686 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N(CC(N)=O)CC(N)=O)cc2)C1 ZINC000605787567 1072355032 /nfs/dbraw/zinc/35/50/32/1072355032.db2.gz NROCZYKBEASNFQ-CHWSQXEVSA-N 0 0 446.551 -0.686 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N(CC(N)=O)CC(N)=O)cc2)C1 ZINC000605787568 1072355060 /nfs/dbraw/zinc/35/50/60/1072355060.db2.gz NROCZYKBEASNFQ-STQMWFEESA-N 0 0 446.551 -0.686 20 0 IBADRN Cc1cccc(O[C@@H](C)C(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000605795654 1072355217 /nfs/dbraw/zinc/35/52/17/1072355217.db2.gz PZZBPVQKRZZDKE-INIZCTEOSA-N 0 0 445.563 -0.118 20 0 IBADRN Cc1cccc(O[C@H](C)C(=O)N2CCN(S(=O)(=O)N3CCS(=O)(=O)CC3)CC2)c1 ZINC000605795655 1072355119 /nfs/dbraw/zinc/35/51/19/1072355119.db2.gz PZZBPVQKRZZDKE-MRXNPFEDSA-N 0 0 445.563 -0.118 20 0 IBADRN Cc1ccccc1O[C@@H](C)C(=O)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000605795692 1072355176 /nfs/dbraw/zinc/35/51/76/1072355176.db2.gz RJEOKGROKVJRNN-INIZCTEOSA-N 0 0 445.563 -0.118 20 0 IBADRN Cc1ccccc1O[C@H](C)C(=O)N1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000605795693 1072354938 /nfs/dbraw/zinc/35/49/38/1072354938.db2.gz RJEOKGROKVJRNN-MRXNPFEDSA-N 0 0 445.563 -0.118 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](O)C1CCOCC1 ZINC000605852435 1072355980 /nfs/dbraw/zinc/35/59/80/1072355980.db2.gz HHMACADVJKPMGZ-KRWDZBQOSA-N 0 0 441.554 -0.380 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](O)C1CCOCC1 ZINC000605852438 1072356103 /nfs/dbraw/zinc/35/61/03/1072356103.db2.gz HHMACADVJKPMGZ-QGZVFWFLSA-N 0 0 441.554 -0.380 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000605875686 1072356362 /nfs/dbraw/zinc/35/63/62/1072356362.db2.gz BKVFYMAFOKEKSE-UHFFFAOYSA-N 0 0 436.557 -0.691 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)cc1 ZINC000605878459 1072356223 /nfs/dbraw/zinc/35/62/23/1072356223.db2.gz SPHVAYSWKWQDEK-UHFFFAOYSA-N 0 0 449.533 -0.131 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000605879815 1072356396 /nfs/dbraw/zinc/35/63/96/1072356396.db2.gz OIKJJAKHHRZYSX-UHFFFAOYSA-N 0 0 448.568 -0.593 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000605880135 1072356674 /nfs/dbraw/zinc/35/66/74/1072356674.db2.gz QTTMODSGFNLDKV-KRWDZBQOSA-N 0 0 434.585 -0.075 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000605880141 1072356822 /nfs/dbraw/zinc/35/68/22/1072356822.db2.gz QTTMODSGFNLDKV-QGZVFWFLSA-N 0 0 434.585 -0.075 20 0 IBADRN CN(C1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1)S(C)(=O)=O ZINC000605880269 1072355161 /nfs/dbraw/zinc/35/51/61/1072355161.db2.gz RPJNGWRCEVBZRS-UHFFFAOYSA-N 0 0 429.587 -0.004 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCN2CCN(C(=O)C3CCC3)CC2)CC1 ZINC000605882874 1072355090 /nfs/dbraw/zinc/35/50/90/1072355090.db2.gz ZKBJMXFXFLUVJF-UHFFFAOYSA-N 0 0 434.541 -0.937 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@@H](C)[C@H](C)N2CCOCC2)c1 ZINC000605884224 1072355475 /nfs/dbraw/zinc/35/54/75/1072355475.db2.gz VHVFMANXWLARIF-KBPBESRZSA-N 0 0 427.527 -0.058 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@H](C)[C@H](C)N2CCOCC2)c1 ZINC000605884231 1072355685 /nfs/dbraw/zinc/35/56/85/1072355685.db2.gz VHVFMANXWLARIF-KGLIPLIRSA-N 0 0 427.527 -0.058 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)c1 ZINC000605884241 1072355709 /nfs/dbraw/zinc/35/57/09/1072355709.db2.gz VHVFMANXWLARIF-UONOGXRCSA-N 0 0 427.527 -0.058 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@H](C)[C@@H](C)N2CCOCC2)c1 ZINC000605884250 1072355620 /nfs/dbraw/zinc/35/56/20/1072355620.db2.gz VHVFMANXWLARIF-ZIAGYGMSSA-N 0 0 427.527 -0.058 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@H](O)[C@H]2CCOC2)c1OC ZINC000605892061 1072355676 /nfs/dbraw/zinc/35/56/76/1072355676.db2.gz BMXGZJRDPCJELZ-BBRMVZONSA-N 0 0 444.506 -0.148 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@H](O)[C@@H]2CCOC2)c1OC ZINC000605892064 1072355609 /nfs/dbraw/zinc/35/56/09/1072355609.db2.gz BMXGZJRDPCJELZ-CJNGLKHVSA-N 0 0 444.506 -0.148 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@@H](O)[C@@H]2CCOC2)c1OC ZINC000605892066 1072355598 /nfs/dbraw/zinc/35/55/98/1072355598.db2.gz BMXGZJRDPCJELZ-CZUORRHYSA-N 0 0 444.506 -0.148 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@@H](O)[C@H]2CCOC2)c1OC ZINC000605892067 1072355528 /nfs/dbraw/zinc/35/55/28/1072355528.db2.gz BMXGZJRDPCJELZ-XJKSGUPXSA-N 0 0 444.506 -0.148 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@@H](O)[C@H]2CCOC2)cc1 ZINC000605892260 1071431060 /nfs/dbraw/zinc/43/10/60/1071431060.db2.gz QGMSOYVLKDJLCY-DOTOQJQBSA-N 0 0 427.523 -0.040 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@@H](O)[C@@H]2CCOC2)cc1 ZINC000605892271 1071430999 /nfs/dbraw/zinc/43/09/99/1071430999.db2.gz QGMSOYVLKDJLCY-NVXWUHKLSA-N 0 0 427.523 -0.040 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@H](O)[C@H]2CCOC2)cc1 ZINC000605892274 1072355701 /nfs/dbraw/zinc/35/57/01/1072355701.db2.gz QGMSOYVLKDJLCY-RDJZCZTQSA-N 0 0 427.523 -0.040 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@H](O)[C@@H]2CCOC2)cc1 ZINC000605892283 1072355497 /nfs/dbraw/zinc/35/54/97/1072355497.db2.gz QGMSOYVLKDJLCY-WBVHZDCISA-N 0 0 427.523 -0.040 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H](O)[C@H]2CCOC2)c1 ZINC000605894064 1072355666 /nfs/dbraw/zinc/35/56/66/1072355666.db2.gz KDQLUCCIRIRTRS-DOTOQJQBSA-N 0 0 427.523 -0.040 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H](O)[C@@H]2CCOC2)c1 ZINC000605894067 1072355570 /nfs/dbraw/zinc/35/55/70/1072355570.db2.gz KDQLUCCIRIRTRS-NVXWUHKLSA-N 0 0 427.523 -0.040 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H](O)[C@H]2CCOC2)c1 ZINC000605894069 1072355636 /nfs/dbraw/zinc/35/56/36/1072355636.db2.gz KDQLUCCIRIRTRS-RDJZCZTQSA-N 0 0 427.523 -0.040 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H](O)[C@@H]2CCOC2)c1 ZINC000605894070 1072355584 /nfs/dbraw/zinc/35/55/84/1072355584.db2.gz KDQLUCCIRIRTRS-WBVHZDCISA-N 0 0 427.523 -0.040 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@H](O)[C@@H]1CCOC1 ZINC000605894511 1072355556 /nfs/dbraw/zinc/35/55/56/1072355556.db2.gz RDCVCPSVBSCQBC-AEFFLSMTSA-N 0 0 439.534 -0.187 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@@H](O)[C@H]1CCOC1 ZINC000605894512 1072355657 /nfs/dbraw/zinc/35/56/57/1072355657.db2.gz RDCVCPSVBSCQBC-FUHWJXTLSA-N 0 0 439.534 -0.187 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@@H](O)[C@@H]1CCOC1 ZINC000605894513 1072355543 /nfs/dbraw/zinc/35/55/43/1072355543.db2.gz RDCVCPSVBSCQBC-SJLPKXTDSA-N 0 0 439.534 -0.187 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@H](O)[C@H]1CCOC1 ZINC000605894515 1072355723 /nfs/dbraw/zinc/35/57/23/1072355723.db2.gz RDCVCPSVBSCQBC-WMZOPIPTSA-N 0 0 439.534 -0.187 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000605925059 1072356706 /nfs/dbraw/zinc/35/67/06/1072356706.db2.gz MGHNHIXSTVZFDH-UHFFFAOYSA-N 0 0 439.538 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000605927516 1072356813 /nfs/dbraw/zinc/35/68/13/1072356813.db2.gz GBQOOJNOWMIJPK-UHFFFAOYSA-N 0 0 433.556 -0.398 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)s1 ZINC000605931781 1072356803 /nfs/dbraw/zinc/35/68/03/1072356803.db2.gz BVOKCMRUFOOIAV-UHFFFAOYSA-N 0 0 428.496 -0.228 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000605932376 1073317891 /nfs/dbraw/zinc/31/78/91/1073317891.db2.gz IWLSLLXQRUFRCN-UHFFFAOYSA-N 0 0 436.494 -0.028 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000605933067 1072356719 /nfs/dbraw/zinc/35/67/19/1072356719.db2.gz SBGJNSVZMSYISN-INIZCTEOSA-N 0 0 435.529 -0.194 20 0 IBADRN COc1ccnc(N2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)n1 ZINC000605933073 1073349643 /nfs/dbraw/zinc/34/96/43/1073349643.db2.gz SBRNKCBJHAHSMN-UHFFFAOYSA-N 0 0 430.469 -0.105 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000605933082 1073346759 /nfs/dbraw/zinc/34/67/59/1073346759.db2.gz SBGJNSVZMSYISN-MRXNPFEDSA-N 0 0 435.529 -0.194 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000605933200 1072356791 /nfs/dbraw/zinc/35/67/91/1072356791.db2.gz VYSYRQOEBUMDNC-AWEZNQCLSA-N 0 0 428.515 -0.323 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000605933210 1072356762 /nfs/dbraw/zinc/35/67/62/1072356762.db2.gz VYSYRQOEBUMDNC-CQSZACIVSA-N 0 0 428.515 -0.323 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000605933213 1074355080 /nfs/dbraw/zinc/35/50/80/1074355080.db2.gz WAGYSTIJDURPEG-UHFFFAOYSA-N 0 0 446.555 -0.758 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000605933229 1073345065 /nfs/dbraw/zinc/34/50/65/1073345065.db2.gz WHJNBNXZQRKOTP-UHFFFAOYSA-N 0 0 428.515 -0.323 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1)N1CCOCC1 ZINC000605933403 1074355100 /nfs/dbraw/zinc/35/51/00/1074355100.db2.gz ASQDVDFFFIKWBK-UHFFFAOYSA-N 0 0 447.496 -0.960 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000605933408 1074355047 /nfs/dbraw/zinc/35/50/47/1074355047.db2.gz GDQOYRJVBNYMAR-UHFFFAOYSA-N 0 0 428.515 -0.465 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000605934006 1073331443 /nfs/dbraw/zinc/33/14/43/1073331443.db2.gz PTPLMVZSGNJPKG-UHFFFAOYSA-N 0 0 435.529 -0.146 20 0 IBADRN CN(C1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1)S(C)(=O)=O ZINC000605934072 1073310245 /nfs/dbraw/zinc/31/02/45/1073310245.db2.gz BWSUKZJSKDEMHM-UHFFFAOYSA-N 0 0 428.515 -0.370 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)CC1 ZINC000605934187 1073312150 /nfs/dbraw/zinc/31/21/50/1073312150.db2.gz FACBHTMJQHOELB-UHFFFAOYSA-N 0 0 447.540 -0.240 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCCn2nc3n(c2=O)CCCC3)n1)N1CCOCC1 ZINC000605934644 1072356738 /nfs/dbraw/zinc/35/67/38/1072356738.db2.gz BBKPMAGRDUFOMO-UHFFFAOYSA-N 0 0 432.485 -0.352 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000605934663 1074355165 /nfs/dbraw/zinc/35/51/65/1074355165.db2.gz BUIYMWQXHWEUNC-UHFFFAOYSA-N 0 0 443.464 -0.721 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000605934709 1072356795 /nfs/dbraw/zinc/35/67/95/1072356795.db2.gz DDEUOFXPASXWQB-UHFFFAOYSA-N 0 0 440.457 -0.150 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000605934808 1074355026 /nfs/dbraw/zinc/35/50/26/1074355026.db2.gz HMVUKFIVWWUWSN-AWEZNQCLSA-N 0 0 428.515 -0.465 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000605934810 1074355121 /nfs/dbraw/zinc/35/51/21/1074355121.db2.gz HMVUKFIVWWUWSN-CQSZACIVSA-N 0 0 428.515 -0.465 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1 ZINC000605934823 1073318399 /nfs/dbraw/zinc/31/83/99/1073318399.db2.gz HSUFXLIHYXPNRJ-UHFFFAOYSA-N 0 0 436.494 -0.148 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)n1)N1CCOCC1 ZINC000605935421 1072356714 /nfs/dbraw/zinc/35/67/14/1072356714.db2.gz VMGCHDFOEXMYEY-UHFFFAOYSA-N 0 0 447.540 -0.096 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000605935507 1073318844 /nfs/dbraw/zinc/31/88/44/1073318844.db2.gz YJEMOZNROOGJPA-UHFFFAOYSA-N 0 0 436.494 -0.247 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000605982815 1072356747 /nfs/dbraw/zinc/35/67/47/1072356747.db2.gz CWCQVOIGBLNTBO-UHFFFAOYSA-N 0 0 431.497 -0.907 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)CC1 ZINC000605982822 1072356833 /nfs/dbraw/zinc/35/68/33/1072356833.db2.gz DBFNXMMUICWKDQ-INIZCTEOSA-N 0 0 431.559 -0.429 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)CC1 ZINC000605982823 1072356683 /nfs/dbraw/zinc/35/66/83/1072356683.db2.gz DBFNXMMUICWKDQ-MRXNPFEDSA-N 0 0 431.559 -0.429 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)CC1 ZINC000605983627 1072356726 /nfs/dbraw/zinc/35/67/26/1072356726.db2.gz OSLXXYMQESBSTL-UHFFFAOYSA-N 0 0 436.557 -0.593 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000605983658 1071442995 /nfs/dbraw/zinc/44/29/95/1071442995.db2.gz PQUVHUIHVFJWCH-UHFFFAOYSA-N 0 0 443.512 -0.724 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000605996149 1072357666 /nfs/dbraw/zinc/35/76/66/1072357666.db2.gz BTQKPCXTDVTIMR-UHFFFAOYSA-N 0 0 443.504 -0.113 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl ZINC000606001728 1072357736 /nfs/dbraw/zinc/35/77/36/1072357736.db2.gz GDKXCLASEQHQCX-UHFFFAOYSA-N 0 0 432.886 -0.303 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000606002757 1072357710 /nfs/dbraw/zinc/35/77/10/1072357710.db2.gz RZVGAZOHTWEFOV-UHFFFAOYSA-N 0 0 445.476 -0.683 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)cc1 ZINC000606004375 1072357717 /nfs/dbraw/zinc/35/77/17/1072357717.db2.gz HCQYUDKDFXMGGJ-UHFFFAOYSA-N 0 0 440.503 -0.324 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1OC ZINC000606004628 1072357723 /nfs/dbraw/zinc/35/77/23/1072357723.db2.gz XIJQRWWWUCAAFI-UHFFFAOYSA-N 0 0 442.494 -0.240 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)c1 ZINC000606004922 1072357759 /nfs/dbraw/zinc/35/77/59/1072357759.db2.gz ZOSRZDHWLVOYKF-UHFFFAOYSA-N 0 0 438.437 -0.034 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)c1 ZINC000606005019 1072357741 /nfs/dbraw/zinc/35/77/41/1072357741.db2.gz MGBJUWKMSLQGRT-UHFFFAOYSA-N 0 0 447.521 -0.609 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl ZINC000606005784 1072357630 /nfs/dbraw/zinc/35/76/30/1072357630.db2.gz CNOPBIFBUGCYRZ-UHFFFAOYSA-N 0 0 438.915 -0.516 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cc1S(N)(=O)=O ZINC000606005949 1072357695 /nfs/dbraw/zinc/35/76/95/1072357695.db2.gz JJRMVGQNKVWWMV-UHFFFAOYSA-N 0 0 440.503 -0.324 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000606006801 1073350621 /nfs/dbraw/zinc/35/06/21/1073350621.db2.gz SYBKBGXZYYLKSN-UHFFFAOYSA-N 0 0 445.299 -0.307 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000606007015 1072358206 /nfs/dbraw/zinc/35/82/06/1072358206.db2.gz YFNHIZMUIBAIHB-UHFFFAOYSA-N 0 0 437.522 -0.423 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@@H]2CCCO2)cc1 ZINC000606007050 1072358035 /nfs/dbraw/zinc/35/80/35/1072358035.db2.gz ZBKOAJPUOXWXFU-AWEZNQCLSA-N 0 0 427.483 -0.409 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@H]2CCCO2)cc1 ZINC000606007057 1072358232 /nfs/dbraw/zinc/35/82/32/1072358232.db2.gz ZBKOAJPUOXWXFU-CQSZACIVSA-N 0 0 427.483 -0.409 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)c1 ZINC000606007065 1072358098 /nfs/dbraw/zinc/35/80/98/1072358098.db2.gz ZDQMGJHMOUOQFT-UHFFFAOYSA-N 0 0 426.476 -0.633 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)CC1 ZINC000606009634 1072358117 /nfs/dbraw/zinc/35/81/17/1072358117.db2.gz HFXVSIVGOJCFHO-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)cc1C ZINC000606010288 1072358146 /nfs/dbraw/zinc/35/81/46/1072358146.db2.gz QLEIPWYGHVQCLL-UHFFFAOYSA-N 0 0 439.538 -0.025 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCNS(C)(=O)=O ZINC000606013621 1072357263 /nfs/dbraw/zinc/35/72/63/1072357263.db2.gz FJQNLBCWAJSPEO-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000606014120 1072357139 /nfs/dbraw/zinc/35/71/39/1072357139.db2.gz LNKDAUDZEQZVNE-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@@H]2CCCO2)cc1 ZINC000606014146 1072357228 /nfs/dbraw/zinc/35/72/28/1072357228.db2.gz MJUWEAXKLQKQLM-HNNXBMFYSA-N 0 0 441.510 -0.019 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@H]2CCCO2)cc1 ZINC000606014147 1072357317 /nfs/dbraw/zinc/35/73/17/1072357317.db2.gz MJUWEAXKLQKQLM-OAHLLOKOSA-N 0 0 441.510 -0.019 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1OC ZINC000606014458 1072357286 /nfs/dbraw/zinc/35/72/86/1072357286.db2.gz UXZFSLVPUKXOPR-UHFFFAOYSA-N 0 0 436.512 -0.549 20 0 IBADRN Cc1noc(C)c1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000606014639 1072357324 /nfs/dbraw/zinc/35/73/24/1072357324.db2.gz CNNYSOCMZROUOU-UHFFFAOYSA-N 0 0 436.494 -0.107 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@@H]2CCCO2)cc1 ZINC000606014760 1072357348 /nfs/dbraw/zinc/35/73/48/1072357348.db2.gz IGZBHYHFSZAYCO-HNNXBMFYSA-N 0 0 441.510 -0.019 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@H]2CCCO2)cc1 ZINC000606014762 1072357164 /nfs/dbraw/zinc/35/71/64/1072357164.db2.gz IGZBHYHFSZAYCO-OAHLLOKOSA-N 0 0 441.510 -0.019 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(NS(=O)(=O)CC)c(OC)c1 ZINC000606014772 1072357184 /nfs/dbraw/zinc/35/71/84/1072357184.db2.gz IUKOTLBRXYCGSO-UHFFFAOYSA-N 0 0 436.512 -0.549 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1C ZINC000606015341 1072357306 /nfs/dbraw/zinc/35/73/06/1072357306.db2.gz YAAFMHVJULTAIK-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCN(C)S(C)(=O)=O ZINC000606016179 1072357173 /nfs/dbraw/zinc/35/71/73/1072357173.db2.gz DBYSASPWMJPMQA-UHFFFAOYSA-N 0 0 440.522 -0.196 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000606017739 1072357151 /nfs/dbraw/zinc/35/71/51/1072357151.db2.gz REROYUMTYWNPPT-UHFFFAOYSA-N 0 0 434.540 -0.492 20 0 IBADRN O=C(Nc1ncn(Cc2ccccc2)n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000606018300 1074355194 /nfs/dbraw/zinc/35/51/94/1074355194.db2.gz APQGADJKGLCBJN-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c2)n[nH]1 ZINC000606018437 1072357661 /nfs/dbraw/zinc/35/76/61/1072357661.db2.gz FMDWGQPKFYJQGP-UHFFFAOYSA-N 0 0 425.449 -0.332 20 0 IBADRN NC(=O)CCSc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000606019099 1072357691 /nfs/dbraw/zinc/35/76/91/1072357691.db2.gz MIIWADFSNNOXJO-UHFFFAOYSA-N 0 0 447.517 -0.458 20 0 IBADRN COCCn1ncc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc21 ZINC000606019715 1072357656 /nfs/dbraw/zinc/35/76/56/1072357656.db2.gz RPRODMNSVGRDGP-UHFFFAOYSA-N 0 0 442.476 -0.429 20 0 IBADRN Cc1c[nH]c(=O)n1-c1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000606019803 1072357640 /nfs/dbraw/zinc/35/76/40/1072357640.db2.gz WAWJCCPCSBETPS-UHFFFAOYSA-N 0 0 440.460 -0.226 20 0 IBADRN Cc1nc(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2)n[nH]1 ZINC000606019886 1072357753 /nfs/dbraw/zinc/35/77/53/1072357753.db2.gz ZVMTVYNSOZDBGV-UHFFFAOYSA-N 0 0 425.449 -0.332 20 0 IBADRN O=C(Nc1ncn(Cc2ccccc2)n1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000606020807 1074355189 /nfs/dbraw/zinc/35/51/89/1074355189.db2.gz CGBSVKCNIIEUHV-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000606021516 1072357701 /nfs/dbraw/zinc/35/77/01/1072357701.db2.gz VMQCXKYTPQXDDW-UHFFFAOYSA-N 0 0 429.477 -0.099 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)c1 ZINC000606021671 1072357634 /nfs/dbraw/zinc/35/76/34/1072357634.db2.gz KBMITRILIXXMJK-UHFFFAOYSA-N 0 0 440.503 -0.492 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1C ZINC000606024614 1072361083 /nfs/dbraw/zinc/36/10/83/1072361083.db2.gz APCMEOSTEGJDSA-INIZCTEOSA-N 0 0 438.506 -0.261 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1C ZINC000606024615 1072361122 /nfs/dbraw/zinc/36/11/22/1072361122.db2.gz APCMEOSTEGJDSA-MRXNPFEDSA-N 0 0 438.506 -0.261 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1S(N)(=O)=O ZINC000606024670 1072361017 /nfs/dbraw/zinc/36/10/17/1072361017.db2.gz DPWIZSORSOXSLT-HNNXBMFYSA-N 0 0 438.506 -0.315 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1S(N)(=O)=O ZINC000606024671 1072361029 /nfs/dbraw/zinc/36/10/29/1072361029.db2.gz DPWIZSORSOXSLT-OAHLLOKOSA-N 0 0 438.506 -0.315 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000606025238 1072358047 /nfs/dbraw/zinc/35/80/47/1072358047.db2.gz LYFWITDEDCHJNB-AWEZNQCLSA-N 0 0 428.442 -0.739 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000606025239 1072358128 /nfs/dbraw/zinc/35/81/28/1072358128.db2.gz LYFWITDEDCHJNB-CQSZACIVSA-N 0 0 428.442 -0.739 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000606025562 1072360904 /nfs/dbraw/zinc/36/09/04/1072360904.db2.gz ONSXDXWGXCQXMB-INIZCTEOSA-N 0 0 438.506 -0.476 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000606025563 1072361007 /nfs/dbraw/zinc/36/10/07/1072361007.db2.gz ONSXDXWGXCQXMB-MRXNPFEDSA-N 0 0 438.506 -0.476 20 0 IBADRN Cn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)n1 ZINC000606025932 1072361070 /nfs/dbraw/zinc/36/10/70/1072361070.db2.gz VYBCXWNIGXDLCT-UHFFFAOYSA-N 0 0 435.491 -0.104 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000606026693 1072358110 /nfs/dbraw/zinc/35/81/10/1072358110.db2.gz BDQUHIQLRCNQEL-UHFFFAOYSA-N 0 0 439.538 -0.657 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1S(N)(=O)=O ZINC000606026694 1072358228 /nfs/dbraw/zinc/35/82/28/1072358228.db2.gz BJVWWIVWRHCIBK-UHFFFAOYSA-N 0 0 437.522 -0.652 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1C ZINC000606026883 1072358223 /nfs/dbraw/zinc/35/82/23/1072358223.db2.gz BLVWHHRDRLAQKM-UHFFFAOYSA-N 0 0 437.522 -0.688 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccn(C)n3)CC2)c1 ZINC000606026903 1073347299 /nfs/dbraw/zinc/34/72/99/1073347299.db2.gz CHIWRFHPEWQNGO-UHFFFAOYSA-N 0 0 437.478 -0.091 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000606027377 1072358604 /nfs/dbraw/zinc/35/86/04/1072358604.db2.gz NFQDFSAVNFQENG-UHFFFAOYSA-N 0 0 429.474 -0.920 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1S(N)(=O)=O ZINC000606027416 1072358624 /nfs/dbraw/zinc/35/86/24/1072358624.db2.gz PYKFCZQIPCHTDU-UHFFFAOYSA-N 0 0 425.511 -0.750 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000606027467 1072358583 /nfs/dbraw/zinc/35/85/83/1072358583.db2.gz VHZWWIGVQYCRRL-UHFFFAOYSA-N 0 0 441.485 -0.822 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000606027713 1072358061 /nfs/dbraw/zinc/35/80/61/1072358061.db2.gz NQXPPVRZMMKWNQ-UHFFFAOYSA-N 0 0 437.522 -0.742 20 0 IBADRN CCc1nc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2o1 ZINC000606028405 1072358469 /nfs/dbraw/zinc/35/84/69/1072358469.db2.gz HJTFGFSEXMAFRE-UHFFFAOYSA-N 0 0 443.460 -0.142 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000606028416 1072358660 /nfs/dbraw/zinc/35/86/60/1072358660.db2.gz XNNXLAVTQAJDTL-UHFFFAOYSA-N 0 0 437.522 -0.903 20 0 IBADRN COCCn1ncc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)cc21 ZINC000606028548 1072358682 /nfs/dbraw/zinc/35/86/82/1072358682.db2.gz KCYLSMWQEBIBTD-UHFFFAOYSA-N 0 0 444.492 -0.183 20 0 IBADRN CCN1CCc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc21 ZINC000606028612 1072358629 /nfs/dbraw/zinc/35/86/29/1072358629.db2.gz MHBYAAATOABJAM-UHFFFAOYSA-N 0 0 443.504 -0.463 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000606028615 1072358614 /nfs/dbraw/zinc/35/86/14/1072358614.db2.gz MHXCINIXVVVACH-UHFFFAOYSA-N 0 0 445.476 -0.863 20 0 IBADRN Cn1nc(CC(C)(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000606028675 1072358644 /nfs/dbraw/zinc/35/86/44/1072358644.db2.gz NMVVUGXCZSHTDO-UHFFFAOYSA-N 0 0 448.524 -0.523 20 0 IBADRN Cc1cc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2[nH]1 ZINC000606028683 1072358666 /nfs/dbraw/zinc/35/86/66/1072358666.db2.gz NVNTWGYIIKLMFT-UHFFFAOYSA-N 0 0 427.461 -0.056 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n(C(C)(C)C)n1 ZINC000606028739 1072358547 /nfs/dbraw/zinc/35/85/47/1072358547.db2.gz PIQYIROONUUPIK-UHFFFAOYSA-N 0 0 434.497 -0.585 20 0 IBADRN Cc1nc(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)cc2)n[nH]1 ZINC000606028823 1072358652 /nfs/dbraw/zinc/35/86/52/1072358652.db2.gz RUKQSVRJUGJDMS-UHFFFAOYSA-N 0 0 427.465 -0.086 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)c2)n[nH]1 ZINC000606028999 1072358635 /nfs/dbraw/zinc/35/86/35/1072358635.db2.gz UTCBOUCYTGLBJC-UHFFFAOYSA-N 0 0 427.465 -0.086 20 0 IBADRN CCn1ncc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc21 ZINC000606029031 1072358484 /nfs/dbraw/zinc/35/84/84/1072358484.db2.gz YPHJNAPLFVPUOH-UHFFFAOYSA-N 0 0 442.476 -0.476 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000606029179 1072358561 /nfs/dbraw/zinc/35/85/61/1072358561.db2.gz AHKPNGZTQBRZMH-UHFFFAOYSA-N 0 0 448.523 -0.501 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1C ZINC000606029315 1072358595 /nfs/dbraw/zinc/35/85/95/1072358595.db2.gz GYNUHYISIZFJNQ-UHFFFAOYSA-N 0 0 432.524 -0.201 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000606029342 1072358674 /nfs/dbraw/zinc/35/86/74/1072358674.db2.gz IMSZNOPIRLQQPN-UHFFFAOYSA-N 0 0 437.522 -0.571 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1 ZINC000606030197 1072358913 /nfs/dbraw/zinc/35/89/13/1072358913.db2.gz UJWFNHAVVIZJIS-KRWDZBQOSA-N 0 0 434.460 -0.028 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1 ZINC000606030201 1072359084 /nfs/dbraw/zinc/35/90/84/1072359084.db2.gz UJWFNHAVVIZJIS-QGZVFWFLSA-N 0 0 434.460 -0.028 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)c1 ZINC000606030254 1072359093 /nfs/dbraw/zinc/35/90/93/1072359093.db2.gz NPODRYXUCINEHZ-UHFFFAOYSA-N 0 0 427.458 -0.228 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000606030315 1072358931 /nfs/dbraw/zinc/35/89/31/1072358931.db2.gz DNKNOHIUSCMWDY-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000606030348 1072359074 /nfs/dbraw/zinc/35/90/74/1072359074.db2.gz DXYWCNOUUWFNHX-UHFFFAOYSA-N 0 0 446.508 -0.231 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000606030380 1072359139 /nfs/dbraw/zinc/35/91/39/1072359139.db2.gz PTBOJQAAGYJECR-UHFFFAOYSA-N 0 0 432.524 -0.832 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000606030983 1072358994 /nfs/dbraw/zinc/35/89/94/1072358994.db2.gz XBZQGYDUCWRCOX-UHFFFAOYSA-N 0 0 432.524 -0.671 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1C ZINC000606030998 1072358947 /nfs/dbraw/zinc/35/89/47/1072358947.db2.gz YRFJVFMVBBNCML-UHFFFAOYSA-N 0 0 432.524 -0.617 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)ccc1=O ZINC000606031289 1072358901 /nfs/dbraw/zinc/35/89/01/1072358901.db2.gz HKGJXUOVMMJKPB-UHFFFAOYSA-N 0 0 433.490 -0.197 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000606031304 1072359102 /nfs/dbraw/zinc/35/91/02/1072359102.db2.gz IDOQFTSELCWRCH-AWEZNQCLSA-N 0 0 439.538 -0.360 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000606031307 1072359036 /nfs/dbraw/zinc/35/90/36/1072359036.db2.gz IDOQFTSELCWRCH-CQSZACIVSA-N 0 0 439.538 -0.360 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)CC1 ZINC000606031361 1072359474 /nfs/dbraw/zinc/35/94/74/1072359474.db2.gz IYMOKERLRYSDFE-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN Cc1c[nH]c(=O)n1-c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000606031398 1072359111 /nfs/dbraw/zinc/35/91/11/1072359111.db2.gz JJUJPZLUSJPMEL-UHFFFAOYSA-N 0 0 436.494 -0.225 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000606031475 1072359133 /nfs/dbraw/zinc/35/91/33/1072359133.db2.gz KRKWLFAOVFMHNG-CYBMUJFWSA-N 0 0 425.511 -0.669 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000606031478 1072358964 /nfs/dbraw/zinc/35/89/64/1072358964.db2.gz KRKWLFAOVFMHNG-ZDUSSCGKSA-N 0 0 425.511 -0.669 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000606031485 1072359126 /nfs/dbraw/zinc/35/91/26/1072359126.db2.gz NBIHSIMRDJYPGG-UHFFFAOYSA-N 0 0 440.522 -0.109 20 0 IBADRN Cn1nc(CC(C)(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000606031759 1072359021 /nfs/dbraw/zinc/35/90/21/1072359021.db2.gz RTOGOAGIFJSLGO-UHFFFAOYSA-N 0 0 434.541 -0.050 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000606031994 1072359048 /nfs/dbraw/zinc/35/90/48/1072359048.db2.gz PJXQFBBHQALNGK-UHFFFAOYSA-N 0 0 425.446 -0.705 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000606032001 1072359007 /nfs/dbraw/zinc/35/90/07/1072359007.db2.gz PMSNESFKVKYAQL-UHFFFAOYSA-N 0 0 425.511 -0.830 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC(C(=O)NCCOC)CC2)cc1S(N)(=O)=O ZINC000606032047 1072359590 /nfs/dbraw/zinc/35/95/90/1072359590.db2.gz YCYIEOSBHGDTPC-UHFFFAOYSA-N 0 0 440.522 -0.164 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CC)c(S(N)(=O)=O)c2)CC1 ZINC000606032064 1072359601 /nfs/dbraw/zinc/35/96/01/1072359601.db2.gz QDXUEWZKNZNORN-UHFFFAOYSA-N 0 0 425.511 -0.885 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cccnc3-n3cncn3)CC2)no1 ZINC000606032068 1073330235 /nfs/dbraw/zinc/33/02/35/1073330235.db2.gz DCNRDKSLKIFZBY-UHFFFAOYSA-N 0 0 439.436 -0.320 20 0 IBADRN COCCn1ncc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc21 ZINC000606032071 1072359613 /nfs/dbraw/zinc/35/96/13/1072359613.db2.gz QEBQXOJJCCNSMO-UHFFFAOYSA-N 0 0 438.510 -0.428 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000606032118 1072359431 /nfs/dbraw/zinc/35/94/31/1072359431.db2.gz DQZRRJZEQCKOEJ-UHFFFAOYSA-N 0 0 437.453 -0.265 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000606032150 1072359399 /nfs/dbraw/zinc/35/93/99/1072359399.db2.gz RYYGHWKMDNOCHG-UHFFFAOYSA-N 0 0 426.499 -0.283 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000606032173 1072359410 /nfs/dbraw/zinc/35/94/10/1072359410.db2.gz GFLGQWWSIFJMCW-UHFFFAOYSA-N 0 0 447.517 -0.003 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)c1 ZINC000606032232 1072359481 /nfs/dbraw/zinc/35/94/81/1072359481.db2.gz WJMMGHAMKHZCFC-UHFFFAOYSA-N 0 0 437.522 -0.571 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000606032303 1072359538 /nfs/dbraw/zinc/35/95/38/1072359538.db2.gz ZXTZBBVAYPGDMO-UHFFFAOYSA-N 0 0 439.538 -0.325 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000606032310 1072359421 /nfs/dbraw/zinc/35/94/21/1072359421.db2.gz VZBHEICDMJCSNB-UHFFFAOYSA-N 0 0 445.455 -0.652 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000606032323 1072359565 /nfs/dbraw/zinc/35/95/65/1072359565.db2.gz WZQHBZGLMDPZHN-UHFFFAOYSA-N 0 0 444.477 -0.702 20 0 IBADRN Cc1nc(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2)n[nH]1 ZINC000606032375 1072359522 /nfs/dbraw/zinc/35/95/22/1072359522.db2.gz ZALKMQQEYUXTOH-UHFFFAOYSA-N 0 0 441.492 -0.278 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)cc1OC ZINC000606032387 1072359441 /nfs/dbraw/zinc/35/94/41/1072359441.db2.gz UGWCRHKXAWKWSR-UHFFFAOYSA-N 0 0 442.494 -0.288 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2C)CC1 ZINC000606032404 1072359466 /nfs/dbraw/zinc/35/94/66/1072359466.db2.gz UPQAGFSDZGMADG-UHFFFAOYSA-N 0 0 445.520 -0.314 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2SCCC(N)=O)CC1 ZINC000606032446 1072359531 /nfs/dbraw/zinc/35/95/31/1072359531.db2.gz WSZVVNXGJUAJLT-UHFFFAOYSA-N 0 0 443.551 -0.457 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccn3C)CC2)cc1S(N)(=O)=O ZINC000606033895 1072359383 /nfs/dbraw/zinc/35/93/83/1072359383.db2.gz XRTCCPVDNQXMCF-UHFFFAOYSA-N 0 0 433.490 -0.096 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)n(C)n1 ZINC000606033966 1072359458 /nfs/dbraw/zinc/35/94/58/1072359458.db2.gz ICIQSEOVQHYDRJ-UHFFFAOYSA-N 0 0 446.489 -0.305 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1C ZINC000606034562 1072359366 /nfs/dbraw/zinc/35/93/66/1072359366.db2.gz LOVJRPYMPYKXHY-UHFFFAOYSA-N 0 0 425.511 -0.830 20 0 IBADRN Cn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)n1 ZINC000606034732 1074355059 /nfs/dbraw/zinc/35/50/59/1074355059.db2.gz VCULVIIPWXUIOY-UHFFFAOYSA-N 0 0 432.462 -0.614 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1S(N)(=O)=O ZINC000606035236 1072359502 /nfs/dbraw/zinc/35/95/02/1072359502.db2.gz CWIKYZZRAKUURC-UHFFFAOYSA-N 0 0 446.489 -0.576 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000606036535 1072360046 /nfs/dbraw/zinc/36/00/46/1072360046.db2.gz FAUYAUMNHOMYCH-CYBMUJFWSA-N 0 0 432.524 -0.625 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000606036536 1072360000 /nfs/dbraw/zinc/36/00/00/1072360000.db2.gz FAUYAUMNHOMYCH-ZDUSSCGKSA-N 0 0 432.524 -0.625 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000606036600 1072360039 /nfs/dbraw/zinc/36/00/39/1072360039.db2.gz IBIURCVJSKXTGI-AWEZNQCLSA-N 0 0 446.551 -0.538 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000606036602 1072360058 /nfs/dbraw/zinc/36/00/58/1072360058.db2.gz IBIURCVJSKXTGI-CQSZACIVSA-N 0 0 446.551 -0.538 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1C ZINC000606036874 1072360027 /nfs/dbraw/zinc/36/00/27/1072360027.db2.gz JOJPYTRGXUEXST-CYBMUJFWSA-N 0 0 446.551 -0.323 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1C ZINC000606036875 1072360120 /nfs/dbraw/zinc/36/01/20/1072360120.db2.gz JOJPYTRGXUEXST-ZDUSSCGKSA-N 0 0 446.551 -0.323 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000606036964 1072359512 /nfs/dbraw/zinc/35/95/12/1072359512.db2.gz NMAKJPIPUIYRKP-GFCCVEGCSA-N 0 0 432.524 -0.631 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000606036965 1072359990 /nfs/dbraw/zinc/35/99/90/1072359990.db2.gz NMAKJPIPUIYRKP-LBPRGKRZSA-N 0 0 432.524 -0.631 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1C ZINC000606036992 1072360011 /nfs/dbraw/zinc/36/00/11/1072360011.db2.gz PAUZJBCWAYJJGQ-GFCCVEGCSA-N 0 0 432.524 -0.570 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1C ZINC000606036994 1072360100 /nfs/dbraw/zinc/36/01/00/1072360100.db2.gz PAUZJBCWAYJJGQ-LBPRGKRZSA-N 0 0 432.524 -0.570 20 0 IBADRN COc1cc(C)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000606037031 1072359965 /nfs/dbraw/zinc/35/99/65/1072359965.db2.gz CGPJXZVUZGIFBP-UHFFFAOYSA-N 0 0 426.495 -0.337 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000606037161 1072360069 /nfs/dbraw/zinc/36/00/69/1072360069.db2.gz SMBRWWSAAGULIO-AWEZNQCLSA-N 0 0 432.524 -0.786 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000606037162 1072359899 /nfs/dbraw/zinc/35/98/99/1072359899.db2.gz SMBRWWSAAGULIO-CQSZACIVSA-N 0 0 432.524 -0.786 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4[nH]ccc43)CC2)CC1 ZINC000606037507 1072360089 /nfs/dbraw/zinc/36/00/89/1072360089.db2.gz GBICIQGNSMTHGW-UHFFFAOYSA-N 0 0 434.522 -0.257 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n(C)n1 ZINC000606037534 1072359952 /nfs/dbraw/zinc/35/99/52/1072359952.db2.gz GWRXYYOIUDKITI-KBPBESRZSA-N 0 0 428.515 -0.835 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n(C)n1 ZINC000606037537 1072359939 /nfs/dbraw/zinc/35/99/39/1072359939.db2.gz GWRXYYOIUDKITI-OKILXGFUSA-N 0 0 428.515 -0.835 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n(C)n1 ZINC000606037538 1072359913 /nfs/dbraw/zinc/35/99/13/1072359913.db2.gz GWRXYYOIUDKITI-ZIAGYGMSSA-N 0 0 428.515 -0.835 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000606037599 1072359923 /nfs/dbraw/zinc/35/99/23/1072359923.db2.gz FJPDNJQPWUXVNP-UHFFFAOYSA-N 0 0 446.551 -0.099 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000606037615 1072360110 /nfs/dbraw/zinc/36/01/10/1072360110.db2.gz HMSUXJIMKUIYEP-UHFFFAOYSA-N 0 0 432.524 -0.407 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC(NS(=O)(=O)CC)CC2)cc1S(N)(=O)=O ZINC000606037663 1072359976 /nfs/dbraw/zinc/35/99/76/1072359976.db2.gz BYZZJMVXZPCLJZ-UHFFFAOYSA-N 0 0 446.551 -0.235 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000606037850 1072360078 /nfs/dbraw/zinc/36/00/78/1072360078.db2.gz LNZFYOQPDMFGMH-UHFFFAOYSA-N 0 0 446.551 -0.180 20 0 IBADRN CC(C)(C)c1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000606038221 1072360482 /nfs/dbraw/zinc/36/04/82/1072360482.db2.gz RGQVMBHKJUYSAX-UHFFFAOYSA-N 0 0 440.526 -0.566 20 0 IBADRN COc1cc(C)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000606038566 1072360430 /nfs/dbraw/zinc/36/04/30/1072360430.db2.gz VPAYZNUBNUVXIR-UHFFFAOYSA-N 0 0 439.538 -0.421 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n(C)n1 ZINC000606038614 1072360613 /nfs/dbraw/zinc/36/06/13/1072360613.db2.gz ZJNZUQOPNQXSQL-KBPBESRZSA-N 0 0 442.542 -0.581 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n(C)n1 ZINC000606038615 1072360640 /nfs/dbraw/zinc/36/06/40/1072360640.db2.gz ZJNZUQOPNQXSQL-OKILXGFUSA-N 0 0 442.542 -0.581 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n(C)n1 ZINC000606038616 1072360368 /nfs/dbraw/zinc/36/03/68/1072360368.db2.gz ZJNZUQOPNQXSQL-ZIAGYGMSSA-N 0 0 442.542 -0.581 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnc(C4CC4)nc3)CC2)o1 ZINC000606038818 1072360600 /nfs/dbraw/zinc/36/06/00/1072360600.db2.gz GMBRJVKFSDNHDD-UHFFFAOYSA-N 0 0 448.461 -0.483 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)c1 ZINC000606039470 1072360375 /nfs/dbraw/zinc/36/03/75/1072360375.db2.gz HTKKCBLYHMWBBG-UHFFFAOYSA-N 0 0 440.457 -0.687 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)cc1S(N)(=O)=O ZINC000606039632 1072360396 /nfs/dbraw/zinc/36/03/96/1072360396.db2.gz KSCRHWRCZUZVGE-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)c1 ZINC000606040193 1072360627 /nfs/dbraw/zinc/36/06/27/1072360627.db2.gz SRLJBICAFRJWGP-UHFFFAOYSA-N 0 0 435.437 -0.209 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1S(N)(=O)=O ZINC000606040766 1072360585 /nfs/dbraw/zinc/36/05/85/1072360585.db2.gz WMEPJIFACIGUCQ-UHFFFAOYSA-N 0 0 436.494 -0.518 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1cc(S(N)(=O)=O)cc(C)c1C)S(C)(=O)=O ZINC000606044047 1072360472 /nfs/dbraw/zinc/36/04/72/1072360472.db2.gz BTUCWDCNQRDDKZ-UHFFFAOYSA-N 0 0 434.540 -0.323 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1)S(C)(=O)=O ZINC000606044163 1072360385 /nfs/dbraw/zinc/36/03/85/1072360385.db2.gz GTVHDXSODXKWCN-UHFFFAOYSA-N 0 0 434.540 -0.538 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NCCCN(CC)S(C)(=O)=O)cc1S(N)(=O)=O ZINC000606044814 1072360448 /nfs/dbraw/zinc/36/04/48/1072360448.db2.gz RYAOETUDUJXNJA-UHFFFAOYSA-N 0 0 434.540 -0.377 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)cc1S(N)(=O)=O ZINC000606044855 1072360498 /nfs/dbraw/zinc/36/04/98/1072360498.db2.gz KHKZKYIACMFKGP-UHFFFAOYSA-N 0 0 430.442 -0.007 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)c1 ZINC000606046005 1072360458 /nfs/dbraw/zinc/36/04/58/1072360458.db2.gz HHZOFXLKUBKURU-KRWDZBQOSA-N 0 0 446.526 -0.264 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)c1 ZINC000606046009 1072360652 /nfs/dbraw/zinc/36/06/52/1072360652.db2.gz HHZOFXLKUBKURU-QGZVFWFLSA-N 0 0 446.526 -0.264 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)c1 ZINC000606051269 1072360914 /nfs/dbraw/zinc/36/09/14/1072360914.db2.gz LNGDSQVHKHJWFQ-GFCCVEGCSA-N 0 0 437.430 -0.443 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)c1 ZINC000606051270 1072361132 /nfs/dbraw/zinc/36/11/32/1072361132.db2.gz LNGDSQVHKHJWFQ-LBPRGKRZSA-N 0 0 437.430 -0.443 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000606051850 1072361044 /nfs/dbraw/zinc/36/10/44/1072361044.db2.gz PHVJDQNGYDCZRP-CYBMUJFWSA-N 0 0 432.524 -0.625 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC000606051852 1072361105 /nfs/dbraw/zinc/36/11/05/1072361105.db2.gz PHVJDQNGYDCZRP-ZDUSSCGKSA-N 0 0 432.524 -0.625 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)cc1 ZINC000606052956 1072361058 /nfs/dbraw/zinc/36/10/58/1072361058.db2.gz KANFHEXTUCRUOW-UHFFFAOYSA-N 0 0 438.437 -0.147 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCN1CCCS1(=O)=O ZINC000606058394 1072362617 /nfs/dbraw/zinc/36/26/17/1072362617.db2.gz IVFSNLGZOIWBTD-UHFFFAOYSA-N 0 0 438.506 -0.442 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1C ZINC000606058554 1072362535 /nfs/dbraw/zinc/36/25/35/1072362535.db2.gz NGZLGGABVUPCFL-UHFFFAOYSA-N 0 0 432.524 -0.153 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1OC ZINC000606058955 1072362571 /nfs/dbraw/zinc/36/25/71/1072362571.db2.gz WDFHDTSYRWPFPA-UHFFFAOYSA-N 0 0 448.523 -0.453 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1C ZINC000606060034 1072362607 /nfs/dbraw/zinc/36/26/07/1072362607.db2.gz AQCATSICIJCDCJ-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)CCO1 ZINC000606060115 1072362488 /nfs/dbraw/zinc/36/24/88/1072362488.db2.gz DKDAVPMMFDIAEH-KRWDZBQOSA-N 0 0 443.460 -0.849 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)CCO1 ZINC000606060122 1072362643 /nfs/dbraw/zinc/36/26/43/1072362643.db2.gz DKDAVPMMFDIAEH-QGZVFWFLSA-N 0 0 443.460 -0.849 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc3c(ccn3CCN3CCOCC3)c2)CCO1 ZINC000606060724 1072362468 /nfs/dbraw/zinc/36/24/68/1072362468.db2.gz HXBWVPJQBLYCGG-GOSISDBHSA-N 0 0 429.477 -0.375 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc3c(ccn3CCN3CCOCC3)c2)CCO1 ZINC000606060752 1072362512 /nfs/dbraw/zinc/36/25/12/1072362512.db2.gz HXBWVPJQBLYCGG-SFHVURJKSA-N 0 0 429.477 -0.375 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2ncn(Cc3cccc(Br)c3)n2)CCO1 ZINC000606060766 1072362450 /nfs/dbraw/zinc/36/24/50/1072362450.db2.gz IDSUYULUPUFUNN-GFCCVEGCSA-N 0 0 437.254 -0.260 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ncn(Cc3cccc(Br)c3)n2)CCO1 ZINC000606060771 1072362557 /nfs/dbraw/zinc/36/25/57/1072362557.db2.gz IDSUYULUPUFUNN-LBPRGKRZSA-N 0 0 437.254 -0.260 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CC)CC2)cc1S(N)(=O)=O ZINC000606061323 1072362585 /nfs/dbraw/zinc/36/25/85/1072362585.db2.gz JSMFDNXWPKTCQA-UHFFFAOYSA-N 0 0 432.524 -0.671 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)CCO1 ZINC000606061388 1072362458 /nfs/dbraw/zinc/36/24/58/1072362458.db2.gz QJWYBWKPVNJIAN-CYBMUJFWSA-N 0 0 430.870 -0.469 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2Cl)CCO1 ZINC000606061390 1072362526 /nfs/dbraw/zinc/36/25/26/1072362526.db2.gz QJWYBWKPVNJIAN-ZDUSSCGKSA-N 0 0 430.870 -0.469 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)Nc2c3ccccc3oc2C(=O)N2CCOCC2)CCO1 ZINC000606061592 1072362548 /nfs/dbraw/zinc/36/25/48/1072362548.db2.gz WACJCYFAXXMORA-AWEZNQCLSA-N 0 0 430.417 -0.444 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)Nc2c3ccccc3oc2C(=O)N2CCOCC2)CCO1 ZINC000606061594 1072362602 /nfs/dbraw/zinc/36/26/02/1072362602.db2.gz WACJCYFAXXMORA-CQSZACIVSA-N 0 0 430.417 -0.444 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1 ZINC000606062161 1072362562 /nfs/dbraw/zinc/36/25/62/1072362562.db2.gz UQSQVFKEDBIRAM-UHFFFAOYSA-N 0 0 432.524 -0.617 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000606062605 1072362593 /nfs/dbraw/zinc/36/25/93/1072362593.db2.gz WRZFNIOLQRHZSS-UHFFFAOYSA-N 0 0 432.524 -0.832 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)c1 ZINC000606066140 1071464300 /nfs/dbraw/zinc/46/43/00/1071464300.db2.gz UBCODZZIXFRJPX-UHFFFAOYSA-N 0 0 432.458 -0.037 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1C ZINC000606066511 1072360939 /nfs/dbraw/zinc/36/09/39/1072360939.db2.gz LNHLISDVPGCZJG-UHFFFAOYSA-N 0 0 432.524 -0.569 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1S(N)(=O)=O ZINC000606066562 1072360972 /nfs/dbraw/zinc/36/09/72/1072360972.db2.gz OHDOBAYGZHHIHA-UHFFFAOYSA-N 0 0 432.524 -0.623 20 0 IBADRN NC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)C1 ZINC000606071839 1072361520 /nfs/dbraw/zinc/36/15/20/1072361520.db2.gz BRKZSNPJCXPREZ-HNNXBMFYSA-N 0 0 427.461 -0.228 20 0 IBADRN NC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)C1 ZINC000606071842 1072361726 /nfs/dbraw/zinc/36/17/26/1072361726.db2.gz BRKZSNPJCXPREZ-OAHLLOKOSA-N 0 0 427.461 -0.228 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1S(N)(=O)=O ZINC000606073497 1072361537 /nfs/dbraw/zinc/36/15/37/1072361537.db2.gz BSFPTSUQYBNGJV-UHFFFAOYSA-N 0 0 434.522 -0.087 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CC2)cn1 ZINC000606073581 1072361578 /nfs/dbraw/zinc/36/15/78/1072361578.db2.gz GQKHBDYMYFCBDU-UHFFFAOYSA-N 0 0 434.522 -0.248 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1C ZINC000606073880 1072361524 /nfs/dbraw/zinc/36/15/24/1072361524.db2.gz IJNHKSFEFCAWHW-UHFFFAOYSA-N 0 0 434.522 -0.033 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1S(N)(=O)=O ZINC000606073931 1072361666 /nfs/dbraw/zinc/36/16/66/1072361666.db2.gz NAPSRAOETIIXHE-UHFFFAOYSA-N 0 0 434.522 -0.087 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000606073950 1072361570 /nfs/dbraw/zinc/36/15/70/1072361570.db2.gz OODPXLGLFZYOLH-UHFFFAOYSA-N 0 0 434.522 -0.248 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)cc1 ZINC000606073951 1072361561 /nfs/dbraw/zinc/36/15/61/1072361561.db2.gz OPCUKBIEQFMBCV-UHFFFAOYSA-N 0 0 441.487 -0.129 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ncn(Cc2ccccc2)n1 ZINC000606076309 1073326901 /nfs/dbraw/zinc/32/69/01/1073326901.db2.gz LQUUFZMJXVUVLZ-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(-n2cncn2)c(F)c1 ZINC000606076315 1072361687 /nfs/dbraw/zinc/36/16/87/1072361687.db2.gz MTRVEGQACLPKIP-UHFFFAOYSA-N 0 0 442.498 -0.160 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(-c3n[nH]c(C)n3)c2)CC1 ZINC000606077205 1072361590 /nfs/dbraw/zinc/36/15/90/1072361590.db2.gz CIVNBRALNGFCPD-UHFFFAOYSA-N 0 0 427.465 -0.084 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000606077274 1072361677 /nfs/dbraw/zinc/36/16/77/1072361677.db2.gz DGTFQYYPLDEUSD-UHFFFAOYSA-N 0 0 432.481 -0.037 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(-c3n[nH]c(C)n3)cc2)CC1 ZINC000606077320 1072361713 /nfs/dbraw/zinc/36/17/13/1072361713.db2.gz DUOFLVRDCAMTNG-UHFFFAOYSA-N 0 0 427.465 -0.084 20 0 IBADRN CCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc1S(N)(=O)=O ZINC000606077705 1072361543 /nfs/dbraw/zinc/36/15/43/1072361543.db2.gz GBPBEMRKTJEONS-UHFFFAOYSA-N 0 0 446.551 -0.329 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc1S(N)(=O)=O ZINC000606077709 1072361657 /nfs/dbraw/zinc/36/16/57/1072361657.db2.gz GEPILTYQHVNRGY-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000606078111 1072362222 /nfs/dbraw/zinc/36/22/22/1072362222.db2.gz KGVZBRVKSTYEFZ-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000606078193 1072362085 /nfs/dbraw/zinc/36/20/85/1072362085.db2.gz MJGJWQSANAGEND-UHFFFAOYSA-N 0 0 446.551 -0.490 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)c1 ZINC000606078401 1072361529 /nfs/dbraw/zinc/36/15/29/1072361529.db2.gz UPHBLGJGYQFGOU-UHFFFAOYSA-N 0 0 436.487 -0.753 20 0 IBADRN COCCn1ncc2ccc(NC(=O)C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)cc21 ZINC000606078438 1072361698 /nfs/dbraw/zinc/36/16/98/1072361698.db2.gz VTHOXWGYRWHDHS-UHFFFAOYSA-N 0 0 444.492 -0.182 20 0 IBADRN Cn1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000606078793 1074354990 /nfs/dbraw/zinc/35/49/90/1074354990.db2.gz AHNUGXPLHUEMBY-UHFFFAOYSA-N 0 0 448.461 -0.777 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)c1 ZINC000606083153 1072362075 /nfs/dbraw/zinc/36/20/75/1072362075.db2.gz OQXXJSWMTHIXTD-JTQLQIEISA-N 0 0 426.348 -0.309 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)c1 ZINC000606083156 1072361989 /nfs/dbraw/zinc/36/19/89/1072361989.db2.gz OQXXJSWMTHIXTD-SNVBAGLBSA-N 0 0 426.348 -0.309 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000606083158 1072362142 /nfs/dbraw/zinc/36/21/42/1072362142.db2.gz ORTWFOSZIGDFEP-GFCCVEGCSA-N 0 0 449.464 -0.094 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C1 ZINC000606083161 1072362180 /nfs/dbraw/zinc/36/21/80/1072362180.db2.gz ORTWFOSZIGDFEP-LBPRGKRZSA-N 0 0 449.464 -0.094 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C1 ZINC000606084895 1072362026 /nfs/dbraw/zinc/36/20/26/1072362026.db2.gz FCJMZBBEJWMCHO-AWEZNQCLSA-N 0 0 432.524 -0.786 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C1 ZINC000606084896 1072362017 /nfs/dbraw/zinc/36/20/17/1072362017.db2.gz FCJMZBBEJWMCHO-CQSZACIVSA-N 0 0 432.524 -0.786 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000606084951 1072362193 /nfs/dbraw/zinc/36/21/93/1072362193.db2.gz ALDCGAYEPUCCJI-UHFFFAOYSA-N 0 0 442.476 -0.420 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl ZINC000606086156 1072361958 /nfs/dbraw/zinc/36/19/58/1072361958.db2.gz NAYISEZDILQAGI-UHFFFAOYSA-N 0 0 443.869 -0.514 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000606086846 1072362118 /nfs/dbraw/zinc/36/21/18/1072362118.db2.gz QIXYPQXXIBIXBB-GFCCVEGCSA-N 0 0 432.524 -0.570 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C1 ZINC000606086847 1072362107 /nfs/dbraw/zinc/36/21/07/1072362107.db2.gz QIXYPQXXIBIXBB-LBPRGKRZSA-N 0 0 432.524 -0.570 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000606086951 1072361978 /nfs/dbraw/zinc/36/19/78/1072361978.db2.gz SYFLAPYSRSHJAK-UHFFFAOYSA-N 0 0 437.478 -0.532 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)cc1S(N)(=O)=O ZINC000606088184 1072362131 /nfs/dbraw/zinc/36/21/31/1072362131.db2.gz ZEPBOKNRPGIKMT-CYBMUJFWSA-N 0 0 432.524 -0.625 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)cc1S(N)(=O)=O ZINC000606088187 1072362096 /nfs/dbraw/zinc/36/20/96/1072362096.db2.gz ZEPBOKNRPGIKMT-ZDUSSCGKSA-N 0 0 432.524 -0.625 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)c1 ZINC000606090687 1072362542 /nfs/dbraw/zinc/36/25/42/1072362542.db2.gz UTUUAIXTCDPLGN-UHFFFAOYSA-N 0 0 437.388 -0.157 20 0 IBADRN Cc1nc(-c2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2)n[nH]1 ZINC000606092428 1072365265 /nfs/dbraw/zinc/36/52/65/1072365265.db2.gz ADJAZADDJBKIDU-UHFFFAOYSA-N 0 0 435.510 -0.588 20 0 IBADRN Cn1nc(CC(C)(C)C)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000606092429 1072365187 /nfs/dbraw/zinc/36/51/87/1072365187.db2.gz AGYJRMDTIUJGDA-UHFFFAOYSA-N 0 0 428.559 -0.359 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c2)n[nH]1 ZINC000606092449 1072365213 /nfs/dbraw/zinc/36/52/13/1072365213.db2.gz AZDMTCCTTUTBFJ-UHFFFAOYSA-N 0 0 435.510 -0.588 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000606092616 1072365274 /nfs/dbraw/zinc/36/52/74/1072365274.db2.gz KGLCTRPVKJBZCB-UHFFFAOYSA-N 0 0 439.473 -0.962 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000606093145 1072365126 /nfs/dbraw/zinc/36/51/26/1072365126.db2.gz QQRPPPDRTDBQOU-UHFFFAOYSA-N 0 0 440.526 -0.540 20 0 IBADRN CC(=O)N(C)c1ccccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000606093491 1072365177 /nfs/dbraw/zinc/36/51/77/1072365177.db2.gz XSCYVSUAZFVCPJ-UHFFFAOYSA-N 0 0 425.511 -0.699 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)n(C)n1 ZINC000606094065 1072365205 /nfs/dbraw/zinc/36/52/05/1072365205.db2.gz VSSRZFBRERMLOD-UHFFFAOYSA-N 0 0 434.522 -0.080 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CC2)cc1 ZINC000606094843 1072365237 /nfs/dbraw/zinc/36/52/37/1072365237.db2.gz MKJIPRFJCJFPEQ-UHFFFAOYSA-N 0 0 449.464 -0.141 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1OC ZINC000606094935 1072365252 /nfs/dbraw/zinc/36/52/52/1072365252.db2.gz QGMFBSQUVSHFQC-AWEZNQCLSA-N 0 0 442.494 -0.241 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1OC ZINC000606094936 1072365315 /nfs/dbraw/zinc/36/53/15/1072365315.db2.gz QGMFBSQUVSHFQC-CQSZACIVSA-N 0 0 442.494 -0.241 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cccc(S(N)(=O)=O)c3)CC2)cc1 ZINC000606094954 1072365164 /nfs/dbraw/zinc/36/51/64/1072365164.db2.gz OQXNQRTXFDQZCY-UHFFFAOYSA-N 0 0 431.474 -0.280 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c1C ZINC000606095648 1072365141 /nfs/dbraw/zinc/36/51/41/1072365141.db2.gz ZBVZJQVSWJQVFR-AWEZNQCLSA-N 0 0 434.478 -0.455 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c1C ZINC000606095651 1072365153 /nfs/dbraw/zinc/36/51/53/1072365153.db2.gz ZBVZJQVSWJQVFR-CQSZACIVSA-N 0 0 434.478 -0.455 20 0 IBADRN O=C(NC[C@H](O)[C@@H]1CCOC1)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000606098977 1072365293 /nfs/dbraw/zinc/36/52/93/1072365293.db2.gz OVHCTWULQJEMCQ-APWZRJJASA-N 0 0 444.488 -0.048 20 0 IBADRN O=C(NC[C@H](O)[C@H]1CCOC1)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000606098978 1072365307 /nfs/dbraw/zinc/36/53/07/1072365307.db2.gz OVHCTWULQJEMCQ-LPHOPBHVSA-N 0 0 444.488 -0.048 20 0 IBADRN O=C(NC[C@@H](O)[C@H]1CCOC1)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000606098979 1072365582 /nfs/dbraw/zinc/36/55/82/1072365582.db2.gz OVHCTWULQJEMCQ-QFBILLFUSA-N 0 0 444.488 -0.048 20 0 IBADRN O=C(NC[C@@H](O)[C@@H]1CCOC1)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000606098980 1072365558 /nfs/dbraw/zinc/36/55/58/1072365558.db2.gz OVHCTWULQJEMCQ-VQIMIIECSA-N 0 0 444.488 -0.048 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCOCCO ZINC000606100760 1072365567 /nfs/dbraw/zinc/36/55/67/1072365567.db2.gz JGYGTERCPSKJKZ-UHFFFAOYSA-N 0 0 445.494 -0.830 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606101300 1072365697 /nfs/dbraw/zinc/36/56/97/1072365697.db2.gz GMLYIWAXNZNGFZ-GOSISDBHSA-N 0 0 435.477 -0.191 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606101303 1072365633 /nfs/dbraw/zinc/36/56/33/1072365633.db2.gz GMLYIWAXNZNGFZ-SFHVURJKSA-N 0 0 435.477 -0.191 20 0 IBADRN COCCOCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000606101338 1072365590 /nfs/dbraw/zinc/36/55/90/1072365590.db2.gz JCKWUBJPUUMGFC-UHFFFAOYSA-N 0 0 429.495 -0.185 20 0 IBADRN COCCOCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000606101386 1072365630 /nfs/dbraw/zinc/36/56/30/1072365630.db2.gz NHPCYKKQUJSWCF-UHFFFAOYSA-N 0 0 429.495 -0.185 20 0 IBADRN COCCOCCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000606101428 1072365727 /nfs/dbraw/zinc/36/57/27/1072365727.db2.gz SNMLNVIDZIDXCF-UHFFFAOYSA-N 0 0 442.538 -0.270 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC3(O)CCCC3)cn2)CC1 ZINC000606101938 1072365649 /nfs/dbraw/zinc/36/56/49/1072365649.db2.gz DCJHFJGWRADHIB-UHFFFAOYSA-N 0 0 425.511 -0.477 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCOCCO)cc1S(=O)(=O)N1CCCCC1 ZINC000606102702 1072365660 /nfs/dbraw/zinc/36/56/60/1072365660.db2.gz GKKYRLGPAMDQJI-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN O=C(NCCOCCO)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000606102741 1072365736 /nfs/dbraw/zinc/36/57/36/1072365736.db2.gz IBGXRDCZLLOLOT-UHFFFAOYSA-N 0 0 435.886 -0.576 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCOCCO)c2)CC1 ZINC000606102990 1072365700 /nfs/dbraw/zinc/36/57/00/1072365700.db2.gz XXJDGQINFYPZAA-UHFFFAOYSA-N 0 0 428.511 -0.924 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCOCCO)cc1S(=O)(=O)N1CCCC1 ZINC000606103012 1072365679 /nfs/dbraw/zinc/36/56/79/1072365679.db2.gz YRWSYQYULDJCEQ-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN O=C(NCCOCCO)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000606103028 1072365642 /nfs/dbraw/zinc/36/56/42/1072365642.db2.gz ZXFSMCBUPOKMLI-UHFFFAOYSA-N 0 0 425.507 -0.125 20 0 IBADRN O=C(NCCc1ccc(O)cc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000606103675 1072365619 /nfs/dbraw/zinc/36/56/19/1072365619.db2.gz AHUDWEZOFINSGG-UHFFFAOYSA-N 0 0 446.485 -0.190 20 0 IBADRN COc1cc(CCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)ccc1O ZINC000606103790 1072365550 /nfs/dbraw/zinc/36/55/50/1072365550.db2.gz ZPYLVYYEPHBERP-UHFFFAOYSA-N 0 0 427.417 -0.356 20 0 IBADRN O=C(NCCNc1cnccn1)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000606104961 1072366192 /nfs/dbraw/zinc/36/61/92/1072366192.db2.gz DFQQEHJBJOSUSB-UHFFFAOYSA-N 0 0 441.492 -0.295 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCCNc2cnccn2)cc1 ZINC000606104969 1072366123 /nfs/dbraw/zinc/36/61/23/1072366123.db2.gz DVYZRVNMIWYTBC-UHFFFAOYSA-N 0 0 427.465 -0.086 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCNc1cnccn1 ZINC000606105007 1073309707 /nfs/dbraw/zinc/30/97/07/1073309707.db2.gz IDKUAAIFIFSRGH-UHFFFAOYSA-N 0 0 435.510 -0.040 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCNc3cnccn3)cn2)CC1 ZINC000606105029 1074355178 /nfs/dbraw/zinc/35/51/78/1074355178.db2.gz JXHLSJIONWIDGC-UHFFFAOYSA-N 0 0 448.509 -0.880 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCNc3cnccn3)c2)CC1 ZINC000606105053 1073312401 /nfs/dbraw/zinc/31/24/01/1073312401.db2.gz LJUBBDBYPFKKMJ-UHFFFAOYSA-N 0 0 447.521 -0.421 20 0 IBADRN O=C(NCCNc1cnccn1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000606105078 1073325961 /nfs/dbraw/zinc/32/59/61/1073325961.db2.gz OGJHRDJXCAKRRL-UHFFFAOYSA-N 0 0 434.478 -0.336 20 0 IBADRN O=C(NCCNc1cnccn1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606105242 1072366032 /nfs/dbraw/zinc/36/60/32/1072366032.db2.gz JXXUEKYQGIBKBO-KRWDZBQOSA-N 0 0 440.460 -0.342 20 0 IBADRN O=C(NCCNc1cnccn1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606105243 1073325919 /nfs/dbraw/zinc/32/59/19/1073325919.db2.gz JXXUEKYQGIBKBO-QGZVFWFLSA-N 0 0 440.460 -0.342 20 0 IBADRN O=C(NCCNc1cnccn1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000606105538 1072366231 /nfs/dbraw/zinc/36/62/31/1072366231.db2.gz SNHFHNDSVUKPOQ-UHFFFAOYSA-N 0 0 434.478 -0.336 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000606108340 1072366079 /nfs/dbraw/zinc/36/60/79/1072366079.db2.gz DKDUKOVWLWWJSJ-UHFFFAOYSA-N 0 0 437.522 -0.293 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000606108341 1072366069 /nfs/dbraw/zinc/36/60/69/1072366069.db2.gz DMDFYRTWMVDACM-INIZCTEOSA-N 0 0 438.506 -0.412 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000606108342 1072366204 /nfs/dbraw/zinc/36/62/04/1072366204.db2.gz DMDFYRTWMVDACM-MRXNPFEDSA-N 0 0 438.506 -0.412 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)cc1 ZINC000606108445 1072366540 /nfs/dbraw/zinc/36/65/40/1072366540.db2.gz KEAAEEDUTVEMEI-UHFFFAOYSA-N 0 0 434.540 -0.160 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCCS(C)(=O)=O ZINC000606108516 1072366269 /nfs/dbraw/zinc/36/62/69/1072366269.db2.gz CUHIRIZSWVBQCZ-UHFFFAOYSA-N 0 0 433.508 -0.375 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCS(C)(=O)=O)cc2)CC1 ZINC000606108529 1072366278 /nfs/dbraw/zinc/36/62/78/1072366278.db2.gz DXBAPIXVKWVRJM-UHFFFAOYSA-N 0 0 446.551 -0.888 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCS(C)(=O)=O)c2)CC1 ZINC000606108901 1072366262 /nfs/dbraw/zinc/36/62/62/1072366262.db2.gz XLSLZALGLJIYMZ-UHFFFAOYSA-N 0 0 446.551 -0.888 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NCCCS(N)(=O)=O ZINC000606108976 1072366148 /nfs/dbraw/zinc/36/61/48/1072366148.db2.gz SDVBUABBINOLHV-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN Cc1cc(C(=O)N2CCSCC2)ccc1NC(=O)C(=O)NCCCS(N)(=O)=O ZINC000606108992 1072366213 /nfs/dbraw/zinc/36/62/13/1072366213.db2.gz VILJJBXNGTWWMT-UHFFFAOYSA-N 0 0 428.536 -0.083 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCS(C)(=O)=O ZINC000606109200 1072366586 /nfs/dbraw/zinc/36/65/86/1072366586.db2.gz RDQHCEAJNLHELW-UHFFFAOYSA-N 0 0 434.540 -0.508 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)sc2c1 ZINC000606109420 1072366635 /nfs/dbraw/zinc/36/66/35/1072366635.db2.gz ZDCMRVCWEDWVIQ-UHFFFAOYSA-N 0 0 427.508 -0.134 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)cc2)C1 ZINC000606109456 1072366606 /nfs/dbraw/zinc/36/66/06/1072366606.db2.gz ZONNAQAARMUGHQ-CYBMUJFWSA-N 0 0 446.551 -0.160 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)cc2)C1 ZINC000606109457 1072366685 /nfs/dbraw/zinc/36/66/85/1072366685.db2.gz ZONNAQAARMUGHQ-ZDUSSCGKSA-N 0 0 446.551 -0.160 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1(O)CCC1 ZINC000606109464 1072366656 /nfs/dbraw/zinc/36/66/56/1072366656.db2.gz NGZYHPSAPHASRV-UHFFFAOYSA-N 0 0 427.479 -0.314 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000606109483 1072366578 /nfs/dbraw/zinc/36/65/78/1072366578.db2.gz CUYMZLJBTCUADO-UHFFFAOYSA-N 0 0 432.524 -0.406 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCCS(N)(=O)=O ZINC000606109509 1072366670 /nfs/dbraw/zinc/36/66/70/1072366670.db2.gz DUUBMPXAVYHEQG-UHFFFAOYSA-N 0 0 446.551 -0.097 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1Cl ZINC000606109560 1072366623 /nfs/dbraw/zinc/36/66/23/1072366623.db2.gz KAMLDGFGYUOPEE-UHFFFAOYSA-N 0 0 438.915 -0.387 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCS(N)(=O)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000606109611 1072366649 /nfs/dbraw/zinc/36/66/49/1072366649.db2.gz OKYPSEZJIFKONX-UHFFFAOYSA-N 0 0 446.551 -0.097 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCCS(N)(=O)=O ZINC000606109725 1072366663 /nfs/dbraw/zinc/36/66/63/1072366663.db2.gz VZJFTMQMRROXHV-UHFFFAOYSA-N 0 0 446.551 -0.599 20 0 IBADRN NS(=O)(=O)CCCNC(=O)C(=O)Nc1cc(Br)cnc1-n1cccn1 ZINC000606109763 1072366527 /nfs/dbraw/zinc/36/65/27/1072366527.db2.gz XELXQZKSMHBYPZ-UHFFFAOYSA-N 0 0 431.272 -0.237 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC1(O)CCC1 ZINC000606110536 1072366570 /nfs/dbraw/zinc/36/65/70/1072366570.db2.gz ZTKOTDGIRXGBAQ-UHFFFAOYSA-N 0 0 440.522 -0.399 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC3(O)CCCCC3)cn2)CC1 ZINC000606110659 1072366551 /nfs/dbraw/zinc/36/65/51/1072366551.db2.gz CCWRZCMACUTQQE-UHFFFAOYSA-N 0 0 439.538 -0.087 20 0 IBADRN O=C(NCC1(O)CCCCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000606110846 1072366559 /nfs/dbraw/zinc/36/65/59/1072366559.db2.gz VPKPEZLJOWBHGM-UHFFFAOYSA-N 0 0 438.506 -0.443 20 0 IBADRN Cc1ncc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)s1 ZINC000606110984 1072366615 /nfs/dbraw/zinc/36/66/15/1072366615.db2.gz LRVANCJGIAIVDG-UHFFFAOYSA-N 0 0 437.503 -0.173 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)N2CCCc3ccccc32)c1 ZINC000606111880 1071464521 /nfs/dbraw/zinc/46/45/21/1071464521.db2.gz QTXVWUNFPYHPPL-UHFFFAOYSA-N 0 0 437.456 -0.064 20 0 IBADRN NC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)Cc1ccc(F)cc1 ZINC000606112914 1072367079 /nfs/dbraw/zinc/36/70/79/1072367079.db2.gz OGQGNQSGHOWQDG-AWEZNQCLSA-N 0 0 442.451 -0.444 20 0 IBADRN NC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)Cc1ccc(F)cc1 ZINC000606112915 1072367088 /nfs/dbraw/zinc/36/70/88/1072367088.db2.gz OGQGNQSGHOWQDG-CQSZACIVSA-N 0 0 442.451 -0.444 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)c1 ZINC000606116030 1072367091 /nfs/dbraw/zinc/36/70/91/1072367091.db2.gz BGDQZDWWEPSYFW-UHFFFAOYSA-N 0 0 439.472 -0.046 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccc(OCCO)cc3)cnc2n(C)c1=O ZINC000606116347 1072362983 /nfs/dbraw/zinc/36/29/83/1072362983.db2.gz HIHOPUHDQDDCNR-UHFFFAOYSA-N 0 0 427.417 -0.742 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)cn1 ZINC000606117488 1072363141 /nfs/dbraw/zinc/36/31/41/1072363141.db2.gz YVDGZXLOSIOUBE-UHFFFAOYSA-N 0 0 445.501 -0.111 20 0 IBADRN CC[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000606118429 1072363040 /nfs/dbraw/zinc/36/30/40/1072363040.db2.gz XFWIKOGJFVTCLD-IBGZPJMESA-N 0 0 442.538 -0.153 20 0 IBADRN CC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000606118433 1072362996 /nfs/dbraw/zinc/36/29/96/1072362996.db2.gz XFWIKOGJFVTCLD-LJQANCHMSA-N 0 0 442.538 -0.153 20 0 IBADRN CC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000606118452 1072362847 /nfs/dbraw/zinc/36/28/47/1072362847.db2.gz YRESLFSWSBCWLS-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000606118453 1072362966 /nfs/dbraw/zinc/36/29/66/1072362966.db2.gz YRESLFSWSBCWLS-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C(NCCCNC(=O)c1cccc(O)c1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000606118976 1072363088 /nfs/dbraw/zinc/36/30/88/1072363088.db2.gz CYKGPJAGSGSMHF-UHFFFAOYSA-N 0 0 440.460 -0.402 20 0 IBADRN Cc1noc(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)n1 ZINC000606119309 1072363151 /nfs/dbraw/zinc/36/31/51/1072363151.db2.gz NIOMLMVJINVVKM-UHFFFAOYSA-N 0 0 436.494 -0.388 20 0 IBADRN Cc1noc(CCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)n1 ZINC000606119375 1072363229 /nfs/dbraw/zinc/36/32/29/1072363229.db2.gz RRXHOYWPUSXLLB-INIZCTEOSA-N 0 0 429.433 -0.310 20 0 IBADRN Cc1noc(CCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)n1 ZINC000606119376 1072363603 /nfs/dbraw/zinc/36/36/03/1072363603.db2.gz RRXHOYWPUSXLLB-MRXNPFEDSA-N 0 0 429.433 -0.310 20 0 IBADRN Cc1noc(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)n1 ZINC000606119460 1072363078 /nfs/dbraw/zinc/36/30/78/1072363078.db2.gz YHQWNZWLZNIPRI-UHFFFAOYSA-N 0 0 436.494 -0.388 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)Nc1cccnc1 ZINC000606120592 1072363611 /nfs/dbraw/zinc/36/36/11/1072363611.db2.gz QQNBBGJGWMNVHC-UHFFFAOYSA-N 0 0 447.473 -0.204 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccccc1-n1ccnn1)N1CCN(c2ncccn2)CC1 ZINC000606121166 1072363660 /nfs/dbraw/zinc/36/36/60/1072363660.db2.gz CWNYMHCLEHLXQA-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000606121181 1072363482 /nfs/dbraw/zinc/36/34/82/1072363482.db2.gz DJGVLPJOGRHCPJ-UHFFFAOYSA-N 0 0 426.481 -0.269 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(-n2cccn2)nc1)N1CCN(c2ncccn2)CC1 ZINC000606121298 1072364178 /nfs/dbraw/zinc/36/41/78/1072364178.db2.gz IJMNFFCZDZZOJK-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)c1 ZINC000606121341 1072363769 /nfs/dbraw/zinc/36/37/69/1072363769.db2.gz JWMHIXPUXPIUJM-UHFFFAOYSA-N 0 0 433.490 -0.255 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(C)(C)O ZINC000606121483 1072363449 /nfs/dbraw/zinc/36/34/49/1072363449.db2.gz PAPNIEGJIOJZJG-UHFFFAOYSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(-n2cnnn2)cc1)N1CCN(c2ccccn2)CC1 ZINC000606121806 1072363461 /nfs/dbraw/zinc/36/34/61/1072363461.db2.gz RELJQKJDHHMTEH-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000606121872 1072363758 /nfs/dbraw/zinc/36/37/58/1072363758.db2.gz LEAMIMKVJYTEQK-UHFFFAOYSA-N 0 0 443.439 -0.492 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccccc1-n1cncn1)N1CCN(c2ncccn2)CC1 ZINC000606121946 1072363654 /nfs/dbraw/zinc/36/36/54/1072363654.db2.gz OOMFECNPXXRRPM-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)cc1S(N)(=O)=O ZINC000606122004 1072363697 /nfs/dbraw/zinc/36/36/97/1072363697.db2.gz QNFVMDUIHURQCF-UHFFFAOYSA-N 0 0 433.490 -0.207 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCCCNc3ccccn3)cnc2n(C)c1=O ZINC000606122143 1072364116 /nfs/dbraw/zinc/36/41/16/1072364116.db2.gz RKRAWVHOYFYFKM-UHFFFAOYSA-N 0 0 425.449 -0.026 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(-n2ccnn2)cc1)N1CCN(c2ncccn2)CC1 ZINC000606122591 1072364370 /nfs/dbraw/zinc/36/43/70/1072364370.db2.gz TUQRLMWEOROHFW-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000606122595 1072364202 /nfs/dbraw/zinc/36/42/02/1072364202.db2.gz UDZZSFVTKXURKR-UHFFFAOYSA-N 0 0 439.476 -0.322 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c(OC)n1 ZINC000606122612 1072364135 /nfs/dbraw/zinc/36/41/35/1072364135.db2.gz UOYSPRGMBVUMLN-UHFFFAOYSA-N 0 0 443.464 -0.318 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000606122662 1072364107 /nfs/dbraw/zinc/36/41/07/1072364107.db2.gz WJEYXDUQFQKNJO-UHFFFAOYSA-N 0 0 439.476 -0.370 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)cc1 ZINC000606122698 1072364096 /nfs/dbraw/zinc/36/40/96/1072364096.db2.gz YQFDXMLSMKFZBT-UHFFFAOYSA-N 0 0 427.461 -0.038 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC(C)(C)O ZINC000606122800 1072364381 /nfs/dbraw/zinc/36/43/81/1072364381.db2.gz NVKXJDWLMSMVRJ-UHFFFAOYSA-N 0 0 428.511 -0.543 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(-c2nnnn2C2CC2)c1 ZINC000606123339 1072364718 /nfs/dbraw/zinc/36/47/18/1072364718.db2.gz AAQYXEQNZOPCQJ-HNNXBMFYSA-N 0 0 440.464 -0.533 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(-c2nnnn2C2CC2)c1 ZINC000606123346 1072364772 /nfs/dbraw/zinc/36/47/72/1072364772.db2.gz AAQYXEQNZOPCQJ-OAHLLOKOSA-N 0 0 440.464 -0.533 20 0 IBADRN CCN(CC)C(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC000606123504 1072364213 /nfs/dbraw/zinc/36/42/13/1072364213.db2.gz ATJOCAHWUWPAFG-INIZCTEOSA-N 0 0 431.493 -0.040 20 0 IBADRN CCN(CC)C(=O)c1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC000606123514 1072364796 /nfs/dbraw/zinc/36/47/96/1072364796.db2.gz ATJOCAHWUWPAFG-MRXNPFEDSA-N 0 0 431.493 -0.040 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)c(C(=O)NC(C)C)c1 ZINC000606123692 1072364358 /nfs/dbraw/zinc/36/43/58/1072364358.db2.gz DAGXDLDXUBVYNM-INIZCTEOSA-N 0 0 431.493 -0.075 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)c(C(=O)NC(C)C)c1 ZINC000606123696 1072364189 /nfs/dbraw/zinc/36/41/89/1072364189.db2.gz DAGXDLDXUBVYNM-MRXNPFEDSA-N 0 0 431.493 -0.075 20 0 IBADRN CCN(CC)C(=O)Cc1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC000606123721 1072364055 /nfs/dbraw/zinc/36/40/55/1072364055.db2.gz DWMYKNRHNUMXOH-KRWDZBQOSA-N 0 0 445.520 -0.111 20 0 IBADRN CCN(CC)C(=O)Cc1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC000606123725 1072364347 /nfs/dbraw/zinc/36/43/47/1072364347.db2.gz DWMYKNRHNUMXOH-QGZVFWFLSA-N 0 0 445.520 -0.111 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OC)c(OCCOC)c1 ZINC000606124127 1072364783 /nfs/dbraw/zinc/36/47/83/1072364783.db2.gz FMGKACLTNUSVDB-AWEZNQCLSA-N 0 0 436.465 -0.488 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OC)c(OCCOC)c1 ZINC000606124129 1072364851 /nfs/dbraw/zinc/36/48/51/1072364851.db2.gz FMGKACLTNUSVDB-CQSZACIVSA-N 0 0 436.465 -0.488 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(Cl)c1-n1cncn1 ZINC000606124200 1072364708 /nfs/dbraw/zinc/36/47/08/1072364708.db2.gz GDSIVLYCZZVWGK-CYBMUJFWSA-N 0 0 433.856 -0.288 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(Cl)c1-n1cncn1 ZINC000606124203 1072364807 /nfs/dbraw/zinc/36/48/07/1072364807.db2.gz GDSIVLYCZZVWGK-ZDUSSCGKSA-N 0 0 433.856 -0.288 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(CN2CCCCC2=O)c1 ZINC000606124213 1072364661 /nfs/dbraw/zinc/36/46/61/1072364661.db2.gz GJARMFSDPMDOCT-KRWDZBQOSA-N 0 0 443.504 -0.009 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(CN2CCCCC2=O)c1 ZINC000606124220 1072364740 /nfs/dbraw/zinc/36/47/40/1072364740.db2.gz GJARMFSDPMDOCT-QGZVFWFLSA-N 0 0 443.504 -0.009 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc([C@@H](C)N2CCOCC2)cc1 ZINC000606124257 1072366048 /nfs/dbraw/zinc/36/60/48/1072366048.db2.gz IXTJAOWWWDBLMG-CRAIPNDOSA-N 0 0 445.520 -0.129 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc([C@H](C)N2CCOCC2)cc1 ZINC000606124259 1072366241 /nfs/dbraw/zinc/36/62/41/1072366241.db2.gz IXTJAOWWWDBLMG-MAUKXSAKSA-N 0 0 445.520 -0.129 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc([C@@H](C)N2CCOCC2)cc1 ZINC000606124261 1072366176 /nfs/dbraw/zinc/36/61/76/1072366176.db2.gz IXTJAOWWWDBLMG-QAPCUYQASA-N 0 0 445.520 -0.129 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc([C@H](C)N2CCOCC2)cc1 ZINC000606124263 1072366097 /nfs/dbraw/zinc/36/60/97/1072366097.db2.gz IXTJAOWWWDBLMG-YJBOKZPZSA-N 0 0 445.520 -0.129 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1-n1c(C)c[nH]c1=O ZINC000606124304 1072366515 /nfs/dbraw/zinc/36/65/15/1072366515.db2.gz JUCURFDGZMSTKB-HNNXBMFYSA-N 0 0 428.449 -0.322 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)NCC(C)C)cc1 ZINC000606124305 1072366597 /nfs/dbraw/zinc/36/65/97/1072366597.db2.gz HKFXEKCCZCNBJI-INIZCTEOSA-N 0 0 431.493 -0.136 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1-n1c(C)c[nH]c1=O ZINC000606124306 1072366640 /nfs/dbraw/zinc/36/66/40/1072366640.db2.gz JUCURFDGZMSTKB-OAHLLOKOSA-N 0 0 428.449 -0.322 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)NCC(C)C)cc1 ZINC000606124308 1072367034 /nfs/dbraw/zinc/36/70/34/1072367034.db2.gz HKFXEKCCZCNBJI-MRXNPFEDSA-N 0 0 431.493 -0.136 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OC)c(N2CCCC2=O)c1 ZINC000606124311 1072367082 /nfs/dbraw/zinc/36/70/82/1072367082.db2.gz JVXLZEOKOPGKKS-AWEZNQCLSA-N 0 0 445.476 -0.386 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OC)c(N2CCCC2=O)c1 ZINC000606124315 1072367038 /nfs/dbraw/zinc/36/70/38/1072367038.db2.gz JVXLZEOKOPGKKS-CQSZACIVSA-N 0 0 445.476 -0.386 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCc3cccc(CO)c3)cn2)CC1 ZINC000606124517 1072365655 /nfs/dbraw/zinc/36/56/55/1072365655.db2.gz JRIIIEFGNNBGFP-UHFFFAOYSA-N 0 0 447.517 -0.090 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CN(C(C)=O)C2CC2)cc1 ZINC000606124638 1072365197 /nfs/dbraw/zinc/36/51/97/1072365197.db2.gz LCBOCCUKERVTIM-GOSISDBHSA-N 0 0 443.504 -0.011 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CN(C(C)=O)C2CC2)cc1 ZINC000606124641 1071464335 /nfs/dbraw/zinc/46/43/35/1071464335.db2.gz LCBOCCUKERVTIM-SFHVURJKSA-N 0 0 443.504 -0.011 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC)C(C)=O ZINC000606124681 1072365229 /nfs/dbraw/zinc/36/52/29/1072365229.db2.gz LRMBOSRLUIJTEH-KRWDZBQOSA-N 0 0 431.493 -0.153 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC)C(C)=O ZINC000606124687 1072364764 /nfs/dbraw/zinc/36/47/64/1072364764.db2.gz LRMBOSRLUIJTEH-QGZVFWFLSA-N 0 0 431.493 -0.153 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1 ZINC000606124726 1072364675 /nfs/dbraw/zinc/36/46/75/1072364675.db2.gz MVLPVTVVYXCWHQ-HNNXBMFYSA-N 0 0 427.465 -0.243 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1 ZINC000606124728 1072364818 /nfs/dbraw/zinc/36/48/18/1072364818.db2.gz MVLPVTVVYXCWHQ-OAHLLOKOSA-N 0 0 427.465 -0.243 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(N2CCCCC2=O)c1 ZINC000606124784 1072364756 /nfs/dbraw/zinc/36/47/56/1072364756.db2.gz KOBJVKINJOCILE-INIZCTEOSA-N 0 0 429.477 -0.005 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(N2CCCCC2=O)c1 ZINC000606124786 1072365221 /nfs/dbraw/zinc/36/52/21/1072365221.db2.gz KOBJVKINJOCILE-MRXNPFEDSA-N 0 0 429.477 -0.005 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C(=O)NC2CC2)ccc1C ZINC000606124796 1072365283 /nfs/dbraw/zinc/36/52/83/1072365283.db2.gz KUEPNHQWKNVYLL-INIZCTEOSA-N 0 0 429.477 -0.321 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C(=O)NC2CC2)ccc1C ZINC000606124797 1072365245 /nfs/dbraw/zinc/36/52/45/1072365245.db2.gz KUEPNHQWKNVYLL-MRXNPFEDSA-N 0 0 429.477 -0.321 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc2cnn(CCOC)c2c1 ZINC000606125003 1072364864 /nfs/dbraw/zinc/36/48/64/1072364864.db2.gz LEVICDLLFGAKDC-HNNXBMFYSA-N 0 0 430.465 -0.526 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc2cnn(CCOC)c2c1 ZINC000606125007 1072364841 /nfs/dbraw/zinc/36/48/41/1072364841.db2.gz LEVICDLLFGAKDC-OAHLLOKOSA-N 0 0 430.465 -0.526 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1C ZINC000606125008 1072364831 /nfs/dbraw/zinc/36/48/31/1072364831.db2.gz LGKKEOJVJFISRV-KRWDZBQOSA-N 0 0 431.493 -0.377 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1C ZINC000606125010 1072364697 /nfs/dbraw/zinc/36/46/97/1072364697.db2.gz LGKKEOJVJFISRV-QGZVFWFLSA-N 0 0 431.493 -0.377 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1CN1CCOCC1 ZINC000606125019 1072364690 /nfs/dbraw/zinc/36/46/90/1072364690.db2.gz LPDZHLNJVZARLW-KRWDZBQOSA-N 0 0 431.493 -0.690 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1CN1CCOCC1 ZINC000606125020 1072364652 /nfs/dbraw/zinc/36/46/52/1072364652.db2.gz LPDZHLNJVZARLW-QGZVFWFLSA-N 0 0 431.493 -0.690 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000606125118 1072364733 /nfs/dbraw/zinc/36/47/33/1072364733.db2.gz UABHOTNGXPRSDO-CYBMUJFWSA-N 0 0 446.493 -0.824 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(-n2nnnc2SC)c1 ZINC000606125122 1072364145 /nfs/dbraw/zinc/36/41/45/1072364145.db2.gz UABHOTNGXPRSDO-ZDUSSCGKSA-N 0 0 446.493 -0.824 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc([C@@H](C)N2CCOCC2)c1 ZINC000606125194 1072368483 /nfs/dbraw/zinc/36/84/83/1072368483.db2.gz OMELRDYUUJOCPP-CRAIPNDOSA-N 0 0 445.520 -0.129 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc([C@H](C)N2CCOCC2)c1 ZINC000606125196 1072368352 /nfs/dbraw/zinc/36/83/52/1072368352.db2.gz OMELRDYUUJOCPP-MAUKXSAKSA-N 0 0 445.520 -0.129 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc([C@@H](C)N2CCOCC2)c1 ZINC000606125197 1072368563 /nfs/dbraw/zinc/36/85/63/1072368563.db2.gz OMELRDYUUJOCPP-QAPCUYQASA-N 0 0 445.520 -0.129 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc([C@H](C)N2CCOCC2)c1 ZINC000606125198 1072368397 /nfs/dbraw/zinc/36/83/97/1072368397.db2.gz OMELRDYUUJOCPP-YJBOKZPZSA-N 0 0 445.520 -0.129 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCc2nc(C)no2)cc1 ZINC000606125487 1072368303 /nfs/dbraw/zinc/36/83/03/1072368303.db2.gz QVVNPAZTSUUQGU-HNNXBMFYSA-N 0 0 444.448 -0.251 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCc2nc(C)no2)cc1 ZINC000606125488 1072368553 /nfs/dbraw/zinc/36/85/53/1072368553.db2.gz QVVNPAZTSUUQGU-OAHLLOKOSA-N 0 0 444.448 -0.251 20 0 IBADRN O=C(NCCn1cncn1)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000606125586 1072368508 /nfs/dbraw/zinc/36/85/08/1072368508.db2.gz FHRAUNQWVIMWPW-UHFFFAOYSA-N 0 0 442.885 -0.293 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)NC(C)C)cc1C ZINC000606125702 1072368594 /nfs/dbraw/zinc/36/85/94/1072368594.db2.gz SKNANFRNOITYCF-INIZCTEOSA-N 0 0 431.493 -0.075 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)NC(C)C)cc1C ZINC000606125703 1072368383 /nfs/dbraw/zinc/36/83/83/1072368383.db2.gz SKNANFRNOITYCF-MRXNPFEDSA-N 0 0 431.493 -0.075 20 0 IBADRN CCN(Cc1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1)C(C)=O ZINC000606125742 1072367948 /nfs/dbraw/zinc/36/79/48/1072367948.db2.gz UCYSSRMRBJLGNQ-KRWDZBQOSA-N 0 0 431.493 -0.153 20 0 IBADRN CCN(Cc1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1)C(C)=O ZINC000606125743 1072367829 /nfs/dbraw/zinc/36/78/29/1072367829.db2.gz UCYSSRMRBJLGNQ-QGZVFWFLSA-N 0 0 431.493 -0.153 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc2c(cc1SC)OCCO2 ZINC000606125772 1072367936 /nfs/dbraw/zinc/36/79/36/1072367936.db2.gz VLXXBFKISJKJSC-GFCCVEGCSA-N 0 0 436.490 -0.029 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc2c(cc1SC)OCCO2 ZINC000606125773 1072367897 /nfs/dbraw/zinc/36/78/97/1072367897.db2.gz VLXXBFKISJKJSC-LBPRGKRZSA-N 0 0 436.490 -0.029 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCCC2=O)c(OC)c1 ZINC000606125892 1072367955 /nfs/dbraw/zinc/36/79/55/1072367955.db2.gz WIGVAZZPLVJSNF-HNNXBMFYSA-N 0 0 445.476 -0.386 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCCC2=O)c(OC)c1 ZINC000606125893 1072367923 /nfs/dbraw/zinc/36/79/23/1072367923.db2.gz WIGVAZZPLVJSNF-OAHLLOKOSA-N 0 0 445.476 -0.386 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCC(F)(F)F)nc1 ZINC000606125906 1072367859 /nfs/dbraw/zinc/36/78/59/1072367859.db2.gz WWFORODSYJQNIG-LLVKDONJSA-N 0 0 431.371 -0.186 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCC(F)(F)F)nc1 ZINC000606125907 1072367818 /nfs/dbraw/zinc/36/78/18/1072367818.db2.gz WWFORODSYJQNIG-NSHDSACASA-N 0 0 431.371 -0.186 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCCC2=O)c(F)c1 ZINC000606125944 1072367787 /nfs/dbraw/zinc/36/77/87/1072367787.db2.gz ZLTZROMWWQUUIJ-HNNXBMFYSA-N 0 0 433.440 -0.256 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCCC2=O)c(F)c1 ZINC000606125945 1072367969 /nfs/dbraw/zinc/36/79/69/1072367969.db2.gz ZLTZROMWWQUUIJ-OAHLLOKOSA-N 0 0 433.440 -0.256 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)c2nccn2C)cc1 ZINC000606125960 1072367892 /nfs/dbraw/zinc/36/78/92/1072367892.db2.gz ZTBVRPWNPLYOFW-HNNXBMFYSA-N 0 0 440.460 -0.557 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)c2nccn2C)cc1 ZINC000606125961 1072367800 /nfs/dbraw/zinc/36/78/00/1072367800.db2.gz ZTBVRPWNPLYOFW-OAHLLOKOSA-N 0 0 440.460 -0.557 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CC(=O)NC(C)C)cc1 ZINC000606125968 1072367880 /nfs/dbraw/zinc/36/78/80/1072367880.db2.gz ZWIHDTCLLXXBFN-INIZCTEOSA-N 0 0 431.493 -0.455 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CC(=O)NC(C)C)cc1 ZINC000606125969 1072368005 /nfs/dbraw/zinc/36/80/05/1072368005.db2.gz ZWIHDTCLLXXBFN-MRXNPFEDSA-N 0 0 431.493 -0.455 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCn3cncn3)c2)CC1 ZINC000606126162 1072368252 /nfs/dbraw/zinc/36/82/52/1072368252.db2.gz TYJHKMJSVDWQHB-UHFFFAOYSA-N 0 0 435.510 -0.641 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCn3cncn3)c2)CC1 ZINC000606126233 1072368291 /nfs/dbraw/zinc/36/82/91/1072368291.db2.gz YALLHMHXERNKPR-UHFFFAOYSA-N 0 0 435.510 -0.641 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCCN3C(=O)CCC3=O)cc2)CC1 ZINC000606126356 1072368463 /nfs/dbraw/zinc/36/84/63/1072368463.db2.gz ALMUJHQOPKWYPL-UHFFFAOYSA-N 0 0 443.504 -0.403 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCN1C(=O)CCC1=O ZINC000606126479 1072368520 /nfs/dbraw/zinc/36/85/20/1072368520.db2.gz FWOMGYJNHIFNTQ-UHFFFAOYSA-N 0 0 440.478 -0.461 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000606126903 1072368311 /nfs/dbraw/zinc/36/83/11/1072368311.db2.gz UEZYBZYLWQMGDV-UHFFFAOYSA-N 0 0 440.453 -0.041 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCCCN2C(=O)CCC2=O)CC1 ZINC000606126942 1072368327 /nfs/dbraw/zinc/36/83/27/1072368327.db2.gz WNFOEXLMWCQVKS-UHFFFAOYSA-N 0 0 429.477 -0.332 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCCN3C(=O)CCC3=O)cc2)CC1 ZINC000606127501 1072368938 /nfs/dbraw/zinc/36/89/38/1072368938.db2.gz OJWRGUXHLZUKLW-UHFFFAOYSA-N 0 0 429.477 -0.332 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCN2C(=O)CCC2=O)c2ccccc2O1 ZINC000606127532 1072368957 /nfs/dbraw/zinc/36/89/57/1072368957.db2.gz QJTLOQOYCOQBSU-INIZCTEOSA-N 0 0 430.461 -0.038 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCCN2C(=O)CCC2=O)c2ccccc2O1 ZINC000606127533 1072368924 /nfs/dbraw/zinc/36/89/24/1072368924.db2.gz QJTLOQOYCOQBSU-MRXNPFEDSA-N 0 0 430.461 -0.038 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000606129292 1072368980 /nfs/dbraw/zinc/36/89/80/1072368980.db2.gz NUKWLMDNTVREOD-KRWDZBQOSA-N 0 0 449.913 -0.187 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000606129293 1072368916 /nfs/dbraw/zinc/36/89/16/1072368916.db2.gz NUKWLMDNTVREOD-QGZVFWFLSA-N 0 0 449.913 -0.187 20 0 IBADRN CCC[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000606129801 1072369009 /nfs/dbraw/zinc/36/90/09/1072369009.db2.gz HCNRJFHNABHVKC-GOSISDBHSA-N 0 0 426.495 -0.587 20 0 IBADRN CCC[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000606129805 1072368831 /nfs/dbraw/zinc/36/88/31/1072368831.db2.gz HCNRJFHNABHVKC-SFHVURJKSA-N 0 0 426.495 -0.587 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000606129909 1072368806 /nfs/dbraw/zinc/36/88/06/1072368806.db2.gz WFVHYGZCCRAABM-GOSISDBHSA-N 0 0 428.511 -0.925 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000606129919 1072368844 /nfs/dbraw/zinc/36/88/44/1072368844.db2.gz WFVHYGZCCRAABM-SFHVURJKSA-N 0 0 428.511 -0.925 20 0 IBADRN CCC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000606130586 1072368873 /nfs/dbraw/zinc/36/88/73/1072368873.db2.gz NWNIIIAHJXRHTE-GOSISDBHSA-N 0 0 427.527 -0.231 20 0 IBADRN CCC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000606130587 1072368906 /nfs/dbraw/zinc/36/89/06/1072368906.db2.gz NWNIIIAHJXRHTE-SFHVURJKSA-N 0 0 427.527 -0.231 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000606130651 1072369054 /nfs/dbraw/zinc/36/90/54/1072369054.db2.gz MQRPITYBCOHAHN-NRFANRHFSA-N 0 0 436.509 -0.075 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000606130653 1072368881 /nfs/dbraw/zinc/36/88/81/1072368881.db2.gz MQRPITYBCOHAHN-OAQYLSRUSA-N 0 0 436.509 -0.075 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000606130686 1072368816 /nfs/dbraw/zinc/36/88/16/1072368816.db2.gz NHWHKHRNWWOTCU-GOSISDBHSA-N 0 0 429.495 -0.450 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000606130689 1072368855 /nfs/dbraw/zinc/36/88/55/1072368855.db2.gz NHWHKHRNWWOTCU-SFHVURJKSA-N 0 0 429.495 -0.450 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C1CC1 ZINC000606131109 1072368997 /nfs/dbraw/zinc/36/89/97/1072368997.db2.gz TUURZFJERYNLLG-GOSISDBHSA-N 0 0 425.511 -0.621 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C1CC1 ZINC000606131112 1072368968 /nfs/dbraw/zinc/36/89/68/1072368968.db2.gz TUURZFJERYNLLG-SFHVURJKSA-N 0 0 425.511 -0.621 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606131126 1072368796 /nfs/dbraw/zinc/36/87/96/1072368796.db2.gz PBXRAQZGXCTKMI-DYESRHJHSA-N 0 0 435.477 -0.457 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606131130 1072369243 /nfs/dbraw/zinc/36/92/43/1072369243.db2.gz PBXRAQZGXCTKMI-LAUBAEHRSA-N 0 0 435.477 -0.457 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606131132 1072369485 /nfs/dbraw/zinc/36/94/85/1072369485.db2.gz PBXRAQZGXCTKMI-UTKZUKDTSA-N 0 0 435.477 -0.457 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606131134 1072369359 /nfs/dbraw/zinc/36/93/59/1072369359.db2.gz PBXRAQZGXCTKMI-UWJYYQICSA-N 0 0 435.477 -0.457 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000606131293 1072369333 /nfs/dbraw/zinc/36/93/33/1072369333.db2.gz VHAJKQIPJLIJQU-GOSISDBHSA-N 0 0 429.495 -0.022 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000606131294 1072369423 /nfs/dbraw/zinc/36/94/23/1072369423.db2.gz VHAJKQIPJLIJQU-SFHVURJKSA-N 0 0 429.495 -0.022 20 0 IBADRN CC(C)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000606131421 1072369345 /nfs/dbraw/zinc/36/93/45/1072369345.db2.gz JEFFBCACIWHVFO-GOSISDBHSA-N 0 0 427.527 -0.375 20 0 IBADRN CC(C)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000606131423 1072369401 /nfs/dbraw/zinc/36/94/01/1072369401.db2.gz JEFFBCACIWHVFO-SFHVURJKSA-N 0 0 427.527 -0.375 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000606132203 1072369258 /nfs/dbraw/zinc/36/92/58/1072369258.db2.gz IHIPYLMRQXTLAN-UHFFFAOYSA-N 0 0 436.428 -0.252 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000606132360 1072369389 /nfs/dbraw/zinc/36/93/89/1072369389.db2.gz JAZOVUALFSEWLD-NRFANRHFSA-N 0 0 432.477 -0.048 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000606132361 1072369414 /nfs/dbraw/zinc/36/94/14/1072369414.db2.gz JAZOVUALFSEWLD-OAQYLSRUSA-N 0 0 432.477 -0.048 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000606132914 1072369271 /nfs/dbraw/zinc/36/92/71/1072369271.db2.gz DRSPWOUOMJFXBM-UHFFFAOYSA-N 0 0 438.462 -0.660 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@](C)(O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000606132929 1072369478 /nfs/dbraw/zinc/36/94/78/1072369478.db2.gz NAEOHNAFBNPZAZ-IBGZPJMESA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@](C)(O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000606132930 1072369368 /nfs/dbraw/zinc/36/93/68/1072369368.db2.gz NAEOHNAFBNPZAZ-LJQANCHMSA-N 0 0 441.506 -0.068 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC000606133024 1072369314 /nfs/dbraw/zinc/36/93/14/1072369314.db2.gz XJJSBXMTOHECKC-FQEVSTJZSA-N 0 0 440.472 -0.061 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCN3C(=O)CCC3=O)cc2)CC1 ZINC000606133029 1072369283 /nfs/dbraw/zinc/36/92/83/1072369283.db2.gz IVCJOOHVCKQGCO-UHFFFAOYSA-N 0 0 429.477 -0.332 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC000606133033 1072369458 /nfs/dbraw/zinc/36/94/58/1072369458.db2.gz XJJSBXMTOHECKC-HXUWFJFHSA-N 0 0 440.472 -0.061 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000606133207 1071464396 /nfs/dbraw/zinc/46/43/96/1071464396.db2.gz KFWDIFDZECQFML-UHFFFAOYSA-N 0 0 439.494 -0.793 20 0 IBADRN COCC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000606134063 1072369435 /nfs/dbraw/zinc/36/94/35/1072369435.db2.gz GSXKVJMZWSTIJD-GOSISDBHSA-N 0 0 447.485 -0.311 20 0 IBADRN COCC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000606134067 1072369303 /nfs/dbraw/zinc/36/93/03/1072369303.db2.gz GSXKVJMZWSTIJD-SFHVURJKSA-N 0 0 447.485 -0.311 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000606134072 1072369379 /nfs/dbraw/zinc/36/93/79/1072369379.db2.gz LFTQSVDIXCNISA-UHFFFAOYSA-N 0 0 426.426 -0.431 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCN3C(=O)CCC3=O)cc2)CC1 ZINC000606134159 1072367010 /nfs/dbraw/zinc/36/70/10/1072367010.db2.gz MGCCOAOYDGQYSU-UHFFFAOYSA-N 0 0 429.477 -0.793 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)cc1 ZINC000606134228 1071464475 /nfs/dbraw/zinc/46/44/75/1071464475.db2.gz OONQPQYVCMCFEK-UHFFFAOYSA-N 0 0 448.501 -0.086 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)c1 ZINC000606134807 1072367056 /nfs/dbraw/zinc/36/70/56/1072367056.db2.gz FHGCOIRCXIASBE-UHFFFAOYSA-N 0 0 448.501 -0.086 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)cc1S(=O)(=O)N(C)C ZINC000606134893 1072367072 /nfs/dbraw/zinc/36/70/72/1072367072.db2.gz XPEQXKZAIVEQGY-UHFFFAOYSA-N 0 0 440.478 -0.461 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCN2C(=O)CCC2=O)cc1OC ZINC000606135224 1072367044 /nfs/dbraw/zinc/36/70/44/1072367044.db2.gz XIKSRQXZCOWBIU-UHFFFAOYSA-N 0 0 426.451 -0.340 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000606135269 1072366969 /nfs/dbraw/zinc/36/69/69/1072366969.db2.gz ZCQNDVYBHMDDEP-UHFFFAOYSA-N 0 0 445.476 -0.714 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000606135848 1072367094 /nfs/dbraw/zinc/36/70/94/1072367094.db2.gz ZEYHTLYEHSOABQ-UHFFFAOYSA-N 0 0 448.501 -0.038 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000606136012 1072367084 /nfs/dbraw/zinc/36/70/84/1072367084.db2.gz XTYBMIFIYGMPRN-BIENJYKASA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000606136013 1072367077 /nfs/dbraw/zinc/36/70/77/1072367077.db2.gz XTYBMIFIYGMPRN-CKFHNAJUSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000606136014 1072367052 /nfs/dbraw/zinc/36/70/52/1072367052.db2.gz XTYBMIFIYGMPRN-KSMMKXTCSA-N 0 0 443.522 -0.063 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000606136015 1072367024 /nfs/dbraw/zinc/36/70/24/1072367024.db2.gz XTYBMIFIYGMPRN-PJIJBLCYSA-N 0 0 443.522 -0.063 20 0 IBADRN Cc1ccccc1O[C@@H](C)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000606139172 1072367486 /nfs/dbraw/zinc/36/74/86/1072367486.db2.gz FZHARURYRAQZQA-HNNXBMFYSA-N 0 0 442.476 -0.246 20 0 IBADRN Cc1ccccc1O[C@H](C)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000606139173 1072367440 /nfs/dbraw/zinc/36/74/40/1072367440.db2.gz FZHARURYRAQZQA-OAHLLOKOSA-N 0 0 442.476 -0.246 20 0 IBADRN Cc1cccc(O[C@@H](C)C(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1 ZINC000606139783 1072367069 /nfs/dbraw/zinc/36/70/69/1072367069.db2.gz RUOXDTLQCCMTEH-HNNXBMFYSA-N 0 0 442.476 -0.246 20 0 IBADRN Cc1cccc(O[C@H](C)C(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1 ZINC000606139786 1072366999 /nfs/dbraw/zinc/36/69/99/1072366999.db2.gz RUOXDTLQCCMTEH-OAHLLOKOSA-N 0 0 442.476 -0.246 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](O)C1CCOCC1 ZINC000606140497 1072367478 /nfs/dbraw/zinc/36/74/78/1072367478.db2.gz IABILHOCARNEPQ-KRWDZBQOSA-N 0 0 442.538 -0.155 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](O)C1CCOCC1 ZINC000606140498 1072367504 /nfs/dbraw/zinc/36/75/04/1072367504.db2.gz IABILHOCARNEPQ-QGZVFWFLSA-N 0 0 442.538 -0.155 20 0 IBADRN O=C(NC[C@H](O)C1CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606141087 1072367376 /nfs/dbraw/zinc/36/73/76/1072367376.db2.gz QPBGUVVCXYJHDD-HKUYNNGSSA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(NC[C@@H](O)C1CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606141088 1072367303 /nfs/dbraw/zinc/36/73/03/1072367303.db2.gz QPBGUVVCXYJHDD-IEBWSBKVSA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(NC[C@@H](O)C1CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606141089 1072367283 /nfs/dbraw/zinc/36/72/83/1072367283.db2.gz QPBGUVVCXYJHDD-MJGOQNOKSA-N 0 0 447.488 -0.457 20 0 IBADRN O=C(NC[C@H](O)C1CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000606141090 1072367512 /nfs/dbraw/zinc/36/75/12/1072367512.db2.gz QPBGUVVCXYJHDD-PKOBYXMFSA-N 0 0 447.488 -0.457 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@H](O)C1CCOCC1 ZINC000606142839 1072367430 /nfs/dbraw/zinc/36/74/30/1072367430.db2.gz ZBPRWBBXJHVUAT-INIZCTEOSA-N 0 0 441.506 -0.022 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NC[C@@H](O)C1CCOCC1 ZINC000606142840 1072367365 /nfs/dbraw/zinc/36/73/65/1072367365.db2.gz ZBPRWBBXJHVUAT-MRXNPFEDSA-N 0 0 441.506 -0.022 20 0 IBADRN CS(=O)(=O)c1cccc(OCCN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000606152174 1072367415 /nfs/dbraw/zinc/36/74/15/1072367415.db2.gz HSKQJEHOFFEKIR-HNNXBMFYSA-N 0 0 425.463 -0.362 20 0 IBADRN CS(=O)(=O)c1cccc(OCCN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000606152176 1072367318 /nfs/dbraw/zinc/36/73/18/1072367318.db2.gz HSKQJEHOFFEKIR-OAHLLOKOSA-N 0 0 425.463 -0.362 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)Cn2cc(S(=O)(=O)N(C)C)cn2)c(=O)[nH]c1=O ZINC000606153369 1072367466 /nfs/dbraw/zinc/36/74/66/1072367466.db2.gz REMYIXATTSPRMG-UHFFFAOYSA-N 0 0 441.514 -0.169 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)c1 ZINC000606153421 1072367400 /nfs/dbraw/zinc/36/74/00/1072367400.db2.gz IIZCOVMLFOFKHR-UHFFFAOYSA-N 0 0 446.489 -0.493 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)c1 ZINC000606153618 1072367336 /nfs/dbraw/zinc/36/73/36/1072367336.db2.gz QMPYZYKKLTUJIK-UHFFFAOYSA-N 0 0 441.535 -0.334 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000606154076 1072367496 /nfs/dbraw/zinc/36/74/96/1072367496.db2.gz NPPUYPXNDQSGAC-KBPBESRZSA-N 0 0 434.540 -0.672 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000606154077 1072367351 /nfs/dbraw/zinc/36/73/51/1072367351.db2.gz NPPUYPXNDQSGAC-KGLIPLIRSA-N 0 0 434.540 -0.672 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000606154078 1072367386 /nfs/dbraw/zinc/36/73/86/1072367386.db2.gz NPPUYPXNDQSGAC-UONOGXRCSA-N 0 0 434.540 -0.672 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000606154079 1072367454 /nfs/dbraw/zinc/36/74/54/1072367454.db2.gz NPPUYPXNDQSGAC-ZIAGYGMSSA-N 0 0 434.540 -0.672 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)c1 ZINC000606154125 1072367518 /nfs/dbraw/zinc/36/75/18/1072367518.db2.gz JSRYPCKGSZRGSJ-UHFFFAOYSA-N 0 0 435.506 -0.078 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)Cn1cc(S(=O)(=O)N(C)C)cn1 ZINC000606154332 1072367838 /nfs/dbraw/zinc/36/78/38/1072367838.db2.gz VRHPYFWUZSPODM-LLVKDONJSA-N 0 0 427.508 -0.241 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)Cn1cc(S(=O)(=O)N(C)C)cn1 ZINC000606154333 1072367910 /nfs/dbraw/zinc/36/79/10/1072367910.db2.gz VRHPYFWUZSPODM-NSHDSACASA-N 0 0 427.508 -0.241 20 0 IBADRN Cc1cc(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000606161914 1072369881 /nfs/dbraw/zinc/36/98/81/1072369881.db2.gz RWLFQISWMHIEDU-UHFFFAOYSA-N 0 0 442.476 -0.075 20 0 IBADRN Cc1cc(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)nc2c1c(=O)n(C)c(=O)n2C ZINC000606167028 1071464405 /nfs/dbraw/zinc/46/44/05/1071464405.db2.gz SMVNDVVXERHYAS-UHFFFAOYSA-N 0 0 437.416 -0.861 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000606168441 1072369761 /nfs/dbraw/zinc/36/97/61/1072369761.db2.gz IAQYDMCLSSOLDO-UHFFFAOYSA-N 0 0 445.501 -0.043 20 0 IBADRN Cc1cc(C(=O)NCCNS(=O)(=O)c2ccccc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606169562 1072369975 /nfs/dbraw/zinc/36/99/75/1072369975.db2.gz UJDDAGMIJQYRSX-UHFFFAOYSA-N 0 0 431.474 -0.351 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H]2C(=O)N2CCN(C)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606174900 1072369838 /nfs/dbraw/zinc/36/98/38/1072369838.db2.gz ASZRDMIRXOXXGY-HNNXBMFYSA-N 0 0 428.493 -0.681 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@@H]2C(=O)N2CCN(C)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606174904 1072369996 /nfs/dbraw/zinc/36/99/96/1072369996.db2.gz ASZRDMIRXOXXGY-OAHLLOKOSA-N 0 0 428.493 -0.681 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000606175908 1072370024 /nfs/dbraw/zinc/37/00/24/1072370024.db2.gz YTXMMTLGNFZWFP-UHFFFAOYSA-N 0 0 430.509 -0.339 20 0 IBADRN Cc1cc(C(=O)NCCS(=O)(=O)NCc2ccccc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606177133 1072369893 /nfs/dbraw/zinc/36/98/93/1072369893.db2.gz AQFPQMKEPMKDKP-UHFFFAOYSA-N 0 0 445.501 -0.210 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000606182985 1072369447 /nfs/dbraw/zinc/36/94/47/1072369447.db2.gz DVOVTWZFWURVJN-UHFFFAOYSA-N 0 0 425.445 -0.005 20 0 IBADRN Cc1cc(C(=O)NCC(=O)Nc2ccc(-n3cncn3)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606191166 1072369871 /nfs/dbraw/zinc/36/98/71/1072369871.db2.gz NWDGFPJYBYYNQN-UHFFFAOYSA-N 0 0 448.443 -0.110 20 0 IBADRN Cc1cc(C(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606193526 1072369751 /nfs/dbraw/zinc/36/97/51/1072369751.db2.gz BYFVEACJNQGSHK-UHFFFAOYSA-N 0 0 438.444 -0.202 20 0 IBADRN Cc1cc(C(=O)NCCS(=O)(=O)N2CCSCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606196437 1072369946 /nfs/dbraw/zinc/36/99/46/1072369946.db2.gz QUBSWZWTVZYPII-UHFFFAOYSA-N 0 0 441.535 -0.951 20 0 IBADRN Cc1cc(C(=O)Nc2cnn(CCN3CCOCC3)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606196932 1072369794 /nfs/dbraw/zinc/36/97/94/1072369794.db2.gz WAGPHMGCJSGEMT-UHFFFAOYSA-N 0 0 427.465 -0.278 20 0 IBADRN Cc1cc(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606202156 1074355738 /nfs/dbraw/zinc/35/57/38/1074355738.db2.gz YBZNFENHMVBOML-UHFFFAOYSA-N 0 0 441.448 -0.752 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCC(=O)N(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000606312798 1072369920 /nfs/dbraw/zinc/36/99/20/1072369920.db2.gz DVLXBIASVHHWID-HNNXBMFYSA-N 0 0 425.507 -0.004 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CCC(=O)N(C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000606312799 1072369907 /nfs/dbraw/zinc/36/99/07/1072369907.db2.gz DVLXBIASVHHWID-OAHLLOKOSA-N 0 0 425.507 -0.004 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4ccc(Cl)cc4)C(=O)C3)c2c(=O)n(C)c1=O ZINC000606338591 1072370594 /nfs/dbraw/zinc/37/05/94/1072370594.db2.gz QVPZSBWFUMYFTB-UHFFFAOYSA-N 0 0 444.879 -0.042 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(Cc3ccc(Cl)cc3)C(=O)C1)c(=O)n2C ZINC000606338643 1072370328 /nfs/dbraw/zinc/37/03/28/1072370328.db2.gz WCAKXTLREDOZRW-UHFFFAOYSA-N 0 0 444.879 -0.042 20 0 IBADRN CN(CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)c1ncnc2nc[nH]c21 ZINC000606341086 1072371103 /nfs/dbraw/zinc/37/11/03/1072371103.db2.gz FRKZTMOOIVOWDL-UHFFFAOYSA-N 0 0 438.496 -0.236 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(c3cc(N4CCOCC4)ncn3)CC1)c2=O ZINC000606341230 1072370557 /nfs/dbraw/zinc/37/05/57/1072370557.db2.gz OWQGGBDJJBWXHY-UHFFFAOYSA-N 0 0 439.480 -0.895 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1=O ZINC000606341264 1072370438 /nfs/dbraw/zinc/37/04/38/1072370438.db2.gz PVWAHTKJXKHEOL-NRFANRHFSA-N 0 0 443.508 -0.318 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1=O ZINC000606341265 1072371205 /nfs/dbraw/zinc/37/12/05/1072371205.db2.gz PVWAHTKJXKHEOL-OAQYLSRUSA-N 0 0 443.508 -0.318 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606341436 1072370956 /nfs/dbraw/zinc/37/09/56/1072370956.db2.gz BZMCOKBIRNRJIL-INIZCTEOSA-N 0 0 438.554 -0.224 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606341437 1072371117 /nfs/dbraw/zinc/37/11/17/1072371117.db2.gz BZMCOKBIRNRJIL-MRXNPFEDSA-N 0 0 438.554 -0.224 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606341753 1072371074 /nfs/dbraw/zinc/37/10/74/1072371074.db2.gz AWCXDCRGUPHJEV-KRWDZBQOSA-N 0 0 445.524 -0.911 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606341754 1072371144 /nfs/dbraw/zinc/37/11/44/1072371144.db2.gz AWCXDCRGUPHJEV-QGZVFWFLSA-N 0 0 445.524 -0.911 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606342039 1072371039 /nfs/dbraw/zinc/37/10/39/1072371039.db2.gz XWJAPBCKKDXARK-UHFFFAOYSA-N 0 0 436.476 -0.233 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606342042 1072370834 /nfs/dbraw/zinc/37/08/34/1072370834.db2.gz YIWYJKQZGJAGPU-UHFFFAOYSA-N 0 0 438.492 -0.151 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606342204 1072371004 /nfs/dbraw/zinc/37/10/04/1072371004.db2.gz SHONWBXZQIWWHG-UHFFFAOYSA-N 0 0 443.508 -0.174 20 0 IBADRN Cc1cc(C(=O)N2CCC(C(=O)N3CCOCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606345548 1072369860 /nfs/dbraw/zinc/36/98/60/1072369860.db2.gz PUUHCBNLBPOEJQ-UHFFFAOYSA-N 0 0 429.477 -0.348 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000606347160 1072369959 /nfs/dbraw/zinc/36/99/59/1072369959.db2.gz QDAZZDDLHQRUQG-UHFFFAOYSA-N 0 0 430.509 -0.433 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606347272 1072369783 /nfs/dbraw/zinc/36/97/83/1072369783.db2.gz VCBGBDWOMIOQNI-UHFFFAOYSA-N 0 0 442.520 -0.289 20 0 IBADRN O=C(CCN1C(=O)CCc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000606347273 1072369776 /nfs/dbraw/zinc/36/97/76/1072369776.db2.gz VDVSPPFDQBFKLC-UHFFFAOYSA-N 0 0 428.489 -0.115 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)c1cc(C)c2c(n1)n(C)c(=O)n(C)c2=O ZINC000606347609 1072370380 /nfs/dbraw/zinc/37/03/80/1072370380.db2.gz GKQSYCSYWORDNC-UHFFFAOYSA-N 0 0 433.446 -0.151 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000606347775 1072370511 /nfs/dbraw/zinc/37/05/11/1072370511.db2.gz LTABNCKCTYZQRY-UHFFFAOYSA-N 0 0 445.501 -0.080 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606347952 1072369737 /nfs/dbraw/zinc/36/97/37/1072369737.db2.gz WXJJVLVLSAVPPJ-CYBMUJFWSA-N 0 0 428.493 -0.634 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606347953 1072370310 /nfs/dbraw/zinc/37/03/10/1072370310.db2.gz WXJJVLVLSAVPPJ-ZDUSSCGKSA-N 0 0 428.493 -0.634 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606348513 1072370406 /nfs/dbraw/zinc/37/04/06/1072370406.db2.gz ZTHXFOKZDCTJBK-AWEZNQCLSA-N 0 0 429.477 -0.348 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606348514 1072370418 /nfs/dbraw/zinc/37/04/18/1072370418.db2.gz ZTHXFOKZDCTJBK-CQSZACIVSA-N 0 0 429.477 -0.348 20 0 IBADRN Cc1cc(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606348665 1072370521 /nfs/dbraw/zinc/37/05/21/1072370521.db2.gz MNEKHEVTGDJBKP-UHFFFAOYSA-N 0 0 437.522 -0.077 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000606349886 1072370395 /nfs/dbraw/zinc/37/03/95/1072370395.db2.gz AJBWNYJHMONFEU-AWEZNQCLSA-N 0 0 430.509 -0.387 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000606349887 1072370482 /nfs/dbraw/zinc/37/04/82/1072370482.db2.gz AJBWNYJHMONFEU-CQSZACIVSA-N 0 0 430.509 -0.387 20 0 IBADRN O=C(c1cc(N2CCNC2=O)ccc1F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000606350147 1072370532 /nfs/dbraw/zinc/37/05/32/1072370532.db2.gz XQGXUGATXXCYGM-UHFFFAOYSA-N 0 0 441.485 -0.310 20 0 IBADRN Cc1cc(N2CCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)CC2)n2ncnc2n1 ZINC000606350524 1072370583 /nfs/dbraw/zinc/37/05/83/1072370583.db2.gz JEZXBMJYPXRAQT-UHFFFAOYSA-N 0 0 449.475 -0.351 20 0 IBADRN Cc1cc(C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606352310 1072370343 /nfs/dbraw/zinc/37/03/43/1072370343.db2.gz DWWCOJZZLDLJBT-UHFFFAOYSA-N 0 0 442.520 -0.433 20 0 IBADRN Cc1cc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606353535 1072370320 /nfs/dbraw/zinc/37/03/20/1072370320.db2.gz WHGWJNVKGROEFV-UHFFFAOYSA-N 0 0 438.444 -0.914 20 0 IBADRN COc1cc(CNC(=O)c2cc(C)c3c(n2)n(C)c(=O)n(C)c3=O)ccc1OCC(N)=O ZINC000606353698 1072370367 /nfs/dbraw/zinc/37/03/67/1072370367.db2.gz JTEPINRUGLORKL-UHFFFAOYSA-N 0 0 441.444 -0.257 20 0 IBADRN Cc1cc(C(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)nc2c1c(=O)n(C)c(=O)n2C ZINC000606354848 1072370496 /nfs/dbraw/zinc/37/04/96/1072370496.db2.gz OTWBFORIXHNCNN-UHFFFAOYSA-N 0 0 444.473 -0.241 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000606355720 1072370604 /nfs/dbraw/zinc/37/06/04/1072370604.db2.gz INVBQGHPEYFHJX-UHFFFAOYSA-N 0 0 431.493 -0.054 20 0 IBADRN COc1ccnc(N2CCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)CC2)n1 ZINC000606356200 1072370546 /nfs/dbraw/zinc/37/05/46/1072370546.db2.gz VMIWAJKYYZVOCB-UHFFFAOYSA-N 0 0 425.449 -0.298 20 0 IBADRN CC(C)N1C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000606361704 1072370910 /nfs/dbraw/zinc/37/09/10/1072370910.db2.gz UBOIJEIYXXXLBZ-GDBMZVCRSA-N 0 0 445.563 -0.106 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000606361705 1072371096 /nfs/dbraw/zinc/37/10/96/1072371096.db2.gz UBOIJEIYXXXLBZ-GOEBONIOSA-N 0 0 445.563 -0.106 20 0 IBADRN CC(C)N1C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000606361706 1072371135 /nfs/dbraw/zinc/37/11/35/1072371135.db2.gz UBOIJEIYXXXLBZ-HOCLYGCPSA-N 0 0 445.563 -0.106 20 0 IBADRN CC(C)N1C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC000606361707 1072371226 /nfs/dbraw/zinc/37/12/26/1072371226.db2.gz UBOIJEIYXXXLBZ-ZBFHGGJFSA-N 0 0 445.563 -0.106 20 0 IBADRN Cc1cc(C(=O)NCc2cccc(CS(N)(=O)=O)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606364227 1072370990 /nfs/dbraw/zinc/37/09/90/1072370990.db2.gz YUDNSNYZBCETQV-UHFFFAOYSA-N 0 0 431.474 -0.341 20 0 IBADRN CCc1nc(CN2CCN(C(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)CC2)no1 ZINC000606368341 1072371065 /nfs/dbraw/zinc/37/10/65/1072371065.db2.gz LZZUYBCQSDXHJC-UHFFFAOYSA-N 0 0 427.465 -0.156 20 0 IBADRN CCN(C1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1)S(C)(=O)=O ZINC000606368792 1071466682 /nfs/dbraw/zinc/46/66/82/1071466682.db2.gz ANHGFBGWTDZFJX-UHFFFAOYSA-N 0 0 437.522 -0.173 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nn1 ZINC000606368905 1072371689 /nfs/dbraw/zinc/37/16/89/1072371689.db2.gz IWHPIFIUAYVBRJ-UHFFFAOYSA-N 0 0 433.446 -0.037 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000606369055 1072371709 /nfs/dbraw/zinc/37/17/09/1072371709.db2.gz UHFUMNIKBDNDTK-UHFFFAOYSA-N 0 0 437.522 -0.173 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000606371327 1072371715 /nfs/dbraw/zinc/37/17/15/1072371715.db2.gz LCCVDFPMANZCIO-UHFFFAOYSA-N 0 0 444.536 -0.043 20 0 IBADRN Cc1cc(C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606371358 1072371847 /nfs/dbraw/zinc/37/18/47/1072371847.db2.gz OLWQJSRAKTZSJR-UHFFFAOYSA-N 0 0 442.520 -0.289 20 0 IBADRN Cc1cc(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)nc2c1c(=O)n(C)c(=O)n2C ZINC000606372917 1072371663 /nfs/dbraw/zinc/37/16/63/1072371663.db2.gz JEIGIWASYKQIQN-UHFFFAOYSA-N 0 0 435.437 -0.343 20 0 IBADRN Cc1cc(C(=O)N2CCc3ccc(S(N)(=O)=O)cc32)nc2c1c(=O)n(C)c(=O)n2C ZINC000606372958 1072371698 /nfs/dbraw/zinc/37/16/98/1072371698.db2.gz LIGAEVNINZQVAP-UHFFFAOYSA-N 0 0 429.458 -0.209 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCNc3nccc(C(F)(F)F)n3)c2c(=O)n(C)c1=O ZINC000606396156 1072371904 /nfs/dbraw/zinc/37/19/04/1072371904.db2.gz ZRWKUVOYOICCHF-UHFFFAOYSA-N 0 0 440.386 -0.139 20 0 IBADRN Cn1c2ncn(CC(=O)NCCNc3nccc(C(F)(F)F)n3)c2c(=O)n(C)c1=O ZINC000606396350 1072371497 /nfs/dbraw/zinc/37/14/97/1072371497.db2.gz XEIWHMKBRSWHTE-UHFFFAOYSA-N 0 0 426.359 -0.529 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCNc1nccc(C(F)(F)F)n1)c(=O)n2C ZINC000606396495 1072371654 /nfs/dbraw/zinc/37/16/54/1072371654.db2.gz MZMYCQSENCMWHO-UHFFFAOYSA-N 0 0 426.359 -0.529 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000606419932 1072371616 /nfs/dbraw/zinc/37/16/16/1072371616.db2.gz UZXKYEKQLVSJDW-UHFFFAOYSA-N 0 0 439.520 -0.286 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H](C)CN2CCOCC2)c1 ZINC000606420642 1072371636 /nfs/dbraw/zinc/37/16/36/1072371636.db2.gz GFIVFUDOHCMLOT-INIZCTEOSA-N 0 0 428.555 -0.037 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H](C)CN2CCOCC2)c1 ZINC000606420643 1072371516 /nfs/dbraw/zinc/37/15/16/1072371516.db2.gz GFIVFUDOHCMLOT-MRXNPFEDSA-N 0 0 428.555 -0.037 20 0 IBADRN C[C@@H](CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)CN1CCOCC1 ZINC000606421443 1072371604 /nfs/dbraw/zinc/37/16/04/1072371604.db2.gz PQSTYDMCMYRLJS-HNNXBMFYSA-N 0 0 426.539 -0.131 20 0 IBADRN C[C@H](CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)CN1CCOCC1 ZINC000606421444 1072371769 /nfs/dbraw/zinc/37/17/69/1072371769.db2.gz PQSTYDMCMYRLJS-OAHLLOKOSA-N 0 0 426.539 -0.131 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cc1 ZINC000606428073 1072372246 /nfs/dbraw/zinc/37/22/46/1072372246.db2.gz HGGPBINDAWAQRD-UHFFFAOYSA-N 0 0 446.533 -0.148 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)n1cccn1 ZINC000606428109 1072372164 /nfs/dbraw/zinc/37/21/64/1072372164.db2.gz JWRHJHQUCLLRRK-KRWDZBQOSA-N 0 0 442.524 -0.122 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)n1cccn1 ZINC000606428110 1072372387 /nfs/dbraw/zinc/37/23/87/1072372387.db2.gz JWRHJHQUCLLRRK-QGZVFWFLSA-N 0 0 442.524 -0.122 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606428231 1072372127 /nfs/dbraw/zinc/37/21/27/1072372127.db2.gz RQAJVIDFEMJWOJ-UHFFFAOYSA-N 0 0 426.543 -0.320 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)s1 ZINC000606428244 1072372086 /nfs/dbraw/zinc/37/20/86/1072372086.db2.gz TUUZNVXUUIVAPG-UHFFFAOYSA-N 0 0 438.535 -0.016 20 0 IBADRN O=C(CN1CCN(Cc2cc(=O)n3ccsc3n2)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC000606431203 1072372420 /nfs/dbraw/zinc/37/24/20/1072372420.db2.gz WOYZZEIFTDFSCI-UHFFFAOYSA-N 0 0 444.561 -0.046 20 0 IBADRN CS(=O)(=O)c1cccc(OCCN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000606431397 1072372214 /nfs/dbraw/zinc/37/22/14/1072372214.db2.gz LOZNSPXZSDJLSR-UHFFFAOYSA-N 0 0 433.552 -0.337 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN(C)CCN2CCN(c3ccccc3)CC2)CC1 ZINC000606452580 1072372449 /nfs/dbraw/zinc/37/24/49/1072372449.db2.gz UXELTZSDSQOKAB-UHFFFAOYSA-N 0 0 430.597 -0.027 20 0 IBADRN O=C(CN1CCN(c2cc(N3CCOCC3)ncn2)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC000606454451 1072372435 /nfs/dbraw/zinc/37/24/35/1072372435.db2.gz WAMBXJVYAUWYDL-UHFFFAOYSA-N 0 0 443.552 -0.484 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000606454519 1072372226 /nfs/dbraw/zinc/37/22/26/1072372226.db2.gz FIHQZBDHSKCVMY-KRWDZBQOSA-N 0 0 431.541 -0.486 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000606454520 1072372349 /nfs/dbraw/zinc/37/23/49/1072372349.db2.gz FIHQZBDHSKCVMY-QGZVFWFLSA-N 0 0 431.541 -0.486 20 0 IBADRN O=C(CN1CCN(c2cc(N3CCOCC3)ncn2)CC1)NCCCN1CCCC1=O ZINC000606454583 1072372317 /nfs/dbraw/zinc/37/23/17/1072372317.db2.gz LIEDGRXHIPPMBX-UHFFFAOYSA-N 0 0 431.541 -0.436 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606454604 1072372373 /nfs/dbraw/zinc/37/23/73/1072372373.db2.gz MOSXKUWQMACCTH-INIZCTEOSA-N 0 0 431.541 -0.439 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606454605 1072372330 /nfs/dbraw/zinc/37/23/30/1072372330.db2.gz MOSXKUWQMACCTH-MRXNPFEDSA-N 0 0 431.541 -0.439 20 0 IBADRN CC(C)(CNC(=O)CN1CCN(c2cc(N3CCOCC3)ncn2)CC1)N1CCOCC1 ZINC000606454945 1072372151 /nfs/dbraw/zinc/37/21/51/1072372151.db2.gz VLKOCJVMOFXGHC-UHFFFAOYSA-N 0 0 447.584 -0.338 20 0 IBADRN CN(CC1CC1)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000606458420 1072372119 /nfs/dbraw/zinc/37/21/19/1072372119.db2.gz YFUCYHOPRWNXTO-UHFFFAOYSA-N 0 0 444.535 -0.090 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606466530 1072372188 /nfs/dbraw/zinc/37/21/88/1072372188.db2.gz HSENOGMOEURITJ-UHFFFAOYSA-N 0 0 444.558 -0.725 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cc1C(N)=O ZINC000606466544 1072372279 /nfs/dbraw/zinc/37/22/79/1072372279.db2.gz JGOONYFVVJNRLK-UHFFFAOYSA-N 0 0 435.510 -0.739 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C[C@H](C)O1 ZINC000606466620 1072371577 /nfs/dbraw/zinc/37/15/77/1072371577.db2.gz WKIGDNGKOHWWPR-HOTGVXAUSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C[C@@H](C)O1 ZINC000606466621 1072371668 /nfs/dbraw/zinc/37/16/68/1072371668.db2.gz WKIGDNGKOHWWPR-HZPDHXFCSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C[C@H](C)O1 ZINC000606466622 1072371798 /nfs/dbraw/zinc/37/17/98/1072371798.db2.gz WKIGDNGKOHWWPR-IYBDPMFKSA-N 0 0 426.543 -0.211 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000606482968 1072372792 /nfs/dbraw/zinc/37/27/92/1072372792.db2.gz BZHSXRMMUDYLHA-UHFFFAOYSA-N 0 0 446.556 -0.645 20 0 IBADRN CN(CCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)S(C)(=O)=O ZINC000606490260 1072372850 /nfs/dbraw/zinc/37/28/50/1072372850.db2.gz NBHNLWZFLMVMRL-UHFFFAOYSA-N 0 0 441.558 -0.574 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000606498618 1072373172 /nfs/dbraw/zinc/37/31/72/1072373172.db2.gz KJJUWQXJCFCACB-UHFFFAOYSA-N 0 0 439.464 -0.216 20 0 IBADRN COCC(=O)N1CCC(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000606499586 1072372757 /nfs/dbraw/zinc/37/27/57/1072372757.db2.gz BQFQOHMDKQNAEG-UHFFFAOYSA-N 0 0 447.540 -0.218 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606499751 1072372961 /nfs/dbraw/zinc/37/29/61/1072372961.db2.gz IKTBYVCOPVVHNH-UHFFFAOYSA-N 0 0 441.558 -0.526 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606500437 1072373084 /nfs/dbraw/zinc/37/30/84/1072373084.db2.gz RWPOMKXQIGKPBJ-UHFFFAOYSA-N 0 0 441.558 -0.527 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606501248 1072372930 /nfs/dbraw/zinc/37/29/30/1072372930.db2.gz POADQMNHYYHCHA-UHFFFAOYSA-N 0 0 431.497 -0.316 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606502282 1072373003 /nfs/dbraw/zinc/37/30/03/1072373003.db2.gz IVUNKORZKCQPPH-UHFFFAOYSA-N 0 0 432.485 -0.913 20 0 IBADRN CC(C)(CNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)NS(C)(=O)=O ZINC000606503766 1072372891 /nfs/dbraw/zinc/37/28/91/1072372891.db2.gz FIFQJJOZXJTMQH-UHFFFAOYSA-N 0 0 441.558 -0.527 20 0 IBADRN O=C(NCc1n[nH]cc1Br)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000606514460 1072373040 /nfs/dbraw/zinc/37/30/40/1072373040.db2.gz NIXIOVVAOQHAKK-UHFFFAOYSA-N 0 0 437.320 -0.424 20 0 IBADRN O=C(NCc1n[nH]cc1Br)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000606515721 1072373067 /nfs/dbraw/zinc/37/30/67/1072373067.db2.gz RJROSYNCCMLUCN-UHFFFAOYSA-N 0 0 429.275 -0.615 20 0 IBADRN Cc1cc(C(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)nc2c1c(=O)n(C)c(=O)n2C ZINC000606531128 1072373140 /nfs/dbraw/zinc/37/31/40/1072373140.db2.gz ACSYMKHCOUDPBA-GFCCVEGCSA-N 0 0 442.428 -0.161 20 0 IBADRN Cc1cc(C(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)nc2c1c(=O)n(C)c(=O)n2C ZINC000606531129 1072373115 /nfs/dbraw/zinc/37/31/15/1072373115.db2.gz ACSYMKHCOUDPBA-LBPRGKRZSA-N 0 0 442.428 -0.161 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000606532678 1072373055 /nfs/dbraw/zinc/37/30/55/1072373055.db2.gz MHEKTUJLANHAAC-UHFFFAOYSA-N 0 0 430.498 -0.500 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)cc1 ZINC000606532716 1072373183 /nfs/dbraw/zinc/37/31/83/1072373183.db2.gz PQJKZCOIEFKOLH-UHFFFAOYSA-N 0 0 440.507 -0.653 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2c(=O)[nH]c(=O)c3ccccc32)CC1)Nc1nncs1 ZINC000606532779 1072372741 /nfs/dbraw/zinc/37/27/41/1072372741.db2.gz WQLYQTNKIVASPN-UHFFFAOYSA-N 0 0 429.462 -0.264 20 0 IBADRN C[C@@H](CNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)CN1CCOCC1 ZINC000606536528 1072372822 /nfs/dbraw/zinc/37/28/22/1072372822.db2.gz TYWWOOQRPJNVEY-HNNXBMFYSA-N 0 0 426.539 -0.249 20 0 IBADRN C[C@H](CNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)CN1CCOCC1 ZINC000606536529 1072373646 /nfs/dbraw/zinc/37/36/46/1072373646.db2.gz TYWWOOQRPJNVEY-OAHLLOKOSA-N 0 0 426.539 -0.249 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000606537831 1072373505 /nfs/dbraw/zinc/37/35/05/1072373505.db2.gz JQRCIGLEQLQOTO-CVEARBPZSA-N 0 0 429.564 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000606537832 1072373570 /nfs/dbraw/zinc/37/35/70/1072373570.db2.gz JQRCIGLEQLQOTO-HOTGVXAUSA-N 0 0 429.564 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN([C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000606537833 1072373673 /nfs/dbraw/zinc/37/36/73/1072373673.db2.gz JQRCIGLEQLQOTO-HZPDHXFCSA-N 0 0 429.564 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000606537834 1072373458 /nfs/dbraw/zinc/37/34/58/1072373458.db2.gz JQRCIGLEQLQOTO-JKSUJKDBSA-N 0 0 429.564 -0.007 20 0 IBADRN CN(CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000606537876 1072373689 /nfs/dbraw/zinc/37/36/89/1072373689.db2.gz PTGUESSJUGEJRW-INIZCTEOSA-N 0 0 438.554 -0.919 20 0 IBADRN CN(CC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000606537877 1072373605 /nfs/dbraw/zinc/37/36/05/1072373605.db2.gz PTGUESSJUGEJRW-MRXNPFEDSA-N 0 0 438.554 -0.919 20 0 IBADRN CN(C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)[C@@H]1CCN(C)C1=O ZINC000606547023 1072373595 /nfs/dbraw/zinc/37/35/95/1072373595.db2.gz JXYFKHCOVPCNGC-DZGCQCFKSA-N 0 0 444.535 -0.154 20 0 IBADRN CN(C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)[C@H]1CCN(C)C1=O ZINC000606547024 1072373634 /nfs/dbraw/zinc/37/36/34/1072373634.db2.gz JXYFKHCOVPCNGC-HIFRSBDPSA-N 0 0 444.535 -0.154 20 0 IBADRN CN(C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)[C@@H]1CCN(C)C1=O ZINC000606547025 1072373681 /nfs/dbraw/zinc/37/36/81/1072373681.db2.gz JXYFKHCOVPCNGC-UKRRQHHQSA-N 0 0 444.535 -0.154 20 0 IBADRN CN(C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)[C@H]1CCN(C)C1=O ZINC000606547026 1072373560 /nfs/dbraw/zinc/37/35/60/1072373560.db2.gz JXYFKHCOVPCNGC-ZFWWWQNUSA-N 0 0 444.535 -0.154 20 0 IBADRN CN1CC[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000606547081 1072373588 /nfs/dbraw/zinc/37/35/88/1072373588.db2.gz OCMNPHZQFPEUOC-GXTWGEPZSA-N 0 0 430.508 -0.496 20 0 IBADRN CN1CC[C@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000606547082 1072373488 /nfs/dbraw/zinc/37/34/88/1072373488.db2.gz OCMNPHZQFPEUOC-JSGCOSHPSA-N 0 0 430.508 -0.496 20 0 IBADRN CN1CC[C@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000606547083 1072373475 /nfs/dbraw/zinc/37/34/75/1072373475.db2.gz OCMNPHZQFPEUOC-OCCSQVGLSA-N 0 0 430.508 -0.496 20 0 IBADRN CN1CC[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000606547084 1072373439 /nfs/dbraw/zinc/37/34/39/1072373439.db2.gz OCMNPHZQFPEUOC-TZMCWYRMSA-N 0 0 430.508 -0.496 20 0 IBADRN CN1C[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CCC1=O ZINC000606547188 1072373425 /nfs/dbraw/zinc/37/34/25/1072373425.db2.gz XCLBMSDCIOXPJI-KBPBESRZSA-N 0 0 444.535 -0.106 20 0 IBADRN CN1C[C@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CCC1=O ZINC000606547189 1071470565 /nfs/dbraw/zinc/47/05/65/1071470565.db2.gz XCLBMSDCIOXPJI-KGLIPLIRSA-N 0 0 444.535 -0.106 20 0 IBADRN CN1C[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CCC1=O ZINC000606547190 1072373579 /nfs/dbraw/zinc/37/35/79/1072373579.db2.gz XCLBMSDCIOXPJI-UONOGXRCSA-N 0 0 444.535 -0.106 20 0 IBADRN CN1C[C@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CCC1=O ZINC000606547191 1072373406 /nfs/dbraw/zinc/37/34/06/1072373406.db2.gz XCLBMSDCIOXPJI-ZIAGYGMSSA-N 0 0 444.535 -0.106 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000606568056 1072373518 /nfs/dbraw/zinc/37/35/18/1072373518.db2.gz UNIFVEUXJYKYBE-UHFFFAOYSA-N 0 0 443.512 -0.048 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CCCS(=O)(=O)CC3)cn2)CC1 ZINC000606577638 1072374079 /nfs/dbraw/zinc/37/40/79/1072374079.db2.gz DCLVEUGWBHHZKP-UHFFFAOYSA-N 0 0 431.540 -0.184 20 0 IBADRN O=C(NCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)c1cccnc1 ZINC000606638044 1072374139 /nfs/dbraw/zinc/37/41/39/1072374139.db2.gz PTWOYVVIUYLZEL-UHFFFAOYSA-N 0 0 440.508 -0.030 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)CN1CCOCC1 ZINC000606644263 1072374250 /nfs/dbraw/zinc/37/42/50/1072374250.db2.gz DTCKTFKKMDGYDD-AWEZNQCLSA-N 0 0 443.526 -0.637 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)CN1CCOCC1 ZINC000606644264 1072374179 /nfs/dbraw/zinc/37/41/79/1072374179.db2.gz DTCKTFKKMDGYDD-CQSZACIVSA-N 0 0 443.526 -0.637 20 0 IBADRN Cc1noc(CN2CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CC2)n1 ZINC000606686675 1072374643 /nfs/dbraw/zinc/37/46/43/1072374643.db2.gz RRQHBGQYSNJNOC-HNNXBMFYSA-N 0 0 430.531 -0.306 20 0 IBADRN Cc1noc(CN2CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CC2)n1 ZINC000606686676 1072374832 /nfs/dbraw/zinc/37/48/32/1072374832.db2.gz RRQHBGQYSNJNOC-OAHLLOKOSA-N 0 0 430.531 -0.306 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606688942 1072374844 /nfs/dbraw/zinc/37/48/44/1072374844.db2.gz LXZAZAVPHIJKDD-HNNXBMFYSA-N 0 0 426.543 -0.032 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000606688943 1072374568 /nfs/dbraw/zinc/37/45/68/1072374568.db2.gz LXZAZAVPHIJKDD-OAHLLOKOSA-N 0 0 426.543 -0.032 20 0 IBADRN O=C(NCC1(O)Cc2ccccc2C1)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000606693312 1072374123 /nfs/dbraw/zinc/37/41/23/1072374123.db2.gz BLYXLCCOLKEMGJ-UHFFFAOYSA-N 0 0 438.550 -0.143 20 0 IBADRN C[C@@H](CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)CN1CCOCC1 ZINC000606693325 1072374162 /nfs/dbraw/zinc/37/41/62/1072374162.db2.gz BTFHWMJEYFIZFL-INIZCTEOSA-N 0 0 433.575 -0.705 20 0 IBADRN C[C@H](CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)CN1CCOCC1 ZINC000606693326 1072374207 /nfs/dbraw/zinc/37/42/07/1072374207.db2.gz BTFHWMJEYFIZFL-MRXNPFEDSA-N 0 0 433.575 -0.705 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)[nH]n1 ZINC000606695897 1071476387 /nfs/dbraw/zinc/47/63/87/1071476387.db2.gz LUKFLXFDPVUPMS-UHFFFAOYSA-N 0 0 442.523 -0.418 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCC2)CC1)N1CCN(c2ccc3nncn3n2)CC1 ZINC000606705146 1072374056 /nfs/dbraw/zinc/37/40/56/1072374056.db2.gz CHBLWTYZBOTUIG-UHFFFAOYSA-N 0 0 441.540 -0.100 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NCCN3CCN(C(=O)C4CCC4)CC3)cnc2n(C)c1=O ZINC000606706523 1072374100 /nfs/dbraw/zinc/37/41/00/1072374100.db2.gz MBPOJEXEZUHVDH-UHFFFAOYSA-N 0 0 443.508 -0.302 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCNc2nccc(C(F)(F)F)n2)CC1 ZINC000606706596 1072374593 /nfs/dbraw/zinc/37/45/93/1072374593.db2.gz HXVPUHGXYLKSMK-UHFFFAOYSA-N 0 0 428.379 -0.552 20 0 IBADRN CN1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)CCC1=O ZINC000606709746 1072374729 /nfs/dbraw/zinc/37/47/29/1072374729.db2.gz OBTIDNZUDFYGKT-AWEZNQCLSA-N 0 0 435.506 -0.182 20 0 IBADRN CN1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)CCC1=O ZINC000606709763 1072374754 /nfs/dbraw/zinc/37/47/54/1072374754.db2.gz OBTIDNZUDFYGKT-CQSZACIVSA-N 0 0 435.506 -0.182 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)CN1CCOCC1 ZINC000606714857 1072375429 /nfs/dbraw/zinc/37/54/29/1072375429.db2.gz DCFMLTZKZWTTBZ-KURKYZTESA-N 0 0 447.602 -0.364 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)CN1CCOCC1 ZINC000606714859 1072375133 /nfs/dbraw/zinc/37/51/33/1072375133.db2.gz DCFMLTZKZWTTBZ-KZNAEPCWSA-N 0 0 447.602 -0.364 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)CN1CCOCC1 ZINC000606714860 1072375190 /nfs/dbraw/zinc/37/51/90/1072375190.db2.gz DCFMLTZKZWTTBZ-OKZBNKHCSA-N 0 0 447.602 -0.364 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)CN1CCOCC1 ZINC000606714861 1072375283 /nfs/dbraw/zinc/37/52/83/1072375283.db2.gz DCFMLTZKZWTTBZ-SQNIBIBYSA-N 0 0 447.602 -0.364 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCNC(=O)C1CC1 ZINC000606715430 1072375150 /nfs/dbraw/zinc/37/51/50/1072375150.db2.gz FZDHQOBAPOEHFL-UHFFFAOYSA-N 0 0 426.495 -0.084 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCOCC1 ZINC000606715798 1072375311 /nfs/dbraw/zinc/37/53/11/1072375311.db2.gz HKHOQSBBIZSFKX-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC(C(N)=O)CC1 ZINC000606718766 1072375318 /nfs/dbraw/zinc/37/53/18/1072375318.db2.gz PGSDYKPFVKNELW-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)NCCOC ZINC000606723487 1072375252 /nfs/dbraw/zinc/37/52/52/1072375252.db2.gz VZNUMKQZLAIWLZ-UHFFFAOYSA-N 0 0 430.483 -0.847 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O ZINC000606725711 1072375209 /nfs/dbraw/zinc/37/52/09/1072375209.db2.gz NLWIWTGESHIXLP-LLVKDONJSA-N 0 0 435.524 -0.177 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000606725713 1072375269 /nfs/dbraw/zinc/37/52/69/1072375269.db2.gz NLWIWTGESHIXLP-NSHDSACASA-N 0 0 435.524 -0.177 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCS(N)(=O)=O ZINC000606726285 1072375228 /nfs/dbraw/zinc/37/52/28/1072375228.db2.gz BQOFOQZCVQKQMJ-UHFFFAOYSA-N 0 0 436.512 -0.931 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CC2)n1 ZINC000606727003 1072375681 /nfs/dbraw/zinc/37/56/81/1072375681.db2.gz DDMRSSFFYDTRFY-UHFFFAOYSA-N 0 0 436.494 -0.290 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)ccc1C ZINC000606727057 1072375802 /nfs/dbraw/zinc/37/58/02/1072375802.db2.gz JFDZJFNKBKMPDX-UHFFFAOYSA-N 0 0 436.494 -0.123 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3cc(S(N)(=O)=O)cc(C)c3C)CC2)n1 ZINC000606727096 1072375695 /nfs/dbraw/zinc/37/56/95/1072375695.db2.gz LTPTZUICAALIGU-UHFFFAOYSA-N 0 0 436.494 -0.075 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CC2)n1 ZINC000606727266 1072375877 /nfs/dbraw/zinc/37/58/77/1072375877.db2.gz MLDZMHJBBNGEOE-UHFFFAOYSA-N 0 0 426.430 -0.553 20 0 IBADRN Cc1noc(CN2CCN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)CC2)n1 ZINC000606727476 1072375864 /nfs/dbraw/zinc/37/58/64/1072375864.db2.gz UAZOHTPGNJBCIS-UHFFFAOYSA-N 0 0 436.494 -0.089 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCC(=O)N(C)C3)cc2)CC1 ZINC000606740931 1072374815 /nfs/dbraw/zinc/37/48/15/1072374815.db2.gz FNQBDARVOXWQOV-HNNXBMFYSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCC(=O)N(C)C3)cc2)CC1 ZINC000606740950 1072374654 /nfs/dbraw/zinc/37/46/54/1072374654.db2.gz FNQBDARVOXWQOV-OAHLLOKOSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@H]3CCC(=O)N(C)C3)c2)CC1 ZINC000606741444 1072374793 /nfs/dbraw/zinc/37/47/93/1072374793.db2.gz BNPMQHCJSPVBTO-HNNXBMFYSA-N 0 0 437.522 -0.702 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@H]3CCC(=O)N(C)C3)c2)CC1 ZINC000606741445 1072374676 /nfs/dbraw/zinc/37/46/76/1072374676.db2.gz BNPMQHCJSPVBTO-OAHLLOKOSA-N 0 0 437.522 -0.702 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC(=O)N(C)C2)cc1 ZINC000606741879 1072374705 /nfs/dbraw/zinc/37/47/05/1072374705.db2.gz AIRHVPWHUIJQED-GXTWGEPZSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC(=O)N(C)C2)cc1 ZINC000606741905 1072374667 /nfs/dbraw/zinc/37/46/67/1072374667.db2.gz AIRHVPWHUIJQED-JSGCOSHPSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC(=O)N(C)C2)cc1 ZINC000606741910 1072374689 /nfs/dbraw/zinc/37/46/89/1072374689.db2.gz AIRHVPWHUIJQED-OCCSQVGLSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC(=O)N(C)C2)cc1 ZINC000606741914 1072374744 /nfs/dbraw/zinc/37/47/44/1072374744.db2.gz AIRHVPWHUIJQED-TZMCWYRMSA-N 0 0 426.495 -0.325 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCC1=O ZINC000606742819 1072374714 /nfs/dbraw/zinc/37/47/14/1072374714.db2.gz JKMUCPBBIGHYSX-KRWDZBQOSA-N 0 0 431.493 -0.576 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCC1=O ZINC000606742848 1072374882 /nfs/dbraw/zinc/37/48/82/1072374882.db2.gz JKMUCPBBIGHYSX-QGZVFWFLSA-N 0 0 431.493 -0.576 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCC1=O ZINC000606743871 1072374603 /nfs/dbraw/zinc/37/46/03/1072374603.db2.gz SWZSPDXOWMFRDE-PBHICJAKSA-N 0 0 430.461 -0.624 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCC1=O ZINC000606743872 1072375168 /nfs/dbraw/zinc/37/51/68/1072375168.db2.gz SWZSPDXOWMFRDE-RHSMWYFYSA-N 0 0 430.461 -0.624 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCC1=O ZINC000606743873 1072375179 /nfs/dbraw/zinc/37/51/79/1072375179.db2.gz SWZSPDXOWMFRDE-WMLDXEAASA-N 0 0 430.461 -0.624 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCC1=O ZINC000606743874 1072375331 /nfs/dbraw/zinc/37/53/31/1072375331.db2.gz SWZSPDXOWMFRDE-YOEHRIQHSA-N 0 0 430.461 -0.624 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000606743982 1072375378 /nfs/dbraw/zinc/37/53/78/1072375378.db2.gz YPUSJRFNQBILRR-HNNXBMFYSA-N 0 0 431.493 -0.242 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000606743985 1072375445 /nfs/dbraw/zinc/37/54/45/1072375445.db2.gz YPUSJRFNQBILRR-OAHLLOKOSA-N 0 0 431.493 -0.242 20 0 IBADRN Cc1ccoc1C(=O)NCCCNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000606748405 1072375640 /nfs/dbraw/zinc/37/56/40/1072375640.db2.gz MCQFTAHHKDCDJE-UHFFFAOYSA-N 0 0 442.432 -0.592 20 0 IBADRN C[C@@H]1CSCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000606748657 1072375938 /nfs/dbraw/zinc/37/59/38/1072375938.db2.gz CBEZMIJXXMHIDT-GFCCVEGCSA-N 0 0 426.520 -0.291 20 0 IBADRN C[C@H]1CSCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000606748660 1072375849 /nfs/dbraw/zinc/37/58/49/1072375849.db2.gz CBEZMIJXXMHIDT-LBPRGKRZSA-N 0 0 426.520 -0.291 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](C)CN1CCOCC1 ZINC000606748684 1072375710 /nfs/dbraw/zinc/37/57/10/1072375710.db2.gz CCKKBODVAZLFTL-AWEZNQCLSA-N 0 0 442.538 -0.032 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](C)CN1CCOCC1 ZINC000606748689 1072375752 /nfs/dbraw/zinc/37/57/52/1072375752.db2.gz CCKKBODVAZLFTL-CQSZACIVSA-N 0 0 442.538 -0.032 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1)CN1CCOCC1 ZINC000606749526 1072375889 /nfs/dbraw/zinc/37/58/89/1072375889.db2.gz OCZXCPBRKBYGRN-INIZCTEOSA-N 0 0 438.550 -0.056 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cccc(N2CCS(=O)(=O)CC2)c1)CN1CCOCC1 ZINC000606749530 1072375960 /nfs/dbraw/zinc/37/59/60/1072375960.db2.gz OCZXCPBRKBYGRN-MRXNPFEDSA-N 0 0 438.550 -0.056 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c1 ZINC000606752737 1072375818 /nfs/dbraw/zinc/37/58/18/1072375818.db2.gz COYFADBKRSBLIQ-UHFFFAOYSA-N 0 0 439.476 -0.301 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000606753294 1072375652 /nfs/dbraw/zinc/37/56/52/1072375652.db2.gz DMPQGVCJHNNHNI-UHFFFAOYSA-N 0 0 444.496 -0.557 20 0 IBADRN CCn1cc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)ccc1=O ZINC000606754114 1072376450 /nfs/dbraw/zinc/37/64/50/1072376450.db2.gz NKBFEGKNJZCNSJ-UHFFFAOYSA-N 0 0 441.492 -0.218 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n(C)n1 ZINC000606754381 1072376172 /nfs/dbraw/zinc/37/61/72/1072376172.db2.gz USJLZDNFSWSRFW-UHFFFAOYSA-N 0 0 428.497 -0.104 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1C[C@@H]2COC[C@H](C1)C2=O ZINC000606766932 1072375914 /nfs/dbraw/zinc/37/59/14/1072375914.db2.gz YTWBEOMCFBRKLT-ALOPSCKCSA-N 0 0 436.534 -0.109 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000606788750 1072376223 /nfs/dbraw/zinc/37/62/23/1072376223.db2.gz SRJKTLYQQYGPFT-UHFFFAOYSA-N 0 0 441.496 -0.971 20 0 IBADRN Cc1cc(C(=O)N2CCN(c3ccnc(N(C)C)n3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000606792678 1072376336 /nfs/dbraw/zinc/37/63/36/1072376336.db2.gz WWTJDOGGJZSBHR-UHFFFAOYSA-N 0 0 438.492 -0.241 20 0 IBADRN O=C(CCc1nc(-c2ccoc2)no1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000606831389 1072376428 /nfs/dbraw/zinc/37/64/28/1072376428.db2.gz GCTKEBLVKMGWAR-UHFFFAOYSA-N 0 0 425.467 -0.017 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccnc(N4CCCCC4)n3)CC2)CC1 ZINC000606831623 1072376325 /nfs/dbraw/zinc/37/63/25/1072376325.db2.gz JMOSJQLQMFUFII-UHFFFAOYSA-N 0 0 437.570 -0.283 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3C[C@@H]4COC[C@H](C3)C4=O)CC2)C[C@H](C)O1 ZINC000606831631 1072376313 /nfs/dbraw/zinc/37/63/13/1072376313.db2.gz JTVXXXMEMVKHFP-DJSILETISA-N 0 0 429.539 -0.274 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3C[C@@H]4COC[C@H](C3)C4=O)CC2)C[C@@H](C)O1 ZINC000606831632 1072376270 /nfs/dbraw/zinc/37/62/70/1072376270.db2.gz JTVXXXMEMVKHFP-DQIHPLBOSA-N 0 0 429.539 -0.274 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C3C[C@@H]4COC[C@H](C3)C4=O)CC2)C[C@H](C)O1 ZINC000606831633 1072376362 /nfs/dbraw/zinc/37/63/62/1072376362.db2.gz JTVXXXMEMVKHFP-ZSNVMCELSA-N 0 0 429.539 -0.274 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCc3nc(-c4ccoc4)no3)CC2)CC1 ZINC000606831707 1072376284 /nfs/dbraw/zinc/37/62/84/1072376284.db2.gz ODUWEWTVKURRGR-UHFFFAOYSA-N 0 0 438.510 -0.101 20 0 IBADRN COc1cccc(C[C@H](C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)n2nnnc2C)c1 ZINC000606832364 1072376439 /nfs/dbraw/zinc/37/64/39/1072376439.db2.gz CXNHHUMQCGCYMU-DOTOQJQBSA-N 0 0 437.526 -0.194 20 0 IBADRN COc1cccc(C[C@H](C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)n2nnnc2C)c1 ZINC000606832365 1072376423 /nfs/dbraw/zinc/37/64/23/1072376423.db2.gz CXNHHUMQCGCYMU-NVXWUHKLSA-N 0 0 437.526 -0.194 20 0 IBADRN COc1cccc(C[C@@H](C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)n2nnnc2C)c1 ZINC000606832366 1072376299 /nfs/dbraw/zinc/37/62/99/1072376299.db2.gz CXNHHUMQCGCYMU-RDJZCZTQSA-N 0 0 437.526 -0.194 20 0 IBADRN COc1cccc(C[C@@H](C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)n2nnnc2C)c1 ZINC000606832367 1072376383 /nfs/dbraw/zinc/37/63/83/1072376383.db2.gz CXNHHUMQCGCYMU-WBVHZDCISA-N 0 0 437.526 -0.194 20 0 IBADRN Cn1c2nc(C3CC3)cc(C(=O)N3CCC[C@@H](CNS(N)(=O)=O)C3)c2c(=O)[nH]c1=O ZINC000606832807 1072376372 /nfs/dbraw/zinc/37/63/72/1072376372.db2.gz FBAUTLDZBSMRAK-JTQLQIEISA-N 0 0 436.494 -0.443 20 0 IBADRN Cn1c2nc(C3CC3)cc(C(=O)N3CCC[C@H](CNS(N)(=O)=O)C3)c2c(=O)[nH]c1=O ZINC000606832811 1072376418 /nfs/dbraw/zinc/37/64/18/1072376418.db2.gz FBAUTLDZBSMRAK-SNVBAGLBSA-N 0 0 436.494 -0.443 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000606832822 1072376256 /nfs/dbraw/zinc/37/62/56/1072376256.db2.gz RTQFRAKNZMKESH-AWEZNQCLSA-N 0 0 446.551 -0.647 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000606832827 1072376798 /nfs/dbraw/zinc/37/67/98/1072376798.db2.gz RTQFRAKNZMKESH-CQSZACIVSA-N 0 0 446.551 -0.647 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000606832862 1072376877 /nfs/dbraw/zinc/37/68/77/1072376877.db2.gz GFBHPPPXCXNEND-QWHCGFSZSA-N 0 0 434.540 -0.606 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000606832865 1072376742 /nfs/dbraw/zinc/37/67/42/1072376742.db2.gz GFBHPPPXCXNEND-STQMWFEESA-N 0 0 434.540 -0.606 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000606833183 1072376789 /nfs/dbraw/zinc/37/67/89/1072376789.db2.gz IEBJKXKMRKYEIJ-GFCCVEGCSA-N 0 0 446.551 -0.219 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000606833184 1072376755 /nfs/dbraw/zinc/37/67/55/1072376755.db2.gz IEBJKXKMRKYEIJ-LBPRGKRZSA-N 0 0 446.551 -0.219 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)C1 ZINC000606833339 1072376848 /nfs/dbraw/zinc/37/68/48/1072376848.db2.gz RIPRQRVEXWYOFY-CYBMUJFWSA-N 0 0 433.490 -0.345 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)C1 ZINC000606833340 1072376823 /nfs/dbraw/zinc/37/68/23/1072376823.db2.gz RIPRQRVEXWYOFY-ZDUSSCGKSA-N 0 0 433.490 -0.345 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000606833378 1072376837 /nfs/dbraw/zinc/37/68/37/1072376837.db2.gz SXILEQGBPPGHAB-CYBMUJFWSA-N 0 0 434.540 -0.743 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000606833379 1072376719 /nfs/dbraw/zinc/37/67/19/1072376719.db2.gz SXILEQGBPPGHAB-ZDUSSCGKSA-N 0 0 434.540 -0.743 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000606833519 1072376697 /nfs/dbraw/zinc/37/66/97/1072376697.db2.gz ADLPKYDWNXXUJG-GDBMZVCRSA-N 0 0 425.490 -0.064 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000606833523 1072376444 /nfs/dbraw/zinc/37/64/44/1072376444.db2.gz ADLPKYDWNXXUJG-GOEBONIOSA-N 0 0 425.490 -0.064 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000606833524 1072376768 /nfs/dbraw/zinc/37/67/68/1072376768.db2.gz ADLPKYDWNXXUJG-HOCLYGCPSA-N 0 0 425.490 -0.064 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000606833525 1072376708 /nfs/dbraw/zinc/37/67/08/1072376708.db2.gz ADLPKYDWNXXUJG-ZBFHGGJFSA-N 0 0 425.490 -0.064 20 0 IBADRN CN(CC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000606834097 1072376891 /nfs/dbraw/zinc/37/68/91/1072376891.db2.gz GPRMGNHQGSSXMN-GFCCVEGCSA-N 0 0 438.959 -0.008 20 0 IBADRN CN(CC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000606834102 1072376683 /nfs/dbraw/zinc/37/66/83/1072376683.db2.gz GPRMGNHQGSSXMN-LBPRGKRZSA-N 0 0 438.959 -0.008 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)C1 ZINC000606835218 1072376778 /nfs/dbraw/zinc/37/67/78/1072376778.db2.gz NRNLGLQQQGRLDB-KBPBESRZSA-N 0 0 438.506 -0.158 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)C1 ZINC000606835225 1072376862 /nfs/dbraw/zinc/37/68/62/1072376862.db2.gz NRNLGLQQQGRLDB-KGLIPLIRSA-N 0 0 438.506 -0.158 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)C1 ZINC000606835226 1072377284 /nfs/dbraw/zinc/37/72/84/1072377284.db2.gz NRNLGLQQQGRLDB-UONOGXRCSA-N 0 0 438.506 -0.158 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)C1 ZINC000606835227 1072377376 /nfs/dbraw/zinc/37/73/76/1072377376.db2.gz NRNLGLQQQGRLDB-ZIAGYGMSSA-N 0 0 438.506 -0.158 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)C1 ZINC000606835282 1072376809 /nfs/dbraw/zinc/37/68/09/1072376809.db2.gz OSOKNABBHBWCSH-LLVKDONJSA-N 0 0 440.494 -0.335 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)C1 ZINC000606835283 1072376912 /nfs/dbraw/zinc/37/69/12/1072376912.db2.gz OSOKNABBHBWCSH-NSHDSACASA-N 0 0 440.494 -0.335 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000606835714 1072376902 /nfs/dbraw/zinc/37/69/02/1072376902.db2.gz SEPJBIMYFKURFJ-JTQLQIEISA-N 0 0 429.890 -0.030 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000606835718 1072376732 /nfs/dbraw/zinc/37/67/32/1072376732.db2.gz SEPJBIMYFKURFJ-SNVBAGLBSA-N 0 0 429.890 -0.030 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000606835848 1072377149 /nfs/dbraw/zinc/37/71/49/1072377149.db2.gz UCHWCROWLJAPGI-CYBMUJFWSA-N 0 0 434.540 -0.743 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000606835850 1072377351 /nfs/dbraw/zinc/37/73/51/1072377351.db2.gz UCHWCROWLJAPGI-ZDUSSCGKSA-N 0 0 434.540 -0.743 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)C1 ZINC000606835851 1072377215 /nfs/dbraw/zinc/37/72/15/1072377215.db2.gz UCQSQOAEHDGWIF-CHWSQXEVSA-N 0 0 436.581 -0.067 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)C1 ZINC000606835854 1072377268 /nfs/dbraw/zinc/37/72/68/1072377268.db2.gz UCQSQOAEHDGWIF-OLZOCXBDSA-N 0 0 436.581 -0.067 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)C1 ZINC000606835869 1072377177 /nfs/dbraw/zinc/37/71/77/1072377177.db2.gz UCQSQOAEHDGWIF-QWHCGFSZSA-N 0 0 436.581 -0.067 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)C1 ZINC000606835870 1072377328 /nfs/dbraw/zinc/37/73/28/1072377328.db2.gz UCQSQOAEHDGWIF-STQMWFEESA-N 0 0 436.581 -0.067 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000606836172 1072377204 /nfs/dbraw/zinc/37/72/04/1072377204.db2.gz XFMFZEUQNDLBQF-GDBMZVCRSA-N 0 0 425.490 -0.064 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000606836173 1072377247 /nfs/dbraw/zinc/37/72/47/1072377247.db2.gz XFMFZEUQNDLBQF-GOEBONIOSA-N 0 0 425.490 -0.064 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000606836174 1072377230 /nfs/dbraw/zinc/37/72/30/1072377230.db2.gz XFMFZEUQNDLBQF-HOCLYGCPSA-N 0 0 425.490 -0.064 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000606836176 1072377133 /nfs/dbraw/zinc/37/71/33/1072377133.db2.gz XFMFZEUQNDLBQF-ZBFHGGJFSA-N 0 0 425.490 -0.064 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)C2=O ZINC000606836457 1072377192 /nfs/dbraw/zinc/37/71/92/1072377192.db2.gz BJDXWJRIXWLXBV-FVRSWCFKSA-N 0 0 429.543 -0.091 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)C2=O ZINC000606836459 1072377163 /nfs/dbraw/zinc/37/71/63/1072377163.db2.gz BJDXWJRIXWLXBV-TXKKKEFDSA-N 0 0 429.543 -0.091 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1C ZINC000606836538 1072377256 /nfs/dbraw/zinc/37/72/56/1072377256.db2.gz CATBMGOLABCFMK-HNNXBMFYSA-N 0 0 432.568 -0.044 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1C ZINC000606836539 1072377340 /nfs/dbraw/zinc/37/73/40/1072377340.db2.gz CATBMGOLABCFMK-OAHLLOKOSA-N 0 0 432.568 -0.044 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)nnn1-c1ccc2c(c1)OCCO2 ZINC000606836880 1072377317 /nfs/dbraw/zinc/37/73/17/1072377317.db2.gz WQUFPEXJSUUENS-CYBMUJFWSA-N 0 0 436.494 -0.008 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)nnn1-c1ccc2c(c1)OCCO2 ZINC000606836885 1072377113 /nfs/dbraw/zinc/37/71/13/1072377113.db2.gz WQUFPEXJSUUENS-ZDUSSCGKSA-N 0 0 436.494 -0.008 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000606838266 1072377623 /nfs/dbraw/zinc/37/76/23/1072377623.db2.gz QVEFQRWOVCPBOX-AWEZNQCLSA-N 0 0 447.583 -0.155 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000606838267 1072377762 /nfs/dbraw/zinc/37/77/62/1072377762.db2.gz QVEFQRWOVCPBOX-CQSZACIVSA-N 0 0 447.583 -0.155 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000606838278 1072377673 /nfs/dbraw/zinc/37/76/73/1072377673.db2.gz RIDMRARVGLEPOV-CYBMUJFWSA-N 0 0 447.535 -0.347 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000606838279 1072377769 /nfs/dbraw/zinc/37/77/69/1072377769.db2.gz RIDMRARVGLEPOV-ZDUSSCGKSA-N 0 0 447.535 -0.347 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000606838460 1072377359 /nfs/dbraw/zinc/37/73/59/1072377359.db2.gz YURZGOIEROHHJM-AWEZNQCLSA-N 0 0 440.522 -0.194 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000606838461 1072377301 /nfs/dbraw/zinc/37/73/01/1072377301.db2.gz YURZGOIEROHHJM-CQSZACIVSA-N 0 0 440.522 -0.194 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1OCc1cnn(C)c1 ZINC000606841212 1072377699 /nfs/dbraw/zinc/37/76/99/1072377699.db2.gz XUMDFTYLAISVEF-UHFFFAOYSA-N 0 0 440.464 -0.021 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(Cc2nnc(C)n2C)CC1 ZINC000606851334 1072377801 /nfs/dbraw/zinc/37/78/01/1072377801.db2.gz LUZOQJHJPYBNSR-LBPRGKRZSA-N 0 0 425.515 -0.260 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)CCc3nc4c([nH]3)n(C)c(=O)[nH]c4=O)CC2)n1 ZINC000606858136 1072377657 /nfs/dbraw/zinc/37/76/57/1072377657.db2.gz UJUAGWWCQJIRRP-UHFFFAOYSA-N 0 0 427.469 -0.500 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(c3ccnc(N(C)C)n3)CC2)cn1C ZINC000606858795 1072377608 /nfs/dbraw/zinc/37/76/08/1072377608.db2.gz DRPMLMSDLMKGRV-UHFFFAOYSA-N 0 0 436.542 -0.398 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)CC2)n1 ZINC000606858825 1072377635 /nfs/dbraw/zinc/37/76/35/1072377635.db2.gz HCLXGBVTXGXJLH-UHFFFAOYSA-N 0 0 449.537 -0.146 20 0 IBADRN CN(C)c1nccc(N2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)n1 ZINC000606858829 1072377866 /nfs/dbraw/zinc/37/78/66/1072377866.db2.gz HFRWEBVFODJZQF-UHFFFAOYSA-N 0 0 438.286 -0.084 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(N4CCC(C(N)=O)CC4)c3)c2c(=O)n(C)c1=O ZINC000606869227 1072377752 /nfs/dbraw/zinc/37/77/52/1072377752.db2.gz TWCCUQCIWPLZER-UHFFFAOYSA-N 0 0 439.476 -0.226 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCn3c(nnc3C(F)(F)F)C2)CC1 ZINC000606923018 1072377685 /nfs/dbraw/zinc/37/76/85/1072377685.db2.gz KIWKCJLKFLTAKW-UHFFFAOYSA-N 0 0 425.437 -0.601 20 0 IBADRN CC(C)(C(N)=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000606953988 1072377845 /nfs/dbraw/zinc/37/78/45/1072377845.db2.gz QFZCAGAZGOJKIX-UHFFFAOYSA-N 0 0 431.493 -0.016 20 0 IBADRN CCc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)no1 ZINC000606988232 1072378839 /nfs/dbraw/zinc/37/88/39/1072378839.db2.gz FYLSHWANJZRIJC-UHFFFAOYSA-N 0 0 436.494 -0.161 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC(C)(C)C)c1 ZINC000606988521 1071486312 /nfs/dbraw/zinc/48/63/12/1071486312.db2.gz WUUHYSJHBBYHMD-UHFFFAOYSA-N 0 0 428.511 -0.111 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)CN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000606988658 1071486378 /nfs/dbraw/zinc/48/63/78/1071486378.db2.gz JLKXGYNHCUVTLG-CYBMUJFWSA-N 0 0 440.522 -0.089 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)CN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000606988660 1072378771 /nfs/dbraw/zinc/37/87/71/1072378771.db2.gz JLKXGYNHCUVTLG-ZDUSSCGKSA-N 0 0 440.522 -0.089 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000606988731 1071486199 /nfs/dbraw/zinc/48/61/99/1071486199.db2.gz KGNYGTGHWLUPNI-UHFFFAOYSA-N 0 0 448.523 -0.870 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC000606989623 1071486971 /nfs/dbraw/zinc/48/69/71/1071486971.db2.gz DXRYVSVLWHEBBB-INIZCTEOSA-N 0 0 426.495 -0.257 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC000606989628 1071486941 /nfs/dbraw/zinc/48/69/41/1071486941.db2.gz DXRYVSVLWHEBBB-MRXNPFEDSA-N 0 0 426.495 -0.257 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCN2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000606992463 1072378894 /nfs/dbraw/zinc/37/88/94/1072378894.db2.gz XDXCXFSTAROMEY-UHFFFAOYSA-N 0 0 440.522 -0.087 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)no1 ZINC000606992626 1074355564 /nfs/dbraw/zinc/35/55/64/1074355564.db2.gz OEHUWMYPPMOIFP-UHFFFAOYSA-N 0 0 425.423 -0.600 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)no1 ZINC000606992726 1072378788 /nfs/dbraw/zinc/37/87/88/1072378788.db2.gz STJCUDICXFMMQJ-BETUJISGSA-N 0 0 429.499 -0.326 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)no1 ZINC000606992727 1072378798 /nfs/dbraw/zinc/37/87/98/1072378798.db2.gz STJCUDICXFMMQJ-CHWSQXEVSA-N 0 0 429.499 -0.326 20 0 IBADRN CCc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)no1 ZINC000606993116 1072377886 /nfs/dbraw/zinc/37/78/86/1072377886.db2.gz STJCUDICXFMMQJ-STQMWFEESA-N 0 0 429.499 -0.326 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc1S(=O)(=O)NC(C)(C)C ZINC000606995765 1072377648 /nfs/dbraw/zinc/37/76/48/1072377648.db2.gz YRWFLJKWMIRKJD-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN CC(C)(C(N)=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000606996174 1072378372 /nfs/dbraw/zinc/37/83/72/1072378372.db2.gz IRBSDGQTIQAJJJ-UHFFFAOYSA-N 0 0 442.563 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000606996383 1071494750 /nfs/dbraw/zinc/49/47/50/1071494750.db2.gz YTGJUFUCYNJZLL-AWEZNQCLSA-N 0 0 442.494 -0.577 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000606996386 1071494809 /nfs/dbraw/zinc/49/48/09/1071494809.db2.gz YTGJUFUCYNJZLL-CQSZACIVSA-N 0 0 442.494 -0.577 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)cc1S(=O)(=O)NC(C)(C)C ZINC000606996879 1072378407 /nfs/dbraw/zinc/37/84/07/1072378407.db2.gz NDRUEVROXIJZQF-UHFFFAOYSA-N 0 0 439.494 -0.322 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000606997764 1072378312 /nfs/dbraw/zinc/37/83/12/1072378312.db2.gz QNNIREGAYFTHEB-LLVKDONJSA-N 0 0 447.535 -0.034 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000606997765 1072378421 /nfs/dbraw/zinc/37/84/21/1072378421.db2.gz QNNIREGAYFTHEB-NSHDSACASA-N 0 0 447.535 -0.034 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCS(N)(=O)=O)cccc1S(=O)(=O)NC(C)(C)C ZINC000606998087 1072378335 /nfs/dbraw/zinc/37/83/35/1072378335.db2.gz BLGZAZFKUBCEBN-UHFFFAOYSA-N 0 0 434.540 -0.195 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000606998993 1072378401 /nfs/dbraw/zinc/37/84/01/1072378401.db2.gz BAGCGBICIKIDTG-UHFFFAOYSA-N 0 0 432.524 -0.802 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCCN(c4cccnn4)CC3)cnc2n(C)c1=O ZINC000606999141 1072378258 /nfs/dbraw/zinc/37/82/58/1072378258.db2.gz FGZHQJOWJQFUOG-UHFFFAOYSA-N 0 0 438.448 -0.900 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC000606999311 1072378367 /nfs/dbraw/zinc/37/83/67/1072378367.db2.gz MWQNHXXVRDMWHP-KRWDZBQOSA-N 0 0 440.522 -0.514 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC000606999315 1072378361 /nfs/dbraw/zinc/37/83/61/1072378361.db2.gz MWQNHXXVRDMWHP-QGZVFWFLSA-N 0 0 440.522 -0.514 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000606999460 1071498490 /nfs/dbraw/zinc/49/84/90/1071498490.db2.gz MGJPMPHTVZLYNG-UHFFFAOYSA-N 0 0 443.547 -0.137 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000606999853 1072378394 /nfs/dbraw/zinc/37/83/94/1072378394.db2.gz VMAKCQYAMSWYOQ-AWEZNQCLSA-N 0 0 430.504 -0.165 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000606999860 1072378271 /nfs/dbraw/zinc/37/82/71/1072378271.db2.gz VMAKCQYAMSWYOQ-CQSZACIVSA-N 0 0 430.504 -0.165 20 0 IBADRN COC[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000607000050 1072378308 /nfs/dbraw/zinc/37/83/08/1072378308.db2.gz CHGUDLFUIBQAKR-AWEZNQCLSA-N 0 0 425.511 -0.403 20 0 IBADRN COC[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000607000054 1072378357 /nfs/dbraw/zinc/37/83/57/1072378357.db2.gz CHGUDLFUIBQAKR-CQSZACIVSA-N 0 0 425.511 -0.403 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000607000361 1072378323 /nfs/dbraw/zinc/37/83/23/1072378323.db2.gz JDZBHGIYGYHRQB-UHFFFAOYSA-N 0 0 438.506 -0.722 20 0 IBADRN O=C(Nc1cccc(Cn2nc3ccccn3c2=O)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000607000544 1072378378 /nfs/dbraw/zinc/37/83/78/1072378378.db2.gz NGEMPSWHISODJP-UHFFFAOYSA-N 0 0 429.458 -0.260 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000607001041 1072378318 /nfs/dbraw/zinc/37/83/18/1072378318.db2.gz UVGXNQOFFUXSII-UHFFFAOYSA-N 0 0 448.501 -0.304 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000607001050 1072378413 /nfs/dbraw/zinc/37/84/13/1072378413.db2.gz VVBXHFWHIMCLKK-UHFFFAOYSA-N 0 0 445.519 -0.789 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000607001063 1072379011 /nfs/dbraw/zinc/37/90/11/1072379011.db2.gz XSLQWGZADVJNKI-UHFFFAOYSA-N 0 0 431.492 -0.669 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000607023653 1072378934 /nfs/dbraw/zinc/37/89/34/1072378934.db2.gz KEQRSMUISVJKBB-DAXOMENPSA-N 0 0 434.511 -0.398 20 0 IBADRN C[C@H](C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000607023655 1071517470 /nfs/dbraw/zinc/51/74/70/1071517470.db2.gz KEQRSMUISVJKBB-KCXAZCMYSA-N 0 0 434.511 -0.398 20 0 IBADRN C[C@H](C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000607023656 1072378857 /nfs/dbraw/zinc/37/88/57/1072378857.db2.gz KEQRSMUISVJKBB-UHOFOFEASA-N 0 0 434.511 -0.398 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000607023657 1072378812 /nfs/dbraw/zinc/37/88/12/1072378812.db2.gz KEQRSMUISVJKBB-WQVCFCJDSA-N 0 0 434.511 -0.398 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)Nc3cn(C)c(=O)n(C)c3=O)CC2)c1 ZINC000607026559 1072378920 /nfs/dbraw/zinc/37/89/20/1072378920.db2.gz MFWYJFOZBGDHOF-UHFFFAOYSA-N 0 0 435.506 -0.517 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)Nc2cn(C)c(=O)n(C)c2=O)C1 ZINC000607026605 1072378750 /nfs/dbraw/zinc/37/87/50/1072378750.db2.gz QCSNBOJJGNLXEH-GFCCVEGCSA-N 0 0 425.467 -0.272 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)Nc2cn(C)c(=O)n(C)c2=O)C1 ZINC000607026608 1071528080 /nfs/dbraw/zinc/52/80/80/1071528080.db2.gz QCSNBOJJGNLXEH-LBPRGKRZSA-N 0 0 425.467 -0.272 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000607028963 1072378996 /nfs/dbraw/zinc/37/89/96/1072378996.db2.gz ZDJVKZBBKJMPJS-UHFFFAOYSA-N 0 0 438.441 -0.538 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000607033987 1072379367 /nfs/dbraw/zinc/37/93/67/1072379367.db2.gz SLDYSXUSETZTNO-NHYWBVRUSA-N 0 0 432.524 -0.409 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000607033989 1072379394 /nfs/dbraw/zinc/37/93/94/1072379394.db2.gz SLDYSXUSETZTNO-XHDPSFHLSA-N 0 0 432.524 -0.409 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)CN1CCN(CC)C(=O)C1=O ZINC000607040173 1072379404 /nfs/dbraw/zinc/37/94/04/1072379404.db2.gz ULHNTHSWBWFVJX-UHFFFAOYSA-N 0 0 426.495 -0.035 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)N1CCN(CCn2cncn2)CC1 ZINC000607042385 1072379343 /nfs/dbraw/zinc/37/93/43/1072379343.db2.gz CAIRBTZNPCOVAL-FQEVSTJZSA-N 0 0 437.504 -0.102 20 0 IBADRN O=C(CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)N1CCN(CCn2cncn2)CC1 ZINC000607042391 1072379420 /nfs/dbraw/zinc/37/94/20/1072379420.db2.gz CAIRBTZNPCOVAL-HXUWFJFHSA-N 0 0 437.504 -0.102 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN(CCn2cncn2)CC1 ZINC000607043028 1072379391 /nfs/dbraw/zinc/37/93/91/1072379391.db2.gz SFKJZOLPYDEROG-UHFFFAOYSA-N 0 0 434.522 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(CCn3cncn3)CC2)cc1 ZINC000607043237 1072379413 /nfs/dbraw/zinc/37/94/13/1072379413.db2.gz YHMKPYDSISWKBQ-HNNXBMFYSA-N 0 0 449.537 -0.252 20 0 IBADRN CCOc1ccc(NC(=O)C[C@H](NC(C)=O)C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000607044313 1072379372 /nfs/dbraw/zinc/37/93/72/1072379372.db2.gz WUSKRSYHWONYLD-AWEZNQCLSA-N 0 0 442.494 -0.575 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1S(N)(=O)=O ZINC000607047017 1072379429 /nfs/dbraw/zinc/37/94/29/1072379429.db2.gz CHXOJQDSSPNIFV-UHFFFAOYSA-N 0 0 432.506 -0.099 20 0 IBADRN O=C(CN1CCN(c2ccccn2)CC1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000607048921 1072379356 /nfs/dbraw/zinc/37/93/56/1072379356.db2.gz YWCFEHBSPBSBSE-UHFFFAOYSA-N 0 0 438.536 -0.151 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)C[C@H](NC(C)=O)C(N)=O)CC3)n2)cc1 ZINC000607052221 1072378780 /nfs/dbraw/zinc/37/87/80/1072378780.db2.gz VMUOJIRVDZHCQK-INIZCTEOSA-N 0 0 430.465 -0.231 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(CCn3cncn3)CC2)cc1 ZINC000607052236 1072378802 /nfs/dbraw/zinc/37/88/02/1072378802.db2.gz BVCRGPPEVAADDY-UHFFFAOYSA-N 0 0 449.537 -0.299 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)N1CCN(CCn2cncn2)CC1 ZINC000607052463 1072379030 /nfs/dbraw/zinc/37/90/30/1072379030.db2.gz QGQJOWPATYBKBZ-UHFFFAOYSA-N 0 0 425.497 -0.186 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccccc2CN2CCOCC2)CC1)N1CCOCC1 ZINC000607052654 1072378763 /nfs/dbraw/zinc/37/87/63/1072378763.db2.gz HDKJPZCGQKXQAT-UHFFFAOYSA-N 0 0 430.505 -0.338 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(CCn3cncn3)CC2)c1 ZINC000607052711 1072378869 /nfs/dbraw/zinc/37/88/69/1072378869.db2.gz YBTSGVCFRBTEII-UHFFFAOYSA-N 0 0 436.538 -0.031 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)[N-]c3ccc(N4CC[NH+](C)CC4)cn3)cnc21 ZINC000607058235 1072378949 /nfs/dbraw/zinc/37/89/49/1072378949.db2.gz KLXCXERWGPLPCO-UHFFFAOYSA-N 0 0 431.478 -0.018 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1OC ZINC000607061415 1072379779 /nfs/dbraw/zinc/37/97/79/1072379779.db2.gz XHCFWBJEFBNGFT-UHFFFAOYSA-N 0 0 449.551 -0.182 20 0 IBADRN O=C(NCCCOC[C@@H]1CCOC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000607071146 1072379418 /nfs/dbraw/zinc/37/94/18/1072379418.db2.gz DMIJQCHNPTZMTM-HNNXBMFYSA-N 0 0 425.507 -0.020 20 0 IBADRN O=C(NCCCOC[C@H]1CCOC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000607071161 1072379399 /nfs/dbraw/zinc/37/93/99/1072379399.db2.gz DMIJQCHNPTZMTM-OAHLLOKOSA-N 0 0 425.507 -0.020 20 0 IBADRN O=C(NCCCOC[C@@H]1CCOC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000607071566 1071548621 /nfs/dbraw/zinc/54/86/21/1071548621.db2.gz BRMOTLPBRIEIPZ-HNNXBMFYSA-N 0 0 425.507 -0.020 20 0 IBADRN O=C(NCCCOC[C@H]1CCOC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000607071568 1072379402 /nfs/dbraw/zinc/37/94/02/1072379402.db2.gz BRMOTLPBRIEIPZ-OAHLLOKOSA-N 0 0 425.507 -0.020 20 0 IBADRN CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000607073946 1071554031 /nfs/dbraw/zinc/55/40/31/1071554031.db2.gz IJCHCYLVGZJUQQ-UHFFFAOYSA-N 0 0 433.490 -0.114 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cc(F)ccc3N3CCOCC3)c2c(=O)n(C)c1=O ZINC000607082594 1072379386 /nfs/dbraw/zinc/37/93/86/1072379386.db2.gz KEUWKZBECHAFCP-UHFFFAOYSA-N 0 0 430.440 -0.274 20 0 IBADRN Cc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1S(=O)(=O)NC1CC1 ZINC000607095129 1072379361 /nfs/dbraw/zinc/37/93/61/1072379361.db2.gz IHLSILIPUUFKKX-UHFFFAOYSA-N 0 0 446.489 -0.178 20 0 IBADRN Cc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1S(=O)(=O)NC1CC1 ZINC000607095917 1072379397 /nfs/dbraw/zinc/37/93/97/1072379397.db2.gz GTPLASMQWAIUGA-UHFFFAOYSA-N 0 0 446.489 -0.178 20 0 IBADRN Cc1c(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cccc1S(=O)(=O)NC1CC1 ZINC000607096285 1072379411 /nfs/dbraw/zinc/37/94/11/1072379411.db2.gz JEOFKUQONXIDAH-UHFFFAOYSA-N 0 0 446.489 -0.178 20 0 IBADRN Cc1c(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cccc1S(=O)(=O)NC1CC1 ZINC000607096587 1072379428 /nfs/dbraw/zinc/37/94/28/1072379428.db2.gz ZUCRDZVKOFRFPX-UHFFFAOYSA-N 0 0 446.489 -0.178 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)NCCN2CCS(=O)(=O)CC2)cc1OC ZINC000607109329 1072379697 /nfs/dbraw/zinc/37/96/97/1072379697.db2.gz XAJVYSPTDGXIFH-UHFFFAOYSA-N 0 0 434.536 -0.286 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000607110208 1071583689 /nfs/dbraw/zinc/58/36/89/1071583689.db2.gz AYJVGZDJKKZBBU-AWEZNQCLSA-N 0 0 441.506 -0.279 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000607110211 1071583712 /nfs/dbraw/zinc/58/37/12/1071583712.db2.gz AYJVGZDJKKZBBU-CQSZACIVSA-N 0 0 441.506 -0.279 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCN(C)C(=O)C2)c(=O)[nH]c1=O ZINC000607116915 1072379737 /nfs/dbraw/zinc/37/97/37/1072379737.db2.gz VUYSPKVSYZGEPI-UHFFFAOYSA-N 0 0 438.485 -0.999 20 0 IBADRN CC(C)CCn1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nn1 ZINC000607117559 1072379832 /nfs/dbraw/zinc/37/98/32/1072379832.db2.gz CKQGUASIFXXJRA-HNNXBMFYSA-N 0 0 426.543 -0.615 20 0 IBADRN CC(C)CCn1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nn1 ZINC000607117581 1072379883 /nfs/dbraw/zinc/37/98/83/1072379883.db2.gz CKQGUASIFXXJRA-OAHLLOKOSA-N 0 0 426.543 -0.615 20 0 IBADRN Cn1c(CCC(=O)N2CCN(C)C(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000607117675 1072379680 /nfs/dbraw/zinc/37/96/80/1072379680.db2.gz YCNTZCLQGUDEIP-UHFFFAOYSA-N 0 0 449.533 -0.173 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2Cc3cc(F)ccc3O2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000607117691 1072379714 /nfs/dbraw/zinc/37/97/14/1072379714.db2.gz GAJYVYPIJYOZJH-DOTOQJQBSA-N 0 0 425.482 -0.423 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2Cc3cc(F)ccc3O2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000607117697 1072379815 /nfs/dbraw/zinc/37/98/15/1072379815.db2.gz GAJYVYPIJYOZJH-NVXWUHKLSA-N 0 0 425.482 -0.423 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2Cc3cc(F)ccc3O2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000607117698 1072379725 /nfs/dbraw/zinc/37/97/25/1072379725.db2.gz GAJYVYPIJYOZJH-RDJZCZTQSA-N 0 0 425.482 -0.423 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2Cc3cc(F)ccc3O2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000607117699 1072379857 /nfs/dbraw/zinc/37/98/57/1072379857.db2.gz GAJYVYPIJYOZJH-WBVHZDCISA-N 0 0 425.482 -0.423 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)cn1 ZINC000607139648 1071592169 /nfs/dbraw/zinc/59/21/69/1071592169.db2.gz WFCWVZYYIXGHMS-UHFFFAOYSA-N 0 0 431.471 -0.083 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3cc(-n4ccnc4)ncn3)CC2)cc1 ZINC000607142971 1072379932 /nfs/dbraw/zinc/37/99/32/1072379932.db2.gz RLMQZIFCAUXRCQ-UHFFFAOYSA-N 0 0 449.518 -0.179 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000607147922 1072379805 /nfs/dbraw/zinc/37/98/05/1072379805.db2.gz DEBCRXBEURRNCA-CRAIPNDOSA-N 0 0 438.550 -0.296 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000607147929 1072379914 /nfs/dbraw/zinc/37/99/14/1072379914.db2.gz DEBCRXBEURRNCA-MAUKXSAKSA-N 0 0 438.550 -0.296 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000607147930 1072379751 /nfs/dbraw/zinc/37/97/51/1072379751.db2.gz DEBCRXBEURRNCA-QAPCUYQASA-N 0 0 438.550 -0.296 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000607147932 1072379823 /nfs/dbraw/zinc/37/98/23/1072379823.db2.gz DEBCRXBEURRNCA-YJBOKZPZSA-N 0 0 438.550 -0.296 20 0 IBADRN CC(C)CN(C(=O)COc1cccc(C(=O)NCC(N)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000607149050 1072379764 /nfs/dbraw/zinc/37/97/64/1072379764.db2.gz OFZRSUAWVIXUOI-HNNXBMFYSA-N 0 0 425.507 -0.048 20 0 IBADRN CC(C)CN(C(=O)COc1cccc(C(=O)NCC(N)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000607149051 1072379874 /nfs/dbraw/zinc/37/98/74/1072379874.db2.gz OFZRSUAWVIXUOI-OAHLLOKOSA-N 0 0 425.507 -0.048 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000607152691 1072379893 /nfs/dbraw/zinc/37/98/93/1072379893.db2.gz JHCZWKHHXAGJAP-UHFFFAOYSA-N 0 0 438.554 -0.307 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCCOc3ccc(F)cc3)CC2)CC1 ZINC000607152700 1072379792 /nfs/dbraw/zinc/37/97/92/1072379792.db2.gz KVOHOBZTEAMUSL-UHFFFAOYSA-N 0 0 443.545 -0.570 20 0 IBADRN CC(C)N(CC(F)(F)F)C(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000607152732 1072380456 /nfs/dbraw/zinc/38/04/56/1072380456.db2.gz OEWPVOQOZUDCSP-UHFFFAOYSA-N 0 0 429.509 -0.105 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CC(=O)Nc4ccccc43)CC2)CC1 ZINC000607152781 1072380368 /nfs/dbraw/zinc/38/03/68/1072380368.db2.gz ROUJYRXHLCEWPB-UHFFFAOYSA-N 0 0 436.538 -0.919 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCC(=O)c3cccs3)CC2)CC1 ZINC000607152787 1072380382 /nfs/dbraw/zinc/38/03/82/1072380382.db2.gz SBBILGOFBRPDTL-UHFFFAOYSA-N 0 0 429.568 -0.843 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000607160046 1072380388 /nfs/dbraw/zinc/38/03/88/1072380388.db2.gz FDWGPOUXMJUIQU-HNNXBMFYSA-N 0 0 447.583 -0.364 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000607160051 1072380522 /nfs/dbraw/zinc/38/05/22/1072380522.db2.gz FDWGPOUXMJUIQU-OAHLLOKOSA-N 0 0 447.583 -0.364 20 0 IBADRN CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000607181694 1072380305 /nfs/dbraw/zinc/38/03/05/1072380305.db2.gz WURLIESKRVSSKN-UHFFFAOYSA-N 0 0 432.568 -0.191 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000607186230 1072380375 /nfs/dbraw/zinc/38/03/75/1072380375.db2.gz NAWYLZVWIJFCQQ-UHFFFAOYSA-N 0 0 439.538 -0.507 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000607190350 1072380345 /nfs/dbraw/zinc/38/03/45/1072380345.db2.gz DSKHOMADUAHOFO-GFCCVEGCSA-N 0 0 433.508 -0.594 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000607190351 1072380409 /nfs/dbraw/zinc/38/04/09/1072380409.db2.gz DSKHOMADUAHOFO-LBPRGKRZSA-N 0 0 433.508 -0.594 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000607214618 1072380443 /nfs/dbraw/zinc/38/04/43/1072380443.db2.gz VZAJGDDSFAINHK-UHFFFAOYSA-N 0 0 439.494 -0.407 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000607249069 1072380293 /nfs/dbraw/zinc/38/02/93/1072380293.db2.gz YETPALNHCXTEOW-UHFFFAOYSA-N 0 0 429.477 -0.409 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2cc(F)ccc2N2CCOCC2)CC1 ZINC000607251590 1072381088 /nfs/dbraw/zinc/38/10/88/1072381088.db2.gz IIVQVVSVEIBXHU-UHFFFAOYSA-N 0 0 432.460 -0.297 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000607253896 1072380962 /nfs/dbraw/zinc/38/09/62/1072380962.db2.gz IRDSIAQDOQRMJM-CABCVRRESA-N 0 0 430.527 -0.945 20 0 IBADRN CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000607253897 1072381020 /nfs/dbraw/zinc/38/10/20/1072381020.db2.gz IRDSIAQDOQRMJM-GJZGRUSLSA-N 0 0 430.527 -0.945 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000607253898 1072381050 /nfs/dbraw/zinc/38/10/50/1072381050.db2.gz IRDSIAQDOQRMJM-HUUCEWRRSA-N 0 0 430.527 -0.945 20 0 IBADRN CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000607253899 1072381072 /nfs/dbraw/zinc/38/10/72/1072381072.db2.gz IRDSIAQDOQRMJM-LSDHHAIUSA-N 0 0 430.527 -0.945 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2CCS(=O)(=O)C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000607255734 1072381058 /nfs/dbraw/zinc/38/10/58/1072381058.db2.gz FICNYJFBXFPPRC-CYBMUJFWSA-N 0 0 429.520 -0.014 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2CCS(=O)(=O)C2)c(C)c1S(=O)(=O)NC1CC1 ZINC000607255735 1072381008 /nfs/dbraw/zinc/38/10/08/1072381008.db2.gz FICNYJFBXFPPRC-ZDUSSCGKSA-N 0 0 429.520 -0.014 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000607256340 1072381098 /nfs/dbraw/zinc/38/10/98/1072381098.db2.gz FVRBATIJUKRXGT-UHFFFAOYSA-N 0 0 436.487 -0.740 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1N1CCCS1(=O)=O ZINC000607256610 1071644481 /nfs/dbraw/zinc/64/44/81/1071644481.db2.gz AWXQXQGVNRSCSJ-UHFFFAOYSA-N 0 0 438.506 -0.161 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1S(=O)(=O)NC1CC1 ZINC000607256633 1072380996 /nfs/dbraw/zinc/38/09/96/1072380996.db2.gz BBYCUVJEKDMBNM-UHFFFAOYSA-N 0 0 438.506 -0.261 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1C ZINC000607256858 1072381037 /nfs/dbraw/zinc/38/10/37/1072381037.db2.gz VLXXSHLTLCOHSU-UHFFFAOYSA-N 0 0 446.551 -0.262 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000607256868 1072381078 /nfs/dbraw/zinc/38/10/78/1072381078.db2.gz VNWWCSCZAPRHSO-UHFFFAOYSA-N 0 0 432.524 -0.570 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000607257033 1072380940 /nfs/dbraw/zinc/38/09/40/1072380940.db2.gz ZHTCBEAYCAIEIW-UHFFFAOYSA-N 0 0 432.524 -0.570 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC(C)(C)C)c1 ZINC000607257662 1072380926 /nfs/dbraw/zinc/38/09/26/1072380926.db2.gz OVQOEIVURMJGRG-UHFFFAOYSA-N 0 0 432.474 -0.280 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC(C)C ZINC000607257822 1072380984 /nfs/dbraw/zinc/38/09/84/1072380984.db2.gz UAUNKEOXKRUKHP-UHFFFAOYSA-N 0 0 444.510 -0.459 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1C ZINC000607257848 1072380952 /nfs/dbraw/zinc/38/09/52/1072380952.db2.gz WRDBPHIHKJJLPD-UHFFFAOYSA-N 0 0 426.495 -0.439 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1C(=O)N(C)C ZINC000607257895 1072381086 /nfs/dbraw/zinc/38/10/86/1072381086.db2.gz CNPYKKVVWVSHJM-UHFFFAOYSA-N 0 0 443.504 -0.071 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1C(=O)N(C)C ZINC000607257897 1072381028 /nfs/dbraw/zinc/38/10/28/1072381028.db2.gz COPZDRVNXOLMAO-UHFFFAOYSA-N 0 0 429.477 -0.415 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)NCC(F)(F)F ZINC000607258027 1072381066 /nfs/dbraw/zinc/38/10/66/1072381066.db2.gz RQTREOSTDUUKJZ-UHFFFAOYSA-N 0 0 440.375 0.000 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000607258284 1072380913 /nfs/dbraw/zinc/38/09/13/1072380913.db2.gz BPZCSQCLBGCFJR-UHFFFAOYSA-N 0 0 446.551 -0.228 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cccc1S(=O)(=O)NC1CC1 ZINC000607258399 1072381536 /nfs/dbraw/zinc/38/15/36/1072381536.db2.gz OWCBBBGETPZFOJ-UHFFFAOYSA-N 0 0 446.551 -0.228 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1N1CCCS1(=O)=O ZINC000607258410 1072381595 /nfs/dbraw/zinc/38/15/95/1072381595.db2.gz POGACRJZMRJYRM-UHFFFAOYSA-N 0 0 446.551 -0.129 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)CC1 ZINC000607258775 1072381525 /nfs/dbraw/zinc/38/15/25/1072381525.db2.gz GPKBZAZHWNLMMA-UHFFFAOYSA-N 0 0 438.506 -0.309 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cccc1S(=O)(=O)NC1CC1 ZINC000607258851 1072381424 /nfs/dbraw/zinc/38/14/24/1072381424.db2.gz DSKHKIFZNXHNOZ-UHFFFAOYSA-N 0 0 444.535 -0.522 20 0 IBADRN O=C(Nc1ccccc1OCC1CC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000607258854 1072381503 /nfs/dbraw/zinc/38/15/03/1072381503.db2.gz DXVWCUJDYFSXBV-UHFFFAOYSA-N 0 0 444.488 -0.057 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1N1CCCS1(=O)=O ZINC000607258894 1072381461 /nfs/dbraw/zinc/38/14/61/1072381461.db2.gz ICBCGOYHFJOPPC-UHFFFAOYSA-N 0 0 444.535 -0.423 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000607258895 1072381545 /nfs/dbraw/zinc/38/15/45/1072381545.db2.gz IDBZSHMURKUCFA-UHFFFAOYSA-N 0 0 444.535 -0.522 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000607259013 1072381573 /nfs/dbraw/zinc/38/15/73/1072381573.db2.gz OZHKTFFGGZZTDP-UHFFFAOYSA-N 0 0 438.506 -0.309 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1C(=O)N(C)C ZINC000607259105 1072381553 /nfs/dbraw/zinc/38/15/53/1072381553.db2.gz VKUQFAOIVWZGIK-UHFFFAOYSA-N 0 0 425.511 -0.414 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1C(=O)N(C)C ZINC000607259201 1072381106 /nfs/dbraw/zinc/38/11/06/1072381106.db2.gz TWANFKXZIBUYNB-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000607261740 1072381453 /nfs/dbraw/zinc/38/14/53/1072381453.db2.gz CURBDPMUGAUZEF-UHFFFAOYSA-N 0 0 446.551 -0.081 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000607261780 1072381416 /nfs/dbraw/zinc/38/14/16/1072381416.db2.gz BMFFAJOUHWOIOU-UHFFFAOYSA-N 0 0 446.551 -0.182 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000607261790 1072381564 /nfs/dbraw/zinc/38/15/64/1072381564.db2.gz FQSKZTDPDSUPHC-HNNXBMFYSA-N 0 0 442.494 -0.625 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000607261799 1072381470 /nfs/dbraw/zinc/38/14/70/1072381470.db2.gz FQSKZTDPDSUPHC-OAHLLOKOSA-N 0 0 442.494 -0.625 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCN2C(=O)CNC2=O)cccc1S(=O)(=O)NC1CC1 ZINC000607262119 1072381583 /nfs/dbraw/zinc/38/15/83/1072381583.db2.gz ICHGKRDWMCRFAD-UHFFFAOYSA-N 0 0 437.478 -0.568 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1N1CCCS1(=O)=O ZINC000607262446 1072382122 /nfs/dbraw/zinc/38/21/22/1072382122.db2.gz PLHHICMNDFVAGD-UHFFFAOYSA-N 0 0 446.551 -0.083 20 0 IBADRN Cc1c(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cccc1S(=O)(=O)NC1CC1 ZINC000607262456 1072381996 /nfs/dbraw/zinc/38/19/96/1072381996.db2.gz KNUCFCCSGCFVFD-UHFFFAOYSA-N 0 0 446.551 -0.182 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1N1CCCS1(=O)=O ZINC000607262530 1072381477 /nfs/dbraw/zinc/38/14/77/1072381477.db2.gz NKWZVQIKSAZQFF-UHFFFAOYSA-N 0 0 446.551 -0.083 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000607262562 1072381517 /nfs/dbraw/zinc/38/15/17/1072381517.db2.gz SSNUBGHGQIXSIS-UHFFFAOYSA-N 0 0 448.498 -0.643 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1S(=O)(=O)NC1CC1 ZINC000607262587 1072381956 /nfs/dbraw/zinc/38/19/56/1072381956.db2.gz TVKLPRPPLFHNSC-UHFFFAOYSA-N 0 0 446.551 -0.182 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000607262608 1072381949 /nfs/dbraw/zinc/38/19/49/1072381949.db2.gz PWBNQQFRIPLEHM-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cccc1S(=O)(=O)NC1CC1 ZINC000607262764 1072381495 /nfs/dbraw/zinc/38/14/95/1072381495.db2.gz VHTKIRQFNCQIPF-UHFFFAOYSA-N 0 0 446.551 -0.182 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000607262844 1072381488 /nfs/dbraw/zinc/38/14/88/1072381488.db2.gz ZDRJOMWECZZOAC-UHFFFAOYSA-N 0 0 444.535 -0.474 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNC(=O)c2cnccn2)cc1N1CCCS1(=O)=O ZINC000607262946 1072381437 /nfs/dbraw/zinc/38/14/37/1072381437.db2.gz ZIFUMWNOFQGMSA-UHFFFAOYSA-N 0 0 446.489 -0.190 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1C(=O)N(C)C ZINC000607263194 1072381445 /nfs/dbraw/zinc/38/14/45/1072381445.db2.gz FYXQMXACIBCHIP-UHFFFAOYSA-N 0 0 439.538 -0.671 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000607263404 1072382108 /nfs/dbraw/zinc/38/21/08/1072382108.db2.gz MRNCAZIQSDTSAR-INIZCTEOSA-N 0 0 438.506 -0.262 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000607263407 1072382058 /nfs/dbraw/zinc/38/20/58/1072382058.db2.gz MRNCAZIQSDTSAR-MRXNPFEDSA-N 0 0 438.506 -0.262 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000607263423 1072382066 /nfs/dbraw/zinc/38/20/66/1072382066.db2.gz DUQHXGYDHRGYEF-AWEZNQCLSA-N 0 0 444.535 -0.476 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000607263424 1072381983 /nfs/dbraw/zinc/38/19/83/1072381983.db2.gz DUQHXGYDHRGYEF-CQSZACIVSA-N 0 0 444.535 -0.476 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000607263799 1072382030 /nfs/dbraw/zinc/38/20/30/1072382030.db2.gz NVYAGVBMGVUZLJ-CYBMUJFWSA-N 0 0 444.535 -0.476 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000607263800 1072382098 /nfs/dbraw/zinc/38/20/98/1072382098.db2.gz NVYAGVBMGVUZLJ-ZDUSSCGKSA-N 0 0 444.535 -0.476 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000607264101 1072382073 /nfs/dbraw/zinc/38/20/73/1072382073.db2.gz HQSKACMUIQKDBY-UHFFFAOYSA-N 0 0 426.426 -0.530 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000607264391 1072382080 /nfs/dbraw/zinc/38/20/80/1072382080.db2.gz XABKXYZWQLDRQZ-UHFFFAOYSA-N 0 0 440.453 -0.140 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000607264787 1072382089 /nfs/dbraw/zinc/38/20/89/1072382089.db2.gz RNXHPXQKOZHWOQ-UHFFFAOYSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@H](O)C(C)C ZINC000607264958 1072382005 /nfs/dbraw/zinc/38/20/05/1072382005.db2.gz BCONBXQLZUGIMS-INIZCTEOSA-N 0 0 442.538 -0.297 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@H](O)C(C)C ZINC000607264959 1072382022 /nfs/dbraw/zinc/38/20/22/1072382022.db2.gz BCONBXQLZUGIMS-MRXNPFEDSA-N 0 0 442.538 -0.297 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@H](O)C(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000607265245 1072381966 /nfs/dbraw/zinc/38/19/66/1072381966.db2.gz ZVVOQDBTCYVUGU-AWEZNQCLSA-N 0 0 429.495 -0.212 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC[C@@H](O)C(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000607265246 1072381973 /nfs/dbraw/zinc/38/19/73/1072381973.db2.gz ZVVOQDBTCYVUGU-CQSZACIVSA-N 0 0 429.495 -0.212 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H](CCO)c3ccccc3)cnc2n(C)c1=O ZINC000607272168 1072382046 /nfs/dbraw/zinc/38/20/46/1072382046.db2.gz XDSUEERXZHSDRO-AWEZNQCLSA-N 0 0 425.445 -0.147 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H](CCO)c3ccccc3)cnc2n(C)c1=O ZINC000607272169 1072382686 /nfs/dbraw/zinc/38/26/86/1072382686.db2.gz XDSUEERXZHSDRO-CQSZACIVSA-N 0 0 425.445 -0.147 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)o1 ZINC000607281774 1072382535 /nfs/dbraw/zinc/38/25/35/1072382535.db2.gz IUIROZYNXIPVSV-HNNXBMFYSA-N 0 0 436.490 -0.326 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)o1 ZINC000607281775 1072382630 /nfs/dbraw/zinc/38/26/30/1072382630.db2.gz IUIROZYNXIPVSV-OAHLLOKOSA-N 0 0 436.490 -0.326 20 0 IBADRN COc1cc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc(OC)c1OC ZINC000607282019 1072382484 /nfs/dbraw/zinc/38/24/84/1072382484.db2.gz QGYRTBHBZRQLKA-UHFFFAOYSA-N 0 0 427.435 -0.001 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000607282317 1072382625 /nfs/dbraw/zinc/38/26/25/1072382625.db2.gz GOVXNAYFKQZBGK-UHFFFAOYSA-N 0 0 427.527 -0.682 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)cc1 ZINC000607282337 1072382526 /nfs/dbraw/zinc/38/25/26/1072382526.db2.gz HULQOTCBMACOAY-UHFFFAOYSA-N 0 0 444.535 -0.081 20 0 IBADRN CCC(CC)[C@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)N1CCOCC1 ZINC000607282403 1072382657 /nfs/dbraw/zinc/38/26/57/1072382657.db2.gz OPAMQHLBRIBOFT-AWEZNQCLSA-N 0 0 430.527 -0.090 20 0 IBADRN CCC(CC)[C@@H](CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)N1CCOCC1 ZINC000607282408 1072382418 /nfs/dbraw/zinc/38/24/18/1072382418.db2.gz OPAMQHLBRIBOFT-CQSZACIVSA-N 0 0 430.527 -0.090 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000607282548 1072382439 /nfs/dbraw/zinc/38/24/39/1072382439.db2.gz VRBGJDAMJDPPKP-GOSISDBHSA-N 0 0 428.489 -0.492 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000607282549 1072382585 /nfs/dbraw/zinc/38/25/85/1072382585.db2.gz VRBGJDAMJDPPKP-SFHVURJKSA-N 0 0 428.489 -0.492 20 0 IBADRN Cc1nc(CN2CCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)cs1 ZINC000607282555 1072382695 /nfs/dbraw/zinc/38/26/95/1072382695.db2.gz WXFCPMQCQQBDDS-UHFFFAOYSA-N 0 0 427.508 -0.234 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)s1 ZINC000607282575 1072382500 /nfs/dbraw/zinc/38/25/00/1072382500.db2.gz ZOUXQKKTLPJOJC-UHFFFAOYSA-N 0 0 436.537 -0.161 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1F ZINC000607282706 1072382566 /nfs/dbraw/zinc/38/25/66/1072382566.db2.gz PRCWJIPTYFUWBG-UHFFFAOYSA-N 0 0 426.426 -0.349 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)o1 ZINC000607282775 1072382400 /nfs/dbraw/zinc/38/24/00/1072382400.db2.gz YXGIFRMOXVEVOV-HNNXBMFYSA-N 0 0 436.490 -0.154 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H](CN2CCOCC2)c2ccccc2)o1 ZINC000607282776 1072382451 /nfs/dbraw/zinc/38/24/51/1072382451.db2.gz YXGIFRMOXVEVOV-OAHLLOKOSA-N 0 0 436.490 -0.154 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000607282801 1072382677 /nfs/dbraw/zinc/38/26/77/1072382677.db2.gz BHGGAZCRPMDWQL-UHFFFAOYSA-N 0 0 429.543 -0.434 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@@H](c2ccccc2)N2CCOCC2)o1 ZINC000607283058 1072382592 /nfs/dbraw/zinc/38/25/92/1072382592.db2.gz JBSKFDUXLZXPHB-HNNXBMFYSA-N 0 0 436.490 -0.154 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@H](c2ccccc2)N2CCOCC2)o1 ZINC000607283059 1072382469 /nfs/dbraw/zinc/38/24/69/1072382469.db2.gz JBSKFDUXLZXPHB-OAHLLOKOSA-N 0 0 436.490 -0.154 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)c1 ZINC000607283123 1072382607 /nfs/dbraw/zinc/38/26/07/1072382607.db2.gz NZCFEWFUQXHWJJ-UHFFFAOYSA-N 0 0 441.554 -0.290 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000607283181 1072382513 /nfs/dbraw/zinc/38/25/13/1072382513.db2.gz CLQFAGNISJNABW-UHFFFAOYSA-N 0 0 429.543 -0.340 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)c1 ZINC000607283441 1072383338 /nfs/dbraw/zinc/38/33/38/1072383338.db2.gz MXWIVHVGCVUZKB-UHFFFAOYSA-N 0 0 430.508 -0.223 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)c1 ZINC000607283855 1072383236 /nfs/dbraw/zinc/38/32/36/1072383236.db2.gz ATOKNYKSLOWCPU-KRWDZBQOSA-N 0 0 432.568 -0.123 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@]2(N3CCOCC3)CCSC2)c1 ZINC000607283858 1072383291 /nfs/dbraw/zinc/38/32/91/1072383291.db2.gz ATOKNYKSLOWCPU-QGZVFWFLSA-N 0 0 432.568 -0.123 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1)N1CCCC1 ZINC000607283870 1072383043 /nfs/dbraw/zinc/38/30/43/1072383043.db2.gz FMUZSVYSULXOKU-KRWDZBQOSA-N 0 0 426.473 -0.201 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1)N1CCCC1 ZINC000607283873 1072383285 /nfs/dbraw/zinc/38/32/85/1072383285.db2.gz FMUZSVYSULXOKU-QGZVFWFLSA-N 0 0 426.473 -0.201 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000607283952 1072383169 /nfs/dbraw/zinc/38/31/69/1072383169.db2.gz LUZZFBDMQPFQBA-UHFFFAOYSA-N 0 0 425.511 -0.392 20 0 IBADRN Cc1nnc(CN(C)CC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)n1C ZINC000607284053 1072383031 /nfs/dbraw/zinc/38/30/31/1072383031.db2.gz URCCHRLPEFCHBD-UHFFFAOYSA-N 0 0 446.537 -0.035 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCc2cccc(CN3CCOCC3)c2)o1 ZINC000607284127 1072383346 /nfs/dbraw/zinc/38/33/46/1072383346.db2.gz ZOEXUWHODXVILY-UHFFFAOYSA-N 0 0 436.490 -0.195 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2cnn(CCN3CCOCC3)c2)c1 ZINC000607284378 1072383151 /nfs/dbraw/zinc/38/31/51/1072383151.db2.gz FAULFUWBNJSILE-UHFFFAOYSA-N 0 0 426.499 -0.280 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000607285081 1072383143 /nfs/dbraw/zinc/38/31/43/1072383143.db2.gz GCEBBHGJWOJGDZ-UHFFFAOYSA-N 0 0 449.537 -0.040 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NC2CC2)o1 ZINC000607285147 1072383358 /nfs/dbraw/zinc/38/33/58/1072383358.db2.gz LKHRLGBGNFJYNO-AWEZNQCLSA-N 0 0 434.474 -0.337 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H](Cc2ccccc2)C(=O)NC2CC2)o1 ZINC000607285151 1072383177 /nfs/dbraw/zinc/38/31/77/1072383177.db2.gz LKHRLGBGNFJYNO-CQSZACIVSA-N 0 0 434.474 -0.337 20 0 IBADRN COCC(=O)N[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)C ZINC000607297725 1072383735 /nfs/dbraw/zinc/38/37/35/1072383735.db2.gz VWUJYEHXHXCQRW-KRWDZBQOSA-N 0 0 426.495 -0.467 20 0 IBADRN COCC(=O)N[C@H](C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(C)C ZINC000607307656 1072383793 /nfs/dbraw/zinc/38/37/93/1072383793.db2.gz GQDTTZCAULZWGD-KRWDZBQOSA-N 0 0 427.527 -0.111 20 0 IBADRN C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000607318812 1072383660 /nfs/dbraw/zinc/38/36/60/1072383660.db2.gz WHUZGQUOIBUVHY-DOTOQJQBSA-N 0 0 440.504 -0.161 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000607318813 1072383804 /nfs/dbraw/zinc/38/38/04/1072383804.db2.gz WHUZGQUOIBUVHY-NVXWUHKLSA-N 0 0 440.504 -0.161 20 0 IBADRN C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000607318814 1072383686 /nfs/dbraw/zinc/38/36/86/1072383686.db2.gz WHUZGQUOIBUVHY-RDJZCZTQSA-N 0 0 440.504 -0.161 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000607318815 1072383878 /nfs/dbraw/zinc/38/38/78/1072383878.db2.gz WHUZGQUOIBUVHY-WBVHZDCISA-N 0 0 440.504 -0.161 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)Cn3nnc4ccccc4c3=O)CC2)cn1 ZINC000607326552 1072384327 /nfs/dbraw/zinc/38/43/27/1072384327.db2.gz DASRQDFJDAPHRJ-UHFFFAOYSA-N 0 0 431.478 -0.506 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)N[C@](C)(C4CC4)C3=O)CC2)cn1 ZINC000607326613 1072384418 /nfs/dbraw/zinc/38/44/18/1072384418.db2.gz JACUFRUWGDFYAA-GOSISDBHSA-N 0 0 438.510 -0.590 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)N[C@@](C)(C4CC4)C3=O)CC2)cn1 ZINC000607326614 1072384430 /nfs/dbraw/zinc/38/44/30/1072384430.db2.gz JACUFRUWGDFYAA-SFHVURJKSA-N 0 0 438.510 -0.590 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)[C@H]4CCCC[C@@H]4C3=O)CC2)cn1 ZINC000607326631 1072384319 /nfs/dbraw/zinc/38/43/19/1072384319.db2.gz AQVHDNCEIJYKPW-HOTGVXAUSA-N 0 0 437.522 -0.135 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)[C@@H]4CCCC[C@H]4C3=O)CC2)cn1 ZINC000607326632 1072384441 /nfs/dbraw/zinc/38/44/41/1072384441.db2.gz AQVHDNCEIJYKPW-HZPDHXFCSA-N 0 0 437.522 -0.135 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)[C@@H]4CCCC[C@@H]4C3=O)CC2)cn1 ZINC000607326633 1072384261 /nfs/dbraw/zinc/38/42/61/1072384261.db2.gz AQVHDNCEIJYKPW-IYBDPMFKSA-N 0 0 437.522 -0.135 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CSc3nnnn3C3CC3)CC2)cn1 ZINC000607326681 1072384277 /nfs/dbraw/zinc/38/42/77/1072384277.db2.gz FTKHXYGZNMIXHT-UHFFFAOYSA-N 0 0 426.528 -0.197 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)o1 ZINC000607326860 1072384469 /nfs/dbraw/zinc/38/44/69/1072384469.db2.gz JZFJMBAENSPUQK-UHFFFAOYSA-N 0 0 445.523 -0.154 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CCn3nnc4ccccc4c3=O)CC2)cn1 ZINC000607326907 1072383934 /nfs/dbraw/zinc/38/39/34/1072383934.db2.gz MYQIJBLQAKPXOE-UHFFFAOYSA-N 0 0 445.505 -0.115 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)[C@@H]3CCCCN3S(C)(=O)=O)CC2)cn1 ZINC000607326927 1072383850 /nfs/dbraw/zinc/38/38/50/1072383850.db2.gz OHGSTTQCKYGYHH-HNNXBMFYSA-N 0 0 433.556 -0.497 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)[C@H]3CCCCN3S(C)(=O)=O)CC2)cn1 ZINC000607326928 1072383914 /nfs/dbraw/zinc/38/39/14/1072383914.db2.gz OHGSTTQCKYGYHH-OAHLLOKOSA-N 0 0 433.556 -0.497 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)NC4(CCCC4)C3=O)CC2)cn1 ZINC000607327064 1072383753 /nfs/dbraw/zinc/38/37/53/1072383753.db2.gz DHESPCWNWWJFMD-UHFFFAOYSA-N 0 0 438.510 -0.446 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CN(Cc3ccccc3)CCO2)CC1)N1CCOCC1 ZINC000607332629 1072384285 /nfs/dbraw/zinc/38/42/85/1072384285.db2.gz RWJRGTFEBVEAMP-IBGZPJMESA-N 0 0 430.505 -0.583 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CN(Cc3ccccc3)CCO2)CC1)N1CCOCC1 ZINC000607332630 1072384352 /nfs/dbraw/zinc/38/43/52/1072384352.db2.gz RWJRGTFEBVEAMP-LJQANCHMSA-N 0 0 430.505 -0.583 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000607333402 1072384379 /nfs/dbraw/zinc/38/43/79/1072384379.db2.gz JAMVXRAYLPLDPY-KRWDZBQOSA-N 0 0 445.586 -0.215 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000607333404 1072384462 /nfs/dbraw/zinc/38/44/62/1072384462.db2.gz JAMVXRAYLPLDPY-QGZVFWFLSA-N 0 0 445.586 -0.215 20 0 IBADRN COCC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C(C)C ZINC000607333713 1072384339 /nfs/dbraw/zinc/38/43/39/1072384339.db2.gz SSUJAGFKLYTYGG-INMHGKMJSA-N 0 0 434.559 -0.728 20 0 IBADRN COCC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000607333717 1072384231 /nfs/dbraw/zinc/38/42/31/1072384231.db2.gz SSUJAGFKLYTYGG-UXLLHSPISA-N 0 0 434.559 -0.728 20 0 IBADRN COCC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)C(C)C ZINC000607333719 1072384453 /nfs/dbraw/zinc/38/44/53/1072384453.db2.gz SSUJAGFKLYTYGG-ZOBUZTSGSA-N 0 0 434.559 -0.728 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000607336838 1072384270 /nfs/dbraw/zinc/38/42/70/1072384270.db2.gz YLVWAMQIZDSLEG-INIZCTEOSA-N 0 0 435.525 -0.116 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000607336839 1072384764 /nfs/dbraw/zinc/38/47/64/1072384764.db2.gz YLVWAMQIZDSLEG-MRXNPFEDSA-N 0 0 435.525 -0.116 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000607337180 1072384466 /nfs/dbraw/zinc/38/44/66/1072384466.db2.gz GUIITKAHBBFFPN-DOTOQJQBSA-N 0 0 429.564 -0.174 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000607337181 1072384406 /nfs/dbraw/zinc/38/44/06/1072384406.db2.gz GUIITKAHBBFFPN-NVXWUHKLSA-N 0 0 429.564 -0.174 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000607337182 1072384248 /nfs/dbraw/zinc/38/42/48/1072384248.db2.gz GUIITKAHBBFFPN-RDJZCZTQSA-N 0 0 429.564 -0.174 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000607337183 1072384393 /nfs/dbraw/zinc/38/43/93/1072384393.db2.gz GUIITKAHBBFFPN-WBVHZDCISA-N 0 0 429.564 -0.174 20 0 IBADRN COCC(=O)N[C@H](C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1)C(C)C ZINC000607339618 1072384822 /nfs/dbraw/zinc/38/48/22/1072384822.db2.gz GLJDAONJTKHSKJ-FQEVSTJZSA-N 0 0 425.574 -0.530 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CNC(=O)COc3ccccc3)CC2)cn1 ZINC000607348965 1072383864 /nfs/dbraw/zinc/38/38/64/1072383864.db2.gz COJGTTNJTLGUEC-UHFFFAOYSA-N 0 0 435.506 -0.115 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CNS(=O)(=O)c3cccs3)CC2)cn1 ZINC000607348997 1072383762 /nfs/dbraw/zinc/38/37/62/1072383762.db2.gz HJZXEPOHQQMYSE-UHFFFAOYSA-N 0 0 447.564 -0.271 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000607349033 1072383925 /nfs/dbraw/zinc/38/39/25/1072383925.db2.gz KFQFXWFZQMOOPU-UHFFFAOYSA-N 0 0 441.535 -0.089 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000607349034 1072383833 /nfs/dbraw/zinc/38/38/33/1072383833.db2.gz KPTDVLROGMWZAO-UHFFFAOYSA-N 0 0 441.535 -0.041 20 0 IBADRN Cc1cc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)ccc1S(N)(=O)=O ZINC000607349127 1072383725 /nfs/dbraw/zinc/38/37/25/1072383725.db2.gz UHTOGULLXHMJHW-UHFFFAOYSA-N 0 0 441.535 -0.041 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)n1cccn1 ZINC000607349152 1072383711 /nfs/dbraw/zinc/38/37/11/1072383711.db2.gz WGCNRACNFMOSIE-AWEZNQCLSA-N 0 0 437.526 -0.395 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)n1cccn1 ZINC000607349153 1072383780 /nfs/dbraw/zinc/38/37/80/1072383780.db2.gz WGCNRACNFMOSIE-CQSZACIVSA-N 0 0 437.526 -0.395 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC000607360218 1072385592 /nfs/dbraw/zinc/38/55/92/1072385592.db2.gz GBRMOFJPDBIKPV-FGTMMUONSA-N 0 0 429.583 -0.430 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC000607360220 1072385636 /nfs/dbraw/zinc/38/56/36/1072385636.db2.gz GBRMOFJPDBIKPV-KZNAEPCWSA-N 0 0 429.583 -0.430 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC000607360221 1072385475 /nfs/dbraw/zinc/38/54/75/1072385475.db2.gz GBRMOFJPDBIKPV-OKZBNKHCSA-N 0 0 429.583 -0.430 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC000607360222 1072385454 /nfs/dbraw/zinc/38/54/54/1072385454.db2.gz GBRMOFJPDBIKPV-RCCFBDPRSA-N 0 0 429.583 -0.430 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cn1C ZINC000607364710 1072385618 /nfs/dbraw/zinc/38/56/18/1072385618.db2.gz ANPRDPBXMBXCMP-UHFFFAOYSA-N 0 0 444.539 -0.750 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000607365197 1072385555 /nfs/dbraw/zinc/38/55/55/1072385555.db2.gz ZAOBJGNRKNUOHW-UHFFFAOYSA-N 0 0 439.560 -0.998 20 0 IBADRN CN(CCCNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)S(C)(=O)=O ZINC000607373544 1072385609 /nfs/dbraw/zinc/38/56/09/1072385609.db2.gz XVZBWSJQHZPDIL-UHFFFAOYSA-N 0 0 436.560 -0.846 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCCN3CCCS3(=O)=O)CC2)cn1 ZINC000607375003 1072384865 /nfs/dbraw/zinc/38/48/65/1072384865.db2.gz DQNNEYKSGRANOK-UHFFFAOYSA-N 0 0 448.571 -0.702 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000607375198 1072384880 /nfs/dbraw/zinc/38/48/80/1072384880.db2.gz MUZIEBKNLHAYIU-UHFFFAOYSA-N 0 0 436.560 -0.798 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCC(C)(C)NS(C)(=O)=O)CC2)cn1 ZINC000607377065 1072384927 /nfs/dbraw/zinc/38/49/27/1072384927.db2.gz LPUUJNRNWKPVFC-UHFFFAOYSA-N 0 0 436.560 -0.800 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCN3CCOCC3(C)C)CC2)cn1 ZINC000607377075 1072384964 /nfs/dbraw/zinc/38/49/64/1072384964.db2.gz NLADKQHPFUIVEK-UHFFFAOYSA-N 0 0 428.559 -0.017 20 0 IBADRN C[C@@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)[C@H](C)N1CCOCC1 ZINC000607379164 1072384849 /nfs/dbraw/zinc/38/48/49/1072384849.db2.gz NZZAQPNQHKLKND-CABCVRRESA-N 0 0 428.559 -0.018 20 0 IBADRN C[C@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)[C@H](C)N1CCOCC1 ZINC000607379165 1072384903 /nfs/dbraw/zinc/38/49/03/1072384903.db2.gz NZZAQPNQHKLKND-GJZGRUSLSA-N 0 0 428.559 -0.018 20 0 IBADRN C[C@@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)[C@@H](C)N1CCOCC1 ZINC000607379166 1072384954 /nfs/dbraw/zinc/38/49/54/1072384954.db2.gz NZZAQPNQHKLKND-HUUCEWRRSA-N 0 0 428.559 -0.018 20 0 IBADRN C[C@H]([C@H](C)NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)N1CCOCC1 ZINC000607379167 1072385028 /nfs/dbraw/zinc/38/50/28/1072385028.db2.gz NZZAQPNQHKLKND-LSDHHAIUSA-N 0 0 428.559 -0.018 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN(c4ncccn4)CC3)CC2)cn1 ZINC000607381752 1072385440 /nfs/dbraw/zinc/38/54/40/1072385440.db2.gz ANPQGLBDDAPFNW-UHFFFAOYSA-N 0 0 434.526 -0.105 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC[C@H](NS(C)(=O)=O)C3)CC2)cn1 ZINC000607381934 1072385534 /nfs/dbraw/zinc/38/55/34/1072385534.db2.gz GLHKMIRRMXGDQR-AWEZNQCLSA-N 0 0 448.571 -0.704 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC[C@@H](NS(C)(=O)=O)C3)CC2)cn1 ZINC000607381935 1072385467 /nfs/dbraw/zinc/38/54/67/1072385467.db2.gz GLHKMIRRMXGDQR-CQSZACIVSA-N 0 0 448.571 -0.704 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000607382162 1072384787 /nfs/dbraw/zinc/38/47/87/1072384787.db2.gz IQWKOKSKODORPU-CHWSQXEVSA-N 0 0 433.556 -0.210 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000607382164 1072384946 /nfs/dbraw/zinc/38/49/46/1072384946.db2.gz IQWKOKSKODORPU-OLZOCXBDSA-N 0 0 433.556 -0.210 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCS1(=O)=O ZINC000607382166 1072384892 /nfs/dbraw/zinc/38/48/92/1072384892.db2.gz IQWKOKSKODORPU-QWHCGFSZSA-N 0 0 433.556 -0.210 20 0 IBADRN C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC000607382167 1072384914 /nfs/dbraw/zinc/38/49/14/1072384914.db2.gz IQWKOKSKODORPU-STQMWFEESA-N 0 0 433.556 -0.210 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000607382239 1072384936 /nfs/dbraw/zinc/38/49/36/1072384936.db2.gz JWKZFLOYWVSZKW-AWEZNQCLSA-N 0 0 448.571 -0.704 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000607382240 1072384770 /nfs/dbraw/zinc/38/47/70/1072384770.db2.gz JWKZFLOYWVSZKW-CQSZACIVSA-N 0 0 448.571 -0.704 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCNC(=O)c3cccnc3)CC2)cn1 ZINC000607382890 1072385395 /nfs/dbraw/zinc/38/53/95/1072385395.db2.gz WBPPAMBXOBMJPM-UHFFFAOYSA-N 0 0 435.510 -0.303 20 0 IBADRN COCC(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC000607383512 1072385542 /nfs/dbraw/zinc/38/55/42/1072385542.db2.gz OSOBPTPBSCKPLS-UHFFFAOYSA-N 0 0 428.515 -0.927 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)Cn3c(=O)[nH]c(=O)c4ccccc43)CC2)cn1 ZINC000607390339 1072385645 /nfs/dbraw/zinc/38/56/45/1072385645.db2.gz GPRWEBBNNDZOQZ-UHFFFAOYSA-N 0 0 446.489 -0.195 20 0 IBADRN Cc1ccc(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cc1S(N)(=O)=O ZINC000607390904 1072385483 /nfs/dbraw/zinc/38/54/83/1072385483.db2.gz QTPUWBREJCXLRX-UHFFFAOYSA-N 0 0 441.535 -0.041 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000607396093 1072386172 /nfs/dbraw/zinc/38/61/72/1072386172.db2.gz CGGKFAGTMRUODE-UHFFFAOYSA-N 0 0 438.514 -0.320 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000607418922 1072385570 /nfs/dbraw/zinc/38/55/70/1072385570.db2.gz DMZOVQSMWFUENC-HKUYNNGSSA-N 0 0 442.524 -0.184 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000607418931 1072385412 /nfs/dbraw/zinc/38/54/12/1072385412.db2.gz DMZOVQSMWFUENC-IEBWSBKVSA-N 0 0 442.524 -0.184 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000607418932 1072385490 /nfs/dbraw/zinc/38/54/90/1072385490.db2.gz DMZOVQSMWFUENC-MJGOQNOKSA-N 0 0 442.524 -0.184 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000607418935 1072385513 /nfs/dbraw/zinc/38/55/13/1072385513.db2.gz DMZOVQSMWFUENC-PKOBYXMFSA-N 0 0 442.524 -0.184 20 0 IBADRN O=C(Nc1cc(F)c(F)c(F)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000607425056 1072386326 /nfs/dbraw/zinc/38/63/26/1072386326.db2.gz DMIXLVYYOJYSMR-UHFFFAOYSA-N 0 0 428.367 -0.428 20 0 IBADRN C[C@@H](NC(=O)c1ccnc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000607435386 1072386112 /nfs/dbraw/zinc/38/61/12/1072386112.db2.gz OKDMDTBAHNVPAO-CABCVRRESA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@H](NC(=O)c1ccnc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000607435387 1072386161 /nfs/dbraw/zinc/38/61/61/1072386161.db2.gz OKDMDTBAHNVPAO-GJZGRUSLSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@@H](NC(=O)c1ccnc(C(=O)N[C@H](C)C(=O)N2CCOCC2)c1)C(=O)N1CCOCC1 ZINC000607435388 1072386044 /nfs/dbraw/zinc/38/60/44/1072386044.db2.gz OKDMDTBAHNVPAO-HUUCEWRRSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@@H](NC(=O)c1cc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)ccn1)C(=O)N1CCOCC1 ZINC000607435389 1072386037 /nfs/dbraw/zinc/38/60/37/1072386037.db2.gz OKDMDTBAHNVPAO-LSDHHAIUSA-N 0 0 447.492 -0.964 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000607511620 1072386810 /nfs/dbraw/zinc/38/68/10/1072386810.db2.gz OFFPEZUGQSUAEB-INIZCTEOSA-N 0 0 439.520 -0.239 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000607511622 1072386790 /nfs/dbraw/zinc/38/67/90/1072386790.db2.gz OFFPEZUGQSUAEB-MRXNPFEDSA-N 0 0 439.520 -0.239 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000607524485 1072386846 /nfs/dbraw/zinc/38/68/46/1072386846.db2.gz YHLROSUJDOHBPO-CHWSQXEVSA-N 0 0 425.511 -0.362 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000607524486 1072386862 /nfs/dbraw/zinc/38/68/62/1072386862.db2.gz YHLROSUJDOHBPO-OLZOCXBDSA-N 0 0 425.511 -0.362 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000607524487 1072386702 /nfs/dbraw/zinc/38/67/02/1072386702.db2.gz YHLROSUJDOHBPO-QWHCGFSZSA-N 0 0 425.511 -0.362 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000607524488 1072386900 /nfs/dbraw/zinc/38/69/00/1072386900.db2.gz YHLROSUJDOHBPO-STQMWFEESA-N 0 0 425.511 -0.362 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(c4ncnc5ccsc54)CC3)c2c(=O)n(C)c1=O ZINC000607528954 1072386830 /nfs/dbraw/zinc/38/68/30/1072386830.db2.gz LYNZMKRTRKPDGB-UHFFFAOYSA-N 0 0 440.489 -0.213 20 0 IBADRN CS(=O)(=O)C1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000607536984 1072386096 /nfs/dbraw/zinc/38/60/96/1072386096.db2.gz RKACYDWDDLDYQG-UHFFFAOYSA-N 0 0 443.547 -0.107 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000607542047 1072386779 /nfs/dbraw/zinc/38/67/79/1072386779.db2.gz XQMYHVMULHHJAX-KRWDZBQOSA-N 0 0 432.587 -0.962 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000607542048 1072386714 /nfs/dbraw/zinc/38/67/14/1072386714.db2.gz XQMYHVMULHHJAX-QGZVFWFLSA-N 0 0 432.587 -0.962 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CC(=O)N(CCOc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000607542826 1072386850 /nfs/dbraw/zinc/38/68/50/1072386850.db2.gz AEICMVHOAZCEEC-AWEZNQCLSA-N 0 0 440.460 -0.770 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@@H]3CC(=O)N(CCOc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC000607542835 1072386877 /nfs/dbraw/zinc/38/68/77/1072386877.db2.gz AEICMVHOAZCEEC-CQSZACIVSA-N 0 0 440.460 -0.770 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000607546377 1072386766 /nfs/dbraw/zinc/38/67/66/1072386766.db2.gz ZCJNLQKDZHBREL-UHFFFAOYSA-N 0 0 427.452 -0.600 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)CC1 ZINC000607547376 1072386756 /nfs/dbraw/zinc/38/67/56/1072386756.db2.gz ZRTMFPKXFQRRPI-UHFFFAOYSA-N 0 0 446.552 -0.257 20 0 IBADRN COCCNC(=O)CN1CCN([C@@H](C)C(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000607547796 1072387416 /nfs/dbraw/zinc/38/74/16/1072387416.db2.gz UQUTUEAGKLNJQE-KRWDZBQOSA-N 0 0 435.525 -0.667 20 0 IBADRN COCCNC(=O)CN1CCN([C@H](C)C(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000607547797 1072387403 /nfs/dbraw/zinc/38/74/03/1072387403.db2.gz UQUTUEAGKLNJQE-QGZVFWFLSA-N 0 0 435.525 -0.667 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000607548340 1072387296 /nfs/dbraw/zinc/38/72/96/1072387296.db2.gz SYHGQLLPJLJCEC-UHFFFAOYSA-N 0 0 426.477 -0.116 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCn4c(Cc5ccccc5)nnc4C3)c2c(=O)n(C)c1=O ZINC000607565630 1072387308 /nfs/dbraw/zinc/38/73/08/1072387308.db2.gz DHTNMNSYQRGGRD-UHFFFAOYSA-N 0 0 434.460 -0.342 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)cn1 ZINC000607579975 1072386804 /nfs/dbraw/zinc/38/68/04/1072386804.db2.gz GMXIXKALTGRXCA-INIZCTEOSA-N 0 0 446.533 -0.061 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)cn1 ZINC000607579976 1072386691 /nfs/dbraw/zinc/38/66/91/1072386691.db2.gz GMXIXKALTGRXCA-MRXNPFEDSA-N 0 0 446.533 -0.061 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(CC(=O)N3CCCCCC3)CC2)CC1 ZINC000607580255 1072386868 /nfs/dbraw/zinc/38/68/68/1072386868.db2.gz ZKFPIUUCZGXEHZ-UHFFFAOYSA-N 0 0 436.601 -0.761 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)cn1 ZINC000607582131 1072386676 /nfs/dbraw/zinc/38/66/76/1072386676.db2.gz WKHOFZOMOUGLCB-UHFFFAOYSA-N 0 0 429.437 -0.848 20 0 IBADRN COc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1-n1cnnn1 ZINC000607583126 1072387318 /nfs/dbraw/zinc/38/73/18/1072387318.db2.gz DOOZGKRVYSXTQY-UHFFFAOYSA-N 0 0 425.409 -0.553 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)c2ccc3c(c2)CCN3C(=O)CNC(C)=O)cn1 ZINC000607583517 1072387202 /nfs/dbraw/zinc/38/72/02/1072387202.db2.gz GHKKXDWWXNAOEZ-UHFFFAOYSA-N 0 0 442.476 -0.077 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cn1 ZINC000607583534 1072386724 /nfs/dbraw/zinc/38/67/24/1072386724.db2.gz HVFDDEGNENMKIQ-UHFFFAOYSA-N 0 0 441.466 -0.488 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)cn1 ZINC000607616161 1072387348 /nfs/dbraw/zinc/38/73/48/1072387348.db2.gz CPZCFZJMWZVBFO-UHFFFAOYSA-N 0 0 429.524 -0.235 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2cccc(S(N)(=O)=O)c2)cn1 ZINC000607616532 1072387329 /nfs/dbraw/zinc/38/73/29/1072387329.db2.gz UOCPKVDSSGUXBS-UHFFFAOYSA-N 0 0 430.512 -0.991 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)[N-]c3cnn(CC(=O)NCC[NH+](C)C)c3)cc2C1=O ZINC000607616752 1072387912 /nfs/dbraw/zinc/38/79/12/1072387912.db2.gz XIKWFNACEIAPCL-UHFFFAOYSA-N 0 0 434.478 -0.413 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000607619734 1072388007 /nfs/dbraw/zinc/38/80/07/1072388007.db2.gz MGHWUABBVVTARM-UHFFFAOYSA-N 0 0 438.550 -0.258 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000607622256 1072387738 /nfs/dbraw/zinc/38/77/38/1072387738.db2.gz CRHTZJNCHPKIRQ-UHFFFAOYSA-N 0 0 432.524 -0.010 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000607622598 1072388022 /nfs/dbraw/zinc/38/80/22/1072388022.db2.gz SCPHRZOLYVZZNZ-UHFFFAOYSA-N 0 0 438.550 -0.258 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000607634076 1072387821 /nfs/dbraw/zinc/38/78/21/1072387821.db2.gz OYWXRWOHZYMDKR-UHFFFAOYSA-N 0 0 432.568 -0.567 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000607634086 1072387793 /nfs/dbraw/zinc/38/77/93/1072387793.db2.gz QODFNXICGAYKPK-UHFFFAOYSA-N 0 0 444.579 -0.158 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000607639246 1072387899 /nfs/dbraw/zinc/38/78/99/1072387899.db2.gz UKNRLMXKMGNLBS-DLBZAZTESA-N 0 0 445.563 -0.647 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000607639247 1072388586 /nfs/dbraw/zinc/38/85/86/1072388586.db2.gz UKNRLMXKMGNLBS-IAGOWNOFSA-N 0 0 445.563 -0.647 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000607639248 1072388606 /nfs/dbraw/zinc/38/86/06/1072388606.db2.gz UKNRLMXKMGNLBS-IRXDYDNUSA-N 0 0 445.563 -0.647 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000607639249 1072388401 /nfs/dbraw/zinc/38/84/01/1072388401.db2.gz UKNRLMXKMGNLBS-SJORKVTESA-N 0 0 445.563 -0.647 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000607642118 1072388391 /nfs/dbraw/zinc/38/83/91/1072388391.db2.gz KQGOVZZVBUVOLY-UHFFFAOYSA-N 0 0 433.494 -0.494 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000607642604 1072388603 /nfs/dbraw/zinc/38/86/03/1072388603.db2.gz CTARLOUIYJACER-CYBMUJFWSA-N 0 0 431.536 -0.499 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000607642605 1072388368 /nfs/dbraw/zinc/38/83/68/1072388368.db2.gz CTARLOUIYJACER-ZDUSSCGKSA-N 0 0 431.536 -0.499 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(c3cccc(O)c3)CC2)CC1)N1CCOCC1 ZINC000607652285 1072388034 /nfs/dbraw/zinc/38/80/34/1072388034.db2.gz QIMDROBUDFKMBS-UHFFFAOYSA-N 0 0 431.537 -0.483 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000607661636 1072387954 /nfs/dbraw/zinc/38/79/54/1072387954.db2.gz CQHOTFPVOKHGGA-DAYGRLMNSA-N 0 0 446.529 -0.023 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000607661637 1072387940 /nfs/dbraw/zinc/38/79/40/1072387940.db2.gz CQHOTFPVOKHGGA-MPGHIAIKSA-N 0 0 446.529 -0.023 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000607661638 1072387784 /nfs/dbraw/zinc/38/77/84/1072387784.db2.gz CQHOTFPVOKHGGA-RKVPGOIHSA-N 0 0 446.529 -0.023 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000607661639 1072387773 /nfs/dbraw/zinc/38/77/73/1072387773.db2.gz CQHOTFPVOKHGGA-VKJFTORMSA-N 0 0 446.529 -0.023 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000607662044 1072387867 /nfs/dbraw/zinc/38/78/67/1072387867.db2.gz ZLTMCTNQEIUGLA-INIZCTEOSA-N 0 0 432.506 -0.038 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)ccc1OC ZINC000607662675 1072387810 /nfs/dbraw/zinc/38/78/10/1072387810.db2.gz BEBACROUWDSPBM-UHFFFAOYSA-N 0 0 437.478 -0.089 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cn1C ZINC000607663051 1072387969 /nfs/dbraw/zinc/38/79/69/1072387969.db2.gz DCDPZHHXJCWJGS-UHFFFAOYSA-N 0 0 439.498 -0.909 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000607663146 1072387853 /nfs/dbraw/zinc/38/78/53/1072387853.db2.gz RQBQOEFWRZKNOU-UHFFFAOYSA-N 0 0 427.469 -0.050 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000607663319 1072387750 /nfs/dbraw/zinc/38/77/50/1072387750.db2.gz ONUXNSXGLJTQFQ-LBPRGKRZSA-N 0 0 440.482 -0.348 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)cn1C ZINC000607673639 1072388527 /nfs/dbraw/zinc/38/85/27/1072388527.db2.gz JIVRQULISZCMJN-HNNXBMFYSA-N 0 0 435.506 -0.197 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)cn1C ZINC000607673640 1072388540 /nfs/dbraw/zinc/38/85/40/1072388540.db2.gz JIVRQULISZCMJN-OAHLLOKOSA-N 0 0 435.506 -0.197 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(Cc4cccc(F)c4)C(=O)C3)c2c(=O)n(C)c1=O ZINC000607683760 1072388412 /nfs/dbraw/zinc/38/84/12/1072388412.db2.gz UBUNXMCPWKUPAW-UHFFFAOYSA-N 0 0 442.451 -0.166 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(Cc3cccc(F)c3)C(=O)C1)c(=O)n2C ZINC000607684307 1070809008 /nfs/dbraw/zinc/80/90/08/1070809008.db2.gz KUJUYZUQBZWMIO-UHFFFAOYSA-N 0 0 428.424 -0.556 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CCn3cc(S(N)(=O)=O)cn3)cn2)C[C@H](C)O1 ZINC000607694828 1072388860 /nfs/dbraw/zinc/38/88/60/1072388860.db2.gz OOMAODXEKJLVFH-BETUJISGSA-N 0 0 439.498 -0.608 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)CCn3cc(S(N)(=O)=O)cn3)cn2)C[C@@H](C)O1 ZINC000607694829 1072389109 /nfs/dbraw/zinc/38/91/09/1072389109.db2.gz OOMAODXEKJLVFH-CHWSQXEVSA-N 0 0 439.498 -0.608 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)CCn3cc(S(N)(=O)=O)cn3)cn2)C[C@H](C)O1 ZINC000607694830 1072389022 /nfs/dbraw/zinc/38/90/22/1072389022.db2.gz OOMAODXEKJLVFH-STQMWFEESA-N 0 0 439.498 -0.608 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000607695109 1072388486 /nfs/dbraw/zinc/38/84/86/1072388486.db2.gz CCDFIQQZRNZRHS-CKEIUWERSA-N 0 0 434.497 -0.416 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000607695110 1072388591 /nfs/dbraw/zinc/38/85/91/1072388591.db2.gz CCDFIQQZRNZRHS-GRDNDAEWSA-N 0 0 434.497 -0.416 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000607695111 1072388458 /nfs/dbraw/zinc/38/84/58/1072388458.db2.gz CCDFIQQZRNZRHS-JKIFEVAISA-N 0 0 434.497 -0.416 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000607695112 1072388472 /nfs/dbraw/zinc/38/84/72/1072388472.db2.gz CCDFIQQZRNZRHS-VBQJREDUSA-N 0 0 434.497 -0.416 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Cn3cnc4c(cnn4C)c3=O)cn2)C[C@H](C)O1 ZINC000607696761 1072388942 /nfs/dbraw/zinc/38/89/42/1072388942.db2.gz WZVQJAACIHBZRG-BETUJISGSA-N 0 0 428.453 -0.399 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)Cn3cnc4c(cnn4C)c3=O)cn2)C[C@@H](C)O1 ZINC000607696762 1072388909 /nfs/dbraw/zinc/38/89/09/1072388909.db2.gz WZVQJAACIHBZRG-CHWSQXEVSA-N 0 0 428.453 -0.399 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)Cn3cnc4c(cnn4C)c3=O)cn2)C[C@H](C)O1 ZINC000607696763 1072389085 /nfs/dbraw/zinc/38/90/85/1072389085.db2.gz WZVQJAACIHBZRG-STQMWFEESA-N 0 0 428.453 -0.399 20 0 IBADRN O=C(NCCCN1C(=O)COc2ccccc21)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000607700416 1072388870 /nfs/dbraw/zinc/38/88/70/1072388870.db2.gz TUVSFEUEFNQHGN-CABCVRRESA-N 0 0 435.502 -0.046 20 0 IBADRN O=C(NCCCN1C(=O)COc2ccccc21)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000607700417 1072388957 /nfs/dbraw/zinc/38/89/57/1072388957.db2.gz TUVSFEUEFNQHGN-GJZGRUSLSA-N 0 0 435.502 -0.046 20 0 IBADRN O=C(NCCCN1C(=O)COc2ccccc21)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000607700418 1072388967 /nfs/dbraw/zinc/38/89/67/1072388967.db2.gz TUVSFEUEFNQHGN-HUUCEWRRSA-N 0 0 435.502 -0.046 20 0 IBADRN O=C(NCCCN1C(=O)COc2ccccc21)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000607700419 1072389034 /nfs/dbraw/zinc/38/90/34/1072389034.db2.gz TUVSFEUEFNQHGN-LSDHHAIUSA-N 0 0 435.502 -0.046 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)Nc2cnn(CC(=O)NCCOC)c2)ccc1OC ZINC000607701020 1072389499 /nfs/dbraw/zinc/38/94/99/1072389499.db2.gz SJPNUOINUNUHFZ-UHFFFAOYSA-N 0 0 425.467 -0.185 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)cn1 ZINC000607701225 1072388565 /nfs/dbraw/zinc/38/85/65/1072388565.db2.gz JUWCEKPDGYAKND-NSHDSACASA-N 0 0 428.471 -0.444 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)cn1 ZINC000607701229 1072388550 /nfs/dbraw/zinc/38/85/50/1072388550.db2.gz KDPQRJGJJGWOAP-ZDUSSCGKSA-N 0 0 439.494 -0.040 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)cn1 ZINC000607701313 1072388422 /nfs/dbraw/zinc/38/84/22/1072388422.db2.gz YKPXQJZOAPUZSX-UHFFFAOYSA-N 0 0 440.482 -0.753 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)CCc1nc3c([nH]1)n(C)c(=O)[nH]c3=O)CC2 ZINC000607709666 1072389400 /nfs/dbraw/zinc/38/94/00/1072389400.db2.gz WADJOPVEJLALKB-UHFFFAOYSA-N 0 0 432.462 -0.208 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)c1ccc3c(=O)n(C)c(=O)n(C)c3n1)CC2 ZINC000607710003 1072389659 /nfs/dbraw/zinc/38/96/59/1072389659.db2.gz MJGFZWSHODQQTE-UHFFFAOYSA-N 0 0 429.458 -0.257 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)CCn1cc(S(N)(=O)=O)cn1)CC2 ZINC000607710383 1072389670 /nfs/dbraw/zinc/38/96/70/1072389670.db2.gz LWVMHDLQRDIBPS-UHFFFAOYSA-N 0 0 427.508 -0.192 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)CNS(=O)(=O)c1cnn(C)c1)CC2 ZINC000607711307 1072389642 /nfs/dbraw/zinc/38/96/42/1072389642.db2.gz LMCWVIDKRFABTF-UHFFFAOYSA-N 0 0 427.508 -0.414 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)Cn1c(=O)c3c(ncn3C)n(C)c1=O)CC2 ZINC000607711885 1072389451 /nfs/dbraw/zinc/38/94/51/1072389451.db2.gz OXJHPKPOGOAXBE-UHFFFAOYSA-N 0 0 446.489 -0.679 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000607715347 1072389465 /nfs/dbraw/zinc/38/94/65/1072389465.db2.gz GTARHJCSXBGTSR-GOSISDBHSA-N 0 0 440.566 -0.162 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000607715348 1072389564 /nfs/dbraw/zinc/38/95/64/1072389564.db2.gz GTARHJCSXBGTSR-SFHVURJKSA-N 0 0 440.566 -0.162 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000607715947 1072389958 /nfs/dbraw/zinc/38/99/58/1072389958.db2.gz BYMLSOLNRFYECA-GFCCVEGCSA-N 0 0 434.540 -0.655 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000607715948 1072389879 /nfs/dbraw/zinc/38/98/79/1072389879.db2.gz BYMLSOLNRFYECA-LBPRGKRZSA-N 0 0 434.540 -0.655 20 0 IBADRN CN1CCC(=O)Nc2cc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc21 ZINC000607716040 1072389621 /nfs/dbraw/zinc/38/96/21/1072389621.db2.gz UFYRNSMQRUPMEF-UHFFFAOYSA-N 0 0 429.477 -0.392 20 0 IBADRN Cc1ccc([C@H](CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)N2CCOCC2)o1 ZINC000607716069 1072389441 /nfs/dbraw/zinc/38/94/41/1072389441.db2.gz ZAIHOZJKSHBGCE-INIZCTEOSA-N 0 0 444.554 -0.088 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)N2CCOCC2)o1 ZINC000607716070 1072389540 /nfs/dbraw/zinc/38/95/40/1072389540.db2.gz ZAIHOZJKSHBGCE-MRXNPFEDSA-N 0 0 444.554 -0.088 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cc1F ZINC000607718526 1072389869 /nfs/dbraw/zinc/38/98/69/1072389869.db2.gz REESGZZXINGATA-UHFFFAOYSA-N 0 0 430.502 -0.186 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cccnc1N1CCOCC1 ZINC000607718715 1072389886 /nfs/dbraw/zinc/38/98/86/1072389886.db2.gz TZFNIZBIFQKNNJ-UHFFFAOYSA-N 0 0 427.527 -0.632 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1ccc(OCC(=O)N(C)C)cc1 ZINC000607718810 1072390007 /nfs/dbraw/zinc/39/00/07/1072390007.db2.gz IJDHFZAQUDUYJB-UHFFFAOYSA-N 0 0 428.511 -0.074 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000607719628 1072389896 /nfs/dbraw/zinc/38/98/96/1072389896.db2.gz PHGVJKBNHUBVND-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cccc(CN2CCOCC2)c1 ZINC000607719769 1072390127 /nfs/dbraw/zinc/39/01/27/1072390127.db2.gz RTTHNGKAKSAEFW-UHFFFAOYSA-N 0 0 440.566 -0.031 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)N2CCOCC2)s1 ZINC000607720518 1072389963 /nfs/dbraw/zinc/38/99/63/1072389963.db2.gz XMXKDHDVSGXIOA-LLVKDONJSA-N 0 0 432.524 -0.974 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)N2CCOCC2)s1 ZINC000607720519 1072390000 /nfs/dbraw/zinc/39/00/00/1072390000.db2.gz XMXKDHDVSGXIOA-NSHDSACASA-N 0 0 432.524 -0.974 20 0 IBADRN COc1ccc(-c2nnc(CNC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)[nH]2)cc1 ZINC000607720949 1070811666 /nfs/dbraw/zinc/81/16/66/1070811666.db2.gz AIOCTRMGIALRSO-UHFFFAOYSA-N 0 0 438.510 -0.069 20 0 IBADRN CCN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)CC(=O)N(C)C ZINC000607732532 1072388928 /nfs/dbraw/zinc/38/89/28/1072388928.db2.gz LOYYVSVSPQEYGF-UHFFFAOYSA-N 0 0 426.539 -0.266 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000607732976 1072389045 /nfs/dbraw/zinc/38/90/45/1072389045.db2.gz DQXXFMUTIGAECR-BZUAXINKSA-N 0 0 448.568 -0.641 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000607732977 1072388881 /nfs/dbraw/zinc/38/88/81/1072388881.db2.gz DQXXFMUTIGAECR-OWCLPIDISA-N 0 0 448.568 -0.641 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000607734680 1072389098 /nfs/dbraw/zinc/38/90/98/1072389098.db2.gz WMNXJYNJWXAERJ-MHORFTMASA-N 0 0 428.599 -0.200 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000607734681 1072388894 /nfs/dbraw/zinc/38/88/94/1072388894.db2.gz WMNXJYNJWXAERJ-XDNAFOTISA-N 0 0 428.599 -0.200 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000607734682 1072389064 /nfs/dbraw/zinc/38/90/64/1072389064.db2.gz WMNXJYNJWXAERJ-XMTFNYHQSA-N 0 0 428.599 -0.200 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000607734683 1072388993 /nfs/dbraw/zinc/38/89/93/1072388993.db2.gz WMNXJYNJWXAERJ-XWTMOSNGSA-N 0 0 428.599 -0.200 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)c(=O)[nH]c1=O ZINC000607734757 1072388979 /nfs/dbraw/zinc/38/89/79/1072388979.db2.gz GLRWRLDFVYRAEH-GASCZTMLSA-N 0 0 434.541 -0.144 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)c(=O)[nH]c1=O ZINC000607734758 1072389011 /nfs/dbraw/zinc/38/90/11/1072389011.db2.gz GLRWRLDFVYRAEH-GJZGRUSLSA-N 0 0 434.541 -0.144 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)c(=O)[nH]c1=O ZINC000607734759 1072389004 /nfs/dbraw/zinc/38/90/04/1072389004.db2.gz GLRWRLDFVYRAEH-HUUCEWRRSA-N 0 0 434.541 -0.144 20 0 IBADRN Cn1cc(-c2nc(CN3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cs2)cn1 ZINC000607734991 1072389677 /nfs/dbraw/zinc/38/96/77/1072389677.db2.gz FBVNTCDREGIOCE-HNNXBMFYSA-N 0 0 438.579 -0.036 20 0 IBADRN Cn1cc(-c2nc(CN3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cs2)cn1 ZINC000607734992 1072389653 /nfs/dbraw/zinc/38/96/53/1072389653.db2.gz FBVNTCDREGIOCE-OAHLLOKOSA-N 0 0 438.579 -0.036 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](COC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000607743086 1072389527 /nfs/dbraw/zinc/38/95/27/1072389527.db2.gz JQPRXLJGGICRGC-AWEZNQCLSA-N 0 0 427.502 -0.486 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](COC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000607743087 1072389635 /nfs/dbraw/zinc/38/96/35/1072389635.db2.gz JQPRXLJGGICRGC-CQSZACIVSA-N 0 0 427.502 -0.486 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000607745060 1072389626 /nfs/dbraw/zinc/38/96/26/1072389626.db2.gz QTLHEVKTKKMGTC-UHFFFAOYSA-N 0 0 439.538 -0.007 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000607745433 1072389612 /nfs/dbraw/zinc/38/96/12/1072389612.db2.gz BIXULZXEYXXTRB-UHFFFAOYSA-N 0 0 428.493 -0.293 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000607749290 1072389553 /nfs/dbraw/zinc/38/95/53/1072389553.db2.gz XQLGCVKWWSOATB-UHFFFAOYSA-N 0 0 439.538 -0.007 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(CC(=O)N1CCN(CC(=O)N(C)C)CC1)CC2 ZINC000607749364 1072389424 /nfs/dbraw/zinc/38/94/24/1072389424.db2.gz QNAOYMRDLWPJNP-UHFFFAOYSA-N 0 0 437.566 -0.420 20 0 IBADRN C[C@@H](c1cccnc1)N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000607754202 1070812489 /nfs/dbraw/zinc/81/24/89/1070812489.db2.gz NTHKHWTYJCCTCE-FQEVSTJZSA-N 0 0 444.580 -0.237 20 0 IBADRN C[C@H](c1cccnc1)N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000607754203 1072390020 /nfs/dbraw/zinc/39/00/20/1072390020.db2.gz NTHKHWTYJCCTCE-HXUWFJFHSA-N 0 0 444.580 -0.237 20 0 IBADRN CS(=O)(=O)c1ccc(OCCN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000607760165 1070813269 /nfs/dbraw/zinc/81/32/69/1070813269.db2.gz XKVPZVWEIZGSHD-HNNXBMFYSA-N 0 0 425.463 -0.362 20 0 IBADRN CS(=O)(=O)c1ccc(OCCN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000607760166 1072390601 /nfs/dbraw/zinc/39/06/01/1072390601.db2.gz XKVPZVWEIZGSHD-OAHLLOKOSA-N 0 0 425.463 -0.362 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC000607771956 1072390553 /nfs/dbraw/zinc/39/05/53/1072390553.db2.gz HNFVAVAFDNDHNZ-UHFFFAOYSA-N 0 0 438.550 -0.169 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000607786909 1072390679 /nfs/dbraw/zinc/39/06/79/1072390679.db2.gz WTUGZLFOKGIELD-UHFFFAOYSA-N 0 0 446.551 -0.887 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000607830683 1072390669 /nfs/dbraw/zinc/39/06/69/1072390669.db2.gz WKDWWYDXSULBKW-GXTWGEPZSA-N 0 0 434.540 -0.710 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000607830686 1072390592 /nfs/dbraw/zinc/39/05/92/1072390592.db2.gz WKDWWYDXSULBKW-JSGCOSHPSA-N 0 0 434.540 -0.710 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000607830688 1072390624 /nfs/dbraw/zinc/39/06/24/1072390624.db2.gz WKDWWYDXSULBKW-OCCSQVGLSA-N 0 0 434.540 -0.710 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN([C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000607830689 1072390647 /nfs/dbraw/zinc/39/06/47/1072390647.db2.gz WKDWWYDXSULBKW-TZMCWYRMSA-N 0 0 434.540 -0.710 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)Nc1cn(C)c(=O)n(C)c1=O)n2C ZINC000607836382 1072390546 /nfs/dbraw/zinc/39/05/46/1072390546.db2.gz DDUMNKWQLVHAFX-UHFFFAOYSA-N 0 0 448.505 -0.208 20 0 IBADRN Cn1cc(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)c(=O)n(C)c1=O ZINC000607836448 1072390562 /nfs/dbraw/zinc/39/05/62/1072390562.db2.gz DCIQGDDUDQQKCT-UHFFFAOYSA-N 0 0 428.492 -0.652 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)n1 ZINC000607851968 1072390656 /nfs/dbraw/zinc/39/06/56/1072390656.db2.gz CYBUAYCXDPRJHP-UHFFFAOYSA-N 0 0 442.523 -0.370 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)C2=O)n1 ZINC000607878875 1072390579 /nfs/dbraw/zinc/39/05/79/1072390579.db2.gz CUWUDSCLTHLIMR-CYBMUJFWSA-N 0 0 437.478 -0.041 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)C2=O)n1 ZINC000607878881 1072039993 /nfs/dbraw/zinc/03/99/93/1072039993.db2.gz CUWUDSCLTHLIMR-ZDUSSCGKSA-N 0 0 437.478 -0.041 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000607888761 1072391495 /nfs/dbraw/zinc/39/14/95/1072391495.db2.gz ZZFJBTZFKDWJEG-AWEZNQCLSA-N 0 0 431.536 -0.298 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000607888762 1072391301 /nfs/dbraw/zinc/39/13/01/1072391301.db2.gz ZZFJBTZFKDWJEG-CQSZACIVSA-N 0 0 431.536 -0.298 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(F)cc3)CC2)o1 ZINC000607888967 1072391473 /nfs/dbraw/zinc/39/14/73/1072391473.db2.gz SMAZFYQRNUNAKR-UHFFFAOYSA-N 0 0 438.437 -0.333 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000607892952 1072390985 /nfs/dbraw/zinc/39/09/85/1072390985.db2.gz KUWONPOEPYEBDO-GOSISDBHSA-N 0 0 428.530 -0.108 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H](c2cccc(F)c2)N2CCOCC2)CC1 ZINC000607892953 1072390995 /nfs/dbraw/zinc/39/09/95/1072390995.db2.gz KUWONPOEPYEBDO-SFHVURJKSA-N 0 0 428.530 -0.108 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1N1CCNC1=O ZINC000607893141 1072391440 /nfs/dbraw/zinc/39/14/40/1072391440.db2.gz WITQCUUCUHDWMK-UHFFFAOYSA-N 0 0 425.511 -0.468 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000607898049 1072391485 /nfs/dbraw/zinc/39/14/85/1072391485.db2.gz JFVGMJFAPLSCKN-UHFFFAOYSA-N 0 0 431.536 -0.296 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCc1cccc(CS(N)(=O)=O)c1 ZINC000607898549 1072391335 /nfs/dbraw/zinc/39/13/35/1072391335.db2.gz WZVODBFAQVLXQR-GFCCVEGCSA-N 0 0 443.551 -0.191 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCc1cccc(CS(N)(=O)=O)c1 ZINC000607898550 1072391002 /nfs/dbraw/zinc/39/10/02/1072391002.db2.gz WZVODBFAQVLXQR-LBPRGKRZSA-N 0 0 443.551 -0.191 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1 ZINC000607908525 1072391928 /nfs/dbraw/zinc/39/19/28/1072391928.db2.gz DMZDBOZDNNCSGL-HNNXBMFYSA-N 0 0 431.536 -0.298 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1 ZINC000607908526 1072392024 /nfs/dbraw/zinc/39/20/24/1072392024.db2.gz DMZDBOZDNNCSGL-OAHLLOKOSA-N 0 0 431.536 -0.298 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCc2ccc(S(N)(=O)=O)cc21 ZINC000607909480 1072391992 /nfs/dbraw/zinc/39/19/92/1072391992.db2.gz GVRCHJIBKBNSHY-LLVKDONJSA-N 0 0 441.535 -0.060 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCc2ccc(S(N)(=O)=O)cc21 ZINC000607909481 1072391969 /nfs/dbraw/zinc/39/19/69/1072391969.db2.gz GVRCHJIBKBNSHY-NSHDSACASA-N 0 0 441.535 -0.060 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCc1cc(S(N)(=O)=O)ccc1F ZINC000607909634 1072392048 /nfs/dbraw/zinc/39/20/48/1072392048.db2.gz PWUBLZYVWFLYCO-JTQLQIEISA-N 0 0 447.514 -0.193 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCc1cc(S(N)(=O)=O)ccc1F ZINC000607909635 1072391982 /nfs/dbraw/zinc/39/19/82/1072391982.db2.gz PWUBLZYVWFLYCO-SNVBAGLBSA-N 0 0 447.514 -0.193 20 0 IBADRN O=C(NCc1ccc(F)cc1)C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000607910682 1072391869 /nfs/dbraw/zinc/39/18/69/1072391869.db2.gz JMJSPZGVUINELV-UHFFFAOYSA-N 0 0 428.486 -0.401 20 0 IBADRN COc1ccc(CC(=O)N2CCNC(=O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000607923570 1072391715 /nfs/dbraw/zinc/39/17/15/1072391715.db2.gz BXOQSUWWLNLXRF-AWEZNQCLSA-N 0 0 425.507 -0.004 20 0 IBADRN COc1ccc(CC(=O)N2CCNC(=O)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000607923578 1072391917 /nfs/dbraw/zinc/39/19/17/1072391917.db2.gz BXOQSUWWLNLXRF-CQSZACIVSA-N 0 0 425.507 -0.004 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000607949895 1072392458 /nfs/dbraw/zinc/39/24/58/1072392458.db2.gz DRYHWVCFHRBWBB-GOSISDBHSA-N 0 0 444.598 -0.122 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000607949896 1072392572 /nfs/dbraw/zinc/39/25/72/1072392572.db2.gz DRYHWVCFHRBWBB-SFHVURJKSA-N 0 0 444.598 -0.122 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000608007719 1072392559 /nfs/dbraw/zinc/39/25/59/1072392559.db2.gz LYMICYRNONYWSG-UHFFFAOYSA-N 0 0 434.521 -0.311 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(C[C@@H]3CCCO3)CC2)s1 ZINC000608008494 1072392587 /nfs/dbraw/zinc/39/25/87/1072392587.db2.gz JAFBERNSSIJLOD-HNNXBMFYSA-N 0 0 444.579 -0.014 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(C[C@H]3CCCO3)CC2)s1 ZINC000608008496 1072393083 /nfs/dbraw/zinc/39/30/83/1072393083.db2.gz JAFBERNSSIJLOD-OAHLLOKOSA-N 0 0 444.579 -0.014 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000608009553 1072393004 /nfs/dbraw/zinc/39/30/04/1072393004.db2.gz SABGZEYOEBIGSS-DOMZBBRYSA-N 0 0 436.490 -0.543 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000608009554 1072392903 /nfs/dbraw/zinc/39/29/03/1072392903.db2.gz SABGZEYOEBIGSS-IUODEOHRSA-N 0 0 436.490 -0.543 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000608009555 1072392976 /nfs/dbraw/zinc/39/29/76/1072392976.db2.gz SABGZEYOEBIGSS-SWLSCSKDSA-N 0 0 436.490 -0.543 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000608009556 1072392990 /nfs/dbraw/zinc/39/29/90/1072392990.db2.gz SABGZEYOEBIGSS-WFASDCNBSA-N 0 0 436.490 -0.543 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@H](N3CCN(C(=O)N4CCCC4)CC3)C2=O)c1 ZINC000608017530 1072393184 /nfs/dbraw/zinc/39/31/84/1072393184.db2.gz QTKITXKCDALHQG-INIZCTEOSA-N 0 0 435.506 -0.201 20 0 IBADRN NS(=O)(=O)c1cccc(N2C(=O)C[C@@H](N3CCN(C(=O)N4CCCC4)CC3)C2=O)c1 ZINC000608017531 1072393201 /nfs/dbraw/zinc/39/32/01/1072393201.db2.gz QTKITXKCDALHQG-MRXNPFEDSA-N 0 0 435.506 -0.201 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cn1 ZINC000608019519 1072393016 /nfs/dbraw/zinc/39/30/16/1072393016.db2.gz BQNMSNPPCLEAFZ-UHFFFAOYSA-N 0 0 429.524 -0.006 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000608021532 1072393069 /nfs/dbraw/zinc/39/30/69/1072393069.db2.gz GHYYKOHLSCCTDZ-UHFFFAOYSA-N 0 0 443.551 -0.264 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cnn(-c3ccccc3F)c2)CC1 ZINC000608021536 1072393031 /nfs/dbraw/zinc/39/30/31/1072393031.db2.gz HCDQOXMDOHBDSI-UHFFFAOYSA-N 0 0 431.515 -0.133 20 0 IBADRN Cc1c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cnn1-c1ccccn1 ZINC000608021551 1072393057 /nfs/dbraw/zinc/39/30/57/1072393057.db2.gz KMSARPLLQVDOPD-UHFFFAOYSA-N 0 0 428.540 -0.569 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2ccc(Br)cn2)CC1 ZINC000608021554 1072393107 /nfs/dbraw/zinc/39/31/07/1072393107.db2.gz LRQFREIMCXXKTI-UHFFFAOYSA-N 0 0 427.346 -0.300 20 0 IBADRN Cn1c2ncc(S(=O)(=O)N3CCc4ccc(S(N)(=O)=O)cc43)cc2c(=O)[nH]c1=O ZINC000608024969 1072393489 /nfs/dbraw/zinc/39/34/89/1072393489.db2.gz JWXVQZGWTQYIGC-UHFFFAOYSA-N 0 0 437.459 -0.567 20 0 IBADRN O=S(=O)(NCc1nnnn1C1CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000608043270 1072393700 /nfs/dbraw/zinc/39/37/00/1072393700.db2.gz DOBNXWNTBJZZCM-UHFFFAOYSA-N 0 0 428.496 -0.493 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000608050177 1072393434 /nfs/dbraw/zinc/39/34/34/1072393434.db2.gz MQEHABIGGBLGLG-ABAIWWIYSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000608050178 1072393452 /nfs/dbraw/zinc/39/34/52/1072393452.db2.gz MQEHABIGGBLGLG-IAQYHMDHSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000608050179 1072393468 /nfs/dbraw/zinc/39/34/68/1072393468.db2.gz MQEHABIGGBLGLG-NHYWBVRUSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000608050180 1072393544 /nfs/dbraw/zinc/39/35/44/1072393544.db2.gz MQEHABIGGBLGLG-XHDPSFHLSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000608050400 1072393407 /nfs/dbraw/zinc/39/34/07/1072393407.db2.gz KLKKHYFKCBOQPK-ABAIWWIYSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000608050401 1072393423 /nfs/dbraw/zinc/39/34/23/1072393423.db2.gz KLKKHYFKCBOQPK-IAQYHMDHSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000608050402 1072393574 /nfs/dbraw/zinc/39/35/74/1072393574.db2.gz KLKKHYFKCBOQPK-NHYWBVRUSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000608050403 1072393689 /nfs/dbraw/zinc/39/36/89/1072393689.db2.gz KLKKHYFKCBOQPK-XHDPSFHLSA-N 0 0 427.508 -0.200 20 0 IBADRN C[C@@H]1C[C@@H](NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608050982 1072393669 /nfs/dbraw/zinc/39/36/69/1072393669.db2.gz QWSRQXOZELKLKE-NOZJJQNGSA-N 0 0 433.450 -0.760 20 0 IBADRN C[C@@H]1C[C@H](NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608050983 1072393628 /nfs/dbraw/zinc/39/36/28/1072393628.db2.gz QWSRQXOZELKLKE-RNCFNFMXSA-N 0 0 433.450 -0.760 20 0 IBADRN C[C@H]1C[C@@H](NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608050984 1072393587 /nfs/dbraw/zinc/39/35/87/1072393587.db2.gz QWSRQXOZELKLKE-TVQRCGJNSA-N 0 0 433.450 -0.760 20 0 IBADRN C[C@H]1C[C@H](NS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608050985 1072393513 /nfs/dbraw/zinc/39/35/13/1072393513.db2.gz QWSRQXOZELKLKE-ZANVPECISA-N 0 0 433.450 -0.760 20 0 IBADRN O=C1CCC(=O)N1CCNc1cc(C(F)(F)F)nc(NCCN2C(=O)CCC2=O)n1 ZINC000608086176 1072393656 /nfs/dbraw/zinc/39/36/56/1072393656.db2.gz YWXCVYLWNIZDKV-UHFFFAOYSA-N 0 0 428.371 -0.539 20 0 IBADRN CCn1nc2c(cc1=O)CN(CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000608096197 1072394037 /nfs/dbraw/zinc/39/40/37/1072394037.db2.gz GNQRKOIHXQWWJH-DLBZAZTESA-N 0 0 438.550 -0.184 20 0 IBADRN CCn1nc2c(cc1=O)CN(CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000608096198 1072394126 /nfs/dbraw/zinc/39/41/26/1072394126.db2.gz GNQRKOIHXQWWJH-IAGOWNOFSA-N 0 0 438.550 -0.184 20 0 IBADRN CCn1nc2c(cc1=O)CN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000608096199 1072394100 /nfs/dbraw/zinc/39/41/00/1072394100.db2.gz GNQRKOIHXQWWJH-IRXDYDNUSA-N 0 0 438.550 -0.184 20 0 IBADRN CCn1nc2c(cc1=O)CN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000608096200 1072394115 /nfs/dbraw/zinc/39/41/15/1072394115.db2.gz GNQRKOIHXQWWJH-SJORKVTESA-N 0 0 438.550 -0.184 20 0 IBADRN CCn1nc2c(cc1=O)CN(CC(=O)N1CCN(c3ncnc4c3cnn4C)CC1)CC2 ZINC000608096522 1072253763 /nfs/dbraw/zinc/25/37/63/1072253763.db2.gz CZDUONMNRHCIRL-UHFFFAOYSA-N 0 0 437.508 -0.353 20 0 IBADRN Cn1cc(S(=O)(=O)NCCn2nnc([C@@H]3CN(Cc4ccccc4)CCO3)n2)cn1 ZINC000608102104 1072393945 /nfs/dbraw/zinc/39/39/45/1072393945.db2.gz FVLNPEULFUHHOE-KRWDZBQOSA-N 0 0 432.510 -0.041 20 0 IBADRN Cn1cc(S(=O)(=O)NCCn2nnc([C@H]3CN(Cc4ccccc4)CCO3)n2)cn1 ZINC000608102105 1072393972 /nfs/dbraw/zinc/39/39/72/1072393972.db2.gz FVLNPEULFUHHOE-QGZVFWFLSA-N 0 0 432.510 -0.041 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)cc1 ZINC000608105617 1072393639 /nfs/dbraw/zinc/39/36/39/1072393639.db2.gz BNDKHRRHXYAJKA-UHFFFAOYSA-N 0 0 439.515 -0.075 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CCCCC1 ZINC000608106613 1072394054 /nfs/dbraw/zinc/39/40/54/1072394054.db2.gz OLBCIGMLYTTXQT-UHFFFAOYSA-N 0 0 431.536 -0.073 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H](c2ccccc2F)N2CCOCC2)CC1 ZINC000608117318 1072394183 /nfs/dbraw/zinc/39/41/83/1072394183.db2.gz OSWKDXYQVUOWHZ-GOSISDBHSA-N 0 0 428.530 -0.108 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H](c2ccccc2F)N2CCOCC2)CC1 ZINC000608117319 1072394024 /nfs/dbraw/zinc/39/40/24/1072394024.db2.gz OSWKDXYQVUOWHZ-SFHVURJKSA-N 0 0 428.530 -0.108 20 0 IBADRN COc1cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(NC(C)=O)c1OC ZINC000608117342 1072394162 /nfs/dbraw/zinc/39/41/62/1072394162.db2.gz SOZXGTRLXJWCQT-UHFFFAOYSA-N 0 0 428.511 -0.031 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)cc1 ZINC000608136604 1072290472 /nfs/dbraw/zinc/29/04/72/1072290472.db2.gz MTURJIZDHZFNPD-UHFFFAOYSA-N 0 0 429.451 -0.338 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000608150331 1072393998 /nfs/dbraw/zinc/39/39/98/1072393998.db2.gz URPNJCVKKMKENA-INIZCTEOSA-N 0 0 426.539 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000608150332 1072394079 /nfs/dbraw/zinc/39/40/79/1072394079.db2.gz URPNJCVKKMKENA-MRXNPFEDSA-N 0 0 426.539 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1cccc(OCC(N)=O)c1 ZINC000608154996 1072394536 /nfs/dbraw/zinc/39/45/36/1072394536.db2.gz NOSDNTJHMADJTJ-UHFFFAOYSA-N 0 0 436.490 -0.160 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)[C@H]3CCCN3C(=O)CNC(C)=O)CC2)n1 ZINC000608171742 1072394548 /nfs/dbraw/zinc/39/45/48/1072394548.db2.gz VTRCDEMERLPAIH-GDBMZVCRSA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)[C@H]3CCCN3C(=O)CNC(C)=O)CC2)n1 ZINC000608171743 1072394525 /nfs/dbraw/zinc/39/45/25/1072394525.db2.gz VTRCDEMERLPAIH-GOEBONIOSA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)CC2)n1 ZINC000608171744 1072394558 /nfs/dbraw/zinc/39/45/58/1072394558.db2.gz VTRCDEMERLPAIH-HOCLYGCPSA-N 0 0 436.513 -0.062 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)CC2)n1 ZINC000608171745 1072394694 /nfs/dbraw/zinc/39/46/94/1072394694.db2.gz VTRCDEMERLPAIH-ZBFHGGJFSA-N 0 0 436.513 -0.062 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)C2=O)n(C)n1 ZINC000608173137 1072394675 /nfs/dbraw/zinc/39/46/75/1072394675.db2.gz NKBBJBBTEIZWDR-CYBMUJFWSA-N 0 0 437.478 -0.122 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)C2=O)n(C)n1 ZINC000608173138 1072394495 /nfs/dbraw/zinc/39/44/95/1072394495.db2.gz NKBBJBBTEIZWDR-ZDUSSCGKSA-N 0 0 437.478 -0.122 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000608174031 1072394616 /nfs/dbraw/zinc/39/46/16/1072394616.db2.gz YNULTHCXXYGXTC-UHFFFAOYSA-N 0 0 442.519 -0.071 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000608174085 1072394591 /nfs/dbraw/zinc/39/45/91/1072394591.db2.gz RULDJFKGTKEUIO-UHFFFAOYSA-N 0 0 428.492 -0.082 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)ccc1S(N)(=O)=O ZINC000608174118 1072394637 /nfs/dbraw/zinc/39/46/37/1072394637.db2.gz XEPHFHKGXCVKHC-UHFFFAOYSA-N 0 0 428.492 -0.082 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000608174155 1072394687 /nfs/dbraw/zinc/39/46/87/1072394687.db2.gz HQDDQQPLYPCGIT-UHFFFAOYSA-N 0 0 428.492 -0.461 20 0 IBADRN Cc1oc(C)c(S(N)(=O)=O)c1C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000608174174 1072394604 /nfs/dbraw/zinc/39/46/04/1072394604.db2.gz NUXAUAWEGQUDKP-UHFFFAOYSA-N 0 0 432.480 -0.180 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCc3nnnn3C3CC3)c2)CC1 ZINC000608196792 1072394986 /nfs/dbraw/zinc/39/49/86/1072394986.db2.gz GQOGGKDJSPQGCW-UHFFFAOYSA-N 0 0 433.494 -0.209 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCc1nnnn1C1CC1 ZINC000608196897 1072394625 /nfs/dbraw/zinc/39/46/25/1072394625.db2.gz JTOLQLCLGWZEHR-UHFFFAOYSA-N 0 0 447.521 -0.063 20 0 IBADRN COc1ccc(CC(=O)NCc2nnnn2C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000608197352 1072395024 /nfs/dbraw/zinc/39/50/24/1072395024.db2.gz YAMPNSQLITZHFI-UHFFFAOYSA-N 0 0 436.494 -0.104 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCc3nnnn3C3CC3)cc2)CC1 ZINC000608197631 1072394938 /nfs/dbraw/zinc/39/49/38/1072394938.db2.gz NQYFEYAMRLLWKS-UHFFFAOYSA-N 0 0 433.494 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000608221068 1072395685 /nfs/dbraw/zinc/39/56/85/1072395685.db2.gz UNVLIYUZIVLVBH-UHFFFAOYSA-N 0 0 447.517 -0.065 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C(=O)N1c1cnn(C)c1 ZINC000608229007 1072394999 /nfs/dbraw/zinc/39/49/99/1072394999.db2.gz OPVVDXMBAMZGSR-GWCFXTLKSA-N 0 0 448.461 -0.714 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C(=O)N1c1cnn(C)c1 ZINC000608229015 1072395153 /nfs/dbraw/zinc/39/51/53/1072395153.db2.gz OPVVDXMBAMZGSR-GXFFZTMASA-N 0 0 448.461 -0.714 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C(=O)N1c1cnn(C)c1 ZINC000608229018 1072395085 /nfs/dbraw/zinc/39/50/85/1072395085.db2.gz OPVVDXMBAMZGSR-MFKMUULPSA-N 0 0 448.461 -0.714 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C(=O)N1c1cnn(C)c1 ZINC000608229020 1072317525 /nfs/dbraw/zinc/31/75/25/1072317525.db2.gz OPVVDXMBAMZGSR-ZWNOBZJWSA-N 0 0 448.461 -0.714 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000608230804 1072395048 /nfs/dbraw/zinc/39/50/48/1072395048.db2.gz DRTGHXARZHOBNO-HCKVZZMMSA-N 0 0 437.526 -0.253 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000608230847 1072395058 /nfs/dbraw/zinc/39/50/58/1072395058.db2.gz DRTGHXARZHOBNO-IXPVHAAZSA-N 0 0 437.526 -0.253 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000608230849 1072395036 /nfs/dbraw/zinc/39/50/36/1072395036.db2.gz DRTGHXARZHOBNO-JVLSTEMRSA-N 0 0 437.526 -0.253 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000608230852 1072395141 /nfs/dbraw/zinc/39/51/41/1072395141.db2.gz DRTGHXARZHOBNO-NVBFEUDRSA-N 0 0 437.526 -0.253 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000608231432 1072394977 /nfs/dbraw/zinc/39/49/77/1072394977.db2.gz QKPGVNZJDUEQJR-BXUZGUMPSA-N 0 0 425.449 -0.402 20 0 IBADRN Cc1cc(C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000608231433 1072394955 /nfs/dbraw/zinc/39/49/55/1072394955.db2.gz QKPGVNZJDUEQJR-FZMZJTMJSA-N 0 0 425.449 -0.402 20 0 IBADRN Cc1cc(C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000608231434 1072395125 /nfs/dbraw/zinc/39/51/25/1072395125.db2.gz QKPGVNZJDUEQJR-RISCZKNCSA-N 0 0 425.449 -0.402 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000608231435 1072394960 /nfs/dbraw/zinc/39/49/60/1072394960.db2.gz QKPGVNZJDUEQJR-SMDDNHRTSA-N 0 0 425.449 -0.402 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C(=O)N1c1cnn(C)c1 ZINC000608232337 1072395005 /nfs/dbraw/zinc/39/50/05/1072395005.db2.gz OXHJRAMWGKPDSA-GZMMTYOYSA-N 0 0 425.243 -0.245 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C(=O)N1c1cnn(C)c1 ZINC000608232338 1072395101 /nfs/dbraw/zinc/39/51/01/1072395101.db2.gz OXHJRAMWGKPDSA-KCJUWKMLSA-N 0 0 425.243 -0.245 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C(=O)N1c1cnn(C)c1 ZINC000608232339 1072394946 /nfs/dbraw/zinc/39/49/46/1072394946.db2.gz OXHJRAMWGKPDSA-KWQFWETISA-N 0 0 425.243 -0.245 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C(=O)N1c1cnn(C)c1 ZINC000608232340 1072394967 /nfs/dbraw/zinc/39/49/67/1072394967.db2.gz OXHJRAMWGKPDSA-LDYMZIIASA-N 0 0 425.243 -0.245 20 0 IBADRN C[C@H]1C[C@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C(=O)N1c1cnn(C)c1 ZINC000608233353 1072395072 /nfs/dbraw/zinc/39/50/72/1072395072.db2.gz YWJUUTDKLJJUFH-GWCFXTLKSA-N 0 0 442.461 -0.245 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C(=O)N1c1cnn(C)c1 ZINC000608233354 1072394982 /nfs/dbraw/zinc/39/49/82/1072394982.db2.gz YWJUUTDKLJJUFH-GXFFZTMASA-N 0 0 442.461 -0.245 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C(=O)N1c1cnn(C)c1 ZINC000608233355 1072395469 /nfs/dbraw/zinc/39/54/69/1072395469.db2.gz YWJUUTDKLJJUFH-MFKMUULPSA-N 0 0 442.461 -0.245 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C(=O)N1c1cnn(C)c1 ZINC000608233356 1072317476 /nfs/dbraw/zinc/31/74/76/1072317476.db2.gz YWJUUTDKLJJUFH-ZWNOBZJWSA-N 0 0 442.461 -0.245 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608235722 1072395555 /nfs/dbraw/zinc/39/55/55/1072395555.db2.gz JSDGYQBCEGYZMX-BPQIPLTHSA-N 0 0 432.525 -0.257 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608235723 1072395614 /nfs/dbraw/zinc/39/56/14/1072395614.db2.gz JSDGYQBCEGYZMX-KBAYOESNSA-N 0 0 432.525 -0.257 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608235724 1072395490 /nfs/dbraw/zinc/39/54/90/1072395490.db2.gz JSDGYQBCEGYZMX-NJAFHUGGSA-N 0 0 432.525 -0.257 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608235725 1072395651 /nfs/dbraw/zinc/39/56/51/1072395651.db2.gz JSDGYQBCEGYZMX-NXHRZFHOSA-N 0 0 432.525 -0.257 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C(=O)N1c1cnn(C)c1 ZINC000608237045 1072395700 /nfs/dbraw/zinc/39/57/00/1072395700.db2.gz PRHGQXYQDPMHLH-GXTWGEPZSA-N 0 0 437.478 -0.042 20 0 IBADRN C[C@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C(=O)N1c1cnn(C)c1 ZINC000608237046 1072395513 /nfs/dbraw/zinc/39/55/13/1072395513.db2.gz PRHGQXYQDPMHLH-JSGCOSHPSA-N 0 0 437.478 -0.042 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C(=O)N1c1cnn(C)c1 ZINC000608237047 1072395529 /nfs/dbraw/zinc/39/55/29/1072395529.db2.gz PRHGQXYQDPMHLH-OCCSQVGLSA-N 0 0 437.478 -0.042 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C(=O)N1c1cnn(C)c1 ZINC000608237048 1072395640 /nfs/dbraw/zinc/39/56/40/1072395640.db2.gz PRHGQXYQDPMHLH-TZMCWYRMSA-N 0 0 437.478 -0.042 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCN(C)CC2)c(NC(=O)NC(CO)CO)c1 ZINC000608265821 1072395592 /nfs/dbraw/zinc/39/55/92/1072395592.db2.gz HOAIVJJAIDNYCI-UHFFFAOYSA-N 0 0 443.570 -0.056 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000608270927 1072395674 /nfs/dbraw/zinc/39/56/74/1072395674.db2.gz LAGJSMHLKZFPPY-GXTWGEPZSA-N 0 0 444.535 -0.106 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000608270931 1072395506 /nfs/dbraw/zinc/39/55/06/1072395506.db2.gz LAGJSMHLKZFPPY-JSGCOSHPSA-N 0 0 444.535 -0.106 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000608270933 1072395632 /nfs/dbraw/zinc/39/56/32/1072395632.db2.gz LAGJSMHLKZFPPY-OCCSQVGLSA-N 0 0 444.535 -0.106 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000608270935 1072395571 /nfs/dbraw/zinc/39/55/71/1072395571.db2.gz LAGJSMHLKZFPPY-TZMCWYRMSA-N 0 0 444.535 -0.106 20 0 IBADRN COCCN(CCO)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000608270950 1072395549 /nfs/dbraw/zinc/39/55/49/1072395549.db2.gz NTRCKAWSVCYOGI-AWEZNQCLSA-N 0 0 435.524 -0.375 20 0 IBADRN COCCN(CCO)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000608270955 1072395521 /nfs/dbraw/zinc/39/55/21/1072395521.db2.gz NTRCKAWSVCYOGI-CQSZACIVSA-N 0 0 435.524 -0.375 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000608274251 1072395474 /nfs/dbraw/zinc/39/54/74/1072395474.db2.gz QFXAUHYKBLQCGP-UHFFFAOYSA-N 0 0 446.552 -0.014 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608280033 1072396254 /nfs/dbraw/zinc/39/62/54/1072396254.db2.gz KSKAJWKQZIBMBZ-HZMBPMFUSA-N 0 0 426.437 -0.319 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608280034 1072396114 /nfs/dbraw/zinc/39/61/14/1072396114.db2.gz KSKAJWKQZIBMBZ-IINYFYTJSA-N 0 0 426.437 -0.319 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608280035 1072396032 /nfs/dbraw/zinc/39/60/32/1072396032.db2.gz KSKAJWKQZIBMBZ-QMTHXVAHSA-N 0 0 426.437 -0.319 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608280036 1072396230 /nfs/dbraw/zinc/39/62/30/1072396230.db2.gz KSKAJWKQZIBMBZ-YGRLFVJLSA-N 0 0 426.437 -0.319 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000608296868 1073304422 /nfs/dbraw/zinc/30/44/22/1073304422.db2.gz XXQOVSFGXXKIBF-UHFFFAOYSA-N 0 0 425.471 -0.361 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N[C@H]3CCCS(=O)(=O)C3)cn2)CC1 ZINC000608304590 1072396011 /nfs/dbraw/zinc/39/60/11/1072396011.db2.gz DASVWQVOOUHFLG-AWEZNQCLSA-N 0 0 431.540 -0.138 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N[C@@H]3CCCS(=O)(=O)C3)cn2)CC1 ZINC000608304591 1072396073 /nfs/dbraw/zinc/39/60/73/1072396073.db2.gz DASVWQVOOUHFLG-CQSZACIVSA-N 0 0 431.540 -0.138 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000608308583 1072396213 /nfs/dbraw/zinc/39/62/13/1072396213.db2.gz IGLWCTXQAYTZJG-UHFFFAOYSA-N 0 0 437.478 -0.580 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000608309951 1072396124 /nfs/dbraw/zinc/39/61/24/1072396124.db2.gz RVLFXDMNEQRADS-UHFFFAOYSA-N 0 0 439.494 -0.870 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000608310932 1072396065 /nfs/dbraw/zinc/39/60/65/1072396065.db2.gz LGRDWQHNMXEAKL-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C(=O)N1c1cnn(C)c1 ZINC000608313082 1073315069 /nfs/dbraw/zinc/31/50/69/1073315069.db2.gz FBSDPTYGTGIKAH-DZGCQCFKSA-N 0 0 430.469 -0.209 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C(=O)N1c1cnn(C)c1 ZINC000608313083 1073307280 /nfs/dbraw/zinc/30/72/80/1073307280.db2.gz FBSDPTYGTGIKAH-HIFRSBDPSA-N 0 0 430.469 -0.209 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C(=O)N1c1cnn(C)c1 ZINC000608313084 1073306191 /nfs/dbraw/zinc/30/61/91/1073306191.db2.gz FBSDPTYGTGIKAH-UKRRQHHQSA-N 0 0 430.469 -0.209 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C(=O)N1c1cnn(C)c1 ZINC000608313085 1073315882 /nfs/dbraw/zinc/31/58/82/1073315882.db2.gz FBSDPTYGTGIKAH-ZFWWWQNUSA-N 0 0 430.469 -0.209 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)cn1 ZINC000608313146 1074355682 /nfs/dbraw/zinc/35/56/82/1074355682.db2.gz UQNCRCLZBYUKJM-UHFFFAOYSA-N 0 0 434.457 -0.655 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000608329495 1072396098 /nfs/dbraw/zinc/39/60/98/1072396098.db2.gz WGUQCNADSPXULB-UHFFFAOYSA-N 0 0 449.555 -0.433 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000608357910 1072396689 /nfs/dbraw/zinc/39/66/89/1072396689.db2.gz YXZKBLGLCPKJRA-GXTWGEPZSA-N 0 0 447.535 -0.206 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000608357912 1072396663 /nfs/dbraw/zinc/39/66/63/1072396663.db2.gz YXZKBLGLCPKJRA-JSGCOSHPSA-N 0 0 447.535 -0.206 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000608358291 1072396056 /nfs/dbraw/zinc/39/60/56/1072396056.db2.gz HZHQMQFGHGDFES-HNNXBMFYSA-N 0 0 432.524 -0.407 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000608358292 1072396623 /nfs/dbraw/zinc/39/66/23/1072396623.db2.gz HZHQMQFGHGDFES-OAHLLOKOSA-N 0 0 432.524 -0.407 20 0 IBADRN O=C(CN1CCN(C(=O)c2c[nH]nc2-c2cccnc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000608368177 1072396628 /nfs/dbraw/zinc/39/66/28/1072396628.db2.gz UGQSLMFMKNKXTB-HNNXBMFYSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2c[nH]nc2-c2cccnc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000608368178 1072396670 /nfs/dbraw/zinc/39/66/70/1072396670.db2.gz UGQSLMFMKNKXTB-OAHLLOKOSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NCc1nnnn1C1CC1 ZINC000608408369 1072396703 /nfs/dbraw/zinc/39/67/03/1072396703.db2.gz SLDGGBMQFVNWIW-UHFFFAOYSA-N 0 0 435.514 -0.646 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000608421297 1072396602 /nfs/dbraw/zinc/39/66/02/1072396602.db2.gz UHPULNDBCPSJAH-UHFFFAOYSA-N 0 0 435.528 -0.743 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCN2C(=O)COc3ccccc32)CC1 ZINC000608422272 1072396727 /nfs/dbraw/zinc/39/67/27/1072396727.db2.gz KFUFAJLETMMOTM-UHFFFAOYSA-N 0 0 439.538 -0.322 20 0 IBADRN CCc1nsc(N2CCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000608422436 1072396681 /nfs/dbraw/zinc/39/66/81/1072396681.db2.gz VNMYXDDCTVDMRH-UHFFFAOYSA-N 0 0 431.588 -0.491 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(Cc3cccc(F)c3)C(=O)C2)CC1 ZINC000608422458 1072396775 /nfs/dbraw/zinc/39/67/75/1072396775.db2.gz WXNJYMVROKDICX-UHFFFAOYSA-N 0 0 441.529 -0.243 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCn3c(Cc4ccccc4)nnc3C2)CC1 ZINC000608422459 1072396749 /nfs/dbraw/zinc/39/67/49/1072396749.db2.gz WXRQTZKLJPETIZ-UHFFFAOYSA-N 0 0 447.565 -0.029 20 0 IBADRN CN(C)C(=O)c1cccc(CCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000608422460 1072396740 /nfs/dbraw/zinc/39/67/40/1072396740.db2.gz WZHVKHGAZODYCC-UHFFFAOYSA-N 0 0 425.555 -0.193 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000608422480 1072396637 /nfs/dbraw/zinc/39/66/37/1072396637.db2.gz YOOXJVQEMDPGFG-UHFFFAOYSA-N 0 0 431.588 -0.355 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000608422485 1072396693 /nfs/dbraw/zinc/39/66/93/1072396693.db2.gz ZDIYYAGSBFCVMT-UHFFFAOYSA-N 0 0 445.615 -0.101 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)NCCS(=O)(=O)N2CCSCC2)C(=O)N1c1cnn(C)c1 ZINC000608427689 1072396766 /nfs/dbraw/zinc/39/67/66/1072396766.db2.gz JOEPIAMYSMJABD-GXTWGEPZSA-N 0 0 430.556 -0.408 20 0 IBADRN C[C@H]1C[C@H](NC(=O)NCCS(=O)(=O)N2CCSCC2)C(=O)N1c1cnn(C)c1 ZINC000608427690 1072396757 /nfs/dbraw/zinc/39/67/57/1072396757.db2.gz JOEPIAMYSMJABD-JSGCOSHPSA-N 0 0 430.556 -0.408 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)NCCS(=O)(=O)N2CCSCC2)C(=O)N1c1cnn(C)c1 ZINC000608427691 1072396642 /nfs/dbraw/zinc/39/66/42/1072396642.db2.gz JOEPIAMYSMJABD-OCCSQVGLSA-N 0 0 430.556 -0.408 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)NCCS(=O)(=O)N2CCSCC2)C(=O)N1c1cnn(C)c1 ZINC000608427692 1072397159 /nfs/dbraw/zinc/39/71/59/1072397159.db2.gz JOEPIAMYSMJABD-TZMCWYRMSA-N 0 0 430.556 -0.408 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCc2nnnn2C2CC2)CC1 ZINC000608436071 1072397254 /nfs/dbraw/zinc/39/72/54/1072397254.db2.gz XJILEEUNPZFNMM-UHFFFAOYSA-N 0 0 434.526 -0.057 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000608443638 1072397150 /nfs/dbraw/zinc/39/71/50/1072397150.db2.gz BBANQRCHEATDRF-INIZCTEOSA-N 0 0 439.538 -0.200 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)CC1 ZINC000608443639 1072397145 /nfs/dbraw/zinc/39/71/45/1072397145.db2.gz BBANQRCHEATDRF-MRXNPFEDSA-N 0 0 439.538 -0.200 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)COc3ccccc32)CC1 ZINC000608448219 1072397179 /nfs/dbraw/zinc/39/71/79/1072397179.db2.gz FFRNDNGQDYSLHW-UHFFFAOYSA-N 0 0 425.511 -0.064 20 0 IBADRN CC(=O)Nc1ccccc1CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000608453655 1072397130 /nfs/dbraw/zinc/39/71/30/1072397130.db2.gz WOFHDNIOYGBCOW-UHFFFAOYSA-N 0 0 438.554 -0.036 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnnn3C3CC3)CC2)C[C@H](C)O1 ZINC000608465571 1072397153 /nfs/dbraw/zinc/39/71/53/1072397153.db2.gz WFNUETFMYKIERM-BETUJISGSA-N 0 0 428.519 -0.811 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnnn3C3CC3)CC2)C[C@@H](C)O1 ZINC000608465575 1072397109 /nfs/dbraw/zinc/39/71/09/1072397109.db2.gz WFNUETFMYKIERM-CHWSQXEVSA-N 0 0 428.519 -0.811 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnnn3C3CC3)CC2)C[C@H](C)O1 ZINC000608465577 1072397101 /nfs/dbraw/zinc/39/71/01/1072397101.db2.gz WFNUETFMYKIERM-STQMWFEESA-N 0 0 428.519 -0.811 20 0 IBADRN C[C@@H]1CN(C(=O)CCCN2C(=O)CCC2=O)CCN1C(=O)CCCN1C(=O)CCC1=O ZINC000608474496 1072397168 /nfs/dbraw/zinc/39/71/68/1072397168.db2.gz FFZHQYZIVFDUBQ-OAHLLOKOSA-N 0 0 434.493 -0.096 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000608475374 1072397162 /nfs/dbraw/zinc/39/71/62/1072397162.db2.gz XWQMMDNBMGAEKA-UHFFFAOYSA-N 0 0 444.491 -0.625 20 0 IBADRN Cc1ccc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1S(N)(=O)=O ZINC000608491113 1072397123 /nfs/dbraw/zinc/39/71/23/1072397123.db2.gz WXPJDYZNSSNVPW-UHFFFAOYSA-N 0 0 428.492 -0.082 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C(=O)N1c1cnn(C)c1 ZINC000608491167 1072397250 /nfs/dbraw/zinc/39/72/50/1072397250.db2.gz HGULAWCYQQERPU-CXAGYDPISA-N 0 0 435.506 -0.043 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C(=O)N1c1cnn(C)c1 ZINC000608491168 1072397112 /nfs/dbraw/zinc/39/71/12/1072397112.db2.gz HGULAWCYQQERPU-DYVFJYSZSA-N 0 0 435.506 -0.043 20 0 IBADRN C[C@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C(=O)N1c1cnn(C)c1 ZINC000608491169 1072397142 /nfs/dbraw/zinc/39/71/42/1072397142.db2.gz HGULAWCYQQERPU-GUYCJALGSA-N 0 0 435.506 -0.043 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C(=O)N1c1cnn(C)c1 ZINC000608491170 1072397755 /nfs/dbraw/zinc/39/77/55/1072397755.db2.gz HGULAWCYQQERPU-SUMWQHHRSA-N 0 0 435.506 -0.043 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCCN(C)S(C)(=O)=O)CC2 ZINC000608513021 1072397664 /nfs/dbraw/zinc/39/76/64/1072397664.db2.gz MQVIHDAYNJVJPO-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccn3C)CC2)cc1-n1cnnn1 ZINC000608513696 1072397705 /nfs/dbraw/zinc/39/77/05/1072397705.db2.gz WJIXNYWAUMRWGJ-UHFFFAOYSA-N 0 0 438.448 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)cc1-n1cnnn1 ZINC000608513888 1072397735 /nfs/dbraw/zinc/39/77/35/1072397735.db2.gz ATOWCFXZJUXPGQ-UHFFFAOYSA-N 0 0 428.453 -0.031 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(C(=O)N3CCCC3)CC1)CC2 ZINC000608513900 1072397698 /nfs/dbraw/zinc/39/76/98/1072397698.db2.gz GEIADCHFAPBUHC-UHFFFAOYSA-N 0 0 449.533 -0.156 20 0 IBADRN Cc1nc2cc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc2n1C ZINC000608513907 1072397640 /nfs/dbraw/zinc/39/76/40/1072397640.db2.gz HGOLAFINJRVYSA-UHFFFAOYSA-N 0 0 428.493 -0.177 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC(NS(C)(=O)=O)CC3)cc21 ZINC000608514017 1072397674 /nfs/dbraw/zinc/39/76/74/1072397674.db2.gz VQUIIQSVDMPBIX-UHFFFAOYSA-N 0 0 435.506 -0.134 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(OC)c(-n3cnnn3)c2)CC1 ZINC000608514077 1072397651 /nfs/dbraw/zinc/39/76/51/1072397651.db2.gz QEJRQABKUKEMHA-UHFFFAOYSA-N 0 0 431.453 -0.389 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCNC3=O)c2)CC1 ZINC000608514189 1072397711 /nfs/dbraw/zinc/39/77/11/1072397711.db2.gz IBXGJIORVFHNPI-UHFFFAOYSA-N 0 0 438.510 -0.505 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@H](NS(C)(=O)=O)C3)cc21 ZINC000608514846 1072397618 /nfs/dbraw/zinc/39/76/18/1072397618.db2.gz AWRJIEONVORCHP-HNNXBMFYSA-N 0 0 435.506 -0.134 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCC[C@@H](NS(C)(=O)=O)C3)cc21 ZINC000608514847 1072397647 /nfs/dbraw/zinc/39/76/47/1072397647.db2.gz AWRJIEONVORCHP-OAHLLOKOSA-N 0 0 435.506 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1)CC2 ZINC000608514953 1072397656 /nfs/dbraw/zinc/39/76/56/1072397656.db2.gz UGSHLIFRTDDONU-CYBMUJFWSA-N 0 0 444.535 -0.976 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1)CC2 ZINC000608514954 1072397661 /nfs/dbraw/zinc/39/76/61/1072397661.db2.gz UGSHLIFRTDDONU-ZDUSSCGKSA-N 0 0 444.535 -0.976 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@H]1CCCc3c1cnn3CCO)CC2 ZINC000608515421 1072397626 /nfs/dbraw/zinc/39/76/26/1072397626.db2.gz UGLNFFWJXKTZTK-INIZCTEOSA-N 0 0 447.517 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N[C@@H]1CCCc3c1cnn3CCO)CC2 ZINC000608515422 1072397680 /nfs/dbraw/zinc/39/76/80/1072397680.db2.gz UGLNFFWJXKTZTK-MRXNPFEDSA-N 0 0 447.517 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCCN1CCCS1(=O)=O)CC2 ZINC000608517626 1072397694 /nfs/dbraw/zinc/39/76/94/1072397694.db2.gz CPZRVJZAUKLTIZ-UHFFFAOYSA-N 0 0 444.535 -0.974 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)NCCCN3CCCS3(=O)=O)cc21 ZINC000608517638 1072397686 /nfs/dbraw/zinc/39/76/86/1072397686.db2.gz GBRAVPXTVXYOLG-UHFFFAOYSA-N 0 0 435.506 -0.132 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)CC2 ZINC000608519379 1072398666 /nfs/dbraw/zinc/39/86/66/1072398666.db2.gz WRKXJHOKIYMYML-UHFFFAOYSA-N 0 0 446.551 -0.682 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c2)o1 ZINC000608521311 1072398745 /nfs/dbraw/zinc/39/87/45/1072398745.db2.gz WKZYWVPDNUOQDA-UHFFFAOYSA-N 0 0 436.494 -0.323 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CCO1 ZINC000608524077 1072399325 /nfs/dbraw/zinc/39/93/25/1072399325.db2.gz MURNUEMAAILMNE-IBGZPJMESA-N 0 0 447.536 -0.291 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CCO1 ZINC000608524078 1072399273 /nfs/dbraw/zinc/39/92/73/1072399273.db2.gz MURNUEMAAILMNE-LJQANCHMSA-N 0 0 447.536 -0.291 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(C)C ZINC000608524206 1072399315 /nfs/dbraw/zinc/39/93/15/1072399315.db2.gz MINLKMAQHIHHHR-HNNXBMFYSA-N 0 0 427.527 -0.111 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(C)C ZINC000608524207 1072399359 /nfs/dbraw/zinc/39/93/59/1072399359.db2.gz MINLKMAQHIHHHR-OAHLLOKOSA-N 0 0 427.527 -0.111 20 0 IBADRN Cc1cnc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)s1 ZINC000608536850 1072398220 /nfs/dbraw/zinc/39/82/20/1072398220.db2.gz IPOBXJVEPMTRRT-UHFFFAOYSA-N 0 0 437.503 -0.173 20 0 IBADRN Cc1nn(C)c2ncc(NC(=O)C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)cc12 ZINC000608541938 1072398258 /nfs/dbraw/zinc/39/82/58/1072398258.db2.gz LOGDCGMUALOHLY-UHFFFAOYSA-N 0 0 447.477 -0.123 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)NCC2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000608541940 1072398200 /nfs/dbraw/zinc/39/82/00/1072398200.db2.gz MAKYHFAJJWYGAM-UHFFFAOYSA-N 0 0 447.473 -0.427 20 0 IBADRN O=C(Nc1ccc2c(c1)NC(=O)CO2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000608542292 1072398299 /nfs/dbraw/zinc/39/82/99/1072398299.db2.gz AFRXTJAZSBBWMM-UHFFFAOYSA-N 0 0 449.445 -0.382 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)ccc1F ZINC000608542293 1072398294 /nfs/dbraw/zinc/39/82/94/1072398294.db2.gz AXFTYLMEGIZHNZ-UHFFFAOYSA-N 0 0 439.425 -0.475 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000608542297 1072398245 /nfs/dbraw/zinc/39/82/45/1072398245.db2.gz DLGRTHBYBKGOMP-UHFFFAOYSA-N 0 0 449.489 -0.424 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c(OC)n1 ZINC000608542310 1072398164 /nfs/dbraw/zinc/39/81/64/1072398164.db2.gz MKJSHFNOTNSQMC-UHFFFAOYSA-N 0 0 439.450 -0.301 20 0 IBADRN O=C(Nc1ccccc1-n1cncn1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000608542320 1072398286 /nfs/dbraw/zinc/39/82/86/1072398286.db2.gz PBAZTXULEFIEDF-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3nnnn3C3CC3)c2)CC1 ZINC000608543254 1072398194 /nfs/dbraw/zinc/39/81/94/1072398194.db2.gz OPGUCUUJLDKIAB-UHFFFAOYSA-N 0 0 448.509 -0.801 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCc1nnnn1C1CC1 ZINC000608543485 1072398174 /nfs/dbraw/zinc/39/81/74/1072398174.db2.gz ZRLIDFVNQQIBSR-UHFFFAOYSA-N 0 0 435.466 -0.288 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCc1nnnn1C1CC1 ZINC000608546791 1072398208 /nfs/dbraw/zinc/39/82/08/1072398208.db2.gz BWWJKNGEMHZTOO-UHFFFAOYSA-N 0 0 436.498 -0.421 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCc3nnnn3C3CC3)cc2)CC1 ZINC000608546967 1072398182 /nfs/dbraw/zinc/39/81/82/1072398182.db2.gz IHYLOOPFAHGEGD-UHFFFAOYSA-N 0 0 426.481 -0.421 20 0 IBADRN O=C(NCc1nnnn1C1CC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000608546976 1072398275 /nfs/dbraw/zinc/39/82/75/1072398275.db2.gz IVRBCIJGZVYSFT-INIZCTEOSA-N 0 0 441.448 -0.723 20 0 IBADRN O=C(NCc1nnnn1C1CC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000608546977 1072398167 /nfs/dbraw/zinc/39/81/67/1072398167.db2.gz IVRBCIJGZVYSFT-MRXNPFEDSA-N 0 0 441.448 -0.723 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3nnnn3C3CC3)cc2)CC1 ZINC000608547019 1072398253 /nfs/dbraw/zinc/39/82/53/1072398253.db2.gz LLLYQLOSAQCWRQ-UHFFFAOYSA-N 0 0 448.509 -0.801 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCc1nnnn1C1CC1 ZINC000608547380 1072398267 /nfs/dbraw/zinc/39/82/67/1072398267.db2.gz UAVUUTWHWMHECT-UHFFFAOYSA-N 0 0 437.482 -0.088 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCc1nnnn1C1CC1 ZINC000608547484 1072398279 /nfs/dbraw/zinc/39/82/79/1072398279.db2.gz XNNMUISYCCDFFL-UHFFFAOYSA-N 0 0 449.493 -0.408 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1-n1cnnn1 ZINC000608547926 1072398843 /nfs/dbraw/zinc/39/88/43/1072398843.db2.gz ALIWGXWEAVSOAX-BXUZGUMPSA-N 0 0 439.436 -0.347 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1-n1cnnn1 ZINC000608547927 1072398847 /nfs/dbraw/zinc/39/88/47/1072398847.db2.gz ALIWGXWEAVSOAX-FZMZJTMJSA-N 0 0 439.436 -0.347 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1-n1cnnn1 ZINC000608547928 1072398803 /nfs/dbraw/zinc/39/88/03/1072398803.db2.gz ALIWGXWEAVSOAX-RISCZKNCSA-N 0 0 439.436 -0.347 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1-n1cnnn1 ZINC000608547929 1072398877 /nfs/dbraw/zinc/39/88/77/1072398877.db2.gz ALIWGXWEAVSOAX-SMDDNHRTSA-N 0 0 439.436 -0.347 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000608548149 1072398672 /nfs/dbraw/zinc/39/86/72/1072398672.db2.gz QPRNJPWFWVQZGJ-ABAIWWIYSA-N 0 0 448.505 -0.121 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000608548150 1072398881 /nfs/dbraw/zinc/39/88/81/1072398881.db2.gz QPRNJPWFWVQZGJ-IAQYHMDHSA-N 0 0 448.505 -0.121 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000608548151 1072398767 /nfs/dbraw/zinc/39/87/67/1072398767.db2.gz QPRNJPWFWVQZGJ-NHYWBVRUSA-N 0 0 448.505 -0.121 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000608548152 1072398708 /nfs/dbraw/zinc/39/87/08/1072398708.db2.gz QPRNJPWFWVQZGJ-XHDPSFHLSA-N 0 0 448.505 -0.121 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2cccnc2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000608548303 1072398215 /nfs/dbraw/zinc/39/82/15/1072398215.db2.gz XIWSMZZECWTXFK-BBRMVZONSA-N 0 0 427.465 -0.100 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccnc2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000608548307 1072398812 /nfs/dbraw/zinc/39/88/12/1072398812.db2.gz XIWSMZZECWTXFK-CJNGLKHVSA-N 0 0 427.465 -0.100 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccnc2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000608548309 1072398816 /nfs/dbraw/zinc/39/88/16/1072398816.db2.gz XIWSMZZECWTXFK-CZUORRHYSA-N 0 0 427.465 -0.100 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2cccnc2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000608548310 1072398653 /nfs/dbraw/zinc/39/86/53/1072398653.db2.gz XIWSMZZECWTXFK-XJKSGUPXSA-N 0 0 427.465 -0.100 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1C ZINC000608551426 1072400362 /nfs/dbraw/zinc/40/03/62/1072400362.db2.gz BBPHHKQNVSGOOD-BDJLRTHQSA-N 0 0 448.505 -0.067 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1C ZINC000608551427 1072400312 /nfs/dbraw/zinc/40/03/12/1072400312.db2.gz BBPHHKQNVSGOOD-BZNIZROVSA-N 0 0 448.505 -0.067 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1C ZINC000608551428 1072400314 /nfs/dbraw/zinc/40/03/14/1072400314.db2.gz BBPHHKQNVSGOOD-MEDUHNTESA-N 0 0 448.505 -0.067 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1C ZINC000608551429 1072400373 /nfs/dbraw/zinc/40/03/73/1072400373.db2.gz BBPHHKQNVSGOOD-ZBEGNZNMSA-N 0 0 448.505 -0.067 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000608551953 1072399371 /nfs/dbraw/zinc/39/93/71/1072399371.db2.gz GHPRSHZZHGNRFI-IFIJOSMWSA-N 0 0 440.460 -0.430 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000608551955 1072399340 /nfs/dbraw/zinc/39/93/40/1072399340.db2.gz GHPRSHZZHGNRFI-JDFRZJQESA-N 0 0 440.460 -0.430 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000608551956 1072399349 /nfs/dbraw/zinc/39/93/49/1072399349.db2.gz GHPRSHZZHGNRFI-MRRJBJDNSA-N 0 0 440.460 -0.430 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000608551957 1072399354 /nfs/dbraw/zinc/39/93/54/1072399354.db2.gz GHPRSHZZHGNRFI-QEORTHHSSA-N 0 0 440.460 -0.430 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)ccc1C ZINC000608552169 1072399288 /nfs/dbraw/zinc/39/92/88/1072399288.db2.gz ITFYVZUXLLTEDA-DOMZBBRYSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)ccc1C ZINC000608552170 1072399280 /nfs/dbraw/zinc/39/92/80/1072399280.db2.gz ITFYVZUXLLTEDA-IUODEOHRSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)ccc1C ZINC000608552171 1072399385 /nfs/dbraw/zinc/39/93/85/1072399385.db2.gz ITFYVZUXLLTEDA-SWLSCSKDSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)ccc1C ZINC000608552172 1072399378 /nfs/dbraw/zinc/39/93/78/1072399378.db2.gz ITFYVZUXLLTEDA-WFASDCNBSA-N 0 0 448.505 -0.115 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccccc2OCCC(N)=O)C(=O)N1c1cnn(C)c1 ZINC000608554260 1072399751 /nfs/dbraw/zinc/39/97/51/1072399751.db2.gz RRZKKXWVUWLRQQ-DOMZBBRYSA-N 0 0 428.449 -0.077 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccccc2OCCC(N)=O)C(=O)N1c1cnn(C)c1 ZINC000608554261 1072399725 /nfs/dbraw/zinc/39/97/25/1072399725.db2.gz RRZKKXWVUWLRQQ-IUODEOHRSA-N 0 0 428.449 -0.077 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccccc2OCCC(N)=O)C(=O)N1c1cnn(C)c1 ZINC000608554262 1072399869 /nfs/dbraw/zinc/39/98/69/1072399869.db2.gz RRZKKXWVUWLRQQ-SWLSCSKDSA-N 0 0 428.449 -0.077 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2ccccc2OCCC(N)=O)C(=O)N1c1cnn(C)c1 ZINC000608554263 1072399776 /nfs/dbraw/zinc/39/97/76/1072399776.db2.gz RRZKKXWVUWLRQQ-WFASDCNBSA-N 0 0 428.449 -0.077 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000608554427 1072399392 /nfs/dbraw/zinc/39/93/92/1072399392.db2.gz SRIUDJGPQKZMRY-BLLLJJGKSA-N 0 0 448.505 -0.282 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000608554428 1072399267 /nfs/dbraw/zinc/39/92/67/1072399267.db2.gz SRIUDJGPQKZMRY-LRDDRELGSA-N 0 0 448.505 -0.282 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000608554429 1072399257 /nfs/dbraw/zinc/39/92/57/1072399257.db2.gz SRIUDJGPQKZMRY-MLGOLLRUSA-N 0 0 448.505 -0.282 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000608554430 1072399854 /nfs/dbraw/zinc/39/98/54/1072399854.db2.gz SRIUDJGPQKZMRY-WBMJQRKESA-N 0 0 448.505 -0.282 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C(=O)N1c1cnn(C)c1 ZINC000608554483 1072399304 /nfs/dbraw/zinc/39/93/04/1072399304.db2.gz ULBZNWJFPNBJBN-BLLLJJGKSA-N 0 0 448.505 -0.081 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C(=O)N1c1cnn(C)c1 ZINC000608554484 1072399250 /nfs/dbraw/zinc/39/92/50/1072399250.db2.gz ULBZNWJFPNBJBN-LRDDRELGSA-N 0 0 448.505 -0.081 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C(=O)N1c1cnn(C)c1 ZINC000608554485 1072399311 /nfs/dbraw/zinc/39/93/11/1072399311.db2.gz ULBZNWJFPNBJBN-MLGOLLRUSA-N 0 0 448.505 -0.081 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C(=O)N1c1cnn(C)c1 ZINC000608554486 1072399364 /nfs/dbraw/zinc/39/93/64/1072399364.db2.gz ULBZNWJFPNBJBN-WBMJQRKESA-N 0 0 448.505 -0.081 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000608554647 1072400332 /nfs/dbraw/zinc/40/03/32/1072400332.db2.gz VDOMMWMZKRTCCO-BLLLJJGKSA-N 0 0 428.449 -0.206 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000608554649 1072400335 /nfs/dbraw/zinc/40/03/35/1072400335.db2.gz VDOMMWMZKRTCCO-LRDDRELGSA-N 0 0 428.449 -0.206 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000608554650 1072400305 /nfs/dbraw/zinc/40/03/05/1072400305.db2.gz VDOMMWMZKRTCCO-MLGOLLRUSA-N 0 0 428.449 -0.206 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000608554651 1072400309 /nfs/dbraw/zinc/40/03/09/1072400309.db2.gz VDOMMWMZKRTCCO-WBMJQRKESA-N 0 0 428.449 -0.206 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000608555222 1072399899 /nfs/dbraw/zinc/39/98/99/1072399899.db2.gz WOYBYEDXVXPVGQ-BXUZGUMPSA-N 0 0 434.478 -0.375 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000608555223 1072399842 /nfs/dbraw/zinc/39/98/42/1072399842.db2.gz WOYBYEDXVXPVGQ-FZMZJTMJSA-N 0 0 434.478 -0.375 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000608555224 1072399793 /nfs/dbraw/zinc/39/97/93/1072399793.db2.gz WOYBYEDXVXPVGQ-RISCZKNCSA-N 0 0 434.478 -0.375 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC000608555225 1072399708 /nfs/dbraw/zinc/39/97/08/1072399708.db2.gz WOYBYEDXVXPVGQ-SMDDNHRTSA-N 0 0 434.478 -0.375 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C(=O)N1c1cnn(C)c1 ZINC000608555250 1072399763 /nfs/dbraw/zinc/39/97/63/1072399763.db2.gz WWKHFLBCCJILTH-BMIGLBTASA-N 0 0 427.400 -0.216 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C(=O)N1c1cnn(C)c1 ZINC000608555251 1072399785 /nfs/dbraw/zinc/39/97/85/1072399785.db2.gz WWKHFLBCCJILTH-BONVTDFDSA-N 0 0 427.400 -0.216 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C(=O)N1c1cnn(C)c1 ZINC000608555252 1072399817 /nfs/dbraw/zinc/39/98/17/1072399817.db2.gz WWKHFLBCCJILTH-MEBBXXQBSA-N 0 0 427.400 -0.216 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2cc(-n3cnnn3)ccc2F)C(=O)N1c1cnn(C)c1 ZINC000608555253 1072399862 /nfs/dbraw/zinc/39/98/62/1072399862.db2.gz WWKHFLBCCJILTH-ZUZCIYMTSA-N 0 0 427.400 -0.216 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608555290 1072399892 /nfs/dbraw/zinc/39/98/92/1072399892.db2.gz XIICVGYTKZSNJV-BXUZGUMPSA-N 0 0 425.449 -0.141 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608555291 1072399905 /nfs/dbraw/zinc/39/99/05/1072399905.db2.gz XIICVGYTKZSNJV-FZMZJTMJSA-N 0 0 425.449 -0.141 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608555292 1072399806 /nfs/dbraw/zinc/39/98/06/1072399806.db2.gz XIICVGYTKZSNJV-RISCZKNCSA-N 0 0 425.449 -0.141 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)n(C)c(=O)n3C)C(=O)N1c1cnn(C)c1 ZINC000608555293 1072400339 /nfs/dbraw/zinc/40/03/39/1072400339.db2.gz XIICVGYTKZSNJV-SMDDNHRTSA-N 0 0 425.449 -0.141 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000608555310 1072399877 /nfs/dbraw/zinc/39/98/77/1072399877.db2.gz XMEWWXPVIDYTQY-LKFCYVNXSA-N 0 0 438.441 -0.545 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000608555312 1072399736 /nfs/dbraw/zinc/39/97/36/1072399736.db2.gz XMEWWXPVIDYTQY-OTYXRUKQSA-N 0 0 438.441 -0.545 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000608555539 1072399828 /nfs/dbraw/zinc/39/98/28/1072399828.db2.gz XMEWWXPVIDYTQY-XPTSAGLGSA-N 0 0 438.441 -0.545 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000608555540 1072399886 /nfs/dbraw/zinc/39/98/86/1072399886.db2.gz XMEWWXPVIDYTQY-YMTOWFKASA-N 0 0 438.441 -0.545 20 0 IBADRN CN(CCNS(=O)(=O)c1cnn(C)c1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000608559992 1072400321 /nfs/dbraw/zinc/40/03/21/1072400321.db2.gz VPSFEAZGBOLMRJ-UHFFFAOYSA-N 0 0 433.494 -0.272 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCN(S(=O)(=O)N(C)C)CC1)c1ccc(F)cc1 ZINC000608560209 1072400365 /nfs/dbraw/zinc/40/03/65/1072400365.db2.gz YNXROYUQVNCMGH-AWEZNQCLSA-N 0 0 429.518 -0.457 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCN(S(=O)(=O)N(C)C)CC1)c1ccc(F)cc1 ZINC000608560211 1072400350 /nfs/dbraw/zinc/40/03/50/1072400350.db2.gz YNXROYUQVNCMGH-CQSZACIVSA-N 0 0 429.518 -0.457 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCc2ccc(S(N)(=O)=O)cc21)S(C)(=O)=O ZINC000608563715 1072400325 /nfs/dbraw/zinc/40/03/25/1072400325.db2.gz GDZRGNTYYUISNA-UHFFFAOYSA-N 0 0 432.568 -0.127 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCc3ccc(S(N)(=O)=O)cc32)CC1 ZINC000608563843 1072400368 /nfs/dbraw/zinc/40/03/68/1072400368.db2.gz ZDSRRZZAHBHKPQ-UHFFFAOYSA-N 0 0 437.566 -0.245 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC000608563848 1072400300 /nfs/dbraw/zinc/40/03/00/1072400300.db2.gz HUTSTFNFWDNWTA-HNNXBMFYSA-N 0 0 442.563 -0.972 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC000608563851 1072400357 /nfs/dbraw/zinc/40/03/57/1072400357.db2.gz HUTSTFNFWDNWTA-OAHLLOKOSA-N 0 0 442.563 -0.972 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCc3ccc(S(N)(=O)=O)cc32)c(=O)n(C)c1=O ZINC000608563944 1072400328 /nfs/dbraw/zinc/40/03/28/1072400328.db2.gz MEQPSOZYAUHIPH-UHFFFAOYSA-N 0 0 435.506 -0.322 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2nnn(C3CC3)c2C(F)(F)F)CC1)N1CCOCC1 ZINC000608581650 1072400342 /nfs/dbraw/zinc/40/03/42/1072400342.db2.gz IKWYXZQVTOQJML-UHFFFAOYSA-N 0 0 430.387 -0.225 20 0 IBADRN O=C(c1nnn(C2CC2)c1C(F)(F)F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000608582264 1072400291 /nfs/dbraw/zinc/40/02/91/1072400291.db2.gz WPAJSZJVTUQLEH-UHFFFAOYSA-N 0 0 438.432 -0.033 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)c3cc(C4CC4)nc4c3c(=O)[nH]c(=O)n4C)CC2)c1=O ZINC000608593301 1072400992 /nfs/dbraw/zinc/40/09/92/1072400992.db2.gz YUUIZCSNVNWFGU-UHFFFAOYSA-N 0 0 437.460 -0.032 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000608625999 1072401011 /nfs/dbraw/zinc/40/10/11/1072401011.db2.gz RZKMHNKZENMBAX-UHFFFAOYSA-N 0 0 434.522 -0.139 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)CC1 ZINC000608628232 1072400946 /nfs/dbraw/zinc/40/09/46/1072400946.db2.gz CBNPLLIRECZXSS-UHFFFAOYSA-N 0 0 433.575 -0.672 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCC3(NS(C)(=O)=O)CCCCC3)CC2)c1=O ZINC000608628767 1072400925 /nfs/dbraw/zinc/40/09/25/1072400925.db2.gz XCTKNNWRZBKOEJ-UHFFFAOYSA-N 0 0 426.543 -0.136 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608637863 1072400980 /nfs/dbraw/zinc/40/09/80/1072400980.db2.gz TXPMMUULVIQOOG-BBRMVZONSA-N 0 0 426.481 -0.060 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608637864 1072400939 /nfs/dbraw/zinc/40/09/39/1072400939.db2.gz TXPMMUULVIQOOG-CJNGLKHVSA-N 0 0 426.481 -0.060 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608637865 1072400836 /nfs/dbraw/zinc/40/08/36/1072400836.db2.gz TXPMMUULVIQOOG-CZUORRHYSA-N 0 0 426.481 -0.060 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608637866 1072401017 /nfs/dbraw/zinc/40/10/17/1072401017.db2.gz TXPMMUULVIQOOG-XJKSGUPXSA-N 0 0 426.481 -0.060 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1O ZINC000608661139 1072401551 /nfs/dbraw/zinc/40/15/51/1072401551.db2.gz KDZAPCQFNKDIPS-INIZCTEOSA-N 0 0 444.554 -0.572 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1O ZINC000608661140 1072401621 /nfs/dbraw/zinc/40/16/21/1072401621.db2.gz KDZAPCQFNKDIPS-MRXNPFEDSA-N 0 0 444.554 -0.572 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C(=O)N1c1cnn(C)c1 ZINC000608679077 1072401510 /nfs/dbraw/zinc/40/15/10/1072401510.db2.gz OGZJUVPPTVFXFU-BMFZPTHFSA-N 0 0 442.542 -0.298 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C(=O)N1c1cnn(C)c1 ZINC000608679078 1072401593 /nfs/dbraw/zinc/40/15/93/1072401593.db2.gz OGZJUVPPTVFXFU-FVQBIDKESA-N 0 0 442.542 -0.298 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C(=O)N1c1cnn(C)c1 ZINC000608679079 1072401497 /nfs/dbraw/zinc/40/14/97/1072401497.db2.gz OGZJUVPPTVFXFU-KBMXLJTQSA-N 0 0 442.542 -0.298 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C(=O)N1c1cnn(C)c1 ZINC000608679080 1072401579 /nfs/dbraw/zinc/40/15/79/1072401579.db2.gz OGZJUVPPTVFXFU-VNQPRFMTSA-N 0 0 442.542 -0.298 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000608679367 1072401574 /nfs/dbraw/zinc/40/15/74/1072401574.db2.gz BLIAJDDWUDZCQJ-INIZCTEOSA-N 0 0 432.525 -0.546 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000608679368 1072401617 /nfs/dbraw/zinc/40/16/17/1072401617.db2.gz BLIAJDDWUDZCQJ-MRXNPFEDSA-N 0 0 432.525 -0.546 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC000608679638 1072401513 /nfs/dbraw/zinc/40/15/13/1072401513.db2.gz UZDLVFRVKMSLJD-UHFFFAOYSA-N 0 0 441.554 -0.328 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)CC2)c1=O ZINC000608679982 1072401598 /nfs/dbraw/zinc/40/15/98/1072401598.db2.gz OXFMDNOIWLUKNG-HNNXBMFYSA-N 0 0 425.493 -0.010 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)CC2)c1=O ZINC000608679983 1072401474 /nfs/dbraw/zinc/40/14/74/1072401474.db2.gz OXFMDNOIWLUKNG-OAHLLOKOSA-N 0 0 425.493 -0.010 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000608685265 1072401007 /nfs/dbraw/zinc/40/10/07/1072401007.db2.gz AMDMIAZNGBKYSV-GFCCVEGCSA-N 0 0 427.502 -0.033 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000608685268 1072400998 /nfs/dbraw/zinc/40/09/98/1072400998.db2.gz AMDMIAZNGBKYSV-LBPRGKRZSA-N 0 0 427.502 -0.033 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000608686115 1072401566 /nfs/dbraw/zinc/40/15/66/1072401566.db2.gz SNOYBJUMVSLTHX-UHFFFAOYSA-N 0 0 431.490 -0.937 20 0 IBADRN O=C(NCc1nnnn1C1CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000608730954 1072401583 /nfs/dbraw/zinc/40/15/83/1072401583.db2.gz FODAZWGQKRTPBE-UHFFFAOYSA-N 0 0 446.493 -0.281 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC000608733787 1072401625 /nfs/dbraw/zinc/40/16/25/1072401625.db2.gz ZGDNRJQZQKATOJ-BBRMVZONSA-N 0 0 426.481 -0.060 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC000608733788 1072401601 /nfs/dbraw/zinc/40/16/01/1072401601.db2.gz ZGDNRJQZQKATOJ-CJNGLKHVSA-N 0 0 426.481 -0.060 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC000608733789 1072553807 /nfs/dbraw/zinc/55/38/07/1072553807.db2.gz ZGDNRJQZQKATOJ-CZUORRHYSA-N 0 0 426.481 -0.060 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC000608733791 1072401524 /nfs/dbraw/zinc/40/15/24/1072401524.db2.gz ZGDNRJQZQKATOJ-XJKSGUPXSA-N 0 0 426.481 -0.060 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000608735228 1072401558 /nfs/dbraw/zinc/40/15/58/1072401558.db2.gz NCHNTTAJGXSKBJ-BQFCYCMXSA-N 0 0 449.556 -0.218 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000608735233 1072402065 /nfs/dbraw/zinc/40/20/65/1072402065.db2.gz NCHNTTAJGXSKBJ-RYRKJORJSA-N 0 0 449.556 -0.218 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000608735235 1072402044 /nfs/dbraw/zinc/40/20/44/1072402044.db2.gz NCHNTTAJGXSKBJ-SOLBZPMBSA-N 0 0 449.556 -0.218 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000608735237 1072402083 /nfs/dbraw/zinc/40/20/83/1072402083.db2.gz NCHNTTAJGXSKBJ-XYJFISCASA-N 0 0 449.556 -0.218 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608736889 1072402023 /nfs/dbraw/zinc/40/20/23/1072402023.db2.gz PLHBFRILHIOZMT-DOTOQJQBSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608736890 1072402087 /nfs/dbraw/zinc/40/20/87/1072402087.db2.gz PLHBFRILHIOZMT-NVXWUHKLSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608736891 1072402029 /nfs/dbraw/zinc/40/20/29/1072402029.db2.gz PLHBFRILHIOZMT-RDJZCZTQSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608736892 1072402137 /nfs/dbraw/zinc/40/21/37/1072402137.db2.gz PLHBFRILHIOZMT-WBVHZDCISA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608737383 1072402002 /nfs/dbraw/zinc/40/20/02/1072402002.db2.gz YMGMJBBKKFWAKV-GDBMZVCRSA-N 0 0 431.497 -0.610 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608737384 1072402061 /nfs/dbraw/zinc/40/20/61/1072402061.db2.gz YMGMJBBKKFWAKV-GOEBONIOSA-N 0 0 431.497 -0.610 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608737385 1072402037 /nfs/dbraw/zinc/40/20/37/1072402037.db2.gz YMGMJBBKKFWAKV-HOCLYGCPSA-N 0 0 431.497 -0.610 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000608737386 1072402143 /nfs/dbraw/zinc/40/21/43/1072402143.db2.gz YMGMJBBKKFWAKV-ZBFHGGJFSA-N 0 0 431.497 -0.610 20 0 IBADRN Cn1ccnc(N2CCN(C/C=C\CN3CCN(c4nccn(C)c4=O)CC3)CC2)c1=O ZINC000608757408 1072403150 /nfs/dbraw/zinc/40/31/50/1072403150.db2.gz HSIAYFYIPWZKRD-ARJAWSKDSA-N 0 0 440.552 -0.626 20 0 IBADRN Cn1ccnc(N2CCN(C/C=C/CN3CCN(c4nccn(C)c4=O)CC3)CC2)c1=O ZINC000608757409 1072403039 /nfs/dbraw/zinc/40/30/39/1072403039.db2.gz HSIAYFYIPWZKRD-ONEGZZNKSA-N 0 0 440.552 -0.626 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)Nc3cccc(C(=O)NCCC(N)=O)c3)CC2)c1=O ZINC000608770163 1072402072 /nfs/dbraw/zinc/40/20/72/1072402072.db2.gz SQGIJVLHONRMNL-UHFFFAOYSA-N 0 0 427.465 -0.260 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)c1=O ZINC000608770958 1074355505 /nfs/dbraw/zinc/35/55/05/1074355505.db2.gz LJBCRXHCSDBELK-UHFFFAOYSA-N 0 0 430.469 -0.810 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3cnn(-c4ncccn4)c3)CC2)o1 ZINC000608773264 1072402012 /nfs/dbraw/zinc/40/20/12/1072402012.db2.gz DGNMDLHAGSAUHG-UHFFFAOYSA-N 0 0 446.449 -0.107 20 0 IBADRN O=C(Nc1cnn(C[C@@H]2CCCO2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000608773941 1072402125 /nfs/dbraw/zinc/40/21/25/1072402125.db2.gz OYAJQZIETLHSEL-INIZCTEOSA-N 0 0 428.515 -0.211 20 0 IBADRN O=C(Nc1cnn(C[C@H]2CCCO2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000608773943 1072402133 /nfs/dbraw/zinc/40/21/33/1072402133.db2.gz OYAJQZIETLHSEL-MRXNPFEDSA-N 0 0 428.515 -0.211 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000608776485 1072402715 /nfs/dbraw/zinc/40/27/15/1072402715.db2.gz IOARWLWEXAJDBG-UHFFFAOYSA-N 0 0 427.527 -0.041 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000608776603 1072401991 /nfs/dbraw/zinc/40/19/91/1072401991.db2.gz VIOIQMUZWODEHX-UHFFFAOYSA-N 0 0 440.526 -0.924 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000608776625 1072402114 /nfs/dbraw/zinc/40/21/14/1072402114.db2.gz CNPIUYMHQUTKMZ-HNNXBMFYSA-N 0 0 425.511 -0.335 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000608776626 1072402049 /nfs/dbraw/zinc/40/20/49/1072402049.db2.gz CNPIUYMHQUTKMZ-OAHLLOKOSA-N 0 0 425.511 -0.335 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000608776977 1072402646 /nfs/dbraw/zinc/40/26/46/1072402646.db2.gz UKXXKJGPKBOQEJ-UHFFFAOYSA-N 0 0 425.511 -0.333 20 0 IBADRN CC(=O)N(C)c1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000608777442 1072402682 /nfs/dbraw/zinc/40/26/82/1072402682.db2.gz HVVFXSKTHOMTJK-UHFFFAOYSA-N 0 0 425.511 -0.699 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000608778745 1072402752 /nfs/dbraw/zinc/40/27/52/1072402752.db2.gz XZVJOIKYJSOPLU-UHFFFAOYSA-N 0 0 436.581 -0.092 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000608780594 1072402695 /nfs/dbraw/zinc/40/26/95/1072402695.db2.gz AMEICGMZNRPOLU-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000608780595 1072402757 /nfs/dbraw/zinc/40/27/57/1072402757.db2.gz AMEICGMZNRPOLU-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(CCCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1)S(C)(=O)=O ZINC000608780689 1072402665 /nfs/dbraw/zinc/40/26/65/1072402665.db2.gz QCAAYXYJNUWUEJ-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(Cl)c1 ZINC000608780854 1072402701 /nfs/dbraw/zinc/40/27/01/1072402701.db2.gz VOFSDDXYOADXGA-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN O=S(=O)(NCCCN1CCCS1(=O)=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000608781494 1072402707 /nfs/dbraw/zinc/40/27/07/1072402707.db2.gz AHPDZMPKHZSEDN-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN O=S(=O)(NCCCN1CCCS1(=O)=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000608781544 1072402625 /nfs/dbraw/zinc/40/26/25/1072402625.db2.gz NHYKFYJGKXQEBK-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000608781551 1072402675 /nfs/dbraw/zinc/40/26/75/1072402675.db2.gz PPSRBLFVCPSTTI-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000608781580 1072402724 /nfs/dbraw/zinc/40/27/24/1072402724.db2.gz SDJJSBQDXOEJHY-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000608781599 1072402728 /nfs/dbraw/zinc/40/27/28/1072402728.db2.gz VFCKQJOLPXFBQL-UHFFFAOYSA-N 0 0 434.492 -0.036 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000608783759 1072402740 /nfs/dbraw/zinc/40/27/40/1072402740.db2.gz GJXRMJURTKPKSM-CQSZACIVSA-N 0 0 428.463 -0.491 20 0 IBADRN COC(=O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000608783797 1072402745 /nfs/dbraw/zinc/40/27/45/1072402745.db2.gz CVGNULAIOFHTNC-GFCCVEGCSA-N 0 0 426.900 -0.289 20 0 IBADRN COC(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000608783798 1072403167 /nfs/dbraw/zinc/40/31/67/1072403167.db2.gz CVGNULAIOFHTNC-LBPRGKRZSA-N 0 0 426.900 -0.289 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)COc2ccc(S(N)(=O)=O)cc2)C1 ZINC000608789766 1072403241 /nfs/dbraw/zinc/40/32/41/1072403241.db2.gz XXEZOJVVYSLDAF-AWEZNQCLSA-N 0 0 441.506 -0.027 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)COc2ccc(S(N)(=O)=O)cc2)C1 ZINC000608789767 1072403134 /nfs/dbraw/zinc/40/31/34/1072403134.db2.gz XXEZOJVVYSLDAF-CQSZACIVSA-N 0 0 441.506 -0.027 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000608796932 1072403173 /nfs/dbraw/zinc/40/31/73/1072403173.db2.gz DTRIBZVWBPZGDQ-UHFFFAOYSA-N 0 0 426.433 -0.333 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NCCCN2CCCS2(=O)=O)c2ccccc2O1 ZINC000608798369 1072403114 /nfs/dbraw/zinc/40/31/14/1072403114.db2.gz BYLILSZPROEMTP-HNNXBMFYSA-N 0 0 425.463 -0.505 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NCCCN2CCCS2(=O)=O)c2ccccc2O1 ZINC000608798370 1072403106 /nfs/dbraw/zinc/40/31/06/1072403106.db2.gz BYLILSZPROEMTP-OAHLLOKOSA-N 0 0 425.463 -0.505 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000608818254 1072403853 /nfs/dbraw/zinc/40/38/53/1072403853.db2.gz OOBUNSRQERUBMU-UHFFFAOYSA-N 0 0 431.449 -0.092 20 0 IBADRN O=C(CSCC(=O)N1CCOCC1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000608819166 1072403776 /nfs/dbraw/zinc/40/37/76/1072403776.db2.gz ZXRPUBDCBKGQPR-UHFFFAOYSA-N 0 0 436.538 -0.777 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000608819639 1072403863 /nfs/dbraw/zinc/40/38/63/1072403863.db2.gz WOERHSPJTCXITL-UHFFFAOYSA-N 0 0 447.492 -0.551 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)CC(=O)N(CC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000608832940 1072403061 /nfs/dbraw/zinc/40/30/61/1072403061.db2.gz FKNUNCLSSKTICO-INIZCTEOSA-N 0 0 431.555 -0.245 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)CC(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000608832941 1072403889 /nfs/dbraw/zinc/40/38/89/1072403889.db2.gz FKNUNCLSSKTICO-MRXNPFEDSA-N 0 0 431.555 -0.245 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)c(C(=O)OC)s1 ZINC000608833438 1072403233 /nfs/dbraw/zinc/40/32/33/1072403233.db2.gz BCUAZDWZJKLBDS-UHFFFAOYSA-N 0 0 445.519 -0.094 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c(C(=O)OC)s1 ZINC000608833444 1072403074 /nfs/dbraw/zinc/40/30/74/1072403074.db2.gz BXJNHJURLHOARI-UHFFFAOYSA-N 0 0 426.494 -0.413 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000608833680 1072403227 /nfs/dbraw/zinc/40/32/27/1072403227.db2.gz CSDMNTBUDXFIQJ-HNNXBMFYSA-N 0 0 440.526 -0.623 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000608833683 1072403029 /nfs/dbraw/zinc/40/30/29/1072403029.db2.gz CSDMNTBUDXFIQJ-OAHLLOKOSA-N 0 0 440.526 -0.623 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)c2cc(C(=O)NC)n(C)c2)CC1 ZINC000608833996 1072403929 /nfs/dbraw/zinc/40/39/29/1072403929.db2.gz HHFIKGJCELLZIY-UHFFFAOYSA-N 0 0 428.511 -0.193 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)CC1 ZINC000608834049 1072403832 /nfs/dbraw/zinc/40/38/32/1072403832.db2.gz IHDDWCYSXLXDIA-UHFFFAOYSA-N 0 0 440.521 -0.023 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000608834298 1072403782 /nfs/dbraw/zinc/40/37/82/1072403782.db2.gz QQHUGUNFNWCHRE-UHFFFAOYSA-N 0 0 447.535 -0.244 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000608839654 1072403902 /nfs/dbraw/zinc/40/39/02/1072403902.db2.gz UFJKNCLIJYTMGY-UHFFFAOYSA-N 0 0 441.506 -0.027 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)CC(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000608839968 1070881129 /nfs/dbraw/zinc/88/11/29/1070881129.db2.gz LNNHFLQESRPGII-UHFFFAOYSA-N 0 0 426.558 -0.053 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCCn3cncn3)CC2)c1 ZINC000608842300 1070882138 /nfs/dbraw/zinc/88/21/38/1070882138.db2.gz OLFOCXAMGZUANQ-UHFFFAOYSA-N 0 0 428.496 -0.158 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)NCCn2cncn2)CC1 ZINC000608843510 1070882009 /nfs/dbraw/zinc/88/20/09/1070882009.db2.gz YFFIOFVGELWBPJ-UHFFFAOYSA-N 0 0 440.482 -0.009 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)NCCc2cccc(C(N)=O)c2)cc1 ZINC000608845413 1072403823 /nfs/dbraw/zinc/40/38/23/1072403823.db2.gz WLATVXASLSRFSS-UHFFFAOYSA-N 0 0 426.429 -0.014 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000608852874 1070882708 /nfs/dbraw/zinc/88/27/08/1070882708.db2.gz NUOVTFOIKOSPRA-UHFFFAOYSA-N 0 0 437.478 -0.523 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)cn1C ZINC000608853503 1072404382 /nfs/dbraw/zinc/40/43/82/1072404382.db2.gz NGFBXRWVKZLDEH-GFCCVEGCSA-N 0 0 433.581 -0.516 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CSC[C@H]2C(=O)N2CCSCC2)cn1C ZINC000608853504 1070882856 /nfs/dbraw/zinc/88/28/56/1070882856.db2.gz NGFBXRWVKZLDEH-LBPRGKRZSA-N 0 0 433.581 -0.516 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CSC[C@@H]2C(=O)N2CCSCC2)cn1C ZINC000608853582 1070882830 /nfs/dbraw/zinc/88/28/30/1070882830.db2.gz WTPUZCLVONHEHF-CYBMUJFWSA-N 0 0 447.608 -0.126 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CSC[C@H]2C(=O)N2CCSCC2)cn1C ZINC000608853583 1072404459 /nfs/dbraw/zinc/40/44/59/1072404459.db2.gz WTPUZCLVONHEHF-ZDUSSCGKSA-N 0 0 447.608 -0.126 20 0 IBADRN CS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000608856644 1072404374 /nfs/dbraw/zinc/40/43/74/1072404374.db2.gz AZCBWLYESWKQRP-UHFFFAOYSA-N 0 0 437.565 -0.071 20 0 IBADRN CN(CCCNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1)S(C)(=O)=O ZINC000608857026 1070883438 /nfs/dbraw/zinc/88/34/38/1070883438.db2.gz GGCZYXVOBRDCIS-UHFFFAOYSA-N 0 0 425.554 -0.214 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000608857181 1072404451 /nfs/dbraw/zinc/40/44/51/1072404451.db2.gz JUWBTPHOZIORGF-CYBMUJFWSA-N 0 0 437.565 -0.071 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000608857182 1070883027 /nfs/dbraw/zinc/88/30/27/1070883027.db2.gz JUWBTPHOZIORGF-ZDUSSCGKSA-N 0 0 437.565 -0.071 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000608857869 1072404330 /nfs/dbraw/zinc/40/43/30/1072404330.db2.gz HACGJTUJKMBEPR-UHFFFAOYSA-N 0 0 437.565 -0.118 20 0 IBADRN CC(C)NS(=O)(=O)CCNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000608857952 1070884160 /nfs/dbraw/zinc/88/41/60/1070884160.db2.gz HSFDEVNJGUPIJO-UHFFFAOYSA-N 0 0 425.554 -0.167 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000608858465 1070884354 /nfs/dbraw/zinc/88/43/54/1070884354.db2.gz UEGNPGGGEOPTCL-CYBMUJFWSA-N 0 0 437.565 -0.071 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000608858466 1072404420 /nfs/dbraw/zinc/40/44/20/1072404420.db2.gz UEGNPGGGEOPTCL-ZDUSSCGKSA-N 0 0 437.565 -0.071 20 0 IBADRN O=S(=O)(NCCCN1CCCS1(=O)=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000608858482 1072404358 /nfs/dbraw/zinc/40/43/58/1072404358.db2.gz XVJNSBMCMUVUNK-UHFFFAOYSA-N 0 0 437.565 -0.070 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(F)cc2F)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000608892152 1072407572 /nfs/dbraw/zinc/40/75/72/1072407572.db2.gz KLYBOKMTCWCNMN-CYBMUJFWSA-N 0 0 444.460 -0.265 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(F)cc2F)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000608892153 1072407711 /nfs/dbraw/zinc/40/77/11/1072407711.db2.gz KLYBOKMTCWCNMN-ZDUSSCGKSA-N 0 0 444.460 -0.265 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)NCC(=O)OC)CC2)cc1 ZINC000608918161 1072408152 /nfs/dbraw/zinc/40/81/52/1072408152.db2.gz MRZILFFBVCOCFL-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](CCC(N)=O)C2)cc1 ZINC000608922913 1072407661 /nfs/dbraw/zinc/40/76/61/1072407661.db2.gz XYTUFAZUIIRAML-HNNXBMFYSA-N 0 0 432.477 -0.039 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@H](CCC(N)=O)C2)cc1 ZINC000608922914 1072407583 /nfs/dbraw/zinc/40/75/83/1072407583.db2.gz XYTUFAZUIIRAML-OAHLLOKOSA-N 0 0 432.477 -0.039 20 0 IBADRN CCOCCN(Cc1ccc(C(=O)OC)o1)C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000608946893 1072408229 /nfs/dbraw/zinc/40/82/29/1072408229.db2.gz GXPORLIQXVONOO-UHFFFAOYSA-N 0 0 447.448 -0.121 20 0 IBADRN CCOCCN(Cc1ccc(C(=O)OC)o1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000608947701 1072408194 /nfs/dbraw/zinc/40/81/94/1072408194.db2.gz ZRRIXLNPTATKGL-UHFFFAOYSA-N 0 0 447.448 -0.121 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000608977391 1072408216 /nfs/dbraw/zinc/40/82/16/1072408216.db2.gz GVJXYAIVVDZIIT-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000608977398 1072408203 /nfs/dbraw/zinc/40/82/03/1072408203.db2.gz GWRLTOPVUXUWGK-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)c1ccc(F)cc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000608977972 1072408178 /nfs/dbraw/zinc/40/81/78/1072408178.db2.gz ZGOMBAZBSOCOLD-UHFFFAOYSA-N 0 0 443.453 -0.696 20 0 IBADRN CCOCCN(Cc1ccc(C(=O)OC)o1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000608979997 1072408174 /nfs/dbraw/zinc/40/81/74/1072408174.db2.gz PCVUZQCUHWBSID-UHFFFAOYSA-N 0 0 429.451 -0.309 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)[C@H]2CCC[C@H](C(=O)N=c3ccn(CC(=O)OC)[nH]3)C2)[nH]1 ZINC000609011779 1072408144 /nfs/dbraw/zinc/40/81/44/1072408144.db2.gz PRSOMPNQMJIILC-KBPBESRZSA-N 0 0 446.464 -0.347 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)[C@@H]2CCC[C@H](C(=O)N=c3ccn(CC(=O)OC)[nH]3)C2)[nH]1 ZINC000609011793 1072408721 /nfs/dbraw/zinc/40/87/21/1072408721.db2.gz PRSOMPNQMJIILC-OKILXGFUSA-N 0 0 446.464 -0.347 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)[C@@H]2CCC[C@@H](C(=O)N=c3ccn(CC(=O)OC)[nH]3)C2)[nH]1 ZINC000609011795 1072408623 /nfs/dbraw/zinc/40/86/23/1072408623.db2.gz PRSOMPNQMJIILC-ZIAGYGMSSA-N 0 0 446.464 -0.347 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)c2ccc(C(=O)N=c3ccn(CC(=O)OC)[nH]3)s2)[nH]1 ZINC000609012509 1072408602 /nfs/dbraw/zinc/40/86/02/1072408602.db2.gz CCARMYUYKHDPPL-UHFFFAOYSA-N 0 0 446.445 -0.165 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)c2cncc(C(=O)N=c3ccn(CC(=O)OC)[nH]3)c2)[nH]1 ZINC000609012521 1072408759 /nfs/dbraw/zinc/40/87/59/1072408759.db2.gz CXBMMQMSKWDSOO-UHFFFAOYSA-N 0 0 441.404 -0.831 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)c2cccc(C(=O)N=c3ccn(CC(=O)OC)[nH]3)c2)[nH]1 ZINC000609012638 1072408776 /nfs/dbraw/zinc/40/87/76/1072408776.db2.gz ULNKPUUHUKIESG-UHFFFAOYSA-N 0 0 440.416 -0.226 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)c2ccc(C(=O)N=c3ccn(CC(=O)OC)[nH]3)nc2)[nH]1 ZINC000609012664 1072408810 /nfs/dbraw/zinc/40/88/10/1072408810.db2.gz XHCJXLGJSWAGFQ-UHFFFAOYSA-N 0 0 441.404 -0.831 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)Nc2ccn(CC(=O)OC)n2)c1 ZINC000609013134 1073335562 /nfs/dbraw/zinc/33/55/62/1073335562.db2.gz HTQHFXRYNMKXJE-UHFFFAOYSA-N 0 0 425.467 -0.113 20 0 IBADRN COC(=O)Cn1ccc(=NC(=O)c2ccc(C(=O)N=c3ccn(CC(=O)OC)[nH]3)cc2)[nH]1 ZINC000609013952 1072408654 /nfs/dbraw/zinc/40/86/54/1072408654.db2.gz ZSPJTSIBCMVHGX-UHFFFAOYSA-N 0 0 440.416 -0.226 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000609026514 1072408753 /nfs/dbraw/zinc/40/87/53/1072408753.db2.gz CYIOPICLEINWOB-UHFFFAOYSA-N 0 0 429.451 -0.560 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000609026556 1072408745 /nfs/dbraw/zinc/40/87/45/1072408745.db2.gz KIUXCAFPDDPAFX-UHFFFAOYSA-N 0 0 444.462 -0.496 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000609026579 1072408770 /nfs/dbraw/zinc/40/87/70/1072408770.db2.gz PTABRLNRQNNOBA-UHFFFAOYSA-N 0 0 442.490 -0.185 20 0 IBADRN COC(=O)CN(CC(=O)OC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000609029909 1072408685 /nfs/dbraw/zinc/40/86/85/1072408685.db2.gz KENKPIFMLZJQEO-UHFFFAOYSA-N 0 0 434.492 -0.192 20 0 IBADRN COC(=O)CN(CC(=O)OC)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000609030138 1072408638 /nfs/dbraw/zinc/40/86/38/1072408638.db2.gz IIBVSVUYTVKSHG-KRWDZBQOSA-N 0 0 443.434 -0.914 20 0 IBADRN COC(=O)CN(CC(=O)OC)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000609030139 1072408801 /nfs/dbraw/zinc/40/88/01/1072408801.db2.gz IIBVSVUYTVKSHG-QGZVFWFLSA-N 0 0 443.434 -0.914 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)NC(=O)N[C@@H](C)COC)CC1 ZINC000609040746 1072409248 /nfs/dbraw/zinc/40/92/48/1072409248.db2.gz SXGFADPSCFMIHG-AWEZNQCLSA-N 0 0 427.527 -0.423 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)NC(=O)N[C@H](C)COC)CC1 ZINC000609040747 1072409252 /nfs/dbraw/zinc/40/92/52/1072409252.db2.gz SXGFADPSCFMIHG-CQSZACIVSA-N 0 0 427.527 -0.423 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)cn3)CC2)c1 ZINC000609040799 1072409372 /nfs/dbraw/zinc/40/93/72/1072409372.db2.gz GCLATIZPKNYKNR-UHFFFAOYSA-N 0 0 434.521 -0.037 20 0 IBADRN O=C(CN1CCN(C(=O)c2c(F)cccc2F)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000609046249 1072409236 /nfs/dbraw/zinc/40/92/36/1072409236.db2.gz GRAMOAWHVXZOPJ-GFCCVEGCSA-N 0 0 444.460 -0.265 20 0 IBADRN O=C(CN1CCN(C(=O)c2c(F)cccc2F)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000609046252 1072409381 /nfs/dbraw/zinc/40/93/81/1072409381.db2.gz GRAMOAWHVXZOPJ-LBPRGKRZSA-N 0 0 444.460 -0.265 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc3c(c2)CCO3)c(=O)n1CC(=O)NCCOC ZINC000609092135 1072456130 /nfs/dbraw/zinc/45/61/30/1072456130.db2.gz DFWMQAZQMQGWEX-UHFFFAOYSA-N 0 0 432.498 -0.220 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c(F)c1 ZINC000609121111 1072523673 /nfs/dbraw/zinc/52/36/73/1072523673.db2.gz FETOPDRWMRYRCJ-LLVKDONJSA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c(F)c1 ZINC000609121112 1072526319 /nfs/dbraw/zinc/52/63/19/1072526319.db2.gz FETOPDRWMRYRCJ-NSHDSACASA-N 0 0 429.517 -0.101 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CC1 ZINC000609121170 1072526104 /nfs/dbraw/zinc/52/61/04/1072526104.db2.gz VKZJPYFLVDOKRX-UHFFFAOYSA-N 0 0 444.532 -0.690 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCN2CCCS2(=O)=O)c(F)c1 ZINC000609121331 1072528207 /nfs/dbraw/zinc/52/82/07/1072528207.db2.gz LXEDFQITWIMQMA-UHFFFAOYSA-N 0 0 429.517 -0.099 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C1 ZINC000609125142 1072534314 /nfs/dbraw/zinc/53/43/14/1072534314.db2.gz OGTNZVDDYYHPLZ-INIZCTEOSA-N 0 0 445.520 -0.143 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C1 ZINC000609125144 1072534279 /nfs/dbraw/zinc/53/42/79/1072534279.db2.gz OGTNZVDDYYHPLZ-MRXNPFEDSA-N 0 0 445.520 -0.143 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)c1 ZINC000609128899 1072538024 /nfs/dbraw/zinc/53/80/24/1072538024.db2.gz WHGMXVWYGLBVBL-HNNXBMFYSA-N 0 0 440.478 -0.797 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)c1 ZINC000609128900 1072537995 /nfs/dbraw/zinc/53/79/95/1072537995.db2.gz WHGMXVWYGLBVBL-OAHLLOKOSA-N 0 0 440.478 -0.797 20 0 IBADRN CCOc1cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1OCC(=O)OC ZINC000609129151 1072539321 /nfs/dbraw/zinc/53/93/21/1072539321.db2.gz YLQFEPWARXMJTL-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC000609140337 1072553717 /nfs/dbraw/zinc/55/37/17/1072553717.db2.gz FICPZPFMBYOYBL-HNNXBMFYSA-N 0 0 425.463 -0.190 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC000609140345 1072553680 /nfs/dbraw/zinc/55/36/80/1072553680.db2.gz FICPZPFMBYOYBL-OAHLLOKOSA-N 0 0 425.463 -0.190 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCO[C@H](C(=O)OC)C3)cc2)CC1 ZINC000609140386 1072554517 /nfs/dbraw/zinc/55/45/17/1072554517.db2.gz JBHNZGHDTBLZEZ-KRWDZBQOSA-N 0 0 432.477 -0.197 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCO[C@@H](C(=O)OC)C3)cc2)CC1 ZINC000609140395 1072554413 /nfs/dbraw/zinc/55/44/13/1072554413.db2.gz JBHNZGHDTBLZEZ-QGZVFWFLSA-N 0 0 432.477 -0.197 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)C1 ZINC000609146495 1072566887 /nfs/dbraw/zinc/56/68/87/1072566887.db2.gz FGWLBFXKUFKHBX-DLBZAZTESA-N 0 0 448.586 -0.335 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)C1 ZINC000609146496 1072566917 /nfs/dbraw/zinc/56/69/17/1072566917.db2.gz FGWLBFXKUFKHBX-IAGOWNOFSA-N 0 0 448.586 -0.335 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)C1 ZINC000609146497 1072566836 /nfs/dbraw/zinc/56/68/36/1072566836.db2.gz FGWLBFXKUFKHBX-IRXDYDNUSA-N 0 0 448.586 -0.335 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)C1 ZINC000609146498 1072567325 /nfs/dbraw/zinc/56/73/25/1072567325.db2.gz FGWLBFXKUFKHBX-SJORKVTESA-N 0 0 448.586 -0.335 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)NCCCN2CCCS2(=O)=O)C1 ZINC000609146845 1072566409 /nfs/dbraw/zinc/56/64/09/1072566409.db2.gz FCUKRYVOTHYTSF-HNNXBMFYSA-N 0 0 432.543 -0.145 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)NCCCN2CCCS2(=O)=O)C1 ZINC000609146846 1072566342 /nfs/dbraw/zinc/56/63/42/1072566342.db2.gz FCUKRYVOTHYTSF-OAHLLOKOSA-N 0 0 432.543 -0.145 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000609146880 1072567624 /nfs/dbraw/zinc/56/76/24/1072567624.db2.gz UAUWAHMQWYABDD-UHFFFAOYSA-N 0 0 432.543 -0.145 20 0 IBADRN Cc1ccc(NC(=O)Cn2cnnc2[S@@](C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000609150961 1072579523 /nfs/dbraw/zinc/57/95/23/1072579523.db2.gz OLXVASRTJRQQMQ-HHHXNRCGSA-N 0 0 427.508 -0.016 20 0 IBADRN Cc1ccc(NC(=O)Cn2cnnc2[S@](C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000609150962 1072579603 /nfs/dbraw/zinc/57/96/03/1072579603.db2.gz OLXVASRTJRQQMQ-MHZLTWQESA-N 0 0 427.508 -0.016 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)no1 ZINC000609152905 1073336853 /nfs/dbraw/zinc/33/68/53/1073336853.db2.gz HHZUUQWHSWNLRA-NEPJUHHUSA-N 0 0 432.524 -0.195 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)no1 ZINC000609152914 1073335988 /nfs/dbraw/zinc/33/59/88/1073335988.db2.gz HHZUUQWHSWNLRA-NWDGAFQWSA-N 0 0 432.524 -0.195 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)no1 ZINC000609152915 1073336540 /nfs/dbraw/zinc/33/65/40/1073336540.db2.gz HHZUUQWHSWNLRA-RYUDHWBXSA-N 0 0 432.524 -0.195 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)no1 ZINC000609152916 1073336052 /nfs/dbraw/zinc/33/60/52/1073336052.db2.gz HHZUUQWHSWNLRA-VXGBXAGGSA-N 0 0 432.524 -0.195 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)N2CCS(=O)(=O)CC2)CC1)c1cccs1 ZINC000609158213 1072595091 /nfs/dbraw/zinc/59/50/91/1072595091.db2.gz PBLAJYXSXXFGHL-UHFFFAOYSA-N 0 0 443.594 -0.534 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1ccc(O)cc1 ZINC000609158744 1072595753 /nfs/dbraw/zinc/59/57/53/1072595753.db2.gz LURBWEYHHWXAPV-CYBMUJFWSA-N 0 0 429.433 -0.713 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1ccc(O)cc1 ZINC000609158745 1072595776 /nfs/dbraw/zinc/59/57/76/1072595776.db2.gz LURBWEYHHWXAPV-ZDUSSCGKSA-N 0 0 429.433 -0.713 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000609162950 1072604706 /nfs/dbraw/zinc/60/47/06/1072604706.db2.gz KVIZJYBBLCLKBV-KBPBESRZSA-N 0 0 441.510 -0.683 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000609162956 1072604715 /nfs/dbraw/zinc/60/47/15/1072604715.db2.gz KVIZJYBBLCLKBV-KGLIPLIRSA-N 0 0 441.510 -0.683 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000609162957 1072604634 /nfs/dbraw/zinc/60/46/34/1072604634.db2.gz KVIZJYBBLCLKBV-UONOGXRCSA-N 0 0 441.510 -0.683 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC000609162958 1072604719 /nfs/dbraw/zinc/60/47/19/1072604719.db2.gz KVIZJYBBLCLKBV-ZIAGYGMSSA-N 0 0 441.510 -0.683 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000609163985 1072609506 /nfs/dbraw/zinc/60/95/06/1072609506.db2.gz CLGLHEGGWPUOSC-KRWDZBQOSA-N 0 0 446.504 -0.363 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000609163986 1072609583 /nfs/dbraw/zinc/60/95/83/1072609583.db2.gz CLGLHEGGWPUOSC-QGZVFWFLSA-N 0 0 446.504 -0.363 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC000609167770 1072621561 /nfs/dbraw/zinc/62/15/61/1072621561.db2.gz WCBYETHTHKAEKH-UHFFFAOYSA-N 0 0 425.385 -0.139 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CSCC(=O)N2CCOCC2)c1 ZINC000609186064 1072623388 /nfs/dbraw/zinc/62/33/88/1072623388.db2.gz OXBPKGCHHMXXDW-UHFFFAOYSA-N 0 0 444.535 -0.759 20 0 IBADRN COCCN(CC(=O)OC(C)(C)C)CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000609195260 1072629025 /nfs/dbraw/zinc/62/90/25/1072629025.db2.gz RVRJRXHHCKTKPH-INIZCTEOSA-N 0 0 433.571 -0.392 20 0 IBADRN COCCN(CC(=O)OC(C)(C)C)CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000609195261 1072629238 /nfs/dbraw/zinc/62/92/38/1072629238.db2.gz RVRJRXHHCKTKPH-MRXNPFEDSA-N 0 0 433.571 -0.392 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000609196258 1072629722 /nfs/dbraw/zinc/62/97/22/1072629722.db2.gz JEVDEWBXMVLHGO-UHFFFAOYSA-N 0 0 437.474 -0.155 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)N2CCC(C(=O)OC)CC2)c1 ZINC000609198027 1072629698 /nfs/dbraw/zinc/62/96/98/1072629698.db2.gz GOTCIDZIBRDMMC-UHFFFAOYSA-N 0 0 448.523 -0.747 20 0 IBADRN CCOC(=O)c1cccc(OC[C@@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000609203893 1072632941 /nfs/dbraw/zinc/63/29/41/1072632941.db2.gz BGKDAZVWXKHLLX-KRWDZBQOSA-N 0 0 447.488 -0.104 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000609203894 1072632933 /nfs/dbraw/zinc/63/29/33/1072632933.db2.gz BGKDAZVWXKHLLX-QGZVFWFLSA-N 0 0 447.488 -0.104 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000609203946 1072632990 /nfs/dbraw/zinc/63/29/90/1072632990.db2.gz FCSQFHVTJHDGJD-UHFFFAOYSA-N 0 0 430.461 -0.271 20 0 IBADRN COC(=O)c1cc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1OC ZINC000609212426 1072645505 /nfs/dbraw/zinc/64/55/05/1072645505.db2.gz ISZVFTRGGCJMOL-UHFFFAOYSA-N 0 0 446.460 -0.015 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cn1 ZINC000609224587 1072712984 /nfs/dbraw/zinc/71/29/84/1072712984.db2.gz YOZRJBFVUQMLJD-UHFFFAOYSA-N 0 0 425.554 -0.079 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000609226940 1072716075 /nfs/dbraw/zinc/71/60/75/1072716075.db2.gz DJQXSNMQGLUFDK-UHFFFAOYSA-N 0 0 426.495 -0.319 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000609227010 1072716230 /nfs/dbraw/zinc/71/62/30/1072716230.db2.gz IFDXHNKAIUTSNI-UHFFFAOYSA-N 0 0 432.477 -0.074 20 0 IBADRN NC(=O)NC[C@@H]1CCCCN1C(=O)CSCC(=O)N1CCCC[C@H]1CNC(N)=O ZINC000609231986 1072720491 /nfs/dbraw/zinc/72/04/91/1072720491.db2.gz MANKZGGFKQEFMG-KBPBESRZSA-N 0 0 428.559 -0.182 20 0 IBADRN NC(=O)NC[C@H]1CCCCN1C(=O)CSCC(=O)N1CCCC[C@H]1CNC(N)=O ZINC000609231992 1072720403 /nfs/dbraw/zinc/72/04/03/1072720403.db2.gz MANKZGGFKQEFMG-OKILXGFUSA-N 0 0 428.559 -0.182 20 0 IBADRN NC(=O)NC[C@H]1CCCCN1C(=O)CSCC(=O)N1CCCC[C@@H]1CNC(N)=O ZINC000609231993 1072720524 /nfs/dbraw/zinc/72/05/24/1072720524.db2.gz MANKZGGFKQEFMG-ZIAGYGMSSA-N 0 0 428.559 -0.182 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CCSCCC(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000609232232 1072720481 /nfs/dbraw/zinc/72/04/81/1072720481.db2.gz VKFLTCCHGNHJCO-KBPBESRZSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CCSCCC(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000609232233 1072720515 /nfs/dbraw/zinc/72/05/15/1072720515.db2.gz VKFLTCCHGNHJCO-OKILXGFUSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CCSCCC(=O)N2CCO[C@@H](C(=O)OC)C2)CCO1 ZINC000609232234 1070902121 /nfs/dbraw/zinc/90/21/21/1070902121.db2.gz VKFLTCCHGNHJCO-ZIAGYGMSSA-N 0 0 432.495 -0.699 20 0 IBADRN COC(=O)CSCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000609233952 1072720952 /nfs/dbraw/zinc/72/09/52/1072720952.db2.gz DXHVKCQHKCHKKN-UHFFFAOYSA-N 0 0 438.549 -0.108 20 0 IBADRN COC(=O)CSCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000609240915 1072727928 /nfs/dbraw/zinc/72/79/28/1072727928.db2.gz OFLBJDZUDJRDAH-UHFFFAOYSA-N 0 0 434.496 -0.699 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000609256328 1072770883 /nfs/dbraw/zinc/77/08/83/1072770883.db2.gz KMCWHLCQHXZJGH-UHFFFAOYSA-N 0 0 446.551 -0.036 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000609256430 1072770387 /nfs/dbraw/zinc/77/03/87/1072770387.db2.gz PLXVTVTUWWSEKO-UHFFFAOYSA-N 0 0 433.508 -0.094 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000609256868 1072769104 /nfs/dbraw/zinc/76/91/04/1072769104.db2.gz YHUGBNATOSAFQS-UHFFFAOYSA-N 0 0 445.519 -0.332 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1cccc(F)c1 ZINC000609266354 1072792140 /nfs/dbraw/zinc/79/21/40/1072792140.db2.gz RFHHQPFBBZRDNU-CYBMUJFWSA-N 0 0 431.424 -0.279 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1cccc(F)c1 ZINC000609266355 1072791958 /nfs/dbraw/zinc/79/19/58/1072791958.db2.gz RFHHQPFBBZRDNU-ZDUSSCGKSA-N 0 0 431.424 -0.279 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1 ZINC000609282645 1072828656 /nfs/dbraw/zinc/82/86/56/1072828656.db2.gz UNFOOAPWGHFZJR-UHFFFAOYSA-N 0 0 442.476 -0.394 20 0 IBADRN COC(=O)C1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000609296078 1072882987 /nfs/dbraw/zinc/88/29/87/1072882987.db2.gz MDBAOPVXLQBLRA-UHFFFAOYSA-N 0 0 431.559 -0.462 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C)C2)cn1C ZINC000609306741 1072930309 /nfs/dbraw/zinc/93/03/09/1072930309.db2.gz WRDBATZLXUMENT-CYBMUJFWSA-N 0 0 428.511 -0.064 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@H](C)C2)cn1C ZINC000609306742 1072930244 /nfs/dbraw/zinc/93/02/44/1072930244.db2.gz WRDBATZLXUMENT-ZDUSSCGKSA-N 0 0 428.511 -0.064 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000609314617 1072942144 /nfs/dbraw/zinc/94/21/44/1072942144.db2.gz PVSJGPGZESOLMU-UHFFFAOYSA-N 0 0 439.581 -0.180 20 0 IBADRN COC(=O)c1ccc2c(c1)CN(C(=O)C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)C2 ZINC000609319669 1072957997 /nfs/dbraw/zinc/95/79/97/1072957997.db2.gz QFCHKULOUCAFCT-UHFFFAOYSA-N 0 0 437.412 -0.100 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000609328222 1072962437 /nfs/dbraw/zinc/96/24/37/1072962437.db2.gz TYBBMWSFHQGPLP-UHFFFAOYSA-N 0 0 435.593 -0.113 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)NCCS(=O)(=O)N2CCSCC2)CCCCC1 ZINC000609340013 1072962875 /nfs/dbraw/zinc/96/28/75/1072962875.db2.gz YWRQYDPSYNMICI-UHFFFAOYSA-N 0 0 442.629 -0.084 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN(CC(N)=O)CC3)nc(=O)c12 ZINC000609350983 1070905822 /nfs/dbraw/zinc/90/58/22/1070905822.db2.gz NJDVTRKOSHHQAH-UHFFFAOYSA-N 0 0 437.478 -0.910 20 0 IBADRN COC(=O)Cc1cc(=O)n(-c2ccc(C(=O)NCCC[N@@H+]3CCC[C@H]3C(N)=O)cc2)[n-]1 ZINC000609352324 1072963100 /nfs/dbraw/zinc/96/31/00/1072963100.db2.gz VFWXZEXRCMOIHZ-KRWDZBQOSA-N 0 0 429.477 -0.049 20 0 IBADRN COC(=O)Cc1cc(=O)n(-c2ccc(C(=O)NCCC[N@@H+]3CCC[C@@H]3C(N)=O)cc2)[n-]1 ZINC000609352325 1072963012 /nfs/dbraw/zinc/96/30/12/1072963012.db2.gz VFWXZEXRCMOIHZ-QGZVFWFLSA-N 0 0 429.477 -0.049 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)c2ccc(C(=O)OC)o2)c1 ZINC000609352686 1072962811 /nfs/dbraw/zinc/96/28/11/1072962811.db2.gz DHAPLPQBORYLKR-UHFFFAOYSA-N 0 0 431.448 -0.109 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCCCN2CCC[C@H]2C(N)=O)c1 ZINC000609352901 1072962770 /nfs/dbraw/zinc/96/27/70/1072962770.db2.gz SBZNANCOTMXBLZ-HNNXBMFYSA-N 0 0 427.479 -0.122 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCCCN2CCC[C@@H]2C(N)=O)c1 ZINC000609352904 1072962979 /nfs/dbraw/zinc/96/29/79/1072962979.db2.gz SBZNANCOTMXBLZ-OAHLLOKOSA-N 0 0 427.479 -0.122 20 0 IBADRN CNS(=O)(=O)CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cn1 ZINC000609356675 1072963506 /nfs/dbraw/zinc/96/35/06/1072963506.db2.gz UATYGOWSBUWMCT-UHFFFAOYSA-N 0 0 425.554 -0.031 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000609357472 1072963543 /nfs/dbraw/zinc/96/35/43/1072963543.db2.gz AJDBYSNLVFGDFT-UHFFFAOYSA-N 0 0 448.476 -0.236 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c(C)c1 ZINC000609357786 1072963410 /nfs/dbraw/zinc/96/34/10/1072963410.db2.gz KRZIWLVKWQHDDS-UHFFFAOYSA-N 0 0 448.476 -0.318 20 0 IBADRN COC(=O)CN(Cc1ccc(F)c(F)c1)C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000609372839 1072963486 /nfs/dbraw/zinc/96/34/86/1072963486.db2.gz FFLBXJRUNNYODR-UHFFFAOYSA-N 0 0 435.387 -0.086 20 0 IBADRN COC(=O)CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000609393504 1072964019 /nfs/dbraw/zinc/96/40/19/1072964019.db2.gz AVLNFHSUDWVLKC-GFCCVEGCSA-N 0 0 440.521 -0.543 20 0 IBADRN COC(=O)CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000609393505 1072963980 /nfs/dbraw/zinc/96/39/80/1072963980.db2.gz AVLNFHSUDWVLKC-LBPRGKRZSA-N 0 0 440.521 -0.543 20 0 IBADRN COC(=O)c1ccc(OCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000609420886 1072963953 /nfs/dbraw/zinc/96/39/53/1072963953.db2.gz XRPUEHQEHJMGFY-UHFFFAOYSA-N 0 0 428.511 -0.272 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000609431937 1071145728 /nfs/dbraw/zinc/14/57/28/1071145728.db2.gz AIRKYYXKXAKDRH-UHFFFAOYSA-N 0 0 425.554 -0.231 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)NC(=O)NC1CC1 ZINC000609432119 1072964468 /nfs/dbraw/zinc/96/44/68/1072964468.db2.gz FORPDAFQCHJLLJ-UHFFFAOYSA-N 0 0 437.478 -0.688 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000609433010 1072963909 /nfs/dbraw/zinc/96/39/09/1072963909.db2.gz LFQDCNCMEYEGPQ-UHFFFAOYSA-N 0 0 442.629 -0.180 20 0 IBADRN COC(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000609436538 1072964424 /nfs/dbraw/zinc/96/44/24/1072964424.db2.gz OYTLHMFLHKQZIL-UHFFFAOYSA-N 0 0 440.478 -0.013 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000609447663 1071261716 /nfs/dbraw/zinc/26/17/16/1071261716.db2.gz WSVVFDSYVCRWIH-UHFFFAOYSA-N 0 0 441.506 -0.379 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)C2CCC3(CC2)NC(=O)NC3=O)c1 ZINC000609448009 1072964648 /nfs/dbraw/zinc/96/46/48/1072964648.db2.gz YRYYJTZYNOGKIM-UHFFFAOYSA-N 0 0 438.462 -0.155 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000609448083 1072964452 /nfs/dbraw/zinc/96/44/52/1072964452.db2.gz UKCHODCFSSUBIS-LLVKDONJSA-N 0 0 427.479 -0.383 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000609448084 1072964444 /nfs/dbraw/zinc/96/44/44/1072964444.db2.gz UKCHODCFSSUBIS-NSHDSACASA-N 0 0 427.479 -0.383 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000609448192 1072964664 /nfs/dbraw/zinc/96/46/64/1072964664.db2.gz SQSFGUCHBNHDBG-UHFFFAOYSA-N 0 0 430.483 -0.696 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000609451527 1072964555 /nfs/dbraw/zinc/96/45/55/1072964555.db2.gz AJTVMBSSXIVSFV-CXAGYDPISA-N 0 0 448.505 -0.871 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000609451528 1072964565 /nfs/dbraw/zinc/96/45/65/1072964565.db2.gz AJTVMBSSXIVSFV-DYVFJYSZSA-N 0 0 448.505 -0.871 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000609451529 1072965038 /nfs/dbraw/zinc/96/50/38/1072965038.db2.gz AJTVMBSSXIVSFV-GUYCJALGSA-N 0 0 448.505 -0.871 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000609451530 1072964988 /nfs/dbraw/zinc/96/49/88/1072964988.db2.gz AJTVMBSSXIVSFV-SUMWQHHRSA-N 0 0 448.505 -0.871 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)NCC(=O)Nc1ccc(F)c(F)c1)c2=O ZINC000609452610 1072964885 /nfs/dbraw/zinc/96/48/85/1072964885.db2.gz IVHPSTFRYFDSRN-UHFFFAOYSA-N 0 0 434.359 -0.201 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N1CCN(C(=O)c3cccs3)CC1)c2=O ZINC000609452616 1071265186 /nfs/dbraw/zinc/26/51/86/1071265186.db2.gz KLQSTBFUOSLTRR-UHFFFAOYSA-N 0 0 444.473 -0.188 20 0 IBADRN CCCCN(C(=O)Cn1cnc2c(cnn2CC(=O)OC)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000609452644 1071265157 /nfs/dbraw/zinc/26/51/57/1071265157.db2.gz NUDXDDRXGXWSQT-CYBMUJFWSA-N 0 0 439.494 -0.418 20 0 IBADRN CCCCN(C(=O)Cn1cnc2c(cnn2CC(=O)OC)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000609452645 1071266146 /nfs/dbraw/zinc/26/61/46/1071266146.db2.gz NUDXDDRXGXWSQT-ZDUSSCGKSA-N 0 0 439.494 -0.418 20 0 IBADRN CC(C)(CNC(=O)CSCCOc1ccc(S(N)(=O)=O)cc1)NS(C)(=O)=O ZINC000609461705 1072964933 /nfs/dbraw/zinc/96/49/33/1072964933.db2.gz IVMYQIVLHKWJPT-UHFFFAOYSA-N 0 0 439.581 -0.110 20 0 IBADRN CCS(=O)(=O)NCCN1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000609465046 1072965030 /nfs/dbraw/zinc/96/50/30/1072965030.db2.gz LZDMOSMEJNKICW-UHFFFAOYSA-N 0 0 425.554 -0.220 20 0 IBADRN CC(C)(CNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1)NS(C)(=O)=O ZINC000609465832 1071397048 /nfs/dbraw/zinc/39/70/48/1071397048.db2.gz CJVWUASDRFSFPB-UHFFFAOYSA-N 0 0 425.554 -0.167 20 0 IBADRN CC(C)(CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)NS(C)(=O)=O ZINC000609465900 1072965025 /nfs/dbraw/zinc/96/50/25/1072965025.db2.gz KUWVOHGKUKCDRV-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN CC(C)(CNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1)NS(C)(=O)=O ZINC000609465903 1072965124 /nfs/dbraw/zinc/96/51/24/1072965124.db2.gz MAAJSGIEPWHTBA-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN CN(CCS(=O)(=O)NCC(C)(C)NS(C)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000609466040 1072964897 /nfs/dbraw/zinc/96/48/97/1072964897.db2.gz VEAOAUDDDDYARE-UHFFFAOYSA-N 0 0 445.560 -0.307 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000609472826 1071449396 /nfs/dbraw/zinc/44/93/96/1071449396.db2.gz KPLFUBMAXPYVNF-UHFFFAOYSA-N 0 0 442.494 -0.638 20 0 IBADRN CCOC(=O)CN(C)C(=O)COc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000609484025 1071454387 /nfs/dbraw/zinc/45/43/87/1071454387.db2.gz BZGYCQVPUCLHGB-UHFFFAOYSA-N 0 0 427.479 -0.030 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC000609484558 1072966210 /nfs/dbraw/zinc/96/62/10/1072966210.db2.gz KBDCJGIVFGJGFR-UHFFFAOYSA-N 0 0 438.510 -0.627 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC000609484958 1072966108 /nfs/dbraw/zinc/96/61/08/1072966108.db2.gz SRYQNYHKNLQEPP-UHFFFAOYSA-N 0 0 426.558 -0.101 20 0 IBADRN COC(=O)CNC(=O)CCN1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000609487495 1071455314 /nfs/dbraw/zinc/45/53/14/1071455314.db2.gz XLEHNDKJFFHMCZ-UHFFFAOYSA-N 0 0 427.508 -0.323 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N(CCN2CCOCC2)CC(=O)OC)cc1 ZINC000609487638 1072965667 /nfs/dbraw/zinc/96/56/67/1072965667.db2.gz FTCCBAPMQWZOKR-UHFFFAOYSA-N 0 0 434.536 -0.024 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC000609487701 1072965615 /nfs/dbraw/zinc/96/56/15/1072965615.db2.gz UUBFBGYISWKFQH-UHFFFAOYSA-N 0 0 426.451 -0.463 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000609487961 1071454279 /nfs/dbraw/zinc/45/42/79/1071454279.db2.gz SRRVVCVGETWGDJ-UHFFFAOYSA-N 0 0 439.490 -0.694 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N1CCCN(S(=O)(=O)CCC(=O)OCC)CC1 ZINC000609487985 1071455393 /nfs/dbraw/zinc/45/53/93/1071455393.db2.gz WETJSPNSURVDSN-UHFFFAOYSA-N 0 0 428.529 -0.440 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN(C)CC2)CC1 ZINC000609492126 1072965735 /nfs/dbraw/zinc/96/57/35/1072965735.db2.gz CMMDNOLMMYGSMS-UHFFFAOYSA-N 0 0 442.538 -0.237 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CC(=O)NC2=O)CC1 ZINC000609492658 1072966199 /nfs/dbraw/zinc/96/61/99/1072966199.db2.gz MKXGVUAMSSPCEJ-UHFFFAOYSA-N 0 0 442.450 -0.932 20 0 IBADRN O=C(CNC(=O)CSCc1cc(=O)n2ccsc2n1)NCCN1CCOCC1 ZINC000609493685 1072966119 /nfs/dbraw/zinc/96/61/19/1072966119.db2.gz SVIODOPZWYDQDU-UHFFFAOYSA-N 0 0 425.536 -0.446 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN3CCC(=O)NC3=O)CC2)c1 ZINC000609497889 1072966230 /nfs/dbraw/zinc/96/62/30/1072966230.db2.gz RUUYULTUFBKGJR-UHFFFAOYSA-N 0 0 444.491 -0.691 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1)C(=O)OC ZINC000609514490 1072966726 /nfs/dbraw/zinc/96/67/26/1072966726.db2.gz BVQOWHUIMWDYKJ-CHWSQXEVSA-N 0 0 430.483 -0.352 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1)C(=O)OC ZINC000609514496 1072966751 /nfs/dbraw/zinc/96/67/51/1072966751.db2.gz BVQOWHUIMWDYKJ-OLZOCXBDSA-N 0 0 430.483 -0.352 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1)C(=O)OC ZINC000609514498 1072966781 /nfs/dbraw/zinc/96/67/81/1072966781.db2.gz BVQOWHUIMWDYKJ-QWHCGFSZSA-N 0 0 430.483 -0.352 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1)C(=O)OC ZINC000609514500 1072966683 /nfs/dbraw/zinc/96/66/83/1072966683.db2.gz BVQOWHUIMWDYKJ-STQMWFEESA-N 0 0 430.483 -0.352 20 0 IBADRN CSCC[C@H](C(=O)N1CCN(S(N)(=O)=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000609516973 1072966220 /nfs/dbraw/zinc/96/62/20/1072966220.db2.gz JATXTABQKMKPOR-HZSPNIEDSA-N 0 0 432.568 -0.369 20 0 IBADRN CSCC[C@H](C(=O)N1CCN(S(N)(=O)=O)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000609516975 1072966278 /nfs/dbraw/zinc/96/62/78/1072966278.db2.gz JATXTABQKMKPOR-MELADBBJSA-N 0 0 432.568 -0.369 20 0 IBADRN CSCC[C@H](C(=O)N1CCN(S(N)(=O)=O)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000609516976 1072966266 /nfs/dbraw/zinc/96/62/66/1072966266.db2.gz JATXTABQKMKPOR-MGPQQGTHSA-N 0 0 432.568 -0.369 20 0 IBADRN CSCC[C@@H](C(=O)N1CCN(S(N)(=O)=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000609516978 1072966277 /nfs/dbraw/zinc/96/62/77/1072966277.db2.gz JATXTABQKMKPOR-MJBXVCDLSA-N 0 0 432.568 -0.369 20 0 IBADRN CSCC[C@H](NC(=O)COc1ccccc1)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000609517148 1072966269 /nfs/dbraw/zinc/96/62/69/1072966269.db2.gz OTFXLURLYQDSKF-HNNXBMFYSA-N 0 0 430.552 -0.349 20 0 IBADRN CSCC[C@@H](NC(=O)COc1ccccc1)C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000609517152 1072966140 /nfs/dbraw/zinc/96/61/40/1072966140.db2.gz OTFXLURLYQDSKF-OAHLLOKOSA-N 0 0 430.552 -0.349 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](CSC)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000609517869 1072966807 /nfs/dbraw/zinc/96/68/07/1072966807.db2.gz ADHLKHAGCYAGFG-AJNGGQMLSA-N 0 0 438.550 -0.254 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](CSC)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000609517870 1072966646 /nfs/dbraw/zinc/96/66/46/1072966646.db2.gz ADHLKHAGCYAGFG-KBXIAJHMSA-N 0 0 438.550 -0.254 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H](CSC)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000609517871 1072966759 /nfs/dbraw/zinc/96/67/59/1072966759.db2.gz ADHLKHAGCYAGFG-LJISPDSOSA-N 0 0 438.550 -0.254 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@H](CSC)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000609517872 1072966715 /nfs/dbraw/zinc/96/67/15/1072966715.db2.gz ADHLKHAGCYAGFG-XGUBFFRZSA-N 0 0 438.550 -0.254 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@H](C)NS(=O)(=O)c1ccc(C)cc1 ZINC000609523038 1072966656 /nfs/dbraw/zinc/96/66/56/1072966656.db2.gz CVNGILGTTFAKQQ-INIZCTEOSA-N 0 0 427.523 -0.004 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC000609523080 1072966626 /nfs/dbraw/zinc/96/66/26/1072966626.db2.gz JZHKPDXLSKFSNT-UHFFFAOYSA-N 0 0 427.523 -0.274 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000609523093 1072966635 /nfs/dbraw/zinc/96/66/35/1072966635.db2.gz MCKLABOTXDQKSI-HNNXBMFYSA-N 0 0 443.522 -0.304 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC000609523124 1072966737 /nfs/dbraw/zinc/96/67/37/1072966737.db2.gz QRCNJSSTHHDLQO-UHFFFAOYSA-N 0 0 431.486 -0.172 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000609523141 1072966784 /nfs/dbraw/zinc/96/67/84/1072966784.db2.gz SDDXRXHVPNEPCC-UHFFFAOYSA-N 0 0 433.259 -0.955 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@H](C)NS(=O)(=O)c1ccccc1F ZINC000609523151 1072967859 /nfs/dbraw/zinc/96/78/59/1072967859.db2.gz SWFWJEQQHNSDQC-AWEZNQCLSA-N 0 0 431.486 -0.174 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CN1C(=O)Cn2c(cc3ccccc32)C1=O ZINC000609523396 1072284789 /nfs/dbraw/zinc/28/47/89/1072284789.db2.gz HONGAAFFICPORN-UHFFFAOYSA-N 0 0 442.472 -0.043 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CCNS(=O)(=O)c1ccccc1F ZINC000609523400 1072967825 /nfs/dbraw/zinc/96/78/25/1072967825.db2.gz HUBKFJMNGVLYNC-UHFFFAOYSA-N 0 0 431.486 -0.172 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)C1CCN(c2ccc3nncn3n2)CC1 ZINC000609523560 1072966675 /nfs/dbraw/zinc/96/66/75/1072966675.db2.gz VKMXHWJXSAOKQS-UHFFFAOYSA-N 0 0 431.497 -0.326 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000609525098 1072967293 /nfs/dbraw/zinc/96/72/93/1072967293.db2.gz BAPGHTIHERXOLW-UHFFFAOYSA-N 0 0 445.494 -0.752 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000609525182 1072967383 /nfs/dbraw/zinc/96/73/83/1072967383.db2.gz MWNUMEYUTMJPTL-UHFFFAOYSA-N 0 0 443.522 -0.107 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000609525206 1072967411 /nfs/dbraw/zinc/96/74/11/1072967411.db2.gz PDGNXYSKYKGELR-UHFFFAOYSA-N 0 0 444.488 -0.608 20 0 IBADRN COC(=O)CN(Cc1nc(N)nc(N)n1)S(=O)(=O)c1ccc(Br)cc1 ZINC000609535632 1072967808 /nfs/dbraw/zinc/96/78/08/1072967808.db2.gz BGAFZUDEYRQEEI-UHFFFAOYSA-N 0 0 431.272 -0.177 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000609535915 1072967784 /nfs/dbraw/zinc/96/77/84/1072967784.db2.gz OTDYMEVEOPJICI-UHFFFAOYSA-N 0 0 441.506 -0.214 20 0 IBADRN COC(=O)CNC(=O)CCN1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000609535946 1072284577 /nfs/dbraw/zinc/28/45/77/1072284577.db2.gz UPGAYDDNHSPWTM-UHFFFAOYSA-N 0 0 427.479 -0.557 20 0 IBADRN COC(=O)CN(C)C(=O)CCN1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000609536159 1072967377 /nfs/dbraw/zinc/96/73/77/1072967377.db2.gz WEAUMGBIOVCUAN-UHFFFAOYSA-N 0 0 447.535 -0.138 20 0 IBADRN COC(=O)CN(C)C(=O)CCN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000609537309 1072967845 /nfs/dbraw/zinc/96/78/45/1072967845.db2.gz DBHHMGBFGBAKNT-UHFFFAOYSA-N 0 0 439.494 -0.404 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000609537348 1072967834 /nfs/dbraw/zinc/96/78/34/1072967834.db2.gz HTZJYUANYJZYHF-UHFFFAOYSA-N 0 0 447.535 -0.138 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000609537349 1072967793 /nfs/dbraw/zinc/96/77/93/1072967793.db2.gz HXFFSIAFZWWYQG-UHFFFAOYSA-N 0 0 439.494 -0.404 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)CC3)CC2)cc1 ZINC000609539577 1072967916 /nfs/dbraw/zinc/96/79/16/1072967916.db2.gz DFXYODLKFXKAMH-UHFFFAOYSA-N 0 0 429.564 -0.018 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000609541564 1072967818 /nfs/dbraw/zinc/96/78/18/1072967818.db2.gz KOGOIQWSPDSCSV-UHFFFAOYSA-N 0 0 441.553 -0.993 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000609542901 1072967776 /nfs/dbraw/zinc/96/77/76/1072967776.db2.gz JUXPIHPGYLVKEV-GFCCVEGCSA-N 0 0 441.553 -0.899 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000609542902 1072967913 /nfs/dbraw/zinc/96/79/13/1072967913.db2.gz JUXPIHPGYLVKEV-LBPRGKRZSA-N 0 0 441.553 -0.899 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000609542987 1072967877 /nfs/dbraw/zinc/96/78/77/1072967877.db2.gz SDEOKWMCGHWOMC-CYBMUJFWSA-N 0 0 440.565 -0.143 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000609542988 1072285283 /nfs/dbraw/zinc/28/52/83/1072285283.db2.gz SDEOKWMCGHWOMC-ZDUSSCGKSA-N 0 0 440.565 -0.143 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC000609542995 1072967867 /nfs/dbraw/zinc/96/78/67/1072967867.db2.gz UILMOVWLHXTYMZ-CYBMUJFWSA-N 0 0 440.565 -0.143 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC000609542996 1072967764 /nfs/dbraw/zinc/96/77/64/1072967764.db2.gz UILMOVWLHXTYMZ-ZDUSSCGKSA-N 0 0 440.565 -0.143 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)CC3)CC2)c1 ZINC000609548349 1072968668 /nfs/dbraw/zinc/96/86/68/1072968668.db2.gz UJEFXZHERXTJBC-UHFFFAOYSA-N 0 0 430.552 -0.385 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NC[C@@H](O)COc1ccc(I)cc1 ZINC000609550969 1072969143 /nfs/dbraw/zinc/96/91/43/1072969143.db2.gz QFAUZOXAQHOLTO-GFCCVEGCSA-N 0 0 449.245 -0.601 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NC[C@H](O)COc1ccc(I)cc1 ZINC000609550970 1072969241 /nfs/dbraw/zinc/96/92/41/1072969241.db2.gz QFAUZOXAQHOLTO-LBPRGKRZSA-N 0 0 449.245 -0.601 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CSCC(=O)N2CCOCC2)CC1 ZINC000609551108 1072968839 /nfs/dbraw/zinc/96/88/39/1072968839.db2.gz NCTNKUFANXFNMP-UHFFFAOYSA-N 0 0 446.551 -0.284 20 0 IBADRN COc1ccc(OC)c(CN(CCO)CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000609552179 1072969292 /nfs/dbraw/zinc/96/92/92/1072969292.db2.gz ZPWNJKDDUGRUJG-AWEZNQCLSA-N 0 0 429.495 -0.489 20 0 IBADRN COc1ccc(OC)c(CN(CCO)CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000609552180 1072969228 /nfs/dbraw/zinc/96/92/28/1072969228.db2.gz ZPWNJKDDUGRUJG-CQSZACIVSA-N 0 0 429.495 -0.489 20 0 IBADRN COc1ccc(CN(CCO)CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c(OC)c1 ZINC000609552740 1072503523 /nfs/dbraw/zinc/50/35/23/1072503523.db2.gz BATWQPAKZHDTIB-AWEZNQCLSA-N 0 0 429.495 -0.489 20 0 IBADRN COc1ccc(CN(CCO)CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c(OC)c1 ZINC000609552743 1072503558 /nfs/dbraw/zinc/50/35/58/1072503558.db2.gz BATWQPAKZHDTIB-CQSZACIVSA-N 0 0 429.495 -0.489 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000609565148 1072968198 /nfs/dbraw/zinc/96/81/98/1072968198.db2.gz YOKGXMJMBQWYQI-AWEZNQCLSA-N 0 0 427.483 -0.431 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000609565152 1072968280 /nfs/dbraw/zinc/96/82/80/1072968280.db2.gz YOKGXMJMBQWYQI-CQSZACIVSA-N 0 0 427.483 -0.431 20 0 IBADRN CCOC(=O)CCCNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000609565679 1072968249 /nfs/dbraw/zinc/96/82/49/1072968249.db2.gz HAZDPFOQFOHFPM-UHFFFAOYSA-N 0 0 441.510 -0.439 20 0 IBADRN CC(C)OC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000609565865 1072968312 /nfs/dbraw/zinc/96/83/12/1072968312.db2.gz UGTGAIZQUHSQOP-UHFFFAOYSA-N 0 0 441.510 -0.440 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000609565884 1072968243 /nfs/dbraw/zinc/96/82/43/1072968243.db2.gz XIKSBBWBLCOZQY-CYBMUJFWSA-N 0 0 439.494 -0.877 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000609565885 1072968216 /nfs/dbraw/zinc/96/82/16/1072968216.db2.gz XIKSBBWBLCOZQY-ZDUSSCGKSA-N 0 0 439.494 -0.877 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)Nc2cnn(CC(=O)OC)c2)c1 ZINC000609575379 1072968695 /nfs/dbraw/zinc/96/86/95/1072968695.db2.gz VYWKZVODOHOYOM-UHFFFAOYSA-N 0 0 425.467 -0.113 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1cc(I)ccc1-n1cnnn1 ZINC000609594391 1072969157 /nfs/dbraw/zinc/96/91/57/1072969157.db2.gz SLNCRMNQXABYAO-UHFFFAOYSA-N 0 0 441.189 -0.452 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000609594906 1072969208 /nfs/dbraw/zinc/96/92/08/1072969208.db2.gz MRONOVYJNAKKLU-GFCCVEGCSA-N 0 0 436.581 -0.094 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC000609594912 1072552019 /nfs/dbraw/zinc/55/20/19/1072552019.db2.gz MRONOVYJNAKKLU-LBPRGKRZSA-N 0 0 436.581 -0.094 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CC(=O)OC)cc1 ZINC000609595993 1072969988 /nfs/dbraw/zinc/96/99/88/1072969988.db2.gz GOPJAAVZWXXZOH-AWEZNQCLSA-N 0 0 432.520 -0.284 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CC(=O)OC)cc1 ZINC000609595994 1072969809 /nfs/dbraw/zinc/96/98/09/1072969809.db2.gz GOPJAAVZWXXZOH-CQSZACIVSA-N 0 0 432.520 -0.284 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000609596291 1072969279 /nfs/dbraw/zinc/96/92/79/1072969279.db2.gz SLXYBDGNPMINNN-UHFFFAOYSA-N 0 0 425.507 -0.433 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000609596332 1072969189 /nfs/dbraw/zinc/96/91/89/1072969189.db2.gz XCENULKQDZDPCD-UHFFFAOYSA-N 0 0 439.490 -0.906 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000609596423 1072969774 /nfs/dbraw/zinc/96/97/74/1072969774.db2.gz ZJZBAPNPXQLHHX-UHFFFAOYSA-N 0 0 447.535 -0.715 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000609598336 1072969793 /nfs/dbraw/zinc/96/97/93/1072969793.db2.gz KYMCXVGWJSWHNM-HNNXBMFYSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000609598337 1072969938 /nfs/dbraw/zinc/96/99/38/1072969938.db2.gz KYMCXVGWJSWHNM-OAHLLOKOSA-N 0 0 437.565 -0.170 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000609598350 1072969659 /nfs/dbraw/zinc/96/96/59/1072969659.db2.gz MAVBQZJXJQFQBW-AWEZNQCLSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC000609598351 1072969824 /nfs/dbraw/zinc/96/98/24/1072969824.db2.gz MAVBQZJXJQFQBW-CQSZACIVSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000609598352 1072970413 /nfs/dbraw/zinc/97/04/13/1072970413.db2.gz MBIJXSAOCZEJBF-HNNXBMFYSA-N 0 0 437.565 -0.071 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000609598353 1072970473 /nfs/dbraw/zinc/97/04/73/1072970473.db2.gz MBIJXSAOCZEJBF-OAHLLOKOSA-N 0 0 437.565 -0.071 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000609598369 1072970341 /nfs/dbraw/zinc/97/03/41/1072970341.db2.gz OTEMIFCQLRUXSO-AWEZNQCLSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)C1 ZINC000609598370 1072970297 /nfs/dbraw/zinc/97/02/97/1072970297.db2.gz OTEMIFCQLRUXSO-CQSZACIVSA-N 0 0 425.554 -0.361 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)C1 ZINC000609598387 1072970332 /nfs/dbraw/zinc/97/03/32/1072970332.db2.gz UCPPJUAZSJYHCP-CYBMUJFWSA-N 0 0 434.492 -0.038 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)C1 ZINC000609598388 1072970460 /nfs/dbraw/zinc/97/04/60/1072970460.db2.gz UCPPJUAZSJYHCP-ZDUSSCGKSA-N 0 0 434.492 -0.038 20 0 IBADRN COCCNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccccc2C(=O)OC)CC1 ZINC000609603669 1072969917 /nfs/dbraw/zinc/96/99/17/1072969917.db2.gz ZDLIIIIACLNJBG-UHFFFAOYSA-N 0 0 441.506 -0.247 20 0 IBADRN COC(=O)CCSCCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000609605433 1072969679 /nfs/dbraw/zinc/96/96/79/1072969679.db2.gz MGHJNFZVDONBPP-UHFFFAOYSA-N 0 0 429.520 -0.167 20 0 IBADRN COC(=O)CCSCCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000609605482 1072969738 /nfs/dbraw/zinc/96/97/38/1072969738.db2.gz RLLNQRRKNZGQQL-UHFFFAOYSA-N 0 0 429.520 -0.167 20 0 IBADRN CCOC(=O)[C@]1(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CCc2ccccc21 ZINC000609607282 1072969840 /nfs/dbraw/zinc/96/98/40/1072969840.db2.gz QRJKGXIOTLLRNZ-NRFANRHFSA-N 0 0 425.445 -0.045 20 0 IBADRN CCOC(=O)[C@@]1(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CCc2ccccc21 ZINC000609607284 1072969906 /nfs/dbraw/zinc/96/99/06/1072969906.db2.gz QRJKGXIOTLLRNZ-OAQYLSRUSA-N 0 0 425.445 -0.045 20 0 IBADRN CCOC(=O)[C@]1(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CCc2ccccc21 ZINC000609607878 1072969873 /nfs/dbraw/zinc/96/98/73/1072969873.db2.gz PDYGQCIIEIMNAW-NRFANRHFSA-N 0 0 425.445 -0.045 20 0 IBADRN CCOC(=O)[C@@]1(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CCc2ccccc21 ZINC000609607879 1072969895 /nfs/dbraw/zinc/96/98/95/1072969895.db2.gz PDYGQCIIEIMNAW-OAQYLSRUSA-N 0 0 425.445 -0.045 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c1 ZINC000609609361 1072969928 /nfs/dbraw/zinc/96/99/28/1072969928.db2.gz GJFUYFWGTKCWAM-UHFFFAOYSA-N 0 0 426.476 -0.225 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CCN3C(=O)CCC3=O)CC2)c1 ZINC000609609637 1072969977 /nfs/dbraw/zinc/96/99/77/1072969977.db2.gz UUZIPCMNXWGIBE-UHFFFAOYSA-N 0 0 443.503 -0.093 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CN(C)C3=O)CC2)c1 ZINC000609609644 1072969750 /nfs/dbraw/zinc/96/97/50/1072969750.db2.gz SVTRTSUJDNJOHQ-UHFFFAOYSA-N 0 0 444.491 -0.738 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC(C)(C)N1CCS(=O)CC1 ZINC000609623785 1072970447 /nfs/dbraw/zinc/97/04/47/1072970447.db2.gz XQWDERMVIPNBKA-UHFFFAOYSA-N 0 0 446.595 -0.381 20 0 IBADRN CC(C)(CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCS(=O)CC1 ZINC000609623869 1072970234 /nfs/dbraw/zinc/97/02/34/1072970234.db2.gz HNWCDPODZWZQTI-UHFFFAOYSA-N 0 0 433.552 -0.127 20 0 IBADRN COC(=O)CCN(C[C@H]1CCCO1)C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000609630726 1072970362 /nfs/dbraw/zinc/97/03/62/1072970362.db2.gz XHSYDQCANFXFNY-GFCCVEGCSA-N 0 0 441.462 -0.187 20 0 IBADRN COC(=O)CCN(C[C@@H]1CCCO1)C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000609630727 1072970907 /nfs/dbraw/zinc/97/09/07/1072970907.db2.gz XHSYDQCANFXFNY-LBPRGKRZSA-N 0 0 441.462 -0.187 20 0 IBADRN COC(=O)CCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C[C@H]1CCCO1 ZINC000609635651 1072971109 /nfs/dbraw/zinc/97/11/09/1072971109.db2.gz DEZPEUFBWSLLIF-GOSISDBHSA-N 0 0 440.541 -0.967 20 0 IBADRN COC(=O)CCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C[C@@H]1CCCO1 ZINC000609635652 1072970992 /nfs/dbraw/zinc/97/09/92/1072970992.db2.gz DEZPEUFBWSLLIF-SFHVURJKSA-N 0 0 440.541 -0.967 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000609635765 1072971032 /nfs/dbraw/zinc/97/10/32/1072971032.db2.gz NKXXZYHEFUTCES-CVEARBPZSA-N 0 0 436.509 -0.530 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000609635766 1072970883 /nfs/dbraw/zinc/97/08/83/1072970883.db2.gz NKXXZYHEFUTCES-HOTGVXAUSA-N 0 0 436.509 -0.530 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000609635767 1072970822 /nfs/dbraw/zinc/97/08/22/1072970822.db2.gz NKXXZYHEFUTCES-HZPDHXFCSA-N 0 0 436.509 -0.530 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000609635768 1072970978 /nfs/dbraw/zinc/97/09/78/1072970978.db2.gz NKXXZYHEFUTCES-JKSUJKDBSA-N 0 0 436.509 -0.530 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000609644751 1072970380 /nfs/dbraw/zinc/97/03/80/1072970380.db2.gz NUBNOWXKAUOFFU-UHFFFAOYSA-N 0 0 429.433 -0.308 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cc(I)c[nH]2)CC1 ZINC000609660422 1072970742 /nfs/dbraw/zinc/97/07/42/1072970742.db2.gz LVIIBPXGNHZZNL-UHFFFAOYSA-N 0 0 426.280 -0.074 20 0 IBADRN C[C@@H](NC(=O)c1cc(I)c[nH]1)C(=O)N1CCS(=O)(=O)CC1 ZINC000609662157 1072970843 /nfs/dbraw/zinc/97/08/43/1072970843.db2.gz QEFSOECEURLKNL-MRVPVSSYSA-N 0 0 425.248 -0.005 20 0 IBADRN C[C@H](NC(=O)c1cc(I)c[nH]1)C(=O)N1CCS(=O)(=O)CC1 ZINC000609662158 1072971134 /nfs/dbraw/zinc/97/11/34/1072971134.db2.gz QEFSOECEURLKNL-QMMMGPOBSA-N 0 0 425.248 -0.005 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000609671926 1072591111 /nfs/dbraw/zinc/59/11/11/1072591111.db2.gz PWTIQBLWAANAAJ-UHFFFAOYSA-N 0 0 425.507 -0.131 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000609678268 1072971528 /nfs/dbraw/zinc/97/15/28/1072971528.db2.gz DCOSVPYQWQCKAP-UHFFFAOYSA-N 0 0 428.511 -0.385 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NCc2cc(S(N)(=O)=O)ccc2F)c1 ZINC000609678792 1072591637 /nfs/dbraw/zinc/59/16/37/1072591637.db2.gz CSTFKYHJROARIU-UHFFFAOYSA-N 0 0 437.496 -0.140 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCc2cc(S(N)(=O)=O)ccc2F)cc1 ZINC000609678846 1072971650 /nfs/dbraw/zinc/97/16/50/1072971650.db2.gz IGECWJFNNVAAAD-UHFFFAOYSA-N 0 0 437.496 -0.140 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000609679080 1072971693 /nfs/dbraw/zinc/97/16/93/1072971693.db2.gz KNIPLZZTSQQOOQ-UHFFFAOYSA-N 0 0 440.544 -0.349 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000609679477 1072971681 /nfs/dbraw/zinc/97/16/81/1072971681.db2.gz QXKGGEGCFKJDPC-UHFFFAOYSA-N 0 0 448.476 -0.549 20 0 IBADRN COC(=O)C[C@H](C)S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000609686538 1072971664 /nfs/dbraw/zinc/97/16/64/1072971664.db2.gz IHAIYRAPVZHOJM-BFHYXJOUSA-N 0 0 425.525 -0.453 20 0 IBADRN COC(=O)C[C@H](C)S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000609686542 1072971701 /nfs/dbraw/zinc/97/17/01/1072971701.db2.gz IHAIYRAPVZHOJM-IHRRRGAJSA-N 0 0 425.525 -0.453 20 0 IBADRN COC(=O)C[C@H](C)S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000609686543 1072971514 /nfs/dbraw/zinc/97/15/14/1072971514.db2.gz IHAIYRAPVZHOJM-MELADBBJSA-N 0 0 425.525 -0.453 20 0 IBADRN COC(=O)C[C@H](C)S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000609686544 1072971562 /nfs/dbraw/zinc/97/15/62/1072971562.db2.gz IHAIYRAPVZHOJM-MJBXVCDLSA-N 0 0 425.525 -0.453 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000609686968 1072971574 /nfs/dbraw/zinc/97/15/74/1072971574.db2.gz JICRHBDMDAUPNA-UHFFFAOYSA-N 0 0 446.460 -0.259 20 0 IBADRN COC(=O)COc1cccc(CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c1 ZINC000609689820 1072972205 /nfs/dbraw/zinc/97/22/05/1072972205.db2.gz YFXBUTIXNPVWKM-UHFFFAOYSA-N 0 0 441.444 -0.176 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000609705445 1072972220 /nfs/dbraw/zinc/97/22/20/1072972220.db2.gz BJZIYQOGIFBFSA-DOTOQJQBSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000609705446 1072972015 /nfs/dbraw/zinc/97/20/15/1072972015.db2.gz BJZIYQOGIFBFSA-NVXWUHKLSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000609705447 1072972117 /nfs/dbraw/zinc/97/21/17/1072972117.db2.gz BJZIYQOGIFBFSA-RDJZCZTQSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000609705448 1072972174 /nfs/dbraw/zinc/97/21/74/1072972174.db2.gz BJZIYQOGIFBFSA-WBVHZDCISA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000609705535 1072972165 /nfs/dbraw/zinc/97/21/65/1072972165.db2.gz SPGCLMPFWYHDLI-DOTOQJQBSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000609705536 1072972092 /nfs/dbraw/zinc/97/20/92/1072972092.db2.gz SPGCLMPFWYHDLI-NVXWUHKLSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000609705537 1072972128 /nfs/dbraw/zinc/97/21/28/1072972128.db2.gz SPGCLMPFWYHDLI-RDJZCZTQSA-N 0 0 443.497 -0.079 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CN1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000609705538 1072972190 /nfs/dbraw/zinc/97/21/90/1072972190.db2.gz SPGCLMPFWYHDLI-WBVHZDCISA-N 0 0 443.497 -0.079 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000609707005 1072972004 /nfs/dbraw/zinc/97/20/04/1072972004.db2.gz YHPXRVDNHRPVCP-UHFFFAOYSA-N 0 0 425.554 -0.656 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000609708975 1072972035 /nfs/dbraw/zinc/97/20/35/1072972035.db2.gz ZLKJOITZXRRJER-UHFFFAOYSA-N 0 0 442.606 -0.112 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000609709909 1072595572 /nfs/dbraw/zinc/59/55/72/1072595572.db2.gz DHMSAOKXYALZLX-UHFFFAOYSA-N 0 0 439.581 -0.913 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CCn2nc3ccccn3c2=O)c1 ZINC000609730198 1072972134 /nfs/dbraw/zinc/97/21/34/1072972134.db2.gz RQDARPBXGZHNJI-UHFFFAOYSA-N 0 0 433.446 -0.024 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000609733937 1072973173 /nfs/dbraw/zinc/97/31/73/1072973173.db2.gz HKZGETWLIPUCOZ-AWEZNQCLSA-N 0 0 432.506 -0.301 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000609733938 1072973095 /nfs/dbraw/zinc/97/30/95/1072973095.db2.gz HKZGETWLIPUCOZ-CQSZACIVSA-N 0 0 432.506 -0.301 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC000609735756 1072973048 /nfs/dbraw/zinc/97/30/48/1072973048.db2.gz WWHGXTZCPUPQOM-AWEZNQCLSA-N 0 0 432.506 -0.301 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC000609735757 1072973253 /nfs/dbraw/zinc/97/32/53/1072973253.db2.gz WWHGXTZCPUPQOM-CQSZACIVSA-N 0 0 432.506 -0.301 20 0 IBADRN CCOC(=O)CCS(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000609740212 1072597411 /nfs/dbraw/zinc/59/74/11/1072597411.db2.gz CNCHTJBTBQUAEL-UHFFFAOYSA-N 0 0 433.552 -0.005 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000609740429 1072973727 /nfs/dbraw/zinc/97/37/27/1072973727.db2.gz IKKNMVNVUPBVGT-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000609740431 1072973649 /nfs/dbraw/zinc/97/36/49/1072973649.db2.gz IKKNMVNVUPBVGT-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000609740651 1072973759 /nfs/dbraw/zinc/97/37/59/1072973759.db2.gz WFJQCVYIODKPFU-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000609740652 1072973562 /nfs/dbraw/zinc/97/35/62/1072973562.db2.gz WFJQCVYIODKPFU-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC000609746823 1072972778 /nfs/dbraw/zinc/97/27/78/1072972778.db2.gz WJLHPKAFSATOSG-INIZCTEOSA-N 0 0 427.513 -0.023 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC000609746832 1072972648 /nfs/dbraw/zinc/97/26/48/1072972648.db2.gz WJLHPKAFSATOSG-MRXNPFEDSA-N 0 0 427.513 -0.023 20 0 IBADRN Cc1nnc2n1C[C@H](CNC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)CC2 ZINC000609747827 1072972770 /nfs/dbraw/zinc/97/27/70/1072972770.db2.gz LGJWTAICRDEOOS-HNNXBMFYSA-N 0 0 427.531 -0.947 20 0 IBADRN Cc1nnc2n1C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)CC2 ZINC000609747832 1072972730 /nfs/dbraw/zinc/97/27/30/1072972730.db2.gz LGJWTAICRDEOOS-OAHLLOKOSA-N 0 0 427.531 -0.947 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000609747989 1072973266 /nfs/dbraw/zinc/97/32/66/1072973266.db2.gz KCJUZYGZFQXGAK-INIZCTEOSA-N 0 0 440.522 -0.294 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CCO1 ZINC000609747993 1072601878 /nfs/dbraw/zinc/60/18/78/1072601878.db2.gz KCJUZYGZFQXGAK-MRXNPFEDSA-N 0 0 440.522 -0.294 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000609756588 1073345141 /nfs/dbraw/zinc/34/51/41/1073345141.db2.gz LADDBUDKNLVOEC-CYBMUJFWSA-N 0 0 433.556 -0.259 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000609756589 1073345164 /nfs/dbraw/zinc/34/51/64/1073345164.db2.gz LADDBUDKNLVOEC-ZDUSSCGKSA-N 0 0 433.556 -0.259 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N=c2ccn(CC(=O)N3CCOCC3)[nH]2)no1 ZINC000609756660 1072604025 /nfs/dbraw/zinc/60/40/25/1072604025.db2.gz OLXPZIJSKFLCBR-CYBMUJFWSA-N 0 0 436.494 -0.419 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N=c2ccn(CC(=O)N3CCOCC3)[nH]2)no1 ZINC000609756661 1072603884 /nfs/dbraw/zinc/60/38/84/1072603884.db2.gz OLXPZIJSKFLCBR-ZDUSSCGKSA-N 0 0 436.494 -0.419 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(CCN2CCOCC2)CC(=O)OC)cc1 ZINC000609801009 1072973743 /nfs/dbraw/zinc/97/37/43/1072973743.db2.gz CSYGLJFCPLBUEG-UHFFFAOYSA-N 0 0 435.524 -0.909 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(CCNC(C)=O)cc1 ZINC000609801055 1072973606 /nfs/dbraw/zinc/97/36/06/1072973606.db2.gz JWRVPBYTSPJNSE-UHFFFAOYSA-N 0 0 427.523 -0.139 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000609801090 1072973735 /nfs/dbraw/zinc/97/37/35/1072973735.db2.gz NQKVBAIEIXTFFS-UHFFFAOYSA-N 0 0 449.551 -0.567 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(CCNC(C)=O)s1 ZINC000609801091 1072973697 /nfs/dbraw/zinc/97/36/97/1072973697.db2.gz NYSBWNCAPLGXJM-UHFFFAOYSA-N 0 0 433.552 -0.077 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(CS(C)(=O)=O)cc1 ZINC000609801099 1072973766 /nfs/dbraw/zinc/97/37/66/1072973766.db2.gz PADHXEWUBPBPQP-UHFFFAOYSA-N 0 0 434.536 -0.273 20 0 IBADRN COC[C@@H](C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000609801236 1072607531 /nfs/dbraw/zinc/60/75/31/1072607531.db2.gz DAEXUFGKRWLFOQ-GFCCVEGCSA-N 0 0 441.553 -0.995 20 0 IBADRN COC[C@H](C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000609801237 1072607614 /nfs/dbraw/zinc/60/76/14/1072607614.db2.gz DAEXUFGKRWLFOQ-LBPRGKRZSA-N 0 0 441.553 -0.995 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000609801940 1072974166 /nfs/dbraw/zinc/97/41/66/1072974166.db2.gz WAAOGESNGHAOQR-INIZCTEOSA-N 0 0 449.551 -0.027 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000609801941 1072974231 /nfs/dbraw/zinc/97/42/31/1072974231.db2.gz WAAOGESNGHAOQR-MRXNPFEDSA-N 0 0 449.551 -0.027 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000609806364 1072974179 /nfs/dbraw/zinc/97/41/79/1072974179.db2.gz LDMCLKFZQCPBLJ-UHFFFAOYSA-N 0 0 441.506 -0.499 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000609811344 1072975885 /nfs/dbraw/zinc/97/58/85/1072975885.db2.gz BEJVPIJPLDWZPV-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000609811345 1072975709 /nfs/dbraw/zinc/97/57/09/1072975709.db2.gz BGTJGKXSIVBSJP-UHFFFAOYSA-N 0 0 426.495 -0.966 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000609811348 1072975868 /nfs/dbraw/zinc/97/58/68/1072975868.db2.gz BJQXQKCIIXFUQB-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN COC(=O)c1ccc(Cl)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000609811447 1072975832 /nfs/dbraw/zinc/97/58/32/1072975832.db2.gz HEXXFUJFSDVEPC-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C ZINC000609811617 1072975812 /nfs/dbraw/zinc/97/58/12/1072975812.db2.gz MOOBKPHOXBPICE-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1Cl ZINC000609811714 1072975342 /nfs/dbraw/zinc/97/53/42/1072975342.db2.gz OMYZWUXWQUHJPD-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(F)cc1F ZINC000609811729 1072975365 /nfs/dbraw/zinc/97/53/65/1072975365.db2.gz QEVUPMCGYSJBTR-UHFFFAOYSA-N 0 0 448.448 -0.617 20 0 IBADRN COC(=O)c1ccc(F)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000609811901 1072975763 /nfs/dbraw/zinc/97/57/63/1072975763.db2.gz UYLORYQVLIPDJE-UHFFFAOYSA-N 0 0 430.458 -0.756 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000609811972 1072975876 /nfs/dbraw/zinc/97/58/76/1072975876.db2.gz XBIBZBZJFAJKEG-UHFFFAOYSA-N 0 0 440.522 -0.576 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000609812013 1072975797 /nfs/dbraw/zinc/97/57/97/1072975797.db2.gz YBTVFAYFQYGSOR-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000609812563 1072975822 /nfs/dbraw/zinc/97/58/22/1072975822.db2.gz DRIVLEQNKWDBRJ-INIZCTEOSA-N 0 0 434.449 -0.719 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000609812564 1072975841 /nfs/dbraw/zinc/97/58/41/1072975841.db2.gz DRIVLEQNKWDBRJ-MRXNPFEDSA-N 0 0 434.449 -0.719 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000609813305 1072975697 /nfs/dbraw/zinc/97/56/97/1072975697.db2.gz QXLXFAZKYSHMSX-BBRMVZONSA-N 0 0 427.417 -0.391 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000609813308 1072976335 /nfs/dbraw/zinc/97/63/35/1072976335.db2.gz QXLXFAZKYSHMSX-CJNGLKHVSA-N 0 0 427.417 -0.391 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000609813311 1072976339 /nfs/dbraw/zinc/97/63/39/1072976339.db2.gz QXLXFAZKYSHMSX-CZUORRHYSA-N 0 0 427.417 -0.391 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000609813313 1072976354 /nfs/dbraw/zinc/97/63/54/1072976354.db2.gz QXLXFAZKYSHMSX-XJKSGUPXSA-N 0 0 427.417 -0.391 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CSCC(N)=O)CC1 ZINC000609820230 1072974207 /nfs/dbraw/zinc/97/42/07/1072974207.db2.gz KZYQLSCABDRTGR-UHFFFAOYSA-N 0 0 433.508 -0.266 20 0 IBADRN NC(=O)CSCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000609820902 1072974225 /nfs/dbraw/zinc/97/42/25/1072974225.db2.gz UCFLGGBPDGJCNI-UHFFFAOYSA-N 0 0 428.492 -0.931 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CSCC(N)=O)CC2)cc1 ZINC000609822971 1072974745 /nfs/dbraw/zinc/97/47/45/1072974745.db2.gz BGOVUMWTTWBSTF-UHFFFAOYSA-N 0 0 429.520 -0.085 20 0 IBADRN COC(=O)c1nc2nc(C)cc(NC3CCN(S(=O)(=O)N4CCOCC4)CC3)n2n1 ZINC000609823375 1072974739 /nfs/dbraw/zinc/97/47/39/1072974739.db2.gz WFACEHPUOHDXGH-UHFFFAOYSA-N 0 0 439.498 -0.327 20 0 IBADRN COC(=O)CCCS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000609824639 1072974664 /nfs/dbraw/zinc/97/46/64/1072974664.db2.gz DQTJIEJJEVNGDW-UHFFFAOYSA-N 0 0 434.540 -0.594 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)o1 ZINC000609824678 1072974793 /nfs/dbraw/zinc/97/47/93/1072974793.db2.gz JOCWWGGFVOEHOS-UHFFFAOYSA-N 0 0 437.496 -0.614 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000609824857 1072974778 /nfs/dbraw/zinc/97/47/78/1072974778.db2.gz UATDBWFZPHNCKF-KBPBESRZSA-N 0 0 427.545 -0.759 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000609824858 1072974729 /nfs/dbraw/zinc/97/47/29/1072974729.db2.gz UATDBWFZPHNCKF-OKILXGFUSA-N 0 0 427.545 -0.759 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000609824860 1072974781 /nfs/dbraw/zinc/97/47/81/1072974781.db2.gz UATDBWFZPHNCKF-ZIAGYGMSSA-N 0 0 427.545 -0.759 20 0 IBADRN COC(=O)CCCS(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000609824907 1072974584 /nfs/dbraw/zinc/97/45/84/1072974584.db2.gz QKQFRHXJQJRQRR-UHFFFAOYSA-N 0 0 433.552 -0.005 20 0 IBADRN CCOC(=O)CCCS(=O)(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000609825036 1072974767 /nfs/dbraw/zinc/97/47/67/1072974767.db2.gz WTTMUTIMUAZUNP-UHFFFAOYSA-N 0 0 427.545 -0.710 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)NC2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000609825067 1072974650 /nfs/dbraw/zinc/97/46/50/1072974650.db2.gz ZKSBURFNATUGNK-UHFFFAOYSA-N 0 0 447.535 -0.207 20 0 IBADRN NS(=O)(=O)c1cc(F)cc(NC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000609826970 1072974626 /nfs/dbraw/zinc/97/46/26/1072974626.db2.gz QVPUVNMBUUXJOU-UHFFFAOYSA-N 0 0 426.517 -0.027 20 0 IBADRN COC(=O)Cc1nc(NC(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)n[nH]1 ZINC000609834507 1072974752 /nfs/dbraw/zinc/97/47/52/1072974752.db2.gz FDWYFMWAZXERPY-UHFFFAOYSA-N 0 0 427.439 -0.156 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)no1 ZINC000609836143 1072975729 /nfs/dbraw/zinc/97/57/29/1072975729.db2.gz OFWZCFZCECLRRU-AWEZNQCLSA-N 0 0 425.511 -0.296 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)no1 ZINC000609836144 1073331715 /nfs/dbraw/zinc/33/17/15/1073331715.db2.gz OFWZCFZCECLRRU-CQSZACIVSA-N 0 0 425.511 -0.296 20 0 IBADRN O=C(CN1CCN(C(=O)CSCc2ccccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000609836341 1072975195 /nfs/dbraw/zinc/97/51/95/1072975195.db2.gz FLRBGKPERBIYOP-INIZCTEOSA-N 0 0 426.564 -0.238 20 0 IBADRN O=C(CN1CCN(C(=O)CSCc2ccccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000609836342 1072610626 /nfs/dbraw/zinc/61/06/26/1072610626.db2.gz FLRBGKPERBIYOP-MRXNPFEDSA-N 0 0 426.564 -0.238 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000609836597 1072610533 /nfs/dbraw/zinc/61/05/33/1072610533.db2.gz OHUUCRKQVNVWMU-GFCCVEGCSA-N 0 0 429.520 -0.404 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000609836598 1072975311 /nfs/dbraw/zinc/97/53/11/1072975311.db2.gz OHUUCRKQVNVWMU-LBPRGKRZSA-N 0 0 429.520 -0.404 20 0 IBADRN O=C(CN1CCN(C(=O)CSCc2cccnc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000609836599 1072975355 /nfs/dbraw/zinc/97/53/55/1072975355.db2.gz OKVYAKWUEHIWLP-INIZCTEOSA-N 0 0 426.564 -0.238 20 0 IBADRN O=C(CN1CCN(C(=O)CSCc2cccnc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000609836600 1072610610 /nfs/dbraw/zinc/61/06/10/1072610610.db2.gz OKVYAKWUEHIWLP-MRXNPFEDSA-N 0 0 426.564 -0.238 20 0 IBADRN O=C(CN1CCN(C(=O)CSCc2ccncc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000609836680 1072610566 /nfs/dbraw/zinc/61/05/66/1072610566.db2.gz RYRWVHVZYATWIQ-INIZCTEOSA-N 0 0 426.564 -0.238 20 0 IBADRN O=C(CN1CCN(C(=O)CSCc2ccncc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000609836681 1072610541 /nfs/dbraw/zinc/61/05/41/1072610541.db2.gz RYRWVHVZYATWIQ-MRXNPFEDSA-N 0 0 426.564 -0.238 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)Nc2n[nH]c(CC(=O)OC)n2)c1 ZINC000609838623 1072610114 /nfs/dbraw/zinc/61/01/14/1072610114.db2.gz ZPLGPYUMHIGJMW-UHFFFAOYSA-N 0 0 426.455 -0.649 20 0 IBADRN COC(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000609845722 1072976261 /nfs/dbraw/zinc/97/62/61/1072976261.db2.gz MDIVFTPHAFEXIS-UHFFFAOYSA-N 0 0 439.538 -0.479 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000609850515 1072976323 /nfs/dbraw/zinc/97/63/23/1072976323.db2.gz QZRTZFFHMYUYRD-CVEARBPZSA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000609850516 1072976256 /nfs/dbraw/zinc/97/62/56/1072976256.db2.gz QZRTZFFHMYUYRD-HOTGVXAUSA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000609850517 1072976249 /nfs/dbraw/zinc/97/62/49/1072976249.db2.gz QZRTZFFHMYUYRD-HZPDHXFCSA-N 0 0 432.520 -0.361 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000609850518 1072976237 /nfs/dbraw/zinc/97/62/37/1072976237.db2.gz QZRTZFFHMYUYRD-JKSUJKDBSA-N 0 0 432.520 -0.361 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCc3ccc(S(N)(=O)=O)cc32)cc1 ZINC000609850936 1072976795 /nfs/dbraw/zinc/97/67/95/1072976795.db2.gz NPVUACIZKILATF-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCCS(C)(=O)=O)CC2)cc1 ZINC000609851532 1072976913 /nfs/dbraw/zinc/97/69/13/1072976913.db2.gz WIDCJEIPBMETNS-UHFFFAOYSA-N 0 0 440.565 -0.234 20 0 IBADRN COC(=O)Cc1nc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)n[nH]1 ZINC000609855068 1072976885 /nfs/dbraw/zinc/97/68/85/1072976885.db2.gz JKKGNUFIPQFLPK-UHFFFAOYSA-N 0 0 439.450 -0.198 20 0 IBADRN COC(=O)Cc1nc(NC(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)n[nH]1 ZINC000609879868 1072977498 /nfs/dbraw/zinc/97/74/98/1072977498.db2.gz KUWJZKXETQXSPI-JTQLQIEISA-N 0 0 439.450 -0.013 20 0 IBADRN COC(=O)Cc1nc(NC(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)n[nH]1 ZINC000609879917 1072977359 /nfs/dbraw/zinc/97/73/59/1072977359.db2.gz CWGKCHDPBBISPX-UHFFFAOYSA-N 0 0 436.494 -0.081 20 0 IBADRN Cc1noc(C)c1CSCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000609881091 1072977423 /nfs/dbraw/zinc/97/74/23/1072977423.db2.gz BUKJAQJVVKQTFO-HNNXBMFYSA-N 0 0 444.579 -0.028 20 0 IBADRN Cc1noc(C)c1CSCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000609881092 1072617298 /nfs/dbraw/zinc/61/72/98/1072617298.db2.gz BUKJAQJVVKQTFO-OAHLLOKOSA-N 0 0 444.579 -0.028 20 0 IBADRN O=C(CN1CCN(C(=O)CSC[C@@H]2CCCCO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000609881601 1072977483 /nfs/dbraw/zinc/97/74/83/1072977483.db2.gz ZLIYWKUYHUWMEU-CVEARBPZSA-N 0 0 433.596 -0.264 20 0 IBADRN O=C(CN1CCN(C(=O)CSC[C@@H]2CCCCO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000609881602 1072977330 /nfs/dbraw/zinc/97/73/30/1072977330.db2.gz ZLIYWKUYHUWMEU-HOTGVXAUSA-N 0 0 433.596 -0.264 20 0 IBADRN O=C(CN1CCN(C(=O)CSC[C@H]2CCCCO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000609881603 1072977465 /nfs/dbraw/zinc/97/74/65/1072977465.db2.gz ZLIYWKUYHUWMEU-HZPDHXFCSA-N 0 0 433.596 -0.264 20 0 IBADRN O=C(CN1CCN(C(=O)CSC[C@H]2CCCCO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000609881604 1072977388 /nfs/dbraw/zinc/97/73/88/1072977388.db2.gz ZLIYWKUYHUWMEU-JKSUJKDBSA-N 0 0 433.596 -0.264 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1cccc(C(=O)OC)c1 ZINC000609895926 1072623341 /nfs/dbraw/zinc/62/33/41/1072623341.db2.gz ZAPOGYPEPLBBEC-HNNXBMFYSA-N 0 0 432.520 -0.072 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1cccc(C(=O)OC)c1 ZINC000609895927 1072977988 /nfs/dbraw/zinc/97/79/88/1072977988.db2.gz ZAPOGYPEPLBBEC-OAHLLOKOSA-N 0 0 432.520 -0.072 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000609896208 1072623328 /nfs/dbraw/zinc/62/33/28/1072623328.db2.gz IHGRCFVQNYTEMI-UHFFFAOYSA-N 0 0 447.535 -0.502 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000609896317 1072978001 /nfs/dbraw/zinc/97/80/01/1072978001.db2.gz FNJKVCMHYZPGKI-UHFFFAOYSA-N 0 0 437.474 -0.404 20 0 IBADRN COC(=O)c1cc(Br)cc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000609897989 1072977828 /nfs/dbraw/zinc/97/78/28/1072977828.db2.gz BWIUIYLSLKQVTQ-UHFFFAOYSA-N 0 0 442.313 -0.255 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000609898110 1072977881 /nfs/dbraw/zinc/97/78/81/1072977881.db2.gz MAPFDZSMEWEMEY-UHFFFAOYSA-N 0 0 440.569 -0.773 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000609901323 1072978026 /nfs/dbraw/zinc/97/80/26/1072978026.db2.gz BIXDBOJEGFIOIX-UHFFFAOYSA-N 0 0 425.507 -0.221 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000609901386 1072978438 /nfs/dbraw/zinc/97/84/38/1072978438.db2.gz FYEIVFBIBWDTLV-UHFFFAOYSA-N 0 0 425.507 -0.221 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCc3ccc(S(N)(=O)=O)cc32)c1 ZINC000609905556 1072977814 /nfs/dbraw/zinc/97/78/14/1072977814.db2.gz BDOQBDZVLVOURA-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000609920653 1072978614 /nfs/dbraw/zinc/97/86/14/1072978614.db2.gz XQENVSFKJQXKMD-INIZCTEOSA-N 0 0 439.557 -0.018 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000609920654 1072978539 /nfs/dbraw/zinc/97/85/39/1072978539.db2.gz XQENVSFKJQXKMD-MRXNPFEDSA-N 0 0 439.557 -0.018 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC000609931009 1074356011 /nfs/dbraw/zinc/35/60/11/1074356011.db2.gz JFCQSLQWHFJDQU-UHFFFAOYSA-N 0 0 438.466 -0.531 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000609931892 1073324422 /nfs/dbraw/zinc/32/44/22/1073324422.db2.gz XZMBDHJUMSENSM-UHFFFAOYSA-N 0 0 440.482 -0.821 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)s1 ZINC000609932255 1074356172 /nfs/dbraw/zinc/35/61/72/1074356172.db2.gz QLGLJYBYTUZMMO-UHFFFAOYSA-N 0 0 448.527 -0.630 20 0 IBADRN COC(=O)CCc1nnc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000609932612 1072978552 /nfs/dbraw/zinc/97/85/52/1072978552.db2.gz UZLMIEKODPROHH-UHFFFAOYSA-N 0 0 426.499 -0.348 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccn(C)n3)CC2)c1 ZINC000609940202 1073330759 /nfs/dbraw/zinc/33/07/59/1073330759.db2.gz WNBFCVCIJSIEBP-UHFFFAOYSA-N 0 0 441.491 -0.260 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC)C2)cc1OC ZINC000609944156 1072979587 /nfs/dbraw/zinc/97/95/87/1072979587.db2.gz IIRCTAHMPGLPIQ-AWEZNQCLSA-N 0 0 429.451 -0.204 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC)C2)cc1OC ZINC000609944158 1072979561 /nfs/dbraw/zinc/97/95/61/1072979561.db2.gz IIRCTAHMPGLPIQ-CQSZACIVSA-N 0 0 429.451 -0.204 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(F)c1 ZINC000609947011 1072980081 /nfs/dbraw/zinc/98/00/81/1072980081.db2.gz SCYQRXWULJZEJU-UHFFFAOYSA-N 0 0 430.458 -0.756 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000609950988 1072626370 /nfs/dbraw/zinc/62/63/70/1072626370.db2.gz LVXNHMUWSOOUAE-UHFFFAOYSA-N 0 0 427.483 -0.829 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000609951100 1072978531 /nfs/dbraw/zinc/97/85/31/1072978531.db2.gz FBDNXKCLMIXJOJ-UHFFFAOYSA-N 0 0 433.509 -0.095 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000609951244 1072978562 /nfs/dbraw/zinc/97/85/62/1072978562.db2.gz RMPFBUBPLWIAKI-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000609951400 1072978428 /nfs/dbraw/zinc/97/84/28/1072978428.db2.gz LNXQTWSUGSHVAM-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN CCOC(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000609951458 1072978413 /nfs/dbraw/zinc/97/84/13/1072978413.db2.gz UOKDZFXJRKVULE-UHFFFAOYSA-N 0 0 431.442 -0.146 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(=O)OC ZINC000609951585 1072978597 /nfs/dbraw/zinc/97/85/97/1072978597.db2.gz MXFCHKAUSBEFIF-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCC(=O)OC)cc1S(=O)(=O)N1CCOCC1 ZINC000609951959 1072979096 /nfs/dbraw/zinc/97/90/96/1072979096.db2.gz OIDYXIRZTGGUMZ-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000609951964 1072979161 /nfs/dbraw/zinc/97/91/61/1072979161.db2.gz OMEFZPDHQRKXOM-UHFFFAOYSA-N 0 0 429.451 -0.666 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000609952004 1072979002 /nfs/dbraw/zinc/97/90/02/1072979002.db2.gz PDQGACTVLLZSBO-UHFFFAOYSA-N 0 0 440.478 -0.797 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000609952188 1072978590 /nfs/dbraw/zinc/97/85/90/1072978590.db2.gz HJSZNIJJYPDGPL-UHFFFAOYSA-N 0 0 441.510 -0.440 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000609952205 1072979017 /nfs/dbraw/zinc/97/90/17/1072979017.db2.gz ICOIPBWNNZIURS-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000609952220 1072979054 /nfs/dbraw/zinc/97/90/54/1072979054.db2.gz IJSUNGTZQPMLQV-UHFFFAOYSA-N 0 0 429.451 -0.666 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC(=O)OC)c2)CC1 ZINC000609952316 1072978447 /nfs/dbraw/zinc/97/84/47/1072978447.db2.gz NNRIXXOQTMANGC-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000609952340 1072978513 /nfs/dbraw/zinc/97/85/13/1072978513.db2.gz PCKVRFCFFZJBDH-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000609952441 1072978482 /nfs/dbraw/zinc/97/84/82/1072978482.db2.gz BOFJHYVSVYTUAG-UHFFFAOYSA-N 0 0 427.483 -0.829 20 0 IBADRN COC(=O)CCCNC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000609952703 1072978495 /nfs/dbraw/zinc/97/84/95/1072978495.db2.gz BQXZZZNTGSKEEB-UHFFFAOYSA-N 0 0 433.509 -0.095 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000609954400 1072979063 /nfs/dbraw/zinc/97/90/63/1072979063.db2.gz CXSJUTXQENBORZ-HNNXBMFYSA-N 0 0 433.509 -0.239 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000609954401 1072978974 /nfs/dbraw/zinc/97/89/74/1072978974.db2.gz CXSJUTXQENBORZ-OAHLLOKOSA-N 0 0 433.509 -0.239 20 0 IBADRN COC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000609954576 1072979142 /nfs/dbraw/zinc/97/91/42/1072979142.db2.gz VKCNMJBVYZOYSA-GFCCVEGCSA-N 0 0 427.483 -0.973 20 0 IBADRN COC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000609954577 1072978939 /nfs/dbraw/zinc/97/89/39/1072978939.db2.gz VKCNMJBVYZOYSA-LBPRGKRZSA-N 0 0 427.483 -0.973 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H](C)C(=O)OC)c2)CC1 ZINC000609954749 1072626309 /nfs/dbraw/zinc/62/63/09/1072626309.db2.gz CFSMUIXUNVJNEA-AWEZNQCLSA-N 0 0 440.522 -0.123 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H](C)C(=O)OC)c2)CC1 ZINC000609954750 1072626366 /nfs/dbraw/zinc/62/63/66/1072626366.db2.gz CFSMUIXUNVJNEA-CQSZACIVSA-N 0 0 440.522 -0.123 20 0 IBADRN O=C(NCCSCCCO)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000609954893 1072979151 /nfs/dbraw/zinc/97/91/51/1072979151.db2.gz YIQMPMOOBDFZAZ-UHFFFAOYSA-N 0 0 431.536 -0.122 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCC(=O)OC)cc1C ZINC000609955200 1072978963 /nfs/dbraw/zinc/97/89/63/1072978963.db2.gz LGTKUVNAYGTJHP-HNNXBMFYSA-N 0 0 434.449 -0.661 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCC(=O)OC)cc1C ZINC000609955201 1072979048 /nfs/dbraw/zinc/97/90/48/1072979048.db2.gz LGTKUVNAYGTJHP-OAHLLOKOSA-N 0 0 434.449 -0.661 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCCC(=O)OC)cc1 ZINC000609955273 1072979168 /nfs/dbraw/zinc/97/91/68/1072979168.db2.gz QPDJCWIIAKTUAB-HNNXBMFYSA-N 0 0 434.449 -0.580 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(OCCC(=O)OC)cc1 ZINC000609955274 1072979090 /nfs/dbraw/zinc/97/90/90/1072979090.db2.gz QPDJCWIIAKTUAB-OAHLLOKOSA-N 0 0 434.449 -0.580 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C(=O)OC)c(F)cc1F ZINC000609955300 1072979579 /nfs/dbraw/zinc/97/95/79/1072979579.db2.gz SOGWYDDPKQRONU-CYBMUJFWSA-N 0 0 426.376 -0.457 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C(=O)OC)c(F)cc1F ZINC000609955301 1072979336 /nfs/dbraw/zinc/97/93/36/1072979336.db2.gz SOGWYDDPKQRONU-ZDUSSCGKSA-N 0 0 426.376 -0.457 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCSCCCO)cn2)CC1 ZINC000609955336 1072979026 /nfs/dbraw/zinc/97/90/26/1072979026.db2.gz VGFZHHJPUZUZQD-UHFFFAOYSA-N 0 0 445.567 -0.667 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c1 ZINC000609955388 1072626996 /nfs/dbraw/zinc/62/69/96/1072626996.db2.gz ZQJGADPONQCYMS-HNNXBMFYSA-N 0 0 434.449 -0.580 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c1 ZINC000609955389 1072979133 /nfs/dbraw/zinc/97/91/33/1072979133.db2.gz ZQJGADPONQCYMS-OAHLLOKOSA-N 0 0 434.449 -0.580 20 0 IBADRN O=C(NCCSCCCO)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000609955839 1072979125 /nfs/dbraw/zinc/97/91/25/1072979125.db2.gz MANCJDFFYOPNMP-KRWDZBQOSA-N 0 0 437.518 -0.129 20 0 IBADRN O=C(NCCSCCCO)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000609955840 1072979080 /nfs/dbraw/zinc/97/90/80/1072979080.db2.gz MANCJDFFYOPNMP-QGZVFWFLSA-N 0 0 437.518 -0.129 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCSCCCO)cc2)CC1 ZINC000609955996 1072979378 /nfs/dbraw/zinc/97/93/78/1072979378.db2.gz PJYNSLMOBADVPR-UHFFFAOYSA-N 0 0 444.579 -0.207 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1ccc(C)c(S(N)(=O)=O)c1)C1CCOCC1 ZINC000609956488 1072979503 /nfs/dbraw/zinc/97/95/03/1072979503.db2.gz CZTMDKKQIDHUTO-AWEZNQCLSA-N 0 0 427.479 -0.087 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1ccc(C)c(S(N)(=O)=O)c1)C1CCOCC1 ZINC000609956494 1072979532 /nfs/dbraw/zinc/97/95/32/1072979532.db2.gz CZTMDKKQIDHUTO-CQSZACIVSA-N 0 0 427.479 -0.087 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1)C1CCOCC1 ZINC000609958067 1072979520 /nfs/dbraw/zinc/97/95/20/1072979520.db2.gz XTOBSMGBROLONB-AWEZNQCLSA-N 0 0 431.442 -0.256 20 0 IBADRN COC(=O)[C@H](CNC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1)C1CCOCC1 ZINC000609958075 1072626937 /nfs/dbraw/zinc/62/69/37/1072626937.db2.gz XTOBSMGBROLONB-CQSZACIVSA-N 0 0 431.442 -0.256 20 0 IBADRN O=C(Cn1cc(I)cn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000609963094 1072979415 /nfs/dbraw/zinc/97/94/15/1072979415.db2.gz PKNUEZUEEABGFB-GFCCVEGCSA-N 0 0 438.291 -0.181 20 0 IBADRN O=C(Cn1cc(I)cn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000609963095 1072979550 /nfs/dbraw/zinc/97/95/50/1072979550.db2.gz PKNUEZUEEABGFB-LBPRGKRZSA-N 0 0 438.291 -0.181 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000610004379 1072981202 /nfs/dbraw/zinc/98/12/02/1072981202.db2.gz GXSIEWNLTMSSRM-UHFFFAOYSA-N 0 0 432.525 -0.153 20 0 IBADRN O=C(CN1CCN(c2cc(N3CCOCC3)ncn2)CC1)NC(=O)NCC(F)(F)F ZINC000610004543 1072981121 /nfs/dbraw/zinc/98/11/21/1072981121.db2.gz RJOXJEDTXBKPNT-UHFFFAOYSA-N 0 0 431.419 -0.177 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCCS(=O)(=O)CC2)cc1)N1CCOCC1 ZINC000610005119 1072981098 /nfs/dbraw/zinc/98/10/98/1072981098.db2.gz CGSDOSMVOGGWRG-UHFFFAOYSA-N 0 0 438.549 -0.483 20 0 IBADRN CCCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000610005444 1072981089 /nfs/dbraw/zinc/98/10/89/1072981089.db2.gz VQMNVLXGATVLGO-UHFFFAOYSA-N 0 0 440.569 -0.262 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000610013371 1072981674 /nfs/dbraw/zinc/98/16/74/1072981674.db2.gz AADRIWYXEFSOQA-KRWDZBQOSA-N 0 0 437.566 -0.247 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000610013372 1072981872 /nfs/dbraw/zinc/98/18/72/1072981872.db2.gz AADRIWYXEFSOQA-QGZVFWFLSA-N 0 0 437.566 -0.247 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000610023460 1072982418 /nfs/dbraw/zinc/98/24/18/1072982418.db2.gz CISVJNGHYPNNLK-KRWDZBQOSA-N 0 0 431.584 -0.053 20 0 IBADRN CSC[C@](C)(O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000610023461 1072982275 /nfs/dbraw/zinc/98/22/75/1072982275.db2.gz CISVJNGHYPNNLK-QGZVFWFLSA-N 0 0 431.584 -0.053 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)cc1 ZINC000610028881 1072982935 /nfs/dbraw/zinc/98/29/35/1072982935.db2.gz JFAAEGCPBHABSF-UHFFFAOYSA-N 0 0 444.448 -0.436 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000610028890 1072982785 /nfs/dbraw/zinc/98/27/85/1072982785.db2.gz QCOMMQVXSFHUCW-UHFFFAOYSA-N 0 0 432.477 -0.072 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)c2ccccc2O1 ZINC000610029349 1072982899 /nfs/dbraw/zinc/98/28/99/1072982899.db2.gz ZYUONASLHXEOFR-INIZCTEOSA-N 0 0 429.433 -0.011 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)c2ccccc2O1 ZINC000610029350 1072982963 /nfs/dbraw/zinc/98/29/63/1072982963.db2.gz ZYUONASLHXEOFR-MRXNPFEDSA-N 0 0 429.433 -0.011 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000610029745 1072982838 /nfs/dbraw/zinc/98/28/38/1072982838.db2.gz XIMLXKGDUZOTPW-UHFFFAOYSA-N 0 0 440.522 -0.576 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000610032686 1072982731 /nfs/dbraw/zinc/98/27/31/1072982731.db2.gz WAAYJHCHIUJZJP-KRWDZBQOSA-N 0 0 431.536 -0.124 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000610032687 1072982873 /nfs/dbraw/zinc/98/28/73/1072982873.db2.gz WAAYJHCHIUJZJP-QGZVFWFLSA-N 0 0 431.536 -0.124 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000610033172 1072981738 /nfs/dbraw/zinc/98/17/38/1072981738.db2.gz FSPBLSVGUYTZAC-UHFFFAOYSA-N 0 0 432.477 -0.074 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000610033232 1072981641 /nfs/dbraw/zinc/98/16/41/1072981641.db2.gz JKRDXXXTGHUNHE-UHFFFAOYSA-N 0 0 426.495 -0.319 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000610033260 1072981665 /nfs/dbraw/zinc/98/16/65/1072981665.db2.gz LBKGDBFLKOYOCE-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)n1 ZINC000610034472 1072984533 /nfs/dbraw/zinc/98/45/33/1072984533.db2.gz YRTBSAXNQDCYBQ-KRWDZBQOSA-N 0 0 442.428 -0.459 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)n1 ZINC000610034473 1072984632 /nfs/dbraw/zinc/98/46/32/1072984632.db2.gz YRTBSAXNQDCYBQ-QGZVFWFLSA-N 0 0 442.428 -0.459 20 0 IBADRN CSC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610034982 1072982455 /nfs/dbraw/zinc/98/24/55/1072982455.db2.gz AKUDTOYKANDTOQ-KRWDZBQOSA-N 0 0 445.567 -0.668 20 0 IBADRN CSC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610034983 1072982340 /nfs/dbraw/zinc/98/23/40/1072982340.db2.gz AKUDTOYKANDTOQ-QGZVFWFLSA-N 0 0 445.567 -0.668 20 0 IBADRN CSC[C@H](C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000610060650 1072984111 /nfs/dbraw/zinc/98/41/11/1072984111.db2.gz IIMTVYOQBZAKKJ-APIJFGDWSA-N 0 0 446.595 -0.075 20 0 IBADRN CSC[C@H](C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000610060655 1072984144 /nfs/dbraw/zinc/98/41/44/1072984144.db2.gz IIMTVYOQBZAKKJ-BYNSBNAKSA-N 0 0 446.595 -0.075 20 0 IBADRN CSC[C@H](C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000610060656 1072983987 /nfs/dbraw/zinc/98/39/87/1072983987.db2.gz IIMTVYOQBZAKKJ-CBBWQLFWSA-N 0 0 446.595 -0.075 20 0 IBADRN CSC[C@H](C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000610060658 1072984106 /nfs/dbraw/zinc/98/41/06/1072984106.db2.gz IIMTVYOQBZAKKJ-KBUPBQIOSA-N 0 0 446.595 -0.075 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1OC ZINC000610081147 1072985084 /nfs/dbraw/zinc/98/50/84/1072985084.db2.gz HXWMELQKMZVKNP-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN COCCN(C(=O)CN1CC[S@@](=O)[C@@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000610081310 1072985166 /nfs/dbraw/zinc/98/51/66/1072985166.db2.gz QDRZVTNSDMNEIL-CAMPQQCRSA-N 0 0 429.543 -0.381 20 0 IBADRN COCCN(C(=O)CN1CC[S@@](=O)[C@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000610081311 1072985051 /nfs/dbraw/zinc/98/50/51/1072985051.db2.gz QDRZVTNSDMNEIL-JYCIKRDWSA-N 0 0 429.543 -0.381 20 0 IBADRN COCCN(C(=O)CN1CC[S@](=O)[C@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000610081312 1072985128 /nfs/dbraw/zinc/98/51/28/1072985128.db2.gz QDRZVTNSDMNEIL-MUWSIPGASA-N 0 0 429.543 -0.381 20 0 IBADRN COCCN(C(=O)CN1CC[S@](=O)[C@@H](C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000610081313 1072985124 /nfs/dbraw/zinc/98/51/24/1072985124.db2.gz QDRZVTNSDMNEIL-YBKRRLQSSA-N 0 0 429.543 -0.381 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1OC ZINC000610081920 1072985191 /nfs/dbraw/zinc/98/51/91/1072985191.db2.gz QKAVOOMCUPOLGQ-UHFFFAOYSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1OC ZINC000610082142 1072984994 /nfs/dbraw/zinc/98/49/94/1072984994.db2.gz JTBYCWPZUQZTKM-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000610082797 1072985630 /nfs/dbraw/zinc/98/56/30/1072985630.db2.gz IRCYZDWEXNYREC-LLVKDONJSA-N 0 0 434.492 -0.547 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000610082798 1072985599 /nfs/dbraw/zinc/98/55/99/1072985599.db2.gz IRCYZDWEXNYREC-NSHDSACASA-N 0 0 434.492 -0.547 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000610082973 1072985646 /nfs/dbraw/zinc/98/56/46/1072985646.db2.gz WSJOMWUXQPLGSN-LLVKDONJSA-N 0 0 434.492 -0.594 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000610082974 1072985607 /nfs/dbraw/zinc/98/56/07/1072985607.db2.gz WSJOMWUXQPLGSN-NSHDSACASA-N 0 0 434.492 -0.594 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1OC ZINC000610083259 1072824295 /nfs/dbraw/zinc/82/42/95/1072824295.db2.gz QYHSOQAJHXEDBM-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1OC ZINC000610083489 1072985512 /nfs/dbraw/zinc/98/55/12/1072985512.db2.gz IQHQCUXKGCLOLH-UHFFFAOYSA-N 0 0 439.490 -0.063 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)ccc1OC ZINC000610084331 1072985534 /nfs/dbraw/zinc/98/55/34/1072985534.db2.gz AOBABTBXVVAGJJ-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN COC(=O)CCCc1nnc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000610098044 1073323580 /nfs/dbraw/zinc/32/35/80/1073323580.db2.gz ZXMOZUOHSMRNEB-UHFFFAOYSA-N 0 0 434.544 -0.268 20 0 IBADRN CCOC(=O)CCc1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC000610102963 1072986049 /nfs/dbraw/zinc/98/60/49/1072986049.db2.gz ZZRDZVRSPBHGNG-INIZCTEOSA-N 0 0 432.477 -0.026 20 0 IBADRN CCOC(=O)CCc1ccc(NC(=O)C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC000610102965 1072986085 /nfs/dbraw/zinc/98/60/85/1072986085.db2.gz ZZRDZVRSPBHGNG-MRXNPFEDSA-N 0 0 432.477 -0.026 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000610103411 1072986045 /nfs/dbraw/zinc/98/60/45/1072986045.db2.gz OPKDHRQVIGSVQI-AWEZNQCLSA-N 0 0 441.462 -0.525 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000610103412 1072986034 /nfs/dbraw/zinc/98/60/34/1072986034.db2.gz OPKDHRQVIGSVQI-CQSZACIVSA-N 0 0 441.462 -0.525 20 0 IBADRN CC(=O)Nc1cccc(-n2nnnc2SCC(=O)NC(=O)N2CCC[C@@H]2C(N)=O)c1 ZINC000610107981 1072986002 /nfs/dbraw/zinc/98/60/02/1072986002.db2.gz AURGDPMVIFDBME-CYBMUJFWSA-N 0 0 432.466 -0.101 20 0 IBADRN CC(=O)Nc1cccc(-n2nnnc2SCC(=O)NC(=O)N2CCC[C@H]2C(N)=O)c1 ZINC000610107982 1072986026 /nfs/dbraw/zinc/98/60/26/1072986026.db2.gz AURGDPMVIFDBME-ZDUSSCGKSA-N 0 0 432.466 -0.101 20 0 IBADRN Cn1c2nnc(SCC(=O)NC(=O)N3CCC[C@@H]3C(N)=O)n2c2ccccc2c1=O ZINC000610108017 1072986052 /nfs/dbraw/zinc/98/60/52/1072986052.db2.gz CHRBRKSCSMEQKG-GFCCVEGCSA-N 0 0 429.462 -0.141 20 0 IBADRN Cn1c2nnc(SCC(=O)NC(=O)N3CCC[C@H]3C(N)=O)n2c2ccccc2c1=O ZINC000610108019 1072986108 /nfs/dbraw/zinc/98/61/08/1072986108.db2.gz CHRBRKSCSMEQKG-LBPRGKRZSA-N 0 0 429.462 -0.141 20 0 IBADRN COc1ccc(OC)c(-n2nnnc2SCC(=O)NC(=O)N2CCC[C@@H]2C(N)=O)c1 ZINC000610108241 1072986123 /nfs/dbraw/zinc/98/61/23/1072986123.db2.gz MHYMHJJYDMKEPF-LLVKDONJSA-N 0 0 435.466 -0.043 20 0 IBADRN COc1ccc(OC)c(-n2nnnc2SCC(=O)NC(=O)N2CCC[C@H]2C(N)=O)c1 ZINC000610108242 1072986114 /nfs/dbraw/zinc/98/61/14/1072986114.db2.gz MHYMHJJYDMKEPF-NSHDSACASA-N 0 0 435.466 -0.043 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NC(=O)N1CCC[C@@H]1C(N)=O ZINC000610108344 1072986032 /nfs/dbraw/zinc/98/60/32/1072986032.db2.gz UVSYCPHARWJOBI-GFCCVEGCSA-N 0 0 439.450 -0.969 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NC(=O)N1CCC[C@H]1C(N)=O ZINC000610108345 1072985993 /nfs/dbraw/zinc/98/59/93/1072985993.db2.gz UVSYCPHARWJOBI-LBPRGKRZSA-N 0 0 439.450 -0.969 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000610113313 1072986060 /nfs/dbraw/zinc/98/60/60/1072986060.db2.gz LTFYMPHWFYYAQK-UHFFFAOYSA-N 0 0 439.490 -0.663 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000610124345 1072986551 /nfs/dbraw/zinc/98/65/51/1072986551.db2.gz FWSUKQHEHLVVPK-CYBMUJFWSA-N 0 0 428.463 -0.242 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000610124346 1072986463 /nfs/dbraw/zinc/98/64/63/1072986463.db2.gz FWSUKQHEHLVVPK-ZDUSSCGKSA-N 0 0 428.463 -0.242 20 0 IBADRN Cc1c(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000610132111 1072986449 /nfs/dbraw/zinc/98/64/49/1072986449.db2.gz DSESMHLYJRBIBR-CYBMUJFWSA-N 0 0 444.535 -0.139 20 0 IBADRN Cc1c(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000610132112 1072986377 /nfs/dbraw/zinc/98/63/77/1072986377.db2.gz DSESMHLYJRBIBR-ZDUSSCGKSA-N 0 0 444.535 -0.139 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC000610133138 1072986427 /nfs/dbraw/zinc/98/64/27/1072986427.db2.gz FBMIWLGHLDXBKS-UHFFFAOYSA-N 0 0 441.554 -0.289 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)CCCS(C)(=O)=O)cc1 ZINC000610134079 1072986400 /nfs/dbraw/zinc/98/64/00/1072986400.db2.gz WTKBEUMLZOGEPH-UHFFFAOYSA-N 0 0 428.554 -0.535 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(S(=O)(=O)CCCS(C)(=O)=O)CC1 ZINC000610134599 1072986535 /nfs/dbraw/zinc/98/65/35/1072986535.db2.gz VDFBPKHFMKYRQH-UHFFFAOYSA-N 0 0 429.542 -0.638 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(S(=O)(=O)CCCS(C)(=O)=O)CC1 ZINC000610134604 1072986387 /nfs/dbraw/zinc/98/63/87/1072986387.db2.gz VRGSFAUKHCKULO-UHFFFAOYSA-N 0 0 443.569 -0.248 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)CCCS(C)(=O)=O)CC1 ZINC000610135703 1072986508 /nfs/dbraw/zinc/98/65/08/1072986508.db2.gz NDKKCCRJHFDHGC-UHFFFAOYSA-N 0 0 439.581 -0.519 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)CCCS(C)(=O)=O)c1 ZINC000610135718 1072986502 /nfs/dbraw/zinc/98/65/02/1072986502.db2.gz NQNHTLAVCAFAQF-LLVKDONJSA-N 0 0 441.553 -0.724 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)CCCS(C)(=O)=O)c1 ZINC000610135720 1072986369 /nfs/dbraw/zinc/98/63/69/1072986369.db2.gz NQNHTLAVCAFAQF-NSHDSACASA-N 0 0 441.553 -0.724 20 0 IBADRN CSC[C@H](CCO)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000610145206 1072860160 /nfs/dbraw/zinc/86/01/60/1072860160.db2.gz DZCXEJPLMPPYJJ-HNNXBMFYSA-N 0 0 431.584 -0.053 20 0 IBADRN CSC[C@@H](CCO)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000610145207 1072860107 /nfs/dbraw/zinc/86/01/07/1072860107.db2.gz DZCXEJPLMPPYJJ-OAHLLOKOSA-N 0 0 431.584 -0.053 20 0 IBADRN CCOC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCC1 ZINC000610146511 1072860067 /nfs/dbraw/zinc/86/00/67/1072860067.db2.gz ZRKLKIMAVPAGBQ-UHFFFAOYSA-N 0 0 431.559 -0.461 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000610149197 1072986406 /nfs/dbraw/zinc/98/64/06/1072986406.db2.gz SUPNFTYWDZGNFH-AWEZNQCLSA-N 0 0 425.463 -0.225 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)NC3CC3)c2)CCO1 ZINC000610149200 1072986457 /nfs/dbraw/zinc/98/64/57/1072986457.db2.gz SUPNFTYWDZGNFH-CQSZACIVSA-N 0 0 425.463 -0.225 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000610157422 1072987016 /nfs/dbraw/zinc/98/70/16/1072987016.db2.gz MNHPQBYONRNURY-UHFFFAOYSA-N 0 0 428.463 -0.111 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CSCC(=O)OC(C)(C)C)CC2)CC1 ZINC000610159753 1072987009 /nfs/dbraw/zinc/98/70/09/1072987009.db2.gz NRNPQXBZQKOVIR-UHFFFAOYSA-N 0 0 436.600 -0.302 20 0 IBADRN COC(=O)c1cc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(OC)c(OC)c1 ZINC000610193358 1072861579 /nfs/dbraw/zinc/86/15/79/1072861579.db2.gz KOWWPFRGTHVTCU-UHFFFAOYSA-N 0 0 431.405 -0.124 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)c1ccc(S(N)(=O)=O)cc1)CC2 ZINC000610195139 1072861499 /nfs/dbraw/zinc/86/14/99/1072861499.db2.gz XYKIPANOQGUIOK-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000610199663 1072861593 /nfs/dbraw/zinc/86/15/93/1072861593.db2.gz DPAAKJFHLSYKBJ-AWEZNQCLSA-N 0 0 433.556 -0.259 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000610199665 1072861844 /nfs/dbraw/zinc/86/18/44/1072861844.db2.gz DPAAKJFHLSYKBJ-CQSZACIVSA-N 0 0 433.556 -0.259 20 0 IBADRN O=C(CN1CCN(Cc2cccc(F)c2)C(=O)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000610216484 1070817648 /nfs/dbraw/zinc/81/76/48/1070817648.db2.gz DNURFXDMKDSLEZ-HNNXBMFYSA-N 0 0 426.470 -0.517 20 0 IBADRN O=C(CN1CCN(Cc2cccc(F)c2)C(=O)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000610216485 1070817430 /nfs/dbraw/zinc/81/74/30/1070817430.db2.gz DNURFXDMKDSLEZ-OAHLLOKOSA-N 0 0 426.470 -0.517 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CC(=O)NC(=O)NC3CC3)CC2)c1 ZINC000610230674 1070821148 /nfs/dbraw/zinc/82/11/48/1070821148.db2.gz QJGVSMNJQXXTBN-UHFFFAOYSA-N 0 0 430.508 -0.171 20 0 IBADRN COC(=O)CCNC(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC000610230891 1070821466 /nfs/dbraw/zinc/82/14/66/1070821466.db2.gz YIRWMLMNALOKLF-UHFFFAOYSA-N 0 0 433.508 -0.480 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccccn1 ZINC000610254970 1070850651 /nfs/dbraw/zinc/85/06/51/1070850651.db2.gz DMCQXTFTXIGGBU-KRWDZBQOSA-N 0 0 432.458 -0.154 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccccn1 ZINC000610254971 1070850910 /nfs/dbraw/zinc/85/09/10/1070850910.db2.gz DMCQXTFTXIGGBU-QGZVFWFLSA-N 0 0 432.458 -0.154 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000610257272 1070851767 /nfs/dbraw/zinc/85/17/67/1070851767.db2.gz NCQDRSJOIMFGJQ-AWEZNQCLSA-N 0 0 447.535 -0.318 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000610257273 1070851646 /nfs/dbraw/zinc/85/16/46/1070851646.db2.gz NCQDRSJOIMFGJQ-CQSZACIVSA-N 0 0 447.535 -0.318 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2CCN(CC(=O)NC)CC2)cc1 ZINC000610257277 1070851341 /nfs/dbraw/zinc/85/13/41/1070851341.db2.gz SXDFEDPAZDDEOR-UHFFFAOYSA-N 0 0 440.522 -0.532 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000610257322 1070851856 /nfs/dbraw/zinc/85/18/56/1070851856.db2.gz RCNLRYCYUUVORK-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000610257420 1072865469 /nfs/dbraw/zinc/86/54/69/1072865469.db2.gz HXKKLHIGHAWSLW-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)N2CCOCC2)cc1 ZINC000610257636 1070852399 /nfs/dbraw/zinc/85/23/99/1070852399.db2.gz GQDSZNJLXLAPQN-CYBMUJFWSA-N 0 0 427.479 -0.495 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)N2CCOCC2)cc1 ZINC000610257637 1072865760 /nfs/dbraw/zinc/86/57/60/1072865760.db2.gz GQDSZNJLXLAPQN-ZDUSSCGKSA-N 0 0 427.479 -0.495 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCCN2C(=O)CCC2=O)cc1 ZINC000610257762 1070852338 /nfs/dbraw/zinc/85/23/38/1070852338.db2.gz GDOUTKCEEHTTLJ-UHFFFAOYSA-N 0 0 425.463 -0.203 20 0 IBADRN COC(=O)c1cc(Cl)cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000610261647 1070858103 /nfs/dbraw/zinc/85/81/03/1070858103.db2.gz DWTYBZFKKUNIDQ-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccccn1 ZINC000610297445 1070893697 /nfs/dbraw/zinc/89/36/97/1070893697.db2.gz DWFAEFRXIVQAKO-KRWDZBQOSA-N 0 0 432.458 -0.154 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccccn1 ZINC000610297446 1070893447 /nfs/dbraw/zinc/89/34/47/1070893447.db2.gz DWFAEFRXIVQAKO-QGZVFWFLSA-N 0 0 432.458 -0.154 20 0 IBADRN COC(=O)CN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C1CCOCC1 ZINC000610310756 1070901510 /nfs/dbraw/zinc/90/15/10/1070901510.db2.gz ODQCTYXVVCVTAU-UHFFFAOYSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CCOCC1 ZINC000610311137 1070900773 /nfs/dbraw/zinc/90/07/73/1070900773.db2.gz FSDKLHJVCSWCOC-UHFFFAOYSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C1CCOCC1 ZINC000610311161 1070900798 /nfs/dbraw/zinc/90/07/98/1070900798.db2.gz HRHQXBYDQFTESM-UHFFFAOYSA-N 0 0 429.495 -0.160 20 0 IBADRN COC(=O)c1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C(=O)OC ZINC000610333659 1070906426 /nfs/dbraw/zinc/90/64/26/1070906426.db2.gz ZEHOSAIXLLBWGV-UHFFFAOYSA-N 0 0 442.494 -0.042 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCNS(C)(=O)=O)c(Br)cc1F ZINC000610372950 1070910545 /nfs/dbraw/zinc/91/05/45/1070910545.db2.gz HWTNOPQJTMRNDV-UHFFFAOYSA-N 0 0 440.247 -0.021 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)CC1 ZINC000610373643 1070910423 /nfs/dbraw/zinc/91/04/23/1070910423.db2.gz CJGCLQYTLWKHCL-UHFFFAOYSA-N 0 0 435.433 -0.048 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)c(OC)c(OC)c1 ZINC000610373708 1070910461 /nfs/dbraw/zinc/91/04/61/1070910461.db2.gz BKDLDOJOJAYNQR-UHFFFAOYSA-N 0 0 431.467 -0.173 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1C(=O)OC ZINC000610373794 1070910339 /nfs/dbraw/zinc/91/03/39/1070910339.db2.gz SRTYAVKNFKVCJU-UHFFFAOYSA-N 0 0 429.451 -0.404 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)cc1C(=O)OC ZINC000610374237 1070910434 /nfs/dbraw/zinc/91/04/34/1070910434.db2.gz QDWOWNFZDAXASP-UHFFFAOYSA-N 0 0 441.462 -0.262 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)c(OC)c(OC)c1 ZINC000610374276 1070910578 /nfs/dbraw/zinc/91/05/78/1070910578.db2.gz VTEXQLPCOUVVCW-UHFFFAOYSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)OC ZINC000610374504 1070911371 /nfs/dbraw/zinc/91/13/71/1070911371.db2.gz CDQYNELXVNZTAP-GFCCVEGCSA-N 0 0 441.462 -0.262 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1C(=O)OC ZINC000610374505 1070910935 /nfs/dbraw/zinc/91/09/35/1070910935.db2.gz CDQYNELXVNZTAP-LBPRGKRZSA-N 0 0 441.462 -0.262 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000610374554 1070910957 /nfs/dbraw/zinc/91/09/57/1070910957.db2.gz MPCVRIUFHHXTFY-GFCCVEGCSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000610374555 1070911356 /nfs/dbraw/zinc/91/13/56/1070911356.db2.gz MPCVRIUFHHXTFY-LBPRGKRZSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1C(=O)OC ZINC000610376863 1072872530 /nfs/dbraw/zinc/87/25/30/1072872530.db2.gz IJASUEUGKJQTAP-UHFFFAOYSA-N 0 0 441.462 -0.260 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c(OC)c(OC)c1 ZINC000610376917 1070911164 /nfs/dbraw/zinc/91/11/64/1070911164.db2.gz PTFRXWBAZKOOJR-UHFFFAOYSA-N 0 0 443.478 -0.029 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1C(=O)OC ZINC000610377670 1070912178 /nfs/dbraw/zinc/91/21/78/1070912178.db2.gz QJHSIQXYJNYCFF-UHFFFAOYSA-N 0 0 429.451 -0.358 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c(OC)c(OC)c1 ZINC000610377729 1070912153 /nfs/dbraw/zinc/91/21/53/1070912153.db2.gz WWKGAFDQZIPBEG-UHFFFAOYSA-N 0 0 431.467 -0.127 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c(Br)cc1F ZINC000610378792 1070912146 /nfs/dbraw/zinc/91/21/46/1070912146.db2.gz VKQSRFKNBZWTRU-UHFFFAOYSA-N 0 0 445.201 -0.019 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000610378966 1070912108 /nfs/dbraw/zinc/91/21/08/1070912108.db2.gz BVKCGSRYBMYLOM-UHFFFAOYSA-N 0 0 446.551 -0.217 20 0 IBADRN CCOC(=O)c1c(C)csc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000610378969 1070911681 /nfs/dbraw/zinc/91/16/81/1070911681.db2.gz CTMYTWOEKPDVAR-UHFFFAOYSA-N 0 0 446.551 -0.135 20 0 IBADRN CCOC(=O)c1ccsc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000610379020 1070911893 /nfs/dbraw/zinc/91/18/93/1070911893.db2.gz WCOBDRRZBPRIJN-UHFFFAOYSA-N 0 0 432.524 -0.443 20 0 IBADRN CCOC(=O)c1cc(C)sc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000610379025 1070911945 /nfs/dbraw/zinc/91/19/45/1070911945.db2.gz XVJCZMAQZLLCHQ-UHFFFAOYSA-N 0 0 446.551 -0.135 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCc2nnnn2C2CC2)cc1 ZINC000610383913 1070914282 /nfs/dbraw/zinc/91/42/82/1070914282.db2.gz AWORSQDMIQMSGG-LLVKDONJSA-N 0 0 429.437 -0.056 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCc2nnnn2C2CC2)cc1 ZINC000610383914 1070914329 /nfs/dbraw/zinc/91/43/29/1070914329.db2.gz AWORSQDMIQMSGG-NSHDSACASA-N 0 0 429.437 -0.056 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000610384406 1070914842 /nfs/dbraw/zinc/91/48/42/1070914842.db2.gz DLVWATPJDXMZOI-IFIJOSMWSA-N 0 0 441.444 -0.003 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000610384407 1070914966 /nfs/dbraw/zinc/91/49/66/1070914966.db2.gz DLVWATPJDXMZOI-JDFRZJQESA-N 0 0 441.444 -0.003 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000610384408 1070914740 /nfs/dbraw/zinc/91/47/40/1070914740.db2.gz DLVWATPJDXMZOI-MRRJBJDNSA-N 0 0 441.444 -0.003 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c2ccccc2O1 ZINC000610384409 1070914822 /nfs/dbraw/zinc/91/48/22/1070914822.db2.gz DLVWATPJDXMZOI-QEORTHHSSA-N 0 0 441.444 -0.003 20 0 IBADRN CCOC(=O)C1(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)Cc2ccccc2C1 ZINC000610414471 1070920142 /nfs/dbraw/zinc/92/01/42/1070920142.db2.gz ARPBZBLWKHAMKZ-UHFFFAOYSA-N 0 0 434.474 -0.391 20 0 IBADRN COC(=O)C[C@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(OC)cc1 ZINC000610421407 1070920945 /nfs/dbraw/zinc/92/09/45/1070920945.db2.gz WVWUREAXVBDOKE-AWEZNQCLSA-N 0 0 438.462 -0.179 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(OC)cc1 ZINC000610421408 1070921067 /nfs/dbraw/zinc/92/10/67/1070921067.db2.gz WVWUREAXVBDOKE-CQSZACIVSA-N 0 0 438.462 -0.179 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CC[S@@](=O)c2ccc3c(c2)OCCO3)CC1 ZINC000610421885 1072872485 /nfs/dbraw/zinc/87/24/85/1072872485.db2.gz NASDWFKKWJSIBR-HHHXNRCGSA-N 0 0 431.536 -0.094 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CC[S@](=O)c2ccc3c(c2)OCCO3)CC1 ZINC000610421886 1072872647 /nfs/dbraw/zinc/87/26/47/1072872647.db2.gz NASDWFKKWJSIBR-MHZLTWQESA-N 0 0 431.536 -0.094 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000610422238 1070920991 /nfs/dbraw/zinc/92/09/91/1070920991.db2.gz VQJQBVMCIDFABE-UHFFFAOYSA-N 0 0 435.524 -0.461 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(NC(=O)COC)CC2)cc1 ZINC000610422456 1070920932 /nfs/dbraw/zinc/92/09/32/1070920932.db2.gz DBKXPTWLEUBQOS-UHFFFAOYSA-N 0 0 441.506 -0.105 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CC[S@@](=O)c2ccc3c(c2)OCCO3)CC1 ZINC000610424156 1070921891 /nfs/dbraw/zinc/92/18/91/1070921891.db2.gz ZBPNGNOITIGLCS-MUUNZHRXSA-N 0 0 445.563 -0.351 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CC[S@](=O)c2ccc3c(c2)OCCO3)CC1 ZINC000610424157 1070921841 /nfs/dbraw/zinc/92/18/41/1070921841.db2.gz ZBPNGNOITIGLCS-NDEPHWFRSA-N 0 0 445.563 -0.351 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000610425661 1070921798 /nfs/dbraw/zinc/92/17/98/1070921798.db2.gz VFALSXSNWYAMLP-UHFFFAOYSA-N 0 0 447.535 -0.317 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000610427283 1070921727 /nfs/dbraw/zinc/92/17/27/1070921727.db2.gz RNLUQTGZWXUEOB-UHFFFAOYSA-N 0 0 435.524 -0.414 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000610427562 1070922726 /nfs/dbraw/zinc/92/27/26/1070922726.db2.gz OSQKVZKGJYRNGR-CYBMUJFWSA-N 0 0 433.508 -0.579 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000610427563 1070922709 /nfs/dbraw/zinc/92/27/09/1070922709.db2.gz OSQKVZKGJYRNGR-ZDUSSCGKSA-N 0 0 433.508 -0.579 20 0 IBADRN CCOC(=O)[C@]1(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CCc2ccccc21 ZINC000610427830 1070922531 /nfs/dbraw/zinc/92/25/31/1070922531.db2.gz FTEAJHBDZGXNDI-IBGZPJMESA-N 0 0 434.474 -0.087 20 0 IBADRN CCOC(=O)[C@@]1(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CCc2ccccc21 ZINC000610427831 1072872596 /nfs/dbraw/zinc/87/25/96/1072872596.db2.gz FTEAJHBDZGXNDI-LJQANCHMSA-N 0 0 434.474 -0.087 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)C1=O ZINC000610435471 1070926523 /nfs/dbraw/zinc/92/65/23/1070926523.db2.gz BAQJHNTVNYHIBX-KBPBESRZSA-N 0 0 436.490 -0.117 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)C1=O ZINC000610435472 1070926333 /nfs/dbraw/zinc/92/63/33/1070926333.db2.gz BAQJHNTVNYHIBX-KGLIPLIRSA-N 0 0 436.490 -0.117 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)C1=O ZINC000610435473 1070926347 /nfs/dbraw/zinc/92/63/47/1070926347.db2.gz BAQJHNTVNYHIBX-UONOGXRCSA-N 0 0 436.490 -0.117 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)C1=O ZINC000610435474 1070926417 /nfs/dbraw/zinc/92/64/17/1070926417.db2.gz BAQJHNTVNYHIBX-ZIAGYGMSSA-N 0 0 436.490 -0.117 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000610438330 1070928575 /nfs/dbraw/zinc/92/85/75/1070928575.db2.gz GIORXYVEQKOVBB-HNNXBMFYSA-N 0 0 442.538 -0.245 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000610438331 1070928553 /nfs/dbraw/zinc/92/85/53/1070928553.db2.gz GIORXYVEQKOVBB-OAHLLOKOSA-N 0 0 442.538 -0.245 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CCO1 ZINC000610445445 1070935440 /nfs/dbraw/zinc/93/54/40/1070935440.db2.gz YEZHVTUPQLNSSD-AWEZNQCLSA-N 0 0 441.462 -0.189 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CCO1 ZINC000610445446 1070935403 /nfs/dbraw/zinc/93/54/03/1070935403.db2.gz YEZHVTUPQLNSSD-CQSZACIVSA-N 0 0 441.462 -0.189 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CCO1 ZINC000610448729 1070938250 /nfs/dbraw/zinc/93/82/50/1070938250.db2.gz JHYAQHROISGDKD-CVEARBPZSA-N 0 0 431.555 -0.640 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CCO1 ZINC000610448735 1070937938 /nfs/dbraw/zinc/93/79/38/1070937938.db2.gz JHYAQHROISGDKD-HOTGVXAUSA-N 0 0 431.555 -0.640 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CCO1 ZINC000610448736 1070938205 /nfs/dbraw/zinc/93/82/05/1070938205.db2.gz JHYAQHROISGDKD-HZPDHXFCSA-N 0 0 431.555 -0.640 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CCO1 ZINC000610448737 1070938056 /nfs/dbraw/zinc/93/80/56/1070938056.db2.gz JHYAQHROISGDKD-JKSUJKDBSA-N 0 0 431.555 -0.640 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000610462624 1070949029 /nfs/dbraw/zinc/94/90/29/1070949029.db2.gz HIINFQOEBGZCAO-GOSISDBHSA-N 0 0 446.504 -0.220 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000610462625 1070948907 /nfs/dbraw/zinc/94/89/07/1070948907.db2.gz HIINFQOEBGZCAO-SFHVURJKSA-N 0 0 446.504 -0.220 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000610462771 1070948944 /nfs/dbraw/zinc/94/89/44/1070948944.db2.gz YLOOVMAMSMXEQF-KRWDZBQOSA-N 0 0 432.477 -0.149 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000610462772 1070949054 /nfs/dbraw/zinc/94/90/54/1070949054.db2.gz YLOOVMAMSMXEQF-QGZVFWFLSA-N 0 0 432.477 -0.149 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000610462870 1070948327 /nfs/dbraw/zinc/94/83/27/1070948327.db2.gz AIIPTGHYQJJPRB-UHFFFAOYSA-N 0 0 444.444 -0.460 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1C ZINC000610462999 1070948194 /nfs/dbraw/zinc/94/81/94/1070948194.db2.gz DASQZHYWXPQCHL-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCO[C@@H](C(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000610463447 1070948341 /nfs/dbraw/zinc/94/83/41/1070948341.db2.gz AEGVAGDJAQQVIA-CYBMUJFWSA-N 0 0 447.448 -0.860 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCO[C@H](C(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000610463448 1070948184 /nfs/dbraw/zinc/94/81/84/1070948184.db2.gz AEGVAGDJAQQVIA-ZDUSSCGKSA-N 0 0 447.448 -0.860 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CCO1 ZINC000610464061 1070949514 /nfs/dbraw/zinc/94/95/14/1070949514.db2.gz MFJHWJUZMJWEAW-AWEZNQCLSA-N 0 0 434.449 -0.591 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CCO1 ZINC000610464062 1070949586 /nfs/dbraw/zinc/94/95/86/1070949586.db2.gz MFJHWJUZMJWEAW-CQSZACIVSA-N 0 0 434.449 -0.591 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CCO1 ZINC000610464163 1070949760 /nfs/dbraw/zinc/94/97/60/1070949760.db2.gz YAVYTDAOKBCMIY-AWEZNQCLSA-N 0 0 433.465 -0.475 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CCO1 ZINC000610464164 1070949747 /nfs/dbraw/zinc/94/97/47/1070949747.db2.gz YAVYTDAOKBCMIY-CQSZACIVSA-N 0 0 433.465 -0.475 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CCO1 ZINC000610464557 1070949753 /nfs/dbraw/zinc/94/97/53/1070949753.db2.gz VAGAJYNEUUBPCV-CYBMUJFWSA-N 0 0 431.442 -0.019 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CCO1 ZINC000610464558 1070949657 /nfs/dbraw/zinc/94/96/57/1070949657.db2.gz VAGAJYNEUUBPCV-ZDUSSCGKSA-N 0 0 431.442 -0.019 20 0 IBADRN COC(=O)c1cc(C)c(C)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000610469844 1070956046 /nfs/dbraw/zinc/95/60/46/1070956046.db2.gz FTTUVYHKHNIOQB-UHFFFAOYSA-N 0 0 433.552 -0.054 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCO[C@@H](C(=O)OC(C)(C)C)C3)cnc21 ZINC000610470974 1070955920 /nfs/dbraw/zinc/95/59/20/1070955920.db2.gz WBHNAEJJECRKAL-GFCCVEGCSA-N 0 0 426.451 -0.235 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCO[C@H](C(=O)OC(C)(C)C)C3)cnc21 ZINC000610470975 1070955803 /nfs/dbraw/zinc/95/58/03/1070955803.db2.gz WBHNAEJJECRKAL-LBPRGKRZSA-N 0 0 426.451 -0.235 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cc(C(=O)OC)nn3)CC2)cc1 ZINC000610472072 1070956891 /nfs/dbraw/zinc/95/68/91/1070956891.db2.gz VRIQMNYMVVKGAT-UHFFFAOYSA-N 0 0 437.478 -0.004 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3cccc(C(C)=O)c3)CC2)nn1 ZINC000610472164 1070956817 /nfs/dbraw/zinc/95/68/17/1070956817.db2.gz TYIQIMZYRWGEDK-UHFFFAOYSA-N 0 0 435.462 -0.200 20 0 IBADRN COC(=O)c1cn(CC(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)nn1 ZINC000610472800 1070957633 /nfs/dbraw/zinc/95/76/33/1070957633.db2.gz JDXXFVOYELWHRR-UHFFFAOYSA-N 0 0 429.481 -0.086 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)c2ccccc2O1 ZINC000610480004 1070962060 /nfs/dbraw/zinc/96/20/60/1070962060.db2.gz BHSVZSSVHMVBTF-PBHICJAKSA-N 0 0 431.445 -0.150 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)c2ccccc2O1 ZINC000610480005 1070962046 /nfs/dbraw/zinc/96/20/46/1070962046.db2.gz BHSVZSSVHMVBTF-RHSMWYFYSA-N 0 0 431.445 -0.150 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)c2ccccc2O1 ZINC000610480006 1070961761 /nfs/dbraw/zinc/96/17/61/1070961761.db2.gz BHSVZSSVHMVBTF-WMLDXEAASA-N 0 0 431.445 -0.150 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)c2ccccc2O1 ZINC000610480007 1070961810 /nfs/dbraw/zinc/96/18/10/1070961810.db2.gz BHSVZSSVHMVBTF-YOEHRIQHSA-N 0 0 431.445 -0.150 20 0 IBADRN NC(=O)NC(=O)CNc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000610509387 1070982020 /nfs/dbraw/zinc/98/20/20/1070982020.db2.gz GDLLZZPJMPBUAD-UHFFFAOYSA-N 0 0 427.483 -0.849 20 0 IBADRN CNC(=O)NC(=O)CNc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000610509392 1070982251 /nfs/dbraw/zinc/98/22/51/1070982251.db2.gz KMEMDENFRACJKG-UHFFFAOYSA-N 0 0 441.510 -0.588 20 0 IBADRN CC(C)(CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000610511272 1070984717 /nfs/dbraw/zinc/98/47/17/1070984717.db2.gz YTIOMVHGQOAQLV-UHFFFAOYSA-N 0 0 440.565 -0.191 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000610511435 1070984627 /nfs/dbraw/zinc/98/46/27/1070984627.db2.gz FRSSCBXZTAVMMK-UHFFFAOYSA-N 0 0 441.553 -0.601 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)s1 ZINC000610511511 1070985239 /nfs/dbraw/zinc/98/52/39/1070985239.db2.gz HBVXPXKVOPLHAP-UHFFFAOYSA-N 0 0 427.526 -0.344 20 0 IBADRN COC(=O)CC1(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CCOCC1 ZINC000610527094 1070990743 /nfs/dbraw/zinc/99/07/43/1070990743.db2.gz XWKIAJTUYNLQLY-UHFFFAOYSA-N 0 0 429.495 -0.112 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Cl)c(C(=O)OC)c2)CC1 ZINC000610532121 1070992906 /nfs/dbraw/zinc/99/29/06/1070992906.db2.gz ZURTZDFWGNFTML-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1Cl ZINC000610533165 1070992667 /nfs/dbraw/zinc/99/26/67/1070992667.db2.gz KXYNIFZTJLVTRL-UHFFFAOYSA-N 0 0 435.828 -0.577 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1Cl ZINC000610533271 1070992794 /nfs/dbraw/zinc/99/27/94/1070992794.db2.gz SIBWXUHEDNPNKJ-UHFFFAOYSA-N 0 0 449.855 -0.187 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000610536160 1070994218 /nfs/dbraw/zinc/99/42/18/1070994218.db2.gz GKVQMZJUSFWXCT-UHFFFAOYSA-N 0 0 446.504 -0.071 20 0 IBADRN CSC[C@H](CCO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610536244 1070995068 /nfs/dbraw/zinc/99/50/68/1070995068.db2.gz FNWPEARCFMYQOQ-AWEZNQCLSA-N 0 0 445.567 -0.668 20 0 IBADRN CSC[C@@H](CCO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610536245 1070995158 /nfs/dbraw/zinc/99/51/58/1070995158.db2.gz FNWPEARCFMYQOQ-CQSZACIVSA-N 0 0 445.567 -0.668 20 0 IBADRN CS[C@H](CO)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610536650 1070996488 /nfs/dbraw/zinc/99/64/88/1070996488.db2.gz QNRGZAOQQGDILL-GXTWGEPZSA-N 0 0 445.567 -0.670 20 0 IBADRN CS[C@@H](CO)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610536651 1070996478 /nfs/dbraw/zinc/99/64/78/1070996478.db2.gz QNRGZAOQQGDILL-JSGCOSHPSA-N 0 0 445.567 -0.670 20 0 IBADRN CS[C@@H](CO)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610536652 1070996534 /nfs/dbraw/zinc/99/65/34/1070996534.db2.gz QNRGZAOQQGDILL-OCCSQVGLSA-N 0 0 445.567 -0.670 20 0 IBADRN CS[C@H](CO)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610536653 1070996504 /nfs/dbraw/zinc/99/65/04/1070996504.db2.gz QNRGZAOQQGDILL-TZMCWYRMSA-N 0 0 445.567 -0.670 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Cl ZINC000610536741 1070995721 /nfs/dbraw/zinc/99/57/21/1070995721.db2.gz AACNJHBRVYVJPV-UHFFFAOYSA-N 0 0 432.886 -0.502 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Cl ZINC000610536770 1070996511 /nfs/dbraw/zinc/99/65/11/1070996511.db2.gz BAALAUAOWWZVFI-UHFFFAOYSA-N 0 0 446.913 -0.112 20 0 IBADRN CS(=O)(=O)c1ccc2c(c1)N(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CCC2 ZINC000610542778 1072873879 /nfs/dbraw/zinc/87/38/79/1072873879.db2.gz VBSJHWRILAJOBA-CYBMUJFWSA-N 0 0 429.520 -0.144 20 0 IBADRN CS(=O)(=O)c1ccc2c(c1)N(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CCC2 ZINC000610542779 1072873812 /nfs/dbraw/zinc/87/38/12/1072873812.db2.gz VBSJHWRILAJOBA-ZDUSSCGKSA-N 0 0 429.520 -0.144 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C[C@H](O)CN3CCOCC3)CC2)c1 ZINC000610557029 1071007320 /nfs/dbraw/zinc/00/73/20/1071007320.db2.gz PNGZXZYXVPKKEO-HNNXBMFYSA-N 0 0 433.552 -0.466 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C[C@@H](O)CN3CCOCC3)CC2)c1 ZINC000610557030 1071007360 /nfs/dbraw/zinc/00/73/60/1071007360.db2.gz PNGZXZYXVPKKEO-OAHLLOKOSA-N 0 0 433.552 -0.466 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(N)(=O)=O)c(Br)cc1F ZINC000610567640 1071009080 /nfs/dbraw/zinc/00/90/80/1071009080.db2.gz BTZIEEAAVOROHY-UHFFFAOYSA-N 0 0 426.220 -0.282 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000610589758 1071018978 /nfs/dbraw/zinc/01/89/78/1071018978.db2.gz CZPMZKWLKLSOPG-UHFFFAOYSA-N 0 0 434.492 -0.084 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000610589762 1071019304 /nfs/dbraw/zinc/01/93/04/1071019304.db2.gz DKGDOAQUBXWWAG-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000610589825 1071018960 /nfs/dbraw/zinc/01/89/60/1071018960.db2.gz QMDUEXIODJAQDF-UHFFFAOYSA-N 0 0 437.565 -0.118 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000610589826 1071018940 /nfs/dbraw/zinc/01/89/40/1071018940.db2.gz QSGYQCUBNFPQIP-UHFFFAOYSA-N 0 0 434.492 -0.084 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC000610589830 1071019268 /nfs/dbraw/zinc/01/92/68/1071019268.db2.gz SMESMCFOVIUWQK-UHFFFAOYSA-N 0 0 429.517 -0.147 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000610589832 1071019250 /nfs/dbraw/zinc/01/92/50/1071019250.db2.gz TWOUAWQYSGBYJQ-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CCN2CCCS2(=O)=O)c(C(=O)OC)s1 ZINC000610589840 1071019098 /nfs/dbraw/zinc/01/90/98/1071019098.db2.gz VMDPNYKKPUTOEY-UHFFFAOYSA-N 0 0 440.521 -0.023 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000610589842 1071019038 /nfs/dbraw/zinc/01/90/38/1071019038.db2.gz VZEDJMAOFJFHSJ-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000610589851 1072874950 /nfs/dbraw/zinc/87/49/50/1072874950.db2.gz WJIQSXFVAJRWAY-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3cc(C(=O)OC)ccc3C(=O)OC)CCN2C1=O ZINC000610590996 1071019154 /nfs/dbraw/zinc/01/91/54/1071019154.db2.gz ABFLAXBYMVFWGO-CYBMUJFWSA-N 0 0 439.446 -0.083 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3cc(C(=O)OC)ccc3C(=O)OC)CCN2C1=O ZINC000610590997 1071019323 /nfs/dbraw/zinc/01/93/23/1071019323.db2.gz ABFLAXBYMVFWGO-ZDUSSCGKSA-N 0 0 439.446 -0.083 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3cc(C(=O)OC)sc3C(=O)OC)CCN2C1=O ZINC000610591172 1071019018 /nfs/dbraw/zinc/01/90/18/1071019018.db2.gz IZANYALVNOWICM-SECBINFHSA-N 0 0 445.475 -0.022 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3cc(C(=O)OC)sc3C(=O)OC)CCN2C1=O ZINC000610591173 1071019231 /nfs/dbraw/zinc/01/92/31/1071019231.db2.gz IZANYALVNOWICM-VIFPVBQESA-N 0 0 445.475 -0.022 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3cc(C(=O)OC)cc(C(=O)OC)c3)CCN2C1=O ZINC000610591198 1071019055 /nfs/dbraw/zinc/01/90/55/1071019055.db2.gz KZMWWOFFEWBQBU-AWEZNQCLSA-N 0 0 439.446 -0.083 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3cc(C(=O)OC)cc(C(=O)OC)c3)CCN2C1=O ZINC000610591199 1071019212 /nfs/dbraw/zinc/01/92/12/1071019212.db2.gz KZMWWOFFEWBQBU-CQSZACIVSA-N 0 0 439.446 -0.083 20 0 IBADRN COC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCCC1 ZINC000610595745 1071020462 /nfs/dbraw/zinc/02/04/62/1071020462.db2.gz RCAXUTQXZZYJPR-UHFFFAOYSA-N 0 0 431.559 -0.461 20 0 IBADRN COC(=O)Cc1ccccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000610605968 1071021136 /nfs/dbraw/zinc/02/11/36/1071021136.db2.gz MVOZPUBRSKCLDO-UHFFFAOYSA-N 0 0 426.495 -0.966 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)c1 ZINC000610606456 1071021037 /nfs/dbraw/zinc/02/10/37/1071021037.db2.gz BFFXFPICRPSRHT-BBWFWOEESA-N 0 0 433.461 -0.253 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)c1 ZINC000610606457 1071021115 /nfs/dbraw/zinc/02/11/15/1071021115.db2.gz BFFXFPICRPSRHT-IXDOHACOSA-N 0 0 433.461 -0.253 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)cc1F ZINC000610606505 1071021374 /nfs/dbraw/zinc/02/13/74/1071021374.db2.gz GBOJDSKXTUZCBV-RTXFEEFZSA-N 0 0 425.413 -0.600 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)cc1F ZINC000610606509 1071020995 /nfs/dbraw/zinc/02/09/95/1071020995.db2.gz GBOJDSKXTUZCBV-WCFLWFBJSA-N 0 0 425.413 -0.600 20 0 IBADRN CCOC(=O)C1CCN(C(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)cc2)CC1 ZINC000610606652 1071021478 /nfs/dbraw/zinc/02/14/78/1071021478.db2.gz OEOLYTICRZMULH-HDICACEKSA-N 0 0 447.488 -0.006 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c(C)c1 ZINC000610606760 1071021680 /nfs/dbraw/zinc/02/16/80/1071021680.db2.gz VAMWYXYPHYWKEK-UHFFFAOYSA-N 0 0 427.479 -0.021 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c2ccccc2O1 ZINC000610607167 1071021235 /nfs/dbraw/zinc/02/12/35/1071021235.db2.gz AUNRLIXRMWCVKJ-HNNXBMFYSA-N 0 0 425.463 -0.553 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c2ccccc2O1 ZINC000610607168 1071021214 /nfs/dbraw/zinc/02/12/14/1071021214.db2.gz AUNRLIXRMWCVKJ-OAHLLOKOSA-N 0 0 425.463 -0.553 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000610607199 1071021354 /nfs/dbraw/zinc/02/13/54/1071021354.db2.gz CJICPHGBALIDRN-UHFFFAOYSA-N 0 0 440.478 -0.978 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1C(=O)OC ZINC000610607715 1071021699 /nfs/dbraw/zinc/02/16/99/1071021699.db2.gz YQJWGVXDQYHGQJ-UHFFFAOYSA-N 0 0 441.462 -0.308 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c(OC)c(OC)c1 ZINC000610607756 1071021523 /nfs/dbraw/zinc/02/15/23/1071021523.db2.gz ZTFSGIJSMZILGI-UHFFFAOYSA-N 0 0 443.478 -0.077 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)c2ccccc2O1 ZINC000610608436 1071021094 /nfs/dbraw/zinc/02/10/94/1071021094.db2.gz ZLKGQYIZGFRGAN-HCQWSFJTSA-N 0 0 444.444 -0.210 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)c2ccccc2O1 ZINC000610608437 1071021055 /nfs/dbraw/zinc/02/10/55/1071021055.db2.gz ZLKGQYIZGFRGAN-PYDTXJQDSA-N 0 0 444.444 -0.210 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)c2ccccc2O1 ZINC000610608438 1071021075 /nfs/dbraw/zinc/02/10/75/1071021075.db2.gz ZLKGQYIZGFRGAN-QOKQMOMRSA-N 0 0 444.444 -0.210 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)c2ccccc2O1 ZINC000610608439 1071021159 /nfs/dbraw/zinc/02/11/59/1071021159.db2.gz ZLKGQYIZGFRGAN-RKFVTTHHSA-N 0 0 444.444 -0.210 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CCO1 ZINC000610608983 1071021438 /nfs/dbraw/zinc/02/14/38/1071021438.db2.gz VPMRLGJRJLPICV-HNNXBMFYSA-N 0 0 432.477 -0.197 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CCO1 ZINC000610608984 1071021544 /nfs/dbraw/zinc/02/15/44/1071021544.db2.gz VPMRLGJRJLPICV-OAHLLOKOSA-N 0 0 432.477 -0.197 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)OC)cc1)CC2 ZINC000610609141 1071021908 /nfs/dbraw/zinc/02/19/08/1071021908.db2.gz RDGDSMDYEKJTGG-UHFFFAOYSA-N 0 0 441.444 -0.311 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610609278 1071021596 /nfs/dbraw/zinc/02/15/96/1071021596.db2.gz UFXXMHGJOXLCTC-CYBMUJFWSA-N 0 0 441.510 -0.583 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610609279 1071021762 /nfs/dbraw/zinc/02/17/62/1071021762.db2.gz UFXXMHGJOXLCTC-ZDUSSCGKSA-N 0 0 441.510 -0.583 20 0 IBADRN CCOC(=O)c1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)o1 ZINC000610609518 1071021890 /nfs/dbraw/zinc/02/18/90/1071021890.db2.gz NKMUCWPMBXOESK-UHFFFAOYSA-N 0 0 429.389 -0.343 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2C[C@H](O)C[C@H](O)C2)c1C(=O)OC ZINC000610614643 1071021577 /nfs/dbraw/zinc/02/15/77/1071021577.db2.gz IDFUSSXEGYGOSN-AOOOYVTPSA-N 0 0 444.462 -0.597 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000610622992 1072875125 /nfs/dbraw/zinc/87/51/25/1072875125.db2.gz LGQMQQITVURJTM-UHFFFAOYSA-N 0 0 436.581 -0.140 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000610632176 1071022275 /nfs/dbraw/zinc/02/22/75/1071022275.db2.gz OJTUEXZEUKJEOB-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000610633236 1071021976 /nfs/dbraw/zinc/02/19/76/1071021976.db2.gz PWCVHQBMQOSJBF-HNNXBMFYSA-N 0 0 441.510 -0.041 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000610633237 1071022036 /nfs/dbraw/zinc/02/20/36/1071022036.db2.gz PWCVHQBMQOSJBF-OAHLLOKOSA-N 0 0 441.510 -0.041 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccc([S@@](C)=O)cc3)CC2)CC1 ZINC000610646815 1071022616 /nfs/dbraw/zinc/02/26/16/1071022616.db2.gz VNJRFNWYWBIRIT-MUUNZHRXSA-N 0 0 443.595 -0.257 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccc([S@](C)=O)cc3)CC2)CC1 ZINC000610646816 1071022634 /nfs/dbraw/zinc/02/26/34/1071022634.db2.gz VNJRFNWYWBIRIT-NDEPHWFRSA-N 0 0 443.595 -0.257 20 0 IBADRN C[S@@](=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000610647136 1071022595 /nfs/dbraw/zinc/02/25/95/1071022595.db2.gz UNZREDKKUMCFLK-HHHXNRCGSA-N 0 0 430.552 -0.172 20 0 IBADRN C[S@](=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000610647137 1071022715 /nfs/dbraw/zinc/02/27/15/1071022715.db2.gz UNZREDKKUMCFLK-MHZLTWQESA-N 0 0 430.552 -0.172 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)CC3)CC2)cc1 ZINC000610652460 1071023197 /nfs/dbraw/zinc/02/31/97/1071023197.db2.gz RIXSRJWHGXMBEN-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ccc(OC)cc1 ZINC000610657583 1071023909 /nfs/dbraw/zinc/02/39/09/1071023909.db2.gz OKCPOEZATFRXKM-HNNXBMFYSA-N 0 0 435.524 -0.289 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ccc(OC)cc1 ZINC000610657584 1071023896 /nfs/dbraw/zinc/02/38/96/1071023896.db2.gz OKCPOEZATFRXKM-OAHLLOKOSA-N 0 0 435.524 -0.289 20 0 IBADRN CCOC(=O)[C@]1(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CCc2ccccc21 ZINC000610657843 1071025119 /nfs/dbraw/zinc/02/51/19/1071025119.db2.gz HYNOSUALLOFGBK-KRWDZBQOSA-N 0 0 431.536 -0.197 20 0 IBADRN CCOC(=O)[C@@]1(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CCc2ccccc21 ZINC000610657844 1071025065 /nfs/dbraw/zinc/02/50/65/1071025065.db2.gz HYNOSUALLOFGBK-QGZVFWFLSA-N 0 0 431.536 -0.197 20 0 IBADRN CCOC(=O)c1sc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)nc1C ZINC000610657964 1072875078 /nfs/dbraw/zinc/87/50/78/1072875078.db2.gz IOXQBBWJPQOTAF-UHFFFAOYSA-N 0 0 426.542 -0.460 20 0 IBADRN COC(=O)c1cc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(C(=O)OC)c1 ZINC000610662237 1071024824 /nfs/dbraw/zinc/02/48/24/1071024824.db2.gz DEMKSDSOUMKYEM-GFCCVEGCSA-N 0 0 427.435 -0.315 20 0 IBADRN COC(=O)c1cc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc(C(=O)OC)c1 ZINC000610662238 1071024781 /nfs/dbraw/zinc/02/47/81/1071024781.db2.gz DEMKSDSOUMKYEM-LBPRGKRZSA-N 0 0 427.435 -0.315 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2C[C@H](O)C[C@H](O)C2)CC1 ZINC000610669011 1071026469 /nfs/dbraw/zinc/02/64/69/1071026469.db2.gz ZLPPLUMAPKSEFP-GASCZTMLSA-N 0 0 426.491 -0.172 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@H](O)C[C@H](O)C3)CC2)o1 ZINC000610669111 1071025935 /nfs/dbraw/zinc/02/59/35/1071025935.db2.gz GHYJEARGIKTVQR-OKILXGFUSA-N 0 0 430.479 -0.189 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@@H]([C@@H]3CCCO3)C2)cc1 ZINC000610699878 1071028137 /nfs/dbraw/zinc/02/81/37/1071028137.db2.gz QYSJILOIPJWJLB-DLBZAZTESA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)cc1 ZINC000610699879 1071028330 /nfs/dbraw/zinc/02/83/30/1071028330.db2.gz QYSJILOIPJWJLB-IAGOWNOFSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@H]([C@@H]3CCCO3)C2)cc1 ZINC000610699880 1071028309 /nfs/dbraw/zinc/02/83/09/1071028309.db2.gz QYSJILOIPJWJLB-IRXDYDNUSA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCO[C@H]([C@H]3CCCO3)C2)cc1 ZINC000610699881 1071028377 /nfs/dbraw/zinc/02/83/77/1071028377.db2.gz QYSJILOIPJWJLB-SJORKVTESA-N 0 0 433.461 -0.137 20 0 IBADRN COC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000610699998 1071028365 /nfs/dbraw/zinc/02/83/65/1071028365.db2.gz UHASXWHBVUNFRP-UHFFFAOYSA-N 0 0 441.510 -0.583 20 0 IBADRN CS(=O)(=O)NC1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000610714707 1071029865 /nfs/dbraw/zinc/02/98/65/1071029865.db2.gz XLQZXFOTZWNHPY-UHFFFAOYSA-N 0 0 425.554 -0.692 20 0 IBADRN COC(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(S(C)(=O)=O)c1 ZINC000610718474 1071030488 /nfs/dbraw/zinc/03/04/88/1071030488.db2.gz FXLGQLGXHCWRNF-UHFFFAOYSA-N 0 0 448.523 -0.167 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)cc(S(C)(=O)=O)c1 ZINC000610721042 1071031538 /nfs/dbraw/zinc/03/15/38/1071031538.db2.gz NELVOFFLRCBSBH-HNNXBMFYSA-N 0 0 425.463 -0.058 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)cc(S(C)(=O)=O)c1 ZINC000610721043 1071031525 /nfs/dbraw/zinc/03/15/25/1071031525.db2.gz NELVOFFLRCBSBH-OAHLLOKOSA-N 0 0 425.463 -0.058 20 0 IBADRN CCOC(=O)c1ccc(CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)o1 ZINC000610722410 1073340328 /nfs/dbraw/zinc/34/03/28/1073340328.db2.gz XWKSUNOVFFCMAM-UHFFFAOYSA-N 0 0 433.421 -0.234 20 0 IBADRN COC(=O)c1c(N2CCN(C(=O)N(C)C)CC2)ncnc1N1CCN(C(=O)N(C)C)CC1 ZINC000610722574 1071031546 /nfs/dbraw/zinc/03/15/46/1071031546.db2.gz BSQORRGIMWPVAI-UHFFFAOYSA-N 0 0 448.528 -0.130 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)c(C(=O)OC)s1 ZINC000610738544 1071032513 /nfs/dbraw/zinc/03/25/13/1071032513.db2.gz FHVMMKFZJDXAMZ-UHFFFAOYSA-N 0 0 433.508 -0.284 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000610751884 1071033126 /nfs/dbraw/zinc/03/31/26/1071033126.db2.gz KSZLBDBMRJXODB-HNNXBMFYSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000610751885 1071033096 /nfs/dbraw/zinc/03/30/96/1071033096.db2.gz KSZLBDBMRJXODB-OAHLLOKOSA-N 0 0 427.479 -0.543 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000610756910 1071034424 /nfs/dbraw/zinc/03/44/24/1071034424.db2.gz FTGWPBCBOKOOHM-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)CC1 ZINC000610756912 1071034349 /nfs/dbraw/zinc/03/43/49/1071034349.db2.gz GNBSAMNUYTVWBA-UHFFFAOYSA-N 0 0 429.517 -0.658 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000610757119 1071033956 /nfs/dbraw/zinc/03/39/56/1071033956.db2.gz ASRUZEJTCWHIFE-UHFFFAOYSA-N 0 0 443.544 -0.915 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000610757164 1071033742 /nfs/dbraw/zinc/03/37/42/1071033742.db2.gz PZJNKQOTYSPJSZ-UHFFFAOYSA-N 0 0 439.581 -0.664 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000610768329 1071034431 /nfs/dbraw/zinc/03/44/31/1071034431.db2.gz RAYJHYXLQBLMPL-HNNXBMFYSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000610768330 1071034286 /nfs/dbraw/zinc/03/42/86/1071034286.db2.gz RAYJHYXLQBLMPL-OAHLLOKOSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC000610769012 1071034259 /nfs/dbraw/zinc/03/42/59/1071034259.db2.gz WQDVJIBZDBEBCC-UHFFFAOYSA-N 0 0 440.522 -0.626 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2Cc3ccc(C(=O)OC)cc3C2)cn1 ZINC000610776036 1071035404 /nfs/dbraw/zinc/03/54/04/1071035404.db2.gz PULXLFUCFVZLSG-UHFFFAOYSA-N 0 0 429.433 -0.087 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000610776115 1071035413 /nfs/dbraw/zinc/03/54/13/1071035413.db2.gz QGDFPKGCEAZOAP-UHFFFAOYSA-N 0 0 430.458 -0.756 20 0 IBADRN CCOC(=O)c1ccc(CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)o1 ZINC000610776329 1071035283 /nfs/dbraw/zinc/03/52/83/1071035283.db2.gz TVISTHYYFYQAJD-UHFFFAOYSA-N 0 0 433.421 -0.234 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)OC)c(C(=O)OC)c3)CCN2C1=O ZINC000610776465 1071034938 /nfs/dbraw/zinc/03/49/38/1071034938.db2.gz FZURYAQJWXKZTP-AWEZNQCLSA-N 0 0 446.416 -0.307 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)OC)c(C(=O)OC)c3)CCN2C1=O ZINC000610776466 1071034813 /nfs/dbraw/zinc/03/48/13/1071034813.db2.gz FZURYAQJWXKZTP-CQSZACIVSA-N 0 0 446.416 -0.307 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)N3C[C@H](C(=O)OC)Oc4ccccc43)CCN2C1=O ZINC000610776516 1071034793 /nfs/dbraw/zinc/03/47/93/1071034793.db2.gz PXSFGKOGOCTUNG-DZGCQCFKSA-N 0 0 430.417 -0.552 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)N3C[C@@H](C(=O)OC)Oc4ccccc43)CCN2C1=O ZINC000610776517 1071034944 /nfs/dbraw/zinc/03/49/44/1071034944.db2.gz PXSFGKOGOCTUNG-HIFRSBDPSA-N 0 0 430.417 -0.552 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)N3C[C@H](C(=O)OC)Oc4ccccc43)CCN2C1=O ZINC000610776518 1071034854 /nfs/dbraw/zinc/03/48/54/1071034854.db2.gz PXSFGKOGOCTUNG-UKRRQHHQSA-N 0 0 430.417 -0.552 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)N3C[C@@H](C(=O)OC)Oc4ccccc43)CCN2C1=O ZINC000610776519 1071034933 /nfs/dbraw/zinc/03/49/33/1071034933.db2.gz PXSFGKOGOCTUNG-ZFWWWQNUSA-N 0 0 430.417 -0.552 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)NCC(=O)OC)cc3)CCN2C1=O ZINC000610776531 1071034815 /nfs/dbraw/zinc/03/48/15/1071034815.db2.gz SKLPFWZKIDSIFH-AWEZNQCLSA-N 0 0 445.432 -0.977 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)NCC(=O)OC)cc3)CCN2C1=O ZINC000610776532 1071034806 /nfs/dbraw/zinc/03/48/06/1071034806.db2.gz SKLPFWZKIDSIFH-CQSZACIVSA-N 0 0 445.432 -0.977 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)cn1 ZINC000610776809 1071034839 /nfs/dbraw/zinc/03/48/39/1071034839.db2.gz YKVJVRRHCYSHMX-AWEZNQCLSA-N 0 0 439.469 -0.847 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)cn1 ZINC000610776810 1071034848 /nfs/dbraw/zinc/03/48/48/1071034848.db2.gz YKVJVRRHCYSHMX-CQSZACIVSA-N 0 0 439.469 -0.847 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(C(=O)OC)cc(OC)c3OC)CCN2C1=O ZINC000610776839 1071034963 /nfs/dbraw/zinc/03/49/63/1071034963.db2.gz GZMMWYVEAWTWCE-CYBMUJFWSA-N 0 0 448.432 -0.076 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(C(=O)OC)cc(OC)c3OC)CCN2C1=O ZINC000610776840 1071034896 /nfs/dbraw/zinc/03/48/96/1071034896.db2.gz GZMMWYVEAWTWCE-ZDUSSCGKSA-N 0 0 448.432 -0.076 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(OCC(=O)OC)cc3C)CCN2C1=O ZINC000610776873 1071034830 /nfs/dbraw/zinc/03/48/30/1071034830.db2.gz YNQNISBLMKMLAH-HNNXBMFYSA-N 0 0 432.433 -0.020 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(OCC(=O)OC)cc3C)CCN2C1=O ZINC000610776874 1071035379 /nfs/dbraw/zinc/03/53/79/1071035379.db2.gz YNQNISBLMKMLAH-OAHLLOKOSA-N 0 0 432.433 -0.020 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)NCCCN1CCCS1(=O)=O ZINC000610784202 1071035823 /nfs/dbraw/zinc/03/58/23/1071035823.db2.gz GGRYQCDQXSICKE-UHFFFAOYSA-N 0 0 425.554 -0.690 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000610784297 1071035813 /nfs/dbraw/zinc/03/58/13/1071035813.db2.gz LDWHTDZHIXRYSX-UHFFFAOYSA-N 0 0 425.554 -0.738 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000610784425 1071035366 /nfs/dbraw/zinc/03/53/66/1071035366.db2.gz SMERIZHRQGENHV-CYBMUJFWSA-N 0 0 425.554 -0.692 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC000610784426 1071035946 /nfs/dbraw/zinc/03/59/46/1071035946.db2.gz SMERIZHRQGENHV-ZDUSSCGKSA-N 0 0 425.554 -0.692 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000610793863 1071037037 /nfs/dbraw/zinc/03/70/37/1071037037.db2.gz GJPULRDXBRGLEO-UHFFFAOYSA-N 0 0 426.495 -0.966 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000610822493 1071043047 /nfs/dbraw/zinc/04/30/47/1071043047.db2.gz HATVQPSTICJOGT-UHFFFAOYSA-N 0 0 430.531 -0.120 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cn1 ZINC000610829739 1071042292 /nfs/dbraw/zinc/04/22/92/1071042292.db2.gz HXWCETOGNOCHSA-GFCCVEGCSA-N 0 0 429.499 -0.340 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cn1 ZINC000610829740 1071042445 /nfs/dbraw/zinc/04/24/45/1071042445.db2.gz HXWCETOGNOCHSA-LBPRGKRZSA-N 0 0 429.499 -0.340 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cn1 ZINC000610830720 1071043059 /nfs/dbraw/zinc/04/30/59/1071043059.db2.gz ZGCPIQAMTHAERV-UHFFFAOYSA-N 0 0 429.499 -0.338 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cn1 ZINC000610831267 1071042833 /nfs/dbraw/zinc/04/28/33/1071042833.db2.gz JIWAYYSGHRLGQY-UHFFFAOYSA-N 0 0 429.499 -0.386 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cn1 ZINC000610831318 1071042798 /nfs/dbraw/zinc/04/27/98/1071042798.db2.gz GGNQGUXWYCZUQJ-UHFFFAOYSA-N 0 0 444.514 -0.930 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)cn1 ZINC000610831326 1071042986 /nfs/dbraw/zinc/04/29/86/1071042986.db2.gz MEJKZHGETIPPQM-UHFFFAOYSA-N 0 0 429.499 -0.340 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)cn1 ZINC000610831377 1071043002 /nfs/dbraw/zinc/04/30/02/1071043002.db2.gz UCWQRDBOVNXXJS-CYBMUJFWSA-N 0 0 434.453 -0.385 20 0 IBADRN CCOC(=O)C(C)(C)n1cc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)cn1 ZINC000610831378 1071042993 /nfs/dbraw/zinc/04/29/93/1071042993.db2.gz UCWQRDBOVNXXJS-ZDUSSCGKSA-N 0 0 434.453 -0.385 20 0 IBADRN COC(=O)[C@@H](COC(C)C)N(C)C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000610835003 1071042913 /nfs/dbraw/zinc/04/29/13/1071042913.db2.gz DUDJAWDXNSGYLT-CYBMUJFWSA-N 0 0 429.451 -0.333 20 0 IBADRN COC(=O)[C@H](COC(C)C)N(C)C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000610835008 1071042935 /nfs/dbraw/zinc/04/29/35/1071042935.db2.gz DUDJAWDXNSGYLT-ZDUSSCGKSA-N 0 0 429.451 -0.333 20 0 IBADRN COC(=O)[C@H](COC(C)C)N(C)C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000610835685 1071042847 /nfs/dbraw/zinc/04/28/47/1071042847.db2.gz MDHXIKATGDIFTF-DOMZBBRYSA-N 0 0 429.495 -0.123 20 0 IBADRN COC(=O)[C@@H](COC(C)C)N(C)C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000610835688 1071042821 /nfs/dbraw/zinc/04/28/21/1071042821.db2.gz MDHXIKATGDIFTF-IUODEOHRSA-N 0 0 429.495 -0.123 20 0 IBADRN COC(=O)[C@@H](COC(C)C)N(C)C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000610835689 1071042974 /nfs/dbraw/zinc/04/29/74/1071042974.db2.gz MDHXIKATGDIFTF-SWLSCSKDSA-N 0 0 429.495 -0.123 20 0 IBADRN COC(=O)[C@H](COC(C)C)N(C)C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000610835690 1071042787 /nfs/dbraw/zinc/04/27/87/1071042787.db2.gz MDHXIKATGDIFTF-WFASDCNBSA-N 0 0 429.495 -0.123 20 0 IBADRN COC(=O)[C@H](COC(C)C)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000610837748 1071042809 /nfs/dbraw/zinc/04/28/09/1071042809.db2.gz UKIXVKIISCSCAL-INIZCTEOSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@@H](COC(C)C)N(C)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000610837749 1071042946 /nfs/dbraw/zinc/04/29/46/1071042946.db2.gz UKIXVKIISCSCAL-MRXNPFEDSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@H](COC(C)C)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000610840279 1071042859 /nfs/dbraw/zinc/04/28/59/1071042859.db2.gz RHRJPIPETHSYQJ-INIZCTEOSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@@H](COC(C)C)N(C)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000610840284 1071043485 /nfs/dbraw/zinc/04/34/85/1071043485.db2.gz RHRJPIPETHSYQJ-MRXNPFEDSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)c1sc(NC(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)c(C(=O)OC)c1C ZINC000610843907 1071043381 /nfs/dbraw/zinc/04/33/81/1071043381.db2.gz OCTRGTSKQYADSE-JTQLQIEISA-N 0 0 438.462 -0.789 20 0 IBADRN COC(=O)c1sc(NC(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)c(C(=O)OC)c1C ZINC000610843912 1071043566 /nfs/dbraw/zinc/04/35/66/1071043566.db2.gz OCTRGTSKQYADSE-SNVBAGLBSA-N 0 0 438.462 -0.789 20 0 IBADRN COC(=O)[C@H](COC(C)C)N(C)CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000610848677 1071042887 /nfs/dbraw/zinc/04/28/87/1071042887.db2.gz JXCNHFHWEWEIKA-INIZCTEOSA-N 0 0 433.513 -0.090 20 0 IBADRN COC(=O)[C@@H](COC(C)C)N(C)CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000610848678 1071043038 /nfs/dbraw/zinc/04/30/38/1071043038.db2.gz JXCNHFHWEWEIKA-MRXNPFEDSA-N 0 0 433.513 -0.090 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000610860968 1071043346 /nfs/dbraw/zinc/04/33/46/1071043346.db2.gz WKNSODWKYAJCCV-CYBMUJFWSA-N 0 0 441.462 -0.581 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1F ZINC000610873957 1071043417 /nfs/dbraw/zinc/04/34/17/1071043417.db2.gz SQOXAQRLNGQUAJ-UHFFFAOYSA-N 0 0 428.486 -0.138 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000610880738 1071043586 /nfs/dbraw/zinc/04/35/86/1071043586.db2.gz JHJPQINERRUJAZ-AWEZNQCLSA-N 0 0 441.481 -0.327 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000610880739 1071044145 /nfs/dbraw/zinc/04/41/45/1071044145.db2.gz JHJPQINERRUJAZ-CQSZACIVSA-N 0 0 441.481 -0.327 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CCC(C(=O)NC(N)=O)CC1 ZINC000610885140 1071044097 /nfs/dbraw/zinc/04/40/97/1071044097.db2.gz GFPONAYHMDTCCZ-UHFFFAOYSA-N 0 0 441.510 -0.471 20 0 IBADRN NC(=O)NC(=O)C1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000610885186 1071044122 /nfs/dbraw/zinc/04/41/22/1071044122.db2.gz VJFCEKNDBHNQAS-UHFFFAOYSA-N 0 0 428.467 -0.216 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000610889957 1072877071 /nfs/dbraw/zinc/87/70/71/1072877071.db2.gz QZZSISZRZALWQZ-UHFFFAOYSA-N 0 0 436.556 -0.336 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000610890519 1071044113 /nfs/dbraw/zinc/04/41/13/1071044113.db2.gz VSXKWNBEZDOVTK-CVEARBPZSA-N 0 0 440.522 -0.549 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000610890520 1071044000 /nfs/dbraw/zinc/04/40/00/1071044000.db2.gz VSXKWNBEZDOVTK-HOTGVXAUSA-N 0 0 440.522 -0.549 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000610890521 1071044213 /nfs/dbraw/zinc/04/42/13/1071044213.db2.gz VSXKWNBEZDOVTK-HZPDHXFCSA-N 0 0 440.522 -0.549 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000610890522 1071044191 /nfs/dbraw/zinc/04/41/91/1071044191.db2.gz VSXKWNBEZDOVTK-JKSUJKDBSA-N 0 0 440.522 -0.549 20 0 IBADRN COC(=O)CCNC(=O)CCN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000610898171 1071044599 /nfs/dbraw/zinc/04/45/99/1071044599.db2.gz RFYFLSZPCXSHDO-GOSISDBHSA-N 0 0 425.463 -0.074 20 0 IBADRN COC(=O)CCNC(=O)CCN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000610898172 1071044640 /nfs/dbraw/zinc/04/46/40/1071044640.db2.gz RFYFLSZPCXSHDO-SFHVURJKSA-N 0 0 425.463 -0.074 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)cs2)CC1 ZINC000610906208 1071044672 /nfs/dbraw/zinc/04/46/72/1071044672.db2.gz ATTVIXJHOGBAMP-UHFFFAOYSA-N 0 0 433.508 -0.016 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CC1 ZINC000610915110 1072877186 /nfs/dbraw/zinc/87/71/86/1072877186.db2.gz FPGCKUGHJBPJPI-UHFFFAOYSA-N 0 0 444.532 -0.690 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1F ZINC000610915127 1071045171 /nfs/dbraw/zinc/04/51/71/1071045171.db2.gz KZSQACSQKQGZQK-JTQLQIEISA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1F ZINC000610915128 1071045027 /nfs/dbraw/zinc/04/50/27/1071045027.db2.gz KZSQACSQKQGZQK-SNVBAGLBSA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC(NS(C)(=O)=O)CC2)cc1F ZINC000610915141 1071045147 /nfs/dbraw/zinc/04/51/47/1071045147.db2.gz NJEGIHZFZXSMFD-UHFFFAOYSA-N 0 0 429.517 -0.101 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCN2CCCS2(=O)=O)cc1F ZINC000610915264 1072877219 /nfs/dbraw/zinc/87/72/19/1072877219.db2.gz OFDVSDRNHMPVEP-UHFFFAOYSA-N 0 0 429.517 -0.099 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1 ZINC000610915313 1071045243 /nfs/dbraw/zinc/04/52/43/1071045243.db2.gz RGWJRTCDHWXQGO-UHFFFAOYSA-N 0 0 429.517 -0.147 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000610918307 1071045089 /nfs/dbraw/zinc/04/50/89/1071045089.db2.gz JWJQDVHAGVKYCE-AAEUAGOBSA-N 0 0 443.478 -0.562 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000610918309 1071045202 /nfs/dbraw/zinc/04/52/02/1071045202.db2.gz JWJQDVHAGVKYCE-DGCLKSJQSA-N 0 0 443.478 -0.562 20 0 IBADRN COC(=O)C[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000610918311 1072877029 /nfs/dbraw/zinc/87/70/29/1072877029.db2.gz JWJQDVHAGVKYCE-WCQYABFASA-N 0 0 443.478 -0.562 20 0 IBADRN COC(=O)C[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000610918313 1071045114 /nfs/dbraw/zinc/04/51/14/1071045114.db2.gz JWJQDVHAGVKYCE-YPMHNXCESA-N 0 0 443.478 -0.562 20 0 IBADRN COC(=O)C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)cc1 ZINC000610918738 1071045165 /nfs/dbraw/zinc/04/51/65/1071045165.db2.gz VEDNXMDBXLGTSD-AWEZNQCLSA-N 0 0 429.433 -0.137 20 0 IBADRN COC(=O)C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccc(OC)cc1 ZINC000610918739 1071045126 /nfs/dbraw/zinc/04/51/26/1071045126.db2.gz VEDNXMDBXLGTSD-CQSZACIVSA-N 0 0 429.433 -0.137 20 0 IBADRN COC(=O)C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(OC)cc1 ZINC000610920039 1071045212 /nfs/dbraw/zinc/04/52/12/1071045212.db2.gz PHPYFKCZHOFPBY-AWEZNQCLSA-N 0 0 429.433 -0.137 20 0 IBADRN COC(=O)C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(OC)cc1 ZINC000610920040 1071045190 /nfs/dbraw/zinc/04/51/90/1071045190.db2.gz PHPYFKCZHOFPBY-CQSZACIVSA-N 0 0 429.433 -0.137 20 0 IBADRN O=C(CSCC(=O)N1CCN([C@H]2CCOC2)CC1)N1CCN([C@@H]2CCOC2)CC1 ZINC000610924267 1072877167 /nfs/dbraw/zinc/87/71/67/1072877167.db2.gz IAGMXSHDYYGBDN-HDICACEKSA-N 0 0 426.583 -0.414 20 0 IBADRN O=C(CSCC(=O)N1CCN([C@@H]2CCOC2)CC1)N1CCN([C@@H]2CCOC2)CC1 ZINC000610924268 1071045051 /nfs/dbraw/zinc/04/50/51/1071045051.db2.gz IAGMXSHDYYGBDN-QZTJIDSGSA-N 0 0 426.583 -0.414 20 0 IBADRN O=C(CSCC(=O)N1CCN([C@H]2CCOC2)CC1)N1CCN([C@H]2CCOC2)CC1 ZINC000610924269 1071045221 /nfs/dbraw/zinc/04/52/21/1071045221.db2.gz IAGMXSHDYYGBDN-ROUUACIJSA-N 0 0 426.583 -0.414 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000610927244 1071045136 /nfs/dbraw/zinc/04/51/36/1071045136.db2.gz MMXUDXAHRYHTKF-UHFFFAOYSA-N 0 0 429.476 -0.483 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000610931149 1071045551 /nfs/dbraw/zinc/04/55/51/1071045551.db2.gz AZPLSFHZFAGYSK-ACJLOTCBSA-N 0 0 443.478 -0.052 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000610931150 1071045614 /nfs/dbraw/zinc/04/56/14/1071045614.db2.gz AZPLSFHZFAGYSK-FZKQIMNGSA-N 0 0 443.478 -0.052 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000610931151 1071045657 /nfs/dbraw/zinc/04/56/57/1071045657.db2.gz AZPLSFHZFAGYSK-SCLBCKFNSA-N 0 0 443.478 -0.052 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000610931152 1071045591 /nfs/dbraw/zinc/04/55/91/1071045591.db2.gz AZPLSFHZFAGYSK-UGSOOPFHSA-N 0 0 443.478 -0.052 20 0 IBADRN CN(CCNS(=O)(=O)c1ccc(S(N)(=O)=O)cc1)S(=O)(=O)c1cccs1 ZINC000610931991 1072877970 /nfs/dbraw/zinc/87/79/70/1072877970.db2.gz HPEBDZJAAIDRAE-UHFFFAOYSA-N 0 0 439.562 -0.006 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)N2CCC[C@H](C(=O)OCC)C2)CC1 ZINC000610932279 1071045728 /nfs/dbraw/zinc/04/57/28/1071045728.db2.gz ZVYBNXYUAAEVBP-HNNXBMFYSA-N 0 0 433.527 -0.102 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)N2CCC[C@@H](C(=O)OCC)C2)CC1 ZINC000610932280 1071045690 /nfs/dbraw/zinc/04/56/90/1071045690.db2.gz ZVYBNXYUAAEVBP-OAHLLOKOSA-N 0 0 433.527 -0.102 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000610937899 1071045642 /nfs/dbraw/zinc/04/56/42/1071045642.db2.gz FXZGCWDVHXGQNC-UHFFFAOYSA-N 0 0 432.433 -0.126 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)NCC3)CC1 ZINC000610937938 1071045663 /nfs/dbraw/zinc/04/56/63/1071045663.db2.gz IMVWGTLNSXQCNV-UHFFFAOYSA-N 0 0 430.461 -0.171 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000610938294 1071045707 /nfs/dbraw/zinc/04/57/07/1071045707.db2.gz RKPQRAAXOHNATG-UHFFFAOYSA-N 0 0 427.479 -0.369 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000610941896 1071045581 /nfs/dbraw/zinc/04/55/81/1071045581.db2.gz JQGYZBDTTSOXHF-DOMZBBRYSA-N 0 0 427.479 -0.277 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000610941897 1071045569 /nfs/dbraw/zinc/04/55/69/1071045569.db2.gz JQGYZBDTTSOXHF-IUODEOHRSA-N 0 0 427.479 -0.277 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000610941898 1071045635 /nfs/dbraw/zinc/04/56/35/1071045635.db2.gz JQGYZBDTTSOXHF-SWLSCSKDSA-N 0 0 427.479 -0.277 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000610941899 1072877932 /nfs/dbraw/zinc/87/79/32/1072877932.db2.gz JQGYZBDTTSOXHF-WFASDCNBSA-N 0 0 427.479 -0.277 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CCO1 ZINC000610952109 1071046278 /nfs/dbraw/zinc/04/62/78/1071046278.db2.gz RKLZAROBQVJCGW-INIZCTEOSA-N 0 0 437.497 -0.277 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CCO1 ZINC000610952110 1071046104 /nfs/dbraw/zinc/04/61/04/1071046104.db2.gz RKLZAROBQVJCGW-MRXNPFEDSA-N 0 0 437.497 -0.277 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CCO1 ZINC000610952114 1071046201 /nfs/dbraw/zinc/04/62/01/1071046201.db2.gz SDERSTZQOZRWLD-HNNXBMFYSA-N 0 0 437.497 -0.277 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CCO1 ZINC000610952115 1071046074 /nfs/dbraw/zinc/04/60/74/1071046074.db2.gz SDERSTZQOZRWLD-OAHLLOKOSA-N 0 0 437.497 -0.277 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000610966239 1071046264 /nfs/dbraw/zinc/04/62/64/1071046264.db2.gz SVRIBKDGQGHYDK-GOSISDBHSA-N 0 0 446.504 -0.215 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000610966240 1071046170 /nfs/dbraw/zinc/04/61/70/1071046170.db2.gz SVRIBKDGQGHYDK-SFHVURJKSA-N 0 0 446.504 -0.215 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000611002576 1071047734 /nfs/dbraw/zinc/04/77/34/1071047734.db2.gz UNMYVFQVOOYMCK-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CC[C@H](S(=O)(=O)NC)C1 ZINC000611003779 1071047714 /nfs/dbraw/zinc/04/77/14/1071047714.db2.gz CVEMAGXJIDNTDG-JTQLQIEISA-N 0 0 432.524 -0.106 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1CC[C@@H](S(=O)(=O)NC)C1 ZINC000611003780 1071047692 /nfs/dbraw/zinc/04/76/92/1071047692.db2.gz CVEMAGXJIDNTDG-SNVBAGLBSA-N 0 0 432.524 -0.106 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000611005512 1071047769 /nfs/dbraw/zinc/04/77/69/1071047769.db2.gz JUBUOUGHENXBFU-AWEZNQCLSA-N 0 0 437.565 -0.404 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000611005513 1071047721 /nfs/dbraw/zinc/04/77/21/1071047721.db2.gz JUBUOUGHENXBFU-CQSZACIVSA-N 0 0 437.565 -0.404 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC000611005575 1071047744 /nfs/dbraw/zinc/04/77/44/1071047744.db2.gz CBNUSYHBPJMYAR-LLVKDONJSA-N 0 0 427.435 -0.652 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC000611005576 1072878986 /nfs/dbraw/zinc/87/89/86/1072878986.db2.gz CBNUSYHBPJMYAR-NSHDSACASA-N 0 0 427.435 -0.652 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000611015382 1071048251 /nfs/dbraw/zinc/04/82/51/1071048251.db2.gz VKOZCSNYLKLKMD-UHFFFAOYSA-N 0 0 437.474 -0.236 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCCN(C)S(C)(=O)=O)c(C(=O)OC)s1 ZINC000611015549 1071048039 /nfs/dbraw/zinc/04/80/39/1071048039.db2.gz PMLGNVJIDZOLAF-UHFFFAOYSA-N 0 0 428.510 -0.119 20 0 IBADRN COC(=O)c1ccc(Cl)cc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611015921 1071048188 /nfs/dbraw/zinc/04/81/88/1071048188.db2.gz XBEQUAPMXCHYPS-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000611016793 1071048065 /nfs/dbraw/zinc/04/80/65/1071048065.db2.gz WAYQLUNEABZLGM-UHFFFAOYSA-N 0 0 434.492 -0.036 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCC(C)(C)NS(C)(=O)=O)c(C(=O)OC)s1 ZINC000611017782 1071048107 /nfs/dbraw/zinc/04/81/07/1071048107.db2.gz XZHMNZIFUPRIPI-UHFFFAOYSA-N 0 0 428.510 -0.073 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCN(CC(=O)N(C)C)CC3)ccc2O1 ZINC000611032717 1073365055 /nfs/dbraw/zinc/36/50/55/1073365055.db2.gz LXJAMBSEEQQACI-KRWDZBQOSA-N 0 0 425.507 -0.052 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCN(CC(=O)N(C)C)CC3)ccc2O1 ZINC000611032718 1073364951 /nfs/dbraw/zinc/36/49/51/1073364951.db2.gz LXJAMBSEEQQACI-QGZVFWFLSA-N 0 0 425.507 -0.052 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N(C)C)CC3)ccc2O1 ZINC000611032878 1073364988 /nfs/dbraw/zinc/36/49/88/1073364988.db2.gz VEXJDCKMDAYDAR-INIZCTEOSA-N 0 0 447.535 -0.334 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N(C)C)CC3)ccc2O1 ZINC000611032879 1073365157 /nfs/dbraw/zinc/36/51/57/1073365157.db2.gz VEXJDCKMDAYDAR-MRXNPFEDSA-N 0 0 447.535 -0.334 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000611075927 1073365577 /nfs/dbraw/zinc/36/55/77/1073365577.db2.gz SYFFUFOPJZOHQD-DOMZBBRYSA-N 0 0 425.463 -0.649 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000611075928 1073365497 /nfs/dbraw/zinc/36/54/97/1073365497.db2.gz SYFFUFOPJZOHQD-IUODEOHRSA-N 0 0 425.463 -0.649 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000611075929 1073365530 /nfs/dbraw/zinc/36/55/30/1073365530.db2.gz SYFFUFOPJZOHQD-SWLSCSKDSA-N 0 0 425.463 -0.649 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c2ccccc2O1 ZINC000611075930 1073365613 /nfs/dbraw/zinc/36/56/13/1073365613.db2.gz SYFFUFOPJZOHQD-WFASDCNBSA-N 0 0 425.463 -0.649 20 0 IBADRN COC(=O)c1cc(Cl)ccc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611079086 1073365604 /nfs/dbraw/zinc/36/56/04/1073365604.db2.gz UMGRXGIHWXUPCP-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)N[C@H]1CC[C@H](NS(=O)(=O)C[C@@H](C)C(=O)OC)CC1 ZINC000611083734 1073365634 /nfs/dbraw/zinc/36/56/34/1073365634.db2.gz DFCJHDPBLHCKPY-AAVRWANBSA-N 0 0 442.556 -0.245 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N[C@H]1CC[C@H](NS(=O)(=O)C[C@H](C)C(=O)OC)CC1 ZINC000611083735 1073365645 /nfs/dbraw/zinc/36/56/45/1073365645.db2.gz DFCJHDPBLHCKPY-XUXIUFHCSA-N 0 0 442.556 -0.245 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)N[C@@H]1CC[C@@H](NS(=O)(=O)C[C@@H](C)C(=O)OC)CC1 ZINC000611083736 1073365509 /nfs/dbraw/zinc/36/55/09/1073365509.db2.gz DFCJHDPBLHCKPY-YXSUXZIUSA-N 0 0 442.556 -0.245 20 0 IBADRN COC(=O)c1cccc([C@@H](C(=O)OC)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000611089257 1073365277 /nfs/dbraw/zinc/36/52/77/1073365277.db2.gz OQNCJFLKUQNJCI-IBGZPJMESA-N 0 0 431.445 -0.020 20 0 IBADRN COC(=O)c1cccc([C@H](C(=O)OC)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000611089258 1073365434 /nfs/dbraw/zinc/36/54/34/1073365434.db2.gz OQNCJFLKUQNJCI-LJQANCHMSA-N 0 0 431.445 -0.020 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1=O ZINC000611096913 1073365852 /nfs/dbraw/zinc/36/58/52/1073365852.db2.gz AEMMZGJGXBVSFU-UHFFFAOYSA-N 0 0 433.465 -0.290 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1=O ZINC000611098549 1073365906 /nfs/dbraw/zinc/36/59/06/1073365906.db2.gz DKXZYSJWWFJBHP-HNNXBMFYSA-N 0 0 434.449 -0.800 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1=O ZINC000611098550 1073365791 /nfs/dbraw/zinc/36/57/91/1073365791.db2.gz DKXZYSJWWFJBHP-OAHLLOKOSA-N 0 0 434.449 -0.800 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(C(=O)c3cccn3C)CC2)c1=O ZINC000611098571 1073365916 /nfs/dbraw/zinc/36/59/16/1073365916.db2.gz KSQLQSZPUQYJBQ-UHFFFAOYSA-N 0 0 443.460 -0.327 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1=O ZINC000611098635 1073365985 /nfs/dbraw/zinc/36/59/85/1073365985.db2.gz UMFSINCWYNXAST-UHFFFAOYSA-N 0 0 447.492 -0.884 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)c1=O ZINC000611098637 1073365974 /nfs/dbraw/zinc/36/59/74/1073365974.db2.gz WFFXINIGNASOJY-UHFFFAOYSA-N 0 0 433.465 -0.290 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1=O ZINC000611099110 1073365892 /nfs/dbraw/zinc/36/58/92/1073365892.db2.gz TUHHHRLMYUHJFF-UHFFFAOYSA-N 0 0 429.499 -0.890 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)c1=O ZINC000611099316 1073365558 /nfs/dbraw/zinc/36/55/58/1073365558.db2.gz CZDSXIOVTXEZBP-AWEZNQCLSA-N 0 0 428.449 -0.075 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)c1=O ZINC000611099317 1073365539 /nfs/dbraw/zinc/36/55/39/1073365539.db2.gz CZDSXIOVTXEZBP-CQSZACIVSA-N 0 0 428.449 -0.075 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(Cc3nc(C)no3)CC2)c1=O ZINC000611099660 1073366174 /nfs/dbraw/zinc/36/61/74/1073366174.db2.gz DPXAJNYKMNYEAA-UHFFFAOYSA-N 0 0 432.437 -0.614 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)c1=O ZINC000611099661 1073366278 /nfs/dbraw/zinc/36/62/78/1073366278.db2.gz YZAAFLZONDLIJD-UHFFFAOYSA-N 0 0 430.465 -0.572 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC(C)C)C2)c1=O ZINC000611102402 1073366014 /nfs/dbraw/zinc/36/60/14/1073366014.db2.gz QARDJMQKSSFZCT-CYBMUJFWSA-N 0 0 442.494 -0.721 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC(C)C)C2)c1=O ZINC000611102404 1073365815 /nfs/dbraw/zinc/36/58/15/1073365815.db2.gz QARDJMQKSSFZCT-ZDUSSCGKSA-N 0 0 442.494 -0.721 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1=O ZINC000611102544 1073365485 /nfs/dbraw/zinc/36/54/85/1073365485.db2.gz NMHAGLJSCWOEFL-DOMZBBRYSA-N 0 0 444.448 -0.606 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1=O ZINC000611102545 1073366036 /nfs/dbraw/zinc/36/60/36/1073366036.db2.gz NMHAGLJSCWOEFL-IUODEOHRSA-N 0 0 444.448 -0.606 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1=O ZINC000611102546 1073365806 /nfs/dbraw/zinc/36/58/06/1073365806.db2.gz NMHAGLJSCWOEFL-SWLSCSKDSA-N 0 0 444.448 -0.606 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1=O ZINC000611102547 1073365777 /nfs/dbraw/zinc/36/57/77/1073365777.db2.gz NMHAGLJSCWOEFL-WFASDCNBSA-N 0 0 444.448 -0.606 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)c1=O ZINC000611102675 1073365827 /nfs/dbraw/zinc/36/58/27/1073365827.db2.gz ZQDPIDUEPWTWFG-AWEZNQCLSA-N 0 0 437.449 -0.081 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)c1=O ZINC000611102676 1073365966 /nfs/dbraw/zinc/36/59/66/1073365966.db2.gz ZQDPIDUEPWTWFG-CQSZACIVSA-N 0 0 437.449 -0.081 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cncc(I)c2)CC1 ZINC000611133700 1073366187 /nfs/dbraw/zinc/36/61/87/1073366187.db2.gz OEKXLYFQTMUUKN-UHFFFAOYSA-N 0 0 438.291 -0.007 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)c1 ZINC000611173141 1073366566 /nfs/dbraw/zinc/36/65/66/1073366566.db2.gz UTHPTKSRZFHIRU-TXEJJXNPSA-N 0 0 448.213 -0.030 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@H](CC(=O)OC)C(=O)OC)c(OC)c1 ZINC000611182654 1073366598 /nfs/dbraw/zinc/36/65/98/1073366598.db2.gz ISRQJSVEXNJOSR-LLVKDONJSA-N 0 0 438.480 -0.160 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@@H](CC(=O)OC)C(=O)OC)c(OC)c1 ZINC000611182655 1073366756 /nfs/dbraw/zinc/36/67/56/1073366756.db2.gz ISRQJSVEXNJOSR-NSHDSACASA-N 0 0 438.480 -0.160 20 0 IBADRN COC(=O)c1sccc1N1C(=O)C[C@@H](N2CCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000611192260 1073366830 /nfs/dbraw/zinc/36/68/30/1073366830.db2.gz SUXLMEPLRACPRG-GFCCVEGCSA-N 0 0 430.508 -0.409 20 0 IBADRN COC(=O)c1sccc1N1C(=O)C[C@H](N2CCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000611192261 1073367198 /nfs/dbraw/zinc/36/71/98/1073367198.db2.gz SUXLMEPLRACPRG-LBPRGKRZSA-N 0 0 430.508 -0.409 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000611208732 1073367218 /nfs/dbraw/zinc/36/72/18/1073367218.db2.gz QZACHSSQPFCKCR-HNNXBMFYSA-N 0 0 446.526 -0.366 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000611208735 1073367097 /nfs/dbraw/zinc/36/70/97/1073367097.db2.gz QZACHSSQPFCKCR-OAHLLOKOSA-N 0 0 446.526 -0.366 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000611216990 1073366991 /nfs/dbraw/zinc/36/69/91/1073366991.db2.gz ALWRBMOBQMAWAE-AWEZNQCLSA-N 0 0 425.467 -0.717 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000611218368 1073367049 /nfs/dbraw/zinc/36/70/49/1073367049.db2.gz IYIYBDRDIVLKPF-ZBEGNZNMSA-N 0 0 437.478 -0.065 20 0 IBADRN CCOC(=O)COc1ccc(OCCN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000611225549 1073367187 /nfs/dbraw/zinc/36/71/87/1073367187.db2.gz WVCQPDGUHPLFPV-MOPGFXCFSA-N 0 0 442.534 -0.217 20 0 IBADRN CCOC(=O)COc1ccc(OCCN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC000611225550 1073366984 /nfs/dbraw/zinc/36/69/84/1073366984.db2.gz WVCQPDGUHPLFPV-OALUTQOASA-N 0 0 442.534 -0.217 20 0 IBADRN CCOC(=O)COc1ccc(OCCN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000611225551 1073367109 /nfs/dbraw/zinc/36/71/09/1073367109.db2.gz WVCQPDGUHPLFPV-RBUKOAKNSA-N 0 0 442.534 -0.217 20 0 IBADRN CCOC(=O)COc1ccc(OCCN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC000611225552 1073367208 /nfs/dbraw/zinc/36/72/08/1073367208.db2.gz WVCQPDGUHPLFPV-RTBURBONSA-N 0 0 442.534 -0.217 20 0 IBADRN CCOC(=O)C[C@@H](O)Cn1c2cc(S(=O)(=O)N(C)C)ccc2n(CC)c(=O)c1=O ZINC000611232370 1073367147 /nfs/dbraw/zinc/36/71/47/1073367147.db2.gz UHFBOJZDZVRZKA-GFCCVEGCSA-N 0 0 427.479 -0.253 20 0 IBADRN CCOC(=O)C[C@H](O)Cn1c2cc(S(=O)(=O)N(C)C)ccc2n(CC)c(=O)c1=O ZINC000611232371 1073367157 /nfs/dbraw/zinc/36/71/57/1073367157.db2.gz UHFBOJZDZVRZKA-LBPRGKRZSA-N 0 0 427.479 -0.253 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c(Br)cc1F ZINC000611248962 1073367578 /nfs/dbraw/zinc/36/75/78/1073367578.db2.gz BYWZSYWBJBZCOW-UHFFFAOYSA-N 0 0 446.229 -0.024 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@H](CC(=O)OC)C(=O)OC)cc1F ZINC000611277106 1073367393 /nfs/dbraw/zinc/36/73/93/1073367393.db2.gz GFYPUXTYRMODQM-GFCCVEGCSA-N 0 0 426.444 -0.030 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@@H](CC(=O)OC)C(=O)OC)cc1F ZINC000611277107 1073367543 /nfs/dbraw/zinc/36/75/43/1073367543.db2.gz GFYPUXTYRMODQM-LBPRGKRZSA-N 0 0 426.444 -0.030 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000611282856 1073367381 /nfs/dbraw/zinc/36/73/81/1073367381.db2.gz BRRVHIJHLCFGLF-UHFFFAOYSA-N 0 0 441.510 -0.305 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC(O)(C(=O)OC)CC3)cc2)CC1 ZINC000611283316 1073367416 /nfs/dbraw/zinc/36/74/16/1073367416.db2.gz XPDIDVYQNLOUSE-UHFFFAOYSA-N 0 0 446.504 -0.071 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000611283411 1073367588 /nfs/dbraw/zinc/36/75/88/1073367588.db2.gz NXCGWOMKIAQDKF-UHFFFAOYSA-N 0 0 432.477 -0.461 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000611284528 1073367444 /nfs/dbraw/zinc/36/74/44/1073367444.db2.gz UKOGTVFOKIWZDT-LBPRGKRZSA-N 0 0 427.479 -0.162 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000611284573 1073367566 /nfs/dbraw/zinc/36/75/66/1073367566.db2.gz VNRXYXLLDSPPCB-UHFFFAOYSA-N 0 0 432.520 -0.028 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000611288282 1073367617 /nfs/dbraw/zinc/36/76/17/1073367617.db2.gz FWVAGTLPNRFWQM-AWEZNQCLSA-N 0 0 426.495 -0.204 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000611288283 1073367606 /nfs/dbraw/zinc/36/76/06/1073367606.db2.gz FWVAGTLPNRFWQM-CQSZACIVSA-N 0 0 426.495 -0.204 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000611288488 1073367555 /nfs/dbraw/zinc/36/75/55/1073367555.db2.gz UPNBPEYOSDGELL-INIZCTEOSA-N 0 0 441.506 -0.660 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000611288489 1073367532 /nfs/dbraw/zinc/36/75/32/1073367532.db2.gz UPNBPEYOSDGELL-MRXNPFEDSA-N 0 0 441.506 -0.660 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccccc2C(=O)OC)CC1 ZINC000611288682 1073367363 /nfs/dbraw/zinc/36/73/63/1073367363.db2.gz HIFLQTFMSMKEHB-HNNXBMFYSA-N 0 0 442.490 -0.086 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccccc2C(=O)OC)CC1 ZINC000611288684 1073367373 /nfs/dbraw/zinc/36/73/73/1073367373.db2.gz HIFLQTFMSMKEHB-OAHLLOKOSA-N 0 0 442.490 -0.086 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000611289150 1073367496 /nfs/dbraw/zinc/36/74/96/1073367496.db2.gz XASNEEMBNRDUNI-AWEZNQCLSA-N 0 0 430.479 -0.701 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000611289151 1073367405 /nfs/dbraw/zinc/36/74/05/1073367405.db2.gz XASNEEMBNRDUNI-CQSZACIVSA-N 0 0 430.479 -0.701 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)c1OC ZINC000611307246 1073367914 /nfs/dbraw/zinc/36/79/14/1073367914.db2.gz NLGHXUHLCQDYHV-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCc3nnnn3C)CC2)o1 ZINC000611315892 1073367892 /nfs/dbraw/zinc/36/78/92/1073367892.db2.gz OTLVZYSZNZTLST-UHFFFAOYSA-N 0 0 440.482 -0.261 20 0 IBADRN Cn1nnnc1CCNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000611327100 1073367939 /nfs/dbraw/zinc/36/79/39/1073367939.db2.gz IFXUCNKGHQXZRE-UHFFFAOYSA-N 0 0 425.194 -0.237 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCc2nnnn2C)o1 ZINC000611327134 1073367783 /nfs/dbraw/zinc/36/77/83/1073367783.db2.gz JXAIEGDHAJCYQP-LLVKDONJSA-N 0 0 426.455 -0.508 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCc2nnnn2C)o1 ZINC000611327135 1073367837 /nfs/dbraw/zinc/36/78/37/1073367837.db2.gz JXAIEGDHAJCYQP-NSHDSACASA-N 0 0 426.455 -0.508 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCCc3nnnn3C)CC2)c1 ZINC000611330773 1073367795 /nfs/dbraw/zinc/36/77/95/1073367795.db2.gz GCTYFYRUHQXUHR-UHFFFAOYSA-N 0 0 443.511 -0.683 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCCN(C)S(C)(=O)=O)s1 ZINC000611340194 1073367926 /nfs/dbraw/zinc/36/79/26/1073367926.db2.gz JXWFVMIQUXLBEV-UHFFFAOYSA-N 0 0 447.626 -0.210 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCC(C)(C)NS(C)(=O)=O)s1 ZINC000611341306 1073367752 /nfs/dbraw/zinc/36/77/52/1073367752.db2.gz QKIVGTZXRBBFPS-UHFFFAOYSA-N 0 0 447.626 -0.164 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCC(=O)NC2CCCC2)cc(S(C)(=O)=O)c1 ZINC000611360195 1073368260 /nfs/dbraw/zinc/36/82/60/1073368260.db2.gz WMXYNVGXCAELDB-UHFFFAOYSA-N 0 0 425.463 -0.010 20 0 IBADRN C[S@@](=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000611361474 1073368220 /nfs/dbraw/zinc/36/82/20/1073368220.db2.gz WMRUMYBGRCHRNZ-HHHXNRCGSA-N 0 0 434.515 -0.805 20 0 IBADRN C[S@](=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000611361475 1073368214 /nfs/dbraw/zinc/36/82/14/1073368214.db2.gz WMRUMYBGRCHRNZ-MHZLTWQESA-N 0 0 434.515 -0.805 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc(S(C)(=O)=O)c1 ZINC000611364790 1073368251 /nfs/dbraw/zinc/36/82/51/1073368251.db2.gz MUIXCCVHBTYVPY-ABAIWWIYSA-N 0 0 427.479 -0.350 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc(S(C)(=O)=O)c1 ZINC000611364793 1073368197 /nfs/dbraw/zinc/36/81/97/1073368197.db2.gz MUIXCCVHBTYVPY-IAQYHMDHSA-N 0 0 427.479 -0.350 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cc(S(C)(=O)=O)c1 ZINC000611364794 1073368206 /nfs/dbraw/zinc/36/82/06/1073368206.db2.gz MUIXCCVHBTYVPY-NHYWBVRUSA-N 0 0 427.479 -0.350 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cc(S(C)(=O)=O)c1 ZINC000611364795 1073368291 /nfs/dbraw/zinc/36/82/91/1073368291.db2.gz MUIXCCVHBTYVPY-XHDPSFHLSA-N 0 0 427.479 -0.350 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)c(OC)c(OC)c1 ZINC000611365134 1073368345 /nfs/dbraw/zinc/36/83/45/1073368345.db2.gz KRCYFZCEHOMPND-UHFFFAOYSA-N 0 0 436.465 -0.339 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1C(=O)OC ZINC000611365146 1073368354 /nfs/dbraw/zinc/36/83/54/1073368354.db2.gz LPFFKFHYYRUXLN-UHFFFAOYSA-N 0 0 434.449 -0.569 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000611365249 1073368246 /nfs/dbraw/zinc/36/82/46/1073368246.db2.gz XDANTQXLVOOPIB-UHFFFAOYSA-N 0 0 447.492 -0.850 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)cc1 ZINC000611365413 1073368241 /nfs/dbraw/zinc/36/82/41/1073368241.db2.gz PHBIGIKTWRQBNN-MSOLQXFVSA-N 0 0 446.504 -0.024 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)cc1 ZINC000611365414 1073368326 /nfs/dbraw/zinc/36/83/26/1073368326.db2.gz PHBIGIKTWRQBNN-QZTJIDSGSA-N 0 0 446.504 -0.024 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)cc1 ZINC000611365415 1073368222 /nfs/dbraw/zinc/36/82/22/1073368222.db2.gz PHBIGIKTWRQBNN-ROUUACIJSA-N 0 0 446.504 -0.024 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)cc1 ZINC000611365416 1073368228 /nfs/dbraw/zinc/36/82/28/1073368228.db2.gz PHBIGIKTWRQBNN-ZWKOTPCHSA-N 0 0 446.504 -0.024 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)cc1 ZINC000611365518 1073368217 /nfs/dbraw/zinc/36/82/17/1073368217.db2.gz XDFUAMCLCNSLDT-MSOLQXFVSA-N 0 0 446.504 -0.486 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)cc1 ZINC000611365521 1073368235 /nfs/dbraw/zinc/36/82/35/1073368235.db2.gz XDFUAMCLCNSLDT-QZTJIDSGSA-N 0 0 446.504 -0.486 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)cc1 ZINC000611365522 1073368210 /nfs/dbraw/zinc/36/82/10/1073368210.db2.gz XDFUAMCLCNSLDT-ROUUACIJSA-N 0 0 446.504 -0.486 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)cc1 ZINC000611365523 1073368203 /nfs/dbraw/zinc/36/82/03/1073368203.db2.gz XDFUAMCLCNSLDT-ZWKOTPCHSA-N 0 0 446.504 -0.486 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)cc1 ZINC000611365580 1073368273 /nfs/dbraw/zinc/36/82/73/1073368273.db2.gz CAFCSTSOXOPGQB-DLBZAZTESA-N 0 0 432.477 -0.415 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)cc1 ZINC000611365581 1073368606 /nfs/dbraw/zinc/36/86/06/1073368606.db2.gz CAFCSTSOXOPGQB-IAGOWNOFSA-N 0 0 432.477 -0.415 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)cc1 ZINC000611365582 1073368576 /nfs/dbraw/zinc/36/85/76/1073368576.db2.gz CAFCSTSOXOPGQB-IRXDYDNUSA-N 0 0 432.477 -0.415 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)cc1 ZINC000611365583 1073367843 /nfs/dbraw/zinc/36/78/43/1073367843.db2.gz CAFCSTSOXOPGQB-SJORKVTESA-N 0 0 432.477 -0.415 20 0 IBADRN COC(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)[C@@H](C)C1 ZINC000611384460 1073368532 /nfs/dbraw/zinc/36/85/32/1073368532.db2.gz UVRGNEUMJPZUQU-HNNXBMFYSA-N 0 0 442.538 -0.245 20 0 IBADRN COC(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)[C@H](C)C1 ZINC000611384461 1073368697 /nfs/dbraw/zinc/36/86/97/1073368697.db2.gz UVRGNEUMJPZUQU-OAHLLOKOSA-N 0 0 442.538 -0.245 20 0 IBADRN COC(=O)C[C@@H](NS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1)C(=O)OC ZINC000611413984 1073368599 /nfs/dbraw/zinc/36/85/99/1073368599.db2.gz CVRNLAWODSSTTD-SECBINFHSA-N 0 0 429.373 -0.300 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1)C(=O)OC ZINC000611413985 1073368686 /nfs/dbraw/zinc/36/86/86/1073368686.db2.gz CVRNLAWODSSTTD-VIFPVBQESA-N 0 0 429.373 -0.300 20 0 IBADRN COC(=O)C1(c2ccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2)CC1 ZINC000611445404 1073368618 /nfs/dbraw/zinc/36/86/18/1073368618.db2.gz UXUHIHUOAUDCFF-UHFFFAOYSA-N 0 0 445.563 -0.253 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000611457333 1073368520 /nfs/dbraw/zinc/36/85/20/1073368520.db2.gz SZFHAMCFYMPJJX-UHFFFAOYSA-N 0 0 438.506 -0.215 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000611457711 1073369003 /nfs/dbraw/zinc/36/90/03/1073369003.db2.gz GPQQNMHPTNBKIM-UHFFFAOYSA-N 0 0 432.524 -0.525 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2scc(C(=O)OC)c2C)CC1 ZINC000611460465 1073369029 /nfs/dbraw/zinc/36/90/29/1073369029.db2.gz MPHXXEVADDUKMP-UHFFFAOYSA-N 0 0 426.495 -0.312 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@@H](O)C[C@@H]3CO)CC2)o1 ZINC000611479559 1073369015 /nfs/dbraw/zinc/36/90/15/1073369015.db2.gz SFYNJSZEVCLWBX-KGLIPLIRSA-N 0 0 430.479 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@H](O)C[C@H]3CO)CC2)o1 ZINC000611479560 1073368992 /nfs/dbraw/zinc/36/89/92/1073368992.db2.gz SFYNJSZEVCLWBX-UONOGXRCSA-N 0 0 430.479 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@H](O)C[C@@H]3CO)CC2)o1 ZINC000611479561 1073369026 /nfs/dbraw/zinc/36/90/26/1073369026.db2.gz SFYNJSZEVCLWBX-ZIAGYGMSSA-N 0 0 430.479 -0.189 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000611499041 1073369420 /nfs/dbraw/zinc/36/94/20/1073369420.db2.gz DRSVROPQGYDVAH-BBRMVZONSA-N 0 0 429.495 -0.161 20 0 IBADRN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000611499042 1073369264 /nfs/dbraw/zinc/36/92/64/1073369264.db2.gz DRSVROPQGYDVAH-CJNGLKHVSA-N 0 0 429.495 -0.161 20 0 IBADRN COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000611499043 1073369414 /nfs/dbraw/zinc/36/94/14/1073369414.db2.gz DRSVROPQGYDVAH-CZUORRHYSA-N 0 0 429.495 -0.161 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000611499044 1073369402 /nfs/dbraw/zinc/36/94/02/1073369402.db2.gz DRSVROPQGYDVAH-XJKSGUPXSA-N 0 0 429.495 -0.161 20 0 IBADRN CCOC(=O)c1cc(F)cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000611510853 1073369042 /nfs/dbraw/zinc/36/90/42/1073369042.db2.gz VNHJHLZAPVJYKA-UHFFFAOYSA-N 0 0 437.515 -0.142 20 0 IBADRN CC(C)(CO)N1CCN(C(=O)CSCC(=O)N2CCN(C(C)(C)CO)CC2)CC1 ZINC000611515976 1073369354 /nfs/dbraw/zinc/36/93/54/1073369354.db2.gz VWFDQXNCISRVGJ-UHFFFAOYSA-N 0 0 430.615 -0.450 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN(C)CC(=O)N1CCN(C)CC1 ZINC000611522555 1073369442 /nfs/dbraw/zinc/36/94/42/1073369442.db2.gz HXUJKNIUAVEHIJ-UHFFFAOYSA-N 0 0 439.538 -0.024 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)C2)nn1 ZINC000611529345 1073369433 /nfs/dbraw/zinc/36/94/33/1073369433.db2.gz SEULJTIABLZWRX-UHFFFAOYSA-N 0 0 438.466 -0.879 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)C2)nn1 ZINC000611529570 1073369327 /nfs/dbraw/zinc/36/93/27/1073369327.db2.gz AELOZJIBYOIUAV-UHFFFAOYSA-N 0 0 425.423 -0.624 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)[C@@H](C(=O)OC)C1 ZINC000611547066 1073369291 /nfs/dbraw/zinc/36/92/91/1073369291.db2.gz XOGPWMHTOHCHCN-CHWSQXEVSA-N 0 0 444.462 -0.133 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)[C@H](C(=O)OC)C1 ZINC000611547067 1073369307 /nfs/dbraw/zinc/36/93/07/1073369307.db2.gz XOGPWMHTOHCHCN-OLZOCXBDSA-N 0 0 444.462 -0.133 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000611547068 1073369397 /nfs/dbraw/zinc/36/93/97/1073369397.db2.gz XOGPWMHTOHCHCN-QWHCGFSZSA-N 0 0 444.462 -0.133 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)[C@H](C(=O)OC)C1 ZINC000611547069 1073369392 /nfs/dbraw/zinc/36/93/92/1073369392.db2.gz XOGPWMHTOHCHCN-STQMWFEESA-N 0 0 444.462 -0.133 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCCCN(C)S(C)(=O)=O ZINC000611595114 1071088463 /nfs/dbraw/zinc/08/84/63/1071088463.db2.gz ICVSQNPKCNFHLL-UHFFFAOYSA-N 0 0 431.467 -0.173 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000611595534 1071088551 /nfs/dbraw/zinc/08/85/51/1071088551.db2.gz LFKHBZZGHBSDQT-UHFFFAOYSA-N 0 0 446.460 -0.287 20 0 IBADRN CCOC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000611595569 1071088207 /nfs/dbraw/zinc/08/82/07/1071088207.db2.gz XGVNONYEZOTAPH-UHFFFAOYSA-N 0 0 434.424 -0.110 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000611595571 1071088476 /nfs/dbraw/zinc/08/84/76/1071088476.db2.gz XXQOFULBNQMEED-UHFFFAOYSA-N 0 0 432.433 -0.630 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000611596184 1071088906 /nfs/dbraw/zinc/08/89/06/1071088906.db2.gz JMFIEDHXYZQSPT-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC(NS(C)(=O)=O)CC1 ZINC000611596188 1071089156 /nfs/dbraw/zinc/08/91/56/1071089156.db2.gz JXTDTGLFELMRPY-UHFFFAOYSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)NCc1ccc(C(=O)NCC(N)=O)cc1 ZINC000611596314 1071089266 /nfs/dbraw/zinc/08/92/66/1071089266.db2.gz GFXZEVROVBGERH-UHFFFAOYSA-N 0 0 442.428 -0.048 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000611596372 1071089277 /nfs/dbraw/zinc/08/92/77/1071089277.db2.gz HJCAIVDXDFVWGV-LLVKDONJSA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000611596374 1072958077 /nfs/dbraw/zinc/95/80/77/1072958077.db2.gz HJCAIVDXDFVWGV-NSHDSACASA-N 0 0 443.478 -0.031 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000611596883 1071089133 /nfs/dbraw/zinc/08/91/33/1071089133.db2.gz AVFCCWAWJXEIPC-UHFFFAOYSA-N 0 0 434.449 -0.384 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000611596995 1072957918 /nfs/dbraw/zinc/95/79/18/1072957918.db2.gz FMZHXKMDQVPPSX-UHFFFAOYSA-N 0 0 434.421 -0.360 20 0 IBADRN CCOC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000611597138 1071089026 /nfs/dbraw/zinc/08/90/26/1071089026.db2.gz UEITUEYTWOGXOE-UHFFFAOYSA-N 0 0 430.458 -0.109 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000611597176 1071089008 /nfs/dbraw/zinc/08/90/08/1071089008.db2.gz WJUYSPJEATUHOS-UHFFFAOYSA-N 0 0 428.467 -0.629 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCCCN1CCCS1(=O)=O ZINC000611601078 1071089997 /nfs/dbraw/zinc/08/99/97/1071089997.db2.gz PXBSEBAVJYKGRF-UHFFFAOYSA-N 0 0 443.478 -0.029 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000611601922 1071090460 /nfs/dbraw/zinc/09/04/60/1071090460.db2.gz BCZWXEGTYXDWLB-UHFFFAOYSA-N 0 0 445.519 -0.085 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000611601980 1072957943 /nfs/dbraw/zinc/95/79/43/1072957943.db2.gz RWJBEIDVZHABEJ-UHFFFAOYSA-N 0 0 434.449 -0.383 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000611602239 1071090814 /nfs/dbraw/zinc/09/08/14/1071090814.db2.gz CWSHRQUFWFCAJE-UHFFFAOYSA-N 0 0 431.467 -0.127 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603177 1071091450 /nfs/dbraw/zinc/09/14/50/1071091450.db2.gz BEZZKUASSGKUHH-UHFFFAOYSA-N 0 0 442.494 -0.886 20 0 IBADRN COC(=O)c1c(F)cccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603192 1071091490 /nfs/dbraw/zinc/09/14/90/1071091490.db2.gz FTPQFAORCBSGTB-UHFFFAOYSA-N 0 0 430.458 -0.756 20 0 IBADRN CCOC(=O)c1c(F)cccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603194 1071091393 /nfs/dbraw/zinc/09/13/93/1071091393.db2.gz GQWVRYPYLXSHJV-UHFFFAOYSA-N 0 0 444.485 -0.366 20 0 IBADRN COC(=O)c1ccc(Cl)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603212 1071091436 /nfs/dbraw/zinc/09/14/36/1071091436.db2.gz LLUOFPYZJUKGDR-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603218 1071091238 /nfs/dbraw/zinc/09/12/38/1071091238.db2.gz NSLGBVGTTCJSBG-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN COC(=O)c1cc(F)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603235 1071091576 /nfs/dbraw/zinc/09/15/76/1071091576.db2.gz QLOAPZHHGOKOHL-UHFFFAOYSA-N 0 0 430.458 -0.756 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603236 1071091501 /nfs/dbraw/zinc/09/15/01/1071091501.db2.gz RAPMINCDMQLSQZ-UHFFFAOYSA-N 0 0 448.448 -0.617 20 0 IBADRN COC(=O)c1cc(Cl)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603245 1071091341 /nfs/dbraw/zinc/09/13/41/1071091341.db2.gz VFNMBPBICHMJHN-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN COC(=O)c1cc(C)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000611603252 1071091254 /nfs/dbraw/zinc/09/12/54/1071091254.db2.gz XSQQBIMFJFJYJF-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000611605935 1071092103 /nfs/dbraw/zinc/09/21/03/1071092103.db2.gz KMWKPUGQNDUCHW-UHFFFAOYSA-N 0 0 429.433 -0.137 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCc1nnnn1C1CC1 ZINC000611606092 1071091899 /nfs/dbraw/zinc/09/18/99/1071091899.db2.gz IWHOAXSUXFIYJK-UHFFFAOYSA-N 0 0 429.437 -0.114 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N(C)CCN1CCCS1(=O)=O ZINC000611606461 1071091927 /nfs/dbraw/zinc/09/19/27/1071091927.db2.gz RRBLDQQEUDNDIH-UHFFFAOYSA-N 0 0 443.478 -0.077 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)cc(OC)c(OC)c1OC ZINC000611606733 1071092796 /nfs/dbraw/zinc/09/27/96/1071092796.db2.gz PCKWNTPQMRYIIA-PHIMTYICSA-N 0 0 426.422 -0.218 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(OC)ccc2C(=O)OC)CC1 ZINC000611607180 1071092755 /nfs/dbraw/zinc/09/27/55/1071092755.db2.gz LAHRRQPSQYGMSO-UHFFFAOYSA-N 0 0 436.465 -0.673 20 0 IBADRN CCOC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(CC(=O)NCCOC)CC1 ZINC000611607253 1071092166 /nfs/dbraw/zinc/09/21/66/1071092166.db2.gz YUCDXFSVINJDAF-UHFFFAOYSA-N 0 0 438.456 -0.152 20 0 IBADRN CCOC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000611607344 1071092815 /nfs/dbraw/zinc/09/28/15/1071092815.db2.gz USEFBZCUQBXSDG-UHFFFAOYSA-N 0 0 433.400 -0.702 20 0 IBADRN CCOC(=O)c1c(F)cccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000611608179 1071092941 /nfs/dbraw/zinc/09/29/41/1071092941.db2.gz ROIXKKXQAJNTNJ-UHFFFAOYSA-N 0 0 430.458 -0.626 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000611608660 1071093347 /nfs/dbraw/zinc/09/33/47/1071093347.db2.gz FIEYCSMYSCOFRU-UHFFFAOYSA-N 0 0 440.434 -0.356 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(OC)c(OC)cc3C(=O)OC)CCN2C1=O ZINC000611610370 1071093283 /nfs/dbraw/zinc/09/32/83/1071093283.db2.gz RPGUDPUCTINJBT-CYBMUJFWSA-N 0 0 448.432 -0.076 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(OC)c(OC)cc3C(=O)OC)CCN2C1=O ZINC000611610373 1071093603 /nfs/dbraw/zinc/09/36/03/1071093603.db2.gz RPGUDPUCTINJBT-ZDUSSCGKSA-N 0 0 448.432 -0.076 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000611610699 1071093674 /nfs/dbraw/zinc/09/36/74/1071093674.db2.gz CBDDFHQAMKTHCH-UHFFFAOYSA-N 0 0 436.446 -0.090 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000611611946 1071094417 /nfs/dbraw/zinc/09/44/17/1071094417.db2.gz YLCIAQFSRGZXGB-UHFFFAOYSA-N 0 0 436.465 -0.339 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c(Br)cc1F ZINC000611613330 1071095015 /nfs/dbraw/zinc/09/50/15/1071095015.db2.gz HXWINXRCLUZJJF-UHFFFAOYSA-N 0 0 445.245 -0.139 20 0 IBADRN COC(=O)C1(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CCOCC1 ZINC000611621678 1071097455 /nfs/dbraw/zinc/09/74/55/1071097455.db2.gz HVUJJAOSLABTCE-UHFFFAOYSA-N 0 0 429.495 -0.254 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000611623623 1071097921 /nfs/dbraw/zinc/09/79/21/1071097921.db2.gz CJTMLKKXWXJRHN-BPUTZDHNSA-N 0 0 428.507 -0.242 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000611623624 1071097966 /nfs/dbraw/zinc/09/79/66/1071097966.db2.gz CJTMLKKXWXJRHN-CWRNSKLLSA-N 0 0 428.507 -0.242 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000611623625 1072957984 /nfs/dbraw/zinc/95/79/84/1072957984.db2.gz CJTMLKKXWXJRHN-KBMXLJTQSA-N 0 0 428.507 -0.242 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000611623626 1072957988 /nfs/dbraw/zinc/95/79/88/1072957988.db2.gz CJTMLKKXWXJRHN-VNQPRFMTSA-N 0 0 428.507 -0.242 20 0 IBADRN Cc1cc(C)n(CCCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000611630635 1071099283 /nfs/dbraw/zinc/09/92/83/1071099283.db2.gz FIBFIFHWCSAUGZ-UHFFFAOYSA-N 0 0 427.575 -0.291 20 0 IBADRN COc1ccccc1OCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000611631892 1071099031 /nfs/dbraw/zinc/09/90/31/1071099031.db2.gz WIJQHMGUHFBHFY-UHFFFAOYSA-N 0 0 441.554 -0.107 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000611641407 1071100587 /nfs/dbraw/zinc/10/05/87/1071100587.db2.gz OKAVZRHVXXNDMC-BHYGNILZSA-N 0 0 426.491 -0.172 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000611641418 1071100353 /nfs/dbraw/zinc/10/03/53/1071100353.db2.gz OKAVZRHVXXNDMC-HYVNUMGLSA-N 0 0 426.491 -0.172 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000611641419 1072957931 /nfs/dbraw/zinc/95/79/31/1072957931.db2.gz OKAVZRHVXXNDMC-PVAVHDDUSA-N 0 0 426.491 -0.172 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000611641420 1072957977 /nfs/dbraw/zinc/95/79/77/1072957977.db2.gz OKAVZRHVXXNDMC-XIRDDKMYSA-N 0 0 426.491 -0.172 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)n1 ZINC000611641615 1073325969 /nfs/dbraw/zinc/32/59/69/1073325969.db2.gz TYUSFHMZMGQRNP-DOMZBBRYSA-N 0 0 438.462 -0.173 20 0 IBADRN COC(=O)Cn1ccc(NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)n1 ZINC000611641616 1073326033 /nfs/dbraw/zinc/32/60/33/1073326033.db2.gz TYUSFHMZMGQRNP-WFASDCNBSA-N 0 0 438.462 -0.173 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CCO1 ZINC000611641893 1072958025 /nfs/dbraw/zinc/95/80/25/1072958025.db2.gz WEAYSIPJOCPIMN-KCXAZCMYSA-N 0 0 428.463 -0.781 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CCO1 ZINC000611641900 1071102681 /nfs/dbraw/zinc/10/26/81/1071102681.db2.gz WEAYSIPJOCPIMN-RCBQFDQVSA-N 0 0 428.463 -0.781 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CCO1 ZINC000611641901 1071102547 /nfs/dbraw/zinc/10/25/47/1071102547.db2.gz WEAYSIPJOCPIMN-UHOFOFEASA-N 0 0 428.463 -0.781 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CCO1 ZINC000611641903 1071102518 /nfs/dbraw/zinc/10/25/18/1071102518.db2.gz WEAYSIPJOCPIMN-VBNZEHGJSA-N 0 0 428.463 -0.781 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000611641948 1071102625 /nfs/dbraw/zinc/10/26/25/1071102625.db2.gz XBHROALNMRXALQ-AEGPPILISA-N 0 0 432.882 -0.136 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000611641969 1072958018 /nfs/dbraw/zinc/95/80/18/1072958018.db2.gz XBHROALNMRXALQ-QEJZJMRPSA-N 0 0 432.882 -0.136 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000611641972 1071101813 /nfs/dbraw/zinc/10/18/13/1071101813.db2.gz XBHROALNMRXALQ-SNPRPXQTSA-N 0 0 432.882 -0.136 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000611641975 1071102006 /nfs/dbraw/zinc/10/20/06/1071102006.db2.gz XBHROALNMRXALQ-VHDGCEQUSA-N 0 0 432.882 -0.136 20 0 IBADRN COC(=O)CSCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000611643965 1071103264 /nfs/dbraw/zinc/10/32/64/1071103264.db2.gz ZFSNNMCBEUBHIX-DOMZBBRYSA-N 0 0 432.520 -0.159 20 0 IBADRN COC(=O)CSCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000611643971 1071104103 /nfs/dbraw/zinc/10/41/03/1071104103.db2.gz ZFSNNMCBEUBHIX-WFASDCNBSA-N 0 0 432.520 -0.159 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)NCCC(=O)NC(N)=O)cc1 ZINC000611652184 1072958042 /nfs/dbraw/zinc/95/80/42/1072958042.db2.gz CGGRWXVKOSAJEZ-DZGCQCFKSA-N 0 0 440.522 -0.191 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCC(=O)NC(N)=O)cc1 ZINC000611652185 1071105220 /nfs/dbraw/zinc/10/52/20/1071105220.db2.gz CGGRWXVKOSAJEZ-HIFRSBDPSA-N 0 0 440.522 -0.191 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NCCC(=O)NC(N)=O)cc1 ZINC000611652186 1071105934 /nfs/dbraw/zinc/10/59/34/1071105934.db2.gz CGGRWXVKOSAJEZ-UKRRQHHQSA-N 0 0 440.522 -0.191 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCC(=O)NC(N)=O)cc1 ZINC000611652189 1071105816 /nfs/dbraw/zinc/10/58/16/1071105816.db2.gz CGGRWXVKOSAJEZ-ZFWWWQNUSA-N 0 0 440.522 -0.191 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCC(=O)NC(N)=O)c(C)c1 ZINC000611652910 1071106633 /nfs/dbraw/zinc/10/66/33/1071106633.db2.gz XYJIGTTWQZUCSA-KBPBESRZSA-N 0 0 426.495 -0.563 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCC(=O)NC(N)=O)c(C)c1 ZINC000611652913 1072957967 /nfs/dbraw/zinc/95/79/67/1072957967.db2.gz XYJIGTTWQZUCSA-KGLIPLIRSA-N 0 0 426.495 -0.563 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CCO1 ZINC000611652925 1071106005 /nfs/dbraw/zinc/10/60/05/1071106005.db2.gz ZMRTZCNIILBFMJ-KMFMINBZSA-N 0 0 442.490 -0.390 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CCO1 ZINC000611652931 1072958637 /nfs/dbraw/zinc/95/86/37/1072958637.db2.gz ZMRTZCNIILBFMJ-LXZKKBNFSA-N 0 0 442.490 -0.390 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CCO1 ZINC000611652932 1072958542 /nfs/dbraw/zinc/95/85/42/1072958542.db2.gz ZMRTZCNIILBFMJ-QRTARXTBSA-N 0 0 442.490 -0.390 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CCO1 ZINC000611652933 1071105889 /nfs/dbraw/zinc/10/58/89/1071105889.db2.gz ZMRTZCNIILBFMJ-UNEWFSDZSA-N 0 0 442.490 -0.390 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000611658509 1071109699 /nfs/dbraw/zinc/10/96/99/1071109699.db2.gz DIQVEDGFAMNJTF-BMFZPTHFSA-N 0 0 428.507 -0.242 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000611658511 1071109722 /nfs/dbraw/zinc/10/97/22/1071109722.db2.gz DIQVEDGFAMNJTF-BPUTZDHNSA-N 0 0 428.507 -0.242 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000611658512 1071109784 /nfs/dbraw/zinc/10/97/84/1071109784.db2.gz DIQVEDGFAMNJTF-IMJJTQAJSA-N 0 0 428.507 -0.242 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000611658513 1071109966 /nfs/dbraw/zinc/10/99/66/1071109966.db2.gz DIQVEDGFAMNJTF-KBMXLJTQSA-N 0 0 428.507 -0.242 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000611658722 1071109750 /nfs/dbraw/zinc/10/97/50/1071109750.db2.gz UAKZKSYLSOTSFH-HJIKLVIJSA-N 0 0 441.289 -0.233 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000611658727 1071109894 /nfs/dbraw/zinc/10/98/94/1071109894.db2.gz UAKZKSYLSOTSFH-JCOFBHIZSA-N 0 0 441.289 -0.233 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000611660790 1071110688 /nfs/dbraw/zinc/11/06/88/1071110688.db2.gz OBCZXTAPEHVKMK-HIFRSBDPSA-N 0 0 446.909 -0.010 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000611660799 1072957961 /nfs/dbraw/zinc/95/79/61/1072957961.db2.gz OBCZXTAPEHVKMK-ZFWWWQNUSA-N 0 0 446.909 -0.010 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000611660940 1072958049 /nfs/dbraw/zinc/95/80/49/1072958049.db2.gz WVUGHLAIZVADEB-BBRMVZONSA-N 0 0 442.490 -0.654 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000611660941 1072957954 /nfs/dbraw/zinc/95/79/54/1072957954.db2.gz WVUGHLAIZVADEB-CJNGLKHVSA-N 0 0 442.490 -0.654 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000611660982 1071111058 /nfs/dbraw/zinc/11/10/58/1071111058.db2.gz XUNKGHYKLSXOHX-RDJZCZTQSA-N 0 0 440.518 -0.046 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000611660987 1071110721 /nfs/dbraw/zinc/11/07/21/1071110721.db2.gz XUNKGHYKLSXOHX-WBVHZDCISA-N 0 0 440.518 -0.046 20 0 IBADRN CN1CCN([C@@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2cccs2)CC1 ZINC000611671511 1071112516 /nfs/dbraw/zinc/11/25/16/1071112516.db2.gz HCRMTDBWRWPOPY-INIZCTEOSA-N 0 0 447.569 -0.423 20 0 IBADRN CN1CCN([C@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2cccs2)CC1 ZINC000611671544 1071112800 /nfs/dbraw/zinc/11/28/00/1071112800.db2.gz HCRMTDBWRWPOPY-MRXNPFEDSA-N 0 0 447.569 -0.423 20 0 IBADRN O=C(NCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C1CC1 ZINC000611685778 1071115318 /nfs/dbraw/zinc/11/53/18/1071115318.db2.gz OIUYUDCLUZEHDF-UHFFFAOYSA-N 0 0 438.506 0.000 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCn3ccnc3)CC2)cc1 ZINC000611687811 1071114359 /nfs/dbraw/zinc/11/43/59/1071114359.db2.gz OESGMJYKTOVPPP-UHFFFAOYSA-N 0 0 442.523 -0.753 20 0 IBADRN CC(C)C(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000611687864 1071114533 /nfs/dbraw/zinc/11/45/33/1071114533.db2.gz KEZIEWIJIFVVSQ-UHFFFAOYSA-N 0 0 437.522 -0.031 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCCCCC3=O)CC2)CC1 ZINC000611687897 1071115635 /nfs/dbraw/zinc/11/56/35/1071115635.db2.gz AHADPKABSYIMNR-UHFFFAOYSA-N 0 0 444.602 -0.402 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4ccccn43)CC2)C[C@H](C)O1 ZINC000611697391 1071115520 /nfs/dbraw/zinc/11/55/20/1071115520.db2.gz WOPAUHMYEOWAKL-GASCZTMLSA-N 0 0 437.526 -0.090 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4ccccn43)CC2)C[C@H](C)O1 ZINC000611697396 1071115284 /nfs/dbraw/zinc/11/52/84/1071115284.db2.gz WOPAUHMYEOWAKL-GJZGRUSLSA-N 0 0 437.526 -0.090 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4ccccn43)CC2)C[C@@H](C)O1 ZINC000611697397 1071115646 /nfs/dbraw/zinc/11/56/46/1071115646.db2.gz WOPAUHMYEOWAKL-HUUCEWRRSA-N 0 0 437.526 -0.090 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCCCC4)CC2)CC1 ZINC000611714097 1071121034 /nfs/dbraw/zinc/12/10/34/1071121034.db2.gz HOTOOBWORGGLFM-UHFFFAOYSA-N 0 0 440.574 -0.676 20 0 IBADRN CC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000611737313 1071138092 /nfs/dbraw/zinc/13/80/92/1071138092.db2.gz HVXNTXIGYIVEMN-GASCZTMLSA-N 0 0 431.559 -0.322 20 0 IBADRN CC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000611737314 1071138083 /nfs/dbraw/zinc/13/80/83/1071138083.db2.gz HVXNTXIGYIVEMN-GJZGRUSLSA-N 0 0 431.559 -0.322 20 0 IBADRN CC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000611737315 1071138062 /nfs/dbraw/zinc/13/80/62/1071138062.db2.gz HVXNTXIGYIVEMN-HUUCEWRRSA-N 0 0 431.559 -0.322 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCCS1(=O)=O ZINC000611753902 1071154931 /nfs/dbraw/zinc/15/49/31/1071154931.db2.gz SELHLABGFIFDMV-UHFFFAOYSA-N 0 0 433.508 -0.310 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCCS3(=O)=O)CC2)cc1 ZINC000611771537 1071168704 /nfs/dbraw/zinc/16/87/04/1071168704.db2.gz GMRNVKMMCFWHJS-UHFFFAOYSA-N 0 0 429.520 -0.242 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)CN3CCCS3(=O)=O)c2)CC1 ZINC000611780594 1071183857 /nfs/dbraw/zinc/18/38/57/1071183857.db2.gz DLGPQLSREDRGMB-UHFFFAOYSA-N 0 0 430.552 -0.013 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCCS3(=O)=O)CC2)c1 ZINC000611784168 1071198517 /nfs/dbraw/zinc/19/85/17/1071198517.db2.gz RFHIVGLTMLZJGB-UHFFFAOYSA-N 0 0 447.535 -0.428 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN(CCO)CC2)cc1 ZINC000611788050 1071202254 /nfs/dbraw/zinc/20/22/54/1071202254.db2.gz DXGGLZSLYRBNFI-UHFFFAOYSA-N 0 0 429.539 -0.280 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000611788155 1071201452 /nfs/dbraw/zinc/20/14/52/1071201452.db2.gz HXCBQCTVFVLSRI-HNNXBMFYSA-N 0 0 434.536 -0.113 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000611788158 1071201522 /nfs/dbraw/zinc/20/15/22/1071201522.db2.gz HXCBQCTVFVLSRI-OAHLLOKOSA-N 0 0 434.536 -0.113 20 0 IBADRN O=C(CN1CCCS1(=O)=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000611793644 1071205509 /nfs/dbraw/zinc/20/55/09/1071205509.db2.gz GJLUZVUKJUKEHT-UHFFFAOYSA-N 0 0 431.536 -0.500 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2nc(N3CCCC3)ncc2Cl)CC1)N1CCOCC1 ZINC000611794261 1071207085 /nfs/dbraw/zinc/20/70/85/1071207085.db2.gz QPWADZBXRDFYFL-UHFFFAOYSA-N 0 0 436.900 -0.127 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cs1 ZINC000611794528 1071206900 /nfs/dbraw/zinc/20/69/00/1071206900.db2.gz XMFLIYMFRQCKPY-UHFFFAOYSA-N 0 0 437.522 -0.190 20 0 IBADRN COC(=O)[C@@]1(NCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CCCN(Cc2ccccc2)C1 ZINC000611795525 1071206869 /nfs/dbraw/zinc/20/68/69/1071206869.db2.gz JLWAHFXXBFZCDJ-JOCHJYFZSA-N 0 0 443.504 -0.354 20 0 IBADRN COC(=O)[C@]1(NCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CCCN(Cc2ccccc2)C1 ZINC000611795528 1072958469 /nfs/dbraw/zinc/95/84/69/1072958469.db2.gz JLWAHFXXBFZCDJ-QFIPXVFZSA-N 0 0 443.504 -0.354 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000611819687 1071220549 /nfs/dbraw/zinc/22/05/49/1071220549.db2.gz ZQXUGYAIOIRNCP-UHFFFAOYSA-N 0 0 429.890 -0.112 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000611857107 1071224771 /nfs/dbraw/zinc/22/47/71/1071224771.db2.gz FEVUFSCXHINIGV-AWEZNQCLSA-N 0 0 446.478 -0.622 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000611857108 1071224652 /nfs/dbraw/zinc/22/46/52/1071224652.db2.gz FEVUFSCXHINIGV-CQSZACIVSA-N 0 0 446.478 -0.622 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000611857505 1072958535 /nfs/dbraw/zinc/95/85/35/1072958535.db2.gz WXCXJQJCBGVCKR-INIZCTEOSA-N 0 0 427.479 -0.807 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000611857506 1072958518 /nfs/dbraw/zinc/95/85/18/1072958518.db2.gz WXCXJQJCBGVCKR-MRXNPFEDSA-N 0 0 427.479 -0.807 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000611859107 1071225450 /nfs/dbraw/zinc/22/54/50/1071225450.db2.gz JUWDXZDWZGSRQB-JYJNAYRXSA-N 0 0 428.507 -0.224 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000611859111 1071225226 /nfs/dbraw/zinc/22/52/26/1071225226.db2.gz JUWDXZDWZGSRQB-OAGGEKHMSA-N 0 0 428.507 -0.224 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000611859113 1071225513 /nfs/dbraw/zinc/22/55/13/1071225513.db2.gz JUWDXZDWZGSRQB-PMPSAXMXSA-N 0 0 428.507 -0.224 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000611859114 1071225324 /nfs/dbraw/zinc/22/53/24/1071225324.db2.gz JUWDXZDWZGSRQB-XHSDSOJGSA-N 0 0 428.507 -0.224 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H](CO)C(=O)OCC)CC2)o1 ZINC000611859856 1071225388 /nfs/dbraw/zinc/22/53/88/1071225388.db2.gz GRLXGHWFUHONOP-CYBMUJFWSA-N 0 0 446.478 -0.103 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](CO)C(=O)OCC)CC2)o1 ZINC000611859857 1071225428 /nfs/dbraw/zinc/22/54/28/1071225428.db2.gz GRLXGHWFUHONOP-ZDUSSCGKSA-N 0 0 446.478 -0.103 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@H](CO)C(=O)OCC)o1 ZINC000611860143 1071226101 /nfs/dbraw/zinc/22/61/01/1071226101.db2.gz PHMFYXXLBWGYAF-NEPJUHHUSA-N 0 0 432.451 -0.350 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@@H](CO)C(=O)OCC)o1 ZINC000611860152 1071226076 /nfs/dbraw/zinc/22/60/76/1071226076.db2.gz PHMFYXXLBWGYAF-NWDGAFQWSA-N 0 0 432.451 -0.350 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H](CO)C(=O)OCC)o1 ZINC000611860153 1071226207 /nfs/dbraw/zinc/22/62/07/1071226207.db2.gz PHMFYXXLBWGYAF-RYUDHWBXSA-N 0 0 432.451 -0.350 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N[C@H](CO)C(=O)OCC)o1 ZINC000611860154 1071226036 /nfs/dbraw/zinc/22/60/36/1071226036.db2.gz PHMFYXXLBWGYAF-VXGBXAGGSA-N 0 0 432.451 -0.350 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000611860274 1071225261 /nfs/dbraw/zinc/22/52/61/1071225261.db2.gz ROIXQXXYOLNUAL-BBRMVZONSA-N 0 0 430.479 -0.199 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(C)C ZINC000611860276 1071225212 /nfs/dbraw/zinc/22/52/12/1071225212.db2.gz ROIXQXXYOLNUAL-CJNGLKHVSA-N 0 0 430.479 -0.199 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000611860279 1071225346 /nfs/dbraw/zinc/22/53/46/1071225346.db2.gz RXXSUXAIEYHFDF-HNNXBMFYSA-N 0 0 442.490 -0.101 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000611860283 1071225337 /nfs/dbraw/zinc/22/53/37/1071225337.db2.gz RXXSUXAIEYHFDF-OAHLLOKOSA-N 0 0 442.490 -0.101 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000611862157 1071226139 /nfs/dbraw/zinc/22/61/39/1071226139.db2.gz WAIOXXTXCTUFTE-HNNXBMFYSA-N 0 0 429.495 -0.573 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000611862169 1071226085 /nfs/dbraw/zinc/22/60/85/1071226085.db2.gz WAIOXXTXCTUFTE-OAHLLOKOSA-N 0 0 429.495 -0.573 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000611862314 1072958507 /nfs/dbraw/zinc/95/85/07/1072958507.db2.gz QYUUANIQWHFWHF-INIZCTEOSA-N 0 0 427.479 -0.807 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000611862315 1071226166 /nfs/dbraw/zinc/22/61/66/1071226166.db2.gz QYUUANIQWHFWHF-MRXNPFEDSA-N 0 0 427.479 -0.807 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000611862425 1072958548 /nfs/dbraw/zinc/95/85/48/1072958548.db2.gz UIWFAKLEXQWMGW-JTQLQIEISA-N 0 0 431.190 -0.079 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000611862431 1072958647 /nfs/dbraw/zinc/95/86/47/1072958647.db2.gz UIWFAKLEXQWMGW-SNVBAGLBSA-N 0 0 431.190 -0.079 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000611863115 1071226748 /nfs/dbraw/zinc/22/67/48/1071226748.db2.gz UQYJAASQSQZTAL-AWEZNQCLSA-N 0 0 430.479 -0.053 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000611863116 1071226926 /nfs/dbraw/zinc/22/69/26/1071226926.db2.gz UQYJAASQSQZTAL-CQSZACIVSA-N 0 0 430.479 -0.053 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccc(NS(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000611943199 1072959035 /nfs/dbraw/zinc/95/90/35/1072959035.db2.gz OYCBMCGZMQFOQM-UHFFFAOYSA-N 0 0 434.478 -0.488 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCc3cn(C)nn3)CC2)c1 ZINC000611951256 1071249721 /nfs/dbraw/zinc/24/97/21/1071249721.db2.gz RSJCBNYSPXXKHN-UHFFFAOYSA-N 0 0 428.496 -0.121 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)c2)C1=O ZINC000611977560 1071254441 /nfs/dbraw/zinc/25/44/41/1071254441.db2.gz HFWOEGIXPADZEN-UHFFFAOYSA-N 0 0 439.432 -0.139 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(N3C(=O)CN(C)C3=O)c1)c(=O)n2C ZINC000611981796 1071255341 /nfs/dbraw/zinc/25/53/41/1071255341.db2.gz MXLZEKTWJJDKLQ-UHFFFAOYSA-N 0 0 425.405 -0.529 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000612005773 1071256710 /nfs/dbraw/zinc/25/67/10/1071256710.db2.gz SUNJWOCEFLYTCI-UHFFFAOYSA-N 0 0 435.955 -0.097 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1C ZINC000612005774 1071256778 /nfs/dbraw/zinc/25/67/78/1071256778.db2.gz SWYXWIRUBBYGAO-UHFFFAOYSA-N 0 0 429.564 -0.133 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(C)c(C)c3)CC2)C(=O)C1=O ZINC000612005792 1071256549 /nfs/dbraw/zinc/25/65/49/1071256549.db2.gz WSEFTFGPHCGWGP-UHFFFAOYSA-N 0 0 436.534 -0.173 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000612006456 1071257415 /nfs/dbraw/zinc/25/74/15/1071257415.db2.gz DHVOMBBSVZLPOJ-UHFFFAOYSA-N 0 0 441.984 -0.035 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(NC(C)=O)ccc1OC ZINC000612020256 1071257527 /nfs/dbraw/zinc/25/75/27/1071257527.db2.gz ZFDXVYHVPHCXEP-AWEZNQCLSA-N 0 0 447.535 -0.033 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(NC(C)=O)ccc1OC ZINC000612020257 1071257538 /nfs/dbraw/zinc/25/75/38/1071257538.db2.gz ZFDXVYHVPHCXEP-CQSZACIVSA-N 0 0 447.535 -0.033 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000612025243 1071257507 /nfs/dbraw/zinc/25/75/07/1071257507.db2.gz DADYZHIOSURQJG-INIZCTEOSA-N 0 0 431.536 -0.051 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000612025254 1071257380 /nfs/dbraw/zinc/25/73/80/1071257380.db2.gz DADYZHIOSURQJG-MRXNPFEDSA-N 0 0 431.536 -0.051 20 0 IBADRN O=S(=O)(NCCCN1CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000612035464 1071257449 /nfs/dbraw/zinc/25/74/49/1071257449.db2.gz LPQZDNIIBFHUFK-UHFFFAOYSA-N 0 0 433.552 -0.292 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)C(=O)C1=O ZINC000612065399 1071257397 /nfs/dbraw/zinc/25/73/97/1071257397.db2.gz SXJIYPWQHBXYQR-UHFFFAOYSA-N 0 0 448.545 -0.301 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000612065409 1071258386 /nfs/dbraw/zinc/25/83/86/1071258386.db2.gz UFQYARFJDMCRNT-UHFFFAOYSA-N 0 0 441.575 -0.261 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C(=O)C1=O ZINC000612070060 1072989778 /nfs/dbraw/zinc/98/97/78/1072989778.db2.gz SOKWOLOTIPCUMP-UHFFFAOYSA-N 0 0 442.469 -0.524 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C(=O)C1=O ZINC000612080905 1071258189 /nfs/dbraw/zinc/25/81/89/1071258189.db2.gz ZVMOTEDNCVMZGH-UHFFFAOYSA-N 0 0 444.460 -0.512 20 0 IBADRN O=C(CN1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000612081134 1071258357 /nfs/dbraw/zinc/25/83/57/1071258357.db2.gz ZMTRNQAFUYTNRH-UHFFFAOYSA-N 0 0 443.507 -0.863 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CNC(=O)c2cnc3ccccc3c2O)CC1 ZINC000612083890 1071258239 /nfs/dbraw/zinc/25/82/39/1071258239.db2.gz IGSGVIKLKNGRLL-UHFFFAOYSA-N 0 0 427.461 -0.547 20 0 IBADRN O=C(CN1CCN(CCO)CC1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000612093570 1072991883 /nfs/dbraw/zinc/99/18/83/1072991883.db2.gz PXSYTMVNYSUALW-UHFFFAOYSA-N 0 0 446.957 -0.091 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CN(C)S(=O)(=O)c3cccnc3)CC2)no1 ZINC000612148218 1073330249 /nfs/dbraw/zinc/33/02/49/1073330249.db2.gz QWJRQHHLMMDNEL-UHFFFAOYSA-N 0 0 436.494 -0.219 20 0 IBADRN CCN1CCN(CC(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)C(=O)C1=O ZINC000612155984 1073001471 /nfs/dbraw/zinc/00/14/71/1073001471.db2.gz APSRFEPSBBCCRI-UHFFFAOYSA-N 0 0 428.536 -0.292 20 0 IBADRN CN(CC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)S(=O)(=O)c1cccnc1 ZINC000612169702 1071262012 /nfs/dbraw/zinc/26/20/12/1071262012.db2.gz XOKPCWNJHWZGPV-UHFFFAOYSA-N 0 0 430.494 -0.272 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000612170195 1071262087 /nfs/dbraw/zinc/26/20/87/1071262087.db2.gz SYTLURMMVYSTSS-UHFFFAOYSA-N 0 0 441.488 -0.739 20 0 IBADRN O=C(CCNC(=O)c1cncc(C(=O)NCCC(=O)N2CCOCC2)c1)N1CCOCC1 ZINC000612176210 1071263446 /nfs/dbraw/zinc/26/34/46/1071263446.db2.gz DRUXIUIERLDNOL-UHFFFAOYSA-N 0 0 447.492 -0.961 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000612192055 1071264091 /nfs/dbraw/zinc/26/40/91/1071264091.db2.gz QQCAPJHQXAWAOJ-UHFFFAOYSA-N 0 0 437.478 -0.099 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000612201747 1071264789 /nfs/dbraw/zinc/26/47/89/1071264789.db2.gz YXQKLJGWWCJGIP-UHFFFAOYSA-N 0 0 442.494 -0.482 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCOC[C@@H]3CCCO3)CC2)CC1 ZINC000612207833 1071264813 /nfs/dbraw/zinc/26/48/13/1071264813.db2.gz HWJHJNFOWFHVHD-KRWDZBQOSA-N 0 0 433.575 -0.609 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCOC[C@H]3CCCO3)CC2)CC1 ZINC000612207834 1071264874 /nfs/dbraw/zinc/26/48/74/1071264874.db2.gz HWJHJNFOWFHVHD-QGZVFWFLSA-N 0 0 433.575 -0.609 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H](C)CC(=O)OC)CC2)cc1 ZINC000612231425 1071268039 /nfs/dbraw/zinc/26/80/39/1071268039.db2.gz UGPBZOZBEIDSBH-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H](C)CC(=O)OC)CC2)cc1 ZINC000612231426 1071268169 /nfs/dbraw/zinc/26/81/69/1071268169.db2.gz UGPBZOZBEIDSBH-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC2CCN(C(=O)c3ccccc3)CC2)CC1 ZINC000612246243 1071268606 /nfs/dbraw/zinc/26/86/06/1071268606.db2.gz LXLFNTNVSYMOSH-UHFFFAOYSA-N 0 0 426.481 -0.168 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000612260410 1071268880 /nfs/dbraw/zinc/26/88/80/1071268880.db2.gz RIVKPMWAWNYBOG-UHFFFAOYSA-N 0 0 437.522 -0.632 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)s1 ZINC000612276154 1071269500 /nfs/dbraw/zinc/26/95/00/1071269500.db2.gz BKAWPGDBUYONIH-UHFFFAOYSA-N 0 0 425.558 -0.710 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)s1 ZINC000612276364 1071269572 /nfs/dbraw/zinc/26/95/72/1071269572.db2.gz HREYBNWVFUPVKQ-UHFFFAOYSA-N 0 0 445.567 -0.658 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)s1 ZINC000612276750 1071269373 /nfs/dbraw/zinc/26/93/73/1071269373.db2.gz NTDTWZWILFFCNC-UHFFFAOYSA-N 0 0 438.491 -0.077 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)s1 ZINC000612276784 1071269473 /nfs/dbraw/zinc/26/94/73/1071269473.db2.gz LVQAWJKOMOMPMB-UHFFFAOYSA-N 0 0 443.551 -0.368 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)s1 ZINC000612277349 1073007979 /nfs/dbraw/zinc/00/79/79/1073007979.db2.gz STHNNBNRFJEJLB-UHFFFAOYSA-N 0 0 431.540 -0.465 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000612287555 1071269439 /nfs/dbraw/zinc/26/94/39/1071269439.db2.gz ZYXJPELOEAJYSW-UHFFFAOYSA-N 0 0 430.509 -0.106 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000612293029 1071269670 /nfs/dbraw/zinc/26/96/70/1071269670.db2.gz INZMYPSUJPGTNO-CALCHBBNSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@@H](C)O1 ZINC000612293031 1071269595 /nfs/dbraw/zinc/26/95/95/1071269595.db2.gz INZMYPSUJPGTNO-IAGOWNOFSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000612293032 1071269388 /nfs/dbraw/zinc/26/93/88/1071269388.db2.gz INZMYPSUJPGTNO-IRXDYDNUSA-N 0 0 447.602 -0.222 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCC4)CC2)C[C@H](C)O1 ZINC000612294209 1071269585 /nfs/dbraw/zinc/26/95/85/1071269585.db2.gz UMRYREBPZWNAJS-KBPBESRZSA-N 0 0 427.531 -0.595 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCC4)CC2)C[C@H](C)O1 ZINC000612294230 1071269462 /nfs/dbraw/zinc/26/94/62/1071269462.db2.gz UMRYREBPZWNAJS-OKILXGFUSA-N 0 0 427.531 -0.595 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCC4)CC2)C[C@@H](C)O1 ZINC000612294233 1071269659 /nfs/dbraw/zinc/26/96/59/1071269659.db2.gz UMRYREBPZWNAJS-ZIAGYGMSSA-N 0 0 427.531 -0.595 20 0 IBADRN O=C(NCc1nnc2n1CCC2)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000612297822 1071269533 /nfs/dbraw/zinc/26/95/33/1071269533.db2.gz MKFMQTQMHGHNJQ-UHFFFAOYSA-N 0 0 445.505 -0.065 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000612298850 1071269701 /nfs/dbraw/zinc/26/97/01/1071269701.db2.gz BFBGEUDEFYKDLN-UHFFFAOYSA-N 0 0 437.522 -0.431 20 0 IBADRN O=C(CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1)N1CCCC1 ZINC000612307896 1071270839 /nfs/dbraw/zinc/27/08/39/1071270839.db2.gz YQRWCNSISGYRDK-UHFFFAOYSA-N 0 0 435.506 -0.181 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000612314988 1071270679 /nfs/dbraw/zinc/27/06/79/1071270679.db2.gz MDSLSYXJRQADNP-UHFFFAOYSA-N 0 0 439.538 -0.207 20 0 IBADRN CN(C)C(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000612316042 1071271671 /nfs/dbraw/zinc/27/16/71/1071271671.db2.gz YWKFCRSCFKLGTA-UHFFFAOYSA-N 0 0 431.493 -0.399 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CCCCC1 ZINC000612322071 1071272298 /nfs/dbraw/zinc/27/22/98/1071272298.db2.gz LMWRLBBKUUYVKJ-UHFFFAOYSA-N 0 0 443.570 -0.285 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CCCCC1 ZINC000612322507 1071272283 /nfs/dbraw/zinc/27/22/83/1071272283.db2.gz RGUKBTHBKWJZFJ-UHFFFAOYSA-N 0 0 429.543 -0.629 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCC(=O)N3CCOCC3)CC2)cc1 ZINC000612322688 1073015036 /nfs/dbraw/zinc/01/50/36/1073015036.db2.gz OBHUPQNBSGNCLJ-UHFFFAOYSA-N 0 0 440.522 -0.040 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CCCCC1 ZINC000612322826 1073014925 /nfs/dbraw/zinc/01/49/25/1073014925.db2.gz XEOOCLKYMFIAAS-UHFFFAOYSA-N 0 0 445.586 -0.576 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCC(=O)N2CCOCC2)CC1 ZINC000612322853 1073015085 /nfs/dbraw/zinc/01/50/85/1073015085.db2.gz WANABWKDVCRFCD-UHFFFAOYSA-N 0 0 429.499 -0.444 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)CC1 ZINC000612322918 1071272081 /nfs/dbraw/zinc/27/20/81/1071272081.db2.gz YQWKEGADNDTBSW-UHFFFAOYSA-N 0 0 425.577 -0.628 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)CC1 ZINC000612322931 1071272436 /nfs/dbraw/zinc/27/24/36/1071272436.db2.gz YWKLYDIBUNQGCX-UHFFFAOYSA-N 0 0 431.559 -0.383 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCCC(=O)N2CCOCC2)CC1 ZINC000612323144 1071272317 /nfs/dbraw/zinc/27/23/17/1071272317.db2.gz DOVJUCFSVOFHLM-UHFFFAOYSA-N 0 0 443.526 -0.054 20 0 IBADRN O=C(CCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)N1CCOCC1 ZINC000612323213 1071272214 /nfs/dbraw/zinc/27/22/14/1071272214.db2.gz JLEUBKUZDZENNN-UHFFFAOYSA-N 0 0 434.522 -0.168 20 0 IBADRN CC(C)[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000612323679 1071272102 /nfs/dbraw/zinc/27/21/02/1071272102.db2.gz LBVINTZDLSLZAB-KRWDZBQOSA-N 0 0 433.575 -0.753 20 0 IBADRN CC(C)[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000612323680 1071272232 /nfs/dbraw/zinc/27/22/32/1071272232.db2.gz LBVINTZDLSLZAB-QGZVFWFLSA-N 0 0 433.575 -0.753 20 0 IBADRN CC(C)[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1CCOCC1 ZINC000612324807 1071272400 /nfs/dbraw/zinc/27/24/00/1071272400.db2.gz BZPJQZJPAINVCK-GOSISDBHSA-N 0 0 446.618 -0.837 20 0 IBADRN CC(C)[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)N1CCOCC1 ZINC000612324809 1071272376 /nfs/dbraw/zinc/27/23/76/1071272376.db2.gz BZPJQZJPAINVCK-SFHVURJKSA-N 0 0 446.618 -0.837 20 0 IBADRN CC(C)[C@H](CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000612325061 1071272259 /nfs/dbraw/zinc/27/22/59/1071272259.db2.gz ZBZDWXZGYOBGCX-KRWDZBQOSA-N 0 0 425.530 -0.944 20 0 IBADRN CC(C)[C@@H](CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000612325062 1071272136 /nfs/dbraw/zinc/27/21/36/1071272136.db2.gz ZBZDWXZGYOBGCX-QGZVFWFLSA-N 0 0 425.530 -0.944 20 0 IBADRN CC(C)C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000612325605 1071272966 /nfs/dbraw/zinc/27/29/66/1071272966.db2.gz AHRCSOJEMRLNJW-GOSISDBHSA-N 0 0 447.602 -0.363 20 0 IBADRN CC(C)C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000612325606 1071272886 /nfs/dbraw/zinc/27/28/86/1071272886.db2.gz AHRCSOJEMRLNJW-SFHVURJKSA-N 0 0 447.602 -0.363 20 0 IBADRN CC(C)C[C@@H](CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)N1CCOCC1 ZINC000612325969 1071273066 /nfs/dbraw/zinc/27/30/66/1071273066.db2.gz XXQSGVMMZRVQHN-IBGZPJMESA-N 0 0 425.574 -0.081 20 0 IBADRN CC(C)C[C@H](CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)N1CCOCC1 ZINC000612325970 1071273098 /nfs/dbraw/zinc/27/30/98/1071273098.db2.gz XXQSGVMMZRVQHN-LJQANCHMSA-N 0 0 425.574 -0.081 20 0 IBADRN CC(C)C[C@H](CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000612326529 1071272856 /nfs/dbraw/zinc/27/28/56/1071272856.db2.gz XPRCSYKDPNQKIS-GOSISDBHSA-N 0 0 439.557 -0.554 20 0 IBADRN CC(C)C[C@@H](CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000612326534 1071272982 /nfs/dbraw/zinc/27/29/82/1071272982.db2.gz XPRCSYKDPNQKIS-SFHVURJKSA-N 0 0 439.557 -0.554 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)c3ccccc3)CC2)CC1 ZINC000612342173 1071273525 /nfs/dbraw/zinc/27/35/25/1071273525.db2.gz KKLAZBMQCSMYBL-UHFFFAOYSA-N 0 0 438.554 -0.764 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC3CCN(C(=O)C4CC4)CC3)CC2)CC1 ZINC000612344073 1071273783 /nfs/dbraw/zinc/27/37/83/1071273783.db2.gz SZKDQBAJPJSXKB-UHFFFAOYSA-N 0 0 442.586 -0.793 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccoc2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000612344642 1071273643 /nfs/dbraw/zinc/27/36/43/1071273643.db2.gz JTOFYXQHOQWDTM-UHFFFAOYSA-N 0 0 447.492 -0.403 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000612348674 1071273805 /nfs/dbraw/zinc/27/38/05/1071273805.db2.gz WWOZFMXPRWFHBX-UHFFFAOYSA-N 0 0 444.540 -0.879 20 0 IBADRN O=C(CN1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1)NC1CC1 ZINC000612349645 1071273619 /nfs/dbraw/zinc/27/36/19/1071273619.db2.gz AEUUGNOFCWWMTE-UHFFFAOYSA-N 0 0 430.557 -0.406 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000612349683 1071274533 /nfs/dbraw/zinc/27/45/33/1071274533.db2.gz BMLIEIVQERAEOW-UHFFFAOYSA-N 0 0 432.573 -0.160 20 0 IBADRN O=C(CN1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1)N1CCCC1 ZINC000612349715 1071274430 /nfs/dbraw/zinc/27/44/30/1071274430.db2.gz CMNHPTFTWITMCO-UHFFFAOYSA-N 0 0 444.584 -0.062 20 0 IBADRN O=C(NCCCO)C1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000612350080 1071273718 /nfs/dbraw/zinc/27/37/18/1071273718.db2.gz NGDFMTHGURNVGE-UHFFFAOYSA-N 0 0 433.557 -0.091 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000612350309 1071273580 /nfs/dbraw/zinc/27/35/80/1071273580.db2.gz RVRCGFARMVUXMS-INIZCTEOSA-N 0 0 425.559 -0.288 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000612350316 1071273704 /nfs/dbraw/zinc/27/37/04/1071273704.db2.gz RVRCGFARMVUXMS-MRXNPFEDSA-N 0 0 425.559 -0.288 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000612350366 1071274653 /nfs/dbraw/zinc/27/46/53/1071274653.db2.gz WDCJOJPAIPWQJW-UHFFFAOYSA-N 0 0 448.524 -0.236 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000612350372 1071274460 /nfs/dbraw/zinc/27/44/60/1071274460.db2.gz WSVXMMPDVCHFDM-UHFFFAOYSA-N 0 0 425.559 -0.334 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000612354073 1071273659 /nfs/dbraw/zinc/27/36/59/1071273659.db2.gz DJRDRHFXDRPUMT-UHFFFAOYSA-N 0 0 437.522 -0.594 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000612357063 1071274707 /nfs/dbraw/zinc/27/47/07/1071274707.db2.gz PIAKMVWYNKTBKA-UHFFFAOYSA-N 0 0 426.467 -0.470 20 0 IBADRN Cc1ncc2c(n1)CC[C@H](NC(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)C2 ZINC000612359481 1071274331 /nfs/dbraw/zinc/27/43/31/1071274331.db2.gz PJWRYGNDTNTYID-KRWDZBQOSA-N 0 0 437.570 -0.538 20 0 IBADRN Cc1ncc2c(n1)CC[C@@H](NC(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)C2 ZINC000612359497 1071274564 /nfs/dbraw/zinc/27/45/64/1071274564.db2.gz PJWRYGNDTNTYID-QGZVFWFLSA-N 0 0 437.570 -0.538 20 0 IBADRN COc1cc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccc1O ZINC000612374289 1071275507 /nfs/dbraw/zinc/27/55/07/1071275507.db2.gz YHJPDQKPBDWIMP-UHFFFAOYSA-N 0 0 427.527 -0.280 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)C2)nc1 ZINC000612380603 1071275386 /nfs/dbraw/zinc/27/53/86/1071275386.db2.gz OLJBKHPXHWUEQB-UHFFFAOYSA-N 0 0 440.526 -0.420 20 0 IBADRN O=C(NCCCn1cncn1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000612381700 1071275304 /nfs/dbraw/zinc/27/53/04/1071275304.db2.gz WAGXBGFJACDEIV-AWEZNQCLSA-N 0 0 442.523 -0.045 20 0 IBADRN O=C(NCCCn1cncn1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000612381717 1071275259 /nfs/dbraw/zinc/27/52/59/1071275259.db2.gz WAGXBGFJACDEIV-CQSZACIVSA-N 0 0 442.523 -0.045 20 0 IBADRN O=C(NCCCn1cncn1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000612382792 1071275406 /nfs/dbraw/zinc/27/54/06/1071275406.db2.gz YXRSSSOFOCMMEG-UHFFFAOYSA-N 0 0 433.494 -0.121 20 0 IBADRN CC(C)(C)C(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000612384955 1071276147 /nfs/dbraw/zinc/27/61/47/1071276147.db2.gz XAZUQMWIBMHGCF-UHFFFAOYSA-N 0 0 445.586 -0.072 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000612399263 1071276573 /nfs/dbraw/zinc/27/65/73/1071276573.db2.gz KYSIMMYDYIBJTM-UHFFFAOYSA-N 0 0 433.509 -0.043 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000612401902 1071276628 /nfs/dbraw/zinc/27/66/28/1071276628.db2.gz FGVOXSKKQOJLJF-UHFFFAOYSA-N 0 0 425.577 -0.676 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000612435964 1071277994 /nfs/dbraw/zinc/27/79/94/1071277994.db2.gz BLKRBADEIDIMHZ-UHFFFAOYSA-N 0 0 439.538 -0.224 20 0 IBADRN CN(CCCNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)S(C)(=O)=O ZINC000612436163 1071278034 /nfs/dbraw/zinc/27/80/34/1071278034.db2.gz ZKQGAAPQCQUCJX-UHFFFAOYSA-N 0 0 425.511 -0.661 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000612436164 1071278018 /nfs/dbraw/zinc/27/80/18/1071278018.db2.gz ZPNLDWFUBKDGPT-INIZCTEOSA-N 0 0 437.522 -0.518 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000612436171 1071278295 /nfs/dbraw/zinc/27/82/95/1071278295.db2.gz ZPNLDWFUBKDGPT-MRXNPFEDSA-N 0 0 437.522 -0.518 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cn2)CCO1 ZINC000612438751 1071278156 /nfs/dbraw/zinc/27/81/56/1071278156.db2.gz AQPVLRYLPNKUJX-HNNXBMFYSA-N 0 0 426.543 -0.060 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cn2)CCO1 ZINC000612438752 1071278309 /nfs/dbraw/zinc/27/83/09/1071278309.db2.gz AQPVLRYLPNKUJX-OAHLLOKOSA-N 0 0 426.543 -0.060 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)S(=O)(=O)c1ccc(Cl)s1 ZINC000612494715 1071279963 /nfs/dbraw/zinc/27/99/63/1071279963.db2.gz WJUKEHDVGXZNCB-UHFFFAOYSA-N 0 0 448.954 -0.029 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000612538172 1071281524 /nfs/dbraw/zinc/28/15/24/1071281524.db2.gz ZHTCNTLGIYNHSU-UHFFFAOYSA-N 0 0 436.534 -0.315 20 0 IBADRN COC(=O)c1csc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000612543142 1071281545 /nfs/dbraw/zinc/28/15/45/1071281545.db2.gz CENBJNXBBMSSRV-UHFFFAOYSA-N 0 0 438.506 -0.215 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000612543195 1071281488 /nfs/dbraw/zinc/28/14/88/1071281488.db2.gz QYKSCRPSRVSTKL-UHFFFAOYSA-N 0 0 432.477 -0.277 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000612543325 1073020632 /nfs/dbraw/zinc/02/06/32/1073020632.db2.gz WFEQYWLIZOHUJO-UHFFFAOYSA-N 0 0 448.476 -0.577 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c2ccccc2O1 ZINC000612545284 1071281680 /nfs/dbraw/zinc/28/16/80/1071281680.db2.gz ZGTAIBGEGTVRPQ-AWEZNQCLSA-N 0 0 427.417 -0.209 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c2ccccc2O1 ZINC000612545293 1071281446 /nfs/dbraw/zinc/28/14/46/1071281446.db2.gz ZGTAIBGEGTVRPQ-CQSZACIVSA-N 0 0 427.417 -0.209 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCOCC(F)(F)F)CC2)CC1 ZINC000612583847 1071283031 /nfs/dbraw/zinc/28/30/31/1071283031.db2.gz GEAYYIYTNINJIS-UHFFFAOYSA-N 0 0 431.481 -0.225 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CCO1 ZINC000612596332 1071283007 /nfs/dbraw/zinc/28/30/07/1071283007.db2.gz JZDIGHGJMYUZRQ-AWEZNQCLSA-N 0 0 433.421 -0.027 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CCO1 ZINC000612596333 1071282939 /nfs/dbraw/zinc/28/29/39/1071282939.db2.gz JZDIGHGJMYUZRQ-CQSZACIVSA-N 0 0 433.421 -0.027 20 0 IBADRN CCOC(=O)[C@@H](CO)NS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000612631997 1071283651 /nfs/dbraw/zinc/28/36/51/1071283651.db2.gz YUXVALKJKBNWJX-SECBINFHSA-N 0 0 436.284 -0.158 20 0 IBADRN CCOC(=O)[C@H](CO)NS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000612631998 1071283614 /nfs/dbraw/zinc/28/36/14/1071283614.db2.gz YUXVALKJKBNWJX-VIFPVBQESA-N 0 0 436.284 -0.158 20 0 IBADRN CCOC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000612633181 1071284651 /nfs/dbraw/zinc/28/46/51/1071284651.db2.gz UQYRGLQTSXAVSU-LLVKDONJSA-N 0 0 434.414 -0.271 20 0 IBADRN CCOC(=O)[C@H](CO)NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000612633183 1071284666 /nfs/dbraw/zinc/28/46/66/1071284666.db2.gz UQYRGLQTSXAVSU-NSHDSACASA-N 0 0 434.414 -0.271 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ccccc12 ZINC000612647962 1071284457 /nfs/dbraw/zinc/28/44/57/1071284457.db2.gz QSYJWOQLQSEUNK-UHFFFAOYSA-N 0 0 442.472 -0.043 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(n3cc(C(=O)OC)nn3)CC2)c1 ZINC000612684680 1073025508 /nfs/dbraw/zinc/02/55/08/1073025508.db2.gz ABSVEXHDTYNERU-UHFFFAOYSA-N 0 0 443.507 -0.001 20 0 IBADRN COCCOc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000612697945 1071285912 /nfs/dbraw/zinc/28/59/12/1071285912.db2.gz MAYHAKLDUFETEN-UHFFFAOYSA-N 0 0 443.526 -0.489 20 0 IBADRN COCCOc1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000612698602 1071285991 /nfs/dbraw/zinc/28/59/91/1071285991.db2.gz LZECZLRHYTWREJ-UHFFFAOYSA-N 0 0 435.481 -0.681 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000612708897 1071286091 /nfs/dbraw/zinc/28/60/91/1071286091.db2.gz HHLKMUQBKJOJFW-UHFFFAOYSA-N 0 0 428.537 -0.059 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000612709379 1071286806 /nfs/dbraw/zinc/28/68/06/1071286806.db2.gz YYLBQZZAEITXIT-UHFFFAOYSA-N 0 0 444.580 -0.005 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000612712866 1071286641 /nfs/dbraw/zinc/28/66/41/1071286641.db2.gz BSDQQXKCUKSKEX-UHFFFAOYSA-N 0 0 441.444 -0.063 20 0 IBADRN COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000612713700 1071286790 /nfs/dbraw/zinc/28/67/90/1071286790.db2.gz GIMHPZKAPNUJHT-AWEZNQCLSA-N 0 0 440.522 -0.073 20 0 IBADRN COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000612713706 1071286670 /nfs/dbraw/zinc/28/66/70/1071286670.db2.gz GIMHPZKAPNUJHT-CQSZACIVSA-N 0 0 440.522 -0.073 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000612719003 1071287530 /nfs/dbraw/zinc/28/75/30/1071287530.db2.gz RLQIOISTVGRSRH-GFCCVEGCSA-N 0 0 430.483 -0.347 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000612719005 1071287599 /nfs/dbraw/zinc/28/75/99/1071287599.db2.gz RLQIOISTVGRSRH-LBPRGKRZSA-N 0 0 430.483 -0.347 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000612720384 1071287380 /nfs/dbraw/zinc/28/73/80/1071287380.db2.gz VMUMLDMQNGBOOA-UHFFFAOYSA-N 0 0 443.464 -0.307 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000612722636 1071287510 /nfs/dbraw/zinc/28/75/10/1071287510.db2.gz VINMEEBGEXAZDO-UHFFFAOYSA-N 0 0 439.450 -0.290 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cc(C)[nH]c2C(=O)OC)CC1 ZINC000612723122 1071287591 /nfs/dbraw/zinc/28/75/91/1071287591.db2.gz GKEJLJASWPRUJQ-AWEZNQCLSA-N 0 0 437.497 -0.266 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cc(C)[nH]c2C(=O)OC)CC1 ZINC000612723123 1071287576 /nfs/dbraw/zinc/28/75/76/1071287576.db2.gz GKEJLJASWPRUJQ-CQSZACIVSA-N 0 0 437.497 -0.266 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C)[nH]c2C(=O)OC)CC1 ZINC000612724707 1071287415 /nfs/dbraw/zinc/28/74/15/1071287415.db2.gz FUCLDKXAHISOIT-UHFFFAOYSA-N 0 0 430.483 -0.441 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000612725663 1071287549 /nfs/dbraw/zinc/28/75/49/1071287549.db2.gz PVPRUOCFIYRAMU-UHFFFAOYSA-N 0 0 444.510 -0.053 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000612728595 1071287404 /nfs/dbraw/zinc/28/74/04/1071287404.db2.gz RIRHBQLBVJRCLQ-CYBMUJFWSA-N 0 0 442.494 -0.299 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000612728596 1071287631 /nfs/dbraw/zinc/28/76/31/1071287631.db2.gz RIRHBQLBVJRCLQ-ZDUSSCGKSA-N 0 0 442.494 -0.299 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)nn1 ZINC000612750098 1071288399 /nfs/dbraw/zinc/28/83/99/1071288399.db2.gz AQEHBBOUPFPZKQ-UHFFFAOYSA-N 0 0 446.468 -0.011 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC(n3cc(C(=O)OC)nn3)CC2)cn1 ZINC000612752018 1071288496 /nfs/dbraw/zinc/28/84/96/1071288496.db2.gz HTEZHYXVKZFLDP-UHFFFAOYSA-N 0 0 434.457 -0.107 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)nn1 ZINC000612752081 1071288094 /nfs/dbraw/zinc/28/80/94/1071288094.db2.gz XGBSLCCDNSIQQT-UHFFFAOYSA-N 0 0 446.468 -0.011 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000612760352 1071288486 /nfs/dbraw/zinc/28/84/86/1071288486.db2.gz DGFFTTSNQHYENX-HNNXBMFYSA-N 0 0 443.547 -0.107 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000612760374 1071288352 /nfs/dbraw/zinc/28/83/52/1071288352.db2.gz DGFFTTSNQHYENX-OAHLLOKOSA-N 0 0 443.547 -0.107 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC(C)(C)N2CCOCC2)c1 ZINC000612764573 1071289133 /nfs/dbraw/zinc/28/91/33/1071289133.db2.gz CQLJDBQXHMRNIT-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC000612765573 1071289256 /nfs/dbraw/zinc/28/92/56/1071289256.db2.gz ILQMHBGZQHUXLX-UHFFFAOYSA-N 0 0 439.538 -0.502 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000612766016 1071289068 /nfs/dbraw/zinc/28/90/68/1071289068.db2.gz NTTGPVNBHQEVSQ-UHFFFAOYSA-N 0 0 444.579 -0.456 20 0 IBADRN Cc1ccc(C(=O)N(C)CC(=O)N2CCN(C)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000612766452 1071288954 /nfs/dbraw/zinc/28/89/54/1071288954.db2.gz TWPPSULOPZZMJA-UHFFFAOYSA-N 0 0 438.550 -0.138 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)CC(=O)N1CCN(C)CC1 ZINC000612766453 1071288986 /nfs/dbraw/zinc/28/89/86/1071288986.db2.gz TXURHHZQCYWEPD-UHFFFAOYSA-N 0 0 438.550 -0.138 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(C)CC(=O)N2CCN(C)CC2)c1 ZINC000612766722 1071289271 /nfs/dbraw/zinc/28/92/71/1071289271.db2.gz ZLXOJPZHKPDJFT-UHFFFAOYSA-N 0 0 441.554 -0.889 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(C[C@@H]3CCCO3)CC2)c1 ZINC000612771588 1071289247 /nfs/dbraw/zinc/28/92/47/1071289247.db2.gz YDYGVZMTRSUVSI-KRWDZBQOSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(C[C@H]3CCCO3)CC2)c1 ZINC000612771589 1071289220 /nfs/dbraw/zinc/28/92/20/1071289220.db2.gz YDYGVZMTRSUVSI-QGZVFWFLSA-N 0 0 438.550 -0.010 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1c(C)noc1C ZINC000612777970 1071289968 /nfs/dbraw/zinc/28/99/68/1071289968.db2.gz BUNJGOKSLRIPKN-AAEUAGOBSA-N 0 0 432.499 -0.222 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000612778024 1071290027 /nfs/dbraw/zinc/29/00/27/1071290027.db2.gz CYVKNPKDLWCAGB-ZDUSSCGKSA-N 0 0 445.494 -0.266 20 0 IBADRN CNC(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000612784404 1071289798 /nfs/dbraw/zinc/28/97/98/1071289798.db2.gz XCEYCRLQJVIRDD-UHFFFAOYSA-N 0 0 430.914 -0.185 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(CCNC(C)=O)cc1 ZINC000612799090 1071290797 /nfs/dbraw/zinc/29/07/97/1071290797.db2.gz BKGDIBOOKQPHHH-KRWDZBQOSA-N 0 0 441.506 -0.566 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(CCNC(C)=O)cc1 ZINC000612799097 1071290607 /nfs/dbraw/zinc/29/06/07/1071290607.db2.gz BKGDIBOOKQPHHH-QGZVFWFLSA-N 0 0 441.506 -0.566 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(NC(C)=O)cc1F ZINC000612799102 1071290776 /nfs/dbraw/zinc/29/07/76/1071290776.db2.gz FWEPXRJHDXUOPA-AWEZNQCLSA-N 0 0 431.442 -0.147 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(NC(C)=O)cc1F ZINC000612799103 1071290564 /nfs/dbraw/zinc/29/05/64/1071290564.db2.gz FWEPXRJHDXUOPA-CQSZACIVSA-N 0 0 431.442 -0.147 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccc(C)c1C(=O)OC ZINC000612799110 1071290681 /nfs/dbraw/zinc/29/06/81/1071290681.db2.gz HOSAZIWCWGJXFT-CYBMUJFWSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccc(C)c1C(=O)OC ZINC000612799111 1071290693 /nfs/dbraw/zinc/29/06/93/1071290693.db2.gz HOSAZIWCWGJXFT-ZDUSSCGKSA-N 0 0 428.463 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(OC)c(NC(C)=O)c1 ZINC000612799112 1071290699 /nfs/dbraw/zinc/29/06/99/1071290699.db2.gz KJFDDICZWRPMQR-HNNXBMFYSA-N 0 0 443.478 -0.278 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(OC)c(NC(C)=O)c1 ZINC000612799113 1071290730 /nfs/dbraw/zinc/29/07/30/1071290730.db2.gz KJFDDICZWRPMQR-OAHLLOKOSA-N 0 0 443.478 -0.278 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)C2)nn1 ZINC000612812728 1071291242 /nfs/dbraw/zinc/29/12/42/1071291242.db2.gz CPJYGORTUVKCSJ-CMPLNLGQSA-N 0 0 426.455 -0.190 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)C2)nn1 ZINC000612812729 1071291530 /nfs/dbraw/zinc/29/15/30/1071291530.db2.gz CPJYGORTUVKCSJ-JQWIXIFHSA-N 0 0 426.455 -0.190 20 0 IBADRN CN(CCCNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)S(C)(=O)=O ZINC000612834095 1071291502 /nfs/dbraw/zinc/29/15/02/1071291502.db2.gz FIQPBUWLQSLFFD-UHFFFAOYSA-N 0 0 433.556 -0.553 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000612834103 1071291349 /nfs/dbraw/zinc/29/13/49/1071291349.db2.gz FWTXUQSNCGTUHT-UHFFFAOYSA-N 0 0 436.556 -0.308 20 0 IBADRN CN(CCCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)S(C)(=O)=O ZINC000612834182 1071291513 /nfs/dbraw/zinc/29/15/13/1071291513.db2.gz LMGZWWNZAIXMOF-UHFFFAOYSA-N 0 0 442.567 -0.135 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000612834222 1071291422 /nfs/dbraw/zinc/29/14/22/1071291422.db2.gz OMYMPGVQHGNDQL-UHFFFAOYSA-N 0 0 437.544 -0.411 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCCN(C)S(C)(=O)=O)CC2)cc1 ZINC000612834233 1071291409 /nfs/dbraw/zinc/29/14/09/1071291409.db2.gz PLRZQFQNWWPFGA-UHFFFAOYSA-N 0 0 448.567 -0.007 20 0 IBADRN CN(CCCNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)S(C)(=O)=O ZINC000612834287 1071291468 /nfs/dbraw/zinc/29/14/68/1071291468.db2.gz UJVIIUKBIDKQOZ-UHFFFAOYSA-N 0 0 425.555 -0.089 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2)nn1 ZINC000612834717 1071291579 /nfs/dbraw/zinc/29/15/79/1071291579.db2.gz ITJBPRHIAAHHMS-AWEZNQCLSA-N 0 0 432.441 -0.401 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2)nn1 ZINC000612834718 1071291327 /nfs/dbraw/zinc/29/13/27/1071291327.db2.gz ITJBPRHIAAHHMS-CQSZACIVSA-N 0 0 432.441 -0.401 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)nn1 ZINC000612834926 1071292023 /nfs/dbraw/zinc/29/20/23/1071292023.db2.gz OUWHCQCHUVRAHQ-CYBMUJFWSA-N 0 0 432.441 -0.401 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)nn1 ZINC000612834927 1071292123 /nfs/dbraw/zinc/29/21/23/1071292123.db2.gz OUWHCQCHUVRAHQ-ZDUSSCGKSA-N 0 0 432.441 -0.401 20 0 IBADRN CN(CCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)CC(F)(F)F ZINC000612835997 1071292080 /nfs/dbraw/zinc/29/20/80/1071292080.db2.gz RPXNYTMIBMKRQA-UHFFFAOYSA-N 0 0 431.481 -0.225 20 0 IBADRN CCOC(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000612846746 1071292328 /nfs/dbraw/zinc/29/23/28/1071292328.db2.gz PVYGJKDKGRPIAM-CYBMUJFWSA-N 0 0 441.510 -0.440 20 0 IBADRN CCOC(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000612846747 1071292171 /nfs/dbraw/zinc/29/21/71/1071292171.db2.gz PVYGJKDKGRPIAM-ZDUSSCGKSA-N 0 0 441.510 -0.440 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000612847550 1071292323 /nfs/dbraw/zinc/29/23/23/1071292323.db2.gz FNCKKZOSEKRJDI-GFCCVEGCSA-N 0 0 436.450 -0.615 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cc1 ZINC000612847551 1071292192 /nfs/dbraw/zinc/29/21/92/1071292192.db2.gz FNCKKZOSEKRJDI-LBPRGKRZSA-N 0 0 436.450 -0.615 20 0 IBADRN C[C@@H](Sc1nnc2c(=O)[nH]c3ccccc3n12)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000612870254 1071292813 /nfs/dbraw/zinc/29/28/13/1071292813.db2.gz JAHBZTNYVKMJGA-MRVPVSSYSA-N 0 0 427.446 -0.086 20 0 IBADRN C[C@H](Sc1nnc2c(=O)[nH]c3ccccc3n12)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000612870255 1071292906 /nfs/dbraw/zinc/29/29/06/1071292906.db2.gz JAHBZTNYVKMJGA-QMMMGPOBSA-N 0 0 427.446 -0.086 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC2)no1 ZINC000612878372 1073330734 /nfs/dbraw/zinc/33/07/34/1073330734.db2.gz NUMUGYHFZLBFPO-UHFFFAOYSA-N 0 0 430.531 -0.033 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000612878716 1071292006 /nfs/dbraw/zinc/29/20/06/1071292006.db2.gz DFTDQOZYOFUISG-UHFFFAOYSA-N 0 0 447.583 -0.117 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000612878888 1071293015 /nfs/dbraw/zinc/29/30/15/1071293015.db2.gz HEYLVSVNKYAYSD-UHFFFAOYSA-N 0 0 433.556 -0.185 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3cc[nH]n3)CC2)cc1 ZINC000612902368 1071292669 /nfs/dbraw/zinc/29/26/69/1071292669.db2.gz LWCURQZXSKKOQU-UHFFFAOYSA-N 0 0 428.496 -0.727 20 0 IBADRN COc1cc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1OCC(N)=O ZINC000612907133 1071292986 /nfs/dbraw/zinc/29/29/86/1071292986.db2.gz UKXJGZCRJDYALL-UHFFFAOYSA-N 0 0 429.499 -0.807 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000612921449 1071293925 /nfs/dbraw/zinc/29/39/25/1071293925.db2.gz OORDYRDMHXBYAE-UHFFFAOYSA-N 0 0 433.556 -0.760 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000612923777 1071293956 /nfs/dbraw/zinc/29/39/56/1071293956.db2.gz GGTOZWAJKBVIRE-UHFFFAOYSA-N 0 0 433.556 -0.430 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000612932605 1071294093 /nfs/dbraw/zinc/29/40/93/1071294093.db2.gz VZRVHWPSOJCWDS-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000612932606 1071293980 /nfs/dbraw/zinc/29/39/80/1071293980.db2.gz VZRVHWPSOJCWDS-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)cc1 ZINC000612945920 1071294060 /nfs/dbraw/zinc/29/40/60/1071294060.db2.gz PHKCMJGADGAEMN-UHFFFAOYSA-N 0 0 436.428 -0.023 20 0 IBADRN Cc1cc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000613002679 1071295754 /nfs/dbraw/zinc/29/57/54/1071295754.db2.gz CRLGRDTVPKDWPY-AWEZNQCLSA-N 0 0 438.510 -0.494 20 0 IBADRN Cc1cc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000613002681 1071295518 /nfs/dbraw/zinc/29/55/18/1071295518.db2.gz CRLGRDTVPKDWPY-CQSZACIVSA-N 0 0 438.510 -0.494 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)C2)nn1 ZINC000613051231 1071297087 /nfs/dbraw/zinc/29/70/87/1071297087.db2.gz VGBJGHONLILJTR-GFCCVEGCSA-N 0 0 436.450 -0.486 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)C2)nn1 ZINC000613051232 1071297930 /nfs/dbraw/zinc/29/79/30/1071297930.db2.gz VGBJGHONLILJTR-LBPRGKRZSA-N 0 0 436.450 -0.486 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000613060779 1071297812 /nfs/dbraw/zinc/29/78/12/1071297812.db2.gz FMJUXINOUDLQHS-UHFFFAOYSA-N 0 0 430.465 -0.061 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000613062327 1071297790 /nfs/dbraw/zinc/29/77/90/1071297790.db2.gz OBHGCZCBYYLHRW-UHFFFAOYSA-N 0 0 428.449 -0.307 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1 ZINC000613063665 1071298070 /nfs/dbraw/zinc/29/80/70/1071298070.db2.gz ZANLEPNLZGJJEB-UHFFFAOYSA-N 0 0 430.465 -0.061 20 0 IBADRN O=C(Nc1cccc(N2C(=O)CNC2=O)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000613064000 1071298031 /nfs/dbraw/zinc/29/80/31/1071298031.db2.gz HIWUFTXJKGXFCF-UHFFFAOYSA-N 0 0 444.448 -0.722 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1 ZINC000613064710 1071298049 /nfs/dbraw/zinc/29/80/49/1071298049.db2.gz NQCFPKCLPQPSGH-UHFFFAOYSA-N 0 0 428.449 -0.307 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000613084838 1071298335 /nfs/dbraw/zinc/29/83/35/1071298335.db2.gz QIGPDKHLNVUBMX-HNNXBMFYSA-N 0 0 427.479 -0.007 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000613084840 1071298490 /nfs/dbraw/zinc/29/84/90/1071298490.db2.gz QIGPDKHLNVUBMX-OAHLLOKOSA-N 0 0 427.479 -0.007 20 0 IBADRN Cc1nc2ccnn2c(C)c1CCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000613190696 1071302052 /nfs/dbraw/zinc/30/20/52/1071302052.db2.gz PSGUFDNNHFIJJO-UHFFFAOYSA-N 0 0 428.493 -0.192 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC3=NCC(=O)N3)CC2)c1 ZINC000613190972 1071301957 /nfs/dbraw/zinc/30/19/57/1071301957.db2.gz ZYGNQNUIQUHWIG-UHFFFAOYSA-N 0 0 435.506 -0.481 20 0 IBADRN Cn1ccnc1S(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000613220425 1073441713 /nfs/dbraw/zinc/44/17/13/1073441713.db2.gz OAWPHFSMFFJGHP-UHFFFAOYSA-N 0 0 428.492 -0.147 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000613245074 1071303183 /nfs/dbraw/zinc/30/31/83/1071303183.db2.gz PYHHOQJTWMNKFH-KRWDZBQOSA-N 0 0 435.477 -0.784 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000613245075 1071303121 /nfs/dbraw/zinc/30/31/21/1071303121.db2.gz PYHHOQJTWMNKFH-QGZVFWFLSA-N 0 0 435.477 -0.784 20 0 IBADRN CCOC(=O)c1cccc(OC[C@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000613245106 1073541823 /nfs/dbraw/zinc/54/18/23/1073541823.db2.gz VQJSRKVYNSJHEY-GOSISDBHSA-N 0 0 449.504 -0.394 20 0 IBADRN CCOC(=O)c1cccc(OC[C@@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000613245114 1071303947 /nfs/dbraw/zinc/30/39/47/1071303947.db2.gz VQJSRKVYNSJHEY-SFHVURJKSA-N 0 0 449.504 -0.394 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)c(OC)c1 ZINC000613246098 1071304522 /nfs/dbraw/zinc/30/45/22/1071304522.db2.gz XECXZIYUVLPMHV-INIZCTEOSA-N 0 0 437.493 -0.110 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)c(OC)c1 ZINC000613246124 1073550756 /nfs/dbraw/zinc/55/07/56/1073550756.db2.gz XECXZIYUVLPMHV-MRXNPFEDSA-N 0 0 437.493 -0.110 20 0 IBADRN Cc1ccc(C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000613251714 1073552353 /nfs/dbraw/zinc/55/23/53/1073552353.db2.gz LSLBTPILAJFNEE-UHFFFAOYSA-N 0 0 438.550 -0.138 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)CC1 ZINC000613251836 1071303846 /nfs/dbraw/zinc/30/38/46/1071303846.db2.gz BTWYBRQJWPLCAO-KRWDZBQOSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)CC1 ZINC000613251837 1071303917 /nfs/dbraw/zinc/30/39/17/1071303917.db2.gz BTWYBRQJWPLCAO-QGZVFWFLSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CC1 ZINC000613251916 1071304088 /nfs/dbraw/zinc/30/40/88/1071304088.db2.gz KESUECUUZSINHK-KRWDZBQOSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CC1 ZINC000613251917 1073552432 /nfs/dbraw/zinc/55/24/32/1073552432.db2.gz KESUECUUZSINHK-QGZVFWFLSA-N 0 0 438.550 -0.010 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000613251959 1071304067 /nfs/dbraw/zinc/30/40/67/1071304067.db2.gz MZGMOVUZVUPIJD-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000613251980 1071303930 /nfs/dbraw/zinc/30/39/30/1071303930.db2.gz PUGIYIFMKHLINT-UHFFFAOYSA-N 0 0 426.539 -0.014 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000613251988 1071304020 /nfs/dbraw/zinc/30/40/20/1071304020.db2.gz RJZTVLSIWPKITR-UHFFFAOYSA-N 0 0 426.539 -0.234 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000613252010 1073552366 /nfs/dbraw/zinc/55/23/66/1073552366.db2.gz VBKXIZMASOXXMD-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000613314289 1071305368 /nfs/dbraw/zinc/30/53/68/1071305368.db2.gz IRZDLLBLBPJYOO-IBGZPJMESA-N 0 0 443.548 -0.368 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NC[C@H]1CCN(c2ccccc2)C1 ZINC000613314290 1071305534 /nfs/dbraw/zinc/30/55/34/1071305534.db2.gz IRZDLLBLBPJYOO-LJQANCHMSA-N 0 0 443.548 -0.368 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)cn1 ZINC000613335587 1071306060 /nfs/dbraw/zinc/30/60/60/1071306060.db2.gz KRKMGWZCMKKDDM-UHFFFAOYSA-N 0 0 434.522 -0.117 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000613336086 1071305924 /nfs/dbraw/zinc/30/59/24/1071305924.db2.gz SSDZOXZQEKVZNZ-INIZCTEOSA-N 0 0 429.564 -0.148 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000613336091 1071306180 /nfs/dbraw/zinc/30/61/80/1071306180.db2.gz SSDZOXZQEKVZNZ-MRXNPFEDSA-N 0 0 429.564 -0.148 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc2c1=O ZINC000613341896 1071305959 /nfs/dbraw/zinc/30/59/59/1071305959.db2.gz BHYJGZIWSCPNFG-UHFFFAOYSA-N 0 0 429.477 -0.261 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCC(=O)N1CCOCC1 ZINC000613366582 1071306456 /nfs/dbraw/zinc/30/64/56/1071306456.db2.gz AJPIBIIGTFZYSO-UHFFFAOYSA-N 0 0 427.479 -0.509 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(Cc2ccco2)CC1 ZINC000613367119 1071306723 /nfs/dbraw/zinc/30/67/23/1071306723.db2.gz SZIAQFVVHNHTAW-INIZCTEOSA-N 0 0 426.539 -0.451 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(Cc2ccco2)CC1 ZINC000613367120 1072623395 /nfs/dbraw/zinc/62/33/95/1072623395.db2.gz SZIAQFVVHNHTAW-MRXNPFEDSA-N 0 0 426.539 -0.451 20 0 IBADRN NC(=O)c1ccc(CN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C2CC2)cc1 ZINC000613384402 1071307035 /nfs/dbraw/zinc/30/70/35/1071307035.db2.gz HIRQWHHOJSLIPS-UHFFFAOYSA-N 0 0 443.548 -0.247 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000613392913 1071307012 /nfs/dbraw/zinc/30/70/12/1071307012.db2.gz IRXHNGDKIODTOE-UHFFFAOYSA-N 0 0 426.539 -0.152 20 0 IBADRN CN(CCCNC(=O)CN1CCN(S(=O)(=O)Cc2ccccc2)CC1)S(C)(=O)=O ZINC000613400267 1071307210 /nfs/dbraw/zinc/30/72/10/1071307210.db2.gz CYGUAEBSZGSVNU-UHFFFAOYSA-N 0 0 446.595 -0.468 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCN(S(=O)(=O)N(C)C)CC3)CC2)C1 ZINC000613401681 1071307796 /nfs/dbraw/zinc/30/77/96/1071307796.db2.gz CMHRLAKFWDHVQY-KRWDZBQOSA-N 0 0 443.614 -0.093 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCN(S(=O)(=O)N(C)C)CC3)CC2)C1 ZINC000613401683 1071307825 /nfs/dbraw/zinc/30/78/25/1071307825.db2.gz CMHRLAKFWDHVQY-QGZVFWFLSA-N 0 0 443.614 -0.093 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC000613401782 1071307678 /nfs/dbraw/zinc/30/76/78/1071307678.db2.gz PJJQBTKPDHOPBY-UHFFFAOYSA-N 0 0 437.566 -0.151 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000613409557 1071307520 /nfs/dbraw/zinc/30/75/20/1071307520.db2.gz FSPOMWIGHPDQFV-GOSISDBHSA-N 0 0 446.614 -0.475 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000613409559 1071307812 /nfs/dbraw/zinc/30/78/12/1071307812.db2.gz FSPOMWIGHPDQFV-SFHVURJKSA-N 0 0 446.614 -0.475 20 0 IBADRN CN(CCCNC(=O)CN1CCN(CC(=O)Nc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000613410372 1071307896 /nfs/dbraw/zinc/30/78/96/1071307896.db2.gz QUVYTTKNTDMHJF-UHFFFAOYSA-N 0 0 443.545 -0.221 20 0 IBADRN CN(C)C(=O)CN1CCN(CC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)CC1 ZINC000613414947 1071307549 /nfs/dbraw/zinc/30/75/49/1071307549.db2.gz HEPNRKFRZAMJBQ-UHFFFAOYSA-N 0 0 429.565 -0.029 20 0 IBADRN CCCc1cc(=O)n2nc(N3CCN(S(=O)(=O)N4CCOCC4)CC3)sc2n1 ZINC000613415475 1071308319 /nfs/dbraw/zinc/30/83/19/1071308319.db2.gz FTUNBGBGTPGALB-UHFFFAOYSA-N 0 0 428.540 -0.198 20 0 IBADRN COc1cccc(CNC(=O)[C@H](C)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000613415510 1071308437 /nfs/dbraw/zinc/30/84/37/1071308437.db2.gz HUBMRESGARAHIR-KRWDZBQOSA-N 0 0 439.582 -0.190 20 0 IBADRN COc1cccc(CNC(=O)[C@@H](C)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000613415511 1071308163 /nfs/dbraw/zinc/30/81/63/1071308163.db2.gz HUBMRESGARAHIR-QGZVFWFLSA-N 0 0 439.582 -0.190 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCC(=O)Nc3ccc4c(c3)OCO4)CC2)CC1 ZINC000613415512 1071308372 /nfs/dbraw/zinc/30/83/72/1071308372.db2.gz HVJKWYRNDPGBSG-UHFFFAOYSA-N 0 0 439.538 -0.146 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000613415546 1071308126 /nfs/dbraw/zinc/30/81/26/1071308126.db2.gz IXIHVXWMEFEWRK-UHFFFAOYSA-N 0 0 445.611 -0.453 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCC3(c4ccccc4)CC3)CC2)CC1 ZINC000613415619 1071307589 /nfs/dbraw/zinc/30/75/89/1071307589.db2.gz KEIGTZJTQMRWLK-UHFFFAOYSA-N 0 0 435.594 -0.056 20 0 IBADRN Cc1cccc(OCCNC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000613415671 1071307747 /nfs/dbraw/zinc/30/77/47/1071307747.db2.gz LUUXDFWINLEKHN-UHFFFAOYSA-N 0 0 439.582 -0.400 20 0 IBADRN COc1ccccc1CNC(=O)[C@H](C)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613415691 1071307835 /nfs/dbraw/zinc/30/78/35/1071307835.db2.gz MBEYVNPZPNNMKT-KRWDZBQOSA-N 0 0 439.582 -0.190 20 0 IBADRN COc1ccccc1CNC(=O)[C@@H](C)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613415692 1071307691 /nfs/dbraw/zinc/30/76/91/1071307691.db2.gz MBEYVNPZPNNMKT-QGZVFWFLSA-N 0 0 439.582 -0.190 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613415693 1071308403 /nfs/dbraw/zinc/30/84/03/1071308403.db2.gz MBFZNGJWJFSPCF-INIZCTEOSA-N 0 0 427.575 -0.526 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613415701 1071308338 /nfs/dbraw/zinc/30/83/38/1071308338.db2.gz MBFZNGJWJFSPCF-MRXNPFEDSA-N 0 0 427.575 -0.526 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(F)cc1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613416051 1071307863 /nfs/dbraw/zinc/30/78/63/1071307863.db2.gz OJIIMMMPFJGZEC-INIZCTEOSA-N 0 0 427.546 -0.060 20 0 IBADRN C[C@H](C(=O)NCc1ccc(F)cc1)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613416052 1071307728 /nfs/dbraw/zinc/30/77/28/1071307728.db2.gz OJIIMMMPFJGZEC-MRXNPFEDSA-N 0 0 427.546 -0.060 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCC(c4ccccc4)=N3)CC2)CC1 ZINC000613416089 1071307663 /nfs/dbraw/zinc/30/76/63/1071307663.db2.gz QMMHOGYVAPJSHU-UHFFFAOYSA-N 0 0 434.566 -0.267 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(OC)c1 ZINC000613416159 1071307477 /nfs/dbraw/zinc/30/74/77/1071307477.db2.gz ROMYMAMOOWLZEH-UHFFFAOYSA-N 0 0 441.554 -0.248 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000613417067 1072638491 /nfs/dbraw/zinc/63/84/91/1072638491.db2.gz DTFFLRPPMNYQIL-UHFFFAOYSA-N 0 0 425.555 -0.579 20 0 IBADRN COc1ccccc1CNC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613417071 1071308496 /nfs/dbraw/zinc/30/84/96/1071308496.db2.gz FAPXZZWFCLLEEH-UHFFFAOYSA-N 0 0 425.555 -0.579 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3ccc4c(c3)OCCO4)CC2)CC1 ZINC000613417072 1071308846 /nfs/dbraw/zinc/30/88/46/1071308846.db2.gz FIKLRWGHLCEXPW-UHFFFAOYSA-N 0 0 439.538 -0.494 20 0 IBADRN COc1ccccc1[C@H](C)NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613417073 1071308811 /nfs/dbraw/zinc/30/88/11/1071308811.db2.gz FIQRQHCSJYQSEA-KRWDZBQOSA-N 0 0 439.582 -0.018 20 0 IBADRN COc1ccccc1[C@@H](C)NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613417074 1071309042 /nfs/dbraw/zinc/30/90/42/1071309042.db2.gz FIQRQHCSJYQSEA-QGZVFWFLSA-N 0 0 439.582 -0.018 20 0 IBADRN CN(Cc1ccccc1F)C(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613417089 1071308796 /nfs/dbraw/zinc/30/87/96/1071308796.db2.gz HGZYBPGROPTYOZ-UHFFFAOYSA-N 0 0 427.546 -0.106 20 0 IBADRN Cc1cc(=O)n2nc(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)sc2n1 ZINC000613417092 1071308700 /nfs/dbraw/zinc/30/87/00/1071308700.db2.gz HOBWLJOHLWXMRJ-UHFFFAOYSA-N 0 0 427.556 -0.931 20 0 IBADRN COc1ccccc1CCNC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613417107 1071309139 /nfs/dbraw/zinc/30/91/39/1071309139.db2.gz IZAJQEGLVRJNRM-UHFFFAOYSA-N 0 0 439.582 -0.536 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)N3CCc4sccc4C3)CC2)CC1 ZINC000613417128 1071308774 /nfs/dbraw/zinc/30/87/74/1071308774.db2.gz KRMHZIQEMBIXMQ-UHFFFAOYSA-N 0 0 427.596 -0.257 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)NCCOc3ccccc3)CC2)CC1 ZINC000613417175 1071308677 /nfs/dbraw/zinc/30/86/77/1071308677.db2.gz OYDQSKDPROGXDG-UHFFFAOYSA-N 0 0 425.555 -0.709 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000613417202 1071308266 /nfs/dbraw/zinc/30/82/66/1071308266.db2.gz QEITYEPOAUGDAC-UHFFFAOYSA-N 0 0 439.582 -0.237 20 0 IBADRN CC(=O)c1cccc(OC[C@@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000613417216 1071308050 /nfs/dbraw/zinc/30/80/50/1071308050.db2.gz QMTXWHRBIQMYRT-IBGZPJMESA-N 0 0 440.566 -0.261 20 0 IBADRN CC(=O)c1cccc(OC[C@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000613417218 1071308229 /nfs/dbraw/zinc/30/82/29/1071308229.db2.gz QMTXWHRBIQMYRT-LJQANCHMSA-N 0 0 440.566 -0.261 20 0 IBADRN COc1cccc(CNC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000613417477 1071309090 /nfs/dbraw/zinc/30/90/90/1071309090.db2.gz YGUVPVRHVOXVAL-UHFFFAOYSA-N 0 0 425.555 -0.579 20 0 IBADRN COc1ccc(COC[C@@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000613417481 1071309194 /nfs/dbraw/zinc/30/91/94/1071309194.db2.gz YSBADIZFGVOFEG-IBGZPJMESA-N 0 0 442.582 -0.318 20 0 IBADRN COc1ccc(COC[C@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000613417485 1071309005 /nfs/dbraw/zinc/30/90/05/1071309005.db2.gz YSBADIZFGVOFEG-LJQANCHMSA-N 0 0 442.582 -0.318 20 0 IBADRN CCN(CC(=O)N(C)C)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000613417592 1071309066 /nfs/dbraw/zinc/30/90/66/1071309066.db2.gz WTNZCQHEDIQKEU-UHFFFAOYSA-N 0 0 426.539 -0.062 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(CC(=O)N3CCN(C(=O)C4CC4)CC3)CC2)cc1 ZINC000613426819 1071309116 /nfs/dbraw/zinc/30/91/16/1071309116.db2.gz PEEAHZZJJKBOPW-UHFFFAOYSA-N 0 0 435.550 -0.463 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000613436781 1071309702 /nfs/dbraw/zinc/30/97/02/1071309702.db2.gz SXKXGHSRYGDVNL-UHFFFAOYSA-N 0 0 444.529 -0.305 20 0 IBADRN CCCc1cc(=O)n2nc(N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)sc2n1 ZINC000613436935 1071309604 /nfs/dbraw/zinc/30/96/04/1071309604.db2.gz YKUYGEFCDRMHEC-UHFFFAOYSA-N 0 0 441.583 -0.282 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCN(C(=O)C(=O)NC4CC4)CC3)CC2)C1 ZINC000613437838 1071309680 /nfs/dbraw/zinc/30/96/80/1071309680.db2.gz MLBNYBXHFONWJP-KRWDZBQOSA-N 0 0 447.580 -0.094 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCN(C(=O)C(=O)NC4CC4)CC3)CC2)C1 ZINC000613437846 1071309691 /nfs/dbraw/zinc/30/96/91/1071309691.db2.gz MLBNYBXHFONWJP-QGZVFWFLSA-N 0 0 447.580 -0.094 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)NCc1ccccc1CN1CCCC1=O ZINC000613437899 1071309724 /nfs/dbraw/zinc/30/97/24/1071309724.db2.gz NPJZQRLTJKZGFY-UHFFFAOYSA-N 0 0 441.532 -0.152 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000613438740 1071309718 /nfs/dbraw/zinc/30/97/18/1071309718.db2.gz FEKNGKNMBRLRCB-IBGZPJMESA-N 0 0 448.520 -0.466 20 0 IBADRN COCCN(CC(=O)N(C)C)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000613438741 1071309514 /nfs/dbraw/zinc/30/95/14/1071309514.db2.gz FEKNGKNMBRLRCB-LJQANCHMSA-N 0 0 448.520 -0.466 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000613439693 1071310431 /nfs/dbraw/zinc/31/04/31/1071310431.db2.gz QXOWQRQZUPGTFW-UHFFFAOYSA-N 0 0 433.534 -0.031 20 0 IBADRN NC(=O)CCN(C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1)c1ccc(F)cc1 ZINC000613439705 1071310356 /nfs/dbraw/zinc/31/03/56/1071310356.db2.gz SXNKOCJEERUMIB-UHFFFAOYSA-N 0 0 433.484 -0.199 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000613439721 1071310334 /nfs/dbraw/zinc/31/03/34/1071310334.db2.gz WWGDOZLJXNZGFY-UHFFFAOYSA-N 0 0 429.521 -0.343 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000613439754 1071310364 /nfs/dbraw/zinc/31/03/64/1071310364.db2.gz ZLJOCJBWPBQSQL-UHFFFAOYSA-N 0 0 445.520 -0.330 20 0 IBADRN O=C(CCN1CCN(C(=O)C(=O)N2CCCC2)CC1)N1CCN(c2ncccn2)CC1 ZINC000613439860 1071310254 /nfs/dbraw/zinc/31/02/54/1071310254.db2.gz BPPBLDDXMMIUJW-UHFFFAOYSA-N 0 0 429.525 -0.718 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCCC2)CC1)N1CCC(C(=O)N2CCCC2)CC1 ZINC000613439863 1071310392 /nfs/dbraw/zinc/31/03/92/1071310392.db2.gz BTYINTRIUPURNE-UHFFFAOYSA-N 0 0 433.553 -0.386 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000613440158 1071309759 /nfs/dbraw/zinc/30/97/59/1071309759.db2.gz QWCNSRRMSOAFLT-UHFFFAOYSA-N 0 0 435.569 -0.142 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000613440228 1071309668 /nfs/dbraw/zinc/30/96/68/1071309668.db2.gz GESIBIXNYKYEMR-CVEARBPZSA-N 0 0 428.555 -0.823 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000613440234 1071309467 /nfs/dbraw/zinc/30/94/67/1071309467.db2.gz GESIBIXNYKYEMR-HOTGVXAUSA-N 0 0 428.555 -0.823 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000613440235 1071310178 /nfs/dbraw/zinc/31/01/78/1071310178.db2.gz GESIBIXNYKYEMR-HZPDHXFCSA-N 0 0 428.555 -0.823 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000613440236 1071310193 /nfs/dbraw/zinc/31/01/93/1071310193.db2.gz GESIBIXNYKYEMR-JKSUJKDBSA-N 0 0 428.555 -0.823 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000613440590 1071310344 /nfs/dbraw/zinc/31/03/44/1071310344.db2.gz VQWMFYYXMDLCOX-KRWDZBQOSA-N 0 0 442.582 -0.431 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000613440592 1071310210 /nfs/dbraw/zinc/31/02/10/1071310210.db2.gz VQWMFYYXMDLCOX-QGZVFWFLSA-N 0 0 442.582 -0.431 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCCC2)CC1)N1CCN(C(=O)c2ccco2)CC1 ZINC000613440687 1071310321 /nfs/dbraw/zinc/31/03/21/1071310321.db2.gz YTHBUYLXPFYLNB-UHFFFAOYSA-N 0 0 431.493 -0.669 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000613440689 1071310420 /nfs/dbraw/zinc/31/04/20/1071310420.db2.gz YUTRWJHYUGQVRL-UHFFFAOYSA-N 0 0 449.577 -0.021 20 0 IBADRN C[C@@H](NC(=O)CN1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1)C(=O)N(C)C ZINC000613441725 1071309545 /nfs/dbraw/zinc/30/95/45/1071309545.db2.gz VAYQOAABYKELLV-CYBMUJFWSA-N 0 0 439.538 -0.423 20 0 IBADRN C[C@H](NC(=O)CN1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1)C(=O)N(C)C ZINC000613441726 1071309488 /nfs/dbraw/zinc/30/94/88/1071309488.db2.gz VAYQOAABYKELLV-ZDUSSCGKSA-N 0 0 439.538 -0.423 20 0 IBADRN COc1cc(C(=O)N2CCN(CC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2)ccc1C ZINC000613459761 1071310288 /nfs/dbraw/zinc/31/02/88/1071310288.db2.gz QQRJWRYRPJJZSP-UHFFFAOYSA-N 0 0 445.564 -0.006 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@@H]1CCNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000613477407 1071310823 /nfs/dbraw/zinc/31/08/23/1071310823.db2.gz BJGHPTNJDINSDR-CVEARBPZSA-N 0 0 439.600 -0.558 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@H]1CCNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000613477408 1071311005 /nfs/dbraw/zinc/31/10/05/1071311005.db2.gz BJGHPTNJDINSDR-HOTGVXAUSA-N 0 0 439.600 -0.558 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@@H]1CCNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000613477409 1071310853 /nfs/dbraw/zinc/31/08/53/1071310853.db2.gz BJGHPTNJDINSDR-HZPDHXFCSA-N 0 0 439.600 -0.558 20 0 IBADRN COCCN(C(=O)CN1CCCC[C@H]1CCNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000613477410 1071310839 /nfs/dbraw/zinc/31/08/39/1071310839.db2.gz BJGHPTNJDINSDR-JKSUJKDBSA-N 0 0 439.600 -0.558 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCCC[C@H]2CCNS(C)(=O)=O)CC1 ZINC000613478183 1071310775 /nfs/dbraw/zinc/31/07/75/1071310775.db2.gz RDAXALPMZJOMMR-KRWDZBQOSA-N 0 0 445.630 -0.161 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2CCCC[C@@H]2CCNS(C)(=O)=O)CC1 ZINC000613478198 1071310712 /nfs/dbraw/zinc/31/07/12/1071310712.db2.gz RDAXALPMZJOMMR-QGZVFWFLSA-N 0 0 445.630 -0.161 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)C1 ZINC000613485623 1071311490 /nfs/dbraw/zinc/31/14/90/1071311490.db2.gz KXLKKFZCFAVPGM-HDICACEKSA-N 0 0 445.630 -0.494 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)C1 ZINC000613485629 1071311456 /nfs/dbraw/zinc/31/14/56/1071311456.db2.gz KXLKKFZCFAVPGM-QZTJIDSGSA-N 0 0 445.630 -0.494 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)C1 ZINC000613485630 1071311407 /nfs/dbraw/zinc/31/14/07/1071311407.db2.gz KXLKKFZCFAVPGM-ROUUACIJSA-N 0 0 445.630 -0.494 20 0 IBADRN CCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(OCC)cc2)CC1 ZINC000613488950 1071311647 /nfs/dbraw/zinc/31/16/47/1071311647.db2.gz NZIPMTJMBLUQJF-UHFFFAOYSA-N 0 0 426.539 -0.014 20 0 IBADRN Cn1ccc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cc1=O ZINC000613491578 1071311591 /nfs/dbraw/zinc/31/15/91/1071311591.db2.gz RYRCKBMZDBXYHQ-UHFFFAOYSA-N 0 0 426.520 -0.461 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(C(=O)c3ccsc3)CC2)CC1)N1CCOCC1 ZINC000613496881 1071311661 /nfs/dbraw/zinc/31/16/61/1071311661.db2.gz MVYSKOZTGQJICE-UHFFFAOYSA-N 0 0 449.577 -0.491 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccsc2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000613496924 1071311444 /nfs/dbraw/zinc/31/14/44/1071311444.db2.gz XWRNXLWUGGGALX-KRWDZBQOSA-N 0 0 440.591 -0.163 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccsc2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000613496925 1071311361 /nfs/dbraw/zinc/31/13/61/1071311361.db2.gz XWRNXLWUGGGALX-QGZVFWFLSA-N 0 0 440.591 -0.163 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)c(OC)c1 ZINC000613507031 1071312179 /nfs/dbraw/zinc/31/21/79/1071312179.db2.gz VRNHVVAZGAGGHC-UHFFFAOYSA-N 0 0 436.509 -0.003 20 0 IBADRN Cc1cccc(OCCCNC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000613510591 1071311924 /nfs/dbraw/zinc/31/19/24/1071311924.db2.gz AQSSCQMNWNHJMQ-UHFFFAOYSA-N 0 0 432.521 -0.117 20 0 IBADRN Cn1c2nnc(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)n2c2ccsc2c1=O ZINC000613510802 1071312091 /nfs/dbraw/zinc/31/20/91/1071312091.db2.gz MFQKSDUFUDLZOR-UHFFFAOYSA-N 0 0 445.505 -0.854 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCN(Cc2ccsc2)CC1 ZINC000613510811 1071311892 /nfs/dbraw/zinc/31/18/92/1071311892.db2.gz OFBMAHZLWJGQGV-UHFFFAOYSA-N 0 0 449.577 -0.605 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCCOc1cccc(Cl)c1 ZINC000613510940 1071312008 /nfs/dbraw/zinc/31/20/08/1071312008.db2.gz QAKQLUNAAGOEKK-UHFFFAOYSA-N 0 0 438.912 -0.162 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCCN(CC(=O)N4CCCC4)CC3)nc2n(C)c1=O ZINC000613534375 1071313810 /nfs/dbraw/zinc/31/38/10/1071313810.db2.gz HJQAWNFWUIUQBO-UHFFFAOYSA-N 0 0 428.493 -0.598 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCCNS(C)(=O)=O)CC2)cc1 ZINC000613537236 1071315106 /nfs/dbraw/zinc/31/51/06/1071315106.db2.gz GNSWPGXGLIXFNI-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN Cc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1S(C)(=O)=O ZINC000613537376 1071315162 /nfs/dbraw/zinc/31/51/62/1071315162.db2.gz BDYPCEGWLBFJJF-UHFFFAOYSA-N 0 0 431.536 -0.267 20 0 IBADRN Cn1cc(-c2nc(C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cs2)cn1 ZINC000613537419 1071315028 /nfs/dbraw/zinc/31/50/28/1071315028.db2.gz CITJBYAHKWYMEE-UHFFFAOYSA-N 0 0 426.524 -0.122 20 0 IBADRN O=C(C1CCN(CC(F)(F)F)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000613537537 1071314879 /nfs/dbraw/zinc/31/48/79/1071314879.db2.gz FVTHKUHXOPWIKK-UHFFFAOYSA-N 0 0 428.477 -0.018 20 0 IBADRN Cc1nc2ccnn2c(C)c1CCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000613538354 1071315691 /nfs/dbraw/zinc/31/56/91/1071315691.db2.gz QVIROPHNKPZCDQ-UHFFFAOYSA-N 0 0 436.538 0.000 20 0 IBADRN O=C(Cc1cccc(F)c1)NCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000613538808 1071316287 /nfs/dbraw/zinc/31/62/87/1071316287.db2.gz YUWSFLVKQXIOPZ-UHFFFAOYSA-N 0 0 428.486 -0.794 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CC1 ZINC000613557378 1071319282 /nfs/dbraw/zinc/31/92/82/1071319282.db2.gz PYFOPOWXUNSZEO-UHFFFAOYSA-N 0 0 438.492 -0.334 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@](C)(O)C(=O)OC(C)(C)C ZINC000613569042 1071321000 /nfs/dbraw/zinc/32/10/00/1071321000.db2.gz IKXUDHZUVGJGDV-GOSISDBHSA-N 0 0 431.511 -0.132 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@](C)(O)C(=O)OC(C)(C)C ZINC000613569043 1071320876 /nfs/dbraw/zinc/32/08/76/1071320876.db2.gz IKXUDHZUVGJGDV-SFHVURJKSA-N 0 0 431.511 -0.132 20 0 IBADRN CN(CCCNC(=O)CN1CCN(CC(=O)Nc2ccccc2)CC1)S(C)(=O)=O ZINC000613573974 1071321755 /nfs/dbraw/zinc/32/17/55/1071321755.db2.gz IWIIZTYYUITSSG-UHFFFAOYSA-N 0 0 425.555 -0.360 20 0 IBADRN Cc1cc(N2CCN(C(=O)CN3CCN(S(N)(=O)=O)CC3)CC2)nc(C(C)C)n1 ZINC000613576553 1071321455 /nfs/dbraw/zinc/32/14/55/1071321455.db2.gz BASKGNKXVUYBRT-UHFFFAOYSA-N 0 0 425.559 -0.622 20 0 IBADRN CN(CCCNC(=O)CN1CCN(C(=O)c2ccc3c(c2)OCO3)CC1)S(C)(=O)=O ZINC000613583130 1071322303 /nfs/dbraw/zinc/32/23/03/1071322303.db2.gz PLOLRIJLGGUSAZ-UHFFFAOYSA-N 0 0 440.522 -0.429 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000613588751 1071322719 /nfs/dbraw/zinc/32/27/19/1071322719.db2.gz FQSKQCJXGAOLIO-UHFFFAOYSA-N 0 0 432.524 -0.882 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000613588802 1071322734 /nfs/dbraw/zinc/32/27/34/1071322734.db2.gz HQGZXUGNKUYVQB-UHFFFAOYSA-N 0 0 437.526 -0.672 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)cc1 ZINC000613593420 1071322751 /nfs/dbraw/zinc/32/27/51/1071322751.db2.gz DFSZMXNFIXEZBZ-UHFFFAOYSA-N 0 0 426.539 -0.149 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)c1 ZINC000613593425 1071323007 /nfs/dbraw/zinc/32/30/07/1071323007.db2.gz DYJUHDTYGJJJKT-UHFFFAOYSA-N 0 0 425.507 -0.281 20 0 IBADRN COc1cccc(C(=O)N2CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC2)c1 ZINC000613593635 1071323316 /nfs/dbraw/zinc/32/33/16/1071323316.db2.gz VEBZYHREYAJYRB-UHFFFAOYSA-N 0 0 426.539 -0.149 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc(Cl)c1OCC(N)=O ZINC000613598058 1071323392 /nfs/dbraw/zinc/32/33/92/1071323392.db2.gz CKZDKLLJCGHRFJ-UHFFFAOYSA-N 0 0 437.844 -0.816 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nn1-c1ccccc1F ZINC000613598067 1071323203 /nfs/dbraw/zinc/32/32/03/1071323203.db2.gz DZTIEVPIBMEMAN-UHFFFAOYSA-N 0 0 426.412 -0.349 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc21 ZINC000613598081 1071323353 /nfs/dbraw/zinc/32/33/53/1071323353.db2.gz FALKTHPUBUHDIZ-UHFFFAOYSA-N 0 0 433.494 -0.630 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000613598153 1071323181 /nfs/dbraw/zinc/32/31/81/1071323181.db2.gz JECKJYUGJLHPBP-UHFFFAOYSA-N 0 0 435.510 -0.313 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c(C)n2n1 ZINC000613598176 1071323280 /nfs/dbraw/zinc/32/32/80/1071323280.db2.gz IHOHGUPLVYWTOG-UHFFFAOYSA-N 0 0 430.498 -0.637 20 0 IBADRN CCCn1c2ccccc2n(CCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1=O ZINC000613598184 1071323462 /nfs/dbraw/zinc/32/34/62/1071323462.db2.gz KJIXXRXBKJGCIP-UHFFFAOYSA-N 0 0 426.481 -0.039 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000613598378 1071323439 /nfs/dbraw/zinc/32/34/39/1071323439.db2.gz VRXNSSPBRIQFAF-UHFFFAOYSA-N 0 0 447.521 -0.583 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000613598387 1071323334 /nfs/dbraw/zinc/32/33/34/1071323334.db2.gz VTSVHXOOSAVZJQ-UHFFFAOYSA-N 0 0 449.537 -0.003 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1S(=O)(=O)NC1CC1 ZINC000613598407 1071323245 /nfs/dbraw/zinc/32/32/45/1071323245.db2.gz XVPZFBLRRDUXIK-UHFFFAOYSA-N 0 0 449.493 -0.893 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CC1 ZINC000613598449 1071323521 /nfs/dbraw/zinc/32/35/21/1071323521.db2.gz ZYNIWEWBUAZAMI-UHFFFAOYSA-N 0 0 447.521 -0.168 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)C3CCCC3)CC2)C[C@H](C)O1 ZINC000613605169 1071324084 /nfs/dbraw/zinc/32/40/84/1071324084.db2.gz AXXIFHFMKIZVPG-GASCZTMLSA-N 0 0 437.584 -0.158 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)C3CCCC3)CC2)C[C@H](C)O1 ZINC000613605170 1071324448 /nfs/dbraw/zinc/32/44/48/1071324448.db2.gz AXXIFHFMKIZVPG-GJZGRUSLSA-N 0 0 437.584 -0.158 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)C3CCCC3)CC2)C[C@@H](C)O1 ZINC000613605171 1071324595 /nfs/dbraw/zinc/32/45/95/1071324595.db2.gz AXXIFHFMKIZVPG-HUUCEWRRSA-N 0 0 437.584 -0.158 20 0 IBADRN Cc1occc1C(=O)NCC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000613605750 1071324909 /nfs/dbraw/zinc/32/49/09/1071324909.db2.gz WSJYNBFVDYLYQC-KBPBESRZSA-N 0 0 428.511 -0.184 20 0 IBADRN Cc1occc1C(=O)NCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000613605753 1071324893 /nfs/dbraw/zinc/32/48/93/1071324893.db2.gz WSJYNBFVDYLYQC-OKILXGFUSA-N 0 0 428.511 -0.184 20 0 IBADRN Cc1occc1C(=O)NCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000613605754 1071324975 /nfs/dbraw/zinc/32/49/75/1071324975.db2.gz WSJYNBFVDYLYQC-ZIAGYGMSSA-N 0 0 428.511 -0.184 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C3CCN(C(=O)N(C)C)CC3)CC2)C[C@H](C)O1 ZINC000613605839 1071324615 /nfs/dbraw/zinc/32/46/15/1071324615.db2.gz ZUFZVUBNHKPUAW-HOTGVXAUSA-N 0 0 445.586 -0.122 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3CCN(C(=O)N(C)C)CC3)CC2)C[C@@H](C)O1 ZINC000613605840 1071324270 /nfs/dbraw/zinc/32/42/70/1071324270.db2.gz ZUFZVUBNHKPUAW-HZPDHXFCSA-N 0 0 445.586 -0.122 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3CCN(C(=O)N(C)C)CC3)CC2)C[C@H](C)O1 ZINC000613605841 1071324514 /nfs/dbraw/zinc/32/45/14/1071324514.db2.gz ZUFZVUBNHKPUAW-IYBDPMFKSA-N 0 0 445.586 -0.122 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000613606706 1071324858 /nfs/dbraw/zinc/32/48/58/1071324858.db2.gz DEQOKZIMSDWPSV-UHFFFAOYSA-N 0 0 431.603 -0.189 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)c(OC)c1 ZINC000613606717 1071324919 /nfs/dbraw/zinc/32/49/19/1071324919.db2.gz GBPFWYZYGFZATK-UHFFFAOYSA-N 0 0 430.527 -0.247 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3CCN(S(=O)(=O)N(C)C)CC3)CC2)cc1 ZINC000613606740 1071324875 /nfs/dbraw/zinc/32/48/75/1071324875.db2.gz IKYQRIZIBTVPLJ-UHFFFAOYSA-N 0 0 439.582 -0.237 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)N2CCC(NC(=O)c3ccccc3)CC2)CC1 ZINC000613606965 1071325137 /nfs/dbraw/zinc/32/51/37/1071325137.db2.gz QUHXUSAHLQQKPZ-UHFFFAOYSA-N 0 0 437.566 -0.169 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CC(=O)N(C)Cc3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000613613818 1071325700 /nfs/dbraw/zinc/32/57/00/1071325700.db2.gz QQFCIVOLHVNGLI-HOTGVXAUSA-N 0 0 428.559 -0.650 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)N(C)Cc3cnn(C)c3)CC2)C[C@@H](C)O1 ZINC000613613819 1071325375 /nfs/dbraw/zinc/32/53/75/1071325375.db2.gz QQFCIVOLHVNGLI-HZPDHXFCSA-N 0 0 428.559 -0.650 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)N(C)Cc3cnn(C)c3)CC2)C[C@H](C)O1 ZINC000613613820 1071325352 /nfs/dbraw/zinc/32/53/52/1071325352.db2.gz QQFCIVOLHVNGLI-IYBDPMFKSA-N 0 0 428.559 -0.650 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000613618320 1071325648 /nfs/dbraw/zinc/32/56/48/1071325648.db2.gz FZIKTVAHQRXOEM-UHFFFAOYSA-N 0 0 449.551 -0.040 20 0 IBADRN COc1ccccc1C(=O)N1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000613619079 1071325606 /nfs/dbraw/zinc/32/56/06/1071325606.db2.gz KXDXOWUQHSESLV-UHFFFAOYSA-N 0 0 426.539 -0.149 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)c3cnn(C(C)(C)C)c3)CC2)CC1 ZINC000613621320 1071325661 /nfs/dbraw/zinc/32/56/61/1071325661.db2.gz BJVPNFPTHORKBR-UHFFFAOYSA-N 0 0 426.543 -0.543 20 0 IBADRN CN(C)C(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000613621328 1071325327 /nfs/dbraw/zinc/32/53/27/1071325327.db2.gz BTJRPWFLLRAAJK-UHFFFAOYSA-N 0 0 430.575 -0.984 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)COCCOc3ccccc3)CC2)CC1 ZINC000613621388 1072718001 /nfs/dbraw/zinc/71/80/01/1072718001.db2.gz CWXJNZFSOYXROX-UHFFFAOYSA-N 0 0 426.539 -0.282 20 0 IBADRN Cc1ccc2c(O)c(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cnc2n1 ZINC000613622295 1071326063 /nfs/dbraw/zinc/32/60/63/1071326063.db2.gz MNPLDRIKHXJVJB-UHFFFAOYSA-N 0 0 434.522 -0.106 20 0 IBADRN COc1ccc2c(c1)ncc(C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)c2O ZINC000613622570 1071326090 /nfs/dbraw/zinc/32/60/90/1071326090.db2.gz TXXUVGXWMPKSQA-UHFFFAOYSA-N 0 0 449.533 -0.213 20 0 IBADRN Cc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1S(C)(=O)=O ZINC000613623191 1071326136 /nfs/dbraw/zinc/32/61/36/1071326136.db2.gz CKUTUBFULHQIJH-UHFFFAOYSA-N 0 0 444.579 -0.351 20 0 IBADRN CCCc1noc(CCCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000613623223 1071326219 /nfs/dbraw/zinc/32/62/19/1071326219.db2.gz FERDVULWIULGOH-UHFFFAOYSA-N 0 0 428.559 -0.019 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)Cc3cccc(F)c3)CC2)CC1 ZINC000613623267 1071326125 /nfs/dbraw/zinc/32/61/25/1071326125.db2.gz HCZYTXMCVSKFSF-UHFFFAOYSA-N 0 0 441.529 -0.879 20 0 IBADRN Cc1nn2c(nc(C)cc2C)c1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613623278 1071326036 /nfs/dbraw/zinc/32/60/36/1071326036.db2.gz HDFWESJTKIYHBU-UHFFFAOYSA-N 0 0 435.554 -0.095 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3c[nH]c(C(=O)N4CCCC4)c3)CC2)CC1 ZINC000613623302 1071326053 /nfs/dbraw/zinc/32/60/53/1071326053.db2.gz IOFJDQQCBUTREN-UHFFFAOYSA-N 0 0 438.554 -0.499 20 0 IBADRN Cc1nc2ccnn2c(C)c1CCC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000613623398 1071326174 /nfs/dbraw/zinc/32/61/74/1071326174.db2.gz KMCUUKOYVPJZIE-UHFFFAOYSA-N 0 0 449.581 -0.085 20 0 IBADRN Cn1cc(-c2nc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cs2)cn1 ZINC000613623425 1071326111 /nfs/dbraw/zinc/32/61/11/1071326111.db2.gz NAPYCBGAIPPXDP-UHFFFAOYSA-N 0 0 439.567 -0.206 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cn3cc(Br)cn3)CC2)CC1 ZINC000613623538 1071326292 /nfs/dbraw/zinc/32/62/92/1071326292.db2.gz SKMKOHPGFLUDPF-UHFFFAOYSA-N 0 0 435.348 -0.718 20 0 IBADRN COc1ccc(COCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000613623670 1071326346 /nfs/dbraw/zinc/32/63/46/1071326346.db2.gz ZYQXNWUCZMDZPG-UHFFFAOYSA-N 0 0 426.539 -0.152 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000613633692 1071327001 /nfs/dbraw/zinc/32/70/01/1071327001.db2.gz AXXIYEZYPMJYCE-INIZCTEOSA-N 0 0 444.579 -0.467 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000613633693 1071326687 /nfs/dbraw/zinc/32/66/87/1071326687.db2.gz AXXIYEZYPMJYCE-MRXNPFEDSA-N 0 0 444.579 -0.467 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000613633861 1071327410 /nfs/dbraw/zinc/32/74/10/1071327410.db2.gz PBAJRBTWVQUHCS-GUTXKFCHSA-N 0 0 432.568 -0.087 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000613633864 1071327565 /nfs/dbraw/zinc/32/75/65/1071327565.db2.gz PBAJRBTWVQUHCS-GZBFAFLISA-N 0 0 432.568 -0.087 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCC[C@@H](NS(C)(=O)=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000613633865 1071327196 /nfs/dbraw/zinc/32/71/96/1071327196.db2.gz PBAJRBTWVQUHCS-KCQAQPDRSA-N 0 0 432.568 -0.087 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCC[C@H](NS(C)(=O)=O)C1)c1ccc(S(N)(=O)=O)cc1 ZINC000613633866 1071327484 /nfs/dbraw/zinc/32/74/84/1071327484.db2.gz PBAJRBTWVQUHCS-YDHLFZDLSA-N 0 0 432.568 -0.087 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000613633913 1071327310 /nfs/dbraw/zinc/32/73/10/1071327310.db2.gz BVAXCSKSCHTFCY-GXTWGEPZSA-N 0 0 430.552 -0.375 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](NS(C)(=O)=O)C1 ZINC000613633916 1071327551 /nfs/dbraw/zinc/32/75/51/1071327551.db2.gz BVAXCSKSCHTFCY-JSGCOSHPSA-N 0 0 430.552 -0.375 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@H](NS(C)(=O)=O)C1 ZINC000613633918 1071327381 /nfs/dbraw/zinc/32/73/81/1071327381.db2.gz BVAXCSKSCHTFCY-OCCSQVGLSA-N 0 0 430.552 -0.375 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000613633919 1071327327 /nfs/dbraw/zinc/32/73/27/1071327327.db2.gz BVAXCSKSCHTFCY-TZMCWYRMSA-N 0 0 430.552 -0.375 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@H](NS(C)(=O)=O)C2)CC1 ZINC000613633944 1071327368 /nfs/dbraw/zinc/32/73/68/1071327368.db2.gz CRZKNZJHEFQOJQ-AWEZNQCLSA-N 0 0 436.542 -0.975 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC[C@@H](NS(C)(=O)=O)C2)CC1 ZINC000613633945 1071327355 /nfs/dbraw/zinc/32/73/55/1071327355.db2.gz CRZKNZJHEFQOJQ-CQSZACIVSA-N 0 0 436.542 -0.975 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000613634026 1071327611 /nfs/dbraw/zinc/32/76/11/1071327611.db2.gz GKYHRTHFMPKKEE-BZUAXINKSA-N 0 0 437.584 -0.805 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000613634027 1071327467 /nfs/dbraw/zinc/32/74/67/1071327467.db2.gz GKYHRTHFMPKKEE-OAGGEKHMSA-N 0 0 437.584 -0.805 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000613634028 1071327250 /nfs/dbraw/zinc/32/72/50/1071327250.db2.gz GKYHRTHFMPKKEE-OWCLPIDISA-N 0 0 437.584 -0.805 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000613634029 1071327341 /nfs/dbraw/zinc/32/73/41/1071327341.db2.gz GKYHRTHFMPKKEE-PMPSAXMXSA-N 0 0 437.584 -0.805 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@@H](NS(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000613634057 1071327395 /nfs/dbraw/zinc/32/73/95/1071327395.db2.gz FMQMBHWQHYCSRO-CYBMUJFWSA-N 0 0 444.558 -0.302 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC[C@H](NS(C)(=O)=O)C2)c(=O)[nH]c1=O ZINC000613634066 1071327629 /nfs/dbraw/zinc/32/76/29/1071327629.db2.gz FMQMBHWQHYCSRO-ZDUSSCGKSA-N 0 0 444.558 -0.302 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@H](NS(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000613634809 1071327852 /nfs/dbraw/zinc/32/78/52/1071327852.db2.gz PRVSBHLZNOJDQU-HNNXBMFYSA-N 0 0 449.533 -0.626 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@H](NS(C)(=O)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000613634810 1071327957 /nfs/dbraw/zinc/32/79/57/1071327957.db2.gz PRVSBHLZNOJDQU-OAHLLOKOSA-N 0 0 449.533 -0.626 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000613634883 1071327812 /nfs/dbraw/zinc/32/78/12/1071327812.db2.gz PVAHBXNOFMZISE-GOSISDBHSA-N 0 0 438.550 -0.211 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000613634884 1071328083 /nfs/dbraw/zinc/32/80/83/1071328083.db2.gz PVAHBXNOFMZISE-SFHVURJKSA-N 0 0 438.550 -0.211 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000613635223 1071328097 /nfs/dbraw/zinc/32/80/97/1071328097.db2.gz UDBMDLRCYKMZTF-DZGCQCFKSA-N 0 0 432.568 -0.605 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000613635224 1071327973 /nfs/dbraw/zinc/32/79/73/1071327973.db2.gz UDBMDLRCYKMZTF-HIFRSBDPSA-N 0 0 432.568 -0.605 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000613635225 1071328036 /nfs/dbraw/zinc/32/80/36/1071328036.db2.gz UDBMDLRCYKMZTF-UKRRQHHQSA-N 0 0 432.568 -0.605 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000613635226 1071327871 /nfs/dbraw/zinc/32/78/71/1071327871.db2.gz UDBMDLRCYKMZTF-ZFWWWQNUSA-N 0 0 432.568 -0.605 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000613635239 1071327725 /nfs/dbraw/zinc/32/77/25/1071327725.db2.gz ULAUVRPYJKEHAC-AWEZNQCLSA-N 0 0 448.567 -0.102 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000613635240 1071327924 /nfs/dbraw/zinc/32/79/24/1071327924.db2.gz ULAUVRPYJKEHAC-CQSZACIVSA-N 0 0 448.567 -0.102 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCC1(C(N)=O)CCOCC1 ZINC000613649212 1071329534 /nfs/dbraw/zinc/32/95/34/1071329534.db2.gz CIXNZGMLYTZSQO-ZDUSSCGKSA-N 0 0 441.506 -0.087 20 0 IBADRN NC(=O)C1(CNC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOCC1 ZINC000613649262 1071329381 /nfs/dbraw/zinc/32/93/81/1071329381.db2.gz KYRYWOUEJGIYQK-UHFFFAOYSA-N 0 0 441.506 -0.085 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCC2(C(N)=O)CCOCC2)cc1 ZINC000613649775 1071329730 /nfs/dbraw/zinc/32/97/30/1071329730.db2.gz ZFISPJRBAMVQIG-UHFFFAOYSA-N 0 0 426.495 -0.336 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCC3(C(N)=O)CCOCC3)CC2)cc1 ZINC000613651013 1071329496 /nfs/dbraw/zinc/32/94/96/1071329496.db2.gz ZLADUCFGDZUPRD-UHFFFAOYSA-N 0 0 438.550 -0.300 20 0 IBADRN CCN1CCN(CC(=O)N2CCC(NS(=O)(=O)c3ccc(F)cc3)CC2)C(=O)C1=O ZINC000613654253 1071329475 /nfs/dbraw/zinc/32/94/75/1071329475.db2.gz YCGPNXCCAFCHTL-UHFFFAOYSA-N 0 0 440.497 -0.214 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(C(=O)COc3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000613655841 1071329704 /nfs/dbraw/zinc/32/97/04/1071329704.db2.gz ZTKFPQHBCQQDTE-UHFFFAOYSA-N 0 0 433.440 -0.789 20 0 IBADRN CCc1ccc(C(=O)N2CCN(CC(=O)NC)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000613670649 1071332626 /nfs/dbraw/zinc/33/26/26/1071332626.db2.gz LCZGFOCLYRIIFE-UHFFFAOYSA-N 0 0 438.550 -0.226 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000613673248 1071334330 /nfs/dbraw/zinc/33/43/30/1071334330.db2.gz SXVKYJTUIXLXPH-UHFFFAOYSA-N 0 0 447.355 -0.040 20 0 IBADRN O=C(C[NH+]1CCC(CO)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000613674429 1071334936 /nfs/dbraw/zinc/33/49/36/1071334936.db2.gz ZLAMLGPASFYVDZ-UHFFFAOYSA-N 0 0 439.534 -0.005 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1OC ZINC000613674676 1071335354 /nfs/dbraw/zinc/33/53/54/1071335354.db2.gz AOXMLDIAPVSSAR-CYBMUJFWSA-N 0 0 449.551 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1OC ZINC000613674684 1071335318 /nfs/dbraw/zinc/33/53/18/1071335318.db2.gz AOXMLDIAPVSSAR-ZDUSSCGKSA-N 0 0 449.551 -0.088 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000613674698 1071336001 /nfs/dbraw/zinc/33/60/01/1071336001.db2.gz ATKYINXXZRICGM-CYBMUJFWSA-N 0 0 447.535 -0.334 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000613674700 1071336055 /nfs/dbraw/zinc/33/60/55/1071336055.db2.gz ATKYINXXZRICGM-ZDUSSCGKSA-N 0 0 447.535 -0.334 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000613674824 1071335455 /nfs/dbraw/zinc/33/54/55/1071335455.db2.gz CTWXJRDDJPJZKK-AWEZNQCLSA-N 0 0 446.551 -0.793 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000613674845 1071335511 /nfs/dbraw/zinc/33/55/11/1071335511.db2.gz CTWXJRDDJPJZKK-CQSZACIVSA-N 0 0 446.551 -0.793 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc(OC)c1OC ZINC000613675887 1071335950 /nfs/dbraw/zinc/33/59/50/1071335950.db2.gz XPHJYGRPOLQKRC-CYBMUJFWSA-N 0 0 429.495 -0.018 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc(OC)c1OC ZINC000613675888 1071336021 /nfs/dbraw/zinc/33/60/21/1071336021.db2.gz XPHJYGRPOLQKRC-ZDUSSCGKSA-N 0 0 429.495 -0.018 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000613678263 1071338691 /nfs/dbraw/zinc/33/86/91/1071338691.db2.gz CMVSGSVKWFUJHA-LBPRGKRZSA-N 0 0 436.494 -0.199 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)CC2)nc1 ZINC000613678289 1071338404 /nfs/dbraw/zinc/33/84/04/1071338404.db2.gz DYQDZAJHPXAXMC-UHFFFAOYSA-N 0 0 448.483 -0.099 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCCS(=O)(=O)Cc1ccccc1)c(=O)n2C ZINC000613678543 1071337173 /nfs/dbraw/zinc/33/71/73/1071337173.db2.gz BXBRYUZLBAUNLD-UHFFFAOYSA-N 0 0 433.490 -0.445 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)CC2)nc1 ZINC000613679663 1071340679 /nfs/dbraw/zinc/34/06/79/1071340679.db2.gz TUAGRHJVSGKQRY-UHFFFAOYSA-N 0 0 448.505 -0.508 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)CC2)nc1 ZINC000613679910 1071343433 /nfs/dbraw/zinc/34/34/33/1071343433.db2.gz JKPBVPXPYJORQQ-UHFFFAOYSA-N 0 0 449.489 -0.243 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCCS(=O)(=O)Cc3ccccc3)c2c(=O)n(C)c1=O ZINC000613680127 1071342093 /nfs/dbraw/zinc/34/20/93/1071342093.db2.gz BHAKCVBUIJQIJT-UHFFFAOYSA-N 0 0 447.517 -0.055 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)nc1 ZINC000613680598 1071341989 /nfs/dbraw/zinc/34/19/89/1071341989.db2.gz YXGNGMLGSBWAOI-UHFFFAOYSA-N 0 0 437.254 -0.446 20 0 IBADRN Cn1c2ncn(CC(=O)NCCCS(=O)(=O)Cc3ccccc3)c2c(=O)n(C)c1=O ZINC000613680982 1071344643 /nfs/dbraw/zinc/34/46/43/1071344643.db2.gz YAKPSLWDGXGHRQ-UHFFFAOYSA-N 0 0 433.490 -0.445 20 0 IBADRN CN(CCCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)S(C)(=O)=O ZINC000613687550 1071346681 /nfs/dbraw/zinc/34/66/81/1071346681.db2.gz GIVUFUZIUCEHDF-UHFFFAOYSA-N 0 0 435.524 -0.476 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000613687750 1071347242 /nfs/dbraw/zinc/34/72/42/1071347242.db2.gz ATCIXMDWJRAGFH-UHFFFAOYSA-N 0 0 438.550 -0.254 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1 ZINC000613688332 1071347362 /nfs/dbraw/zinc/34/73/62/1071347362.db2.gz HDWAQMYASULMMZ-UHFFFAOYSA-N 0 0 443.504 -0.413 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000613688854 1071347095 /nfs/dbraw/zinc/34/70/95/1071347095.db2.gz YQBQOUDZAJXWSD-UHFFFAOYSA-N 0 0 434.497 -0.785 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCC(NC(=O)COC)CC2)c(=O)[nH]c1=O ZINC000613688999 1071347068 /nfs/dbraw/zinc/34/70/68/1071347068.db2.gz UUXGPVOTYBPYRH-UHFFFAOYSA-N 0 0 438.529 -0.089 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000613705607 1071350665 /nfs/dbraw/zinc/35/06/65/1071350665.db2.gz DFWQGHIWXMGAPU-UHFFFAOYSA-N 0 0 431.493 -0.485 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000613705711 1071350504 /nfs/dbraw/zinc/35/05/04/1071350504.db2.gz LQCUFFAGPAMZBR-HNNXBMFYSA-N 0 0 430.505 -0.072 20 0 IBADRN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000613705719 1071350313 /nfs/dbraw/zinc/35/03/13/1071350313.db2.gz LQCUFFAGPAMZBR-OAHLLOKOSA-N 0 0 430.505 -0.072 20 0 IBADRN COc1cc(OC)c(CNC(=O)CN2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1 ZINC000613705849 1071350431 /nfs/dbraw/zinc/35/04/31/1071350431.db2.gz QWOZIDSXQTUWBR-UHFFFAOYSA-N 0 0 434.493 -0.249 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000613705898 1071351295 /nfs/dbraw/zinc/35/12/95/1071351295.db2.gz WLODUKYBOLLACU-CYBMUJFWSA-N 0 0 449.533 -0.966 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000613705900 1071351069 /nfs/dbraw/zinc/35/10/69/1071351069.db2.gz WLODUKYBOLLACU-ZDUSSCGKSA-N 0 0 449.533 -0.966 20 0 IBADRN COC(=O)c1cc(F)c(C)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000613710877 1071351795 /nfs/dbraw/zinc/35/17/95/1071351795.db2.gz WTEFOZIUXCMVND-UHFFFAOYSA-N 0 0 437.515 -0.224 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN(C(=O)COC)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000613714363 1071351862 /nfs/dbraw/zinc/35/18/62/1071351862.db2.gz CPPTZOKHJFWTKH-UHFFFAOYSA-N 0 0 443.504 -0.366 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(C(=O)c2cccn2C)CC1)S(C)(=O)=O ZINC000613715906 1071352790 /nfs/dbraw/zinc/35/27/90/1071352790.db2.gz NGLSUWHISSARBX-UHFFFAOYSA-N 0 0 427.571 -0.041 20 0 IBADRN COCC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000613716210 1071352606 /nfs/dbraw/zinc/35/26/06/1071352606.db2.gz RMLHYONIGJGTHM-UHFFFAOYSA-N 0 0 438.550 -0.549 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN(C(=O)c3cccn3C)CC2)c(=O)n(C)c1=O ZINC000613716392 1071352809 /nfs/dbraw/zinc/35/28/09/1071352809.db2.gz ABKLIFJTEALSNK-UHFFFAOYSA-N 0 0 430.509 -0.236 20 0 IBADRN Cn1cccc1C(=O)N1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000613717840 1071352594 /nfs/dbraw/zinc/35/25/94/1071352594.db2.gz YFKGKBMGSCYYMQ-UHFFFAOYSA-N 0 0 433.534 -0.211 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCN(C(=O)Cc3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000613722325 1071353410 /nfs/dbraw/zinc/35/34/10/1071353410.db2.gz ILDIVCULEUJOOH-UHFFFAOYSA-N 0 0 433.896 -0.111 20 0 IBADRN NC(=O)CC1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000613722831 1071353538 /nfs/dbraw/zinc/35/35/38/1071353538.db2.gz JUOWRCNFVKTUPP-UHFFFAOYSA-N 0 0 438.550 -0.089 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000613723901 1071353502 /nfs/dbraw/zinc/35/35/02/1071353502.db2.gz VGWRDZAJEPAYOJ-AWEZNQCLSA-N 0 0 431.536 -0.045 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000613723902 1071353584 /nfs/dbraw/zinc/35/35/84/1071353584.db2.gz VGWRDZAJEPAYOJ-CQSZACIVSA-N 0 0 431.536 -0.045 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)Nc1ccccn1 ZINC000613728116 1071354368 /nfs/dbraw/zinc/35/43/68/1071354368.db2.gz BHAZPDWOFKGLHT-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)Nc1ccccn1 ZINC000613729092 1071354113 /nfs/dbraw/zinc/35/41/13/1071354113.db2.gz UHZJFIQFNWTRSE-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1S(N)(=O)=O ZINC000613732529 1071355964 /nfs/dbraw/zinc/35/59/64/1071355964.db2.gz KOFWVMLFDFOGGC-UHFFFAOYSA-N 0 0 437.522 -0.652 20 0 IBADRN COc1ccccc1Nc1nc(N)nc(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)n1 ZINC000613732590 1073356456 /nfs/dbraw/zinc/35/64/56/1073356456.db2.gz MDAZRLPQQZGMPI-UHFFFAOYSA-N 0 0 440.508 -0.106 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1cnc2ccccc2c1O)N[C@@H]1CCS(=O)(=O)C1 ZINC000613734059 1071359689 /nfs/dbraw/zinc/35/96/89/1071359689.db2.gz JTMOXRAGTDZHIT-GFCCVEGCSA-N 0 0 434.474 -0.933 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1cnc2ccccc2c1O)N[C@H]1CCS(=O)(=O)C1 ZINC000613734060 1071359633 /nfs/dbraw/zinc/35/96/33/1071359633.db2.gz JTMOXRAGTDZHIT-LBPRGKRZSA-N 0 0 434.474 -0.933 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000613734570 1071358002 /nfs/dbraw/zinc/35/80/02/1071358002.db2.gz PKIIRUOFJMXHNT-JTQLQIEISA-N 0 0 442.885 -0.253 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000613734576 1071357810 /nfs/dbraw/zinc/35/78/10/1071357810.db2.gz PKIIRUOFJMXHNT-SNVBAGLBSA-N 0 0 442.885 -0.253 20 0 IBADRN Cc1oc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000613734713 1071357791 /nfs/dbraw/zinc/35/77/91/1071357791.db2.gz SWRDZZPUYPMSSY-CYBMUJFWSA-N 0 0 447.535 -0.204 20 0 IBADRN Cc1oc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000613734714 1071357827 /nfs/dbraw/zinc/35/78/27/1071357827.db2.gz SWRDZZPUYPMSSY-ZDUSSCGKSA-N 0 0 447.535 -0.204 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000613735198 1071361500 /nfs/dbraw/zinc/36/15/00/1071361500.db2.gz DYPSPMBRUXRBLC-HNNXBMFYSA-N 0 0 445.563 -0.266 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000613735199 1071361469 /nfs/dbraw/zinc/36/14/69/1071361469.db2.gz DYPSPMBRUXRBLC-OAHLLOKOSA-N 0 0 445.563 -0.266 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000613738889 1071375292 /nfs/dbraw/zinc/37/52/92/1071375292.db2.gz IPUHZMVCMGJFJL-FHSNZYRGSA-N 0 0 428.511 -0.751 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000613738890 1071374934 /nfs/dbraw/zinc/37/49/34/1071374934.db2.gz IPUHZMVCMGJFJL-SNUQEOBHSA-N 0 0 428.511 -0.751 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000613738891 1071374951 /nfs/dbraw/zinc/37/49/51/1071374951.db2.gz IPUHZMVCMGJFJL-VBHSOAQHSA-N 0 0 428.511 -0.751 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000613738892 1071375236 /nfs/dbraw/zinc/37/52/36/1071375236.db2.gz IPUHZMVCMGJFJL-VFVRVIDISA-N 0 0 428.511 -0.751 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000613738935 1071375667 /nfs/dbraw/zinc/37/56/67/1071375667.db2.gz KWVZOJMRNSDKQC-BIWSTMPVSA-N 0 0 442.538 -0.361 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000613738936 1071375988 /nfs/dbraw/zinc/37/59/88/1071375988.db2.gz KWVZOJMRNSDKQC-SHYRYGCCSA-N 0 0 442.538 -0.361 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cnc2ccccc2c1O ZINC000613739181 1071372576 /nfs/dbraw/zinc/37/25/76/1071372576.db2.gz FSOOJRSWRAHKSL-GFCCVEGCSA-N 0 0 434.474 -0.980 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cnc2ccccc2c1O ZINC000613739184 1071372504 /nfs/dbraw/zinc/37/25/04/1071372504.db2.gz FSOOJRSWRAHKSL-LBPRGKRZSA-N 0 0 434.474 -0.980 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccc(S(=O)(=O)NCC2CC2)c1 ZINC000613740124 1071379117 /nfs/dbraw/zinc/37/91/17/1071379117.db2.gz OHNDXJDUDAHDEP-HNNXBMFYSA-N 0 0 443.547 -0.250 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccc(S(=O)(=O)NCC2CC2)c1 ZINC000613740125 1071379251 /nfs/dbraw/zinc/37/92/51/1071379251.db2.gz OHNDXJDUDAHDEP-OAHLLOKOSA-N 0 0 443.547 -0.250 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000613740311 1071377782 /nfs/dbraw/zinc/37/77/82/1071377782.db2.gz UZYNNRGEMTTWRA-HNNXBMFYSA-N 0 0 445.563 -0.266 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000613740312 1071377704 /nfs/dbraw/zinc/37/77/04/1071377704.db2.gz UZYNNRGEMTTWRA-OAHLLOKOSA-N 0 0 445.563 -0.266 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000613740485 1071377068 /nfs/dbraw/zinc/37/70/68/1071377068.db2.gz GDJTXOSWGKSYGV-AWEZNQCLSA-N 0 0 431.536 -0.251 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000613740502 1071377049 /nfs/dbraw/zinc/37/70/49/1071377049.db2.gz GDJTXOSWGKSYGV-CQSZACIVSA-N 0 0 431.536 -0.251 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000613740671 1071376252 /nfs/dbraw/zinc/37/62/52/1071376252.db2.gz IKZRBJBGCAJWNY-CYBMUJFWSA-N 0 0 431.536 -0.331 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000613740672 1071376275 /nfs/dbraw/zinc/37/62/75/1071376275.db2.gz IKZRBJBGCAJWNY-ZDUSSCGKSA-N 0 0 431.536 -0.331 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000613740691 1071376372 /nfs/dbraw/zinc/37/63/72/1071376372.db2.gz IVQHVGXZRQFPOO-AWEZNQCLSA-N 0 0 429.520 -0.497 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000613740702 1071377721 /nfs/dbraw/zinc/37/77/21/1071377721.db2.gz IVQHVGXZRQFPOO-CQSZACIVSA-N 0 0 429.520 -0.497 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000613740724 1071376358 /nfs/dbraw/zinc/37/63/58/1071376358.db2.gz QBQLXNXCNIHNDV-HNNXBMFYSA-N 0 0 425.507 -0.033 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000613740725 1071376443 /nfs/dbraw/zinc/37/64/43/1071376443.db2.gz QBQLXNXCNIHNDV-OAHLLOKOSA-N 0 0 425.507 -0.033 20 0 IBADRN Cc1sc2ncn(CC(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)c(=O)c2c1C ZINC000613740768 1071379824 /nfs/dbraw/zinc/37/98/24/1071379824.db2.gz XFSNVLXJEVPKLL-GFCCVEGCSA-N 0 0 426.520 -0.163 20 0 IBADRN Cc1sc2ncn(CC(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)c(=O)c2c1C ZINC000613740770 1071379614 /nfs/dbraw/zinc/37/96/14/1071379614.db2.gz XFSNVLXJEVPKLL-LBPRGKRZSA-N 0 0 426.520 -0.163 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000613740959 1071385256 /nfs/dbraw/zinc/38/52/56/1071385256.db2.gz ZHNNZVXLKBRJFA-XYEKJYRLSA-N 0 0 428.511 -0.751 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000613740963 1071385153 /nfs/dbraw/zinc/38/51/53/1071385153.db2.gz ZHNNZVXLKBRJFA-YNAXMUEKSA-N 0 0 428.511 -0.751 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000613740980 1071385243 /nfs/dbraw/zinc/38/52/43/1071385243.db2.gz ZTRNOGMQJWBAJA-HNNXBMFYSA-N 0 0 443.547 -0.154 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000613740982 1071385270 /nfs/dbraw/zinc/38/52/70/1071385270.db2.gz ZTRNOGMQJWBAJA-OAHLLOKOSA-N 0 0 443.547 -0.154 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O ZINC000613741187 1071383463 /nfs/dbraw/zinc/38/34/63/1071383463.db2.gz KCCNJIKQSOIPLX-CYBMUJFWSA-N 0 0 428.511 -0.655 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O ZINC000613741188 1071383548 /nfs/dbraw/zinc/38/35/48/1071383548.db2.gz KCCNJIKQSOIPLX-ZDUSSCGKSA-N 0 0 428.511 -0.655 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccc(Br)o1 ZINC000613741201 1071383563 /nfs/dbraw/zinc/38/35/63/1071383563.db2.gz KLXUIMLHHFZLOP-SECBINFHSA-N 0 0 436.284 -0.466 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ccc(Br)o1 ZINC000613741207 1071383669 /nfs/dbraw/zinc/38/36/69/1071383669.db2.gz KLXUIMLHHFZLOP-VIFPVBQESA-N 0 0 436.284 -0.466 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000613741249 1071383502 /nfs/dbraw/zinc/38/35/02/1071383502.db2.gz LAAXGLVCQQRKME-CRAIPNDOSA-N 0 0 434.518 -0.023 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000613741250 1071383519 /nfs/dbraw/zinc/38/35/19/1071383519.db2.gz LAAXGLVCQQRKME-MAUKXSAKSA-N 0 0 434.518 -0.023 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000613741251 1071383598 /nfs/dbraw/zinc/38/35/98/1071383598.db2.gz LAAXGLVCQQRKME-QAPCUYQASA-N 0 0 434.518 -0.023 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000613741252 1071384360 /nfs/dbraw/zinc/38/43/60/1071384360.db2.gz LAAXGLVCQQRKME-YJBOKZPZSA-N 0 0 434.518 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000613741302 1071382813 /nfs/dbraw/zinc/38/28/13/1071382813.db2.gz TVYAFIQVRDLZNL-AWEZNQCLSA-N 0 0 443.547 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000613741308 1071382899 /nfs/dbraw/zinc/38/28/99/1071382899.db2.gz TVYAFIQVRDLZNL-CQSZACIVSA-N 0 0 443.547 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000613741311 1071382866 /nfs/dbraw/zinc/38/28/66/1071382866.db2.gz UCUHNAFVVRGYJP-HNNXBMFYSA-N 0 0 445.563 -0.314 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000613741312 1071382694 /nfs/dbraw/zinc/38/26/94/1071382694.db2.gz UCUHNAFVVRGYJP-OAHLLOKOSA-N 0 0 445.563 -0.314 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000613741355 1071383652 /nfs/dbraw/zinc/38/36/52/1071383652.db2.gz WJLQGEDOZKZCBX-HNNXBMFYSA-N 0 0 445.563 -0.004 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000613741356 1071383684 /nfs/dbraw/zinc/38/36/84/1071383684.db2.gz WJLQGEDOZKZCBX-OAHLLOKOSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC000613741668 1071381153 /nfs/dbraw/zinc/38/11/53/1071381153.db2.gz PUMGQIYSBITIPU-CYBMUJFWSA-N 0 0 435.499 -0.744 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC000613741671 1071381483 /nfs/dbraw/zinc/38/14/83/1071381483.db2.gz PUMGQIYSBITIPU-ZDUSSCGKSA-N 0 0 435.499 -0.744 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000613741745 1071388441 /nfs/dbraw/zinc/38/84/41/1071388441.db2.gz RIOLAZIPSXQHLN-AWEZNQCLSA-N 0 0 429.520 -0.497 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000613741746 1071388845 /nfs/dbraw/zinc/38/88/45/1071388845.db2.gz RIOLAZIPSXQHLN-CQSZACIVSA-N 0 0 429.520 -0.497 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cccc(Br)c1 ZINC000613742105 1071388486 /nfs/dbraw/zinc/38/84/86/1071388486.db2.gz SOUCEVNRYGIFKK-CYBMUJFWSA-N 0 0 446.323 -0.059 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cccc(Br)c1 ZINC000613742112 1071388450 /nfs/dbraw/zinc/38/84/50/1071388450.db2.gz SOUCEVNRYGIFKK-ZDUSSCGKSA-N 0 0 446.323 -0.059 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000613742258 1071386755 /nfs/dbraw/zinc/38/67/55/1071386755.db2.gz VKDYEEVWDUSSFZ-NWDGAFQWSA-N 0 0 435.499 -0.746 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000613742266 1071387340 /nfs/dbraw/zinc/38/73/40/1071387340.db2.gz VKDYEEVWDUSSFZ-RYUDHWBXSA-N 0 0 435.499 -0.746 20 0 IBADRN Cc1cc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc(S(=O)(=O)N(C)C)c1C ZINC000613742285 1071387771 /nfs/dbraw/zinc/38/77/71/1071387771.db2.gz VOGGKVVAOAPHIO-HNNXBMFYSA-N 0 0 445.563 -0.071 20 0 IBADRN Cc1cc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(S(=O)(=O)N(C)C)c1C ZINC000613742287 1071388127 /nfs/dbraw/zinc/38/81/27/1071388127.db2.gz VOGGKVVAOAPHIO-OAHLLOKOSA-N 0 0 445.563 -0.071 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000613742303 1071387323 /nfs/dbraw/zinc/38/73/23/1071387323.db2.gz VRBSLYBKIIDMLC-QWHCGFSZSA-N 0 0 447.535 -0.876 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000613742304 1071387484 /nfs/dbraw/zinc/38/74/84/1071387484.db2.gz VRBSLYBKIIDMLC-STQMWFEESA-N 0 0 447.535 -0.876 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2N1S(C)(=O)=O ZINC000613742312 1071387469 /nfs/dbraw/zinc/38/74/69/1071387469.db2.gz WATVNVNBQVNFKJ-DOMZBBRYSA-N 0 0 443.547 -0.228 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2N1S(C)(=O)=O ZINC000613742344 1071386696 /nfs/dbraw/zinc/38/66/96/1071386696.db2.gz WATVNVNBQVNFKJ-IUODEOHRSA-N 0 0 443.547 -0.228 20 0 IBADRN C[C@H]1Cc2cc(C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2N1S(C)(=O)=O ZINC000613742345 1071386673 /nfs/dbraw/zinc/38/66/73/1071386673.db2.gz WATVNVNBQVNFKJ-SWLSCSKDSA-N 0 0 443.547 -0.228 20 0 IBADRN C[C@H]1Cc2cc(C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2N1S(C)(=O)=O ZINC000613742346 1071386666 /nfs/dbraw/zinc/38/66/66/1071386666.db2.gz WATVNVNBQVNFKJ-WFASDCNBSA-N 0 0 443.547 -0.228 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000613742400 1071386641 /nfs/dbraw/zinc/38/66/41/1071386641.db2.gz YQXYTJYNTPQHLO-AWEZNQCLSA-N 0 0 431.536 -0.251 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000613742402 1071386782 /nfs/dbraw/zinc/38/67/82/1071386782.db2.gz YQXYTJYNTPQHLO-CQSZACIVSA-N 0 0 431.536 -0.251 20 0 IBADRN NC(=O)NCCCCCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000613742878 1071389641 /nfs/dbraw/zinc/38/96/41/1071389641.db2.gz CKVXXTIRYDBZRJ-UHFFFAOYSA-N 0 0 426.543 -0.122 20 0 IBADRN Cc1occc1C(=O)NCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000613742887 1071389667 /nfs/dbraw/zinc/38/96/67/1071389667.db2.gz FFHBEICQJRDOMO-UHFFFAOYSA-N 0 0 435.506 -0.019 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000613748435 1071403551 /nfs/dbraw/zinc/40/35/51/1071403551.db2.gz LGJKHFVHAYQICA-UHFFFAOYSA-N 0 0 449.551 -0.086 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000613754752 1071412660 /nfs/dbraw/zinc/41/26/60/1071412660.db2.gz BIQROGTWYIJUPA-UHFFFAOYSA-N 0 0 445.563 -0.436 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CCS(=O)(=O)CC3)CC2)cc1 ZINC000613758483 1071413249 /nfs/dbraw/zinc/41/32/49/1071413249.db2.gz FTLAXNTWWQYNPK-UHFFFAOYSA-N 0 0 429.564 -0.005 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000613758531 1071413229 /nfs/dbraw/zinc/41/32/29/1071413229.db2.gz CKKYBSLTCIPBKA-UHFFFAOYSA-N 0 0 431.536 -0.832 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000613758661 1071413259 /nfs/dbraw/zinc/41/32/59/1071413259.db2.gz HBDOEQLBQCAFFV-UHFFFAOYSA-N 0 0 446.551 -0.888 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000613759414 1071414132 /nfs/dbraw/zinc/41/41/32/1071414132.db2.gz ODMVSYNDTATMJO-UHFFFAOYSA-N 0 0 429.564 -0.066 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc2c(c1)C(=O)N(C[C@@H]1CCCO1)C2=O ZINC000613759492 1071414033 /nfs/dbraw/zinc/41/40/33/1071414033.db2.gz ORTQNYMDDCTJNN-HNNXBMFYSA-N 0 0 435.502 -0.078 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc2c(c1)C(=O)N(C[C@H]1CCCO1)C2=O ZINC000613759493 1071414114 /nfs/dbraw/zinc/41/41/14/1071414114.db2.gz ORTQNYMDDCTJNN-OAHLLOKOSA-N 0 0 435.502 -0.078 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000613759494 1071414198 /nfs/dbraw/zinc/41/41/98/1071414198.db2.gz OTINBQHEXFKCKD-ZDUSSCGKSA-N 0 0 446.551 -0.842 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000613759536 1071414004 /nfs/dbraw/zinc/41/40/04/1071414004.db2.gz QOXJMOZBRZVVFG-UHFFFAOYSA-N 0 0 433.552 -0.400 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000613759924 1071414941 /nfs/dbraw/zinc/41/49/41/1071414941.db2.gz XKQXBOFKXVLNTL-UHFFFAOYSA-N 0 0 431.536 -0.596 20 0 IBADRN C[C@@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)NCCN1CCS(=O)(=O)CC1 ZINC000613762513 1071414901 /nfs/dbraw/zinc/41/49/01/1071414901.db2.gz GYWQEZRHVFXAPJ-FQEVSTJZSA-N 0 0 434.518 -0.168 20 0 IBADRN C[C@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)NCCN1CCS(=O)(=O)CC1 ZINC000613762514 1071415685 /nfs/dbraw/zinc/41/56/85/1071415685.db2.gz GYWQEZRHVFXAPJ-HXUWFJFHSA-N 0 0 434.518 -0.168 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC000613762862 1071415753 /nfs/dbraw/zinc/41/57/53/1071415753.db2.gz MULOSZWFXDNWKB-UHFFFAOYSA-N 0 0 438.531 -0.236 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000613762869 1071415736 /nfs/dbraw/zinc/41/57/36/1071415736.db2.gz NTKSWBMKBJHEMK-INIZCTEOSA-N 0 0 429.564 -0.066 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC000613762870 1071415731 /nfs/dbraw/zinc/41/57/31/1071415731.db2.gz NTKSWBMKBJHEMK-MRXNPFEDSA-N 0 0 429.564 -0.066 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000613762920 1071415768 /nfs/dbraw/zinc/41/57/68/1071415768.db2.gz UBDCDIQHSQYKFZ-UHFFFAOYSA-N 0 0 432.568 -0.340 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)NC2CC2)cc1)NCCN1CCS(=O)(=O)CC1 ZINC000613763134 1071415759 /nfs/dbraw/zinc/41/57/59/1071415759.db2.gz WNGKQWPDGBFRMD-UHFFFAOYSA-N 0 0 429.564 -0.094 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCS(=O)(=O)CC2)cc1OC ZINC000613766195 1071417243 /nfs/dbraw/zinc/41/72/43/1071417243.db2.gz BWKZANCVNFJMNW-UHFFFAOYSA-N 0 0 449.551 -0.781 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000613766374 1071417133 /nfs/dbraw/zinc/41/71/33/1071417133.db2.gz FBUVANREAOOSDC-INIZCTEOSA-N 0 0 445.563 -0.396 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000613766375 1071417301 /nfs/dbraw/zinc/41/73/01/1071417301.db2.gz FBUVANREAOOSDC-MRXNPFEDSA-N 0 0 445.563 -0.396 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCN2CCS(=O)(=O)CC2)cc(OC)c1OC ZINC000613766384 1071417222 /nfs/dbraw/zinc/41/72/22/1071417222.db2.gz FUOFNJOLUOPCIY-UHFFFAOYSA-N 0 0 429.495 -0.711 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000613766397 1071417275 /nfs/dbraw/zinc/41/72/75/1071417275.db2.gz GUVVVPVRKUWBSZ-INIZCTEOSA-N 0 0 445.563 -0.396 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000613766398 1071417095 /nfs/dbraw/zinc/41/70/95/1071417095.db2.gz GUVVVPVRKUWBSZ-MRXNPFEDSA-N 0 0 445.563 -0.396 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2C1=O)NCCN1CCS(=O)(=O)CC1 ZINC000613768357 1071418117 /nfs/dbraw/zinc/41/81/17/1071418117.db2.gz XWWWKOLQTLJIGP-UHFFFAOYSA-N 0 0 444.307 -0.108 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)NCCN1CCS(=O)(=O)CC1 ZINC000613768360 1071418213 /nfs/dbraw/zinc/41/82/13/1071418213.db2.gz YEIDRQIEICLVSK-UHFFFAOYSA-N 0 0 425.479 -0.520 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000613775084 1071419828 /nfs/dbraw/zinc/41/98/28/1071419828.db2.gz MRXDFBGVQBHXRH-AWEZNQCLSA-N 0 0 439.538 -0.408 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCN(C(C)=O)CC2)cc1OC ZINC000613776332 1071420940 /nfs/dbraw/zinc/42/09/40/1071420940.db2.gz CQXWWYHTAJNNFE-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000613776690 1071419754 /nfs/dbraw/zinc/41/97/54/1071419754.db2.gz FARFBNVGJWWEPC-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000613776719 1071419743 /nfs/dbraw/zinc/41/97/43/1071419743.db2.gz HFEXJPDZRWGDMD-UHFFFAOYSA-N 0 0 440.522 -0.594 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000613801019 1071423386 /nfs/dbraw/zinc/42/33/86/1071423386.db2.gz CCKGOIBENQNWBH-HNNXBMFYSA-N 0 0 429.495 -0.297 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000613801020 1071423377 /nfs/dbraw/zinc/42/33/77/1071423377.db2.gz CCKGOIBENQNWBH-OAHLLOKOSA-N 0 0 429.495 -0.297 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCCC[C@@H]2CCNS(C)(=O)=O)c(=O)[nH]c1=O ZINC000613828355 1071426380 /nfs/dbraw/zinc/42/63/80/1071426380.db2.gz ZTLSPFFVPFEPDU-GFCCVEGCSA-N 0 0 431.515 -0.846 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCCC[C@H]2CCNS(C)(=O)=O)c(=O)[nH]c1=O ZINC000613828359 1071426104 /nfs/dbraw/zinc/42/61/04/1071426104.db2.gz ZTLSPFFVPFEPDU-LBPRGKRZSA-N 0 0 431.515 -0.846 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)CN2C(=O)C(=O)N(C)C2=O)CC1 ZINC000613838207 1071428775 /nfs/dbraw/zinc/42/87/75/1071428775.db2.gz IKXGBONPHHKWLD-UHFFFAOYSA-N 0 0 429.499 -0.940 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC(=O)NCC(F)(F)F)c1 ZINC000613840923 1071429520 /nfs/dbraw/zinc/42/95/20/1071429520.db2.gz QYUPGQRYBVGINQ-UHFFFAOYSA-N 0 0 426.417 -0.327 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](CNC(N)=O)C2)c1 ZINC000613840928 1071429447 /nfs/dbraw/zinc/42/94/47/1071429447.db2.gz RJVAKDBYTSTZRR-AWEZNQCLSA-N 0 0 427.527 -0.214 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](CNC(N)=O)C2)c1 ZINC000613840929 1071430282 /nfs/dbraw/zinc/43/02/82/1071430282.db2.gz RJVAKDBYTSTZRR-CQSZACIVSA-N 0 0 427.527 -0.214 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COc3ccc(C(N)=O)cc3)CC2)o1 ZINC000613863682 1071433874 /nfs/dbraw/zinc/43/38/74/1071433874.db2.gz LVOXNOUYVQHNBK-UHFFFAOYSA-N 0 0 436.446 -0.611 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC000613890230 1071442238 /nfs/dbraw/zinc/44/22/38/1071442238.db2.gz GMIMFLSKOVZBSE-CYBMUJFWSA-N 0 0 427.479 -0.006 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC000613890231 1071442307 /nfs/dbraw/zinc/44/23/07/1071442307.db2.gz GMIMFLSKOVZBSE-ZDUSSCGKSA-N 0 0 427.479 -0.006 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)Nc2cnn(CC(N)=O)c2)cc1 ZINC000613895941 1071442928 /nfs/dbraw/zinc/44/29/28/1071442928.db2.gz GXCXKUFIJANWQT-UHFFFAOYSA-N 0 0 436.494 -0.233 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC000613895985 1071442963 /nfs/dbraw/zinc/44/29/63/1071442963.db2.gz LEEVVRZJSQKRQB-UHFFFAOYSA-N 0 0 436.494 -0.233 20 0 IBADRN NC(=O)Cn1cc(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000613896426 1071442945 /nfs/dbraw/zinc/44/29/45/1071442945.db2.gz BZHAQMRQCUTDIZ-UHFFFAOYSA-N 0 0 448.505 -0.380 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2ncc(Cc3ccc(S(N)(=O)=O)cc3)s2)c1=O ZINC000613911064 1071450054 /nfs/dbraw/zinc/45/00/54/1071450054.db2.gz GBAMOTKACBDLID-UHFFFAOYSA-N 0 0 435.487 -0.120 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CC(=O)N(C)C)CC2)cc1S(=O)(=O)N(C)C ZINC000613914348 1071450778 /nfs/dbraw/zinc/45/07/78/1071450778.db2.gz WCVYTOQUFJOAEQ-UHFFFAOYSA-N 0 0 425.555 -0.110 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCS(=O)(=O)NCc1ccccc1 ZINC000613917352 1071451631 /nfs/dbraw/zinc/45/16/31/1071451631.db2.gz CBVCAUDJJFPWAJ-UHFFFAOYSA-N 0 0 445.295 -0.053 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000613917372 1071451462 /nfs/dbraw/zinc/45/14/62/1071451462.db2.gz DIRGDFVGQRFUEN-CVEARBPZSA-N 0 0 443.547 -0.742 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000613917373 1071451517 /nfs/dbraw/zinc/45/15/17/1071451517.db2.gz DIRGDFVGQRFUEN-HOTGVXAUSA-N 0 0 443.547 -0.742 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000613917374 1071451648 /nfs/dbraw/zinc/45/16/48/1071451648.db2.gz DIRGDFVGQRFUEN-HZPDHXFCSA-N 0 0 443.547 -0.742 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000613917375 1071451607 /nfs/dbraw/zinc/45/16/07/1071451607.db2.gz DIRGDFVGQRFUEN-JKSUJKDBSA-N 0 0 443.547 -0.742 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NCCS(=O)(=O)NCc1ccccc1 ZINC000613917799 1071450896 /nfs/dbraw/zinc/45/08/96/1071450896.db2.gz KQERLRBSDYFTKY-UHFFFAOYSA-N 0 0 426.520 -0.014 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000613940187 1071459876 /nfs/dbraw/zinc/45/98/76/1071459876.db2.gz UKRRJBQJSNFLIR-UHFFFAOYSA-N 0 0 439.494 -0.462 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000613940195 1071459688 /nfs/dbraw/zinc/45/96/88/1071459688.db2.gz UPBRJHAEJPHABD-HNNXBMFYSA-N 0 0 427.523 -0.055 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000613940199 1071459903 /nfs/dbraw/zinc/45/99/03/1071459903.db2.gz UPBRJHAEJPHABD-OAHLLOKOSA-N 0 0 427.523 -0.055 20 0 IBADRN COCC(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000613941756 1071459911 /nfs/dbraw/zinc/45/99/11/1071459911.db2.gz AGOFBQNEVKUXIG-UHFFFAOYSA-N 0 0 441.506 -0.120 20 0 IBADRN CN(CCCNC(=O)Cn1cc(S(=O)(=O)N2CCCC2)ccc1=O)S(C)(=O)=O ZINC000613945741 1071459782 /nfs/dbraw/zinc/45/97/82/1071459782.db2.gz YUSQRERBINWCRI-UHFFFAOYSA-N 0 0 434.540 -0.970 20 0 IBADRN CC(C)N(CCCNC(=O)Cn1cc(S(=O)(=O)N(C)C)ccc1=O)S(C)(=O)=O ZINC000613947172 1071461111 /nfs/dbraw/zinc/46/11/11/1071461111.db2.gz IRDWOXOREYPVIU-UHFFFAOYSA-N 0 0 436.556 -0.725 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000613978948 1071474087 /nfs/dbraw/zinc/47/40/87/1071474087.db2.gz SCZHTCJWEFFJLQ-UHFFFAOYSA-N 0 0 440.522 -0.020 20 0 IBADRN C[C@@H](NC(=O)COc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O)C(=O)N(C)C ZINC000613978958 1071473891 /nfs/dbraw/zinc/47/38/91/1071473891.db2.gz VTUZEIFTXIQSJM-GFCCVEGCSA-N 0 0 426.495 -0.458 20 0 IBADRN C[C@H](NC(=O)COc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O)C(=O)N(C)C ZINC000613978959 1071473938 /nfs/dbraw/zinc/47/39/38/1071473938.db2.gz VTUZEIFTXIQSJM-LBPRGKRZSA-N 0 0 426.495 -0.458 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000613979780 1071474833 /nfs/dbraw/zinc/47/48/33/1071474833.db2.gz BNXFIKYQWBKFJH-UHFFFAOYSA-N 0 0 434.478 -1.000 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000613979781 1071474789 /nfs/dbraw/zinc/47/47/89/1071474789.db2.gz HNXFUWVFKXHQFI-UHFFFAOYSA-N 0 0 431.474 -0.188 20 0 IBADRN CN(CCCNC(=O)CN1C(=O)C(=O)N(CCc2cccs2)C1=O)S(C)(=O)=O ZINC000613984666 1071474714 /nfs/dbraw/zinc/47/47/14/1071474714.db2.gz MYAYYBLIPJEPRO-UHFFFAOYSA-N 0 0 430.508 -0.521 20 0 IBADRN CN(CCCNC(=O)COc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000613992008 1071478235 /nfs/dbraw/zinc/47/82/35/1071478235.db2.gz YSBVEAZHKXCNMY-UHFFFAOYSA-N 0 0 449.551 -0.516 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000614013979 1071485311 /nfs/dbraw/zinc/48/53/11/1071485311.db2.gz IURQMRXNXPAOLN-UHFFFAOYSA-N 0 0 425.445 -0.793 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000614013994 1071485293 /nfs/dbraw/zinc/48/52/93/1071485293.db2.gz LBFNAKSLSRQRMG-UHFFFAOYSA-N 0 0 441.492 -0.673 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000614014872 1071485337 /nfs/dbraw/zinc/48/53/37/1071485337.db2.gz CYHMLYYKEPDUPN-UHFFFAOYSA-N 0 0 438.506 -0.826 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc(OC)c1OC ZINC000614014899 1071485350 /nfs/dbraw/zinc/48/53/50/1071485350.db2.gz DPVFAXMAGLWNKC-UHFFFAOYSA-N 0 0 448.476 -0.608 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000614015082 1071486286 /nfs/dbraw/zinc/48/62/86/1071486286.db2.gz KKHANZFFVKNRGQ-LBPRGKRZSA-N 0 0 426.470 -0.558 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000614015139 1071486365 /nfs/dbraw/zinc/48/63/65/1071486365.db2.gz NNRDOJUTLRNLSW-UHFFFAOYSA-N 0 0 436.490 -0.883 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)o1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000614015192 1071486260 /nfs/dbraw/zinc/48/62/60/1071486260.db2.gz QHLFFFVBZHDZFK-UHFFFAOYSA-N 0 0 427.255 -0.279 20 0 IBADRN NC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000614015226 1071486411 /nfs/dbraw/zinc/48/64/11/1071486411.db2.gz TVHXNLRKXVWRQD-KRWDZBQOSA-N 0 0 426.477 -0.303 20 0 IBADRN NC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000614015227 1071486217 /nfs/dbraw/zinc/48/62/17/1071486217.db2.gz TVHXNLRKXVWRQD-QGZVFWFLSA-N 0 0 426.477 -0.303 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000614015366 1071485248 /nfs/dbraw/zinc/48/52/48/1071485248.db2.gz WUTAOHSLURTLNO-UHFFFAOYSA-N 0 0 444.460 -0.417 20 0 IBADRN CN(CCCNC(=O)CSc1nnc(N2CCOCC2)n1C1CC1)S(C)(=O)=O ZINC000614018020 1071486354 /nfs/dbraw/zinc/48/63/54/1071486354.db2.gz RBXHXMCNDIBJCA-UHFFFAOYSA-N 0 0 432.572 -0.061 20 0 IBADRN CCN1CCN(CC(=O)N2CCN([C@@H](C)C(=O)Nc3cccc(F)c3)CC2)C(=O)C1=O ZINC000614024195 1071487825 /nfs/dbraw/zinc/48/78/25/1071487825.db2.gz LUUUEYXKFCYFOL-HNNXBMFYSA-N 0 0 433.484 -0.012 20 0 IBADRN CCN1CCN(CC(=O)N2CCN([C@H](C)C(=O)Nc3cccc(F)c3)CC2)C(=O)C1=O ZINC000614024196 1071487640 /nfs/dbraw/zinc/48/76/40/1071487640.db2.gz LUUUEYXKFCYFOL-OAHLLOKOSA-N 0 0 433.484 -0.012 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)c1=O ZINC000614031620 1071488472 /nfs/dbraw/zinc/48/84/72/1071488472.db2.gz NMPKXGJOQXTZHV-INIZCTEOSA-N 0 0 430.461 -0.463 20 0 IBADRN Cn1c(=O)ccn(CC(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)c1=O ZINC000614031621 1071488666 /nfs/dbraw/zinc/48/86/66/1071488666.db2.gz NMPKXGJOQXTZHV-MRXNPFEDSA-N 0 0 430.461 -0.463 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCNC(=O)c1ccc(O)cc1 ZINC000614032063 1071488746 /nfs/dbraw/zinc/48/87/46/1071488746.db2.gz QPXRCQWGZQITMH-UHFFFAOYSA-N 0 0 436.490 -0.089 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc3[nH]c(N4CCOCC4)nc3c1)c(=O)n2C ZINC000614041954 1071496289 /nfs/dbraw/zinc/49/62/89/1071496289.db2.gz LCMKZXOFCWTWGM-UHFFFAOYSA-N 0 0 438.448 -0.215 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCS(N)(=O)=O)CC2)cc1 ZINC000614042828 1071497721 /nfs/dbraw/zinc/49/77/21/1071497721.db2.gz NEAKKFYNLPLXNH-UHFFFAOYSA-N 0 0 446.551 -0.987 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2[nH]c(=O)cc(C(=O)NCCS(N)(=O)=O)c2c1 ZINC000614042970 1071498540 /nfs/dbraw/zinc/49/85/40/1071498540.db2.gz YJEBPEAAENHSJJ-UHFFFAOYSA-N 0 0 430.508 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCCS(N)(=O)=O)CC2=O)cc1 ZINC000614042973 1071498574 /nfs/dbraw/zinc/49/85/74/1071498574.db2.gz ZGUFOXQOJJEZGP-CYBMUJFWSA-N 0 0 446.551 -0.525 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCCS(N)(=O)=O)CC2=O)cc1 ZINC000614042974 1071498730 /nfs/dbraw/zinc/49/87/30/1071498730.db2.gz ZGUFOXQOJJEZGP-ZDUSSCGKSA-N 0 0 446.551 -0.525 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000614043645 1071499248 /nfs/dbraw/zinc/49/92/48/1071499248.db2.gz HNXDDAPIMSRYHN-HNJNHCNJSA-N 0 0 430.479 -0.751 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000614043646 1071499370 /nfs/dbraw/zinc/49/93/70/1071499370.db2.gz HNXDDAPIMSRYHN-MRAWALMUSA-N 0 0 430.479 -0.751 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000614043647 1071499333 /nfs/dbraw/zinc/49/93/33/1071499333.db2.gz HNXDDAPIMSRYHN-QITLCBANSA-N 0 0 430.479 -0.751 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000614043648 1071499403 /nfs/dbraw/zinc/49/94/03/1071499403.db2.gz HNXDDAPIMSRYHN-XLWVJDPXSA-N 0 0 430.479 -0.751 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(CC(=O)N3CCc4ccccc43)CC1)c2=O ZINC000614044880 1071499233 /nfs/dbraw/zinc/49/92/33/1071499233.db2.gz QDIQRRJWWMTLGR-UHFFFAOYSA-N 0 0 435.488 -0.137 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)cn1 ZINC000614045734 1071501448 /nfs/dbraw/zinc/50/14/48/1071501448.db2.gz IWWVNIMGNMPEQW-UHFFFAOYSA-N 0 0 446.533 -0.568 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000614046288 1071502799 /nfs/dbraw/zinc/50/27/99/1071502799.db2.gz MFWTWAUNKOSUQH-GOSISDBHSA-N 0 0 434.562 -0.161 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000614046289 1071502762 /nfs/dbraw/zinc/50/27/62/1071502762.db2.gz MFWTWAUNKOSUQH-SFHVURJKSA-N 0 0 434.562 -0.161 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)c1 ZINC000614048382 1071504235 /nfs/dbraw/zinc/50/42/35/1071504235.db2.gz HMWXXYBTXYGMFK-UHFFFAOYSA-N 0 0 428.445 -0.035 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000614048400 1071504223 /nfs/dbraw/zinc/50/42/23/1071504223.db2.gz IJLAFYCTXZTOBU-UHFFFAOYSA-N 0 0 432.502 -0.077 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)ccc1OC ZINC000614048428 1071504090 /nfs/dbraw/zinc/50/40/90/1071504090.db2.gz JHXSETQBQVFANV-UHFFFAOYSA-N 0 0 434.474 -0.252 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000614048734 1071504069 /nfs/dbraw/zinc/50/40/69/1071504069.db2.gz PETYWRZJHQZWHN-UHFFFAOYSA-N 0 0 446.485 -0.301 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000614048915 1071503419 /nfs/dbraw/zinc/50/34/19/1071503419.db2.gz YRCUYVBFFNCBFV-ZDUSSCGKSA-N 0 0 448.501 -0.107 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)cc1 ZINC000614048916 1071503542 /nfs/dbraw/zinc/50/35/42/1071503542.db2.gz YRIDYVCLKQIFBS-UHFFFAOYSA-N 0 0 449.467 -0.410 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)CNC(=O)c2cnc3ccccc3c2O)cc1 ZINC000614050163 1071504738 /nfs/dbraw/zinc/50/47/38/1071504738.db2.gz QEVOBDOVALTATA-UHFFFAOYSA-N 0 0 435.440 -0.211 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCc1ccc(S(=O)(=O)NC)cc1 ZINC000614055711 1071508492 /nfs/dbraw/zinc/50/84/92/1071508492.db2.gz QUIQRTYDDUUBIW-HNNXBMFYSA-N 0 0 445.563 -0.321 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCc1ccc(S(=O)(=O)NC)cc1 ZINC000614055712 1071508260 /nfs/dbraw/zinc/50/82/60/1071508260.db2.gz QUIQRTYDDUUBIW-OAHLLOKOSA-N 0 0 445.563 -0.321 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O ZINC000614057914 1071509650 /nfs/dbraw/zinc/50/96/50/1071509650.db2.gz DILVGNPCNHTJRS-HNNXBMFYSA-N 0 0 436.440 -0.168 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O ZINC000614057925 1071509460 /nfs/dbraw/zinc/50/94/60/1071509460.db2.gz DILVGNPCNHTJRS-OAHLLOKOSA-N 0 0 436.440 -0.168 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)NCCOc1ccc2c(c1)OCO2 ZINC000614057972 1071509640 /nfs/dbraw/zinc/50/96/40/1071509640.db2.gz FQEGQEXPGLJPDD-AWEZNQCLSA-N 0 0 448.432 -0.920 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)NCCOc1ccc2c(c1)OCO2 ZINC000614057980 1071509694 /nfs/dbraw/zinc/50/96/94/1071509694.db2.gz FQEGQEXPGLJPDD-CQSZACIVSA-N 0 0 448.432 -0.920 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)NCCCOc1ccccc1F ZINC000614058206 1071510214 /nfs/dbraw/zinc/51/02/14/1071510214.db2.gz HGQJDAFAWUMBBG-HNNXBMFYSA-N 0 0 436.440 -0.120 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)NCCCOc1ccccc1F ZINC000614058207 1071510898 /nfs/dbraw/zinc/51/08/98/1071510898.db2.gz HGQJDAFAWUMBBG-OAHLLOKOSA-N 0 0 436.440 -0.120 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000614058937 1071510128 /nfs/dbraw/zinc/51/01/28/1071510128.db2.gz TVIWVWGHQINHLE-KRWDZBQOSA-N 0 0 448.476 -0.503 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000614058939 1071510098 /nfs/dbraw/zinc/51/00/98/1071510098.db2.gz TVIWVWGHQINHLE-QGZVFWFLSA-N 0 0 448.476 -0.503 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000614058978 1071510085 /nfs/dbraw/zinc/51/00/85/1071510085.db2.gz WBPITIYAUWENAK-KRWDZBQOSA-N 0 0 448.476 -0.503 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000614058979 1071510332 /nfs/dbraw/zinc/51/03/32/1071510332.db2.gz WBPITIYAUWENAK-QGZVFWFLSA-N 0 0 448.476 -0.503 20 0 IBADRN O=C(C[C@@H]1NC(=O)N(CC(=O)N2CCO[C@@H](c3ccc(F)cc3)C2)C1=O)N1CCOCC1 ZINC000614058982 1071510283 /nfs/dbraw/zinc/51/02/83/1071510283.db2.gz WERQUEBIZAEZSV-DLBZAZTESA-N 0 0 448.451 -0.105 20 0 IBADRN O=C(C[C@H]1NC(=O)N(CC(=O)N2CCO[C@@H](c3ccc(F)cc3)C2)C1=O)N1CCOCC1 ZINC000614058983 1071510172 /nfs/dbraw/zinc/51/01/72/1071510172.db2.gz WERQUEBIZAEZSV-IAGOWNOFSA-N 0 0 448.451 -0.105 20 0 IBADRN O=C(C[C@@H]1NC(=O)N(CC(=O)N2CCO[C@H](c3ccc(F)cc3)C2)C1=O)N1CCOCC1 ZINC000614058984 1071510161 /nfs/dbraw/zinc/51/01/61/1071510161.db2.gz WERQUEBIZAEZSV-IRXDYDNUSA-N 0 0 448.451 -0.105 20 0 IBADRN O=C(C[C@H]1NC(=O)N(CC(=O)N2CCO[C@H](c3ccc(F)cc3)C2)C1=O)N1CCOCC1 ZINC000614058985 1071510137 /nfs/dbraw/zinc/51/01/37/1071510137.db2.gz WERQUEBIZAEZSV-SJORKVTESA-N 0 0 448.451 -0.105 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000614064146 1071514046 /nfs/dbraw/zinc/51/40/46/1071514046.db2.gz BMYVQWJVBWZNSF-UHFFFAOYSA-N 0 0 444.579 -0.134 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000614069390 1071515876 /nfs/dbraw/zinc/51/58/76/1071515876.db2.gz UCMXWYLEDGMAHE-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000614072745 1071517038 /nfs/dbraw/zinc/51/70/38/1071517038.db2.gz ODIXEAPVDPRBNK-UHFFFAOYSA-N 0 0 441.554 -0.889 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000614072990 1071516843 /nfs/dbraw/zinc/51/68/43/1071516843.db2.gz UNHXYENAHIPUSV-AWEZNQCLSA-N 0 0 441.506 -0.168 20 0 IBADRN COCC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1 ZINC000614073585 1071516885 /nfs/dbraw/zinc/51/68/85/1071516885.db2.gz FUSVIHUTMUMOBJ-UHFFFAOYSA-N 0 0 438.550 -0.381 20 0 IBADRN CC(C)N(CCCNC(=O)CSc1nnc(N2CCOCC2)n1C)S(C)(=O)=O ZINC000614075203 1071517746 /nfs/dbraw/zinc/51/77/46/1071517746.db2.gz DODRBSGFPGDAJE-UHFFFAOYSA-N 0 0 434.588 -0.080 20 0 IBADRN CS(=O)(=O)c1ccc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)c(S(C)(=O)=O)c1 ZINC000614086876 1071548858 /nfs/dbraw/zinc/54/88/58/1071548858.db2.gz GWSABFQJLANGEZ-UHFFFAOYSA-N 0 0 443.547 -0.235 20 0 IBADRN CS(=O)(=O)c1ccc(N2CCN(C(=O)C(=O)NC3CC3)CC2)c(S(C)(=O)=O)c1 ZINC000614087487 1071548741 /nfs/dbraw/zinc/54/87/41/1071548741.db2.gz ZKYSBLKHKLGUCV-UHFFFAOYSA-N 0 0 429.520 -0.579 20 0 IBADRN COc1cc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)ccc1OCC(N)=O ZINC000614094139 1071549344 /nfs/dbraw/zinc/54/93/44/1071549344.db2.gz JNDFFCZTSGEVPI-UHFFFAOYSA-N 0 0 427.417 -0.565 20 0 IBADRN O=C(CN1CCCS1(=O)=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000614120756 1071550541 /nfs/dbraw/zinc/55/05/41/1071550541.db2.gz SUVGUKZRKNSSGB-HNNXBMFYSA-N 0 0 431.536 -0.204 20 0 IBADRN O=C(CN1CCCS1(=O)=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000614120757 1071550489 /nfs/dbraw/zinc/55/04/89/1071550489.db2.gz SUVGUKZRKNSSGB-OAHLLOKOSA-N 0 0 431.536 -0.204 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C2CCN(S(C)(=O)=O)CC2)cc1 ZINC000614121768 1071550558 /nfs/dbraw/zinc/55/05/58/1071550558.db2.gz XTYXXAVPNVDDHW-UHFFFAOYSA-N 0 0 433.552 -0.101 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000614122403 1071551040 /nfs/dbraw/zinc/55/10/40/1071551040.db2.gz ZAZJMGDIUVKBLW-HNNXBMFYSA-N 0 0 433.552 -0.654 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000614122404 1071551134 /nfs/dbraw/zinc/55/11/34/1071551134.db2.gz ZAZJMGDIUVKBLW-OAHLLOKOSA-N 0 0 433.552 -0.654 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000614137291 1071551641 /nfs/dbraw/zinc/55/16/41/1071551641.db2.gz JEKFCEQICXZBOO-HNNXBMFYSA-N 0 0 427.479 -0.468 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000614137299 1071551792 /nfs/dbraw/zinc/55/17/92/1071551792.db2.gz JEKFCEQICXZBOO-OAHLLOKOSA-N 0 0 427.479 -0.468 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000614143350 1071551564 /nfs/dbraw/zinc/55/15/64/1071551564.db2.gz AVKJBEGMVXSRGV-UHFFFAOYSA-N 0 0 432.477 -0.273 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000614143547 1071551778 /nfs/dbraw/zinc/55/17/78/1071551778.db2.gz JYUXKSYXWQORJL-UHFFFAOYSA-N 0 0 428.536 -0.290 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1)N1CCCC1 ZINC000614144513 1071551863 /nfs/dbraw/zinc/55/18/63/1071551863.db2.gz QDKYMWCYDLBTLT-UHFFFAOYSA-N 0 0 427.461 -0.355 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000614144932 1071551652 /nfs/dbraw/zinc/55/16/52/1071551652.db2.gz ZCKAWBGDELZZFW-ZDUSSCGKSA-N 0 0 441.510 -0.749 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000614144956 1071551680 /nfs/dbraw/zinc/55/16/80/1071551680.db2.gz ZFABOEVHPGVGDM-AWEZNQCLSA-N 0 0 440.497 -0.214 20 0 IBADRN COc1c(F)cc(CC(=O)NCCCn2nc3n(c2=O)CCCC3)cc1S(N)(=O)=O ZINC000614167327 1071554578 /nfs/dbraw/zinc/55/45/78/1071554578.db2.gz ATMNBCOWHAURKV-UHFFFAOYSA-N 0 0 441.485 -0.075 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000614167393 1071554666 /nfs/dbraw/zinc/55/46/66/1071554666.db2.gz HMBYOEDWRQPCND-UHFFFAOYSA-N 0 0 439.494 -0.185 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000614167677 1071554590 /nfs/dbraw/zinc/55/45/90/1071554590.db2.gz AHFHCPLVCTWXIR-UHFFFAOYSA-N 0 0 438.510 -0.450 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000614167842 1071554633 /nfs/dbraw/zinc/55/46/33/1071554633.db2.gz PMYQTWFNMDSAOC-UHFFFAOYSA-N 0 0 427.259 -0.388 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCCn1nc2n(c1=O)CCCC2 ZINC000614167855 1071554473 /nfs/dbraw/zinc/55/44/73/1071554473.db2.gz ROFGKQRMADZYRO-LBPRGKRZSA-N 0 0 426.499 -0.141 20 0 IBADRN CC1(C)NC(=O)N(CCCC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000614169016 1071554605 /nfs/dbraw/zinc/55/46/05/1071554605.db2.gz DACSXOMJIQKVGL-UHFFFAOYSA-N 0 0 429.477 -0.015 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000614169105 1071554554 /nfs/dbraw/zinc/55/45/54/1071554554.db2.gz HCWKWSWVEZRVMP-UHFFFAOYSA-N 0 0 446.485 -0.155 20 0 IBADRN O=C(Cn1c(=O)[nH]c(=O)c2ccccc21)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000614169315 1071554456 /nfs/dbraw/zinc/55/44/56/1071554456.db2.gz PRDFMWVQSNWCLS-UHFFFAOYSA-N 0 0 435.440 -0.010 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000614169329 1073362932 /nfs/dbraw/zinc/36/29/32/1073362932.db2.gz QRFUAIAGUKSQPB-UHFFFAOYSA-N 0 0 436.476 -0.246 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000614171370 1071555090 /nfs/dbraw/zinc/55/50/90/1071555090.db2.gz SGGZTVUGMSMXJE-KRWDZBQOSA-N 0 0 429.499 -0.401 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000614171371 1071555034 /nfs/dbraw/zinc/55/50/34/1071555034.db2.gz SGGZTVUGMSMXJE-QGZVFWFLSA-N 0 0 429.499 -0.401 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c1 ZINC000614174644 1071555017 /nfs/dbraw/zinc/55/50/17/1071555017.db2.gz GWQSJJUVHLZWBQ-UHFFFAOYSA-N 0 0 433.490 -0.233 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000614175157 1071555237 /nfs/dbraw/zinc/55/52/37/1071555237.db2.gz SZGBOKOPGFIVJA-UHFFFAOYSA-N 0 0 445.501 -0.362 20 0 IBADRN COC(=O)c1cc(NC(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc(S(C)(=O)=O)c1 ZINC000614184718 1071555759 /nfs/dbraw/zinc/55/57/59/1071555759.db2.gz HMRJNNXHPMOBEI-CYBMUJFWSA-N 0 0 434.492 -0.110 20 0 IBADRN COC(=O)c1cc(NC(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc(S(C)(=O)=O)c1 ZINC000614184722 1071555879 /nfs/dbraw/zinc/55/58/79/1071555879.db2.gz HMRJNNXHPMOBEI-ZDUSSCGKSA-N 0 0 434.492 -0.110 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000614250291 1071564825 /nfs/dbraw/zinc/56/48/25/1071564825.db2.gz AXNMLECHESTAES-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1OC ZINC000614250412 1071564811 /nfs/dbraw/zinc/56/48/11/1071564811.db2.gz IMAJDCZYQKGVHW-UHFFFAOYSA-N 0 0 442.538 -0.395 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000614250477 1071564690 /nfs/dbraw/zinc/56/46/90/1071564690.db2.gz NIKMHWWWMBHBHO-UHFFFAOYSA-N 0 0 425.507 -0.146 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000614250608 1071564880 /nfs/dbraw/zinc/56/48/80/1071564880.db2.gz XBNYFMXLVCTDGC-UHFFFAOYSA-N 0 0 444.579 -0.456 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000614252234 1071564933 /nfs/dbraw/zinc/56/49/33/1071564933.db2.gz NQQOXSGPUSUUDP-UHFFFAOYSA-N 0 0 447.355 -0.040 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000614252453 1071564864 /nfs/dbraw/zinc/56/48/64/1071564864.db2.gz RULVWEATNTXRHK-UHFFFAOYSA-N 0 0 447.355 -0.040 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CC(=O)N(C)C)CC2)[C@@H](C)O)cc1 ZINC000614252460 1071564954 /nfs/dbraw/zinc/56/49/54/1071564954.db2.gz SCQOOYWYIAVBLJ-CRAIPNDOSA-N 0 0 426.539 -0.745 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCN(CC(=O)N(C)C)CC2)[C@H](C)O)cc1 ZINC000614252465 1071564945 /nfs/dbraw/zinc/56/49/45/1071564945.db2.gz SCQOOYWYIAVBLJ-MAUKXSAKSA-N 0 0 426.539 -0.745 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC(=O)N(C)C)CC2)[C@@H](C)O)cc1 ZINC000614252467 1071565487 /nfs/dbraw/zinc/56/54/87/1071565487.db2.gz SCQOOYWYIAVBLJ-QAPCUYQASA-N 0 0 426.539 -0.745 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC(=O)N(C)C)CC2)[C@H](C)O)cc1 ZINC000614252468 1071565542 /nfs/dbraw/zinc/56/55/42/1071565542.db2.gz SCQOOYWYIAVBLJ-YJBOKZPZSA-N 0 0 426.539 -0.745 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC000614258550 1071565426 /nfs/dbraw/zinc/56/54/26/1071565426.db2.gz OUJAJABTJPGUOD-GFCCVEGCSA-N 0 0 437.569 -0.257 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC000614258551 1071565551 /nfs/dbraw/zinc/56/55/51/1071565551.db2.gz OUJAJABTJPGUOD-LBPRGKRZSA-N 0 0 437.569 -0.257 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000614258827 1071565684 /nfs/dbraw/zinc/56/56/84/1071565684.db2.gz APXKDVXRGGVXAX-UHFFFAOYSA-N 0 0 428.467 -0.366 20 0 IBADRN COC(=O)COc1ccc(CN(C)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000614263855 1071566008 /nfs/dbraw/zinc/56/60/08/1071566008.db2.gz WIQKYIKDRGXNBG-UHFFFAOYSA-N 0 0 442.538 -0.043 20 0 IBADRN NC(=O)c1cn(CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ccccc12 ZINC000614315688 1071573837 /nfs/dbraw/zinc/57/38/37/1071573837.db2.gz AXPNDNWVHRQRMV-UHFFFAOYSA-N 0 0 427.461 -0.730 20 0 IBADRN CCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000614343752 1071589554 /nfs/dbraw/zinc/58/95/54/1071589554.db2.gz DLSXDYQNPJFELX-UHFFFAOYSA-N 0 0 442.538 -0.395 20 0 IBADRN CCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000614343806 1071589515 /nfs/dbraw/zinc/58/95/15/1071589515.db2.gz HTIABEXSCSUDBA-UHFFFAOYSA-N 0 0 442.538 -0.395 20 0 IBADRN CCNC(=O)CN(C)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000614344140 1071588672 /nfs/dbraw/zinc/58/86/72/1071588672.db2.gz XMPSKZVBKHCDCK-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN CCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000614344174 1071588521 /nfs/dbraw/zinc/58/85/21/1071588521.db2.gz XGJNIDWJKAYHRJ-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nc4c(cnn4C)c(=O)[nH]3)CC2)C[C@H](C)O1 ZINC000614380251 1071594116 /nfs/dbraw/zinc/59/41/16/1071594116.db2.gz NQYMOBYOCKBSRI-BETUJISGSA-N 0 0 425.515 -0.460 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nc4c(cnn4C)c(=O)[nH]3)CC2)C[C@@H](C)O1 ZINC000614380252 1071594193 /nfs/dbraw/zinc/59/41/93/1071594193.db2.gz NQYMOBYOCKBSRI-CHWSQXEVSA-N 0 0 425.515 -0.460 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cc3nc4c(cnn4C)c(=O)[nH]3)CC2)C[C@H](C)O1 ZINC000614380254 1071594173 /nfs/dbraw/zinc/59/41/73/1071594173.db2.gz NQYMOBYOCKBSRI-STQMWFEESA-N 0 0 425.515 -0.460 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@@H]2COCCO2)c1OC ZINC000614408761 1071595111 /nfs/dbraw/zinc/59/51/11/1071595111.db2.gz CXIDOZWZMLCDDM-CYBMUJFWSA-N 0 0 430.479 -0.130 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC[C@H]2COCCO2)c1OC ZINC000614408762 1071595019 /nfs/dbraw/zinc/59/50/19/1071595019.db2.gz CXIDOZWZMLCDDM-ZDUSSCGKSA-N 0 0 430.479 -0.130 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC1 ZINC000614447213 1071611060 /nfs/dbraw/zinc/61/10/60/1071611060.db2.gz CFNKFOOXOPFFDC-UHFFFAOYSA-N 0 0 445.520 -0.095 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)c2ccco2)CC1 ZINC000614447276 1071610992 /nfs/dbraw/zinc/61/09/92/1071610992.db2.gz CKCFHMGBCOSGKM-KRWDZBQOSA-N 0 0 437.460 -0.022 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)c2ccco2)CC1 ZINC000614447277 1071610978 /nfs/dbraw/zinc/61/09/78/1071610978.db2.gz CKCFHMGBCOSGKM-QGZVFWFLSA-N 0 0 437.460 -0.022 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614447306 1071610963 /nfs/dbraw/zinc/61/09/63/1071610963.db2.gz DJKRZHUJBCPNON-LBPRGKRZSA-N 0 0 441.901 -0.636 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2sccc2S(=O)(=O)N2CCCC2)CC1 ZINC000614447396 1071612470 /nfs/dbraw/zinc/61/24/70/1071612470.db2.gz HZNGZKFBCNKIDV-UHFFFAOYSA-N 0 0 439.523 -0.496 20 0 IBADRN NC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614447404 1071612473 /nfs/dbraw/zinc/61/24/73/1071612473.db2.gz IJBQGBPUSIKPLC-INIZCTEOSA-N 0 0 425.453 -0.895 20 0 IBADRN NC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614447405 1071612503 /nfs/dbraw/zinc/61/25/03/1071612503.db2.gz IJBQGBPUSIKPLC-MRXNPFEDSA-N 0 0 425.453 -0.895 20 0 IBADRN COc1ccc(C)cc1N1C[C@@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1=O ZINC000614447440 1071610994 /nfs/dbraw/zinc/61/09/94/1071610994.db2.gz KVGFRLLQTJPEFZ-HNNXBMFYSA-N 0 0 427.465 -0.286 20 0 IBADRN COc1ccc(C)cc1N1C[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1=O ZINC000614447441 1071610987 /nfs/dbraw/zinc/61/09/87/1071610987.db2.gz KVGFRLLQTJPEFZ-OAHLLOKOSA-N 0 0 427.465 -0.286 20 0 IBADRN CCCCOc1ccc(C(=O)NCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000614447467 1071611025 /nfs/dbraw/zinc/61/10/25/1071611025.db2.gz MRLWLDDNMYGUAB-UHFFFAOYSA-N 0 0 429.481 -0.047 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)o1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614447485 1071610951 /nfs/dbraw/zinc/61/09/51/1071610951.db2.gz NWQKSVRACAJHKS-UHFFFAOYSA-N 0 0 426.231 -0.871 20 0 IBADRN COCCNC(=O)CSc1ccccc1C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614447502 1071611397 /nfs/dbraw/zinc/61/13/97/1071611397.db2.gz PFELSZRAXVLHOQ-UHFFFAOYSA-N 0 0 447.521 -0.488 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000614447516 1071611483 /nfs/dbraw/zinc/61/14/83/1071611483.db2.gz QHBIJDWCCDHKBA-UHFFFAOYSA-N 0 0 449.537 -0.164 20 0 IBADRN CC(C)C[C@@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000614447533 1071611521 /nfs/dbraw/zinc/61/15/21/1071611521.db2.gz ADYWGKINIFBBAY-BBWFWOEESA-N 0 0 443.508 -0.290 20 0 IBADRN CC(C)C[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)[C@@H]2CC=CC[C@H]2C1=O ZINC000614447534 1071612009 /nfs/dbraw/zinc/61/20/09/1071612009.db2.gz ADYWGKINIFBBAY-BRWVUGGUSA-N 0 0 443.508 -0.290 20 0 IBADRN CC(C)C[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000614447535 1071612096 /nfs/dbraw/zinc/61/20/96/1071612096.db2.gz ADYWGKINIFBBAY-IXDOHACOSA-N 0 0 443.508 -0.290 20 0 IBADRN CC(C)C[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)N1C(=O)[C@H]2CC=CC[C@@H]2C1=O ZINC000614447536 1071612049 /nfs/dbraw/zinc/61/20/49/1071612049.db2.gz ADYWGKINIFBBAY-YESZJQIVSA-N 0 0 443.508 -0.290 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000614447539 1071612082 /nfs/dbraw/zinc/61/20/82/1071612082.db2.gz AQLHUVVXEHOVPX-AWEZNQCLSA-N 0 0 441.448 -0.832 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000614447540 1071612086 /nfs/dbraw/zinc/61/20/86/1071612086.db2.gz AQLHUVVXEHOVPX-CQSZACIVSA-N 0 0 441.448 -0.832 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000614447544 1071612070 /nfs/dbraw/zinc/61/20/70/1071612070.db2.gz AVJWQQQIYAXZEM-JLTOFOAXSA-N 0 0 446.512 -0.519 20 0 IBADRN C[C@@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000614447545 1071612028 /nfs/dbraw/zinc/61/20/28/1071612028.db2.gz AVJWQQQIYAXZEM-VBKZILBWSA-N 0 0 446.512 -0.519 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000614447546 1071612034 /nfs/dbraw/zinc/61/20/34/1071612034.db2.gz AVJWQQQIYAXZEM-VLIAUNLRSA-N 0 0 446.512 -0.519 20 0 IBADRN C[C@@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000614447547 1071612039 /nfs/dbraw/zinc/61/20/39/1071612039.db2.gz AVJWQQQIYAXZEM-XOBRGWDASA-N 0 0 446.512 -0.519 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000614447577 1071612006 /nfs/dbraw/zinc/61/20/06/1071612006.db2.gz CZZIYVVXFXUQNT-INIZCTEOSA-N 0 0 447.521 -0.555 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000614447578 1071612014 /nfs/dbraw/zinc/61/20/14/1071612014.db2.gz CZZIYVVXFXUQNT-MRXNPFEDSA-N 0 0 447.521 -0.555 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(C(=O)Cn3cnnn3)CC1)C2=O ZINC000614447593 1071612100 /nfs/dbraw/zinc/61/21/00/1071612100.db2.gz DZHBHSAFMCKHKJ-UHFFFAOYSA-N 0 0 432.485 -0.765 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc2N1S(C)(=O)=O ZINC000614447608 1071612074 /nfs/dbraw/zinc/61/20/74/1071612074.db2.gz FLKDLOODWFOLMT-CYBMUJFWSA-N 0 0 433.494 -0.632 20 0 IBADRN C[C@H]1Cc2cc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc2N1S(C)(=O)=O ZINC000614447612 1071612103 /nfs/dbraw/zinc/61/21/03/1071612103.db2.gz FLKDLOODWFOLMT-ZDUSSCGKSA-N 0 0 433.494 -0.632 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1C ZINC000614447661 1071611526 /nfs/dbraw/zinc/61/15/26/1071611526.db2.gz VGRLEWYQBANCFI-UHFFFAOYSA-N 0 0 449.537 -0.281 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000614447714 1071611422 /nfs/dbraw/zinc/61/14/22/1071611422.db2.gz JSYMWCPNUUOLCQ-UHFFFAOYSA-N 0 0 435.510 -0.671 20 0 IBADRN CCOc1ccccc1C(=O)NCCCC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614447720 1071611442 /nfs/dbraw/zinc/61/14/42/1071611442.db2.gz KICCCRXGCPCWJI-UHFFFAOYSA-N 0 0 429.481 -0.047 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)NCCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(C)c1 ZINC000614447861 1071611404 /nfs/dbraw/zinc/61/14/04/1071611404.db2.gz OJIPJFOFALOFKO-UHFFFAOYSA-N 0 0 449.537 -0.362 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CCCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000614447870 1071611489 /nfs/dbraw/zinc/61/14/89/1071611489.db2.gz PTLWQPHLKAIKEN-KRWDZBQOSA-N 0 0 447.521 -0.413 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H]2CCCCN2S(=O)(=O)c2ccccc2)CC1 ZINC000614447871 1071611494 /nfs/dbraw/zinc/61/14/94/1071611494.db2.gz PTLWQPHLKAIKEN-QGZVFWFLSA-N 0 0 447.521 -0.413 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1C ZINC000614447885 1071611468 /nfs/dbraw/zinc/61/14/68/1071611468.db2.gz QDIYBSUHZDNRMV-UHFFFAOYSA-N 0 0 435.510 -0.671 20 0 IBADRN O=C(Cc1csc(NC(=O)c2ccco2)n1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614447924 1071611389 /nfs/dbraw/zinc/61/13/89/1071611389.db2.gz RUNXDBNVHALGOJ-UHFFFAOYSA-N 0 0 430.450 -0.112 20 0 IBADRN Cc1nn(-c2nc(C)cc(C)n2)c(C)c1CC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614447989 1071611435 /nfs/dbraw/zinc/61/14/35/1071611435.db2.gz CLTJFENYOFBPGZ-UHFFFAOYSA-N 0 0 438.496 -0.204 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1OCC(=O)NC(C)C ZINC000614448080 1071611429 /nfs/dbraw/zinc/61/14/29/1071611429.db2.gz HLJMTJUKKPXJAW-UHFFFAOYSA-N 0 0 445.480 -0.430 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)c(OC)c1 ZINC000614448145 1071611412 /nfs/dbraw/zinc/61/14/12/1071611412.db2.gz XCPKJSTVKZJJIE-AWEZNQCLSA-N 0 0 443.464 -0.586 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)c(OC)c1 ZINC000614448146 1071611417 /nfs/dbraw/zinc/61/14/17/1071611417.db2.gz XCPKJSTVKZJJIE-CQSZACIVSA-N 0 0 443.464 -0.586 20 0 IBADRN O=C(CCC(=O)N1CC(=O)Nc2ccccc21)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614448148 1071611505 /nfs/dbraw/zinc/61/15/05/1071611505.db2.gz XMLVMGDCAVNUFS-UHFFFAOYSA-N 0 0 426.437 -0.891 20 0 IBADRN O=C(Cc1ccc(F)cc1)N1CCC[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000614448255 1071611041 /nfs/dbraw/zinc/61/10/41/1071611041.db2.gz MEHAGTCNWMNBSI-KRWDZBQOSA-N 0 0 443.483 -0.036 20 0 IBADRN O=C(Cc1ccc(F)cc1)N1CCC[C@@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000614448259 1071611003 /nfs/dbraw/zinc/61/10/03/1071611003.db2.gz MEHAGTCNWMNBSI-QGZVFWFLSA-N 0 0 443.483 -0.036 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cccc(S(=O)(=O)NCC3CC3)c2)CC1 ZINC000614448316 1071611513 /nfs/dbraw/zinc/61/15/13/1071611513.db2.gz NILKQQMUNINFEB-UHFFFAOYSA-N 0 0 433.494 -0.654 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614448337 1071611501 /nfs/dbraw/zinc/61/15/01/1071611501.db2.gz OLNZYLOUJAMLHT-UHFFFAOYSA-N 0 0 432.832 -0.705 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)o1 ZINC000614448341 1071611449 /nfs/dbraw/zinc/61/14/49/1071611449.db2.gz OQAJHIMVNMRYPS-UHFFFAOYSA-N 0 0 425.471 -0.673 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1C ZINC000614448426 1071611020 /nfs/dbraw/zinc/61/10/20/1071611020.db2.gz REMUTZALXJPNCS-UHFFFAOYSA-N 0 0 435.510 -0.719 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000614448450 1071612062 /nfs/dbraw/zinc/61/20/62/1071612062.db2.gz ULACXOLLJCXUPC-GOSISDBHSA-N 0 0 425.493 -0.175 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000614448451 1071612001 /nfs/dbraw/zinc/61/20/01/1071612001.db2.gz ULACXOLLJCXUPC-SFHVURJKSA-N 0 0 425.493 -0.175 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1 ZINC000614448508 1071612046 /nfs/dbraw/zinc/61/20/46/1071612046.db2.gz VRQAACWNSJIVCV-UHFFFAOYSA-N 0 0 427.531 -0.814 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(=O)c1ccc(Br)o1 ZINC000614448538 1071612090 /nfs/dbraw/zinc/61/20/90/1071612090.db2.gz VUORFXGKIISBOY-UHFFFAOYSA-N 0 0 440.258 -0.528 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)s1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000614448632 1071612021 /nfs/dbraw/zinc/61/20/21/1071612021.db2.gz WYHPXUXMVQGPOD-UHFFFAOYSA-N 0 0 442.299 -0.402 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)ccc1=O ZINC000614450025 1071612054 /nfs/dbraw/zinc/61/20/54/1071612054.db2.gz FYNKEJOFXBEPEK-HOTGVXAUSA-N 0 0 442.538 -0.394 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)ccc1=O ZINC000614450026 1071612539 /nfs/dbraw/zinc/61/25/39/1071612539.db2.gz FYNKEJOFXBEPEK-HZPDHXFCSA-N 0 0 442.538 -0.394 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)ccc1=O ZINC000614450027 1071612521 /nfs/dbraw/zinc/61/25/21/1071612521.db2.gz FYNKEJOFXBEPEK-IYBDPMFKSA-N 0 0 442.538 -0.394 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000614451195 1071612451 /nfs/dbraw/zinc/61/24/51/1071612451.db2.gz QWSQCOZMAWTFEV-UHFFFAOYSA-N 0 0 439.494 -0.829 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3csc(-c4ncccn4)n3)CC2)CC1 ZINC000614452088 1071612490 /nfs/dbraw/zinc/61/24/90/1071612490.db2.gz FPENKQCZJVFOSL-UHFFFAOYSA-N 0 0 437.551 -0.150 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000614459833 1071612495 /nfs/dbraw/zinc/61/24/95/1071612495.db2.gz UAXCOEBHKOGWEL-HNNXBMFYSA-N 0 0 433.552 -0.295 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000614459841 1071612460 /nfs/dbraw/zinc/61/24/60/1071612460.db2.gz UAXCOEBHKOGWEL-OAHLLOKOSA-N 0 0 433.552 -0.295 20 0 IBADRN COCCn1cc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)ccc1=O ZINC000614461032 1071613105 /nfs/dbraw/zinc/61/31/05/1071613105.db2.gz AOUKPDOCFPJWOT-UHFFFAOYSA-N 0 0 449.533 -0.229 20 0 IBADRN CCS(=O)(=O)NCCN1c2ccccc2N(CCNS(=O)(=O)CC)S1(=O)=O ZINC000614467850 1071613162 /nfs/dbraw/zinc/61/31/62/1071613162.db2.gz XJHSNVPLGLPFSR-UHFFFAOYSA-N 0 0 440.569 -0.564 20 0 IBADRN CNS(=O)(=O)CCCN1c2ccccc2N(CCCS(=O)(=O)NC)S1(=O)=O ZINC000614467855 1071613211 /nfs/dbraw/zinc/61/32/11/1071613211.db2.gz YRLZARMMDCHOBR-UHFFFAOYSA-N 0 0 440.569 -0.564 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000614480048 1071618899 /nfs/dbraw/zinc/61/88/99/1071618899.db2.gz GBDXDUUANSKZRF-UHFFFAOYSA-N 0 0 438.550 -0.470 20 0 IBADRN CNC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CC1 ZINC000614480095 1071617910 /nfs/dbraw/zinc/61/79/10/1071617910.db2.gz BUINSIHCOOAQJZ-UHFFFAOYSA-N 0 0 438.550 -0.014 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000614480191 1071617880 /nfs/dbraw/zinc/61/78/80/1071617880.db2.gz PLVDPBRFTYCHBP-UHFFFAOYSA-N 0 0 440.522 -0.780 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)cc2)CC1 ZINC000614480276 1071617221 /nfs/dbraw/zinc/61/72/21/1071617221.db2.gz VSELMQLPSWNWQG-HOTGVXAUSA-N 0 0 438.550 -0.012 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)cc2)CC1 ZINC000614480277 1071617300 /nfs/dbraw/zinc/61/73/00/1071617300.db2.gz VSELMQLPSWNWQG-HZPDHXFCSA-N 0 0 438.550 -0.012 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)cc2)CC1 ZINC000614480278 1071617205 /nfs/dbraw/zinc/61/72/05/1071617205.db2.gz VSELMQLPSWNWQG-IYBDPMFKSA-N 0 0 438.550 -0.012 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000614480314 1071617288 /nfs/dbraw/zinc/61/72/88/1071617288.db2.gz OPQNDNAFVNSEEU-UHFFFAOYSA-N 0 0 426.495 -0.984 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000614480655 1071622230 /nfs/dbraw/zinc/62/22/30/1071622230.db2.gz XAGZRPDGPBGLOQ-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000614480992 1071621091 /nfs/dbraw/zinc/62/10/91/1071621091.db2.gz QVXUIUWFBXGMPW-UHFFFAOYSA-N 0 0 428.511 -0.738 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)nc2n(c3=O)CCC2)CC1)N1CCOCC1 ZINC000614485132 1071625844 /nfs/dbraw/zinc/62/58/44/1071625844.db2.gz LHZLAMXGBGWDQV-UHFFFAOYSA-N 0 0 425.489 -0.041 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1C ZINC000614485135 1071625818 /nfs/dbraw/zinc/62/58/18/1071625818.db2.gz LXZGFUPSXOZCEA-UHFFFAOYSA-N 0 0 438.550 -0.415 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000614485593 1071625793 /nfs/dbraw/zinc/62/57/93/1071625793.db2.gz DDFSSNQCTSEPLI-UHFFFAOYSA-N 0 0 428.486 -0.893 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000614485594 1071625852 /nfs/dbraw/zinc/62/58/52/1071625852.db2.gz DTLNVTOQYFKSAM-UHFFFAOYSA-N 0 0 430.552 -0.581 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2=O ZINC000614485640 1071627260 /nfs/dbraw/zinc/62/72/60/1071627260.db2.gz PKHXRYZVQXRPPD-UHFFFAOYSA-N 0 0 435.525 -0.510 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(NS(C)(=O)=O)CC1 ZINC000614535190 1072242756 /nfs/dbraw/zinc/24/27/56/1072242756.db2.gz AVXVJFNVACIZFM-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000614535633 1072253189 /nfs/dbraw/zinc/25/31/89/1072253189.db2.gz MPTAVWUXHUCNIZ-UHFFFAOYSA-N 0 0 440.569 -0.903 20 0 IBADRN CCCCOCCNC(=O)COn1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000614537495 1072253751 /nfs/dbraw/zinc/25/37/51/1072253751.db2.gz VPEYHRNODZLXEC-UHFFFAOYSA-N 0 0 441.510 -0.186 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000614537641 1072253607 /nfs/dbraw/zinc/25/36/07/1072253607.db2.gz LPVCAGCOZAWXKR-GFCCVEGCSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000614537642 1072253610 /nfs/dbraw/zinc/25/36/10/1072253610.db2.gz LPVCAGCOZAWXKR-LBPRGKRZSA-N 0 0 425.554 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCCN1CCCS1(=O)=O ZINC000614540934 1072264860 /nfs/dbraw/zinc/26/48/60/1072264860.db2.gz JEBSMKHAVSCLRQ-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN O=C(CCn1cc(Br)cn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000614544101 1072277692 /nfs/dbraw/zinc/27/76/92/1072277692.db2.gz KPAQAAFYEMGUNE-UHFFFAOYSA-N 0 0 428.287 -0.435 20 0 IBADRN O=C(CCC(=O)N1CCc2sccc2C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000614544306 1072277720 /nfs/dbraw/zinc/27/77/20/1072277720.db2.gz UTXHXYLAAUZQKL-UHFFFAOYSA-N 0 0 448.545 -0.057 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N(C)CCN1CCCS1(=O)=O ZINC000614547962 1072284621 /nfs/dbraw/zinc/28/46/21/1072284621.db2.gz BVRXTJQYCOWLDN-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN O=C(CN1CCN([C@H]2CC(=O)N(CCc3ccccc3F)C2=O)CC1)N1CCOCC1 ZINC000614559751 1072290457 /nfs/dbraw/zinc/29/04/57/1072290457.db2.gz MZUUMGQIJHGVIW-IBGZPJMESA-N 0 0 432.496 -0.028 20 0 IBADRN O=C(CN1CCN([C@@H]2CC(=O)N(CCc3ccccc3F)C2=O)CC1)N1CCOCC1 ZINC000614559753 1072290423 /nfs/dbraw/zinc/29/04/23/1072290423.db2.gz MZUUMGQIJHGVIW-LJQANCHMSA-N 0 0 432.496 -0.028 20 0 IBADRN O=C(CN1CCOCC1)N1CCN([C@H]2CC(=O)N(CCc3ccc(F)cc3)C2=O)CC1 ZINC000614561337 1072290503 /nfs/dbraw/zinc/29/05/03/1072290503.db2.gz BDCVGPGMFINIJN-IBGZPJMESA-N 0 0 432.496 -0.028 20 0 IBADRN O=C(CN1CCOCC1)N1CCN([C@@H]2CC(=O)N(CCc3ccc(F)cc3)C2=O)CC1 ZINC000614561338 1072290531 /nfs/dbraw/zinc/29/05/31/1072290531.db2.gz BDCVGPGMFINIJN-LJQANCHMSA-N 0 0 432.496 -0.028 20 0 IBADRN O=C(C(=O)N1CCN([C@@H]2CC(=O)N(CCc3ccccc3F)C2=O)CC1)N1CCOCC1 ZINC000614561968 1072291568 /nfs/dbraw/zinc/29/15/68/1072291568.db2.gz HSEGJHJHZXIPCT-GOSISDBHSA-N 0 0 446.479 -0.501 20 0 IBADRN O=C(C(=O)N1CCN([C@H]2CC(=O)N(CCc3ccccc3F)C2=O)CC1)N1CCOCC1 ZINC000614561971 1072291384 /nfs/dbraw/zinc/29/13/84/1072291384.db2.gz HSEGJHJHZXIPCT-SFHVURJKSA-N 0 0 446.479 -0.501 20 0 IBADRN O=C(C(=O)N1CCN([C@@H]2CC(=O)N(CCc3ccc(F)cc3)C2=O)CC1)N1CCOCC1 ZINC000614562122 1072291581 /nfs/dbraw/zinc/29/15/81/1072291581.db2.gz LZTFEHZOFDUUQA-GOSISDBHSA-N 0 0 446.479 -0.501 20 0 IBADRN O=C(C(=O)N1CCN([C@H]2CC(=O)N(CCc3ccc(F)cc3)C2=O)CC1)N1CCOCC1 ZINC000614562125 1072291575 /nfs/dbraw/zinc/29/15/75/1072291575.db2.gz LZTFEHZOFDUUQA-SFHVURJKSA-N 0 0 446.479 -0.501 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@@H](N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000614567262 1072293123 /nfs/dbraw/zinc/29/31/23/1072293123.db2.gz GNHKPARJHBURJY-GOSISDBHSA-N 0 0 428.489 -0.212 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@H](N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000614567269 1072293270 /nfs/dbraw/zinc/29/32/70/1072293270.db2.gz GNHKPARJHBURJY-SFHVURJKSA-N 0 0 428.489 -0.212 20 0 IBADRN COc1ccc(N2C(=O)C[C@H](N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)c(OC)c1 ZINC000614568299 1072293657 /nfs/dbraw/zinc/29/36/57/1072293657.db2.gz SVHYIVAQHYKTQL-INIZCTEOSA-N 0 0 430.461 -0.242 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)c(OC)c1 ZINC000614568303 1072293582 /nfs/dbraw/zinc/29/35/82/1072293582.db2.gz SVHYIVAQHYKTQL-MRXNPFEDSA-N 0 0 430.461 -0.242 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CS(=O)(=O)CC(=O)NCCCN2CCCC2=O)c1 ZINC000614580290 1072298398 /nfs/dbraw/zinc/29/83/98/1072298398.db2.gz WDPWTKUASAJXOK-UHFFFAOYSA-N 0 0 445.563 -0.020 20 0 IBADRN Cc1ccc2nc(CS(=O)(=O)CC(=O)N3CCN(C(=O)C4CC4)CC3)cc(=O)n2c1 ZINC000614587985 1072299496 /nfs/dbraw/zinc/29/94/96/1072299496.db2.gz HPQLSHHNVOXUAH-UHFFFAOYSA-N 0 0 432.502 -0.001 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000614599060 1072301660 /nfs/dbraw/zinc/30/16/60/1072301660.db2.gz GOOKPFWZTJQAIR-UHFFFAOYSA-N 0 0 445.563 -0.355 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cc3c(cc2F)NC(=O)CC3)CC1)N1CCOCC1 ZINC000614599089 1072301877 /nfs/dbraw/zinc/30/18/77/1072301877.db2.gz JUQOHWWTXBHRSX-UHFFFAOYSA-N 0 0 440.497 -0.125 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2cccc(C(=O)NC3CC3)c2)CC1 ZINC000614601563 1072302414 /nfs/dbraw/zinc/30/24/14/1072302414.db2.gz KPISQGUVFDUPEV-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN CCS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000614601625 1072302772 /nfs/dbraw/zinc/30/27/72/1072302772.db2.gz OZFBWVZJXPNXNX-UHFFFAOYSA-N 0 0 445.563 -0.355 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000614601997 1072303049 /nfs/dbraw/zinc/30/30/49/1072303049.db2.gz YUQSIOROYGOCGR-UHFFFAOYSA-N 0 0 438.550 -0.470 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc(C(N)=O)c3)CC2)C[C@H](C)O1 ZINC000614604468 1072303605 /nfs/dbraw/zinc/30/36/05/1072303605.db2.gz AYDUVZWOQJCTMM-KBPBESRZSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc(C(N)=O)c3)CC2)C[C@H](C)O1 ZINC000614604469 1072303635 /nfs/dbraw/zinc/30/36/35/1072303635.db2.gz AYDUVZWOQJCTMM-OKILXGFUSA-N 0 0 446.551 -0.554 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc(C(N)=O)c3)CC2)C[C@@H](C)O1 ZINC000614604470 1072746437 /nfs/dbraw/zinc/74/64/37/1072746437.db2.gz AYDUVZWOQJCTMM-ZIAGYGMSSA-N 0 0 446.551 -0.554 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000614604518 1072303369 /nfs/dbraw/zinc/30/33/69/1072303369.db2.gz FBMRXOIOWKMTOZ-UHFFFAOYSA-N 0 0 434.540 -0.556 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(C)c1 ZINC000614605774 1072303281 /nfs/dbraw/zinc/30/32/81/1072303281.db2.gz LUXWSSYEYIGWOF-UHFFFAOYSA-N 0 0 432.568 -0.198 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000614606220 1072303436 /nfs/dbraw/zinc/30/34/36/1072303436.db2.gz CTVRGXWRBXHTIM-UHFFFAOYSA-N 0 0 440.522 -0.311 20 0 IBADRN NC(=O)C1(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOCC1 ZINC000614610012 1072304542 /nfs/dbraw/zinc/30/45/42/1072304542.db2.gz MNGNMNMOIZHKHL-UHFFFAOYSA-N 0 0 447.535 -0.732 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000614613317 1072304461 /nfs/dbraw/zinc/30/44/61/1072304461.db2.gz YLKJUTDUPXTZTP-UHFFFAOYSA-N 0 0 431.536 -0.244 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000614620665 1072306043 /nfs/dbraw/zinc/30/60/43/1072306043.db2.gz FAAQSLSBFPOPQK-UHFFFAOYSA-N 0 0 425.536 -0.019 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000614620761 1072305888 /nfs/dbraw/zinc/30/58/88/1072305888.db2.gz JTOGVVUIFFABKK-UHFFFAOYSA-N 0 0 425.536 -0.019 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000614621030 1072306033 /nfs/dbraw/zinc/30/60/33/1072306033.db2.gz ZJYKQDFOFXYXQY-UHFFFAOYSA-N 0 0 440.551 -0.515 20 0 IBADRN COC(=O)Cc1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000614623686 1072307501 /nfs/dbraw/zinc/30/75/01/1072307501.db2.gz XVSYDINCMCBDPF-KRWDZBQOSA-N 0 0 437.518 -0.537 20 0 IBADRN COC(=O)Cc1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000614623687 1072307531 /nfs/dbraw/zinc/30/75/31/1072307531.db2.gz XVSYDINCMCBDPF-QGZVFWFLSA-N 0 0 437.518 -0.537 20 0 IBADRN CN(C)C(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000614625183 1072307037 /nfs/dbraw/zinc/30/70/37/1072307037.db2.gz BYNBDZNBTGPXKW-UHFFFAOYSA-N 0 0 436.490 -0.630 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC000614625229 1072307022 /nfs/dbraw/zinc/30/70/22/1072307022.db2.gz FXFOPHBDGLDZSI-UHFFFAOYSA-N 0 0 442.519 -0.634 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc(N3CCCC3=O)cc2)CC1 ZINC000614625305 1072306870 /nfs/dbraw/zinc/30/68/70/1072306870.db2.gz MBWXAPGAEMPQMC-UHFFFAOYSA-N 0 0 448.501 -0.205 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC3CC3)c2)CC1 ZINC000614625317 1072307048 /nfs/dbraw/zinc/30/70/48/1072307048.db2.gz MQPBHUXFJHNHBB-UHFFFAOYSA-N 0 0 448.501 -0.439 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000614625351 1072307061 /nfs/dbraw/zinc/30/70/61/1072307061.db2.gz ROLFJEHVQQUHCZ-UHFFFAOYSA-N 0 0 443.503 -0.928 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(F)c1 ZINC000614625352 1072307077 /nfs/dbraw/zinc/30/70/77/1072307077.db2.gz RXTVEPWQQZDBNI-UHFFFAOYSA-N 0 0 440.453 -0.234 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000614625490 1072307070 /nfs/dbraw/zinc/30/70/70/1072307070.db2.gz YFHKYRXFUOYUTF-UHFFFAOYSA-N 0 0 439.490 -0.306 20 0 IBADRN C[C@@H](CN1CCCC1=O)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000614629696 1072308114 /nfs/dbraw/zinc/30/81/14/1072308114.db2.gz QRAGNPLMBKCXIW-AWEZNQCLSA-N 0 0 431.536 -0.003 20 0 IBADRN C[C@H](CN1CCCC1=O)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000614629697 1072307980 /nfs/dbraw/zinc/30/79/80/1072307980.db2.gz QRAGNPLMBKCXIW-CQSZACIVSA-N 0 0 431.536 -0.003 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000614651026 1072316372 /nfs/dbraw/zinc/31/63/72/1072316372.db2.gz OLIBLNFBNRQCMH-UHFFFAOYSA-N 0 0 442.538 -0.245 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCc4cc(S(C)(=O)=O)ccc43)c2c(=O)n(C)c1=O ZINC000614651230 1072316421 /nfs/dbraw/zinc/31/64/21/1072316421.db2.gz BIEQLWWXJTUYQZ-UHFFFAOYSA-N 0 0 431.474 -0.183 20 0 IBADRN COCCn1cc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)ccc1=O ZINC000614658454 1072318560 /nfs/dbraw/zinc/31/85/60/1072318560.db2.gz QYOSEACDLLLGOA-UHFFFAOYSA-N 0 0 434.474 -0.133 20 0 IBADRN CC(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000614661260 1072319541 /nfs/dbraw/zinc/31/95/41/1072319541.db2.gz QLVLBFRQJGJJGQ-CYBMUJFWSA-N 0 0 426.495 -0.142 20 0 IBADRN CC(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000614661263 1072319552 /nfs/dbraw/zinc/31/95/52/1072319552.db2.gz QLVLBFRQJGJJGQ-ZDUSSCGKSA-N 0 0 426.495 -0.142 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000614666510 1072321134 /nfs/dbraw/zinc/32/11/34/1072321134.db2.gz IKQLVIKFRSWVLN-UHFFFAOYSA-N 0 0 438.487 -0.142 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)COCC(=O)Nc2ccc(S(C)(=O)=O)nc2)cn1 ZINC000614666843 1072321453 /nfs/dbraw/zinc/32/14/53/1072321453.db2.gz YDQMZANGPKMGHQ-UHFFFAOYSA-N 0 0 442.475 -0.123 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000614671447 1072322016 /nfs/dbraw/zinc/32/20/16/1072322016.db2.gz OLSLJTYBQSJWQL-UHFFFAOYSA-N 0 0 430.508 -0.075 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000614673966 1072323622 /nfs/dbraw/zinc/32/36/22/1072323622.db2.gz LTIAXOJQOJWESH-UHFFFAOYSA-N 0 0 433.508 -0.851 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCC(=O)Nc1ccc(Br)cc1)c(=O)n2C ZINC000614734230 1072604104 /nfs/dbraw/zinc/60/41/04/1072604104.db2.gz UAXISMPAIBYNNH-UHFFFAOYSA-N 0 0 449.265 -0.049 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000614760096 1072623904 /nfs/dbraw/zinc/62/39/04/1072623904.db2.gz LNNOKQNFRMWMKW-UHFFFAOYSA-N 0 0 428.449 -0.621 20 0 IBADRN CC[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccccc1OCC(=O)NC ZINC000614767822 1072626980 /nfs/dbraw/zinc/62/69/80/1072626980.db2.gz MISRUZTUIGSDQP-AWEZNQCLSA-N 0 0 442.476 -0.174 20 0 IBADRN CC[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccccc1OCC(=O)NC ZINC000614767823 1072626923 /nfs/dbraw/zinc/62/69/23/1072626923.db2.gz MISRUZTUIGSDQP-CQSZACIVSA-N 0 0 442.476 -0.174 20 0 IBADRN CC[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccccc1OCC(=O)NC ZINC000614767974 1072625719 /nfs/dbraw/zinc/62/57/19/1072625719.db2.gz OHPBCCUXLOFRGN-AWEZNQCLSA-N 0 0 442.476 -0.174 20 0 IBADRN CC[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccccc1OCC(=O)NC ZINC000614767976 1072626224 /nfs/dbraw/zinc/62/62/24/1072626224.db2.gz OHPBCCUXLOFRGN-CQSZACIVSA-N 0 0 442.476 -0.174 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)Nc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000614797755 1072629210 /nfs/dbraw/zinc/62/92/10/1072629210.db2.gz BJCXSOWRPYKZQH-UHFFFAOYSA-N 0 0 440.503 -0.047 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1 ZINC000614798650 1072629126 /nfs/dbraw/zinc/62/91/26/1072629126.db2.gz OENFFTGMTORKLM-UHFFFAOYSA-N 0 0 438.487 -0.293 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(NS(C)(=O)=O)CC1 ZINC000614831696 1072892450 /nfs/dbraw/zinc/89/24/50/1072892450.db2.gz HKOBTPHCYSPNAU-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CS(=O)(=O)NC1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000614831786 1072892213 /nfs/dbraw/zinc/89/22/13/1072892213.db2.gz PZLXITVWTIRKBK-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000614832413 1072892834 /nfs/dbraw/zinc/89/28/34/1072892834.db2.gz IMLZYHLNFIIGFP-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000614832414 1072892810 /nfs/dbraw/zinc/89/28/10/1072892810.db2.gz IMLZYHLNFIIGFP-LBPRGKRZSA-N 0 0 425.554 -0.361 20 0 IBADRN CN(CCCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)S(C)(=O)=O ZINC000614832417 1072892861 /nfs/dbraw/zinc/89/28/61/1072892861.db2.gz JNYJZZTUJBSQMW-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000614832569 1072892679 /nfs/dbraw/zinc/89/26/79/1072892679.db2.gz YRLUEBCOBRBCDV-CYBMUJFWSA-N 0 0 437.565 -0.170 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC000614832571 1072892926 /nfs/dbraw/zinc/89/29/26/1072892926.db2.gz YRLUEBCOBRBCDV-ZDUSSCGKSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000614832774 1072892255 /nfs/dbraw/zinc/89/22/55/1072892255.db2.gz WVSIYFUCXCJYJY-UHFFFAOYSA-N 0 0 440.569 -0.950 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000614838343 1072892894 /nfs/dbraw/zinc/89/28/94/1072892894.db2.gz XDEDYTALQDFSLQ-KBPBESRZSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000614838344 1072892768 /nfs/dbraw/zinc/89/27/68/1072892768.db2.gz XDEDYTALQDFSLQ-KGLIPLIRSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000614838345 1072892719 /nfs/dbraw/zinc/89/27/19/1072892719.db2.gz XDEDYTALQDFSLQ-UONOGXRCSA-N 0 0 432.520 -0.442 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000614838346 1072893271 /nfs/dbraw/zinc/89/32/71/1072893271.db2.gz XDEDYTALQDFSLQ-ZIAGYGMSSA-N 0 0 432.520 -0.442 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NCCCN1CCCS1(=O)=O ZINC000614839153 1072892665 /nfs/dbraw/zinc/89/26/65/1072892665.db2.gz AAMHNHMJKSKSGC-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN O=S(=O)(NCCCN1CCCS1(=O)=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000614839199 1072892692 /nfs/dbraw/zinc/89/26/92/1072892692.db2.gz GJQXJSZMILEUTJ-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN CC(C)(CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)NS(C)(=O)=O ZINC000614839282 1072892946 /nfs/dbraw/zinc/89/29/46/1072892946.db2.gz RKCODSUFUMRHIQ-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)CCN1CCCS1(=O)=O ZINC000614843532 1072893301 /nfs/dbraw/zinc/89/33/01/1072893301.db2.gz LBIUZAPQVBYBKI-UHFFFAOYSA-N 0 0 425.554 -0.407 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000614843569 1072893288 /nfs/dbraw/zinc/89/32/88/1072893288.db2.gz WNSVYMMRDAUQLA-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN CC1(C)CN(C(=O)CSCC(=O)N2CCN(CCO)C(C)(C)C2)CCN1CCO ZINC000614895640 1072955493 /nfs/dbraw/zinc/95/54/93/1072955493.db2.gz XJLUEQAFAXBEOU-UHFFFAOYSA-N 0 0 430.615 -0.450 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000614910809 1072955311 /nfs/dbraw/zinc/95/53/11/1072955311.db2.gz DWOWRNSOYBDVAL-GFCCVEGCSA-N 0 0 435.437 -0.136 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1oc(C)c2c1c(=O)[nH]nc2C ZINC000614910816 1072955300 /nfs/dbraw/zinc/95/53/00/1072955300.db2.gz DWOWRNSOYBDVAL-LBPRGKRZSA-N 0 0 435.437 -0.136 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(OC)c1 ZINC000614947177 1072955914 /nfs/dbraw/zinc/95/59/14/1072955914.db2.gz DPDQYBLGDBOJMH-UHFFFAOYSA-N 0 0 428.467 -0.629 20 0 IBADRN COC(=O)c1scc(C)c1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000614949549 1072955795 /nfs/dbraw/zinc/95/57/95/1072955795.db2.gz KQPFNLGZGILDSB-UHFFFAOYSA-N 0 0 432.524 -0.525 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000614949563 1072955924 /nfs/dbraw/zinc/95/59/24/1072955924.db2.gz SULHCMXIKYDUSN-UHFFFAOYSA-N 0 0 442.494 -0.886 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@H](O)[C@H]3C(=O)OC)cc2)CC1 ZINC000614956477 1072956049 /nfs/dbraw/zinc/95/60/49/1072956049.db2.gz HHUSJUYLJXVXIR-MSOLQXFVSA-N 0 0 446.504 -0.072 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@@H](O)[C@@H]3C(=O)OC)cc2)CC1 ZINC000614956478 1072955813 /nfs/dbraw/zinc/95/58/13/1072955813.db2.gz HHUSJUYLJXVXIR-QZTJIDSGSA-N 0 0 446.504 -0.072 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H](O)[C@H]3C(=O)OC)cc2)CC1 ZINC000614956479 1072955945 /nfs/dbraw/zinc/95/59/45/1072955945.db2.gz HHUSJUYLJXVXIR-ROUUACIJSA-N 0 0 446.504 -0.072 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCC[C@H](O)[C@@H]3C(=O)OC)cc2)CC1 ZINC000614956480 1072955899 /nfs/dbraw/zinc/95/58/99/1072955899.db2.gz HHUSJUYLJXVXIR-ZWKOTPCHSA-N 0 0 446.504 -0.072 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)cc1 ZINC000614957217 1072955956 /nfs/dbraw/zinc/95/59/56/1072955956.db2.gz DDISRPOOVIIHKF-UHFFFAOYSA-N 0 0 434.493 -0.628 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)cc1 ZINC000614957309 1072955991 /nfs/dbraw/zinc/95/59/91/1072955991.db2.gz SLNWQNZIGYYMAW-UHFFFAOYSA-N 0 0 434.493 -0.167 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000614957378 1072956038 /nfs/dbraw/zinc/95/60/38/1072956038.db2.gz ARHSSMCPGHPLSP-DLBZAZTESA-N 0 0 432.477 -0.462 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000614957383 1072955730 /nfs/dbraw/zinc/95/57/30/1072955730.db2.gz ARHSSMCPGHPLSP-IAGOWNOFSA-N 0 0 432.477 -0.462 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000614957388 1072955833 /nfs/dbraw/zinc/95/58/33/1072955833.db2.gz ARHSSMCPGHPLSP-IRXDYDNUSA-N 0 0 432.477 -0.462 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000614957392 1072955856 /nfs/dbraw/zinc/95/58/56/1072955856.db2.gz ARHSSMCPGHPLSP-SJORKVTESA-N 0 0 432.477 -0.462 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)cc(S(C)(=O)=O)c1 ZINC000614957962 1072956622 /nfs/dbraw/zinc/95/66/22/1072956622.db2.gz XENVFZOQSZRGEY-UHFFFAOYSA-N 0 0 441.506 -0.270 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCNC(=O)C[C@H]2C)cc(S(C)(=O)=O)c1 ZINC000615000496 1072956560 /nfs/dbraw/zinc/95/65/60/1072956560.db2.gz VZQXTDNUXHKDCJ-LLVKDONJSA-N 0 0 425.463 -0.058 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCNC(=O)C[C@@H]2C)cc(S(C)(=O)=O)c1 ZINC000615000497 1072956516 /nfs/dbraw/zinc/95/65/16/1072956516.db2.gz VZQXTDNUXHKDCJ-NSHDSACASA-N 0 0 425.463 -0.058 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccc(CS(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000615002167 1072956649 /nfs/dbraw/zinc/95/66/49/1072956649.db2.gz DPZFYNLSOKTWDT-UHFFFAOYSA-N 0 0 433.490 -0.315 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(C(=O)C2CC2)CC1 ZINC000615038551 1072956570 /nfs/dbraw/zinc/95/65/70/1072956570.db2.gz QQJBAHCZQAMHRE-UHFFFAOYSA-N 0 0 449.533 -0.700 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)NCC1(NS(C)(=O)=O)CCCCC1 ZINC000615070014 1073341179 /nfs/dbraw/zinc/34/11/79/1073341179.db2.gz XGGPFNDNDLQRQR-UHFFFAOYSA-N 0 0 429.499 -0.096 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(OC)c1 ZINC000615107648 1072959019 /nfs/dbraw/zinc/95/90/19/1072959019.db2.gz HEGCLWIUVBZARK-AWEZNQCLSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(OC)c1 ZINC000615107649 1072959144 /nfs/dbraw/zinc/95/91/44/1072959144.db2.gz HEGCLWIUVBZARK-CQSZACIVSA-N 0 0 425.507 -0.235 20 0 IBADRN COc1ccc(S(=O)(=O)NCCCN2CCOCC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000615124783 1072962561 /nfs/dbraw/zinc/96/25/61/1072962561.db2.gz MSMPVAZWRRZWLI-GOSISDBHSA-N 0 0 426.495 -0.250 20 0 IBADRN COc1ccc(S(=O)(=O)NCCCN2CCOCC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000615124784 1072962607 /nfs/dbraw/zinc/96/26/07/1072962607.db2.gz MSMPVAZWRRZWLI-SFHVURJKSA-N 0 0 426.495 -0.250 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)cn1 ZINC000615133033 1072966358 /nfs/dbraw/zinc/96/63/58/1072966358.db2.gz RHHBXSNORSLPIX-UHFFFAOYSA-N 0 0 428.492 -0.114 20 0 IBADRN CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000615137941 1072996282 /nfs/dbraw/zinc/99/62/82/1072996282.db2.gz KBMBUMAFIJPYLN-APPDUMDISA-N 0 0 445.519 -0.053 20 0 IBADRN CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000615137942 1072996293 /nfs/dbraw/zinc/99/62/93/1072996293.db2.gz KBMBUMAFIJPYLN-DIFFPNOSSA-N 0 0 445.519 -0.053 20 0 IBADRN CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000615137943 1072996262 /nfs/dbraw/zinc/99/62/62/1072996262.db2.gz KBMBUMAFIJPYLN-GTNSWQLSSA-N 0 0 445.519 -0.053 20 0 IBADRN CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000615137945 1072996224 /nfs/dbraw/zinc/99/62/24/1072996224.db2.gz KBMBUMAFIJPYLN-PIGZYNQJSA-N 0 0 445.519 -0.053 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000615137952 1072988095 /nfs/dbraw/zinc/98/80/95/1072988095.db2.gz KNLHIDZTWYQXPD-HNNXBMFYSA-N 0 0 443.547 -0.107 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000615137955 1072988132 /nfs/dbraw/zinc/98/81/32/1072988132.db2.gz KNLHIDZTWYQXPD-OAHLLOKOSA-N 0 0 443.547 -0.107 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CCNC(C)=O)cc1 ZINC000615138132 1073002863 /nfs/dbraw/zinc/00/28/63/1073002863.db2.gz SQTMGVSLOUOFMJ-INIZCTEOSA-N 0 0 445.563 -0.321 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CCNC(C)=O)cc1 ZINC000615138134 1073002770 /nfs/dbraw/zinc/00/27/70/1073002770.db2.gz SQTMGVSLOUOFMJ-MRXNPFEDSA-N 0 0 445.563 -0.321 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000615151731 1073192238 /nfs/dbraw/zinc/19/22/38/1073192238.db2.gz PTUNLFCTFCZOMV-HWPZZCPQSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000615151733 1073192280 /nfs/dbraw/zinc/19/22/80/1073192280.db2.gz PTUNLFCTFCZOMV-MGPLVRAMSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000615151736 1073192270 /nfs/dbraw/zinc/19/22/70/1073192270.db2.gz PTUNLFCTFCZOMV-QFYYESIMSA-N 0 0 431.492 -0.443 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000615151739 1073192185 /nfs/dbraw/zinc/19/21/85/1073192185.db2.gz PTUNLFCTFCZOMV-QLJPJBMISA-N 0 0 431.492 -0.443 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)c1 ZINC000615153498 1073202362 /nfs/dbraw/zinc/20/23/62/1073202362.db2.gz YJFLNVPMWLLBFV-INIZCTEOSA-N 0 0 445.563 -0.314 20 0 IBADRN NC(=O)c1cccc(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000615153499 1073202350 /nfs/dbraw/zinc/20/23/50/1073202350.db2.gz YJFLNVPMWLLBFV-MRXNPFEDSA-N 0 0 445.563 -0.314 20 0 IBADRN CCOC(=O)CCN(C)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000615154942 1072992859 /nfs/dbraw/zinc/99/28/59/1072992859.db2.gz OUAQRHPEMHZYBS-UHFFFAOYSA-N 0 0 441.510 -0.487 20 0 IBADRN CN(C)C(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000615171376 1073008110 /nfs/dbraw/zinc/00/81/10/1073008110.db2.gz VEJIDOVYGWINQK-UHFFFAOYSA-N 0 0 438.506 -0.920 20 0 IBADRN CN(C)C(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000615198373 1073426620 /nfs/dbraw/zinc/42/66/20/1073426620.db2.gz TVMZENLVXLFKPU-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(CCN4CCOCC4)cc3)c2c(=O)n(C)c1=O ZINC000615200455 1073427419 /nfs/dbraw/zinc/42/74/19/1073427419.db2.gz IFXJJYDXFCHCAL-UHFFFAOYSA-N 0 0 426.477 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000615205659 1073429274 /nfs/dbraw/zinc/42/92/74/1073429274.db2.gz YGHXMTZLZLGNJD-INIZCTEOSA-N 0 0 446.507 -0.890 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000615205665 1073429297 /nfs/dbraw/zinc/42/92/97/1073429297.db2.gz YGHXMTZLZLGNJD-MRXNPFEDSA-N 0 0 446.507 -0.890 20 0 IBADRN CN(CCS(=O)(=O)NCC1(C(N)=O)CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000615212284 1073435298 /nfs/dbraw/zinc/43/52/98/1073435298.db2.gz IIJQRMAHOCUANK-UHFFFAOYSA-N 0 0 437.515 -0.352 20 0 IBADRN COCCN(CC(=O)N(C)C)S(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000615213136 1073435517 /nfs/dbraw/zinc/43/55/17/1073435517.db2.gz OJLVHCKCIWVGCN-UHFFFAOYSA-N 0 0 439.531 -0.187 20 0 IBADRN COCCN(CC(=O)N(C)C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000615213345 1073436686 /nfs/dbraw/zinc/43/66/86/1073436686.db2.gz WWJGNTFLYWZULH-UHFFFAOYSA-N 0 0 449.551 -0.567 20 0 IBADRN NC(=O)c1ccc(N2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)nc1 ZINC000615213829 1073436028 /nfs/dbraw/zinc/43/60/28/1073436028.db2.gz PBKLWPPCPDMEAK-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000615214042 1073435483 /nfs/dbraw/zinc/43/54/83/1073435483.db2.gz ZLTLZSCAJMIERU-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000615214043 1073435932 /nfs/dbraw/zinc/43/59/32/1073435932.db2.gz ZLTLZSCAJMIERU-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN COc1ccc(S(=O)(=O)NCCCN(C)S(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000615214178 1073436062 /nfs/dbraw/zinc/43/60/62/1073436062.db2.gz RMCBCCVHYWNQCO-INIZCTEOSA-N 0 0 448.523 -0.690 20 0 IBADRN COc1ccc(S(=O)(=O)NCCCN(C)S(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000615214179 1073436147 /nfs/dbraw/zinc/43/61/47/1073436147.db2.gz RMCBCCVHYWNQCO-MRXNPFEDSA-N 0 0 448.523 -0.690 20 0 IBADRN O=C(CCNS(=O)(=O)c1cc2c(cc1F)NC(=O)CC2)N[C@@H]1CCS(=O)(=O)C1 ZINC000615216154 1073437372 /nfs/dbraw/zinc/43/73/72/1073437372.db2.gz AGHLCJGXPYCINL-LLVKDONJSA-N 0 0 433.483 -0.318 20 0 IBADRN O=C(CCNS(=O)(=O)c1cc2c(cc1F)NC(=O)CC2)N[C@H]1CCS(=O)(=O)C1 ZINC000615216155 1073437244 /nfs/dbraw/zinc/43/72/44/1073437244.db2.gz AGHLCJGXPYCINL-NSHDSACASA-N 0 0 433.483 -0.318 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(C(=O)NC2CC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000615216461 1073578881 /nfs/dbraw/zinc/57/88/81/1073578881.db2.gz XLOLQATYTRRXLT-AWEZNQCLSA-N 0 0 429.520 -0.450 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(C(=O)NC2CC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000615216462 1073578728 /nfs/dbraw/zinc/57/87/28/1073578728.db2.gz XLOLQATYTRRXLT-CQSZACIVSA-N 0 0 429.520 -0.450 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc2c(cc1F)NC(=O)CC2 ZINC000615217216 1073610212 /nfs/dbraw/zinc/61/02/12/1073610212.db2.gz CUEQWHYZVCLUGG-LLVKDONJSA-N 0 0 433.483 -0.366 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc2c(cc1F)NC(=O)CC2 ZINC000615217235 1073612943 /nfs/dbraw/zinc/61/29/43/1073612943.db2.gz CUEQWHYZVCLUGG-NSHDSACASA-N 0 0 433.483 -0.366 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000615217534 1073585086 /nfs/dbraw/zinc/58/50/86/1073585086.db2.gz JVBBZHZNOLNWBP-AWEZNQCLSA-N 0 0 434.536 -0.056 20 0 IBADRN COCCOc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000615217539 1073585096 /nfs/dbraw/zinc/58/50/96/1073585096.db2.gz JVBBZHZNOLNWBP-CQSZACIVSA-N 0 0 434.536 -0.056 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)cc2C[C@H]1C ZINC000615218090 1073578975 /nfs/dbraw/zinc/57/89/75/1073578975.db2.gz MPVLBKXWWZFSDI-DOMZBBRYSA-N 0 0 443.547 -0.092 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2C[C@H]1C ZINC000615218091 1073578742 /nfs/dbraw/zinc/57/87/42/1073578742.db2.gz MPVLBKXWWZFSDI-IUODEOHRSA-N 0 0 443.547 -0.092 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2C[C@@H]1C ZINC000615218092 1073578965 /nfs/dbraw/zinc/57/89/65/1073578965.db2.gz MPVLBKXWWZFSDI-SWLSCSKDSA-N 0 0 443.547 -0.092 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)cc2C[C@@H]1C ZINC000615218093 1073578806 /nfs/dbraw/zinc/57/88/06/1073578806.db2.gz MPVLBKXWWZFSDI-WFASDCNBSA-N 0 0 443.547 -0.092 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000615218134 1073579220 /nfs/dbraw/zinc/57/92/20/1073579220.db2.gz NDMKNPDABUSNPS-CYBMUJFWSA-N 0 0 429.520 -0.115 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000615218138 1073579690 /nfs/dbraw/zinc/57/96/90/1073579690.db2.gz NDMKNPDABUSNPS-ZDUSSCGKSA-N 0 0 429.520 -0.115 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)ccc21 ZINC000615218182 1073581095 /nfs/dbraw/zinc/58/10/95/1073581095.db2.gz OYQNQDLFWPTUHP-HNNXBMFYSA-N 0 0 443.547 -0.091 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc21 ZINC000615218183 1073014579 /nfs/dbraw/zinc/01/45/79/1073014579.db2.gz OYQNQDLFWPTUHP-OAHLLOKOSA-N 0 0 443.547 -0.091 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000615218531 1073678979 /nfs/dbraw/zinc/67/89/79/1073678979.db2.gz SDCPZHGYXDPCHV-AWEZNQCLSA-N 0 0 429.520 -0.497 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000615218537 1073678925 /nfs/dbraw/zinc/67/89/25/1073678925.db2.gz SDCPZHGYXDPCHV-CQSZACIVSA-N 0 0 429.520 -0.497 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)cn1 ZINC000615224968 1072374197 /nfs/dbraw/zinc/37/41/97/1072374197.db2.gz ZYGJGRAQOHOKNH-UHFFFAOYSA-N 0 0 443.507 -0.642 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)c1cc(S(=O)(=O)N[C@@H](C)CN2CCOCC2)c[nH]1 ZINC000615226105 1072590324 /nfs/dbraw/zinc/59/03/24/1072590324.db2.gz MOZSAFYTUOXQPR-CVEARBPZSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)c1cc(S(=O)(=O)N[C@@H](C)CN2CCOCC2)c[nH]1 ZINC000615226115 1072590399 /nfs/dbraw/zinc/59/03/99/1072590399.db2.gz MOZSAFYTUOXQPR-HOTGVXAUSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)c1cc(S(=O)(=O)N[C@H](C)CN2CCOCC2)c[nH]1 ZINC000615226121 1072396651 /nfs/dbraw/zinc/39/66/51/1072396651.db2.gz MOZSAFYTUOXQPR-HZPDHXFCSA-N 0 0 443.570 -0.536 20 0 IBADRN C[C@H](CN1CCOCC1)NS(=O)(=O)c1c[nH]c(C(=O)N[C@@H](C)CN2CCOCC2)c1 ZINC000615226124 1072396675 /nfs/dbraw/zinc/39/66/75/1072396675.db2.gz MOZSAFYTUOXQPR-JKSUJKDBSA-N 0 0 443.570 -0.536 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000615232651 1072987051 /nfs/dbraw/zinc/98/70/51/1072987051.db2.gz HENDIZCVBSRJFH-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN CCNC(=O)COc1ccc(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1OC ZINC000615242181 1073017208 /nfs/dbraw/zinc/01/72/08/1073017208.db2.gz AXWHRGVIMKHTIB-UHFFFAOYSA-N 0 0 440.478 -0.914 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000615250078 1072987148 /nfs/dbraw/zinc/98/71/48/1072987148.db2.gz GQQFVUAIOKJEBO-UHFFFAOYSA-N 0 0 429.520 -0.490 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000615250151 1072986957 /nfs/dbraw/zinc/98/69/57/1072986957.db2.gz MCFPVCXGICEQBI-UHFFFAOYSA-N 0 0 438.506 -0.557 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000615250210 1072987070 /nfs/dbraw/zinc/98/70/70/1072987070.db2.gz QXMXHYFSQCRSFK-UHFFFAOYSA-N 0 0 444.535 -0.952 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000615250324 1072986975 /nfs/dbraw/zinc/98/69/75/1072986975.db2.gz YHXFYVSEDLBEMO-UHFFFAOYSA-N 0 0 444.535 -0.952 20 0 IBADRN COC(=O)CC1(NC(=O)Nc2cnn(CCN3CCOCC3)c2)CCS(=O)(=O)CC1 ZINC000615251814 1072987704 /nfs/dbraw/zinc/98/77/04/1072987704.db2.gz XJILXWDIJSOIDS-UHFFFAOYSA-N 0 0 443.526 -0.153 20 0 IBADRN COC(=O)CC1(NC(=O)Nc2ccn(CCN3CCOCC3)n2)CCS(=O)(=O)CC1 ZINC000615252457 1072987573 /nfs/dbraw/zinc/98/75/73/1072987573.db2.gz YFNJAUJWDMFLAI-UHFFFAOYSA-N 0 0 443.526 -0.153 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000615253534 1072987504 /nfs/dbraw/zinc/98/75/04/1072987504.db2.gz AHOAEHXNENHEBR-UHFFFAOYSA-N 0 0 437.515 -0.433 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000615253628 1072987668 /nfs/dbraw/zinc/98/76/68/1072987668.db2.gz MEBVVXTZSMIPHI-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)c2cc(C(N)=O)n(C)c2)cc1 ZINC000615256843 1072987098 /nfs/dbraw/zinc/98/70/98/1072987098.db2.gz GFZNPSXVMXGYBZ-UHFFFAOYSA-N 0 0 430.508 -0.473 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)s1 ZINC000615259418 1072987544 /nfs/dbraw/zinc/98/75/44/1072987544.db2.gz GCLPZHCURKHQGD-UHFFFAOYSA-N 0 0 428.536 -0.161 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1)N1CCCC1 ZINC000615259456 1072987510 /nfs/dbraw/zinc/98/75/10/1072987510.db2.gz HNGIGSDCCZRDMI-UHFFFAOYSA-N 0 0 437.522 -0.294 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000615259693 1072987552 /nfs/dbraw/zinc/98/75/52/1072987552.db2.gz LLPCXMQNPBWWSM-UHFFFAOYSA-N 0 0 436.534 -0.180 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000615259701 1072987595 /nfs/dbraw/zinc/98/75/95/1072987595.db2.gz LRELZSRDNWBPKH-UHFFFAOYSA-N 0 0 444.535 -0.950 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000615259888 1072987087 /nfs/dbraw/zinc/98/70/87/1072987087.db2.gz PFRDCCZPWGACKY-UHFFFAOYSA-N 0 0 429.520 -0.455 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2C1=O ZINC000615259966 1072987499 /nfs/dbraw/zinc/98/74/99/1072987499.db2.gz QUYPKZCZAXHTHO-UHFFFAOYSA-N 0 0 434.474 -0.632 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1C ZINC000615262375 1072987625 /nfs/dbraw/zinc/98/76/25/1072987625.db2.gz RPSISGWPZRCBLR-UHFFFAOYSA-N 0 0 433.490 -0.565 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000615262716 1072987673 /nfs/dbraw/zinc/98/76/73/1072987673.db2.gz ZLIFLJCYZWOFMT-UHFFFAOYSA-N 0 0 428.511 -0.812 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000615266737 1072987519 /nfs/dbraw/zinc/98/75/19/1072987519.db2.gz GCZRHQJNGDQWBF-GFCCVEGCSA-N 0 0 436.490 -0.219 20 0 IBADRN C[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC000615266739 1072987601 /nfs/dbraw/zinc/98/76/01/1072987601.db2.gz GCZRHQJNGDQWBF-LBPRGKRZSA-N 0 0 436.490 -0.219 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000615270776 1072987558 /nfs/dbraw/zinc/98/75/58/1072987558.db2.gz ULMASDUOJXOUCG-UHFFFAOYSA-N 0 0 436.490 -0.371 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCC(F)(F)F)cc1[C@]1(C)NC(=O)NC1=O ZINC000615272010 1073018075 /nfs/dbraw/zinc/01/80/75/1073018075.db2.gz HEFDYLNPDJLREW-AWEZNQCLSA-N 0 0 438.384 -0.293 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCC(F)(F)F)cc1[C@@]1(C)NC(=O)NC1=O ZINC000615272013 1073018202 /nfs/dbraw/zinc/01/82/02/1073018202.db2.gz HEFDYLNPDJLREW-CQSZACIVSA-N 0 0 438.384 -0.293 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)NCC(F)(F)F ZINC000615272054 1072987587 /nfs/dbraw/zinc/98/75/87/1072987587.db2.gz KVCQGOYBLQGJSM-UHFFFAOYSA-N 0 0 445.441 -0.336 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000615277479 1072987637 /nfs/dbraw/zinc/98/76/37/1072987637.db2.gz WVNIIZKZFYSZOU-UHFFFAOYSA-N 0 0 426.524 -0.776 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)NCCN3CCN(c4ncccn4)CC3)cc2C1=O ZINC000615277497 1072987612 /nfs/dbraw/zinc/98/76/12/1072987612.db2.gz YALIRSOQSKJVRX-UHFFFAOYSA-N 0 0 430.490 -0.197 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)C(=O)N1CCN(c2nnc(C)c(C)c2C(N)=O)CC1 ZINC000615277537 1072987645 /nfs/dbraw/zinc/98/76/45/1072987645.db2.gz IMCIQZVLGOOGNV-UHFFFAOYSA-N 0 0 443.464 -0.097 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)CC2)ccc1C ZINC000615280352 1072988234 /nfs/dbraw/zinc/98/82/34/1072988234.db2.gz PZECMQZACJARQV-UHFFFAOYSA-N 0 0 436.490 -0.452 20 0 IBADRN Cn1cc(S(=O)(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)c(=O)n(C)c1=O ZINC000615296332 1072988108 /nfs/dbraw/zinc/98/81/08/1072988108.db2.gz XTSHXNIZUJDCQW-UHFFFAOYSA-N 0 0 436.490 -0.738 20 0 IBADRN C[C@@H](Nc1ccc(CC(=O)N2CCOCC2)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000615309487 1072988225 /nfs/dbraw/zinc/98/82/25/1072988225.db2.gz JEQRZUGRWXSAGL-CYBMUJFWSA-N 0 0 429.477 -0.249 20 0 IBADRN C[C@H](Nc1ccc(CC(=O)N2CCOCC2)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000615309489 1072988138 /nfs/dbraw/zinc/98/81/38/1072988138.db2.gz JEQRZUGRWXSAGL-ZDUSSCGKSA-N 0 0 429.477 -0.249 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)o1 ZINC000615317170 1072988921 /nfs/dbraw/zinc/98/89/21/1072988921.db2.gz FOOGLBFDVAAXBH-UHFFFAOYSA-N 0 0 429.476 -0.122 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)cc1F ZINC000615318813 1072988885 /nfs/dbraw/zinc/98/88/85/1072988885.db2.gz GFXBVSPHDWUUEV-KGYLQXTDSA-N 0 0 425.413 -0.600 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)N2C[C@H](O)C[C@H]2CO)c1 ZINC000615318870 1072988545 /nfs/dbraw/zinc/98/85/45/1072988545.db2.gz VZGIBFRAVVCJQR-GVDBMIGSSA-N 0 0 433.461 -0.253 20 0 IBADRN C[C@@H](N[C@@H](C)C(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000615333431 1072988600 /nfs/dbraw/zinc/98/86/00/1072988600.db2.gz KMMBKJHGVNIPNY-NEPJUHHUSA-N 0 0 437.522 -0.162 20 0 IBADRN C[C@@H](N[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000615333437 1072988634 /nfs/dbraw/zinc/98/86/34/1072988634.db2.gz KMMBKJHGVNIPNY-NWDGAFQWSA-N 0 0 437.522 -0.162 20 0 IBADRN C[C@H](N[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000615333439 1072988569 /nfs/dbraw/zinc/98/85/69/1072988569.db2.gz KMMBKJHGVNIPNY-RYUDHWBXSA-N 0 0 437.522 -0.162 20 0 IBADRN C[C@@H](N[C@H](C)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000615333441 1072988587 /nfs/dbraw/zinc/98/85/87/1072988587.db2.gz KMMBKJHGVNIPNY-VXGBXAGGSA-N 0 0 437.522 -0.162 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)c2ncnc3nc[nH]c32)CC1 ZINC000615336420 1072988558 /nfs/dbraw/zinc/98/85/58/1072988558.db2.gz SYXXCOFCXFWKKU-UHFFFAOYSA-N 0 0 434.482 -0.073 20 0 IBADRN C[C@H](Nc1ccc(CC(=O)N2CCN(C)CC2)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000615337084 1072988929 /nfs/dbraw/zinc/98/89/29/1072988929.db2.gz RUOCWZWMDFDRCA-AWEZNQCLSA-N 0 0 442.520 -0.334 20 0 IBADRN C[C@@H](Nc1ccc(CC(=O)N2CCN(C)CC2)cc1)C(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000615337088 1072988655 /nfs/dbraw/zinc/98/86/55/1072988655.db2.gz RUOCWZWMDFDRCA-CQSZACIVSA-N 0 0 442.520 -0.334 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000615345405 1072988876 /nfs/dbraw/zinc/98/88/76/1072988876.db2.gz FNWZXYYODVWTRW-AWEZNQCLSA-N 0 0 441.481 -0.483 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000615345406 1072988721 /nfs/dbraw/zinc/98/87/21/1072988721.db2.gz FNWZXYYODVWTRW-CQSZACIVSA-N 0 0 441.481 -0.483 20 0 IBADRN Cc1cc(CN2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)on1 ZINC000615346648 1072989422 /nfs/dbraw/zinc/98/94/22/1072989422.db2.gz RHYZSUUMMSMJBN-UHFFFAOYSA-N 0 0 435.462 -0.117 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CNC(=O)c3cnc4ccccc4c3O)cnc2n(C)c1=O ZINC000615351554 1072988613 /nfs/dbraw/zinc/98/86/13/1072988613.db2.gz IQTZOJOYDHHVRL-UHFFFAOYSA-N 0 0 434.412 -0.158 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)o1 ZINC000615352995 1073032172 /nfs/dbraw/zinc/03/21/72/1073032172.db2.gz TVUAJBXLFIOVIU-UHFFFAOYSA-N 0 0 435.505 -0.061 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000615353601 1072989384 /nfs/dbraw/zinc/98/93/84/1072989384.db2.gz IBRULBLGOVYEQO-AWEZNQCLSA-N 0 0 430.552 -0.582 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000615353602 1073032451 /nfs/dbraw/zinc/03/24/51/1073032451.db2.gz IBRULBLGOVYEQO-CQSZACIVSA-N 0 0 430.552 -0.582 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000615353609 1072989333 /nfs/dbraw/zinc/98/93/33/1072989333.db2.gz IUKZJLJANQSOCR-UHFFFAOYSA-N 0 0 440.504 -0.314 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000615353892 1072989469 /nfs/dbraw/zinc/98/94/69/1072989469.db2.gz OVIBHVBELLOYLZ-HNNXBMFYSA-N 0 0 427.498 -0.009 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000615353898 1072989204 /nfs/dbraw/zinc/98/92/04/1072989204.db2.gz OVIBHVBELLOYLZ-OAHLLOKOSA-N 0 0 427.498 -0.009 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(CC)CC2)cc1 ZINC000615356170 1072989499 /nfs/dbraw/zinc/98/94/99/1072989499.db2.gz IKFZMJIXAWYGCN-NRFANRHFSA-N 0 0 434.493 -0.167 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(CC)CC2)cc1 ZINC000615356175 1072989288 /nfs/dbraw/zinc/98/92/88/1072989288.db2.gz IKFZMJIXAWYGCN-OAQYLSRUSA-N 0 0 434.493 -0.167 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(-n2cnnn2)c(C)c1 ZINC000615359793 1072989361 /nfs/dbraw/zinc/98/93/61/1072989361.db2.gz FQPQMESLDUWUJA-AWEZNQCLSA-N 0 0 431.453 -0.271 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)cc1 ZINC000615359809 1073037236 /nfs/dbraw/zinc/03/72/36/1073037236.db2.gz VCENIQGCDTUSDR-AWEZNQCLSA-N 0 0 442.494 -0.647 20 0 IBADRN CC(C)[C@H](CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)N1CCOCC1 ZINC000615361104 1073037348 /nfs/dbraw/zinc/03/73/48/1073037348.db2.gz IXLQYTDGIHVKQN-HNNXBMFYSA-N 0 0 426.495 -0.285 20 0 IBADRN CC(C)[C@@H](CNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)N1CCOCC1 ZINC000615361105 1072989479 /nfs/dbraw/zinc/98/94/79/1072989479.db2.gz IXLQYTDGIHVKQN-OAHLLOKOSA-N 0 0 426.495 -0.285 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)OC)CC2)cc1 ZINC000615368480 1073038724 /nfs/dbraw/zinc/03/87/24/1073038724.db2.gz YFWDBMXRCXYYHO-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN CCC[C@H](C)N1C[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1=O ZINC000615378561 1072989268 /nfs/dbraw/zinc/98/92/68/1072989268.db2.gz DKYXMTBLEFRCTD-DLBZAZTESA-N 0 0 429.587 -0.340 20 0 IBADRN CCC[C@@H](C)N1C[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1=O ZINC000615378564 1072989218 /nfs/dbraw/zinc/98/92/18/1072989218.db2.gz DKYXMTBLEFRCTD-IAGOWNOFSA-N 0 0 429.587 -0.340 20 0 IBADRN CCC[C@H](C)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1=O ZINC000615378569 1072989438 /nfs/dbraw/zinc/98/94/38/1072989438.db2.gz DKYXMTBLEFRCTD-IRXDYDNUSA-N 0 0 429.587 -0.340 20 0 IBADRN CCC[C@@H](C)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1=O ZINC000615378571 1073040360 /nfs/dbraw/zinc/04/03/60/1073040360.db2.gz DKYXMTBLEFRCTD-SJORKVTESA-N 0 0 429.587 -0.340 20 0 IBADRN CCCC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000615378721 1073040382 /nfs/dbraw/zinc/04/03/82/1073040382.db2.gz NNMPMNNPVBXGKG-KRWDZBQOSA-N 0 0 429.587 -0.339 20 0 IBADRN CCCC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000615378723 1072989396 /nfs/dbraw/zinc/98/93/96/1072989396.db2.gz NNMPMNNPVBXGKG-QGZVFWFLSA-N 0 0 429.587 -0.339 20 0 IBADRN CCN1CCN(CC(=O)NC[C@@H](c2ccc(OC)c(OC)c2)N2CCOCC2)C(=O)C1=O ZINC000615382360 1072989458 /nfs/dbraw/zinc/98/94/58/1072989458.db2.gz IPHAGJGMDBFOJE-KRWDZBQOSA-N 0 0 448.520 -0.116 20 0 IBADRN CCN1CCN(CC(=O)NC[C@H](c2ccc(OC)c(OC)c2)N2CCOCC2)C(=O)C1=O ZINC000615382362 1072989725 /nfs/dbraw/zinc/98/97/25/1072989725.db2.gz IPHAGJGMDBFOJE-QGZVFWFLSA-N 0 0 448.520 -0.116 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C(=O)C1=O ZINC000615388114 1072989755 /nfs/dbraw/zinc/98/97/55/1072989755.db2.gz FKOYMCMSIZUTJU-UHFFFAOYSA-N 0 0 445.520 -0.011 20 0 IBADRN CCOC(=O)c1c2c(sc1NC(=O)C(=O)N1CCN3C(=O)C(=O)NC[C@H]3C1)CCC2 ZINC000615391763 1072989767 /nfs/dbraw/zinc/98/97/67/1072989767.db2.gz ILDQSUQUZVUGQG-JTQLQIEISA-N 0 0 434.474 -0.479 20 0 IBADRN CCOC(=O)c1c2c(sc1NC(=O)C(=O)N1CCN3C(=O)C(=O)NC[C@@H]3C1)CCC2 ZINC000615391764 1072989680 /nfs/dbraw/zinc/98/96/80/1072989680.db2.gz ILDQSUQUZVUGQG-SNVBAGLBSA-N 0 0 434.474 -0.479 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@H]2C)cc1 ZINC000615395267 1072989689 /nfs/dbraw/zinc/98/96/89/1072989689.db2.gz ILXUXOLDZYBMEI-CYBMUJFWSA-N 0 0 426.495 -0.371 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCC(=O)OC)C[C@@H]2C)cc1 ZINC000615395268 1072989734 /nfs/dbraw/zinc/98/97/34/1072989734.db2.gz ILXUXOLDZYBMEI-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000615406905 1072990060 /nfs/dbraw/zinc/99/00/60/1072990060.db2.gz HBMUCRXGFGVNFK-UHFFFAOYSA-N 0 0 427.527 -0.213 20 0 IBADRN COc1cccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1OC ZINC000615419353 1072990165 /nfs/dbraw/zinc/99/01/65/1072990165.db2.gz JFZKJBJRQPVHRJ-UHFFFAOYSA-N 0 0 434.493 -0.041 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000615434013 1072990130 /nfs/dbraw/zinc/99/01/30/1072990130.db2.gz VDKLAMLPWWEBBJ-UHFFFAOYSA-N 0 0 427.527 -0.165 20 0 IBADRN COC(=O)c1scc(C)c1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000615445435 1073078164 /nfs/dbraw/zinc/07/81/64/1073078164.db2.gz ADJZZSNIXCLLBP-UHFFFAOYSA-N 0 0 425.554 -0.301 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000615445441 1072990177 /nfs/dbraw/zinc/99/01/77/1072990177.db2.gz DUTJBYDUDQHHPU-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000615459275 1072990189 /nfs/dbraw/zinc/99/01/89/1072990189.db2.gz HUYMTAZKVBULTB-AEFFLSMTSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000615459276 1072990092 /nfs/dbraw/zinc/99/00/92/1072990092.db2.gz HUYMTAZKVBULTB-FUHWJXTLSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000615459277 1072990206 /nfs/dbraw/zinc/99/02/06/1072990206.db2.gz HUYMTAZKVBULTB-SJLPKXTDSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000615459278 1072990149 /nfs/dbraw/zinc/99/01/49/1072990149.db2.gz HUYMTAZKVBULTB-WMZOPIPTSA-N 0 0 434.562 -0.115 20 0 IBADRN CNC(=O)CCCNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000615488699 1072990777 /nfs/dbraw/zinc/99/07/77/1072990777.db2.gz ZKQQXFWGMBTGNY-CYBMUJFWSA-N 0 0 432.524 -0.200 20 0 IBADRN CNC(=O)CCCNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000615488700 1073090764 /nfs/dbraw/zinc/09/07/64/1073090764.db2.gz ZKQQXFWGMBTGNY-ZDUSSCGKSA-N 0 0 432.524 -0.200 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)CSCC(=O)N2CCOCC2)CC1 ZINC000615489011 1072990685 /nfs/dbraw/zinc/99/06/85/1072990685.db2.gz UOSXADAKEPEXOL-UHFFFAOYSA-N 0 0 442.563 -0.425 20 0 IBADRN CCN1CCN(CC(=O)N(C)CC(=O)N2c3ccccc3NC(=O)C[C@@H]2C)C(=O)C1=O ZINC000615515844 1072990697 /nfs/dbraw/zinc/99/06/97/1072990697.db2.gz REVQOLFZTUSMEX-AWEZNQCLSA-N 0 0 429.477 -0.101 20 0 IBADRN CCN1CCN(CC(=O)N(C)CC(=O)N2c3ccccc3NC(=O)C[C@H]2C)C(=O)C1=O ZINC000615515845 1072990712 /nfs/dbraw/zinc/99/07/12/1072990712.db2.gz REVQOLFZTUSMEX-CQSZACIVSA-N 0 0 429.477 -0.101 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(=O)OC)c(F)cc1Br ZINC000615516171 1072990674 /nfs/dbraw/zinc/99/06/74/1072990674.db2.gz LTBKROJQUXUTCK-UHFFFAOYSA-N 0 0 440.247 -0.021 20 0 IBADRN O=C(CCn1cc(Br)cn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000615526203 1072990760 /nfs/dbraw/zinc/99/07/60/1072990760.db2.gz BEXDCWGSSOBZHA-UHFFFAOYSA-N 0 0 436.332 -0.243 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000615526510 1072990771 /nfs/dbraw/zinc/99/07/71/1072990771.db2.gz OAALLPVUMALLIW-UHFFFAOYSA-N 0 0 447.492 -0.068 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000615526689 1072990638 /nfs/dbraw/zinc/99/06/38/1072990638.db2.gz WJHYNXGWEPPFII-AWEZNQCLSA-N 0 0 449.526 -0.291 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000615526690 1072990580 /nfs/dbraw/zinc/99/05/80/1072990580.db2.gz WJHYNXGWEPPFII-CQSZACIVSA-N 0 0 449.526 -0.291 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000615539185 1072991185 /nfs/dbraw/zinc/99/11/85/1072991185.db2.gz FTNRFVSODCDXGM-UHFFFAOYSA-N 0 0 432.437 -0.024 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)s1 ZINC000615539813 1074356479 /nfs/dbraw/zinc/35/64/79/1074356479.db2.gz JWODZHUOPMBXCK-UHFFFAOYSA-N 0 0 438.470 -0.862 20 0 IBADRN COC(=O)c1c(C)cccc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000615541178 1072991165 /nfs/dbraw/zinc/99/11/65/1072991165.db2.gz LEBLVICBMHIIRW-UHFFFAOYSA-N 0 0 437.478 -0.567 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000615609729 1072991299 /nfs/dbraw/zinc/99/12/99/1072991299.db2.gz CUCQLVMTXTWDFX-UHFFFAOYSA-N 0 0 437.478 -0.099 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)NCC1(NS(C)(=O)=O)CCCCC1 ZINC000615622798 1072991113 /nfs/dbraw/zinc/99/11/13/1072991113.db2.gz WMVHOAISWYXISF-UHFFFAOYSA-N 0 0 429.499 -0.096 20 0 IBADRN COC(=O)c1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000615625314 1072991279 /nfs/dbraw/zinc/99/12/79/1072991279.db2.gz HDKWIIZHCNALSU-UHFFFAOYSA-N 0 0 444.448 -0.791 20 0 IBADRN NS(=O)(=O)CCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000615665618 1072991294 /nfs/dbraw/zinc/99/12/94/1072991294.db2.gz LELKMCNSCXPGTK-UHFFFAOYSA-N 0 0 447.535 -0.347 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCS(N)(=O)=O ZINC000615667438 1072991246 /nfs/dbraw/zinc/99/12/46/1072991246.db2.gz GIEUHDJMCPOJMA-HNNXBMFYSA-N 0 0 435.524 -0.445 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCS(N)(=O)=O ZINC000615667444 1072991251 /nfs/dbraw/zinc/99/12/51/1072991251.db2.gz GIEUHDJMCPOJMA-OAHLLOKOSA-N 0 0 435.524 -0.445 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NCCS(N)(=O)=O)n2C ZINC000615667477 1072991176 /nfs/dbraw/zinc/99/11/76/1072991176.db2.gz HPENYTOXIHTVPS-UHFFFAOYSA-N 0 0 445.567 -0.059 20 0 IBADRN NS(=O)(=O)CCNC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000615672458 1072991831 /nfs/dbraw/zinc/99/18/31/1072991831.db2.gz HFBXSBYPFONWBO-UHFFFAOYSA-N 0 0 442.313 -0.793 20 0 IBADRN CCOC(=O)c1csc(CCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000615682301 1072991811 /nfs/dbraw/zinc/99/18/11/1072991811.db2.gz REISDWSBWRSQQT-UHFFFAOYSA-N 0 0 433.556 -0.261 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)o3)CC2)C[C@H](C)O1 ZINC000615695984 1072991660 /nfs/dbraw/zinc/99/16/60/1072991660.db2.gz UMVMSLBXSAKCNN-RYUDHWBXSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)o3)CC2)C[C@H](C)O1 ZINC000615696001 1072991855 /nfs/dbraw/zinc/99/18/55/1072991855.db2.gz UMVMSLBXSAKCNN-TXEJJXNPSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)o3)CC2)C[C@@H](C)O1 ZINC000615696002 1072991899 /nfs/dbraw/zinc/99/18/99/1072991899.db2.gz UMVMSLBXSAKCNN-VXGBXAGGSA-N 0 0 436.512 -0.961 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCSc3nnnn3C)CC2)C[C@H](C)O1 ZINC000615699809 1072991688 /nfs/dbraw/zinc/99/16/88/1072991688.db2.gz MNYNDTBGJRDZJT-BETUJISGSA-N 0 0 433.560 -0.810 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCSc3nnnn3C)CC2)C[C@@H](C)O1 ZINC000615699810 1072991619 /nfs/dbraw/zinc/99/16/19/1072991619.db2.gz MNYNDTBGJRDZJT-CHWSQXEVSA-N 0 0 433.560 -0.810 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CCSc3nnnn3C)CC2)C[C@H](C)O1 ZINC000615699811 1072991905 /nfs/dbraw/zinc/99/19/05/1072991905.db2.gz MNYNDTBGJRDZJT-STQMWFEESA-N 0 0 433.560 -0.810 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)NCc3ccco3)CC2)C[C@H](C)O1 ZINC000615700059 1073092017 /nfs/dbraw/zinc/09/20/17/1073092017.db2.gz YKUNCDDIQFUMPO-GASCZTMLSA-N 0 0 443.526 -0.423 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)NCc3ccco3)CC2)C[C@H](C)O1 ZINC000615700060 1072991797 /nfs/dbraw/zinc/99/17/97/1072991797.db2.gz YKUNCDDIQFUMPO-GJZGRUSLSA-N 0 0 443.526 -0.423 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)NCc3ccco3)CC2)C[C@@H](C)O1 ZINC000615700061 1072991608 /nfs/dbraw/zinc/99/16/08/1072991608.db2.gz YKUNCDDIQFUMPO-HUUCEWRRSA-N 0 0 443.526 -0.423 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)Nc1nc(C)no1)c(=O)n2Cc1ccccc1 ZINC000615712378 1072992276 /nfs/dbraw/zinc/99/22/76/1072992276.db2.gz KNWKVQFIHXDKRI-UHFFFAOYSA-N 0 0 439.432 -0.194 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000615715247 1072992289 /nfs/dbraw/zinc/99/22/89/1072992289.db2.gz AEGXPNJRGDMNPO-UHFFFAOYSA-N 0 0 427.505 -0.271 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000615715478 1072992250 /nfs/dbraw/zinc/99/22/50/1072992250.db2.gz OQXLYVOSVMOTJR-UHFFFAOYSA-N 0 0 426.539 -0.105 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)CC1 ZINC000615715608 1072992395 /nfs/dbraw/zinc/99/23/95/1072992395.db2.gz VTIYMJKQHRFYMR-UHFFFAOYSA-N 0 0 427.527 -0.680 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000615715875 1072992456 /nfs/dbraw/zinc/99/24/56/1072992456.db2.gz HCOCFXOUFDHFNZ-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000615715915 1072992340 /nfs/dbraw/zinc/99/23/40/1072992340.db2.gz NFVQESTYRUMVIR-UHFFFAOYSA-N 0 0 438.550 -0.009 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000615716041 1072992172 /nfs/dbraw/zinc/99/21/72/1072992172.db2.gz AIJMZDSDGPUREM-UHFFFAOYSA-N 0 0 431.493 -0.642 20 0 IBADRN C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccccc1NS(C)(=O)=O ZINC000615736416 1072992142 /nfs/dbraw/zinc/99/21/42/1072992142.db2.gz BGEFXQLJMFWQLF-LLVKDONJSA-N 0 0 434.478 -0.317 20 0 IBADRN C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccccc1NS(C)(=O)=O ZINC000615736419 1072992129 /nfs/dbraw/zinc/99/21/29/1072992129.db2.gz BGEFXQLJMFWQLF-NSHDSACASA-N 0 0 434.478 -0.317 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(N4CCCS4(=O)=O)ccc3O)c2c(=O)n(C)c1=O ZINC000615738741 1072992368 /nfs/dbraw/zinc/99/23/68/1072992368.db2.gz IYAABKISXXFVAU-UHFFFAOYSA-N 0 0 448.461 -0.682 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccccc2C(=O)N2CCC(C(N)=O)CC2)C(=O)C1=O ZINC000615738802 1072992384 /nfs/dbraw/zinc/99/23/84/1072992384.db2.gz KCAQAOFSQSNLFD-UHFFFAOYSA-N 0 0 429.477 -0.347 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cc(N3CCCS3(=O)=O)ccc2O)cn1 ZINC000615739864 1072992153 /nfs/dbraw/zinc/99/21/53/1072992153.db2.gz OITXOOQOXWFESR-UHFFFAOYSA-N 0 0 429.480 -0.417 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000615744046 1072992328 /nfs/dbraw/zinc/99/23/28/1072992328.db2.gz FIQFUWYBIGWKCG-CVEARBPZSA-N 0 0 437.518 -0.195 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000615744048 1072992208 /nfs/dbraw/zinc/99/22/08/1072992208.db2.gz FIQFUWYBIGWKCG-HOTGVXAUSA-N 0 0 437.518 -0.195 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000615744049 1072992237 /nfs/dbraw/zinc/99/22/37/1072992237.db2.gz FIQFUWYBIGWKCG-HZPDHXFCSA-N 0 0 437.518 -0.195 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000615744050 1072992434 /nfs/dbraw/zinc/99/24/34/1072992434.db2.gz FIQFUWYBIGWKCG-JKSUJKDBSA-N 0 0 437.518 -0.195 20 0 IBADRN CN(CCS(=O)(=O)NCCC1CS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000615747327 1072992443 /nfs/dbraw/zinc/99/24/43/1072992443.db2.gz KYJGEQFVUOPLBZ-UHFFFAOYSA-N 0 0 428.529 -0.200 20 0 IBADRN Cc1ccc([C@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)N2CCOCC2)cc1 ZINC000615764751 1072992742 /nfs/dbraw/zinc/99/27/42/1072992742.db2.gz MIRHMBBDGXCPES-IBGZPJMESA-N 0 0 442.524 -0.091 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)N2CCOCC2)cc1 ZINC000615764759 1072992928 /nfs/dbraw/zinc/99/29/28/1072992928.db2.gz MIRHMBBDGXCPES-LJQANCHMSA-N 0 0 442.524 -0.091 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000615768756 1072992853 /nfs/dbraw/zinc/99/28/53/1072992853.db2.gz MFROVIZTPHOFMK-BZUAXINKSA-N 0 0 431.559 -0.322 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000615768761 1072992782 /nfs/dbraw/zinc/99/27/82/1072992782.db2.gz MFROVIZTPHOFMK-HRCADAONSA-N 0 0 431.559 -0.322 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000615768763 1072992776 /nfs/dbraw/zinc/99/27/76/1072992776.db2.gz MFROVIZTPHOFMK-OAGGEKHMSA-N 0 0 431.559 -0.322 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000615768765 1072992751 /nfs/dbraw/zinc/99/27/51/1072992751.db2.gz MFROVIZTPHOFMK-PMPSAXMXSA-N 0 0 431.559 -0.322 20 0 IBADRN COC(=O)[C@@](C)(CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000615789904 1072993290 /nfs/dbraw/zinc/99/32/90/1072993290.db2.gz PKCUHOZBGUUEMT-IBGZPJMESA-N 0 0 426.539 -0.006 20 0 IBADRN COC(=O)[C@](C)(CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000615789944 1072993490 /nfs/dbraw/zinc/99/34/90/1072993490.db2.gz PKCUHOZBGUUEMT-LJQANCHMSA-N 0 0 426.539 -0.006 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)c1cc(S(=O)(=O)N2CCOCC2)c[nH]1 ZINC000615792759 1072993343 /nfs/dbraw/zinc/99/33/43/1072993343.db2.gz JPUKXEXWJLSZHU-UHFFFAOYSA-N 0 0 449.537 -0.622 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NCCN2CCN(c3ncccn3)CC2)c1 ZINC000615793064 1072992918 /nfs/dbraw/zinc/99/29/18/1072992918.db2.gz OZKZVIXVRNRTNK-UHFFFAOYSA-N 0 0 448.549 -0.047 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000615793622 1072992906 /nfs/dbraw/zinc/99/29/06/1072992906.db2.gz FVYXYMGDTVCRHR-UHFFFAOYSA-N 0 0 446.533 -0.104 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)NCCN1CCN(c2ncccn2)CC1 ZINC000615799179 1072992875 /nfs/dbraw/zinc/99/28/75/1072992875.db2.gz BBGNFJWVGXNQOL-UHFFFAOYSA-N 0 0 435.488 -0.014 20 0 IBADRN O=C(NC[C@H]1COCCO1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000615801355 1072993468 /nfs/dbraw/zinc/99/34/68/1072993468.db2.gz OLJQYIHINODDKE-AWEZNQCLSA-N 0 0 427.479 -0.111 20 0 IBADRN O=C(NC[C@@H]1COCCO1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000615801356 1072993481 /nfs/dbraw/zinc/99/34/81/1072993481.db2.gz OLJQYIHINODDKE-CQSZACIVSA-N 0 0 427.479 -0.111 20 0 IBADRN CCN(CCCNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)S(C)(=O)=O ZINC000615827936 1072993398 /nfs/dbraw/zinc/99/33/98/1072993398.db2.gz CJJDLNKATNKINB-UHFFFAOYSA-N 0 0 447.583 -0.163 20 0 IBADRN CCN(CCCNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)S(C)(=O)=O ZINC000615828320 1072993458 /nfs/dbraw/zinc/99/34/58/1072993458.db2.gz RSYNWSXMGDZFGC-UHFFFAOYSA-N 0 0 439.538 -0.271 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000615833955 1073107838 /nfs/dbraw/zinc/10/78/38/1073107838.db2.gz ZIUDJNPMVTXUFS-UHFFFAOYSA-N 0 0 426.481 -0.151 20 0 IBADRN Cn1nnnc1SCCC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000615863640 1072993758 /nfs/dbraw/zinc/99/37/58/1072993758.db2.gz ATLIICJHPWXTFP-UHFFFAOYSA-N 0 0 425.496 -0.549 20 0 IBADRN O=C(CNC(=O)NCc1ccco1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000615863670 1072993780 /nfs/dbraw/zinc/99/37/80/1072993780.db2.gz DTPCBLRJWWIPBJ-UHFFFAOYSA-N 0 0 435.462 -0.162 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000615864818 1072993792 /nfs/dbraw/zinc/99/37/92/1072993792.db2.gz HWQWUWPCDXOQKV-UHFFFAOYSA-N 0 0 443.441 -0.411 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC[C@@H](C(=O)Nc3ccccn3)C1)c(=O)n2C ZINC000615865662 1072993871 /nfs/dbraw/zinc/99/38/71/1072993871.db2.gz CVUCYWBHEJCWBD-CYBMUJFWSA-N 0 0 425.449 -0.294 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC[C@H](C(=O)Nc3ccccn3)C1)c(=O)n2C ZINC000615865663 1072993953 /nfs/dbraw/zinc/99/39/53/1072993953.db2.gz CVUCYWBHEJCWBD-ZDUSSCGKSA-N 0 0 425.449 -0.294 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@@H](C(=O)Nc4ccccn4)C3)c2c(=O)n(C)c1=O ZINC000615866829 1072993922 /nfs/dbraw/zinc/99/39/22/1072993922.db2.gz SZVKVGWDHNYNHS-CYBMUJFWSA-N 0 0 425.449 -0.294 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@H](C(=O)Nc4ccccn4)C3)c2c(=O)n(C)c1=O ZINC000615866830 1072994035 /nfs/dbraw/zinc/99/40/35/1072994035.db2.gz SZVKVGWDHNYNHS-ZDUSSCGKSA-N 0 0 425.449 -0.294 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)c1ccn(C)n1 ZINC000615903644 1072994833 /nfs/dbraw/zinc/99/48/33/1072994833.db2.gz PRYUOINDXDGKLI-HNNXBMFYSA-N 0 0 425.467 -0.576 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)c1ccn(C)n1 ZINC000615903646 1072994531 /nfs/dbraw/zinc/99/45/31/1072994531.db2.gz PRYUOINDXDGKLI-OAHLLOKOSA-N 0 0 425.467 -0.576 20 0 IBADRN CCOC(=O)c1n[nH]c(CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)n1 ZINC000615917129 1072994485 /nfs/dbraw/zinc/99/44/85/1072994485.db2.gz KSFUHGDNFVYOMH-UHFFFAOYSA-N 0 0 429.480 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCS(=O)(=O)CC1 ZINC000615918078 1072994524 /nfs/dbraw/zinc/99/45/24/1072994524.db2.gz RFMYHYQYKHBNIK-UHFFFAOYSA-N 0 0 447.535 -0.615 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCS(=O)(=O)CC1 ZINC000615918529 1072994374 /nfs/dbraw/zinc/99/43/74/1072994374.db2.gz UYVRNSVYKPIVAO-UHFFFAOYSA-N 0 0 431.536 -0.315 20 0 IBADRN CCOC(=O)c1n[nH]c(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC000615919270 1072994656 /nfs/dbraw/zinc/99/46/56/1072994656.db2.gz CHTLMBYYESRFRT-UHFFFAOYSA-N 0 0 436.450 -0.968 20 0 IBADRN CCOC(=O)c1n[nH]c(CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)n1 ZINC000615920251 1072994721 /nfs/dbraw/zinc/99/47/21/1072994721.db2.gz QBNZBVQFITUBFM-UHFFFAOYSA-N 0 0 428.496 -0.023 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)CN3CCS(=O)(=O)CC3)cc2)CC1 ZINC000615925591 1072994784 /nfs/dbraw/zinc/99/47/84/1072994784.db2.gz KQMFHXKTKJVPHO-UHFFFAOYSA-N 0 0 430.552 -0.708 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000615929349 1072994603 /nfs/dbraw/zinc/99/46/03/1072994603.db2.gz YCMOFYHMYOQUCF-IBGZPJMESA-N 0 0 446.504 -0.728 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000615929350 1072994566 /nfs/dbraw/zinc/99/45/66/1072994566.db2.gz YCMOFYHMYOQUCF-LJQANCHMSA-N 0 0 446.504 -0.728 20 0 IBADRN COc1cccc(C[C@H](NC(C)=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000615930747 1072995025 /nfs/dbraw/zinc/99/50/25/1072995025.db2.gz UQQOLAKFFZHRTJ-FQEVSTJZSA-N 0 0 432.521 -0.255 20 0 IBADRN COc1cccc(C[C@@H](NC(C)=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000615930748 1072995395 /nfs/dbraw/zinc/99/53/95/1072995395.db2.gz UQQOLAKFFZHRTJ-HXUWFJFHSA-N 0 0 432.521 -0.255 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC000615931276 1072995242 /nfs/dbraw/zinc/99/52/42/1072995242.db2.gz SUNBMDPGGFAYKS-UHFFFAOYSA-N 0 0 433.538 -0.212 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(C)cc1C ZINC000615997085 1072995097 /nfs/dbraw/zinc/99/50/97/1072995097.db2.gz OONKUUACVDNVHZ-UHFFFAOYSA-N 0 0 426.495 -0.021 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)cc1C ZINC000615998423 1072995176 /nfs/dbraw/zinc/99/51/76/1072995176.db2.gz GLXNBDHJDKNWFD-UHFFFAOYSA-N 0 0 440.522 -0.278 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)NCCN2CCN(c3ncccn3)CC2)s1 ZINC000616008829 1072995368 /nfs/dbraw/zinc/99/53/68/1072995368.db2.gz ZLSCGYQVXRBLJM-UHFFFAOYSA-N 0 0 425.540 -0.193 20 0 IBADRN Cn1cc(S(=O)(=O)NCCNC(=O)c2ccc(Br)cc2)c(=O)n(C)c1=O ZINC000616020186 1072995342 /nfs/dbraw/zinc/99/53/42/1072995342.db2.gz QCKCDXOYPHJAAN-UHFFFAOYSA-N 0 0 445.295 -0.445 20 0 IBADRN CCOC(=O)c1n[nH]c(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC000616028372 1072995192 /nfs/dbraw/zinc/99/51/92/1072995192.db2.gz BQZPVGXIIMWZGU-UHFFFAOYSA-N 0 0 436.450 -0.968 20 0 IBADRN Cc1cccn2c(=O)cc(CS(=O)(=O)CC(=O)N3CCN(C(=O)C4CC4)CC3)nc12 ZINC000616030864 1072995287 /nfs/dbraw/zinc/99/52/87/1072995287.db2.gz HLLXUXNIVDTFMM-UHFFFAOYSA-N 0 0 432.502 -0.001 20 0 IBADRN CC(=O)N1CCN(C(=O)CS(=O)(=O)c2nnc([C@@H]3COc4ccccc4O3)n2C)CC1 ZINC000616037444 1072995968 /nfs/dbraw/zinc/99/59/68/1072995968.db2.gz SFIBOANAHPUBGQ-INIZCTEOSA-N 0 0 449.489 -0.208 20 0 IBADRN CC(=O)N1CCN(C(=O)CS(=O)(=O)c2nnc([C@H]3COc4ccccc4O3)n2C)CC1 ZINC000616037445 1072995753 /nfs/dbraw/zinc/99/57/53/1072995753.db2.gz SFIBOANAHPUBGQ-MRXNPFEDSA-N 0 0 449.489 -0.208 20 0 IBADRN CCCCOCCNC(=O)CS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616046504 1072995800 /nfs/dbraw/zinc/99/58/00/1072995800.db2.gz NPCJRIYIFMMPNO-UHFFFAOYSA-N 0 0 449.551 -0.191 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1)NCc1ccco1 ZINC000616047894 1072995405 /nfs/dbraw/zinc/99/54/05/1072995405.db2.gz AJDXEMFBQMITNQ-UHFFFAOYSA-N 0 0 429.476 -0.214 20 0 IBADRN CC(=O)N1CCN(C(=O)CS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cn2)CC1 ZINC000616047942 1072995109 /nfs/dbraw/zinc/99/51/09/1072995109.db2.gz BAJSWFUPGWEBPT-UHFFFAOYSA-N 0 0 444.535 -0.670 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1CCCO1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616048646 1072995327 /nfs/dbraw/zinc/99/53/27/1072995327.db2.gz IAYHWOYTXRBZEZ-KBPBESRZSA-N 0 0 447.535 -0.440 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCCO1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616048661 1072995032 /nfs/dbraw/zinc/99/50/32/1072995032.db2.gz IAYHWOYTXRBZEZ-KGLIPLIRSA-N 0 0 447.535 -0.440 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1CCCO1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616048662 1072995729 /nfs/dbraw/zinc/99/57/29/1072995729.db2.gz IAYHWOYTXRBZEZ-UONOGXRCSA-N 0 0 447.535 -0.440 20 0 IBADRN C[C@H](C(=O)NC[C@H]1CCCO1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616048664 1072995893 /nfs/dbraw/zinc/99/58/93/1072995893.db2.gz IAYHWOYTXRBZEZ-ZIAGYGMSSA-N 0 0 447.535 -0.440 20 0 IBADRN C[C@@H](C(=O)NCC(F)(F)F)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616049060 1072995706 /nfs/dbraw/zinc/99/57/06/1072995706.db2.gz LAZNOJLBPKAOFQ-JTQLQIEISA-N 0 0 445.441 -0.057 20 0 IBADRN C[C@H](C(=O)NCC(F)(F)F)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616049062 1072995727 /nfs/dbraw/zinc/99/57/27/1072995727.db2.gz LAZNOJLBPKAOFQ-SNVBAGLBSA-N 0 0 445.441 -0.057 20 0 IBADRN Cc1cc(NC(=O)CS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)no1 ZINC000616049255 1073331342 /nfs/dbraw/zinc/33/13/42/1073331342.db2.gz NVXZFTKSLAEWPH-UHFFFAOYSA-N 0 0 430.464 -0.189 20 0 IBADRN CCOCCCNC(=O)[C@H](C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616049475 1072995903 /nfs/dbraw/zinc/99/59/03/1072995903.db2.gz OGZUKTRNVMGXTF-AWEZNQCLSA-N 0 0 449.551 -0.193 20 0 IBADRN CCOCCCNC(=O)[C@@H](C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616049476 1072995699 /nfs/dbraw/zinc/99/56/99/1072995699.db2.gz OGZUKTRNVMGXTF-CQSZACIVSA-N 0 0 449.551 -0.193 20 0 IBADRN COCCCNC(=O)[C@@H](C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616049570 1073122197 /nfs/dbraw/zinc/12/21/97/1073122197.db2.gz RIXDPAXITYDWEI-CYBMUJFWSA-N 0 0 435.524 -0.583 20 0 IBADRN COCCCNC(=O)[C@H](C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000616049572 1073122191 /nfs/dbraw/zinc/12/21/91/1073122191.db2.gz RIXDPAXITYDWEI-ZDUSSCGKSA-N 0 0 435.524 -0.583 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NCc1ccccc1OCC(=O)NC[C@@H]1CCCO1 ZINC000616061805 1072995911 /nfs/dbraw/zinc/99/59/11/1072995911.db2.gz ZPGQKLNYQMDHGY-HNNXBMFYSA-N 0 0 428.511 -0.291 20 0 IBADRN CS(=O)(=O)NCCNC(=O)NCc1ccccc1OCC(=O)NC[C@H]1CCCO1 ZINC000616061806 1072995948 /nfs/dbraw/zinc/99/59/48/1072995948.db2.gz ZPGQKLNYQMDHGY-OAHLLOKOSA-N 0 0 428.511 -0.291 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)nc1 ZINC000616088778 1072996363 /nfs/dbraw/zinc/99/63/63/1072996363.db2.gz MMDXCHDOIVLOGK-UHFFFAOYSA-N 0 0 439.524 -0.376 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000616088950 1072996308 /nfs/dbraw/zinc/99/63/08/1072996308.db2.gz WDXSVVWOIZMAMK-UHFFFAOYSA-N 0 0 431.536 -0.251 20 0 IBADRN COC(=O)CCCc1nnc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)s1 ZINC000616095966 1073323555 /nfs/dbraw/zinc/32/35/55/1073323555.db2.gz ORYKJNGXWDFHEM-UHFFFAOYSA-N 0 0 444.499 -0.310 20 0 IBADRN CCOC(=O)Cc1nnc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)s1 ZINC000616097754 1074356512 /nfs/dbraw/zinc/35/65/12/1074356512.db2.gz SXXFUSGGULEHIC-UHFFFAOYSA-N 0 0 430.472 -0.700 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1=O ZINC000616097988 1072996451 /nfs/dbraw/zinc/99/64/51/1072996451.db2.gz AWZKNPFZYWRFEE-UHFFFAOYSA-N 0 0 439.454 -0.932 20 0 IBADRN COc1cc(CNC(=O)NCCN2CCN(c3ncccn3)CC2)ccc1OCC(N)=O ZINC000616106272 1072996328 /nfs/dbraw/zinc/99/63/28/1072996328.db2.gz VFFPIWLVERTQAA-UHFFFAOYSA-N 0 0 443.508 -0.029 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000616119587 1072996928 /nfs/dbraw/zinc/99/69/28/1072996928.db2.gz CGQMXQZARCDKBS-UHFFFAOYSA-N 0 0 431.493 -0.099 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000616119963 1072996978 /nfs/dbraw/zinc/99/69/78/1072996978.db2.gz NAHSUJMZXUQKDB-UHFFFAOYSA-N 0 0 433.509 -0.389 20 0 IBADRN CC[C@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1OCC(=O)NC ZINC000616120734 1072996902 /nfs/dbraw/zinc/99/69/02/1072996902.db2.gz ONICDIOIBLIRPV-INIZCTEOSA-N 0 0 444.496 -0.197 20 0 IBADRN CC[C@@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccccc1OCC(=O)NC ZINC000616120740 1072997015 /nfs/dbraw/zinc/99/70/15/1072997015.db2.gz ONICDIOIBLIRPV-MRXNPFEDSA-N 0 0 444.496 -0.197 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000616122864 1072996819 /nfs/dbraw/zinc/99/68/19/1072996819.db2.gz AWVNQRKFXMVRCK-UHFFFAOYSA-N 0 0 431.493 -0.214 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000616124262 1072996916 /nfs/dbraw/zinc/99/69/16/1072996916.db2.gz QZIHBNZEEPMOKY-UHFFFAOYSA-N 0 0 430.469 -0.806 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000616129604 1072996404 /nfs/dbraw/zinc/99/64/04/1072996404.db2.gz CTCJQMNKWCMDBG-UHFFFAOYSA-N 0 0 428.497 -0.441 20 0 IBADRN CCOC(=O)[C@H](Cc1ccncc1)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000616130882 1072996320 /nfs/dbraw/zinc/99/63/20/1072996320.db2.gz MRYDTMGMXCEKBA-AWEZNQCLSA-N 0 0 428.449 -0.491 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000616130897 1072996273 /nfs/dbraw/zinc/99/62/73/1072996273.db2.gz MRYDTMGMXCEKBA-CQSZACIVSA-N 0 0 428.449 -0.491 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1)N1CCCC1 ZINC000616134655 1072997045 /nfs/dbraw/zinc/99/70/45/1072997045.db2.gz IUUOCMKEVKPUNE-UHFFFAOYSA-N 0 0 430.557 -0.452 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)NCCN1CCN(c2ncccn2)CC1 ZINC000616134781 1072996348 /nfs/dbraw/zinc/99/63/48/1072996348.db2.gz CHQUCTLWRYMEOR-UHFFFAOYSA-N 0 0 440.556 -0.490 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000616134803 1072996265 /nfs/dbraw/zinc/99/62/65/1072996265.db2.gz DJIPTIYCLVOSCJ-GOSISDBHSA-N 0 0 432.573 -0.160 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000616134809 1072996338 /nfs/dbraw/zinc/99/63/38/1072996338.db2.gz DJIPTIYCLVOSCJ-SFHVURJKSA-N 0 0 432.573 -0.160 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000616134862 1072996488 /nfs/dbraw/zinc/99/64/88/1072996488.db2.gz HUXVHDLJZFWXPT-INIZCTEOSA-N 0 0 425.559 -0.431 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)NCCN2CCN(c3ncccn3)CC2)C1 ZINC000616134867 1072996420 /nfs/dbraw/zinc/99/64/20/1072996420.db2.gz HUXVHDLJZFWXPT-MRXNPFEDSA-N 0 0 425.559 -0.431 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000616134996 1072996382 /nfs/dbraw/zinc/99/63/82/1072996382.db2.gz PRXORTXQJQMQRR-UHFFFAOYSA-N 0 0 425.559 -0.288 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NCCN3CCN(c4ncccn4)CC3)CC2)CC1 ZINC000616135162 1072996996 /nfs/dbraw/zinc/99/69/96/1072996996.db2.gz YJSNABKAYVAPBY-UHFFFAOYSA-N 0 0 444.584 -0.206 20 0 IBADRN COc1cc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1OCCO ZINC000616136965 1072996864 /nfs/dbraw/zinc/99/68/64/1072996864.db2.gz WMFGCJQBXYNFTM-UHFFFAOYSA-N 0 0 436.509 -0.248 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NC3CCOCC3)CC2)cc1 ZINC000616152381 1072997025 /nfs/dbraw/zinc/99/70/25/1072997025.db2.gz OVIXFHHMYGZFRG-UHFFFAOYSA-N 0 0 432.524 -0.471 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCCN3CCOCC3)cc2)CC1 ZINC000616171020 1072996943 /nfs/dbraw/zinc/99/69/43/1072996943.db2.gz ILOXCMOBSPJCIU-UHFFFAOYSA-N 0 0 431.537 -0.220 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616171162 1072996986 /nfs/dbraw/zinc/99/69/86/1072996986.db2.gz NUTXDYAAUAFAIS-GOSISDBHSA-N 0 0 444.488 -0.232 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616171164 1072996808 /nfs/dbraw/zinc/99/68/08/1072996808.db2.gz NUTXDYAAUAFAIS-SFHVURJKSA-N 0 0 444.488 -0.232 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616171237 1072996877 /nfs/dbraw/zinc/99/68/77/1072996877.db2.gz UFPXCVLMHXKUGH-IBGZPJMESA-N 0 0 446.504 -0.522 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616171241 1072997098 /nfs/dbraw/zinc/99/70/98/1072997098.db2.gz UFPXCVLMHXKUGH-LJQANCHMSA-N 0 0 446.504 -0.522 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)NCCCN2CCCS2(=O)=O)cn1 ZINC000616176731 1072997085 /nfs/dbraw/zinc/99/70/85/1072997085.db2.gz RJQSHKYUXWLPNR-HNNXBMFYSA-N 0 0 433.556 -0.190 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)NCCCN2CCCS2(=O)=O)cn1 ZINC000616176732 1072996836 /nfs/dbraw/zinc/99/68/36/1072996836.db2.gz RJQSHKYUXWLPNR-OAHLLOKOSA-N 0 0 433.556 -0.190 20 0 IBADRN CCn1cc([C@@H]2CS(=O)(=O)CCN2C(=O)COc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000616176741 1072997073 /nfs/dbraw/zinc/99/70/73/1072997073.db2.gz WHSCIDCRXXJNAA-INIZCTEOSA-N 0 0 442.519 -0.073 20 0 IBADRN CCn1cc([C@H]2CS(=O)(=O)CCN2C(=O)COc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000616176742 1072996965 /nfs/dbraw/zinc/99/69/65/1072996965.db2.gz WHSCIDCRXXJNAA-MRXNPFEDSA-N 0 0 442.519 -0.073 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000616181722 1072997344 /nfs/dbraw/zinc/99/73/44/1072997344.db2.gz GFLNOFPGJZKNCO-UHFFFAOYSA-N 0 0 444.485 -0.767 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c2ccccc2O1 ZINC000616181816 1072997429 /nfs/dbraw/zinc/99/74/29/1072997429.db2.gz VAGIQDMTFHPNQN-KRWDZBQOSA-N 0 0 446.485 -0.467 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c2ccccc2O1 ZINC000616181817 1072997367 /nfs/dbraw/zinc/99/73/67/1072997367.db2.gz VAGIQDMTFHPNQN-QGZVFWFLSA-N 0 0 446.485 -0.467 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616182435 1072997550 /nfs/dbraw/zinc/99/75/50/1072997550.db2.gz DRTJHVNKSDPKNY-GOSISDBHSA-N 0 0 432.477 -0.912 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616182437 1072997586 /nfs/dbraw/zinc/99/75/86/1072997586.db2.gz DRTJHVNKSDPKNY-SFHVURJKSA-N 0 0 432.477 -0.912 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2)c2ccccc2O1 ZINC000616182595 1072997506 /nfs/dbraw/zinc/99/75/06/1072997506.db2.gz OCNWMMQLARQMDV-INIZCTEOSA-N 0 0 432.458 -0.510 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2)c2ccccc2O1 ZINC000616182598 1072997462 /nfs/dbraw/zinc/99/74/62/1072997462.db2.gz OCNWMMQLARQMDV-MRXNPFEDSA-N 0 0 432.458 -0.510 20 0 IBADRN CC(C)OCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000616192462 1072997419 /nfs/dbraw/zinc/99/74/19/1072997419.db2.gz CPZWKMSRTVEBGY-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H]3COc4ccccc4O3)cnc2n(C)c1=O ZINC000616194515 1072997372 /nfs/dbraw/zinc/99/73/72/1072997372.db2.gz IOHPJOYQXJOZLS-GFCCVEGCSA-N 0 0 425.401 -0.473 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H]3COc4ccccc4O3)cnc2n(C)c1=O ZINC000616194516 1072997454 /nfs/dbraw/zinc/99/74/54/1072997454.db2.gz IOHPJOYQXJOZLS-LBPRGKRZSA-N 0 0 425.401 -0.473 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCc3ccc4c(c3)OCCO4)cnc2n(C)c1=O ZINC000616195546 1072997356 /nfs/dbraw/zinc/99/73/56/1072997356.db2.gz GPXAGMVHGZILOP-UHFFFAOYSA-N 0 0 439.428 -0.299 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000616196719 1072997441 /nfs/dbraw/zinc/99/74/41/1072997441.db2.gz ORDQZWZZAHBGTA-UHFFFAOYSA-N 0 0 427.417 -0.226 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCCN3CCCCCC3=O)cnc2n(C)c1=O ZINC000616231457 1072997984 /nfs/dbraw/zinc/99/79/84/1072997984.db2.gz QFHWVPFXQFPDBJ-UHFFFAOYSA-N 0 0 430.465 -0.520 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000616232854 1072998056 /nfs/dbraw/zinc/99/80/56/1072998056.db2.gz LMTLXFCBEYYETF-UHFFFAOYSA-N 0 0 444.485 -0.327 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616232883 1072997996 /nfs/dbraw/zinc/99/79/96/1072997996.db2.gz PCEUHBNOYQPKHX-KRWDZBQOSA-N 0 0 432.477 -0.472 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616232884 1072998005 /nfs/dbraw/zinc/99/80/05/1072998005.db2.gz PCEUHBNOYQPKHX-QGZVFWFLSA-N 0 0 432.477 -0.472 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cn1 ZINC000616233375 1072997494 /nfs/dbraw/zinc/99/74/94/1072997494.db2.gz QLUCGKYZBKGUME-UHFFFAOYSA-N 0 0 437.478 -0.206 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)s2)c2ccccc2O1 ZINC000616233455 1072997564 /nfs/dbraw/zinc/99/75/64/1072997564.db2.gz XMYKHIXEHZIKJD-CYBMUJFWSA-N 0 0 438.487 -0.448 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)s2)c2ccccc2O1 ZINC000616233456 1072997337 /nfs/dbraw/zinc/99/73/37/1072997337.db2.gz XMYKHIXEHZIKJD-ZDUSSCGKSA-N 0 0 438.487 -0.448 20 0 IBADRN CC(C)NC(=O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000616259852 1072997974 /nfs/dbraw/zinc/99/79/74/1072997974.db2.gz HNTYEGRCMUHJNI-UHFFFAOYSA-N 0 0 430.458 -0.574 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCc3ccc4c(c3)OCO4)cnc2n(C)c1=O ZINC000616261547 1072998158 /nfs/dbraw/zinc/99/81/58/1072998158.db2.gz BYPQXAPBGMZELG-UHFFFAOYSA-N 0 0 425.401 -0.342 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000616263125 1072998139 /nfs/dbraw/zinc/99/81/39/1072998139.db2.gz CSZHCQKMPCRDIF-UHFFFAOYSA-N 0 0 444.485 -0.184 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616263767 1072998187 /nfs/dbraw/zinc/99/81/87/1072998187.db2.gz ZUGHVOUNZWHARU-INIZCTEOSA-N 0 0 432.477 -0.330 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616263768 1072997991 /nfs/dbraw/zinc/99/79/91/1072997991.db2.gz ZUGHVOUNZWHARU-MRXNPFEDSA-N 0 0 432.477 -0.330 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cc(NC(=O)C(=O)NCC(=O)NC2CC2)ccc1F ZINC000616264776 1072998078 /nfs/dbraw/zinc/99/80/78/1072998078.db2.gz ONWGZPSHTDJECV-UHFFFAOYSA-N 0 0 435.456 -0.180 20 0 IBADRN O=C(NCCCOCC1CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000616268209 1072998017 /nfs/dbraw/zinc/99/80/17/1072998017.db2.gz YQEJZKPJAXPKNT-UHFFFAOYSA-N 0 0 438.506 -0.322 20 0 IBADRN COc1ccc(OCCNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000616275774 1072998169 /nfs/dbraw/zinc/99/81/69/1072998169.db2.gz DPYAUDRQPMSPFK-UHFFFAOYSA-N 0 0 427.417 -0.226 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000616300312 1072998655 /nfs/dbraw/zinc/99/86/55/1072998655.db2.gz BWQJOOYDXSVCAW-UHFFFAOYSA-N 0 0 447.473 -0.534 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000616305222 1072998608 /nfs/dbraw/zinc/99/86/08/1072998608.db2.gz NTVYDECTVYTTGG-UHFFFAOYSA-N 0 0 440.503 -0.010 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCCC1 ZINC000616308319 1072998517 /nfs/dbraw/zinc/99/85/17/1072998517.db2.gz ICANBIIBSLNWLD-KRWDZBQOSA-N 0 0 430.461 -0.622 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCCC1 ZINC000616308320 1072998744 /nfs/dbraw/zinc/99/87/44/1072998744.db2.gz ICANBIIBSLNWLD-QGZVFWFLSA-N 0 0 430.461 -0.622 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)cc2)CC1 ZINC000616310876 1070806613 /nfs/dbraw/zinc/80/66/13/1070806613.db2.gz BNQPRXDRTQZCMF-UHFFFAOYSA-N 0 0 445.520 -0.693 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F)N1CCOCC1 ZINC000616310991 1072998581 /nfs/dbraw/zinc/99/85/81/1072998581.db2.gz IFAMVYQZUPHDLK-UHFFFAOYSA-N 0 0 442.469 -0.331 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCOCC2)c2ccccc2O1 ZINC000616311319 1072998503 /nfs/dbraw/zinc/99/85/03/1072998503.db2.gz SJKGDDMULRUMKW-KRWDZBQOSA-N 0 0 432.477 -0.328 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCOCC2)c2ccccc2O1 ZINC000616311320 1072998750 /nfs/dbraw/zinc/99/87/50/1072998750.db2.gz SJKGDDMULRUMKW-QGZVFWFLSA-N 0 0 432.477 -0.328 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccnc(N4CCCC4)c3)cnc2n(C)c1=O ZINC000616312302 1072998698 /nfs/dbraw/zinc/99/86/98/1072998698.db2.gz ZKQJQZOBSAJVIE-UHFFFAOYSA-N 0 0 437.460 -0.118 20 0 IBADRN COc1cc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)ccn1 ZINC000616312689 1072998533 /nfs/dbraw/zinc/99/85/33/1072998533.db2.gz UZANNVJJDWJPDZ-UHFFFAOYSA-N 0 0 447.473 -0.534 20 0 IBADRN CC(C)C[C@@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)N1CCOCC1 ZINC000616312696 1072998615 /nfs/dbraw/zinc/99/86/15/1072998615.db2.gz VKAQRGRQUKGWPW-HNNXBMFYSA-N 0 0 446.508 -0.566 20 0 IBADRN CC(C)C[C@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)N1CCOCC1 ZINC000616312697 1072998640 /nfs/dbraw/zinc/99/86/40/1072998640.db2.gz VKAQRGRQUKGWPW-OAHLLOKOSA-N 0 0 446.508 -0.566 20 0 IBADRN Cc1n[nH]cc1CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000616316076 1072998561 /nfs/dbraw/zinc/99/85/61/1072998561.db2.gz NKRUWQGWCSCAFM-UHFFFAOYSA-N 0 0 448.505 -0.474 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000616317933 1072998626 /nfs/dbraw/zinc/99/86/26/1072998626.db2.gz VJLZZHMQFGEPNR-UHFFFAOYSA-N 0 0 427.417 -0.096 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cn1 ZINC000616319818 1072999079 /nfs/dbraw/zinc/99/90/79/1072999079.db2.gz AUFNFQWTOICPTI-UHFFFAOYSA-N 0 0 447.521 -0.458 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)c1 ZINC000616319897 1072999183 /nfs/dbraw/zinc/99/91/83/1072999183.db2.gz LHBZGFCIWANQJG-UHFFFAOYSA-N 0 0 429.456 -0.018 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616320207 1072999100 /nfs/dbraw/zinc/99/91/00/1072999100.db2.gz AYIHXUPMSCMKGE-KRWDZBQOSA-N 0 0 432.477 -0.330 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616320208 1072999219 /nfs/dbraw/zinc/99/92/19/1072999219.db2.gz AYIHXUPMSCMKGE-QGZVFWFLSA-N 0 0 432.477 -0.330 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000616322379 1072999276 /nfs/dbraw/zinc/99/92/76/1072999276.db2.gz JCOUZUKKVRCPPZ-INIZCTEOSA-N 0 0 438.506 -0.542 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000616322382 1072999248 /nfs/dbraw/zinc/99/92/48/1072999248.db2.gz JCOUZUKKVRCPPZ-MRXNPFEDSA-N 0 0 438.506 -0.542 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000616322412 1072999206 /nfs/dbraw/zinc/99/92/06/1072999206.db2.gz KNRSYCOJRVEAFY-UHFFFAOYSA-N 0 0 434.540 -0.372 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc2)C[C@H](C)O1 ZINC000616322422 1072999296 /nfs/dbraw/zinc/99/92/96/1072999296.db2.gz LDICUHRZGDPEKW-BETUJISGSA-N 0 0 426.495 -0.460 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc2)C[C@@H](C)O1 ZINC000616322423 1072999304 /nfs/dbraw/zinc/99/93/04/1072999304.db2.gz LDICUHRZGDPEKW-CHWSQXEVSA-N 0 0 426.495 -0.460 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc2)C[C@H](C)O1 ZINC000616322425 1072999341 /nfs/dbraw/zinc/99/93/41/1072999341.db2.gz LDICUHRZGDPEKW-STQMWFEESA-N 0 0 426.495 -0.460 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)cc1 ZINC000616322704 1070915436 /nfs/dbraw/zinc/91/54/36/1070915436.db2.gz ZPFFTSJCZLXTPH-UHFFFAOYSA-N 0 0 440.503 -0.173 20 0 IBADRN O=C(NCCCn1cncn1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616327522 1072998599 /nfs/dbraw/zinc/99/85/99/1072998599.db2.gz QWRJJFLHEDLHEU-KRWDZBQOSA-N 0 0 428.449 -0.563 20 0 IBADRN O=C(NCCCn1cncn1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616327523 1072998714 /nfs/dbraw/zinc/99/87/14/1072998714.db2.gz QWRJJFLHEDLHEU-QGZVFWFLSA-N 0 0 428.449 -0.563 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000616331362 1072999356 /nfs/dbraw/zinc/99/93/56/1072999356.db2.gz ZGGFJMZBPGYNNI-UHFFFAOYSA-N 0 0 434.478 -0.851 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3ccnc(-n4cccn4)c3)cnc2n(C)c1=O ZINC000616348266 1072999328 /nfs/dbraw/zinc/99/93/28/1072999328.db2.gz PLPFUJYOHACFPS-UHFFFAOYSA-N 0 0 434.416 -0.532 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000616374585 1072999790 /nfs/dbraw/zinc/99/97/90/1072999790.db2.gz FTAZXNQPBBFWKC-UHFFFAOYSA-N 0 0 431.537 -0.220 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000616378475 1070804395 /nfs/dbraw/zinc/80/43/95/1070804395.db2.gz AJXSJNGCHZHXQL-CYBMUJFWSA-N 0 0 434.478 -0.853 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000616378476 1070804486 /nfs/dbraw/zinc/80/44/86/1070804486.db2.gz AJXSJNGCHZHXQL-ZDUSSCGKSA-N 0 0 434.478 -0.853 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000616378744 1072999827 /nfs/dbraw/zinc/99/98/27/1072999827.db2.gz PMAXQURXUUDLGF-KRWDZBQOSA-N 0 0 431.537 -0.222 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000616378745 1072999723 /nfs/dbraw/zinc/99/97/23/1072999723.db2.gz PMAXQURXUUDLGF-QGZVFWFLSA-N 0 0 431.537 -0.222 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616378923 1070803957 /nfs/dbraw/zinc/80/39/57/1070803957.db2.gz ZQUGHMKYLWBPOK-APWZRJJASA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616378924 1072999875 /nfs/dbraw/zinc/99/98/75/1072999875.db2.gz ZQUGHMKYLWBPOK-LPHOPBHVSA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616378925 1070804453 /nfs/dbraw/zinc/80/44/53/1070804453.db2.gz ZQUGHMKYLWBPOK-QFBILLFUSA-N 0 0 446.504 -0.524 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616378926 1072999818 /nfs/dbraw/zinc/99/98/18/1072999818.db2.gz ZQUGHMKYLWBPOK-VQIMIIECSA-N 0 0 446.504 -0.524 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCCCC1 ZINC000616380400 1070803992 /nfs/dbraw/zinc/80/39/92/1070803992.db2.gz IVDVYXBSWFYPBH-GOSISDBHSA-N 0 0 444.488 -0.232 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCCCC1 ZINC000616380401 1070804221 /nfs/dbraw/zinc/80/42/21/1070804221.db2.gz IVDVYXBSWFYPBH-SFHVURJKSA-N 0 0 444.488 -0.232 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H](CO)Cc3ccc(F)cc3)cnc2n(C)c1=O ZINC000616388662 1073000322 /nfs/dbraw/zinc/00/03/22/1073000322.db2.gz LGZYAOGXAAQBJC-CYBMUJFWSA-N 0 0 443.435 -0.323 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H](CO)Cc3ccc(F)cc3)cnc2n(C)c1=O ZINC000616388663 1073000147 /nfs/dbraw/zinc/00/01/47/1073000147.db2.gz LGZYAOGXAAQBJC-ZDUSSCGKSA-N 0 0 443.435 -0.323 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H](CO)Cc3ccccc3)cnc2n(C)c1=O ZINC000616390192 1073000416 /nfs/dbraw/zinc/00/04/16/1073000416.db2.gz OBRGAIRNJWLKKE-AWEZNQCLSA-N 0 0 425.445 -0.462 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H](CO)Cc3ccccc3)cnc2n(C)c1=O ZINC000616390193 1073000345 /nfs/dbraw/zinc/00/03/45/1073000345.db2.gz OBRGAIRNJWLKKE-CQSZACIVSA-N 0 0 425.445 -0.462 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cn1 ZINC000616395452 1073000174 /nfs/dbraw/zinc/00/01/74/1073000174.db2.gz SVHKPAAQDTWHCD-UHFFFAOYSA-N 0 0 442.432 -0.693 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000616396276 1070810944 /nfs/dbraw/zinc/81/09/44/1070810944.db2.gz MLTWITZSNNIJFX-UHFFFAOYSA-N 0 0 441.444 -0.088 20 0 IBADRN COc1ncccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000616399081 1073000387 /nfs/dbraw/zinc/00/03/87/1073000387.db2.gz LNGUMLOEOROOCH-UHFFFAOYSA-N 0 0 448.505 -0.308 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000616399305 1073000244 /nfs/dbraw/zinc/00/02/44/1073000244.db2.gz ZWWJRXRRGRHOBO-KBPBESRZSA-N 0 0 440.522 -0.070 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000616399307 1073000334 /nfs/dbraw/zinc/00/03/34/1073000334.db2.gz ZWWJRXRRGRHOBO-OKILXGFUSA-N 0 0 440.522 -0.070 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000616399308 1073000207 /nfs/dbraw/zinc/00/02/07/1073000207.db2.gz ZWWJRXRRGRHOBO-ZIAGYGMSSA-N 0 0 440.522 -0.070 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2C[C@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000616400078 1070811762 /nfs/dbraw/zinc/81/17/62/1070811762.db2.gz QETBTDZMWYKVAJ-GOSISDBHSA-N 0 0 445.520 -0.367 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2C[C@@H](C(=O)NC)Oc3ccccc32)CC1 ZINC000616400079 1070811923 /nfs/dbraw/zinc/81/19/23/1070811923.db2.gz QETBTDZMWYKVAJ-SFHVURJKSA-N 0 0 445.520 -0.367 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000616400094 1073000258 /nfs/dbraw/zinc/00/02/58/1073000258.db2.gz SMNSRXAEIDELNC-UHFFFAOYSA-N 0 0 431.474 -0.235 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NC2CCN(CC(=O)NC)CC2)c2ccccc2O1 ZINC000616400130 1073000440 /nfs/dbraw/zinc/00/04/40/1073000440.db2.gz WNABEQVXASXBJQ-GOSISDBHSA-N 0 0 445.520 -0.367 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCN(CC(=O)NC)CC2)c2ccccc2O1 ZINC000616400131 1070812058 /nfs/dbraw/zinc/81/20/58/1070812058.db2.gz WNABEQVXASXBJQ-SFHVURJKSA-N 0 0 445.520 -0.367 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC3CCN(c4ccccn4)CC3)cnc2n(C)c1=O ZINC000616410985 1072999684 /nfs/dbraw/zinc/99/96/84/1072999684.db2.gz XXMKXZKIBGMEJL-UHFFFAOYSA-N 0 0 437.460 -0.249 20 0 IBADRN CCn1cnnc1CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616413508 1072999926 /nfs/dbraw/zinc/99/99/26/1072999926.db2.gz NBUFQVSCAYICMF-INIZCTEOSA-N 0 0 428.449 -0.433 20 0 IBADRN CCn1cnnc1CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616413509 1072999673 /nfs/dbraw/zinc/99/96/73/1072999673.db2.gz NBUFQVSCAYICMF-MRXNPFEDSA-N 0 0 428.449 -0.433 20 0 IBADRN O=C(CCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)NC1CC1 ZINC000616413564 1070906509 /nfs/dbraw/zinc/90/65/09/1070906509.db2.gz SZSONHZYIOBXQZ-GOSISDBHSA-N 0 0 444.488 -0.186 20 0 IBADRN O=C(CCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)NC1CC1 ZINC000616413565 1072999737 /nfs/dbraw/zinc/99/97/37/1072999737.db2.gz SZSONHZYIOBXQZ-SFHVURJKSA-N 0 0 444.488 -0.186 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCN(C)S(C)(=O)=O)c2ccccc2O1 ZINC000616414183 1072999867 /nfs/dbraw/zinc/99/98/67/1072999867.db2.gz DEGRNGWFXGWFLP-INIZCTEOSA-N 0 0 440.522 -0.296 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCCN(C)S(C)(=O)=O)c2ccccc2O1 ZINC000616414189 1072999714 /nfs/dbraw/zinc/99/97/14/1072999714.db2.gz DEGRNGWFXGWFLP-MRXNPFEDSA-N 0 0 440.522 -0.296 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1)S(C)(=O)=O ZINC000616414954 1073000269 /nfs/dbraw/zinc/00/02/69/1073000269.db2.gz ZIGLHCLFUYQFDS-UHFFFAOYSA-N 0 0 426.539 -0.103 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1C[C@@H](C(=O)NC)Oc2ccccc21 ZINC000616415259 1072999883 /nfs/dbraw/zinc/99/98/83/1072999883.db2.gz DOAGZQUIAYBBBA-HNNXBMFYSA-N 0 0 426.495 -0.686 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1C[C@H](C(=O)NC)Oc2ccccc21 ZINC000616415263 1072999744 /nfs/dbraw/zinc/99/97/44/1072999744.db2.gz DOAGZQUIAYBBBA-OAHLLOKOSA-N 0 0 426.495 -0.686 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(OCC(=O)N(C)C)c1 ZINC000616415271 1073000295 /nfs/dbraw/zinc/00/02/95/1073000295.db2.gz FJPHZDDNZCPZSH-UHFFFAOYSA-N 0 0 428.511 -0.120 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)CC(F)(F)F ZINC000616415339 1072999917 /nfs/dbraw/zinc/99/99/17/1072999917.db2.gz HDBVEDALVIUTPU-UHFFFAOYSA-N 0 0 430.387 -0.429 20 0 IBADRN Cc1c([C@@H](C)NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cnn1C ZINC000616421480 1073000161 /nfs/dbraw/zinc/00/01/61/1073000161.db2.gz YHPSCGQDOMTYBR-GFCCVEGCSA-N 0 0 448.505 -0.335 20 0 IBADRN Cc1c([C@H](C)NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cnn1C ZINC000616421481 1073000221 /nfs/dbraw/zinc/00/02/21/1073000221.db2.gz YHPSCGQDOMTYBR-LBPRGKRZSA-N 0 0 448.505 -0.335 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1 ZINC000616423200 1073000426 /nfs/dbraw/zinc/00/04/26/1073000426.db2.gz JTBQZBPCXKIBBR-UHFFFAOYSA-N 0 0 428.511 -0.074 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c2)C1=O ZINC000616423308 1070906531 /nfs/dbraw/zinc/90/65/31/1070906531.db2.gz ULFDQDUVOOMCHF-UHFFFAOYSA-N 0 0 439.494 -0.142 20 0 IBADRN CN(C)C(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000616424397 1073000847 /nfs/dbraw/zinc/00/08/47/1073000847.db2.gz VSINIZUWIGFFFF-UHFFFAOYSA-N 0 0 430.458 -0.620 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H](C)S(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000616425962 1073000819 /nfs/dbraw/zinc/00/08/19/1073000819.db2.gz SLHFFIMJEHPLGX-CYBMUJFWSA-N 0 0 440.565 -0.273 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H](C)S(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000616425963 1073000772 /nfs/dbraw/zinc/00/07/72/1073000772.db2.gz SLHFFIMJEHPLGX-ZDUSSCGKSA-N 0 0 440.565 -0.273 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H](O)COc3ccccc3)cnc2n(C)c1=O ZINC000616427487 1073000863 /nfs/dbraw/zinc/00/08/63/1073000863.db2.gz FLGUICKYBUPDDK-CYBMUJFWSA-N 0 0 427.417 -0.873 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H](O)COc3ccccc3)cnc2n(C)c1=O ZINC000616427488 1073000783 /nfs/dbraw/zinc/00/07/83/1073000783.db2.gz FLGUICKYBUPDDK-ZDUSSCGKSA-N 0 0 427.417 -0.873 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)cn1 ZINC000616432810 1073000881 /nfs/dbraw/zinc/00/08/81/1073000881.db2.gz PYCUXMLMUJZRAA-KRWDZBQOSA-N 0 0 428.536 -0.252 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)cn1 ZINC000616432811 1073000931 /nfs/dbraw/zinc/00/09/31/1073000931.db2.gz PYCUXMLMUJZRAA-QGZVFWFLSA-N 0 0 428.536 -0.252 20 0 IBADRN CCNC(=O)c1cccc(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000616437681 1073000894 /nfs/dbraw/zinc/00/08/94/1073000894.db2.gz OOHQGOIHYSNHQZ-UHFFFAOYSA-N 0 0 438.444 -0.363 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)cn1 ZINC000616440693 1073000801 /nfs/dbraw/zinc/00/08/01/1073000801.db2.gz HDIMTKIIAXAVGT-UHFFFAOYSA-N 0 0 440.503 -0.341 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCOc3ccc4c(c3)OCO4)cnc2n(C)c1=O ZINC000616442206 1073000913 /nfs/dbraw/zinc/00/09/13/1073000913.db2.gz DUYFXDJVECSLCB-UHFFFAOYSA-N 0 0 441.400 -0.505 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000616446651 1073000234 /nfs/dbraw/zinc/00/02/34/1073000234.db2.gz UOLJNAPEQFERPE-UHFFFAOYSA-N 0 0 430.458 -0.587 20 0 IBADRN Cc1nc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cs1 ZINC000616448271 1073000188 /nfs/dbraw/zinc/00/01/88/1073000188.db2.gz USSQSRZNRCZBAI-UHFFFAOYSA-N 0 0 437.503 -0.173 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)cc2)CC1 ZINC000616465022 1073002028 /nfs/dbraw/zinc/00/20/28/1073002028.db2.gz AEZKDGYJHYXLPB-UHFFFAOYSA-N 0 0 443.426 -0.264 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)NCC(F)(F)F ZINC000616465088 1073001937 /nfs/dbraw/zinc/00/19/37/1073001937.db2.gz DHMIQVZAYPWPIA-UHFFFAOYSA-N 0 0 430.383 -0.179 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)NCC(F)(F)F ZINC000616465590 1073002100 /nfs/dbraw/zinc/00/21/00/1073002100.db2.gz ZRZBHNYHEKIHGV-UHFFFAOYSA-N 0 0 440.400 -0.321 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)NCC(F)(F)F ZINC000616466138 1073002007 /nfs/dbraw/zinc/00/20/07/1073002007.db2.gz PJBXOLRJNWKLQS-UHFFFAOYSA-N 0 0 445.398 -0.310 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCC(=O)NCC(F)(F)F)CC1 ZINC000616466236 1073002068 /nfs/dbraw/zinc/00/20/68/1073002068.db2.gz XHWAOIFRUSHWRQ-UHFFFAOYSA-N 0 0 429.399 -0.193 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1Cl ZINC000616468604 1073002358 /nfs/dbraw/zinc/00/23/58/1073002358.db2.gz BSMCZFKRJFLDHE-UHFFFAOYSA-N 0 0 435.868 -0.329 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468609 1073002515 /nfs/dbraw/zinc/00/25/15/1073002515.db2.gz CAGRDMMBJHIFBP-UHFFFAOYSA-N 0 0 443.504 -0.071 20 0 IBADRN O=C(Nc1cccc(Cn2cncn2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468621 1073002455 /nfs/dbraw/zinc/00/24/55/1073002455.db2.gz DAGMFUWXOMVSRD-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000616468638 1073002523 /nfs/dbraw/zinc/00/25/23/1073002523.db2.gz FLLZLZZUCBRNHZ-UHFFFAOYSA-N 0 0 440.464 -0.798 20 0 IBADRN O=C(Nc1ccc2c(c1)NC(=O)CO2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468641 1073002480 /nfs/dbraw/zinc/00/24/80/1073002480.db2.gz FQSSEGCQEYKCJI-UHFFFAOYSA-N 0 0 429.433 -0.751 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1Cl ZINC000616468644 1073002421 /nfs/dbraw/zinc/00/24/21/1073002421.db2.gz FVWDKVLJCCZUSX-UHFFFAOYSA-N 0 0 449.895 -0.069 20 0 IBADRN O=C(Nc1cc2c(cc1F)CCC(=O)N2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468647 1073002397 /nfs/dbraw/zinc/00/23/97/1073002397.db2.gz FXYHRBCBDFAKLE-UHFFFAOYSA-N 0 0 445.451 -0.058 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2NC1=O ZINC000616468648 1073002533 /nfs/dbraw/zinc/00/25/33/1073002533.db2.gz FYMXIGIWKPIFKJ-CYBMUJFWSA-N 0 0 443.460 -0.362 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2NC1=O ZINC000616468649 1073002446 /nfs/dbraw/zinc/00/24/46/1073002446.db2.gz FYMXIGIWKPIFKJ-ZDUSSCGKSA-N 0 0 443.460 -0.362 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1 ZINC000616468650 1073002871 /nfs/dbraw/zinc/00/28/71/1073002871.db2.gz FZXDPFVWYLEDJI-UHFFFAOYSA-N 0 0 433.465 -0.662 20 0 IBADRN O=C(Nc1ccc2c(c1)CCC(=O)N2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468659 1073002881 /nfs/dbraw/zinc/00/28/81/1073002881.db2.gz GLTGLKHEQHKDJZ-UHFFFAOYSA-N 0 0 427.461 -0.197 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1C ZINC000616468662 1073002874 /nfs/dbraw/zinc/00/28/74/1073002874.db2.gz GTXXFBCABKPIFO-UHFFFAOYSA-N 0 0 429.477 -0.414 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000616468697 1073002888 /nfs/dbraw/zinc/00/28/88/1073002888.db2.gz JHZPGRYQTHRDMM-UHFFFAOYSA-N 0 0 429.477 -0.332 20 0 IBADRN O=C(Nc1ccc2c(c1)NC(=O)CS2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468699 1073002852 /nfs/dbraw/zinc/00/28/52/1073002852.db2.gz JIXGMBXQULTSGI-UHFFFAOYSA-N 0 0 445.501 -0.038 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000616468708 1073002790 /nfs/dbraw/zinc/00/27/90/1073002790.db2.gz JZBHOBBDBXSWHV-UHFFFAOYSA-N 0 0 429.477 -0.793 20 0 IBADRN O=C(Nc1ccccc1-n1cncn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468717 1073002857 /nfs/dbraw/zinc/00/28/57/1073002857.db2.gz KQZQVTDGEILGQQ-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1Cl ZINC000616468722 1070908426 /nfs/dbraw/zinc/90/84/26/1070908426.db2.gz KYTTYSLUFWAOCH-UHFFFAOYSA-N 0 0 449.895 -0.069 20 0 IBADRN O=C(Nc1ccc(N2CCNC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468744 1073001966 /nfs/dbraw/zinc/00/19/66/1073001966.db2.gz MFWJCCCXQVUWQK-UHFFFAOYSA-N 0 0 442.476 -0.552 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000616468748 1073002092 /nfs/dbraw/zinc/00/20/92/1073002092.db2.gz MMQDFFVRLBTMDK-UHFFFAOYSA-N 0 0 443.504 -0.451 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000616468782 1073002021 /nfs/dbraw/zinc/00/20/21/1073002021.db2.gz OYACJCLOSORVDD-UHFFFAOYSA-N 0 0 443.504 -0.024 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000616468796 1073002334 /nfs/dbraw/zinc/00/23/34/1073002334.db2.gz PFZZBWLSWGYTEA-UHFFFAOYSA-N 0 0 429.477 -0.380 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000616468800 1073002434 /nfs/dbraw/zinc/00/24/34/1073002434.db2.gz PKKDMFYOOMZTAW-UHFFFAOYSA-N 0 0 436.490 -0.678 20 0 IBADRN COCCOc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000616468809 1073002468 /nfs/dbraw/zinc/00/24/68/1073002468.db2.gz PZBRJRHLCGQTGS-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN O=C(Nc1cccc(N2CCNC2=O)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468811 1073002321 /nfs/dbraw/zinc/00/23/21/1073002321.db2.gz QAHVJJXPWORPQL-UHFFFAOYSA-N 0 0 442.476 -0.552 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000616468812 1070908377 /nfs/dbraw/zinc/90/83/77/1070908377.db2.gz QJBOFKUJVWMIAL-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN O=C(Nc1ccccc1-n1ccnn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468829 1073002346 /nfs/dbraw/zinc/00/23/46/1073002346.db2.gz ROGZYMRSFNJCIP-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000616468839 1073002408 /nfs/dbraw/zinc/00/24/08/1073002408.db2.gz RUKURULZCNKBAT-UHFFFAOYSA-N 0 0 436.490 -0.678 20 0 IBADRN O=C(Nc1ccc(N2CCCC2)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468840 1073002510 /nfs/dbraw/zinc/00/25/10/1073002510.db2.gz RZDYBUACFQLXMV-UHFFFAOYSA-N 0 0 428.493 -0.087 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000616468847 1073002385 /nfs/dbraw/zinc/00/23/85/1073002385.db2.gz SSNLVJSJJHCTKA-UHFFFAOYSA-N 0 0 429.477 -0.380 20 0 IBADRN O=C(Nc1cccc(-c2nnco2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468852 1073002490 /nfs/dbraw/zinc/00/24/90/1073002490.db2.gz TVPAXPBPLMLFTQ-UHFFFAOYSA-N 0 0 426.433 -0.032 20 0 IBADRN Cc1nn(C)c2ncc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc12 ZINC000616468853 1073002499 /nfs/dbraw/zinc/00/24/99/1073002499.db2.gz TZPDXWVPAFUKIR-UHFFFAOYSA-N 0 0 427.465 -0.492 20 0 IBADRN O=C(Nc1ccc(C(=O)NC2CC2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468873 1073001927 /nfs/dbraw/zinc/00/19/27/1073001927.db2.gz VLMMYIOXWPNCLJ-UHFFFAOYSA-N 0 0 441.488 -0.190 20 0 IBADRN O=C(Nc1cccnc1-n1cccn1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468879 1073002059 /nfs/dbraw/zinc/00/20/59/1073002059.db2.gz VTEARTKAGGFSCL-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1-n1cnnn1 ZINC000616468884 1073002015 /nfs/dbraw/zinc/00/20/15/1073002015.db2.gz VZXDLYFEMOKHQS-UHFFFAOYSA-N 0 0 440.464 -0.798 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1Cl ZINC000616468888 1073001959 /nfs/dbraw/zinc/00/19/59/1073001959.db2.gz WKJOGYXWSRUDCD-UHFFFAOYSA-N 0 0 435.868 -0.329 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1NC(C)=O ZINC000616468894 1073002043 /nfs/dbraw/zinc/00/20/43/1073002043.db2.gz WUZMOZHITOUCFU-UHFFFAOYSA-N 0 0 445.476 -0.115 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000616468905 1073002080 /nfs/dbraw/zinc/00/20/80/1073002080.db2.gz XUPVLZCGCFXKHU-UHFFFAOYSA-N 0 0 429.477 -0.332 20 0 IBADRN O=C(Nc1ccc(Cn2cncn2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000616468915 1073001990 /nfs/dbraw/zinc/00/19/90/1073001990.db2.gz YVDVXZYHHGMWIY-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN CNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000616468922 1073001949 /nfs/dbraw/zinc/00/19/49/1073001949.db2.gz ZEMMQSSXEAZTAX-UHFFFAOYSA-N 0 0 429.477 -0.414 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc(OC)c1OC ZINC000616470029 1073002781 /nfs/dbraw/zinc/00/27/81/1073002781.db2.gz WYBGFWPUOVDLCP-UHFFFAOYSA-N 0 0 448.476 -0.056 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000616472610 1073000725 /nfs/dbraw/zinc/00/07/25/1073000725.db2.gz NHXCZXKHCLTTNN-UHFFFAOYSA-N 0 0 440.522 -0.063 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(NC(=O)COC)CC2)ccc1C ZINC000616472692 1073000746 /nfs/dbraw/zinc/00/07/46/1073000746.db2.gz RRJMPHRPZQSQEX-UHFFFAOYSA-N 0 0 426.495 -0.405 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000616472787 1073000757 /nfs/dbraw/zinc/00/07/57/1073000757.db2.gz XDUAGUPIJOTHHI-UHFFFAOYSA-N 0 0 438.506 -0.181 20 0 IBADRN O=C(Nc1ccccc1C(=O)N1CCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474125 1070908346 /nfs/dbraw/zinc/90/83/46/1070908346.db2.gz AMMDYEISCDTAOP-UHFFFAOYSA-N 0 0 441.488 -0.190 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000616474128 1070908538 /nfs/dbraw/zinc/90/85/38/1070908538.db2.gz AQOLAKHVOARHSG-UHFFFAOYSA-N 0 0 440.453 -0.883 20 0 IBADRN O=C(Nc1cc2c(cc1Cl)OCCO2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474129 1073001242 /nfs/dbraw/zinc/00/12/42/1073001242.db2.gz AVHXDBYJOAOWEK-UHFFFAOYSA-N 0 0 436.852 -0.001 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474134 1073001222 /nfs/dbraw/zinc/00/12/22/1073001222.db2.gz BGJDKCRDYPOITL-UHFFFAOYSA-N 0 0 436.490 -0.714 20 0 IBADRN O=C(Nc1ccc2c(c1)NC(=O)CS2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474139 1073001322 /nfs/dbraw/zinc/00/13/22/1073001322.db2.gz BNGJOAWMBKWLRI-UHFFFAOYSA-N 0 0 431.474 -0.381 20 0 IBADRN O=C(Nc1cc2c(cc1F)CCC(=O)N2)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474153 1073001298 /nfs/dbraw/zinc/00/12/98/1073001298.db2.gz CCNCRPZRXZEBKJ-UHFFFAOYSA-N 0 0 431.424 -0.402 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474157 1073001254 /nfs/dbraw/zinc/00/12/54/1073001254.db2.gz CIDHEZWWGJIOEY-UHFFFAOYSA-N 0 0 445.476 -0.715 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1Cl ZINC000616474171 1073001293 /nfs/dbraw/zinc/00/12/93/1073001293.db2.gz CKJCCNDMLQLZQB-UHFFFAOYSA-N 0 0 449.895 -0.070 20 0 IBADRN O=C(Nc1cccc(C(=O)NC2CC2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474172 1073001280 /nfs/dbraw/zinc/00/12/80/1073001280.db2.gz CLZMLEHTESUKKL-UHFFFAOYSA-N 0 0 427.461 -0.533 20 0 IBADRN Cc1cc(C)n(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cn2)n1 ZINC000616474176 1070908306 /nfs/dbraw/zinc/90/83/06/1070908306.db2.gz CUEDDJQNNKYXIF-UHFFFAOYSA-N 0 0 439.476 -0.228 20 0 IBADRN O=C(Nc1cccc(N2CCCC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474247 1070908528 /nfs/dbraw/zinc/90/85/28/1070908528.db2.gz GSNBWJGAKPSAHL-UHFFFAOYSA-N 0 0 427.461 -0.299 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000616474309 1073000664 /nfs/dbraw/zinc/00/06/64/1073000664.db2.gz JTKSDPIXIZHFHI-UHFFFAOYSA-N 0 0 429.477 -0.795 20 0 IBADRN O=C(Nc1cccc(Cl)c1-n1cncn1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474324 1073000735 /nfs/dbraw/zinc/00/07/35/1073000735.db2.gz KUWKVCCDSDUNAQ-UHFFFAOYSA-N 0 0 445.867 -0.191 20 0 IBADRN O=C(Nc1cccc(CN2CCCC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474384 1073001109 /nfs/dbraw/zinc/00/11/09/1073001109.db2.gz LFWJGNOVPBUAIJ-UHFFFAOYSA-N 0 0 441.488 -0.303 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000616474390 1073001207 /nfs/dbraw/zinc/00/12/07/1073001207.db2.gz MDJDZGLOSFQSFY-UHFFFAOYSA-N 0 0 445.476 -0.959 20 0 IBADRN O=C(Nc1ccc(-n2cccn2)c(F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474397 1073001309 /nfs/dbraw/zinc/00/13/09/1073001309.db2.gz MUBJQLPUNNTSTA-UHFFFAOYSA-N 0 0 428.424 -0.101 20 0 IBADRN O=C(Nc1ccc(N2CCCC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474447 1073000924 /nfs/dbraw/zinc/00/09/24/1073000924.db2.gz OUJDKCGGKKOPGO-UHFFFAOYSA-N 0 0 427.461 -0.299 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000616474452 1073000905 /nfs/dbraw/zinc/00/09/05/1073000905.db2.gz PAULOWHLGAJIHU-UHFFFAOYSA-N 0 0 432.477 -0.263 20 0 IBADRN COCCOc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1OC ZINC000616474475 1073001187 /nfs/dbraw/zinc/00/11/87/1073001187.db2.gz RFLICUOSJAKPGM-UHFFFAOYSA-N 0 0 448.476 -0.392 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000616474476 1073001128 /nfs/dbraw/zinc/00/11/28/1073001128.db2.gz RIIUDBSKCILLIH-UHFFFAOYSA-N 0 0 429.477 -0.286 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1Cl ZINC000616474479 1073001120 /nfs/dbraw/zinc/00/11/20/1073001120.db2.gz RJTDVCPHHGTJBS-UHFFFAOYSA-N 0 0 435.868 -0.413 20 0 IBADRN O=C(Nc1cccc(N2CCNC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474483 1073001268 /nfs/dbraw/zinc/00/12/68/1073001268.db2.gz RRLLWMNHKRTDMB-UHFFFAOYSA-N 0 0 428.449 -0.896 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000616474499 1073001196 /nfs/dbraw/zinc/00/11/96/1073001196.db2.gz UPPXEKNUYVIRBZ-UHFFFAOYSA-N 0 0 429.477 -0.367 20 0 IBADRN O=C(Nc1ccc(CN2CCCC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474512 1073001160 /nfs/dbraw/zinc/00/11/60/1073001160.db2.gz VRQLYEHBEZOHLA-UHFFFAOYSA-N 0 0 441.488 -0.303 20 0 IBADRN O=C(Nc1cccc(Cn2cncn2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474513 1073001139 /nfs/dbraw/zinc/00/11/39/1073001139.db2.gz VWMFOVFDEDUNSW-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN CC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)ccc21 ZINC000616474526 1073001231 /nfs/dbraw/zinc/00/12/31/1073001231.db2.gz WKRXLJRGLPBPDR-UHFFFAOYSA-N 0 0 427.461 -0.517 20 0 IBADRN O=C(Nc1cccc(N2CCOCC2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474527 1073001334 /nfs/dbraw/zinc/00/13/34/1073001334.db2.gz WQHACRTYXSCBRA-UHFFFAOYSA-N 0 0 429.477 -0.589 20 0 IBADRN O=C(Nc1ccc(C(=O)NC2CC2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616474532 1073001550 /nfs/dbraw/zinc/00/15/50/1073001550.db2.gz XAJPCYGSHKGLJA-UHFFFAOYSA-N 0 0 427.461 -0.533 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(C)c1 ZINC000616474578 1073001723 /nfs/dbraw/zinc/00/17/23/1073001723.db2.gz YQHNGRGRWMLPLY-UHFFFAOYSA-N 0 0 432.477 -0.092 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1Cl ZINC000616474590 1073001691 /nfs/dbraw/zinc/00/16/91/1073001691.db2.gz ZLEYEDHPYVFCGX-UHFFFAOYSA-N 0 0 435.868 -0.413 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc(OC)c1OC ZINC000616476122 1073001625 /nfs/dbraw/zinc/00/16/25/1073001625.db2.gz HHEFSUVJXUXMFR-UHFFFAOYSA-N 0 0 434.449 -0.400 20 0 IBADRN CCN(Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1)C(C)=O ZINC000616476127 1073001651 /nfs/dbraw/zinc/00/16/51/1073001651.db2.gz HQTVOAPLSOXVNO-UHFFFAOYSA-N 0 0 443.504 -0.057 20 0 IBADRN O=C(Nc1cccc(NC(=O)C2CC2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616476131 1073001701 /nfs/dbraw/zinc/00/17/01/1073001701.db2.gz IEVOUADPPNLFQL-UHFFFAOYSA-N 0 0 427.461 -0.077 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000616476132 1073001637 /nfs/dbraw/zinc/00/16/37/1073001637.db2.gz IMJYHMNTBBPFOQ-UHFFFAOYSA-N 0 0 429.477 -0.286 20 0 IBADRN Cc1ccc(C(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616476138 1073001558 /nfs/dbraw/zinc/00/15/58/1073001558.db2.gz KFURWZJUUWRVNB-UHFFFAOYSA-N 0 0 441.488 -0.225 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616476139 1073001588 /nfs/dbraw/zinc/00/15/88/1073001588.db2.gz KGOCSFUZCPHKCI-UHFFFAOYSA-N 0 0 431.449 -0.459 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616476143 1073001581 /nfs/dbraw/zinc/00/15/81/1073001581.db2.gz LGSUSDHGDICKFX-UHFFFAOYSA-N 0 0 443.504 -0.280 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCCC2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616476153 1073001713 /nfs/dbraw/zinc/00/17/13/1073001713.db2.gz METOQVRLRNSUFJ-UHFFFAOYSA-N 0 0 441.488 -0.190 20 0 IBADRN Cn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000616476193 1073001595 /nfs/dbraw/zinc/00/15/95/1073001595.db2.gz PRWWVKBJDBDIIF-UHFFFAOYSA-N 0 0 425.449 -0.630 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCCC2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000616476203 1073001530 /nfs/dbraw/zinc/00/15/30/1073001530.db2.gz QMEQMCVGLTYWOD-UHFFFAOYSA-N 0 0 441.488 -0.190 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000616476482 1070908291 /nfs/dbraw/zinc/90/82/91/1070908291.db2.gz RKPCLGWNQZLAQD-UHFFFAOYSA-N 0 0 436.490 -0.632 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000616476483 1073001575 /nfs/dbraw/zinc/00/15/75/1073001575.db2.gz RPUNTZZPMHHZDF-UHFFFAOYSA-N 0 0 445.476 -0.911 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000616476496 1073001665 /nfs/dbraw/zinc/00/16/65/1073001665.db2.gz UVDMFJWXQNKNFF-UHFFFAOYSA-N 0 0 445.476 -0.911 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1NC(C)=O ZINC000616476497 1070908230 /nfs/dbraw/zinc/90/82/30/1070908230.db2.gz UZLXHDIQJVXOIN-UHFFFAOYSA-N 0 0 431.449 -0.459 20 0 IBADRN CC(C)NC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000616476509 1073001566 /nfs/dbraw/zinc/00/15/66/1073001566.db2.gz WBBVLEACMDBZJB-UHFFFAOYSA-N 0 0 443.504 -0.358 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000616484352 1073001601 /nfs/dbraw/zinc/00/16/01/1073001601.db2.gz FLDNXVPSUMVDMK-HNNXBMFYSA-N 0 0 428.493 -0.279 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000616484353 1073001537 /nfs/dbraw/zinc/00/15/37/1073001537.db2.gz FLDNXVPSUMVDMK-OAHLLOKOSA-N 0 0 428.493 -0.279 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](C(=O)NCC3CC3)C2)c1 ZINC000616484370 1073001678 /nfs/dbraw/zinc/00/16/78/1073001678.db2.gz GLQRGBUMVXEIIE-HNNXBMFYSA-N 0 0 429.477 -0.395 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)NCC3CC3)C2)c1 ZINC000616484371 1073002051 /nfs/dbraw/zinc/00/20/51/1073002051.db2.gz GLQRGBUMVXEIIE-OAHLLOKOSA-N 0 0 429.477 -0.395 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H](C(=O)NCC4CC4)C3)cnc2n(C)c1=O ZINC000616484728 1073001999 /nfs/dbraw/zinc/00/19/99/1073001999.db2.gz WCTKKPDWQVLNHE-CYBMUJFWSA-N 0 0 442.476 -0.665 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H](C(=O)NCC4CC4)C3)cnc2n(C)c1=O ZINC000616484729 1073002086 /nfs/dbraw/zinc/00/20/86/1073002086.db2.gz WCTKKPDWQVLNHE-ZDUSSCGKSA-N 0 0 442.476 -0.665 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000616493157 1073002802 /nfs/dbraw/zinc/00/28/02/1073002802.db2.gz SGELJMHPCAWXKY-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCOCC1 ZINC000616493163 1073002734 /nfs/dbraw/zinc/00/27/34/1073002734.db2.gz UAIMTPXEWHFAQF-UHFFFAOYSA-N 0 0 427.479 -0.097 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)cn1 ZINC000616495676 1073002832 /nfs/dbraw/zinc/00/28/32/1073002832.db2.gz HRVOOLIMNCKZMX-UHFFFAOYSA-N 0 0 428.449 -0.578 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3)CC2)c1 ZINC000616495711 1070909087 /nfs/dbraw/zinc/90/90/87/1070909087.db2.gz KGKQDIHQJHHSCS-UHFFFAOYSA-N 0 0 437.456 -0.175 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000616544134 1073002906 /nfs/dbraw/zinc/00/29/06/1073002906.db2.gz IIZGQRUZWGTVSQ-UHFFFAOYSA-N 0 0 425.536 -0.174 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000616547641 1073003386 /nfs/dbraw/zinc/00/33/86/1073003386.db2.gz QTJVKUAYHNGROF-UHFFFAOYSA-N 0 0 428.449 -0.310 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1 ZINC000616558591 1073002754 /nfs/dbraw/zinc/00/27/54/1073002754.db2.gz SCXINTKNGCYLOP-UHFFFAOYSA-N 0 0 444.448 -0.691 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCNC(=O)C1 ZINC000616563069 1073002912 /nfs/dbraw/zinc/00/29/12/1073002912.db2.gz GBQGUOHEBISDAM-UHFFFAOYSA-N 0 0 430.870 -0.742 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCNC(=O)C1 ZINC000616563818 1073002742 /nfs/dbraw/zinc/00/27/42/1073002742.db2.gz GKVWFYBNGXPWQP-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(c3ccc(O)cc3)CC2)c1 ZINC000616652951 1073002769 /nfs/dbraw/zinc/00/27/69/1073002769.db2.gz JYGOLGMSIHYQPZ-UHFFFAOYSA-N 0 0 425.445 -0.105 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000616653277 1073002896 /nfs/dbraw/zinc/00/28/96/1073002896.db2.gz NUMNMWFREONRHT-UHFFFAOYSA-N 0 0 425.511 -0.368 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000616653341 1073002840 /nfs/dbraw/zinc/00/28/40/1073002840.db2.gz OGTMAGFRAMDXMM-UHFFFAOYSA-N 0 0 442.469 -0.524 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)CC1 ZINC000616654089 1070912204 /nfs/dbraw/zinc/91/22/04/1070912204.db2.gz ZCFUZHAGTCKUOU-UHFFFAOYSA-N 0 0 437.522 -0.748 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(c4ccc(O)cc4)CC3)cnc2n(C)c1=O ZINC000616666927 1073003161 /nfs/dbraw/zinc/00/31/61/1073003161.db2.gz PQXVJUYMYYSWAN-UHFFFAOYSA-N 0 0 438.444 -0.375 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000616697465 1073003408 /nfs/dbraw/zinc/00/34/08/1073003408.db2.gz LIZUOHDOGTXEEM-UHFFFAOYSA-N 0 0 429.495 -0.233 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000616697481 1073003357 /nfs/dbraw/zinc/00/33/57/1073003357.db2.gz NNGZCTUDILLUAD-UHFFFAOYSA-N 0 0 429.495 -0.233 20 0 IBADRN COCCN(CCOC)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000616697586 1070915029 /nfs/dbraw/zinc/91/50/29/1070915029.db2.gz ZNKNQPJOENDKCJ-UHFFFAOYSA-N 0 0 442.538 -0.317 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(C(=O)c4ccco4)CC3)cnc2n(C)c1=O ZINC000616699262 1073003339 /nfs/dbraw/zinc/00/33/39/1073003339.db2.gz BKXOBDRBUNJWDO-UHFFFAOYSA-N 0 0 440.416 -0.852 20 0 IBADRN CN(CCc1ccccn1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000616701194 1073003176 /nfs/dbraw/zinc/00/31/76/1073003176.db2.gz HDVJRGXFKZUPTC-UHFFFAOYSA-N 0 0 445.501 -0.158 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)OC ZINC000616702461 1073003287 /nfs/dbraw/zinc/00/32/87/1073003287.db2.gz NLEYQRBDVJXUGT-CYBMUJFWSA-N 0 0 431.467 -0.729 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC(C(N)=O)CC1 ZINC000616703491 1073003104 /nfs/dbraw/zinc/00/31/04/1073003104.db2.gz VTZFKDKFLCBNEV-UHFFFAOYSA-N 0 0 438.506 -0.322 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC(C(N)=O)CC1 ZINC000616703547 1073003373 /nfs/dbraw/zinc/00/33/73/1073003373.db2.gz XYODZFHHEGURQU-UHFFFAOYSA-N 0 0 425.511 -0.335 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C)CC1 ZINC000616719831 1073003229 /nfs/dbraw/zinc/00/32/29/1073003229.db2.gz VXYSUSQJWPNXGY-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CCN(CCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000616724003 1073003398 /nfs/dbraw/zinc/00/33/98/1073003398.db2.gz JOEDPXHIFXQMIP-UHFFFAOYSA-N 0 0 428.511 -0.589 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N(CC)CCO)cc1S(=O)(=O)N1CCOCC1 ZINC000616724010 1070916260 /nfs/dbraw/zinc/91/62/60/1070916260.db2.gz MHFAJJACORECDS-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000616725666 1073003780 /nfs/dbraw/zinc/00/37/80/1073003780.db2.gz LHHKYVOSPBBGQN-CQSZACIVSA-N 0 0 427.479 -0.362 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC[C@@H](O)C1 ZINC000616725768 1073003198 /nfs/dbraw/zinc/00/31/98/1073003198.db2.gz VTDCCQDQZUFQAW-CYBMUJFWSA-N 0 0 427.479 -0.362 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCC[C@@H](O)C1 ZINC000616726583 1073003148 /nfs/dbraw/zinc/00/31/48/1073003148.db2.gz SRGJFSUFBZCWAJ-CQSZACIVSA-N 0 0 440.522 -0.447 20 0 IBADRN CCCCN(CCO)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000616736077 1073003272 /nfs/dbraw/zinc/00/32/72/1073003272.db2.gz YZXVMUZQOIIQMH-UHFFFAOYSA-N 0 0 426.495 -0.633 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1 ZINC000616738685 1073003210 /nfs/dbraw/zinc/00/32/10/1073003210.db2.gz OYSRHMIVLTUYLR-UHFFFAOYSA-N 0 0 448.505 -0.543 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCOCC2)c1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000616778256 1073003644 /nfs/dbraw/zinc/00/36/44/1073003644.db2.gz ASYXBGJLIKPSKI-GOSISDBHSA-N 0 0 444.488 -0.053 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCOCC2)c1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000616778257 1073003695 /nfs/dbraw/zinc/00/36/95/1073003695.db2.gz ASYXBGJLIKPSKI-SFHVURJKSA-N 0 0 444.488 -0.053 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000616778299 1073003708 /nfs/dbraw/zinc/00/37/08/1073003708.db2.gz DOQBHXITJKSASX-INIZCTEOSA-N 0 0 438.506 -0.275 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000616778302 1073003758 /nfs/dbraw/zinc/00/37/58/1073003758.db2.gz DOQBHXITJKSASX-MRXNPFEDSA-N 0 0 438.506 -0.275 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000616778323 1073003611 /nfs/dbraw/zinc/00/36/11/1073003611.db2.gz DWIVVPXZKJVLMD-KRWDZBQOSA-N 0 0 432.477 -0.058 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000616778325 1073003812 /nfs/dbraw/zinc/00/38/12/1073003812.db2.gz DWIVVPXZKJVLMD-QGZVFWFLSA-N 0 0 432.477 -0.058 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000616778538 1073003768 /nfs/dbraw/zinc/00/37/68/1073003768.db2.gz JHERYIYKJZXCLX-GOSISDBHSA-N 0 0 444.488 -0.053 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)cc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000616778540 1070991497 /nfs/dbraw/zinc/99/14/97/1070991497.db2.gz JHERYIYKJZXCLX-SFHVURJKSA-N 0 0 444.488 -0.053 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1OCC(N)=O ZINC000616778833 1073003617 /nfs/dbraw/zinc/00/36/17/1073003617.db2.gz RQXRLDLXXUBADN-HNNXBMFYSA-N 0 0 434.449 -0.652 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1OCC(N)=O ZINC000616778834 1073003791 /nfs/dbraw/zinc/00/37/91/1073003791.db2.gz RQXRLDLXXUBADN-OAHLLOKOSA-N 0 0 434.449 -0.652 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000616778916 1073003701 /nfs/dbraw/zinc/00/37/01/1073003701.db2.gz RTFYEDXJVASGTL-KRWDZBQOSA-N 0 0 432.477 -0.010 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000616778919 1073003688 /nfs/dbraw/zinc/00/36/88/1073003688.db2.gz RTFYEDXJVASGTL-QGZVFWFLSA-N 0 0 432.477 -0.010 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)C(=O)N3CCN(C(=O)[C@@H]4CCCO4)CC3)c2)C1=O ZINC000616779605 1073003711 /nfs/dbraw/zinc/00/37/11/1073003711.db2.gz NNARFDSYOVEDIT-INIZCTEOSA-N 0 0 443.460 -0.127 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)C(=O)N3CCN(C(=O)[C@H]4CCCO4)CC3)c2)C1=O ZINC000616779609 1073003726 /nfs/dbraw/zinc/00/37/26/1073003726.db2.gz NNARFDSYOVEDIT-MRXNPFEDSA-N 0 0 443.460 -0.127 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c2ccccc2O1 ZINC000616779791 1073003652 /nfs/dbraw/zinc/00/36/52/1073003652.db2.gz RPBHKBGBFUEVCI-DLBZAZTESA-N 0 0 430.461 -0.624 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c2ccccc2O1 ZINC000616779792 1073003801 /nfs/dbraw/zinc/00/38/01/1073003801.db2.gz RPBHKBGBFUEVCI-IAGOWNOFSA-N 0 0 430.461 -0.624 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c2ccccc2O1 ZINC000616779793 1073004145 /nfs/dbraw/zinc/00/41/45/1073004145.db2.gz RPBHKBGBFUEVCI-IRXDYDNUSA-N 0 0 430.461 -0.624 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c2ccccc2O1 ZINC000616779794 1073004265 /nfs/dbraw/zinc/00/42/65/1073004265.db2.gz RPBHKBGBFUEVCI-SJORKVTESA-N 0 0 430.461 -0.624 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC(O)CC1 ZINC000616790931 1073004224 /nfs/dbraw/zinc/00/42/24/1073004224.db2.gz ZJPYJFNJRWHATE-UHFFFAOYSA-N 0 0 427.479 -0.362 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCC(O)CC1 ZINC000616791535 1073004230 /nfs/dbraw/zinc/00/42/30/1073004230.db2.gz XUAZJWOFKRPHQW-UHFFFAOYSA-N 0 0 440.522 -0.447 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000616804096 1073004300 /nfs/dbraw/zinc/00/43/00/1073004300.db2.gz GUWDOFBYVRRDBK-UHFFFAOYSA-N 0 0 443.504 -0.023 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000616804548 1071270660 /nfs/dbraw/zinc/27/06/60/1071270660.db2.gz BOPXKCLPUZFYQG-UHFFFAOYSA-N 0 0 438.506 -0.035 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)c3cccs3)CC2)c1 ZINC000616820241 1073005154 /nfs/dbraw/zinc/00/51/54/1073005154.db2.gz SAQUIOIMBLIZGP-UHFFFAOYSA-N 0 0 443.485 -0.114 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4ccncc4)CC3)cnc2n(C)c1=O ZINC000616822041 1073005049 /nfs/dbraw/zinc/00/50/49/1073005049.db2.gz UCIVZYKNUOSDGY-UHFFFAOYSA-N 0 0 437.460 -0.690 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC000616833506 1073004211 /nfs/dbraw/zinc/00/42/11/1073004211.db2.gz KNHIDFYGUYYGCZ-UHFFFAOYSA-N 0 0 427.483 -0.476 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)c1C ZINC000616835646 1073004091 /nfs/dbraw/zinc/00/40/91/1073004091.db2.gz FNRKEGATPUGESP-UHFFFAOYSA-N 0 0 441.492 -0.293 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000616839495 1073004559 /nfs/dbraw/zinc/00/45/59/1073004559.db2.gz AMHCNYCOEOHOAQ-UHFFFAOYSA-N 0 0 445.520 -0.234 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)CC1 ZINC000616839757 1071338505 /nfs/dbraw/zinc/33/85/05/1071338505.db2.gz MNFKIICFURNPHM-UHFFFAOYSA-N 0 0 433.509 -0.239 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000616839886 1073004611 /nfs/dbraw/zinc/00/46/11/1073004611.db2.gz OGPPNIWTBOQNHN-UHFFFAOYSA-N 0 0 445.520 -0.234 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000616839914 1073004601 /nfs/dbraw/zinc/00/46/01/1073004601.db2.gz QSKKUHFZAQHPTN-UHFFFAOYSA-N 0 0 443.504 -0.057 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000616839915 1071338020 /nfs/dbraw/zinc/33/80/20/1071338020.db2.gz QTIHBRVVXPCJPW-UHFFFAOYSA-N 0 0 445.520 -0.234 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1 ZINC000616839987 1073004568 /nfs/dbraw/zinc/00/45/68/1073004568.db2.gz XCLOETBYTSSDJA-UHFFFAOYSA-N 0 0 433.509 -0.191 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1 ZINC000616840001 1073004542 /nfs/dbraw/zinc/00/45/42/1073004542.db2.gz XNLXWYWNYHVQLU-UHFFFAOYSA-N 0 0 425.511 -0.798 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1OCC(N)=O ZINC000616840079 1071337826 /nfs/dbraw/zinc/33/78/26/1071337826.db2.gz ZDNAKHDOWUVZSZ-UHFFFAOYSA-N 0 0 435.481 -0.833 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000616840317 1071337837 /nfs/dbraw/zinc/33/78/37/1071337837.db2.gz BYBZEMOVWJNENB-UHFFFAOYSA-N 0 0 428.486 -0.164 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000616840322 1073004530 /nfs/dbraw/zinc/00/45/30/1073004530.db2.gz CIWWGVISHJCAQO-UHFFFAOYSA-N 0 0 440.504 -0.050 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000616840609 1073004633 /nfs/dbraw/zinc/00/46/33/1073004633.db2.gz LIPUSASPKBVNSS-UHFFFAOYSA-N 0 0 445.520 -0.141 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000616840634 1071338427 /nfs/dbraw/zinc/33/84/27/1071338427.db2.gz NPAHDMZUTVXOQL-UHFFFAOYSA-N 0 0 440.504 -0.101 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000616840689 1073004581 /nfs/dbraw/zinc/00/45/81/1073004581.db2.gz QBBZBDSMQWEVLB-UHFFFAOYSA-N 0 0 445.520 -0.093 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000616840739 1073004646 /nfs/dbraw/zinc/00/46/46/1073004646.db2.gz QVDKKEPZURPWTN-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000616840888 1073004693 /nfs/dbraw/zinc/00/46/93/1073004693.db2.gz SXGCRLCQONXJOG-UHFFFAOYSA-N 0 0 431.493 -0.240 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000616840897 1073004664 /nfs/dbraw/zinc/00/46/64/1073004664.db2.gz UJPPTPSDERGBDV-UHFFFAOYSA-N 0 0 433.509 -0.239 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000616840901 1073004656 /nfs/dbraw/zinc/00/46/56/1073004656.db2.gz UYVSGJRJSFIOIJ-UHFFFAOYSA-N 0 0 445.520 -0.093 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c2ccccc2O1 ZINC000616842198 1073004680 /nfs/dbraw/zinc/00/46/80/1073004680.db2.gz GMPMFJLFQOPKIA-GOSISDBHSA-N 0 0 443.504 -0.707 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c2ccccc2O1 ZINC000616842199 1073004702 /nfs/dbraw/zinc/00/47/02/1073004702.db2.gz GMPMFJLFQOPKIA-SFHVURJKSA-N 0 0 443.504 -0.707 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)ccc1-n1cnnn1 ZINC000616842227 1071338557 /nfs/dbraw/zinc/33/85/57/1071338557.db2.gz KSFKFTGGQKBDOT-UHFFFAOYSA-N 0 0 426.481 -0.324 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000616842249 1071338486 /nfs/dbraw/zinc/33/84/86/1071338486.db2.gz NDKCQAGUYLKLJO-UHFFFAOYSA-N 0 0 445.520 -0.141 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1OCC(N)=O ZINC000616842253 1073004595 /nfs/dbraw/zinc/00/45/95/1073004595.db2.gz NMMUQIVVVUDDPC-UHFFFAOYSA-N 0 0 447.492 -0.736 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(N3CCNC3=O)c2)CC1)N1CCCC1 ZINC000616842258 1073004555 /nfs/dbraw/zinc/00/45/55/1073004555.db2.gz OGEGKMLJPPWBRV-UHFFFAOYSA-N 0 0 428.493 -0.079 20 0 IBADRN NC(=O)CCOc1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000616842271 1073004637 /nfs/dbraw/zinc/00/46/37/1073004637.db2.gz PFMQWEKSTBNGJY-UHFFFAOYSA-N 0 0 431.493 -0.354 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC3=O)cc2)CC1)N1CCCC1 ZINC000616842276 1071338389 /nfs/dbraw/zinc/33/83/89/1071338389.db2.gz PZTSWPWVWIZNEN-UHFFFAOYSA-N 0 0 428.493 -0.079 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1-n1cnnn1 ZINC000616842287 1073004696 /nfs/dbraw/zinc/00/46/96/1073004696.db2.gz RNDHIVMQCJMINW-UHFFFAOYSA-N 0 0 426.481 -0.324 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cccc1-n1cnnn1 ZINC000616842338 1071338441 /nfs/dbraw/zinc/33/84/41/1071338441.db2.gz ZQPDDQJKPNJTFD-UHFFFAOYSA-N 0 0 426.481 -0.324 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(Cc4cccs4)CC3)cnc2n(C)c1=O ZINC000616845455 1073004622 /nfs/dbraw/zinc/00/46/22/1073004622.db2.gz UQOIBIVXAFXXBP-UHFFFAOYSA-N 0 0 442.501 -0.023 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000616864081 1073004958 /nfs/dbraw/zinc/00/49/58/1073004958.db2.gz HJPLPLZXGOMQKB-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC(=O)NC(C)C ZINC000616864274 1073005105 /nfs/dbraw/zinc/00/51/05/1073005105.db2.gz WURMMLBDYZULST-UHFFFAOYSA-N 0 0 440.522 -0.063 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000616865831 1073005166 /nfs/dbraw/zinc/00/51/66/1073005166.db2.gz DFRKPPPTMHWDGK-UHFFFAOYSA-N 0 0 427.527 -0.076 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000616865885 1073005115 /nfs/dbraw/zinc/00/51/15/1073005115.db2.gz OEZSPBJXHRWMEO-UHFFFAOYSA-N 0 0 444.485 -0.232 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616865895 1073004944 /nfs/dbraw/zinc/00/49/44/1073004944.db2.gz QWJSAWFOSRWNFY-KRWDZBQOSA-N 0 0 432.477 -0.378 20 0 IBADRN CC(C)NC(=O)CN(C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000616865896 1073005014 /nfs/dbraw/zinc/00/50/14/1073005014.db2.gz QWJSAWFOSRWNFY-QGZVFWFLSA-N 0 0 432.477 -0.378 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)NC(C)C)cc1 ZINC000616865902 1073005605 /nfs/dbraw/zinc/00/56/05/1073005605.db2.gz RSQDEPJSTCPTBG-CYBMUJFWSA-N 0 0 428.511 -0.079 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)NC(C)C)cc1 ZINC000616865903 1073005598 /nfs/dbraw/zinc/00/55/98/1073005598.db2.gz RSQDEPJSTCPTBG-ZDUSSCGKSA-N 0 0 428.511 -0.079 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)no1 ZINC000616874536 1073005197 /nfs/dbraw/zinc/00/51/97/1073005197.db2.gz YRNKZVUAMOJJMJ-UHFFFAOYSA-N 0 0 441.448 -0.788 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000616882770 1073005215 /nfs/dbraw/zinc/00/52/15/1073005215.db2.gz BCUKYKRTYZVUCR-UHFFFAOYSA-N 0 0 437.522 -0.702 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1)NC1CC1 ZINC000616883282 1073005501 /nfs/dbraw/zinc/00/55/01/1073005501.db2.gz UBBZTTHJQGGUSB-UHFFFAOYSA-N 0 0 449.533 -0.412 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000616884308 1073005644 /nfs/dbraw/zinc/00/56/44/1073005644.db2.gz AWRWJVYNRVXHBB-UHFFFAOYSA-N 0 0 431.493 -0.242 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1)NC1CC1 ZINC000616884345 1073005510 /nfs/dbraw/zinc/00/55/10/1073005510.db2.gz FTZRBILFKNLTPC-UHFFFAOYSA-N 0 0 441.488 -0.303 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1)NC1CC1 ZINC000616884355 1073005661 /nfs/dbraw/zinc/00/56/61/1073005661.db2.gz GNZUTBXRGAUDFQ-UHFFFAOYSA-N 0 0 438.488 -0.347 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000616884367 1073005621 /nfs/dbraw/zinc/00/56/21/1073005621.db2.gz HVBPTFKPQUQTDH-UHFFFAOYSA-N 0 0 426.470 -0.410 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1)NC1CC1 ZINC000616884388 1073005631 /nfs/dbraw/zinc/00/56/31/1073005631.db2.gz JEFPGSVTDFHTOU-UHFFFAOYSA-N 0 0 449.533 -0.412 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC000616884411 1073005591 /nfs/dbraw/zinc/00/55/91/1073005591.db2.gz LETSYIWJIVMZSW-UHFFFAOYSA-N 0 0 431.493 -0.437 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC000616884446 1073005615 /nfs/dbraw/zinc/00/56/15/1073005615.db2.gz NXJHNSVRDBEAMZ-UHFFFAOYSA-N 0 0 431.493 -0.485 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)c2)C1=O ZINC000616884475 1073005565 /nfs/dbraw/zinc/00/55/65/1073005565.db2.gz PYWJLLAILCYUAJ-UHFFFAOYSA-N 0 0 442.476 -0.554 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1)NC1CC1 ZINC000616884520 1073005572 /nfs/dbraw/zinc/00/55/72/1073005572.db2.gz SWNKOUXSWOFUAV-UHFFFAOYSA-N 0 0 438.488 -0.296 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1)NC1CC1 ZINC000616884525 1073005521 /nfs/dbraw/zinc/00/55/21/1073005521.db2.gz UJDKNYOHLQVFDE-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1)NC1CC1 ZINC000616884541 1073005577 /nfs/dbraw/zinc/00/55/77/1073005577.db2.gz VOAPMWZCCGTQEU-UHFFFAOYSA-N 0 0 449.533 -0.511 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(=O)n(-c2ccccc2)n1C ZINC000616884557 1073005667 /nfs/dbraw/zinc/00/56/67/1073005667.db2.gz WRLTUPFTQGJTEG-UHFFFAOYSA-N 0 0 440.504 -0.154 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1)NC1CC1 ZINC000616884572 1073005653 /nfs/dbraw/zinc/00/56/53/1073005653.db2.gz YJCQVAROUOKNNK-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000616932772 1073006548 /nfs/dbraw/zinc/00/65/48/1073006548.db2.gz CMNSRZQCZWKINI-UHFFFAOYSA-N 0 0 437.522 -0.884 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000616932989 1073006618 /nfs/dbraw/zinc/00/66/18/1073006618.db2.gz YAXUZDVNAJHDNS-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000616932992 1073006581 /nfs/dbraw/zinc/00/65/81/1073006581.db2.gz YDNRMQCUQUPZID-UHFFFAOYSA-N 0 0 444.535 -0.487 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000616933001 1073006685 /nfs/dbraw/zinc/00/66/85/1073006685.db2.gz YOMCYGUBNWILGR-UHFFFAOYSA-N 0 0 430.508 -0.731 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000616934125 1073006484 /nfs/dbraw/zinc/00/64/84/1073006484.db2.gz AAYUMXUPQPMXBF-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000616934161 1073006474 /nfs/dbraw/zinc/00/64/74/1073006474.db2.gz DMJAZLBFNQIHGF-UHFFFAOYSA-N 0 0 432.524 -0.712 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000616934305 1073006498 /nfs/dbraw/zinc/00/64/98/1073006498.db2.gz HYKRKGOYQPNIMT-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000616934311 1073006664 /nfs/dbraw/zinc/00/66/64/1073006664.db2.gz IMSIJCTUSDUIQA-UHFFFAOYSA-N 0 0 446.551 -0.242 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000616934396 1073006608 /nfs/dbraw/zinc/00/66/08/1073006608.db2.gz JRHHLSNFVGSGMJ-UHFFFAOYSA-N 0 0 431.493 -0.354 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000616934467 1073006655 /nfs/dbraw/zinc/00/66/55/1073006655.db2.gz QIIXWMNGTGPSLC-UHFFFAOYSA-N 0 0 430.508 -0.731 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1Cl ZINC000616934498 1073006503 /nfs/dbraw/zinc/00/65/03/1073006503.db2.gz UHXPOBMJRQZIBV-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)CC1 ZINC000616934506 1073006694 /nfs/dbraw/zinc/00/66/94/1073006694.db2.gz VBJIHSHDVYUHCF-UHFFFAOYSA-N 0 0 448.498 -0.592 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cc1 ZINC000616935644 1073006593 /nfs/dbraw/zinc/00/65/93/1073006593.db2.gz INHLJLWOSYDHLE-UHFFFAOYSA-N 0 0 431.493 -0.354 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)cn1 ZINC000616935726 1073006491 /nfs/dbraw/zinc/00/64/91/1073006491.db2.gz JTPMIDYMGUBLDL-UHFFFAOYSA-N 0 0 437.522 -0.420 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1)N1CCCCC1 ZINC000616935869 1073006567 /nfs/dbraw/zinc/00/65/67/1073006567.db2.gz OQIIFMZAYKRMPT-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1)N1CCCCC1 ZINC000616936088 1073006642 /nfs/dbraw/zinc/00/66/42/1073006642.db2.gz ZUKWHTBNCMBAAG-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCCC3=O)c2)CC1 ZINC000616940138 1073007098 /nfs/dbraw/zinc/00/70/98/1073007098.db2.gz KJGQYBIDHGSIIA-UHFFFAOYSA-N 0 0 443.504 -0.057 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000616940519 1073007063 /nfs/dbraw/zinc/00/70/63/1073007063.db2.gz MLHMTNNGEBEVMY-UHFFFAOYSA-N 0 0 434.493 -0.017 20 0 IBADRN Cc1nc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2o1 ZINC000616941407 1073006003 /nfs/dbraw/zinc/00/60/03/1073006003.db2.gz FSAFWGBWFQXUET-UHFFFAOYSA-N 0 0 429.433 -0.396 20 0 IBADRN O=C(Nc1ccc(Cl)c(F)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941417 1073006535 /nfs/dbraw/zinc/00/65/35/1073006535.db2.gz GFQPSRLRBFPHPL-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN O=C(Nc1ccc(F)cc1Cl)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941434 1073006630 /nfs/dbraw/zinc/00/66/30/1073006630.db2.gz HMIJKDWHSUZDQD-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN O=C(Nc1ccc(F)c(Cl)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941456 1073007032 /nfs/dbraw/zinc/00/70/32/1073007032.db2.gz JLOSSYGCNVWYRD-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1Cl ZINC000616941457 1073006991 /nfs/dbraw/zinc/00/69/91/1073006991.db2.gz JOZFJLARWQPRGN-UHFFFAOYSA-N 0 0 438.868 -0.183 20 0 IBADRN O=C(Nc1ccc(Cl)cc1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941467 1073007000 /nfs/dbraw/zinc/00/70/00/1073007000.db2.gz KKPKRUDYLAEJDF-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN O=C(Nc1ccc(-n2cccn2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941468 1073007074 /nfs/dbraw/zinc/00/70/74/1073007074.db2.gz KMIUDJXABNXPIZ-UHFFFAOYSA-N 0 0 440.460 -0.660 20 0 IBADRN O=C(Nc1ccc2c(c1)CCC(=O)N2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941472 1073006982 /nfs/dbraw/zinc/00/69/82/1073006982.db2.gz KXRLRPDTIMEYGK-UHFFFAOYSA-N 0 0 443.460 -0.961 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000616941488 1073007052 /nfs/dbraw/zinc/00/70/52/1073007052.db2.gz MCOKZBBOQAQSMB-UHFFFAOYSA-N 0 0 445.476 -0.578 20 0 IBADRN O=C(Nc1ccc2ncccc2c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941491 1073006907 /nfs/dbraw/zinc/00/69/07/1073006907.db2.gz MGWZOGQLMOVBFR-UHFFFAOYSA-N 0 0 425.445 -0.297 20 0 IBADRN COc1ccc(OC)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000616941527 1073006916 /nfs/dbraw/zinc/00/69/16/1073006916.db2.gz PJWZFJNXKUNRLB-UHFFFAOYSA-N 0 0 434.449 -0.828 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1C ZINC000616941550 1073005869 /nfs/dbraw/zinc/00/58/69/1073005869.db2.gz RPPGTDHUPBRBCM-UHFFFAOYSA-N 0 0 445.476 -0.578 20 0 IBADRN O=C(Nc1ccc(OC(F)F)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941559 1073005975 /nfs/dbraw/zinc/00/59/75/1073005975.db2.gz SKPMCZMQWUSSOA-UHFFFAOYSA-N 0 0 440.403 -0.244 20 0 IBADRN O=C(Nc1cccc(-c2nnco2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941581 1073005992 /nfs/dbraw/zinc/00/59/92/1073005992.db2.gz UVEPLSVBBFGSED-UHFFFAOYSA-N 0 0 442.432 -0.795 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000616941589 1073006190 /nfs/dbraw/zinc/00/61/90/1073006190.db2.gz VQMXUNUXUIWBQX-UHFFFAOYSA-N 0 0 434.449 -0.828 20 0 IBADRN COc1ccc(Cl)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941591 1073005984 /nfs/dbraw/zinc/00/59/84/1073005984.db2.gz VVZJWMBYOMDVIG-UHFFFAOYSA-N 0 0 438.868 -0.183 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000616941606 1073005893 /nfs/dbraw/zinc/00/58/93/1073005893.db2.gz XKUPBVPSRWLVEG-UHFFFAOYSA-N 0 0 431.449 -0.887 20 0 IBADRN O=C(Nc1ccc(F)c(F)c1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941618 1073006041 /nfs/dbraw/zinc/00/60/41/1073006041.db2.gz YCTMYXBWXZUHRS-UHFFFAOYSA-N 0 0 428.367 -0.428 20 0 IBADRN Cc1cc(N(C)C)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616941638 1073007111 /nfs/dbraw/zinc/00/71/11/1073007111.db2.gz ZMASKXXOSYJFIZ-UHFFFAOYSA-N 0 0 431.493 -0.471 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000616941639 1073007040 /nfs/dbraw/zinc/00/70/40/1073007040.db2.gz ZNSXWGONBHKNJS-UHFFFAOYSA-N 0 0 432.477 -0.058 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000616942362 1073007421 /nfs/dbraw/zinc/00/74/21/1073007421.db2.gz BCWGBKHFTWUOKB-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN O=C(Nc1ccccc1N1CCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616942368 1073007341 /nfs/dbraw/zinc/00/73/41/1073007341.db2.gz BJVRAVYYNKYSOY-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1F ZINC000616942383 1073007377 /nfs/dbraw/zinc/00/73/77/1073007377.db2.gz CTLDXAXPSHLOQU-UHFFFAOYSA-N 0 0 436.440 -0.308 20 0 IBADRN CC(=O)Nc1ccc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000616942389 1073007373 /nfs/dbraw/zinc/00/73/73/1073007373.db2.gz DCWLOBZEVFNIJQ-UHFFFAOYSA-N 0 0 445.476 -0.578 20 0 IBADRN O=C(Nc1cccc2cccnc21)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616942417 1073007731 /nfs/dbraw/zinc/00/77/31/1073007731.db2.gz GLPJJFLBBCTSAS-UHFFFAOYSA-N 0 0 425.445 -0.297 20 0 IBADRN CCN(CC)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000616942490 1073007753 /nfs/dbraw/zinc/00/77/53/1073007753.db2.gz ITNFJUPJYQQWSN-UHFFFAOYSA-N 0 0 446.508 -0.604 20 0 IBADRN CCCOc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616942499 1073007314 /nfs/dbraw/zinc/00/73/14/1073007314.db2.gz JKINVAJYUJMSIN-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN CC(C)Oc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616942519 1073007428 /nfs/dbraw/zinc/00/74/28/1073007428.db2.gz KZBKHXXFWOTDNO-UHFFFAOYSA-N 0 0 432.477 -0.058 20 0 IBADRN CCOc1cc(F)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616942534 1073007381 /nfs/dbraw/zinc/00/73/81/1073007381.db2.gz LSRAPIAGAXFPHB-UHFFFAOYSA-N 0 0 436.440 -0.308 20 0 IBADRN O=C(Nc1cnc2ccccc2c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616942552 1073007337 /nfs/dbraw/zinc/00/73/37/1073007337.db2.gz MGTXNGZPZWVBND-UHFFFAOYSA-N 0 0 425.445 -0.297 20 0 IBADRN O=C(Nc1cccc(Cl)c1F)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616942562 1073007334 /nfs/dbraw/zinc/00/73/34/1073007334.db2.gz NGLLODOQNFLEHN-UHFFFAOYSA-N 0 0 426.832 -0.053 20 0 IBADRN COc1c(C)ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C ZINC000616942582 1073007359 /nfs/dbraw/zinc/00/73/59/1073007359.db2.gz PGLYSVFHGTYLJO-UHFFFAOYSA-N 0 0 432.477 -0.220 20 0 IBADRN O=C(Nc1ccc2c(c1)OCCCO2)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000616942596 1073007417 /nfs/dbraw/zinc/00/74/17/1073007417.db2.gz QERNPOVPYURAMX-UHFFFAOYSA-N 0 0 446.460 -0.684 20 0 IBADRN CC(C)(C)c1nnc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000616942648 1074356600 /nfs/dbraw/zinc/35/66/00/1074356600.db2.gz ULUKSRDCRAFOEK-UHFFFAOYSA-N 0 0 438.510 -0.696 20 0 IBADRN COCCOc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000616942660 1073007387 /nfs/dbraw/zinc/00/73/87/1073007387.db2.gz VOOIAEUOPKAOSV-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000616952876 1073007823 /nfs/dbraw/zinc/00/78/23/1073007823.db2.gz OJOYFZLRLRZKPW-UHFFFAOYSA-N 0 0 431.493 -0.023 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)on1 ZINC000616952936 1073007651 /nfs/dbraw/zinc/00/76/51/1073007651.db2.gz VFTIZNYXUGYUPW-UHFFFAOYSA-N 0 0 427.465 -0.403 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000616953643 1073007764 /nfs/dbraw/zinc/00/77/64/1073007764.db2.gz ALSIHROHHOTBCG-IBGZPJMESA-N 0 0 444.488 -0.280 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000616953644 1073007740 /nfs/dbraw/zinc/00/77/40/1073007740.db2.gz ALSIHROHHOTBCG-LJQANCHMSA-N 0 0 444.488 -0.280 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000616953731 1073007797 /nfs/dbraw/zinc/00/77/97/1073007797.db2.gz KWKJGSAXECLEAA-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000616953822 1073007783 /nfs/dbraw/zinc/00/77/83/1073007783.db2.gz VURGYACRRXLUQQ-UHFFFAOYSA-N 0 0 438.506 -0.273 20 0 IBADRN CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000616956422 1073006946 /nfs/dbraw/zinc/00/69/46/1073006946.db2.gz FAGVBHGXMZDHJF-UHFFFAOYSA-N 0 0 429.521 -0.074 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)c3cccn3C)CC2)c2ccccc2O1 ZINC000616962745 1073006939 /nfs/dbraw/zinc/00/69/39/1073006939.db2.gz FZPPIDGFFXMTAL-GOSISDBHSA-N 0 0 439.472 -0.150 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)c3cccn3C)CC2)c2ccccc2O1 ZINC000616962753 1073006895 /nfs/dbraw/zinc/00/68/95/1073006895.db2.gz FZPPIDGFFXMTAL-SFHVURJKSA-N 0 0 439.472 -0.150 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccn3C)CC2)cc1OCC(N)=O ZINC000616962842 1073007020 /nfs/dbraw/zinc/00/70/20/1073007020.db2.gz HIPOALVEOQJLII-UHFFFAOYSA-N 0 0 443.460 -0.179 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000616963196 1073006967 /nfs/dbraw/zinc/00/69/67/1073006967.db2.gz NYWXOCPOOSDNOR-UHFFFAOYSA-N 0 0 439.476 -0.721 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(-n4cncn4)nc3)CC2)no1 ZINC000616968983 1073007009 /nfs/dbraw/zinc/00/70/09/1073007009.db2.gz BAEWNMLAEFXDKC-UHFFFAOYSA-N 0 0 439.436 -0.320 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3cccc(-n4cnnn4)c3)CC2)no1 ZINC000616969209 1073007322 /nfs/dbraw/zinc/00/73/22/1073007322.db2.gz JKTSBDFNLVDZMA-UHFFFAOYSA-N 0 0 439.436 -0.320 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(F)c(C(N)=O)c3)CC2)no1 ZINC000616969337 1073007436 /nfs/dbraw/zinc/00/74/36/1073007436.db2.gz MVQJSPWDYJOYMQ-UHFFFAOYSA-N 0 0 432.412 -0.058 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)cc1 ZINC000616969390 1073313445 /nfs/dbraw/zinc/31/34/45/1073313445.db2.gz QBXXQMMUSRDYPM-UHFFFAOYSA-N 0 0 442.476 -0.007 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(-n4cnnn4)cc3)CC2)no1 ZINC000616969514 1073006926 /nfs/dbraw/zinc/00/69/26/1073006926.db2.gz STQNOTVWVKMVGJ-UHFFFAOYSA-N 0 0 439.436 -0.320 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000616975501 1073007806 /nfs/dbraw/zinc/00/78/06/1073007806.db2.gz BWELWBXLPZWXCT-UHFFFAOYSA-N 0 0 446.551 -0.276 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)c1 ZINC000616976076 1073008244 /nfs/dbraw/zinc/00/82/44/1073008244.db2.gz PLTZQMZDKPNVRJ-UHFFFAOYSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)cc1 ZINC000616976301 1073008211 /nfs/dbraw/zinc/00/82/11/1073008211.db2.gz WYDYBKAZXJHOLO-UHFFFAOYSA-N 0 0 426.495 -0.320 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000616977096 1073008063 /nfs/dbraw/zinc/00/80/63/1073008063.db2.gz OZMLVYLXYDINJK-UHFFFAOYSA-N 0 0 444.535 -0.394 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)c1 ZINC000616977131 1073008034 /nfs/dbraw/zinc/00/80/34/1073008034.db2.gz QVNKBWMWNKDTPR-UHFFFAOYSA-N 0 0 439.523 -0.137 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)cc1 ZINC000616977172 1071408530 /nfs/dbraw/zinc/40/85/30/1071408530.db2.gz UQLULSRHNOONHL-UHFFFAOYSA-N 0 0 446.551 -0.148 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)ccc1C ZINC000616977206 1073008136 /nfs/dbraw/zinc/00/81/36/1073008136.db2.gz XSDPIVPBVIMANQ-UHFFFAOYSA-N 0 0 432.524 -0.618 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000616982654 1073007693 /nfs/dbraw/zinc/00/76/93/1073007693.db2.gz NZDKWQVDKZXKOC-GFCCVEGCSA-N 0 0 427.508 -0.234 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000616982655 1073007817 /nfs/dbraw/zinc/00/78/17/1073007817.db2.gz NZDKWQVDKZXKOC-LBPRGKRZSA-N 0 0 427.508 -0.234 20 0 IBADRN O=C(c1cn[nH]n1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000616987835 1073007705 /nfs/dbraw/zinc/00/77/05/1073007705.db2.gz SJUGBDUYTNHASO-UHFFFAOYSA-N 0 0 426.842 -0.064 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccc(F)c(F)c3)CC2)CC1 ZINC000617008309 1073008050 /nfs/dbraw/zinc/00/80/50/1073008050.db2.gz JONOERRRFWDWPJ-UHFFFAOYSA-N 0 0 445.492 -0.669 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000617015597 1073008087 /nfs/dbraw/zinc/00/80/87/1073008087.db2.gz QTKSSWCKQSQDHI-DEXQXJORSA-N 0 0 446.599 -0.705 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000617015602 1073008167 /nfs/dbraw/zinc/00/81/67/1073008167.db2.gz QTKSSWCKQSQDHI-HJWYETAXSA-N 0 0 446.599 -0.705 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000617015603 1073008144 /nfs/dbraw/zinc/00/81/44/1073008144.db2.gz QTKSSWCKQSQDHI-OLRZCDJHSA-N 0 0 446.599 -0.705 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000617015605 1073008124 /nfs/dbraw/zinc/00/81/24/1073008124.db2.gz QTKSSWCKQSQDHI-WVDZOPJMSA-N 0 0 446.599 -0.705 20 0 IBADRN CCNC(=O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000617028990 1073008257 /nfs/dbraw/zinc/00/82/57/1073008257.db2.gz PPJHVPPJYMPQAC-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(C(=O)Nc3nncs3)CC1)c(=O)n2C ZINC000617070495 1073008548 /nfs/dbraw/zinc/00/85/48/1073008548.db2.gz YVBQTNHFJPSVIS-UHFFFAOYSA-N 0 0 432.466 -0.838 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)OCO2 ZINC000617070573 1073008656 /nfs/dbraw/zinc/00/86/56/1073008656.db2.gz QCWYZMSQTKMLPM-UHFFFAOYSA-N 0 0 442.450 -0.909 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC(NS(C)(=O)=O)CC1)OCO2 ZINC000617070579 1073008483 /nfs/dbraw/zinc/00/84/83/1073008483.db2.gz WJUXHJONXZLNBB-UHFFFAOYSA-N 0 0 427.435 -0.319 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCC(C(=O)Nc4nncs4)CC3)cnc2n(C)c1=O ZINC000617070637 1073008671 /nfs/dbraw/zinc/00/86/71/1073008671.db2.gz GIISNQLHBQKPJX-UHFFFAOYSA-N 0 0 429.462 -0.025 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1)OCO2 ZINC000617070797 1073008757 /nfs/dbraw/zinc/00/87/57/1073008757.db2.gz BJUPELZZTTUPRU-JTQLQIEISA-N 0 0 427.435 -0.319 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1)OCO2 ZINC000617070798 1073008608 /nfs/dbraw/zinc/00/86/08/1073008608.db2.gz BJUPELZZTTUPRU-SNVBAGLBSA-N 0 0 427.435 -0.319 20 0 IBADRN CNC(=O)CCNC(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000617072479 1073008497 /nfs/dbraw/zinc/00/84/97/1073008497.db2.gz ZXNJPWLCOWCIOU-UHFFFAOYSA-N 0 0 442.476 -0.693 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NCCCN1CCCS1(=O)=O)OCO2 ZINC000617073928 1073008512 /nfs/dbraw/zinc/00/85/12/1073008512.db2.gz BKFKMRBTSKTBSS-UHFFFAOYSA-N 0 0 427.435 -0.318 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)N(C)CCN1CCCS1(=O)=O)OCO2 ZINC000617080054 1073008536 /nfs/dbraw/zinc/00/85/36/1073008536.db2.gz BNHDUUINZLBWLL-UHFFFAOYSA-N 0 0 427.435 -0.366 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@H]1CCC[C@@]13NC(=O)N(C)C3=O)OCO2 ZINC000617080057 1073008742 /nfs/dbraw/zinc/00/87/42/1073008742.db2.gz BZWCWKVLFLRRBN-CFMSYZGJSA-N 0 0 446.416 -0.023 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@@H]1CCC[C@]13NC(=O)N(C)C3=O)OCO2 ZINC000617080060 1073008708 /nfs/dbraw/zinc/00/87/08/1073008708.db2.gz BZWCWKVLFLRRBN-FVINQWEUSA-N 0 0 446.416 -0.023 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@H]1CCC[C@]13NC(=O)N(C)C3=O)OCO2 ZINC000617080061 1073008474 /nfs/dbraw/zinc/00/84/74/1073008474.db2.gz BZWCWKVLFLRRBN-SBKAZYGRSA-N 0 0 446.416 -0.023 20 0 IBADRN COC(=O)c1cc2c(cc1NC(=O)C(=O)NC[C@@H]1CCC[C@@]13NC(=O)N(C)C3=O)OCO2 ZINC000617080062 1073008562 /nfs/dbraw/zinc/00/85/62/1073008562.db2.gz BZWCWKVLFLRRBN-WVDJIFEKSA-N 0 0 446.416 -0.023 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc4c(cc3C(=O)OC)OCO4)CCN2C1=O ZINC000617086200 1073008677 /nfs/dbraw/zinc/00/86/77/1073008677.db2.gz GLPYJWWKYJBZHY-GFCCVEGCSA-N 0 0 432.389 -0.365 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc4c(cc3C(=O)OC)OCO4)CCN2C1=O ZINC000617086201 1073008622 /nfs/dbraw/zinc/00/86/22/1073008622.db2.gz GLPYJWWKYJBZHY-LBPRGKRZSA-N 0 0 432.389 -0.365 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCCS(C)(=O)=O)c2)CC1 ZINC000617086517 1073008725 /nfs/dbraw/zinc/00/87/25/1073008725.db2.gz ATHFOIZUSRJKGM-UHFFFAOYSA-N 0 0 431.536 -0.296 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCCS(C)(=O)=O)c1 ZINC000617087177 1073008694 /nfs/dbraw/zinc/00/86/94/1073008694.db2.gz FGPHNTJZJJCRRC-UHFFFAOYSA-N 0 0 433.552 -0.002 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCCS(C)(=O)=O)cc2)CC1 ZINC000617087230 1073009212 /nfs/dbraw/zinc/00/92/12/1073009212.db2.gz SNQWRVHETIEICQ-UHFFFAOYSA-N 0 0 431.536 -0.296 20 0 IBADRN CS(=O)(=O)CCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000617088177 1073009017 /nfs/dbraw/zinc/00/90/17/1073009017.db2.gz SVAOOIOHJGTABW-UHFFFAOYSA-N 0 0 445.563 -0.149 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCCS(C)(=O)=O)c1 ZINC000617088402 1073009200 /nfs/dbraw/zinc/00/92/00/1073009200.db2.gz SXVXQUOKFWIIIN-UHFFFAOYSA-N 0 0 433.552 -0.062 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C)[nH]c2C(=O)OC)C1 ZINC000617091849 1073009166 /nfs/dbraw/zinc/00/91/66/1073009166.db2.gz CQAZKJDHQCETBV-CYBMUJFWSA-N 0 0 444.510 -0.053 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cc(C)[nH]c2C(=O)OC)C1 ZINC000617091850 1073008997 /nfs/dbraw/zinc/00/89/97/1073008997.db2.gz CQAZKJDHQCETBV-ZDUSSCGKSA-N 0 0 444.510 -0.053 20 0 IBADRN CC1CCN(C(=O)C2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)CC1 ZINC000617115558 1073009088 /nfs/dbraw/zinc/00/90/88/1073009088.db2.gz IJAOIMWSTLKYST-UHFFFAOYSA-N 0 0 430.509 -0.069 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000617119028 1073009008 /nfs/dbraw/zinc/00/90/08/1073009008.db2.gz DTSBMDSABTUURG-UHFFFAOYSA-N 0 0 436.490 -0.914 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000617119031 1073009120 /nfs/dbraw/zinc/00/91/20/1073009120.db2.gz FFOZZNUAGAEZDZ-UHFFFAOYSA-N 0 0 433.465 -0.939 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119171 1073009037 /nfs/dbraw/zinc/00/90/37/1073009037.db2.gz QITGCKFRDYHLCD-UHFFFAOYSA-N 0 0 430.461 -0.413 20 0 IBADRN O=C(CCn1cnc2ccccc2c1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119172 1073009134 /nfs/dbraw/zinc/00/91/34/1073009134.db2.gz QIZYRPWGJITMCA-UHFFFAOYSA-N 0 0 425.445 -0.394 20 0 IBADRN O=C(CSc1nnnn1C1CCCC1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119174 1073009148 /nfs/dbraw/zinc/00/91/48/1073009148.db2.gz QSOHHNFGYZXIEE-UHFFFAOYSA-N 0 0 435.510 -0.300 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119178 1073009028 /nfs/dbraw/zinc/00/90/28/1073009028.db2.gz RAFUAKBKBKKVQP-UHFFFAOYSA-N 0 0 440.456 -0.117 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119182 1073009105 /nfs/dbraw/zinc/00/91/05/1073009105.db2.gz RLVHJSCJZXDXFJ-UHFFFAOYSA-N 0 0 433.465 -0.939 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000617119196 1073008974 /nfs/dbraw/zinc/00/89/74/1073008974.db2.gz SFHYQJZJRAIKFA-UHFFFAOYSA-N 0 0 436.490 -0.663 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119197 1073009179 /nfs/dbraw/zinc/00/91/79/1073009179.db2.gz SIWHNWJMXCIPKF-UHFFFAOYSA-N 0 0 433.490 -0.368 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119207 1073009503 /nfs/dbraw/zinc/00/95/03/1073009503.db2.gz UCQSCVDGVOKEEI-UHFFFAOYSA-N 0 0 442.519 -0.764 20 0 IBADRN Cc1sc2ncn(CC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)c(=O)c2c1C ZINC000617119208 1073009485 /nfs/dbraw/zinc/00/94/85/1073009485.db2.gz UDHDIGQGGBGNAT-UHFFFAOYSA-N 0 0 445.501 -0.105 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nn1-c1ccccc1 ZINC000617119242 1073009440 /nfs/dbraw/zinc/00/94/40/1073009440.db2.gz WAQFNCXDVJGFLI-UHFFFAOYSA-N 0 0 437.456 -0.026 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)oc1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119255 1073009498 /nfs/dbraw/zinc/00/94/98/1073009498.db2.gz XHFZBKIDGBVUKS-UHFFFAOYSA-N 0 0 427.417 -0.677 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119263 1073009474 /nfs/dbraw/zinc/00/94/74/1073009474.db2.gz YDUZPFJAUQNOFZ-GASCZTMLSA-N 0 0 432.477 -0.629 20 0 IBADRN O=C(CCN1C(=O)[C@H]2CCCC[C@@H]2C1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119264 1073009491 /nfs/dbraw/zinc/00/94/91/1073009491.db2.gz YDUZPFJAUQNOFZ-GJZGRUSLSA-N 0 0 432.477 -0.629 20 0 IBADRN O=C(CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617119265 1073009533 /nfs/dbraw/zinc/00/95/33/1073009533.db2.gz YDUZPFJAUQNOFZ-HUUCEWRRSA-N 0 0 432.477 -0.629 20 0 IBADRN COc1ccc2c(c1)ncc(C(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)c2O ZINC000617120409 1071429526 /nfs/dbraw/zinc/42/95/26/1071429526.db2.gz BCZJSNIYYSRMGF-UHFFFAOYSA-N 0 0 426.429 -0.030 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1NS(C)(=O)=O ZINC000617120473 1073009480 /nfs/dbraw/zinc/00/94/80/1073009480.db2.gz CRSGXOXJZQIJRE-UHFFFAOYSA-N 0 0 436.490 -0.200 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CN2CCN(Cc3ccccc3)CC2)CC1 ZINC000617120571 1073009462 /nfs/dbraw/zinc/00/94/62/1073009462.db2.gz DPWIRNLQQOIDLR-UHFFFAOYSA-N 0 0 441.532 -0.376 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000617120604 1073009449 /nfs/dbraw/zinc/00/94/49/1073009449.db2.gz FXCLTAKNGCZCSO-INIZCTEOSA-N 0 0 433.509 -0.266 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000617120605 1073009561 /nfs/dbraw/zinc/00/95/61/1073009561.db2.gz FXCLTAKNGCZCSO-MRXNPFEDSA-N 0 0 433.509 -0.266 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Cn2cc(Br)ccc2=O)CC1 ZINC000617120706 1073009506 /nfs/dbraw/zinc/00/95/06/1073009506.db2.gz MFNZROLGRIKDNV-UHFFFAOYSA-N 0 0 439.266 -0.569 20 0 IBADRN Cc1cccc([C@H](CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)NC(N)=O)c1 ZINC000617120739 1073009457 /nfs/dbraw/zinc/00/94/57/1073009457.db2.gz OMACADNMHRFTHO-INIZCTEOSA-N 0 0 429.477 -0.086 20 0 IBADRN Cc1cccc([C@@H](CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)NC(N)=O)c1 ZINC000617120740 1073009553 /nfs/dbraw/zinc/00/95/53/1073009553.db2.gz OMACADNMHRFTHO-MRXNPFEDSA-N 0 0 429.477 -0.086 20 0 IBADRN O=C(CCCS(=O)(=O)N1CCCC1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000617120829 1073009524 /nfs/dbraw/zinc/00/95/24/1073009524.db2.gz WDASZBTZPNTIQN-UHFFFAOYSA-N 0 0 428.511 -0.988 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000617120834 1073009543 /nfs/dbraw/zinc/00/95/43/1073009543.db2.gz WPIICMKZSYJHAP-CYBMUJFWSA-N 0 0 439.465 -0.192 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000617120835 1073009575 /nfs/dbraw/zinc/00/95/75/1073009575.db2.gz WPIICMKZSYJHAP-ZDUSSCGKSA-N 0 0 439.465 -0.192 20 0 IBADRN Cc1ccccc1[C@H](CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC(N)=O ZINC000617120836 1073009431 /nfs/dbraw/zinc/00/94/31/1073009431.db2.gz WRVOMMCOYGDGQX-INIZCTEOSA-N 0 0 429.477 -0.086 20 0 IBADRN Cc1ccccc1[C@@H](CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC(N)=O ZINC000617120837 1073009515 /nfs/dbraw/zinc/00/95/15/1073009515.db2.gz WRVOMMCOYGDGQX-MRXNPFEDSA-N 0 0 429.477 -0.086 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C2CCN(C(=O)N3CCCC3)CC2)CC1 ZINC000617120857 1073009444 /nfs/dbraw/zinc/00/94/44/1073009444.db2.gz YRTMOBDFHRVPHQ-UHFFFAOYSA-N 0 0 433.509 -0.266 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)S(=O)(=O)c1ccccc1 ZINC000617120865 1071429342 /nfs/dbraw/zinc/42/93/42/1071429342.db2.gz ZMJFAEOMENACDD-UHFFFAOYSA-N 0 0 436.490 -0.873 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000617151657 1071455276 /nfs/dbraw/zinc/45/52/76/1071455276.db2.gz OZPOSAUZOJWHKV-UHFFFAOYSA-N 0 0 436.494 -0.227 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)Nc2cnn(CC(=O)NC3CC3)c2)c1 ZINC000617151705 1071455347 /nfs/dbraw/zinc/45/53/47/1071455347.db2.gz VCSIPAFEWHXHPB-UHFFFAOYSA-N 0 0 448.505 -0.220 20 0 IBADRN O=C(Cn1cc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1)NC1CC1 ZINC000617153860 1071455239 /nfs/dbraw/zinc/45/52/39/1071455239.db2.gz IGCHXAGAQIBXRJ-UHFFFAOYSA-N 0 0 446.489 -0.466 20 0 IBADRN O=C(Cn1cc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cn1)NC1CC1 ZINC000617153922 1071455438 /nfs/dbraw/zinc/45/54/38/1071455438.db2.gz MYDMIRRLRMYUSM-UHFFFAOYSA-N 0 0 446.489 -0.466 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)cn1 ZINC000617156340 1073010007 /nfs/dbraw/zinc/01/00/07/1073010007.db2.gz GWDFMMGZWQBDMJ-UHFFFAOYSA-N 0 0 425.467 -0.047 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)cn1 ZINC000617156356 1073009967 /nfs/dbraw/zinc/00/99/67/1073009967.db2.gz IIQOSRPMEDQHOF-UHFFFAOYSA-N 0 0 437.478 -0.089 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)cn1 ZINC000617157558 1071462881 /nfs/dbraw/zinc/46/28/81/1071462881.db2.gz ZLKRSCNHLFMOFO-UHFFFAOYSA-N 0 0 448.505 -0.266 20 0 IBADRN CCCCn1c(N)c(N(CC(C)C)C(=O)CCC(=O)NC2=NCC(=O)N2)c(=O)[nH]c1=O ZINC000617159227 1073010027 /nfs/dbraw/zinc/01/00/27/1073010027.db2.gz SIVYQKRMNKZHRW-UHFFFAOYSA-N 0 0 435.485 -0.298 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)NC1=NCC(=O)N1 ZINC000617160111 1073009868 /nfs/dbraw/zinc/00/98/68/1073009868.db2.gz BNONRPFCUBJVOH-UHFFFAOYSA-N 0 0 441.897 -0.445 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C(=O)c4ccsc4)CC3)c2c(=O)n(C)c1=O ZINC000617165965 1073009854 /nfs/dbraw/zinc/00/98/54/1073009854.db2.gz XHEATYVQRUZIEX-UHFFFAOYSA-N 0 0 430.490 -0.130 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)cn1 ZINC000617188580 1073009946 /nfs/dbraw/zinc/00/99/46/1073009946.db2.gz YBICCLUFSRURRE-UHFFFAOYSA-N 0 0 435.506 -0.285 20 0 IBADRN CCN(CCCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)S(C)(=O)=O ZINC000617256555 1073009936 /nfs/dbraw/zinc/00/99/36/1073009936.db2.gz KDZKYXOYJYREFF-UHFFFAOYSA-N 0 0 449.551 -0.086 20 0 IBADRN CCN(CCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)S(C)(=O)=O ZINC000617258017 1073009956 /nfs/dbraw/zinc/00/99/56/1073009956.db2.gz VDPXHLLHLAWLSJ-UHFFFAOYSA-N 0 0 436.556 -0.553 20 0 IBADRN CCN(CCCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)S(C)(=O)=O ZINC000617259284 1073009987 /nfs/dbraw/zinc/00/99/87/1073009987.db2.gz DKPJQGRURNHNSY-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN CCN(CCCNC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000617260869 1071479089 /nfs/dbraw/zinc/47/90/89/1071479089.db2.gz RUMCXWGAJMGQQO-UHFFFAOYSA-N 0 0 425.504 -0.188 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCN(C(=O)c4ccsc4)CC3)c2c(=O)n(C)c1=O ZINC000617268073 1071480711 /nfs/dbraw/zinc/48/07/11/1071480711.db2.gz CETSUKZATUABBU-UHFFFAOYSA-N 0 0 430.490 -0.130 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCCCS(N)(=O)=O ZINC000617325387 1073010397 /nfs/dbraw/zinc/01/03/97/1073010397.db2.gz ZXHPNWIPHHTECU-HNNXBMFYSA-N 0 0 435.524 -0.445 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)cn1 ZINC000617330692 1071512016 /nfs/dbraw/zinc/51/20/16/1071512016.db2.gz PXJQLNYPZIONCZ-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN Cc1ccc(C(=O)N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)s1 ZINC000617342102 1073009975 /nfs/dbraw/zinc/00/99/75/1073009975.db2.gz CKJANUDCZIGHTQ-UHFFFAOYSA-N 0 0 430.490 -0.212 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C(=O)C1=O ZINC000617427625 1073010277 /nfs/dbraw/zinc/01/02/77/1073010277.db2.gz JMDGNTPCSGFKJW-UHFFFAOYSA-N 0 0 448.505 -0.914 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000617427898 1073010357 /nfs/dbraw/zinc/01/03/57/1073010357.db2.gz PSAVCXZIHVZEJB-AWEZNQCLSA-N 0 0 441.535 -0.180 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000617427899 1073010232 /nfs/dbraw/zinc/01/02/32/1073010232.db2.gz PSAVCXZIHVZEJB-CQSZACIVSA-N 0 0 441.535 -0.180 20 0 IBADRN O=C(CN1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000617428038 1073010370 /nfs/dbraw/zinc/01/03/70/1073010370.db2.gz SWFAFUDZLMBFGN-UHFFFAOYSA-N 0 0 427.508 -0.569 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1=O ZINC000617428047 1073010334 /nfs/dbraw/zinc/01/03/34/1073010334.db2.gz UFXSZLKNZFMOCK-AWEZNQCLSA-N 0 0 435.506 -0.109 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1=O ZINC000617428048 1073010250 /nfs/dbraw/zinc/01/02/50/1073010250.db2.gz UFXSZLKNZFMOCK-CQSZACIVSA-N 0 0 435.506 -0.109 20 0 IBADRN CCNC(=O)N1CCN(c2ncnc(N3CCN(C(=O)NCC)CC3)c2C(=O)OC)CC1 ZINC000617441548 1073010348 /nfs/dbraw/zinc/01/03/48/1073010348.db2.gz WILRFZFBJHUBKP-UHFFFAOYSA-N 0 0 448.528 -0.034 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCc3c(cccc3NS(C)(=O)=O)C2)cn1 ZINC000617443598 1073010321 /nfs/dbraw/zinc/01/03/21/1073010321.db2.gz OURWJJCFICAGQD-UHFFFAOYSA-N 0 0 427.508 -0.345 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC000617448537 1073336023 /nfs/dbraw/zinc/33/60/23/1073336023.db2.gz AOGSCZPCFOTKBN-UHFFFAOYSA-N 0 0 436.494 -0.233 20 0 IBADRN NC(=O)Cn1ccc(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000617448988 1073010410 /nfs/dbraw/zinc/01/04/10/1073010410.db2.gz PYGLEZJYIKGJGS-UHFFFAOYSA-N 0 0 448.505 -0.380 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000617471768 1073010683 /nfs/dbraw/zinc/01/06/83/1073010683.db2.gz QKXOXIZDUDWQQS-GOSISDBHSA-N 0 0 434.460 -0.028 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000617471771 1073010779 /nfs/dbraw/zinc/01/07/79/1073010779.db2.gz QKXOXIZDUDWQQS-SFHVURJKSA-N 0 0 434.460 -0.028 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000617480970 1073010644 /nfs/dbraw/zinc/01/06/44/1073010644.db2.gz PXCLXJKMOUVRSW-UHFFFAOYSA-N 0 0 443.508 -0.294 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCCC3)CC2)c1 ZINC000617481147 1073010661 /nfs/dbraw/zinc/01/06/61/1073010661.db2.gz WIRQLSZSGHQHCV-UHFFFAOYSA-N 0 0 444.492 -0.410 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)cc1OCC(N)=O ZINC000617481658 1073010702 /nfs/dbraw/zinc/01/07/02/1073010702.db2.gz DKBRDPADNATPEM-UHFFFAOYSA-N 0 0 433.465 -0.142 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000617481751 1073010846 /nfs/dbraw/zinc/01/08/46/1073010846.db2.gz IPHZTKORSKLCFO-UHFFFAOYSA-N 0 0 429.481 -0.684 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)c1 ZINC000617482792 1073010603 /nfs/dbraw/zinc/01/06/03/1073010603.db2.gz ODEQSOMTGLKOMF-UHFFFAOYSA-N 0 0 430.465 -0.800 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)c2ccccc2O1 ZINC000617482857 1073010830 /nfs/dbraw/zinc/01/08/30/1073010830.db2.gz XUZBGTIWYMUQTD-KRWDZBQOSA-N 0 0 429.477 -0.113 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)c2ccccc2O1 ZINC000617482858 1073010811 /nfs/dbraw/zinc/01/08/11/1073010811.db2.gz XUZBGTIWYMUQTD-QGZVFWFLSA-N 0 0 429.477 -0.113 20 0 IBADRN Cc1nn(C)c2ncc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc12 ZINC000617483615 1073011184 /nfs/dbraw/zinc/01/11/84/1073011184.db2.gz CSNSHFPNTGARHI-UHFFFAOYSA-N 0 0 429.481 -0.782 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCCCO3)CC1 ZINC000617483642 1073011128 /nfs/dbraw/zinc/01/11/28/1073011128.db2.gz XPUAXHSWYMYOFL-UHFFFAOYSA-N 0 0 432.477 -0.211 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)c(F)c2)CC1 ZINC000617484162 1073010792 /nfs/dbraw/zinc/01/07/92/1073010792.db2.gz CIZSTPMSWMDYLZ-UHFFFAOYSA-N 0 0 444.467 -0.047 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2-n2cncn2)CC1 ZINC000617484172 1073011089 /nfs/dbraw/zinc/01/10/89/1073011089.db2.gz MNYHFLKJLMRGLF-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000617484177 1073011253 /nfs/dbraw/zinc/01/12/53/1073011253.db2.gz POMRJFOABUSTBT-UHFFFAOYSA-N 0 0 448.520 -0.209 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(Cn3cccn3)c2)CC1 ZINC000617484187 1073011070 /nfs/dbraw/zinc/01/10/70/1073011070.db2.gz VAWPSEUNMSJZPX-UHFFFAOYSA-N 0 0 440.504 -0.127 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000617484189 1073011222 /nfs/dbraw/zinc/01/12/22/1073011222.db2.gz VRTQFLFEOIILJW-UHFFFAOYSA-N 0 0 438.506 -0.968 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000617484197 1073011161 /nfs/dbraw/zinc/01/11/61/1073011161.db2.gz ZZFDDJKPCPEWGR-UHFFFAOYSA-N 0 0 436.432 -0.019 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cc1 ZINC000617484898 1073011101 /nfs/dbraw/zinc/01/11/01/1073011101.db2.gz CVYJUUJVEGHNCZ-UHFFFAOYSA-N 0 0 436.476 -0.061 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc(F)c(C(N)=O)c2)CC1 ZINC000617484947 1073011198 /nfs/dbraw/zinc/01/11/98/1073011198.db2.gz QPUDVDSNDLUGIC-UHFFFAOYSA-N 0 0 426.412 -0.111 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000617492372 1073010714 /nfs/dbraw/zinc/01/07/14/1073010714.db2.gz XHECATXSYNSZSE-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000617502820 1073011265 /nfs/dbraw/zinc/01/12/65/1073011265.db2.gz GLVDBDMVMYSDSZ-UHFFFAOYSA-N 0 0 440.522 -0.123 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N2CCC(C(=O)NCCOC)CC2)c2ccccc2O1 ZINC000617502963 1073011231 /nfs/dbraw/zinc/01/12/31/1073011231.db2.gz QDOLUUUSVQDCFQ-KRWDZBQOSA-N 0 0 432.477 -0.472 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N2CCC(C(=O)NCCOC)CC2)c2ccccc2O1 ZINC000617502964 1073011066 /nfs/dbraw/zinc/01/10/66/1073011066.db2.gz QDOLUUUSVQDCFQ-QGZVFWFLSA-N 0 0 432.477 -0.472 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(C(=O)NCCOC)CC2)ccc1C ZINC000617503049 1073011118 /nfs/dbraw/zinc/01/11/18/1073011118.db2.gz WBWQNVMCLKGILR-UHFFFAOYSA-N 0 0 440.522 -0.157 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CS3)CC1 ZINC000617518002 1073011499 /nfs/dbraw/zinc/01/14/99/1073011499.db2.gz ARFYTDVXGBODBJ-UHFFFAOYSA-N 0 0 427.508 -0.380 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCOCC3)c2)CC1 ZINC000617518033 1073011598 /nfs/dbraw/zinc/01/15/98/1073011598.db2.gz BHYINUSRDVYEBH-UHFFFAOYSA-N 0 0 425.511 -0.588 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000617518035 1073011579 /nfs/dbraw/zinc/01/15/79/1073011579.db2.gz BIIXAYGXLBTWRF-UHFFFAOYSA-N 0 0 436.487 -0.882 20 0 IBADRN CC(C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000617518094 1073011527 /nfs/dbraw/zinc/01/15/27/1073011527.db2.gz FSDGHKZGQUWVJE-UHFFFAOYSA-N 0 0 425.511 -0.286 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000617518109 1073011544 /nfs/dbraw/zinc/01/15/44/1073011544.db2.gz GRHGAJCVECHUOR-UHFFFAOYSA-N 0 0 427.483 -0.457 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(OC)c1OC ZINC000617518128 1073011564 /nfs/dbraw/zinc/01/15/64/1073011564.db2.gz HXOJPKBASRGCIF-UHFFFAOYSA-N 0 0 430.483 -0.399 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000617518320 1073011082 /nfs/dbraw/zinc/01/10/82/1073011082.db2.gz PGSXHPHDXAUIHK-UHFFFAOYSA-N 0 0 425.511 -0.285 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc2NC1=O ZINC000617518325 1073011619 /nfs/dbraw/zinc/01/16/19/1073011619.db2.gz PIGANVSGOLWDNZ-LLVKDONJSA-N 0 0 425.467 -0.705 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc2NC1=O ZINC000617518328 1073011605 /nfs/dbraw/zinc/01/16/05/1073011605.db2.gz PIGANVSGOLWDNZ-NSHDSACASA-N 0 0 425.467 -0.705 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000617518434 1073011611 /nfs/dbraw/zinc/01/16/11/1073011611.db2.gz QNEVBLGJHJQZLT-UHFFFAOYSA-N 0 0 432.524 -0.712 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000617518438 1073011547 /nfs/dbraw/zinc/01/15/47/1073011547.db2.gz QVPAUEQRUPSTFU-UHFFFAOYSA-N 0 0 441.510 -0.714 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCCC2)CC1 ZINC000617518456 1073011520 /nfs/dbraw/zinc/01/15/20/1073011520.db2.gz SGSYGIHCKRRWGB-UHFFFAOYSA-N 0 0 437.522 -0.188 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000617518459 1073011571 /nfs/dbraw/zinc/01/15/71/1073011571.db2.gz SVLQJRZBBRWULU-UHFFFAOYSA-N 0 0 448.505 -0.768 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)c3nccn3C)cc2)CC1 ZINC000617518466 1073011242 /nfs/dbraw/zinc/01/12/42/1073011242.db2.gz UDASZULGDGKYGJ-UHFFFAOYSA-N 0 0 448.505 -0.460 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1NC(C)=O ZINC000617518471 1073011094 /nfs/dbraw/zinc/01/10/94/1073011094.db2.gz UIRXGGFJLFGWHR-UHFFFAOYSA-N 0 0 427.483 -0.457 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)CC1 ZINC000617518474 1073011142 /nfs/dbraw/zinc/01/11/42/1073011142.db2.gz UNABYYNHLZUHOM-UHFFFAOYSA-N 0 0 448.509 -0.436 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000617518537 1073011536 /nfs/dbraw/zinc/01/15/36/1073011536.db2.gz WUBVHPIPGXFVSJ-UHFFFAOYSA-N 0 0 437.522 -0.188 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000617518577 1073011627 /nfs/dbraw/zinc/01/16/27/1073011627.db2.gz YQBOZAAIHMLJFQ-UHFFFAOYSA-N 0 0 435.510 -0.146 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000617518596 1073011554 /nfs/dbraw/zinc/01/15/54/1073011554.db2.gz YZTDJVMNADRUJA-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN Cc1ccc(C(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000617518884 1073012262 /nfs/dbraw/zinc/01/22/62/1073012262.db2.gz ANOWKAVYCCBDHS-UHFFFAOYSA-N 0 0 437.522 -0.224 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000617518889 1073012563 /nfs/dbraw/zinc/01/25/63/1073012563.db2.gz AYJPEVHDTROOKM-UHFFFAOYSA-N 0 0 439.538 -0.279 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000617518906 1073011848 /nfs/dbraw/zinc/01/18/48/1073011848.db2.gz CVRHNHYAINQFNB-UHFFFAOYSA-N 0 0 441.510 -0.909 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000617518909 1073011936 /nfs/dbraw/zinc/01/19/36/1073011936.db2.gz DDXLYSPZGXAAOU-UHFFFAOYSA-N 0 0 425.511 -0.285 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1Cl ZINC000617518915 1073011893 /nfs/dbraw/zinc/01/18/93/1073011893.db2.gz DQYHVAFZMJVKKT-UHFFFAOYSA-N 0 0 431.902 -0.411 20 0 IBADRN Cc1cc(C)n(-c2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cn2)n1 ZINC000617518926 1073011905 /nfs/dbraw/zinc/01/19/05/1073011905.db2.gz FNMMSOTYJQCZKB-UHFFFAOYSA-N 0 0 435.510 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3CCCC3=O)cc2)CC1 ZINC000617518931 1073011835 /nfs/dbraw/zinc/01/18/35/1073011835.db2.gz GATFNOLMZQHVRV-UHFFFAOYSA-N 0 0 437.522 -0.302 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)C(C)=O ZINC000617518958 1073012044 /nfs/dbraw/zinc/01/20/44/1073012044.db2.gz IJGKNNPTDNFEMY-UHFFFAOYSA-N 0 0 439.538 -0.056 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1NC(C)=O ZINC000617518962 1073012028 /nfs/dbraw/zinc/01/20/28/1073012028.db2.gz IZRXFPDBQBAWKN-UHFFFAOYSA-N 0 0 427.483 -0.457 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(F)(F)F)nc2)CC1 ZINC000617518967 1073011923 /nfs/dbraw/zinc/01/19/23/1073011923.db2.gz JGCVLNPGSSIRPQ-UHFFFAOYSA-N 0 0 439.416 -0.088 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000617518973 1073011867 /nfs/dbraw/zinc/01/18/67/1073011867.db2.gz KIUGUNCWJFXIKU-UHFFFAOYSA-N 0 0 441.510 -0.957 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCCC3=O)c2)CC1 ZINC000617518978 1073012420 /nfs/dbraw/zinc/01/24/20/1073012420.db2.gz KNEIPORVNVVIKO-UHFFFAOYSA-N 0 0 437.522 -0.302 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000617519031 1073012521 /nfs/dbraw/zinc/01/25/21/1073012521.db2.gz OQGTVMPGNNWVCC-UHFFFAOYSA-N 0 0 425.511 -0.414 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc3c(cc2F)CCC(=O)N3)CC1 ZINC000617519040 1073012316 /nfs/dbraw/zinc/01/23/16/1073012316.db2.gz PYMIWCKQBVTRET-UHFFFAOYSA-N 0 0 427.458 -0.401 20 0 IBADRN CC(C)CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000617519068 1073011973 /nfs/dbraw/zinc/01/19/73/1073011973.db2.gz RUTQWJLLVZMJMV-UHFFFAOYSA-N 0 0 439.538 -0.039 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000617519073 1073012036 /nfs/dbraw/zinc/01/20/36/1073012036.db2.gz RXQISKQSJNNGGG-UHFFFAOYSA-N 0 0 428.511 -0.261 20 0 IBADRN COCCOc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1OC ZINC000617519116 1073011996 /nfs/dbraw/zinc/01/19/96/1073011996.db2.gz SSTAYYARCQJVPG-UHFFFAOYSA-N 0 0 444.510 -0.391 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1Cl ZINC000617519123 1073011854 /nfs/dbraw/zinc/01/18/54/1073011854.db2.gz TTXWVYCQIZQAQC-UHFFFAOYSA-N 0 0 431.902 -0.411 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000617519138 1073011949 /nfs/dbraw/zinc/01/19/49/1073011949.db2.gz ULARLKVHJZVUIN-UHFFFAOYSA-N 0 0 431.902 -0.411 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(Cl)c2-n2cncn2)CC1 ZINC000617519141 1073011916 /nfs/dbraw/zinc/01/19/16/1073011916.db2.gz UORYIMUUDISUDE-UHFFFAOYSA-N 0 0 441.901 -0.190 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000617519150 1073012023 /nfs/dbraw/zinc/01/20/23/1073012023.db2.gz UQOIWAJIGRYKHX-UHFFFAOYSA-N 0 0 441.510 -0.957 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(C)c1 ZINC000617519152 1073011986 /nfs/dbraw/zinc/01/19/86/1073011986.db2.gz UYYQSEIVKFEZJA-UHFFFAOYSA-N 0 0 428.511 -0.091 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000617519173 1073011879 /nfs/dbraw/zinc/01/18/79/1073011879.db2.gz VGJNPVYDMCGVQH-UHFFFAOYSA-N 0 0 441.510 -0.909 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1Cl ZINC000617519241 1073011505 /nfs/dbraw/zinc/01/15/05/1073011505.db2.gz XOCMSCDFVLPJJU-UHFFFAOYSA-N 0 0 445.929 -0.069 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000617519247 1073011512 /nfs/dbraw/zinc/01/15/12/1073011512.db2.gz XXVBTSNXIMVUKL-UHFFFAOYSA-N 0 0 425.511 -0.366 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000617519265 1073011589 /nfs/dbraw/zinc/01/15/89/1073011589.db2.gz ZGRCPYSHTIDCLY-UHFFFAOYSA-N 0 0 448.505 -0.819 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000617519273 1073011584 /nfs/dbraw/zinc/01/15/84/1073011584.db2.gz ZZGGRCVWXVLDHC-UHFFFAOYSA-N 0 0 425.511 -0.794 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000617520723 1073012432 /nfs/dbraw/zinc/01/24/32/1073012432.db2.gz MHAYCBNCQOTVKY-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)ccc1F ZINC000617521605 1073011637 /nfs/dbraw/zinc/01/16/37/1073011637.db2.gz HFINHVLIXMIGHW-UHFFFAOYSA-N 0 0 449.464 -0.141 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)c(C)c2)CC1 ZINC000617523862 1073012572 /nfs/dbraw/zinc/01/25/72/1073012572.db2.gz BJIJYVBICLELKW-HNNXBMFYSA-N 0 0 428.497 -0.032 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)c(C)c2)CC1 ZINC000617523863 1073012454 /nfs/dbraw/zinc/01/24/54/1073012454.db2.gz BJIJYVBICLELKW-OAHLLOKOSA-N 0 0 428.497 -0.032 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000617525369 1073012540 /nfs/dbraw/zinc/01/25/40/1073012540.db2.gz NAYIFRFFHZFVBP-UHFFFAOYSA-N 0 0 444.536 -0.707 20 0 IBADRN CC(C)(C)CC(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000617559609 1073013001 /nfs/dbraw/zinc/01/30/01/1073013001.db2.gz MZIZELUPXZASPN-UHFFFAOYSA-N 0 0 431.493 -0.053 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(C(=O)CC(C)(C)C)CC3)cnc2n(C)c1=O ZINC000617559768 1073012972 /nfs/dbraw/zinc/01/29/72/1073012972.db2.gz XNQXHDJKGUIMGA-UHFFFAOYSA-N 0 0 444.492 -0.322 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000617580862 1073012933 /nfs/dbraw/zinc/01/29/33/1073012933.db2.gz DWQBHADIJHSXLW-UHFFFAOYSA-N 0 0 444.536 -0.707 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000617586145 1073013093 /nfs/dbraw/zinc/01/30/93/1073013093.db2.gz BFFDIUFWGZPWLK-UHFFFAOYSA-N 0 0 430.509 -0.162 20 0 IBADRN CN(CC(=O)N(C)C1CCCCC1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000617589457 1073013073 /nfs/dbraw/zinc/01/30/73/1073013073.db2.gz JOTDUACSERIXOP-UHFFFAOYSA-N 0 0 444.492 -0.180 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000617594744 1073013084 /nfs/dbraw/zinc/01/30/84/1073013084.db2.gz ASYWYKPTCJVDLS-CYBMUJFWSA-N 0 0 428.511 -0.125 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000617594745 1073013059 /nfs/dbraw/zinc/01/30/59/1073013059.db2.gz ASYWYKPTCJVDLS-ZDUSSCGKSA-N 0 0 428.511 -0.125 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000617594767 1073013540 /nfs/dbraw/zinc/01/35/40/1073013540.db2.gz BUGFDRQGRRRWCV-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000617594861 1073013145 /nfs/dbraw/zinc/01/31/45/1073013145.db2.gz DIWAMURFRRCYSV-UHFFFAOYSA-N 0 0 426.495 -0.417 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000617594926 1073012900 /nfs/dbraw/zinc/01/29/00/1073012900.db2.gz KFOWBNITEHSDLE-UHFFFAOYSA-N 0 0 444.485 -0.278 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000617595042 1073013111 /nfs/dbraw/zinc/01/31/11/1073013111.db2.gz LSDAVGDMQYVRFP-UHFFFAOYSA-N 0 0 439.538 -0.502 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000617595258 1073012959 /nfs/dbraw/zinc/01/29/59/1073012959.db2.gz YFZOIVPBRVZTNS-UHFFFAOYSA-N 0 0 440.522 -0.109 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000617596573 1073013389 /nfs/dbraw/zinc/01/33/89/1073013389.db2.gz KJLJWIFRZLQVRU-KRWDZBQOSA-N 0 0 432.477 -0.424 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000617596579 1073013492 /nfs/dbraw/zinc/01/34/92/1073013492.db2.gz KJLJWIFRZLQVRU-QGZVFWFLSA-N 0 0 432.477 -0.424 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000617597012 1073013506 /nfs/dbraw/zinc/01/35/06/1073013506.db2.gz RPLDETCNLQCQKX-UHFFFAOYSA-N 0 0 427.527 -0.122 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)ccc1C ZINC000617612065 1073013474 /nfs/dbraw/zinc/01/34/74/1073013474.db2.gz ASYNKNDAXRCGHD-CYBMUJFWSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)ccc1C ZINC000617612066 1073013374 /nfs/dbraw/zinc/01/33/74/1073013374.db2.gz ASYNKNDAXRCGHD-ZDUSSCGKSA-N 0 0 432.524 -0.618 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000617612089 1073013573 /nfs/dbraw/zinc/01/35/73/1073013573.db2.gz CHBFORVAHVOZLM-AWEZNQCLSA-N 0 0 446.551 -0.148 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000617612090 1073013480 /nfs/dbraw/zinc/01/34/80/1073013480.db2.gz CHBFORVAHVOZLM-CQSZACIVSA-N 0 0 446.551 -0.148 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c(=O)n(-c2ccccc2)n1C ZINC000617612157 1073013555 /nfs/dbraw/zinc/01/35/55/1073013555.db2.gz HNWWYAKLFSIUAU-AWEZNQCLSA-N 0 0 435.506 -0.037 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c(=O)n(-c2ccccc2)n1C ZINC000617612158 1073013441 /nfs/dbraw/zinc/01/34/41/1073013441.db2.gz HNWWYAKLFSIUAU-CQSZACIVSA-N 0 0 435.506 -0.037 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1 ZINC000617612171 1073013431 /nfs/dbraw/zinc/01/34/31/1073013431.db2.gz IFCGWRFGZRUVSX-AWEZNQCLSA-N 0 0 438.506 -0.362 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)C1 ZINC000617612172 1073013922 /nfs/dbraw/zinc/01/39/22/1073013922.db2.gz IFCGWRFGZRUVSX-CQSZACIVSA-N 0 0 438.506 -0.362 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000617612303 1073013451 /nfs/dbraw/zinc/01/34/51/1073013451.db2.gz QDRGNPWECVMIGF-CYBMUJFWSA-N 0 0 433.490 -0.179 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)C1 ZINC000617612304 1073013528 /nfs/dbraw/zinc/01/35/28/1073013528.db2.gz QDRGNPWECVMIGF-ZDUSSCGKSA-N 0 0 433.490 -0.179 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000617612353 1073013521 /nfs/dbraw/zinc/01/35/21/1073013521.db2.gz SOAVDCMTVJNKSX-AWEZNQCLSA-N 0 0 446.551 -0.276 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000617612354 1073013563 /nfs/dbraw/zinc/01/35/63/1073013563.db2.gz SOAVDCMTVJNKSX-CQSZACIVSA-N 0 0 446.551 -0.276 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000617612385 1073013462 /nfs/dbraw/zinc/01/34/62/1073013462.db2.gz VFIDZPFMUGYSCC-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000617612386 1073013311 /nfs/dbraw/zinc/01/33/11/1073013311.db2.gz VFIDZPFMUGYSCC-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)C1 ZINC000617612968 1073013859 /nfs/dbraw/zinc/01/38/59/1073013859.db2.gz AACZYDDPAYTQLP-INIZCTEOSA-N 0 0 433.490 -0.230 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)C1 ZINC000617612969 1073013783 /nfs/dbraw/zinc/01/37/83/1073013783.db2.gz AACZYDDPAYTQLP-MRXNPFEDSA-N 0 0 433.490 -0.230 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000617613008 1073013836 /nfs/dbraw/zinc/01/38/36/1073013836.db2.gz BKMBXBXUCLHCDH-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC000617613009 1073013884 /nfs/dbraw/zinc/01/38/84/1073013884.db2.gz BKMBXBXUCLHCDH-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000617613226 1073013799 /nfs/dbraw/zinc/01/37/99/1073013799.db2.gz IONZIVLWKAVUEM-AWEZNQCLSA-N 0 0 444.535 -0.295 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000617613231 1073013849 /nfs/dbraw/zinc/01/38/49/1073013849.db2.gz IONZIVLWKAVUEM-CQSZACIVSA-N 0 0 444.535 -0.295 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1OCC(N)=O ZINC000617613397 1073013877 /nfs/dbraw/zinc/01/38/77/1073013877.db2.gz KCKXJCXQXJWWAX-GFCCVEGCSA-N 0 0 428.467 -0.962 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1OCC(N)=O ZINC000617613401 1073013775 /nfs/dbraw/zinc/01/37/75/1073013775.db2.gz KCKXJCXQXJWWAX-LBPRGKRZSA-N 0 0 428.467 -0.962 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000617613404 1073013767 /nfs/dbraw/zinc/01/37/67/1073013767.db2.gz KFUHDYVFGBSJRJ-AWEZNQCLSA-N 0 0 444.535 -0.394 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000617613405 1073013757 /nfs/dbraw/zinc/01/37/57/1073013757.db2.gz KFUHDYVFGBSJRJ-CQSZACIVSA-N 0 0 444.535 -0.394 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000617613524 1073013828 /nfs/dbraw/zinc/01/38/28/1073013828.db2.gz OJXBUXCTGZPWKK-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000617613525 1073013868 /nfs/dbraw/zinc/01/38/68/1073013868.db2.gz OJXBUXCTGZPWKK-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)C(=O)N3CCC[C@@H](NS(C)(=O)=O)C3)c2)C1=O ZINC000617613560 1073013896 /nfs/dbraw/zinc/01/38/96/1073013896.db2.gz PFCMPODCEWTWML-CYBMUJFWSA-N 0 0 437.478 -0.436 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)C(=O)N3CCC[C@H](NS(C)(=O)=O)C3)c2)C1=O ZINC000617613561 1073013792 /nfs/dbraw/zinc/01/37/92/1073013792.db2.gz PFCMPODCEWTWML-ZDUSSCGKSA-N 0 0 437.478 -0.436 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000617613598 1073013953 /nfs/dbraw/zinc/01/39/53/1073013953.db2.gz PUVBQKPFCLQIEC-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000617613599 1073013929 /nfs/dbraw/zinc/01/39/29/1073013929.db2.gz PUVBQKPFCLQIEC-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1 ZINC000617613628 1073013915 /nfs/dbraw/zinc/01/39/15/1073013915.db2.gz QJWIWYGDRSVYBH-HNNXBMFYSA-N 0 0 436.490 -0.186 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)C1 ZINC000617613629 1073013808 /nfs/dbraw/zinc/01/38/08/1073013808.db2.gz QJWIWYGDRSVYBH-OAHLLOKOSA-N 0 0 436.490 -0.186 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000617613698 1073014152 /nfs/dbraw/zinc/01/41/52/1073014152.db2.gz SHVOCGLDTJSUFU-CYBMUJFWSA-N 0 0 432.524 -0.584 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000617613699 1073014186 /nfs/dbraw/zinc/01/41/86/1073014186.db2.gz SHVOCGLDTJSUFU-ZDUSSCGKSA-N 0 0 432.524 -0.584 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000617613710 1073014250 /nfs/dbraw/zinc/01/42/50/1073014250.db2.gz SVLHVERCLIIPRQ-AWEZNQCLSA-N 0 0 444.535 -0.295 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000617613711 1073014237 /nfs/dbraw/zinc/01/42/37/1073014237.db2.gz SVLHVERCLIIPRQ-CQSZACIVSA-N 0 0 444.535 -0.295 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC000617613744 1073014163 /nfs/dbraw/zinc/01/41/63/1073014163.db2.gz UWVYKYKKGGASPC-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC000617613745 1073014265 /nfs/dbraw/zinc/01/42/65/1073014265.db2.gz UWVYKYKKGGASPC-MRXNPFEDSA-N 0 0 438.506 -0.362 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000617613770 1073014299 /nfs/dbraw/zinc/01/42/99/1073014299.db2.gz VSAHNHWWHYJQHZ-GFCCVEGCSA-N 0 0 439.523 -0.137 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000617613771 1073014253 /nfs/dbraw/zinc/01/42/53/1073014253.db2.gz VSAHNHWWHYJQHZ-LBPRGKRZSA-N 0 0 439.523 -0.137 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000617613806 1073013942 /nfs/dbraw/zinc/01/39/42/1073013942.db2.gz WUIYHPJJTUDCJP-AWEZNQCLSA-N 0 0 426.495 -0.320 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000617613807 1073014178 /nfs/dbraw/zinc/01/41/78/1073014178.db2.gz WUIYHPJJTUDCJP-CQSZACIVSA-N 0 0 426.495 -0.320 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000617613857 1073014171 /nfs/dbraw/zinc/01/41/71/1073014171.db2.gz YCTXQHVREQJHLG-AWEZNQCLSA-N 0 0 426.495 -0.368 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000617613858 1073014246 /nfs/dbraw/zinc/01/42/46/1073014246.db2.gz YCTXQHVREQJHLG-CQSZACIVSA-N 0 0 426.495 -0.368 20 0 IBADRN CCC(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000617622436 1073014310 /nfs/dbraw/zinc/01/43/10/1073014310.db2.gz SOOVXOYELOOLGY-UHFFFAOYSA-N 0 0 431.493 -0.053 20 0 IBADRN CCC(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000617622487 1073014228 /nfs/dbraw/zinc/01/42/28/1073014228.db2.gz VUSUMEQAJALLRL-UHFFFAOYSA-N 0 0 444.492 -0.322 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc4c(c3)NC(=O)CO4)CC2)CC1 ZINC000617628129 1073014600 /nfs/dbraw/zinc/01/46/00/1073014600.db2.gz PWKUSYNTJRBLLU-UHFFFAOYSA-N 0 0 429.477 -0.031 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCS(=O)(=O)c3ccccc3)cnc2n(C)c1=O ZINC000617630367 1073014669 /nfs/dbraw/zinc/01/46/69/1073014669.db2.gz RJPSVVKZTVVMGW-UHFFFAOYSA-N 0 0 445.457 -0.839 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000617631932 1073014547 /nfs/dbraw/zinc/01/45/47/1073014547.db2.gz GPFFJJQTCSBFQI-UHFFFAOYSA-N 0 0 431.474 -0.129 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N(C)CC(=O)NC)c1 ZINC000617632476 1073014758 /nfs/dbraw/zinc/01/47/58/1073014758.db2.gz DNFAOKSVTBMDSV-UHFFFAOYSA-N 0 0 427.527 -0.074 20 0 IBADRN CNC(=O)CN(C)C(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000617632519 1073014320 /nfs/dbraw/zinc/01/43/20/1073014320.db2.gz HPIREAHSWQKGPG-UHFFFAOYSA-N 0 0 432.886 -0.496 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CC(=O)NC ZINC000617632541 1073014681 /nfs/dbraw/zinc/01/46/81/1073014681.db2.gz MEQAEZVQMQJVDZ-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N(C)CC(=O)NC)c2)CC1 ZINC000617633253 1073014769 /nfs/dbraw/zinc/01/47/69/1073014769.db2.gz CAFUFBVXPYZJLI-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN NC(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000617650760 1073014810 /nfs/dbraw/zinc/01/48/10/1073014810.db2.gz ZDTLLRKVQAVQDN-LLVKDONJSA-N 0 0 444.897 -0.367 20 0 IBADRN NC(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000617650761 1073014658 /nfs/dbraw/zinc/01/46/58/1073014658.db2.gz ZDTLLRKVQAVQDN-NSHDSACASA-N 0 0 444.897 -0.367 20 0 IBADRN COc1ccc(OC)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000617656341 1073014736 /nfs/dbraw/zinc/01/47/36/1073014736.db2.gz VURKYMYLTAPMLA-UHFFFAOYSA-N 0 0 442.494 -0.637 20 0 IBADRN CC(C)Oc1ncccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000617656659 1073014694 /nfs/dbraw/zinc/01/46/94/1073014694.db2.gz QSNUWZKCSABLSP-UHFFFAOYSA-N 0 0 441.510 -0.472 20 0 IBADRN CCN(C[C@@H]1CCOC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000617661027 1073014643 /nfs/dbraw/zinc/01/46/43/1073014643.db2.gz PUTFJGSHQRPWAE-AWEZNQCLSA-N 0 0 438.506 -0.369 20 0 IBADRN CCN(C[C@H]1CCOC1)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000617661028 1073014783 /nfs/dbraw/zinc/01/47/83/1073014783.db2.gz PUTFJGSHQRPWAE-CQSZACIVSA-N 0 0 438.506 -0.369 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)CCO4)CC2)o1 ZINC000617720821 1073015035 /nfs/dbraw/zinc/01/50/35/1073015035.db2.gz PYKFKJDJFSWZFK-UHFFFAOYSA-N 0 0 448.457 -0.215 20 0 IBADRN CCCC(=O)NC1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000617730048 1073015112 /nfs/dbraw/zinc/01/51/12/1073015112.db2.gz SGSXQCRAPUNCEC-UHFFFAOYSA-N 0 0 430.465 -0.522 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3CCO)c2ccccc2O1 ZINC000617740728 1073015146 /nfs/dbraw/zinc/01/51/46/1073015146.db2.gz UGNSYLQGXGQJGB-KBXCAEBGSA-N 0 0 427.461 -0.091 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3CCO)c2ccccc2O1 ZINC000617740729 1073015297 /nfs/dbraw/zinc/01/52/97/1073015297.db2.gz UGNSYLQGXGQJGB-KDOFPFPSSA-N 0 0 427.461 -0.091 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCc3c2cnn3CCO)c2ccccc2O1 ZINC000617740730 1073015156 /nfs/dbraw/zinc/01/51/56/1073015156.db2.gz UGNSYLQGXGQJGB-KSSFIOAISA-N 0 0 427.461 -0.091 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCCc3c2cnn3CCO)c2ccccc2O1 ZINC000617740731 1073015102 /nfs/dbraw/zinc/01/51/02/1073015102.db2.gz UGNSYLQGXGQJGB-RDTXWAMCSA-N 0 0 427.461 -0.091 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)ccc1-n1cnnn1 ZINC000617750146 1073015049 /nfs/dbraw/zinc/01/50/49/1073015049.db2.gz LZRSYNKNHSNYHZ-UHFFFAOYSA-N 0 0 425.453 -0.190 20 0 IBADRN O=C(NC[C@@H]1COCCO1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000617781830 1073016658 /nfs/dbraw/zinc/01/66/58/1073016658.db2.gz HIUIEZJQMZWIJN-CYBMUJFWSA-N 0 0 431.442 -0.683 20 0 IBADRN O=C(NC[C@H]1COCCO1)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000617781831 1073016706 /nfs/dbraw/zinc/01/67/06/1073016706.db2.gz HIUIEZJQMZWIJN-ZDUSSCGKSA-N 0 0 431.442 -0.683 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCC(=O)NC)c2)CC1 ZINC000617868485 1070822526 /nfs/dbraw/zinc/82/25/26/1070822526.db2.gz SEEXMEIIXHGRIT-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CCOC1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000617871042 1073017041 /nfs/dbraw/zinc/01/70/41/1073017041.db2.gz NAMXBKKHIOHTRU-UHFFFAOYSA-N 0 0 438.506 -0.227 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCNC(=O)c3ccccc3F)cnc2n(C)c1=O ZINC000617877238 1073017311 /nfs/dbraw/zinc/01/73/11/1073017311.db2.gz WCEKFDFTYJSIEC-UHFFFAOYSA-N 0 0 442.407 -0.744 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000617976509 1073017649 /nfs/dbraw/zinc/01/76/49/1073017649.db2.gz AIECVQPQLXVKLD-UHFFFAOYSA-N 0 0 435.572 -0.175 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000617977552 1073017867 /nfs/dbraw/zinc/01/78/67/1073017867.db2.gz GHLBJVSIYBLTNZ-KBPBESRZSA-N 0 0 431.536 -0.529 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000617977553 1073017762 /nfs/dbraw/zinc/01/77/62/1073017762.db2.gz GHLBJVSIYBLTNZ-UONOGXRCSA-N 0 0 431.536 -0.529 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000617977672 1073017719 /nfs/dbraw/zinc/01/77/19/1073017719.db2.gz FSYXECYNXCYXTB-GFCCVEGCSA-N 0 0 448.523 -0.975 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000617977673 1073017665 /nfs/dbraw/zinc/01/76/65/1073017665.db2.gz FSYXECYNXCYXTB-LBPRGKRZSA-N 0 0 448.523 -0.975 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000618060547 1073017679 /nfs/dbraw/zinc/01/76/79/1073017679.db2.gz YNSSPIRLJHGDBR-AWEZNQCLSA-N 0 0 437.478 -0.071 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000618060548 1073017748 /nfs/dbraw/zinc/01/77/48/1073017748.db2.gz YNSSPIRLJHGDBR-CQSZACIVSA-N 0 0 437.478 -0.071 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000618082763 1073018304 /nfs/dbraw/zinc/01/83/04/1073018304.db2.gz PFCGULMZPRXHFD-UHFFFAOYSA-N 0 0 447.473 -0.435 20 0 IBADRN CCOC(=O)[C@H](Cc1ccncc1)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000618244340 1073018126 /nfs/dbraw/zinc/01/81/26/1073018126.db2.gz RYPIZEXHDZNMCF-AWEZNQCLSA-N 0 0 448.457 -0.073 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000618244342 1073018232 /nfs/dbraw/zinc/01/82/32/1073018232.db2.gz RYPIZEXHDZNMCF-CQSZACIVSA-N 0 0 448.457 -0.073 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCn3cc(Br)cn3)CC2)CC1 ZINC000618382026 1073018953 /nfs/dbraw/zinc/01/89/53/1073018953.db2.gz FZKVKQVSJBMQIN-UHFFFAOYSA-N 0 0 449.375 -0.328 20 0 IBADRN O=C(CCn1cc(Br)cn1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000618406063 1073018837 /nfs/dbraw/zinc/01/88/37/1073018837.db2.gz PEPQUEMKYKCUII-UHFFFAOYSA-N 0 0 426.271 -0.145 20 0 IBADRN O=C(Nc1ccn(-c2ccccc2)n1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000618498418 1074356468 /nfs/dbraw/zinc/35/64/68/1074356468.db2.gz SNCUEAUOQLWLTJ-UHFFFAOYSA-N 0 0 448.505 -0.468 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(I)cn2)CC1 ZINC000618499838 1073018688 /nfs/dbraw/zinc/01/86/88/1073018688.db2.gz YUFRXGJRGXLGIS-UHFFFAOYSA-N 0 0 445.261 -0.143 20 0 IBADRN O=C(Nc1ccc(I)cn1)C(=O)N(CCO)CCN1CCOCC1 ZINC000618511303 1073018850 /nfs/dbraw/zinc/01/88/50/1073018850.db2.gz SNSBBEOETAULCQ-UHFFFAOYSA-N 0 0 448.261 -0.222 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)ccc1C ZINC000618524204 1073018549 /nfs/dbraw/zinc/01/85/49/1073018549.db2.gz AUKQAKWRHPRLIL-UHFFFAOYSA-N 0 0 433.556 -0.734 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2ccc(N3CCOCC3)nc2)CC1 ZINC000618541714 1073019263 /nfs/dbraw/zinc/01/92/63/1073019263.db2.gz CMXTYEZIWHUFMB-UHFFFAOYSA-N 0 0 430.465 -0.257 20 0 IBADRN Cc1cc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1-n1cnnn1 ZINC000618542189 1073019332 /nfs/dbraw/zinc/01/93/32/1073019332.db2.gz ZDDVWNQBISTQGX-UHFFFAOYSA-N 0 0 426.437 -0.204 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2cccc(N3C(=O)CNC3=O)c2)CC1 ZINC000618543527 1073019232 /nfs/dbraw/zinc/01/92/32/1073019232.db2.gz VPHKFZZQAKTPOE-UHFFFAOYSA-N 0 0 442.432 -0.432 20 0 IBADRN O=C(Nc1ccc2c(c1)OCC(=O)N2)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000618592520 1073019277 /nfs/dbraw/zinc/01/92/77/1073019277.db2.gz OYTVKNZZKIBQNK-UHFFFAOYSA-N 0 0 429.433 -0.751 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000618593480 1073019301 /nfs/dbraw/zinc/01/93/01/1073019301.db2.gz NUFDRVZCUGZCLO-UHFFFAOYSA-N 0 0 427.570 -0.563 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000618593754 1073019425 /nfs/dbraw/zinc/01/94/25/1073019425.db2.gz HBDBMCQLQDWPBR-UHFFFAOYSA-N 0 0 427.570 -0.217 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(C)c1 ZINC000618598503 1073019398 /nfs/dbraw/zinc/01/93/98/1073019398.db2.gz JHMQSQCPMQZXKW-UHFFFAOYSA-N 0 0 425.511 -0.366 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CN2CCOCC2)CC1 ZINC000618598535 1073019214 /nfs/dbraw/zinc/01/92/14/1073019214.db2.gz OMIROOYWKJJPBZ-UHFFFAOYSA-N 0 0 439.538 -0.592 20 0 IBADRN COCCOc1cc(C)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000618598573 1073019393 /nfs/dbraw/zinc/01/93/93/1073019393.db2.gz WXGRNOGWKZSLBH-UHFFFAOYSA-N 0 0 428.511 -0.091 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)NC(C)(C)C)CC2)C[C@H](C)O1 ZINC000618646406 1073019707 /nfs/dbraw/zinc/01/97/07/1073019707.db2.gz LWSSVUIBAUWONK-KBPBESRZSA-N 0 0 440.588 -0.798 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)NC(C)(C)C)CC2)C[C@H](C)O1 ZINC000618646407 1073019856 /nfs/dbraw/zinc/01/98/56/1073019856.db2.gz LWSSVUIBAUWONK-OKILXGFUSA-N 0 0 440.588 -0.798 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)NC(C)(C)C)CC2)C[C@@H](C)O1 ZINC000618646408 1073019767 /nfs/dbraw/zinc/01/97/67/1073019767.db2.gz LWSSVUIBAUWONK-ZIAGYGMSSA-N 0 0 440.588 -0.798 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000618646493 1073019284 /nfs/dbraw/zinc/01/92/84/1073019284.db2.gz HILBHYLESQOQIK-HNNXBMFYSA-N 0 0 427.498 -0.009 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000618646494 1073019328 /nfs/dbraw/zinc/01/93/28/1073019328.db2.gz HILBHYLESQOQIK-OAHLLOKOSA-N 0 0 427.498 -0.009 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1N1CCNC1=O ZINC000618672419 1073019695 /nfs/dbraw/zinc/01/96/95/1073019695.db2.gz UPJMSXWNNUKBKL-UHFFFAOYSA-N 0 0 429.477 -0.332 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000618672488 1073019645 /nfs/dbraw/zinc/01/96/45/1073019645.db2.gz NXNUXCCBQTWIRH-UHFFFAOYSA-N 0 0 433.490 -0.445 20 0 IBADRN Cn1c(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000618680606 1073019833 /nfs/dbraw/zinc/01/98/33/1073019833.db2.gz PHNRIZVERDFTKY-UHFFFAOYSA-N 0 0 425.511 -0.442 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1N1CCNC1=O ZINC000618704955 1073019737 /nfs/dbraw/zinc/01/97/37/1073019737.db2.gz WZXFVXPLVFOOQH-UHFFFAOYSA-N 0 0 437.522 -0.141 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000618719507 1073019619 /nfs/dbraw/zinc/01/96/19/1073019619.db2.gz BZOPBVIWSXUELZ-CHWSQXEVSA-N 0 0 444.510 -0.768 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000618719508 1073019722 /nfs/dbraw/zinc/01/97/22/1073019722.db2.gz BZOPBVIWSXUELZ-OLZOCXBDSA-N 0 0 444.510 -0.768 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000618719509 1073020077 /nfs/dbraw/zinc/02/00/77/1073020077.db2.gz BZOPBVIWSXUELZ-QWHCGFSZSA-N 0 0 444.510 -0.768 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000618719510 1073020210 /nfs/dbraw/zinc/02/02/10/1073020210.db2.gz BZOPBVIWSXUELZ-STQMWFEESA-N 0 0 444.510 -0.768 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000618719612 1073019748 /nfs/dbraw/zinc/01/97/48/1073019748.db2.gz RITARJFVNQCQTL-NEPJUHHUSA-N 0 0 431.467 -0.513 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000618719621 1073019681 /nfs/dbraw/zinc/01/96/81/1073019681.db2.gz RITARJFVNQCQTL-NWDGAFQWSA-N 0 0 431.467 -0.513 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000618719623 1073019668 /nfs/dbraw/zinc/01/96/68/1073019668.db2.gz RITARJFVNQCQTL-RYUDHWBXSA-N 0 0 431.467 -0.513 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000618719625 1073019755 /nfs/dbraw/zinc/01/97/55/1073019755.db2.gz RITARJFVNQCQTL-VXGBXAGGSA-N 0 0 431.467 -0.513 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000618730722 1073020196 /nfs/dbraw/zinc/02/01/96/1073020196.db2.gz RAVAWUONFUPQAI-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000618737081 1073020086 /nfs/dbraw/zinc/02/00/86/1073020086.db2.gz XPGBUPMEBXCREP-UHFFFAOYSA-N 0 0 447.583 -0.633 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000618789178 1073020270 /nfs/dbraw/zinc/02/02/70/1073020270.db2.gz ORAMYEASPJMIOR-UHFFFAOYSA-N 0 0 433.490 -0.244 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000618797919 1073020142 /nfs/dbraw/zinc/02/01/42/1073020142.db2.gz YLIAKWYPSZTDHC-CHWSQXEVSA-N 0 0 428.511 -0.009 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000618797920 1073020306 /nfs/dbraw/zinc/02/03/06/1073020306.db2.gz YLIAKWYPSZTDHC-OLZOCXBDSA-N 0 0 428.511 -0.009 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000618797921 1073020234 /nfs/dbraw/zinc/02/02/34/1073020234.db2.gz YLIAKWYPSZTDHC-QWHCGFSZSA-N 0 0 428.511 -0.009 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000618797922 1073020155 /nfs/dbraw/zinc/02/01/55/1073020155.db2.gz YLIAKWYPSZTDHC-STQMWFEESA-N 0 0 428.511 -0.009 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1N1CCNC1=O ZINC000618809906 1073020112 /nfs/dbraw/zinc/02/01/12/1073020112.db2.gz IJSCGICUYKUQIX-UHFFFAOYSA-N 0 0 427.461 -0.042 20 0 IBADRN Cc1nccc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)c3ccnc(C)n3)CC2)n1 ZINC000618818635 1073020308 /nfs/dbraw/zinc/02/03/08/1073020308.db2.gz WOGSAJCXYBCAKN-UHFFFAOYSA-N 0 0 433.494 -0.599 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000618829010 1073020292 /nfs/dbraw/zinc/02/02/92/1073020292.db2.gz ZNNRVWYRHHRLCU-LLVKDONJSA-N 0 0 433.490 -0.025 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000618829011 1073020063 /nfs/dbraw/zinc/02/00/63/1073020063.db2.gz ZNNRVWYRHHRLCU-NSHDSACASA-N 0 0 433.490 -0.025 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NC)cc1 ZINC000618873457 1073020545 /nfs/dbraw/zinc/02/05/45/1073020545.db2.gz NVJFDOGREROJSH-GHMZBOCLSA-N 0 0 428.467 -0.894 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NC)cc1 ZINC000618873458 1073020500 /nfs/dbraw/zinc/02/05/00/1073020500.db2.gz NVJFDOGREROJSH-MNOVXSKESA-N 0 0 428.467 -0.894 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NC)cc1 ZINC000618873459 1073020627 /nfs/dbraw/zinc/02/06/27/1073020627.db2.gz NVJFDOGREROJSH-QWRGUYRKSA-N 0 0 428.467 -0.894 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NC)cc1 ZINC000618873460 1073020646 /nfs/dbraw/zinc/02/06/46/1073020646.db2.gz NVJFDOGREROJSH-WDEREUQCSA-N 0 0 428.467 -0.894 20 0 IBADRN CCCS(=O)(=O)NC1CCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000618873951 1073020663 /nfs/dbraw/zinc/02/06/63/1073020663.db2.gz MTJQZUWPNZOOGH-INIZCTEOSA-N 0 0 439.600 -0.558 20 0 IBADRN CCCS(=O)(=O)NC1CCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000618873952 1073020682 /nfs/dbraw/zinc/02/06/82/1073020682.db2.gz MTJQZUWPNZOOGH-MRXNPFEDSA-N 0 0 439.600 -0.558 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2cccnc2N2CCOCC2)CC1 ZINC000618900114 1073021177 /nfs/dbraw/zinc/02/11/77/1073021177.db2.gz TXEGHRQIJDCGGH-UHFFFAOYSA-N 0 0 430.465 -0.257 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000618908104 1073021250 /nfs/dbraw/zinc/02/12/50/1073021250.db2.gz TUJMLFAYTGAZTH-CYBMUJFWSA-N 0 0 433.556 -0.211 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000618908105 1073020958 /nfs/dbraw/zinc/02/09/58/1073020958.db2.gz TUJMLFAYTGAZTH-ZDUSSCGKSA-N 0 0 433.556 -0.211 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@H](O)C(N)=O)CC2)cc1 ZINC000618923939 1073020993 /nfs/dbraw/zinc/02/09/93/1073020993.db2.gz MQLJMQWPEGKMRD-HNNXBMFYSA-N 0 0 427.479 -0.774 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@@H](O)C(N)=O)CC2)cc1 ZINC000618923940 1073020977 /nfs/dbraw/zinc/02/09/77/1073020977.db2.gz MQLJMQWPEGKMRD-OAHLLOKOSA-N 0 0 427.479 -0.774 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cccc1C(=O)N1CCOCC1 ZINC000618965947 1073020965 /nfs/dbraw/zinc/02/09/65/1073020965.db2.gz OMPFJSQVBIUGFG-UHFFFAOYSA-N 0 0 440.522 -0.196 20 0 IBADRN COCCCOc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000618967695 1073021002 /nfs/dbraw/zinc/02/10/02/1073021002.db2.gz MBVHJPRMCQUOBK-UHFFFAOYSA-N 0 0 428.511 -0.009 20 0 IBADRN CN1Cc2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc2C1 ZINC000618969355 1073021023 /nfs/dbraw/zinc/02/10/23/1073021023.db2.gz AAMCRNWTYVENIH-UHFFFAOYSA-N 0 0 437.522 -0.708 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cncc(C(=O)OC)c2)C1 ZINC000619004563 1073021754 /nfs/dbraw/zinc/02/17/54/1073021754.db2.gz DCULEPNZMXTYKX-AWEZNQCLSA-N 0 0 435.524 -0.071 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cncc(C(=O)OC)c2)C1 ZINC000619004564 1073021764 /nfs/dbraw/zinc/02/17/64/1073021764.db2.gz DCULEPNZMXTYKX-CQSZACIVSA-N 0 0 435.524 -0.071 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)CC2)c1 ZINC000619018008 1073021498 /nfs/dbraw/zinc/02/14/98/1073021498.db2.gz MKRHAKMOTBOREN-UHFFFAOYSA-N 0 0 446.595 -0.155 20 0 IBADRN Cn1c(C(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)cc2c1n(C)c(=O)n(C)c2=O ZINC000619143602 1073021463 /nfs/dbraw/zinc/02/14/63/1073021463.db2.gz PHQJVRRMKGECHX-UHFFFAOYSA-N 0 0 432.462 -0.606 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000619147650 1073021690 /nfs/dbraw/zinc/02/16/90/1073021690.db2.gz IALDZYKKNGCBIX-KRWDZBQOSA-N 0 0 442.519 -0.353 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000619147651 1073021966 /nfs/dbraw/zinc/02/19/66/1073021966.db2.gz IALDZYKKNGCBIX-QGZVFWFLSA-N 0 0 442.519 -0.353 20 0 IBADRN CN(CCS(=O)(=O)N[C@@]1(C)CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000619168081 1073022034 /nfs/dbraw/zinc/02/20/34/1073022034.db2.gz ARWGVGMKTJZTTB-AWEZNQCLSA-N 0 0 428.529 -0.057 20 0 IBADRN CN(CCS(=O)(=O)N[C@]1(C)CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000619168086 1073022019 /nfs/dbraw/zinc/02/20/19/1073022019.db2.gz ARWGVGMKTJZTTB-CQSZACIVSA-N 0 0 428.529 -0.057 20 0 IBADRN CN(CCS(=O)(=O)N(C)[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000619179774 1073022189 /nfs/dbraw/zinc/02/21/89/1073022189.db2.gz RFZFBIVZWFTWEI-CYBMUJFWSA-N 0 0 428.529 -0.105 20 0 IBADRN CN(CCS(=O)(=O)N(C)[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000619179775 1073021947 /nfs/dbraw/zinc/02/19/47/1073021947.db2.gz RFZFBIVZWFTWEI-ZDUSSCGKSA-N 0 0 428.529 -0.105 20 0 IBADRN CN(CCS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000619197349 1073022178 /nfs/dbraw/zinc/02/21/78/1073022178.db2.gz DWGOQRWOQXMEQL-UHFFFAOYSA-N 0 0 443.544 -0.647 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNS(=O)(=O)c2ccc(S(N)(=O)=O)cc2)cc1 ZINC000619207092 1073022213 /nfs/dbraw/zinc/02/22/13/1073022213.db2.gz STKQXONNTGYSSA-UHFFFAOYSA-N 0 0 433.533 -0.138 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000619354956 1073022595 /nfs/dbraw/zinc/02/25/95/1073022595.db2.gz CEYUPVAOVUCXDP-UHFFFAOYSA-N 0 0 445.567 -0.123 20 0 IBADRN O=C(NCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000619385099 1073022544 /nfs/dbraw/zinc/02/25/44/1073022544.db2.gz CQCWLKYNIGWRFE-UHFFFAOYSA-N 0 0 443.501 -0.540 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000619426005 1073023036 /nfs/dbraw/zinc/02/30/36/1073023036.db2.gz CFIIKCJLSVODRE-UHFFFAOYSA-N 0 0 434.559 -0.435 20 0 IBADRN CCn1c(CCC(=O)N[C@H](CO)C(=O)OC)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000619432505 1073022895 /nfs/dbraw/zinc/02/28/95/1073022895.db2.gz OQRYJVHXBBYRBW-CQSZACIVSA-N 0 0 426.495 -0.111 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)c1C ZINC000619443517 1073022439 /nfs/dbraw/zinc/02/24/39/1073022439.db2.gz UIEIGJOGNVYTRP-UHFFFAOYSA-N 0 0 425.467 -0.024 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(NS(C)(=O)=O)CC2)cc1C ZINC000619474605 1073022926 /nfs/dbraw/zinc/02/29/26/1073022926.db2.gz HODZXQMCCNCGRM-UHFFFAOYSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1C ZINC000619475503 1073022963 /nfs/dbraw/zinc/02/29/63/1073022963.db2.gz FVPAYASEURHQSM-UHFFFAOYSA-N 0 0 440.569 -0.984 20 0 IBADRN CS(=O)(=O)NC1(CNS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CCCCC1 ZINC000619475974 1073023319 /nfs/dbraw/zinc/02/33/19/1073023319.db2.gz NYXPNQUXLWFXGQ-UHFFFAOYSA-N 0 0 445.629 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1C ZINC000619476101 1073022953 /nfs/dbraw/zinc/02/29/53/1073022953.db2.gz DTFRNEKTYOHAAJ-GFCCVEGCSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1C ZINC000619476102 1073023345 /nfs/dbraw/zinc/02/33/45/1073023345.db2.gz DTFRNEKTYOHAAJ-LBPRGKRZSA-N 0 0 425.554 -0.395 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCN2CCCS2(=O)=O)cc1C ZINC000619481008 1073023331 /nfs/dbraw/zinc/02/33/31/1073023331.db2.gz VEYMOTFBYJBTDX-UHFFFAOYSA-N 0 0 425.554 -0.393 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000619484129 1073023213 /nfs/dbraw/zinc/02/32/13/1073023213.db2.gz UZDJGRCGYAZLPC-UHFFFAOYSA-N 0 0 443.544 -0.436 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N2CCc3ccc(S(N)(=O)=O)cc32)CC1 ZINC000619484395 1073023231 /nfs/dbraw/zinc/02/32/31/1073023231.db2.gz SFXVXGZZWBAAIB-UHFFFAOYSA-N 0 0 437.565 -0.302 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(C)CCN2CCCS2(=O)=O)cc1C ZINC000619488527 1073023266 /nfs/dbraw/zinc/02/32/66/1073023266.db2.gz IKMIOOLRNIVITI-UHFFFAOYSA-N 0 0 425.554 -0.441 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000619503538 1073023770 /nfs/dbraw/zinc/02/37/70/1073023770.db2.gz ZVJXPUKHNUGVEJ-GOSISDBHSA-N 0 0 430.505 -0.218 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000619503539 1073023659 /nfs/dbraw/zinc/02/36/59/1073023659.db2.gz ZVJXPUKHNUGVEJ-SFHVURJKSA-N 0 0 430.505 -0.218 20 0 IBADRN COC(=O)[C@@H]1C[C@H](F)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000619504929 1073023720 /nfs/dbraw/zinc/02/37/20/1073023720.db2.gz IBTLAGATSPGLBY-WFASDCNBSA-N 0 0 436.483 -0.018 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000619506602 1073023816 /nfs/dbraw/zinc/02/38/16/1073023816.db2.gz DIWSOOHISAIHKA-UHFFFAOYSA-N 0 0 432.477 -0.195 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000619506613 1073023618 /nfs/dbraw/zinc/02/36/18/1073023618.db2.gz IBPHGXYNSWVKNV-UHFFFAOYSA-N 0 0 433.465 -0.654 20 0 IBADRN Cc1ccc(NC(=O)NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000619506625 1073023826 /nfs/dbraw/zinc/02/38/26/1073023826.db2.gz VLIVNOZLYUJTEP-UHFFFAOYSA-N 0 0 431.493 -0.045 20 0 IBADRN COC(=O)[C@@H]1C[C@H](F)CN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000619513447 1073023706 /nfs/dbraw/zinc/02/37/06/1073023706.db2.gz KODDPCXHYMUCNE-HOTGVXAUSA-N 0 0 443.497 -0.091 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](F)C[C@H]1C(=O)OC)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000619514782 1073023675 /nfs/dbraw/zinc/02/36/75/1073023675.db2.gz UZZDHOIAQIHURR-STQMWFEESA-N 0 0 443.476 -0.248 20 0 IBADRN COC(=O)[C@@H]1C[C@H](F)CN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000619514827 1073024183 /nfs/dbraw/zinc/02/41/83/1073024183.db2.gz XUGLJEJITYSZHR-RDJZCZTQSA-N 0 0 443.497 -0.091 20 0 IBADRN COC(=O)[C@@H]1C[C@H](F)CN1CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000619515200 1073023668 /nfs/dbraw/zinc/02/36/68/1073023668.db2.gz UCGATYBQTSXODA-KBPBESRZSA-N 0 0 432.474 -0.290 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1OC ZINC000619517841 1073024203 /nfs/dbraw/zinc/02/42/03/1073024203.db2.gz GWLUSGQNODLTCE-UHFFFAOYSA-N 0 0 428.467 -0.629 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1F ZINC000619517845 1073024127 /nfs/dbraw/zinc/02/41/27/1073024127.db2.gz ICNYDGSKGRYYIG-UHFFFAOYSA-N 0 0 434.421 -0.360 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)c1C ZINC000619519001 1073024054 /nfs/dbraw/zinc/02/40/54/1073024054.db2.gz LJXRWCFRHHJTFW-CYBMUJFWSA-N 0 0 428.449 -0.203 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)c1C ZINC000619519006 1073024197 /nfs/dbraw/zinc/02/41/97/1073024197.db2.gz LJXRWCFRHHJTFW-ZDUSSCGKSA-N 0 0 428.449 -0.203 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1F ZINC000619519182 1073024147 /nfs/dbraw/zinc/02/41/47/1073024147.db2.gz QDGMWIWMJWMDKK-UHFFFAOYSA-N 0 0 448.448 -0.617 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000619519187 1073024157 /nfs/dbraw/zinc/02/41/57/1073024157.db2.gz VCYIFYJDYRSPFK-UHFFFAOYSA-N 0 0 442.494 -0.886 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)c1C ZINC000619521251 1073024170 /nfs/dbraw/zinc/02/41/70/1073024170.db2.gz FFRTWSHIBZJXFZ-UHFFFAOYSA-N 0 0 429.499 -0.329 20 0 IBADRN COC(=O)CC1(NC(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)CCS(=O)(=O)CC1 ZINC000619538625 1073024177 /nfs/dbraw/zinc/02/41/77/1073024177.db2.gz FRPLWNULQWKFOZ-UHFFFAOYSA-N 0 0 448.523 -0.036 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(CN4CCC[C@H]4C(N)=O)c3)c2c(=O)n(C)c1=O ZINC000619571385 1073024681 /nfs/dbraw/zinc/02/46/81/1073024681.db2.gz RSIKDNOXDMUCGP-HNNXBMFYSA-N 0 0 439.476 -0.478 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(CN4CCC[C@@H]4C(N)=O)c3)c2c(=O)n(C)c1=O ZINC000619571386 1073024530 /nfs/dbraw/zinc/02/45/30/1073024530.db2.gz RSIKDNOXDMUCGP-OAHLLOKOSA-N 0 0 439.476 -0.478 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C3CCN(S(N)(=O)=O)CC3)c2)CC1 ZINC000619582277 1073024609 /nfs/dbraw/zinc/02/46/09/1073024609.db2.gz NJZDUUZOURZKLB-UHFFFAOYSA-N 0 0 445.567 -0.523 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000619587198 1073024398 /nfs/dbraw/zinc/02/43/98/1073024398.db2.gz PMAUVXNOBBVOMR-GOSISDBHSA-N 0 0 434.559 -0.435 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000619587199 1073024653 /nfs/dbraw/zinc/02/46/53/1073024653.db2.gz PMAUVXNOBBVOMR-SFHVURJKSA-N 0 0 434.559 -0.435 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000619590958 1073024483 /nfs/dbraw/zinc/02/44/83/1073024483.db2.gz IMKHXEZKVIZFKY-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN COC(=O)c1ccc(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)nn1 ZINC000619634493 1073024561 /nfs/dbraw/zinc/02/45/61/1073024561.db2.gz KAANDRZYMRTPLO-UHFFFAOYSA-N 0 0 449.493 -0.068 20 0 IBADRN COC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)nn1 ZINC000619637566 1073024581 /nfs/dbraw/zinc/02/45/81/1073024581.db2.gz KQMPZOHUPCOMPI-BETUJISGSA-N 0 0 442.498 -0.233 20 0 IBADRN COC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)nn1 ZINC000619637567 1073024640 /nfs/dbraw/zinc/02/46/40/1073024640.db2.gz KQMPZOHUPCOMPI-CHWSQXEVSA-N 0 0 442.498 -0.233 20 0 IBADRN COC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)nn1 ZINC000619637568 1073025075 /nfs/dbraw/zinc/02/50/75/1073025075.db2.gz KQMPZOHUPCOMPI-STQMWFEESA-N 0 0 442.498 -0.233 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCc2ccc(S(N)(=O)=O)cc21 ZINC000619667975 1073025104 /nfs/dbraw/zinc/02/51/04/1073025104.db2.gz KUBSLLLLEDDFKZ-UHFFFAOYSA-N 0 0 431.517 -0.007 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000619668673 1073025033 /nfs/dbraw/zinc/02/50/33/1073025033.db2.gz DTEBLIMHMQGVEH-HNNXBMFYSA-N 0 0 434.628 -0.083 20 0 IBADRN CSC[C@@H](C)C(=O)N1CCC(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000619668674 1073149917 /nfs/dbraw/zinc/14/99/17/1073149917.db2.gz DTEBLIMHMQGVEH-OAHLLOKOSA-N 0 0 434.628 -0.083 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCc1cc(S(N)(=O)=O)ccc1F ZINC000619677201 1073025084 /nfs/dbraw/zinc/02/50/84/1073025084.db2.gz DVDYBSLHUCBOBI-UHFFFAOYSA-N 0 0 437.496 -0.140 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccc(OC)c2F)c(=O)n1CC(=O)NCCOC ZINC000619689419 1073025003 /nfs/dbraw/zinc/02/50/03/1073025003.db2.gz SXEGXOIONWOJFV-UHFFFAOYSA-N 0 0 438.477 -0.008 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000619693542 1073025064 /nfs/dbraw/zinc/02/50/64/1073025064.db2.gz BZGDEFSHHVDFSV-UHFFFAOYSA-N 0 0 436.581 -0.347 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)cc1 ZINC000619714885 1073025015 /nfs/dbraw/zinc/02/50/15/1073025015.db2.gz MFULABNBQPPXAU-UHFFFAOYSA-N 0 0 437.565 -0.087 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)c1 ZINC000619730436 1073025657 /nfs/dbraw/zinc/02/56/57/1073025657.db2.gz NJJIKZWUKHMIHV-HNNXBMFYSA-N 0 0 448.476 -0.330 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)c1 ZINC000619730442 1073025602 /nfs/dbraw/zinc/02/56/02/1073025602.db2.gz NJJIKZWUKHMIHV-OAHLLOKOSA-N 0 0 448.476 -0.330 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](Cc1c[nH]c2ccccc12)NC(N)=O ZINC000619751167 1073026005 /nfs/dbraw/zinc/02/60/05/1073026005.db2.gz HZMWXTFHCLFOLV-PBHICJAKSA-N 0 0 449.533 -0.101 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](Cc1c[nH]c2ccccc12)NC(N)=O ZINC000619751168 1073025950 /nfs/dbraw/zinc/02/59/50/1073025950.db2.gz HZMWXTFHCLFOLV-RHSMWYFYSA-N 0 0 449.533 -0.101 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](Cc1c[nH]c2ccccc12)NC(N)=O ZINC000619751169 1073025830 /nfs/dbraw/zinc/02/58/30/1073025830.db2.gz HZMWXTFHCLFOLV-WMLDXEAASA-N 0 0 449.533 -0.101 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](Cc1c[nH]c2ccccc12)NC(N)=O ZINC000619751170 1073025981 /nfs/dbraw/zinc/02/59/81/1073025981.db2.gz HZMWXTFHCLFOLV-YOEHRIQHSA-N 0 0 449.533 -0.101 20 0 IBADRN CS(=O)(=O)NC1CC(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000619751804 1073025569 /nfs/dbraw/zinc/02/55/69/1073025569.db2.gz DVLSJYAYVGSTDM-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC2CC(NS(C)(=O)=O)C2)cc1F ZINC000619751809 1073025530 /nfs/dbraw/zinc/02/55/30/1073025530.db2.gz FFPUBOUCCGQWGK-UHFFFAOYSA-N 0 0 429.517 -0.054 20 0 IBADRN CS(=O)(=O)NC1CC(NS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000619751993 1073025517 /nfs/dbraw/zinc/02/55/17/1073025517.db2.gz PNXADYPHUZNCMN-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC2CC(NS(C)(=O)=O)C2)s1 ZINC000619751995 1073025645 /nfs/dbraw/zinc/02/56/45/1073025645.db2.gz PPVGJDRHNBZLBZ-UHFFFAOYSA-N 0 0 445.610 -0.412 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC2CC(NS(C)(=O)=O)C2)c(OC)c1 ZINC000619752007 1073025628 /nfs/dbraw/zinc/02/56/28/1073025628.db2.gz RFXYBBZOMUZVMM-UHFFFAOYSA-N 0 0 441.553 -0.185 20 0 IBADRN CS(=O)(=O)NC1CC(NS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC000619752178 1073025384 /nfs/dbraw/zinc/02/53/84/1073025384.db2.gz KZNSWBZRMIIZGR-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000619778711 1073025958 /nfs/dbraw/zinc/02/59/58/1073025958.db2.gz PXESKXLXXHDTIT-UHFFFAOYSA-N 0 0 433.508 -0.662 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000619778814 1073026114 /nfs/dbraw/zinc/02/61/14/1073026114.db2.gz VUQUSDRCJPFJSJ-UHFFFAOYSA-N 0 0 437.565 -0.358 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000619784360 1073026014 /nfs/dbraw/zinc/02/60/14/1073026014.db2.gz QDORWFUWCROCKM-UHFFFAOYSA-N 0 0 439.538 -0.550 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cccc(CS(=O)(=O)NC(C)(C)C)c1 ZINC000619787169 1073025878 /nfs/dbraw/zinc/02/58/78/1073025878.db2.gz NPPKJOASQISPTR-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000619789222 1073026460 /nfs/dbraw/zinc/02/64/60/1073026460.db2.gz PTOFWHWDRFHYKL-UHFFFAOYSA-N 0 0 439.538 -0.408 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCn3cncn3)c2)CC1 ZINC000619790710 1073026443 /nfs/dbraw/zinc/02/64/43/1073026443.db2.gz OECYBFRFTMVEGB-UHFFFAOYSA-N 0 0 435.510 -0.641 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(CS(=O)(=O)NC(C)(C)C)c1 ZINC000619793280 1073026390 /nfs/dbraw/zinc/02/63/90/1073026390.db2.gz NSHWGHMMZSBKME-UHFFFAOYSA-N 0 0 448.567 -0.102 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)c(OCC(=O)N(C)C)c1 ZINC000619795093 1073026555 /nfs/dbraw/zinc/02/65/55/1073026555.db2.gz CXZMZOWZFGDFJC-UHFFFAOYSA-N 0 0 428.511 -0.202 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1)S(C)(=O)=O ZINC000619795161 1073026522 /nfs/dbraw/zinc/02/65/22/1073026522.db2.gz PCFWZPYTVAHCRH-UHFFFAOYSA-N 0 0 439.538 -0.590 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000619795165 1073026409 /nfs/dbraw/zinc/02/64/09/1073026409.db2.gz HPUORLQOPLNVLD-LLVKDONJSA-N 0 0 426.538 -0.199 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000619795167 1073026577 /nfs/dbraw/zinc/02/65/77/1073026577.db2.gz HPUORLQOPLNVLD-NSHDSACASA-N 0 0 426.538 -0.199 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000619795212 1073026568 /nfs/dbraw/zinc/02/65/68/1073026568.db2.gz SXWMTSOIUOYTDI-UHFFFAOYSA-N 0 0 441.553 -0.789 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCCN1CCCS1(=O)=O ZINC000619795402 1073026405 /nfs/dbraw/zinc/02/64/05/1073026405.db2.gz WTARSJSNVYQNJL-UHFFFAOYSA-N 0 0 426.538 -0.197 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N(C)CCN1CCCS1(=O)=O ZINC000619795768 1073026451 /nfs/dbraw/zinc/02/64/51/1073026451.db2.gz HOUVNQNWLJKTRE-UHFFFAOYSA-N 0 0 426.538 -0.245 20 0 IBADRN COCCOc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000619797229 1073025929 /nfs/dbraw/zinc/02/59/29/1073025929.db2.gz YKRCAFDRPGITKH-UHFFFAOYSA-N 0 0 432.477 -0.057 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000619801273 1073025869 /nfs/dbraw/zinc/02/58/69/1073025869.db2.gz WKLLKSYNHVGYDV-UHFFFAOYSA-N 0 0 434.518 -0.059 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000619803816 1073026090 /nfs/dbraw/zinc/02/60/90/1073026090.db2.gz IXHXRFLOWJCEBH-NHYWBVRUSA-N 0 0 425.463 -0.554 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000619803817 1073025992 /nfs/dbraw/zinc/02/59/92/1073025992.db2.gz IXHXRFLOWJCEBH-XHDPSFHLSA-N 0 0 425.463 -0.554 20 0 IBADRN COCCOc1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000619805391 1073026127 /nfs/dbraw/zinc/02/61/27/1073026127.db2.gz KVGJWJOJVHDFSO-UHFFFAOYSA-N 0 0 448.476 -0.820 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)c(OCC(=O)N(C)C)c1 ZINC000619806119 1073026364 /nfs/dbraw/zinc/02/63/64/1073026364.db2.gz IDVQZFDVENWMHJ-UHFFFAOYSA-N 0 0 440.522 -0.059 20 0 IBADRN COCCOc1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000619806484 1073026416 /nfs/dbraw/zinc/02/64/16/1073026416.db2.gz OFBXPKXYBMWHKJ-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1F ZINC000619807167 1073026427 /nfs/dbraw/zinc/02/64/27/1073026427.db2.gz BTDTZYFETWAGLU-UHFFFAOYSA-N 0 0 432.474 -0.260 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1Cl ZINC000619807213 1073026435 /nfs/dbraw/zinc/02/64/35/1073026435.db2.gz OCUBIGCZYSQKTB-UHFFFAOYSA-N 0 0 445.929 -0.069 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000619809463 1073027027 /nfs/dbraw/zinc/02/70/27/1073027027.db2.gz OBTHNIPULCOJHV-UHFFFAOYSA-N 0 0 439.538 -0.502 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000619809883 1073027008 /nfs/dbraw/zinc/02/70/08/1073027008.db2.gz OHHAHZRONMUERQ-AWEZNQCLSA-N 0 0 440.522 -0.059 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000619809884 1073026832 /nfs/dbraw/zinc/02/68/32/1073026832.db2.gz OHHAHZRONMUERQ-CQSZACIVSA-N 0 0 440.522 -0.059 20 0 IBADRN COC(=O)c1c(F)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1F ZINC000619829559 1073026871 /nfs/dbraw/zinc/02/68/71/1073026871.db2.gz LIFZPWUSKLWNKB-UHFFFAOYSA-N 0 0 434.421 -0.360 20 0 IBADRN COC(=O)c1c(F)cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000619830732 1073026975 /nfs/dbraw/zinc/02/69/75/1073026975.db2.gz IDPGQZCGQKGSTL-UHFFFAOYSA-N 0 0 448.448 -0.617 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@@H](C)CO ZINC000619831945 1073027475 /nfs/dbraw/zinc/02/74/75/1073027475.db2.gz HOZMZDLXFBXVCG-CYBMUJFWSA-N 0 0 428.511 -0.686 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NC[C@H](C)CO ZINC000619831946 1073027270 /nfs/dbraw/zinc/02/72/70/1073027270.db2.gz HOZMZDLXFBXVCG-ZDUSSCGKSA-N 0 0 428.511 -0.686 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000619831951 1073027430 /nfs/dbraw/zinc/02/74/30/1073027430.db2.gz IHQOEHCLWHCRJW-CYBMUJFWSA-N 0 0 429.495 -0.211 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC[C@H](C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000619831952 1073027316 /nfs/dbraw/zinc/02/73/16/1073027316.db2.gz IHQOEHCLWHCRJW-ZDUSSCGKSA-N 0 0 429.495 -0.211 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](C)CO ZINC000619832077 1073027295 /nfs/dbraw/zinc/02/72/95/1073027295.db2.gz XAXNHBFHHGWZRJ-CYBMUJFWSA-N 0 0 429.495 -0.211 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@H](C)CO ZINC000619832079 1073027479 /nfs/dbraw/zinc/02/74/79/1073027479.db2.gz XAXNHBFHHGWZRJ-ZDUSSCGKSA-N 0 0 429.495 -0.211 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(I)cn2)C1 ZINC000619835225 1073027378 /nfs/dbraw/zinc/02/73/78/1073027378.db2.gz GUKCSRUWXOEJDV-UHFFFAOYSA-N 0 0 438.247 -0.179 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc(S(C)(=O)=O)c1 ZINC000619835243 1073027389 /nfs/dbraw/zinc/02/73/89/1073027389.db2.gz IUJJYZQKRSMBET-UHFFFAOYSA-N 0 0 447.491 -0.988 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c(OC)c(OC)c1 ZINC000619835423 1073027454 /nfs/dbraw/zinc/02/74/54/1073027454.db2.gz QHBPCEHIWMPXFS-UHFFFAOYSA-N 0 0 429.451 -0.375 20 0 IBADRN COC(=O)c1cc(OC)c(OC)cc1NC(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000619835435 1073027445 /nfs/dbraw/zinc/02/74/45/1073027445.db2.gz RRMPAWPRGYBXDE-UHFFFAOYSA-N 0 0 429.451 -0.375 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000619835454 1073027411 /nfs/dbraw/zinc/02/74/11/1073027411.db2.gz UJFWTGJBDXIXQB-UHFFFAOYSA-N 0 0 440.478 -0.886 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1C(=O)OC ZINC000619835967 1073027367 /nfs/dbraw/zinc/02/73/67/1073027367.db2.gz QAPDAZCXZJKVFM-UHFFFAOYSA-N 0 0 427.435 -0.605 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000619841288 1073027461 /nfs/dbraw/zinc/02/74/61/1073027461.db2.gz XMIWICOUSGGACU-UHFFFAOYSA-N 0 0 427.483 -0.438 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@H]2CCN(CC)C2=O)cc(S(C)(=O)=O)c1 ZINC000619843184 1073027016 /nfs/dbraw/zinc/02/70/16/1073027016.db2.gz JUUSCTXYPCBJKF-AWEZNQCLSA-N 0 0 425.463 -0.058 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCN(CC)C2=O)cc(S(C)(=O)=O)c1 ZINC000619843185 1073026986 /nfs/dbraw/zinc/02/69/86/1073026986.db2.gz JUUSCTXYPCBJKF-CQSZACIVSA-N 0 0 425.463 -0.058 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCNC(=O)c3ccc(F)cc3)cnc2n(C)c1=O ZINC000619849817 1073026888 /nfs/dbraw/zinc/02/68/88/1073026888.db2.gz AGYFPQVRPHBHEE-UHFFFAOYSA-N 0 0 442.407 -0.744 20 0 IBADRN COCCn1c(N)c(C(=O)CSc2[nH]c(=O)nc(C)c2C(=O)OC)c(=O)[nH]c1=O ZINC000619866920 1073027348 /nfs/dbraw/zinc/02/73/48/1073027348.db2.gz DIIPXYRVLFYFGH-UHFFFAOYSA-N 0 0 425.423 -0.257 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cn1nc(-c2ccccc2)ccc1=O ZINC000619873142 1073027712 /nfs/dbraw/zinc/02/77/12/1073027712.db2.gz ALQXKOOHVOPJPI-KRWDZBQOSA-N 0 0 428.445 -0.183 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cn1nc(-c2ccccc2)ccc1=O ZINC000619873143 1073027648 /nfs/dbraw/zinc/02/76/48/1073027648.db2.gz ALQXKOOHVOPJPI-QGZVFWFLSA-N 0 0 428.445 -0.183 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(C)c(C)c(S(N)(=O)=O)c1 ZINC000619873194 1073027678 /nfs/dbraw/zinc/02/76/78/1073027678.db2.gz BQTAEZJRVPUAEK-AWEZNQCLSA-N 0 0 427.479 -0.529 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(C)c(C)c(S(N)(=O)=O)c1 ZINC000619873195 1073027672 /nfs/dbraw/zinc/02/76/72/1073027672.db2.gz BQTAEZJRVPUAEK-CQSZACIVSA-N 0 0 427.479 -0.529 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cn1nnc(-c2ccc(Cl)cc2)n1 ZINC000619873216 1073027606 /nfs/dbraw/zinc/02/76/06/1073027606.db2.gz CHGDULAJPRPUGD-AWEZNQCLSA-N 0 0 436.856 -0.100 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cn1nnc(-c2ccc(Cl)cc2)n1 ZINC000619873217 1073027754 /nfs/dbraw/zinc/02/77/54/1073027754.db2.gz CHGDULAJPRPUGD-CQSZACIVSA-N 0 0 436.856 -0.100 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H]1CC(=O)N(c2ccccc2OC)C1 ZINC000619873262 1073027818 /nfs/dbraw/zinc/02/78/18/1073027818.db2.gz OZFFPXYTHHRLHI-GDBMZVCRSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H]1CC(=O)N(c2ccccc2OC)C1 ZINC000619873263 1073027740 /nfs/dbraw/zinc/02/77/40/1073027740.db2.gz OZFFPXYTHHRLHI-GOEBONIOSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H]1CC(=O)N(c2ccccc2OC)C1 ZINC000619873264 1073027791 /nfs/dbraw/zinc/02/77/91/1073027791.db2.gz OZFFPXYTHHRLHI-HOCLYGCPSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CC(=O)N(c2ccccc2OC)C1 ZINC000619873265 1073027728 /nfs/dbraw/zinc/02/77/28/1073027728.db2.gz OZFFPXYTHHRLHI-ZBFHGGJFSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(C)c(NS(C)(=O)=O)c1 ZINC000619873434 1073027810 /nfs/dbraw/zinc/02/78/10/1073027810.db2.gz DEPRHYFXCKBZGL-HNNXBMFYSA-N 0 0 427.479 -0.113 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(C)c(NS(C)(=O)=O)c1 ZINC000619873435 1073027631 /nfs/dbraw/zinc/02/76/31/1073027631.db2.gz DEPRHYFXCKBZGL-OAHLLOKOSA-N 0 0 427.479 -0.113 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC000619873608 1073027400 /nfs/dbraw/zinc/02/74/00/1073027400.db2.gz GAEQKOSMNRTXEW-HNNXBMFYSA-N 0 0 427.417 -0.304 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC000619873609 1073027438 /nfs/dbraw/zinc/02/74/38/1073027438.db2.gz GAEQKOSMNRTXEW-OAHLLOKOSA-N 0 0 427.417 -0.304 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC)c1 ZINC000619873638 1073027286 /nfs/dbraw/zinc/02/72/86/1073027286.db2.gz GMRIRMDTGFFVHD-CYBMUJFWSA-N 0 0 443.478 -0.876 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC)c1 ZINC000619873639 1073027419 /nfs/dbraw/zinc/02/74/19/1073027419.db2.gz GMRIRMDTGFFVHD-ZDUSSCGKSA-N 0 0 443.478 -0.876 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCn1c(=O)[nH]c(=O)c2ccccc21 ZINC000619873915 1073027619 /nfs/dbraw/zinc/02/76/19/1073027619.db2.gz IOJFKQVKFGWHSS-HNNXBMFYSA-N 0 0 432.433 -0.601 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCn1c(=O)[nH]c(=O)c2ccccc21 ZINC000619873916 1073027769 /nfs/dbraw/zinc/02/77/69/1073027769.db2.gz IOJFKQVKFGWHSS-OAHLLOKOSA-N 0 0 432.433 -0.601 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(S(=O)(=O)N(C)C)oc1C ZINC000619873995 1073027660 /nfs/dbraw/zinc/02/76/60/1073027660.db2.gz IVJXTHHJMHFIRS-CYBMUJFWSA-N 0 0 431.467 -0.641 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)N(C)C)oc1C ZINC000619873998 1073028597 /nfs/dbraw/zinc/02/85/97/1073028597.db2.gz IVJXTHHJMHFIRS-ZDUSSCGKSA-N 0 0 431.467 -0.641 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNC(=O)c1ccccc1OC(F)F ZINC000619874113 1073028562 /nfs/dbraw/zinc/02/85/62/1073028562.db2.gz BXRRQCWRSDZINV-CYBMUJFWSA-N 0 0 443.403 -0.076 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNC(=O)c1ccccc1OC(F)F ZINC000619874115 1073028659 /nfs/dbraw/zinc/02/86/59/1073028659.db2.gz BXRRQCWRSDZINV-ZDUSSCGKSA-N 0 0 443.403 -0.076 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC000619874207 1073028785 /nfs/dbraw/zinc/02/87/85/1073028785.db2.gz JXYOKFOFDOSYCG-HNNXBMFYSA-N 0 0 432.433 -0.741 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC000619874208 1073028778 /nfs/dbraw/zinc/02/87/78/1073028778.db2.gz JXYOKFOFDOSYCG-OAHLLOKOSA-N 0 0 432.433 -0.741 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)NC(C)C)c1 ZINC000619874281 1073028705 /nfs/dbraw/zinc/02/87/05/1073028705.db2.gz CXHSKYHCJNDSGP-INIZCTEOSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)NC(C)C)c1 ZINC000619874283 1073028696 /nfs/dbraw/zinc/02/86/96/1073028696.db2.gz CXHSKYHCJNDSGP-MRXNPFEDSA-N 0 0 441.506 -0.106 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000619874297 1073028232 /nfs/dbraw/zinc/02/82/32/1073028232.db2.gz DEFGOTLTZAHKJB-KRWDZBQOSA-N 0 0 428.445 -0.188 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(=O)n(Cc2ccccc2)n1 ZINC000619874301 1073028730 /nfs/dbraw/zinc/02/87/30/1073028730.db2.gz DEFGOTLTZAHKJB-QGZVFWFLSA-N 0 0 428.445 -0.188 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CCCN(C(=O)NCC(C)C)C1 ZINC000619874394 1073028578 /nfs/dbraw/zinc/02/85/78/1073028578.db2.gz LHEMPCNYZLTMMX-CVEARBPZSA-N 0 0 426.514 -0.029 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H]1CCCN(C(=O)NCC(C)C)C1 ZINC000619874395 1073028671 /nfs/dbraw/zinc/02/86/71/1073028671.db2.gz LHEMPCNYZLTMMX-HOTGVXAUSA-N 0 0 426.514 -0.029 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H]1CCCN(C(=O)NCC(C)C)C1 ZINC000619874396 1073028534 /nfs/dbraw/zinc/02/85/34/1073028534.db2.gz LHEMPCNYZLTMMX-HZPDHXFCSA-N 0 0 426.514 -0.029 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H]1CCCN(C(=O)NCC(C)C)C1 ZINC000619874397 1073028554 /nfs/dbraw/zinc/02/85/54/1073028554.db2.gz LHEMPCNYZLTMMX-JKSUJKDBSA-N 0 0 426.514 -0.029 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(S(=O)(=O)N(C)C)c(C)o1 ZINC000619874408 1073028681 /nfs/dbraw/zinc/02/86/81/1073028681.db2.gz FHNRPNLGTBGAEN-GFCCVEGCSA-N 0 0 431.467 -0.641 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)N(C)C)c(C)o1 ZINC000619874410 1073028813 /nfs/dbraw/zinc/02/88/13/1073028813.db2.gz FHNRPNLGTBGAEN-LBPRGKRZSA-N 0 0 431.467 -0.641 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CC(=O)N(Cc2ccc(OC)cc2)C1 ZINC000619874466 1073028242 /nfs/dbraw/zinc/02/82/42/1073028242.db2.gz HGMGHBKKPCUZRF-AEFFLSMTSA-N 0 0 447.488 -0.050 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H]1CC(=O)N(Cc2ccc(OC)cc2)C1 ZINC000619874467 1073028115 /nfs/dbraw/zinc/02/81/15/1073028115.db2.gz HGMGHBKKPCUZRF-FUHWJXTLSA-N 0 0 447.488 -0.050 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H]1CC(=O)N(Cc2ccc(OC)cc2)C1 ZINC000619874468 1073028089 /nfs/dbraw/zinc/02/80/89/1073028089.db2.gz HGMGHBKKPCUZRF-SJLPKXTDSA-N 0 0 447.488 -0.050 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H]1CC(=O)N(Cc2ccc(OC)cc2)C1 ZINC000619874469 1073028328 /nfs/dbraw/zinc/02/83/28/1073028328.db2.gz HGMGHBKKPCUZRF-WMZOPIPTSA-N 0 0 447.488 -0.050 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(CNS(=O)(=O)CC)cc1 ZINC000619874737 1073028217 /nfs/dbraw/zinc/02/82/17/1073028217.db2.gz NNXOJQPLYDMPRI-INIZCTEOSA-N 0 0 441.506 -0.354 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(CNS(=O)(=O)CC)cc1 ZINC000619874738 1073028066 /nfs/dbraw/zinc/02/80/66/1073028066.db2.gz NNXOJQPLYDMPRI-MRXNPFEDSA-N 0 0 441.506 -0.354 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNC(=O)c1ccc(Br)o1 ZINC000619874751 1073028057 /nfs/dbraw/zinc/02/80/57/1073028057.db2.gz NRLUXBJNDZTOAT-JTQLQIEISA-N 0 0 446.254 -0.321 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNC(=O)c1ccc(Br)o1 ZINC000619874752 1073028104 /nfs/dbraw/zinc/02/81/04/1073028104.db2.gz NRLUXBJNDZTOAT-SNVBAGLBSA-N 0 0 446.254 -0.321 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1nc2ncc(Br)cn2n1 ZINC000619874871 1073028545 /nfs/dbraw/zinc/02/85/45/1073028545.db2.gz IQLDISLRXSGWQW-JTQLQIEISA-N 0 0 441.242 -0.593 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1nc2ncc(Br)cn2n1 ZINC000619874875 1073029443 /nfs/dbraw/zinc/02/94/43/1073029443.db2.gz IQLDISLRXSGWQW-SNVBAGLBSA-N 0 0 441.242 -0.593 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N2CCOC[C@H]2C(=O)NCC(=O)OCC)CC1 ZINC000619874907 1073029586 /nfs/dbraw/zinc/02/95/86/1073029586.db2.gz LJXXMPLHHXUSRO-INIZCTEOSA-N 0 0 447.554 -0.265 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N2CCOC[C@@H]2C(=O)NCC(=O)OCC)CC1 ZINC000619874912 1073029493 /nfs/dbraw/zinc/02/94/93/1073029493.db2.gz LJXXMPLHHXUSRO-MRXNPFEDSA-N 0 0 447.554 -0.265 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CN(C)S(=O)(=O)c1ccccc1 ZINC000619874947 1073029498 /nfs/dbraw/zinc/02/94/98/1073029498.db2.gz OKTWNWNSCWGPQG-HNNXBMFYSA-N 0 0 427.479 -0.786 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CN(C)S(=O)(=O)c1ccccc1 ZINC000619874949 1073029505 /nfs/dbraw/zinc/02/95/05/1073029505.db2.gz OKTWNWNSCWGPQG-OAHLLOKOSA-N 0 0 427.479 -0.786 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCNS(=O)(=O)c1ccccc1 ZINC000619874992 1073029480 /nfs/dbraw/zinc/02/94/80/1073029480.db2.gz OSUJXRVEOHCHCC-HNNXBMFYSA-N 0 0 427.479 -0.738 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCNS(=O)(=O)c1ccccc1 ZINC000619874993 1073029521 /nfs/dbraw/zinc/02/95/21/1073029521.db2.gz OSUJXRVEOHCHCC-OAHLLOKOSA-N 0 0 427.479 -0.738 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc2c(c1)CCN2S(C)(=O)=O ZINC000619874998 1073029487 /nfs/dbraw/zinc/02/94/87/1073029487.db2.gz OVNCYHVEXFVBTN-INIZCTEOSA-N 0 0 439.490 -0.471 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc2c(c1)CCN2S(C)(=O)=O ZINC000619875003 1073029594 /nfs/dbraw/zinc/02/95/94/1073029594.db2.gz OVNCYHVEXFVBTN-MRXNPFEDSA-N 0 0 439.490 -0.471 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CSc1nnnn1C1CCCC1 ZINC000619875227 1073029173 /nfs/dbraw/zinc/02/91/73/1073029173.db2.gz NNESBHHQFAVWCJ-CYBMUJFWSA-N 0 0 426.499 -0.213 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CSc1nnnn1C1CCCC1 ZINC000619875233 1073029017 /nfs/dbraw/zinc/02/90/17/1073029017.db2.gz NNESBHHQFAVWCJ-ZDUSSCGKSA-N 0 0 426.499 -0.213 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cc1[nH]c(C(C)=O)c(C)c1C(=O)OC ZINC000619875234 1073029044 /nfs/dbraw/zinc/02/90/44/1073029044.db2.gz QFHBCVCKLVTJAE-AWEZNQCLSA-N 0 0 437.449 -0.238 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cc1[nH]c(C(C)=O)c(C)c1C(=O)OC ZINC000619875238 1073029215 /nfs/dbraw/zinc/02/92/15/1073029215.db2.gz QFHBCVCKLVTJAE-CQSZACIVSA-N 0 0 437.449 -0.238 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H](C)NS(=O)(=O)c1cccs1 ZINC000619875296 1073029148 /nfs/dbraw/zinc/02/91/48/1073029148.db2.gz QPABHTMAZAURIW-NEPJUHHUSA-N 0 0 433.508 -0.678 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H](C)NS(=O)(=O)c1cccs1 ZINC000619875299 1073029232 /nfs/dbraw/zinc/02/92/32/1073029232.db2.gz QPABHTMAZAURIW-NWDGAFQWSA-N 0 0 433.508 -0.678 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H](C)NS(=O)(=O)c1cccs1 ZINC000619875300 1073029125 /nfs/dbraw/zinc/02/91/25/1073029125.db2.gz QPABHTMAZAURIW-RYUDHWBXSA-N 0 0 433.508 -0.678 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H](C)NS(=O)(=O)c1cccs1 ZINC000619875301 1073029224 /nfs/dbraw/zinc/02/92/24/1073029224.db2.gz QPABHTMAZAURIW-VXGBXAGGSA-N 0 0 433.508 -0.678 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2)C1 ZINC000619875311 1073029115 /nfs/dbraw/zinc/02/91/15/1073029115.db2.gz LIWJBHQQEGETNM-PBHICJAKSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2)C1 ZINC000619875313 1073029070 /nfs/dbraw/zinc/02/90/70/1073029070.db2.gz LIWJBHQQEGETNM-RHSMWYFYSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2)C1 ZINC000619875314 1073029243 /nfs/dbraw/zinc/02/92/43/1073029243.db2.gz LIWJBHQQEGETNM-WMLDXEAASA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2)C1 ZINC000619875315 1073029005 /nfs/dbraw/zinc/02/90/05/1073029005.db2.gz LIWJBHQQEGETNM-YOEHRIQHSA-N 0 0 433.461 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)CCOC)cc1 ZINC000619875347 1073029135 /nfs/dbraw/zinc/02/91/35/1073029135.db2.gz RCIMEEZRKBQMTN-INIZCTEOSA-N 0 0 442.490 -0.373 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)CCOC)cc1 ZINC000619875348 1073029030 /nfs/dbraw/zinc/02/90/30/1073029030.db2.gz RCIMEEZRKBQMTN-MRXNPFEDSA-N 0 0 442.490 -0.373 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNC(=O)c1cnc2ccccc2c1O ZINC000619875454 1073029083 /nfs/dbraw/zinc/02/90/83/1073029083.db2.gz MQIMABIMYMNYCI-INIZCTEOSA-N 0 0 444.444 -0.835 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNC(=O)c1cnc2ccccc2c1O ZINC000619875456 1073029183 /nfs/dbraw/zinc/02/91/83/1073029183.db2.gz MQIMABIMYMNYCI-MRXNPFEDSA-N 0 0 444.444 -0.835 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCOC[C@H]2C(=O)NCC(=O)OCC)cc1 ZINC000619875558 1073029159 /nfs/dbraw/zinc/02/91/59/1073029159.db2.gz QQDPKSPLULRCGL-INIZCTEOSA-N 0 0 441.506 -0.566 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCOC[C@@H]2C(=O)NCC(=O)OCC)cc1 ZINC000619875560 1073029108 /nfs/dbraw/zinc/02/91/08/1073029108.db2.gz QQDPKSPLULRCGL-MRXNPFEDSA-N 0 0 441.506 -0.566 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc2c(c1)[nH]c(=O)n(CC)c2=O ZINC000619875569 1073029201 /nfs/dbraw/zinc/02/92/01/1073029201.db2.gz NPMGRCYFKMDNGO-HNNXBMFYSA-N 0 0 432.433 -0.358 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc2c(c1)[nH]c(=O)n(CC)c2=O ZINC000619875570 1073029057 /nfs/dbraw/zinc/02/90/57/1073029057.db2.gz NPMGRCYFKMDNGO-OAHLLOKOSA-N 0 0 432.433 -0.358 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CSCC(=O)Nc1cc(C)on1 ZINC000619875631 1074357128 /nfs/dbraw/zinc/35/71/28/1074357128.db2.gz STTINZJZEPSLAO-GFCCVEGCSA-N 0 0 428.467 -0.439 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CSCC(=O)Nc1cc(C)on1 ZINC000619875632 1074356985 /nfs/dbraw/zinc/35/69/85/1074356985.db2.gz STTINZJZEPSLAO-LBPRGKRZSA-N 0 0 428.467 -0.439 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cn1cc(Br)ccc1=O ZINC000619875923 1073029990 /nfs/dbraw/zinc/02/99/90/1073029990.db2.gz VOHDHLCXHYUELO-GFCCVEGCSA-N 0 0 430.255 -0.483 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cn1cc(Br)ccc1=O ZINC000619875924 1073029947 /nfs/dbraw/zinc/02/99/47/1073029947.db2.gz VOHDHLCXHYUELO-LBPRGKRZSA-N 0 0 430.255 -0.483 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCOC[C@H]2C(=O)NCC(=O)OCC)c1 ZINC000619876032 1073029823 /nfs/dbraw/zinc/02/98/23/1073029823.db2.gz QKMIXXPOGFALLX-INIZCTEOSA-N 0 0 441.506 -0.186 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCOC[C@@H]2C(=O)NCC(=O)OCC)c1 ZINC000619876033 1073029869 /nfs/dbraw/zinc/02/98/69/1073029869.db2.gz QKMIXXPOGFALLX-MRXNPFEDSA-N 0 0 441.506 -0.186 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000619876060 1073029542 /nfs/dbraw/zinc/02/95/42/1073029542.db2.gz RFUYOSARKJMIFZ-INIZCTEOSA-N 0 0 439.490 -0.352 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000619876061 1073029848 /nfs/dbraw/zinc/02/98/48/1073029848.db2.gz RFUYOSARKJMIFZ-MRXNPFEDSA-N 0 0 439.490 -0.352 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1nc(S(C)(=O)=O)n2ccccc12 ZINC000619876166 1073029877 /nfs/dbraw/zinc/02/98/77/1073029877.db2.gz WLXMLXODMFOSTJ-CYBMUJFWSA-N 0 0 438.462 -0.742 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1nc(S(C)(=O)=O)n2ccccc12 ZINC000619876169 1073029828 /nfs/dbraw/zinc/02/98/28/1073029828.db2.gz WLXMLXODMFOSTJ-ZDUSSCGKSA-N 0 0 438.462 -0.742 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCc1ccc(S(=O)(=O)NC)cc1 ZINC000619876182 1073029853 /nfs/dbraw/zinc/02/98/53/1073029853.db2.gz WSRSYRHKAQYCGW-INIZCTEOSA-N 0 0 441.506 -0.566 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCc1ccc(S(=O)(=O)NC)cc1 ZINC000619876183 1073029976 /nfs/dbraw/zinc/02/99/76/1073029976.db2.gz WSRSYRHKAQYCGW-MRXNPFEDSA-N 0 0 441.506 -0.566 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000619876249 1073029509 /nfs/dbraw/zinc/02/95/09/1073029509.db2.gz WUBIJDSXDDDZNF-CVEARBPZSA-N 0 0 431.445 -0.588 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000619876250 1073029565 /nfs/dbraw/zinc/02/95/65/1073029565.db2.gz WUBIJDSXDDDZNF-HOTGVXAUSA-N 0 0 431.445 -0.588 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000619876251 1073029554 /nfs/dbraw/zinc/02/95/54/1073029554.db2.gz WUBIJDSXDDDZNF-HZPDHXFCSA-N 0 0 431.445 -0.588 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000619876252 1073029463 /nfs/dbraw/zinc/02/94/63/1073029463.db2.gz WUBIJDSXDDDZNF-JKSUJKDBSA-N 0 0 431.445 -0.588 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cccc(CN2C(=O)CNC2=O)c1 ZINC000619876397 1073029434 /nfs/dbraw/zinc/02/94/34/1073029434.db2.gz ZGOFSFVPKACJHE-HNNXBMFYSA-N 0 0 432.433 -0.741 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cccc(CN2C(=O)CNC2=O)c1 ZINC000619876398 1073029472 /nfs/dbraw/zinc/02/94/72/1073029472.db2.gz ZGOFSFVPKACJHE-OAHLLOKOSA-N 0 0 432.433 -0.741 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(F)c(NS(C)(=O)=O)c1 ZINC000619876402 1073029451 /nfs/dbraw/zinc/02/94/51/1073029451.db2.gz ZLTHBKHVEUGXGN-AWEZNQCLSA-N 0 0 431.442 -0.283 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(F)c(NS(C)(=O)=O)c1 ZINC000619876406 1073029454 /nfs/dbraw/zinc/02/94/54/1073029454.db2.gz ZLTHBKHVEUGXGN-CQSZACIVSA-N 0 0 431.442 -0.283 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccnc2c(C(=O)OCC)cnn21 ZINC000619876544 1073029578 /nfs/dbraw/zinc/02/95/78/1073029578.db2.gz ZFQHRYLUWVBEAE-AWEZNQCLSA-N 0 0 433.421 -0.574 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccnc2c(C(=O)OCC)cnn21 ZINC000619876545 1073029534 /nfs/dbraw/zinc/02/95/34/1073029534.db2.gz ZFQHRYLUWVBEAE-CQSZACIVSA-N 0 0 433.421 -0.574 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(=O)n(-c2ccc(F)cc2)n1 ZINC000619876859 1073029889 /nfs/dbraw/zinc/02/98/89/1073029889.db2.gz YBOWGWYFUDHUIY-INIZCTEOSA-N 0 0 432.408 -0.108 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(=O)n(-c2ccc(F)cc2)n1 ZINC000619876860 1073029880 /nfs/dbraw/zinc/02/98/80/1073029880.db2.gz YBOWGWYFUDHUIY-MRXNPFEDSA-N 0 0 432.408 -0.108 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC000619876915 1073029840 /nfs/dbraw/zinc/02/98/40/1073029840.db2.gz ZFRJWQNQVGAZOM-LOUJCGABSA-N 0 0 442.538 -0.381 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000619879783 1073029932 /nfs/dbraw/zinc/02/99/32/1073029932.db2.gz OBFGUWGYMKZFIG-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)N[C@@H](CO)CN1CCOCC1 ZINC000619879858 1073029831 /nfs/dbraw/zinc/02/98/31/1073029831.db2.gz RVHZROPDSSRWJH-GFCCVEGCSA-N 0 0 447.273 -0.170 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)N[C@H](CO)CN1CCOCC1 ZINC000619879859 1073029861 /nfs/dbraw/zinc/02/98/61/1073029861.db2.gz RVHZROPDSSRWJH-LBPRGKRZSA-N 0 0 447.273 -0.170 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000619956076 1073029898 /nfs/dbraw/zinc/02/98/98/1073029898.db2.gz BTPBFZIILBSXLM-UHFFFAOYSA-N 0 0 433.490 -0.426 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000619959809 1073030364 /nfs/dbraw/zinc/03/03/64/1073030364.db2.gz FEMDKUIDPSPVOT-UHFFFAOYSA-N 0 0 444.579 -0.257 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cccc(S(=O)(=O)NCC3CC3)c2)CC1 ZINC000619959847 1073030410 /nfs/dbraw/zinc/03/04/10/1073030410.db2.gz INTJIRVCGJGXSO-UHFFFAOYSA-N 0 0 444.579 -0.318 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c2C)nn1 ZINC000619959858 1073030303 /nfs/dbraw/zinc/03/03/03/1073030303.db2.gz JHYRXSDDWANNTE-UHFFFAOYSA-N 0 0 437.526 -0.324 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000619960082 1073030267 /nfs/dbraw/zinc/03/02/67/1073030267.db2.gz NCXNYCNHIZOAML-UHFFFAOYSA-N 0 0 430.552 -0.566 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000619960233 1073030294 /nfs/dbraw/zinc/03/02/94/1073030294.db2.gz UQTJSEQMVPNKPS-UHFFFAOYSA-N 0 0 429.543 -0.820 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1 ZINC000619960245 1073030211 /nfs/dbraw/zinc/03/02/11/1073030211.db2.gz WAQOZHRBQKEDRE-UHFFFAOYSA-N 0 0 436.494 -0.861 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000619960277 1073030242 /nfs/dbraw/zinc/03/02/42/1073030242.db2.gz YLQSYGWRIQJMKX-UHFFFAOYSA-N 0 0 436.556 -0.337 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000619960612 1073030394 /nfs/dbraw/zinc/03/03/94/1073030394.db2.gz COGCTYNICUXDKK-UHFFFAOYSA-N 0 0 432.568 -0.320 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000619960613 1073030338 /nfs/dbraw/zinc/03/03/38/1073030338.db2.gz CPZTYPLAWDDUGQ-UHFFFAOYSA-N 0 0 432.568 -0.400 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc21 ZINC000619960698 1073030380 /nfs/dbraw/zinc/03/03/80/1073030380.db2.gz MULIJFCKSABWEZ-UHFFFAOYSA-N 0 0 430.552 -0.684 20 0 IBADRN Cc1cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(S(=O)(=O)N(C)C)c1C ZINC000619960873 1073030331 /nfs/dbraw/zinc/03/03/31/1073030331.db2.gz VJNCXFVUCRQZMM-UHFFFAOYSA-N 0 0 446.595 -0.139 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000619966677 1073030312 /nfs/dbraw/zinc/03/03/12/1073030312.db2.gz BMRMXNIZFMQIOB-GOSISDBHSA-N 0 0 449.533 -0.587 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000619966679 1073030286 /nfs/dbraw/zinc/03/02/86/1073030286.db2.gz BMRMXNIZFMQIOB-SFHVURJKSA-N 0 0 449.533 -0.587 20 0 IBADRN Nc1nn2c(nc(CN3CCN(S(=O)(=O)c4c(F)cccc4F)CC3)cc2=O)[nH]1 ZINC000619969699 1073030749 /nfs/dbraw/zinc/03/07/49/1073030749.db2.gz FIYYJZUBKHBKHK-UHFFFAOYSA-N 0 0 425.421 -0.385 20 0 IBADRN Nc1nn2c(nc(CN3CCN(S(=O)(=O)c4cccc5nsnc54)CC3)cc2=O)[nH]1 ZINC000619969704 1073030624 /nfs/dbraw/zinc/03/06/24/1073030624.db2.gz GECRNZWXGGDKLI-UHFFFAOYSA-N 0 0 447.506 -0.659 20 0 IBADRN Nc1nn2c(nc(CN3CCN(S(=O)(=O)c4ccc5c(c4)CCC5)CC3)cc2=O)[nH]1 ZINC000619969900 1073030782 /nfs/dbraw/zinc/03/07/82/1073030782.db2.gz MYRDHOZOMVDUHH-UHFFFAOYSA-N 0 0 429.506 -0.175 20 0 IBADRN COCCOc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C ZINC000619970558 1073030772 /nfs/dbraw/zinc/03/07/72/1073030772.db2.gz BROXKXAEINUXDQ-UHFFFAOYSA-N 0 0 435.568 -0.124 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2ccc3c(c2)CCCC(=O)N3)CC1 ZINC000619970567 1073030793 /nfs/dbraw/zinc/03/07/93/1073030793.db2.gz FYCQZDOVYUAVQA-UHFFFAOYSA-N 0 0 430.552 -0.183 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000619970576 1073030658 /nfs/dbraw/zinc/03/06/58/1073030658.db2.gz IVZSTAPFMFPBLA-UHFFFAOYSA-N 0 0 434.540 -0.491 20 0 IBADRN COc1cc(F)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000619970586 1073030667 /nfs/dbraw/zinc/03/06/67/1073030667.db2.gz OCQBOZJBTKMFLW-UHFFFAOYSA-N 0 0 425.504 -0.302 20 0 IBADRN CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000619975975 1073030808 /nfs/dbraw/zinc/03/08/08/1073030808.db2.gz AQLIKZAIXCGJSA-IBGZPJMESA-N 0 0 435.550 -0.092 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000619975976 1073030691 /nfs/dbraw/zinc/03/06/91/1073030691.db2.gz AQLIKZAIXCGJSA-LJQANCHMSA-N 0 0 435.550 -0.092 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000619975992 1073030679 /nfs/dbraw/zinc/03/06/79/1073030679.db2.gz CCODRCVNMCCQBW-UHFFFAOYSA-N 0 0 428.511 -0.873 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)NCCN3CCN(S(C)(=O)=O)CC3)c(C)n2n1 ZINC000619976042 1073030816 /nfs/dbraw/zinc/03/08/16/1073030816.db2.gz FOEZGYGVEHLRDS-UHFFFAOYSA-N 0 0 441.583 -0.301 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000619976043 1073030802 /nfs/dbraw/zinc/03/08/02/1073030802.db2.gz GWOQXCULTSSIRS-UHFFFAOYSA-N 0 0 448.567 -0.748 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000619976050 1073031320 /nfs/dbraw/zinc/03/13/20/1073031320.db2.gz HBNVSBIRJCFNRA-UHFFFAOYSA-N 0 0 432.568 -0.779 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNC(=O)c2cccc(Br)c2)CC1 ZINC000619976064 1073031246 /nfs/dbraw/zinc/03/12/46/1073031246.db2.gz IDQAZGDMPRWEEC-UHFFFAOYSA-N 0 0 447.355 -0.128 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000619976084 1073031151 /nfs/dbraw/zinc/03/11/51/1073031151.db2.gz JYRUDIBZJZDRMS-KBXCAEBGSA-N 0 0 429.543 -0.820 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000619976086 1073031091 /nfs/dbraw/zinc/03/10/91/1073031091.db2.gz JYRUDIBZJZDRMS-KDOFPFPSSA-N 0 0 429.543 -0.820 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000619976087 1073031189 /nfs/dbraw/zinc/03/11/89/1073031189.db2.gz JYRUDIBZJZDRMS-KSSFIOAISA-N 0 0 429.543 -0.820 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000619976088 1073031161 /nfs/dbraw/zinc/03/11/61/1073031161.db2.gz JYRUDIBZJZDRMS-RDTXWAMCSA-N 0 0 429.543 -0.820 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000619976147 1073031196 /nfs/dbraw/zinc/03/11/96/1073031196.db2.gz NWNDHRXNTFLPDH-UHFFFAOYSA-N 0 0 446.595 -0.335 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000619976272 1073030825 /nfs/dbraw/zinc/03/08/25/1073030825.db2.gz ORLTWJYNVGPTLO-UHFFFAOYSA-N 0 0 438.597 -0.853 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)NCCN3CCN(S(C)(=O)=O)CC3)c(C)c12 ZINC000619976306 1073031224 /nfs/dbraw/zinc/03/12/24/1073031224.db2.gz SJSNWSKFMWJWOD-UHFFFAOYSA-N 0 0 438.554 -0.496 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000619976314 1073031267 /nfs/dbraw/zinc/03/12/67/1073031267.db2.gz SHIYPGYWNCPOEI-UHFFFAOYSA-N 0 0 425.511 -0.090 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C ZINC000619976319 1073031278 /nfs/dbraw/zinc/03/12/78/1073031278.db2.gz SRPVCVOKQPRQNT-UHFFFAOYSA-N 0 0 446.595 -0.335 20 0 IBADRN CN1C(=O)N(CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C(=O)C12CCCCC2 ZINC000619976325 1073031310 /nfs/dbraw/zinc/03/13/10/1073031310.db2.gz UFPOLOGKGKASKW-UHFFFAOYSA-N 0 0 429.543 -0.723 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000619976356 1073030641 /nfs/dbraw/zinc/03/06/41/1073030641.db2.gz WGJIKDRGCFCEGH-UHFFFAOYSA-N 0 0 430.552 -0.467 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000619976359 1073030650 /nfs/dbraw/zinc/03/06/50/1073030650.db2.gz WLHKWULLRBDRLN-UHFFFAOYSA-N 0 0 430.552 -0.566 20 0 IBADRN Cc1cc(=O)c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)nn1-c1ccccc1F ZINC000619976374 1073030854 /nfs/dbraw/zinc/03/08/54/1073030854.db2.gz YMRJTQYKWWFRPJ-UHFFFAOYSA-N 0 0 437.497 -0.013 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NCc1cccnc1 ZINC000619977845 1073031133 /nfs/dbraw/zinc/03/11/33/1073031133.db2.gz LFTYEBWLVJHYCW-UHFFFAOYSA-N 0 0 445.501 -0.362 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCc1nc[nH]n1)c(=O)n2Cc1ccccc1 ZINC000619978629 1073031287 /nfs/dbraw/zinc/03/12/87/1073031287.db2.gz BCHCECYZVOIUEV-UHFFFAOYSA-N 0 0 438.448 -0.511 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)Nc1ccccc1 ZINC000619982683 1073031042 /nfs/dbraw/zinc/03/10/42/1073031042.db2.gz DIBPRBPSYGACBC-IBGZPJMESA-N 0 0 443.548 -0.050 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1)Nc1ccccc1 ZINC000619982684 1073031074 /nfs/dbraw/zinc/03/10/74/1073031074.db2.gz DIBPRBPSYGACBC-LJQANCHMSA-N 0 0 443.548 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000619986983 1073031254 /nfs/dbraw/zinc/03/12/54/1073031254.db2.gz JFICHNOJPJERDA-UHFFFAOYSA-N 0 0 426.543 -0.705 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000619991483 1073031102 /nfs/dbraw/zinc/03/11/02/1073031102.db2.gz WKJCAYBRLCXWBV-UHFFFAOYSA-N 0 0 436.490 -0.537 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000620013684 1073031662 /nfs/dbraw/zinc/03/16/62/1073031662.db2.gz HQNPHOKWDHPWHK-CYBMUJFWSA-N 0 0 434.540 -0.800 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000620013685 1073031706 /nfs/dbraw/zinc/03/17/06/1073031706.db2.gz HQNPHOKWDHPWHK-ZDUSSCGKSA-N 0 0 434.540 -0.800 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000620015026 1073031738 /nfs/dbraw/zinc/03/17/38/1073031738.db2.gz PCQGFSRXAVSDHX-SWLSCSKDSA-N 0 0 446.551 -0.148 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000620015035 1073031627 /nfs/dbraw/zinc/03/16/27/1073031627.db2.gz PCQGFSRXAVSDHX-WFASDCNBSA-N 0 0 446.551 -0.148 20 0 IBADRN CCOc1ccc(NC(=O)[C@@H](C)NS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000620026856 1073031576 /nfs/dbraw/zinc/03/15/76/1073031576.db2.gz CGGTWHXMSAOJFK-GFCCVEGCSA-N 0 0 435.524 -0.018 20 0 IBADRN CCOc1ccc(NC(=O)[C@H](C)NS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000620026857 1073031752 /nfs/dbraw/zinc/03/17/52/1073031752.db2.gz CGGTWHXMSAOJFK-LBPRGKRZSA-N 0 0 435.524 -0.018 20 0 IBADRN Cc1cccc(C)c1OC[C@@H](O)CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000620031119 1073031765 /nfs/dbraw/zinc/03/17/65/1073031765.db2.gz GCHSKMBAKNIXMK-HNNXBMFYSA-N 0 0 429.477 -0.003 20 0 IBADRN Cc1cccc(C)c1OC[C@H](O)CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000620031120 1073031687 /nfs/dbraw/zinc/03/16/87/1073031687.db2.gz GCHSKMBAKNIXMK-OAHLLOKOSA-N 0 0 429.477 -0.003 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000620040186 1073031675 /nfs/dbraw/zinc/03/16/75/1073031675.db2.gz SJVXXDCHLXUABP-UHFFFAOYSA-N 0 0 436.534 -0.066 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000620040190 1073031518 /nfs/dbraw/zinc/03/15/18/1073031518.db2.gz VIAGCPFJBGVXCP-UHFFFAOYSA-N 0 0 436.534 -0.066 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000620040268 1073031549 /nfs/dbraw/zinc/03/15/49/1073031549.db2.gz MAOHYWCQCRKHIN-HNNXBMFYSA-N 0 0 446.551 -0.146 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000620040269 1073032118 /nfs/dbraw/zinc/03/21/18/1073032118.db2.gz MAOHYWCQCRKHIN-OAHLLOKOSA-N 0 0 446.551 -0.146 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000620046851 1073032126 /nfs/dbraw/zinc/03/21/26/1073032126.db2.gz JQYOYYRGRQSJDB-UHFFFAOYSA-N 0 0 439.538 -0.983 20 0 IBADRN CCO[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000620054198 1073032136 /nfs/dbraw/zinc/03/21/36/1073032136.db2.gz SQNBRIYJXUNZTN-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CCO[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000620054199 1073032103 /nfs/dbraw/zinc/03/21/03/1073032103.db2.gz SQNBRIYJXUNZTN-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000620141889 1073032143 /nfs/dbraw/zinc/03/21/43/1073032143.db2.gz QCKNDWCPCLZQQZ-UHFFFAOYSA-N 0 0 439.538 -0.207 20 0 IBADRN NC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000620158682 1073032036 /nfs/dbraw/zinc/03/20/36/1073032036.db2.gz QOYVJJYMPIPFBX-IBGZPJMESA-N 0 0 442.520 -0.250 20 0 IBADRN NC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000620158683 1073032055 /nfs/dbraw/zinc/03/20/55/1073032055.db2.gz QOYVJJYMPIPFBX-LJQANCHMSA-N 0 0 442.520 -0.250 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000620161685 1073032157 /nfs/dbraw/zinc/03/21/57/1073032157.db2.gz RDBGSEGUXPKIML-UHFFFAOYSA-N 0 0 425.449 -0.066 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000620161815 1073032020 /nfs/dbraw/zinc/03/20/20/1073032020.db2.gz VPKQIGCOGRRSAE-UHFFFAOYSA-N 0 0 440.570 -0.340 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCC(N)=O ZINC000620163348 1073032389 /nfs/dbraw/zinc/03/23/89/1073032389.db2.gz RSCXGZRBNZOSGZ-INIZCTEOSA-N 0 0 426.495 -0.937 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)NCC(N)=O ZINC000620163349 1073032464 /nfs/dbraw/zinc/03/24/64/1073032464.db2.gz RSCXGZRBNZOSGZ-MRXNPFEDSA-N 0 0 426.495 -0.937 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(C)CC(=O)N2CCOCC2)CC1 ZINC000620164877 1073032539 /nfs/dbraw/zinc/03/25/39/1073032539.db2.gz KJORKFWCFDHQLH-UHFFFAOYSA-N 0 0 427.527 -0.256 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)c1ccc(C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000620167026 1073032163 /nfs/dbraw/zinc/03/21/63/1073032163.db2.gz YJTPSMIREDSICO-UHFFFAOYSA-N 0 0 446.504 -0.452 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000620185700 1073032547 /nfs/dbraw/zinc/03/25/47/1073032547.db2.gz ZEHQBECVIZPAOF-UHFFFAOYSA-N 0 0 436.531 -0.165 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)CC(N)=O ZINC000620191859 1073032613 /nfs/dbraw/zinc/03/26/13/1073032613.db2.gz DVVQNURSNCEKNK-KRWDZBQOSA-N 0 0 440.522 -0.594 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)CC(N)=O ZINC000620191862 1073032558 /nfs/dbraw/zinc/03/25/58/1073032558.db2.gz DVVQNURSNCEKNK-QGZVFWFLSA-N 0 0 440.522 -0.594 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000620198866 1073032597 /nfs/dbraw/zinc/03/25/97/1073032597.db2.gz ZAXPRRCIACMFFK-UHFFFAOYSA-N 0 0 428.511 -0.416 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000620199182 1073032914 /nfs/dbraw/zinc/03/29/14/1073032914.db2.gz CNKJGFJGUXLDTP-UHFFFAOYSA-N 0 0 441.554 -0.670 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000620201633 1073032509 /nfs/dbraw/zinc/03/25/09/1073032509.db2.gz HHZUWLFCTRIWOW-ILXRZTDVSA-N 0 0 432.477 -0.630 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000620201634 1073032570 /nfs/dbraw/zinc/03/25/70/1073032570.db2.gz HHZUWLFCTRIWOW-KFWWJZLASA-N 0 0 432.477 -0.630 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000620201635 1073032528 /nfs/dbraw/zinc/03/25/28/1073032528.db2.gz HHZUWLFCTRIWOW-RBSFLKMASA-N 0 0 432.477 -0.630 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000620201636 1073032585 /nfs/dbraw/zinc/03/25/85/1073032585.db2.gz HHZUWLFCTRIWOW-SOUVJXGZSA-N 0 0 432.477 -0.630 20 0 IBADRN O=C(CS(=O)(=O)c1nnc2ccccn21)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000620233157 1073033093 /nfs/dbraw/zinc/03/30/93/1073033093.db2.gz HRBLXOSOKMOERJ-KBPBESRZSA-N 0 0 442.519 -0.302 20 0 IBADRN O=C(CS(=O)(=O)c1nnc2ccccn21)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000620233158 1073032936 /nfs/dbraw/zinc/03/29/36/1073032936.db2.gz HRBLXOSOKMOERJ-KGLIPLIRSA-N 0 0 442.519 -0.302 20 0 IBADRN O=C(CS(=O)(=O)c1nnc2ccccn21)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000620233159 1073033050 /nfs/dbraw/zinc/03/30/50/1073033050.db2.gz HRBLXOSOKMOERJ-UONOGXRCSA-N 0 0 442.519 -0.302 20 0 IBADRN O=C(CS(=O)(=O)c1nnc2ccccn21)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000620233160 1073032847 /nfs/dbraw/zinc/03/28/47/1073032847.db2.gz HRBLXOSOKMOERJ-ZIAGYGMSSA-N 0 0 442.519 -0.302 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(C2CC2)n1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000620234495 1073032964 /nfs/dbraw/zinc/03/29/64/1073032964.db2.gz CRHZWNZNRHAXER-CHWSQXEVSA-N 0 0 432.524 -0.350 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(C2CC2)n1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000620234496 1073033120 /nfs/dbraw/zinc/03/31/20/1073033120.db2.gz CRHZWNZNRHAXER-OLZOCXBDSA-N 0 0 432.524 -0.350 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(C2CC2)n1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000620234497 1073033000 /nfs/dbraw/zinc/03/30/00/1073033000.db2.gz CRHZWNZNRHAXER-QWHCGFSZSA-N 0 0 432.524 -0.350 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(C2CC2)n1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000620234498 1073033058 /nfs/dbraw/zinc/03/30/58/1073033058.db2.gz CRHZWNZNRHAXER-STQMWFEESA-N 0 0 432.524 -0.350 20 0 IBADRN NC(=O)c1ccc(OCC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cc1 ZINC000620237365 1073033101 /nfs/dbraw/zinc/03/31/01/1073033101.db2.gz XPWHISVUVARWEY-UHFFFAOYSA-N 0 0 447.517 -0.173 20 0 IBADRN O=C(CN1CCCN(c2nccs2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000620263792 1073033168 /nfs/dbraw/zinc/03/31/68/1073033168.db2.gz CJUSGZIOSQPIEX-INIZCTEOSA-N 0 0 427.596 -0.014 20 0 IBADRN O=C(CN1CCCN(c2nccs2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000620263793 1073032901 /nfs/dbraw/zinc/03/29/01/1073032901.db2.gz CJUSGZIOSQPIEX-MRXNPFEDSA-N 0 0 427.596 -0.014 20 0 IBADRN Cc1nc(-c2cccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2)no1 ZINC000620292801 1073032873 /nfs/dbraw/zinc/03/28/73/1073032873.db2.gz CBKCBRMVTBMOLT-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000620293348 1073033566 /nfs/dbraw/zinc/03/35/66/1073033566.db2.gz ZAUPSYMQOYRKHQ-UHFFFAOYSA-N 0 0 436.490 -0.232 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1 ZINC000620293864 1073033542 /nfs/dbraw/zinc/03/35/42/1073033542.db2.gz QZIVJDOJFRWGGC-AWEZNQCLSA-N 0 0 436.490 -0.186 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1 ZINC000620293865 1073033493 /nfs/dbraw/zinc/03/34/93/1073033493.db2.gz QZIVJDOJFRWGGC-CQSZACIVSA-N 0 0 436.490 -0.186 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1 ZINC000620294171 1073033425 /nfs/dbraw/zinc/03/34/25/1073033425.db2.gz BWEBHROSWKXRCR-AWEZNQCLSA-N 0 0 436.490 -0.186 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)C1 ZINC000620294172 1073033524 /nfs/dbraw/zinc/03/35/24/1073033524.db2.gz BWEBHROSWKXRCR-CQSZACIVSA-N 0 0 436.490 -0.186 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000620319356 1073033447 /nfs/dbraw/zinc/03/34/47/1073033447.db2.gz TYEWROQVWAAMAQ-INIZCTEOSA-N 0 0 433.509 -0.179 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000620319357 1073033529 /nfs/dbraw/zinc/03/35/29/1073033529.db2.gz TYEWROQVWAAMAQ-MRXNPFEDSA-N 0 0 433.509 -0.179 20 0 IBADRN CN(CCCNC(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)S(C)(=O)=O ZINC000620319431 1073033352 /nfs/dbraw/zinc/03/33/52/1073033352.db2.gz ZYZUMPHTAMEBGM-AWEZNQCLSA-N 0 0 428.511 -0.108 20 0 IBADRN CN(CCCNC(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)S(C)(=O)=O ZINC000620319432 1073033557 /nfs/dbraw/zinc/03/35/57/1073033557.db2.gz ZYZUMPHTAMEBGM-CQSZACIVSA-N 0 0 428.511 -0.108 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](C)NS(=O)(=O)c1cccs1 ZINC000620336785 1073033503 /nfs/dbraw/zinc/03/35/03/1073033503.db2.gz FCCJOZIMTRWYIU-NEPJUHHUSA-N 0 0 437.565 -0.433 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NS(=O)(=O)c1cccs1 ZINC000620336786 1073033465 /nfs/dbraw/zinc/03/34/65/1073033465.db2.gz FCCJOZIMTRWYIU-NWDGAFQWSA-N 0 0 437.565 -0.433 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NS(=O)(=O)c1cccs1 ZINC000620336787 1073033365 /nfs/dbraw/zinc/03/33/65/1073033365.db2.gz FCCJOZIMTRWYIU-RYUDHWBXSA-N 0 0 437.565 -0.433 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](C)NS(=O)(=O)c1cccs1 ZINC000620336788 1073033415 /nfs/dbraw/zinc/03/34/15/1073033415.db2.gz FCCJOZIMTRWYIU-VXGBXAGGSA-N 0 0 437.565 -0.433 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)Cc3ccccc3Cl)CC2)c(=O)n(C)c1=O ZINC000620343480 1073033437 /nfs/dbraw/zinc/03/34/37/1073033437.db2.gz YSNKAPJQPXXEGW-UHFFFAOYSA-N 0 0 440.909 -0.187 20 0 IBADRN CCCC[C@@H](NC(N)=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000620390951 1073033775 /nfs/dbraw/zinc/03/37/75/1073033775.db2.gz AETPPGCJHNXFDZ-OAHLLOKOSA-N 0 0 426.543 -0.123 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000620400260 1073033891 /nfs/dbraw/zinc/03/38/91/1073033891.db2.gz VFVHIHPGVYXIHK-UHFFFAOYSA-N 0 0 433.509 -0.425 20 0 IBADRN CO[C@H](C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000620403350 1073033980 /nfs/dbraw/zinc/03/39/80/1073033980.db2.gz ODSPBRWNDPMLFX-CYBMUJFWSA-N 0 0 441.510 -0.451 20 0 IBADRN CO[C@@H](C)C(=O)Nc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000620403351 1073033905 /nfs/dbraw/zinc/03/39/05/1073033905.db2.gz ODSPBRWNDPMLFX-ZDUSSCGKSA-N 0 0 441.510 -0.451 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000620403825 1073033966 /nfs/dbraw/zinc/03/39/66/1073033966.db2.gz PSHYWZVVFAPGPT-UHFFFAOYSA-N 0 0 433.509 -0.376 20 0 IBADRN CN(C)C(=O)CCCNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000620404043 1073033824 /nfs/dbraw/zinc/03/38/24/1073033824.db2.gz BZQCSTKYBAEGFJ-UHFFFAOYSA-N 0 0 433.509 -0.328 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000620430046 1073034875 /nfs/dbraw/zinc/03/48/75/1073034875.db2.gz FBZGLWYIFNJUPL-UHFFFAOYSA-N 0 0 438.510 -0.563 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2nc(CN3CCOCC3)cs2)CC1 ZINC000620430095 1073034805 /nfs/dbraw/zinc/03/48/05/1073034805.db2.gz PDVWUVZRMGTNPW-UHFFFAOYSA-N 0 0 432.572 -0.326 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)CCN3CCCS3(=O)=O)cc2)CC1 ZINC000620454229 1073035222 /nfs/dbraw/zinc/03/52/22/1073035222.db2.gz ALMJKTSWEGPGBG-UHFFFAOYSA-N 0 0 430.552 -0.013 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCc2cccc(OCCN3CCOCC3)c2)CC1 ZINC000620476551 1073035116 /nfs/dbraw/zinc/03/51/16/1073035116.db2.gz BRHVNRSTLCHNKA-UHFFFAOYSA-N 0 0 426.539 -0.071 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccc(C(=O)Nc3ccncc3)cc1)c(=O)n2C ZINC000620495294 1073035891 /nfs/dbraw/zinc/03/58/91/1073035891.db2.gz PRQDNDPMIBDPDO-UHFFFAOYSA-N 0 0 447.455 -0.181 20 0 IBADRN COc1cccc(CC(=O)N2CCN(CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)c1 ZINC000620502891 1073035797 /nfs/dbraw/zinc/03/57/97/1073035797.db2.gz RQMHKCFZMPSPPZ-UHFFFAOYSA-N 0 0 440.504 -0.171 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000620530628 1073035679 /nfs/dbraw/zinc/03/56/79/1073035679.db2.gz DNPMGALBDQBUNU-UHFFFAOYSA-N 0 0 429.568 -0.402 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)Cc4ccccc4F)CC3)c2c(=O)n(C)c1=O ZINC000620579860 1073035828 /nfs/dbraw/zinc/03/58/28/1073035828.db2.gz QZAHBYMPKWRXDN-UHFFFAOYSA-N 0 0 442.451 -0.514 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(Cc2cccnc2)CC1 ZINC000620595366 1073035616 /nfs/dbraw/zinc/03/56/16/1073035616.db2.gz PTDHDMNZTWVTFT-UHFFFAOYSA-N 0 0 431.474 -0.019 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000620598614 1073035710 /nfs/dbraw/zinc/03/57/10/1073035710.db2.gz HOHDJKNURWETSN-HNNXBMFYSA-N 0 0 426.495 -0.988 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000620598615 1073036056 /nfs/dbraw/zinc/03/60/56/1073036056.db2.gz HOHDJKNURWETSN-OAHLLOKOSA-N 0 0 426.495 -0.988 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000620598828 1073035869 /nfs/dbraw/zinc/03/58/69/1073035869.db2.gz ANNVWLVQQKHXRY-UHFFFAOYSA-N 0 0 426.539 -0.101 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CS(=O)(=O)CCCc2ccccc2)CC1 ZINC000620598842 1073035840 /nfs/dbraw/zinc/03/58/40/1073035840.db2.gz BEEQOLLDGMABHL-UHFFFAOYSA-N 0 0 431.580 -0.273 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000620599315 1073035748 /nfs/dbraw/zinc/03/57/48/1073035748.db2.gz KAXSININZZREIJ-UHFFFAOYSA-N 0 0 443.917 -0.369 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000620599434 1073035735 /nfs/dbraw/zinc/03/57/35/1073035735.db2.gz KZRWVPQYHJDFNM-KRWDZBQOSA-N 0 0 439.517 -0.402 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000620599435 1073035636 /nfs/dbraw/zinc/03/56/36/1073035636.db2.gz KZRWVPQYHJDFNM-QGZVFWFLSA-N 0 0 439.517 -0.402 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000620599440 1073035724 /nfs/dbraw/zinc/03/57/24/1073035724.db2.gz LDFQQHWLDJLCPZ-KRWDZBQOSA-N 0 0 439.517 -0.402 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000620599441 1073035663 /nfs/dbraw/zinc/03/56/63/1073035663.db2.gz LDFQQHWLDJLCPZ-QGZVFWFLSA-N 0 0 439.517 -0.402 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000620599849 1073036171 /nfs/dbraw/zinc/03/61/71/1073036171.db2.gz SAYRFNHMDIYPKG-UHFFFAOYSA-N 0 0 438.616 -0.478 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000620600026 1073036234 /nfs/dbraw/zinc/03/62/34/1073036234.db2.gz UDQDALWCPZUVCL-UHFFFAOYSA-N 0 0 446.595 -0.072 20 0 IBADRN Cc1sc2ncn(CC(=O)NCCN3CCN(S(C)(=O)=O)CC3)c(=O)c2c1C ZINC000620600061 1073036073 /nfs/dbraw/zinc/03/60/73/1073036073.db2.gz GKPQRCFZXJTLOS-UHFFFAOYSA-N 0 0 427.552 -0.232 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000620600241 1073036158 /nfs/dbraw/zinc/03/61/58/1073036158.db2.gz BGRSOIMPZDIRMD-HNNXBMFYSA-N 0 0 432.568 -0.645 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000620600349 1073036127 /nfs/dbraw/zinc/03/61/27/1073036127.db2.gz GZEDWQWSAXFHQF-ZDUSSCGKSA-N 0 0 436.531 -0.814 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000620600377 1073036189 /nfs/dbraw/zinc/03/61/89/1073036189.db2.gz IZDSRPCLBAHARU-AWEZNQCLSA-N 0 0 448.567 -0.945 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc3c(c2)CCCN3S(C)(=O)=O)CC1 ZINC000620600390 1073036218 /nfs/dbraw/zinc/03/62/18/1073036218.db2.gz JXXUHPLEYATFKU-UHFFFAOYSA-N 0 0 444.579 -0.294 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000620600413 1073036201 /nfs/dbraw/zinc/03/62/01/1073036201.db2.gz KSXXBUDRPXLUTN-UHFFFAOYSA-N 0 0 432.568 -0.915 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)CC1 ZINC000620600948 1073036151 /nfs/dbraw/zinc/03/61/51/1073036151.db2.gz QHWAUMQPLPTHCK-DZKIICNBSA-N 0 0 433.600 -0.594 20 0 IBADRN COc1ccc([C@@H](CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)NC(C)=O)cc1 ZINC000620600984 1073036180 /nfs/dbraw/zinc/03/61/80/1073036180.db2.gz QMMLYBLXUWSIOF-GOSISDBHSA-N 0 0 426.539 -0.044 20 0 IBADRN COc1ccc([C@H](CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)NC(C)=O)cc1 ZINC000620600989 1073036101 /nfs/dbraw/zinc/03/61/01/1073036101.db2.gz QMMLYBLXUWSIOF-SFHVURJKSA-N 0 0 426.539 -0.044 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C ZINC000620601041 1073036115 /nfs/dbraw/zinc/03/61/15/1073036115.db2.gz RUQCIXNZTJYJFW-UHFFFAOYSA-N 0 0 446.595 -0.383 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCC(=O)N2CC(=O)Nc3ccccc32)CC1 ZINC000620601177 1073036141 /nfs/dbraw/zinc/03/61/41/1073036141.db2.gz UKQBCQLIYFVECK-UHFFFAOYSA-N 0 0 437.522 -0.555 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H]2CSCN2C(=O)c2ccccc2)CC1 ZINC000620601196 1073036252 /nfs/dbraw/zinc/03/62/52/1073036252.db2.gz VBPWMSHOVBLJEX-INIZCTEOSA-N 0 0 426.564 -0.105 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H]2CSCN2C(=O)c2ccccc2)CC1 ZINC000620601197 1073036208 /nfs/dbraw/zinc/03/62/08/1073036208.db2.gz VBPWMSHOVBLJEX-MRXNPFEDSA-N 0 0 426.564 -0.105 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H](Cc2c[nH]c3ccccc23)NC(N)=O)CC1 ZINC000620601335 1073036240 /nfs/dbraw/zinc/03/62/40/1073036240.db2.gz YJTGROMQMPXEAS-KRWDZBQOSA-N 0 0 436.538 -0.559 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H](Cc2c[nH]c3ccccc23)NC(N)=O)CC1 ZINC000620601338 1073036092 /nfs/dbraw/zinc/03/60/92/1073036092.db2.gz YJTGROMQMPXEAS-QGZVFWFLSA-N 0 0 436.538 -0.559 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H]2CCCN2C(=O)Cc2cccs2)CC1 ZINC000620601928 1073035646 /nfs/dbraw/zinc/03/56/46/1073035646.db2.gz OZPSTCTWVHPGEZ-INIZCTEOSA-N 0 0 428.580 -0.025 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H]2CCCN2C(=O)Cc2cccs2)CC1 ZINC000620601929 1073035880 /nfs/dbraw/zinc/03/58/80/1073035880.db2.gz OZPSTCTWVHPGEZ-MRXNPFEDSA-N 0 0 428.580 -0.025 20 0 IBADRN CNC(=O)COc1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000620601957 1073035625 /nfs/dbraw/zinc/03/56/25/1073035625.db2.gz QHKXJMUMJSIPHF-UHFFFAOYSA-N 0 0 428.511 -0.873 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c2ccccn21 ZINC000620601973 1073035597 /nfs/dbraw/zinc/03/55/97/1073035597.db2.gz QXSKZWWAIYCJAL-UHFFFAOYSA-N 0 0 429.524 -0.955 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2nc3ncc(Br)cn3n2)CC1 ZINC000620602014 1073035607 /nfs/dbraw/zinc/03/56/07/1073035607.db2.gz UIXCBNPCAOGHEB-UHFFFAOYSA-N 0 0 432.304 -0.806 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC000620627648 1073036497 /nfs/dbraw/zinc/03/64/97/1073036497.db2.gz FANAJQKBCHUWLH-UHFFFAOYSA-N 0 0 440.522 -0.780 20 0 IBADRN CCN1CCN(c2ccc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2F)CC1 ZINC000620635524 1073036579 /nfs/dbraw/zinc/03/65/79/1073036579.db2.gz DTXBPHBHQGZPJD-UHFFFAOYSA-N 0 0 430.488 -0.061 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000620636073 1073036523 /nfs/dbraw/zinc/03/65/23/1073036523.db2.gz LVHLMHCPTBDUTN-UHFFFAOYSA-N 0 0 428.511 -0.873 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCNS(=O)(=O)c2ccccc2F)CC1 ZINC000620636157 1073036467 /nfs/dbraw/zinc/03/64/67/1073036467.db2.gz FKWFMLSLJGJEKO-UHFFFAOYSA-N 0 0 436.531 -0.813 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNC(=O)c2ccccc2OC(F)F)CC1 ZINC000620636187 1073036646 /nfs/dbraw/zinc/03/66/46/1073036646.db2.gz OHAALZWXDYUNAJ-UHFFFAOYSA-N 0 0 434.465 -0.289 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000620636201 1073036553 /nfs/dbraw/zinc/03/65/53/1073036553.db2.gz RXKZTPVIBJAEHG-UHFFFAOYSA-N 0 0 436.531 -0.813 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC000620674515 1073036610 /nfs/dbraw/zinc/03/66/10/1073036610.db2.gz HLBFRVZZJOAJNX-INIZCTEOSA-N 0 0 429.564 -0.087 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC000620674521 1073036486 /nfs/dbraw/zinc/03/64/86/1073036486.db2.gz HLBFRVZZJOAJNX-MRXNPFEDSA-N 0 0 429.564 -0.087 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000620674642 1073036660 /nfs/dbraw/zinc/03/66/60/1073036660.db2.gz NUPPUMCDGCZLRU-INIZCTEOSA-N 0 0 443.547 -0.501 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000620674643 1073036530 /nfs/dbraw/zinc/03/65/30/1073036530.db2.gz NUPPUMCDGCZLRU-MRXNPFEDSA-N 0 0 443.547 -0.501 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000620674652 1073036654 /nfs/dbraw/zinc/03/66/54/1073036654.db2.gz RAXUBDGRCKLGAW-INIZCTEOSA-N 0 0 443.547 -0.501 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000620674653 1073036837 /nfs/dbraw/zinc/03/68/37/1073036837.db2.gz RAXUBDGRCKLGAW-MRXNPFEDSA-N 0 0 443.547 -0.501 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000620674675 1073036958 /nfs/dbraw/zinc/03/69/58/1073036958.db2.gz YCSKNNJDMFVVHD-INIZCTEOSA-N 0 0 429.564 -0.087 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000620674676 1073036826 /nfs/dbraw/zinc/03/68/26/1073036826.db2.gz YCSKNNJDMFVVHD-MRXNPFEDSA-N 0 0 429.564 -0.087 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000620674677 1073036994 /nfs/dbraw/zinc/03/69/94/1073036994.db2.gz YMNKSUZZGXCAGU-AWEZNQCLSA-N 0 0 435.955 -0.050 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000620674678 1073036940 /nfs/dbraw/zinc/03/69/40/1073036940.db2.gz YMNKSUZZGXCAGU-CQSZACIVSA-N 0 0 435.955 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]23)CC1 ZINC000620719325 1073036894 /nfs/dbraw/zinc/03/68/94/1073036894.db2.gz QHWAUMQPLPTHCK-IJEWVQPXSA-N 0 0 433.600 -0.594 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)CC1 ZINC000620719326 1073036845 /nfs/dbraw/zinc/03/68/45/1073036845.db2.gz QHWAUMQPLPTHCK-OFQRWUPVSA-N 0 0 433.600 -0.594 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]23)CC1 ZINC000620719327 1073036903 /nfs/dbraw/zinc/03/69/03/1073036903.db2.gz QHWAUMQPLPTHCK-YCPHGPKFSA-N 0 0 433.600 -0.594 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000620750539 1073036857 /nfs/dbraw/zinc/03/68/57/1073036857.db2.gz SLGYZRVPQAWJIU-UHFFFAOYSA-N 0 0 426.466 -0.150 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cn(CC(=O)N(C)C)nn3)CC2)cc1 ZINC000620770144 1073036874 /nfs/dbraw/zinc/03/68/74/1073036874.db2.gz ILXKEIOELARVGJ-UHFFFAOYSA-N 0 0 448.505 -0.284 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)c2cccnc2)CC1 ZINC000620774209 1073036927 /nfs/dbraw/zinc/03/69/27/1073036927.db2.gz YTEQASQUXWYMNE-IBGZPJMESA-N 0 0 448.487 -0.220 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)c2cccnc2)CC1 ZINC000620774210 1073036986 /nfs/dbraw/zinc/03/69/86/1073036986.db2.gz YTEQASQUXWYMNE-LJQANCHMSA-N 0 0 448.487 -0.220 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c(OC)n1 ZINC000620831358 1073037228 /nfs/dbraw/zinc/03/72/28/1073037228.db2.gz CCKZANBUYFBJIV-UHFFFAOYSA-N 0 0 441.444 -0.074 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN(C(C)=O)CC1 ZINC000620831470 1073037248 /nfs/dbraw/zinc/03/72/48/1073037248.db2.gz PLRYWRUQHQNJDG-UHFFFAOYSA-N 0 0 431.493 -0.288 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000620833186 1073037416 /nfs/dbraw/zinc/03/74/16/1073037416.db2.gz QNALULGSCVBZHE-UHFFFAOYSA-N 0 0 433.509 -0.042 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCN(C4CC4)CC3)c2)CC1 ZINC000620844099 1073037369 /nfs/dbraw/zinc/03/73/69/1073037369.db2.gz GYDIKTAJZSVSIJ-UHFFFAOYSA-N 0 0 435.550 -0.132 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN(C2CC2)CC1 ZINC000620844120 1073037400 /nfs/dbraw/zinc/03/74/00/1073037400.db2.gz LOXLEMQRSMFFSC-UHFFFAOYSA-N 0 0 429.521 -0.006 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccc(C(=O)Nc4ccncc4)cc3)c2c(=O)n(C)c1=O ZINC000620873803 1073037387 /nfs/dbraw/zinc/03/73/87/1073037387.db2.gz RUICECPYABGCFE-UHFFFAOYSA-N 0 0 447.455 -0.181 20 0 IBADRN NC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)cn1 ZINC000620878205 1073037274 /nfs/dbraw/zinc/03/72/74/1073037274.db2.gz LPYFFRWWHCZYHH-UHFFFAOYSA-N 0 0 430.446 -0.091 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000620895445 1073037687 /nfs/dbraw/zinc/03/76/87/1073037687.db2.gz NKMJDIMEWCNJHP-UHFFFAOYSA-N 0 0 447.517 -0.683 20 0 IBADRN CS(=O)(=O)c1ccc(OCCCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000620895459 1073037601 /nfs/dbraw/zinc/03/76/01/1073037601.db2.gz UVTJIQAJNABWEX-UHFFFAOYSA-N 0 0 447.579 -0.058 20 0 IBADRN O=C(CN1C(=O)Cn2c(cc3ccccc32)C1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000620899576 1073037616 /nfs/dbraw/zinc/03/76/16/1073037616.db2.gz GITOBKFIWLIDJS-INIZCTEOSA-N 0 0 444.513 -0.045 20 0 IBADRN O=C(CN1C(=O)Cn2c(cc3ccccc32)C1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000620899577 1073037756 /nfs/dbraw/zinc/03/77/56/1073037756.db2.gz GITOBKFIWLIDJS-MRXNPFEDSA-N 0 0 444.513 -0.045 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCC(=O)NCc1cccnc1 ZINC000620904778 1073037693 /nfs/dbraw/zinc/03/76/93/1073037693.db2.gz DCGAOFMKPMGMTK-UHFFFAOYSA-N 0 0 435.506 -0.123 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccccc1OCC(=O)N(C)C ZINC000620916679 1073037648 /nfs/dbraw/zinc/03/76/48/1073037648.db2.gz XHGODHMLGDZCRM-AWEZNQCLSA-N 0 0 425.507 -0.081 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccccc1OCC(=O)N(C)C ZINC000620916680 1073037762 /nfs/dbraw/zinc/03/77/62/1073037762.db2.gz XHGODHMLGDZCRM-CQSZACIVSA-N 0 0 425.507 -0.081 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000620943094 1073037638 /nfs/dbraw/zinc/03/76/38/1073037638.db2.gz AOQOMUHMVZLJSA-UHFFFAOYSA-N 0 0 448.567 -0.813 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000620943101 1073037664 /nfs/dbraw/zinc/03/76/64/1073037664.db2.gz CDEQFBKFKHLDFX-UHFFFAOYSA-N 0 0 434.515 -0.434 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1 ZINC000620943111 1073037724 /nfs/dbraw/zinc/03/77/24/1073037724.db2.gz FOCIANIRFVWTHX-UHFFFAOYSA-N 0 0 433.556 -0.894 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cccc(C(=O)NC3CC3)c2)CC1 ZINC000620943116 1073037752 /nfs/dbraw/zinc/03/77/52/1073037752.db2.gz IGTGTSUBVLENFC-UHFFFAOYSA-N 0 0 430.552 -0.566 20 0 IBADRN CC(=O)N[C@H](C)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000620943120 1073037779 /nfs/dbraw/zinc/03/77/79/1073037779.db2.gz JUFQWYUKYOEQPF-GFCCVEGCSA-N 0 0 438.597 -0.199 20 0 IBADRN CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000620943121 1073038161 /nfs/dbraw/zinc/03/81/61/1073038161.db2.gz JUFQWYUKYOEQPF-LBPRGKRZSA-N 0 0 438.597 -0.199 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2C[C@@H]1C ZINC000620943124 1073038181 /nfs/dbraw/zinc/03/81/81/1073038181.db2.gz KTTBTOINANYPQE-AWEZNQCLSA-N 0 0 444.579 -0.160 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2C[C@H]1C ZINC000620943125 1073038210 /nfs/dbraw/zinc/03/82/10/1073038210.db2.gz KTTBTOINANYPQE-CQSZACIVSA-N 0 0 444.579 -0.160 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc21 ZINC000620943135 1073038103 /nfs/dbraw/zinc/03/81/03/1073038103.db2.gz MUCQAPGBNMWKLX-UHFFFAOYSA-N 0 0 444.579 -0.159 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000620943141 1073038171 /nfs/dbraw/zinc/03/81/71/1073038171.db2.gz PRUOTKMHIGNGQY-UHFFFAOYSA-N 0 0 438.597 -0.718 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000620943150 1073038218 /nfs/dbraw/zinc/03/82/18/1073038218.db2.gz RVLLBFUNBCJGIF-UHFFFAOYSA-N 0 0 432.568 -0.779 20 0 IBADRN CCOCCOc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000620943160 1073037726 /nfs/dbraw/zinc/03/77/26/1073037726.db2.gz VSTONJJUMVBNQO-UHFFFAOYSA-N 0 0 435.568 -0.043 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc21 ZINC000620943166 1073037670 /nfs/dbraw/zinc/03/76/70/1073037670.db2.gz YIUOSUCKIANPIP-UHFFFAOYSA-N 0 0 430.552 -0.549 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCN3Cc4ccccc4C3)CC2)CC1 ZINC000620959354 1073037990 /nfs/dbraw/zinc/03/79/90/1073037990.db2.gz GSWOSQVPQMSDLQ-UHFFFAOYSA-N 0 0 436.582 -0.178 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000620964520 1073037978 /nfs/dbraw/zinc/03/79/78/1073037978.db2.gz PPBJDSIKBCNMPB-HXUWFJFHSA-N 0 0 427.505 -0.306 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)cc2)CC1 ZINC000621017361 1073038972 /nfs/dbraw/zinc/03/89/72/1073038972.db2.gz JUCLHYWGQSLMPY-UHFFFAOYSA-N 0 0 445.520 -0.232 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc2)CC1 ZINC000621017494 1073038504 /nfs/dbraw/zinc/03/85/04/1073038504.db2.gz PPXBVNLLSBEDIH-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)cc2)CC1 ZINC000621017889 1073038573 /nfs/dbraw/zinc/03/85/73/1073038573.db2.gz RFTNODUKZMQWDC-UHFFFAOYSA-N 0 0 439.538 -0.542 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCNS(=O)(=O)CC)cc2)CC1 ZINC000621017955 1073038547 /nfs/dbraw/zinc/03/85/47/1073038547.db2.gz VMFSZZAPHRKVDU-UHFFFAOYSA-N 0 0 439.538 -0.542 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(=O)COC)CC3)cc2)CC1 ZINC000621019694 1073039186 /nfs/dbraw/zinc/03/91/86/1073039186.db2.gz JIJLGEGNEINYJV-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000621108470 1073039778 /nfs/dbraw/zinc/03/97/78/1073039778.db2.gz UXEWZFUEYHNTRJ-UHFFFAOYSA-N 0 0 440.522 -0.275 20 0 IBADRN O=C(CCNC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CCOCC1 ZINC000621139600 1073039720 /nfs/dbraw/zinc/03/97/20/1073039720.db2.gz CNSFULNVQZKYTB-UHFFFAOYSA-N 0 0 431.536 -0.128 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CC1 ZINC000621141513 1073039757 /nfs/dbraw/zinc/03/97/57/1073039757.db2.gz OLBWTFQTTKWHIQ-UHFFFAOYSA-N 0 0 444.579 -0.213 20 0 IBADRN CN(CCCNC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1)S(C)(=O)=O ZINC000621143910 1073039804 /nfs/dbraw/zinc/03/98/04/1073039804.db2.gz MFFFPCHZTJVJGU-UHFFFAOYSA-N 0 0 439.581 -0.095 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCC3(NS(C)(=O)=O)CCCCC3)nc2c(=O)[nH]c1=O ZINC000621450473 1073040858 /nfs/dbraw/zinc/04/08/58/1073040858.db2.gz RMQOYWGLOYCNIE-UHFFFAOYSA-N 0 0 426.499 -0.337 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(-c2ccncc2)n1 ZINC000621452191 1073040933 /nfs/dbraw/zinc/04/09/33/1073040933.db2.gz UUAUZYVHAOQIRO-UHFFFAOYSA-N 0 0 433.538 -0.268 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000621593597 1073041586 /nfs/dbraw/zinc/04/15/86/1073041586.db2.gz GRFNDWYDNPGTQJ-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN COc1ccc(C(=O)NCCS(=O)(=O)NC(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000621593638 1073041746 /nfs/dbraw/zinc/04/17/46/1073041746.db2.gz MEPZFQFBYXFFCF-UHFFFAOYSA-N 0 0 449.551 -0.226 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCS(=O)(=O)NC(C)C ZINC000621594432 1073041567 /nfs/dbraw/zinc/04/15/67/1073041567.db2.gz DOBNDTJBAQCCRX-UHFFFAOYSA-N 0 0 449.551 -0.226 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCS(=O)(=O)NC(C)C)c1 ZINC000621594444 1073041756 /nfs/dbraw/zinc/04/17/56/1073041756.db2.gz HGTTYAJCNVUEPM-UHFFFAOYSA-N 0 0 436.556 -0.677 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621636541 1073042129 /nfs/dbraw/zinc/04/21/29/1073042129.db2.gz DWGDMSSKBQMUBC-INIZCTEOSA-N 0 0 442.524 -0.807 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621636542 1073042199 /nfs/dbraw/zinc/04/21/99/1073042199.db2.gz DWGDMSSKBQMUBC-MRXNPFEDSA-N 0 0 442.524 -0.807 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000621636597 1073042314 /nfs/dbraw/zinc/04/23/14/1073042314.db2.gz RQWOXTWYVBAYJO-SFHVURJKSA-N 0 0 438.550 -0.467 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CCCCC1 ZINC000621637380 1073041542 /nfs/dbraw/zinc/04/15/42/1073041542.db2.gz WHCNEDCPFBNOTH-INIZCTEOSA-N 0 0 430.571 -0.465 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CCCCC1 ZINC000621637381 1073041619 /nfs/dbraw/zinc/04/16/19/1073041619.db2.gz WHCNEDCPFBNOTH-MRXNPFEDSA-N 0 0 430.571 -0.465 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000621637470 1073041796 /nfs/dbraw/zinc/04/17/96/1073041796.db2.gz XZJYNRUTLWPLSM-KRWDZBQOSA-N 0 0 449.552 -0.072 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000621637471 1073041657 /nfs/dbraw/zinc/04/16/57/1073041657.db2.gz XZJYNRUTLWPLSM-QGZVFWFLSA-N 0 0 449.552 -0.072 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621637503 1073041594 /nfs/dbraw/zinc/04/15/94/1073041594.db2.gz JXGLWHKDKFRYSK-PMACEKPBSA-N 0 0 449.596 -0.288 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621637504 1073041710 /nfs/dbraw/zinc/04/17/10/1073041710.db2.gz JXGLWHKDKFRYSK-UXHICEINSA-N 0 0 449.596 -0.288 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621637505 1073041727 /nfs/dbraw/zinc/04/17/27/1073041727.db2.gz JXGLWHKDKFRYSK-VQTJNVASSA-N 0 0 449.596 -0.288 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000621637558 1073041809 /nfs/dbraw/zinc/04/18/09/1073041809.db2.gz ZREQGAYCGPRHRZ-INIZCTEOSA-N 0 0 429.477 -0.142 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000621637559 1073041640 /nfs/dbraw/zinc/04/16/40/1073041640.db2.gz ZREQGAYCGPRHRZ-MRXNPFEDSA-N 0 0 429.477 -0.142 20 0 IBADRN C[C@]1(c2ccc(NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)cc2)NC(=O)NC1=O ZINC000621638590 1073041766 /nfs/dbraw/zinc/04/17/66/1073041766.db2.gz DAEGSRKMIQSBLL-LHSJRXKWSA-N 0 0 429.477 -0.140 20 0 IBADRN C[C@@]1(c2ccc(NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)cc2)NC(=O)NC1=O ZINC000621638591 1073041525 /nfs/dbraw/zinc/04/15/25/1073041525.db2.gz DAEGSRKMIQSBLL-QKKBWIMNSA-N 0 0 429.477 -0.140 20 0 IBADRN C[C@]1(c2ccc(NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)cc2)NC(=O)NC1=O ZINC000621638592 1073042254 /nfs/dbraw/zinc/04/22/54/1073042254.db2.gz DAEGSRKMIQSBLL-SPLOXXLWSA-N 0 0 429.477 -0.140 20 0 IBADRN C[C@@]1(c2ccc(NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)cc2)NC(=O)NC1=O ZINC000621638593 1073042054 /nfs/dbraw/zinc/04/20/54/1073042054.db2.gz DAEGSRKMIQSBLL-SZNDQCEHSA-N 0 0 429.477 -0.140 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621638692 1073042335 /nfs/dbraw/zinc/04/23/35/1073042335.db2.gz LVUQZDMUFWSPPQ-LJQANCHMSA-N 0 0 438.569 -0.052 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)CC1 ZINC000621639618 1073042077 /nfs/dbraw/zinc/04/20/77/1073042077.db2.gz DKOPWBUXMRFERG-LJQANCHMSA-N 0 0 435.569 -0.820 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621639695 1073042265 /nfs/dbraw/zinc/04/22/65/1073042265.db2.gz OGFCLTPLGPTSMQ-INIZCTEOSA-N 0 0 430.571 -0.513 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621639696 1073042292 /nfs/dbraw/zinc/04/22/92/1073042292.db2.gz OGFCLTPLGPTSMQ-MRXNPFEDSA-N 0 0 430.571 -0.513 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000621639796 1073042101 /nfs/dbraw/zinc/04/21/01/1073042101.db2.gz OXTLAQWEWZMUBK-SFHVURJKSA-N 0 0 438.550 -0.597 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000621639801 1073042184 /nfs/dbraw/zinc/04/21/84/1073042184.db2.gz TUFQHCXALXPSDP-KRWDZBQOSA-N 0 0 438.550 -0.266 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)cc1 ZINC000621639805 1073042279 /nfs/dbraw/zinc/04/22/79/1073042279.db2.gz VRQJVIHDMTXAEZ-FQEVSTJZSA-N 0 0 443.548 -0.390 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000621641015 1073042030 /nfs/dbraw/zinc/04/20/30/1073042030.db2.gz KSBYXMZBORTSQC-SFHVURJKSA-N 0 0 432.521 -0.050 20 0 IBADRN O=C(Nc1nncs1)C1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621641896 1073042221 /nfs/dbraw/zinc/04/22/21/1073042221.db2.gz BWYKDFHUNOWVMW-HNNXBMFYSA-N 0 0 436.538 -0.104 20 0 IBADRN O=C(Nc1nncs1)C1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000621641897 1073042237 /nfs/dbraw/zinc/04/22/37/1073042237.db2.gz BWYKDFHUNOWVMW-OAHLLOKOSA-N 0 0 436.538 -0.104 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000621646718 1073042206 /nfs/dbraw/zinc/04/22/06/1073042206.db2.gz CYMPHJMZRSCJSG-NVXWUHKLSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000621646719 1073042151 /nfs/dbraw/zinc/04/21/51/1073042151.db2.gz CYMPHJMZRSCJSG-RDJZCZTQSA-N 0 0 438.550 -0.047 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000621646720 1073042590 /nfs/dbraw/zinc/04/25/90/1073042590.db2.gz CYMPHJMZRSCJSG-WBVHZDCISA-N 0 0 438.550 -0.047 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000621648061 1073042671 /nfs/dbraw/zinc/04/26/71/1073042671.db2.gz GPCKZPJGJWGQJR-FXAWDEMLSA-N 0 0 426.583 -0.509 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000621648062 1073042772 /nfs/dbraw/zinc/04/27/72/1073042772.db2.gz GPCKZPJGJWGQJR-PXNSSMCTSA-N 0 0 426.583 -0.509 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000621648063 1073042629 /nfs/dbraw/zinc/04/26/29/1073042629.db2.gz GPCKZPJGJWGQJR-XLIONFOSSA-N 0 0 426.583 -0.509 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000621655521 1073042744 /nfs/dbraw/zinc/04/27/44/1073042744.db2.gz QANXOMXERYCLSU-LBPRGKRZSA-N 0 0 434.540 -0.244 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000621655533 1073042734 /nfs/dbraw/zinc/04/27/34/1073042734.db2.gz XKLUPFFOABUWMH-ZDUSSCGKSA-N 0 0 449.551 -0.041 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H](c2ccccc2)N2CCOCC2)CC1 ZINC000621701881 1073042749 /nfs/dbraw/zinc/04/27/49/1073042749.db2.gz DQHLSVRABNLQAD-IBGZPJMESA-N 0 0 439.582 -0.064 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H](c2ccccc2)N2CCOCC2)CC1 ZINC000621701882 1073042619 /nfs/dbraw/zinc/04/26/19/1073042619.db2.gz DQHLSVRABNLQAD-LJQANCHMSA-N 0 0 439.582 -0.064 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000621701922 1073042765 /nfs/dbraw/zinc/04/27/65/1073042765.db2.gz KTJPVURFURQZDU-UHFFFAOYSA-N 0 0 439.582 -0.105 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000621701926 1073042648 /nfs/dbraw/zinc/04/26/48/1073042648.db2.gz MBYNEMXYYHPIGK-UHFFFAOYSA-N 0 0 427.527 -0.239 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000621701989 1073184378 /nfs/dbraw/zinc/18/43/78/1073184378.db2.gz ZBQQXMUSBMJSES-IBGZPJMESA-N 0 0 439.582 -0.064 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000621701990 1073184369 /nfs/dbraw/zinc/18/43/69/1073184369.db2.gz ZBQQXMUSBMJSES-LJQANCHMSA-N 0 0 439.582 -0.064 20 0 IBADRN COc1cccc(C(=O)NCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000621702600 1073042657 /nfs/dbraw/zinc/04/26/57/1073042657.db2.gz RKTIAXZCOKYVQO-UHFFFAOYSA-N 0 0 427.527 -0.699 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000621702629 1073042663 /nfs/dbraw/zinc/04/26/63/1073042663.db2.gz XBICUKMXOHYTGQ-UHFFFAOYSA-N 0 0 447.583 -0.850 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000621702635 1073184127 /nfs/dbraw/zinc/18/41/27/1073184127.db2.gz XRFGZUVYAWDEMR-UHFFFAOYSA-N 0 0 426.543 -0.705 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000621703171 1073042571 /nfs/dbraw/zinc/04/25/71/1073042571.db2.gz UCHUZNNNCZIXPB-UHFFFAOYSA-N 0 0 433.556 -0.566 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000621703571 1073042685 /nfs/dbraw/zinc/04/26/85/1073042685.db2.gz NJTQARBTENZCRX-UHFFFAOYSA-N 0 0 427.527 -0.812 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000621703629 1073042611 /nfs/dbraw/zinc/04/26/11/1073042611.db2.gz WXJWWAFKHXOKFU-UHFFFAOYSA-N 0 0 441.554 -0.422 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000621703637 1073042700 /nfs/dbraw/zinc/04/27/00/1073042700.db2.gz YCTNXMAPAHSLAL-UHFFFAOYSA-N 0 0 432.568 -0.392 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)NCCN3CCN(S(C)(=O)=O)CC3)cn2)CCO1 ZINC000621704008 1073043158 /nfs/dbraw/zinc/04/31/58/1073043158.db2.gz GABJBMTUQATIMJ-INIZCTEOSA-N 0 0 440.570 -0.317 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)NCCN3CCN(S(C)(=O)=O)CC3)cn2)CCO1 ZINC000621704009 1073043058 /nfs/dbraw/zinc/04/30/58/1073043058.db2.gz GABJBMTUQATIMJ-MRXNPFEDSA-N 0 0 440.570 -0.317 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000621704097 1073043148 /nfs/dbraw/zinc/04/31/48/1073043148.db2.gz SEKQZTHFGIPEPG-UHFFFAOYSA-N 0 0 439.582 -0.105 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000621704618 1073042756 /nfs/dbraw/zinc/04/27/56/1073042756.db2.gz BEGFGMLDLJOAQI-KRWDZBQOSA-N 0 0 435.616 -0.929 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000621704619 1073042721 /nfs/dbraw/zinc/04/27/21/1073042721.db2.gz BEGFGMLDLJOAQI-QGZVFWFLSA-N 0 0 435.616 -0.929 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000621704644 1073043008 /nfs/dbraw/zinc/04/30/08/1073043008.db2.gz GWYSEAMFNURCTG-AWEZNQCLSA-N 0 0 447.583 -0.468 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000621710293 1073042962 /nfs/dbraw/zinc/04/29/62/1073042962.db2.gz JDGTUPMVJINNJK-UHFFFAOYSA-N 0 0 433.552 -0.448 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cnn(Cc3ccccc3)c2)CC1 ZINC000621820103 1073043163 /nfs/dbraw/zinc/04/31/63/1073043163.db2.gz JNXVWOAUICZSNK-UHFFFAOYSA-N 0 0 427.552 -0.213 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)NC3CCCC3)CC2)C[C@H](C)O1 ZINC000621841974 1073043022 /nfs/dbraw/zinc/04/30/22/1073043022.db2.gz HYHGOMYRRRLTBE-GASCZTMLSA-N 0 0 431.559 -0.274 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)NC3CCCC3)CC2)C[C@H](C)O1 ZINC000621841975 1073043070 /nfs/dbraw/zinc/04/30/70/1073043070.db2.gz HYHGOMYRRRLTBE-GJZGRUSLSA-N 0 0 431.559 -0.274 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(=O)NC3CCCC3)CC2)C[C@@H](C)O1 ZINC000621841976 1073043097 /nfs/dbraw/zinc/04/30/97/1073043097.db2.gz HYHGOMYRRRLTBE-HUUCEWRRSA-N 0 0 431.559 -0.274 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC(=O)NC3CCCC3)CC2)o1 ZINC000621843099 1073043546 /nfs/dbraw/zinc/04/35/46/1073043546.db2.gz VAQWACJIAXFGLO-UHFFFAOYSA-N 0 0 427.483 -0.547 20 0 IBADRN O=C(CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC1CCCC1 ZINC000621843114 1073043588 /nfs/dbraw/zinc/04/35/88/1073043588.db2.gz VRVBKISMULSQQX-UHFFFAOYSA-N 0 0 438.554 -0.109 20 0 IBADRN CS(=O)(=O)Cc1ccccc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000621883991 1073043534 /nfs/dbraw/zinc/04/35/34/1073043534.db2.gz HUHPIRHUGHIHOY-UHFFFAOYSA-N 0 0 438.506 -0.234 20 0 IBADRN CS(=O)(=O)Cc1ccccc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000621884866 1073043434 /nfs/dbraw/zinc/04/34/34/1073043434.db2.gz KKEGFNQSQFLXLU-UHFFFAOYSA-N 0 0 446.551 -0.042 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(C[C@@H]3CCCO3)CC2)CC1 ZINC000621972580 1073044134 /nfs/dbraw/zinc/04/41/34/1073044134.db2.gz KHFXQLXSQPGWIR-KRWDZBQOSA-N 0 0 428.541 -0.192 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(C[C@H]3CCCO3)CC2)CC1 ZINC000621972581 1073044066 /nfs/dbraw/zinc/04/40/66/1073044066.db2.gz KHFXQLXSQPGWIR-QGZVFWFLSA-N 0 0 428.541 -0.192 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)NC1CCCC1 ZINC000622011499 1073043917 /nfs/dbraw/zinc/04/39/17/1073043917.db2.gz HYKIKMMYYPROPL-INIZCTEOSA-N 0 0 445.563 -0.057 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)NC1CCCC1 ZINC000622011500 1073043931 /nfs/dbraw/zinc/04/39/31/1073043931.db2.gz HYKIKMMYYPROPL-MRXNPFEDSA-N 0 0 445.563 -0.057 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NC(C)C)CC1 ZINC000622136989 1073044739 /nfs/dbraw/zinc/04/47/39/1073044739.db2.gz RAGKHMIGOPSDKD-UHFFFAOYSA-N 0 0 437.544 -0.365 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(CS(C)(=O)=O)c2)CC1 ZINC000622162127 1073045053 /nfs/dbraw/zinc/04/50/53/1073045053.db2.gz GCHYVXFHRNFNKU-UHFFFAOYSA-N 0 0 432.524 -0.880 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CS(C)(=O)=O)CC1 ZINC000622162173 1073045040 /nfs/dbraw/zinc/04/50/40/1073045040.db2.gz RCWMXSBPSGJTDS-UHFFFAOYSA-N 0 0 432.524 -0.880 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000622162180 1073045066 /nfs/dbraw/zinc/04/50/66/1073045066.db2.gz UCCINUVIRAGOPS-UHFFFAOYSA-N 0 0 432.524 -0.880 20 0 IBADRN Cc1ccc2c(c1)N(C(=O)C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CC2 ZINC000622164698 1073045017 /nfs/dbraw/zinc/04/50/17/1073045017.db2.gz KMJQMBAQPJXPAP-UHFFFAOYSA-N 0 0 435.550 -0.479 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000622238036 1073045097 /nfs/dbraw/zinc/04/50/97/1073045097.db2.gz FJBSHSJKJDDFIL-UHFFFAOYSA-N 0 0 432.524 -0.490 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000622238043 1073045242 /nfs/dbraw/zinc/04/52/42/1073045242.db2.gz LVUATAOTEBTWGM-UHFFFAOYSA-N 0 0 439.538 -0.543 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000622238690 1073045291 /nfs/dbraw/zinc/04/52/91/1073045291.db2.gz GZJGCLGRSRSNTO-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)NC1CCCC1 ZINC000622243193 1073045111 /nfs/dbraw/zinc/04/51/11/1073045111.db2.gz BMCBMMCWYGVQEP-UHFFFAOYSA-N 0 0 445.520 -0.138 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)NC1CCCC1 ZINC000622243678 1073045233 /nfs/dbraw/zinc/04/52/33/1073045233.db2.gz YPFLJENMFBZPOE-GOSISDBHSA-N 0 0 444.488 -0.186 20 0 IBADRN O=C(CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)NC1CCCC1 ZINC000622243679 1073045008 /nfs/dbraw/zinc/04/50/08/1073045008.db2.gz YPFLJENMFBZPOE-SFHVURJKSA-N 0 0 444.488 -0.186 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)NC1CCCC1 ZINC000622243750 1073045170 /nfs/dbraw/zinc/04/51/70/1073045170.db2.gz DTAFMUATVGPINO-UHFFFAOYSA-N 0 0 438.506 -0.179 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC1CCCC1 ZINC000622243776 1073045183 /nfs/dbraw/zinc/04/51/83/1073045183.db2.gz HSEGLJCTUHICQH-UHFFFAOYSA-N 0 0 438.506 -0.179 20 0 IBADRN O=C(c1cc(F)cc(N2CCOCC2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000622295503 1073045670 /nfs/dbraw/zinc/04/56/70/1073045670.db2.gz GCTPTSNEABUBNS-UHFFFAOYSA-N 0 0 442.513 -0.003 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000622379605 1073045576 /nfs/dbraw/zinc/04/55/76/1073045576.db2.gz VAKZJGOLRKJZOE-UHFFFAOYSA-N 0 0 433.556 -0.421 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)on1 ZINC000622449756 1073045742 /nfs/dbraw/zinc/04/57/42/1073045742.db2.gz HIMOEIMEBHNLCU-UHFFFAOYSA-N 0 0 443.507 -0.153 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(CC(F)(F)F)C3)CC2)CC1 ZINC000622456739 1073045544 /nfs/dbraw/zinc/04/55/44/1073045544.db2.gz UKAKASFXAGNQCU-AWEZNQCLSA-N 0 0 442.508 -0.558 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCN(CC(F)(F)F)C3)cnc2n(C)c1=O ZINC000622479703 1073045787 /nfs/dbraw/zinc/04/57/87/1073045787.db2.gz GWTWEEWKYYFMSD-SECBINFHSA-N 0 0 428.371 -0.677 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000622480631 1073045618 /nfs/dbraw/zinc/04/56/18/1073045618.db2.gz JEVZNBROJKLFLS-UHFFFAOYSA-N 0 0 444.535 -0.439 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000622480671 1073216997 /nfs/dbraw/zinc/21/69/97/1073216997.db2.gz WLYOGNBOEFCTPL-UHFFFAOYSA-N 0 0 437.522 -0.836 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000622493627 1073046092 /nfs/dbraw/zinc/04/60/92/1073046092.db2.gz YYGQBYHFNGWTDP-LBPRGKRZSA-N 0 0 436.494 -0.199 20 0 IBADRN NC(=O)c1cccnc1N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000622501008 1073045726 /nfs/dbraw/zinc/04/57/26/1073045726.db2.gz YUFMOYRTFRERKX-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN Cc1cccc(CS(=O)(=O)CCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000622546709 1073046270 /nfs/dbraw/zinc/04/62/70/1073046270.db2.gz JCDZGIPVCNHZHU-UHFFFAOYSA-N 0 0 449.533 -0.211 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cc1ccccc1O[C@H]1CCOC1)C2 ZINC000622546744 1073046230 /nfs/dbraw/zinc/04/62/30/1073046230.db2.gz KGOIRLMNFGBSBU-INIZCTEOSA-N 0 0 429.477 -0.114 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cc1ccccc1O[C@@H]1CCOC1)C2 ZINC000622546745 1073046177 /nfs/dbraw/zinc/04/61/77/1073046177.db2.gz KGOIRLMNFGBSBU-MRXNPFEDSA-N 0 0 429.477 -0.114 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(F)cc(N3CCOCC3)c1)C2 ZINC000622546828 1073046057 /nfs/dbraw/zinc/04/60/57/1073046057.db2.gz NTSCDHSVWYVUQX-UHFFFAOYSA-N 0 0 432.456 -0.235 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CNC(=O)Nc1cccc(Cl)c1)C2 ZINC000622547007 1073046245 /nfs/dbraw/zinc/04/62/45/1073046245.db2.gz WBPMZGKRJLLLNS-UHFFFAOYSA-N 0 0 435.872 -0.050 20 0 IBADRN COc1ccc2c(c1)OC[C@H](C(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000622559077 1073046165 /nfs/dbraw/zinc/04/61/65/1073046165.db2.gz PXIJYZGODQUNCI-MRXNPFEDSA-N 0 0 425.507 -0.033 20 0 IBADRN COc1ccc2c(c1)OC[C@H](C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)C2 ZINC000622609238 1073046605 /nfs/dbraw/zinc/04/66/05/1073046605.db2.gz DSYCSHWJZMDVOA-QGZVFWFLSA-N 0 0 438.550 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)N2CCN(C)CC2)cc1OC ZINC000622656116 1073046652 /nfs/dbraw/zinc/04/66/52/1073046652.db2.gz GVCOEWJPTGAOAH-UHFFFAOYSA-N 0 0 428.511 -0.786 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1OC ZINC000622678558 1073046635 /nfs/dbraw/zinc/04/66/35/1073046635.db2.gz OHVGESTWGVXSJR-UHFFFAOYSA-N 0 0 428.511 -0.786 20 0 IBADRN CC(=O)NC1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000622821003 1073046981 /nfs/dbraw/zinc/04/69/81/1073046981.db2.gz CYEDJJROULRPPX-UHFFFAOYSA-N 0 0 438.550 -0.076 20 0 IBADRN CC(=O)NC1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000622821092 1073047005 /nfs/dbraw/zinc/04/70/05/1073047005.db2.gz QDXBJPDHBHJTGS-UHFFFAOYSA-N 0 0 427.527 -0.275 20 0 IBADRN CC(=O)NC1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000622821169 1073047149 /nfs/dbraw/zinc/04/71/49/1073047149.db2.gz QGYMNFDEZOFGKE-UHFFFAOYSA-N 0 0 438.550 -0.076 20 0 IBADRN CC(=O)NC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000622847947 1071050747 /nfs/dbraw/zinc/05/07/47/1071050747.db2.gz VUBXRVBPIABBPO-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN CC(=O)NC1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000622868813 1071054372 /nfs/dbraw/zinc/05/43/72/1071054372.db2.gz KGPKMAYZVXHEOX-UHFFFAOYSA-N 0 0 438.554 -0.157 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)NCC(=O)Nc2cccc3c(=O)[nH][nH]c(=O)c23)c1 ZINC000622884998 1073046944 /nfs/dbraw/zinc/04/69/44/1073046944.db2.gz LKLIDKVUVZQOCL-UHFFFAOYSA-N 0 0 434.434 -0.012 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(C)CC(=O)N3CCN(C)CC3)CC2)cn1C ZINC000622904320 1073048263 /nfs/dbraw/zinc/04/82/63/1073048263.db2.gz HKJJEOQDUIFMOC-UHFFFAOYSA-N 0 0 440.570 -0.638 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000622906842 1073047725 /nfs/dbraw/zinc/04/77/25/1073047725.db2.gz QVZGDEVLVKERMR-UHFFFAOYSA-N 0 0 439.581 -0.243 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CCO1 ZINC000622937753 1073048744 /nfs/dbraw/zinc/04/87/44/1073048744.db2.gz IJJXXBAIMMYYQT-GDBMZVCRSA-N 0 0 426.495 -0.460 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CCO1 ZINC000622937754 1073049317 /nfs/dbraw/zinc/04/93/17/1073049317.db2.gz IJJXXBAIMMYYQT-GOEBONIOSA-N 0 0 426.495 -0.460 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CCO1 ZINC000622937755 1073049334 /nfs/dbraw/zinc/04/93/34/1073049334.db2.gz IJJXXBAIMMYYQT-ZBFHGGJFSA-N 0 0 426.495 -0.460 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNc1ccc(S(C)(=O)=O)cc1 ZINC000622950128 1073049198 /nfs/dbraw/zinc/04/91/98/1073049198.db2.gz NBOMUUJEBGVKQO-AWEZNQCLSA-N 0 0 431.536 -0.346 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNc1ccc(S(C)(=O)=O)cc1 ZINC000622950129 1073049149 /nfs/dbraw/zinc/04/91/49/1073049149.db2.gz NBOMUUJEBGVKQO-CQSZACIVSA-N 0 0 431.536 -0.346 20 0 IBADRN CC(=O)NC1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000622963812 1073049321 /nfs/dbraw/zinc/04/93/21/1073049321.db2.gz DVWWIFXGZVAGLO-IBGZPJMESA-N 0 0 444.488 -0.234 20 0 IBADRN CC(=O)NC1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000622963813 1073049265 /nfs/dbraw/zinc/04/92/65/1073049265.db2.gz DVWWIFXGZVAGLO-LJQANCHMSA-N 0 0 444.488 -0.234 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000622967236 1073049244 /nfs/dbraw/zinc/04/92/44/1073049244.db2.gz XVWIPULBUHSIMW-UHFFFAOYSA-N 0 0 439.538 -0.542 20 0 IBADRN CS(=O)(=O)NCCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000622999047 1073049279 /nfs/dbraw/zinc/04/92/79/1073049279.db2.gz MXYZVPAHIQHEIG-UHFFFAOYSA-N 0 0 447.535 -0.380 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000623014727 1071314559 /nfs/dbraw/zinc/31/45/59/1071314559.db2.gz CMASDLMCNLMZSC-UHFFFAOYSA-N 0 0 445.564 -0.083 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000623015461 1073049122 /nfs/dbraw/zinc/04/91/22/1073049122.db2.gz STDPOBSHAHCKLX-MSOLQXFVSA-N 0 0 444.598 -0.723 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000623015462 1071316130 /nfs/dbraw/zinc/31/61/30/1071316130.db2.gz STDPOBSHAHCKLX-QZTJIDSGSA-N 0 0 444.598 -0.723 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(CC(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000623015463 1071316235 /nfs/dbraw/zinc/31/62/35/1071316235.db2.gz STDPOBSHAHCKLX-ROUUACIJSA-N 0 0 444.598 -0.723 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(CC(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000623015464 1071316049 /nfs/dbraw/zinc/31/60/49/1071316049.db2.gz STDPOBSHAHCKLX-ZWKOTPCHSA-N 0 0 444.598 -0.723 20 0 IBADRN CCNC(=O)CNC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000623024925 1071334313 /nfs/dbraw/zinc/33/43/13/1071334313.db2.gz NRNYCMHLFSDSLZ-UHFFFAOYSA-N 0 0 426.495 0.000 20 0 IBADRN CN(C)C(=O)CNC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000623024981 1073049230 /nfs/dbraw/zinc/04/92/30/1073049230.db2.gz ZGCVBZZXDQUPDP-UHFFFAOYSA-N 0 0 426.495 -0.048 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000623025048 1071334599 /nfs/dbraw/zinc/33/45/99/1071334599.db2.gz OMCNKILITHNRNJ-UHFFFAOYSA-N 0 0 437.522 -0.565 20 0 IBADRN COCCNC(=O)CN1CCN([C@@H](C)C(=O)NCCc2ccc3c(c2)OCCO3)CC1 ZINC000623050801 1071422537 /nfs/dbraw/zinc/42/25/37/1071422537.db2.gz GAOFYYMTASFURP-KRWDZBQOSA-N 0 0 434.537 -0.115 20 0 IBADRN COCCNC(=O)CN1CCN([C@H](C)C(=O)NCCc2ccc3c(c2)OCCO3)CC1 ZINC000623050802 1071422346 /nfs/dbraw/zinc/42/23/46/1071422346.db2.gz GAOFYYMTASFURP-QGZVFWFLSA-N 0 0 434.537 -0.115 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000623052932 1073049137 /nfs/dbraw/zinc/04/91/37/1073049137.db2.gz GGZMFWAUUDECPX-CVEARBPZSA-N 0 0 430.571 -0.531 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)C(=O)NC(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000623052933 1073049304 /nfs/dbraw/zinc/04/93/04/1073049304.db2.gz GGZMFWAUUDECPX-HOTGVXAUSA-N 0 0 430.571 -0.531 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000623052934 1073049206 /nfs/dbraw/zinc/04/92/06/1073049206.db2.gz GGZMFWAUUDECPX-HZPDHXFCSA-N 0 0 430.571 -0.531 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)C(=O)NC(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000623052935 1073049294 /nfs/dbraw/zinc/04/92/94/1073049294.db2.gz GGZMFWAUUDECPX-JKSUJKDBSA-N 0 0 430.571 -0.531 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000623078241 1073049746 /nfs/dbraw/zinc/04/97/46/1073049746.db2.gz TXSJDAZXXUGONZ-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000623078242 1073049688 /nfs/dbraw/zinc/04/96/88/1073049688.db2.gz TXSJDAZXXUGONZ-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1cc(NC(=O)CN(C)CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)no1 ZINC000623113600 1073049620 /nfs/dbraw/zinc/04/96/20/1073049620.db2.gz YSHIAPDBXNAAAU-UHFFFAOYSA-N 0 0 427.469 -0.121 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCC(=O)N3CCCCCC3)CC2)CC1 ZINC000623130327 1073050068 /nfs/dbraw/zinc/05/00/68/1073050068.db2.gz QKEMTCPSHOPSPD-UHFFFAOYSA-N 0 0 444.602 -0.402 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000623153735 1073050579 /nfs/dbraw/zinc/05/05/79/1073050579.db2.gz UJAYHVLICRVUFE-UHFFFAOYSA-N 0 0 431.541 -0.416 20 0 IBADRN CN(CCCNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)S(C)(=O)=O ZINC000623154178 1073050811 /nfs/dbraw/zinc/05/08/11/1073050811.db2.gz KIMSFUNVNQVKAX-UHFFFAOYSA-N 0 0 426.543 -0.299 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000623160334 1073050158 /nfs/dbraw/zinc/05/01/58/1073050158.db2.gz CJZQEAFZZRALST-MRXNPFEDSA-N 0 0 438.554 -0.157 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(F)cc(N3CCOCC3)c2)CC1 ZINC000623166720 1073050556 /nfs/dbraw/zinc/05/05/56/1073050556.db2.gz LLSLAKWESKRTPS-UHFFFAOYSA-N 0 0 443.501 -0.449 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)CN2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000623171468 1073050566 /nfs/dbraw/zinc/05/05/66/1073050566.db2.gz SQLBKJSKBGYQSJ-MSOLQXFVSA-N 0 0 444.598 -0.723 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)CN2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000623171469 1073050833 /nfs/dbraw/zinc/05/08/33/1073050833.db2.gz SQLBKJSKBGYQSJ-QZTJIDSGSA-N 0 0 444.598 -0.723 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)CN2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000623171470 1073050526 /nfs/dbraw/zinc/05/05/26/1073050526.db2.gz SQLBKJSKBGYQSJ-ROUUACIJSA-N 0 0 444.598 -0.723 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)CN2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000623171471 1073051270 /nfs/dbraw/zinc/05/12/70/1073051270.db2.gz SQLBKJSKBGYQSJ-ZWKOTPCHSA-N 0 0 444.598 -0.723 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(C(=O)N3CCCC3)CC2)CC1 ZINC000623172264 1073051093 /nfs/dbraw/zinc/05/10/93/1073051093.db2.gz NZIRVTYQNMVDAT-UHFFFAOYSA-N 0 0 441.540 -0.155 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)cc1C ZINC000623190877 1073051173 /nfs/dbraw/zinc/05/11/73/1073051173.db2.gz BFRWHVKFCYVIBP-UHFFFAOYSA-N 0 0 441.535 -0.320 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(N3CCC[C@H]3C(N)=O)CC2)cc1 ZINC000623196024 1073051138 /nfs/dbraw/zinc/05/11/38/1073051138.db2.gz ZYHIEUUNQUGTBY-INIZCTEOSA-N 0 0 430.552 -0.303 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(N3CCC[C@@H]3C(N)=O)CC2)cc1 ZINC000623196025 1073051059 /nfs/dbraw/zinc/05/10/59/1073051059.db2.gz ZYHIEUUNQUGTBY-MRXNPFEDSA-N 0 0 430.552 -0.303 20 0 IBADRN CN(C)CCn1ccc(=NC(=O)c2cccc(C(=O)N=c3ccn(CCN(C)C)[nH]3)n2)[nH]1 ZINC000623199022 1073051194 /nfs/dbraw/zinc/05/11/94/1073051194.db2.gz MRLOMRPIJIZLIU-UHFFFAOYSA-N 0 0 439.524 -0.054 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CCCCC1 ZINC000623215382 1073051357 /nfs/dbraw/zinc/05/13/57/1073051357.db2.gz JZYANLMBUKPNBF-UHFFFAOYSA-N 0 0 432.524 -0.359 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC000623330607 1073051648 /nfs/dbraw/zinc/05/16/48/1073051648.db2.gz BWFAHDAMWKVJDW-UHFFFAOYSA-N 0 0 448.567 -0.553 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000623330632 1073051703 /nfs/dbraw/zinc/05/17/03/1073051703.db2.gz JYGVMMKEUINNQQ-UHFFFAOYSA-N 0 0 435.524 -0.298 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC000623332911 1073052160 /nfs/dbraw/zinc/05/21/60/1073052160.db2.gz RHNHXNIJIVGVPH-KRWDZBQOSA-N 0 0 438.506 -0.227 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC000623332912 1073052200 /nfs/dbraw/zinc/05/22/00/1073052200.db2.gz RHNHXNIJIVGVPH-QGZVFWFLSA-N 0 0 438.506 -0.227 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CCC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000623359677 1073052280 /nfs/dbraw/zinc/05/22/80/1073052280.db2.gz CXTDIIMQJKZCDV-UHFFFAOYSA-N 0 0 448.549 -0.234 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000623359745 1073052326 /nfs/dbraw/zinc/05/23/26/1073052326.db2.gz XQYODDCAUJWOHL-UHFFFAOYSA-N 0 0 448.586 -0.982 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccccc3N3CCC(C(N)=O)CC3)c2c(=O)n(C)c1=O ZINC000623404474 1073052731 /nfs/dbraw/zinc/05/27/31/1073052731.db2.gz DXZHPVYVGHKUDG-UHFFFAOYSA-N 0 0 439.476 -0.226 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](O)COCC1CC1 ZINC000623538232 1073053654 /nfs/dbraw/zinc/05/36/54/1073053654.db2.gz DCXMMUAPUOEURK-KRWDZBQOSA-N 0 0 441.554 -0.380 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](O)COCC1CC1 ZINC000623538233 1073053719 /nfs/dbraw/zinc/05/37/19/1073053719.db2.gz DCXMMUAPUOEURK-QGZVFWFLSA-N 0 0 441.554 -0.380 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000623548655 1073053635 /nfs/dbraw/zinc/05/36/35/1073053635.db2.gz KMUIWHGLORSEIO-UHFFFAOYSA-N 0 0 433.484 -0.039 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000623549428 1073053338 /nfs/dbraw/zinc/05/33/38/1073053338.db2.gz YEWWSZRDGHXIQO-UHFFFAOYSA-N 0 0 449.483 -0.803 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NCC3CCN(S(C)(=O)=O)CC3)CC2)CC1 ZINC000623552899 1073053672 /nfs/dbraw/zinc/05/36/72/1073053672.db2.gz DQGMDQOYGRJJIW-UHFFFAOYSA-N 0 0 429.587 -0.146 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)CC1 ZINC000623553556 1072470326 /nfs/dbraw/zinc/47/03/26/1072470326.db2.gz ATBBHDYFLSFQFR-UHFFFAOYSA-N 0 0 429.587 -0.002 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1 ZINC000623559910 1072483948 /nfs/dbraw/zinc/48/39/48/1072483948.db2.gz AMNRROONJUOGSJ-UHFFFAOYSA-N 0 0 428.536 -0.592 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1 ZINC000623561789 1073053591 /nfs/dbraw/zinc/05/35/91/1073053591.db2.gz IGFYTHJISYRLPR-UHFFFAOYSA-N 0 0 442.563 -0.202 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000623581313 1073053841 /nfs/dbraw/zinc/05/38/41/1073053841.db2.gz DMNWIJHEYQFNCO-INIZCTEOSA-N 0 0 438.506 -0.686 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCC2CCN(S(C)(=O)=O)CC2)c2ccccc2O1 ZINC000623581314 1073053856 /nfs/dbraw/zinc/05/38/56/1073053856.db2.gz DMNWIJHEYQFNCO-MRXNPFEDSA-N 0 0 438.506 -0.686 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000623589192 1073053584 /nfs/dbraw/zinc/05/35/84/1073053584.db2.gz XHVOAJONFRHTBY-CYBMUJFWSA-N 0 0 436.581 -0.148 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2cccs2)CC1 ZINC000623589193 1073053772 /nfs/dbraw/zinc/05/37/72/1073053772.db2.gz XHVOAJONFRHTBY-ZDUSSCGKSA-N 0 0 436.581 -0.148 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000623693128 1073054309 /nfs/dbraw/zinc/05/43/09/1073054309.db2.gz LCUCUPPSZIGWIO-GXTWGEPZSA-N 0 0 442.523 -0.046 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000623693129 1073054207 /nfs/dbraw/zinc/05/42/07/1073054207.db2.gz LCUCUPPSZIGWIO-JSGCOSHPSA-N 0 0 442.523 -0.046 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000623693130 1073054335 /nfs/dbraw/zinc/05/43/35/1073054335.db2.gz LCUCUPPSZIGWIO-OCCSQVGLSA-N 0 0 442.523 -0.046 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000623693131 1073054258 /nfs/dbraw/zinc/05/42/58/1073054258.db2.gz LCUCUPPSZIGWIO-TZMCWYRMSA-N 0 0 442.523 -0.046 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000623701967 1073054169 /nfs/dbraw/zinc/05/41/69/1073054169.db2.gz NZJUCBYPLOCUJB-UHFFFAOYSA-N 0 0 438.554 -0.531 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1C ZINC000623768695 1073065415 /nfs/dbraw/zinc/06/54/15/1073065415.db2.gz PAOTWOQUMFXDNA-UHFFFAOYSA-N 0 0 433.556 -0.398 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)c(C)o1 ZINC000623768744 1073065399 /nfs/dbraw/zinc/06/53/99/1073065399.db2.gz TVPULMHRQNCZBG-UHFFFAOYSA-N 0 0 441.554 -0.059 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)CC1 ZINC000623769501 1073065803 /nfs/dbraw/zinc/06/58/03/1073065803.db2.gz AKGGIUWGXZTDDV-UHFFFAOYSA-N 0 0 441.529 -0.130 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000623769538 1073065857 /nfs/dbraw/zinc/06/58/57/1073065857.db2.gz BWKXIYBHJMIXKQ-UHFFFAOYSA-N 0 0 433.556 -0.364 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000623769547 1073065790 /nfs/dbraw/zinc/06/57/90/1073065790.db2.gz CHZGPQSVXPAJRX-UHFFFAOYSA-N 0 0 445.567 -0.075 20 0 IBADRN COc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1NS(C)(=O)=O ZINC000623769609 1073065723 /nfs/dbraw/zinc/06/57/23/1073065723.db2.gz FHUCTJOLCSMZDJ-UHFFFAOYSA-N 0 0 449.555 -0.235 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000623769639 1073065835 /nfs/dbraw/zinc/06/58/35/1073065835.db2.gz GLPSTZNWTDDWEM-UHFFFAOYSA-N 0 0 446.618 -0.851 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000623769653 1073065705 /nfs/dbraw/zinc/06/57/05/1073065705.db2.gz GWXLRDSNFHFTRP-UHFFFAOYSA-N 0 0 447.602 -0.472 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCNC(=O)c2ccccc2Cl)CC1 ZINC000623769705 1073065474 /nfs/dbraw/zinc/06/54/74/1073065474.db2.gz IWTBVKHQDIGIEZ-UHFFFAOYSA-N 0 0 431.946 -0.054 20 0 IBADRN Cc1nc(CN2CCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)cs1 ZINC000623769728 1073065325 /nfs/dbraw/zinc/06/53/25/1073065325.db2.gz JVALPMNKHSLCKE-UHFFFAOYSA-N 0 0 430.600 -0.144 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000623769732 1073065687 /nfs/dbraw/zinc/06/56/87/1073065687.db2.gz KBBWGCVEJJMNLQ-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)Cc3ccccc3)CC2)CC1 ZINC000623769779 1073065878 /nfs/dbraw/zinc/06/58/78/1073065878.db2.gz LZSKJNGIBBKFSN-UHFFFAOYSA-N 0 0 437.566 -0.340 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000623769848 1073065762 /nfs/dbraw/zinc/06/57/62/1073065762.db2.gz PJXKVUZOCPSFAW-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCC(C(=O)Nc3nncs3)CC2)CC1 ZINC000623769853 1073065771 /nfs/dbraw/zinc/06/57/71/1073065771.db2.gz PVVBCPMKLDHGNX-UHFFFAOYSA-N 0 0 445.571 -0.525 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)c3ccsc3)CC2)CC1 ZINC000623769882 1073065713 /nfs/dbraw/zinc/06/57/13/1073065713.db2.gz RBRHDTNFAPBVIH-UHFFFAOYSA-N 0 0 429.568 -0.207 20 0 IBADRN C[C@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000623769884 1073065817 /nfs/dbraw/zinc/06/58/17/1073065817.db2.gz RJCRXWLYRMCSRP-ZDUSSCGKSA-N 0 0 433.556 -0.729 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000623769933 1073065886 /nfs/dbraw/zinc/06/58/86/1073065886.db2.gz UGPDBKOMMWLDHJ-UHFFFAOYSA-N 0 0 427.527 -0.148 20 0 IBADRN COc1cc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1OCCO ZINC000623771011 1073066146 /nfs/dbraw/zinc/06/61/46/1073066146.db2.gz ALYDMGTZRFRACS-UHFFFAOYSA-N 0 0 430.527 -0.557 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)CC1 ZINC000623771033 1073066190 /nfs/dbraw/zinc/06/61/90/1073066190.db2.gz BDWHBBJTESAEBN-UHFFFAOYSA-N 0 0 439.538 -0.563 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000623771268 1073066096 /nfs/dbraw/zinc/06/60/96/1073066096.db2.gz MVVHUNSAPNUHST-AWEZNQCLSA-N 0 0 444.510 -0.969 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000623771269 1073066134 /nfs/dbraw/zinc/06/61/34/1073066134.db2.gz MVVHUNSAPNUHST-CQSZACIVSA-N 0 0 444.510 -0.969 20 0 IBADRN Cc1cccc(C)c1OC[C@@H](O)CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000623771324 1073065782 /nfs/dbraw/zinc/06/57/82/1073065782.db2.gz PDUUOFXNKSFHON-KRWDZBQOSA-N 0 0 428.555 -0.080 20 0 IBADRN Cc1cccc(C)c1OC[C@H](O)CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000623771325 1073065754 /nfs/dbraw/zinc/06/57/54/1073065754.db2.gz PDUUOFXNKSFHON-QGZVFWFLSA-N 0 0 428.555 -0.080 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000623771339 1073065826 /nfs/dbraw/zinc/06/58/26/1073065826.db2.gz PVGQQNOORAISIX-UHFFFAOYSA-N 0 0 439.604 -0.933 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000623771345 1073065811 /nfs/dbraw/zinc/06/58/11/1073065811.db2.gz PYZQWTRERIUBMH-UHFFFAOYSA-N 0 0 429.587 -0.002 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCC(CC(=O)N3CCCC3)CC2)CC1 ZINC000623771352 1073065743 /nfs/dbraw/zinc/06/57/43/1073065743.db2.gz QHFOFKIIRNDPFD-UHFFFAOYSA-N 0 0 429.587 -0.002 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000623771422 1073066155 /nfs/dbraw/zinc/06/61/55/1073066155.db2.gz TXRCGAVPOCALHE-UHFFFAOYSA-N 0 0 433.575 -0.862 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000623771465 1073065698 /nfs/dbraw/zinc/06/56/98/1073065698.db2.gz VOBXPJPMEKSYIT-UHFFFAOYSA-N 0 0 427.527 -0.699 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)c2)C1=O ZINC000623771473 1073065867 /nfs/dbraw/zinc/06/58/67/1073065867.db2.gz WDALJXWIKIKZJY-UHFFFAOYSA-N 0 0 438.510 -0.216 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)COc3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC000623838528 1073330676 /nfs/dbraw/zinc/33/06/76/1073330676.db2.gz AZIILTSILQNZIR-UHFFFAOYSA-N 0 0 437.478 -0.208 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCCCN2CCN(c3ncccn3)CC2)cc1 ZINC000623839559 1073066234 /nfs/dbraw/zinc/06/62/34/1073066234.db2.gz HCLAYHMIOZYOAK-UHFFFAOYSA-N 0 0 434.522 -0.169 20 0 IBADRN NS(=O)(=O)Cc1cccc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c1 ZINC000623843152 1073066720 /nfs/dbraw/zinc/06/67/20/1073066720.db2.gz SBJPKQWCYYMSJY-UHFFFAOYSA-N 0 0 430.486 -0.023 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000623843228 1073066794 /nfs/dbraw/zinc/06/67/94/1073066794.db2.gz ZMVYGSRCODHMFQ-UHFFFAOYSA-N 0 0 446.485 -0.399 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000623896768 1073066788 /nfs/dbraw/zinc/06/67/88/1073066788.db2.gz CVCORGJOWSACCR-HNNXBMFYSA-N 0 0 438.506 -0.030 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000623896769 1073066462 /nfs/dbraw/zinc/06/64/62/1073066462.db2.gz CVCORGJOWSACCR-OAHLLOKOSA-N 0 0 438.506 -0.030 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000624024866 1073066500 /nfs/dbraw/zinc/06/65/00/1073066500.db2.gz ZMLOPTLQNJZWSA-UHFFFAOYSA-N 0 0 432.568 -0.392 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000624034847 1073066673 /nfs/dbraw/zinc/06/66/73/1073066673.db2.gz ULXLYEMZAASLSS-HNNXBMFYSA-N 0 0 441.448 -0.623 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H]2CCCN2C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000624034848 1073066762 /nfs/dbraw/zinc/06/67/62/1073066762.db2.gz ULXLYEMZAASLSS-OAHLLOKOSA-N 0 0 441.448 -0.623 20 0 IBADRN Cc1cc(N2CCN(C(=O)COc3ccc(S(N)(=O)=O)cc3)CC2)n2ncnc2n1 ZINC000624035510 1073067047 /nfs/dbraw/zinc/06/70/47/1073067047.db2.gz PQBPIMPCETZIED-UHFFFAOYSA-N 0 0 431.478 -0.192 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCCS(=O)(=O)NCc2ccccc2)cc1 ZINC000624038823 1073066986 /nfs/dbraw/zinc/06/69/86/1073066986.db2.gz LPZDQTBIGDGIAO-UHFFFAOYSA-N 0 0 427.504 -0.051 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCN(C(=O)c3ccc4[nH]cnc4c3)CC2)c1 ZINC000624044875 1073067385 /nfs/dbraw/zinc/06/73/85/1073067385.db2.gz AVLMGEIDOOFSTD-UHFFFAOYSA-N 0 0 431.478 -0.219 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNC(=O)c2cc(-c3ccccc3)on2)CC1 ZINC000624046437 1073067396 /nfs/dbraw/zinc/06/73/96/1073067396.db2.gz SVNLKFANUIAJTE-UHFFFAOYSA-N 0 0 435.506 -0.235 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000624133222 1073067818 /nfs/dbraw/zinc/06/78/18/1073067818.db2.gz VCCVEHNAUHXFOQ-UHFFFAOYSA-N 0 0 430.961 -0.370 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000624141793 1073067609 /nfs/dbraw/zinc/06/76/09/1073067609.db2.gz LNUMLKAXNVZOEB-UHFFFAOYSA-N 0 0 444.988 -0.627 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)cc1 ZINC000624247772 1073068134 /nfs/dbraw/zinc/06/81/34/1073068134.db2.gz FIHCRQFUTBJKEX-UHFFFAOYSA-N 0 0 447.517 -0.037 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NCCNS(C)(=O)=O)c2ccccc2O1 ZINC000624420068 1073120487 /nfs/dbraw/zinc/12/04/87/1073120487.db2.gz VGSRJIFUTKTFRL-INIZCTEOSA-N 0 0 440.522 -0.249 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NCCNS(C)(=O)=O)c2ccccc2O1 ZINC000624420069 1073120375 /nfs/dbraw/zinc/12/03/75/1073120375.db2.gz VGSRJIFUTKTFRL-MRXNPFEDSA-N 0 0 440.522 -0.249 20 0 IBADRN CC(=O)N1CCCc2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)ccc21 ZINC000624423945 1073120458 /nfs/dbraw/zinc/12/04/58/1073120458.db2.gz LIEIUVYICPFOQJ-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000624518310 1073122160 /nfs/dbraw/zinc/12/21/60/1073122160.db2.gz DXNIKLBGSKKZKM-QAPCUYQASA-N 0 0 447.517 -0.042 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000624518311 1073122122 /nfs/dbraw/zinc/12/21/22/1073122122.db2.gz DXNIKLBGSKKZKM-YJBOKZPZSA-N 0 0 447.517 -0.042 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nc(N2CCCC2)ncc1Cl ZINC000624524135 1073122201 /nfs/dbraw/zinc/12/22/01/1073122201.db2.gz PXFYHTYFLWZGOH-KBPBESRZSA-N 0 0 429.930 -0.042 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nc(N2CCCC2)ncc1Cl ZINC000624524136 1073122199 /nfs/dbraw/zinc/12/21/99/1073122199.db2.gz PXFYHTYFLWZGOH-KGLIPLIRSA-N 0 0 429.930 -0.042 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nc(N2CCCC2)ncc1Cl ZINC000624524137 1073122131 /nfs/dbraw/zinc/12/21/31/1073122131.db2.gz PXFYHTYFLWZGOH-UONOGXRCSA-N 0 0 429.930 -0.042 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nc(N2CCCC2)ncc1Cl ZINC000624524138 1073122079 /nfs/dbraw/zinc/12/20/79/1073122079.db2.gz PXFYHTYFLWZGOH-ZIAGYGMSSA-N 0 0 429.930 -0.042 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000624524702 1073122106 /nfs/dbraw/zinc/12/21/06/1073122106.db2.gz FCRIYLDGSCKJSA-DLBZAZTESA-N 0 0 435.502 -0.323 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000624524703 1073122134 /nfs/dbraw/zinc/12/21/34/1073122134.db2.gz FCRIYLDGSCKJSA-IAGOWNOFSA-N 0 0 435.502 -0.323 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000624524704 1073122117 /nfs/dbraw/zinc/12/21/17/1073122117.db2.gz FCRIYLDGSCKJSA-IRXDYDNUSA-N 0 0 435.502 -0.323 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000624524705 1073122207 /nfs/dbraw/zinc/12/22/07/1073122207.db2.gz FCRIYLDGSCKJSA-SJORKVTESA-N 0 0 435.502 -0.323 20 0 IBADRN O=C(c1nc(N2CCCC2)ncc1Cl)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000624562149 1073122139 /nfs/dbraw/zinc/12/21/39/1073122139.db2.gz OKEWVEBORRIDDN-KBPBESRZSA-N 0 0 429.930 -0.354 20 0 IBADRN O=C(c1nc(N2CCCC2)ncc1Cl)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000624562150 1073122097 /nfs/dbraw/zinc/12/20/97/1073122097.db2.gz OKEWVEBORRIDDN-KGLIPLIRSA-N 0 0 429.930 -0.354 20 0 IBADRN O=C(c1nc(N2CCCC2)ncc1Cl)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000624562151 1073238972 /nfs/dbraw/zinc/23/89/72/1073238972.db2.gz OKEWVEBORRIDDN-UONOGXRCSA-N 0 0 429.930 -0.354 20 0 IBADRN O=C(c1nc(N2CCCC2)ncc1Cl)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000624562152 1073239066 /nfs/dbraw/zinc/23/90/66/1073239066.db2.gz OKEWVEBORRIDDN-ZIAGYGMSSA-N 0 0 429.930 -0.354 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000624562778 1073122143 /nfs/dbraw/zinc/12/21/43/1073122143.db2.gz IJNHASKLWHFRSP-DLBZAZTESA-N 0 0 435.502 -0.635 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000624562781 1073122735 /nfs/dbraw/zinc/12/27/35/1073122735.db2.gz IJNHASKLWHFRSP-IAGOWNOFSA-N 0 0 435.502 -0.635 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000624562782 1073122663 /nfs/dbraw/zinc/12/26/63/1073122663.db2.gz IJNHASKLWHFRSP-IRXDYDNUSA-N 0 0 435.502 -0.635 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000624562783 1073122508 /nfs/dbraw/zinc/12/25/08/1073122508.db2.gz IJNHASKLWHFRSP-SJORKVTESA-N 0 0 435.502 -0.635 20 0 IBADRN O=C(c1c[nH]nc1-c1ccc2c(c1)OCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000624562806 1073122441 /nfs/dbraw/zinc/12/24/41/1073122441.db2.gz PTLZDMJWBKWHRA-CVEARBPZSA-N 0 0 448.501 -0.236 20 0 IBADRN O=C(c1c[nH]nc1-c1ccc2c(c1)OCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000624562807 1073122629 /nfs/dbraw/zinc/12/26/29/1073122629.db2.gz PTLZDMJWBKWHRA-HOTGVXAUSA-N 0 0 448.501 -0.236 20 0 IBADRN O=C(c1c[nH]nc1-c1ccc2c(c1)OCCO2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000624562808 1073122713 /nfs/dbraw/zinc/12/27/13/1073122713.db2.gz PTLZDMJWBKWHRA-HZPDHXFCSA-N 0 0 448.501 -0.236 20 0 IBADRN O=C(c1c[nH]nc1-c1ccc2c(c1)OCCO2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000624562809 1073122446 /nfs/dbraw/zinc/12/24/46/1073122446.db2.gz PTLZDMJWBKWHRA-JKSUJKDBSA-N 0 0 448.501 -0.236 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000624645043 1073124862 /nfs/dbraw/zinc/12/48/62/1073124862.db2.gz LTWKUQVODUQERX-UHFFFAOYSA-N 0 0 430.552 -0.337 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000624682879 1073125461 /nfs/dbraw/zinc/12/54/61/1073125461.db2.gz UEZLGKHCFDKOSA-QAPCUYQASA-N 0 0 437.518 -0.100 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000624682988 1073125551 /nfs/dbraw/zinc/12/55/51/1073125551.db2.gz UEZLGKHCFDKOSA-YJBOKZPZSA-N 0 0 437.518 -0.100 20 0 IBADRN O=C(CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)Nc1cccnc1 ZINC000624748805 1073142210 /nfs/dbraw/zinc/14/22/10/1073142210.db2.gz GVJLIQRFCFXFEB-UHFFFAOYSA-N 0 0 431.474 -0.039 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000624759797 1073146097 /nfs/dbraw/zinc/14/60/97/1073146097.db2.gz JWCCJZFRPTXKEM-ZDUSSCGKSA-N 0 0 425.511 -0.798 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(OC)cc2)C(C)C)CC1 ZINC000624759811 1073146118 /nfs/dbraw/zinc/14/61/18/1073146118.db2.gz QGNWLHIKSSCFTN-SFHVURJKSA-N 0 0 426.539 -0.112 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000624765107 1070821959 /nfs/dbraw/zinc/82/19/59/1070821959.db2.gz CNEOUVKHCJSLSM-RDJZCZTQSA-N 0 0 442.513 -0.818 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000624765114 1073146904 /nfs/dbraw/zinc/14/69/04/1073146904.db2.gz CNEOUVKHCJSLSM-WBVHZDCISA-N 0 0 442.513 -0.818 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1ccc(C(=O)NCC(N)=O)cc1 ZINC000624907115 1073226644 /nfs/dbraw/zinc/22/66/44/1073226644.db2.gz CXBUDVREPRFRIH-UHFFFAOYSA-N 0 0 449.489 -0.487 20 0 IBADRN NC(=O)CNC(=O)c1ccc(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cc1 ZINC000624907123 1073226571 /nfs/dbraw/zinc/22/65/71/1073226571.db2.gz GLWFKPZWWLGAQW-UHFFFAOYSA-N 0 0 436.446 -0.232 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCc4ccc(S(C)(=O)=O)cc43)c2c(=O)n(C)c1=O ZINC000624983822 1073234695 /nfs/dbraw/zinc/23/46/95/1073234695.db2.gz OBTMQKBQOMLGBI-UHFFFAOYSA-N 0 0 431.474 -0.183 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000625125678 1073238554 /nfs/dbraw/zinc/23/85/54/1073238554.db2.gz HBPMMKAFLKEOBK-UHFFFAOYSA-N 0 0 444.579 -0.519 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cn(-c3ccc(C(F)(F)F)cn3)nn2)CC1 ZINC000625163208 1070837649 /nfs/dbraw/zinc/83/76/49/1070837649.db2.gz PHRFUFWHDBJLRR-UHFFFAOYSA-N 0 0 447.443 -0.012 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000625183732 1073238993 /nfs/dbraw/zinc/23/89/93/1073238993.db2.gz XCUVIVYBPCXBFX-UHFFFAOYSA-N 0 0 449.489 -0.243 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000626556692 1072586385 /nfs/dbraw/zinc/58/63/85/1072586385.db2.gz LORWNHSSJRYACU-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN COc1ccc(C(=O)NCCC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000626556757 1072586258 /nfs/dbraw/zinc/58/62/58/1072586258.db2.gz PEZONAVSAIAESH-UHFFFAOYSA-N 0 0 441.506 -0.305 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000626556855 1072586377 /nfs/dbraw/zinc/58/63/77/1072586377.db2.gz UTIPUIVTHCECAN-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN Cn1c2ncn(CCC(=O)NCC3(NS(C)(=O)=O)CCCCC3)c2c(=O)n(C)c1=O ZINC000626561331 1072586270 /nfs/dbraw/zinc/58/62/70/1072586270.db2.gz DIUWEZXBSCOUDI-UHFFFAOYSA-N 0 0 440.526 -0.808 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000627298856 1072587536 /nfs/dbraw/zinc/58/75/36/1072587536.db2.gz AGSLTIXPARXWNQ-LLVKDONJSA-N 0 0 443.503 -0.774 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000627298857 1073133646 /nfs/dbraw/zinc/13/36/46/1073133646.db2.gz AGSLTIXPARXWNQ-NSHDSACASA-N 0 0 443.503 -0.774 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000627484908 1072587372 /nfs/dbraw/zinc/58/73/72/1072587372.db2.gz MAGIQFACPYCAFJ-UHFFFAOYSA-N 0 0 430.552 -0.478 20 0 IBADRN CC(C)NC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000627495621 1072587420 /nfs/dbraw/zinc/58/74/20/1072587420.db2.gz QVFUCJXRNIKABN-UHFFFAOYSA-N 0 0 444.579 -0.042 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2C1=O ZINC000627506182 1072587966 /nfs/dbraw/zinc/58/79/66/1072587966.db2.gz CPLLMLHPAPTTMB-UHFFFAOYSA-N 0 0 436.490 -0.923 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)cc2C1=O ZINC000627564197 1072587843 /nfs/dbraw/zinc/58/78/43/1072587843.db2.gz JDGGAHVALAYXFV-GFCCVEGCSA-N 0 0 427.504 -0.594 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)cc2C1=O ZINC000627564198 1072587826 /nfs/dbraw/zinc/58/78/26/1072587826.db2.gz JDGGAHVALAYXFV-LBPRGKRZSA-N 0 0 427.504 -0.594 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1)NC1CC1 ZINC000627598326 1072587917 /nfs/dbraw/zinc/58/79/17/1072587917.db2.gz GHRXDFBFMDPTDW-UHFFFAOYSA-N 0 0 442.563 -0.288 20 0 IBADRN CCCCn1c(N)c(N(C)C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)c(=O)[nH]c1=O ZINC000627848607 1072587999 /nfs/dbraw/zinc/58/79/99/1072587999.db2.gz LVQHPUQYCSAISB-UHFFFAOYSA-N 0 0 429.437 -0.447 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2C1=O ZINC000627851322 1072588041 /nfs/dbraw/zinc/58/80/41/1072588041.db2.gz SJUIGLPVKBXSMX-UHFFFAOYSA-N 0 0 436.490 -0.923 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1)N1CCOCC1 ZINC000627983864 1072587939 /nfs/dbraw/zinc/58/79/39/1072587939.db2.gz IAWDFBXLGUHVLH-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC000627984095 1072587957 /nfs/dbraw/zinc/58/79/57/1072587957.db2.gz NELLBPFHVSHBOA-UHFFFAOYSA-N 0 0 447.535 -0.765 20 0 IBADRN CN(CCS(=O)(=O)NCCC(=O)N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000627997109 1072588074 /nfs/dbraw/zinc/58/80/74/1072588074.db2.gz CUCCNBOCVSFTFO-UHFFFAOYSA-N 0 0 437.515 -0.386 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)c(=O)[nH]c1=O ZINC000628187677 1072587977 /nfs/dbraw/zinc/58/79/77/1072587977.db2.gz WJVJMQRFNDRUSU-UHFFFAOYSA-N 0 0 443.464 -0.057 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)cnc2n(C)c1=O ZINC000628230861 1072588065 /nfs/dbraw/zinc/58/80/65/1072588065.db2.gz WMBQAMXPACOTMP-KBPBESRZSA-N 0 0 436.490 -0.560 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)cnc2n(C)c1=O ZINC000628230862 1072587884 /nfs/dbraw/zinc/58/78/84/1072587884.db2.gz WMBQAMXPACOTMP-KGLIPLIRSA-N 0 0 436.490 -0.560 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)cnc2n(C)c1=O ZINC000628230863 1072587909 /nfs/dbraw/zinc/58/79/09/1072587909.db2.gz WMBQAMXPACOTMP-UONOGXRCSA-N 0 0 436.490 -0.560 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)cnc2n(C)c1=O ZINC000628230864 1072587933 /nfs/dbraw/zinc/58/79/33/1072587933.db2.gz WMBQAMXPACOTMP-ZIAGYGMSSA-N 0 0 436.490 -0.560 20 0 IBADRN CN(CC(=O)Nc1ccccc1C(F)(F)F)C(=O)CN1CCN(S(N)(=O)=O)CC1 ZINC000628477375 1072588404 /nfs/dbraw/zinc/58/84/04/1072588404.db2.gz UKWZPVFZKAILQY-UHFFFAOYSA-N 0 0 437.444 -0.077 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(Cc3cccnc3)[C@H]3CCS(=O)(=O)C3)cnc2n(C)c1=O ZINC000629022806 1072589014 /nfs/dbraw/zinc/58/90/14/1072589014.db2.gz CMDBLUJSPWNOHW-HNNXBMFYSA-N 0 0 443.485 -0.143 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(Cc3cccnc3)[C@@H]3CCS(=O)(=O)C3)cnc2n(C)c1=O ZINC000629022814 1072589273 /nfs/dbraw/zinc/58/92/73/1072589273.db2.gz CMDBLUJSPWNOHW-OAHLLOKOSA-N 0 0 443.485 -0.143 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CSc1nnc(-c2ccco2)n1CCC(N)=O ZINC000629969455 1072589619 /nfs/dbraw/zinc/58/96/19/1072589619.db2.gz RGPUGKOBQUFDQG-UHFFFAOYSA-N 0 0 436.494 -0.177 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H]1CN(Cc3ccccc3)CCO1)c(=O)n2C ZINC000629994716 1072589769 /nfs/dbraw/zinc/58/97/69/1072589769.db2.gz QKPWROYGZRCOJY-INIZCTEOSA-N 0 0 426.477 -0.549 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H]1CN(Cc3ccccc3)CCO1)c(=O)n2C ZINC000629994723 1072589825 /nfs/dbraw/zinc/58/98/25/1072589825.db2.gz QKPWROYGZRCOJY-MRXNPFEDSA-N 0 0 426.477 -0.549 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1 ZINC000630019608 1072589749 /nfs/dbraw/zinc/58/97/49/1072589749.db2.gz VYWZRTNPTXMERF-HNNXBMFYSA-N 0 0 432.550 -0.047 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1 ZINC000630019612 1072589576 /nfs/dbraw/zinc/58/95/76/1072589576.db2.gz VYWZRTNPTXMERF-OAHLLOKOSA-N 0 0 432.550 -0.047 20 0 IBADRN Cc1ccccc1[C@H]1C(=O)NCCN1c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000630031367 1072589886 /nfs/dbraw/zinc/58/98/86/1072589886.db2.gz FKDXOESKRHJECU-GVOAOECXSA-N 0 0 440.460 -0.576 20 0 IBADRN Cc1ccccc1[C@@H]1C(=O)NCCN1c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000630031368 1072589698 /nfs/dbraw/zinc/58/96/98/1072589698.db2.gz FKDXOESKRHJECU-RZHAUDGPSA-N 0 0 440.460 -0.576 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)CC2)n1 ZINC000630343332 1072589807 /nfs/dbraw/zinc/58/98/07/1072589807.db2.gz JZQBWJCQJGODIG-UHFFFAOYSA-N 0 0 438.492 -0.724 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)CC2)c1 ZINC000630343414 1072590272 /nfs/dbraw/zinc/59/02/72/1072590272.db2.gz MTIDWSVWNKXPET-UHFFFAOYSA-N 0 0 437.504 -0.119 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N3CCN(CC(F)(F)F)CC3)CC2)nc1 ZINC000630343495 1072589606 /nfs/dbraw/zinc/58/96/06/1072589606.db2.gz PRKRYFRRCHVOJK-UHFFFAOYSA-N 0 0 428.415 -0.464 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N3CCN(c4ccc(F)cn4)CC3)CC2)nc1 ZINC000630343714 1071594105 /nfs/dbraw/zinc/59/41/05/1071594105.db2.gz UZDXZZQSEBAKNA-UHFFFAOYSA-N 0 0 441.467 -0.288 20 0 IBADRN O=C(COCC(=O)N1CCN(c2ncccn2)CC1)N1CCN(c2ncccn2)CC1 ZINC000630343906 1072589858 /nfs/dbraw/zinc/58/98/58/1072589858.db2.gz WZUPBNYOOZSPNO-UHFFFAOYSA-N 0 0 426.481 -0.719 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCN(C)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000631261903 1072593226 /nfs/dbraw/zinc/59/32/26/1072593226.db2.gz MCTGNNIUJVAFPT-UHFFFAOYSA-N 0 0 438.529 -0.279 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCN(C)CC1)c(=O)n2Cc1ccccc1 ZINC000631262827 1072593452 /nfs/dbraw/zinc/59/34/52/1072593452.db2.gz VRIFXSTZJVNPEP-UHFFFAOYSA-N 0 0 440.504 -0.172 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000632975215 1072595390 /nfs/dbraw/zinc/59/53/90/1072595390.db2.gz XBLYDGVZAYLVCH-UHFFFAOYSA-N 0 0 426.543 -0.394 20 0 IBADRN COC[C@]1(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)OCCc2ccccc21 ZINC000632987211 1072595271 /nfs/dbraw/zinc/59/52/71/1072595271.db2.gz IRQPWSRKGUGZMR-FQEVSTJZSA-N 0 0 440.566 -0.023 20 0 IBADRN COC[C@@]1(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)OCCc2ccccc21 ZINC000632987212 1072595304 /nfs/dbraw/zinc/59/53/04/1072595304.db2.gz IRQPWSRKGUGZMR-HXUWFJFHSA-N 0 0 440.566 -0.023 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000633727196 1072595363 /nfs/dbraw/zinc/59/53/63/1072595363.db2.gz WOMWAAYXEWRPFC-HNNXBMFYSA-N 0 0 438.554 -0.112 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000633727207 1072595442 /nfs/dbraw/zinc/59/54/42/1072595442.db2.gz WOMWAAYXEWRPFC-OAHLLOKOSA-N 0 0 438.554 -0.112 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(S(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000635529391 1072596450 /nfs/dbraw/zinc/59/64/50/1072596450.db2.gz AGDABCPYYAUSCR-UHFFFAOYSA-N 0 0 437.507 -0.478 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000635529428 1072596334 /nfs/dbraw/zinc/59/63/34/1072596334.db2.gz FYUZNHYBOGZNLI-UHFFFAOYSA-N 0 0 446.551 -0.706 20 0 IBADRN COc1ccc(C(=O)NC(C(N)=O)C(N)=O)cc1S(=O)(=O)N(C)Cc1ccccc1 ZINC000637274659 1071472453 /nfs/dbraw/zinc/47/24/53/1071472453.db2.gz CYJUUOZNALEOBR-UHFFFAOYSA-N 0 0 434.474 -0.415 20 0 IBADRN COc1ccc(C(=O)NC(C(N)=O)C(N)=O)cc1S(=O)(=O)N1CCCc2ccccc21 ZINC000637274943 1072596479 /nfs/dbraw/zinc/59/64/79/1072596479.db2.gz HUCJWFBAOHEMIS-UHFFFAOYSA-N 0 0 446.485 -0.094 20 0 IBADRN NC(=O)C(NC(=O)CCNS(=O)(=O)c1ccc(Cl)c(C(F)(F)F)c1)C(N)=O ZINC000637276003 1072596752 /nfs/dbraw/zinc/59/67/52/1072596752.db2.gz WFYGXIYRRJGGBB-UHFFFAOYSA-N 0 0 430.792 -0.517 20 0 IBADRN COc1ccc(C(=O)NC(C(N)=O)C(N)=O)cc1S(=O)(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC000637278246 1072596734 /nfs/dbraw/zinc/59/67/34/1072596734.db2.gz LAJNQMLWQRIMOX-GHMZBOCLSA-N 0 0 426.495 -0.569 20 0 IBADRN COc1ccc(C(=O)NC(C(N)=O)C(N)=O)cc1S(=O)(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000637278247 1072596936 /nfs/dbraw/zinc/59/69/36/1072596936.db2.gz LAJNQMLWQRIMOX-PHIMTYICSA-N 0 0 426.495 -0.569 20 0 IBADRN COc1ccc(C(=O)NC(C(N)=O)C(N)=O)cc1S(=O)(=O)N1C[C@@H](C)C[C@H](C)C1 ZINC000637278248 1072596930 /nfs/dbraw/zinc/59/69/30/1072596930.db2.gz LAJNQMLWQRIMOX-QWRGUYRKSA-N 0 0 426.495 -0.569 20 0 IBADRN NC(=O)C(NC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1)C(N)=O ZINC000637279308 1071472245 /nfs/dbraw/zinc/47/22/45/1071472245.db2.gz SBHGAEWMTNYJLQ-SECBINFHSA-N 0 0 447.311 -0.695 20 0 IBADRN NC(=O)C(NC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1)C(N)=O ZINC000637279312 1071472221 /nfs/dbraw/zinc/47/22/21/1071472221.db2.gz SBHGAEWMTNYJLQ-VIFPVBQESA-N 0 0 447.311 -0.695 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000637918595 1072596885 /nfs/dbraw/zinc/59/68/85/1072596885.db2.gz HIDBUIXJDQJUJK-AWEZNQCLSA-N 0 0 425.577 -0.676 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000637918596 1072596912 /nfs/dbraw/zinc/59/69/12/1072596912.db2.gz HIDBUIXJDQJUJK-CQSZACIVSA-N 0 0 425.577 -0.676 20 0 IBADRN NC(=O)Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000638294436 1072597376 /nfs/dbraw/zinc/59/73/76/1072597376.db2.gz JPLJTNPFGKBJRT-UHFFFAOYSA-N 0 0 425.511 -0.881 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCc3ccc(CC(N)=O)cc3)CC2)cn1C ZINC000638322683 1072597215 /nfs/dbraw/zinc/59/72/15/1072597215.db2.gz APWDLVGOLAPRLB-UHFFFAOYSA-N 0 0 434.522 -0.028 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(CC(N)=O)cc3)CC2)CC1 ZINC000638334373 1072597340 /nfs/dbraw/zinc/59/73/40/1072597340.db2.gz AZWGWGSLMGRVRI-UHFFFAOYSA-N 0 0 438.554 -0.966 20 0 IBADRN NC(=O)Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1 ZINC000638350203 1072597351 /nfs/dbraw/zinc/59/73/51/1072597351.db2.gz QCMPICAOVBSETL-UHFFFAOYSA-N 0 0 449.489 -0.378 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(c2ncccn2)CC1)n1cnc2c1c(=O)n(C)c(=O)n2C ZINC000639307676 1072597888 /nfs/dbraw/zinc/59/78/88/1072597888.db2.gz FCGWRFSUFBBJHB-AWEZNQCLSA-N 0 0 426.481 -0.230 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(c2ncccn2)CC1)n1cnc2c1c(=O)n(C)c(=O)n2C ZINC000639307677 1072597812 /nfs/dbraw/zinc/59/78/12/1072597812.db2.gz FCGWRFSUFBBJHB-CQSZACIVSA-N 0 0 426.481 -0.230 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1)N1CCOCC1 ZINC000640318337 1072598464 /nfs/dbraw/zinc/59/84/64/1072598464.db2.gz PWDJPWKFWUVVDH-UHFFFAOYSA-N 0 0 442.476 -0.551 20 0 IBADRN CN(C)c1cccnc1CNC(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000640329701 1073287265 /nfs/dbraw/zinc/28/72/65/1073287265.db2.gz DKPHRAHRJVADDJ-UHFFFAOYSA-N 0 0 426.543 -0.012 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1 ZINC000640492605 1072598289 /nfs/dbraw/zinc/59/82/89/1072598289.db2.gz HBISVPOCGBLSHS-UHFFFAOYSA-N 0 0 442.476 -0.551 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000641135617 1072598315 /nfs/dbraw/zinc/59/83/15/1072598315.db2.gz ZFOBYRZBOMNYPJ-UHFFFAOYSA-N 0 0 445.476 -0.253 20 0 IBADRN COCCNC(=O)C1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)CC1 ZINC000641848894 1072599542 /nfs/dbraw/zinc/59/95/42/1072599542.db2.gz INTNSUISUAMGBQ-UHFFFAOYSA-N 0 0 425.555 -0.003 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H](c1cccs1)N1CCN(C)CC1)c(=O)n2C ZINC000641849686 1072599311 /nfs/dbraw/zinc/59/93/11/1072599311.db2.gz LTBOFPWSZHTCRE-AWEZNQCLSA-N 0 0 445.549 -0.400 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H](c1cccs1)N1CCN(C)CC1)c(=O)n2C ZINC000641849687 1072599343 /nfs/dbraw/zinc/59/93/43/1072599343.db2.gz LTBOFPWSZHTCRE-CQSZACIVSA-N 0 0 445.549 -0.400 20 0 IBADRN CN1CCN([C@@H](CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c2cccs2)CC1 ZINC000641849815 1072599443 /nfs/dbraw/zinc/59/94/43/1072599443.db2.gz PAACAZDBUYYSOU-AWEZNQCLSA-N 0 0 445.549 -0.400 20 0 IBADRN CN1CCN([C@H](CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c2cccs2)CC1 ZINC000641849816 1072599407 /nfs/dbraw/zinc/59/94/07/1072599407.db2.gz PAACAZDBUYYSOU-CQSZACIVSA-N 0 0 445.549 -0.400 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1 ZINC000641850337 1072599475 /nfs/dbraw/zinc/59/94/75/1072599475.db2.gz NANVRNRZOFFUSL-INIZCTEOSA-N 0 0 431.536 -0.051 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1 ZINC000641850338 1072599396 /nfs/dbraw/zinc/59/93/96/1072599396.db2.gz NANVRNRZOFFUSL-MRXNPFEDSA-N 0 0 431.536 -0.051 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1 ZINC000641850957 1072599367 /nfs/dbraw/zinc/59/93/67/1072599367.db2.gz KSNQAYPRGFFGIZ-UHFFFAOYSA-N 0 0 444.579 -0.134 20 0 IBADRN CC(C)NC(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000641851002 1072599530 /nfs/dbraw/zinc/59/95/30/1072599530.db2.gz OXFZWXRYKFRHRJ-UHFFFAOYSA-N 0 0 432.568 -0.232 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000641852221 1072599502 /nfs/dbraw/zinc/59/95/02/1072599502.db2.gz QVLWFSBZWAXVRL-UHFFFAOYSA-N 0 0 446.551 -0.706 20 0 IBADRN CS(=O)(=O)N1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000641854301 1072599468 /nfs/dbraw/zinc/59/94/68/1072599468.db2.gz CANIXZYJUOCZSE-CVEARBPZSA-N 0 0 437.584 -0.852 20 0 IBADRN CS(=O)(=O)N1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000641854302 1072599379 /nfs/dbraw/zinc/59/93/79/1072599379.db2.gz CANIXZYJUOCZSE-HOTGVXAUSA-N 0 0 437.584 -0.852 20 0 IBADRN CS(=O)(=O)N1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000641854303 1072599519 /nfs/dbraw/zinc/59/95/19/1072599519.db2.gz CANIXZYJUOCZSE-HZPDHXFCSA-N 0 0 437.584 -0.852 20 0 IBADRN CS(=O)(=O)N1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000641854304 1072599566 /nfs/dbraw/zinc/59/95/66/1072599566.db2.gz CANIXZYJUOCZSE-JKSUJKDBSA-N 0 0 437.584 -0.852 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C3CCN(c4ccc5nnnn5n4)CC3)cnc2n(C)c1=O ZINC000641861164 1072273513 /nfs/dbraw/zinc/27/35/13/1072273513.db2.gz TXYISUVRIWYHNH-UHFFFAOYSA-N 0 0 436.436 -0.680 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000641871220 1072599302 /nfs/dbraw/zinc/59/93/02/1072599302.db2.gz LUPXVBMWCGFEFN-UHFFFAOYSA-N 0 0 443.522 -0.017 20 0 IBADRN COCCCN(C(=O)CN1C(=O)NC(C)(C)C1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000641875389 1072599335 /nfs/dbraw/zinc/59/93/35/1072599335.db2.gz PYVAPOSLYOIWFF-UHFFFAOYSA-N 0 0 438.485 -0.113 20 0 IBADRN O=C(COc1ccc2c(c1)CCC(=O)N2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000641875456 1072599431 /nfs/dbraw/zinc/59/94/31/1072599431.db2.gz ANYSPZHZUVKLOE-UHFFFAOYSA-N 0 0 430.461 -0.520 20 0 IBADRN CCN(C(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(F)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000641875840 1072600026 /nfs/dbraw/zinc/60/00/26/1072600026.db2.gz PBPDLCSJFXUWGN-DZKIICNBSA-N 0 0 434.511 -0.015 20 0 IBADRN CCN(C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000641875841 1072600088 /nfs/dbraw/zinc/60/00/88/1072600088.db2.gz PBPDLCSJFXUWGN-FMKPAKJESA-N 0 0 434.511 -0.015 20 0 IBADRN CCN(C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000641875842 1072599938 /nfs/dbraw/zinc/59/99/38/1072599938.db2.gz PBPDLCSJFXUWGN-LZWOXQAQSA-N 0 0 434.511 -0.015 20 0 IBADRN CCN(C(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(F)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000641875843 1072599921 /nfs/dbraw/zinc/59/99/21/1072599921.db2.gz PBPDLCSJFXUWGN-YCPHGPKFSA-N 0 0 434.511 -0.015 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCNC(=O)c2ccco2)cc1 ZINC000641876098 1072274498 /nfs/dbraw/zinc/27/44/98/1072274498.db2.gz RRUKJSXHAJYGDI-BBRMVZONSA-N 0 0 437.474 -0.042 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCNC(=O)c2ccco2)cc1 ZINC000641876099 1072600032 /nfs/dbraw/zinc/60/00/32/1072600032.db2.gz RRUKJSXHAJYGDI-CJNGLKHVSA-N 0 0 437.474 -0.042 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)s1 ZINC000641877713 1072599949 /nfs/dbraw/zinc/59/99/49/1072599949.db2.gz XWJFLBQWTNCBDB-UHFFFAOYSA-N 0 0 448.548 -0.065 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000641885741 1072600063 /nfs/dbraw/zinc/60/00/63/1072600063.db2.gz KLKOMJBPCUJLID-UHFFFAOYSA-N 0 0 442.513 -0.503 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000641888113 1072599959 /nfs/dbraw/zinc/59/99/59/1072599959.db2.gz GPIYOBJPLUJIAS-UHFFFAOYSA-N 0 0 439.476 -0.540 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCCNS(=O)(=O)c1ccccc1 ZINC000641892718 1072600072 /nfs/dbraw/zinc/60/00/72/1072600072.db2.gz IJSTUQQCIFTHJF-UHFFFAOYSA-N 0 0 431.268 -0.194 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCNS(C)(=O)=O)CC2)cc1 ZINC000641892807 1072600041 /nfs/dbraw/zinc/60/00/41/1072600041.db2.gz IHRYWUDNGRMKLG-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCNS(C)(=O)=O)c1 ZINC000641892914 1072599896 /nfs/dbraw/zinc/59/98/96/1072599896.db2.gz KIHQZDJZPJIVGT-UHFFFAOYSA-N 0 0 434.540 -0.888 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1cccc(NS(N)(=O)=O)c1 ZINC000641899506 1072599866 /nfs/dbraw/zinc/59/98/66/1072599866.db2.gz DVKLSLDVSXGURO-UHFFFAOYSA-N 0 0 443.507 -0.086 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000641901551 1072600049 /nfs/dbraw/zinc/60/00/49/1072600049.db2.gz INLYHIKCEGJIOV-UHFFFAOYSA-N 0 0 431.474 -0.167 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)CC1 ZINC000641902502 1072599984 /nfs/dbraw/zinc/59/99/84/1072599984.db2.gz IPKQSUPZLRGAOY-UHFFFAOYSA-N 0 0 445.520 -0.595 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000641910101 1072599853 /nfs/dbraw/zinc/59/98/53/1072599853.db2.gz JWEVWGKFTDCABN-AWEZNQCLSA-N 0 0 431.536 -0.250 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000641910106 1072600055 /nfs/dbraw/zinc/60/00/55/1072600055.db2.gz JWEVWGKFTDCABN-CQSZACIVSA-N 0 0 431.536 -0.250 20 0 IBADRN CN(CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)S(N)(=O)=O ZINC000641912564 1072599994 /nfs/dbraw/zinc/59/99/94/1072599994.db2.gz KXJAOHFGJNBEKU-UHFFFAOYSA-N 0 0 426.904 -0.565 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(=O)[nH]c1=O ZINC000641913457 1072600547 /nfs/dbraw/zinc/60/05/47/1072600547.db2.gz FMIGPTPSCVWHFA-UHFFFAOYSA-N 0 0 446.468 -0.869 20 0 IBADRN CCN(CC)C(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000641913838 1072599876 /nfs/dbraw/zinc/59/98/76/1072599876.db2.gz PIRFJIQIOGAPST-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000641914776 1072600598 /nfs/dbraw/zinc/60/05/98/1072600598.db2.gz GFYMWWIAWGVFBS-KRWDZBQOSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(C[C@H]2CCCO2)CC1 ZINC000641914777 1072600428 /nfs/dbraw/zinc/60/04/28/1072600428.db2.gz GFYMWWIAWGVFBS-QGZVFWFLSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(CSc1nnnn1C1CCCC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000641916532 1072600494 /nfs/dbraw/zinc/60/04/94/1072600494.db2.gz CDEZRNWSXFFJCO-UHFFFAOYSA-N 0 0 437.526 -0.590 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(C(=O)N2CCCC2)CC1 ZINC000641917096 1072600563 /nfs/dbraw/zinc/60/05/63/1072600563.db2.gz GRTKKQOYASPVPQ-UHFFFAOYSA-N 0 0 449.533 -0.219 20 0 IBADRN O=C(Cn1cncn1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000641926702 1072600404 /nfs/dbraw/zinc/60/04/04/1072600404.db2.gz NBIYBECWFMXORF-UHFFFAOYSA-N 0 0 436.494 -0.226 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H](c1cccs1)N1CCOCC1)c(=O)n2C ZINC000641927241 1072600479 /nfs/dbraw/zinc/60/04/79/1072600479.db2.gz BRZHUOQPVHYZIW-CYBMUJFWSA-N 0 0 432.506 -0.315 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H](c1cccs1)N1CCOCC1)c(=O)n2C ZINC000641927242 1072600532 /nfs/dbraw/zinc/60/05/32/1072600532.db2.gz BRZHUOQPVHYZIW-ZDUSSCGKSA-N 0 0 432.506 -0.315 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1cccs1 ZINC000641929749 1072600420 /nfs/dbraw/zinc/60/04/20/1072600420.db2.gz HQJXHDVYLFBGKN-GFCCVEGCSA-N 0 0 437.565 -0.432 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1cccs1 ZINC000641929750 1072600543 /nfs/dbraw/zinc/60/05/43/1072600543.db2.gz HQJXHDVYLFBGKN-LBPRGKRZSA-N 0 0 437.565 -0.432 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1ccc(C)cc1 ZINC000641929820 1072600442 /nfs/dbraw/zinc/60/04/42/1072600442.db2.gz NZBPAJXFBUEPLR-HNNXBMFYSA-N 0 0 445.563 -0.185 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)c1ccc(C)cc1 ZINC000641929821 1072600467 /nfs/dbraw/zinc/60/04/67/1072600467.db2.gz NZBPAJXFBUEPLR-OAHLLOKOSA-N 0 0 445.563 -0.185 20 0 IBADRN COc1ccc(CC(=O)NCCN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000641932783 1072600459 /nfs/dbraw/zinc/60/04/59/1072600459.db2.gz FCERYRNDTVJGTF-UHFFFAOYSA-N 0 0 427.523 -0.293 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(OC)n1 ZINC000641933377 1072600410 /nfs/dbraw/zinc/60/04/10/1072600410.db2.gz LORZFGMJWOMKIA-UHFFFAOYSA-N 0 0 442.542 -0.582 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)N1CCN(C(C)=O)CC1 ZINC000641934052 1072600518 /nfs/dbraw/zinc/60/05/18/1072600518.db2.gz SWEXBQRDBBQPHE-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CC(=O)N1CCN(C(=O)CNc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000641934443 1072600552 /nfs/dbraw/zinc/60/05/52/1072600552.db2.gz RPTMQNZPCDDPNR-UHFFFAOYSA-N 0 0 428.486 -0.051 20 0 IBADRN CC(=O)NCC(=O)N(C)CC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000641946149 1072601318 /nfs/dbraw/zinc/60/13/18/1072601318.db2.gz KKOXEGFOROEVIJ-UHFFFAOYSA-N 0 0 446.913 -0.106 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)c2c(=O)n(C)c1=O ZINC000641946987 1072601264 /nfs/dbraw/zinc/60/12/64/1072601264.db2.gz SJVLHBJJCCKQLO-UHFFFAOYSA-N 0 0 439.432 -0.486 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000641950241 1072601176 /nfs/dbraw/zinc/60/11/76/1072601176.db2.gz RMZCCJLFEWZTQP-CVEARBPZSA-N 0 0 426.539 -0.228 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000641950242 1072601235 /nfs/dbraw/zinc/60/12/35/1072601235.db2.gz RMZCCJLFEWZTQP-HOTGVXAUSA-N 0 0 426.539 -0.228 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000641950243 1072601294 /nfs/dbraw/zinc/60/12/94/1072601294.db2.gz RMZCCJLFEWZTQP-HZPDHXFCSA-N 0 0 426.539 -0.228 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000641950244 1072601307 /nfs/dbraw/zinc/60/13/07/1072601307.db2.gz RMZCCJLFEWZTQP-JKSUJKDBSA-N 0 0 426.539 -0.228 20 0 IBADRN CC(=O)N1CCN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000641950759 1072285385 /nfs/dbraw/zinc/28/53/85/1072285385.db2.gz ZAPDCWZPVCXUKR-UHFFFAOYSA-N 0 0 436.534 -0.010 20 0 IBADRN NC(=O)COc1ccc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000641953812 1072601350 /nfs/dbraw/zinc/60/13/50/1072601350.db2.gz QHRPFUXJMZTGNX-UHFFFAOYSA-N 0 0 432.458 -0.077 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)CC1 ZINC000641954119 1072601249 /nfs/dbraw/zinc/60/12/49/1072601249.db2.gz DPIYHXZTEHDGMT-UHFFFAOYSA-N 0 0 441.554 -0.841 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000641954177 1072601216 /nfs/dbraw/zinc/60/12/16/1072601216.db2.gz QMWJIUJHSFZEGD-UHFFFAOYSA-N 0 0 430.552 -0.337 20 0 IBADRN O=C(c1ccc(N2C(=O)CCC2=O)cc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641958224 1072601224 /nfs/dbraw/zinc/60/12/24/1072601224.db2.gz BOCJQBHEBCOFRS-UHFFFAOYSA-N 0 0 436.490 -0.325 20 0 IBADRN O=C(CCN1C(=O)c2ccccc2C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641958236 1072601186 /nfs/dbraw/zinc/60/11/86/1072601186.db2.gz KXWCXMNRBRJGGL-UHFFFAOYSA-N 0 0 436.490 -0.606 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000641958237 1072601335 /nfs/dbraw/zinc/60/13/35/1072601335.db2.gz KYUPZYQZOUQWQV-BZUAXINKSA-N 0 0 442.538 -0.729 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000641958238 1072601147 /nfs/dbraw/zinc/60/11/47/1072601147.db2.gz KYUPZYQZOUQWQV-HRCADAONSA-N 0 0 442.538 -0.729 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000641958239 1072601167 /nfs/dbraw/zinc/60/11/67/1072601167.db2.gz KYUPZYQZOUQWQV-OAGGEKHMSA-N 0 0 442.538 -0.729 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000641958240 1072601208 /nfs/dbraw/zinc/60/12/08/1072601208.db2.gz KYUPZYQZOUQWQV-PMPSAXMXSA-N 0 0 442.538 -0.729 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)C2=O ZINC000641958255 1072286631 /nfs/dbraw/zinc/28/66/31/1072286631.db2.gz AJNNKXMIKWCMFF-UHFFFAOYSA-N 0 0 436.490 -0.688 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)oc1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641958297 1072601194 /nfs/dbraw/zinc/60/11/94/1072601194.db2.gz SOFNJLBPXFBCRW-UHFFFAOYSA-N 0 0 437.478 -0.776 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000641958338 1072601242 /nfs/dbraw/zinc/60/12/42/1072601242.db2.gz ODYUNJAFUCVKBA-UHFFFAOYSA-N 0 0 446.551 -0.762 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000641959800 1072601286 /nfs/dbraw/zinc/60/12/86/1072601286.db2.gz ODPGLKRQDKRFBF-IBGZPJMESA-N 0 0 436.601 -0.763 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000641959801 1072601721 /nfs/dbraw/zinc/60/17/21/1072601721.db2.gz ODPGLKRQDKRFBF-LJQANCHMSA-N 0 0 436.601 -0.763 20 0 IBADRN COc1cccc(CNC(=O)[C@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000641960076 1072601754 /nfs/dbraw/zinc/60/17/54/1072601754.db2.gz WZNHQQCBXUNSLX-INIZCTEOSA-N 0 0 426.539 -0.106 20 0 IBADRN COc1cccc(CNC(=O)[C@@H](C)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000641960077 1072601806 /nfs/dbraw/zinc/60/18/06/1072601806.db2.gz WZNHQQCBXUNSLX-MRXNPFEDSA-N 0 0 426.539 -0.106 20 0 IBADRN CN(CCCNC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000641960133 1072601775 /nfs/dbraw/zinc/60/17/75/1072601775.db2.gz HRNXRDUCHHQSGJ-UHFFFAOYSA-N 0 0 439.582 -0.176 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641960136 1072601743 /nfs/dbraw/zinc/60/17/43/1072601743.db2.gz IMEXDWYCIXGMQR-HNNXBMFYSA-N 0 0 440.522 -0.021 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641960137 1072601814 /nfs/dbraw/zinc/60/18/14/1072601814.db2.gz IMEXDWYCIXGMQR-OAHLLOKOSA-N 0 0 440.522 -0.021 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1F ZINC000641960161 1072601771 /nfs/dbraw/zinc/60/17/71/1072601771.db2.gz LZKOLRKYYNQEFE-UHFFFAOYSA-N 0 0 444.529 -0.013 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000641960243 1072601717 /nfs/dbraw/zinc/60/17/17/1072601717.db2.gz YTYVSLCPLAFUMZ-UHFFFAOYSA-N 0 0 425.511 -0.222 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000641961607 1072601830 /nfs/dbraw/zinc/60/18/30/1072601830.db2.gz QOGPGYMGLHOVIU-UHFFFAOYSA-N 0 0 430.552 -0.478 20 0 IBADRN Cn1cc(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cc1C(N)=O ZINC000641961672 1072601823 /nfs/dbraw/zinc/60/18/23/1072601823.db2.gz YHJIPKJYIPARID-AWEZNQCLSA-N 0 0 448.567 -0.976 20 0 IBADRN Cn1cc(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cc1C(N)=O ZINC000641961673 1072601760 /nfs/dbraw/zinc/60/17/60/1072601760.db2.gz YHJIPKJYIPARID-CQSZACIVSA-N 0 0 448.567 -0.976 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(S(=O)(=O)c2cc(C(N)=O)n(C)c2)CC1 ZINC000641961906 1072601713 /nfs/dbraw/zinc/60/17/13/1072601713.db2.gz PCRUDGZJJAXORQ-UHFFFAOYSA-N 0 0 445.523 -0.186 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC000641962412 1072601789 /nfs/dbraw/zinc/60/17/89/1072601789.db2.gz ICYVYKRUUWUITG-UHFFFAOYSA-N 0 0 434.540 -0.800 20 0 IBADRN CN(CC(=O)NC1CCN(S(C)(=O)=O)CC1)S(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000641962417 1072601731 /nfs/dbraw/zinc/60/17/31/1072601731.db2.gz MEGJWCRYNIRQKL-UHFFFAOYSA-N 0 0 447.535 -0.382 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000641964119 1072601748 /nfs/dbraw/zinc/60/17/48/1072601748.db2.gz FRVBTFWTZBJUSX-UHFFFAOYSA-N 0 0 446.551 -0.794 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc2oc1=O ZINC000641964132 1072601725 /nfs/dbraw/zinc/60/17/25/1072601725.db2.gz LHDMBUJQICGQCB-UHFFFAOYSA-N 0 0 446.507 -0.985 20 0 IBADRN CC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000641964147 1072601798 /nfs/dbraw/zinc/60/17/98/1072601798.db2.gz QFTVQPITZASTRO-UHFFFAOYSA-N 0 0 446.551 -0.163 20 0 IBADRN COc1ccccc1N1C(=O)C[C@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000641965669 1072287593 /nfs/dbraw/zinc/28/75/93/1072287593.db2.gz FWHTYGUBRGIGPU-INIZCTEOSA-N 0 0 438.506 -0.478 20 0 IBADRN COc1ccccc1N1C(=O)C[C@@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000641965670 1072601733 /nfs/dbraw/zinc/60/17/33/1072601733.db2.gz FWHTYGUBRGIGPU-MRXNPFEDSA-N 0 0 438.506 -0.478 20 0 IBADRN O=C1C[C@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1c1ccc(F)cc1 ZINC000641965723 1072602326 /nfs/dbraw/zinc/60/23/26/1072602326.db2.gz JVLSUQHBDRBFEQ-INIZCTEOSA-N 0 0 426.470 -0.348 20 0 IBADRN O=C1C[C@@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1c1ccc(F)cc1 ZINC000641965724 1072602409 /nfs/dbraw/zinc/60/24/09/1072602409.db2.gz JVLSUQHBDRBFEQ-MRXNPFEDSA-N 0 0 426.470 -0.348 20 0 IBADRN O=C1C[C@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1F ZINC000641965856 1072601722 /nfs/dbraw/zinc/60/17/22/1072601722.db2.gz QKXUKPYTFUTBDO-INIZCTEOSA-N 0 0 426.470 -0.348 20 0 IBADRN O=C1C[C@@H](N2CCN(S(=O)(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1F ZINC000641965857 1072287559 /nfs/dbraw/zinc/28/75/59/1072287559.db2.gz QKXUKPYTFUTBDO-MRXNPFEDSA-N 0 0 426.470 -0.348 20 0 IBADRN COc1ccccc1COC[C@H](O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641966762 1072602225 /nfs/dbraw/zinc/60/22/25/1072602225.db2.gz LPTNYSVBOTXZGX-GOSISDBHSA-N 0 0 429.539 -0.233 20 0 IBADRN COc1ccccc1COC[C@@H](O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641966763 1072602339 /nfs/dbraw/zinc/60/23/39/1072602339.db2.gz LPTNYSVBOTXZGX-SFHVURJKSA-N 0 0 429.539 -0.233 20 0 IBADRN COc1cccc(COC[C@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000641966837 1072602301 /nfs/dbraw/zinc/60/23/01/1072602301.db2.gz VCDWBQHJHMHWSU-GOSISDBHSA-N 0 0 429.539 -0.233 20 0 IBADRN COc1cccc(COC[C@@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000641966838 1072602286 /nfs/dbraw/zinc/60/22/86/1072602286.db2.gz VCDWBQHJHMHWSU-SFHVURJKSA-N 0 0 429.539 -0.233 20 0 IBADRN COc1ccc(CC(=O)N2CCC[C@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000641972640 1072602205 /nfs/dbraw/zinc/60/22/05/1072602205.db2.gz CKTPXFKFEAOJTO-HNNXBMFYSA-N 0 0 425.507 -0.018 20 0 IBADRN COc1ccc(CC(=O)N2CCC[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000641972641 1072602265 /nfs/dbraw/zinc/60/22/65/1072602265.db2.gz CKTPXFKFEAOJTO-OAHLLOKOSA-N 0 0 425.507 -0.018 20 0 IBADRN COc1ccccc1CN(C)C(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641975807 1072602273 /nfs/dbraw/zinc/60/22/73/1072602273.db2.gz JRXNSVNGZIMIBJ-UHFFFAOYSA-N 0 0 426.539 -0.152 20 0 IBADRN C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000641980447 1072602197 /nfs/dbraw/zinc/60/21/97/1072602197.db2.gz OJDMOYMQAPCRBK-XJKSGUPXSA-N 0 0 431.536 -0.060 20 0 IBADRN COc1ccccc1OCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641984813 1072602404 /nfs/dbraw/zinc/60/24/04/1072602404.db2.gz JKQDGFRHTBIPEN-UHFFFAOYSA-N 0 0 428.511 -0.022 20 0 IBADRN O=C(NCCCN1CCCCCC1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641984947 1072602248 /nfs/dbraw/zinc/60/22/48/1072602248.db2.gz DGVQSXGXEKVQPF-UHFFFAOYSA-N 0 0 431.559 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCC(=O)N2CCOCC2)cc1OC ZINC000641988554 1072602882 /nfs/dbraw/zinc/60/28/82/1072602882.db2.gz BBDNTBBCTSUGKJ-UHFFFAOYSA-N 0 0 429.495 -0.263 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCC(=O)N2CCOCC2)c1 ZINC000641988600 1072602309 /nfs/dbraw/zinc/60/23/09/1072602309.db2.gz OMLHYFAXVSWEAP-UHFFFAOYSA-N 0 0 428.511 -0.756 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCC(=O)N1CCOCC1 ZINC000641988601 1072602413 /nfs/dbraw/zinc/60/24/13/1072602413.db2.gz OWPSNVCIONKJCK-UHFFFAOYSA-N 0 0 441.506 -0.305 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCC(=O)N1CCOCC1 ZINC000641988702 1072602187 /nfs/dbraw/zinc/60/21/87/1072602187.db2.gz LMCQHSHVZZCHRW-AWEZNQCLSA-N 0 0 441.506 -0.120 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCC(=O)N1CCOCC1 ZINC000641988704 1072602844 /nfs/dbraw/zinc/60/28/44/1072602844.db2.gz MAGSBPUNYJNABA-UHFFFAOYSA-N 0 0 441.506 -0.119 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCC(=O)N2CCOCC2)c1 ZINC000641988746 1072602393 /nfs/dbraw/zinc/60/23/93/1072602393.db2.gz TWOVXRRACRBDED-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN COc1ccc(C(=O)NCCNS(C)(=O)=O)cc1S(=O)(=O)NCc1ccccn1 ZINC000641989531 1072602862 /nfs/dbraw/zinc/60/28/62/1072602862.db2.gz BWPNJRJYGLKXJV-UHFFFAOYSA-N 0 0 442.519 -0.152 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000641990695 1072602893 /nfs/dbraw/zinc/60/28/93/1072602893.db2.gz VJGCDGUFFUCAOC-AWEZNQCLSA-N 0 0 439.538 -0.408 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCCNS(C)(=O)=O)cc1 ZINC000641990749 1072603066 /nfs/dbraw/zinc/60/30/66/1072603066.db2.gz BKAYMTOYNKQHAO-UHFFFAOYSA-N 0 0 448.567 -0.498 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000641990761 1072603055 /nfs/dbraw/zinc/60/30/55/1072603055.db2.gz FXYRVFRVVSIGLO-UHFFFAOYSA-N 0 0 435.524 -0.428 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCCNS(C)(=O)=O)c1 ZINC000641990773 1072602831 /nfs/dbraw/zinc/60/28/31/1072602831.db2.gz HBKOWGRTHKMZFR-UHFFFAOYSA-N 0 0 448.567 -0.498 20 0 IBADRN O=C(CNC(=O)c1cccc(Cl)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000641991033 1072602817 /nfs/dbraw/zinc/60/28/17/1072602817.db2.gz UEMCDFKMJRTSOS-UHFFFAOYSA-N 0 0 430.914 -0.209 20 0 IBADRN Cn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)c1=O ZINC000641993820 1072602924 /nfs/dbraw/zinc/60/29/24/1072602924.db2.gz WLNZBSGEZZJTHN-UHFFFAOYSA-N 0 0 426.882 -0.267 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)ccn(C)c3=O)CC2)cc1 ZINC000641993821 1072602771 /nfs/dbraw/zinc/60/27/71/1072602771.db2.gz WPYAULLRFFHPJT-UHFFFAOYSA-N 0 0 434.474 -0.717 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)CC1 ZINC000641997536 1072603013 /nfs/dbraw/zinc/60/30/13/1072603013.db2.gz LDEDHLLMWZGJNG-UHFFFAOYSA-N 0 0 439.604 -0.238 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000641999280 1072602938 /nfs/dbraw/zinc/60/29/38/1072602938.db2.gz SKOMZIPKIZLUFO-UHFFFAOYSA-N 0 0 446.556 -0.633 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000642000275 1072602972 /nfs/dbraw/zinc/60/29/72/1072602972.db2.gz YGQLHEWGBZDNNK-UHFFFAOYSA-N 0 0 436.513 -0.381 20 0 IBADRN CCc1ccc(C(=O)NCCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000642001541 1072602907 /nfs/dbraw/zinc/60/29/07/1072602907.db2.gz HQUIXMNFTXDPHV-UHFFFAOYSA-N 0 0 433.552 -0.061 20 0 IBADRN NC(=O)N[C@H](CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cccs1 ZINC000642002513 1072603002 /nfs/dbraw/zinc/60/30/02/1072603002.db2.gz ADRYFYDOQWOCHQ-CYBMUJFWSA-N 0 0 431.540 -0.431 20 0 IBADRN NC(=O)N[C@@H](CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1cccs1 ZINC000642002514 1072602990 /nfs/dbraw/zinc/60/29/90/1072602990.db2.gz ADRYFYDOQWOCHQ-ZDUSSCGKSA-N 0 0 431.540 -0.431 20 0 IBADRN Cc1ccccc1[C@H](CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)NC(N)=O ZINC000642002571 1072603075 /nfs/dbraw/zinc/60/30/75/1072603075.db2.gz OOTWZFQWTIJFPY-KRWDZBQOSA-N 0 0 439.538 -0.184 20 0 IBADRN Cc1ccccc1[C@@H](CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)NC(N)=O ZINC000642002572 1072602797 /nfs/dbraw/zinc/60/27/97/1072602797.db2.gz OOTWZFQWTIJFPY-QGZVFWFLSA-N 0 0 439.538 -0.184 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)nc2n(C)c1=O ZINC000642008442 1072603024 /nfs/dbraw/zinc/60/30/24/1072603024.db2.gz KCMDCSGSEBLSBY-CHWSQXEVSA-N 0 0 436.490 -0.560 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)nc2n(C)c1=O ZINC000642008443 1072603444 /nfs/dbraw/zinc/60/34/44/1072603444.db2.gz KCMDCSGSEBLSBY-OLZOCXBDSA-N 0 0 436.490 -0.560 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)nc2n(C)c1=O ZINC000642008444 1072603375 /nfs/dbraw/zinc/60/33/75/1072603375.db2.gz KCMDCSGSEBLSBY-QWHCGFSZSA-N 0 0 436.490 -0.560 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)nc2n(C)c1=O ZINC000642008445 1072603047 /nfs/dbraw/zinc/60/30/47/1072603047.db2.gz KCMDCSGSEBLSBY-STQMWFEESA-N 0 0 436.490 -0.560 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCN(CC(=O)N4CCCCCC4)CC3)nc2n(C)c1=O ZINC000642012644 1072603410 /nfs/dbraw/zinc/60/34/10/1072603410.db2.gz YWZXRTIAEVDXLC-UHFFFAOYSA-N 0 0 442.520 -0.207 20 0 IBADRN Cc1cc(NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000642013171 1072603384 /nfs/dbraw/zinc/60/33/84/1072603384.db2.gz WGAILVBXQFFOQO-LLVKDONJSA-N 0 0 432.462 -0.251 20 0 IBADRN Cc1cc(NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000642013172 1072603553 /nfs/dbraw/zinc/60/35/53/1072603553.db2.gz WGAILVBXQFFOQO-NSHDSACASA-N 0 0 432.462 -0.251 20 0 IBADRN Cc1ccccc1[C@H](CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)NC(N)=O ZINC000642014245 1072603368 /nfs/dbraw/zinc/60/33/68/1072603368.db2.gz GMELSFQQWAQTPS-KRWDZBQOSA-N 0 0 431.493 -0.376 20 0 IBADRN Cc1ccccc1[C@@H](CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)NC(N)=O ZINC000642014246 1072603471 /nfs/dbraw/zinc/60/34/71/1072603471.db2.gz GMELSFQQWAQTPS-QGZVFWFLSA-N 0 0 431.493 -0.376 20 0 IBADRN Cc1cccc([C@H](CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)NC(N)=O)c1 ZINC000642014275 1072603421 /nfs/dbraw/zinc/60/34/21/1072603421.db2.gz ODLKSLWODOUAHN-KRWDZBQOSA-N 0 0 431.493 -0.376 20 0 IBADRN Cc1cccc([C@@H](CC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)NC(N)=O)c1 ZINC000642014276 1072603547 /nfs/dbraw/zinc/60/35/47/1072603547.db2.gz ODLKSLWODOUAHN-QGZVFWFLSA-N 0 0 431.493 -0.376 20 0 IBADRN CS(=O)(=O)NCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000642022203 1072603524 /nfs/dbraw/zinc/60/35/24/1072603524.db2.gz DWCNJJNODFNNJB-UHFFFAOYSA-N 0 0 433.508 -0.770 20 0 IBADRN CS(=O)(=O)NCCC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000642023159 1072603429 /nfs/dbraw/zinc/60/34/29/1072603429.db2.gz MWGGHUHMODALPR-UHFFFAOYSA-N 0 0 431.496 -0.959 20 0 IBADRN COCCN1C[C@H](C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)CC1=O ZINC000642023742 1072603558 /nfs/dbraw/zinc/60/35/58/1072603558.db2.gz ZEJNLWYKCMMBRC-CYBMUJFWSA-N 0 0 427.479 -0.154 20 0 IBADRN COCCN1C[C@@H](C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)CC1=O ZINC000642023743 1072603349 /nfs/dbraw/zinc/60/33/49/1072603349.db2.gz ZEJNLWYKCMMBRC-ZDUSSCGKSA-N 0 0 427.479 -0.154 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(C(=O)N2CCCC2)CC1 ZINC000642025180 1072603520 /nfs/dbraw/zinc/60/35/20/1072603520.db2.gz SPJKDDIKLVRZBO-UHFFFAOYSA-N 0 0 449.533 -0.219 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCCN(S(C)(=O)=O)CC2)cc1OC ZINC000642026070 1072603396 /nfs/dbraw/zinc/60/33/96/1072603396.db2.gz GDICVZBGRVQDOD-UHFFFAOYSA-N 0 0 449.551 -0.134 20 0 IBADRN CCCCn1nnnc1CS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000642026893 1072604056 /nfs/dbraw/zinc/60/40/56/1072604056.db2.gz KVZMPBKDXXTTJR-UHFFFAOYSA-N 0 0 430.512 -0.137 20 0 IBADRN Cn1c(CS(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cn2)cc(=O)n(C)c1=O ZINC000642029654 1072604007 /nfs/dbraw/zinc/60/40/07/1072604007.db2.gz IYDGJQORSHVOFN-UHFFFAOYSA-N 0 0 442.519 -0.373 20 0 IBADRN CN(C)c1nc(N)nc(CS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cn2)n1 ZINC000642029657 1072603857 /nfs/dbraw/zinc/60/38/57/1072603857.db2.gz JPZVVLXRLMVABG-UHFFFAOYSA-N 0 0 427.512 -0.327 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000642047964 1072604038 /nfs/dbraw/zinc/60/40/38/1072604038.db2.gz GYHUDRYEWQAPTE-UHFFFAOYSA-N 0 0 434.540 -0.825 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642047981 1072604018 /nfs/dbraw/zinc/60/40/18/1072604018.db2.gz ILLOSPYPQKRCMN-LBPRGKRZSA-N 0 0 438.959 -0.043 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1S(=O)(=O)NC(C)C ZINC000642047982 1072603924 /nfs/dbraw/zinc/60/39/24/1072603924.db2.gz JAMFKVAPCLBIAH-UHFFFAOYSA-N 0 0 448.567 -0.054 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccccc2Cl)CC1 ZINC000642048000 1072604047 /nfs/dbraw/zinc/60/40/47/1072604047.db2.gz MFODVOWOJXUGOM-UHFFFAOYSA-N 0 0 438.959 -0.041 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000642048078 1072603886 /nfs/dbraw/zinc/60/38/86/1072603886.db2.gz UTBOPQMVJXDBRY-UHFFFAOYSA-N 0 0 440.494 -0.416 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000642048134 1072603933 /nfs/dbraw/zinc/60/39/33/1072603933.db2.gz ZKPWAIPWZHWXOK-ZDUSSCGKSA-N 0 0 434.540 -0.687 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1C ZINC000642048147 1072603982 /nfs/dbraw/zinc/60/39/82/1072603982.db2.gz RGZJRBIXQNSKBV-UHFFFAOYSA-N 0 0 432.568 -0.078 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000642048306 1072604029 /nfs/dbraw/zinc/60/40/29/1072604029.db2.gz LBINQRFJISRVFE-UHFFFAOYSA-N 0 0 446.551 -0.492 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000642048337 1072293446 /nfs/dbraw/zinc/29/34/46/1072293446.db2.gz PZMXMXFCSNWMSJ-UHFFFAOYSA-N 0 0 434.540 -0.825 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(Cl)c1OCC(N)=O ZINC000642048339 1072603902 /nfs/dbraw/zinc/60/39/02/1072603902.db2.gz QEKDDXRKJITJBI-UHFFFAOYSA-N 0 0 434.902 -0.223 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000642048387 1072603849 /nfs/dbraw/zinc/60/38/49/1072603849.db2.gz AAUASKJRNIGVRB-AWEZNQCLSA-N 0 0 438.506 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc4c(c3)OCCO4)C2)CC1 ZINC000642048388 1072293520 /nfs/dbraw/zinc/29/35/20/1072293520.db2.gz AAUASKJRNIGVRB-CQSZACIVSA-N 0 0 438.506 -0.239 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000642048397 1072603993 /nfs/dbraw/zinc/60/39/93/1072603993.db2.gz AYFQDSBVXWDOKW-UHFFFAOYSA-N 0 0 429.543 -0.172 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000642048410 1072603964 /nfs/dbraw/zinc/60/39/64/1072603964.db2.gz DKYONWCHKMRTJP-UHFFFAOYSA-N 0 0 446.551 -0.492 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1S(=O)(=O)N(C)C ZINC000642048441 1072603840 /nfs/dbraw/zinc/60/38/40/1072603840.db2.gz JHFADEAPDWPYQQ-UHFFFAOYSA-N 0 0 434.540 -0.490 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000642048581 1072603908 /nfs/dbraw/zinc/60/39/08/1072603908.db2.gz RTJZIDKNXLJPAW-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000642048590 1072603977 /nfs/dbraw/zinc/60/39/77/1072603977.db2.gz SNNAOZMUEAJMCB-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)c3ccc(F)c(F)c3)CC1)c(=O)n2C ZINC000642054848 1072603950 /nfs/dbraw/zinc/60/39/50/1072603950.db2.gz AYLGLBLVNBGJCX-UHFFFAOYSA-N 0 0 446.414 -0.304 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4ccc(F)c(F)c4)CC3)c2c(=O)n(C)c1=O ZINC000642054880 1072295885 /nfs/dbraw/zinc/29/58/85/1072295885.db2.gz ZMOJBNQWVWFEPI-UHFFFAOYSA-N 0 0 446.414 -0.304 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc(S(=O)(=O)N(C)C)c1C ZINC000642058681 1072604625 /nfs/dbraw/zinc/60/46/25/1072604625.db2.gz FGWWLSAETHDZFF-UHFFFAOYSA-N 0 0 435.510 -0.475 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000642058683 1072604528 /nfs/dbraw/zinc/60/45/28/1072604528.db2.gz FLSALXNBPGWPJS-AWEZNQCLSA-N 0 0 435.510 -0.266 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000642058684 1072604647 /nfs/dbraw/zinc/60/46/47/1072604647.db2.gz FLSALXNBPGWPJS-CQSZACIVSA-N 0 0 435.510 -0.266 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC000642058700 1072604602 /nfs/dbraw/zinc/60/46/02/1072604602.db2.gz JJEVPKFXHWSPCA-UHFFFAOYSA-N 0 0 447.521 -0.168 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cccc(S(=O)(=O)NC3CCCC3)c2)CC1 ZINC000642058706 1072604555 /nfs/dbraw/zinc/60/45/55/1072604555.db2.gz JVCPCXSTPRZCGY-UHFFFAOYSA-N 0 0 447.521 -0.121 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000642058710 1072604584 /nfs/dbraw/zinc/60/45/84/1072604584.db2.gz KLFMEJVFSDKIDP-UHFFFAOYSA-N 0 0 435.510 -0.266 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000642058731 1072604497 /nfs/dbraw/zinc/60/44/97/1072604497.db2.gz ODKSAIWQRRKBSV-UHFFFAOYSA-N 0 0 433.494 -0.558 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000642058778 1072604608 /nfs/dbraw/zinc/60/46/08/1072604608.db2.gz VBSVRMKMJKKECZ-UHFFFAOYSA-N 0 0 447.521 -0.555 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1C ZINC000642058783 1072604509 /nfs/dbraw/zinc/60/45/09/1072604509.db2.gz VSNKMBRDWFWRDZ-UHFFFAOYSA-N 0 0 449.537 -0.003 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)CC1 ZINC000642064242 1072604519 /nfs/dbraw/zinc/60/45/19/1072604519.db2.gz AUQLRDPYDSPGLY-UHFFFAOYSA-N 0 0 436.494 -0.524 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN(C)S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000642064329 1072604577 /nfs/dbraw/zinc/60/45/77/1072604577.db2.gz OMTUCULGAFOQJE-UHFFFAOYSA-N 0 0 438.959 -0.089 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000642064339 1072604550 /nfs/dbraw/zinc/60/45/50/1072604550.db2.gz PHNRXPYZCBKASR-UHFFFAOYSA-N 0 0 447.477 -0.348 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000642081117 1072604592 /nfs/dbraw/zinc/60/45/92/1072604592.db2.gz BRVYVPDMBRSGEO-UHFFFAOYSA-N 0 0 444.558 -0.235 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000642081124 1072604630 /nfs/dbraw/zinc/60/46/30/1072604630.db2.gz HGHIAIYDZCRMCC-UHFFFAOYSA-N 0 0 440.574 -0.878 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000642081142 1072604628 /nfs/dbraw/zinc/60/46/28/1072604628.db2.gz QPSFVOYQEQGSIE-UHFFFAOYSA-N 0 0 439.538 -0.207 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000642081150 1072604615 /nfs/dbraw/zinc/60/46/15/1072604615.db2.gz ZQIBJPSZWYGQCH-UHFFFAOYSA-N 0 0 430.531 -0.625 20 0 IBADRN O=C(NCCN1CCOCC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000642081668 1072604637 /nfs/dbraw/zinc/60/46/37/1072604637.db2.gz HWOQASDZDMUIFT-UHFFFAOYSA-N 0 0 440.522 -0.194 20 0 IBADRN CN(CC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000642082059 1072604612 /nfs/dbraw/zinc/60/46/12/1072604612.db2.gz NSIIINLOZSSQKH-HNNXBMFYSA-N 0 0 439.563 -0.481 20 0 IBADRN CN(CC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000642082060 1072604622 /nfs/dbraw/zinc/60/46/22/1072604622.db2.gz NSIIINLOZSSQKH-OAHLLOKOSA-N 0 0 439.563 -0.481 20 0 IBADRN O=C(CN1CCN(Cc2ccccc2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000642082072 1072604561 /nfs/dbraw/zinc/60/45/61/1072604561.db2.gz QTYHFUIAEPAHBQ-UHFFFAOYSA-N 0 0 443.548 -0.666 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000642082091 1072605178 /nfs/dbraw/zinc/60/51/78/1072605178.db2.gz XKTOYGWOJNHZIU-HNNXBMFYSA-N 0 0 448.567 -0.367 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000642082092 1072604997 /nfs/dbraw/zinc/60/49/97/1072604997.db2.gz XKTOYGWOJNHZIU-OAHLLOKOSA-N 0 0 448.567 -0.367 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)CN2CCN(Cc3ccccc3)CC2)CC1 ZINC000642082487 1072605143 /nfs/dbraw/zinc/60/51/43/1072605143.db2.gz URJZEAXFAMUJSQ-UHFFFAOYSA-N 0 0 429.565 -0.193 20 0 IBADRN O=C(NCc1nnc2n1CCCCC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642082729 1072605156 /nfs/dbraw/zinc/60/51/56/1072605156.db2.gz MBLDDFYHNYFPGN-UHFFFAOYSA-N 0 0 427.531 -0.591 20 0 IBADRN CCN(CCO)C(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000642084324 1072605124 /nfs/dbraw/zinc/60/51/24/1072605124.db2.gz ZWFSMTMPTBICJT-UHFFFAOYSA-N 0 0 429.477 -0.105 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCOCCO)CC2)cc1 ZINC000642085178 1072605169 /nfs/dbraw/zinc/60/51/69/1072605169.db2.gz PWEBUYMZJXJDOC-UHFFFAOYSA-N 0 0 427.523 -0.267 20 0 IBADRN O=C(NCCc1nnc2n1CCCCC2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642085414 1072605053 /nfs/dbraw/zinc/60/50/53/1072605053.db2.gz QZSGLDRZJJZQAR-UHFFFAOYSA-N 0 0 441.558 -0.549 20 0 IBADRN O=C(NCCc1nnc2n1CCCCC2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000642085721 1072605007 /nfs/dbraw/zinc/60/50/07/1072605007.db2.gz GQBNGZIYQMKNKR-UHFFFAOYSA-N 0 0 433.513 -0.740 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccco2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000642085929 1072605110 /nfs/dbraw/zinc/60/51/10/1072605110.db2.gz BPSNSCKJOVDEGG-UHFFFAOYSA-N 0 0 447.492 -0.403 20 0 IBADRN O=C(NCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000642086492 1072605098 /nfs/dbraw/zinc/60/50/98/1072605098.db2.gz BXUHBIFUGNPCQO-UHFFFAOYSA-N 0 0 425.511 -0.679 20 0 IBADRN O=C(NCc1ccnc(N2CCCC2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000642086663 1072604969 /nfs/dbraw/zinc/60/49/69/1072604969.db2.gz DFFKZAMPNYWNMA-UHFFFAOYSA-N 0 0 430.509 -0.106 20 0 IBADRN O=C(NC1CCN(C(=O)C2CC2)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642086795 1072605042 /nfs/dbraw/zinc/60/50/42/1072605042.db2.gz BNNUEZIFFBGPPM-UHFFFAOYSA-N 0 0 429.543 -0.708 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1F ZINC000642087362 1072605163 /nfs/dbraw/zinc/60/51/63/1072605163.db2.gz GYBXXRBTRVPWBT-UHFFFAOYSA-N 0 0 449.483 -0.423 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000642087716 1072605068 /nfs/dbraw/zinc/60/50/68/1072605068.db2.gz JIGHTXWKSJQJSJ-UHFFFAOYSA-N 0 0 426.467 -0.470 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000642087795 1072605182 /nfs/dbraw/zinc/60/51/82/1072605182.db2.gz QPIQDXPDJFNLDT-UHFFFAOYSA-N 0 0 430.961 -0.033 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCNS(C)(=O)=O)CC2)cc1 ZINC000642087834 1072604958 /nfs/dbraw/zinc/60/49/58/1072604958.db2.gz UHZGKZNTGUHIQP-UHFFFAOYSA-N 0 0 432.524 -0.546 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000642087967 1072604989 /nfs/dbraw/zinc/60/49/89/1072604989.db2.gz WZSKTUXVYJUGFJ-UHFFFAOYSA-N 0 0 430.552 -0.260 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000642088386 1072605079 /nfs/dbraw/zinc/60/50/79/1072605079.db2.gz VNYPRIHOLJFZTN-UHFFFAOYSA-N 0 0 433.556 -0.593 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000642088412 1072605027 /nfs/dbraw/zinc/60/50/27/1072605027.db2.gz ZTAVYMAXZXUEMW-UHFFFAOYSA-N 0 0 439.538 -0.348 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)Nc2ccc(CN3CCOCC3)cc2)CC1 ZINC000642096352 1072605780 /nfs/dbraw/zinc/60/57/80/1072605780.db2.gz ALKFHHANOFBTIA-UHFFFAOYSA-N 0 0 425.555 -0.119 20 0 IBADRN CCCCn1c2nc(CN3CCN(S(=O)(=O)N(C)C)CC3)n(C)c2c(=O)[nH]c1=O ZINC000642096362 1072605679 /nfs/dbraw/zinc/60/56/79/1072605679.db2.gz BJSWVCZWHAMWTK-UHFFFAOYSA-N 0 0 427.531 -0.440 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CN1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642096433 1072605690 /nfs/dbraw/zinc/60/56/90/1072605690.db2.gz ISKYKGJZAJEAST-UHFFFAOYSA-N 0 0 430.527 -0.247 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000642096552 1072605702 /nfs/dbraw/zinc/60/57/02/1072605702.db2.gz LVBZQUNLFNZNEN-UHFFFAOYSA-N 0 0 429.568 -0.544 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642096555 1072605631 /nfs/dbraw/zinc/60/56/31/1072605631.db2.gz MIALHKIKKHUQHX-HNNXBMFYSA-N 0 0 427.527 -0.624 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642096556 1072605723 /nfs/dbraw/zinc/60/57/23/1072605723.db2.gz MIALHKIKKHUQHX-OAHLLOKOSA-N 0 0 427.527 -0.624 20 0 IBADRN COc1cc(CNC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)cc(OC)c1OC ZINC000642096579 1072605742 /nfs/dbraw/zinc/60/57/42/1072605742.db2.gz ONUNHDMFAYYPDI-UHFFFAOYSA-N 0 0 430.527 -0.247 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000642096664 1072605695 /nfs/dbraw/zinc/60/56/95/1072605695.db2.gz UJJNVVZUVRBHRX-INIZCTEOSA-N 0 0 436.600 -0.631 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000642096665 1072605736 /nfs/dbraw/zinc/60/57/36/1072605736.db2.gz UJJNVVZUVRBHRX-MRXNPFEDSA-N 0 0 436.600 -0.631 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)CC1 ZINC000642096680 1072605745 /nfs/dbraw/zinc/60/57/45/1072605745.db2.gz VXUQZFNTMBNBNA-UHFFFAOYSA-N 0 0 438.554 -0.213 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642096890 1072605087 /nfs/dbraw/zinc/60/50/87/1072605087.db2.gz SRACBVBYYQKEJM-AWEZNQCLSA-N 0 0 447.583 -0.312 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642096891 1072605672 /nfs/dbraw/zinc/60/56/72/1072605672.db2.gz SRACBVBYYQKEJM-CQSZACIVSA-N 0 0 447.583 -0.312 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000642096918 1072605773 /nfs/dbraw/zinc/60/57/73/1072605773.db2.gz YMOCPLRPRYCTSD-UHFFFAOYSA-N 0 0 433.556 -0.701 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642097089 1072605655 /nfs/dbraw/zinc/60/56/55/1072605655.db2.gz CCEHNZCUVMDFQH-INIZCTEOSA-N 0 0 425.555 -0.147 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2O)CC1)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642097090 1072605730 /nfs/dbraw/zinc/60/57/30/1072605730.db2.gz CCEHNZCUVMDFQH-MRXNPFEDSA-N 0 0 425.555 -0.147 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642097098 1072605646 /nfs/dbraw/zinc/60/56/46/1072605646.db2.gz GUTRVUYTKNOJFM-HNNXBMFYSA-N 0 0 426.539 -0.071 20 0 IBADRN C[C@H](C(=O)NCCc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642097099 1072606219 /nfs/dbraw/zinc/60/62/19/1072606219.db2.gz GUTRVUYTKNOJFM-OAHLLOKOSA-N 0 0 426.539 -0.071 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)n(-c2nc(C)cc(C)n2)n1 ZINC000642097196 1072606227 /nfs/dbraw/zinc/60/62/27/1072606227.db2.gz WGKBVCZMHLUTJG-UHFFFAOYSA-N 0 0 436.542 -0.050 20 0 IBADRN CC(C)(C)CC(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000642097773 1072605753 /nfs/dbraw/zinc/60/57/53/1072605753.db2.gz WVJWMMKQTVJONE-KRWDZBQOSA-N 0 0 428.599 -0.102 20 0 IBADRN CC(C)(C)CC(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000642097774 1072605713 /nfs/dbraw/zinc/60/57/13/1072605713.db2.gz WVJWMMKQTVJONE-QGZVFWFLSA-N 0 0 428.599 -0.102 20 0 IBADRN CCC(CC)C(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000642097924 1072605663 /nfs/dbraw/zinc/60/56/63/1072605663.db2.gz ITYHDSBMXFHMNP-GOSISDBHSA-N 0 0 428.599 -0.102 20 0 IBADRN CCC(CC)C(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000642097925 1072605707 /nfs/dbraw/zinc/60/57/07/1072605707.db2.gz ITYHDSBMXFHMNP-SFHVURJKSA-N 0 0 428.599 -0.102 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000642099831 1072606265 /nfs/dbraw/zinc/60/62/65/1072606265.db2.gz FVMCOPHMOVRPDH-UHFFFAOYSA-N 0 0 438.915 -0.216 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4cnnn4)cc3)CC2)C[C@H](C)O1 ZINC000642100138 1072606214 /nfs/dbraw/zinc/60/62/14/1072606214.db2.gz VZQUCFQRFQLDGO-GASCZTMLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4cnnn4)cc3)CC2)C[C@H](C)O1 ZINC000642100139 1072606234 /nfs/dbraw/zinc/60/62/34/1072606234.db2.gz VZQUCFQRFQLDGO-GJZGRUSLSA-N 0 0 435.510 -0.226 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(-n4cnnn4)cc3)CC2)C[C@@H](C)O1 ZINC000642100140 1072606240 /nfs/dbraw/zinc/60/62/40/1072606240.db2.gz VZQUCFQRFQLDGO-HUUCEWRRSA-N 0 0 435.510 -0.226 20 0 IBADRN CNS(=O)(=O)c1ccc(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)s1 ZINC000642100337 1072606201 /nfs/dbraw/zinc/60/62/01/1072606201.db2.gz PRDNUGGPYGFERX-UHFFFAOYSA-N 0 0 430.489 -0.336 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1OC ZINC000642100966 1072606222 /nfs/dbraw/zinc/60/62/22/1072606222.db2.gz XCRMJUSKFVYYTB-UHFFFAOYSA-N 0 0 449.551 -0.104 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(S(=O)(=O)N(C)C)CC3)C2=O)c(OC)c1 ZINC000642102110 1072606315 /nfs/dbraw/zinc/60/63/15/1072606315.db2.gz KZUVOOUUJKPOKR-OAHLLOKOSA-N 0 0 426.495 -0.240 20 0 IBADRN COc1ccc(N2C(=O)C[C@@H](N3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1OC ZINC000642102115 1072606280 /nfs/dbraw/zinc/60/62/80/1072606280.db2.gz NYHXINDGWJZMOF-CQSZACIVSA-N 0 0 426.495 -0.240 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000642103569 1072606298 /nfs/dbraw/zinc/60/62/98/1072606298.db2.gz BYCDIAFUWWCIII-UHFFFAOYSA-N 0 0 447.492 -0.516 20 0 IBADRN COc1ccc([C@H](CNC(=O)NCCNS(C)(=O)=O)N2CCOCC2)cc1OC ZINC000642106516 1072606302 /nfs/dbraw/zinc/60/63/02/1072606302.db2.gz NTWMLPYCNMCEFO-HNNXBMFYSA-N 0 0 430.527 -0.075 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NCCNS(C)(=O)=O)N2CCOCC2)cc1OC ZINC000642106517 1072606259 /nfs/dbraw/zinc/60/62/59/1072606259.db2.gz NTWMLPYCNMCEFO-OAHLLOKOSA-N 0 0 430.527 -0.075 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)cc1 ZINC000642106791 1072606283 /nfs/dbraw/zinc/60/62/83/1072606283.db2.gz NQJIDCIXRQIWBS-UHFFFAOYSA-N 0 0 440.459 -0.211 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000642107483 1072606292 /nfs/dbraw/zinc/60/62/92/1072606292.db2.gz NMUVBNOYZAYEHD-UHFFFAOYSA-N 0 0 437.478 -0.398 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NCC3CC3)c2)CC1 ZINC000642109018 1072606206 /nfs/dbraw/zinc/60/62/06/1072606206.db2.gz VENZLXARPQIIBD-UHFFFAOYSA-N 0 0 430.552 -0.061 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000642109789 1072606288 /nfs/dbraw/zinc/60/62/88/1072606288.db2.gz NYRYUSAKEYSFSL-UHFFFAOYSA-N 0 0 447.583 -0.060 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCC(=O)N2CCOCC2)CC1 ZINC000642110365 1072606251 /nfs/dbraw/zinc/60/62/51/1072606251.db2.gz FRFCKVZWODKITB-UHFFFAOYSA-N 0 0 427.527 -0.208 20 0 IBADRN Cc1cc(=O)n2nc(CN3CCN(S(=O)(=O)N4C[C@H](C)O[C@@H](C)C4)CC3)sc2n1 ZINC000642114546 1072606245 /nfs/dbraw/zinc/60/62/45/1072606245.db2.gz TXHIYEUYAFFQML-KBPBESRZSA-N 0 0 442.567 -0.069 20 0 IBADRN Cc1cc(=O)n2nc(CN3CCN(S(=O)(=O)N4C[C@@H](C)O[C@@H](C)C4)CC3)sc2n1 ZINC000642114547 1072606308 /nfs/dbraw/zinc/60/63/08/1072606308.db2.gz TXHIYEUYAFFQML-OKILXGFUSA-N 0 0 442.567 -0.069 20 0 IBADRN Cc1cc(=O)n2nc(CN3CCN(S(=O)(=O)N4C[C@@H](C)O[C@H](C)C4)CC3)sc2n1 ZINC000642114548 1072606911 /nfs/dbraw/zinc/60/69/11/1072606911.db2.gz TXHIYEUYAFFQML-ZIAGYGMSSA-N 0 0 442.567 -0.069 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCN1CCN(c3ccccc3)CC1)c(=O)n2C ZINC000642116482 1072606920 /nfs/dbraw/zinc/60/69/20/1072606920.db2.gz PKPCTTNMAHWPRP-UHFFFAOYSA-N 0 0 425.493 -0.628 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1F ZINC000642118123 1072607365 /nfs/dbraw/zinc/60/73/65/1072607365.db2.gz BAKABYLLGDBRLQ-HNNXBMFYSA-N 0 0 436.440 -0.038 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1F ZINC000642118124 1072607356 /nfs/dbraw/zinc/60/73/56/1072607356.db2.gz BAKABYLLGDBRLQ-OAHLLOKOSA-N 0 0 436.440 -0.038 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)Nc1cccc(N2CCCC2=O)c1 ZINC000642118170 1072607379 /nfs/dbraw/zinc/60/73/79/1072607379.db2.gz DGANMMWHVSOPQB-INIZCTEOSA-N 0 0 443.460 -0.079 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)Nc1cccc(N2CCCC2=O)c1 ZINC000642118171 1072607452 /nfs/dbraw/zinc/60/74/52/1072607452.db2.gz DGANMMWHVSOPQB-MRXNPFEDSA-N 0 0 443.460 -0.079 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)Nc1ccc2c(c1)OCCCO2 ZINC000642118267 1072607459 /nfs/dbraw/zinc/60/74/59/1072607459.db2.gz INCRZDKKWPAMJU-AWEZNQCLSA-N 0 0 432.433 -0.044 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)Nc1ccc2c(c1)OCCCO2 ZINC000642118268 1072607446 /nfs/dbraw/zinc/60/74/46/1072607446.db2.gz INCRZDKKWPAMJU-CQSZACIVSA-N 0 0 432.433 -0.044 20 0 IBADRN COc1ccc(CCNC(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1OC ZINC000642118385 1072606951 /nfs/dbraw/zinc/60/69/51/1072606951.db2.gz DQGPGVOZCSGWQL-HNNXBMFYSA-N 0 0 448.476 -0.468 20 0 IBADRN COc1ccc(CCNC(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1OC ZINC000642118386 1072606916 /nfs/dbraw/zinc/60/69/16/1072606916.db2.gz DQGPGVOZCSGWQL-OAHLLOKOSA-N 0 0 448.476 -0.468 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000642118419 1072606875 /nfs/dbraw/zinc/60/68/75/1072606875.db2.gz LYIFECGRWXUXNP-KRWDZBQOSA-N 0 0 448.476 -0.250 20 0 IBADRN CCOc1ccc(OCCNC(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC000642118421 1072606860 /nfs/dbraw/zinc/60/68/60/1072606860.db2.gz LYIFECGRWXUXNP-QGZVFWFLSA-N 0 0 448.476 -0.250 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000642118446 1072606884 /nfs/dbraw/zinc/60/68/84/1072606884.db2.gz NKCFCSURDRBZQX-HNNXBMFYSA-N 0 0 431.449 -0.456 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC000642118448 1072606942 /nfs/dbraw/zinc/60/69/42/1072606942.db2.gz NKCFCSURDRBZQX-OAHLLOKOSA-N 0 0 431.449 -0.456 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000642118474 1072606956 /nfs/dbraw/zinc/60/69/56/1072606956.db2.gz NWYQIFIBHNQUQO-INIZCTEOSA-N 0 0 443.460 -0.313 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000642118475 1072606905 /nfs/dbraw/zinc/60/69/05/1072606905.db2.gz NWYQIFIBHNQUQO-MRXNPFEDSA-N 0 0 443.460 -0.313 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)NC[C@H]1COc2ccccc2O1 ZINC000642118498 1072606900 /nfs/dbraw/zinc/60/69/00/1072606900.db2.gz ONFSGHRTFVNSDS-KBPBESRZSA-N 0 0 432.433 -0.888 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)NC[C@@H]1COc2ccccc2O1 ZINC000642118502 1072606937 /nfs/dbraw/zinc/60/69/37/1072606937.db2.gz ONFSGHRTFVNSDS-KGLIPLIRSA-N 0 0 432.433 -0.888 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)NC[C@H]1COc2ccccc2O1 ZINC000642118503 1072607347 /nfs/dbraw/zinc/60/73/47/1072607347.db2.gz ONFSGHRTFVNSDS-UONOGXRCSA-N 0 0 432.433 -0.888 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)NC[C@@H]1COc2ccccc2O1 ZINC000642118504 1072607384 /nfs/dbraw/zinc/60/73/84/1072607384.db2.gz ONFSGHRTFVNSDS-ZIAGYGMSSA-N 0 0 432.433 -0.888 20 0 IBADRN O=C(C[C@@H]1NC(=O)N(CC(=O)N2CCN(c3ccccc3F)CC2)C1=O)N1CCOCC1 ZINC000642118729 1072606828 /nfs/dbraw/zinc/60/68/28/1072606828.db2.gz WUNQHJOOYWSCAV-INIZCTEOSA-N 0 0 447.467 -0.356 20 0 IBADRN O=C(C[C@H]1NC(=O)N(CC(=O)N2CCN(c3ccccc3F)CC2)C1=O)N1CCOCC1 ZINC000642118785 1072606809 /nfs/dbraw/zinc/60/68/09/1072606809.db2.gz WUNQHJOOYWSCAV-MRXNPFEDSA-N 0 0 447.467 -0.356 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)Nc1ccccc1N1CCCC1=O ZINC000642118820 1072606867 /nfs/dbraw/zinc/60/68/67/1072606867.db2.gz XLYRIGSQSZBVSX-HNNXBMFYSA-N 0 0 443.460 -0.079 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)Nc1ccccc1N1CCCC1=O ZINC000642118821 1072606945 /nfs/dbraw/zinc/60/69/45/1072606945.db2.gz XLYRIGSQSZBVSX-OAHLLOKOSA-N 0 0 443.460 -0.079 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)NCc1cnn(-c2ccccc2)c1 ZINC000642118836 1072606853 /nfs/dbraw/zinc/60/68/53/1072606853.db2.gz XRVPWSVCTFFMPU-KRWDZBQOSA-N 0 0 440.460 -0.342 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)NCc1cnn(-c2ccccc2)c1 ZINC000642118842 1072606893 /nfs/dbraw/zinc/60/68/93/1072606893.db2.gz XRVPWSVCTFFMPU-QGZVFWFLSA-N 0 0 440.460 -0.342 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000642119068 1072606933 /nfs/dbraw/zinc/60/69/33/1072606933.db2.gz JENJMKQYPOYTGT-AWEZNQCLSA-N 0 0 433.556 -0.469 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000642119069 1072606843 /nfs/dbraw/zinc/60/68/43/1072606843.db2.gz JENJMKQYPOYTGT-CQSZACIVSA-N 0 0 433.556 -0.469 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC(NS(C)(=O)=O)CC2)C1 ZINC000642119089 1072607390 /nfs/dbraw/zinc/60/73/90/1072607390.db2.gz LBBMEKFRICLHNU-AWEZNQCLSA-N 0 0 447.583 -0.033 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC(NS(C)(=O)=O)CC2)C1 ZINC000642119090 1072607432 /nfs/dbraw/zinc/60/74/32/1072607432.db2.gz LBBMEKFRICLHNU-CQSZACIVSA-N 0 0 447.583 -0.033 20 0 IBADRN COc1ccc(CNC(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1OC ZINC000642119109 1072607426 /nfs/dbraw/zinc/60/74/26/1072607426.db2.gz UHLBROQGWDOZDY-AWEZNQCLSA-N 0 0 434.449 -0.511 20 0 IBADRN COc1ccc(CNC(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1OC ZINC000642119110 1072607359 /nfs/dbraw/zinc/60/73/59/1072607359.db2.gz UHLBROQGWDOZDY-CQSZACIVSA-N 0 0 434.449 -0.511 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N(C)C)CC2)C1 ZINC000642119250 1072607448 /nfs/dbraw/zinc/60/74/48/1072607448.db2.gz RCAJPZDLXBTKOB-INIZCTEOSA-N 0 0 440.570 -0.340 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N(C)C)CC2)C1 ZINC000642119251 1072607419 /nfs/dbraw/zinc/60/74/19/1072607419.db2.gz RCAJPZDLXBTKOB-MRXNPFEDSA-N 0 0 440.570 -0.340 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2cnc3ncccc3c2=O)CC1 ZINC000642120267 1072607439 /nfs/dbraw/zinc/60/74/39/1072607439.db2.gz HQDHZALTCYPTDQ-UHFFFAOYSA-N 0 0 432.462 -0.071 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000642127649 1072607455 /nfs/dbraw/zinc/60/74/55/1072607455.db2.gz WUZCXKUUFOGHTF-AWEZNQCLSA-N 0 0 427.479 -0.236 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000642127650 1072607395 /nfs/dbraw/zinc/60/73/95/1072607395.db2.gz WUZCXKUUFOGHTF-CQSZACIVSA-N 0 0 427.479 -0.236 20 0 IBADRN CCN1C[C@@H](C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000642130908 1072607437 /nfs/dbraw/zinc/60/74/37/1072607437.db2.gz GALBKZXMGHGEAY-GXTWGEPZSA-N 0 0 429.520 -0.041 20 0 IBADRN CCN1C[C@@H](C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000642130909 1072607463 /nfs/dbraw/zinc/60/74/63/1072607463.db2.gz GALBKZXMGHGEAY-JSGCOSHPSA-N 0 0 429.520 -0.041 20 0 IBADRN CCN1C[C@H](C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000642130910 1072607371 /nfs/dbraw/zinc/60/73/71/1072607371.db2.gz GALBKZXMGHGEAY-OCCSQVGLSA-N 0 0 429.520 -0.041 20 0 IBADRN CCN1C[C@H](C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC000642130911 1072608035 /nfs/dbraw/zinc/60/80/35/1072608035.db2.gz GALBKZXMGHGEAY-TZMCWYRMSA-N 0 0 429.520 -0.041 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000642132824 1072608017 /nfs/dbraw/zinc/60/80/17/1072608017.db2.gz WJEJGVCPNMYTRC-UHFFFAOYSA-N 0 0 428.511 -0.417 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)cnc2n(C)c1=O ZINC000642134146 1072607977 /nfs/dbraw/zinc/60/79/77/1072607977.db2.gz HKJQMSHSQIJXPE-UHFFFAOYSA-N 0 0 449.445 -0.502 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000642134426 1072607989 /nfs/dbraw/zinc/60/79/89/1072607989.db2.gz JBPQSIHEGZLYTQ-UHFFFAOYSA-N 0 0 442.494 -0.891 20 0 IBADRN CC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000642135907 1072608002 /nfs/dbraw/zinc/60/80/02/1072608002.db2.gz UHZIJDFNKFDKKH-UHFFFAOYSA-N 0 0 444.897 -0.018 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000642136661 1072608044 /nfs/dbraw/zinc/60/80/44/1072608044.db2.gz FMECOJYKRCQMGQ-AWEZNQCLSA-N 0 0 440.522 -0.275 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000642136662 1072607959 /nfs/dbraw/zinc/60/79/59/1072607959.db2.gz FMECOJYKRCQMGQ-CQSZACIVSA-N 0 0 440.522 -0.275 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000642138359 1072607970 /nfs/dbraw/zinc/60/79/70/1072607970.db2.gz RDDYDZSDXWZCRQ-HNNXBMFYSA-N 0 0 442.538 -0.027 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000642138360 1072608055 /nfs/dbraw/zinc/60/80/55/1072608055.db2.gz RDDYDZSDXWZCRQ-OAHLLOKOSA-N 0 0 442.538 -0.027 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)c3ccccc3N3C(=O)CC[C@]32C)CC1 ZINC000642144149 1072608040 /nfs/dbraw/zinc/60/80/40/1072608040.db2.gz AYXUNQCXKAAARE-FQEVSTJZSA-N 0 0 449.533 -0.064 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)c3ccccc3N3C(=O)CC[C@@]32C)CC1 ZINC000642144150 1072608010 /nfs/dbraw/zinc/60/80/10/1072608010.db2.gz AYXUNQCXKAAARE-HXUWFJFHSA-N 0 0 449.533 -0.064 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000642159288 1072376406 /nfs/dbraw/zinc/37/64/06/1072376406.db2.gz QRVRYLDPGQUXCB-UHFFFAOYSA-N 0 0 440.460 -0.573 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000642159328 1072607955 /nfs/dbraw/zinc/60/79/55/1072607955.db2.gz SPZURZZPWXWMNZ-UHFFFAOYSA-N 0 0 440.460 -0.573 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)s1 ZINC000642163750 1072607997 /nfs/dbraw/zinc/60/79/97/1072607997.db2.gz ZTWLCPGHTCUTNH-UHFFFAOYSA-N 0 0 435.505 -0.061 20 0 IBADRN CCOc1ccc(NC(=O)CCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000642164262 1072608023 /nfs/dbraw/zinc/60/80/23/1072608023.db2.gz LRCIYIHNDMJNIK-UHFFFAOYSA-N 0 0 435.524 -0.016 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)N3CCN(CC(=O)NC4CC4)CC3)cc(C3CC3)nc21 ZINC000642166016 1072608030 /nfs/dbraw/zinc/60/80/30/1072608030.db2.gz DETULHWXAHQJTJ-UHFFFAOYSA-N 0 0 426.477 -0.052 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000642167493 1072608048 /nfs/dbraw/zinc/60/80/48/1072608048.db2.gz PIQJBCIFAHFVPK-UHFFFAOYSA-N 0 0 435.524 -0.156 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnc(-c4ccccc4)n3)CC2)C[C@H](C)O1 ZINC000642171099 1072607966 /nfs/dbraw/zinc/60/79/66/1072607966.db2.gz HPCJBXATZXSIDV-HOTGVXAUSA-N 0 0 449.537 -0.162 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnc(-c4ccccc4)n3)CC2)C[C@@H](C)O1 ZINC000642171103 1072608653 /nfs/dbraw/zinc/60/86/53/1072608653.db2.gz HPCJBXATZXSIDV-HZPDHXFCSA-N 0 0 449.537 -0.162 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnc(-c4ccccc4)n3)CC2)C[C@H](C)O1 ZINC000642171104 1072608608 /nfs/dbraw/zinc/60/86/08/1072608608.db2.gz HPCJBXATZXSIDV-IYBDPMFKSA-N 0 0 449.537 -0.162 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CSc3nnnn3C3CC3)CC2)C[C@H](C)O1 ZINC000642171151 1072608639 /nfs/dbraw/zinc/60/86/39/1072608639.db2.gz JJHUIQIMCUWALD-BETUJISGSA-N 0 0 445.571 -0.402 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CSc3nnnn3C3CC3)CC2)C[C@@H](C)O1 ZINC000642171154 1072608599 /nfs/dbraw/zinc/60/85/99/1072608599.db2.gz JJHUIQIMCUWALD-CHWSQXEVSA-N 0 0 445.571 -0.402 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CSc3nnnn3C3CC3)CC2)C[C@H](C)O1 ZINC000642171156 1072608604 /nfs/dbraw/zinc/60/86/04/1072608604.db2.gz JJHUIQIMCUWALD-STQMWFEESA-N 0 0 445.571 -0.402 20 0 IBADRN CC(C)CN1C[C@@H](C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC000642171227 1072608661 /nfs/dbraw/zinc/60/86/61/1072608661.db2.gz QLLILESLEHPEJU-BBWFWOEESA-N 0 0 430.571 -0.011 20 0 IBADRN CC(C)CN1C[C@H](C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1=O ZINC000642171228 1072608667 /nfs/dbraw/zinc/60/86/67/1072608667.db2.gz QLLILESLEHPEJU-BRWVUGGUSA-N 0 0 430.571 -0.011 20 0 IBADRN CC(C)CN1C[C@H](C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC000642171229 1072608621 /nfs/dbraw/zinc/60/86/21/1072608621.db2.gz QLLILESLEHPEJU-IXDOHACOSA-N 0 0 430.571 -0.011 20 0 IBADRN CC(C)CN1C[C@H](C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC000642171230 1072608583 /nfs/dbraw/zinc/60/85/83/1072608583.db2.gz QLLILESLEHPEJU-YESZJQIVSA-N 0 0 430.571 -0.011 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000642172780 1072608663 /nfs/dbraw/zinc/60/86/63/1072608663.db2.gz DZJSOPDSZDBPRM-UHFFFAOYSA-N 0 0 436.556 -0.506 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000642173120 1072608570 /nfs/dbraw/zinc/60/85/70/1072608570.db2.gz MMSVCANWNFMZOH-UHFFFAOYSA-N 0 0 448.567 -0.382 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000642173287 1072608655 /nfs/dbraw/zinc/60/86/55/1072608655.db2.gz UBFJAQDFXYTVOM-UHFFFAOYSA-N 0 0 434.496 -0.924 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc([C@]3(C)NC(=O)NC3=O)cc1)c(=O)n2C ZINC000642180456 1072608642 /nfs/dbraw/zinc/60/86/42/1072608642.db2.gz WCWPHSQWECUTPT-IBGZPJMESA-N 0 0 425.405 -0.873 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc([C@@]3(C)NC(=O)NC3=O)cc1)c(=O)n2C ZINC000642180457 1072608630 /nfs/dbraw/zinc/60/86/30/1072608630.db2.gz WCWPHSQWECUTPT-LJQANCHMSA-N 0 0 425.405 -0.873 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000642184186 1072608646 /nfs/dbraw/zinc/60/86/46/1072608646.db2.gz WDPUZBKIGRAGHZ-UHFFFAOYSA-N 0 0 446.504 -0.265 20 0 IBADRN COc1ccc2c(c1)C[C@H](C(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)CO2 ZINC000642185692 1072608612 /nfs/dbraw/zinc/60/86/12/1072608612.db2.gz UFOADSGVBCFYLM-INIZCTEOSA-N 0 0 425.507 -0.033 20 0 IBADRN COc1ccc2c(c1)C[C@@H](C(=O)N1CCN(S(=O)(=O)N3CCOCC3)CC1)CO2 ZINC000642185693 1072608591 /nfs/dbraw/zinc/60/85/91/1072608591.db2.gz UFOADSGVBCFYLM-MRXNPFEDSA-N 0 0 425.507 -0.033 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3nc4ccccc4c(NCCO)n3)CC2)CC1 ZINC000642186770 1072608557 /nfs/dbraw/zinc/60/85/57/1072608557.db2.gz YHFPTLWKTZJTCS-UHFFFAOYSA-N 0 0 435.554 -0.352 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000642188310 1072609135 /nfs/dbraw/zinc/60/91/35/1072609135.db2.gz FYUCBTCLULMEPG-BZSNNMDCSA-N 0 0 431.603 -0.489 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000642188311 1072609028 /nfs/dbraw/zinc/60/90/28/1072609028.db2.gz FYUCBTCLULMEPG-FGTMMUONSA-N 0 0 431.603 -0.489 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000642188312 1072609125 /nfs/dbraw/zinc/60/91/25/1072609125.db2.gz FYUCBTCLULMEPG-KSZLIROESA-N 0 0 431.603 -0.489 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000642188313 1072609149 /nfs/dbraw/zinc/60/91/49/1072609149.db2.gz FYUCBTCLULMEPG-KURKYZTESA-N 0 0 431.603 -0.489 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)CN1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000642188553 1072609073 /nfs/dbraw/zinc/60/90/73/1072609073.db2.gz UJXDJVXEEYAIGB-KBPBESRZSA-N 0 0 428.559 -0.053 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000642188554 1072609107 /nfs/dbraw/zinc/60/91/07/1072609107.db2.gz UJXDJVXEEYAIGB-OKILXGFUSA-N 0 0 428.559 -0.053 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)CN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000642188555 1072609061 /nfs/dbraw/zinc/60/90/61/1072609061.db2.gz UJXDJVXEEYAIGB-ZIAGYGMSSA-N 0 0 428.559 -0.053 20 0 IBADRN C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000642188757 1072608624 /nfs/dbraw/zinc/60/86/24/1072608624.db2.gz HNSGGSLOVYVQBK-BZUAXINKSA-N 0 0 445.586 -0.930 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000642188758 1072403056 /nfs/dbraw/zinc/40/30/56/1072403056.db2.gz HNSGGSLOVYVQBK-OAGGEKHMSA-N 0 0 445.586 -0.930 20 0 IBADRN C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000642188759 1072608578 /nfs/dbraw/zinc/60/85/78/1072608578.db2.gz HNSGGSLOVYVQBK-OWCLPIDISA-N 0 0 445.586 -0.930 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000642188760 1072609090 /nfs/dbraw/zinc/60/90/90/1072609090.db2.gz HNSGGSLOVYVQBK-XHSDSOJGSA-N 0 0 445.586 -0.930 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000642188793 1072609104 /nfs/dbraw/zinc/60/91/04/1072609104.db2.gz LZMDCFDGENGVIH-BBWFWOEESA-N 0 0 445.586 -0.963 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000642188794 1072609079 /nfs/dbraw/zinc/60/90/79/1072609079.db2.gz LZMDCFDGENGVIH-IXDOHACOSA-N 0 0 445.586 -0.963 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000642188795 1072609116 /nfs/dbraw/zinc/60/91/16/1072609116.db2.gz LZMDCFDGENGVIH-ULQDDVLXSA-N 0 0 445.586 -0.963 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000642188796 1072609120 /nfs/dbraw/zinc/60/91/20/1072609120.db2.gz LZMDCFDGENGVIH-ZACQAIPSSA-N 0 0 445.586 -0.963 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(F)c1 ZINC000642191599 1072609143 /nfs/dbraw/zinc/60/91/43/1072609143.db2.gz WVVZOVIFIOQVDX-UHFFFAOYSA-N 0 0 442.469 -0.524 20 0 IBADRN CS(=O)(=O)NC1(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CCCCC1 ZINC000642194033 1072609043 /nfs/dbraw/zinc/60/90/43/1072609043.db2.gz YGSVQSHUQDZBIK-UHFFFAOYSA-N 0 0 430.508 -0.253 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCCNS(C)(=O)=O)CC1 ZINC000642197440 1072609097 /nfs/dbraw/zinc/60/90/97/1072609097.db2.gz LFKBQPWLKNUHJL-UHFFFAOYSA-N 0 0 425.577 -0.628 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000642200052 1072609068 /nfs/dbraw/zinc/60/90/68/1072609068.db2.gz GBFSTCHSSYZTQM-UHFFFAOYSA-N 0 0 440.522 -0.580 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000642200071 1072609080 /nfs/dbraw/zinc/60/90/80/1072609080.db2.gz LSQZDVFAEVRZDG-UHFFFAOYSA-N 0 0 446.476 -0.171 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000642200101 1072609799 /nfs/dbraw/zinc/60/97/99/1072609799.db2.gz QIFHAMJAXXNQST-AWEZNQCLSA-N 0 0 440.522 -0.443 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000642200104 1072609767 /nfs/dbraw/zinc/60/97/67/1072609767.db2.gz RBQXSHSYSLBPHE-UHFFFAOYSA-N 0 0 440.522 -0.580 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccccc2F)CC1 ZINC000642200171 1072609809 /nfs/dbraw/zinc/60/98/09/1072609809.db2.gz YKYXTTPDNZSICD-ZDUSSCGKSA-N 0 0 428.486 -0.312 20 0 IBADRN Cn1c2ncc(C(=O)N3CCN([C@H](C(N)=O)c4ccccc4)CC3)cc2c(=O)n(C)c1=O ZINC000642201866 1072609712 /nfs/dbraw/zinc/60/97/12/1072609712.db2.gz HMZWQBRZIFEQRI-KRWDZBQOSA-N 0 0 436.472 -0.383 20 0 IBADRN Cn1c2ncc(C(=O)N3CCN([C@@H](C(N)=O)c4ccccc4)CC3)cc2c(=O)n(C)c1=O ZINC000642201870 1072417876 /nfs/dbraw/zinc/41/78/76/1072417876.db2.gz HMZWQBRZIFEQRI-QGZVFWFLSA-N 0 0 436.472 -0.383 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642202327 1072609817 /nfs/dbraw/zinc/60/98/17/1072609817.db2.gz NLAZODHWAYRCRU-AOIWGVFYSA-N 0 0 448.545 -0.607 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642202328 1072609726 /nfs/dbraw/zinc/60/97/26/1072609726.db2.gz NLAZODHWAYRCRU-LMMKCTJWSA-N 0 0 448.545 -0.607 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642202329 1072609758 /nfs/dbraw/zinc/60/97/58/1072609758.db2.gz NLAZODHWAYRCRU-LNLFQRSKSA-N 0 0 448.545 -0.607 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642202330 1072609734 /nfs/dbraw/zinc/60/97/34/1072609734.db2.gz NLAZODHWAYRCRU-SCTDSRPQSA-N 0 0 448.545 -0.607 20 0 IBADRN Cn1c2nc(C(=O)N3CCN([C@H](C(N)=O)c4ccccc4)CC3)ccc2c(=O)n(C)c1=O ZINC000642202405 1072609761 /nfs/dbraw/zinc/60/97/61/1072609761.db2.gz QGGRPFNKHSJTEC-KRWDZBQOSA-N 0 0 436.472 -0.383 20 0 IBADRN Cn1c2nc(C(=O)N3CCN([C@@H](C(N)=O)c4ccccc4)CC3)ccc2c(=O)n(C)c1=O ZINC000642202406 1072609751 /nfs/dbraw/zinc/60/97/51/1072609751.db2.gz QGGRPFNKHSJTEC-QGZVFWFLSA-N 0 0 436.472 -0.383 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCCCC1 ZINC000642203291 1072609717 /nfs/dbraw/zinc/60/97/17/1072609717.db2.gz JODRAFRRCVCDNI-KBPBESRZSA-N 0 0 435.568 -0.610 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCCCC1 ZINC000642203292 1072609806 /nfs/dbraw/zinc/60/98/06/1072609806.db2.gz JODRAFRRCVCDNI-KGLIPLIRSA-N 0 0 435.568 -0.610 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCCCC1 ZINC000642203293 1072609707 /nfs/dbraw/zinc/60/97/07/1072609707.db2.gz JODRAFRRCVCDNI-UONOGXRCSA-N 0 0 435.568 -0.610 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCCCC1 ZINC000642203294 1072418659 /nfs/dbraw/zinc/41/86/59/1072418659.db2.gz JODRAFRRCVCDNI-ZIAGYGMSSA-N 0 0 435.568 -0.610 20 0 IBADRN O=C([C@H]1CC(=O)N(C2CCCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642206145 1072609784 /nfs/dbraw/zinc/60/97/84/1072609784.db2.gz DAADKABQANCLTD-INIZCTEOSA-N 0 0 428.555 -0.111 20 0 IBADRN O=C([C@@H]1CC(=O)N(C2CCCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642206146 1072609719 /nfs/dbraw/zinc/60/97/19/1072609719.db2.gz DAADKABQANCLTD-MRXNPFEDSA-N 0 0 428.555 -0.111 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCCCC3)cn2C)CC1 ZINC000642211077 1072609739 /nfs/dbraw/zinc/60/97/39/1072609739.db2.gz CFOSTHGAIBSBOE-UHFFFAOYSA-N 0 0 447.583 -0.236 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCN3CCN(c4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000642216745 1072609771 /nfs/dbraw/zinc/60/97/71/1072609771.db2.gz NWULKTKHQXFMLD-UHFFFAOYSA-N 0 0 439.520 -0.238 20 0 IBADRN COCCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000642218336 1072609787 /nfs/dbraw/zinc/60/97/87/1072609787.db2.gz QVWUVDYOGMZVQX-UHFFFAOYSA-N 0 0 433.552 -0.241 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000642220074 1072609825 /nfs/dbraw/zinc/60/98/25/1072609825.db2.gz KRJXVGSIXWEZTE-UHFFFAOYSA-N 0 0 445.567 -0.567 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000642220519 1072610325 /nfs/dbraw/zinc/61/03/25/1072610325.db2.gz BBKXXTAKZLUXBJ-UHFFFAOYSA-N 0 0 433.494 -0.593 20 0 IBADRN O=C(COc1ccccc1)N1CCC(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1 ZINC000642220535 1072610378 /nfs/dbraw/zinc/61/03/78/1072610378.db2.gz CNYCOQBNYAKHRY-UHFFFAOYSA-N 0 0 441.492 -0.339 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000642220716 1072609795 /nfs/dbraw/zinc/60/97/95/1072609795.db2.gz XBEPUUAOQWFZRW-UHFFFAOYSA-N 0 0 435.510 -0.408 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(-n4ncc5c4nc[nH]c5=O)cc3)c2c(=O)n(C)c1=O ZINC000642222208 1072610411 /nfs/dbraw/zinc/61/04/11/1072610411.db2.gz AEUNITLAYOOXFQ-UHFFFAOYSA-N 0 0 447.415 -0.093 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccncc3)CC2)C[C@H](C)O1 ZINC000642223086 1072446238 /nfs/dbraw/zinc/44/62/38/1072446238.db2.gz KCPGNTJVEPWKGI-GASCZTMLSA-N 0 0 425.511 -0.690 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccncc3)CC2)C[C@H](C)O1 ZINC000642223088 1072446200 /nfs/dbraw/zinc/44/62/00/1072446200.db2.gz KCPGNTJVEPWKGI-GJZGRUSLSA-N 0 0 425.511 -0.690 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3ccncc3)CC2)C[C@@H](C)O1 ZINC000642223090 1072446231 /nfs/dbraw/zinc/44/62/31/1072446231.db2.gz KCPGNTJVEPWKGI-HUUCEWRRSA-N 0 0 425.511 -0.690 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCNc2cnccn2)cc1 ZINC000642224395 1073336123 /nfs/dbraw/zinc/33/61/23/1073336123.db2.gz POMNSPGIYVYDRI-UHFFFAOYSA-N 0 0 434.522 0.465 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCNc2cnccn2)c1 ZINC000642224409 1073335994 /nfs/dbraw/zinc/33/59/94/1073335994.db2.gz ZPTFCLWTWYFPJN-UHFFFAOYSA-N 0 0 434.522 0.465 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cn2)C[C@H](C)O1 ZINC000642229312 1072610359 /nfs/dbraw/zinc/61/03/59/1072610359.db2.gz VKBLPIFINNYGGN-CALCHBBNSA-N 0 0 445.520 -0.162 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cn2)C[C@@H](C)O1 ZINC000642229313 1072610433 /nfs/dbraw/zinc/61/04/33/1072610433.db2.gz VKBLPIFINNYGGN-IAGOWNOFSA-N 0 0 445.520 -0.162 20 0 IBADRN C[C@H]1CN(c2ccc(C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cn2)C[C@H](C)O1 ZINC000642229314 1072610382 /nfs/dbraw/zinc/61/03/82/1072610382.db2.gz VKBLPIFINNYGGN-IRXDYDNUSA-N 0 0 445.520 -0.162 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642244245 1072610439 /nfs/dbraw/zinc/61/04/39/1072610439.db2.gz YMCIRWSZBFAQCJ-DLBZAZTESA-N 0 0 442.582 -0.575 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642244246 1072610332 /nfs/dbraw/zinc/61/03/32/1072610332.db2.gz YMCIRWSZBFAQCJ-IAGOWNOFSA-N 0 0 442.582 -0.575 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642244247 1072610341 /nfs/dbraw/zinc/61/03/41/1072610341.db2.gz YMCIRWSZBFAQCJ-IRXDYDNUSA-N 0 0 442.582 -0.575 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642244248 1072610312 /nfs/dbraw/zinc/61/03/12/1072610312.db2.gz YMCIRWSZBFAQCJ-SJORKVTESA-N 0 0 442.582 -0.575 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)CC(=O)N2CCOCC2)C1 ZINC000642245088 1072610375 /nfs/dbraw/zinc/61/03/75/1072610375.db2.gz RYGMGIPCAVMKHW-HNNXBMFYSA-N 0 0 427.527 -0.256 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)CC(=O)N2CCOCC2)C1 ZINC000642245092 1072610365 /nfs/dbraw/zinc/61/03/65/1072610365.db2.gz RYGMGIPCAVMKHW-OAHLLOKOSA-N 0 0 427.527 -0.256 20 0 IBADRN NS(=O)(=O)c1cccc(CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)c1 ZINC000642245703 1072610381 /nfs/dbraw/zinc/61/03/81/1072610381.db2.gz NFEUIZQHUBQQHL-UHFFFAOYSA-N 0 0 429.476 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC1(C(N)=O)CCOCC1 ZINC000642248862 1072610352 /nfs/dbraw/zinc/61/03/52/1072610352.db2.gz LWAVEYJTVUECQK-UHFFFAOYSA-N 0 0 441.506 -0.272 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000642249488 1072610386 /nfs/dbraw/zinc/61/03/86/1072610386.db2.gz RJCFXVGANNHYGY-UHFFFAOYSA-N 0 0 447.540 -0.774 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccccc3-n3ncc4c3nc[nH]c4=O)c2c(=O)n(C)c1=O ZINC000642249896 1072610426 /nfs/dbraw/zinc/61/04/26/1072610426.db2.gz NIXPJFXMIPZYLL-UHFFFAOYSA-N 0 0 447.415 -0.093 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)c3cn(C)c(=O)[nH]c3=O)CC2)c1 ZINC000642251418 1072509309 /nfs/dbraw/zinc/50/93/09/1072509309.db2.gz GFDXWEDHQRFQSG-UHFFFAOYSA-N 0 0 438.462 -0.350 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)cn1 ZINC000642251578 1072610827 /nfs/dbraw/zinc/61/08/27/1072610827.db2.gz NJDUVAJJCXLWCI-UHFFFAOYSA-N 0 0 445.498 -0.629 20 0 IBADRN CCOc1ccc(NC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1S(=O)(=O)N(C)C ZINC000642251597 1072610955 /nfs/dbraw/zinc/61/09/55/1072610955.db2.gz ICEHFBZXPCHSKH-UHFFFAOYSA-N 0 0 445.523 -0.014 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)cc1 ZINC000642251603 1072610995 /nfs/dbraw/zinc/61/09/95/1072610995.db2.gz QCPHZSORAXZBAZ-UHFFFAOYSA-N 0 0 441.535 -0.460 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000642251606 1072610841 /nfs/dbraw/zinc/61/08/41/1072610841.db2.gz QUVUCFGROJKRMR-UHFFFAOYSA-N 0 0 427.508 -0.769 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC(NS(=O)(=O)c3ccccc3)CC2)cn1 ZINC000642251751 1072511129 /nfs/dbraw/zinc/51/11/29/1072511129.db2.gz RSECZBDWAJQVSG-UHFFFAOYSA-N 0 0 441.535 -0.332 20 0 IBADRN CCN(CC)C(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000642251937 1072610370 /nfs/dbraw/zinc/61/03/70/1072610370.db2.gz QTEQBRCRHLBFDI-KRWDZBQOSA-N 0 0 429.587 -0.603 20 0 IBADRN CCN(CC)C(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000642251938 1072610374 /nfs/dbraw/zinc/61/03/74/1072610374.db2.gz QTEQBRCRHLBFDI-QGZVFWFLSA-N 0 0 429.587 -0.603 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCCNC3=O)CC2)c1 ZINC000642256007 1072610931 /nfs/dbraw/zinc/61/09/31/1072610931.db2.gz HTRLXOKVANZOJR-UHFFFAOYSA-N 0 0 426.495 -0.048 20 0 IBADRN CCc1c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnn1-c1ccccn1 ZINC000642256623 1072610874 /nfs/dbraw/zinc/61/08/74/1072610874.db2.gz QSLMPTQCVVSFCP-UHFFFAOYSA-N 0 0 426.477 -0.027 20 0 IBADRN O=C([C@@H]1COCCO1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000642260137 1072610982 /nfs/dbraw/zinc/61/09/82/1072610982.db2.gz LHUSTOIZXKYWDV-AWEZNQCLSA-N 0 0 445.881 -0.081 20 0 IBADRN O=C([C@H]1COCCO1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000642260138 1072610890 /nfs/dbraw/zinc/61/08/90/1072610890.db2.gz LHUSTOIZXKYWDV-CQSZACIVSA-N 0 0 445.881 -0.081 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(C4CCCCC4)C3)CC2)CC1 ZINC000642263207 1072544791 /nfs/dbraw/zinc/54/47/91/1072544791.db2.gz CEMVNXSLXQJOHA-KRWDZBQOSA-N 0 0 441.598 -0.196 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(C4CCCCC4)C3)CC2)CC1 ZINC000642263208 1072610861 /nfs/dbraw/zinc/61/08/61/1072610861.db2.gz CEMVNXSLXQJOHA-QGZVFWFLSA-N 0 0 441.598 -0.196 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C[C@H](NC(N)=O)c3ccccc3)CC2)CC1 ZINC000642263227 1072610990 /nfs/dbraw/zinc/61/09/90/1072610990.db2.gz UIHPNOJDECNISH-KRWDZBQOSA-N 0 0 438.554 -0.578 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C[C@@H](NC(N)=O)c3ccccc3)CC2)CC1 ZINC000642263228 1072610969 /nfs/dbraw/zinc/61/09/69/1072610969.db2.gz UIHPNOJDECNISH-QGZVFWFLSA-N 0 0 438.554 -0.578 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc([C@]4(C)NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000642263984 1072610801 /nfs/dbraw/zinc/61/08/01/1072610801.db2.gz OHRFHLZZHUIOLN-IBGZPJMESA-N 0 0 425.405 -0.873 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000642264069 1072611017 /nfs/dbraw/zinc/61/10/17/1072611017.db2.gz OHRFHLZZHUIOLN-LJQANCHMSA-N 0 0 425.405 -0.873 20 0 IBADRN O=C(NC[C@H](O)COc1ccccc1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642270342 1072611011 /nfs/dbraw/zinc/61/10/11/1072611011.db2.gz BHQUHNIWWINSOK-INIZCTEOSA-N 0 0 433.486 -0.023 20 0 IBADRN O=C(NC[C@@H](O)COc1ccccc1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642270343 1072610849 /nfs/dbraw/zinc/61/08/49/1072610849.db2.gz BHQUHNIWWINSOK-MRXNPFEDSA-N 0 0 433.486 -0.023 20 0 IBADRN CN(CCCNC(=O)c1sccc1S(=O)(=O)N1CCOCC1)S(C)(=O)=O ZINC000642277401 1072610946 /nfs/dbraw/zinc/61/09/46/1072610946.db2.gz KKEKIRHVDYSSFQ-UHFFFAOYSA-N 0 0 425.554 -0.220 20 0 IBADRN CN(CCCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)S(C)(=O)=O ZINC000642277430 1072611603 /nfs/dbraw/zinc/61/16/03/1072611603.db2.gz MJOHOHLJZBICSR-UHFFFAOYSA-N 0 0 449.551 -0.086 20 0 IBADRN CN(CCCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)S(C)(=O)=O ZINC000642277445 1072611613 /nfs/dbraw/zinc/61/16/13/1072611613.db2.gz NULNJAJYFHPJQY-UHFFFAOYSA-N 0 0 449.551 -0.086 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCCN(C)S(C)(=O)=O ZINC000642277482 1072611535 /nfs/dbraw/zinc/61/15/35/1072611535.db2.gz QWUHOFMPESBSDC-ZDUSSCGKSA-N 0 0 449.551 -0.088 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000642277515 1072637992 /nfs/dbraw/zinc/63/79/92/1072637992.db2.gz UOYQDWXOVWNOLH-UHFFFAOYSA-N 0 0 434.540 -0.936 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCN(C)S(C)(=O)=O ZINC000642277531 1072610816 /nfs/dbraw/zinc/61/08/16/1072610816.db2.gz WFWUNZNXEZSTEL-UHFFFAOYSA-N 0 0 449.551 -0.273 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000642277601 1072611033 /nfs/dbraw/zinc/61/10/33/1072611033.db2.gz BZXIXUPQADEAPP-UHFFFAOYSA-N 0 0 436.556 -0.724 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000642277667 1072611001 /nfs/dbraw/zinc/61/10/01/1072611001.db2.gz DPMJEJHGFVPNOD-LBPRGKRZSA-N 0 0 434.540 -0.291 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000642277772 1072637933 /nfs/dbraw/zinc/63/79/33/1072637933.db2.gz PFJYEBTZRFKYLT-UHFFFAOYSA-N 0 0 434.540 -0.337 20 0 IBADRN COc1ccc(C(=O)NCCCN(C)S(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000642278038 1072610919 /nfs/dbraw/zinc/61/09/19/1072610919.db2.gz XOUPLFFAUAZZQA-UHFFFAOYSA-N 0 0 449.551 -0.273 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCCCN(C)S(C)(=O)=O)cc1OC ZINC000642278039 1072638578 /nfs/dbraw/zinc/63/85/78/1072638578.db2.gz XPCIRTWHEYTOLS-UHFFFAOYSA-N 0 0 437.540 -0.230 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)C1 ZINC000642280186 1072611617 /nfs/dbraw/zinc/61/16/17/1072611617.db2.gz AXDJTJSDIWHLIH-AWEZNQCLSA-N 0 0 430.509 -0.069 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)C1 ZINC000642280187 1072642184 /nfs/dbraw/zinc/64/21/84/1072642184.db2.gz AXDJTJSDIWHLIH-CQSZACIVSA-N 0 0 430.509 -0.069 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642284745 1072611570 /nfs/dbraw/zinc/61/15/70/1072611570.db2.gz CIRCBYGAFBOBFC-AWEZNQCLSA-N 0 0 430.508 -0.830 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642284746 1072611608 /nfs/dbraw/zinc/61/16/08/1072611608.db2.gz CIRCBYGAFBOBFC-CQSZACIVSA-N 0 0 430.508 -0.830 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642284880 1072611522 /nfs/dbraw/zinc/61/15/22/1072611522.db2.gz RJLSQGRQLCGROB-HNNXBMFYSA-N 0 0 444.535 -0.440 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642284881 1072611489 /nfs/dbraw/zinc/61/14/89/1072611489.db2.gz RJLSQGRQLCGROB-OAHLLOKOSA-N 0 0 444.535 -0.440 20 0 IBADRN CN(CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccnc1 ZINC000642289458 1072611592 /nfs/dbraw/zinc/61/15/92/1072611592.db2.gz OLCNJIGFNZUDKP-CABCVRRESA-N 0 0 431.536 -0.103 20 0 IBADRN CN(CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccnc1 ZINC000642289459 1072611565 /nfs/dbraw/zinc/61/15/65/1072611565.db2.gz OLCNJIGFNZUDKP-GJZGRUSLSA-N 0 0 431.536 -0.103 20 0 IBADRN CN(CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccnc1 ZINC000642289460 1072611601 /nfs/dbraw/zinc/61/16/01/1072611601.db2.gz OLCNJIGFNZUDKP-HUUCEWRRSA-N 0 0 431.536 -0.103 20 0 IBADRN CN(CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccnc1 ZINC000642289461 1072611527 /nfs/dbraw/zinc/61/15/27/1072611527.db2.gz OLCNJIGFNZUDKP-LSDHHAIUSA-N 0 0 431.536 -0.103 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccccc1-n1ncc3c1nc[nH]c3=O)c(=O)n2C ZINC000642302997 1072611550 /nfs/dbraw/zinc/61/15/50/1072611550.db2.gz HVLAYNPSAVMCJW-UHFFFAOYSA-N 0 0 447.415 -0.093 20 0 IBADRN CN(CCCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)S(C)(=O)=O ZINC000642311645 1072611499 /nfs/dbraw/zinc/61/14/99/1072611499.db2.gz BAVUCFHGXBJAGB-UHFFFAOYSA-N 0 0 439.581 -0.291 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000642315248 1072611558 /nfs/dbraw/zinc/61/15/58/1072611558.db2.gz FUIBVZXHTHCNJS-AWEZNQCLSA-N 0 0 430.509 -0.069 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)C1 ZINC000642315249 1072611541 /nfs/dbraw/zinc/61/15/41/1072611541.db2.gz FUIBVZXHTHCNJS-CQSZACIVSA-N 0 0 430.509 -0.069 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)Cc3ccc(S(N)(=O)=O)s3)CC2)no1 ZINC000642318756 1073330590 /nfs/dbraw/zinc/33/05/90/1073330590.db2.gz IULDTNHOVOILAG-UHFFFAOYSA-N 0 0 427.508 0.017 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)cc1OC ZINC000642319134 1072611513 /nfs/dbraw/zinc/61/15/13/1072611513.db2.gz JBTWXCBMXBXUMS-UHFFFAOYSA-N 0 0 440.522 -0.096 20 0 IBADRN CN(CCCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)S(C)(=O)=O ZINC000642324790 1072612105 /nfs/dbraw/zinc/61/21/05/1072612105.db2.gz LOKOGDPBIXWIEY-UHFFFAOYSA-N 0 0 434.540 -0.818 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)c1 ZINC000642324898 1072612088 /nfs/dbraw/zinc/61/20/88/1072612088.db2.gz NTFIGJZVZLFBOC-UHFFFAOYSA-N 0 0 436.446 -0.232 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1cccc(C(=O)NCC(N)=O)c1 ZINC000642325011 1072612139 /nfs/dbraw/zinc/61/21/39/1072612139.db2.gz YWRYVNVEKBJUJF-UHFFFAOYSA-N 0 0 449.489 -0.487 20 0 IBADRN CC(C)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000642331672 1072678971 /nfs/dbraw/zinc/67/89/71/1072678971.db2.gz WADRQXIGUIGIGV-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN COCCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000642333134 1072612169 /nfs/dbraw/zinc/61/21/69/1072612169.db2.gz BVCULJYGDCTGNY-UHFFFAOYSA-N 0 0 446.595 -0.326 20 0 IBADRN CN(CCCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000642343931 1072612113 /nfs/dbraw/zinc/61/21/13/1072612113.db2.gz ZQWUMBPFJRKGNQ-UHFFFAOYSA-N 0 0 448.567 -0.212 20 0 IBADRN O=C([C@H]1CC(=O)N(Cc2ccccn2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642346729 1072612083 /nfs/dbraw/zinc/61/20/83/1072612083.db2.gz BWECPEBYWUALEB-INIZCTEOSA-N 0 0 437.522 -0.849 20 0 IBADRN O=C([C@@H]1CC(=O)N(Cc2ccccn2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642346730 1072612074 /nfs/dbraw/zinc/61/20/74/1072612074.db2.gz BWECPEBYWUALEB-MRXNPFEDSA-N 0 0 437.522 -0.849 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000642348513 1072612177 /nfs/dbraw/zinc/61/21/77/1072612177.db2.gz YCGPKKGHPPKJGX-UHFFFAOYSA-N 0 0 435.510 -0.313 20 0 IBADRN Cn1c(=O)cc(CN(CCOCC(F)(F)F)Cc2cc(=O)n(C)c(=O)n2C)n(C)c1=O ZINC000642348619 1072612027 /nfs/dbraw/zinc/61/20/27/1072612027.db2.gz PLQHZUDSNRKGQF-UHFFFAOYSA-N 0 0 447.414 -0.938 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000642349721 1072612125 /nfs/dbraw/zinc/61/21/25/1072612125.db2.gz FMHWIZLIBVMQRY-UHFFFAOYSA-N 0 0 437.541 -0.310 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)N3CCCC3)C2)CC1)N1CCOCC1 ZINC000642349834 1072612142 /nfs/dbraw/zinc/61/21/42/1072612142.db2.gz NMMDWTAIMYAUKQ-KRWDZBQOSA-N 0 0 435.525 -0.556 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)N3CCCC3)C2)CC1)N1CCOCC1 ZINC000642349835 1072612047 /nfs/dbraw/zinc/61/20/47/1072612047.db2.gz NMMDWTAIMYAUKQ-QGZVFWFLSA-N 0 0 435.525 -0.556 20 0 IBADRN COc1ccc(C(=O)NCCN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC000642352343 1072612065 /nfs/dbraw/zinc/61/20/65/1072612065.db2.gz BHKQMDOIQXVCLZ-UHFFFAOYSA-N 0 0 445.563 -0.060 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCN2CCS(=O)(=O)CC2)C1 ZINC000642352396 1072612147 /nfs/dbraw/zinc/61/21/47/1072612147.db2.gz JWONJCDCCJDLCY-HNNXBMFYSA-N 0 0 448.567 -0.461 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCN2CCS(=O)(=O)CC2)C1 ZINC000642352397 1072611980 /nfs/dbraw/zinc/61/19/80/1072611980.db2.gz JWONJCDCCJDLCY-OAHLLOKOSA-N 0 0 448.567 -0.461 20 0 IBADRN CN(CC(=O)NCCN1CCS(=O)(=O)CC1)S(=O)(=O)c1ccc(Cl)s1 ZINC000642352417 1072612529 /nfs/dbraw/zinc/61/25/29/1072612529.db2.gz NBNOYABORKMNKK-UHFFFAOYSA-N 0 0 429.973 -0.131 20 0 IBADRN Cc1ccc(C(=O)NCCN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000642352426 1072612557 /nfs/dbraw/zinc/61/25/57/1072612557.db2.gz OLFZADVEFHIIAK-UHFFFAOYSA-N 0 0 445.563 -0.524 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)NCCN3CCS(=O)(=O)CC3)CC2=O)c(OC)c1 ZINC000642352427 1072612500 /nfs/dbraw/zinc/61/25/00/1072612500.db2.gz OMUAHVXSGIKFHS-AWEZNQCLSA-N 0 0 425.507 -0.097 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)NCCN3CCS(=O)(=O)CC3)CC2=O)c(OC)c1 ZINC000642352428 1072612549 /nfs/dbraw/zinc/61/25/49/1072612549.db2.gz OMUAHVXSGIKFHS-CQSZACIVSA-N 0 0 425.507 -0.097 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000642352440 1072716120 /nfs/dbraw/zinc/71/61/20/1072716120.db2.gz QHTGGXOQZDUGBE-UHFFFAOYSA-N 0 0 431.536 -0.832 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000642352539 1072612567 /nfs/dbraw/zinc/61/25/67/1072612567.db2.gz ZJEGKSRAJUZFDM-UHFFFAOYSA-N 0 0 437.565 -0.771 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000642353435 1072612469 /nfs/dbraw/zinc/61/24/69/1072612469.db2.gz CLBVYEUABHIPLT-UHFFFAOYSA-N 0 0 448.524 -0.395 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CCCC(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000642353588 1072718981 /nfs/dbraw/zinc/71/89/81/1072718981.db2.gz AGDLKQOMGKLCIY-UHFFFAOYSA-N 0 0 447.579 -0.058 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NCCN1CCS(=O)(=O)CC1 ZINC000642353668 1072612572 /nfs/dbraw/zinc/61/25/72/1072612572.db2.gz IVCWVAHPNUAZLE-INIZCTEOSA-N 0 0 435.543 -0.025 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCCN1CCS(=O)(=O)CC1)C2=O ZINC000642353778 1072718960 /nfs/dbraw/zinc/71/89/60/1072718960.db2.gz KYEZJNMMSLKSRU-AUUYWEPGSA-N 0 0 428.555 -0.030 20 0 IBADRN C[C@@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCCN1CCS(=O)(=O)CC1)C2=O ZINC000642353781 1072718989 /nfs/dbraw/zinc/71/89/89/1072718989.db2.gz KYEZJNMMSLKSRU-IFXJQAMLSA-N 0 0 428.555 -0.030 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCCN1CCS(=O)(=O)CC1)C2=O ZINC000642353782 1072612509 /nfs/dbraw/zinc/61/25/09/1072612509.db2.gz KYEZJNMMSLKSRU-KUHUBIRLSA-N 0 0 428.555 -0.030 20 0 IBADRN C[C@@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCCN1CCS(=O)(=O)CC1)C2=O ZINC000642353784 1072612593 /nfs/dbraw/zinc/61/25/93/1072612593.db2.gz KYEZJNMMSLKSRU-LIRRHRJNSA-N 0 0 428.555 -0.030 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C(=O)NCCN2CCS(=O)(=O)CC2)C(C)C)cc1 ZINC000642353848 1072612535 /nfs/dbraw/zinc/61/25/35/1072612535.db2.gz OGCYMBIXSHZEFS-KRWDZBQOSA-N 0 0 447.579 -0.155 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC000642353855 1072718955 /nfs/dbraw/zinc/71/89/55/1072718955.db2.gz PFWNCVWNTMOSNP-CVEARBPZSA-N 0 0 429.539 -0.185 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC000642353856 1072718949 /nfs/dbraw/zinc/71/89/49/1072718949.db2.gz PFWNCVWNTMOSNP-HOTGVXAUSA-N 0 0 429.539 -0.185 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC000642353857 1072719453 /nfs/dbraw/zinc/71/94/53/1072719453.db2.gz PFWNCVWNTMOSNP-HZPDHXFCSA-N 0 0 429.539 -0.185 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC000642353858 1072612579 /nfs/dbraw/zinc/61/25/79/1072612579.db2.gz PFWNCVWNTMOSNP-JKSUJKDBSA-N 0 0 429.539 -0.185 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCCN2CCS(=O)(=O)CC2)c1 ZINC000642353860 1072612604 /nfs/dbraw/zinc/61/26/04/1072612604.db2.gz QJPNOHVUGYKRBF-UHFFFAOYSA-N 0 0 433.552 -0.620 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCCC2)s1)NCCN1CCS(=O)(=O)CC1 ZINC000642353876 1072612615 /nfs/dbraw/zinc/61/26/15/1072612615.db2.gz SAXXJIPFBVHFPW-UHFFFAOYSA-N 0 0 435.593 -0.078 20 0 IBADRN COc1ccc(C(=O)NCCN2CCS(=O)(=O)CC2)cc1S(=O)(=O)NC(C)C ZINC000642354001 1072612516 /nfs/dbraw/zinc/61/25/16/1072612516.db2.gz WQXLXUKKJWPQNE-UHFFFAOYSA-N 0 0 433.552 -0.158 20 0 IBADRN COc1ccc(C(=O)NCCN2CCS(=O)(=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC000642354042 1072612540 /nfs/dbraw/zinc/61/25/40/1072612540.db2.gz YWMSHWOVYAMECQ-UHFFFAOYSA-N 0 0 431.536 -0.404 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642354804 1072612609 /nfs/dbraw/zinc/61/26/09/1072612609.db2.gz ZOHMLERBKCHIJB-ARFHVFGLSA-N 0 0 428.555 -0.919 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642354805 1072612521 /nfs/dbraw/zinc/61/25/21/1072612521.db2.gz ZOHMLERBKCHIJB-HRCADAONSA-N 0 0 428.555 -0.919 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642354806 1072612588 /nfs/dbraw/zinc/61/25/88/1072612588.db2.gz ZOHMLERBKCHIJB-JYJNAYRXSA-N 0 0 428.555 -0.919 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000642354807 1072612598 /nfs/dbraw/zinc/61/25/98/1072612598.db2.gz ZOHMLERBKCHIJB-XHSDSOJGSA-N 0 0 428.555 -0.919 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)ccc2C)CC1 ZINC000642356546 1072613110 /nfs/dbraw/zinc/61/31/10/1072613110.db2.gz UMHPGROCMSYTCW-UHFFFAOYSA-N 0 0 426.539 -0.186 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000642356783 1072613094 /nfs/dbraw/zinc/61/30/94/1072613094.db2.gz QTQMUOUFJDGPKZ-UHFFFAOYSA-N 0 0 445.586 -0.119 20 0 IBADRN Cc1cccc(C(=O)N[C@@H](C)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000642356978 1072613219 /nfs/dbraw/zinc/61/32/19/1072613219.db2.gz GLDZBTSBVLOZNS-KRWDZBQOSA-N 0 0 437.566 -0.250 20 0 IBADRN Cc1cccc(C(=O)N[C@H](C)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000642356979 1072613284 /nfs/dbraw/zinc/61/32/84/1072613284.db2.gz GLDZBTSBVLOZNS-QGZVFWFLSA-N 0 0 437.566 -0.250 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(N4C(=O)CCC4=O)cc3)CC2)CC1 ZINC000642357025 1072613259 /nfs/dbraw/zinc/61/32/59/1072613259.db2.gz RUKGPPXGBIVQJC-UHFFFAOYSA-N 0 0 449.533 -0.410 20 0 IBADRN Cn1c(CCC(=O)NCCCC(N)=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000642369912 1072613248 /nfs/dbraw/zinc/61/32/48/1072613248.db2.gz BVUZCRAZXYFIPM-UHFFFAOYSA-N 0 0 437.522 -0.092 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1=O ZINC000642371627 1072613136 /nfs/dbraw/zinc/61/31/36/1072613136.db2.gz ITLHKVOSZYNAAC-IBGZPJMESA-N 0 0 435.506 -0.297 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1=O ZINC000642371631 1072753377 /nfs/dbraw/zinc/75/33/77/1072753377.db2.gz ITLHKVOSZYNAAC-LJQANCHMSA-N 0 0 435.506 -0.297 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CN3C(=O)NC4(CCCC4)C3=O)CC2)cc1 ZINC000642371884 1072613176 /nfs/dbraw/zinc/61/31/76/1072613176.db2.gz PTDXCDMBGANNHT-UHFFFAOYSA-N 0 0 435.506 -0.153 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642372311 1072613234 /nfs/dbraw/zinc/61/32/34/1072613234.db2.gz FTWPNUGXHMGRRE-INIZCTEOSA-N 0 0 430.552 -0.203 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642372312 1072613306 /nfs/dbraw/zinc/61/33/06/1072613306.db2.gz FTWPNUGXHMGRRE-MRXNPFEDSA-N 0 0 430.552 -0.203 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)Cn3nnc4ccccc4c3=O)CC2)cc1 ZINC000642372753 1072613187 /nfs/dbraw/zinc/61/31/87/1072613187.db2.gz VHIPPCUGHXFEFC-UHFFFAOYSA-N 0 0 428.474 -0.212 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(c3ccc(S(N)(=O)=O)cc3)CC1)c2=O ZINC000642372758 1072613279 /nfs/dbraw/zinc/61/32/79/1072613279.db2.gz VONGMJHDFJHEMG-UHFFFAOYSA-N 0 0 431.478 -0.874 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c1 ZINC000642375056 1072613242 /nfs/dbraw/zinc/61/32/42/1072613242.db2.gz DXFZPLHMKAEZTO-UHFFFAOYSA-N 0 0 441.535 -0.125 20 0 IBADRN Cn1c2ncc(C(=O)N3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)cc2c(=O)[nH]c1=O ZINC000642375062 1072613122 /nfs/dbraw/zinc/61/31/22/1072613122.db2.gz FHJDZLLSMXDRCD-UHFFFAOYSA-N 0 0 444.473 -0.356 20 0 IBADRN C[C@H]1CN(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H](C)O1 ZINC000642376622 1072759259 /nfs/dbraw/zinc/75/92/59/1072759259.db2.gz UHPYCMDTELHTAU-HOTGVXAUSA-N 0 0 447.583 -0.523 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@@H](C)O1 ZINC000642376623 1072759218 /nfs/dbraw/zinc/75/92/18/1072759218.db2.gz UHPYCMDTELHTAU-HZPDHXFCSA-N 0 0 447.583 -0.523 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H](C)O1 ZINC000642376624 1072613671 /nfs/dbraw/zinc/61/36/71/1072613671.db2.gz UHPYCMDTELHTAU-IYBDPMFKSA-N 0 0 447.583 -0.523 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000642377266 1072613267 /nfs/dbraw/zinc/61/32/67/1072613267.db2.gz ZWHHTTBLFRYODJ-UHFFFAOYSA-N 0 0 440.453 -0.865 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)cc1C(N)=O ZINC000642377449 1072613645 /nfs/dbraw/zinc/61/36/45/1072613645.db2.gz GIMJXOOMBPGUKI-UHFFFAOYSA-N 0 0 427.508 -0.718 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642377491 1072613711 /nfs/dbraw/zinc/61/37/11/1072613711.db2.gz NWIHSQBBFFXOTD-UHFFFAOYSA-N 0 0 436.556 -0.704 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000642379837 1072802961 /nfs/dbraw/zinc/80/29/61/1072802961.db2.gz ZTGHIDJVJVGMTB-UHFFFAOYSA-N 0 0 435.510 -0.312 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000642381319 1072613665 /nfs/dbraw/zinc/61/36/65/1072613665.db2.gz BHQUHMXGAQMHQQ-FHSNZYRGSA-N 0 0 428.511 -0.703 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000642381320 1072613568 /nfs/dbraw/zinc/61/35/68/1072613568.db2.gz BHQUHMXGAQMHQQ-SNUQEOBHSA-N 0 0 428.511 -0.703 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000642381321 1072613694 /nfs/dbraw/zinc/61/36/94/1072613694.db2.gz BHQUHMXGAQMHQQ-VBHSOAQHSA-N 0 0 428.511 -0.703 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000642381322 1072613603 /nfs/dbraw/zinc/61/36/03/1072613603.db2.gz BHQUHMXGAQMHQQ-VFVRVIDISA-N 0 0 428.511 -0.703 20 0 IBADRN Cc1sc2ncn(CC(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)c(=O)c2c1C ZINC000642381331 1072845886 /nfs/dbraw/zinc/84/58/86/1072845886.db2.gz GZMJNKUYNYQFHV-GFCCVEGCSA-N 0 0 426.520 -0.116 20 0 IBADRN Cc1sc2ncn(CC(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)c(=O)c2c1C ZINC000642381332 1072613724 /nfs/dbraw/zinc/61/37/24/1072613724.db2.gz GZMJNKUYNYQFHV-LBPRGKRZSA-N 0 0 426.520 -0.116 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000642381357 1072846060 /nfs/dbraw/zinc/84/60/60/1072846060.db2.gz USJZWWKXLNEWSY-HNNXBMFYSA-N 0 0 445.563 -0.219 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000642381358 1072845880 /nfs/dbraw/zinc/84/58/80/1072845880.db2.gz USJZWWKXLNEWSY-OAHLLOKOSA-N 0 0 445.563 -0.219 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000642381359 1072613659 /nfs/dbraw/zinc/61/36/59/1072613659.db2.gz VHYZKWRCPUKZPM-BIWSTMPVSA-N 0 0 442.538 -0.313 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000642381360 1072613641 /nfs/dbraw/zinc/61/36/41/1072613641.db2.gz VHYZKWRCPUKZPM-SHYRYGCCSA-N 0 0 442.538 -0.313 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1cccc(Br)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000642381367 1072613610 /nfs/dbraw/zinc/61/36/10/1072613610.db2.gz ABDKTEVJCSXLNL-CYBMUJFWSA-N 0 0 446.323 -0.012 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1cccc(Br)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000642381368 1072850514 /nfs/dbraw/zinc/85/05/14/1072850514.db2.gz ABDKTEVJCSXLNL-ZDUSSCGKSA-N 0 0 446.323 -0.012 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000642381381 1072613592 /nfs/dbraw/zinc/61/35/92/1072613592.db2.gz GRJZWLVYXPLSFJ-CYBMUJFWSA-N 0 0 435.499 -0.696 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)cc1)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000642381382 1072613688 /nfs/dbraw/zinc/61/36/88/1072613688.db2.gz GRJZWLVYXPLSFJ-ZDUSSCGKSA-N 0 0 435.499 -0.696 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)ccc21 ZINC000642381397 1072613623 /nfs/dbraw/zinc/61/36/23/1072613623.db2.gz KIAOBJOKVOCPEJ-HNNXBMFYSA-N 0 0 443.547 -0.178 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc21 ZINC000642381398 1072848839 /nfs/dbraw/zinc/84/88/39/1072848839.db2.gz KIAOBJOKVOCPEJ-OAHLLOKOSA-N 0 0 443.547 -0.178 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3c(=O)cnc4ccccc43)CC2)o1 ZINC000642381882 1072614374 /nfs/dbraw/zinc/61/43/74/1072614374.db2.gz NBRZEAQCMSQSLK-UHFFFAOYSA-N 0 0 445.457 -0.379 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)C3CCCCC3)CC2)o1 ZINC000642382032 1072613580 /nfs/dbraw/zinc/61/35/80/1072613580.db2.gz BWHWMLQNWYKZMH-UHFFFAOYSA-N 0 0 426.495 -0.092 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nnc4ccccc4c3=O)CC2)o1 ZINC000642382067 1072613653 /nfs/dbraw/zinc/61/36/53/1072613653.db2.gz NOSQETLISKHGPE-UHFFFAOYSA-N 0 0 446.445 -0.984 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)c3cccc(F)c3)CC2)o1 ZINC000642382086 1072613704 /nfs/dbraw/zinc/61/37/04/1072613704.db2.gz WWYYUMZNPPKAQR-UHFFFAOYSA-N 0 0 438.437 -0.220 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)ccc1-n1cnnn1 ZINC000642382107 1072613635 /nfs/dbraw/zinc/61/36/35/1072613635.db2.gz CONBWYWSYPLOGG-UHFFFAOYSA-N 0 0 445.461 -0.191 20 0 IBADRN CC(C)CN1C[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000642382120 1072613718 /nfs/dbraw/zinc/61/37/18/1072613718.db2.gz IDTRUGXOHZSXKO-CYBMUJFWSA-N 0 0 426.495 -0.284 20 0 IBADRN CC(C)CN1C[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1=O ZINC000642382121 1072613617 /nfs/dbraw/zinc/61/36/17/1072613617.db2.gz IDTRUGXOHZSXKO-ZDUSSCGKSA-N 0 0 426.495 -0.284 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000642384280 1072614186 /nfs/dbraw/zinc/61/41/86/1072614186.db2.gz GXEJFXNZHQEANI-UHFFFAOYSA-N 0 0 436.556 -0.553 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000642384310 1072939049 /nfs/dbraw/zinc/93/90/49/1072939049.db2.gz ZJKXXUHHEYWANL-UHFFFAOYSA-N 0 0 448.567 -0.546 20 0 IBADRN CN(CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000642384910 1072614343 /nfs/dbraw/zinc/61/43/43/1072614343.db2.gz BRXQNYBYJJYYIA-HNNXBMFYSA-N 0 0 430.552 -0.899 20 0 IBADRN CN(CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000642384911 1072614295 /nfs/dbraw/zinc/61/42/95/1072614295.db2.gz BRXQNYBYJJYYIA-OAHLLOKOSA-N 0 0 430.552 -0.899 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642384938 1072951872 /nfs/dbraw/zinc/95/18/72/1072951872.db2.gz HWGBKOXZXWZREG-UHFFFAOYSA-N 0 0 427.508 -0.718 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642384992 1072614166 /nfs/dbraw/zinc/61/41/66/1072614166.db2.gz XPITWMFFOPTIKK-UHFFFAOYSA-N 0 0 444.521 -0.138 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCNC(=O)c2cccnc2)c1 ZINC000642387215 1072614176 /nfs/dbraw/zinc/61/41/76/1072614176.db2.gz ATZZGBVBLPFYMP-UHFFFAOYSA-N 0 0 433.490 -0.392 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000642392073 1072614200 /nfs/dbraw/zinc/61/42/00/1072614200.db2.gz MHQCBMXUEOCCKA-UHFFFAOYSA-N 0 0 432.524 -0.183 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000642394344 1072614271 /nfs/dbraw/zinc/61/42/71/1072614271.db2.gz DIBMMRIRLMLTER-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000642402059 1072614310 /nfs/dbraw/zinc/61/43/10/1072614310.db2.gz MZMIKFMFCYHWDC-UHFFFAOYSA-N 0 0 437.519 -0.503 20 0 IBADRN COCCNC(=O)CNC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000642414395 1072614152 /nfs/dbraw/zinc/61/41/52/1072614152.db2.gz BOXSLTCIWWNZOO-UHFFFAOYSA-N 0 0 430.483 -0.400 20 0 IBADRN COCCNC(=O)CNC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000642416367 1072614138 /nfs/dbraw/zinc/61/41/38/1072614138.db2.gz XKHRPIBLQHLLJT-UHFFFAOYSA-N 0 0 430.483 -0.400 20 0 IBADRN Cc1nnc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)s1 ZINC000642420965 1073351614 /nfs/dbraw/zinc/35/16/14/1073351614.db2.gz ANNXZTBVJHHXDC-UHFFFAOYSA-N 0 0 446.536 0.032 20 0 IBADRN Cc1c(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cccc1-n1cnnn1 ZINC000642430494 1072614356 /nfs/dbraw/zinc/61/43/56/1072614356.db2.gz YLFOQPRYVISZNZ-UHFFFAOYSA-N 0 0 428.453 -0.494 20 0 IBADRN Cn1cc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)cn1 ZINC000642450964 1072614387 /nfs/dbraw/zinc/61/43/87/1072614387.db2.gz ZIBQYFJQMOKVSS-UHFFFAOYSA-N 0 0 428.496 -0.394 20 0 IBADRN O=C(Nc1ccc2c(c1)NC(=O)CO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642456092 1072614215 /nfs/dbraw/zinc/61/42/15/1072614215.db2.gz OGPCJBHQIQIYIL-UHFFFAOYSA-N 0 0 425.467 -0.256 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000642458538 1072614324 /nfs/dbraw/zinc/61/43/24/1072614324.db2.gz KVVFHJULNNULSC-CYBMUJFWSA-N 0 0 434.544 -0.493 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000642458539 1072614336 /nfs/dbraw/zinc/61/43/36/1072614336.db2.gz KVVFHJULNNULSC-ZDUSSCGKSA-N 0 0 434.544 -0.493 20 0 IBADRN Cc1cc(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000642459462 1072614235 /nfs/dbraw/zinc/61/42/35/1072614235.db2.gz VDTNFYOSLPNNKZ-AWEZNQCLSA-N 0 0 440.526 -0.248 20 0 IBADRN Cc1cc(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000642459466 1072614289 /nfs/dbraw/zinc/61/42/89/1072614289.db2.gz VDTNFYOSLPNNKZ-CQSZACIVSA-N 0 0 440.526 -0.248 20 0 IBADRN O=C(CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)NC1CC1 ZINC000642462189 1072614802 /nfs/dbraw/zinc/61/48/02/1072614802.db2.gz FRWNKMMCVVNOOD-CYBMUJFWSA-N 0 0 430.508 -0.448 20 0 IBADRN O=C(CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)NC1CC1 ZINC000642462190 1072614873 /nfs/dbraw/zinc/61/48/73/1072614873.db2.gz FRWNKMMCVVNOOD-ZDUSSCGKSA-N 0 0 430.508 -0.448 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000642462234 1072614348 /nfs/dbraw/zinc/61/43/48/1072614348.db2.gz JLZZJRSSRATVGK-AAEUAGOBSA-N 0 0 432.524 -0.202 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000642462235 1072614258 /nfs/dbraw/zinc/61/42/58/1072614258.db2.gz JLZZJRSSRATVGK-DGCLKSJQSA-N 0 0 432.524 -0.202 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000642462236 1072614849 /nfs/dbraw/zinc/61/48/49/1072614849.db2.gz JLZZJRSSRATVGK-WCQYABFASA-N 0 0 432.524 -0.202 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000642462237 1072614729 /nfs/dbraw/zinc/61/47/29/1072614729.db2.gz JLZZJRSSRATVGK-YPMHNXCESA-N 0 0 432.524 -0.202 20 0 IBADRN CC(=O)NCCCNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000642462445 1072614841 /nfs/dbraw/zinc/61/48/41/1072614841.db2.gz RKBHXDKGKUAZPQ-AWEZNQCLSA-N 0 0 432.524 -0.200 20 0 IBADRN CC(=O)NCCCNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000642462446 1072614808 /nfs/dbraw/zinc/61/48/08/1072614808.db2.gz RKBHXDKGKUAZPQ-CQSZACIVSA-N 0 0 432.524 -0.200 20 0 IBADRN COCCNC(=O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000642462525 1072614817 /nfs/dbraw/zinc/61/48/17/1072614817.db2.gz ZIEYIZGGJYNFOE-CYBMUJFWSA-N 0 0 448.523 -0.964 20 0 IBADRN COCCNC(=O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000642462526 1072614867 /nfs/dbraw/zinc/61/48/67/1072614867.db2.gz ZIEYIZGGJYNFOE-ZDUSSCGKSA-N 0 0 448.523 -0.964 20 0 IBADRN CC(C)NC(=O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000642462568 1072614834 /nfs/dbraw/zinc/61/48/34/1072614834.db2.gz INNNSXLHUILGAZ-CYBMUJFWSA-N 0 0 432.524 -0.202 20 0 IBADRN CC(C)NC(=O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000642462569 1072614894 /nfs/dbraw/zinc/61/48/94/1072614894.db2.gz INNNSXLHUILGAZ-ZDUSSCGKSA-N 0 0 432.524 -0.202 20 0 IBADRN O=C(NCCNC(=O)C1CC1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000642462594 1072614880 /nfs/dbraw/zinc/61/48/80/1072614880.db2.gz KYOCWFSKZXKYNR-AWEZNQCLSA-N 0 0 444.535 -0.200 20 0 IBADRN O=C(NCCNC(=O)C1CC1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000642462595 1072614747 /nfs/dbraw/zinc/61/47/47/1072614747.db2.gz KYOCWFSKZXKYNR-CQSZACIVSA-N 0 0 444.535 -0.200 20 0 IBADRN CCCNC(=O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000642462905 1072614822 /nfs/dbraw/zinc/61/48/22/1072614822.db2.gz XIFKSDSYWAFMGV-CYBMUJFWSA-N 0 0 432.524 -0.200 20 0 IBADRN CCCNC(=O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000642462906 1072614827 /nfs/dbraw/zinc/61/48/27/1072614827.db2.gz XIFKSDSYWAFMGV-ZDUSSCGKSA-N 0 0 432.524 -0.200 20 0 IBADRN CCOc1ccc(NC(=O)NCC(=O)NCCOC)cc1S(=O)(=O)N1CCOCC1 ZINC000642465756 1073090463 /nfs/dbraw/zinc/09/04/63/1073090463.db2.gz HMDVLTHCNANVQW-UHFFFAOYSA-N 0 0 444.510 -0.010 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2NC1=O ZINC000642465820 1073090312 /nfs/dbraw/zinc/09/03/12/1073090312.db2.gz KFNYQQUZTSFYKC-CYBMUJFWSA-N 0 0 431.449 -0.059 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2NC1=O ZINC000642465821 1073090370 /nfs/dbraw/zinc/09/03/70/1073090370.db2.gz KFNYQQUZTSFYKC-ZDUSSCGKSA-N 0 0 431.449 -0.059 20 0 IBADRN CS(=O)(=O)c1ccccc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000642471829 1073090722 /nfs/dbraw/zinc/09/07/22/1073090722.db2.gz HMCSRKHVMOGFAZ-UHFFFAOYSA-N 0 0 432.524 -0.183 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000642494749 1072614791 /nfs/dbraw/zinc/61/47/91/1072614791.db2.gz XAYUXGRZNSTVGJ-UHFFFAOYSA-N 0 0 447.492 -0.312 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000642495263 1072614785 /nfs/dbraw/zinc/61/47/85/1072614785.db2.gz RFNGFIXCVMNSQQ-UHFFFAOYSA-N 0 0 447.492 -0.264 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000642496935 1072614854 /nfs/dbraw/zinc/61/48/54/1072614854.db2.gz JPMSCCSPVQMOHF-UHFFFAOYSA-N 0 0 425.511 -0.298 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1-n1cnnn1 ZINC000642502988 1072614761 /nfs/dbraw/zinc/61/47/61/1072614761.db2.gz WHWJNUODHXVJOT-UHFFFAOYSA-N 0 0 436.498 -0.303 20 0 IBADRN Cc1cc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1-n1cnnn1 ZINC000642503393 1072614888 /nfs/dbraw/zinc/61/48/88/1072614888.db2.gz BZPVIOYBCCXFNH-UHFFFAOYSA-N 0 0 428.453 -0.494 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1-n1cnnn1 ZINC000642503580 1072614734 /nfs/dbraw/zinc/61/47/34/1072614734.db2.gz DWEVWACGIDCOML-UHFFFAOYSA-N 0 0 428.453 -0.494 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000642512663 1072615412 /nfs/dbraw/zinc/61/54/12/1072615412.db2.gz MMXFBALAQHVWDP-UHFFFAOYSA-N 0 0 428.453 -0.494 20 0 IBADRN NC(=O)COc1cccc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000642513337 1072615577 /nfs/dbraw/zinc/61/55/77/1072615577.db2.gz XFSQHPNZOSZVBW-UHFFFAOYSA-N 0 0 427.483 -0.723 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000642513499 1072615621 /nfs/dbraw/zinc/61/56/21/1072615621.db2.gz ORGQXDMERFACEH-UHFFFAOYSA-N 0 0 435.506 -0.201 20 0 IBADRN CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cccc(S(N)(=O)=O)c3)CC2)CC1 ZINC000642515897 1071419720 /nfs/dbraw/zinc/41/97/20/1071419720.db2.gz XJNKIQWFXPLGAQ-UHFFFAOYSA-N 0 0 430.552 -0.491 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)C(=O)C1=O ZINC000642518618 1072615606 /nfs/dbraw/zinc/61/56/06/1072615606.db2.gz BCQUKUYCKPZUPJ-UHFFFAOYSA-N 0 0 438.506 -0.781 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3cc(C)ccc3C)CC2)C(=O)C1=O ZINC000642518648 1072615566 /nfs/dbraw/zinc/61/55/66/1072615566.db2.gz KVMRYHLSFXGTMW-UHFFFAOYSA-N 0 0 436.534 -0.173 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C(=O)C1=O ZINC000642518671 1072615502 /nfs/dbraw/zinc/61/55/02/1072615502.db2.gz QWAJVDSKGIVDNR-UHFFFAOYSA-N 0 0 426.470 -0.651 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000642518941 1072615533 /nfs/dbraw/zinc/61/55/33/1072615533.db2.gz ZVXFJPBOPTYWHG-UHFFFAOYSA-N 0 0 425.536 -0.869 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC000642518944 1072615426 /nfs/dbraw/zinc/61/54/26/1072615426.db2.gz BFKDXZRUTUDKOU-UHFFFAOYSA-N 0 0 431.536 -0.742 20 0 IBADRN CCN1CCN(CC(=O)NC2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C(=O)C1=O ZINC000642519028 1072615556 /nfs/dbraw/zinc/61/55/56/1072615556.db2.gz GVDOXWJZMKEIIX-UHFFFAOYSA-N 0 0 436.534 -0.045 20 0 IBADRN COc1ccc(NC(=O)CN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000642519050 1072615486 /nfs/dbraw/zinc/61/54/86/1072615486.db2.gz LXHZPTSEMQSBOP-UHFFFAOYSA-N 0 0 447.535 -0.615 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC000642519061 1072615583 /nfs/dbraw/zinc/61/55/83/1072615583.db2.gz PMXMXOAELQFSEV-UHFFFAOYSA-N 0 0 429.564 -0.005 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3Cl)CC2)C(=O)C1=O ZINC000642519201 1072615477 /nfs/dbraw/zinc/61/54/77/1072615477.db2.gz KHPWDJLTMGRXQU-UHFFFAOYSA-N 0 0 442.925 -0.136 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)C(=O)C1=O ZINC000642519218 1072615613 /nfs/dbraw/zinc/61/56/13/1072615613.db2.gz PLBJIUALLUNDND-UHFFFAOYSA-N 0 0 448.954 -0.075 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000642520466 1072615591 /nfs/dbraw/zinc/61/55/91/1072615591.db2.gz PKJRRFQULUCLDL-UHFFFAOYSA-N 0 0 435.955 -0.097 20 0 IBADRN COc1cc(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)ccc1OCC(=O)N(C)C ZINC000642523854 1072615393 /nfs/dbraw/zinc/61/53/93/1072615393.db2.gz QURDELAOBFYQBA-UHFFFAOYSA-N 0 0 440.478 -0.962 20 0 IBADRN COCCN(C(=O)CN1CCCN(CC(=O)N2CCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000642526128 1072615598 /nfs/dbraw/zinc/61/55/98/1072615598.db2.gz KOHITDUKHZRKSO-GOSISDBHSA-N 0 0 444.598 -0.721 20 0 IBADRN COCCN(C(=O)CN1CCCN(CC(=O)N2CCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000642526129 1072615440 /nfs/dbraw/zinc/61/54/40/1072615440.db2.gz KOHITDUKHZRKSO-SFHVURJKSA-N 0 0 444.598 -0.721 20 0 IBADRN COc1ccccc1CN(C)C(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000642526915 1072616067 /nfs/dbraw/zinc/61/60/67/1072616067.db2.gz PAXJSAXRWWLCTD-UHFFFAOYSA-N 0 0 439.582 -0.237 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCCN(CC(F)(F)F)CC2)CC1)N1CCOCC1 ZINC000642526963 1072616150 /nfs/dbraw/zinc/61/61/50/1072616150.db2.gz BTNKLDXRARRSGM-UHFFFAOYSA-N 0 0 435.491 -0.441 20 0 IBADRN O=C(CN1CCCN(CC(F)(F)F)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000642526989 1072616184 /nfs/dbraw/zinc/61/61/84/1072616184.db2.gz GPLBEJHNQCFYCF-HNNXBMFYSA-N 0 0 426.505 -0.112 20 0 IBADRN O=C(CN1CCCN(CC(F)(F)F)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000642526993 1072616107 /nfs/dbraw/zinc/61/61/07/1072616107.db2.gz GPLBEJHNQCFYCF-OAHLLOKOSA-N 0 0 426.505 -0.112 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642527893 1072616036 /nfs/dbraw/zinc/61/60/36/1072616036.db2.gz AVUHSEJGILNZMP-GDBMZVCRSA-N 0 0 444.579 -0.510 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642527894 1072616138 /nfs/dbraw/zinc/61/61/38/1072616138.db2.gz AVUHSEJGILNZMP-GOEBONIOSA-N 0 0 444.579 -0.510 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642527895 1072616144 /nfs/dbraw/zinc/61/61/44/1072616144.db2.gz AVUHSEJGILNZMP-HOCLYGCPSA-N 0 0 444.579 -0.510 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642527896 1072616173 /nfs/dbraw/zinc/61/61/73/1072616173.db2.gz AVUHSEJGILNZMP-ZBFHGGJFSA-N 0 0 444.579 -0.510 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642527898 1072616016 /nfs/dbraw/zinc/61/60/16/1072616016.db2.gz AYKKRLJHSFPJAN-UHFFFAOYSA-N 0 0 425.555 -0.171 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642528364 1072616098 /nfs/dbraw/zinc/61/60/98/1072616098.db2.gz VWFLPWPSKVVUCK-KBPBESRZSA-N 0 0 430.552 -0.852 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642528365 1072615982 /nfs/dbraw/zinc/61/59/82/1072615982.db2.gz VWFLPWPSKVVUCK-KGLIPLIRSA-N 0 0 430.552 -0.852 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642528366 1072616091 /nfs/dbraw/zinc/61/60/91/1072616091.db2.gz VWFLPWPSKVVUCK-UONOGXRCSA-N 0 0 430.552 -0.852 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000642528367 1072616192 /nfs/dbraw/zinc/61/61/92/1072616192.db2.gz VWFLPWPSKVVUCK-ZIAGYGMSSA-N 0 0 430.552 -0.852 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(C(=O)COc3ccc(F)cc3)CC2)CC1 ZINC000642528411 1072616029 /nfs/dbraw/zinc/61/60/29/1072616029.db2.gz QQKWZNKYVUPJIG-UHFFFAOYSA-N 0 0 449.527 -0.419 20 0 IBADRN CC(C)(CNC(=O)CN1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)N1CCOCC1 ZINC000642528722 1072615961 /nfs/dbraw/zinc/61/59/61/1072615961.db2.gz ZMRODYPTHHEWBO-UHFFFAOYSA-N 0 0 439.582 -0.317 20 0 IBADRN O=C(CS(=O)(=O)Cc1cc(=O)n2cc(Br)ccc2n1)N1CCNC(=O)C1 ZINC000642531282 1072616121 /nfs/dbraw/zinc/61/61/21/1072616121.db2.gz ZYEQPIXMDFZDBH-UHFFFAOYSA-N 0 0 443.279 -0.670 20 0 IBADRN COc1c(F)cc(CC(=O)Nc2ccc(N3CCNC(=O)C3)nc2)cc1S(N)(=O)=O ZINC000642546389 1072616045 /nfs/dbraw/zinc/61/60/45/1072616045.db2.gz ODWSUQNIPKQSLQ-UHFFFAOYSA-N 0 0 437.453 -0.006 20 0 IBADRN O=C(NC1CCN(c2ncccn2)CC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642547459 1072616198 /nfs/dbraw/zinc/61/61/98/1072616198.db2.gz KKEWTKXGYRTGCD-UHFFFAOYSA-N 0 0 444.517 -0.004 20 0 IBADRN O=C(NC1CCN(c2ncccn2)CC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000642547481 1072615931 /nfs/dbraw/zinc/61/59/31/1072615931.db2.gz MQPZYQZKODHEJK-UHFFFAOYSA-N 0 0 444.517 -0.004 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cn2)C[C@H](C)O1 ZINC000642554326 1072615999 /nfs/dbraw/zinc/61/59/99/1072615999.db2.gz QHWABAJOKPLVBB-KBPBESRZSA-N 0 0 441.492 -0.241 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cn2)C[C@H](C)O1 ZINC000642554327 1072616669 /nfs/dbraw/zinc/61/66/69/1072616669.db2.gz QHWABAJOKPLVBB-OKILXGFUSA-N 0 0 441.492 -0.241 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cn2)C[C@@H](C)O1 ZINC000642554328 1072616622 /nfs/dbraw/zinc/61/66/22/1072616622.db2.gz QHWABAJOKPLVBB-ZIAGYGMSSA-N 0 0 441.492 -0.241 20 0 IBADRN COc1cc(CNC(=O)Cn2cnc3c(cnn3C)c2=O)ccc1OCC(=O)N(C)C ZINC000642555071 1072616567 /nfs/dbraw/zinc/61/65/67/1072616567.db2.gz DYJOQRYXCWYXGA-UHFFFAOYSA-N 0 0 428.449 -0.078 20 0 IBADRN Cn1c2ncn(CC(=O)NC3CCN(C(=O)c4ccccc4F)CC3)c2c(=O)n(C)c1=O ZINC000642557782 1072616701 /nfs/dbraw/zinc/61/67/01/1072616701.db2.gz QEBAMUZYSMZJHO-UHFFFAOYSA-N 0 0 442.451 -0.006 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642559232 1072616582 /nfs/dbraw/zinc/61/65/82/1072616582.db2.gz FIXIDWCEESTHRV-UHFFFAOYSA-N 0 0 442.457 -0.449 20 0 IBADRN O=C(CNC(=O)COc1ccccc1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642559239 1072616595 /nfs/dbraw/zinc/61/65/95/1072616595.db2.gz FRAYNPIOXYOBKB-UHFFFAOYSA-N 0 0 446.485 -0.059 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cnc2n(c1=O)CCS2 ZINC000642559274 1072616678 /nfs/dbraw/zinc/61/66/78/1072616678.db2.gz JSSWBRXPXRIFKQ-UHFFFAOYSA-N 0 0 435.487 -0.278 20 0 IBADRN NC(=O)COc1ccc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000642560397 1072616643 /nfs/dbraw/zinc/61/66/43/1072616643.db2.gz RPURZHUFRVTEOL-UHFFFAOYSA-N 0 0 432.458 -0.077 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)o1 ZINC000642560449 1072616731 /nfs/dbraw/zinc/61/67/31/1072616731.db2.gz ZIEYSTLBONJOTP-UHFFFAOYSA-N 0 0 442.475 -0.440 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)c1 ZINC000642561312 1072616634 /nfs/dbraw/zinc/61/66/34/1072616634.db2.gz PKIHXBFDNNMGHF-UHFFFAOYSA-N 0 0 440.459 -0.211 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C2CCN(C(=O)N3CCCC3)CC2)CC1)N1CCOCC1 ZINC000642563019 1072616650 /nfs/dbraw/zinc/61/66/50/1072616650.db2.gz NEIFAQIZJGIXEY-UHFFFAOYSA-N 0 0 435.525 -0.556 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)CCC3=O)CC2)C[C@H](C)O1 ZINC000642566915 1072616602 /nfs/dbraw/zinc/61/66/02/1072616602.db2.gz HHQGGUNDXBEIOG-GASCZTMLSA-N 0 0 430.527 -0.586 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)CCC3=O)CC2)C[C@H](C)O1 ZINC000642566918 1072616560 /nfs/dbraw/zinc/61/65/60/1072616560.db2.gz HHQGGUNDXBEIOG-GJZGRUSLSA-N 0 0 430.527 -0.586 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCCN3C(=O)CCC3=O)CC2)C[C@@H](C)O1 ZINC000642566919 1072616606 /nfs/dbraw/zinc/61/66/06/1072616606.db2.gz HHQGGUNDXBEIOG-HUUCEWRRSA-N 0 0 430.527 -0.586 20 0 IBADRN COc1cccc(COCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000642566991 1071423335 /nfs/dbraw/zinc/42/33/35/1071423335.db2.gz PSKMLEQNIYLKFC-UHFFFAOYSA-N 0 0 426.539 -0.152 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)C(=O)C1=O ZINC000642567111 1072616586 /nfs/dbraw/zinc/61/65/86/1072616586.db2.gz RKLJDCUFAHKEPH-UHFFFAOYSA-N 0 0 444.535 -0.924 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCN2CCN(C(C)=O)CC2)c1 ZINC000642567197 1072616726 /nfs/dbraw/zinc/61/67/26/1072616726.db2.gz QIZNEFXXRNMXEC-UHFFFAOYSA-N 0 0 441.554 -0.841 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000642567377 1072616613 /nfs/dbraw/zinc/61/66/13/1072616613.db2.gz MOZSUQYFBYGBEX-KRWDZBQOSA-N 0 0 441.554 -0.028 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)CC1 ZINC000642567378 1072616627 /nfs/dbraw/zinc/61/66/27/1072616627.db2.gz MOZSUQYFBYGBEX-QGZVFWFLSA-N 0 0 441.554 -0.028 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000642568345 1072616712 /nfs/dbraw/zinc/61/67/12/1072616712.db2.gz PLMIYPOXRMZBFB-UHFFFAOYSA-N 0 0 441.554 -0.028 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@@H](c2cccs2)N2CCN(C)CC2)cn1 ZINC000642577250 1072617204 /nfs/dbraw/zinc/61/72/04/1072617204.db2.gz WXHXUINUXAKMGV-HNNXBMFYSA-N 0 0 426.568 -0.135 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@H](c2cccs2)N2CCN(C)CC2)cn1 ZINC000642577251 1072617417 /nfs/dbraw/zinc/61/74/17/1072617417.db2.gz WXHXUINUXAKMGV-OAHLLOKOSA-N 0 0 426.568 -0.135 20 0 IBADRN COc1ccc([C@@H](CNC(=O)CNS(=O)(=O)c2cnn(C)c2)N2CCOCC2)cc1 ZINC000642577280 1072617323 /nfs/dbraw/zinc/61/73/23/1072617323.db2.gz YURFKWUAEFRDGC-GOSISDBHSA-N 0 0 437.522 -0.103 20 0 IBADRN COc1ccc([C@H](CNC(=O)CNS(=O)(=O)c2cnn(C)c2)N2CCOCC2)cc1 ZINC000642577281 1072617368 /nfs/dbraw/zinc/61/73/68/1072617368.db2.gz YURFKWUAEFRDGC-SFHVURJKSA-N 0 0 437.522 -0.103 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)cn1 ZINC000642578568 1072617139 /nfs/dbraw/zinc/61/71/39/1072617139.db2.gz RTDKYINJZQTHOA-UHFFFAOYSA-N 0 0 435.506 -0.186 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000642578661 1072617292 /nfs/dbraw/zinc/61/72/92/1072617292.db2.gz COZLOJRYZQIBDR-UHFFFAOYSA-N 0 0 441.535 -0.627 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000642579091 1072617256 /nfs/dbraw/zinc/61/72/56/1072617256.db2.gz UWSBJCRDVPGLCR-HOTGVXAUSA-N 0 0 440.570 -0.653 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000642579093 1072617197 /nfs/dbraw/zinc/61/71/97/1072617197.db2.gz UWSBJCRDVPGLCR-HZPDHXFCSA-N 0 0 440.570 -0.653 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC000642579094 1072617358 /nfs/dbraw/zinc/61/73/58/1072617358.db2.gz UWSBJCRDVPGLCR-IYBDPMFKSA-N 0 0 440.570 -0.653 20 0 IBADRN C[C@@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000642579877 1072617401 /nfs/dbraw/zinc/61/74/01/1072617401.db2.gz ZMMFLQMGMDKPHG-CYBMUJFWSA-N 0 0 448.505 -0.079 20 0 IBADRN C[C@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000642579879 1072617189 /nfs/dbraw/zinc/61/71/89/1072617189.db2.gz ZMMFLQMGMDKPHG-ZDUSSCGKSA-N 0 0 448.505 -0.079 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1S(N)(=O)=O ZINC000642580175 1072617171 /nfs/dbraw/zinc/61/71/71/1072617171.db2.gz OHWXLFXKGSZOJF-UHFFFAOYSA-N 0 0 444.485 -0.780 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)cn1 ZINC000642580378 1072617408 /nfs/dbraw/zinc/61/74/08/1072617408.db2.gz HKMRAGOKTMTWCX-UHFFFAOYSA-N 0 0 447.564 -0.271 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)cn1 ZINC000642581420 1072617378 /nfs/dbraw/zinc/61/73/78/1072617378.db2.gz SXWDLVNLVZWMSE-UHFFFAOYSA-N 0 0 434.522 -0.082 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)cn1 ZINC000642582609 1072617299 /nfs/dbraw/zinc/61/72/99/1072617299.db2.gz WGVRNBIJZHAQJT-UHFFFAOYSA-N 0 0 435.462 -0.588 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)cn1 ZINC000642582827 1072617330 /nfs/dbraw/zinc/61/73/30/1072617330.db2.gz UNMOUUUTHJRIPN-UHFFFAOYSA-N 0 0 426.499 -0.541 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)c1ccc(C(=O)NCCCNS(C)(=O)=O)s1 ZINC000642583412 1072617262 /nfs/dbraw/zinc/61/72/62/1072617262.db2.gz LKMGYNMRYBNHNR-UHFFFAOYSA-N 0 0 440.569 -0.914 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000642583795 1072617404 /nfs/dbraw/zinc/61/74/04/1072617404.db2.gz XCMDFRUJRHIUJH-GFCCVEGCSA-N 0 0 442.513 -0.165 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1S(N)(=O)=O ZINC000642583796 1072617276 /nfs/dbraw/zinc/61/72/76/1072617276.db2.gz XCMDFRUJRHIUJH-LBPRGKRZSA-N 0 0 442.513 -0.165 20 0 IBADRN Cc1sc2ncn(CCC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c(=O)c2c1C ZINC000642585257 1072617770 /nfs/dbraw/zinc/61/77/70/1072617770.db2.gz PHQYQELZENOLPC-UHFFFAOYSA-N 0 0 430.494 -0.178 20 0 IBADRN COc1c(F)cc(CC(=O)NCCS(=O)(=O)NC(C)(C)C)cc1S(N)(=O)=O ZINC000642585873 1072617874 /nfs/dbraw/zinc/61/78/74/1072617874.db2.gz VRTXKKDUAQRJMZ-UHFFFAOYSA-N 0 0 425.504 -0.142 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000642588209 1072617924 /nfs/dbraw/zinc/61/79/24/1072617924.db2.gz RYIDLZREJCRGJC-UHFFFAOYSA-N 0 0 437.522 -0.421 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000642592575 1072617902 /nfs/dbraw/zinc/61/79/02/1072617902.db2.gz CMMJQVSKBZNPPX-DOTOQJQBSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000642592576 1072617832 /nfs/dbraw/zinc/61/78/32/1072617832.db2.gz CMMJQVSKBZNPPX-NVXWUHKLSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000642592577 1072617850 /nfs/dbraw/zinc/61/78/50/1072617850.db2.gz CMMJQVSKBZNPPX-RDJZCZTQSA-N 0 0 447.579 -0.395 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000642592578 1072617949 /nfs/dbraw/zinc/61/79/49/1072617949.db2.gz CMMJQVSKBZNPPX-WBVHZDCISA-N 0 0 447.579 -0.395 20 0 IBADRN COc1cc(CNC(=O)CNS(=O)(=O)c2cnn(C)c2)ccc1OCC(=O)N(C)C ZINC000642594402 1072617940 /nfs/dbraw/zinc/61/79/40/1072617940.db2.gz BPDHGXLXJBCQGB-UHFFFAOYSA-N 0 0 439.494 -0.510 20 0 IBADRN COc1cc(CNC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)ccc1OCC(=O)N(C)C ZINC000642594544 1072617965 /nfs/dbraw/zinc/61/79/65/1072617965.db2.gz PNOYHPNCCSXEGB-HNNXBMFYSA-N 0 0 427.523 -0.103 20 0 IBADRN COc1cc(CNC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)ccc1OCC(=O)N(C)C ZINC000642594545 1072617962 /nfs/dbraw/zinc/61/79/62/1072617962.db2.gz PNOYHPNCCSXEGB-OAHLLOKOSA-N 0 0 427.523 -0.103 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc([C@]4(C)NC(=O)NC4=O)c3)c2c(=O)n(C)c1=O ZINC000642596398 1072617954 /nfs/dbraw/zinc/61/79/54/1072617954.db2.gz PAMONTSDQFQKRM-IBGZPJMESA-N 0 0 425.405 -0.873 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc([C@@]4(C)NC(=O)NC4=O)c3)c2c(=O)n(C)c1=O ZINC000642596401 1072617858 /nfs/dbraw/zinc/61/78/58/1072617858.db2.gz PAMONTSDQFQKRM-LJQANCHMSA-N 0 0 425.405 -0.873 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc([C@]4(C)NC(=O)NC4=O)c3)c2c(=O)n(C)c1=O ZINC000642597009 1073120441 /nfs/dbraw/zinc/12/04/41/1073120441.db2.gz ASNHBYSSSFBGSH-FQEVSTJZSA-N 0 0 439.432 -0.483 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc([C@@]4(C)NC(=O)NC4=O)c3)c2c(=O)n(C)c1=O ZINC000642597010 1073120282 /nfs/dbraw/zinc/12/02/82/1073120282.db2.gz ASNHBYSSSFBGSH-HXUWFJFHSA-N 0 0 439.432 -0.483 20 0 IBADRN O=C(N[C@H]1CCCc2c1cnn2CCO)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000642600157 1072617888 /nfs/dbraw/zinc/61/78/88/1072617888.db2.gz AYAGLPZWWVWEKZ-KRWDZBQOSA-N 0 0 447.517 -0.197 20 0 IBADRN O=C(N[C@@H]1CCCc2c1cnn2CCO)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000642600162 1072617820 /nfs/dbraw/zinc/61/78/20/1072617820.db2.gz AYAGLPZWWVWEKZ-QGZVFWFLSA-N 0 0 447.517 -0.197 20 0 IBADRN O=C(N[C@H]1CCCc2c1cnn2CCO)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642600559 1072617908 /nfs/dbraw/zinc/61/79/08/1072617908.db2.gz IYUPJYJACAGPNB-KRWDZBQOSA-N 0 0 447.517 -0.197 20 0 IBADRN O=C(N[C@@H]1CCCc2c1cnn2CCO)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000642600560 1073121534 /nfs/dbraw/zinc/12/15/34/1073121534.db2.gz IYUPJYJACAGPNB-QGZVFWFLSA-N 0 0 447.517 -0.197 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C(=O)CCC1=O ZINC000642613516 1073127226 /nfs/dbraw/zinc/12/72/26/1073127226.db2.gz WWBHOEJCOKGSST-CYBMUJFWSA-N 0 0 437.474 -0.172 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C(=O)CCC1=O ZINC000642613517 1072617762 /nfs/dbraw/zinc/61/77/62/1072617762.db2.gz WWBHOEJCOKGSST-ZDUSSCGKSA-N 0 0 437.474 -0.172 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@@H](c2cccs2)N2CCOCC2)CC1 ZINC000642622747 1073145028 /nfs/dbraw/zinc/14/50/28/1073145028.db2.gz PQHQELZNYFDFMX-HNNXBMFYSA-N 0 0 434.526 -0.338 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H](c2cccs2)N2CCOCC2)CC1 ZINC000642622748 1072617782 /nfs/dbraw/zinc/61/77/82/1072617782.db2.gz PQHQELZNYFDFMX-OAHLLOKOSA-N 0 0 434.526 -0.338 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000642629710 1073197361 /nfs/dbraw/zinc/19/73/61/1073197361.db2.gz BVWXKEDPYVWGLP-GOSISDBHSA-N 0 0 428.497 -0.572 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000642629711 1073197513 /nfs/dbraw/zinc/19/75/13/1073197513.db2.gz BVWXKEDPYVWGLP-SFHVURJKSA-N 0 0 428.497 -0.572 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000642632869 1072617839 /nfs/dbraw/zinc/61/78/39/1072617839.db2.gz IHRDUSWTWCXOGG-UHFFFAOYSA-N 0 0 437.565 -0.077 20 0 IBADRN Cc1csc(N2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)n1 ZINC000642633012 1072617799 /nfs/dbraw/zinc/61/77/99/1072617799.db2.gz OHPWVJCSVIUKRQ-UHFFFAOYSA-N 0 0 436.582 -0.423 20 0 IBADRN O=C(Nc1cccc(N2CCNC2=O)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000642633921 1072617810 /nfs/dbraw/zinc/61/78/10/1072617810.db2.gz IISLKXURYRUOJP-UHFFFAOYSA-N 0 0 430.465 -0.249 20 0 IBADRN Cc1nn(C)c(C)c1-c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)[nH]n1 ZINC000642634940 1072618420 /nfs/dbraw/zinc/61/84/20/1072618420.db2.gz MBZMVRWCNLOWSA-UHFFFAOYSA-N 0 0 429.481 -0.430 20 0 IBADRN Cc1ccc(-n2cnnn2)cc1NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000642636889 1072618472 /nfs/dbraw/zinc/61/84/72/1072618472.db2.gz KISQSNYQUNLIIQ-UHFFFAOYSA-N 0 0 428.453 -0.494 20 0 IBADRN O=C(CN1CCC[C@@H](C(=O)NCC2CC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000642643634 1072618442 /nfs/dbraw/zinc/61/84/42/1072618442.db2.gz KTIPCULUKJSRBS-MSOLQXFVSA-N 0 0 426.583 -0.444 20 0 IBADRN O=C(CN1CCC[C@@H](C(=O)NCC2CC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000642643635 1072618575 /nfs/dbraw/zinc/61/85/75/1072618575.db2.gz KTIPCULUKJSRBS-QZTJIDSGSA-N 0 0 426.583 -0.444 20 0 IBADRN O=C(CN1CCC[C@H](C(=O)NCC2CC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000642643636 1072618629 /nfs/dbraw/zinc/61/86/29/1072618629.db2.gz KTIPCULUKJSRBS-ROUUACIJSA-N 0 0 426.583 -0.444 20 0 IBADRN O=C(CN1CCC[C@H](C(=O)NCC2CC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000642643637 1072618556 /nfs/dbraw/zinc/61/85/56/1072618556.db2.gz KTIPCULUKJSRBS-ZWKOTPCHSA-N 0 0 426.583 -0.444 20 0 IBADRN Cn1cccc1C(=O)N1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000642645549 1072618648 /nfs/dbraw/zinc/61/86/48/1072618648.db2.gz DBNGPTYWXHXPLL-UHFFFAOYSA-N 0 0 432.569 -0.158 20 0 IBADRN Cn1cccc1C(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000642645702 1072618529 /nfs/dbraw/zinc/61/85/29/1072618529.db2.gz XZQLZEIWMOZQLK-KRWDZBQOSA-N 0 0 437.566 -0.886 20 0 IBADRN Cn1cccc1C(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000642645703 1072618659 /nfs/dbraw/zinc/61/86/59/1072618659.db2.gz XZQLZEIWMOZQLK-QGZVFWFLSA-N 0 0 437.566 -0.886 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2cccn2C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000642646847 1072618524 /nfs/dbraw/zinc/61/85/24/1072618524.db2.gz QKZHBMQUWDDZQN-INIZCTEOSA-N 0 0 426.539 -0.555 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2cccn2C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000642646848 1072618395 /nfs/dbraw/zinc/61/83/95/1072618395.db2.gz QKZHBMQUWDDZQN-MRXNPFEDSA-N 0 0 426.539 -0.555 20 0 IBADRN COCC(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000642650471 1072618544 /nfs/dbraw/zinc/61/85/44/1072618544.db2.gz BGBMPMWLXDUGMP-IBGZPJMESA-N 0 0 446.504 -0.712 20 0 IBADRN COCC(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000642650472 1072618550 /nfs/dbraw/zinc/61/85/50/1072618550.db2.gz BGBMPMWLXDUGMP-LJQANCHMSA-N 0 0 446.504 -0.712 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)COC)CC1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000642650473 1072618609 /nfs/dbraw/zinc/61/86/09/1072618609.db2.gz CLECHXNVKSFQBD-UHFFFAOYSA-N 0 0 438.529 -0.135 20 0 IBADRN COCC(=O)N1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000642650491 1072618489 /nfs/dbraw/zinc/61/84/89/1072618489.db2.gz UGVLYNRKJKEQLD-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000642651795 1072618409 /nfs/dbraw/zinc/61/84/09/1072618409.db2.gz MMWGJAHSPYNMQT-INIZCTEOSA-N 0 0 428.555 -0.775 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000642651880 1072618594 /nfs/dbraw/zinc/61/85/94/1072618594.db2.gz LMCHTZBESDFJAO-UHFFFAOYSA-N 0 0 431.493 -0.674 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000642655582 1072619255 /nfs/dbraw/zinc/61/92/55/1072619255.db2.gz UJZQVBOFMVSBGL-UHFFFAOYSA-N 0 0 425.449 -0.039 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000642655693 1072619340 /nfs/dbraw/zinc/61/93/40/1072619340.db2.gz UQUMEAIHAWIUCD-ZDUSSCGKSA-N 0 0 431.497 -0.304 20 0 IBADRN O=C(Nc1ccc(N2CCNC2=O)cc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000642656517 1072619204 /nfs/dbraw/zinc/61/92/04/1072619204.db2.gz BZTKCQCOCTZQIX-UHFFFAOYSA-N 0 0 430.465 -0.249 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000642659994 1072619303 /nfs/dbraw/zinc/61/93/03/1072619303.db2.gz YCCIPBNCCNKJFH-UHFFFAOYSA-N 0 0 437.565 -0.771 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000642661175 1072619275 /nfs/dbraw/zinc/61/92/75/1072619275.db2.gz HKHXTLANZIJSQH-UHFFFAOYSA-N 0 0 428.497 -0.441 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC000642661637 1072619329 /nfs/dbraw/zinc/61/93/29/1072619329.db2.gz QWEBGNIFLNLWOR-UHFFFAOYSA-N 0 0 428.497 -0.441 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000642661691 1072619157 /nfs/dbraw/zinc/61/91/57/1072619157.db2.gz HAHRJMADMJKSGL-IBGZPJMESA-N 0 0 445.499 -0.093 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000642661692 1073252058 /nfs/dbraw/zinc/25/20/58/1073252058.db2.gz HAHRJMADMJKSGL-LJQANCHMSA-N 0 0 445.499 -0.093 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642664026 1072619290 /nfs/dbraw/zinc/61/92/90/1072619290.db2.gz HOXGCNNXXBKSKX-INIZCTEOSA-N 0 0 425.490 -0.145 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642664027 1072619299 /nfs/dbraw/zinc/61/92/99/1072619299.db2.gz HOXGCNNXXBKSKX-MRXNPFEDSA-N 0 0 425.490 -0.145 20 0 IBADRN COc1cccc(C[C@@H](C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n2nnnc2C)c1 ZINC000642664056 1072619269 /nfs/dbraw/zinc/61/92/69/1072619269.db2.gz LABNUSLZVYCNGZ-KRWDZBQOSA-N 0 0 437.526 -0.275 20 0 IBADRN COc1cccc(C[C@H](C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n2nnnc2C)c1 ZINC000642664057 1072619264 /nfs/dbraw/zinc/61/92/64/1072619264.db2.gz LABNUSLZVYCNGZ-QGZVFWFLSA-N 0 0 437.526 -0.275 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642664062 1072619222 /nfs/dbraw/zinc/61/92/22/1072619222.db2.gz LZKXRSJRHJGFJL-INIZCTEOSA-N 0 0 425.490 -0.145 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000642664063 1072619342 /nfs/dbraw/zinc/61/93/42/1072619342.db2.gz LZKXRSJRHJGFJL-MRXNPFEDSA-N 0 0 425.490 -0.145 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000642665734 1072619236 /nfs/dbraw/zinc/61/92/36/1072619236.db2.gz JSVZAIYCJIXAOA-GASCZTMLSA-N 0 0 425.573 -0.445 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000642665735 1072619248 /nfs/dbraw/zinc/61/92/48/1072619248.db2.gz JSVZAIYCJIXAOA-GJZGRUSLSA-N 0 0 425.573 -0.445 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000642665736 1072619321 /nfs/dbraw/zinc/61/93/21/1072619321.db2.gz JSVZAIYCJIXAOA-HUUCEWRRSA-N 0 0 425.573 -0.445 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000642667201 1072619294 /nfs/dbraw/zinc/61/92/94/1072619294.db2.gz QLLCCLJSMJCMAF-IBGZPJMESA-N 0 0 442.524 -0.091 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000642667202 1072619326 /nfs/dbraw/zinc/61/93/26/1072619326.db2.gz QLLCCLJSMJCMAF-LJQANCHMSA-N 0 0 442.524 -0.091 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000642667690 1072619244 /nfs/dbraw/zinc/61/92/44/1072619244.db2.gz NCKILABBTBWZMZ-GOSISDBHSA-N 0 0 428.497 -0.400 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000642667691 1072619184 /nfs/dbraw/zinc/61/91/84/1072619184.db2.gz NCKILABBTBWZMZ-SFHVURJKSA-N 0 0 428.497 -0.400 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2csc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000642669298 1072619782 /nfs/dbraw/zinc/61/97/82/1072619782.db2.gz KISBNJMJMUKWCB-UHFFFAOYSA-N 0 0 430.552 -0.337 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2nnc3ccc(C(F)(F)F)cn32)CC1 ZINC000642672797 1072619636 /nfs/dbraw/zinc/61/96/36/1072619636.db2.gz PQTLFIBFTKWAFJ-UHFFFAOYSA-N 0 0 438.374 -0.211 20 0 IBADRN Cc1ccc([C@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)N2CCOCC2)o1 ZINC000642674747 1072619848 /nfs/dbraw/zinc/61/98/48/1072619848.db2.gz IQTFJQGWGANRLB-INIZCTEOSA-N 0 0 432.485 -0.498 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)N2CCOCC2)o1 ZINC000642674748 1072619707 /nfs/dbraw/zinc/61/97/07/1072619707.db2.gz IQTFJQGWGANRLB-MRXNPFEDSA-N 0 0 432.485 -0.498 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)C(=O)N1c1cnn(C)c1 ZINC000642683148 1072619801 /nfs/dbraw/zinc/61/98/01/1072619801.db2.gz ZEKUKXYYLYZGKR-DZGCQCFKSA-N 0 0 427.531 -0.562 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)C(=O)N1c1cnn(C)c1 ZINC000642683149 1072619777 /nfs/dbraw/zinc/61/97/77/1072619777.db2.gz ZEKUKXYYLYZGKR-HIFRSBDPSA-N 0 0 427.531 -0.562 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)C(=O)N1c1cnn(C)c1 ZINC000642683150 1072619865 /nfs/dbraw/zinc/61/98/65/1072619865.db2.gz ZEKUKXYYLYZGKR-UKRRQHHQSA-N 0 0 427.531 -0.562 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)C(=O)N1c1cnn(C)c1 ZINC000642683151 1072619665 /nfs/dbraw/zinc/61/96/65/1072619665.db2.gz ZEKUKXYYLYZGKR-ZFWWWQNUSA-N 0 0 427.531 -0.562 20 0 IBADRN COc1ccc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OCC(N)=O ZINC000642684369 1072619648 /nfs/dbraw/zinc/61/96/48/1072619648.db2.gz MTUMSBCZCAHRRK-UHFFFAOYSA-N 0 0 430.421 -0.665 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCCN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000642710568 1072619856 /nfs/dbraw/zinc/61/98/56/1072619856.db2.gz CIDOSYJMLCHIMO-UHFFFAOYSA-N 0 0 447.500 -0.828 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000642717927 1072619823 /nfs/dbraw/zinc/61/98/23/1072619823.db2.gz HKHYIRVCSCLATJ-GFCCVEGCSA-N 0 0 447.535 -0.033 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000642717928 1072619817 /nfs/dbraw/zinc/61/98/17/1072619817.db2.gz HKHYIRVCSCLATJ-LBPRGKRZSA-N 0 0 447.535 -0.033 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000642717981 1072619808 /nfs/dbraw/zinc/61/98/08/1072619808.db2.gz QDYBGGAGGBHYDM-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)cccc1-n1cnnn1 ZINC000642723215 1072620477 /nfs/dbraw/zinc/62/04/77/1072620477.db2.gz CXZTUQQQBSWTEJ-UHFFFAOYSA-N 0 0 429.462 -0.084 20 0 IBADRN Cc1nnnn1-c1cccc(NC(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1 ZINC000642723233 1072620442 /nfs/dbraw/zinc/62/04/42/1072620442.db2.gz HBLZTTWQZWEKSM-UHFFFAOYSA-N 0 0 429.462 -0.084 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1 ZINC000642723288 1072619724 /nfs/dbraw/zinc/61/97/24/1072619724.db2.gz RQQRFLNKAXOVNK-UHFFFAOYSA-N 0 0 434.474 0.000 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)ccc1-n1cnnn1 ZINC000642723299 1072619828 /nfs/dbraw/zinc/61/98/28/1072619828.db2.gz SYZYYGJADCWWPW-UHFFFAOYSA-N 0 0 429.462 -0.084 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1CCOCC1 ZINC000642723730 1072620407 /nfs/dbraw/zinc/62/04/07/1072620407.db2.gz FOGXXHWKVVBKRT-UHFFFAOYSA-N 0 0 427.527 -0.610 20 0 IBADRN O=C(NCCN1CCOCC1)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000642723742 1072620329 /nfs/dbraw/zinc/62/03/29/1072620329.db2.gz LQEBURZJVTYEJP-UHFFFAOYSA-N 0 0 447.536 -0.253 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2)ccc1C ZINC000642724224 1072620508 /nfs/dbraw/zinc/62/05/08/1072620508.db2.gz BBNMXPKLMMTTPQ-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCNC(C)=O ZINC000642747745 1072620429 /nfs/dbraw/zinc/62/04/29/1072620429.db2.gz FWZZKWQEZFNZBY-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN CC(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000642747909 1072620308 /nfs/dbraw/zinc/62/03/08/1072620308.db2.gz SKUQTISLFLOYRE-BETUJISGSA-N 0 0 426.495 -0.325 20 0 IBADRN CC(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000642747910 1072620422 /nfs/dbraw/zinc/62/04/22/1072620422.db2.gz SKUQTISLFLOYRE-CHWSQXEVSA-N 0 0 426.495 -0.325 20 0 IBADRN CC(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000642747911 1072620353 /nfs/dbraw/zinc/62/03/53/1072620353.db2.gz SKUQTISLFLOYRE-STQMWFEESA-N 0 0 426.495 -0.325 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCc2cccc(CS(N)(=O)=O)c2)CC1 ZINC000642777155 1072620363 /nfs/dbraw/zinc/62/03/63/1072620363.db2.gz CVACWABUFKFLRS-UHFFFAOYSA-N 0 0 433.556 -0.501 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCn1ccnc1 ZINC000642784361 1072620337 /nfs/dbraw/zinc/62/03/37/1072620337.db2.gz ZSFMLZCYYITQPO-UHFFFAOYSA-N 0 0 437.478 -0.333 20 0 IBADRN CC(C)C(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000642784908 1072620412 /nfs/dbraw/zinc/62/04/12/1072620412.db2.gz ZAMGFSIIUNPHNY-UHFFFAOYSA-N 0 0 426.495 -0.466 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000642786855 1070923330 /nfs/dbraw/zinc/92/33/30/1070923330.db2.gz JLWHIMXKJWWFKI-UHFFFAOYSA-N 0 0 431.449 -0.140 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000642787012 1072620486 /nfs/dbraw/zinc/62/04/86/1072620486.db2.gz CDQVMPLKADTHEV-UHFFFAOYSA-N 0 0 431.449 -0.140 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000642787137 1072620468 /nfs/dbraw/zinc/62/04/68/1072620468.db2.gz UPELFRBHBSGYQX-UHFFFAOYSA-N 0 0 426.437 -0.028 20 0 IBADRN CNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC(C)C ZINC000642790929 1072620460 /nfs/dbraw/zinc/62/04/60/1072620460.db2.gz KQISRGFAZDJVOE-UHFFFAOYSA-N 0 0 442.494 -0.705 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)N(C)C)cc2)C[C@H](C)O1 ZINC000642793522 1072620295 /nfs/dbraw/zinc/62/02/95/1072620295.db2.gz NECDXMHVYXOVPQ-BETUJISGSA-N 0 0 426.495 -0.373 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)N(C)C)cc2)C[C@H](C)O1 ZINC000642793523 1072620380 /nfs/dbraw/zinc/62/03/80/1072620380.db2.gz NECDXMHVYXOVPQ-STQMWFEESA-N 0 0 426.495 -0.373 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000642793541 1072620389 /nfs/dbraw/zinc/62/03/89/1072620389.db2.gz XFOWDAVUKUVPJJ-UHFFFAOYSA-N 0 0 432.886 -0.496 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000642793610 1072620496 /nfs/dbraw/zinc/62/04/96/1072620496.db2.gz GVHWPZZNYQXJSI-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000642799248 1072620451 /nfs/dbraw/zinc/62/04/51/1072620451.db2.gz SSYHIDFMZPPGNQ-UHFFFAOYSA-N 0 0 432.886 -0.448 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(CC)CC)ccc1N(C)C ZINC000642799520 1072620957 /nfs/dbraw/zinc/62/09/57/1072620957.db2.gz OQJCQMWVGUFOCO-UHFFFAOYSA-N 0 0 427.527 -0.026 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000642799525 1072620945 /nfs/dbraw/zinc/62/09/45/1072620945.db2.gz PPLIIDGJSHBTDL-BETUJISGSA-N 0 0 426.495 -0.325 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000642799526 1072620905 /nfs/dbraw/zinc/62/09/05/1072620905.db2.gz PPLIIDGJSHBTDL-STQMWFEESA-N 0 0 426.495 -0.325 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1ccc(OCC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000642799684 1072620891 /nfs/dbraw/zinc/62/08/91/1072620891.db2.gz QHBXUAHOZSESBR-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000642800100 1072620911 /nfs/dbraw/zinc/62/09/11/1072620911.db2.gz FBXRJGHOPKNNMU-UHFFFAOYSA-N 0 0 426.495 -0.403 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000642800103 1072620951 /nfs/dbraw/zinc/62/09/51/1072620951.db2.gz HSSPFKFHHCHLNF-UHFFFAOYSA-N 0 0 446.913 -0.058 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000642800134 1072620854 /nfs/dbraw/zinc/62/08/54/1072620854.db2.gz RKJSQRILFIALEG-UHFFFAOYSA-N 0 0 442.494 -0.703 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000642800208 1072620883 /nfs/dbraw/zinc/62/08/83/1072620883.db2.gz DOWWRJQTSLHNQW-UHFFFAOYSA-N 0 0 433.509 -0.059 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(CC)CC2)c1 ZINC000642800217 1072620828 /nfs/dbraw/zinc/62/08/28/1072620828.db2.gz JFCWHBZAXQNDEJ-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CCCNC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000642800228 1072620823 /nfs/dbraw/zinc/62/08/23/1072620823.db2.gz PZKBHVYJBXOBGW-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000642804283 1072620940 /nfs/dbraw/zinc/62/09/40/1072620940.db2.gz SOBRKLZAHXLDDU-CYBMUJFWSA-N 0 0 426.495 -0.405 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000642804321 1072620876 /nfs/dbraw/zinc/62/08/76/1072620876.db2.gz YJZDCKFVUQNXNE-GFCCVEGCSA-N 0 0 442.494 -0.705 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000642804322 1072620933 /nfs/dbraw/zinc/62/09/33/1072620933.db2.gz YJZDCKFVUQNXNE-LBPRGKRZSA-N 0 0 442.494 -0.705 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(CC)CC2)c1 ZINC000642804477 1070979189 /nfs/dbraw/zinc/97/91/89/1070979189.db2.gz AEIOUEIESDNEQE-CQSZACIVSA-N 0 0 439.538 -0.408 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000642804671 1072620963 /nfs/dbraw/zinc/62/09/63/1072620963.db2.gz YZLLQUJOANFULM-CYBMUJFWSA-N 0 0 425.511 -0.798 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000642889073 1072620899 /nfs/dbraw/zinc/62/08/99/1072620899.db2.gz ADIUYSJDZZGAJA-UHFFFAOYSA-N 0 0 427.465 -0.853 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000642889079 1072620861 /nfs/dbraw/zinc/62/08/61/1072620861.db2.gz AIXLMYOGFVYFLS-UHFFFAOYSA-N 0 0 449.533 -0.017 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)NC(C)C ZINC000642891019 1072620838 /nfs/dbraw/zinc/62/08/38/1072620838.db2.gz HLGANXWUKUSRLX-UHFFFAOYSA-N 0 0 442.494 -0.705 20 0 IBADRN CC(C)NC(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000642891163 1072620919 /nfs/dbraw/zinc/62/09/19/1072620919.db2.gz NIZCAWMOYGPTGF-UHFFFAOYSA-N 0 0 433.509 -0.061 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000642895330 1072621499 /nfs/dbraw/zinc/62/14/99/1072621499.db2.gz LCZHWRHERPKDAP-GFCCVEGCSA-N 0 0 428.511 -0.031 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(=O)NC(C)(C)C ZINC000642895353 1072621571 /nfs/dbraw/zinc/62/15/71/1072621571.db2.gz VRJJWDUPJCPHFZ-UHFFFAOYSA-N 0 0 427.527 -0.028 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NC3CC3)cc2)C[C@H](C)O1 ZINC000642895529 1072621452 /nfs/dbraw/zinc/62/14/52/1072621452.db2.gz DVXBNMAAYVRROH-BETUJISGSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NC3CC3)cc2)C[C@@H](C)O1 ZINC000642895530 1072621606 /nfs/dbraw/zinc/62/16/06/1072621606.db2.gz DVXBNMAAYVRROH-CHWSQXEVSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(=O)NC3CC3)cc2)C[C@H](C)O1 ZINC000642895531 1072621586 /nfs/dbraw/zinc/62/15/86/1072621586.db2.gz DVXBNMAAYVRROH-STQMWFEESA-N 0 0 438.506 -0.182 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)NC1CC1 ZINC000642895543 1072620812 /nfs/dbraw/zinc/62/08/12/1072620812.db2.gz KAGFQXPUYBOPTJ-UHFFFAOYSA-N 0 0 444.897 -0.306 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(=O)NC3CC3)c2)CC1 ZINC000642895608 1072620834 /nfs/dbraw/zinc/62/08/34/1072620834.db2.gz DJJSNWZPSAOZDI-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000642926435 1072621573 /nfs/dbraw/zinc/62/15/73/1072621573.db2.gz MZMQMNPUUXUHPU-KRWDZBQOSA-N 0 0 434.518 -0.154 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000642926436 1072621531 /nfs/dbraw/zinc/62/15/31/1072621531.db2.gz MZMQMNPUUXUHPU-QGZVFWFLSA-N 0 0 434.518 -0.154 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)cc1 ZINC000642936974 1072621602 /nfs/dbraw/zinc/62/16/02/1072621602.db2.gz OHTGUPXRIZOVSD-UHFFFAOYSA-N 0 0 429.462 -0.034 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)Nc2cccc(-n3cnnn3)c2)cc1 ZINC000642936985 1072621514 /nfs/dbraw/zinc/62/15/14/1072621514.db2.gz PPMNIZLQOAQABM-UHFFFAOYSA-N 0 0 429.462 -0.034 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C[C@H](C)O1 ZINC000642944745 1072621560 /nfs/dbraw/zinc/62/15/60/1072621560.db2.gz GXKYUBKVBONVKG-OKILXGFUSA-N 0 0 433.509 -0.156 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C[C@@H](C)O1 ZINC000642944746 1072621574 /nfs/dbraw/zinc/62/15/74/1072621574.db2.gz GXKYUBKVBONVKG-ZIAGYGMSSA-N 0 0 433.509 -0.156 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1)N1CCOCC1 ZINC000642955635 1072621491 /nfs/dbraw/zinc/62/14/91/1072621491.db2.gz CNYUWFUHRWTAPM-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC000642955679 1072622167 /nfs/dbraw/zinc/62/21/67/1072622167.db2.gz JPQFTEYQVZLSGB-UHFFFAOYSA-N 0 0 432.477 -0.609 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCCC(=O)N2CCOCC2)CC1 ZINC000642955691 1072622016 /nfs/dbraw/zinc/62/20/16/1072622016.db2.gz MFXNNVADDSMBMM-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1)N1CCOCC1 ZINC000642955721 1072621507 /nfs/dbraw/zinc/62/15/07/1072621507.db2.gz QHBZADUHOORQII-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1OCC(=O)NC1CC1 ZINC000642955724 1072621592 /nfs/dbraw/zinc/62/15/92/1072621592.db2.gz QIRDLLWWUNRIOA-UHFFFAOYSA-N 0 0 448.476 -0.344 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000642955729 1072621597 /nfs/dbraw/zinc/62/15/97/1072621597.db2.gz QOWSCMQUIRINGA-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000642955751 1072621612 /nfs/dbraw/zinc/62/16/12/1072621612.db2.gz TZTMELDIISUKQP-UHFFFAOYSA-N 0 0 447.492 -0.739 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000642955771 1072621469 /nfs/dbraw/zinc/62/14/69/1072621469.db2.gz XBROQLLTYIHIAW-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)C2)cc1 ZINC000642957678 1071308472 /nfs/dbraw/zinc/30/84/72/1071308472.db2.gz BRTFLYKRSMNTDO-UHFFFAOYSA-N 0 0 445.501 -0.313 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2ccc(CCNS(C)(=O)=O)cc2)CC1 ZINC000642963590 1072622085 /nfs/dbraw/zinc/62/20/85/1072622085.db2.gz CBCPCXTZVYZZDG-UHFFFAOYSA-N 0 0 432.568 -0.267 20 0 IBADRN C[C@H](CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000642964946 1071325007 /nfs/dbraw/zinc/32/50/07/1071325007.db2.gz ITIRSUQZEFNSLO-CQSZACIVSA-N 0 0 440.522 -0.257 20 0 IBADRN CCN(CC)c1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)CO ZINC000642964947 1072622176 /nfs/dbraw/zinc/62/21/76/1072622176.db2.gz IXRYAPVQACASOQ-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N[C@H](C)CO)c1 ZINC000642964951 1072621986 /nfs/dbraw/zinc/62/19/86/1072621986.db2.gz JVCONSYRDQSWET-CQSZACIVSA-N 0 0 442.538 -0.011 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)CO ZINC000642965133 1072621996 /nfs/dbraw/zinc/62/19/96/1072621996.db2.gz FPWHEWBFKRQGIY-CYBMUJFWSA-N 0 0 429.495 -0.070 20 0 IBADRN C[C@H](CO)NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000642965226 1072622189 /nfs/dbraw/zinc/62/21/89/1072622189.db2.gz YJHIZFNQJSQFMV-OAHLLOKOSA-N 0 0 426.477 -0.197 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)ccc1F ZINC000642965375 1072622096 /nfs/dbraw/zinc/62/20/96/1072622096.db2.gz HJBHADPVTOHYSK-UHFFFAOYSA-N 0 0 429.456 -0.018 20 0 IBADRN NC(=O)COc1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cc1 ZINC000642965484 1072621948 /nfs/dbraw/zinc/62/19/48/1072621948.db2.gz STOFXKMRNGGJHR-UHFFFAOYSA-N 0 0 441.492 -0.392 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000642965521 1072622150 /nfs/dbraw/zinc/62/21/50/1072622150.db2.gz XWNYOGHKJRNKCP-UHFFFAOYSA-N 0 0 436.480 -0.281 20 0 IBADRN NC(=O)Cc1ccc(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cc1 ZINC000642965527 1072622110 /nfs/dbraw/zinc/62/21/10/1072622110.db2.gz YTDTUWYRPUMKOX-UHFFFAOYSA-N 0 0 425.493 -0.229 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000642965533 1072622052 /nfs/dbraw/zinc/62/20/52/1072622052.db2.gz ZCUHPGZDEACKRL-UHFFFAOYSA-N 0 0 436.480 -0.281 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)NC(C)C)cc1 ZINC000642966179 1072622011 /nfs/dbraw/zinc/62/20/11/1072622011.db2.gz DNWIOEIUPGBEIA-CYBMUJFWSA-N 0 0 428.511 -0.031 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)NC(C)C)cc1 ZINC000642966180 1072621964 /nfs/dbraw/zinc/62/19/64/1072621964.db2.gz DNWIOEIUPGBEIA-ZDUSSCGKSA-N 0 0 428.511 -0.031 20 0 IBADRN CC(C)NC(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000642966192 1072621935 /nfs/dbraw/zinc/62/19/35/1072621935.db2.gz IXSGWQGJEMQNQN-UHFFFAOYSA-N 0 0 427.527 -0.028 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)Nc2cccc(OCC(N)=O)c2)cc1 ZINC000642966530 1072622033 /nfs/dbraw/zinc/62/20/33/1072622033.db2.gz QSOKHBSGDRWBNN-UHFFFAOYSA-N 0 0 434.474 -0.108 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCNS(C)(=O)=O)ccc1Cl ZINC000642967115 1072622138 /nfs/dbraw/zinc/62/21/38/1072622138.db2.gz NYRVEOFPMMIRTE-UHFFFAOYSA-N 0 0 426.904 -0.806 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCn1cncn1 ZINC000642970419 1072621973 /nfs/dbraw/zinc/62/19/73/1072621973.db2.gz ANHIIVOETWYNDI-UHFFFAOYSA-N 0 0 436.494 -0.248 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCCn3cncn3)c2)CC1 ZINC000642970894 1072622122 /nfs/dbraw/zinc/62/21/22/1072622122.db2.gz DKUBUPFLUKPRSK-UHFFFAOYSA-N 0 0 449.537 -0.251 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCn3cncn3)cc2)CC1 ZINC000642970954 1072621923 /nfs/dbraw/zinc/62/19/23/1072621923.db2.gz IVZOADZFKZUXQO-UHFFFAOYSA-N 0 0 435.510 -0.641 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000642999104 1072622575 /nfs/dbraw/zinc/62/25/75/1072622575.db2.gz PDPCHOLOYRHZAO-KBPBESRZSA-N 0 0 433.527 -0.176 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000642999106 1072622555 /nfs/dbraw/zinc/62/25/55/1072622555.db2.gz PDPCHOLOYRHZAO-UONOGXRCSA-N 0 0 433.527 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000642999161 1072622562 /nfs/dbraw/zinc/62/25/62/1072622562.db2.gz OCFBCYJUJJFDSM-HOTGVXAUSA-N 0 0 429.564 -0.007 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000642999162 1072623249 /nfs/dbraw/zinc/62/32/49/1072623249.db2.gz OCFBCYJUJJFDSM-JKSUJKDBSA-N 0 0 429.564 -0.007 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000642999538 1072622630 /nfs/dbraw/zinc/62/26/30/1072622630.db2.gz BFNJVSYROAZFCZ-INIZCTEOSA-N 0 0 445.563 -0.444 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000642999540 1072622606 /nfs/dbraw/zinc/62/26/06/1072622606.db2.gz BFNJVSYROAZFCZ-MRXNPFEDSA-N 0 0 445.563 -0.444 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000643005381 1072623119 /nfs/dbraw/zinc/62/31/19/1072623119.db2.gz WWSMAGZSWSOHMY-UHFFFAOYSA-N 0 0 438.488 -0.046 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)CC1 ZINC000643012602 1072623142 /nfs/dbraw/zinc/62/31/42/1072623142.db2.gz GNQQMSVBKSYUMF-UHFFFAOYSA-N 0 0 426.481 -0.106 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000643012696 1072623079 /nfs/dbraw/zinc/62/30/79/1072623079.db2.gz WEFYQUIJKVGGGJ-UHFFFAOYSA-N 0 0 439.538 -0.536 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000643012704 1072623042 /nfs/dbraw/zinc/62/30/42/1072623042.db2.gz YUFIDCASMYSWBC-UHFFFAOYSA-N 0 0 444.536 -0.707 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000643012876 1072623240 /nfs/dbraw/zinc/62/32/40/1072623240.db2.gz FQJQYFRHKSFMMT-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)CC1 ZINC000643012897 1072623084 /nfs/dbraw/zinc/62/30/84/1072623084.db2.gz HONMLDWXNZCZSA-UHFFFAOYSA-N 0 0 430.914 -0.038 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000643012927 1072623008 /nfs/dbraw/zinc/62/30/08/1072623008.db2.gz MUZCNVQGPGJTPI-UHFFFAOYSA-N 0 0 445.520 -0.693 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)ccc1C ZINC000643012940 1072623135 /nfs/dbraw/zinc/62/31/35/1072623135.db2.gz PASVALWBXZSNPX-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000643015762 1072622960 /nfs/dbraw/zinc/62/29/60/1072622960.db2.gz JBLMZBANENUORH-HNNXBMFYSA-N 0 0 434.522 -0.037 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000643015763 1071326775 /nfs/dbraw/zinc/32/67/75/1071326775.db2.gz JBLMZBANENUORH-OAHLLOKOSA-N 0 0 434.522 -0.037 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000643016299 1072623622 /nfs/dbraw/zinc/62/36/22/1072623622.db2.gz OSOXSWWDGRXNLN-HNNXBMFYSA-N 0 0 440.522 -0.517 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](C)CN1CCOCC1 ZINC000643016436 1071326829 /nfs/dbraw/zinc/32/68/29/1071326829.db2.gz ZTZGLQCZWPQOFY-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000643016590 1072623255 /nfs/dbraw/zinc/62/32/55/1072623255.db2.gz QWHDDPGBHUMQNK-AWEZNQCLSA-N 0 0 441.554 -0.222 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000643016591 1072623664 /nfs/dbraw/zinc/62/36/64/1072623664.db2.gz QWHDDPGBHUMQNK-CQSZACIVSA-N 0 0 441.554 -0.222 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CN2CCOCC2)cc1 ZINC000643016622 1072623727 /nfs/dbraw/zinc/62/37/27/1072623727.db2.gz ZCIVJBIQBUVGOQ-GJZGRUSLSA-N 0 0 442.538 -0.225 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)CN2CCOCC2)cc1 ZINC000643016623 1072623732 /nfs/dbraw/zinc/62/37/32/1072623732.db2.gz ZCIVJBIQBUVGOQ-HUUCEWRRSA-N 0 0 442.538 -0.225 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)CN2CCOCC2)cc1 ZINC000643016624 1072623740 /nfs/dbraw/zinc/62/37/40/1072623740.db2.gz ZCIVJBIQBUVGOQ-LSDHHAIUSA-N 0 0 442.538 -0.225 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](CO)Cc2ccc(F)cc2)c1 ZINC000643025790 1072623601 /nfs/dbraw/zinc/62/36/01/1072623601.db2.gz OJJBNRFMJCHGCZ-AWEZNQCLSA-N 0 0 430.436 -0.053 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](CO)Cc2ccc(F)cc2)c1 ZINC000643025791 1072623652 /nfs/dbraw/zinc/62/36/52/1072623652.db2.gz OJJBNRFMJCHGCZ-CQSZACIVSA-N 0 0 430.436 -0.053 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000643039643 1071327531 /nfs/dbraw/zinc/32/75/31/1071327531.db2.gz GDGCLDGJCWIYQN-UHFFFAOYSA-N 0 0 426.495 -0.918 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000643039687 1072623745 /nfs/dbraw/zinc/62/37/45/1072623745.db2.gz NMXLYUUYQHSTRC-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000643039743 1072623710 /nfs/dbraw/zinc/62/37/10/1072623710.db2.gz WCIBKYQJYCVFOB-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000643040822 1072623686 /nfs/dbraw/zinc/62/36/86/1072623686.db2.gz WOAJVPRZEWYNME-UHFFFAOYSA-N 0 0 439.538 -0.360 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCCCNS(C)(=O)=O)CC1 ZINC000643045352 1071328362 /nfs/dbraw/zinc/32/83/62/1071328362.db2.gz FJFQBMWUYZLAMN-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCCNS(C)(=O)=O)ccc1Cl ZINC000643045397 1072623641 /nfs/dbraw/zinc/62/36/41/1072623641.db2.gz PFLVKCQJEVWTTP-UHFFFAOYSA-N 0 0 440.931 -0.416 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000643045415 1072623658 /nfs/dbraw/zinc/62/36/58/1072623658.db2.gz RRZOELOANOYYFC-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000643045538 1072623682 /nfs/dbraw/zinc/62/36/82/1072623682.db2.gz PXENXXYVAIMZLG-UHFFFAOYSA-N 0 0 426.495 -0.403 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCNS(C)(=O)=O)c1 ZINC000643045548 1071328558 /nfs/dbraw/zinc/32/85/58/1071328558.db2.gz RMYOUEBRTPSZBX-UHFFFAOYSA-N 0 0 434.540 -0.241 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000643045551 1072623636 /nfs/dbraw/zinc/62/36/36/1072623636.db2.gz SBDBNPMSDWYXQF-UHFFFAOYSA-N 0 0 446.913 -0.058 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000643045560 1072623606 /nfs/dbraw/zinc/62/36/06/1072623606.db2.gz UHWNIBYZQTYMDR-UHFFFAOYSA-N 0 0 446.489 -0.462 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCc1ccnn1C ZINC000643050571 1072623670 /nfs/dbraw/zinc/62/36/70/1072623670.db2.gz XUXPQRMVJPXYDQ-UHFFFAOYSA-N 0 0 437.478 -0.296 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000643053779 1072623612 /nfs/dbraw/zinc/62/36/12/1072623612.db2.gz QQMXITOBOBOTQW-UHFFFAOYSA-N 0 0 442.538 -0.868 20 0 IBADRN CCn1cnnc1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(CC)CC2)c1 ZINC000643054567 1072623629 /nfs/dbraw/zinc/62/36/29/1072623629.db2.gz IUQTZQACVNYMMR-UHFFFAOYSA-N 0 0 449.537 -0.121 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCCN(C(C)=O)CC2)cc1 ZINC000643056169 1072624159 /nfs/dbraw/zinc/62/41/59/1072624159.db2.gz ZTBKBKSUJXYBLE-QAPCUYQASA-N 0 0 425.507 -0.100 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCCN(C(C)=O)CC2)cc1 ZINC000643056170 1072624366 /nfs/dbraw/zinc/62/43/66/1072624366.db2.gz ZTBKBKSUJXYBLE-YJBOKZPZSA-N 0 0 425.507 -0.100 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)S(C)(=O)=O ZINC000643056910 1072623591 /nfs/dbraw/zinc/62/35/91/1072623591.db2.gz INNIJYAGEBLKLD-UHFFFAOYSA-N 0 0 432.524 -0.437 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1)S(C)(=O)=O ZINC000643056972 1072623646 /nfs/dbraw/zinc/62/36/46/1072623646.db2.gz PNVOFEGLYNWZRW-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1)S(C)(=O)=O ZINC000643056990 1072624339 /nfs/dbraw/zinc/62/43/39/1072624339.db2.gz QOSGRJNNFIMWLQ-UHFFFAOYSA-N 0 0 439.538 -0.590 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1)S(C)(=O)=O ZINC000643057031 1072624317 /nfs/dbraw/zinc/62/43/17/1072624317.db2.gz UUHMQKQXBXFOMA-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000643057212 1072624307 /nfs/dbraw/zinc/62/43/07/1072624307.db2.gz AUKZPNSECNAZOM-UHFFFAOYSA-N 0 0 440.522 -0.576 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000643057215 1072624332 /nfs/dbraw/zinc/62/43/32/1072624332.db2.gz BELQFUOJADZGSN-UHFFFAOYSA-N 0 0 448.567 -0.028 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1)S(C)(=O)=O ZINC000643057259 1072624248 /nfs/dbraw/zinc/62/42/48/1072624248.db2.gz GNLWKYWQXWOLNK-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000643057298 1072624323 /nfs/dbraw/zinc/62/43/23/1072624323.db2.gz JKNGYLMMRVUABQ-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)S(C)(=O)=O ZINC000643057324 1072624350 /nfs/dbraw/zinc/62/43/50/1072624350.db2.gz MEEVCFFTKDGAJS-UHFFFAOYSA-N 0 0 432.524 -0.437 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000643057366 1072624169 /nfs/dbraw/zinc/62/41/69/1072624169.db2.gz QEQKWGVWGOMGQN-UHFFFAOYSA-N 0 0 427.512 -0.280 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000643057398 1072624189 /nfs/dbraw/zinc/62/41/89/1072624189.db2.gz UMMCSFBGGYZZCG-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000643057403 1072624280 /nfs/dbraw/zinc/62/42/80/1072624280.db2.gz UVMWMJVJHSAUIG-UHFFFAOYSA-N 0 0 434.540 -0.418 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000643057416 1072624120 /nfs/dbraw/zinc/62/41/20/1072624120.db2.gz VRYDVFPRHZLDLD-UHFFFAOYSA-N 0 0 446.551 -0.047 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000643057608 1072624177 /nfs/dbraw/zinc/62/41/77/1072624177.db2.gz AOLCXYHMVDKKCZ-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC)c1 ZINC000643057633 1072624269 /nfs/dbraw/zinc/62/42/69/1072624269.db2.gz FFCRCZNKDYCQIQ-UHFFFAOYSA-N 0 0 434.540 -0.371 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(OCC(=O)N(C)C)cc1 ZINC000643057679 1071328925 /nfs/dbraw/zinc/32/89/25/1071328925.db2.gz MWMFUTWRHKUPHB-UHFFFAOYSA-N 0 0 428.511 -0.120 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000643057722 1072624291 /nfs/dbraw/zinc/62/42/91/1072624291.db2.gz RIZUYJZZNQBQER-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000643057751 1072624298 /nfs/dbraw/zinc/62/42/98/1072624298.db2.gz VWLQASMSWIVOHG-UHFFFAOYSA-N 0 0 446.551 -0.047 20 0 IBADRN CNC(=O)CN(C)C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000643058532 1072624844 /nfs/dbraw/zinc/62/48/44/1072624844.db2.gz SGLYBQXJWCNYLE-UHFFFAOYSA-N 0 0 437.522 -0.269 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000643059312 1072624767 /nfs/dbraw/zinc/62/47/67/1072624767.db2.gz CYRWLOCCSSHJAM-ZDUSSCGKSA-N 0 0 426.495 -0.371 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000643064326 1072624808 /nfs/dbraw/zinc/62/48/08/1072624808.db2.gz GIMNDLBTTLLRCM-UHFFFAOYSA-N 0 0 446.551 -0.001 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000643064544 1072624733 /nfs/dbraw/zinc/62/47/33/1072624733.db2.gz CLAMMJDSNFNUPP-UHFFFAOYSA-N 0 0 446.551 -0.001 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1 ZINC000643064615 1071437831 /nfs/dbraw/zinc/43/78/31/1071437831.db2.gz JGTOJTWFXRKQLG-UHFFFAOYSA-N 0 0 428.511 -0.026 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC000643064631 1071329212 /nfs/dbraw/zinc/32/92/12/1071329212.db2.gz LAJQOYIMPWUINH-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000643064633 1072624722 /nfs/dbraw/zinc/62/47/22/1072624722.db2.gz LHOCLUSSAGNBID-UHFFFAOYSA-N 0 0 446.551 -0.100 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c1 ZINC000643064692 1072624941 /nfs/dbraw/zinc/62/49/41/1072624941.db2.gz QSAMYUCUCPTAPI-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)cc1 ZINC000643064751 1072624890 /nfs/dbraw/zinc/62/48/90/1072624890.db2.gz VLXRRFQDNUTCNE-UHFFFAOYSA-N 0 0 428.511 -0.074 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)ccc1C ZINC000643064759 1072624880 /nfs/dbraw/zinc/62/48/80/1072624880.db2.gz WJTYDHBOHAHYLS-UHFFFAOYSA-N 0 0 434.540 -0.324 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000643064772 1072624927 /nfs/dbraw/zinc/62/49/27/1072624927.db2.gz XDBBBSOQKQZOJO-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(=O)N(C)C ZINC000643070421 1072624896 /nfs/dbraw/zinc/62/48/96/1072624896.db2.gz AMWXTUULMDKMLD-UHFFFAOYSA-N 0 0 426.495 -0.451 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCC(=O)N(C)C ZINC000643070510 1072624910 /nfs/dbraw/zinc/62/49/10/1072624910.db2.gz LWSAHCNBXTZTOH-UHFFFAOYSA-N 0 0 442.494 -0.751 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC(=O)N(C)C)c2)CC1 ZINC000643070598 1072624957 /nfs/dbraw/zinc/62/49/57/1072624957.db2.gz SANMEBXJQFUXDP-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CN(C)C(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000643070621 1072624949 /nfs/dbraw/zinc/62/49/49/1072624949.db2.gz WTARFWSCYQEHEO-UHFFFAOYSA-N 0 0 446.913 -0.106 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCC(N)=O)cc2)C[C@H](C)O1 ZINC000643077660 1072625544 /nfs/dbraw/zinc/62/55/44/1072625544.db2.gz ZKKSEQPJXXZTEX-BETUJISGSA-N 0 0 426.495 -0.195 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCC(N)=O)cc2)C[C@@H](C)O1 ZINC000643077661 1072625449 /nfs/dbraw/zinc/62/54/49/1072625449.db2.gz ZKKSEQPJXXZTEX-CHWSQXEVSA-N 0 0 426.495 -0.195 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCCC(N)=O)cc2)C[C@H](C)O1 ZINC000643077662 1072625550 /nfs/dbraw/zinc/62/55/50/1072625550.db2.gz ZKKSEQPJXXZTEX-STQMWFEESA-N 0 0 426.495 -0.195 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc2c(c1)OC(F)(F)O2)N[C@H]1CCS(=O)(=O)C1 ZINC000643077911 1072624826 /nfs/dbraw/zinc/62/48/26/1072624826.db2.gz QYRVWVIUFQLURB-JTQLQIEISA-N 0 0 433.389 -0.244 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc2c(c1)OC(F)(F)O2)N[C@@H]1CCS(=O)(=O)C1 ZINC000643077912 1072625457 /nfs/dbraw/zinc/62/54/57/1072625457.db2.gz QYRVWVIUFQLURB-SNVBAGLBSA-N 0 0 433.389 -0.244 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCCCC(N)=O ZINC000643077966 1072625504 /nfs/dbraw/zinc/62/55/04/1072625504.db2.gz WLSILBPSWZTMIT-UHFFFAOYSA-N 0 0 442.494 -0.574 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCc1cc[nH]n1 ZINC000643078585 1072625402 /nfs/dbraw/zinc/62/54/02/1072625402.db2.gz QPVFQTRMQNBQOJ-UHFFFAOYSA-N 0 0 436.494 -0.391 20 0 IBADRN NC(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NCc2ccccc2)c1 ZINC000643083226 1072625428 /nfs/dbraw/zinc/62/54/28/1072625428.db2.gz LLAMQBSCDMHMCA-UHFFFAOYSA-N 0 0 434.474 -0.275 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000643083539 1071329773 /nfs/dbraw/zinc/32/97/73/1071329773.db2.gz JJOVNUPGXLCJLF-UHFFFAOYSA-N 0 0 429.462 -0.163 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000643083576 1072625394 /nfs/dbraw/zinc/62/53/94/1072625394.db2.gz MKCQTHJHGCBXSX-UHFFFAOYSA-N 0 0 429.462 -0.163 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)CC(=O)N2CCCC2)cc1 ZINC000643086791 1072625417 /nfs/dbraw/zinc/62/54/17/1072625417.db2.gz QVIWHXCDAHTVEG-PBHICJAKSA-N 0 0 425.507 -0.100 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)CC(=O)N2CCCC2)cc1 ZINC000643086793 1072625512 /nfs/dbraw/zinc/62/55/12/1072625512.db2.gz QVIWHXCDAHTVEG-YOEHRIQHSA-N 0 0 425.507 -0.100 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(C)(C)C(N)=O ZINC000643088001 1072625437 /nfs/dbraw/zinc/62/54/37/1072625437.db2.gz CMSBLJFGPRAWKJ-UHFFFAOYSA-N 0 0 442.494 -0.718 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000643088059 1072625470 /nfs/dbraw/zinc/62/54/70/1072625470.db2.gz LEGXHSNNOMNEGQ-UHFFFAOYSA-N 0 0 446.913 -0.073 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC(C)(C)C(N)=O)cc2)CC1 ZINC000643088114 1072625385 /nfs/dbraw/zinc/62/53/85/1072625385.db2.gz SQDSQHGNUYBLJD-UHFFFAOYSA-N 0 0 425.511 -0.811 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC(C)(C)C(N)=O)c2)CC1 ZINC000643088137 1072625363 /nfs/dbraw/zinc/62/53/63/1072625363.db2.gz VTWWRVMSLXICAM-UHFFFAOYSA-N 0 0 439.538 -0.421 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3ccc(OC)c(S(N)(=O)=O)c3)CCN2C1=O ZINC000643088329 1072625464 /nfs/dbraw/zinc/62/54/64/1072625464.db2.gz MIWJCXJTTNYHBJ-GFCCVEGCSA-N 0 0 425.467 -0.480 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3ccc(OC)c(S(N)(=O)=O)c3)CCN2C1=O ZINC000643088330 1072625352 /nfs/dbraw/zinc/62/53/52/1072625352.db2.gz MIWJCXJTTNYHBJ-LBPRGKRZSA-N 0 0 425.467 -0.480 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000643098469 1071330262 /nfs/dbraw/zinc/33/02/62/1071330262.db2.gz ZIQRHMHXLYXMPR-QAPCUYQASA-N 0 0 427.523 -0.390 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000643098470 1072625521 /nfs/dbraw/zinc/62/55/21/1072625521.db2.gz ZIQRHMHXLYXMPR-YJBOKZPZSA-N 0 0 427.523 -0.390 20 0 IBADRN O=C(Cc1nc2ncccn2n1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000643110666 1072625491 /nfs/dbraw/zinc/62/54/91/1072625491.db2.gz TXFQPYXGVFHESC-UHFFFAOYSA-N 0 0 444.473 -0.029 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)N1CCN(CCO)CC1)Nc1cccc(Br)c1 ZINC000643127453 1071349810 /nfs/dbraw/zinc/34/98/10/1071349810.db2.gz XXXZCJDQGACEAR-UHFFFAOYSA-N 0 0 448.339 -0.061 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000643128461 1072626013 /nfs/dbraw/zinc/62/60/13/1072626013.db2.gz PWEOBOPUYPXARN-UHFFFAOYSA-N 0 0 437.478 -0.317 20 0 IBADRN Cc1nn(C)c2nc(C)c(CC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c(C)c12 ZINC000643130941 1071349868 /nfs/dbraw/zinc/34/98/68/1071349868.db2.gz WOEVVZUECNWPLW-UHFFFAOYSA-N 0 0 442.520 -0.034 20 0 IBADRN Cc1cc(NC(=O)CN(C)C(=O)CCCC(=O)N(C)CC(=O)Nc2cc(C)on2)no1 ZINC000643131127 1072626247 /nfs/dbraw/zinc/62/62/47/1072626247.db2.gz DKQYFPWTAZQZFH-UHFFFAOYSA-N 0 0 434.453 -0.212 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CS(=O)(=O)C3CCCC3)CC2)o1 ZINC000643180303 1072626299 /nfs/dbraw/zinc/62/62/99/1072626299.db2.gz MVZDJKJDTVHYRH-UHFFFAOYSA-N 0 0 433.508 -0.431 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000643181659 1072626166 /nfs/dbraw/zinc/62/61/66/1072626166.db2.gz MOMKITZBWWOURZ-UHFFFAOYSA-N 0 0 432.568 -0.280 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000643200264 1072626065 /nfs/dbraw/zinc/62/60/65/1072626065.db2.gz LEBWRPPSIGUZQS-UHFFFAOYSA-N 0 0 429.477 -0.015 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN(CCO)CC1 ZINC000643202528 1071430869 /nfs/dbraw/zinc/43/08/69/1071430869.db2.gz FJMOOPPMTAHGIY-INIZCTEOSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN(CCO)CC1 ZINC000643202529 1072626085 /nfs/dbraw/zinc/62/60/85/1072626085.db2.gz FJMOOPPMTAHGIY-MRXNPFEDSA-N 0 0 426.539 -0.356 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2CCN(CCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000643202755 1072626104 /nfs/dbraw/zinc/62/61/04/1072626104.db2.gz FVOPRKCSQYKZJW-KRWDZBQOSA-N 0 0 440.566 -0.047 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2CCN(CCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000643202756 1072626260 /nfs/dbraw/zinc/62/62/60/1072626260.db2.gz FVOPRKCSQYKZJW-QGZVFWFLSA-N 0 0 440.566 -0.047 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN(CCO)CC1 ZINC000643202902 1072626198 /nfs/dbraw/zinc/62/61/98/1072626198.db2.gz PGMOFPWOJGBQJU-INIZCTEOSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN(CCO)CC1 ZINC000643202903 1072626217 /nfs/dbraw/zinc/62/62/17/1072626217.db2.gz PGMOFPWOJGBQJU-MRXNPFEDSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCCC3=O)CC2)C[C@H](C)O1 ZINC000643214038 1072626193 /nfs/dbraw/zinc/62/61/93/1072626193.db2.gz VREJHGMHBMSMAI-HOTGVXAUSA-N 0 0 431.559 -0.320 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCCC3=O)CC2)C[C@@H](C)O1 ZINC000643214039 1072626151 /nfs/dbraw/zinc/62/61/51/1072626151.db2.gz VREJHGMHBMSMAI-HZPDHXFCSA-N 0 0 431.559 -0.320 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCCC3=O)CC2)C[C@H](C)O1 ZINC000643214040 1072626212 /nfs/dbraw/zinc/62/62/12/1072626212.db2.gz VREJHGMHBMSMAI-IYBDPMFKSA-N 0 0 431.559 -0.320 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000643215237 1071437766 /nfs/dbraw/zinc/43/77/66/1071437766.db2.gz YKVHGCVOGSBDGI-CALCHBBNSA-N 0 0 433.575 -0.610 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCOCC3)CC2)C[C@@H](C)O1 ZINC000643215238 1072626132 /nfs/dbraw/zinc/62/61/32/1072626132.db2.gz YKVHGCVOGSBDGI-IAGOWNOFSA-N 0 0 433.575 -0.610 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000643215239 1072626228 /nfs/dbraw/zinc/62/62/28/1072626228.db2.gz YKVHGCVOGSBDGI-IRXDYDNUSA-N 0 0 433.575 -0.610 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)C[C@@H]1C)c1ccccc1 ZINC000643218680 1071438478 /nfs/dbraw/zinc/43/84/78/1071438478.db2.gz FNRAANSTFMOUEE-CALCHBBNSA-N 0 0 429.521 -0.033 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)C[C@H]1C)c1ccccc1 ZINC000643218681 1072626275 /nfs/dbraw/zinc/62/62/75/1072626275.db2.gz FNRAANSTFMOUEE-IAGOWNOFSA-N 0 0 429.521 -0.033 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)C[C@@H]1C)c1ccccc1 ZINC000643218682 1071438389 /nfs/dbraw/zinc/43/83/89/1071438389.db2.gz FNRAANSTFMOUEE-IRXDYDNUSA-N 0 0 429.521 -0.033 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CC2)nc1 ZINC000643219108 1072626182 /nfs/dbraw/zinc/62/61/82/1072626182.db2.gz INUYIFOBSGNZTL-UHFFFAOYSA-N 0 0 432.506 -0.098 20 0 IBADRN O=C(NCCN1CCOCC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000643219740 1072626286 /nfs/dbraw/zinc/62/62/86/1072626286.db2.gz RFGQQJGMSKCGOI-UHFFFAOYSA-N 0 0 437.522 -0.471 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000643225292 1072626019 /nfs/dbraw/zinc/62/60/19/1072626019.db2.gz VKHLMJLKWUHOPM-QWHCGFSZSA-N 0 0 427.527 -0.230 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000643225293 1071441576 /nfs/dbraw/zinc/44/15/76/1071441576.db2.gz VKHLMJLKWUHOPM-STQMWFEESA-N 0 0 427.527 -0.230 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000643226576 1072626038 /nfs/dbraw/zinc/62/60/38/1072626038.db2.gz UXKPOHXHRULFCJ-JTQLQIEISA-N 0 0 428.287 -0.477 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000643226577 1072626949 /nfs/dbraw/zinc/62/69/49/1072626949.db2.gz UXKPOHXHRULFCJ-SNVBAGLBSA-N 0 0 428.287 -0.477 20 0 IBADRN CCN1CCO[C@@H](CN2CCN(C(=O)NCc3cn([C@@H]4COC[C@H]4OC)nn3)CC2)C1 ZINC000643235002 1072626665 /nfs/dbraw/zinc/62/66/65/1072626665.db2.gz LWEMGZXXWJTESQ-GUDVDZBRSA-N 0 0 437.545 -0.588 20 0 IBADRN CCN1CCO[C@H](CN2CCN(C(=O)NCc3cn([C@@H]4COC[C@H]4OC)nn3)CC2)C1 ZINC000643235003 1072626901 /nfs/dbraw/zinc/62/69/01/1072626901.db2.gz LWEMGZXXWJTESQ-IPMKNSEASA-N 0 0 437.545 -0.588 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000643249014 1072626705 /nfs/dbraw/zinc/62/67/05/1072626705.db2.gz WAVYSQVXWNQNKQ-QAPCUYQASA-N 0 0 447.517 -0.042 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000643249015 1072626792 /nfs/dbraw/zinc/62/67/92/1072626792.db2.gz WAVYSQVXWNQNKQ-YJBOKZPZSA-N 0 0 447.517 -0.042 20 0 IBADRN CN1CC[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCN(C)C(=O)C2)c2ccccc2)CC1=O ZINC000643252803 1071457511 /nfs/dbraw/zinc/45/75/11/1071457511.db2.gz VGLVYEWAURTWFB-CALCHBBNSA-N 0 0 429.521 -0.033 20 0 IBADRN CN1CC[C@@H](NC(=O)CN(CC(=O)N[C@@H]2CCN(C)C(=O)C2)c2ccccc2)CC1=O ZINC000643252804 1071457700 /nfs/dbraw/zinc/45/77/00/1071457700.db2.gz VGLVYEWAURTWFB-IAGOWNOFSA-N 0 0 429.521 -0.033 20 0 IBADRN CN1CC[C@H](NC(=O)CN(CC(=O)N[C@H]2CCN(C)C(=O)C2)c2ccccc2)CC1=O ZINC000643252805 1072626775 /nfs/dbraw/zinc/62/67/75/1072626775.db2.gz VGLVYEWAURTWFB-IRXDYDNUSA-N 0 0 429.521 -0.033 20 0 IBADRN CCN1CC[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCN(CC)C2=O)c2ccccc2)C1=O ZINC000643264629 1072626893 /nfs/dbraw/zinc/62/68/93/1072626893.db2.gz MHRBADJLKAJNDB-HDICACEKSA-N 0 0 429.521 -0.033 20 0 IBADRN CCN1CC[C@@H](NC(=O)CN(CC(=O)N[C@@H]2CCN(CC)C2=O)c2ccccc2)C1=O ZINC000643264630 1072626753 /nfs/dbraw/zinc/62/67/53/1072626753.db2.gz MHRBADJLKAJNDB-QZTJIDSGSA-N 0 0 429.521 -0.033 20 0 IBADRN CCN1CC[C@H](NC(=O)CN(CC(=O)N[C@H]2CCN(CC)C2=O)c2ccccc2)C1=O ZINC000643264631 1072626945 /nfs/dbraw/zinc/62/69/45/1072626945.db2.gz MHRBADJLKAJNDB-ROUUACIJSA-N 0 0 429.521 -0.033 20 0 IBADRN O=C(CN1CCN(C(=O)N2CCCCC2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000643397367 1072626799 /nfs/dbraw/zinc/62/67/99/1072626799.db2.gz OZLDDCRDEXCPLO-GOSISDBHSA-N 0 0 441.598 -0.459 20 0 IBADRN O=C(CN1CCN(C(=O)N2CCCCC2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000643397368 1072626885 /nfs/dbraw/zinc/62/68/85/1072626885.db2.gz OZLDDCRDEXCPLO-SFHVURJKSA-N 0 0 441.598 -0.459 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)N2CCCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000643397411 1072626638 /nfs/dbraw/zinc/62/66/38/1072626638.db2.gz QBWVVHODAFHAHY-KRWDZBQOSA-N 0 0 430.571 -0.128 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)N2CCCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000643397412 1072626963 /nfs/dbraw/zinc/62/69/63/1072626963.db2.gz QBWVVHODAFHAHY-QGZVFWFLSA-N 0 0 430.571 -0.128 20 0 IBADRN O=C(CN1CCN(C(=O)N2CCCC2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000643399309 1072626830 /nfs/dbraw/zinc/62/68/30/1072626830.db2.gz VURLZTDYEYYWMY-KRWDZBQOSA-N 0 0 427.571 -0.849 20 0 IBADRN O=C(CN1CCN(C(=O)N2CCCC2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000643399310 1072626938 /nfs/dbraw/zinc/62/69/38/1072626938.db2.gz VURLZTDYEYYWMY-QGZVFWFLSA-N 0 0 427.571 -0.849 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CC(=O)N(c3ccccc3F)C2)CC1 ZINC000643402950 1072626655 /nfs/dbraw/zinc/62/66/55/1072626655.db2.gz OJUUSIMUKRGCSZ-AWEZNQCLSA-N 0 0 427.502 -0.193 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CC(=O)N(c3ccccc3F)C2)CC1 ZINC000643402951 1072626970 /nfs/dbraw/zinc/62/69/70/1072626970.db2.gz OJUUSIMUKRGCSZ-CQSZACIVSA-N 0 0 427.502 -0.193 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCCC[C@H]1N1CCOCC1 ZINC000643411833 1072626847 /nfs/dbraw/zinc/62/68/47/1072626847.db2.gz WHWPYRMJOKMRLG-BRWVUGGUSA-N 0 0 430.571 -0.035 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@H]1CCCC[C@@H]1N1CCOCC1 ZINC000643411834 1072627457 /nfs/dbraw/zinc/62/74/57/1072627457.db2.gz WHWPYRMJOKMRLG-IKGGRYGDSA-N 0 0 430.571 -0.035 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@H]1CCCC[C@H]1N1CCOCC1 ZINC000643411835 1072627471 /nfs/dbraw/zinc/62/74/71/1072627471.db2.gz WHWPYRMJOKMRLG-IXDOHACOSA-N 0 0 430.571 -0.035 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC000643411836 1072627371 /nfs/dbraw/zinc/62/73/71/1072627371.db2.gz WHWPYRMJOKMRLG-ZACQAIPSSA-N 0 0 430.571 -0.035 20 0 IBADRN NC(=O)C(NC(=O)C1CCN(S(=O)(=O)c2cc(Cl)ccc2Cl)CC1)C(N)=O ZINC000643441510 1072627481 /nfs/dbraw/zinc/62/74/81/1072627481.db2.gz CMKNJRBGZLFSAW-UHFFFAOYSA-N 0 0 437.305 -0.151 20 0 IBADRN NC(=O)C(NC(=O)CN(Cc1ccccc1)S(=O)(=O)c1ccc(Cl)cc1)C(N)=O ZINC000643441513 1072627426 /nfs/dbraw/zinc/62/74/26/1072627426.db2.gz DNSCMVPACAUNNT-UHFFFAOYSA-N 0 0 438.893 -0.014 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000643460112 1072627520 /nfs/dbraw/zinc/62/75/20/1072627520.db2.gz MUBCUMYWTHZYMJ-UHFFFAOYSA-N 0 0 431.493 -0.690 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000643461877 1072870389 /nfs/dbraw/zinc/87/03/89/1072870389.db2.gz XGEBRCCGRPGKQG-UHFFFAOYSA-N 0 0 437.522 -0.903 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000643462130 1072627567 /nfs/dbraw/zinc/62/75/67/1072627567.db2.gz GMKZQRKQLUARNP-UHFFFAOYSA-N 0 0 442.520 -0.083 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000643462146 1072627559 /nfs/dbraw/zinc/62/75/59/1072627559.db2.gz JCNWZRFGDHNNJS-UHFFFAOYSA-N 0 0 445.520 -0.442 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000643462299 1072627501 /nfs/dbraw/zinc/62/75/01/1072627501.db2.gz HYTLEBKBGJPBKE-INIZCTEOSA-N 0 0 437.522 -0.903 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)C1 ZINC000643462300 1072627345 /nfs/dbraw/zinc/62/73/45/1072627345.db2.gz HYTLEBKBGJPBKE-MRXNPFEDSA-N 0 0 437.522 -0.903 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N[C@@H]1CCCc2c1cnn2CCO ZINC000643463020 1072627510 /nfs/dbraw/zinc/62/75/10/1072627510.db2.gz CLNBUTIBMZOMJC-GOSISDBHSA-N 0 0 440.504 -0.061 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C(=O)N[C@H]1CCCc2c1cnn2CCO ZINC000643463021 1072627313 /nfs/dbraw/zinc/62/73/13/1072627313.db2.gz CLNBUTIBMZOMJC-SFHVURJKSA-N 0 0 440.504 -0.061 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000643468043 1072875533 /nfs/dbraw/zinc/87/55/33/1072875533.db2.gz YWYPAXKRQQJHPO-UHFFFAOYSA-N 0 0 437.522 -0.901 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1)NS(C)(=O)=O ZINC000643470608 1072876086 /nfs/dbraw/zinc/87/60/86/1072876086.db2.gz HKKYJYRZOAQYNU-UHFFFAOYSA-N 0 0 425.511 -0.999 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000643477837 1072627385 /nfs/dbraw/zinc/62/73/85/1072627385.db2.gz NBKAQZSOOOCXNC-UHFFFAOYSA-N 0 0 437.522 -0.949 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C[C@@H](C)O1 ZINC000643478449 1071452924 /nfs/dbraw/zinc/45/29/24/1071452924.db2.gz VJVLXTGGBHMKFU-FPCVCCKLSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C[C@H](C)O1 ZINC000643478455 1071452900 /nfs/dbraw/zinc/45/29/00/1071452900.db2.gz VJVLXTGGBHMKFU-GEEKYZPCSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C[C@@H](C)O1 ZINC000643478456 1072627540 /nfs/dbraw/zinc/62/75/40/1072627540.db2.gz VJVLXTGGBHMKFU-RUPPMWDTSA-N 0 0 440.588 -0.688 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C[C@H](C)O1 ZINC000643478457 1072627548 /nfs/dbraw/zinc/62/75/48/1072627548.db2.gz VJVLXTGGBHMKFU-YHUYYLMFSA-N 0 0 440.588 -0.688 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1cccc(CN3CCNC(=O)C3)c1)CC2 ZINC000643479790 1072627488 /nfs/dbraw/zinc/62/74/88/1072627488.db2.gz DNMPBYITSKWCQV-UHFFFAOYSA-N 0 0 438.488 -0.282 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)CCN2C1=O ZINC000643481843 1072627554 /nfs/dbraw/zinc/62/75/54/1072627554.db2.gz WTMXUFIQUMTVCC-INIZCTEOSA-N 0 0 442.476 -0.948 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)CCN2C1=O ZINC000643481844 1072627296 /nfs/dbraw/zinc/62/72/96/1072627296.db2.gz WTMXUFIQUMTVCC-MRXNPFEDSA-N 0 0 442.476 -0.948 20 0 IBADRN Cc1nc([C@@H]2COCCN2C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)no1 ZINC000643496434 1073033151 /nfs/dbraw/zinc/03/31/51/1073033151.db2.gz ULCSXLCBHUCZFI-INIZCTEOSA-N 0 0 428.449 -0.152 20 0 IBADRN Cc1nc([C@H]2COCCN2C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)no1 ZINC000643496435 1073033349 /nfs/dbraw/zinc/03/33/49/1073033349.db2.gz ULCSXLCBHUCZFI-MRXNPFEDSA-N 0 0 428.449 -0.152 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)C(=O)N1CC(C2CC2)C1 ZINC000643597961 1072628147 /nfs/dbraw/zinc/62/81/47/1072628147.db2.gz XPSFDWAWKSAGNG-HNNXBMFYSA-N 0 0 441.531 -0.041 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)C(=O)N1CC(C2CC2)C1 ZINC000643597962 1072628184 /nfs/dbraw/zinc/62/81/84/1072628184.db2.gz XPSFDWAWKSAGNG-OAHLLOKOSA-N 0 0 441.531 -0.041 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@H](n4cccn4)C3)cn2)CC1 ZINC000643608122 1072628208 /nfs/dbraw/zinc/62/82/08/1072628208.db2.gz ILXMSQNMOJGMSZ-INIZCTEOSA-N 0 0 447.521 -0.228 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@H](n4cccn4)C3)cn2)CC1 ZINC000643608123 1070888662 /nfs/dbraw/zinc/88/86/62/1070888662.db2.gz ILXMSQNMOJGMSZ-MRXNPFEDSA-N 0 0 447.521 -0.228 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)[C@H]1CCOC1 ZINC000643609687 1072628219 /nfs/dbraw/zinc/62/82/19/1072628219.db2.gz YIGDARVFYGPYMM-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)[C@@H]1CCOC1 ZINC000643609688 1072628216 /nfs/dbraw/zinc/62/82/16/1072628216.db2.gz YIGDARVFYGPYMM-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)c2C)nn1 ZINC000643613995 1072628081 /nfs/dbraw/zinc/62/80/81/1072628081.db2.gz RUZNIIIWFHXIOH-UHFFFAOYSA-N 0 0 443.508 -0.014 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)N3CCN(CC(=O)NCCOC)CC3)c2C)nn1 ZINC000643642977 1072628265 /nfs/dbraw/zinc/62/82/65/1072628265.db2.gz IYXGPPJLQNLYFU-UHFFFAOYSA-N 0 0 431.497 -0.110 20 0 IBADRN CCN(C[C@H](C)OC)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000643666343 1072628189 /nfs/dbraw/zinc/62/81/89/1072628189.db2.gz NEQICKDXCDBTTC-AWEZNQCLSA-N 0 0 427.527 -0.015 20 0 IBADRN CCN(C[C@@H](C)OC)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000643666344 1072628225 /nfs/dbraw/zinc/62/82/25/1072628225.db2.gz NEQICKDXCDBTTC-CQSZACIVSA-N 0 0 427.527 -0.015 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000643666723 1070917236 /nfs/dbraw/zinc/91/72/36/1070917236.db2.gz LPTPVGSMYPLKAZ-UHFFFAOYSA-N 0 0 441.857 -0.810 20 0 IBADRN NC(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000643668585 1072628658 /nfs/dbraw/zinc/62/86/58/1072628658.db2.gz XQANDDXPPTVGIW-UHFFFAOYSA-N 0 0 431.858 -0.828 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2C)nn1 ZINC000643679538 1072628678 /nfs/dbraw/zinc/62/86/78/1072628678.db2.gz QFSWPWNMIFYVFJ-UHFFFAOYSA-N 0 0 443.508 -0.014 20 0 IBADRN O=C(Cn1cncn1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000643712040 1072628540 /nfs/dbraw/zinc/62/85/40/1072628540.db2.gz JEZJXUOKEVZFBT-UHFFFAOYSA-N 0 0 440.869 -0.205 20 0 IBADRN CCOc1ccccc1N1C(=O)C(=O)N(CC(=O)N(C)CC(=O)N2CCOCC2)C1=O ZINC000643727579 1072629155 /nfs/dbraw/zinc/62/91/55/1072629155.db2.gz DCVWZLSNTSSBHB-UHFFFAOYSA-N 0 0 432.433 -0.302 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1 ZINC000643791372 1071138861 /nfs/dbraw/zinc/13/88/61/1071138861.db2.gz PVKKLXWZYFKSHF-CABCVRRESA-N 0 0 449.577 -0.104 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1 ZINC000643791373 1072629140 /nfs/dbraw/zinc/62/91/40/1072629140.db2.gz PVKKLXWZYFKSHF-GJZGRUSLSA-N 0 0 449.577 -0.104 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1 ZINC000643791374 1072629208 /nfs/dbraw/zinc/62/92/08/1072629208.db2.gz PVKKLXWZYFKSHF-HUUCEWRRSA-N 0 0 449.577 -0.104 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1 ZINC000643791375 1071138992 /nfs/dbraw/zinc/13/89/92/1071138992.db2.gz PVKKLXWZYFKSHF-LSDHHAIUSA-N 0 0 449.577 -0.104 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000643819312 1072629804 /nfs/dbraw/zinc/62/98/04/1072629804.db2.gz SNPJTLDGMOOKRG-AWEZNQCLSA-N 0 0 444.579 -0.090 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000643819313 1072629786 /nfs/dbraw/zinc/62/97/86/1072629786.db2.gz SNPJTLDGMOOKRG-CQSZACIVSA-N 0 0 444.579 -0.090 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc3nnn(OCc4cc(=O)n(C)c(=O)n4C)c3c2)C1 ZINC000643834074 1072629719 /nfs/dbraw/zinc/62/97/19/1072629719.db2.gz QUQFSKCJEYJAKY-CYBMUJFWSA-N 0 0 448.505 -0.122 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc3nnn(OCc4cc(=O)n(C)c(=O)n4C)c3c2)C1 ZINC000643834075 1072629815 /nfs/dbraw/zinc/62/98/15/1072629815.db2.gz QUQFSKCJEYJAKY-ZDUSSCGKSA-N 0 0 448.505 -0.122 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)N1CCNC(=O)C1 ZINC000643898462 1072630476 /nfs/dbraw/zinc/63/04/76/1072630476.db2.gz QUBLJQCOTVIJBO-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000644008252 1072630215 /nfs/dbraw/zinc/63/02/15/1072630215.db2.gz PAOGJBRYRMUHDT-GASCZTMLSA-N 0 0 427.523 -0.411 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1ccnc(N2CCCC2)n1)c1ccnc(N2CCCC2)n1 ZINC000644062238 1072630995 /nfs/dbraw/zinc/63/09/95/1072630995.db2.gz MUCYQFYBENGPEE-HNNXBMFYSA-N 0 0 440.508 -0.012 20 0 IBADRN Cc1cc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)nc(N2CCCC2)n1 ZINC000644134268 1072630922 /nfs/dbraw/zinc/63/09/22/1072630922.db2.gz WPJIHMZBJZCLBV-UHFFFAOYSA-N 0 0 425.559 -0.238 20 0 IBADRN O=S(=O)(NC1COCCOC1)c1ccc(S(=O)(=O)NC2COCCOC2)cc1 ZINC000644288752 1072631407 /nfs/dbraw/zinc/63/14/07/1072631407.db2.gz NCXNZRYEXDCMHP-UHFFFAOYSA-N 0 0 436.508 -0.926 20 0 IBADRN O=S(=O)(NCc1cccc(CNS(=O)(=O)N2CCOCC2)c1)N1CCOCC1 ZINC000644371006 1072632281 /nfs/dbraw/zinc/63/22/81/1072632281.db2.gz ZBGPLIXREUJVNJ-UHFFFAOYSA-N 0 0 434.540 -0.980 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000644380632 1072632178 /nfs/dbraw/zinc/63/21/78/1072632178.db2.gz UILLOICUPDCYEH-UHFFFAOYSA-N 0 0 433.508 -0.659 20 0 IBADRN O=S(=O)(c1ccc(F)c(F)c1F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000644389459 1072632131 /nfs/dbraw/zinc/63/21/31/1072632131.db2.gz OKLVQEGDNQXSIT-UHFFFAOYSA-N 0 0 429.442 -0.013 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCCO2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000644394058 1072632320 /nfs/dbraw/zinc/63/23/20/1072632320.db2.gz IOHDFUUQHUDVKE-UHFFFAOYSA-N 0 0 447.535 -0.269 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000644403469 1072632205 /nfs/dbraw/zinc/63/22/05/1072632205.db2.gz SMSBGLJHYLPOPO-UHFFFAOYSA-N 0 0 432.524 -0.439 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc2[nH]1 ZINC000644408977 1072632194 /nfs/dbraw/zinc/63/21/94/1072632194.db2.gz ZAUGKYKTUDMJMB-UHFFFAOYSA-N 0 0 431.496 -0.848 20 0 IBADRN O=C(NCCCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)NC1CCCCC1 ZINC000644437421 1072632144 /nfs/dbraw/zinc/63/21/44/1072632144.db2.gz XGXFNBBOTQLZDR-UHFFFAOYSA-N 0 0 437.541 -0.072 20 0 IBADRN O=C(NC1COCCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000644461924 1072632837 /nfs/dbraw/zinc/63/28/37/1072632837.db2.gz KUHFUMUULRFINM-UHFFFAOYSA-N 0 0 440.478 -0.551 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)NC1COCCOC1 ZINC000644468977 1072632855 /nfs/dbraw/zinc/63/28/55/1072632855.db2.gz YVKMGZRJUPZVAQ-CYBMUJFWSA-N 0 0 433.508 -0.311 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)NC1COCCOC1 ZINC000644468979 1072632864 /nfs/dbraw/zinc/63/28/64/1072632864.db2.gz YVKMGZRJUPZVAQ-ZDUSSCGKSA-N 0 0 433.508 -0.311 20 0 IBADRN CCN(C(=O)Cn1cc(S(=O)(=O)N2CCCC2)ccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000644577855 1072632819 /nfs/dbraw/zinc/63/28/19/1072632819.db2.gz LMOJNEVZDFFBJN-AWEZNQCLSA-N 0 0 431.536 -0.332 20 0 IBADRN CCN(C(=O)Cn1cc(S(=O)(=O)N2CCCC2)ccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000644577856 1072632788 /nfs/dbraw/zinc/63/27/88/1072632788.db2.gz LMOJNEVZDFFBJN-CQSZACIVSA-N 0 0 431.536 -0.332 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCn2cc(CO)nn2)cc1 ZINC000644600276 1072633483 /nfs/dbraw/zinc/63/34/83/1072633483.db2.gz NHEZQTRQCMGILY-PBHICJAKSA-N 0 0 439.494 -0.891 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCn2cc(CO)nn2)cc1 ZINC000644600277 1072633358 /nfs/dbraw/zinc/63/33/58/1072633358.db2.gz NHEZQTRQCMGILY-YOEHRIQHSA-N 0 0 439.494 -0.891 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2C[C@H]3OCCN(C)[C@H]3C2)cc1 ZINC000644603577 1072633470 /nfs/dbraw/zinc/63/34/70/1072633470.db2.gz UYWJQEPTSQEPJH-XDKFSHSGSA-N 0 0 425.507 -0.640 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@H]3OCCN(C)[C@H]3C2)cc1 ZINC000644603578 1072633449 /nfs/dbraw/zinc/63/34/49/1072633449.db2.gz UYWJQEPTSQEPJH-XFKAJCMBSA-N 0 0 425.507 -0.640 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000644647199 1072633463 /nfs/dbraw/zinc/63/34/63/1072633463.db2.gz QWBGEEXWRNYACP-LOUJCGABSA-N 0 0 446.547 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000644647203 1072633491 /nfs/dbraw/zinc/63/34/91/1072633491.db2.gz QWBGEEXWRNYACP-OEUWWYETSA-N 0 0 446.547 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)cc1 ZINC000644647204 1071336733 /nfs/dbraw/zinc/33/67/33/1071336733.db2.gz QWBGEEXWRNYACP-WBOJAVRRSA-N 0 0 446.547 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000644647206 1072633426 /nfs/dbraw/zinc/63/34/26/1072633426.db2.gz QWBGEEXWRNYACP-ZJOBFFGXSA-N 0 0 446.547 -0.147 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000644690470 1072633376 /nfs/dbraw/zinc/63/33/76/1072633376.db2.gz XXEXKSVIAWGMBS-KRWDZBQOSA-N 0 0 440.522 -0.491 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000644690471 1072633339 /nfs/dbraw/zinc/63/33/39/1072633339.db2.gz XXEXKSVIAWGMBS-QGZVFWFLSA-N 0 0 440.522 -0.491 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC2COCCOC2)c1OC ZINC000644709535 1072633397 /nfs/dbraw/zinc/63/33/97/1072633397.db2.gz ADYUKVYYMCDTAA-UHFFFAOYSA-N 0 0 430.479 -0.130 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@H]3[C@H](CO)[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000644714437 1072634127 /nfs/dbraw/zinc/63/41/27/1072634127.db2.gz IWRROTQYRZENNI-ZSHCYNCHSA-N 0 0 425.507 -0.175 20 0 IBADRN COCCN(C(=O)CN1C[C@H]2[C@H](CO)[C@H]2C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000644714933 1072634115 /nfs/dbraw/zinc/63/41/15/1072634115.db2.gz AYTFPNSLKONPTG-FVQHAEBGSA-N 0 0 443.504 -0.271 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000644806367 1071396047 /nfs/dbraw/zinc/39/60/47/1071396047.db2.gz PEFHWHLODZRHDJ-UHFFFAOYSA-N 0 0 441.554 -0.672 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)NCCCN1CCCS1(=O)=O ZINC000644887881 1072634061 /nfs/dbraw/zinc/63/40/61/1072634061.db2.gz MVGCEBNZWZVOQB-UHFFFAOYSA-N 0 0 434.540 -0.220 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000644888673 1071508944 /nfs/dbraw/zinc/50/89/44/1071508944.db2.gz ORANMYRUKZCYTR-UHFFFAOYSA-N 0 0 433.556 -0.947 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCc4nnc(C5CC5)n4C3)CC2)CC1 ZINC000644890099 1072634189 /nfs/dbraw/zinc/63/41/89/1072634189.db2.gz WKDUMFCTRCQWDK-INIZCTEOSA-N 0 0 437.570 -0.646 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCc4nnc(C5CC5)n4C3)CC2)CC1 ZINC000644890104 1071510147 /nfs/dbraw/zinc/51/01/47/1071510147.db2.gz WKDUMFCTRCQWDK-MRXNPFEDSA-N 0 0 437.570 -0.646 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCc4nnc(C5CC5)n4C3)CC2)o1 ZINC000644898089 1072634083 /nfs/dbraw/zinc/63/40/83/1072634083.db2.gz KFBCFPBOWQKKDW-CYBMUJFWSA-N 0 0 448.505 -0.057 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCc4nnc(C5CC5)n4C3)CC2)o1 ZINC000644898090 1070808942 /nfs/dbraw/zinc/80/89/42/1070808942.db2.gz KFBCFPBOWQKKDW-ZDUSSCGKSA-N 0 0 448.505 -0.057 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2cccc(N3CCOCC3)c2)CC1 ZINC000644939813 1072634166 /nfs/dbraw/zinc/63/41/66/1072634166.db2.gz ZCEQGGLVDWMTTF-UHFFFAOYSA-N 0 0 425.555 -0.100 20 0 IBADRN Cc1c(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)nnn1-c1ccn(C)n1 ZINC000644957858 1072634648 /nfs/dbraw/zinc/63/46/48/1072634648.db2.gz IRHNBZAFXAJHBI-UHFFFAOYSA-N 0 0 430.494 -0.081 20 0 IBADRN O=C(NC[C@H]1CC[C@@H](CO)O1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000644978156 1072634710 /nfs/dbraw/zinc/63/47/10/1072634710.db2.gz XZKKGTSLTPVNBL-KFWWJZLASA-N 0 0 447.535 -0.187 20 0 IBADRN O=C(NC[C@H]1CC[C@@H](CO)O1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000644978159 1072634680 /nfs/dbraw/zinc/63/46/80/1072634680.db2.gz XZKKGTSLTPVNBL-ZNMIVQPWSA-N 0 0 447.535 -0.187 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCN2CCCC2=O)cc1 ZINC000645081986 1072635393 /nfs/dbraw/zinc/63/53/93/1072635393.db2.gz FDDKTSXYMXRTBA-PBHICJAKSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCN2CCCC2=O)cc1 ZINC000645081989 1072635451 /nfs/dbraw/zinc/63/54/51/1072635451.db2.gz FDDKTSXYMXRTBA-YOEHRIQHSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCN2CCOCC2)cc1 ZINC000645083902 1072635339 /nfs/dbraw/zinc/63/53/39/1072635339.db2.gz CSNFVKJQIILNLM-QAPCUYQASA-N 0 0 427.523 -0.342 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCN2CCOCC2)cc1 ZINC000645083903 1072635195 /nfs/dbraw/zinc/63/51/95/1072635195.db2.gz CSNFVKJQIILNLM-YJBOKZPZSA-N 0 0 427.523 -0.342 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1nc(-c3c[nH]c(C(N)=O)c3)cs1)c(=O)n2C ZINC000645091597 1072635370 /nfs/dbraw/zinc/63/53/70/1072635370.db2.gz CYBZGZQEZBBPPW-UHFFFAOYSA-N 0 0 428.434 -0.377 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)cnc2n(C)c1=O ZINC000645093904 1072635474 /nfs/dbraw/zinc/63/54/74/1072635474.db2.gz UUIYKKDLQODIKI-UHFFFAOYSA-N 0 0 443.485 -0.221 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(S(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000645123981 1072635486 /nfs/dbraw/zinc/63/54/86/1072635486.db2.gz BMGWYTDZTJGQGX-UHFFFAOYSA-N 0 0 435.466 -0.081 20 0 IBADRN CC(C)[C@H](NC(=O)COc1ccccc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000645126636 1071561998 /nfs/dbraw/zinc/56/19/98/1071561998.db2.gz DGPPOZSYOUPQPW-IBGZPJMESA-N 0 0 440.566 -0.100 20 0 IBADRN COC[C@@H](C(N)=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000645175700 1072635865 /nfs/dbraw/zinc/63/58/65/1072635865.db2.gz HMBPFBIKEFDJPA-KRWDZBQOSA-N 0 0 440.522 -0.846 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)[C@@H](COC)C(N)=O)CC2)cc1 ZINC000645176082 1072635460 /nfs/dbraw/zinc/63/54/60/1072635460.db2.gz SGPQTRDCWRCLCK-KRWDZBQOSA-N 0 0 442.538 -0.650 20 0 IBADRN CS(=O)(=O)NCCNC(=O)C(=O)Nc1ccn(-c2ccc(Br)cc2)n1 ZINC000645284102 1072635824 /nfs/dbraw/zinc/63/58/24/1072635824.db2.gz IFUUXEYNIARPPI-UHFFFAOYSA-N 0 0 430.284 -0.339 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2nnn(Cc3ccccc3F)c2C)CC1 ZINC000645295163 1072635842 /nfs/dbraw/zinc/63/58/42/1072635842.db2.gz UPUBWYOZSFNLBS-UHFFFAOYSA-N 0 0 431.472 -0.007 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCN(C(=O)N4CCCC4)CC3)c2C)no1 ZINC000645295514 1072635857 /nfs/dbraw/zinc/63/58/57/1072635857.db2.gz XBAASMFLQQASAO-UHFFFAOYSA-N 0 0 431.457 -0.375 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(OC)c1C ZINC000645334641 1072635811 /nfs/dbraw/zinc/63/58/11/1072635811.db2.gz CKVQCRCRSKMRKN-UHFFFAOYSA-N 0 0 428.511 -0.356 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc2cccnc21 ZINC000645334778 1072635939 /nfs/dbraw/zinc/63/59/39/1072635939.db2.gz LPNMCJISSNIFIT-UHFFFAOYSA-N 0 0 435.506 -0.125 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2nc(CC(F)(F)F)cs2)CC1 ZINC000645334843 1072635920 /nfs/dbraw/zinc/63/59/20/1072635920.db2.gz WUCQZWRERNYPGM-UHFFFAOYSA-N 0 0 443.473 -0.120 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)nnn1Cc1ccccc1F ZINC000645380223 1072635947 /nfs/dbraw/zinc/63/59/47/1072635947.db2.gz WWJGRXLIHIQJBZ-UHFFFAOYSA-N 0 0 431.472 -0.055 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)C(=O)N3CCN(C(=O)NC(C)(C)C)CC3)c2C)no1 ZINC000645401202 1072635786 /nfs/dbraw/zinc/63/57/86/1072635786.db2.gz PMKPTCJSFYTECD-UHFFFAOYSA-N 0 0 433.473 -0.083 20 0 IBADRN Cc1c(NC(=O)C(=O)N(CCO)CCN2CCOCC2)nnn1Cc1ccccc1F ZINC000645418735 1072635928 /nfs/dbraw/zinc/63/59/28/1072635928.db2.gz LVKOBWQCEMICIM-UHFFFAOYSA-N 0 0 434.472 -0.134 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)nnn1CCOc1ccc(F)cc1 ZINC000645445844 1072635967 /nfs/dbraw/zinc/63/59/67/1072635967.db2.gz KIBCOMHQPLVCPF-JTQLQIEISA-N 0 0 428.446 -0.464 20 0 IBADRN Cc1c(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)nnn1CCOc1ccc(F)cc1 ZINC000645445847 1072329356 /nfs/dbraw/zinc/32/93/56/1072329356.db2.gz KIBCOMHQPLVCPF-SNVBAGLBSA-N 0 0 428.446 -0.464 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000645570110 1072636517 /nfs/dbraw/zinc/63/65/17/1072636517.db2.gz ICDNOWUQQCBEGO-FICVDOATSA-N 0 0 439.490 -0.649 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@H]4[C@H](CO)[C@H]4C3)c2)CC1 ZINC000645570803 1072636500 /nfs/dbraw/zinc/63/65/00/1072636500.db2.gz SYMQGGIYTBYFLQ-PIIMJCKOSA-N 0 0 436.534 -0.352 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000645570936 1072636454 /nfs/dbraw/zinc/63/64/54/1072636454.db2.gz ZSGBVHAUMCGYSO-WDNDVIMCSA-N 0 0 443.909 -0.004 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@H]4[C@H](CO)[C@H]4C3)c2)CC1 ZINC000645574407 1072636526 /nfs/dbraw/zinc/63/65/26/1072636526.db2.gz WXZMRZKGYSTWHE-PIIMJCKOSA-N 0 0 436.534 -0.352 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CCO[C@@H]3C[C@@H]32)c1 ZINC000645587655 1072636523 /nfs/dbraw/zinc/63/65/23/1072636523.db2.gz HZGANFPBVXWTCN-DLBZAZTESA-N 0 0 438.506 -0.288 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CCO[C@H]3C[C@H]32)c1 ZINC000645587658 1072636541 /nfs/dbraw/zinc/63/65/41/1072636541.db2.gz HZGANFPBVXWTCN-SJORKVTESA-N 0 0 438.506 -0.288 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCO[C@@H]2C[C@@H]21 ZINC000645588510 1072636504 /nfs/dbraw/zinc/63/65/04/1072636504.db2.gz XLXIPJNFAHTMEW-DOTOQJQBSA-N 0 0 438.506 -0.431 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCO[C@H]2C[C@H]21 ZINC000645588521 1072636493 /nfs/dbraw/zinc/63/64/93/1072636493.db2.gz XLXIPJNFAHTMEW-WBVHZDCISA-N 0 0 438.506 -0.431 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@@H]3C[C@@H]32)cc1S(=O)(=O)N1CCOCC1 ZINC000645592410 1072636510 /nfs/dbraw/zinc/63/65/10/1072636510.db2.gz UHLCPPVIZSPHRB-DZGCQCFKSA-N 0 0 425.463 -0.346 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCO[C@H]3C[C@H]32)cc1S(=O)(=O)N1CCOCC1 ZINC000645592416 1072863265 /nfs/dbraw/zinc/86/32/65/1072863265.db2.gz UHLCPPVIZSPHRB-HIFRSBDPSA-N 0 0 425.463 -0.346 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCO[C@@H]2C[C@@H]21 ZINC000645593604 1072636469 /nfs/dbraw/zinc/63/64/69/1072636469.db2.gz QMBHSPXCZGYUHI-GOEBONIOSA-N 0 0 425.463 -0.346 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCO[C@H]2C[C@H]21 ZINC000645593607 1072636426 /nfs/dbraw/zinc/63/64/26/1072636426.db2.gz QMBHSPXCZGYUHI-ZBFHGGJFSA-N 0 0 425.463 -0.346 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CC[C@@](O)(C(F)(F)F)C3)cnc2n(C)c1=O ZINC000645594411 1072637077 /nfs/dbraw/zinc/63/70/77/1072637077.db2.gz LLPXYLQZSXIHMJ-BCTVWOGZSA-N 0 0 429.355 -0.467 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CC[C@@](O)(C(F)(F)F)C3)cnc2n(C)c1=O ZINC000645594421 1072637130 /nfs/dbraw/zinc/63/71/30/1072637130.db2.gz LLPXYLQZSXIHMJ-PWJLMRLQSA-N 0 0 429.355 -0.467 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CC[C@](O)(C(F)(F)F)C3)cnc2n(C)c1=O ZINC000645594424 1072637136 /nfs/dbraw/zinc/63/71/36/1072637136.db2.gz LLPXYLQZSXIHMJ-VPTHRUTESA-N 0 0 429.355 -0.467 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CC[C@](O)(C(F)(F)F)C3)cnc2n(C)c1=O ZINC000645594425 1072637141 /nfs/dbraw/zinc/63/71/41/1072637141.db2.gz LLPXYLQZSXIHMJ-ZKANADHPSA-N 0 0 429.355 -0.467 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC3COCCOC3)cc2)CC1 ZINC000645598779 1072637050 /nfs/dbraw/zinc/63/70/50/1072637050.db2.gz BODGUHBOUBFWIC-UHFFFAOYSA-N 0 0 426.495 -0.907 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC3COCCOC3)c2)CC1 ZINC000645598837 1072637101 /nfs/dbraw/zinc/63/71/01/1072637101.db2.gz HOPGZOORHWFRHU-UHFFFAOYSA-N 0 0 426.495 -0.907 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)NC1COCCOC1 ZINC000645599809 1072863280 /nfs/dbraw/zinc/86/32/80/1072863280.db2.gz OIBMBLNQBSTHRM-UHFFFAOYSA-N 0 0 447.897 -0.169 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)NC3COCCOC3)ccc21)N1CCOCC1 ZINC000645599892 1072637119 /nfs/dbraw/zinc/63/71/19/1072637119.db2.gz QDHDQSKKMDVWPW-UHFFFAOYSA-N 0 0 430.461 -0.030 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)NC1COCCOC1 ZINC000645599922 1072637107 /nfs/dbraw/zinc/63/71/07/1072637107.db2.gz RENYPPSTOMKVSA-UHFFFAOYSA-N 0 0 431.442 -0.683 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)NC1COCCOC1 ZINC000645600723 1072637096 /nfs/dbraw/zinc/63/70/96/1072637096.db2.gz OVDFPCUJXDAREW-UHFFFAOYSA-N 0 0 434.493 -0.170 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC1COCCOC1 ZINC000645600846 1072637065 /nfs/dbraw/zinc/63/70/65/1072637065.db2.gz YXOURXMFTHESON-UHFFFAOYSA-N 0 0 427.479 -0.514 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2COCCOC2)cc1S(=O)(=O)N1CCCC1 ZINC000645601282 1072636963 /nfs/dbraw/zinc/63/69/63/1072636963.db2.gz CBRQSJXGCPXHSP-UHFFFAOYSA-N 0 0 427.479 -0.050 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cc(NC(=O)C(=O)NC2COCCOC2)ccc1F ZINC000645601658 1072637017 /nfs/dbraw/zinc/63/70/17/1072637017.db2.gz WVTZNUXTALZIQZ-UHFFFAOYSA-N 0 0 438.456 -0.043 20 0 IBADRN COc1cc(NC(=O)C(=O)NC2COCCOC2)ccc1S(=O)(=O)N1CCCC1 ZINC000645601814 1072637087 /nfs/dbraw/zinc/63/70/87/1072637087.db2.gz RRUQMDYOMOUJLA-UHFFFAOYSA-N 0 0 427.479 -0.050 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2COCCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC000645601890 1072637123 /nfs/dbraw/zinc/63/71/23/1072637123.db2.gz WEBKPGQIIBUENS-UHFFFAOYSA-N 0 0 443.478 -0.814 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC3COCCOC3)c2)CC1 ZINC000645602726 1072636923 /nfs/dbraw/zinc/63/69/23/1072636923.db2.gz HKUOXTZURXWNTB-UHFFFAOYSA-N 0 0 440.522 -0.517 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC1COCCOC1 ZINC000645603785 1072637039 /nfs/dbraw/zinc/63/70/39/1072637039.db2.gz HEJMABYXUQCFCK-UHFFFAOYSA-N 0 0 443.478 -0.814 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC3COCCOC3)cc2)C[C@H](C)O1 ZINC000645604108 1072636994 /nfs/dbraw/zinc/63/69/94/1072636994.db2.gz OTIXDAKQYDFAEC-KBPBESRZSA-N 0 0 441.506 -0.045 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC3COCCOC3)cc2)C[C@H](C)O1 ZINC000645604109 1072637128 /nfs/dbraw/zinc/63/71/28/1072637128.db2.gz OTIXDAKQYDFAEC-OKILXGFUSA-N 0 0 441.506 -0.045 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC3COCCOC3)cc2)C[C@@H](C)O1 ZINC000645604110 1072637009 /nfs/dbraw/zinc/63/70/09/1072637009.db2.gz OTIXDAKQYDFAEC-ZIAGYGMSSA-N 0 0 441.506 -0.045 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC3COCCOC3)c2)CC1 ZINC000645604400 1072637112 /nfs/dbraw/zinc/63/71/12/1072637112.db2.gz ZTLVGAUJXRPUKJ-UHFFFAOYSA-N 0 0 440.522 -0.517 20 0 IBADRN O=C(Cn1ccc(=NC(=O)C(=O)N2CC(F)(c3ccccc3F)C2)[nH]1)N1CCOCC1 ZINC000645608734 1072637617 /nfs/dbraw/zinc/63/76/17/1072637617.db2.gz QHJQRWFEBOVIMH-UHFFFAOYSA-N 0 0 433.415 -0.051 20 0 IBADRN COCC(C)(C)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000645640809 1071480741 /nfs/dbraw/zinc/48/07/41/1071480741.db2.gz HHLPRXRGAXJCFJ-UHFFFAOYSA-N 0 0 427.527 -0.110 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CC[C@H](CS(C)(=O)=O)C2)CC1 ZINC000645657196 1072638644 /nfs/dbraw/zinc/63/86/44/1072638644.db2.gz SYGBBQGPBZQFBR-HNNXBMFYSA-N 0 0 436.534 -0.094 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CC[C@@H](CS(C)(=O)=O)C2)CC1 ZINC000645657197 1072638474 /nfs/dbraw/zinc/63/84/74/1072638474.db2.gz SYGBBQGPBZQFBR-OAHLLOKOSA-N 0 0 436.534 -0.094 20 0 IBADRN COc1ccncc1CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000645729921 1072638449 /nfs/dbraw/zinc/63/84/49/1072638449.db2.gz BZBXNCMJJJMRQE-UHFFFAOYSA-N 0 0 448.505 -0.178 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](CS(C)(=O)=O)C2)ccc1F ZINC000645740161 1072638398 /nfs/dbraw/zinc/63/83/98/1072638398.db2.gz ZLHNVHKYKCZKIF-LLVKDONJSA-N 0 0 435.499 -0.045 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](CS(C)(=O)=O)C2)ccc1F ZINC000645740167 1073213551 /nfs/dbraw/zinc/21/35/51/1073213551.db2.gz ZLHNVHKYKCZKIF-NSHDSACASA-N 0 0 435.499 -0.045 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C1CC1 ZINC000645861637 1072639166 /nfs/dbraw/zinc/63/91/66/1072639166.db2.gz PIZISRWQWKWCHT-HNNXBMFYSA-N 0 0 425.511 -0.357 20 0 IBADRN CO[C@H](CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C1CC1 ZINC000645861638 1072639277 /nfs/dbraw/zinc/63/92/77/1072639277.db2.gz PIZISRWQWKWCHT-OAHLLOKOSA-N 0 0 425.511 -0.357 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(C)(C)O ZINC000645879044 1072639262 /nfs/dbraw/zinc/63/92/62/1072639262.db2.gz MUKXRQQLBMTRIG-AWEZNQCLSA-N 0 0 427.527 -0.233 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(C)(C)O ZINC000645879045 1072639301 /nfs/dbraw/zinc/63/93/01/1072639301.db2.gz MUKXRQQLBMTRIG-CQSZACIVSA-N 0 0 427.527 -0.233 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@H](C)N1C ZINC000645885015 1072639214 /nfs/dbraw/zinc/63/92/14/1072639214.db2.gz CONRHRNZKRTMEA-GASCZTMLSA-N 0 0 438.554 -0.347 20 0 IBADRN CC[C@H]1CN(C)CCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000645899922 1072639129 /nfs/dbraw/zinc/63/91/29/1072639129.db2.gz GGFVAHHYMAKMBR-INIZCTEOSA-N 0 0 438.554 -0.346 20 0 IBADRN CC[C@@H]1CN(C)CCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000645899923 1072640025 /nfs/dbraw/zinc/64/00/25/1072640025.db2.gz GGFVAHHYMAKMBR-MRXNPFEDSA-N 0 0 438.554 -0.346 20 0 IBADRN C[C@@H]1CCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000645906815 1072639951 /nfs/dbraw/zinc/63/99/51/1072639951.db2.gz RYXUKZLFUQMMII-AUUYWEPGSA-N 0 0 439.538 -0.231 20 0 IBADRN C[C@H]1CCC[C@@]1(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000645906816 1072640094 /nfs/dbraw/zinc/64/00/94/1072640094.db2.gz RYXUKZLFUQMMII-IFXJQAMLSA-N 0 0 439.538 -0.231 20 0 IBADRN C[C@@H]1CCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000645906817 1072639984 /nfs/dbraw/zinc/63/99/84/1072639984.db2.gz RYXUKZLFUQMMII-KUHUBIRLSA-N 0 0 439.538 -0.231 20 0 IBADRN C[C@H]1CCC[C@]1(O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000645906818 1072640056 /nfs/dbraw/zinc/64/00/56/1072640056.db2.gz RYXUKZLFUQMMII-LIRRHRJNSA-N 0 0 439.538 -0.231 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1cc(Cn2cccn2)on1)c1cc(Cn2cccn2)on1 ZINC000645979691 1072639874 /nfs/dbraw/zinc/63/98/74/1072639874.db2.gz OVBBLBMIEXDRAP-ZDUSSCGKSA-N 0 0 440.420 -0.327 20 0 IBADRN Cn1nnnc1SCCC(=O)N[C@@H]1CCC[C@H]1NC(=O)CCSc1nnnn1C ZINC000646015590 1072640048 /nfs/dbraw/zinc/64/00/48/1072640048.db2.gz QYDUWNVGJWEPQE-GHMZBOCLSA-N 0 0 440.559 -0.448 20 0 IBADRN Cn1nnnc1SCCC(=O)N[C@@H]1CCC[C@@H]1NC(=O)CCSc1nnnn1C ZINC000646015591 1072639805 /nfs/dbraw/zinc/63/98/05/1072639805.db2.gz QYDUWNVGJWEPQE-PHIMTYICSA-N 0 0 440.559 -0.448 20 0 IBADRN Cn1nnnc1SCCC(=O)N[C@H]1CCC[C@@H]1NC(=O)CCSc1nnnn1C ZINC000646015592 1072639855 /nfs/dbraw/zinc/63/98/55/1072639855.db2.gz QYDUWNVGJWEPQE-QWRGUYRKSA-N 0 0 440.559 -0.448 20 0 IBADRN Cc1nc(NC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)c(C(=O)NCCO)n1C ZINC000646015973 1072640010 /nfs/dbraw/zinc/64/00/10/1072640010.db2.gz MPXRUDHVZSYOMI-UHFFFAOYSA-N 0 0 449.533 -0.067 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000646024999 1072639902 /nfs/dbraw/zinc/63/99/02/1072639902.db2.gz CLJQHHYKRBTVFE-AWEZNQCLSA-N 0 0 427.479 -0.789 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000646025000 1072639817 /nfs/dbraw/zinc/63/98/17/1072639817.db2.gz CLJQHHYKRBTVFE-CQSZACIVSA-N 0 0 427.479 -0.789 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)s2)C1 ZINC000646025213 1072640707 /nfs/dbraw/zinc/64/07/07/1072640707.db2.gz MUYANGZKBVELTE-BDAKNGLRSA-N 0 0 440.341 -0.126 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(Br)s2)C1 ZINC000646025214 1072640530 /nfs/dbraw/zinc/64/05/30/1072640530.db2.gz MUYANGZKBVELTE-DTWKUNHWSA-N 0 0 440.341 -0.126 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(Br)s2)C1 ZINC000646025215 1072640702 /nfs/dbraw/zinc/64/07/02/1072640702.db2.gz MUYANGZKBVELTE-IUCAKERBSA-N 0 0 440.341 -0.126 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)s2)C1 ZINC000646025216 1072640588 /nfs/dbraw/zinc/64/05/88/1072640588.db2.gz MUYANGZKBVELTE-RKDXNWHRSA-N 0 0 440.341 -0.126 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)CC1 ZINC000646025852 1072640546 /nfs/dbraw/zinc/64/05/46/1072640546.db2.gz GQBHIMWFFYGKRT-GASCZTMLSA-N 0 0 432.502 -0.066 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)CC1 ZINC000646025884 1072640461 /nfs/dbraw/zinc/64/04/61/1072640461.db2.gz LSQNXHFAMFJLHQ-UHFFFAOYSA-N 0 0 443.485 -0.022 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC(N4CN=NC4=O)CC3)nc2n(C)c1=O ZINC000646045163 1072640686 /nfs/dbraw/zinc/64/06/86/1072640686.db2.gz YGRRRWUJPHUYFK-UHFFFAOYSA-N 0 0 428.453 -0.427 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC(=O)N(C)C(=O)C1 ZINC000646133854 1072640649 /nfs/dbraw/zinc/64/06/49/1072640649.db2.gz DCCZCOUILXSSBY-KRWDZBQOSA-N 0 0 425.463 -0.412 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@H]2CCCN(Cc3ncon3)C2)c1 ZINC000646160968 1072640661 /nfs/dbraw/zinc/64/06/61/1072640661.db2.gz LYQPBQASGZTNEQ-CYBMUJFWSA-N 0 0 426.499 -0.036 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@@H]2CCCN(Cc3ncon3)C2)c1 ZINC000646160969 1072640699 /nfs/dbraw/zinc/64/06/99/1072640699.db2.gz LYQPBQASGZTNEQ-ZDUSSCGKSA-N 0 0 426.499 -0.036 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC(N2CCNC2=O)C1 ZINC000646191443 1072640710 /nfs/dbraw/zinc/64/07/10/1072640710.db2.gz AXBOCXVIXPVVMQ-KRWDZBQOSA-N 0 0 438.506 -0.003 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@@H](C)C(=O)Nc1ncccc1O ZINC000646200689 1072641107 /nfs/dbraw/zinc/64/11/07/1072641107.db2.gz JMXFIJVOBZPZSN-GHMZBOCLSA-N 0 0 429.437 -0.686 20 0 IBADRN C[C@@H](C(=O)Nc1ncccc1O)[C@H](C)NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000646200690 1072641252 /nfs/dbraw/zinc/64/12/52/1072641252.db2.gz JMXFIJVOBZPZSN-MNOVXSKESA-N 0 0 429.437 -0.686 20 0 IBADRN C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@H](C)C(=O)Nc1ncccc1O ZINC000646200691 1072641238 /nfs/dbraw/zinc/64/12/38/1072641238.db2.gz JMXFIJVOBZPZSN-QWRGUYRKSA-N 0 0 429.437 -0.686 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)[C@H](C)C(=O)Nc1ncccc1O ZINC000646200692 1072641176 /nfs/dbraw/zinc/64/11/76/1072641176.db2.gz JMXFIJVOBZPZSN-WDEREUQCSA-N 0 0 429.437 -0.686 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)Nc1nnc2[nH]cnn12 ZINC000646264554 1072641900 /nfs/dbraw/zinc/64/19/00/1072641900.db2.gz NVLFJNDTLZBSQO-UHFFFAOYSA-N 0 0 432.466 -0.296 20 0 IBADRN COCCOc1ccc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)c[nH]2)nn1 ZINC000646314361 1072642074 /nfs/dbraw/zinc/64/20/74/1072642074.db2.gz RPUJNCPJWTZXLP-UHFFFAOYSA-N 0 0 425.467 -0.219 20 0 IBADRN COCCOc1ccc(CNC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)nn1 ZINC000646314362 1072642067 /nfs/dbraw/zinc/64/20/67/1072642067.db2.gz RXFSOJZVRWHHDW-UHFFFAOYSA-N 0 0 443.464 -0.456 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(CCN1CCOCC1)[C@H]1CCSC1)c(=O)n2C ZINC000646323888 1072642031 /nfs/dbraw/zinc/64/20/31/1072642031.db2.gz KWYDFEYZYLZAGE-AWEZNQCLSA-N 0 0 436.538 -0.900 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(CCN1CCOCC1)[C@@H]1CCSC1)c(=O)n2C ZINC000646323889 1072641879 /nfs/dbraw/zinc/64/18/79/1072641879.db2.gz KWYDFEYZYLZAGE-CQSZACIVSA-N 0 0 436.538 -0.900 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(CCN3CCOCC3)[C@H]3CCSC3)nc2n(C)c1=O ZINC000646324058 1072642078 /nfs/dbraw/zinc/64/20/78/1072642078.db2.gz ODLVPMVIBKRENP-AWEZNQCLSA-N 0 0 433.534 -0.088 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(CCN3CCOCC3)[C@@H]3CCSC3)nc2n(C)c1=O ZINC000646324059 1072642056 /nfs/dbraw/zinc/64/20/56/1072642056.db2.gz ODLVPMVIBKRENP-CQSZACIVSA-N 0 0 433.534 -0.088 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N(CCN3CCOCC3)[C@@H]3CCSC3)nc2c(=O)[nH]c1=O ZINC000646324501 1072642008 /nfs/dbraw/zinc/64/20/08/1072642008.db2.gz WGVDHRVUCORZFE-CYBMUJFWSA-N 0 0 436.538 -0.039 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N(CCN3CCOCC3)[C@H]3CCSC3)nc2c(=O)[nH]c1=O ZINC000646324503 1072642041 /nfs/dbraw/zinc/64/20/41/1072642041.db2.gz WGVDHRVUCORZFE-ZDUSSCGKSA-N 0 0 436.538 -0.039 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(CCN3CCOCC3)[C@H]3CCSC3)cnc2n(C)c1=O ZINC000646324972 1072642035 /nfs/dbraw/zinc/64/20/35/1072642035.db2.gz LXZVGGOOMUCSMW-HNNXBMFYSA-N 0 0 433.534 -0.088 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(CCN3CCOCC3)[C@@H]3CCSC3)cnc2n(C)c1=O ZINC000646324973 1072642063 /nfs/dbraw/zinc/64/20/63/1072642063.db2.gz LXZVGGOOMUCSMW-OAHLLOKOSA-N 0 0 433.534 -0.088 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC(CN3CCOCC3)C2)c1 ZINC000646357281 1072641955 /nfs/dbraw/zinc/64/19/55/1072641955.db2.gz SKVHUPRBFCKGBR-UHFFFAOYSA-N 0 0 426.539 -0.331 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000646396702 1072641842 /nfs/dbraw/zinc/64/18/42/1072641842.db2.gz XFHCDXQAVOXHOH-AWEZNQCLSA-N 0 0 439.538 -0.408 20 0 IBADRN CC(CO)(CO)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000646468671 1072643199 /nfs/dbraw/zinc/64/31/99/1072643199.db2.gz KPQBXAFHCQQTLF-UHFFFAOYSA-N 0 0 428.507 -0.034 20 0 IBADRN CN1NN=C2C1=NCC[C@H]2C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000646556984 1072502357 /nfs/dbraw/zinc/50/23/57/1072502357.db2.gz SOWKHKULXZSVEP-LLVKDONJSA-N 0 0 448.534 -0.251 20 0 IBADRN CN1NN=C2C1=NCC[C@@H]2C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000646556985 1072502232 /nfs/dbraw/zinc/50/22/32/1072502232.db2.gz SOWKHKULXZSVEP-NSHDSACASA-N 0 0 448.534 -0.251 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000646557338 1072643217 /nfs/dbraw/zinc/64/32/17/1072643217.db2.gz HXMONSDBIGCBJD-INIZCTEOSA-N 0 0 430.552 -0.424 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000646557343 1072643252 /nfs/dbraw/zinc/64/32/52/1072643252.db2.gz HXMONSDBIGCBJD-MRXNPFEDSA-N 0 0 430.552 -0.424 20 0 IBADRN CN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000646573645 1072643277 /nfs/dbraw/zinc/64/32/77/1072643277.db2.gz RZMUYUSACFJRCM-CYBMUJFWSA-N 0 0 434.540 -0.848 20 0 IBADRN CN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000646573646 1072643283 /nfs/dbraw/zinc/64/32/83/1072643283.db2.gz RZMUYUSACFJRCM-ZDUSSCGKSA-N 0 0 434.540 -0.848 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000646574726 1072643169 /nfs/dbraw/zinc/64/31/69/1072643169.db2.gz LYSZGQWHJAOIGZ-CYBMUJFWSA-N 0 0 434.515 -0.426 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000646574727 1072643126 /nfs/dbraw/zinc/64/31/26/1072643126.db2.gz LYSZGQWHJAOIGZ-ZDUSSCGKSA-N 0 0 434.515 -0.426 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)cc1 ZINC000646574757 1072643259 /nfs/dbraw/zinc/64/32/59/1072643259.db2.gz PUGMVJBWQZBOGD-AWEZNQCLSA-N 0 0 446.551 -0.556 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)cc1 ZINC000646574758 1072643273 /nfs/dbraw/zinc/64/32/73/1072643273.db2.gz PUGMVJBWQZBOGD-CQSZACIVSA-N 0 0 446.551 -0.556 20 0 IBADRN O=C([C@@H]1CC(=O)NC(=O)N1)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000646574763 1072643291 /nfs/dbraw/zinc/64/32/91/1072643291.db2.gz QANIUNODXXCNNK-LBPRGKRZSA-N 0 0 445.295 -0.120 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000646576658 1072643287 /nfs/dbraw/zinc/64/32/87/1072643287.db2.gz ZSWZMTIXQYKCCD-SWLSCSKDSA-N 0 0 446.551 -0.196 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000646576659 1072643155 /nfs/dbraw/zinc/64/31/55/1072643155.db2.gz ZSWZMTIXQYKCCD-WFASDCNBSA-N 0 0 446.551 -0.196 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000646587094 1072643723 /nfs/dbraw/zinc/64/37/23/1072643723.db2.gz PEGAVABXODURMM-AWEZNQCLSA-N 0 0 427.502 -0.176 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000646587098 1072643796 /nfs/dbraw/zinc/64/37/96/1072643796.db2.gz PEGAVABXODURMM-CQSZACIVSA-N 0 0 427.502 -0.176 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000646587661 1072643801 /nfs/dbraw/zinc/64/38/01/1072643801.db2.gz WOIHIMLVGIACRC-HNNXBMFYSA-N 0 0 446.551 -0.761 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000646587662 1072643667 /nfs/dbraw/zinc/64/36/67/1072643667.db2.gz WOIHIMLVGIACRC-OAHLLOKOSA-N 0 0 446.551 -0.761 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)NC(=O)N3)CC2)c1 ZINC000646591489 1072643691 /nfs/dbraw/zinc/64/36/91/1072643691.db2.gz DGZIAOFTIPGMBR-LBPRGKRZSA-N 0 0 426.451 -0.865 20 0 IBADRN CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000646591959 1072643816 /nfs/dbraw/zinc/64/38/16/1072643816.db2.gz MZVIFLJPVGUJGE-CYBMUJFWSA-N 0 0 449.551 -0.136 20 0 IBADRN CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000646591963 1072643835 /nfs/dbraw/zinc/64/38/35/1072643835.db2.gz MZVIFLJPVGUJGE-ZDUSSCGKSA-N 0 0 449.551 -0.136 20 0 IBADRN CCS(=O)(=O)N[C@H](C)C(=O)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000646592629 1072643826 /nfs/dbraw/zinc/64/38/26/1072643826.db2.gz VRVGOMMJWZJQSZ-GFCCVEGCSA-N 0 0 446.551 -0.161 20 0 IBADRN CCS(=O)(=O)N[C@@H](C)C(=O)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000646592630 1072643840 /nfs/dbraw/zinc/64/38/40/1072643840.db2.gz VRVGOMMJWZJQSZ-LBPRGKRZSA-N 0 0 446.551 -0.161 20 0 IBADRN CCC[C@@H](C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1)S(N)(=O)=O ZINC000646592643 1072643775 /nfs/dbraw/zinc/64/37/75/1072643775.db2.gz VYKFKBZFZBFVDR-HNNXBMFYSA-N 0 0 449.551 -0.006 20 0 IBADRN CCC[C@H](C(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1)S(N)(=O)=O ZINC000646592646 1072643710 /nfs/dbraw/zinc/64/37/10/1072643710.db2.gz VYKFKBZFZBFVDR-OAHLLOKOSA-N 0 0 449.551 -0.006 20 0 IBADRN COc1cc2nc(C(=O)N3CCN(CC(=O)NC4CC4)CC3)c(=O)n(C)c2cc1OC ZINC000646592679 1072643746 /nfs/dbraw/zinc/64/37/46/1072643746.db2.gz XBAFKUOMFMQPGI-UHFFFAOYSA-N 0 0 429.477 -0.013 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000646593765 1072643848 /nfs/dbraw/zinc/64/38/48/1072643848.db2.gz FBAPDLFOSLDBOD-AWEZNQCLSA-N 0 0 448.542 -0.036 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000646593766 1072643854 /nfs/dbraw/zinc/64/38/54/1072643854.db2.gz FBAPDLFOSLDBOD-CQSZACIVSA-N 0 0 448.542 -0.036 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)C1 ZINC000646593953 1072643808 /nfs/dbraw/zinc/64/38/08/1072643808.db2.gz IVAGXTMZLYADDS-BBWFWOEESA-N 0 0 429.587 -0.449 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)C1 ZINC000646593957 1072643791 /nfs/dbraw/zinc/64/37/91/1072643791.db2.gz IVAGXTMZLYADDS-BRWVUGGUSA-N 0 0 429.587 -0.449 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)C1 ZINC000646593958 1072643760 /nfs/dbraw/zinc/64/37/60/1072643760.db2.gz IVAGXTMZLYADDS-IXDOHACOSA-N 0 0 429.587 -0.449 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)C1 ZINC000646593959 1072643784 /nfs/dbraw/zinc/64/37/84/1072643784.db2.gz IVAGXTMZLYADDS-ZACQAIPSSA-N 0 0 429.587 -0.449 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000646594733 1072644318 /nfs/dbraw/zinc/64/43/18/1072644318.db2.gz DPBYEZDZHCOVHW-UHFFFAOYSA-N 0 0 425.511 -0.988 20 0 IBADRN CS(=O)(=O)C[C@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000646595103 1072643732 /nfs/dbraw/zinc/64/37/32/1072643732.db2.gz KSKIWKCADRBITD-KRWDZBQOSA-N 0 0 437.518 -0.656 20 0 IBADRN CS(=O)(=O)C[C@@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000646595104 1072643787 /nfs/dbraw/zinc/64/37/87/1072643787.db2.gz KSKIWKCADRBITD-QGZVFWFLSA-N 0 0 437.518 -0.656 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1 ZINC000646595123 1072644230 /nfs/dbraw/zinc/64/42/30/1072644230.db2.gz NEBWFNDOGQLHPU-CYBMUJFWSA-N 0 0 440.551 -0.684 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1 ZINC000646595124 1072644245 /nfs/dbraw/zinc/64/42/45/1072644245.db2.gz NEBWFNDOGQLHPU-ZDUSSCGKSA-N 0 0 440.551 -0.684 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)C1 ZINC000646602539 1072644211 /nfs/dbraw/zinc/64/42/11/1072644211.db2.gz WMIDPGHZESHROG-GFCCVEGCSA-N 0 0 448.523 -0.733 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)C1 ZINC000646602540 1072644358 /nfs/dbraw/zinc/64/43/58/1072644358.db2.gz WMIDPGHZESHROG-LBPRGKRZSA-N 0 0 448.523 -0.733 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H](NS(=O)(=O)C3CC3)C(C)C)CC2)no1 ZINC000646610634 1072644254 /nfs/dbraw/zinc/64/42/54/1072644254.db2.gz DXYJNRKJWNYRNX-QGZVFWFLSA-N 0 0 427.527 -0.406 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)C4=C[C@@H](O)[C@@H](O)[C@H](O)C4)CC3)n2)cc1 ZINC000646616653 1072644267 /nfs/dbraw/zinc/64/42/67/1072644267.db2.gz AXJCRMUWDYUALF-ZHALLVOQSA-N 0 0 430.461 -0.198 20 0 IBADRN COc1ccc(-c2noc(CN3CCN(C(=O)CNS(=O)(=O)N(C)C)CC3)n2)cc1 ZINC000646616987 1072644195 /nfs/dbraw/zinc/64/41/95/1072644195.db2.gz YFYATIDAJKSZFK-UHFFFAOYSA-N 0 0 438.510 -0.215 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000646617739 1072644399 /nfs/dbraw/zinc/64/43/99/1072644399.db2.gz DHJGQFKXOCBUPP-UHFFFAOYSA-N 0 0 428.492 -0.578 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000646617824 1072644381 /nfs/dbraw/zinc/64/43/81/1072644381.db2.gz KKZQAFBGULMWIC-UHFFFAOYSA-N 0 0 447.517 -0.684 20 0 IBADRN CCS(=O)(=O)N[C@H](C)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000646619456 1072644393 /nfs/dbraw/zinc/64/43/93/1072644393.db2.gz DUGBCHUAVRXTTN-GFCCVEGCSA-N 0 0 435.524 -0.018 20 0 IBADRN CCS(=O)(=O)N[C@@H](C)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000646619457 1072644429 /nfs/dbraw/zinc/64/44/29/1072644429.db2.gz DUGBCHUAVRXTTN-LBPRGKRZSA-N 0 0 435.524 -0.018 20 0 IBADRN COc1ccc(NC(=O)CNS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000646619476 1072644333 /nfs/dbraw/zinc/64/43/33/1072644333.db2.gz GMCMELMZGNOPQT-UHFFFAOYSA-N 0 0 436.512 -0.949 20 0 IBADRN COc1ccc(NC(=O)[C@@H]2CCN=C3C2=NNN3C)cc1S(=O)(=O)N1CCOCC1 ZINC000646619609 1072644373 /nfs/dbraw/zinc/64/43/73/1072644373.db2.gz POVORHILBZFCRP-CYBMUJFWSA-N 0 0 436.494 -0.121 20 0 IBADRN COc1ccc(NC(=O)[C@H]2CCN=C3C2=NNN3C)cc1S(=O)(=O)N1CCOCC1 ZINC000646619610 1072644305 /nfs/dbraw/zinc/64/43/05/1072644305.db2.gz POVORHILBZFCRP-ZDUSSCGKSA-N 0 0 436.494 -0.121 20 0 IBADRN COc1ccc(NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000646619683 1072644348 /nfs/dbraw/zinc/64/43/48/1072644348.db2.gz VWPHLNIEZVVHHR-CKEIUWERSA-N 0 0 428.463 -0.933 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000646620103 1072644157 /nfs/dbraw/zinc/64/41/57/1072644157.db2.gz HOBHZQJWKWBWOV-UHFFFAOYSA-N 0 0 449.489 -0.235 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000646620589 1072644283 /nfs/dbraw/zinc/64/42/83/1072644283.db2.gz SJTVUNRLKHLVAY-AWEZNQCLSA-N 0 0 425.511 -0.189 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC000646620590 1072644424 /nfs/dbraw/zinc/64/44/24/1072644424.db2.gz SJTVUNRLKHLVAY-CQSZACIVSA-N 0 0 425.511 -0.189 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000646620924 1072644181 /nfs/dbraw/zinc/64/41/81/1072644181.db2.gz KJWZVQUQAZWJLU-UHFFFAOYSA-N 0 0 433.490 -0.362 20 0 IBADRN COc1ccc([C@H](CNC(=O)CNS(=O)(=O)N(C)C)N2CCOCC2)cc1OC ZINC000646621929 1072644901 /nfs/dbraw/zinc/64/49/01/1072644901.db2.gz ODJXFFCPGCIOIK-HNNXBMFYSA-N 0 0 430.527 -0.411 20 0 IBADRN COc1ccc([C@@H](CNC(=O)CNS(=O)(=O)N(C)C)N2CCOCC2)cc1OC ZINC000646621934 1072644956 /nfs/dbraw/zinc/64/49/56/1072644956.db2.gz ODJXFFCPGCIOIK-OAHLLOKOSA-N 0 0 430.527 -0.411 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC000646625828 1072644963 /nfs/dbraw/zinc/64/49/63/1072644963.db2.gz DIOUMODZSAMBDO-UHFFFAOYSA-N 0 0 427.527 -0.306 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)cc1 ZINC000646632602 1072644990 /nfs/dbraw/zinc/64/49/90/1072644990.db2.gz DIMXKUTTZDQRMR-UHFFFAOYSA-N 0 0 428.492 -0.742 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000646634144 1072645040 /nfs/dbraw/zinc/64/50/40/1072645040.db2.gz YWIXECJSWVTMBZ-UHFFFAOYSA-N 0 0 448.457 -0.432 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000646637370 1072644871 /nfs/dbraw/zinc/64/48/71/1072644871.db2.gz NCGNTBBKAQYROW-UHFFFAOYSA-N 0 0 430.961 -0.370 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2nc(S(C)(=O)=O)ncc2C)CC1 ZINC000646637430 1072644947 /nfs/dbraw/zinc/64/49/47/1072644947.db2.gz MEEMKHYDFLXJEC-UHFFFAOYSA-N 0 0 443.507 -0.060 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)C1 ZINC000646637455 1072561740 /nfs/dbraw/zinc/56/17/40/1072561740.db2.gz ORUASJFFBNQURD-GFCCVEGCSA-N 0 0 436.581 -0.067 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)C1 ZINC000646637456 1072561669 /nfs/dbraw/zinc/56/16/69/1072561669.db2.gz ORUASJFFBNQURD-LBPRGKRZSA-N 0 0 436.581 -0.067 20 0 IBADRN COc1ccc(NC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)cc1NS(C)(=O)=O ZINC000646638507 1072645060 /nfs/dbraw/zinc/64/50/60/1072645060.db2.gz ZYBDGBUNHKNANH-UHFFFAOYSA-N 0 0 430.464 -0.126 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)c1 ZINC000646638838 1072644859 /nfs/dbraw/zinc/64/48/59/1072644859.db2.gz GYCKZQHSOYYTKC-UHFFFAOYSA-N 0 0 434.474 -0.152 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)cc1 ZINC000646638840 1072644886 /nfs/dbraw/zinc/64/48/86/1072644886.db2.gz GZHQMONHIIQEJT-UHFFFAOYSA-N 0 0 434.474 -0.152 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc(N4CCCCC4)ncn3)CC2)CC1 ZINC000646661692 1072644836 /nfs/dbraw/zinc/64/48/36/1072644836.db2.gz BGYLIUDTHQBCIX-UHFFFAOYSA-N 0 0 437.570 -0.283 20 0 IBADRN Cn1cc(-c2ncccc2C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC000646662315 1072644878 /nfs/dbraw/zinc/64/48/78/1072644878.db2.gz QLRMCKBNXITWGA-UHFFFAOYSA-N 0 0 433.538 -0.268 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)NCCN1CCN(c2ccc(F)cc2)CC1 ZINC000646667644 1072644978 /nfs/dbraw/zinc/64/49/78/1072644978.db2.gz BKJZNKADZNETCK-UHFFFAOYSA-N 0 0 437.497 -0.067 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)NCCCS(=O)(=O)Cc1ccccc1 ZINC000646668616 1072645054 /nfs/dbraw/zinc/64/50/54/1072645054.db2.gz AMYZSOJSLRXNLV-UHFFFAOYSA-N 0 0 427.504 -0.024 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)NCCN1CCN(Cc2ccccc2)CC1 ZINC000646671431 1072644934 /nfs/dbraw/zinc/64/49/34/1072644934.db2.gz FFRVNJOSUXLHMM-UHFFFAOYSA-N 0 0 433.534 -0.211 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000646673807 1072644916 /nfs/dbraw/zinc/64/49/16/1072644916.db2.gz HQXYEBNKDYHJJN-UHFFFAOYSA-N 0 0 434.474 -0.105 20 0 IBADRN COc1cc(CNC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)ccc1OCC(=O)N(C)C ZINC000646679380 1072645005 /nfs/dbraw/zinc/64/50/05/1072645005.db2.gz IWOWIGARZJKIRM-AWEZNQCLSA-N 0 0 428.511 -0.306 20 0 IBADRN COc1cc(CNC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)ccc1OCC(=O)N(C)C ZINC000646679381 1072645015 /nfs/dbraw/zinc/64/50/15/1072645015.db2.gz IWOWIGARZJKIRM-CQSZACIVSA-N 0 0 428.511 -0.306 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000646680115 1072645021 /nfs/dbraw/zinc/64/50/21/1072645021.db2.gz UCMUSHMOPYIUIV-UHFFFAOYSA-N 0 0 447.517 -0.441 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)cc1 ZINC000646683485 1072644890 /nfs/dbraw/zinc/64/48/90/1072644890.db2.gz ICLSWMWZZSRSTM-UHFFFAOYSA-N 0 0 428.492 -0.578 20 0 IBADRN COc1cc2nc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c(=O)n(C)c2cc1OC ZINC000646684113 1072645466 /nfs/dbraw/zinc/64/54/66/1072645466.db2.gz ZCWWQJAKEQNJLI-UHFFFAOYSA-N 0 0 443.460 -0.486 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)NCCC(=O)N2CCN(c3ccc(F)cc3)CC2)C1 ZINC000646684360 1072645592 /nfs/dbraw/zinc/64/55/92/1072645592.db2.gz SBMJAQNMGXORIY-HNNXBMFYSA-N 0 0 441.529 -0.104 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)NCCC(=O)N2CCN(c3ccc(F)cc3)CC2)C1 ZINC000646684361 1072645510 /nfs/dbraw/zinc/64/55/10/1072645510.db2.gz SBMJAQNMGXORIY-OAHLLOKOSA-N 0 0 441.529 -0.104 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1 ZINC000646684406 1072645587 /nfs/dbraw/zinc/64/55/87/1072645587.db2.gz WEHXEIFYKVTQGJ-KRWDZBQOSA-N 0 0 435.550 -0.365 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1 ZINC000646684407 1072645610 /nfs/dbraw/zinc/64/56/10/1072645610.db2.gz WEHXEIFYKVTQGJ-QGZVFWFLSA-N 0 0 435.550 -0.365 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)C1CC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000646687076 1072645395 /nfs/dbraw/zinc/64/53/95/1072645395.db2.gz PZEYRYFWSIQQPS-GOSISDBHSA-N 0 0 436.534 -0.019 20 0 IBADRN COc1cc2nc(C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)c(=O)n(C)c2cc1OC ZINC000646687091 1072645573 /nfs/dbraw/zinc/64/55/73/1072645573.db2.gz QXFUPVFKUJCEFA-UHFFFAOYSA-N 0 0 445.476 -0.240 20 0 IBADRN Cc1cnc(S(C)(=O)=O)nc1C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000646687095 1072645453 /nfs/dbraw/zinc/64/54/53/1072645453.db2.gz RHALMLPGCFRENW-UHFFFAOYSA-N 0 0 431.474 -0.309 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)NCCc1ccc(OCC(=O)NC2CC2)cc1 ZINC000646701604 1072645564 /nfs/dbraw/zinc/64/55/64/1072645564.db2.gz KCVBTOUJOHHHTM-UHFFFAOYSA-N 0 0 448.501 -0.129 20 0 IBADRN CN1NN=C2C1=NCC[C@H]2C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000646729423 1072645597 /nfs/dbraw/zinc/64/55/97/1072645597.db2.gz BFEKQIQRRBZXGB-CYBMUJFWSA-N 0 0 430.494 -0.380 20 0 IBADRN CN1NN=C2C1=NCC[C@@H]2C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000646729424 1072645605 /nfs/dbraw/zinc/64/56/05/1072645605.db2.gz BFEKQIQRRBZXGB-ZDUSSCGKSA-N 0 0 430.494 -0.380 20 0 IBADRN CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000646729538 1072645495 /nfs/dbraw/zinc/64/54/95/1072645495.db2.gz DGTGGMOUOLGTDH-GFCCVEGCSA-N 0 0 429.524 -0.276 20 0 IBADRN CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000646729539 1072645578 /nfs/dbraw/zinc/64/55/78/1072645578.db2.gz DGTGGMOUOLGTDH-LBPRGKRZSA-N 0 0 429.524 -0.276 20 0 IBADRN CCC[C@H](C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)S(N)(=O)=O ZINC000646730584 1072645541 /nfs/dbraw/zinc/64/55/41/1072645541.db2.gz WQJGXTNVTJMGPG-CYBMUJFWSA-N 0 0 429.524 -0.147 20 0 IBADRN CCC[C@@H](C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)S(N)(=O)=O ZINC000646730585 1072645523 /nfs/dbraw/zinc/64/55/23/1072645523.db2.gz WQJGXTNVTJMGPG-ZDUSSCGKSA-N 0 0 429.524 -0.147 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)Nc1cccc(CN2CCC[C@H]2C(N)=O)c1 ZINC000646733095 1072645548 /nfs/dbraw/zinc/64/55/48/1072645548.db2.gz LDDXMTGBYBUWPZ-HNNXBMFYSA-N 0 0 433.490 -0.056 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)Nc1cccc(CN2CCC[C@@H]2C(N)=O)c1 ZINC000646733096 1072645422 /nfs/dbraw/zinc/64/54/22/1072645422.db2.gz LDDXMTGBYBUWPZ-OAHLLOKOSA-N 0 0 433.490 -0.056 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCO[C@H]2C[C@H]21)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000646747036 1072645533 /nfs/dbraw/zinc/64/55/33/1072645533.db2.gz JFLKGQCYOMSAOI-KGLIPLIRSA-N 0 0 437.497 -0.054 20 0 IBADRN COCCN(C(=O)CCC(=O)N1CCO[C@@H]2C[C@@H]21)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000646747037 1072645475 /nfs/dbraw/zinc/64/54/75/1072645475.db2.gz JFLKGQCYOMSAOI-UONOGXRCSA-N 0 0 437.497 -0.054 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000646776448 1072646127 /nfs/dbraw/zinc/64/61/27/1072646127.db2.gz GDBUIUXILAPLJT-UHFFFAOYSA-N 0 0 433.490 -0.680 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N[C@@H](C(N)=O)c1cccc(Br)c1 ZINC000646782768 1072604049 /nfs/dbraw/zinc/60/40/49/1072604049.db2.gz QOSKMVBXCZYPMT-GFCCVEGCSA-N 0 0 443.279 -0.040 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N[C@H](C(N)=O)c1cccc(Br)c1 ZINC000646782769 1072646105 /nfs/dbraw/zinc/64/61/05/1072646105.db2.gz QOSKMVBXCZYPMT-LBPRGKRZSA-N 0 0 443.279 -0.040 20 0 IBADRN Nc1nc(C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)nc(N2CCOCC2)n1 ZINC000646785441 1072646116 /nfs/dbraw/zinc/64/61/16/1072646116.db2.gz NLYVSEYNRVGYHT-UHFFFAOYSA-N 0 0 440.508 -0.411 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000646785513 1072646066 /nfs/dbraw/zinc/64/60/66/1072646066.db2.gz QRPQVIQJYGHYGT-UHFFFAOYSA-N 0 0 447.517 -0.290 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000646787652 1072646088 /nfs/dbraw/zinc/64/60/88/1072646088.db2.gz NDMODDFEYHSKER-UHFFFAOYSA-N 0 0 447.517 -0.684 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H]2CCCN(C(=O)CNS(=O)(=O)N(C)C)C2)cc1 ZINC000646792689 1072646223 /nfs/dbraw/zinc/64/62/23/1072646223.db2.gz VKPKFLQMMGWXDQ-HNNXBMFYSA-N 0 0 432.568 -0.092 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CCCN(C(=O)CNS(=O)(=O)N(C)C)C2)cc1 ZINC000646792690 1072646147 /nfs/dbraw/zinc/64/61/47/1072646147.db2.gz VKPKFLQMMGWXDQ-OAHLLOKOSA-N 0 0 432.568 -0.092 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NC1COCCOC1 ZINC000646804148 1072606356 /nfs/dbraw/zinc/60/63/56/1072606356.db2.gz XGNIPSRWVIFZJO-UHFFFAOYSA-N 0 0 443.497 -0.030 20 0 IBADRN COc1cc2nc(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)c(=O)n(C)c2cc1OC ZINC000646804616 1072645966 /nfs/dbraw/zinc/64/59/66/1072645966.db2.gz NKTNNKRYXLQNAH-UHFFFAOYSA-N 0 0 445.476 -0.239 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC1COCCOC1 ZINC000646805635 1072607050 /nfs/dbraw/zinc/60/70/50/1072607050.db2.gz DPXXZFSEDGARBO-UHFFFAOYSA-N 0 0 425.507 -0.169 20 0 IBADRN Cc1nn(CCC(=O)NC2COCCOC2)c(C)c1CCC(=O)NC1COCCOC1 ZINC000646806206 1072646056 /nfs/dbraw/zinc/64/60/56/1072646056.db2.gz WZWUKLMJQHOVOD-UHFFFAOYSA-N 0 0 438.525 -0.114 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000646807561 1072646030 /nfs/dbraw/zinc/64/60/30/1072646030.db2.gz GXJHYIGYPBRFDS-UHFFFAOYSA-N 0 0 425.463 -0.107 20 0 IBADRN COc1ccc(CN(CCO)C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c(OC)c1 ZINC000646809339 1072646169 /nfs/dbraw/zinc/64/61/69/1072646169.db2.gz GEVBXRIFNHAHGY-UHFFFAOYSA-N 0 0 425.463 -0.107 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(Cc2noc(C(C)(C)C)n2)CC1 ZINC000646825197 1072646191 /nfs/dbraw/zinc/64/61/91/1072646191.db2.gz WSAYRYYGBTWWOC-UHFFFAOYSA-N 0 0 438.510 -0.120 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H]3CCOC[C@H]32)cc1 ZINC000646857745 1072646074 /nfs/dbraw/zinc/64/60/74/1072646074.db2.gz IYFJDCUDGGPJGQ-BVPBIZIASA-N 0 0 426.491 -0.165 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H]3CCOC[C@@H]32)cc1 ZINC000646857746 1072646729 /nfs/dbraw/zinc/64/67/29/1072646729.db2.gz IYFJDCUDGGPJGQ-QCSYZSNVSA-N 0 0 426.491 -0.165 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H]3CCOC[C@H]32)cc1 ZINC000646857747 1072646701 /nfs/dbraw/zinc/64/67/01/1072646701.db2.gz IYFJDCUDGGPJGQ-RNJTYBCJSA-N 0 0 426.491 -0.165 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H]3CCOC[C@@H]32)cc1 ZINC000646857748 1072646776 /nfs/dbraw/zinc/64/67/76/1072646776.db2.gz IYFJDCUDGGPJGQ-XFKAJCMBSA-N 0 0 426.491 -0.165 20 0 IBADRN CN1C[C@@H]2CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c3ccccc3)[C@@H]2C1 ZINC000646927049 1072646811 /nfs/dbraw/zinc/64/68/11/1072646811.db2.gz BUDOUHRUMCACRT-BHYGNILZSA-N 0 0 429.564 -0.069 20 0 IBADRN CN1C[C@H]2[C@@H](CCN2C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000646927050 1072646791 /nfs/dbraw/zinc/64/67/91/1072646791.db2.gz BUDOUHRUMCACRT-UAGQMJEPSA-N 0 0 429.564 -0.069 20 0 IBADRN CN1C[C@@H]2CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c3ccccc3)[C@@H]2C1 ZINC000646927051 1072646872 /nfs/dbraw/zinc/64/68/72/1072646872.db2.gz BUDOUHRUMCACRT-USXIJHARSA-N 0 0 429.564 -0.069 20 0 IBADRN CN1C[C@H]2[C@@H](CCN2C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000646927052 1072646742 /nfs/dbraw/zinc/64/67/42/1072646742.db2.gz BUDOUHRUMCACRT-XIRDDKMYSA-N 0 0 429.564 -0.069 20 0 IBADRN CS(=O)(=O)C[C@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)c1ccccc1 ZINC000646956916 1072646882 /nfs/dbraw/zinc/64/68/82/1072646882.db2.gz KHULQJHMPKPSFR-AWEZNQCLSA-N 0 0 427.508 -0.534 20 0 IBADRN CS(=O)(=O)C[C@@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)c1ccccc1 ZINC000646956917 1072646843 /nfs/dbraw/zinc/64/68/43/1072646843.db2.gz KHULQJHMPKPSFR-CQSZACIVSA-N 0 0 427.508 -0.534 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@H](CS(C)(=O)=O)C2)cc1 ZINC000646978795 1072646898 /nfs/dbraw/zinc/64/68/98/1072646898.db2.gz MBUSGHADNVOJPO-CPUCHLNUSA-N 0 0 446.547 -0.288 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@H](CS(C)(=O)=O)C2)cc1 ZINC000646978796 1072646865 /nfs/dbraw/zinc/64/68/65/1072646865.db2.gz MBUSGHADNVOJPO-KEYYUXOJSA-N 0 0 446.547 -0.288 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@H](CS(C)(=O)=O)C2)cc1 ZINC000646978797 1072646901 /nfs/dbraw/zinc/64/69/01/1072646901.db2.gz MBUSGHADNVOJPO-VBQJREDUSA-N 0 0 446.547 -0.288 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@H](CS(C)(=O)=O)C2)cc1 ZINC000646978798 1072646857 /nfs/dbraw/zinc/64/68/57/1072646857.db2.gz MBUSGHADNVOJPO-ZQIUZPCESA-N 0 0 446.547 -0.288 20 0 IBADRN CS(=O)(=O)CC1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000647025892 1072646714 /nfs/dbraw/zinc/64/67/14/1072646714.db2.gz NTGJUMZUADTLHW-AWEZNQCLSA-N 0 0 443.547 -0.030 20 0 IBADRN CS(=O)(=O)CC1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000647025893 1072646734 /nfs/dbraw/zinc/64/67/34/1072646734.db2.gz NTGJUMZUADTLHW-CQSZACIVSA-N 0 0 443.547 -0.030 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000647097008 1072646782 /nfs/dbraw/zinc/64/67/82/1072646782.db2.gz YVXQPDBRJTUONI-INIZCTEOSA-N 0 0 426.495 -0.702 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000647097009 1070889815 /nfs/dbraw/zinc/88/98/15/1070889815.db2.gz YVXQPDBRJTUONI-MRXNPFEDSA-N 0 0 426.495 -0.702 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000647245093 1072646886 /nfs/dbraw/zinc/64/68/86/1072646886.db2.gz PLPFRVWYHXDHMY-UHFFFAOYSA-N 0 0 431.474 -0.188 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(C)CC(=O)N2CCN(C)CC2)[C@@H](C)O)cc1 ZINC000647270027 1071143893 /nfs/dbraw/zinc/14/38/93/1071143893.db2.gz KEDJAQCZSJFNEL-CRAIPNDOSA-N 0 0 426.539 -0.745 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(C)CC(=O)N2CCN(C)CC2)[C@H](C)O)cc1 ZINC000647270028 1071144133 /nfs/dbraw/zinc/14/41/33/1071144133.db2.gz KEDJAQCZSJFNEL-MAUKXSAKSA-N 0 0 426.539 -0.745 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)CC(=O)N2CCN(C)CC2)[C@@H](C)O)cc1 ZINC000647270029 1072647152 /nfs/dbraw/zinc/64/71/52/1072647152.db2.gz KEDJAQCZSJFNEL-QAPCUYQASA-N 0 0 426.539 -0.745 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)CC(=O)N2CCN(C)CC2)[C@H](C)O)cc1 ZINC000647270030 1071143928 /nfs/dbraw/zinc/14/39/28/1071143928.db2.gz KEDJAQCZSJFNEL-YJBOKZPZSA-N 0 0 426.539 -0.745 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@H](NC(=O)CCN1C(=O)CCC1=O)C(F)(F)F ZINC000647612656 1071317507 /nfs/dbraw/zinc/31/75/07/1071317507.db2.gz ACMOLHMYDKACJU-JTQLQIEISA-N 0 0 434.371 -0.772 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@@H](NC(=O)CCN1C(=O)CCC1=O)C(F)(F)F ZINC000647612657 1071317375 /nfs/dbraw/zinc/31/73/75/1071317375.db2.gz ACMOLHMYDKACJU-SNVBAGLBSA-N 0 0 434.371 -0.772 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)NC[C@@H](NC(=O)c1cc(C(N)=O)cn1C)C(F)(F)F ZINC000647612827 1071317400 /nfs/dbraw/zinc/31/74/00/1071317400.db2.gz FREHUNKBKPVIJB-GFCCVEGCSA-N 0 0 428.371 -0.348 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)NC[C@H](NC(=O)c1cc(C(N)=O)cn1C)C(F)(F)F ZINC000647612828 1071317242 /nfs/dbraw/zinc/31/72/42/1071317242.db2.gz FREHUNKBKPVIJB-LBPRGKRZSA-N 0 0 428.371 -0.348 20 0 IBADRN C[C@H](C(=O)NC[C@@H](NC(=O)[C@@H](C)N1CCN(C)CC1)C(F)(F)F)N1CCN(C)CC1 ZINC000647613332 1072647237 /nfs/dbraw/zinc/64/72/37/1072647237.db2.gz SKSODUZGWJYWRA-BZUAXINKSA-N 0 0 436.523 -0.579 20 0 IBADRN C[C@H](C(=O)NC[C@H](NC(=O)[C@@H](C)N1CCN(C)CC1)C(F)(F)F)N1CCN(C)CC1 ZINC000647613333 1071317273 /nfs/dbraw/zinc/31/72/73/1071317273.db2.gz SKSODUZGWJYWRA-OAGGEKHMSA-N 0 0 436.523 -0.579 20 0 IBADRN C[C@H](C(=O)NC[C@@H](NC(=O)[C@H](C)N1CCN(C)CC1)C(F)(F)F)N1CCN(C)CC1 ZINC000647613334 1072647131 /nfs/dbraw/zinc/64/71/31/1072647131.db2.gz SKSODUZGWJYWRA-OWCLPIDISA-N 0 0 436.523 -0.579 20 0 IBADRN C[C@H](C(=O)NC[C@H](NC(=O)[C@H](C)N1CCN(C)CC1)C(F)(F)F)N1CCN(C)CC1 ZINC000647613335 1071317470 /nfs/dbraw/zinc/31/74/70/1071317470.db2.gz SKSODUZGWJYWRA-PMPSAXMXSA-N 0 0 436.523 -0.579 20 0 IBADRN CNc1nc(C)cc(C(=O)NC[C@@H](NC(=O)c2cc(C)nc(NC)n2)C(F)(F)F)n1 ZINC000647613490 1072647453 /nfs/dbraw/zinc/64/74/53/1072647453.db2.gz XHKPCJKWZACTMA-GFCCVEGCSA-N 0 0 426.403 -0.099 20 0 IBADRN CNc1nc(C)cc(C(=O)NC[C@H](NC(=O)c2cc(C)nc(NC)n2)C(F)(F)F)n1 ZINC000647613491 1071317602 /nfs/dbraw/zinc/31/76/02/1071317602.db2.gz XHKPCJKWZACTMA-LBPRGKRZSA-N 0 0 426.403 -0.099 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000647619351 1072647495 /nfs/dbraw/zinc/64/74/95/1072647495.db2.gz GRNRDXXOACOQTC-UHFFFAOYSA-N 0 0 432.462 -0.252 20 0 IBADRN Cc1nc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)c(C(=O)NCCO)n1C ZINC000647620254 1071318096 /nfs/dbraw/zinc/31/80/96/1071318096.db2.gz AZIPOUQGNQXFGP-HNNXBMFYSA-N 0 0 436.513 -0.898 20 0 IBADRN Cc1nc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)c(C(=O)NCCO)n1C ZINC000647620255 1072647461 /nfs/dbraw/zinc/64/74/61/1072647461.db2.gz AZIPOUQGNQXFGP-OAHLLOKOSA-N 0 0 436.513 -0.898 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000647620471 1072647196 /nfs/dbraw/zinc/64/71/96/1072647196.db2.gz NROHVQFMNJRWSZ-AWEZNQCLSA-N 0 0 433.556 -0.163 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000647620472 1071318208 /nfs/dbraw/zinc/31/82/08/1071318208.db2.gz NROHVQFMNJRWSZ-CQSZACIVSA-N 0 0 433.556 -0.163 20 0 IBADRN Cn1ccc(=NC(=O)CNC(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)[nH]1 ZINC000647622630 1072647177 /nfs/dbraw/zinc/64/71/77/1072647177.db2.gz PFWOPBZIVQOHKU-UHFFFAOYSA-N 0 0 435.384 -0.226 20 0 IBADRN Cn1ccc(=NC(=O)CNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[nH]1 ZINC000647622640 1071317935 /nfs/dbraw/zinc/31/79/35/1071317935.db2.gz PPMFZFRTDCXTNA-UHFFFAOYSA-N 0 0 435.506 -0.450 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC(=O)N=c3ccn(C)[nH]3)cc2)CC1 ZINC000647622757 1072647502 /nfs/dbraw/zinc/64/75/02/1072647502.db2.gz VAITXIFBYKCRKL-UHFFFAOYSA-N 0 0 448.505 -0.937 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N=c2ccn(C)[nH]2)cc1S(=O)(=O)N1CCOCC1 ZINC000647623364 1071318367 /nfs/dbraw/zinc/31/83/67/1071318367.db2.gz ILYGNPWUVFMAIM-UHFFFAOYSA-N 0 0 437.478 -0.760 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCCCC2)ccc1N1CCOCC1 ZINC000647626095 1072648164 /nfs/dbraw/zinc/64/81/64/1072648164.db2.gz JFTJEXJXHPNJPQ-KRWDZBQOSA-N 0 0 440.522 -0.726 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCCCC2)ccc1N1CCOCC1 ZINC000647626096 1072648103 /nfs/dbraw/zinc/64/81/03/1072648103.db2.gz JFTJEXJXHPNJPQ-QGZVFWFLSA-N 0 0 440.522 -0.726 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCCC1 ZINC000647626279 1072647378 /nfs/dbraw/zinc/64/73/78/1072647378.db2.gz MDUULKSDCKCMOQ-KRWDZBQOSA-N 0 0 440.522 -0.726 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCCC1 ZINC000647626280 1072647445 /nfs/dbraw/zinc/64/74/45/1072647445.db2.gz MDUULKSDCKCMOQ-QGZVFWFLSA-N 0 0 440.522 -0.726 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCCn2c(=O)ccn(C)c2=O)[C@@H](C)O)cc1 ZINC000647634520 1072648153 /nfs/dbraw/zinc/64/81/53/1072648153.db2.gz GYIIAHUKEDUIFG-PBHICJAKSA-N 0 0 438.506 -0.910 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCCn2c(=O)ccn(C)c2=O)[C@@H](C)O)cc1 ZINC000647634523 1072648146 /nfs/dbraw/zinc/64/81/46/1072648146.db2.gz GYIIAHUKEDUIFG-RHSMWYFYSA-N 0 0 438.506 -0.910 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCCn2c(=O)ccn(C)c2=O)[C@H](C)O)cc1 ZINC000647634525 1071318765 /nfs/dbraw/zinc/31/87/65/1071318765.db2.gz GYIIAHUKEDUIFG-WMLDXEAASA-N 0 0 438.506 -0.910 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCCn2c(=O)ccn(C)c2=O)[C@H](C)O)cc1 ZINC000647634526 1071318814 /nfs/dbraw/zinc/31/88/14/1071318814.db2.gz GYIIAHUKEDUIFG-YOEHRIQHSA-N 0 0 438.506 -0.910 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(c3nc(=N)n(C)[nH]3)CC2)[C@H](C)O)cc1 ZINC000647646297 1071320351 /nfs/dbraw/zinc/32/03/51/1071320351.db2.gz QIWGPEFXSGRIEW-BBRMVZONSA-N 0 0 436.538 -0.030 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(c3nc(=N)n(C)[nH]3)CC2)[C@@H](C)O)cc1 ZINC000647646298 1071320820 /nfs/dbraw/zinc/32/08/20/1071320820.db2.gz QIWGPEFXSGRIEW-CJNGLKHVSA-N 0 0 436.538 -0.030 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(c3nc(=N)n(C)[nH]3)CC2)[C@@H](C)O)cc1 ZINC000647646299 1071320914 /nfs/dbraw/zinc/32/09/14/1071320914.db2.gz QIWGPEFXSGRIEW-CZUORRHYSA-N 0 0 436.538 -0.030 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(c3nc(=N)n(C)[nH]3)CC2)[C@H](C)O)cc1 ZINC000647646300 1071321027 /nfs/dbraw/zinc/32/10/27/1071321027.db2.gz QIWGPEFXSGRIEW-XJKSGUPXSA-N 0 0 436.538 -0.030 20 0 IBADRN CNS(=O)(=O)Nc1ccc(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000647653584 1072648194 /nfs/dbraw/zinc/64/81/94/1072648194.db2.gz NVXWHKYDXISGMJ-AWEZNQCLSA-N 0 0 425.511 -0.318 20 0 IBADRN CNS(=O)(=O)Nc1ccc(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000647653585 1072648062 /nfs/dbraw/zinc/64/80/62/1072648062.db2.gz NVXWHKYDXISGMJ-CQSZACIVSA-N 0 0 425.511 -0.318 20 0 IBADRN CC(C)Cc1nnc(NC(=O)CN(C)C(=O)CNS(=O)(=O)c2cn(C)cn2)s1 ZINC000647655546 1072648171 /nfs/dbraw/zinc/64/81/71/1072648171.db2.gz IQKIBGGRLHXXQA-UHFFFAOYSA-N 0 0 429.528 -0.155 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N[C@@H](CO)CN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000647662292 1072648044 /nfs/dbraw/zinc/64/80/44/1072648044.db2.gz UMGBPNMORIPMQL-APWZRJJASA-N 0 0 427.523 -0.749 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N[C@H](CO)CN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000647662293 1072648158 /nfs/dbraw/zinc/64/81/58/1072648158.db2.gz UMGBPNMORIPMQL-LPHOPBHVSA-N 0 0 427.523 -0.749 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N[C@H](CO)CN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000647662294 1071323872 /nfs/dbraw/zinc/32/38/72/1071323872.db2.gz UMGBPNMORIPMQL-QFBILLFUSA-N 0 0 427.523 -0.749 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N[C@@H](CO)CN1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC000647662295 1071323794 /nfs/dbraw/zinc/32/37/94/1071323794.db2.gz UMGBPNMORIPMQL-VQIMIIECSA-N 0 0 427.523 -0.749 20 0 IBADRN O=C(NC[C@@H]1C[NH+]2CCN1CCC2)[C@@H]1CCCC[C@H]1C(=O)NC[C@H]1C[N@@H+]2CCN1CCC2 ZINC000647677991 1072648117 /nfs/dbraw/zinc/64/81/17/1072648117.db2.gz LPBQCEJRYZBQPI-CIAFKFPVSA-N 0 0 446.640 -0.195 20 0 IBADRN O=C(NC[C@H]1C[NH+]2CCN1CCC2)[C@@H]1CCCC[C@H]1C(=O)NC[C@H]1C[N@@H+]2CCN1CCC2 ZINC000647677992 1072647930 /nfs/dbraw/zinc/64/79/30/1072647930.db2.gz LPBQCEJRYZBQPI-FNAHDJPLSA-N 0 0 446.640 -0.195 20 0 IBADRN O=C(NC[C@@H]1C[NH+]2CCN1CCC2)[C@@H]1CCCC[C@H]1C(=O)NC[C@@H]1C[N@@H+]2CCN1CCC2 ZINC000647677993 1072647994 /nfs/dbraw/zinc/64/79/94/1072647994.db2.gz LPBQCEJRYZBQPI-GXRSIYKFSA-N 0 0 446.640 -0.195 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000647679826 1072648025 /nfs/dbraw/zinc/64/80/25/1072648025.db2.gz ZWTJLCKUBVZUFV-UHFFFAOYSA-N 0 0 441.506 -0.255 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H]2CC(=O)N(C)C2=O)c1C ZINC000647682201 1071350583 /nfs/dbraw/zinc/35/05/83/1071350583.db2.gz XLRHMBJKHMZHRR-JYJNAYRXSA-N 0 0 437.518 -0.082 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N(C)C2=O)c1C ZINC000647682202 1071350706 /nfs/dbraw/zinc/35/07/06/1071350706.db2.gz XLRHMBJKHMZHRR-OAGGEKHMSA-N 0 0 437.518 -0.082 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CC(=O)N(C)C2=O)c1C ZINC000647682203 1071350636 /nfs/dbraw/zinc/35/06/36/1071350636.db2.gz XLRHMBJKHMZHRR-PMPSAXMXSA-N 0 0 437.518 -0.082 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N(C)C2=O)c1C ZINC000647682204 1072647979 /nfs/dbraw/zinc/64/79/79/1072647979.db2.gz XLRHMBJKHMZHRR-XHSDSOJGSA-N 0 0 437.518 -0.082 20 0 IBADRN CN1C(=O)CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1=O ZINC000647683695 1072648188 /nfs/dbraw/zinc/64/81/88/1072648188.db2.gz NYYFQSUSJJUOQO-UHFFFAOYSA-N 0 0 425.463 -0.266 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC(=O)N(C)C(=O)C2)c1C ZINC000647683735 1072647876 /nfs/dbraw/zinc/64/78/76/1072647876.db2.gz SPGPOXTYZFLUHU-CVEARBPZSA-N 0 0 437.518 -0.129 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC(=O)N(C)C(=O)C2)c1C ZINC000647683736 1072648520 /nfs/dbraw/zinc/64/85/20/1072648520.db2.gz SPGPOXTYZFLUHU-HOTGVXAUSA-N 0 0 437.518 -0.129 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCCOCCS(N)(=O)=O)(c2ccccc2)CC1 ZINC000647698800 1071414232 /nfs/dbraw/zinc/41/42/32/1071414232.db2.gz RMWLPZCAASDIHV-UHFFFAOYSA-N 0 0 447.579 -0.209 20 0 IBADRN CNc1nc(C)cc(C(=O)NCC2(CNC(=O)c3cc(C)nc(NC)n3)CCOCC2)n1 ZINC000647711341 1071421830 /nfs/dbraw/zinc/42/18/30/1071421830.db2.gz ZYLAJEHPYKZESE-UHFFFAOYSA-N 0 0 442.524 -0.233 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)C(=O)N3CCC[C@H](C)C3)CC2)C1 ZINC000647714906 1072648611 /nfs/dbraw/zinc/64/86/11/1072648611.db2.gz HPRCDWCYMGRTEJ-MSOLQXFVSA-N 0 0 435.569 -0.236 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)C(=O)N3CCC[C@@H](C)C3)CC2)C1 ZINC000647714907 1072648563 /nfs/dbraw/zinc/64/85/63/1072648563.db2.gz HPRCDWCYMGRTEJ-QZTJIDSGSA-N 0 0 435.569 -0.236 20 0 IBADRN C[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)C(=O)N3CCC[C@H](C)C3)CC2)C1 ZINC000647714908 1071425407 /nfs/dbraw/zinc/42/54/07/1071425407.db2.gz HPRCDWCYMGRTEJ-ROUUACIJSA-N 0 0 435.569 -0.236 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)N2CCN(CCNC(=O)C(=O)N3CCC[C@H](C)C3)CC2)C1 ZINC000647714909 1071425459 /nfs/dbraw/zinc/42/54/59/1071425459.db2.gz HPRCDWCYMGRTEJ-ZWKOTPCHSA-N 0 0 435.569 -0.236 20 0 IBADRN Cc1ccn(CC(=O)NCCN2CCN(C(=O)Cn3ccc(C)cc3=O)CC2)c(=O)c1 ZINC000647715096 1071425488 /nfs/dbraw/zinc/42/54/88/1071425488.db2.gz NRDNAJQBALAZPY-UHFFFAOYSA-N 0 0 427.505 -0.413 20 0 IBADRN CC(C)(C)[C@@H](NC(N)=O)C(=O)NCCN1CCN(C(=O)[C@H](NC(N)=O)C(C)(C)C)CC1 ZINC000647715268 1071425655 /nfs/dbraw/zinc/42/56/55/1071425655.db2.gz TWSSCIKQPMJISK-KBPBESRZSA-N 0 0 441.577 -0.587 20 0 IBADRN CC(C)(C)[C@@H](NC(N)=O)C(=O)N1CCN(CCNC(=O)[C@@H](NC(N)=O)C(C)(C)C)CC1 ZINC000647715269 1071425591 /nfs/dbraw/zinc/42/55/91/1071425591.db2.gz TWSSCIKQPMJISK-KGLIPLIRSA-N 0 0 441.577 -0.587 20 0 IBADRN CC(C)(C)[C@@H](NC(N)=O)C(=O)NCCN1CCN(C(=O)[C@@H](NC(N)=O)C(C)(C)C)CC1 ZINC000647715270 1071425503 /nfs/dbraw/zinc/42/55/03/1071425503.db2.gz TWSSCIKQPMJISK-UONOGXRCSA-N 0 0 441.577 -0.587 20 0 IBADRN CC(C)(C)[C@H](NC(N)=O)C(=O)NCCN1CCN(C(=O)[C@@H](NC(N)=O)C(C)(C)C)CC1 ZINC000647715271 1071425427 /nfs/dbraw/zinc/42/54/27/1071425427.db2.gz TWSSCIKQPMJISK-ZIAGYGMSSA-N 0 0 441.577 -0.587 20 0 IBADRN CC(C)(C(=O)NCCN1CCN(C(=O)C(C)(C)N2CCOCC2)CC1)N1CCOCC1 ZINC000647715327 1071425319 /nfs/dbraw/zinc/42/53/19/1071425319.db2.gz XIUYNZXOMGLWQR-UHFFFAOYSA-N 0 0 439.601 -0.532 20 0 IBADRN CC1CCN(C(=O)C(=O)NCCN2CCN(C(=O)C(=O)N3CCC(C)CC3)CC2)CC1 ZINC000647715334 1071425349 /nfs/dbraw/zinc/42/53/49/1071425349.db2.gz XJQOWTSNYOZXEY-UHFFFAOYSA-N 0 0 435.569 -0.236 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCCc3cc(=O)[nH][nH]3)cc2)CC1 ZINC000647717632 1071428731 /nfs/dbraw/zinc/42/87/31/1071428731.db2.gz MTBXMOAHBVLPPE-UHFFFAOYSA-N 0 0 435.506 -0.075 20 0 IBADRN C[C@]1(NC(=O)CCC(=O)N2CCOCC2)CCN(C(=O)CCC(=O)N2CCOCC2)C1 ZINC000647729281 1071443058 /nfs/dbraw/zinc/44/30/58/1071443058.db2.gz SXZSTOUDIUHEPF-NRFANRHFSA-N 0 0 438.525 -0.628 20 0 IBADRN C[C@@]1(NC(=O)CCC(=O)N2CCOCC2)CCN(C(=O)CCC(=O)N2CCOCC2)C1 ZINC000647729282 1071442985 /nfs/dbraw/zinc/44/29/85/1071442985.db2.gz SXZSTOUDIUHEPF-OAQYLSRUSA-N 0 0 438.525 -0.628 20 0 IBADRN Nc1n[nH]c(C2CCN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)n1 ZINC000647730957 1071443064 /nfs/dbraw/zinc/44/30/64/1071443064.db2.gz DVLUREXVJHHYQQ-GFCCVEGCSA-N 0 0 433.494 -0.376 20 0 IBADRN Nc1n[nH]c(C2CCN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)n1 ZINC000647730958 1071443033 /nfs/dbraw/zinc/44/30/33/1071443033.db2.gz DVLUREXVJHHYQQ-LBPRGKRZSA-N 0 0 433.494 -0.376 20 0 IBADRN Nc1n[nH]c(C2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)n1 ZINC000647733356 1071445296 /nfs/dbraw/zinc/44/52/96/1071445296.db2.gz XNEISQMBQYNZNO-UHFFFAOYSA-N 0 0 433.494 -0.642 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000647742676 1071452930 /nfs/dbraw/zinc/45/29/30/1071452930.db2.gz HUTLCPXXAHVOSB-UHFFFAOYSA-N 0 0 434.541 -0.071 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCc3nc(N)n[nH]3)CC2)cc1OC ZINC000647748289 1071459204 /nfs/dbraw/zinc/45/92/04/1071459204.db2.gz BYMSOMIBSOIWAZ-UHFFFAOYSA-N 0 0 438.510 -0.006 20 0 IBADRN Nc1n[nH]c(CCNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000647749918 1071462949 /nfs/dbraw/zinc/46/29/49/1071462949.db2.gz QRAKVVSCXWLEKH-UHFFFAOYSA-N 0 0 435.510 -0.811 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCc2nc(N)n[nH]2)c1C ZINC000647750264 1071462690 /nfs/dbraw/zinc/46/26/90/1071462690.db2.gz HQYXOTWSYZBTLY-CABCVRRESA-N 0 0 436.538 -0.066 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCc2nc(N)n[nH]2)c1C ZINC000647750265 1071462775 /nfs/dbraw/zinc/46/27/75/1071462775.db2.gz HQYXOTWSYZBTLY-GJZGRUSLSA-N 0 0 436.538 -0.066 20 0 IBADRN CCNC(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000647753549 1071464977 /nfs/dbraw/zinc/46/49/77/1071464977.db2.gz NQLHHMFHAJSDRT-LLVKDONJSA-N 0 0 438.428 -0.130 20 0 IBADRN CCNC(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000647753550 1071465087 /nfs/dbraw/zinc/46/50/87/1071465087.db2.gz NQLHHMFHAJSDRT-NSHDSACASA-N 0 0 438.428 -0.130 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N(CCN1CCOCC1)[C@H]1CCSC1 ZINC000647764941 1071480591 /nfs/dbraw/zinc/48/05/91/1071480591.db2.gz BURIGMCDJGTYKL-MOPGFXCFSA-N 0 0 440.610 -0.167 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N(CCN1CCOCC1)[C@H]1CCSC1 ZINC000647764942 1071480805 /nfs/dbraw/zinc/48/08/05/1071480805.db2.gz BURIGMCDJGTYKL-OALUTQOASA-N 0 0 440.610 -0.167 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N(CCN1CCOCC1)[C@@H]1CCSC1 ZINC000647764943 1071480628 /nfs/dbraw/zinc/48/06/28/1071480628.db2.gz BURIGMCDJGTYKL-RBUKOAKNSA-N 0 0 440.610 -0.167 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N(CCN1CCOCC1)[C@@H]1CCSC1 ZINC000647764944 1071480505 /nfs/dbraw/zinc/48/05/05/1071480505.db2.gz BURIGMCDJGTYKL-RTBURBONSA-N 0 0 440.610 -0.167 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCn2nnc(CO)c2C1 ZINC000647767793 1071484668 /nfs/dbraw/zinc/48/46/68/1071484668.db2.gz MVTSFMMYMARVHO-UHFFFAOYSA-N 0 0 437.478 -0.358 20 0 IBADRN C[C@H](CCCCNC(=O)C(C)(C)NS(C)(=O)=O)NC(=O)C(C)(C)NS(C)(=O)=O ZINC000647778215 1072648624 /nfs/dbraw/zinc/64/86/24/1072648624.db2.gz VEAZCOIKVLTVAN-GFCCVEGCSA-N 0 0 442.604 -0.567 20 0 IBADRN C[C@@H](CCCCNC(=O)C(C)(C)NS(C)(=O)=O)NC(=O)C(C)(C)NS(C)(=O)=O ZINC000647778216 1072648491 /nfs/dbraw/zinc/64/84/91/1072648491.db2.gz VEAZCOIKVLTVAN-LBPRGKRZSA-N 0 0 442.604 -0.567 20 0 IBADRN CN(C[C@H](O)CNC(=O)c1ccc(-n2cnnn2)cc1)C(=O)c1ccc(-n2cnnn2)cc1 ZINC000647809599 1072648529 /nfs/dbraw/zinc/64/85/29/1072648529.db2.gz BZHQIMTYJIZNGP-GOSISDBHSA-N 0 0 448.447 -0.499 20 0 IBADRN CN(C[C@@H](O)CNC(=O)c1ccc(-n2cnnn2)cc1)C(=O)c1ccc(-n2cnnn2)cc1 ZINC000647809600 1072648557 /nfs/dbraw/zinc/64/85/57/1072648557.db2.gz BZHQIMTYJIZNGP-SFHVURJKSA-N 0 0 448.447 -0.499 20 0 IBADRN CN(C[C@H](O)CNC(=O)c1cncc(-n2ccnn2)c1)C(=O)c1cncc(-n2ccnn2)c1 ZINC000647809949 1072649191 /nfs/dbraw/zinc/64/91/91/1072649191.db2.gz CWDKTWPECCFSRI-GOSISDBHSA-N 0 0 448.447 -0.499 20 0 IBADRN CN(C[C@@H](O)CNC(=O)c1cncc(-n2ccnn2)c1)C(=O)c1cncc(-n2ccnn2)c1 ZINC000647809950 1072649069 /nfs/dbraw/zinc/64/90/69/1072649069.db2.gz CWDKTWPECCFSRI-SFHVURJKSA-N 0 0 448.447 -0.499 20 0 IBADRN CN(C[C@H](O)CNC(=O)CS(=O)(=O)C(C)(C)C)C(=O)CS(=O)(=O)C(C)(C)C ZINC000647809975 1072649232 /nfs/dbraw/zinc/64/92/32/1072649232.db2.gz DIOIOCFPZOQKSG-GFCCVEGCSA-N 0 0 428.573 -0.652 20 0 IBADRN CN(C[C@@H](O)CNC(=O)CS(=O)(=O)C(C)(C)C)C(=O)CS(=O)(=O)C(C)(C)C ZINC000647809976 1072649122 /nfs/dbraw/zinc/64/91/22/1072649122.db2.gz DIOIOCFPZOQKSG-LBPRGKRZSA-N 0 0 428.573 -0.652 20 0 IBADRN C[C@H](NC(=O)c1ccoc1)C(=O)NC[C@@H](O)CN(C)C(=O)[C@H](C)NC(=O)c1ccoc1 ZINC000647809979 1072649163 /nfs/dbraw/zinc/64/91/63/1072649163.db2.gz DJHJSMNVCWQLIA-HEHGZKQESA-N 0 0 434.449 -0.255 20 0 IBADRN C[C@@H](NC(=O)c1ccoc1)C(=O)N(C)C[C@H](O)CNC(=O)[C@H](C)NC(=O)c1ccoc1 ZINC000647809980 1072649057 /nfs/dbraw/zinc/64/90/57/1072649057.db2.gz DJHJSMNVCWQLIA-WOSRLPQWSA-N 0 0 434.449 -0.255 20 0 IBADRN C[C@H](NC(=O)c1ccoc1)C(=O)NC[C@H](O)CN(C)C(=O)[C@H](C)NC(=O)c1ccoc1 ZINC000647809981 1072649184 /nfs/dbraw/zinc/64/91/84/1072649184.db2.gz DJHJSMNVCWQLIA-XEZPLFJOSA-N 0 0 434.449 -0.255 20 0 IBADRN C[C@@H](NC(=O)c1ccoc1)C(=O)N(C)C[C@@H](O)CNC(=O)[C@H](C)NC(=O)c1ccoc1 ZINC000647809982 1072649078 /nfs/dbraw/zinc/64/90/78/1072649078.db2.gz DJHJSMNVCWQLIA-ZENOOKHLSA-N 0 0 434.449 -0.255 20 0 IBADRN CN(C[C@H](O)CNC(=O)CNC(=O)c1ccccc1)C(=O)CNC(=O)c1ccccc1 ZINC000647810233 1072648590 /nfs/dbraw/zinc/64/85/90/1072648590.db2.gz KULRKMCMGFOCHP-GOSISDBHSA-N 0 0 426.473 -0.218 20 0 IBADRN CN(C[C@@H](O)CNC(=O)CNC(=O)c1ccccc1)C(=O)CNC(=O)c1ccccc1 ZINC000647810234 1072648416 /nfs/dbraw/zinc/64/84/16/1072648416.db2.gz KULRKMCMGFOCHP-SFHVURJKSA-N 0 0 426.473 -0.218 20 0 IBADRN CN(C[C@H](O)CNC(=O)CNC(=O)c1cccs1)C(=O)CNC(=O)c1cccs1 ZINC000647810335 1071628490 /nfs/dbraw/zinc/62/84/90/1071628490.db2.gz MXDUGHSPWGKHAO-GFCCVEGCSA-N 0 0 438.531 -0.095 20 0 IBADRN CN(C[C@@H](O)CNC(=O)CNC(=O)c1cccs1)C(=O)CNC(=O)c1cccs1 ZINC000647810336 1072649261 /nfs/dbraw/zinc/64/92/61/1072649261.db2.gz MXDUGHSPWGKHAO-LBPRGKRZSA-N 0 0 438.531 -0.095 20 0 IBADRN CN(C[C@H](O)CNC(=O)c1cccc(-n2cnnn2)c1)C(=O)c1cccc(-n2cnnn2)c1 ZINC000647810371 1072648596 /nfs/dbraw/zinc/64/85/96/1072648596.db2.gz NNRLTNHTNYUPPP-GOSISDBHSA-N 0 0 448.447 -0.499 20 0 IBADRN CN(C[C@@H](O)CNC(=O)c1cccc(-n2cnnn2)c1)C(=O)c1cccc(-n2cnnn2)c1 ZINC000647810372 1072648617 /nfs/dbraw/zinc/64/86/17/1072648617.db2.gz NNRLTNHTNYUPPP-SFHVURJKSA-N 0 0 448.447 -0.499 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)NC[C@H](O)CN(C)C(=O)[C@H]1CSCN1C(=O)CC ZINC000647810451 1072648541 /nfs/dbraw/zinc/64/85/41/1072648541.db2.gz OXZOZMRDGUNDLO-BFHYXJOUSA-N 0 0 446.595 -0.455 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)N(C)C[C@H](O)CNC(=O)[C@H]1CSCN1C(=O)CC ZINC000647810452 1072648475 /nfs/dbraw/zinc/64/84/75/1072648475.db2.gz OXZOZMRDGUNDLO-MCIONIFRSA-N 0 0 446.595 -0.455 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)NC[C@@H](O)CN(C)C(=O)[C@H]1CSCN1C(=O)CC ZINC000647810453 1072648602 /nfs/dbraw/zinc/64/86/02/1072648602.db2.gz OXZOZMRDGUNDLO-MGPQQGTHSA-N 0 0 446.595 -0.455 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)N(C)C[C@@H](O)CNC(=O)[C@H]1CSCN1C(=O)CC ZINC000647810454 1072648551 /nfs/dbraw/zinc/64/85/51/1072648551.db2.gz OXZOZMRDGUNDLO-MJBXVCDLSA-N 0 0 446.595 -0.455 20 0 IBADRN CN(C[C@@H](O)CNC(=O)c1ccccc1-n1cnnn1)C(=O)c1ccccc1-n1cnnn1 ZINC000647810522 1072648561 /nfs/dbraw/zinc/64/85/61/1072648561.db2.gz PVKPNLJMLJMADX-AWEZNQCLSA-N 0 0 448.447 -0.499 20 0 IBADRN CN(C[C@H](O)CNC(=O)c1ccccc1-n1cnnn1)C(=O)c1ccccc1-n1cnnn1 ZINC000647810523 1072648457 /nfs/dbraw/zinc/64/84/57/1072648457.db2.gz PVKPNLJMLJMADX-CQSZACIVSA-N 0 0 448.447 -0.499 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)NC[C@H](O)CN(C)C(=O)COc1c(C)nn(C)c1C ZINC000647810961 1072649239 /nfs/dbraw/zinc/64/92/39/1072649239.db2.gz YYLSTLAXWASLBW-INIZCTEOSA-N 0 0 436.513 -0.219 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)NC[C@@H](O)CN(C)C(=O)COc1c(C)nn(C)c1C ZINC000647810962 1072649221 /nfs/dbraw/zinc/64/92/21/1072649221.db2.gz YYLSTLAXWASLBW-MRXNPFEDSA-N 0 0 436.513 -0.219 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NCC2CCN(CC(F)F)CC2)[C@H](O)[C@@H]1O ZINC000647842786 1072649244 /nfs/dbraw/zinc/64/92/44/1072649244.db2.gz KUJBWYVITMUETN-XKLVTHTNSA-N 0 0 428.440 -0.173 20 0 IBADRN NS(=O)(=O)c1ccc2[nH]c(NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)nc2c1 ZINC000647857403 1072649170 /nfs/dbraw/zinc/64/91/70/1072649170.db2.gz QTPCQWKPZJMGHN-UHFFFAOYSA-N 0 0 428.474 -0.184 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](Nc2cnc(N[C@H]3CCCN(S(C)(=O)=O)C3)cn2)C1 ZINC000647858671 1072649129 /nfs/dbraw/zinc/64/91/29/1072649129.db2.gz BMTGVWDVLCQTFQ-KBPBESRZSA-N 0 0 432.572 -0.430 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](Nc2cnc(N[C@H]3CCCN(S(C)(=O)=O)C3)cn2)C1 ZINC000647858672 1072649101 /nfs/dbraw/zinc/64/91/01/1072649101.db2.gz BMTGVWDVLCQTFQ-OKILXGFUSA-N 0 0 432.572 -0.430 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](Nc2cnc(N[C@@H]3CCCN(S(C)(=O)=O)C3)cn2)C1 ZINC000647858673 1072649739 /nfs/dbraw/zinc/64/97/39/1072649739.db2.gz BMTGVWDVLCQTFQ-ZIAGYGMSSA-N 0 0 432.572 -0.430 20 0 IBADRN NS(=O)(=O)c1ccc2[nH]c(N3CCN(S(=O)(=O)Cc4ccon4)CC3)nc2c1 ZINC000647870380 1071641428 /nfs/dbraw/zinc/64/14/28/1071641428.db2.gz QSJBCZZYXOIFOZ-UHFFFAOYSA-N 0 0 426.480 -0.150 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)cc2n(C)c1=O ZINC000647885065 1072649601 /nfs/dbraw/zinc/64/96/01/1072649601.db2.gz BKTNKDTXJSIVNK-UHFFFAOYSA-N 0 0 440.507 -0.705 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)cc2oc1=O ZINC000647885189 1071643788 /nfs/dbraw/zinc/64/37/88/1071643788.db2.gz IVJQJKOHJUDCMC-UHFFFAOYSA-N 0 0 427.464 -0.450 20 0 IBADRN O=S(=O)(c1cnn(CC(F)(F)F)c1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000647885373 1072649671 /nfs/dbraw/zinc/64/96/71/1072649671.db2.gz OXWOMBUYEMZUHD-UHFFFAOYSA-N 0 0 428.418 -0.136 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC(CN2CCOCC2)C1 ZINC000647887292 1071645715 /nfs/dbraw/zinc/64/57/15/1071645715.db2.gz PXQVGYYJILTUET-UHFFFAOYSA-N 0 0 445.563 -0.340 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(CN3CCOCC3)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000647887691 1072649743 /nfs/dbraw/zinc/64/97/43/1072649743.db2.gz GYLXVOQQNGYCMS-IBGZPJMESA-N 0 0 438.506 -0.298 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(CN3CCOCC3)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000647887692 1072649677 /nfs/dbraw/zinc/64/96/77/1072649677.db2.gz GYLXVOQQNGYCMS-LJQANCHMSA-N 0 0 438.506 -0.298 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2c[nH]nc2C(F)(F)F)CC1)N1CCOCC1 ZINC000647893158 1072649708 /nfs/dbraw/zinc/64/97/08/1072649708.db2.gz IMGVQEUKYOIZKB-UHFFFAOYSA-N 0 0 425.389 -0.880 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)c3cnnn3-c3ccccc3)CC2)no1 ZINC000647893272 1072649773 /nfs/dbraw/zinc/64/97/73/1072649773.db2.gz MXDUVZKDIJPCHE-UHFFFAOYSA-N 0 0 431.478 -0.069 20 0 IBADRN Cc1cc2cc(S(=O)(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)ccc2[nH]c1=O ZINC000647893320 1072649700 /nfs/dbraw/zinc/64/97/00/1072649700.db2.gz PRBFATPTEHDYLJ-UHFFFAOYSA-N 0 0 448.501 -0.472 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2cccnc2OCC(F)F)CC1)N1CCOCC1 ZINC000647893375 1072649762 /nfs/dbraw/zinc/64/97/62/1072649762.db2.gz SGWGDBJSMWEFPV-UHFFFAOYSA-N 0 0 448.448 -0.583 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]nc3C(F)(F)F)CC2)CC1 ZINC000647895442 1072649718 /nfs/dbraw/zinc/64/97/18/1072649718.db2.gz UOKFCPRPTYYTEH-UHFFFAOYSA-N 0 0 446.477 -0.773 20 0 IBADRN NS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)N2CCN(c3cccc(Cl)c3)CC2)C1=O ZINC000647913630 1071698819 /nfs/dbraw/zinc/69/88/19/1071698819.db2.gz VRZGMVOINXBENT-AWEZNQCLSA-N 0 0 443.913 -0.412 20 0 IBADRN NS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)N2CCN(c3cccc(Cl)c3)CC2)C1=O ZINC000647913631 1072649617 /nfs/dbraw/zinc/64/96/17/1072649617.db2.gz VRZGMVOINXBENT-CQSZACIVSA-N 0 0 443.913 -0.412 20 0 IBADRN Nc1n[nH]c(C2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000647931686 1071730076 /nfs/dbraw/zinc/73/00/76/1071730076.db2.gz ABOQTXFLHQAGQD-CABCVRRESA-N 0 0 426.543 -0.409 20 0 IBADRN Nc1n[nH]c(C2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000647931687 1072649758 /nfs/dbraw/zinc/64/97/58/1072649758.db2.gz ABOQTXFLHQAGQD-GJZGRUSLSA-N 0 0 426.543 -0.409 20 0 IBADRN Nc1n[nH]c(C2CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000647931688 1072649747 /nfs/dbraw/zinc/64/97/47/1072649747.db2.gz ABOQTXFLHQAGQD-HUUCEWRRSA-N 0 0 426.543 -0.409 20 0 IBADRN Nc1n[nH]c(C2CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000647931689 1072649665 /nfs/dbraw/zinc/64/96/65/1072649665.db2.gz ABOQTXFLHQAGQD-LSDHHAIUSA-N 0 0 426.543 -0.409 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NC(C)(CO)CO ZINC000647998520 1072322994 /nfs/dbraw/zinc/32/29/94/1072322994.db2.gz MWZSPDYTMHVDMW-UHFFFAOYSA-N 0 0 429.495 -0.332 20 0 IBADRN CC[C@](C)(CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000647998588 1072323702 /nfs/dbraw/zinc/32/37/02/1072323702.db2.gz CGUWKENSSBNYKE-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN CC[C@@](C)(CO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000647998589 1072323569 /nfs/dbraw/zinc/32/35/69/1072323569.db2.gz CGUWKENSSBNYKE-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN O=C(CCc1nc(-c2ccncc2)no1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000648023672 1072649630 /nfs/dbraw/zinc/64/96/30/1072649630.db2.gz JNSQCHWVYXGXJR-UHFFFAOYSA-N 0 0 428.449 -0.406 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(Cc3cn4ccccc4n3)CC2)CC1 ZINC000648054474 1072649692 /nfs/dbraw/zinc/64/96/92/1072649692.db2.gz ZBWPHVWWBYHHEY-UHFFFAOYSA-N 0 0 427.553 -0.316 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)cc1S(N)(=O)=O ZINC000648068463 1072649753 /nfs/dbraw/zinc/64/97/53/1072649753.db2.gz CJXDWSXGOUKUGH-UHFFFAOYSA-N 0 0 439.538 -0.943 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(CC(=O)N2CCN(Cc3cccs3)CC2)CC1 ZINC000648068477 1072649645 /nfs/dbraw/zinc/64/96/45/1072649645.db2.gz NZMLPVQROAWLIJ-UHFFFAOYSA-N 0 0 435.594 -0.131 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000648068490 1072649687 /nfs/dbraw/zinc/64/96/87/1072649687.db2.gz YZDHZYGUADHUJG-UHFFFAOYSA-N 0 0 431.537 -0.220 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000648096565 1072650359 /nfs/dbraw/zinc/65/03/59/1072650359.db2.gz HAXXHCYJPRYGGX-UHFFFAOYSA-N 0 0 444.491 -0.349 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCN(CCO)CC2)c1OC ZINC000648102962 1072650339 /nfs/dbraw/zinc/65/03/39/1072650339.db2.gz FMUQCXQYXXZDDM-UHFFFAOYSA-N 0 0 443.522 -0.525 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(CCO)CC2)c1 ZINC000648102992 1072650315 /nfs/dbraw/zinc/65/03/15/1072650315.db2.gz KUECGBSAAPIJPU-UHFFFAOYSA-N 0 0 426.539 -0.476 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CCO)CC2)c(C)c1 ZINC000648103013 1072650421 /nfs/dbraw/zinc/65/04/21/1072650421.db2.gz OZPYDIPGZOTOJD-MSOLQXFVSA-N 0 0 425.551 -0.128 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CCO)CC2)c(C)c1 ZINC000648103014 1072650446 /nfs/dbraw/zinc/65/04/46/1072650446.db2.gz OZPYDIPGZOTOJD-ROUUACIJSA-N 0 0 425.551 -0.128 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC[C@@H](O)C1 ZINC000648131032 1072650337 /nfs/dbraw/zinc/65/03/37/1072650337.db2.gz VLSDRZRXOACAJR-OCCSQVGLSA-N 0 0 427.479 -0.647 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC[C@@H](O)C1 ZINC000648131033 1072650285 /nfs/dbraw/zinc/65/02/85/1072650285.db2.gz VLSDRZRXOACAJR-TZMCWYRMSA-N 0 0 427.479 -0.647 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000648144863 1072650412 /nfs/dbraw/zinc/65/04/12/1072650412.db2.gz ACFAOFFINVIIMP-CVEARBPZSA-N 0 0 427.523 -0.385 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000648144864 1072650443 /nfs/dbraw/zinc/65/04/43/1072650443.db2.gz ACFAOFFINVIIMP-HOTGVXAUSA-N 0 0 427.523 -0.385 20 0 IBADRN COCCNC(=O)CNC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000648144967 1070837155 /nfs/dbraw/zinc/83/71/55/1070837155.db2.gz RPHTWKAUVMWVGN-DOTOQJQBSA-N 0 0 441.550 -0.013 20 0 IBADRN COCCNC(=O)CNC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000648144968 1070837489 /nfs/dbraw/zinc/83/74/89/1070837489.db2.gz RPHTWKAUVMWVGN-NVXWUHKLSA-N 0 0 441.550 -0.013 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000648144969 1070837715 /nfs/dbraw/zinc/83/77/15/1070837715.db2.gz RPHTWKAUVMWVGN-RDJZCZTQSA-N 0 0 441.550 -0.013 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000648144970 1072650349 /nfs/dbraw/zinc/65/03/49/1072650349.db2.gz RPHTWKAUVMWVGN-WBVHZDCISA-N 0 0 441.550 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(S(C)(=O)=O)CC2)cc1C ZINC000648178247 1072650406 /nfs/dbraw/zinc/65/04/06/1072650406.db2.gz IUYHXVGKICVBHX-RDJZCZTQSA-N 0 0 445.563 -0.469 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(S(C)(=O)=O)CC2)cc1C ZINC000648178248 1071195914 /nfs/dbraw/zinc/19/59/14/1071195914.db2.gz IUYHXVGKICVBHX-WBVHZDCISA-N 0 0 445.563 -0.469 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000648178379 1071198444 /nfs/dbraw/zinc/19/84/44/1071198444.db2.gz XEIGOQGTOUVFAV-ZFWWWQNUSA-N 0 0 435.499 -0.947 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H](C)C(N)=O ZINC000648314906 1072650305 /nfs/dbraw/zinc/65/03/05/1072650305.db2.gz TVWJDKZQMZYEHL-CJNGLKHVSA-N 0 0 440.522 -0.548 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@H](C)C(N)=O ZINC000648314907 1072650353 /nfs/dbraw/zinc/65/03/53/1072650353.db2.gz TVWJDKZQMZYEHL-CZUORRHYSA-N 0 0 440.522 -0.548 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CCC2(CC1)NC(=O)NC2=O ZINC000648410282 1072294098 /nfs/dbraw/zinc/29/40/98/1072294098.db2.gz LCBIGFNSAGNIIN-UHFFFAOYSA-N 0 0 445.295 -0.072 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(Cl)c(OCC(N)=O)c(OC)c2)CC1 ZINC000648413300 1072500452 /nfs/dbraw/zinc/50/04/52/1072500452.db2.gz VVHKMTVSXOXFMG-UHFFFAOYSA-N 0 0 442.900 -0.267 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000648418433 1072524065 /nfs/dbraw/zinc/52/40/65/1072524065.db2.gz HLJZYOKMPADYRP-HOCLYGCPSA-N 0 0 449.526 -0.510 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000648418434 1072524038 /nfs/dbraw/zinc/52/40/38/1072524038.db2.gz HLJZYOKMPADYRP-ZBFHGGJFSA-N 0 0 449.526 -0.510 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(NS(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000648418445 1072650397 /nfs/dbraw/zinc/65/03/97/1072650397.db2.gz LDMKMVCRGRYNIW-BBRMVZONSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(NS(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000648418446 1072650401 /nfs/dbraw/zinc/65/04/01/1072650401.db2.gz LDMKMVCRGRYNIW-CJNGLKHVSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(NS(C)(=O)=O)CC2)[C@@H](C)O)cc1 ZINC000648418447 1072650300 /nfs/dbraw/zinc/65/03/00/1072650300.db2.gz LDMKMVCRGRYNIW-CZUORRHYSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(NS(C)(=O)=O)CC2)[C@H](C)O)cc1 ZINC000648418448 1072650292 /nfs/dbraw/zinc/65/02/92/1072650292.db2.gz LDMKMVCRGRYNIW-XJKSGUPXSA-N 0 0 433.552 -0.437 20 0 IBADRN CSc1nc(C)c(CCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(=O)[nH]1 ZINC000648444762 1072868359 /nfs/dbraw/zinc/86/83/59/1072868359.db2.gz GAWVUOZKPFFSPI-UHFFFAOYSA-N 0 0 437.522 -0.325 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000648483506 1072650270 /nfs/dbraw/zinc/65/02/70/1072650270.db2.gz FIEVVYWJWQRWTR-UHFFFAOYSA-N 0 0 440.507 -0.890 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)[C@H](Cc2c[nH]c3ccccc23)NC(N)=O)CC1 ZINC000648721227 1072651039 /nfs/dbraw/zinc/65/10/39/1072651039.db2.gz CONNDRVMJLFZCU-KRWDZBQOSA-N 0 0 428.493 -0.057 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)[C@@H](Cc2c[nH]c3ccccc23)NC(N)=O)CC1 ZINC000648721228 1072650930 /nfs/dbraw/zinc/65/09/30/1072650930.db2.gz CONNDRVMJLFZCU-QGZVFWFLSA-N 0 0 428.493 -0.057 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CNC(=O)c2ccc(Br)o2)CC1 ZINC000648721436 1072650874 /nfs/dbraw/zinc/65/08/74/1072650874.db2.gz OGNBBEIDMLJWJK-UHFFFAOYSA-N 0 0 429.271 -0.033 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000648921446 1072722182 /nfs/dbraw/zinc/72/21/82/1072722182.db2.gz WXCWWAFLIAQUEP-UHFFFAOYSA-N 0 0 447.535 -0.334 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000649272057 1072650806 /nfs/dbraw/zinc/65/08/06/1072650806.db2.gz WLPAXHMXJWKLPF-CYBMUJFWSA-N 0 0 437.565 -0.191 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000649272058 1072650962 /nfs/dbraw/zinc/65/09/62/1072650962.db2.gz WLPAXHMXJWKLPF-ZDUSSCGKSA-N 0 0 437.565 -0.191 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000649316562 1072650946 /nfs/dbraw/zinc/65/09/46/1072650946.db2.gz XFDLJQVDHQQGMW-UHFFFAOYSA-N 0 0 442.513 -0.503 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000649321531 1072650974 /nfs/dbraw/zinc/65/09/74/1072650974.db2.gz PPFONJCKOXQKKQ-UHFFFAOYSA-N 0 0 435.525 -0.510 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000649323490 1072650850 /nfs/dbraw/zinc/65/08/50/1072650850.db2.gz VXODUWJMRMFGBR-UHFFFAOYSA-N 0 0 438.550 -0.470 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000649323925 1072651028 /nfs/dbraw/zinc/65/10/28/1072651028.db2.gz QSSMQAPXLFDFAY-INIZCTEOSA-N 0 0 428.489 -0.017 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2=O ZINC000649323926 1072650907 /nfs/dbraw/zinc/65/09/07/1072650907.db2.gz QSSMQAPXLFDFAY-MRXNPFEDSA-N 0 0 428.489 -0.017 20 0 IBADRN NC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000649323940 1072650865 /nfs/dbraw/zinc/65/08/65/1072650865.db2.gz UYSKDYFVJUDUJP-IBGZPJMESA-N 0 0 442.520 -0.250 20 0 IBADRN NC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000649323941 1072651033 /nfs/dbraw/zinc/65/10/33/1072651033.db2.gz UYSKDYFVJUDUJP-LJQANCHMSA-N 0 0 442.520 -0.250 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000649324204 1072651015 /nfs/dbraw/zinc/65/10/15/1072651015.db2.gz SSSMDFKRTJDSKE-UHFFFAOYSA-N 0 0 429.477 -0.505 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000649325687 1072650918 /nfs/dbraw/zinc/65/09/18/1072650918.db2.gz YCMNJMPAEAMQNY-UHFFFAOYSA-N 0 0 430.513 -0.911 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1 ZINC000649469336 1072651412 /nfs/dbraw/zinc/65/14/12/1072651412.db2.gz JFUUKMGZXMAJFS-UHFFFAOYSA-N 0 0 426.539 -0.106 20 0 IBADRN O=C(NCCCN1CCOCC1)c1cc(S(=O)(=O)NCCCN2CCOCC2)c[nH]1 ZINC000650074881 1073046137 /nfs/dbraw/zinc/04/61/37/1073046137.db2.gz NLHBUPYFKADBPM-UHFFFAOYSA-N 0 0 443.570 -0.533 20 0 IBADRN CN(CC(=O)N1CCCC1)C(=O)c1cc(S(=O)(=O)N(C)CC(=O)N2CCCC2)c[nH]1 ZINC000650217602 1072652302 /nfs/dbraw/zinc/65/23/02/1072652302.db2.gz YCHKOUBHZWNXFD-UHFFFAOYSA-N 0 0 439.538 -0.048 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2ccc(N3CCCC3=O)cc2)CC1 ZINC000650259700 1070888469 /nfs/dbraw/zinc/88/84/69/1070888469.db2.gz UZAXLUPNGPUQHM-UHFFFAOYSA-N 0 0 436.534 -0.022 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000650259763 1070888685 /nfs/dbraw/zinc/88/86/85/1070888685.db2.gz YHPLJIGLEZPIKK-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CCCCN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H]1CCS(=O)(=O)C1 ZINC000650394296 1072652244 /nfs/dbraw/zinc/65/22/44/1072652244.db2.gz UZLKRHZNPPZHTH-CYBMUJFWSA-N 0 0 425.511 -0.360 20 0 IBADRN CCCCN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H]1CCS(=O)(=O)C1 ZINC000650394301 1072652198 /nfs/dbraw/zinc/65/21/98/1072652198.db2.gz UZLKRHZNPPZHTH-ZDUSSCGKSA-N 0 0 425.511 -0.360 20 0 IBADRN COCCN(C(=O)Cn1c(C(F)(F)F)ccc(C(N)=O)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000651080379 1072652025 /nfs/dbraw/zinc/65/20/25/1072652025.db2.gz MBLRBNQLIIJASE-JTQLQIEISA-N 0 0 439.412 -0.372 20 0 IBADRN COCCN(C(=O)Cn1c(C(F)(F)F)ccc(C(N)=O)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000651080380 1071339755 /nfs/dbraw/zinc/33/97/55/1071339755.db2.gz MBLRBNQLIIJASE-SNVBAGLBSA-N 0 0 439.412 -0.372 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000655569178 1070851671 /nfs/dbraw/zinc/85/16/71/1070851671.db2.gz WATSRWXAOOPMGV-UHFFFAOYSA-N 0 0 439.538 -0.938 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000656115369 1070911773 /nfs/dbraw/zinc/91/17/73/1070911773.db2.gz FBZLZFLOOWVSHE-CALCHBBNSA-N 0 0 439.557 -0.413 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C[C@@H](C)O1 ZINC000656115371 1073151612 /nfs/dbraw/zinc/15/16/12/1073151612.db2.gz FBZLZFLOOWVSHE-IAGOWNOFSA-N 0 0 439.557 -0.413 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C[C@H](C)O1 ZINC000656115372 1070912894 /nfs/dbraw/zinc/91/28/94/1070912894.db2.gz FBZLZFLOOWVSHE-IRXDYDNUSA-N 0 0 439.557 -0.413 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000656157306 1070918015 /nfs/dbraw/zinc/91/80/15/1070918015.db2.gz MEKLLVISRPPVJB-UHFFFAOYSA-N 0 0 432.525 -0.396 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000656288168 1070946017 /nfs/dbraw/zinc/94/60/17/1070946017.db2.gz WOBJJXQDGVETFA-UHFFFAOYSA-N 0 0 439.538 -0.185 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000656494944 1070972690 /nfs/dbraw/zinc/97/26/90/1070972690.db2.gz FZKLHDVSCNNBGV-UHFFFAOYSA-N 0 0 430.552 -0.385 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)C1 ZINC000656498386 1070972872 /nfs/dbraw/zinc/97/28/72/1070972872.db2.gz GPWJUSWHFYNQCT-GASCZTMLSA-N 0 0 425.555 -0.065 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)C1 ZINC000656498387 1070972876 /nfs/dbraw/zinc/97/28/76/1070972876.db2.gz GPWJUSWHFYNQCT-GJZGRUSLSA-N 0 0 425.555 -0.065 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)C1 ZINC000656498388 1070972827 /nfs/dbraw/zinc/97/28/27/1070972827.db2.gz GPWJUSWHFYNQCT-HUUCEWRRSA-N 0 0 425.555 -0.065 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000656499025 1070973402 /nfs/dbraw/zinc/97/34/02/1070973402.db2.gz BEQZGCMWQIWJPS-NSHDSACASA-N 0 0 447.473 -0.054 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CSCN2C(=O)c2ccccc2)CC1)N1CCOCC1 ZINC000656499207 1070972909 /nfs/dbraw/zinc/97/29/09/1070972909.db2.gz JAYFPJQVSCJZBZ-KRWDZBQOSA-N 0 0 446.529 -0.269 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CSCN2C(=O)c2ccccc2)CC1)N1CCOCC1 ZINC000656499208 1070972841 /nfs/dbraw/zinc/97/28/41/1070972841.db2.gz JAYFPJQVSCJZBZ-QGZVFWFLSA-N 0 0 446.529 -0.269 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000656500452 1073168966 /nfs/dbraw/zinc/16/89/66/1073168966.db2.gz UIKXOOVJGCFDAL-UHFFFAOYSA-N 0 0 445.457 -0.248 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000656500875 1070973553 /nfs/dbraw/zinc/97/35/53/1070973553.db2.gz XDKACUFATBBYNH-UHFFFAOYSA-N 0 0 445.457 -0.248 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)ccc1OC ZINC000656501162 1070973514 /nfs/dbraw/zinc/97/35/14/1070973514.db2.gz OEPDRWGCXKVMIA-UHFFFAOYSA-N 0 0 433.446 -0.199 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)c3cc(S(=O)(=O)N4CCOCC4)c[nH]3)cnc2n(C)c1=O ZINC000656503254 1070973331 /nfs/dbraw/zinc/97/33/31/1070973331.db2.gz KKXFUYKBWNNPRL-UHFFFAOYSA-N 0 0 448.461 -0.767 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN2CCN(CC(N)=O)CC2)CC1 ZINC000658228979 1071258413 /nfs/dbraw/zinc/25/84/13/1071258413.db2.gz ZYKUZZFFLXMKPR-UHFFFAOYSA-N 0 0 430.553 -0.565 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(CC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000658259770 1071258095 /nfs/dbraw/zinc/25/80/95/1071258095.db2.gz WETACACEZKETRC-UHFFFAOYSA-N 0 0 439.538 -0.943 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000659159270 1071316535 /nfs/dbraw/zinc/31/65/35/1071316535.db2.gz KRMKNIIKVGOUIQ-GOSISDBHSA-N 0 0 444.598 -0.866 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000659159277 1071316723 /nfs/dbraw/zinc/31/67/23/1071316723.db2.gz KRMKNIIKVGOUIQ-SFHVURJKSA-N 0 0 444.598 -0.866 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000659182375 1071317585 /nfs/dbraw/zinc/31/75/85/1071317585.db2.gz PGSPHRNIVKHYQR-GOSISDBHSA-N 0 0 444.598 -0.721 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)CN2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000659182379 1071317222 /nfs/dbraw/zinc/31/72/22/1071317222.db2.gz PGSPHRNIVKHYQR-SFHVURJKSA-N 0 0 444.598 -0.721 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(CC(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC000659199186 1071318248 /nfs/dbraw/zinc/31/82/48/1071318248.db2.gz XKUYSJOQYAMYDF-UHFFFAOYSA-N 0 0 429.565 -0.193 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(CC(=O)NCc3ccccc3)CC2)c(=O)[nH]c1=O ZINC000659220132 1071318399 /nfs/dbraw/zinc/31/83/99/1071318399.db2.gz SIYYXADGIOORPK-UHFFFAOYSA-N 0 0 428.493 -0.332 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](C(=O)N2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000659459456 1071321781 /nfs/dbraw/zinc/32/17/81/1071321781.db2.gz CGCNARYQMHBIKL-DLBZAZTESA-N 0 0 431.555 -0.781 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](C(=O)N2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000659459481 1071321533 /nfs/dbraw/zinc/32/15/33/1071321533.db2.gz CGCNARYQMHBIKL-IAGOWNOFSA-N 0 0 431.555 -0.781 20 0 IBADRN COCCN(C(=O)CN1CCC[C@H](C(=O)N2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000659459483 1071321431 /nfs/dbraw/zinc/32/14/31/1071321431.db2.gz CGCNARYQMHBIKL-IRXDYDNUSA-N 0 0 431.555 -0.781 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H](C(=O)N2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000659459485 1071321633 /nfs/dbraw/zinc/32/16/33/1071321633.db2.gz CGCNARYQMHBIKL-SJORKVTESA-N 0 0 431.555 -0.781 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@H](N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)cc1 ZINC000661554056 1071424071 /nfs/dbraw/zinc/42/40/71/1071424071.db2.gz BPXGCJAMBYUGGE-FQEVSTJZSA-N 0 0 444.532 -0.158 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@@H](N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)cc1 ZINC000661554088 1071424059 /nfs/dbraw/zinc/42/40/59/1071424059.db2.gz BPXGCJAMBYUGGE-HXUWFJFHSA-N 0 0 444.532 -0.158 20 0 IBADRN CCOc1ccc(N2C(=O)C[C@H](N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000661554191 1071424115 /nfs/dbraw/zinc/42/41/15/1071424115.db2.gz FLPNVOMPEOSIBF-SFHVURJKSA-N 0 0 444.488 -0.280 20 0 IBADRN COc1ccccc1N1C(=O)C[C@H](N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000661554209 1071424654 /nfs/dbraw/zinc/42/46/54/1071424654.db2.gz GRRVHIACFDWYPX-INIZCTEOSA-N 0 0 430.461 -0.670 20 0 IBADRN O=C(C(=O)N1CCN([C@H]2CC(=O)N(c3ccc(F)cc3F)C2=O)CC1)N1CCOCC1 ZINC000661554345 1071423954 /nfs/dbraw/zinc/42/39/54/1071423954.db2.gz QDTVUBVIQVMMCL-INIZCTEOSA-N 0 0 436.415 -0.400 20 0 IBADRN O=C(C(=O)N1CCN([C@@H]2CC(=O)N(c3ccc(F)cc3F)C2=O)CC1)N1CCOCC1 ZINC000661554352 1071424038 /nfs/dbraw/zinc/42/40/38/1071424038.db2.gz QDTVUBVIQVMMCL-MRXNPFEDSA-N 0 0 436.415 -0.400 20 0 IBADRN O=C(C(=O)N1CCN([C@H]2CC(=O)N(CCc3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000661554433 1071423972 /nfs/dbraw/zinc/42/39/72/1071423972.db2.gz QZSUWDKZNPXKLA-SFHVURJKSA-N 0 0 428.489 -0.640 20 0 IBADRN O=C(C(=O)N1CCN([C@H]2CC(=O)N(c3cccc(Cl)c3)C2=O)CC1)N1CCOCC1 ZINC000661554496 1071423910 /nfs/dbraw/zinc/42/39/10/1071423910.db2.gz VHDVAHMKKGMKAJ-INIZCTEOSA-N 0 0 434.880 -0.025 20 0 IBADRN O=C(C(=O)N1CCN([C@@H]2CC(=O)N(c3cccc(Cl)c3)C2=O)CC1)N1CCOCC1 ZINC000661554502 1071424046 /nfs/dbraw/zinc/42/40/46/1071424046.db2.gz VHDVAHMKKGMKAJ-MRXNPFEDSA-N 0 0 434.880 -0.025 20 0 IBADRN COCCN(C(=O)Cn1nnc2ccccc2c1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000664322845 1071724254 /nfs/dbraw/zinc/72/42/54/1071724254.db2.gz AUGFNHNWMKHUDN-UHFFFAOYSA-N 0 0 443.464 -0.028 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)Cn2nnc3ccccc3c2=O)c(=O)[nH]c1=O ZINC000664322955 1071724368 /nfs/dbraw/zinc/72/43/68/1071724368.db2.gz FUOMQRCWXGEVMT-UHFFFAOYSA-N 0 0 429.437 -0.274 20 0 IBADRN Nc1nc(N)nc(SCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)n1 ZINC000664877993 1072224801 /nfs/dbraw/zinc/22/48/01/1072224801.db2.gz UQGTXVRDHZPUMN-UHFFFAOYSA-N 0 0 439.523 -0.535 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000669429436 1072242276 /nfs/dbraw/zinc/24/22/76/1072242276.db2.gz WPMLXVFMGBRNBH-AWEZNQCLSA-N 0 0 432.449 -0.514 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000669429437 1072242251 /nfs/dbraw/zinc/24/22/51/1072242251.db2.gz WPMLXVFMGBRNBH-CQSZACIVSA-N 0 0 432.449 -0.514 20 0 IBADRN CS(=O)(=O)c1ccc(N2CCN(CC(=O)N3CCOCC3)CC2)c(S(C)(=O)=O)c1 ZINC000669593487 1072273992 /nfs/dbraw/zinc/27/39/92/1072273992.db2.gz YPROJTUWTDNNPR-UHFFFAOYSA-N 0 0 445.563 -0.526 20 0 IBADRN COCCNC(=O)CN1CCN(c2ccc(S(C)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000669596495 1072274622 /nfs/dbraw/zinc/27/46/22/1072274622.db2.gz UCPINPDQCRSDNB-UHFFFAOYSA-N 0 0 433.552 -0.622 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2ccc(S(C)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC000669598559 1072276050 /nfs/dbraw/zinc/27/60/50/1072276050.db2.gz JRKFNJXEQGOBHF-UHFFFAOYSA-N 0 0 431.536 -0.333 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCCNS(=O)(=O)c3ccc(F)cc3F)CCN2C1=O ZINC000669608014 1072278178 /nfs/dbraw/zinc/27/81/78/1072278178.db2.gz CSEBZHPSAPBOCS-CYBMUJFWSA-N 0 0 445.448 -0.079 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCCNS(=O)(=O)c3ccc(F)cc3F)CCN2C1=O ZINC000669608015 1072278215 /nfs/dbraw/zinc/27/82/15/1072278215.db2.gz CSEBZHPSAPBOCS-ZDUSSCGKSA-N 0 0 445.448 -0.079 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000669608126 1072278317 /nfs/dbraw/zinc/27/83/17/1072278317.db2.gz LPONROQHQOBZFY-UHFFFAOYSA-N 0 0 440.494 -0.080 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000669608163 1072278261 /nfs/dbraw/zinc/27/82/61/1072278261.db2.gz ZQUBVAAEYUOKFT-GFCCVEGCSA-N 0 0 440.494 -0.034 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000669608164 1072278352 /nfs/dbraw/zinc/27/83/52/1072278352.db2.gz ZQUBVAAEYUOKFT-LBPRGKRZSA-N 0 0 440.494 -0.034 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000669608362 1072278427 /nfs/dbraw/zinc/27/84/27/1072278427.db2.gz QNRKCXIPMNVBHA-UHFFFAOYSA-N 0 0 433.481 -0.342 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CC1 ZINC000669623437 1072872057 /nfs/dbraw/zinc/87/20/57/1072872057.db2.gz MTOFPPTYBMIFPQ-UHFFFAOYSA-N 0 0 433.328 -0.058 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)CC1 ZINC000669623740 1072282555 /nfs/dbraw/zinc/28/25/55/1072282555.db2.gz MPAFLXTWCCZVIQ-UHFFFAOYSA-N 0 0 435.919 -0.367 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)CC1 ZINC000669624818 1072283036 /nfs/dbraw/zinc/28/30/36/1072283036.db2.gz GAAMIEFIZGKJPJ-UHFFFAOYSA-N 0 0 449.946 -0.624 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2ccccc2Cn2ccnc2)CC1 ZINC000669624830 1072283742 /nfs/dbraw/zinc/28/37/42/1072283742.db2.gz NDRWWHXMPDVTKV-UHFFFAOYSA-N 0 0 427.552 -0.213 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(N2CCC[C@H]2C(N)=O)CC1 ZINC000669625779 1072283666 /nfs/dbraw/zinc/28/36/66/1072283666.db2.gz YERBLQQVDCQHQU-AWEZNQCLSA-N 0 0 430.552 -0.303 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(N2CCC[C@@H]2C(N)=O)CC1 ZINC000669625780 1072283692 /nfs/dbraw/zinc/28/36/92/1072283692.db2.gz YERBLQQVDCQHQU-CQSZACIVSA-N 0 0 430.552 -0.303 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000669629672 1072284199 /nfs/dbraw/zinc/28/41/99/1072284199.db2.gz QWMYBIUJIAVRKH-AAEUAGOBSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000669629673 1072284136 /nfs/dbraw/zinc/28/41/36/1072284136.db2.gz QWMYBIUJIAVRKH-DGCLKSJQSA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000669629674 1072284157 /nfs/dbraw/zinc/28/41/57/1072284157.db2.gz QWMYBIUJIAVRKH-WCQYABFASA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000669629675 1072284064 /nfs/dbraw/zinc/28/40/64/1072284064.db2.gz QWMYBIUJIAVRKH-YPMHNXCESA-N 0 0 427.508 -0.200 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000669629916 1072284022 /nfs/dbraw/zinc/28/40/22/1072284022.db2.gz BEDZXBKCTFHCCL-BDJLRTHQSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000669629917 1072284215 /nfs/dbraw/zinc/28/42/15/1072284215.db2.gz BEDZXBKCTFHCCL-BZNIZROVSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000669629918 1072284076 /nfs/dbraw/zinc/28/40/76/1072284076.db2.gz BEDZXBKCTFHCCL-MEDUHNTESA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000669629919 1072284114 /nfs/dbraw/zinc/28/41/14/1072284114.db2.gz BEDZXBKCTFHCCL-ZBEGNZNMSA-N 0 0 430.508 -0.407 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC(F)(F)C[C@@H]1CO)N1CC(F)(F)C[C@@H]1CO ZINC000669633613 1072284962 /nfs/dbraw/zinc/28/49/62/1072284962.db2.gz KKTVVHQJRANZOF-GHMZBOCLSA-N 0 0 442.453 -0.560 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC(F)(F)C[C@H]1CO)N1CC(F)(F)C[C@@H]1CO ZINC000669633614 1072284588 /nfs/dbraw/zinc/28/45/88/1072284588.db2.gz KKTVVHQJRANZOF-PHIMTYICSA-N 0 0 442.453 -0.560 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC(F)(F)C[C@H]1CO)N1CC(F)(F)C[C@H]1CO ZINC000669633615 1072284953 /nfs/dbraw/zinc/28/49/53/1072284953.db2.gz KKTVVHQJRANZOF-QWRGUYRKSA-N 0 0 442.453 -0.560 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1C[C@H](O)CC(F)(F)C1)N1C[C@H](O)CC(F)(F)C1 ZINC000669634324 1072285305 /nfs/dbraw/zinc/28/53/05/1072285305.db2.gz VEZYTYWUEKJYCZ-GHMZBOCLSA-N 0 0 442.453 -0.560 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1C[C@@H](O)CC(F)(F)C1)N1C[C@H](O)CC(F)(F)C1 ZINC000669634325 1072285267 /nfs/dbraw/zinc/28/52/67/1072285267.db2.gz VEZYTYWUEKJYCZ-PHIMTYICSA-N 0 0 442.453 -0.560 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1C[C@@H](O)CC(F)(F)C1)N1C[C@@H](O)CC(F)(F)C1 ZINC000669634326 1072285447 /nfs/dbraw/zinc/28/54/47/1072285447.db2.gz VEZYTYWUEKJYCZ-QWRGUYRKSA-N 0 0 442.453 -0.560 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCOC[C@@H]2Cc2c[nH]c3ccccc23)n1 ZINC000669639925 1072286765 /nfs/dbraw/zinc/28/67/65/1072286765.db2.gz PDLRRHJOVVIBKY-AWEZNQCLSA-N 0 0 425.449 -0.086 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCOC[C@H]2Cc2c[nH]c3ccccc23)n1 ZINC000669639926 1072286579 /nfs/dbraw/zinc/28/65/79/1072286579.db2.gz PDLRRHJOVVIBKY-CQSZACIVSA-N 0 0 425.449 -0.086 20 0 IBADRN C[C@H](CNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1)S(N)(=O)=O ZINC000669640986 1072286808 /nfs/dbraw/zinc/28/68/08/1072286808.db2.gz WVUGYSACOHKGFW-CYBMUJFWSA-N 0 0 434.540 -0.675 20 0 IBADRN C[C@@H](CNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1)S(N)(=O)=O ZINC000669640987 1072286680 /nfs/dbraw/zinc/28/66/80/1072286680.db2.gz WVUGYSACOHKGFW-ZDUSSCGKSA-N 0 0 434.540 -0.675 20 0 IBADRN CN(c1ccc(CNC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1)S(C)(=O)=O ZINC000669647739 1072287849 /nfs/dbraw/zinc/28/78/49/1072287849.db2.gz SBNLLZCYFNWDBK-UHFFFAOYSA-N 0 0 433.556 -0.802 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2CCN(C)C(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000669674910 1072291156 /nfs/dbraw/zinc/29/11/56/1072291156.db2.gz SSEBJADHOKPYDV-AWEZNQCLSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2CCN(C)C(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000669674911 1072291324 /nfs/dbraw/zinc/29/13/24/1072291324.db2.gz SSEBJADHOKPYDV-CQSZACIVSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000669697550 1072301741 /nfs/dbraw/zinc/30/17/41/1072301741.db2.gz BXKXGQAHJQXMRA-AWEZNQCLSA-N 0 0 434.540 -0.757 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000669697551 1072301883 /nfs/dbraw/zinc/30/18/83/1072301883.db2.gz BXKXGQAHJQXMRA-CQSZACIVSA-N 0 0 434.540 -0.757 20 0 IBADRN CN(CCOCCO)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000669710489 1072308986 /nfs/dbraw/zinc/30/89/86/1072308986.db2.gz MPHLVSCSYKYZQS-AWEZNQCLSA-N 0 0 435.524 -0.375 20 0 IBADRN CN(CCOCCO)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000669710490 1072309060 /nfs/dbraw/zinc/30/90/60/1072309060.db2.gz MPHLVSCSYKYZQS-CQSZACIVSA-N 0 0 435.524 -0.375 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000669718641 1072326139 /nfs/dbraw/zinc/32/61/39/1072326139.db2.gz XPGJXALDVGPYMS-AWEZNQCLSA-N 0 0 431.540 -0.186 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000669718642 1072326124 /nfs/dbraw/zinc/32/61/24/1072326124.db2.gz XPGJXALDVGPYMS-CQSZACIVSA-N 0 0 431.540 -0.186 20 0 IBADRN COCCn1cc(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)cn1 ZINC000669726997 1072354641 /nfs/dbraw/zinc/35/46/41/1072354641.db2.gz BXUMIVCJCLVPAT-QAPCUYQASA-N 0 0 438.506 -0.022 20 0 IBADRN COCCn1cc(CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)cn1 ZINC000669726998 1072354502 /nfs/dbraw/zinc/35/45/02/1072354502.db2.gz BXUMIVCJCLVPAT-YJBOKZPZSA-N 0 0 438.506 -0.022 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000669730025 1072356783 /nfs/dbraw/zinc/35/67/83/1072356783.db2.gz OFGRLMQPQGYWTB-UHFFFAOYSA-N 0 0 426.499 -0.882 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000669730029 1072357370 /nfs/dbraw/zinc/35/73/70/1072357370.db2.gz PSHGVCIGDLUDHS-CYBMUJFWSA-N 0 0 425.511 -0.045 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000669730030 1072357237 /nfs/dbraw/zinc/35/72/37/1072357237.db2.gz PSHGVCIGDLUDHS-ZDUSSCGKSA-N 0 0 425.511 -0.045 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000669730040 1072357277 /nfs/dbraw/zinc/35/72/77/1072357277.db2.gz QVIVNAWGCRJONR-UHFFFAOYSA-N 0 0 432.481 -0.635 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(c3nccn(C)c3=O)CC2)c1 ZINC000669730455 1072357256 /nfs/dbraw/zinc/35/72/56/1072357256.db2.gz QDSYPMJKHGKRHV-UHFFFAOYSA-N 0 0 427.465 -0.390 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC000669730665 1072357359 /nfs/dbraw/zinc/35/73/59/1072357359.db2.gz ARAVUAUCDCBONT-HNNXBMFYSA-N 0 0 426.495 -0.170 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000669730666 1072357269 /nfs/dbraw/zinc/35/72/69/1072357269.db2.gz ARAVUAUCDCBONT-OAHLLOKOSA-N 0 0 426.495 -0.170 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)c1 ZINC000669730999 1072357673 /nfs/dbraw/zinc/35/76/73/1072357673.db2.gz WMFCVMCUERYPKF-UHFFFAOYSA-N 0 0 444.492 -0.539 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)c1 ZINC000669732213 1072360564 /nfs/dbraw/zinc/36/05/64/1072360564.db2.gz VLISWSJLNOCSSY-KRWDZBQOSA-N 0 0 431.493 -0.576 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)c1 ZINC000669732214 1072360510 /nfs/dbraw/zinc/36/05/10/1072360510.db2.gz VLISWSJLNOCSSY-QGZVFWFLSA-N 0 0 431.493 -0.576 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)c(OC)c1 ZINC000669738825 1072374222 /nfs/dbraw/zinc/37/42/22/1072374222.db2.gz MXUNFIDCVDLIAJ-UHFFFAOYSA-N 0 0 428.511 -0.356 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)c(N3CCCCC3)cc2n(C)c1=O ZINC000669739269 1072374131 /nfs/dbraw/zinc/37/41/31/1072374131.db2.gz WMPOEKVDMDPPIT-OKILXGFUSA-N 0 0 431.493 -0.240 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H](C(=O)N2CCCC2)C(C)C)c1 ZINC000669739477 1072373660 /nfs/dbraw/zinc/37/36/60/1072373660.db2.gz JZUWYVDYZUOHJJ-KRWDZBQOSA-N 0 0 431.493 -0.136 20 0 IBADRN Cn1nnnc1CCNC(=O)C(=O)Nc1cc2c(cc1N1CCCCC1)n(C)c(=O)n2C ZINC000669740179 1072374284 /nfs/dbraw/zinc/37/42/84/1072374284.db2.gz PQXXXTGCIZILEZ-UHFFFAOYSA-N 0 0 441.496 -0.312 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)c1 ZINC000669740219 1072374110 /nfs/dbraw/zinc/37/41/10/1072374110.db2.gz DBGKWSLEJVTQMA-DLBZAZTESA-N 0 0 431.493 -0.842 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)c1 ZINC000669740220 1072374267 /nfs/dbraw/zinc/37/42/67/1072374267.db2.gz DBGKWSLEJVTQMA-IAGOWNOFSA-N 0 0 431.493 -0.842 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)c1 ZINC000669740221 1072374038 /nfs/dbraw/zinc/37/40/38/1072374038.db2.gz DBGKWSLEJVTQMA-IRXDYDNUSA-N 0 0 431.493 -0.842 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)c1 ZINC000669740222 1072374234 /nfs/dbraw/zinc/37/42/34/1072374234.db2.gz DBGKWSLEJVTQMA-SJORKVTESA-N 0 0 431.493 -0.842 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000669742027 1072375774 /nfs/dbraw/zinc/37/57/74/1072375774.db2.gz DDIRVHUTPSIGLB-KCXAZCMYSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000669742028 1072375788 /nfs/dbraw/zinc/37/57/88/1072375788.db2.gz DDIRVHUTPSIGLB-MAZHCROVSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1 ZINC000669742029 1072375949 /nfs/dbraw/zinc/37/59/49/1072375949.db2.gz DDIRVHUTPSIGLB-RCBQFDQVSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000669742030 1072375736 /nfs/dbraw/zinc/37/57/36/1072375736.db2.gz DDIRVHUTPSIGLB-WQVCFCJDSA-N 0 0 432.520 -0.535 20 0 IBADRN COCCn1c(N)c(C(=O)Cn2nnc(-c3ccc(OC)c(OC)c3)n2)c(=O)[nH]c1=O ZINC000669743743 1072377831 /nfs/dbraw/zinc/37/78/31/1072377831.db2.gz HHSCMGNGAYQDFS-UHFFFAOYSA-N 0 0 431.409 -0.269 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC(=O)N1C ZINC000669751858 1072391128 /nfs/dbraw/zinc/39/11/28/1072391128.db2.gz MJOLTNKNLJCYCJ-INIZCTEOSA-N 0 0 444.536 -0.476 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC(=O)N1C ZINC000669751859 1072391269 /nfs/dbraw/zinc/39/12/69/1072391269.db2.gz MJOLTNKNLJCYCJ-MRXNPFEDSA-N 0 0 444.536 -0.476 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000669752336 1072390687 /nfs/dbraw/zinc/39/06/87/1072390687.db2.gz BRDHPSLCUYXRJM-BXUZGUMPSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000669752337 1072390630 /nfs/dbraw/zinc/39/06/30/1072390630.db2.gz BRDHPSLCUYXRJM-FZMZJTMJSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000669752338 1072390619 /nfs/dbraw/zinc/39/06/19/1072390619.db2.gz BRDHPSLCUYXRJM-RISCZKNCSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000669752339 1072390572 /nfs/dbraw/zinc/39/05/72/1072390572.db2.gz BRDHPSLCUYXRJM-SMDDNHRTSA-N 0 0 432.524 -0.633 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000669752995 1072393522 /nfs/dbraw/zinc/39/35/22/1072393522.db2.gz POICQUYFILGUGH-CHWSQXEVSA-N 0 0 435.524 -0.252 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000669752996 1072393560 /nfs/dbraw/zinc/39/35/60/1072393560.db2.gz POICQUYFILGUGH-OLZOCXBDSA-N 0 0 435.524 -0.252 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000669752997 1072393393 /nfs/dbraw/zinc/39/33/93/1072393393.db2.gz POICQUYFILGUGH-QWHCGFSZSA-N 0 0 435.524 -0.252 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000669752998 1072393600 /nfs/dbraw/zinc/39/36/00/1072393600.db2.gz POICQUYFILGUGH-STQMWFEESA-N 0 0 435.524 -0.252 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000669753595 1072391775 /nfs/dbraw/zinc/39/17/75/1072391775.db2.gz XJBJTCGZIBRTHC-DZGCQCFKSA-N 0 0 448.567 -0.506 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000669753596 1072392017 /nfs/dbraw/zinc/39/20/17/1072392017.db2.gz XJBJTCGZIBRTHC-HIFRSBDPSA-N 0 0 448.567 -0.506 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O ZINC000669753597 1072391959 /nfs/dbraw/zinc/39/19/59/1072391959.db2.gz XJBJTCGZIBRTHC-UKRRQHHQSA-N 0 0 448.567 -0.506 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O ZINC000669753598 1072392395 /nfs/dbraw/zinc/39/23/95/1072392395.db2.gz XJBJTCGZIBRTHC-ZFWWWQNUSA-N 0 0 448.567 -0.506 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC1(OCCO)CCOCC1 ZINC000669754157 1072394505 /nfs/dbraw/zinc/39/45/05/1072394505.db2.gz AEENPHTVZKOQEB-UHFFFAOYSA-N 0 0 431.511 -0.666 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000669762576 1072400989 /nfs/dbraw/zinc/40/09/89/1072400989.db2.gz QCTFTCFNEJLFCY-INIZCTEOSA-N 0 0 448.567 -0.237 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000669762577 1072400911 /nfs/dbraw/zinc/40/09/11/1072400911.db2.gz QCTFTCFNEJLFCY-MRXNPFEDSA-N 0 0 448.567 -0.237 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000669767333 1072403212 /nfs/dbraw/zinc/40/32/12/1072403212.db2.gz JGXPHMZNHMMNJR-UHFFFAOYSA-N 0 0 427.508 -0.352 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000669770229 1072408236 /nfs/dbraw/zinc/40/82/36/1072408236.db2.gz FEBDCDZXBSYDFT-CYBMUJFWSA-N 0 0 434.540 -0.392 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCCc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC000669770230 1072408209 /nfs/dbraw/zinc/40/82/09/1072408209.db2.gz FEBDCDZXBSYDFT-ZDUSSCGKSA-N 0 0 434.540 -0.392 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000669770763 1072409352 /nfs/dbraw/zinc/40/93/52/1072409352.db2.gz ZGWKGPNWKUFJTK-UHFFFAOYSA-N 0 0 437.522 -0.549 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000669770766 1072409334 /nfs/dbraw/zinc/40/93/34/1072409334.db2.gz ZVIQONUYVYFYOU-UHFFFAOYSA-N 0 0 439.538 -0.839 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000669771434 1072409325 /nfs/dbraw/zinc/40/93/25/1072409325.db2.gz WOZPELBRQKIFFK-UHFFFAOYSA-N 0 0 439.538 -0.839 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCc2cccc(CS(N)(=O)=O)c2)CC1 ZINC000669772129 1072409859 /nfs/dbraw/zinc/40/98/59/1072409859.db2.gz TZZFPKZKXXDBRW-UHFFFAOYSA-N 0 0 425.511 -0.647 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2cccc(CS(N)(=O)=O)c2)CC1 ZINC000669773063 1072409908 /nfs/dbraw/zinc/40/99/08/1072409908.db2.gz YUYGZYVOVABASD-UHFFFAOYSA-N 0 0 448.567 -0.331 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccnn1Cc1cccs1 ZINC000669775583 1072411584 /nfs/dbraw/zinc/41/15/84/1072411584.db2.gz YPHIBMPWHOPGGO-UHFFFAOYSA-N 0 0 446.533 -0.066 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cccc(S(=O)(=O)N(C)C)c3)n2C)CC1 ZINC000669782354 1072417957 /nfs/dbraw/zinc/41/79/57/1072417957.db2.gz RULAPXCAGGHZDD-UHFFFAOYSA-N 0 0 435.554 -0.057 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(-c3cccc(S(C)(=O)=O)c3)n2C)CC1 ZINC000669795776 1072431382 /nfs/dbraw/zinc/43/13/82/1072431382.db2.gz KMFXZLDFGDFINK-UHFFFAOYSA-N 0 0 428.540 -0.186 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(-c3csc(N4CCOCC4)n3)n2C)CC1 ZINC000669797998 1072432136 /nfs/dbraw/zinc/43/21/36/1072432136.db2.gz YOQOMYLDEAERSD-UHFFFAOYSA-N 0 0 442.571 -0.296 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(-c3nn(C)c(=O)c4ccccc43)n2C)CC1 ZINC000669800615 1072432736 /nfs/dbraw/zinc/43/27/36/1072432736.db2.gz LALUJSGRWOOJBR-UHFFFAOYSA-N 0 0 432.510 -0.343 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(C3CCN(c4ncccn4)CC3)n2C)CC1 ZINC000669801770 1072433303 /nfs/dbraw/zinc/43/33/03/1072433303.db2.gz FMAFBXBLEGJUHB-UHFFFAOYSA-N 0 0 435.558 -0.083 20 0 IBADRN CS(=O)(=O)NC1CC(NS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1 ZINC000669844693 1072469227 /nfs/dbraw/zinc/46/92/27/1072469227.db2.gz FEAUSBZFFPVHDT-UHFFFAOYSA-N 0 0 426.314 -0.094 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC(NS(C)(=O)=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000669844956 1072469167 /nfs/dbraw/zinc/46/91/67/1072469167.db2.gz WQGNNHUPBSBJCK-HWYSMQLTSA-N 0 0 446.507 -0.892 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC(NS(C)(=O)=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000669844958 1072469274 /nfs/dbraw/zinc/46/92/74/1072469274.db2.gz WQGNNHUPBSBJCK-UDUZUONDSA-N 0 0 446.507 -0.892 20 0 IBADRN Cn1cc(S(=O)(=O)NC2CC(NS(C)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC000669845148 1072469186 /nfs/dbraw/zinc/46/91/86/1072469186.db2.gz NWPLZCBUNASBBD-UHFFFAOYSA-N 0 0 432.446 -0.324 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000669849838 1072473515 /nfs/dbraw/zinc/47/35/15/1072473515.db2.gz DNJWUULFJFPGTH-UHFFFAOYSA-N 0 0 446.551 -0.413 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000669853478 1072476095 /nfs/dbraw/zinc/47/60/95/1072476095.db2.gz SPBDGOGCVHYEDC-UHFFFAOYSA-N 0 0 434.540 -0.509 20 0 IBADRN CC(=O)NCCN(Cc1ccsc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000669858673 1072481496 /nfs/dbraw/zinc/48/14/96/1072481496.db2.gz WDQKQCRGQUIQIO-UHFFFAOYSA-N 0 0 431.584 -0.027 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000669869928 1072494794 /nfs/dbraw/zinc/49/47/94/1072494794.db2.gz LLJXRUVLMPZDSO-UHFFFAOYSA-N 0 0 434.540 -0.509 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000669887765 1072521573 /nfs/dbraw/zinc/52/15/73/1072521573.db2.gz WAPRVULZXCSMOI-UHFFFAOYSA-N 0 0 439.498 -0.099 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000669887926 1072525281 /nfs/dbraw/zinc/52/52/81/1072525281.db2.gz ZGFMTOZLJMPVOY-UHFFFAOYSA-N 0 0 435.528 -0.612 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000669888025 1072524814 /nfs/dbraw/zinc/52/48/14/1072524814.db2.gz CXVODQSVTGOZDC-UHFFFAOYSA-N 0 0 449.555 -0.222 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000669888075 1072526288 /nfs/dbraw/zinc/52/62/88/1072526288.db2.gz FFPOKYLYWFZAEY-UHFFFAOYSA-N 0 0 445.567 -0.494 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NC3CC(NS(C)(=O)=O)C3)CC2)cc1 ZINC000669888171 1072524833 /nfs/dbraw/zinc/52/48/33/1072524833.db2.gz IAUPKUMJBPZRBO-UHFFFAOYSA-N 0 0 446.551 -0.209 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC000669888309 1072524745 /nfs/dbraw/zinc/52/47/45/1072524745.db2.gz MUXKPZYEWMUGAS-UHFFFAOYSA-N 0 0 431.540 -0.754 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000669888508 1072524320 /nfs/dbraw/zinc/52/43/20/1072524320.db2.gz STVUQNHNRVHPKV-UHFFFAOYSA-N 0 0 434.515 -0.078 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)C1 ZINC000669888685 1072523974 /nfs/dbraw/zinc/52/39/74/1072523974.db2.gz YZTZTXCVWQPGBU-JXQTWKCFSA-N 0 0 436.490 -0.227 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)C1 ZINC000669888686 1072524034 /nfs/dbraw/zinc/52/40/34/1072524034.db2.gz YZTZTXCVWQPGBU-RUXDESIVSA-N 0 0 436.490 -0.227 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000669889020 1072530633 /nfs/dbraw/zinc/53/06/33/1072530633.db2.gz KIJCEZQSWULGCX-PKSQDBQZSA-N 0 0 447.539 -0.699 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000669889021 1072530721 /nfs/dbraw/zinc/53/07/21/1072530721.db2.gz KIJCEZQSWULGCX-QFWMXSHPSA-N 0 0 447.539 -0.699 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC3CC(NS(C)(=O)=O)C3)CC2)cs1 ZINC000669889208 1072528034 /nfs/dbraw/zinc/52/80/34/1072528034.db2.gz QJBTZNNRIVCUJV-UHFFFAOYSA-N 0 0 437.569 -0.452 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)C1 ZINC000669889479 1072528082 /nfs/dbraw/zinc/52/80/82/1072528082.db2.gz AXILAUQOIOYSNP-UHFFFAOYSA-N 0 0 435.550 -0.017 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000669889646 1072529573 /nfs/dbraw/zinc/52/95/73/1072529573.db2.gz HOKOWHANVWLURN-UHFFFAOYSA-N 0 0 439.604 -0.239 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NC3CC(NS(C)(=O)=O)C3)CC2)C1 ZINC000669889671 1072527210 /nfs/dbraw/zinc/52/72/10/1072527210.db2.gz IELVPWZMESBNKK-JUVOFCAFSA-N 0 0 429.587 -0.102 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)NC3CC(NS(C)(=O)=O)C3)CC2)C1 ZINC000669889672 1072527236 /nfs/dbraw/zinc/52/72/36/1072527236.db2.gz IELVPWZMESBNKK-NUWOQIAWSA-N 0 0 429.587 -0.102 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NC3CC(NS(C)(=O)=O)C3)CC2)C1 ZINC000669889673 1072527229 /nfs/dbraw/zinc/52/72/29/1072527229.db2.gz IELVPWZMESBNKK-RYTJFDOTSA-N 0 0 429.587 -0.102 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000669889748 1072527220 /nfs/dbraw/zinc/52/72/20/1072527220.db2.gz KMMPZHKBFNHFAD-UHFFFAOYSA-N 0 0 430.552 -0.076 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1 ZINC000669889874 1072530691 /nfs/dbraw/zinc/53/06/91/1072530691.db2.gz PGILQKYDJQHFNK-UHFFFAOYSA-N 0 0 440.551 -0.337 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000669890226 1072530599 /nfs/dbraw/zinc/53/05/99/1072530599.db2.gz CQZQWRAVRDGOAV-UHFFFAOYSA-N 0 0 426.467 -0.378 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC2CC(NS(C)(=O)=O)C2)C1 ZINC000669890664 1072530094 /nfs/dbraw/zinc/53/00/94/1072530094.db2.gz WWCDMEKEEWWLNA-JXQTWKCFSA-N 0 0 426.561 -0.851 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC2CC(NS(C)(=O)=O)C2)C1 ZINC000669890665 1072530171 /nfs/dbraw/zinc/53/01/71/1072530171.db2.gz WWCDMEKEEWWLNA-RUXDESIVSA-N 0 0 426.561 -0.851 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC000669890821 1072530733 /nfs/dbraw/zinc/53/07/33/1072530733.db2.gz JVUUAQNDBDHYEN-UHFFFAOYSA-N 0 0 439.542 -0.775 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC000669892540 1072531347 /nfs/dbraw/zinc/53/13/47/1072531347.db2.gz XDNUKPZCCFGKIL-INIZCTEOSA-N 0 0 439.538 -0.200 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC000669892541 1072531329 /nfs/dbraw/zinc/53/13/29/1072531329.db2.gz XDNUKPZCCFGKIL-MRXNPFEDSA-N 0 0 439.538 -0.200 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000669918758 1072556602 /nfs/dbraw/zinc/55/66/02/1072556602.db2.gz CAVIQMCDWZYXDZ-BZTHDZJNSA-N 0 0 435.568 -0.708 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000669918762 1072556518 /nfs/dbraw/zinc/55/65/18/1072556518.db2.gz CAVIQMCDWZYXDZ-HKKVPVCXSA-N 0 0 435.568 -0.708 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000669918764 1072556648 /nfs/dbraw/zinc/55/66/48/1072556648.db2.gz CAVIQMCDWZYXDZ-HTXSYXIBSA-N 0 0 435.568 -0.708 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000669918768 1072556575 /nfs/dbraw/zinc/55/65/75/1072556575.db2.gz CAVIQMCDWZYXDZ-NNZPWHBESA-N 0 0 435.568 -0.708 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC2CC(NS(C)(=O)=O)C2)cc1OC ZINC000669919999 1072560565 /nfs/dbraw/zinc/56/05/65/1072560565.db2.gz CBWSEQFTGKYSAM-UHFFFAOYSA-N 0 0 435.524 -0.431 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000669920021 1072561196 /nfs/dbraw/zinc/56/11/96/1072561196.db2.gz DBNMFWVEVIKFFD-UHFFFAOYSA-N 0 0 447.535 -0.287 20 0 IBADRN Cc1ccc(C(=O)NC2CC(NS(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000669920625 1072558828 /nfs/dbraw/zinc/55/88/28/1072558828.db2.gz SOUVWRXEGDMIIC-UHFFFAOYSA-N 0 0 431.536 -0.174 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000669920675 1072559182 /nfs/dbraw/zinc/55/91/82/1072559182.db2.gz KDVVBGOMZKPCLC-FNBIGBAISA-N 0 0 432.524 -0.492 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000669920772 1072558186 /nfs/dbraw/zinc/55/81/86/1072558186.db2.gz WFGYQYSJYGGJGY-UHFFFAOYSA-N 0 0 434.540 -0.925 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC3CC(NS(C)(=O)=O)C3)CC2)cn1C ZINC000669921180 1072562149 /nfs/dbraw/zinc/56/21/49/1072562149.db2.gz BHYJAVVFVPKXGZ-UHFFFAOYSA-N 0 0 433.556 -0.674 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC2CC(NS(C)(=O)=O)C2)C1 ZINC000669921394 1072561663 /nfs/dbraw/zinc/56/16/63/1072561663.db2.gz KMFNMBCSNMFUOV-HSBZDZAISA-N 0 0 433.556 -0.376 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC2CC(NS(C)(=O)=O)C2)C1 ZINC000669921395 1072561713 /nfs/dbraw/zinc/56/17/13/1072561713.db2.gz KMFNMBCSNMFUOV-IYXRBSQSSA-N 0 0 433.556 -0.376 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000669921547 1072561786 /nfs/dbraw/zinc/56/17/86/1072561786.db2.gz NKEGKJXYXOHLHN-BRNNPLJLSA-N 0 0 447.535 -0.985 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000669921548 1072561751 /nfs/dbraw/zinc/56/17/51/1072561751.db2.gz NKEGKJXYXOHLHN-CULRUXPZSA-N 0 0 447.535 -0.985 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC1CC(NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000669922363 1072562168 /nfs/dbraw/zinc/56/21/68/1072562168.db2.gz YKLZTIOCKRMRLG-KVULBXGLSA-N 0 0 431.536 -0.362 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC1CC(NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000669922364 1072562290 /nfs/dbraw/zinc/56/22/90/1072562290.db2.gz YKLZTIOCKRMRLG-MQBCKMQZSA-N 0 0 431.536 -0.362 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000669922736 1072562203 /nfs/dbraw/zinc/56/22/03/1072562203.db2.gz NWBMNBRCSJOVCV-UHFFFAOYSA-N 0 0 431.536 -0.174 20 0 IBADRN COc1ccc(C(=O)NC2CC(NS(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000669922750 1072562246 /nfs/dbraw/zinc/56/22/46/1072562246.db2.gz OMKGVWYRLYUQJP-UHFFFAOYSA-N 0 0 447.535 -0.474 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000669922778 1072562182 /nfs/dbraw/zinc/56/21/82/1072562182.db2.gz PENFHJCTDFPQTK-UHFFFAOYSA-N 0 0 434.540 -0.111 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC000669922861 1072562805 /nfs/dbraw/zinc/56/28/05/1072562805.db2.gz SJTXZITZLHDHFS-UHFFFAOYSA-N 0 0 437.565 -0.492 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000669923039 1072563822 /nfs/dbraw/zinc/56/38/22/1072563822.db2.gz ASWKOBFWCOCDFS-UHFFFAOYSA-N 0 0 432.524 -0.538 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000669923082 1072563916 /nfs/dbraw/zinc/56/39/16/1072563916.db2.gz CDKDSMNDNPMHKU-UHFFFAOYSA-N 0 0 447.535 -0.287 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC2CC(NS(C)(=O)=O)C2)C1 ZINC000669923210 1072563156 /nfs/dbraw/zinc/56/31/56/1072563156.db2.gz IONRTWSGURYWKJ-HSBZDZAISA-N 0 0 434.540 -0.111 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC2CC(NS(C)(=O)=O)C2)C1 ZINC000669923211 1072563243 /nfs/dbraw/zinc/56/32/43/1072563243.db2.gz IONRTWSGURYWKJ-IYXRBSQSSA-N 0 0 434.540 -0.111 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC000669923280 1072563923 /nfs/dbraw/zinc/56/39/23/1072563923.db2.gz LPEDAHKVVZROTA-NRXISQOPSA-N 0 0 431.536 -0.046 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC000669923281 1072563893 /nfs/dbraw/zinc/56/38/93/1072563893.db2.gz LPEDAHKVVZROTA-YMAMQOFZSA-N 0 0 431.536 -0.046 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC2CC(NS(C)(=O)=O)C2)cc1OCC ZINC000669923293 1072563666 /nfs/dbraw/zinc/56/36/66/1072563666.db2.gz LZCXXAXYOBSSCI-UHFFFAOYSA-N 0 0 449.551 -0.041 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000669923425 1072563205 /nfs/dbraw/zinc/56/32/05/1072563205.db2.gz RYBLDJTXIHJEQL-UHFFFAOYSA-N 0 0 433.556 -0.376 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000669923888 1072564274 /nfs/dbraw/zinc/56/42/74/1072564274.db2.gz KPXIGQGYMRUMBG-SJQFEJMWSA-N 0 0 435.499 -0.854 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC3CC(NS(C)(=O)=O)C3)CC2)c1 ZINC000669923958 1072564919 /nfs/dbraw/zinc/56/49/19/1072564919.db2.gz NMCYPVWMDKVXTD-UHFFFAOYSA-N 0 0 444.579 -0.357 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC2CC(NS(C)(=O)=O)C2)cc1C ZINC000669924121 1072564908 /nfs/dbraw/zinc/56/49/08/1072564908.db2.gz VAAZCNCBRISJMJ-BOXZVXIZSA-N 0 0 445.563 -0.376 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC2CC(NS(C)(=O)=O)C2)cc1C ZINC000669924122 1072564767 /nfs/dbraw/zinc/56/47/67/1072564767.db2.gz VAAZCNCBRISJMJ-NDTCATRNSA-N 0 0 445.563 -0.376 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000669924322 1072565359 /nfs/dbraw/zinc/56/53/59/1072565359.db2.gz DDQCTWZVKDPTSJ-UHFFFAOYSA-N 0 0 445.563 -0.164 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000669924524 1072564968 /nfs/dbraw/zinc/56/49/68/1072564968.db2.gz MUSYZTDSZODFHR-HIFPTAJRSA-N 0 0 447.535 -0.289 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000669924828 1072564258 /nfs/dbraw/zinc/56/42/58/1072564258.db2.gz ZKGMRMFLKWMZSX-UHFFFAOYSA-N 0 0 447.535 -0.474 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CC(NS(C)(=O)=O)C3)CC2)cc1 ZINC000669925495 1072565832 /nfs/dbraw/zinc/56/58/32/1072565832.db2.gz AKMWSPHUZNWOGR-UHFFFAOYSA-N 0 0 444.579 -0.502 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000669925742 1072566860 /nfs/dbraw/zinc/56/68/60/1072566860.db2.gz KVAQVPUBTYTFFB-UHFFFAOYSA-N 0 0 446.370 -0.015 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000669926025 1072568807 /nfs/dbraw/zinc/56/88/07/1072568807.db2.gz XRVCNGKRMXYTIW-SEEARECTSA-N 0 0 435.506 -0.267 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC000669926026 1072568652 /nfs/dbraw/zinc/56/86/52/1072568652.db2.gz XRVCNGKRMXYTIW-ZUEPYMLJSA-N 0 0 435.506 -0.267 20 0 IBADRN CCCN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)[C@H]1CC(=O)N(C)C1=O ZINC000669926699 1072568323 /nfs/dbraw/zinc/56/83/23/1072568323.db2.gz CNAYALCUXZJQAJ-HNNXBMFYSA-N 0 0 426.495 -0.345 20 0 IBADRN CCCN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)[C@@H]1CC(=O)N(C)C1=O ZINC000669926700 1072568191 /nfs/dbraw/zinc/56/81/91/1072568191.db2.gz CNAYALCUXZJQAJ-OAHLLOKOSA-N 0 0 426.495 -0.345 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC(=O)N(CCOC)C2)c1 ZINC000669943426 1072590928 /nfs/dbraw/zinc/59/09/28/1072590928.db2.gz MGHICWNJXKXIDT-UHFFFAOYSA-N 0 0 440.522 -0.278 20 0 IBADRN COCCN1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1=O ZINC000669943536 1072591448 /nfs/dbraw/zinc/59/14/48/1072591448.db2.gz XRKMTNGLDPAXKK-HIFRSBDPSA-N 0 0 431.898 -0.261 20 0 IBADRN COCCN1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1=O ZINC000669943537 1072591422 /nfs/dbraw/zinc/59/14/22/1072591422.db2.gz XRKMTNGLDPAXKK-ZFWWWQNUSA-N 0 0 431.898 -0.261 20 0 IBADRN COCCN1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1=O ZINC000669943563 1072590954 /nfs/dbraw/zinc/59/09/54/1072590954.db2.gz HNOJSOLFQCQAIK-BBRMVZONSA-N 0 0 427.479 -0.906 20 0 IBADRN COCCN1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1=O ZINC000669943564 1072590679 /nfs/dbraw/zinc/59/06/79/1072590679.db2.gz HNOJSOLFQCQAIK-CJNGLKHVSA-N 0 0 427.479 -0.906 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@@H]4COC[C@H](C3)O4)CC2)cc1 ZINC000669943594 1072590915 /nfs/dbraw/zinc/59/09/15/1072590915.db2.gz WLRKRURSEBNPOG-HDICACEKSA-N 0 0 437.518 -0.178 20 0 IBADRN COCCN1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1=O ZINC000669943838 1072590852 /nfs/dbraw/zinc/59/08/52/1072590852.db2.gz IRUQKRFLSKESHZ-RDJZCZTQSA-N 0 0 425.507 -0.298 20 0 IBADRN COCCN1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1=O ZINC000669943839 1072590896 /nfs/dbraw/zinc/59/08/96/1072590896.db2.gz IRUQKRFLSKESHZ-WBVHZDCISA-N 0 0 425.507 -0.298 20 0 IBADRN COCCN1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1=O ZINC000669943960 1072591322 /nfs/dbraw/zinc/59/13/22/1072591322.db2.gz WZLNSMPZTYPGND-ZDUSSCGKSA-N 0 0 427.479 -0.211 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000669945716 1072591368 /nfs/dbraw/zinc/59/13/68/1072591368.db2.gz ULXOXRABYXHWSY-UHFFFAOYSA-N 0 0 432.524 -0.091 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000669946995 1072592642 /nfs/dbraw/zinc/59/26/42/1072592642.db2.gz DYMUQIQZKLDEAK-KFWWJZLASA-N 0 0 445.519 -0.217 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000669946996 1072592533 /nfs/dbraw/zinc/59/25/33/1072592533.db2.gz DYMUQIQZKLDEAK-SOUVJXGZSA-N 0 0 445.519 -0.217 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC3CC(NS(C)(=O)=O)C3)c2)CC1 ZINC000669951211 1072594984 /nfs/dbraw/zinc/59/49/84/1072594984.db2.gz URTXSBCTYUQBFA-UHFFFAOYSA-N 0 0 445.567 -0.176 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000669954240 1072596067 /nfs/dbraw/zinc/59/60/67/1072596067.db2.gz BIKQYDSHOSMHFP-UHFFFAOYSA-N 0 0 446.555 -0.635 20 0 IBADRN COCCN1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1=O ZINC000669954333 1072595724 /nfs/dbraw/zinc/59/57/24/1072595724.db2.gz QKROWSFEPTXPQC-UHFFFAOYSA-N 0 0 426.499 -0.557 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)NC3CC(NS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000669956910 1072596911 /nfs/dbraw/zinc/59/69/11/1072596911.db2.gz DODKMRPKQFARBT-FEPKRQSRSA-N 0 0 428.515 -0.279 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC3CC(NS(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000669956911 1072596861 /nfs/dbraw/zinc/59/68/61/1072596861.db2.gz DODKMRPKQFARBT-IWMBGFJWSA-N 0 0 428.515 -0.279 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NC3CC(NS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000669956912 1072596879 /nfs/dbraw/zinc/59/68/79/1072596879.db2.gz DODKMRPKQFARBT-VTXSZYRJSA-N 0 0 428.515 -0.279 20 0 IBADRN COCCN1CN(C(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)CC1=O ZINC000669957583 1072596903 /nfs/dbraw/zinc/59/69/03/1072596903.db2.gz UTQCBUHCELQBPH-UHFFFAOYSA-N 0 0 432.437 -0.541 20 0 IBADRN CCN1CC[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000669963370 1072600627 /nfs/dbraw/zinc/60/06/27/1072600627.db2.gz CBWUQXSHOLDOGN-DZGCQCFKSA-N 0 0 444.535 -0.106 20 0 IBADRN CCN1CC[C@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000669963371 1072600709 /nfs/dbraw/zinc/60/07/09/1072600709.db2.gz CBWUQXSHOLDOGN-HIFRSBDPSA-N 0 0 444.535 -0.106 20 0 IBADRN CCN1CC[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000669963372 1072600649 /nfs/dbraw/zinc/60/06/49/1072600649.db2.gz CBWUQXSHOLDOGN-UKRRQHHQSA-N 0 0 444.535 -0.106 20 0 IBADRN CCN1CC[C@H](NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1=O ZINC000669963373 1072600559 /nfs/dbraw/zinc/60/05/59/1072600559.db2.gz CBWUQXSHOLDOGN-ZFWWWQNUSA-N 0 0 444.535 -0.106 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3cc(S(C)(=O)=O)ccc3OC)CCN2C1=O ZINC000669977044 1072602567 /nfs/dbraw/zinc/60/25/67/1072602567.db2.gz LOBVBWMKJWLZME-GFCCVEGCSA-N 0 0 431.492 -0.244 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3cc(S(C)(=O)=O)ccc3OC)CCN2C1=O ZINC000669977047 1072602644 /nfs/dbraw/zinc/60/26/44/1072602644.db2.gz LOBVBWMKJWLZME-LBPRGKRZSA-N 0 0 431.492 -0.244 20 0 IBADRN CCN1CC[C@H](NS(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000669984118 1072602616 /nfs/dbraw/zinc/60/26/16/1072602616.db2.gz UUTDZLSLMVPPCG-HNNXBMFYSA-N 0 0 431.536 -0.085 20 0 IBADRN CCN1CC[C@@H](NS(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000669984119 1072603248 /nfs/dbraw/zinc/60/32/48/1072603248.db2.gz UUTDZLSLMVPPCG-OAHLLOKOSA-N 0 0 431.536 -0.085 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000669994005 1072603755 /nfs/dbraw/zinc/60/37/55/1072603755.db2.gz FVMBPLNCQSAKPP-UHFFFAOYSA-N 0 0 433.512 -0.696 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC000670016717 1072605247 /nfs/dbraw/zinc/60/52/47/1072605247.db2.gz RKZUQZTVLRCHPS-ACJLOTCBSA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC000670016718 1072605032 /nfs/dbraw/zinc/60/50/32/1072605032.db2.gz RKZUQZTVLRCHPS-FZKQIMNGSA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC000670016719 1072605191 /nfs/dbraw/zinc/60/51/91/1072605191.db2.gz RKZUQZTVLRCHPS-SCLBCKFNSA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC000670016720 1072605251 /nfs/dbraw/zinc/60/52/51/1072605251.db2.gz RKZUQZTVLRCHPS-UGSOOPFHSA-N 0 0 427.527 -0.084 20 0 IBADRN CCN1CC[C@H](NC(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000670030153 1072621657 /nfs/dbraw/zinc/62/16/57/1072621657.db2.gz CETYEVBFOKKKLI-HNNXBMFYSA-N 0 0 425.507 -0.004 20 0 IBADRN CCN1CC[C@@H](NC(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000670030154 1072621572 /nfs/dbraw/zinc/62/15/72/1072621572.db2.gz CETYEVBFOKKKLI-OAHLLOKOSA-N 0 0 425.507 -0.004 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000670042669 1072623207 /nfs/dbraw/zinc/62/32/07/1072623207.db2.gz CQRNOMDIIKQOIH-HNNXBMFYSA-N 0 0 447.583 -0.123 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000670042670 1072623346 /nfs/dbraw/zinc/62/33/46/1072623346.db2.gz CQRNOMDIIKQOIH-OAHLLOKOSA-N 0 0 447.583 -0.123 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1 ZINC000670052350 1072624560 /nfs/dbraw/zinc/62/45/60/1072624560.db2.gz CPOOHHIFENZPQV-GXTWGEPZSA-N 0 0 446.551 -0.412 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1 ZINC000670052351 1072624535 /nfs/dbraw/zinc/62/45/35/1072624535.db2.gz CPOOHHIFENZPQV-JSGCOSHPSA-N 0 0 446.551 -0.412 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000670067740 1072633797 /nfs/dbraw/zinc/63/37/97/1072633797.db2.gz POFVDJWMJSEYPN-AWEZNQCLSA-N 0 0 440.522 -0.879 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000670072989 1072637347 /nfs/dbraw/zinc/63/73/47/1072637347.db2.gz CWUTYUFHXJWNPT-AWEZNQCLSA-N 0 0 447.517 -0.002 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)Nc2cnn(CC(=O)NCCO)c2)cc1 ZINC000670085180 1072643206 /nfs/dbraw/zinc/64/32/06/1072643206.db2.gz PYYJXLOHYJDYSN-LBPRGKRZSA-N 0 0 437.478 -0.500 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1cncc(-n2ccnn2)c1)c1cncc(-n2ccnn2)c1 ZINC000670090088 1072643743 /nfs/dbraw/zinc/64/37/43/1072643743.db2.gz QRBWRKLCEFKOFS-HNNXBMFYSA-N 0 0 434.420 -0.841 20 0 IBADRN CCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000670090122 1072643715 /nfs/dbraw/zinc/64/37/15/1072643715.db2.gz VWCBMBAJTMANMI-UHFFFAOYSA-N 0 0 432.514 -0.131 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCO[C@@H](CN(C)CC(N)=O)C2)cc1 ZINC000670092464 1072643818 /nfs/dbraw/zinc/64/38/18/1072643818.db2.gz SLQBMEVZGZGWDH-BBRMVZONSA-N 0 0 440.522 -0.800 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCO[C@H](CN(C)CC(N)=O)C2)cc1 ZINC000670092465 1072643599 /nfs/dbraw/zinc/64/35/99/1072643599.db2.gz SLQBMEVZGZGWDH-XJKSGUPXSA-N 0 0 440.522 -0.800 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)cc1 ZINC000670093513 1072644307 /nfs/dbraw/zinc/64/43/07/1072644307.db2.gz ZMFLESPFXWPGJC-LBPRGKRZSA-N 0 0 448.505 -0.017 20 0 IBADRN C[C@@](O)(CO)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000670104628 1072646856 /nfs/dbraw/zinc/64/68/56/1072646856.db2.gz GRJJWVJSKHCNQF-DVJZZOLTSA-N 0 0 427.306 -0.023 20 0 IBADRN C[C@](O)(CO)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000670104629 1072646842 /nfs/dbraw/zinc/64/68/42/1072646842.db2.gz GRJJWVJSKHCNQF-DYQSUWPFSA-N 0 0 427.306 -0.023 20 0 IBADRN C[C@@](O)(CO)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000670104630 1072646906 /nfs/dbraw/zinc/64/69/06/1072646906.db2.gz GRJJWVJSKHCNQF-FGEZKYSXSA-N 0 0 427.306 -0.023 20 0 IBADRN C[C@](O)(CO)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000670104631 1072646812 /nfs/dbraw/zinc/64/68/12/1072646812.db2.gz GRJJWVJSKHCNQF-ZDBHGNHJSA-N 0 0 427.306 -0.023 20 0 IBADRN O=C(CN1CC[C@@](F)(CO)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000670111513 1072648483 /nfs/dbraw/zinc/64/84/83/1072648483.db2.gz FKIMBOLCCIYPRB-IBGZPJMESA-N 0 0 443.497 -0.303 20 0 IBADRN O=C(CN1CC[C@](F)(CO)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000670111514 1072648631 /nfs/dbraw/zinc/64/86/31/1072648631.db2.gz FKIMBOLCCIYPRB-LJQANCHMSA-N 0 0 443.497 -0.303 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@](F)(CO)C3)CC2)c1 ZINC000670111728 1072647923 /nfs/dbraw/zinc/64/79/23/1072647923.db2.gz ZLUYCPRGEQOWRU-IBGZPJMESA-N 0 0 445.513 -0.057 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CC[C@](F)(CO)C3)CC2)c1 ZINC000670111729 1072648088 /nfs/dbraw/zinc/64/80/88/1072648088.db2.gz ZLUYCPRGEQOWRU-LJQANCHMSA-N 0 0 445.513 -0.057 20 0 IBADRN COCCN(C(=O)CN1CC[C@@](F)(CO)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000670111877 1072648051 /nfs/dbraw/zinc/64/80/51/1072648051.db2.gz VPKVIYGJROIGQD-NRFANRHFSA-N 0 0 449.483 -0.035 20 0 IBADRN COCCN(C(=O)CN1CC[C@](F)(CO)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000670111878 1072648036 /nfs/dbraw/zinc/64/80/36/1072648036.db2.gz VPKVIYGJROIGQD-OAQYLSRUSA-N 0 0 449.483 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@](F)(CO)C3)CC2)cc1OC ZINC000670112907 1072648444 /nfs/dbraw/zinc/64/84/44/1072648444.db2.gz DBRYNMLDFBCOKE-IBGZPJMESA-N 0 0 445.513 -0.057 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@](F)(CO)C3)CC2)cc1OC ZINC000670112908 1072648472 /nfs/dbraw/zinc/64/84/72/1072648472.db2.gz DBRYNMLDFBCOKE-LJQANCHMSA-N 0 0 445.513 -0.057 20 0 IBADRN CCn1c(Cn2nc(C)cc2C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000670113950 1072648556 /nfs/dbraw/zinc/64/85/56/1072648556.db2.gz WJFLMYRKZSQRRQ-UHFFFAOYSA-N 0 0 428.501 -0.374 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000670125082 1072654208 /nfs/dbraw/zinc/65/42/08/1072654208.db2.gz LDGMMQLMRBONNQ-UHFFFAOYSA-N 0 0 434.540 -0.821 20 0 IBADRN COCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1Cc1ccccc1 ZINC000670125829 1072654845 /nfs/dbraw/zinc/65/48/45/1072654845.db2.gz NBOCNVLRPKJOIX-UHFFFAOYSA-N 0 0 426.481 -0.061 20 0 IBADRN COCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1ccccc1 ZINC000670132249 1072662796 /nfs/dbraw/zinc/66/27/96/1072662796.db2.gz KLJGQKAQPVHMBA-UHFFFAOYSA-N 0 0 428.493 -0.020 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CCC(=O)NC2(C)C)cc1 ZINC000670146864 1072670194 /nfs/dbraw/zinc/67/01/94/1072670194.db2.gz MOTAINRNVSXGLX-KCXAZCMYSA-N 0 0 425.507 -0.008 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H]2CCC(=O)NC2(C)C)cc1 ZINC000670146865 1072670236 /nfs/dbraw/zinc/67/02/36/1072670236.db2.gz MOTAINRNVSXGLX-RCBQFDQVSA-N 0 0 425.507 -0.008 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CCC(=O)NC2(C)C)cc1 ZINC000670146866 1072670332 /nfs/dbraw/zinc/67/03/32/1072670332.db2.gz MOTAINRNVSXGLX-UHOFOFEASA-N 0 0 425.507 -0.008 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CCC(=O)NC2(C)C)cc1 ZINC000670146867 1072670230 /nfs/dbraw/zinc/67/02/30/1072670230.db2.gz MOTAINRNVSXGLX-VBNZEHGJSA-N 0 0 425.507 -0.008 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000670150505 1072676101 /nfs/dbraw/zinc/67/61/01/1072676101.db2.gz UHTLKMUJORRUSF-UHFFFAOYSA-N 0 0 447.535 -0.059 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000670150892 1072676057 /nfs/dbraw/zinc/67/60/57/1072676057.db2.gz XPZSGNCTRWXUSU-UHFFFAOYSA-N 0 0 435.300 -0.262 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000670151367 1072677284 /nfs/dbraw/zinc/67/72/84/1072677284.db2.gz FVYUGGPCZZNGLV-LBPRGKRZSA-N 0 0 434.540 -0.015 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000670162675 1072574649 /nfs/dbraw/zinc/57/46/49/1072574649.db2.gz IPXBOUGITLCRRQ-HNNXBMFYSA-N 0 0 432.568 -0.563 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000670162676 1072680811 /nfs/dbraw/zinc/68/08/11/1072680811.db2.gz IPXBOUGITLCRRQ-OAHLLOKOSA-N 0 0 432.568 -0.563 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000670163097 1072680745 /nfs/dbraw/zinc/68/07/45/1072680745.db2.gz VKSKAVVLQMMUDG-CYBMUJFWSA-N 0 0 429.524 -0.428 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000670163098 1072680754 /nfs/dbraw/zinc/68/07/54/1072680754.db2.gz VKSKAVVLQMMUDG-ZDUSSCGKSA-N 0 0 429.524 -0.428 20 0 IBADRN CCN1CC[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCN(CC)C2=O)Cc2ccccc2)C1=O ZINC000670169244 1072682837 /nfs/dbraw/zinc/68/28/37/1072682837.db2.gz DPFWMGHAAGQACZ-KDURUIRLSA-N 0 0 443.548 -0.037 20 0 IBADRN CCN1CC[C@H](NC(=O)CN(CC(=O)N[C@H]2CCN(CC)C2=O)Cc2ccccc2)C1=O ZINC000670169245 1072682808 /nfs/dbraw/zinc/68/28/08/1072682808.db2.gz DPFWMGHAAGQACZ-OALUTQOASA-N 0 0 443.548 -0.037 20 0 IBADRN CCN1CC[C@@H](NC(=O)CN(CC(=O)N[C@@H]2CCN(CC)C2=O)Cc2ccccc2)C1=O ZINC000670169246 1072682831 /nfs/dbraw/zinc/68/28/31/1072682831.db2.gz DPFWMGHAAGQACZ-RTBURBONSA-N 0 0 443.548 -0.037 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NC3CC(NS(C)(=O)=O)C3)no2)cn1 ZINC000670177512 1072688222 /nfs/dbraw/zinc/68/82/22/1072688222.db2.gz DMAYFWPETMGGBI-BPCQOVAHSA-N 0 0 425.471 -0.367 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NC3CC(NS(C)(=O)=O)C3)no2)cn1 ZINC000670177513 1072688058 /nfs/dbraw/zinc/68/80/58/1072688058.db2.gz DMAYFWPETMGGBI-WXRRBKDZSA-N 0 0 425.471 -0.367 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000670178465 1072689350 /nfs/dbraw/zinc/68/93/50/1072689350.db2.gz NDHGPJPTOZKGNI-UHFFFAOYSA-N 0 0 426.561 -0.851 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC000670178578 1072688613 /nfs/dbraw/zinc/68/86/13/1072688613.db2.gz QWVLVUBPFFWMDX-UHFFFAOYSA-N 0 0 428.536 -0.147 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1 ZINC000670178975 1072688759 /nfs/dbraw/zinc/68/87/59/1072688759.db2.gz FPKQPPSYEGZJGR-UHFFFAOYSA-N 0 0 441.529 -0.037 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000670179184 1072690779 /nfs/dbraw/zinc/69/07/79/1072690779.db2.gz LJYSYGJMTSKVGA-JXQTWKCFSA-N 0 0 426.495 -0.310 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC000670179185 1072690805 /nfs/dbraw/zinc/69/08/05/1072690805.db2.gz LJYSYGJMTSKVGA-RUXDESIVSA-N 0 0 426.495 -0.310 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC3CC(NS(C)(=O)=O)C3)C2)cc1 ZINC000670179961 1072690429 /nfs/dbraw/zinc/69/04/29/1072690429.db2.gz URNYJZYWCUHBFJ-UHFFFAOYSA-N 0 0 432.524 -0.553 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000670183211 1072692885 /nfs/dbraw/zinc/69/28/85/1072692885.db2.gz LTPDXKDBVGTMAH-GOSISDBHSA-N 0 0 440.508 -0.239 20 0 IBADRN O=C(Cc1ccccc1)N1CCC[C@H](NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000670183212 1072693662 /nfs/dbraw/zinc/69/36/62/1072693662.db2.gz LTPDXKDBVGTMAH-SFHVURJKSA-N 0 0 440.508 -0.239 20 0 IBADRN CCN1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1=O ZINC000670192271 1072699457 /nfs/dbraw/zinc/69/94/57/1072699457.db2.gz VRGMZIFERZAZNE-INIZCTEOSA-N 0 0 435.506 -0.182 20 0 IBADRN CCN1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1=O ZINC000670192272 1072699498 /nfs/dbraw/zinc/69/94/98/1072699498.db2.gz VRGMZIFERZAZNE-MRXNPFEDSA-N 0 0 435.506 -0.182 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(OC(F)F)cc2F)CC1 ZINC000670203795 1072705156 /nfs/dbraw/zinc/70/51/56/1072705156.db2.gz ODPXVKZQBQOSSG-UHFFFAOYSA-N 0 0 427.343 -0.277 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000670227981 1072716192 /nfs/dbraw/zinc/71/61/92/1072716192.db2.gz FQNAAJQNZMAGJZ-UHFFFAOYSA-N 0 0 426.495 -0.078 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670228021 1072716065 /nfs/dbraw/zinc/71/60/65/1072716065.db2.gz MZBCWPISQOGYLS-UHFFFAOYSA-N 0 0 432.524 -0.027 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1 ZINC000670228085 1072715650 /nfs/dbraw/zinc/71/56/50/1072715650.db2.gz YAEDDCPRATYBFS-UHFFFAOYSA-N 0 0 444.535 -0.944 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)C1 ZINC000670228121 1072716252 /nfs/dbraw/zinc/71/62/52/1072716252.db2.gz CFIDIABXZFIAHX-UHFFFAOYSA-N 0 0 438.506 -0.270 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000670228147 1072716042 /nfs/dbraw/zinc/71/60/42/1072716042.db2.gz DZHZTZIYLWQWLB-UHFFFAOYSA-N 0 0 448.498 -0.599 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670228154 1072716091 /nfs/dbraw/zinc/71/60/91/1072716091.db2.gz FHWQMTSNTOCUTC-UHFFFAOYSA-N 0 0 446.551 -0.100 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC000670228161 1072716503 /nfs/dbraw/zinc/71/65/03/1072716503.db2.gz FMYKJFQJTUMRKQ-UHFFFAOYSA-N 0 0 444.535 -0.429 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670228176 1073023291 /nfs/dbraw/zinc/02/32/91/1073023291.db2.gz GTFRWMKRMIPLMI-UHFFFAOYSA-N 0 0 432.524 -0.492 20 0 IBADRN COCCNC(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1Cl ZINC000670228189 1072716674 /nfs/dbraw/zinc/71/66/74/1072716674.db2.gz HNRLQGHBWKENHQ-UHFFFAOYSA-N 0 0 446.913 -0.149 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670228217 1072717996 /nfs/dbraw/zinc/71/79/96/1072717996.db2.gz JQDVDIVXMHFJNE-UHFFFAOYSA-N 0 0 436.487 -0.789 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670228249 1072718453 /nfs/dbraw/zinc/71/84/53/1072718453.db2.gz LONFYCZMWXBLEK-UHFFFAOYSA-N 0 0 434.478 -0.585 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670228250 1072718480 /nfs/dbraw/zinc/71/84/80/1072718480.db2.gz LUWGZZLHJYZWPH-LMWSTFAQSA-N 0 0 446.551 -0.102 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670228251 1072718488 /nfs/dbraw/zinc/71/84/88/1072718488.db2.gz LUWGZZLHJYZWPH-XGNXJENSSA-N 0 0 446.551 -0.102 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670228252 1072718431 /nfs/dbraw/zinc/71/84/31/1072718431.db2.gz LWYAVYPBZZIEKJ-UHFFFAOYSA-N 0 0 433.508 -0.758 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NC3CC(NS(C)(=O)=O)C3)c2)CC1 ZINC000670228280 1072718376 /nfs/dbraw/zinc/71/83/76/1072718376.db2.gz NYQRHONWNGSLCM-UHFFFAOYSA-N 0 0 437.522 -0.510 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1-n1nnnc1C1CC1 ZINC000670228346 1072718021 /nfs/dbraw/zinc/71/80/21/1072718021.db2.gz SARXEKHXBGEURU-UHFFFAOYSA-N 0 0 449.493 -0.317 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000670228371 1073023373 /nfs/dbraw/zinc/02/33/73/1073023373.db2.gz UQQSHPGNBMNJIT-SEEARECTSA-N 0 0 438.506 -0.497 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c2ccccc2O1 ZINC000670228372 1072718018 /nfs/dbraw/zinc/71/80/18/1072718018.db2.gz UQQSHPGNBMNJIT-ZUEPYMLJSA-N 0 0 438.506 -0.497 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000670228392 1073023366 /nfs/dbraw/zinc/02/33/66/1073023366.db2.gz VJJVXHWYNJQUJA-UHFFFAOYSA-N 0 0 438.506 -0.398 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000670228393 1072718012 /nfs/dbraw/zinc/71/80/12/1072718012.db2.gz VJWPMTMTEVYJSE-UHFFFAOYSA-N 0 0 426.495 -0.078 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NC3CC(NS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000670228407 1073023180 /nfs/dbraw/zinc/02/31/80/1073023180.db2.gz WGUDPMPGRJDNJY-AVJIGQEQSA-N 0 0 425.511 -0.170 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NC3CC(NS(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC000670228408 1072718029 /nfs/dbraw/zinc/71/80/29/1072718029.db2.gz WGUDPMPGRJDNJY-HGWMRPEMSA-N 0 0 425.511 -0.170 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)NC3CC(NS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC000670228409 1072718005 /nfs/dbraw/zinc/71/80/05/1072718005.db2.gz WGUDPMPGRJDNJY-XOEAPDPESA-N 0 0 425.511 -0.170 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670228416 1073023380 /nfs/dbraw/zinc/02/33/80/1073023380.db2.gz WVIHMVJHFRDYDC-UHFFFAOYSA-N 0 0 432.524 -0.027 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1)n1cncn1 ZINC000670228419 1073023300 /nfs/dbraw/zinc/02/33/00/1073023300.db2.gz WYAQZAPSJRMBML-QGLTVNCISA-N 0 0 449.493 -0.387 20 0 IBADRN C[C@H](C(=O)Nc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1)n1cncn1 ZINC000670228420 1072718015 /nfs/dbraw/zinc/71/80/15/1072718015.db2.gz WYAQZAPSJRMBML-VCANKDNSSA-N 0 0 449.493 -0.387 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670228426 1072718026 /nfs/dbraw/zinc/71/80/26/1072718026.db2.gz XCXBRJZDNIQXEY-UHFFFAOYSA-N 0 0 425.496 -0.481 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)C1 ZINC000670228443 1072718010 /nfs/dbraw/zinc/71/80/10/1072718010.db2.gz XUDJMXIWQRFZCU-UHFFFAOYSA-N 0 0 436.490 -0.139 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1N1CCCS1(=O)=O ZINC000670228446 1072718025 /nfs/dbraw/zinc/71/80/25/1072718025.db2.gz XYXUWNDYXZZGDM-UHFFFAOYSA-N 0 0 444.535 -0.330 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cc(N3CCCS3(=O)=O)ccc2F)C1 ZINC000670228506 1072717628 /nfs/dbraw/zinc/71/76/28/1072717628.db2.gz BSYYNMNFYLXQGM-UHFFFAOYSA-N 0 0 448.498 -0.500 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1C(=O)N1CCOCC1 ZINC000670228507 1072717515 /nfs/dbraw/zinc/71/75/15/1072717515.db2.gz BWLROZOWKJMGDJ-UHFFFAOYSA-N 0 0 438.506 -0.398 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)C1 ZINC000670228602 1072718038 /nfs/dbraw/zinc/71/80/38/1072718038.db2.gz JYVJFVVUZUWVAT-UHFFFAOYSA-N 0 0 438.506 -0.177 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000670228772 1072717588 /nfs/dbraw/zinc/71/75/88/1072717588.db2.gz WJLBZMKFNISTEF-UHFFFAOYSA-N 0 0 430.508 -0.639 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c(OCC(=O)N(C)C)c1 ZINC000670228777 1072717601 /nfs/dbraw/zinc/71/76/01/1072717601.db2.gz WOMXTBSYPWWJBZ-UHFFFAOYSA-N 0 0 426.495 -0.403 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)C1 ZINC000670228783 1072717621 /nfs/dbraw/zinc/71/76/21/1072717621.db2.gz XJEHPLZOCRVZIL-UHFFFAOYSA-N 0 0 438.506 -0.777 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)C1 ZINC000670228843 1072717527 /nfs/dbraw/zinc/71/75/27/1072717527.db2.gz AQKCSBJNMAVLIU-UHFFFAOYSA-N 0 0 442.469 -0.567 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)C1 ZINC000670228849 1072717482 /nfs/dbraw/zinc/71/74/82/1072717482.db2.gz AZKPZVTVFRDMIM-UHFFFAOYSA-N 0 0 430.508 -0.639 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1OC ZINC000670228852 1072717494 /nfs/dbraw/zinc/71/74/94/1072717494.db2.gz BGCDUVOHDAURHJ-UHFFFAOYSA-N 0 0 448.523 -0.408 20 0 IBADRN CCOCCCNC(=O)c1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670228860 1073024187 /nfs/dbraw/zinc/02/41/87/1073024187.db2.gz BTWRVYGNYTYYBR-UHFFFAOYSA-N 0 0 440.522 -0.022 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000670228866 1073024033 /nfs/dbraw/zinc/02/40/33/1073024033.db2.gz CEVZEGWJDVZODG-UHFFFAOYSA-N 0 0 448.523 -0.920 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000670228880 1072717552 /nfs/dbraw/zinc/71/75/52/1072717552.db2.gz DAPJZEUYDFCFOD-UHFFFAOYSA-N 0 0 430.508 -0.738 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670228934 1072717123 /nfs/dbraw/zinc/71/71/23/1072717123.db2.gz HEYSGCNSASBZIS-UHFFFAOYSA-N 0 0 446.551 -0.148 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)C1 ZINC000670229003 1073024120 /nfs/dbraw/zinc/02/41/20/1073024120.db2.gz LNURRDQAJPLWPP-UHFFFAOYSA-N 0 0 437.478 -0.201 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670229020 1072717074 /nfs/dbraw/zinc/71/70/74/1072717074.db2.gz MRDLPWQBSIHNOQ-UHFFFAOYSA-N 0 0 432.524 -0.490 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC000670229118 1072716612 /nfs/dbraw/zinc/71/66/12/1072716612.db2.gz USTYWTHHIAJYFL-UHFFFAOYSA-N 0 0 430.508 -0.738 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000670229128 1072716624 /nfs/dbraw/zinc/71/66/24/1072716624.db2.gz VDSMAMKSNYMTIB-UHFFFAOYSA-N 0 0 438.506 -0.398 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670229132 1072716598 /nfs/dbraw/zinc/71/65/98/1072716598.db2.gz VHZIVSZLTFYIJU-UHFFFAOYSA-N 0 0 446.551 -0.150 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000670229138 1072717030 /nfs/dbraw/zinc/71/70/30/1072717030.db2.gz VLGBNZRXEJMLHX-UHFFFAOYSA-N 0 0 437.522 -0.791 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(Cc3nnc4n3CCC4)cc2)C1 ZINC000670229143 1072717139 /nfs/dbraw/zinc/71/71/39/1072717139.db2.gz VXBUSLVYWPKCMR-UHFFFAOYSA-N 0 0 432.506 -0.050 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC1CC(NS(C)(=O)=O)C1)CC2 ZINC000670229152 1072716986 /nfs/dbraw/zinc/71/69/86/1072716986.db2.gz WIHKNIAAPLKAHG-UHFFFAOYSA-N 0 0 444.535 -0.930 20 0 IBADRN COc1cc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)ccc1S(=O)(=O)N(C)C ZINC000670229167 1072718014 /nfs/dbraw/zinc/71/80/14/1072718014.db2.gz XJNJPQQKIKOBGO-UHFFFAOYSA-N 0 0 448.523 -0.920 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000670229187 1072718008 /nfs/dbraw/zinc/71/80/08/1072718008.db2.gz YCVVLXVXLPCNCC-UHFFFAOYSA-N 0 0 444.535 -0.394 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC000670229205 1072718056 /nfs/dbraw/zinc/71/80/56/1072718056.db2.gz YSGZSPWTYWJYTP-UHFFFAOYSA-N 0 0 444.535 -0.394 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670229228 1072718972 /nfs/dbraw/zinc/71/89/72/1072718972.db2.gz AHJKDYGPXUBSPN-UHFFFAOYSA-N 0 0 444.535 -0.396 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)C1 ZINC000670229310 1073024165 /nfs/dbraw/zinc/02/41/65/1073024165.db2.gz FZTYTAUYJLPLJP-UHFFFAOYSA-N 0 0 448.498 -0.500 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)C1 ZINC000670229315 1072719036 /nfs/dbraw/zinc/71/90/36/1072719036.db2.gz GKFSGBZCHZWEFY-UHFFFAOYSA-N 0 0 425.435 -0.497 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000670229327 1072718999 /nfs/dbraw/zinc/71/89/99/1072718999.db2.gz HJGYZVICRXUAPL-SEEARECTSA-N 0 0 438.506 -0.261 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000670229328 1072718941 /nfs/dbraw/zinc/71/89/41/1072718941.db2.gz HJGYZVICRXUAPL-ZUEPYMLJSA-N 0 0 438.506 -0.261 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000670229355 1072718976 /nfs/dbraw/zinc/71/89/76/1072718976.db2.gz INRCCCCSIPNPCC-UHFFFAOYSA-N 0 0 446.551 -0.102 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000670229365 1072719430 /nfs/dbraw/zinc/71/94/30/1072719430.db2.gz JGDWAYMTQMSSLM-UHFFFAOYSA-N 0 0 430.508 -0.856 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC3CC(NS(C)(=O)=O)C3)cc2)CC1 ZINC000670229381 1072718928 /nfs/dbraw/zinc/71/89/28/1072718928.db2.gz KMFWQVDRRWQORZ-UHFFFAOYSA-N 0 0 437.522 -0.791 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)C1 ZINC000670229383 1072719042 /nfs/dbraw/zinc/71/90/42/1072719042.db2.gz KVGKYZLYKIKIHN-UHFFFAOYSA-N 0 0 434.474 -0.173 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670229459 1072719017 /nfs/dbraw/zinc/71/90/17/1072719017.db2.gz QUJIORITGTWFAP-UHFFFAOYSA-N 0 0 447.535 -0.368 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NC3CC(NS(C)(=O)=O)C3)ccc21 ZINC000670229465 1072719029 /nfs/dbraw/zinc/71/90/29/1072719029.db2.gz RCMBEERCTPRAMJ-UHFFFAOYSA-N 0 0 438.506 -0.253 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC000670229500 1072718964 /nfs/dbraw/zinc/71/89/64/1072718964.db2.gz UICLNBCDSBVVMT-UHFFFAOYSA-N 0 0 432.524 -0.620 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1C ZINC000670229529 1073024706 /nfs/dbraw/zinc/02/47/06/1073024706.db2.gz WOVIDWLHCKFNAE-UHFFFAOYSA-N 0 0 432.524 -0.108 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C1 ZINC000670229536 1072719392 /nfs/dbraw/zinc/71/93/92/1072719392.db2.gz WYDJEMOIQXIFHD-UHFFFAOYSA-N 0 0 445.523 -0.999 20 0 IBADRN Cc1c(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cccc1C(=O)N1CCOCC1 ZINC000670229637 1072718993 /nfs/dbraw/zinc/71/89/93/1072718993.db2.gz FYQPUTTZWFBVSJ-UHFFFAOYSA-N 0 0 438.506 -0.398 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)C1 ZINC000670229665 1072718391 /nfs/dbraw/zinc/71/83/91/1072718391.db2.gz HYKRLYWSJBEGAE-UHFFFAOYSA-N 0 0 429.462 -0.050 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1Cl ZINC000670229688 1072718509 /nfs/dbraw/zinc/71/85/09/1072718509.db2.gz KFYQKQOYLRFFHI-UHFFFAOYSA-N 0 0 438.915 -0.154 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000670229694 1072718415 /nfs/dbraw/zinc/71/84/15/1072718415.db2.gz LFTQQRSGCVYLIT-UHFFFAOYSA-N 0 0 434.496 -0.798 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)C1 ZINC000670229738 1072718574 /nfs/dbraw/zinc/71/85/74/1072718574.db2.gz OIMZMNKIBBFZMA-UHFFFAOYSA-N 0 0 437.457 -0.186 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000670229739 1072719002 /nfs/dbraw/zinc/71/90/02/1072719002.db2.gz OKWZQJSOZQDTTI-UHFFFAOYSA-N 0 0 426.499 -0.923 20 0 IBADRN Cc1c(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cccc1S(=O)(=O)NC1CC1 ZINC000670229784 1072719374 /nfs/dbraw/zinc/71/93/74/1072719374.db2.gz SWXPOAQJGNMRAG-UHFFFAOYSA-N 0 0 444.535 -0.429 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)C1 ZINC000670229800 1072719415 /nfs/dbraw/zinc/71/94/15/1072719415.db2.gz VGOKFXRDUSFQNG-UHFFFAOYSA-N 0 0 437.522 -0.477 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)cn1 ZINC000670232986 1073029905 /nfs/dbraw/zinc/02/99/05/1073029905.db2.gz CIIZSQIODWEJMW-UHFFFAOYSA-N 0 0 446.848 -0.806 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCCOC(F)F)cn2)CC1 ZINC000670235546 1073033037 /nfs/dbraw/zinc/03/30/37/1073033037.db2.gz NARBIPRFILGMJA-UHFFFAOYSA-N 0 0 435.453 -0.153 20 0 IBADRN CCOCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000670237723 1072719879 /nfs/dbraw/zinc/71/98/79/1072719879.db2.gz NTSSJXDTQMDHMT-UHFFFAOYSA-N 0 0 443.574 -0.131 20 0 IBADRN CCOCCCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000670239852 1072720012 /nfs/dbraw/zinc/72/00/12/1072720012.db2.gz PWMSHWOPAFVIDR-UHFFFAOYSA-N 0 0 431.563 -0.229 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC(=O)N(CCOC)C3)cc2)CC1 ZINC000670242417 1072720460 /nfs/dbraw/zinc/72/04/60/1072720460.db2.gz CVZGADLEYZDAFO-UHFFFAOYSA-N 0 0 431.493 -0.323 20 0 IBADRN CCOCCCn1c(COC)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000670249080 1073044699 /nfs/dbraw/zinc/04/46/99/1073044699.db2.gz PCSUMGBNUMOCML-UHFFFAOYSA-N 0 0 432.547 -0.450 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000670251917 1072720928 /nfs/dbraw/zinc/72/09/28/1072720928.db2.gz DZEJOHRENMNVMP-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN Cn1c2ccc(NC(=O)C(=O)N3CCCN(S(=O)(=O)C4CC4)CC3)cc2n(C)c1=O ZINC000670251983 1072720879 /nfs/dbraw/zinc/72/08/79/1072720879.db2.gz MDMHJSXWYHTHOZ-UHFFFAOYSA-N 0 0 435.506 -0.158 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@H](OC(F)F)C3)cn2)CC1 ZINC000670252945 1072720845 /nfs/dbraw/zinc/72/08/45/1072720845.db2.gz UVIYFYANAXXFCU-ZDUSSCGKSA-N 0 0 447.464 -0.058 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@](F)(CO)C3)c2)CC1 ZINC000670253649 1072720865 /nfs/dbraw/zinc/72/08/65/1072720865.db2.gz AEPVXCAXHOPICY-GOSISDBHSA-N 0 0 428.486 -0.506 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@](F)(CO)C3)c2)CC1 ZINC000670253650 1072720966 /nfs/dbraw/zinc/72/09/66/1072720966.db2.gz AEPVXCAXHOPICY-SFHVURJKSA-N 0 0 428.486 -0.506 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@](F)(CO)C1 ZINC000670254555 1072721017 /nfs/dbraw/zinc/72/10/17/1072721017.db2.gz UXSPINXMZXECJE-GOSISDBHSA-N 0 0 445.469 -0.413 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@](F)(CO)C1 ZINC000670254556 1072721003 /nfs/dbraw/zinc/72/10/03/1072721003.db2.gz UXSPINXMZXECJE-SFHVURJKSA-N 0 0 445.469 -0.413 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@](F)(CO)C3)cc2)CC1 ZINC000670254561 1073045640 /nfs/dbraw/zinc/04/56/40/1073045640.db2.gz VDNHGFPKDJRYEB-GOSISDBHSA-N 0 0 428.486 -0.506 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@](F)(CO)C3)cc2)CC1 ZINC000670254562 1072720852 /nfs/dbraw/zinc/72/08/52/1072720852.db2.gz VDNHGFPKDJRYEB-SFHVURJKSA-N 0 0 428.486 -0.506 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@](F)(CO)C1 ZINC000670254814 1072720979 /nfs/dbraw/zinc/72/09/79/1072720979.db2.gz GIKZNIJPNSSVRE-GOSISDBHSA-N 0 0 429.470 -0.113 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@](F)(CO)C1 ZINC000670254815 1072721285 /nfs/dbraw/zinc/72/12/85/1072721285.db2.gz GIKZNIJPNSSVRE-SFHVURJKSA-N 0 0 429.470 -0.113 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC[C@@](F)(CO)C1 ZINC000670255104 1072720832 /nfs/dbraw/zinc/72/08/32/1072720832.db2.gz UCKRSICNZRDSHD-KRWDZBQOSA-N 0 0 433.433 -0.282 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC[C@](F)(CO)C1 ZINC000670255105 1072720987 /nfs/dbraw/zinc/72/09/87/1072720987.db2.gz UCKRSICNZRDSHD-QGZVFWFLSA-N 0 0 433.433 -0.282 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@](F)(CO)C3)c2)CC1 ZINC000670255688 1072721266 /nfs/dbraw/zinc/72/12/66/1072721266.db2.gz VHSUPLOPLINBTA-IBGZPJMESA-N 0 0 442.513 -0.116 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@](F)(CO)C3)c2)CC1 ZINC000670255689 1072721293 /nfs/dbraw/zinc/72/12/93/1072721293.db2.gz VHSUPLOPLINBTA-LJQANCHMSA-N 0 0 442.513 -0.116 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@](F)(CO)C3)c2)CC1 ZINC000670255776 1073046184 /nfs/dbraw/zinc/04/61/84/1073046184.db2.gz YHDKFNBANIABPE-IBGZPJMESA-N 0 0 442.513 -0.116 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@](F)(CO)C3)c2)CC1 ZINC000670255777 1073046283 /nfs/dbraw/zinc/04/62/83/1073046283.db2.gz YHDKFNBANIABPE-LJQANCHMSA-N 0 0 442.513 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@](F)(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000670256452 1072721379 /nfs/dbraw/zinc/72/13/79/1072721379.db2.gz ZUKFINJUYPTJRK-GOSISDBHSA-N 0 0 445.469 -0.413 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@](F)(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000670256453 1072721360 /nfs/dbraw/zinc/72/13/60/1072721360.db2.gz ZUKFINJUYPTJRK-SFHVURJKSA-N 0 0 445.469 -0.413 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1=O ZINC000670257080 1073046736 /nfs/dbraw/zinc/04/67/36/1073046736.db2.gz BURZHCBMSFGXOG-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1=O ZINC000670257081 1072721425 /nfs/dbraw/zinc/72/14/25/1072721425.db2.gz BURZHCBMSFGXOG-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)C1=O ZINC000670257163 1072721245 /nfs/dbraw/zinc/72/12/45/1072721245.db2.gz IEWMKCBUKTVTBG-HNNXBMFYSA-N 0 0 431.493 -0.242 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)C1=O ZINC000670257164 1073046557 /nfs/dbraw/zinc/04/65/57/1073046557.db2.gz IEWMKCBUKTVTBG-OAHLLOKOSA-N 0 0 431.493 -0.242 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000670257165 1072721390 /nfs/dbraw/zinc/72/13/90/1072721390.db2.gz ILKGMIAPOIHXOS-PBHICJAKSA-N 0 0 430.461 -0.624 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000670257166 1073046688 /nfs/dbraw/zinc/04/66/88/1073046688.db2.gz ILKGMIAPOIHXOS-RHSMWYFYSA-N 0 0 430.461 -0.624 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000670257167 1072721240 /nfs/dbraw/zinc/72/12/40/1072721240.db2.gz ILKGMIAPOIHXOS-WMLDXEAASA-N 0 0 430.461 -0.624 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1=O ZINC000670257168 1072721281 /nfs/dbraw/zinc/72/12/81/1072721281.db2.gz ILKGMIAPOIHXOS-YOEHRIQHSA-N 0 0 430.461 -0.624 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1=O ZINC000670257849 1072721384 /nfs/dbraw/zinc/72/13/84/1072721384.db2.gz RBZUGCYEJIIKNX-INIZCTEOSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1=O ZINC000670257850 1072721230 /nfs/dbraw/zinc/72/12/30/1072721230.db2.gz RBZUGCYEJIIKNX-MRXNPFEDSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1=O ZINC000670258143 1072721339 /nfs/dbraw/zinc/72/13/39/1072721339.db2.gz AKJZMABVVALGSS-INIZCTEOSA-N 0 0 428.493 -0.184 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1=O ZINC000670258144 1072721350 /nfs/dbraw/zinc/72/13/50/1072721350.db2.gz AKJZMABVVALGSS-MRXNPFEDSA-N 0 0 428.493 -0.184 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000670258301 1072721432 /nfs/dbraw/zinc/72/14/32/1072721432.db2.gz FISPUNUXUSYCER-AWEZNQCLSA-N 0 0 442.469 -0.478 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000670258302 1072721372 /nfs/dbraw/zinc/72/13/72/1072721372.db2.gz FISPUNUXUSYCER-CQSZACIVSA-N 0 0 442.469 -0.478 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1=O ZINC000670259080 1072721840 /nfs/dbraw/zinc/72/18/40/1072721840.db2.gz GNBMTVOSSZHOBS-HNNXBMFYSA-N 0 0 438.506 -0.309 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1=O ZINC000670259081 1072721899 /nfs/dbraw/zinc/72/18/99/1072721899.db2.gz GNBMTVOSSZHOBS-OAHLLOKOSA-N 0 0 438.506 -0.309 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1=O ZINC000670260165 1072721414 /nfs/dbraw/zinc/72/14/14/1072721414.db2.gz PWBRSKSKUXSKLX-DOMZBBRYSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H](C)COC)cc2)C1=O ZINC000670260166 1072721303 /nfs/dbraw/zinc/72/13/03/1072721303.db2.gz PWBRSKSKUXSKLX-IUODEOHRSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1=O ZINC000670260167 1072721868 /nfs/dbraw/zinc/72/18/68/1072721868.db2.gz PWBRSKSKUXSKLX-SWLSCSKDSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H](C)COC)cc2)C1=O ZINC000670260168 1072721861 /nfs/dbraw/zinc/72/18/61/1072721861.db2.gz PWBRSKSKUXSKLX-WFASDCNBSA-N 0 0 426.495 -0.325 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1=O ZINC000670260378 1073047129 /nfs/dbraw/zinc/04/71/29/1073047129.db2.gz XVZZECAUJYPUNW-KRWDZBQOSA-N 0 0 431.493 -0.576 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1=O ZINC000670260379 1072721754 /nfs/dbraw/zinc/72/17/54/1072721754.db2.gz XVZZECAUJYPUNW-QGZVFWFLSA-N 0 0 431.493 -0.576 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1=O ZINC000670260525 1072721799 /nfs/dbraw/zinc/72/17/99/1072721799.db2.gz CTBUNUTVMBSDEZ-CYBMUJFWSA-N 0 0 425.511 -0.322 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1=O ZINC000670260526 1072721714 /nfs/dbraw/zinc/72/17/14/1072721714.db2.gz CTBUNUTVMBSDEZ-ZDUSSCGKSA-N 0 0 425.511 -0.322 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000670265811 1072722197 /nfs/dbraw/zinc/72/21/97/1072722197.db2.gz JLQRFGXCYGIDQW-NRXISQOPSA-N 0 0 431.536 -0.046 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC000670265812 1072722380 /nfs/dbraw/zinc/72/23/80/1072722380.db2.gz JLQRFGXCYGIDQW-YMAMQOFZSA-N 0 0 431.536 -0.046 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000670265950 1072721783 /nfs/dbraw/zinc/72/17/83/1072721783.db2.gz DYFPIWUCZCLFFP-UHFFFAOYSA-N 0 0 433.508 -0.677 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000670265982 1072721878 /nfs/dbraw/zinc/72/18/78/1072721878.db2.gz IKZVLZTWLLBXCX-UHFFFAOYSA-N 0 0 432.524 -0.490 20 0 IBADRN CC(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000670283053 1072725449 /nfs/dbraw/zinc/72/54/49/1072725449.db2.gz FFPYGKHYZHQAOI-CYBMUJFWSA-N 0 0 437.592 -0.527 20 0 IBADRN CC(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000670283054 1072725640 /nfs/dbraw/zinc/72/56/40/1072725640.db2.gz FFPYGKHYZHQAOI-ZDUSSCGKSA-N 0 0 437.592 -0.527 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@@H]1CCCO1 ZINC000670298010 1072744594 /nfs/dbraw/zinc/74/45/94/1072744594.db2.gz OHYKIRAAHVTPGO-AWEZNQCLSA-N 0 0 429.547 -0.476 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@H]1CCCO1 ZINC000670298011 1072744686 /nfs/dbraw/zinc/74/46/86/1072744686.db2.gz OHYKIRAAHVTPGO-CQSZACIVSA-N 0 0 429.547 -0.476 20 0 IBADRN COCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@@H]1CCCO1 ZINC000670310202 1072749026 /nfs/dbraw/zinc/74/90/26/1072749026.db2.gz WOHVFLFXBLOMSG-HNNXBMFYSA-N 0 0 430.531 -0.698 20 0 IBADRN COCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@H]1CCCO1 ZINC000670310203 1072748965 /nfs/dbraw/zinc/74/89/65/1072748965.db2.gz WOHVFLFXBLOMSG-OAHLLOKOSA-N 0 0 430.531 -0.698 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@@H]1CCOC1 ZINC000670333549 1073151970 /nfs/dbraw/zinc/15/19/70/1073151970.db2.gz XRCXLZNMKVCOPN-AWEZNQCLSA-N 0 0 429.547 -0.619 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@H]1CCOC1 ZINC000670333550 1072755134 /nfs/dbraw/zinc/75/51/34/1072755134.db2.gz XRCXLZNMKVCOPN-CQSZACIVSA-N 0 0 429.547 -0.619 20 0 IBADRN COCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@@H]1CCOC1 ZINC000670346277 1072758699 /nfs/dbraw/zinc/75/86/99/1072758699.db2.gz GBSUQJDJFWTMJI-HNNXBMFYSA-N 0 0 430.531 -0.840 20 0 IBADRN COCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@H]1CCOC1 ZINC000670346278 1072758728 /nfs/dbraw/zinc/75/87/28/1072758728.db2.gz GBSUQJDJFWTMJI-OAHLLOKOSA-N 0 0 430.531 -0.840 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc(Cc3ccccn3)n2C[C@@H]2CCOC2)CC1 ZINC000670348635 1072761629 /nfs/dbraw/zinc/76/16/29/1072761629.db2.gz NYEYCSQAARDLTB-INIZCTEOSA-N 0 0 438.496 -0.364 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc(Cc3ccccn3)n2C[C@H]2CCOC2)CC1 ZINC000670348636 1072761776 /nfs/dbraw/zinc/76/17/76/1072761776.db2.gz NYEYCSQAARDLTB-MRXNPFEDSA-N 0 0 438.496 -0.364 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@@H]1CCCCO1 ZINC000670356479 1072764341 /nfs/dbraw/zinc/76/43/41/1072764341.db2.gz UAMTWYRTNRDSEP-HNNXBMFYSA-N 0 0 443.574 -0.086 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@H]1CCCCO1 ZINC000670356480 1072764274 /nfs/dbraw/zinc/76/42/74/1072764274.db2.gz UAMTWYRTNRDSEP-OAHLLOKOSA-N 0 0 443.574 -0.086 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1C[C@@H](C(=O)N3CCOCC3)Oc3ccccc31)c2=O ZINC000670360839 1072767391 /nfs/dbraw/zinc/76/73/91/1072767391.db2.gz FLEAIVWPKCARBZ-KRWDZBQOSA-N 0 0 438.444 -0.217 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1C[C@H](C(=O)N3CCOCC3)Oc3ccccc31)c2=O ZINC000670360840 1072767489 /nfs/dbraw/zinc/76/74/89/1072767489.db2.gz FLEAIVWPKCARBZ-QGZVFWFLSA-N 0 0 438.444 -0.217 20 0 IBADRN COCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@@H]1CCCCO1 ZINC000670363543 1073191022 /nfs/dbraw/zinc/19/10/22/1073191022.db2.gz SVYKXNQWKCIXSV-INIZCTEOSA-N 0 0 436.513 -0.499 20 0 IBADRN COCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H]1CCCCO1 ZINC000670363544 1073190897 /nfs/dbraw/zinc/19/08/97/1073190897.db2.gz SVYKXNQWKCIXSV-MRXNPFEDSA-N 0 0 436.513 -0.499 20 0 IBADRN COCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@@H]1CCCCO1 ZINC000670366140 1073193134 /nfs/dbraw/zinc/19/31/34/1073193134.db2.gz ZSHHNIBQYRPWKI-INIZCTEOSA-N 0 0 444.558 -0.307 20 0 IBADRN COCc1nnc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1C[C@H]1CCCCO1 ZINC000670366141 1072768519 /nfs/dbraw/zinc/76/85/19/1072768519.db2.gz ZSHHNIBQYRPWKI-MRXNPFEDSA-N 0 0 444.558 -0.307 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H]2CCCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000670374084 1072769578 /nfs/dbraw/zinc/76/95/78/1072769578.db2.gz BFZZNXROLUQQGG-HNNXBMFYSA-N 0 0 425.507 -0.099 20 0 IBADRN COc1ccc(CC(=O)NC[C@H]2CCCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000670374085 1072769461 /nfs/dbraw/zinc/76/94/61/1072769461.db2.gz BFZZNXROLUQQGG-OAHLLOKOSA-N 0 0 425.507 -0.099 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@@H]1CCCNC1=O ZINC000670374832 1072769910 /nfs/dbraw/zinc/76/99/10/1072769910.db2.gz SELSZPNERNIMLQ-INIZCTEOSA-N 0 0 436.534 -0.058 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@H]1CCCNC1=O ZINC000670374833 1072769983 /nfs/dbraw/zinc/76/99/83/1072769983.db2.gz SELSZPNERNIMLQ-MRXNPFEDSA-N 0 0 436.534 -0.058 20 0 IBADRN O=C(c1nc2ncc(Br)cn2n1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000670377293 1072771563 /nfs/dbraw/zinc/77/15/63/1072771563.db2.gz YXOFDTZWLPSERD-UHFFFAOYSA-N 0 0 441.271 -0.243 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N[C@H](CO)CN1CCOCC1 ZINC000670385082 1072777593 /nfs/dbraw/zinc/77/75/93/1072777593.db2.gz IHOSRUHZCMPKLS-HNNXBMFYSA-N 0 0 443.522 -0.674 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N[C@@H](CO)CN1CCOCC1 ZINC000670385083 1072777577 /nfs/dbraw/zinc/77/75/77/1072777577.db2.gz IHOSRUHZCMPKLS-OAHLLOKOSA-N 0 0 443.522 -0.674 20 0 IBADRN Cc1ccc(C(=O)N[C@H](CO)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000670385103 1072777658 /nfs/dbraw/zinc/77/76/58/1072777658.db2.gz NJHBQHKRTIQHBD-KRWDZBQOSA-N 0 0 427.523 -0.561 20 0 IBADRN Cc1ccc(C(=O)N[C@@H](CO)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000670385104 1072777641 /nfs/dbraw/zinc/77/76/41/1072777641.db2.gz NJHBQHKRTIQHBD-QGZVFWFLSA-N 0 0 427.523 -0.561 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N[C@H](CO)CN3CCOCC3)CC2=O)cc1OC ZINC000670385386 1072778530 /nfs/dbraw/zinc/77/85/30/1072778530.db2.gz YQDUJNBODNKPCB-MSOLQXFVSA-N 0 0 435.521 -0.096 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N[C@@H](CO)CN3CCOCC3)CC2=O)cc1OC ZINC000670385387 1072778522 /nfs/dbraw/zinc/77/85/22/1072778522.db2.gz YQDUJNBODNKPCB-QZTJIDSGSA-N 0 0 435.521 -0.096 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N[C@H](CO)CN3CCOCC3)CC2=O)cc1OC ZINC000670385388 1072778513 /nfs/dbraw/zinc/77/85/13/1072778513.db2.gz YQDUJNBODNKPCB-ROUUACIJSA-N 0 0 435.521 -0.096 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N[C@@H](CO)CN3CCOCC3)CC2=O)cc1OC ZINC000670385389 1072778742 /nfs/dbraw/zinc/77/87/42/1072778742.db2.gz YQDUJNBODNKPCB-ZWKOTPCHSA-N 0 0 435.521 -0.096 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC2)c(C(=O)N[C@H](CO)CN2CCOCC2)c1 ZINC000670385824 1072778156 /nfs/dbraw/zinc/77/81/56/1072778156.db2.gz QIKWQAYAMSLQPZ-INIZCTEOSA-N 0 0 440.566 -0.040 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCCC2)c(C(=O)N[C@@H](CO)CN2CCOCC2)c1 ZINC000670385825 1072778114 /nfs/dbraw/zinc/77/81/14/1072778114.db2.gz QIKWQAYAMSLQPZ-MRXNPFEDSA-N 0 0 440.566 -0.040 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccccc2F)C(C)C)CC1 ZINC000670405905 1072787773 /nfs/dbraw/zinc/78/77/73/1072787773.db2.gz WDSFVSRHUKXHEK-HNNXBMFYSA-N 0 0 436.531 -0.263 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000670410306 1072788828 /nfs/dbraw/zinc/78/88/28/1072788828.db2.gz UASIQCKSKNKTSD-UHFFFAOYSA-N 0 0 427.479 -0.645 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1cccnc1 ZINC000670423872 1072796062 /nfs/dbraw/zinc/79/60/62/1072796062.db2.gz VUZRJAGINFHXHK-BZUAXINKSA-N 0 0 439.538 -0.243 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1cccnc1 ZINC000670423873 1072796002 /nfs/dbraw/zinc/79/60/02/1072796002.db2.gz VUZRJAGINFHXHK-OWCLPIDISA-N 0 0 439.538 -0.243 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1cccnc1 ZINC000670424573 1072796620 /nfs/dbraw/zinc/79/66/20/1072796620.db2.gz YOIIOGABQVKIPN-GFCCVEGCSA-N 0 0 435.462 -0.516 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cccnc1 ZINC000670424598 1072796721 /nfs/dbraw/zinc/79/67/21/1072796721.db2.gz ARJFEYBXZDDCRX-MRXNPFEDSA-N 0 0 446.533 -0.078 20 0 IBADRN Cc1cc(C)c(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)c(=O)[nH]1 ZINC000670425289 1072796669 /nfs/dbraw/zinc/79/66/69/1072796669.db2.gz LVZKRUKQDDWPMJ-UHFFFAOYSA-N 0 0 433.490 -0.052 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC(CO)CC1 ZINC000670429188 1072797716 /nfs/dbraw/zinc/79/77/16/1072797716.db2.gz NIHGRXDKAPLWRV-UHFFFAOYSA-N 0 0 425.507 -0.445 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCO1 ZINC000670429479 1072799406 /nfs/dbraw/zinc/79/94/06/1072799406.db2.gz GEXVCAYLZVLNJP-HNNXBMFYSA-N 0 0 425.507 -0.288 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCO1 ZINC000670429480 1072799353 /nfs/dbraw/zinc/79/93/53/1072799353.db2.gz GEXVCAYLZVLNJP-OAHLLOKOSA-N 0 0 425.507 -0.288 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)cc1 ZINC000670429536 1072798300 /nfs/dbraw/zinc/79/83/00/1072798300.db2.gz OKJFZVHRTIAVGD-UHFFFAOYSA-N 0 0 436.534 -0.177 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000670429550 1072798169 /nfs/dbraw/zinc/79/81/69/1072798169.db2.gz SNVLRGJLFJVPAB-UHFFFAOYSA-N 0 0 449.533 -0.143 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCC[C@H](O)C1 ZINC000670429552 1072798262 /nfs/dbraw/zinc/79/82/62/1072798262.db2.gz TVZRFSAQFQPGSC-KRWDZBQOSA-N 0 0 425.507 -0.552 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCC[C@@H](O)C1 ZINC000670429553 1072798272 /nfs/dbraw/zinc/79/82/72/1072798272.db2.gz TVZRFSAQFQPGSC-QGZVFWFLSA-N 0 0 425.507 -0.552 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N3CCC[C@H](O)C3)cc2)CC1 ZINC000670433616 1072800317 /nfs/dbraw/zinc/80/03/17/1072800317.db2.gz GAIAKZNATBQGPA-FQEVSTJZSA-N 0 0 446.548 -0.129 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N3CCC[C@@H](O)C3)cc2)CC1 ZINC000670433617 1072800574 /nfs/dbraw/zinc/80/05/74/1072800574.db2.gz GAIAKZNATBQGPA-HXUWFJFHSA-N 0 0 446.548 -0.129 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)C1 ZINC000670434739 1072801076 /nfs/dbraw/zinc/80/10/76/1072801076.db2.gz GMGKZLUGHKBIOH-INIZCTEOSA-N 0 0 427.505 -0.049 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCCN(C(=O)C3CC3)CC2)C1 ZINC000670434740 1072800796 /nfs/dbraw/zinc/80/07/96/1072800796.db2.gz GMGKZLUGHKBIOH-MRXNPFEDSA-N 0 0 427.505 -0.049 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000670440664 1073251135 /nfs/dbraw/zinc/25/11/35/1073251135.db2.gz MZMIEPHPYZWJDR-PBHICJAKSA-N 0 0 425.507 -0.159 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000670440665 1072803245 /nfs/dbraw/zinc/80/32/45/1072803245.db2.gz MZMIEPHPYZWJDR-RHSMWYFYSA-N 0 0 425.507 -0.159 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000670440666 1072803216 /nfs/dbraw/zinc/80/32/16/1072803216.db2.gz MZMIEPHPYZWJDR-WMLDXEAASA-N 0 0 425.507 -0.159 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000670440667 1073251128 /nfs/dbraw/zinc/25/11/28/1073251128.db2.gz MZMIEPHPYZWJDR-YOEHRIQHSA-N 0 0 425.507 -0.159 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H](CO)CN2CCOCC2)cc1 ZINC000670442244 1072803166 /nfs/dbraw/zinc/80/31/66/1072803166.db2.gz YERSEPIANONQMM-BBRMVZONSA-N 0 0 428.511 -0.879 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](CO)CN2CCOCC2)cc1 ZINC000670442245 1072803111 /nfs/dbraw/zinc/80/31/11/1072803111.db2.gz YERSEPIANONQMM-XJKSGUPXSA-N 0 0 428.511 -0.879 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000670446705 1072803260 /nfs/dbraw/zinc/80/32/60/1072803260.db2.gz BEQXXHBCODGRBC-UHFFFAOYSA-N 0 0 432.524 -0.834 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3ccccc3N)CC2)no1 ZINC000670452846 1072808950 /nfs/dbraw/zinc/80/89/50/1072808950.db2.gz GDFODYQJRGNDFT-UHFFFAOYSA-N 0 0 436.494 -0.374 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000670453741 1072807883 /nfs/dbraw/zinc/80/78/83/1072807883.db2.gz GGZYFHAFQXVDRD-UHFFFAOYSA-N 0 0 430.494 -0.427 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)[C@H]3CCCN3C2=O)CC1 ZINC000670454768 1072808809 /nfs/dbraw/zinc/80/88/09/1072808809.db2.gz UCFHOJLAWYQLBK-CYBMUJFWSA-N 0 0 425.467 -0.449 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)[C@@H]3CCCN3C2=O)CC1 ZINC000670454769 1073256750 /nfs/dbraw/zinc/25/67/50/1073256750.db2.gz UCFHOJLAWYQLBK-ZDUSSCGKSA-N 0 0 425.467 -0.449 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000670455174 1072810250 /nfs/dbraw/zinc/81/02/50/1072810250.db2.gz LVXLESDVANUHIC-UHFFFAOYSA-N 0 0 433.538 -0.334 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c2ccccc2N)cc1 ZINC000670455186 1073256708 /nfs/dbraw/zinc/25/67/08/1073256708.db2.gz QWQFMNYMLHKIHD-UHFFFAOYSA-N 0 0 426.520 -0.050 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000670459847 1072815087 /nfs/dbraw/zinc/81/50/87/1072815087.db2.gz AJCOWKCHQWEGLT-UHFFFAOYSA-N 0 0 445.501 -0.565 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)CNS(=O)(=O)c3ccccc3N)CC2)cn1 ZINC000670468455 1073260351 /nfs/dbraw/zinc/26/03/51/1073260351.db2.gz FVFPDKCTTNFNHG-UHFFFAOYSA-N 0 0 434.522 -0.051 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000670479287 1072823530 /nfs/dbraw/zinc/82/35/30/1072823530.db2.gz ZELGALHVAQDWHU-UHFFFAOYSA-N 0 0 449.537 -0.947 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1 ZINC000670479782 1073272020 /nfs/dbraw/zinc/27/20/20/1073272020.db2.gz SZDUCGJRZMFEGU-INIZCTEOSA-N 0 0 430.513 -0.705 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1 ZINC000670479783 1072825569 /nfs/dbraw/zinc/82/55/69/1072825569.db2.gz SZDUCGJRZMFEGU-MRXNPFEDSA-N 0 0 430.513 -0.705 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000670491674 1073275289 /nfs/dbraw/zinc/27/52/89/1073275289.db2.gz KPOALKAKJCKRRT-UHFFFAOYSA-N 0 0 428.511 -0.987 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000670493609 1073275360 /nfs/dbraw/zinc/27/53/60/1073275360.db2.gz VBFFZPIORLOMPA-UHFFFAOYSA-N 0 0 442.538 -0.944 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@@H](c2cccs2)N2CCOCC2)C1 ZINC000670508427 1072836029 /nfs/dbraw/zinc/83/60/29/1072836029.db2.gz FSQYKHIBDMBEGI-AWEZNQCLSA-N 0 0 430.552 -0.755 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@H](c2cccs2)N2CCOCC2)C1 ZINC000670508428 1072835839 /nfs/dbraw/zinc/83/58/39/1072835839.db2.gz FSQYKHIBDMBEGI-CQSZACIVSA-N 0 0 430.552 -0.755 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2ccc(C(F)(F)F)cc2)CC1 ZINC000670511402 1072838197 /nfs/dbraw/zinc/83/81/97/1072838197.db2.gz DLAZUBXIXGCMEN-UHFFFAOYSA-N 0 0 439.398 -0.278 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000670533016 1072849841 /nfs/dbraw/zinc/84/98/41/1072849841.db2.gz NVDISLFAOMQJPL-KRWDZBQOSA-N 0 0 426.477 -0.660 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000670533017 1072850412 /nfs/dbraw/zinc/85/04/12/1072850412.db2.gz NVDISLFAOMQJPL-QGZVFWFLSA-N 0 0 426.477 -0.660 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CCO1 ZINC000670533958 1072851101 /nfs/dbraw/zinc/85/11/01/1072851101.db2.gz CSJQPAQQWCCRDH-MOPGFXCFSA-N 0 0 436.484 -0.321 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)CCO1 ZINC000670533959 1072850884 /nfs/dbraw/zinc/85/08/84/1072850884.db2.gz CSJQPAQQWCCRDH-OALUTQOASA-N 0 0 436.484 -0.321 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CCO1 ZINC000670533960 1072851172 /nfs/dbraw/zinc/85/11/72/1072851172.db2.gz CSJQPAQQWCCRDH-RBUKOAKNSA-N 0 0 436.484 -0.321 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)CCO1 ZINC000670533961 1072851629 /nfs/dbraw/zinc/85/16/29/1072851629.db2.gz CSJQPAQQWCCRDH-RTBURBONSA-N 0 0 436.484 -0.321 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)C1 ZINC000670534230 1070803504 /nfs/dbraw/zinc/80/35/04/1070803504.db2.gz LOFADWNTZYRHTD-KRWDZBQOSA-N 0 0 442.513 -0.677 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)C1 ZINC000670534231 1072848741 /nfs/dbraw/zinc/84/87/41/1072848741.db2.gz LOFADWNTZYRHTD-QGZVFWFLSA-N 0 0 442.513 -0.677 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCNC(=O)C[C@@H]2C)N2CCOCC2)cc1OC ZINC000670536249 1072852100 /nfs/dbraw/zinc/85/21/00/1072852100.db2.gz BJCGBTPYDYTYOV-DOTOQJQBSA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CCNC(=O)C[C@H]2C)N2CCOCC2)cc1OC ZINC000670536250 1072851820 /nfs/dbraw/zinc/85/18/20/1072851820.db2.gz BJCGBTPYDYTYOV-NVXWUHKLSA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCNC(=O)C[C@@H]2C)N2CCOCC2)cc1OC ZINC000670536251 1072851890 /nfs/dbraw/zinc/85/18/90/1072851890.db2.gz BJCGBTPYDYTYOV-RDJZCZTQSA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CCNC(=O)C[C@H]2C)N2CCOCC2)cc1OC ZINC000670536252 1072852392 /nfs/dbraw/zinc/85/23/92/1072852392.db2.gz BJCGBTPYDYTYOV-WBVHZDCISA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N[C@H]2CCCN(C)C2=O)N2CCOCC2)cc1OC ZINC000670536416 1072851844 /nfs/dbraw/zinc/85/18/44/1072851844.db2.gz ZQXLDSTZVXBTLR-DLBZAZTESA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N[C@@H]2CCCN(C)C2=O)N2CCOCC2)cc1OC ZINC000670536417 1072851903 /nfs/dbraw/zinc/85/19/03/1072851903.db2.gz ZQXLDSTZVXBTLR-IAGOWNOFSA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N[C@H]2CCCN(C)C2=O)N2CCOCC2)cc1OC ZINC000670536418 1072851929 /nfs/dbraw/zinc/85/19/29/1072851929.db2.gz ZQXLDSTZVXBTLR-IRXDYDNUSA-N 0 0 448.520 -0.070 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N[C@@H]2CCCN(C)C2=O)N2CCOCC2)cc1OC ZINC000670536419 1072852156 /nfs/dbraw/zinc/85/21/56/1072852156.db2.gz ZQXLDSTZVXBTLR-SJORKVTESA-N 0 0 448.520 -0.070 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCC(C)(C)N2CCOCC2)cc1 ZINC000670537082 1072853794 /nfs/dbraw/zinc/85/37/94/1072853794.db2.gz MFNJBDUZNSZUQA-UHFFFAOYSA-N 0 0 426.539 -0.421 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)NCC(C)(C)N2CCOCC2)cc1)S(C)(=O)=O ZINC000670537212 1072853506 /nfs/dbraw/zinc/85/35/06/1072853506.db2.gz BCSNHVHJNOBQHB-UHFFFAOYSA-N 0 0 426.539 -0.074 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC[C@@H](c2ccco2)N2CCCC2)CC1 ZINC000670544896 1072857292 /nfs/dbraw/zinc/85/72/92/1072857292.db2.gz ZIDPHQBHDKQAMY-INIZCTEOSA-N 0 0 441.554 -0.774 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC[C@H](c2ccco2)N2CCCC2)CC1 ZINC000670544897 1072857051 /nfs/dbraw/zinc/85/70/51/1072857051.db2.gz ZIDPHQBHDKQAMY-MRXNPFEDSA-N 0 0 441.554 -0.774 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1ccccn1 ZINC000670547930 1072860070 /nfs/dbraw/zinc/86/00/70/1072860070.db2.gz DKJRGHLABKMKAO-BZUAXINKSA-N 0 0 439.538 -0.243 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1ccccn1 ZINC000670547931 1072860173 /nfs/dbraw/zinc/86/01/73/1072860173.db2.gz DKJRGHLABKMKAO-OWCLPIDISA-N 0 0 439.538 -0.243 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1ccccn1 ZINC000670549247 1072860668 /nfs/dbraw/zinc/86/06/68/1072860668.db2.gz KDKQTTDHCAHBTB-GFCCVEGCSA-N 0 0 435.462 -0.516 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1ccccn1 ZINC000670549248 1072860454 /nfs/dbraw/zinc/86/04/54/1072860454.db2.gz KDKQTTDHCAHBTB-LBPRGKRZSA-N 0 0 435.462 -0.516 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccccn1 ZINC000670549717 1072861094 /nfs/dbraw/zinc/86/10/94/1072861094.db2.gz DBDAEXLCINNEKB-INIZCTEOSA-N 0 0 446.533 -0.078 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccccn1 ZINC000670549718 1072861143 /nfs/dbraw/zinc/86/11/43/1072861143.db2.gz DBDAEXLCINNEKB-MRXNPFEDSA-N 0 0 446.533 -0.078 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2nc3ccccc3s2)CC1 ZINC000670626478 1072925226 /nfs/dbraw/zinc/92/52/26/1072925226.db2.gz NFKSBOASHOAFBN-UHFFFAOYSA-N 0 0 425.536 -0.394 20 0 IBADRN CN(CCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000670627251 1072926445 /nfs/dbraw/zinc/92/64/45/1072926445.db2.gz MBNIMAYWLNBNHI-UHFFFAOYSA-N 0 0 425.555 -0.678 20 0 IBADRN CCN(CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(C)c1 ZINC000670629695 1072926897 /nfs/dbraw/zinc/92/68/97/1072926897.db2.gz MAWKOFPGTZDRHS-UHFFFAOYSA-N 0 0 439.582 -0.369 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCCn2ccc3ccccc32)CC1 ZINC000670631329 1072926875 /nfs/dbraw/zinc/92/68/75/1072926875.db2.gz SSPCGMZCUIRZCD-UHFFFAOYSA-N 0 0 435.550 -0.159 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC000670632246 1072926854 /nfs/dbraw/zinc/92/68/54/1072926854.db2.gz ZAWRUDCTTWJAFX-IBGZPJMESA-N 0 0 445.545 -0.019 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H](c2ccccc2)c2ccccn2)CC1 ZINC000670632247 1072926887 /nfs/dbraw/zinc/92/68/87/1072926887.db2.gz ZAWRUDCTTWJAFX-LJQANCHMSA-N 0 0 445.545 -0.019 20 0 IBADRN O=C(NCCCN1CCCCCC1=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000670634846 1072928976 /nfs/dbraw/zinc/92/89/76/1072928976.db2.gz BRXPGLTZPTUTQF-INIZCTEOSA-N 0 0 428.555 -0.078 20 0 IBADRN O=C(NCCCN1CCCCCC1=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000670634847 1072929220 /nfs/dbraw/zinc/92/92/20/1072929220.db2.gz BRXPGLTZPTUTQF-MRXNPFEDSA-N 0 0 428.555 -0.078 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCCCN2CCCCCC2=O)CC1 ZINC000670635300 1072928581 /nfs/dbraw/zinc/92/85/81/1072928581.db2.gz STVHEXQEAQRPOW-UHFFFAOYSA-N 0 0 430.509 -0.262 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCCN2CCCCCC2=O)CC1 ZINC000670635870 1072929559 /nfs/dbraw/zinc/92/95/59/1072929559.db2.gz NBIWUPMNWBERQQ-UHFFFAOYSA-N 0 0 442.524 -0.079 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NCCCN2CCCCCC2=O)C1=O ZINC000670635947 1072929645 /nfs/dbraw/zinc/92/96/45/1072929645.db2.gz PCFLMIFYYJHCLH-INIZCTEOSA-N 0 0 432.525 -0.064 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NCCCN2CCCCCC2=O)C1=O ZINC000670635948 1072929579 /nfs/dbraw/zinc/92/95/79/1072929579.db2.gz PCFLMIFYYJHCLH-MRXNPFEDSA-N 0 0 432.525 -0.064 20 0 IBADRN O=C(NCCCN1CCCCCC1=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000670636075 1072929693 /nfs/dbraw/zinc/92/96/93/1072929693.db2.gz TWYQBJUMXXTDQQ-UHFFFAOYSA-N 0 0 434.584 -0.610 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCCN3CCCCCC3=O)CC2)nc1 ZINC000670637904 1072932533 /nfs/dbraw/zinc/93/25/33/1072932533.db2.gz BAONCTUJRLHDFH-UHFFFAOYSA-N 0 0 430.509 -0.262 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCCCN3CCCCCC3=O)CC2)CC1 ZINC000670638541 1072930231 /nfs/dbraw/zinc/93/02/31/1072930231.db2.gz YDEVKTUYGZWHEX-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCCN3CCCCCC3=O)CC2)n1 ZINC000670638780 1072932756 /nfs/dbraw/zinc/93/27/56/1072932756.db2.gz KKJIEPADUKBDQZ-UHFFFAOYSA-N 0 0 436.513 -0.231 20 0 IBADRN O=C(NCCCN1CCCCCC1=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000670638897 1072932543 /nfs/dbraw/zinc/93/25/43/1072932543.db2.gz SSHNQAQJEYZMJQ-UHFFFAOYSA-N 0 0 429.499 -0.881 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000670640479 1072934391 /nfs/dbraw/zinc/93/43/91/1072934391.db2.gz BVGYPRDYZGGMBN-INIZCTEOSA-N 0 0 435.525 -0.320 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C[C@H]2CCCO2)CC1 ZINC000670640480 1072934200 /nfs/dbraw/zinc/93/42/00/1072934200.db2.gz BVGYPRDYZGGMBN-MRXNPFEDSA-N 0 0 435.525 -0.320 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000670640542 1072933296 /nfs/dbraw/zinc/93/32/96/1072933296.db2.gz SYCGUBNWPKQSPB-INIZCTEOSA-N 0 0 435.525 -0.320 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000670640543 1072933372 /nfs/dbraw/zinc/93/33/72/1072933372.db2.gz SYCGUBNWPKQSPB-MRXNPFEDSA-N 0 0 435.525 -0.320 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000670640581 1072933801 /nfs/dbraw/zinc/93/38/01/1072933801.db2.gz BORPZRLEXNCTIR-UHFFFAOYSA-N 0 0 434.497 -0.405 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000670640696 1072935947 /nfs/dbraw/zinc/93/59/47/1072935947.db2.gz FVPKOWIFCQYDHH-CABCVRRESA-N 0 0 440.497 -0.706 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000670640697 1072935961 /nfs/dbraw/zinc/93/59/61/1072935961.db2.gz FVPKOWIFCQYDHH-GJZGRUSLSA-N 0 0 440.497 -0.706 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000670640698 1072935739 /nfs/dbraw/zinc/93/57/39/1072935739.db2.gz FVPKOWIFCQYDHH-HUUCEWRRSA-N 0 0 440.497 -0.706 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000670640699 1072935752 /nfs/dbraw/zinc/93/57/52/1072935752.db2.gz FVPKOWIFCQYDHH-LSDHHAIUSA-N 0 0 440.497 -0.706 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000670640745 1072935892 /nfs/dbraw/zinc/93/58/92/1072935892.db2.gz SQQCGBPRRSTOIH-UHFFFAOYSA-N 0 0 443.508 -0.195 20 0 IBADRN O=C(NCCc1cccc(Cl)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000670643422 1072936424 /nfs/dbraw/zinc/93/64/24/1072936424.db2.gz CCYXGHUCECTKFP-UHFFFAOYSA-N 0 0 436.896 -0.472 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(OC)cc1 ZINC000670648563 1072939011 /nfs/dbraw/zinc/93/90/11/1072939011.db2.gz BJDCLPHNVHTLED-KRWDZBQOSA-N 0 0 426.539 -0.044 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(OC)cc1 ZINC000670648564 1071009782 /nfs/dbraw/zinc/00/97/82/1071009782.db2.gz BJDCLPHNVHTLED-QGZVFWFLSA-N 0 0 426.539 -0.044 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(Cl)o3)CC2)CC1 ZINC000670658139 1072942187 /nfs/dbraw/zinc/94/21/87/1072942187.db2.gz YLZZTUDDWFUPKF-UHFFFAOYSA-N 0 0 433.918 -0.814 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(Cl)o3)CC2)o1 ZINC000670659736 1071027681 /nfs/dbraw/zinc/02/76/81/1071027681.db2.gz XQZLLBGTKOPCBJ-UHFFFAOYSA-N 0 0 444.853 -0.226 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccc(OC(F)F)cc2)CC1 ZINC000670662168 1072947995 /nfs/dbraw/zinc/94/79/95/1072947995.db2.gz JWRUGQPTQKAXEY-UHFFFAOYSA-N 0 0 434.465 -0.402 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1 ZINC000670662667 1072948296 /nfs/dbraw/zinc/94/82/96/1072948296.db2.gz PNZWQBXMKSPXKN-INIZCTEOSA-N 0 0 431.541 -0.224 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCCCC3)CC1 ZINC000670662668 1072948255 /nfs/dbraw/zinc/94/82/55/1072948255.db2.gz PNZWQBXMKSPXKN-MRXNPFEDSA-N 0 0 431.541 -0.224 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000670663389 1072947350 /nfs/dbraw/zinc/94/73/50/1072947350.db2.gz HFLJAUWCDZUTND-INIZCTEOSA-N 0 0 425.507 -0.039 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000670663390 1072947250 /nfs/dbraw/zinc/94/72/50/1072947250.db2.gz HFLJAUWCDZUTND-MRXNPFEDSA-N 0 0 425.507 -0.039 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCc2c[nH]nc2C1 ZINC000670663396 1072947345 /nfs/dbraw/zinc/94/73/45/1072947345.db2.gz HTZWIXPKRSBLCP-UHFFFAOYSA-N 0 0 433.490 -0.368 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000670663399 1072947278 /nfs/dbraw/zinc/94/72/78/1072947278.db2.gz IEGGYLSNKQMOKO-AWEZNQCLSA-N 0 0 438.506 -0.939 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000670663400 1072947128 /nfs/dbraw/zinc/94/71/28/1072947128.db2.gz IEGGYLSNKQMOKO-CQSZACIVSA-N 0 0 438.506 -0.939 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)NC[C@@H]1CCCOC1 ZINC000670663428 1072948423 /nfs/dbraw/zinc/94/84/23/1072948423.db2.gz MYRDNPWUOAIICB-INIZCTEOSA-N 0 0 425.507 -0.134 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)NC[C@H]1CCCOC1 ZINC000670663429 1072948471 /nfs/dbraw/zinc/94/84/71/1072948471.db2.gz MYRDNPWUOAIICB-MRXNPFEDSA-N 0 0 425.507 -0.134 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000670663481 1072948395 /nfs/dbraw/zinc/94/83/95/1072948395.db2.gz AXZLELWTWGJQFJ-KRWDZBQOSA-N 0 0 425.507 -0.447 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000670663482 1072948434 /nfs/dbraw/zinc/94/84/34/1072948434.db2.gz AXZLELWTWGJQFJ-QGZVFWFLSA-N 0 0 425.507 -0.447 20 0 IBADRN C[C@H]1COCCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000670663501 1072948280 /nfs/dbraw/zinc/94/82/80/1072948280.db2.gz FZIVKGWWFMWODH-HNNXBMFYSA-N 0 0 425.507 -0.039 20 0 IBADRN C[C@@H]1COCCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000670663502 1072948497 /nfs/dbraw/zinc/94/84/97/1072948497.db2.gz FZIVKGWWFMWODH-OAHLLOKOSA-N 0 0 425.507 -0.039 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC[C@]2(CCOC2)C1 ZINC000670663516 1072948507 /nfs/dbraw/zinc/94/85/07/1072948507.db2.gz KGWRCRONFMSWPT-FQEVSTJZSA-N 0 0 437.518 -0.037 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC[C@@]2(CCOC2)C1 ZINC000670663517 1072948269 /nfs/dbraw/zinc/94/82/69/1072948269.db2.gz KGWRCRONFMSWPT-HXUWFJFHSA-N 0 0 437.518 -0.037 20 0 IBADRN Cc1cn2c(n1)CN(C(=O)C(=O)NCc1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000670663530 1072948537 /nfs/dbraw/zinc/94/85/37/1072948537.db2.gz NGOPVCVYJGWVJU-UHFFFAOYSA-N 0 0 447.517 -0.129 20 0 IBADRN COC[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000670663572 1072948411 /nfs/dbraw/zinc/94/84/11/1072948411.db2.gz WFQXTGJKBFXIMM-INIZCTEOSA-N 0 0 425.507 -0.039 20 0 IBADRN COC[C@H]1CCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000670663573 1072948885 /nfs/dbraw/zinc/94/88/85/1072948885.db2.gz WFQXTGJKBFXIMM-MRXNPFEDSA-N 0 0 425.507 -0.039 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(-n2cncn2)cc1 ZINC000670665909 1072949412 /nfs/dbraw/zinc/94/94/12/1072949412.db2.gz FEKWAKCWOBNFGL-AWEZNQCLSA-N 0 0 439.476 -0.606 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc(-n2cncn2)cc1 ZINC000670665910 1072949550 /nfs/dbraw/zinc/94/95/50/1072949550.db2.gz FEKWAKCWOBNFGL-CQSZACIVSA-N 0 0 439.476 -0.606 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(-n2cncn2)cc1 ZINC000670669666 1072953681 /nfs/dbraw/zinc/95/36/81/1072953681.db2.gz KRWBGKXZEIDYGL-HNNXBMFYSA-N 0 0 449.537 -0.862 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(-n2cncn2)cc1 ZINC000670669667 1072953656 /nfs/dbraw/zinc/95/36/56/1072953656.db2.gz KRWBGKXZEIDYGL-OAHLLOKOSA-N 0 0 449.537 -0.862 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1ccc(-n2cncn2)cc1 ZINC000670670002 1072952611 /nfs/dbraw/zinc/95/26/11/1072952611.db2.gz ROPWRZITSRIKDR-HNNXBMFYSA-N 0 0 425.493 -0.133 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1ccc(-n2cncn2)cc1 ZINC000670670003 1072952544 /nfs/dbraw/zinc/95/25/44/1072952544.db2.gz ROPWRZITSRIKDR-OAHLLOKOSA-N 0 0 425.493 -0.133 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1ccc(-n2cncn2)cc1 ZINC000670671052 1072954150 /nfs/dbraw/zinc/95/41/50/1072954150.db2.gz YFBOZWFKLGNQPY-HNNXBMFYSA-N 0 0 436.476 -0.113 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1ccc(-n2cncn2)cc1 ZINC000670671053 1072954196 /nfs/dbraw/zinc/95/41/96/1072954196.db2.gz YFBOZWFKLGNQPY-OAHLLOKOSA-N 0 0 436.476 -0.113 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCc2ccc(OC(F)F)cc2)CC1 ZINC000670672382 1072955867 /nfs/dbraw/zinc/95/58/67/1072955867.db2.gz HLUQUAUPQIBZCV-UHFFFAOYSA-N 0 0 448.492 -0.360 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCCn2cnc3ccccc32)CC1 ZINC000670672933 1071048208 /nfs/dbraw/zinc/04/82/08/1071048208.db2.gz BUDPCFPLQMBOJF-UHFFFAOYSA-N 0 0 436.538 -0.764 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccccc2CN2CCOCC2)CC1=O ZINC000670678887 1072958380 /nfs/dbraw/zinc/95/83/80/1072958380.db2.gz CUWOHMGBRBNONF-UHFFFAOYSA-N 0 0 432.521 -0.158 20 0 IBADRN COc1cc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc(OC)c1OC ZINC000670679580 1072958499 /nfs/dbraw/zinc/95/84/99/1072958499.db2.gz YWBDBCHIZTYMLZ-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN Cc1ccc(OCCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)c1 ZINC000670680206 1072958421 /nfs/dbraw/zinc/95/84/21/1072958421.db2.gz XVURDTPECLTKIC-UHFFFAOYSA-N 0 0 426.539 -0.508 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000670689255 1072959985 /nfs/dbraw/zinc/95/99/85/1072959985.db2.gz CNAGBZNSZKEKGH-CYBMUJFWSA-N 0 0 431.453 -0.762 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000670689256 1072959901 /nfs/dbraw/zinc/95/99/01/1072959901.db2.gz CNAGBZNSZKEKGH-ZDUSSCGKSA-N 0 0 431.453 -0.762 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000670690268 1072960343 /nfs/dbraw/zinc/96/03/43/1072960343.db2.gz YVDLRYZTMOCUIM-CYBMUJFWSA-N 0 0 432.477 -0.170 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000670690269 1072960368 /nfs/dbraw/zinc/96/03/68/1072960368.db2.gz YVDLRYZTMOCUIM-ZDUSSCGKSA-N 0 0 432.477 -0.170 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000670691081 1072960473 /nfs/dbraw/zinc/96/04/73/1072960473.db2.gz RIYBFQANLWOAAM-INIZCTEOSA-N 0 0 448.520 -0.116 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000670691082 1072960533 /nfs/dbraw/zinc/96/05/33/1072960533.db2.gz RIYBFQANLWOAAM-MRXNPFEDSA-N 0 0 448.520 -0.116 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000670692914 1072961372 /nfs/dbraw/zinc/96/13/72/1072961372.db2.gz HNVQGQPLYPJFKH-AWEZNQCLSA-N 0 0 442.538 -0.426 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000670692915 1072961455 /nfs/dbraw/zinc/96/14/55/1072961455.db2.gz HNVQGQPLYPJFKH-CQSZACIVSA-N 0 0 442.538 -0.426 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000670693442 1071141555 /nfs/dbraw/zinc/14/15/55/1071141555.db2.gz TUXYQCSIRCXZOA-INIZCTEOSA-N 0 0 448.520 -0.116 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000670693443 1072960492 /nfs/dbraw/zinc/96/04/92/1072960492.db2.gz TUXYQCSIRCXZOA-MRXNPFEDSA-N 0 0 448.520 -0.116 20 0 IBADRN Cc1ccc(CCCNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(C)c1 ZINC000670696050 1072962360 /nfs/dbraw/zinc/96/23/60/1072962360.db2.gz JLDOHFAWMGEGBN-UHFFFAOYSA-N 0 0 444.532 -0.118 20 0 IBADRN Cc1ccc(CCCNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000670698374 1072962481 /nfs/dbraw/zinc/96/24/81/1072962481.db2.gz IVCSNRDHKZFWLD-UHFFFAOYSA-N 0 0 442.520 -0.159 20 0 IBADRN Cc1nc2ccccc2n1CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000670701834 1072962860 /nfs/dbraw/zinc/96/28/60/1072962860.db2.gz WVAWRZNLVHUIBT-UHFFFAOYSA-N 0 0 436.538 -0.846 20 0 IBADRN COc1ccc(C)cc1CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000670710446 1072964404 /nfs/dbraw/zinc/96/44/04/1072964404.db2.gz VVUHVBXYJBFGFD-UHFFFAOYSA-N 0 0 426.539 -0.644 20 0 IBADRN COc1ccc(C)cc1CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000670710477 1072964627 /nfs/dbraw/zinc/96/46/27/1072964627.db2.gz DVPONOAYWKTFCY-UHFFFAOYSA-N 0 0 432.521 -0.335 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000670710740 1072964389 /nfs/dbraw/zinc/96/43/89/1072964389.db2.gz GBXJBKDBODUWEV-AWEZNQCLSA-N 0 0 439.538 -0.866 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000670710741 1072964591 /nfs/dbraw/zinc/96/45/91/1072964591.db2.gz GBXJBKDBODUWEV-CQSZACIVSA-N 0 0 439.538 -0.866 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000670711034 1072965636 /nfs/dbraw/zinc/96/56/36/1072965636.db2.gz BIVLBOZCTLLNSB-CYBMUJFWSA-N 0 0 432.506 -0.042 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@@H](C)c2cccc(S(N)(=O)=O)c2)CC1 ZINC000670711035 1072965650 /nfs/dbraw/zinc/96/56/50/1072965650.db2.gz BIVLBOZCTLLNSB-ZDUSSCGKSA-N 0 0 432.506 -0.042 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H](C)c3cccc(S(N)(=O)=O)c3)CC2)n1 ZINC000670711311 1072965766 /nfs/dbraw/zinc/96/57/66/1072965766.db2.gz WMKWCIHHEWHTTF-CYBMUJFWSA-N 0 0 448.505 -0.341 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H](C)c3cccc(S(N)(=O)=O)c3)CC2)n1 ZINC000670711312 1072965779 /nfs/dbraw/zinc/96/57/79/1072965779.db2.gz WMKWCIHHEWHTTF-ZDUSSCGKSA-N 0 0 448.505 -0.341 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000670711930 1072965753 /nfs/dbraw/zinc/96/57/53/1072965753.db2.gz IENTWWRTUNCNDL-UHFFFAOYSA-N 0 0 439.582 -0.287 20 0 IBADRN C[C@H](OCCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000670718451 1072967400 /nfs/dbraw/zinc/96/74/00/1072967400.db2.gz QYHPPPXDIYEGFG-KRWDZBQOSA-N 0 0 440.566 -0.036 20 0 IBADRN C[C@@H](OCCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000670718452 1072967363 /nfs/dbraw/zinc/96/73/63/1072967363.db2.gz QYHPPPXDIYEGFG-QGZVFWFLSA-N 0 0 440.566 -0.036 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCCOC[C@@H]2CCCO2)CC1 ZINC000670719319 1071221260 /nfs/dbraw/zinc/22/12/60/1071221260.db2.gz UWCYJSFMYSQWOT-MSOLQXFVSA-N 0 0 440.541 -0.920 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCCOC[C@H]2CCCO2)CC1 ZINC000670719320 1072968686 /nfs/dbraw/zinc/96/86/86/1072968686.db2.gz UWCYJSFMYSQWOT-QZTJIDSGSA-N 0 0 440.541 -0.920 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCCOC[C@@H]2CCCO2)CC1 ZINC000670719321 1072968821 /nfs/dbraw/zinc/96/88/21/1072968821.db2.gz UWCYJSFMYSQWOT-ROUUACIJSA-N 0 0 440.541 -0.920 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCCCOC[C@H]2CCCO2)CC1 ZINC000670719322 1072968730 /nfs/dbraw/zinc/96/87/30/1072968730.db2.gz UWCYJSFMYSQWOT-ZWKOTPCHSA-N 0 0 440.541 -0.920 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000670721054 1072969217 /nfs/dbraw/zinc/96/92/17/1072969217.db2.gz UMIFURCSRDXCEO-GOSISDBHSA-N 0 0 438.550 -0.508 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000670721055 1072969330 /nfs/dbraw/zinc/96/93/30/1072969330.db2.gz UMIFURCSRDXCEO-SFHVURJKSA-N 0 0 438.550 -0.508 20 0 IBADRN COc1ccc(OCCNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000670722911 1072970316 /nfs/dbraw/zinc/97/03/16/1072970316.db2.gz NTVRGCJGLVDJET-UHFFFAOYSA-N 0 0 431.536 -0.315 20 0 IBADRN COc1ccc(OCCNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000670723250 1072970464 /nfs/dbraw/zinc/97/04/64/1072970464.db2.gz ZUMASJVIJWPOFF-UHFFFAOYSA-N 0 0 434.493 -0.807 20 0 IBADRN COc1ccc(OCCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000670724519 1072970394 /nfs/dbraw/zinc/97/03/94/1072970394.db2.gz SAJXQDLHFYFSAF-UHFFFAOYSA-N 0 0 434.493 -0.807 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000670725380 1072971674 /nfs/dbraw/zinc/97/16/74/1072971674.db2.gz GJHFOLATAXRACG-GOSISDBHSA-N 0 0 431.537 -0.416 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H](CN2CCOCC2)c2ccccc2)CC1 ZINC000670725381 1072971584 /nfs/dbraw/zinc/97/15/84/1072971584.db2.gz GJHFOLATAXRACG-SFHVURJKSA-N 0 0 431.537 -0.416 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H](CN1CCOCC1)c1ccccc1 ZINC000670725530 1072970307 /nfs/dbraw/zinc/97/03/07/1072970307.db2.gz ZDXXIQRIDVJGMW-GOSISDBHSA-N 0 0 426.477 -0.488 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H](CN1CCOCC1)c1ccccc1 ZINC000670725531 1072970423 /nfs/dbraw/zinc/97/04/23/1072970423.db2.gz ZDXXIQRIDVJGMW-SFHVURJKSA-N 0 0 426.477 -0.488 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCCCC3)cc2)C1 ZINC000670729467 1072973663 /nfs/dbraw/zinc/97/36/63/1072973663.db2.gz XRUMPTWTIQBFLR-UHFFFAOYSA-N 0 0 436.534 -0.064 20 0 IBADRN Cn1ccnc1[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000670731298 1072976272 /nfs/dbraw/zinc/97/62/72/1072976272.db2.gz SPMPQQPUAQPNTQ-KRWDZBQOSA-N 0 0 448.549 -0.681 20 0 IBADRN Cn1ccnc1[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000670731299 1072976200 /nfs/dbraw/zinc/97/62/00/1072976200.db2.gz SPMPQQPUAQPNTQ-QGZVFWFLSA-N 0 0 448.549 -0.681 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCCCOc2ccc(F)cc2)CC1 ZINC000670732619 1072974783 /nfs/dbraw/zinc/97/47/83/1072974783.db2.gz JITQUNIXUHJUMO-UHFFFAOYSA-N 0 0 444.529 -0.206 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000670739610 1072980048 /nfs/dbraw/zinc/98/00/48/1072980048.db2.gz KBJADKMKPPXJPU-GOSISDBHSA-N 0 0 439.534 -0.306 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000670739611 1072980074 /nfs/dbraw/zinc/98/00/74/1072980074.db2.gz KBJADKMKPPXJPU-SFHVURJKSA-N 0 0 439.534 -0.306 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)[nH]n1 ZINC000670739626 1072980106 /nfs/dbraw/zinc/98/01/06/1072980106.db2.gz LDOIRYHPCRPXGH-UHFFFAOYSA-N 0 0 435.506 -0.187 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CC[C@H](CO)C1 ZINC000670739627 1072980135 /nfs/dbraw/zinc/98/01/35/1072980135.db2.gz MLWDCIUHFNNNTC-HNNXBMFYSA-N 0 0 425.507 -0.694 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CC[C@@H](CO)C1 ZINC000670739628 1072980064 /nfs/dbraw/zinc/98/00/64/1072980064.db2.gz MLWDCIUHFNNNTC-OAHLLOKOSA-N 0 0 425.507 -0.694 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)C(=O)N1CCc2c[nH]nc2C1 ZINC000670739662 1071252901 /nfs/dbraw/zinc/25/29/01/1071252901.db2.gz RJEFBZFMHXCUFW-UHFFFAOYSA-N 0 0 447.517 -0.227 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC(Cn3cncn3)CC2)cc1 ZINC000670740141 1072981066 /nfs/dbraw/zinc/98/10/66/1072981066.db2.gz ACRMIVHMKGWGCI-UHFFFAOYSA-N 0 0 434.522 -0.118 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCc2ccc(CS(=O)(=O)NC)cc2)CC1 ZINC000670740212 1072981894 /nfs/dbraw/zinc/98/18/94/1072981894.db2.gz DIWIEYWJRIFRHQ-UHFFFAOYSA-N 0 0 426.539 -0.467 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCO[C@@H]([C@@H]3CCCO3)C2)cc1 ZINC000670740214 1072981634 /nfs/dbraw/zinc/98/16/34/1072981634.db2.gz DKAWQARMJZFGOT-DLBZAZTESA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)cc1 ZINC000670740215 1072981792 /nfs/dbraw/zinc/98/17/92/1072981792.db2.gz DKAWQARMJZFGOT-IAGOWNOFSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCO[C@H]([C@@H]3CCCO3)C2)cc1 ZINC000670740216 1072982478 /nfs/dbraw/zinc/98/24/78/1072982478.db2.gz DKAWQARMJZFGOT-IRXDYDNUSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCO[C@H]([C@H]3CCCO3)C2)cc1 ZINC000670740217 1072982226 /nfs/dbraw/zinc/98/22/26/1072982226.db2.gz DKAWQARMJZFGOT-SJORKVTESA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000670740273 1072981113 /nfs/dbraw/zinc/98/11/13/1072981113.db2.gz GJCGLBQOPPCSJU-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000670740274 1072981165 /nfs/dbraw/zinc/98/11/65/1072981165.db2.gz GJCGLBQOPPCSJU-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCc2cccc(C(N)=O)c2)cc1 ZINC000670740350 1072981106 /nfs/dbraw/zinc/98/11/06/1072981106.db2.gz IZTHUSHTKXSVEH-UHFFFAOYSA-N 0 0 432.502 -0.190 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NC[C@H](C(C)C)N2CCOCC2)cc1 ZINC000670740373 1072981222 /nfs/dbraw/zinc/98/12/22/1072981222.db2.gz JVCYMXJATULXIK-GOSISDBHSA-N 0 0 440.566 -0.175 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NC[C@@H](C(C)C)N2CCOCC2)cc1 ZINC000670740374 1072981107 /nfs/dbraw/zinc/98/11/07/1072981107.db2.gz JVCYMXJATULXIK-SFHVURJKSA-N 0 0 440.566 -0.175 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000670740387 1072981069 /nfs/dbraw/zinc/98/10/69/1072981069.db2.gz KDCSCZLHLRJUNS-UHFFFAOYSA-N 0 0 432.506 -0.509 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1 ZINC000670740436 1072981118 /nfs/dbraw/zinc/98/11/18/1072981118.db2.gz MJLWDCFABYQXHK-INIZCTEOSA-N 0 0 437.522 -0.586 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1 ZINC000670740437 1072981072 /nfs/dbraw/zinc/98/10/72/1072981072.db2.gz MJLWDCFABYQXHK-MRXNPFEDSA-N 0 0 437.522 -0.586 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1)C(=O)N1CCOCC1 ZINC000670740658 1072981188 /nfs/dbraw/zinc/98/11/88/1072981188.db2.gz UMFSMYIYCYDEPI-INIZCTEOSA-N 0 0 440.522 -0.894 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1)C(=O)N1CCOCC1 ZINC000670740659 1072981103 /nfs/dbraw/zinc/98/11/03/1072981103.db2.gz UMFSMYIYCYDEPI-MRXNPFEDSA-N 0 0 440.522 -0.894 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(Cc3cc(C)no3)CC2)cc1 ZINC000670740685 1072982436 /nfs/dbraw/zinc/98/24/36/1072982436.db2.gz VFSSQSPHOKPAER-UHFFFAOYSA-N 0 0 449.533 -0.007 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)cc1 ZINC000670740710 1072982259 /nfs/dbraw/zinc/98/22/59/1072982259.db2.gz VUBSGQNLZSWVKS-UHFFFAOYSA-N 0 0 448.501 -0.070 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)cc1 ZINC000670740712 1072982327 /nfs/dbraw/zinc/98/23/27/1072982327.db2.gz VXLSHJCZYRKTSH-KRWDZBQOSA-N 0 0 446.533 -0.073 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)cc1 ZINC000670740713 1072982376 /nfs/dbraw/zinc/98/23/76/1072982376.db2.gz VXLSHJCZYRKTSH-QGZVFWFLSA-N 0 0 446.533 -0.073 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)cc1 ZINC000670740749 1072982445 /nfs/dbraw/zinc/98/24/45/1072982445.db2.gz XEGRTDFMJGGEOL-GOSISDBHSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)cc1 ZINC000670740750 1072982356 /nfs/dbraw/zinc/98/23/56/1072982356.db2.gz XEGRTDFMJGGEOL-SFHVURJKSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)cc1 ZINC000670740802 1072982391 /nfs/dbraw/zinc/98/23/91/1072982391.db2.gz YNZQBHURQPXPIL-KRWDZBQOSA-N 0 0 448.549 -0.129 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)cc1 ZINC000670740803 1072982403 /nfs/dbraw/zinc/98/24/03/1072982403.db2.gz YNZQBHURQPXPIL-QGZVFWFLSA-N 0 0 448.549 -0.129 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1 ZINC000670740820 1072984129 /nfs/dbraw/zinc/98/41/29/1072984129.db2.gz ZNHWJOLFQWOJPB-UHFFFAOYSA-N 0 0 448.549 -0.570 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCO[C@]3(CCO[C@@H]3C)C2)cc1 ZINC000670740929 1072984097 /nfs/dbraw/zinc/98/40/97/1072984097.db2.gz CMXAYXYYOJJREZ-AUUYWEPGSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCO[C@]3(CCO[C@H]3C)C2)cc1 ZINC000670740930 1072983943 /nfs/dbraw/zinc/98/39/43/1072983943.db2.gz CMXAYXYYOJJREZ-IFXJQAMLSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCO[C@@]3(CCO[C@@H]3C)C2)cc1 ZINC000670740931 1072984521 /nfs/dbraw/zinc/98/45/21/1072984521.db2.gz CMXAYXYYOJJREZ-KUHUBIRLSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCO[C@@]3(CCO[C@H]3C)C2)cc1 ZINC000670740932 1072984607 /nfs/dbraw/zinc/98/46/07/1072984607.db2.gz CMXAYXYYOJJREZ-LIRRHRJNSA-N 0 0 425.507 -0.242 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000670740945 1072984578 /nfs/dbraw/zinc/98/45/78/1072984578.db2.gz CVWMKXBFNUJNFF-UHFFFAOYSA-N 0 0 437.522 -0.027 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(Cc3cc(C)on3)CC2)cc1 ZINC000670741056 1072984044 /nfs/dbraw/zinc/98/40/44/1072984044.db2.gz HZRXQVZOAYHRLH-UHFFFAOYSA-N 0 0 449.533 -0.007 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCN2CCOC(C)(C)C2)cc1 ZINC000670741237 1072983956 /nfs/dbraw/zinc/98/39/56/1072983956.db2.gz MFTOFTCLUXRPKW-UHFFFAOYSA-N 0 0 426.539 -0.421 20 0 IBADRN CCN(C(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1)[C@H](C)CS(C)(=O)=O ZINC000670741366 1072982904 /nfs/dbraw/zinc/98/29/04/1072982904.db2.gz QHKKHAKIPDAQBW-CYBMUJFWSA-N 0 0 433.552 -0.366 20 0 IBADRN CCN(C(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1)[C@@H](C)CS(C)(=O)=O ZINC000670741367 1072982828 /nfs/dbraw/zinc/98/28/28/1072982828.db2.gz QHKKHAKIPDAQBW-ZDUSSCGKSA-N 0 0 433.552 -0.366 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000670741386 1072981821 /nfs/dbraw/zinc/98/18/21/1072981821.db2.gz QUIBFWDESGIIKO-UHFFFAOYSA-N 0 0 432.506 -0.509 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)cc1 ZINC000670741389 1072981757 /nfs/dbraw/zinc/98/17/57/1072981757.db2.gz QVBARIHTJNIUNU-CHWSQXEVSA-N 0 0 433.552 -0.368 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O)cc1 ZINC000670741390 1072981809 /nfs/dbraw/zinc/98/18/09/1072981809.db2.gz QVBARIHTJNIUNU-OLZOCXBDSA-N 0 0 433.552 -0.368 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O)cc1 ZINC000670741391 1072981779 /nfs/dbraw/zinc/98/17/79/1072981779.db2.gz QVBARIHTJNIUNU-QWHCGFSZSA-N 0 0 433.552 -0.368 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O)cc1 ZINC000670741392 1072982948 /nfs/dbraw/zinc/98/29/48/1072982948.db2.gz QVBARIHTJNIUNU-STQMWFEESA-N 0 0 433.552 -0.368 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000670741428 1072982812 /nfs/dbraw/zinc/98/28/12/1072982812.db2.gz SCMZPSMLLSKLGN-INIZCTEOSA-N 0 0 448.505 -0.993 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1 ZINC000670741429 1072982802 /nfs/dbraw/zinc/98/28/02/1072982802.db2.gz SCMZPSMLLSKLGN-MRXNPFEDSA-N 0 0 448.505 -0.993 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000670741470 1072982778 /nfs/dbraw/zinc/98/27/78/1072982778.db2.gz UFBFRDKYBVESOU-HNNXBMFYSA-N 0 0 431.536 -0.612 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000670741471 1072982955 /nfs/dbraw/zinc/98/29/55/1072982955.db2.gz UFBFRDKYBVESOU-OAHLLOKOSA-N 0 0 431.536 -0.612 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000670741581 1072982410 /nfs/dbraw/zinc/98/24/10/1072982410.db2.gz XEVLOFIFBPRWHN-UHFFFAOYSA-N 0 0 437.522 -0.411 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1)S(C)(=O)=O ZINC000670741598 1072982754 /nfs/dbraw/zinc/98/27/54/1072982754.db2.gz XQNUQTGADLHYSY-UHFFFAOYSA-N 0 0 448.567 -0.860 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cc1 ZINC000670741611 1072982888 /nfs/dbraw/zinc/98/28/88/1072982888.db2.gz XVEQQXOWUNGCTH-UHFFFAOYSA-N 0 0 434.522 -0.566 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCN2CCOCC2(C)C)cc1 ZINC000670741816 1072983482 /nfs/dbraw/zinc/98/34/82/1072983482.db2.gz DHPIVXREZPREJX-UHFFFAOYSA-N 0 0 426.539 -0.421 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)cc1 ZINC000670741835 1072983472 /nfs/dbraw/zinc/98/34/72/1072983472.db2.gz DUTWBUBLEHNIOS-HNNXBMFYSA-N 0 0 426.539 -0.469 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)cc1 ZINC000670741836 1072983357 /nfs/dbraw/zinc/98/33/57/1072983357.db2.gz DUTWBUBLEHNIOS-OAHLLOKOSA-N 0 0 426.539 -0.469 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCOC3(CCOCC3)C2)cc1 ZINC000670741837 1072983301 /nfs/dbraw/zinc/98/33/01/1072983301.db2.gz DWBPCSMFCZNQTD-UHFFFAOYSA-N 0 0 425.507 -0.240 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)CCN2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000670741874 1072983491 /nfs/dbraw/zinc/98/34/91/1072983491.db2.gz FTJWPQQPFBACNA-IYBDPMFKSA-N 0 0 440.566 -0.080 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1 ZINC000670741989 1072983311 /nfs/dbraw/zinc/98/33/11/1072983311.db2.gz IRBOIJNETDYLJP-UHFFFAOYSA-N 0 0 448.549 -0.570 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)cc1 ZINC000670742004 1072983426 /nfs/dbraw/zinc/98/34/26/1072983426.db2.gz JGSQZCQKCDCSFC-HNNXBMFYSA-N 0 0 440.566 -0.344 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)cc1 ZINC000670742005 1072983365 /nfs/dbraw/zinc/98/33/65/1072983365.db2.gz JGSQZCQKCDCSFC-OAHLLOKOSA-N 0 0 440.566 -0.344 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000670742088 1072983525 /nfs/dbraw/zinc/98/35/25/1072983525.db2.gz LFNHFEWGXQCXLW-UHFFFAOYSA-N 0 0 433.490 -0.707 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000670742164 1072983399 /nfs/dbraw/zinc/98/33/99/1072983399.db2.gz NCYNKDVALKAREI-UHFFFAOYSA-N 0 0 432.506 -0.509 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@]3(CCOC3)C2)cc1 ZINC000670742254 1072983558 /nfs/dbraw/zinc/98/35/58/1072983558.db2.gz PMFSOXDTUCETLF-AUUYWEPGSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2C[C@H](C)O[C@]3(CCOC3)C2)cc1 ZINC000670742255 1072983520 /nfs/dbraw/zinc/98/35/20/1072983520.db2.gz PMFSOXDTUCETLF-IFXJQAMLSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@@]3(CCOC3)C2)cc1 ZINC000670742256 1072983405 /nfs/dbraw/zinc/98/34/05/1072983405.db2.gz PMFSOXDTUCETLF-KUHUBIRLSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2C[C@H](C)O[C@@]3(CCOC3)C2)cc1 ZINC000670742257 1072983516 /nfs/dbraw/zinc/98/35/16/1072983516.db2.gz PMFSOXDTUCETLF-LIRRHRJNSA-N 0 0 425.507 -0.242 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NC[C@H](C)CN2CCOCC2)cc1 ZINC000670742286 1072984597 /nfs/dbraw/zinc/98/45/97/1072984597.db2.gz PVTNFNFWVPIMMK-HNNXBMFYSA-N 0 0 426.539 -0.564 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cc1 ZINC000670742287 1072984558 /nfs/dbraw/zinc/98/45/58/1072984558.db2.gz PVTNFNFWVPIMMK-OAHLLOKOSA-N 0 0 426.539 -0.564 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)cc1 ZINC000670742303 1072984477 /nfs/dbraw/zinc/98/44/77/1072984477.db2.gz QKCSAHPTKRUUHH-HNNXBMFYSA-N 0 0 440.566 -0.344 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)cc1 ZINC000670742304 1072984457 /nfs/dbraw/zinc/98/44/57/1072984457.db2.gz QKCSAHPTKRUUHH-OAHLLOKOSA-N 0 0 440.566 -0.344 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000670742383 1072982942 /nfs/dbraw/zinc/98/29/42/1072982942.db2.gz TXBKCQXYPWEKJC-CABCVRRESA-N 0 0 426.539 -0.423 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H](C)[C@H](C)N2CCOCC2)cc1 ZINC000670742384 1072982911 /nfs/dbraw/zinc/98/29/11/1072982911.db2.gz TXBKCQXYPWEKJC-GJZGRUSLSA-N 0 0 426.539 -0.423 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000670742385 1072983417 /nfs/dbraw/zinc/98/34/17/1072983417.db2.gz TXBKCQXYPWEKJC-HUUCEWRRSA-N 0 0 426.539 -0.423 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)cc1 ZINC000670742386 1072983481 /nfs/dbraw/zinc/98/34/81/1072983481.db2.gz TXBKCQXYPWEKJC-LSDHHAIUSA-N 0 0 426.539 -0.423 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(c3nccnc3C)CC2)cc1 ZINC000670742460 1072984543 /nfs/dbraw/zinc/98/45/43/1072984543.db2.gz VVJUKHYVACXNHA-UHFFFAOYSA-N 0 0 446.533 -0.201 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cc1 ZINC000670742481 1072984616 /nfs/dbraw/zinc/98/46/16/1072984616.db2.gz WIKSNCKHGGNLJD-UHFFFAOYSA-N 0 0 436.412 -0.457 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC(OCCCO)CC2)cc1 ZINC000670742527 1072984624 /nfs/dbraw/zinc/98/46/24/1072984624.db2.gz YGMZYAVJBBXLBS-UHFFFAOYSA-N 0 0 427.523 -0.258 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(CCOC(C)C)CC2)cc1 ZINC000670742536 1072984569 /nfs/dbraw/zinc/98/45/69/1072984569.db2.gz YMQYIPVCMDLKJS-UHFFFAOYSA-N 0 0 440.566 -0.079 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@H](N3CCCC3=O)C2)cc1 ZINC000670742570 1072984666 /nfs/dbraw/zinc/98/46/66/1072984666.db2.gz ACYWXGGMDYMZHI-KRWDZBQOSA-N 0 0 436.534 -0.035 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@@H](N3CCCC3=O)C2)cc1 ZINC000670742571 1072984589 /nfs/dbraw/zinc/98/45/89/1072984589.db2.gz ACYWXGGMDYMZHI-QGZVFWFLSA-N 0 0 436.534 -0.035 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccc(CS(=O)(=O)NC)cc1 ZINC000670742576 1072984584 /nfs/dbraw/zinc/98/45/84/1072984584.db2.gz AEEDALSIFICSNL-UHFFFAOYSA-N 0 0 448.567 -0.860 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(CCOC)Cc2ccnn2C)cc1 ZINC000670742678 1072985498 /nfs/dbraw/zinc/98/54/98/1072985498.db2.gz HVXKODNQTDFOIO-UHFFFAOYSA-N 0 0 437.522 -0.239 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)cc1 ZINC000670742689 1072985527 /nfs/dbraw/zinc/98/55/27/1072985527.db2.gz IJJRMRKESNJTBT-UHFFFAOYSA-N 0 0 440.522 -0.941 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C3CCOCC3)CC2)cc1 ZINC000670742695 1072985586 /nfs/dbraw/zinc/98/55/86/1072985586.db2.gz IQWJQXSRBNNREC-UHFFFAOYSA-N 0 0 438.550 -0.325 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CC[C@H](COCCOC)C2)cc1 ZINC000670742785 1072985563 /nfs/dbraw/zinc/98/55/63/1072985563.db2.gz NQJPTWHFTQPTLB-KRWDZBQOSA-N 0 0 427.523 -0.137 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CC[C@@H](COCCOC)C2)cc1 ZINC000670742786 1072985506 /nfs/dbraw/zinc/98/55/06/1072985506.db2.gz NQJPTWHFTQPTLB-QGZVFWFLSA-N 0 0 427.523 -0.137 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1 ZINC000670742836 1072985618 /nfs/dbraw/zinc/98/56/18/1072985618.db2.gz RBUNCKCKYJKWAO-GOSISDBHSA-N 0 0 438.550 -0.467 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1 ZINC000670742837 1072985575 /nfs/dbraw/zinc/98/55/75/1072985575.db2.gz RBUNCKCKYJKWAO-SFHVURJKSA-N 0 0 438.550 -0.467 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000670742929 1072985114 /nfs/dbraw/zinc/98/51/14/1072985114.db2.gz WGCSUXRKQBHKSC-UHFFFAOYSA-N 0 0 426.539 -0.733 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)CCN2CCOC(C)(C)C2)cc1 ZINC000670742934 1072985092 /nfs/dbraw/zinc/98/50/92/1072985092.db2.gz WSKLIPHGVSNJRO-UHFFFAOYSA-N 0 0 440.566 -0.079 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)Cc2cnc(N(C)C)nc2)cc1 ZINC000670742968 1072985135 /nfs/dbraw/zinc/98/51/35/1072985135.db2.gz YQSAJWCOIDKSCC-UHFFFAOYSA-N 0 0 434.522 -0.133 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCN(c3ccnc(C)n3)CC2)cc1 ZINC000670742990 1072985171 /nfs/dbraw/zinc/98/51/71/1072985171.db2.gz ZLOVGDWKYMEJLH-UHFFFAOYSA-N 0 0 446.533 -0.201 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000670743427 1072984155 /nfs/dbraw/zinc/98/41/55/1072984155.db2.gz FDOJNKCSQCNHIE-UHFFFAOYSA-N 0 0 436.534 -0.036 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N[C@H](C)[C@H]1CN(C)CCO1 ZINC000670743539 1072983331 /nfs/dbraw/zinc/98/33/31/1072983331.db2.gz FGVOSQWKMRJBRE-CRAIPNDOSA-N 0 0 440.566 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1 ZINC000670743540 1072984003 /nfs/dbraw/zinc/98/40/03/1072984003.db2.gz FGVOSQWKMRJBRE-MAUKXSAKSA-N 0 0 440.566 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N[C@H](C)[C@@H]1CN(C)CCO1 ZINC000670743541 1072984065 /nfs/dbraw/zinc/98/40/65/1072984065.db2.gz FGVOSQWKMRJBRE-QAPCUYQASA-N 0 0 440.566 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1 ZINC000670743542 1072984176 /nfs/dbraw/zinc/98/41/76/1072984176.db2.gz FGVOSQWKMRJBRE-YJBOKZPZSA-N 0 0 440.566 -0.034 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccccc2Cn2cccn2)CC1 ZINC000670743968 1072986069 /nfs/dbraw/zinc/98/60/69/1072986069.db2.gz JECFKKLDLSBPQF-UHFFFAOYSA-N 0 0 448.549 -0.759 20 0 IBADRN O=C(NCCCCc1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000670744585 1072986072 /nfs/dbraw/zinc/98/60/72/1072986072.db2.gz NNUGOLAATXMDRS-UHFFFAOYSA-N 0 0 430.505 -0.345 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCCCc1ccccc1)C2 ZINC000670747434 1072987162 /nfs/dbraw/zinc/98/71/62/1072987162.db2.gz BIUIGDXDEHAIJK-UHFFFAOYSA-N 0 0 428.493 -0.386 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cs1 ZINC000670749830 1072988076 /nfs/dbraw/zinc/98/80/76/1072988076.db2.gz MDZXEYIAXBYWAE-UHFFFAOYSA-N 0 0 426.524 -0.357 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cs1 ZINC000670750980 1072988187 /nfs/dbraw/zinc/98/81/87/1072988187.db2.gz WSGWSMVBGDGDOE-BETUJISGSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cs1 ZINC000670750981 1072988269 /nfs/dbraw/zinc/98/82/69/1072988269.db2.gz WSGWSMVBGDGDOE-CHWSQXEVSA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cs1 ZINC000670750982 1072988058 /nfs/dbraw/zinc/98/80/58/1072988058.db2.gz WSGWSMVBGDGDOE-STQMWFEESA-N 0 0 445.567 -0.434 20 0 IBADRN Cc1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cs1 ZINC000670751702 1072988151 /nfs/dbraw/zinc/98/81/51/1072988151.db2.gz VEFLNCTXFAASDW-UHFFFAOYSA-N 0 0 441.491 -0.707 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2coc(-c3cccs3)n2)CC1 ZINC000670754474 1072988775 /nfs/dbraw/zinc/98/87/75/1072988775.db2.gz DFTNTZUFWSLBDD-UHFFFAOYSA-N 0 0 441.535 -0.287 20 0 IBADRN CCOc1ccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000670757563 1072989322 /nfs/dbraw/zinc/98/93/22/1072989322.db2.gz MXERMMLNRSDOOO-INIZCTEOSA-N 0 0 446.504 -0.208 20 0 IBADRN CCOc1ccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000670757564 1072989253 /nfs/dbraw/zinc/98/92/53/1072989253.db2.gz MXERMMLNRSDOOO-MRXNPFEDSA-N 0 0 446.504 -0.208 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CNS(=O)(=O)c3c(C)noc3C)CC2)no1 ZINC000670758967 1072990073 /nfs/dbraw/zinc/99/00/73/1072990073.db2.gz FGZMTKBWGMFHCL-UHFFFAOYSA-N 0 0 440.482 -0.351 20 0 IBADRN CCOc1ccc([C@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000670762770 1072990198 /nfs/dbraw/zinc/99/01/98/1072990198.db2.gz RIWQHWIEGVFBBY-HNNXBMFYSA-N 0 0 426.539 -0.044 20 0 IBADRN CCOc1ccc([C@@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000670762771 1072990043 /nfs/dbraw/zinc/99/00/43/1072990043.db2.gz RIWQHWIEGVFBBY-OAHLLOKOSA-N 0 0 426.539 -0.044 20 0 IBADRN CCOc1ccc([C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000670764234 1072990657 /nfs/dbraw/zinc/99/06/57/1072990657.db2.gz RBYNNRQKACOECZ-AWEZNQCLSA-N 0 0 444.492 -0.249 20 0 IBADRN CCOc1ccc([C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000670764235 1072990765 /nfs/dbraw/zinc/99/07/65/1072990765.db2.gz RBYNNRQKACOECZ-CQSZACIVSA-N 0 0 444.492 -0.249 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1CCc2c[nH]nc2C1 ZINC000670764547 1072990649 /nfs/dbraw/zinc/99/06/49/1072990649.db2.gz JPHWICJAGJACBK-UHFFFAOYSA-N 0 0 439.519 -0.307 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)NC[C@H]1CCCOC1 ZINC000670764548 1071258313 /nfs/dbraw/zinc/25/83/13/1071258313.db2.gz KEXVTSLIZLNZRO-CYBMUJFWSA-N 0 0 431.536 -0.072 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)NC[C@@H]1CCCOC1 ZINC000670764549 1072990608 /nfs/dbraw/zinc/99/06/08/1072990608.db2.gz KEXVTSLIZLNZRO-ZDUSSCGKSA-N 0 0 431.536 -0.072 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000670764624 1071258268 /nfs/dbraw/zinc/25/82/68/1071258268.db2.gz NXLOQNYESKEFKU-GFCCVEGCSA-N 0 0 444.535 -0.878 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000670764625 1072990621 /nfs/dbraw/zinc/99/06/21/1072990621.db2.gz NXLOQNYESKEFKU-LBPRGKRZSA-N 0 0 444.535 -0.878 20 0 IBADRN COCCCN(CCO)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000670764636 1072990666 /nfs/dbraw/zinc/99/06/66/1072990666.db2.gz SAYFNKXPYIVPDL-UHFFFAOYSA-N 0 0 449.551 -0.757 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)[nH]n1 ZINC000670764648 1072990738 /nfs/dbraw/zinc/99/07/38/1072990738.db2.gz ANVGWMMDHJXXKF-UHFFFAOYSA-N 0 0 427.508 -0.267 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000670764660 1072990750 /nfs/dbraw/zinc/99/07/50/1072990750.db2.gz JQSYEHZNFFWSLL-AWEZNQCLSA-N 0 0 431.536 -0.386 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000670764661 1072990754 /nfs/dbraw/zinc/99/07/54/1072990754.db2.gz JQSYEHZNFFWSLL-CQSZACIVSA-N 0 0 431.536 -0.386 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000670770707 1072991255 /nfs/dbraw/zinc/99/12/55/1072991255.db2.gz UPJNZLBTVMEUNI-KRWDZBQOSA-N 0 0 431.493 -0.616 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000670770708 1072991159 /nfs/dbraw/zinc/99/11/59/1072991159.db2.gz UPJNZLBTVMEUNI-QGZVFWFLSA-N 0 0 431.493 -0.616 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)CC1 ZINC000670770894 1072991678 /nfs/dbraw/zinc/99/16/78/1072991678.db2.gz ZAQAFPKOIWWWAY-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C[C@H](C)O1 ZINC000670771843 1072991877 /nfs/dbraw/zinc/99/18/77/1072991877.db2.gz WULBPQIATOUFNO-HOTGVXAUSA-N 0 0 425.574 -0.341 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C[C@@H](C)O1 ZINC000670771844 1072991629 /nfs/dbraw/zinc/99/16/29/1072991629.db2.gz WULBPQIATOUFNO-HZPDHXFCSA-N 0 0 425.574 -0.341 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C[C@H](C)O1 ZINC000670771845 1072991698 /nfs/dbraw/zinc/99/16/98/1072991698.db2.gz WULBPQIATOUFNO-IYBDPMFKSA-N 0 0 425.574 -0.341 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000670772587 1072991270 /nfs/dbraw/zinc/99/12/70/1072991270.db2.gz LKCGVTOLONRLAC-HOTGVXAUSA-N 0 0 426.558 -0.008 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000670772588 1072991191 /nfs/dbraw/zinc/99/11/91/1072991191.db2.gz LKCGVTOLONRLAC-HZPDHXFCSA-N 0 0 426.558 -0.008 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000670772589 1072991214 /nfs/dbraw/zinc/99/12/14/1072991214.db2.gz LKCGVTOLONRLAC-IYBDPMFKSA-N 0 0 426.558 -0.008 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C[C@H](C)O1 ZINC000670772850 1072991647 /nfs/dbraw/zinc/99/16/47/1072991647.db2.gz UMRWYFPAURTSIV-HOTGVXAUSA-N 0 0 446.552 -0.167 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C[C@@H](C)O1 ZINC000670772851 1072991784 /nfs/dbraw/zinc/99/17/84/1072991784.db2.gz UMRWYFPAURTSIV-HZPDHXFCSA-N 0 0 446.552 -0.167 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C[C@H](C)O1 ZINC000670772852 1072991861 /nfs/dbraw/zinc/99/18/61/1072991861.db2.gz UMRWYFPAURTSIV-IYBDPMFKSA-N 0 0 446.552 -0.167 20 0 IBADRN C[C@@H](CCc1ccc(O)cc1)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000670774735 1072992769 /nfs/dbraw/zinc/99/27/69/1072992769.db2.gz GKUSDDMMXLBFKZ-HNNXBMFYSA-N 0 0 426.539 -0.477 20 0 IBADRN C[C@H](CCc1ccc(O)cc1)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000670774736 1072992974 /nfs/dbraw/zinc/99/29/74/1072992974.db2.gz GKUSDDMMXLBFKZ-OAHLLOKOSA-N 0 0 426.539 -0.477 20 0 IBADRN C[C@@H](CCc1ccc(O)cc1)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000670774845 1072992426 /nfs/dbraw/zinc/99/24/26/1072992426.db2.gz HSXPPZLNYLRJTB-KRWDZBQOSA-N 0 0 432.521 -0.168 20 0 IBADRN C[C@H](CCc1ccc(O)cc1)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000670774846 1072992735 /nfs/dbraw/zinc/99/27/35/1072992735.db2.gz HSXPPZLNYLRJTB-QGZVFWFLSA-N 0 0 432.521 -0.168 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)C1 ZINC000670775424 1072992227 /nfs/dbraw/zinc/99/22/27/1072992227.db2.gz BNGIRAWLPFSERH-AWEZNQCLSA-N 0 0 426.543 -0.408 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCc2nnc3n2CCCCC3)C1 ZINC000670775425 1072992189 /nfs/dbraw/zinc/99/21/89/1072992189.db2.gz BNGIRAWLPFSERH-CQSZACIVSA-N 0 0 426.543 -0.408 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)C(=O)NCc1nnc2n1CCC2 ZINC000670776161 1072992823 /nfs/dbraw/zinc/99/28/23/1072992823.db2.gz XJFUOROYWBKJRC-UHFFFAOYSA-N 0 0 431.541 -0.319 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2cnn(-c3ccccc3)c2)CC1 ZINC000670777494 1072992760 /nfs/dbraw/zinc/99/27/60/1072992760.db2.gz NSNOJJAZOCTURF-UHFFFAOYSA-N 0 0 434.522 -0.818 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)c1nnc2n1CCCCC2 ZINC000670780488 1072992788 /nfs/dbraw/zinc/99/27/88/1072992788.db2.gz HOVMEIFRUBMCDG-MRXNPFEDSA-N 0 0 431.541 -0.052 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCO[C@H]([C@H]2CCCO2)C1 ZINC000670781865 1072993317 /nfs/dbraw/zinc/99/33/17/1072993317.db2.gz GYGIQXMKAAYTMR-CVEARBPZSA-N 0 0 425.507 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000670781866 1072993302 /nfs/dbraw/zinc/99/33/02/1072993302.db2.gz GYGIQXMKAAYTMR-HOTGVXAUSA-N 0 0 425.507 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000670781867 1072993310 /nfs/dbraw/zinc/99/33/10/1072993310.db2.gz GYGIQXMKAAYTMR-HZPDHXFCSA-N 0 0 425.507 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000670781868 1072993368 /nfs/dbraw/zinc/99/33/68/1072993368.db2.gz GYGIQXMKAAYTMR-JKSUJKDBSA-N 0 0 425.507 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000670781910 1072993503 /nfs/dbraw/zinc/99/35/03/1072993503.db2.gz VMYFSQLGUMDTLD-KRWDZBQOSA-N 0 0 438.550 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCN(C[C@H]2CCCO2)CC1 ZINC000670781911 1072993324 /nfs/dbraw/zinc/99/33/24/1072993324.db2.gz VMYFSQLGUMDTLD-QGZVFWFLSA-N 0 0 438.550 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)NCc1cccc(OCC(N)=O)c1 ZINC000670781931 1072993445 /nfs/dbraw/zinc/99/34/45/1072993445.db2.gz GHYGFMZMAXMPSV-UHFFFAOYSA-N 0 0 448.501 -0.266 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000670782033 1072993807 /nfs/dbraw/zinc/99/38/07/1072993807.db2.gz UVAROFBYNOHQQM-CQSZACIVSA-N 0 0 446.551 -0.907 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N1CCC(OCCCO)CC1 ZINC000670782074 1072993743 /nfs/dbraw/zinc/99/37/43/1072993743.db2.gz GHEYUGZPEHUMHP-UHFFFAOYSA-N 0 0 427.523 -0.057 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccccc2OC(F)F)CC1 ZINC000670785045 1071297249 /nfs/dbraw/zinc/29/72/49/1071297249.db2.gz VOSTZYBIFDTWKX-UHFFFAOYSA-N 0 0 434.465 -0.402 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000670785382 1072994545 /nfs/dbraw/zinc/99/45/45/1072994545.db2.gz WIMZEVMSEJHPDS-UHFFFAOYSA-N 0 0 433.509 -0.377 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCc2cnn(-c3ccccc3)c2)CC1 ZINC000670786148 1072993838 /nfs/dbraw/zinc/99/38/38/1072993838.db2.gz BTEHKRVRWFXEMO-UHFFFAOYSA-N 0 0 448.549 -0.776 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1)N1CCOCC1 ZINC000670813383 1072998676 /nfs/dbraw/zinc/99/86/76/1072998676.db2.gz ATQZYJNNPKSPTH-IBGZPJMESA-N 0 0 437.585 -0.384 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1)N1CCOCC1 ZINC000670813384 1072998726 /nfs/dbraw/zinc/99/87/26/1072998726.db2.gz ATQZYJNNPKSPTH-LJQANCHMSA-N 0 0 437.585 -0.384 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1)N1CCOCC1 ZINC000670814020 1072999257 /nfs/dbraw/zinc/99/92/57/1072999257.db2.gz ITAYOHKIQHAGDN-APWZRJJASA-N 0 0 431.537 -0.047 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1)N1CCOCC1 ZINC000670814021 1072999106 /nfs/dbraw/zinc/99/91/06/1072999106.db2.gz ITAYOHKIQHAGDN-LPHOPBHVSA-N 0 0 431.537 -0.047 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1)N1CCOCC1 ZINC000670814022 1072999310 /nfs/dbraw/zinc/99/93/10/1072999310.db2.gz ITAYOHKIQHAGDN-QFBILLFUSA-N 0 0 431.537 -0.047 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1)N1CCOCC1 ZINC000670814023 1072999228 /nfs/dbraw/zinc/99/92/28/1072999228.db2.gz ITAYOHKIQHAGDN-VQIMIIECSA-N 0 0 431.537 -0.047 20 0 IBADRN Cc1nc(CCNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cs1 ZINC000670815355 1072999284 /nfs/dbraw/zinc/99/92/84/1072999284.db2.gz GNMGIZCWERCQGJ-UHFFFAOYSA-N 0 0 429.502 -0.001 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2cn3cc(Cl)ccc3n2)CC1 ZINC000670817245 1072999750 /nfs/dbraw/zinc/99/97/50/1072999750.db2.gz CHJHYQCWIIOVGN-UHFFFAOYSA-N 0 0 442.929 -0.703 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccc(Br)cc2)CC1 ZINC000670832461 1073004203 /nfs/dbraw/zinc/00/42/03/1073004203.db2.gz IBCCTLDLXNZKAV-UHFFFAOYSA-N 0 0 447.355 -0.241 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(C(=O)c3ccoc3)CC2)CC1)NC1CC1 ZINC000670835008 1073004188 /nfs/dbraw/zinc/00/41/88/1073004188.db2.gz SRTFZYKAAUZVQZ-UHFFFAOYSA-N 0 0 431.493 -0.577 20 0 IBADRN COc1ccc(OC)c(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000670835506 1073004672 /nfs/dbraw/zinc/00/46/72/1073004672.db2.gz MWIVSFBORGHIBF-UHFFFAOYSA-N 0 0 428.511 -0.987 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@H]([C@H]2CCCO2)C1 ZINC000670836673 1073005062 /nfs/dbraw/zinc/00/50/62/1073005062.db2.gz IHMJLZPGWLMTDQ-MSOLQXFVSA-N 0 0 432.525 -0.489 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000670836674 1073004864 /nfs/dbraw/zinc/00/48/64/1073004864.db2.gz IHMJLZPGWLMTDQ-QZTJIDSGSA-N 0 0 432.525 -0.489 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000670836675 1073005177 /nfs/dbraw/zinc/00/51/77/1073005177.db2.gz IHMJLZPGWLMTDQ-ROUUACIJSA-N 0 0 432.525 -0.489 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000670836676 1073004920 /nfs/dbraw/zinc/00/49/20/1073004920.db2.gz IHMJLZPGWLMTDQ-ZWKOTPCHSA-N 0 0 432.525 -0.489 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000670836724 1073005020 /nfs/dbraw/zinc/00/50/20/1073005020.db2.gz VSIIUTLAQARGQQ-UHFFFAOYSA-N 0 0 431.541 -0.568 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NC1CCN(CC(F)F)CC1 ZINC000670836742 1073004967 /nfs/dbraw/zinc/00/49/67/1073004967.db2.gz CXLCJODPOIMIRI-UHFFFAOYSA-N 0 0 439.511 -0.049 20 0 IBADRN CN(C)c1nc(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)cs1 ZINC000670836765 1073004991 /nfs/dbraw/zinc/00/49/91/1073004991.db2.gz HFRQZOHVCJJURC-UHFFFAOYSA-N 0 0 432.554 -0.056 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000670836814 1073005471 /nfs/dbraw/zinc/00/54/71/1073005471.db2.gz UEMRYBSJVUKEFI-IBGZPJMESA-N 0 0 445.568 -0.572 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(C[C@H]2CCCO2)CC1 ZINC000670836815 1073006248 /nfs/dbraw/zinc/00/62/48/1073006248.db2.gz UEMRYBSJVUKEFI-LJQANCHMSA-N 0 0 445.568 -0.572 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CCCC1 ZINC000670836831 1073006016 /nfs/dbraw/zinc/00/60/16/1073006016.db2.gz ZPEMEQIKASQNFG-UHFFFAOYSA-N 0 0 445.568 -0.130 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NCCNC(=O)c1cccnc1 ZINC000670836836 1073006224 /nfs/dbraw/zinc/00/62/24/1073006224.db2.gz ACPJVEPBWYWHQU-UHFFFAOYSA-N 0 0 440.508 -0.954 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000670836845 1071479143 /nfs/dbraw/zinc/47/91/43/1071479143.db2.gz CZTQUILRUFSSJY-UHFFFAOYSA-N 0 0 444.540 -0.274 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(OCCCO)CC1 ZINC000670836847 1073006198 /nfs/dbraw/zinc/00/61/98/1073006198.db2.gz DLECTOVMDDEEEO-UHFFFAOYSA-N 0 0 434.541 -0.505 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N[C@@H]1CCN(c2ccccn2)C1 ZINC000670836942 1073005545 /nfs/dbraw/zinc/00/55/45/1073005545.db2.gz ZBKHVYNOIXXILN-GOSISDBHSA-N 0 0 438.536 -0.105 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N[C@H]1CCN(c2ccccn2)C1 ZINC000670836943 1073005449 /nfs/dbraw/zinc/00/54/49/1073005449.db2.gz ZBKHVYNOIXXILN-SFHVURJKSA-N 0 0 438.536 -0.105 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCCNC(=O)c2ccc(C)c(F)c2)CC1 ZINC000670838505 1073006179 /nfs/dbraw/zinc/00/61/79/1073006179.db2.gz IQZVQVAKGORKHA-UHFFFAOYSA-N 0 0 436.484 -0.019 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000670838850 1073006671 /nfs/dbraw/zinc/00/66/71/1073006671.db2.gz FSFLUAKVWCXEES-UHFFFAOYSA-N 0 0 439.538 -0.267 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000670838909 1073007831 /nfs/dbraw/zinc/00/78/31/1073007831.db2.gz PVJNLQYTGNGMTB-UHFFFAOYSA-N 0 0 432.506 -0.472 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCN(c2ccccc2)C(=O)C1 ZINC000670838949 1073007772 /nfs/dbraw/zinc/00/77/72/1073007772.db2.gz YNKIABFYIDPIEM-UHFFFAOYSA-N 0 0 430.486 -0.044 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000670838998 1073007682 /nfs/dbraw/zinc/00/76/82/1073007682.db2.gz QIGWQOMIMMQWSL-UHFFFAOYSA-N 0 0 440.522 -0.918 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N1CCOCC2(CCOCC2)C1 ZINC000670839103 1071484706 /nfs/dbraw/zinc/48/47/06/1071484706.db2.gz QAHZZMYTKWJYFH-UHFFFAOYSA-N 0 0 425.507 -0.263 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCN(C(=O)C2CCCC2)C1 ZINC000670839106 1073007726 /nfs/dbraw/zinc/00/77/26/1073007726.db2.gz QJFMIQSFZUJWPI-INIZCTEOSA-N 0 0 436.534 -0.012 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCN(C(=O)C2CCCC2)C1 ZINC000670839107 1073007671 /nfs/dbraw/zinc/00/76/71/1073007671.db2.gz QJFMIQSFZUJWPI-MRXNPFEDSA-N 0 0 436.534 -0.012 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CC1 ZINC000670839172 1073007624 /nfs/dbraw/zinc/00/76/24/1073007624.db2.gz DTAHOKSIEAAWRD-UHFFFAOYSA-N 0 0 433.914 -0.266 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CCO1 ZINC000670839222 1071484553 /nfs/dbraw/zinc/48/45/53/1071484553.db2.gz OHCRLQVJRCYYFV-AWEZNQCLSA-N 0 0 446.913 -0.902 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)CCO1 ZINC000670839223 1073007409 /nfs/dbraw/zinc/00/74/09/1073007409.db2.gz OHCRLQVJRCYYFV-CQSZACIVSA-N 0 0 446.913 -0.902 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)NCCOC[C@@H]1CCOC1 ZINC000670839232 1073007641 /nfs/dbraw/zinc/00/76/41/1073007641.db2.gz RNUFBIKTHWXASA-CYBMUJFWSA-N 0 0 433.914 -0.096 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1)C(=O)NCCOC[C@H]1CCOC1 ZINC000670839233 1073007793 /nfs/dbraw/zinc/00/77/93/1073007793.db2.gz RNUFBIKTHWXASA-ZDUSSCGKSA-N 0 0 433.914 -0.096 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@@H]1CN(C)CCO1 ZINC000670839357 1073007361 /nfs/dbraw/zinc/00/73/61/1073007361.db2.gz YAMTXKOMIXOXRN-DOMZBBRYSA-N 0 0 432.930 -0.430 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@H]1CN(C)CCO1 ZINC000670839358 1073007394 /nfs/dbraw/zinc/00/73/94/1073007394.db2.gz YAMTXKOMIXOXRN-IUODEOHRSA-N 0 0 432.930 -0.430 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@H]1CN(C)CCO1 ZINC000670839359 1073007298 /nfs/dbraw/zinc/00/72/98/1073007298.db2.gz YAMTXKOMIXOXRN-SWLSCSKDSA-N 0 0 432.930 -0.430 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1)[C@@H]1CN(C)CCO1 ZINC000670839360 1073007345 /nfs/dbraw/zinc/00/73/45/1073007345.db2.gz YAMTXKOMIXOXRN-WFASDCNBSA-N 0 0 432.930 -0.430 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000670841392 1073008175 /nfs/dbraw/zinc/00/81/75/1073008175.db2.gz LXLHNEIDXHYLDZ-UHFFFAOYSA-N 0 0 428.511 -0.987 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000670843619 1073008130 /nfs/dbraw/zinc/00/81/30/1073008130.db2.gz XYDNYFQECVQWPJ-HOCLYGCPSA-N 0 0 444.941 -0.646 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000670843620 1073008075 /nfs/dbraw/zinc/00/80/75/1073008075.db2.gz XYDNYFQECVQWPJ-ZBFHGGJFSA-N 0 0 444.941 -0.646 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000670843977 1073008640 /nfs/dbraw/zinc/00/86/40/1073008640.db2.gz RRXSWBHPPXMXHC-UHFFFAOYSA-N 0 0 442.538 -0.944 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCc2c[nH]c3cc(F)ccc23)CC1 ZINC000670845434 1073008591 /nfs/dbraw/zinc/00/85/91/1073008591.db2.gz QFYALVCZCKXBMH-UHFFFAOYSA-N 0 0 439.513 -0.341 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCc2c[nH]c3cc(F)ccc23)CC1 ZINC000670845536 1071511581 /nfs/dbraw/zinc/51/15/81/1071511581.db2.gz SZNZCNVTJIYVAG-UHFFFAOYSA-N 0 0 445.495 -0.031 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000670846341 1073008954 /nfs/dbraw/zinc/00/89/54/1073008954.db2.gz KTJFKIVGPSSFQR-UHFFFAOYSA-N 0 0 445.501 -0.451 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000670851299 1073009909 /nfs/dbraw/zinc/00/99/09/1073009909.db2.gz HRTZCTJAFPGVHR-UHFFFAOYSA-N 0 0 426.539 -0.217 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccccc2Br)CC1 ZINC000670851774 1073009993 /nfs/dbraw/zinc/00/99/93/1073009993.db2.gz JJSHZXMDPDSHON-UHFFFAOYSA-N 0 0 447.355 -0.241 20 0 IBADRN CC(C)Oc1ccccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000670853106 1073009898 /nfs/dbraw/zinc/00/98/98/1073009898.db2.gz BHLBXWFYOYXBML-UHFFFAOYSA-N 0 0 426.539 -0.217 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCOc2ccccc2Cl)CC1 ZINC000670853893 1073009926 /nfs/dbraw/zinc/00/99/26/1073009926.db2.gz SEELKZIJVWPJRA-UHFFFAOYSA-N 0 0 432.930 -0.472 20 0 IBADRN C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc(N(C)C)c2)CC1 ZINC000670854634 1073010033 /nfs/dbraw/zinc/01/00/33/1073010033.db2.gz OOMVWIFDJJHIBH-KRWDZBQOSA-N 0 0 431.537 -0.240 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)C(=O)NCc2cccc(N(C)C)c2)CC1 ZINC000670854635 1073009999 /nfs/dbraw/zinc/00/99/99/1073009999.db2.gz OOMVWIFDJJHIBH-QGZVFWFLSA-N 0 0 431.537 -0.240 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC(O)(C(N)=O)CC2)c(OC)c1 ZINC000670855512 1073010181 /nfs/dbraw/zinc/01/01/81/1073010181.db2.gz ABVGZCFTBGZACR-UHFFFAOYSA-N 0 0 435.524 -0.152 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCCNc3nccc(C(F)(F)F)n3)C2=O)cn1 ZINC000670858588 1073010290 /nfs/dbraw/zinc/01/02/90/1073010290.db2.gz IFZKGHZPOZVDOO-LLVKDONJSA-N 0 0 440.386 -0.321 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCCNc3nccc(C(F)(F)F)n3)C2=O)cn1 ZINC000670858589 1073010208 /nfs/dbraw/zinc/01/02/08/1073010208.db2.gz IFZKGHZPOZVDOO-NSHDSACASA-N 0 0 440.386 -0.321 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCn2cnc3ccccc32)CC1 ZINC000670858936 1073010311 /nfs/dbraw/zinc/01/03/11/1073010311.db2.gz FPUKQFOSXYGUNJ-KRWDZBQOSA-N 0 0 444.536 -0.162 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCn2cnc3ccccc32)CC1 ZINC000670858937 1073010422 /nfs/dbraw/zinc/01/04/22/1073010422.db2.gz FPUKQFOSXYGUNJ-QGZVFWFLSA-N 0 0 444.536 -0.162 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCc2ccccc2OC(F)F)CC1 ZINC000670860344 1073010429 /nfs/dbraw/zinc/01/04/29/1073010429.db2.gz RCLGRZLAFRRZDA-UHFFFAOYSA-N 0 0 448.492 -0.360 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1OC ZINC000670860830 1073010765 /nfs/dbraw/zinc/01/07/65/1073010765.db2.gz DXSYEQFLWQIZKK-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN COc1cccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1OC ZINC000670860838 1073010751 /nfs/dbraw/zinc/01/07/51/1073010751.db2.gz IQBZCSGLHGCFGH-UHFFFAOYSA-N 0 0 428.511 -0.987 20 0 IBADRN COc1ccc2[nH]cc(CCNC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2c1 ZINC000670869815 1073012609 /nfs/dbraw/zinc/01/26/09/1073012609.db2.gz NVECVIWYZGCVRM-UHFFFAOYSA-N 0 0 440.464 -0.807 20 0 IBADRN COc1ccc2[nH]cc(CCNC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)c2c1 ZINC000670869859 1073012406 /nfs/dbraw/zinc/01/24/06/1073012406.db2.gz OSBLKZCMBMGHJA-UHFFFAOYSA-N 0 0 441.488 -0.215 20 0 IBADRN CCC(=O)Nc1cccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000670874596 1073012986 /nfs/dbraw/zinc/01/29/86/1073012986.db2.gz UCGBVZQHUAAMLP-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN CC(=O)Nc1cccc([C@@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000670875389 1071613722 /nfs/dbraw/zinc/61/37/22/1071613722.db2.gz ZJRODGVQBRZRAO-CYBMUJFWSA-N 0 0 428.453 -0.820 20 0 IBADRN CC(=O)Nc1cccc([C@H](C)NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000670875390 1071613595 /nfs/dbraw/zinc/61/35/95/1071613595.db2.gz ZJRODGVQBRZRAO-ZDUSSCGKSA-N 0 0 428.453 -0.820 20 0 IBADRN CC(=O)Nc1cccc([C@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000670875828 1071614185 /nfs/dbraw/zinc/61/41/85/1071614185.db2.gz RRTOSXLGJPKLCF-AWEZNQCLSA-N 0 0 439.538 -0.484 20 0 IBADRN CC(=O)Nc1cccc([C@@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000670875829 1073012890 /nfs/dbraw/zinc/01/28/90/1073012890.db2.gz RRTOSXLGJPKLCF-CQSZACIVSA-N 0 0 439.538 -0.484 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)c1 ZINC000670876787 1073013009 /nfs/dbraw/zinc/01/30/09/1073013009.db2.gz LADBSKWOXNDHAA-WMZOPIPTSA-N 0 0 429.477 -0.144 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C(=O)N2CCN(Cc3ncc[nH]3)CC2)CC1 ZINC000670877421 1073013120 /nfs/dbraw/zinc/01/31/20/1073013120.db2.gz TXJRPJNDMLUOGI-UHFFFAOYSA-N 0 0 426.543 -0.626 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC000670884592 1073014821 /nfs/dbraw/zinc/01/48/21/1073014821.db2.gz KBKZWNCBRYBEDC-UHFFFAOYSA-N 0 0 448.520 -0.229 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)cc1OC ZINC000670888189 1073015141 /nfs/dbraw/zinc/01/51/41/1073015141.db2.gz CRHLJEILXBPLEP-NRFANRHFSA-N 0 0 435.477 -0.156 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)cc1OC ZINC000670888190 1073015123 /nfs/dbraw/zinc/01/51/23/1073015123.db2.gz CRHLJEILXBPLEP-OAQYLSRUSA-N 0 0 435.477 -0.156 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N2CCC(CO)(OC)CC2)cc1OC ZINC000670888268 1073015136 /nfs/dbraw/zinc/01/51/36/1073015136.db2.gz NOXIEUHCFFJAFU-UHFFFAOYSA-N 0 0 437.493 -0.174 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cc1OC ZINC000670888378 1073015079 /nfs/dbraw/zinc/01/50/79/1073015079.db2.gz FTJDJCZZIAGQLV-KBXCAEBGSA-N 0 0 436.509 -0.338 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1OC ZINC000670888379 1073015211 /nfs/dbraw/zinc/01/52/11/1073015211.db2.gz FTJDJCZZIAGQLV-KDOFPFPSSA-N 0 0 436.509 -0.338 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cc1OC ZINC000670888380 1073015245 /nfs/dbraw/zinc/01/52/45/1073015245.db2.gz FTJDJCZZIAGQLV-KSSFIOAISA-N 0 0 436.509 -0.338 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1OC ZINC000670888381 1073015059 /nfs/dbraw/zinc/01/50/59/1073015059.db2.gz FTJDJCZZIAGQLV-RDTXWAMCSA-N 0 0 436.509 -0.338 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCCOC[C@@H]3CCOC3)cc2)CC1 ZINC000670888956 1073015165 /nfs/dbraw/zinc/01/51/65/1073015165.db2.gz NZJSXJGZDKXRSQ-GOSISDBHSA-N 0 0 432.521 -0.140 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)NCCOC[C@H]3CCOC3)cc2)CC1 ZINC000670888957 1073015306 /nfs/dbraw/zinc/01/53/06/1073015306.db2.gz NZJSXJGZDKXRSQ-SFHVURJKSA-N 0 0 432.521 -0.140 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)cc2)CC1 ZINC000670888958 1073015838 /nfs/dbraw/zinc/01/58/38/1073015838.db2.gz OJZZJGSBJKHMPN-UHFFFAOYSA-N 0 0 427.505 -0.476 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000670889032 1073015270 /nfs/dbraw/zinc/01/52/70/1073015270.db2.gz DHPIIFVHWGGWGD-UHFFFAOYSA-N 0 0 432.521 -0.310 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@@H]1CN(C)CCO1 ZINC000670889262 1071643183 /nfs/dbraw/zinc/64/31/83/1071643183.db2.gz XUYZTHZKTSAKSD-APWZRJJASA-N 0 0 431.537 -0.474 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@@H]1CN(C)CCO1 ZINC000670889263 1073015027 /nfs/dbraw/zinc/01/50/27/1073015027.db2.gz XUYZTHZKTSAKSD-LPHOPBHVSA-N 0 0 431.537 -0.474 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@H]1CN(C)CCO1 ZINC000670889264 1073015655 /nfs/dbraw/zinc/01/56/55/1073015655.db2.gz XUYZTHZKTSAKSD-QFBILLFUSA-N 0 0 431.537 -0.474 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1)[C@H]1CN(C)CCO1 ZINC000670889265 1073015735 /nfs/dbraw/zinc/01/57/35/1073015735.db2.gz XUYZTHZKTSAKSD-VQIMIIECSA-N 0 0 431.537 -0.474 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000670889352 1073015615 /nfs/dbraw/zinc/01/56/15/1073015615.db2.gz CXVPQNVSJLYLIQ-INIZCTEOSA-N 0 0 445.520 -0.946 20 0 IBADRN CNC(=O)c1ccc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)cc1 ZINC000670889353 1073015605 /nfs/dbraw/zinc/01/56/05/1073015605.db2.gz CXVPQNVSJLYLIQ-MRXNPFEDSA-N 0 0 445.520 -0.946 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCO[C@H]([C@H]2CCCO2)C1 ZINC000670889965 1073016286 /nfs/dbraw/zinc/01/62/86/1073016286.db2.gz VWIDOUVQHDONLB-MSOLQXFVSA-N 0 0 444.488 -0.719 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000670889966 1073016059 /nfs/dbraw/zinc/01/60/59/1073016059.db2.gz VWIDOUVQHDONLB-QZTJIDSGSA-N 0 0 444.488 -0.719 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000670889967 1073016147 /nfs/dbraw/zinc/01/61/47/1073016147.db2.gz VWIDOUVQHDONLB-ROUUACIJSA-N 0 0 444.488 -0.719 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000670889968 1073016205 /nfs/dbraw/zinc/01/62/05/1073016205.db2.gz VWIDOUVQHDONLB-ZWKOTPCHSA-N 0 0 444.488 -0.719 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000670889970 1073016166 /nfs/dbraw/zinc/01/61/66/1073016166.db2.gz XFIQTGQTYMNYBI-KRWDZBQOSA-N 0 0 432.477 -0.813 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000670889971 1073016084 /nfs/dbraw/zinc/01/60/84/1073016084.db2.gz XFIQTGQTYMNYBI-QGZVFWFLSA-N 0 0 432.477 -0.813 20 0 IBADRN CN(C)c1nc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cs1 ZINC000670890013 1073015761 /nfs/dbraw/zinc/01/57/61/1073015761.db2.gz FPWNBGHUACSJJA-UHFFFAOYSA-N 0 0 444.517 -0.286 20 0 IBADRN CN(C)c1ccc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)cn1 ZINC000670890055 1071643222 /nfs/dbraw/zinc/64/32/22/1071643222.db2.gz PYRACQVPVMBTAW-UHFFFAOYSA-N 0 0 438.488 -0.348 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(F)(F)F ZINC000670890066 1073016130 /nfs/dbraw/zinc/01/61/30/1073016130.db2.gz TUBKMAVSDLNNSG-AWEZNQCLSA-N 0 0 444.410 -0.042 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(F)(F)F ZINC000670890067 1073016155 /nfs/dbraw/zinc/01/61/55/1073016155.db2.gz TUBKMAVSDLNNSG-CQSZACIVSA-N 0 0 444.410 -0.042 20 0 IBADRN COc1cccc(CNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000670890087 1073016103 /nfs/dbraw/zinc/01/61/03/1073016103.db2.gz ZFHOEDCBDWVMIJ-UHFFFAOYSA-N 0 0 425.445 -0.405 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@@H](n2ccnc2)C1 ZINC000670890157 1073015790 /nfs/dbraw/zinc/01/57/90/1073015790.db2.gz OOGUUAHMPGEUHA-GOSISDBHSA-N 0 0 438.488 -0.065 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@H](n2ccnc2)C1 ZINC000670890158 1073015766 /nfs/dbraw/zinc/01/57/66/1073015766.db2.gz OOGUUAHMPGEUHA-SFHVURJKSA-N 0 0 438.488 -0.065 20 0 IBADRN Cc1csc(CCNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000670890176 1073015596 /nfs/dbraw/zinc/01/55/96/1073015596.db2.gz RSOXMONBBUYGCB-UHFFFAOYSA-N 0 0 429.502 -0.001 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000670890194 1073015666 /nfs/dbraw/zinc/01/56/66/1073015666.db2.gz YMRPLTARXNFMDO-INIZCTEOSA-N 0 0 445.520 -0.946 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000670890195 1073015746 /nfs/dbraw/zinc/01/57/46/1073015746.db2.gz YMRPLTARXNFMDO-MRXNPFEDSA-N 0 0 445.520 -0.946 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)CC1 ZINC000670891336 1073016495 /nfs/dbraw/zinc/01/64/95/1073016495.db2.gz IPJFNBIOVIUOMN-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)CC2)n1 ZINC000670891505 1073016093 /nfs/dbraw/zinc/01/60/93/1073016093.db2.gz JHRPIKWTRLUITA-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN CCN(CC)c1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000670892034 1073016770 /nfs/dbraw/zinc/01/67/70/1073016770.db2.gz RLUOKLDFKRJMCB-UHFFFAOYSA-N 0 0 440.570 -0.763 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@@H](C)C3)nc2)CC1 ZINC000670893832 1073017435 /nfs/dbraw/zinc/01/74/35/1073017435.db2.gz QBPYUAPEQXJASE-INIZCTEOSA-N 0 0 447.536 -0.076 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCc2ccc(N3CCO[C@H](C)C3)nc2)CC1 ZINC000670893833 1073017260 /nfs/dbraw/zinc/01/72/60/1073017260.db2.gz QBPYUAPEQXJASE-MRXNPFEDSA-N 0 0 447.536 -0.076 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000670896317 1073017442 /nfs/dbraw/zinc/01/74/42/1073017442.db2.gz KCJVGNFAFIPXCA-UHFFFAOYSA-N 0 0 426.539 -0.215 20 0 IBADRN CCCCOc1ccc(CCNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000670898586 1073017424 /nfs/dbraw/zinc/01/74/24/1073017424.db2.gz BDTAYMYOTYSBJG-UHFFFAOYSA-N 0 0 443.508 -0.118 20 0 IBADRN CC(C)Oc1ccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000670899184 1073017450 /nfs/dbraw/zinc/01/74/50/1073017450.db2.gz QVHYVMHNFKJJCL-UHFFFAOYSA-N 0 0 440.566 -0.174 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCc2ccnc(-n3cccn3)c2)CC1 ZINC000670899557 1073017356 /nfs/dbraw/zinc/01/73/56/1073017356.db2.gz MHKSCYRIQKGFOX-UHFFFAOYSA-N 0 0 446.475 -0.091 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NCc2cccnc2N2CCOCC2)CC1 ZINC000670904059 1073017700 /nfs/dbraw/zinc/01/77/00/1073017700.db2.gz UBBQYJVIWDPGKT-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)NCc3cccnc3N3CCOCC3)CC2)n1 ZINC000670904283 1073017798 /nfs/dbraw/zinc/01/77/98/1073017798.db2.gz KPQBKRQLFPYZJM-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCc2cccc(CN3CCOCC3)c2)CC1 ZINC000670904769 1073017158 /nfs/dbraw/zinc/01/71/58/1073017158.db2.gz IRWMSGVAHBPION-UHFFFAOYSA-N 0 0 445.564 -0.196 20 0 IBADRN O=C(NCc1cccc(CN2CCOCC2)c1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000670904945 1073017812 /nfs/dbraw/zinc/01/78/12/1073017812.db2.gz GMJCQYRTDFFWNH-FQEVSTJZSA-N 0 0 444.532 -0.015 20 0 IBADRN O=C(NCc1cccc(CN2CCOCC2)c1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000670904946 1073017729 /nfs/dbraw/zinc/01/77/29/1073017729.db2.gz GMJCQYRTDFFWNH-HXUWFJFHSA-N 0 0 444.532 -0.015 20 0 IBADRN CCOCc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000670964819 1073020285 /nfs/dbraw/zinc/02/02/85/1073020285.db2.gz AGCXZXZROHJWKK-UHFFFAOYSA-N 0 0 426.539 -0.467 20 0 IBADRN CCOCc1ccccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000670965421 1073020578 /nfs/dbraw/zinc/02/05/78/1073020578.db2.gz SNHRMUDAABXIGJ-UHFFFAOYSA-N 0 0 426.539 -0.467 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000670965570 1073020510 /nfs/dbraw/zinc/02/05/10/1073020510.db2.gz BZZUXVJXMLRZRB-INIZCTEOSA-N 0 0 445.520 -0.299 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000670965571 1073020741 /nfs/dbraw/zinc/02/07/41/1073020741.db2.gz BZZUXVJXMLRZRB-MRXNPFEDSA-N 0 0 445.520 -0.299 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(C(=O)c3cccc(Cl)c3)CC2)CC1 ZINC000670967222 1073020484 /nfs/dbraw/zinc/02/04/84/1073020484.db2.gz CAVRDLFGOZJDHE-UHFFFAOYSA-N 0 0 449.939 -0.095 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)c1ccccc1OCC(=O)NC ZINC000670967354 1073020591 /nfs/dbraw/zinc/02/05/91/1073020591.db2.gz BOCHNQHLYIBJEI-KRWDZBQOSA-N 0 0 447.536 -0.341 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)c1ccccc1OCC(=O)NC ZINC000670967355 1073020696 /nfs/dbraw/zinc/02/06/96/1073020696.db2.gz BOCHNQHLYIBJEI-QGZVFWFLSA-N 0 0 447.536 -0.341 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000670967505 1073020715 /nfs/dbraw/zinc/02/07/15/1073020715.db2.gz MIEXHEXWLKUNRP-AWEZNQCLSA-N 0 0 439.538 -0.948 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000670967506 1073020763 /nfs/dbraw/zinc/02/07/63/1073020763.db2.gz MIEXHEXWLKUNRP-CQSZACIVSA-N 0 0 439.538 -0.948 20 0 IBADRN COc1ccc(C)cc1CN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000670967649 1073021077 /nfs/dbraw/zinc/02/10/77/1073021077.db2.gz WDHLPCOJOKHVPF-UHFFFAOYSA-N 0 0 445.564 -0.072 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000670967668 1073021086 /nfs/dbraw/zinc/02/10/86/1073021086.db2.gz ZXHLOFIOAUCDKZ-INIZCTEOSA-N 0 0 435.912 -0.158 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)CC1 ZINC000670967669 1073021124 /nfs/dbraw/zinc/02/11/24/1073021124.db2.gz ZXHLOFIOAUCDKZ-MRXNPFEDSA-N 0 0 435.912 -0.158 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)n1 ZINC000670967728 1073021147 /nfs/dbraw/zinc/02/11/47/1073021147.db2.gz OBCPWJFVNUUPIC-UHFFFAOYSA-N 0 0 431.541 -0.471 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC(CC(=O)NC3CCCC3)CC2)CC1 ZINC000670967750 1073021217 /nfs/dbraw/zinc/02/12/17/1073021217.db2.gz VDFQXVRVKKOJOO-UHFFFAOYSA-N 0 0 435.569 -0.046 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)N2CCOCC2)c1 ZINC000670968658 1073020612 /nfs/dbraw/zinc/02/06/12/1073020612.db2.gz BMOXOOMJLGOBRM-GOSISDBHSA-N 0 0 438.550 -0.508 20 0 IBADRN Cc1cccc([C@H](CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)N2CCOCC2)c1 ZINC000670968659 1073020784 /nfs/dbraw/zinc/02/07/84/1073020784.db2.gz BMOXOOMJLGOBRM-SFHVURJKSA-N 0 0 438.550 -0.508 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000670977791 1073021114 /nfs/dbraw/zinc/02/11/14/1073021114.db2.gz HLLBDKSDPZWYKX-UHFFFAOYSA-N 0 0 430.440 -0.385 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1)S(C)(=O)=O ZINC000670977945 1073021558 /nfs/dbraw/zinc/02/15/58/1073021558.db2.gz GKKYVOUDLQZZPH-UHFFFAOYSA-N 0 0 433.490 -0.360 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000670977962 1073021535 /nfs/dbraw/zinc/02/15/35/1073021535.db2.gz KCUAJCLSDFMBEN-UHFFFAOYSA-N 0 0 445.501 -0.216 20 0 IBADRN CN(C)c1ccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000670978259 1072561875 /nfs/dbraw/zinc/56/18/75/1072561875.db2.gz KEYJQDQWNCOYRO-UHFFFAOYSA-N 0 0 432.506 -0.308 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)N(C)c1ccccc1 ZINC000670981345 1073021739 /nfs/dbraw/zinc/02/17/39/1073021739.db2.gz DJVZNPQQGJKPBK-INIZCTEOSA-N 0 0 425.555 -0.679 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)N(C)c1ccccc1 ZINC000670981346 1073021696 /nfs/dbraw/zinc/02/16/96/1073021696.db2.gz DJVZNPQQGJKPBK-MRXNPFEDSA-N 0 0 425.555 -0.679 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccsc1 ZINC000670982157 1073021729 /nfs/dbraw/zinc/02/17/29/1073021729.db2.gz YUPUGIZKGSOXKL-KRWDZBQOSA-N 0 0 437.566 -0.530 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccsc1 ZINC000670982158 1073021585 /nfs/dbraw/zinc/02/15/85/1073021585.db2.gz YUPUGIZKGSOXKL-QGZVFWFLSA-N 0 0 437.566 -0.530 20 0 IBADRN CN(C)[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccsc1 ZINC000670982179 1073021671 /nfs/dbraw/zinc/02/16/71/1073021671.db2.gz DPGFPFZADDXKEM-HNNXBMFYSA-N 0 0 431.584 -0.840 20 0 IBADRN CN(C)[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccsc1 ZINC000670982180 1073021712 /nfs/dbraw/zinc/02/17/12/1073021712.db2.gz DPGFPFZADDXKEM-OAHLLOKOSA-N 0 0 431.584 -0.840 20 0 IBADRN Cc1ccc(NC(=O)CN2CCC(O)(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000670988409 1073021918 /nfs/dbraw/zinc/02/19/18/1073021918.db2.gz ZRVOKCNZCUDJKZ-UHFFFAOYSA-N 0 0 440.522 -0.733 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCCN2CCc3ccccc32)CC1 ZINC000670989284 1073022073 /nfs/dbraw/zinc/02/20/73/1073022073.db2.gz GYGISCXUTLGTCO-UHFFFAOYSA-N 0 0 437.566 -0.751 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000670999144 1073022654 /nfs/dbraw/zinc/02/26/54/1073022654.db2.gz NUWFHNNWMBDGKR-DZGCQCFKSA-N 0 0 433.469 -0.793 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000670999145 1073022562 /nfs/dbraw/zinc/02/25/62/1073022562.db2.gz NUWFHNNWMBDGKR-HIFRSBDPSA-N 0 0 433.469 -0.793 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1 ZINC000670999146 1073022502 /nfs/dbraw/zinc/02/25/02/1073022502.db2.gz NUWFHNNWMBDGKR-UKRRQHHQSA-N 0 0 433.469 -0.793 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1 ZINC000670999147 1073022420 /nfs/dbraw/zinc/02/24/20/1073022420.db2.gz NUWFHNNWMBDGKR-ZFWWWQNUSA-N 0 0 433.469 -0.793 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000670999748 1073022534 /nfs/dbraw/zinc/02/25/34/1073022534.db2.gz ZJWDYOQVGREMDF-GOSISDBHSA-N 0 0 437.585 -0.336 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000670999749 1073022408 /nfs/dbraw/zinc/02/24/08/1073022408.db2.gz ZJWDYOQVGREMDF-SFHVURJKSA-N 0 0 437.585 -0.336 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000671000013 1073022986 /nfs/dbraw/zinc/02/29/86/1073022986.db2.gz QITQELRQIOBKFF-UHFFFAOYSA-N 0 0 442.563 -0.744 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000671000402 1073022910 /nfs/dbraw/zinc/02/29/10/1073022910.db2.gz ZCSZMDJIVRLXCI-UHFFFAOYSA-N 0 0 438.488 -0.396 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000671000572 1073022877 /nfs/dbraw/zinc/02/28/77/1073022877.db2.gz WCTNPPOCDZCGIJ-UHFFFAOYSA-N 0 0 439.520 -0.510 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(c3nccnc3C)CC2)c1 ZINC000671019832 1073025375 /nfs/dbraw/zinc/02/53/75/1073025375.db2.gz IOOAHEBUXIMVMD-UHFFFAOYSA-N 0 0 432.506 -0.342 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)c1 ZINC000671020002 1073026140 /nfs/dbraw/zinc/02/61/40/1073026140.db2.gz HAOLMPHBDUXPHH-UHFFFAOYSA-N 0 0 439.538 -0.137 20 0 IBADRN COc1ccc(CC[C@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671023838 1073026464 /nfs/dbraw/zinc/02/64/64/1073026464.db2.gz XJJXTGWUMULLPF-INIZCTEOSA-N 0 0 440.566 -0.174 20 0 IBADRN COc1ccc(CC[C@@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671023839 1073026861 /nfs/dbraw/zinc/02/68/61/1073026861.db2.gz XJJXTGWUMULLPF-MRXNPFEDSA-N 0 0 440.566 -0.174 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1OC ZINC000671037541 1073031531 /nfs/dbraw/zinc/03/15/31/1073031531.db2.gz XCXHXXQJRYNQIW-UHFFFAOYSA-N 0 0 442.538 -0.944 20 0 IBADRN COc1cccc(CCNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1OC ZINC000671037545 1073031563 /nfs/dbraw/zinc/03/15/63/1073031563.db2.gz CLVKPNXFIJEWCN-UHFFFAOYSA-N 0 0 448.520 -0.635 20 0 IBADRN Cc1ccc(OCCCCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671037825 1073031779 /nfs/dbraw/zinc/03/17/79/1073031779.db2.gz LBZMTDAGGVMCHT-UHFFFAOYSA-N 0 0 440.566 -0.036 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000671040807 1073032168 /nfs/dbraw/zinc/03/21/68/1073032168.db2.gz WAEAVPBZJFOISN-UHFFFAOYSA-N 0 0 442.476 -0.434 20 0 IBADRN CC(C)Oc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000671041361 1073032177 /nfs/dbraw/zinc/03/21/77/1073032177.db2.gz QSILSCKZSPELEF-UHFFFAOYSA-N 0 0 427.527 -0.822 20 0 IBADRN CC(C)Oc1ncccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000671041853 1073032072 /nfs/dbraw/zinc/03/20/72/1073032072.db2.gz HDWOTWXFEOKBBH-UHFFFAOYSA-N 0 0 447.492 -0.985 20 0 IBADRN CC(C)Oc1ncccc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000671041973 1073032059 /nfs/dbraw/zinc/03/20/59/1073032059.db2.gz IWAUBXJIJYBPEK-UHFFFAOYSA-N 0 0 430.552 -0.020 20 0 IBADRN CC(C)Oc1ncccc1CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000671042978 1073032113 /nfs/dbraw/zinc/03/21/13/1073032113.db2.gz CVRUWDXYHBRPNN-UHFFFAOYSA-N 0 0 425.467 -0.291 20 0 IBADRN CC(C)Oc1ncccc1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000671044132 1073032028 /nfs/dbraw/zinc/03/20/28/1073032028.db2.gz MYSWRPQJZKGHDL-UHFFFAOYSA-N 0 0 433.509 -0.512 20 0 IBADRN CC(C)Oc1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000671046272 1073032148 /nfs/dbraw/zinc/03/21/48/1073032148.db2.gz RZFOTQSZBMUTME-UHFFFAOYSA-N 0 0 433.509 -0.512 20 0 IBADRN COCCOc1ccc([C@H](C)NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1OC ZINC000671047496 1073032621 /nfs/dbraw/zinc/03/26/21/1073032621.db2.gz VTVZFQHQYCGIDR-GXTWGEPZSA-N 0 0 429.495 -0.213 20 0 IBADRN COCCOc1ccc([C@H](C)NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1OC ZINC000671047499 1073032578 /nfs/dbraw/zinc/03/25/78/1073032578.db2.gz VTVZFQHQYCGIDR-JSGCOSHPSA-N 0 0 429.495 -0.213 20 0 IBADRN COCCOc1ccc([C@@H](C)NC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1OC ZINC000671047500 1073032499 /nfs/dbraw/zinc/03/24/99/1073032499.db2.gz VTVZFQHQYCGIDR-OCCSQVGLSA-N 0 0 429.495 -0.213 20 0 IBADRN COCCOc1ccc([C@@H](C)NC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1OC ZINC000671047502 1073032402 /nfs/dbraw/zinc/03/24/02/1073032402.db2.gz VTVZFQHQYCGIDR-TZMCWYRMSA-N 0 0 429.495 -0.213 20 0 IBADRN CC(C)Oc1ncccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671048076 1073032635 /nfs/dbraw/zinc/03/26/35/1073032635.db2.gz XWXHGRFMJWTRMT-UHFFFAOYSA-N 0 0 427.527 -0.822 20 0 IBADRN CC(C)OCc1ccccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671050070 1073032862 /nfs/dbraw/zinc/03/28/62/1073032862.db2.gz OAIIIAJHZAKAOI-UHFFFAOYSA-N 0 0 440.566 -0.079 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671050620 1073032889 /nfs/dbraw/zinc/03/28/89/1073032889.db2.gz NVKBQYGZQGGSFG-UHFFFAOYSA-N 0 0 442.538 -0.979 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000671050633 1073032945 /nfs/dbraw/zinc/03/29/45/1073032945.db2.gz SCYIZVGODVZCMA-UHFFFAOYSA-N 0 0 429.477 -0.230 20 0 IBADRN COCCOc1cccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671051123 1073033026 /nfs/dbraw/zinc/03/30/26/1073033026.db2.gz SBQTXQPJQTXAJF-UHFFFAOYSA-N 0 0 442.538 -0.979 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)N1CCN(c2ccccc2)CC1 ZINC000671058348 1073034012 /nfs/dbraw/zinc/03/40/12/1073034012.db2.gz NCBYGSJDMXTJMY-INIZCTEOSA-N 0 0 437.566 -0.679 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)N1CCN(c2ccccc2)CC1 ZINC000671058354 1073033911 /nfs/dbraw/zinc/03/39/11/1073033911.db2.gz NCBYGSJDMXTJMY-MRXNPFEDSA-N 0 0 437.566 -0.679 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000671058435 1073033942 /nfs/dbraw/zinc/03/39/42/1073033942.db2.gz SARKKHGFBUJSKG-GOSISDBHSA-N 0 0 437.566 -0.535 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)C1 ZINC000671058436 1072794216 /nfs/dbraw/zinc/79/42/16/1072794216.db2.gz SARKKHGFBUJSKG-SFHVURJKSA-N 0 0 437.566 -0.535 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)CC1 ZINC000671058624 1073033398 /nfs/dbraw/zinc/03/33/98/1073033398.db2.gz JSKKHPYFCVILLL-UHFFFAOYSA-N 0 0 445.564 -0.074 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCN1CCN(c3ccccc3)CC1)CC2 ZINC000671058746 1073033378 /nfs/dbraw/zinc/03/33/78/1073033378.db2.gz KNNIGKTUOBIAQV-KRWDZBQOSA-N 0 0 441.536 -0.206 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCN1CCN(c3ccccc3)CC1)CC2 ZINC000671058747 1073033997 /nfs/dbraw/zinc/03/39/97/1073033997.db2.gz KNNIGKTUOBIAQV-QGZVFWFLSA-N 0 0 441.536 -0.206 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000671059081 1073033862 /nfs/dbraw/zinc/03/38/62/1073033862.db2.gz HEJFOHMFIXYXPE-UHFFFAOYSA-N 0 0 435.544 -0.021 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)C1 ZINC000671059162 1073034264 /nfs/dbraw/zinc/03/42/64/1073034264.db2.gz VTDCDAGKHGKQGT-UHFFFAOYSA-N 0 0 441.529 -0.929 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCN(Cc2ccncc2)CC1 ZINC000671059254 1073033958 /nfs/dbraw/zinc/03/39/58/1073033958.db2.gz KJGRKKQZBYUDKH-UHFFFAOYSA-N 0 0 438.536 -0.546 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(Cn2cccn2)CC1 ZINC000671059262 1073033849 /nfs/dbraw/zinc/03/38/49/1073033849.db2.gz UZTNTYYOYFWPOI-UHFFFAOYSA-N 0 0 426.525 -0.150 20 0 IBADRN CC(=O)N(C[C@@H]1CCCN1C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)C1CC1 ZINC000671059265 1073033804 /nfs/dbraw/zinc/03/38/04/1073033804.db2.gz YJMFUQWBUFUDIY-IBGZPJMESA-N 0 0 443.552 -0.283 20 0 IBADRN CC(=O)N(C[C@H]1CCCN1C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1)C1CC1 ZINC000671059266 1073033972 /nfs/dbraw/zinc/03/39/72/1073033972.db2.gz YJMFUQWBUFUDIY-LJQANCHMSA-N 0 0 443.552 -0.283 20 0 IBADRN CC(=O)N[C@@H](C)C1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000671059286 1073033839 /nfs/dbraw/zinc/03/38/39/1073033839.db2.gz SSBSXZOIVPQUET-INIZCTEOSA-N 0 0 431.541 -0.522 20 0 IBADRN CC(=O)N[C@H](C)C1CCN(C(=O)C(=O)NCCN2CCN(c3ncccn3)CC2)CC1 ZINC000671059287 1073033987 /nfs/dbraw/zinc/03/39/87/1073033987.db2.gz SSBSXZOIVPQUET-MRXNPFEDSA-N 0 0 431.541 -0.522 20 0 IBADRN CCN(CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1C ZINC000671059432 1073033990 /nfs/dbraw/zinc/03/39/90/1073033990.db2.gz RDJSFBHLKKENMH-UHFFFAOYSA-N 0 0 439.582 -0.369 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CCCN1C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000671059820 1073034005 /nfs/dbraw/zinc/03/40/05/1073034005.db2.gz LXJGQNUPGJWOCN-KRWDZBQOSA-N 0 0 431.541 -0.426 20 0 IBADRN CCN(CC)C(=O)[C@H]1CCCN1C(=O)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC000671059822 1073033928 /nfs/dbraw/zinc/03/39/28/1073033928.db2.gz LXJGQNUPGJWOCN-QGZVFWFLSA-N 0 0 431.541 -0.426 20 0 IBADRN CN(Cc1ccoc1)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671059994 1073034201 /nfs/dbraw/zinc/03/42/01/1073034201.db2.gz AZHGJMIJDGWNNE-UHFFFAOYSA-N 0 0 435.506 -0.099 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)c1 ZINC000671060002 1073034358 /nfs/dbraw/zinc/03/43/58/1073034358.db2.gz BWNMXUUGXMUTAM-UHFFFAOYSA-N 0 0 434.522 -0.696 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@@H]1CCCOC1 ZINC000671060005 1073034449 /nfs/dbraw/zinc/03/44/49/1073034449.db2.gz CIFOQPUNXIPCHL-INIZCTEOSA-N 0 0 439.538 -0.808 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@H]1CCCOC1 ZINC000671060006 1073034403 /nfs/dbraw/zinc/03/44/03/1073034403.db2.gz CIFOQPUNXIPCHL-MRXNPFEDSA-N 0 0 439.538 -0.808 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@@H]1CCSC1 ZINC000671060013 1073034241 /nfs/dbraw/zinc/03/42/41/1073034241.db2.gz DKBSDVXTLSOHLI-HNNXBMFYSA-N 0 0 441.579 -0.481 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@H]1CCSC1 ZINC000671060014 1073034287 /nfs/dbraw/zinc/03/42/87/1073034287.db2.gz DKBSDVXTLSOHLI-OAHLLOKOSA-N 0 0 441.579 -0.481 20 0 IBADRN CC(C)OCCNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671060034 1073034371 /nfs/dbraw/zinc/03/43/71/1073034371.db2.gz IFVBWLRCGXKSAV-UHFFFAOYSA-N 0 0 427.527 -0.809 20 0 IBADRN Cc1occc1CNC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671060047 1073034432 /nfs/dbraw/zinc/03/44/32/1073034432.db2.gz KEJMWHCOJWOLME-UHFFFAOYSA-N 0 0 435.506 -0.133 20 0 IBADRN COC[C@@H]1CCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671060063 1073034467 /nfs/dbraw/zinc/03/44/67/1073034467.db2.gz MQNFHVKIIKSVMF-INIZCTEOSA-N 0 0 439.538 -0.713 20 0 IBADRN COC[C@H]1CCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671060064 1073034224 /nfs/dbraw/zinc/03/42/24/1073034224.db2.gz MQNFHVKIIKSVMF-MRXNPFEDSA-N 0 0 439.538 -0.713 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)N1CCC(F)(F)CC1 ZINC000671060068 1073034176 /nfs/dbraw/zinc/03/41/76/1073034176.db2.gz MSUMCBBNRRDVPW-UHFFFAOYSA-N 0 0 445.492 -0.093 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)ncn1 ZINC000671060078 1073034326 /nfs/dbraw/zinc/03/43/26/1073034326.db2.gz OMJZDHULAIKEDB-UHFFFAOYSA-N 0 0 447.521 -0.936 20 0 IBADRN CC(C)N(C[C@H](C)O)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671060082 1073034387 /nfs/dbraw/zinc/03/43/87/1073034387.db2.gz PBHKLXSFKCBQPN-INIZCTEOSA-N 0 0 441.554 -0.733 20 0 IBADRN CC(C)N(C[C@@H](C)O)C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671060083 1073034416 /nfs/dbraw/zinc/03/44/16/1073034416.db2.gz PBHKLXSFKCBQPN-MRXNPFEDSA-N 0 0 441.554 -0.733 20 0 IBADRN C[C@H]1COCCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671060108 1073034727 /nfs/dbraw/zinc/03/47/27/1073034727.db2.gz UZSQRDWHJQMHPM-INIZCTEOSA-N 0 0 439.538 -0.713 20 0 IBADRN C[C@@H]1COCCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000671060109 1073034815 /nfs/dbraw/zinc/03/48/15/1073034815.db2.gz UZSQRDWHJQMHPM-MRXNPFEDSA-N 0 0 439.538 -0.713 20 0 IBADRN Cc1cccc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000671060111 1073034741 /nfs/dbraw/zinc/03/47/41/1073034741.db2.gz WDSCVSWRNQXRSO-UHFFFAOYSA-N 0 0 446.533 -0.331 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NCc1cscn1 ZINC000671060115 1073034885 /nfs/dbraw/zinc/03/48/85/1073034885.db2.gz YRWQYYXVGNWKRF-UHFFFAOYSA-N 0 0 438.535 -0.578 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCn3c(nnc3-c3ccccc3)C2)CC1 ZINC000671060281 1073034834 /nfs/dbraw/zinc/03/48/34/1073034834.db2.gz MTOHZEVUDVIGNU-UHFFFAOYSA-N 0 0 425.493 -0.386 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3nccc(C(C)C)n3)CC2)CC1 ZINC000671060448 1073034305 /nfs/dbraw/zinc/03/43/05/1073034305.db2.gz QKTBGRJQNJFYMW-UHFFFAOYSA-N 0 0 431.541 -0.425 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3cc(C)ccc3OC)CC2)CC1 ZINC000671060456 1073034346 /nfs/dbraw/zinc/03/43/46/1073034346.db2.gz QTNHYRLWRXUBEE-UHFFFAOYSA-N 0 0 445.564 -0.026 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](CC)c2ccccc2OCC(=O)NC)CC1 ZINC000671060784 1073034782 /nfs/dbraw/zinc/03/47/82/1073034782.db2.gz AEKPNSCZHGIRRL-KRWDZBQOSA-N 0 0 447.536 -0.341 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](CC)c2ccccc2OCC(=O)NC)CC1 ZINC000671060787 1073034881 /nfs/dbraw/zinc/03/48/81/1073034881.db2.gz AEKPNSCZHGIRRL-QGZVFWFLSA-N 0 0 447.536 -0.341 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1ccccc1OCC(=O)NC ZINC000671060795 1073035208 /nfs/dbraw/zinc/03/52/08/1073035208.db2.gz HGHNKYURPLCTTQ-GXTWGEPZSA-N 0 0 426.495 -0.732 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1ccccc1OCC(=O)NC ZINC000671060800 1073035102 /nfs/dbraw/zinc/03/51/02/1073035102.db2.gz HGHNKYURPLCTTQ-JSGCOSHPSA-N 0 0 426.495 -0.732 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1ccccc1OCC(=O)NC ZINC000671060802 1073035093 /nfs/dbraw/zinc/03/50/93/1073035093.db2.gz HGHNKYURPLCTTQ-OCCSQVGLSA-N 0 0 426.495 -0.732 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1ccccc1OCC(=O)NC ZINC000671060803 1073035262 /nfs/dbraw/zinc/03/52/62/1073035262.db2.gz HGHNKYURPLCTTQ-TZMCWYRMSA-N 0 0 426.495 -0.732 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1ccccc1OCC(=O)NC ZINC000671061339 1073034869 /nfs/dbraw/zinc/03/48/69/1073034869.db2.gz RDKABDNUCAYTFR-HNNXBMFYSA-N 0 0 440.522 -0.518 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1ccccc1OCC(=O)NC ZINC000671061340 1073034718 /nfs/dbraw/zinc/03/47/18/1073034718.db2.gz RDKABDNUCAYTFR-OAHLLOKOSA-N 0 0 440.522 -0.518 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)CC1 ZINC000671061350 1073034825 /nfs/dbraw/zinc/03/48/25/1073034825.db2.gz VHVTVSVAKLDQIS-IBGZPJMESA-N 0 0 437.585 -0.194 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)CC1 ZINC000671061351 1073034912 /nfs/dbraw/zinc/03/49/12/1073034912.db2.gz VHVTVSVAKLDQIS-LJQANCHMSA-N 0 0 437.585 -0.194 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3nccnc3C)CC2)CC1 ZINC000671061394 1073034758 /nfs/dbraw/zinc/03/47/58/1073034758.db2.gz AGDIRMGBFGKKRH-UHFFFAOYSA-N 0 0 431.541 -0.460 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3ccccc3)C(=O)C2)CC1 ZINC000671061492 1073034770 /nfs/dbraw/zinc/03/47/70/1073034770.db2.gz FUHUBWPGBIZBRR-UHFFFAOYSA-N 0 0 429.521 -0.031 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)CC1 ZINC000671061553 1073034696 /nfs/dbraw/zinc/03/46/96/1073034696.db2.gz KLOCXIAXQQWTOO-UHFFFAOYSA-N 0 0 438.573 -0.255 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1)c1ccccc1OCC(=O)NC ZINC000671062041 1073035161 /nfs/dbraw/zinc/03/51/61/1073035161.db2.gz JUEZORHDNSXYSQ-DOTOQJQBSA-N 0 0 434.493 -0.258 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1)c1ccccc1OCC(=O)NC ZINC000671062042 1073035322 /nfs/dbraw/zinc/03/53/22/1073035322.db2.gz JUEZORHDNSXYSQ-NVXWUHKLSA-N 0 0 434.493 -0.258 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1)c1ccccc1OCC(=O)NC ZINC000671062043 1073035311 /nfs/dbraw/zinc/03/53/11/1073035311.db2.gz JUEZORHDNSXYSQ-RDJZCZTQSA-N 0 0 434.493 -0.258 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1)c1ccccc1OCC(=O)NC ZINC000671062044 1073035286 /nfs/dbraw/zinc/03/52/86/1073035286.db2.gz JUEZORHDNSXYSQ-WBVHZDCISA-N 0 0 434.493 -0.258 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)c1ccccc1OCC(=O)NC ZINC000671062353 1073035302 /nfs/dbraw/zinc/03/53/02/1073035302.db2.gz PFQFLRGJVXIKQA-INIZCTEOSA-N 0 0 447.536 -0.213 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)c1ccccc1OCC(=O)NC ZINC000671062354 1073035370 /nfs/dbraw/zinc/03/53/70/1073035370.db2.gz PFQFLRGJVXIKQA-MRXNPFEDSA-N 0 0 447.536 -0.213 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)COC)CC1)c1ccccc1OCC(=O)NC ZINC000671062383 1073035150 /nfs/dbraw/zinc/03/51/50/1073035150.db2.gz PUOAXTHMSLLWAS-INIZCTEOSA-N 0 0 434.493 -0.304 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)COC)CC1)c1ccccc1OCC(=O)NC ZINC000671062384 1073035277 /nfs/dbraw/zinc/03/52/77/1073035277.db2.gz PUOAXTHMSLLWAS-MRXNPFEDSA-N 0 0 434.493 -0.304 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000671062476 1073035243 /nfs/dbraw/zinc/03/52/43/1073035243.db2.gz GGMMKIIVUFXWJM-GOSISDBHSA-N 0 0 444.536 -0.200 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000671062477 1073035375 /nfs/dbraw/zinc/03/53/75/1073035375.db2.gz GGMMKIIVUFXWJM-SFHVURJKSA-N 0 0 444.536 -0.200 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H](c3nc(N(C)C)no3)C2)CC1 ZINC000671062481 1073035330 /nfs/dbraw/zinc/03/53/30/1073035330.db2.gz HGVCBHOWLXQDIY-HNNXBMFYSA-N 0 0 449.556 -0.052 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H](c3nc(N(C)C)no3)C2)CC1 ZINC000671062482 1072799022 /nfs/dbraw/zinc/79/90/22/1072799022.db2.gz HGVCBHOWLXQDIY-OAHLLOKOSA-N 0 0 449.556 -0.052 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)CC1 ZINC000671062905 1073035351 /nfs/dbraw/zinc/03/53/51/1073035351.db2.gz XLWJEWDARNODSU-UHFFFAOYSA-N 0 0 434.516 -0.024 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000671065629 1073035757 /nfs/dbraw/zinc/03/57/57/1073035757.db2.gz WXSXSTSLDSGQJZ-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4cc(C)on4)CC3)C2=O)n(C)n1 ZINC000671070571 1073036069 /nfs/dbraw/zinc/03/60/69/1073036069.db2.gz FGSGNXVLGAOSQD-KRWDZBQOSA-N 0 0 443.508 -0.019 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4cc(C)on4)CC3)C2=O)n(C)n1 ZINC000671070572 1073036084 /nfs/dbraw/zinc/03/60/84/1073036084.db2.gz FGSGNXVLGAOSQD-QGZVFWFLSA-N 0 0 443.508 -0.019 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccccc2N2CCOCC2)CC1 ZINC000671072931 1073036507 /nfs/dbraw/zinc/03/65/07/1073036507.db2.gz WIEIQKUCLYDNPZ-KRWDZBQOSA-N 0 0 443.548 -0.049 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccccc2N2CCOCC2)CC1 ZINC000671072932 1073036516 /nfs/dbraw/zinc/03/65/16/1073036516.db2.gz WIEIQKUCLYDNPZ-QGZVFWFLSA-N 0 0 443.548 -0.049 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccc(-n3cccn3)cc2)CC1 ZINC000671075027 1072823583 /nfs/dbraw/zinc/82/35/83/1072823583.db2.gz VFBZLLCYTWJYMJ-UHFFFAOYSA-N 0 0 434.522 -0.818 20 0 IBADRN COc1ccc(O[C@@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671075661 1073037011 /nfs/dbraw/zinc/03/70/11/1073037011.db2.gz YJFUTKARXUGWJY-HNNXBMFYSA-N 0 0 442.538 -0.728 20 0 IBADRN COc1ccc(O[C@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671075662 1073036829 /nfs/dbraw/zinc/03/68/29/1073036829.db2.gz YJFUTKARXUGWJY-OAHLLOKOSA-N 0 0 442.538 -0.728 20 0 IBADRN Cc1ccc(O[C@@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671076219 1073037002 /nfs/dbraw/zinc/03/70/02/1073037002.db2.gz QKBHLLZPQJSZAO-INIZCTEOSA-N 0 0 426.539 -0.428 20 0 IBADRN Cc1ccc(O[C@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671076220 1073036816 /nfs/dbraw/zinc/03/68/16/1073036816.db2.gz QKBHLLZPQJSZAO-MRXNPFEDSA-N 0 0 426.539 -0.428 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCC3CCCCC3)CC2)CC1 ZINC000671077559 1073036914 /nfs/dbraw/zinc/03/69/14/1073036914.db2.gz QCLSGAMNCYJDNY-UHFFFAOYSA-N 0 0 429.587 -0.291 20 0 IBADRN NC(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)nc1 ZINC000671086505 1073037241 /nfs/dbraw/zinc/03/72/41/1073037241.db2.gz VGRQZJWWCZTIQE-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccc(Cn3cccn3)cc2)CC1 ZINC000671088422 1073037220 /nfs/dbraw/zinc/03/72/20/1073037220.db2.gz XUJLBPTYCAQIID-UHFFFAOYSA-N 0 0 448.549 -0.759 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCCc2nc3ccccc3[nH]2)CC1 ZINC000671089077 1073037258 /nfs/dbraw/zinc/03/72/58/1073037258.db2.gz SVWFODLPJCNUBH-UHFFFAOYSA-N 0 0 436.538 -0.695 20 0 IBADRN Cc1csc(CCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000671110354 1073039177 /nfs/dbraw/zinc/03/91/77/1073039177.db2.gz ZPMUOFSTJJUXHM-AWEZNQCLSA-N 0 0 430.552 -0.237 20 0 IBADRN Cc1csc(CCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000671110355 1073039712 /nfs/dbraw/zinc/03/97/12/1073039712.db2.gz ZPMUOFSTJJUXHM-CQSZACIVSA-N 0 0 430.552 -0.237 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCc2nc(C)cs2)CC1 ZINC000671110928 1073039676 /nfs/dbraw/zinc/03/96/76/1073039676.db2.gz NQRJHNHGJFKLGX-HNNXBMFYSA-N 0 0 425.555 -0.204 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCc2nc(C)cs2)CC1 ZINC000671110932 1073039902 /nfs/dbraw/zinc/03/99/02/1073039902.db2.gz NQRJHNHGJFKLGX-OAHLLOKOSA-N 0 0 425.555 -0.204 20 0 IBADRN Cc1csc(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000671112435 1073039911 /nfs/dbraw/zinc/03/99/11/1073039911.db2.gz YZEMPVIVYHLBRU-UHFFFAOYSA-N 0 0 431.522 -0.245 20 0 IBADRN Cc1csc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000671113344 1073039733 /nfs/dbraw/zinc/03/97/33/1073039733.db2.gz MDLWSTIIVZGOSM-UHFFFAOYSA-N 0 0 440.551 -0.315 20 0 IBADRN Cc1csc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000671114184 1073039747 /nfs/dbraw/zinc/03/97/47/1073039747.db2.gz KMLZZNMYCOALTN-UHFFFAOYSA-N 0 0 427.508 -0.228 20 0 IBADRN O=C(NCCCNc1ccccn1)C(=O)N1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000671117367 1073039823 /nfs/dbraw/zinc/03/98/23/1073039823.db2.gz WFXDJLGDMGIJDD-UHFFFAOYSA-N 0 0 432.506 -0.072 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCCS(=O)(=O)c2ccccc2)CC1 ZINC000671120370 1073039766 /nfs/dbraw/zinc/03/97/66/1073039766.db2.gz MPJSXZIXDMUJDX-UHFFFAOYSA-N 0 0 425.507 -0.422 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)C1 ZINC000671121594 1073040320 /nfs/dbraw/zinc/04/03/20/1073040320.db2.gz KSIKEXAOOSGVII-CHWSQXEVSA-N 0 0 428.477 -0.225 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)C1 ZINC000671121595 1073040278 /nfs/dbraw/zinc/04/02/78/1073040278.db2.gz KSIKEXAOOSGVII-OLZOCXBDSA-N 0 0 428.477 -0.225 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NC[C@H]2CCN(CC(F)(F)F)C2)C1 ZINC000671121596 1073040152 /nfs/dbraw/zinc/04/01/52/1073040152.db2.gz KSIKEXAOOSGVII-QWHCGFSZSA-N 0 0 428.477 -0.225 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NC[C@@H]2CCN(CC(F)(F)F)C2)C1 ZINC000671121597 1073040243 /nfs/dbraw/zinc/04/02/43/1073040243.db2.gz KSIKEXAOOSGVII-STQMWFEESA-N 0 0 428.477 -0.225 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC[C@@H]2CCN(c3ccccc3)C2)CC1 ZINC000671123978 1073040413 /nfs/dbraw/zinc/04/04/13/1073040413.db2.gz IJMZTDVHAZXDSD-KRWDZBQOSA-N 0 0 437.566 -0.678 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC[C@H]2CCN(c3ccccc3)C2)CC1 ZINC000671123979 1073040159 /nfs/dbraw/zinc/04/01/59/1073040159.db2.gz IJMZTDVHAZXDSD-QGZVFWFLSA-N 0 0 437.566 -0.678 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2cnn(Cc3ccccc3)c2)CC1 ZINC000671124943 1073040292 /nfs/dbraw/zinc/04/02/92/1073040292.db2.gz BQCYREHHEINBQS-UHFFFAOYSA-N 0 0 448.549 -0.759 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000671133838 1073040897 /nfs/dbraw/zinc/04/08/97/1073040897.db2.gz PCBUBIJNYCHZFD-UHFFFAOYSA-N 0 0 448.567 -0.514 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC(c2nnc3n2CCC3)CC1 ZINC000671133889 1073040680 /nfs/dbraw/zinc/04/06/80/1073040680.db2.gz GXBHMIBMXYJXCH-UHFFFAOYSA-N 0 0 426.543 -0.282 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000671133908 1073040715 /nfs/dbraw/zinc/04/07/15/1073040715.db2.gz JVAFDDUQTNDAPO-AWEZNQCLSA-N 0 0 439.538 -0.732 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000671133909 1073040831 /nfs/dbraw/zinc/04/08/31/1073040831.db2.gz JVAFDDUQTNDAPO-CQSZACIVSA-N 0 0 439.538 -0.732 20 0 IBADRN COc1ccc(F)cc1[C@@H](C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671137935 1073041205 /nfs/dbraw/zinc/04/12/05/1073041205.db2.gz CLMLVINHYNHMCK-CYBMUJFWSA-N 0 0 430.502 -0.295 20 0 IBADRN COc1ccc(F)cc1[C@H](C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671137936 1073041686 /nfs/dbraw/zinc/04/16/86/1073041686.db2.gz CLMLVINHYNHMCK-ZDUSSCGKSA-N 0 0 430.502 -0.295 20 0 IBADRN COc1ccc(C)cc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000671140545 1073042218 /nfs/dbraw/zinc/04/22/18/1073042218.db2.gz PQCCGZRCEPUWFN-UHFFFAOYSA-N 0 0 432.477 -0.851 20 0 IBADRN COc1ccc(C)cc1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000671140606 1073042120 /nfs/dbraw/zinc/04/21/20/1073042120.db2.gz HJMOQCKRIICSJF-UHFFFAOYSA-N 0 0 430.465 -0.891 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC1(c3ccc(F)cc3)CC1)C2 ZINC000671154748 1073043467 /nfs/dbraw/zinc/04/34/67/1073043467.db2.gz KBSPTXXUOSDYCK-UHFFFAOYSA-N 0 0 444.467 -0.538 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCC2(c3ccc(F)cc3)CC2)CC1 ZINC000671154799 1072874562 /nfs/dbraw/zinc/87/45/62/1072874562.db2.gz ZFFOFRZBINUWQC-UHFFFAOYSA-N 0 0 426.514 -0.333 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)c1N ZINC000671166961 1073044026 /nfs/dbraw/zinc/04/40/26/1073044026.db2.gz RXGXHEUAGCFYBY-UHFFFAOYSA-N 0 0 439.475 -0.150 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc2CC1 ZINC000671168625 1073044045 /nfs/dbraw/zinc/04/40/45/1073044045.db2.gz VXHBSFCZZSASBZ-UHFFFAOYSA-N 0 0 444.579 -0.254 20 0 IBADRN CCCOCc1ccccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671178324 1073045138 /nfs/dbraw/zinc/04/51/38/1073045138.db2.gz DYUIOHSSSGFBSR-UHFFFAOYSA-N 0 0 440.566 -0.077 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)NCC1CN(S(C)(=O)=O)C1)C2 ZINC000671213615 1073046592 /nfs/dbraw/zinc/04/65/92/1073046592.db2.gz MLQGUKKZXAZPFI-UHFFFAOYSA-N 0 0 430.552 -0.104 20 0 IBADRN C[C@](CNC(=O)CN1CSCC1=O)(NC(=O)CN1CSCC1=O)C(F)(F)F ZINC000671225610 1073046505 /nfs/dbraw/zinc/04/65/05/1073046505.db2.gz QTMZXPQGZBBHLC-CYBMUJFWSA-N 0 0 428.458 -0.394 20 0 IBADRN C[C@@](CNC(=O)CN1CSCC1=O)(NC(=O)CN1CSCC1=O)C(F)(F)F ZINC000671225611 1073046671 /nfs/dbraw/zinc/04/66/71/1073046671.db2.gz QTMZXPQGZBBHLC-ZDUSSCGKSA-N 0 0 428.458 -0.394 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000671230739 1073047237 /nfs/dbraw/zinc/04/72/37/1073047237.db2.gz CTVVULTYXFBTHU-KRWDZBQOSA-N 0 0 436.534 -0.051 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC000671230740 1073047107 /nfs/dbraw/zinc/04/71/07/1073047107.db2.gz CTVVULTYXFBTHU-QGZVFWFLSA-N 0 0 436.534 -0.051 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN([C@@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)C2 ZINC000671245113 1073047496 /nfs/dbraw/zinc/04/74/96/1073047496.db2.gz KAOAMQZVHLIZSO-DZGCQCFKSA-N 0 0 429.564 -0.013 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN([C@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1)C2 ZINC000671245114 1073047593 /nfs/dbraw/zinc/04/75/93/1073047593.db2.gz KAOAMQZVHLIZSO-HIFRSBDPSA-N 0 0 429.564 -0.013 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN([C@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)C2 ZINC000671245115 1073047715 /nfs/dbraw/zinc/04/77/15/1073047715.db2.gz KAOAMQZVHLIZSO-UKRRQHHQSA-N 0 0 429.564 -0.013 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN([C@@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1)C2 ZINC000671245116 1073047566 /nfs/dbraw/zinc/04/75/66/1073047566.db2.gz KAOAMQZVHLIZSO-ZFWWWQNUSA-N 0 0 429.564 -0.013 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)c1 ZINC000671258503 1073047695 /nfs/dbraw/zinc/04/76/95/1073047695.db2.gz HPHLTIBNXFQFGM-UHFFFAOYSA-N 0 0 444.492 -0.458 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)cc(Cl)cc1C(N)=O ZINC000671258534 1073047634 /nfs/dbraw/zinc/04/76/34/1073047634.db2.gz TYAJKXPHSCEHIA-UHFFFAOYSA-N 0 0 432.886 -0.074 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2F)CCNC3=O)CC1 ZINC000671258688 1073047585 /nfs/dbraw/zinc/04/75/85/1073047585.db2.gz HJBOGVRJMAFGRS-UHFFFAOYSA-N 0 0 427.458 -0.999 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(Cl)cc1C(N)=O ZINC000671258708 1073047577 /nfs/dbraw/zinc/04/75/77/1073047577.db2.gz PRFULXKQBUXMGW-UHFFFAOYSA-N 0 0 447.901 -0.663 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc(Cl)cc1C(N)=O ZINC000671258838 1073047738 /nfs/dbraw/zinc/04/77/38/1073047738.db2.gz WRFBCZVXBPOEAC-JTQLQIEISA-N 0 0 432.886 -0.074 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc(Cl)cc1C(N)=O ZINC000671258839 1073047849 /nfs/dbraw/zinc/04/78/49/1073047849.db2.gz WRFBCZVXBPOEAC-SNVBAGLBSA-N 0 0 432.886 -0.074 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)c1 ZINC000671259077 1073047678 /nfs/dbraw/zinc/04/76/78/1073047678.db2.gz KPZOUYMMELBLNI-KRWDZBQOSA-N 0 0 431.493 -0.494 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)c1 ZINC000671259078 1073047516 /nfs/dbraw/zinc/04/75/16/1073047516.db2.gz KPZOUYMMELBLNI-QGZVFWFLSA-N 0 0 431.493 -0.494 20 0 IBADRN COc1c(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc(Cl)cc1C(N)=O ZINC000671259656 1073047706 /nfs/dbraw/zinc/04/77/06/1073047706.db2.gz PYHPAZQBTOOGTN-UHFFFAOYSA-N 0 0 432.886 -0.072 20 0 IBADRN Cc1ccn(-c2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2)n1 ZINC000671260579 1073048238 /nfs/dbraw/zinc/04/82/38/1073048238.db2.gz JINOSAGKPRSELF-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN Cn1ccc2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2c1=O ZINC000671260580 1073048072 /nfs/dbraw/zinc/04/80/72/1073048072.db2.gz KCJHPZBROLYFJQ-UHFFFAOYSA-N 0 0 435.506 -0.830 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2nc(C(F)(F)F)cs2)CC1 ZINC000671260587 1073048289 /nfs/dbraw/zinc/04/82/89/1073048289.db2.gz XDCBRZXEUUCIFT-UHFFFAOYSA-N 0 0 429.446 -0.206 20 0 IBADRN COc1c(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc(Cl)cc1C(N)=O ZINC000671261227 1073048380 /nfs/dbraw/zinc/04/83/80/1073048380.db2.gz ARBDFWSYIQAYGQ-UHFFFAOYSA-N 0 0 432.886 -0.120 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cccc(C(=O)N(C)CC(N)=O)c1)C(=O)N1CCCC1 ZINC000671261862 1073048219 /nfs/dbraw/zinc/04/82/19/1073048219.db2.gz LHXHBNIWPUFQSN-KRWDZBQOSA-N 0 0 431.493 -0.054 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(Cl)cc(C(N)=O)c3OC)CCN2C1=O ZINC000671262512 1073048370 /nfs/dbraw/zinc/04/83/70/1073048370.db2.gz HRQQNGOMNFVMGN-GFCCVEGCSA-N 0 0 437.840 -0.119 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(Cl)cc(C(N)=O)c3OC)CCN2C1=O ZINC000671262513 1073048317 /nfs/dbraw/zinc/04/83/17/1073048317.db2.gz HRQQNGOMNFVMGN-LBPRGKRZSA-N 0 0 437.840 -0.119 20 0 IBADRN COc1c(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc(Cl)cc1C(N)=O ZINC000671263186 1073048098 /nfs/dbraw/zinc/04/80/98/1073048098.db2.gz WKCZGPDYPQXOIF-SNVBAGLBSA-N 0 0 432.886 -0.074 20 0 IBADRN COc1c(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc(Cl)cc1C(N)=O ZINC000671263366 1073048769 /nfs/dbraw/zinc/04/87/69/1073048769.db2.gz IUOKJMOMOJPGBY-UHFFFAOYSA-N 0 0 425.873 -0.382 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)c1 ZINC000671263409 1073048783 /nfs/dbraw/zinc/04/87/83/1073048783.db2.gz QQBUTPRYVFDQJC-DLBZAZTESA-N 0 0 431.493 -0.760 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)c1 ZINC000671263410 1073048576 /nfs/dbraw/zinc/04/85/76/1073048576.db2.gz QQBUTPRYVFDQJC-IAGOWNOFSA-N 0 0 431.493 -0.760 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)c1 ZINC000671263411 1073048709 /nfs/dbraw/zinc/04/87/09/1073048709.db2.gz QQBUTPRYVFDQJC-IRXDYDNUSA-N 0 0 431.493 -0.760 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)c1 ZINC000671263412 1073048836 /nfs/dbraw/zinc/04/88/36/1073048836.db2.gz QQBUTPRYVFDQJC-SJORKVTESA-N 0 0 431.493 -0.760 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)c1 ZINC000671264367 1073048088 /nfs/dbraw/zinc/04/80/88/1073048088.db2.gz DRDBEOPFULAGKP-UHFFFAOYSA-N 0 0 446.508 -0.165 20 0 IBADRN COc1c(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc(Cl)cc1C(N)=O ZINC000671264897 1073048171 /nfs/dbraw/zinc/04/81/71/1073048171.db2.gz XTTAXQFGFNRNOW-UHFFFAOYSA-N 0 0 428.873 -0.461 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000671266531 1073048081 /nfs/dbraw/zinc/04/80/81/1073048081.db2.gz FQEUDDBVCNQDLH-UHFFFAOYSA-N 0 0 439.476 -0.370 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCc2ccc3c[nH]nc3c2)cn1)N1CCOCC1 ZINC000671268716 1073048729 /nfs/dbraw/zinc/04/87/29/1073048729.db2.gz YRPIDQPATHKNQT-UHFFFAOYSA-N 0 0 425.449 -0.084 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCc2ccc3c[nH]nc3c2)n1)N1CCOCC1 ZINC000671269464 1073048640 /nfs/dbraw/zinc/04/86/40/1073048640.db2.gz QCWDJAQVOOPVGL-UHFFFAOYSA-N 0 0 425.449 -0.084 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@H](CO)C[C@@H](O)C(C)(C)C)ccn2)CC1 ZINC000671269631 1072277795 /nfs/dbraw/zinc/27/77/95/1072277795.db2.gz MFIBWGLGEAXMFB-DOTOQJQBSA-N 0 0 435.525 -0.318 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@@H](CO)C[C@@H](O)C(C)(C)C)ccn2)CC1 ZINC000671269632 1073048910 /nfs/dbraw/zinc/04/89/10/1073048910.db2.gz MFIBWGLGEAXMFB-NVXWUHKLSA-N 0 0 435.525 -0.318 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@H](CO)C[C@H](O)C(C)(C)C)ccn2)CC1 ZINC000671269633 1073048559 /nfs/dbraw/zinc/04/85/59/1073048559.db2.gz MFIBWGLGEAXMFB-RDJZCZTQSA-N 0 0 435.525 -0.318 20 0 IBADRN CN1CCN(C(=O)c2cc(NC(=O)C(=O)N[C@@H](CO)C[C@H](O)C(C)(C)C)ccn2)CC1 ZINC000671269634 1073048683 /nfs/dbraw/zinc/04/86/83/1073048683.db2.gz MFIBWGLGEAXMFB-WBVHZDCISA-N 0 0 435.525 -0.318 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCC3(O)CCC3)cn2)CC1 ZINC000671277776 1073048652 /nfs/dbraw/zinc/04/86/52/1073048652.db2.gz GWHCCAUXGFXAKM-UHFFFAOYSA-N 0 0 425.511 -0.477 20 0 IBADRN COCC1(NC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCC1 ZINC000671278435 1073048878 /nfs/dbraw/zinc/04/88/78/1073048878.db2.gz OVFBGEQFLUSYJX-UHFFFAOYSA-N 0 0 425.511 -0.213 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2cccc(N3C(=O)N[C@@](C)(C4CC4)C3=O)c2)C1=O ZINC000671282025 1073048804 /nfs/dbraw/zinc/04/88/04/1073048804.db2.gz VOFKAUVMSSEHHY-FQEVSTJZSA-N 0 0 427.417 -0.052 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2cccc(N3C(=O)N[C@](C)(C4CC4)C3=O)c2)C1=O ZINC000671282026 1073048663 /nfs/dbraw/zinc/04/86/63/1073048663.db2.gz VOFKAUVMSSEHHY-HXUWFJFHSA-N 0 0 427.417 -0.052 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccc(CS(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000671285230 1073049161 /nfs/dbraw/zinc/04/91/61/1073049161.db2.gz XTFJSNGLRTYRRR-INIZCTEOSA-N 0 0 425.507 -0.399 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccc(CS(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000671285232 1073049347 /nfs/dbraw/zinc/04/93/47/1073049347.db2.gz XTFJSNGLRTYRRR-MRXNPFEDSA-N 0 0 425.507 -0.399 20 0 IBADRN COCC(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)c3cc(C)oc3C)CC2)CC1 ZINC000671288499 1073049132 /nfs/dbraw/zinc/04/91/32/1073049132.db2.gz RTMDHFUSGQXJAL-UHFFFAOYSA-N 0 0 434.493 -0.066 20 0 IBADRN CCOc1cc(C)nc(N2CCN(C(=O)C(=O)NC3CCN(C(=O)COC)CC3)CC2)n1 ZINC000671288794 1073049363 /nfs/dbraw/zinc/04/93/63/1073049363.db2.gz XJCCTMRHMVJKSP-UHFFFAOYSA-N 0 0 448.524 -0.414 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671300819 1073050100 /nfs/dbraw/zinc/05/01/00/1073050100.db2.gz DMCINQYGFUGUGJ-UHFFFAOYSA-N 0 0 432.930 -0.342 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)c1 ZINC000671307992 1073051246 /nfs/dbraw/zinc/05/12/46/1073051246.db2.gz DFFFZCPXRWROAM-UHFFFAOYSA-N 0 0 427.509 -0.060 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000671307996 1073051032 /nfs/dbraw/zinc/05/10/32/1073051032.db2.gz GLIMQFUDEWYNMZ-UHFFFAOYSA-N 0 0 428.497 -0.665 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCN(C(=O)C2CCCC2)C1 ZINC000671308007 1073051124 /nfs/dbraw/zinc/05/11/24/1073051124.db2.gz MRRUECKNNCVLHW-INIZCTEOSA-N 0 0 432.525 -0.205 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCN(C(=O)C2CCCC2)C1 ZINC000671308008 1073051234 /nfs/dbraw/zinc/05/12/34/1073051234.db2.gz MRRUECKNNCVLHW-MRXNPFEDSA-N 0 0 432.525 -0.205 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000671308057 1073051722 /nfs/dbraw/zinc/05/17/22/1073051722.db2.gz DKZDKGJHRBEIQX-UHFFFAOYSA-N 0 0 435.529 -0.460 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCN(c2ccccc2)C(=O)C1 ZINC000671308064 1073051895 /nfs/dbraw/zinc/05/18/95/1073051895.db2.gz FTQNCUGLULCNHM-UHFFFAOYSA-N 0 0 426.477 -0.237 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000671308267 1073051792 /nfs/dbraw/zinc/05/17/92/1073051792.db2.gz DAYBWXLSRWFEDQ-INIZCTEOSA-N 0 0 441.492 -0.406 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000671308268 1073051800 /nfs/dbraw/zinc/05/18/00/1073051800.db2.gz DAYBWXLSRWFEDQ-MRXNPFEDSA-N 0 0 441.492 -0.406 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCC(c2nnc3n2CCC3)CC1 ZINC000671308471 1073051611 /nfs/dbraw/zinc/05/16/11/1073051611.db2.gz RKNKREKVCNADNP-UHFFFAOYSA-N 0 0 442.524 -0.169 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H](c2ccccc2)[C@H]2CCCO2)CC1 ZINC000671311182 1073051625 /nfs/dbraw/zinc/05/16/25/1073051625.db2.gz LDLDLQRJQMLNPB-MSOLQXFVSA-N 0 0 438.550 -0.284 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H](c2ccccc2)[C@H]2CCCO2)CC1 ZINC000671311186 1073051860 /nfs/dbraw/zinc/05/18/60/1073051860.db2.gz LDLDLQRJQMLNPB-QZTJIDSGSA-N 0 0 438.550 -0.284 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H](c2ccccc2)[C@@H]2CCCO2)CC1 ZINC000671311188 1073051850 /nfs/dbraw/zinc/05/18/50/1073051850.db2.gz LDLDLQRJQMLNPB-ROUUACIJSA-N 0 0 438.550 -0.284 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H](c2ccccc2)[C@@H]2CCCO2)CC1 ZINC000671311189 1073051959 /nfs/dbraw/zinc/05/19/59/1073051959.db2.gz LDLDLQRJQMLNPB-ZWKOTPCHSA-N 0 0 438.550 -0.284 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3Cc4ccccc43)CC2)CC1 ZINC000671325667 1073053354 /nfs/dbraw/zinc/05/33/54/1073053354.db2.gz OJISWWYZODLOGX-KRWDZBQOSA-N 0 0 435.550 -0.921 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3Cc4ccccc43)CC2)CC1 ZINC000671325670 1073053289 /nfs/dbraw/zinc/05/32/89/1073053289.db2.gz OJISWWYZODLOGX-QGZVFWFLSA-N 0 0 435.550 -0.921 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3Cc4ccccc43)CC2)o1 ZINC000671328716 1073053200 /nfs/dbraw/zinc/05/32/00/1073053200.db2.gz OOQFLVGQPONBPK-AWEZNQCLSA-N 0 0 446.485 -0.333 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3Cc4ccccc43)CC2)o1 ZINC000671328722 1073053112 /nfs/dbraw/zinc/05/31/12/1073053112.db2.gz OOQFLVGQPONBPK-CQSZACIVSA-N 0 0 446.485 -0.333 20 0 IBADRN O=C(NC[C@@H]1CCCOC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000671333604 1073053074 /nfs/dbraw/zinc/05/30/74/1073053074.db2.gz HXDJVNJZJCTUHS-AWEZNQCLSA-N 0 0 435.506 -0.061 20 0 IBADRN O=C(NC[C@H]1CCCOC1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000671333605 1073053369 /nfs/dbraw/zinc/05/33/69/1073053369.db2.gz HXDJVNJZJCTUHS-CQSZACIVSA-N 0 0 435.506 -0.061 20 0 IBADRN O=C(NC[C@@H]1CCCOC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000671333845 1073053299 /nfs/dbraw/zinc/05/32/99/1073053299.db2.gz HUQHXYJMLFCUCJ-DLBZAZTESA-N 0 0 432.525 -0.059 20 0 IBADRN O=C(NC[C@H]1CCCOC1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000671333849 1073053161 /nfs/dbraw/zinc/05/31/61/1073053161.db2.gz HUQHXYJMLFCUCJ-IAGOWNOFSA-N 0 0 432.525 -0.059 20 0 IBADRN O=C(NC[C@@H]1CCCOC1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000671333853 1073053252 /nfs/dbraw/zinc/05/32/52/1073053252.db2.gz HUQHXYJMLFCUCJ-IRXDYDNUSA-N 0 0 432.525 -0.059 20 0 IBADRN O=C(NC[C@H]1CCCOC1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000671333854 1073053218 /nfs/dbraw/zinc/05/32/18/1073053218.db2.gz HUQHXYJMLFCUCJ-SJORKVTESA-N 0 0 432.525 -0.059 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCOC3)CC2)C[C@@H](C)O1 ZINC000671334949 1073053155 /nfs/dbraw/zinc/05/31/55/1073053155.db2.gz KTIVWOWIOJUDFV-BZUAXINKSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCOC3)CC2)C[C@@H](C)O1 ZINC000671334956 1073053209 /nfs/dbraw/zinc/05/32/09/1073053209.db2.gz KTIVWOWIOJUDFV-OAGGEKHMSA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCCOC3)CC2)C[C@H](C)O1 ZINC000671334958 1073053127 /nfs/dbraw/zinc/05/31/27/1073053127.db2.gz KTIVWOWIOJUDFV-OWCLPIDISA-N 0 0 432.543 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCCOC3)CC2)C[C@H](C)O1 ZINC000671334960 1073053100 /nfs/dbraw/zinc/05/31/00/1073053100.db2.gz KTIVWOWIOJUDFV-XHSDSOJGSA-N 0 0 432.543 -0.973 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NC[C@H]4CCCOC4)CC3)C2=O)n(C)n1 ZINC000671335448 1073053187 /nfs/dbraw/zinc/05/31/87/1073053187.db2.gz DQOUQVHSSLXEQN-MSOLQXFVSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NC[C@H]4CCCOC4)CC3)C2=O)n(C)n1 ZINC000671335454 1073053377 /nfs/dbraw/zinc/05/33/77/1073053377.db2.gz DQOUQVHSSLXEQN-QZTJIDSGSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NC[C@@H]4CCCOC4)CC3)C2=O)n(C)n1 ZINC000671335458 1073053275 /nfs/dbraw/zinc/05/32/75/1073053275.db2.gz DQOUQVHSSLXEQN-ROUUACIJSA-N 0 0 446.552 -0.089 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NC[C@@H]4CCCOC4)CC3)C2=O)n(C)n1 ZINC000671335461 1073053195 /nfs/dbraw/zinc/05/31/95/1073053195.db2.gz DQOUQVHSSLXEQN-ZWKOTPCHSA-N 0 0 446.552 -0.089 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NC[C@@H]2CCCOC2)CC1 ZINC000671336507 1073053142 /nfs/dbraw/zinc/05/31/42/1073053142.db2.gz CBFNSNWIUGFUDQ-INIZCTEOSA-N 0 0 438.550 -0.218 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NC[C@H]2CCCOC2)CC1 ZINC000671336517 1073053644 /nfs/dbraw/zinc/05/36/44/1073053644.db2.gz CBFNSNWIUGFUDQ-MRXNPFEDSA-N 0 0 438.550 -0.218 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccccc2-n2ccnc2)CC1 ZINC000671344541 1073053568 /nfs/dbraw/zinc/05/35/68/1073053568.db2.gz LJODUFPIBFKLKA-UHFFFAOYSA-N 0 0 434.522 -0.818 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1ccccc1-n1ccnc1 ZINC000671344821 1073053693 /nfs/dbraw/zinc/05/36/93/1073053693.db2.gz BMSUIQPAOVIEEV-UHFFFAOYSA-N 0 0 432.462 -0.288 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccccc2N2CCCC2)CC1 ZINC000671345183 1073053598 /nfs/dbraw/zinc/05/35/98/1073053598.db2.gz BLDACTYSUHTKQK-UHFFFAOYSA-N 0 0 443.548 -0.094 20 0 IBADRN O=C(NCc1ccccc1N1CCCC1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000671345450 1073053611 /nfs/dbraw/zinc/05/36/11/1073053611.db2.gz TZAGKGVSCKHDCR-UHFFFAOYSA-N 0 0 427.505 -0.148 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccccc2N2CCCC2)CC1)N1CCOCC1 ZINC000671345455 1073115880 /nfs/dbraw/zinc/11/58/80/1073115880.db2.gz FSDXIFXOGXATFP-UHFFFAOYSA-N 0 0 443.548 -0.094 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccccc2N2CCCC2)CC1 ZINC000671346794 1073053737 /nfs/dbraw/zinc/05/37/37/1073053737.db2.gz KERBCNGAGMKPFH-UHFFFAOYSA-N 0 0 437.566 -0.404 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2ccccc2N2CCCC2)CC1 ZINC000671347673 1073053623 /nfs/dbraw/zinc/05/36/23/1073053623.db2.gz OTWSNFYDVOZYCD-UHFFFAOYSA-N 0 0 426.481 -0.740 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)N2CCOCC2)cc1 ZINC000671350906 1073124722 /nfs/dbraw/zinc/12/47/22/1073124722.db2.gz GGHUCYZZSNQTAU-GOSISDBHSA-N 0 0 438.550 -0.508 20 0 IBADRN Cc1ccc([C@H](CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)N2CCOCC2)cc1 ZINC000671350907 1073124955 /nfs/dbraw/zinc/12/49/55/1073124955.db2.gz GGHUCYZZSNQTAU-SFHVURJKSA-N 0 0 438.550 -0.508 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2cccc3c2OCCCO3)CC1 ZINC000671352276 1073054244 /nfs/dbraw/zinc/05/42/44/1073054244.db2.gz UWOTZWGZGLIQQZ-UHFFFAOYSA-N 0 0 440.522 -0.843 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)c3ccccn3)c2)C1 ZINC000671354899 1073054591 /nfs/dbraw/zinc/05/45/91/1073054591.db2.gz OJDNYNMNHUQKMN-HNNXBMFYSA-N 0 0 431.474 -0.161 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2cccc(NC(=O)c3ccccn3)c2)C1 ZINC000671354900 1073054715 /nfs/dbraw/zinc/05/47/15/1073054715.db2.gz OJDNYNMNHUQKMN-OAHLLOKOSA-N 0 0 431.474 -0.161 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCc2cccc(NC(=O)c3ccccn3)c2)C1 ZINC000671355503 1073127778 /nfs/dbraw/zinc/12/77/78/1073127778.db2.gz ZMGUSXKPUFDZND-UHFFFAOYSA-N 0 0 445.501 -0.042 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCOc2ccc(F)cc2F)CC1 ZINC000671356152 1073129028 /nfs/dbraw/zinc/12/90/28/1073129028.db2.gz AQMBAGMCXNUCIR-UHFFFAOYSA-N 0 0 434.465 -0.847 20 0 IBADRN COc1ccccc1C(C)(C)CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356153 1073129050 /nfs/dbraw/zinc/12/90/50/1073129050.db2.gz ARZILVXTCKFHPR-UHFFFAOYSA-N 0 0 440.566 -0.218 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCCO[C@@H](c3ccccc3)C2)CC1 ZINC000671356158 1073128980 /nfs/dbraw/zinc/12/89/80/1073128980.db2.gz ATUYURQBDISTAA-GOSISDBHSA-N 0 0 438.550 -0.330 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCCO[C@H](c3ccccc3)C2)CC1 ZINC000671356159 1073129019 /nfs/dbraw/zinc/12/90/19/1073129019.db2.gz ATUYURQBDISTAA-SFHVURJKSA-N 0 0 438.550 -0.330 20 0 IBADRN Cn1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(-c2ccccc2)n1 ZINC000671356162 1073128927 /nfs/dbraw/zinc/12/89/27/1073128927.db2.gz ATWQIDDRXBWOBN-UHFFFAOYSA-N 0 0 448.549 -0.603 20 0 IBADRN Cc1ccccc1N1CCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000671356191 1073129584 /nfs/dbraw/zinc/12/95/84/1073129584.db2.gz BIOMASLEYIRJCT-UHFFFAOYSA-N 0 0 437.566 -0.663 20 0 IBADRN Cn1cc(-c2ccccc2CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000671356198 1073129601 /nfs/dbraw/zinc/12/96/01/1073129601.db2.gz BTMKDUIFDLVJLL-UHFFFAOYSA-N 0 0 448.549 -0.603 20 0 IBADRN CN(CCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1F ZINC000671356210 1073129054 /nfs/dbraw/zinc/12/90/54/1073129054.db2.gz CCPYDBKKIVHSIZ-UHFFFAOYSA-N 0 0 443.545 -0.538 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC[C@@H]2SCCc3ccccc32)CC1 ZINC000671356215 1073129091 /nfs/dbraw/zinc/12/90/91/1073129091.db2.gz CEXKEEUTCHMZBC-KRWDZBQOSA-N 0 0 440.591 -0.173 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC[C@H]2SCCc3ccccc32)CC1 ZINC000671356216 1073129003 /nfs/dbraw/zinc/12/90/03/1073129003.db2.gz CEXKEEUTCHMZBC-QGZVFWFLSA-N 0 0 440.591 -0.173 20 0 IBADRN Cn1cccc1[C@@H]1CCCCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356223 1073129668 /nfs/dbraw/zinc/12/96/68/1073129668.db2.gz CJADNOXNUSYSNL-KRWDZBQOSA-N 0 0 425.555 -0.228 20 0 IBADRN Cn1cccc1[C@H]1CCCCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356224 1073129637 /nfs/dbraw/zinc/12/96/37/1073129637.db2.gz CJADNOXNUSYSNL-QGZVFWFLSA-N 0 0 425.555 -0.228 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccn(C3CCCC3)n2)CC1 ZINC000671356231 1073054700 /nfs/dbraw/zinc/05/47/00/1073054700.db2.gz CQNKQHXXBTYGRN-UHFFFAOYSA-N 0 0 426.543 -0.692 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(Cl)cc1 ZINC000671356234 1073129588 /nfs/dbraw/zinc/12/95/88/1073129588.db2.gz CROBZLVEZANRON-INIZCTEOSA-N 0 0 446.957 -0.163 20 0 IBADRN CO[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(Cl)cc1 ZINC000671356235 1073129590 /nfs/dbraw/zinc/12/95/90/1073129590.db2.gz CROBZLVEZANRON-MRXNPFEDSA-N 0 0 446.957 -0.163 20 0 IBADRN COCCC1(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCCCC1 ZINC000671356264 1073130316 /nfs/dbraw/zinc/13/03/16/1073130316.db2.gz CZROQZWNSCZKHZ-UHFFFAOYSA-N 0 0 432.587 -0.217 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCc1ccc(OCC(=O)NC2CC2)cc1)[C@@H]1CN(C)CCO1 ZINC000671356271 1073054606 /nfs/dbraw/zinc/05/46/06/1073054606.db2.gz DULQNLOUAPMTII-BEFAXECRSA-N 0 0 432.521 -0.162 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCc1ccc(OCC(=O)NC2CC2)cc1)[C@H]1CN(C)CCO1 ZINC000671356272 1073054767 /nfs/dbraw/zinc/05/47/67/1073054767.db2.gz DULQNLOUAPMTII-DNVCBOLYSA-N 0 0 432.521 -0.162 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCc1ccc(OCC(=O)NC2CC2)cc1)[C@H]1CN(C)CCO1 ZINC000671356274 1073054722 /nfs/dbraw/zinc/05/47/22/1073054722.db2.gz DULQNLOUAPMTII-HNAYVOBHSA-N 0 0 432.521 -0.162 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCc1ccc(OCC(=O)NC2CC2)cc1)[C@@H]1CN(C)CCO1 ZINC000671356275 1073054755 /nfs/dbraw/zinc/05/47/55/1073054755.db2.gz DULQNLOUAPMTII-KXBFYZLASA-N 0 0 432.521 -0.162 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)N1CCc2ccccc21 ZINC000671356311 1073055121 /nfs/dbraw/zinc/05/51/21/1073055121.db2.gz FCEFBWFHRGIESN-INIZCTEOSA-N 0 0 437.566 -0.753 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)N1CCc2ccccc21 ZINC000671356312 1073055011 /nfs/dbraw/zinc/05/50/11/1073055011.db2.gz FCEFBWFHRGIESN-MRXNPFEDSA-N 0 0 437.566 -0.753 20 0 IBADRN Cc1cccnc1[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(C)C ZINC000671356333 1073057454 /nfs/dbraw/zinc/05/74/54/1073057454.db2.gz FMVKRWMSKFTOMH-INIZCTEOSA-N 0 0 425.555 -0.103 20 0 IBADRN Cc1cccnc1[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(C)C ZINC000671356335 1073057427 /nfs/dbraw/zinc/05/74/27/1073057427.db2.gz FMVKRWMSKFTOMH-MRXNPFEDSA-N 0 0 425.555 -0.103 20 0 IBADRN CN(CCCOc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356340 1073057500 /nfs/dbraw/zinc/05/75/00/1073057500.db2.gz FULJIRHBYMICOK-UHFFFAOYSA-N 0 0 426.539 -0.393 20 0 IBADRN Cc1ccc(OCCN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671356348 1073057560 /nfs/dbraw/zinc/05/75/60/1073057560.db2.gz FWUJUFOWAJAOAY-UHFFFAOYSA-N 0 0 426.539 -0.474 20 0 IBADRN COCCN(CCc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356349 1073057535 /nfs/dbraw/zinc/05/75/35/1073057535.db2.gz FXUDPUFTVULZBD-UHFFFAOYSA-N 0 0 440.566 -0.603 20 0 IBADRN CC[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1cccc(F)c1 ZINC000671356380 1073057477 /nfs/dbraw/zinc/05/74/77/1073057477.db2.gz GNMIITDSYNCIAN-INIZCTEOSA-N 0 0 444.529 -0.207 20 0 IBADRN CC[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1cccc(F)c1 ZINC000671356382 1073057461 /nfs/dbraw/zinc/05/74/61/1073057461.db2.gz GNMIITDSYNCIAN-MRXNPFEDSA-N 0 0 444.529 -0.207 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@@H](OCC(F)(F)F)C2)CC1 ZINC000671356414 1073057491 /nfs/dbraw/zinc/05/74/91/1073057491.db2.gz HCFPMBFSAKTCEH-CYBMUJFWSA-N 0 0 444.476 -0.750 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@H](OCC(F)(F)F)C2)CC1 ZINC000671356415 1073057443 /nfs/dbraw/zinc/05/74/43/1073057443.db2.gz HCFPMBFSAKTCEH-ZDUSSCGKSA-N 0 0 444.476 -0.750 20 0 IBADRN CCCNc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671356433 1073131605 /nfs/dbraw/zinc/13/16/05/1073131605.db2.gz HQZVVWCOWOAEQQ-UHFFFAOYSA-N 0 0 425.555 -0.182 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(F)c1 ZINC000671356435 1073057413 /nfs/dbraw/zinc/05/74/13/1073057413.db2.gz HRMYVCVGYGABOU-UHFFFAOYSA-N 0 0 428.530 -0.087 20 0 IBADRN CN(CCCOc1ccc(F)cc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356440 1073057544 /nfs/dbraw/zinc/05/75/44/1073057544.db2.gz HSEZFWBQECBRCM-UHFFFAOYSA-N 0 0 444.529 -0.254 20 0 IBADRN Cc1nc(C)c([C@@H](C)N(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000671356502 1073054742 /nfs/dbraw/zinc/05/47/42/1073054742.db2.gz IOKMDYXFMLFFNM-CYBMUJFWSA-N 0 0 431.584 -0.027 20 0 IBADRN Cc1nc(C)c([C@H](C)N(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000671356503 1073055147 /nfs/dbraw/zinc/05/51/47/1073055147.db2.gz IOKMDYXFMLFFNM-ZDUSSCGKSA-N 0 0 431.584 -0.027 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CC[C@@H](Cc3ccc(F)cc3)C2)CC1 ZINC000671356504 1073055138 /nfs/dbraw/zinc/05/51/38/1073055138.db2.gz ISCVLRHOLPQBAO-KRWDZBQOSA-N 0 0 440.541 -0.090 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CC[C@H](Cc3ccc(F)cc3)C2)CC1 ZINC000671356505 1073054990 /nfs/dbraw/zinc/05/49/90/1073054990.db2.gz ISCVLRHOLPQBAO-QGZVFWFLSA-N 0 0 440.541 -0.090 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@H](c3cccs3)C2)CC1 ZINC000671356508 1073054978 /nfs/dbraw/zinc/05/49/78/1073054978.db2.gz ITBYSTUWEIBSPL-AWEZNQCLSA-N 0 0 430.552 -0.659 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@@H](c3cccs3)C2)CC1 ZINC000671356509 1073054963 /nfs/dbraw/zinc/05/49/63/1073054963.db2.gz ITBYSTUWEIBSPL-CQSZACIVSA-N 0 0 430.552 -0.659 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCN2CCCc3ccccc32)CC1 ZINC000671356518 1073056972 /nfs/dbraw/zinc/05/69/72/1073056972.db2.gz IYXORFNVHZPXNH-UHFFFAOYSA-N 0 0 437.566 -0.751 20 0 IBADRN Cc1cccc(O[C@@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671356525 1073057128 /nfs/dbraw/zinc/05/71/28/1073057128.db2.gz JCPYCTUTNCWFNC-INIZCTEOSA-N 0 0 426.539 -0.428 20 0 IBADRN Cc1cccc(O[C@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671356526 1073056997 /nfs/dbraw/zinc/05/69/97/1073056997.db2.gz JCPYCTUTNCWFNC-MRXNPFEDSA-N 0 0 426.539 -0.428 20 0 IBADRN CC[C@@H](O)CN(Cc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356533 1073057028 /nfs/dbraw/zinc/05/70/28/1073057028.db2.gz JGNSRTBDHMXTIG-GOSISDBHSA-N 0 0 440.566 -0.521 20 0 IBADRN CC[C@H](O)CN(Cc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356534 1073057176 /nfs/dbraw/zinc/05/71/76/1073057176.db2.gz JGNSRTBDHMXTIG-SFHVURJKSA-N 0 0 440.566 -0.521 20 0 IBADRN C[C@@H](COc1cccc(F)c1)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356539 1073057051 /nfs/dbraw/zinc/05/70/51/1073057051.db2.gz JLHSQRKYHQDYPN-AWEZNQCLSA-N 0 0 430.502 -0.597 20 0 IBADRN C[C@H](COc1cccc(F)c1)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356540 1073057057 /nfs/dbraw/zinc/05/70/57/1073057057.db2.gz JLHSQRKYHQDYPN-CQSZACIVSA-N 0 0 430.502 -0.597 20 0 IBADRN Cc1ccc(C)n1C1CCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000671356543 1073057003 /nfs/dbraw/zinc/05/70/03/1073057003.db2.gz JVIIOFDCBUIAOO-UHFFFAOYSA-N 0 0 439.582 -0.038 20 0 IBADRN COc1cccc2c1OCC[C@@H]2NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356546 1073056986 /nfs/dbraw/zinc/05/69/86/1073056986.db2.gz JXPWQANRCYHFJO-HNNXBMFYSA-N 0 0 440.522 -0.672 20 0 IBADRN COc1cccc2c1OCC[C@H]2NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356547 1073057468 /nfs/dbraw/zinc/05/74/68/1073057468.db2.gz JXPWQANRCYHFJO-OAHLLOKOSA-N 0 0 440.522 -0.672 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@H](Cc3ccccc3)C2)CC1 ZINC000671356554 1073057528 /nfs/dbraw/zinc/05/75/28/1073057528.db2.gz KGCXUWAIFIPBSM-GOSISDBHSA-N 0 0 438.550 -0.850 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@@H](Cc3ccccc3)C2)CC1 ZINC000671356555 1073057401 /nfs/dbraw/zinc/05/74/01/1073057401.db2.gz KGCXUWAIFIPBSM-SFHVURJKSA-N 0 0 438.550 -0.850 20 0 IBADRN Cc1[nH]c2ccccc2c1CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356561 1073057550 /nfs/dbraw/zinc/05/75/50/1073057550.db2.gz KPVLERAHHXZNJL-UHFFFAOYSA-N 0 0 435.550 -0.172 20 0 IBADRN CCO[C@@H](CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C1CCCC1 ZINC000671356600 1073057375 /nfs/dbraw/zinc/05/73/75/1073057375.db2.gz LWVNOOLTUFXACW-KRWDZBQOSA-N 0 0 432.587 -0.219 20 0 IBADRN CCO[C@H](CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C1CCCC1 ZINC000671356601 1073057434 /nfs/dbraw/zinc/05/74/34/1073057434.db2.gz LWVNOOLTUFXACW-QGZVFWFLSA-N 0 0 432.587 -0.219 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCN(Cc3ccsc3)CC2)CC1 ZINC000671356615 1073056634 /nfs/dbraw/zinc/05/66/34/1073056634.db2.gz MTSCOSSVKMQTKH-UHFFFAOYSA-N 0 0 443.595 -0.914 20 0 IBADRN CC(C)(C)Oc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000671356632 1073056721 /nfs/dbraw/zinc/05/67/21/1073056721.db2.gz NWHOHASNAXEOAT-UHFFFAOYSA-N 0 0 441.554 -0.432 20 0 IBADRN Cc1cccc(OC[C@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671356643 1073056664 /nfs/dbraw/zinc/05/66/64/1073056664.db2.gz OFJSLPNGJBKJIZ-INIZCTEOSA-N 0 0 426.539 -0.428 20 0 IBADRN Cc1cccc(OC[C@@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671356644 1073056753 /nfs/dbraw/zinc/05/67/53/1073056753.db2.gz OFJSLPNGJBKJIZ-MRXNPFEDSA-N 0 0 426.539 -0.428 20 0 IBADRN CC(C)(C)c1csc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000671356657 1073056567 /nfs/dbraw/zinc/05/65/67/1073056567.db2.gz OSUQLOKEXPNLJD-UHFFFAOYSA-N 0 0 445.611 -0.207 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2cccc(Cn3cccn3)c2)CC1 ZINC000671356673 1073056692 /nfs/dbraw/zinc/05/66/92/1073056692.db2.gz PDTMXLJJOKRCTN-UHFFFAOYSA-N 0 0 448.549 -0.759 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1cccc(F)c1 ZINC000671356677 1073056779 /nfs/dbraw/zinc/05/67/79/1073056779.db2.gz PMMSVZHIYBQBBI-AWEZNQCLSA-N 0 0 430.502 -0.597 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC2CCN(c3cccs3)CC2)CC1 ZINC000671356685 1073056704 /nfs/dbraw/zinc/05/67/04/1073056704.db2.gz AGQOLZHRHYSPJS-UHFFFAOYSA-N 0 0 443.595 -0.474 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H]1CCCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356692 1073056571 /nfs/dbraw/zinc/05/65/71/1073056571.db2.gz AJHAWMIFUHHOJS-HNNXBMFYSA-N 0 0 426.543 -0.617 20 0 IBADRN Cc1n[nH]c(C)c1[C@H]1CCCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356697 1073056625 /nfs/dbraw/zinc/05/66/25/1073056625.db2.gz AJHAWMIFUHHOJS-OAHLLOKOSA-N 0 0 426.543 -0.617 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1cccc(F)c1 ZINC000671356704 1073056583 /nfs/dbraw/zinc/05/65/83/1073056583.db2.gz PMMSVZHIYBQBBI-CQSZACIVSA-N 0 0 430.502 -0.597 20 0 IBADRN CC[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1ccccc1F ZINC000671356710 1073056616 /nfs/dbraw/zinc/05/66/16/1073056616.db2.gz AOTBCBBEFLRYEN-HNNXBMFYSA-N 0 0 444.529 -0.207 20 0 IBADRN CC[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1ccccc1F ZINC000671356711 1073056767 /nfs/dbraw/zinc/05/67/67/1073056767.db2.gz AOTBCBBEFLRYEN-OAHLLOKOSA-N 0 0 444.529 -0.207 20 0 IBADRN CCCOc1cccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671356713 1073056744 /nfs/dbraw/zinc/05/67/44/1073056744.db2.gz ABMOYWWUYWNSLK-UHFFFAOYSA-N 0 0 440.566 -0.173 20 0 IBADRN CCc1ccc([C@@H]2COCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000671356722 1073057168 /nfs/dbraw/zinc/05/71/68/1073057168.db2.gz AQPOAAADCWGATR-INIZCTEOSA-N 0 0 442.538 -0.565 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N(CCCO)Cc2ccccc2)CC1 ZINC000671356729 1073057121 /nfs/dbraw/zinc/05/71/21/1073057121.db2.gz AHDYWMAGBOXBIC-UHFFFAOYSA-N 0 0 426.539 -0.909 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCc2ccc3ccccc3n2)CC1 ZINC000671356730 1073057042 /nfs/dbraw/zinc/05/70/42/1073057042.db2.gz PUZTUSYTTVPRPP-UHFFFAOYSA-N 0 0 433.534 -0.413 20 0 IBADRN CCc1ccc([C@H]2COCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000671356736 1073057075 /nfs/dbraw/zinc/05/70/75/1073057075.db2.gz AQPOAAADCWGATR-MRXNPFEDSA-N 0 0 442.538 -0.565 20 0 IBADRN Cc1ccccc1OCCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356742 1073057064 /nfs/dbraw/zinc/05/70/64/1073057064.db2.gz PZUKPNXQQGUFOX-UHFFFAOYSA-N 0 0 426.539 -0.426 20 0 IBADRN COc1nc(C)cc(C)c1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356756 1073056284 /nfs/dbraw/zinc/05/62/84/1073056284.db2.gz AUFLUYQLDNJLQL-UHFFFAOYSA-N 0 0 427.527 -0.983 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCC2(c3cccc(F)c3)CC2)CC1 ZINC000671356778 1073056278 /nfs/dbraw/zinc/05/62/78/1073056278.db2.gz QSVJJQJFQXYXNQ-UHFFFAOYSA-N 0 0 426.514 -0.333 20 0 IBADRN CC(C)(C)c1nc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cs1 ZINC000671356785 1073056239 /nfs/dbraw/zinc/05/62/39/1073056239.db2.gz QTMJDZNGGQKYDY-UHFFFAOYSA-N 0 0 445.611 -0.207 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2nc(C(F)(F)F)cs2)CC1 ZINC000671356788 1073056292 /nfs/dbraw/zinc/05/62/92/1073056292.db2.gz QVFLWTOWMFLSKZ-UHFFFAOYSA-N 0 0 443.473 -0.529 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356795 1073056225 /nfs/dbraw/zinc/05/62/25/1073056225.db2.gz QWKNRSUDOCAANL-UHFFFAOYSA-N 0 0 430.502 -0.644 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(-n2cccn2)cc1 ZINC000671356818 1073056310 /nfs/dbraw/zinc/05/63/10/1073056310.db2.gz AGEUOEFHXTZVLS-INIZCTEOSA-N 0 0 448.549 -0.257 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(-n2cccn2)cc1 ZINC000671356820 1073056232 /nfs/dbraw/zinc/05/62/32/1073056232.db2.gz AGEUOEFHXTZVLS-MRXNPFEDSA-N 0 0 448.549 -0.257 20 0 IBADRN CCOCCC1(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCCC1 ZINC000671356835 1073056302 /nfs/dbraw/zinc/05/63/02/1073056302.db2.gz RJILXGAEWJTKNS-UHFFFAOYSA-N 0 0 432.587 -0.217 20 0 IBADRN Cc1cccc2[nH]cc(CCNC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c21 ZINC000671356837 1073056250 /nfs/dbraw/zinc/05/62/50/1073056250.db2.gz AKOYMMLLUUOTGT-UHFFFAOYSA-N 0 0 435.550 -0.172 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1ccccc1F ZINC000671356839 1073056221 /nfs/dbraw/zinc/05/62/21/1073056221.db2.gz BTKKXABCEYSADQ-AWEZNQCLSA-N 0 0 430.502 -0.597 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1ccccc1F ZINC000671356840 1073056217 /nfs/dbraw/zinc/05/62/17/1073056217.db2.gz BTKKXABCEYSADQ-CQSZACIVSA-N 0 0 430.502 -0.597 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCN(c3cccs3)CC2)CC1 ZINC000671356843 1073056269 /nfs/dbraw/zinc/05/62/69/1073056269.db2.gz RMTGWPKCMKBDLS-UHFFFAOYSA-N 0 0 429.568 -0.910 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1-n1cccn1 ZINC000671356849 1073056196 /nfs/dbraw/zinc/05/61/96/1073056196.db2.gz AOKQMQMBSQBQJX-INIZCTEOSA-N 0 0 448.549 -0.257 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1-n1cccn1 ZINC000671356851 1073056242 /nfs/dbraw/zinc/05/62/42/1073056242.db2.gz AOKQMQMBSQBQJX-MRXNPFEDSA-N 0 0 448.549 -0.257 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCC2(c3ccc(F)cc3F)CC2)CC1 ZINC000671356856 1073056260 /nfs/dbraw/zinc/05/62/60/1073056260.db2.gz BQCUCEDRGPZHFZ-UHFFFAOYSA-N 0 0 444.504 -0.194 20 0 IBADRN COCCN(Cc1ccc(C)o1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671356885 1073056207 /nfs/dbraw/zinc/05/62/07/1073056207.db2.gz BWHZBAGCJNRMSE-UHFFFAOYSA-N 0 0 430.527 -0.744 20 0 IBADRN CCc1ccc([C@H](COC)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000671356901 1073056761 /nfs/dbraw/zinc/05/67/61/1073056761.db2.gz SAKRPLOHDMEJDQ-HNNXBMFYSA-N 0 0 430.527 -0.661 20 0 IBADRN CCc1ccc([C@@H](COC)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000671356907 1073055904 /nfs/dbraw/zinc/05/59/04/1073055904.db2.gz SAKRPLOHDMEJDQ-OAHLLOKOSA-N 0 0 430.527 -0.661 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C12CCCC2 ZINC000671356912 1073055829 /nfs/dbraw/zinc/05/58/29/1073055829.db2.gz SAQZAWRVHDNUNQ-CVEARBPZSA-N 0 0 430.571 -0.466 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C12CCCC2 ZINC000671356918 1073055966 /nfs/dbraw/zinc/05/59/66/1073055966.db2.gz SAQZAWRVHDNUNQ-HOTGVXAUSA-N 0 0 430.571 -0.466 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C12CCCC2 ZINC000671356919 1073055774 /nfs/dbraw/zinc/05/57/74/1073055774.db2.gz SAQZAWRVHDNUNQ-HZPDHXFCSA-N 0 0 430.571 -0.466 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C12CCCC2 ZINC000671356921 1073055876 /nfs/dbraw/zinc/05/58/76/1073055876.db2.gz SAQZAWRVHDNUNQ-JKSUJKDBSA-N 0 0 430.571 -0.466 20 0 IBADRN Cc1cccc(N2CCN(C(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC000671356924 1073055942 /nfs/dbraw/zinc/05/59/42/1073055942.db2.gz SBJCMFBKHAVTHQ-UHFFFAOYSA-N 0 0 437.566 -0.663 20 0 IBADRN COc1ccc(CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C2CC2)cc1 ZINC000671356930 1073055959 /nfs/dbraw/zinc/05/59/59/1073055959.db2.gz SJNCJYRMWVVILE-UHFFFAOYSA-N 0 0 438.550 -0.120 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000671356935 1073055883 /nfs/dbraw/zinc/05/58/83/1073055883.db2.gz BPYFEGPYAORPTN-UHFFFAOYSA-N 0 0 441.529 -0.832 20 0 IBADRN COc1cccc(O[C@@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671357003 1073130836 /nfs/dbraw/zinc/13/08/36/1073130836.db2.gz CTTBPUCLVNXFPM-HNNXBMFYSA-N 0 0 442.538 -0.728 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N(CCO)[C@@H]2CCc3ccccc32)CC1 ZINC000671357012 1073055867 /nfs/dbraw/zinc/05/58/67/1073055867.db2.gz UJUWFHDHBJTEAI-GOSISDBHSA-N 0 0 438.550 -0.812 20 0 IBADRN COc1cccc(O[C@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671357013 1073130818 /nfs/dbraw/zinc/13/08/18/1073130818.db2.gz CTTBPUCLVNXFPM-OAHLLOKOSA-N 0 0 442.538 -0.728 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N(CCO)[C@H]2CCc3ccccc32)CC1 ZINC000671357018 1073055975 /nfs/dbraw/zinc/05/59/75/1073055975.db2.gz UJUWFHDHBJTEAI-SFHVURJKSA-N 0 0 438.550 -0.812 20 0 IBADRN Cc1ccccc1OCCN(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357021 1073131618 /nfs/dbraw/zinc/13/16/18/1073131618.db2.gz CVKKJGZAGVXISA-UHFFFAOYSA-N 0 0 426.539 -0.474 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(Cl)cc1 ZINC000671357031 1073055842 /nfs/dbraw/zinc/05/58/42/1073055842.db2.gz DDDBTUOUDAVUJE-INIZCTEOSA-N 0 0 446.957 -0.163 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(Cl)cc1 ZINC000671357032 1073055795 /nfs/dbraw/zinc/05/57/95/1073055795.db2.gz DDDBTUOUDAVUJE-MRXNPFEDSA-N 0 0 446.957 -0.163 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(-n2cccn2)c1 ZINC000671357036 1073055815 /nfs/dbraw/zinc/05/58/15/1073055815.db2.gz DDYUSDXCPVRWHG-INIZCTEOSA-N 0 0 448.549 -0.257 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1ccc(F)cc1 ZINC000671357037 1073055894 /nfs/dbraw/zinc/05/58/94/1073055894.db2.gz DKFPVOQDERADML-AWEZNQCLSA-N 0 0 430.502 -0.597 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(-n2cccn2)c1 ZINC000671357038 1073055856 /nfs/dbraw/zinc/05/58/56/1073055856.db2.gz DDYUSDXCPVRWHG-MRXNPFEDSA-N 0 0 448.549 -0.257 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1ccc(F)cc1 ZINC000671357039 1073055785 /nfs/dbraw/zinc/05/57/85/1073055785.db2.gz DKFPVOQDERADML-CQSZACIVSA-N 0 0 430.502 -0.597 20 0 IBADRN C[C@H](O)CN(Cc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357041 1073056190 /nfs/dbraw/zinc/05/61/90/1073056190.db2.gz DKRICRQZIZQHMS-INIZCTEOSA-N 0 0 426.539 -0.911 20 0 IBADRN C[C@@H](O)CN(Cc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357045 1073056185 /nfs/dbraw/zinc/05/61/85/1073056185.db2.gz DKRICRQZIZQHMS-MRXNPFEDSA-N 0 0 426.539 -0.911 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@@H](c2ccccc2)C1 ZINC000671357081 1073055171 /nfs/dbraw/zinc/05/51/71/1073055171.db2.gz CCRIXVAFRMBAML-GOSISDBHSA-N 0 0 437.566 -0.805 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@H](c2ccccc2)C1 ZINC000671357082 1073055084 /nfs/dbraw/zinc/05/50/84/1073055084.db2.gz CCRIXVAFRMBAML-SFHVURJKSA-N 0 0 437.566 -0.805 20 0 IBADRN COc1cccc(C(C)(C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671357083 1073055196 /nfs/dbraw/zinc/05/51/96/1073055196.db2.gz CDDOIRRSDCAGTK-UHFFFAOYSA-N 0 0 440.566 -0.218 20 0 IBADRN COCc1ccc([C@@H]2CCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000671357107 1073055527 /nfs/dbraw/zinc/05/55/27/1073055527.db2.gz VBLMKPUITDFPJK-INIZCTEOSA-N 0 0 442.538 -0.217 20 0 IBADRN COCc1ccc([C@H]2CCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000671357110 1073055572 /nfs/dbraw/zinc/05/55/72/1073055572.db2.gz VBLMKPUITDFPJK-MRXNPFEDSA-N 0 0 442.538 -0.217 20 0 IBADRN Cc1cccc(C)c1OC[C@H](C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357119 1073055439 /nfs/dbraw/zinc/05/54/39/1073055439.db2.gz CSLPHTWGGWLFHX-KRWDZBQOSA-N 0 0 440.566 -0.120 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N(Cc2ccco2)C[C@@H]2CCCO2)CC1 ZINC000671357122 1073055447 /nfs/dbraw/zinc/05/54/47/1073055447.db2.gz VDGDMXANWBTNMT-KRWDZBQOSA-N 0 0 442.538 -0.519 20 0 IBADRN Cc1cccc(C)c1OC[C@@H](C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357125 1073055461 /nfs/dbraw/zinc/05/54/61/1073055461.db2.gz CSLPHTWGGWLFHX-QGZVFWFLSA-N 0 0 440.566 -0.120 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N(Cc2ccco2)C[C@H]2CCCO2)CC1 ZINC000671357126 1073055540 /nfs/dbraw/zinc/05/55/40/1073055540.db2.gz VDGDMXANWBTNMT-QGZVFWFLSA-N 0 0 442.538 -0.519 20 0 IBADRN CN(CCOc1ccc(Cl)cc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357128 1073055513 /nfs/dbraw/zinc/05/55/13/1073055513.db2.gz DAPNOPQUYFHOQZ-UHFFFAOYSA-N 0 0 446.957 -0.129 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCN(c3ccccc3F)CC2)CC1 ZINC000671357129 1073055545 /nfs/dbraw/zinc/05/55/45/1073055545.db2.gz VFWFDXSDIJGQKY-UHFFFAOYSA-N 0 0 441.529 -0.832 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@H](C3CCCCC3)C2)CC1 ZINC000671357131 1073055490 /nfs/dbraw/zinc/05/54/90/1073055490.db2.gz DETCUWMQVOGCHP-KRWDZBQOSA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@@H](C3CCCCC3)C2)CC1 ZINC000671357133 1073055555 /nfs/dbraw/zinc/05/55/55/1073055555.db2.gz DETCUWMQVOGCHP-QGZVFWFLSA-N 0 0 430.571 -0.513 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@H](C)[C@H]1OC ZINC000671357149 1073055494 /nfs/dbraw/zinc/05/54/94/1073055494.db2.gz DKHAHUZSNHHQCA-ARFHVFGLSA-N 0 0 432.587 -0.364 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@@H](C)[C@H]1OC ZINC000671357150 1073055428 /nfs/dbraw/zinc/05/54/28/1073055428.db2.gz DKHAHUZSNHHQCA-BZUAXINKSA-N 0 0 432.587 -0.364 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@@H](C)[C@@H]1OC ZINC000671357152 1073055517 /nfs/dbraw/zinc/05/55/17/1073055517.db2.gz DKHAHUZSNHHQCA-OAGGEKHMSA-N 0 0 432.587 -0.364 20 0 IBADRN CCC1(CC)[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@H](C)[C@@H]1OC ZINC000671357153 1073055529 /nfs/dbraw/zinc/05/55/29/1073055529.db2.gz DKHAHUZSNHHQCA-XHSDSOJGSA-N 0 0 432.587 -0.364 20 0 IBADRN COc1ccc(OCCCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671357186 1073055563 /nfs/dbraw/zinc/05/55/63/1073055563.db2.gz VUPJOZSLTMHLRO-UHFFFAOYSA-N 0 0 442.538 -0.726 20 0 IBADRN CC(C)(C)Oc1cccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000671357190 1073055502 /nfs/dbraw/zinc/05/55/02/1073055502.db2.gz VXXODFLBZVGKIP-UHFFFAOYSA-N 0 0 441.554 -0.432 20 0 IBADRN COc1ccc(OCCN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671357194 1073055059 /nfs/dbraw/zinc/05/50/59/1073055059.db2.gz WAOAIWAWJAZGQT-UHFFFAOYSA-N 0 0 442.538 -0.774 20 0 IBADRN CCOc1cccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671357253 1073055129 /nfs/dbraw/zinc/05/51/29/1073055129.db2.gz FJPLCBPYEIQFHB-UHFFFAOYSA-N 0 0 426.539 -0.563 20 0 IBADRN CCOc1cccc([C@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671357255 1073055044 /nfs/dbraw/zinc/05/50/44/1073055044.db2.gz FKBFGQDPMLYHSJ-HNNXBMFYSA-N 0 0 426.539 -0.044 20 0 IBADRN CCOc1cccc([C@@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671357258 1073055032 /nfs/dbraw/zinc/05/50/32/1073055032.db2.gz FKBFGQDPMLYHSJ-OAHLLOKOSA-N 0 0 426.539 -0.044 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000671357267 1073055111 /nfs/dbraw/zinc/05/51/11/1073055111.db2.gz WTKJTGIHWKLZRG-UHFFFAOYSA-N 0 0 430.502 -0.814 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCSC3(CCCCC3)C2)CC1 ZINC000671357278 1073055071 /nfs/dbraw/zinc/05/50/71/1073055071.db2.gz FRCLNNWFBJVJGM-UHFFFAOYSA-N 0 0 432.612 -0.042 20 0 IBADRN C[C@@H](O)C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000671357319 1073057569 /nfs/dbraw/zinc/05/75/69/1073057569.db2.gz GOBNHNOWLPVICC-AEFFLSMTSA-N 0 0 440.566 -0.649 20 0 IBADRN C[C@H](O)C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000671357324 1073057896 /nfs/dbraw/zinc/05/78/96/1073057896.db2.gz GOBNHNOWLPVICC-FUHWJXTLSA-N 0 0 440.566 -0.649 20 0 IBADRN C[C@@H](O)C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000671357326 1073057963 /nfs/dbraw/zinc/05/79/63/1073057963.db2.gz GOBNHNOWLPVICC-SJLPKXTDSA-N 0 0 440.566 -0.649 20 0 IBADRN C[C@H](O)C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000671357328 1073057933 /nfs/dbraw/zinc/05/79/33/1073057933.db2.gz GOBNHNOWLPVICC-WMZOPIPTSA-N 0 0 440.566 -0.649 20 0 IBADRN CCn1ccnc1[C@@H]1CCCCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357338 1073057872 /nfs/dbraw/zinc/05/78/72/1073057872.db2.gz GPKINXWEAFHVOJ-INIZCTEOSA-N 0 0 440.570 -0.350 20 0 IBADRN CCn1ccnc1[C@H]1CCCCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357339 1073057904 /nfs/dbraw/zinc/05/79/04/1073057904.db2.gz GPKINXWEAFHVOJ-MRXNPFEDSA-N 0 0 440.570 -0.350 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC2CCN(c3ccccc3)CC2)CC1 ZINC000671357340 1073060491 /nfs/dbraw/zinc/06/04/91/1073060491.db2.gz GRUQRHXNNRBOIS-UHFFFAOYSA-N 0 0 437.566 -0.535 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccc(OCC3CC3)nc2)CC1 ZINC000671357350 1073060511 /nfs/dbraw/zinc/06/05/11/1073060511.db2.gz GUCITTMUTJCPLF-UHFFFAOYSA-N 0 0 439.538 -0.820 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCc3c(F)cc(F)cc3C2)CC1 ZINC000671357359 1073060559 /nfs/dbraw/zinc/06/05/59/1073060559.db2.gz HKEPSAIONJNCDE-UHFFFAOYSA-N 0 0 430.477 -0.457 20 0 IBADRN C[C@H]1c2ccc(F)cc2CCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357360 1073060643 /nfs/dbraw/zinc/06/06/43/1073060643.db2.gz HNTLSDVEUSCDSN-AWEZNQCLSA-N 0 0 426.514 -0.035 20 0 IBADRN C[C@@H]1c2ccc(F)cc2CCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357361 1073061064 /nfs/dbraw/zinc/06/10/64/1073061064.db2.gz HNTLSDVEUSCDSN-CQSZACIVSA-N 0 0 426.514 -0.035 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2nc3ccccc3n2C2CC2)CC1 ZINC000671357365 1073060981 /nfs/dbraw/zinc/06/09/81/1073060981.db2.gz HQSNUYMWZJIAAZ-UHFFFAOYSA-N 0 0 448.549 -0.319 20 0 IBADRN CC1(C)CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC2(CCCC2)O1 ZINC000671357369 1073060969 /nfs/dbraw/zinc/06/09/69/1073060969.db2.gz HTLCSBSWGGAEQX-UHFFFAOYSA-N 0 0 430.571 -0.370 20 0 IBADRN CN(CCOc1cccc(Cl)c1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357374 1073060990 /nfs/dbraw/zinc/06/09/90/1073060990.db2.gz HXKXFCMIGRUKRC-UHFFFAOYSA-N 0 0 446.957 -0.129 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCSC[C@H]2c2ccccc2)CC1 ZINC000671357378 1073061109 /nfs/dbraw/zinc/06/11/09/1073061109.db2.gz IBUHEUXGAUNIMA-KRWDZBQOSA-N 0 0 440.591 -0.004 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCSC[C@@H]2c2ccccc2)CC1 ZINC000671357379 1073061010 /nfs/dbraw/zinc/06/10/10/1073061010.db2.gz IBUHEUXGAUNIMA-QGZVFWFLSA-N 0 0 440.591 -0.004 20 0 IBADRN CCOc1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1OC ZINC000671357394 1073060937 /nfs/dbraw/zinc/06/09/37/1073060937.db2.gz IQEYCHCXHBRCTH-UHFFFAOYSA-N 0 0 442.538 -0.597 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H](Cn2cccn2)c2ccccc2)CC1 ZINC000671357395 1073061072 /nfs/dbraw/zinc/06/10/72/1073061072.db2.gz ISRFRRFFOSJECB-GOSISDBHSA-N 0 0 448.549 -0.566 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H](Cn2cccn2)c2ccccc2)CC1 ZINC000671357396 1073061019 /nfs/dbraw/zinc/06/10/19/1073061019.db2.gz ISRFRRFFOSJECB-SFHVURJKSA-N 0 0 448.549 -0.566 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCC2(Cc3ccc(F)cc3)CC2)CC1 ZINC000671357410 1073061040 /nfs/dbraw/zinc/06/10/40/1073061040.db2.gz JPGCYNHSMHZHAC-UHFFFAOYSA-N 0 0 440.541 -0.042 20 0 IBADRN COc1c(C)cc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C ZINC000671357417 1073060932 /nfs/dbraw/zinc/06/09/32/1073060932.db2.gz YTSLWKVSPUXLGC-UHFFFAOYSA-N 0 0 440.566 -0.036 20 0 IBADRN CSc1ccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671357447 1073058843 /nfs/dbraw/zinc/05/88/43/1073058843.db2.gz ZSJVGCHDBYCHNM-UHFFFAOYSA-N 0 0 428.580 -0.239 20 0 IBADRN Cc1nc([C@H]2CCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cs1 ZINC000671357468 1073058745 /nfs/dbraw/zinc/05/87/45/1073058745.db2.gz KCNMHEVBHHMWFD-OAHLLOKOSA-N 0 0 429.568 -0.192 20 0 IBADRN C[C@@H](Cc1ccc(O)cc1)N(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357479 1073058832 /nfs/dbraw/zinc/05/88/32/1073058832.db2.gz KIGWEXZNAUWUMS-HNNXBMFYSA-N 0 0 426.539 -0.525 20 0 IBADRN C[C@H](Cc1ccc(O)cc1)N(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357480 1073131699 /nfs/dbraw/zinc/13/16/99/1073131699.db2.gz KIGWEXZNAUWUMS-OAHLLOKOSA-N 0 0 426.539 -0.525 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CC[C@H](Oc3cccc(F)c3)C2)CC1 ZINC000671357481 1073131538 /nfs/dbraw/zinc/13/15/38/1073131538.db2.gz KILNLHHAVCTYGM-KRWDZBQOSA-N 0 0 442.513 -0.501 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CC[C@@H](Oc3cccc(F)c3)C2)CC1 ZINC000671357482 1073131677 /nfs/dbraw/zinc/13/16/77/1073131677.db2.gz KILNLHHAVCTYGM-QGZVFWFLSA-N 0 0 442.513 -0.501 20 0 IBADRN CC[C@@H](CO)N(Cc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357511 1073060063 /nfs/dbraw/zinc/06/00/63/1073060063.db2.gz LJQVLKSNPKHKPQ-SFHVURJKSA-N 0 0 440.566 -0.521 20 0 IBADRN Cc1nc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)nc2ccccc12 ZINC000671357514 1073060151 /nfs/dbraw/zinc/06/01/51/1073060151.db2.gz LMIUHEBAGNWAEI-UHFFFAOYSA-N 0 0 434.522 -0.752 20 0 IBADRN COc1ccc([C@@H](C)CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671357525 1073060480 /nfs/dbraw/zinc/06/04/80/1073060480.db2.gz LRXNSLVFNALDKQ-INIZCTEOSA-N 0 0 440.566 -0.002 20 0 IBADRN COc1ccc([C@H](C)CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671357526 1073060579 /nfs/dbraw/zinc/06/05/79/1073060579.db2.gz LRXNSLVFNALDKQ-MRXNPFEDSA-N 0 0 440.566 -0.002 20 0 IBADRN Cc1ccn(-c2ccccc2CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000671357551 1073060484 /nfs/dbraw/zinc/06/04/84/1073060484.db2.gz MVMNRPRJBZDBHD-UHFFFAOYSA-N 0 0 448.549 -0.510 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H]2CCOC3(CCSCC3)C2)CC1 ZINC000671357559 1073060533 /nfs/dbraw/zinc/06/05/33/1073060533.db2.gz NFGFNSGARZRNHL-HNNXBMFYSA-N 0 0 448.611 -0.759 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2CCOC3(CCSCC3)C2)CC1 ZINC000671357560 1073060626 /nfs/dbraw/zinc/06/06/26/1073060626.db2.gz NFGFNSGARZRNHL-OAHLLOKOSA-N 0 0 448.611 -0.759 20 0 IBADRN CC(C)(C)OC1CC(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000671357561 1073060618 /nfs/dbraw/zinc/06/06/18/1073060618.db2.gz NJKCJBYNDWYKSF-UHFFFAOYSA-N 0 0 432.587 -0.220 20 0 IBADRN CCOc1cc(C)ccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357567 1073060473 /nfs/dbraw/zinc/06/04/73/1073060473.db2.gz NXJCXFPRTWUWKL-UHFFFAOYSA-N 0 0 426.539 -0.297 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCOC3(C2)CCCCCC3)CC1 ZINC000671357579 1073060588 /nfs/dbraw/zinc/06/05/88/1073060588.db2.gz OXOPDRSXVHCGEU-UHFFFAOYSA-N 0 0 430.571 -0.368 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@H](c3cccc(F)c3)C2)CC1 ZINC000671357596 1073060568 /nfs/dbraw/zinc/06/05/68/1073060568.db2.gz PUZSDEGKBBIERP-KRWDZBQOSA-N 0 0 442.513 -0.581 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@@H](c3cccc(F)c3)C2)CC1 ZINC000671357597 1073060496 /nfs/dbraw/zinc/06/04/96/1073060496.db2.gz PUZSDEGKBBIERP-QGZVFWFLSA-N 0 0 442.513 -0.581 20 0 IBADRN Cc1ccc(C2CCN(C(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)cn1 ZINC000671357615 1073060597 /nfs/dbraw/zinc/06/05/97/1073060597.db2.gz RCYAYTDYOWGDBS-UHFFFAOYSA-N 0 0 437.566 -0.211 20 0 IBADRN Cc1nc2c(s1)[C@@H](N(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CCC2 ZINC000671357619 1073060518 /nfs/dbraw/zinc/06/05/18/1073060518.db2.gz RHKCJPJGEKRPJR-HNNXBMFYSA-N 0 0 443.595 -0.019 20 0 IBADRN Cc1nc2c(s1)[C@H](N(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CCC2 ZINC000671357620 1073060639 /nfs/dbraw/zinc/06/06/39/1073060639.db2.gz RHKCJPJGEKRPJR-OAHLLOKOSA-N 0 0 443.595 -0.019 20 0 IBADRN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357633 1073057115 /nfs/dbraw/zinc/05/71/15/1073057115.db2.gz RYXBNYVIOOYJAW-CHWSQXEVSA-N 0 0 428.477 -0.131 20 0 IBADRN C[C@@H]1CC[C@H](C(F)(F)F)CN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357634 1073057094 /nfs/dbraw/zinc/05/70/94/1073057094.db2.gz RYXBNYVIOOYJAW-OLZOCXBDSA-N 0 0 428.477 -0.131 20 0 IBADRN C[C@H]1CC[C@@H](C(F)(F)F)CN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357635 1073057016 /nfs/dbraw/zinc/05/70/16/1073057016.db2.gz RYXBNYVIOOYJAW-QWHCGFSZSA-N 0 0 428.477 -0.131 20 0 IBADRN C[C@H]1CC[C@H](C(F)(F)F)CN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357636 1073131715 /nfs/dbraw/zinc/13/17/15/1073131715.db2.gz RYXBNYVIOOYJAW-STQMWFEESA-N 0 0 428.477 -0.131 20 0 IBADRN CN(C)c1cccc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671357653 1073057982 /nfs/dbraw/zinc/05/79/82/1073057982.db2.gz SVXDEFWRSQDJNU-UHFFFAOYSA-N 0 0 425.555 -0.596 20 0 IBADRN Cc1ccccc1O[C@@H](C)CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357657 1073131597 /nfs/dbraw/zinc/13/15/97/1073131597.db2.gz TYWWDXJLQLIJFU-INIZCTEOSA-N 0 0 426.539 -0.428 20 0 IBADRN Cc1ccccc1O[C@H](C)CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357658 1073057849 /nfs/dbraw/zinc/05/78/49/1073057849.db2.gz TYWWDXJLQLIJFU-MRXNPFEDSA-N 0 0 426.539 -0.428 20 0 IBADRN C[C@@]1(c2ccccc2)CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000671357663 1073059750 /nfs/dbraw/zinc/05/97/50/1073059750.db2.gz UHZSOTABZQBAFE-FQEVSTJZSA-N 0 0 438.550 -0.546 20 0 IBADRN C[C@]1(c2ccccc2)CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000671357664 1073059610 /nfs/dbraw/zinc/05/96/10/1073059610.db2.gz UHZSOTABZQBAFE-HXUWFJFHSA-N 0 0 438.550 -0.546 20 0 IBADRN CC(C)c1ncc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000671357680 1073059658 /nfs/dbraw/zinc/05/96/58/1073059658.db2.gz VIZWENSELXWKFU-UHFFFAOYSA-N 0 0 426.543 -0.748 20 0 IBADRN Cc1cnc([C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C2CC2)s1 ZINC000671357687 1073131514 /nfs/dbraw/zinc/13/15/14/1073131514.db2.gz VQIUEJDJNGXRDH-AWEZNQCLSA-N 0 0 429.568 -0.288 20 0 IBADRN Cc1cnc([C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C2CC2)s1 ZINC000671357688 1073059578 /nfs/dbraw/zinc/05/95/78/1073059578.db2.gz VQIUEJDJNGXRDH-CQSZACIVSA-N 0 0 429.568 -0.288 20 0 IBADRN COCC[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(C)o1 ZINC000671357689 1073059675 /nfs/dbraw/zinc/05/96/75/1073059675.db2.gz VQLSFIAXYFBSOV-HNNXBMFYSA-N 0 0 430.527 -0.525 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(C)o1 ZINC000671357690 1073059635 /nfs/dbraw/zinc/05/96/35/1073059635.db2.gz VQLSFIAXYFBSOV-OAHLLOKOSA-N 0 0 430.527 -0.525 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000671357691 1073131670 /nfs/dbraw/zinc/13/16/70/1073131670.db2.gz VTFFYKSKBNSSAG-BDXSIMOUSA-N 0 0 444.598 -0.532 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000671357692 1073132195 /nfs/dbraw/zinc/13/21/95/1073132195.db2.gz VTFFYKSKBNSSAG-OWSLCNJRSA-N 0 0 444.598 -0.532 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000671357693 1073059707 /nfs/dbraw/zinc/05/97/07/1073059707.db2.gz VTFFYKSKBNSSAG-TVFCKZIOSA-N 0 0 444.598 -0.532 20 0 IBADRN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000671357694 1073059682 /nfs/dbraw/zinc/05/96/82/1073059682.db2.gz VTFFYKSKBNSSAG-XDNAFOTISA-N 0 0 444.598 -0.532 20 0 IBADRN Cc1csc([C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C2CC2)n1 ZINC000671357701 1073060082 /nfs/dbraw/zinc/06/00/82/1073060082.db2.gz VXUGKBVIFKGIGJ-AWEZNQCLSA-N 0 0 429.568 -0.288 20 0 IBADRN Cc1csc([C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C2CC2)n1 ZINC000671357702 1073060177 /nfs/dbraw/zinc/06/01/77/1073060177.db2.gz VXUGKBVIFKGIGJ-CQSZACIVSA-N 0 0 429.568 -0.288 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccnc1 ZINC000671357711 1073131551 /nfs/dbraw/zinc/13/15/51/1073131551.db2.gz WIYUENIMEDLPKV-KRWDZBQOSA-N 0 0 425.555 -0.369 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccnc1 ZINC000671357712 1073060091 /nfs/dbraw/zinc/06/00/91/1073060091.db2.gz WIYUENIMEDLPKV-QGZVFWFLSA-N 0 0 425.555 -0.369 20 0 IBADRN COc1ccccc1OCCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357713 1073060108 /nfs/dbraw/zinc/06/01/08/1073060108.db2.gz WJCNBQONNCGCFE-UHFFFAOYSA-N 0 0 442.538 -0.726 20 0 IBADRN Cc1ccc(OC(F)F)c(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000671357721 1073060202 /nfs/dbraw/zinc/06/02/02/1073060202.db2.gz WOFTYOZHDIQZIH-UHFFFAOYSA-N 0 0 448.492 -0.094 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCCc2c[nH]c3ccccc23)CC1 ZINC000671357722 1073060185 /nfs/dbraw/zinc/06/01/85/1073060185.db2.gz WQBONUOSFOTHIJ-UHFFFAOYSA-N 0 0 435.550 -0.090 20 0 IBADRN COc1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c2ccccc2n1 ZINC000671357728 1073060159 /nfs/dbraw/zinc/06/01/59/1073060159.db2.gz WTIGGBRMDRHQCZ-UHFFFAOYSA-N 0 0 449.533 -0.447 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@H](c3ccccc3F)C2)CC1 ZINC000671357729 1073060219 /nfs/dbraw/zinc/06/02/19/1073060219.db2.gz WTIZGZRPWXNCRX-KRWDZBQOSA-N 0 0 442.513 -0.581 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@@H](c3ccccc3F)C2)CC1 ZINC000671357730 1073060166 /nfs/dbraw/zinc/06/01/66/1073060166.db2.gz WTIZGZRPWXNCRX-QGZVFWFLSA-N 0 0 442.513 -0.581 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1ccc(Cl)cc1 ZINC000671357731 1073060077 /nfs/dbraw/zinc/06/00/77/1073060077.db2.gz WTVDWTBPUHSKTF-AWEZNQCLSA-N 0 0 446.957 -0.083 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Oc1ccc(Cl)cc1 ZINC000671357732 1073060136 /nfs/dbraw/zinc/06/01/36/1073060136.db2.gz WTVDWTBPUHSKTF-CQSZACIVSA-N 0 0 446.957 -0.083 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H](c2ccccc2)[C@@H]2CCOC2)CC1 ZINC000671357734 1073060194 /nfs/dbraw/zinc/06/01/94/1073060194.db2.gz WXUUBQLZKCEQBX-MSOLQXFVSA-N 0 0 438.550 -0.426 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H](c2ccccc2)[C@@H]2CCOC2)CC1 ZINC000671357735 1073060069 /nfs/dbraw/zinc/06/00/69/1073060069.db2.gz WXUUBQLZKCEQBX-QZTJIDSGSA-N 0 0 438.550 -0.426 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H](c2ccccc2)[C@H]2CCOC2)CC1 ZINC000671357736 1073060142 /nfs/dbraw/zinc/06/01/42/1073060142.db2.gz WXUUBQLZKCEQBX-ROUUACIJSA-N 0 0 438.550 -0.426 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H](c2ccccc2)[C@H]2CCOC2)CC1 ZINC000671357737 1073060096 /nfs/dbraw/zinc/06/00/96/1073060096.db2.gz WXUUBQLZKCEQBX-ZWKOTPCHSA-N 0 0 438.550 -0.426 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCSC[C@@H]2c2nccs2)CC1 ZINC000671357742 1073060119 /nfs/dbraw/zinc/06/01/19/1073060119.db2.gz XAKCZCZOQKMEON-CYBMUJFWSA-N 0 0 447.608 -0.547 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCSC[C@H]2c2nccs2)CC1 ZINC000671357743 1073060128 /nfs/dbraw/zinc/06/01/28/1073060128.db2.gz XAKCZCZOQKMEON-ZDUSSCGKSA-N 0 0 447.608 -0.547 20 0 IBADRN COc1ccccc1O[C@@H](C)CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357772 1073132433 /nfs/dbraw/zinc/13/24/33/1073132433.db2.gz YHZICRTVKNYZSG-HNNXBMFYSA-N 0 0 442.538 -0.728 20 0 IBADRN COc1ccccc1O[C@H](C)CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357774 1073060211 /nfs/dbraw/zinc/06/02/11/1073060211.db2.gz YHZICRTVKNYZSG-OAHLLOKOSA-N 0 0 442.538 -0.728 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357776 1073133021 /nfs/dbraw/zinc/13/30/21/1073133021.db2.gz YKNAKMCJVDSMMG-KRWDZBQOSA-N 0 0 426.539 -0.946 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357780 1073133126 /nfs/dbraw/zinc/13/31/26/1073133126.db2.gz YKNAKMCJVDSMMG-QGZVFWFLSA-N 0 0 426.539 -0.946 20 0 IBADRN C[C@H]1c2c(F)cccc2CCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357789 1073058270 /nfs/dbraw/zinc/05/82/70/1073058270.db2.gz ZEVGCYJSUNZXTK-AWEZNQCLSA-N 0 0 426.514 -0.035 20 0 IBADRN C[C@@H]1c2c(F)cccc2CCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357790 1073058258 /nfs/dbraw/zinc/05/82/58/1073058258.db2.gz ZEVGCYJSUNZXTK-CQSZACIVSA-N 0 0 426.514 -0.035 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(F)c(F)c1 ZINC000671357797 1073058802 /nfs/dbraw/zinc/05/88/02/1073058802.db2.gz ZIIRVTUMXNBECB-INIZCTEOSA-N 0 0 448.492 -0.538 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(F)c(F)c1 ZINC000671357799 1073132929 /nfs/dbraw/zinc/13/29/29/1073132929.db2.gz ZIIRVTUMXNBECB-MRXNPFEDSA-N 0 0 448.492 -0.538 20 0 IBADRN CC(C)(C)[C@H]1OCCC[C@@H]1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357853 1073058816 /nfs/dbraw/zinc/05/88/16/1073058816.db2.gz DWFCWZHSCZLRBO-CVEARBPZSA-N 0 0 432.587 -0.363 20 0 IBADRN CC(C)(C)[C@H]1OCCC[C@H]1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357855 1073058765 /nfs/dbraw/zinc/05/87/65/1073058765.db2.gz DWFCWZHSCZLRBO-HOTGVXAUSA-N 0 0 432.587 -0.363 20 0 IBADRN CC(C)(C)[C@@H]1OCCC[C@@H]1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357856 1073058743 /nfs/dbraw/zinc/05/87/43/1073058743.db2.gz DWFCWZHSCZLRBO-HZPDHXFCSA-N 0 0 432.587 -0.363 20 0 IBADRN CC(C)(C)[C@@H]1OCCC[C@H]1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357859 1073058778 /nfs/dbraw/zinc/05/87/78/1073058778.db2.gz DWFCWZHSCZLRBO-JKSUJKDBSA-N 0 0 432.587 -0.363 20 0 IBADRN CN(Cc1cnn(-c2ccccc2)c1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671357879 1073057083 /nfs/dbraw/zinc/05/70/83/1073057083.db2.gz FKBSHXIZWPAHCY-UHFFFAOYSA-N 0 0 448.549 -0.476 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H]2CCCN(c3ccccc3)C2)CC1 ZINC000671357961 1073058331 /nfs/dbraw/zinc/05/83/31/1073058331.db2.gz HDOXWTNWRZNNPY-KRWDZBQOSA-N 0 0 437.566 -0.535 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3)C2)CC1 ZINC000671357963 1073058292 /nfs/dbraw/zinc/05/82/92/1073058292.db2.gz HDOXWTNWRZNNPY-QGZVFWFLSA-N 0 0 437.566 -0.535 20 0 IBADRN CC(C)COC[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccco1 ZINC000671357985 1073058376 /nfs/dbraw/zinc/05/83/76/1073058376.db2.gz HMBLXSQZGFNDLY-INIZCTEOSA-N 0 0 444.554 -0.197 20 0 IBADRN CC(C)COC[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccco1 ZINC000671357989 1073134289 /nfs/dbraw/zinc/13/42/89/1073134289.db2.gz HMBLXSQZGFNDLY-MRXNPFEDSA-N 0 0 444.554 -0.197 20 0 IBADRN COCCCN(Cc1ccncc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358015 1073058250 /nfs/dbraw/zinc/05/82/50/1073058250.db2.gz HQTJOJSAEYLRJG-UHFFFAOYSA-N 0 0 441.554 -0.860 20 0 IBADRN COc1ccc([C@H](C)N(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671358025 1073058282 /nfs/dbraw/zinc/05/82/82/1073058282.db2.gz HRULALFITDWJSC-HNNXBMFYSA-N 0 0 426.539 -0.092 20 0 IBADRN COc1ccc([C@@H](C)N(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671358027 1073058312 /nfs/dbraw/zinc/05/83/12/1073058312.db2.gz HRULALFITDWJSC-OAHLLOKOSA-N 0 0 426.539 -0.092 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(-n2ccnn2)cc1 ZINC000671358042 1073058237 /nfs/dbraw/zinc/05/82/37/1073058237.db2.gz IAZSMEGRTKUWOK-HNNXBMFYSA-N 0 0 449.537 -0.862 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(-n2ccnn2)cc1 ZINC000671358043 1073058453 /nfs/dbraw/zinc/05/84/53/1073058453.db2.gz IAZSMEGRTKUWOK-OAHLLOKOSA-N 0 0 449.537 -0.862 20 0 IBADRN CCC(CC)[C@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000671358098 1073057947 /nfs/dbraw/zinc/05/79/47/1073057947.db2.gz IPSNJWQPGXMKAB-DLBZAZTESA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000671358099 1073133498 /nfs/dbraw/zinc/13/34/98/1073133498.db2.gz IPSNJWQPGXMKAB-IAGOWNOFSA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000671358100 1073133482 /nfs/dbraw/zinc/13/34/82/1073133482.db2.gz IPSNJWQPGXMKAB-IRXDYDNUSA-N 0 0 432.587 -0.220 20 0 IBADRN CCC(CC)[C@@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000671358101 1073133579 /nfs/dbraw/zinc/13/35/79/1073133579.db2.gz IPSNJWQPGXMKAB-SJORKVTESA-N 0 0 432.587 -0.220 20 0 IBADRN CC(C)n1ncc2c1C[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CC2 ZINC000671358105 1073057911 /nfs/dbraw/zinc/05/79/11/1073057911.db2.gz ISDMDPNJJZIBEL-INIZCTEOSA-N 0 0 440.570 -0.869 20 0 IBADRN CC(C)n1ncc2c1C[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CC2 ZINC000671358106 1073057938 /nfs/dbraw/zinc/05/79/38/1073057938.db2.gz ISDMDPNJJZIBEL-MRXNPFEDSA-N 0 0 440.570 -0.869 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCc3cc(Cl)ccc3C2)CC1 ZINC000671358114 1073057922 /nfs/dbraw/zinc/05/79/22/1073057922.db2.gz IZVDOOSZGSXRSU-UHFFFAOYSA-N 0 0 428.942 -0.082 20 0 IBADRN Cc1ccc(OCCCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671358118 1073057991 /nfs/dbraw/zinc/05/79/91/1073057991.db2.gz JEPFRBAVCCCXHS-UHFFFAOYSA-N 0 0 426.539 -0.426 20 0 IBADRN C[C@H]1Cc2ccccc2N1CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358122 1073057880 /nfs/dbraw/zinc/05/78/80/1073057880.db2.gz JIRCKFWQNSGNFT-INIZCTEOSA-N 0 0 437.566 -0.753 20 0 IBADRN C[C@@H]1Cc2ccccc2N1CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358123 1073057916 /nfs/dbraw/zinc/05/79/16/1073057916.db2.gz JIRCKFWQNSGNFT-MRXNPFEDSA-N 0 0 437.566 -0.753 20 0 IBADRN COc1ccc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000671358124 1073057955 /nfs/dbraw/zinc/05/79/55/1073057955.db2.gz JJAWEGBLLGFHQM-UHFFFAOYSA-N 0 0 442.538 -0.644 20 0 IBADRN CSc1ccc(F)cc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358130 1073057889 /nfs/dbraw/zinc/05/78/89/1073057889.db2.gz JKYWZWYSFUUNLQ-UHFFFAOYSA-N 0 0 432.543 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCc3[nH]c4ccccc4c3C2)CC1 ZINC000671358131 1073133600 /nfs/dbraw/zinc/13/36/00/1073133600.db2.gz JKZWPNRGCFEHAI-UHFFFAOYSA-N 0 0 433.534 -0.254 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2csc(-c3ccco3)n2)CC1 ZINC000671358148 1073057884 /nfs/dbraw/zinc/05/78/84/1073057884.db2.gz KBJZLXNEWJAWSG-UHFFFAOYSA-N 0 0 441.535 -0.287 20 0 IBADRN Cc1ccccc1OCCCN(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358149 1073057975 /nfs/dbraw/zinc/05/79/75/1073057975.db2.gz KDBPJJZZBSUNJT-UHFFFAOYSA-N 0 0 440.566 -0.084 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Sc1ccccc1 ZINC000671358153 1073058302 /nfs/dbraw/zinc/05/83/02/1073058302.db2.gz KFZDUQWPXBOZOA-HNNXBMFYSA-N 0 0 428.580 -0.023 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)Sc1ccccc1 ZINC000671358154 1073058347 /nfs/dbraw/zinc/05/83/47/1073058347.db2.gz KFZDUQWPXBOZOA-OAHLLOKOSA-N 0 0 428.580 -0.023 20 0 IBADRN COc1cc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1C ZINC000671358155 1073134238 /nfs/dbraw/zinc/13/42/38/1073134238.db2.gz KHPNPXPMQBFVQF-UHFFFAOYSA-N 0 0 426.539 -0.644 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@@H](c2ccccc2)O1 ZINC000671358178 1073058208 /nfs/dbraw/zinc/05/82/08/1073058208.db2.gz KSKSRLFSSJXRMI-AEFFLSMTSA-N 0 0 438.550 -0.332 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@H](c2ccccc2)O1 ZINC000671358179 1073058323 /nfs/dbraw/zinc/05/83/23/1073058323.db2.gz KSKSRLFSSJXRMI-FUHWJXTLSA-N 0 0 438.550 -0.332 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@H](c2ccccc2)O1 ZINC000671358180 1073058230 /nfs/dbraw/zinc/05/82/30/1073058230.db2.gz KSKSRLFSSJXRMI-SJLPKXTDSA-N 0 0 438.550 -0.332 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@@H](c2ccccc2)O1 ZINC000671358181 1073058386 /nfs/dbraw/zinc/05/83/86/1073058386.db2.gz KSKSRLFSSJXRMI-WMZOPIPTSA-N 0 0 438.550 -0.332 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCc2ccc(F)c(F)c2F)CC1 ZINC000671358278 1073057928 /nfs/dbraw/zinc/05/79/28/1073057928.db2.gz LRTGCVBSRRGZEH-UHFFFAOYSA-N 0 0 436.456 -0.544 20 0 IBADRN COCCN(Cc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358308 1073134812 /nfs/dbraw/zinc/13/48/12/1073134812.db2.gz MJODXYUJIJTXNG-UHFFFAOYSA-N 0 0 426.539 -0.645 20 0 IBADRN CCOc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000671358315 1073058769 /nfs/dbraw/zinc/05/87/69/1073058769.db2.gz MKYHPACJSOSEGJ-UHFFFAOYSA-N 0 0 430.502 -0.466 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@@H](Nc3ccccc3)C2)CC1 ZINC000671358334 1073134755 /nfs/dbraw/zinc/13/47/55/1073134755.db2.gz MXRIMZANWIEEME-GOSISDBHSA-N 0 0 437.566 -0.217 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@H](Nc3ccccc3)C2)CC1 ZINC000671358335 1073134739 /nfs/dbraw/zinc/13/47/39/1073134739.db2.gz MXRIMZANWIEEME-SFHVURJKSA-N 0 0 437.566 -0.217 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H](CCCO)c2ccccc2)CC1 ZINC000671358343 1073058750 /nfs/dbraw/zinc/05/87/50/1073058750.db2.gz NCSISCYNWDKXDN-KRWDZBQOSA-N 0 0 426.539 -0.690 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H](CCCO)c2ccccc2)CC1 ZINC000671358344 1073058782 /nfs/dbraw/zinc/05/87/82/1073058782.db2.gz NCSISCYNWDKXDN-QGZVFWFLSA-N 0 0 426.539 -0.690 20 0 IBADRN Cc1nn(-c2ccccc2)cc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358365 1073061945 /nfs/dbraw/zinc/06/19/45/1073061945.db2.gz NJBSGFGRLZIPBR-UHFFFAOYSA-N 0 0 448.549 -0.510 20 0 IBADRN COc1ccc([C@H]2CCN(C(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)cc1 ZINC000671358427 1073062013 /nfs/dbraw/zinc/06/20/13/1073062013.db2.gz OVQJBAPWMXVAPZ-KRWDZBQOSA-N 0 0 438.550 -0.296 20 0 IBADRN COc1ccc([C@@H]2CCN(C(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)cc1 ZINC000671358428 1073061961 /nfs/dbraw/zinc/06/19/61/1073061961.db2.gz OVQJBAPWMXVAPZ-QGZVFWFLSA-N 0 0 438.550 -0.296 20 0 IBADRN COc1c(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)oc2ccccc21 ZINC000671358432 1073061972 /nfs/dbraw/zinc/06/19/72/1073061972.db2.gz PAHXWYZKMGXUBV-UHFFFAOYSA-N 0 0 438.506 -0.249 20 0 IBADRN CCCN(CCc1ccccn1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358440 1073134318 /nfs/dbraw/zinc/13/43/18/1073134318.db2.gz PHVINMIBALVNJV-UHFFFAOYSA-N 0 0 425.555 -0.444 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CC[C@@]3(C2)OCc2ccccc23)CC1 ZINC000671358441 1073061999 /nfs/dbraw/zinc/06/19/99/1073061999.db2.gz PJUPJAOLXBWHNN-FQEVSTJZSA-N 0 0 436.534 -0.662 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CC[C@]3(C2)OCc2ccccc23)CC1 ZINC000671358442 1073062022 /nfs/dbraw/zinc/06/20/22/1073062022.db2.gz PJUPJAOLXBWHNN-HXUWFJFHSA-N 0 0 436.534 -0.662 20 0 IBADRN CCCOc1ncccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358443 1073061923 /nfs/dbraw/zinc/06/19/23/1073061923.db2.gz PKAZEIGAVMPJCR-UHFFFAOYSA-N 0 0 427.527 -0.820 20 0 IBADRN CN(Cc1nc2ccccc2s1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358449 1073061966 /nfs/dbraw/zinc/06/19/66/1073061966.db2.gz PVZQTZOCLLPEJQ-UHFFFAOYSA-N 0 0 439.563 -0.052 20 0 IBADRN CC[C@H](C)Oc1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccn1 ZINC000671358479 1073061930 /nfs/dbraw/zinc/06/19/30/1073061930.db2.gz QJNYCFLACVOBFE-HNNXBMFYSA-N 0 0 441.554 -0.432 20 0 IBADRN CC[C@@H](C)Oc1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccn1 ZINC000671358480 1073134159 /nfs/dbraw/zinc/13/41/59/1073134159.db2.gz QJNYCFLACVOBFE-OAHLLOKOSA-N 0 0 441.554 -0.432 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCCN(c3ccccc3)CC2)CC1 ZINC000671358513 1073061555 /nfs/dbraw/zinc/06/15/55/1073061555.db2.gz QSTLENSTOBGOHT-UHFFFAOYSA-N 0 0 437.566 -0.581 20 0 IBADRN CCCCn1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)n1 ZINC000671358525 1073134849 /nfs/dbraw/zinc/13/48/49/1073134849.db2.gz QVMNAOCZENTJNR-UHFFFAOYSA-N 0 0 428.559 -0.699 20 0 IBADRN C[C@H](COc1ccc(F)cc1F)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358532 1073061583 /nfs/dbraw/zinc/06/15/83/1073061583.db2.gz QWURYQURLUPDFM-CYBMUJFWSA-N 0 0 448.492 -0.458 20 0 IBADRN C[C@@H](COc1ccc(F)cc1F)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358533 1073061483 /nfs/dbraw/zinc/06/14/83/1073061483.db2.gz QWURYQURLUPDFM-ZDUSSCGKSA-N 0 0 448.492 -0.458 20 0 IBADRN Cc1ccc(-c2nc(CNC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)co2)cc1 ZINC000671358547 1073061985 /nfs/dbraw/zinc/06/19/85/1073061985.db2.gz RCCBOGCMUVPRFG-UHFFFAOYSA-N 0 0 449.533 -0.040 20 0 IBADRN CN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358549 1073061936 /nfs/dbraw/zinc/06/19/36/1073061936.db2.gz RDPWMLMBONLHGO-UHFFFAOYSA-N 0 0 426.495 -0.933 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(Cl)c1 ZINC000671358589 1073062028 /nfs/dbraw/zinc/06/20/28/1073062028.db2.gz RGUNQWUUMJCRSC-UHFFFAOYSA-N 0 0 446.957 -0.299 20 0 IBADRN Cc1ccc([C@@H]2COCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000671358617 1073061978 /nfs/dbraw/zinc/06/19/78/1073061978.db2.gz RRJZEUDYJLWFSR-HNNXBMFYSA-N 0 0 428.511 -0.819 20 0 IBADRN Cc1ccc([C@H]2COCCN2C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000671358618 1073061956 /nfs/dbraw/zinc/06/19/56/1073061956.db2.gz RRJZEUDYJLWFSR-OAHLLOKOSA-N 0 0 428.511 -0.819 20 0 IBADRN CO[C@H](C)c1nc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cs1 ZINC000671358619 1073062036 /nfs/dbraw/zinc/06/20/36/1073062036.db2.gz RVXZTANWVRHBRX-GFCCVEGCSA-N 0 0 433.556 -0.840 20 0 IBADRN CO[C@@H](C)c1nc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cs1 ZINC000671358620 1073134826 /nfs/dbraw/zinc/13/48/26/1073134826.db2.gz RVXZTANWVRHBRX-LBPRGKRZSA-N 0 0 433.556 -0.840 20 0 IBADRN CCCOc1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000671358633 1073061951 /nfs/dbraw/zinc/06/19/51/1073061951.db2.gz SIMZEGBLCRZFPR-UHFFFAOYSA-N 0 0 427.527 -0.820 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C12CCCCC2 ZINC000671358642 1073135479 /nfs/dbraw/zinc/13/54/79/1073135479.db2.gz SYBPWVHIDYHGOP-DLBZAZTESA-N 0 0 444.598 -0.076 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C12CCCCC2 ZINC000671358643 1073061941 /nfs/dbraw/zinc/06/19/41/1073061941.db2.gz SYBPWVHIDYHGOP-IAGOWNOFSA-N 0 0 444.598 -0.076 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C12CCCCC2 ZINC000671358644 1073061989 /nfs/dbraw/zinc/06/19/89/1073061989.db2.gz SYBPWVHIDYHGOP-IRXDYDNUSA-N 0 0 444.598 -0.076 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C12CCCCC2 ZINC000671358645 1073135432 /nfs/dbraw/zinc/13/54/32/1073135432.db2.gz SYBPWVHIDYHGOP-SJORKVTESA-N 0 0 444.598 -0.076 20 0 IBADRN CCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358646 1073062043 /nfs/dbraw/zinc/06/20/43/1073062043.db2.gz SYNNOLCOSQXILT-UHFFFAOYSA-N 0 0 440.522 -0.543 20 0 IBADRN COc1ccc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C ZINC000671358654 1073061545 /nfs/dbraw/zinc/06/15/45/1073061545.db2.gz TXBDJGBFSAKNPG-UHFFFAOYSA-N 0 0 426.539 -0.345 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)CC1 ZINC000671358657 1073061500 /nfs/dbraw/zinc/06/15/00/1073061500.db2.gz TZPUQGZOYJLLLZ-ARFHVFGLSA-N 0 0 428.555 -0.856 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)CC1 ZINC000671358658 1073061494 /nfs/dbraw/zinc/06/14/94/1073061494.db2.gz TZPUQGZOYJLLLZ-BZUAXINKSA-N 0 0 428.555 -0.856 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)CC1 ZINC000671358659 1073061488 /nfs/dbraw/zinc/06/14/88/1073061488.db2.gz TZPUQGZOYJLLLZ-OAGGEKHMSA-N 0 0 428.555 -0.856 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)CC1 ZINC000671358660 1073061561 /nfs/dbraw/zinc/06/15/61/1073061561.db2.gz TZPUQGZOYJLLLZ-XHSDSOJGSA-N 0 0 428.555 -0.856 20 0 IBADRN CC(C)(C)c1csc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000671358677 1073061513 /nfs/dbraw/zinc/06/15/13/1073061513.db2.gz UKJWKDIDNBMGMX-UHFFFAOYSA-N 0 0 431.584 -0.250 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(F)cc1F ZINC000671358679 1073061521 /nfs/dbraw/zinc/06/15/21/1073061521.db2.gz UMWDEOVNTDXHHB-CYBMUJFWSA-N 0 0 432.493 -0.122 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(F)cc1F ZINC000671358680 1073061533 /nfs/dbraw/zinc/06/15/33/1073061533.db2.gz UMWDEOVNTDXHHB-ZDUSSCGKSA-N 0 0 432.493 -0.122 20 0 IBADRN CC(C)Oc1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccn1 ZINC000671358683 1073061470 /nfs/dbraw/zinc/06/14/70/1073061470.db2.gz UNLCIUAQUPNAGF-UHFFFAOYSA-N 0 0 427.527 -0.822 20 0 IBADRN CN(Cc1nc(-c2ccccc2)c[nH]1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358708 1073135503 /nfs/dbraw/zinc/13/55/03/1073135503.db2.gz VFVFYIGJTATUBI-UHFFFAOYSA-N 0 0 448.549 -0.272 20 0 IBADRN COc1cccc(F)c1[C@@H](C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358716 1073061464 /nfs/dbraw/zinc/06/14/64/1073061464.db2.gz VIJBJTZNEGCUMR-CYBMUJFWSA-N 0 0 430.502 -0.295 20 0 IBADRN COc1cccc(F)c1[C@H](C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358717 1073061590 /nfs/dbraw/zinc/06/15/90/1073061590.db2.gz VIJBJTZNEGCUMR-ZDUSSCGKSA-N 0 0 430.502 -0.295 20 0 IBADRN COc1ccc(CCCN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671358722 1073061570 /nfs/dbraw/zinc/06/15/70/1073061570.db2.gz VRDNSIRTLZZFQT-UHFFFAOYSA-N 0 0 440.566 -0.220 20 0 IBADRN COc1ccccc1C1(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000671358741 1073061536 /nfs/dbraw/zinc/06/15/36/1073061536.db2.gz VYYQOXRUYSUQBA-UHFFFAOYSA-N 0 0 438.550 -0.464 20 0 IBADRN CN(Cc1nc2c(s1)CCCC2)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358757 1073061476 /nfs/dbraw/zinc/06/14/76/1073061476.db2.gz WOYTZXABFQGCMY-UHFFFAOYSA-N 0 0 443.595 -0.326 20 0 IBADRN CN(Cc1ccc(OC(F)F)cc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358776 1073061508 /nfs/dbraw/zinc/06/15/08/1073061508.db2.gz XJFXBIBDLLMKAH-UHFFFAOYSA-N 0 0 448.492 -0.060 20 0 IBADRN CCCCOc1ncccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358778 1073061528 /nfs/dbraw/zinc/06/15/28/1073061528.db2.gz XKSWJYHYZPRMRK-UHFFFAOYSA-N 0 0 441.554 -0.430 20 0 IBADRN Cc1ccc2c(c1)nc(CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)n2C ZINC000671358809 1073059622 /nfs/dbraw/zinc/05/96/22/1073059622.db2.gz YJYJBCFGGBTKPD-UHFFFAOYSA-N 0 0 436.538 -0.809 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1F ZINC000671358819 1073059666 /nfs/dbraw/zinc/05/96/66/1073059666.db2.gz YOIRVRCSALCAPX-UHFFFAOYSA-N 0 0 428.530 -0.087 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N(Cc2ccccc2)CC(F)F)CC1 ZINC000671358824 1073059644 /nfs/dbraw/zinc/05/96/44/1073059644.db2.gz YWCAHFFIPUSGMA-UHFFFAOYSA-N 0 0 432.493 -0.026 20 0 IBADRN CN(CCOc1ccc(F)cc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358835 1073059692 /nfs/dbraw/zinc/05/96/92/1073059692.db2.gz ZBUSCDXBORLFRO-UHFFFAOYSA-N 0 0 430.502 -0.644 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCN(Cc3cccs3)CC2)CC1 ZINC000671358845 1073059650 /nfs/dbraw/zinc/05/96/50/1073059650.db2.gz ZJIFARATENGIAN-UHFFFAOYSA-N 0 0 443.595 -0.914 20 0 IBADRN COc1ccc(CCCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671358846 1073135849 /nfs/dbraw/zinc/13/58/49/1073135849.db2.gz ZJXAAIWXCPPNGK-UHFFFAOYSA-N 0 0 426.539 -0.563 20 0 IBADRN CN(CCCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000671358849 1073059565 /nfs/dbraw/zinc/05/95/65/1073059565.db2.gz ZNFLFZGYIFRPLF-UHFFFAOYSA-N 0 0 439.582 -0.287 20 0 IBADRN COc1ccccc1CCN(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358852 1073060502 /nfs/dbraw/zinc/06/05/02/1073060502.db2.gz ZQYIOHNURDAIEW-UHFFFAOYSA-N 0 0 426.539 -0.611 20 0 IBADRN CCSc1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccn1 ZINC000671358873 1073135945 /nfs/dbraw/zinc/13/59/45/1073135945.db2.gz GJMGOKOBJFXDAV-UHFFFAOYSA-N 0 0 429.568 -0.497 20 0 IBADRN Cc1cnc(C2CCN(C(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)s1 ZINC000671358920 1073061099 /nfs/dbraw/zinc/06/10/99/1073061099.db2.gz ICEKDZWRSRYOHS-UHFFFAOYSA-N 0 0 443.595 -0.149 20 0 IBADRN COc1ccc(C[C@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671358923 1073060973 /nfs/dbraw/zinc/06/09/73/1073060973.db2.gz IEMGVAVZFDJPFZ-INIZCTEOSA-N 0 0 440.566 -0.317 20 0 IBADRN COc1ccc(C[C@@H](C)CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671358924 1073061005 /nfs/dbraw/zinc/06/10/05/1073061005.db2.gz IEMGVAVZFDJPFZ-MRXNPFEDSA-N 0 0 440.566 -0.317 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@H](c3ccsc3)C2)CC1 ZINC000671358931 1073136504 /nfs/dbraw/zinc/13/65/04/1073136504.db2.gz IIEGHIDRFAEKLY-HNNXBMFYSA-N 0 0 430.552 -0.659 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@@H](c3ccsc3)C2)CC1 ZINC000671358932 1073060998 /nfs/dbraw/zinc/06/09/98/1073060998.db2.gz IIEGHIDRFAEKLY-OAHLLOKOSA-N 0 0 430.552 -0.659 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1c(C)nn(C)c1C ZINC000671358954 1073059249 /nfs/dbraw/zinc/05/92/49/1073059249.db2.gz IXLQITSQENFCJQ-HNNXBMFYSA-N 0 0 428.559 -0.702 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1c(C)nn(C)c1C ZINC000671358955 1073059264 /nfs/dbraw/zinc/05/92/64/1073059264.db2.gz IXLQITSQENFCJQ-OAHLLOKOSA-N 0 0 428.559 -0.702 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H]2CCOC3(CCCCC3)C2)CC1 ZINC000671358972 1073059210 /nfs/dbraw/zinc/05/92/10/1073059210.db2.gz JSYAELYQEZJXRM-INIZCTEOSA-N 0 0 430.571 -0.322 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2CCOC3(CCCCC3)C2)CC1 ZINC000671358973 1073059336 /nfs/dbraw/zinc/05/93/36/1073059336.db2.gz JSYAELYQEZJXRM-MRXNPFEDSA-N 0 0 430.571 -0.322 20 0 IBADRN COCc1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000671358993 1073059334 /nfs/dbraw/zinc/05/93/34/1073059334.db2.gz KDDMPNZVLPGUMH-UHFFFAOYSA-N 0 0 430.502 -0.718 20 0 IBADRN COc1cc(Cl)ccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671358995 1073059282 /nfs/dbraw/zinc/05/92/82/1073059282.db2.gz KGNAJIDFOQEFNE-UHFFFAOYSA-N 0 0 432.930 -0.342 20 0 IBADRN CN(CCOc1ccccc1Cl)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359004 1073059232 /nfs/dbraw/zinc/05/92/32/1073059232.db2.gz KNJVXCILALIKCN-UHFFFAOYSA-N 0 0 446.957 -0.129 20 0 IBADRN CCO[C@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1(CC)CC ZINC000671359014 1073136535 /nfs/dbraw/zinc/13/65/35/1073136535.db2.gz KWXAHUYVPVRSOX-CVEARBPZSA-N 0 0 432.587 -0.220 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1(CC)CC ZINC000671359015 1073059588 /nfs/dbraw/zinc/05/95/88/1073059588.db2.gz KWXAHUYVPVRSOX-HOTGVXAUSA-N 0 0 432.587 -0.220 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1(CC)CC ZINC000671359016 1073059735 /nfs/dbraw/zinc/05/97/35/1073059735.db2.gz KWXAHUYVPVRSOX-HZPDHXFCSA-N 0 0 432.587 -0.220 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1(CC)CC ZINC000671359017 1073059598 /nfs/dbraw/zinc/05/95/98/1073059598.db2.gz KWXAHUYVPVRSOX-JKSUJKDBSA-N 0 0 432.587 -0.220 20 0 IBADRN Cc1cccc2c(CCNC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c[nH]c21 ZINC000671359131 1073059222 /nfs/dbraw/zinc/05/92/22/1073059222.db2.gz LCLWJFCVSQPFBT-UHFFFAOYSA-N 0 0 435.550 -0.172 20 0 IBADRN COCC[C@@H](Cc1ccco1)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359143 1073059317 /nfs/dbraw/zinc/05/93/17/1073059317.db2.gz LMTWOJSQIFMGHA-HNNXBMFYSA-N 0 0 430.527 -0.963 20 0 IBADRN COCC[C@H](Cc1ccco1)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359144 1073059203 /nfs/dbraw/zinc/05/92/03/1073059203.db2.gz LMTWOJSQIFMGHA-OAHLLOKOSA-N 0 0 430.527 -0.963 20 0 IBADRN Cc1csc(CCCCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000671359145 1073059227 /nfs/dbraw/zinc/05/92/27/1073059227.db2.gz LNHMMFXZHOPJKT-UHFFFAOYSA-N 0 0 431.584 -0.416 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ncc(-c3ccccc3)cn2)CC1 ZINC000671359151 1073059288 /nfs/dbraw/zinc/05/92/88/1073059288.db2.gz LQCJJAJAJXDWJT-UHFFFAOYSA-N 0 0 446.533 -0.547 20 0 IBADRN CC(C)c1nc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cs1 ZINC000671359204 1073137195 /nfs/dbraw/zinc/13/71/95/1073137195.db2.gz MRLUGTAYOBWOPL-UHFFFAOYSA-N 0 0 431.584 -0.381 20 0 IBADRN Cc1nn(C(C)(C)C)cc1[C@H](C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359221 1073059311 /nfs/dbraw/zinc/05/93/11/1073059311.db2.gz MWYAOZSAPLYSRJ-AWEZNQCLSA-N 0 0 442.586 -0.183 20 0 IBADRN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359222 1073059183 /nfs/dbraw/zinc/05/91/83/1073059183.db2.gz MWYAOZSAPLYSRJ-CQSZACIVSA-N 0 0 442.586 -0.183 20 0 IBADRN CN(Cc1ccc2c(c1)OCCO2)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359255 1073058789 /nfs/dbraw/zinc/05/87/89/1073058789.db2.gz NWGRMOXMIBRPCR-UHFFFAOYSA-N 0 0 440.522 -0.890 20 0 IBADRN CN(CCN(C)c1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359260 1073058851 /nfs/dbraw/zinc/05/88/51/1073058851.db2.gz OARXCDUVGNDBRK-UHFFFAOYSA-N 0 0 425.555 -0.725 20 0 IBADRN COCCN(Cc1cccs1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359261 1073059272 /nfs/dbraw/zinc/05/92/72/1073059272.db2.gz OCOSNBOLPPXKNH-UHFFFAOYSA-N 0 0 432.568 -0.584 20 0 IBADRN COc1cccc(Cl)c1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359262 1073059259 /nfs/dbraw/zinc/05/92/59/1073059259.db2.gz ODFUNLKVAYKMGR-UHFFFAOYSA-N 0 0 432.930 -0.342 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCc2nc3c(s2)CCCC3)CC1 ZINC000671359282 1073059236 /nfs/dbraw/zinc/05/92/36/1073059236.db2.gz OPJJHCVMLWDXNZ-UHFFFAOYSA-N 0 0 443.595 -0.626 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccccc2OCC2CC2)CC1 ZINC000671359303 1073062514 /nfs/dbraw/zinc/06/25/14/1073062514.db2.gz OZDSNZOVAWYJEN-UHFFFAOYSA-N 0 0 438.550 -0.215 20 0 IBADRN CCOc1ccc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671359304 1073062489 /nfs/dbraw/zinc/06/24/89/1073062489.db2.gz OZUOJQZUFOMGGI-UHFFFAOYSA-N 0 0 426.539 -0.263 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCc2ccn(-c3ccccc3)n2)CC1 ZINC000671359305 1073062441 /nfs/dbraw/zinc/06/24/41/1073062441.db2.gz OZZPTRMQDHLVBC-UHFFFAOYSA-N 0 0 448.549 -0.776 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2cccnc2OCC(F)F)CC1 ZINC000671359312 1073062471 /nfs/dbraw/zinc/06/24/71/1073062471.db2.gz PGYUBSLDVVQGIF-UHFFFAOYSA-N 0 0 449.480 -0.965 20 0 IBADRN CCC(CC)c1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)on1 ZINC000671359313 1073062508 /nfs/dbraw/zinc/06/25/08/1073062508.db2.gz PHGZFPGLTAUIMZ-UHFFFAOYSA-N 0 0 429.543 -0.112 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC(Cc3cccnc3)CC2)CC1 ZINC000671359315 1073062520 /nfs/dbraw/zinc/06/25/20/1073062520.db2.gz PHNQPHGXQBVKCP-UHFFFAOYSA-N 0 0 437.566 -0.444 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC000671359319 1073137221 /nfs/dbraw/zinc/13/72/21/1073137221.db2.gz POGOVUOEYLOTAE-UHFFFAOYSA-N 0 0 437.566 -0.976 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H]2CCOc3ccc(F)cc32)CC1 ZINC000671359343 1073137273 /nfs/dbraw/zinc/13/72/73/1073137273.db2.gz QBYMHHPCGQKLBF-HNNXBMFYSA-N 0 0 428.486 -0.541 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2CCOc3ccc(F)cc32)CC1 ZINC000671359350 1073137247 /nfs/dbraw/zinc/13/72/47/1073137247.db2.gz QBYMHHPCGQKLBF-OAHLLOKOSA-N 0 0 428.486 -0.541 20 0 IBADRN COCCN(Cc1scnc1C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359353 1073137272 /nfs/dbraw/zinc/13/72/72/1073137272.db2.gz QHFIXUAPQPPYJT-UHFFFAOYSA-N 0 0 447.583 -0.880 20 0 IBADRN CCCCO[C@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1(C)C ZINC000671359366 1073062526 /nfs/dbraw/zinc/06/25/26/1073062526.db2.gz QIZJAEZBUURTQD-CVEARBPZSA-N 0 0 432.587 -0.220 20 0 IBADRN CCCCO[C@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1(C)C ZINC000671359368 1073062464 /nfs/dbraw/zinc/06/24/64/1073062464.db2.gz QIZJAEZBUURTQD-HOTGVXAUSA-N 0 0 432.587 -0.220 20 0 IBADRN CCCCO[C@@H]1C[C@@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1(C)C ZINC000671359370 1073062675 /nfs/dbraw/zinc/06/26/75/1073062675.db2.gz QIZJAEZBUURTQD-HZPDHXFCSA-N 0 0 432.587 -0.220 20 0 IBADRN CCCCO[C@@H]1C[C@H](NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1(C)C ZINC000671359372 1073137227 /nfs/dbraw/zinc/13/72/27/1073137227.db2.gz QIZJAEZBUURTQD-JKSUJKDBSA-N 0 0 432.587 -0.220 20 0 IBADRN CC(C)N(CCc1nccs1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359383 1073062824 /nfs/dbraw/zinc/06/28/24/1073062824.db2.gz QRZUNMKWEVYZNU-UHFFFAOYSA-N 0 0 431.584 -0.384 20 0 IBADRN CC(C)(O)CN(Cc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359389 1073063272 /nfs/dbraw/zinc/06/32/72/1073063272.db2.gz QSVKPCNNHAJGTP-UHFFFAOYSA-N 0 0 440.566 -0.521 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N(C[C@@H]2CCCO2)C2CCCC2)CC1 ZINC000671359391 1073063176 /nfs/dbraw/zinc/06/31/76/1073063176.db2.gz QTRBWSRXQMSRRG-KRWDZBQOSA-N 0 0 430.571 -0.370 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N(C[C@H]2CCCO2)C2CCCC2)CC1 ZINC000671359392 1073063224 /nfs/dbraw/zinc/06/32/24/1073063224.db2.gz QTRBWSRXQMSRRG-QGZVFWFLSA-N 0 0 430.571 -0.370 20 0 IBADRN CCCn1ccnc1CCCN(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359433 1073063720 /nfs/dbraw/zinc/06/37/20/1073063720.db2.gz RJCOHKXXXNRRLY-UHFFFAOYSA-N 0 0 442.586 -0.623 20 0 IBADRN CC(C)(C)OCc1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)no1 ZINC000671359458 1073063754 /nfs/dbraw/zinc/06/37/54/1073063754.db2.gz RPZHJTMKWJHQSG-UHFFFAOYSA-N 0 0 445.542 -0.701 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccc3c(c2)OCCCO3)CC1 ZINC000671359464 1073063859 /nfs/dbraw/zinc/06/38/59/1073063859.db2.gz RRWZWNGFYAXZHK-UHFFFAOYSA-N 0 0 440.522 -0.843 20 0 IBADRN CCCCCn1cc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)n1 ZINC000671359521 1073063918 /nfs/dbraw/zinc/06/39/18/1073063918.db2.gz SRUUZGLYDIVIFG-UHFFFAOYSA-N 0 0 442.586 -0.309 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)COCc1ccccc1 ZINC000671359524 1073063741 /nfs/dbraw/zinc/06/37/41/1073063741.db2.gz STMAFBXZKGZSTN-KRWDZBQOSA-N 0 0 440.566 -0.351 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)COCc1ccccc1 ZINC000671359525 1073063929 /nfs/dbraw/zinc/06/39/29/1073063929.db2.gz STMAFBXZKGZSTN-QGZVFWFLSA-N 0 0 440.566 -0.351 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCc3c(Cl)cccc3C2)CC1 ZINC000671359526 1073063774 /nfs/dbraw/zinc/06/37/74/1073063774.db2.gz SUCJNYOFMKEFEJ-UHFFFAOYSA-N 0 0 428.942 -0.082 20 0 IBADRN Cc1ccccc1[C@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000671359527 1073063879 /nfs/dbraw/zinc/06/38/79/1073063879.db2.gz SUSUUNSRHXAPQT-GOSISDBHSA-N 0 0 438.550 -0.412 20 0 IBADRN Cc1ccccc1[C@@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000671359528 1073063895 /nfs/dbraw/zinc/06/38/95/1073063895.db2.gz SUSUUNSRHXAPQT-SFHVURJKSA-N 0 0 438.550 -0.412 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(F)cc1 ZINC000671359534 1073062732 /nfs/dbraw/zinc/06/27/32/1073062732.db2.gz TUBGHBLXKKCRBQ-UHFFFAOYSA-N 0 0 428.530 -0.087 20 0 IBADRN Cc1ccc(CN(CCO)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671359543 1073137806 /nfs/dbraw/zinc/13/78/06/1073137806.db2.gz UEJJNBRZBPCAEC-UHFFFAOYSA-N 0 0 426.539 -0.991 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@H](c3ccc(F)cc3)C2)CC1 ZINC000671359545 1073063260 /nfs/dbraw/zinc/06/32/60/1073063260.db2.gz UGVDXSQZNFKWCI-KRWDZBQOSA-N 0 0 442.513 -0.581 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@@H](c3ccc(F)cc3)C2)CC1 ZINC000671359546 1073063421 /nfs/dbraw/zinc/06/34/21/1073063421.db2.gz UGVDXSQZNFKWCI-QGZVFWFLSA-N 0 0 442.513 -0.581 20 0 IBADRN COCCOc1ccccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359550 1073137778 /nfs/dbraw/zinc/13/77/78/1073137778.db2.gz UJTGKAOXKKLEIT-UHFFFAOYSA-N 0 0 442.538 -0.979 20 0 IBADRN COc1c(C)cnc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000671359557 1073063399 /nfs/dbraw/zinc/06/33/99/1073063399.db2.gz UPCFBCSFUZZCJV-UHFFFAOYSA-N 0 0 441.554 -0.641 20 0 IBADRN CN(Cc1cc(F)c(F)c(F)c1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359569 1073063187 /nfs/dbraw/zinc/06/31/87/1073063187.db2.gz UZNCMKCJCVXNTI-UHFFFAOYSA-N 0 0 436.456 -0.244 20 0 IBADRN COc1cc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(OC)c1 ZINC000671359570 1073063200 /nfs/dbraw/zinc/06/32/00/1073063200.db2.gz UZOCJZFTKQIWGW-UHFFFAOYSA-N 0 0 442.538 -0.644 20 0 IBADRN COc1ccc(COCCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000671359571 1073063214 /nfs/dbraw/zinc/06/32/14/1073063214.db2.gz VBLCPQNHKKEWOE-UHFFFAOYSA-N 0 0 442.538 -0.979 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@H](c2ccsc2)O1 ZINC000671359631 1073063244 /nfs/dbraw/zinc/06/32/44/1073063244.db2.gz WSVAUAPGBBYRFT-GDBMZVCRSA-N 0 0 444.579 -0.270 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@H](c2ccsc2)O1 ZINC000671359632 1073063234 /nfs/dbraw/zinc/06/32/34/1073063234.db2.gz WSVAUAPGBBYRFT-GOEBONIOSA-N 0 0 444.579 -0.270 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@@H](c2ccsc2)O1 ZINC000671359633 1073063434 /nfs/dbraw/zinc/06/34/34/1073063434.db2.gz WSVAUAPGBBYRFT-HOCLYGCPSA-N 0 0 444.579 -0.270 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@@H](c2ccsc2)O1 ZINC000671359634 1073063283 /nfs/dbraw/zinc/06/32/83/1073063283.db2.gz WSVAUAPGBBYRFT-ZBFHGGJFSA-N 0 0 444.579 -0.270 20 0 IBADRN COc1ccccc1CN(C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000671359637 1073063325 /nfs/dbraw/zinc/06/33/25/1073063325.db2.gz WUKPARGSRKWSMF-UHFFFAOYSA-N 0 0 438.550 -0.120 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000671359655 1073138457 /nfs/dbraw/zinc/13/84/57/1073138457.db2.gz XBLQESAEVMCFJV-UHFFFAOYSA-N 0 0 442.538 -0.944 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCOc3cccc(F)c3C2)CC1 ZINC000671359658 1073063119 /nfs/dbraw/zinc/06/31/19/1073063119.db2.gz XDBKIFFTBDIYEY-UHFFFAOYSA-N 0 0 428.486 -0.760 20 0 IBADRN COc1ccc2c(c1)OCC[C@@H]2NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359708 1073062834 /nfs/dbraw/zinc/06/28/34/1073062834.db2.gz YCWLZMHHWAMPEK-INIZCTEOSA-N 0 0 440.522 -0.672 20 0 IBADRN COc1ccc2c(c1)OCC[C@H]2NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359709 1073062917 /nfs/dbraw/zinc/06/29/17/1073062917.db2.gz YCWLZMHHWAMPEK-MRXNPFEDSA-N 0 0 440.522 -0.672 20 0 IBADRN CC(C)CCOC1CCN(C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000671359775 1073062928 /nfs/dbraw/zinc/06/29/28/1073062928.db2.gz ZAWGNMLNCMDBIN-UHFFFAOYSA-N 0 0 432.587 -0.267 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCCCOc2ccc(F)cc2)CC1 ZINC000671359784 1073138423 /nfs/dbraw/zinc/13/84/23/1073138423.db2.gz ZHZJSRLBRYGOAI-UHFFFAOYSA-N 0 0 430.502 -0.596 20 0 IBADRN COc1ccc(CN(C)C(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000671359791 1073062842 /nfs/dbraw/zinc/06/28/42/1073062842.db2.gz ZJWFWHQKXVIPCL-UHFFFAOYSA-N 0 0 442.538 -0.644 20 0 IBADRN CN(CCCOCc1ccccc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359801 1073062781 /nfs/dbraw/zinc/06/27/81/1073062781.db2.gz ZNJQYLBPHDQSTG-UHFFFAOYSA-N 0 0 440.566 -0.255 20 0 IBADRN Cc1nn(C)cc1[C@@H]1CCCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359828 1073063783 /nfs/dbraw/zinc/06/37/83/1073063783.db2.gz ZTWQUJLJJQKWJY-INIZCTEOSA-N 0 0 426.543 -0.915 20 0 IBADRN Cc1nn(C)cc1[C@H]1CCCN1C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000671359831 1073063805 /nfs/dbraw/zinc/06/38/05/1073063805.db2.gz ZTWQUJLJJQKWJY-MRXNPFEDSA-N 0 0 426.543 -0.915 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)n1 ZINC000671361488 1073063679 /nfs/dbraw/zinc/06/36/79/1073063679.db2.gz XIJAEJIRFCMAOX-UHFFFAOYSA-N 0 0 443.489 -0.189 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)n1 ZINC000671362426 1073064135 /nfs/dbraw/zinc/06/41/35/1073064135.db2.gz YFGWWZIJJWUJFD-UHFFFAOYSA-N 0 0 427.490 -0.185 20 0 IBADRN CCN(CCCNC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000671362514 1073064148 /nfs/dbraw/zinc/06/41/48/1073064148.db2.gz JWIJCNFZFQCNAP-UHFFFAOYSA-N 0 0 448.567 -0.514 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1)S(C)(=O)=O ZINC000671362629 1073063699 /nfs/dbraw/zinc/06/36/99/1073063699.db2.gz XUVFVXSXXIRHAO-AWEZNQCLSA-N 0 0 439.538 -0.732 20 0 IBADRN CCN(CCCNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1)S(C)(=O)=O ZINC000671362630 1073063764 /nfs/dbraw/zinc/06/37/64/1073063764.db2.gz XUVFVXSXXIRHAO-CQSZACIVSA-N 0 0 439.538 -0.732 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC(c2nnc3n2CCC3)CC1)S(C)(=O)=O ZINC000671362911 1073063708 /nfs/dbraw/zinc/06/37/08/1073063708.db2.gz LRIWDLOAAUHLAM-UHFFFAOYSA-N 0 0 426.543 -0.282 20 0 IBADRN CC(C)(C)Oc1ccc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000671375051 1073066139 /nfs/dbraw/zinc/06/61/39/1073066139.db2.gz JEQSINMLQKILLO-UHFFFAOYSA-N 0 0 429.481 -0.162 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)cc1)S(C)(=O)=O ZINC000671389839 1073066646 /nfs/dbraw/zinc/06/66/46/1073066646.db2.gz KENRKVYZPLQCHC-UHFFFAOYSA-N 0 0 448.501 -0.121 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)c1 ZINC000671390031 1073066803 /nfs/dbraw/zinc/06/68/03/1073066803.db2.gz JBFNDEZMQGUDHQ-HNNXBMFYSA-N 0 0 439.472 -0.339 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)c1 ZINC000671390032 1073066631 /nfs/dbraw/zinc/06/66/31/1073066631.db2.gz JBFNDEZMQGUDHQ-OAHLLOKOSA-N 0 0 439.472 -0.339 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCN2Cc3ccccc3C2)CC1 ZINC000671395111 1073067253 /nfs/dbraw/zinc/06/72/53/1073067253.db2.gz AKYIIGJSIOKIIU-UHFFFAOYSA-N 0 0 429.521 -0.879 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCN2Cc3ccccc3C2)CC1)N1CCOCC1 ZINC000671395284 1073067088 /nfs/dbraw/zinc/06/70/88/1073067088.db2.gz BXIBRPAOZRXTTM-UHFFFAOYSA-N 0 0 429.521 -0.879 20 0 IBADRN O=C(NCCN1Cc2ccccc2C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000671395965 1073066732 /nfs/dbraw/zinc/06/67/32/1073066732.db2.gz LEACIAXNXLGXSI-UHFFFAOYSA-N 0 0 447.517 -0.219 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCN3Cc4ccccc4C3)CC2)n1 ZINC000671396079 1073066769 /nfs/dbraw/zinc/06/67/69/1073066769.db2.gz CGOIZWHGALKVOT-UHFFFAOYSA-N 0 0 428.493 -0.008 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCN2Cc3ccccc3C2)CC1 ZINC000671396867 1073067103 /nfs/dbraw/zinc/06/71/03/1073067103.db2.gz TVQFTNDOWCMMKM-GOSISDBHSA-N 0 0 445.564 -0.196 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCN2Cc3ccccc3C2)CC1 ZINC000671396868 1073067376 /nfs/dbraw/zinc/06/73/76/1073067376.db2.gz TVQFTNDOWCMMKM-SFHVURJKSA-N 0 0 445.564 -0.196 20 0 IBADRN O=C(NCCN1Cc2ccccc2C1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000671398439 1073067024 /nfs/dbraw/zinc/06/70/24/1073067024.db2.gz IOSSRYPWUWSWDX-UHFFFAOYSA-N 0 0 426.564 -0.387 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000671399206 1073238098 /nfs/dbraw/zinc/23/80/98/1073238098.db2.gz LAIMCYUWDRYAAM-FXAWDEMLSA-N 0 0 426.583 -0.366 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000671399208 1073238007 /nfs/dbraw/zinc/23/80/07/1073238007.db2.gz LAIMCYUWDRYAAM-PXNSSMCTSA-N 0 0 426.583 -0.366 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C[C@H]2CCCO2)CC1 ZINC000671399210 1073238063 /nfs/dbraw/zinc/23/80/63/1073238063.db2.gz LAIMCYUWDRYAAM-XLIONFOSSA-N 0 0 426.583 -0.366 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)N1CCN(C[C@H]2CCCO2)CC1 ZINC000671399212 1073238510 /nfs/dbraw/zinc/23/85/10/1073238510.db2.gz LAIMCYUWDRYAAM-YLJYHZDGSA-N 0 0 426.583 -0.366 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC000671399646 1073067422 /nfs/dbraw/zinc/06/74/22/1073067422.db2.gz XUKJNOWZMYAWNC-FQEVSTJZSA-N 0 0 442.582 -0.688 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC000671399647 1073067735 /nfs/dbraw/zinc/06/77/35/1073067735.db2.gz XUKJNOWZMYAWNC-HXUWFJFHSA-N 0 0 442.582 -0.688 20 0 IBADRN Cc1occc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000671408926 1073067771 /nfs/dbraw/zinc/06/77/71/1073067771.db2.gz ATZJHDXOVKQBDP-KBPBESRZSA-N 0 0 428.511 -0.298 20 0 IBADRN Cc1occc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000671408927 1073067742 /nfs/dbraw/zinc/06/77/42/1073067742.db2.gz ATZJHDXOVKQBDP-OKILXGFUSA-N 0 0 428.511 -0.298 20 0 IBADRN Cc1occc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000671408928 1073067669 /nfs/dbraw/zinc/06/76/69/1073067669.db2.gz ATZJHDXOVKQBDP-ZIAGYGMSSA-N 0 0 428.511 -0.298 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCCC(C)(C)c1ccccc1)C2 ZINC000671424956 1073069256 /nfs/dbraw/zinc/06/92/56/1073069256.db2.gz QHJFZEWKUGXQGN-UHFFFAOYSA-N 0 0 442.520 -0.041 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000671425872 1073069775 /nfs/dbraw/zinc/06/97/75/1073069775.db2.gz LWKRKUNGNANLPG-CRAIPNDOSA-N 0 0 440.566 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000671425873 1073244664 /nfs/dbraw/zinc/24/46/64/1073244664.db2.gz LWKRKUNGNANLPG-MAUKXSAKSA-N 0 0 440.566 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000671425874 1073244646 /nfs/dbraw/zinc/24/46/46/1073244646.db2.gz LWKRKUNGNANLPG-QAPCUYQASA-N 0 0 440.566 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000671425875 1073244685 /nfs/dbraw/zinc/24/46/85/1073244685.db2.gz LWKRKUNGNANLPG-YJBOKZPZSA-N 0 0 440.566 -0.034 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000671672019 1073321297 /nfs/dbraw/zinc/32/12/97/1073321297.db2.gz BOERXQFXCFKRAA-UHFFFAOYSA-N 0 0 434.540 -0.825 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)c1 ZINC000671687837 1073106743 /nfs/dbraw/zinc/10/67/43/1073106743.db2.gz XGGQSDVPLJZKJM-UHFFFAOYSA-N 0 0 437.503 -0.054 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)c1 ZINC000671727729 1073114151 /nfs/dbraw/zinc/11/41/51/1073114151.db2.gz LGLPJGPNXILHCI-UHFFFAOYSA-N 0 0 441.466 -0.056 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C(=O)N1c1cnn(C)c1 ZINC000671750999 1073364296 /nfs/dbraw/zinc/36/42/96/1073364296.db2.gz QSEWRZRTBVZTAN-BXUZGUMPSA-N 0 0 431.478 -0.115 20 0 IBADRN C[C@H]1C[C@H](NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C(=O)N1c1cnn(C)c1 ZINC000671751000 1073119284 /nfs/dbraw/zinc/11/92/84/1073119284.db2.gz QSEWRZRTBVZTAN-FZMZJTMJSA-N 0 0 431.478 -0.115 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C(=O)N1c1cnn(C)c1 ZINC000671751001 1073119271 /nfs/dbraw/zinc/11/92/71/1073119271.db2.gz QSEWRZRTBVZTAN-RISCZKNCSA-N 0 0 431.478 -0.115 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C(=O)N1c1cnn(C)c1 ZINC000671751002 1073119293 /nfs/dbraw/zinc/11/92/93/1073119293.db2.gz QSEWRZRTBVZTAN-SMDDNHRTSA-N 0 0 431.478 -0.115 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1=O ZINC000671759709 1073119942 /nfs/dbraw/zinc/11/99/42/1073119942.db2.gz NXXJPAORLQODBA-ADLMAVQZSA-N 0 0 434.478 -0.322 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1=O ZINC000671759710 1073119937 /nfs/dbraw/zinc/11/99/37/1073119937.db2.gz NXXJPAORLQODBA-BBATYDOGSA-N 0 0 434.478 -0.322 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1=O ZINC000671759711 1073119915 /nfs/dbraw/zinc/11/99/15/1073119915.db2.gz NXXJPAORLQODBA-VOJFVSQTSA-N 0 0 434.478 -0.322 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)C1=O ZINC000671759712 1073119945 /nfs/dbraw/zinc/11/99/45/1073119945.db2.gz NXXJPAORLQODBA-ZMZPIMSZSA-N 0 0 434.478 -0.322 20 0 IBADRN CC(C)(C(=O)NC[C@@H](CO)NC(=O)C(C)(C)S(=O)(=O)C1CC1)S(=O)(=O)C1CC1 ZINC000671795339 1073121063 /nfs/dbraw/zinc/12/10/63/1073121063.db2.gz DCZXLDURAYMWCR-NSHDSACASA-N 0 0 438.568 -0.709 20 0 IBADRN C[C@H]1CN(Cc2cccc(CNC(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)c2)CCO1 ZINC000671806290 1073121113 /nfs/dbraw/zinc/12/11/13/1073121113.db2.gz OCCDRUMQRCDHGC-HNNXBMFYSA-N 0 0 438.550 -0.469 20 0 IBADRN C[C@@H]1CN(Cc2cccc(CNC(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)c2)CCO1 ZINC000671806291 1073121097 /nfs/dbraw/zinc/12/10/97/1073121097.db2.gz OCCDRUMQRCDHGC-OAHLLOKOSA-N 0 0 438.550 -0.469 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)CC1 ZINC000671809721 1073121445 /nfs/dbraw/zinc/12/14/45/1073121445.db2.gz ZXDFGVMXGQMLBE-HJOIGYKYSA-N 0 0 435.569 -0.001 20 0 IBADRN CNC(=O)C1CCC(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)CC1 ZINC000671809722 1073121565 /nfs/dbraw/zinc/12/15/65/1073121565.db2.gz ZXDFGVMXGQMLBE-KLHKWILBSA-N 0 0 435.569 -0.001 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@](O)(C(N)=O)C2)c1C ZINC000671812598 1073121420 /nfs/dbraw/zinc/12/14/20/1073121420.db2.gz OYGLOLRVRRCVOD-FTRWYGJKSA-N 0 0 439.534 -0.507 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@](O)(C(N)=O)C2)c1C ZINC000671812599 1073121495 /nfs/dbraw/zinc/12/14/95/1073121495.db2.gz OYGLOLRVRRCVOD-GQIGUUNPSA-N 0 0 439.534 -0.507 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@](O)(C(N)=O)C2)c1C ZINC000671812600 1073121550 /nfs/dbraw/zinc/12/15/50/1073121550.db2.gz OYGLOLRVRRCVOD-GUXCAODWSA-N 0 0 439.534 -0.507 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@](O)(C(N)=O)C2)c1C ZINC000671812601 1073121400 /nfs/dbraw/zinc/12/14/00/1073121400.db2.gz OYGLOLRVRRCVOD-TWOQFEAHSA-N 0 0 439.534 -0.507 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CC[C@](O)(C(N)=O)C2)cc1 ZINC000671812675 1073121591 /nfs/dbraw/zinc/12/15/91/1073121591.db2.gz MOZAUCDIQURDPZ-AKCHCHLHSA-N 0 0 439.534 -0.443 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@](O)(C(N)=O)C2)cc1 ZINC000671812676 1073121576 /nfs/dbraw/zinc/12/15/76/1073121576.db2.gz MOZAUCDIQURDPZ-IIMJZQEZSA-N 0 0 439.534 -0.443 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CC[C@@](O)(C(N)=O)C2)cc1 ZINC000671812677 1073121527 /nfs/dbraw/zinc/12/15/27/1073121527.db2.gz MOZAUCDIQURDPZ-KKVAFCGZSA-N 0 0 439.534 -0.443 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@](O)(C(N)=O)C2)cc1 ZINC000671812678 1073121518 /nfs/dbraw/zinc/12/15/18/1073121518.db2.gz MOZAUCDIQURDPZ-PTSWNOGYSA-N 0 0 439.534 -0.443 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@](O)(C(N)=O)C1 ZINC000671812781 1073121483 /nfs/dbraw/zinc/12/14/83/1073121483.db2.gz OEAMAZBEDYZRTM-MAUKXSAKSA-N 0 0 427.479 -0.791 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@@](O)(C(N)=O)C1 ZINC000671812782 1073121429 /nfs/dbraw/zinc/12/14/29/1073121429.db2.gz OEAMAZBEDYZRTM-YJBOKZPZSA-N 0 0 427.479 -0.791 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@](O)(C(N)=O)C2)c(C)c1 ZINC000671813198 1073121543 /nfs/dbraw/zinc/12/15/43/1073121543.db2.gz GYLFXBDNFURYBM-DOXZYTNZSA-N 0 0 425.507 -0.816 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@](O)(C(N)=O)C2)c(C)c1 ZINC000671813199 1073122183 /nfs/dbraw/zinc/12/21/83/1073122183.db2.gz GYLFXBDNFURYBM-VCBZYWHSSA-N 0 0 425.507 -0.816 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@](O)(C(N)=O)C2)c(C)c1 ZINC000671813200 1073122204 /nfs/dbraw/zinc/12/22/04/1073122204.db2.gz GYLFXBDNFURYBM-YZVOILCLSA-N 0 0 425.507 -0.816 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@](O)(C(N)=O)C2)c(C)c1 ZINC000671813201 1073122180 /nfs/dbraw/zinc/12/21/80/1073122180.db2.gz GYLFXBDNFURYBM-ZRGWGRIASA-N 0 0 425.507 -0.816 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000671821880 1073122542 /nfs/dbraw/zinc/12/25/42/1073122542.db2.gz BUPOHFULYFRCAV-UHFFFAOYSA-N 0 0 430.505 -0.153 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000671822042 1073123221 /nfs/dbraw/zinc/12/32/21/1073123221.db2.gz ILZRDTYZTIEROH-UHFFFAOYSA-N 0 0 434.537 -0.196 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1)[C@H]1CN(C)CCO1 ZINC000671822281 1073122380 /nfs/dbraw/zinc/12/23/80/1073122380.db2.gz QPDIZZHMAFMQLN-FXAWDEMLSA-N 0 0 434.537 -0.151 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1)[C@@H]1CN(C)CCO1 ZINC000671822282 1073122697 /nfs/dbraw/zinc/12/26/97/1073122697.db2.gz QPDIZZHMAFMQLN-PXNSSMCTSA-N 0 0 434.537 -0.151 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1)[C@@H]1CN(C)CCO1 ZINC000671822283 1073122738 /nfs/dbraw/zinc/12/27/38/1073122738.db2.gz QPDIZZHMAFMQLN-XLIONFOSSA-N 0 0 434.537 -0.151 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccccc1OCCN1CCOCC1)[C@H]1CN(C)CCO1 ZINC000671822284 1073122517 /nfs/dbraw/zinc/12/25/17/1073122517.db2.gz QPDIZZHMAFMQLN-YLJYHZDGSA-N 0 0 434.537 -0.151 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1)[C@H]1CN(C)CCO1 ZINC000671822515 1073122719 /nfs/dbraw/zinc/12/27/19/1073122719.db2.gz AVFVRJWHSDLCHL-FXAWDEMLSA-N 0 0 434.537 -0.151 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1)[C@@H]1CN(C)CCO1 ZINC000671822516 1073122607 /nfs/dbraw/zinc/12/26/07/1073122607.db2.gz AVFVRJWHSDLCHL-PXNSSMCTSA-N 0 0 434.537 -0.151 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1)[C@@H]1CN(C)CCO1 ZINC000671822517 1073122483 /nfs/dbraw/zinc/12/24/83/1073122483.db2.gz AVFVRJWHSDLCHL-XLIONFOSSA-N 0 0 434.537 -0.151 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1)[C@H]1CN(C)CCO1 ZINC000671822518 1073122639 /nfs/dbraw/zinc/12/26/39/1073122639.db2.gz AVFVRJWHSDLCHL-YLJYHZDGSA-N 0 0 434.537 -0.151 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@H]2CCN(c3ccc(F)c(F)c3)C2)C1 ZINC000671829681 1073123249 /nfs/dbraw/zinc/12/32/49/1073123249.db2.gz RXJAWVNXZBWIKO-GFCCVEGCSA-N 0 0 430.477 -0.085 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@@H]2CCN(c3ccc(F)c(F)c3)C2)C1 ZINC000671829682 1073123295 /nfs/dbraw/zinc/12/32/95/1073123295.db2.gz RXJAWVNXZBWIKO-LBPRGKRZSA-N 0 0 430.477 -0.085 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCCCS(=O)(=O)c2ccccc2)CC1 ZINC000671847978 1073124733 /nfs/dbraw/zinc/12/47/33/1073124733.db2.gz YYOHXIQIIHEQOE-UHFFFAOYSA-N 0 0 439.534 -0.032 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCCCS(=O)(=O)c1ccccc1 ZINC000671848266 1073124920 /nfs/dbraw/zinc/12/49/20/1073124920.db2.gz VTXKIJVMRBXXKK-INIZCTEOSA-N 0 0 427.523 -0.882 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCCCS(=O)(=O)c1ccccc1 ZINC000671848267 1073124822 /nfs/dbraw/zinc/12/48/22/1073124822.db2.gz VTXKIJVMRBXXKK-MRXNPFEDSA-N 0 0 427.523 -0.882 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1 ZINC000671850897 1073124911 /nfs/dbraw/zinc/12/49/11/1073124911.db2.gz BDQOZDFEZVGJOL-UHFFFAOYSA-N 0 0 426.314 -0.094 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)C1 ZINC000671853796 1073124807 /nfs/dbraw/zinc/12/48/07/1073124807.db2.gz TVIPZEYQTYFSJB-KRWDZBQOSA-N 0 0 442.513 -0.677 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)C1 ZINC000671853797 1073124701 /nfs/dbraw/zinc/12/47/01/1073124701.db2.gz TVIPZEYQTYFSJB-QGZVFWFLSA-N 0 0 442.513 -0.677 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CCO1 ZINC000671853980 1073124933 /nfs/dbraw/zinc/12/49/33/1073124933.db2.gz CTCHXHKJJGMYEK-MOPGFXCFSA-N 0 0 436.484 -0.321 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)CCO1 ZINC000671853981 1073124813 /nfs/dbraw/zinc/12/48/13/1073124813.db2.gz CTCHXHKJJGMYEK-OALUTQOASA-N 0 0 436.484 -0.321 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CCO1 ZINC000671853982 1073124903 /nfs/dbraw/zinc/12/49/03/1073124903.db2.gz CTCHXHKJJGMYEK-RBUKOAKNSA-N 0 0 436.484 -0.321 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)CCO1 ZINC000671853983 1073124795 /nfs/dbraw/zinc/12/47/95/1073124795.db2.gz CTCHXHKJJGMYEK-RTBURBONSA-N 0 0 436.484 -0.321 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC[C@H]1CCn2ncc(C(=O)NC(C)C)c2C1 ZINC000671855816 1073124856 /nfs/dbraw/zinc/12/48/56/1073124856.db2.gz LYAGLOOJLXYCHJ-DOTOQJQBSA-N 0 0 432.525 -0.173 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC[C@@H]1CCn2ncc(C(=O)NC(C)C)c2C1 ZINC000671855818 1073124841 /nfs/dbraw/zinc/12/48/41/1073124841.db2.gz LYAGLOOJLXYCHJ-NVXWUHKLSA-N 0 0 432.525 -0.173 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NC[C@H]1CCn2ncc(C(=O)NC(C)C)c2C1 ZINC000671855819 1073125523 /nfs/dbraw/zinc/12/55/23/1073125523.db2.gz LYAGLOOJLXYCHJ-RDJZCZTQSA-N 0 0 432.525 -0.173 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NC[C@@H]1CCn2ncc(C(=O)NC(C)C)c2C1 ZINC000671855820 1073125485 /nfs/dbraw/zinc/12/54/85/1073125485.db2.gz LYAGLOOJLXYCHJ-WBVHZDCISA-N 0 0 432.525 -0.173 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC000671856578 1073272813 /nfs/dbraw/zinc/27/28/13/1073272813.db2.gz LSSKQCRMJPKMBM-AWEZNQCLSA-N 0 0 448.567 -0.458 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC000671856579 1073273272 /nfs/dbraw/zinc/27/32/72/1073273272.db2.gz LSSKQCRMJPKMBM-CQSZACIVSA-N 0 0 448.567 -0.458 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC000671856837 1073125472 /nfs/dbraw/zinc/12/54/72/1073125472.db2.gz FFSBAXCCQGKWKJ-CYBMUJFWSA-N 0 0 435.524 -0.204 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC000671856838 1073125548 /nfs/dbraw/zinc/12/55/48/1073125548.db2.gz FFSBAXCCQGKWKJ-ZDUSSCGKSA-N 0 0 435.524 -0.204 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCCc2c[nH]c3cc(Cl)ccc23)CC1 ZINC000671864683 1073125571 /nfs/dbraw/zinc/12/55/71/1073125571.db2.gz HJKYWOSICDQQSP-UHFFFAOYSA-N 0 0 444.883 -0.163 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000671867771 1073126135 /nfs/dbraw/zinc/12/61/35/1073126135.db2.gz HWGYAYLXYPTNLF-CVEARBPZSA-N 0 0 426.539 -0.019 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000671867772 1073126142 /nfs/dbraw/zinc/12/61/42/1073126142.db2.gz HWGYAYLXYPTNLF-HOTGVXAUSA-N 0 0 426.539 -0.019 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000671867773 1073126165 /nfs/dbraw/zinc/12/61/65/1073126165.db2.gz HWGYAYLXYPTNLF-HZPDHXFCSA-N 0 0 426.539 -0.019 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000671867774 1073126036 /nfs/dbraw/zinc/12/60/36/1073126036.db2.gz HWGYAYLXYPTNLF-JKSUJKDBSA-N 0 0 426.539 -0.019 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@H]3OCCN(C)[C@H]3C2)cc1 ZINC000671870803 1073127180 /nfs/dbraw/zinc/12/71/80/1073127180.db2.gz BNOSFBBHNYJXMR-FCLVOEFKSA-N 0 0 439.534 -0.249 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@H]3OCCN(C)[C@@H]3C2)cc1 ZINC000671870804 1073127124 /nfs/dbraw/zinc/12/71/24/1073127124.db2.gz BNOSFBBHNYJXMR-FDPIWHGQSA-N 0 0 439.534 -0.249 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@H]3OCCN(C)[C@H]3C2)cc1 ZINC000671870805 1073126997 /nfs/dbraw/zinc/12/69/97/1073126997.db2.gz BNOSFBBHNYJXMR-GRGSLBFTSA-N 0 0 439.534 -0.249 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@H]3OCCN(C)[C@@H]3C2)cc1 ZINC000671870806 1073127154 /nfs/dbraw/zinc/12/71/54/1073127154.db2.gz BNOSFBBHNYJXMR-ZWQIFLOQSA-N 0 0 439.534 -0.249 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000671885030 1070914312 /nfs/dbraw/zinc/91/43/12/1070914312.db2.gz IEOJNZUTVVEAHY-HNNXBMFYSA-N 0 0 433.552 -0.157 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000671885031 1073127838 /nfs/dbraw/zinc/12/78/38/1073127838.db2.gz IEOJNZUTVVEAHY-OAHLLOKOSA-N 0 0 433.552 -0.157 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CCCCN1S(C)(=O)=O ZINC000671885051 1073127824 /nfs/dbraw/zinc/12/78/24/1073127824.db2.gz LUJZGKXJVFMIPK-AWEZNQCLSA-N 0 0 448.567 -0.410 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCCCN1S(C)(=O)=O ZINC000671885053 1073127748 /nfs/dbraw/zinc/12/77/48/1073127748.db2.gz LUJZGKXJVFMIPK-CQSZACIVSA-N 0 0 448.567 -0.410 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000671885413 1073127869 /nfs/dbraw/zinc/12/78/69/1073127869.db2.gz YWFBIOHEOBKWGJ-CYBMUJFWSA-N 0 0 435.524 -0.156 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000671885414 1073127670 /nfs/dbraw/zinc/12/76/70/1073127670.db2.gz YWFBIOHEOBKWGJ-ZDUSSCGKSA-N 0 0 435.524 -0.156 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)Cn1ccc3c1n(C)c(=O)n(C)c3=O)C2 ZINC000671886312 1073127861 /nfs/dbraw/zinc/12/78/61/1073127861.db2.gz WSKJMLZPKVGXFX-UHFFFAOYSA-N 0 0 445.501 -0.468 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000671888103 1073127856 /nfs/dbraw/zinc/12/78/56/1073127856.db2.gz ROSQIGLXRAFIPN-UHFFFAOYSA-N 0 0 438.428 -0.107 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC3CCN(CC(F)F)CC3)CC2)no1 ZINC000671906461 1073128423 /nfs/dbraw/zinc/12/84/23/1073128423.db2.gz MFVBCUULMBNEHZ-UHFFFAOYSA-N 0 0 442.467 -0.089 20 0 IBADRN O=C(NC1CCN(CC(F)F)CC1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000671907389 1073128497 /nfs/dbraw/zinc/12/84/97/1073128497.db2.gz ZZFUIOLQYKKVBW-UHFFFAOYSA-N 0 0 449.480 -0.506 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC2CCN(CC(F)F)CC2)CC1 ZINC000671907812 1073128407 /nfs/dbraw/zinc/12/84/07/1073128407.db2.gz AQSCFEBGTXOXSF-HNNXBMFYSA-N 0 0 429.512 -0.013 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC2CCN(CC(F)F)CC2)CC1 ZINC000671907816 1073128491 /nfs/dbraw/zinc/12/84/91/1073128491.db2.gz AQSCFEBGTXOXSF-OAHLLOKOSA-N 0 0 429.512 -0.013 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC3CCN(CC(F)F)CC3)CC2)n1 ZINC000671907915 1073128479 /nfs/dbraw/zinc/12/84/79/1073128479.db2.gz FICKLBXPHUECIP-UHFFFAOYSA-N 0 0 430.456 -0.294 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NC2CCN(CC(F)F)CC2)CC1 ZINC000671908138 1073128525 /nfs/dbraw/zinc/12/85/25/1073128525.db2.gz WNRKDQGZSAMVQG-HNNXBMFYSA-N 0 0 447.527 -0.483 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC2CCN(CC(F)F)CC2)CC1 ZINC000671908140 1073128342 /nfs/dbraw/zinc/12/83/42/1073128342.db2.gz WNRKDQGZSAMVQG-OAHLLOKOSA-N 0 0 447.527 -0.483 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(CC(F)F)CC2)CC1)N1CCCCC1 ZINC000671908621 1073128377 /nfs/dbraw/zinc/12/83/77/1073128377.db2.gz XFYHSWBXKQRAIY-UHFFFAOYSA-N 0 0 429.512 -0.011 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NC1CCN(CC(F)F)CC1 ZINC000671908660 1073128470 /nfs/dbraw/zinc/12/84/70/1073128470.db2.gz SSWYPPRLYTWLHU-UHFFFAOYSA-N 0 0 428.527 -0.673 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NC2CCN(CC(F)F)CC2)CC1 ZINC000671909148 1073128355 /nfs/dbraw/zinc/12/83/55/1073128355.db2.gz IZVBRTHVOHFGRX-UHFFFAOYSA-N 0 0 436.467 -0.142 20 0 IBADRN COc1cccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000671916075 1073128312 /nfs/dbraw/zinc/12/83/12/1073128312.db2.gz CLMABPCIKLQJRH-UHFFFAOYSA-N 0 0 426.477 -0.044 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000671916132 1073128510 /nfs/dbraw/zinc/12/85/10/1073128510.db2.gz FOPHHQTZDJPRFF-UHFFFAOYSA-N 0 0 441.492 -0.318 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCc2cccc(OC)n2)c1 ZINC000671918022 1073129059 /nfs/dbraw/zinc/12/90/59/1073129059.db2.gz YKOYJSURNMWQJP-UHFFFAOYSA-N 0 0 436.490 -0.053 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)n1 ZINC000671918204 1073128905 /nfs/dbraw/zinc/12/89/05/1073128905.db2.gz UJOZEHCDKHWGOJ-UHFFFAOYSA-N 0 0 428.511 -0.395 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccc(OC)n2)C1 ZINC000671918345 1073128890 /nfs/dbraw/zinc/12/88/90/1073128890.db2.gz ZLDRCOYKQKCUAH-HNNXBMFYSA-N 0 0 428.511 -0.395 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(OC)n2)C1 ZINC000671918346 1073129070 /nfs/dbraw/zinc/12/90/70/1073129070.db2.gz ZLDRCOYKQKCUAH-OAHLLOKOSA-N 0 0 428.511 -0.395 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000671919019 1073129011 /nfs/dbraw/zinc/12/90/11/1073129011.db2.gz RXUCHCZEMDLVMQ-HNNXBMFYSA-N 0 0 426.495 -0.641 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000671919020 1073128942 /nfs/dbraw/zinc/12/89/42/1073128942.db2.gz RXUCHCZEMDLVMQ-OAHLLOKOSA-N 0 0 426.495 -0.641 20 0 IBADRN COc1cccc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000671919454 1073128966 /nfs/dbraw/zinc/12/89/66/1073128966.db2.gz NPPBSASLMKNLDY-UHFFFAOYSA-N 0 0 427.465 -0.649 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000671919935 1073128956 /nfs/dbraw/zinc/12/89/56/1073128956.db2.gz ZFJRVVOXLKJJIX-UHFFFAOYSA-N 0 0 436.494 -0.719 20 0 IBADRN COc1ccccc1C(C)(C)CNC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000671922315 1073128991 /nfs/dbraw/zinc/12/89/91/1073128991.db2.gz OYJYMHFAVNGOKY-UHFFFAOYSA-N 0 0 429.481 -0.554 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2cc(OC)c(OCC)c(OC)c2)CC1 ZINC000671926821 1073129076 /nfs/dbraw/zinc/12/90/76/1073129076.db2.gz DJDFBHKNVPLYTN-UHFFFAOYSA-N 0 0 436.509 -0.001 20 0 IBADRN CO[C@H]1C[C@@H](C(N)=O)N(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000671943784 1073129040 /nfs/dbraw/zinc/12/90/40/1073129040.db2.gz JZKSASSRZPNNFF-OBJOEFQTSA-N 0 0 427.479 -0.384 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCCN1CCCc2ccccc21 ZINC000671944915 1073129663 /nfs/dbraw/zinc/12/96/63/1073129663.db2.gz NCFJBTYYEAYYHF-UHFFFAOYSA-N 0 0 435.506 -0.221 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCN2CCCc3ccccc32)CC1)N1CCOCC1 ZINC000671944977 1073129563 /nfs/dbraw/zinc/12/95/63/1073129563.db2.gz FMIWQPIQOVIUDR-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN O=C(NCCN1CCCc2ccccc21)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000671946121 1073130201 /nfs/dbraw/zinc/13/02/01/1073130201.db2.gz WIVBGBZXWYLYEG-UHFFFAOYSA-N 0 0 427.505 -0.495 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCCN2CCCc3ccccc32)CC1 ZINC000671946554 1073130271 /nfs/dbraw/zinc/13/02/71/1073130271.db2.gz MWHAIPPBYMIEQP-UHFFFAOYSA-N 0 0 443.548 -0.442 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCO[C@H]([C@H]2CCCO2)C1)N1CCN(c2ccccc2)CC1 ZINC000671948746 1073130289 /nfs/dbraw/zinc/13/02/89/1073130289.db2.gz LVZNQHYXYRAFAT-MOPGFXCFSA-N 0 0 430.505 -0.142 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCO[C@H]([C@@H]2CCCO2)C1)N1CCN(c2ccccc2)CC1 ZINC000671948756 1073130312 /nfs/dbraw/zinc/13/03/12/1073130312.db2.gz LVZNQHYXYRAFAT-OALUTQOASA-N 0 0 430.505 -0.142 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCO[C@@H]([C@@H]2CCCO2)C1)N1CCN(c2ccccc2)CC1 ZINC000671948758 1073130294 /nfs/dbraw/zinc/13/02/94/1073130294.db2.gz LVZNQHYXYRAFAT-RBUKOAKNSA-N 0 0 430.505 -0.142 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCO[C@@H]([C@H]2CCCO2)C1)N1CCN(c2ccccc2)CC1 ZINC000671948759 1073130161 /nfs/dbraw/zinc/13/01/61/1073130161.db2.gz LVZNQHYXYRAFAT-RTBURBONSA-N 0 0 430.505 -0.142 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC1CCN(c2nccn(C)c2=O)CC1 ZINC000671948901 1073130708 /nfs/dbraw/zinc/13/07/08/1073130708.db2.gz WVIYGTOFCFASSJ-LBPRGKRZSA-N 0 0 438.510 -0.163 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(C[C@@H]2CCCO2)CC1)N1CCN(c2ccccc2)CC1 ZINC000671948911 1073130865 /nfs/dbraw/zinc/13/08/65/1073130865.db2.gz XMGOPQIDXBLCLN-FQEVSTJZSA-N 0 0 443.548 -0.225 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCN(C[C@H]2CCCO2)CC1)N1CCN(c2ccccc2)CC1 ZINC000671948913 1073130736 /nfs/dbraw/zinc/13/07/36/1073130736.db2.gz XMGOPQIDXBLCLN-HXUWFJFHSA-N 0 0 443.548 -0.225 20 0 IBADRN Cn1cnnc1[C@@H]1CCCN1C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000671949245 1073130845 /nfs/dbraw/zinc/13/08/45/1073130845.db2.gz NFPXTAZMWIIFNK-KRWDZBQOSA-N 0 0 425.493 -0.056 20 0 IBADRN Cn1cnnc1[C@H]1CCCN1C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000671949249 1073130807 /nfs/dbraw/zinc/13/08/07/1073130807.db2.gz NFPXTAZMWIIFNK-QGZVFWFLSA-N 0 0 425.493 -0.056 20 0 IBADRN O=C(CNC(=O)C(=O)N1CCC(OCCCO)CC1)N1CCN(c2ccccc2)CC1 ZINC000671949280 1073130959 /nfs/dbraw/zinc/13/09/59/1073130959.db2.gz WAYMDDZSRUPCLV-UHFFFAOYSA-N 0 0 432.521 -0.159 20 0 IBADRN COCCOC[C@@H]1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000671949317 1073130880 /nfs/dbraw/zinc/13/08/80/1073130880.db2.gz YQSUOACWRAXQEQ-GOSISDBHSA-N 0 0 432.521 -0.037 20 0 IBADRN COCCOC[C@H]1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000671949319 1073130937 /nfs/dbraw/zinc/13/09/37/1073130937.db2.gz YQSUOACWRAXQEQ-SFHVURJKSA-N 0 0 432.521 -0.037 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000671949580 1073130697 /nfs/dbraw/zinc/13/06/97/1073130697.db2.gz GEFJCHAXKYLETK-GOSISDBHSA-N 0 0 430.505 -0.095 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000671949583 1073130829 /nfs/dbraw/zinc/13/08/29/1073130829.db2.gz GEFJCHAXKYLETK-SFHVURJKSA-N 0 0 430.505 -0.095 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cscn3)CC2)C[C@H](C)O1 ZINC000671958409 1073129617 /nfs/dbraw/zinc/12/96/17/1073129617.db2.gz NUPBUKOSYLXOTM-BETUJISGSA-N 0 0 431.540 -0.743 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cscn3)CC2)C[C@@H](C)O1 ZINC000671958410 1073129675 /nfs/dbraw/zinc/12/96/75/1073129675.db2.gz NUPBUKOSYLXOTM-CHWSQXEVSA-N 0 0 431.540 -0.743 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cscn3)CC2)C[C@H](C)O1 ZINC000671958411 1073129656 /nfs/dbraw/zinc/12/96/56/1073129656.db2.gz NUPBUKOSYLXOTM-STQMWFEESA-N 0 0 431.540 -0.743 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)CN1CCOCC1 ZINC000671960358 1073129606 /nfs/dbraw/zinc/12/96/06/1073129606.db2.gz AYJPUPSWCMIIKM-DLBZAZTESA-N 0 0 444.540 -0.459 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H]1CCCN(c2nccn3cnnc23)C1)CN1CCOCC1 ZINC000671960359 1073129524 /nfs/dbraw/zinc/12/95/24/1073129524.db2.gz AYJPUPSWCMIIKM-IAGOWNOFSA-N 0 0 444.540 -0.459 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)CN1CCOCC1 ZINC000671960360 1073129572 /nfs/dbraw/zinc/12/95/72/1073129572.db2.gz AYJPUPSWCMIIKM-IRXDYDNUSA-N 0 0 444.540 -0.459 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H]1CCCN(c2nccn3cnnc23)C1)CN1CCOCC1 ZINC000671960361 1073130212 /nfs/dbraw/zinc/13/02/12/1073130212.db2.gz AYJPUPSWCMIIKM-SJORKVTESA-N 0 0 444.540 -0.459 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)CN1CCOCC1 ZINC000671960883 1073129645 /nfs/dbraw/zinc/12/96/45/1073129645.db2.gz SGCCPJIVKAKXEO-HNNXBMFYSA-N 0 0 426.539 -0.217 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)CN1CCOCC1 ZINC000671960884 1073129678 /nfs/dbraw/zinc/12/96/78/1073129678.db2.gz SGCCPJIVKAKXEO-OAHLLOKOSA-N 0 0 426.539 -0.217 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1)CN1CCOCC1 ZINC000671960941 1073130142 /nfs/dbraw/zinc/13/01/42/1073130142.db2.gz DTUHPJDPPWZANL-INIZCTEOSA-N 0 0 438.550 -0.073 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1)CN1CCOCC1 ZINC000671960942 1073130238 /nfs/dbraw/zinc/13/02/38/1073130238.db2.gz DTUHPJDPPWZANL-MRXNPFEDSA-N 0 0 438.550 -0.073 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N[C@H]1COC[C@H]1n1ccnn1 ZINC000671961146 1073130277 /nfs/dbraw/zinc/13/02/77/1073130277.db2.gz SOJYDTDZGNHNMA-AEGPPILISA-N 0 0 437.478 -0.138 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000671961361 1073130112 /nfs/dbraw/zinc/13/01/12/1073130112.db2.gz MTLZZCQILKPDBL-HKUYNNGSSA-N 0 0 432.521 -0.118 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000671961362 1073130170 /nfs/dbraw/zinc/13/01/70/1073130170.db2.gz MTLZZCQILKPDBL-IEBWSBKVSA-N 0 0 432.521 -0.118 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000671961363 1073130155 /nfs/dbraw/zinc/13/01/55/1073130155.db2.gz MTLZZCQILKPDBL-MJGOQNOKSA-N 0 0 432.521 -0.118 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000671961364 1073130176 /nfs/dbraw/zinc/13/01/76/1073130176.db2.gz MTLZZCQILKPDBL-PKOBYXMFSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000671962413 1073130120 /nfs/dbraw/zinc/13/01/20/1073130120.db2.gz TVJBXBKWCSFHOP-UHFFFAOYSA-N 0 0 440.570 -0.039 20 0 IBADRN COc1nc(C)cc(C)c1CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000671966689 1073130726 /nfs/dbraw/zinc/13/07/26/1073130726.db2.gz OLQLYWLWSJTXER-UHFFFAOYSA-N 0 0 430.552 -0.182 20 0 IBADRN COc1nc(C)cc(C)c1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000671967340 1073130886 /nfs/dbraw/zinc/13/08/86/1073130886.db2.gz XWNZMJAUMLVKPZ-UHFFFAOYSA-N 0 0 433.509 -0.674 20 0 IBADRN COc1nc(C)cc(C)c1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000671968012 1073130852 /nfs/dbraw/zinc/13/08/52/1073130852.db2.gz PNKMQDYBKOWAIF-UHFFFAOYSA-N 0 0 433.509 -0.674 20 0 IBADRN COc1nc(C)cc(C)c1CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000671968275 1073131708 /nfs/dbraw/zinc/13/17/08/1073131708.db2.gz ZYAKVOCISVLRMR-UHFFFAOYSA-N 0 0 425.467 -0.453 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@H]3[C@@H](C2)NC(=O)N3C)cc1 ZINC000671976098 1073131642 /nfs/dbraw/zinc/13/16/42/1073131642.db2.gz BTHBJVIZJFNCBQ-IEAZIUSSSA-N 0 0 438.506 -0.556 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@H]3[C@@H](C2)NC(=O)N3C)cc1 ZINC000671976101 1073131704 /nfs/dbraw/zinc/13/17/04/1073131704.db2.gz BTHBJVIZJFNCBQ-VZEFYGNVSA-N 0 0 438.506 -0.556 20 0 IBADRN COCCN1C(=O)N[C@@H]2CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)CC[C@@H]21 ZINC000671976446 1073131581 /nfs/dbraw/zinc/13/15/81/1073131581.db2.gz AYZLDYSXYAGKFP-YUTCNCBUSA-N 0 0 429.499 -0.401 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc2c(c1)OCO2 ZINC000671976585 1073131496 /nfs/dbraw/zinc/13/14/96/1073131496.db2.gz WVQUYPUVDUTIRL-UHFFFAOYSA-N 0 0 444.488 -0.242 20 0 IBADRN CC(C)(CNC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)c1ccc2c(c1)OCCO2 ZINC000671977018 1073131559 /nfs/dbraw/zinc/13/15/59/1073131559.db2.gz DYBWPMVQFQICRH-UHFFFAOYSA-N 0 0 425.507 -0.141 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCc2ccc(NC(=O)c3cccnc3)cc2)C1 ZINC000671983910 1073132114 /nfs/dbraw/zinc/13/21/14/1073132114.db2.gz VTUOFXNDUMBHLE-UHFFFAOYSA-N 0 0 445.501 -0.042 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCc2ccc(NC(=O)c3ccncc3)cc2)C1 ZINC000671984137 1073132107 /nfs/dbraw/zinc/13/21/07/1073132107.db2.gz BOWFQPWVCBTBCA-UHFFFAOYSA-N 0 0 445.501 -0.042 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1C[C@H](F)C[C@H]1C(=O)N1CCOCC1 ZINC000671984512 1073132016 /nfs/dbraw/zinc/13/20/16/1073132016.db2.gz XZQMALRISVYCBA-YUTCNCBUSA-N 0 0 432.474 -0.244 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccsc1 ZINC000671988346 1073132202 /nfs/dbraw/zinc/13/22/02/1073132202.db2.gz CZBCDIQQNISVEW-AWEZNQCLSA-N 0 0 429.568 -0.438 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccsc1 ZINC000671988348 1073132032 /nfs/dbraw/zinc/13/20/32/1073132032.db2.gz CZBCDIQQNISVEW-CQSZACIVSA-N 0 0 429.568 -0.438 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000671994560 1073132956 /nfs/dbraw/zinc/13/29/56/1073132956.db2.gz SRPXIXKQQFSHCK-UHFFFAOYSA-N 0 0 436.484 -0.398 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1)[C@@H]1CN(C)CCO1 ZINC000671994825 1073133112 /nfs/dbraw/zinc/13/31/12/1073133112.db2.gz GNKIRPOZXREQSP-HKUYNNGSSA-N 0 0 431.537 -0.323 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1)[C@H]1CN(C)CCO1 ZINC000671994827 1073132900 /nfs/dbraw/zinc/13/29/00/1073132900.db2.gz GNKIRPOZXREQSP-IEBWSBKVSA-N 0 0 431.537 -0.323 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1)[C@@H]1CN(C)CCO1 ZINC000671994828 1073132913 /nfs/dbraw/zinc/13/29/13/1073132913.db2.gz GNKIRPOZXREQSP-MJGOQNOKSA-N 0 0 431.537 -0.323 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1)[C@H]1CN(C)CCO1 ZINC000671994829 1073133009 /nfs/dbraw/zinc/13/30/09/1073133009.db2.gz GNKIRPOZXREQSP-PKOBYXMFSA-N 0 0 431.537 -0.323 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000671995121 1073133570 /nfs/dbraw/zinc/13/35/70/1073133570.db2.gz AZGBHTONMYQMHE-UHFFFAOYSA-N 0 0 433.509 -0.764 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)CC1 ZINC000671995122 1073133586 /nfs/dbraw/zinc/13/35/86/1073133586.db2.gz AKCYJEUGDSTXKY-UHFFFAOYSA-N 0 0 428.486 -0.906 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000671995183 1073133667 /nfs/dbraw/zinc/13/36/67/1073133667.db2.gz FVHMODLPQBBWGL-UHFFFAOYSA-N 0 0 432.521 -0.159 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCNC(=O)Cc1cccc(F)c1)CC2 ZINC000671995298 1073133090 /nfs/dbraw/zinc/13/30/90/1073133090.db2.gz JFHYOZUACKSQIB-HNNXBMFYSA-N 0 0 432.456 -0.530 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCNC(=O)Cc1cccc(F)c1)CC2 ZINC000671995306 1073132951 /nfs/dbraw/zinc/13/29/51/1073132951.db2.gz JFHYOZUACKSQIB-OAHLLOKOSA-N 0 0 432.456 -0.530 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@H]([C@H]2CCCO2)C1)N1CCN(c2ccccn2)CC1 ZINC000671995342 1073132973 /nfs/dbraw/zinc/13/29/73/1073132973.db2.gz QJHOMKGVTLEBJB-MSOLQXFVSA-N 0 0 445.520 -0.357 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@@H]([C@H]2CCCO2)C1)N1CCN(c2ccccn2)CC1 ZINC000671995354 1073133591 /nfs/dbraw/zinc/13/35/91/1073133591.db2.gz QJHOMKGVTLEBJB-QZTJIDSGSA-N 0 0 445.520 -0.357 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@H]([C@@H]2CCCO2)C1)N1CCN(c2ccccn2)CC1 ZINC000671995356 1073133632 /nfs/dbraw/zinc/13/36/32/1073133632.db2.gz QJHOMKGVTLEBJB-ROUUACIJSA-N 0 0 445.520 -0.357 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@@H]([C@@H]2CCCO2)C1)N1CCN(c2ccccn2)CC1 ZINC000671995357 1073133486 /nfs/dbraw/zinc/13/34/86/1073133486.db2.gz QJHOMKGVTLEBJB-ZWKOTPCHSA-N 0 0 445.520 -0.357 20 0 IBADRN COC1(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCOCC1 ZINC000671995364 1073132934 /nfs/dbraw/zinc/13/29/34/1073132934.db2.gz QTYHCAJFFBEZSF-UHFFFAOYSA-N 0 0 433.509 -0.452 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000671995515 1073133101 /nfs/dbraw/zinc/13/31/01/1073133101.db2.gz XPYMVWMMLBNRGO-UHFFFAOYSA-N 0 0 433.509 -0.764 20 0 IBADRN Cc1cn2c(n1)CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000671998029 1073134323 /nfs/dbraw/zinc/13/43/23/1073134323.db2.gz HBRGQIQKUDYLJR-UHFFFAOYSA-N 0 0 425.493 -0.216 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCOC[C@H]1CCOC1)N1CCN(c2ccccn2)CC1 ZINC000671998061 1073133509 /nfs/dbraw/zinc/13/35/09/1073133509.db2.gz KJNVEKTVIMMZLA-KRWDZBQOSA-N 0 0 433.509 -0.594 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCOC[C@@H]1CCOC1)N1CCN(c2ccccn2)CC1 ZINC000671998066 1073133520 /nfs/dbraw/zinc/13/35/20/1073133520.db2.gz KJNVEKTVIMMZLA-QGZVFWFLSA-N 0 0 433.509 -0.594 20 0 IBADRN Cn1ccnc1[C@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000671998092 1073133494 /nfs/dbraw/zinc/13/34/94/1073133494.db2.gz OBTSDXTVDKTHRF-KRWDZBQOSA-N 0 0 439.520 -0.014 20 0 IBADRN Cn1ccnc1[C@@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000671998094 1073133503 /nfs/dbraw/zinc/13/35/03/1073133503.db2.gz OBTSDXTVDKTHRF-QGZVFWFLSA-N 0 0 439.520 -0.014 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCO[C@H]1CCOC1)N1CCN(c2ccccn2)CC1 ZINC000671998104 1073133705 /nfs/dbraw/zinc/13/37/05/1073133705.db2.gz PHRPSBZYNVMBHX-KRWDZBQOSA-N 0 0 433.509 -0.452 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCCO[C@@H]1CCOC1)N1CCN(c2ccccn2)CC1 ZINC000671998105 1073133608 /nfs/dbraw/zinc/13/36/08/1073133608.db2.gz PHRPSBZYNVMBHX-QGZVFWFLSA-N 0 0 433.509 -0.452 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC(OCCCO)CC1)N1CCN(c2ccccn2)CC1 ZINC000671998112 1073133623 /nfs/dbraw/zinc/13/36/23/1073133623.db2.gz QJDQRTUATTUKTN-UHFFFAOYSA-N 0 0 447.536 -0.374 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@H]1CN(C)CCO1 ZINC000671998146 1073134340 /nfs/dbraw/zinc/13/43/40/1073134340.db2.gz SVRRMIHRAWDZQH-DLBZAZTESA-N 0 0 432.525 -0.928 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@H]1CN(C)CCO1 ZINC000671998147 1073134303 /nfs/dbraw/zinc/13/43/03/1073134303.db2.gz SVRRMIHRAWDZQH-IAGOWNOFSA-N 0 0 432.525 -0.928 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CN(C)CCO1 ZINC000671998148 1073134188 /nfs/dbraw/zinc/13/41/88/1073134188.db2.gz SVRRMIHRAWDZQH-IRXDYDNUSA-N 0 0 432.525 -0.928 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CN(C)CCO1 ZINC000671998149 1073134257 /nfs/dbraw/zinc/13/42/57/1073134257.db2.gz SVRRMIHRAWDZQH-SJORKVTESA-N 0 0 432.525 -0.928 20 0 IBADRN CCc1cc(N2CCC(NC(=O)C(=O)NCCNC(=O)c3cnccn3)CC2)ncn1 ZINC000672001842 1073134331 /nfs/dbraw/zinc/13/43/31/1073134331.db2.gz DVFCXHQZJMCITP-UHFFFAOYSA-N 0 0 426.481 -0.540 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCO[C@H](C)[C@H]1C(N)=O ZINC000672014992 1073364663 /nfs/dbraw/zinc/36/46/63/1073364663.db2.gz ZVLFSITYTLGGMM-OZVIIMIRSA-N 0 0 427.479 -0.384 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000672016818 1073135913 /nfs/dbraw/zinc/13/59/13/1073135913.db2.gz YZCPZLMULCQNAS-AWEZNQCLSA-N 0 0 435.912 -0.158 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000672016819 1073135961 /nfs/dbraw/zinc/13/59/61/1073135961.db2.gz YZCPZLMULCQNAS-CQSZACIVSA-N 0 0 435.912 -0.158 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000672020537 1073137200 /nfs/dbraw/zinc/13/72/00/1073137200.db2.gz BSDFBCJWVCMKEO-UHFFFAOYSA-N 0 0 449.527 -0.022 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCNC(=O)C(C)(C)C)no2)cn1 ZINC000672020666 1073136367 /nfs/dbraw/zinc/13/63/67/1073136367.db2.gz CIJBITGZTUPDKO-CYBMUJFWSA-N 0 0 433.469 -0.352 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCNC(=O)C(C)(C)C)no2)cn1 ZINC000672020667 1073136558 /nfs/dbraw/zinc/13/65/58/1073136558.db2.gz CIJBITGZTUPDKO-ZDUSSCGKSA-N 0 0 433.469 -0.352 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@](O)(Cn3ccnn3)C2)cc1C ZINC000672023216 1073137255 /nfs/dbraw/zinc/13/72/55/1073137255.db2.gz IQXRHKHDZKYYSR-IMFGXOCKSA-N 0 0 449.533 -0.318 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@](O)(Cn3ccnn3)C2)cc1C ZINC000672023218 1073137207 /nfs/dbraw/zinc/13/72/07/1073137207.db2.gz IQXRHKHDZKYYSR-KPFFTGBYSA-N 0 0 449.533 -0.318 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@](O)(Cn3ccnn3)C2)cc1C ZINC000672023220 1073137257 /nfs/dbraw/zinc/13/72/57/1073137257.db2.gz IQXRHKHDZKYYSR-QRFRQXIXSA-N 0 0 449.533 -0.318 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@](O)(Cn3ccnn3)C2)cc1C ZINC000672023221 1073137788 /nfs/dbraw/zinc/13/77/88/1073137788.db2.gz IQXRHKHDZKYYSR-XKGZKEIXSA-N 0 0 449.533 -0.318 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CC[C@](O)(Cn3ccnn3)C2)cc1 ZINC000672023753 1073137259 /nfs/dbraw/zinc/13/72/59/1073137259.db2.gz SFBPTDPVVPKZBH-SCLBCKFNSA-N 0 0 436.494 -0.433 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CC[C@@](O)(Cn3ccnn3)C2)cc1 ZINC000672023754 1073137269 /nfs/dbraw/zinc/13/72/69/1073137269.db2.gz SFBPTDPVVPKZBH-UGSOOPFHSA-N 0 0 436.494 -0.433 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC[C@@](O)(C(N)=O)C1 ZINC000672024354 1073137833 /nfs/dbraw/zinc/13/78/33/1073137833.db2.gz NDKXOENRHCEXPI-LPHOPBHVSA-N 0 0 441.506 -0.401 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC[C@](O)(C(N)=O)C1 ZINC000672024355 1073137773 /nfs/dbraw/zinc/13/77/73/1073137773.db2.gz NDKXOENRHCEXPI-QFBILLFUSA-N 0 0 441.506 -0.401 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@](O)(C(=O)N2CCCC2)C1 ZINC000672024626 1073137706 /nfs/dbraw/zinc/13/77/06/1073137706.db2.gz PHDVTBOVJZBBDW-SCLBCKFNSA-N 0 0 428.511 -0.066 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@](O)(C(=O)N2CCCC2)C1 ZINC000672024627 1073137827 /nfs/dbraw/zinc/13/78/27/1073137827.db2.gz PHDVTBOVJZBBDW-UGSOOPFHSA-N 0 0 428.511 -0.066 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@@](O)(C(N)=O)C2)c(C)c1 ZINC000672024858 1073137793 /nfs/dbraw/zinc/13/77/93/1073137793.db2.gz OVIBOXHRJJHODX-FTRWYGJKSA-N 0 0 439.534 -0.425 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@](O)(C(N)=O)C2)c(C)c1 ZINC000672024859 1073137800 /nfs/dbraw/zinc/13/78/00/1073137800.db2.gz OVIBOXHRJJHODX-GQIGUUNPSA-N 0 0 439.534 -0.425 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@@](O)(C(N)=O)C2)c(C)c1 ZINC000672024860 1073137715 /nfs/dbraw/zinc/13/77/15/1073137715.db2.gz OVIBOXHRJJHODX-GUXCAODWSA-N 0 0 439.534 -0.425 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@](O)(C(N)=O)C2)c(C)c1 ZINC000672024861 1073137759 /nfs/dbraw/zinc/13/77/59/1073137759.db2.gz OVIBOXHRJJHODX-TWOQFEAHSA-N 0 0 439.534 -0.425 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCC[C@](O)(C(N)=O)C1 ZINC000672024876 1073137723 /nfs/dbraw/zinc/13/77/23/1073137723.db2.gz SYMOSRHSSSDXKM-KPZWWZAWSA-N 0 0 427.479 -0.647 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCC[C@@](O)(C(N)=O)C1 ZINC000672024877 1073137699 /nfs/dbraw/zinc/13/76/99/1073137699.db2.gz SYMOSRHSSSDXKM-SGTLLEGYSA-N 0 0 427.479 -0.647 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@@](O)(C(N)=O)C2)cc1C ZINC000672024958 1073137768 /nfs/dbraw/zinc/13/77/68/1073137768.db2.gz UCMPQAQVNWMECI-ALKREAHSSA-N 0 0 425.507 -0.734 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@@](O)(C(N)=O)C2)cc1C ZINC000672024959 1073137763 /nfs/dbraw/zinc/13/77/63/1073137763.db2.gz UCMPQAQVNWMECI-QOKNQOGYSA-N 0 0 425.507 -0.734 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@](O)(C(N)=O)C2)cc1C ZINC000672024960 1073137783 /nfs/dbraw/zinc/13/77/83/1073137783.db2.gz UCMPQAQVNWMECI-SIXWZSSISA-N 0 0 425.507 -0.734 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@](O)(C(N)=O)C2)cc1C ZINC000672024961 1073137798 /nfs/dbraw/zinc/13/77/98/1073137798.db2.gz UCMPQAQVNWMECI-URLQWDBASA-N 0 0 425.507 -0.734 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CCC(=O)N1C ZINC000672031226 1073138343 /nfs/dbraw/zinc/13/83/43/1073138343.db2.gz PSDPSLCFWILJEE-HGHGUNKESA-N 0 0 446.552 -0.089 20 0 IBADRN Cn1nccc1[C@H]1[C@H](NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CCC(=O)N1C ZINC000672031227 1073138487 /nfs/dbraw/zinc/13/84/87/1073138487.db2.gz PSDPSLCFWILJEE-PLMTUMEDSA-N 0 0 446.552 -0.089 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)NCc2cccc(S(=O)(=O)N3CCCCC3)c2)on1 ZINC000672032372 1073138467 /nfs/dbraw/zinc/13/84/67/1073138467.db2.gz KTUGPAIQHKOBSB-UHFFFAOYSA-N 0 0 449.489 -0.119 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)C[C@@H]1c1cnn(C)c1 ZINC000672041310 1073139639 /nfs/dbraw/zinc/13/96/39/1073139639.db2.gz QQBSVXIUAKUUPX-GLQYFDAESA-N 0 0 438.510 -0.320 20 0 IBADRN COc1ccccc1C1(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000672042356 1073140332 /nfs/dbraw/zinc/14/03/32/1073140332.db2.gz BSEIYKDVJTVGBL-UHFFFAOYSA-N 0 0 428.489 -0.208 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)CC1 ZINC000672042641 1073140423 /nfs/dbraw/zinc/14/04/23/1073140423.db2.gz CWZHXVZCOAATQS-UHFFFAOYSA-N 0 0 432.521 -0.029 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)CC1 ZINC000672042670 1073139537 /nfs/dbraw/zinc/13/95/37/1073139537.db2.gz LQILWAMXZLBAPR-UHFFFAOYSA-N 0 0 427.505 -0.196 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)CC1 ZINC000672042726 1073139798 /nfs/dbraw/zinc/13/97/98/1073139798.db2.gz BFAXYKBPDVCDSM-JXFKEZNVSA-N 0 0 431.537 -0.193 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)CC1 ZINC000672042727 1073139856 /nfs/dbraw/zinc/13/98/56/1073139856.db2.gz BFAXYKBPDVCDSM-OXJNMPFZSA-N 0 0 431.537 -0.193 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)CC1 ZINC000672042728 1073140459 /nfs/dbraw/zinc/14/04/59/1073140459.db2.gz BFAXYKBPDVCDSM-OXQOHEQNSA-N 0 0 431.537 -0.193 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)CC1 ZINC000672042729 1073140384 /nfs/dbraw/zinc/14/03/84/1073140384.db2.gz BFAXYKBPDVCDSM-UZLBHIALSA-N 0 0 431.537 -0.193 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(C)(C)c1ccccc1 ZINC000672043363 1073140261 /nfs/dbraw/zinc/14/02/61/1073140261.db2.gz AVHVIIIHMXZWSK-HNNXBMFYSA-N 0 0 442.520 -0.042 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(C)(C)c1ccccc1 ZINC000672043364 1073140168 /nfs/dbraw/zinc/14/01/68/1073140168.db2.gz AVHVIIIHMXZWSK-OAHLLOKOSA-N 0 0 442.520 -0.042 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@H](CO)c1nc(-c3ccccc3)no1)c(=O)n2C ZINC000672050844 1073140230 /nfs/dbraw/zinc/14/02/30/1073140230.db2.gz HADZOFDBEKLKIM-GFCCVEGCSA-N 0 0 425.405 -0.667 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N[C@@H](CO)c1nc(-c3ccccc3)no1)c(=O)n2C ZINC000672050845 1073140413 /nfs/dbraw/zinc/14/04/13/1073140413.db2.gz HADZOFDBEKLKIM-LBPRGKRZSA-N 0 0 425.405 -0.667 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H](CO)c3nc(-c4ccccc4)no3)c2c(=O)n(C)c1=O ZINC000672050936 1073140281 /nfs/dbraw/zinc/14/02/81/1073140281.db2.gz JNMGOHPJLIVMNI-CYBMUJFWSA-N 0 0 439.432 -0.276 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H](CO)c3nc(-c4ccccc4)no3)c2c(=O)n(C)c1=O ZINC000672050937 1073140191 /nfs/dbraw/zinc/14/01/91/1073140191.db2.gz JNMGOHPJLIVMNI-ZDUSSCGKSA-N 0 0 439.432 -0.276 20 0 IBADRN COCCOCCCCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000672052199 1073140270 /nfs/dbraw/zinc/14/02/70/1073140270.db2.gz VXNLKKAIMZGZSW-INIZCTEOSA-N 0 0 435.543 -0.801 20 0 IBADRN COCCOCCCCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000672052200 1073140420 /nfs/dbraw/zinc/14/04/20/1073140420.db2.gz VXNLKKAIMZGZSW-MRXNPFEDSA-N 0 0 435.543 -0.801 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCc2ccc(O)cc2C1)N1CCN(c2ncccn2)CC1 ZINC000672061672 1073143740 /nfs/dbraw/zinc/14/37/40/1073143740.db2.gz NPVKEMYTEANRQR-UHFFFAOYSA-N 0 0 438.488 -0.078 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@H](c2ccco2)C1)N1CCN(c2ncccn2)CC1 ZINC000672061762 1073143875 /nfs/dbraw/zinc/14/38/75/1073143875.db2.gz MAIQZVZODIOFKV-KRWDZBQOSA-N 0 0 442.476 -0.175 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@@H](c2ccco2)C1)N1CCN(c2ncccn2)CC1 ZINC000672061763 1073143849 /nfs/dbraw/zinc/14/38/49/1073143849.db2.gz MAIQZVZODIOFKV-QGZVFWFLSA-N 0 0 442.476 -0.175 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1ccc(Cl)cn1)N1CCN(c2ncccn2)CC1 ZINC000672061769 1073143809 /nfs/dbraw/zinc/14/38/09/1073143809.db2.gz OVLXHCWKRMVBTP-UHFFFAOYSA-N 0 0 431.884 -0.004 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H](c2cc[nH]n2)C1)N1CCN(c2ncccn2)CC1 ZINC000672061771 1073143787 /nfs/dbraw/zinc/14/37/87/1073143787.db2.gz PMQBYEVVJYDBCC-INIZCTEOSA-N 0 0 440.508 -0.239 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H](c2cc[nH]n2)C1)N1CCN(c2ncccn2)CC1 ZINC000672061772 1073143764 /nfs/dbraw/zinc/14/37/64/1073143764.db2.gz PMQBYEVVJYDBCC-MRXNPFEDSA-N 0 0 440.508 -0.239 20 0 IBADRN Cc1ccc(CN(C)C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)nc1 ZINC000672061788 1073143775 /nfs/dbraw/zinc/14/37/75/1073143775.db2.gz XWILSFIZZNCGJB-UHFFFAOYSA-N 0 0 425.493 -0.006 20 0 IBADRN CCN1C[C@@H](C)N(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@@H]1C ZINC000672061832 1073143237 /nfs/dbraw/zinc/14/32/37/1073143237.db2.gz LRZJMJBEOMERPE-DLBZAZTESA-N 0 0 431.541 -0.427 20 0 IBADRN CCN1C[C@@H](C)N(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@H]1C ZINC000672061833 1073143403 /nfs/dbraw/zinc/14/34/03/1073143403.db2.gz LRZJMJBEOMERPE-IAGOWNOFSA-N 0 0 431.541 -0.427 20 0 IBADRN CCN1C[C@H](C)N(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@@H]1C ZINC000672061834 1073143261 /nfs/dbraw/zinc/14/32/61/1073143261.db2.gz LRZJMJBEOMERPE-IRXDYDNUSA-N 0 0 431.541 -0.427 20 0 IBADRN CCN1C[C@H](C)N(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C[C@H]1C ZINC000672061835 1073143369 /nfs/dbraw/zinc/14/33/69/1073143369.db2.gz LRZJMJBEOMERPE-SJORKVTESA-N 0 0 431.541 -0.427 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@@H]([C@@H]2CCCO2)C1)N1CCN(c2ncccn2)CC1 ZINC000672061858 1073143277 /nfs/dbraw/zinc/14/32/77/1073143277.db2.gz UMYULUVIQYOMFT-DLBZAZTESA-N 0 0 446.508 -0.962 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@@H]([C@H]2CCCO2)C1)N1CCN(c2ncccn2)CC1 ZINC000672061859 1073143226 /nfs/dbraw/zinc/14/32/26/1073143226.db2.gz UMYULUVIQYOMFT-IAGOWNOFSA-N 0 0 446.508 -0.962 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@H]([C@@H]2CCCO2)C1)N1CCN(c2ncccn2)CC1 ZINC000672061860 1073143317 /nfs/dbraw/zinc/14/33/17/1073143317.db2.gz UMYULUVIQYOMFT-IRXDYDNUSA-N 0 0 446.508 -0.962 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCO[C@H]([C@H]2CCCO2)C1)N1CCN(c2ncccn2)CC1 ZINC000672061861 1073143341 /nfs/dbraw/zinc/14/33/41/1073143341.db2.gz UMYULUVIQYOMFT-SJORKVTESA-N 0 0 446.508 -0.962 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCOC[C@H]1c1ccco1)N1CCN(c2ncccn2)CC1 ZINC000672061865 1073143434 /nfs/dbraw/zinc/14/34/34/1073143434.db2.gz XBMGCMSPBDIPNY-INIZCTEOSA-N 0 0 442.476 -0.175 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCOC[C@@H]1c1ccco1)N1CCN(c2ncccn2)CC1 ZINC000672061866 1073143204 /nfs/dbraw/zinc/14/32/04/1073143204.db2.gz XBMGCMSPBDIPNY-MRXNPFEDSA-N 0 0 442.476 -0.175 20 0 IBADRN CCn1nc(C)c(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1C ZINC000672061915 1073143333 /nfs/dbraw/zinc/14/33/33/1073143333.db2.gz MIEVXDIRFFLFQV-UHFFFAOYSA-N 0 0 442.524 -0.219 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H](OC(F)F)C1)N1CCN(c2ncccn2)CC1 ZINC000672061931 1073143857 /nfs/dbraw/zinc/14/38/57/1073143857.db2.gz SKCIVQBWPQAQCS-AWEZNQCLSA-N 0 0 440.451 -0.138 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H](OC(F)F)C1)N1CCN(c2ncccn2)CC1 ZINC000672061932 1073143826 /nfs/dbraw/zinc/14/38/26/1073143826.db2.gz SKCIVQBWPQAQCS-CQSZACIVSA-N 0 0 440.451 -0.138 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000672061951 1073143357 /nfs/dbraw/zinc/14/33/57/1073143357.db2.gz XUVUYWJYIXRCGK-UHFFFAOYSA-N 0 0 431.541 -0.568 20 0 IBADRN COC[C@H]1CCCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000672062020 1073143892 /nfs/dbraw/zinc/14/38/92/1073143892.db2.gz GCEKOPAUMIISND-KRWDZBQOSA-N 0 0 432.525 -0.093 20 0 IBADRN COC[C@@H]1CCCCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000672062021 1073144464 /nfs/dbraw/zinc/14/44/64/1073144464.db2.gz GCEKOPAUMIISND-QGZVFWFLSA-N 0 0 432.525 -0.093 20 0 IBADRN CCc1cnc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)s1 ZINC000672062025 1073144459 /nfs/dbraw/zinc/14/44/59/1073144459.db2.gz HZORBTJNBMPNDG-UHFFFAOYSA-N 0 0 431.522 -0.033 20 0 IBADRN CN(C)c1nc(CNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cs1 ZINC000672062074 1073144501 /nfs/dbraw/zinc/14/45/01/1073144501.db2.gz UGLAKYBZFIDBMM-UHFFFAOYSA-N 0 0 446.537 -0.530 20 0 IBADRN Cc1cn2c(n1)CN(C(=O)C(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC000672062141 1073144890 /nfs/dbraw/zinc/14/48/90/1073144890.db2.gz PIPBFNVPPZLBQY-UHFFFAOYSA-N 0 0 426.481 -0.821 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H](n2ccnc2)C1)N1CCN(c2ncccn2)CC1 ZINC000672062151 1073144946 /nfs/dbraw/zinc/14/49/46/1073144946.db2.gz RTQTXXXWKPZYHS-KRWDZBQOSA-N 0 0 440.508 -0.308 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H](n2ccnc2)C1)N1CCN(c2ncccn2)CC1 ZINC000672062152 1073144980 /nfs/dbraw/zinc/14/49/80/1073144980.db2.gz RTQTXXXWKPZYHS-QGZVFWFLSA-N 0 0 440.508 -0.308 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000672062249 1073144430 /nfs/dbraw/zinc/14/44/30/1073144430.db2.gz IGZWGYIRCLGMNF-UHFFFAOYSA-N 0 0 425.493 -0.354 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(c2cccnc2)C1=O ZINC000672062303 1073144971 /nfs/dbraw/zinc/14/49/71/1073144971.db2.gz ALGLKWGYINXYRM-GOSISDBHSA-N 0 0 438.488 -0.095 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCCN(c2cccnc2)C1=O ZINC000672062304 1073144956 /nfs/dbraw/zinc/14/49/56/1073144956.db2.gz ALGLKWGYINXYRM-SFHVURJKSA-N 0 0 438.488 -0.095 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCN(C(=O)NC(C)(C)C)CC1 ZINC000672062338 1073144912 /nfs/dbraw/zinc/14/49/12/1073144912.db2.gz IGSBQXWJEDQZOT-UHFFFAOYSA-N 0 0 432.525 -0.149 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@H]1CCCN(c2cnn(C)c2)C1 ZINC000672062373 1073144932 /nfs/dbraw/zinc/14/49/32/1073144932.db2.gz SCVNZBHFLNHTQD-KRWDZBQOSA-N 0 0 427.509 -0.283 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(c2cnn(C)c2)C1 ZINC000672062374 1073144470 /nfs/dbraw/zinc/14/44/70/1073144470.db2.gz SCVNZBHFLNHTQD-QGZVFWFLSA-N 0 0 427.509 -0.283 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)cc1OC(F)F ZINC000672108512 1073149830 /nfs/dbraw/zinc/14/98/30/1073149830.db2.gz YKKVALSYSDHJMJ-UHFFFAOYSA-N 0 0 435.449 -0.037 20 0 IBADRN COc1cccc(N2C[C@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)CC2=O)c1 ZINC000672111821 1073149915 /nfs/dbraw/zinc/14/99/15/1073149915.db2.gz ZXVYUPXOAIQPOZ-GFCCVEGCSA-N 0 0 445.505 -0.301 20 0 IBADRN COc1cccc(N2C[C@@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)CC2=O)c1 ZINC000672111822 1073149817 /nfs/dbraw/zinc/14/98/17/1073149817.db2.gz ZXVYUPXOAIQPOZ-LBPRGKRZSA-N 0 0 445.505 -0.301 20 0 IBADRN NC(=O)c1cccc(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)c1 ZINC000672114369 1073151544 /nfs/dbraw/zinc/15/15/44/1073151544.db2.gz NJBLUWDAFFPFIV-UHFFFAOYSA-N 0 0 429.521 -0.399 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc2)c1 ZINC000672116413 1073152047 /nfs/dbraw/zinc/15/20/47/1073152047.db2.gz BMWRACINFINMIE-CYBMUJFWSA-N 0 0 443.507 -0.685 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)Nc2ccc(S(=O)(=O)N3CCC[C@H]3CO)cc2)c1 ZINC000672116414 1073152140 /nfs/dbraw/zinc/15/21/40/1073152140.db2.gz BMWRACINFINMIE-ZDUSSCGKSA-N 0 0 443.507 -0.685 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C[C@@H]3CCCO3)CC2)c(S(N)(=O)=O)c1 ZINC000672142511 1073152566 /nfs/dbraw/zinc/15/25/66/1073152566.db2.gz LSBMTGWZLRQOLS-AWEZNQCLSA-N 0 0 432.568 -0.115 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C[C@H]3CCCO3)CC2)c(S(N)(=O)=O)c1 ZINC000672142512 1073152629 /nfs/dbraw/zinc/15/26/29/1073152629.db2.gz LSBMTGWZLRQOLS-CQSZACIVSA-N 0 0 432.568 -0.115 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCC2(O)CCOCC2)cc1 ZINC000672143633 1073152602 /nfs/dbraw/zinc/15/26/02/1073152602.db2.gz ZFAKIWPJPFSCFO-PBHICJAKSA-N 0 0 428.507 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCC2(O)CCOCC2)cc1 ZINC000672143634 1073152643 /nfs/dbraw/zinc/15/26/43/1073152643.db2.gz ZFAKIWPJPFSCFO-YOEHRIQHSA-N 0 0 428.507 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)N3CCCC3)CC2)c(S(N)(=O)=O)c1 ZINC000672159013 1073155992 /nfs/dbraw/zinc/15/59/92/1073155992.db2.gz XIIRVQONTQSUAJ-UHFFFAOYSA-N 0 0 445.567 -0.078 20 0 IBADRN O=C(N1CCCCC1)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000672159022 1073155972 /nfs/dbraw/zinc/15/59/72/1073155972.db2.gz ADOATVCVKCKQIL-NVQRDWNXSA-N 0 0 447.496 -0.834 20 0 IBADRN CC(C)(C)CC(=O)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000672160773 1073156000 /nfs/dbraw/zinc/15/60/00/1073156000.db2.gz GCQWIMJNIMEXDY-BGIGGGFGSA-N 0 0 434.497 -0.477 20 0 IBADRN CCC(CC)C(=O)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000672160883 1073156034 /nfs/dbraw/zinc/15/60/34/1073156034.db2.gz JYGHQNZXHYJJMX-KHTYJDQRSA-N 0 0 434.497 -0.477 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(c2cncc(N3CCC[C@H](NS(C)(=O)=O)C3)n2)C1 ZINC000672163221 1073157355 /nfs/dbraw/zinc/15/73/55/1073157355.db2.gz NXWCBQCBFIGXHM-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(c2cncc(N3CCC[C@H](NS(C)(=O)=O)C3)n2)C1 ZINC000672163222 1073157297 /nfs/dbraw/zinc/15/72/97/1073157297.db2.gz NXWCBQCBFIGXHM-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(c2cncc(N3CCC[C@@H](NS(C)(=O)=O)C3)n2)C1 ZINC000672163223 1073157382 /nfs/dbraw/zinc/15/73/82/1073157382.db2.gz NXWCBQCBFIGXHM-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000672166390 1073157359 /nfs/dbraw/zinc/15/73/59/1073157359.db2.gz FRCLSPDIJLEIAL-MKCWBWRRSA-N 0 0 426.278 -0.920 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000672166391 1073157443 /nfs/dbraw/zinc/15/74/43/1073157443.db2.gz FRCLSPDIJLEIAL-QILRFPOHSA-N 0 0 426.278 -0.920 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N3CC[C@H](CO)C3)cc2)CC1 ZINC000672168382 1073157388 /nfs/dbraw/zinc/15/73/88/1073157388.db2.gz XMHZBSFWBOFQFY-FQEVSTJZSA-N 0 0 446.548 -0.271 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N3CC[C@@H](CO)C3)cc2)CC1 ZINC000672168383 1073157432 /nfs/dbraw/zinc/15/74/32/1073157432.db2.gz XMHZBSFWBOFQFY-HXUWFJFHSA-N 0 0 446.548 -0.271 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N[C@H]3CCOC3)cc2)CC1 ZINC000672168419 1073157490 /nfs/dbraw/zinc/15/74/90/1073157490.db2.gz KEALEFUAHPRQGE-IBGZPJMESA-N 0 0 432.521 -0.207 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N[C@@H]3CCOC3)cc2)CC1 ZINC000672168420 1073157481 /nfs/dbraw/zinc/15/74/81/1073157481.db2.gz KEALEFUAHPRQGE-LJQANCHMSA-N 0 0 432.521 -0.207 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N(C)C[C@H](C)O)cc2)CC1 ZINC000672168470 1073157851 /nfs/dbraw/zinc/15/78/51/1073157851.db2.gz XZQHXADAFDQPJG-KRWDZBQOSA-N 0 0 434.537 -0.273 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C(=O)N(C)C[C@@H](C)O)cc2)CC1 ZINC000672168471 1073157819 /nfs/dbraw/zinc/15/78/19/1073157819.db2.gz XZQHXADAFDQPJG-QGZVFWFLSA-N 0 0 434.537 -0.273 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCC(NS(=O)(=O)c3ccccc3)CC1)CC2 ZINC000672168498 1073157831 /nfs/dbraw/zinc/15/78/31/1073157831.db2.gz IQFPWTLNHYDRHG-INIZCTEOSA-N 0 0 446.533 -0.013 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC(NS(=O)(=O)c3ccccc3)CC1)CC2 ZINC000672168499 1073157846 /nfs/dbraw/zinc/15/78/46/1073157846.db2.gz IQFPWTLNHYDRHG-MRXNPFEDSA-N 0 0 446.533 -0.013 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)CC2 ZINC000672169180 1073157808 /nfs/dbraw/zinc/15/78/08/1073157808.db2.gz PHLMXUUSEPTAMZ-UXLLHSPISA-N 0 0 432.504 -0.178 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N(C)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C)CC2 ZINC000672169181 1073157804 /nfs/dbraw/zinc/15/78/04/1073157804.db2.gz PHLMXUUSEPTAMZ-ZOBUZTSGSA-N 0 0 432.504 -0.178 20 0 IBADRN Cc1nccc([C@@H]2CCCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2)n1 ZINC000672169830 1073157825 /nfs/dbraw/zinc/15/78/25/1073157825.db2.gz WORQDQVHJIJPTK-CPJTVBMOSA-N 0 0 427.465 -0.080 20 0 IBADRN Cc1nccc([C@H]2CCCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2)n1 ZINC000672169831 1073157867 /nfs/dbraw/zinc/15/78/67/1073157867.db2.gz WORQDQVHJIJPTK-SQIXAUHQSA-N 0 0 427.465 -0.080 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCc1cccc(N3CCCS3(=O)=O)c1)CC2 ZINC000672170079 1073157872 /nfs/dbraw/zinc/15/78/72/1073157872.db2.gz UDZKNLRSUJMZQL-HNNXBMFYSA-N 0 0 432.506 -0.126 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCc1cccc(N3CCCS3(=O)=O)c1)CC2 ZINC000672170080 1073157857 /nfs/dbraw/zinc/15/78/57/1073157857.db2.gz UDZKNLRSUJMZQL-OAHLLOKOSA-N 0 0 432.506 -0.126 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCc1ccc(N3CCCS3(=O)=O)cc1)CC2 ZINC000672170402 1073157812 /nfs/dbraw/zinc/15/78/12/1073157812.db2.gz QGORKMPEKXHABA-HNNXBMFYSA-N 0 0 432.506 -0.126 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCc1ccc(N3CCCS3(=O)=O)cc1)CC2 ZINC000672170403 1073157840 /nfs/dbraw/zinc/15/78/40/1073157840.db2.gz QGORKMPEKXHABA-OAHLLOKOSA-N 0 0 432.506 -0.126 20 0 IBADRN Cc1cccc(C)c1OC[C@@H](O)CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000672188251 1073158761 /nfs/dbraw/zinc/15/87/61/1073158761.db2.gz FGKLCWKLEOCBBK-QMBVYZDCSA-N 0 0 445.476 -0.094 20 0 IBADRN Cc1cccc(C)c1OC[C@H](O)CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000672188252 1073158733 /nfs/dbraw/zinc/15/87/33/1073158733.db2.gz FGKLCWKLEOCBBK-UAYHHJIBSA-N 0 0 445.476 -0.094 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@@H](N3CCNC3=O)C2)c(S(N)(=O)=O)c1 ZINC000672188673 1073158715 /nfs/dbraw/zinc/15/87/15/1073158715.db2.gz LBSFBFVVDSBTCV-GFCCVEGCSA-N 0 0 431.540 -0.422 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@H](N3CCNC3=O)C2)c(S(N)(=O)=O)c1 ZINC000672188674 1073158729 /nfs/dbraw/zinc/15/87/29/1073158729.db2.gz LBSFBFVVDSBTCV-LBPRGKRZSA-N 0 0 431.540 -0.422 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000672195472 1073159124 /nfs/dbraw/zinc/15/91/24/1073159124.db2.gz BKZMXMIFMZVMBW-BXUZGUMPSA-N 0 0 432.524 -0.997 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000672195473 1073159118 /nfs/dbraw/zinc/15/91/18/1073159118.db2.gz BKZMXMIFMZVMBW-FZMZJTMJSA-N 0 0 432.524 -0.997 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000672195474 1073159181 /nfs/dbraw/zinc/15/91/81/1073159181.db2.gz BKZMXMIFMZVMBW-RISCZKNCSA-N 0 0 432.524 -0.997 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000672195475 1073159214 /nfs/dbraw/zinc/15/92/14/1073159214.db2.gz BKZMXMIFMZVMBW-SMDDNHRTSA-N 0 0 432.524 -0.997 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000672195788 1073158748 /nfs/dbraw/zinc/15/87/48/1073158748.db2.gz IIFISSUQMWVKIC-GRDNDAEWSA-N 0 0 426.539 -0.051 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)N(C)C)c1)[C@H]1CN(C)CCO1 ZINC000672195789 1073158701 /nfs/dbraw/zinc/15/87/01/1073158701.db2.gz IIFISSUQMWVKIC-JJRVBVJISA-N 0 0 426.539 -0.051 20 0 IBADRN C[C@@H](NC(=O)C(=O)N[C@@H](C)c1cccc(S(=O)(=O)N(C)C)c1)[C@@H]1CN(C)CCO1 ZINC000672195790 1073158681 /nfs/dbraw/zinc/15/86/81/1073158681.db2.gz IIFISSUQMWVKIC-VBQJREDUSA-N 0 0 426.539 -0.051 20 0 IBADRN C[C@H](NC(=O)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000672195791 1073159188 /nfs/dbraw/zinc/15/91/88/1073159188.db2.gz IIFISSUQMWVKIC-ZQIUZPCESA-N 0 0 426.539 -0.051 20 0 IBADRN Cc1ccnc(NC(=O)CN(C)c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC000672203200 1073159566 /nfs/dbraw/zinc/15/95/66/1073159566.db2.gz KUPHRSFNPGZIJF-LUTMRVPUSA-N 0 0 429.437 -0.784 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCNC(=O)c2cnccn2)c(S(N)(=O)=O)c1 ZINC000672203451 1073159574 /nfs/dbraw/zinc/15/95/74/1073159574.db2.gz VTFSYQOUKRIHMM-UHFFFAOYSA-N 0 0 428.496 -0.784 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN([C@@H]3CCC[C@H]3O)CC2)c(S(N)(=O)=O)c1 ZINC000672207427 1073159545 /nfs/dbraw/zinc/15/95/45/1073159545.db2.gz ACTSFOOUCKFBEI-GDBMZVCRSA-N 0 0 432.568 -0.380 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN([C@H]3CCC[C@H]3O)CC2)c(S(N)(=O)=O)c1 ZINC000672207428 1073159554 /nfs/dbraw/zinc/15/95/54/1073159554.db2.gz ACTSFOOUCKFBEI-GOEBONIOSA-N 0 0 432.568 -0.380 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN([C@H]3CCC[C@@H]3O)CC2)c(S(N)(=O)=O)c1 ZINC000672207429 1073159520 /nfs/dbraw/zinc/15/95/20/1073159520.db2.gz ACTSFOOUCKFBEI-HOCLYGCPSA-N 0 0 432.568 -0.380 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)c(S(N)(=O)=O)c1 ZINC000672207430 1073160012 /nfs/dbraw/zinc/16/00/12/1073160012.db2.gz ACTSFOOUCKFBEI-ZBFHGGJFSA-N 0 0 432.568 -0.380 20 0 IBADRN COc1cnc(NCC(C)(C)NS(C)(=O)=O)nc1NCC(C)(C)NS(C)(=O)=O ZINC000672212560 1073160024 /nfs/dbraw/zinc/16/00/24/1073160024.db2.gz JASUJKCVGBHYGK-UHFFFAOYSA-N 0 0 438.576 -0.035 20 0 IBADRN CN(CC(=O)N(C)C1CCCCC1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000672212886 1073159596 /nfs/dbraw/zinc/15/95/96/1073159596.db2.gz XPNXOXPBIIOMRO-AEVYOOLXSA-N 0 0 434.497 -0.335 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(c2cncc(N3CCC[C@H](CS(N)(=O)=O)C3)n2)C1 ZINC000672214620 1073160019 /nfs/dbraw/zinc/16/00/19/1073160019.db2.gz MVMKIKPXUUMCHO-KBPBESRZSA-N 0 0 432.572 -0.514 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(c2cncc(N3CCC[C@H](CS(N)(=O)=O)C3)n2)C1 ZINC000672214622 1073160055 /nfs/dbraw/zinc/16/00/55/1073160055.db2.gz MVMKIKPXUUMCHO-OKILXGFUSA-N 0 0 432.572 -0.514 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(c2cncc(N3CCC[C@@H](CS(N)(=O)=O)C3)n2)C1 ZINC000672214624 1073160032 /nfs/dbraw/zinc/16/00/32/1073160032.db2.gz MVMKIKPXUUMCHO-ZIAGYGMSSA-N 0 0 432.572 -0.514 20 0 IBADRN CCn1nc2c(cc1=O)CN(c1ccc(S(=O)(=O)N(C)C)cc1S(N)(=O)=O)CC2 ZINC000672226419 1073160402 /nfs/dbraw/zinc/16/04/02/1073160402.db2.gz HFECKZOWDRUXBU-UHFFFAOYSA-N 0 0 441.535 -0.276 20 0 IBADRN CN(CCN1CCCS1(=O)=O)c1cncc(N(C)CCN2CCCS2(=O)=O)n1 ZINC000672229033 1073160441 /nfs/dbraw/zinc/16/04/41/1073160441.db2.gz WNCUINOTXFGWRX-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3cncc(N4CCN5C(=O)N(CC)C(=O)[C@@H]5C4)n3)CCN2C1=O ZINC000672232426 1073160468 /nfs/dbraw/zinc/16/04/68/1073160468.db2.gz PVPMXRDCLKSUNT-KBPBESRZSA-N 0 0 442.480 -0.578 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3cncc(N4CCN5C(=O)N(CC)C(=O)[C@@H]5C4)n3)CCN2C1=O ZINC000672232427 1073160480 /nfs/dbraw/zinc/16/04/80/1073160480.db2.gz PVPMXRDCLKSUNT-OKILXGFUSA-N 0 0 442.480 -0.578 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3cncc(N4CCN5C(=O)N(CC)C(=O)[C@H]5C4)n3)CCN2C1=O ZINC000672232428 1073160462 /nfs/dbraw/zinc/16/04/62/1073160462.db2.gz PVPMXRDCLKSUNT-ZIAGYGMSSA-N 0 0 442.480 -0.578 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3ccc(S(=O)(=O)N(C)C)cc3S(N)(=O)=O)CCN2C1=O ZINC000672232458 1073160419 /nfs/dbraw/zinc/16/04/19/1073160419.db2.gz VUFZLZUUMZTNMN-CYBMUJFWSA-N 0 0 445.523 -0.943 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3ccc(S(=O)(=O)N(C)C)cc3S(N)(=O)=O)CCN2C1=O ZINC000672232459 1073160472 /nfs/dbraw/zinc/16/04/72/1073160472.db2.gz VUFZLZUUMZTNMN-ZDUSSCGKSA-N 0 0 445.523 -0.943 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H](C)C2(S(C)(=O)=O)CC2)cc1 ZINC000672233223 1073160424 /nfs/dbraw/zinc/16/04/24/1073160424.db2.gz MSHGOCOCLFTOKY-IOASZLSFSA-N 0 0 446.547 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H](C)C2(S(C)(=O)=O)CC2)cc1 ZINC000672233224 1073160476 /nfs/dbraw/zinc/16/04/76/1073160476.db2.gz MSHGOCOCLFTOKY-WWGRRREGSA-N 0 0 446.547 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H](C)C2(S(C)(=O)=O)CC2)cc1 ZINC000672233225 1073160412 /nfs/dbraw/zinc/16/04/12/1073160412.db2.gz MSHGOCOCLFTOKY-XEZPLFJOSA-N 0 0 446.547 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H](C)C2(S(C)(=O)=O)CC2)cc1 ZINC000672233226 1073160503 /nfs/dbraw/zinc/16/05/03/1073160503.db2.gz MSHGOCOCLFTOKY-ZENOOKHLSA-N 0 0 446.547 -0.099 20 0 IBADRN O=C(C1CCCC1)N1CC[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000672237351 1073160901 /nfs/dbraw/zinc/16/09/01/1073160901.db2.gz KEZIDFIRKOLWII-DBKKVMMFSA-N 0 0 432.481 -0.359 20 0 IBADRN O=C(C1CCCC1)N1CC[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000672237352 1073160923 /nfs/dbraw/zinc/16/09/23/1073160923.db2.gz KEZIDFIRKOLWII-WUMBASEESA-N 0 0 432.481 -0.359 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H]1C[C@]1(C)NC(=O)NC1=O ZINC000672237680 1073160832 /nfs/dbraw/zinc/16/08/32/1073160832.db2.gz ZKMWBBZCZSMODP-BFCNOCSMSA-N 0 0 427.483 -0.063 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000672237681 1073160918 /nfs/dbraw/zinc/16/09/18/1073160918.db2.gz ZKMWBBZCZSMODP-GSDQYQHOSA-N 0 0 427.483 -0.063 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H]1C[C@@]1(C)NC(=O)NC1=O ZINC000672237682 1073160931 /nfs/dbraw/zinc/16/09/31/1073160931.db2.gz ZKMWBBZCZSMODP-QAGHZCBJSA-N 0 0 427.483 -0.063 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H]1C[C@]1(C)NC(=O)NC1=O ZINC000672237683 1073160878 /nfs/dbraw/zinc/16/08/78/1073160878.db2.gz ZKMWBBZCZSMODP-ZMNCSTGQSA-N 0 0 427.483 -0.063 20 0 IBADRN O=C1[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1C1CCCCC1 ZINC000672238888 1073160882 /nfs/dbraw/zinc/16/08/82/1073160882.db2.gz MKIGLCWUJNWTOM-DBKKVMMFSA-N 0 0 432.481 -0.217 20 0 IBADRN O=C1[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1C1CCCCC1 ZINC000672238889 1073161501 /nfs/dbraw/zinc/16/15/01/1073161501.db2.gz MKIGLCWUJNWTOM-WUMBASEESA-N 0 0 432.481 -0.217 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000672240114 1073160792 /nfs/dbraw/zinc/16/07/92/1073160792.db2.gz HQRGUZVZWLMPOF-AEVYOOLXSA-N 0 0 428.449 -0.680 20 0 IBADRN O=C1[C@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCCN1CC(F)(F)F ZINC000672240840 1073160912 /nfs/dbraw/zinc/16/09/12/1073160912.db2.gz GYYWENLHIBATNN-BDMGVTFQSA-N 0 0 446.386 -0.597 20 0 IBADRN O=C1[C@@H](Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCCN1CC(F)(F)F ZINC000672240841 1073160887 /nfs/dbraw/zinc/16/08/87/1073160887.db2.gz GYYWENLHIBATNN-LEJQEAHTSA-N 0 0 446.386 -0.597 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC[C@@H](c3nnc(C4CC4)[nH]3)C2)[C@H](O)[C@@H]1O ZINC000672244222 1073161347 /nfs/dbraw/zinc/16/13/47/1073161347.db2.gz DYBMXPPAJCVBIG-FPFDBANHSA-N 0 0 442.480 -0.183 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC[C@H](c3nnc(C4CC4)[nH]3)C2)[C@H](O)[C@@H]1O ZINC000672244223 1073161319 /nfs/dbraw/zinc/16/13/19/1073161319.db2.gz DYBMXPPAJCVBIG-VZKKMOLISA-N 0 0 442.480 -0.183 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)NCCCNC(=O)Cn1nnc2ccccc2c1=O ZINC000672245652 1073161377 /nfs/dbraw/zinc/16/13/77/1073161377.db2.gz XORLTORSTMBZFD-UHFFFAOYSA-N 0 0 448.443 -0.781 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)NCCCNC(=O)CS(=O)(=O)NC(C)(C)C ZINC000672245717 1073161212 /nfs/dbraw/zinc/16/12/12/1073161212.db2.gz MDJXFFCIMYXDJV-UHFFFAOYSA-N 0 0 428.577 -0.955 20 0 IBADRN CCS(=O)(=O)c1ccc(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000672247708 1073161364 /nfs/dbraw/zinc/16/13/64/1073161364.db2.gz XZPDIPSXMYDADX-NVQRDWNXSA-N 0 0 449.489 -0.157 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1=O ZINC000672248034 1073161386 /nfs/dbraw/zinc/16/13/86/1073161386.db2.gz DRXSOEYFYIFYHP-UHFFFAOYSA-N 0 0 430.509 -0.520 20 0 IBADRN O=C1CN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCN1c1ccccc1 ZINC000672251188 1073161192 /nfs/dbraw/zinc/16/11/92/1073161192.db2.gz GBNUKNDUTRPMTL-AEVYOOLXSA-N 0 0 426.433 -0.709 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000672253321 1073161904 /nfs/dbraw/zinc/16/19/04/1073161904.db2.gz XTUSQINANVGUBS-LSCFUAHRSA-N 0 0 435.485 -0.932 20 0 IBADRN COc1ccccc1OCCCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000672258485 1073161890 /nfs/dbraw/zinc/16/18/90/1073161890.db2.gz IZAYIWXGPPFLEA-UHFFFAOYSA-N 0 0 448.520 -0.417 20 0 IBADRN COc1ccccc1OCCCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000672258567 1073161910 /nfs/dbraw/zinc/16/19/10/1073161910.db2.gz QPZCJQJLEAHHGN-UHFFFAOYSA-N 0 0 432.477 -0.470 20 0 IBADRN COc1ccccc1OCCCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000672259900 1073161898 /nfs/dbraw/zinc/16/18/98/1073161898.db2.gz YJLCDUDAVLOQCE-UHFFFAOYSA-N 0 0 448.520 -0.417 20 0 IBADRN CO[C@@]1(C(F)(F)F)CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000672261122 1073161825 /nfs/dbraw/zinc/16/18/25/1073161825.db2.gz QOTQSEQXLPGIHJ-FKHLYAMYSA-N 0 0 433.387 -0.015 20 0 IBADRN CO[C@]1(C(F)(F)F)CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000672261123 1073161902 /nfs/dbraw/zinc/16/19/02/1073161902.db2.gz QOTQSEQXLPGIHJ-OBOJTPGXSA-N 0 0 433.387 -0.015 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCC([C@@]3(C)NC(=O)NC3=O)CC1)C2 ZINC000672266390 1073162399 /nfs/dbraw/zinc/16/23/99/1073162399.db2.gz QWMPWDFMXHNQBO-LHSJRXKWSA-N 0 0 430.461 -0.048 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCC([C@]3(C)NC(=O)NC3=O)CC1)C2 ZINC000672266391 1073162384 /nfs/dbraw/zinc/16/23/84/1073162384.db2.gz QWMPWDFMXHNQBO-QKKBWIMNSA-N 0 0 430.461 -0.048 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCC([C@@]3(C)NC(=O)NC3=O)CC1)C2 ZINC000672266392 1073162317 /nfs/dbraw/zinc/16/23/17/1073162317.db2.gz QWMPWDFMXHNQBO-SPLOXXLWSA-N 0 0 430.461 -0.048 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCC([C@]3(C)NC(=O)NC3=O)CC1)C2 ZINC000672266393 1073162379 /nfs/dbraw/zinc/16/23/79/1073162379.db2.gz QWMPWDFMXHNQBO-SZNDQCEHSA-N 0 0 430.461 -0.048 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3COc4c(cccc4OC)C3)CC2)n1 ZINC000672267775 1073162294 /nfs/dbraw/zinc/16/22/94/1073162294.db2.gz CLFCACLFKIRLLZ-HNNXBMFYSA-N 0 0 445.476 -0.011 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3COc4c(cccc4OC)C3)CC2)n1 ZINC000672267776 1073162310 /nfs/dbraw/zinc/16/23/10/1073162310.db2.gz CLFCACLFKIRLLZ-OAHLLOKOSA-N 0 0 445.476 -0.011 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)C2 ZINC000672268109 1073162390 /nfs/dbraw/zinc/16/23/90/1073162390.db2.gz LEQPRJLFSGPLHG-HNNXBMFYSA-N 0 0 427.461 -0.443 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)C2 ZINC000672268110 1073162288 /nfs/dbraw/zinc/16/22/88/1073162288.db2.gz LEQPRJLFSGPLHG-OAHLLOKOSA-N 0 0 427.461 -0.443 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C2 ZINC000672268167 1073162303 /nfs/dbraw/zinc/16/23/03/1073162303.db2.gz MCUSRJPUAYFAHQ-AWEZNQCLSA-N 0 0 438.462 -0.661 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C2 ZINC000672268168 1073162374 /nfs/dbraw/zinc/16/23/74/1073162374.db2.gz MCUSRJPUAYFAHQ-CQSZACIVSA-N 0 0 438.462 -0.661 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)C2 ZINC000672268371 1073162713 /nfs/dbraw/zinc/16/27/13/1073162713.db2.gz ONXSQSBILIEGIV-HNNXBMFYSA-N 0 0 430.461 -0.936 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)C2 ZINC000672268372 1073162651 /nfs/dbraw/zinc/16/26/51/1073162651.db2.gz ONXSQSBILIEGIV-OAHLLOKOSA-N 0 0 430.461 -0.936 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN(c3ncccc3C(N)=O)CC1)C2 ZINC000672268750 1073162675 /nfs/dbraw/zinc/16/26/75/1073162675.db2.gz HTBUJUWVJIFLFT-HNNXBMFYSA-N 0 0 439.472 -0.042 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN(c3ncccc3C(N)=O)CC1)C2 ZINC000672268751 1073162658 /nfs/dbraw/zinc/16/26/58/1073162658.db2.gz HTBUJUWVJIFLFT-OAHLLOKOSA-N 0 0 439.472 -0.042 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2 ZINC000672268842 1073162715 /nfs/dbraw/zinc/16/27/15/1073162715.db2.gz WPNKNWKXCLXZIW-KRWDZBQOSA-N 0 0 446.504 -0.882 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)C2 ZINC000672268843 1073162721 /nfs/dbraw/zinc/16/27/21/1073162721.db2.gz WPNKNWKXCLXZIW-QGZVFWFLSA-N 0 0 446.504 -0.882 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C2 ZINC000672269009 1073162274 /nfs/dbraw/zinc/16/22/74/1073162274.db2.gz YHQHVSWLFYIBJL-AWEZNQCLSA-N 0 0 443.547 -0.390 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C2 ZINC000672269010 1073162647 /nfs/dbraw/zinc/16/26/47/1073162647.db2.gz YHQHVSWLFYIBJL-CQSZACIVSA-N 0 0 443.547 -0.390 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2 ZINC000672269831 1073162679 /nfs/dbraw/zinc/16/26/79/1073162679.db2.gz ADNSSBQPVVJADQ-KRWDZBQOSA-N 0 0 446.504 -0.882 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)C2 ZINC000672269832 1073162638 /nfs/dbraw/zinc/16/26/38/1073162638.db2.gz ADNSSBQPVVJADQ-QGZVFWFLSA-N 0 0 446.504 -0.882 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCC3)CC1)C2 ZINC000672270220 1073162671 /nfs/dbraw/zinc/16/26/71/1073162671.db2.gz MWTSPFZZSSOVAQ-KRWDZBQOSA-N 0 0 430.505 -0.119 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCCC3)CC1)C2 ZINC000672270221 1073162617 /nfs/dbraw/zinc/16/26/17/1073162617.db2.gz MWTSPFZZSSOVAQ-QGZVFWFLSA-N 0 0 430.505 -0.119 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN(c3ccc(C(N)=O)cn3)CC1)C2 ZINC000672270387 1073162685 /nfs/dbraw/zinc/16/26/85/1073162685.db2.gz BNGCSHOLWXIMDK-INIZCTEOSA-N 0 0 439.472 -0.042 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN(c3ccc(C(N)=O)cn3)CC1)C2 ZINC000672270389 1073162707 /nfs/dbraw/zinc/16/27/07/1073162707.db2.gz BNGCSHOLWXIMDK-MRXNPFEDSA-N 0 0 439.472 -0.042 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CC3)CC1)C2 ZINC000672270461 1073162627 /nfs/dbraw/zinc/16/26/27/1073162627.db2.gz RNGKWRYIHCNENE-PBHICJAKSA-N 0 0 430.505 -0.074 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC3CC3)CC1)C2 ZINC000672270465 1073162666 /nfs/dbraw/zinc/16/26/66/1073162666.db2.gz RNGKWRYIHCNENE-RHSMWYFYSA-N 0 0 430.505 -0.074 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CC3)CC1)C2 ZINC000672270467 1073162603 /nfs/dbraw/zinc/16/26/03/1073162603.db2.gz RNGKWRYIHCNENE-WMLDXEAASA-N 0 0 430.505 -0.074 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC3CC3)CC1)C2 ZINC000672270469 1073163102 /nfs/dbraw/zinc/16/31/02/1073163102.db2.gz RNGKWRYIHCNENE-YOEHRIQHSA-N 0 0 430.505 -0.074 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)C2 ZINC000672271283 1073163114 /nfs/dbraw/zinc/16/31/14/1073163114.db2.gz TWGWXQLYBQLYLA-DZGCQCFKSA-N 0 0 425.507 -0.097 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)C2 ZINC000672271284 1073163120 /nfs/dbraw/zinc/16/31/20/1073163120.db2.gz TWGWXQLYBQLYLA-HIFRSBDPSA-N 0 0 425.507 -0.097 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1)C2 ZINC000672271285 1073163121 /nfs/dbraw/zinc/16/31/21/1073163121.db2.gz TWGWXQLYBQLYLA-UKRRQHHQSA-N 0 0 425.507 -0.097 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)C2 ZINC000672271286 1073163064 /nfs/dbraw/zinc/16/30/64/1073163064.db2.gz TWGWXQLYBQLYLA-ZFWWWQNUSA-N 0 0 425.507 -0.097 20 0 IBADRN COc1ccc2c(c1)OCCN(c1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C2 ZINC000672278072 1073163169 /nfs/dbraw/zinc/16/31/69/1073163169.db2.gz UKLGVCVOYNUEBU-WVSUBDOOSA-N 0 0 429.433 -0.155 20 0 IBADRN O=C(N[C@@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000672279227 1073163094 /nfs/dbraw/zinc/16/30/94/1073163094.db2.gz JZCXVFKKWBUAKH-LLVKDONJSA-N 0 0 425.492 -0.571 20 0 IBADRN O=C(N[C@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000672279242 1073163036 /nfs/dbraw/zinc/16/30/36/1073163036.db2.gz JZCXVFKKWBUAKH-NSHDSACASA-N 0 0 425.492 -0.571 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H]3CCOC3)CC2)c1 ZINC000672280147 1073163110 /nfs/dbraw/zinc/16/31/10/1073163110.db2.gz SAOYTPOLKCDMAG-CYBMUJFWSA-N 0 0 427.479 -0.558 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H]3CCOC3)CC2)c1 ZINC000672280148 1073163084 /nfs/dbraw/zinc/16/30/84/1073163084.db2.gz SAOYTPOLKCDMAG-ZDUSSCGKSA-N 0 0 427.479 -0.558 20 0 IBADRN O=C(N[C@H]1CCOC1)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000672284438 1073163075 /nfs/dbraw/zinc/16/30/75/1073163075.db2.gz ITCYBRSQVNSOTJ-AWEZNQCLSA-N 0 0 439.490 -0.414 20 0 IBADRN O=C(N[C@@H]1CCOC1)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000672284439 1073163139 /nfs/dbraw/zinc/16/31/39/1073163139.db2.gz ITCYBRSQVNSOTJ-CQSZACIVSA-N 0 0 439.490 -0.414 20 0 IBADRN CCC[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccn1 ZINC000672293990 1073163537 /nfs/dbraw/zinc/16/35/37/1073163537.db2.gz LVLXTCPKXZSJRB-KRWDZBQOSA-N 0 0 431.493 -0.431 20 0 IBADRN CCC[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccccn1 ZINC000672293991 1073163462 /nfs/dbraw/zinc/16/34/62/1073163462.db2.gz LVLXTCPKXZSJRB-QGZVFWFLSA-N 0 0 431.493 -0.431 20 0 IBADRN CCC[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccn1 ZINC000672294436 1073163678 /nfs/dbraw/zinc/16/36/78/1073163678.db2.gz IWSYCZQWPNKVPQ-HNNXBMFYSA-N 0 0 429.481 -0.472 20 0 IBADRN CCC[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccccn1 ZINC000672294437 1073163476 /nfs/dbraw/zinc/16/34/76/1073163476.db2.gz IWSYCZQWPNKVPQ-OAHLLOKOSA-N 0 0 429.481 -0.472 20 0 IBADRN CN(CCC(N)=O)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000672314681 1073164615 /nfs/dbraw/zinc/16/46/15/1073164615.db2.gz XJJAACLVBYQMHM-CYBMUJFWSA-N 0 0 439.943 -0.245 20 0 IBADRN CN(CCC(N)=O)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000672314682 1073164556 /nfs/dbraw/zinc/16/45/56/1073164556.db2.gz XJJAACLVBYQMHM-ZDUSSCGKSA-N 0 0 439.943 -0.245 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)[C@H](C)N1CCOCC1 ZINC000672320126 1073164619 /nfs/dbraw/zinc/16/46/19/1073164619.db2.gz XAWVTVASEKLNIG-CABCVRRESA-N 0 0 426.539 -0.076 20 0 IBADRN C[C@H](NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)[C@H](C)N1CCOCC1 ZINC000672320127 1073164664 /nfs/dbraw/zinc/16/46/64/1073164664.db2.gz XAWVTVASEKLNIG-GJZGRUSLSA-N 0 0 426.539 -0.076 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)[C@@H](C)N1CCOCC1 ZINC000672320128 1073164535 /nfs/dbraw/zinc/16/45/35/1073164535.db2.gz XAWVTVASEKLNIG-HUUCEWRRSA-N 0 0 426.539 -0.076 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)N1CCOCC1 ZINC000672320129 1073165175 /nfs/dbraw/zinc/16/51/75/1073165175.db2.gz XAWVTVASEKLNIG-LSDHHAIUSA-N 0 0 426.539 -0.076 20 0 IBADRN O=C(NCCCN1CCCCC1=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000672321178 1073165184 /nfs/dbraw/zinc/16/51/84/1073165184.db2.gz QHTOTLLABZFGFN-INIZCTEOSA-N 0 0 444.554 -0.842 20 0 IBADRN O=C(NCCCN1CCCCC1=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000672321179 1073165122 /nfs/dbraw/zinc/16/51/22/1073165122.db2.gz QHTOTLLABZFGFN-MRXNPFEDSA-N 0 0 444.554 -0.842 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCCN2CCCCC2=O)CC1 ZINC000672321264 1073164649 /nfs/dbraw/zinc/16/46/49/1073164649.db2.gz UWETYDKFMVZKHE-UHFFFAOYSA-N 0 0 428.497 -0.469 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1 ZINC000672321802 1073164702 /nfs/dbraw/zinc/16/47/02/1073164702.db2.gz FCWZBFGKGFRZFF-INIZCTEOSA-N 0 0 442.524 -0.107 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CC(C(=O)NC(CC)(CC)C(N)=O)C1 ZINC000672321803 1073164668 /nfs/dbraw/zinc/16/46/68/1073164668.db2.gz FCWZBFGKGFRZFF-MRXNPFEDSA-N 0 0 442.524 -0.107 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(F)cc3F)C2)CC1 ZINC000672339839 1073165572 /nfs/dbraw/zinc/16/55/72/1073165572.db2.gz RIGFSNWKTDKQDM-AWEZNQCLSA-N 0 0 448.434 -0.983 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(F)cc3F)C2)CC1 ZINC000672339840 1073165604 /nfs/dbraw/zinc/16/56/04/1073165604.db2.gz RIGFSNWKTDKQDM-CQSZACIVSA-N 0 0 448.434 -0.983 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2ccc(F)cc2F)C1 ZINC000672340312 1073165622 /nfs/dbraw/zinc/16/56/22/1073165622.db2.gz KQLBIDIPSLPNSI-CVEARBPZSA-N 0 0 426.464 -0.189 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2ccc(F)cc2F)C1 ZINC000672340313 1073165660 /nfs/dbraw/zinc/16/56/60/1073165660.db2.gz KQLBIDIPSLPNSI-HOTGVXAUSA-N 0 0 426.464 -0.189 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2ccc(F)cc2F)C1 ZINC000672340314 1073165634 /nfs/dbraw/zinc/16/56/34/1073165634.db2.gz KQLBIDIPSLPNSI-HZPDHXFCSA-N 0 0 426.464 -0.189 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2ccc(F)cc2F)C1 ZINC000672340315 1073165654 /nfs/dbraw/zinc/16/56/54/1073165654.db2.gz KQLBIDIPSLPNSI-JKSUJKDBSA-N 0 0 426.464 -0.189 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccc(F)cc3F)C2)CC1 ZINC000672340556 1073165681 /nfs/dbraw/zinc/16/56/81/1073165681.db2.gz NBOQLUYRVVPKIY-HNNXBMFYSA-N 0 0 449.458 -0.391 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccc(F)cc3F)C2)CC1 ZINC000672340557 1073165609 /nfs/dbraw/zinc/16/56/09/1073165609.db2.gz NBOQLUYRVVPKIY-OAHLLOKOSA-N 0 0 449.458 -0.391 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000672346172 1073165136 /nfs/dbraw/zinc/16/51/36/1073165136.db2.gz OXXYIDDNJGBRDE-MRXNPFEDSA-N 0 0 447.583 -0.194 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(Cc2ccccc2)CC1 ZINC000672351791 1073166407 /nfs/dbraw/zinc/16/64/07/1073166407.db2.gz NGRVXDRFJUVRQL-UHFFFAOYSA-N 0 0 440.591 -0.174 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(Cc2cccs2)CC1 ZINC000672351928 1073166336 /nfs/dbraw/zinc/16/63/36/1073166336.db2.gz ILXCGDBDCFBXOG-UHFFFAOYSA-N 0 0 446.620 -0.113 20 0 IBADRN Cc1nn(C)cc1[C@@H]1CCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000672351929 1073166355 /nfs/dbraw/zinc/16/63/55/1073166355.db2.gz IMLHFXNZDHWMNM-HNNXBMFYSA-N 0 0 429.568 -0.113 20 0 IBADRN Cc1nn(C)cc1[C@H]1CCCN1C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000672351930 1073166370 /nfs/dbraw/zinc/16/63/70/1073166370.db2.gz IMLHFXNZDHWMNM-OAHLLOKOSA-N 0 0 429.568 -0.113 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000672351947 1073165948 /nfs/dbraw/zinc/16/59/48/1073165948.db2.gz OYOMNFVWOXVEBQ-UHFFFAOYSA-N 0 0 449.599 -0.867 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCN(c2ccccn2)C1 ZINC000672351965 1073166000 /nfs/dbraw/zinc/16/60/00/1073166000.db2.gz YMGXJZNPQBZMQB-AWEZNQCLSA-N 0 0 427.552 -0.729 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCN(c2ccccn2)C1 ZINC000672351966 1073166019 /nfs/dbraw/zinc/16/60/19/1073166019.db2.gz YMGXJZNPQBZMQB-CQSZACIVSA-N 0 0 427.552 -0.729 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N(CCO)CCc1ccccc1 ZINC000672351972 1073165988 /nfs/dbraw/zinc/16/59/88/1073165988.db2.gz BDLXDENDLBVLLE-UHFFFAOYSA-N 0 0 429.564 -0.455 20 0 IBADRN CN1Cc2ccccc2[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000672352002 1073166028 /nfs/dbraw/zinc/16/60/28/1073166028.db2.gz JYQGONWNSOEFHJ-INIZCTEOSA-N 0 0 426.564 -0.216 20 0 IBADRN CN1Cc2ccccc2[C@H](NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000672352003 1073165972 /nfs/dbraw/zinc/16/59/72/1073165972.db2.gz JYQGONWNSOEFHJ-MRXNPFEDSA-N 0 0 426.564 -0.216 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@H](C(F)(F)F)O1 ZINC000672352017 1073165995 /nfs/dbraw/zinc/16/59/95/1073165995.db2.gz PBESZFBDYZBXOH-GHMZBOCLSA-N 0 0 433.474 -0.341 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@H](C(F)(F)F)O1 ZINC000672352018 1073166040 /nfs/dbraw/zinc/16/60/40/1073166040.db2.gz PBESZFBDYZBXOH-MNOVXSKESA-N 0 0 433.474 -0.341 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@@H](C(F)(F)F)O1 ZINC000672352019 1073165983 /nfs/dbraw/zinc/16/59/83/1073165983.db2.gz PBESZFBDYZBXOH-QWRGUYRKSA-N 0 0 433.474 -0.341 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C[C@H](C(F)(F)F)O1 ZINC000672352020 1073203310 /nfs/dbraw/zinc/20/33/10/1073203310.db2.gz PBESZFBDYZBXOH-WDEREUQCSA-N 0 0 433.474 -0.341 20 0 IBADRN CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)[C@H]1CCCc2c1cnn2C ZINC000672352039 1073166038 /nfs/dbraw/zinc/16/60/38/1073166038.db2.gz WVUAJEIULFDWAP-AWEZNQCLSA-N 0 0 429.568 -0.249 20 0 IBADRN CN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)[C@@H]1CCCc2c1cnn2C ZINC000672352040 1073165981 /nfs/dbraw/zinc/16/59/81/1073165981.db2.gz WVUAJEIULFDWAP-CQSZACIVSA-N 0 0 429.568 -0.249 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000672352064 1073166011 /nfs/dbraw/zinc/16/60/11/1073166011.db2.gz KEMJBJKPFCQQPB-UHFFFAOYSA-N 0 0 433.556 -0.898 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1ccnn1C ZINC000672352068 1073165961 /nfs/dbraw/zinc/16/59/61/1073165961.db2.gz MMGXPXROCSYVKN-AWEZNQCLSA-N 0 0 431.584 -0.229 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1ccnn1C ZINC000672352069 1073165954 /nfs/dbraw/zinc/16/59/54/1073165954.db2.gz MMGXPXROCSYVKN-CQSZACIVSA-N 0 0 431.584 -0.229 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CC[C@@H](Cc2ccncc2)C1 ZINC000672352075 1073165967 /nfs/dbraw/zinc/16/59/67/1073165967.db2.gz OKAJTOXSLHNMCD-INIZCTEOSA-N 0 0 426.564 -0.033 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CC[C@H](Cc2ccncc2)C1 ZINC000672352076 1073166428 /nfs/dbraw/zinc/16/64/28/1073166428.db2.gz OKAJTOXSLHNMCD-MRXNPFEDSA-N 0 0 426.564 -0.033 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CC[C@@H](Oc2ccncc2)C1 ZINC000672352080 1073166380 /nfs/dbraw/zinc/16/63/80/1073166380.db2.gz PMLDOJJFZQKLFY-OAHLLOKOSA-N 0 0 428.536 -0.444 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCc2ccccc2[C@@H]1CO ZINC000672352148 1073165956 /nfs/dbraw/zinc/16/59/56/1073165956.db2.gz JERQDCMQTHNZPV-INIZCTEOSA-N 0 0 427.548 -0.401 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCc2ccccc2[C@H]1CO ZINC000672352149 1073166016 /nfs/dbraw/zinc/16/60/16/1073166016.db2.gz JERQDCMQTHNZPV-MRXNPFEDSA-N 0 0 427.548 -0.401 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCN(C(=O)C2CCCC2)C1 ZINC000672352203 1073166006 /nfs/dbraw/zinc/16/60/06/1073166006.db2.gz DQJPTMRLESPQRY-HNNXBMFYSA-N 0 0 446.595 -0.612 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCN(C(=O)C2CCCC2)C1 ZINC000672352204 1073165964 /nfs/dbraw/zinc/16/59/64/1073165964.db2.gz DQJPTMRLESPQRY-OAHLLOKOSA-N 0 0 446.595 -0.612 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N1CCN(c2ccccc2)C(=O)C1 ZINC000672352206 1073165992 /nfs/dbraw/zinc/16/59/92/1073165992.db2.gz GMHSKQKOLCIHRO-UHFFFAOYSA-N 0 0 440.547 -0.643 20 0 IBADRN Cn1nc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c2ccccc21 ZINC000672352249 1073165597 /nfs/dbraw/zinc/16/55/97/1073165597.db2.gz RTQQKKFJRFATNL-UHFFFAOYSA-N 0 0 425.536 -0.316 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000672352268 1073165642 /nfs/dbraw/zinc/16/56/42/1073165642.db2.gz ZNFBCQYHPYWTRA-UHFFFAOYSA-N 0 0 442.567 -0.944 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000672361990 1073166808 /nfs/dbraw/zinc/16/68/08/1073166808.db2.gz DQNCETQWAJYYAE-KRWDZBQOSA-N 0 0 439.534 -0.092 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000672361991 1073166748 /nfs/dbraw/zinc/16/67/48/1073166748.db2.gz DQNCETQWAJYYAE-QGZVFWFLSA-N 0 0 439.534 -0.092 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000672362124 1073166826 /nfs/dbraw/zinc/16/68/26/1073166826.db2.gz PMALIIQAKALPAQ-UHFFFAOYSA-N 0 0 433.490 -0.276 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCO[C@@H]([C@@H]3CCCO3)C2)cc1 ZINC000672362301 1073166712 /nfs/dbraw/zinc/16/67/12/1073166712.db2.gz RBZZYWRTNQJVDK-DLBZAZTESA-N 0 0 426.491 -0.009 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)cc1 ZINC000672362302 1073166889 /nfs/dbraw/zinc/16/68/89/1073166889.db2.gz RBZZYWRTNQJVDK-IAGOWNOFSA-N 0 0 426.491 -0.009 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCO[C@H]([C@@H]3CCCO3)C2)cc1 ZINC000672362303 1073166881 /nfs/dbraw/zinc/16/68/81/1073166881.db2.gz RBZZYWRTNQJVDK-IRXDYDNUSA-N 0 0 426.491 -0.009 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCO[C@H]([C@H]3CCCO3)C2)cc1 ZINC000672362304 1073166876 /nfs/dbraw/zinc/16/68/76/1073166876.db2.gz RBZZYWRTNQJVDK-SJORKVTESA-N 0 0 426.491 -0.009 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)N2CCC(OCCCO)CC2)cc1 ZINC000672362918 1073166735 /nfs/dbraw/zinc/16/67/35/1073166735.db2.gz LTCHEMXFWDTLSB-UHFFFAOYSA-N 0 0 428.507 -0.025 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000672362943 1073166842 /nfs/dbraw/zinc/16/68/42/1073166842.db2.gz NQSLPHAJCJFHQB-INIZCTEOSA-N 0 0 441.506 -0.661 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000672362944 1073166850 /nfs/dbraw/zinc/16/68/50/1073166850.db2.gz NQSLPHAJCJFHQB-MRXNPFEDSA-N 0 0 441.506 -0.661 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000672366344 1073167174 /nfs/dbraw/zinc/16/71/74/1073167174.db2.gz JYDIRRAQHCEQEM-HNNXBMFYSA-N 0 0 449.533 -0.431 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000672366345 1073167257 /nfs/dbraw/zinc/16/72/57/1073167257.db2.gz JYDIRRAQHCEQEM-OAHLLOKOSA-N 0 0 449.533 -0.431 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000672366460 1073167278 /nfs/dbraw/zinc/16/72/78/1073167278.db2.gz SAJVNDDBHATNIJ-KRWDZBQOSA-N 0 0 448.524 -0.869 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000672366461 1073167207 /nfs/dbraw/zinc/16/72/07/1073167207.db2.gz SAJVNDDBHATNIJ-QGZVFWFLSA-N 0 0 448.524 -0.869 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000672366789 1073167294 /nfs/dbraw/zinc/16/72/94/1073167294.db2.gz MCKACYGHMOIXSO-HNNXBMFYSA-N 0 0 443.497 -0.172 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000672366790 1073167265 /nfs/dbraw/zinc/16/72/65/1073167265.db2.gz MCKACYGHMOIXSO-OAHLLOKOSA-N 0 0 443.497 -0.172 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCCOC[C@H]3CCOC3)CC2)C1 ZINC000672366835 1073167161 /nfs/dbraw/zinc/16/71/61/1073167161.db2.gz BHLCPLPGQNIZMT-CEXWTWQISA-N 0 0 438.569 -0.005 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCCOC[C@@H]3CCOC3)CC2)C1 ZINC000672366836 1073167222 /nfs/dbraw/zinc/16/72/22/1073167222.db2.gz BHLCPLPGQNIZMT-GUDVDZBRSA-N 0 0 438.569 -0.005 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCCOC[C@@H]3CCOC3)CC2)C1 ZINC000672366837 1073167263 /nfs/dbraw/zinc/16/72/63/1073167263.db2.gz BHLCPLPGQNIZMT-QRVBRYPASA-N 0 0 438.569 -0.005 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCCOC[C@H]3CCOC3)CC2)C1 ZINC000672366838 1073167201 /nfs/dbraw/zinc/16/72/01/1073167201.db2.gz BHLCPLPGQNIZMT-QYZOEREBSA-N 0 0 438.569 -0.005 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCOC[C@H]2CCOC2)CC1 ZINC000672367302 1073167288 /nfs/dbraw/zinc/16/72/88/1073167288.db2.gz HNPNKBFXCAXXGB-KRWDZBQOSA-N 0 0 448.586 -0.333 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCOC[C@@H]2CCOC2)CC1 ZINC000672367303 1073167229 /nfs/dbraw/zinc/16/72/29/1073167229.db2.gz HNPNKBFXCAXXGB-QGZVFWFLSA-N 0 0 448.586 -0.333 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCCOC[C@H]3CCOC3)CC2)CC1 ZINC000672367311 1073167192 /nfs/dbraw/zinc/16/71/92/1073167192.db2.gz VVIMFLSFSPNXIW-IBGZPJMESA-N 0 0 438.569 -0.052 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCCOC[C@@H]3CCOC3)CC2)CC1 ZINC000672367318 1073167232 /nfs/dbraw/zinc/16/72/32/1073167232.db2.gz VVIMFLSFSPNXIW-LJQANCHMSA-N 0 0 438.569 -0.052 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000672368400 1073167557 /nfs/dbraw/zinc/16/75/57/1073167557.db2.gz NGVGKTLYMAEPSP-HNNXBMFYSA-N 0 0 437.518 -0.241 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000672368403 1073167583 /nfs/dbraw/zinc/16/75/83/1073167583.db2.gz NGVGKTLYMAEPSP-OAHLLOKOSA-N 0 0 437.518 -0.241 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000672368404 1073167605 /nfs/dbraw/zinc/16/76/05/1073167605.db2.gz NMMZWXAZUPMOQX-GOSISDBHSA-N 0 0 439.534 -0.170 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000672368405 1073167630 /nfs/dbraw/zinc/16/76/30/1073167630.db2.gz NMMZWXAZUPMOQX-SFHVURJKSA-N 0 0 439.534 -0.170 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCCOC[C@@H]3CCOC3)CC2)CC1 ZINC000672368879 1073167570 /nfs/dbraw/zinc/16/75/70/1073167570.db2.gz OPSWLCGQTGAHLP-JLAWEPINSA-N 0 0 438.569 -0.005 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCCOC[C@H]3CCOC3)CC2)CC1 ZINC000672368880 1073167599 /nfs/dbraw/zinc/16/75/99/1073167599.db2.gz OPSWLCGQTGAHLP-XBMUEBEBSA-N 0 0 438.569 -0.005 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCOC[C@@H]2CCOC2)C1 ZINC000672368907 1073167267 /nfs/dbraw/zinc/16/72/67/1073167267.db2.gz VSONXMKWGNKNND-CVEARBPZSA-N 0 0 435.543 -0.945 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCOC[C@H]2CCOC2)C1 ZINC000672368908 1073167241 /nfs/dbraw/zinc/16/72/41/1073167241.db2.gz VSONXMKWGNKNND-HOTGVXAUSA-N 0 0 435.543 -0.945 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCOC[C@@H]2CCOC2)C1 ZINC000672368909 1073167274 /nfs/dbraw/zinc/16/72/74/1073167274.db2.gz VSONXMKWGNKNND-HZPDHXFCSA-N 0 0 435.543 -0.945 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCOC[C@H]2CCOC2)C1 ZINC000672368910 1073167151 /nfs/dbraw/zinc/16/71/51/1073167151.db2.gz VSONXMKWGNKNND-JKSUJKDBSA-N 0 0 435.543 -0.945 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCOC[C@H]2CCOC2)CC1 ZINC000672368958 1073167634 /nfs/dbraw/zinc/16/76/34/1073167634.db2.gz XVFAYDYRKGTZPE-INIZCTEOSA-N 0 0 435.543 -0.945 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCOC[C@@H]2CCOC2)CC1 ZINC000672368959 1073167607 /nfs/dbraw/zinc/16/76/07/1073167607.db2.gz XVFAYDYRKGTZPE-MRXNPFEDSA-N 0 0 435.543 -0.945 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000672369017 1073167613 /nfs/dbraw/zinc/16/76/13/1073167613.db2.gz QQBLFPHPYVLZAA-HOJAQTOUSA-N 0 0 444.532 -0.129 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000672369018 1073167620 /nfs/dbraw/zinc/16/76/20/1073167620.db2.gz QQBLFPHPYVLZAA-IHPCNDPISA-N 0 0 444.532 -0.129 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000672369019 1073167617 /nfs/dbraw/zinc/16/76/17/1073167617.db2.gz QQBLFPHPYVLZAA-YSIASYRMSA-N 0 0 444.532 -0.129 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000672369020 1073167562 /nfs/dbraw/zinc/16/75/62/1073167562.db2.gz QQBLFPHPYVLZAA-YZGWKJHDSA-N 0 0 444.532 -0.129 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCOC[C@H]3CCOC3)no2)cn1 ZINC000672369793 1073167579 /nfs/dbraw/zinc/16/75/79/1073167579.db2.gz AGGHEJPGNLGXRX-DZGCQCFKSA-N 0 0 434.453 -0.461 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCOC[C@@H]3CCOC3)no2)cn1 ZINC000672369794 1073167633 /nfs/dbraw/zinc/16/76/33/1073167633.db2.gz AGGHEJPGNLGXRX-HIFRSBDPSA-N 0 0 434.453 -0.461 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCOC[C@@H]3CCOC3)no2)cn1 ZINC000672369795 1073167551 /nfs/dbraw/zinc/16/75/51/1073167551.db2.gz AGGHEJPGNLGXRX-UKRRQHHQSA-N 0 0 434.453 -0.461 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCOC[C@H]3CCOC3)no2)cn1 ZINC000672369796 1073167588 /nfs/dbraw/zinc/16/75/88/1073167588.db2.gz AGGHEJPGNLGXRX-ZFWWWQNUSA-N 0 0 434.453 -0.461 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCOC[C@@H]3CCOC3)CC2)C1 ZINC000672370418 1073167622 /nfs/dbraw/zinc/16/76/22/1073167622.db2.gz QFEOWADXIJZPFO-CEXWTWQISA-N 0 0 438.569 -0.196 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCOC[C@@H]3CCOC3)CC2)C1 ZINC000672370419 1073167625 /nfs/dbraw/zinc/16/76/25/1073167625.db2.gz QFEOWADXIJZPFO-GUDVDZBRSA-N 0 0 438.569 -0.196 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCOC[C@H]3CCOC3)CC2)C1 ZINC000672370420 1073167637 /nfs/dbraw/zinc/16/76/37/1073167637.db2.gz QFEOWADXIJZPFO-OTWHNJEPSA-N 0 0 438.569 -0.196 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCCOC[C@H]3CCOC3)CC2)C1 ZINC000672370421 1073167593 /nfs/dbraw/zinc/16/75/93/1073167593.db2.gz QFEOWADXIJZPFO-QRVBRYPASA-N 0 0 438.569 -0.196 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCCOC[C@H]2CCOC2)cc1 ZINC000672371466 1073168064 /nfs/dbraw/zinc/16/80/64/1073168064.db2.gz SUQNFKQHOSVFNP-INIZCTEOSA-N 0 0 427.523 -0.099 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)NCCOC[C@@H]2CCOC2)cc1 ZINC000672371467 1073167949 /nfs/dbraw/zinc/16/79/49/1073167949.db2.gz SUQNFKQHOSVFNP-MRXNPFEDSA-N 0 0 427.523 -0.099 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCOC[C@H]2CCOC2)CC1)NCc1ccccc1 ZINC000672371748 1073167979 /nfs/dbraw/zinc/16/79/79/1073167979.db2.gz ITXAVVUEPJRYSN-IBGZPJMESA-N 0 0 432.521 -0.384 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCOC[C@@H]2CCOC2)CC1)NCc1ccccc1 ZINC000672371749 1073168075 /nfs/dbraw/zinc/16/80/75/1073168075.db2.gz ITXAVVUEPJRYSN-LJQANCHMSA-N 0 0 432.521 -0.384 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCOC[C@@H]2CCOC2)CC1)N1CCc2ccccc21 ZINC000672371820 1073168077 /nfs/dbraw/zinc/16/80/77/1073168077.db2.gz LASOGPSZWJPAKJ-GOSISDBHSA-N 0 0 444.532 -0.111 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCOC[C@H]2CCOC2)CC1)N1CCc2ccccc21 ZINC000672371821 1073168080 /nfs/dbraw/zinc/16/80/80/1073168080.db2.gz LASOGPSZWJPAKJ-SFHVURJKSA-N 0 0 444.532 -0.111 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC[C@H]3CCOC3)CC2)cs1 ZINC000672372329 1073168107 /nfs/dbraw/zinc/16/81/07/1073168107.db2.gz NLGGVANRHKVKHY-AWEZNQCLSA-N 0 0 446.551 -0.546 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC[C@@H]3CCOC3)CC2)cs1 ZINC000672372330 1073168035 /nfs/dbraw/zinc/16/80/35/1073168035.db2.gz NLGGVANRHKVKHY-CQSZACIVSA-N 0 0 446.551 -0.546 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000672372437 1073166818 /nfs/dbraw/zinc/16/68/18/1073166818.db2.gz UOOMXXNOZKSNDH-GOSISDBHSA-N 0 0 438.569 -0.004 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000672372438 1073166768 /nfs/dbraw/zinc/16/67/68/1073166768.db2.gz UOOMXXNOZKSNDH-SFHVURJKSA-N 0 0 438.569 -0.004 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000672374098 1073166722 /nfs/dbraw/zinc/16/67/22/1073166722.db2.gz VQHLRKHYCHLMQV-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN COCc1ccccc1CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000672376787 1073167247 /nfs/dbraw/zinc/16/72/47/1073167247.db2.gz JKGRCIXZQNHKGT-UHFFFAOYSA-N 0 0 446.595 -0.227 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC000672390235 1073168045 /nfs/dbraw/zinc/16/80/45/1073168045.db2.gz OSBIUUDUBRTJLT-UHFFFAOYSA-N 0 0 438.569 -0.173 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000672390523 1073168039 /nfs/dbraw/zinc/16/80/39/1073168039.db2.gz OMQDIYLAOYPTMF-UHFFFAOYSA-N 0 0 438.573 -0.443 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@@H]1CN(C)CCO1 ZINC000672390539 1073168005 /nfs/dbraw/zinc/16/80/05/1073168005.db2.gz SVMJYMCOWLQDEK-HKUYNNGSSA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@H]1CN(C)CCO1 ZINC000672390540 1073168066 /nfs/dbraw/zinc/16/80/66/1073168066.db2.gz SVMJYMCOWLQDEK-IEBWSBKVSA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@@H]1CN(C)CCO1 ZINC000672390541 1073168097 /nfs/dbraw/zinc/16/80/97/1073168097.db2.gz SVMJYMCOWLQDEK-MJGOQNOKSA-N 0 0 437.585 -0.338 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1)[C@H]1CN(C)CCO1 ZINC000672390542 1073168049 /nfs/dbraw/zinc/16/80/49/1073168049.db2.gz SVMJYMCOWLQDEK-PKOBYXMFSA-N 0 0 437.585 -0.338 20 0 IBADRN Cc1ccc2c(c1)[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CC2 ZINC000672397536 1073168403 /nfs/dbraw/zinc/16/84/03/1073168403.db2.gz DQRSZDWERHWNBD-IBGZPJMESA-N 0 0 449.577 -0.265 20 0 IBADRN Cc1ccc2c(c1)[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)CC2 ZINC000672397537 1073168451 /nfs/dbraw/zinc/16/84/51/1073168451.db2.gz DQRSZDWERHWNBD-LJQANCHMSA-N 0 0 449.577 -0.265 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000672398213 1073168438 /nfs/dbraw/zinc/16/84/38/1073168438.db2.gz QGCJOCVQCPCOJQ-UHFFFAOYSA-N 0 0 428.496 -0.689 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000672398910 1073168367 /nfs/dbraw/zinc/16/83/67/1073168367.db2.gz BKCNEZBVVKENMW-UHFFFAOYSA-N 0 0 437.522 -0.451 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000672399143 1073168383 /nfs/dbraw/zinc/16/83/83/1073168383.db2.gz IHYDDIAYWULKKU-CYBMUJFWSA-N 0 0 431.481 -0.874 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1 ZINC000672399144 1073168373 /nfs/dbraw/zinc/16/83/73/1073168373.db2.gz IHYDDIAYWULKKU-ZDUSSCGKSA-N 0 0 431.481 -0.874 20 0 IBADRN Cc1ccc2c(c1)[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)CC2 ZINC000672399222 1073168332 /nfs/dbraw/zinc/16/83/32/1073168332.db2.gz KJUINUQWGAXQIB-GOSISDBHSA-N 0 0 428.489 -0.372 20 0 IBADRN Cc1ccc2c(c1)[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)CC2 ZINC000672399223 1073168420 /nfs/dbraw/zinc/16/84/20/1073168420.db2.gz KJUINUQWGAXQIB-SFHVURJKSA-N 0 0 428.489 -0.372 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000672399592 1073168465 /nfs/dbraw/zinc/16/84/65/1073168465.db2.gz WTGACOLWISBUIL-INIZCTEOSA-N 0 0 430.575 -0.457 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000672399593 1073168476 /nfs/dbraw/zinc/16/84/76/1073168476.db2.gz WTGACOLWISBUIL-MRXNPFEDSA-N 0 0 430.575 -0.457 20 0 IBADRN Cc1ccc2c(c1)[C@@H](NC(=O)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CC2 ZINC000672399876 1073168319 /nfs/dbraw/zinc/16/83/19/1073168319.db2.gz KOBJDVFMFXDPRF-INIZCTEOSA-N 0 0 426.477 -0.413 20 0 IBADRN Cc1ccc2c(c1)[C@H](NC(=O)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CC2 ZINC000672399877 1073168462 /nfs/dbraw/zinc/16/84/62/1073168462.db2.gz KOBJDVFMFXDPRF-MRXNPFEDSA-N 0 0 426.477 -0.413 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672420815 1073168821 /nfs/dbraw/zinc/16/88/21/1073168821.db2.gz RZFPZPCWWSMOHO-CABCVRRESA-N 0 0 438.554 -0.066 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672420816 1073168908 /nfs/dbraw/zinc/16/89/08/1073168908.db2.gz RZFPZPCWWSMOHO-HUUCEWRRSA-N 0 0 438.554 -0.066 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000672422984 1073168962 /nfs/dbraw/zinc/16/89/62/1073168962.db2.gz PFHRELVNJIPXSO-MRXNPFEDSA-N 0 0 432.568 -0.086 20 0 IBADRN Cn1c2ncc(S(=O)(=O)NCc3ccccc3S(N)(=O)=O)cc2c(=O)[nH]c1=O ZINC000672431098 1073168866 /nfs/dbraw/zinc/16/88/66/1073168866.db2.gz NZONHUZXWXWKDS-UHFFFAOYSA-N 0 0 425.448 -0.840 20 0 IBADRN COc1cc(OC)c(CCNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(OC)c1 ZINC000672441283 1073168796 /nfs/dbraw/zinc/16/87/96/1073168796.db2.gz ZBZOBQZDMOEEMT-UHFFFAOYSA-N 0 0 448.520 -0.206 20 0 IBADRN COc1cc(OC)c(CCNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)c(OC)c1 ZINC000672441536 1073168921 /nfs/dbraw/zinc/16/89/21/1073168921.db2.gz PNPPUICZRSAQTQ-UHFFFAOYSA-N 0 0 429.495 -0.621 20 0 IBADRN CCc1nc(CN2CCC[C@@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)C2)no1 ZINC000672447959 1073169307 /nfs/dbraw/zinc/16/93/07/1073169307.db2.gz FATOSMLQEADYGD-BBWFWOEESA-N 0 0 445.524 -0.319 20 0 IBADRN CCc1nc(CN2CCC[C@H](NC(=O)C(=O)N3C[C@@H](c4cnn(C)c4)[C@H](CO)C3)C2)no1 ZINC000672447960 1073169278 /nfs/dbraw/zinc/16/92/78/1073169278.db2.gz FATOSMLQEADYGD-ULQDDVLXSA-N 0 0 445.524 -0.319 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@H]1CCC[C@]12NC(=O)N(C)C2=O)C(F)(F)F ZINC000672449841 1073168955 /nfs/dbraw/zinc/16/89/55/1073168955.db2.gz JTABQXRZKHUORF-BFVZDQMLSA-N 0 0 443.448 -0.172 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O)C(F)(F)F ZINC000672449842 1073169291 /nfs/dbraw/zinc/16/92/91/1073169291.db2.gz JTABQXRZKHUORF-BHDSKKPTSA-N 0 0 443.448 -0.172 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O)C(F)(F)F ZINC000672449843 1073169244 /nfs/dbraw/zinc/16/92/44/1073169244.db2.gz JTABQXRZKHUORF-RBZYPMLTSA-N 0 0 443.448 -0.172 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NC[C@H]1CCC[C@]12NC(=O)N(C)C2=O)C(F)(F)F ZINC000672449844 1073169249 /nfs/dbraw/zinc/16/92/49/1073169249.db2.gz JTABQXRZKHUORF-RULNRJAQSA-N 0 0 443.448 -0.172 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)C1 ZINC000672449881 1073169316 /nfs/dbraw/zinc/16/93/16/1073169316.db2.gz QGJHHMNSFWSTBO-AHIWAGSCSA-N 0 0 430.531 -0.723 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)C1 ZINC000672449882 1073169273 /nfs/dbraw/zinc/16/92/73/1073169273.db2.gz QGJHHMNSFWSTBO-OGHNNQOOSA-N 0 0 430.531 -0.723 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)C1 ZINC000672449883 1073169263 /nfs/dbraw/zinc/16/92/63/1073169263.db2.gz QGJHHMNSFWSTBO-PBFPGSCMSA-N 0 0 430.531 -0.723 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)C1 ZINC000672449884 1073169298 /nfs/dbraw/zinc/16/92/98/1073169298.db2.gz QGJHHMNSFWSTBO-XNJGSVPQSA-N 0 0 430.531 -0.723 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)CC1)NC1CCCCC1 ZINC000672453125 1073170538 /nfs/dbraw/zinc/17/05/38/1073170538.db2.gz VREZRHBVQAFBQO-RBUKOAKNSA-N 0 0 436.553 -0.014 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000672455169 1073170663 /nfs/dbraw/zinc/17/06/63/1073170663.db2.gz LSZLTZHGQRBAIH-DLBZAZTESA-N 0 0 429.521 -0.339 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000672455170 1073170581 /nfs/dbraw/zinc/17/05/81/1073170581.db2.gz LSZLTZHGQRBAIH-IAGOWNOFSA-N 0 0 429.521 -0.339 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000672455171 1073170549 /nfs/dbraw/zinc/17/05/49/1073170549.db2.gz LSZLTZHGQRBAIH-IRXDYDNUSA-N 0 0 429.521 -0.339 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000672455172 1073170561 /nfs/dbraw/zinc/17/05/61/1073170561.db2.gz LSZLTZHGQRBAIH-SJORKVTESA-N 0 0 429.521 -0.339 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)C1 ZINC000672455450 1073170621 /nfs/dbraw/zinc/17/06/21/1073170621.db2.gz DPSSXCQHTKQEIO-DLBZAZTESA-N 0 0 429.521 -0.196 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)C1 ZINC000672455451 1073170514 /nfs/dbraw/zinc/17/05/14/1073170514.db2.gz DPSSXCQHTKQEIO-IAGOWNOFSA-N 0 0 429.521 -0.196 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)C1 ZINC000672455452 1073170687 /nfs/dbraw/zinc/17/06/87/1073170687.db2.gz DPSSXCQHTKQEIO-IRXDYDNUSA-N 0 0 429.521 -0.196 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)C1 ZINC000672455453 1073170696 /nfs/dbraw/zinc/17/06/96/1073170696.db2.gz DPSSXCQHTKQEIO-SJORKVTESA-N 0 0 429.521 -0.196 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672455715 1073171105 /nfs/dbraw/zinc/17/11/05/1073171105.db2.gz NKMCUOYQOFMUOZ-KBPBESRZSA-N 0 0 437.522 -0.979 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672455716 1073171147 /nfs/dbraw/zinc/17/11/47/1073171147.db2.gz NKMCUOYQOFMUOZ-KGLIPLIRSA-N 0 0 437.522 -0.979 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672455717 1073171120 /nfs/dbraw/zinc/17/11/20/1073171120.db2.gz NKMCUOYQOFMUOZ-UONOGXRCSA-N 0 0 437.522 -0.979 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672455718 1073171168 /nfs/dbraw/zinc/17/11/68/1073171168.db2.gz NKMCUOYQOFMUOZ-ZIAGYGMSSA-N 0 0 437.522 -0.979 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000672455743 1073171198 /nfs/dbraw/zinc/17/11/98/1073171198.db2.gz NZVSNJYSTVGJAY-INIZCTEOSA-N 0 0 444.536 -0.897 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000672455744 1073171142 /nfs/dbraw/zinc/17/11/42/1073171142.db2.gz NZVSNJYSTVGJAY-MRXNPFEDSA-N 0 0 444.536 -0.897 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)C2)cn1 ZINC000672455869 1073171108 /nfs/dbraw/zinc/17/11/08/1073171108.db2.gz BPASQRUYMUUWQY-CVEARBPZSA-N 0 0 439.520 -0.001 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)C2)cn1 ZINC000672455870 1073171151 /nfs/dbraw/zinc/17/11/51/1073171151.db2.gz BPASQRUYMUUWQY-HOTGVXAUSA-N 0 0 439.520 -0.001 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)C2)cn1 ZINC000672455871 1073171102 /nfs/dbraw/zinc/17/11/02/1073171102.db2.gz BPASQRUYMUUWQY-HZPDHXFCSA-N 0 0 439.520 -0.001 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)C2)cn1 ZINC000672455872 1073171162 /nfs/dbraw/zinc/17/11/62/1073171162.db2.gz BPASQRUYMUUWQY-JKSUJKDBSA-N 0 0 439.520 -0.001 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672455883 1073171154 /nfs/dbraw/zinc/17/11/54/1073171154.db2.gz CEEWWIMIBLPUGL-HNNXBMFYSA-N 0 0 428.493 -0.282 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672455884 1073171182 /nfs/dbraw/zinc/17/11/82/1073171182.db2.gz CEEWWIMIBLPUGL-OAHLLOKOSA-N 0 0 428.493 -0.282 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000672455919 1073170590 /nfs/dbraw/zinc/17/05/90/1073170590.db2.gz DXDGAVCDBGLLMC-CRAIPNDOSA-N 0 0 443.504 -0.670 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000672455920 1073171136 /nfs/dbraw/zinc/17/11/36/1073171136.db2.gz DXDGAVCDBGLLMC-MAUKXSAKSA-N 0 0 443.504 -0.670 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000672455921 1073171170 /nfs/dbraw/zinc/17/11/70/1073171170.db2.gz DXDGAVCDBGLLMC-QAPCUYQASA-N 0 0 443.504 -0.670 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000672455922 1073171159 /nfs/dbraw/zinc/17/11/59/1073171159.db2.gz DXDGAVCDBGLLMC-YJBOKZPZSA-N 0 0 443.504 -0.670 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)C1 ZINC000672456577 1073171470 /nfs/dbraw/zinc/17/14/70/1073171470.db2.gz XKTCPHJLMOFBNL-LLVKDONJSA-N 0 0 427.383 -0.329 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)C1 ZINC000672456578 1073171950 /nfs/dbraw/zinc/17/19/50/1073171950.db2.gz XKTCPHJLMOFBNL-NSHDSACASA-N 0 0 427.383 -0.329 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)C1 ZINC000672456610 1073171913 /nfs/dbraw/zinc/17/19/13/1073171913.db2.gz ZDHWWZPVSWKIKC-DLBZAZTESA-N 0 0 429.521 -0.196 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)C1 ZINC000672456611 1073171918 /nfs/dbraw/zinc/17/19/18/1073171918.db2.gz ZDHWWZPVSWKIKC-IAGOWNOFSA-N 0 0 429.521 -0.196 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)C1 ZINC000672456612 1073171935 /nfs/dbraw/zinc/17/19/35/1073171935.db2.gz ZDHWWZPVSWKIKC-IRXDYDNUSA-N 0 0 429.521 -0.196 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)C1 ZINC000672456613 1073171933 /nfs/dbraw/zinc/17/19/33/1073171933.db2.gz ZDHWWZPVSWKIKC-SJORKVTESA-N 0 0 429.521 -0.196 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000672456692 1073171449 /nfs/dbraw/zinc/17/14/49/1073171449.db2.gz CGNUJVWPDWQVMX-INIZCTEOSA-N 0 0 437.504 -0.072 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000672456693 1073171475 /nfs/dbraw/zinc/17/14/75/1073171475.db2.gz CGNUJVWPDWQVMX-MRXNPFEDSA-N 0 0 437.504 -0.072 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000672456841 1073171494 /nfs/dbraw/zinc/17/14/94/1073171494.db2.gz JLAHBKZTTLVKJP-AAEUAGOBSA-N 0 0 441.410 -0.240 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000672456842 1073171456 /nfs/dbraw/zinc/17/14/56/1073171456.db2.gz JLAHBKZTTLVKJP-DGCLKSJQSA-N 0 0 441.410 -0.240 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000672456843 1073171469 /nfs/dbraw/zinc/17/14/69/1073171469.db2.gz JLAHBKZTTLVKJP-WCQYABFASA-N 0 0 441.410 -0.240 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000672456844 1073171463 /nfs/dbraw/zinc/17/14/63/1073171463.db2.gz JLAHBKZTTLVKJP-YPMHNXCESA-N 0 0 441.410 -0.240 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672456908 1073171461 /nfs/dbraw/zinc/17/14/61/1073171461.db2.gz BCUCURKSSRRPGW-HNNXBMFYSA-N 0 0 428.493 -0.111 20 0 IBADRN COCCN(Cc1ccnn1C)C(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672456910 1073171416 /nfs/dbraw/zinc/17/14/16/1073171416.db2.gz BCUCURKSSRRPGW-OAHLLOKOSA-N 0 0 428.493 -0.111 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672456996 1073171487 /nfs/dbraw/zinc/17/14/87/1073171487.db2.gz CSAUCIAANOUKQE-QGPMSJSTSA-N 0 0 434.493 -0.583 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672456997 1073171455 /nfs/dbraw/zinc/17/14/55/1073171455.db2.gz CSAUCIAANOUKQE-QILLFSRXSA-N 0 0 434.493 -0.583 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672456998 1073171433 /nfs/dbraw/zinc/17/14/33/1073171433.db2.gz CSAUCIAANOUKQE-UWWQBHOKSA-N 0 0 434.493 -0.583 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672456999 1073171442 /nfs/dbraw/zinc/17/14/42/1073171442.db2.gz CSAUCIAANOUKQE-YXJHDRRASA-N 0 0 434.493 -0.583 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672457048 1073171478 /nfs/dbraw/zinc/17/14/78/1073171478.db2.gz OIXOKEVEDNJZLS-BRWVUGGUSA-N 0 0 448.520 -0.647 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672457049 1073171445 /nfs/dbraw/zinc/17/14/45/1073171445.db2.gz OIXOKEVEDNJZLS-GVDBMIGSSA-N 0 0 448.520 -0.647 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672457050 1073171500 /nfs/dbraw/zinc/17/15/00/1073171500.db2.gz OIXOKEVEDNJZLS-IXDOHACOSA-N 0 0 448.520 -0.647 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672457051 1073171437 /nfs/dbraw/zinc/17/14/37/1073171437.db2.gz OIXOKEVEDNJZLS-YESZJQIVSA-N 0 0 448.520 -0.647 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1=O ZINC000672457097 1073171483 /nfs/dbraw/zinc/17/14/83/1073171483.db2.gz FGLVCQWFRCOPDG-HNNXBMFYSA-N 0 0 431.493 -0.812 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1=O ZINC000672457098 1073171490 /nfs/dbraw/zinc/17/14/90/1073171490.db2.gz FGLVCQWFRCOPDG-OAHLLOKOSA-N 0 0 431.493 -0.812 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000672457439 1073171920 /nfs/dbraw/zinc/17/19/20/1073171920.db2.gz XYEISMIWFRNXFP-INIZCTEOSA-N 0 0 444.536 -0.851 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000672457440 1073171948 /nfs/dbraw/zinc/17/19/48/1073171948.db2.gz XYEISMIWFRNXFP-MRXNPFEDSA-N 0 0 444.536 -0.851 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1 ZINC000672457632 1073172258 /nfs/dbraw/zinc/17/22/58/1073172258.db2.gz PXGGMFYPWZFTJQ-GFCCVEGCSA-N 0 0 444.521 -0.737 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1 ZINC000672457633 1073172334 /nfs/dbraw/zinc/17/23/34/1073172334.db2.gz PXGGMFYPWZFTJQ-LBPRGKRZSA-N 0 0 444.521 -0.737 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)C1 ZINC000672457722 1073171945 /nfs/dbraw/zinc/17/19/45/1073171945.db2.gz UCFHCJOSOYNYII-CABCVRRESA-N 0 0 428.493 -0.457 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)C1 ZINC000672457723 1073171946 /nfs/dbraw/zinc/17/19/46/1073171946.db2.gz UCFHCJOSOYNYII-GJZGRUSLSA-N 0 0 428.493 -0.457 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)C1 ZINC000672457724 1073171922 /nfs/dbraw/zinc/17/19/22/1073171922.db2.gz UCFHCJOSOYNYII-HUUCEWRRSA-N 0 0 428.493 -0.457 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)C1 ZINC000672457725 1073172317 /nfs/dbraw/zinc/17/23/17/1073172317.db2.gz UCFHCJOSOYNYII-LSDHHAIUSA-N 0 0 428.493 -0.457 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000672457813 1073171939 /nfs/dbraw/zinc/17/19/39/1073171939.db2.gz BSXSHEURCVIYHU-INIZCTEOSA-N 0 0 439.520 -0.442 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000672457814 1073171951 /nfs/dbraw/zinc/17/19/51/1073171951.db2.gz BSXSHEURCVIYHU-MRXNPFEDSA-N 0 0 439.520 -0.442 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672458068 1073171932 /nfs/dbraw/zinc/17/19/32/1073171932.db2.gz HCPDSBTZBUURCH-KBPBESRZSA-N 0 0 437.522 -0.979 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672458069 1073171937 /nfs/dbraw/zinc/17/19/37/1073171937.db2.gz HCPDSBTZBUURCH-KGLIPLIRSA-N 0 0 437.522 -0.979 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672458070 1073171952 /nfs/dbraw/zinc/17/19/52/1073171952.db2.gz HCPDSBTZBUURCH-UONOGXRCSA-N 0 0 437.522 -0.979 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)C1 ZINC000672458071 1073171914 /nfs/dbraw/zinc/17/19/14/1073171914.db2.gz HCPDSBTZBUURCH-ZIAGYGMSSA-N 0 0 437.522 -0.979 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672458217 1073172307 /nfs/dbraw/zinc/17/23/07/1073172307.db2.gz MPXZQBVFHOCSGC-UONOGXRCSA-N 0 0 437.522 -0.979 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672458218 1073172275 /nfs/dbraw/zinc/17/22/75/1073172275.db2.gz MPXZQBVFHOCSGC-ZIAGYGMSSA-N 0 0 437.522 -0.979 20 0 IBADRN CN(C)c1ncc(CN(C)C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)cn1 ZINC000672458599 1073169744 /nfs/dbraw/zinc/16/97/44/1073169744.db2.gz ZNLSXLXGPPFVNO-HNNXBMFYSA-N 0 0 425.493 -0.005 20 0 IBADRN CN(C)c1ncc(CN(C)C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)cn1 ZINC000672458600 1073169792 /nfs/dbraw/zinc/16/97/92/1073169792.db2.gz ZNLSXLXGPPFVNO-OAHLLOKOSA-N 0 0 425.493 -0.005 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672458824 1073169767 /nfs/dbraw/zinc/16/97/67/1073169767.db2.gz HWNUCLMILHENBE-KBPBESRZSA-N 0 0 437.522 -0.979 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672458825 1073169747 /nfs/dbraw/zinc/16/97/47/1073169747.db2.gz HWNUCLMILHENBE-KGLIPLIRSA-N 0 0 437.522 -0.979 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672458826 1073169769 /nfs/dbraw/zinc/16/97/69/1073169769.db2.gz HWNUCLMILHENBE-UONOGXRCSA-N 0 0 437.522 -0.979 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672458827 1073169798 /nfs/dbraw/zinc/16/97/98/1073169798.db2.gz HWNUCLMILHENBE-ZIAGYGMSSA-N 0 0 437.522 -0.979 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)CC2)n1 ZINC000672458924 1073169774 /nfs/dbraw/zinc/16/97/74/1073169774.db2.gz LRTSDFVFESCQGR-INIZCTEOSA-N 0 0 437.504 -0.072 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)CC2)n1 ZINC000672458925 1073169802 /nfs/dbraw/zinc/16/98/02/1073169802.db2.gz LRTSDFVFESCQGR-MRXNPFEDSA-N 0 0 437.504 -0.072 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)C2=O)cn1 ZINC000672459109 1073169294 /nfs/dbraw/zinc/16/92/94/1073169294.db2.gz ARHHRVDRITWBML-BBRMVZONSA-N 0 0 439.476 -0.864 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)C2=O)cn1 ZINC000672459110 1073169287 /nfs/dbraw/zinc/16/92/87/1073169287.db2.gz ARHHRVDRITWBML-CJNGLKHVSA-N 0 0 439.476 -0.864 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)C2=O)cn1 ZINC000672459111 1073169321 /nfs/dbraw/zinc/16/93/21/1073169321.db2.gz ARHHRVDRITWBML-CZUORRHYSA-N 0 0 439.476 -0.864 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)C2=O)cn1 ZINC000672459112 1073169732 /nfs/dbraw/zinc/16/97/32/1073169732.db2.gz ARHHRVDRITWBML-XJKSGUPXSA-N 0 0 439.476 -0.864 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)CC2)cn1 ZINC000672459370 1073169311 /nfs/dbraw/zinc/16/93/11/1073169311.db2.gz HAAZTHJCKMPJFQ-HNNXBMFYSA-N 0 0 425.493 -0.437 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)CC2)cn1 ZINC000672459371 1073169253 /nfs/dbraw/zinc/16/92/53/1073169253.db2.gz HAAZTHJCKMPJFQ-OAHLLOKOSA-N 0 0 425.493 -0.437 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CCN1CC(C)(C)O ZINC000672459631 1073170248 /nfs/dbraw/zinc/17/02/48/1073170248.db2.gz PUJKHKAELLXELR-CVEARBPZSA-N 0 0 431.537 -0.216 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CCN1CC(C)(C)O ZINC000672459632 1073170139 /nfs/dbraw/zinc/17/01/39/1073170139.db2.gz PUJKHKAELLXELR-HOTGVXAUSA-N 0 0 431.537 -0.216 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CCN1CC(C)(C)O ZINC000672459633 1073170235 /nfs/dbraw/zinc/17/02/35/1073170235.db2.gz PUJKHKAELLXELR-HZPDHXFCSA-N 0 0 431.537 -0.216 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CCN1CC(C)(C)O ZINC000672459634 1073170169 /nfs/dbraw/zinc/17/01/69/1073170169.db2.gz PUJKHKAELLXELR-JKSUJKDBSA-N 0 0 431.537 -0.216 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1)C(=O)N1CCOCC1 ZINC000672459781 1073170158 /nfs/dbraw/zinc/17/01/58/1073170158.db2.gz DAGSHPJBFDTRLX-GDBMZVCRSA-N 0 0 431.493 -0.766 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1)C(=O)N1CCOCC1 ZINC000672459783 1073170210 /nfs/dbraw/zinc/17/02/10/1073170210.db2.gz DAGSHPJBFDTRLX-GOEBONIOSA-N 0 0 431.493 -0.766 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1)C(=O)N1CCOCC1 ZINC000672459785 1073170220 /nfs/dbraw/zinc/17/02/20/1073170220.db2.gz DAGSHPJBFDTRLX-HOCLYGCPSA-N 0 0 431.493 -0.766 20 0 IBADRN CC[C@H](NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1)C(=O)N1CCOCC1 ZINC000672459787 1073170101 /nfs/dbraw/zinc/17/01/01/1073170101.db2.gz DAGSHPJBFDTRLX-ZBFHGGJFSA-N 0 0 431.493 -0.766 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)CC2=O)cn1 ZINC000672459886 1073170231 /nfs/dbraw/zinc/17/02/31/1073170231.db2.gz HPQAFQMBVSEBMI-AWEZNQCLSA-N 0 0 439.476 -0.911 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)CC2=O)cn1 ZINC000672459890 1073170279 /nfs/dbraw/zinc/17/02/79/1073170279.db2.gz HPQAFQMBVSEBMI-CQSZACIVSA-N 0 0 439.476 -0.911 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCCC[C@@H]2[C@H]2CNC(=O)C2)C1 ZINC000672459933 1073170111 /nfs/dbraw/zinc/17/01/11/1073170111.db2.gz YMMAUTNFPKTNOH-HLLBOEOZSA-N 0 0 427.505 -0.002 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCCC[C@H]2[C@H]2CNC(=O)C2)C1 ZINC000672459935 1073170285 /nfs/dbraw/zinc/17/02/85/1073170285.db2.gz YMMAUTNFPKTNOH-VYDXJSESSA-N 0 0 427.505 -0.002 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCCC[C@@H]2[C@@H]2CNC(=O)C2)C1 ZINC000672459937 1073170225 /nfs/dbraw/zinc/17/02/25/1073170225.db2.gz YMMAUTNFPKTNOH-YQQAZPJKSA-N 0 0 427.505 -0.002 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCCC[C@H]2[C@@H]2CNC(=O)C2)C1 ZINC000672459939 1073170164 /nfs/dbraw/zinc/17/01/64/1073170164.db2.gz YMMAUTNFPKTNOH-ZOBUZTSGSA-N 0 0 427.505 -0.002 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)CC2)cn1 ZINC000672459986 1073169753 /nfs/dbraw/zinc/16/97/53/1073169753.db2.gz KQNPKLKXUHHVHZ-KRWDZBQOSA-N 0 0 439.520 -0.442 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)CC2)cn1 ZINC000672459988 1073169739 /nfs/dbraw/zinc/16/97/39/1073169739.db2.gz KQNPKLKXUHHVHZ-QGZVFWFLSA-N 0 0 439.520 -0.442 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000672459995 1073169777 /nfs/dbraw/zinc/16/97/77/1073169777.db2.gz LEEZEEHSBDRICI-INIZCTEOSA-N 0 0 429.521 -0.196 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000672459997 1073169800 /nfs/dbraw/zinc/16/98/00/1073169800.db2.gz LEEZEEHSBDRICI-MRXNPFEDSA-N 0 0 429.521 -0.196 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C1 ZINC000672460079 1073169804 /nfs/dbraw/zinc/16/98/04/1073169804.db2.gz QPPGSRCUYXOKHG-HNNXBMFYSA-N 0 0 441.467 -0.242 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C1 ZINC000672460080 1073169771 /nfs/dbraw/zinc/16/97/71/1073169771.db2.gz QPPGSRCUYXOKHG-OAHLLOKOSA-N 0 0 441.467 -0.242 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)C[C@H]2CO)cn1 ZINC000672460394 1073170202 /nfs/dbraw/zinc/17/02/02/1073170202.db2.gz SDUNJJRQSCDFKD-BQFCYCMXSA-N 0 0 440.504 -0.552 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)C[C@H]2CO)cn1 ZINC000672460395 1073170216 /nfs/dbraw/zinc/17/02/16/1073170216.db2.gz SDUNJJRQSCDFKD-JZXOWHBKSA-N 0 0 440.504 -0.552 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672460426 1073170637 /nfs/dbraw/zinc/17/06/37/1073170637.db2.gz WZBHZUOCTFSUOI-CVEARBPZSA-N 0 0 431.537 -0.216 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672460427 1073170525 /nfs/dbraw/zinc/17/05/25/1073170525.db2.gz WZBHZUOCTFSUOI-HOTGVXAUSA-N 0 0 431.537 -0.216 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672460428 1073170651 /nfs/dbraw/zinc/17/06/51/1073170651.db2.gz WZBHZUOCTFSUOI-HZPDHXFCSA-N 0 0 431.537 -0.216 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000672460429 1073170569 /nfs/dbraw/zinc/17/05/69/1073170569.db2.gz WZBHZUOCTFSUOI-JKSUJKDBSA-N 0 0 431.537 -0.216 20 0 IBADRN C[C@H](C(=O)N(C)CCN(CCN1CCCC1)C(=O)[C@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000672466622 1073172345 /nfs/dbraw/zinc/17/23/45/1073172345.db2.gz WBISOGYZLYDMIM-CABCVRRESA-N 0 0 439.600 -0.765 20 0 IBADRN C[C@@H](C(=O)N(C)CCN(CCN1CCCC1)C(=O)[C@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000672466623 1073172249 /nfs/dbraw/zinc/17/22/49/1073172249.db2.gz WBISOGYZLYDMIM-GJZGRUSLSA-N 0 0 439.600 -0.765 20 0 IBADRN C[C@H](C(=O)N(C)CCN(CCN1CCCC1)C(=O)[C@@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000672466624 1073172292 /nfs/dbraw/zinc/17/22/92/1073172292.db2.gz WBISOGYZLYDMIM-HUUCEWRRSA-N 0 0 439.600 -0.765 20 0 IBADRN C[C@H](C(=O)N(CCN(C)C(=O)[C@H](C)S(C)(=O)=O)CCN1CCCC1)S(C)(=O)=O ZINC000672466625 1073172295 /nfs/dbraw/zinc/17/22/95/1073172295.db2.gz WBISOGYZLYDMIM-LSDHHAIUSA-N 0 0 439.600 -0.765 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)C1 ZINC000672467313 1073172330 /nfs/dbraw/zinc/17/23/30/1073172330.db2.gz AKISELSVIVLRGV-BBWFWOEESA-N 0 0 447.554 -0.708 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CC[C@H](C(=O)N3CCOCC3)O2)C1 ZINC000672467314 1073172227 /nfs/dbraw/zinc/17/22/27/1073172227.db2.gz AKISELSVIVLRGV-ZACQAIPSSA-N 0 0 447.554 -0.708 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000672467747 1073172750 /nfs/dbraw/zinc/17/27/50/1073172750.db2.gz IVSGIPFLVPRWIZ-CYBMUJFWSA-N 0 0 428.898 -0.431 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000672467756 1073172637 /nfs/dbraw/zinc/17/26/37/1073172637.db2.gz IVSGIPFLVPRWIZ-ZDUSSCGKSA-N 0 0 428.898 -0.431 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2ccc(CCNS(C)(=O)=O)cc2)C1 ZINC000672476001 1073172320 /nfs/dbraw/zinc/17/23/20/1073172320.db2.gz DAFCLBQCZBROHB-MRXNPFEDSA-N 0 0 432.568 -0.221 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000672476628 1073172669 /nfs/dbraw/zinc/17/26/69/1073172669.db2.gz LBTSTDBSXOTMCJ-OAHLLOKOSA-N 0 0 432.568 -0.086 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC(F)F)C2)C1 ZINC000672490368 1073172743 /nfs/dbraw/zinc/17/27/43/1073172743.db2.gz YMHQBRDZKDRVAP-CYBMUJFWSA-N 0 0 446.476 -0.010 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(c3ccccc3OC(F)F)C2)C1 ZINC000672490369 1073172705 /nfs/dbraw/zinc/17/27/05/1073172705.db2.gz YMHQBRDZKDRVAP-ZDUSSCGKSA-N 0 0 446.476 -0.010 20 0 IBADRN NS(=O)(=O)c1ccccc1CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000672502584 1073173428 /nfs/dbraw/zinc/17/34/28/1073173428.db2.gz ORWPJSJWAKQDRV-UHFFFAOYSA-N 0 0 429.476 -0.122 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1ccccc1S(N)(=O)=O ZINC000672502771 1073173420 /nfs/dbraw/zinc/17/34/20/1073173420.db2.gz IQRSJNFFGQZKEG-UHFFFAOYSA-N 0 0 442.519 -0.377 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NCC3CN(S(C)(=O)=O)C3)C2=O)c(OC)c1 ZINC000672505026 1073173753 /nfs/dbraw/zinc/17/37/53/1073173753.db2.gz BRVXPMCHDGJUPU-INIZCTEOSA-N 0 0 440.522 -0.005 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NCC3CN(S(C)(=O)=O)C3)C2=O)c(OC)c1 ZINC000672505027 1073173736 /nfs/dbraw/zinc/17/37/36/1073173736.db2.gz BRVXPMCHDGJUPU-MRXNPFEDSA-N 0 0 440.522 -0.005 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)C1 ZINC000672507416 1073172685 /nfs/dbraw/zinc/17/26/85/1073172685.db2.gz QFKWYLHHUDVFLB-GOSISDBHSA-N 0 0 438.550 -0.946 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)C1 ZINC000672507417 1073172642 /nfs/dbraw/zinc/17/26/42/1073172642.db2.gz QFKWYLHHUDVFLB-SFHVURJKSA-N 0 0 438.550 -0.946 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1)S(C)(=O)=O ZINC000672509285 1073172698 /nfs/dbraw/zinc/17/26/98/1073172698.db2.gz SJMULTFSHHSHOD-KRWDZBQOSA-N 0 0 438.550 -0.121 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1)S(C)(=O)=O ZINC000672509286 1073172716 /nfs/dbraw/zinc/17/27/16/1073172716.db2.gz SJMULTFSHHSHOD-QGZVFWFLSA-N 0 0 438.550 -0.121 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC000672509295 1073172650 /nfs/dbraw/zinc/17/26/50/1073172650.db2.gz TYWFPKKSTVUQIY-UHFFFAOYSA-N 0 0 426.495 -0.984 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)NCCN2CCOCC2(C)C)cc1)S(C)(=O)=O ZINC000672509452 1073172741 /nfs/dbraw/zinc/17/27/41/1073172741.db2.gz HHKWXRSHYPSMPU-UHFFFAOYSA-N 0 0 426.539 -0.074 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cc1)S(C)(=O)=O ZINC000672509463 1073172748 /nfs/dbraw/zinc/17/27/48/1073172748.db2.gz HQMOZORQFOSKSE-UHFFFAOYSA-N 0 0 436.412 -0.111 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672509500 1073173067 /nfs/dbraw/zinc/17/30/67/1073173067.db2.gz IQXPGXMKSPKECX-UHFFFAOYSA-N 0 0 437.522 -0.064 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)cc1)S(C)(=O)=O ZINC000672509630 1073173107 /nfs/dbraw/zinc/17/31/07/1073173107.db2.gz KAWYKXLPQUBDAK-AWEZNQCLSA-N 0 0 425.511 -0.395 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)cc1)S(C)(=O)=O ZINC000672509631 1073173117 /nfs/dbraw/zinc/17/31/17/1073173117.db2.gz KAWYKXLPQUBDAK-CQSZACIVSA-N 0 0 425.511 -0.395 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1)S(C)(=O)=O ZINC000672509776 1073173152 /nfs/dbraw/zinc/17/31/52/1073173152.db2.gz PJUCSLQNUKNOBG-UHFFFAOYSA-N 0 0 432.506 -0.163 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)NCCN2CCOC(C)(C)C2)cc1)S(C)(=O)=O ZINC000672509849 1073173128 /nfs/dbraw/zinc/17/31/28/1073173128.db2.gz UOYLLNMMETUGJJ-UHFFFAOYSA-N 0 0 426.539 -0.074 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672509862 1073173060 /nfs/dbraw/zinc/17/30/60/1073173060.db2.gz VSRAIXDIZCVQFO-HNNXBMFYSA-N 0 0 426.539 -0.122 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672509863 1073173076 /nfs/dbraw/zinc/17/30/76/1073173076.db2.gz VSRAIXDIZCVQFO-OAHLLOKOSA-N 0 0 426.539 -0.122 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)C1 ZINC000672510157 1073172696 /nfs/dbraw/zinc/17/26/96/1073172696.db2.gz NUQXONGGZPCBLM-HNNXBMFYSA-N 0 0 446.551 -0.761 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)C1 ZINC000672510158 1073173148 /nfs/dbraw/zinc/17/31/48/1073173148.db2.gz NUQXONGGZPCBLM-OAHLLOKOSA-N 0 0 446.551 -0.761 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1)S(C)(=O)=O ZINC000672510225 1073172722 /nfs/dbraw/zinc/17/27/22/1073172722.db2.gz SDESCSMAPHLANJ-UHFFFAOYSA-N 0 0 439.538 -0.893 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1=O ZINC000672510515 1073173383 /nfs/dbraw/zinc/17/33/83/1073173383.db2.gz UUYUWXKFZZQWBS-UHFFFAOYSA-N 0 0 440.522 -0.594 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1)S(C)(=O)=O ZINC000672510527 1073173358 /nfs/dbraw/zinc/17/33/58/1073173358.db2.gz VUZNZXLQWWMJDX-HNNXBMFYSA-N 0 0 437.522 -0.239 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1)S(C)(=O)=O ZINC000672510528 1073173445 /nfs/dbraw/zinc/17/34/45/1073173445.db2.gz VUZNZXLQWWMJDX-OAHLLOKOSA-N 0 0 437.522 -0.239 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC000672510564 1073173800 /nfs/dbraw/zinc/17/38/00/1073173800.db2.gz ZBBGYFPEKYHIQF-UHFFFAOYSA-N 0 0 426.539 -0.121 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672510573 1073173747 /nfs/dbraw/zinc/17/37/47/1073173747.db2.gz ABFBDSITYLPPHV-DLBZAZTESA-N 0 0 443.522 -0.365 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672510574 1073173842 /nfs/dbraw/zinc/17/38/42/1073173842.db2.gz ABFBDSITYLPPHV-IAGOWNOFSA-N 0 0 443.522 -0.365 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672510575 1073173808 /nfs/dbraw/zinc/17/38/08/1073173808.db2.gz ABFBDSITYLPPHV-IRXDYDNUSA-N 0 0 443.522 -0.365 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672510576 1073173840 /nfs/dbraw/zinc/17/38/40/1073173840.db2.gz ABFBDSITYLPPHV-SJORKVTESA-N 0 0 443.522 -0.365 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000672510602 1073173846 /nfs/dbraw/zinc/17/38/46/1073173846.db2.gz DLPVNSDRPCNRRG-OAHLLOKOSA-N 0 0 446.551 -0.761 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1)S(C)(=O)=O ZINC000672510707 1073173387 /nfs/dbraw/zinc/17/33/87/1073173387.db2.gz MRMJDPYJHHMUED-UHFFFAOYSA-N 0 0 426.539 -0.386 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1)S(C)(=O)=O ZINC000672510778 1073172726 /nfs/dbraw/zinc/17/27/26/1073172726.db2.gz VOKWTMJHGGAUSG-HNNXBMFYSA-N 0 0 431.536 -0.266 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1)S(C)(=O)=O ZINC000672510779 1073172688 /nfs/dbraw/zinc/17/26/88/1073172688.db2.gz VOKWTMJHGGAUSG-OAHLLOKOSA-N 0 0 431.536 -0.266 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1)S(C)(=O)=O ZINC000672510936 1073173411 /nfs/dbraw/zinc/17/34/11/1073173411.db2.gz BPOQMSDPRRQXNR-INIZCTEOSA-N 0 0 448.505 -0.646 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1)S(C)(=O)=O ZINC000672510937 1073173366 /nfs/dbraw/zinc/17/33/66/1073173366.db2.gz BPOQMSDPRRQXNR-MRXNPFEDSA-N 0 0 448.505 -0.646 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1)S(C)(=O)=O ZINC000672510983 1073173461 /nfs/dbraw/zinc/17/34/61/1073173461.db2.gz GXXMOKDRVRUBGF-UHFFFAOYSA-N 0 0 432.506 -0.163 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)cc1)S(C)(=O)=O ZINC000672511070 1073173449 /nfs/dbraw/zinc/17/34/49/1073173449.db2.gz OXTGRCKUQCAONN-UHFFFAOYSA-N 0 0 448.549 -0.224 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)C1 ZINC000672511117 1073173141 /nfs/dbraw/zinc/17/31/41/1073173141.db2.gz VIUZXJIKVGTYSR-HNNXBMFYSA-N 0 0 446.551 -0.761 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)C1 ZINC000672511118 1073173101 /nfs/dbraw/zinc/17/31/01/1073173101.db2.gz VIUZXJIKVGTYSR-OAHLLOKOSA-N 0 0 446.551 -0.761 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672511133 1073173092 /nfs/dbraw/zinc/17/30/92/1073173092.db2.gz JLFIWFJEXDXDBU-CHWSQXEVSA-N 0 0 433.552 -0.021 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672511134 1073173120 /nfs/dbraw/zinc/17/31/20/1073173120.db2.gz JLFIWFJEXDXDBU-OLZOCXBDSA-N 0 0 433.552 -0.021 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000672511135 1073173154 /nfs/dbraw/zinc/17/31/54/1073173154.db2.gz JLFIWFJEXDXDBU-QWHCGFSZSA-N 0 0 433.552 -0.021 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672511136 1073173414 /nfs/dbraw/zinc/17/34/14/1073173414.db2.gz JLFIWFJEXDXDBU-STQMWFEESA-N 0 0 433.552 -0.021 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672511262 1073173124 /nfs/dbraw/zinc/17/31/24/1073173124.db2.gz RKORSMYLQISRLN-HNNXBMFYSA-N 0 0 446.551 -0.761 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000672511263 1073173112 /nfs/dbraw/zinc/17/31/12/1073173112.db2.gz RKORSMYLQISRLN-OAHLLOKOSA-N 0 0 446.551 -0.761 20 0 IBADRN CCN(C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)[C@H](C)CS(C)(=O)=O ZINC000672511292 1073173052 /nfs/dbraw/zinc/17/30/52/1073173052.db2.gz UGSUWEICEQETMT-CYBMUJFWSA-N 0 0 433.552 -0.020 20 0 IBADRN CCN(C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)[C@@H](C)CS(C)(=O)=O ZINC000672511293 1073173144 /nfs/dbraw/zinc/17/31/44/1073173144.db2.gz UGSUWEICEQETMT-ZDUSSCGKSA-N 0 0 433.552 -0.020 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cc1)S(C)(=O)=O ZINC000672511409 1073173095 /nfs/dbraw/zinc/17/30/95/1073173095.db2.gz FLTAALWZCOCSMI-UHFFFAOYSA-N 0 0 434.522 -0.219 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CCO1 ZINC000672511624 1073174146 /nfs/dbraw/zinc/17/41/46/1073174146.db2.gz YCQJOCPBVNIKRU-INIZCTEOSA-N 0 0 426.495 -0.938 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CCO1 ZINC000672511625 1073174107 /nfs/dbraw/zinc/17/41/07/1073174107.db2.gz YCQJOCPBVNIKRU-MRXNPFEDSA-N 0 0 426.495 -0.938 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000672511677 1073173811 /nfs/dbraw/zinc/17/38/11/1073173811.db2.gz BVAFMZZXNLSHFH-INIZCTEOSA-N 0 0 440.522 -0.548 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1)C(=O)N1CCOCC1 ZINC000672511679 1073173822 /nfs/dbraw/zinc/17/38/22/1073173822.db2.gz BVAFMZZXNLSHFH-MRXNPFEDSA-N 0 0 440.522 -0.548 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2C[C@@H](c3cnn(C)c3)[C@H](CO)C2)cc1)S(C)(=O)=O ZINC000672511709 1073173863 /nfs/dbraw/zinc/17/38/63/1073173863.db2.gz FDXFIJZHWVSJCM-WMZOPIPTSA-N 0 0 449.533 -0.333 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)cc1)S(C)(=O)=O ZINC000672511734 1073174057 /nfs/dbraw/zinc/17/40/57/1073174057.db2.gz HBPCWZYKRNKPSK-UHFFFAOYSA-N 0 0 448.549 -0.224 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1)S(C)(=O)=O ZINC000672511829 1073173818 /nfs/dbraw/zinc/17/38/18/1073173818.db2.gz QTIYUUNUADTIQB-UHFFFAOYSA-N 0 0 448.505 -0.693 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1)S(C)(=O)=O ZINC000672511872 1073173789 /nfs/dbraw/zinc/17/37/89/1073173789.db2.gz WBETYLSVKREJKA-UHFFFAOYSA-N 0 0 432.506 -0.163 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)[C@H](C)C1 ZINC000672512696 1073174143 /nfs/dbraw/zinc/17/41/43/1073174143.db2.gz AUULWEJBZACTOB-CYBMUJFWSA-N 0 0 435.524 -0.204 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)[C@H](C)C1 ZINC000672512727 1073174203 /nfs/dbraw/zinc/17/42/03/1073174203.db2.gz VXTAVOVAGUZZKL-CQSZACIVSA-N 0 0 448.567 -0.458 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)CC2)n1 ZINC000672514344 1073174082 /nfs/dbraw/zinc/17/40/82/1073174082.db2.gz JURAACJWTPUARA-UHFFFAOYSA-N 0 0 445.568 -0.083 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000672522170 1073173859 /nfs/dbraw/zinc/17/38/59/1073173859.db2.gz DVDHKKHNNRTJGG-GDBMZVCRSA-N 0 0 436.542 -0.338 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000672522171 1073173770 /nfs/dbraw/zinc/17/37/70/1073173770.db2.gz DVDHKKHNNRTJGG-ZBFHGGJFSA-N 0 0 436.542 -0.338 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000672522240 1073173825 /nfs/dbraw/zinc/17/38/25/1073173825.db2.gz LBXCBEXULUQGKI-WCQYABFASA-N 0 0 437.544 -0.655 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000672522576 1073174650 /nfs/dbraw/zinc/17/46/50/1073174650.db2.gz RMSHCISSRQYVOY-QWHCGFSZSA-N 0 0 436.531 -0.121 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000672522682 1073174503 /nfs/dbraw/zinc/17/45/03/1073174503.db2.gz CGKXGPRRKHDFSR-IAGOWNOFSA-N 0 0 445.586 -0.913 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000672522683 1073174641 /nfs/dbraw/zinc/17/46/41/1073174641.db2.gz CGKXGPRRKHDFSR-SJORKVTESA-N 0 0 445.586 -0.913 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)C1 ZINC000672524225 1073174957 /nfs/dbraw/zinc/17/49/57/1073174957.db2.gz YSOKUUHPYZKXOH-INIZCTEOSA-N 0 0 440.522 -0.204 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2ccnc(OC3CCOCC3)c2)C1 ZINC000672524226 1073175015 /nfs/dbraw/zinc/17/50/15/1073175015.db2.gz YSOKUUHPYZKXOH-MRXNPFEDSA-N 0 0 440.522 -0.204 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)CC1 ZINC000672524829 1073174045 /nfs/dbraw/zinc/17/40/45/1073174045.db2.gz DSZCIMAAKCPBLY-INIZCTEOSA-N 0 0 433.557 -0.353 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)CC1 ZINC000672524830 1073174119 /nfs/dbraw/zinc/17/41/19/1073174119.db2.gz DSZCIMAAKCPBLY-MRXNPFEDSA-N 0 0 433.557 -0.353 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)CC1 ZINC000672525013 1073174178 /nfs/dbraw/zinc/17/41/78/1073174178.db2.gz HUPDXFLCTUJJRP-HNNXBMFYSA-N 0 0 433.557 -0.306 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)NCc2cnc(N(C)C)n2C)CC1 ZINC000672525014 1073174208 /nfs/dbraw/zinc/17/42/08/1073174208.db2.gz HUPDXFLCTUJJRP-OAHLLOKOSA-N 0 0 433.557 -0.306 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)n1C ZINC000672525226 1073174063 /nfs/dbraw/zinc/17/40/63/1073174063.db2.gz NDGWVDUZOAGHGW-UHFFFAOYSA-N 0 0 434.522 -0.041 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@H]1CCN(c2ccccc2)C1=O ZINC000672529766 1073174588 /nfs/dbraw/zinc/17/45/88/1073174588.db2.gz KIIDIXZLQLIKJT-HNNXBMFYSA-N 0 0 435.462 -0.868 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CCN(c2ccccc2)C1=O ZINC000672529770 1073174608 /nfs/dbraw/zinc/17/46/08/1073174608.db2.gz KIIDIXZLQLIKJT-OAHLLOKOSA-N 0 0 435.462 -0.868 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)[nH]n1 ZINC000672537711 1073175407 /nfs/dbraw/zinc/17/54/07/1073175407.db2.gz FFQQSPGLMDOOOT-UHFFFAOYSA-N 0 0 431.478 -0.256 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)[nH]n1 ZINC000672537889 1073175415 /nfs/dbraw/zinc/17/54/15/1073175415.db2.gz PSSWHJDVBMNCQB-UHFFFAOYSA-N 0 0 426.543 -0.012 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)[nH]n1 ZINC000672538240 1073175380 /nfs/dbraw/zinc/17/53/80/1073175380.db2.gz GYAIADCGPAYQBP-KRWDZBQOSA-N 0 0 442.524 -0.284 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)[nH]n1 ZINC000672538241 1073175430 /nfs/dbraw/zinc/17/54/30/1073175430.db2.gz GYAIADCGPAYQBP-QGZVFWFLSA-N 0 0 442.524 -0.284 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)[nH]n1 ZINC000672539031 1073174985 /nfs/dbraw/zinc/17/49/85/1073174985.db2.gz NDIJUPGQYAVODW-UHFFFAOYSA-N 0 0 449.518 -0.128 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[nH]n1 ZINC000672541588 1073174831 /nfs/dbraw/zinc/17/48/31/1073174831.db2.gz JOAZOMSASILCOW-UHFFFAOYSA-N 0 0 434.522 -0.413 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)[nH]n1 ZINC000672541678 1073174928 /nfs/dbraw/zinc/17/49/28/1073174928.db2.gz MFMJOWPUHBSFOL-HNNXBMFYSA-N 0 0 428.497 -0.254 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)[nH]n1 ZINC000672541679 1073175042 /nfs/dbraw/zinc/17/50/42/1073175042.db2.gz MFMJOWPUHBSFOL-OAHLLOKOSA-N 0 0 428.497 -0.254 20 0 IBADRN Cn1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c2ccccc21 ZINC000672545989 1073174979 /nfs/dbraw/zinc/17/49/79/1073174979.db2.gz NATGTCPPCAAGNN-UHFFFAOYSA-N 0 0 446.489 -0.148 20 0 IBADRN Cn1nc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c2ccccc21 ZINC000672546774 1073175018 /nfs/dbraw/zinc/17/50/18/1073175018.db2.gz QCNFBKKAEHYNMT-UHFFFAOYSA-N 0 0 428.493 -0.808 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2nn(C)c3ccccc23)CC1 ZINC000672547294 1073174871 /nfs/dbraw/zinc/17/48/71/1073174871.db2.gz DYDOYPIEQPVCIC-INIZCTEOSA-N 0 0 444.536 -0.125 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2nn(C)c3ccccc23)CC1 ZINC000672547297 1073175028 /nfs/dbraw/zinc/17/50/28/1073175028.db2.gz DYDOYPIEQPVCIC-MRXNPFEDSA-N 0 0 444.536 -0.125 20 0 IBADRN Cn1nc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c2ccccc21 ZINC000672548164 1073175459 /nfs/dbraw/zinc/17/54/59/1073175459.db2.gz RTWPTEPPDXMPCR-HNNXBMFYSA-N 0 0 449.533 -0.158 20 0 IBADRN Cn1nc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c2ccccc21 ZINC000672548173 1073175426 /nfs/dbraw/zinc/17/54/26/1073175426.db2.gz RTWPTEPPDXMPCR-OAHLLOKOSA-N 0 0 449.533 -0.158 20 0 IBADRN Cn1nc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c2ccccc21 ZINC000672549018 1073175422 /nfs/dbraw/zinc/17/54/22/1073175422.db2.gz YRMWHOWPECYWQF-UHFFFAOYSA-N 0 0 428.493 -0.808 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)on1 ZINC000672550788 1073175840 /nfs/dbraw/zinc/17/58/40/1073175840.db2.gz WTWCENQJSSGYIE-KBPBESRZSA-N 0 0 443.526 -0.649 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)on1 ZINC000672550789 1073175780 /nfs/dbraw/zinc/17/57/80/1073175780.db2.gz WTWCENQJSSGYIE-OKILXGFUSA-N 0 0 443.526 -0.649 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)on1 ZINC000672550790 1073175763 /nfs/dbraw/zinc/17/57/63/1073175763.db2.gz WTWCENQJSSGYIE-ZIAGYGMSSA-N 0 0 443.526 -0.649 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000672551713 1073175787 /nfs/dbraw/zinc/17/57/87/1073175787.db2.gz FTFXDCZTLINLCL-HNNXBMFYSA-N 0 0 425.493 -0.305 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccc(-n3cncn3)c2)CC1 ZINC000672551714 1073175727 /nfs/dbraw/zinc/17/57/27/1073175727.db2.gz FTFXDCZTLINLCL-OAHLLOKOSA-N 0 0 425.493 -0.305 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000672553266 1073175364 /nfs/dbraw/zinc/17/53/64/1073175364.db2.gz XRQJSWSQRQFAMX-UHFFFAOYSA-N 0 0 425.493 -0.018 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000672553659 1073175439 /nfs/dbraw/zinc/17/54/39/1073175439.db2.gz HOXVQBYKNTWAID-UHFFFAOYSA-N 0 0 438.488 -0.086 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)cc1)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000672557092 1073175376 /nfs/dbraw/zinc/17/53/76/1073175376.db2.gz FEXXMVGVRHSCDK-HNNXBMFYSA-N 0 0 434.518 -0.030 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)cc1)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000672557093 1073175436 /nfs/dbraw/zinc/17/54/36/1073175436.db2.gz FEXXMVGVRHSCDK-OAHLLOKOSA-N 0 0 434.518 -0.030 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccncc1 ZINC000672563711 1073175809 /nfs/dbraw/zinc/17/58/09/1073175809.db2.gz IJWKGEFWEACAAY-UHFFFAOYSA-N 0 0 431.493 -0.995 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@@H]1CCN(C2CCOCC2)C1=O)C(F)(F)F ZINC000672565332 1073176226 /nfs/dbraw/zinc/17/62/26/1073176226.db2.gz BMCFXXYOXCRARJ-NEPJUHHUSA-N 0 0 430.449 -0.112 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N[C@H]1CCN(C2CCOCC2)C1=O)C(F)(F)F ZINC000672565333 1073176170 /nfs/dbraw/zinc/17/61/70/1073176170.db2.gz BMCFXXYOXCRARJ-NWDGAFQWSA-N 0 0 430.449 -0.112 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N[C@H]1CCN(C2CCOCC2)C1=O)C(F)(F)F ZINC000672565334 1073176243 /nfs/dbraw/zinc/17/62/43/1073176243.db2.gz BMCFXXYOXCRARJ-RYUDHWBXSA-N 0 0 430.449 -0.112 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N[C@@H]1CCN(C2CCOCC2)C1=O)C(F)(F)F ZINC000672565335 1073176274 /nfs/dbraw/zinc/17/62/74/1073176274.db2.gz BMCFXXYOXCRARJ-VXGBXAGGSA-N 0 0 430.449 -0.112 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000672565489 1073175819 /nfs/dbraw/zinc/17/58/19/1073175819.db2.gz YWDMVNCSRJLFAW-GFCCVEGCSA-N 0 0 432.465 -0.007 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000672565490 1073175800 /nfs/dbraw/zinc/17/58/00/1073175800.db2.gz YWDMVNCSRJLFAW-LBPRGKRZSA-N 0 0 432.465 -0.007 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCc2cccc(CS(N)(=O)=O)c2)C1 ZINC000672593047 1073176283 /nfs/dbraw/zinc/17/62/83/1073176283.db2.gz CSMZKXOETGEFFT-OAHLLOKOSA-N 0 0 433.556 -0.455 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCc2cc(S(N)(=O)=O)ccc2F)C1 ZINC000672593206 1073176238 /nfs/dbraw/zinc/17/62/38/1073176238.db2.gz VVZMFCRVTITVBM-GFCCVEGCSA-N 0 0 437.519 -0.457 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C(=O)N1c1cnn(C)c1 ZINC000672593376 1073176191 /nfs/dbraw/zinc/17/61/91/1073176191.db2.gz BNZRYTZNQRPQED-GUTXKFCHSA-N 0 0 427.531 -0.516 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C(=O)N1c1cnn(C)c1 ZINC000672593380 1073176253 /nfs/dbraw/zinc/17/62/53/1073176253.db2.gz BNZRYTZNQRPQED-GZBFAFLISA-N 0 0 427.531 -0.516 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C(=O)N1c1cnn(C)c1 ZINC000672593381 1073176174 /nfs/dbraw/zinc/17/61/74/1073176174.db2.gz BNZRYTZNQRPQED-NFAWXSAZSA-N 0 0 427.531 -0.516 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C(=O)N1c1cnn(C)c1 ZINC000672593382 1073176198 /nfs/dbraw/zinc/17/61/98/1073176198.db2.gz BNZRYTZNQRPQED-UMVBOHGHSA-N 0 0 427.531 -0.516 20 0 IBADRN CC(C)NS(=O)(=O)[C@H]1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000672593953 1073176246 /nfs/dbraw/zinc/17/62/46/1073176246.db2.gz RXBCMGUZZBBNAT-KGLIPLIRSA-N 0 0 425.577 -0.631 20 0 IBADRN CC(C)NS(=O)(=O)[C@@H]1CCN(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)C1 ZINC000672593954 1073176233 /nfs/dbraw/zinc/17/62/33/1073176233.db2.gz RXBCMGUZZBBNAT-ZIAGYGMSSA-N 0 0 425.577 -0.631 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N2CCN(C(=O)c3cccn3C)CC2)C1 ZINC000672593961 1073176278 /nfs/dbraw/zinc/17/62/78/1073176278.db2.gz TUNMGACYTLOMFA-OAHLLOKOSA-N 0 0 426.543 -0.237 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NC[C@H]3C[N@H+](C)CCN3C)CC2)n1 ZINC000672596395 1073176205 /nfs/dbraw/zinc/17/62/05/1073176205.db2.gz JKXGGNROROCMPG-CVEARBPZSA-N 0 0 437.545 -0.827 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000672598331 1073177277 /nfs/dbraw/zinc/17/72/77/1073177277.db2.gz URAIMJAUIKBDDN-AABGKKOBSA-N 0 0 449.596 -0.099 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000672598332 1073177285 /nfs/dbraw/zinc/17/72/85/1073177285.db2.gz URAIMJAUIKBDDN-AQNXPRMDSA-N 0 0 449.596 -0.099 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000672598333 1073177262 /nfs/dbraw/zinc/17/72/62/1073177262.db2.gz URAIMJAUIKBDDN-HSALFYBXSA-N 0 0 449.596 -0.099 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000672598334 1073177174 /nfs/dbraw/zinc/17/71/74/1073177174.db2.gz URAIMJAUIKBDDN-VAMGGRTRSA-N 0 0 449.596 -0.099 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)C1 ZINC000672598686 1073177227 /nfs/dbraw/zinc/17/72/27/1073177227.db2.gz WMLHJAIXUUVSQG-BBWFWOEESA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)C1 ZINC000672598687 1073177267 /nfs/dbraw/zinc/17/72/67/1073177267.db2.gz WMLHJAIXUUVSQG-GVDBMIGSSA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)C1 ZINC000672598688 1073177234 /nfs/dbraw/zinc/17/72/34/1073177234.db2.gz WMLHJAIXUUVSQG-ULQDDVLXSA-N 0 0 430.571 -0.466 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)C1 ZINC000672598689 1073177214 /nfs/dbraw/zinc/17/72/14/1073177214.db2.gz WMLHJAIXUUVSQG-YESZJQIVSA-N 0 0 430.571 -0.466 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](Cn2cccn2)c2ccccc2)CC1 ZINC000672609906 1073176734 /nfs/dbraw/zinc/17/67/34/1073176734.db2.gz MFUCIAWVWORREK-KRWDZBQOSA-N 0 0 437.464 -0.902 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](Cn2cccn2)c2ccccc2)CC1 ZINC000672609907 1073176743 /nfs/dbraw/zinc/17/67/43/1073176743.db2.gz MFUCIAWVWORREK-QGZVFWFLSA-N 0 0 437.464 -0.902 20 0 IBADRN O=C(N[C@H](Cn1cccn1)c1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000672611891 1073176759 /nfs/dbraw/zinc/17/67/59/1073176759.db2.gz OCEMZYKQXQPKNY-GOSISDBHSA-N 0 0 438.488 -0.310 20 0 IBADRN O=C(N[C@@H](Cn1cccn1)c1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000672611892 1073176667 /nfs/dbraw/zinc/17/66/67/1073176667.db2.gz OCEMZYKQXQPKNY-SFHVURJKSA-N 0 0 438.488 -0.310 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H](Cn1cccn1)c1ccccc1 ZINC000672612868 1073176640 /nfs/dbraw/zinc/17/66/40/1073176640.db2.gz ZSPIZFAMBZNJGC-KRWDZBQOSA-N 0 0 446.489 -0.036 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@H](Cn1cccn1)c1ccccc1 ZINC000672612869 1073176531 /nfs/dbraw/zinc/17/65/31/1073176531.db2.gz ZSPIZFAMBZNJGC-QGZVFWFLSA-N 0 0 446.489 -0.036 20 0 IBADRN Cc1cc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000672616115 1073177617 /nfs/dbraw/zinc/17/76/17/1073177617.db2.gz WLQOQKBZHUZNGV-KGLIPLIRSA-N 0 0 448.571 -0.057 20 0 IBADRN Cc1cc(NC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000672616116 1073177837 /nfs/dbraw/zinc/17/78/37/1073177837.db2.gz WLQOQKBZHUZNGV-ZIAGYGMSSA-N 0 0 448.571 -0.057 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000672625271 1073177233 /nfs/dbraw/zinc/17/72/33/1073177233.db2.gz GJKHNCIAIHPZKW-JHJVBQTASA-N 0 0 436.431 -0.345 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000672625272 1073177206 /nfs/dbraw/zinc/17/72/06/1073177206.db2.gz GJKHNCIAIHPZKW-UPJWGTAASA-N 0 0 436.431 -0.345 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000672625273 1073177800 /nfs/dbraw/zinc/17/78/00/1073177800.db2.gz GJKHNCIAIHPZKW-XQQFMLRXSA-N 0 0 436.431 -0.345 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000672625274 1073177791 /nfs/dbraw/zinc/17/77/91/1073177791.db2.gz GJKHNCIAIHPZKW-YNEHKIRRSA-N 0 0 436.431 -0.345 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)ncn1 ZINC000672629942 1073177806 /nfs/dbraw/zinc/17/78/06/1073177806.db2.gz CPECLIBQZKZSNM-UHFFFAOYSA-N 0 0 427.490 -0.185 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)ncn1 ZINC000672630049 1073177649 /nfs/dbraw/zinc/17/76/49/1073177649.db2.gz HTKXQEJSBCMZMN-UHFFFAOYSA-N 0 0 426.481 -0.623 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2cc(C)ncn2)CC1 ZINC000672630334 1073177705 /nfs/dbraw/zinc/17/77/05/1073177705.db2.gz UFXHIBLYTHLMAZ-UHFFFAOYSA-N 0 0 426.543 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cc(C)ncn3)CC2)cc1 ZINC000672631708 1073177779 /nfs/dbraw/zinc/17/77/79/1073177779.db2.gz XMUJKRZVXHMOKU-UHFFFAOYSA-N 0 0 433.490 -0.057 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)ncn1 ZINC000672633783 1073177816 /nfs/dbraw/zinc/17/78/16/1073177816.db2.gz SKNICRVXWXACBL-INIZCTEOSA-N 0 0 440.508 -0.187 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)ncn1 ZINC000672633784 1073177711 /nfs/dbraw/zinc/17/77/11/1073177711.db2.gz SKNICRVXWXACBL-MRXNPFEDSA-N 0 0 440.508 -0.187 20 0 IBADRN Cc1cc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)ncn1 ZINC000672634255 1073177687 /nfs/dbraw/zinc/17/76/87/1073177687.db2.gz ZUAUHENWFMRZAK-UHFFFAOYSA-N 0 0 446.533 -0.346 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)ncn1 ZINC000672635744 1073177725 /nfs/dbraw/zinc/17/77/25/1073177725.db2.gz CHBNNASSJNKTCE-UHFFFAOYSA-N 0 0 443.489 -0.189 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCC2(OC)CCOCC2)c1 ZINC000672636737 1073178370 /nfs/dbraw/zinc/17/83/70/1073178370.db2.gz DKFYMPAQLKNXLU-UHFFFAOYSA-N 0 0 443.522 -0.461 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CC[C@]3(CCOC3)C2)c1 ZINC000672636752 1073178323 /nfs/dbraw/zinc/17/83/23/1073178323.db2.gz IVAYQYITBICWRR-IBGZPJMESA-N 0 0 425.507 -0.134 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CC[C@@]3(CCOC3)C2)c1 ZINC000672636753 1073178327 /nfs/dbraw/zinc/17/83/27/1073178327.db2.gz IVAYQYITBICWRR-LJQANCHMSA-N 0 0 425.507 -0.134 20 0 IBADRN CC[C@@]1(CO)CCCN1C(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000672636776 1073178373 /nfs/dbraw/zinc/17/83/73/1073178373.db2.gz OWJXOVFNZFONGP-IBGZPJMESA-N 0 0 427.523 -0.009 20 0 IBADRN CC[C@]1(CO)CCCN1C(=O)C(=O)NCc1cccc(S(=O)(=O)NCCOC)c1 ZINC000672636777 1073178350 /nfs/dbraw/zinc/17/83/50/1073178350.db2.gz OWJXOVFNZFONGP-LJQANCHMSA-N 0 0 427.523 -0.009 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC(CO)(OC)CC2)c1 ZINC000672636784 1073178261 /nfs/dbraw/zinc/17/82/61/1073178261.db2.gz UMLUZEBBNNGDIC-UHFFFAOYSA-N 0 0 443.522 -0.773 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCn3cc(C)nc3C2)c1 ZINC000672636986 1073178242 /nfs/dbraw/zinc/17/82/42/1073178242.db2.gz CEDLJSXSILZZAV-UHFFFAOYSA-N 0 0 435.506 -0.225 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)c1 ZINC000672637009 1073178314 /nfs/dbraw/zinc/17/83/14/1073178314.db2.gz MRFXPOIEFHWHQT-PBHICJAKSA-N 0 0 442.538 -0.937 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)c1 ZINC000672637010 1073178227 /nfs/dbraw/zinc/17/82/27/1073178227.db2.gz MRFXPOIEFHWHQT-RHSMWYFYSA-N 0 0 442.538 -0.937 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)c1 ZINC000672637011 1073178358 /nfs/dbraw/zinc/17/83/58/1073178358.db2.gz MRFXPOIEFHWHQT-WMLDXEAASA-N 0 0 442.538 -0.937 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)c1 ZINC000672637012 1073178220 /nfs/dbraw/zinc/17/82/20/1073178220.db2.gz MRFXPOIEFHWHQT-YOEHRIQHSA-N 0 0 442.538 -0.937 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000672643894 1073182058 /nfs/dbraw/zinc/18/20/58/1073182058.db2.gz LLALRJHHPXKTSG-INIZCTEOSA-N 0 0 433.484 -0.330 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3F)C2=O)CC1 ZINC000672643895 1073182033 /nfs/dbraw/zinc/18/20/33/1073182033.db2.gz LLALRJHHPXKTSG-MRXNPFEDSA-N 0 0 433.484 -0.330 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)NCCOCCS(N)(=O)=O)cc2)CC1 ZINC000672651081 1073182776 /nfs/dbraw/zinc/18/27/76/1073182776.db2.gz CDMBMEBMSJOSMJ-UHFFFAOYSA-N 0 0 427.527 -0.109 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1=O ZINC000672657607 1073182695 /nfs/dbraw/zinc/18/26/95/1073182695.db2.gz FXAVQTYMWNVXHT-GOSISDBHSA-N 0 0 447.511 -0.175 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1=O ZINC000672657608 1073182680 /nfs/dbraw/zinc/18/26/80/1073182680.db2.gz FXAVQTYMWNVXHT-SFHVURJKSA-N 0 0 447.511 -0.175 20 0 IBADRN CN(C)C(=O)C1(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)CCCC1 ZINC000672659680 1073182182 /nfs/dbraw/zinc/18/21/82/1073182182.db2.gz JCWJIAIMGYZUFU-UHFFFAOYSA-N 0 0 435.569 -0.092 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCc2c[nH]nc2C1 ZINC000672662228 1073182652 /nfs/dbraw/zinc/18/26/52/1073182652.db2.gz GCJDEXNLQLVIKM-INIZCTEOSA-N 0 0 440.508 -0.294 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCc2c[nH]nc2C1 ZINC000672662231 1073182717 /nfs/dbraw/zinc/18/27/17/1073182717.db2.gz GCJDEXNLQLVIKM-MRXNPFEDSA-N 0 0 440.508 -0.294 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000672662388 1073182144 /nfs/dbraw/zinc/18/21/44/1073182144.db2.gz KUIOUYQFDLJHLR-DLBZAZTESA-N 0 0 432.525 -0.373 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000672662389 1073182004 /nfs/dbraw/zinc/18/20/04/1073182004.db2.gz KUIOUYQFDLJHLR-IAGOWNOFSA-N 0 0 432.525 -0.373 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000672662390 1073182092 /nfs/dbraw/zinc/18/20/92/1073182092.db2.gz KUIOUYQFDLJHLR-IRXDYDNUSA-N 0 0 432.525 -0.373 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000672662420 1073182014 /nfs/dbraw/zinc/18/20/14/1073182014.db2.gz YTMCVBUNAFFMQS-CVEARBPZSA-N 0 0 445.524 -0.865 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000672662421 1073181994 /nfs/dbraw/zinc/18/19/94/1073181994.db2.gz YTMCVBUNAFFMQS-HOTGVXAUSA-N 0 0 445.524 -0.865 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000672662422 1073182172 /nfs/dbraw/zinc/18/21/72/1073182172.db2.gz YTMCVBUNAFFMQS-HZPDHXFCSA-N 0 0 445.524 -0.865 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000672662423 1073182022 /nfs/dbraw/zinc/18/20/22/1073182022.db2.gz YTMCVBUNAFFMQS-JKSUJKDBSA-N 0 0 445.524 -0.865 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000672662438 1073182106 /nfs/dbraw/zinc/18/21/06/1073182106.db2.gz KUIOUYQFDLJHLR-SJORKVTESA-N 0 0 432.525 -0.373 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)NC(=O)NC1=O ZINC000672667086 1073183124 /nfs/dbraw/zinc/18/31/24/1073183124.db2.gz OHRZPANUMQGXRZ-BFUOFWGJSA-N 0 0 433.469 -0.274 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)NC(=O)NC1=O ZINC000672667090 1073183115 /nfs/dbraw/zinc/18/31/15/1073183115.db2.gz OHRZPANUMQGXRZ-DJJJIMSYSA-N 0 0 433.469 -0.274 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)NC(=O)NC1=O ZINC000672667092 1073183207 /nfs/dbraw/zinc/18/32/07/1073183207.db2.gz OHRZPANUMQGXRZ-ORAYPTAESA-N 0 0 433.469 -0.274 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)NC(=O)NC1=O ZINC000672667093 1073183165 /nfs/dbraw/zinc/18/31/65/1073183165.db2.gz OHRZPANUMQGXRZ-YJYMSZOUSA-N 0 0 433.469 -0.274 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000672667130 1073183185 /nfs/dbraw/zinc/18/31/85/1073183185.db2.gz QYIWEFYFTVVPFY-UHFFFAOYSA-N 0 0 428.515 -0.465 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1)S(C)(=O)=O ZINC000672667168 1073183167 /nfs/dbraw/zinc/18/31/67/1073183167.db2.gz SPTGEJKXKGEUDU-HNNXBMFYSA-N 0 0 442.542 -0.123 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1)S(C)(=O)=O ZINC000672667169 1073183194 /nfs/dbraw/zinc/18/31/94/1073183194.db2.gz SPTGEJKXKGEUDU-OAHLLOKOSA-N 0 0 442.542 -0.123 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000672688103 1073183717 /nfs/dbraw/zinc/18/37/17/1073183717.db2.gz YMJLMLZVGSXFRR-UHFFFAOYSA-N 0 0 428.515 -0.465 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)NC(=O)NC1=O ZINC000672688107 1073183690 /nfs/dbraw/zinc/18/36/90/1073183690.db2.gz YWOJKOXCFWBDSY-AUUYWEPGSA-N 0 0 433.469 -0.274 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)NC(=O)NC1=O ZINC000672688108 1073183681 /nfs/dbraw/zinc/18/36/81/1073183681.db2.gz YWOJKOXCFWBDSY-IFXJQAMLSA-N 0 0 433.469 -0.274 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)NC(=O)NC1=O ZINC000672688109 1073183712 /nfs/dbraw/zinc/18/37/12/1073183712.db2.gz YWOJKOXCFWBDSY-KUHUBIRLSA-N 0 0 433.469 -0.274 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)NC(=O)NC1=O ZINC000672688110 1073183632 /nfs/dbraw/zinc/18/36/32/1073183632.db2.gz YWOJKOXCFWBDSY-LIRRHRJNSA-N 0 0 433.469 -0.274 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1)S(C)(=O)=O ZINC000672688787 1073183650 /nfs/dbraw/zinc/18/36/50/1073183650.db2.gz VLQZDEXNWPVSLW-HNNXBMFYSA-N 0 0 442.542 -0.123 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1)S(C)(=O)=O ZINC000672688788 1073184385 /nfs/dbraw/zinc/18/43/85/1073184385.db2.gz VLQZDEXNWPVSLW-OAHLLOKOSA-N 0 0 442.542 -0.123 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)NCCOCCS(N)(=O)=O)cc1Cl ZINC000672706168 1073184103 /nfs/dbraw/zinc/18/41/03/1073184103.db2.gz PGPAFNNFFPOYAE-UHFFFAOYSA-N 0 0 449.917 -0.415 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCC2(NS(C)(=O)=O)CCCCC2)C1 ZINC000672707533 1073184345 /nfs/dbraw/zinc/18/43/45/1073184345.db2.gz VZAGTMWLIWDTBT-CQSZACIVSA-N 0 0 439.604 -0.192 20 0 IBADRN Cc1noc(C)c1[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000672719207 1073184186 /nfs/dbraw/zinc/18/41/86/1073184186.db2.gz GZDZECHCUKEKSF-CYBMUJFWSA-N 0 0 442.542 -0.895 20 0 IBADRN Cc1noc(C)c1[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000672719215 1073184364 /nfs/dbraw/zinc/18/43/64/1073184364.db2.gz GZDZECHCUKEKSF-ZDUSSCGKSA-N 0 0 442.542 -0.895 20 0 IBADRN CC(C)OCCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000672728807 1073184723 /nfs/dbraw/zinc/18/47/23/1073184723.db2.gz FFSBYYNALJKCCW-UHFFFAOYSA-N 0 0 426.539 -0.220 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)N2CCc3c[nH]nc3C2)CC1 ZINC000672728828 1073184814 /nfs/dbraw/zinc/18/48/14/1073184814.db2.gz KJFLMSNPERUSLN-UHFFFAOYSA-N 0 0 446.533 -0.453 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000672728852 1073184834 /nfs/dbraw/zinc/18/48/34/1073184834.db2.gz NQKQSAXGQOKAJS-KRWDZBQOSA-N 0 0 438.550 -0.532 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000672728853 1073184715 /nfs/dbraw/zinc/18/47/15/1073184715.db2.gz NQKQSAXGQOKAJS-QGZVFWFLSA-N 0 0 438.550 -0.532 20 0 IBADRN C[C@H]1COCCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000672728855 1073184759 /nfs/dbraw/zinc/18/47/59/1073184759.db2.gz OLDUANPASOOFII-INIZCTEOSA-N 0 0 438.550 -0.124 20 0 IBADRN C[C@@H]1COCCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000672728856 1073184833 /nfs/dbraw/zinc/18/48/33/1073184833.db2.gz OLDUANPASOOFII-MRXNPFEDSA-N 0 0 438.550 -0.124 20 0 IBADRN COC[C@@H]1CCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000672728863 1073184666 /nfs/dbraw/zinc/18/46/66/1073184666.db2.gz OYNRTXXUFULISU-KRWDZBQOSA-N 0 0 438.550 -0.124 20 0 IBADRN COC[C@H]1CCCN1C(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000672728864 1073184762 /nfs/dbraw/zinc/18/47/62/1073184762.db2.gz OYNRTXXUFULISU-QGZVFWFLSA-N 0 0 438.550 -0.124 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3cc(C(C)C)no3)CC2)cn1C ZINC000672738039 1073185406 /nfs/dbraw/zinc/18/54/06/1073185406.db2.gz VXECSAUBXXCXDA-UHFFFAOYSA-N 0 0 438.510 -0.011 20 0 IBADRN CC(C)c1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)on1 ZINC000672738708 1073185310 /nfs/dbraw/zinc/18/53/10/1073185310.db2.gz UFWMGVWEGSWDGW-UHFFFAOYSA-N 0 0 442.542 -0.949 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)C1 ZINC000672740862 1073185327 /nfs/dbraw/zinc/18/53/27/1073185327.db2.gz XCIICEMAVHKIEB-CHWSQXEVSA-N 0 0 434.544 -0.365 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)C1 ZINC000672740863 1073185266 /nfs/dbraw/zinc/18/52/66/1073185266.db2.gz XCIICEMAVHKIEB-OLZOCXBDSA-N 0 0 434.544 -0.365 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)NCCOCCS(N)(=O)=O)CC1 ZINC000672742465 1073185332 /nfs/dbraw/zinc/18/53/32/1073185332.db2.gz OFKYBLGLJIWOIU-UHFFFAOYSA-N 0 0 427.527 -0.223 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN([C@@H](CO)c3ccc(Cl)cc3)CC2)on1 ZINC000672756538 1073185396 /nfs/dbraw/zinc/18/53/96/1073185396.db2.gz AWKSFFAFWDLQGA-INIZCTEOSA-N 0 0 435.868 -0.079 20 0 IBADRN NC(=O)c1cc(CNC(=O)C(=O)N2CCN([C@H](CO)c3ccc(Cl)cc3)CC2)on1 ZINC000672756539 1073185278 /nfs/dbraw/zinc/18/52/78/1073185278.db2.gz AWKSFFAFWDLQGA-MRXNPFEDSA-N 0 0 435.868 -0.079 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCC(CNS(C)(=O)=O)CC2)c1 ZINC000672758509 1073185347 /nfs/dbraw/zinc/18/53/47/1073185347.db2.gz DTPIUQKDMHMGPO-UHFFFAOYSA-N 0 0 425.511 -0.045 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)c2C)no1 ZINC000672759931 1073185360 /nfs/dbraw/zinc/18/53/60/1073185360.db2.gz GVFDCXLGLCGETB-GFCCVEGCSA-N 0 0 427.491 -0.281 20 0 IBADRN Cc1cccn2cc(CCNC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)nc12 ZINC000672763341 1073185841 /nfs/dbraw/zinc/18/58/41/1073185841.db2.gz ITEYTAOAQODHAD-UHFFFAOYSA-N 0 0 442.520 -0.696 20 0 IBADRN Cc1cccn2cc(CCNC(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)nc12 ZINC000672763432 1073185822 /nfs/dbraw/zinc/18/58/22/1073185822.db2.gz FQTCYMKSNPPHHY-UHFFFAOYSA-N 0 0 439.563 -0.204 20 0 IBADRN Cc1cccn2cc(CCNC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)nc12 ZINC000672763766 1073185664 /nfs/dbraw/zinc/18/56/64/1073185664.db2.gz KWCCLQQWYMUJDD-UHFFFAOYSA-N 0 0 426.477 -0.749 20 0 IBADRN Cc1cccn2cc(CCNC(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)nc12 ZINC000672764036 1073185694 /nfs/dbraw/zinc/18/56/94/1073185694.db2.gz AFILIZIGIISZIJ-UHFFFAOYSA-N 0 0 434.478 -0.475 20 0 IBADRN Cc1cccn2cc(CCNC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)nc12 ZINC000672765558 1073185726 /nfs/dbraw/zinc/18/57/26/1073185726.db2.gz OAKOGTSVDHUIJN-UHFFFAOYSA-N 0 0 442.520 -0.696 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)NCCS(N)(=O)=O)c1 ZINC000672768702 1073185794 /nfs/dbraw/zinc/18/57/94/1073185794.db2.gz KCWRHVPIGUJPNS-UHFFFAOYSA-N 0 0 443.345 -0.376 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000672770304 1073185831 /nfs/dbraw/zinc/18/58/31/1073185831.db2.gz MNAVDAHLTATNEZ-UHFFFAOYSA-N 0 0 437.519 -0.568 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCC1(O)CCS(=O)(=O)CC1)C(F)(F)F ZINC000672774987 1073186243 /nfs/dbraw/zinc/18/62/43/1073186243.db2.gz FIWKNNWVKUOXFW-SECBINFHSA-N 0 0 425.451 -0.952 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCC1(O)CCS(=O)(=O)CC1)C(F)(F)F ZINC000672774988 1073186297 /nfs/dbraw/zinc/18/62/97/1073186297.db2.gz FIWKNNWVKUOXFW-VIFPVBQESA-N 0 0 425.451 -0.952 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CCN2C1=O ZINC000672775503 1073186302 /nfs/dbraw/zinc/18/63/02/1073186302.db2.gz FZDSCOJJFTXDEX-NXEZZACHSA-N 0 0 429.421 -0.513 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CCN2C1=O ZINC000672775504 1073186286 /nfs/dbraw/zinc/18/62/86/1073186286.db2.gz FZDSCOJJFTXDEX-UWVGGRQHSA-N 0 0 429.421 -0.513 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CCN2C1=O ZINC000672775505 1073186256 /nfs/dbraw/zinc/18/62/56/1073186256.db2.gz FZDSCOJJFTXDEX-VHSXEESVSA-N 0 0 429.421 -0.513 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CCN2C1=O ZINC000672775506 1073186252 /nfs/dbraw/zinc/18/62/52/1073186252.db2.gz FZDSCOJJFTXDEX-ZJUUUORDSA-N 0 0 429.421 -0.513 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000672779791 1073186263 /nfs/dbraw/zinc/18/62/63/1073186263.db2.gz BGWBZJCVRIRJLL-PXAZEXFGSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000672779792 1073186310 /nfs/dbraw/zinc/18/63/10/1073186310.db2.gz BGWBZJCVRIRJLL-SJCJKPOMSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000672779793 1073186249 /nfs/dbraw/zinc/18/62/49/1073186249.db2.gz BGWBZJCVRIRJLL-SJKOYZFVSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000672779794 1073186217 /nfs/dbraw/zinc/18/62/17/1073186217.db2.gz BGWBZJCVRIRJLL-YVEFUNNKSA-N 0 0 444.535 -0.064 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(Cc3cc(=O)n4ccsc4n3)CC2)c(=O)[nH]c1=O ZINC000672780585 1073186231 /nfs/dbraw/zinc/18/62/31/1073186231.db2.gz GYTNDHBXEKWFQA-UHFFFAOYSA-N 0 0 445.505 -0.379 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)CCN2C1=O ZINC000672783190 1073185813 /nfs/dbraw/zinc/18/58/13/1073185813.db2.gz KRESDQBWPNQSEK-CYBMUJFWSA-N 0 0 442.519 -0.216 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)CCN2C1=O ZINC000672783191 1073185815 /nfs/dbraw/zinc/18/58/15/1073185815.db2.gz KRESDQBWPNQSEK-ZDUSSCGKSA-N 0 0 442.519 -0.216 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)CCN2C1=O ZINC000672783234 1073185848 /nfs/dbraw/zinc/18/58/48/1073185848.db2.gz XENRKBFPNUEMPF-GFCCVEGCSA-N 0 0 430.508 -0.406 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)CCN2C1=O ZINC000672783235 1073185744 /nfs/dbraw/zinc/18/57/44/1073185744.db2.gz XENRKBFPNUEMPF-LBPRGKRZSA-N 0 0 430.508 -0.406 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000672784740 1073185713 /nfs/dbraw/zinc/18/57/13/1073185713.db2.gz SZQPJSGIEWOGFK-UHFFFAOYSA-N 0 0 427.527 -0.239 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000672784957 1073185778 /nfs/dbraw/zinc/18/57/78/1073185778.db2.gz RVKSEUJTVQPRFX-UHFFFAOYSA-N 0 0 437.482 -0.281 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000672788406 1073186325 /nfs/dbraw/zinc/18/63/25/1073186325.db2.gz QSLMYVZSGHNYGT-UHFFFAOYSA-N 0 0 437.482 -0.397 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000672788621 1073185786 /nfs/dbraw/zinc/18/57/86/1073185786.db2.gz FCJSLERKCBWTOW-UHFFFAOYSA-N 0 0 448.567 -0.062 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000672789076 1073186317 /nfs/dbraw/zinc/18/63/17/1073186317.db2.gz SMVVDACMIGDDDB-UHFFFAOYSA-N 0 0 434.540 -0.322 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCCn2cc(Br)cn2)CC1 ZINC000672803276 1073187156 /nfs/dbraw/zinc/18/71/56/1073187156.db2.gz MHYPLHHBZLBBHN-UHFFFAOYSA-N 0 0 437.364 -0.088 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccncc2OC[C@H]2CCOC2)CC1 ZINC000672803414 1073186825 /nfs/dbraw/zinc/18/68/25/1073186825.db2.gz ZKLWTUHDKDAVAN-INIZCTEOSA-N 0 0 441.554 -0.127 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccncc2OC[C@@H]2CCOC2)CC1 ZINC000672803415 1073186843 /nfs/dbraw/zinc/18/68/43/1073186843.db2.gz ZKLWTUHDKDAVAN-MRXNPFEDSA-N 0 0 441.554 -0.127 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCc3csc(N(C)C)n3)CC2)no1 ZINC000672805309 1073187107 /nfs/dbraw/zinc/18/71/07/1073187107.db2.gz DUSKYKSMZQFEJS-UHFFFAOYSA-N 0 0 435.510 -0.095 20 0 IBADRN CN(C)c1nc(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cs1 ZINC000672806101 1073186799 /nfs/dbraw/zinc/18/67/99/1073186799.db2.gz GYVPUEFGLIKJEF-UHFFFAOYSA-N 0 0 442.523 -0.513 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCc2csc(N(C)C)n2)CC1 ZINC000672806734 1073186764 /nfs/dbraw/zinc/18/67/64/1073186764.db2.gz MBNGKRJVXBGTSL-AWEZNQCLSA-N 0 0 440.570 -0.489 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCc2csc(N(C)C)n2)CC1 ZINC000672806735 1073186781 /nfs/dbraw/zinc/18/67/81/1073186781.db2.gz MBNGKRJVXBGTSL-CQSZACIVSA-N 0 0 440.570 -0.489 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCc2csc(N(C)C)n2)CC1 ZINC000672807239 1073186855 /nfs/dbraw/zinc/18/68/55/1073186855.db2.gz FRCPFCGMBGSGHU-UHFFFAOYSA-N 0 0 447.583 -0.276 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2csc(N(C)C)n2)C1 ZINC000672807767 1073186788 /nfs/dbraw/zinc/18/67/88/1073186788.db2.gz YSTUMPUNHUAPEN-AWEZNQCLSA-N 0 0 447.583 -0.276 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2csc(N(C)C)n2)C1 ZINC000672807768 1073186839 /nfs/dbraw/zinc/18/68/39/1073186839.db2.gz YSTUMPUNHUAPEN-CQSZACIVSA-N 0 0 447.583 -0.276 20 0 IBADRN CN(C)c1nc(CNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cs1 ZINC000672808215 1073186721 /nfs/dbraw/zinc/18/67/21/1073186721.db2.gz WBHBTJILJAYDGA-AWEZNQCLSA-N 0 0 445.567 -0.522 20 0 IBADRN CN(C)c1nc(CNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cs1 ZINC000672808216 1073186673 /nfs/dbraw/zinc/18/66/73/1073186673.db2.gz WBHBTJILJAYDGA-CQSZACIVSA-N 0 0 445.567 -0.522 20 0 IBADRN CN(C)c1nc(CNC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cs1 ZINC000672808442 1073186626 /nfs/dbraw/zinc/18/66/26/1073186626.db2.gz OQBYKVGXGYLCNY-UHFFFAOYSA-N 0 0 429.510 -0.149 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000672820323 1073187121 /nfs/dbraw/zinc/18/71/21/1073187121.db2.gz RIIKMUXTSFAOHI-ZBFHGGJFSA-N 0 0 449.526 -0.557 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000672835987 1073187645 /nfs/dbraw/zinc/18/76/45/1073187645.db2.gz UNQPOAHWPMXKPK-UHFFFAOYSA-N 0 0 438.466 -0.106 20 0 IBADRN COc1ccc2c(c1)OCC[C@H]2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000672858693 1073187749 /nfs/dbraw/zinc/18/77/49/1073187749.db2.gz DBLBOKVTNFYVBC-GOSISDBHSA-N 0 0 446.504 -0.362 20 0 IBADRN COc1ccc2c(c1)OCC[C@@H]2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000672858694 1073187796 /nfs/dbraw/zinc/18/77/96/1073187796.db2.gz DBLBOKVTNFYVBC-SFHVURJKSA-N 0 0 446.504 -0.362 20 0 IBADRN COc1ccc2c(c1)OCC[C@@H]2NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000672860754 1073187705 /nfs/dbraw/zinc/18/77/05/1073187705.db2.gz MIYBXJMFWAJYIY-HNNXBMFYSA-N 0 0 438.462 -0.141 20 0 IBADRN COc1ccc2c(c1)OCC[C@H]2NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000672860761 1073187716 /nfs/dbraw/zinc/18/77/16/1073187716.db2.gz MIYBXJMFWAJYIY-OAHLLOKOSA-N 0 0 438.462 -0.141 20 0 IBADRN COc1ccc2c(c1)OCC[C@@H]2NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000672862666 1073187840 /nfs/dbraw/zinc/18/78/40/1073187840.db2.gz VCROLDRVSAEBLP-INIZCTEOSA-N 0 0 430.461 -0.416 20 0 IBADRN COc1ccc2c(c1)OCC[C@H]2NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000672862667 1073187634 /nfs/dbraw/zinc/18/76/34/1073187634.db2.gz VCROLDRVSAEBLP-MRXNPFEDSA-N 0 0 430.461 -0.416 20 0 IBADRN COc1ccc2c(c1)OCC[C@H]2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000672863298 1073188324 /nfs/dbraw/zinc/18/83/24/1073188324.db2.gz SOHSYVDVLJTTMV-GOSISDBHSA-N 0 0 446.504 -0.362 20 0 IBADRN COc1ccc2c(c1)OCC[C@@H]2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000672863299 1073188168 /nfs/dbraw/zinc/18/81/68/1073188168.db2.gz SOHSYVDVLJTTMV-SFHVURJKSA-N 0 0 446.504 -0.362 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCN([C@H]2CCOC2)CC1 ZINC000672867998 1073188358 /nfs/dbraw/zinc/18/83/58/1073188358.db2.gz MXNJULYWKLMJIU-JZXOWHBKSA-N 0 0 427.498 -0.117 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCN([C@@H]2CCOC2)CC1 ZINC000672867999 1073188416 /nfs/dbraw/zinc/18/84/16/1073188416.db2.gz MXNJULYWKLMJIU-NUJGCVRESA-N 0 0 427.498 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCO)C(C)(C)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000672878767 1073188127 /nfs/dbraw/zinc/18/81/27/1073188127.db2.gz UPABCKYGHTWIKP-IBGZPJMESA-N 0 0 440.522 -0.173 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(CCO)C(C)(C)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000672878768 1073188236 /nfs/dbraw/zinc/18/82/36/1073188236.db2.gz UPABCKYGHTWIKP-LJQANCHMSA-N 0 0 440.522 -0.173 20 0 IBADRN CC1(C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1CCO ZINC000672880732 1073188310 /nfs/dbraw/zinc/18/83/10/1073188310.db2.gz PXKMZVIGZDCQKQ-UHFFFAOYSA-N 0 0 447.579 -0.215 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)ccc1F ZINC000672885766 1073188188 /nfs/dbraw/zinc/18/81/88/1073188188.db2.gz HDRPTSQRQHNINQ-UHFFFAOYSA-N 0 0 438.503 -0.588 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000672887946 1073188936 /nfs/dbraw/zinc/18/89/36/1073188936.db2.gz XARSVYXQMDORPH-UHFFFAOYSA-N 0 0 444.485 -0.232 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)ccc1F ZINC000672891404 1073189375 /nfs/dbraw/zinc/18/93/75/1073189375.db2.gz ZYQNSRMYGPQPPI-UHFFFAOYSA-N 0 0 436.487 -0.788 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(C(=O)NCCOC)CC2)ccc1F ZINC000672891653 1073189326 /nfs/dbraw/zinc/18/93/26/1073189326.db2.gz SJVONDJUDMBUBA-UHFFFAOYSA-N 0 0 444.485 -0.327 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(Cl)cc3c2OCC(=O)N3)CC1 ZINC000672892163 1073189334 /nfs/dbraw/zinc/18/93/34/1073189334.db2.gz DCHQJZNYJTWNCW-UHFFFAOYSA-N 0 0 445.885 -0.440 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2nc(C3CCOCC3)cs2)CC1 ZINC000672892175 1073189345 /nfs/dbraw/zinc/18/93/45/1073189345.db2.gz JCRCGMARKVVNIM-UHFFFAOYSA-N 0 0 431.540 -0.074 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n(C)nc1C(F)(F)F ZINC000672892233 1073189343 /nfs/dbraw/zinc/18/93/43/1073189343.db2.gz VLZUSNILJXYFEO-UHFFFAOYSA-N 0 0 426.421 -0.364 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000672892239 1073189339 /nfs/dbraw/zinc/18/93/39/1073189339.db2.gz WMYDTCJDOODQCV-UHFFFAOYSA-N 0 0 425.511 -0.332 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1cc(S(C)(=O)=O)ccc1OCCOC ZINC000672892998 1073188900 /nfs/dbraw/zinc/18/89/00/1073188900.db2.gz MRRFZMJFMKRMQR-UHFFFAOYSA-N 0 0 429.495 -0.010 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000672893498 1073188326 /nfs/dbraw/zinc/18/83/26/1073188326.db2.gz KBZCLPCHXHQOKN-CYBMUJFWSA-N 0 0 447.535 -0.282 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000672893499 1073188409 /nfs/dbraw/zinc/18/84/09/1073188409.db2.gz KBZCLPCHXHQOKN-ZDUSSCGKSA-N 0 0 447.535 -0.282 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)C1 ZINC000672893535 1073188767 /nfs/dbraw/zinc/18/87/67/1073188767.db2.gz LWKPLPYPBVFTMC-GFCCVEGCSA-N 0 0 440.453 -0.042 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)C1 ZINC000672893536 1073188925 /nfs/dbraw/zinc/18/89/25/1073188925.db2.gz LWKPLPYPBVFTMC-LBPRGKRZSA-N 0 0 440.453 -0.042 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)ccc1F ZINC000672893573 1073188959 /nfs/dbraw/zinc/18/89/59/1073188959.db2.gz NSRAZYRNMNEDPH-LLVKDONJSA-N 0 0 436.487 -0.788 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)ccc1F ZINC000672893574 1073188820 /nfs/dbraw/zinc/18/88/20/1073188820.db2.gz NSRAZYRNMNEDPH-NSHDSACASA-N 0 0 436.487 -0.788 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000672894027 1073188882 /nfs/dbraw/zinc/18/88/82/1073188882.db2.gz IYCKPMXUYCBNLJ-HNNXBMFYSA-N 0 0 426.495 -0.459 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000672894028 1073188784 /nfs/dbraw/zinc/18/87/84/1073188784.db2.gz IYCKPMXUYCBNLJ-OAHLLOKOSA-N 0 0 426.495 -0.459 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)ccc1F ZINC000672897016 1073188945 /nfs/dbraw/zinc/18/89/45/1073188945.db2.gz AGLFNHDILLTBDP-AWEZNQCLSA-N 0 0 428.486 -0.005 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)ccc1F ZINC000672897017 1073189318 /nfs/dbraw/zinc/18/93/18/1073189318.db2.gz AGLFNHDILLTBDP-CQSZACIVSA-N 0 0 428.486 -0.005 20 0 IBADRN CN1CCOc2ccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc21 ZINC000672905708 1073189369 /nfs/dbraw/zinc/18/93/69/1073189369.db2.gz KVUYCUMNPIZMIV-INIZCTEOSA-N 0 0 436.534 -0.424 20 0 IBADRN CN1CCOc2ccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc21 ZINC000672905712 1073189386 /nfs/dbraw/zinc/18/93/86/1073189386.db2.gz KVUYCUMNPIZMIV-MRXNPFEDSA-N 0 0 436.534 -0.424 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)ccc1F ZINC000672906980 1073189313 /nfs/dbraw/zinc/18/93/13/1073189313.db2.gz QMVNRQIFGOZDLM-UHFFFAOYSA-N 0 0 436.487 -0.786 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)ccc1F ZINC000672911474 1073189367 /nfs/dbraw/zinc/18/93/67/1073189367.db2.gz VPMMOIHOAFGOJH-UHFFFAOYSA-N 0 0 438.503 -0.542 20 0 IBADRN CC(C)(CO)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000672911856 1073189285 /nfs/dbraw/zinc/18/92/85/1073189285.db2.gz RBVLOWFIPBKINL-WBVHZDCISA-N 0 0 429.514 -0.135 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2nc3c(s2)CSCC3)CC1 ZINC000672916499 1073189941 /nfs/dbraw/zinc/18/99/41/1073189941.db2.gz AXVAZQSREWWETO-UHFFFAOYSA-N 0 0 433.581 -0.436 20 0 IBADRN Cc1c(C(F)(F)F)nn(C)c1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000672916501 1073189937 /nfs/dbraw/zinc/18/99/37/1073189937.db2.gz CDPCLUWWYLHOGY-UHFFFAOYSA-N 0 0 440.448 -0.621 20 0 IBADRN Cn1ncc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1-c1ccccc1 ZINC000672916523 1073189921 /nfs/dbraw/zinc/18/99/21/1073189921.db2.gz KTYSZUKFMOWLNU-UHFFFAOYSA-N 0 0 434.522 -0.281 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000672916525 1073189955 /nfs/dbraw/zinc/18/99/55/1073189955.db2.gz MFAZHNLOANWUBZ-UHFFFAOYSA-N 0 0 439.538 -0.590 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCNC(=O)C[C@H]1C ZINC000672921891 1073189906 /nfs/dbraw/zinc/18/99/06/1073189906.db2.gz KNOWLLNYYCOCTL-GFCCVEGCSA-N 0 0 427.479 -0.209 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCNC(=O)C[C@@H]1C ZINC000672921894 1073189949 /nfs/dbraw/zinc/18/99/49/1073189949.db2.gz KNOWLLNYYCOCTL-LBPRGKRZSA-N 0 0 427.479 -0.209 20 0 IBADRN O=C(NCc1nnnn1C1CC1)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000672923221 1073189951 /nfs/dbraw/zinc/18/99/51/1073189951.db2.gz BQOQLPKFCJOQLD-CHWSQXEVSA-N 0 0 425.449 -0.340 20 0 IBADRN O=C(NCc1nnnn1C1CC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000672923222 1073189890 /nfs/dbraw/zinc/18/98/90/1073189890.db2.gz BQOQLPKFCJOQLD-OLZOCXBDSA-N 0 0 425.449 -0.340 20 0 IBADRN O=C(NCc1nnnn1C1CC1)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000672923223 1073189874 /nfs/dbraw/zinc/18/98/74/1073189874.db2.gz BQOQLPKFCJOQLD-QWHCGFSZSA-N 0 0 425.449 -0.340 20 0 IBADRN O=C(NCc1nnnn1C1CC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000672923224 1073189928 /nfs/dbraw/zinc/18/99/28/1073189928.db2.gz BQOQLPKFCJOQLD-STQMWFEESA-N 0 0 425.449 -0.340 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)ccc1F ZINC000672924474 1073189913 /nfs/dbraw/zinc/18/99/13/1073189913.db2.gz KDRMBDUFYUHXCM-UHFFFAOYSA-N 0 0 436.487 -0.834 20 0 IBADRN COCCNC(=O)c1cccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000672924493 1073189855 /nfs/dbraw/zinc/18/98/55/1073189855.db2.gz ULBFNORMVJGPAO-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)S(C)(=O)=O ZINC000672925712 1073189932 /nfs/dbraw/zinc/18/99/32/1073189932.db2.gz HMZCFHMSLFCYOT-KBPBESRZSA-N 0 0 437.518 -0.039 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21)S(C)(=O)=O ZINC000672925713 1073190493 /nfs/dbraw/zinc/19/04/93/1073190493.db2.gz HMZCFHMSLFCYOT-KGLIPLIRSA-N 0 0 437.518 -0.039 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)S(C)(=O)=O ZINC000672925714 1073190431 /nfs/dbraw/zinc/19/04/31/1073190431.db2.gz HMZCFHMSLFCYOT-UONOGXRCSA-N 0 0 437.518 -0.039 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21)S(C)(=O)=O ZINC000672925715 1073190419 /nfs/dbraw/zinc/19/04/19/1073190419.db2.gz HMZCFHMSLFCYOT-ZIAGYGMSSA-N 0 0 437.518 -0.039 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1)CC2 ZINC000672929526 1073190423 /nfs/dbraw/zinc/19/04/23/1073190423.db2.gz CGYCWJIOVVELOC-UHFFFAOYSA-N 0 0 437.453 -0.166 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(C(=O)NCCOC)c3)CCN2C1=O ZINC000672933144 1073190346 /nfs/dbraw/zinc/19/03/46/1073190346.db2.gz FPXVJVFPIMKCJJ-HNNXBMFYSA-N 0 0 431.449 -0.504 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(C(=O)NCCOC)c3)CCN2C1=O ZINC000672933145 1073190502 /nfs/dbraw/zinc/19/05/02/1073190502.db2.gz FPXVJVFPIMKCJJ-OAHLLOKOSA-N 0 0 431.449 -0.504 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(F)c(S(=O)(=O)NC)c3)CCN2C1=O ZINC000672933264 1073189945 /nfs/dbraw/zinc/18/99/45/1073189945.db2.gz YFELAWPGDLAHNI-GFCCVEGCSA-N 0 0 441.441 -0.833 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(F)c(S(=O)(=O)NC)c3)CCN2C1=O ZINC000672933265 1073189842 /nfs/dbraw/zinc/18/98/42/1073189842.db2.gz YFELAWPGDLAHNI-LBPRGKRZSA-N 0 0 441.441 -0.833 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)[C@@H]1CN(C)CCO1 ZINC000672934765 1073190352 /nfs/dbraw/zinc/19/03/52/1073190352.db2.gz CNHMLVTXRBXJPD-FPXQBCRKSA-N 0 0 430.505 -0.143 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)[C@@H]1CN(C)CCO1 ZINC000672934766 1073190510 /nfs/dbraw/zinc/19/05/10/1073190510.db2.gz CNHMLVTXRBXJPD-GGXPGOJBSA-N 0 0 430.505 -0.143 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)[C@H]1CN(C)CCO1 ZINC000672934767 1073190389 /nfs/dbraw/zinc/19/03/89/1073190389.db2.gz CNHMLVTXRBXJPD-IUVQAAGXSA-N 0 0 430.505 -0.143 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)[C@H]1CN(C)CCO1 ZINC000672934768 1073190481 /nfs/dbraw/zinc/19/04/81/1073190481.db2.gz CNHMLVTXRBXJPD-YIOZNXECSA-N 0 0 430.505 -0.143 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@H](C)[C@H]1CN(C)CCO1 ZINC000672934813 1073190450 /nfs/dbraw/zinc/19/04/50/1073190450.db2.gz JYAOMXNLGKAZLO-CXAGYDPISA-N 0 0 443.522 -0.111 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@H](C)[C@@H]1CN(C)CCO1 ZINC000672934814 1073190314 /nfs/dbraw/zinc/19/03/14/1073190314.db2.gz JYAOMXNLGKAZLO-DYVFJYSZSA-N 0 0 443.522 -0.111 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1 ZINC000672934815 1073190436 /nfs/dbraw/zinc/19/04/36/1073190436.db2.gz JYAOMXNLGKAZLO-GUYCJALGSA-N 0 0 443.522 -0.111 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1 ZINC000672934816 1073190466 /nfs/dbraw/zinc/19/04/66/1073190466.db2.gz JYAOMXNLGKAZLO-SUMWQHHRSA-N 0 0 443.522 -0.111 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)CC1 ZINC000672944261 1073190952 /nfs/dbraw/zinc/19/09/52/1073190952.db2.gz DAZGENHHUZTUCP-UHFFFAOYSA-N 0 0 434.472 -0.084 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000672944262 1073190989 /nfs/dbraw/zinc/19/09/89/1073190989.db2.gz DCOSLMSKTQQIDN-UHFFFAOYSA-N 0 0 440.522 -0.589 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3C(=O)CCC3=O)c2)CC1 ZINC000672944273 1073191025 /nfs/dbraw/zinc/19/10/25/1073191025.db2.gz IBZKCEFGFLQXJV-UHFFFAOYSA-N 0 0 433.440 -0.350 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)CC1 ZINC000672944275 1073190996 /nfs/dbraw/zinc/19/09/96/1073190996.db2.gz IJWZOZPHUZEQHF-UHFFFAOYSA-N 0 0 439.463 -0.028 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000672944291 1073190880 /nfs/dbraw/zinc/19/08/80/1073190880.db2.gz OXGJSNPTFMHZIR-UHFFFAOYSA-N 0 0 443.501 -0.753 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)ccc1F ZINC000672944443 1073190402 /nfs/dbraw/zinc/19/04/02/1073190402.db2.gz UZSOFIYQSPIUPH-CABCVRRESA-N 0 0 428.486 -0.270 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)ccc1F ZINC000672944444 1073190370 /nfs/dbraw/zinc/19/03/70/1073190370.db2.gz UZSOFIYQSPIUPH-GJZGRUSLSA-N 0 0 428.486 -0.270 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)ccc1F ZINC000672944445 1073190415 /nfs/dbraw/zinc/19/04/15/1073190415.db2.gz UZSOFIYQSPIUPH-HUUCEWRRSA-N 0 0 428.486 -0.270 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)ccc1F ZINC000672944446 1073191001 /nfs/dbraw/zinc/19/10/01/1073191001.db2.gz UZSOFIYQSPIUPH-LSDHHAIUSA-N 0 0 428.486 -0.270 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)ccc1F ZINC000672957463 1073190978 /nfs/dbraw/zinc/19/09/78/1073190978.db2.gz IIWSAVRRLMGRSR-UHFFFAOYSA-N 0 0 430.502 -0.070 20 0 IBADRN CC1(C)CN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCN1CCO ZINC000672957480 1073190929 /nfs/dbraw/zinc/19/09/29/1073190929.db2.gz OMSKYOJJOFTXPG-DLBZAZTESA-N 0 0 444.532 -0.063 20 0 IBADRN CC1(C)CN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CCN1CCO ZINC000672957481 1073191028 /nfs/dbraw/zinc/19/10/28/1073191028.db2.gz OMSKYOJJOFTXPG-IAGOWNOFSA-N 0 0 444.532 -0.063 20 0 IBADRN CC1(C)CN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCN1CCO ZINC000672957482 1073190868 /nfs/dbraw/zinc/19/08/68/1073190868.db2.gz OMSKYOJJOFTXPG-IRXDYDNUSA-N 0 0 444.532 -0.063 20 0 IBADRN CC1(C)CN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CCN1CCO ZINC000672957483 1073191009 /nfs/dbraw/zinc/19/10/09/1073191009.db2.gz OMSKYOJJOFTXPG-SJORKVTESA-N 0 0 444.532 -0.063 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@H](C)S(C)(=O)=O)CC2)c1C ZINC000672962226 1073191488 /nfs/dbraw/zinc/19/14/88/1073191488.db2.gz PQAXIYUIXJYUEX-HNNXBMFYSA-N 0 0 438.550 -0.065 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)CC2)c1C ZINC000672962227 1073191405 /nfs/dbraw/zinc/19/14/05/1073191405.db2.gz PQAXIYUIXJYUEX-OAHLLOKOSA-N 0 0 438.550 -0.065 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1)S(C)(=O)=O ZINC000672962647 1073190959 /nfs/dbraw/zinc/19/09/59/1073190959.db2.gz AXEGWSUZQFSECU-HWOWSKLDSA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1)S(C)(=O)=O ZINC000672962648 1073191432 /nfs/dbraw/zinc/19/14/32/1073191432.db2.gz AXEGWSUZQFSECU-PCKAHOCUSA-N 0 0 430.571 -0.625 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1)S(C)(=O)=O ZINC000672963014 1073191434 /nfs/dbraw/zinc/19/14/34/1073191434.db2.gz NNOKBVWCEXQJFN-HNNXBMFYSA-N 0 0 430.571 -0.529 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1)S(C)(=O)=O ZINC000672963019 1073191458 /nfs/dbraw/zinc/19/14/58/1073191458.db2.gz NNOKBVWCEXQJFN-OAHLLOKOSA-N 0 0 430.571 -0.529 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000672963593 1073191455 /nfs/dbraw/zinc/19/14/55/1073191455.db2.gz ODEWADWHHJLHPM-AWEZNQCLSA-N 0 0 431.536 -0.541 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000672963596 1073191408 /nfs/dbraw/zinc/19/14/08/1073191408.db2.gz ODEWADWHHJLHPM-CQSZACIVSA-N 0 0 431.536 -0.541 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2Cl)CC1)S(C)(=O)=O ZINC000672964146 1073191392 /nfs/dbraw/zinc/19/13/92/1073191392.db2.gz HEUIFGOSDFSDLP-CYBMUJFWSA-N 0 0 444.941 -0.028 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2Cl)CC1)S(C)(=O)=O ZINC000672964147 1073191463 /nfs/dbraw/zinc/19/14/63/1073191463.db2.gz HEUIFGOSDFSDLP-ZDUSSCGKSA-N 0 0 444.941 -0.028 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCOC[C@@H]2c2noc(C)n2)ccc1F ZINC000672981059 1073191465 /nfs/dbraw/zinc/19/14/65/1073191465.db2.gz WTZCDCHHHOGYEE-GFCCVEGCSA-N 0 0 427.414 -0.036 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCOC[C@H]2c2noc(C)n2)ccc1F ZINC000672981060 1073191428 /nfs/dbraw/zinc/19/14/28/1073191428.db2.gz WTZCDCHHHOGYEE-LBPRGKRZSA-N 0 0 427.414 -0.036 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CCOCC1 ZINC000672992096 1073192082 /nfs/dbraw/zinc/19/20/82/1073192082.db2.gz LDOQABYFYGJXNW-UHFFFAOYSA-N 0 0 449.533 -0.288 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCC2(OC)CCOCC2)CC1 ZINC000672992711 1073192506 /nfs/dbraw/zinc/19/25/06/1073192506.db2.gz FNXHHQNDODSNIZ-UHFFFAOYSA-N 0 0 448.586 -0.191 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CCOCC1 ZINC000672992994 1073192016 /nfs/dbraw/zinc/19/20/16/1073192016.db2.gz QEKSAMAEENUICA-UHFFFAOYSA-N 0 0 448.524 -0.726 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCOCC1 ZINC000672993287 1073192026 /nfs/dbraw/zinc/19/20/26/1073192026.db2.gz KZJHDRGQGIMMDD-UHFFFAOYSA-N 0 0 439.534 -0.028 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCOCC1 ZINC000672994196 1073192000 /nfs/dbraw/zinc/19/20/00/1073192000.db2.gz UCJBQOCOLJQLBA-UHFFFAOYSA-N 0 0 443.497 -0.030 20 0 IBADRN COC1(CNC(=O)C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CCOCC1 ZINC000672994365 1073191965 /nfs/dbraw/zinc/19/19/65/1073191965.db2.gz JPHLVNAKLZLXFW-UHFFFAOYSA-N 0 0 437.518 -0.099 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CCOCC1 ZINC000672994473 1073191973 /nfs/dbraw/zinc/19/19/73/1073191973.db2.gz PHHHAAXJGNFCON-UHFFFAOYSA-N 0 0 435.543 -0.803 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC2(OC)CCOCC2)C1 ZINC000672995329 1073192049 /nfs/dbraw/zinc/19/20/49/1073192049.db2.gz WVFFPLWWFDTPMO-HNNXBMFYSA-N 0 0 435.543 -0.803 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC2(OC)CCOCC2)C1 ZINC000672995330 1073191997 /nfs/dbraw/zinc/19/19/97/1073191997.db2.gz WVFFPLWWFDTPMO-OAHLLOKOSA-N 0 0 435.543 -0.803 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)CCOCC1 ZINC000672996269 1073191991 /nfs/dbraw/zinc/19/19/91/1073191991.db2.gz DFIRIMFWSMVXFQ-UHFFFAOYSA-N 0 0 446.551 -0.404 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)CCOCC1 ZINC000672996702 1073192039 /nfs/dbraw/zinc/19/20/39/1073192039.db2.gz MAMWRYWBCLZCKE-HDICACEKSA-N 0 0 438.569 -0.053 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)CCOCC1 ZINC000672996703 1073192087 /nfs/dbraw/zinc/19/20/87/1073192087.db2.gz MAMWRYWBCLZCKE-QZTJIDSGSA-N 0 0 438.569 -0.053 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)CCOCC1 ZINC000672996704 1073192078 /nfs/dbraw/zinc/19/20/78/1073192078.db2.gz MAMWRYWBCLZCKE-ROUUACIJSA-N 0 0 438.569 -0.053 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CCOCC1 ZINC000672997192 1073192044 /nfs/dbraw/zinc/19/20/44/1073192044.db2.gz KYLYERXXRXSSQM-UHFFFAOYSA-N 0 0 432.521 -0.241 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(F)c(N3CCCNC3=O)c2)C1 ZINC000673003628 1073192530 /nfs/dbraw/zinc/19/25/30/1073192530.db2.gz ALEQMBFQRBAJMF-UHFFFAOYSA-N 0 0 427.458 -0.120 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cc(F)c(N3CCOCC3)cc2F)C1 ZINC000673003651 1073192419 /nfs/dbraw/zinc/19/24/19/1073192419.db2.gz CVHIWMJVGGHHKN-UHFFFAOYSA-N 0 0 432.449 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)ccc1F ZINC000673003657 1073192565 /nfs/dbraw/zinc/19/25/65/1073192565.db2.gz DSUDWXMWYMGOCQ-UHFFFAOYSA-N 0 0 436.487 -0.789 20 0 IBADRN CNC(=O)c1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1Br ZINC000673003847 1073192445 /nfs/dbraw/zinc/19/24/45/1073192445.db2.gz RCOXJCGWSNIECN-UHFFFAOYSA-N 0 0 447.311 -0.056 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2OCCOC)C1=O ZINC000673005588 1073192455 /nfs/dbraw/zinc/19/24/55/1073192455.db2.gz GIMCGSZGCUWMDU-CYBMUJFWSA-N 0 0 427.479 -0.209 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2OCCOC)C1=O ZINC000673005589 1073192936 /nfs/dbraw/zinc/19/29/36/1073192936.db2.gz GIMCGSZGCUWMDU-ZDUSSCGKSA-N 0 0 427.479 -0.209 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCCOC(C)C)CC3)C2=O)n(C)n1 ZINC000673005761 1073192558 /nfs/dbraw/zinc/19/25/58/1073192558.db2.gz IGZHFLPFXRJIMI-KRWDZBQOSA-N 0 0 434.541 -0.091 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCCOC(C)C)CC3)C2=O)n(C)n1 ZINC000673005762 1073192428 /nfs/dbraw/zinc/19/24/28/1073192428.db2.gz IGZHFLPFXRJIMI-QGZVFWFLSA-N 0 0 434.541 -0.091 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2CCc3ccccc3C2)CC1)N1CCOCC1 ZINC000673023469 1073193208 /nfs/dbraw/zinc/19/32/08/1073193208.db2.gz RJZQFOFDVPGPOI-GOSISDBHSA-N 0 0 428.533 -0.089 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2CCc3ccccc3C2)CC1)N1CCOCC1 ZINC000673023470 1073193014 /nfs/dbraw/zinc/19/30/14/1073193014.db2.gz RJZQFOFDVPGPOI-SFHVURJKSA-N 0 0 428.533 -0.089 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H]2CCc3ccccc3C2)CC1 ZINC000673027170 1073193606 /nfs/dbraw/zinc/19/36/06/1073193606.db2.gz FTYCIVXUCRLZKZ-GOSISDBHSA-N 0 0 428.533 -0.089 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H]2CCc3ccccc3C2)CC1 ZINC000673027171 1073193491 /nfs/dbraw/zinc/19/34/91/1073193491.db2.gz FTYCIVXUCRLZKZ-SFHVURJKSA-N 0 0 428.533 -0.089 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@H]1CCc3ccccc3C1)C2 ZINC000673027649 1073193525 /nfs/dbraw/zinc/19/35/25/1073193525.db2.gz JLNSGGITPTZYFF-HNNXBMFYSA-N 0 0 440.504 -0.604 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NC[C@@H]1CCc3ccccc3C1)C2 ZINC000673027657 1073193513 /nfs/dbraw/zinc/19/35/13/1073193513.db2.gz JLNSGGITPTZYFF-OAHLLOKOSA-N 0 0 440.504 -0.604 20 0 IBADRN O=C(NC[C@H]1CCc2ccccc2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000673028452 1073193674 /nfs/dbraw/zinc/19/36/74/1073193674.db2.gz XLLIXKIIYWELJJ-KRWDZBQOSA-N 0 0 442.516 -0.563 20 0 IBADRN O=C(NC[C@@H]1CCc2ccccc2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000673028453 1073193413 /nfs/dbraw/zinc/19/34/13/1073193413.db2.gz XLLIXKIIYWELJJ-QGZVFWFLSA-N 0 0 442.516 -0.563 20 0 IBADRN CCN(CCNC(=O)CS(=O)(=O)NC(C)(C)C)C(=O)CS(=O)(=O)NC(C)(C)C ZINC000673033558 1073193620 /nfs/dbraw/zinc/19/36/20/1073193620.db2.gz RGFGIIIHOIQYHX-UHFFFAOYSA-N 0 0 442.604 -0.613 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000673059340 1073194282 /nfs/dbraw/zinc/19/42/82/1073194282.db2.gz HYQUXODDBFTISU-KRWDZBQOSA-N 0 0 440.508 -0.096 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)n1 ZINC000673059344 1073194181 /nfs/dbraw/zinc/19/41/81/1073194181.db2.gz HYQUXODDBFTISU-QGZVFWFLSA-N 0 0 440.508 -0.096 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCN(Cc2ccccc2)CC1 ZINC000673092896 1073195510 /nfs/dbraw/zinc/19/55/10/1073195510.db2.gz JLWSEGAPZWOLOZ-UHFFFAOYSA-N 0 0 443.548 -0.666 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)cc1 ZINC000673096252 1073196004 /nfs/dbraw/zinc/19/60/04/1073196004.db2.gz JOASWMCQTKOHIV-CYBMUJFWSA-N 0 0 446.551 -0.337 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)cc1 ZINC000673096253 1073195203 /nfs/dbraw/zinc/19/52/03/1073195203.db2.gz JOASWMCQTKOHIV-ZDUSSCGKSA-N 0 0 446.551 -0.337 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000673096370 1073195160 /nfs/dbraw/zinc/19/51/60/1073195160.db2.gz VVGXZCUXHHAVHO-AWEZNQCLSA-N 0 0 432.524 -0.584 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cc1 ZINC000673096371 1073195181 /nfs/dbraw/zinc/19/51/81/1073195181.db2.gz VVGXZCUXHHAVHO-CQSZACIVSA-N 0 0 432.524 -0.584 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1ccc2c(c1)C(=O)NCC2)C(F)(F)F ZINC000673099106 1073195568 /nfs/dbraw/zinc/19/55/68/1073195568.db2.gz GXKGEGQGCKGZOD-GFCCVEGCSA-N 0 0 436.412 -0.151 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1ccc2c(c1)C(=O)NCC2)C(F)(F)F ZINC000673099107 1073195458 /nfs/dbraw/zinc/19/54/58/1073195458.db2.gz GXKGEGQGCKGZOD-LBPRGKRZSA-N 0 0 436.412 -0.151 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cc1 ZINC000673099110 1073195409 /nfs/dbraw/zinc/19/54/09/1073195409.db2.gz JAOIMQNDVHBEHG-GFCCVEGCSA-N 0 0 438.428 -0.148 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cc1 ZINC000673099111 1073195553 /nfs/dbraw/zinc/19/55/53/1073195553.db2.gz JAOIMQNDVHBEHG-LBPRGKRZSA-N 0 0 438.428 -0.148 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)c(OC)n1 ZINC000673099139 1073195423 /nfs/dbraw/zinc/19/54/23/1073195423.db2.gz QENVKOZFXWHNQN-SECBINFHSA-N 0 0 428.389 -0.024 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)c(OC)n1 ZINC000673099140 1073195584 /nfs/dbraw/zinc/19/55/84/1073195584.db2.gz QENVKOZFXWHNQN-VIFPVBQESA-N 0 0 428.389 -0.024 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1ccc2c(c1)NC(=O)CO2)C(F)(F)F ZINC000673099154 1073195503 /nfs/dbraw/zinc/19/55/03/1073195503.db2.gz WFJOHFGKECPMCT-LLVKDONJSA-N 0 0 438.384 -0.106 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1ccc2c(c1)NC(=O)CO2)C(F)(F)F ZINC000673099155 1073195536 /nfs/dbraw/zinc/19/55/36/1073195536.db2.gz WFJOHFGKECPMCT-NSHDSACASA-N 0 0 438.384 -0.106 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)[C@H]1CCCOC1 ZINC000673101030 1073195604 /nfs/dbraw/zinc/19/56/04/1073195604.db2.gz HCVTYMOIKCKXAO-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)[C@@H]1CCCOC1 ZINC000673101031 1073195542 /nfs/dbraw/zinc/19/55/42/1073195542.db2.gz HCVTYMOIKCKXAO-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN CO[C@H]1C[C@@H](N(C)C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000673101370 1073195387 /nfs/dbraw/zinc/19/53/87/1073195387.db2.gz ZGWHDANRTPUVCQ-GASCZTMLSA-N 0 0 425.511 -0.263 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000673104374 1073195912 /nfs/dbraw/zinc/19/59/12/1073195912.db2.gz VOPQGIZTHIFFSV-UHFFFAOYSA-N 0 0 432.524 -0.679 20 0 IBADRN C[C@H]1CO[C@H](C(F)(F)F)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000673106532 1073196006 /nfs/dbraw/zinc/19/60/06/1073196006.db2.gz ABYIYVJBNYYKPM-AAEUAGOBSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@@H]1CO[C@@H](C(F)(F)F)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000673106533 1073195889 /nfs/dbraw/zinc/19/58/89/1073195889.db2.gz ABYIYVJBNYYKPM-DGCLKSJQSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@H]1CO[C@@H](C(F)(F)F)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000673106534 1073195988 /nfs/dbraw/zinc/19/59/88/1073195988.db2.gz ABYIYVJBNYYKPM-WCQYABFASA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@@H]1CO[C@H](C(F)(F)F)CN1C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000673106535 1073195922 /nfs/dbraw/zinc/19/59/22/1073195922.db2.gz ABYIYVJBNYYKPM-YPMHNXCESA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@H]1CO[C@@H](C(F)(F)F)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000673107059 1073195985 /nfs/dbraw/zinc/19/59/85/1073195985.db2.gz BBHGWWDLFPMETD-GZMMTYOYSA-N 0 0 429.355 -0.251 20 0 IBADRN C[C@@H]1CO[C@H](C(F)(F)F)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000673107060 1073195992 /nfs/dbraw/zinc/19/59/92/1073195992.db2.gz BBHGWWDLFPMETD-KCJUWKMLSA-N 0 0 429.355 -0.251 20 0 IBADRN C[C@H]1CO[C@H](C(F)(F)F)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000673107061 1073195929 /nfs/dbraw/zinc/19/59/29/1073195929.db2.gz BBHGWWDLFPMETD-KWQFWETISA-N 0 0 429.355 -0.251 20 0 IBADRN C[C@@H]1CO[C@@H](C(F)(F)F)CN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000673107062 1073196014 /nfs/dbraw/zinc/19/60/14/1073196014.db2.gz BBHGWWDLFPMETD-LDYMZIIASA-N 0 0 429.355 -0.251 20 0 IBADRN C[C@@H]1CO[C@H](C(F)(F)F)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000673112224 1073196427 /nfs/dbraw/zinc/19/64/27/1073196427.db2.gz VCRBVIXSZMOVPC-NEPJUHHUSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@H]1CO[C@@H](C(F)(F)F)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000673112225 1073196437 /nfs/dbraw/zinc/19/64/37/1073196437.db2.gz VCRBVIXSZMOVPC-NWDGAFQWSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@H]1CO[C@H](C(F)(F)F)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000673112226 1073196363 /nfs/dbraw/zinc/19/63/63/1073196363.db2.gz VCRBVIXSZMOVPC-RYUDHWBXSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@@H]1CO[C@@H](C(F)(F)F)CN1C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000673112227 1073196483 /nfs/dbraw/zinc/19/64/83/1073196483.db2.gz VCRBVIXSZMOVPC-VXGBXAGGSA-N 0 0 433.387 -0.141 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@@H](C)C1 ZINC000673112514 1073196334 /nfs/dbraw/zinc/19/63/34/1073196334.db2.gz CBKUWSTZIVMTBR-HNNXBMFYSA-N 0 0 438.554 -0.346 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@H](C)C1 ZINC000673112515 1073196453 /nfs/dbraw/zinc/19/64/53/1073196453.db2.gz CBKUWSTZIVMTBR-OAHLLOKOSA-N 0 0 438.554 -0.346 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000673118283 1073196446 /nfs/dbraw/zinc/19/64/46/1073196446.db2.gz OZNYXGDNVPGTFK-DOMZBBRYSA-N 0 0 446.551 -0.243 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000673118284 1073196498 /nfs/dbraw/zinc/19/64/98/1073196498.db2.gz OZNYXGDNVPGTFK-IUODEOHRSA-N 0 0 446.551 -0.243 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000673118285 1073196397 /nfs/dbraw/zinc/19/63/97/1073196397.db2.gz OZNYXGDNVPGTFK-SWLSCSKDSA-N 0 0 446.551 -0.243 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000673118286 1073196442 /nfs/dbraw/zinc/19/64/42/1073196442.db2.gz OZNYXGDNVPGTFK-WFASDCNBSA-N 0 0 446.551 -0.243 20 0 IBADRN C[C@H]1CSC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673118592 1073195903 /nfs/dbraw/zinc/19/59/03/1073195903.db2.gz OWNQWBSSBDTEPB-GXTWGEPZSA-N 0 0 427.552 -0.031 20 0 IBADRN C[C@H]1CSC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673118593 1073195953 /nfs/dbraw/zinc/19/59/53/1073195953.db2.gz OWNQWBSSBDTEPB-JSGCOSHPSA-N 0 0 427.552 -0.031 20 0 IBADRN C[C@@H]1CSC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673118594 1073196488 /nfs/dbraw/zinc/19/64/88/1073196488.db2.gz OWNQWBSSBDTEPB-OCCSQVGLSA-N 0 0 427.552 -0.031 20 0 IBADRN C[C@@H]1CSC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673118595 1073196281 /nfs/dbraw/zinc/19/62/81/1073196281.db2.gz OWNQWBSSBDTEPB-TZMCWYRMSA-N 0 0 427.552 -0.031 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cccc1-n1cnnn1 ZINC000673121856 1073196433 /nfs/dbraw/zinc/19/64/33/1073196433.db2.gz ZVBYUMCHFSQGTB-BLVKFPJESA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cccc1-n1cnnn1 ZINC000673121857 1073196305 /nfs/dbraw/zinc/19/63/05/1073196305.db2.gz ZVBYUMCHFSQGTB-BUXKBTBVSA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cccc1-n1cnnn1 ZINC000673121858 1073196266 /nfs/dbraw/zinc/19/62/66/1073196266.db2.gz ZVBYUMCHFSQGTB-CWTRNNRKSA-N 0 0 426.437 -0.112 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cccc1-n1cnnn1 ZINC000673121859 1073196423 /nfs/dbraw/zinc/19/64/23/1073196423.db2.gz ZVBYUMCHFSQGTB-HXPMCKFVSA-N 0 0 426.437 -0.112 20 0 IBADRN C[C@@H]1COCC[C@H]1CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673124329 1073196792 /nfs/dbraw/zinc/19/67/92/1073196792.db2.gz PLQCJYLLBHGBIP-CABCVRRESA-N 0 0 439.538 -0.110 20 0 IBADRN C[C@H]1COCC[C@H]1CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673124330 1073196935 /nfs/dbraw/zinc/19/69/35/1073196935.db2.gz PLQCJYLLBHGBIP-GJZGRUSLSA-N 0 0 439.538 -0.110 20 0 IBADRN C[C@@H]1COCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673124331 1073196992 /nfs/dbraw/zinc/19/69/92/1073196992.db2.gz PLQCJYLLBHGBIP-HUUCEWRRSA-N 0 0 439.538 -0.110 20 0 IBADRN C[C@H]1COCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673124332 1073196848 /nfs/dbraw/zinc/19/68/48/1073196848.db2.gz PLQCJYLLBHGBIP-LSDHHAIUSA-N 0 0 439.538 -0.110 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000673127691 1073196972 /nfs/dbraw/zinc/19/69/72/1073196972.db2.gz HIUPYIIUAHLXLZ-CYBMUJFWSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000673127692 1073196949 /nfs/dbraw/zinc/19/69/49/1073196949.db2.gz HIUPYIIUAHLXLZ-ZDUSSCGKSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)cc1 ZINC000673128486 1073196833 /nfs/dbraw/zinc/19/68/33/1073196833.db2.gz YPPRUMMGYNFBMR-CYBMUJFWSA-N 0 0 438.428 -0.088 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)cc1 ZINC000673128487 1073196942 /nfs/dbraw/zinc/19/69/42/1073196942.db2.gz YPPRUMMGYNFBMR-ZDUSSCGKSA-N 0 0 438.428 -0.088 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)cc1 ZINC000673131622 1073196883 /nfs/dbraw/zinc/19/68/83/1073196883.db2.gz HCDAUEPXINPLPV-UHFFFAOYSA-N 0 0 428.488 -0.205 20 0 IBADRN CC(C)[C@H](CO)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673146400 1073197568 /nfs/dbraw/zinc/19/75/68/1073197568.db2.gz JRQIJMCSJYARQX-AWEZNQCLSA-N 0 0 427.527 -0.518 20 0 IBADRN CC(C)[C@@H](CO)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673146405 1073197339 /nfs/dbraw/zinc/19/73/39/1073197339.db2.gz JRQIJMCSJYARQX-CQSZACIVSA-N 0 0 427.527 -0.518 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)[C@H](C)C1 ZINC000673147751 1073197537 /nfs/dbraw/zinc/19/75/37/1073197537.db2.gz OKASEDTUBKXAPO-GFCCVEGCSA-N 0 0 432.524 -0.584 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccn(-c3ncccc3F)n2)C1 ZINC000673150464 1073197380 /nfs/dbraw/zinc/19/73/80/1073197380.db2.gz LWLSDIXVDGWHCS-GFCCVEGCSA-N 0 0 439.473 -0.268 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)NC(=O)CO3)C1 ZINC000673150532 1073197545 /nfs/dbraw/zinc/19/75/45/1073197545.db2.gz YTQFQVWJJMFOGP-GFCCVEGCSA-N 0 0 425.467 -0.657 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000673150589 1073197390 /nfs/dbraw/zinc/19/73/90/1073197390.db2.gz NEQMEQLVBDYEKI-OAHLLOKOSA-N 0 0 425.511 -0.005 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000673152081 1073197296 /nfs/dbraw/zinc/19/72/96/1073197296.db2.gz FDJVZACTXGAPMC-CRAIPNDOSA-N 0 0 438.550 -0.600 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000673152082 1073198079 /nfs/dbraw/zinc/19/80/79/1073198079.db2.gz FDJVZACTXGAPMC-MAUKXSAKSA-N 0 0 438.550 -0.600 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000673152083 1073198012 /nfs/dbraw/zinc/19/80/12/1073198012.db2.gz FDJVZACTXGAPMC-QAPCUYQASA-N 0 0 438.550 -0.600 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000673152084 1073197911 /nfs/dbraw/zinc/19/79/11/1073197911.db2.gz FDJVZACTXGAPMC-YJBOKZPZSA-N 0 0 438.550 -0.600 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000673152168 1073197946 /nfs/dbraw/zinc/19/79/46/1073197946.db2.gz FLKYDWZIYZNSIS-AZUAARDMSA-N 0 0 445.564 -0.328 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000673152170 1073198066 /nfs/dbraw/zinc/19/80/66/1073198066.db2.gz FLKYDWZIYZNSIS-ICSRJNTNSA-N 0 0 445.564 -0.328 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000673152171 1073197993 /nfs/dbraw/zinc/19/79/93/1073197993.db2.gz FLKYDWZIYZNSIS-QUCCMNQESA-N 0 0 445.564 -0.328 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000673152172 1073198082 /nfs/dbraw/zinc/19/80/82/1073198082.db2.gz FLKYDWZIYZNSIS-UYAOXDASSA-N 0 0 445.564 -0.328 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC4(C3)CCCOC4)cn2)CC1 ZINC000673171413 1073198344 /nfs/dbraw/zinc/19/83/44/1073198344.db2.gz CFMHZGWJJCQFJI-UHFFFAOYSA-N 0 0 437.522 -0.259 20 0 IBADRN C[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCO1 ZINC000673173313 1073198501 /nfs/dbraw/zinc/19/85/01/1073198501.db2.gz YKZGGSYTEOXFLA-CYBMUJFWSA-N 0 0 431.536 -0.018 20 0 IBADRN C[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CCO1 ZINC000673173314 1073198531 /nfs/dbraw/zinc/19/85/31/1073198531.db2.gz YKZGGSYTEOXFLA-ZDUSSCGKSA-N 0 0 431.536 -0.018 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@H](CC(N)=O)C3)c2)CC1 ZINC000673174804 1073198538 /nfs/dbraw/zinc/19/85/38/1073198538.db2.gz AJILVLVLAKIAIK-AWEZNQCLSA-N 0 0 437.522 -0.715 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@H](CC(N)=O)C3)c2)CC1 ZINC000673174808 1073198444 /nfs/dbraw/zinc/19/84/44/1073198444.db2.gz AJILVLVLAKIAIK-CQSZACIVSA-N 0 0 437.522 -0.715 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@H](CC(N)=O)C2)cc1S(=O)(=O)N(C)C ZINC000673174950 1073198435 /nfs/dbraw/zinc/19/84/35/1073198435.db2.gz KRCZCYSOFPFIOR-GFCCVEGCSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CC[C@@H](CC(N)=O)C2)cc1S(=O)(=O)N(C)C ZINC000673174952 1073198399 /nfs/dbraw/zinc/19/83/99/1073198399.db2.gz KRCZCYSOFPFIOR-LBPRGKRZSA-N 0 0 426.495 -0.002 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](CC(N)=O)C3)cc2)CC1 ZINC000673175167 1073198326 /nfs/dbraw/zinc/19/83/26/1073198326.db2.gz ZSRGUNCVZFXLQL-AWEZNQCLSA-N 0 0 437.522 -0.715 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](CC(N)=O)C3)cc2)CC1 ZINC000673175169 1073198425 /nfs/dbraw/zinc/19/84/25/1073198425.db2.gz ZSRGUNCVZFXLQL-CQSZACIVSA-N 0 0 437.522 -0.715 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@@H](CC(N)=O)C1 ZINC000673175250 1073198825 /nfs/dbraw/zinc/19/88/25/1073198825.db2.gz PHELKTWPBJRSQD-AWEZNQCLSA-N 0 0 438.506 -0.322 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC[C@H](CC(N)=O)C1 ZINC000673175253 1073198806 /nfs/dbraw/zinc/19/88/06/1073198806.db2.gz PHELKTWPBJRSQD-CQSZACIVSA-N 0 0 438.506 -0.322 20 0 IBADRN NC(=O)C[C@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000673175315 1073198900 /nfs/dbraw/zinc/19/89/00/1073198900.db2.gz BFTFJAUWNKKXRB-PBHICJAKSA-N 0 0 430.461 -0.637 20 0 IBADRN NC(=O)C[C@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000673175322 1073198846 /nfs/dbraw/zinc/19/88/46/1073198846.db2.gz BFTFJAUWNKKXRB-RHSMWYFYSA-N 0 0 430.461 -0.637 20 0 IBADRN NC(=O)C[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000673175324 1073198830 /nfs/dbraw/zinc/19/88/30/1073198830.db2.gz BFTFJAUWNKKXRB-WMLDXEAASA-N 0 0 430.461 -0.637 20 0 IBADRN NC(=O)C[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000673175326 1073198793 /nfs/dbraw/zinc/19/87/93/1073198793.db2.gz BFTFJAUWNKKXRB-YOEHRIQHSA-N 0 0 430.461 -0.637 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](CC(N)=O)C2)cc1 ZINC000673175686 1073198495 /nfs/dbraw/zinc/19/84/95/1073198495.db2.gz ZDWNQWKXLAGAQX-CHWSQXEVSA-N 0 0 426.495 -0.338 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](CC(N)=O)C2)cc1 ZINC000673175692 1073198414 /nfs/dbraw/zinc/19/84/14/1073198414.db2.gz ZDWNQWKXLAGAQX-OLZOCXBDSA-N 0 0 426.495 -0.338 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](CC(N)=O)C2)cc1 ZINC000673175694 1073198938 /nfs/dbraw/zinc/19/89/38/1073198938.db2.gz ZDWNQWKXLAGAQX-QWHCGFSZSA-N 0 0 426.495 -0.338 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](CC(N)=O)C2)cc1 ZINC000673175696 1073198906 /nfs/dbraw/zinc/19/89/06/1073198906.db2.gz ZDWNQWKXLAGAQX-STQMWFEESA-N 0 0 426.495 -0.338 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](CC(N)=O)C1 ZINC000673175724 1073198924 /nfs/dbraw/zinc/19/89/24/1073198924.db2.gz NYGAOHDXGWNITR-GFCCVEGCSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](CC(N)=O)C1 ZINC000673175725 1073198832 /nfs/dbraw/zinc/19/88/32/1073198832.db2.gz NYGAOHDXGWNITR-LBPRGKRZSA-N 0 0 426.495 -0.002 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@@H](CC(N)=O)C1 ZINC000673175970 1073198543 /nfs/dbraw/zinc/19/85/43/1073198543.db2.gz CQGNYAKZXVAUGU-AWEZNQCLSA-N 0 0 431.493 -0.255 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CC[C@H](CC(N)=O)C1 ZINC000673175971 1073198381 /nfs/dbraw/zinc/19/83/81/1073198381.db2.gz CQGNYAKZXVAUGU-CQSZACIVSA-N 0 0 431.493 -0.255 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@H](CC(N)=O)C1 ZINC000673176078 1073198553 /nfs/dbraw/zinc/19/85/53/1073198553.db2.gz DHWBCQIIKLKTOX-GFCCVEGCSA-N 0 0 425.511 -0.335 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H](CC(N)=O)C1 ZINC000673176079 1073198521 /nfs/dbraw/zinc/19/85/21/1073198521.db2.gz DHWBCQIIKLKTOX-LBPRGKRZSA-N 0 0 425.511 -0.335 20 0 IBADRN Cc1ccncc1[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000673191955 1073199436 /nfs/dbraw/zinc/19/94/36/1073199436.db2.gz SZUNCWHTNFOSTI-CYBMUJFWSA-N 0 0 449.489 -0.208 20 0 IBADRN Cc1ccncc1[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000673191958 1073199427 /nfs/dbraw/zinc/19/94/27/1073199427.db2.gz SZUNCWHTNFOSTI-ZDUSSCGKSA-N 0 0 449.489 -0.208 20 0 IBADRN Cc1ccncc1[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000673195451 1073198811 /nfs/dbraw/zinc/19/88/11/1073198811.db2.gz YJNNXTRSENLJEN-INIZCTEOSA-N 0 0 438.554 -0.796 20 0 IBADRN Cc1ccncc1[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000673195452 1073198887 /nfs/dbraw/zinc/19/88/87/1073198887.db2.gz YJNNXTRSENLJEN-MRXNPFEDSA-N 0 0 438.554 -0.796 20 0 IBADRN C[C@@H](C[C@H]1CCOC1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000673211057 1073199300 /nfs/dbraw/zinc/19/93/00/1073199300.db2.gz QOUBDUFZENXGMN-DLBZAZTESA-N 0 0 432.525 -0.107 20 0 IBADRN C[C@H](C[C@H]1CCOC1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000673211058 1073199409 /nfs/dbraw/zinc/19/94/09/1073199409.db2.gz QOUBDUFZENXGMN-IAGOWNOFSA-N 0 0 432.525 -0.107 20 0 IBADRN C[C@@H](C[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000673211059 1073199489 /nfs/dbraw/zinc/19/94/89/1073199489.db2.gz QOUBDUFZENXGMN-IRXDYDNUSA-N 0 0 432.525 -0.107 20 0 IBADRN C[C@H](C[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000673211060 1073199396 /nfs/dbraw/zinc/19/93/96/1073199396.db2.gz QOUBDUFZENXGMN-SJORKVTESA-N 0 0 432.525 -0.107 20 0 IBADRN C[C@H](C[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000673217641 1073199334 /nfs/dbraw/zinc/19/93/34/1073199334.db2.gz OAGQDWTZRHIRRU-NCOADZHNSA-N 0 0 446.570 -0.584 20 0 IBADRN C[C@H](C[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000673217642 1073199263 /nfs/dbraw/zinc/19/92/63/1073199263.db2.gz OAGQDWTZRHIRRU-QZWWFDLISA-N 0 0 446.570 -0.584 20 0 IBADRN C[C@H](C[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000673217643 1073199901 /nfs/dbraw/zinc/19/99/01/1073199901.db2.gz OAGQDWTZRHIRRU-VQHPVUNQSA-N 0 0 446.570 -0.584 20 0 IBADRN C[C@H](C[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000673217644 1073199864 /nfs/dbraw/zinc/19/98/64/1073199864.db2.gz OAGQDWTZRHIRRU-WCXIOVBPSA-N 0 0 446.570 -0.584 20 0 IBADRN C[C@H](C[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000673220622 1073199768 /nfs/dbraw/zinc/19/97/68/1073199768.db2.gz WSMDGBAWVXOXPP-CHWSQXEVSA-N 0 0 442.494 -0.857 20 0 IBADRN C[C@H](C[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000673220623 1073199886 /nfs/dbraw/zinc/19/98/86/1073199886.db2.gz WSMDGBAWVXOXPP-OLZOCXBDSA-N 0 0 442.494 -0.857 20 0 IBADRN C[C@@H](C[C@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000673220624 1073199894 /nfs/dbraw/zinc/19/98/94/1073199894.db2.gz WSMDGBAWVXOXPP-QWHCGFSZSA-N 0 0 442.494 -0.857 20 0 IBADRN C[C@@H](C[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000673220625 1073199875 /nfs/dbraw/zinc/19/98/75/1073199875.db2.gz WSMDGBAWVXOXPP-STQMWFEESA-N 0 0 442.494 -0.857 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)C[C@H]3CCOC3)CC2)cn1C ZINC000673222900 1073199982 /nfs/dbraw/zinc/19/99/82/1073199982.db2.gz WMQLXSBGAFLTDJ-DZGCQCFKSA-N 0 0 427.527 -0.507 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)C[C@@H]3CCOC3)CC2)cn1C ZINC000673222906 1073199977 /nfs/dbraw/zinc/19/99/77/1073199977.db2.gz WMQLXSBGAFLTDJ-HIFRSBDPSA-N 0 0 427.527 -0.507 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)C[C@H]3CCOC3)CC2)cn1C ZINC000673222909 1073199734 /nfs/dbraw/zinc/19/97/34/1073199734.db2.gz WMQLXSBGAFLTDJ-UKRRQHHQSA-N 0 0 427.527 -0.507 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)C[C@@H]3CCOC3)CC2)cn1C ZINC000673222910 1073199782 /nfs/dbraw/zinc/19/97/82/1073199782.db2.gz WMQLXSBGAFLTDJ-ZFWWWQNUSA-N 0 0 427.527 -0.507 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)CC1 ZINC000673226410 1073199522 /nfs/dbraw/zinc/19/95/22/1073199522.db2.gz JDRQHKWTVKZBSE-HNNXBMFYSA-N 0 0 445.567 -0.417 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000673253542 1073200350 /nfs/dbraw/zinc/20/03/50/1073200350.db2.gz PRYURILOJUXALT-HNNXBMFYSA-N 0 0 434.541 -0.913 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000673253544 1073200419 /nfs/dbraw/zinc/20/04/19/1073200419.db2.gz PRYURILOJUXALT-OAHLLOKOSA-N 0 0 434.541 -0.913 20 0 IBADRN Cc1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(N2CCCC2)n1 ZINC000673256886 1073200475 /nfs/dbraw/zinc/20/04/75/1073200475.db2.gz GELKMWIFWFSQHB-UHFFFAOYSA-N 0 0 442.520 -0.444 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c2ccccc2n1 ZINC000673264367 1073200466 /nfs/dbraw/zinc/20/04/66/1073200466.db2.gz CVUMTYDNKNEEKJ-UHFFFAOYSA-N 0 0 438.448 -0.783 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)C2=O)n1 ZINC000673265607 1073200786 /nfs/dbraw/zinc/20/07/86/1073200786.db2.gz CMYFSVNQGGSBAE-CVEARBPZSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)C2=O)n1 ZINC000673265609 1073200669 /nfs/dbraw/zinc/20/06/69/1073200669.db2.gz CMYFSVNQGGSBAE-HOTGVXAUSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)C2=O)n1 ZINC000673265610 1073200851 /nfs/dbraw/zinc/20/08/51/1073200851.db2.gz CMYFSVNQGGSBAE-HZPDHXFCSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)C2=O)n1 ZINC000673265613 1073200689 /nfs/dbraw/zinc/20/06/89/1073200689.db2.gz CMYFSVNQGGSBAE-JKSUJKDBSA-N 0 0 430.509 -0.061 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)NC(C)(C)C)CC3)C2=O)n1 ZINC000673265763 1073200716 /nfs/dbraw/zinc/20/07/16/1073200716.db2.gz HXMLSXSJRXEBSV-AWEZNQCLSA-N 0 0 433.513 -0.316 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)NC(C)(C)C)CC3)C2=O)n1 ZINC000673265765 1073200701 /nfs/dbraw/zinc/20/07/01/1073200701.db2.gz HXMLSXSJRXEBSV-CQSZACIVSA-N 0 0 433.513 -0.316 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000673265933 1073200679 /nfs/dbraw/zinc/20/06/79/1073200679.db2.gz PQSLYFJXSUDDAT-HNNXBMFYSA-N 0 0 426.481 -0.521 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000673265956 1073200902 /nfs/dbraw/zinc/20/09/02/1073200902.db2.gz PQSLYFJXSUDDAT-OAHLLOKOSA-N 0 0 426.481 -0.521 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)C1CCN(c2cnccn2)CC1 ZINC000673266042 1073200914 /nfs/dbraw/zinc/20/09/14/1073200914.db2.gz SCMOQQOEUNJRLH-INIZCTEOSA-N 0 0 440.508 -0.051 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)C1CCN(c2cnccn2)CC1 ZINC000673266054 1073200339 /nfs/dbraw/zinc/20/03/39/1073200339.db2.gz SCMOQQOEUNJRLH-MRXNPFEDSA-N 0 0 440.508 -0.051 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC(Oc4cnccn4)CC3)C2=O)n1 ZINC000673266152 1073200840 /nfs/dbraw/zinc/20/08/40/1073200840.db2.gz UGPHKDGZRWNVGU-HNNXBMFYSA-N 0 0 427.465 -0.108 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCC(Oc4cnccn4)CC3)C2=O)n1 ZINC000673266153 1073200964 /nfs/dbraw/zinc/20/09/64/1073200964.db2.gz UGPHKDGZRWNVGU-OAHLLOKOSA-N 0 0 427.465 -0.108 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000673266220 1073201368 /nfs/dbraw/zinc/20/13/68/1073201368.db2.gz XEBHMYVOMRVVHE-HNNXBMFYSA-N 0 0 434.497 -0.967 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000673266224 1073201266 /nfs/dbraw/zinc/20/12/66/1073201266.db2.gz XEBHMYVOMRVVHE-OAHLLOKOSA-N 0 0 434.497 -0.967 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)C2=O)n1 ZINC000673266780 1073201360 /nfs/dbraw/zinc/20/13/60/1073201360.db2.gz IGRARQOQWCEMPV-INIZCTEOSA-N 0 0 439.476 -0.589 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)c4ccccn4)CC3)C2=O)n1 ZINC000673266781 1073200655 /nfs/dbraw/zinc/20/06/55/1073200655.db2.gz IGRARQOQWCEMPV-MRXNPFEDSA-N 0 0 439.476 -0.589 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC(c4nnc5n4CCC5)CC3)C2=O)n1 ZINC000673266816 1073201437 /nfs/dbraw/zinc/20/14/37/1073201437.db2.gz NDTWWOZLMPPBOK-HNNXBMFYSA-N 0 0 440.508 -0.024 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCC(c4nnc5n4CCC5)CC3)C2=O)n1 ZINC000673266817 1073201323 /nfs/dbraw/zinc/20/13/23/1073201323.db2.gz NDTWWOZLMPPBOK-OAHLLOKOSA-N 0 0 440.508 -0.024 20 0 IBADRN O=C(NCCc1c[nH]c2ncccc12)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000673267403 1073201257 /nfs/dbraw/zinc/20/12/57/1073201257.db2.gz YOSRFPYWQNZEEF-INIZCTEOSA-N 0 0 449.533 -0.125 20 0 IBADRN O=C(NCCc1c[nH]c2ncccc12)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000673267404 1073201317 /nfs/dbraw/zinc/20/13/17/1073201317.db2.gz YOSRFPYWQNZEEF-MRXNPFEDSA-N 0 0 449.533 -0.125 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)COc1ccc(S(N)(=O)=O)cc1)C(F)(F)F ZINC000673277783 1073201802 /nfs/dbraw/zinc/20/18/02/1073201802.db2.gz SISWJSZMIRLDDS-LLVKDONJSA-N 0 0 433.430 -0.349 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)COc1ccc(S(N)(=O)=O)cc1)C(F)(F)F ZINC000673277787 1073201885 /nfs/dbraw/zinc/20/18/85/1073201885.db2.gz SISWJSZMIRLDDS-NSHDSACASA-N 0 0 433.430 -0.349 20 0 IBADRN CN1Cc2ccccc2[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000673280186 1073201756 /nfs/dbraw/zinc/20/17/56/1073201756.db2.gz ACQKFCVVKHVMOW-GOSISDBHSA-N 0 0 447.517 -0.049 20 0 IBADRN CN1Cc2ccccc2[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC000673280187 1073201846 /nfs/dbraw/zinc/20/18/46/1073201846.db2.gz ACQKFCVVKHVMOW-SFHVURJKSA-N 0 0 447.517 -0.049 20 0 IBADRN CN1Cc2ccccc2[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000673281045 1073201735 /nfs/dbraw/zinc/20/17/35/1073201735.db2.gz BETCSXRSXANQMJ-IBGZPJMESA-N 0 0 429.521 -0.708 20 0 IBADRN CN1Cc2ccccc2[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000673281046 1073201711 /nfs/dbraw/zinc/20/17/11/1073201711.db2.gz BETCSXRSXANQMJ-LJQANCHMSA-N 0 0 429.521 -0.708 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CN(C)Cc3ccccc32)CC1 ZINC000673284805 1073201688 /nfs/dbraw/zinc/20/16/88/1073201688.db2.gz NLPAYKIWORVPKR-FXAWDEMLSA-N 0 0 445.564 -0.025 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CN(C)Cc3ccccc32)CC1 ZINC000673284807 1073201904 /nfs/dbraw/zinc/20/19/04/1073201904.db2.gz NLPAYKIWORVPKR-PXNSSMCTSA-N 0 0 445.564 -0.025 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H]2CN(C)Cc3ccccc32)CC1 ZINC000673284808 1073201642 /nfs/dbraw/zinc/20/16/42/1073201642.db2.gz NLPAYKIWORVPKR-XLIONFOSSA-N 0 0 445.564 -0.025 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CN(C)Cc3ccccc32)CC1 ZINC000673284809 1073201778 /nfs/dbraw/zinc/20/17/78/1073201778.db2.gz NLPAYKIWORVPKR-YLJYHZDGSA-N 0 0 445.564 -0.025 20 0 IBADRN CN1Cc2ccccc2[C@@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000673288236 1073201665 /nfs/dbraw/zinc/20/16/65/1073201665.db2.gz JISPXXBZQXOGRI-IBGZPJMESA-N 0 0 429.521 -0.708 20 0 IBADRN CN1Cc2ccccc2[C@H](NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000673288238 1073201812 /nfs/dbraw/zinc/20/18/12/1073201812.db2.gz JISPXXBZQXOGRI-LJQANCHMSA-N 0 0 429.521 -0.708 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccn4)C3)CC2)no1 ZINC000673299248 1073202356 /nfs/dbraw/zinc/20/23/56/1073202356.db2.gz AVHSATUAPTWYCD-INIZCTEOSA-N 0 0 441.492 -0.144 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccn4)C3)CC2)no1 ZINC000673299259 1073202291 /nfs/dbraw/zinc/20/22/91/1073202291.db2.gz AVHSATUAPTWYCD-MRXNPFEDSA-N 0 0 441.492 -0.144 20 0 IBADRN O=C(N[C@H]1CCN(c2ccccn2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000673301628 1073202244 /nfs/dbraw/zinc/20/22/44/1073202244.db2.gz XSZWSSAOLSLZTR-HNNXBMFYSA-N 0 0 448.505 -0.561 20 0 IBADRN O=C(N[C@@H]1CCN(c2ccccn2)C1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000673301636 1073202266 /nfs/dbraw/zinc/20/22/66/1073202266.db2.gz XSZWSSAOLSLZTR-OAHLLOKOSA-N 0 0 448.505 -0.561 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)C1=O ZINC000673301655 1073202377 /nfs/dbraw/zinc/20/23/77/1073202377.db2.gz MBXGEBDFZZHQTO-CVEARBPZSA-N 0 0 425.493 -0.183 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)C1=O ZINC000673301658 1073202327 /nfs/dbraw/zinc/20/23/27/1073202327.db2.gz MBXGEBDFZZHQTO-HOTGVXAUSA-N 0 0 425.493 -0.183 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)C1=O ZINC000673301659 1073202792 /nfs/dbraw/zinc/20/27/92/1073202792.db2.gz MBXGEBDFZZHQTO-HZPDHXFCSA-N 0 0 425.493 -0.183 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)C1=O ZINC000673301660 1073202765 /nfs/dbraw/zinc/20/27/65/1073202765.db2.gz MBXGEBDFZZHQTO-JKSUJKDBSA-N 0 0 425.493 -0.183 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)CCN1c1nccn2cnnc12 ZINC000673301993 1073202889 /nfs/dbraw/zinc/20/28/89/1073202889.db2.gz PMMPVHWSOUHZOH-CVEARBPZSA-N 0 0 435.492 -0.049 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)CCN1c1nccn2cnnc12 ZINC000673301995 1073202724 /nfs/dbraw/zinc/20/27/24/1073202724.db2.gz PMMPVHWSOUHZOH-HOTGVXAUSA-N 0 0 435.492 -0.049 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)CCN1c1nccn2cnnc12 ZINC000673301997 1073203314 /nfs/dbraw/zinc/20/33/14/1073203314.db2.gz PMMPVHWSOUHZOH-HZPDHXFCSA-N 0 0 435.492 -0.049 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)CCN1c1nccn2cnnc12 ZINC000673301998 1073203190 /nfs/dbraw/zinc/20/31/90/1073203190.db2.gz PMMPVHWSOUHZOH-JKSUJKDBSA-N 0 0 435.492 -0.049 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)CC1 ZINC000673302016 1073203223 /nfs/dbraw/zinc/20/32/23/1073203223.db2.gz PUKNLCJYIPCROU-MSOLQXFVSA-N 0 0 446.552 -0.538 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)CC1 ZINC000673302019 1073203295 /nfs/dbraw/zinc/20/32/95/1073203295.db2.gz PUKNLCJYIPCROU-QZTJIDSGSA-N 0 0 446.552 -0.538 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)CC1 ZINC000673302020 1073203267 /nfs/dbraw/zinc/20/32/67/1073203267.db2.gz PUKNLCJYIPCROU-ROUUACIJSA-N 0 0 446.552 -0.538 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)CC1 ZINC000673302022 1073203255 /nfs/dbraw/zinc/20/32/55/1073203255.db2.gz PUKNLCJYIPCROU-ZWKOTPCHSA-N 0 0 446.552 -0.538 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccn4)C3)CC2)n1 ZINC000673302161 1073202230 /nfs/dbraw/zinc/20/22/30/1073202230.db2.gz LUYYTQDUEZQEEW-HNNXBMFYSA-N 0 0 429.481 -0.350 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccn4)C3)CC2)n1 ZINC000673302162 1073202276 /nfs/dbraw/zinc/20/22/76/1073202276.db2.gz LUYYTQDUEZQEEW-OAHLLOKOSA-N 0 0 429.481 -0.350 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)CC1)N1CCCCC1 ZINC000673302509 1073202804 /nfs/dbraw/zinc/20/28/04/1073202804.db2.gz PLSKNEZRSZDBTL-GOSISDBHSA-N 0 0 428.537 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)CC1)N1CCCCC1 ZINC000673302510 1073202746 /nfs/dbraw/zinc/20/27/46/1073202746.db2.gz PLSKNEZRSZDBTL-SFHVURJKSA-N 0 0 428.537 -0.067 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)CC1 ZINC000673302923 1073202733 /nfs/dbraw/zinc/20/27/33/1073202733.db2.gz ZTDRLWRPUGGSPP-MSOLQXFVSA-N 0 0 428.537 -0.068 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)CC1 ZINC000673302924 1073202903 /nfs/dbraw/zinc/20/29/03/1073202903.db2.gz ZTDRLWRPUGGSPP-QZTJIDSGSA-N 0 0 428.537 -0.068 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)CC1 ZINC000673302925 1073202782 /nfs/dbraw/zinc/20/27/82/1073202782.db2.gz ZTDRLWRPUGGSPP-ROUUACIJSA-N 0 0 428.537 -0.068 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)CC1 ZINC000673302926 1073202712 /nfs/dbraw/zinc/20/27/12/1073202712.db2.gz ZTDRLWRPUGGSPP-ZWKOTPCHSA-N 0 0 428.537 -0.068 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ccccn4)C3)CC2)CC1 ZINC000673303868 1073202692 /nfs/dbraw/zinc/20/26/92/1073202692.db2.gz LHTCKMAMZJVFPV-GOSISDBHSA-N 0 0 428.537 -0.211 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCN(c4ccccn4)C3)CC2)CC1 ZINC000673303870 1073202841 /nfs/dbraw/zinc/20/28/41/1073202841.db2.gz LHTCKMAMZJVFPV-SFHVURJKSA-N 0 0 428.537 -0.211 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccn3)C2)CC1 ZINC000673304343 1073202834 /nfs/dbraw/zinc/20/28/34/1073202834.db2.gz SDTPOMFRYVIRKO-HNNXBMFYSA-N 0 0 435.492 -0.198 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccn3)C2)CC1 ZINC000673304345 1073202755 /nfs/dbraw/zinc/20/27/55/1073202755.db2.gz SDTPOMFRYVIRKO-OAHLLOKOSA-N 0 0 435.492 -0.198 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000673306248 1073202701 /nfs/dbraw/zinc/20/27/01/1073202701.db2.gz HMLFDWBJIAHBGJ-GOSISDBHSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCCC3)C2)CC1 ZINC000673306254 1073202827 /nfs/dbraw/zinc/20/28/27/1073202827.db2.gz HMLFDWBJIAHBGJ-SFHVURJKSA-N 0 0 435.569 -0.047 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)CC1 ZINC000673307100 1073202819 /nfs/dbraw/zinc/20/28/19/1073202819.db2.gz ITHORFAXMWYFSI-UHFFFAOYSA-N 0 0 430.552 -0.563 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)CC2=O)cn1 ZINC000673307244 1073202857 /nfs/dbraw/zinc/20/28/57/1073202857.db2.gz JUXXAQGHEWMIBU-UHFFFAOYSA-N 0 0 425.492 -0.623 20 0 IBADRN CNC(=O)C[C@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1ccccc1 ZINC000673318457 1073203843 /nfs/dbraw/zinc/20/38/43/1073203843.db2.gz LHYNDBAEZBNCMC-INIZCTEOSA-N 0 0 426.477 -0.578 20 0 IBADRN CNC(=O)C[C@@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1ccccc1 ZINC000673318458 1073203703 /nfs/dbraw/zinc/20/37/03/1073203703.db2.gz LHYNDBAEZBNCMC-MRXNPFEDSA-N 0 0 426.477 -0.578 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)CNS(=O)(=O)CCCOC)CC1 ZINC000673325060 1073203359 /nfs/dbraw/zinc/20/33/59/1073203359.db2.gz WBGYJQOCKXNDTM-AWEZNQCLSA-N 0 0 427.589 -0.149 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)CNS(=O)(=O)CCCOC)CC1 ZINC000673325061 1073203341 /nfs/dbraw/zinc/20/33/41/1073203341.db2.gz WBGYJQOCKXNDTM-CQSZACIVSA-N 0 0 427.589 -0.149 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000673325154 1073203327 /nfs/dbraw/zinc/20/33/27/1073203327.db2.gz KRLDFEXNKIIYNY-CYBMUJFWSA-N 0 0 449.599 -0.085 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000673325155 1073203905 /nfs/dbraw/zinc/20/39/05/1073203905.db2.gz KRLDFEXNKIIYNY-ZDUSSCGKSA-N 0 0 449.599 -0.085 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2ncccc2Cl)C1 ZINC000673328320 1073203893 /nfs/dbraw/zinc/20/38/93/1073203893.db2.gz KKJVRVSPISWVPR-CABCVRRESA-N 0 0 425.917 -0.419 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2ncccc2Cl)C1 ZINC000673328321 1073203736 /nfs/dbraw/zinc/20/37/36/1073203736.db2.gz KKJVRVSPISWVPR-GJZGRUSLSA-N 0 0 425.917 -0.419 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2ncccc2Cl)C1 ZINC000673328322 1073203820 /nfs/dbraw/zinc/20/38/20/1073203820.db2.gz KKJVRVSPISWVPR-HUUCEWRRSA-N 0 0 425.917 -0.419 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2ncccc2Cl)C1 ZINC000673328323 1073203946 /nfs/dbraw/zinc/20/39/46/1073203946.db2.gz KKJVRVSPISWVPR-LSDHHAIUSA-N 0 0 425.917 -0.419 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(c4ncccc4Cl)C3)CC2)c1=O ZINC000673328478 1073204698 /nfs/dbraw/zinc/20/46/98/1073204698.db2.gz ZCWFHJNZJQKNIB-AWEZNQCLSA-N 0 0 445.911 -0.128 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ncccc4Cl)C3)CC2)c1=O ZINC000673328479 1073204662 /nfs/dbraw/zinc/20/46/62/1073204662.db2.gz ZCWFHJNZJQKNIB-CQSZACIVSA-N 0 0 445.911 -0.128 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)C2=O)n(C)n1 ZINC000673331475 1073204190 /nfs/dbraw/zinc/20/41/90/1073204190.db2.gz GEUQWTBCPILAHV-INIZCTEOSA-N 0 0 441.492 -0.502 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)C2=O)n(C)n1 ZINC000673331476 1073204222 /nfs/dbraw/zinc/20/42/22/1073204222.db2.gz GEUQWTBCPILAHV-MRXNPFEDSA-N 0 0 441.492 -0.502 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000673331693 1073204257 /nfs/dbraw/zinc/20/42/57/1073204257.db2.gz RPFJMWJWJSOZSC-HNNXBMFYSA-N 0 0 448.505 -0.825 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000673331696 1073204229 /nfs/dbraw/zinc/20/42/29/1073204229.db2.gz RPFJMWJWJSOZSC-OAHLLOKOSA-N 0 0 448.505 -0.825 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000673331820 1073204182 /nfs/dbraw/zinc/20/41/82/1073204182.db2.gz BIBQMUVYAJVFOE-ARFHVFGLSA-N 0 0 448.524 -0.660 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000673331821 1073204264 /nfs/dbraw/zinc/20/42/64/1073204264.db2.gz BIBQMUVYAJVFOE-BZUAXINKSA-N 0 0 448.524 -0.660 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000673331822 1073204246 /nfs/dbraw/zinc/20/42/46/1073204246.db2.gz BIBQMUVYAJVFOE-OAGGEKHMSA-N 0 0 448.524 -0.660 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000673331823 1073204207 /nfs/dbraw/zinc/20/42/07/1073204207.db2.gz BIBQMUVYAJVFOE-XHSDSOJGSA-N 0 0 448.524 -0.660 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)C2=O)n(C)n1 ZINC000673331828 1073204216 /nfs/dbraw/zinc/20/42/16/1073204216.db2.gz BOPYYRFTGCCIKP-CVEARBPZSA-N 0 0 430.509 -0.143 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)C2=O)n(C)n1 ZINC000673331829 1073204171 /nfs/dbraw/zinc/20/41/71/1073204171.db2.gz BOPYYRFTGCCIKP-HOTGVXAUSA-N 0 0 430.509 -0.143 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)C2=O)n(C)n1 ZINC000673331830 1073204292 /nfs/dbraw/zinc/20/42/92/1073204292.db2.gz BOPYYRFTGCCIKP-HZPDHXFCSA-N 0 0 430.509 -0.143 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)C2=O)n(C)n1 ZINC000673331831 1073204287 /nfs/dbraw/zinc/20/42/87/1073204287.db2.gz BOPYYRFTGCCIKP-JKSUJKDBSA-N 0 0 430.509 -0.143 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)C2=O)n(C)n1 ZINC000673331884 1073204160 /nfs/dbraw/zinc/20/41/60/1073204160.db2.gz ICJUYJDYHLSCPA-INIZCTEOSA-N 0 0 441.492 -0.838 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)C2=O)n(C)n1 ZINC000673331885 1073204272 /nfs/dbraw/zinc/20/42/72/1073204272.db2.gz ICJUYJDYHLSCPA-MRXNPFEDSA-N 0 0 441.492 -0.838 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4nccnc4C)CC3)C2=O)n(C)n1 ZINC000673331888 1073204237 /nfs/dbraw/zinc/20/42/37/1073204237.db2.gz IQQCLUKXHSJDKP-HNNXBMFYSA-N 0 0 426.481 -0.603 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4nccnc4C)CC3)C2=O)n(C)n1 ZINC000673331889 1073204278 /nfs/dbraw/zinc/20/42/78/1073204278.db2.gz IQQCLUKXHSJDKP-OAHLLOKOSA-N 0 0 426.481 -0.603 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4ccc(F)cn4)CC3)C2=O)n(C)n1 ZINC000673332166 1073204168 /nfs/dbraw/zinc/20/41/68/1073204168.db2.gz SXQFPCBUUURVRN-HNNXBMFYSA-N 0 0 429.456 -0.167 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4ccc(F)cn4)CC3)C2=O)n(C)n1 ZINC000673332167 1073204747 /nfs/dbraw/zinc/20/47/47/1073204747.db2.gz SXQFPCBUUURVRN-OAHLLOKOSA-N 0 0 429.456 -0.167 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4ncccc4F)CC3)C2=O)n(C)n1 ZINC000673332431 1073204779 /nfs/dbraw/zinc/20/47/79/1073204779.db2.gz FJNDKGLEQVFKKS-HNNXBMFYSA-N 0 0 429.456 -0.167 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4ncccc4F)CC3)C2=O)n(C)n1 ZINC000673332432 1073204713 /nfs/dbraw/zinc/20/47/13/1073204713.db2.gz FJNDKGLEQVFKKS-OAHLLOKOSA-N 0 0 429.456 -0.167 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cn1 ZINC000673333827 1073204636 /nfs/dbraw/zinc/20/46/36/1073204636.db2.gz QUHOBYKKAHKCBL-HNNXBMFYSA-N 0 0 430.465 -0.313 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cn1 ZINC000673333828 1073204784 /nfs/dbraw/zinc/20/47/84/1073204784.db2.gz QUHOBYKKAHKCBL-OAHLLOKOSA-N 0 0 430.465 -0.313 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)NC(C)(C)C)CC3)C2=O)n(C)n1 ZINC000673333851 1073204695 /nfs/dbraw/zinc/20/46/95/1073204695.db2.gz VDXCBOUAVHDZHK-AWEZNQCLSA-N 0 0 433.513 -0.398 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)NC(C)(C)C)CC3)C2=O)n(C)n1 ZINC000673333852 1073204676 /nfs/dbraw/zinc/20/46/76/1073204676.db2.gz VDXCBOUAVHDZHK-CQSZACIVSA-N 0 0 433.513 -0.398 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000673337719 1073205566 /nfs/dbraw/zinc/20/55/66/1073205566.db2.gz JETWSOJHRWIYMK-MOPGFXCFSA-N 0 0 448.520 -0.294 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000673337720 1073205560 /nfs/dbraw/zinc/20/55/60/1073205560.db2.gz JETWSOJHRWIYMK-OALUTQOASA-N 0 0 448.520 -0.294 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000673337721 1073205657 /nfs/dbraw/zinc/20/56/57/1073205657.db2.gz JETWSOJHRWIYMK-RBUKOAKNSA-N 0 0 448.520 -0.294 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000673337722 1073205804 /nfs/dbraw/zinc/20/58/04/1073205804.db2.gz JETWSOJHRWIYMK-RTBURBONSA-N 0 0 448.520 -0.294 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000673337808 1073205809 /nfs/dbraw/zinc/20/58/09/1073205809.db2.gz QAEMBQFVQZIIEL-ARFHVFGLSA-N 0 0 447.496 -0.902 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000673337809 1073205676 /nfs/dbraw/zinc/20/56/76/1073205676.db2.gz QAEMBQFVQZIIEL-BZUAXINKSA-N 0 0 447.496 -0.902 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000673337810 1073205549 /nfs/dbraw/zinc/20/55/49/1073205549.db2.gz QAEMBQFVQZIIEL-HRCADAONSA-N 0 0 447.496 -0.902 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000673337811 1073205628 /nfs/dbraw/zinc/20/56/28/1073205628.db2.gz QAEMBQFVQZIIEL-OWCLPIDISA-N 0 0 447.496 -0.902 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C(=O)c2cc(C)oc2C)CC1)C(=O)N1CCOCC1 ZINC000673338731 1073205572 /nfs/dbraw/zinc/20/55/72/1073205572.db2.gz REQQMUBSBZISAV-KRWDZBQOSA-N 0 0 434.493 -0.066 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C(=O)c2cc(C)oc2C)CC1)C(=O)N1CCOCC1 ZINC000673338733 1073205669 /nfs/dbraw/zinc/20/56/69/1073205669.db2.gz REQQMUBSBZISAV-QGZVFWFLSA-N 0 0 434.493 -0.066 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(=O)(=O)c3cccs3)C2)cn1 ZINC000673350448 1073206617 /nfs/dbraw/zinc/20/66/17/1073206617.db2.gz FGQSRMQLAVKRSF-GFCCVEGCSA-N 0 0 447.564 -0.271 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)C1 ZINC000673353344 1073207028 /nfs/dbraw/zinc/20/70/28/1073207028.db2.gz XJQPDYARYQGWQF-ABHNRTSZSA-N 0 0 435.569 -0.047 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CCC(C)CC3)CC2)C1 ZINC000673353345 1073207206 /nfs/dbraw/zinc/20/72/06/1073207206.db2.gz XJQPDYARYQGWQF-DAWZGUTISA-N 0 0 435.569 -0.047 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000673353766 1073207000 /nfs/dbraw/zinc/20/70/00/1073207000.db2.gz ZLIULAXAJUAALZ-CABCVRRESA-N 0 0 432.543 -0.988 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000673353767 1073207267 /nfs/dbraw/zinc/20/72/67/1073207267.db2.gz ZLIULAXAJUAALZ-GJZGRUSLSA-N 0 0 432.543 -0.988 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000673353768 1073207197 /nfs/dbraw/zinc/20/71/97/1073207197.db2.gz ZLIULAXAJUAALZ-HUUCEWRRSA-N 0 0 432.543 -0.988 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)C1 ZINC000673353769 1073207020 /nfs/dbraw/zinc/20/70/20/1073207020.db2.gz ZLIULAXAJUAALZ-LSDHHAIUSA-N 0 0 432.543 -0.988 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673354372 1073207111 /nfs/dbraw/zinc/20/71/11/1073207111.db2.gz CMXIJKLNKSGMPO-INIZCTEOSA-N 0 0 442.520 -0.264 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673354373 1073207272 /nfs/dbraw/zinc/20/72/72/1073207272.db2.gz CMXIJKLNKSGMPO-MRXNPFEDSA-N 0 0 442.520 -0.264 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)CC2)NC(=O)NC1=O ZINC000673354388 1073207650 /nfs/dbraw/zinc/20/76/50/1073207650.db2.gz FCHVXZRJPDTIPH-BTYIYWSLSA-N 0 0 433.509 -0.270 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)CC2)NC(=O)NC1=O ZINC000673354389 1073207537 /nfs/dbraw/zinc/20/75/37/1073207537.db2.gz FCHVXZRJPDTIPH-QVKFZJNVSA-N 0 0 433.509 -0.270 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)CC2)NC(=O)NC1=O ZINC000673354390 1073207576 /nfs/dbraw/zinc/20/75/76/1073207576.db2.gz FCHVXZRJPDTIPH-VFNWGFHPSA-N 0 0 433.509 -0.270 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)CC2)NC(=O)NC1=O ZINC000673354391 1073207550 /nfs/dbraw/zinc/20/75/50/1073207550.db2.gz FCHVXZRJPDTIPH-YCRPNKLZSA-N 0 0 433.509 -0.270 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673355414 1073207875 /nfs/dbraw/zinc/20/78/75/1073207875.db2.gz QHKPNGQOVMJNLZ-GOSISDBHSA-N 0 0 435.569 -0.094 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673355417 1073207820 /nfs/dbraw/zinc/20/78/20/1073207820.db2.gz QHKPNGQOVMJNLZ-SFHVURJKSA-N 0 0 435.569 -0.094 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673355772 1073207603 /nfs/dbraw/zinc/20/76/03/1073207603.db2.gz PXULMMCMEZFAQG-AEFFLSMTSA-N 0 0 435.569 -0.047 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673355774 1073207472 /nfs/dbraw/zinc/20/74/72/1073207472.db2.gz PXULMMCMEZFAQG-FUHWJXTLSA-N 0 0 435.569 -0.047 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673355776 1073207589 /nfs/dbraw/zinc/20/75/89/1073207589.db2.gz PXULMMCMEZFAQG-SJLPKXTDSA-N 0 0 435.569 -0.047 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673355778 1073207610 /nfs/dbraw/zinc/20/76/10/1073207610.db2.gz PXULMMCMEZFAQG-WMZOPIPTSA-N 0 0 435.569 -0.047 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)C1 ZINC000673355992 1073207596 /nfs/dbraw/zinc/20/75/96/1073207596.db2.gz PRGLVUNNHWORQN-BPQIPLTHSA-N 0 0 425.526 -0.234 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)C1 ZINC000673355994 1073207528 /nfs/dbraw/zinc/20/75/28/1073207528.db2.gz PRGLVUNNHWORQN-CGTJXYLNSA-N 0 0 425.526 -0.234 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)C1 ZINC000673355996 1073207630 /nfs/dbraw/zinc/20/76/30/1073207630.db2.gz PRGLVUNNHWORQN-KBAYOESNSA-N 0 0 425.526 -0.234 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)C1 ZINC000673355998 1073207447 /nfs/dbraw/zinc/20/74/47/1073207447.db2.gz PRGLVUNNHWORQN-RYQLBKOJSA-N 0 0 425.526 -0.234 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)C1=O ZINC000673356266 1073207429 /nfs/dbraw/zinc/20/74/29/1073207429.db2.gz VMNIDHKREPDHMC-DLBZAZTESA-N 0 0 444.536 -0.065 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)C1=O ZINC000673356270 1073207515 /nfs/dbraw/zinc/20/75/15/1073207515.db2.gz VMNIDHKREPDHMC-IAGOWNOFSA-N 0 0 444.536 -0.065 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)C1=O ZINC000673356273 1073207439 /nfs/dbraw/zinc/20/74/39/1073207439.db2.gz VMNIDHKREPDHMC-IRXDYDNUSA-N 0 0 444.536 -0.065 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)C1=O ZINC000673356277 1073207640 /nfs/dbraw/zinc/20/76/40/1073207640.db2.gz VMNIDHKREPDHMC-SJORKVTESA-N 0 0 444.536 -0.065 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)CC2)c1=O ZINC000673356489 1073207810 /nfs/dbraw/zinc/20/78/10/1073207810.db2.gz BGKIFRLDNSRVDH-INIZCTEOSA-N 0 0 430.509 -0.664 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)CC2)c1=O ZINC000673356491 1073207831 /nfs/dbraw/zinc/20/78/31/1073207831.db2.gz BGKIFRLDNSRVDH-MRXNPFEDSA-N 0 0 430.509 -0.664 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)CC2)n1 ZINC000673356859 1073207978 /nfs/dbraw/zinc/20/79/78/1073207978.db2.gz HDEWUSZWXBNJGH-INIZCTEOSA-N 0 0 448.524 -0.233 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)CC2)n1 ZINC000673356860 1073208063 /nfs/dbraw/zinc/20/80/63/1073208063.db2.gz HDEWUSZWXBNJGH-MRXNPFEDSA-N 0 0 448.524 -0.233 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)CC2)CC1 ZINC000673359915 1073207562 /nfs/dbraw/zinc/20/75/62/1073207562.db2.gz LCDBDVLASYFQBQ-IBGZPJMESA-N 0 0 447.580 -0.094 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)CC2)CC1 ZINC000673359916 1073207483 /nfs/dbraw/zinc/20/74/83/1073207483.db2.gz LCDBDVLASYFQBQ-LJQANCHMSA-N 0 0 447.580 -0.094 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673360163 1073207281 /nfs/dbraw/zinc/20/72/81/1073207281.db2.gz PPXISZBHZLBGPX-CRAIPNDOSA-N 0 0 433.553 -0.295 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673360164 1073207242 /nfs/dbraw/zinc/20/72/42/1073207242.db2.gz PPXISZBHZLBGPX-MAUKXSAKSA-N 0 0 433.553 -0.295 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673360165 1073207459 /nfs/dbraw/zinc/20/74/59/1073207459.db2.gz PPXISZBHZLBGPX-QAPCUYQASA-N 0 0 433.553 -0.295 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000673360166 1073207506 /nfs/dbraw/zinc/20/75/06/1073207506.db2.gz PPXISZBHZLBGPX-YJBOKZPZSA-N 0 0 433.553 -0.295 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)CC2)nc1 ZINC000673360396 1073208469 /nfs/dbraw/zinc/20/84/69/1073208469.db2.gz UCSMTCIFKRHEPH-KRWDZBQOSA-N 0 0 442.520 -0.264 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)CC2)nc1 ZINC000673360397 1073208458 /nfs/dbraw/zinc/20/84/58/1073208458.db2.gz UCSMTCIFKRHEPH-QGZVFWFLSA-N 0 0 442.520 -0.264 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)C1 ZINC000673360540 1073208278 /nfs/dbraw/zinc/20/82/78/1073208278.db2.gz VRZIPNRIMCHVST-GDBMZVCRSA-N 0 0 428.555 -0.319 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)C1 ZINC000673360542 1073208379 /nfs/dbraw/zinc/20/83/79/1073208379.db2.gz VRZIPNRIMCHVST-GOEBONIOSA-N 0 0 428.555 -0.319 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)C1 ZINC000673360543 1073208315 /nfs/dbraw/zinc/20/83/15/1073208315.db2.gz VRZIPNRIMCHVST-HOCLYGCPSA-N 0 0 428.555 -0.319 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)C1 ZINC000673360544 1073208450 /nfs/dbraw/zinc/20/84/50/1073208450.db2.gz VRZIPNRIMCHVST-ZBFHGGJFSA-N 0 0 428.555 -0.319 20 0 IBADRN O=C(N[C@H]1CCN(C(=O)C2CCCC2)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000673360800 1073208033 /nfs/dbraw/zinc/20/80/33/1073208033.db2.gz ZSIZDARJGCEOOD-DLBZAZTESA-N 0 0 440.566 -0.080 20 0 IBADRN O=C(N[C@@H]1CCN(C(=O)C2CCCC2)C1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000673360801 1073208443 /nfs/dbraw/zinc/20/84/43/1073208443.db2.gz ZSIZDARJGCEOOD-IAGOWNOFSA-N 0 0 440.566 -0.080 20 0 IBADRN O=C(N[C@H]1CCN(C(=O)C2CCCC2)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000673360802 1073208332 /nfs/dbraw/zinc/20/83/32/1073208332.db2.gz ZSIZDARJGCEOOD-IRXDYDNUSA-N 0 0 440.566 -0.080 20 0 IBADRN O=C(N[C@@H]1CCN(C(=O)C2CCCC2)C1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000673360803 1073208431 /nfs/dbraw/zinc/20/84/31/1073208431.db2.gz ZSIZDARJGCEOOD-SJORKVTESA-N 0 0 440.566 -0.080 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)CC1)N1CCCC1 ZINC000673360871 1073207947 /nfs/dbraw/zinc/20/79/47/1073207947.db2.gz UJTLNWFDVFWEGY-GOSISDBHSA-N 0 0 433.553 -0.340 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)CC1)N1CCCC1 ZINC000673360872 1073207856 /nfs/dbraw/zinc/20/78/56/1073207856.db2.gz UJTLNWFDVFWEGY-SFHVURJKSA-N 0 0 433.553 -0.340 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000673363169 1073208307 /nfs/dbraw/zinc/20/83/07/1073208307.db2.gz JGFQFMSYKOLMLL-GOSISDBHSA-N 0 0 430.505 -0.347 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)CC1 ZINC000673363170 1073208356 /nfs/dbraw/zinc/20/83/56/1073208356.db2.gz JGFQFMSYKOLMLL-SFHVURJKSA-N 0 0 430.505 -0.347 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(C(=O)CCc3ccccc3)C2)C1 ZINC000673363762 1073208059 /nfs/dbraw/zinc/20/80/59/1073208059.db2.gz UBDVJJMGUZIQKR-KRWDZBQOSA-N 0 0 436.534 -0.656 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(C(=O)CCc3ccccc3)C2)C1 ZINC000673363766 1073207922 /nfs/dbraw/zinc/20/79/22/1073207922.db2.gz UBDVJJMGUZIQKR-QGZVFWFLSA-N 0 0 436.534 -0.656 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@H]2CCN(S(=O)(=O)c3ccccc3)C2)cn1 ZINC000673395839 1073209697 /nfs/dbraw/zinc/20/96/97/1073209697.db2.gz CURYWVLRXMUXKA-ZDUSSCGKSA-N 0 0 427.508 -0.722 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCc2cc(Cl)ccc2N2CCOCC2)C1 ZINC000673397448 1073209233 /nfs/dbraw/zinc/20/92/33/1073209233.db2.gz TUBVZBJGRWXQNE-UHFFFAOYSA-N 0 0 444.941 -0.200 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2)CC1 ZINC000673397799 1073209648 /nfs/dbraw/zinc/20/96/48/1073209648.db2.gz NNGRLFUVPJTDSM-INIZCTEOSA-N 0 0 445.495 -0.140 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2)CC1 ZINC000673397800 1073209791 /nfs/dbraw/zinc/20/97/91/1073209791.db2.gz NNGRLFUVPJTDSM-MRXNPFEDSA-N 0 0 445.495 -0.140 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000673405297 1073210206 /nfs/dbraw/zinc/21/02/06/1073210206.db2.gz GPVRRHJPKFYOOI-UHFFFAOYSA-N 0 0 431.472 -0.373 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000673405897 1073209719 /nfs/dbraw/zinc/20/97/19/1073209719.db2.gz BZLURJPOZVMVFI-CYBMUJFWSA-N 0 0 449.533 -0.125 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000673405898 1073209809 /nfs/dbraw/zinc/20/98/09/1073209809.db2.gz BZLURJPOZVMVFI-ZDUSSCGKSA-N 0 0 449.533 -0.125 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000673407249 1073209751 /nfs/dbraw/zinc/20/97/51/1073209751.db2.gz CENLEFPAOWPXMB-AWEZNQCLSA-N 0 0 425.573 -0.349 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000673407250 1073210082 /nfs/dbraw/zinc/21/00/82/1073210082.db2.gz CENLEFPAOWPXMB-CQSZACIVSA-N 0 0 425.573 -0.349 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cn1C ZINC000673407260 1073210175 /nfs/dbraw/zinc/21/01/75/1073210175.db2.gz DORNDPUCLPVGTQ-AWEZNQCLSA-N 0 0 447.583 -0.283 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cn1C ZINC000673407261 1073210123 /nfs/dbraw/zinc/21/01/23/1073210123.db2.gz DORNDPUCLPVGTQ-CQSZACIVSA-N 0 0 447.583 -0.283 20 0 IBADRN COCCC(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000673408851 1073210185 /nfs/dbraw/zinc/21/01/85/1073210185.db2.gz WYKCDVWQXNXRFA-UHFFFAOYSA-N 0 0 444.510 -0.610 20 0 IBADRN NC(=O)C[C@H]1CCN(C(=O)CN(CC(=O)N2CC[C@@H](CC(N)=O)C2)Cc2ccccc2)C1 ZINC000673411390 1073210133 /nfs/dbraw/zinc/21/01/33/1073210133.db2.gz URJKHXKMEVBBMP-KDURUIRLSA-N 0 0 443.548 -0.064 20 0 IBADRN NC(=O)C[C@@H]1CCN(C(=O)CN(CC(=O)N2CC[C@@H](CC(N)=O)C2)Cc2ccccc2)C1 ZINC000673411396 1073210199 /nfs/dbraw/zinc/21/01/99/1073210199.db2.gz URJKHXKMEVBBMP-OALUTQOASA-N 0 0 443.548 -0.064 20 0 IBADRN NC(=O)C[C@H]1CCN(C(=O)CN(CC(=O)N2CC[C@H](CC(N)=O)C2)Cc2ccccc2)C1 ZINC000673411398 1073210202 /nfs/dbraw/zinc/21/02/02/1073210202.db2.gz URJKHXKMEVBBMP-RTBURBONSA-N 0 0 443.548 -0.064 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@H](CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000673413719 1073210164 /nfs/dbraw/zinc/21/01/64/1073210164.db2.gz BLLHDTTUWKJOAT-HNNXBMFYSA-N 0 0 425.507 -0.018 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@H](CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000673413721 1073210180 /nfs/dbraw/zinc/21/01/80/1073210180.db2.gz BLLHDTTUWKJOAT-OAHLLOKOSA-N 0 0 425.507 -0.018 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H]3CCN(c4ncccc4F)C3)CC2)n1 ZINC000673417036 1073210154 /nfs/dbraw/zinc/21/01/54/1073210154.db2.gz COVTXQTVBUYKCT-AWEZNQCLSA-N 0 0 447.471 -0.211 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H]3CCN(c4ncccc4F)C3)CC2)n1 ZINC000673417037 1073210192 /nfs/dbraw/zinc/21/01/92/1073210192.db2.gz COVTXQTVBUYKCT-CQSZACIVSA-N 0 0 447.471 -0.211 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)C1 ZINC000673418342 1073210472 /nfs/dbraw/zinc/21/04/72/1073210472.db2.gz WIGIZZKLTSKQQI-KBPBESRZSA-N 0 0 427.502 -0.297 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)C1 ZINC000673418343 1073210534 /nfs/dbraw/zinc/21/05/34/1073210534.db2.gz WIGIZZKLTSKQQI-KGLIPLIRSA-N 0 0 427.502 -0.297 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)C1 ZINC000673418344 1073210545 /nfs/dbraw/zinc/21/05/45/1073210545.db2.gz WIGIZZKLTSKQQI-UONOGXRCSA-N 0 0 427.502 -0.297 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)C1 ZINC000673418345 1073210884 /nfs/dbraw/zinc/21/08/84/1073210884.db2.gz WIGIZZKLTSKQQI-ZIAGYGMSSA-N 0 0 427.502 -0.297 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nccn(C(F)F)c3=O)CC2)cc1 ZINC000673424067 1073211262 /nfs/dbraw/zinc/21/12/62/1073211262.db2.gz HIZRHSYNFIFSAV-UHFFFAOYSA-N 0 0 449.461 -0.203 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1COCCN1CC(F)F)[C@H](C)NC(=O)[C@@H]1COCCN1CC(F)F ZINC000673426015 1073211311 /nfs/dbraw/zinc/21/13/11/1073211311.db2.gz XZHMFGKJSAUTLI-CRWXNKLISA-N 0 0 442.454 -0.072 20 0 IBADRN C[C@@H](NC(=O)[C@H]1COCCN1CC(F)F)[C@H](C)NC(=O)[C@@H]1COCCN1CC(F)F ZINC000673426016 1073211232 /nfs/dbraw/zinc/21/12/32/1073211232.db2.gz XZHMFGKJSAUTLI-KPWCQOOUSA-N 0 0 442.454 -0.072 20 0 IBADRN C[C@H](NC(=O)[C@H]1COCCN1CC(F)F)[C@H](C)NC(=O)[C@@H]1COCCN1CC(F)F ZINC000673426017 1073211316 /nfs/dbraw/zinc/21/13/16/1073211316.db2.gz XZHMFGKJSAUTLI-XDQVBPFNSA-N 0 0 442.454 -0.072 20 0 IBADRN C[C@H](NC(=O)[C@@H]1COCCN1CC(F)F)[C@H](C)NC(=O)[C@@H]1COCCN1CC(F)F ZINC000673426018 1073211744 /nfs/dbraw/zinc/21/17/44/1073211744.db2.gz XZHMFGKJSAUTLI-XUXIUFHCSA-N 0 0 442.454 -0.072 20 0 IBADRN Cc1nc(NC(=O)CCC(=O)N2CCN(c3ccccn3)CC2)c(C(=O)NCCO)n1C ZINC000673426312 1073211803 /nfs/dbraw/zinc/21/18/03/1073211803.db2.gz BMFDFJCXFLADIS-UHFFFAOYSA-N 0 0 443.508 -0.087 20 0 IBADRN Cc1nc(NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c(C(=O)NCCO)n1C ZINC000673426727 1073211730 /nfs/dbraw/zinc/21/17/30/1073211730.db2.gz ZFAYSKANBGIKJR-GOSISDBHSA-N 0 0 436.469 -0.995 20 0 IBADRN Cc1nc(NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)c(C(=O)NCCO)n1C ZINC000673426728 1073211846 /nfs/dbraw/zinc/21/18/46/1073211846.db2.gz ZFAYSKANBGIKJR-SFHVURJKSA-N 0 0 436.469 -0.995 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)O1)N1CCOCC1 ZINC000673435657 1073212639 /nfs/dbraw/zinc/21/26/39/1073212639.db2.gz JVZWXVORJGYHIA-HZMVEIRTSA-N 0 0 430.523 -0.413 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)O1)N1CCOCC1 ZINC000673435658 1073212682 /nfs/dbraw/zinc/21/26/82/1073212682.db2.gz JVZWXVORJGYHIA-NXOAAHMSSA-N 0 0 430.523 -0.413 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)O1)N1CCOCC1 ZINC000673435659 1073212664 /nfs/dbraw/zinc/21/26/64/1073212664.db2.gz JVZWXVORJGYHIA-TWMKSMIVSA-N 0 0 430.523 -0.413 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)O1)N1CCOCC1 ZINC000673435660 1073212673 /nfs/dbraw/zinc/21/26/73/1073212673.db2.gz JVZWXVORJGYHIA-VQHPVUNQSA-N 0 0 430.523 -0.413 20 0 IBADRN Cn1[nH]c(C2CCN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)nc1=N ZINC000673436546 1073212567 /nfs/dbraw/zinc/21/25/67/1073212567.db2.gz ONGDMPWVTMPUNH-CYBMUJFWSA-N 0 0 447.521 -0.366 20 0 IBADRN Cn1[nH]c(C2CCN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CC2)nc1=N ZINC000673436547 1073212623 /nfs/dbraw/zinc/21/26/23/1073212623.db2.gz ONGDMPWVTMPUNH-ZDUSSCGKSA-N 0 0 447.521 -0.366 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C1CCOCC1 ZINC000673438570 1073212561 /nfs/dbraw/zinc/21/25/61/1073212561.db2.gz WSLGYOXSEIKSOM-INIZCTEOSA-N 0 0 441.554 -0.117 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C1CCOCC1 ZINC000673438576 1073212606 /nfs/dbraw/zinc/21/26/06/1073212606.db2.gz WSLGYOXSEIKSOM-MRXNPFEDSA-N 0 0 441.554 -0.117 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)c1sccc1S(=O)(=O)N1CCSCC1 ZINC000673442059 1073213094 /nfs/dbraw/zinc/21/30/94/1073213094.db2.gz RRHFKJDOGISIJW-CYBMUJFWSA-N 0 0 435.593 -0.092 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)c1sccc1S(=O)(=O)N1CCSCC1 ZINC000673442061 1073212944 /nfs/dbraw/zinc/21/29/44/1073212944.db2.gz RRHFKJDOGISIJW-ZDUSSCGKSA-N 0 0 435.593 -0.092 20 0 IBADRN Cn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000673443321 1073213374 /nfs/dbraw/zinc/21/33/74/1073213374.db2.gz VLIOALCOKOFHKY-GOSISDBHSA-N 0 0 445.568 -0.338 20 0 IBADRN Cn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000673443322 1073213425 /nfs/dbraw/zinc/21/34/25/1073213425.db2.gz VLIOALCOKOFHKY-SFHVURJKSA-N 0 0 445.568 -0.338 20 0 IBADRN CS(=O)(=O)NCCN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CC1 ZINC000673444683 1073213452 /nfs/dbraw/zinc/21/34/52/1073213452.db2.gz CRCWRMDWTKBAMZ-GFCCVEGCSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)NCCN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CC1 ZINC000673444684 1073213448 /nfs/dbraw/zinc/21/34/48/1073213448.db2.gz CRCWRMDWTKBAMZ-LBPRGKRZSA-N 0 0 444.535 -0.773 20 0 IBADRN O=C(CS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)NCC(=O)N1CCCC1 ZINC000673451166 1073214199 /nfs/dbraw/zinc/21/41/99/1073214199.db2.gz YFMOGBKOGGTILA-UHFFFAOYSA-N 0 0 443.547 -0.017 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@@H]1c1ccc(F)c(F)c1 ZINC000673452309 1073214708 /nfs/dbraw/zinc/21/47/08/1073214708.db2.gz QRQYMEZENGXJQN-CVEARBPZSA-N 0 0 430.477 -0.166 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@@H]1c1ccc(F)c(F)c1 ZINC000673452313 1073214765 /nfs/dbraw/zinc/21/47/65/1073214765.db2.gz QRQYMEZENGXJQN-HOTGVXAUSA-N 0 0 430.477 -0.166 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@H]1c1ccc(F)c(F)c1 ZINC000673452315 1073214667 /nfs/dbraw/zinc/21/46/67/1073214667.db2.gz QRQYMEZENGXJQN-HZPDHXFCSA-N 0 0 430.477 -0.166 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@H]1c1ccc(F)c(F)c1 ZINC000673452316 1073214778 /nfs/dbraw/zinc/21/47/78/1073214778.db2.gz QRQYMEZENGXJQN-JKSUJKDBSA-N 0 0 430.477 -0.166 20 0 IBADRN CC(C)C[C@H]1C[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCO1 ZINC000673463497 1073215218 /nfs/dbraw/zinc/21/52/18/1073215218.db2.gz YKTWSOPQGZIJSC-CABCVRRESA-N 0 0 436.513 -0.815 20 0 IBADRN CC(C)C[C@H]1C[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCO1 ZINC000673463498 1073215223 /nfs/dbraw/zinc/21/52/23/1073215223.db2.gz YKTWSOPQGZIJSC-GJZGRUSLSA-N 0 0 436.513 -0.815 20 0 IBADRN CC(C)C[C@@H]1C[C@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCO1 ZINC000673463499 1073215090 /nfs/dbraw/zinc/21/50/90/1073215090.db2.gz YKTWSOPQGZIJSC-HUUCEWRRSA-N 0 0 436.513 -0.815 20 0 IBADRN CC(C)C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCO1 ZINC000673463500 1073215280 /nfs/dbraw/zinc/21/52/80/1073215280.db2.gz YKTWSOPQGZIJSC-LSDHHAIUSA-N 0 0 436.513 -0.815 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCO[C@H](C(C)(C)C)C1)C2 ZINC000673468746 1073215690 /nfs/dbraw/zinc/21/56/90/1073215690.db2.gz RXILYDFPTCVYNW-KBPBESRZSA-N 0 0 436.513 -0.815 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCO[C@H](C(C)(C)C)C1)C2 ZINC000673468747 1073215573 /nfs/dbraw/zinc/21/55/73/1073215573.db2.gz RXILYDFPTCVYNW-KGLIPLIRSA-N 0 0 436.513 -0.815 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCO[C@@H](C(C)(C)C)C1)C2 ZINC000673468748 1073215628 /nfs/dbraw/zinc/21/56/28/1073215628.db2.gz RXILYDFPTCVYNW-UONOGXRCSA-N 0 0 436.513 -0.815 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCO[C@@H](C(C)(C)C)C1)C2 ZINC000673468749 1073215585 /nfs/dbraw/zinc/21/55/85/1073215585.db2.gz RXILYDFPTCVYNW-ZIAGYGMSSA-N 0 0 436.513 -0.815 20 0 IBADRN CC(C)[C@@H]1C[C@@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CCO1 ZINC000673472243 1073215648 /nfs/dbraw/zinc/21/56/48/1073215648.db2.gz YOEABYLYIQKFBO-BBWFWOEESA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CCO1 ZINC000673472248 1073215532 /nfs/dbraw/zinc/21/55/32/1073215532.db2.gz YOEABYLYIQKFBO-IKGGRYGDSA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)[C@@H]1C[C@@H](NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CCO1 ZINC000673472249 1073215614 /nfs/dbraw/zinc/21/56/14/1073215614.db2.gz YOEABYLYIQKFBO-ULQDDVLXSA-N 0 0 431.555 -0.041 20 0 IBADRN CC(C)[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CCO1 ZINC000673472252 1073215610 /nfs/dbraw/zinc/21/56/10/1073215610.db2.gz YOEABYLYIQKFBO-ZACQAIPSSA-N 0 0 431.555 -0.041 20 0 IBADRN Cc1ccc(C(=O)NCCNS(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000673481951 1073216502 /nfs/dbraw/zinc/21/65/02/1073216502.db2.gz DOCWBGGGIDKBEY-UHFFFAOYSA-N 0 0 434.540 -0.858 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)Cc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000673481993 1073216435 /nfs/dbraw/zinc/21/64/35/1073216435.db2.gz QJRDENVHSOKRLA-UHFFFAOYSA-N 0 0 432.568 -0.084 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCCC1 ZINC000673482464 1073216463 /nfs/dbraw/zinc/21/64/63/1073216463.db2.gz ASIDUIOMJZXTPW-UHFFFAOYSA-N 0 0 447.583 -0.337 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1CCOC3(CCCC3)C1)C2 ZINC000673482622 1073216415 /nfs/dbraw/zinc/21/64/15/1073216415.db2.gz YAFPTZWNKPNSQO-AWEZNQCLSA-N 0 0 434.497 -0.917 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1CCOC3(CCCC3)C1)C2 ZINC000673482623 1073216553 /nfs/dbraw/zinc/21/65/53/1073216553.db2.gz YAFPTZWNKPNSQO-CQSZACIVSA-N 0 0 434.497 -0.917 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCO[C@]4(CCOC4)C3)CC2)no1 ZINC000673482674 1073216428 /nfs/dbraw/zinc/21/64/28/1073216428.db2.gz LOUUNUDTUPGAST-FOIQADDNSA-N 0 0 435.481 -0.480 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCO[C@]4(CCOC4)C3)CC2)no1 ZINC000673482675 1073216586 /nfs/dbraw/zinc/21/65/86/1073216586.db2.gz LOUUNUDTUPGAST-MGPUTAFESA-N 0 0 435.481 -0.480 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@@H]3CCO[C@@]4(CCOC4)C3)CC2)no1 ZINC000673482676 1073216456 /nfs/dbraw/zinc/21/64/56/1073216456.db2.gz LOUUNUDTUPGAST-QRWLVFNGSA-N 0 0 435.481 -0.480 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N[C@H]3CCO[C@@]4(CCOC4)C3)CC2)no1 ZINC000673482677 1073216366 /nfs/dbraw/zinc/21/63/66/1073216366.db2.gz LOUUNUDTUPGAST-YWZLYKJASA-N 0 0 435.481 -0.480 20 0 IBADRN CCn1c2nc(C(C)C)cc(C(=O)NCCOCCS(N)(=O)=O)c2c(=O)[nH]c1=O ZINC000673488587 1073217047 /nfs/dbraw/zinc/21/70/47/1073217047.db2.gz UORUCKGSXKJKSO-UHFFFAOYSA-N 0 0 427.483 -0.325 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Cc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000673488615 1073216943 /nfs/dbraw/zinc/21/69/43/1073216943.db2.gz CJFVCIASGBIJOK-UHFFFAOYSA-N 0 0 433.552 -0.175 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Cc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000673488743 1073216867 /nfs/dbraw/zinc/21/68/67/1073216867.db2.gz GRNRDYQQWMRKHL-UHFFFAOYSA-N 0 0 439.581 -0.114 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCOC3(CCCCC3)C2)CC1 ZINC000673488759 1073216808 /nfs/dbraw/zinc/21/68/08/1073216808.db2.gz LMTNSQWQBYTHOM-GOSISDBHSA-N 0 0 436.553 -0.013 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCOC3(CCCCC3)C2)CC1 ZINC000673488760 1073216835 /nfs/dbraw/zinc/21/68/35/1073216835.db2.gz LMTNSQWQBYTHOM-SFHVURJKSA-N 0 0 436.553 -0.013 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCSC3)CC2)o1 ZINC000673497499 1073217362 /nfs/dbraw/zinc/21/73/62/1073217362.db2.gz KGQUWPXICCCDRE-LLVKDONJSA-N 0 0 430.508 -0.919 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCSC3)CC2)o1 ZINC000673497500 1073217175 /nfs/dbraw/zinc/21/71/75/1073217175.db2.gz KGQUWPXICCCDRE-NSHDSACASA-N 0 0 430.508 -0.919 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4nccn4C)C3=O)CC2)on1 ZINC000673501113 1073217633 /nfs/dbraw/zinc/21/76/33/1073217633.db2.gz GZRUYLKTPFUHEJ-KRWDZBQOSA-N 0 0 443.508 -0.332 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4nccn4C)C3=O)CC2)on1 ZINC000673501114 1073217823 /nfs/dbraw/zinc/21/78/23/1073217823.db2.gz GZRUYLKTPFUHEJ-QGZVFWFLSA-N 0 0 443.508 -0.332 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N(CCCO)Cc2ccccn2)C1=O ZINC000673501218 1073217784 /nfs/dbraw/zinc/21/77/84/1073217784.db2.gz KQYPXVNAXLLNOW-KRWDZBQOSA-N 0 0 428.493 -0.167 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N(CCCO)Cc2ccccn2)C1=O ZINC000673501224 1073217802 /nfs/dbraw/zinc/21/78/02/1073217802.db2.gz KQYPXVNAXLLNOW-QGZVFWFLSA-N 0 0 428.493 -0.167 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C[C@@]2(CCOC2)O1 ZINC000673501229 1073217606 /nfs/dbraw/zinc/21/76/06/1073217606.db2.gz MMPIGURYEOSVOG-VWKPWSFCSA-N 0 0 433.509 -0.176 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C[C@@]2(CCOC2)O1 ZINC000673501230 1073217676 /nfs/dbraw/zinc/21/76/76/1073217676.db2.gz MMPIGURYEOSVOG-WHSLLNHNSA-N 0 0 433.509 -0.176 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C[C@]2(CCOC2)O1 ZINC000673501231 1073217714 /nfs/dbraw/zinc/21/77/14/1073217714.db2.gz MMPIGURYEOSVOG-XFQAVAEZSA-N 0 0 433.509 -0.176 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C[C@]2(CCOC2)O1 ZINC000673501232 1073217754 /nfs/dbraw/zinc/21/77/54/1073217754.db2.gz MMPIGURYEOSVOG-ZOCZFRKYSA-N 0 0 433.509 -0.176 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000673501269 1073217691 /nfs/dbraw/zinc/21/76/91/1073217691.db2.gz SXCXAWLMLIMHCR-INIZCTEOSA-N 0 0 440.508 -0.525 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000673501270 1073217792 /nfs/dbraw/zinc/21/77/92/1073217792.db2.gz SXCXAWLMLIMHCR-MRXNPFEDSA-N 0 0 440.508 -0.525 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)C1=O ZINC000673501391 1073217775 /nfs/dbraw/zinc/21/77/75/1073217775.db2.gz UQHWACNIVPDLLY-HNNXBMFYSA-N 0 0 447.540 -0.320 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)C1=O ZINC000673501393 1073217734 /nfs/dbraw/zinc/21/77/34/1073217734.db2.gz UQHWACNIVPDLLY-OAHLLOKOSA-N 0 0 447.540 -0.320 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)C1=O ZINC000673501415 1073217425 /nfs/dbraw/zinc/21/74/25/1073217425.db2.gz IXFHXJWEFXGOCH-DLBZAZTESA-N 0 0 432.525 -0.650 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)C1=O ZINC000673501420 1073217200 /nfs/dbraw/zinc/21/72/00/1073217200.db2.gz IXFHXJWEFXGOCH-IAGOWNOFSA-N 0 0 432.525 -0.650 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)C1=O ZINC000673501422 1073217389 /nfs/dbraw/zinc/21/73/89/1073217389.db2.gz IXFHXJWEFXGOCH-IRXDYDNUSA-N 0 0 432.525 -0.650 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)C1=O ZINC000673501423 1073217810 /nfs/dbraw/zinc/21/78/10/1073217810.db2.gz IXFHXJWEFXGOCH-SJORKVTESA-N 0 0 432.525 -0.650 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(c3ccccc3)C(=O)C2)C1=O ZINC000673501762 1073218675 /nfs/dbraw/zinc/21/86/75/1073218675.db2.gz JCKINBGYUAEGBB-KRWDZBQOSA-N 0 0 438.488 -0.097 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ccccc3)C(=O)C2)C1=O ZINC000673501764 1073218710 /nfs/dbraw/zinc/21/87/10/1073218710.db2.gz JCKINBGYUAEGBB-QGZVFWFLSA-N 0 0 438.488 -0.097 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)C1=O ZINC000673501881 1073218444 /nfs/dbraw/zinc/21/84/44/1073218444.db2.gz RCTUOQRXRXKYKS-INIZCTEOSA-N 0 0 443.483 -0.090 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)C1=O ZINC000673501886 1073218609 /nfs/dbraw/zinc/21/86/09/1073218609.db2.gz RCTUOQRXRXKYKS-MRXNPFEDSA-N 0 0 443.483 -0.090 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)C1=O ZINC000673502040 1073218096 /nfs/dbraw/zinc/21/80/96/1073218096.db2.gz LGSYIPJAIBWHLQ-DLBZAZTESA-N 0 0 433.509 -0.319 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)C1=O ZINC000673502043 1073217941 /nfs/dbraw/zinc/21/79/41/1073217941.db2.gz LGSYIPJAIBWHLQ-IAGOWNOFSA-N 0 0 433.509 -0.319 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCO[C@H](COCC3CC3)C2)C1=O ZINC000673502045 1073217966 /nfs/dbraw/zinc/21/79/66/1073217966.db2.gz LGSYIPJAIBWHLQ-IRXDYDNUSA-N 0 0 433.509 -0.319 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)N2CCO[C@@H](COCC3CC3)C2)C1=O ZINC000673502046 1073218181 /nfs/dbraw/zinc/21/81/81/1073218181.db2.gz LGSYIPJAIBWHLQ-SJORKVTESA-N 0 0 433.509 -0.319 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C[C@H]1c1ncc[nH]1 ZINC000673502850 1073218647 /nfs/dbraw/zinc/21/86/47/1073218647.db2.gz CIWHSZLWAVGZFI-CVEARBPZSA-N 0 0 442.524 -0.344 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C[C@H]1c1ncc[nH]1 ZINC000673502851 1073218718 /nfs/dbraw/zinc/21/87/18/1073218718.db2.gz CIWHSZLWAVGZFI-HOTGVXAUSA-N 0 0 442.524 -0.344 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000673502852 1073218723 /nfs/dbraw/zinc/21/87/23/1073218723.db2.gz CIWHSZLWAVGZFI-HZPDHXFCSA-N 0 0 442.524 -0.344 20 0 IBADRN CCN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C[C@@H]1c1ncc[nH]1 ZINC000673502853 1073218479 /nfs/dbraw/zinc/21/84/79/1073218479.db2.gz CIWHSZLWAVGZFI-JKSUJKDBSA-N 0 0 442.524 -0.344 20 0 IBADRN CN1CCO[C@H](C(=O)Nc2ccc(CCN(CCO)C(=O)[C@@H]3CN(C)CCO3)cc2)C1 ZINC000673506313 1073217744 /nfs/dbraw/zinc/21/77/44/1073217744.db2.gz JSIGZMQHSICDKI-PMACEKPBSA-N 0 0 434.537 -0.350 20 0 IBADRN CN1CCO[C@@H](C(=O)Nc2ccc(CCN(CCO)C(=O)[C@@H]3CN(C)CCO3)cc2)C1 ZINC000673506314 1073218108 /nfs/dbraw/zinc/21/81/08/1073218108.db2.gz JSIGZMQHSICDKI-UXHICEINSA-N 0 0 434.537 -0.350 20 0 IBADRN CN1CCO[C@@H](C(=O)N(CCO)CCc2ccc(NC(=O)[C@@H]3CN(C)CCO3)cc2)C1 ZINC000673506315 1073218188 /nfs/dbraw/zinc/21/81/88/1073218188.db2.gz JSIGZMQHSICDKI-VQTJNVASSA-N 0 0 434.537 -0.350 20 0 IBADRN CN1CCO[C@@H](C(=O)Nc2ccc(CCN(CCO)C(=O)[C@H]3CN(C)CCO3)cc2)C1 ZINC000673506316 1073218215 /nfs/dbraw/zinc/21/82/15/1073218215.db2.gz JSIGZMQHSICDKI-WOJBJXKFSA-N 0 0 434.537 -0.350 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(CCN(CCO)C(=O)CN2CCOCC2)cc1 ZINC000673506794 1073219005 /nfs/dbraw/zinc/21/90/05/1073219005.db2.gz YLZWRSWXAOAXPH-UHFFFAOYSA-N 0 0 434.537 -0.347 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000673507401 1073218985 /nfs/dbraw/zinc/21/89/85/1073218985.db2.gz KWARLPBHFKHQPC-AWEZNQCLSA-N 0 0 426.470 -0.459 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)CC1 ZINC000673507402 1073219073 /nfs/dbraw/zinc/21/90/73/1073219073.db2.gz KWARLPBHFKHQPC-CQSZACIVSA-N 0 0 426.470 -0.459 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000673509866 1073219098 /nfs/dbraw/zinc/21/90/98/1073219098.db2.gz OENIJIZYGFGQSE-AWEZNQCLSA-N 0 0 426.470 -0.555 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000673509867 1073218904 /nfs/dbraw/zinc/21/89/04/1073218904.db2.gz OENIJIZYGFGQSE-CQSZACIVSA-N 0 0 426.470 -0.555 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000673514030 1073219103 /nfs/dbraw/zinc/21/91/03/1073219103.db2.gz VCUCZQBATIVGSQ-CYBMUJFWSA-N 0 0 427.383 -0.121 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000673514031 1073219084 /nfs/dbraw/zinc/21/90/84/1073219084.db2.gz VCUCZQBATIVGSQ-ZDUSSCGKSA-N 0 0 427.383 -0.121 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000673517038 1073219078 /nfs/dbraw/zinc/21/90/78/1073219078.db2.gz FALUCRKORJHKKU-KRWDZBQOSA-N 0 0 441.467 -0.033 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000673517041 1073219067 /nfs/dbraw/zinc/21/90/67/1073219067.db2.gz FALUCRKORJHKKU-QGZVFWFLSA-N 0 0 441.467 -0.033 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C2(S(=O)(=O)c3ccccc3)CCOCC2)CC1 ZINC000673523773 1073219388 /nfs/dbraw/zinc/21/93/88/1073219388.db2.gz MUONUECERWXBGB-UHFFFAOYSA-N 0 0 445.563 -0.040 20 0 IBADRN O=C(CN1CSCC1=O)NCC1(CNC(=O)CN2CSCC2=O)CCOCC1 ZINC000673525570 1073219855 /nfs/dbraw/zinc/21/98/55/1073219855.db2.gz IYFQYOPAMOKSTC-UHFFFAOYSA-N 0 0 430.552 -0.919 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(C2CCCCC2)C1=O ZINC000673526421 1073219560 /nfs/dbraw/zinc/21/95/60/1073219560.db2.gz JGOPXYANJZHQLE-CVEARBPZSA-N 0 0 428.555 -0.034 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(C2CCCCC2)C1=O ZINC000673526430 1073219306 /nfs/dbraw/zinc/21/93/06/1073219306.db2.gz JGOPXYANJZHQLE-HOTGVXAUSA-N 0 0 428.555 -0.034 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(C2CCCCC2)C1=O ZINC000673526433 1073219841 /nfs/dbraw/zinc/21/98/41/1073219841.db2.gz JGOPXYANJZHQLE-HZPDHXFCSA-N 0 0 428.555 -0.034 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(C2CCCCC2)C1=O ZINC000673526435 1073219831 /nfs/dbraw/zinc/21/98/31/1073219831.db2.gz JGOPXYANJZHQLE-JKSUJKDBSA-N 0 0 428.555 -0.034 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000673527046 1073219916 /nfs/dbraw/zinc/21/99/16/1073219916.db2.gz PZKSZUFRMLIJIA-KRWDZBQOSA-N 0 0 425.493 -0.229 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000673527047 1073219941 /nfs/dbraw/zinc/21/99/41/1073219941.db2.gz PZKSZUFRMLIJIA-QGZVFWFLSA-N 0 0 425.493 -0.229 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000673527060 1073219953 /nfs/dbraw/zinc/21/99/53/1073219953.db2.gz ZSAHQULHKFEDKD-KRWDZBQOSA-N 0 0 431.493 -0.306 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)CC1 ZINC000673527061 1073219879 /nfs/dbraw/zinc/21/98/79/1073219879.db2.gz ZSAHQULHKFEDKD-QGZVFWFLSA-N 0 0 431.493 -0.306 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000673528316 1073219868 /nfs/dbraw/zinc/21/98/68/1073219868.db2.gz YIHZCBZEWJMIOX-INIZCTEOSA-N 0 0 427.440 -0.029 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000673528317 1073219890 /nfs/dbraw/zinc/21/98/90/1073219890.db2.gz YIHZCBZEWJMIOX-MRXNPFEDSA-N 0 0 427.440 -0.029 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000673534950 1073220956 /nfs/dbraw/zinc/22/09/56/1073220956.db2.gz GJKIAIDZLJJOMX-KBPBESRZSA-N 0 0 449.474 -0.285 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000673534951 1073220898 /nfs/dbraw/zinc/22/08/98/1073220898.db2.gz GJKIAIDZLJJOMX-KGLIPLIRSA-N 0 0 449.474 -0.285 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000673534952 1073221046 /nfs/dbraw/zinc/22/10/46/1073221046.db2.gz GJKIAIDZLJJOMX-UONOGXRCSA-N 0 0 449.474 -0.285 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000673534953 1073220997 /nfs/dbraw/zinc/22/09/97/1073220997.db2.gz GJKIAIDZLJJOMX-ZIAGYGMSSA-N 0 0 449.474 -0.285 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000673535082 1073220876 /nfs/dbraw/zinc/22/08/76/1073220876.db2.gz UYMHTOWOZLWMFE-CYBMUJFWSA-N 0 0 436.431 -0.343 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000673535083 1073220900 /nfs/dbraw/zinc/22/09/00/1073220900.db2.gz UYMHTOWOZLWMFE-ZDUSSCGKSA-N 0 0 436.431 -0.343 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000673535814 1073221277 /nfs/dbraw/zinc/22/12/77/1073221277.db2.gz JAUSKAULFQGWKY-GHMZBOCLSA-N 0 0 428.433 -0.804 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000673535824 1073221431 /nfs/dbraw/zinc/22/14/31/1073221431.db2.gz JAUSKAULFQGWKY-MNOVXSKESA-N 0 0 428.433 -0.804 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000673535826 1073221314 /nfs/dbraw/zinc/22/13/14/1073221314.db2.gz JAUSKAULFQGWKY-QWRGUYRKSA-N 0 0 428.433 -0.804 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000673535827 1073221407 /nfs/dbraw/zinc/22/14/07/1073221407.db2.gz JAUSKAULFQGWKY-WDEREUQCSA-N 0 0 428.433 -0.804 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)C[C@H]2CO)cn1 ZINC000673536019 1073221436 /nfs/dbraw/zinc/22/14/36/1073221436.db2.gz MQYYGIUCUZZJGU-IHRRRGAJSA-N 0 0 431.415 -0.376 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)C[C@H]2CO)cn1 ZINC000673536020 1073221319 /nfs/dbraw/zinc/22/13/19/1073221319.db2.gz MQYYGIUCUZZJGU-MELADBBJSA-N 0 0 431.415 -0.376 20 0 IBADRN CNC(=O)c1cc(O[C@H]2CCCN(C(=O)C3CCN(S(N)(=O)=O)CC3)C2)ccn1 ZINC000673536932 1073221893 /nfs/dbraw/zinc/22/18/93/1073221893.db2.gz ZSEKUAAIEAQJMG-HNNXBMFYSA-N 0 0 425.511 -0.274 20 0 IBADRN CNC(=O)c1cc(O[C@@H]2CCCN(C(=O)C3CCN(S(N)(=O)=O)CC3)C2)ccn1 ZINC000673536933 1073221815 /nfs/dbraw/zinc/22/18/15/1073221815.db2.gz ZSEKUAAIEAQJMG-OAHLLOKOSA-N 0 0 425.511 -0.274 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)CCO1 ZINC000673538351 1073221900 /nfs/dbraw/zinc/22/19/00/1073221900.db2.gz DSHCWWFWOCJRIM-CRAIPNDOSA-N 0 0 434.493 -0.632 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)CCO1 ZINC000673538352 1073221835 /nfs/dbraw/zinc/22/18/35/1073221835.db2.gz DSHCWWFWOCJRIM-MAUKXSAKSA-N 0 0 434.493 -0.632 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)CCO1 ZINC000673538353 1073221737 /nfs/dbraw/zinc/22/17/37/1073221737.db2.gz DSHCWWFWOCJRIM-QAPCUYQASA-N 0 0 434.493 -0.632 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(Cc3cc(OC)cc(OC)c3)C2)CCO1 ZINC000673538354 1073222276 /nfs/dbraw/zinc/22/22/76/1073222276.db2.gz DSHCWWFWOCJRIM-YJBOKZPZSA-N 0 0 434.493 -0.632 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000673538626 1073221752 /nfs/dbraw/zinc/22/17/52/1073221752.db2.gz KHZHABNNEXUJLZ-CVEARBPZSA-N 0 0 442.524 -0.026 20 0 IBADRN CCC(=O)N[C@H]1CCCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000673538627 1073221873 /nfs/dbraw/zinc/22/18/73/1073221873.db2.gz KHZHABNNEXUJLZ-HOTGVXAUSA-N 0 0 442.524 -0.026 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000673538628 1073221866 /nfs/dbraw/zinc/22/18/66/1073221866.db2.gz KHZHABNNEXUJLZ-HZPDHXFCSA-N 0 0 442.524 -0.026 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)C1 ZINC000673538629 1073221858 /nfs/dbraw/zinc/22/18/58/1073221858.db2.gz KHZHABNNEXUJLZ-JKSUJKDBSA-N 0 0 442.524 -0.026 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NC[C@@H]2CCCN(c3nccn4cnnc34)C2)CC1=O ZINC000673538960 1073222316 /nfs/dbraw/zinc/22/23/16/1073222316.db2.gz ZRAYAYMJKUZNDH-INIZCTEOSA-N 0 0 442.524 -0.216 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NC[C@H]2CCCN(c3nccn4cnnc34)C2)CC1=O ZINC000673538961 1073222416 /nfs/dbraw/zinc/22/24/16/1073222416.db2.gz ZRAYAYMJKUZNDH-MRXNPFEDSA-N 0 0 442.524 -0.216 20 0 IBADRN Cc1ccnc2nc(C(=O)NCCN3CCN(C(=O)c4nc5nccc(C)n5n4)CC3)nn21 ZINC000673539055 1073222244 /nfs/dbraw/zinc/22/22/44/1073222244.db2.gz BTOPLVCHEAWGTH-UHFFFAOYSA-N 0 0 449.479 -0.633 20 0 IBADRN Cc1nc(C(=O)NCCN2CCN(C(=O)c3nc(C)c(C)[nH]c3=O)CC2)c(=O)[nH]c1C ZINC000673539231 1073222385 /nfs/dbraw/zinc/22/23/85/1073222385.db2.gz KMXQQCDXTNNBRH-UHFFFAOYSA-N 0 0 429.481 -0.313 20 0 IBADRN O=C(NCCN1CCN(C(=O)[C@@H]2CCCCS2(=O)=O)CC1)[C@H]1CCCCS1(=O)=O ZINC000673539281 1073222381 /nfs/dbraw/zinc/22/23/81/1073222381.db2.gz NZNJOGUACHCWOP-CVEARBPZSA-N 0 0 449.595 -0.819 20 0 IBADRN O=C(NCCN1CCN(C(=O)[C@@H]2CCCCS2(=O)=O)CC1)[C@@H]1CCCCS1(=O)=O ZINC000673539289 1073222373 /nfs/dbraw/zinc/22/23/73/1073222373.db2.gz NZNJOGUACHCWOP-HOTGVXAUSA-N 0 0 449.595 -0.819 20 0 IBADRN O=C(NCCN1CCN(C(=O)[C@H]2CCCCS2(=O)=O)CC1)[C@H]1CCCCS1(=O)=O ZINC000673539291 1073222327 /nfs/dbraw/zinc/22/23/27/1073222327.db2.gz NZNJOGUACHCWOP-HZPDHXFCSA-N 0 0 449.595 -0.819 20 0 IBADRN O=C(NCCN1CCN(C(=O)[C@H]2CCCCS2(=O)=O)CC1)[C@@H]1CCCCS1(=O)=O ZINC000673539293 1073222421 /nfs/dbraw/zinc/22/24/21/1073222421.db2.gz NZNJOGUACHCWOP-JKSUJKDBSA-N 0 0 449.595 -0.819 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCCc2ccc(OCC(F)(F)F)nc2)C1 ZINC000673545271 1073223692 /nfs/dbraw/zinc/22/36/92/1073223692.db2.gz SDPNPRZHWOAZAB-UHFFFAOYSA-N 0 0 438.428 -0.311 20 0 IBADRN CN(CCn1cc(Br)cn1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000673546423 1073224089 /nfs/dbraw/zinc/22/40/89/1073224089.db2.gz SCGHJDLFGLSESP-UHFFFAOYSA-N 0 0 437.364 -0.136 20 0 IBADRN COCC[N@H+](C)CCN1C[C@@H](NC(=O)Cc2c(C)nc(-c3ncccn3)[n-]c2=O)CC1=O ZINC000673550011 1073224756 /nfs/dbraw/zinc/22/47/56/1073224756.db2.gz REMFCMUCMNICRA-HNNXBMFYSA-N 0 0 443.508 -0.215 20 0 IBADRN COCC[N@H+](C)CCN1C[C@H](NC(=O)Cc2c(C)nc(-c3ncccn3)[n-]c2=O)CC1=O ZINC000673550012 1073224822 /nfs/dbraw/zinc/22/48/22/1073224822.db2.gz REMFCMUCMNICRA-OAHLLOKOSA-N 0 0 443.508 -0.215 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000673558465 1073225821 /nfs/dbraw/zinc/22/58/21/1073225821.db2.gz LKKLDYQWNSHJHW-UHFFFAOYSA-N 0 0 432.568 -0.366 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000673561254 1073226166 /nfs/dbraw/zinc/22/61/66/1073226166.db2.gz QSQXJGSONKRQHR-CABCVRRESA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000673561255 1073226236 /nfs/dbraw/zinc/22/62/36/1073226236.db2.gz QSQXJGSONKRQHR-GJZGRUSLSA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000673561256 1073226129 /nfs/dbraw/zinc/22/61/29/1073226129.db2.gz QSQXJGSONKRQHR-HUUCEWRRSA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000673561257 1073226163 /nfs/dbraw/zinc/22/61/63/1073226163.db2.gz QSQXJGSONKRQHR-LSDHHAIUSA-N 0 0 441.558 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)N[C@@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000673570711 1073227538 /nfs/dbraw/zinc/22/75/38/1073227538.db2.gz QVHJRDNWHARTTQ-CKEIUWERSA-N 0 0 441.558 -0.348 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)N[C@@H]1CC(=O)N(C)[C@H]1c1ccnn1C ZINC000673570712 1073227977 /nfs/dbraw/zinc/22/79/77/1073227977.db2.gz QVHJRDNWHARTTQ-JJRVBVJISA-N 0 0 441.558 -0.348 20 0 IBADRN CC(C)c1csc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC000673572645 1073227966 /nfs/dbraw/zinc/22/79/66/1073227966.db2.gz QBFQZIQHJJZFGH-UHFFFAOYSA-N 0 0 437.522 -0.588 20 0 IBADRN Cc1cc(CS(=O)(=O)NCCN2CCN(S(=O)(=O)Cc3cc(C)on3)CC2)no1 ZINC000673573758 1073228422 /nfs/dbraw/zinc/22/84/22/1073228422.db2.gz JHDDDNRVLGUYRZ-UHFFFAOYSA-N 0 0 447.539 -0.154 20 0 IBADRN CN(CCS(=O)(=O)NCC(=O)Nc1ccn(C)n1)S(=O)(=O)c1ccc(F)cc1 ZINC000673574208 1073227922 /nfs/dbraw/zinc/22/79/22/1073227922.db2.gz RBFFLXZYXNYFCE-UHFFFAOYSA-N 0 0 433.487 -0.262 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@@H](CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000673577989 1073228395 /nfs/dbraw/zinc/22/83/95/1073228395.db2.gz DCYBGHADYYYPBD-AWEZNQCLSA-N 0 0 431.536 -0.098 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@H](CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000673577992 1073228571 /nfs/dbraw/zinc/22/85/71/1073228571.db2.gz DCYBGHADYYYPBD-CQSZACIVSA-N 0 0 431.536 -0.098 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)cn1C ZINC000673580402 1073228444 /nfs/dbraw/zinc/22/84/44/1073228444.db2.gz WFKFJJHNMVBHNX-CYBMUJFWSA-N 0 0 435.572 -0.334 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)cn1C ZINC000673580403 1073228484 /nfs/dbraw/zinc/22/84/84/1073228484.db2.gz WFKFJJHNMVBHNX-ZDUSSCGKSA-N 0 0 435.572 -0.334 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCc1ccc(S(N)(=O)=O)cc1 ZINC000673587560 1073229699 /nfs/dbraw/zinc/22/96/99/1073229699.db2.gz DHDSFTXXBAEAGG-AWEZNQCLSA-N 0 0 433.556 -0.206 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCc1ccc(S(N)(=O)=O)cc1 ZINC000673587561 1073229868 /nfs/dbraw/zinc/22/98/68/1073229868.db2.gz DHDSFTXXBAEAGG-CQSZACIVSA-N 0 0 433.556 -0.206 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000673587678 1073229807 /nfs/dbraw/zinc/22/98/07/1073229807.db2.gz LWRXSSMVLOAJQQ-HNNXBMFYSA-N 0 0 446.574 -0.974 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)CC1 ZINC000673587679 1073229786 /nfs/dbraw/zinc/22/97/86/1073229786.db2.gz LWRXSSMVLOAJQQ-OAHLLOKOSA-N 0 0 446.574 -0.974 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)NCc1ccccc1S(N)(=O)=O ZINC000673588337 1073230131 /nfs/dbraw/zinc/23/01/31/1073230131.db2.gz YEOJZIKIBHYMCI-AWEZNQCLSA-N 0 0 433.556 -0.206 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)NCc1ccccc1S(N)(=O)=O ZINC000673588338 1073230239 /nfs/dbraw/zinc/23/02/39/1073230239.db2.gz YEOJZIKIBHYMCI-CQSZACIVSA-N 0 0 433.556 -0.206 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCCCCn2cc(Br)cn2)C1 ZINC000673589000 1073230283 /nfs/dbraw/zinc/23/02/83/1073230283.db2.gz JFDINTRQZPTFFD-UHFFFAOYSA-N 0 0 436.332 -0.450 20 0 IBADRN CN(CCn1cc(Br)cn1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000673589379 1073230266 /nfs/dbraw/zinc/23/02/66/1073230266.db2.gz ISZKXSLURFVMAR-UHFFFAOYSA-N 0 0 430.350 -0.601 20 0 IBADRN O=C(NCCc1ccc(F)c(F)c1F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000673593458 1073230805 /nfs/dbraw/zinc/23/08/05/1073230805.db2.gz RBNWONUYBJIFSH-UHFFFAOYSA-N 0 0 426.395 -0.288 20 0 IBADRN O=C(NCc1cnn(CC(F)(F)F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000673603999 1073231831 /nfs/dbraw/zinc/23/18/31/1073231831.db2.gz AAWGETOBKQFIQC-UHFFFAOYSA-N 0 0 430.387 -0.989 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCOCC3)CC1)CCC2 ZINC000673617843 1073235288 /nfs/dbraw/zinc/23/52/88/1073235288.db2.gz LARWHEBEZZJWGQ-MSOLQXFVSA-N 0 0 446.552 -0.423 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N3CCOCC3)CC1)CCC2 ZINC000673617844 1073234727 /nfs/dbraw/zinc/23/47/27/1073234727.db2.gz LARWHEBEZZJWGQ-QZTJIDSGSA-N 0 0 446.552 -0.423 20 0 IBADRN Cc1cn2c(n1)[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCOCC3)CC1)CCC2 ZINC000673617845 1073234670 /nfs/dbraw/zinc/23/46/70/1073234670.db2.gz LARWHEBEZZJWGQ-ROUUACIJSA-N 0 0 446.552 -0.423 20 0 IBADRN Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N3CCOCC3)CC1)CCC2 ZINC000673617846 1073235206 /nfs/dbraw/zinc/23/52/06/1073235206.db2.gz LARWHEBEZZJWGQ-ZWKOTPCHSA-N 0 0 446.552 -0.423 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000673620329 1073235556 /nfs/dbraw/zinc/23/55/56/1073235556.db2.gz YKHOFSWFCDTWTF-CYBMUJFWSA-N 0 0 438.510 -0.977 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000673620330 1073235594 /nfs/dbraw/zinc/23/55/94/1073235594.db2.gz YKHOFSWFCDTWTF-ZDUSSCGKSA-N 0 0 438.510 -0.977 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)CC1 ZINC000673621090 1073235635 /nfs/dbraw/zinc/23/56/35/1073235635.db2.gz ZAVIBQCPUYZFKG-UHFFFAOYSA-N 0 0 438.488 -0.309 20 0 IBADRN CO[C@@H](CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(C)C ZINC000673622376 1073236338 /nfs/dbraw/zinc/23/63/38/1073236338.db2.gz IZQZIICCDJFWRE-HNNXBMFYSA-N 0 0 427.527 -0.111 20 0 IBADRN CO[C@H](CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(C)C ZINC000673622382 1073236239 /nfs/dbraw/zinc/23/62/39/1073236239.db2.gz IZQZIICCDJFWRE-OAHLLOKOSA-N 0 0 427.527 -0.111 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H](C(F)(F)F)O1 ZINC000673625466 1073236226 /nfs/dbraw/zinc/23/62/26/1073236226.db2.gz RTUFOMSLIIADLQ-GZMMTYOYSA-N 0 0 429.355 -0.251 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@H](C(F)(F)F)O1 ZINC000673625467 1073236165 /nfs/dbraw/zinc/23/61/65/1073236165.db2.gz RTUFOMSLIIADLQ-KCJUWKMLSA-N 0 0 429.355 -0.251 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@@H](C(F)(F)F)O1 ZINC000673625468 1073236198 /nfs/dbraw/zinc/23/61/98/1073236198.db2.gz RTUFOMSLIIADLQ-KWQFWETISA-N 0 0 429.355 -0.251 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C[C@H](C(F)(F)F)O1 ZINC000673625469 1073236774 /nfs/dbraw/zinc/23/67/74/1073236774.db2.gz RTUFOMSLIIADLQ-LDYMZIIASA-N 0 0 429.355 -0.251 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000673630883 1073236799 /nfs/dbraw/zinc/23/67/99/1073236799.db2.gz AAHYAAFDWSRYEX-INIZCTEOSA-N 0 0 429.477 -0.851 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000673630884 1073236753 /nfs/dbraw/zinc/23/67/53/1073236753.db2.gz AAHYAAFDWSRYEX-MRXNPFEDSA-N 0 0 429.477 -0.851 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000673631513 1073236725 /nfs/dbraw/zinc/23/67/25/1073236725.db2.gz GTPOMQUWHKNHJZ-GOSISDBHSA-N 0 0 442.520 -0.231 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000673631514 1073236715 /nfs/dbraw/zinc/23/67/15/1073236715.db2.gz GTPOMQUWHKNHJZ-SFHVURJKSA-N 0 0 442.520 -0.231 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000673632860 1073236804 /nfs/dbraw/zinc/23/68/04/1073236804.db2.gz GLNLLBDHQITGDB-GFCCVEGCSA-N 0 0 444.535 -0.773 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000673632861 1073236663 /nfs/dbraw/zinc/23/66/63/1073236663.db2.gz GLNLLBDHQITGDB-LBPRGKRZSA-N 0 0 444.535 -0.773 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)N3CCCCC3)CC2)o1 ZINC000673633078 1073236590 /nfs/dbraw/zinc/23/65/90/1073236590.db2.gz HOOKZOQQAXOYPU-UHFFFAOYSA-N 0 0 427.483 -0.593 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)o1 ZINC000673633501 1073236675 /nfs/dbraw/zinc/23/66/75/1073236675.db2.gz UWJKLAQPUKZYJW-KRWDZBQOSA-N 0 0 449.489 -0.972 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)o1 ZINC000673633502 1073236644 /nfs/dbraw/zinc/23/66/44/1073236644.db2.gz UWJKLAQPUKZYJW-QGZVFWFLSA-N 0 0 449.489 -0.972 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)c1 ZINC000673633684 1073237226 /nfs/dbraw/zinc/23/72/26/1073237226.db2.gz HDNHDXGFOKDTIT-UHFFFAOYSA-N 0 0 436.556 -0.079 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)N3CCCCC3)CC2)c[nH]1 ZINC000673633740 1073237233 /nfs/dbraw/zinc/23/72/33/1073237233.db2.gz JTTWTYKIVJOXSD-UHFFFAOYSA-N 0 0 426.499 -0.858 20 0 IBADRN CN(Cc1ccc(C(=O)NC2CC2)cc1)C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000673635094 1073237291 /nfs/dbraw/zinc/23/72/91/1073237291.db2.gz PHHZUXJDFCYLTF-UHFFFAOYSA-N 0 0 433.490 -0.057 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000673636518 1073237260 /nfs/dbraw/zinc/23/72/60/1073237260.db2.gz NDWMBNFPRNHOBA-UHFFFAOYSA-N 0 0 441.554 -0.446 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000673637782 1073237701 /nfs/dbraw/zinc/23/77/01/1073237701.db2.gz SFKCMZBAZVLALF-UHFFFAOYSA-N 0 0 448.505 -0.582 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000673637884 1073237519 /nfs/dbraw/zinc/23/75/19/1073237519.db2.gz JHCYIYPIQJXPDL-UHFFFAOYSA-N 0 0 449.489 -0.615 20 0 IBADRN CN(CCCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)S(C)(=O)=O ZINC000673638455 1073237121 /nfs/dbraw/zinc/23/71/21/1073237121.db2.gz AMJQGJPWASOREK-GFCCVEGCSA-N 0 0 432.524 -0.915 20 0 IBADRN CN(CCCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)S(C)(=O)=O ZINC000673638456 1073237132 /nfs/dbraw/zinc/23/71/32/1073237132.db2.gz AMJQGJPWASOREK-LBPRGKRZSA-N 0 0 432.524 -0.915 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCCN(C)S(C)(=O)=O)s1 ZINC000673638584 1073237624 /nfs/dbraw/zinc/23/76/24/1073237624.db2.gz DMNOOOIBEONSIY-UHFFFAOYSA-N 0 0 440.569 -0.940 20 0 IBADRN CN(CCCNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)S(C)(=O)=O ZINC000673638772 1073237695 /nfs/dbraw/zinc/23/76/95/1073237695.db2.gz ITAFASUHPDUSJN-INIZCTEOSA-N 0 0 437.522 -0.066 20 0 IBADRN CN(CCCNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)S(C)(=O)=O ZINC000673638773 1073237676 /nfs/dbraw/zinc/23/76/76/1073237676.db2.gz ITAFASUHPDUSJN-MRXNPFEDSA-N 0 0 437.522 -0.066 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000673639645 1073237504 /nfs/dbraw/zinc/23/75/04/1073237504.db2.gz GZHVPKZRUWKQSR-CYBMUJFWSA-N 0 0 438.506 -0.560 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000673639647 1073237741 /nfs/dbraw/zinc/23/77/41/1073237741.db2.gz GZHVPKZRUWKQSR-ZDUSSCGKSA-N 0 0 438.506 -0.560 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](C(=O)Nc3ccccn3)C2)o1 ZINC000673642824 1073238133 /nfs/dbraw/zinc/23/81/33/1073238133.db2.gz FWICCQOVQYBGOK-GFCCVEGCSA-N 0 0 435.462 -0.071 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](C(=O)Nc3ccccn3)C2)o1 ZINC000673642825 1073237965 /nfs/dbraw/zinc/23/79/65/1073237965.db2.gz FWICCQOVQYBGOK-LBPRGKRZSA-N 0 0 435.462 -0.071 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)Nc3ccccn3)C2)c[nH]1 ZINC000673643424 1073238148 /nfs/dbraw/zinc/23/81/48/1073238148.db2.gz RBCCKFQOCXLOOF-GFCCVEGCSA-N 0 0 434.478 -0.336 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC[C@H](C(=O)Nc3ccccn3)C2)c[nH]1 ZINC000673643429 1073238046 /nfs/dbraw/zinc/23/80/46/1073238046.db2.gz RBCCKFQOCXLOOF-LBPRGKRZSA-N 0 0 434.478 -0.336 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CCC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000673647097 1073238566 /nfs/dbraw/zinc/23/85/66/1073238566.db2.gz SMTBSVICCOMUGJ-UHFFFAOYSA-N 0 0 438.554 -0.083 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCc3nnnn3-c3ccccc3)CC2=O)c1 ZINC000673649062 1073239119 /nfs/dbraw/zinc/23/91/19/1073239119.db2.gz ASQAGCWHWWIIEJ-CYBMUJFWSA-N 0 0 441.473 -0.021 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCc3nnnn3-c3ccccc3)CC2=O)c1 ZINC000673649063 1073239075 /nfs/dbraw/zinc/23/90/75/1073239075.db2.gz ASQAGCWHWWIIEJ-ZDUSSCGKSA-N 0 0 441.473 -0.021 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCc2nnnn2-c2ccccc2)s1 ZINC000673649498 1073239126 /nfs/dbraw/zinc/23/91/26/1073239126.db2.gz KAMUAWLIGLSMHS-UHFFFAOYSA-N 0 0 449.518 -0.045 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCc2cccc(CS(N)(=O)=O)c2)c1 ZINC000673657035 1073239917 /nfs/dbraw/zinc/23/99/17/1073239917.db2.gz ZAPRPXSZARRZAG-UHFFFAOYSA-N 0 0 430.508 -0.342 20 0 IBADRN NC(=O)CC[C@@H](C(=O)NCCCN1CCCS1(=O)=O)N1C(=O)c2ccccc2C1=O ZINC000673657169 1073240015 /nfs/dbraw/zinc/24/00/15/1073240015.db2.gz VXSXNGTYZALWFP-HNNXBMFYSA-N 0 0 436.490 -0.542 20 0 IBADRN NC(=O)CC[C@H](C(=O)NCCCN1CCCS1(=O)=O)N1C(=O)c2ccccc2C1=O ZINC000673657170 1073239930 /nfs/dbraw/zinc/23/99/30/1073239930.db2.gz VXSXNGTYZALWFP-OAHLLOKOSA-N 0 0 436.490 -0.542 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCCN3CCCS3(=O)=O)CC2=O)c1 ZINC000673657249 1073240308 /nfs/dbraw/zinc/24/03/08/1073240308.db2.gz ZNERYPNNDOOKRT-CYBMUJFWSA-N 0 0 444.535 -0.771 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCCN3CCCS3(=O)=O)CC2=O)c1 ZINC000673657250 1073240237 /nfs/dbraw/zinc/24/02/37/1073240237.db2.gz ZNERYPNNDOOKRT-ZDUSSCGKSA-N 0 0 444.535 -0.771 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000673659381 1073240404 /nfs/dbraw/zinc/24/04/04/1073240404.db2.gz SMIYEFPQXQNIPE-HNNXBMFYSA-N 0 0 426.539 -0.059 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000673659384 1073240297 /nfs/dbraw/zinc/24/02/97/1073240297.db2.gz SMIYEFPQXQNIPE-OAHLLOKOSA-N 0 0 426.539 -0.059 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(C)c(S(N)(=O)=O)c2)c1 ZINC000673659609 1073240325 /nfs/dbraw/zinc/24/03/25/1073240325.db2.gz DYMWGJULPYVNHV-UHFFFAOYSA-N 0 0 440.503 -0.081 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)NS(C)(=O)=O ZINC000673665779 1073241270 /nfs/dbraw/zinc/24/12/70/1073241270.db2.gz CGCYKOXGXHZAFY-HNNXBMFYSA-N 0 0 437.522 -0.020 20 0 IBADRN CC(C)(CNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)NS(C)(=O)=O ZINC000673665780 1073241302 /nfs/dbraw/zinc/24/13/02/1073241302.db2.gz CGCYKOXGXHZAFY-OAHLLOKOSA-N 0 0 437.522 -0.020 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)NS(C)(=O)=O)s1 ZINC000673666012 1073241282 /nfs/dbraw/zinc/24/12/82/1073241282.db2.gz KNRHFFQENFMUPO-UHFFFAOYSA-N 0 0 440.569 -0.894 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)NS(C)(=O)=O ZINC000673666144 1073241390 /nfs/dbraw/zinc/24/13/90/1073241390.db2.gz QNSNKTQWTZKWMD-LLVKDONJSA-N 0 0 432.524 -0.869 20 0 IBADRN CC(C)(CNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)NS(C)(=O)=O ZINC000673666147 1073241420 /nfs/dbraw/zinc/24/14/20/1073241420.db2.gz QNSNKTQWTZKWMD-NSHDSACASA-N 0 0 432.524 -0.869 20 0 IBADRN Cc1nnc(CN(C)CC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)n1C ZINC000673668204 1073241291 /nfs/dbraw/zinc/24/12/91/1073241291.db2.gz IABPLRINUBRNHU-UHFFFAOYSA-N 0 0 436.542 -0.329 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000673668970 1073241309 /nfs/dbraw/zinc/24/13/09/1073241309.db2.gz UOXQVXSQVLZUFL-UHFFFAOYSA-N 0 0 433.556 -0.227 20 0 IBADRN CN(c1ccc(CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1)S(C)(=O)=O ZINC000673669202 1073241496 /nfs/dbraw/zinc/24/14/96/1073241496.db2.gz FKBPNITYWILOCL-UHFFFAOYSA-N 0 0 444.491 -0.631 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)c1 ZINC000673673587 1073241701 /nfs/dbraw/zinc/24/17/01/1073241701.db2.gz XGXJDJJWVVPRJU-UHFFFAOYSA-N 0 0 434.471 -0.344 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCc3ccc(S(N)(=O)=O)cc32)c1 ZINC000673673608 1073242002 /nfs/dbraw/zinc/24/20/02/1073242002.db2.gz XTUAILJAOYOBIU-UHFFFAOYSA-N 0 0 428.492 -0.210 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN2Cc2ccccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000673675524 1073241960 /nfs/dbraw/zinc/24/19/60/1073241960.db2.gz QOUQJCCGEGUGNT-MOPGFXCFSA-N 0 0 449.577 -0.507 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN2Cc2ccccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000673675525 1073241827 /nfs/dbraw/zinc/24/18/27/1073241827.db2.gz QOUQJCCGEGUGNT-OALUTQOASA-N 0 0 449.577 -0.507 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN2Cc2ccccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000673675541 1073241726 /nfs/dbraw/zinc/24/17/26/1073241726.db2.gz QOUQJCCGEGUGNT-RBUKOAKNSA-N 0 0 449.577 -0.507 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN2Cc2ccccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000673675543 1073242027 /nfs/dbraw/zinc/24/20/27/1073242027.db2.gz QOUQJCCGEGUGNT-RTBURBONSA-N 0 0 449.577 -0.507 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)ccc21 ZINC000673696930 1073242469 /nfs/dbraw/zinc/24/24/69/1073242469.db2.gz JVFKXKXYNRIAKT-UHFFFAOYSA-N 0 0 430.552 -0.420 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cnn(CC(=O)NC3CCCCC3)c2)CC1 ZINC000673734254 1073242987 /nfs/dbraw/zinc/24/29/87/1073242987.db2.gz XVCYZWWLDBNFRS-UHFFFAOYSA-N 0 0 426.543 -0.104 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cnn(CC(=O)NC3CCCCC3)c2)CC1 ZINC000673735514 1073242778 /nfs/dbraw/zinc/24/27/78/1073242778.db2.gz DLGOZJCPDZOZJQ-UHFFFAOYSA-N 0 0 440.570 -0.361 20 0 IBADRN Cc1[nH]nc(C(F)(F)F)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000673743010 1073242903 /nfs/dbraw/zinc/24/29/03/1073242903.db2.gz OOHSZOGIYMCXND-LLVKDONJSA-N 0 0 437.444 -0.202 20 0 IBADRN Cc1[nH]nc(C(F)(F)F)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000673743016 1073243279 /nfs/dbraw/zinc/24/32/79/1073243279.db2.gz OOHSZOGIYMCXND-NSHDSACASA-N 0 0 437.444 -0.202 20 0 IBADRN O=C(Nc1cnn(Cc2ccccn2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000673764981 1073243877 /nfs/dbraw/zinc/24/38/77/1073243877.db2.gz BPMYYDGTKQAWLB-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN Cc1cccn2cc(CCNC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)nc12 ZINC000673778734 1073244868 /nfs/dbraw/zinc/24/48/68/1073244868.db2.gz ZDEXLTHYUIAQFY-UHFFFAOYSA-N 0 0 428.493 -0.102 20 0 IBADRN Cc1nn(C)c2ncc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc12 ZINC000673782447 1073244259 /nfs/dbraw/zinc/24/42/59/1073244259.db2.gz ARGUMHUBHKASEJ-UHFFFAOYSA-N 0 0 441.448 -0.965 20 0 IBADRN CCN1CCc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc21 ZINC000673782546 1073244161 /nfs/dbraw/zinc/24/41/61/1073244161.db2.gz BKTDCKAYPMVYLR-UHFFFAOYSA-N 0 0 441.488 -0.173 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccccc2-n2cncn2)CC1 ZINC000673782555 1073244303 /nfs/dbraw/zinc/24/43/03/1073244303.db2.gz BRGAWLHBQKOYEN-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000673782827 1073244243 /nfs/dbraw/zinc/24/42/43/1073244243.db2.gz NWQSOHILRFMYIU-AWEZNQCLSA-N 0 0 437.478 -0.783 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC000673782832 1073244267 /nfs/dbraw/zinc/24/42/67/1073244267.db2.gz NWQSOHILRFMYIU-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCCCO3)CC1 ZINC000673783288 1073244328 /nfs/dbraw/zinc/24/43/28/1073244328.db2.gz IJGFTPPAJYPUPU-UHFFFAOYSA-N 0 0 444.444 -0.394 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000673783811 1073244771 /nfs/dbraw/zinc/24/47/71/1073244771.db2.gz YTWHRJFRNSWDJI-AWEZNQCLSA-N 0 0 437.478 -0.783 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)C1 ZINC000673783813 1073244604 /nfs/dbraw/zinc/24/46/04/1073244604.db2.gz YTWHRJFRNSWDJI-CQSZACIVSA-N 0 0 437.478 -0.783 20 0 IBADRN CN1CCOc2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc21 ZINC000673783883 1073244716 /nfs/dbraw/zinc/24/47/16/1073244716.db2.gz DLXSXCYONMVJCW-UHFFFAOYSA-N 0 0 425.511 -0.853 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000673783997 1073244222 /nfs/dbraw/zinc/24/42/22/1073244222.db2.gz MGYLRVIRBOHLCO-UHFFFAOYSA-N 0 0 443.460 -0.572 20 0 IBADRN Cc1cccn2cc(CCNC(=O)C(=O)Nc3cnc4c(c3)c(=O)n(C)c(=O)n4C)nc12 ZINC000673789499 1073244810 /nfs/dbraw/zinc/24/48/10/1073244810.db2.gz WJLKCUNVBLKRKA-UHFFFAOYSA-N 0 0 435.444 -0.114 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000673807169 1073245374 /nfs/dbraw/zinc/24/53/74/1073245374.db2.gz HHWUPQFMITWREV-INIZCTEOSA-N 0 0 432.543 -0.988 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000673807170 1073245344 /nfs/dbraw/zinc/24/53/44/1073245344.db2.gz HHWUPQFMITWREV-MRXNPFEDSA-N 0 0 432.543 -0.988 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000673808601 1073245807 /nfs/dbraw/zinc/24/58/07/1073245807.db2.gz XVWVRYWSPMESRZ-HNNXBMFYSA-N 0 0 425.573 -0.301 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000673808605 1073245780 /nfs/dbraw/zinc/24/57/80/1073245780.db2.gz XVWVRYWSPMESRZ-OAHLLOKOSA-N 0 0 425.573 -0.301 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000673809804 1073245207 /nfs/dbraw/zinc/24/52/07/1073245207.db2.gz GAABDXOBZMULPL-UHFFFAOYSA-N 0 0 430.473 -0.983 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000673810271 1073245353 /nfs/dbraw/zinc/24/53/53/1073245353.db2.gz XHQQFULQELEAHP-UHFFFAOYSA-N 0 0 449.551 -0.388 20 0 IBADRN CC(C)c1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c[nH]1 ZINC000673819408 1073245605 /nfs/dbraw/zinc/24/56/05/1073245605.db2.gz KRATUOILJRRWQO-UHFFFAOYSA-N 0 0 431.496 -0.080 20 0 IBADRN CN(CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)CC(=O)N1CCN(C)CC1 ZINC000673826464 1073246282 /nfs/dbraw/zinc/24/62/82/1073246282.db2.gz IPVVIDORHUZAJY-UHFFFAOYSA-N 0 0 442.520 -0.934 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3c3ncccn3)CC2)C[C@H](C)O1 ZINC000673830349 1073246743 /nfs/dbraw/zinc/24/67/43/1073246743.db2.gz JVVGAYBNIUOFRG-BBWFWOEESA-N 0 0 438.554 -0.056 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3c3ncccn3)CC2)C[C@@H](C)O1 ZINC000673830353 1073246781 /nfs/dbraw/zinc/24/67/81/1073246781.db2.gz JVVGAYBNIUOFRG-BRWVUGGUSA-N 0 0 438.554 -0.056 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3c3ncccn3)CC2)C[C@H](C)O1 ZINC000673830354 1073246685 /nfs/dbraw/zinc/24/66/85/1073246685.db2.gz JVVGAYBNIUOFRG-IXDOHACOSA-N 0 0 438.554 -0.056 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3c3ncccn3)CC2)C[C@@H](C)O1 ZINC000673830355 1073246702 /nfs/dbraw/zinc/24/67/02/1073246702.db2.gz JVVGAYBNIUOFRG-ZACQAIPSSA-N 0 0 438.554 -0.056 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3c3ncccn3)CC2)o1 ZINC000673832885 1073246789 /nfs/dbraw/zinc/24/67/89/1073246789.db2.gz YANMKDCPKJCFKT-CYBMUJFWSA-N 0 0 434.478 -0.330 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3c3ncccn3)CC2)o1 ZINC000673832887 1073246753 /nfs/dbraw/zinc/24/67/53/1073246753.db2.gz YANMKDCPKJCFKT-ZDUSSCGKSA-N 0 0 434.478 -0.330 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Cn2nnc(-c3ccc(Cl)cc3)n2)CC1 ZINC000673834769 1073246734 /nfs/dbraw/zinc/24/67/34/1073246734.db2.gz UOHLZBHMXZIUER-UHFFFAOYSA-N 0 0 427.918 -0.313 20 0 IBADRN CC(C)OCCOC[C@@H](O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000673843095 1073247214 /nfs/dbraw/zinc/24/72/14/1073247214.db2.gz GOIIQCRBYVKXMS-INIZCTEOSA-N 0 0 447.554 -0.080 20 0 IBADRN CC(C)OCCOC[C@H](O)CN(C)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000673843099 1073247142 /nfs/dbraw/zinc/24/71/42/1073247142.db2.gz GOIIQCRBYVKXMS-MRXNPFEDSA-N 0 0 447.554 -0.080 20 0 IBADRN CN(C)c1nc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cs1 ZINC000673854212 1073247292 /nfs/dbraw/zinc/24/72/92/1073247292.db2.gz QQMYDOWQKPQCTH-UHFFFAOYSA-N 0 0 431.588 -0.472 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC000673855416 1073247152 /nfs/dbraw/zinc/24/71/52/1073247152.db2.gz RCWXVUWWUQNJLF-KRWDZBQOSA-N 0 0 425.507 -0.191 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC000673855423 1073247124 /nfs/dbraw/zinc/24/71/24/1073247124.db2.gz RCWXVUWWUQNJLF-QGZVFWFLSA-N 0 0 425.507 -0.191 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000673858463 1073247160 /nfs/dbraw/zinc/24/71/60/1073247160.db2.gz FPNWDLJRURLPEL-UHFFFAOYSA-N 0 0 428.453 -0.740 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000673858517 1073247264 /nfs/dbraw/zinc/24/72/64/1073247264.db2.gz HUFNODDCGDOOLU-UHFFFAOYSA-N 0 0 444.500 -0.653 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000673858896 1073247282 /nfs/dbraw/zinc/24/72/82/1073247282.db2.gz HMBNDJLQMBDSKU-UHFFFAOYSA-N 0 0 441.558 -0.359 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)Nc2ncn(CC(=O)N(C)C)n2)c1 ZINC000673858961 1073247198 /nfs/dbraw/zinc/24/71/98/1073247198.db2.gz AFUVPWOPMWVFOW-UHFFFAOYSA-N 0 0 438.470 -0.965 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000673859060 1073247221 /nfs/dbraw/zinc/24/72/21/1073247221.db2.gz WWEBYJWTEBVWJF-UHFFFAOYSA-N 0 0 436.498 -0.632 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000673859132 1073247228 /nfs/dbraw/zinc/24/72/28/1073247228.db2.gz YLVHSWKPEQLRLA-UHFFFAOYSA-N 0 0 426.459 -0.966 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC000673859883 1073247744 /nfs/dbraw/zinc/24/77/44/1073247744.db2.gz PMRXYTNFRKHOTM-UHFFFAOYSA-N 0 0 439.502 -0.925 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)n1 ZINC000673860119 1073247235 /nfs/dbraw/zinc/24/72/35/1073247235.db2.gz RFXFOLZCPNEAAL-UHFFFAOYSA-N 0 0 445.509 -0.215 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000673860151 1073247207 /nfs/dbraw/zinc/24/72/07/1073247207.db2.gz TUUBZTZLGPUEBG-UHFFFAOYSA-N 0 0 445.567 -0.174 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000673860174 1073247179 /nfs/dbraw/zinc/24/71/79/1073247179.db2.gz VCRWIXIQIRVXHQ-UHFFFAOYSA-N 0 0 440.486 -0.490 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000673860308 1073247188 /nfs/dbraw/zinc/24/71/88/1073247188.db2.gz XUAWGNQMHIHSCK-UHFFFAOYSA-N 0 0 427.531 -0.102 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1 ZINC000673865122 1073247749 /nfs/dbraw/zinc/24/77/49/1073247749.db2.gz CNHRPDQQIXDDNF-UHFFFAOYSA-N 0 0 425.471 -0.361 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cn1 ZINC000673866184 1073248091 /nfs/dbraw/zinc/24/80/91/1073248091.db2.gz KTEIIBAIRJTTTF-UHFFFAOYSA-N 0 0 438.514 -0.320 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000673866803 1073248006 /nfs/dbraw/zinc/24/80/06/1073248006.db2.gz FPENHNMVRWZDSZ-HNNXBMFYSA-N 0 0 437.522 -0.410 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000673866804 1073248104 /nfs/dbraw/zinc/24/81/04/1073248104.db2.gz FPENHNMVRWZDSZ-OAHLLOKOSA-N 0 0 437.522 -0.410 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000673872947 1073248134 /nfs/dbraw/zinc/24/81/34/1073248134.db2.gz ADYHWVCBDUUJSN-AWEZNQCLSA-N 0 0 428.515 -0.465 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000673872951 1073248059 /nfs/dbraw/zinc/24/80/59/1073248059.db2.gz ADYHWVCBDUUJSN-CQSZACIVSA-N 0 0 428.515 -0.465 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000673872974 1073248034 /nfs/dbraw/zinc/24/80/34/1073248034.db2.gz AOBDEBQXEVHISP-UHFFFAOYSA-N 0 0 440.457 -0.150 20 0 IBADRN CN(C1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1)S(C)(=O)=O ZINC000673873063 1073248017 /nfs/dbraw/zinc/24/80/17/1073248017.db2.gz DFKNTMQKJQCFHU-UHFFFAOYSA-N 0 0 428.515 -0.370 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000673873195 1073247669 /nfs/dbraw/zinc/24/76/69/1073247669.db2.gz GTZZOCFDPJHATI-UHFFFAOYSA-N 0 0 443.464 -0.721 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000673873255 1073248046 /nfs/dbraw/zinc/24/80/46/1073248046.db2.gz IZELQLBIHSNMCC-UHFFFAOYSA-N 0 0 428.515 -0.465 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)CC1 ZINC000673873274 1073247705 /nfs/dbraw/zinc/24/77/05/1073247705.db2.gz JOQPOXXDSPRENY-UHFFFAOYSA-N 0 0 447.540 -0.240 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000673873433 1073247626 /nfs/dbraw/zinc/24/76/26/1073247626.db2.gz QMMOKJIKVRHQKN-UHFFFAOYSA-N 0 0 428.515 -0.323 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)cn1 ZINC000673873490 1073247679 /nfs/dbraw/zinc/24/76/79/1073247679.db2.gz UBMBEKVKOCXMRF-UHFFFAOYSA-N 0 0 434.457 -0.655 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C(=O)N1c1cnn(C)c1 ZINC000673873512 1073247638 /nfs/dbraw/zinc/24/76/38/1073247638.db2.gz UZWBDCTXYGOOPF-BBRMVZONSA-N 0 0 430.469 -0.209 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C(=O)N1c1cnn(C)c1 ZINC000673873513 1073247724 /nfs/dbraw/zinc/24/77/24/1073247724.db2.gz UZWBDCTXYGOOPF-CJNGLKHVSA-N 0 0 430.469 -0.209 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C(=O)N1c1cnn(C)c1 ZINC000673873514 1073247726 /nfs/dbraw/zinc/24/77/26/1073247726.db2.gz UZWBDCTXYGOOPF-CZUORRHYSA-N 0 0 430.469 -0.209 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C(=O)N1c1cnn(C)c1 ZINC000673873515 1073247676 /nfs/dbraw/zinc/24/76/76/1073247676.db2.gz UZWBDCTXYGOOPF-XJKSGUPXSA-N 0 0 430.469 -0.209 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCC(C)(C)NS(C)(=O)=O)cn2)C[C@H](C)O1 ZINC000673873531 1073247663 /nfs/dbraw/zinc/24/76/63/1073247663.db2.gz VYAMRFNIIHRILA-BETUJISGSA-N 0 0 430.531 -0.032 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCC(C)(C)NS(C)(=O)=O)cn2)C[C@@H](C)O1 ZINC000673873532 1073247689 /nfs/dbraw/zinc/24/76/89/1073247689.db2.gz VYAMRFNIIHRILA-CHWSQXEVSA-N 0 0 430.531 -0.032 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)NCC(C)(C)NS(C)(=O)=O)cn2)C[C@H](C)O1 ZINC000673873533 1073247763 /nfs/dbraw/zinc/24/77/63/1073247763.db2.gz VYAMRFNIIHRILA-STQMWFEESA-N 0 0 430.531 -0.032 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)c1=O ZINC000673873555 1073247615 /nfs/dbraw/zinc/24/76/15/1073247615.db2.gz XFAHFNIHBLHNRQ-UHFFFAOYSA-N 0 0 430.469 -0.810 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1 ZINC000673873607 1073247717 /nfs/dbraw/zinc/24/77/17/1073247717.db2.gz ZHZOFLMTONFTNU-UHFFFAOYSA-N 0 0 436.494 -0.148 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)NCCCN(C)S(C)(=O)=O)cn2)C[C@H](C)O1 ZINC000673874270 1073248126 /nfs/dbraw/zinc/24/81/26/1073248126.db2.gz FWORKXXAGFIRRN-KBPBESRZSA-N 0 0 430.531 -0.078 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCCN(C)S(C)(=O)=O)cn2)C[C@H](C)O1 ZINC000673874271 1073248122 /nfs/dbraw/zinc/24/81/22/1073248122.db2.gz FWORKXXAGFIRRN-OKILXGFUSA-N 0 0 430.531 -0.078 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCCN(C)S(C)(=O)=O)cn2)C[C@@H](C)O1 ZINC000673874272 1073248109 /nfs/dbraw/zinc/24/81/09/1073248109.db2.gz FWORKXXAGFIRRN-ZIAGYGMSSA-N 0 0 430.531 -0.078 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NCc2cc(S(N)(=O)=O)ccc2F)cn1 ZINC000673874915 1073248140 /nfs/dbraw/zinc/24/81/40/1073248140.db2.gz NCBKTWNLIFRZCG-UHFFFAOYSA-N 0 0 428.446 -0.246 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cn1 ZINC000673875005 1073248079 /nfs/dbraw/zinc/24/80/79/1073248079.db2.gz PGWUPVCXOIAPDO-UHFFFAOYSA-N 0 0 435.529 -0.336 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC000673875175 1073248053 /nfs/dbraw/zinc/24/80/53/1073248053.db2.gz QQRRAFYYFQISRI-UHFFFAOYSA-N 0 0 430.531 -0.077 20 0 IBADRN CCN(C1CCN(C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1)S(C)(=O)=O ZINC000673875440 1073248116 /nfs/dbraw/zinc/24/81/16/1073248116.db2.gz ZVPZEUVYHUZJJU-UHFFFAOYSA-N 0 0 430.531 -0.077 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000673877520 1073248527 /nfs/dbraw/zinc/24/85/27/1073248527.db2.gz VHCYVOMHPGYWTN-UHFFFAOYSA-N 0 0 440.588 -0.506 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000673877773 1073248555 /nfs/dbraw/zinc/24/85/55/1073248555.db2.gz URQPANLYAREWKS-UHFFFAOYSA-N 0 0 428.577 -0.650 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCC(C)(C)NS(C)(=O)=O)CC1 ZINC000673878276 1073248571 /nfs/dbraw/zinc/24/85/71/1073248571.db2.gz GUWVJFMGSGEPGG-UHFFFAOYSA-N 0 0 428.577 -0.604 20 0 IBADRN COc1ccc(CNC(=O)c2ccc(S(=O)(=O)N(C)C)nc2)cc1S(N)(=O)=O ZINC000673883284 1073248522 /nfs/dbraw/zinc/24/85/22/1073248522.db2.gz SKXDMVMYCLTQPT-UHFFFAOYSA-N 0 0 428.492 -0.082 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000673888582 1073248563 /nfs/dbraw/zinc/24/85/63/1073248563.db2.gz FYDWLNFNIPOHFG-UHFFFAOYSA-N 0 0 427.479 -0.457 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC1(CO)CC1 ZINC000673888721 1073248550 /nfs/dbraw/zinc/24/85/50/1073248550.db2.gz GCFXBTPNEYJVHQ-UHFFFAOYSA-N 0 0 440.522 -0.542 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1(CO)CC1 ZINC000673888833 1073248534 /nfs/dbraw/zinc/24/85/34/1073248534.db2.gz JNAZGZFDOULGEM-UHFFFAOYSA-N 0 0 441.506 -0.067 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCC2(CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000673888972 1073248956 /nfs/dbraw/zinc/24/89/56/1073248956.db2.gz RAGCNMDYOUSRCC-UHFFFAOYSA-N 0 0 441.506 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1(CO)CC1 ZINC000673889324 1073248920 /nfs/dbraw/zinc/24/89/20/1073248920.db2.gz ZDZZDKNZWMCIEX-UHFFFAOYSA-N 0 0 427.479 -0.457 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c(OC)n1 ZINC000673889430 1073248513 /nfs/dbraw/zinc/24/85/13/1073248513.db2.gz RTWSRMZLHAJRMY-UHFFFAOYSA-N 0 0 444.510 -0.064 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(C(N)=O)c2)CC1 ZINC000673889692 1073248542 /nfs/dbraw/zinc/24/85/42/1073248542.db2.gz ZNWNTQFFPHWFNW-UHFFFAOYSA-N 0 0 444.485 -0.238 20 0 IBADRN COCCCS(=O)(=O)NCCN(C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000673894194 1073249003 /nfs/dbraw/zinc/24/90/03/1073249003.db2.gz UIDSXQDYNMXIEM-UHFFFAOYSA-N 0 0 425.511 -0.029 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COn1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000673896041 1073249042 /nfs/dbraw/zinc/24/90/42/1073249042.db2.gz VDESZXGNVYXBPT-UHFFFAOYSA-N 0 0 449.493 -0.727 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(C(C)(C)CO)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000673896585 1073248991 /nfs/dbraw/zinc/24/89/91/1073248991.db2.gz XMWSEZSYHSWJGQ-UHFFFAOYSA-N 0 0 429.521 -0.251 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000673908573 1073248949 /nfs/dbraw/zinc/24/89/49/1073248949.db2.gz VUMNYZIOOAEIRM-UHFFFAOYSA-N 0 0 427.527 -0.932 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000673916334 1073249485 /nfs/dbraw/zinc/24/94/85/1073249485.db2.gz YEKLPFWHBSTEAR-GXTWGEPZSA-N 0 0 446.551 -0.002 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000673916335 1073249490 /nfs/dbraw/zinc/24/94/90/1073249490.db2.gz YEKLPFWHBSTEAR-JSGCOSHPSA-N 0 0 446.551 -0.002 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000673916336 1073249423 /nfs/dbraw/zinc/24/94/23/1073249423.db2.gz YEKLPFWHBSTEAR-OCCSQVGLSA-N 0 0 446.551 -0.002 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000673916337 1073249411 /nfs/dbraw/zinc/24/94/11/1073249411.db2.gz YEKLPFWHBSTEAR-TZMCWYRMSA-N 0 0 446.551 -0.002 20 0 IBADRN COc1ccc(CNC(=O)c2cc(S(=O)(=O)N(C)C)cn2C)cc1S(N)(=O)=O ZINC000673917170 1073249816 /nfs/dbraw/zinc/24/98/16/1073249816.db2.gz VQGLBQNLDJIXBO-UHFFFAOYSA-N 0 0 430.508 -0.139 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCc1cn[nH]n1)c(=O)n2Cc1ccccc1 ZINC000673919866 1073249469 /nfs/dbraw/zinc/24/94/69/1073249469.db2.gz DDPDSZXESSYGQC-UHFFFAOYSA-N 0 0 438.448 -0.511 20 0 IBADRN Cn1c(CCC(=O)NCc2cn[nH]n2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000673920036 1073249805 /nfs/dbraw/zinc/24/98/05/1073249805.db2.gz GTCABSQGYPPGJS-UHFFFAOYSA-N 0 0 433.494 -0.039 20 0 IBADRN O=C(c1nc2n(n1)CCCN2)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000673920731 1073249909 /nfs/dbraw/zinc/24/99/09/1073249909.db2.gz JPEPFKGSHCNPEI-UHFFFAOYSA-N 0 0 434.507 -0.497 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)c3nc4n(n3)CCCN4)CC2)c1 ZINC000673920868 1073249885 /nfs/dbraw/zinc/24/98/85/1073249885.db2.gz DCLFYPMPBAEJFI-UHFFFAOYSA-N 0 0 436.494 -0.485 20 0 IBADRN COc1nc(N2CCC[C@@H](N3CCNC3=O)C2)nc(N2CCC[C@H](N3CCNC3=O)C2)n1 ZINC000673957932 1073250716 /nfs/dbraw/zinc/25/07/16/1073250716.db2.gz SSHXPJRSDRUOCZ-GASCZTMLSA-N 0 0 445.528 -0.132 20 0 IBADRN COc1nc(N2CCC[C@H](N3CCNC3=O)C2)nc(N2CCC[C@H](N3CCNC3=O)C2)n1 ZINC000673957934 1073250769 /nfs/dbraw/zinc/25/07/69/1073250769.db2.gz SSHXPJRSDRUOCZ-GJZGRUSLSA-N 0 0 445.528 -0.132 20 0 IBADRN COc1nc(N2CCC[C@@H](N3CCNC3=O)C2)nc(N2CCC[C@@H](N3CCNC3=O)C2)n1 ZINC000673957935 1073250688 /nfs/dbraw/zinc/25/06/88/1073250688.db2.gz SSHXPJRSDRUOCZ-HUUCEWRRSA-N 0 0 445.528 -0.132 20 0 IBADRN CCc1cc(N2CCC(NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)ncn1 ZINC000673975110 1073251139 /nfs/dbraw/zinc/25/11/39/1073251139.db2.gz CHLFVYLBGPKEAJ-UHFFFAOYSA-N 0 0 439.586 -0.116 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000673987271 1073253483 /nfs/dbraw/zinc/25/34/83/1073253483.db2.gz GXXKTTIGLJAJMC-KRWDZBQOSA-N 0 0 432.477 -0.010 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000673987276 1073253383 /nfs/dbraw/zinc/25/33/83/1073253383.db2.gz GXXKTTIGLJAJMC-QGZVFWFLSA-N 0 0 432.477 -0.010 20 0 IBADRN COc1ccc([C@H](CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)N2CCOCC2)cc1 ZINC000673989905 1073253114 /nfs/dbraw/zinc/25/31/14/1073253114.db2.gz XBKBCVUAJAIEGV-INIZCTEOSA-N 0 0 438.506 -0.556 20 0 IBADRN COc1ccc([C@@H](CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)N2CCOCC2)cc1 ZINC000673989911 1073253077 /nfs/dbraw/zinc/25/30/77/1073253077.db2.gz XBKBCVUAJAIEGV-MRXNPFEDSA-N 0 0 438.506 -0.556 20 0 IBADRN CCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000674021535 1073257307 /nfs/dbraw/zinc/25/73/07/1073257307.db2.gz MISSFYSUYPXQAR-UHFFFAOYSA-N 0 0 446.551 -0.257 20 0 IBADRN CC(C)(CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)S(C)(=O)=O ZINC000674029809 1073257587 /nfs/dbraw/zinc/25/75/87/1073257587.db2.gz SUTVQCXOEMXDGU-UHFFFAOYSA-N 0 0 447.583 -0.344 20 0 IBADRN CC(C)(CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)S(C)(=O)=O ZINC000674030454 1073257255 /nfs/dbraw/zinc/25/72/55/1073257255.db2.gz YDWVVUGROQSVSD-UHFFFAOYSA-N 0 0 436.512 -0.782 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)S(C)(=O)=O)CC2)C[C@H](C)O1 ZINC000674036659 1073258285 /nfs/dbraw/zinc/25/82/85/1073258285.db2.gz JWGBUWKBUOTFPC-KBPBESRZSA-N 0 0 440.588 -0.509 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)S(C)(=O)=O)CC2)C[C@H](C)O1 ZINC000674036660 1073258301 /nfs/dbraw/zinc/25/83/01/1073258301.db2.gz JWGBUWKBUOTFPC-OKILXGFUSA-N 0 0 440.588 -0.509 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)S(C)(=O)=O)CC2)C[C@@H](C)O1 ZINC000674036661 1073258314 /nfs/dbraw/zinc/25/83/14/1073258314.db2.gz JWGBUWKBUOTFPC-ZIAGYGMSSA-N 0 0 440.588 -0.509 20 0 IBADRN CC(C)(CNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)S(C)(=O)=O ZINC000674037476 1073258150 /nfs/dbraw/zinc/25/81/50/1073258150.db2.gz XIFGUBOYCZKBKY-UHFFFAOYSA-N 0 0 426.543 -0.032 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(OCC(F)F)cc2)CC1 ZINC000674039592 1073258223 /nfs/dbraw/zinc/25/82/23/1073258223.db2.gz QAWZJINEFGSMQN-UHFFFAOYSA-N 0 0 434.465 -0.038 20 0 IBADRN CCOC[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000674040209 1073258144 /nfs/dbraw/zinc/25/81/44/1073258144.db2.gz MDDRCLNRVNHJMY-HNNXBMFYSA-N 0 0 439.538 -0.013 20 0 IBADRN CCOC[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000674040210 1073258271 /nfs/dbraw/zinc/25/82/71/1073258271.db2.gz MDDRCLNRVNHJMY-OAHLLOKOSA-N 0 0 439.538 -0.013 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(C)(C)S(C)(=O)=O ZINC000674040895 1073257650 /nfs/dbraw/zinc/25/76/50/1073257650.db2.gz AQWURNYYOWJTCE-UHFFFAOYSA-N 0 0 435.524 -0.177 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC(C)(C)S(C)(=O)=O ZINC000674041015 1073258192 /nfs/dbraw/zinc/25/81/92/1073258192.db2.gz FNRCEQMIAHXCQG-UHFFFAOYSA-N 0 0 448.567 -0.119 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000674041201 1073258683 /nfs/dbraw/zinc/25/86/83/1073258683.db2.gz MLMXIUQEMIIISC-UHFFFAOYSA-N 0 0 425.507 -0.034 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC(C)(C)S(C)(=O)=O)CC2 ZINC000674041216 1073258646 /nfs/dbraw/zinc/25/86/46/1073258646.db2.gz MXLXHZKJSLLVCF-UHFFFAOYSA-N 0 0 431.536 -0.187 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)S(C)(=O)=O ZINC000674041449 1073258665 /nfs/dbraw/zinc/25/86/65/1073258665.db2.gz QCOPWOHWKGPSBY-UHFFFAOYSA-N 0 0 441.506 -0.198 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)S(C)(=O)=O)cc1 ZINC000674041677 1073258126 /nfs/dbraw/zinc/25/81/26/1073258126.db2.gz HJYZBXWPJIHAEE-GFCCVEGCSA-N 0 0 449.551 -0.122 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)S(C)(=O)=O)cc1 ZINC000674041678 1073258131 /nfs/dbraw/zinc/25/81/31/1073258131.db2.gz HJYZBXWPJIHAEE-LBPRGKRZSA-N 0 0 449.551 -0.122 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCC(C)(C)S(C)(=O)=O)cc2)CC1 ZINC000674041726 1073258171 /nfs/dbraw/zinc/25/81/71/1073258171.db2.gz VGEPRDJGWVJLLT-UHFFFAOYSA-N 0 0 438.550 -0.119 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000674041792 1073258109 /nfs/dbraw/zinc/25/81/09/1073258109.db2.gz WFOQOTYFYXHJFK-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)S(C)(=O)=O ZINC000674042035 1073258668 /nfs/dbraw/zinc/25/86/68/1073258668.db2.gz LTEUGSMRWKWYMZ-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)S(C)(=O)=O ZINC000674042100 1073258212 /nfs/dbraw/zinc/25/82/12/1073258212.db2.gz NHRIKVIDEYEFGV-UHFFFAOYSA-N 0 0 440.522 -0.165 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(C)(C)S(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000674044493 1073258690 /nfs/dbraw/zinc/25/86/90/1073258690.db2.gz WWPOVOCEFNWVJW-INIZCTEOSA-N 0 0 433.508 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(C)(C)S(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000674044494 1073258713 /nfs/dbraw/zinc/25/87/13/1073258713.db2.gz WWPOVOCEFNWVJW-MRXNPFEDSA-N 0 0 433.508 -0.149 20 0 IBADRN C[C@@]1(C2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)NC(=O)NC1=O ZINC000674055999 1073258980 /nfs/dbraw/zinc/25/89/80/1073258980.db2.gz BSTYCLZPQFPHCJ-IBGZPJMESA-N 0 0 433.469 -0.416 20 0 IBADRN C[C@]1(C2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)NC(=O)NC1=O ZINC000674056000 1073259100 /nfs/dbraw/zinc/25/91/00/1073259100.db2.gz BSTYCLZPQFPHCJ-LJQANCHMSA-N 0 0 433.469 -0.416 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)Nc1ccc(N3CCN(S(C)(=O)=O)CC3)nc1)CC2 ZINC000674058510 1073259974 /nfs/dbraw/zinc/25/99/74/1073259974.db2.gz OASIPABIASRESM-UHFFFAOYSA-N 0 0 447.521 -0.153 20 0 IBADRN C[C@@]1(C2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)NC(=O)NC1=O ZINC000674059261 1073259904 /nfs/dbraw/zinc/25/99/04/1073259904.db2.gz VFHOFBSSONLNCJ-IBGZPJMESA-N 0 0 433.469 -0.416 20 0 IBADRN C[C@]1(C2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)NC(=O)NC1=O ZINC000674059262 1073260008 /nfs/dbraw/zinc/26/00/08/1073260008.db2.gz VFHOFBSSONLNCJ-LJQANCHMSA-N 0 0 433.469 -0.416 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H]([C@]2(C)NC(=O)NC2=O)C1 ZINC000674060359 1073259950 /nfs/dbraw/zinc/25/99/50/1073259950.db2.gz KJEVTPGRKRXXPF-BFCNOCSMSA-N 0 0 427.483 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H]([C@@]2(C)NC(=O)NC2=O)C1 ZINC000674060367 1073259977 /nfs/dbraw/zinc/25/99/77/1073259977.db2.gz KJEVTPGRKRXXPF-GSDQYQHOSA-N 0 0 427.483 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H]([C@@]2(C)NC(=O)NC2=O)C1 ZINC000674060369 1073259989 /nfs/dbraw/zinc/25/99/89/1073259989.db2.gz KJEVTPGRKRXXPF-QAGHZCBJSA-N 0 0 427.483 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H]([C@]2(C)NC(=O)NC2=O)C1 ZINC000674060371 1073259913 /nfs/dbraw/zinc/25/99/13/1073259913.db2.gz KJEVTPGRKRXXPF-ZMNCSTGQSA-N 0 0 427.483 -0.205 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2ccc(-n3cc(Br)cn3)nn2)CC1 ZINC000674076094 1073259932 /nfs/dbraw/zinc/25/99/32/1073259932.db2.gz BPRHVJSZWFIZOE-UHFFFAOYSA-N 0 0 444.315 -0.011 20 0 IBADRN C[C@@H](On1nnc2ccc(S(=O)(=O)N(C)C)cc21)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000674092495 1073259957 /nfs/dbraw/zinc/25/99/57/1073259957.db2.gz JDQMYWZIHACSPS-NEPJUHHUSA-N 0 0 445.523 -0.856 20 0 IBADRN C[C@H](On1nnc2ccc(S(=O)(=O)N(C)C)cc21)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000674092496 1073259940 /nfs/dbraw/zinc/25/99/40/1073259940.db2.gz JDQMYWZIHACSPS-NWDGAFQWSA-N 0 0 445.523 -0.856 20 0 IBADRN C[C@H](On1nnc2ccc(S(=O)(=O)N(C)C)cc21)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000674092497 1073259946 /nfs/dbraw/zinc/25/99/46/1073259946.db2.gz JDQMYWZIHACSPS-RYUDHWBXSA-N 0 0 445.523 -0.856 20 0 IBADRN C[C@@H](On1nnc2ccc(S(=O)(=O)N(C)C)cc21)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000674092498 1073259925 /nfs/dbraw/zinc/25/99/25/1073259925.db2.gz JDQMYWZIHACSPS-VXGBXAGGSA-N 0 0 445.523 -0.856 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000674132861 1073259965 /nfs/dbraw/zinc/25/99/65/1073259965.db2.gz DSEWMVSAVHQDTM-CYBMUJFWSA-N 0 0 434.540 -0.800 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000674132867 1073259893 /nfs/dbraw/zinc/25/98/93/1073259893.db2.gz DSEWMVSAVHQDTM-ZDUSSCGKSA-N 0 0 434.540 -0.800 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(CCn3cncn3)CC2)cc1 ZINC000674136183 1073260406 /nfs/dbraw/zinc/26/04/06/1073260406.db2.gz KVVCPTDRDWWCQM-HNNXBMFYSA-N 0 0 434.522 -0.008 20 0 IBADRN CS(=O)(=O)Cc1ccccc1CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000674151447 1073260361 /nfs/dbraw/zinc/26/03/61/1073260361.db2.gz KHLQWSQOOWQLPG-UHFFFAOYSA-N 0 0 432.568 -0.392 20 0 IBADRN Cc1cc(N2CCC(NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)nc(C)n1 ZINC000674153314 1073260395 /nfs/dbraw/zinc/26/03/95/1073260395.db2.gz ZSPQVWZWKIJJGQ-UHFFFAOYSA-N 0 0 439.586 -0.061 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000674190343 1073260387 /nfs/dbraw/zinc/26/03/87/1073260387.db2.gz LAQAAJKZNAVBPF-UHFFFAOYSA-N 0 0 447.539 -0.663 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)cc1 ZINC000674190582 1073260347 /nfs/dbraw/zinc/26/03/47/1073260347.db2.gz NHOGHOVPPAEZBC-UHFFFAOYSA-N 0 0 432.524 -0.073 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC(NC(=O)COC)CC2)c1 ZINC000674190610 1073260392 /nfs/dbraw/zinc/26/03/92/1073260392.db2.gz OFNAFHPZNYONBN-UHFFFAOYSA-N 0 0 426.495 -0.405 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)c1 ZINC000674190647 1073260378 /nfs/dbraw/zinc/26/03/78/1073260378.db2.gz PNBLZBUHYABZHB-UHFFFAOYSA-N 0 0 432.524 -0.618 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000674190664 1073260427 /nfs/dbraw/zinc/26/04/27/1073260427.db2.gz QIXOCAGJAYIFQX-UHFFFAOYSA-N 0 0 444.485 -0.232 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC(C(=O)NCCOC)CC2)c1 ZINC000674190670 1073260404 /nfs/dbraw/zinc/26/04/04/1073260404.db2.gz QOHZBHHSTSXECF-UHFFFAOYSA-N 0 0 440.522 -0.157 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000674190958 1073260414 /nfs/dbraw/zinc/26/04/14/1073260414.db2.gz VWXCHOANQSCNES-UHFFFAOYSA-N 0 0 438.503 -0.588 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000674190968 1073260434 /nfs/dbraw/zinc/26/04/34/1073260434.db2.gz WOSKZQJDRYUNHP-UHFFFAOYSA-N 0 0 436.498 -0.751 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)cc1 ZINC000674191059 1073260384 /nfs/dbraw/zinc/26/03/84/1073260384.db2.gz XWFZBFBWYNJWII-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000674191203 1073260382 /nfs/dbraw/zinc/26/03/82/1073260382.db2.gz YTXJLZXXMIRLQB-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CC(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000674191210 1073260327 /nfs/dbraw/zinc/26/03/27/1073260327.db2.gz ZMDMNMBKVVECMJ-UHFFFAOYSA-N 0 0 446.551 -0.242 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000674191606 1073260703 /nfs/dbraw/zinc/26/07/03/1073260703.db2.gz CBRPOSJJHVCUBM-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000674191607 1073260781 /nfs/dbraw/zinc/26/07/81/1073260781.db2.gz CBRPOSJJHVCUBM-LBPRGKRZSA-N 0 0 432.524 -0.618 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000674191792 1073260417 /nfs/dbraw/zinc/26/04/17/1073260417.db2.gz GLVASPCFXGALQZ-AWEZNQCLSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000674191793 1073260738 /nfs/dbraw/zinc/26/07/38/1073260738.db2.gz GLVASPCFXGALQZ-CQSZACIVSA-N 0 0 446.551 -0.146 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000674192014 1073260439 /nfs/dbraw/zinc/26/04/39/1073260439.db2.gz MWOASZNGSGQSOX-AWEZNQCLSA-N 0 0 432.524 -0.073 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000674192015 1073260373 /nfs/dbraw/zinc/26/03/73/1073260373.db2.gz MWOASZNGSGQSOX-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000674192626 1073260742 /nfs/dbraw/zinc/26/07/42/1073260742.db2.gz HXSDCGXNCLWGAG-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000674192858 1073260718 /nfs/dbraw/zinc/26/07/18/1073260718.db2.gz NYKVHGFLUNLQNK-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000674193059 1073260711 /nfs/dbraw/zinc/26/07/11/1073260711.db2.gz PQYCXRCNGBBXRI-UHFFFAOYSA-N 0 0 432.524 -0.617 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NC2CCCC2)cc1 ZINC000674193089 1073260772 /nfs/dbraw/zinc/26/07/72/1073260772.db2.gz QKBPDCVLJPYRAY-UHFFFAOYSA-N 0 0 426.495 -0.275 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000674193110 1073260762 /nfs/dbraw/zinc/26/07/62/1073260762.db2.gz QROVGWBEOYQOAP-UHFFFAOYSA-N 0 0 432.524 -0.072 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000674193205 1073261239 /nfs/dbraw/zinc/26/12/39/1073261239.db2.gz SQFKECVJTRVUKR-UHFFFAOYSA-N 0 0 438.503 -0.542 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@H](CO)C3)cn2)CC1 ZINC000674193548 1073260646 /nfs/dbraw/zinc/26/06/46/1073260646.db2.gz ARIZOVMPULLWIB-AWEZNQCLSA-N 0 0 425.511 -0.667 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@@H](CO)C3)cn2)CC1 ZINC000674193550 1073260664 /nfs/dbraw/zinc/26/06/64/1073260664.db2.gz ARIZOVMPULLWIB-CQSZACIVSA-N 0 0 425.511 -0.667 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(Cn3cccn3)c2)CC1 ZINC000674193567 1073260752 /nfs/dbraw/zinc/26/07/52/1073260752.db2.gz BDJASXDVYDOAJI-UHFFFAOYSA-N 0 0 428.493 -0.223 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000674193910 1073260676 /nfs/dbraw/zinc/26/06/76/1073260676.db2.gz HBDXFUDKUIFNLV-BLLLJJGKSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000674193922 1073260785 /nfs/dbraw/zinc/26/07/85/1073260785.db2.gz HBDXFUDKUIFNLV-LRDDRELGSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000674193924 1073260768 /nfs/dbraw/zinc/26/07/68/1073260768.db2.gz HBDXFUDKUIFNLV-MLGOLLRUSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000674193926 1073260683 /nfs/dbraw/zinc/26/06/83/1073260683.db2.gz HBDXFUDKUIFNLV-WBMJQRKESA-N 0 0 448.505 -0.115 20 0 IBADRN Cc1ccc(-c2nnco2)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000674193945 1073260693 /nfs/dbraw/zinc/26/06/93/1073260693.db2.gz FQVYDBXXOJJGJY-UHFFFAOYSA-N 0 0 436.494 -0.323 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000674193965 1073260690 /nfs/dbraw/zinc/26/06/90/1073260690.db2.gz HGCMSYZJUGTWLC-BLLLJJGKSA-N 0 0 428.449 -0.077 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000674193969 1073260656 /nfs/dbraw/zinc/26/06/56/1073260656.db2.gz HGCMSYZJUGTWLC-LRDDRELGSA-N 0 0 428.449 -0.077 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000674193970 1073260730 /nfs/dbraw/zinc/26/07/30/1073260730.db2.gz HGCMSYZJUGTWLC-MLGOLLRUSA-N 0 0 428.449 -0.077 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccc(OCCC(N)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000674193971 1073260723 /nfs/dbraw/zinc/26/07/23/1073260723.db2.gz HGCMSYZJUGTWLC-WBMJQRKESA-N 0 0 428.449 -0.077 20 0 IBADRN Cn1ccnc1-c1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000674194239 1073261254 /nfs/dbraw/zinc/26/12/54/1073261254.db2.gz IJBZFWWGBDHDLT-UHFFFAOYSA-N 0 0 434.522 -0.281 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc3cnn(CCOC)c3c2)CC1 ZINC000674194421 1073261270 /nfs/dbraw/zinc/26/12/70/1073261270.db2.gz UPGPTMVPIAVVPW-UHFFFAOYSA-N 0 0 446.508 -0.472 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(CN3CCCC3=O)c2)CC1 ZINC000674194540 1073261273 /nfs/dbraw/zinc/26/12/73/1073261273.db2.gz WXHLNFZESSIPAI-UHFFFAOYSA-N 0 0 445.520 -0.346 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H](CO)c3ccccc3)cn2)CC1 ZINC000674195773 1073261236 /nfs/dbraw/zinc/26/12/36/1073261236.db2.gz WLEMPCBOEIOBML-KRWDZBQOSA-N 0 0 447.517 -0.049 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H](CO)c3ccccc3)cn2)CC1 ZINC000674195774 1073261228 /nfs/dbraw/zinc/26/12/28/1073261228.db2.gz WLEMPCBOEIOBML-QGZVFWFLSA-N 0 0 447.517 -0.049 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CCCC[C@@H]3O)cn2)CC1 ZINC000674196500 1073261172 /nfs/dbraw/zinc/26/11/72/1073261172.db2.gz ZOXVAEGLZLWYLA-GJZGRUSLSA-N 0 0 425.511 -0.479 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CCCC[C@H]3O)cn2)CC1 ZINC000674196501 1073261265 /nfs/dbraw/zinc/26/12/65/1073261265.db2.gz ZOXVAEGLZLWYLA-HUUCEWRRSA-N 0 0 425.511 -0.479 20 0 IBADRN CC(C)n1ncc2cc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc21 ZINC000674196822 1073261243 /nfs/dbraw/zinc/26/12/43/1073261243.db2.gz LCECLJPKTKRQBK-UHFFFAOYSA-N 0 0 425.453 -0.087 20 0 IBADRN CC(=O)Nc1c(C)ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C ZINC000674196892 1073261287 /nfs/dbraw/zinc/26/12/87/1073261287.db2.gz RHJJHAKLRIKYSO-UHFFFAOYSA-N 0 0 428.453 -0.442 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000674196909 1073261290 /nfs/dbraw/zinc/26/12/90/1073261290.db2.gz TWEOTXGOEDPABQ-UHFFFAOYSA-N 0 0 431.453 -0.854 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCCC3=O)c2)CC1 ZINC000674196916 1073261221 /nfs/dbraw/zinc/26/12/21/1073261221.db2.gz UPUJBWLEPHJXOR-UHFFFAOYSA-N 0 0 440.464 -0.895 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1OCC ZINC000674196929 1073261261 /nfs/dbraw/zinc/26/12/61/1073261261.db2.gz VJLOTAKIEVRUAN-UHFFFAOYSA-N 0 0 431.453 -0.220 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)C2)cc1 ZINC000674199222 1073261856 /nfs/dbraw/zinc/26/18/56/1073261856.db2.gz BMCYAEXXGPQJHI-INIZCTEOSA-N 0 0 430.509 -0.818 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)C2)cc1 ZINC000674199223 1073262066 /nfs/dbraw/zinc/26/20/66/1073262066.db2.gz BMCYAEXXGPQJHI-MRXNPFEDSA-N 0 0 430.509 -0.818 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)CC1 ZINC000674202365 1073261185 /nfs/dbraw/zinc/26/11/85/1073261185.db2.gz SRYAZHGGQCQMQX-UHFFFAOYSA-N 0 0 431.537 -0.072 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C[C@H](C)O)CC3)cc2)CC1 ZINC000674202662 1073261204 /nfs/dbraw/zinc/26/12/04/1073261204.db2.gz ZNZUZUYJJWIHPG-KRWDZBQOSA-N 0 0 431.537 -0.072 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C[C@@H](C)O)CC3)cc2)CC1 ZINC000674202663 1073261278 /nfs/dbraw/zinc/26/12/78/1073261278.db2.gz ZNZUZUYJJWIHPG-QGZVFWFLSA-N 0 0 431.537 -0.072 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)NCC3)CC1 ZINC000674203863 1073261292 /nfs/dbraw/zinc/26/12/92/1073261292.db2.gz FNIJNUQGCOYPBR-HNNXBMFYSA-N 0 0 445.520 -0.404 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)NCC3)CC1 ZINC000674203864 1073261692 /nfs/dbraw/zinc/26/16/92/1073261692.db2.gz FNIJNUQGCOYPBR-OAHLLOKOSA-N 0 0 445.520 -0.404 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000674203886 1073261677 /nfs/dbraw/zinc/26/16/77/1073261677.db2.gz GSIDMSWLJYNANM-AWEZNQCLSA-N 0 0 447.492 -0.359 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000674203887 1073261669 /nfs/dbraw/zinc/26/16/69/1073261669.db2.gz GSIDMSWLJYNANM-CQSZACIVSA-N 0 0 447.492 -0.359 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(OC)nc2OC)CC1 ZINC000674204251 1073261652 /nfs/dbraw/zinc/26/16/52/1073261652.db2.gz KHEMKZMTDQAFRQ-AWEZNQCLSA-N 0 0 437.497 -0.277 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(OC)nc2OC)CC1 ZINC000674204253 1073261561 /nfs/dbraw/zinc/26/15/61/1073261561.db2.gz KHEMKZMTDQAFRQ-CQSZACIVSA-N 0 0 437.497 -0.277 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(C)nn3C)CC1 ZINC000674204289 1073261601 /nfs/dbraw/zinc/26/16/01/1073261601.db2.gz LHGAFALWZGDJFN-HNNXBMFYSA-N 0 0 445.524 -0.099 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(C)nn3C)CC1 ZINC000674204293 1073261580 /nfs/dbraw/zinc/26/15/80/1073261580.db2.gz LHGAFALWZGDJFN-OAHLLOKOSA-N 0 0 445.524 -0.099 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000674204702 1073261479 /nfs/dbraw/zinc/26/14/79/1073261479.db2.gz RREXHOCRZBDTFX-INIZCTEOSA-N 0 0 447.536 -0.401 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cc1 ZINC000674204704 1073261633 /nfs/dbraw/zinc/26/16/33/1073261633.db2.gz RREXHOCRZBDTFX-MRXNPFEDSA-N 0 0 447.536 -0.401 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC[C@@H](CCO)C1 ZINC000674204825 1073261614 /nfs/dbraw/zinc/26/16/14/1073261614.db2.gz HHYKVLRVFNFKKD-AWEZNQCLSA-N 0 0 438.506 -0.633 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC[C@H](CCO)C1 ZINC000674204834 1073261697 /nfs/dbraw/zinc/26/16/97/1073261697.db2.gz HHYKVLRVFNFKKD-CQSZACIVSA-N 0 0 438.506 -0.633 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(F)c(C(N)=O)c2)CC1 ZINC000674204867 1073261627 /nfs/dbraw/zinc/26/16/27/1073261627.db2.gz UOTQGPKAEMGQII-CYBMUJFWSA-N 0 0 437.472 -0.452 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(F)c(C(N)=O)c2)CC1 ZINC000674204871 1073261643 /nfs/dbraw/zinc/26/16/43/1073261643.db2.gz UOTQGPKAEMGQII-ZDUSSCGKSA-N 0 0 437.472 -0.452 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccccc2-n2cncn2)CC1 ZINC000674205005 1073261659 /nfs/dbraw/zinc/26/16/59/1073261659.db2.gz WLZLYOMTRMXDOV-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccccc2-n2cncn2)CC1 ZINC000674205006 1073261685 /nfs/dbraw/zinc/26/16/85/1073261685.db2.gz WLZLYOMTRMXDOV-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@@H](CCO)C3)cn2)CC1 ZINC000674206039 1073261701 /nfs/dbraw/zinc/26/17/01/1073261701.db2.gz NTDHDECKPVMAEA-HNNXBMFYSA-N 0 0 439.538 -0.277 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@H](CCO)C3)cn2)CC1 ZINC000674206040 1073261498 /nfs/dbraw/zinc/26/14/98/1073261498.db2.gz NTDHDECKPVMAEA-OAHLLOKOSA-N 0 0 439.538 -0.277 20 0 IBADRN CC(C)[C@H](CCO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000674212387 1073261512 /nfs/dbraw/zinc/26/15/12/1073261512.db2.gz QFBUKCKRECYXBM-HNNXBMFYSA-N 0 0 427.527 -0.375 20 0 IBADRN CC(C)[C@@H](CCO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000674212388 1073261589 /nfs/dbraw/zinc/26/15/89/1073261589.db2.gz QFBUKCKRECYXBM-OAHLLOKOSA-N 0 0 427.527 -0.375 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)C2)cc1 ZINC000674217779 1073261536 /nfs/dbraw/zinc/26/15/36/1073261536.db2.gz HIAMZGCFXNKKFI-UHFFFAOYSA-N 0 0 430.509 -0.864 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCCC1 ZINC000674219806 1073261834 /nfs/dbraw/zinc/26/18/34/1073261834.db2.gz RSJUHJFVUSZPPC-AWEZNQCLSA-N 0 0 430.465 -0.666 20 0 IBADRN C[C@@H](c1ccccc1)[C@@H](CO)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000674220758 1073262078 /nfs/dbraw/zinc/26/20/78/1073262078.db2.gz YVNXNDPPVWXBLU-BLLLJJGKSA-N 0 0 425.445 -0.149 20 0 IBADRN C[C@@H](c1ccccc1)[C@H](CO)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000674220759 1073262060 /nfs/dbraw/zinc/26/20/60/1073262060.db2.gz YVNXNDPPVWXBLU-LRDDRELGSA-N 0 0 425.445 -0.149 20 0 IBADRN C[C@H](c1ccccc1)[C@@H](CO)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000674220760 1073262016 /nfs/dbraw/zinc/26/20/16/1073262016.db2.gz YVNXNDPPVWXBLU-MLGOLLRUSA-N 0 0 425.445 -0.149 20 0 IBADRN C[C@H](c1ccccc1)[C@H](CO)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000674220762 1073262005 /nfs/dbraw/zinc/26/20/05/1073262005.db2.gz YVNXNDPPVWXBLU-WBMJQRKESA-N 0 0 425.445 -0.149 20 0 IBADRN COCCn1ncc2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc21 ZINC000674221967 1073261887 /nfs/dbraw/zinc/26/18/87/1073261887.db2.gz BVWGNYPEKVWXOH-UHFFFAOYSA-N 0 0 438.510 -0.946 20 0 IBADRN Cc1ccc(Oc2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2)nn1 ZINC000674221970 1073262369 /nfs/dbraw/zinc/26/23/69/1073262369.db2.gz BZAQPTGWMMVHKF-UHFFFAOYSA-N 0 0 448.505 -0.051 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(CN3CCCC3=O)c2)CC1 ZINC000674222105 1073261990 /nfs/dbraw/zinc/26/19/90/1073261990.db2.gz HOVDSABUDLCDQZ-UHFFFAOYSA-N 0 0 437.522 -0.820 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000674222589 1073262023 /nfs/dbraw/zinc/26/20/23/1073262023.db2.gz VDYVBPSUAGACML-KRWDZBQOSA-N 0 0 431.537 -0.072 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000674222590 1073262086 /nfs/dbraw/zinc/26/20/86/1073262086.db2.gz VDYVBPSUAGACML-QGZVFWFLSA-N 0 0 431.537 -0.072 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000674222607 1073261847 /nfs/dbraw/zinc/26/18/47/1073261847.db2.gz WAAXXGKBOYZWSH-UHFFFAOYSA-N 0 0 428.511 -0.779 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1OCC ZINC000674222722 1073261878 /nfs/dbraw/zinc/26/18/78/1073261878.db2.gz XCKYJFRAFOXXRN-UHFFFAOYSA-N 0 0 428.511 -0.145 20 0 IBADRN CCC1(O)CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000674222794 1073261812 /nfs/dbraw/zinc/26/18/12/1073261812.db2.gz ZCIFPMLXIYDBPL-UHFFFAOYSA-N 0 0 439.538 -0.135 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(CCOCCN2CCC[C@H](NS(C)(=O)=O)C2)C1 ZINC000674231976 1073262094 /nfs/dbraw/zinc/26/20/94/1073262094.db2.gz LYRXQPZEIXNJGY-HOTGVXAUSA-N 0 0 426.605 -0.970 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(CCOCCN2CCC[C@@H](NS(C)(=O)=O)C2)C1 ZINC000674231980 1073262030 /nfs/dbraw/zinc/26/20/30/1073262030.db2.gz LYRXQPZEIXNJGY-HZPDHXFCSA-N 0 0 426.605 -0.970 20 0 IBADRN COCCN(C(=O)CN1CCc2ccc(S(N)(=O)=O)cc21)[C@H]1CCS(=O)(=O)C1 ZINC000674233685 1073262092 /nfs/dbraw/zinc/26/20/92/1073262092.db2.gz PUWPJLCMNLUFSU-AWEZNQCLSA-N 0 0 431.536 -0.641 20 0 IBADRN COCCN(C(=O)CN1CCc2ccc(S(N)(=O)=O)cc21)[C@@H]1CCS(=O)(=O)C1 ZINC000674233691 1073261799 /nfs/dbraw/zinc/26/17/99/1073261799.db2.gz PUWPJLCMNLUFSU-CQSZACIVSA-N 0 0 431.536 -0.641 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000674241447 1073262387 /nfs/dbraw/zinc/26/23/87/1073262387.db2.gz CYJBFANLYCFSLN-UHFFFAOYSA-N 0 0 436.450 -0.033 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)Nc1ccccc1CN1C(=O)CNC1=O ZINC000674242393 1073262536 /nfs/dbraw/zinc/26/25/36/1073262536.db2.gz YIHFKOIHLVAKBN-UHFFFAOYSA-N 0 0 436.450 -0.033 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000674242456 1073262566 /nfs/dbraw/zinc/26/25/66/1073262566.db2.gz YXCCBGJDHUVLPS-UHFFFAOYSA-N 0 0 436.450 -0.033 20 0 IBADRN COc1nc(NCC(C)(C)NS(C)(=O)=O)nc(NCC(C)(C)NS(C)(=O)=O)n1 ZINC000674246817 1073262397 /nfs/dbraw/zinc/26/23/97/1073262397.db2.gz FPNGBFSRNNJLMD-UHFFFAOYSA-N 0 0 439.564 -0.640 20 0 IBADRN Cn1cc(N2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)CC2=O)cn1 ZINC000674252763 1073262435 /nfs/dbraw/zinc/26/24/35/1073262435.db2.gz OTKDMHCDZYLTMU-UHFFFAOYSA-N 0 0 436.494 -0.732 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000674254990 1073262510 /nfs/dbraw/zinc/26/25/10/1073262510.db2.gz UAJCLPOCQMRMQX-UHFFFAOYSA-N 0 0 430.508 -0.384 20 0 IBADRN CSc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000674268230 1073262523 /nfs/dbraw/zinc/26/25/23/1073262523.db2.gz NNTPOXWTZGSWRT-UHFFFAOYSA-N 0 0 429.524 -0.537 20 0 IBADRN CSc1ccncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000674269156 1073262459 /nfs/dbraw/zinc/26/24/59/1073262459.db2.gz OCLSGGGCQCGUCQ-UHFFFAOYSA-N 0 0 442.567 -0.622 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000674272237 1073262495 /nfs/dbraw/zinc/26/24/95/1073262495.db2.gz JKPOKOUNZWAABM-UHFFFAOYSA-N 0 0 445.523 -0.357 20 0 IBADRN CN(c1ccc(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1)S(C)(=O)=O ZINC000674273968 1073262602 /nfs/dbraw/zinc/26/26/02/1073262602.db2.gz HEVPCIYBOYNTEA-UHFFFAOYSA-N 0 0 445.523 -0.011 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000674274499 1073262942 /nfs/dbraw/zinc/26/29/42/1073262942.db2.gz PQEXQRGICGIVRQ-CYBMUJFWSA-N 0 0 436.494 -0.229 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000674274500 1073262822 /nfs/dbraw/zinc/26/28/22/1073262822.db2.gz PQEXQRGICGIVRQ-ZDUSSCGKSA-N 0 0 436.494 -0.229 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)N1c1cnn(C)c1 ZINC000674276130 1073262813 /nfs/dbraw/zinc/26/28/13/1073262813.db2.gz OSFMMILYFMRVBR-BXUZGUMPSA-N 0 0 425.471 -0.679 20 0 IBADRN C[C@H]1C[C@H](NC(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)N1c1cnn(C)c1 ZINC000674276136 1073262829 /nfs/dbraw/zinc/26/28/29/1073262829.db2.gz OSFMMILYFMRVBR-FZMZJTMJSA-N 0 0 425.471 -0.679 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)N1c1cnn(C)c1 ZINC000674276137 1073262834 /nfs/dbraw/zinc/26/28/34/1073262834.db2.gz OSFMMILYFMRVBR-RISCZKNCSA-N 0 0 425.471 -0.679 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)N1c1cnn(C)c1 ZINC000674276138 1073262877 /nfs/dbraw/zinc/26/28/77/1073262877.db2.gz OSFMMILYFMRVBR-SMDDNHRTSA-N 0 0 425.471 -0.679 20 0 IBADRN O=C(Cn1cc(NC(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)cn1)NCCO ZINC000674280288 1073262838 /nfs/dbraw/zinc/26/28/38/1073262838.db2.gz YOUDPZOAVGKBMR-UHFFFAOYSA-N 0 0 436.494 -0.130 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000674282486 1073262852 /nfs/dbraw/zinc/26/28/52/1073262852.db2.gz HKADFDCFJJTFTG-UHFFFAOYSA-N 0 0 431.496 -0.035 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)Nc1ccn(CCN2CCOCC2)n1 ZINC000674282847 1073262904 /nfs/dbraw/zinc/26/29/04/1073262904.db2.gz PBUUGVRWBVRRAF-UHFFFAOYSA-N 0 0 427.487 -0.556 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)Nc1cnn(CCN2CCOCC2)c1 ZINC000674284439 1073262917 /nfs/dbraw/zinc/26/29/17/1073262917.db2.gz IVUUDNXSSNIHOD-UHFFFAOYSA-N 0 0 427.487 -0.556 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)NCCNS(=O)(=O)Cc2ccon2)CCCCC1 ZINC000674292678 1073262947 /nfs/dbraw/zinc/26/29/47/1073262947.db2.gz PJMZZPHBTZPWMZ-UHFFFAOYSA-N 0 0 437.544 -0.355 20 0 IBADRN COc1nc(N2CCN(C(=O)CC[C@@H]3NC(=O)NC3=O)CC2)ncc1Br ZINC000674307517 1073262887 /nfs/dbraw/zinc/26/28/87/1073262887.db2.gz FKOJOZPXFVNGKU-JTQLQIEISA-N 0 0 427.259 -0.116 20 0 IBADRN COc1nc(N2CCN(C(=O)CC[C@H]3NC(=O)NC3=O)CC2)ncc1Br ZINC000674307518 1073262898 /nfs/dbraw/zinc/26/28/98/1073262898.db2.gz FKOJOZPXFVNGKU-SNVBAGLBSA-N 0 0 427.259 -0.116 20 0 IBADRN COCCCOc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000674318877 1073263480 /nfs/dbraw/zinc/26/34/80/1073263480.db2.gz JLQGAXUCHMZOEX-UHFFFAOYSA-N 0 0 428.511 -0.009 20 0 IBADRN COCCCOc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000674319886 1073263424 /nfs/dbraw/zinc/26/34/24/1073263424.db2.gz DRHREBZXSCHWSR-UHFFFAOYSA-N 0 0 442.538 -0.266 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000674319940 1073263403 /nfs/dbraw/zinc/26/34/03/1073263403.db2.gz ADTHIBRKEBWGDT-UHFFFAOYSA-N 0 0 432.587 -0.219 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000674321760 1073262869 /nfs/dbraw/zinc/26/28/69/1073262869.db2.gz YHWUXFVQFMZOTE-KRWDZBQOSA-N 0 0 430.571 -0.513 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC000674321761 1073262930 /nfs/dbraw/zinc/26/29/30/1073262930.db2.gz YHWUXFVQFMZOTE-QGZVFWFLSA-N 0 0 430.571 -0.513 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCc3nnnn3C3CC3)cc2)CC1 ZINC000674321838 1073262799 /nfs/dbraw/zinc/26/27/99/1073262799.db2.gz CRXNCKUHTBKHIV-UHFFFAOYSA-N 0 0 440.508 -0.031 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCN(CCO)CC1 ZINC000674323136 1073262992 /nfs/dbraw/zinc/26/29/92/1073262992.db2.gz JCRIYPNWSDYUHD-SFHVURJKSA-N 0 0 427.523 -0.103 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CCc3c(C)nc4c(C(N)=O)cnn4c3C)CCN2C1=O ZINC000674376867 1073263341 /nfs/dbraw/zinc/26/33/41/1073263341.db2.gz GZZODXXOFOBHDL-HNNXBMFYSA-N 0 0 427.465 -0.127 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CCc3c(C)nc4c(C(N)=O)cnn4c3C)CCN2C1=O ZINC000674376871 1073263514 /nfs/dbraw/zinc/26/35/14/1073263514.db2.gz GZZODXXOFOBHDL-OAHLLOKOSA-N 0 0 427.465 -0.127 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N(CCO)CCCO ZINC000674377406 1073263433 /nfs/dbraw/zinc/26/34/33/1073263433.db2.gz FUOVWTSFECXXIG-AWEZNQCLSA-N 0 0 435.524 -0.639 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N(CCO)CCCO ZINC000674377410 1073263503 /nfs/dbraw/zinc/26/35/03/1073263503.db2.gz FUOVWTSFECXXIG-CQSZACIVSA-N 0 0 435.524 -0.639 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CNC(=O)c3cc(OC)c(OC)c(OC)c3)CCN2C1=O ZINC000674378085 1073263495 /nfs/dbraw/zinc/26/34/95/1073263495.db2.gz ZXOGHILDFFXETJ-CYBMUJFWSA-N 0 0 434.449 -0.063 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CNC(=O)c3cc(OC)c(OC)c(OC)c3)CCN2C1=O ZINC000674378088 1073263465 /nfs/dbraw/zinc/26/34/65/1073263465.db2.gz ZXOGHILDFFXETJ-ZDUSSCGKSA-N 0 0 434.449 -0.063 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3cc(S(=O)(=O)N4CCSCC4)c[nH]3)CCN2C1=O ZINC000674379201 1073263359 /nfs/dbraw/zinc/26/33/59/1073263359.db2.gz XSUOVYWFUJWLKZ-AWEZNQCLSA-N 0 0 441.535 -0.139 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3cc(S(=O)(=O)N4CCSCC4)c[nH]3)CCN2C1=O ZINC000674379205 1073263373 /nfs/dbraw/zinc/26/33/73/1073263373.db2.gz XSUOVYWFUJWLKZ-CQSZACIVSA-N 0 0 441.535 -0.139 20 0 IBADRN Cn1cc(Br)cc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1=O ZINC000674386410 1073263328 /nfs/dbraw/zinc/26/33/28/1073263328.db2.gz VZWJHSTYCPFJPA-UHFFFAOYSA-N 0 0 436.332 -0.154 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1C(=O)N(C)C ZINC000674405872 1073263697 /nfs/dbraw/zinc/26/36/97/1073263697.db2.gz GSFYJCGQHYSZCQ-UHFFFAOYSA-N 0 0 425.511 -0.414 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C(=O)N(C)C ZINC000674406719 1073263715 /nfs/dbraw/zinc/26/37/15/1073263715.db2.gz UVWCNDQZPOEDCR-UHFFFAOYSA-N 0 0 439.538 -0.671 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)cc1 ZINC000674415578 1073264037 /nfs/dbraw/zinc/26/40/37/1073264037.db2.gz BXGYKKBGMXFOTN-PXAZEXFGSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)cc1 ZINC000674415579 1073264033 /nfs/dbraw/zinc/26/40/33/1073264033.db2.gz BXGYKKBGMXFOTN-SJCJKPOMSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)cc1 ZINC000674415580 1073264018 /nfs/dbraw/zinc/26/40/18/1073264018.db2.gz BXGYKKBGMXFOTN-SJKOYZFVSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)cc1 ZINC000674415581 1073264056 /nfs/dbraw/zinc/26/40/56/1073264056.db2.gz BXGYKKBGMXFOTN-YVEFUNNKSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC000674415824 1073263709 /nfs/dbraw/zinc/26/37/09/1073263709.db2.gz GMQAGMXNQFFZIX-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3cccc(S(=O)(=O)N(C)C)c3)CCN2C1=O ZINC000674418665 1073264026 /nfs/dbraw/zinc/26/40/26/1073264026.db2.gz GXBROHJLUUZLBA-AWEZNQCLSA-N 0 0 430.508 -0.406 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3cccc(S(=O)(=O)N(C)C)c3)CCN2C1=O ZINC000674418666 1073264059 /nfs/dbraw/zinc/26/40/59/1073264059.db2.gz GXBROHJLUUZLBA-CQSZACIVSA-N 0 0 430.508 -0.406 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)CCN2C1=O ZINC000674418677 1073264052 /nfs/dbraw/zinc/26/40/52/1073264052.db2.gz GYXSPNWEUXMKLX-INIZCTEOSA-N 0 0 436.490 -0.184 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)CCN2C1=O ZINC000674418684 1073264048 /nfs/dbraw/zinc/26/40/48/1073264048.db2.gz GYXSPNWEUXMKLX-MRXNPFEDSA-N 0 0 436.490 -0.184 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)CCN2C1=O ZINC000674418686 1073264044 /nfs/dbraw/zinc/26/40/44/1073264044.db2.gz HCPDYBVGONTESP-HNNXBMFYSA-N 0 0 442.519 -0.216 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)CCN2C1=O ZINC000674418689 1073264022 /nfs/dbraw/zinc/26/40/22/1073264022.db2.gz HCPDYBVGONTESP-OAHLLOKOSA-N 0 0 442.519 -0.216 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)c1 ZINC000674419251 1073264041 /nfs/dbraw/zinc/26/40/41/1073264041.db2.gz ASTMPCPDISXGPM-BDJLRTHQSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)c1 ZINC000674419260 1073264068 /nfs/dbraw/zinc/26/40/68/1073264068.db2.gz ASTMPCPDISXGPM-BZNIZROVSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)c1 ZINC000674419263 1073264063 /nfs/dbraw/zinc/26/40/63/1073264063.db2.gz ASTMPCPDISXGPM-MEDUHNTESA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)c1 ZINC000674419264 1073264318 /nfs/dbraw/zinc/26/43/18/1073264318.db2.gz ASTMPCPDISXGPM-ZBEGNZNMSA-N 0 0 430.508 -0.407 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N(C)C)cc3)CCN2C1=O ZINC000674420152 1073264436 /nfs/dbraw/zinc/26/44/36/1073264436.db2.gz RGKHAZXSVCWMGP-AWEZNQCLSA-N 0 0 430.508 -0.406 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N(C)C)cc3)CCN2C1=O ZINC000674420154 1073264356 /nfs/dbraw/zinc/26/43/56/1073264356.db2.gz RGKHAZXSVCWMGP-CQSZACIVSA-N 0 0 430.508 -0.406 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)CCN2C1=O ZINC000674420642 1073264383 /nfs/dbraw/zinc/26/43/83/1073264383.db2.gz VNHHUMMEPJKEHP-HNNXBMFYSA-N 0 0 442.519 -0.216 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)CCN2C1=O ZINC000674420644 1073264449 /nfs/dbraw/zinc/26/44/49/1073264449.db2.gz VNHHUMMEPJKEHP-OAHLLOKOSA-N 0 0 442.519 -0.216 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccc(NS(C)(=O)=O)cc3F)CCN2C1=O ZINC000674420952 1073264401 /nfs/dbraw/zinc/26/44/01/1073264401.db2.gz YJNNIMVKRRVHSP-GFCCVEGCSA-N 0 0 434.471 -0.146 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccc(NS(C)(=O)=O)cc3F)CCN2C1=O ZINC000674420953 1073264338 /nfs/dbraw/zinc/26/43/38/1073264338.db2.gz YJNNIMVKRRVHSP-LBPRGKRZSA-N 0 0 434.471 -0.146 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)CCN2C1=O ZINC000674420971 1073264322 /nfs/dbraw/zinc/26/43/22/1073264322.db2.gz YVIWWYOYDDZPRV-HNNXBMFYSA-N 0 0 442.519 -0.117 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)CCN2C1=O ZINC000674420973 1073264351 /nfs/dbraw/zinc/26/43/51/1073264351.db2.gz YVIWWYOYDDZPRV-OAHLLOKOSA-N 0 0 442.519 -0.117 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)cc1 ZINC000674422089 1073264390 /nfs/dbraw/zinc/26/43/90/1073264390.db2.gz PTFCRCIHPNOBLT-BDJLRTHQSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)cc1 ZINC000674422090 1073264328 /nfs/dbraw/zinc/26/43/28/1073264328.db2.gz PTFCRCIHPNOBLT-BZNIZROVSA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)cc1 ZINC000674422310 1073264441 /nfs/dbraw/zinc/26/44/41/1073264441.db2.gz PTFCRCIHPNOBLT-MEDUHNTESA-N 0 0 430.508 -0.407 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)cc1 ZINC000674422311 1073264424 /nfs/dbraw/zinc/26/44/24/1073264424.db2.gz PTFCRCIHPNOBLT-ZBEGNZNMSA-N 0 0 430.508 -0.407 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)c1 ZINC000674422643 1073264415 /nfs/dbraw/zinc/26/44/15/1073264415.db2.gz SMFOJKCRPCPGCU-PXAZEXFGSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)c1 ZINC000674422644 1073264397 /nfs/dbraw/zinc/26/43/97/1073264397.db2.gz SMFOJKCRPCPGCU-SJCJKPOMSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)c1 ZINC000674422645 1073264466 /nfs/dbraw/zinc/26/44/66/1073264466.db2.gz SMFOJKCRPCPGCU-SJKOYZFVSA-N 0 0 444.535 -0.064 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)c1 ZINC000674422646 1073264651 /nfs/dbraw/zinc/26/46/51/1073264651.db2.gz SMFOJKCRPCPGCU-YVEFUNNKSA-N 0 0 444.535 -0.064 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1=O ZINC000674422901 1073264459 /nfs/dbraw/zinc/26/44/59/1073264459.db2.gz WTRCIBXFGHLNSD-HUTHGQBESA-N 0 0 436.450 -0.967 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1=O ZINC000674422902 1073264373 /nfs/dbraw/zinc/26/43/73/1073264373.db2.gz WTRCIBXFGHLNSD-VVVCHXIZSA-N 0 0 436.450 -0.967 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1=O ZINC000674422903 1073264365 /nfs/dbraw/zinc/26/43/65/1073264365.db2.gz WTRCIBXFGHLNSD-XLFHBGCDSA-N 0 0 436.450 -0.967 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNS(=O)(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C1=O ZINC000674422904 1073264379 /nfs/dbraw/zinc/26/43/79/1073264379.db2.gz WTRCIBXFGHLNSD-XYZCENFISA-N 0 0 436.450 -0.967 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000674425097 1073264660 /nfs/dbraw/zinc/26/46/60/1073264660.db2.gz XURUWQYPOYGLBV-UHFFFAOYSA-N 0 0 425.559 -0.334 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000674425930 1073264682 /nfs/dbraw/zinc/26/46/82/1073264682.db2.gz BYUDQDBDGYHTEW-UHFFFAOYSA-N 0 0 437.522 -0.565 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674426272 1073264739 /nfs/dbraw/zinc/26/47/39/1073264739.db2.gz JQLIGBILHCGOKH-UHFFFAOYSA-N 0 0 448.567 -0.212 20 0 IBADRN COc1cc(NC(=O)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(OC)c1 ZINC000674430641 1073265058 /nfs/dbraw/zinc/26/50/58/1073265058.db2.gz KINTYIOLPGOCDD-UHFFFAOYSA-N 0 0 443.526 -0.481 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000674432600 1073265050 /nfs/dbraw/zinc/26/50/50/1073265050.db2.gz DYEXPKDZYHOXSW-UHFFFAOYSA-N 0 0 448.567 -0.212 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)NCCS(=O)(=O)N2CCSCC2)C1=O ZINC000674432681 1073265020 /nfs/dbraw/zinc/26/50/20/1073265020.db2.gz NJUPBPWXKVQMIE-BLLLJJGKSA-N 0 0 433.556 -0.615 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)NCCS(=O)(=O)N2CCSCC2)C1=O ZINC000674432682 1073265092 /nfs/dbraw/zinc/26/50/92/1073265092.db2.gz NJUPBPWXKVQMIE-LRDDRELGSA-N 0 0 433.556 -0.615 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)NCCS(=O)(=O)N2CCSCC2)C1=O ZINC000674432683 1073265025 /nfs/dbraw/zinc/26/50/25/1073265025.db2.gz NJUPBPWXKVQMIE-MLGOLLRUSA-N 0 0 433.556 -0.615 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)NCCS(=O)(=O)N2CCSCC2)C1=O ZINC000674432684 1073265041 /nfs/dbraw/zinc/26/50/41/1073265041.db2.gz NJUPBPWXKVQMIE-WBMJQRKESA-N 0 0 433.556 -0.615 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)NCc2cc(S(N)(=O)=O)ccc2F)C1=O ZINC000674437396 1073264700 /nfs/dbraw/zinc/26/47/00/1073264700.db2.gz ISXPHAQXCZMNCW-APPDUMDISA-N 0 0 427.458 -0.007 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)NCc2cc(S(N)(=O)=O)ccc2F)C1=O ZINC000674437397 1073264689 /nfs/dbraw/zinc/26/46/89/1073264689.db2.gz ISXPHAQXCZMNCW-DIFFPNOSSA-N 0 0 427.458 -0.007 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)NCc2cc(S(N)(=O)=O)ccc2F)C1=O ZINC000674437398 1073264731 /nfs/dbraw/zinc/26/47/31/1073264731.db2.gz ISXPHAQXCZMNCW-GTNSWQLSSA-N 0 0 427.458 -0.007 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)NCc2cc(S(N)(=O)=O)ccc2F)C1=O ZINC000674437399 1073264776 /nfs/dbraw/zinc/26/47/76/1073264776.db2.gz ISXPHAQXCZMNCW-PIGZYNQJSA-N 0 0 427.458 -0.007 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@H]3CCC[C@@]34NC(=O)N(C)C4=O)CC2)no1 ZINC000674437419 1073264654 /nfs/dbraw/zinc/26/46/54/1073264654.db2.gz JAFLQWDIYATZKA-JLTOFOAXSA-N 0 0 447.496 -0.031 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@@H]3CCC[C@@]34NC(=O)N(C)C4=O)CC2)no1 ZINC000674437420 1073264746 /nfs/dbraw/zinc/26/47/46/1073264746.db2.gz JAFLQWDIYATZKA-VBKZILBWSA-N 0 0 447.496 -0.031 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@H]3CCC[C@]34NC(=O)N(C)C4=O)CC2)no1 ZINC000674437421 1073264674 /nfs/dbraw/zinc/26/46/74/1073264674.db2.gz JAFLQWDIYATZKA-VLIAUNLRSA-N 0 0 447.496 -0.031 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NC[C@@H]3CCC[C@]34NC(=O)N(C)C4=O)CC2)no1 ZINC000674437422 1073264715 /nfs/dbraw/zinc/26/47/15/1073264715.db2.gz JAFLQWDIYATZKA-XOBRGWDASA-N 0 0 447.496 -0.031 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000674439369 1073265102 /nfs/dbraw/zinc/26/51/02/1073265102.db2.gz MEIGVNNKCZZGGJ-JLTOFOAXSA-N 0 0 434.497 -0.817 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000674439376 1073265040 /nfs/dbraw/zinc/26/50/40/1073265040.db2.gz MEIGVNNKCZZGGJ-VBKZILBWSA-N 0 0 434.497 -0.817 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000674439377 1073265027 /nfs/dbraw/zinc/26/50/27/1073265027.db2.gz MEIGVNNKCZZGGJ-VLIAUNLRSA-N 0 0 434.497 -0.817 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000674439378 1073265053 /nfs/dbraw/zinc/26/50/53/1073265053.db2.gz MEIGVNNKCZZGGJ-XOBRGWDASA-N 0 0 434.497 -0.817 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1=O ZINC000674440633 1073265096 /nfs/dbraw/zinc/26/50/96/1073265096.db2.gz QKKBKMRAXSMKAH-DEYYWGMASA-N 0 0 445.542 -0.505 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1=O ZINC000674440634 1073265068 /nfs/dbraw/zinc/26/50/68/1073265068.db2.gz QKKBKMRAXSMKAH-GLJUWKHASA-N 0 0 445.542 -0.505 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1=O ZINC000674440635 1073265017 /nfs/dbraw/zinc/26/50/17/1073265017.db2.gz QKKBKMRAXSMKAH-IYOUNJFTSA-N 0 0 445.542 -0.505 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1=O ZINC000674440636 1073265077 /nfs/dbraw/zinc/26/50/77/1073265077.db2.gz QKKBKMRAXSMKAH-LBTNJELSSA-N 0 0 445.542 -0.505 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000674440669 1073265084 /nfs/dbraw/zinc/26/50/84/1073265084.db2.gz QUVDQCTYFJBRQT-JLTOFOAXSA-N 0 0 429.481 -0.267 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000674440670 1073265022 /nfs/dbraw/zinc/26/50/22/1073265022.db2.gz QUVDQCTYFJBRQT-VBKZILBWSA-N 0 0 429.481 -0.267 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000674440671 1073265045 /nfs/dbraw/zinc/26/50/45/1073265045.db2.gz QUVDQCTYFJBRQT-VLIAUNLRSA-N 0 0 429.481 -0.267 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000674440672 1073265074 /nfs/dbraw/zinc/26/50/74/1073265074.db2.gz QUVDQCTYFJBRQT-XOBRGWDASA-N 0 0 429.481 -0.267 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)cc1 ZINC000674448670 1073265387 /nfs/dbraw/zinc/26/53/87/1073265387.db2.gz AAZASGMJHGDNOI-AUUYWEPGSA-N 0 0 438.506 -0.250 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)cc1 ZINC000674448671 1073265415 /nfs/dbraw/zinc/26/54/15/1073265415.db2.gz AAZASGMJHGDNOI-IFXJQAMLSA-N 0 0 438.506 -0.250 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)cc1 ZINC000674448672 1073265425 /nfs/dbraw/zinc/26/54/25/1073265425.db2.gz AAZASGMJHGDNOI-KUHUBIRLSA-N 0 0 438.506 -0.250 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)cc1 ZINC000674448673 1073265397 /nfs/dbraw/zinc/26/53/97/1073265397.db2.gz AAZASGMJHGDNOI-LIRRHRJNSA-N 0 0 438.506 -0.250 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1=O ZINC000674451795 1073265659 /nfs/dbraw/zinc/26/56/59/1073265659.db2.gz NURYURPSDBZUCJ-AOMKIAJQSA-N 0 0 439.472 -0.105 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1=O ZINC000674451801 1073265684 /nfs/dbraw/zinc/26/56/84/1073265684.db2.gz NURYURPSDBZUCJ-KSFYIVLOSA-N 0 0 439.472 -0.105 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1=O ZINC000674451805 1073265679 /nfs/dbraw/zinc/26/56/79/1073265679.db2.gz NURYURPSDBZUCJ-OPAMFIHVSA-N 0 0 439.472 -0.105 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1=O ZINC000674451807 1073265671 /nfs/dbraw/zinc/26/56/71/1073265671.db2.gz NURYURPSDBZUCJ-ZHRRBRCNSA-N 0 0 439.472 -0.105 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN2C1=O ZINC000674454204 1073265648 /nfs/dbraw/zinc/26/56/48/1073265648.db2.gz WJRDKEHUYOOSOI-INIZCTEOSA-N 0 0 436.490 -0.184 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN2C1=O ZINC000674454206 1073265621 /nfs/dbraw/zinc/26/56/21/1073265621.db2.gz WJRDKEHUYOOSOI-MRXNPFEDSA-N 0 0 436.490 -0.184 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3ccc(S(=O)(=O)CC(=O)N(C)C)cc3)CCN2C1=O ZINC000674454212 1073265686 /nfs/dbraw/zinc/26/56/86/1073265686.db2.gz WLEWQHXBPBJVOE-HNNXBMFYSA-N 0 0 436.490 -0.343 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3ccc(S(=O)(=O)CC(=O)N(C)C)cc3)CCN2C1=O ZINC000674454213 1073265643 /nfs/dbraw/zinc/26/56/43/1073265643.db2.gz WLEWQHXBPBJVOE-OAHLLOKOSA-N 0 0 436.490 -0.343 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1=O ZINC000674454430 1073265628 /nfs/dbraw/zinc/26/56/28/1073265628.db2.gz ZRDUNINERQIMRL-KPZWWZAWSA-N 0 0 440.478 -0.249 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1=O ZINC000674454441 1073265655 /nfs/dbraw/zinc/26/56/55/1073265655.db2.gz ZRDUNINERQIMRL-KZULUSFZSA-N 0 0 440.478 -0.249 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1=O ZINC000674454445 1073265633 /nfs/dbraw/zinc/26/56/33/1073265633.db2.gz ZRDUNINERQIMRL-SGTLLEGYSA-N 0 0 440.478 -0.249 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1=O ZINC000674454448 1073265667 /nfs/dbraw/zinc/26/56/67/1073265667.db2.gz ZRDUNINERQIMRL-XIKOKIGWSA-N 0 0 440.478 -0.249 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3ccc4c(c3)CCN4C(=O)CNC(C)=O)CCN2C1=O ZINC000674455605 1073266029 /nfs/dbraw/zinc/26/60/29/1073266029.db2.gz DIYMDZRNFHJYSX-KRWDZBQOSA-N 0 0 427.461 -0.180 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3ccc4c(c3)CCN4C(=O)CNC(C)=O)CCN2C1=O ZINC000674455606 1073266064 /nfs/dbraw/zinc/26/60/64/1073266064.db2.gz DIYMDZRNFHJYSX-QGZVFWFLSA-N 0 0 427.461 -0.180 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)CCN2C1=O ZINC000674455856 1073265637 /nfs/dbraw/zinc/26/56/37/1073265637.db2.gz IQPUXCOCQUEAOY-INIZCTEOSA-N 0 0 425.445 -0.447 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)CCN2C1=O ZINC000674455858 1073265676 /nfs/dbraw/zinc/26/56/76/1073265676.db2.gz IQPUXCOCQUEAOY-MRXNPFEDSA-N 0 0 425.445 -0.447 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)CCN2C1=O ZINC000674455893 1073266051 /nfs/dbraw/zinc/26/60/51/1073266051.db2.gz JPZGRSVISIXXJB-GFCCVEGCSA-N 0 0 426.451 -0.591 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)CCN2C1=O ZINC000674455894 1073266089 /nfs/dbraw/zinc/26/60/89/1073266089.db2.gz JPZGRSVISIXXJB-LBPRGKRZSA-N 0 0 426.451 -0.591 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)Cc3cc(F)c(OC)c(S(N)(=O)=O)c3)CCN2C1=O ZINC000674457231 1073265997 /nfs/dbraw/zinc/26/59/97/1073265997.db2.gz UBQVMCVQXWEIDJ-GFCCVEGCSA-N 0 0 428.442 -0.481 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)Cc3cc(F)c(OC)c(S(N)(=O)=O)c3)CCN2C1=O ZINC000674457234 1073265956 /nfs/dbraw/zinc/26/59/56/1073265956.db2.gz UBQVMCVQXWEIDJ-LBPRGKRZSA-N 0 0 428.442 -0.481 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)CCN2C1=O ZINC000674457477 1073266057 /nfs/dbraw/zinc/26/60/57/1073266057.db2.gz VIVDWDYDVAIZSG-HNNXBMFYSA-N 0 0 439.494 -0.846 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)CCN2C1=O ZINC000674457483 1073266109 /nfs/dbraw/zinc/26/61/09/1073266109.db2.gz VIVDWDYDVAIZSG-OAHLLOKOSA-N 0 0 439.494 -0.846 20 0 IBADRN CN(CCN1CCCS1(=O)=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000674461459 1073266074 /nfs/dbraw/zinc/26/60/74/1073266074.db2.gz CNHMGGSKFMRFCE-CVEARBPZSA-N 0 0 437.584 -0.852 20 0 IBADRN CN(CCN1CCCS1(=O)=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000674461460 1073266103 /nfs/dbraw/zinc/26/61/03/1073266103.db2.gz CNHMGGSKFMRFCE-HOTGVXAUSA-N 0 0 437.584 -0.852 20 0 IBADRN CN(CCN1CCCS1(=O)=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000674461461 1073266069 /nfs/dbraw/zinc/26/60/69/1073266069.db2.gz CNHMGGSKFMRFCE-HZPDHXFCSA-N 0 0 437.584 -0.852 20 0 IBADRN CN(CCN1CCCS1(=O)=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000674461462 1073265965 /nfs/dbraw/zinc/26/59/65/1073265965.db2.gz CNHMGGSKFMRFCE-JKSUJKDBSA-N 0 0 437.584 -0.852 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](O)C[C@H](O)C3)CC2)cc1 ZINC000674465618 1073266005 /nfs/dbraw/zinc/26/60/05/1073266005.db2.gz OUCLMHDBWANYER-IYBDPMFKSA-N 0 0 427.523 -0.654 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N(C3CCCC3)[C@@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC000674470012 1073266400 /nfs/dbraw/zinc/26/64/00/1073266400.db2.gz NTWLZXSTKWYCDV-CVEARBPZSA-N 0 0 426.539 -0.087 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N(C3CCCC3)[C@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC000674470013 1073266322 /nfs/dbraw/zinc/26/63/22/1073266322.db2.gz NTWLZXSTKWYCDV-HOTGVXAUSA-N 0 0 426.539 -0.087 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)N(C3CCCC3)[C@@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC000674470014 1073266374 /nfs/dbraw/zinc/26/63/74/1073266374.db2.gz NTWLZXSTKWYCDV-HZPDHXFCSA-N 0 0 426.539 -0.087 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)N(C3CCCC3)[C@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC000674470015 1073266402 /nfs/dbraw/zinc/26/64/02/1073266402.db2.gz NTWLZXSTKWYCDV-JKSUJKDBSA-N 0 0 426.539 -0.087 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC000674470503 1073266410 /nfs/dbraw/zinc/26/64/10/1073266410.db2.gz XKUJBAMRZNUCGO-JYJNAYRXSA-N 0 0 442.538 -0.851 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC000674470514 1073266397 /nfs/dbraw/zinc/26/63/97/1073266397.db2.gz XKUJBAMRZNUCGO-OAGGEKHMSA-N 0 0 442.538 -0.851 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC000674470516 1073266417 /nfs/dbraw/zinc/26/64/17/1073266417.db2.gz XKUJBAMRZNUCGO-PMPSAXMXSA-N 0 0 442.538 -0.851 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC000674470519 1073266389 /nfs/dbraw/zinc/26/63/89/1073266389.db2.gz XKUJBAMRZNUCGO-XHSDSOJGSA-N 0 0 442.538 -0.851 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000674471071 1073266362 /nfs/dbraw/zinc/26/63/62/1073266362.db2.gz BQQPZFGSJGPCTJ-UHFFFAOYSA-N 0 0 441.510 -0.714 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000674474466 1073266406 /nfs/dbraw/zinc/26/64/06/1073266406.db2.gz VFGGHDXGDXMWIT-CYBMUJFWSA-N 0 0 426.495 -0.124 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000674474467 1073266351 /nfs/dbraw/zinc/26/63/51/1073266351.db2.gz VFGGHDXGDXMWIT-ZDUSSCGKSA-N 0 0 426.495 -0.124 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N(C)CCN1CCCS1(=O)=O ZINC000674475002 1073266771 /nfs/dbraw/zinc/26/67/71/1073266771.db2.gz AQTHXVDVOPYGDB-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1 ZINC000674475085 1073266345 /nfs/dbraw/zinc/26/63/45/1073266345.db2.gz BXOFFYAZYITBLK-UHFFFAOYSA-N 0 0 444.535 -0.341 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674475104 1073266328 /nfs/dbraw/zinc/26/63/28/1073266328.db2.gz DBMUUHODJLQXRX-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674475164 1073266433 /nfs/dbraw/zinc/26/64/33/1073266433.db2.gz HTOAJEJSRWQYQY-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN Cc1nnnn1-c1cc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)ccc1F ZINC000674475230 1073266338 /nfs/dbraw/zinc/26/63/38/1073266338.db2.gz KWKOQPCFSHEXRQ-UHFFFAOYSA-N 0 0 425.446 -0.458 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)ccc1C ZINC000674475328 1073266357 /nfs/dbraw/zinc/26/63/57/1073266357.db2.gz PTDPZNSVRRBXII-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1 ZINC000674475349 1073266422 /nfs/dbraw/zinc/26/64/22/1073266422.db2.gz RCRPEKCRLGHLNE-UHFFFAOYSA-N 0 0 444.535 -0.341 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)ccc1F ZINC000674475433 1073266744 /nfs/dbraw/zinc/26/67/44/1073266744.db2.gz BFIGVHUNZJSQEV-GASCZTMLSA-N 0 0 438.456 -0.621 20 0 IBADRN CN(C)CC(=O)Nc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674475442 1073266760 /nfs/dbraw/zinc/26/67/60/1073266760.db2.gz BJGUBHLFKKCKNN-UHFFFAOYSA-N 0 0 425.511 -0.381 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674475445 1073266721 /nfs/dbraw/zinc/26/67/21/1073266721.db2.gz BKWTWVVLGDIPNN-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccc(CCN2CCOCC2)cc1 ZINC000674475468 1073266673 /nfs/dbraw/zinc/26/66/73/1073266673.db2.gz BWNZZIVJADLKKI-UHFFFAOYSA-N 0 0 438.550 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000674475495 1073266685 /nfs/dbraw/zinc/26/66/85/1073266685.db2.gz FAXDHPDDHXVXPB-TXEJJXNPSA-N 0 0 429.495 -0.335 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1C ZINC000674475557 1073266755 /nfs/dbraw/zinc/26/67/55/1073266755.db2.gz SCCRKFCBWPFFLO-UHFFFAOYSA-N 0 0 432.524 -0.617 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1-n1nnnc1C ZINC000674475563 1073266795 /nfs/dbraw/zinc/26/67/95/1073266795.db2.gz SHHTYBYFOOIYQP-UHFFFAOYSA-N 0 0 437.482 -0.588 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cc(F)c(S(C)(=O)=O)c(F)c1 ZINC000674475576 1073266715 /nfs/dbraw/zinc/26/67/15/1073266715.db2.gz SNJULQSYLBBATP-UHFFFAOYSA-N 0 0 439.462 -0.199 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000674475603 1073266709 /nfs/dbraw/zinc/26/67/09/1073266709.db2.gz UMRXAMPRWFULKQ-UHFFFAOYSA-N 0 0 433.490 -0.225 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000674475628 1073266782 /nfs/dbraw/zinc/26/67/82/1073266782.db2.gz WHHQLFGLGRQXMB-UHFFFAOYSA-N 0 0 439.523 -0.183 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000674475641 1073266665 /nfs/dbraw/zinc/26/66/65/1073266665.db2.gz XHDWDNMJNQROEL-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N(C)CCN1CCCS1(=O)=O ZINC000674475678 1073265939 /nfs/dbraw/zinc/26/59/39/1073265939.db2.gz YOHNUMRGUCBSNU-UHFFFAOYSA-N 0 0 426.495 -0.171 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccc(CN2C(=O)CCC2=O)cc1 ZINC000674475721 1073266734 /nfs/dbraw/zinc/26/67/34/1073266734.db2.gz ZQMAHQDIMFJREA-UHFFFAOYSA-N 0 0 436.490 -0.232 20 0 IBADRN COc1cc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)ccc1S(=O)(=O)N1CCCC1 ZINC000674475858 1073266427 /nfs/dbraw/zinc/26/64/27/1073266427.db2.gz JTTFPHZVTKDEOZ-OKILXGFUSA-N 0 0 427.479 -0.628 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000674475980 1073265980 /nfs/dbraw/zinc/26/59/80/1073265980.db2.gz MXRYLNGRAOFUAY-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1S(=O)(=O)N(C)C ZINC000674475993 1073266046 /nfs/dbraw/zinc/26/60/46/1073266046.db2.gz NGWUGWSIDYWOBU-UHFFFAOYSA-N 0 0 446.551 -0.322 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c(OCC(=O)N(C)C)c1 ZINC000674475998 1073266094 /nfs/dbraw/zinc/26/60/94/1073266094.db2.gz NJUVAVWLOJFOHX-UHFFFAOYSA-N 0 0 440.522 -0.106 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000674476084 1073266413 /nfs/dbraw/zinc/26/64/13/1073266413.db2.gz PDXGTQWGMXEDCH-CALCHBBNSA-N 0 0 430.461 -0.607 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)cc2)C1 ZINC000674476123 1073265986 /nfs/dbraw/zinc/26/59/86/1073265986.db2.gz PXWGNPRZKHPYKK-BMFZPTHFSA-N 0 0 425.507 0.000 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)cc2)C1 ZINC000674476124 1073266080 /nfs/dbraw/zinc/26/60/80/1073266080.db2.gz PXWGNPRZKHPYKK-CWRNSKLLSA-N 0 0 425.507 0.000 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccccc1CN1C(=O)CCC1=O ZINC000674476214 1073266037 /nfs/dbraw/zinc/26/60/37/1073266037.db2.gz SKTWARGCYMDJQA-UHFFFAOYSA-N 0 0 436.490 -0.232 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000674476251 1073266800 /nfs/dbraw/zinc/26/68/00/1073266800.db2.gz UHRKNTOPAQVXIP-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674476263 1073266789 /nfs/dbraw/zinc/26/67/89/1073266789.db2.gz UNOBGPCRMWHQNJ-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N(C)CCN3CCCS3(=O)=O)cc21 ZINC000674476271 1073266729 /nfs/dbraw/zinc/26/67/29/1073266729.db2.gz UWNNAQLRSGDPSA-UHFFFAOYSA-N 0 0 435.506 -0.180 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000674476422 1073266696 /nfs/dbraw/zinc/26/66/96/1073266696.db2.gz WUBBRVGPNTTYLD-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000674476428 1073266777 /nfs/dbraw/zinc/26/67/77/1073266777.db2.gz WWDXARGOJDUQGH-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1S(N)(=O)=O ZINC000674476430 1073266688 /nfs/dbraw/zinc/26/66/88/1073266688.db2.gz WYDKBFMXNVUQJZ-UHFFFAOYSA-N 0 0 432.524 -0.671 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674476461 1073266704 /nfs/dbraw/zinc/26/67/04/1073266704.db2.gz YCVYTLYOLFYHSD-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674476474 1073267093 /nfs/dbraw/zinc/26/70/93/1073267093.db2.gz YPCGWTHWQIKUFS-UHFFFAOYSA-N 0 0 432.524 -0.119 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC000674476534 1073267084 /nfs/dbraw/zinc/26/70/84/1073267084.db2.gz AFBBXMQWDGIZFP-GASCZTMLSA-N 0 0 441.506 -0.238 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)cc2)CC1 ZINC000674476558 1073267206 /nfs/dbraw/zinc/26/72/06/1073267206.db2.gz BNYADYBULLOYFP-IYBDPMFKSA-N 0 0 425.507 0.000 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000674476622 1073267133 /nfs/dbraw/zinc/26/71/33/1073267133.db2.gz FDLFYAPUXQBQBP-CALCHBBNSA-N 0 0 434.493 -0.748 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)c1 ZINC000674476728 1073267180 /nfs/dbraw/zinc/26/71/80/1073267180.db2.gz JJZGXLFUQIZHJD-BETUJISGSA-N 0 0 429.495 -0.382 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000674476839 1073267138 /nfs/dbraw/zinc/26/71/38/1073267138.db2.gz JSWGEGROSUPTPV-BETUJISGSA-N 0 0 447.897 -0.747 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)c1 ZINC000674476849 1073267223 /nfs/dbraw/zinc/26/72/23/1073267223.db2.gz KKCQFXTUIYJSGD-OKILXGFUSA-N 0 0 442.538 -0.324 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000674476852 1073267077 /nfs/dbraw/zinc/26/70/77/1073267077.db2.gz KLDFOEJJBBEROR-OKILXGFUSA-N 0 0 441.506 -0.238 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000674477742 1073267213 /nfs/dbraw/zinc/26/72/13/1073267213.db2.gz WSOSJKVVGLLPLS-GASCZTMLSA-N 0 0 441.506 -0.238 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000674477766 1073267145 /nfs/dbraw/zinc/26/71/45/1073267145.db2.gz XFTMLOKWLUXQLA-BETUJISGSA-N 0 0 429.495 -0.383 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)cc2)C[C@H](C)O1 ZINC000674477926 1073267127 /nfs/dbraw/zinc/26/71/27/1073267127.db2.gz ZJERYNYSOYSVEV-DARAHFNDSA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)cc2)C[C@H](C)O1 ZINC000674477930 1073267173 /nfs/dbraw/zinc/26/71/73/1073267173.db2.gz ZJERYNYSOYSVEV-SDSIWUNFSA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)cc2)C[C@@H](C)O1 ZINC000674477932 1073267236 /nfs/dbraw/zinc/26/72/36/1073267236.db2.gz ZJERYNYSOYSVEV-XOUADPBQSA-N 0 0 441.506 -0.623 20 0 IBADRN COC[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000674478685 1073267099 /nfs/dbraw/zinc/26/70/99/1073267099.db2.gz KTVKBHKRZUDMKD-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN COC[C@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000674478688 1073267155 /nfs/dbraw/zinc/26/71/55/1073267155.db2.gz KTVKBHKRZUDMKD-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)c2ccccc2O1 ZINC000674479309 1073267149 /nfs/dbraw/zinc/26/71/49/1073267149.db2.gz JMUZFFVTLCKPNP-HCQWSFJTSA-N 0 0 443.460 -0.637 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)c2ccccc2O1 ZINC000674479322 1073267230 /nfs/dbraw/zinc/26/72/30/1073267230.db2.gz JMUZFFVTLCKPNP-PYDTXJQDSA-N 0 0 443.460 -0.637 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)c2ccccc2O1 ZINC000674479324 1073267197 /nfs/dbraw/zinc/26/71/97/1073267197.db2.gz JMUZFFVTLCKPNP-QOKQMOMRSA-N 0 0 443.460 -0.637 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)c2ccccc2O1 ZINC000674479326 1073267162 /nfs/dbraw/zinc/26/71/62/1073267162.db2.gz JMUZFFVTLCKPNP-RKFVTTHHSA-N 0 0 443.460 -0.637 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1)CC2 ZINC000674479540 1073267556 /nfs/dbraw/zinc/26/75/56/1073267556.db2.gz GLVDLULTDPEZIZ-UHFFFAOYSA-N 0 0 433.490 -0.164 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCC2(CS(C)(=O)=O)CC2)CC1 ZINC000674479824 1073267496 /nfs/dbraw/zinc/26/74/96/1073267496.db2.gz VPIPPXIUCGYXEK-UHFFFAOYSA-N 0 0 436.534 -0.046 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(CN3C(=O)CNC3=O)cc1)CC2 ZINC000674480423 1073267666 /nfs/dbraw/zinc/26/76/66/1073267666.db2.gz AUMWDHLGGHZXOO-UHFFFAOYSA-N 0 0 438.444 -0.162 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)N1C[C@@H](C(=O)NC)Oc3ccccc31)CC2 ZINC000674480753 1073267661 /nfs/dbraw/zinc/26/76/61/1073267661.db2.gz PKRVIIJCZGERPF-KRWDZBQOSA-N 0 0 425.445 -0.312 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)N1C[C@H](C(=O)NC)Oc3ccccc31)CC2 ZINC000674480762 1073267575 /nfs/dbraw/zinc/26/75/75/1073267575.db2.gz PKRVIIJCZGERPF-QGZVFWFLSA-N 0 0 425.445 -0.312 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCc3nn(CC)c(=O)cc3C2)cc1S(N)(=O)=O ZINC000674480864 1073267676 /nfs/dbraw/zinc/26/76/76/1073267676.db2.gz RQYWHBOFVNYKDT-UHFFFAOYSA-N 0 0 433.490 -0.004 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)N1CCc3ccc(S(=O)(=O)NC)cc31)CC2 ZINC000674481589 1073267690 /nfs/dbraw/zinc/26/76/90/1073267690.db2.gz MCDHWXMFSSYVLL-UHFFFAOYSA-N 0 0 445.501 -0.355 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1cccc(CN3C(=O)CNC3=O)c1)CC2 ZINC000674482653 1073267682 /nfs/dbraw/zinc/26/76/82/1073267682.db2.gz ZNIVEDRZNDDJDP-UHFFFAOYSA-N 0 0 438.444 -0.162 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@H]4C3)cc2)CC1 ZINC000674482776 1073267536 /nfs/dbraw/zinc/26/75/36/1073267536.db2.gz HVRGHMFQFPSGOI-KRWDZBQOSA-N 0 0 428.493 -0.361 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@@H]4C3)cc2)CC1 ZINC000674482779 1073267600 /nfs/dbraw/zinc/26/76/00/1073267600.db2.gz HVRGHMFQFPSGOI-QGZVFWFLSA-N 0 0 428.493 -0.361 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000674491901 1073267581 /nfs/dbraw/zinc/26/75/81/1073267581.db2.gz HLXBSXBPROZWEN-AWEZNQCLSA-N 0 0 432.568 -0.009 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000674491904 1073267635 /nfs/dbraw/zinc/26/76/35/1073267635.db2.gz HLXBSXBPROZWEN-CQSZACIVSA-N 0 0 432.568 -0.009 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN(C)CCN2CCCS2(=O)=O)c(=O)[nH]c1=O ZINC000674495076 1073268627 /nfs/dbraw/zinc/26/86/27/1073268627.db2.gz BDQNJOUWLWBVKN-UHFFFAOYSA-N 0 0 444.558 -0.349 20 0 IBADRN CC(C)CN(C(=O)CN1C[C@H](O)C[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000674495128 1073268482 /nfs/dbraw/zinc/26/84/82/1073268482.db2.gz HAMRPISZXLHKHV-CALCHBBNSA-N 0 0 445.520 -0.004 20 0 IBADRN CN(CCN1CCCS1(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000674495270 1073268578 /nfs/dbraw/zinc/26/85/78/1073268578.db2.gz IJSMTCUQWFBYBF-UHFFFAOYSA-N 0 0 444.579 -0.513 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](O)C[C@H](O)C3)CC2)cc1 ZINC000674495342 1073268602 /nfs/dbraw/zinc/26/86/02/1073268602.db2.gz JEEMVYLCIOZERU-CALCHBBNSA-N 0 0 425.507 -0.850 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2C[C@H](O)C[C@H](O)C2)c(=O)[nH]c1=O ZINC000674495653 1073268924 /nfs/dbraw/zinc/26/89/24/1073268924.db2.gz MYFMTLUFWHFOIS-MCIONIFRSA-N 0 0 427.502 -0.873 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@H](C)N2C[C@H](O)C[C@H](O)C2)c(=O)[nH]c1=O ZINC000674495663 1073268540 /nfs/dbraw/zinc/26/85/40/1073268540.db2.gz MYFMTLUFWHFOIS-MELADBBJSA-N 0 0 427.502 -0.873 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N(C)CCN1CCCS1(=O)=O ZINC000674495727 1073268904 /nfs/dbraw/zinc/26/89/04/1073268904.db2.gz JVYGPVSKKYNSDM-AWEZNQCLSA-N 0 0 432.568 -0.652 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N(C)CCN1CCCS1(=O)=O ZINC000674495730 1073268849 /nfs/dbraw/zinc/26/88/49/1073268849.db2.gz JVYGPVSKKYNSDM-CQSZACIVSA-N 0 0 432.568 -0.652 20 0 IBADRN CN(CCN1CCCS1(=O)=O)CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000674496040 1073268618 /nfs/dbraw/zinc/26/86/18/1073268618.db2.gz QMZLGXYMWVOVPP-UHFFFAOYSA-N 0 0 438.550 -0.258 20 0 IBADRN CN(CCN1CCCS1(=O)=O)CC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000674496130 1073268590 /nfs/dbraw/zinc/26/85/90/1073268590.db2.gz RXJDDDPNGXSACZ-UHFFFAOYSA-N 0 0 449.533 -0.673 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N(C)CCN1CCCS1(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000674496329 1073268566 /nfs/dbraw/zinc/26/85/66/1073268566.db2.gz PZEKVQUNVZXKHI-KBPBESRZSA-N 0 0 432.568 -0.133 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N(C)CCN1CCCS1(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000674496332 1073268504 /nfs/dbraw/zinc/26/85/04/1073268504.db2.gz PZEKVQUNVZXKHI-KGLIPLIRSA-N 0 0 432.568 -0.133 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N(C)CCN1CCCS1(=O)=O ZINC000674496335 1073268636 /nfs/dbraw/zinc/26/86/36/1073268636.db2.gz PZEKVQUNVZXKHI-UONOGXRCSA-N 0 0 432.568 -0.133 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N(C)CCN1CCCS1(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000674496337 1073268654 /nfs/dbraw/zinc/26/86/54/1073268654.db2.gz PZEKVQUNVZXKHI-ZIAGYGMSSA-N 0 0 432.568 -0.133 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)CCN1CCCS1(=O)=O ZINC000674496495 1073269081 /nfs/dbraw/zinc/26/90/81/1073269081.db2.gz XECCSJRDQLVPCC-CYBMUJFWSA-N 0 0 430.552 -0.421 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)CCN1CCCS1(=O)=O ZINC000674496497 1073268986 /nfs/dbraw/zinc/26/89/86/1073268986.db2.gz XECCSJRDQLVPCC-ZDUSSCGKSA-N 0 0 430.552 -0.421 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000674496748 1073268939 /nfs/dbraw/zinc/26/89/39/1073268939.db2.gz DHNFDZUXEUUHBH-BETUJISGSA-N 0 0 435.905 -0.261 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H](O)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000674496906 1073269088 /nfs/dbraw/zinc/26/90/88/1073269088.db2.gz BUYZQPQUXGHVHO-OKILXGFUSA-N 0 0 433.914 -0.273 20 0 IBADRN COc1ccc(NC(=O)CN(C)CCN2CCCS2(=O)=O)cc1S(=O)(=O)N(C)C ZINC000674496997 1073269072 /nfs/dbraw/zinc/26/90/72/1073269072.db2.gz VTWKKPWGAUHTBH-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3C[C@H](O)C[C@H](O)C3)CC2)c1 ZINC000674497075 1073269058 /nfs/dbraw/zinc/26/90/58/1073269058.db2.gz GWNPGDLADLUZRW-CALCHBBNSA-N 0 0 425.507 -0.850 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000674497171 1073268875 /nfs/dbraw/zinc/26/88/75/1073268875.db2.gz HUYREGCTQFNKJA-KDURUIRLSA-N 0 0 437.562 -0.174 20 0 IBADRN CCCN(C(=O)CN1C[C@H](O)C[C@H](O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000674497809 1073269017 /nfs/dbraw/zinc/26/90/17/1073269017.db2.gz LEJNKQSHOQKGNC-IYBDPMFKSA-N 0 0 431.493 -0.250 20 0 IBADRN O=C(CN1C[C@H](O)C[C@H](O)C1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000674497998 1073268896 /nfs/dbraw/zinc/26/88/96/1073268896.db2.gz MRIVXYMKLAKOJT-BETUJISGSA-N 0 0 433.914 -0.273 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)C[C@H](O)C3)cc2)C[C@H](C)O1 ZINC000674498260 1073268959 /nfs/dbraw/zinc/26/89/59/1073268959.db2.gz PSSDRTNTNNJADQ-MDBPOYHNSA-N 0 0 427.523 -0.150 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)C[C@H](O)C3)cc2)C[C@H](C)O1 ZINC000674498261 1073269093 /nfs/dbraw/zinc/26/90/93/1073269093.db2.gz PSSDRTNTNNJADQ-NXNVCVFFSA-N 0 0 427.523 -0.150 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)C[C@H](O)C3)cc2)C[C@@H](C)O1 ZINC000674498262 1073269050 /nfs/dbraw/zinc/26/90/50/1073269050.db2.gz PSSDRTNTNNJADQ-SRABZTEZSA-N 0 0 427.523 -0.150 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@H](O)C[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000674498924 1073269008 /nfs/dbraw/zinc/26/90/08/1073269008.db2.gz YLNYGMXOQLUVHA-GASCZTMLSA-N 0 0 429.495 -0.918 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2C[C@H](O)C[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000674499227 1073268966 /nfs/dbraw/zinc/26/89/66/1073268966.db2.gz ZUTONGIJSHLPHC-BHYGNILZSA-N 0 0 427.523 -0.230 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2C[C@H](O)C[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000674499228 1073268996 /nfs/dbraw/zinc/26/89/96/1073268996.db2.gz ZUTONGIJSHLPHC-OIISXLGYSA-N 0 0 427.523 -0.230 20 0 IBADRN CCN1C(=O)[C@@H]2CN([C@H](C)C(=O)N[C@H](C)c3ccc(S(N)(=O)=O)cc3)CCN2C1=O ZINC000674501056 1073268096 /nfs/dbraw/zinc/26/80/96/1073268096.db2.gz HVDIHKSXBKYXDK-IOASZLSFSA-N 0 0 437.522 -0.132 20 0 IBADRN CCN1C(=O)[C@@H]2CN([C@@H](C)C(=O)N[C@H](C)c3ccc(S(N)(=O)=O)cc3)CCN2C1=O ZINC000674501058 1073268132 /nfs/dbraw/zinc/26/81/32/1073268132.db2.gz HVDIHKSXBKYXDK-WWGRRREGSA-N 0 0 437.522 -0.132 20 0 IBADRN CCN1C(=O)[C@@H]2CN([C@@H](C)C(=O)N[C@@H](C)c3ccc(S(N)(=O)=O)cc3)CCN2C1=O ZINC000674501060 1073267979 /nfs/dbraw/zinc/26/79/79/1073267979.db2.gz HVDIHKSXBKYXDK-XEZPLFJOSA-N 0 0 437.522 -0.132 20 0 IBADRN CCN1C(=O)[C@@H]2CN([C@H](C)C(=O)N[C@@H](C)c3ccc(S(N)(=O)=O)cc3)CCN2C1=O ZINC000674501061 1073268159 /nfs/dbraw/zinc/26/81/59/1073268159.db2.gz HVDIHKSXBKYXDK-ZENOOKHLSA-N 0 0 437.522 -0.132 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)CCN2C1=O ZINC000674501265 1073267620 /nfs/dbraw/zinc/26/76/20/1073267620.db2.gz JGKKBYJWVZWTPV-KRWDZBQOSA-N 0 0 449.533 -0.512 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)CCN2C1=O ZINC000674501266 1073267511 /nfs/dbraw/zinc/26/75/11/1073267511.db2.gz JGKKBYJWVZWTPV-QGZVFWFLSA-N 0 0 449.533 -0.512 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)NCc3ccc(C(=O)N4CCOCC4)cc3)CCN2C1=O ZINC000674501269 1073267643 /nfs/dbraw/zinc/26/76/43/1073267643.db2.gz JIXYBBNGMCQEBA-GOSISDBHSA-N 0 0 443.504 -0.257 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)NCc3ccc(C(=O)N4CCOCC4)cc3)CCN2C1=O ZINC000674501270 1073268046 /nfs/dbraw/zinc/26/80/46/1073268046.db2.gz JIXYBBNGMCQEBA-SFHVURJKSA-N 0 0 443.504 -0.257 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000674501789 1073268060 /nfs/dbraw/zinc/26/80/60/1073268060.db2.gz AXCAZVXKHXVPOG-UHFFFAOYSA-N 0 0 434.540 -0.847 20 0 IBADRN CCN1C(=O)[C@@H]2CN([C@@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CCN2C1=O ZINC000674501978 1073268114 /nfs/dbraw/zinc/26/81/14/1073268114.db2.gz QBCHIDHZNLCRSY-BBRMVZONSA-N 0 0 437.522 -0.651 20 0 IBADRN CCN1C(=O)[C@@H]2CN([C@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CCN2C1=O ZINC000674501979 1073268067 /nfs/dbraw/zinc/26/80/67/1073268067.db2.gz QBCHIDHZNLCRSY-CJNGLKHVSA-N 0 0 437.522 -0.651 20 0 IBADRN CCN1C(=O)[C@H]2CN([C@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CCN2C1=O ZINC000674501980 1073268079 /nfs/dbraw/zinc/26/80/79/1073268079.db2.gz QBCHIDHZNLCRSY-CZUORRHYSA-N 0 0 437.522 -0.651 20 0 IBADRN CCN1C(=O)[C@H]2CN([C@@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)CCN2C1=O ZINC000674501981 1073268105 /nfs/dbraw/zinc/26/81/05/1073268105.db2.gz QBCHIDHZNLCRSY-XJKSGUPXSA-N 0 0 437.522 -0.651 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000674502088 1073268090 /nfs/dbraw/zinc/26/80/90/1073268090.db2.gz ZNKFHCFYFNQCCA-UHFFFAOYSA-N 0 0 437.565 -0.124 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN3C(=O)N(CC)C(=O)[C@H]3C2)c(=O)[nH]c1=O ZINC000674502193 1073268009 /nfs/dbraw/zinc/26/80/09/1073268009.db2.gz VTMVNZBQUGLYFU-CYBMUJFWSA-N 0 0 449.512 -0.348 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)c(=O)[nH]c1=O ZINC000674502197 1073268000 /nfs/dbraw/zinc/26/80/00/1073268000.db2.gz VTMVNZBQUGLYFU-ZDUSSCGKSA-N 0 0 449.512 -0.348 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@@H]3C)CCN2C1=O ZINC000674502450 1073268075 /nfs/dbraw/zinc/26/80/75/1073268075.db2.gz WEULFMIFVQTMBS-BLLLJJGKSA-N 0 0 435.506 -0.420 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@@H]3C)CCN2C1=O ZINC000674502453 1073268017 /nfs/dbraw/zinc/26/80/17/1073268017.db2.gz WEULFMIFVQTMBS-LRDDRELGSA-N 0 0 435.506 -0.420 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@H]3C)CCN2C1=O ZINC000674502454 1073268011 /nfs/dbraw/zinc/26/80/11/1073268011.db2.gz WEULFMIFVQTMBS-MLGOLLRUSA-N 0 0 435.506 -0.420 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)N3c4ccc(S(N)(=O)=O)cc4C[C@H]3C)CCN2C1=O ZINC000674502456 1073268041 /nfs/dbraw/zinc/26/80/41/1073268041.db2.gz WEULFMIFVQTMBS-WBMJQRKESA-N 0 0 435.506 -0.420 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000674502575 1073268598 /nfs/dbraw/zinc/26/85/98/1073268598.db2.gz LNYDZIMQLDWVJD-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674502628 1073268528 /nfs/dbraw/zinc/26/85/28/1073268528.db2.gz NDUZBMIBWIMQKY-UHFFFAOYSA-N 0 0 431.536 -0.344 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674502963 1073268585 /nfs/dbraw/zinc/26/85/85/1073268585.db2.gz RUMVFYYDOGQWQJ-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000674503043 1073268532 /nfs/dbraw/zinc/26/85/32/1073268532.db2.gz UMYSKTOSZKMLJW-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000674503140 1073268124 /nfs/dbraw/zinc/26/81/24/1073268124.db2.gz GUBSFCFLEHAYBF-UHFFFAOYSA-N 0 0 426.480 -0.795 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000674504208 1073268561 /nfs/dbraw/zinc/26/85/61/1073268561.db2.gz NJSPDUCJQCEDIX-UHFFFAOYSA-N 0 0 448.567 -0.627 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000674504307 1073268536 /nfs/dbraw/zinc/26/85/36/1073268536.db2.gz TUYSFIJKOCLUPY-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000674504349 1073268497 /nfs/dbraw/zinc/26/84/97/1073268497.db2.gz VYBVFSIGOHJAAR-UHFFFAOYSA-N 0 0 430.552 -0.024 20 0 IBADRN C[C@H](C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000674516176 1073268832 /nfs/dbraw/zinc/26/88/32/1073268832.db2.gz MMTOENNSPRNMIN-HNNXBMFYSA-N 0 0 446.595 -0.266 20 0 IBADRN C[C@@H](C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000674516177 1073269440 /nfs/dbraw/zinc/26/94/40/1073269440.db2.gz MMTOENNSPRNMIN-OAHLLOKOSA-N 0 0 446.595 -0.266 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)CCN2CCCS2(=O)=O)C1 ZINC000674518608 1073269415 /nfs/dbraw/zinc/26/94/15/1073269415.db2.gz CPSADXGLHFXDRJ-HNNXBMFYSA-N 0 0 447.583 -0.079 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)CCN2CCCS2(=O)=O)C1 ZINC000674518609 1073269396 /nfs/dbraw/zinc/26/93/96/1073269396.db2.gz CPSADXGLHFXDRJ-OAHLLOKOSA-N 0 0 447.583 -0.079 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)c1 ZINC000674519824 1073269426 /nfs/dbraw/zinc/26/94/26/1073269426.db2.gz UALLNSODDCLOKN-KRWDZBQOSA-N 0 0 445.480 -0.235 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)[C@H]2CCCN2C(=O)CNC(C)=O)c1 ZINC000674519828 1073269392 /nfs/dbraw/zinc/26/93/92/1073269392.db2.gz UALLNSODDCLOKN-QGZVFWFLSA-N 0 0 445.480 -0.235 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(C)CCN2CCCS2(=O)=O)CC1 ZINC000674520786 1073269424 /nfs/dbraw/zinc/26/94/24/1073269424.db2.gz NDILDDYZHZPPEC-UHFFFAOYSA-N 0 0 447.583 -0.079 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000674520872 1073269436 /nfs/dbraw/zinc/26/94/36/1073269436.db2.gz QHHXXCKZZSLEMS-GOSISDBHSA-N 0 0 434.518 -0.044 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000674520873 1073269438 /nfs/dbraw/zinc/26/94/38/1073269438.db2.gz QHHXXCKZZSLEMS-SFHVURJKSA-N 0 0 434.518 -0.044 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H](CC(N)=O)C1CCOCC1 ZINC000674521295 1073269421 /nfs/dbraw/zinc/26/94/21/1073269421.db2.gz GRUNTKYSRNBOSE-HNNXBMFYSA-N 0 0 428.511 -0.554 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H](CC(N)=O)C1CCOCC1 ZINC000674521297 1073269450 /nfs/dbraw/zinc/26/94/50/1073269450.db2.gz GRUNTKYSRNBOSE-OAHLLOKOSA-N 0 0 428.511 -0.554 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)c1cc(S(=O)(=O)N3CCOCC3)cn1C)CC2 ZINC000674531433 1073269431 /nfs/dbraw/zinc/26/94/31/1073269431.db2.gz MMGDIMNGPXVWGC-UHFFFAOYSA-N 0 0 435.506 -0.179 20 0 IBADRN CC[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)N1CCOCC1 ZINC000674531845 1073269418 /nfs/dbraw/zinc/26/94/18/1073269418.db2.gz ZBIJMWSPFNBNBG-HNNXBMFYSA-N 0 0 428.511 -0.587 20 0 IBADRN CC[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)N1CCOCC1 ZINC000674531846 1073269448 /nfs/dbraw/zinc/26/94/48/1073269448.db2.gz ZBIJMWSPFNBNBG-OAHLLOKOSA-N 0 0 428.511 -0.587 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC000674532546 1073269409 /nfs/dbraw/zinc/26/94/09/1073269409.db2.gz OZROFMAJYCXWPN-UHFFFAOYSA-N 0 0 445.501 -0.418 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CNC(=O)[C@@H]3c4ccccc4C(=O)N3C3CC3)CCN2C1=O ZINC000674543375 1073269769 /nfs/dbraw/zinc/26/97/69/1073269769.db2.gz ZGTSJHWEDOARIT-AEFFLSMTSA-N 0 0 439.472 -0.043 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CNC(=O)[C@H]3c4ccccc4C(=O)N3C3CC3)CCN2C1=O ZINC000674543376 1073269887 /nfs/dbraw/zinc/26/98/87/1073269887.db2.gz ZGTSJHWEDOARIT-FUHWJXTLSA-N 0 0 439.472 -0.043 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CNC(=O)[C@H]3c4ccccc4C(=O)N3C3CC3)CCN2C1=O ZINC000674543377 1073269753 /nfs/dbraw/zinc/26/97/53/1073269753.db2.gz ZGTSJHWEDOARIT-SJLPKXTDSA-N 0 0 439.472 -0.043 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CNC(=O)[C@@H]3c4ccccc4C(=O)N3C3CC3)CCN2C1=O ZINC000674543378 1073269868 /nfs/dbraw/zinc/26/98/68/1073269868.db2.gz ZGTSJHWEDOARIT-WMZOPIPTSA-N 0 0 439.472 -0.043 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)CCN2C1=O ZINC000674544570 1073269825 /nfs/dbraw/zinc/26/98/25/1073269825.db2.gz NSKBTPXBZUHTDU-GFCCVEGCSA-N 0 0 442.519 -0.123 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)CCN2C1=O ZINC000674544571 1073269762 /nfs/dbraw/zinc/26/97/62/1073269762.db2.gz NSKBTPXBZUHTDU-LBPRGKRZSA-N 0 0 442.519 -0.123 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CCN2C1=O ZINC000674545124 1073269774 /nfs/dbraw/zinc/26/97/74/1073269774.db2.gz VCLZKZKTWUVJBK-AAEUAGOBSA-N 0 0 426.499 -0.801 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CCN2C1=O ZINC000674545125 1073269715 /nfs/dbraw/zinc/26/97/15/1073269715.db2.gz VCLZKZKTWUVJBK-DGCLKSJQSA-N 0 0 426.499 -0.801 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CCN2C1=O ZINC000674545303 1073269842 /nfs/dbraw/zinc/26/98/42/1073269842.db2.gz VCLZKZKTWUVJBK-WCQYABFASA-N 0 0 426.499 -0.801 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H](C)NS(=O)(=O)c3c(C)nn(C)c3C)CCN2C1=O ZINC000674545304 1073269874 /nfs/dbraw/zinc/26/98/74/1073269874.db2.gz VCLZKZKTWUVJBK-YPMHNXCESA-N 0 0 426.499 -0.801 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)C[C@H]2C)CC1 ZINC000674548339 1073269847 /nfs/dbraw/zinc/26/98/47/1073269847.db2.gz VSZXOTSONJUIOB-HACGYAERSA-N 0 0 441.554 -0.031 20 0 IBADRN CC(=O)N1CCN([C@H]2CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)C[C@H]2C)CC1 ZINC000674548340 1073269798 /nfs/dbraw/zinc/26/97/98/1073269798.db2.gz VSZXOTSONJUIOB-IFIJOSMWSA-N 0 0 441.554 -0.031 20 0 IBADRN CC(=O)N1CCN([C@H]2CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)C[C@@H]2C)CC1 ZINC000674548341 1073269781 /nfs/dbraw/zinc/26/97/81/1073269781.db2.gz VSZXOTSONJUIOB-JDFRZJQESA-N 0 0 441.554 -0.031 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(C(=O)[C@H](C)NS(=O)(=O)c3c(C)noc3C)C[C@@H]2C)CC1 ZINC000674548342 1073269812 /nfs/dbraw/zinc/26/98/12/1073269812.db2.gz VSZXOTSONJUIOB-RVSPLBMKSA-N 0 0 441.554 -0.031 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000674556948 1073269746 /nfs/dbraw/zinc/26/97/46/1073269746.db2.gz PNELFONFEUQTAN-APBUJDDRSA-N 0 0 429.437 -0.526 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000674556949 1073269730 /nfs/dbraw/zinc/26/97/30/1073269730.db2.gz PNELFONFEUQTAN-DGIBIBHMSA-N 0 0 429.437 -0.526 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000674556950 1073269739 /nfs/dbraw/zinc/26/97/39/1073269739.db2.gz PNELFONFEUQTAN-GIGQVBGESA-N 0 0 429.437 -0.526 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1=O ZINC000674556951 1073269831 /nfs/dbraw/zinc/26/98/31/1073269831.db2.gz PNELFONFEUQTAN-OVWNDWIMSA-N 0 0 429.437 -0.526 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000674562939 1073270298 /nfs/dbraw/zinc/27/02/98/1073270298.db2.gz HUHOHSSVHCJHNQ-CYBMUJFWSA-N 0 0 444.535 -0.819 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000674562940 1073270311 /nfs/dbraw/zinc/27/03/11/1073270311.db2.gz HUHOHSSVHCJHNQ-ZDUSSCGKSA-N 0 0 444.535 -0.819 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674563267 1073270321 /nfs/dbraw/zinc/27/03/21/1073270321.db2.gz RHFIPQDAYHDVGT-ZDUSSCGKSA-N 0 0 446.551 -0.194 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C)CCN2CCCS2(=O)=O)cc1OC ZINC000674563275 1073270316 /nfs/dbraw/zinc/27/03/16/1073270316.db2.gz RLPJATKMRJBAFE-UHFFFAOYSA-N 0 0 449.551 -0.134 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000674563656 1073270251 /nfs/dbraw/zinc/27/02/51/1073270251.db2.gz DCCUTZZKGKPYMI-HNNXBMFYSA-N 0 0 436.490 -0.589 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000674563657 1073270231 /nfs/dbraw/zinc/27/02/31/1073270231.db2.gz DCCUTZZKGKPYMI-OAHLLOKOSA-N 0 0 436.490 -0.589 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000674565066 1073270324 /nfs/dbraw/zinc/27/03/24/1073270324.db2.gz NYKTVQDQKOCIGF-ARFHVFGLSA-N 0 0 449.595 -0.410 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000674565074 1073270264 /nfs/dbraw/zinc/27/02/64/1073270264.db2.gz NYKTVQDQKOCIGF-BZUAXINKSA-N 0 0 449.595 -0.410 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000674565076 1073270331 /nfs/dbraw/zinc/27/03/31/1073270331.db2.gz NYKTVQDQKOCIGF-HRCADAONSA-N 0 0 449.595 -0.410 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000674565078 1073270294 /nfs/dbraw/zinc/27/02/94/1073270294.db2.gz NYKTVQDQKOCIGF-OWCLPIDISA-N 0 0 449.595 -0.410 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1=O ZINC000674569457 1073270257 /nfs/dbraw/zinc/27/02/57/1073270257.db2.gz ZEHQVUHOOQYPOG-BFUOFWGJSA-N 0 0 433.469 -0.416 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1=O ZINC000674569458 1073270289 /nfs/dbraw/zinc/27/02/89/1073270289.db2.gz ZEHQVUHOOQYPOG-DJJJIMSYSA-N 0 0 433.469 -0.416 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1=O ZINC000674569459 1073270273 /nfs/dbraw/zinc/27/02/73/1073270273.db2.gz ZEHQVUHOOQYPOG-ORAYPTAESA-N 0 0 433.469 -0.416 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1=O ZINC000674569460 1073270307 /nfs/dbraw/zinc/27/03/07/1073270307.db2.gz ZEHQVUHOOQYPOG-YJYMSZOUSA-N 0 0 433.469 -0.416 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1=O ZINC000674573719 1073270552 /nfs/dbraw/zinc/27/05/52/1073270552.db2.gz UHLRBYMYCYFAGU-BFUOFWGJSA-N 0 0 433.469 -0.416 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1=O ZINC000674573720 1073270578 /nfs/dbraw/zinc/27/05/78/1073270578.db2.gz UHLRBYMYCYFAGU-DJJJIMSYSA-N 0 0 433.469 -0.416 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1=O ZINC000674573721 1073270701 /nfs/dbraw/zinc/27/07/01/1073270701.db2.gz UHLRBYMYCYFAGU-ORAYPTAESA-N 0 0 433.469 -0.416 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1=O ZINC000674573722 1073270512 /nfs/dbraw/zinc/27/05/12/1073270512.db2.gz UHLRBYMYCYFAGU-YJYMSZOUSA-N 0 0 433.469 -0.416 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000674578956 1073270984 /nfs/dbraw/zinc/27/09/84/1073270984.db2.gz ANYZJTFSWRHHOK-BFCNOCSMSA-N 0 0 427.483 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC[C@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000674578957 1073271092 /nfs/dbraw/zinc/27/10/92/1073271092.db2.gz ANYZJTFSWRHHOK-GSDQYQHOSA-N 0 0 427.483 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000674578958 1073270926 /nfs/dbraw/zinc/27/09/26/1073270926.db2.gz ANYZJTFSWRHHOK-QAGHZCBJSA-N 0 0 427.483 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000674578959 1073270968 /nfs/dbraw/zinc/27/09/68/1073270968.db2.gz ANYZJTFSWRHHOK-ZMNCSTGQSA-N 0 0 427.483 -0.205 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1=O ZINC000674579134 1073270668 /nfs/dbraw/zinc/27/06/68/1073270668.db2.gz BREZLWYOBCQZIS-MRUHUIDDSA-N 0 0 435.525 -0.464 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000674579135 1073270534 /nfs/dbraw/zinc/27/05/34/1073270534.db2.gz BREZLWYOBCQZIS-QYWGDWMGSA-N 0 0 435.525 -0.464 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000674579136 1073270601 /nfs/dbraw/zinc/27/06/01/1073270601.db2.gz BREZLWYOBCQZIS-XFQAVAEZSA-N 0 0 435.525 -0.464 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1=O ZINC000674579137 1073270657 /nfs/dbraw/zinc/27/06/57/1073270657.db2.gz BREZLWYOBCQZIS-ZOCZFRKYSA-N 0 0 435.525 -0.464 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)cn1C ZINC000674579138 1073270688 /nfs/dbraw/zinc/27/06/88/1073270688.db2.gz BROXEMCGDGUSCE-PXAZEXFGSA-N 0 0 426.499 -0.766 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)cn1C ZINC000674579139 1073270499 /nfs/dbraw/zinc/27/04/99/1073270499.db2.gz BROXEMCGDGUSCE-SJCJKPOMSA-N 0 0 426.499 -0.766 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)cn1C ZINC000674579140 1073270562 /nfs/dbraw/zinc/27/05/62/1073270562.db2.gz BROXEMCGDGUSCE-SJKOYZFVSA-N 0 0 426.499 -0.766 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)cn1C ZINC000674579141 1073270589 /nfs/dbraw/zinc/27/05/89/1073270589.db2.gz BROXEMCGDGUSCE-YVEFUNNKSA-N 0 0 426.499 -0.766 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000674579221 1073270527 /nfs/dbraw/zinc/27/05/27/1073270527.db2.gz CWHBBBNWBXECQW-AEDCBLDQSA-N 0 0 440.526 -0.460 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000674579225 1073270649 /nfs/dbraw/zinc/27/06/49/1073270649.db2.gz CWHBBBNWBXECQW-BVRBVLIYSA-N 0 0 440.526 -0.460 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000674579228 1073270683 /nfs/dbraw/zinc/27/06/83/1073270683.db2.gz CWHBBBNWBXECQW-SUFBMKPHSA-N 0 0 440.526 -0.460 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000674579230 1073270631 /nfs/dbraw/zinc/27/06/31/1073270631.db2.gz CWHBBBNWBXECQW-YNKQFZHNSA-N 0 0 440.526 -0.460 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1F ZINC000674579393 1073270617 /nfs/dbraw/zinc/27/06/17/1073270617.db2.gz DUVNBARYUYEZTO-UHFFFAOYSA-N 0 0 429.474 -0.583 20 0 IBADRN Cc1cc(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000674583113 1073270204 /nfs/dbraw/zinc/27/02/04/1073270204.db2.gz ZDWMBKVGJRZCBD-BIBXISHDSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1cc(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000674583114 1073270697 /nfs/dbraw/zinc/27/06/97/1073270697.db2.gz ZDWMBKVGJRZCBD-GIPWTMENSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1cc(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000674583115 1073270641 /nfs/dbraw/zinc/27/06/41/1073270641.db2.gz ZDWMBKVGJRZCBD-PRWKNARSSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1cc(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000674583116 1073270492 /nfs/dbraw/zinc/27/04/92/1073270492.db2.gz ZDWMBKVGJRZCBD-YBTHPKLGSA-N 0 0 428.449 -0.609 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1=O ZINC000674583219 1073270185 /nfs/dbraw/zinc/27/01/85/1073270185.db2.gz JUWAVWSXJBDZQF-ANRSDYALSA-N 0 0 428.243 -0.452 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1=O ZINC000674583223 1073270215 /nfs/dbraw/zinc/27/02/15/1073270215.db2.gz JUWAVWSXJBDZQF-AYVTZFPOSA-N 0 0 428.243 -0.452 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1=O ZINC000674583226 1073270236 /nfs/dbraw/zinc/27/02/36/1073270236.db2.gz JUWAVWSXJBDZQF-GLEZIHRCSA-N 0 0 428.243 -0.452 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1=O ZINC000674583230 1073270338 /nfs/dbraw/zinc/27/03/38/1073270338.db2.gz JUWAVWSXJBDZQF-VXJOIVPMSA-N 0 0 428.243 -0.452 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1)C(=O)N1CCOCC1 ZINC000674585742 1073270938 /nfs/dbraw/zinc/27/09/38/1073270938.db2.gz LILCXFOZCLNGGA-KRWDZBQOSA-N 0 0 445.520 -0.234 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1)C(=O)N1CCOCC1 ZINC000674585743 1073270895 /nfs/dbraw/zinc/27/08/95/1073270895.db2.gz LILCXFOZCLNGGA-QGZVFWFLSA-N 0 0 445.520 -0.234 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)c1 ZINC000674586073 1073271060 /nfs/dbraw/zinc/27/10/60/1073271060.db2.gz YUNCMYDACSJQMP-APPDUMDISA-N 0 0 427.483 -0.610 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)c1 ZINC000674586075 1073270915 /nfs/dbraw/zinc/27/09/15/1073270915.db2.gz YUNCMYDACSJQMP-DIFFPNOSSA-N 0 0 427.483 -0.610 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)c1 ZINC000674586078 1073270956 /nfs/dbraw/zinc/27/09/56/1073270956.db2.gz YUNCMYDACSJQMP-GTNSWQLSSA-N 0 0 427.483 -0.610 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)c1 ZINC000674586080 1073271031 /nfs/dbraw/zinc/27/10/31/1073271031.db2.gz YUNCMYDACSJQMP-PIGZYNQJSA-N 0 0 427.483 -0.610 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000674586616 1073270994 /nfs/dbraw/zinc/27/09/94/1073270994.db2.gz ZQBCZKWBUVOCPZ-UHFFFAOYSA-N 0 0 443.501 -0.841 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)c1ccc3c(c1)S(=O)(=O)N=C1NC=NN13)CC2 ZINC000674592434 1073271017 /nfs/dbraw/zinc/27/10/17/1073271017.db2.gz OJUHXFTVICECAS-UHFFFAOYSA-N 0 0 427.446 -0.127 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)CC2 ZINC000674592729 1073271463 /nfs/dbraw/zinc/27/14/63/1073271463.db2.gz KIVKBWQIZOSWDD-UHFFFAOYSA-N 0 0 445.501 -0.418 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000674593022 1073271547 /nfs/dbraw/zinc/27/15/47/1073271547.db2.gz FQKPCMUTMUMCCF-AWEZNQCLSA-N 0 0 445.501 -0.152 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC000674593024 1073271394 /nfs/dbraw/zinc/27/13/94/1073271394.db2.gz FQKPCMUTMUMCCF-CQSZACIVSA-N 0 0 445.501 -0.152 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CCN2C1=O ZINC000674594297 1073271418 /nfs/dbraw/zinc/27/14/18/1073271418.db2.gz IBUZNQBVLUPGCA-GFCCVEGCSA-N 0 0 431.434 -0.794 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3ccc4c(c3)S(=O)(=O)N=C3NC=NN34)CCN2C1=O ZINC000674594298 1073271376 /nfs/dbraw/zinc/27/13/76/1073271376.db2.gz IBUZNQBVLUPGCA-LBPRGKRZSA-N 0 0 431.434 -0.794 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3ccccc3S(=O)(=O)N3CCOCC3)CCN2C1=O ZINC000674594424 1073271353 /nfs/dbraw/zinc/27/13/53/1073271353.db2.gz ABHHONUBMHGESN-HNNXBMFYSA-N 0 0 436.490 -0.184 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3ccccc3S(=O)(=O)N3CCOCC3)CCN2C1=O ZINC000674594425 1073271371 /nfs/dbraw/zinc/27/13/71/1073271371.db2.gz ABHHONUBMHGESN-OAHLLOKOSA-N 0 0 436.490 -0.184 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CCN2C1=O ZINC000674596069 1073271026 /nfs/dbraw/zinc/27/10/26/1073271026.db2.gz WWOJBSGHBARQSV-DOMZBBRYSA-N 0 0 449.489 -0.818 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CCN2C1=O ZINC000674596070 1073271074 /nfs/dbraw/zinc/27/10/74/1073271074.db2.gz WWOJBSGHBARQSV-IUODEOHRSA-N 0 0 449.489 -0.818 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CCN2C1=O ZINC000674596071 1073271006 /nfs/dbraw/zinc/27/10/06/1073271006.db2.gz WWOJBSGHBARQSV-SWLSCSKDSA-N 0 0 449.489 -0.818 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)CCN2C1=O ZINC000674596072 1073271040 /nfs/dbraw/zinc/27/10/40/1073271040.db2.gz WWOJBSGHBARQSV-WFASDCNBSA-N 0 0 449.489 -0.818 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CCN2C1=O ZINC000674596291 1073271071 /nfs/dbraw/zinc/27/10/71/1073271071.db2.gz ZQFVUUSUNGYGBD-CABCVRRESA-N 0 0 441.444 -0.588 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CCN2C1=O ZINC000674596292 1073271050 /nfs/dbraw/zinc/27/10/50/1073271050.db2.gz ZQFVUUSUNGYGBD-GJZGRUSLSA-N 0 0 441.444 -0.588 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CCN2C1=O ZINC000674596293 1073271084 /nfs/dbraw/zinc/27/10/84/1073271084.db2.gz ZQFVUUSUNGYGBD-HUUCEWRRSA-N 0 0 441.444 -0.588 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CCN2C1=O ZINC000674596294 1073271067 /nfs/dbraw/zinc/27/10/67/1073271067.db2.gz ZQFVUUSUNGYGBD-LSDHHAIUSA-N 0 0 441.444 -0.588 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000674604548 1073271472 /nfs/dbraw/zinc/27/14/72/1073271472.db2.gz ALOIOOPRPVUTMS-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000674605156 1073271533 /nfs/dbraw/zinc/27/15/33/1073271533.db2.gz JSTRUKPRLSAHEE-UHFFFAOYSA-N 0 0 446.551 -0.839 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000674605240 1073271422 /nfs/dbraw/zinc/27/14/22/1073271422.db2.gz LKYVDPNYKUINAB-UHFFFAOYSA-N 0 0 447.535 -0.380 20 0 IBADRN COc1cc(C(=O)NCC(=O)N(C)CCN2CCCS2(=O)=O)cc(OC)c1OC ZINC000674605316 1073271524 /nfs/dbraw/zinc/27/15/24/1073271524.db2.gz OVFQSFXOPFTWPO-UHFFFAOYSA-N 0 0 429.495 -0.064 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)CC2 ZINC000674606300 1073271364 /nfs/dbraw/zinc/27/13/64/1073271364.db2.gz SRAWZHCYGXQFIQ-UHFFFAOYSA-N 0 0 447.517 -0.172 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000674622271 1073271504 /nfs/dbraw/zinc/27/15/04/1073271504.db2.gz PENBVWOOKLFJDO-UHFFFAOYSA-N 0 0 438.554 -0.203 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC000674624814 1073271488 /nfs/dbraw/zinc/27/14/88/1073271488.db2.gz MSPYQXBNMYTWOH-UHFFFAOYSA-N 0 0 440.508 -0.140 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000674625084 1073271384 /nfs/dbraw/zinc/27/13/84/1073271384.db2.gz PGNNLFUIZOWLBL-UHFFFAOYSA-N 0 0 439.542 -0.808 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000674627093 1073271441 /nfs/dbraw/zinc/27/14/41/1073271441.db2.gz CCFUMIWVHZOHSJ-AWEZNQCLSA-N 0 0 426.561 -0.848 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000674627094 1073271454 /nfs/dbraw/zinc/27/14/54/1073271454.db2.gz CCFUMIWVHZOHSJ-CQSZACIVSA-N 0 0 426.561 -0.848 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)CC2 ZINC000674628280 1073271541 /nfs/dbraw/zinc/27/15/41/1073271541.db2.gz WKAGOIJFECIILS-HNNXBMFYSA-N 0 0 427.527 -0.181 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)CC2 ZINC000674628281 1073271404 /nfs/dbraw/zinc/27/14/04/1073271404.db2.gz WKAGOIJFECIILS-OAHLLOKOSA-N 0 0 427.527 -0.181 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC000674628823 1073271556 /nfs/dbraw/zinc/27/15/56/1073271556.db2.gz WBSANVSDWMRTCN-UHFFFAOYSA-N 0 0 448.567 -0.524 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC000674639126 1073271870 /nfs/dbraw/zinc/27/18/70/1073271870.db2.gz OTHRYLLRWMXTKI-RBZFPXEDSA-N 0 0 441.496 -0.085 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC000674639127 1073272034 /nfs/dbraw/zinc/27/20/34/1073272034.db2.gz OTHRYLLRWMXTKI-RNODOKPDSA-N 0 0 441.496 -0.085 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC000674639128 1073271917 /nfs/dbraw/zinc/27/19/17/1073271917.db2.gz OTHRYLLRWMXTKI-XCLFUZPHSA-N 0 0 441.496 -0.085 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC000674639129 1073272028 /nfs/dbraw/zinc/27/20/28/1073272028.db2.gz OTHRYLLRWMXTKI-ZUOKHONESA-N 0 0 441.496 -0.085 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1=O ZINC000674639851 1073271910 /nfs/dbraw/zinc/27/19/10/1073271910.db2.gz ZEWRXOQDHQCRNZ-RBZFPXEDSA-N 0 0 429.481 -0.267 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1=O ZINC000674639854 1073271926 /nfs/dbraw/zinc/27/19/26/1073271926.db2.gz ZEWRXOQDHQCRNZ-RNODOKPDSA-N 0 0 429.481 -0.267 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1=O ZINC000674639856 1073272000 /nfs/dbraw/zinc/27/20/00/1073272000.db2.gz ZEWRXOQDHQCRNZ-XCLFUZPHSA-N 0 0 429.481 -0.267 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1=O ZINC000674639858 1073271995 /nfs/dbraw/zinc/27/19/95/1073271995.db2.gz ZEWRXOQDHQCRNZ-ZUOKHONESA-N 0 0 429.481 -0.267 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000674650901 1073271972 /nfs/dbraw/zinc/27/19/72/1073271972.db2.gz CXSIFORVPONZGO-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(S(C)(=O)=O)CC2)cc1OC ZINC000674650921 1073271886 /nfs/dbraw/zinc/27/18/86/1073271886.db2.gz FVVMYNUQXJWVJO-UHFFFAOYSA-N 0 0 435.524 -0.524 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000674664705 1073271956 /nfs/dbraw/zinc/27/19/56/1073271956.db2.gz IFTRESNXIQCDOD-HNNXBMFYSA-N 0 0 437.518 -0.277 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000674664706 1073272010 /nfs/dbraw/zinc/27/20/10/1073272010.db2.gz IFTRESNXIQCDOD-OAHLLOKOSA-N 0 0 437.518 -0.277 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000674667044 1073271901 /nfs/dbraw/zinc/27/19/01/1073271901.db2.gz XWSRWBSRAKDEPR-HNNXBMFYSA-N 0 0 445.563 -0.085 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000674667045 1073271977 /nfs/dbraw/zinc/27/19/77/1073271977.db2.gz XWSRWBSRAKDEPR-OAHLLOKOSA-N 0 0 445.563 -0.085 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CC2)CC1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000674690841 1073271963 /nfs/dbraw/zinc/27/19/63/1073271963.db2.gz IRXLZQIURJUDSH-UHFFFAOYSA-N 0 0 447.535 -0.013 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCS(=O)(=O)C3(CCCCC3)C2)CC1 ZINC000674697393 1073272004 /nfs/dbraw/zinc/27/20/04/1073272004.db2.gz PQGWZBLKSRMUGR-UHFFFAOYSA-N 0 0 436.600 -0.294 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCc3ccc(C(N)=O)nc3)cn2)CC1 ZINC000674698066 1073272014 /nfs/dbraw/zinc/27/20/14/1073272014.db2.gz RERDDSXTDFVXET-UHFFFAOYSA-N 0 0 433.494 -0.021 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000674711716 1073272422 /nfs/dbraw/zinc/27/24/22/1073272422.db2.gz FWZXQBAJJDOKND-UHFFFAOYSA-N 0 0 443.507 -0.036 20 0 IBADRN COCCN(C(=O)COc1ccc(S(=O)(=O)N(C)C)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000674714668 1073272416 /nfs/dbraw/zinc/27/24/16/1073272416.db2.gz BLDMMNMHDKHEFL-AWEZNQCLSA-N 0 0 434.536 -0.022 20 0 IBADRN COCCN(C(=O)COc1ccc(S(=O)(=O)N(C)C)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000674714669 1073272323 /nfs/dbraw/zinc/27/23/23/1073272323.db2.gz BLDMMNMHDKHEFL-CQSZACIVSA-N 0 0 434.536 -0.022 20 0 IBADRN CC[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ccccc1OCC(=O)NC ZINC000674715149 1073272347 /nfs/dbraw/zinc/27/23/47/1073272347.db2.gz BOPVZOKFPSFQDR-HNNXBMFYSA-N 0 0 448.567 -0.326 20 0 IBADRN CC[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ccccc1OCC(=O)NC ZINC000674715155 1073272287 /nfs/dbraw/zinc/27/22/87/1073272287.db2.gz BOPVZOKFPSFQDR-OAHLLOKOSA-N 0 0 448.567 -0.326 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(C(N)=O)(c3ccccc3)CC2)CC1 ZINC000674715211 1073272279 /nfs/dbraw/zinc/27/22/79/1073272279.db2.gz DFDKSPSLHJEMIP-UHFFFAOYSA-N 0 0 430.552 -0.673 20 0 IBADRN CN(Cc1ccc(C(=O)NC2CC2)cc1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000674715493 1073272408 /nfs/dbraw/zinc/27/24/08/1073272408.db2.gz JJVOPESZNVPAPI-UHFFFAOYSA-N 0 0 430.552 -0.167 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000674715506 1073272330 /nfs/dbraw/zinc/27/23/30/1073272330.db2.gz JWXZULLVNSCFOL-HNNXBMFYSA-N 0 0 436.600 -0.221 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)C1 ZINC000674715507 1073272315 /nfs/dbraw/zinc/27/23/15/1073272315.db2.gz JWXZULLVNSCFOL-OAHLLOKOSA-N 0 0 436.600 -0.221 20 0 IBADRN COc1ccc(C)cc1CN1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000674715535 1073272343 /nfs/dbraw/zinc/27/23/43/1073272343.db2.gz LAHBYTUJDINKIQ-UHFFFAOYSA-N 0 0 446.595 -0.057 20 0 IBADRN COc1ccccc1C(=O)N1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000674716162 1073272352 /nfs/dbraw/zinc/27/23/52/1073272352.db2.gz XGZHQAHRJYBBPC-UHFFFAOYSA-N 0 0 446.551 -0.725 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(CC(=O)NC3CCCC3)CC2)CC1 ZINC000674716197 1073272336 /nfs/dbraw/zinc/27/23/36/1073272336.db2.gz YEXWXVVDLDUNHQ-UHFFFAOYSA-N 0 0 436.600 -0.031 20 0 IBADRN C[C@@]1(C2CCN(C(=O)NCc3cc(S(N)(=O)=O)ccc3F)CC2)NC(=O)NC1=O ZINC000674724324 1073272388 /nfs/dbraw/zinc/27/23/88/1073272388.db2.gz DJXFDZXCPWAMSM-KRWDZBQOSA-N 0 0 427.458 -0.007 20 0 IBADRN C[C@]1(C2CCN(C(=O)NCc3cc(S(N)(=O)=O)ccc3F)CC2)NC(=O)NC1=O ZINC000674724325 1073272357 /nfs/dbraw/zinc/27/23/57/1073272357.db2.gz DJXFDZXCPWAMSM-QGZVFWFLSA-N 0 0 427.458 -0.007 20 0 IBADRN Cc1cnc(N2CCN(CC(=O)N(C)C)CC2)nc1N1CCN(CC(=O)N(C)C)CC1 ZINC000674746268 1073272399 /nfs/dbraw/zinc/27/23/99/1073272399.db2.gz HWXKDMOPHUUMQW-UHFFFAOYSA-N 0 0 432.573 -0.795 20 0 IBADRN Cc1cnc(N(C)CCN2CCCS2(=O)=O)nc1N(C)CCN1CCCS1(=O)=O ZINC000674747350 1073272844 /nfs/dbraw/zinc/27/28/44/1073272844.db2.gz BYPNXHBHCJYSDW-UHFFFAOYSA-N 0 0 446.599 -0.272 20 0 IBADRN Cc1cnc(N2CCC[C@H](CS(N)(=O)=O)C2)nc1N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000674747636 1073272824 /nfs/dbraw/zinc/27/28/24/1073272824.db2.gz MKIOOZICQOLEFE-CABCVRRESA-N 0 0 446.599 -0.205 20 0 IBADRN Cc1cnc(N2CCC[C@H](CS(N)(=O)=O)C2)nc1N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000674747637 1073272775 /nfs/dbraw/zinc/27/27/75/1073272775.db2.gz MKIOOZICQOLEFE-GJZGRUSLSA-N 0 0 446.599 -0.205 20 0 IBADRN Cc1cnc(N2CCC[C@@H](CS(N)(=O)=O)C2)nc1N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000674747638 1073272803 /nfs/dbraw/zinc/27/28/03/1073272803.db2.gz MKIOOZICQOLEFE-HUUCEWRRSA-N 0 0 446.599 -0.205 20 0 IBADRN Cc1cnc(N2CCC[C@@H](CS(N)(=O)=O)C2)nc1N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000674747639 1073272870 /nfs/dbraw/zinc/27/28/70/1073272870.db2.gz MKIOOZICQOLEFE-LSDHHAIUSA-N 0 0 446.599 -0.205 20 0 IBADRN O=C(NCc1cn[nH]n1)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000674754590 1073272789 /nfs/dbraw/zinc/27/27/89/1073272789.db2.gz AFGDKJCZHPIDJI-UHFFFAOYSA-N 0 0 436.494 -0.408 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC1 ZINC000674761985 1073272761 /nfs/dbraw/zinc/27/27/61/1073272761.db2.gz CUYDXGMDZYQYCQ-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1=O ZINC000674762708 1073272860 /nfs/dbraw/zinc/27/28/60/1073272860.db2.gz RTBJGPRATYPSJG-JTQLQIEISA-N 0 0 435.300 -0.374 20 0 IBADRN Cn1cc(Br)cc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1=O ZINC000674762709 1073272855 /nfs/dbraw/zinc/27/28/55/1073272855.db2.gz RTBJGPRATYPSJG-SNVBAGLBSA-N 0 0 435.300 -0.374 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cc(Br)cn(C)c1=O ZINC000674763511 1073272863 /nfs/dbraw/zinc/27/28/63/1073272863.db2.gz BHRDTDXSZXGMPY-UHFFFAOYSA-N 0 0 435.300 -0.420 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000674769736 1073272835 /nfs/dbraw/zinc/27/28/35/1073272835.db2.gz SAKNGNGELXSPHY-GFCCVEGCSA-N 0 0 429.524 -0.548 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000674769737 1073273194 /nfs/dbraw/zinc/27/31/94/1073273194.db2.gz SAKNGNGELXSPHY-LBPRGKRZSA-N 0 0 429.524 -0.548 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000674775469 1073272875 /nfs/dbraw/zinc/27/28/75/1073272875.db2.gz INNSYKLWHNMXMR-HNNXBMFYSA-N 0 0 445.492 -0.054 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)CC1 ZINC000674775471 1073272767 /nfs/dbraw/zinc/27/27/67/1073272767.db2.gz INNSYKLWHNMXMR-OAHLLOKOSA-N 0 0 445.492 -0.054 20 0 IBADRN COc1ccc(-n2cc(CNC(=O)NCCN3CCN(S(C)(=O)=O)CC3)nn2)cc1 ZINC000674776237 1073272747 /nfs/dbraw/zinc/27/27/47/1073272747.db2.gz UKVCMLMZNAVMNR-UHFFFAOYSA-N 0 0 437.526 -0.348 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000674784121 1073273199 /nfs/dbraw/zinc/27/31/99/1073273199.db2.gz AYALKTXROQMGLW-AWEZNQCLSA-N 0 0 435.543 -0.071 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000674784122 1073273297 /nfs/dbraw/zinc/27/32/97/1073273297.db2.gz AYALKTXROQMGLW-CQSZACIVSA-N 0 0 435.543 -0.071 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000674799586 1073273219 /nfs/dbraw/zinc/27/32/19/1073273219.db2.gz KINDIALEUIWQBJ-GFCCVEGCSA-N 0 0 429.524 -0.201 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000674799591 1073273258 /nfs/dbraw/zinc/27/32/58/1073273258.db2.gz KINDIALEUIWQBJ-LBPRGKRZSA-N 0 0 429.524 -0.201 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)N3C[C@H](O)C[C@H](O)C3)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000674804582 1073273279 /nfs/dbraw/zinc/27/32/79/1073273279.db2.gz KYAYJCXITLVZKN-TXEJJXNPSA-N 0 0 440.412 -0.003 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)N1C[C@H](O)C[C@H](O)C1 ZINC000674805183 1073273290 /nfs/dbraw/zinc/27/32/90/1073273290.db2.gz FYYKKSHWAUQCSX-OKILXGFUSA-N 0 0 439.490 -0.277 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3C[C@H](O)C[C@H](O)C3)CC2)cc1 ZINC000674805238 1073273264 /nfs/dbraw/zinc/27/32/64/1073273264.db2.gz GCXQFTFMNLATGQ-CALCHBBNSA-N 0 0 439.534 -0.438 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)N1C[C@H](O)C[C@H](O)C1)Nc1cccc(Br)c1 ZINC000674805582 1073273208 /nfs/dbraw/zinc/27/32/08/1073273208.db2.gz VDWHLXMDBHCDOK-BETUJISGSA-N 0 0 435.296 -0.244 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2C[C@H](O)C[C@H](O)C2)c1OC ZINC000674808328 1073273157 /nfs/dbraw/zinc/27/31/57/1073273157.db2.gz NOEWWXOFHKJOQO-BETUJISGSA-N 0 0 430.479 -0.708 20 0 IBADRN O=C(CCC(=O)N1C[C@H](O)C[C@H](O)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000674808342 1073273693 /nfs/dbraw/zinc/27/36/93/1073273693.db2.gz NRBITZLXVZRURM-IYBDPMFKSA-N 0 0 425.507 -0.746 20 0 IBADRN Cc1oc(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)cc1S(N)(=O)=O ZINC000674839513 1073273742 /nfs/dbraw/zinc/27/37/42/1073273742.db2.gz UBSXAUZBAVBJIK-UHFFFAOYSA-N 0 0 443.507 -0.036 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccn(Cc3ccccc3)c2=O)CC1 ZINC000674885740 1073274129 /nfs/dbraw/zinc/27/41/29/1073274129.db2.gz SUPKDABKICRQSS-UHFFFAOYSA-N 0 0 447.517 -0.214 20 0 IBADRN COc1cc(OC)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000674890352 1073273644 /nfs/dbraw/zinc/27/36/44/1073273644.db2.gz GNKQLRLMESYDPL-UHFFFAOYSA-N 0 0 432.474 -0.525 20 0 IBADRN C[C@]1(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCCO1 ZINC000674893475 1073274175 /nfs/dbraw/zinc/27/41/75/1073274175.db2.gz DDVBXVLELWKIFE-GOSISDBHSA-N 0 0 425.511 -0.213 20 0 IBADRN C[C@@]1(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCCO1 ZINC000674893476 1073274116 /nfs/dbraw/zinc/27/41/16/1073274116.db2.gz DDVBXVLELWKIFE-SFHVURJKSA-N 0 0 425.511 -0.213 20 0 IBADRN CN(CCOCC1CC1)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000674896731 1073274110 /nfs/dbraw/zinc/27/41/10/1073274110.db2.gz FTLLXBMHAZTVEW-UHFFFAOYSA-N 0 0 439.538 -0.013 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000674923017 1073274167 /nfs/dbraw/zinc/27/41/67/1073274167.db2.gz UPDMAIWUEQWFHY-HNNXBMFYSA-N 0 0 439.538 -0.323 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000674923018 1073274062 /nfs/dbraw/zinc/27/40/62/1073274062.db2.gz UPDMAIWUEQWFHY-OAHLLOKOSA-N 0 0 439.538 -0.323 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000674968395 1073274134 /nfs/dbraw/zinc/27/41/34/1073274134.db2.gz AWVDMDTUSFDFDF-CHWSQXEVSA-N 0 0 435.524 -0.252 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000674968396 1073274089 /nfs/dbraw/zinc/27/40/89/1073274089.db2.gz AWVDMDTUSFDFDF-OLZOCXBDSA-N 0 0 435.524 -0.252 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000674968397 1073274106 /nfs/dbraw/zinc/27/41/06/1073274106.db2.gz AWVDMDTUSFDFDF-QWHCGFSZSA-N 0 0 435.524 -0.252 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000674968398 1073274084 /nfs/dbraw/zinc/27/40/84/1073274084.db2.gz AWVDMDTUSFDFDF-STQMWFEESA-N 0 0 435.524 -0.252 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O ZINC000674970791 1073274069 /nfs/dbraw/zinc/27/40/69/1073274069.db2.gz RQDMIFKURPRQCW-DZGCQCFKSA-N 0 0 448.567 -0.506 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000674970792 1073274640 /nfs/dbraw/zinc/27/46/40/1073274640.db2.gz RQDMIFKURPRQCW-HIFRSBDPSA-N 0 0 448.567 -0.506 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O ZINC000674970793 1073274481 /nfs/dbraw/zinc/27/44/81/1073274481.db2.gz RQDMIFKURPRQCW-UKRRQHHQSA-N 0 0 448.567 -0.506 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O ZINC000674970794 1073274623 /nfs/dbraw/zinc/27/46/23/1073274623.db2.gz RQDMIFKURPRQCW-ZFWWWQNUSA-N 0 0 448.567 -0.506 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000674991597 1073274593 /nfs/dbraw/zinc/27/45/93/1073274593.db2.gz NLKURKLSMBBZDE-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN O=C(Nc1cnn(CCO)c1)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000674994802 1073274580 /nfs/dbraw/zinc/27/45/80/1073274580.db2.gz VSQYGIJIYPNBCN-KRWDZBQOSA-N 0 0 429.433 -0.533 20 0 IBADRN O=C(Nc1cnn(CCO)c1)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000674994804 1073274493 /nfs/dbraw/zinc/27/44/93/1073274493.db2.gz VSQYGIJIYPNBCN-QGZVFWFLSA-N 0 0 429.433 -0.533 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H]([C@@H]3CCCO3)C2)cc1 ZINC000675000964 1073274615 /nfs/dbraw/zinc/27/46/15/1073274615.db2.gz CEDYWTRIHANKKN-DLBZAZTESA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)cc1 ZINC000675000965 1073274514 /nfs/dbraw/zinc/27/45/14/1073274514.db2.gz CEDYWTRIHANKKN-IAGOWNOFSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H]([C@@H]3CCCO3)C2)cc1 ZINC000675000966 1073274528 /nfs/dbraw/zinc/27/45/28/1073274528.db2.gz CEDYWTRIHANKKN-IRXDYDNUSA-N 0 0 441.506 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H]([C@H]3CCCO3)C2)cc1 ZINC000675000967 1073274586 /nfs/dbraw/zinc/27/45/86/1073274586.db2.gz CEDYWTRIHANKKN-SJORKVTESA-N 0 0 441.506 -0.044 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@]4(CCOC4)C3)cn2)CC1 ZINC000675003301 1073274550 /nfs/dbraw/zinc/27/45/50/1073274550.db2.gz RGDMWNDNEFUALU-IBGZPJMESA-N 0 0 437.522 -0.259 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@]4(CCOC4)C3)cn2)CC1 ZINC000675003302 1073274617 /nfs/dbraw/zinc/27/46/17/1073274617.db2.gz RGDMWNDNEFUALU-LJQANCHMSA-N 0 0 437.522 -0.259 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000675003313 1073274634 /nfs/dbraw/zinc/27/46/34/1073274634.db2.gz SRDCUVPVIBCZFA-AWEZNQCLSA-N 0 0 425.511 -0.066 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000675003314 1073274574 /nfs/dbraw/zinc/27/45/74/1073274574.db2.gz SRDCUVPVIBCZFA-CQSZACIVSA-N 0 0 425.511 -0.066 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(OCCCO)CC2)cc1 ZINC000675006459 1073274605 /nfs/dbraw/zinc/27/46/05/1073274605.db2.gz IGGFAHXZOLOIMO-UHFFFAOYSA-N 0 0 443.522 -0.060 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC(OCCCO)CC1)CC2 ZINC000675008642 1073274536 /nfs/dbraw/zinc/27/45/36/1073274536.db2.gz DIBQMRNWYIZCBV-UHFFFAOYSA-N 0 0 425.507 -0.126 20 0 IBADRN CCCC[C@H](CNC(=O)C(C)(C)NS(C)(=O)=O)NC(=O)C(C)(C)NS(C)(=O)=O ZINC000675083990 1073274557 /nfs/dbraw/zinc/27/45/57/1073274557.db2.gz GZVHUDHURYPLNF-GFCCVEGCSA-N 0 0 442.604 -0.567 20 0 IBADRN CCCC[C@@H](CNC(=O)C(C)(C)NS(C)(=O)=O)NC(=O)C(C)(C)NS(C)(=O)=O ZINC000675083991 1073274631 /nfs/dbraw/zinc/27/46/31/1073274631.db2.gz GZVHUDHURYPLNF-LBPRGKRZSA-N 0 0 442.604 -0.567 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCCCN3CCN(c4ncccn4)CC3)CCN2C1=O ZINC000675087043 1073275010 /nfs/dbraw/zinc/27/50/10/1073275010.db2.gz VPXRMZSAQPOTRY-INIZCTEOSA-N 0 0 430.513 -0.333 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCCCN3CCN(c4ncccn4)CC3)CCN2C1=O ZINC000675087044 1073275061 /nfs/dbraw/zinc/27/50/61/1073275061.db2.gz VPXRMZSAQPOTRY-MRXNPFEDSA-N 0 0 430.513 -0.333 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)CCN2C1=O ZINC000675087372 1073274978 /nfs/dbraw/zinc/27/49/78/1073274978.db2.gz NPWZUHANAVPHFU-INIZCTEOSA-N 0 0 442.476 -0.564 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)CCN2C1=O ZINC000675087373 1073275004 /nfs/dbraw/zinc/27/50/04/1073275004.db2.gz NPWZUHANAVPHFU-MRXNPFEDSA-N 0 0 442.476 -0.564 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)CCN2C1=O ZINC000675095965 1073275036 /nfs/dbraw/zinc/27/50/36/1073275036.db2.gz IJTDSAAISRCXDO-INIZCTEOSA-N 0 0 443.508 -0.202 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)CCN2C1=O ZINC000675095966 1073275077 /nfs/dbraw/zinc/27/50/77/1073275077.db2.gz IJTDSAAISRCXDO-MRXNPFEDSA-N 0 0 443.508 -0.202 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccc3c(c2)CCS3(=O)=O)CC1 ZINC000675096847 1073274944 /nfs/dbraw/zinc/27/49/44/1073274944.db2.gz YKLQVKCWVUIKOU-UHFFFAOYSA-N 0 0 430.552 -0.607 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc1 ZINC000675096893 1073275097 /nfs/dbraw/zinc/27/50/97/1073275097.db2.gz HUAWMGQKRWWTOI-GDBMZVCRSA-N 0 0 427.523 -0.155 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc1 ZINC000675096894 1073274952 /nfs/dbraw/zinc/27/49/52/1073274952.db2.gz HUAWMGQKRWWTOI-GOEBONIOSA-N 0 0 427.523 -0.155 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2C[C@H](C)O[C@H](CO)C2)cc1 ZINC000675096895 1073274961 /nfs/dbraw/zinc/27/49/61/1073274961.db2.gz HUAWMGQKRWWTOI-HOCLYGCPSA-N 0 0 427.523 -0.155 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc1 ZINC000675096896 1073275072 /nfs/dbraw/zinc/27/50/72/1073275072.db2.gz HUAWMGQKRWWTOI-ZBFHGGJFSA-N 0 0 427.523 -0.155 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)CCN2C1=O ZINC000675097042 1073274989 /nfs/dbraw/zinc/27/49/89/1073274989.db2.gz DDQPKKWWRAEXEF-HNNXBMFYSA-N 0 0 444.496 -0.807 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)CCN2C1=O ZINC000675097043 1073274912 /nfs/dbraw/zinc/27/49/12/1073274912.db2.gz DDQPKKWWRAEXEF-OAHLLOKOSA-N 0 0 444.496 -0.807 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)CCN2C1=O ZINC000675097154 1073275025 /nfs/dbraw/zinc/27/50/25/1073275025.db2.gz IVCFTPCLIHYSHS-JLTOFOAXSA-N 0 0 428.449 -0.081 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)CCN2C1=O ZINC000675097155 1073274901 /nfs/dbraw/zinc/27/49/01/1073274901.db2.gz IVCFTPCLIHYSHS-VBKZILBWSA-N 0 0 428.449 -0.081 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3ccc([C@]4(C)NC(=O)NC4=O)cc3)CCN2C1=O ZINC000675097156 1073274969 /nfs/dbraw/zinc/27/49/69/1073274969.db2.gz IVCFTPCLIHYSHS-VLIAUNLRSA-N 0 0 428.449 -0.081 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3ccc([C@]4(C)NC(=O)NC4=O)cc3)CCN2C1=O ZINC000675097157 1073274997 /nfs/dbraw/zinc/27/49/97/1073274997.db2.gz IVCFTPCLIHYSHS-XOBRGWDASA-N 0 0 428.449 -0.081 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CCN2C1=O ZINC000675100849 1073275090 /nfs/dbraw/zinc/27/50/90/1073275090.db2.gz VQXOTRCOPUQKCQ-KBPBESRZSA-N 0 0 431.515 -0.847 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CCN2C1=O ZINC000675100850 1073275431 /nfs/dbraw/zinc/27/54/31/1073275431.db2.gz VQXOTRCOPUQKCQ-KGLIPLIRSA-N 0 0 431.515 -0.847 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)CCN2C1=O ZINC000675100851 1073275330 /nfs/dbraw/zinc/27/53/30/1073275330.db2.gz VQXOTRCOPUQKCQ-UONOGXRCSA-N 0 0 431.515 -0.847 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)CCN2C1=O ZINC000675100852 1073275374 /nfs/dbraw/zinc/27/53/74/1073275374.db2.gz VQXOTRCOPUQKCQ-ZIAGYGMSSA-N 0 0 431.515 -0.847 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1)S(C)(=O)=O ZINC000675123685 1073275337 /nfs/dbraw/zinc/27/53/37/1073275337.db2.gz HPRNIDRFMWNNRM-GFCCVEGCSA-N 0 0 432.524 -0.439 20 0 IBADRN CN(c1ccccc1NC(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1)S(C)(=O)=O ZINC000675123686 1073275481 /nfs/dbraw/zinc/27/54/81/1073275481.db2.gz HPRNIDRFMWNNRM-LBPRGKRZSA-N 0 0 432.524 -0.439 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccccc1N(C)S(C)(=O)=O ZINC000675125418 1073275438 /nfs/dbraw/zinc/27/54/38/1073275438.db2.gz HFFDYPFXGMIRCG-UHFFFAOYSA-N 0 0 432.524 -0.485 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000675126407 1073275309 /nfs/dbraw/zinc/27/53/09/1073275309.db2.gz AOHYBIHJUNMZSF-INIZCTEOSA-N 0 0 446.504 -0.082 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H]2CCN(c3ccccc3OC)C2=O)CC1 ZINC000675126408 1073275470 /nfs/dbraw/zinc/27/54/70/1073275470.db2.gz AOHYBIHJUNMZSF-MRXNPFEDSA-N 0 0 446.504 -0.082 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N[C@H]1CC[C@@H](CO)CC1 ZINC000675127983 1073275862 /nfs/dbraw/zinc/27/58/62/1073275862.db2.gz PQUYBUBQWSCKTQ-OKILXGFUSA-N 0 0 438.506 -0.587 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)c1cc(S(=O)(=O)N3CCOCC3)cn1C)CC2 ZINC000675135264 1073275348 /nfs/dbraw/zinc/27/53/48/1073275348.db2.gz VMLUAYCFQBBWQD-CYBMUJFWSA-N 0 0 438.510 -0.471 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)c1cc(S(=O)(=O)N3CCOCC3)cn1C)CC2 ZINC000675135265 1073275484 /nfs/dbraw/zinc/27/54/84/1073275484.db2.gz VMLUAYCFQBBWQD-ZDUSSCGKSA-N 0 0 438.510 -0.471 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000675139709 1073275399 /nfs/dbraw/zinc/27/53/99/1073275399.db2.gz MLVDZIJFTFBYSE-UHFFFAOYSA-N 0 0 449.555 -0.942 20 0 IBADRN CS(=O)(=O)NCCCNc1nc2cccnc2nc1NCCCNS(C)(=O)=O ZINC000675142676 1073275963 /nfs/dbraw/zinc/27/59/63/1073275963.db2.gz ATUGUWADHVIEKB-UHFFFAOYSA-N 0 0 431.544 -0.273 20 0 IBADRN CNc1nc(N2CCN(C[C@H]3CCCO3)CC2)nc(N2CCN(C[C@@H]3CCCO3)CC2)n1 ZINC000675142711 1073276010 /nfs/dbraw/zinc/27/60/10/1073276010.db2.gz CWEUUIFBAWVXEL-KDURUIRLSA-N 0 0 446.600 -0.063 20 0 IBADRN CNc1nc(N2CCN(C[C@@H]3CCCO3)CC2)nc(N2CCN(C[C@@H]3CCCO3)CC2)n1 ZINC000675142712 1073275752 /nfs/dbraw/zinc/27/57/52/1073275752.db2.gz CWEUUIFBAWVXEL-OALUTQOASA-N 0 0 446.600 -0.063 20 0 IBADRN CNc1nc(N2CCN(C[C@H]3CCCO3)CC2)nc(N2CCN(C[C@H]3CCCO3)CC2)n1 ZINC000675142713 1073275954 /nfs/dbraw/zinc/27/59/54/1073275954.db2.gz CWEUUIFBAWVXEL-RTBURBONSA-N 0 0 446.600 -0.063 20 0 IBADRN CNc1nc(N2CCC[C@@H](N3CCNC3=O)C2)nc(N2CCC[C@H](N3CCNC3=O)C2)n1 ZINC000675143176 1073275942 /nfs/dbraw/zinc/27/59/42/1073275942.db2.gz UFGLLRBDTLZZBX-GASCZTMLSA-N 0 0 444.544 -0.677 20 0 IBADRN CNc1nc(N2CCC[C@H](N3CCNC3=O)C2)nc(N2CCC[C@H](N3CCNC3=O)C2)n1 ZINC000675143177 1073275977 /nfs/dbraw/zinc/27/59/77/1073275977.db2.gz UFGLLRBDTLZZBX-GJZGRUSLSA-N 0 0 444.544 -0.677 20 0 IBADRN CNc1nc(N2CCC[C@@H](N3CCNC3=O)C2)nc(N2CCC[C@@H](N3CCNC3=O)C2)n1 ZINC000675143178 1073275830 /nfs/dbraw/zinc/27/58/30/1073275830.db2.gz UFGLLRBDTLZZBX-HUUCEWRRSA-N 0 0 444.544 -0.677 20 0 IBADRN COCC(=O)N1CCN(c2nc3cccnc3nc2N2CCN(C(=O)COC)CC2)CC1 ZINC000675143255 1073275915 /nfs/dbraw/zinc/27/59/15/1073275915.db2.gz YXHJYRJGKORSKG-UHFFFAOYSA-N 0 0 443.508 -0.385 20 0 IBADRN CN(c1ccccc1NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)S(C)(=O)=O ZINC000675144360 1073275998 /nfs/dbraw/zinc/27/59/98/1073275998.db2.gz ODCMDYRQFNZXMI-UHFFFAOYSA-N 0 0 433.556 -0.219 20 0 IBADRN CCS(=O)(=O)NCCNc1nc2cccnc2nc1NCCNS(=O)(=O)CC ZINC000675150556 1073275771 /nfs/dbraw/zinc/27/57/71/1073275771.db2.gz PCINSPNPNWVUQS-UHFFFAOYSA-N 0 0 431.544 -0.273 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nc3ncccc3nc2N2CCO[C@@H](CNC(C)=O)C2)CCO1 ZINC000675152043 1073275923 /nfs/dbraw/zinc/27/59/23/1073275923.db2.gz PRAYBLPXLRXMSW-DLBZAZTESA-N 0 0 443.508 -0.293 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nc3cccnc3nc2N2CCO[C@H](CNC(C)=O)C2)CCO1 ZINC000675152044 1073276006 /nfs/dbraw/zinc/27/60/06/1073276006.db2.gz PRAYBLPXLRXMSW-IAGOWNOFSA-N 0 0 443.508 -0.293 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nc3cccnc3nc2N2CCO[C@@H](CNC(C)=O)C2)CCO1 ZINC000675152045 1073275947 /nfs/dbraw/zinc/27/59/47/1073275947.db2.gz PRAYBLPXLRXMSW-IRXDYDNUSA-N 0 0 443.508 -0.293 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nc3cccnc3nc2N2CCO[C@@H](CNC(C)=O)C2)CCO1 ZINC000675152046 1073275789 /nfs/dbraw/zinc/27/57/89/1073275789.db2.gz PRAYBLPXLRXMSW-SJORKVTESA-N 0 0 443.508 -0.293 20 0 IBADRN Nc1nsc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)CC2)n1 ZINC000675161067 1073276386 /nfs/dbraw/zinc/27/63/86/1073276386.db2.gz IXGSQPKEENZUAB-UHFFFAOYSA-N 0 0 428.496 -0.303 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000675161350 1073276270 /nfs/dbraw/zinc/27/62/70/1073276270.db2.gz LRPNEWZDDSYNRF-UHFFFAOYSA-N 0 0 441.539 -0.558 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2=O)cc1 ZINC000675162515 1073276209 /nfs/dbraw/zinc/27/62/09/1073276209.db2.gz OUAWCAZYXWDPBO-GOSISDBHSA-N 0 0 438.488 -0.179 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)C2=O)cc1 ZINC000675162516 1073276379 /nfs/dbraw/zinc/27/63/79/1073276379.db2.gz OUAWCAZYXWDPBO-SFHVURJKSA-N 0 0 438.488 -0.179 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2=O)cc1 ZINC000675183086 1073276338 /nfs/dbraw/zinc/27/63/38/1073276338.db2.gz LRZGHQKZWFKNDL-IAGOWNOFSA-N 0 0 436.534 -0.248 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C2=O)cc1 ZINC000675183087 1073276326 /nfs/dbraw/zinc/27/63/26/1073276326.db2.gz LRZGHQKZWFKNDL-SJORKVTESA-N 0 0 436.534 -0.248 20 0 IBADRN CCC(CC)C(=O)N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000675207670 1073276763 /nfs/dbraw/zinc/27/67/63/1073276763.db2.gz ADXPXPSGCDOMAS-UHFFFAOYSA-N 0 0 448.524 -0.213 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)n1)N1CCOCC1 ZINC000675207854 1073276832 /nfs/dbraw/zinc/27/68/32/1073276832.db2.gz CMGDWVZCJRLQSR-INIZCTEOSA-N 0 0 434.497 -0.996 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)n1)N1CCOCC1 ZINC000675207855 1073276776 /nfs/dbraw/zinc/27/67/76/1073276776.db2.gz CMGDWVZCJRLQSR-MRXNPFEDSA-N 0 0 434.497 -0.996 20 0 IBADRN CN(CC(=O)N(C)C1CCCCC1)C(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000675208417 1073276885 /nfs/dbraw/zinc/27/68/85/1073276885.db2.gz VNNQBBRRECXUOQ-UHFFFAOYSA-N 0 0 448.524 -0.070 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000675208421 1073276792 /nfs/dbraw/zinc/27/67/92/1073276792.db2.gz VXLCLNKMCQUKSV-UHFFFAOYSA-N 0 0 435.510 -0.590 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)n1)N1CCOCC1 ZINC000675208428 1073276877 /nfs/dbraw/zinc/27/68/77/1073276877.db2.gz WGSVDNXWDPXFDV-UHFFFAOYSA-N 0 0 447.496 -0.960 20 0 IBADRN CC(C)(C)CC(=O)N1CCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000675208449 1073276858 /nfs/dbraw/zinc/27/68/58/1073276858.db2.gz XWFXTWHOLXUBCQ-UHFFFAOYSA-N 0 0 448.524 -0.213 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C2 ZINC000675208771 1073276852 /nfs/dbraw/zinc/27/68/52/1073276852.db2.gz BGPUIJFRQRVZEJ-HNNXBMFYSA-N 0 0 443.460 -0.191 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N3CCOCC3)n1)C2 ZINC000675208772 1073276738 /nfs/dbraw/zinc/27/67/38/1073276738.db2.gz BGPUIJFRQRVZEJ-OAHLLOKOSA-N 0 0 443.460 -0.191 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCCN2Cc3ccccc3C2)n1)N1CCOCC1 ZINC000675209188 1073277202 /nfs/dbraw/zinc/27/72/02/1073277202.db2.gz PFNMEXVUTZOFSF-UHFFFAOYSA-N 0 0 426.477 -0.188 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N(CCO)CCc2ccccc2)n1)N1CCOCC1 ZINC000675209748 1073277228 /nfs/dbraw/zinc/27/72/28/1073277228.db2.gz QPDWMIYXCVDKQO-UHFFFAOYSA-N 0 0 429.477 -0.256 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccn(Cc3ccccc3)n2)CC1 ZINC000675209788 1073277224 /nfs/dbraw/zinc/27/72/24/1073277224.db2.gz VHHHRWBTPOJESI-UHFFFAOYSA-N 0 0 434.522 -0.437 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000675209836 1073277199 /nfs/dbraw/zinc/27/71/99/1073277199.db2.gz XWPUIIZOVCXMBB-UHFFFAOYSA-N 0 0 449.537 -0.847 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N1CCCC1 ZINC000675209865 1073277235 /nfs/dbraw/zinc/27/72/35/1073277235.db2.gz AOQVJEXVEIXFIW-KRWDZBQOSA-N 0 0 434.497 -0.556 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H](C(F)(F)F)O1 ZINC000675209905 1073276865 /nfs/dbraw/zinc/27/68/65/1073276865.db2.gz CWJXYSKRFLPQLF-NEPJUHHUSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H](C(F)(F)F)O1 ZINC000675209906 1073276845 /nfs/dbraw/zinc/27/68/45/1073276845.db2.gz CWJXYSKRFLPQLF-NWDGAFQWSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@@H](C(F)(F)F)O1 ZINC000675209907 1073276705 /nfs/dbraw/zinc/27/67/05/1073276705.db2.gz CWJXYSKRFLPQLF-RYUDHWBXSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C[C@H](C(F)(F)F)O1 ZINC000675209908 1073276729 /nfs/dbraw/zinc/27/67/29/1073276729.db2.gz CWJXYSKRFLPQLF-VXGBXAGGSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@@H](c1ccccc1)[C@@H](CO)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000675209997 1073276758 /nfs/dbraw/zinc/27/67/58/1073276758.db2.gz IUILSYXUFAEHBX-DOTOQJQBSA-N 0 0 429.477 -0.039 20 0 IBADRN C[C@H](c1ccccc1)[C@@H](CO)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000675209998 1073276693 /nfs/dbraw/zinc/27/66/93/1073276693.db2.gz IUILSYXUFAEHBX-NVXWUHKLSA-N 0 0 429.477 -0.039 20 0 IBADRN C[C@@H](c1ccccc1)[C@H](CO)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000675209999 1073276719 /nfs/dbraw/zinc/27/67/19/1073276719.db2.gz IUILSYXUFAEHBX-RDJZCZTQSA-N 0 0 429.477 -0.039 20 0 IBADRN C[C@H](c1ccccc1)[C@H](CO)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000675210000 1073277250 /nfs/dbraw/zinc/27/72/50/1073277250.db2.gz IUILSYXUFAEHBX-WBVHZDCISA-N 0 0 429.477 -0.039 20 0 IBADRN Cc1cccn2cc(CCNC(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)nc12 ZINC000675210216 1073277183 /nfs/dbraw/zinc/27/71/83/1073277183.db2.gz UPSFBAQRWVIEIX-UHFFFAOYSA-N 0 0 439.476 -0.005 20 0 IBADRN COC(C)(C)CN(C)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000675215774 1073277305 /nfs/dbraw/zinc/27/73/05/1073277305.db2.gz QRHZBTLVSTUIAK-UHFFFAOYSA-N 0 0 427.527 -0.015 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC000675218463 1073277295 /nfs/dbraw/zinc/27/72/95/1073277295.db2.gz JFFZKTCAERSKHR-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCN2CCCC2=O)CCN1C(=O)CCN1CCCC1=O ZINC000675220130 1073277311 /nfs/dbraw/zinc/27/73/11/1073277311.db2.gz CWDZEIZQTABFDE-INIZCTEOSA-N 0 0 435.525 -0.861 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCN2CCCC2=O)CCN1C(=O)CCN1CCCC1=O ZINC000675220131 1073277239 /nfs/dbraw/zinc/27/72/39/1073277239.db2.gz CWDZEIZQTABFDE-MRXNPFEDSA-N 0 0 435.525 -0.861 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC000675238230 1073277213 /nfs/dbraw/zinc/27/72/13/1073277213.db2.gz QRHDPEVXGFRNCW-AWEZNQCLSA-N 0 0 439.538 -0.456 20 0 IBADRN CC[C@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1ccccc1OCC(=O)NC ZINC000675247135 1073277171 /nfs/dbraw/zinc/27/71/71/1073277171.db2.gz BJQSWJBQIXCLQJ-INIZCTEOSA-N 0 0 426.539 -0.044 20 0 IBADRN CC[C@@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)c1ccccc1OCC(=O)NC ZINC000675247136 1073277255 /nfs/dbraw/zinc/27/72/55/1073277255.db2.gz BJQSWJBQIXCLQJ-MRXNPFEDSA-N 0 0 426.539 -0.044 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)cc2)CC1 ZINC000675247830 1073277284 /nfs/dbraw/zinc/27/72/84/1073277284.db2.gz BWHBAANWAMWOOO-UHFFFAOYSA-N 0 0 437.566 -0.020 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1F ZINC000675261097 1073277318 /nfs/dbraw/zinc/27/73/18/1073277318.db2.gz SDHSMMACXIFHNE-CYBMUJFWSA-N 0 0 435.499 -0.151 20 0 IBADRN CS(=O)(=O)Cc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1F ZINC000675261100 1073277194 /nfs/dbraw/zinc/27/71/94/1073277194.db2.gz SDHSMMACXIFHNE-ZDUSSCGKSA-N 0 0 435.499 -0.151 20 0 IBADRN CN(C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C1CCN(S(C)(=O)=O)CC1 ZINC000675262193 1073277314 /nfs/dbraw/zinc/27/73/14/1073277314.db2.gz VHRSNIISCCYCAV-UHFFFAOYSA-N 0 0 428.515 -0.370 20 0 IBADRN CN(CCN1CCOCC1)C(=O)Nc1cc(C(N)=O)ccc1CN1C(=O)CN(C)C1=O ZINC000675263184 1073277260 /nfs/dbraw/zinc/27/72/60/1073277260.db2.gz WYIANIKIRCIDFY-UHFFFAOYSA-N 0 0 432.481 -0.025 20 0 IBADRN CN(C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C1CCN(S(C)(=O)=O)CC1 ZINC000675263328 1073277750 /nfs/dbraw/zinc/27/77/50/1073277750.db2.gz PYTQQBQOFZZNKC-UHFFFAOYSA-N 0 0 428.515 -0.370 20 0 IBADRN COCCN1CCN(C(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)CC1 ZINC000675263675 1073277702 /nfs/dbraw/zinc/27/77/02/1073277702.db2.gz IPHMJFVTVNXGIS-UHFFFAOYSA-N 0 0 432.481 -0.025 20 0 IBADRN Cc1ccn(-c2ccccc2NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000675263767 1073277731 /nfs/dbraw/zinc/27/77/31/1073277731.db2.gz LZTPWGNHRZEBSU-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN Cc1nn(C)cc1[C@@H]1CCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000675266302 1073277584 /nfs/dbraw/zinc/27/75/84/1073277584.db2.gz QLNCKYGLAMZYQE-HNNXBMFYSA-N 0 0 425.449 -0.024 20 0 IBADRN Cc1nn(C)cc1[C@H]1CCCN1C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000675266303 1073277637 /nfs/dbraw/zinc/27/76/37/1073277637.db2.gz QLNCKYGLAMZYQE-OAHLLOKOSA-N 0 0 425.449 -0.024 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN([C@H]3CCOC3)CC2)c1 ZINC000675280059 1073277596 /nfs/dbraw/zinc/27/75/96/1073277596.db2.gz CAIIBSZJFYOEHB-INIZCTEOSA-N 0 0 426.539 -0.188 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN([C@@H]3CCOC3)CC2)c1 ZINC000675280060 1073277668 /nfs/dbraw/zinc/27/76/68/1073277668.db2.gz CAIIBSZJFYOEHB-MRXNPFEDSA-N 0 0 426.539 -0.188 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000675282127 1073277613 /nfs/dbraw/zinc/27/76/13/1073277613.db2.gz MRVRFPAJMAMMBB-UHFFFAOYSA-N 0 0 447.355 -0.040 20 0 IBADRN CCCCNC(=O)CN1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000675284746 1073277715 /nfs/dbraw/zinc/27/77/15/1073277715.db2.gz LDXSWWAGAFWZDG-UHFFFAOYSA-N 0 0 441.554 -0.185 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@H]1CCN(Cc3ccc(F)cc3)C1=O)CC2 ZINC000675289501 1073277658 /nfs/dbraw/zinc/27/76/58/1073277658.db2.gz LEBQAQQOKUCQKN-CVEARBPZSA-N 0 0 444.467 -0.088 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@H]1CCN(Cc3ccc(F)cc3)C1=O)CC2 ZINC000675289502 1073277772 /nfs/dbraw/zinc/27/77/72/1073277772.db2.gz LEBQAQQOKUCQKN-HOTGVXAUSA-N 0 0 444.467 -0.088 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N[C@@H]1CCN(Cc3ccc(F)cc3)C1=O)CC2 ZINC000675289503 1073277690 /nfs/dbraw/zinc/27/76/90/1073277690.db2.gz LEBQAQQOKUCQKN-HZPDHXFCSA-N 0 0 444.467 -0.088 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N[C@@H]1CCN(Cc3ccc(F)cc3)C1=O)CC2 ZINC000675289504 1073277764 /nfs/dbraw/zinc/27/77/64/1073277764.db2.gz LEBQAQQOKUCQKN-JKSUJKDBSA-N 0 0 444.467 -0.088 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000675289571 1073277726 /nfs/dbraw/zinc/27/77/26/1073277726.db2.gz UBXQQGRUBXAFLF-HNNXBMFYSA-N 0 0 426.470 -0.950 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)C1 ZINC000675289572 1073277644 /nfs/dbraw/zinc/27/76/44/1073277644.db2.gz UBXQQGRUBXAFLF-OAHLLOKOSA-N 0 0 426.470 -0.950 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000675293120 1073277696 /nfs/dbraw/zinc/27/76/96/1073277696.db2.gz DGFXYDMKZQPXAC-CYBMUJFWSA-N 0 0 432.387 -0.478 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000675293121 1073277680 /nfs/dbraw/zinc/27/76/80/1073277680.db2.gz DGFXYDMKZQPXAC-ZDUSSCGKSA-N 0 0 432.387 -0.478 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000675294988 1073277769 /nfs/dbraw/zinc/27/77/69/1073277769.db2.gz BVCQAYOMRZRSEA-CYBMUJFWSA-N 0 0 430.433 -0.806 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(c3c(F)cccc3F)C2=O)C1 ZINC000675294989 1073277755 /nfs/dbraw/zinc/27/77/55/1073277755.db2.gz BVCQAYOMRZRSEA-ZDUSSCGKSA-N 0 0 430.433 -0.806 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCc2ccc(S(N)(=O)=O)cc21 ZINC000675296050 1073277737 /nfs/dbraw/zinc/27/77/37/1073277737.db2.gz MXWCXCPSAWQESD-UHFFFAOYSA-N 0 0 440.503 -0.009 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)Nc3cc(S(N)(=O)=O)ccc3OC)CCN2C1=O ZINC000675300299 1073278052 /nfs/dbraw/zinc/27/80/52/1073278052.db2.gz WBRCSEDNRXNEBT-CYBMUJFWSA-N 0 0 425.467 -0.751 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)Nc3cc(S(N)(=O)=O)ccc3OC)CCN2C1=O ZINC000675300300 1073278110 /nfs/dbraw/zinc/27/81/10/1073278110.db2.gz WBRCSEDNRXNEBT-ZDUSSCGKSA-N 0 0 425.467 -0.751 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000675317906 1073278041 /nfs/dbraw/zinc/27/80/41/1073278041.db2.gz KFLOMIYBSCXCEM-AWEZNQCLSA-N 0 0 428.898 -0.431 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000675317914 1073278195 /nfs/dbraw/zinc/27/81/95/1073278195.db2.gz KFLOMIYBSCXCEM-CQSZACIVSA-N 0 0 428.898 -0.431 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000675326979 1073278170 /nfs/dbraw/zinc/27/81/70/1073278170.db2.gz IYYUTEWQORLTHZ-UHFFFAOYSA-N 0 0 430.552 -0.379 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000675327762 1073278028 /nfs/dbraw/zinc/27/80/28/1073278028.db2.gz SHNNIKHFEZAKMF-UHFFFAOYSA-N 0 0 444.579 -0.134 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1=O ZINC000675336984 1073278177 /nfs/dbraw/zinc/27/81/77/1073278177.db2.gz ALITVTXYJCGAAP-AUUYWEPGSA-N 0 0 436.490 -0.168 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1=O ZINC000675336985 1073278183 /nfs/dbraw/zinc/27/81/83/1073278183.db2.gz ALITVTXYJCGAAP-IFXJQAMLSA-N 0 0 436.490 -0.168 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1=O ZINC000675336986 1073278063 /nfs/dbraw/zinc/27/80/63/1073278063.db2.gz ALITVTXYJCGAAP-KUHUBIRLSA-N 0 0 436.490 -0.168 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1=O ZINC000675336987 1073278077 /nfs/dbraw/zinc/27/80/77/1073278077.db2.gz ALITVTXYJCGAAP-LIRRHRJNSA-N 0 0 436.490 -0.168 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)cn2)CC1 ZINC000675366964 1073278547 /nfs/dbraw/zinc/27/85/47/1073278547.db2.gz AIGPHJRTRICSDX-KBPBESRZSA-N 0 0 426.499 -0.682 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)cn2)CC1 ZINC000675366966 1073278499 /nfs/dbraw/zinc/27/84/99/1073278499.db2.gz AIGPHJRTRICSDX-KGLIPLIRSA-N 0 0 426.499 -0.682 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)cn2)CC1 ZINC000675366967 1073278539 /nfs/dbraw/zinc/27/85/39/1073278539.db2.gz AIGPHJRTRICSDX-UONOGXRCSA-N 0 0 426.499 -0.682 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@H]3CC[C@H](C(N)=O)O3)cn2)CC1 ZINC000675366968 1073278514 /nfs/dbraw/zinc/27/85/14/1073278514.db2.gz AIGPHJRTRICSDX-ZIAGYGMSSA-N 0 0 426.499 -0.682 20 0 IBADRN CS(=O)(=O)Cc1cc(F)ccc1NC(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000675401326 1073278587 /nfs/dbraw/zinc/27/85/87/1073278587.db2.gz QABQNXBTRKBDGE-CYBMUJFWSA-N 0 0 435.499 -0.151 20 0 IBADRN CS(=O)(=O)Cc1cc(F)ccc1NC(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000675401338 1073278606 /nfs/dbraw/zinc/27/86/06/1073278606.db2.gz QABQNXBTRKBDGE-ZDUSSCGKSA-N 0 0 435.499 -0.151 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1 ZINC000675401696 1073278553 /nfs/dbraw/zinc/27/85/53/1073278553.db2.gz SVPJNDNRCTWMCI-AWEZNQCLSA-N 0 0 426.495 -0.257 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1 ZINC000675401701 1073278649 /nfs/dbraw/zinc/27/86/49/1073278649.db2.gz SVPJNDNRCTWMCI-CQSZACIVSA-N 0 0 426.495 -0.257 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)C1 ZINC000675403692 1073278456 /nfs/dbraw/zinc/27/84/56/1073278456.db2.gz VRDPBPSQFKUCQR-AWEZNQCLSA-N 0 0 446.888 -0.292 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2=O)C1 ZINC000675403698 1073278642 /nfs/dbraw/zinc/27/86/42/1073278642.db2.gz VRDPBPSQFKUCQR-CQSZACIVSA-N 0 0 446.888 -0.292 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccccc2O[C@H]2CCOC2)CC1 ZINC000675404023 1073278507 /nfs/dbraw/zinc/27/85/07/1073278507.db2.gz PQCMZIJVPOLLCZ-HNNXBMFYSA-N 0 0 440.522 -0.514 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccccc2O[C@@H]2CCOC2)CC1 ZINC000675404028 1073278482 /nfs/dbraw/zinc/27/84/82/1073278482.db2.gz PQCMZIJVPOLLCZ-OAHLLOKOSA-N 0 0 440.522 -0.514 20 0 IBADRN C[C@@H](c1ccccc1)n1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)n1 ZINC000675405717 1073279021 /nfs/dbraw/zinc/27/90/21/1073279021.db2.gz GGPQVDQDCSFBSK-HNNXBMFYSA-N 0 0 434.522 -0.136 20 0 IBADRN C[C@H](c1ccccc1)n1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)n1 ZINC000675405720 1073279016 /nfs/dbraw/zinc/27/90/16/1073279016.db2.gz GGPQVDQDCSFBSK-OAHLLOKOSA-N 0 0 434.522 -0.136 20 0 IBADRN C[C@@H](C[C@@H]1CCOC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000675406475 1073279009 /nfs/dbraw/zinc/27/90/09/1073279009.db2.gz BJJDZCRYYLJZLS-KBPBESRZSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@H](C[C@@H]1CCOC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000675406493 1073278971 /nfs/dbraw/zinc/27/89/71/1073278971.db2.gz BJJDZCRYYLJZLS-KGLIPLIRSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@@H](C[C@H]1CCOC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000675406495 1073278937 /nfs/dbraw/zinc/27/89/37/1073278937.db2.gz BJJDZCRYYLJZLS-UONOGXRCSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@H](C[C@H]1CCOC1)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000675406498 1073279025 /nfs/dbraw/zinc/27/90/25/1073279025.db2.gz BJJDZCRYYLJZLS-ZIAGYGMSSA-N 0 0 438.506 -0.323 20 0 IBADRN C[C@@H](c1ccccc1)n1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n1 ZINC000675406499 1073279014 /nfs/dbraw/zinc/27/90/14/1073279014.db2.gz ZRPDBTUCWAWAGG-HNNXBMFYSA-N 0 0 437.464 -0.212 20 0 IBADRN C[C@H](c1ccccc1)n1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n1 ZINC000675406501 1073278929 /nfs/dbraw/zinc/27/89/29/1073278929.db2.gz ZRPDBTUCWAWAGG-OAHLLOKOSA-N 0 0 437.464 -0.212 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000675408083 1073278962 /nfs/dbraw/zinc/27/89/62/1073278962.db2.gz FOKVWRWYONHRDP-DLBZAZTESA-N 0 0 431.493 -0.448 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000675408095 1073279036 /nfs/dbraw/zinc/27/90/36/1073279036.db2.gz FOKVWRWYONHRDP-IAGOWNOFSA-N 0 0 431.493 -0.448 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000675408096 1073278943 /nfs/dbraw/zinc/27/89/43/1073278943.db2.gz FOKVWRWYONHRDP-IRXDYDNUSA-N 0 0 431.493 -0.448 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000675408097 1073278977 /nfs/dbraw/zinc/27/89/77/1073278977.db2.gz FOKVWRWYONHRDP-SJORKVTESA-N 0 0 431.493 -0.448 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000675413086 1073279011 /nfs/dbraw/zinc/27/90/11/1073279011.db2.gz JSHFMSJFUNTSEU-IBGZPJMESA-N 0 0 443.548 -0.383 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)CC1 ZINC000675413087 1073278989 /nfs/dbraw/zinc/27/89/89/1073278989.db2.gz JSHFMSJFUNTSEU-LJQANCHMSA-N 0 0 443.548 -0.383 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(CCCc3ccccc3)C2=O)C1 ZINC000675423789 1073279032 /nfs/dbraw/zinc/27/90/32/1073279032.db2.gz RFYMVQPDLKERES-KRWDZBQOSA-N 0 0 436.534 -0.656 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(CCCc3ccccc3)C2=O)C1 ZINC000675423790 1073278999 /nfs/dbraw/zinc/27/89/99/1073278999.db2.gz RFYMVQPDLKERES-QGZVFWFLSA-N 0 0 436.534 -0.656 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000675434139 1073279418 /nfs/dbraw/zinc/27/94/18/1073279418.db2.gz FNJFJDMNVIBGMD-UHFFFAOYSA-N 0 0 447.355 -0.040 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)C1 ZINC000675451558 1073279530 /nfs/dbraw/zinc/27/95/30/1073279530.db2.gz MFOTUJIOZZALPE-AWEZNQCLSA-N 0 0 446.888 -0.292 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2=O)C1 ZINC000675451559 1073279293 /nfs/dbraw/zinc/27/92/93/1073279293.db2.gz MFOTUJIOZZALPE-CQSZACIVSA-N 0 0 446.888 -0.292 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC[C@H]3CCCO3)CC2)C[C@@H](C)O1 ZINC000675452850 1073279374 /nfs/dbraw/zinc/27/93/74/1073279374.db2.gz RDHBYJCVBXFRQP-BZUAXINKSA-N 0 0 425.573 -0.143 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC[C@@H]3CCCO3)CC2)C[C@@H](C)O1 ZINC000675452858 1073279455 /nfs/dbraw/zinc/27/94/55/1073279455.db2.gz RDHBYJCVBXFRQP-OAGGEKHMSA-N 0 0 425.573 -0.143 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC[C@H]3CCCO3)CC2)C[C@H](C)O1 ZINC000675452860 1073279512 /nfs/dbraw/zinc/27/95/12/1073279512.db2.gz RDHBYJCVBXFRQP-OWCLPIDISA-N 0 0 425.573 -0.143 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CC[C@@H]3CCCO3)CC2)C[C@H](C)O1 ZINC000675452862 1073279524 /nfs/dbraw/zinc/27/95/24/1073279524.db2.gz RDHBYJCVBXFRQP-XHSDSOJGSA-N 0 0 425.573 -0.143 20 0 IBADRN CC1(C)c2cc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc2NC1=O ZINC000675454284 1073279516 /nfs/dbraw/zinc/27/95/16/1073279516.db2.gz NHPMFMSZKWMZQJ-UHFFFAOYSA-N 0 0 430.552 -0.228 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC000675485644 1073279958 /nfs/dbraw/zinc/27/99/58/1073279958.db2.gz CPJSEPQLHPVSFU-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)CC1 ZINC000675488128 1073279925 /nfs/dbraw/zinc/27/99/25/1073279925.db2.gz KNGSMSJMLVSCED-UHFFFAOYSA-N 0 0 439.947 -0.650 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000675488360 1073279902 /nfs/dbraw/zinc/27/99/02/1073279902.db2.gz NGORKMOZYZYARF-UHFFFAOYSA-N 0 0 426.478 -0.528 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2Cl)CC1 ZINC000675488597 1073280337 /nfs/dbraw/zinc/28/03/37/1073280337.db2.gz SWRKVZOWDJRUJN-UHFFFAOYSA-N 0 0 428.906 -0.322 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)Nc3cc(S(N)(=O)=O)ccc3Cl)CCN2C1=O ZINC000675499004 1073280197 /nfs/dbraw/zinc/28/01/97/1073280197.db2.gz YVRLANVPWALREZ-CYBMUJFWSA-N 0 0 429.886 -0.106 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)Nc3cc(S(N)(=O)=O)ccc3Cl)CCN2C1=O ZINC000675499005 1073280367 /nfs/dbraw/zinc/28/03/67/1073280367.db2.gz YVRLANVPWALREZ-ZDUSSCGKSA-N 0 0 429.886 -0.106 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC(S(C)(=O)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000675504438 1073280794 /nfs/dbraw/zinc/28/07/94/1073280794.db2.gz GYXSMIWALDLHMJ-UHFFFAOYSA-N 0 0 434.518 -0.131 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)C1 ZINC000675517957 1073280251 /nfs/dbraw/zinc/28/02/51/1073280251.db2.gz QBKMIXJZYJBECH-HNNXBMFYSA-N 0 0 430.509 -0.067 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)C1 ZINC000675517966 1073280319 /nfs/dbraw/zinc/28/03/19/1073280319.db2.gz QBKMIXJZYJBECH-OAHLLOKOSA-N 0 0 430.509 -0.067 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N(C)CC(=O)N2CCN(C)CC2)c1 ZINC000675518529 1073280292 /nfs/dbraw/zinc/28/02/92/1073280292.db2.gz YBVGGPLFMVCMTI-UHFFFAOYSA-N 0 0 426.539 -0.234 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000675518924 1073280876 /nfs/dbraw/zinc/28/08/76/1073280876.db2.gz IMOIOAHYGPBBSC-UHFFFAOYSA-N 0 0 441.554 -0.718 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000675519200 1073280351 /nfs/dbraw/zinc/28/03/51/1073280351.db2.gz VPQKDTGNXZEOBT-UHFFFAOYSA-N 0 0 428.511 -0.463 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000675519534 1073280802 /nfs/dbraw/zinc/28/08/02/1073280802.db2.gz HBJGTWFXQRSJHK-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000675531920 1073280761 /nfs/dbraw/zinc/28/07/61/1073280761.db2.gz FYBICWSBLOBKHT-UHFFFAOYSA-N 0 0 439.542 -0.941 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000675532470 1073280838 /nfs/dbraw/zinc/28/08/38/1073280838.db2.gz PFADPSSJAJUROC-UHFFFAOYSA-N 0 0 440.526 -0.516 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC1 ZINC000675533580 1073280853 /nfs/dbraw/zinc/28/08/53/1073280853.db2.gz ZIVWBGLFBFWPMR-UHFFFAOYSA-N 0 0 445.568 -0.208 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC1(CO)CC1)c(=O)n2Cc1ccccc1 ZINC000675535517 1073280867 /nfs/dbraw/zinc/28/08/67/1073280867.db2.gz VNOGKRKWBJOSIC-UHFFFAOYSA-N 0 0 441.488 -0.057 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000675540074 1073280845 /nfs/dbraw/zinc/28/08/45/1073280845.db2.gz UWLIRKOZKPNEGT-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000675552076 1073280784 /nfs/dbraw/zinc/28/07/84/1073280784.db2.gz FEMUCIYRHNMCNF-UHFFFAOYSA-N 0 0 425.507 -0.146 20 0 IBADRN O=C(N[C@H]1CCN(C(=O)C2CCCC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000675557461 1073280814 /nfs/dbraw/zinc/28/08/14/1073280814.db2.gz OCYUMSYYAOAKHP-KRWDZBQOSA-N 0 0 435.525 -0.510 20 0 IBADRN O=C(N[C@@H]1CCN(C(=O)C2CCCC2)C1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000675557466 1073280874 /nfs/dbraw/zinc/28/08/74/1073280874.db2.gz OCYUMSYYAOAKHP-QGZVFWFLSA-N 0 0 435.525 -0.510 20 0 IBADRN O=C(N[C@H]1CCN(C(=O)C2CCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000675558292 1073280833 /nfs/dbraw/zinc/28/08/33/1073280833.db2.gz GDRNHOPRISZUAT-KRWDZBQOSA-N 0 0 443.570 -0.318 20 0 IBADRN O=C(N[C@@H]1CCN(C(=O)C2CCCC2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000675558293 1073280690 /nfs/dbraw/zinc/28/06/90/1073280690.db2.gz GDRNHOPRISZUAT-QGZVFWFLSA-N 0 0 443.570 -0.318 20 0 IBADRN CC(C)(CNC(=O)C1(C(=O)NCC(C)(C)NS(C)(=O)=O)CCC1)NS(C)(=O)=O ZINC000675566318 1073280738 /nfs/dbraw/zinc/28/07/38/1073280738.db2.gz FQDBCUFLHHRANU-UHFFFAOYSA-N 0 0 440.588 -0.955 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000675569806 1073280704 /nfs/dbraw/zinc/28/07/04/1073280704.db2.gz ZNZJZICWHUSZMI-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000675571104 1073281407 /nfs/dbraw/zinc/28/14/07/1073281407.db2.gz NHWJFXLQWLXLLV-KRWDZBQOSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000675571105 1073281296 /nfs/dbraw/zinc/28/12/96/1073281296.db2.gz NHWJFXLQWLXLLV-QGZVFWFLSA-N 0 0 438.550 -0.010 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCCCC1 ZINC000675573675 1073281375 /nfs/dbraw/zinc/28/13/75/1073281375.db2.gz CBUCTGZSJMFUML-UHFFFAOYSA-N 0 0 445.586 -0.576 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000675574738 1073281260 /nfs/dbraw/zinc/28/12/60/1073281260.db2.gz GDNQRVYRRHLWBV-UHFFFAOYSA-N 0 0 443.501 -0.841 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000675576268 1073281245 /nfs/dbraw/zinc/28/12/45/1073281245.db2.gz JVLORXUFWOCHMJ-DOTOQJQBSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000675576269 1073281318 /nfs/dbraw/zinc/28/13/18/1073281318.db2.gz JVLORXUFWOCHMJ-NVXWUHKLSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000675576270 1073281391 /nfs/dbraw/zinc/28/13/91/1073281391.db2.gz JVLORXUFWOCHMJ-RDJZCZTQSA-N 0 0 433.513 -0.900 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C(=O)N1c1cnn(C)c1 ZINC000675576271 1073281402 /nfs/dbraw/zinc/28/14/02/1073281402.db2.gz JVLORXUFWOCHMJ-WBVHZDCISA-N 0 0 433.513 -0.900 20 0 IBADRN Cc1cccc(C)c1OC[C@H](O)CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000675579222 1073281162 /nfs/dbraw/zinc/28/11/62/1073281162.db2.gz MEFBGNLYKFIWQE-CYBMUJFWSA-N 0 0 425.463 -0.170 20 0 IBADRN Cc1cccc(C)c1OC[C@@H](O)CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000675579229 1073281225 /nfs/dbraw/zinc/28/12/25/1073281225.db2.gz MEFBGNLYKFIWQE-ZDUSSCGKSA-N 0 0 425.463 -0.170 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000675579304 1073281398 /nfs/dbraw/zinc/28/13/98/1073281398.db2.gz RUTSABZQPQPHKL-CRAIPNDOSA-N 0 0 432.477 -0.446 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000675579305 1073281234 /nfs/dbraw/zinc/28/12/34/1073281234.db2.gz RUTSABZQPQPHKL-MAUKXSAKSA-N 0 0 432.477 -0.446 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000675579306 1073281417 /nfs/dbraw/zinc/28/14/17/1073281417.db2.gz RUTSABZQPQPHKL-QAPCUYQASA-N 0 0 432.477 -0.446 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000675579308 1073281327 /nfs/dbraw/zinc/28/13/27/1073281327.db2.gz RUTSABZQPQPHKL-YJBOKZPZSA-N 0 0 432.477 -0.446 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000675583519 1073281383 /nfs/dbraw/zinc/28/13/83/1073281383.db2.gz XXNGCVJRVYWCRX-INIZCTEOSA-N 0 0 433.509 -0.220 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)CC1 ZINC000675583522 1073281338 /nfs/dbraw/zinc/28/13/38/1073281338.db2.gz XXNGCVJRVYWCRX-MRXNPFEDSA-N 0 0 433.509 -0.220 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000675584731 1073281362 /nfs/dbraw/zinc/28/13/62/1073281362.db2.gz KOZOENBALCQBEK-UHFFFAOYSA-N 0 0 439.538 -0.983 20 0 IBADRN O=C(Nc1cnn(C[C@@H]2CCCO2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000675587038 1073281772 /nfs/dbraw/zinc/28/17/72/1073281772.db2.gz PWGPNOYSKRICLZ-INIZCTEOSA-N 0 0 432.481 -0.706 20 0 IBADRN O=C(Nc1cnn(C[C@H]2CCCO2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000675587039 1073281784 /nfs/dbraw/zinc/28/17/84/1073281784.db2.gz PWGPNOYSKRICLZ-MRXNPFEDSA-N 0 0 432.481 -0.706 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(C[C@@H]3CCCO3)c2)CC1 ZINC000675587388 1073281728 /nfs/dbraw/zinc/28/17/28/1073281728.db2.gz HVHAQUCYYKGRHO-KRWDZBQOSA-N 0 0 434.497 -0.996 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(C[C@H]3CCCO3)c2)CC1 ZINC000675587389 1073281753 /nfs/dbraw/zinc/28/17/53/1073281753.db2.gz HVHAQUCYYKGRHO-QGZVFWFLSA-N 0 0 434.497 -0.996 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)N3CCCCC3)CC2)cn1 ZINC000675587396 1073281706 /nfs/dbraw/zinc/28/17/06/1073281706.db2.gz IHIDMKSWUGUCPI-UHFFFAOYSA-N 0 0 449.512 -0.666 20 0 IBADRN CN(CC(=O)N(C)C1CCCCC1)C(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000675587534 1073281351 /nfs/dbraw/zinc/28/13/51/1073281351.db2.gz BCNLYPZROANBDW-UHFFFAOYSA-N 0 0 448.524 -0.070 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cnn(C[C@@H]4CCCO4)c3)CC2)nc1 ZINC000675587796 1073282206 /nfs/dbraw/zinc/28/22/06/1073282206.db2.gz IQZDENCXSYRLQK-INIZCTEOSA-N 0 0 427.465 -0.157 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3cnn(C[C@H]4CCCO4)c3)CC2)nc1 ZINC000675587797 1073282234 /nfs/dbraw/zinc/28/22/34/1073282234.db2.gz IQZDENCXSYRLQK-MRXNPFEDSA-N 0 0 427.465 -0.157 20 0 IBADRN CCC(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC000675588093 1073282282 /nfs/dbraw/zinc/28/22/82/1073282282.db2.gz PVXKTICZCOTAJT-UHFFFAOYSA-N 0 0 436.513 -0.309 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)CC(C)(C)C)CC2)cn1 ZINC000675588159 1073282090 /nfs/dbraw/zinc/28/20/90/1073282090.db2.gz REZFEGHLKNLYFB-UHFFFAOYSA-N 0 0 436.513 -0.309 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)cn1)N1CCOCC1 ZINC000675588165 1073282100 /nfs/dbraw/zinc/28/21/00/1073282100.db2.gz ZTQNHIRFXYRHDS-UHFFFAOYSA-N 0 0 447.496 -0.960 20 0 IBADRN CC(C)(C)CC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000675588171 1073282208 /nfs/dbraw/zinc/28/22/08/1073282208.db2.gz ROHAPQLDFQWOKM-UHFFFAOYSA-N 0 0 448.524 -0.213 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)CC(=O)N(C)C2CCCCC2)cn1 ZINC000675588245 1073282156 /nfs/dbraw/zinc/28/21/56/1073282156.db2.gz UPPYAZLFBUSBBL-UHFFFAOYSA-N 0 0 436.513 -0.166 20 0 IBADRN CCC(CC)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000675588314 1073282144 /nfs/dbraw/zinc/28/21/44/1073282144.db2.gz YEFPHKPGKAPNAP-UHFFFAOYSA-N 0 0 448.524 -0.213 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCCN2Cc3ccccc3C2)cn1)N1CCOCC1 ZINC000675591172 1073282221 /nfs/dbraw/zinc/28/22/21/1073282221.db2.gz VUHJNHFQFFXEDT-UHFFFAOYSA-N 0 0 426.477 -0.188 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)NC3CCCC3)cn2)C[C@H](C)O1 ZINC000675591748 1073282627 /nfs/dbraw/zinc/28/26/27/1073282627.db2.gz SJERDDNFPODHAW-KBPBESRZSA-N 0 0 434.497 -0.368 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)NC3CCCC3)cn2)C[C@H](C)O1 ZINC000675591749 1073282555 /nfs/dbraw/zinc/28/25/55/1073282555.db2.gz SJERDDNFPODHAW-OKILXGFUSA-N 0 0 434.497 -0.368 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCC(=O)NC3CCCC3)cn2)C[C@@H](C)O1 ZINC000675591750 1073282611 /nfs/dbraw/zinc/28/26/11/1073282611.db2.gz SJERDDNFPODHAW-ZIAGYGMSSA-N 0 0 434.497 -0.368 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C2 ZINC000675592200 1073282200 /nfs/dbraw/zinc/28/22/00/1073282200.db2.gz DNCOUDVKPCYMGX-HNNXBMFYSA-N 0 0 443.460 -0.191 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N3CCOCC3)c1)C2 ZINC000675592204 1073282191 /nfs/dbraw/zinc/28/21/91/1073282191.db2.gz DNCOUDVKPCYMGX-OAHLLOKOSA-N 0 0 443.460 -0.191 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N(CCO)CCc2ccccc2)cn1)N1CCOCC1 ZINC000675592957 1073282575 /nfs/dbraw/zinc/28/25/75/1073282575.db2.gz JKOQUVBJFOAVTE-UHFFFAOYSA-N 0 0 429.477 -0.256 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000675592972 1073282668 /nfs/dbraw/zinc/28/26/68/1073282668.db2.gz RFIQTQNEMIMABH-AWEZNQCLSA-N 0 0 431.540 -0.505 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cnn(C[C@H]2CCCO2)c1 ZINC000675592975 1073282653 /nfs/dbraw/zinc/28/26/53/1073282653.db2.gz RFIQTQNEMIMABH-CQSZACIVSA-N 0 0 431.540 -0.505 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2COc3c(cccc3OC)C2)cn1 ZINC000675593176 1073282620 /nfs/dbraw/zinc/28/26/20/1073282620.db2.gz UGRSXYBHFXVMGK-AWEZNQCLSA-N 0 0 431.449 -0.287 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2COc3c(cccc3OC)C2)cn1 ZINC000675593178 1073282665 /nfs/dbraw/zinc/28/26/65/1073282665.db2.gz UGRSXYBHFXVMGK-CQSZACIVSA-N 0 0 431.449 -0.287 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccccc2OCC(F)F)CC1 ZINC000675593627 1073282642 /nfs/dbraw/zinc/28/26/42/1073282642.db2.gz DMUCWPBQVBFDEV-UHFFFAOYSA-N 0 0 434.465 -0.038 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)cc1C(N)=O ZINC000675593692 1073282596 /nfs/dbraw/zinc/28/25/96/1073282596.db2.gz SKJANCPATADFBK-LLVKDONJSA-N 0 0 426.433 -0.378 20 0 IBADRN COc1ncc(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)cc1C(N)=O ZINC000675593693 1073282605 /nfs/dbraw/zinc/28/26/05/1073282605.db2.gz SKJANCPATADFBK-NSHDSACASA-N 0 0 426.433 -0.378 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cnn(Cc3ccccc3)c2)CC1 ZINC000675593854 1073282600 /nfs/dbraw/zinc/28/26/00/1073282600.db2.gz KRTUQLPRKYXOAR-UHFFFAOYSA-N 0 0 434.522 -0.437 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000675593925 1073282615 /nfs/dbraw/zinc/28/26/15/1073282615.db2.gz YKDYRIWTZKINCR-CVEARBPZSA-N 0 0 443.526 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000675593926 1073282579 /nfs/dbraw/zinc/28/25/79/1073282579.db2.gz YKDYRIWTZKINCR-HOTGVXAUSA-N 0 0 443.526 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cnn(C[C@H]2CCCO2)c1 ZINC000675593927 1073282648 /nfs/dbraw/zinc/28/26/48/1073282648.db2.gz YKDYRIWTZKINCR-HZPDHXFCSA-N 0 0 443.526 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cnn(C[C@H]2CCCO2)c1 ZINC000675593928 1073282666 /nfs/dbraw/zinc/28/26/66/1073282666.db2.gz YKDYRIWTZKINCR-JKSUJKDBSA-N 0 0 443.526 -0.395 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)cn1)N1CCOCC1 ZINC000675594265 1073282564 /nfs/dbraw/zinc/28/25/64/1073282564.db2.gz FWPRXMLRYOSPHN-KRWDZBQOSA-N 0 0 434.497 -0.996 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)cn1)N1CCOCC1 ZINC000675594266 1073282657 /nfs/dbraw/zinc/28/26/57/1073282657.db2.gz FWPRXMLRYOSPHN-QGZVFWFLSA-N 0 0 434.497 -0.996 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCCc2cn3cccc(C)c3n2)cn1 ZINC000675595294 1073282586 /nfs/dbraw/zinc/28/25/86/1073282586.db2.gz FQAFPXCNLDYYRW-UHFFFAOYSA-N 0 0 427.465 -0.101 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(CC2CC2)c1)N1CCN(c2ncccn2)CC1 ZINC000675595793 1073282978 /nfs/dbraw/zinc/28/29/78/1073282978.db2.gz LPZKDFJTWUUTMO-UHFFFAOYSA-N 0 0 426.481 -0.123 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H](C(F)(F)F)O1 ZINC000675596143 1073282872 /nfs/dbraw/zinc/28/28/72/1073282872.db2.gz NPVRRXPCMUCFFD-AAEUAGOBSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H](C(F)(F)F)O1 ZINC000675596145 1073282927 /nfs/dbraw/zinc/28/29/27/1073282927.db2.gz NPVRRXPCMUCFFD-DGCLKSJQSA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@H](C(F)(F)F)O1 ZINC000675596146 1073282947 /nfs/dbraw/zinc/28/29/47/1073282947.db2.gz NPVRRXPCMUCFFD-WCQYABFASA-N 0 0 433.387 -0.141 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C[C@@H](C(F)(F)F)O1 ZINC000675596148 1073282898 /nfs/dbraw/zinc/28/28/98/1073282898.db2.gz NPVRRXPCMUCFFD-YPMHNXCESA-N 0 0 433.387 -0.141 20 0 IBADRN Cc1cccn2cc(CCNC(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)nc12 ZINC000675596363 1073282629 /nfs/dbraw/zinc/28/26/29/1073282629.db2.gz OQUZYBZJEOPSOT-UHFFFAOYSA-N 0 0 439.476 -0.005 20 0 IBADRN CC(C)[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N1CCCC1 ZINC000675597292 1073282963 /nfs/dbraw/zinc/28/29/63/1073282963.db2.gz IOSJIJPTMHKZIY-KRWDZBQOSA-N 0 0 434.497 -0.556 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@H]([C@H]4CCCO4)C3)cn2)C[C@@H](C)O1 ZINC000675597549 1073282839 /nfs/dbraw/zinc/28/28/39/1073282839.db2.gz NIULFZJXNLCNFT-AHCXZYCDSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@H]([C@H]4CCCO4)C3)cn2)C[C@H](C)O1 ZINC000675597551 1073282992 /nfs/dbraw/zinc/28/29/92/1073282992.db2.gz NIULFZJXNLCNFT-ATLSCFEFSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@H]([C@@H]4CCCO4)C3)cn2)C[C@@H](C)O1 ZINC000675597553 1073282953 /nfs/dbraw/zinc/28/29/53/1073282953.db2.gz NIULFZJXNLCNFT-LMSBXDPUSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@H]([C@@H]4CCCO4)C3)cn2)C[C@H](C)O1 ZINC000675597555 1073282909 /nfs/dbraw/zinc/28/29/09/1073282909.db2.gz NIULFZJXNLCNFT-MVJTYMMSSA-N 0 0 449.508 -0.136 20 0 IBADRN C[C@H](c1ccccc1)[C@@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000675598637 1073283321 /nfs/dbraw/zinc/28/33/21/1073283321.db2.gz WFIRNNHFJFTCKR-CRAIPNDOSA-N 0 0 429.477 -0.039 20 0 IBADRN C[C@@H](c1ccccc1)[C@@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000675598641 1073283264 /nfs/dbraw/zinc/28/32/64/1073283264.db2.gz WFIRNNHFJFTCKR-MAUKXSAKSA-N 0 0 429.477 -0.039 20 0 IBADRN C[C@H](c1ccccc1)[C@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000675598643 1073283241 /nfs/dbraw/zinc/28/32/41/1073283241.db2.gz WFIRNNHFJFTCKR-QAPCUYQASA-N 0 0 429.477 -0.039 20 0 IBADRN C[C@@H](c1ccccc1)[C@H](CO)NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000675598645 1073283367 /nfs/dbraw/zinc/28/33/67/1073283367.db2.gz WFIRNNHFJFTCKR-YJBOKZPZSA-N 0 0 429.477 -0.039 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)CCN2C1=O ZINC000675599399 1073282937 /nfs/dbraw/zinc/28/29/37/1073282937.db2.gz BJYSAGXWTXWDIL-AWEZNQCLSA-N 0 0 437.478 -0.630 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)CCN2C1=O ZINC000675599400 1073283254 /nfs/dbraw/zinc/28/32/54/1073283254.db2.gz BJYSAGXWTXWDIL-CQSZACIVSA-N 0 0 437.478 -0.630 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(OC)c(-n4cnnn4)c3)CCN2C1=O ZINC000675599409 1073283357 /nfs/dbraw/zinc/28/33/57/1073283357.db2.gz CEBGGYRKTDQMGF-CYBMUJFWSA-N 0 0 428.409 -0.896 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(OC)c(-n4cnnn4)c3)CCN2C1=O ZINC000675599410 1073283301 /nfs/dbraw/zinc/28/33/01/1073283301.db2.gz CEBGGYRKTDQMGF-ZDUSSCGKSA-N 0 0 428.409 -0.896 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)CCN2C1=O ZINC000675599422 1073283318 /nfs/dbraw/zinc/28/33/18/1073283318.db2.gz CPFWOWVMVAPQLE-HNNXBMFYSA-N 0 0 425.449 -0.046 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccn(CCc4ccncc4)n3)CCN2C1=O ZINC000675599423 1073282862 /nfs/dbraw/zinc/28/28/62/1073282862.db2.gz CPFWOWVMVAPQLE-OAHLLOKOSA-N 0 0 425.449 -0.046 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(Br)cn(C)c3=O)CCN2C1=O ZINC000675599450 1073282919 /nfs/dbraw/zinc/28/29/19/1073282919.db2.gz DRRGQZHNGPYQDN-LLVKDONJSA-N 0 0 440.254 -0.419 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(Br)cn(C)c3=O)CCN2C1=O ZINC000675599451 1073282851 /nfs/dbraw/zinc/28/28/51/1073282851.db2.gz DRRGQZHNGPYQDN-NSHDSACASA-N 0 0 440.254 -0.419 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(-n4nnnc4SC)c3)CCN2C1=O ZINC000675599483 1073282988 /nfs/dbraw/zinc/28/29/88/1073282988.db2.gz FSFKKZAZIZLVBP-CYBMUJFWSA-N 0 0 444.477 -0.182 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(-n4nnnc4SC)c3)CCN2C1=O ZINC000675599484 1073282887 /nfs/dbraw/zinc/28/28/87/1073282887.db2.gz FSFKKZAZIZLVBP-ZDUSSCGKSA-N 0 0 444.477 -0.182 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccccc3N(C)S(C)(=O)=O)CCN2C1=O ZINC000675599553 1073283277 /nfs/dbraw/zinc/28/32/77/1073283277.db2.gz IHRZSMHGPNQKSM-AWEZNQCLSA-N 0 0 437.478 -0.484 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccccc3N(C)S(C)(=O)=O)CCN2C1=O ZINC000675599554 1073283269 /nfs/dbraw/zinc/28/32/69/1073283269.db2.gz IHRZSMHGPNQKSM-CQSZACIVSA-N 0 0 437.478 -0.484 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)CCN2C1=O ZINC000675599736 1073284090 /nfs/dbraw/zinc/28/40/90/1073284090.db2.gz PHXJWXLJOXCHPA-AWEZNQCLSA-N 0 0 442.432 -0.828 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(CN4C(=O)CNC4=O)c3)CCN2C1=O ZINC000675599737 1073284112 /nfs/dbraw/zinc/28/41/12/1073284112.db2.gz PHXJWXLJOXCHPA-CQSZACIVSA-N 0 0 442.432 -0.828 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(OCC(=O)N(C)C)cc3)CCN2C1=O ZINC000675599743 1073284078 /nfs/dbraw/zinc/28/40/78/1073284078.db2.gz ACTIGATWRXYBPG-HNNXBMFYSA-N 0 0 431.449 -0.413 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(OCC(=O)N(C)C)cc3)CCN2C1=O ZINC000675599746 1073284067 /nfs/dbraw/zinc/28/40/67/1073284067.db2.gz ACTIGATWRXYBPG-OAHLLOKOSA-N 0 0 431.449 -0.413 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)cc1S(N)(=O)=O ZINC000675599828 1073284005 /nfs/dbraw/zinc/28/40/05/1073284005.db2.gz BVDXUYUBWOTGEH-CYBMUJFWSA-N 0 0 437.478 -0.670 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)cc1S(N)(=O)=O ZINC000675599829 1073284029 /nfs/dbraw/zinc/28/40/29/1073284029.db2.gz BVDXUYUBWOTGEH-ZDUSSCGKSA-N 0 0 437.478 -0.670 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(CS(C)(=O)=O)c(F)c3)CCN2C1=O ZINC000675599864 1073283715 /nfs/dbraw/zinc/28/37/15/1073283715.db2.gz DCVJDLUADWEBPQ-AWEZNQCLSA-N 0 0 440.453 -0.196 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(CS(C)(=O)=O)c(F)c3)CCN2C1=O ZINC000675599865 1073283642 /nfs/dbraw/zinc/28/36/42/1073283642.db2.gz DCVJDLUADWEBPQ-CQSZACIVSA-N 0 0 440.453 -0.196 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(Cn4cccnc4=O)c3)CCN2C1=O ZINC000675599908 1073283687 /nfs/dbraw/zinc/28/36/87/1073283687.db2.gz RMTKONLLIDQMPQ-INIZCTEOSA-N 0 0 438.444 -0.275 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(Cn4cccnc4=O)c3)CCN2C1=O ZINC000675599909 1073283697 /nfs/dbraw/zinc/28/36/97/1073283697.db2.gz RMTKONLLIDQMPQ-MRXNPFEDSA-N 0 0 438.444 -0.275 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)CCN2C1=O ZINC000675599932 1073284023 /nfs/dbraw/zinc/28/40/23/1073284023.db2.gz SQSSARUAAFOPAE-AWEZNQCLSA-N 0 0 442.432 -0.828 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)CCN2C1=O ZINC000675599933 1073284060 /nfs/dbraw/zinc/28/40/60/1073284060.db2.gz SQSSARUAAFOPAE-CQSZACIVSA-N 0 0 442.432 -0.828 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(S(N)(=O)=O)cc(C)c3C)CCN2C1=O ZINC000675600046 1073283667 /nfs/dbraw/zinc/28/36/67/1073283667.db2.gz GCTKXMJBJRHDOJ-AWEZNQCLSA-N 0 0 437.478 -0.616 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(S(N)(=O)=O)cc(C)c3C)CCN2C1=O ZINC000675600047 1073283727 /nfs/dbraw/zinc/28/37/27/1073283727.db2.gz GCTKXMJBJRHDOJ-CQSZACIVSA-N 0 0 437.478 -0.616 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)CCN2C1=O ZINC000675600052 1073283692 /nfs/dbraw/zinc/28/36/92/1073283692.db2.gz GGINYOJUBGUCFV-HNNXBMFYSA-N 0 0 449.489 -0.439 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)CCN2C1=O ZINC000675600053 1073283661 /nfs/dbraw/zinc/28/36/61/1073283661.db2.gz GGINYOJUBGUCFV-OAHLLOKOSA-N 0 0 449.489 -0.439 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccccc3C(=O)N3CCOCC3)CCN2C1=O ZINC000675600119 1073283672 /nfs/dbraw/zinc/28/36/72/1073283672.db2.gz HBSCXUVWGONFEQ-INIZCTEOSA-N 0 0 443.460 -0.408 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccccc3C(=O)N3CCOCC3)CCN2C1=O ZINC000675600120 1073283719 /nfs/dbraw/zinc/28/37/19/1073283719.db2.gz HBSCXUVWGONFEQ-MRXNPFEDSA-N 0 0 443.460 -0.408 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C)c(S(=O)(=O)NC)c3)CCN2C1=O ZINC000675600256 1073283655 /nfs/dbraw/zinc/28/36/55/1073283655.db2.gz YRRXYTFPEGYYBU-CYBMUJFWSA-N 0 0 437.478 -0.663 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C)c(S(=O)(=O)NC)c3)CCN2C1=O ZINC000675600257 1073283722 /nfs/dbraw/zinc/28/37/22/1073283722.db2.gz YRRXYTFPEGYYBU-ZDUSSCGKSA-N 0 0 437.478 -0.663 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccccc3CN3CCOCC3)CCN2C1=O ZINC000675600282 1073283682 /nfs/dbraw/zinc/28/36/82/1073283682.db2.gz JWOMVODXUHLGFJ-KRWDZBQOSA-N 0 0 429.477 -0.048 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccccc3CN3CCOCC3)CCN2C1=O ZINC000675600283 1073283626 /nfs/dbraw/zinc/28/36/26/1073283626.db2.gz JWOMVODXUHLGFJ-QGZVFWFLSA-N 0 0 429.477 -0.048 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(S(=O)(=O)NC)ccc3C)CCN2C1=O ZINC000675600323 1073283309 /nfs/dbraw/zinc/28/33/09/1073283309.db2.gz KSDNEMGDKGYMFK-AWEZNQCLSA-N 0 0 437.478 -0.663 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(S(=O)(=O)NC)ccc3C)CCN2C1=O ZINC000675600324 1073283352 /nfs/dbraw/zinc/28/33/52/1073283352.db2.gz KSDNEMGDKGYMFK-CQSZACIVSA-N 0 0 437.478 -0.663 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc4ccn(CC(=O)NC)c4c3)CCN2C1=O ZINC000675600348 1073283290 /nfs/dbraw/zinc/28/32/90/1073283290.db2.gz ZTNXVPUUAKSMGE-INIZCTEOSA-N 0 0 440.460 -0.179 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc4ccn(CC(=O)NC)c4c3)CCN2C1=O ZINC000675600352 1073283363 /nfs/dbraw/zinc/28/33/63/1073283363.db2.gz ZTNXVPUUAKSMGE-MRXNPFEDSA-N 0 0 440.460 -0.179 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)N4CCOCC4)cc3)CCN2C1=O ZINC000675600375 1073283281 /nfs/dbraw/zinc/28/32/81/1073283281.db2.gz LGHIFYULOJSSHR-INIZCTEOSA-N 0 0 443.460 -0.408 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C)cc3OCC(=O)N(C)C)CCN2C1=O ZINC000675600376 1073283296 /nfs/dbraw/zinc/28/32/96/1073283296.db2.gz ZXDBNWDCKVUSFS-HNNXBMFYSA-N 0 0 445.476 -0.105 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)N4CCOCC4)cc3)CCN2C1=O ZINC000675600377 1073283312 /nfs/dbraw/zinc/28/33/12/1073283312.db2.gz LGHIFYULOJSSHR-MRXNPFEDSA-N 0 0 443.460 -0.408 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C)cc3OCC(=O)N(C)C)CCN2C1=O ZINC000675600381 1073283678 /nfs/dbraw/zinc/28/36/78/1073283678.db2.gz ZXDBNWDCKVUSFS-OAHLLOKOSA-N 0 0 445.476 -0.105 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(C(=O)N(C)C)ccc3OC)CCN2C1=O ZINC000675600411 1073283706 /nfs/dbraw/zinc/28/37/06/1073283706.db2.gz LTRHGXIWXBMDIB-AWEZNQCLSA-N 0 0 431.449 -0.170 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(C(=O)N(C)C)ccc3OC)CCN2C1=O ZINC000675600413 1073283702 /nfs/dbraw/zinc/28/37/02/1073283702.db2.gz LTRHGXIWXBMDIB-CQSZACIVSA-N 0 0 431.449 -0.170 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(N4CCCS4(=O)=O)c3)CCN2C1=O ZINC000675600419 1073283713 /nfs/dbraw/zinc/28/37/13/1073283713.db2.gz LXOXPPLGGFCWCL-HNNXBMFYSA-N 0 0 449.489 -0.340 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(N4CCCS4(=O)=O)c3)CCN2C1=O ZINC000675600422 1073283649 /nfs/dbraw/zinc/28/36/49/1073283649.db2.gz LXOXPPLGGFCWCL-OAHLLOKOSA-N 0 0 449.489 -0.340 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(F)c(-n4nnnc4C)c3)CCN2C1=O ZINC000675600522 1073283259 /nfs/dbraw/zinc/28/32/59/1073283259.db2.gz MTYWRDNUMJQAAA-AWEZNQCLSA-N 0 0 430.400 -0.457 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(F)c(-n4nnnc4C)c3)CCN2C1=O ZINC000675600523 1073283348 /nfs/dbraw/zinc/28/33/48/1073283348.db2.gz MTYWRDNUMJQAAA-CQSZACIVSA-N 0 0 430.400 -0.457 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)c1 ZINC000675600528 1073284105 /nfs/dbraw/zinc/28/41/05/1073284105.db2.gz MYBCRNDAAHFEGL-AWEZNQCLSA-N 0 0 426.437 -0.207 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)c1 ZINC000675600529 1073284097 /nfs/dbraw/zinc/28/40/97/1073284097.db2.gz MYBCRNDAAHFEGL-CQSZACIVSA-N 0 0 426.437 -0.207 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)N(C)C)cc3OC)CCN2C1=O ZINC000675600534 1073284084 /nfs/dbraw/zinc/28/40/84/1073284084.db2.gz NBZMHRFZCPFUJA-AWEZNQCLSA-N 0 0 431.449 -0.170 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)N(C)C)cc3OC)CCN2C1=O ZINC000675600535 1073284081 /nfs/dbraw/zinc/28/40/81/1073284081.db2.gz NBZMHRFZCPFUJA-CQSZACIVSA-N 0 0 431.449 -0.170 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(OCC(=O)N(C)C)c3)CCN2C1=O ZINC000675600536 1073284108 /nfs/dbraw/zinc/28/41/08/1073284108.db2.gz NELSQLCSWOEPEA-HNNXBMFYSA-N 0 0 431.449 -0.413 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(OCC(=O)N(C)C)c3)CCN2C1=O ZINC000675600537 1073284116 /nfs/dbraw/zinc/28/41/16/1073284116.db2.gz NELSQLCSWOEPEA-OAHLLOKOSA-N 0 0 431.449 -0.413 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(OC)c(-n4nnnc4C)c3)CCN2C1=O ZINC000675600582 1073284040 /nfs/dbraw/zinc/28/40/40/1073284040.db2.gz OTGKIKBJOBHUKX-AWEZNQCLSA-N 0 0 442.436 -0.587 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(OC)c(-n4nnnc4C)c3)CCN2C1=O ZINC000675600584 1073284034 /nfs/dbraw/zinc/28/40/34/1073284034.db2.gz OTGKIKBJOBHUKX-CQSZACIVSA-N 0 0 442.436 -0.587 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)N3C[C@H](C(=O)NC)Oc4ccccc43)CCN2C1=O ZINC000675600630 1073284383 /nfs/dbraw/zinc/28/43/83/1073284383.db2.gz PAVJEANXQHJDDC-DZGCQCFKSA-N 0 0 429.433 -0.979 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)N3C[C@@H](C(=O)NC)Oc4ccccc43)CCN2C1=O ZINC000675600638 1073284391 /nfs/dbraw/zinc/28/43/91/1073284391.db2.gz PAVJEANXQHJDDC-HIFRSBDPSA-N 0 0 429.433 -0.979 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)N3C[C@H](C(=O)NC)Oc4ccccc43)CCN2C1=O ZINC000675600639 1073284359 /nfs/dbraw/zinc/28/43/59/1073284359.db2.gz PAVJEANXQHJDDC-UKRRQHHQSA-N 0 0 429.433 -0.979 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)N3C[C@@H](C(=O)NC)Oc4ccccc43)CCN2C1=O ZINC000675600641 1073284299 /nfs/dbraw/zinc/28/42/99/1073284299.db2.gz PAVJEANXQHJDDC-ZFWWWQNUSA-N 0 0 429.433 -0.979 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(CCN4CCOCC4)cc3)CCN2C1=O ZINC000675600890 1073284362 /nfs/dbraw/zinc/28/43/62/1073284362.db2.gz RPEBGOWGLXXYOM-GOSISDBHSA-N 0 0 443.504 -0.005 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(CCN4CCOCC4)cc3)CCN2C1=O ZINC000675600893 1073284343 /nfs/dbraw/zinc/28/43/43/1073284343.db2.gz RPEBGOWGLXXYOM-SFHVURJKSA-N 0 0 443.504 -0.005 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(CS(C)(=O)=O)c3C)CCN2C1=O ZINC000675601106 1073284272 /nfs/dbraw/zinc/28/42/72/1073284272.db2.gz SDQQWJDERDEWIV-HNNXBMFYSA-N 0 0 436.490 -0.027 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(CS(C)(=O)=O)c3C)CCN2C1=O ZINC000675601107 1073284372 /nfs/dbraw/zinc/28/43/72/1073284372.db2.gz SDQQWJDERDEWIV-OAHLLOKOSA-N 0 0 436.490 -0.027 20 0 IBADRN O=C(Nc1cnn(CC2CC2)c1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000675601115 1073284339 /nfs/dbraw/zinc/28/43/39/1073284339.db2.gz AAKGRVFEVKBHFE-UHFFFAOYSA-N 0 0 446.489 -0.009 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(NS(=O)(=O)CC)cc3)CCN2C1=O ZINC000675601121 1073284278 /nfs/dbraw/zinc/28/42/78/1073284278.db2.gz SNHYFCVMRSVTRZ-AWEZNQCLSA-N 0 0 437.478 -0.118 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(NS(=O)(=O)CC)cc3)CCN2C1=O ZINC000675601124 1073284322 /nfs/dbraw/zinc/28/43/22/1073284322.db2.gz SNHYFCVMRSVTRZ-CQSZACIVSA-N 0 0 437.478 -0.118 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(N4CCCS4(=O)=O)cc3)CCN2C1=O ZINC000675601160 1073284333 /nfs/dbraw/zinc/28/43/33/1073284333.db2.gz UTTQRNOIDRPLEN-HNNXBMFYSA-N 0 0 449.489 -0.340 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(N4CCCS4(=O)=O)cc3)CCN2C1=O ZINC000675601162 1073284285 /nfs/dbraw/zinc/28/42/85/1073284285.db2.gz UTTQRNOIDRPLEN-OAHLLOKOSA-N 0 0 449.489 -0.340 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(S(C)(=O)=O)ccc3F)CCN2C1=O ZINC000675601169 1073284388 /nfs/dbraw/zinc/28/43/88/1073284388.db2.gz UZDDKEXBOQKKCD-CYBMUJFWSA-N 0 0 426.426 -0.337 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(S(C)(=O)=O)ccc3F)CCN2C1=O ZINC000675601173 1073284354 /nfs/dbraw/zinc/28/43/54/1073284354.db2.gz UZDDKEXBOQKKCD-ZDUSSCGKSA-N 0 0 426.426 -0.337 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(F)cc3CS(C)(=O)=O)CCN2C1=O ZINC000675601188 1073284045 /nfs/dbraw/zinc/28/40/45/1073284045.db2.gz VBOJQUQKEZGJDK-AWEZNQCLSA-N 0 0 440.453 -0.196 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(F)cc3CS(C)(=O)=O)CCN2C1=O ZINC000675601190 1073284055 /nfs/dbraw/zinc/28/40/55/1073284055.db2.gz VBOJQUQKEZGJDK-CQSZACIVSA-N 0 0 440.453 -0.196 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(F)c(S(C)(=O)=O)c(F)c3)CCN2C1=O ZINC000675601224 1073284099 /nfs/dbraw/zinc/28/40/99/1073284099.db2.gz VWKZLDBOXOGMOQ-GFCCVEGCSA-N 0 0 444.416 -0.198 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(F)c(S(C)(=O)=O)c(F)c3)CCN2C1=O ZINC000675601229 1073284072 /nfs/dbraw/zinc/28/40/72/1073284072.db2.gz VWKZLDBOXOGMOQ-LBPRGKRZSA-N 0 0 444.416 -0.198 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc4nc5n(c(=O)c4c3)CCC5)CCN2C1=O ZINC000675601548 1073284293 /nfs/dbraw/zinc/28/42/93/1073284293.db2.gz WZWLMJYNLSSXKD-HNNXBMFYSA-N 0 0 438.444 -0.224 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc4nc5n(c(=O)c4c3)CCC5)CCN2C1=O ZINC000675601549 1073284379 /nfs/dbraw/zinc/28/43/79/1073284379.db2.gz WZWLMJYNLSSXKD-OAHLLOKOSA-N 0 0 438.444 -0.224 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccccc3CN3C(=O)CCC3=O)CCN2C1=O ZINC000675601594 1073284307 /nfs/dbraw/zinc/28/43/07/1073284307.db2.gz XRIJHOOQRUFQNE-HNNXBMFYSA-N 0 0 441.444 -0.231 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccccc3CN3C(=O)CCC3=O)CCN2C1=O ZINC000675601596 1073284314 /nfs/dbraw/zinc/28/43/14/1073284314.db2.gz XRIJHOOQRUFQNE-OAHLLOKOSA-N 0 0 441.444 -0.231 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)cc1 ZINC000675601638 1073281799 /nfs/dbraw/zinc/28/17/99/1073281799.db2.gz YNHCARUCYIRJKC-HNNXBMFYSA-N 0 0 431.449 -0.365 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)cc1 ZINC000675601641 1073281614 /nfs/dbraw/zinc/28/16/14/1073281614.db2.gz YNHCARUCYIRJKC-OAHLLOKOSA-N 0 0 431.449 -0.365 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(OCCC(=O)NC)cc3)CCN2C1=O ZINC000675601647 1073281686 /nfs/dbraw/zinc/28/16/86/1073281686.db2.gz YSNZSCACXWWDCS-HNNXBMFYSA-N 0 0 431.449 -0.365 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(OCCC(=O)NC)cc3)CCN2C1=O ZINC000675601648 1073281672 /nfs/dbraw/zinc/28/16/72/1073281672.db2.gz YSNZSCACXWWDCS-OAHLLOKOSA-N 0 0 431.449 -0.365 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(NC(=O)CN(C)C)cc3)CCN2C1=O ZINC000675601742 1073281734 /nfs/dbraw/zinc/28/17/34/1073281734.db2.gz ZLFSWEXIQQUHPI-HNNXBMFYSA-N 0 0 430.465 -0.380 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(NC(=O)CN(C)C)cc3)CCN2C1=O ZINC000675601743 1073281791 /nfs/dbraw/zinc/28/17/91/1073281791.db2.gz ZLFSWEXIQQUHPI-OAHLLOKOSA-N 0 0 430.465 -0.380 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCN(C(=O)C4CCCC4)C3)cnc2n(C)c1=O ZINC000675603451 1073281699 /nfs/dbraw/zinc/28/16/99/1073281699.db2.gz WOQNKYDLFBRJPT-CYBMUJFWSA-N 0 0 442.476 -0.522 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CCN(C(=O)C4CCCC4)C3)cnc2n(C)c1=O ZINC000675603452 1073281634 /nfs/dbraw/zinc/28/16/34/1073281634.db2.gz WOQNKYDLFBRJPT-ZDUSSCGKSA-N 0 0 442.476 -0.522 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(CN4C(=O)CCC4=O)cc3)CCN2C1=O ZINC000675603822 1073281679 /nfs/dbraw/zinc/28/16/79/1073281679.db2.gz CUXXYJKDGRFXSF-HNNXBMFYSA-N 0 0 441.444 -0.231 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(CN4C(=O)CCC4=O)cc3)CCN2C1=O ZINC000675603824 1073281716 /nfs/dbraw/zinc/28/17/16/1073281716.db2.gz CUXXYJKDGRFXSF-OAHLLOKOSA-N 0 0 441.444 -0.231 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CCN2C1=O ZINC000675604410 1073281664 /nfs/dbraw/zinc/28/16/64/1073281664.db2.gz MPCKRIPGVOJSDJ-AWEZNQCLSA-N 0 0 437.478 -0.831 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(CNS(C)(=O)=O)cc3)CCN2C1=O ZINC000675604413 1073281787 /nfs/dbraw/zinc/28/17/87/1073281787.db2.gz MPCKRIPGVOJSDJ-CQSZACIVSA-N 0 0 437.478 -0.831 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(C(=O)N4CCOCC4)c3)CCN2C1=O ZINC000675605074 1073281690 /nfs/dbraw/zinc/28/16/90/1073281690.db2.gz RJMZSHFSKLMFCL-INIZCTEOSA-N 0 0 443.460 -0.408 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(C(=O)N4CCOCC4)c3)CCN2C1=O ZINC000675605076 1073282262 /nfs/dbraw/zinc/28/22/62/1073282262.db2.gz RJMZSHFSKLMFCL-MRXNPFEDSA-N 0 0 443.460 -0.408 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N2CCN(C)CC2)cc1OC ZINC000675605090 1073282213 /nfs/dbraw/zinc/28/22/13/1073282213.db2.gz INMOVFUTYKQUOZ-UHFFFAOYSA-N 0 0 442.538 -0.395 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)c1 ZINC000675605785 1073282174 /nfs/dbraw/zinc/28/21/74/1073282174.db2.gz XEVSOXZPOXQWJW-HNNXBMFYSA-N 0 0 431.449 -0.365 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)C(=O)N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)c1 ZINC000675605786 1073282166 /nfs/dbraw/zinc/28/21/66/1073282166.db2.gz XEVSOXZPOXQWJW-OAHLLOKOSA-N 0 0 431.449 -0.365 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)C1=O ZINC000675608305 1073282270 /nfs/dbraw/zinc/28/22/70/1073282270.db2.gz PFOGMHPQYWXCHK-INIZCTEOSA-N 0 0 429.477 -0.335 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)C1=O ZINC000675608306 1073282241 /nfs/dbraw/zinc/28/22/41/1073282241.db2.gz PFOGMHPQYWXCHK-MRXNPFEDSA-N 0 0 429.477 -0.335 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000675621677 1073284846 /nfs/dbraw/zinc/28/48/46/1073284846.db2.gz ASCCXLBVXKUGDR-BFQNTYOBSA-N 0 0 448.505 -0.504 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000675621680 1073284830 /nfs/dbraw/zinc/28/48/30/1073284830.db2.gz ASCCXLBVXKUGDR-MKBNYLNASA-N 0 0 448.505 -0.504 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000675621682 1073284852 /nfs/dbraw/zinc/28/48/52/1073284852.db2.gz ASCCXLBVXKUGDR-MQIPJXDCSA-N 0 0 448.505 -0.504 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000675621686 1073284815 /nfs/dbraw/zinc/28/48/15/1073284815.db2.gz ASCCXLBVXKUGDR-WQGACYEGSA-N 0 0 448.505 -0.504 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)c2cccn2C)CC1 ZINC000675622277 1073284730 /nfs/dbraw/zinc/28/47/30/1073284730.db2.gz BVUHOBDFPRMAFV-AWEZNQCLSA-N 0 0 447.517 -0.225 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)c2cccn2C)CC1 ZINC000675622278 1073284653 /nfs/dbraw/zinc/28/46/53/1073284653.db2.gz BVUHOBDFPRMAFV-CQSZACIVSA-N 0 0 447.517 -0.225 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)cc1 ZINC000675622344 1073284766 /nfs/dbraw/zinc/28/47/66/1073284766.db2.gz DEEBDWQMNYAVDW-LLVKDONJSA-N 0 0 440.503 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)cc1 ZINC000675622345 1073284641 /nfs/dbraw/zinc/28/46/41/1073284641.db2.gz DEEBDWQMNYAVDW-NSHDSACASA-N 0 0 440.503 -0.001 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)CC2 ZINC000675622754 1073285192 /nfs/dbraw/zinc/28/51/92/1073285192.db2.gz VWMTZXCVYUVABU-GFCCVEGCSA-N 0 0 433.490 -0.386 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)CC2 ZINC000675622755 1073285174 /nfs/dbraw/zinc/28/51/74/1073285174.db2.gz VWMTZXCVYUVABU-LBPRGKRZSA-N 0 0 433.490 -0.386 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCc1nnnn1-c1ccccc1 ZINC000675623216 1073284724 /nfs/dbraw/zinc/28/47/24/1073284724.db2.gz NWQKEQPLJYZTAA-GFCCVEGCSA-N 0 0 429.462 -0.256 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCc1nnnn1-c1ccccc1 ZINC000675623305 1073284796 /nfs/dbraw/zinc/28/47/96/1073284796.db2.gz NWQKEQPLJYZTAA-LBPRGKRZSA-N 0 0 429.462 -0.256 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000675623363 1073284800 /nfs/dbraw/zinc/28/48/00/1073284800.db2.gz OWDFIQSTHVZSBA-AWEZNQCLSA-N 0 0 437.522 -0.188 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000675623364 1073284802 /nfs/dbraw/zinc/28/48/02/1073284802.db2.gz OWDFIQSTHVZSBA-CQSZACIVSA-N 0 0 437.522 -0.188 20 0 IBADRN NC(=O)[C@@H]1CCCN1C1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000675630802 1073285253 /nfs/dbraw/zinc/28/52/53/1073285253.db2.gz ZAIWPGIAWFFFJR-KRWDZBQOSA-N 0 0 444.579 -0.291 20 0 IBADRN NC(=O)[C@H]1CCCN1C1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000675630804 1073285302 /nfs/dbraw/zinc/28/53/02/1073285302.db2.gz ZAIWPGIAWFFFJR-QGZVFWFLSA-N 0 0 444.579 -0.291 20 0 IBADRN C[C@H]1C[C@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000675631266 1073285277 /nfs/dbraw/zinc/28/52/77/1073285277.db2.gz HNMUAHRZUAFOEH-BBRMVZONSA-N 0 0 441.535 -0.188 20 0 IBADRN C[C@@H]1C[C@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000675631267 1073285203 /nfs/dbraw/zinc/28/52/03/1073285203.db2.gz HNMUAHRZUAFOEH-CJNGLKHVSA-N 0 0 441.535 -0.188 20 0 IBADRN C[C@@H]1C[C@@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000675631268 1073285285 /nfs/dbraw/zinc/28/52/85/1073285285.db2.gz HNMUAHRZUAFOEH-CZUORRHYSA-N 0 0 441.535 -0.188 20 0 IBADRN C[C@H]1C[C@@H](NS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000675631269 1073285297 /nfs/dbraw/zinc/28/52/97/1073285297.db2.gz HNMUAHRZUAFOEH-XJKSGUPXSA-N 0 0 441.535 -0.188 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CCN2C1=O ZINC000675631284 1073285266 /nfs/dbraw/zinc/28/52/66/1073285266.db2.gz HZZPIZSCMIYMMD-AWEZNQCLSA-N 0 0 430.508 -0.737 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CCN2C1=O ZINC000675631285 1073285196 /nfs/dbraw/zinc/28/51/96/1073285196.db2.gz HZZPIZSCMIYMMD-CQSZACIVSA-N 0 0 430.508 -0.737 20 0 IBADRN CCn1nc2c(cc1=O)CN(S(=O)(=O)CCNS(=O)(=O)c1ccccc1)CC2 ZINC000675631295 1073285183 /nfs/dbraw/zinc/28/51/83/1073285183.db2.gz IJFBASVXQFGLIC-UHFFFAOYSA-N 0 0 426.520 -0.070 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1=O ZINC000675631724 1073285158 /nfs/dbraw/zinc/28/51/58/1073285158.db2.gz YHARIIBFKXVFHI-CXAGYDPISA-N 0 0 444.535 -0.395 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1=O ZINC000675631725 1073285295 /nfs/dbraw/zinc/28/52/95/1073285295.db2.gz YHARIIBFKXVFHI-DYVFJYSZSA-N 0 0 444.535 -0.395 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1=O ZINC000675631726 1073285273 /nfs/dbraw/zinc/28/52/73/1073285273.db2.gz YHARIIBFKXVFHI-GUYCJALGSA-N 0 0 444.535 -0.395 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1=O ZINC000675631727 1073285247 /nfs/dbraw/zinc/28/52/47/1073285247.db2.gz YHARIIBFKXVFHI-SUMWQHHRSA-N 0 0 444.535 -0.395 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N(C)CC(=O)N2CCN(C)CC2)CC1 ZINC000675637925 1073285671 /nfs/dbraw/zinc/28/56/71/1073285671.db2.gz LARNTJFQQPQQFS-UHFFFAOYSA-N 0 0 441.554 -0.075 20 0 IBADRN COc1cc(OC)cc(OCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000675652966 1073285213 /nfs/dbraw/zinc/28/52/13/1073285213.db2.gz RQBWHCCKCGKSHE-UHFFFAOYSA-N 0 0 430.527 -0.041 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000675655569 1073285242 /nfs/dbraw/zinc/28/52/42/1073285242.db2.gz BXPIFPDZOZBGDE-UHFFFAOYSA-N 0 0 447.583 -0.639 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000675655611 1073285165 /nfs/dbraw/zinc/28/51/65/1073285165.db2.gz FEROTCWFRIFERC-UHFFFAOYSA-N 0 0 433.556 -0.382 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N1CCN(CCO)CC1 ZINC000675684694 1073285619 /nfs/dbraw/zinc/28/56/19/1073285619.db2.gz SPYINUIWNAYESA-HNNXBMFYSA-N 0 0 446.551 -0.706 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N1CCN(CCO)CC1 ZINC000675684695 1073285739 /nfs/dbraw/zinc/28/57/39/1073285739.db2.gz SPYINUIWNAYESA-OAHLLOKOSA-N 0 0 446.551 -0.706 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC000675690191 1073285588 /nfs/dbraw/zinc/28/55/88/1073285588.db2.gz UAMKGHUJOTUYIU-UHFFFAOYSA-N 0 0 426.539 -0.014 20 0 IBADRN Cc1nc(C)n(-c2ccccc2NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n1 ZINC000675698434 1073285732 /nfs/dbraw/zinc/28/57/32/1073285732.db2.gz RFKIUGRZUOYBSL-UHFFFAOYSA-N 0 0 435.510 -0.227 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCc1nnnn1C ZINC000675699437 1073285655 /nfs/dbraw/zinc/28/56/55/1073285655.db2.gz CXQZQVHUXBYNBM-UHFFFAOYSA-N 0 0 437.526 -0.483 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)C(=O)N1c1cnn(C)c1 ZINC000675700395 1073285727 /nfs/dbraw/zinc/28/57/27/1073285727.db2.gz LKXMBYCZAMAMGA-ABAIWWIYSA-N 0 0 431.474 -0.001 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)C(=O)N1c1cnn(C)c1 ZINC000675700396 1073285796 /nfs/dbraw/zinc/28/57/96/1073285796.db2.gz LKXMBYCZAMAMGA-IAQYHMDHSA-N 0 0 431.474 -0.001 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)C(=O)N1c1cnn(C)c1 ZINC000675700397 1073285632 /nfs/dbraw/zinc/28/56/32/1073285632.db2.gz LKXMBYCZAMAMGA-NHYWBVRUSA-N 0 0 431.474 -0.001 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)C(=O)N1c1cnn(C)c1 ZINC000675700399 1073285646 /nfs/dbraw/zinc/28/56/46/1073285646.db2.gz LKXMBYCZAMAMGA-XHDPSFHLSA-N 0 0 431.474 -0.001 20 0 IBADRN Cc1nc(C)n(-c2ccccc2NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000675700770 1073285716 /nfs/dbraw/zinc/28/57/16/1073285716.db2.gz QNUOFGVDXPAGAX-UHFFFAOYSA-N 0 0 449.537 -0.484 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@@H](C)CCO)c(=O)n2Cc1ccccc1 ZINC000675721077 1073285722 /nfs/dbraw/zinc/28/57/22/1073285722.db2.gz ZVUCCHPBKXXWDK-HNNXBMFYSA-N 0 0 429.477 -0.059 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H](C)CCO)c(=O)n2Cc1ccccc1 ZINC000675721078 1073285706 /nfs/dbraw/zinc/28/57/06/1073285706.db2.gz ZVUCCHPBKXXWDK-OAHLLOKOSA-N 0 0 429.477 -0.059 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000675737638 1073285750 /nfs/dbraw/zinc/28/57/50/1073285750.db2.gz GHWOMOCMOBLRTK-UHFFFAOYSA-N 0 0 438.554 -0.539 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCc1nnn[n-]1 ZINC000675791423 1073285777 /nfs/dbraw/zinc/28/57/77/1073285777.db2.gz WNBBDUKPGJDPOL-UHFFFAOYSA-N 0 0 425.446 -0.732 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCc3nnn[n-]3)CC2)cc1 ZINC000675791494 1073285689 /nfs/dbraw/zinc/28/56/89/1073285689.db2.gz YAUCWHXYNOXRNM-UHFFFAOYSA-N 0 0 437.482 -0.862 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCCN(C)S(C)(=O)=O)CC2)cn1C ZINC000675810926 1073286037 /nfs/dbraw/zinc/28/60/37/1073286037.db2.gz RPCXPTMWEBQGBT-UHFFFAOYSA-N 0 0 436.560 -0.974 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000675812357 1073286203 /nfs/dbraw/zinc/28/62/03/1073286203.db2.gz NPAYIPURYXRZKS-DOTOQJQBSA-N 0 0 440.508 -0.051 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000675812358 1073286212 /nfs/dbraw/zinc/28/62/12/1073286212.db2.gz NPAYIPURYXRZKS-NVXWUHKLSA-N 0 0 440.508 -0.051 20 0 IBADRN C[C@H]1C[C@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000675812359 1073286144 /nfs/dbraw/zinc/28/61/44/1073286144.db2.gz NPAYIPURYXRZKS-RDJZCZTQSA-N 0 0 440.508 -0.051 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000675812360 1073286056 /nfs/dbraw/zinc/28/60/56/1073286056.db2.gz NPAYIPURYXRZKS-WBVHZDCISA-N 0 0 440.508 -0.051 20 0 IBADRN O=C(CCC(=O)N1C[C@H](O)C[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000675814767 1073286159 /nfs/dbraw/zinc/28/61/59/1073286159.db2.gz HSOUJYTUTOCZIJ-IYBDPMFKSA-N 0 0 443.497 -0.607 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCCN3CCCS3(=O)=O)CC2)cn1C ZINC000675817865 1073286028 /nfs/dbraw/zinc/28/60/28/1073286028.db2.gz XZUWNUZCMBREOM-UHFFFAOYSA-N 0 0 448.571 -0.830 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCc3nnnn3-c3ccccc3)CC2)cn1C ZINC000675818503 1073286044 /nfs/dbraw/zinc/28/60/44/1073286044.db2.gz SNXGIVZRVVMQNL-UHFFFAOYSA-N 0 0 445.509 -0.080 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)NS(C)(=O)=O)CC2)cn1C ZINC000675818944 1073286179 /nfs/dbraw/zinc/28/61/79/1073286179.db2.gz POZPHODYARNSJV-UHFFFAOYSA-N 0 0 436.560 -0.928 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCc3cn[nH]n3)CC2)cc1 ZINC000675820266 1073286187 /nfs/dbraw/zinc/28/61/87/1073286187.db2.gz ZEKXPOYFZADDFQ-UHFFFAOYSA-N 0 0 436.494 -0.257 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(C)c(S(N)(=O)=O)c3)CC2)cn1C ZINC000675821010 1073286170 /nfs/dbraw/zinc/28/61/70/1073286170.db2.gz DMKSSWSNVNOTFK-UHFFFAOYSA-N 0 0 441.535 -0.169 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cc(S(N)(=O)=O)ccc3C)CC2)cn1C ZINC000675821142 1073286128 /nfs/dbraw/zinc/28/61/28/1073286128.db2.gz FFHWLIOHNDJXMD-UHFFFAOYSA-N 0 0 441.535 -0.169 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)c(C)c3)CC2)cn1C ZINC000675821681 1073286065 /nfs/dbraw/zinc/28/60/65/1073286065.db2.gz MVNAUXRFPVAYDE-UHFFFAOYSA-N 0 0 441.535 -0.169 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CCN2C1=O ZINC000675822514 1073286113 /nfs/dbraw/zinc/28/61/13/1073286113.db2.gz FVFOOTJTTKODCE-HNNXBMFYSA-N 0 0 429.481 -0.600 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CCN2C1=O ZINC000675822515 1073286121 /nfs/dbraw/zinc/28/61/21/1073286121.db2.gz FVFOOTJTTKODCE-OAHLLOKOSA-N 0 0 429.481 -0.600 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000675832784 1073286107 /nfs/dbraw/zinc/28/61/07/1073286107.db2.gz VDRLRRYWCZIDTO-BPLDGKMQSA-N 0 0 447.535 -0.235 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000675832785 1073286137 /nfs/dbraw/zinc/28/61/37/1073286137.db2.gz VDRLRRYWCZIDTO-SNPRPXQTSA-N 0 0 447.535 -0.235 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000675832786 1073286149 /nfs/dbraw/zinc/28/61/49/1073286149.db2.gz VDRLRRYWCZIDTO-VHDGCEQUSA-N 0 0 447.535 -0.235 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000675832787 1073286490 /nfs/dbraw/zinc/28/64/90/1073286490.db2.gz VDRLRRYWCZIDTO-YUELXQCFSA-N 0 0 447.535 -0.235 20 0 IBADRN O=C(N[C@H]1CCN(C2CCCCC2)C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000675834878 1073286651 /nfs/dbraw/zinc/28/66/51/1073286651.db2.gz ANDCUUYBQXGAIY-KRWDZBQOSA-N 0 0 443.570 -0.176 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCCCC2)C1=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000675834879 1073286551 /nfs/dbraw/zinc/28/65/51/1073286551.db2.gz ANDCUUYBQXGAIY-QGZVFWFLSA-N 0 0 443.570 -0.176 20 0 IBADRN O=C(N[C@H]1CCN(C2CCCCC2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000675835092 1073286602 /nfs/dbraw/zinc/28/66/02/1073286602.db2.gz DFRHYQYLYNAMSD-KRWDZBQOSA-N 0 0 435.525 -0.367 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCCCC2)C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000675835093 1073286530 /nfs/dbraw/zinc/28/65/30/1073286530.db2.gz DFRHYQYLYNAMSD-QGZVFWFLSA-N 0 0 435.525 -0.367 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Nc3ccn(CC(=O)N(C)C)n3)CC2)cn1C ZINC000675884710 1073286615 /nfs/dbraw/zinc/28/66/15/1073286615.db2.gz QKANSQNUTRHPKW-UHFFFAOYSA-N 0 0 438.514 -0.448 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@@H]3CCCS3(=O)=O)cn2)CC1 ZINC000675888293 1073286607 /nfs/dbraw/zinc/28/66/07/1073286607.db2.gz BNRDQTASWGNARU-AWEZNQCLSA-N 0 0 431.540 -0.138 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@H]3CCCS3(=O)=O)cn2)CC1 ZINC000675888297 1073286670 /nfs/dbraw/zinc/28/66/70/1073286670.db2.gz BNRDQTASWGNARU-CQSZACIVSA-N 0 0 431.540 -0.138 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Nc3cnn(CC(=O)N(C)C)c3)CC2)cn1C ZINC000675891386 1073286575 /nfs/dbraw/zinc/28/65/75/1073286575.db2.gz HOUSJZUESDROMF-UHFFFAOYSA-N 0 0 438.514 -0.448 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(NS(C)(=O)=O)CC2)c1C ZINC000675916862 1073286502 /nfs/dbraw/zinc/28/65/02/1073286502.db2.gz RDNKVRYAIKPXBU-UHFFFAOYSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(C(=O)NCCOC)CC2)c1C ZINC000675917976 1073286538 /nfs/dbraw/zinc/28/65/38/1073286538.db2.gz KPAMVVQLRRXDQE-UHFFFAOYSA-N 0 0 440.522 -0.157 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1C ZINC000675918049 1073286595 /nfs/dbraw/zinc/28/65/95/1073286595.db2.gz MPRPLIANOHESRH-GFCCVEGCSA-N 0 0 432.524 -0.618 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1C ZINC000675918050 1073286627 /nfs/dbraw/zinc/28/66/27/1073286627.db2.gz MPRPLIANOHESRH-LBPRGKRZSA-N 0 0 432.524 -0.618 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000675919318 1073286524 /nfs/dbraw/zinc/28/65/24/1073286524.db2.gz YYFIOPNZIMRIDU-UHFFFAOYSA-N 0 0 430.483 -0.399 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCC(NC(=O)COC)CC2)c1C ZINC000675919695 1073286513 /nfs/dbraw/zinc/28/65/13/1073286513.db2.gz NLGAKHIORRGEPR-UHFFFAOYSA-N 0 0 426.495 -0.405 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1C ZINC000675925806 1073286583 /nfs/dbraw/zinc/28/65/83/1073286583.db2.gz DTVAYTJBVBWDGN-UHFFFAOYSA-N 0 0 432.524 -0.617 20 0 IBADRN CN(C)c1nc2cc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc2o1 ZINC000675928596 1073287398 /nfs/dbraw/zinc/28/73/98/1073287398.db2.gz MJOSSBHNYQTSOC-UHFFFAOYSA-N 0 0 438.510 -0.474 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000675929033 1073287316 /nfs/dbraw/zinc/28/73/16/1073287316.db2.gz SZUWLLBKFPPGMO-UHFFFAOYSA-N 0 0 444.510 -0.656 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC)c3C)CCN2C1=O ZINC000675930623 1073287339 /nfs/dbraw/zinc/28/73/39/1073287339.db2.gz YHXZIBRTTIHPPL-CYBMUJFWSA-N 0 0 437.478 -0.663 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC)c3C)CCN2C1=O ZINC000675930624 1073287451 /nfs/dbraw/zinc/28/74/51/1073287451.db2.gz YHXZIBRTTIHPPL-ZDUSSCGKSA-N 0 0 437.478 -0.663 20 0 IBADRN Cn1c(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nc2cc(Cl)ccc21 ZINC000675931726 1073287428 /nfs/dbraw/zinc/28/74/28/1073287428.db2.gz VVNOEGDVGICDEU-UHFFFAOYSA-N 0 0 445.871 -0.800 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1C ZINC000675931907 1073286972 /nfs/dbraw/zinc/28/69/72/1073286972.db2.gz QBBBDQFXZXEPPM-UHFFFAOYSA-N 0 0 432.524 -0.664 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1C ZINC000675932501 1073287390 /nfs/dbraw/zinc/28/73/90/1073287390.db2.gz ZWYYHFYXVZITHP-ABAIWWIYSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1C ZINC000675932508 1073287421 /nfs/dbraw/zinc/28/74/21/1073287421.db2.gz ZWYYHFYXVZITHP-IAQYHMDHSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1C ZINC000675932510 1073287329 /nfs/dbraw/zinc/28/73/29/1073287329.db2.gz ZWYYHFYXVZITHP-NHYWBVRUSA-N 0 0 448.505 -0.115 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1C ZINC000675932512 1073287805 /nfs/dbraw/zinc/28/78/05/1073287805.db2.gz ZWYYHFYXVZITHP-XHDPSFHLSA-N 0 0 448.505 -0.115 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000675932769 1073287058 /nfs/dbraw/zinc/28/70/58/1073287058.db2.gz LCIIQIWTHQUDJT-APWZRJJASA-N 0 0 447.536 -0.557 20 0 IBADRN C[C@H]1CO[C@H](CO)CN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000675932770 1073286920 /nfs/dbraw/zinc/28/69/20/1073286920.db2.gz LCIIQIWTHQUDJT-LPHOPBHVSA-N 0 0 447.536 -0.557 20 0 IBADRN C[C@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000675932771 1073287007 /nfs/dbraw/zinc/28/70/07/1073287007.db2.gz LCIIQIWTHQUDJT-QFBILLFUSA-N 0 0 447.536 -0.557 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000675932772 1073287038 /nfs/dbraw/zinc/28/70/38/1073287038.db2.gz LCIIQIWTHQUDJT-VQIMIIECSA-N 0 0 447.536 -0.557 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(C3CCCCC3)C2=O)cn1 ZINC000675936519 1073286857 /nfs/dbraw/zinc/28/68/57/1073286857.db2.gz ACZDDPCWUMRWEI-INIZCTEOSA-N 0 0 434.497 -0.366 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(C3CCCCC3)C2=O)cn1 ZINC000675936520 1073286904 /nfs/dbraw/zinc/28/69/04/1073286904.db2.gz ACZDDPCWUMRWEI-MRXNPFEDSA-N 0 0 434.497 -0.366 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3CCN(C4CCCCC4)C3=O)cnc2n(C)c1=O ZINC000675936861 1073286951 /nfs/dbraw/zinc/28/69/51/1073286951.db2.gz IFKWUDALZDMVSX-HNNXBMFYSA-N 0 0 442.476 -0.380 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3CCN(C4CCCCC4)C3=O)cnc2n(C)c1=O ZINC000675936862 1073286886 /nfs/dbraw/zinc/28/68/86/1073286886.db2.gz IFKWUDALZDMVSX-OAHLLOKOSA-N 0 0 442.476 -0.380 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2CCN(C3CCCCC3)C2=O)cn1)N1CCOCC1 ZINC000675936915 1073286959 /nfs/dbraw/zinc/28/69/59/1073286959.db2.gz JAPLFXDGJYXZHW-KRWDZBQOSA-N 0 0 446.508 -0.270 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(C3CCCCC3)C2=O)cn1)N1CCOCC1 ZINC000675936918 1073286938 /nfs/dbraw/zinc/28/69/38/1073286938.db2.gz JAPLFXDGJYXZHW-QGZVFWFLSA-N 0 0 446.508 -0.270 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2CCN(C3CCCCC3)C2=O)c1 ZINC000675937175 1073286870 /nfs/dbraw/zinc/28/68/70/1073286870.db2.gz LKWZYFZIYHMUDE-INIZCTEOSA-N 0 0 429.477 -0.110 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2CCN(C3CCCCC3)C2=O)c1 ZINC000675937176 1073287031 /nfs/dbraw/zinc/28/70/31/1073287031.db2.gz LKWZYFZIYHMUDE-MRXNPFEDSA-N 0 0 429.477 -0.110 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2CCN(C3CCCCC3)C2=O)n1)N1CCOCC1 ZINC000675937620 1073286894 /nfs/dbraw/zinc/28/68/94/1073286894.db2.gz BVOPXRNRDOWAQQ-INIZCTEOSA-N 0 0 446.508 -0.270 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2CCN(C3CCCCC3)C2=O)n1)N1CCOCC1 ZINC000675937621 1073287018 /nfs/dbraw/zinc/28/70/18/1073287018.db2.gz BVOPXRNRDOWAQQ-MRXNPFEDSA-N 0 0 446.508 -0.270 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(CC4CC4)c3)CC2)cn1C ZINC000675940179 1073287310 /nfs/dbraw/zinc/28/73/10/1073287310.db2.gz BRNZITHZZCOGKT-UHFFFAOYSA-N 0 0 435.510 -0.193 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnc(C4CC4)nc3)CC2)cn1C ZINC000675940469 1073287050 /nfs/dbraw/zinc/28/70/50/1073287050.db2.gz UTGRTWHTZORTCZ-UHFFFAOYSA-N 0 0 433.494 -0.132 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3c(C)oc(C)c3S(N)(=O)=O)CC2)cn1C ZINC000675941974 1073287302 /nfs/dbraw/zinc/28/73/02/1073287302.db2.gz ATXYLLBMLGPRPA-UHFFFAOYSA-N 0 0 445.523 -0.268 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCCN3S(C)(=O)=O)CC2)cn1C ZINC000675941993 1073287322 /nfs/dbraw/zinc/28/73/22/1073287322.db2.gz CAEJCMGNUACDCT-AWEZNQCLSA-N 0 0 433.556 -0.624 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCCN3S(C)(=O)=O)CC2)cn1C ZINC000675941994 1073287326 /nfs/dbraw/zinc/28/73/26/1073287326.db2.gz CAEJCMGNUACDCT-CQSZACIVSA-N 0 0 433.556 -0.624 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000676017692 1073287852 /nfs/dbraw/zinc/28/78/52/1073287852.db2.gz ZRZUYEQYMMBTCO-AWEZNQCLSA-N 0 0 432.524 -0.145 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000676017696 1073287911 /nfs/dbraw/zinc/28/79/11/1073287911.db2.gz ZRZUYEQYMMBTCO-CQSZACIVSA-N 0 0 432.524 -0.145 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCN(C3CCCCC3)C2=O)CC1 ZINC000676019838 1073288374 /nfs/dbraw/zinc/28/83/74/1073288374.db2.gz BLCUNQDUIRJLID-INIZCTEOSA-N 0 0 433.509 -0.077 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCN(C3CCCCC3)C2=O)CC1 ZINC000676019839 1073288430 /nfs/dbraw/zinc/28/84/30/1073288430.db2.gz BLCUNQDUIRJLID-MRXNPFEDSA-N 0 0 433.509 -0.077 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000676070389 1073288456 /nfs/dbraw/zinc/28/84/56/1073288456.db2.gz IDPFNNXQYFTYRD-UHFFFAOYSA-N 0 0 442.538 -0.197 20 0 IBADRN O=C(NCCO)c1ccc(NCc2ccc(S(=O)(=O)N3CCOCC3)s2)nn1 ZINC000676077285 1073288464 /nfs/dbraw/zinc/28/84/64/1073288464.db2.gz VKOHEPYUGZYXIO-UHFFFAOYSA-N 0 0 427.508 -0.107 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000676091435 1073290047 /nfs/dbraw/zinc/29/00/47/1073290047.db2.gz LJXWUNJGKINYLN-AWEZNQCLSA-N 0 0 440.526 -0.579 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)C1 ZINC000676091436 1073290174 /nfs/dbraw/zinc/29/01/74/1073290174.db2.gz LJXWUNJGKINYLN-CQSZACIVSA-N 0 0 440.526 -0.579 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2nc(-c3nccn3C)cs2)CC1 ZINC000676091571 1073290113 /nfs/dbraw/zinc/29/01/13/1073290113.db2.gz DNKUREZNIFRTDJ-UHFFFAOYSA-N 0 0 427.512 -0.567 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN(C(=O)N3CCCCC3)CC2)cn1)NC1CC1 ZINC000676092039 1073288928 /nfs/dbraw/zinc/28/89/28/1073288928.db2.gz UAGREWCMPIOTPM-UHFFFAOYSA-N 0 0 431.497 -0.150 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000676092053 1073288974 /nfs/dbraw/zinc/28/89/74/1073288974.db2.gz URCKDBBFIREGDR-UHFFFAOYSA-N 0 0 427.465 -0.577 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1)NS(C)(=O)=O ZINC000676095146 1073289266 /nfs/dbraw/zinc/28/92/66/1073289266.db2.gz IAWTZSPZSDNHEU-UHFFFAOYSA-N 0 0 428.515 -0.676 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cnn(-c3ccccc3F)c2)CC1 ZINC000676095786 1073289155 /nfs/dbraw/zinc/28/91/55/1073289155.db2.gz ADNGNOHPKDEWIW-UHFFFAOYSA-N 0 0 438.485 -0.357 20 0 IBADRN Cn1ccnc1-c1csc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC000676096750 1073289122 /nfs/dbraw/zinc/28/91/22/1073289122.db2.gz PIRWFOVEJPKIET-UHFFFAOYSA-N 0 0 441.539 -0.825 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cnn(CC(=O)NC2CCCC2)c1 ZINC000676097201 1073289735 /nfs/dbraw/zinc/28/97/35/1073289735.db2.gz KAMFWKUIMJJJHE-UHFFFAOYSA-N 0 0 440.526 -0.626 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)CCN2C1=O ZINC000676100366 1073289679 /nfs/dbraw/zinc/28/96/79/1073289679.db2.gz UMWIQANDIQHKTO-HNNXBMFYSA-N 0 0 445.480 -0.625 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cnn(CC(=O)NC4CCCC4)c3)CCN2C1=O ZINC000676100367 1073289674 /nfs/dbraw/zinc/28/96/74/1073289674.db2.gz UMWIQANDIQHKTO-OAHLLOKOSA-N 0 0 445.480 -0.625 20 0 IBADRN CN(CCCn1ccnc1)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000676100795 1073289610 /nfs/dbraw/zinc/28/96/10/1073289610.db2.gz NPONXOSNVXTWQY-UHFFFAOYSA-N 0 0 449.537 -0.153 20 0 IBADRN NC(=O)c1cc(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n[nH]1 ZINC000676108070 1073290185 /nfs/dbraw/zinc/29/01/85/1073290185.db2.gz RSRBXONHFCQAFP-UHFFFAOYSA-N 0 0 434.478 -0.240 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCCC(C)(C)C3)C2=O)CC1 ZINC000676113976 1073290164 /nfs/dbraw/zinc/29/01/64/1073290164.db2.gz VTQNZITXHXYBRR-GOSISDBHSA-N 0 0 429.543 -0.172 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(CCCC(C)(C)C3)C2=O)CC1 ZINC000676113983 1073290515 /nfs/dbraw/zinc/29/05/15/1073290515.db2.gz VTQNZITXHXYBRR-SFHVURJKSA-N 0 0 429.543 -0.172 20 0 IBADRN COc1ccc(NC(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000676122092 1073290431 /nfs/dbraw/zinc/29/04/31/1073290431.db2.gz IBZROACWNOLDEM-CYBMUJFWSA-N 0 0 448.523 -0.389 20 0 IBADRN COc1ccc(NC(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000676122093 1073290433 /nfs/dbraw/zinc/29/04/33/1073290433.db2.gz IBZROACWNOLDEM-ZDUSSCGKSA-N 0 0 448.523 -0.389 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000676126162 1073290848 /nfs/dbraw/zinc/29/08/48/1073290848.db2.gz NMOJAHLBYJDTGI-IBGZPJMESA-N 0 0 443.570 -0.429 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000676126165 1073291010 /nfs/dbraw/zinc/29/10/10/1073291010.db2.gz NMOJAHLBYJDTGI-LJQANCHMSA-N 0 0 443.570 -0.429 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000676128282 1073290439 /nfs/dbraw/zinc/29/04/39/1073290439.db2.gz NAKFKJLFMXCTFB-KRWDZBQOSA-N 0 0 438.554 -0.209 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000676128286 1073290500 /nfs/dbraw/zinc/29/05/00/1073290500.db2.gz NAKFKJLFMXCTFB-QGZVFWFLSA-N 0 0 438.554 -0.209 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CC[C@@H](S(N)(=O)=O)C3)cn2)CC1 ZINC000676130093 1073290490 /nfs/dbraw/zinc/29/04/90/1073290490.db2.gz YFCKNBXNAGUZHC-CYBMUJFWSA-N 0 0 432.528 -0.942 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CC[C@H](S(N)(=O)=O)C3)cn2)CC1 ZINC000676130095 1073290453 /nfs/dbraw/zinc/29/04/53/1073290453.db2.gz YFCKNBXNAGUZHC-ZDUSSCGKSA-N 0 0 432.528 -0.942 20 0 IBADRN COCCc1cc(NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)n(C)n1 ZINC000676134781 1073290481 /nfs/dbraw/zinc/29/04/81/1073290481.db2.gz POQOQSIYYLJZQE-UHFFFAOYSA-N 0 0 440.482 -0.161 20 0 IBADRN Cc1cc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)ccc1S(N)(=O)=O ZINC000676187227 1073290904 /nfs/dbraw/zinc/29/09/04/1073290904.db2.gz BTJJIYLQJMOYGA-INIZCTEOSA-N 0 0 432.502 -0.025 20 0 IBADRN Cc1cc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)ccc1S(N)(=O)=O ZINC000676187230 1073291362 /nfs/dbraw/zinc/29/13/62/1073291362.db2.gz BTJJIYLQJMOYGA-MRXNPFEDSA-N 0 0 432.502 -0.025 20 0 IBADRN Cc1ccc(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1S(N)(=O)=O ZINC000676187518 1073290996 /nfs/dbraw/zinc/29/09/96/1073290996.db2.gz FHQQLVZZCNUAFZ-INIZCTEOSA-N 0 0 432.502 -0.025 20 0 IBADRN Cc1ccc(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cc1S(N)(=O)=O ZINC000676187519 1073290985 /nfs/dbraw/zinc/29/09/85/1073290985.db2.gz FHQQLVZZCNUAFZ-MRXNPFEDSA-N 0 0 432.502 -0.025 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)N[C@@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000676187737 1073290976 /nfs/dbraw/zinc/29/09/76/1073290976.db2.gz GZYOXCVIIXYKIH-KRWDZBQOSA-N 0 0 432.502 -0.025 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)N[C@H](Cc1ccccc1)C(=O)NCCC(N)=O ZINC000676187738 1073290883 /nfs/dbraw/zinc/29/08/83/1073290883.db2.gz GZYOXCVIIXYKIH-QGZVFWFLSA-N 0 0 432.502 -0.025 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)Cc1ccc(S(N)(=O)=O)cc1 ZINC000676188024 1073291002 /nfs/dbraw/zinc/29/10/02/1073291002.db2.gz JSOGLGFKSJULNK-KRWDZBQOSA-N 0 0 432.502 -0.404 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)Cc1ccc(S(N)(=O)=O)cc1 ZINC000676188026 1073290863 /nfs/dbraw/zinc/29/08/63/1073290863.db2.gz JSOGLGFKSJULNK-QGZVFWFLSA-N 0 0 432.502 -0.404 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC000676188046 1073290856 /nfs/dbraw/zinc/29/08/56/1073290856.db2.gz KCLKEUZHYJHDQP-AWEZNQCLSA-N 0 0 438.531 -0.343 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC000676188049 1073290971 /nfs/dbraw/zinc/29/09/71/1073290971.db2.gz KCLKEUZHYJHDQP-CQSZACIVSA-N 0 0 438.531 -0.343 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CCN1C(=O)NC2(CCCC2)C1=O ZINC000676188773 1073291390 /nfs/dbraw/zinc/29/13/90/1073291390.db2.gz SFLGHZFWUDHHIB-INIZCTEOSA-N 0 0 443.504 -0.040 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CCN1C(=O)NC2(CCCC2)C1=O ZINC000676188779 1073291350 /nfs/dbraw/zinc/29/13/50/1073291350.db2.gz SFLGHZFWUDHHIB-MRXNPFEDSA-N 0 0 443.504 -0.040 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(C)c1NS(C)(=O)=O ZINC000676204722 1073291331 /nfs/dbraw/zinc/29/13/31/1073291331.db2.gz QQIGQWGMHNVYSR-CYBMUJFWSA-N 0 0 444.535 -0.685 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(C)c1NS(C)(=O)=O ZINC000676204723 1073291316 /nfs/dbraw/zinc/29/13/16/1073291316.db2.gz QQIGQWGMHNVYSR-ZDUSSCGKSA-N 0 0 444.535 -0.685 20 0 IBADRN C[NH+](C)CCN(CC(=O)[O-])C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000676205870 1073291326 /nfs/dbraw/zinc/29/13/26/1073291326.db2.gz KRKUFCZOMULMGA-UHFFFAOYSA-N 0 0 429.495 -0.009 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N(CC[NH+](C)C)CC(=O)[O-] ZINC000676206084 1073291368 /nfs/dbraw/zinc/29/13/68/1073291368.db2.gz GIUUKLJUMANWQS-CYBMUJFWSA-N 0 0 429.495 -0.011 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N(CC[NH+](C)C)CC(=O)[O-] ZINC000676206085 1073291345 /nfs/dbraw/zinc/29/13/45/1073291345.db2.gz GIUUKLJUMANWQS-ZDUSSCGKSA-N 0 0 429.495 -0.011 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC[C@@H](NS(C)(=O)=O)C3)nc2n(C)c1=O ZINC000676210441 1073291340 /nfs/dbraw/zinc/29/13/40/1073291340.db2.gz ZDMZGIJLWDFXPM-GFCCVEGCSA-N 0 0 438.510 -0.469 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC[C@H](NS(C)(=O)=O)C3)nc2n(C)c1=O ZINC000676210442 1073291357 /nfs/dbraw/zinc/29/13/57/1073291357.db2.gz ZDMZGIJLWDFXPM-LBPRGKRZSA-N 0 0 438.510 -0.469 20 0 IBADRN NC(=O)C(NC(=O)C1(S(=O)(=O)c2ccc(Br)cc2)CCCC1)C(N)=O ZINC000676229522 1073292134 /nfs/dbraw/zinc/29/21/34/1073292134.db2.gz NXRQZSZFWURIQS-UHFFFAOYSA-N 0 0 432.296 -0.009 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@H]2CC(=O)N(c3ccc(OC)nc3)C2=O)CC1 ZINC000676233693 1073292163 /nfs/dbraw/zinc/29/21/63/1073292163.db2.gz QAVKHXLQQMUCHW-HNNXBMFYSA-N 0 0 426.495 -0.294 20 0 IBADRN COCCCS(=O)(=O)N1CCN([C@@H]2CC(=O)N(c3ccc(OC)nc3)C2=O)CC1 ZINC000676233695 1073292431 /nfs/dbraw/zinc/29/24/31/1073292431.db2.gz QAVKHXLQQMUCHW-OAHLLOKOSA-N 0 0 426.495 -0.294 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC3(C(N)=O)CCOCC3)cc2n(C)c1=O ZINC000676237453 1073291834 /nfs/dbraw/zinc/29/18/34/1073291834.db2.gz XCIORLKLIYBSIO-UHFFFAOYSA-N 0 0 447.311 -0.048 20 0 IBADRN NC(=O)C1(NS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)CCOCC1 ZINC000676237866 1073291823 /nfs/dbraw/zinc/29/18/23/1073291823.db2.gz KNMVOUMBTWPEKC-UHFFFAOYSA-N 0 0 445.441 -0.160 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676238133 1073291737 /nfs/dbraw/zinc/29/17/37/1073291737.db2.gz FZUHISKUJSYESL-PBHICJAKSA-N 0 0 433.552 -0.295 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CN(C)CCO1 ZINC000676238137 1073291721 /nfs/dbraw/zinc/29/17/21/1073291721.db2.gz FZUHISKUJSYESL-RHSMWYFYSA-N 0 0 433.552 -0.295 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CN(C)CCO1 ZINC000676238139 1073291743 /nfs/dbraw/zinc/29/17/43/1073291743.db2.gz FZUHISKUJSYESL-WMLDXEAASA-N 0 0 433.552 -0.295 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676238141 1073291727 /nfs/dbraw/zinc/29/17/27/1073291727.db2.gz FZUHISKUJSYESL-YOEHRIQHSA-N 0 0 433.552 -0.295 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000676238199 1073291733 /nfs/dbraw/zinc/29/17/33/1073291733.db2.gz UBZSYKNUPWQRIX-DJCROIMJSA-N 0 0 426.495 -0.253 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1[C@]1(C)NC(=O)NC1=O ZINC000676238200 1073291799 /nfs/dbraw/zinc/29/17/99/1073291799.db2.gz UBZSYKNUPWQRIX-MZKGEVEHSA-N 0 0 426.495 -0.253 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1[C@]1(C)NC(=O)NC1=O ZINC000676238201 1073291819 /nfs/dbraw/zinc/29/18/19/1073291819.db2.gz UBZSYKNUPWQRIX-PSZVPEGOSA-N 0 0 426.495 -0.253 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000676238202 1073291714 /nfs/dbraw/zinc/29/17/14/1073291714.db2.gz UBZSYKNUPWQRIX-PZDGAKPMSA-N 0 0 426.495 -0.253 20 0 IBADRN C[C@@H](NS(=O)(=O)c1c[nH]c(C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)c1)[C@@H]1CN(C)CCO1 ZINC000676238304 1073291914 /nfs/dbraw/zinc/29/19/14/1073291914.db2.gz JTZUPYBSDPPVLA-DACLVMHWSA-N 0 0 443.570 -0.539 20 0 IBADRN C[C@@H](NS(=O)(=O)c1c[nH]c(C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)c1)[C@H]1CN(C)CCO1 ZINC000676238308 1073291804 /nfs/dbraw/zinc/29/18/04/1073291804.db2.gz JTZUPYBSDPPVLA-IHETXDGRSA-N 0 0 443.570 -0.539 20 0 IBADRN C[C@@H](NS(=O)(=O)c1c[nH]c(C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)c1)[C@@H]1CN(C)CCO1 ZINC000676238311 1073291694 /nfs/dbraw/zinc/29/16/94/1073291694.db2.gz JTZUPYBSDPPVLA-JFTQMJAMSA-N 0 0 443.570 -0.539 20 0 IBADRN C[C@@H](NS(=O)(=O)c1c[nH]c(C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)c1)[C@H]1CN(C)CCO1 ZINC000676238313 1073291787 /nfs/dbraw/zinc/29/17/87/1073291787.db2.gz JTZUPYBSDPPVLA-MJSCVDMRSA-N 0 0 443.570 -0.539 20 0 IBADRN C[C@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H]1CN(C)CCO1 ZINC000676243518 1073291860 /nfs/dbraw/zinc/29/18/60/1073291860.db2.gz QEUPFVHUNBJLNY-DLBZAZTESA-N 0 0 440.570 -0.448 20 0 IBADRN C[C@@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H]1CN(C)CCO1 ZINC000676243621 1073291750 /nfs/dbraw/zinc/29/17/50/1073291750.db2.gz QEUPFVHUNBJLNY-IAGOWNOFSA-N 0 0 440.570 -0.448 20 0 IBADRN C[C@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CN(C)CCO1 ZINC000676243623 1073291766 /nfs/dbraw/zinc/29/17/66/1073291766.db2.gz QEUPFVHUNBJLNY-IRXDYDNUSA-N 0 0 440.570 -0.448 20 0 IBADRN C[C@@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CN(C)CCO1 ZINC000676243625 1073291841 /nfs/dbraw/zinc/29/18/41/1073291841.db2.gz QEUPFVHUNBJLNY-SJORKVTESA-N 0 0 440.570 -0.448 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H]1CN(C)CCO1 ZINC000676249167 1073292765 /nfs/dbraw/zinc/29/27/65/1073292765.db2.gz FVNUAMOPTLAADT-GXTWGEPZSA-N 0 0 429.499 -0.887 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H]1CN(C)CCO1 ZINC000676249168 1073292813 /nfs/dbraw/zinc/29/28/13/1073292813.db2.gz FVNUAMOPTLAADT-JSGCOSHPSA-N 0 0 429.499 -0.887 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@@H]1CN(C)CCO1 ZINC000676249169 1073292664 /nfs/dbraw/zinc/29/26/64/1073292664.db2.gz FVNUAMOPTLAADT-OCCSQVGLSA-N 0 0 429.499 -0.887 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)[C@H]1CN(C)CCO1 ZINC000676249170 1073292879 /nfs/dbraw/zinc/29/28/79/1073292879.db2.gz FVNUAMOPTLAADT-TZMCWYRMSA-N 0 0 429.499 -0.887 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)N[C@H](C)[C@H]2CN(C)CCO2)c1 ZINC000676249220 1073292868 /nfs/dbraw/zinc/29/28/68/1073292868.db2.gz GMUZQSDTLDZTNY-DVOMOZLQSA-N 0 0 427.527 -0.060 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)N[C@@H](C)[C@H]2CN(C)CCO2)c1 ZINC000676249221 1073292929 /nfs/dbraw/zinc/29/29/29/1073292929.db2.gz GMUZQSDTLDZTNY-HEHGZKQESA-N 0 0 427.527 -0.060 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)N[C@H](C)[C@@H]2CN(C)CCO2)c1 ZINC000676249222 1073292857 /nfs/dbraw/zinc/29/28/57/1073292857.db2.gz GMUZQSDTLDZTNY-WWGRRREGSA-N 0 0 427.527 -0.060 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)c1 ZINC000676249223 1073292786 /nfs/dbraw/zinc/29/27/86/1073292786.db2.gz GMUZQSDTLDZTNY-XEZPLFJOSA-N 0 0 427.527 -0.060 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)[C@H]1CN(C)CCO1 ZINC000676250656 1073292899 /nfs/dbraw/zinc/29/28/99/1073292899.db2.gz ICCUTESOTKFHPW-QBPKDAKJSA-N 0 0 433.575 -0.613 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@@H]1CN(C)CCO1 ZINC000676250658 1073292913 /nfs/dbraw/zinc/29/29/13/1073292913.db2.gz ICCUTESOTKFHPW-TWMKSMIVSA-N 0 0 433.575 -0.613 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)[C@@H]1CN(C)CCO1 ZINC000676250659 1073292712 /nfs/dbraw/zinc/29/27/12/1073292712.db2.gz ICCUTESOTKFHPW-VQHPVUNQSA-N 0 0 433.575 -0.613 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@H]1CN(C)CCO1 ZINC000676250660 1073292558 /nfs/dbraw/zinc/29/25/58/1073292558.db2.gz ICCUTESOTKFHPW-YYIAUSFCSA-N 0 0 433.575 -0.613 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000676251206 1073292591 /nfs/dbraw/zinc/29/25/91/1073292591.db2.gz LSOAAJASRLGNFX-UHFFFAOYSA-N 0 0 449.555 -0.942 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)cc2)CC1 ZINC000676258681 1073292357 /nfs/dbraw/zinc/29/23/57/1073292357.db2.gz VXNOHNKPYQMYJE-BEFAXECRSA-N 0 0 438.550 -0.012 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](C)[C@H]3CN(C)CCO3)cc2)CC1 ZINC000676258682 1073292397 /nfs/dbraw/zinc/29/23/97/1073292397.db2.gz VXNOHNKPYQMYJE-DNVCBOLYSA-N 0 0 438.550 -0.012 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)cc2)CC1 ZINC000676258683 1073292411 /nfs/dbraw/zinc/29/24/11/1073292411.db2.gz VXNOHNKPYQMYJE-HNAYVOBHSA-N 0 0 438.550 -0.012 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)cc2)CC1 ZINC000676258684 1073292170 /nfs/dbraw/zinc/29/21/70/1073292170.db2.gz VXNOHNKPYQMYJE-KXBFYZLASA-N 0 0 438.550 -0.012 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)c2)CC1 ZINC000676262442 1073292324 /nfs/dbraw/zinc/29/23/24/1073292324.db2.gz YZODPYWJZSDUBW-BEFAXECRSA-N 0 0 438.550 -0.012 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](C)[C@H]3CN(C)CCO3)c2)CC1 ZINC000676262444 1073292339 /nfs/dbraw/zinc/29/23/39/1073292339.db2.gz YZODPYWJZSDUBW-DNVCBOLYSA-N 0 0 438.550 -0.012 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)c2)CC1 ZINC000676262447 1073292296 /nfs/dbraw/zinc/29/22/96/1073292296.db2.gz YZODPYWJZSDUBW-HNAYVOBHSA-N 0 0 438.550 -0.012 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)c2)CC1 ZINC000676262449 1073292267 /nfs/dbraw/zinc/29/22/67/1073292267.db2.gz YZODPYWJZSDUBW-KXBFYZLASA-N 0 0 438.550 -0.012 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(CC(=O)N(C)C)CC3)cn2)C[C@H](C)O1 ZINC000676263811 1073292216 /nfs/dbraw/zinc/29/22/16/1073292216.db2.gz LYECPXMFEWTTTP-HOTGVXAUSA-N 0 0 435.529 -0.243 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(CC(=O)N(C)C)CC3)cn2)C[C@@H](C)O1 ZINC000676263812 1073292427 /nfs/dbraw/zinc/29/24/27/1073292427.db2.gz LYECPXMFEWTTTP-HZPDHXFCSA-N 0 0 435.529 -0.243 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(CC(=O)N(C)C)CC3)cn2)C[C@H](C)O1 ZINC000676263813 1073292234 /nfs/dbraw/zinc/29/22/34/1073292234.db2.gz LYECPXMFEWTTTP-IYBDPMFKSA-N 0 0 435.529 -0.243 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000676263855 1073292200 /nfs/dbraw/zinc/29/22/00/1073292200.db2.gz OIVBBDAJIXGKMU-UHFFFAOYSA-N 0 0 427.527 -0.151 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000676264921 1073292744 /nfs/dbraw/zinc/29/27/44/1073292744.db2.gz JNYSVHXJKDXDEL-UHFFFAOYSA-N 0 0 440.526 -0.884 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000676265080 1073292145 /nfs/dbraw/zinc/29/21/45/1073292145.db2.gz OJXSMOPOFJGUCQ-AWEZNQCLSA-N 0 0 425.511 -0.295 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000676265085 1073292374 /nfs/dbraw/zinc/29/23/74/1073292374.db2.gz OJXSMOPOFJGUCQ-CQSZACIVSA-N 0 0 425.511 -0.295 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C ZINC000676265628 1073292826 /nfs/dbraw/zinc/29/28/26/1073292826.db2.gz VFAJXLSMJKNDMP-UHFFFAOYSA-N 0 0 427.527 -0.001 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000676270308 1073292844 /nfs/dbraw/zinc/29/28/44/1073292844.db2.gz ORFYBJDPBIBFAG-UHFFFAOYSA-N 0 0 426.543 -0.075 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)NCCCN1CCCS1(=O)=O ZINC000676271261 1073292631 /nfs/dbraw/zinc/29/26/31/1073292631.db2.gz DZTSBTHQXMPGRJ-UHFFFAOYSA-N 0 0 425.511 -0.293 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)C(=O)N(C)CCN1CCCS1(=O)=O ZINC000676272929 1073292603 /nfs/dbraw/zinc/29/26/03/1073292603.db2.gz PKXAWLHZMPETSH-UHFFFAOYSA-N 0 0 425.511 -0.341 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(N4CCOCC4)nc3C)CCN2C1=O ZINC000676274275 1073293436 /nfs/dbraw/zinc/29/34/36/1073293436.db2.gz YJPGBFYCPLGYDZ-HNNXBMFYSA-N 0 0 430.465 -0.340 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(N4CCOCC4)nc3C)CCN2C1=O ZINC000676274315 1073293270 /nfs/dbraw/zinc/29/32/70/1073293270.db2.gz YJPGBFYCPLGYDZ-OAHLLOKOSA-N 0 0 430.465 -0.340 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1 ZINC000676277640 1073293281 /nfs/dbraw/zinc/29/32/81/1073293281.db2.gz BRQAQQBGVPYAEH-KBXCAEBGSA-N 0 0 434.493 -0.059 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)[C@@H]1CN(C)CCO1 ZINC000676277641 1073293452 /nfs/dbraw/zinc/29/34/52/1073293452.db2.gz BRQAQQBGVPYAEH-KDOFPFPSSA-N 0 0 434.493 -0.059 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1 ZINC000676277642 1073293385 /nfs/dbraw/zinc/29/33/85/1073293385.db2.gz BRQAQQBGVPYAEH-KSSFIOAISA-N 0 0 434.493 -0.059 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)[C@H]1CN(C)CCO1 ZINC000676277643 1073293169 /nfs/dbraw/zinc/29/31/69/1073293169.db2.gz BRQAQQBGVPYAEH-RDTXWAMCSA-N 0 0 434.493 -0.059 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H](C)[C@@H]1CN(C)CCO1 ZINC000676277776 1073293403 /nfs/dbraw/zinc/29/34/03/1073293403.db2.gz BHFIQXKPHAVGRR-PXAZEXFGSA-N 0 0 440.522 -0.090 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1 ZINC000676277777 1073293364 /nfs/dbraw/zinc/29/33/64/1073293364.db2.gz BHFIQXKPHAVGRR-SJCJKPOMSA-N 0 0 440.522 -0.090 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@H](C)[C@H]1CN(C)CCO1 ZINC000676277778 1073293194 /nfs/dbraw/zinc/29/31/94/1073293194.db2.gz BHFIQXKPHAVGRR-SJKOYZFVSA-N 0 0 440.522 -0.090 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1 ZINC000676277779 1073293420 /nfs/dbraw/zinc/29/34/20/1073293420.db2.gz BHFIQXKPHAVGRR-YVEFUNNKSA-N 0 0 440.522 -0.090 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676277907 1073293300 /nfs/dbraw/zinc/29/33/00/1073293300.db2.gz DFYSWJDGQHIKON-APWZRJJASA-N 0 0 447.536 -0.478 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676277908 1073293327 /nfs/dbraw/zinc/29/33/27/1073293327.db2.gz DFYSWJDGQHIKON-LPHOPBHVSA-N 0 0 447.536 -0.478 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H]1CN(C)CCO1 ZINC000676277909 1073293126 /nfs/dbraw/zinc/29/31/26/1073293126.db2.gz DFYSWJDGQHIKON-QFBILLFUSA-N 0 0 447.536 -0.478 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1)[C@H]1CN(C)CCO1 ZINC000676277910 1073293215 /nfs/dbraw/zinc/29/32/15/1073293215.db2.gz DFYSWJDGQHIKON-VQIMIIECSA-N 0 0 447.536 -0.478 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@H]1CN(C)CCO1 ZINC000676278238 1073293232 /nfs/dbraw/zinc/29/32/32/1073293232.db2.gz GMIVGXREXCZWAY-CXAGYDPISA-N 0 0 441.554 -0.223 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@@H]1CN(C)CCO1 ZINC000676278239 1073293313 /nfs/dbraw/zinc/29/33/13/1073293313.db2.gz GMIVGXREXCZWAY-DYVFJYSZSA-N 0 0 441.554 -0.223 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@@H]1CN(C)CCO1 ZINC000676278240 1073293254 /nfs/dbraw/zinc/29/32/54/1073293254.db2.gz GMIVGXREXCZWAY-GUYCJALGSA-N 0 0 441.554 -0.223 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C)[C@H]1CN(C)CCO1 ZINC000676278241 1073293346 /nfs/dbraw/zinc/29/33/46/1073293346.db2.gz GMIVGXREXCZWAY-SUMWQHHRSA-N 0 0 441.554 -0.223 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cc1S(=O)(=O)NC1CC1 ZINC000676278471 1073293925 /nfs/dbraw/zinc/29/39/25/1073293925.db2.gz IOAPSCUMYVALPA-BLLLJJGKSA-N 0 0 440.522 -0.090 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cc1S(=O)(=O)NC1CC1 ZINC000676278472 1073293810 /nfs/dbraw/zinc/29/38/10/1073293810.db2.gz IOAPSCUMYVALPA-LRDDRELGSA-N 0 0 440.522 -0.090 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1S(=O)(=O)NC1CC1 ZINC000676278473 1073293907 /nfs/dbraw/zinc/29/39/07/1073293907.db2.gz IOAPSCUMYVALPA-MLGOLLRUSA-N 0 0 440.522 -0.090 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1S(=O)(=O)NC1CC1 ZINC000676278474 1073293787 /nfs/dbraw/zinc/29/37/87/1073293787.db2.gz IOAPSCUMYVALPA-WBMJQRKESA-N 0 0 440.522 -0.090 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CN(C)CCO1 ZINC000676278531 1073293939 /nfs/dbraw/zinc/29/39/39/1073293939.db2.gz HZABQGCLDFOGGO-IPELMVKDSA-N 0 0 446.504 -0.525 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@H]1CN(C)CCO1 ZINC000676278532 1073293965 /nfs/dbraw/zinc/29/39/65/1073293965.db2.gz HZABQGCLDFOGGO-KFKAGJAMSA-N 0 0 446.504 -0.525 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CN(C)CCO1 ZINC000676278533 1073293770 /nfs/dbraw/zinc/29/37/70/1073293770.db2.gz HZABQGCLDFOGGO-SNRMKQJTSA-N 0 0 446.504 -0.525 20 0 IBADRN C[C@H](NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)[C@@H]1CN(C)CCO1 ZINC000676278534 1073293850 /nfs/dbraw/zinc/29/38/50/1073293850.db2.gz HZABQGCLDFOGGO-ZYSHUDEJSA-N 0 0 446.504 -0.525 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676278678 1073293146 /nfs/dbraw/zinc/29/31/46/1073293146.db2.gz JXDXYFUIGONORD-PBHICJAKSA-N 0 0 440.522 -0.519 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CN(C)CCO1 ZINC000676278679 1073293934 /nfs/dbraw/zinc/29/39/34/1073293934.db2.gz JXDXYFUIGONORD-RHSMWYFYSA-N 0 0 440.522 -0.519 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CN(C)CCO1 ZINC000676278680 1073293917 /nfs/dbraw/zinc/29/39/17/1073293917.db2.gz JXDXYFUIGONORD-WMLDXEAASA-N 0 0 440.522 -0.519 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676278681 1073293947 /nfs/dbraw/zinc/29/39/47/1073293947.db2.gz JXDXYFUIGONORD-YOEHRIQHSA-N 0 0 440.522 -0.519 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)nc2OC)CC1 ZINC000676279149 1073293247 /nfs/dbraw/zinc/29/32/47/1073293247.db2.gz AMXKIBHPRIJAQU-UHFFFAOYSA-N 0 0 430.483 -0.452 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@H]1CN(C)CCO1 ZINC000676279248 1073293866 /nfs/dbraw/zinc/29/38/66/1073293866.db2.gz BKMXLMBXXQZMEB-CRAIPNDOSA-N 0 0 444.536 -0.086 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@H]1CN(C)CCO1 ZINC000676279253 1073293955 /nfs/dbraw/zinc/29/39/55/1073293955.db2.gz BKMXLMBXXQZMEB-MAUKXSAKSA-N 0 0 444.536 -0.086 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@@H]1CN(C)CCO1 ZINC000676279254 1073293960 /nfs/dbraw/zinc/29/39/60/1073293960.db2.gz BKMXLMBXXQZMEB-QAPCUYQASA-N 0 0 444.536 -0.086 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)[C@@H]1CN(C)CCO1 ZINC000676279255 1073293878 /nfs/dbraw/zinc/29/38/78/1073293878.db2.gz BKMXLMBXXQZMEB-YJBOKZPZSA-N 0 0 444.536 -0.086 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676279269 1073293900 /nfs/dbraw/zinc/29/39/00/1073293900.db2.gz BTBQNVWTJOEZRF-APWZRJJASA-N 0 0 431.537 -0.223 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676279270 1073293753 /nfs/dbraw/zinc/29/37/53/1073293753.db2.gz BTBQNVWTJOEZRF-LPHOPBHVSA-N 0 0 431.537 -0.223 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1)[C@H]1CN(C)CCO1 ZINC000676279272 1073293735 /nfs/dbraw/zinc/29/37/35/1073293735.db2.gz BTBQNVWTJOEZRF-QFBILLFUSA-N 0 0 431.537 -0.223 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1)[C@H]1CN(C)CCO1 ZINC000676279273 1073293889 /nfs/dbraw/zinc/29/38/89/1073293889.db2.gz BTBQNVWTJOEZRF-VQIMIIECSA-N 0 0 431.537 -0.223 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)NCC3)CC1 ZINC000676279482 1073294163 /nfs/dbraw/zinc/29/41/63/1073294163.db2.gz CELPTBYHYZDMOQ-UHFFFAOYSA-N 0 0 438.506 -0.579 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)[C@H]1CN(C)CCO1 ZINC000676279860 1073294235 /nfs/dbraw/zinc/29/42/35/1073294235.db2.gz FMNWGMPPDCMGPA-CRAIPNDOSA-N 0 0 433.509 -0.269 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)[C@H]1CN(C)CCO1 ZINC000676279861 1073294178 /nfs/dbraw/zinc/29/41/78/1073294178.db2.gz FMNWGMPPDCMGPA-MAUKXSAKSA-N 0 0 433.509 -0.269 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676279862 1073294258 /nfs/dbraw/zinc/29/42/58/1073294258.db2.gz FMNWGMPPDCMGPA-QAPCUYQASA-N 0 0 433.509 -0.269 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1)[C@@H]1CN(C)CCO1 ZINC000676279863 1073294328 /nfs/dbraw/zinc/29/43/28/1073294328.db2.gz FMNWGMPPDCMGPA-YJBOKZPZSA-N 0 0 433.509 -0.269 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000676279957 1073293829 /nfs/dbraw/zinc/29/38/29/1073293829.db2.gz RJGNKHYNQDWQSY-BBRMVZONSA-N 0 0 428.511 -0.615 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000676279958 1073294362 /nfs/dbraw/zinc/29/43/62/1073294362.db2.gz RJGNKHYNQDWQSY-CJNGLKHVSA-N 0 0 428.511 -0.615 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000676279959 1073294139 /nfs/dbraw/zinc/29/41/39/1073294139.db2.gz RJGNKHYNQDWQSY-CZUORRHYSA-N 0 0 428.511 -0.615 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000676279960 1073294295 /nfs/dbraw/zinc/29/42/95/1073294295.db2.gz RJGNKHYNQDWQSY-XJKSGUPXSA-N 0 0 428.511 -0.615 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000676280253 1073294887 /nfs/dbraw/zinc/29/48/87/1073294887.db2.gz UFGFWNBYZBLJLC-GRDNDAEWSA-N 0 0 442.538 -0.226 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000676280254 1073294771 /nfs/dbraw/zinc/29/47/71/1073294771.db2.gz UFGFWNBYZBLJLC-JJRVBVJISA-N 0 0 442.538 -0.226 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000676280255 1073294760 /nfs/dbraw/zinc/29/47/60/1073294760.db2.gz UFGFWNBYZBLJLC-VBQJREDUSA-N 0 0 442.538 -0.226 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000676280256 1073294783 /nfs/dbraw/zinc/29/47/83/1073294783.db2.gz UFGFWNBYZBLJLC-ZQIUZPCESA-N 0 0 442.538 -0.226 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)[C@H]1CN(C)CCO1 ZINC000676280307 1073294750 /nfs/dbraw/zinc/29/47/50/1073294750.db2.gz UZZWLAMDGZIZMN-CRAIPNDOSA-N 0 0 434.493 -0.302 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)[C@H]1CN(C)CCO1 ZINC000676280308 1073294764 /nfs/dbraw/zinc/29/47/64/1073294764.db2.gz UZZWLAMDGZIZMN-MAUKXSAKSA-N 0 0 434.493 -0.302 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)[C@@H]1CN(C)CCO1 ZINC000676280309 1073294746 /nfs/dbraw/zinc/29/47/46/1073294746.db2.gz UZZWLAMDGZIZMN-QAPCUYQASA-N 0 0 434.493 -0.302 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1)[C@@H]1CN(C)CCO1 ZINC000676280310 1073294777 /nfs/dbraw/zinc/29/47/77/1073294777.db2.gz UZZWLAMDGZIZMN-YJBOKZPZSA-N 0 0 434.493 -0.302 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)[C@@H]1CN(C)CCO1 ZINC000676280380 1073294309 /nfs/dbraw/zinc/29/43/09/1073294309.db2.gz SGMBELKIGFTSCF-ANQUJSFKSA-N 0 0 436.513 -0.707 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)[C@@H]1CN(C)CCO1 ZINC000676280385 1073294422 /nfs/dbraw/zinc/29/44/22/1073294422.db2.gz SGMBELKIGFTSCF-DLTWYDFYSA-N 0 0 436.513 -0.707 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)[C@H]1CN(C)CCO1 ZINC000676280387 1073294345 /nfs/dbraw/zinc/29/43/45/1073294345.db2.gz SGMBELKIGFTSCF-JYYAWHABSA-N 0 0 436.513 -0.707 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)[C@H]1CN(C)CCO1 ZINC000676280389 1073294437 /nfs/dbraw/zinc/29/44/37/1073294437.db2.gz SGMBELKIGFTSCF-KCYZZUKISA-N 0 0 436.513 -0.707 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(C[C@@H]3CCCO3)c2)CC1 ZINC000676280481 1073294410 /nfs/dbraw/zinc/29/44/10/1073294410.db2.gz ISWMSELPVBCFJD-INIZCTEOSA-N 0 0 443.526 -0.489 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(C[C@H]3CCCO3)c2)CC1 ZINC000676280483 1073294958 /nfs/dbraw/zinc/29/49/58/1073294958.db2.gz ISWMSELPVBCFJD-MRXNPFEDSA-N 0 0 443.526 -0.489 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000676280484 1073294947 /nfs/dbraw/zinc/29/49/47/1073294947.db2.gz ITAYECPCBQBTOW-UHFFFAOYSA-N 0 0 440.478 -0.534 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1 ZINC000676280682 1073294200 /nfs/dbraw/zinc/29/42/00/1073294200.db2.gz KGSUINGCCWEWJB-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)[C@@H]1CN(C)CCO1 ZINC000676281036 1073294209 /nfs/dbraw/zinc/29/42/09/1073294209.db2.gz MIAHSXNWWSQCTP-BEFAXECRSA-N 0 0 447.536 -0.143 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)[C@H]1CN(C)CCO1 ZINC000676281039 1073294390 /nfs/dbraw/zinc/29/43/90/1073294390.db2.gz MIAHSXNWWSQCTP-DNVCBOLYSA-N 0 0 447.536 -0.143 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1 ZINC000676281041 1073294455 /nfs/dbraw/zinc/29/44/55/1073294455.db2.gz MIAHSXNWWSQCTP-HNAYVOBHSA-N 0 0 447.536 -0.143 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1 ZINC000676281044 1073294278 /nfs/dbraw/zinc/29/42/78/1073294278.db2.gz MIAHSXNWWSQCTP-KXBFYZLASA-N 0 0 447.536 -0.143 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(C(N)=O)c2)CC1 ZINC000676281129 1073294273 /nfs/dbraw/zinc/29/42/73/1073294273.db2.gz MRDZZNZTPPTYKN-UHFFFAOYSA-N 0 0 430.458 -0.626 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cc1 ZINC000676281618 1073294847 /nfs/dbraw/zinc/29/48/47/1073294847.db2.gz OFILMURXHRRHJP-UHFFFAOYSA-N 0 0 440.522 -0.576 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2-n2cncn2)CC1 ZINC000676282028 1073294935 /nfs/dbraw/zinc/29/49/35/1073294935.db2.gz PZDUWVYBRUHLDB-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CN(C)CCO1 ZINC000676282147 1073294805 /nfs/dbraw/zinc/29/48/05/1073294805.db2.gz YLSQKMOYCDTUDQ-PBHICJAKSA-N 0 0 440.522 -0.519 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CN(C)CCO1 ZINC000676282149 1073294831 /nfs/dbraw/zinc/29/48/31/1073294831.db2.gz YLSQKMOYCDTUDQ-RHSMWYFYSA-N 0 0 440.522 -0.519 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CN(C)CCO1 ZINC000676282151 1073294755 /nfs/dbraw/zinc/29/47/55/1073294755.db2.gz YLSQKMOYCDTUDQ-WMLDXEAASA-N 0 0 440.522 -0.519 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CN(C)CCO1 ZINC000676282152 1073294909 /nfs/dbraw/zinc/29/49/09/1073294909.db2.gz YLSQKMOYCDTUDQ-YOEHRIQHSA-N 0 0 440.522 -0.519 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1 ZINC000676282160 1073295006 /nfs/dbraw/zinc/29/50/06/1073295006.db2.gz YOTLMPAYIPHLAA-BLLLJJGKSA-N 0 0 428.511 -0.281 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1 ZINC000676282161 1073294964 /nfs/dbraw/zinc/29/49/64/1073294964.db2.gz YOTLMPAYIPHLAA-LRDDRELGSA-N 0 0 428.511 -0.281 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](C)[C@H]1CN(C)CCO1 ZINC000676282162 1073294984 /nfs/dbraw/zinc/29/49/84/1073294984.db2.gz YOTLMPAYIPHLAA-MLGOLLRUSA-N 0 0 428.511 -0.281 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N[C@H](C)[C@@H]1CN(C)CCO1 ZINC000676282164 1073294790 /nfs/dbraw/zinc/29/47/90/1073294790.db2.gz YOTLMPAYIPHLAA-WBMJQRKESA-N 0 0 428.511 -0.281 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(C)nn3C)CC1 ZINC000676282286 1073295586 /nfs/dbraw/zinc/29/55/86/1073295586.db2.gz RDUWQUDJYKNATF-UHFFFAOYSA-N 0 0 438.510 -0.274 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc(CCNS(C)(=O)=O)cc2)CC1 ZINC000676287365 1073295590 /nfs/dbraw/zinc/29/55/90/1073295590.db2.gz CKDHEPLKLWOIDQ-UHFFFAOYSA-N 0 0 447.579 -0.098 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000676288418 1073292891 /nfs/dbraw/zinc/29/28/91/1073292891.db2.gz BYQJOQKDYKLQBP-UHFFFAOYSA-N 0 0 430.553 -0.010 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000676288598 1073292924 /nfs/dbraw/zinc/29/29/24/1073292924.db2.gz FDTJQDTZHUPOEV-UHFFFAOYSA-N 0 0 430.509 -0.826 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000676291522 1073295498 /nfs/dbraw/zinc/29/54/98/1073295498.db2.gz XCUQCCFIJXNTKM-UHFFFAOYSA-N 0 0 441.554 -0.473 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000676292230 1073295582 /nfs/dbraw/zinc/29/55/82/1073295582.db2.gz JFGZKLSLJSYOAN-UHFFFAOYSA-N 0 0 426.561 -0.895 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000676292769 1073295321 /nfs/dbraw/zinc/29/53/21/1073295321.db2.gz PRXDDVYRHUERSH-UHFFFAOYSA-N 0 0 431.541 -0.464 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000676294147 1073295537 /nfs/dbraw/zinc/29/55/37/1073295537.db2.gz RNBIKQPCGMCVNW-UHFFFAOYSA-N 0 0 431.541 -0.301 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC000676294527 1073295516 /nfs/dbraw/zinc/29/55/16/1073295516.db2.gz BTFHPKILUZDFPJ-UHFFFAOYSA-N 0 0 441.554 -0.785 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000676296230 1073295352 /nfs/dbraw/zinc/29/53/52/1073295352.db2.gz ZYNXTXAHKFTCNI-UHFFFAOYSA-N 0 0 441.554 -0.473 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000676297419 1073295528 /nfs/dbraw/zinc/29/55/28/1073295528.db2.gz JLCUHUNUGQQCQB-GDBMZVCRSA-N 0 0 442.542 -0.393 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000676297420 1073295578 /nfs/dbraw/zinc/29/55/78/1073295578.db2.gz JLCUHUNUGQQCQB-GOEBONIOSA-N 0 0 442.542 -0.393 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000676297421 1073295556 /nfs/dbraw/zinc/29/55/56/1073295556.db2.gz JLCUHUNUGQQCQB-HOCLYGCPSA-N 0 0 442.542 -0.393 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000676297422 1073295918 /nfs/dbraw/zinc/29/59/18/1073295918.db2.gz JLCUHUNUGQQCQB-ZBFHGGJFSA-N 0 0 442.542 -0.393 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC000676297851 1073295595 /nfs/dbraw/zinc/29/55/95/1073295595.db2.gz URLWWMPNSOXTFM-KBXCAEBGSA-N 0 0 445.542 -0.600 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC000676297854 1073295551 /nfs/dbraw/zinc/29/55/51/1073295551.db2.gz URLWWMPNSOXTFM-KDOFPFPSSA-N 0 0 445.542 -0.600 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC000676297857 1073295509 /nfs/dbraw/zinc/29/55/09/1073295509.db2.gz URLWWMPNSOXTFM-KSSFIOAISA-N 0 0 445.542 -0.600 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC000676297861 1073295545 /nfs/dbraw/zinc/29/55/45/1073295545.db2.gz URLWWMPNSOXTFM-RDTXWAMCSA-N 0 0 445.542 -0.600 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(c3ccc(C(=O)NC4CCCC4)nn3)CC2)CC1 ZINC000676306277 1073295823 /nfs/dbraw/zinc/29/58/23/1073295823.db2.gz IGIOEUVTJOODNO-UHFFFAOYSA-N 0 0 437.570 -0.237 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3nccc(N4CCOCC4)n3)CC2)C[C@H](C)O1 ZINC000676306345 1073295905 /nfs/dbraw/zinc/29/59/05/1073295905.db2.gz JZDPBXVZCNIVIS-HOTGVXAUSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nccc(N4CCOCC4)n3)CC2)C[C@@H](C)O1 ZINC000676306346 1073295945 /nfs/dbraw/zinc/29/59/45/1073295945.db2.gz JZDPBXVZCNIVIS-HZPDHXFCSA-N 0 0 426.543 -0.211 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nccc(N4CCOCC4)n3)CC2)C[C@H](C)O1 ZINC000676306347 1073295963 /nfs/dbraw/zinc/29/59/63/1073295963.db2.gz JZDPBXVZCNIVIS-IYBDPMFKSA-N 0 0 426.543 -0.211 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000676327620 1073295757 /nfs/dbraw/zinc/29/57/57/1073295757.db2.gz CFFICKYDNXYHJS-UHFFFAOYSA-N 0 0 443.551 -0.644 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)NC2(C(N)=O)CCOCC2)cc1 ZINC000676328537 1073295856 /nfs/dbraw/zinc/29/58/56/1073295856.db2.gz ZBUIQXZBYGXHLD-UHFFFAOYSA-N 0 0 443.522 -0.266 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000676332795 1073295938 /nfs/dbraw/zinc/29/59/38/1073295938.db2.gz ILQJQORHUPQWPE-UHFFFAOYSA-N 0 0 433.552 -0.223 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000676336417 1073295802 /nfs/dbraw/zinc/29/58/02/1073295802.db2.gz GUQONICHZAHVOE-INIZCTEOSA-N 0 0 440.570 -0.486 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000676336418 1073295844 /nfs/dbraw/zinc/29/58/44/1073295844.db2.gz GUQONICHZAHVOE-MRXNPFEDSA-N 0 0 440.570 -0.486 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCCc2c1cnn2CCO ZINC000676337678 1073295901 /nfs/dbraw/zinc/29/59/01/1073295901.db2.gz PDQNWSNURJMRHC-BLLLJJGKSA-N 0 0 435.506 -0.165 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCCc2c1cnn2CCO ZINC000676337679 1073295973 /nfs/dbraw/zinc/29/59/73/1073295973.db2.gz PDQNWSNURJMRHC-LRDDRELGSA-N 0 0 435.506 -0.165 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@@H]1CCCc2c1cnn2CCO ZINC000676337680 1073295770 /nfs/dbraw/zinc/29/57/70/1073295770.db2.gz PDQNWSNURJMRHC-MLGOLLRUSA-N 0 0 435.506 -0.165 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N[C@H]1CCCc2c1cnn2CCO ZINC000676337681 1073295922 /nfs/dbraw/zinc/29/59/22/1073295922.db2.gz PDQNWSNURJMRHC-WBMJQRKESA-N 0 0 435.506 -0.165 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNC(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000676346590 1073295835 /nfs/dbraw/zinc/29/58/35/1073295835.db2.gz BEPZJWMRMCJRAW-UHFFFAOYSA-N 0 0 443.522 -0.056 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000676348036 1073295884 /nfs/dbraw/zinc/29/58/84/1073295884.db2.gz NBPIBRWSSVBLTA-UHFFFAOYSA-N 0 0 435.524 -0.777 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccc(NS(C)(=O)=O)c(F)c3)CCN2C1=O ZINC000676352978 1073296331 /nfs/dbraw/zinc/29/63/31/1073296331.db2.gz JNGBKEHBPLGIRH-CYBMUJFWSA-N 0 0 434.471 -0.146 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccc(NS(C)(=O)=O)c(F)c3)CCN2C1=O ZINC000676352985 1073296421 /nfs/dbraw/zinc/29/64/21/1073296421.db2.gz JNGBKEHBPLGIRH-ZDUSSCGKSA-N 0 0 434.471 -0.146 20 0 IBADRN CN(CCCNC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1)S(C)(=O)=O ZINC000676357139 1073296443 /nfs/dbraw/zinc/29/64/43/1073296443.db2.gz NZAMQNMZGBJZRE-AWEZNQCLSA-N 0 0 438.506 -0.026 20 0 IBADRN CN(CCCNC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1)S(C)(=O)=O ZINC000676357140 1073296429 /nfs/dbraw/zinc/29/64/29/1073296429.db2.gz NZAMQNMZGBJZRE-CQSZACIVSA-N 0 0 438.506 -0.026 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC(C)(C)CO ZINC000676361462 1073295744 /nfs/dbraw/zinc/29/57/44/1073295744.db2.gz NXJMFWAUJNPPOF-UHFFFAOYSA-N 0 0 442.538 -0.296 20 0 IBADRN O=S1(=O)CCN(c2ncnc(N3CCS(=O)(=O)CC3)c2Br)CC1 ZINC000676362282 1073295929 /nfs/dbraw/zinc/29/59/29/1073295929.db2.gz YCPNHAVBCJPFET-UHFFFAOYSA-N 0 0 425.330 -0.291 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(C)(C)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000676362645 1073296460 /nfs/dbraw/zinc/29/64/60/1073296460.db2.gz KFNBCMSDJIXJFI-UHFFFAOYSA-N 0 0 429.495 -0.211 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(C)(C)CO ZINC000676362724 1073296380 /nfs/dbraw/zinc/29/63/80/1073296380.db2.gz RIEGEEUGLKOEAN-UHFFFAOYSA-N 0 0 429.495 -0.211 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000676366276 1073296485 /nfs/dbraw/zinc/29/64/85/1073296485.db2.gz KAJBXVAEDZZCJV-HNNXBMFYSA-N 0 0 429.543 -0.155 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000676366277 1073296356 /nfs/dbraw/zinc/29/63/56/1073296356.db2.gz KAJBXVAEDZZCJV-OAHLLOKOSA-N 0 0 429.543 -0.155 20 0 IBADRN C[C@]1(NC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCS(=O)(=O)C1 ZINC000676377436 1073296408 /nfs/dbraw/zinc/29/64/08/1073296408.db2.gz XAXWZRIAIXPVOI-INIZCTEOSA-N 0 0 431.540 -0.138 20 0 IBADRN C[C@@]1(NC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCS(=O)(=O)C1 ZINC000676377437 1073296449 /nfs/dbraw/zinc/29/64/49/1073296449.db2.gz XAXWZRIAIXPVOI-MRXNPFEDSA-N 0 0 431.540 -0.138 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000676380216 1073296281 /nfs/dbraw/zinc/29/62/81/1073296281.db2.gz HZAULBCMQBEGSD-CRAIPNDOSA-N 0 0 442.472 -0.363 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000676380217 1073296456 /nfs/dbraw/zinc/29/64/56/1073296456.db2.gz HZAULBCMQBEGSD-MAUKXSAKSA-N 0 0 442.472 -0.363 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000676380218 1073296364 /nfs/dbraw/zinc/29/63/64/1073296364.db2.gz HZAULBCMQBEGSD-QAPCUYQASA-N 0 0 442.472 -0.363 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000676380219 1073296984 /nfs/dbraw/zinc/29/69/84/1073296984.db2.gz HZAULBCMQBEGSD-YJBOKZPZSA-N 0 0 442.472 -0.363 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN([C@H]3CCOC3)CC2)s1 ZINC000676390307 1073296436 /nfs/dbraw/zinc/29/64/36/1073296436.db2.gz WSGPPTLLJKRXNC-AWEZNQCLSA-N 0 0 430.552 -0.404 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN([C@@H]3CCOC3)CC2)s1 ZINC000676390309 1073296389 /nfs/dbraw/zinc/29/63/89/1073296389.db2.gz WSGPPTLLJKRXNC-CQSZACIVSA-N 0 0 430.552 -0.404 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)Nc1cccc(Br)c1)NCCn1ncnn1 ZINC000676392826 1073296890 /nfs/dbraw/zinc/29/68/90/1073296890.db2.gz AUZSPMMGYNZBEJ-UHFFFAOYSA-N 0 0 431.272 -0.395 20 0 IBADRN C[C@@H]1CN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CCN1c1nccn2cnnc12 ZINC000676395658 1073296818 /nfs/dbraw/zinc/29/68/18/1073296818.db2.gz CHTLPZVSUIZSOV-CYBMUJFWSA-N 0 0 431.478 -0.112 20 0 IBADRN C[C@H]1CN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CCN1c1nccn2cnnc12 ZINC000676395661 1073296933 /nfs/dbraw/zinc/29/69/33/1073296933.db2.gz CHTLPZVSUIZSOV-ZDUSSCGKSA-N 0 0 431.478 -0.112 20 0 IBADRN CC[C@H](C)Oc1cc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccn1 ZINC000676397154 1073296965 /nfs/dbraw/zinc/29/69/65/1073296965.db2.gz FHSAVWDMSJYVNV-AWEZNQCLSA-N 0 0 430.469 -0.767 20 0 IBADRN CC[C@@H](C)Oc1cc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccn1 ZINC000676397155 1073296783 /nfs/dbraw/zinc/29/67/83/1073296783.db2.gz FHSAVWDMSJYVNV-CQSZACIVSA-N 0 0 430.469 -0.767 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC2(C(N)=O)CCOCC2)cc1OCC ZINC000676398750 1073296869 /nfs/dbraw/zinc/29/68/69/1073296869.db2.gz CSDGDDRRSUGCRY-UHFFFAOYSA-N 0 0 429.495 -0.087 20 0 IBADRN NC(=O)C1(NC(=O)CNS(=O)(=O)c2ccc(Br)s2)CCOCC1 ZINC000676398809 1073296941 /nfs/dbraw/zinc/29/69/41/1073296941.db2.gz HQPDEAVMHUFGTD-UHFFFAOYSA-N 0 0 426.314 -0.060 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NC1(C(N)=O)CCOCC1 ZINC000676398855 1073296861 /nfs/dbraw/zinc/29/68/61/1073296861.db2.gz JZSAISYIHXQKMQ-LBPRGKRZSA-N 0 0 427.479 -0.335 20 0 IBADRN NC(=O)C1(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOCC1 ZINC000676398890 1073296902 /nfs/dbraw/zinc/29/69/02/1073296902.db2.gz MTAMNJNJNBIQHS-UHFFFAOYSA-N 0 0 427.479 -0.333 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC2(C(N)=O)CCOCC2)c1 ZINC000676399000 1073296974 /nfs/dbraw/zinc/29/69/74/1073296974.db2.gz QRUDYLYFLXDMGJ-UHFFFAOYSA-N 0 0 440.522 -0.402 20 0 IBADRN NC(=O)C1(NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CCOCC1 ZINC000676399139 1073296832 /nfs/dbraw/zinc/29/68/32/1073296832.db2.gz WPXHXTZEIABHPF-UHFFFAOYSA-N 0 0 427.479 -0.333 20 0 IBADRN CC(C)NC(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000676404573 1073296956 /nfs/dbraw/zinc/29/69/56/1073296956.db2.gz GNHMCAPXGXWRIN-CYBMUJFWSA-N 0 0 442.494 -0.989 20 0 IBADRN CC(C)NC(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000676404574 1073296912 /nfs/dbraw/zinc/29/69/12/1073296912.db2.gz GNHMCAPXGXWRIN-ZDUSSCGKSA-N 0 0 442.494 -0.989 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000676404863 1073296732 /nfs/dbraw/zinc/29/67/32/1073296732.db2.gz DXZOZEGDAHCRLP-HNNXBMFYSA-N 0 0 442.538 -0.535 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCN(C)S(=O)(=O)c2cccs2)CC1 ZINC000676421330 1073297378 /nfs/dbraw/zinc/29/73/78/1073297378.db2.gz DZACXQQBMKJIMC-UHFFFAOYSA-N 0 0 439.585 -0.498 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000676425096 1073296922 /nfs/dbraw/zinc/29/69/22/1073296922.db2.gz HMOYDFLZRGVNDG-UHFFFAOYSA-N 0 0 426.543 -0.751 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cnn1-c1ccccc1 ZINC000676452532 1073297799 /nfs/dbraw/zinc/29/77/99/1073297799.db2.gz CQJDRJNNMUEDOQ-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCC2)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000676458729 1073297690 /nfs/dbraw/zinc/29/76/90/1073297690.db2.gz WDQQGIHYGBQWSE-UHFFFAOYSA-N 0 0 435.506 -0.282 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)s1 ZINC000676472176 1073297781 /nfs/dbraw/zinc/29/77/81/1073297781.db2.gz GFQYHMDSUKLWSX-UHFFFAOYSA-N 0 0 439.585 -0.532 20 0 IBADRN Cc1oc(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c2c1c(C)n[nH]c2=O ZINC000676477595 1073297700 /nfs/dbraw/zinc/29/77/00/1073297700.db2.gz MUYADVRGUPZEBB-UHFFFAOYSA-N 0 0 444.448 -0.223 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC000676478982 1073297711 /nfs/dbraw/zinc/29/77/11/1073297711.db2.gz OSGQKPVESYCRQX-AWEZNQCLSA-N 0 0 430.552 -0.113 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC000676478984 1073297816 /nfs/dbraw/zinc/29/78/16/1073297816.db2.gz OSGQKPVESYCRQX-CQSZACIVSA-N 0 0 430.552 -0.113 20 0 IBADRN COCCNc1nc(N(C)CC(=O)N2CCCC2)nc(N(C)CC(=O)N2CCCC2)n1 ZINC000676490802 1073297661 /nfs/dbraw/zinc/29/76/61/1073297661.db2.gz RLZVQMMOMQQUHR-UHFFFAOYSA-N 0 0 434.545 -0.531 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000676498195 1073297754 /nfs/dbraw/zinc/29/77/54/1073297754.db2.gz PQTOGVVHTHFGHB-UHFFFAOYSA-N 0 0 441.535 -0.092 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000676499159 1073297722 /nfs/dbraw/zinc/29/77/22/1073297722.db2.gz NBBRXQOUYVXPPE-GFCCVEGCSA-N 0 0 429.465 -0.584 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC000676499160 1073298178 /nfs/dbraw/zinc/29/81/78/1073298178.db2.gz NBBRXQOUYVXPPE-LBPRGKRZSA-N 0 0 429.465 -0.584 20 0 IBADRN CCOc1ccc2c(c1)[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn3cnnn3)CC1)CCO2 ZINC000676505245 1073298107 /nfs/dbraw/zinc/29/81/07/1073298107.db2.gz SSLGMVRACWOJLL-INIZCTEOSA-N 0 0 443.464 -0.617 20 0 IBADRN CCOc1ccc2c(c1)[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn3cnnn3)CC1)CCO2 ZINC000676505246 1073298161 /nfs/dbraw/zinc/29/81/61/1073298161.db2.gz SSLGMVRACWOJLL-MRXNPFEDSA-N 0 0 443.464 -0.617 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000676505595 1073298225 /nfs/dbraw/zinc/29/82/25/1073298225.db2.gz CJXIVFRCSQOVQU-UHFFFAOYSA-N 0 0 444.491 -0.596 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000676506259 1073298185 /nfs/dbraw/zinc/29/81/85/1073298185.db2.gz YAFAYBGGEFHHKA-UHFFFAOYSA-N 0 0 426.520 -0.118 20 0 IBADRN CCOc1ccc2c(c1)[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)CCO2 ZINC000676513424 1073298128 /nfs/dbraw/zinc/29/81/28/1073298128.db2.gz NUUFAUAAYKXSCF-KRWDZBQOSA-N 0 0 444.488 -0.026 20 0 IBADRN CCOc1ccc2c(c1)[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)CCO2 ZINC000676513429 1073298082 /nfs/dbraw/zinc/29/80/82/1073298082.db2.gz NUUFAUAAYKXSCF-QGZVFWFLSA-N 0 0 444.488 -0.026 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1=O ZINC000676520498 1073298242 /nfs/dbraw/zinc/29/82/42/1073298242.db2.gz YRODTAXAIGQBNX-AWEZNQCLSA-N 0 0 438.510 -0.179 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1=O ZINC000676520499 1073298168 /nfs/dbraw/zinc/29/81/68/1073298168.db2.gz YRODTAXAIGQBNX-CQSZACIVSA-N 0 0 438.510 -0.179 20 0 IBADRN COC[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000676534078 1073298236 /nfs/dbraw/zinc/29/82/36/1073298236.db2.gz QADZCDQTZSEFCS-HNNXBMFYSA-N 0 0 443.522 -0.568 20 0 IBADRN COC[C@@H](O)CNC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000676534536 1073298297 /nfs/dbraw/zinc/29/82/97/1073298297.db2.gz USIWUGMKABLDCQ-LBPRGKRZSA-N 0 0 425.463 -0.302 20 0 IBADRN COC[C@@H](O)CNC(=O)CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000676534538 1073298215 /nfs/dbraw/zinc/29/82/15/1073298215.db2.gz UVIIYPILYNFTMC-ZDUSSCGKSA-N 0 0 427.479 -0.107 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000676539935 1073298262 /nfs/dbraw/zinc/29/82/62/1073298262.db2.gz FHGWUIOJFORUKT-UHFFFAOYSA-N 0 0 431.471 -0.354 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)NCCNS(=O)(=O)c2cccnc2)CCCCC1 ZINC000676541663 1073298090 /nfs/dbraw/zinc/29/80/90/1073298090.db2.gz SXAOZCDTJQEJAZ-UHFFFAOYSA-N 0 0 433.556 -0.089 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3OC)CC2)cn1 ZINC000676545775 1073298854 /nfs/dbraw/zinc/29/88/54/1073298854.db2.gz AXIUMUQGASPCNH-UHFFFAOYSA-N 0 0 428.449 -0.439 20 0 IBADRN O=C(Nc1cnn(Cc2ccccn2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000676545906 1073298679 /nfs/dbraw/zinc/29/86/79/1073298679.db2.gz PXPGFGVUUJJMEF-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN O=C(Nc1cnn(Cc2ccccn2)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000676545985 1073298642 /nfs/dbraw/zinc/29/86/42/1073298642.db2.gz ZBCLVNNJJDHVBW-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cnn(Cc3ccccn3)c2)CC1 ZINC000676545996 1073298664 /nfs/dbraw/zinc/29/86/64/1073298664.db2.gz AOYCHXMESFFWOH-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000676545998 1073298653 /nfs/dbraw/zinc/29/86/53/1073298653.db2.gz ASHAJGHOZXEDJP-UHFFFAOYSA-N 0 0 443.508 -0.523 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000676546249 1073298743 /nfs/dbraw/zinc/29/87/43/1073298743.db2.gz XUWZBNKFJMWETJ-UHFFFAOYSA-N 0 0 443.508 -0.523 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000676546398 1073298831 /nfs/dbraw/zinc/29/88/31/1073298831.db2.gz BRNODIKORCQDSZ-UHFFFAOYSA-N 0 0 447.540 -0.096 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)c2)n1C ZINC000676546404 1073298669 /nfs/dbraw/zinc/29/86/69/1073298669.db2.gz CGDCLRYKALBMSY-UHFFFAOYSA-N 0 0 435.510 -0.320 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000676546454 1073298814 /nfs/dbraw/zinc/29/88/14/1073298814.db2.gz HEWCUCMIFGGRAL-UHFFFAOYSA-N 0 0 447.540 -0.096 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000676546615 1073299500 /nfs/dbraw/zinc/29/95/00/1073299500.db2.gz JZEOJFDAKWDGFF-UHFFFAOYSA-N 0 0 433.513 -0.486 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(Cc3ccccn3)c2)CC1 ZINC000676546706 1073299210 /nfs/dbraw/zinc/29/92/10/1073299210.db2.gz NJDQSAHMGIPTNP-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000676546712 1073299428 /nfs/dbraw/zinc/29/94/28/1073299428.db2.gz NNBJESLFNMPKFQ-UHFFFAOYSA-N 0 0 433.513 -0.486 20 0 IBADRN O=C(NCCN1C(=O)Cc2ccccc21)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000676548530 1073299467 /nfs/dbraw/zinc/29/94/67/1073299467.db2.gz AZNMYKMIYDBAEW-UHFFFAOYSA-N 0 0 426.477 -0.141 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1cnn(Cc2ccccn2)c1 ZINC000676550264 1073299187 /nfs/dbraw/zinc/29/91/87/1073299187.db2.gz SBAPRFUZGNHETD-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c2)n1C ZINC000676551286 1073298770 /nfs/dbraw/zinc/29/87/70/1073298770.db2.gz BPZSSEWYHYCOFC-UHFFFAOYSA-N 0 0 449.537 -0.578 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)o1 ZINC000676552387 1073298844 /nfs/dbraw/zinc/29/88/44/1073298844.db2.gz SEUHGENBZOJZRE-UHFFFAOYSA-N 0 0 438.510 -0.535 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccnn2CCc2ccccc2)CC1 ZINC000676552528 1073298861 /nfs/dbraw/zinc/29/88/61/1073298861.db2.gz ZANQJMYSUIRJSP-UHFFFAOYSA-N 0 0 448.549 -0.242 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnn(Cc3ccccn3)c2)CC1 ZINC000676553092 1073298673 /nfs/dbraw/zinc/29/86/73/1073298673.db2.gz ZHNHERXWQJVYIP-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000676555048 1073298887 /nfs/dbraw/zinc/29/88/87/1073298887.db2.gz DBCVQNMPLWMADG-PBHICJAKSA-N 0 0 431.942 -0.152 20 0 IBADRN O=C(CN1CC[C@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000676555049 1073298756 /nfs/dbraw/zinc/29/87/56/1073298756.db2.gz DBCVQNMPLWMADG-RHSMWYFYSA-N 0 0 431.942 -0.152 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000676555051 1073298871 /nfs/dbraw/zinc/29/88/71/1073298871.db2.gz DBCVQNMPLWMADG-WMLDXEAASA-N 0 0 431.942 -0.152 20 0 IBADRN O=C(CN1CC[C@@H](CO)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000676555052 1073298723 /nfs/dbraw/zinc/29/87/23/1073298723.db2.gz DBCVQNMPLWMADG-YOEHRIQHSA-N 0 0 431.942 -0.152 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1cnn(Cc2ccccn2)c1 ZINC000676555773 1073298635 /nfs/dbraw/zinc/29/86/35/1073298635.db2.gz ANZISRVXOLKZTH-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(OCc3ncnn3C)cc2)CC1 ZINC000676559946 1073299201 /nfs/dbraw/zinc/29/92/01/1073299201.db2.gz BBMPQTMGGQQNHX-UHFFFAOYSA-N 0 0 444.539 -0.493 20 0 IBADRN COC1(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCOCC1 ZINC000676573190 1073299492 /nfs/dbraw/zinc/29/94/92/1073299492.db2.gz CPZDFDKHJIHVSH-HOTGVXAUSA-N 0 0 434.559 -0.137 20 0 IBADRN COC1(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCOCC1 ZINC000676573198 1073299385 /nfs/dbraw/zinc/29/93/85/1073299385.db2.gz CPZDFDKHJIHVSH-HZPDHXFCSA-N 0 0 434.559 -0.137 20 0 IBADRN COC1(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCOCC1 ZINC000676573200 1073299438 /nfs/dbraw/zinc/29/94/38/1073299438.db2.gz CPZDFDKHJIHVSH-IYBDPMFKSA-N 0 0 434.559 -0.137 20 0 IBADRN COC1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCOCC1 ZINC000676573340 1073299366 /nfs/dbraw/zinc/29/93/66/1073299366.db2.gz ZMNZRYWTEPLYAV-UHFFFAOYSA-N 0 0 430.483 -0.410 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCCC2CCN(CC(F)(F)F)CC2)C1 ZINC000676594058 1073299845 /nfs/dbraw/zinc/29/98/45/1073299845.db2.gz GXZWKYQXBKNVLD-UHFFFAOYSA-N 0 0 428.477 -0.225 20 0 IBADRN CS(=O)(=O)CCc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000676597311 1073299374 /nfs/dbraw/zinc/29/93/74/1073299374.db2.gz RGWIVQRGUWGWSO-UHFFFAOYSA-N 0 0 432.568 -0.028 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000676611257 1073299857 /nfs/dbraw/zinc/29/98/57/1073299857.db2.gz NELPOMHDTMTTNH-UHFFFAOYSA-N 0 0 425.558 -0.840 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)CC1 ZINC000676614311 1073299928 /nfs/dbraw/zinc/29/99/28/1073299928.db2.gz SBRKXKMLQYSQCP-UHFFFAOYSA-N 0 0 446.551 -0.837 20 0 IBADRN CS(=O)(=O)CCc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000676614333 1073299934 /nfs/dbraw/zinc/29/99/34/1073299934.db2.gz SNNUZTFHLWEQQR-HNNXBMFYSA-N 0 0 431.536 -0.248 20 0 IBADRN CS(=O)(=O)CCc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000676614335 1073299819 /nfs/dbraw/zinc/29/98/19/1073299819.db2.gz SNNUZTFHLWEQQR-OAHLLOKOSA-N 0 0 431.536 -0.248 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc3c(cnn3C(F)F)c2)CC1 ZINC000676619107 1073300302 /nfs/dbraw/zinc/30/03/02/1073300302.db2.gz TYRKGMOPOVHGJC-UHFFFAOYSA-N 0 0 430.437 -0.197 20 0 IBADRN O=C(Nc1ccc2c(cnn2C(F)F)c1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000676619992 1073300359 /nfs/dbraw/zinc/30/03/59/1073300359.db2.gz MGFYHJXFWKVDNT-UHFFFAOYSA-N 0 0 433.379 -0.273 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(CCS(C)(=O)=O)cc3)CCN2C1=O ZINC000676621332 1073300225 /nfs/dbraw/zinc/30/02/25/1073300225.db2.gz UHJDDOHUAIXOTJ-HNNXBMFYSA-N 0 0 436.490 -0.293 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(CCS(C)(=O)=O)cc3)CCN2C1=O ZINC000676621333 1073300354 /nfs/dbraw/zinc/30/03/54/1073300354.db2.gz UHJDDOHUAIXOTJ-OAHLLOKOSA-N 0 0 436.490 -0.293 20 0 IBADRN C[C@@H](O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000676622056 1073300341 /nfs/dbraw/zinc/30/03/41/1073300341.db2.gz HTXLRGIKUIVDKP-CABCVRRESA-N 0 0 439.538 -0.279 20 0 IBADRN C[C@H](O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000676622057 1073300368 /nfs/dbraw/zinc/30/03/68/1073300368.db2.gz HTXLRGIKUIVDKP-GJZGRUSLSA-N 0 0 439.538 -0.279 20 0 IBADRN C[C@@H](O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000676622058 1073300316 /nfs/dbraw/zinc/30/03/16/1073300316.db2.gz HTXLRGIKUIVDKP-HUUCEWRRSA-N 0 0 439.538 -0.279 20 0 IBADRN C[C@H](O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000676622059 1073300291 /nfs/dbraw/zinc/30/02/91/1073300291.db2.gz HTXLRGIKUIVDKP-LSDHHAIUSA-N 0 0 439.538 -0.279 20 0 IBADRN COC1(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CCOCC1 ZINC000676622783 1073300213 /nfs/dbraw/zinc/30/02/13/1073300213.db2.gz IFLPCJAAQOUWKP-GOSISDBHSA-N 0 0 447.488 -0.049 20 0 IBADRN COC1(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CCOCC1 ZINC000676622784 1073300200 /nfs/dbraw/zinc/30/02/00/1073300200.db2.gz IFLPCJAAQOUWKP-SFHVURJKSA-N 0 0 447.488 -0.049 20 0 IBADRN COC1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOCC1 ZINC000676622832 1073300145 /nfs/dbraw/zinc/30/01/45/1073300145.db2.gz KBUZUXZCGXDXHD-UHFFFAOYSA-N 0 0 441.506 -0.042 20 0 IBADRN COC1(CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CCOCC1 ZINC000676623277 1073300256 /nfs/dbraw/zinc/30/02/56/1073300256.db2.gz YJTOXLKUHBISFO-UHFFFAOYSA-N 0 0 448.520 -0.001 20 0 IBADRN COC1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOCC1 ZINC000676623474 1073300273 /nfs/dbraw/zinc/30/02/73/1073300273.db2.gz ZUDAOSQXPOCYBE-UHFFFAOYSA-N 0 0 441.506 -0.042 20 0 IBADRN Cc1c(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676635174 1073300670 /nfs/dbraw/zinc/30/06/70/1073300670.db2.gz TYOAMRVWHWSQJD-UHFFFAOYSA-N 0 0 437.522 -0.077 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)cc1 ZINC000676635186 1073300727 /nfs/dbraw/zinc/30/07/27/1073300727.db2.gz USZNHNWSZRCBMO-UHFFFAOYSA-N 0 0 445.501 -0.080 20 0 IBADRN Cc1c(C(=O)NCc2cccc(CS(N)(=O)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676637149 1073300753 /nfs/dbraw/zinc/30/07/53/1073300753.db2.gz HJOUIFBTLCQNBZ-UHFFFAOYSA-N 0 0 431.474 -0.341 20 0 IBADRN Cc1c(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676640487 1073301114 /nfs/dbraw/zinc/30/11/14/1073301114.db2.gz HHGCIZPUZSFJLA-UHFFFAOYSA-N 0 0 435.437 -0.343 20 0 IBADRN Cc1c(C(=O)N2CCc3ccc(S(N)(=O)=O)cc32)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676640538 1073301230 /nfs/dbraw/zinc/30/12/30/1073301230.db2.gz JLDCRYFZBHBUQV-UHFFFAOYSA-N 0 0 429.458 -0.209 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)CC1 ZINC000676640572 1073300537 /nfs/dbraw/zinc/30/05/37/1073300537.db2.gz HMEGFWOIGJIIMN-UHFFFAOYSA-N 0 0 429.376 -0.050 20 0 IBADRN Cc1c(C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676642694 1073300739 /nfs/dbraw/zinc/30/07/39/1073300739.db2.gz ZGCRULPOYHFBGY-HZMBPMFUSA-N 0 0 425.449 -0.402 20 0 IBADRN Cc1c(C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676642695 1073300749 /nfs/dbraw/zinc/30/07/49/1073300749.db2.gz ZGCRULPOYHFBGY-IINYFYTJSA-N 0 0 425.449 -0.402 20 0 IBADRN Cc1c(C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676642696 1073300578 /nfs/dbraw/zinc/30/05/78/1073300578.db2.gz ZGCRULPOYHFBGY-QMTHXVAHSA-N 0 0 425.449 -0.402 20 0 IBADRN Cc1c(C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676642697 1073300590 /nfs/dbraw/zinc/30/05/90/1073300590.db2.gz ZGCRULPOYHFBGY-YGRLFVJLSA-N 0 0 425.449 -0.402 20 0 IBADRN Cc1c(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676643606 1073300551 /nfs/dbraw/zinc/30/05/51/1073300551.db2.gz MILXFSZAGMFPQA-BIBXISHDSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1c(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676643607 1073300617 /nfs/dbraw/zinc/30/06/17/1073300617.db2.gz MILXFSZAGMFPQA-GIPWTMENSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1c(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676643608 1073300763 /nfs/dbraw/zinc/30/07/63/1073300763.db2.gz MILXFSZAGMFPQA-PRWKNARSSA-N 0 0 428.449 -0.609 20 0 IBADRN Cc1c(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000676643609 1073301263 /nfs/dbraw/zinc/30/12/63/1073301263.db2.gz MILXFSZAGMFPQA-YBTHPKLGSA-N 0 0 428.449 -0.609 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCc2cc3c(cc2OC(F)F)OCO3)C1 ZINC000676662203 1073301222 /nfs/dbraw/zinc/30/12/22/1073301222.db2.gz NTFSRPWHRBIUSW-UHFFFAOYSA-N 0 0 435.405 -0.360 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@]2(C1)NC(=O)N(C(C)C)C2=O ZINC000676664006 1073301159 /nfs/dbraw/zinc/30/11/59/1073301159.db2.gz QHLQEJVUIQZPMD-APPDUMDISA-N 0 0 427.483 -0.110 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CC[C@@]2(C1)NC(=O)N(C(C)C)C2=O ZINC000676664007 1073301241 /nfs/dbraw/zinc/30/12/41/1073301241.db2.gz QHLQEJVUIQZPMD-GTNSWQLSSA-N 0 0 427.483 -0.110 20 0 IBADRN NC(=O)C1(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCC1 ZINC000676674009 1073301152 /nfs/dbraw/zinc/30/11/52/1073301152.db2.gz ONZQHHJABGHYMN-UHFFFAOYSA-N 0 0 440.497 -0.037 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC2(C(N)=O)CCC2)c1OC ZINC000676682353 1073301126 /nfs/dbraw/zinc/30/11/26/1073301126.db2.gz LQAGAYZXDXBUTD-UHFFFAOYSA-N 0 0 427.479 -0.138 20 0 IBADRN CC(=O)N[C@@H]1Cc2ccccc2N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000676720193 1073301556 /nfs/dbraw/zinc/30/15/56/1073301556.db2.gz PIQMGJKOJVRVJH-MOPGFXCFSA-N 0 0 434.562 -0.115 20 0 IBADRN CC(=O)N[C@H]1Cc2ccccc2N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000676720194 1073301633 /nfs/dbraw/zinc/30/16/33/1073301633.db2.gz PIQMGJKOJVRVJH-OALUTQOASA-N 0 0 434.562 -0.115 20 0 IBADRN CC(=O)N[C@H]1Cc2ccccc2N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000676720195 1073302084 /nfs/dbraw/zinc/30/20/84/1073302084.db2.gz PIQMGJKOJVRVJH-RBUKOAKNSA-N 0 0 434.562 -0.115 20 0 IBADRN CC(=O)N[C@@H]1Cc2ccccc2N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000676720196 1073302095 /nfs/dbraw/zinc/30/20/95/1073302095.db2.gz PIQMGJKOJVRVJH-RTBURBONSA-N 0 0 434.562 -0.115 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000676722415 1073302099 /nfs/dbraw/zinc/30/20/99/1073302099.db2.gz GVMPHMDDRYZYDE-INIZCTEOSA-N 0 0 446.551 -0.459 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000676722416 1073302024 /nfs/dbraw/zinc/30/20/24/1073302024.db2.gz GVMPHMDDRYZYDE-MRXNPFEDSA-N 0 0 446.551 -0.459 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N(C)CCN1CCCS1(=O)=O ZINC000676726984 1073301207 /nfs/dbraw/zinc/30/12/07/1073301207.db2.gz LEEDGXBEYZZHPJ-UHFFFAOYSA-N 0 0 433.498 -0.642 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000676727381 1073301236 /nfs/dbraw/zinc/30/12/36/1073301236.db2.gz SSEYPNNCDNPVPR-UHFFFAOYSA-N 0 0 426.485 -0.903 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000676727727 1073301138 /nfs/dbraw/zinc/30/11/38/1073301138.db2.gz VUDHFSBMSSNWCN-BDJLRTHQSA-N 0 0 449.479 -0.092 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000676727728 1073301221 /nfs/dbraw/zinc/30/12/21/1073301221.db2.gz VUDHFSBMSSNWCN-BZNIZROVSA-N 0 0 449.479 -0.092 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000676727729 1073301145 /nfs/dbraw/zinc/30/11/45/1073301145.db2.gz VUDHFSBMSSNWCN-MEDUHNTESA-N 0 0 449.479 -0.092 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000676727730 1073301098 /nfs/dbraw/zinc/30/10/98/1073301098.db2.gz VUDHFSBMSSNWCN-ZBEGNZNMSA-N 0 0 449.479 -0.092 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N(C)CC(=O)N1CCN(C)CC1 ZINC000676728273 1073301251 /nfs/dbraw/zinc/30/12/51/1073301251.db2.gz NJSZGMNAULLVHU-UHFFFAOYSA-N 0 0 426.485 -0.903 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000676728990 1073301608 /nfs/dbraw/zinc/30/16/08/1073301608.db2.gz MIKSILKVWJKWNH-CYBMUJFWSA-N 0 0 433.498 -0.595 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000676728991 1073301588 /nfs/dbraw/zinc/30/15/88/1073301588.db2.gz MIKSILKVWJKWNH-ZDUSSCGKSA-N 0 0 433.498 -0.595 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)CCN2C1=O ZINC000676730086 1073301647 /nfs/dbraw/zinc/30/16/47/1073301647.db2.gz JNDBFWJRUDMCSV-AWEZNQCLSA-N 0 0 438.452 -0.641 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)Cc3c(C)nn(-c4cncc5nnnn54)c3C)CCN2C1=O ZINC000676730087 1073301625 /nfs/dbraw/zinc/30/16/25/1073301625.db2.gz JNDBFWJRUDMCSV-CQSZACIVSA-N 0 0 438.452 -0.641 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000676732681 1073301511 /nfs/dbraw/zinc/30/15/11/1073301511.db2.gz QKOIMTZRQFNCNR-BDJLRTHQSA-N 0 0 446.507 -0.938 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000676732682 1073301520 /nfs/dbraw/zinc/30/15/20/1073301520.db2.gz QKOIMTZRQFNCNR-BZNIZROVSA-N 0 0 446.507 -0.938 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)C1 ZINC000676732683 1073301620 /nfs/dbraw/zinc/30/16/20/1073301620.db2.gz QKOIMTZRQFNCNR-MEDUHNTESA-N 0 0 446.507 -0.938 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)C1 ZINC000676732684 1073301546 /nfs/dbraw/zinc/30/15/46/1073301546.db2.gz QKOIMTZRQFNCNR-ZBEGNZNMSA-N 0 0 446.507 -0.938 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)C1 ZINC000676734663 1073301580 /nfs/dbraw/zinc/30/15/80/1073301580.db2.gz POUAWWOZHLBOHD-KBPBESRZSA-N 0 0 434.540 -0.158 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)C1 ZINC000676734664 1073301654 /nfs/dbraw/zinc/30/16/54/1073301654.db2.gz POUAWWOZHLBOHD-KGLIPLIRSA-N 0 0 434.540 -0.158 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)C1 ZINC000676734665 1073301639 /nfs/dbraw/zinc/30/16/39/1073301639.db2.gz POUAWWOZHLBOHD-UONOGXRCSA-N 0 0 434.540 -0.158 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)noc3C)C2)C1 ZINC000676734666 1073301594 /nfs/dbraw/zinc/30/15/94/1073301594.db2.gz POUAWWOZHLBOHD-ZIAGYGMSSA-N 0 0 434.540 -0.158 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000676734824 1073301560 /nfs/dbraw/zinc/30/15/60/1073301560.db2.gz FCSNVMXXTYOPTD-HNNXBMFYSA-N 0 0 431.536 -0.220 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000676734825 1073301603 /nfs/dbraw/zinc/30/16/03/1073301603.db2.gz FCSNVMXXTYOPTD-OAHLLOKOSA-N 0 0 431.536 -0.220 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000676739448 1073302468 /nfs/dbraw/zinc/30/24/68/1073302468.db2.gz VIRTZTWFAZHSFY-INIZCTEOSA-N 0 0 448.542 -0.718 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000676739454 1073302512 /nfs/dbraw/zinc/30/25/12/1073302512.db2.gz VIRTZTWFAZHSFY-MRXNPFEDSA-N 0 0 448.542 -0.718 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000676740502 1073302336 /nfs/dbraw/zinc/30/23/36/1073302336.db2.gz KJESDGBDRUXZJP-KRWDZBQOSA-N 0 0 433.552 -0.290 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000676740504 1073302441 /nfs/dbraw/zinc/30/24/41/1073302441.db2.gz KJESDGBDRUXZJP-QGZVFWFLSA-N 0 0 433.552 -0.290 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)C1 ZINC000676744436 1073302091 /nfs/dbraw/zinc/30/20/91/1073302091.db2.gz BGOJSVAOLXHUQO-CYBMUJFWSA-N 0 0 444.535 -0.476 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2C)C1 ZINC000676744437 1073302087 /nfs/dbraw/zinc/30/20/87/1073302087.db2.gz BGOJSVAOLXHUQO-ZDUSSCGKSA-N 0 0 444.535 -0.476 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000676744460 1073302107 /nfs/dbraw/zinc/30/21/07/1073302107.db2.gz BSVJEJVHAVZHJV-AWEZNQCLSA-N 0 0 432.524 -0.073 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000676744461 1073301992 /nfs/dbraw/zinc/30/19/92/1073301992.db2.gz BSVJEJVHAVZHJV-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1 ZINC000676745544 1073302030 /nfs/dbraw/zinc/30/20/30/1073302030.db2.gz UUYOVHHLLJLKJX-GFCCVEGCSA-N 0 0 425.496 -0.527 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(-n3nnnc3SC)c2)C1 ZINC000676745545 1073302069 /nfs/dbraw/zinc/30/20/69/1073302069.db2.gz UUYOVHHLLJLKJX-LBPRGKRZSA-N 0 0 425.496 -0.527 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1 ZINC000676745698 1073302075 /nfs/dbraw/zinc/30/20/75/1073302075.db2.gz WQNIEYQWWPQIDY-CYBMUJFWSA-N 0 0 426.495 -0.449 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(C)cc2OCC(=O)N(C)C)C1 ZINC000676745699 1073301999 /nfs/dbraw/zinc/30/19/99/1073301999.db2.gz WQNIEYQWWPQIDY-ZDUSSCGKSA-N 0 0 426.495 -0.449 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000676745714 1073302078 /nfs/dbraw/zinc/30/20/78/1073302078.db2.gz XATOQFCLJNCUIY-HNNXBMFYSA-N 0 0 444.535 -0.440 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000676745715 1073302017 /nfs/dbraw/zinc/30/20/17/1073302017.db2.gz XATOQFCLJNCUIY-OAHLLOKOSA-N 0 0 444.535 -0.440 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)C1 ZINC000676747880 1073302102 /nfs/dbraw/zinc/30/21/02/1073302102.db2.gz JMZVIGDUJYNEEQ-HNNXBMFYSA-N 0 0 440.547 -0.036 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCSCC3)c2)C1 ZINC000676747882 1073302052 /nfs/dbraw/zinc/30/20/52/1073302052.db2.gz JMZVIGDUJYNEEQ-OAHLLOKOSA-N 0 0 440.547 -0.036 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1OC ZINC000676747942 1073302042 /nfs/dbraw/zinc/30/20/42/1073302042.db2.gz KYCIPPZZSVKSST-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1OC ZINC000676747943 1073302060 /nfs/dbraw/zinc/30/20/60/1073302060.db2.gz KYCIPPZZSVKSST-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)C1 ZINC000676747964 1073302531 /nfs/dbraw/zinc/30/25/31/1073302531.db2.gz LUXQKEVIKFMTKJ-HNNXBMFYSA-N 0 0 435.506 -0.182 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc3ccn(CC(=O)N(C)C)c3c2)C1 ZINC000676747965 1073302537 /nfs/dbraw/zinc/30/25/37/1073302537.db2.gz LUXQKEVIKFMTKJ-OAHLLOKOSA-N 0 0 435.506 -0.182 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)c1 ZINC000676748830 1072728533 /nfs/dbraw/zinc/72/85/33/1072728533.db2.gz SFBIYFRTRCDTJV-HNNXBMFYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000676748831 1072728402 /nfs/dbraw/zinc/72/84/02/1072728402.db2.gz SFBIYFRTRCDTJV-OAHLLOKOSA-N 0 0 446.551 -0.146 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@H](S(=O)(=O)NC)C2)c1 ZINC000676748874 1072728367 /nfs/dbraw/zinc/72/83/67/1072728367.db2.gz UJLHSMWQUZXOPN-AWEZNQCLSA-N 0 0 432.524 -0.073 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@@H](S(=O)(=O)NC)C2)c1 ZINC000676748876 1072728394 /nfs/dbraw/zinc/72/83/94/1072728394.db2.gz UJLHSMWQUZXOPN-CQSZACIVSA-N 0 0 432.524 -0.073 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)C1 ZINC000676748886 1072728498 /nfs/dbraw/zinc/72/84/98/1072728498.db2.gz UNTQGGKVUCJEEE-AWEZNQCLSA-N 0 0 437.522 -0.837 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)C1 ZINC000676748887 1072728418 /nfs/dbraw/zinc/72/84/18/1072728418.db2.gz UNTQGGKVUCJEEE-CQSZACIVSA-N 0 0 437.522 -0.837 20 0 IBADRN COC[C@H](NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C1CC1 ZINC000676749412 1072728513 /nfs/dbraw/zinc/72/85/13/1072728513.db2.gz JIWVGDWLJCLBCP-HNNXBMFYSA-N 0 0 425.511 -0.357 20 0 IBADRN COC[C@@H](NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C1CC1 ZINC000676749413 1072728293 /nfs/dbraw/zinc/72/82/93/1072728293.db2.gz JIWVGDWLJCLBCP-OAHLLOKOSA-N 0 0 425.511 -0.357 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000676757780 1072728471 /nfs/dbraw/zinc/72/84/71/1072728471.db2.gz RTNFEYRYCKONPL-AWEZNQCLSA-N 0 0 446.555 -0.681 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000676757797 1072728534 /nfs/dbraw/zinc/72/85/34/1072728534.db2.gz RTNFEYRYCKONPL-CQSZACIVSA-N 0 0 446.555 -0.681 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000676759965 1072728488 /nfs/dbraw/zinc/72/84/88/1072728488.db2.gz JJBVVYSYLZOEJH-GXTWGEPZSA-N 0 0 447.535 -0.335 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000676759966 1072728450 /nfs/dbraw/zinc/72/84/50/1072728450.db2.gz JJBVVYSYLZOEJH-JSGCOSHPSA-N 0 0 447.535 -0.335 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000676760122 1072728262 /nfs/dbraw/zinc/72/82/62/1072728262.db2.gz SSAVNLLPTCTINQ-MRVPVSSYSA-N 0 0 446.370 -0.061 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000676760123 1072728332 /nfs/dbraw/zinc/72/83/32/1072728332.db2.gz SSAVNLLPTCTINQ-QMMMGPOBSA-N 0 0 446.370 -0.061 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC000676760137 1072728528 /nfs/dbraw/zinc/72/85/28/1072728528.db2.gz UDAQJZIWUTZCRP-KRWDZBQOSA-N 0 0 444.579 -0.549 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC000676760138 1072728352 /nfs/dbraw/zinc/72/83/52/1072728352.db2.gz UDAQJZIWUTZCRP-QGZVFWFLSA-N 0 0 444.579 -0.549 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000676760694 1072728440 /nfs/dbraw/zinc/72/84/40/1072728440.db2.gz AJMXLUITYNZQDE-NHYWBVRUSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000676760695 1072728378 /nfs/dbraw/zinc/72/83/78/1072728378.db2.gz AJMXLUITYNZQDE-XHDPSFHLSA-N 0 0 432.524 -0.538 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1 ZINC000676761174 1072728837 /nfs/dbraw/zinc/72/88/37/1072728837.db2.gz OZEUNELMACBRTI-AWEZNQCLSA-N 0 0 447.535 -0.520 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1 ZINC000676761175 1072728816 /nfs/dbraw/zinc/72/88/16/1072728816.db2.gz OZEUNELMACBRTI-CQSZACIVSA-N 0 0 447.535 -0.520 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)[C@H]2CCCN2C(=O)CNC(C)=O)CC1 ZINC000676761761 1072728790 /nfs/dbraw/zinc/72/87/90/1072728790.db2.gz HAUKLXCLTQONFC-GOSISDBHSA-N 0 0 445.520 -0.095 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)CC1 ZINC000676761764 1072728919 /nfs/dbraw/zinc/72/89/19/1072728919.db2.gz HAUKLXCLTQONFC-SFHVURJKSA-N 0 0 445.520 -0.095 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000676763041 1072728846 /nfs/dbraw/zinc/72/88/46/1072728846.db2.gz ILRJZSMKMLDEMN-CYBMUJFWSA-N 0 0 433.508 -0.724 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000676763043 1072728933 /nfs/dbraw/zinc/72/89/33/1072728933.db2.gz ILRJZSMKMLDEMN-ZDUSSCGKSA-N 0 0 433.508 -0.724 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCc2c[nH]nc2C1 ZINC000676779877 1072728994 /nfs/dbraw/zinc/72/89/94/1072728994.db2.gz DQZUOIDABCYLBX-UHFFFAOYSA-N 0 0 449.489 -0.037 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCc3c[nH]nc3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000676779883 1072728944 /nfs/dbraw/zinc/72/89/44/1072728944.db2.gz FBGPBGDWLMREBD-UHFFFAOYSA-N 0 0 449.489 -0.037 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCc4c[nH]nc4C3)cn2)CC1 ZINC000676780223 1072728798 /nfs/dbraw/zinc/72/87/98/1072728798.db2.gz ITKXPWQLXPSNNW-UHFFFAOYSA-N 0 0 433.494 -0.590 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCc4c[nH]nc4C3)cc2)CC1 ZINC000676780987 1072728779 /nfs/dbraw/zinc/72/87/79/1072728779.db2.gz YGHPERYTPPZRSQ-UHFFFAOYSA-N 0 0 432.506 -0.131 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCc2c[nH]nc2C1 ZINC000676781661 1072728887 /nfs/dbraw/zinc/72/88/87/1072728887.db2.gz XOVZKRXBNGWVOL-GOSISDBHSA-N 0 0 425.445 -0.053 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCc2c[nH]nc2C1 ZINC000676781662 1072728804 /nfs/dbraw/zinc/72/88/04/1072728804.db2.gz XOVZKRXBNGWVOL-SFHVURJKSA-N 0 0 425.445 -0.053 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCc2c[nH]nc2C1 ZINC000676781676 1072728954 /nfs/dbraw/zinc/72/89/54/1072728954.db2.gz YKSYCZLIYDQBHF-UHFFFAOYSA-N 0 0 426.477 -0.005 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCc2c[nH]nc2C1 ZINC000676781897 1072728822 /nfs/dbraw/zinc/72/88/22/1072728822.db2.gz CKMRRVDYUTZJGE-UHFFFAOYSA-N 0 0 432.462 -0.946 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCc4c[nH]nc4C3)c2)CC1 ZINC000676782070 1072729018 /nfs/dbraw/zinc/72/90/18/1072729018.db2.gz INIOVXVCCJBKJK-UHFFFAOYSA-N 0 0 432.506 -0.131 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N1CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC1)CC2 ZINC000676796814 1072729010 /nfs/dbraw/zinc/72/90/10/1072729010.db2.gz IEEKVOZUKZYHJM-IIAWOOMASA-N 0 0 426.543 -0.353 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N1CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC1)CC2 ZINC000676796815 1072728974 /nfs/dbraw/zinc/72/89/74/1072728974.db2.gz IEEKVOZUKZYHJM-IJEWVQPXSA-N 0 0 426.543 -0.353 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N1CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC1)CC2 ZINC000676796816 1072728966 /nfs/dbraw/zinc/72/89/66/1072728966.db2.gz IEEKVOZUKZYHJM-LZWOXQAQSA-N 0 0 426.543 -0.353 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N1CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC1)CC2 ZINC000676796817 1072728894 /nfs/dbraw/zinc/72/88/94/1072728894.db2.gz IEEKVOZUKZYHJM-OFQRWUPVSA-N 0 0 426.543 -0.353 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)NCCS(=O)(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000676802256 1072729415 /nfs/dbraw/zinc/72/94/15/1072729415.db2.gz XHVRYORSNMWYBJ-INIZCTEOSA-N 0 0 433.538 -0.188 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)NCCS(=O)(=O)N1CCN(c3ccccn3)CC1)CC2 ZINC000676802257 1072729478 /nfs/dbraw/zinc/72/94/78/1072729478.db2.gz XHVRYORSNMWYBJ-MRXNPFEDSA-N 0 0 433.538 -0.188 20 0 IBADRN Nc1c(C(=O)CN2CCC[C@H](CNS(=O)(=O)C3CC3)C2)c(=O)[nH]c(=O)n1C1CC1 ZINC000676802500 1072729348 /nfs/dbraw/zinc/72/93/48/1072729348.db2.gz FIIPJCFBNLMUGJ-LLVKDONJSA-N 0 0 425.511 -0.158 20 0 IBADRN Nc1c(C(=O)CN2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)c(=O)[nH]c(=O)n1C1CC1 ZINC000676802501 1072729503 /nfs/dbraw/zinc/72/95/03/1072729503.db2.gz FIIPJCFBNLMUGJ-NSHDSACASA-N 0 0 425.511 -0.158 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC2CCN(c3cccs3)CC2)CC1 ZINC000676832901 1072729494 /nfs/dbraw/zinc/72/94/94/1072729494.db2.gz CCSGYSABQHGMNZ-UHFFFAOYSA-N 0 0 432.510 -0.809 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCN(c3cccs3)CC2)CC1)N1CCOCC1 ZINC000676841044 1072729524 /nfs/dbraw/zinc/72/95/24/1072729524.db2.gz GAEXRHRNWJNKOZ-UHFFFAOYSA-N 0 0 449.577 -0.164 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)NC2CCN(c3cccs3)CC2)CC1 ZINC000676842314 1072729570 /nfs/dbraw/zinc/72/95/70/1072729570.db2.gz UZENFNFVEPSWCL-UHFFFAOYSA-N 0 0 433.534 -0.218 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H](CO)c2nc(-c3ccccc3)no2)CC1 ZINC000676853898 1072729447 /nfs/dbraw/zinc/72/94/47/1072729447.db2.gz PLZZZEMZIFPYEM-CYBMUJFWSA-N 0 0 431.496 -0.818 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H](CO)c2nc(-c3ccccc3)no2)CC1 ZINC000676853899 1072729431 /nfs/dbraw/zinc/72/94/31/1072729431.db2.gz PLZZZEMZIFPYEM-ZDUSSCGKSA-N 0 0 431.496 -0.818 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN([C@@H](CO)c3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000676856060 1072729529 /nfs/dbraw/zinc/72/95/29/1072729529.db2.gz OAHXGUXQLBHWTL-HNNXBMFYSA-N 0 0 442.925 -0.223 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN([C@H](CO)c3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000676856067 1072729516 /nfs/dbraw/zinc/72/95/16/1072729516.db2.gz OAHXGUXQLBHWTL-OAHLLOKOSA-N 0 0 442.925 -0.223 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC2CCN(c3cccs3)CC2)CC1 ZINC000676856564 1072729553 /nfs/dbraw/zinc/72/95/53/1072729553.db2.gz KHQFRWMVNFIZKW-UHFFFAOYSA-N 0 0 449.577 -0.164 20 0 IBADRN Cc1nc(N2CCN(CC(=O)N(C)C)CC2)cc(N2CCN(CC(=O)N(C)C)CC2)n1 ZINC000676876671 1072729541 /nfs/dbraw/zinc/72/95/41/1072729541.db2.gz BQWXGZZFGPQKFY-UHFFFAOYSA-N 0 0 432.573 -0.795 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1OC ZINC000676885422 1072729956 /nfs/dbraw/zinc/72/99/56/1072729956.db2.gz PYWIDEYBQBGJPU-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@@H](CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000676898930 1072730130 /nfs/dbraw/zinc/73/01/30/1072730130.db2.gz XXNZOPXVEVSDSY-AWEZNQCLSA-N 0 0 440.522 -0.148 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@H](CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000676898931 1072730035 /nfs/dbraw/zinc/73/00/35/1072730035.db2.gz XXNZOPXVEVSDSY-CQSZACIVSA-N 0 0 440.522 -0.148 20 0 IBADRN Cn1cc([C@H](CNC(=O)c2ccc3nnnn3c2)NC(=O)c2ccc3nnnn3c2)cn1 ZINC000676913745 1072730088 /nfs/dbraw/zinc/73/00/88/1072730088.db2.gz OYSBXEXVTLOXNV-AWEZNQCLSA-N 0 0 432.408 -0.803 20 0 IBADRN Cn1cc([C@@H](CNC(=O)c2ccc3nnnn3c2)NC(=O)c2ccc3nnnn3c2)cn1 ZINC000676913746 1072730146 /nfs/dbraw/zinc/73/01/46/1072730146.db2.gz OYSBXEXVTLOXNV-CQSZACIVSA-N 0 0 432.408 -0.803 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000676917626 1072730067 /nfs/dbraw/zinc/73/00/67/1072730067.db2.gz GLJTUZFJLNBORH-GFCCVEGCSA-N 0 0 425.554 -0.393 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000676917628 1072730219 /nfs/dbraw/zinc/73/02/19/1072730219.db2.gz GLJTUZFJLNBORH-LBPRGKRZSA-N 0 0 425.554 -0.393 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1=O ZINC000676929383 1072729969 /nfs/dbraw/zinc/72/99/69/1072729969.db2.gz WHEINYPXEKDQTI-JKDFXYPNSA-N 0 0 444.535 -0.098 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1=O ZINC000676929384 1072730215 /nfs/dbraw/zinc/73/02/15/1072730215.db2.gz WHEINYPXEKDQTI-PEBVRCNWSA-N 0 0 444.535 -0.098 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1=O ZINC000676929385 1072730164 /nfs/dbraw/zinc/73/01/64/1072730164.db2.gz WHEINYPXEKDQTI-PRXAMGSTSA-N 0 0 444.535 -0.098 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1=O ZINC000676929386 1072730211 /nfs/dbraw/zinc/73/02/11/1072730211.db2.gz WHEINYPXEKDQTI-QFSBIZTOSA-N 0 0 444.535 -0.098 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000676931508 1072730640 /nfs/dbraw/zinc/73/06/40/1072730640.db2.gz XIDFPLHREXAUET-CVEARBPZSA-N 0 0 446.595 -0.412 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000676931509 1072730749 /nfs/dbraw/zinc/73/07/49/1072730749.db2.gz XIDFPLHREXAUET-HOTGVXAUSA-N 0 0 446.595 -0.412 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000676931511 1072730691 /nfs/dbraw/zinc/73/06/91/1072730691.db2.gz XIDFPLHREXAUET-HZPDHXFCSA-N 0 0 446.595 -0.412 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000676931512 1072730559 /nfs/dbraw/zinc/73/05/59/1072730559.db2.gz XIDFPLHREXAUET-JKSUJKDBSA-N 0 0 446.595 -0.412 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1ccc(Cl)s1 ZINC000676946492 1072730822 /nfs/dbraw/zinc/73/08/22/1072730822.db2.gz RORWUFPIVAIISZ-UHFFFAOYSA-N 0 0 433.961 -0.248 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCNS(=O)(=O)c1ccc(Cl)s1 ZINC000676946690 1072730789 /nfs/dbraw/zinc/73/07/89/1072730789.db2.gz WRNZFXKCSWUMJU-LLVKDONJSA-N 0 0 436.943 -0.077 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCNS(=O)(=O)c1ccc(Cl)s1 ZINC000676946691 1072730813 /nfs/dbraw/zinc/73/08/13/1072730813.db2.gz WRNZFXKCSWUMJU-NSHDSACASA-N 0 0 436.943 -0.077 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000676947138 1072730734 /nfs/dbraw/zinc/73/07/34/1072730734.db2.gz HWAFBHDJUQKEAJ-INIZCTEOSA-N 0 0 441.488 -0.321 20 0 IBADRN C[C@@H](O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000676996716 1072730679 /nfs/dbraw/zinc/73/06/79/1072730679.db2.gz HUXUNENSBBPHCK-GDBMZVCRSA-N 0 0 439.538 -0.136 20 0 IBADRN C[C@H](O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000676996718 1072730602 /nfs/dbraw/zinc/73/06/02/1072730602.db2.gz HUXUNENSBBPHCK-GOEBONIOSA-N 0 0 439.538 -0.136 20 0 IBADRN C[C@H](O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000676996720 1072730491 /nfs/dbraw/zinc/73/04/91/1072730491.db2.gz HUXUNENSBBPHCK-HOCLYGCPSA-N 0 0 439.538 -0.136 20 0 IBADRN C[C@@H](O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000676996722 1072730626 /nfs/dbraw/zinc/73/06/26/1072730626.db2.gz HUXUNENSBBPHCK-ZBFHGGJFSA-N 0 0 439.538 -0.136 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)cc1 ZINC000677002181 1072730666 /nfs/dbraw/zinc/73/06/66/1072730666.db2.gz YWGYQAHDKNHHTA-LLVKDONJSA-N 0 0 434.517 -0.275 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)cc1 ZINC000677002182 1072730526 /nfs/dbraw/zinc/73/05/26/1072730526.db2.gz YWGYQAHDKNHHTA-NSHDSACASA-N 0 0 434.517 -0.275 20 0 IBADRN COc1cc(NCCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(OC)c1 ZINC000677010714 1072730768 /nfs/dbraw/zinc/73/07/68/1072730768.db2.gz IKIGDADIKJTFPF-UHFFFAOYSA-N 0 0 429.543 -0.008 20 0 IBADRN CC(C)[C@@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000677011004 1072730546 /nfs/dbraw/zinc/73/05/46/1072730546.db2.gz PKZWRUZJAZWTDS-GFCCVEGCSA-N 0 0 441.518 -0.572 20 0 IBADRN CC(C)[C@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1nc(-c2nc[nH]n2)no1 ZINC000677011005 1072730779 /nfs/dbraw/zinc/73/07/79/1072730779.db2.gz PKZWRUZJAZWTDS-LBPRGKRZSA-N 0 0 441.518 -0.572 20 0 IBADRN Cc1nnc(CN2CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)[nH]1 ZINC000677047420 1072731215 /nfs/dbraw/zinc/73/12/15/1072731215.db2.gz ZPYZUEJAVHEJMA-DLBZAZTESA-N 0 0 440.570 -0.575 20 0 IBADRN Cc1nnc(CN2CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)[nH]1 ZINC000677047421 1072731252 /nfs/dbraw/zinc/73/12/52/1072731252.db2.gz ZPYZUEJAVHEJMA-IAGOWNOFSA-N 0 0 440.570 -0.575 20 0 IBADRN Cc1nnc(CN2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)[nH]1 ZINC000677047422 1072731280 /nfs/dbraw/zinc/73/12/80/1072731280.db2.gz ZPYZUEJAVHEJMA-IRXDYDNUSA-N 0 0 440.570 -0.575 20 0 IBADRN Cc1nnc(CN2CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)[nH]1 ZINC000677047423 1072731165 /nfs/dbraw/zinc/73/11/65/1072731165.db2.gz ZPYZUEJAVHEJMA-SJORKVTESA-N 0 0 440.570 -0.575 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000677057351 1072731102 /nfs/dbraw/zinc/73/11/02/1072731102.db2.gz NKCSEYXNZPBXBE-CYBMUJFWSA-N 0 0 434.540 -0.800 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000677058496 1072731199 /nfs/dbraw/zinc/73/11/99/1072731199.db2.gz YSJFTIXQVJIEKW-CYBMUJFWSA-N 0 0 434.540 -0.943 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000677058498 1072731227 /nfs/dbraw/zinc/73/12/27/1072731227.db2.gz YSJFTIXQVJIEKW-ZDUSSCGKSA-N 0 0 434.540 -0.943 20 0 IBADRN CCc1nn(C)c(CC)c1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000677138074 1072731308 /nfs/dbraw/zinc/73/13/08/1072731308.db2.gz ZYINCGJDCXLDRV-UHFFFAOYSA-N 0 0 427.527 -0.763 20 0 IBADRN CCc1nn(C)c(CC)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000677138189 1072731208 /nfs/dbraw/zinc/73/12/08/1072731208.db2.gz AZXJBDBJAQJUCY-UHFFFAOYSA-N 0 0 435.572 -0.572 20 0 IBADRN CCc1nn(C)c(CC)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000677138309 1072731271 /nfs/dbraw/zinc/73/12/71/1072731271.db2.gz IIQUSNKLSBGVMV-UHFFFAOYSA-N 0 0 448.615 -0.657 20 0 IBADRN CCc1nn(C)c(CC)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000677139688 1072731155 /nfs/dbraw/zinc/73/11/55/1072731155.db2.gz JUEDLTYJKIVCAA-UHFFFAOYSA-N 0 0 425.511 -0.473 20 0 IBADRN CCc1c(C(=O)NCc2cccc(CS(N)(=O)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677140062 1072731239 /nfs/dbraw/zinc/73/12/39/1072731239.db2.gz PYFONITYKXWOAE-UHFFFAOYSA-N 0 0 445.501 -0.087 20 0 IBADRN CCc1c(C(=O)N2CCC(C(=O)NCCOC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677145492 1072731245 /nfs/dbraw/zinc/73/12/45/1072731245.db2.gz YLUQTADRFXQLNT-UHFFFAOYSA-N 0 0 431.493 -0.191 20 0 IBADRN CCc1c(C(=O)NCc2cc(S(N)(=O)=O)ccc2F)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677147349 1072731695 /nfs/dbraw/zinc/73/16/95/1072731695.db2.gz MDGACPLRXCDQKS-UHFFFAOYSA-N 0 0 449.464 -0.089 20 0 IBADRN CCc1c(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677149650 1072731798 /nfs/dbraw/zinc/73/17/98/1072731798.db2.gz PSIZKOWMWXFSOP-FIKIJFGZSA-N 0 0 442.476 -0.355 20 0 IBADRN CCc1c(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677149651 1072731760 /nfs/dbraw/zinc/73/17/60/1072731760.db2.gz PSIZKOWMWXFSOP-MQJDWESPSA-N 0 0 442.476 -0.355 20 0 IBADRN CCc1c(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677149652 1072731628 /nfs/dbraw/zinc/73/16/28/1072731628.db2.gz PSIZKOWMWXFSOP-WIUDPPPLSA-N 0 0 442.476 -0.355 20 0 IBADRN CCc1c(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677149657 1072731767 /nfs/dbraw/zinc/73/17/67/1072731767.db2.gz PSIZKOWMWXFSOP-WSVYEEACSA-N 0 0 442.476 -0.355 20 0 IBADRN CCc1c(C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677150314 1072731754 /nfs/dbraw/zinc/73/17/54/1072731754.db2.gz KOWVWTMOQBCNDS-ABAIWWIYSA-N 0 0 439.476 -0.148 20 0 IBADRN CCc1c(C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677150319 1072731786 /nfs/dbraw/zinc/73/17/86/1072731786.db2.gz KOWVWTMOQBCNDS-IAQYHMDHSA-N 0 0 439.476 -0.148 20 0 IBADRN CCc1c(C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677150321 1072731586 /nfs/dbraw/zinc/73/15/86/1072731586.db2.gz KOWVWTMOQBCNDS-NHYWBVRUSA-N 0 0 439.476 -0.148 20 0 IBADRN CCc1c(C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677150324 1072731554 /nfs/dbraw/zinc/73/15/54/1072731554.db2.gz KOWVWTMOQBCNDS-XHDPSFHLSA-N 0 0 439.476 -0.148 20 0 IBADRN CCc1c(C(=O)N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677150343 1072731802 /nfs/dbraw/zinc/73/18/02/1072731802.db2.gz LJXWVSHZCXLEMN-CYBMUJFWSA-N 0 0 428.449 -0.697 20 0 IBADRN CCc1c(C(=O)N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000677150344 1072731741 /nfs/dbraw/zinc/73/17/41/1072731741.db2.gz LJXWVSHZCXLEMN-ZDUSSCGKSA-N 0 0 428.449 -0.697 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000677157807 1072731790 /nfs/dbraw/zinc/73/17/90/1072731790.db2.gz YXSUOLILOGWIRD-UHFFFAOYSA-N 0 0 437.522 -0.499 20 0 IBADRN Cc1c(C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)cccc1S(N)(=O)=O ZINC000677161311 1072731779 /nfs/dbraw/zinc/73/17/79/1072731779.db2.gz KASQDELWLIHTSD-INIZCTEOSA-N 0 0 432.502 -0.025 20 0 IBADRN Cc1c(C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)cccc1S(N)(=O)=O ZINC000677161312 1072731608 /nfs/dbraw/zinc/73/16/08/1072731608.db2.gz KASQDELWLIHTSD-MRXNPFEDSA-N 0 0 432.502 -0.025 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3[C@@H]4CCO[C@@H]4C34CCC4)CC2)CC1 ZINC000677163543 1072731810 /nfs/dbraw/zinc/73/18/10/1072731810.db2.gz XEFGAPMHXXCUHG-BBWFWOEESA-N 0 0 427.571 -0.237 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3[C@H]4CCO[C@H]4C34CCC4)CC2)CC1 ZINC000677163547 1072731806 /nfs/dbraw/zinc/73/18/06/1072731806.db2.gz XEFGAPMHXXCUHG-BRWVUGGUSA-N 0 0 427.571 -0.237 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3[C@@H]4CCO[C@H]4C34CCC4)CC2)CC1 ZINC000677163548 1072731571 /nfs/dbraw/zinc/73/15/71/1072731571.db2.gz XEFGAPMHXXCUHG-GVDBMIGSSA-N 0 0 427.571 -0.237 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3[C@H]4CCO[C@@H]4C34CCC4)CC2)CC1 ZINC000677163549 1072731771 /nfs/dbraw/zinc/73/17/71/1072731771.db2.gz XEFGAPMHXXCUHG-ZACQAIPSSA-N 0 0 427.571 -0.237 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000677168220 1072732065 /nfs/dbraw/zinc/73/20/65/1072732065.db2.gz WMVZDMMRGNLHNX-UHFFFAOYSA-N 0 0 434.430 -0.589 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H](C)S(C)(=O)=O)c1 ZINC000677184414 1072732149 /nfs/dbraw/zinc/73/21/49/1072732149.db2.gz MQQIFQQPXGJMER-CYBMUJFWSA-N 0 0 433.552 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H](C)S(C)(=O)=O)c1 ZINC000677184415 1072732215 /nfs/dbraw/zinc/73/22/15/1072732215.db2.gz MQQIFQQPXGJMER-ZDUSSCGKSA-N 0 0 433.552 -0.004 20 0 IBADRN Cc1c2cc(C(=O)NCCn3ncnn3)cnc2sc1C(=O)NCCn1ncnn1 ZINC000677206445 1072732227 /nfs/dbraw/zinc/73/22/27/1072732227.db2.gz GBSTXGDMGHPTBK-UHFFFAOYSA-N 0 0 427.454 -0.562 20 0 IBADRN CCn1nc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C ZINC000677208507 1072732300 /nfs/dbraw/zinc/73/23/00/1072732300.db2.gz LTCLITFXUKHZDB-UHFFFAOYSA-N 0 0 428.515 -0.820 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000677208819 1072732179 /nfs/dbraw/zinc/73/21/79/1072732179.db2.gz VBWMHRHBYMURDX-BETUJISGSA-N 0 0 442.542 -0.526 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000677208820 1072732311 /nfs/dbraw/zinc/73/23/11/1072732311.db2.gz VBWMHRHBYMURDX-CHWSQXEVSA-N 0 0 442.542 -0.526 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000677208821 1072732307 /nfs/dbraw/zinc/73/23/07/1072732307.db2.gz VBWMHRHBYMURDX-STQMWFEESA-N 0 0 442.542 -0.526 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@@H]1C ZINC000677216352 1072732277 /nfs/dbraw/zinc/73/22/77/1072732277.db2.gz BAUQYKNNHHDQAF-HNNXBMFYSA-N 0 0 438.554 -0.346 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@H]1C ZINC000677216353 1072732323 /nfs/dbraw/zinc/73/23/23/1072732323.db2.gz BAUQYKNNHHDQAF-OAHLLOKOSA-N 0 0 438.554 -0.346 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)C(=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC000677217317 1072732219 /nfs/dbraw/zinc/73/22/19/1072732219.db2.gz QILGXBTWWMTNOP-UHFFFAOYSA-N 0 0 438.444 -0.145 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H]1C ZINC000677218015 1072732095 /nfs/dbraw/zinc/73/20/95/1072732095.db2.gz GXMHGVHGBZWRPU-AWEZNQCLSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H]1C ZINC000677218018 1072732194 /nfs/dbraw/zinc/73/21/94/1072732194.db2.gz GXMHGVHGBZWRPU-CQSZACIVSA-N 0 0 437.522 -0.702 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc2)CC1 ZINC000677220487 1072732247 /nfs/dbraw/zinc/73/22/47/1072732247.db2.gz MEFGUIJMRBWYPB-HNNXBMFYSA-N 0 0 438.550 -0.119 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc2)CC1 ZINC000677220488 1072732267 /nfs/dbraw/zinc/73/22/67/1072732267.db2.gz MEFGUIJMRBWYPB-OAHLLOKOSA-N 0 0 438.550 -0.119 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC000677221763 1072732236 /nfs/dbraw/zinc/73/22/36/1072732236.db2.gz VQUJBQMLNOEKBS-LLVKDONJSA-N 0 0 435.524 -0.177 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O ZINC000677221765 1072732261 /nfs/dbraw/zinc/73/22/61/1072732261.db2.gz VQUJBQMLNOEKBS-NSHDSACASA-N 0 0 435.524 -0.177 20 0 IBADRN O=C(CN1CCN(c2cc(N3CCN(CC(=O)NC4CC4)CC3)ncn2)CC1)NC1CC1 ZINC000677232634 1072732292 /nfs/dbraw/zinc/73/22/92/1072732292.db2.gz YXYVUKUNACLSDE-UHFFFAOYSA-N 0 0 442.568 -0.722 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NCc2cc(=O)n(C)c(=O)n2C)c1 ZINC000677233240 1072732163 /nfs/dbraw/zinc/73/21/63/1072732163.db2.gz FTICRTDQHMEIGN-UHFFFAOYSA-N 0 0 437.522 -0.217 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000677256646 1072732314 /nfs/dbraw/zinc/73/23/14/1072732314.db2.gz LRVPUBDOPHHSRF-UHFFFAOYSA-N 0 0 428.559 -0.051 20 0 IBADRN CCc1nn(C)c(CC)c1CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000677257137 1072732758 /nfs/dbraw/zinc/73/27/58/1072732758.db2.gz CJFZEFZNGJVJNO-UHFFFAOYSA-N 0 0 441.602 -0.136 20 0 IBADRN O=C(NC[C@@]1(O)CCOC1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000677261811 1072732805 /nfs/dbraw/zinc/73/28/05/1072732805.db2.gz MEJNHVWCUPUWNV-BBRMVZONSA-N 0 0 433.508 -0.575 20 0 IBADRN O=C(NC[C@@]1(O)CCOC1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000677261813 1072732808 /nfs/dbraw/zinc/73/28/08/1072732808.db2.gz MEJNHVWCUPUWNV-CJNGLKHVSA-N 0 0 433.508 -0.575 20 0 IBADRN O=C(NC[C@]1(O)CCOC1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000677261814 1072732717 /nfs/dbraw/zinc/73/27/17/1072732717.db2.gz MEJNHVWCUPUWNV-CZUORRHYSA-N 0 0 433.508 -0.575 20 0 IBADRN O=C(NC[C@]1(O)CCOC1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000677261816 1072732730 /nfs/dbraw/zinc/73/27/30/1072732730.db2.gz MEJNHVWCUPUWNV-XJKSGUPXSA-N 0 0 433.508 -0.575 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NCC2(CO)COC2)c1 ZINC000677267342 1072732814 /nfs/dbraw/zinc/73/28/14/1072732814.db2.gz BNGSTFBVFGFYFI-UHFFFAOYSA-N 0 0 428.511 -0.096 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N2CCC[C@@H](O)C2)c1 ZINC000677271062 1072732664 /nfs/dbraw/zinc/73/26/64/1072732664.db2.gz BYTRGPSYXFGVDH-CQSZACIVSA-N 0 0 440.522 -0.305 20 0 IBADRN C[C@@H](CO)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000677271301 1072732738 /nfs/dbraw/zinc/73/27/38/1072732738.db2.gz KZFQIMWQSCXTDI-CYBMUJFWSA-N 0 0 428.511 -0.543 20 0 IBADRN C[C@H](CO)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000677271304 1072732696 /nfs/dbraw/zinc/73/26/96/1072732696.db2.gz KZFQIMWQSCXTDI-ZDUSSCGKSA-N 0 0 428.511 -0.543 20 0 IBADRN C[C@H](O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000677272665 1072732678 /nfs/dbraw/zinc/73/26/78/1072732678.db2.gz LZARHNCJWGLQOB-ZDUSSCGKSA-N 0 0 428.511 -0.401 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NCC(C)(C)CO)c1 ZINC000677274575 1072732791 /nfs/dbraw/zinc/73/27/91/1072732791.db2.gz NJWHAHPQXKNTPC-UHFFFAOYSA-N 0 0 442.538 -0.153 20 0 IBADRN C[C@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000677275269 1072732800 /nfs/dbraw/zinc/73/28/00/1072732800.db2.gz QFNLMRJIMCVHKP-CYBMUJFWSA-N 0 0 428.511 -0.401 20 0 IBADRN C[C@@H](CCO)NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000677275270 1072732771 /nfs/dbraw/zinc/73/27/71/1072732771.db2.gz QFNLMRJIMCVHKP-ZDUSSCGKSA-N 0 0 428.511 -0.401 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N[C@@H]2CCOC2)c1 ZINC000677275400 1072732789 /nfs/dbraw/zinc/73/27/89/1072732789.db2.gz UMLZOLUNJHJPGE-CYBMUJFWSA-N 0 0 426.495 -0.383 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N[C@H]2CCOC2)c1 ZINC000677275401 1072732610 /nfs/dbraw/zinc/73/26/10/1072732610.db2.gz UMLZOLUNJHJPGE-ZDUSSCGKSA-N 0 0 426.495 -0.383 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)NCC2(CO)CC2)c1 ZINC000677275746 1072732819 /nfs/dbraw/zinc/73/28/19/1072732819.db2.gz VIRCLUBNZSJTHH-UHFFFAOYSA-N 0 0 440.522 -0.399 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H](CO)CC3CC3)cn2)CC1 ZINC000677278451 1072732783 /nfs/dbraw/zinc/73/27/83/1072732783.db2.gz VGUJTJVKTVBGEL-HNNXBMFYSA-N 0 0 425.511 -0.621 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H](CO)CC3CC3)cn2)CC1 ZINC000677278458 1072733278 /nfs/dbraw/zinc/73/32/78/1072733278.db2.gz VGUJTJVKTVBGEL-OAHLLOKOSA-N 0 0 425.511 -0.621 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CO)CC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000677280073 1072733169 /nfs/dbraw/zinc/73/31/69/1072733169.db2.gz UNHRUASIDHIRBO-HNNXBMFYSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](CO)CC2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000677280077 1072733236 /nfs/dbraw/zinc/73/32/36/1072733236.db2.gz UNHRUASIDHIRBO-OAHLLOKOSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CO)CC1CC1 ZINC000677280406 1072733318 /nfs/dbraw/zinc/73/33/18/1072733318.db2.gz XUQDIJLZMFTHAY-AWEZNQCLSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](CO)CC1CC1 ZINC000677280407 1072733138 /nfs/dbraw/zinc/73/31/38/1072733138.db2.gz XUQDIJLZMFTHAY-CQSZACIVSA-N 0 0 441.506 -0.068 20 0 IBADRN CN(C[C@H]1CCC[C@H]1O)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000677281496 1072733377 /nfs/dbraw/zinc/73/33/77/1072733377.db2.gz MVCHGWKRLMMSIU-GDBMZVCRSA-N 0 0 439.538 -0.279 20 0 IBADRN CN(C[C@@H]1CCC[C@H]1O)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000677281497 1072733293 /nfs/dbraw/zinc/73/32/93/1072733293.db2.gz MVCHGWKRLMMSIU-GOEBONIOSA-N 0 0 439.538 -0.279 20 0 IBADRN CN(C[C@@H]1CCC[C@@H]1O)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000677281498 1072733207 /nfs/dbraw/zinc/73/32/07/1072733207.db2.gz MVCHGWKRLMMSIU-HOCLYGCPSA-N 0 0 439.538 -0.279 20 0 IBADRN CN(C[C@H]1CCC[C@@H]1O)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000677281499 1072733121 /nfs/dbraw/zinc/73/31/21/1072733121.db2.gz MVCHGWKRLMMSIU-ZBFHGGJFSA-N 0 0 439.538 -0.279 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)co1 ZINC000677306651 1072733346 /nfs/dbraw/zinc/73/33/46/1072733346.db2.gz ORHVLTSLNULLFF-UHFFFAOYSA-N 0 0 443.507 -0.083 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000677363522 1072733260 /nfs/dbraw/zinc/73/32/60/1072733260.db2.gz DACQVQZLEHNQQB-INIZCTEOSA-N 0 0 444.554 -0.047 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccc2F)CC1 ZINC000677404722 1072733188 /nfs/dbraw/zinc/73/31/88/1072733188.db2.gz XVIPUYGQUQNXRG-UHFFFAOYSA-N 0 0 438.485 -0.040 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n(Cc2ccccc2)n1 ZINC000677405733 1072733329 /nfs/dbraw/zinc/73/33/29/1072733329.db2.gz FHDVSYDMQSCLKI-UHFFFAOYSA-N 0 0 448.549 -0.128 20 0 IBADRN Cc1cccc(Cn2nccc2NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000677406177 1072733249 /nfs/dbraw/zinc/73/32/49/1072733249.db2.gz OFCAOQAZOGOWPY-UHFFFAOYSA-N 0 0 448.549 -0.128 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccnn2Cc2ccccc2)CC1 ZINC000677406274 1072733223 /nfs/dbraw/zinc/73/32/23/1072733223.db2.gz RIOOJUFUWLKQIA-UHFFFAOYSA-N 0 0 434.522 -0.437 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)c(OC)c1OC ZINC000677406557 1072733305 /nfs/dbraw/zinc/73/33/05/1072733305.db2.gz OASYYHVCURPSLM-OKILXGFUSA-N 0 0 434.449 -0.150 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000677411660 1072733284 /nfs/dbraw/zinc/73/32/84/1072733284.db2.gz PSTSADHNKWRNCM-GOSISDBHSA-N 0 0 446.504 -0.082 20 0 IBADRN CC(C)C(=O)NCCCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000677411661 1072733153 /nfs/dbraw/zinc/73/31/53/1072733153.db2.gz PSTSADHNKWRNCM-SFHVURJKSA-N 0 0 446.504 -0.082 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000677415422 1072733760 /nfs/dbraw/zinc/73/37/60/1072733760.db2.gz DFFXAIKMSNXGBY-KBPBESRZSA-N 0 0 429.543 -0.316 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000677415423 1072733778 /nfs/dbraw/zinc/73/37/78/1072733778.db2.gz DFFXAIKMSNXGBY-ZIAGYGMSSA-N 0 0 429.543 -0.316 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000677415424 1072733814 /nfs/dbraw/zinc/73/38/14/1072733814.db2.gz DFFXAIKMSNXGBY-ZSBFGKGUSA-N 0 0 429.543 -0.316 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000677419212 1072733833 /nfs/dbraw/zinc/73/38/33/1072733833.db2.gz YMPCYDKJYDUNGP-CCWDJLKJSA-N 0 0 443.570 -0.574 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000677419213 1072733824 /nfs/dbraw/zinc/73/38/24/1072733824.db2.gz YMPCYDKJYDUNGP-GJZGRUSLSA-N 0 0 443.570 -0.574 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000677419214 1072733793 /nfs/dbraw/zinc/73/37/93/1072733793.db2.gz YMPCYDKJYDUNGP-HUUCEWRRSA-N 0 0 443.570 -0.574 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N(CCO)CCN1CCOCC1 ZINC000677442320 1072733717 /nfs/dbraw/zinc/73/37/17/1072733717.db2.gz BZONOZILAMKCEE-UHFFFAOYSA-N 0 0 427.523 -0.526 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N(CCO)CCN2CCOCC2)c1 ZINC000677442321 1072733856 /nfs/dbraw/zinc/73/38/56/1072733856.db2.gz CBTQJFJJTRMJFJ-UHFFFAOYSA-N 0 0 427.523 -0.289 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(CCO)CCN2CCOCC2)c1 ZINC000677442760 1072733931 /nfs/dbraw/zinc/73/39/31/1072733931.db2.gz HVJZPXPCEMROSP-UHFFFAOYSA-N 0 0 444.554 -0.968 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(CCO)CCN2CCOCC2)C1 ZINC000677443046 1072733946 /nfs/dbraw/zinc/73/39/46/1072733946.db2.gz MGWMDAJNNROBEA-KRWDZBQOSA-N 0 0 444.554 -0.155 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(CCO)CCN2CCOCC2)C1 ZINC000677443052 1072733913 /nfs/dbraw/zinc/73/39/13/1072733913.db2.gz MGWMDAJNNROBEA-QGZVFWFLSA-N 0 0 444.554 -0.155 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)N(CCO)CCN1CCOCC1 ZINC000677443066 1072733893 /nfs/dbraw/zinc/73/38/93/1072733893.db2.gz JVIQKCUZOFLPKB-GOSISDBHSA-N 0 0 441.550 -0.089 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)N(CCO)CCN1CCOCC1 ZINC000677443071 1072733903 /nfs/dbraw/zinc/73/39/03/1072733903.db2.gz JVIQKCUZOFLPKB-SFHVURJKSA-N 0 0 441.550 -0.089 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N(CCO)CCN3CCOCC3)nc2n(C)c1=O ZINC000677443319 1072733922 /nfs/dbraw/zinc/73/39/22/1072733922.db2.gz CEXWHOPXVCYWAL-UHFFFAOYSA-N 0 0 434.497 -0.856 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(CCO)CCN2CCOCC2)CC1 ZINC000677443360 1072733943 /nfs/dbraw/zinc/73/39/43/1072733943.db2.gz CZKQNUZSPVSOJW-UHFFFAOYSA-N 0 0 443.570 -0.420 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(CCO)CCN2CCOCC2)C1 ZINC000677443417 1072733867 /nfs/dbraw/zinc/73/38/67/1072733867.db2.gz REBPWNHTUSWYNZ-KRWDZBQOSA-N 0 0 443.570 -0.420 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(CCO)CCN2CCOCC2)C1 ZINC000677443419 1072733882 /nfs/dbraw/zinc/73/38/82/1072733882.db2.gz REBPWNHTUSWYNZ-QGZVFWFLSA-N 0 0 443.570 -0.420 20 0 IBADRN O=C(c1cccc(CN2CCS(=O)(=O)CC2)c1)N(CCO)CCN1CCOCC1 ZINC000677443435 1072733745 /nfs/dbraw/zinc/73/37/45/1072733745.db2.gz FEOXTYLGNZXNDJ-UHFFFAOYSA-N 0 0 425.551 -0.316 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000677443558 1072733844 /nfs/dbraw/zinc/73/38/44/1072733844.db2.gz UDFPAIJSXLHMFX-UHFFFAOYSA-N 0 0 427.523 -0.685 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N(CCO)CCN1CCOCC1 ZINC000677443580 1072733951 /nfs/dbraw/zinc/73/39/51/1072733951.db2.gz URQJYAQMHUOBFW-UHFFFAOYSA-N 0 0 427.523 -0.526 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)N(CCO)CCN1CCOCC1 ZINC000677443603 1072734368 /nfs/dbraw/zinc/73/43/68/1072734368.db2.gz VJPPACGAXYPKNO-UHFFFAOYSA-N 0 0 428.555 -0.034 20 0 IBADRN O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)N(CCO)CCN1CCOCC1 ZINC000677443618 1072734342 /nfs/dbraw/zinc/73/43/42/1072734342.db2.gz WCIFDSTUVLFHSD-FQEVSTJZSA-N 0 0 430.505 -0.385 20 0 IBADRN O=C(CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)N(CCO)CCN1CCOCC1 ZINC000677443666 1072734320 /nfs/dbraw/zinc/73/43/20/1072734320.db2.gz WCIFDSTUVLFHSD-HXUWFJFHSA-N 0 0 430.505 -0.385 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N(CCO)CCN2CCOCC2)CC1 ZINC000677443867 1072734364 /nfs/dbraw/zinc/73/43/64/1072734364.db2.gz ZVJLRZGEABRRML-UHFFFAOYSA-N 0 0 444.554 -0.155 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N(CCO)CCN1CCOCC1 ZINC000677444133 1072734192 /nfs/dbraw/zinc/73/41/92/1072734192.db2.gz XIVSMXYGTDFXDN-UHFFFAOYSA-N 0 0 447.579 -0.535 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N(CCO)CCN1CCOCC1 ZINC000677444684 1072734353 /nfs/dbraw/zinc/73/43/53/1072734353.db2.gz ZXRVAUQIXQMBBD-UHFFFAOYSA-N 0 0 429.485 -0.983 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(CCO)CCN1CCOCC1 ZINC000677444967 1072734235 /nfs/dbraw/zinc/73/42/35/1072734235.db2.gz RUOGDZRGJRZJMJ-UHFFFAOYSA-N 0 0 441.550 -0.217 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N(CCO)CCN1CCOCC1 ZINC000677445000 1072734432 /nfs/dbraw/zinc/73/44/32/1072734432.db2.gz TUGLWUIGPMUSDO-UHFFFAOYSA-N 0 0 426.539 -0.365 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000677445035 1072734304 /nfs/dbraw/zinc/73/43/04/1072734304.db2.gz VCKBIDZWXSULBZ-UHFFFAOYSA-N 0 0 442.538 -0.581 20 0 IBADRN O=C([C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N(CCO)CCN1CCOCC1 ZINC000677445065 1072734261 /nfs/dbraw/zinc/73/42/61/1072734261.db2.gz WEHXPKXRVVGCPA-IBGZPJMESA-N 0 0 445.520 -0.311 20 0 IBADRN O=C([C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N(CCO)CCN1CCOCC1 ZINC000677445066 1072734250 /nfs/dbraw/zinc/73/42/50/1072734250.db2.gz WEHXPKXRVVGCPA-LJQANCHMSA-N 0 0 445.520 -0.311 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnn1CC(C)C ZINC000677453802 1072734166 /nfs/dbraw/zinc/73/41/66/1072734166.db2.gz UBWYLQRJRQECQD-UHFFFAOYSA-N 0 0 448.524 -0.430 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cncc(Br)c2)CC1 ZINC000677455494 1072734411 /nfs/dbraw/zinc/73/44/11/1072734411.db2.gz KEYVFORLUCLGBW-UHFFFAOYSA-N 0 0 434.316 -0.524 20 0 IBADRN CCc1c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cnn1CC(C)C ZINC000677456264 1072734183 /nfs/dbraw/zinc/73/41/83/1072734183.db2.gz ZXVMKSHDWYUPHB-UHFFFAOYSA-N 0 0 428.559 -0.267 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000677456616 1072734332 /nfs/dbraw/zinc/73/43/32/1072734332.db2.gz CBZHHCCMHPFXHT-GFCCVEGCSA-N 0 0 448.523 -0.966 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000677456617 1072734421 /nfs/dbraw/zinc/73/44/21/1072734421.db2.gz CBZHHCCMHPFXHT-LBPRGKRZSA-N 0 0 448.523 -0.966 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)ccc1S(=O)(=O)N(C)C ZINC000677456651 1072734377 /nfs/dbraw/zinc/73/43/77/1072734377.db2.gz DEYUYNYFVYNHNP-DOMZBBRYSA-N 0 0 428.511 -0.281 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)ccc1S(=O)(=O)N(C)C ZINC000677456652 1072734277 /nfs/dbraw/zinc/73/42/77/1072734277.db2.gz DEYUYNYFVYNHNP-IUODEOHRSA-N 0 0 428.511 -0.281 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)ccc1S(=O)(=O)N(C)C ZINC000677456653 1072734203 /nfs/dbraw/zinc/73/42/03/1072734203.db2.gz DEYUYNYFVYNHNP-SWLSCSKDSA-N 0 0 428.511 -0.281 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)ccc1S(=O)(=O)N(C)C ZINC000677456654 1072734922 /nfs/dbraw/zinc/73/49/22/1072734922.db2.gz DEYUYNYFVYNHNP-WFASDCNBSA-N 0 0 428.511 -0.281 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1 ZINC000677456959 1072735029 /nfs/dbraw/zinc/73/50/29/1072735029.db2.gz CTTDNSPHHRFDAA-UHFFFAOYSA-N 0 0 428.511 -0.325 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)ccc1S(=O)(=O)N(C)C ZINC000677457239 1072734823 /nfs/dbraw/zinc/73/48/23/1072734823.db2.gz HHVDDZXMKZSVBW-UHFFFAOYSA-N 0 0 442.538 -0.201 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3C(=O)NC[C@@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000677457533 1072734843 /nfs/dbraw/zinc/73/48/43/1072734843.db2.gz KVVVNOXXZCLBLJ-GFCCVEGCSA-N 0 0 425.467 -0.880 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3C(=O)NC[C@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000677457534 1072735040 /nfs/dbraw/zinc/73/50/40/1072735040.db2.gz KVVVNOXXZCLBLJ-LBPRGKRZSA-N 0 0 425.467 -0.880 20 0 IBADRN Cc1cc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)ncn1 ZINC000677458319 1072735044 /nfs/dbraw/zinc/73/50/44/1072735044.db2.gz CGBRGNVGNHPAQX-UHFFFAOYSA-N 0 0 432.506 -0.024 20 0 IBADRN Cc1cc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)ncn1 ZINC000677460238 1072734970 /nfs/dbraw/zinc/73/49/70/1072734970.db2.gz KUHKAVHFFKROGB-UHFFFAOYSA-N 0 0 432.506 -0.024 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cnn1CC(C)C ZINC000677464941 1072734780 /nfs/dbraw/zinc/73/47/80/1072734780.db2.gz PCHBGQFPGNHZHA-UHFFFAOYSA-N 0 0 446.508 -0.140 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)c3coc(S(N)(=O)=O)c3)cn2)CC1 ZINC000677475630 1072735013 /nfs/dbraw/zinc/73/50/13/1072735013.db2.gz JBTQLLJGIWWHIT-UHFFFAOYSA-N 0 0 429.480 -0.344 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)Nc2cc(C(N)=O)[nH]n2)c1OC ZINC000677491867 1072734949 /nfs/dbraw/zinc/73/49/49/1072734949.db2.gz ZHSHJRVPSUUNOU-UHFFFAOYSA-N 0 0 439.450 -0.201 20 0 IBADRN COc1cc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1S(=O)(=O)N(C)C ZINC000677497241 1072735022 /nfs/dbraw/zinc/73/50/22/1072735022.db2.gz RYVISARZNKGEOI-UHFFFAOYSA-N 0 0 449.555 -0.099 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000677525524 1072734966 /nfs/dbraw/zinc/73/49/66/1072734966.db2.gz JJYZFTVKNFOMCJ-GOSISDBHSA-N 0 0 437.566 -0.089 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000677525525 1072734874 /nfs/dbraw/zinc/73/48/74/1072734874.db2.gz JJYZFTVKNFOMCJ-SFHVURJKSA-N 0 0 437.566 -0.089 20 0 IBADRN O=C(Nc1ccn(-c2ncccc2F)n1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000677530697 1072734804 /nfs/dbraw/zinc/73/48/04/1072734804.db2.gz LWYHNKBLARQYIB-UHFFFAOYSA-N 0 0 443.439 -0.362 20 0 IBADRN O=C(Nc1ccn(-c2ncccc2F)n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000677531258 1072734755 /nfs/dbraw/zinc/73/47/55/1072734755.db2.gz TZONEODFLQYGSN-UHFFFAOYSA-N 0 0 429.412 -0.706 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1C(F)F ZINC000677532294 1072735054 /nfs/dbraw/zinc/73/50/54/1072735054.db2.gz WHNUMUQREBSXSU-UHFFFAOYSA-N 0 0 436.441 -0.983 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3F)n2)CC1 ZINC000677532373 1072734861 /nfs/dbraw/zinc/73/48/61/1072734861.db2.gz WZJZRIOYZGTZDA-UHFFFAOYSA-N 0 0 431.428 -0.460 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1S(C)(=O)=O ZINC000677536947 1072735336 /nfs/dbraw/zinc/73/53/36/1072735336.db2.gz FDXKLQRHZLYIMX-UHFFFAOYSA-N 0 0 432.524 -0.712 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3F)n2)CC1 ZINC000677537576 1072735349 /nfs/dbraw/zinc/73/53/49/1072735349.db2.gz OQUADPFAOHUYRD-UHFFFAOYSA-N 0 0 445.455 -0.652 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3F)n2)CC1 ZINC000677538549 1072735591 /nfs/dbraw/zinc/73/55/91/1072735591.db2.gz VFBWXWMHGQTDBK-UHFFFAOYSA-N 0 0 425.446 -0.705 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccn(-c3ncccc3F)n2)CC1 ZINC000677539740 1072735362 /nfs/dbraw/zinc/73/53/62/1072735362.db2.gz KQNGNSQWKVLEEQ-UHFFFAOYSA-N 0 0 439.473 -0.962 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1S(C)(=O)=O ZINC000677539809 1072735554 /nfs/dbraw/zinc/73/55/54/1072735554.db2.gz MZWZIHUZFZBAMM-UHFFFAOYSA-N 0 0 446.551 -0.970 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccn(-c2ncccc2F)n1 ZINC000677540206 1072735402 /nfs/dbraw/zinc/73/54/02/1072735402.db2.gz GNKRSDHQUNPZOI-UHFFFAOYSA-N 0 0 442.498 -0.160 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3F)n2)CC1 ZINC000677542007 1072735459 /nfs/dbraw/zinc/73/54/59/1072735459.db2.gz RTCYXZNETGRLAI-UHFFFAOYSA-N 0 0 433.444 -0.748 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccn(-c4ncccc4F)n3)CC2)c1=O ZINC000677542379 1072735489 /nfs/dbraw/zinc/73/54/89/1072735489.db2.gz OSPLDNIGJIRNFE-UHFFFAOYSA-N 0 0 426.412 -0.213 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(C)c3C(F)F)CC2)cn1C ZINC000677545533 1072735476 /nfs/dbraw/zinc/73/54/76/1072735476.db2.gz WAAAFPBBOANZHI-UHFFFAOYSA-N 0 0 445.452 -0.129 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccn(-c2ncccc2F)n1 ZINC000677545906 1072735531 /nfs/dbraw/zinc/73/55/31/1072735531.db2.gz JOZPQGSTHBWDQH-UHFFFAOYSA-N 0 0 433.425 -0.165 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnn(C)c2C(F)F)CC1 ZINC000677546499 1072735450 /nfs/dbraw/zinc/73/54/50/1072735450.db2.gz WYQWNQCGRIALOP-GFCCVEGCSA-N 0 0 430.456 -0.018 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cnn(C)c2C(F)F)CC1 ZINC000677546500 1072735441 /nfs/dbraw/zinc/73/54/41/1072735441.db2.gz WYQWNQCGRIALOP-LBPRGKRZSA-N 0 0 430.456 -0.018 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1)[C@@H]1CCCC(=O)N1 ZINC000677548702 1072735525 /nfs/dbraw/zinc/73/55/25/1072735525.db2.gz UCZWQFVXHAOWCD-DLBZAZTESA-N 0 0 442.520 -0.316 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@@H]2CCCC(=O)N2)CC1)[C@@H]1CCCC(=O)N1 ZINC000677548703 1072735583 /nfs/dbraw/zinc/73/55/83/1072735583.db2.gz UCZWQFVXHAOWCD-IRXDYDNUSA-N 0 0 442.520 -0.316 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@@H]2CCCC(=O)N2)CC1)[C@H]1CCCC(=O)N1 ZINC000677548704 1072735383 /nfs/dbraw/zinc/73/53/83/1072735383.db2.gz UCZWQFVXHAOWCD-SJORKVTESA-N 0 0 442.520 -0.316 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CC[C@H](CO)C1)c(=O)n2Cc1ccccc1 ZINC000677591830 1072735502 /nfs/dbraw/zinc/73/55/02/1072735502.db2.gz KMIWORMMORTXLW-KRWDZBQOSA-N 0 0 441.488 -0.105 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@@H]3CCOC3)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000677600788 1072735560 /nfs/dbraw/zinc/73/55/60/1072735560.db2.gz GKPFMSMLCLJLCC-BFUOFWGJSA-N 0 0 438.506 -0.155 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@H]3CCOC3)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000677600789 1072735539 /nfs/dbraw/zinc/73/55/39/1072735539.db2.gz GKPFMSMLCLJLCC-DJJJIMSYSA-N 0 0 438.506 -0.155 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@H]3CCOC3)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000677600790 1072735945 /nfs/dbraw/zinc/73/59/45/1072735945.db2.gz GKPFMSMLCLJLCC-ORAYPTAESA-N 0 0 438.506 -0.155 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@@H]3CCOC3)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000677600791 1072736085 /nfs/dbraw/zinc/73/60/85/1072736085.db2.gz GKPFMSMLCLJLCC-YJYMSZOUSA-N 0 0 438.506 -0.155 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCN([C@H]3CCOC3)CC2)cc1)N1CCOCC1 ZINC000677600995 1072735912 /nfs/dbraw/zinc/73/59/12/1072735912.db2.gz XAEVLZNFOZUYBN-INIZCTEOSA-N 0 0 445.563 -0.197 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCN([C@@H]3CCOC3)CC2)cc1)N1CCOCC1 ZINC000677600997 1072736045 /nfs/dbraw/zinc/73/60/45/1072736045.db2.gz XAEVLZNFOZUYBN-MRXNPFEDSA-N 0 0 445.563 -0.197 20 0 IBADRN O=C(CNC(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1)NCCO ZINC000677615179 1072736104 /nfs/dbraw/zinc/73/61/04/1072736104.db2.gz OVWCQTMUEBUZPP-UHFFFAOYSA-N 0 0 441.492 -0.402 20 0 IBADRN O=C(Nc1ccn(-c2ncccc2F)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000677637654 1072735971 /nfs/dbraw/zinc/73/59/71/1072735971.db2.gz HAESPASFVXHRTJ-UHFFFAOYSA-N 0 0 431.428 -0.059 20 0 IBADRN CC(C)(CO)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000677648644 1072736023 /nfs/dbraw/zinc/73/60/23/1072736023.db2.gz IJSSOMJTMGJXKT-UHFFFAOYSA-N 0 0 426.539 -0.301 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(Oc3cccnc3)nc2)CC1 ZINC000677701242 1072735931 /nfs/dbraw/zinc/73/59/31/1072735931.db2.gz GNRGUICYNNBBSD-UHFFFAOYSA-N 0 0 448.505 -0.099 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CCO[C@@H]3C3CC3)cn2)CC1 ZINC000677708067 1072736009 /nfs/dbraw/zinc/73/60/09/1072736009.db2.gz ZDDAJASRHZGXIK-DOTOQJQBSA-N 0 0 437.522 -0.215 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CCO[C@@H]3C3CC3)cn2)CC1 ZINC000677708068 1072736041 /nfs/dbraw/zinc/73/60/41/1072736041.db2.gz ZDDAJASRHZGXIK-NVXWUHKLSA-N 0 0 437.522 -0.215 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CCO[C@H]3C3CC3)cn2)CC1 ZINC000677708069 1072735992 /nfs/dbraw/zinc/73/59/92/1072735992.db2.gz ZDDAJASRHZGXIK-RDJZCZTQSA-N 0 0 437.522 -0.215 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CCO[C@H]3C3CC3)cn2)CC1 ZINC000677708070 1072736002 /nfs/dbraw/zinc/73/60/02/1072736002.db2.gz ZDDAJASRHZGXIK-WBVHZDCISA-N 0 0 437.522 -0.215 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)C[C@@H]3C)CC2)cc1 ZINC000677714934 1072736075 /nfs/dbraw/zinc/73/60/75/1072736075.db2.gz PIDNEXMVNHMGIG-HNNXBMFYSA-N 0 0 436.534 -0.068 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)C[C@H]3C)CC2)cc1 ZINC000677714936 1072736051 /nfs/dbraw/zinc/73/60/51/1072736051.db2.gz PIDNEXMVNHMGIG-OAHLLOKOSA-N 0 0 436.534 -0.068 20 0 IBADRN COc1ccc(NC(=O)CN2CCNC(=O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000677715351 1072736067 /nfs/dbraw/zinc/73/60/67/1072736067.db2.gz KWAMAVNEKDOECO-AWEZNQCLSA-N 0 0 440.522 -0.135 20 0 IBADRN COc1ccc(NC(=O)CN2CCNC(=O)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000677715464 1072736699 /nfs/dbraw/zinc/73/66/99/1072736699.db2.gz KWAMAVNEKDOECO-CQSZACIVSA-N 0 0 440.522 -0.135 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)C[C@@H]3C)CC2)c1 ZINC000677715687 1072736774 /nfs/dbraw/zinc/73/67/74/1072736774.db2.gz RTVONUWWARLOTC-HNNXBMFYSA-N 0 0 436.534 -0.068 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)C[C@H]3C)CC2)c1 ZINC000677715688 1072736707 /nfs/dbraw/zinc/73/67/07/1072736707.db2.gz RTVONUWWARLOTC-OAHLLOKOSA-N 0 0 436.534 -0.068 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000677742164 1072736641 /nfs/dbraw/zinc/73/66/41/1072736641.db2.gz VSWOJGWHGIUYGV-UHFFFAOYSA-N 0 0 433.556 -0.179 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)c(OC)c1 ZINC000677747942 1072736460 /nfs/dbraw/zinc/73/64/60/1072736460.db2.gz JPZLMQFGFTTZMO-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnn1Cc1ccc(OC)cc1 ZINC000677785119 1072736674 /nfs/dbraw/zinc/73/66/74/1072736674.db2.gz JMTQMFVXYFBEOB-INIZCTEOSA-N 0 0 442.476 -0.268 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccnn1Cc1ccc(OC)cc1 ZINC000677785120 1072736588 /nfs/dbraw/zinc/73/65/88/1072736588.db2.gz JMTQMFVXYFBEOB-MRXNPFEDSA-N 0 0 442.476 -0.268 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n(-c2ccccc2)n1 ZINC000677786449 1072736627 /nfs/dbraw/zinc/73/66/27/1072736627.db2.gz WUBVDXFAMGTJCZ-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN Cc1ccccc1-n1nccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000677786493 1072736665 /nfs/dbraw/zinc/73/66/65/1072736665.db2.gz XDKLDWLYICKGQG-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN Cc1cc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)cn1 ZINC000677795279 1072736681 /nfs/dbraw/zinc/73/66/81/1072736681.db2.gz MMQDSLOBMFJVCE-UHFFFAOYSA-N 0 0 434.474 -0.144 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)c3ccc(S(N)(=O)=O)nc3)cn2)CC1 ZINC000677816166 1072736754 /nfs/dbraw/zinc/73/67/54/1072736754.db2.gz KFGLPGTZFFDZPI-UHFFFAOYSA-N 0 0 440.507 -0.542 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000677851102 1072736530 /nfs/dbraw/zinc/73/65/30/1072736530.db2.gz VVUGHVVFDDDHSK-HNNXBMFYSA-N 0 0 436.538 -0.339 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000677851105 1072736656 /nfs/dbraw/zinc/73/66/56/1072736656.db2.gz VVUGHVVFDDDHSK-OAHLLOKOSA-N 0 0 436.538 -0.339 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)c3ccnc4c(C(N)=O)cnn43)cn2)CC1 ZINC000677887975 1072737201 /nfs/dbraw/zinc/73/72/01/1072737201.db2.gz GNWKYAXBSLUCFA-UHFFFAOYSA-N 0 0 444.477 -0.443 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000677913918 1072737134 /nfs/dbraw/zinc/73/71/34/1072737134.db2.gz ZWKIRTYKRMVCJL-UHFFFAOYSA-N 0 0 430.469 -0.453 20 0 IBADRN O=C(CN(C[C@@H]1CCCO1)C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCCC1 ZINC000677933160 1072737240 /nfs/dbraw/zinc/73/72/40/1072737240.db2.gz ZMENUXWYGUZWMQ-MOPGFXCFSA-N 0 0 436.553 -0.203 20 0 IBADRN O=C(CN(C[C@@H]1CCCO1)C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCCC1 ZINC000677933161 1072737360 /nfs/dbraw/zinc/73/73/60/1072737360.db2.gz ZMENUXWYGUZWMQ-OALUTQOASA-N 0 0 436.553 -0.203 20 0 IBADRN O=C(CN(C[C@H]1CCCO1)C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCCC1 ZINC000677933162 1072737217 /nfs/dbraw/zinc/73/72/17/1072737217.db2.gz ZMENUXWYGUZWMQ-RBUKOAKNSA-N 0 0 436.553 -0.203 20 0 IBADRN O=C(CN(C[C@H]1CCCO1)C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCCC1 ZINC000677933163 1072737028 /nfs/dbraw/zinc/73/70/28/1072737028.db2.gz ZMENUXWYGUZWMQ-RTBURBONSA-N 0 0 436.553 -0.203 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000677938449 1072737278 /nfs/dbraw/zinc/73/72/78/1072737278.db2.gz CZKPGFYUGOKRLE-HNNXBMFYSA-N 0 0 427.523 -0.291 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000677939927 1072737073 /nfs/dbraw/zinc/73/70/73/1072737073.db2.gz XFRHSFZTXAOPEV-WMLDXEAASA-N 0 0 427.523 -0.293 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000677939928 1072737163 /nfs/dbraw/zinc/73/71/63/1072737163.db2.gz XFRHSFZTXAOPEV-YOEHRIQHSA-N 0 0 427.523 -0.293 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)[C@@H]3CCCCN3S(C)(=O)=O)C2)cc1 ZINC000677940039 1072737372 /nfs/dbraw/zinc/73/73/72/1072737372.db2.gz VSYTVCFZXKZCSH-INIZCTEOSA-N 0 0 431.536 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)[C@H]3CCCCN3S(C)(=O)=O)C2)cc1 ZINC000677940040 1072737149 /nfs/dbraw/zinc/73/71/49/1072737149.db2.gz VSYTVCFZXKZCSH-MRXNPFEDSA-N 0 0 431.536 -0.002 20 0 IBADRN O=C(CCN1CCCC1=O)NCCC(=O)N1CCN(C(=O)CCN2CCCC2=O)CC1 ZINC000677946769 1072737342 /nfs/dbraw/zinc/73/73/42/1072737342.db2.gz IJDWXWQESPRPQK-UHFFFAOYSA-N 0 0 435.525 -0.812 20 0 IBADRN O=C(NCCO)c1ccc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000677947120 1072737329 /nfs/dbraw/zinc/73/73/29/1072737329.db2.gz QOAZSWUVBPLGPS-UHFFFAOYSA-N 0 0 446.485 -0.219 20 0 IBADRN CNC(=O)CN1CCN(c2ncc(C3CC3)c(N3CCN(CC(=O)NC)CC3)n2)CC1 ZINC000677954453 1072737101 /nfs/dbraw/zinc/73/71/01/1072737101.db2.gz XCOCCLJXZGINBR-UHFFFAOYSA-N 0 0 430.557 -0.910 20 0 IBADRN COCC(=O)N1CCN(c2ncc(C3CC3)c(N3CCN(C(=O)COC)CC3)n2)CC1 ZINC000677955784 1072737912 /nfs/dbraw/zinc/73/79/12/1072737912.db2.gz UCLWOCLLWDAXII-UHFFFAOYSA-N 0 0 432.525 -0.056 20 0 IBADRN CS(=O)(=O)N1CCN(c2ncc(C3CC3)c(N3CCN(S(C)(=O)=O)CC3)n2)CC1 ZINC000677955798 1072737669 /nfs/dbraw/zinc/73/76/69/1072737669.db2.gz UNTOYDQRKYPPBC-UHFFFAOYSA-N 0 0 444.583 -0.483 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(c2ncc(C3CC3)c(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000677956941 1072737945 /nfs/dbraw/zinc/73/79/45/1072737945.db2.gz OIUYVGSPNSUUGA-KBPBESRZSA-N 0 0 444.583 -0.390 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2nc(N3CC[C@H](S(=O)(=O)NC)C3)ncc2C2CC2)C1 ZINC000677956942 1072737858 /nfs/dbraw/zinc/73/78/58/1072737858.db2.gz OIUYVGSPNSUUGA-KGLIPLIRSA-N 0 0 444.583 -0.390 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2ncc(C3CC3)c(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000677956943 1072737731 /nfs/dbraw/zinc/73/77/31/1072737731.db2.gz OIUYVGSPNSUUGA-UONOGXRCSA-N 0 0 444.583 -0.390 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2ncc(C3CC3)c(N3CC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000677956944 1072737797 /nfs/dbraw/zinc/73/77/97/1072737797.db2.gz OIUYVGSPNSUUGA-ZIAGYGMSSA-N 0 0 444.583 -0.390 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc3cccnc3nc2N2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000677957380 1072737719 /nfs/dbraw/zinc/73/77/19/1072737719.db2.gz OEZPYYPAGVWRBZ-CABCVRRESA-N 0 0 443.508 -0.916 20 0 IBADRN C[C@H](O)C(=O)N1CCN(c2nc3cccnc3nc2N2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000677957381 1072737661 /nfs/dbraw/zinc/73/76/61/1072737661.db2.gz OEZPYYPAGVWRBZ-GJZGRUSLSA-N 0 0 443.508 -0.916 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc3cccnc3nc2N2CCN(C(=O)[C@@H](C)O)CC2)CC1 ZINC000677957382 1072737847 /nfs/dbraw/zinc/73/78/47/1072737847.db2.gz OEZPYYPAGVWRBZ-HUUCEWRRSA-N 0 0 443.508 -0.916 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc3ncccc3nc2N2CCN(C(=O)[C@H](C)O)CC2)CC1 ZINC000677957383 1072737941 /nfs/dbraw/zinc/73/79/41/1072737941.db2.gz OEZPYYPAGVWRBZ-LSDHHAIUSA-N 0 0 443.508 -0.916 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc(N3CCN(C(=O)[C@H](C)O)CC3)ncc2C2CC2)CC1 ZINC000677957633 1072737988 /nfs/dbraw/zinc/73/79/88/1072737988.db2.gz RWWQCTWDMQNKDA-CABCVRRESA-N 0 0 432.525 -0.587 20 0 IBADRN C[C@H](O)C(=O)N1CCN(c2ncc(C3CC3)c(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000677957634 1072737695 /nfs/dbraw/zinc/73/76/95/1072737695.db2.gz RWWQCTWDMQNKDA-GJZGRUSLSA-N 0 0 432.525 -0.587 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2ncc(C3CC3)c(N3CCN(C(=O)[C@@H](C)O)CC3)n2)CC1 ZINC000677957635 1072737968 /nfs/dbraw/zinc/73/79/68/1072737968.db2.gz RWWQCTWDMQNKDA-HUUCEWRRSA-N 0 0 432.525 -0.587 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2ncc(C3CC3)c(N3CCN(C(=O)[C@H](C)O)CC3)n2)CC1 ZINC000677957636 1072737898 /nfs/dbraw/zinc/73/78/98/1072737898.db2.gz RWWQCTWDMQNKDA-LSDHHAIUSA-N 0 0 432.525 -0.587 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccnc2OCC(F)F)CC1 ZINC000677970920 1072737760 /nfs/dbraw/zinc/73/77/60/1072737760.db2.gz QIPRBRQRLFNIGD-UHFFFAOYSA-N 0 0 435.453 -0.643 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000677972132 1072738014 /nfs/dbraw/zinc/73/80/14/1072738014.db2.gz GWTFJQXVSVEMBQ-HNNXBMFYSA-N 0 0 431.493 -0.936 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000677972133 1072737998 /nfs/dbraw/zinc/73/79/98/1072737998.db2.gz GWTFJQXVSVEMBQ-OAHLLOKOSA-N 0 0 431.493 -0.936 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(=O)[C@H](C)O)CC3)cc2)CC1 ZINC000677972134 1072737821 /nfs/dbraw/zinc/73/78/21/1072737821.db2.gz GZMMSAWMWIWTAH-INIZCTEOSA-N 0 0 445.520 -0.546 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)cc2)CC1 ZINC000677972135 1072737929 /nfs/dbraw/zinc/73/79/29/1072737929.db2.gz GZMMSAWMWIWTAH-MRXNPFEDSA-N 0 0 445.520 -0.546 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOC4(CCC4)C3)cn2)CC1 ZINC000677976074 1072737883 /nfs/dbraw/zinc/73/78/83/1072737883.db2.gz XFAYXYMLFHNACW-UHFFFAOYSA-N 0 0 437.522 -0.117 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)N3CCOC[C@@H]3C3CC3)CC2)C[C@@H](C)O1 ZINC000677980386 1072738457 /nfs/dbraw/zinc/73/84/57/1072738457.db2.gz AEXNLVJBJANORK-JFIYKMOQSA-N 0 0 430.571 -0.405 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)N3CCOC[C@H]3C3CC3)CC2)C[C@H](C)O1 ZINC000677980389 1072738481 /nfs/dbraw/zinc/73/84/81/1072738481.db2.gz AEXNLVJBJANORK-JZXOWHBKSA-N 0 0 430.571 -0.405 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)N3CCOC[C@H]3C3CC3)CC2)C[C@@H](C)O1 ZINC000677980390 1072738393 /nfs/dbraw/zinc/73/83/93/1072738393.db2.gz AEXNLVJBJANORK-NUJGCVRESA-N 0 0 430.571 -0.405 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)N3CCOC[C@@H]3C3CC3)CC2)C[C@H](C)O1 ZINC000677980391 1072738475 /nfs/dbraw/zinc/73/84/75/1072738475.db2.gz AEXNLVJBJANORK-SOLBZPMBSA-N 0 0 430.571 -0.405 20 0 IBADRN COC[C@@H](O)CCNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000677997472 1072738452 /nfs/dbraw/zinc/73/84/52/1072738452.db2.gz WNSMXCSJTMRWSJ-KBPBESRZSA-N 0 0 435.524 -0.329 20 0 IBADRN COC[C@@H](O)CCNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000677997475 1072738401 /nfs/dbraw/zinc/73/84/01/1072738401.db2.gz WNSMXCSJTMRWSJ-KGLIPLIRSA-N 0 0 435.524 -0.329 20 0 IBADRN COC[C@H](O)CCNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000677997477 1072738471 /nfs/dbraw/zinc/73/84/71/1072738471.db2.gz WNSMXCSJTMRWSJ-UONOGXRCSA-N 0 0 435.524 -0.329 20 0 IBADRN COC[C@H](O)CCNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000677997478 1072738485 /nfs/dbraw/zinc/73/84/85/1072738485.db2.gz WNSMXCSJTMRWSJ-ZIAGYGMSSA-N 0 0 435.524 -0.329 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOC[C@H]3C3CC3)CC2)o1 ZINC000677998835 1072738462 /nfs/dbraw/zinc/73/84/62/1072738462.db2.gz DQYRWGYXKHVPSJ-AWEZNQCLSA-N 0 0 426.495 -0.678 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOC[C@@H]3C3CC3)CC2)o1 ZINC000677998836 1072738501 /nfs/dbraw/zinc/73/85/01/1072738501.db2.gz DQYRWGYXKHVPSJ-CQSZACIVSA-N 0 0 426.495 -0.678 20 0 IBADRN CN(CC(=O)N1CCCC1)c1nc(N(C)CC(=O)N2CCCC2)nc(N2CCOCC2)n1 ZINC000678003132 1072738350 /nfs/dbraw/zinc/73/83/50/1072738350.db2.gz WJKJEXUYOISNFW-UHFFFAOYSA-N 0 0 446.556 -0.175 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC1 ZINC000678004070 1072738414 /nfs/dbraw/zinc/73/84/14/1072738414.db2.gz QLPSPOBIPUXZPE-KRWDZBQOSA-N 0 0 446.552 -0.046 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC1 ZINC000678004078 1072738444 /nfs/dbraw/zinc/73/84/44/1072738444.db2.gz QLPSPOBIPUXZPE-QGZVFWFLSA-N 0 0 446.552 -0.046 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000678005281 1072738502 /nfs/dbraw/zinc/73/85/02/1072738502.db2.gz MTHZBADMDNZWNP-AWEZNQCLSA-N 0 0 440.526 -0.780 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000678005282 1072738438 /nfs/dbraw/zinc/73/84/38/1072738438.db2.gz MTHZBADMDNZWNP-CQSZACIVSA-N 0 0 440.526 -0.780 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000678028661 1072738384 /nfs/dbraw/zinc/73/83/84/1072738384.db2.gz UYSSLBYKMRNAAL-GOSISDBHSA-N 0 0 425.511 -0.525 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000678028666 1072738333 /nfs/dbraw/zinc/73/83/33/1072738333.db2.gz UYSSLBYKMRNAAL-SFHVURJKSA-N 0 0 425.511 -0.525 20 0 IBADRN CS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000678033796 1072738487 /nfs/dbraw/zinc/73/84/87/1072738487.db2.gz YHLPYEYKIZLLTI-UHFFFAOYSA-N 0 0 425.916 -0.094 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000678034085 1072738426 /nfs/dbraw/zinc/73/84/26/1072738426.db2.gz NUYSCUJOGRMLEG-UHFFFAOYSA-N 0 0 440.931 -0.683 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000678034208 1072738495 /nfs/dbraw/zinc/73/84/95/1072738495.db2.gz PODKGGGUDBJVLK-LLVKDONJSA-N 0 0 425.916 -0.094 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC000678034209 1072739022 /nfs/dbraw/zinc/73/90/22/1072739022.db2.gz PODKGGGUDBJVLK-NSHDSACASA-N 0 0 425.916 -0.094 20 0 IBADRN Cc1nn2cc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cnc2c1Cl ZINC000678034648 1072738876 /nfs/dbraw/zinc/73/88/76/1072738876.db2.gz OUXUDSWIDUFFAE-UHFFFAOYSA-N 0 0 436.947 -0.453 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NCCCN1CCCS1(=O)=O ZINC000678035206 1072738970 /nfs/dbraw/zinc/73/89/70/1072738970.db2.gz JISUUTNMTZGPSD-UHFFFAOYSA-N 0 0 425.916 -0.092 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1cc(Cl)ccc1OCC(N)=O ZINC000678036698 1072738928 /nfs/dbraw/zinc/73/89/28/1072738928.db2.gz KRUMFANZYYOXIT-UHFFFAOYSA-N 0 0 425.916 -0.140 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3cc(Cl)ccc3OCC(N)=O)CCN2C1=O ZINC000678038290 1072739059 /nfs/dbraw/zinc/73/90/59/1072739059.db2.gz VSDAYZGYYGXLJD-LLVKDONJSA-N 0 0 430.870 -0.139 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3cc(Cl)ccc3OCC(N)=O)CCN2C1=O ZINC000678038316 1072738823 /nfs/dbraw/zinc/73/88/23/1072738823.db2.gz VSDAYZGYYGXLJD-NSHDSACASA-N 0 0 430.870 -0.139 20 0 IBADRN CCCn1cc(C(=O)N2CCN(C(=O)c3cn(CCC)nn3)[C@H](C(=O)N(C)C)C2)nn1 ZINC000678048588 1072739026 /nfs/dbraw/zinc/73/90/26/1072739026.db2.gz WCOWFVGQZBRDHY-INIZCTEOSA-N 0 0 431.501 -0.255 20 0 IBADRN CCCn1cc(C(=O)N2CCN(C(=O)c3cn(CCC)nn3)[C@@H](C(=O)N(C)C)C2)nn1 ZINC000678048589 1072738899 /nfs/dbraw/zinc/73/88/99/1072738899.db2.gz WCOWFVGQZBRDHY-MRXNPFEDSA-N 0 0 431.501 -0.255 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000678067693 1072738886 /nfs/dbraw/zinc/73/88/86/1072738886.db2.gz SBIQFIBPORNIOZ-UHFFFAOYSA-N 0 0 432.568 -0.414 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000678071591 1072738999 /nfs/dbraw/zinc/73/89/99/1072738999.db2.gz IUZMOGDPRXREPD-UHFFFAOYSA-N 0 0 446.595 -0.671 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000678089534 1072739609 /nfs/dbraw/zinc/73/96/09/1072739609.db2.gz DHVQGGJXBNJVCV-UHFFFAOYSA-N 0 0 432.525 -0.435 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1C ZINC000678103950 1072739538 /nfs/dbraw/zinc/73/95/38/1072739538.db2.gz CJPYNSNNHHXLRJ-UHFFFAOYSA-N 0 0 425.471 -0.395 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)cc1C ZINC000678104686 1072739415 /nfs/dbraw/zinc/73/94/15/1072739415.db2.gz LROIINJHPPPBOK-UHFFFAOYSA-N 0 0 438.514 -0.482 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cc1C ZINC000678104768 1072739453 /nfs/dbraw/zinc/73/94/53/1072739453.db2.gz MZGBAGVHYNQDMK-UHFFFAOYSA-N 0 0 438.514 -0.354 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3OC)CC2)cc1C ZINC000678108386 1072739395 /nfs/dbraw/zinc/73/93/95/1072739395.db2.gz WDZCZJALYKNSCP-UHFFFAOYSA-N 0 0 442.476 -0.131 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCCCN(C)S(C)(=O)=O ZINC000678108415 1072739529 /nfs/dbraw/zinc/73/95/29/1072739529.db2.gz SCIIOFUNBMQLMM-UHFFFAOYSA-N 0 0 440.522 -0.196 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000678111114 1072739600 /nfs/dbraw/zinc/73/96/00/1072739600.db2.gz GZNAYJRYVINYEZ-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN COCCCS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000678111302 1072739666 /nfs/dbraw/zinc/73/96/66/1072739666.db2.gz SCUXDSNSZYPZNY-UHFFFAOYSA-N 0 0 449.551 -0.121 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(C(=O)CO)CC3)cc2)CC1 ZINC000678116801 1072739551 /nfs/dbraw/zinc/73/95/51/1072739551.db2.gz OSMYTGYFISEDTH-UHFFFAOYSA-N 0 0 431.493 -0.934 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCC1CCS(=O)(=O)CC1 ZINC000678147843 1072739577 /nfs/dbraw/zinc/73/95/77/1072739577.db2.gz PHDZQSCDMKADLO-UHFFFAOYSA-N 0 0 433.552 -0.009 20 0 IBADRN COCCN1CCN(c2ncc(C3CC3)c(N3CCN(CCOC)C(=O)C3)n2)CC1=O ZINC000678152331 1072739465 /nfs/dbraw/zinc/73/94/65/1072739465.db2.gz UOPQLIFOCUCVCF-UHFFFAOYSA-N 0 0 432.525 -0.056 20 0 IBADRN COCCN1CCN(c2nc3cccnc3nc2N2CCN(CCOC)C(=O)C2)CC1=O ZINC000678152359 1072739439 /nfs/dbraw/zinc/73/94/39/1072739439.db2.gz WLEIKDWWSBUZPC-UHFFFAOYSA-N 0 0 443.508 -0.385 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cc3cccc(NS(C)(=O)=O)c3)CC2)CC1 ZINC000678171133 1072739640 /nfs/dbraw/zinc/73/96/40/1072739640.db2.gz AVOMKANMBMLHEJ-UHFFFAOYSA-N 0 0 431.584 -0.332 20 0 IBADRN COCCN1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1=O ZINC000678184438 1072739627 /nfs/dbraw/zinc/73/96/27/1072739627.db2.gz HOTQIMQHIHAYLW-UHFFFAOYSA-N 0 0 440.526 -0.514 20 0 IBADRN COCCN1CCN(C(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)CC1=O ZINC000678189487 1072739682 /nfs/dbraw/zinc/73/96/82/1072739682.db2.gz KGKIIBQBZPRRGU-UHFFFAOYSA-N 0 0 446.464 -0.498 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(CCOC)C(=O)C3)cc2)CC1 ZINC000678210728 1072739509 /nfs/dbraw/zinc/73/95/09/1072739509.db2.gz ULXGJAYYENZMMW-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1=O ZINC000678210838 1072740098 /nfs/dbraw/zinc/74/00/98/1072740098.db2.gz YVJMYNSYVSYMQT-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN O=C(CN1CCN(Cc2ccc(C(=O)NC3CC3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000678273881 1072739980 /nfs/dbraw/zinc/73/99/80/1072739980.db2.gz AOOBZBLKSWZPCU-IBGZPJMESA-N 0 0 434.562 0.000 20 0 IBADRN O=C(CN1CCN(Cc2ccc(C(=O)NC3CC3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000678273891 1072740003 /nfs/dbraw/zinc/74/00/03/1072740003.db2.gz AOOBZBLKSWZPCU-LJQANCHMSA-N 0 0 434.562 0.000 20 0 IBADRN CS(=O)(=O)Nc1cccc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000678274959 1072740169 /nfs/dbraw/zinc/74/01/69/1072740169.db2.gz KWXYTJIPPMGFTO-KRWDZBQOSA-N 0 0 444.579 -0.521 20 0 IBADRN CS(=O)(=O)Nc1cccc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000678274960 1072740061 /nfs/dbraw/zinc/74/00/61/1072740061.db2.gz KWXYTJIPPMGFTO-QGZVFWFLSA-N 0 0 444.579 -0.521 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)cc1F ZINC000678298809 1072740122 /nfs/dbraw/zinc/74/01/22/1072740122.db2.gz OVCFNFHWOSRUMD-UHFFFAOYSA-N 0 0 436.531 -0.018 20 0 IBADRN COc1ccc(CCNC(=O)NCCS(=O)(=O)NC(C)(C)C)cc1S(N)(=O)=O ZINC000678305458 1072740236 /nfs/dbraw/zinc/74/02/36/1072740236.db2.gz LCSSOQHFZNCYAC-UHFFFAOYSA-N 0 0 436.556 -0.098 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)c3ccc(S(N)(=O)=O)cn3)cn2)CC1 ZINC000678308059 1072740199 /nfs/dbraw/zinc/74/01/99/1072740199.db2.gz IEZTVJYKXLQEBC-UHFFFAOYSA-N 0 0 440.507 -0.542 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cnn3cc(Br)cnc23)CC1 ZINC000678308870 1072740080 /nfs/dbraw/zinc/74/00/80/1072740080.db2.gz IHKRTQMYJCZHKS-UHFFFAOYSA-N 0 0 431.316 -0.201 20 0 IBADRN CN(C[C@H](O)CO)C(=O)CC12CC3CC(C1)CC(CC(=O)N(C)C[C@H](O)CO)(C3)C2 ZINC000678346567 1072740178 /nfs/dbraw/zinc/74/01/78/1072740178.db2.gz LZZFAGALQXKZDF-AROYWVPMSA-N 0 0 426.554 -0.024 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CC(=O)N(c3c(F)cccc3F)C2)CC1 ZINC000678366281 1072740227 /nfs/dbraw/zinc/74/02/27/1072740227.db2.gz CQAPEMWUWYDQRN-CYBMUJFWSA-N 0 0 445.492 -0.054 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CC(=O)N(c3c(F)cccc3F)C2)CC1 ZINC000678366282 1072740212 /nfs/dbraw/zinc/74/02/12/1072740212.db2.gz CQAPEMWUWYDQRN-ZDUSSCGKSA-N 0 0 445.492 -0.054 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000678374701 1072740014 /nfs/dbraw/zinc/74/00/14/1072740014.db2.gz WMYPYWACHJKLBZ-AWEZNQCLSA-N 0 0 433.508 -0.224 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000678374705 1072739937 /nfs/dbraw/zinc/73/99/37/1072739937.db2.gz WMYPYWACHJKLBZ-CQSZACIVSA-N 0 0 433.508 -0.224 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC000678375965 1072740030 /nfs/dbraw/zinc/74/00/30/1072740030.db2.gz DMYOPLYLFABNIW-CYBMUJFWSA-N 0 0 434.540 -0.800 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC000678375966 1072740072 /nfs/dbraw/zinc/74/00/72/1072740072.db2.gz DMYOPLYLFABNIW-ZDUSSCGKSA-N 0 0 434.540 -0.800 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)NCc3cc(S(N)(=O)=O)ccc3F)cc2)c1=O ZINC000678397833 1072740682 /nfs/dbraw/zinc/74/06/82/1072740682.db2.gz XTBBOJOEPLCXIQ-UHFFFAOYSA-N 0 0 448.436 -0.388 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000678398437 1072740764 /nfs/dbraw/zinc/74/07/64/1072740764.db2.gz AKKSAPHCFGHAAW-BLLLJJGKSA-N 0 0 438.448 -0.447 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000678398438 1072740723 /nfs/dbraw/zinc/74/07/23/1072740723.db2.gz AKKSAPHCFGHAAW-LRDDRELGSA-N 0 0 438.448 -0.447 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000678398439 1072740758 /nfs/dbraw/zinc/74/07/58/1072740758.db2.gz AKKSAPHCFGHAAW-MLGOLLRUSA-N 0 0 438.448 -0.447 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000678398440 1072740598 /nfs/dbraw/zinc/74/05/98/1072740598.db2.gz AKKSAPHCFGHAAW-WBMJQRKESA-N 0 0 438.448 -0.447 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)CCN2C1=O ZINC000678398717 1072740660 /nfs/dbraw/zinc/74/06/60/1072740660.db2.gz MEYNNAGPSOBYHI-AWEZNQCLSA-N 0 0 427.421 -0.996 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(-n4ncn(C)c4=O)cc3)CCN2C1=O ZINC000678398718 1072740607 /nfs/dbraw/zinc/74/06/07/1072740607.db2.gz MEYNNAGPSOBYHI-CQSZACIVSA-N 0 0 427.421 -0.996 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)N4CCCC4)CC3)cc2)c1=O ZINC000678400409 1072740545 /nfs/dbraw/zinc/74/05/45/1072740545.db2.gz BLVNSYYTKHYPDU-UHFFFAOYSA-N 0 0 427.465 -0.131 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000678400416 1072740652 /nfs/dbraw/zinc/74/06/52/1072740652.db2.gz BNYOEHJKMYSTGK-UHFFFAOYSA-N 0 0 430.465 -0.489 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@H]3CCCc4c3cnn4CCO)cc2)c1=O ZINC000678401432 1072740707 /nfs/dbraw/zinc/74/07/07/1072740707.db2.gz LUMLIXUDRNQIHF-INIZCTEOSA-N 0 0 425.449 -0.108 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N[C@@H]3CCCc4c3cnn4CCO)cc2)c1=O ZINC000678401433 1072740749 /nfs/dbraw/zinc/74/07/49/1072740749.db2.gz LUMLIXUDRNQIHF-MRXNPFEDSA-N 0 0 425.449 -0.108 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3ncn(C)c3=O)cc2)CC1 ZINC000678402408 1072740734 /nfs/dbraw/zinc/74/07/34/1072740734.db2.gz XWLXIDAZXCFVJO-UHFFFAOYSA-N 0 0 437.460 -0.167 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000678431584 1072740581 /nfs/dbraw/zinc/74/05/81/1072740581.db2.gz KPMKYWOLTIVIIO-BLLLJJGKSA-N 0 0 446.551 -0.148 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(NC(C)=O)cc2)C1 ZINC000678431585 1072740616 /nfs/dbraw/zinc/74/06/16/1072740616.db2.gz KPMKYWOLTIVIIO-LRDDRELGSA-N 0 0 446.551 -0.148 20 0 IBADRN Cn1nnnc1CCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC000678492831 1072741334 /nfs/dbraw/zinc/74/13/34/1072741334.db2.gz MVSXWSQNSBSQMV-UHFFFAOYSA-N 0 0 428.418 -0.428 20 0 IBADRN Cn1nnnc1CCNS(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC000678493384 1072741170 /nfs/dbraw/zinc/74/11/70/1072741170.db2.gz RXENUQOMZGVIOZ-UHFFFAOYSA-N 0 0 430.288 -0.316 20 0 IBADRN Cn1nnnc1CCNC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000678509208 1072741367 /nfs/dbraw/zinc/74/13/67/1072741367.db2.gz VAIZSMPUSYYKQD-UHFFFAOYSA-N 0 0 437.511 -0.527 20 0 IBADRN COc1nc(N2CCN(C(=O)NCCc3nnnn3C)CC2)ncc1Br ZINC000678509712 1072741386 /nfs/dbraw/zinc/74/13/86/1072741386.db2.gz BGEXVEKQTPWBFW-UHFFFAOYSA-N 0 0 426.279 -0.155 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)NCCc3nnnn3C)CC2)c1 ZINC000678512335 1072741418 /nfs/dbraw/zinc/74/14/18/1072741418.db2.gz UIFLVCTVQBINAX-UHFFFAOYSA-N 0 0 439.498 -0.514 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NCCc1nnnn1C ZINC000678515649 1072741156 /nfs/dbraw/zinc/74/11/56/1072741156.db2.gz GOCMFKAZUAVEMU-UHFFFAOYSA-N 0 0 432.510 -0.048 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCCc3nnnn3C)CC2=O)cc1 ZINC000678515776 1072741199 /nfs/dbraw/zinc/74/11/99/1072741199.db2.gz JVMJALWAJKAFDZ-AWEZNQCLSA-N 0 0 449.537 -0.048 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCCc3nnnn3C)CC2=O)cc1 ZINC000678515803 1072741320 /nfs/dbraw/zinc/74/13/20/1072741320.db2.gz JVMJALWAJKAFDZ-CQSZACIVSA-N 0 0 449.537 -0.048 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCc2nnnn2C)c1OC ZINC000678516301 1072741145 /nfs/dbraw/zinc/74/11/45/1072741145.db2.gz PVAGDYJAHUWEIB-UHFFFAOYSA-N 0 0 440.482 -0.779 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCCc3nnnn3C)CC2)cc1OC ZINC000678516357 1072741218 /nfs/dbraw/zinc/74/12/18/1072741218.db2.gz QJNKENXGKYCONT-UHFFFAOYSA-N 0 0 438.510 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCc3nnnn3C)CC2)cc1 ZINC000678516874 1072741097 /nfs/dbraw/zinc/74/10/97/1072741097.db2.gz UATUHAXTKQEWDB-UHFFFAOYSA-N 0 0 449.537 -0.509 20 0 IBADRN Cn1nnnc1CCNC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC000678517758 1072741251 /nfs/dbraw/zinc/74/12/51/1072741251.db2.gz RJWKBFXSXVVCQY-UHFFFAOYSA-N 0 0 449.493 -0.349 20 0 IBADRN Cn1nnnc1CCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000678518581 1072741187 /nfs/dbraw/zinc/74/11/87/1072741187.db2.gz XDUSVIKARCVDKJ-UHFFFAOYSA-N 0 0 436.494 -0.259 20 0 IBADRN CN(Cc1nc2c([nH]1)n(C)c(=O)n(C)c2=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000678520366 1072741131 /nfs/dbraw/zinc/74/11/31/1072741131.db2.gz CGJHWNGNVMEWMZ-UHFFFAOYSA-N 0 0 438.448 -0.511 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCc1nnnn1C ZINC000678523079 1072741069 /nfs/dbraw/zinc/74/10/69/1072741069.db2.gz ALEUBLWEMIRVCP-UHFFFAOYSA-N 0 0 439.498 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCc1nnnn1C ZINC000678523501 1072741232 /nfs/dbraw/zinc/74/12/32/1072741232.db2.gz CBJPOQYRDNJSCL-UHFFFAOYSA-N 0 0 425.471 -0.396 20 0 IBADRN COc1ccc(NC(=O)NCCc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000678524746 1072741307 /nfs/dbraw/zinc/74/13/07/1072741307.db2.gz YOAOIRJKCINCOF-UHFFFAOYSA-N 0 0 425.471 -0.396 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)nn1-c1ccccc1 ZINC000678525723 1072741116 /nfs/dbraw/zinc/74/11/16/1072741116.db2.gz PDICOBWPUHGGCD-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN CCOc1ccc(NC(=O)NCCc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000678526014 1072741400 /nfs/dbraw/zinc/74/14/00/1072741400.db2.gz AMNKNACCAMHYDZ-UHFFFAOYSA-N 0 0 439.498 -0.006 20 0 IBADRN Cn1nnnc1CCNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000678526759 1072741281 /nfs/dbraw/zinc/74/12/81/1072741281.db2.gz OFDGOQHQTXGHAF-GFCCVEGCSA-N 0 0 443.511 -0.960 20 0 IBADRN Cn1nnnc1CCNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000678526761 1072741653 /nfs/dbraw/zinc/74/16/53/1072741653.db2.gz OFDGOQHQTXGHAF-LBPRGKRZSA-N 0 0 443.511 -0.960 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCc1nnnn1C ZINC000678532165 1072741762 /nfs/dbraw/zinc/74/17/62/1072741762.db2.gz FDLZKEXWGDXEST-UHFFFAOYSA-N 0 0 435.510 -0.009 20 0 IBADRN Cn1nnnc1CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC(C)(C)C)c1 ZINC000678532341 1072741852 /nfs/dbraw/zinc/74/18/52/1072741852.db2.gz IMJVNWXKVSBFQA-UHFFFAOYSA-N 0 0 427.462 -0.277 20 0 IBADRN Cn1nnnc1CCNC(=O)C(=O)Nc1ccc2c(ccn2CCN2CCOCC2)c1 ZINC000678532532 1072741811 /nfs/dbraw/zinc/74/18/11/1072741811.db2.gz ORCPFXXBPBTQMI-UHFFFAOYSA-N 0 0 426.481 -0.206 20 0 IBADRN Cn1nnnc1CCNC(=O)C(=O)Nc1ncn(Cc2cccc(Br)c2)n1 ZINC000678532602 1072741665 /nfs/dbraw/zinc/74/16/65/1072741665.db2.gz QVVWRXZSZORZDE-UHFFFAOYSA-N 0 0 434.258 -0.090 20 0 IBADRN CCC(CC)NC(=O)[C@@H]1CN(C(=O)C(=O)NCCc2nnnn2C)c2ccccc2O1 ZINC000678532607 1072741840 /nfs/dbraw/zinc/74/18/40/1072741840.db2.gz RBLSXNQDWFDNLM-INIZCTEOSA-N 0 0 429.481 -0.032 20 0 IBADRN CCC(CC)NC(=O)[C@H]1CN(C(=O)C(=O)NCCc2nnnn2C)c2ccccc2O1 ZINC000678532608 1072741785 /nfs/dbraw/zinc/74/17/85/1072741785.db2.gz RBLSXNQDWFDNLM-MRXNPFEDSA-N 0 0 429.481 -0.032 20 0 IBADRN Cn1nnnc1CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000678532668 1072741676 /nfs/dbraw/zinc/74/16/76/1072741676.db2.gz UDMDXTHMBFXSQP-INIZCTEOSA-N 0 0 427.465 -0.325 20 0 IBADRN Cn1nnnc1CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCCCC2)Oc2ccccc21 ZINC000678532669 1072741697 /nfs/dbraw/zinc/74/16/97/1072741697.db2.gz UDMDXTHMBFXSQP-MRXNPFEDSA-N 0 0 427.465 -0.325 20 0 IBADRN Cn1nnnc1CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1 ZINC000678532817 1072741727 /nfs/dbraw/zinc/74/17/27/1072741727.db2.gz XIFZFOAJTHEKFB-UHFFFAOYSA-N 0 0 441.901 -0.055 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCc3nnnn3C)cc2)CC1 ZINC000678532843 1072741794 /nfs/dbraw/zinc/74/17/94/1072741794.db2.gz MEXDEJROOMNOMO-UHFFFAOYSA-N 0 0 428.497 -0.786 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCc3nnnn3C)cc2)C1 ZINC000678533023 1072741683 /nfs/dbraw/zinc/74/16/83/1072741683.db2.gz QIVMGDIQDRRKAW-CYBMUJFWSA-N 0 0 435.510 -0.072 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCc3nnnn3C)cc2)C1 ZINC000678533024 1072741715 /nfs/dbraw/zinc/74/17/15/1072741715.db2.gz QIVMGDIQDRRKAW-ZDUSSCGKSA-N 0 0 435.510 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCc1nnnn1C ZINC000678534116 1072741832 /nfs/dbraw/zinc/74/18/32/1072741832.db2.gz OAMJQMVSSJIQFU-UHFFFAOYSA-N 0 0 435.510 -0.511 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCc1nnnn1C ZINC000678534117 1072741741 /nfs/dbraw/zinc/74/17/41/1072741741.db2.gz OAWOCYNYIGNZBN-UHFFFAOYSA-N 0 0 439.498 -0.455 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCc2nnnn2C)cc1S(=O)(=O)N1CCCCC1 ZINC000678534339 1072741880 /nfs/dbraw/zinc/74/18/80/1072741880.db2.gz VXRGPDTXUKORMZ-UHFFFAOYSA-N 0 0 435.510 -0.009 20 0 IBADRN Cn1nnnc1CCNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000678534368 1072741867 /nfs/dbraw/zinc/74/18/67/1072741867.db2.gz XJOFWRUKGIZELZ-UHFFFAOYSA-N 0 0 447.521 -0.367 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)NCCc1nnnn1C ZINC000678534409 1072741705 /nfs/dbraw/zinc/74/17/05/1072741705.db2.gz YTBBLCCZYKYBNX-UHFFFAOYSA-N 0 0 439.498 -0.407 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCc3nnnn3C)cc2)CC1 ZINC000678534423 1072741752 /nfs/dbraw/zinc/74/17/52/1072741752.db2.gz ZSMMWEQCSSJCHF-UHFFFAOYSA-N 0 0 435.510 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NCCc2nnnn2C)c1 ZINC000678534430 1072741775 /nfs/dbraw/zinc/74/17/75/1072741775.db2.gz ZWQOUERUMLEVMG-UHFFFAOYSA-N 0 0 439.498 -0.453 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NCCc2nnnn2C)c1 ZINC000678537981 1072742487 /nfs/dbraw/zinc/74/24/87/1072742487.db2.gz OSSFYIXZFIPBJC-UHFFFAOYSA-N 0 0 438.514 -0.339 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000678538022 1072742535 /nfs/dbraw/zinc/74/25/35/1072742535.db2.gz JRZHZIMQHOOXOU-UHFFFAOYSA-N 0 0 433.556 -0.398 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000678544803 1072742287 /nfs/dbraw/zinc/74/22/87/1072742287.db2.gz HGOCBCSBSABRGX-INIZCTEOSA-N 0 0 428.559 -0.303 20 0 IBADRN Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000678544806 1072742369 /nfs/dbraw/zinc/74/23/69/1072742369.db2.gz HGOCBCSBSABRGX-MRXNPFEDSA-N 0 0 428.559 -0.303 20 0 IBADRN Cn1nnnc1CCNC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000678544925 1072742498 /nfs/dbraw/zinc/74/24/98/1072742498.db2.gz FKEDXTKWDABZMN-UHFFFAOYSA-N 0 0 447.477 -0.543 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)cn1 ZINC000678545686 1072742212 /nfs/dbraw/zinc/74/22/12/1072742212.db2.gz UVGBYMBUEUSSTP-UHFFFAOYSA-N 0 0 425.471 -0.228 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)cn1 ZINC000678545725 1072742507 /nfs/dbraw/zinc/74/25/07/1072742507.db2.gz WYSMXCJQAYTQPG-UHFFFAOYSA-N 0 0 429.485 -0.390 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)NCCc3nnnn3C)cc2)CC1 ZINC000678546274 1072742569 /nfs/dbraw/zinc/74/25/69/1072742569.db2.gz JIDGTTGNRITSAX-UHFFFAOYSA-N 0 0 444.540 -0.163 20 0 IBADRN Cn1nnnc1CCNC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000678546316 1072742248 /nfs/dbraw/zinc/74/22/48/1072742248.db2.gz LIKNXCWCNGOFCO-UHFFFAOYSA-N 0 0 445.299 -0.315 20 0 IBADRN Cn1nnnc1CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000678549033 1072742355 /nfs/dbraw/zinc/74/23/55/1072742355.db2.gz SQPNDHOEWKHYRG-UHFFFAOYSA-N 0 0 435.510 -0.818 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCCc3nnnn3C)CC2)c(OC)c1OC ZINC000678565559 1072742266 /nfs/dbraw/zinc/74/22/66/1072742266.db2.gz YPDHONPFFXSWKC-UHFFFAOYSA-N 0 0 433.469 -0.054 20 0 IBADRN Cn1nnnc1CCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000678567282 1072742374 /nfs/dbraw/zinc/74/23/74/1072742374.db2.gz VHJAXYUKSKUNJR-UHFFFAOYSA-N 0 0 433.416 -0.114 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCc2nnnn2C)c1C ZINC000678579047 1072742239 /nfs/dbraw/zinc/74/22/39/1072742239.db2.gz XBLCMIOKZIAIOU-CVEARBPZSA-N 0 0 436.538 -0.073 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCc2nnnn2C)c1C ZINC000678579048 1072742220 /nfs/dbraw/zinc/74/22/20/1072742220.db2.gz XBLCMIOKZIAIOU-HOTGVXAUSA-N 0 0 436.538 -0.073 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN([C@H]3CCOC3)CC2)CC1 ZINC000678627212 1072742308 /nfs/dbraw/zinc/74/23/08/1072742308.db2.gz BIUSHTYDOINFKY-KRWDZBQOSA-N 0 0 441.554 -0.469 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN([C@@H]3CCOC3)CC2)CC1 ZINC000678627215 1072742337 /nfs/dbraw/zinc/74/23/37/1072742337.db2.gz BIUSHTYDOINFKY-QGZVFWFLSA-N 0 0 441.554 -0.469 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN([C@H]3CCOC3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000678627736 1072742420 /nfs/dbraw/zinc/74/24/20/1072742420.db2.gz GDOQEDHATVYCLI-KRWDZBQOSA-N 0 0 427.505 -0.233 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN([C@@H]3CCOC3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000678627737 1072742464 /nfs/dbraw/zinc/74/24/64/1072742464.db2.gz GDOQEDHATVYCLI-QGZVFWFLSA-N 0 0 427.505 -0.233 20 0 IBADRN O=C(CN1CCN([C@H]2CCOC2)CC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000678630801 1072742385 /nfs/dbraw/zinc/74/23/85/1072742385.db2.gz VRAKTTHCRXEOFU-GHTZIAJQSA-N 0 0 444.532 -0.096 20 0 IBADRN O=C(CN1CCN([C@@H]2CCOC2)CC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000678630802 1072743056 /nfs/dbraw/zinc/74/30/56/1072743056.db2.gz VRAKTTHCRXEOFU-NQIIRXRSSA-N 0 0 444.532 -0.096 20 0 IBADRN O=C(CN1CCN([C@H]2CCOC2)CC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000678630803 1072743020 /nfs/dbraw/zinc/74/30/20/1072743020.db2.gz VRAKTTHCRXEOFU-RXVVDRJESA-N 0 0 444.532 -0.096 20 0 IBADRN O=C(CN1CCN([C@@H]2CCOC2)CC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000678630804 1072743084 /nfs/dbraw/zinc/74/30/84/1072743084.db2.gz VRAKTTHCRXEOFU-WIYYLYMNSA-N 0 0 444.532 -0.096 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000678641799 1072743047 /nfs/dbraw/zinc/74/30/47/1072743047.db2.gz KLVRZFJQZWJBAG-UHFFFAOYSA-N 0 0 449.555 -0.430 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000678657406 1072742967 /nfs/dbraw/zinc/74/29/67/1072742967.db2.gz UZAPGIAKYARBJG-INIZCTEOSA-N 0 0 426.495 -0.320 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCOc1ccc(NS(C)(=O)=O)cc1 ZINC000678657407 1072742956 /nfs/dbraw/zinc/74/29/56/1072742956.db2.gz UZAPGIAKYARBJG-MRXNPFEDSA-N 0 0 426.495 -0.320 20 0 IBADRN COCCN(C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000678658831 1072743067 /nfs/dbraw/zinc/74/30/67/1072743067.db2.gz CJNZSATUNGTAAN-HNNXBMFYSA-N 0 0 429.499 -0.591 20 0 IBADRN COCCN(C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000678658832 1072742920 /nfs/dbraw/zinc/74/29/20/1072742920.db2.gz CJNZSATUNGTAAN-OAHLLOKOSA-N 0 0 429.499 -0.591 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)n1 ZINC000678659565 1072742987 /nfs/dbraw/zinc/74/29/87/1072742987.db2.gz LRKPMYLUXDTZSS-AWEZNQCLSA-N 0 0 430.469 -0.909 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)n1 ZINC000678659566 1072742972 /nfs/dbraw/zinc/74/29/72/1072742972.db2.gz LRKPMYLUXDTZSS-CQSZACIVSA-N 0 0 430.469 -0.909 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC000678659897 1072743011 /nfs/dbraw/zinc/74/30/11/1072743011.db2.gz CBQGQBWEEPUIIO-UHFFFAOYSA-N 0 0 445.567 -0.641 20 0 IBADRN COCCN(C(=O)Nc1cccc(C(=O)NCCC(N)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000678659940 1072743077 /nfs/dbraw/zinc/74/30/77/1072743077.db2.gz CKKAAHUTVXUFGE-HNNXBMFYSA-N 0 0 426.495 -0.041 20 0 IBADRN COCCN(C(=O)Nc1cccc(C(=O)NCCC(N)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000678659942 1072743068 /nfs/dbraw/zinc/74/30/68/1072743068.db2.gz CKKAAHUTVXUFGE-OAHLLOKOSA-N 0 0 426.495 -0.041 20 0 IBADRN COCCCN(C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000678660137 1072743079 /nfs/dbraw/zinc/74/30/79/1072743079.db2.gz VABVZOXCCQAYQB-INIZCTEOSA-N 0 0 443.526 -0.201 20 0 IBADRN COCCCN(C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000678660138 1072742930 /nfs/dbraw/zinc/74/29/30/1072742930.db2.gz VABVZOXCCQAYQB-MRXNPFEDSA-N 0 0 443.526 -0.201 20 0 IBADRN COCCN(C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H]1CCS(=O)(=O)C1 ZINC000678660532 1072743094 /nfs/dbraw/zinc/74/30/94/1072743094.db2.gz BUJXOSCQLIGCDL-AWEZNQCLSA-N 0 0 429.499 -0.591 20 0 IBADRN COCCN(C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000678660533 1072742945 /nfs/dbraw/zinc/74/29/45/1072742945.db2.gz BUJXOSCQLIGCDL-CQSZACIVSA-N 0 0 429.499 -0.591 20 0 IBADRN COCCCN(C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@H]1CCS(=O)(=O)C1 ZINC000678661846 1072743097 /nfs/dbraw/zinc/74/30/97/1072743097.db2.gz LAQGOSDCZLFMJC-HNNXBMFYSA-N 0 0 443.526 -0.201 20 0 IBADRN COCCCN(C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000678661847 1072743038 /nfs/dbraw/zinc/74/30/38/1072743038.db2.gz LAQGOSDCZLFMJC-OAHLLOKOSA-N 0 0 443.526 -0.201 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1NS(C)(=O)=O ZINC000678665437 1072742994 /nfs/dbraw/zinc/74/29/94/1072742994.db2.gz MUNPCVPNIYQCFD-UHFFFAOYSA-N 0 0 436.512 -0.597 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000678667244 1072743509 /nfs/dbraw/zinc/74/35/09/1072743509.db2.gz BRWCYZHQZYWMCJ-GFCCVEGCSA-N 0 0 448.523 -0.455 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000678667245 1072743445 /nfs/dbraw/zinc/74/34/45/1072743445.db2.gz BRWCYZHQZYWMCJ-LBPRGKRZSA-N 0 0 448.523 -0.455 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000678667507 1072743497 /nfs/dbraw/zinc/74/34/97/1072743497.db2.gz HGNAXYJJXQHNIL-CYBMUJFWSA-N 0 0 432.524 -0.155 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1NS(C)(=O)=O ZINC000678667508 1072743478 /nfs/dbraw/zinc/74/34/78/1072743478.db2.gz HGNAXYJJXQHNIL-ZDUSSCGKSA-N 0 0 432.524 -0.155 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc(Br)c1=O ZINC000678667556 1072743329 /nfs/dbraw/zinc/74/33/29/1072743329.db2.gz JMWUYUZBAVLBQG-SECBINFHSA-N 0 0 435.300 -0.374 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc(Br)c1=O ZINC000678667557 1072743406 /nfs/dbraw/zinc/74/34/06/1072743406.db2.gz JMWUYUZBAVLBQG-VIFPVBQESA-N 0 0 435.300 -0.374 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1NS(C)(=O)=O ZINC000678667782 1072743545 /nfs/dbraw/zinc/74/35/45/1072743545.db2.gz KCJQPEPYVBSYOM-UHFFFAOYSA-N 0 0 447.539 -0.744 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000678667801 1072743564 /nfs/dbraw/zinc/74/35/64/1072743564.db2.gz KMVZSVVMBLWFRX-DZGCQCFKSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000678667802 1072743554 /nfs/dbraw/zinc/74/35/54/1072743554.db2.gz KMVZSVVMBLWFRX-HIFRSBDPSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C[C@@H]3CC(=O)NC3=O)cc2)C1 ZINC000678667803 1072743382 /nfs/dbraw/zinc/74/33/82/1072743382.db2.gz KMVZSVVMBLWFRX-UKRRQHHQSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C[C@H]3CC(=O)NC3=O)cc2)C1 ZINC000678667804 1072743373 /nfs/dbraw/zinc/74/33/73/1072743373.db2.gz KMVZSVVMBLWFRX-ZFWWWQNUSA-N 0 0 436.490 -0.630 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000678671390 1072743487 /nfs/dbraw/zinc/74/34/87/1072743487.db2.gz DQAPEQMIKVNIKV-INIZCTEOSA-N 0 0 432.568 -0.351 20 0 IBADRN CS(=O)(=O)C[C@@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000678671391 1072743429 /nfs/dbraw/zinc/74/34/29/1072743429.db2.gz DQAPEQMIKVNIKV-MRXNPFEDSA-N 0 0 432.568 -0.351 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1NS(C)(=O)=O ZINC000678673032 1072743346 /nfs/dbraw/zinc/74/33/46/1072743346.db2.gz NURCMEXGSAWFHO-UHFFFAOYSA-N 0 0 436.512 -0.551 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1S(=O)(=O)N(C)C ZINC000678676657 1072743361 /nfs/dbraw/zinc/74/33/61/1072743361.db2.gz ABEGWYVBCVDFIK-UHFFFAOYSA-N 0 0 439.538 -0.584 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000678676676 1072743418 /nfs/dbraw/zinc/74/34/18/1072743418.db2.gz ACVGYDRGHPCKFW-UHFFFAOYSA-N 0 0 440.522 -0.722 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(F)c(S(C)(=O)=O)c(F)c2)CC1 ZINC000678676726 1072743533 /nfs/dbraw/zinc/74/35/33/1072743533.db2.gz BGCZPUQFLUKYHO-UHFFFAOYSA-N 0 0 432.449 -0.461 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000678676727 1072743572 /nfs/dbraw/zinc/74/35/72/1072743572.db2.gz BGWDUKUAZDPKRB-UHFFFAOYSA-N 0 0 437.522 -0.702 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)cc2CS(C)(=O)=O)CC1 ZINC000678676812 1072743471 /nfs/dbraw/zinc/74/34/71/1072743471.db2.gz DCMVVUYWZOXXQB-UHFFFAOYSA-N 0 0 428.486 -0.459 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(Br)cn(C)c2=O)CC1 ZINC000678676886 1072743393 /nfs/dbraw/zinc/74/33/93/1072743393.db2.gz FFVVLRZIOSHFTK-UHFFFAOYSA-N 0 0 428.287 -0.681 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1NS(C)(=O)=O ZINC000678677182 1072744096 /nfs/dbraw/zinc/74/40/96/1072744096.db2.gz FWHCHVYGSRYLEA-UHFFFAOYSA-N 0 0 425.511 -0.463 20 0 IBADRN CCOc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000678677209 1072743970 /nfs/dbraw/zinc/74/39/70/1072743970.db2.gz GLXLSEJOTLVWNO-UHFFFAOYSA-N 0 0 433.509 -0.042 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC000678677331 1072744071 /nfs/dbraw/zinc/74/40/71/1072744071.db2.gz IRVQZFPYQVWING-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3nc4n(c(=O)c3c2)CCC4)CC1 ZINC000678677344 1072744061 /nfs/dbraw/zinc/74/40/61/1072744061.db2.gz JIFUDWQPYFDKFV-UHFFFAOYSA-N 0 0 426.477 -0.486 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000678677352 1072744015 /nfs/dbraw/zinc/74/40/15/1072744015.db2.gz GNLGSFCFJHWUGN-AWEZNQCLSA-N 0 0 436.490 -0.676 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000678677353 1072743996 /nfs/dbraw/zinc/74/39/96/1072743996.db2.gz GNLGSFCFJHWUGN-CQSZACIVSA-N 0 0 436.490 -0.676 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cc(Br)c(=O)n(C)c1 ZINC000678677417 1072743883 /nfs/dbraw/zinc/74/38/83/1072743883.db2.gz INTIVDOVQIYEPH-UHFFFAOYSA-N 0 0 435.300 -0.420 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cnn(-c3cnc4ccccc4n3)c2)CC1 ZINC000678677748 1072743953 /nfs/dbraw/zinc/74/39/53/1072743953.db2.gz JOTWCROTBIYTRI-UHFFFAOYSA-N 0 0 436.476 -0.014 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(-n3nnnc3C3CC3)c2)CC1 ZINC000678677786 1072744067 /nfs/dbraw/zinc/74/40/67/1072744067.db2.gz KGEFHLZUGQHBCT-UHFFFAOYSA-N 0 0 444.471 -0.150 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000678677835 1072744081 /nfs/dbraw/zinc/74/40/81/1072744081.db2.gz LJPRLMOEPLADOG-UHFFFAOYSA-N 0 0 425.511 -0.892 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)CC1 ZINC000678677873 1072744090 /nfs/dbraw/zinc/74/40/90/1072744090.db2.gz MFRRRAWJGWGLLI-UHFFFAOYSA-N 0 0 430.914 -0.086 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000678677920 1072743899 /nfs/dbraw/zinc/74/38/99/1072743899.db2.gz NLCWFQMRLMEQAJ-UHFFFAOYSA-N 0 0 437.522 -0.603 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CS(C)(=O)=O)c(F)c2)CC1 ZINC000678677921 1072744027 /nfs/dbraw/zinc/74/40/27/1072744027.db2.gz NLDSHICXTPQVLI-UHFFFAOYSA-N 0 0 428.486 -0.459 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(OCC(=O)N3CCCC3)c2)CC1 ZINC000678677937 1072744036 /nfs/dbraw/zinc/74/40/36/1072744036.db2.gz OPKCIYNQSMYYPG-UHFFFAOYSA-N 0 0 445.520 -0.141 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)c1C ZINC000678678296 1072744023 /nfs/dbraw/zinc/74/40/23/1072744023.db2.gz PFVYFNGWQIBCSH-UHFFFAOYSA-N 0 0 425.511 -0.878 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2N2CCC(C(N)=O)CC2)CC1 ZINC000678678324 1072744005 /nfs/dbraw/zinc/74/40/05/1072744005.db2.gz POWHIWXNOJHDGJ-UHFFFAOYSA-N 0 0 444.536 -0.441 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1 ZINC000678678344 1072743983 /nfs/dbraw/zinc/74/39/83/1072743983.db2.gz QBHJPYNVJCYFGQ-UHFFFAOYSA-N 0 0 444.536 -0.755 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)ccc1C ZINC000678678352 1072743943 /nfs/dbraw/zinc/74/39/43/1072743943.db2.gz QIWPECDZORRNFH-UHFFFAOYSA-N 0 0 425.511 -0.926 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000678678412 1072744605 /nfs/dbraw/zinc/74/46/05/1072744605.db2.gz RYQWHDBPQZPGCN-UHFFFAOYSA-N 0 0 437.522 -0.603 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000678678435 1072744504 /nfs/dbraw/zinc/74/45/04/1072744504.db2.gz SGNOJOCDFHFFIV-UHFFFAOYSA-N 0 0 425.511 -0.933 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1NS(C)(=O)=O ZINC000678678939 1072744585 /nfs/dbraw/zinc/74/45/85/1072744585.db2.gz UXJIZYLIBANGOQ-UHFFFAOYSA-N 0 0 441.510 -0.762 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)c1C ZINC000678679004 1072744477 /nfs/dbraw/zinc/74/44/77/1072744477.db2.gz VNSLCBGNQTUQEU-UHFFFAOYSA-N 0 0 425.511 -0.926 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1NS(C)(=O)=O ZINC000678679377 1072744549 /nfs/dbraw/zinc/74/45/49/1072744549.db2.gz VTUXEEHWLBSYCW-UHFFFAOYSA-N 0 0 448.523 -0.501 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1NS(C)(=O)=O ZINC000678679659 1072744515 /nfs/dbraw/zinc/74/45/15/1072744515.db2.gz YTRFYBVOLBNDJC-UHFFFAOYSA-N 0 0 432.524 -0.201 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(Br)c(=O)n(C)c3)CCN2C1=O ZINC000678679725 1072744492 /nfs/dbraw/zinc/74/44/92/1072744492.db2.gz HATOMKXUWORCEH-LLVKDONJSA-N 0 0 440.254 -0.419 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(Br)c(=O)n(C)c3)CCN2C1=O ZINC000678679727 1072744614 /nfs/dbraw/zinc/74/46/14/1072744614.db2.gz HATOMKXUWORCEH-NSHDSACASA-N 0 0 440.254 -0.419 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(C[C@H]3CC(=O)NC3=O)cc1)CC2 ZINC000678680058 1072744401 /nfs/dbraw/zinc/74/44/01/1072744401.db2.gz SGPAELSEFHXNCY-AWEZNQCLSA-N 0 0 437.456 -0.008 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(C[C@@H]3CC(=O)NC3=O)cc1)CC2 ZINC000678680060 1072744383 /nfs/dbraw/zinc/74/43/83/1072744383.db2.gz SGPAELSEFHXNCY-CQSZACIVSA-N 0 0 437.456 -0.008 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C)c(NS(C)(=O)=O)c3)CCN2C1=O ZINC000678680164 1072744466 /nfs/dbraw/zinc/74/44/66/1072744466.db2.gz MGBOGMHZKGQTDZ-AWEZNQCLSA-N 0 0 437.478 -0.200 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C)c(NS(C)(=O)=O)c3)CCN2C1=O ZINC000678680165 1072744528 /nfs/dbraw/zinc/74/45/28/1072744528.db2.gz MGBOGMHZKGQTDZ-CQSZACIVSA-N 0 0 437.478 -0.200 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)CCN2C1=O ZINC000678680544 1072744422 /nfs/dbraw/zinc/74/44/22/1072744422.db2.gz RFUPTCCKFIPOAP-DZGCQCFKSA-N 0 0 441.444 -0.675 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)CCN2C1=O ZINC000678680545 1072744440 /nfs/dbraw/zinc/74/44/40/1072744440.db2.gz RFUPTCCKFIPOAP-HIFRSBDPSA-N 0 0 441.444 -0.675 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C[C@@H]4CC(=O)NC4=O)cc3)CCN2C1=O ZINC000678680546 1072744410 /nfs/dbraw/zinc/74/44/10/1072744410.db2.gz RFUPTCCKFIPOAP-UKRRQHHQSA-N 0 0 441.444 -0.675 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C[C@H]4CC(=O)NC4=O)cc3)CCN2C1=O ZINC000678680547 1072744430 /nfs/dbraw/zinc/74/44/30/1072744430.db2.gz RFUPTCCKFIPOAP-ZFWWWQNUSA-N 0 0 441.444 -0.675 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1-n1nnnc1C ZINC000678680818 1072744577 /nfs/dbraw/zinc/74/45/77/1072744577.db2.gz AHFUOBHXXMEDEH-UHFFFAOYSA-N 0 0 430.469 -0.850 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CN(C)C3=O)c2)CC1 ZINC000678680828 1072744539 /nfs/dbraw/zinc/74/45/39/1072744539.db2.gz ASXMPMDZEHJDLP-UHFFFAOYSA-N 0 0 430.465 -0.744 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)c(=O)n(-c2ccccc2)n1C ZINC000678680852 1072744567 /nfs/dbraw/zinc/74/45/67/1072744567.db2.gz CEELZYVGZMQDRK-UHFFFAOYSA-N 0 0 428.493 -0.345 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CCN3CCOCC3)cc2)CC1 ZINC000678680897 1072744450 /nfs/dbraw/zinc/74/44/50/1072744450.db2.gz BGGTWGOSSPKDRQ-UHFFFAOYSA-N 0 0 431.537 -0.268 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)ccc1N1CCCC1=O ZINC000678681001 1072744904 /nfs/dbraw/zinc/74/49/04/1072744904.db2.gz DXQPMNUTQFREDX-UHFFFAOYSA-N 0 0 431.493 -0.007 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NS(C)(=O)=O)c(Cl)c2)CC1 ZINC000678681174 1072744990 /nfs/dbraw/zinc/74/49/90/1072744990.db2.gz HHQSTARPQNZVCV-UHFFFAOYSA-N 0 0 445.929 -0.118 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000678681219 1072745003 /nfs/dbraw/zinc/74/50/03/1072745003.db2.gz KPFSHTLMUBLDPX-UHFFFAOYSA-N 0 0 429.477 -0.494 20 0 IBADRN Cc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000678681240 1072744981 /nfs/dbraw/zinc/74/49/81/1072744981.db2.gz LTOHSMGATYEORY-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN CCNC(=O)N1CCc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N(C)C)CC3)cc21 ZINC000678681249 1072744936 /nfs/dbraw/zinc/74/49/36/1072744936.db2.gz LWWILDZYMDHROF-UHFFFAOYSA-N 0 0 430.509 -0.051 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000678681255 1072744952 /nfs/dbraw/zinc/74/49/52/1072744952.db2.gz MBIJMSDSZPRBOJ-UHFFFAOYSA-N 0 0 444.536 -0.755 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000678681260 1072744927 /nfs/dbraw/zinc/74/49/27/1072744927.db2.gz MDHFNELOBFCMEC-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(Cn3cccnc3=O)c2)CC1 ZINC000678681267 1072745014 /nfs/dbraw/zinc/74/50/14/1072745014.db2.gz MITWJYZSCWVPBM-UHFFFAOYSA-N 0 0 426.477 -0.538 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000678681287 1072744946 /nfs/dbraw/zinc/74/49/46/1072744946.db2.gz JHZCSIGVYPHBIR-UHFFFAOYSA-N 0 0 443.501 -0.753 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)c(OCC(=O)N(C)C)c1 ZINC000678681312 1072744898 /nfs/dbraw/zinc/74/48/98/1072744898.db2.gz NVRPJUKNTOASKZ-UHFFFAOYSA-N 0 0 433.509 -0.367 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cccc1C(=O)N1CCOCC1 ZINC000678681330 1072745043 /nfs/dbraw/zinc/74/50/43/1072745043.db2.gz KCGZUEMBLSZUFK-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCOCC2)CC1 ZINC000678681414 1072744943 /nfs/dbraw/zinc/74/49/43/1072744943.db2.gz OPTBLDYGZWAFFH-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(-c3nnnn3C3CC3)c2)CC1 ZINC000678681423 1072744975 /nfs/dbraw/zinc/74/49/75/1072744975.db2.gz OWEPGPOXYVLRQO-UHFFFAOYSA-N 0 0 426.481 -0.154 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1C ZINC000678681429 1072744995 /nfs/dbraw/zinc/74/49/95/1072744995.db2.gz PEIFXMXWZMSJRN-UHFFFAOYSA-N 0 0 439.538 -0.072 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC3CCOCC3)cc2)CC1 ZINC000678681457 1072745057 /nfs/dbraw/zinc/74/50/57/1072745057.db2.gz QQWDQNCUJSMBEH-UHFFFAOYSA-N 0 0 445.520 -0.234 20 0 IBADRN CCOc1cc(C(=O)N(C)C)ccc1NC(=O)C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000678681481 1072744966 /nfs/dbraw/zinc/74/49/66/1072744966.db2.gz RZVMDBQQZVIUAN-UHFFFAOYSA-N 0 0 433.509 -0.042 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000678681522 1072745023 /nfs/dbraw/zinc/74/50/23/1072745023.db2.gz MZLKYCWANGGYFL-UHFFFAOYSA-N 0 0 433.513 -0.887 20 0 IBADRN CSc1nnnn1-c1cccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000678681550 1072745037 /nfs/dbraw/zinc/74/50/37/1072745037.db2.gz NZXZTEXLZNGNKF-UHFFFAOYSA-N 0 0 432.510 -0.445 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)N(CC2CC2)C3=O)CC1 ZINC000678681616 1072745064 /nfs/dbraw/zinc/74/50/64/1072745064.db2.gz URSFNBXDPRWLGP-UHFFFAOYSA-N 0 0 441.488 -0.137 20 0 IBADRN CNC(=O)Cn1ccc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N(C)C)CC3)cc21 ZINC000678681631 1072745476 /nfs/dbraw/zinc/74/54/76/1072745476.db2.gz VEKKIWRHBFDYGU-UHFFFAOYSA-N 0 0 428.493 -0.442 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC000678681644 1072745486 /nfs/dbraw/zinc/74/54/86/1072745486.db2.gz VMZWNBWUUZUUBT-UHFFFAOYSA-N 0 0 445.520 -0.741 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2N(C)S(C)(=O)=O)CC1 ZINC000678681675 1072745594 /nfs/dbraw/zinc/74/55/94/1072745594.db2.gz XBQJCQCOTMVZCT-UHFFFAOYSA-N 0 0 425.511 -0.747 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)c3nccn3C)cc2)CC1 ZINC000678681683 1072745389 /nfs/dbraw/zinc/74/53/89/1072745389.db2.gz XJULODCLAJYFMD-UHFFFAOYSA-N 0 0 426.477 -0.178 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000678681688 1072745601 /nfs/dbraw/zinc/74/56/01/1072745601.db2.gz XNSHZHFJUSPRRH-UHFFFAOYSA-N 0 0 429.477 -0.494 20 0 IBADRN Cc1cc(C(=O)N2CCOCC2)ccc1NC(=O)C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000678681699 1072745412 /nfs/dbraw/zinc/74/54/12/1072745412.db2.gz YPBUFWLXVVHAQL-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@@H]3CCCO3)cc2)CC1 ZINC000678681832 1072745492 /nfs/dbraw/zinc/74/54/92/1072745492.db2.gz UJWBEQWMRDSEDH-KRWDZBQOSA-N 0 0 431.493 -0.025 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)[C@H]3CCCO3)cc2)CC1 ZINC000678681833 1072745608 /nfs/dbraw/zinc/74/56/08/1072745608.db2.gz UJWBEQWMRDSEDH-QGZVFWFLSA-N 0 0 431.493 -0.025 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000678681915 1072745509 /nfs/dbraw/zinc/74/55/09/1072745509.db2.gz XPFJYLMTNBCDJB-UHFFFAOYSA-N 0 0 439.538 -0.456 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-c3nnc(N(C)C)o3)cc2)CC1 ZINC000678681930 1072745419 /nfs/dbraw/zinc/74/54/19/1072745419.db2.gz YHAVYBSMLBNLAD-UHFFFAOYSA-N 0 0 429.481 -0.027 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)cn1)NC1CCCC1 ZINC000678684851 1072745567 /nfs/dbraw/zinc/74/55/67/1072745567.db2.gz YRMJAVCRYOVVOG-MSOLQXFVSA-N 0 0 432.525 -0.062 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)cn1)NC1CCCC1 ZINC000678684853 1072745535 /nfs/dbraw/zinc/74/55/35/1072745535.db2.gz YRMJAVCRYOVVOG-QZTJIDSGSA-N 0 0 432.525 -0.062 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)cn1)NC1CCCC1 ZINC000678684855 1072745430 /nfs/dbraw/zinc/74/54/30/1072745430.db2.gz YRMJAVCRYOVVOG-ROUUACIJSA-N 0 0 432.525 -0.062 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)cn1)NC1CCCC1 ZINC000678684859 1072745521 /nfs/dbraw/zinc/74/55/21/1072745521.db2.gz YRMJAVCRYOVVOG-ZWKOTPCHSA-N 0 0 432.525 -0.062 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](C)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000678687062 1072745499 /nfs/dbraw/zinc/74/54/99/1072745499.db2.gz BQAGKSVRNJNARV-GXTWGEPZSA-N 0 0 427.479 -0.506 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000678687063 1072745585 /nfs/dbraw/zinc/74/55/85/1072745585.db2.gz BQAGKSVRNJNARV-JSGCOSHPSA-N 0 0 427.479 -0.506 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000678687064 1072745401 /nfs/dbraw/zinc/74/54/01/1072745401.db2.gz BQAGKSVRNJNARV-OCCSQVGLSA-N 0 0 427.479 -0.506 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H](C)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000678687065 1072745555 /nfs/dbraw/zinc/74/55/55/1072745555.db2.gz BQAGKSVRNJNARV-TZMCWYRMSA-N 0 0 427.479 -0.506 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)CC1 ZINC000678688159 1072745455 /nfs/dbraw/zinc/74/54/55/1072745455.db2.gz HWPRIOGZRXBOGN-UHFFFAOYSA-N 0 0 444.536 -0.579 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](C)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000678688406 1072745989 /nfs/dbraw/zinc/74/59/89/1072745989.db2.gz HUIXSMZSXNTREH-DZGCQCFKSA-N 0 0 441.506 -0.116 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](C)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000678688407 1072746011 /nfs/dbraw/zinc/74/60/11/1072746011.db2.gz HUIXSMZSXNTREH-HIFRSBDPSA-N 0 0 441.506 -0.116 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@@H](C)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000678688408 1072745972 /nfs/dbraw/zinc/74/59/72/1072745972.db2.gz HUIXSMZSXNTREH-UKRRQHHQSA-N 0 0 441.506 -0.116 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2C[C@H](O)[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000678688409 1072746047 /nfs/dbraw/zinc/74/60/47/1072746047.db2.gz HUIXSMZSXNTREH-ZFWWWQNUSA-N 0 0 441.506 -0.116 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C[C@H]1O ZINC000678689105 1072746002 /nfs/dbraw/zinc/74/60/02/1072746002.db2.gz JOKZSPMCTAIABX-CXAGYDPISA-N 0 0 440.522 -0.449 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C[C@@H]1O ZINC000678689109 1072745954 /nfs/dbraw/zinc/74/59/54/1072745954.db2.gz JOKZSPMCTAIABX-DYVFJYSZSA-N 0 0 440.522 -0.449 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C[C@@H]1O ZINC000678689110 1072746053 /nfs/dbraw/zinc/74/60/53/1072746053.db2.gz JOKZSPMCTAIABX-GUYCJALGSA-N 0 0 440.522 -0.449 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C[C@H]1O ZINC000678689111 1072745961 /nfs/dbraw/zinc/74/59/61/1072745961.db2.gz JOKZSPMCTAIABX-SUMWQHHRSA-N 0 0 440.522 -0.449 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)[C@@H](O)C1 ZINC000678690484 1072746016 /nfs/dbraw/zinc/74/60/16/1072746016.db2.gz OCJFQTKOXDGRRG-DOMZBBRYSA-N 0 0 427.479 -0.506 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)[C@H](O)C1 ZINC000678690486 1072745966 /nfs/dbraw/zinc/74/59/66/1072745966.db2.gz OCJFQTKOXDGRRG-IUODEOHRSA-N 0 0 427.479 -0.506 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](C)[C@H](O)C1 ZINC000678690488 1072745999 /nfs/dbraw/zinc/74/59/99/1072745999.db2.gz OCJFQTKOXDGRRG-SWLSCSKDSA-N 0 0 427.479 -0.506 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](O)[C@@H](C)C1 ZINC000678690490 1072745985 /nfs/dbraw/zinc/74/59/85/1072745985.db2.gz OCJFQTKOXDGRRG-WFASDCNBSA-N 0 0 427.479 -0.506 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)cc1-n1nnnc1C ZINC000678691250 1072746036 /nfs/dbraw/zinc/74/60/36/1072746036.db2.gz PWVRNVZRXCFSDA-DOTOQJQBSA-N 0 0 429.481 -0.025 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)cc1-n1nnnc1C ZINC000678691251 1072746063 /nfs/dbraw/zinc/74/60/63/1072746063.db2.gz PWVRNVZRXCFSDA-NVXWUHKLSA-N 0 0 429.481 -0.025 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)cc1-n1nnnc1C ZINC000678691252 1072745941 /nfs/dbraw/zinc/74/59/41/1072745941.db2.gz PWVRNVZRXCFSDA-RDJZCZTQSA-N 0 0 429.481 -0.025 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)cc1-n1nnnc1C ZINC000678691268 1072746021 /nfs/dbraw/zinc/74/60/21/1072746021.db2.gz PWVRNVZRXCFSDA-WBVHZDCISA-N 0 0 429.481 -0.025 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](O)[C@@H](C)C1 ZINC000678692193 1072745979 /nfs/dbraw/zinc/74/59/79/1072745979.db2.gz WNNSNBHETPLIGU-BBRMVZONSA-N 0 0 441.506 -0.116 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)[C@@H](O)C1 ZINC000678692194 1072745935 /nfs/dbraw/zinc/74/59/35/1072745935.db2.gz WNNSNBHETPLIGU-CJNGLKHVSA-N 0 0 441.506 -0.116 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H](C)[C@H](O)C1 ZINC000678692195 1072745927 /nfs/dbraw/zinc/74/59/27/1072745927.db2.gz WNNSNBHETPLIGU-CZUORRHYSA-N 0 0 441.506 -0.116 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H](C)[C@H](O)C1 ZINC000678692196 1072745992 /nfs/dbraw/zinc/74/59/92/1072745992.db2.gz WNNSNBHETPLIGU-XJKSGUPXSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](O)[C@@H](C)C1 ZINC000678692209 1072746551 /nfs/dbraw/zinc/74/65/51/1072746551.db2.gz WVERFKJLKSXXCR-BBRMVZONSA-N 0 0 440.522 -0.591 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](C)[C@@H](O)C1 ZINC000678692210 1072746412 /nfs/dbraw/zinc/74/64/12/1072746412.db2.gz WVERFKJLKSXXCR-CJNGLKHVSA-N 0 0 440.522 -0.591 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@@H](C)[C@H](O)C1 ZINC000678692211 1072746525 /nfs/dbraw/zinc/74/65/25/1072746525.db2.gz WVERFKJLKSXXCR-CZUORRHYSA-N 0 0 440.522 -0.591 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1C[C@H](C)[C@H](O)C1 ZINC000678692212 1072746470 /nfs/dbraw/zinc/74/64/70/1072746470.db2.gz WVERFKJLKSXXCR-XJKSGUPXSA-N 0 0 440.522 -0.591 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC000678701654 1072746425 /nfs/dbraw/zinc/74/64/25/1072746425.db2.gz DOZMXAZYORNTRD-UHFFFAOYSA-N 0 0 448.476 -0.578 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC(OCC(=O)N(C)C)C3)cc2)CC1 ZINC000678701843 1072746494 /nfs/dbraw/zinc/74/64/94/1072746494.db2.gz IFXHFUNEUBPLBZ-UHFFFAOYSA-N 0 0 445.520 -0.282 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C1 ZINC000678702015 1072746460 /nfs/dbraw/zinc/74/64/60/1072746460.db2.gz KVFVAAVYKKGTBE-UHFFFAOYSA-N 0 0 444.536 -0.570 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)C1 ZINC000678702504 1072746507 /nfs/dbraw/zinc/74/65/07/1072746507.db2.gz YGLKTFGICQJFNQ-UHFFFAOYSA-N 0 0 431.493 -0.672 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@H]1O ZINC000678706923 1072746448 /nfs/dbraw/zinc/74/64/48/1072746448.db2.gz QMOMFFHNOFMECC-DZGCQCFKSA-N 0 0 425.511 -0.669 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@@H]1O ZINC000678706924 1072746479 /nfs/dbraw/zinc/74/64/79/1072746479.db2.gz QMOMFFHNOFMECC-HIFRSBDPSA-N 0 0 425.511 -0.669 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@H]1O ZINC000678706925 1072746569 /nfs/dbraw/zinc/74/65/69/1072746569.db2.gz QMOMFFHNOFMECC-UKRRQHHQSA-N 0 0 425.511 -0.669 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@@H]1O ZINC000678706926 1072746564 /nfs/dbraw/zinc/74/65/64/1072746564.db2.gz QMOMFFHNOFMECC-ZFWWWQNUSA-N 0 0 425.511 -0.669 20 0 IBADRN O=C1N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C(=O)N1CCN1CCCS1(=O)=O ZINC000678716699 1072746557 /nfs/dbraw/zinc/74/65/57/1072746557.db2.gz YNNYOXKESLBNRY-INIZCTEOSA-N 0 0 431.467 -0.214 20 0 IBADRN O=C1N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C(=O)N1CCN1CCCS1(=O)=O ZINC000678716700 1072746533 /nfs/dbraw/zinc/74/65/33/1072746533.db2.gz YNNYOXKESLBNRY-MRXNPFEDSA-N 0 0 431.467 -0.214 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)O1 ZINC000678731332 1072746520 /nfs/dbraw/zinc/74/65/20/1072746520.db2.gz NNDZWXKXCWSQGR-BBRMVZONSA-N 0 0 428.511 -0.588 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)O1 ZINC000678731333 1072746434 /nfs/dbraw/zinc/74/64/34/1072746434.db2.gz NNDZWXKXCWSQGR-CJNGLKHVSA-N 0 0 428.511 -0.588 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)O1 ZINC000678731334 1072747120 /nfs/dbraw/zinc/74/71/20/1072747120.db2.gz NNDZWXKXCWSQGR-CZUORRHYSA-N 0 0 428.511 -0.588 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)O1 ZINC000678731335 1072747134 /nfs/dbraw/zinc/74/71/34/1072747134.db2.gz NNDZWXKXCWSQGR-XJKSGUPXSA-N 0 0 428.511 -0.588 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)Nc2c(OC)ncnc2N(C)C)cc1 ZINC000678749692 1072746916 /nfs/dbraw/zinc/74/69/16/1072746916.db2.gz SDWFFBJGXBUPIN-UHFFFAOYSA-N 0 0 436.494 -0.145 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCc1cc(S(N)(=O)=O)ccc1F ZINC000678749981 1072747055 /nfs/dbraw/zinc/74/70/55/1072747055.db2.gz APQFYQPXPTXLOS-UHFFFAOYSA-N 0 0 426.430 -0.407 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N[C@@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000678750499 1072746908 /nfs/dbraw/zinc/74/69/08/1072746908.db2.gz LJMZBKGIWYJXKQ-GFCCVEGCSA-N 0 0 427.465 -0.016 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)N[C@H]1CCN(c2ccccc2C(N)=O)C1 ZINC000678750500 1072747064 /nfs/dbraw/zinc/74/70/64/1072747064.db2.gz LJMZBKGIWYJXKQ-LBPRGKRZSA-N 0 0 427.465 -0.016 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)O1 ZINC000678754825 1072747011 /nfs/dbraw/zinc/74/70/11/1072747011.db2.gz OHOCPJUCKFZLTI-CRAIPNDOSA-N 0 0 445.520 -0.235 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)O1 ZINC000678754831 1072746944 /nfs/dbraw/zinc/74/69/44/1072746944.db2.gz OHOCPJUCKFZLTI-MAUKXSAKSA-N 0 0 445.520 -0.235 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)O1 ZINC000678754832 1072746877 /nfs/dbraw/zinc/74/68/77/1072746877.db2.gz OHOCPJUCKFZLTI-QAPCUYQASA-N 0 0 445.520 -0.235 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)O1 ZINC000678754833 1072746990 /nfs/dbraw/zinc/74/69/90/1072746990.db2.gz OHOCPJUCKFZLTI-YJBOKZPZSA-N 0 0 445.520 -0.235 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000678762008 1072747078 /nfs/dbraw/zinc/74/70/78/1072747078.db2.gz UMISHCJBNWVBGK-AWEZNQCLSA-N 0 0 449.551 -0.393 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000678762009 1072747030 /nfs/dbraw/zinc/74/70/30/1072747030.db2.gz UMISHCJBNWVBGK-CQSZACIVSA-N 0 0 449.551 -0.393 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000678789861 1072746968 /nfs/dbraw/zinc/74/69/68/1072746968.db2.gz CDQPVKYFIYYQEB-UHFFFAOYSA-N 0 0 432.524 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(Cn3cccn3)c2)CC1)N1CCOCC1 ZINC000678791945 1072747531 /nfs/dbraw/zinc/74/75/31/1072747531.db2.gz LDFNFIPWZXFUQZ-UHFFFAOYSA-N 0 0 440.504 -0.127 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(-c3ccccc3)n2)CC1)N1CCOCC1 ZINC000678791973 1072747659 /nfs/dbraw/zinc/74/76/59/1072747659.db2.gz LZDRUOMWKPBHQN-UHFFFAOYSA-N 0 0 426.477 -0.186 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(C[C@@H]3CCCO3)c2)CC1)N1CCOCC1 ZINC000678792366 1072747726 /nfs/dbraw/zinc/74/77/26/1072747726.db2.gz WOICRAZJDNAMSA-KRWDZBQOSA-N 0 0 434.497 -0.996 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(C[C@H]3CCCO3)c2)CC1)N1CCOCC1 ZINC000678792374 1072747519 /nfs/dbraw/zinc/74/75/19/1072747519.db2.gz WOICRAZJDNAMSA-QGZVFWFLSA-N 0 0 434.497 -0.996 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC1 ZINC000678826987 1072747698 /nfs/dbraw/zinc/74/76/98/1072747698.db2.gz IRTHQZKELASXIQ-UHFFFAOYSA-N 0 0 439.460 -0.288 20 0 IBADRN CN(CCCNS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1)S(C)(=O)=O ZINC000678828855 1072747610 /nfs/dbraw/zinc/74/76/10/1072747610.db2.gz WQNVZVGWHSEUMP-UHFFFAOYSA-N 0 0 434.462 -0.123 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(C)(C)NS(C)(=O)=O)cc1C(=O)NCC(F)(F)F ZINC000678831665 1072747501 /nfs/dbraw/zinc/74/75/01/1072747501.db2.gz SWDNLDBWQPIVSG-UHFFFAOYSA-N 0 0 434.462 -0.077 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC000678833592 1072747560 /nfs/dbraw/zinc/74/75/60/1072747560.db2.gz GTAZBBPAIDRHTH-UHFFFAOYSA-N 0 0 446.473 -0.027 20 0 IBADRN COCC[C@@H](NC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000678886457 1072747435 /nfs/dbraw/zinc/74/74/35/1072747435.db2.gz QCAJQGTZNXJGQB-CYBMUJFWSA-N 0 0 430.483 -0.272 20 0 IBADRN COCC[C@H](NC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC000678886459 1072747718 /nfs/dbraw/zinc/74/77/18/1072747718.db2.gz QCAJQGTZNXJGQB-ZDUSSCGKSA-N 0 0 430.483 -0.272 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)cnc2n(C)c1=O ZINC000678886569 1072747670 /nfs/dbraw/zinc/74/76/70/1072747670.db2.gz QODXQEDPWQBSGW-ADLMAVQZSA-N 0 0 437.478 -0.510 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)cnc2n(C)c1=O ZINC000678886574 1072747545 /nfs/dbraw/zinc/74/75/45/1072747545.db2.gz QODXQEDPWQBSGW-BBATYDOGSA-N 0 0 437.478 -0.510 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)cnc2n(C)c1=O ZINC000678886576 1072747578 /nfs/dbraw/zinc/74/75/78/1072747578.db2.gz QODXQEDPWQBSGW-VOJFVSQTSA-N 0 0 437.478 -0.510 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)cnc2n(C)c1=O ZINC000678886578 1072747711 /nfs/dbraw/zinc/74/77/11/1072747711.db2.gz QODXQEDPWQBSGW-ZMZPIMSZSA-N 0 0 437.478 -0.510 20 0 IBADRN Cc1nnc(CN2CCN(CC(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)CC2)[nH]1 ZINC000678891860 1072747633 /nfs/dbraw/zinc/74/76/33/1072747633.db2.gz QLHYHLOITZRQCD-UHFFFAOYSA-N 0 0 447.565 -0.236 20 0 IBADRN COCC[C@H](NC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(N)=O ZINC000678895595 1072748090 /nfs/dbraw/zinc/74/80/90/1072748090.db2.gz QLFSJSJAUZORGC-AWEZNQCLSA-N 0 0 443.526 -0.215 20 0 IBADRN COCC[C@@H](NC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(N)=O ZINC000678895598 1072748278 /nfs/dbraw/zinc/74/82/78/1072748278.db2.gz QLFSJSJAUZORGC-CQSZACIVSA-N 0 0 443.526 -0.215 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CCN1S(C)(=O)=O ZINC000678958774 1072748208 /nfs/dbraw/zinc/74/82/08/1072748208.db2.gz NDUDUINPFGXRQD-ZDUSSCGKSA-N 0 0 434.540 -0.848 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCC(=O)N3CCOCC3)cn2)CC1 ZINC000678961078 1072748266 /nfs/dbraw/zinc/74/82/66/1072748266.db2.gz NQEUGUUQGOHJHU-UHFFFAOYSA-N 0 0 426.499 -0.857 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)NCC(=O)N2CCOCC2)cc1 ZINC000678961478 1072748139 /nfs/dbraw/zinc/74/81/39/1072748139.db2.gz DOBQBANFQTWELZ-UHFFFAOYSA-N 0 0 432.525 -0.123 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnc(OCC(F)(F)F)c2)CC1 ZINC000678967954 1072748197 /nfs/dbraw/zinc/74/81/97/1072748197.db2.gz DJZFOKZESQIKIX-UHFFFAOYSA-N 0 0 439.416 -0.088 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC(=O)N1CCOCC1 ZINC000678969311 1072748188 /nfs/dbraw/zinc/74/81/88/1072748188.db2.gz BLLSBBOEJROYPR-SFHVURJKSA-N 0 0 441.506 -0.264 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCC(=O)N1CCOCC1 ZINC000678970448 1072748078 /nfs/dbraw/zinc/74/80/78/1072748078.db2.gz FMOMMDXTUZDTRL-ZDUSSCGKSA-N 0 0 427.479 -0.510 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCC(=O)N3CCOCC3)cc2)CC1 ZINC000678970968 1072748116 /nfs/dbraw/zinc/74/81/16/1072748116.db2.gz MIHTWFRMZXNUET-UHFFFAOYSA-N 0 0 431.493 -0.622 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000678972226 1072748103 /nfs/dbraw/zinc/74/81/03/1072748103.db2.gz YAWSKPJACUPHKK-STQMWFEESA-N 0 0 446.551 -0.196 20 0 IBADRN CC1(C)CN(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000679008309 1072748048 /nfs/dbraw/zinc/74/80/48/1072748048.db2.gz LVHJNJFCTMOBLP-UHFFFAOYSA-N 0 0 447.583 -0.521 20 0 IBADRN CN(CC(=O)N1CCOCC1)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000679014800 1072748238 /nfs/dbraw/zinc/74/82/38/1072748238.db2.gz KXZBQTVVOOBPEY-LJQANCHMSA-N 0 0 446.504 -0.712 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000679016871 1072748176 /nfs/dbraw/zinc/74/81/76/1072748176.db2.gz CCMHTVPGZGYJOE-UHFFFAOYSA-N 0 0 432.568 -0.143 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4cn5ccccc5n4)CC3)c2c(=O)n(C)c1=O ZINC000679017960 1072748248 /nfs/dbraw/zinc/74/82/48/1072748248.db2.gz ZWRFGMAUUPOVJZ-UHFFFAOYSA-N 0 0 436.476 -0.574 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(Cc3cn4ccccc4n3)CC1)c(=O)n2C ZINC000679018592 1072748227 /nfs/dbraw/zinc/74/82/27/1072748227.db2.gz OOBHYOHOXFNXPU-UHFFFAOYSA-N 0 0 436.476 -0.574 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)COCC3)CC1 ZINC000679077806 1072748162 /nfs/dbraw/zinc/74/81/62/1072748162.db2.gz WRMWCEMDZIOSJT-UHFFFAOYSA-N 0 0 440.522 -0.008 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)COCC3)CC1 ZINC000679078652 1072748065 /nfs/dbraw/zinc/74/80/65/1072748065.db2.gz OUKPPRYCWHYDCW-UHFFFAOYSA-N 0 0 426.495 -0.397 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)COCC3)CC1 ZINC000679081038 1072748035 /nfs/dbraw/zinc/74/80/35/1072748035.db2.gz VPBJIFVPLLQCKS-HNNXBMFYSA-N 0 0 433.509 -0.222 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)COCC3)CC1 ZINC000679081039 1072748720 /nfs/dbraw/zinc/74/87/20/1072748720.db2.gz VPBJIFVPLLQCKS-OAHLLOKOSA-N 0 0 433.509 -0.222 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCCCO1 ZINC000679087506 1072748674 /nfs/dbraw/zinc/74/86/74/1072748674.db2.gz BDVYUSSZKTZONA-BBRMVZONSA-N 0 0 438.506 -0.181 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@H]1CCCCO1 ZINC000679087512 1072748751 /nfs/dbraw/zinc/74/87/51/1072748751.db2.gz BDVYUSSZKTZONA-CJNGLKHVSA-N 0 0 438.506 -0.181 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCCCO1 ZINC000679087513 1072748638 /nfs/dbraw/zinc/74/86/38/1072748638.db2.gz BDVYUSSZKTZONA-CZUORRHYSA-N 0 0 438.506 -0.181 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@H]1CCCCO1 ZINC000679087514 1072748653 /nfs/dbraw/zinc/74/86/53/1072748653.db2.gz BDVYUSSZKTZONA-XJKSGUPXSA-N 0 0 438.506 -0.181 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)N1C[C@@H](O)C[C@@H]1CO ZINC000679109683 1072748778 /nfs/dbraw/zinc/74/87/78/1072748778.db2.gz BZQCLLBVLHRKGW-KGLIPLIRSA-N 0 0 439.490 -0.277 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)N1C[C@H](O)C[C@H]1CO ZINC000679109686 1072748764 /nfs/dbraw/zinc/74/87/64/1072748764.db2.gz BZQCLLBVLHRKGW-UONOGXRCSA-N 0 0 439.490 -0.277 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)N1C[C@H](O)C[C@@H]1CO ZINC000679109687 1072748754 /nfs/dbraw/zinc/74/87/54/1072748754.db2.gz BZQCLLBVLHRKGW-ZIAGYGMSSA-N 0 0 439.490 -0.277 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)N3C[C@@H](O)C[C@@H]3CO)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000679110585 1072748715 /nfs/dbraw/zinc/74/87/15/1072748715.db2.gz NCPKRYOISPCPLD-NEPJUHHUSA-N 0 0 440.412 -0.003 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)N3C[C@H](O)C[C@H]3CO)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000679110586 1072748696 /nfs/dbraw/zinc/74/86/96/1072748696.db2.gz NCPKRYOISPCPLD-NWDGAFQWSA-N 0 0 440.412 -0.003 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)N3C[C@H](O)C[C@@H]3CO)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000679110587 1072748771 /nfs/dbraw/zinc/74/87/71/1072748771.db2.gz NCPKRYOISPCPLD-VXGBXAGGSA-N 0 0 440.412 -0.003 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)C2)cc1 ZINC000679110948 1072748725 /nfs/dbraw/zinc/74/87/25/1072748725.db2.gz WFJGGWZJUUBZEV-KRWDZBQOSA-N 0 0 438.506 -0.689 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)[C@H]3CCCN3C(=O)CNC(C)=O)C2)cc1 ZINC000679110949 1072748709 /nfs/dbraw/zinc/74/87/09/1072748709.db2.gz WFJGGWZJUUBZEV-QGZVFWFLSA-N 0 0 438.506 -0.689 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N1C[C@@H](O)C[C@@H]1CO ZINC000679111224 1072748683 /nfs/dbraw/zinc/74/86/83/1072748683.db2.gz GKZYUDNSELHACO-CVEARBPZSA-N 0 0 443.497 -0.607 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N1C[C@H](O)C[C@@H]1CO ZINC000679111225 1072748735 /nfs/dbraw/zinc/74/87/35/1072748735.db2.gz GKZYUDNSELHACO-HZPDHXFCSA-N 0 0 443.497 -0.607 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N1C[C@H](O)C[C@H]1CO ZINC000679111226 1072748739 /nfs/dbraw/zinc/74/87/39/1072748739.db2.gz GKZYUDNSELHACO-JKSUJKDBSA-N 0 0 443.497 -0.607 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@@H](O)C[C@@H]1CO ZINC000679111269 1072748624 /nfs/dbraw/zinc/74/86/24/1072748624.db2.gz IONVFHKPCKFGBT-CABCVRRESA-N 0 0 426.491 -0.187 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@H](O)C[C@@H]1CO ZINC000679111270 1072748665 /nfs/dbraw/zinc/74/86/65/1072748665.db2.gz IONVFHKPCKFGBT-HUUCEWRRSA-N 0 0 426.491 -0.187 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@H](O)C[C@H]1CO ZINC000679111271 1072749310 /nfs/dbraw/zinc/74/93/10/1072749310.db2.gz IONVFHKPCKFGBT-LSDHHAIUSA-N 0 0 426.491 -0.187 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3C[C@H](O)C[C@H]3CO)CC2)cc1 ZINC000679111756 1072749320 /nfs/dbraw/zinc/74/93/20/1072749320.db2.gz SMRQTDLCUGLDQD-DLBZAZTESA-N 0 0 439.534 -0.438 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3C[C@H](O)C[C@@H]3CO)CC2)cc1 ZINC000679111759 1072749215 /nfs/dbraw/zinc/74/92/15/1072749215.db2.gz SMRQTDLCUGLDQD-IAGOWNOFSA-N 0 0 439.534 -0.438 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3C[C@@H](O)C[C@@H]3CO)CC2)cc1 ZINC000679111761 1072749238 /nfs/dbraw/zinc/74/92/38/1072749238.db2.gz SMRQTDLCUGLDQD-SJORKVTESA-N 0 0 439.534 -0.438 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)N1C[C@H](O)C[C@@H]1CO)Nc1cccc(Br)c1 ZINC000679112953 1072749204 /nfs/dbraw/zinc/74/92/04/1072749204.db2.gz VWYGPPFYGQMUJI-CHWSQXEVSA-N 0 0 435.296 -0.244 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)N1C[C@@H](O)C[C@@H]1CO)Nc1cccc(Br)c1 ZINC000679112954 1072749342 /nfs/dbraw/zinc/74/93/42/1072749342.db2.gz VWYGPPFYGQMUJI-OLZOCXBDSA-N 0 0 435.296 -0.244 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)N1C[C@H](O)C[C@H]1CO)Nc1cccc(Br)c1 ZINC000679112955 1072749002 /nfs/dbraw/zinc/74/90/02/1072749002.db2.gz VWYGPPFYGQMUJI-QWHCGFSZSA-N 0 0 435.296 -0.244 20 0 IBADRN NS(=O)(=O)c1ccc(O)c(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)c1 ZINC000679113786 1072749048 /nfs/dbraw/zinc/74/90/48/1072749048.db2.gz ISROEEVZWMUDIS-UHFFFAOYSA-N 0 0 431.448 -0.094 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1cc(S(N)(=O)=O)ccc1O ZINC000679113842 1072749255 /nfs/dbraw/zinc/74/92/55/1072749255.db2.gz KWNBJRSWTVRIER-UHFFFAOYSA-N 0 0 444.491 -0.349 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000679115602 1072749192 /nfs/dbraw/zinc/74/91/92/1072749192.db2.gz SSPPOWVGSJNPTQ-AWEZNQCLSA-N 0 0 445.519 -0.629 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000679115604 1072749175 /nfs/dbraw/zinc/74/91/75/1072749175.db2.gz SSPPOWVGSJNPTQ-CQSZACIVSA-N 0 0 445.519 -0.629 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000679115966 1072749289 /nfs/dbraw/zinc/74/92/89/1072749289.db2.gz WPTCQFCKCCVILT-UHFFFAOYSA-N 0 0 447.535 -0.335 20 0 IBADRN O=C(CNC(=O)c1ccncc1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000679123750 1072749114 /nfs/dbraw/zinc/74/91/14/1072749114.db2.gz SSAJOXFBSMPRTQ-UHFFFAOYSA-N 0 0 432.458 -0.228 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000679131748 1072749276 /nfs/dbraw/zinc/74/92/76/1072749276.db2.gz KXYDNJMCDQKZHW-UHFFFAOYSA-N 0 0 427.531 -0.102 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000679162055 1072749035 /nfs/dbraw/zinc/74/90/35/1072749035.db2.gz SOYIZWWXOXUUEX-UHFFFAOYSA-N 0 0 439.604 -0.430 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2ccc(OCC(F)(F)F)nc2)CC1 ZINC000679187552 1072749077 /nfs/dbraw/zinc/74/90/77/1072749077.db2.gz MAWWRJVEZNQAJE-UHFFFAOYSA-N 0 0 446.473 -0.122 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H]1F ZINC000679208942 1072749332 /nfs/dbraw/zinc/74/93/32/1072749332.db2.gz HGDIMPAHHIVRNF-DOMZBBRYSA-N 0 0 426.470 -0.048 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H]1F ZINC000679208943 1072749092 /nfs/dbraw/zinc/74/90/92/1072749092.db2.gz HGDIMPAHHIVRNF-IUODEOHRSA-N 0 0 426.470 -0.048 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H]1F ZINC000679208944 1072749854 /nfs/dbraw/zinc/74/98/54/1072749854.db2.gz HGDIMPAHHIVRNF-SWLSCSKDSA-N 0 0 426.470 -0.048 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H]1F ZINC000679208945 1072749943 /nfs/dbraw/zinc/74/99/43/1072749943.db2.gz HGDIMPAHHIVRNF-WFASDCNBSA-N 0 0 426.470 -0.048 20 0 IBADRN CC(C)[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000679210785 1072749821 /nfs/dbraw/zinc/74/98/21/1072749821.db2.gz METSNPGBKNCVEE-INIZCTEOSA-N 0 0 439.538 -0.015 20 0 IBADRN CC(C)[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000679210789 1072749716 /nfs/dbraw/zinc/74/97/16/1072749716.db2.gz METSNPGBKNCVEE-MRXNPFEDSA-N 0 0 439.538 -0.015 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000679238424 1072749727 /nfs/dbraw/zinc/74/97/27/1072749727.db2.gz ZWISCZKJYVMKDF-INIZCTEOSA-N 0 0 443.522 -0.136 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000679238427 1072749760 /nfs/dbraw/zinc/74/97/60/1072749760.db2.gz ZWISCZKJYVMKDF-MRXNPFEDSA-N 0 0 443.522 -0.136 20 0 IBADRN CCCn1cc(C(=O)NCCC(=O)N2CCN(C(=O)c3cn(CCC)nn3)CC2)nn1 ZINC000679318122 1072749915 /nfs/dbraw/zinc/74/99/15/1072749915.db2.gz BKSWPADSDJDSGK-UHFFFAOYSA-N 0 0 431.501 -0.206 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000679337197 1072749829 /nfs/dbraw/zinc/74/98/29/1072749829.db2.gz AHBZCZPNAZTCIY-KRWDZBQOSA-N 0 0 443.508 -0.335 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000679337198 1072749876 /nfs/dbraw/zinc/74/98/76/1072749876.db2.gz AHBZCZPNAZTCIY-QGZVFWFLSA-N 0 0 443.508 -0.335 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1Cl ZINC000679344449 1072749752 /nfs/dbraw/zinc/74/97/52/1072749752.db2.gz WKVIUVIRJAOVIH-CYBMUJFWSA-N 0 0 436.856 -0.074 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1Cl ZINC000679344450 1072749686 /nfs/dbraw/zinc/74/96/86/1072749686.db2.gz WKVIUVIRJAOVIH-ZDUSSCGKSA-N 0 0 436.856 -0.074 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)C(=O)N1CC=CCC1 ZINC000679365514 1072749663 /nfs/dbraw/zinc/74/96/63/1072749663.db2.gz JYVSNFCYAUOMDV-AWEZNQCLSA-N 0 0 427.504 -0.121 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)C(=O)N1CC=CCC1 ZINC000679365515 1072749889 /nfs/dbraw/zinc/74/98/89/1072749889.db2.gz JYVSNFCYAUOMDV-CQSZACIVSA-N 0 0 427.504 -0.121 20 0 IBADRN COc1ccc(NC(=O)CN(C)CC(=O)N2CCN(C)CC2)cc1S(=O)(=O)N(C)C ZINC000679373008 1072749859 /nfs/dbraw/zinc/74/98/59/1072749859.db2.gz GXRGMJYYPOZFGT-UHFFFAOYSA-N 0 0 441.554 -0.410 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN(C)CC(=O)N2CCN(C)CC2)c(=O)[nH]c1=O ZINC000679373235 1072749671 /nfs/dbraw/zinc/74/96/71/1072749671.db2.gz JBBKQRQELKAMEV-UHFFFAOYSA-N 0 0 437.545 -0.610 20 0 IBADRN Cc1ccc(NC(=O)CN(C)CC(=O)N2CCN(C)CC2)cc1S(=O)(=O)N(C)C ZINC000679373299 1072749781 /nfs/dbraw/zinc/74/97/81/1072749781.db2.gz LJLAJAHSMNCCNO-UHFFFAOYSA-N 0 0 425.555 -0.110 20 0 IBADRN CN(CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C)CC1 ZINC000679373405 1072749927 /nfs/dbraw/zinc/74/99/27/1072749927.db2.gz LOGZWPQOWAECOA-INIZCTEOSA-N 0 0 442.607 -0.030 20 0 IBADRN CN(CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C)CC1 ZINC000679373406 1072749797 /nfs/dbraw/zinc/74/97/97/1072749797.db2.gz LOGZWPQOWAECOA-MRXNPFEDSA-N 0 0 442.607 -0.030 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N(C)CC(=O)N1CCN(C)CC1 ZINC000679374183 1072750571 /nfs/dbraw/zinc/75/05/71/1072750571.db2.gz VPKHUDCXOHRILQ-HNNXBMFYSA-N 0 0 425.555 -0.913 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N(C)CC(=O)N1CCN(C)CC1 ZINC000679374184 1072750344 /nfs/dbraw/zinc/75/03/44/1072750344.db2.gz VPKHUDCXOHRILQ-OAHLLOKOSA-N 0 0 425.555 -0.913 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000679378384 1072750520 /nfs/dbraw/zinc/75/05/20/1072750520.db2.gz NDKKSSPNVUTBOG-UHFFFAOYSA-N 0 0 448.543 -0.386 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N(C)CC(=O)N1CCN(C)CC1 ZINC000679381314 1072750463 /nfs/dbraw/zinc/75/04/63/1072750463.db2.gz VWROVLPBCFGUGS-HNNXBMFYSA-N 0 0 425.555 -0.030 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N(C)CC(=O)N1CCN(C)CC1 ZINC000679381318 1072750492 /nfs/dbraw/zinc/75/04/92/1072750492.db2.gz VWROVLPBCFGUGS-OAHLLOKOSA-N 0 0 425.555 -0.030 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000679381713 1072750545 /nfs/dbraw/zinc/75/05/45/1072750545.db2.gz ZJQPVCXXVQKLJU-UHFFFAOYSA-N 0 0 437.566 -0.775 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nccnc2N2CCCN(S(C)(=O)=O)CC2)CC1 ZINC000679389687 1072750377 /nfs/dbraw/zinc/75/03/77/1072750377.db2.gz GKEYXVAAJLFQRP-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nccnc2N2CCN(CC(=O)NC(C)C)CC2)CC1 ZINC000679390053 1072750439 /nfs/dbraw/zinc/75/04/39/1072750439.db2.gz NPFIKYPWORAULA-UHFFFAOYSA-N 0 0 446.600 -0.230 20 0 IBADRN Cc1nc(NCCN2CCCS2(=O)=O)nc(NCCN2CCCS2(=O)=O)c1F ZINC000679390890 1072750405 /nfs/dbraw/zinc/75/04/05/1072750405.db2.gz IOGNZYZAFMWSIO-UHFFFAOYSA-N 0 0 436.535 -0.181 20 0 IBADRN Cn1cc(N2CCN(c3nccnc3N3CCN(c4cnn(C)c4)C(=O)C3)CC2=O)cn1 ZINC000679391184 1072750597 /nfs/dbraw/zinc/75/05/97/1072750597.db2.gz OBGLOHIQCJBODF-UHFFFAOYSA-N 0 0 436.480 -0.350 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000679406166 1072750430 /nfs/dbraw/zinc/75/04/30/1072750430.db2.gz JJBMAMQLSYHOQW-DZKIICNBSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000679406167 1072750394 /nfs/dbraw/zinc/75/03/94/1072750394.db2.gz JJBMAMQLSYHOQW-FMKPAKJESA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC000679406168 1072750535 /nfs/dbraw/zinc/75/05/35/1072750535.db2.gz JJBMAMQLSYHOQW-LZWOXQAQSA-N 0 0 433.552 -0.437 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC000679406169 1072750309 /nfs/dbraw/zinc/75/03/09/1072750309.db2.gz JJBMAMQLSYHOQW-YCPHGPKFSA-N 0 0 433.552 -0.437 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000679406662 1072750606 /nfs/dbraw/zinc/75/06/06/1072750606.db2.gz GOHBMKBIUQNDSK-KBXCAEBGSA-N 0 0 427.523 -0.224 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000679406665 1072750484 /nfs/dbraw/zinc/75/04/84/1072750484.db2.gz GOHBMKBIUQNDSK-KDOFPFPSSA-N 0 0 427.523 -0.224 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000679406667 1072750513 /nfs/dbraw/zinc/75/05/13/1072750513.db2.gz GOHBMKBIUQNDSK-KSSFIOAISA-N 0 0 427.523 -0.224 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000679406671 1072750455 /nfs/dbraw/zinc/75/04/55/1072750455.db2.gz GOHBMKBIUQNDSK-RDTXWAMCSA-N 0 0 427.523 -0.224 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCCN2CCCS2(=O)=O)[C@@H](C)O)cc1 ZINC000679407838 1072750586 /nfs/dbraw/zinc/75/05/86/1072750586.db2.gz RYTOAOSCAPANMM-GDBMZVCRSA-N 0 0 433.552 -0.435 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCCN2CCCS2(=O)=O)[C@H](C)O)cc1 ZINC000679407839 1072751024 /nfs/dbraw/zinc/75/10/24/1072751024.db2.gz RYTOAOSCAPANMM-GOEBONIOSA-N 0 0 433.552 -0.435 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCCN2CCCS2(=O)=O)[C@H](C)O)cc1 ZINC000679407840 1072750882 /nfs/dbraw/zinc/75/08/82/1072750882.db2.gz RYTOAOSCAPANMM-HOCLYGCPSA-N 0 0 433.552 -0.435 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCCN2CCCS2(=O)=O)[C@@H](C)O)cc1 ZINC000679407841 1072751061 /nfs/dbraw/zinc/75/10/61/1072751061.db2.gz RYTOAOSCAPANMM-ZBFHGGJFSA-N 0 0 433.552 -0.435 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000679408277 1072750937 /nfs/dbraw/zinc/75/09/37/1072750937.db2.gz CQTHANGMGBBHCB-BLLLJJGKSA-N 0 0 425.511 -0.623 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000679408278 1072750963 /nfs/dbraw/zinc/75/09/63/1072750963.db2.gz CQTHANGMGBBHCB-LRDDRELGSA-N 0 0 425.511 -0.623 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000679408279 1072751162 /nfs/dbraw/zinc/75/11/62/1072751162.db2.gz CQTHANGMGBBHCB-MLGOLLRUSA-N 0 0 425.511 -0.623 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000679408280 1072751095 /nfs/dbraw/zinc/75/10/95/1072751095.db2.gz CQTHANGMGBBHCB-WBMJQRKESA-N 0 0 425.511 -0.623 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(C)CCN2CCCS2(=O)=O)[C@@H](C)O)cc1 ZINC000679410213 1072751104 /nfs/dbraw/zinc/75/11/04/1072751104.db2.gz CAQPORZRFCULJD-GDBMZVCRSA-N 0 0 433.552 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N(C)CCN2CCCS2(=O)=O)[C@H](C)O)cc1 ZINC000679410214 1072751032 /nfs/dbraw/zinc/75/10/32/1072751032.db2.gz CAQPORZRFCULJD-GOEBONIOSA-N 0 0 433.552 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)CCN2CCCS2(=O)=O)[C@H](C)O)cc1 ZINC000679410215 1072751073 /nfs/dbraw/zinc/75/10/73/1072751073.db2.gz CAQPORZRFCULJD-HOCLYGCPSA-N 0 0 433.552 -0.483 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N(C)CCN2CCCS2(=O)=O)[C@@H](C)O)cc1 ZINC000679410216 1072751006 /nfs/dbraw/zinc/75/10/06/1072751006.db2.gz CAQPORZRFCULJD-ZBFHGGJFSA-N 0 0 433.552 -0.483 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)CCN2C1=O ZINC000679410824 1072750923 /nfs/dbraw/zinc/75/09/23/1072750923.db2.gz LDXLOZHFFJFHRQ-BPUTZDHNSA-N 0 0 438.506 -0.482 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)CCN2C1=O ZINC000679410825 1072751132 /nfs/dbraw/zinc/75/11/32/1072751132.db2.gz LDXLOZHFFJFHRQ-CWRNSKLLSA-N 0 0 438.506 -0.482 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)CCN2C1=O ZINC000679410826 1072751141 /nfs/dbraw/zinc/75/11/41/1072751141.db2.gz LDXLOZHFFJFHRQ-KBMXLJTQSA-N 0 0 438.506 -0.482 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)CCN2C1=O ZINC000679410827 1072751049 /nfs/dbraw/zinc/75/10/49/1072751049.db2.gz LDXLOZHFFJFHRQ-VNQPRFMTSA-N 0 0 438.506 -0.482 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679414074 1072751081 /nfs/dbraw/zinc/75/10/81/1072751081.db2.gz JVAPFUDFFWVWMZ-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679414075 1072751600 /nfs/dbraw/zinc/75/16/00/1072751600.db2.gz JVAPFUDFFWVWMZ-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1oc(C)c(S(N)(=O)=O)c1C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679414111 1072751590 /nfs/dbraw/zinc/75/15/90/1072751590.db2.gz KSUKMZJUFPMARX-CYBMUJFWSA-N 0 0 435.524 -0.190 20 0 IBADRN Cc1oc(C)c(S(N)(=O)=O)c1C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679414113 1072751547 /nfs/dbraw/zinc/75/15/47/1072751547.db2.gz KSUKMZJUFPMARX-ZDUSSCGKSA-N 0 0 435.524 -0.190 20 0 IBADRN Cc1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1S(N)(=O)=O ZINC000679417075 1072751565 /nfs/dbraw/zinc/75/15/65/1072751565.db2.gz XHMPABDJODDHMD-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1S(N)(=O)=O ZINC000679417077 1072751573 /nfs/dbraw/zinc/75/15/73/1072751573.db2.gz XHMPABDJODDHMD-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000679418590 1072751470 /nfs/dbraw/zinc/75/14/70/1072751470.db2.gz XYTNTPIYCLJUNI-KRWDZBQOSA-N 0 0 445.563 -0.080 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000679418591 1072751539 /nfs/dbraw/zinc/75/15/39/1072751539.db2.gz XYTNTPIYCLJUNI-QGZVFWFLSA-N 0 0 445.563 -0.080 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)ccc1S(N)(=O)=O ZINC000679419301 1072751561 /nfs/dbraw/zinc/75/15/61/1072751561.db2.gz UHULRNHRBVLYGQ-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)ccc1S(N)(=O)=O ZINC000679419302 1072751482 /nfs/dbraw/zinc/75/14/82/1072751482.db2.gz UHULRNHRBVLYGQ-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000679420989 1072751555 /nfs/dbraw/zinc/75/15/55/1072751555.db2.gz RQUZCRJJLWSPMW-GOSISDBHSA-N 0 0 434.518 -0.283 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000679420991 1072751517 /nfs/dbraw/zinc/75/15/17/1072751517.db2.gz RQUZCRJJLWSPMW-SFHVURJKSA-N 0 0 434.518 -0.283 20 0 IBADRN C[C@@H](CNC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1)S(N)(=O)=O ZINC000679424577 1072751593 /nfs/dbraw/zinc/75/15/93/1072751593.db2.gz GOQTVLDNCOGFGR-AWEZNQCLSA-N 0 0 426.543 -0.305 20 0 IBADRN C[C@H](CNC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1)S(N)(=O)=O ZINC000679424578 1072751451 /nfs/dbraw/zinc/75/14/51/1072751451.db2.gz GOQTVLDNCOGFGR-CQSZACIVSA-N 0 0 426.543 -0.305 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1 ZINC000679432499 1072751584 /nfs/dbraw/zinc/75/15/84/1072751584.db2.gz SBVHYIWPGLQUFK-UHFFFAOYSA-N 0 0 430.552 -0.992 20 0 IBADRN Cc1nc([C@@H]2COCCN2CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)no1 ZINC000679438238 1072751603 /nfs/dbraw/zinc/75/16/03/1072751603.db2.gz RSJABJCWDPLOGL-JYJNAYRXSA-N 0 0 428.511 -0.054 20 0 IBADRN Cc1nc([C@@H]2COCCN2CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)no1 ZINC000679438239 1072751544 /nfs/dbraw/zinc/75/15/44/1072751544.db2.gz RSJABJCWDPLOGL-OAGGEKHMSA-N 0 0 428.511 -0.054 20 0 IBADRN Cc1nc([C@@H]2COCCN2CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)no1 ZINC000679438240 1072751489 /nfs/dbraw/zinc/75/14/89/1072751489.db2.gz RSJABJCWDPLOGL-PMPSAXMXSA-N 0 0 428.511 -0.054 20 0 IBADRN Cc1nc([C@@H]2COCCN2CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)no1 ZINC000679438241 1072751578 /nfs/dbraw/zinc/75/15/78/1072751578.db2.gz RSJABJCWDPLOGL-XHSDSOJGSA-N 0 0 428.511 -0.054 20 0 IBADRN Cc1nc([C@@H]2COCCN2CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)no1 ZINC000679438262 1072751528 /nfs/dbraw/zinc/75/15/28/1072751528.db2.gz SQYAFHNILYLCIY-HNNXBMFYSA-N 0 0 427.469 -0.223 20 0 IBADRN Cc1nc([C@H]2COCCN2CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)no1 ZINC000679438263 1072751501 /nfs/dbraw/zinc/75/15/01/1072751501.db2.gz SQYAFHNILYLCIY-OAHLLOKOSA-N 0 0 427.469 -0.223 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)c(C)c1NS(C)(=O)=O ZINC000679439727 1072751852 /nfs/dbraw/zinc/75/18/52/1072751852.db2.gz UCMUYULKVAQLLD-UHFFFAOYSA-N 0 0 442.523 -0.081 20 0 IBADRN Cc1nc([C@@H]2COCCN2C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)no1 ZINC000679456254 1072752091 /nfs/dbraw/zinc/75/20/91/1072752091.db2.gz FADFIUZJGZXSFS-HNNXBMFYSA-N 0 0 435.462 -0.288 20 0 IBADRN Cc1nc([C@H]2COCCN2C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)no1 ZINC000679456255 1072751783 /nfs/dbraw/zinc/75/17/83/1072751783.db2.gz FADFIUZJGZXSFS-OAHLLOKOSA-N 0 0 435.462 -0.288 20 0 IBADRN Cc1nc([C@@H]2COCCN2C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)no1 ZINC000679457147 1072752080 /nfs/dbraw/zinc/75/20/80/1072752080.db2.gz RVTOQYKFJNBAFX-HNNXBMFYSA-N 0 0 435.462 -0.288 20 0 IBADRN Cc1nc([C@H]2COCCN2C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)no1 ZINC000679457148 1072752040 /nfs/dbraw/zinc/75/20/40/1072752040.db2.gz RVTOQYKFJNBAFX-OAHLLOKOSA-N 0 0 435.462 -0.288 20 0 IBADRN Cc1nc([C@@H]2COCCN2C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)no1 ZINC000679458485 1072752049 /nfs/dbraw/zinc/75/20/49/1072752049.db2.gz WBCCOMLZHFGPET-DOMZBBRYSA-N 0 0 435.462 -0.022 20 0 IBADRN Cc1nc([C@H]2COCCN2C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)no1 ZINC000679458486 1072752128 /nfs/dbraw/zinc/75/21/28/1072752128.db2.gz WBCCOMLZHFGPET-IUODEOHRSA-N 0 0 435.462 -0.022 20 0 IBADRN Cc1nc([C@H]2COCCN2C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)no1 ZINC000679458487 1072751824 /nfs/dbraw/zinc/75/18/24/1072751824.db2.gz WBCCOMLZHFGPET-SWLSCSKDSA-N 0 0 435.462 -0.022 20 0 IBADRN Cc1nc([C@@H]2COCCN2C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)no1 ZINC000679458488 1072752177 /nfs/dbraw/zinc/75/21/77/1072752177.db2.gz WBCCOMLZHFGPET-WFASDCNBSA-N 0 0 435.462 -0.022 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2ncc(Br)cc2S(N)(=O)=O)CC1 ZINC000679465920 1072752153 /nfs/dbraw/zinc/75/21/53/1072752153.db2.gz FDMQXKNHYVMVJX-UHFFFAOYSA-N 0 0 428.334 -0.580 20 0 IBADRN CS(=O)(=O)N1CCN(CCNc2ncc(Br)cc2S(N)(=O)=O)CC1 ZINC000679465969 1072751954 /nfs/dbraw/zinc/75/19/54/1072751954.db2.gz HCMGCTBTQIUNAK-UHFFFAOYSA-N 0 0 442.361 -0.519 20 0 IBADRN O=C(Nc1ccnn1-c1ccncc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000679500277 1072751874 /nfs/dbraw/zinc/75/18/74/1072751874.db2.gz SWRUDCGJEQFATI-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccnn2-c2ccncc2)CC1 ZINC000679501855 1072751914 /nfs/dbraw/zinc/75/19/14/1072751914.db2.gz RMJYEHWXSKPGSZ-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccnn2-c2ccncc2)CC1 ZINC000679504257 1072752007 /nfs/dbraw/zinc/75/20/07/1072752007.db2.gz HSPHXJATAULBGT-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cnc(-c3ccccc3)nc2)CC1 ZINC000679506034 1072752138 /nfs/dbraw/zinc/75/21/38/1072752138.db2.gz BRSZDXNZHGIJCW-UHFFFAOYSA-N 0 0 432.506 -0.225 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccnn1-c1ccncc1)N1CCN(c2ncccn2)CC1 ZINC000679506641 1072752160 /nfs/dbraw/zinc/75/21/60/1072752160.db2.gz VBXXQKZTBKYAKU-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccnn1-c1ccncc1 ZINC000679507434 1072752061 /nfs/dbraw/zinc/75/20/61/1072752061.db2.gz CHYQOUGMKUNJRF-HNNXBMFYSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccnn1-c1ccncc1 ZINC000679507435 1072751976 /nfs/dbraw/zinc/75/19/76/1072751976.db2.gz CHYQOUGMKUNJRF-OAHLLOKOSA-N 0 0 436.494 -0.190 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccnn2-c2ccncc2)CC1 ZINC000679508227 1072752101 /nfs/dbraw/zinc/75/21/01/1072752101.db2.gz KBOYQMGZVXAYIR-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccnn2-c2ccncc2)CC1 ZINC000679508228 1072752182 /nfs/dbraw/zinc/75/21/82/1072752182.db2.gz KBOYQMGZVXAYIR-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN O=C(Nc1ccnn1-c1ccncc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000679508376 1072752632 /nfs/dbraw/zinc/75/26/32/1072752632.db2.gz KSIWEMLNPSVFIQ-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnn2-c2ccncc2)CC1 ZINC000679510758 1072752499 /nfs/dbraw/zinc/75/24/99/1072752499.db2.gz MSLNKATUTIQCNL-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN O=C(Nc1ccnn1-c1ccncc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679512467 1072752558 /nfs/dbraw/zinc/75/25/58/1072752558.db2.gz DVPSBRQSYSBKEC-INIZCTEOSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccnn1-c1ccncc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679512469 1072752537 /nfs/dbraw/zinc/75/25/37/1072752537.db2.gz DVPSBRQSYSBKEC-MRXNPFEDSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1cnc2c(c1)COCC2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679512538 1072752649 /nfs/dbraw/zinc/75/26/49/1072752649.db2.gz KLMKPVHHFJEIJG-INIZCTEOSA-N 0 0 438.506 -0.254 20 0 IBADRN O=C(Nc1cnc2c(c1)COCC2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679512539 1072752613 /nfs/dbraw/zinc/75/26/13/1072752613.db2.gz KLMKPVHHFJEIJG-MRXNPFEDSA-N 0 0 438.506 -0.254 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1C(F)F ZINC000679512709 1072752439 /nfs/dbraw/zinc/75/24/39/1072752439.db2.gz PNTISAGLJMZNDZ-LLVKDONJSA-N 0 0 435.453 -0.051 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1C(F)F ZINC000679512714 1072752667 /nfs/dbraw/zinc/75/26/67/1072752667.db2.gz PNTISAGLJMZNDZ-NSHDSACASA-N 0 0 435.453 -0.051 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)ccc1F ZINC000679512766 1072752598 /nfs/dbraw/zinc/75/25/98/1072752598.db2.gz QYLYYLPZAJKBID-CYBMUJFWSA-N 0 0 442.469 -0.484 20 0 IBADRN NC(=O)c1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)ccc1F ZINC000679512769 1072752655 /nfs/dbraw/zinc/75/26/55/1072752655.db2.gz QYLYYLPZAJKBID-ZDUSSCGKSA-N 0 0 442.469 -0.484 20 0 IBADRN O=C(Nc1ccccc1-n1cncn1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679512781 1072752661 /nfs/dbraw/zinc/75/26/61/1072752661.db2.gz RIFYXIQEJZQNQT-HNNXBMFYSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccccc1-n1cncn1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679512784 1072752450 /nfs/dbraw/zinc/75/24/50/1072752450.db2.gz RIFYXIQEJZQNQT-OAHLLOKOSA-N 0 0 448.505 -0.141 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(OC)n1 ZINC000679512814 1072752585 /nfs/dbraw/zinc/75/25/85/1072752585.db2.gz SYFCHYLWJHBQFE-CYBMUJFWSA-N 0 0 442.494 -0.310 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(OC)n1 ZINC000679512815 1072752624 /nfs/dbraw/zinc/75/26/24/1072752624.db2.gz SYFCHYLWJHBQFE-ZDUSSCGKSA-N 0 0 442.494 -0.310 20 0 IBADRN O=C(Nc1cnn(CC2CC2)c1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679512827 1072752464 /nfs/dbraw/zinc/75/24/64/1072752464.db2.gz USYIAQMUYQUIPV-INIZCTEOSA-N 0 0 425.511 -0.115 20 0 IBADRN O=C(Nc1cnn(CC2CC2)c1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679512828 1072752577 /nfs/dbraw/zinc/75/25/77/1072752577.db2.gz USYIAQMUYQUIPV-MRXNPFEDSA-N 0 0 425.511 -0.115 20 0 IBADRN COC1(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCC1 ZINC000679515067 1072753198 /nfs/dbraw/zinc/75/31/98/1072753198.db2.gz KLWREKUXZGHFEI-UHFFFAOYSA-N 0 0 425.511 -0.213 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCCNC(=O)c2ccn[nH]2)CC1 ZINC000679516959 1072753129 /nfs/dbraw/zinc/75/31/29/1072753129.db2.gz CQNHKWLMTAPBOM-UHFFFAOYSA-N 0 0 427.465 -0.718 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)cc2)CC1 ZINC000679518312 1072752984 /nfs/dbraw/zinc/75/29/84/1072752984.db2.gz YXPHPDTVTOKZSF-UHFFFAOYSA-N 0 0 441.492 -0.328 20 0 IBADRN O=C(NCCCOCCO)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000679554213 1072753217 /nfs/dbraw/zinc/75/32/17/1072753217.db2.gz FRGZFWSSVDMTRU-AWEZNQCLSA-N 0 0 435.524 -0.328 20 0 IBADRN O=C(NCCCOCCO)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000679554214 1072753184 /nfs/dbraw/zinc/75/31/84/1072753184.db2.gz FRGZFWSSVDMTRU-CQSZACIVSA-N 0 0 435.524 -0.328 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000679575050 1072753161 /nfs/dbraw/zinc/75/31/61/1072753161.db2.gz KAMKEXARIYJWQM-INIZCTEOSA-N 0 0 447.535 -0.634 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000679575055 1072753148 /nfs/dbraw/zinc/75/31/48/1072753148.db2.gz KAMKEXARIYJWQM-MRXNPFEDSA-N 0 0 447.535 -0.634 20 0 IBADRN Cc1nc([C@@H]2COCCN2C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)no1 ZINC000679578534 1072753206 /nfs/dbraw/zinc/75/32/06/1072753206.db2.gz ROYGODZPWHZISB-HNNXBMFYSA-N 0 0 437.478 -0.042 20 0 IBADRN Cc1nc([C@H]2COCCN2C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)no1 ZINC000679578537 1072753114 /nfs/dbraw/zinc/75/31/14/1072753114.db2.gz ROYGODZPWHZISB-OAHLLOKOSA-N 0 0 437.478 -0.042 20 0 IBADRN CN(CCCNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)S(C)(=O)=O ZINC000679596402 1072753192 /nfs/dbraw/zinc/75/31/92/1072753192.db2.gz QBTFDOPGOGOBLU-AWEZNQCLSA-N 0 0 426.561 -0.896 20 0 IBADRN CN(CCCNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)S(C)(=O)=O ZINC000679596558 1072753044 /nfs/dbraw/zinc/75/30/44/1072753044.db2.gz QBTFDOPGOGOBLU-CQSZACIVSA-N 0 0 426.561 -0.896 20 0 IBADRN CN(CCCNC(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)S(C)(=O)=O ZINC000679596621 1072753030 /nfs/dbraw/zinc/75/30/30/1072753030.db2.gz RHZDFYSPSILKGL-CYBMUJFWSA-N 0 0 427.487 -0.166 20 0 IBADRN CN(CCCNC(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)S(C)(=O)=O ZINC000679596626 1072753210 /nfs/dbraw/zinc/75/32/10/1072753210.db2.gz RHZDFYSPSILKGL-ZDUSSCGKSA-N 0 0 427.487 -0.166 20 0 IBADRN CC(C)c1nc([C@@H]2COCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)no1 ZINC000679599175 1072753055 /nfs/dbraw/zinc/75/30/55/1072753055.db2.gz FGMIWORRWGPTIQ-AWEZNQCLSA-N 0 0 430.531 -0.147 20 0 IBADRN CC(C)c1nc([C@H]2COCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)no1 ZINC000679599176 1072753642 /nfs/dbraw/zinc/75/36/42/1072753642.db2.gz FGMIWORRWGPTIQ-CQSZACIVSA-N 0 0 430.531 -0.147 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679600334 1072753784 /nfs/dbraw/zinc/75/37/84/1072753784.db2.gz GSBHBSGUUZUZFZ-HNNXBMFYSA-N 0 0 438.572 -0.752 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679600346 1072753774 /nfs/dbraw/zinc/75/37/74/1072753774.db2.gz GSBHBSGUUZUZFZ-OAHLLOKOSA-N 0 0 438.572 -0.752 20 0 IBADRN Cc1nc([C@@H]2COCCN2C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)no1 ZINC000679601448 1072753825 /nfs/dbraw/zinc/75/38/25/1072753825.db2.gz OLJNLVPXEADTTE-HNNXBMFYSA-N 0 0 430.469 -0.010 20 0 IBADRN Cc1nc([C@H]2COCCN2C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)no1 ZINC000679601451 1072753861 /nfs/dbraw/zinc/75/38/61/1072753861.db2.gz OLJNLVPXEADTTE-OAHLLOKOSA-N 0 0 430.469 -0.010 20 0 IBADRN O=C(NCc1nnnn1-c1ccccc1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679602844 1072753868 /nfs/dbraw/zinc/75/38/68/1072753868.db2.gz ABDKGJHJHOGZRQ-INIZCTEOSA-N 0 0 435.510 -0.002 20 0 IBADRN O=C(NCc1nnnn1-c1ccccc1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000679602849 1072753651 /nfs/dbraw/zinc/75/36/51/1072753651.db2.gz ABDKGJHJHOGZRQ-MRXNPFEDSA-N 0 0 435.510 -0.002 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NCC(C)(C)NS(C)(=O)=O)no2)cn1 ZINC000679606733 1072753936 /nfs/dbraw/zinc/75/39/36/1072753936.db2.gz NNHBMEJWALIWEL-GFCCVEGCSA-N 0 0 427.487 -0.119 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NCC(C)(C)NS(C)(=O)=O)no2)cn1 ZINC000679606736 1072753846 /nfs/dbraw/zinc/75/38/46/1072753846.db2.gz NNHBMEJWALIWEL-LBPRGKRZSA-N 0 0 427.487 -0.119 20 0 IBADRN CC(C)(CNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)NS(C)(=O)=O ZINC000679607320 1072753606 /nfs/dbraw/zinc/75/36/06/1072753606.db2.gz RXQUHOSGMJQGLC-CYBMUJFWSA-N 0 0 426.561 -0.850 20 0 IBADRN CC(C)(CNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)NS(C)(=O)=O ZINC000679607324 1072753631 /nfs/dbraw/zinc/75/36/31/1072753631.db2.gz RXQUHOSGMJQGLC-ZDUSSCGKSA-N 0 0 426.561 -0.850 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCc4nnnn4C)CC3)C2=O)n(C)n1 ZINC000679616904 1072753727 /nfs/dbraw/zinc/75/37/27/1072753727.db2.gz PTOMQHIGLCGPRK-HNNXBMFYSA-N 0 0 430.517 -0.683 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCc4nnnn4C)CC3)C2=O)n(C)n1 ZINC000679616905 1072753690 /nfs/dbraw/zinc/75/36/90/1072753690.db2.gz PTOMQHIGLCGPRK-OAHLLOKOSA-N 0 0 430.517 -0.683 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCN(Cc3ccccc3)CC2)CC1)N1CCOCC1 ZINC000679647050 1072754305 /nfs/dbraw/zinc/75/43/05/1072754305.db2.gz CJLDEGIRJXHMNE-UHFFFAOYSA-N 0 0 429.565 -0.193 20 0 IBADRN COCCCN(C(=O)Cn1cnn(C)c1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000679655999 1072754414 /nfs/dbraw/zinc/75/44/14/1072754414.db2.gz XJXJUYMHIBOMRN-UHFFFAOYSA-N 0 0 443.464 -0.456 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2ccccc2Cn2cncn2)CC1 ZINC000679710794 1072754287 /nfs/dbraw/zinc/75/42/87/1072754287.db2.gz PLBOARXINHCZJS-UHFFFAOYSA-N 0 0 428.540 -0.818 20 0 IBADRN COC[C@H](CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)OC ZINC000679711156 1072754336 /nfs/dbraw/zinc/75/43/36/1072754336.db2.gz VWZKVCMERIAAID-KBPBESRZSA-N 0 0 435.524 -0.065 20 0 IBADRN COC[C@H](CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)OC ZINC000679711157 1072754277 /nfs/dbraw/zinc/75/42/77/1072754277.db2.gz VWZKVCMERIAAID-KGLIPLIRSA-N 0 0 435.524 -0.065 20 0 IBADRN COC[C@@H](CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)OC ZINC000679711158 1072754272 /nfs/dbraw/zinc/75/42/72/1072754272.db2.gz VWZKVCMERIAAID-UONOGXRCSA-N 0 0 435.524 -0.065 20 0 IBADRN COC[C@@H](CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)OC ZINC000679711159 1072754248 /nfs/dbraw/zinc/75/42/48/1072754248.db2.gz VWZKVCMERIAAID-ZIAGYGMSSA-N 0 0 435.524 -0.065 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)Nc2cc(C)nn2-c2nc(C)cc(C)n2)CC1 ZINC000679722265 1072754261 /nfs/dbraw/zinc/75/42/61/1072754261.db2.gz HXZAQZUHQJOONM-UHFFFAOYSA-N 0 0 444.540 -0.094 20 0 IBADRN CN(C)C(=O)NCCNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000679726478 1072754465 /nfs/dbraw/zinc/75/44/65/1072754465.db2.gz PQBHGKSQCJUVTI-CYBMUJFWSA-N 0 0 447.539 -0.455 20 0 IBADRN CN(C)C(=O)NCCNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000679726479 1072754426 /nfs/dbraw/zinc/75/44/26/1072754426.db2.gz PQBHGKSQCJUVTI-ZDUSSCGKSA-N 0 0 447.539 -0.455 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)NCCN2CCNC(=O)C2)cc1 ZINC000679727059 1072754370 /nfs/dbraw/zinc/75/43/70/1072754370.db2.gz AXYVDUJBAHTVQD-UHFFFAOYSA-N 0 0 431.541 -0.550 20 0 IBADRN Cc1nn(-c2ccccc2)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000679732616 1072754238 /nfs/dbraw/zinc/75/42/38/1072754238.db2.gz SQOKVVUHDXYGSQ-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)Nc3ccccc3)C2)c(=O)n(C)c1=O ZINC000679732821 1072754447 /nfs/dbraw/zinc/75/44/47/1072754447.db2.gz CXZDATOGCQYKHN-AWEZNQCLSA-N 0 0 442.519 -0.321 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)Nc3ccccc3)C2)c(=O)n(C)c1=O ZINC000679732822 1072754457 /nfs/dbraw/zinc/75/44/57/1072754457.db2.gz CXZDATOGCQYKHN-CQSZACIVSA-N 0 0 442.519 -0.321 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCCN(C(=O)C3CCCC3)C2)CC1 ZINC000679734925 1072754439 /nfs/dbraw/zinc/75/44/39/1072754439.db2.gz JGONRAATIKXVCD-INIZCTEOSA-N 0 0 436.600 -0.079 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCCN(C(=O)C3CCCC3)C2)CC1 ZINC000679734926 1072754226 /nfs/dbraw/zinc/75/42/26/1072754226.db2.gz JGONRAATIKXVCD-MRXNPFEDSA-N 0 0 436.600 -0.079 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc3nc(N4CCOCC4)oc3c2)CC1 ZINC000679739781 1072754399 /nfs/dbraw/zinc/75/43/99/1072754399.db2.gz DSEVYAPENSAAHX-UHFFFAOYSA-N 0 0 444.492 -0.165 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCC[C@H](S(=O)(=O)Nc3ccccc3)C2)c1 ZINC000679756893 1072754806 /nfs/dbraw/zinc/75/48/06/1072754806.db2.gz VGDQQBJMPQDZIG-AWEZNQCLSA-N 0 0 427.508 -0.037 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCC[C@@H](S(=O)(=O)Nc3ccccc3)C2)c1 ZINC000679756895 1072754791 /nfs/dbraw/zinc/75/47/91/1072754791.db2.gz VGDQQBJMPQDZIG-CQSZACIVSA-N 0 0 427.508 -0.037 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CCO1 ZINC000679765242 1072754919 /nfs/dbraw/zinc/75/49/19/1072754919.db2.gz GZNBYQZOBVSAEQ-GOSISDBHSA-N 0 0 432.525 -0.125 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CCO1 ZINC000679765244 1072755067 /nfs/dbraw/zinc/75/50/67/1072755067.db2.gz GZNBYQZOBVSAEQ-SFHVURJKSA-N 0 0 432.525 -0.125 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000679765513 1072755103 /nfs/dbraw/zinc/75/51/03/1072755103.db2.gz OWPRGGVPWIESJD-AWEZNQCLSA-N 0 0 426.499 -0.858 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000679765519 1072754757 /nfs/dbraw/zinc/75/47/57/1072754757.db2.gz OWPRGGVPWIESJD-CQSZACIVSA-N 0 0 426.499 -0.858 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000679767015 1072754901 /nfs/dbraw/zinc/75/49/01/1072754901.db2.gz YIPMRZQOEAIKQT-DLBZAZTESA-N 0 0 428.555 -0.034 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000679767016 1072755116 /nfs/dbraw/zinc/75/51/16/1072755116.db2.gz YIPMRZQOEAIKQT-IAGOWNOFSA-N 0 0 428.555 -0.034 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC000679767017 1072754838 /nfs/dbraw/zinc/75/48/38/1072754838.db2.gz YIPMRZQOEAIKQT-IRXDYDNUSA-N 0 0 428.555 -0.034 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC000679767018 1072754882 /nfs/dbraw/zinc/75/48/82/1072754882.db2.gz YIPMRZQOEAIKQT-SJORKVTESA-N 0 0 428.555 -0.034 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679777029 1072754962 /nfs/dbraw/zinc/75/49/62/1072754962.db2.gz AEMSQIQTCFNFBB-HOCLYGCPSA-N 0 0 445.925 -0.219 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679777030 1072755031 /nfs/dbraw/zinc/75/50/31/1072755031.db2.gz AEMSQIQTCFNFBB-ZBFHGGJFSA-N 0 0 445.925 -0.219 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NCCNS(C)(=O)=O)cc1 ZINC000679777224 1072754775 /nfs/dbraw/zinc/75/47/75/1072754775.db2.gz GGOWMISCPHOTGM-GDBMZVCRSA-N 0 0 447.579 -0.227 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)NCCNS(C)(=O)=O)cc1 ZINC000679777225 1072755096 /nfs/dbraw/zinc/75/50/96/1072755096.db2.gz GGOWMISCPHOTGM-GOEBONIOSA-N 0 0 447.579 -0.227 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCNS(C)(=O)=O)cc1 ZINC000679777228 1072754819 /nfs/dbraw/zinc/75/48/19/1072754819.db2.gz GGOWMISCPHOTGM-HOCLYGCPSA-N 0 0 447.579 -0.227 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCNS(C)(=O)=O)cc1 ZINC000679777233 1072755461 /nfs/dbraw/zinc/75/54/61/1072755461.db2.gz GGOWMISCPHOTGM-ZBFHGGJFSA-N 0 0 447.579 -0.227 20 0 IBADRN CS(=O)(=O)NCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679777236 1072755531 /nfs/dbraw/zinc/75/55/31/1072755531.db2.gz GHIFZBCTXQYELW-AAEUAGOBSA-N 0 0 425.916 -0.871 20 0 IBADRN CS(=O)(=O)NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679777237 1072755664 /nfs/dbraw/zinc/75/56/64/1072755664.db2.gz GHIFZBCTXQYELW-YPMHNXCESA-N 0 0 425.916 -0.871 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)CC(=O)N2CCOCC2)cc1C ZINC000679777805 1072755485 /nfs/dbraw/zinc/75/54/85/1072755485.db2.gz FWKYWODPSPFTEV-AEFFLSMTSA-N 0 0 439.534 -0.255 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)CC(=O)N2CCOCC2)cc1C ZINC000679777813 1072755438 /nfs/dbraw/zinc/75/54/38/1072755438.db2.gz FWKYWODPSPFTEV-WMZOPIPTSA-N 0 0 439.534 -0.255 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCNS(C)(=O)=O)c(C)c1 ZINC000679777957 1072755653 /nfs/dbraw/zinc/75/56/53/1072755653.db2.gz KRNMRHXGYQZNNL-CABCVRRESA-N 0 0 433.552 -0.599 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCNS(C)(=O)=O)c(C)c1 ZINC000679777958 1072755509 /nfs/dbraw/zinc/75/55/09/1072755509.db2.gz KRNMRHXGYQZNNL-GJZGRUSLSA-N 0 0 433.552 -0.599 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679779203 1072755390 /nfs/dbraw/zinc/75/53/90/1072755390.db2.gz CBAFJBAMTLSRQG-JSGCOSHPSA-N 0 0 439.943 -0.481 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679779215 1072755520 /nfs/dbraw/zinc/75/55/20/1072755520.db2.gz CBAFJBAMTLSRQG-OCCSQVGLSA-N 0 0 439.943 -0.481 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCNS(C)(=O)=O)cc1C ZINC000679779789 1072755543 /nfs/dbraw/zinc/75/55/43/1072755543.db2.gz GAIUCTLYSFJYHE-HOCLYGCPSA-N 0 0 433.552 -0.517 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCNS(C)(=O)=O)cc1C ZINC000679779792 1072755569 /nfs/dbraw/zinc/75/55/69/1072755569.db2.gz GAIUCTLYSFJYHE-ZBFHGGJFSA-N 0 0 433.552 -0.517 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCNS(C)(=O)=O)c(C)c1 ZINC000679780326 1072755498 /nfs/dbraw/zinc/75/54/98/1072755498.db2.gz IPGRJCCPKCUSSE-CVEARBPZSA-N 0 0 447.579 -0.209 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCNS(C)(=O)=O)c(C)c1 ZINC000679780330 1072755555 /nfs/dbraw/zinc/75/55/55/1072755555.db2.gz IPGRJCCPKCUSSE-HOTGVXAUSA-N 0 0 447.579 -0.209 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000679780499 1072755609 /nfs/dbraw/zinc/75/56/09/1072755609.db2.gz YHEIBLJMJXAQFB-PBHICJAKSA-N 0 0 441.506 -0.864 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000679780500 1072755417 /nfs/dbraw/zinc/75/54/17/1072755417.db2.gz YHEIBLJMJXAQFB-YOEHRIQHSA-N 0 0 441.506 -0.864 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCC(=O)N2CCOCC2)cc1C ZINC000679780951 1072755426 /nfs/dbraw/zinc/75/54/26/1072755426.db2.gz NVLWZGDJOXXGIF-AEFFLSMTSA-N 0 0 439.534 -0.208 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCC(=O)N2CCOCC2)cc1C ZINC000679780952 1072755475 /nfs/dbraw/zinc/75/54/75/1072755475.db2.gz NVLWZGDJOXXGIF-WMZOPIPTSA-N 0 0 439.534 -0.208 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](C)N2CCOCC2)cc1 ZINC000679781249 1072755400 /nfs/dbraw/zinc/75/54/00/1072755400.db2.gz BZAXMEDVLYGFCR-DAYGRLMNSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H](C)N2CCOCC2)cc1 ZINC000679781252 1072756127 /nfs/dbraw/zinc/75/61/27/1072756127.db2.gz BZAXMEDVLYGFCR-MPGHIAIKSA-N 0 0 427.523 -0.344 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1C ZINC000679781265 1072756062 /nfs/dbraw/zinc/75/60/62/1072756062.db2.gz OQOVZMCTDPXNHI-INMHGKMJSA-N 0 0 430.548 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000679781266 1072756027 /nfs/dbraw/zinc/75/60/27/1072756027.db2.gz OQOVZMCTDPXNHI-UXLLHSPISA-N 0 0 430.548 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1C ZINC000679781267 1072756005 /nfs/dbraw/zinc/75/60/05/1072756005.db2.gz OQOVZMCTDPXNHI-VYDXJSESSA-N 0 0 430.548 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000679781268 1072756089 /nfs/dbraw/zinc/75/60/89/1072756089.db2.gz OQOVZMCTDPXNHI-ZOBUZTSGSA-N 0 0 430.548 -0.022 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](C)N2CCOCC2)cc1 ZINC000679781343 1072756039 /nfs/dbraw/zinc/75/60/39/1072756039.db2.gz BZAXMEDVLYGFCR-RKVPGOIHSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H](C)N2CCOCC2)cc1 ZINC000679781347 1072756104 /nfs/dbraw/zinc/75/61/04/1072756104.db2.gz BZAXMEDVLYGFCR-VKJFTORMSA-N 0 0 427.523 -0.344 20 0 IBADRN O=C(CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCOCC1 ZINC000679781417 1072756096 /nfs/dbraw/zinc/75/60/96/1072756096.db2.gz RQXRZCYTMGHWRZ-HOCLYGCPSA-N 0 0 445.925 -0.171 20 0 IBADRN O=C(CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCOCC1 ZINC000679781420 1072756052 /nfs/dbraw/zinc/75/60/52/1072756052.db2.gz RQXRZCYTMGHWRZ-ZBFHGGJFSA-N 0 0 445.925 -0.171 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000679781458 1072756011 /nfs/dbraw/zinc/75/60/11/1072756011.db2.gz BGVYDUOFWTXBQX-CVEARBPZSA-N 0 0 447.579 -0.209 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1c(C)cc(C)cc1C ZINC000679781461 1072755991 /nfs/dbraw/zinc/75/59/91/1072755991.db2.gz BGVYDUOFWTXBQX-HOTGVXAUSA-N 0 0 447.579 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCN(C)S(C)(=O)=O)cc1C ZINC000679781510 1072756035 /nfs/dbraw/zinc/75/60/35/1072756035.db2.gz BTECPMXIBDBLKU-RDJZCZTQSA-N 0 0 447.579 -0.175 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCN(C)S(C)(=O)=O)cc1C ZINC000679781513 1072756032 /nfs/dbraw/zinc/75/60/32/1072756032.db2.gz BTECPMXIBDBLKU-WBVHZDCISA-N 0 0 447.579 -0.175 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679781522 1072756081 /nfs/dbraw/zinc/75/60/81/1072756081.db2.gz BWTRCISRHCKIJV-JSGCOSHPSA-N 0 0 439.943 -0.481 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679781524 1072756069 /nfs/dbraw/zinc/75/60/69/1072756069.db2.gz BWTRCISRHCKIJV-OCCSQVGLSA-N 0 0 439.943 -0.481 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000679782460 1072755998 /nfs/dbraw/zinc/75/59/98/1072755998.db2.gz IENKTDAKHGGDRF-HOCLYGCPSA-N 0 0 433.552 -0.517 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000679782463 1072756150 /nfs/dbraw/zinc/75/61/50/1072756150.db2.gz IENKTDAKHGGDRF-ZBFHGGJFSA-N 0 0 433.552 -0.517 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000679782685 1072756015 /nfs/dbraw/zinc/75/60/15/1072756015.db2.gz ZEBAEOJQBFNJGW-PBHICJAKSA-N 0 0 441.506 -0.816 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000679782690 1072756548 /nfs/dbraw/zinc/75/65/48/1072756548.db2.gz ZEBAEOJQBFNJGW-YOEHRIQHSA-N 0 0 441.506 -0.816 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)C1=O ZINC000679782790 1072756513 /nfs/dbraw/zinc/75/65/13/1072756513.db2.gz WAZIMLYHAPSSSW-KPZWWZAWSA-N 0 0 427.527 -0.037 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)C1=O ZINC000679782791 1072756570 /nfs/dbraw/zinc/75/65/70/1072756570.db2.gz WAZIMLYHAPSSSW-KZULUSFZSA-N 0 0 427.527 -0.037 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)C1=O ZINC000679782792 1072756564 /nfs/dbraw/zinc/75/65/64/1072756564.db2.gz WAZIMLYHAPSSSW-SGTLLEGYSA-N 0 0 427.527 -0.037 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)C1=O ZINC000679782793 1072756591 /nfs/dbraw/zinc/75/65/91/1072756591.db2.gz WAZIMLYHAPSSSW-XIKOKIGWSA-N 0 0 427.527 -0.037 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000679783378 1072756543 /nfs/dbraw/zinc/75/65/43/1072756543.db2.gz MUZTUHXEWUFXEN-BBRMVZONSA-N 0 0 449.551 -0.783 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000679783412 1072756607 /nfs/dbraw/zinc/75/66/07/1072756607.db2.gz MUZTUHXEWUFXEN-CJNGLKHVSA-N 0 0 449.551 -0.783 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679783659 1072756532 /nfs/dbraw/zinc/75/65/32/1072756532.db2.gz BULAOTGMFMXRDP-HOCLYGCPSA-N 0 0 445.925 -0.219 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679783660 1072756520 /nfs/dbraw/zinc/75/65/20/1072756520.db2.gz BULAOTGMFMXRDP-ZBFHGGJFSA-N 0 0 445.925 -0.219 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2(C(N)=O)CCOCC2)cc1 ZINC000679784000 1072756504 /nfs/dbraw/zinc/75/65/04/1072756504.db2.gz PZCGVAFUPGLLLU-BBRMVZONSA-N 0 0 441.506 -0.783 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2(C(N)=O)CCOCC2)cc1 ZINC000679784004 1072756539 /nfs/dbraw/zinc/75/65/39/1072756539.db2.gz PZCGVAFUPGLLLU-CJNGLKHVSA-N 0 0 441.506 -0.783 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C(=O)N(C)C)CC2)cc1 ZINC000679784038 1072756576 /nfs/dbraw/zinc/75/65/76/1072756576.db2.gz QKZGGKOSZQXECN-PBHICJAKSA-N 0 0 440.522 -0.355 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C(=O)N(C)C)CC2)cc1 ZINC000679784041 1072756627 /nfs/dbraw/zinc/75/66/27/1072756627.db2.gz QKZGGKOSZQXECN-YOEHRIQHSA-N 0 0 440.522 -0.355 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC(CC(N)=O)CC2)cc1 ZINC000679784198 1072756557 /nfs/dbraw/zinc/75/65/57/1072756557.db2.gz GHYUHGWSSJDGFS-PBHICJAKSA-N 0 0 425.507 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC(CC(N)=O)CC2)cc1 ZINC000679784201 1072756600 /nfs/dbraw/zinc/75/66/00/1072756600.db2.gz GHYUHGWSSJDGFS-YOEHRIQHSA-N 0 0 425.507 -0.067 20 0 IBADRN NC(=O)C1(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCOCC1 ZINC000679784398 1072756498 /nfs/dbraw/zinc/75/64/98/1072756498.db2.gz SJQHCEVQIKFOPY-HIFRSBDPSA-N 0 0 445.925 -0.138 20 0 IBADRN NC(=O)C1(CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCOCC1 ZINC000679784406 1072756483 /nfs/dbraw/zinc/75/64/83/1072756483.db2.gz SJQHCEVQIKFOPY-ZFWWWQNUSA-N 0 0 445.925 -0.138 20 0 IBADRN NC(=O)Cn1cc(NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC000679784645 1072756583 /nfs/dbraw/zinc/75/65/83/1072756583.db2.gz KVNVEONOYGBAJK-JSGCOSHPSA-N 0 0 427.870 -0.216 20 0 IBADRN NC(=O)Cn1cc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC000679784646 1072756552 /nfs/dbraw/zinc/75/65/52/1072756552.db2.gz KVNVEONOYGBAJK-OCCSQVGLSA-N 0 0 427.870 -0.216 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000679784653 1072756528 /nfs/dbraw/zinc/75/65/28/1072756528.db2.gz KYXJWHMIGAADJS-QAPCUYQASA-N 0 0 448.501 -0.240 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000679784656 1072757081 /nfs/dbraw/zinc/75/70/81/1072757081.db2.gz KYXJWHMIGAADJS-YJBOKZPZSA-N 0 0 448.501 -0.240 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679785000 1072756996 /nfs/dbraw/zinc/75/69/96/1072756996.db2.gz KNNWTICTRHGTNN-PBHICJAKSA-N 0 0 441.506 -0.864 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679785002 1072757020 /nfs/dbraw/zinc/75/70/20/1072757020.db2.gz KNNWTICTRHGTNN-YOEHRIQHSA-N 0 0 441.506 -0.864 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)cn1 ZINC000679785050 1072757036 /nfs/dbraw/zinc/75/70/36/1072757036.db2.gz LAYPGZQAJIAXHH-BBRMVZONSA-N 0 0 437.478 -0.600 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)cn1 ZINC000679785054 1072757123 /nfs/dbraw/zinc/75/71/23/1072757123.db2.gz LAYPGZQAJIAXHH-CJNGLKHVSA-N 0 0 437.478 -0.600 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](O)CN2CCOCC2)cc1C ZINC000679785131 1072757055 /nfs/dbraw/zinc/75/70/55/1072757055.db2.gz XZJAGIBDJPSECT-AOIWGVFYSA-N 0 0 441.550 -0.763 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](O)CN2CCOCC2)cc1C ZINC000679785135 1072756938 /nfs/dbraw/zinc/75/69/38/1072756938.db2.gz XZJAGIBDJPSECT-LMMKCTJWSA-N 0 0 441.550 -0.763 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H](O)CN2CCOCC2)cc1C ZINC000679785137 1072757137 /nfs/dbraw/zinc/75/71/37/1072757137.db2.gz XZJAGIBDJPSECT-LNLFQRSKSA-N 0 0 441.550 -0.763 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H](O)CN2CCOCC2)cc1C ZINC000679785139 1072757094 /nfs/dbraw/zinc/75/70/94/1072757094.db2.gz XZJAGIBDJPSECT-SCTDSRPQSA-N 0 0 441.550 -0.763 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)NCC(F)(F)F)cc1 ZINC000679785554 1072756947 /nfs/dbraw/zinc/75/69/47/1072756947.db2.gz MVTJWZLZXNXKFK-GWCFXTLKSA-N 0 0 439.412 -0.386 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)NCC(F)(F)F)cc1 ZINC000679785557 1072757070 /nfs/dbraw/zinc/75/70/70/1072757070.db2.gz MVTJWZLZXNXKFK-MFKMUULPSA-N 0 0 439.412 -0.386 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@H](NC(C)=O)C2)cc1 ZINC000679785583 1072757005 /nfs/dbraw/zinc/75/70/05/1072757005.db2.gz NJBOZWIZYVFPSC-DAYGRLMNSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@H](NC(C)=O)C2)cc1 ZINC000679785589 1072756962 /nfs/dbraw/zinc/75/69/62/1072756962.db2.gz NJBOZWIZYVFPSC-MPGHIAIKSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@@H](NC(C)=O)C2)cc1 ZINC000679785591 1072757031 /nfs/dbraw/zinc/75/70/31/1072757031.db2.gz NJBOZWIZYVFPSC-RKVPGOIHSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@@H](NC(C)=O)C2)cc1 ZINC000679785593 1072757004 /nfs/dbraw/zinc/75/70/04/1072757004.db2.gz NJBOZWIZYVFPSC-VKJFTORMSA-N 0 0 425.507 -0.054 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2(C(N)=O)CCOCC2)cc1C ZINC000679785641 1072757110 /nfs/dbraw/zinc/75/71/10/1072757110.db2.gz YDCVXQMGLVFFDS-RDJZCZTQSA-N 0 0 439.534 -0.174 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2(C(N)=O)CCOCC2)cc1C ZINC000679785642 1072756972 /nfs/dbraw/zinc/75/69/72/1072756972.db2.gz YDCVXQMGLVFFDS-WBVHZDCISA-N 0 0 439.534 -0.174 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H](C)CN2CCOCC2)cc1 ZINC000679785870 1072757186 /nfs/dbraw/zinc/75/71/86/1072757186.db2.gz RIUIXGYAMPWCCC-DAYGRLMNSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H](C)CN2CCOCC2)cc1 ZINC000679785872 1072756951 /nfs/dbraw/zinc/75/69/51/1072756951.db2.gz RIUIXGYAMPWCCC-MPGHIAIKSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H](C)CN2CCOCC2)cc1 ZINC000679785875 1072756982 /nfs/dbraw/zinc/75/69/82/1072756982.db2.gz RIUIXGYAMPWCCC-RKVPGOIHSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H](C)CN2CCOCC2)cc1 ZINC000679786038 1072757850 /nfs/dbraw/zinc/75/78/50/1072757850.db2.gz RIUIXGYAMPWCCC-VKJFTORMSA-N 0 0 427.523 -0.344 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000679786181 1072757755 /nfs/dbraw/zinc/75/77/55/1072757755.db2.gz RRINVVLFPHEQOO-AEFFLSMTSA-N 0 0 439.534 -0.255 20 0 IBADRN COCC(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000679786182 1072757505 /nfs/dbraw/zinc/75/75/05/1072757505.db2.gz RRINVVLFPHEQOO-WMZOPIPTSA-N 0 0 439.534 -0.255 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCC(=O)NC2CC2)cc1 ZINC000679786534 1072757821 /nfs/dbraw/zinc/75/78/21/1072757821.db2.gz WCSAJQNKSAZJSN-PBHICJAKSA-N 0 0 425.507 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCC(=O)NC2CC2)cc1 ZINC000679786536 1072757776 /nfs/dbraw/zinc/75/77/76/1072757776.db2.gz WCSAJQNKSAZJSN-YOEHRIQHSA-N 0 0 425.507 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H](C)CN2CCCC2=O)cc1 ZINC000679787123 1072757788 /nfs/dbraw/zinc/75/77/88/1072757788.db2.gz YRVNILKYQWGQLH-CPUCHLNUSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H](C)CN2CCCC2=O)cc1 ZINC000679787124 1072757647 /nfs/dbraw/zinc/75/76/47/1072757647.db2.gz YRVNILKYQWGQLH-KEYYUXOJSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H](C)CN2CCCC2=O)cc1 ZINC000679787125 1072757844 /nfs/dbraw/zinc/75/78/44/1072757844.db2.gz YRVNILKYQWGQLH-VBQJREDUSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H](C)CN2CCCC2=O)cc1 ZINC000679787126 1072757815 /nfs/dbraw/zinc/75/78/15/1072757815.db2.gz YRVNILKYQWGQLH-ZQIUZPCESA-N 0 0 425.507 -0.054 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000679789558 1072757837 /nfs/dbraw/zinc/75/78/37/1072757837.db2.gz ACUCJPDBOIYWSZ-RDJZCZTQSA-N 0 0 447.579 -0.127 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000679789559 1072757721 /nfs/dbraw/zinc/75/77/21/1072757721.db2.gz ACUCJPDBOIYWSZ-WBVHZDCISA-N 0 0 447.579 -0.127 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2CCO[C@@H](C)C2)cc1 ZINC000679789599 1072757796 /nfs/dbraw/zinc/75/77/96/1072757796.db2.gz AUJBMQZYZNPICH-DAYGRLMNSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2CCO[C@@H](C)C2)cc1 ZINC000679789600 1072757540 /nfs/dbraw/zinc/75/75/40/1072757540.db2.gz AUJBMQZYZNPICH-MPGHIAIKSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2CCO[C@H](C)C2)cc1 ZINC000679789601 1072757608 /nfs/dbraw/zinc/75/76/08/1072757608.db2.gz AUJBMQZYZNPICH-RKVPGOIHSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2CCO[C@H](C)C2)cc1 ZINC000679789602 1072757682 /nfs/dbraw/zinc/75/76/82/1072757682.db2.gz AUJBMQZYZNPICH-VKJFTORMSA-N 0 0 427.523 -0.344 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)c2cccc3ccccc32)CC1)S(N)(=O)=O ZINC000679789639 1072757829 /nfs/dbraw/zinc/75/78/29/1072757829.db2.gz BGUWFEKMCRDYTE-AWEZNQCLSA-N 0 0 432.502 -0.083 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)c2cccc3ccccc32)CC1)S(N)(=O)=O ZINC000679789644 1072757713 /nfs/dbraw/zinc/75/77/13/1072757713.db2.gz BGUWFEKMCRDYTE-CQSZACIVSA-N 0 0 432.502 -0.083 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N(C(C)C)C2)cc1 ZINC000679789975 1072757735 /nfs/dbraw/zinc/75/77/35/1072757735.db2.gz AWXWQZBMFWDXNS-CPUCHLNUSA-N 0 0 425.507 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N(C(C)C)C2)cc1 ZINC000679789976 1072757664 /nfs/dbraw/zinc/75/76/64/1072757664.db2.gz AWXWQZBMFWDXNS-KEYYUXOJSA-N 0 0 425.507 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CC(=O)N(C(C)C)C2)cc1 ZINC000679789977 1072757810 /nfs/dbraw/zinc/75/78/10/1072757810.db2.gz AWXWQZBMFWDXNS-VBQJREDUSA-N 0 0 425.507 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H]2CC(=O)N(C(C)C)C2)cc1 ZINC000679789978 1072758271 /nfs/dbraw/zinc/75/82/71/1072758271.db2.gz AWXWQZBMFWDXNS-ZQIUZPCESA-N 0 0 425.507 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)CCN2CCOCC2)cc1 ZINC000679789991 1072758272 /nfs/dbraw/zinc/75/82/72/1072758272.db2.gz BKIUMVWKBYEOIY-QAPCUYQASA-N 0 0 427.523 -0.390 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)CCN2CCOCC2)cc1 ZINC000679789992 1072758249 /nfs/dbraw/zinc/75/82/49/1072758249.db2.gz BKIUMVWKBYEOIY-YJBOKZPZSA-N 0 0 427.523 -0.390 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CCc3nnc(C)n3C2)cc1 ZINC000679790146 1072758217 /nfs/dbraw/zinc/75/82/17/1072758217.db2.gz FVPPKUSIUYSRLJ-CPUCHLNUSA-N 0 0 435.506 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CCc3nnc(C)n3C2)cc1 ZINC000679790160 1072758260 /nfs/dbraw/zinc/75/82/60/1072758260.db2.gz FVPPKUSIUYSRLJ-KEYYUXOJSA-N 0 0 435.506 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CCc3nnc(C)n3C2)cc1 ZINC000679790163 1072758214 /nfs/dbraw/zinc/75/82/14/1072758214.db2.gz FVPPKUSIUYSRLJ-VBQJREDUSA-N 0 0 435.506 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H]2CCc3nnc(C)n3C2)cc1 ZINC000679790165 1072758136 /nfs/dbraw/zinc/75/81/36/1072758136.db2.gz FVPPKUSIUYSRLJ-ZQIUZPCESA-N 0 0 435.506 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CCc3nc(C)nn3C2)cc1 ZINC000679790528 1072758191 /nfs/dbraw/zinc/75/81/91/1072758191.db2.gz GAODJMZVWHVQLX-CPUCHLNUSA-N 0 0 435.506 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CCc3nc(C)nn3C2)cc1 ZINC000679790533 1072758210 /nfs/dbraw/zinc/75/82/10/1072758210.db2.gz GAODJMZVWHVQLX-KEYYUXOJSA-N 0 0 435.506 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CCc3nc(C)nn3C2)cc1 ZINC000679790536 1072758268 /nfs/dbraw/zinc/75/82/68/1072758268.db2.gz GAODJMZVWHVQLX-VBQJREDUSA-N 0 0 435.506 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H]2CCc3nc(C)nn3C2)cc1 ZINC000679790539 1072758229 /nfs/dbraw/zinc/75/82/29/1072758229.db2.gz GAODJMZVWHVQLX-ZQIUZPCESA-N 0 0 435.506 -0.150 20 0 IBADRN NC(=O)Cn1ccc(NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)n1 ZINC000679790555 1072758240 /nfs/dbraw/zinc/75/82/40/1072758240.db2.gz WXDQHZHPBKQOFX-AAEUAGOBSA-N 0 0 427.870 -0.216 20 0 IBADRN NC(=O)Cn1ccc(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)n1 ZINC000679790557 1072758234 /nfs/dbraw/zinc/75/82/34/1072758234.db2.gz WXDQHZHPBKQOFX-YPMHNXCESA-N 0 0 427.870 -0.216 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)c(C)c1 ZINC000679790939 1072758226 /nfs/dbraw/zinc/75/82/26/1072758226.db2.gz JIAOOLMXFCXOCY-HRCADAONSA-N 0 0 425.507 -0.552 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@H](C(N)=O)C2)c(C)c1 ZINC000679790941 1072758265 /nfs/dbraw/zinc/75/82/65/1072758265.db2.gz JIAOOLMXFCXOCY-JYJNAYRXSA-N 0 0 425.507 -0.552 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)c(C)c1 ZINC000679790942 1072758182 /nfs/dbraw/zinc/75/81/82/1072758182.db2.gz JIAOOLMXFCXOCY-OWCLPIDISA-N 0 0 425.507 -0.552 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H](C(N)=O)C2)c(C)c1 ZINC000679790943 1072758245 /nfs/dbraw/zinc/75/82/45/1072758245.db2.gz JIAOOLMXFCXOCY-PMPSAXMXSA-N 0 0 425.507 -0.552 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCC(=O)Nc2ccncc2)cc1 ZINC000679791489 1072758254 /nfs/dbraw/zinc/75/82/54/1072758254.db2.gz MHYHGIHCJNPHGC-QAPCUYQASA-N 0 0 448.501 -0.219 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCC(=O)Nc2ccncc2)cc1 ZINC000679791490 1072758201 /nfs/dbraw/zinc/75/82/01/1072758201.db2.gz MHYHGIHCJNPHGC-YJBOKZPZSA-N 0 0 448.501 -0.219 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)Nc2cccnc2)cc1 ZINC000679792067 1072758682 /nfs/dbraw/zinc/75/86/82/1072758682.db2.gz PGOCITHIQCCTTR-PBHICJAKSA-N 0 0 434.474 -0.031 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)Nc2cccnc2)cc1 ZINC000679792069 1072758765 /nfs/dbraw/zinc/75/87/65/1072758765.db2.gz PGOCITHIQCCTTR-YOEHRIQHSA-N 0 0 434.474 -0.031 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679792416 1072758705 /nfs/dbraw/zinc/75/87/05/1072758705.db2.gz SDUMNJBHQARIRG-BBRMVZONSA-N 0 0 449.551 -0.735 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679792417 1072758720 /nfs/dbraw/zinc/75/87/20/1072758720.db2.gz SDUMNJBHQARIRG-CJNGLKHVSA-N 0 0 449.551 -0.735 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCN2C(=O)CCC2=O)cc1 ZINC000679793201 1072758579 /nfs/dbraw/zinc/75/85/79/1072758579.db2.gz BDNQDPGLJQYPGV-BBRMVZONSA-N 0 0 439.490 -0.526 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCN2C(=O)CCC2=O)cc1 ZINC000679793203 1072758644 /nfs/dbraw/zinc/75/86/44/1072758644.db2.gz BDNQDPGLJQYPGV-CJNGLKHVSA-N 0 0 439.490 -0.526 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000679793491 1072758637 /nfs/dbraw/zinc/75/86/37/1072758637.db2.gz XAJLVUILEKYCQZ-QAPCUYQASA-N 0 0 447.517 -0.042 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000679793493 1072758727 /nfs/dbraw/zinc/75/87/27/1072758727.db2.gz XAJLVUILEKYCQZ-YJBOKZPZSA-N 0 0 447.517 -0.042 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000679793568 1072758715 /nfs/dbraw/zinc/75/87/15/1072758715.db2.gz YCFLCOBBUDFQKT-DJIMGWMZSA-N 0 0 439.534 -0.179 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000679793570 1072758677 /nfs/dbraw/zinc/75/86/77/1072758677.db2.gz YCFLCOBBUDFQKT-HYVNUMGLSA-N 0 0 439.534 -0.179 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000679793572 1072758630 /nfs/dbraw/zinc/75/86/30/1072758630.db2.gz YCFLCOBBUDFQKT-OIISXLGYSA-N 0 0 439.534 -0.179 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000679793574 1072758733 /nfs/dbraw/zinc/75/87/33/1072758733.db2.gz YCFLCOBBUDFQKT-PVAVHDDUSA-N 0 0 439.534 -0.179 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)CC2)c(C)c1 ZINC000679793622 1072758674 /nfs/dbraw/zinc/75/86/74/1072758674.db2.gz PNEJYGXNLUFQLV-CVEARBPZSA-N 0 0 430.548 -0.007 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)CC2)c(C)c1 ZINC000679793625 1072758698 /nfs/dbraw/zinc/75/86/98/1072758698.db2.gz PNEJYGXNLUFQLV-HOTGVXAUSA-N 0 0 430.548 -0.007 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679793736 1072758598 /nfs/dbraw/zinc/75/85/98/1072758598.db2.gz FHJNJNKEZUMPSO-PBHICJAKSA-N 0 0 443.522 -0.570 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679793738 1072758649 /nfs/dbraw/zinc/75/86/49/1072758649.db2.gz FHJNJNKEZUMPSO-YOEHRIQHSA-N 0 0 443.522 -0.570 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)N2CCCCC2)cc1 ZINC000679794221 1072759265 /nfs/dbraw/zinc/75/92/65/1072759265.db2.gz SDVPUQOVOQDWPS-PBHICJAKSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)N2CCCCC2)cc1 ZINC000679794222 1072759108 /nfs/dbraw/zinc/75/91/08/1072759108.db2.gz SDVPUQOVOQDWPS-YOEHRIQHSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC2CCC(C(N)=O)CC2)cc1 ZINC000679794631 1072759244 /nfs/dbraw/zinc/75/92/44/1072759244.db2.gz YDZQYDFQYDKAHV-GROLMSMHSA-N 0 0 425.507 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC2CCC(C(N)=O)CC2)cc1 ZINC000679794632 1072759315 /nfs/dbraw/zinc/75/93/15/1072759315.db2.gz YDZQYDFQYDKAHV-QKRUXVEJSA-N 0 0 425.507 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2CCOC[C@@H]2C)cc1 ZINC000679794664 1072759478 /nfs/dbraw/zinc/75/94/78/1072759478.db2.gz YYCMZAZIDDSOMY-DAYGRLMNSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2CCOC[C@@H]2C)cc1 ZINC000679794665 1072759391 /nfs/dbraw/zinc/75/93/91/1072759391.db2.gz YYCMZAZIDDSOMY-MPGHIAIKSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2CCOC[C@H]2C)cc1 ZINC000679794666 1072759278 /nfs/dbraw/zinc/75/92/78/1072759278.db2.gz YYCMZAZIDDSOMY-RKVPGOIHSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2CCOC[C@H]2C)cc1 ZINC000679794667 1072759145 /nfs/dbraw/zinc/75/91/45/1072759145.db2.gz YYCMZAZIDDSOMY-VKJFTORMSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000679794774 1072759328 /nfs/dbraw/zinc/75/93/28/1072759328.db2.gz QELMNPAEVQBAAU-DAYGRLMNSA-N 0 0 427.523 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000679794775 1072759360 /nfs/dbraw/zinc/75/93/60/1072759360.db2.gz QELMNPAEVQBAAU-MPGHIAIKSA-N 0 0 427.523 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C[C@@H](C)O)CC2)cc1 ZINC000679794777 1072759422 /nfs/dbraw/zinc/75/94/22/1072759422.db2.gz QELMNPAEVQBAAU-RKVPGOIHSA-N 0 0 427.523 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C[C@@H](C)O)CC2)cc1 ZINC000679794778 1072759453 /nfs/dbraw/zinc/75/94/53/1072759453.db2.gz QELMNPAEVQBAAU-VKJFTORMSA-N 0 0 427.523 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000679794821 1072759181 /nfs/dbraw/zinc/75/91/81/1072759181.db2.gz QWGMDZKYWQPLCW-QAPCUYQASA-N 0 0 441.550 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000679794823 1072759201 /nfs/dbraw/zinc/75/92/01/1072759201.db2.gz QWGMDZKYWQPLCW-YJBOKZPZSA-N 0 0 441.550 -0.266 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679794950 1072759537 /nfs/dbraw/zinc/75/95/37/1072759537.db2.gz SZAMKMQHWKBZEB-BXWFABGCSA-N 0 0 441.550 -0.266 20 0 IBADRN CC[C@H](O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679794951 1072759223 /nfs/dbraw/zinc/75/92/23/1072759223.db2.gz SZAMKMQHWKBZEB-FCEWJHQRSA-N 0 0 441.550 -0.266 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679794952 1072759088 /nfs/dbraw/zinc/75/90/88/1072759088.db2.gz SZAMKMQHWKBZEB-GJYPPUQNSA-N 0 0 441.550 -0.266 20 0 IBADRN CC[C@@H](O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679794953 1072759515 /nfs/dbraw/zinc/75/95/15/1072759515.db2.gz SZAMKMQHWKBZEB-MDZRGWNJSA-N 0 0 441.550 -0.266 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O)S(N)(=O)=O ZINC000679795099 1072759116 /nfs/dbraw/zinc/75/91/16/1072759116.db2.gz CMBUAUJHGRTJAR-BXKDBHETSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O)S(N)(=O)=O ZINC000679795100 1072759907 /nfs/dbraw/zinc/75/99/07/1072759907.db2.gz CMBUAUJHGRTJAR-CABZTGNLSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O)S(N)(=O)=O ZINC000679795101 1072759964 /nfs/dbraw/zinc/75/99/64/1072759964.db2.gz CMBUAUJHGRTJAR-JOYOIKCWSA-N 0 0 436.412 -0.280 20 0 IBADRN C[C@H](CNC(=O)C(=O)N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O)S(N)(=O)=O ZINC000679795102 1072759782 /nfs/dbraw/zinc/75/97/82/1072759782.db2.gz CMBUAUJHGRTJAR-SKDRFNHKSA-N 0 0 436.412 -0.280 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CC(N)=O)CC2)c(C)c1 ZINC000679795141 1072759811 /nfs/dbraw/zinc/75/98/11/1072759811.db2.gz HRVBVAXGOABOLU-IRXDYDNUSA-N 0 0 438.550 -0.635 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CC(N)=O)CC2)c(C)c1 ZINC000679795144 1072759821 /nfs/dbraw/zinc/75/98/21/1072759821.db2.gz HRVBVAXGOABOLU-SJORKVTESA-N 0 0 438.550 -0.635 20 0 IBADRN Cn1nc(C2CCCC2)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000679795313 1072760036 /nfs/dbraw/zinc/76/00/36/1072760036.db2.gz CUYCGMURDXKLOV-UHFFFAOYSA-N 0 0 426.543 -0.290 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCOC[C@H]2CCOC2)cc1 ZINC000679795982 1072760010 /nfs/dbraw/zinc/76/00/10/1072760010.db2.gz OFMDCJMXFSLESC-DAYGRLMNSA-N 0 0 428.507 -0.012 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCOC[C@H]2CCOC2)cc1 ZINC000679795983 1072760062 /nfs/dbraw/zinc/76/00/62/1072760062.db2.gz OFMDCJMXFSLESC-MPGHIAIKSA-N 0 0 428.507 -0.012 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCOC[C@@H]2CCOC2)cc1 ZINC000679795984 1072759976 /nfs/dbraw/zinc/75/99/76/1072759976.db2.gz OFMDCJMXFSLESC-RKVPGOIHSA-N 0 0 428.507 -0.012 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCOC[C@@H]2CCOC2)cc1 ZINC000679795985 1072759872 /nfs/dbraw/zinc/75/98/72/1072759872.db2.gz OFMDCJMXFSLESC-VKJFTORMSA-N 0 0 428.507 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(C)(C)NS(C)(=O)=O)cc1C ZINC000679796008 1072759885 /nfs/dbraw/zinc/75/98/85/1072759885.db2.gz OUJHOSULJRKVIV-HOCLYGCPSA-N 0 0 447.579 -0.129 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(C)(C)NS(C)(=O)=O)cc1C ZINC000679796009 1072760000 /nfs/dbraw/zinc/76/00/00/1072760000.db2.gz OUJHOSULJRKVIV-ZBFHGGJFSA-N 0 0 447.579 -0.129 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCCN2C(=O)CNC2=O)cc1C ZINC000679796012 1072759862 /nfs/dbraw/zinc/75/98/62/1072759862.db2.gz PAKDFHMYFJVOFI-HOCLYGCPSA-N 0 0 438.506 -0.515 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCCN2C(=O)CNC2=O)cc1C ZINC000679796013 1072759986 /nfs/dbraw/zinc/75/99/86/1072759986.db2.gz PAKDFHMYFJVOFI-ZBFHGGJFSA-N 0 0 438.506 -0.515 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000679796447 1072759918 /nfs/dbraw/zinc/75/99/18/1072759918.db2.gz WLNGORSRSJDZQB-DOMZBBRYSA-N 0 0 449.551 -0.737 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000679796448 1072759795 /nfs/dbraw/zinc/75/97/95/1072759795.db2.gz WLNGORSRSJDZQB-WFASDCNBSA-N 0 0 449.551 -0.737 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@@H](CO)c2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000679796477 1072760464 /nfs/dbraw/zinc/76/04/64/1072760464.db2.gz XJKSYWICEHFQOU-DOMZBBRYSA-N 0 0 432.930 -0.689 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@H](CO)c2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000679796478 1072760318 /nfs/dbraw/zinc/76/03/18/1072760318.db2.gz XJKSYWICEHFQOU-IUODEOHRSA-N 0 0 432.930 -0.689 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN([C@H](CO)c2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000679796479 1072760250 /nfs/dbraw/zinc/76/02/50/1072760250.db2.gz XJKSYWICEHFQOU-SWLSCSKDSA-N 0 0 432.930 -0.689 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN([C@@H](CO)c2ccc(Cl)cc2)CC1)S(N)(=O)=O ZINC000679796480 1072760210 /nfs/dbraw/zinc/76/02/10/1072760210.db2.gz XJKSYWICEHFQOU-WFASDCNBSA-N 0 0 432.930 -0.689 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679796499 1072760235 /nfs/dbraw/zinc/76/02/35/1072760235.db2.gz XWZOCPLLIAMJCC-JSGCOSHPSA-N 0 0 444.897 -0.478 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679796500 1072760261 /nfs/dbraw/zinc/76/02/61/1072760261.db2.gz XWZOCPLLIAMJCC-OCCSQVGLSA-N 0 0 444.897 -0.478 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2CCCS2(=O)=O)cc1C ZINC000679796602 1072760302 /nfs/dbraw/zinc/76/03/02/1072760302.db2.gz UHUQRCWRFZAMOD-RDJZCZTQSA-N 0 0 445.563 -0.421 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2CCCS2(=O)=O)cc1C ZINC000679796603 1072760372 /nfs/dbraw/zinc/76/03/72/1072760372.db2.gz UHUQRCWRFZAMOD-WBVHZDCISA-N 0 0 445.563 -0.421 20 0 IBADRN NC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679796634 1072760384 /nfs/dbraw/zinc/76/03/84/1072760384.db2.gz UWUGQEUCOSGWGT-HIFRSBDPSA-N 0 0 430.914 -0.907 20 0 IBADRN NC(=O)CN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679796635 1072760422 /nfs/dbraw/zinc/76/04/22/1072760422.db2.gz UWUGQEUCOSGWGT-ZFWWWQNUSA-N 0 0 430.914 -0.907 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000679796668 1072760455 /nfs/dbraw/zinc/76/04/55/1072760455.db2.gz VRFDGWDXIDQIHO-BBRMVZONSA-N 0 0 449.551 -0.737 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000679796669 1072760354 /nfs/dbraw/zinc/76/03/54/1072760354.db2.gz VRFDGWDXIDQIHO-CJNGLKHVSA-N 0 0 449.551 -0.737 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)NC2CCCC2)cc1 ZINC000679796707 1072760506 /nfs/dbraw/zinc/76/05/06/1072760506.db2.gz WKLINKKFNGGYAK-PBHICJAKSA-N 0 0 425.507 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)NC2CCCC2)cc1 ZINC000679796708 1072760335 /nfs/dbraw/zinc/76/03/35/1072760335.db2.gz WKLINKKFNGGYAK-YOEHRIQHSA-N 0 0 425.507 -0.006 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCS(=O)(=O)NC(C)C)cc1C ZINC000679796757 1072760222 /nfs/dbraw/zinc/76/02/22/1072760222.db2.gz XOMNEZRZBHXPCW-RDJZCZTQSA-N 0 0 447.579 -0.129 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCS(=O)(=O)NC(C)C)cc1C ZINC000679796758 1072760402 /nfs/dbraw/zinc/76/04/02/1072760402.db2.gz XOMNEZRZBHXPCW-WBVHZDCISA-N 0 0 447.579 -0.129 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1C ZINC000679796932 1072760716 /nfs/dbraw/zinc/76/07/16/1072760716.db2.gz CIINWWQEOBMQML-INMHGKMJSA-N 0 0 445.563 -0.423 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1C ZINC000679796933 1072760820 /nfs/dbraw/zinc/76/08/20/1072760820.db2.gz CIINWWQEOBMQML-UXLLHSPISA-N 0 0 445.563 -0.423 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1C ZINC000679796934 1072760906 /nfs/dbraw/zinc/76/09/06/1072760906.db2.gz CIINWWQEOBMQML-VYDXJSESSA-N 0 0 445.563 -0.423 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1C ZINC000679796935 1072760923 /nfs/dbraw/zinc/76/09/23/1072760923.db2.gz CIINWWQEOBMQML-ZOBUZTSGSA-N 0 0 445.563 -0.423 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CCC[C@H](C(N)=O)C2)cc1 ZINC000679797101 1072760788 /nfs/dbraw/zinc/76/07/88/1072760788.db2.gz BSXJGMNMPHPTKJ-CFAJVAMVSA-N 0 0 425.507 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CCC[C@@H](C(N)=O)C2)cc1 ZINC000679797104 1072760942 /nfs/dbraw/zinc/76/09/42/1072760942.db2.gz BSXJGMNMPHPTKJ-OEUWWYETSA-N 0 0 425.507 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CCC[C@@H](C(N)=O)C2)cc1 ZINC000679797107 1072760693 /nfs/dbraw/zinc/76/06/93/1072760693.db2.gz BSXJGMNMPHPTKJ-WBOJAVRRSA-N 0 0 425.507 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CCC[C@H](C(N)=O)C2)cc1 ZINC000679797110 1072760889 /nfs/dbraw/zinc/76/08/89/1072760889.db2.gz BSXJGMNMPHPTKJ-ZJOBFFGXSA-N 0 0 425.507 -0.021 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679797283 1072760749 /nfs/dbraw/zinc/76/07/49/1072760749.db2.gz AOOSKTDQHJMCJT-PBHICJAKSA-N 0 0 443.522 -0.618 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679797284 1072760839 /nfs/dbraw/zinc/76/08/39/1072760839.db2.gz AOOSKTDQHJMCJT-YOEHRIQHSA-N 0 0 443.522 -0.618 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)Nc2ccn(CCC(N)=O)n2)cc1 ZINC000679797397 1072760678 /nfs/dbraw/zinc/76/06/78/1072760678.db2.gz DGZBMUSXDFMSOF-DOMZBBRYSA-N 0 0 437.478 -0.470 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)Nc2ccn(CCC(N)=O)n2)cc1 ZINC000679797398 1072760764 /nfs/dbraw/zinc/76/07/64/1072760764.db2.gz DGZBMUSXDFMSOF-WFASDCNBSA-N 0 0 437.478 -0.470 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000679797424 1072760954 /nfs/dbraw/zinc/76/09/54/1072760954.db2.gz FEAMUPXRZMXFJI-HFTRVMKXSA-N 0 0 441.506 -0.817 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000679797425 1072760730 /nfs/dbraw/zinc/76/07/30/1072760730.db2.gz FEAMUPXRZMXFJI-KYJSFNMBSA-N 0 0 441.506 -0.817 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000679797426 1072760703 /nfs/dbraw/zinc/76/07/03/1072760703.db2.gz FEAMUPXRZMXFJI-LESCRADOSA-N 0 0 441.506 -0.817 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000679797427 1072760775 /nfs/dbraw/zinc/76/07/75/1072760775.db2.gz FEAMUPXRZMXFJI-ZVZYQTTQSA-N 0 0 441.506 -0.817 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)CCN2CCCC2=O)cc1 ZINC000679797659 1072760964 /nfs/dbraw/zinc/76/09/64/1072760964.db2.gz FXGVSLMSJPHBCF-PBHICJAKSA-N 0 0 425.507 -0.100 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)CCN2CCCC2=O)cc1 ZINC000679797660 1072760854 /nfs/dbraw/zinc/76/08/54/1072760854.db2.gz FXGVSLMSJPHBCF-YOEHRIQHSA-N 0 0 425.507 -0.100 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1 ZINC000679797661 1072760871 /nfs/dbraw/zinc/76/08/71/1072760871.db2.gz FXXHKZMWLOSCRR-IOASZLSFSA-N 0 0 432.520 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CCCS(=O)(=O)C2)cc1 ZINC000679797662 1072760804 /nfs/dbraw/zinc/76/08/04/1072760804.db2.gz FXXHKZMWLOSCRR-WWGRRREGSA-N 0 0 432.520 -0.488 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2C(=O)CNC2=O)c(C)c1 ZINC000679797806 1072761483 /nfs/dbraw/zinc/76/14/83/1072761483.db2.gz FJQFYJRGALIJSI-CABCVRRESA-N 0 0 438.506 -0.596 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2C(=O)CNC2=O)c(C)c1 ZINC000679797808 1072761172 /nfs/dbraw/zinc/76/11/72/1072761172.db2.gz FJQFYJRGALIJSI-GJZGRUSLSA-N 0 0 438.506 -0.596 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)Nc2ccccc2)C1)S(N)(=O)=O ZINC000679797852 1072761477 /nfs/dbraw/zinc/76/14/77/1072761477.db2.gz IJLQZLRRLQAISU-GXTWGEPZSA-N 0 0 432.524 -0.788 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)Nc2ccccc2)C1)S(N)(=O)=O ZINC000679797853 1072761209 /nfs/dbraw/zinc/76/12/09/1072761209.db2.gz IJLQZLRRLQAISU-JSGCOSHPSA-N 0 0 432.524 -0.788 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)Nc2ccccc2)C1)S(N)(=O)=O ZINC000679797854 1072761286 /nfs/dbraw/zinc/76/12/86/1072761286.db2.gz IJLQZLRRLQAISU-OCCSQVGLSA-N 0 0 432.524 -0.788 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)Nc2ccccc2)C1)S(N)(=O)=O ZINC000679797855 1072761360 /nfs/dbraw/zinc/76/13/60/1072761360.db2.gz IJLQZLRRLQAISU-TZMCWYRMSA-N 0 0 432.524 -0.788 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H]2CCCS(=O)(=O)C2)cc1 ZINC000679797863 1072761160 /nfs/dbraw/zinc/76/11/60/1072761160.db2.gz FXXHKZMWLOSCRR-XEZPLFJOSA-N 0 0 432.520 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CCCS(=O)(=O)C2)cc1 ZINC000679797865 1072761295 /nfs/dbraw/zinc/76/12/95/1072761295.db2.gz FXXHKZMWLOSCRR-ZENOOKHLSA-N 0 0 432.520 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CCN(C(C)C)C2=O)cc1 ZINC000679797869 1072761347 /nfs/dbraw/zinc/76/13/47/1072761347.db2.gz KUTRWZAXAIYXPU-COXVUDFISA-N 0 0 425.507 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H]2CCN(C(C)C)C2=O)cc1 ZINC000679797871 1072761225 /nfs/dbraw/zinc/76/12/25/1072761225.db2.gz KUTRWZAXAIYXPU-JQFCIGGWSA-N 0 0 425.507 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CCN(C(C)C)C2=O)cc1 ZINC000679797873 1072761324 /nfs/dbraw/zinc/76/13/24/1072761324.db2.gz KUTRWZAXAIYXPU-XKQJLSEDSA-N 0 0 425.507 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CCN(C(C)C)C2=O)cc1 ZINC000679797875 1072761193 /nfs/dbraw/zinc/76/11/93/1072761193.db2.gz KUTRWZAXAIYXPU-XYPHTWIQSA-N 0 0 425.507 -0.055 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)NCCc2nnnn2C)c(OC)c1 ZINC000679798013 1072761307 /nfs/dbraw/zinc/76/13/07/1072761307.db2.gz NMPQLRIZXKSRNR-UHFFFAOYSA-N 0 0 444.452 -0.222 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2(C(N)=O)CCCC2)cc1 ZINC000679798294 1072761146 /nfs/dbraw/zinc/76/11/46/1072761146.db2.gz OQLHGIJLJXZDQD-BBRMVZONSA-N 0 0 425.507 -0.019 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2(C(N)=O)CCCC2)cc1 ZINC000679798298 1072761179 /nfs/dbraw/zinc/76/11/79/1072761179.db2.gz OQLHGIJLJXZDQD-CJNGLKHVSA-N 0 0 425.507 -0.019 20 0 IBADRN CCOCCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679798365 1072761466 /nfs/dbraw/zinc/76/14/66/1072761466.db2.gz JKZLNNSEOCJYAE-APWZRJJASA-N 0 0 441.550 0.000 20 0 IBADRN CCOCCN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679798367 1072761376 /nfs/dbraw/zinc/76/13/76/1072761376.db2.gz JKZLNNSEOCJYAE-LPHOPBHVSA-N 0 0 441.550 0.000 20 0 IBADRN CCN1CCO[C@@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679798441 1072761409 /nfs/dbraw/zinc/76/14/09/1072761409.db2.gz QMPURQGVSOGJLU-HFTRVMKXSA-N 0 0 427.523 -0.344 20 0 IBADRN CCN1CCO[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679798442 1072761445 /nfs/dbraw/zinc/76/14/45/1072761445.db2.gz QMPURQGVSOGJLU-KYJSFNMBSA-N 0 0 427.523 -0.344 20 0 IBADRN CCN1CCO[C@H](CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679798443 1072761240 /nfs/dbraw/zinc/76/12/40/1072761240.db2.gz QMPURQGVSOGJLU-LESCRADOSA-N 0 0 427.523 -0.344 20 0 IBADRN CCN1CCO[C@@H](CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679798444 1072761937 /nfs/dbraw/zinc/76/19/37/1072761937.db2.gz QMPURQGVSOGJLU-ZVZYQTTQSA-N 0 0 427.523 -0.344 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H](C)CN2CCOCC2)cc1 ZINC000679798653 1072761748 /nfs/dbraw/zinc/76/17/48/1072761748.db2.gz MZDOEFCXYBAWHU-BXWFABGCSA-N 0 0 441.550 -0.096 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](C)CN2CCOCC2)cc1 ZINC000679798656 1072761853 /nfs/dbraw/zinc/76/18/53/1072761853.db2.gz MZDOEFCXYBAWHU-FCEWJHQRSA-N 0 0 441.550 -0.096 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H](C)CN2CCOCC2)cc1 ZINC000679798659 1072761967 /nfs/dbraw/zinc/76/19/67/1072761967.db2.gz MZDOEFCXYBAWHU-GJYPPUQNSA-N 0 0 441.550 -0.096 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](C)CN2CCOCC2)cc1 ZINC000679798662 1072761791 /nfs/dbraw/zinc/76/17/91/1072761791.db2.gz MZDOEFCXYBAWHU-MDZRGWNJSA-N 0 0 441.550 -0.096 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@H](N3CCOCC3)C2)cc1 ZINC000679798684 1072761925 /nfs/dbraw/zinc/76/19/25/1072761925.db2.gz BDHYHLYIBOKRET-BXWFABGCSA-N 0 0 439.534 -0.248 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@H](N3CCOCC3)C2)cc1 ZINC000679798692 1072761894 /nfs/dbraw/zinc/76/18/94/1072761894.db2.gz BDHYHLYIBOKRET-FCEWJHQRSA-N 0 0 439.534 -0.248 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@H](N3CCOCC3)C2)cc1 ZINC000679798696 1072761833 /nfs/dbraw/zinc/76/18/33/1072761833.db2.gz BDHYHLYIBOKRET-GJYPPUQNSA-N 0 0 439.534 -0.248 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@H](N3CCOCC3)C2)cc1 ZINC000679798699 1072761688 /nfs/dbraw/zinc/76/16/88/1072761688.db2.gz BDHYHLYIBOKRET-MDZRGWNJSA-N 0 0 439.534 -0.248 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2CCSCC2)cc1 ZINC000679798746 1072761653 /nfs/dbraw/zinc/76/16/53/1072761653.db2.gz LLWXZVGCLZDENH-PBHICJAKSA-N 0 0 429.564 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2CCSCC2)cc1 ZINC000679798750 1072761866 /nfs/dbraw/zinc/76/18/66/1072761866.db2.gz LLWXZVGCLZDENH-YOEHRIQHSA-N 0 0 429.564 -0.016 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)c(OC)c1 ZINC000679798936 1072761734 /nfs/dbraw/zinc/76/17/34/1072761734.db2.gz NQCKFHLPSLLPIS-BETUJISGSA-N 0 0 434.449 -0.150 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)[C@H](C)C2)cc1 ZINC000679799082 1072761963 /nfs/dbraw/zinc/76/19/63/1072761963.db2.gz POCRMRMJWOLREU-IOASZLSFSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)[C@H](C)C2)cc1 ZINC000679799098 1072761817 /nfs/dbraw/zinc/76/18/17/1072761817.db2.gz POCRMRMJWOLREU-WWGRRREGSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)[C@@H](C)C2)cc1 ZINC000679799101 1072761975 /nfs/dbraw/zinc/76/19/75/1072761975.db2.gz POCRMRMJWOLREU-XEZPLFJOSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)[C@@H](C)C2)cc1 ZINC000679799104 1072761915 /nfs/dbraw/zinc/76/19/15/1072761915.db2.gz POCRMRMJWOLREU-ZENOOKHLSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2C(=O)CCC2=O)cc1 ZINC000679799369 1072761884 /nfs/dbraw/zinc/76/18/84/1072761884.db2.gz LJODALDTOWDVQR-DOMZBBRYSA-N 0 0 425.463 -0.916 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2C(=O)CCC2=O)cc1 ZINC000679799371 1072761719 /nfs/dbraw/zinc/76/17/19/1072761719.db2.gz LJODALDTOWDVQR-WFASDCNBSA-N 0 0 425.463 -0.916 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000679799489 1072761775 /nfs/dbraw/zinc/76/17/75/1072761775.db2.gz QWVVRBJADWAHIY-INMHGKMJSA-N 0 0 445.925 -0.173 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000679799490 1072761956 /nfs/dbraw/zinc/76/19/56/1072761956.db2.gz QWVVRBJADWAHIY-UXLLHSPISA-N 0 0 445.925 -0.173 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000679799491 1072762312 /nfs/dbraw/zinc/76/23/12/1072762312.db2.gz QWVVRBJADWAHIY-VYDXJSESSA-N 0 0 445.925 -0.173 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000679799492 1072762512 /nfs/dbraw/zinc/76/25/12/1072762512.db2.gz QWVVRBJADWAHIY-ZOBUZTSGSA-N 0 0 445.925 -0.173 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C[C@@H]1C ZINC000679799511 1072762229 /nfs/dbraw/zinc/76/22/29/1072762229.db2.gz RLBLBDNCCFLDFD-BXWFABGCSA-N 0 0 441.550 -0.002 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C[C@@H]1C ZINC000679799512 1072762442 /nfs/dbraw/zinc/76/24/42/1072762442.db2.gz RLBLBDNCCFLDFD-FCEWJHQRSA-N 0 0 441.550 -0.002 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C[C@H]1C ZINC000679799513 1072762276 /nfs/dbraw/zinc/76/22/76/1072762276.db2.gz RLBLBDNCCFLDFD-GJYPPUQNSA-N 0 0 441.550 -0.002 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C[C@H]1C ZINC000679799514 1072762485 /nfs/dbraw/zinc/76/24/85/1072762485.db2.gz RLBLBDNCCFLDFD-MDZRGWNJSA-N 0 0 441.550 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)[C@H](C)[C@@H]2C)cc1 ZINC000679799520 1072762384 /nfs/dbraw/zinc/76/23/84/1072762384.db2.gz RNRYNSRQBXVHQS-CFAJVAMVSA-N 0 0 446.547 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)[C@@H](C)[C@H]2C)cc1 ZINC000679799521 1072762505 /nfs/dbraw/zinc/76/25/05/1072762505.db2.gz RNRYNSRQBXVHQS-OEUWWYETSA-N 0 0 446.547 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)[C@H](C)[C@H]2C)cc1 ZINC000679799522 1072762517 /nfs/dbraw/zinc/76/25/17/1072762517.db2.gz RNRYNSRQBXVHQS-WBOJAVRRSA-N 0 0 446.547 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)[C@@H](C)[C@@H]2C)cc1 ZINC000679799523 1072762438 /nfs/dbraw/zinc/76/24/38/1072762438.db2.gz RNRYNSRQBXVHQS-ZJOBFFGXSA-N 0 0 446.547 -0.147 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H](Cn3cncn3)C2)cc1C ZINC000679799564 1072762521 /nfs/dbraw/zinc/76/25/21/1072762521.db2.gz SFRXDVDDTHTLHM-AOIWGVFYSA-N 0 0 449.533 -0.054 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H](Cn3cncn3)C2)cc1C ZINC000679799568 1072762433 /nfs/dbraw/zinc/76/24/33/1072762433.db2.gz SFRXDVDDTHTLHM-LMMKCTJWSA-N 0 0 449.533 -0.054 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@H](Cn3cncn3)C2)cc1C ZINC000679799570 1072762330 /nfs/dbraw/zinc/76/23/30/1072762330.db2.gz SFRXDVDDTHTLHM-LNLFQRSKSA-N 0 0 449.533 -0.054 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@@H](Cn3cncn3)C2)cc1C ZINC000679799573 1072762501 /nfs/dbraw/zinc/76/25/01/1072762501.db2.gz SFRXDVDDTHTLHM-SCTDSRPQSA-N 0 0 449.533 -0.054 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)Nc3ccccc3)C2)cn1 ZINC000679799613 1072762463 /nfs/dbraw/zinc/76/24/63/1072762463.db2.gz AJYFDASWNMPPIF-HNNXBMFYSA-N 0 0 434.478 -0.260 20 0 IBADRN NC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)Nc3ccccc3)C2)cn1 ZINC000679799616 1072762397 /nfs/dbraw/zinc/76/23/97/1072762397.db2.gz AJYFDASWNMPPIF-OAHLLOKOSA-N 0 0 434.478 -0.260 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C[C@H](C)O)[C@@H](C)C2)cc1 ZINC000679800033 1072762778 /nfs/dbraw/zinc/76/27/78/1072762778.db2.gz IILDUNJLQBTWOA-GGXPGOJBSA-N 0 0 441.550 -0.267 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C[C@@H](C)O)[C@@H](C)C2)cc1 ZINC000679800035 1072763049 /nfs/dbraw/zinc/76/30/49/1072763049.db2.gz IILDUNJLQBTWOA-MKSNKDDYSA-N 0 0 441.550 -0.267 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C[C@@H](C)O)[C@H](C)C2)cc1 ZINC000679800038 1072763005 /nfs/dbraw/zinc/76/30/05/1072763005.db2.gz IILDUNJLQBTWOA-NNFUDEMPSA-N 0 0 441.550 -0.267 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C[C@H](C)O)[C@H](C)C2)cc1 ZINC000679800039 1072762942 /nfs/dbraw/zinc/76/29/42/1072762942.db2.gz IILDUNJLQBTWOA-OLMMMNRUSA-N 0 0 441.550 -0.267 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000679800101 1072762829 /nfs/dbraw/zinc/76/28/29/1072762829.db2.gz NUXKRTJDPUWBGG-AEFFLSMTSA-N 0 0 441.550 -0.009 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C)c(C)c1 ZINC000679800105 1072762930 /nfs/dbraw/zinc/76/29/30/1072762930.db2.gz NUXKRTJDPUWBGG-WMZOPIPTSA-N 0 0 441.550 -0.009 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679800150 1072762864 /nfs/dbraw/zinc/76/28/64/1072762864.db2.gz OYDRAKWZWRULSV-AAEUAGOBSA-N 0 0 430.870 -0.868 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679800154 1072762812 /nfs/dbraw/zinc/76/28/12/1072762812.db2.gz OYDRAKWZWRULSV-YPMHNXCESA-N 0 0 430.870 -0.868 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@]3(C2)NC(=O)NC3=O)cc1C ZINC000679800808 1072763075 /nfs/dbraw/zinc/76/30/75/1072763075.db2.gz WUJASTHCUNFDBJ-FRIZHTMISA-N 0 0 436.490 -0.762 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@]3(C2)NC(=O)NC3=O)cc1C ZINC000679800812 1072762915 /nfs/dbraw/zinc/76/29/15/1072762915.db2.gz WUJASTHCUNFDBJ-RFUYNDQBSA-N 0 0 436.490 -0.762 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@]3(C2)NC(=O)NC3=O)cc1C ZINC000679800814 1072763113 /nfs/dbraw/zinc/76/31/13/1072763113.db2.gz WUJASTHCUNFDBJ-WTANOLMUSA-N 0 0 436.490 -0.762 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@]3(C2)NC(=O)NC3=O)cc1C ZINC000679800815 1072763120 /nfs/dbraw/zinc/76/31/20/1072763120.db2.gz WUJASTHCUNFDBJ-ZUEVXXBESA-N 0 0 436.490 -0.762 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000679800836 1072763107 /nfs/dbraw/zinc/76/31/07/1072763107.db2.gz XERVMAPMSGPGSB-KCXAZCMYSA-N 0 0 447.535 -0.901 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000679800842 1072763030 /nfs/dbraw/zinc/76/30/30/1072763030.db2.gz XERVMAPMSGPGSB-MAZHCROVSA-N 0 0 447.535 -0.901 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000679800843 1072763506 /nfs/dbraw/zinc/76/35/06/1072763506.db2.gz XERVMAPMSGPGSB-RCBQFDQVSA-N 0 0 447.535 -0.901 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000679800844 1072763491 /nfs/dbraw/zinc/76/34/91/1072763491.db2.gz XERVMAPMSGPGSB-WQVCFCJDSA-N 0 0 447.535 -0.901 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCCS(=O)(=O)CC2)cc1 ZINC000679800919 1072763401 /nfs/dbraw/zinc/76/34/01/1072763401.db2.gz YWALDLKPUWRPQO-BBRMVZONSA-N 0 0 432.520 -0.534 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCCS(=O)(=O)CC2)cc1 ZINC000679800920 1072763463 /nfs/dbraw/zinc/76/34/63/1072763463.db2.gz YWALDLKPUWRPQO-CJNGLKHVSA-N 0 0 432.520 -0.534 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679800980 1072763551 /nfs/dbraw/zinc/76/35/51/1072763551.db2.gz ZMBRGMYCBNZUEZ-CPUCHLNUSA-N 0 0 425.507 -0.196 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679800983 1072763470 /nfs/dbraw/zinc/76/34/70/1072763470.db2.gz ZMBRGMYCBNZUEZ-KEYYUXOJSA-N 0 0 425.507 -0.196 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679800986 1072763390 /nfs/dbraw/zinc/76/33/90/1072763390.db2.gz ZMBRGMYCBNZUEZ-VBQJREDUSA-N 0 0 425.507 -0.196 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679800990 1072763366 /nfs/dbraw/zinc/76/33/66/1072763366.db2.gz ZMBRGMYCBNZUEZ-ZQIUZPCESA-N 0 0 425.507 -0.196 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000679801162 1072763333 /nfs/dbraw/zinc/76/33/33/1072763333.db2.gz XJOHDHUAOVQXDQ-AOIWGVFYSA-N 0 0 439.534 -0.209 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000679801165 1072763354 /nfs/dbraw/zinc/76/33/54/1072763354.db2.gz XJOHDHUAOVQXDQ-LMMKCTJWSA-N 0 0 439.534 -0.209 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000679801169 1072763412 /nfs/dbraw/zinc/76/34/12/1072763412.db2.gz XJOHDHUAOVQXDQ-LNLFQRSKSA-N 0 0 439.534 -0.209 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000679801174 1072763482 /nfs/dbraw/zinc/76/34/82/1072763482.db2.gz XJOHDHUAOVQXDQ-SCTDSRPQSA-N 0 0 439.534 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1C ZINC000679801293 1072763377 /nfs/dbraw/zinc/76/33/77/1072763377.db2.gz YQHMZIFGIDQRLF-OIISXLGYSA-N 0 0 445.563 -0.293 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1C ZINC000679801295 1072763524 /nfs/dbraw/zinc/76/35/24/1072763524.db2.gz YQHMZIFGIDQRLF-PVAVHDDUSA-N 0 0 445.563 -0.293 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1C ZINC000679801297 1072763533 /nfs/dbraw/zinc/76/35/33/1072763533.db2.gz YQHMZIFGIDQRLF-UAGQMJEPSA-N 0 0 445.563 -0.293 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1C ZINC000679801299 1072763515 /nfs/dbraw/zinc/76/35/15/1072763515.db2.gz YQHMZIFGIDQRLF-XIRDDKMYSA-N 0 0 445.563 -0.293 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCc2cnc(N(C)C)n2C)cc1 ZINC000679801450 1072763537 /nfs/dbraw/zinc/76/35/37/1072763537.db2.gz UOIADLPPDBYREW-PBHICJAKSA-N 0 0 437.522 -0.065 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCc2cnc(N(C)C)n2C)cc1 ZINC000679801451 1072763429 /nfs/dbraw/zinc/76/34/29/1072763429.db2.gz UOIADLPPDBYREW-YOEHRIQHSA-N 0 0 437.522 -0.065 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC000679801640 1072763979 /nfs/dbraw/zinc/76/39/79/1072763979.db2.gz ITCXCFKSEBKTBJ-KDOFPFPSSA-N 0 0 448.501 -0.502 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC000679801641 1072764028 /nfs/dbraw/zinc/76/40/28/1072764028.db2.gz ITCXCFKSEBKTBJ-KSSFIOAISA-N 0 0 448.501 -0.502 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679801806 1072763893 /nfs/dbraw/zinc/76/38/93/1072763893.db2.gz VZNXSPADRGWRLR-JSGCOSHPSA-N 0 0 429.882 -0.271 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679801811 1072763904 /nfs/dbraw/zinc/76/39/04/1072763904.db2.gz VZNXSPADRGWRLR-OCCSQVGLSA-N 0 0 429.882 -0.271 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679801827 1072763803 /nfs/dbraw/zinc/76/38/03/1072763803.db2.gz WUGMNNXBOKKDHW-IOASZLSFSA-N 0 0 434.536 -0.242 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679801831 1072763995 /nfs/dbraw/zinc/76/39/95/1072763995.db2.gz WUGMNNXBOKKDHW-WWGRRREGSA-N 0 0 434.536 -0.242 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679801832 1072763882 /nfs/dbraw/zinc/76/38/82/1072763882.db2.gz WUGMNNXBOKKDHW-XEZPLFJOSA-N 0 0 434.536 -0.242 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(OC)cc1 ZINC000679801834 1072763954 /nfs/dbraw/zinc/76/39/54/1072763954.db2.gz WUGMNNXBOKKDHW-ZENOOKHLSA-N 0 0 434.536 -0.242 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)cc1 ZINC000679802030 1072763968 /nfs/dbraw/zinc/76/39/68/1072763968.db2.gz LBASYIMJZUDLPC-AJRPESIASA-N 0 0 437.518 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)cc1 ZINC000679802031 1072763943 /nfs/dbraw/zinc/76/39/43/1072763943.db2.gz LBASYIMJZUDLPC-HFGWPOCOSA-N 0 0 437.518 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)cc1 ZINC000679802032 1072764038 /nfs/dbraw/zinc/76/40/38/1072764038.db2.gz LBASYIMJZUDLPC-NBZYUPRNSA-N 0 0 437.518 -0.055 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)cc1 ZINC000679802033 1072763867 /nfs/dbraw/zinc/76/38/67/1072763867.db2.gz LBASYIMJZUDLPC-QVXTZCDOSA-N 0 0 437.518 -0.055 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679804025 1072763847 /nfs/dbraw/zinc/76/38/47/1072763847.db2.gz KCUXBWBULYQLFL-BBRMVZONSA-N 0 0 426.495 -0.697 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679804026 1072764046 /nfs/dbraw/zinc/76/40/46/1072764046.db2.gz KCUXBWBULYQLFL-CJNGLKHVSA-N 0 0 426.495 -0.697 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679804809 1072764285 /nfs/dbraw/zinc/76/42/85/1072764285.db2.gz SIRIVHIUAMGBOD-HIFRSBDPSA-N 0 0 430.914 -0.053 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679804810 1072764385 /nfs/dbraw/zinc/76/43/85/1072764385.db2.gz SIRIVHIUAMGBOD-ZFWWWQNUSA-N 0 0 430.914 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@H](CC(N)=O)C2)cc1 ZINC000679805302 1072764476 /nfs/dbraw/zinc/76/44/76/1072764476.db2.gz GUUKARQXJLLTLD-CPUCHLNUSA-N 0 0 425.507 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@H](CC(N)=O)C2)cc1 ZINC000679805304 1072764416 /nfs/dbraw/zinc/76/44/16/1072764416.db2.gz GUUKARQXJLLTLD-KEYYUXOJSA-N 0 0 425.507 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@@H](CC(N)=O)C2)cc1 ZINC000679805306 1072764440 /nfs/dbraw/zinc/76/44/40/1072764440.db2.gz GUUKARQXJLLTLD-VBQJREDUSA-N 0 0 425.507 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@@H](CC(N)=O)C2)cc1 ZINC000679805308 1072764311 /nfs/dbraw/zinc/76/43/11/1072764311.db2.gz GUUKARQXJLLTLD-ZQIUZPCESA-N 0 0 425.507 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(c3cnn(C)c3)CC2)cc1 ZINC000679805399 1072764299 /nfs/dbraw/zinc/76/42/99/1072764299.db2.gz XSDKXGLLQQWUFV-APWZRJJASA-N 0 0 449.533 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(c3cnn(C)c3)CC2)cc1 ZINC000679805400 1072764502 /nfs/dbraw/zinc/76/45/02/1072764502.db2.gz XSDKXGLLQQWUFV-LPHOPBHVSA-N 0 0 449.533 -0.099 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCO[C@H](C(=O)NC)C3)cc2)CC1 ZINC000679806054 1072764332 /nfs/dbraw/zinc/76/43/32/1072764332.db2.gz BJWRKDQXHNUBHH-KRWDZBQOSA-N 0 0 431.493 -0.624 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCO[C@@H](C(=O)NC)C3)cc2)CC1 ZINC000679806055 1072764515 /nfs/dbraw/zinc/76/45/15/1072764515.db2.gz BJWRKDQXHNUBHH-QGZVFWFLSA-N 0 0 431.493 -0.624 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000679806071 1072764463 /nfs/dbraw/zinc/76/44/63/1072764463.db2.gz BSEGDZMPFWQFMO-BBRMVZONSA-N 0 0 445.925 -0.221 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000679806072 1072764487 /nfs/dbraw/zinc/76/44/87/1072764487.db2.gz BSEGDZMPFWQFMO-CJNGLKHVSA-N 0 0 445.925 -0.221 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)NC[C@H]2C1 ZINC000679806279 1072764395 /nfs/dbraw/zinc/76/43/95/1072764395.db2.gz HWZMXJCBSQHYML-GUTXKFCHSA-N 0 0 428.898 -0.300 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000679806282 1072764322 /nfs/dbraw/zinc/76/43/22/1072764322.db2.gz HWZMXJCBSQHYML-IPYPFGDCSA-N 0 0 428.898 -0.300 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)NC[C@@H]2C1 ZINC000679806283 1072764404 /nfs/dbraw/zinc/76/44/04/1072764404.db2.gz HWZMXJCBSQHYML-NFAWXSAZSA-N 0 0 428.898 -0.300 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)NC[C@H]2C1 ZINC000679806284 1072764371 /nfs/dbraw/zinc/76/43/71/1072764371.db2.gz HWZMXJCBSQHYML-YDHLFZDLSA-N 0 0 428.898 -0.300 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2(CS(C)(=O)=O)CC2)cc1 ZINC000679806356 1072764359 /nfs/dbraw/zinc/76/43/59/1072764359.db2.gz CZTOUPXBCGZMEU-BBRMVZONSA-N 0 0 446.547 -0.240 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2(CS(C)(=O)=O)CC2)cc1 ZINC000679806361 1072764378 /nfs/dbraw/zinc/76/43/78/1072764378.db2.gz CZTOUPXBCGZMEU-CJNGLKHVSA-N 0 0 446.547 -0.240 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1C ZINC000679806895 1072764423 /nfs/dbraw/zinc/76/44/23/1072764423.db2.gz IRSYEALDSMPVNF-BPUTZDHNSA-N 0 0 436.490 -0.810 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCc2ccc(C(N)=O)nc2)cc1 ZINC000679806991 1072764451 /nfs/dbraw/zinc/76/44/51/1072764451.db2.gz OBPBOYQWYHTQAP-DYVFJYSZSA-N 0 0 434.474 -0.371 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCc2ccc(C(N)=O)nc2)cc1 ZINC000679806992 1072764908 /nfs/dbraw/zinc/76/49/08/1072764908.db2.gz OBPBOYQWYHTQAP-GUYCJALGSA-N 0 0 434.474 -0.371 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1C ZINC000679807071 1072764826 /nfs/dbraw/zinc/76/48/26/1072764826.db2.gz IRSYEALDSMPVNF-CWRNSKLLSA-N 0 0 436.490 -0.810 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1C ZINC000679807072 1072764896 /nfs/dbraw/zinc/76/48/96/1072764896.db2.gz IRSYEALDSMPVNF-KBMXLJTQSA-N 0 0 436.490 -0.810 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1C ZINC000679807073 1072764870 /nfs/dbraw/zinc/76/48/70/1072764870.db2.gz IRSYEALDSMPVNF-VNQPRFMTSA-N 0 0 436.490 -0.810 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)O1 ZINC000679807171 1072764998 /nfs/dbraw/zinc/76/49/98/1072764998.db2.gz AKAQUPGYRHCSLF-DHMWGJHJSA-N 0 0 431.898 -0.387 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)O1 ZINC000679807174 1072764882 /nfs/dbraw/zinc/76/48/82/1072764882.db2.gz AKAQUPGYRHCSLF-JURCDPSOSA-N 0 0 431.898 -0.387 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)O1 ZINC000679807176 1072764958 /nfs/dbraw/zinc/76/49/58/1072764958.db2.gz AKAQUPGYRHCSLF-NEBZKDRISA-N 0 0 431.898 -0.387 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)O1 ZINC000679807177 1072764930 /nfs/dbraw/zinc/76/49/30/1072764930.db2.gz AKAQUPGYRHCSLF-UXOAXIEHSA-N 0 0 431.898 -0.387 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(C)(C)S(C)(=O)=O)cc1 ZINC000679807526 1072765024 /nfs/dbraw/zinc/76/50/24/1072765024.db2.gz LOGLEXHRQBQQDI-DOMZBBRYSA-N 0 0 434.536 -0.242 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(C)(C)S(C)(=O)=O)cc1 ZINC000679807527 1072764846 /nfs/dbraw/zinc/76/48/46/1072764846.db2.gz LOGLEXHRQBQQDI-WFASDCNBSA-N 0 0 434.536 -0.242 20 0 IBADRN O=C(NCCn1ncnn1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000679807796 1072764944 /nfs/dbraw/zinc/76/49/44/1072764944.db2.gz DXMVUYAWFVEMOC-RNCFNFMXSA-N 0 0 435.293 -0.080 20 0 IBADRN O=C(NCCn1ncnn1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000679807799 1072764835 /nfs/dbraw/zinc/76/48/35/1072764835.db2.gz DXMVUYAWFVEMOC-ZANVPECISA-N 0 0 435.293 -0.080 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H](C)CCS(C)(=O)=O)cc1 ZINC000679807893 1072764973 /nfs/dbraw/zinc/76/49/73/1072764973.db2.gz FTWSWFRCQBXKEW-IOASZLSFSA-N 0 0 434.536 -0.242 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H](C)CCS(C)(=O)=O)cc1 ZINC000679807895 1072764860 /nfs/dbraw/zinc/76/48/60/1072764860.db2.gz FTWSWFRCQBXKEW-WWGRRREGSA-N 0 0 434.536 -0.242 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H](C)CCS(C)(=O)=O)cc1 ZINC000679807897 1072764985 /nfs/dbraw/zinc/76/49/85/1072764985.db2.gz FTWSWFRCQBXKEW-XEZPLFJOSA-N 0 0 434.536 -0.242 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H](C)CCS(C)(=O)=O)cc1 ZINC000679807898 1072765013 /nfs/dbraw/zinc/76/50/13/1072765013.db2.gz FTWSWFRCQBXKEW-ZENOOKHLSA-N 0 0 434.536 -0.242 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC(OCC(=O)N(C)C)C2)cc1C ZINC000679807955 1072764811 /nfs/dbraw/zinc/76/48/11/1072764811.db2.gz NYTLZNDNRGLHAQ-QAPCUYQASA-N 0 0 439.534 -0.257 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC(OCC(=O)N(C)C)C2)cc1C ZINC000679807957 1072765038 /nfs/dbraw/zinc/76/50/38/1072765038.db2.gz NYTLZNDNRGLHAQ-YJBOKZPZSA-N 0 0 439.534 -0.257 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN3C(=O)NC[C@H]3C2)c(C)c1 ZINC000679808043 1072764917 /nfs/dbraw/zinc/76/49/17/1072764917.db2.gz WPODCPZBXIJQQP-BBWFWOEESA-N 0 0 436.534 -0.028 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN3C(=O)NC[C@@H]3C2)c(C)c1 ZINC000679808044 1072765053 /nfs/dbraw/zinc/76/50/53/1072765053.db2.gz WPODCPZBXIJQQP-IKGGRYGDSA-N 0 0 436.534 -0.028 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN3C(=O)NC[C@H]3C2)c(C)c1 ZINC000679808045 1072765254 /nfs/dbraw/zinc/76/52/54/1072765254.db2.gz WPODCPZBXIJQQP-ULQDDVLXSA-N 0 0 436.534 -0.028 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN3C(=O)NC[C@@H]3C2)c(C)c1 ZINC000679808046 1072765326 /nfs/dbraw/zinc/76/53/26/1072765326.db2.gz WPODCPZBXIJQQP-ZACQAIPSSA-N 0 0 436.534 -0.028 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1C ZINC000679808096 1072765272 /nfs/dbraw/zinc/76/52/72/1072765272.db2.gz GCNDBBVLRLJRAN-JHNDHUHGSA-N 0 0 425.507 -0.424 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1C ZINC000679808097 1072765260 /nfs/dbraw/zinc/76/52/60/1072765260.db2.gz GCNDBBVLRLJRAN-OHFALNGGSA-N 0 0 425.507 -0.424 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1C ZINC000679808098 1072765306 /nfs/dbraw/zinc/76/53/06/1072765306.db2.gz GCNDBBVLRLJRAN-TXCZRRACSA-N 0 0 425.507 -0.424 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1C ZINC000679808099 1072765256 /nfs/dbraw/zinc/76/52/56/1072765256.db2.gz GCNDBBVLRLJRAN-YQFWSFKMSA-N 0 0 425.507 -0.424 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NCC(=O)NCCO)cc1 ZINC000679808100 1072765281 /nfs/dbraw/zinc/76/52/81/1072765281.db2.gz GEBACSPZQJGFBJ-GDBMZVCRSA-N 0 0 427.523 -0.667 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)NCC(=O)NCCO)cc1 ZINC000679808102 1072765421 /nfs/dbraw/zinc/76/54/21/1072765421.db2.gz GEBACSPZQJGFBJ-GOEBONIOSA-N 0 0 427.523 -0.667 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)NCCO)cc1 ZINC000679808103 1072765400 /nfs/dbraw/zinc/76/54/00/1072765400.db2.gz GEBACSPZQJGFBJ-HOCLYGCPSA-N 0 0 427.523 -0.667 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)NCCO)cc1 ZINC000679808105 1072765248 /nfs/dbraw/zinc/76/52/48/1072765248.db2.gz GEBACSPZQJGFBJ-ZBFHGGJFSA-N 0 0 427.523 -0.667 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H](O)COCC(C)C)cc1 ZINC000679808604 1072765367 /nfs/dbraw/zinc/76/53/67/1072765367.db2.gz JJFPVBXCEOXGTK-DAYGRLMNSA-N 0 0 430.523 -0.031 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H](O)COCC(C)C)cc1 ZINC000679808605 1072765317 /nfs/dbraw/zinc/76/53/17/1072765317.db2.gz JJFPVBXCEOXGTK-MPGHIAIKSA-N 0 0 430.523 -0.031 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](O)COCC(C)C)cc1 ZINC000679808606 1072765378 /nfs/dbraw/zinc/76/53/78/1072765378.db2.gz JJFPVBXCEOXGTK-RKVPGOIHSA-N 0 0 430.523 -0.031 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](O)COCC(C)C)cc1 ZINC000679808607 1072765388 /nfs/dbraw/zinc/76/53/88/1072765388.db2.gz JJFPVBXCEOXGTK-VKJFTORMSA-N 0 0 430.523 -0.031 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000679808652 1072765266 /nfs/dbraw/zinc/76/52/66/1072765266.db2.gz RFTDLHSRAZRNHY-DYVFJYSZSA-N 0 0 441.506 -0.865 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000679808656 1072765339 /nfs/dbraw/zinc/76/53/39/1072765339.db2.gz RFTDLHSRAZRNHY-GUYCJALGSA-N 0 0 441.506 -0.865 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000679808743 1072765351 /nfs/dbraw/zinc/76/53/51/1072765351.db2.gz UNVGCTQDCQIVFH-FPMFFAJLSA-N 0 0 442.881 -0.774 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000679808744 1072765297 /nfs/dbraw/zinc/76/52/97/1072765297.db2.gz UNVGCTQDCQIVFH-KWCYVHTRSA-N 0 0 442.881 -0.774 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000679808745 1072765412 /nfs/dbraw/zinc/76/54/12/1072765412.db2.gz UNVGCTQDCQIVFH-UBHSHLNASA-N 0 0 442.881 -0.774 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000679808746 1072765360 /nfs/dbraw/zinc/76/53/60/1072765360.db2.gz UNVGCTQDCQIVFH-XBFCOCLRSA-N 0 0 442.881 -0.774 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000679808754 1072765917 /nfs/dbraw/zinc/76/59/17/1072765917.db2.gz UWCASKRACBZVDY-KCXAZCMYSA-N 0 0 439.490 -0.529 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000679808961 1072765708 /nfs/dbraw/zinc/76/57/08/1072765708.db2.gz UWCASKRACBZVDY-MAZHCROVSA-N 0 0 439.490 -0.529 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000679808963 1072765807 /nfs/dbraw/zinc/76/58/07/1072765807.db2.gz UWCASKRACBZVDY-RCBQFDQVSA-N 0 0 439.490 -0.529 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000679808965 1072765693 /nfs/dbraw/zinc/76/56/93/1072765693.db2.gz UWCASKRACBZVDY-WQVCFCJDSA-N 0 0 439.490 -0.529 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](O)C2CCOCC2)cc1 ZINC000679808971 1072765951 /nfs/dbraw/zinc/76/59/51/1072765951.db2.gz KRUJBODKBMDHLO-FHLIZLRMSA-N 0 0 428.507 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H](O)C2CCOCC2)cc1 ZINC000679808972 1072765941 /nfs/dbraw/zinc/76/59/41/1072765941.db2.gz KRUJBODKBMDHLO-JCGIZDLHSA-N 0 0 428.507 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](O)C2CCOCC2)cc1 ZINC000679808973 1072765757 /nfs/dbraw/zinc/76/57/57/1072765757.db2.gz KRUJBODKBMDHLO-JLSDUUJJSA-N 0 0 428.507 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H](O)C2CCOCC2)cc1 ZINC000679808974 1072765719 /nfs/dbraw/zinc/76/57/19/1072765719.db2.gz KRUJBODKBMDHLO-WBAXXEDZSA-N 0 0 428.507 -0.277 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679809001 1072765844 /nfs/dbraw/zinc/76/58/44/1072765844.db2.gz KWBUGNIPKXZROW-CPUCHLNUSA-N 0 0 440.522 -0.261 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679809002 1072765733 /nfs/dbraw/zinc/76/57/33/1072765733.db2.gz KWBUGNIPKXZROW-KEYYUXOJSA-N 0 0 440.522 -0.261 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679809003 1072765977 /nfs/dbraw/zinc/76/59/77/1072765977.db2.gz KWBUGNIPKXZROW-VBQJREDUSA-N 0 0 440.522 -0.261 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679809006 1072765791 /nfs/dbraw/zinc/76/57/91/1072765791.db2.gz KWBUGNIPKXZROW-ZQIUZPCESA-N 0 0 440.522 -0.261 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN([C@H]3CCOC3)CC2)cc1 ZINC000679809143 1072765819 /nfs/dbraw/zinc/76/58/19/1072765819.db2.gz RFZHELGTPMNEHX-BXWFABGCSA-N 0 0 439.534 -0.248 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN([C@H]3CCOC3)CC2)cc1 ZINC000679809145 1072765769 /nfs/dbraw/zinc/76/57/69/1072765769.db2.gz RFZHELGTPMNEHX-FCEWJHQRSA-N 0 0 439.534 -0.248 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000679809147 1072765862 /nfs/dbraw/zinc/76/58/62/1072765862.db2.gz RFZHELGTPMNEHX-GJYPPUQNSA-N 0 0 439.534 -0.248 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000679809149 1072765960 /nfs/dbraw/zinc/76/59/60/1072765960.db2.gz RFZHELGTPMNEHX-MDZRGWNJSA-N 0 0 439.534 -0.248 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c(C)c1 ZINC000679809481 1072765930 /nfs/dbraw/zinc/76/59/30/1072765930.db2.gz OEJPAYAYBHLPNH-LTIDMASMSA-N 0 0 439.534 -0.115 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c(C)c1 ZINC000679809482 1072765888 /nfs/dbraw/zinc/76/58/88/1072765888.db2.gz OEJPAYAYBHLPNH-NCOADZHNSA-N 0 0 439.534 -0.115 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c(C)c1 ZINC000679809483 1072765743 /nfs/dbraw/zinc/76/57/43/1072765743.db2.gz OEJPAYAYBHLPNH-QZWWFDLISA-N 0 0 439.534 -0.115 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c(C)c1 ZINC000679809485 1072765899 /nfs/dbraw/zinc/76/58/99/1072765899.db2.gz OEJPAYAYBHLPNH-WCXIOVBPSA-N 0 0 439.534 -0.115 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1 ZINC000679809638 1072766329 /nfs/dbraw/zinc/76/63/29/1072766329.db2.gz WDUSDDBEDXTFKE-BBRMVZONSA-N 0 0 446.547 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1 ZINC000679809646 1072766212 /nfs/dbraw/zinc/76/62/12/1072766212.db2.gz WDUSDDBEDXTFKE-CJNGLKHVSA-N 0 0 446.547 -0.145 20 0 IBADRN NC(=O)c1cc(CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)on1 ZINC000679809669 1072766152 /nfs/dbraw/zinc/76/61/52/1072766152.db2.gz WMDBWRLXOZZUAX-HZMBPMFUSA-N 0 0 428.854 -0.133 20 0 IBADRN NC(=O)c1cc(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)on1 ZINC000679809673 1072766261 /nfs/dbraw/zinc/76/62/61/1072766261.db2.gz WMDBWRLXOZZUAX-YGRLFVJLSA-N 0 0 428.854 -0.133 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NCCOCC(N)=O)cc1 ZINC000679810065 1072766181 /nfs/dbraw/zinc/76/61/81/1072766181.db2.gz RQMPKXDLGCZDNO-GDBMZVCRSA-N 0 0 427.523 -0.274 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)NCCOCC(N)=O)cc1 ZINC000679810068 1072766163 /nfs/dbraw/zinc/76/61/63/1072766163.db2.gz RQMPKXDLGCZDNO-GOEBONIOSA-N 0 0 427.523 -0.274 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCOCC(N)=O)cc1 ZINC000679810069 1072766224 /nfs/dbraw/zinc/76/62/24/1072766224.db2.gz RQMPKXDLGCZDNO-HOCLYGCPSA-N 0 0 427.523 -0.274 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCOCC(N)=O)cc1 ZINC000679810071 1072766301 /nfs/dbraw/zinc/76/63/01/1072766301.db2.gz RQMPKXDLGCZDNO-ZBFHGGJFSA-N 0 0 427.523 -0.274 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H]2CCCS2(=O)=O)cc1 ZINC000679810268 1072766307 /nfs/dbraw/zinc/76/63/07/1072766307.db2.gz HYKDQXYBRSDLFQ-KCXAZCMYSA-N 0 0 432.520 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H]2CCCS2(=O)=O)cc1 ZINC000679810269 1072766289 /nfs/dbraw/zinc/76/62/89/1072766289.db2.gz HYKDQXYBRSDLFQ-MAZHCROVSA-N 0 0 432.520 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H]2CCCS2(=O)=O)cc1 ZINC000679810270 1072766269 /nfs/dbraw/zinc/76/62/69/1072766269.db2.gz HYKDQXYBRSDLFQ-RCBQFDQVSA-N 0 0 432.520 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H]2CCCS2(=O)=O)cc1 ZINC000679810271 1072766175 /nfs/dbraw/zinc/76/61/75/1072766175.db2.gz HYKDQXYBRSDLFQ-WQVCFCJDSA-N 0 0 432.520 -0.488 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000679810495 1072766277 /nfs/dbraw/zinc/76/62/77/1072766277.db2.gz IAFYZUSOTGPUPO-OIISXLGYSA-N 0 0 445.563 -0.423 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000679810496 1072766358 /nfs/dbraw/zinc/76/63/58/1072766358.db2.gz IAFYZUSOTGPUPO-PVAVHDDUSA-N 0 0 445.563 -0.423 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000679810497 1072766234 /nfs/dbraw/zinc/76/62/34/1072766234.db2.gz IAFYZUSOTGPUPO-UAGQMJEPSA-N 0 0 445.563 -0.423 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000679810498 1072766348 /nfs/dbraw/zinc/76/63/48/1072766348.db2.gz IAFYZUSOTGPUPO-XIRDDKMYSA-N 0 0 445.563 -0.423 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@H]3OCCN(C)[C@@H]3C2)cc1 ZINC000679810692 1072766249 /nfs/dbraw/zinc/76/62/49/1072766249.db2.gz UYWJQEPTSQEPJH-BVPBIZIASA-N 0 0 425.507 -0.640 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@@H]3OCCN(C)[C@H]3C2)cc1 ZINC000679810693 1072766317 /nfs/dbraw/zinc/76/63/17/1072766317.db2.gz UYWJQEPTSQEPJH-QCSYZSNVSA-N 0 0 425.507 -0.640 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@@H]3[C@H](C2)OCCN3C)cc1 ZINC000679810694 1072766199 /nfs/dbraw/zinc/76/61/99/1072766199.db2.gz UYWJQEPTSQEPJH-RNJTYBCJSA-N 0 0 425.507 -0.640 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679810707 1072766189 /nfs/dbraw/zinc/76/61/89/1072766189.db2.gz VFASADQFKFKSON-CPUCHLNUSA-N 0 0 425.507 -0.054 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679810708 1072766935 /nfs/dbraw/zinc/76/69/35/1072766935.db2.gz VFASADQFKFKSON-KEYYUXOJSA-N 0 0 425.507 -0.054 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679810709 1072766883 /nfs/dbraw/zinc/76/68/83/1072766883.db2.gz VFASADQFKFKSON-VBQJREDUSA-N 0 0 425.507 -0.054 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000679810710 1072766943 /nfs/dbraw/zinc/76/69/43/1072766943.db2.gz VFASADQFKFKSON-ZQIUZPCESA-N 0 0 425.507 -0.054 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1)NCCO ZINC000679810746 1072766698 /nfs/dbraw/zinc/76/66/98/1072766698.db2.gz WLNCJTXYBYTXFN-RNCFNFMXSA-N 0 0 440.305 -0.658 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1)NCCO ZINC000679810747 1072766902 /nfs/dbraw/zinc/76/69/02/1072766902.db2.gz WLNCJTXYBYTXFN-ZANVPECISA-N 0 0 440.305 -0.658 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H](O)COCC2CC2)cc1 ZINC000679810966 1072766872 /nfs/dbraw/zinc/76/68/72/1072766872.db2.gz WVKLXFBIQHSOIO-DAYGRLMNSA-N 0 0 428.507 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H](O)COCC2CC2)cc1 ZINC000679810967 1072766912 /nfs/dbraw/zinc/76/69/12/1072766912.db2.gz WVKLXFBIQHSOIO-MPGHIAIKSA-N 0 0 428.507 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](O)COCC2CC2)cc1 ZINC000679810968 1072766890 /nfs/dbraw/zinc/76/68/90/1072766890.db2.gz WVKLXFBIQHSOIO-RKVPGOIHSA-N 0 0 428.507 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](O)COCC2CC2)cc1 ZINC000679810969 1072766920 /nfs/dbraw/zinc/76/69/20/1072766920.db2.gz WVKLXFBIQHSOIO-VKJFTORMSA-N 0 0 428.507 -0.277 20 0 IBADRN NC(=O)COCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000679810974 1072766855 /nfs/dbraw/zinc/76/68/55/1072766855.db2.gz XAMJXUNGMRQCDV-RNCFNFMXSA-N 0 0 440.305 -0.265 20 0 IBADRN NC(=O)COCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000679810975 1072766617 /nfs/dbraw/zinc/76/66/17/1072766617.db2.gz XAMJXUNGMRQCDV-ZANVPECISA-N 0 0 440.305 -0.265 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000679811251 1072766709 /nfs/dbraw/zinc/76/67/09/1072766709.db2.gz NNXXSJWTKWKXFP-DACLVMHWSA-N 0 0 427.523 -0.346 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000679811254 1072766665 /nfs/dbraw/zinc/76/66/65/1072766665.db2.gz NNXXSJWTKWKXFP-IHETXDGRSA-N 0 0 427.523 -0.346 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000679811258 1072766738 /nfs/dbraw/zinc/76/67/38/1072766738.db2.gz NNXXSJWTKWKXFP-KJWYOANISA-N 0 0 427.523 -0.346 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000679811261 1072766768 /nfs/dbraw/zinc/76/67/68/1072766768.db2.gz NNXXSJWTKWKXFP-KNCCTNLNSA-N 0 0 427.523 -0.346 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C(=O)CO)CC2)c(C)c1 ZINC000679811378 1072766866 /nfs/dbraw/zinc/76/68/66/1072766866.db2.gz DAROPCZADKEOAR-IRXDYDNUSA-N 0 0 439.534 -0.601 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C(=O)CO)CC2)c(C)c1 ZINC000679811379 1072766649 /nfs/dbraw/zinc/76/66/49/1072766649.db2.gz DAROPCZADKEOAR-SJORKVTESA-N 0 0 439.534 -0.601 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(c3nccn3C)CC2)cc1 ZINC000679811836 1072766833 /nfs/dbraw/zinc/76/68/33/1072766833.db2.gz UBIARGPKUGKLOY-QAPCUYQASA-N 0 0 449.533 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(c3nccn3C)CC2)cc1 ZINC000679811837 1072767419 /nfs/dbraw/zinc/76/74/19/1072767419.db2.gz UBIARGPKUGKLOY-YJBOKZPZSA-N 0 0 449.533 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C(C)(C)CO)CC2)cc1 ZINC000679812076 1072767368 /nfs/dbraw/zinc/76/73/68/1072767368.db2.gz IWDJHODTPLBDTA-QAPCUYQASA-N 0 0 441.550 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C(C)(C)CO)CC2)cc1 ZINC000679812078 1072767304 /nfs/dbraw/zinc/76/73/04/1072767304.db2.gz IWDJHODTPLBDTA-YJBOKZPZSA-N 0 0 441.550 -0.266 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C(=O)CO)CC2)cc1C ZINC000679812384 1072767390 /nfs/dbraw/zinc/76/73/90/1072767390.db2.gz LWZCRLXUXIODQT-RDJZCZTQSA-N 0 0 425.507 -0.910 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C(=O)CO)CC2)cc1C ZINC000679812385 1072767243 /nfs/dbraw/zinc/76/72/43/1072767243.db2.gz LWZCRLXUXIODQT-WBVHZDCISA-N 0 0 425.507 -0.910 20 0 IBADRN O=C(CO)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679812441 1072767266 /nfs/dbraw/zinc/76/72/66/1072767266.db2.gz MYMLGDVILDWABG-HIFRSBDPSA-N 0 0 431.898 -0.873 20 0 IBADRN O=C(CO)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679812443 1072767155 /nfs/dbraw/zinc/76/71/55/1072767155.db2.gz MYMLGDVILDWABG-ZFWWWQNUSA-N 0 0 431.898 -0.873 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2(CO)CCOCC2)cc1 ZINC000679812904 1072767278 /nfs/dbraw/zinc/76/72/78/1072767278.db2.gz WZOUUJASNWXPKA-PBHICJAKSA-N 0 0 428.507 -0.276 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2(CO)CCOCC2)cc1 ZINC000679812905 1072767479 /nfs/dbraw/zinc/76/74/79/1072767479.db2.gz WZOUUJASNWXPKA-YOEHRIQHSA-N 0 0 428.507 -0.276 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@H](OCCO)C2)cc1 ZINC000679813137 1072767144 /nfs/dbraw/zinc/76/71/44/1072767144.db2.gz XUVKOKZNCBEPDI-HFTRVMKXSA-N 0 0 428.507 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@@H](OCCO)C2)cc1 ZINC000679813138 1072767402 /nfs/dbraw/zinc/76/74/02/1072767402.db2.gz XUVKOKZNCBEPDI-KYJSFNMBSA-N 0 0 428.507 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@@H](OCCO)C2)cc1 ZINC000679813139 1072767136 /nfs/dbraw/zinc/76/71/36/1072767136.db2.gz XUVKOKZNCBEPDI-LESCRADOSA-N 0 0 428.507 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@H](OCCO)C2)cc1 ZINC000679813140 1072767830 /nfs/dbraw/zinc/76/78/30/1072767830.db2.gz XUVKOKZNCBEPDI-ZVZYQTTQSA-N 0 0 428.507 -0.181 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679813157 1072767863 /nfs/dbraw/zinc/76/78/63/1072767863.db2.gz YHUVGDOCSUFKHU-BXWFABGCSA-N 0 0 441.550 -0.266 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679813158 1072767883 /nfs/dbraw/zinc/76/78/83/1072767883.db2.gz YHUVGDOCSUFKHU-FCEWJHQRSA-N 0 0 441.550 -0.266 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679813159 1072767901 /nfs/dbraw/zinc/76/79/01/1072767901.db2.gz YHUVGDOCSUFKHU-GJYPPUQNSA-N 0 0 441.550 -0.266 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679813160 1072767773 /nfs/dbraw/zinc/76/77/73/1072767773.db2.gz YHUVGDOCSUFKHU-MDZRGWNJSA-N 0 0 441.550 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC(OCCO)CC2)cc1 ZINC000679813458 1072767957 /nfs/dbraw/zinc/76/79/57/1072767957.db2.gz YBXBMVQGVLCXMV-KDOFPFPSSA-N 0 0 428.507 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC(OCCO)CC2)cc1 ZINC000679813460 1072767980 /nfs/dbraw/zinc/76/79/80/1072767980.db2.gz YBXBMVQGVLCXMV-KSSFIOAISA-N 0 0 428.507 -0.181 20 0 IBADRN COCC1(O)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679814184 1072767735 /nfs/dbraw/zinc/76/77/35/1072767735.db2.gz IIQYEHMGIUPLQC-PBHICJAKSA-N 0 0 428.507 -0.181 20 0 IBADRN COCC1(O)CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000679814185 1072767757 /nfs/dbraw/zinc/76/77/57/1072767757.db2.gz IIQYEHMGIUPLQC-YOEHRIQHSA-N 0 0 428.507 -0.181 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679814361 1072767810 /nfs/dbraw/zinc/76/78/10/1072767810.db2.gz JSAVRHUXZSVLCU-BJJXKVORSA-N 0 0 445.925 -0.485 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679814362 1072767948 /nfs/dbraw/zinc/76/79/48/1072767948.db2.gz JSAVRHUXZSVLCU-INWMFGNUSA-N 0 0 445.925 -0.485 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679814363 1072767926 /nfs/dbraw/zinc/76/79/26/1072767926.db2.gz JSAVRHUXZSVLCU-NOLJZWGESA-N 0 0 445.925 -0.485 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000679814364 1072767840 /nfs/dbraw/zinc/76/78/40/1072767840.db2.gz JSAVRHUXZSVLCU-XPKDYRNWSA-N 0 0 445.925 -0.485 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1C ZINC000679814564 1072767744 /nfs/dbraw/zinc/76/77/44/1072767744.db2.gz LQIWVDMCMXMIPX-BQFCYCMXSA-N 0 0 439.534 -0.521 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1C ZINC000679814565 1072767969 /nfs/dbraw/zinc/76/79/69/1072767969.db2.gz LQIWVDMCMXMIPX-JZXOWHBKSA-N 0 0 439.534 -0.521 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1C ZINC000679814566 1072767715 /nfs/dbraw/zinc/76/77/15/1072767715.db2.gz LQIWVDMCMXMIPX-NUJGCVRESA-N 0 0 439.534 -0.521 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1C ZINC000679814567 1072767993 /nfs/dbraw/zinc/76/79/93/1072767993.db2.gz LQIWVDMCMXMIPX-RYRKJORJSA-N 0 0 439.534 -0.521 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)CCNC(=O)N(C)C)cc1 ZINC000679814845 1072767853 /nfs/dbraw/zinc/76/78/53/1072767853.db2.gz AMOYCVVNNNPYFT-BBRMVZONSA-N 0 0 428.511 -0.451 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)CCNC(=O)N(C)C)cc1 ZINC000679814846 1072767918 /nfs/dbraw/zinc/76/79/18/1072767918.db2.gz AMOYCVVNNNPYFT-CJNGLKHVSA-N 0 0 428.511 -0.451 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1=O ZINC000679815743 1072767934 /nfs/dbraw/zinc/76/79/34/1072767934.db2.gz OJMVHEYHKNZCMP-AEFFLSMTSA-N 0 0 439.534 -0.255 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CC1=O ZINC000679815744 1072768376 /nfs/dbraw/zinc/76/83/76/1072768376.db2.gz OJMVHEYHKNZCMP-WMZOPIPTSA-N 0 0 439.534 -0.255 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1=O ZINC000679816033 1072768234 /nfs/dbraw/zinc/76/82/34/1072768234.db2.gz SFCLZVXFJWLFHG-PBHICJAKSA-N 0 0 441.506 -0.864 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1=O ZINC000679816035 1072768308 /nfs/dbraw/zinc/76/83/08/1072768308.db2.gz SFCLZVXFJWLFHG-YOEHRIQHSA-N 0 0 441.506 -0.864 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)S(N)(=O)=O ZINC000679816657 1072768267 /nfs/dbraw/zinc/76/82/67/1072768267.db2.gz IBZGZCMAFJPCIZ-CDMKHQONSA-N 0 0 425.916 -0.743 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)S(N)(=O)=O ZINC000679816662 1072768320 /nfs/dbraw/zinc/76/83/20/1072768320.db2.gz IBZGZCMAFJPCIZ-GAFUQQFSSA-N 0 0 425.916 -0.743 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)S(N)(=O)=O ZINC000679816664 1072768395 /nfs/dbraw/zinc/76/83/95/1072768395.db2.gz IBZGZCMAFJPCIZ-NDMJEZRESA-N 0 0 425.916 -0.743 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)S(N)(=O)=O ZINC000679816666 1072768295 /nfs/dbraw/zinc/76/82/95/1072768295.db2.gz IBZGZCMAFJPCIZ-XWIASGKRSA-N 0 0 425.916 -0.743 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1=O ZINC000679816788 1072768281 /nfs/dbraw/zinc/76/82/81/1072768281.db2.gz XXIMWCOTQZKYGY-HOCLYGCPSA-N 0 0 445.925 -0.219 20 0 IBADRN COCCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1=O ZINC000679816789 1072768341 /nfs/dbraw/zinc/76/83/41/1072768341.db2.gz XXIMWCOTQZKYGY-ZBFHGGJFSA-N 0 0 445.925 -0.219 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H](C)S(N)(=O)=O)c(C)c1 ZINC000679817609 1072768438 /nfs/dbraw/zinc/76/84/38/1072768438.db2.gz OTRQYURGLXTQCQ-ILXRZTDVSA-N 0 0 433.552 -0.471 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](C)S(N)(=O)=O)c(C)c1 ZINC000679817613 1072768445 /nfs/dbraw/zinc/76/84/45/1072768445.db2.gz OTRQYURGLXTQCQ-KFWWJZLASA-N 0 0 433.552 -0.471 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H](C)S(N)(=O)=O)c(C)c1 ZINC000679817616 1072768386 /nfs/dbraw/zinc/76/83/86/1072768386.db2.gz OTRQYURGLXTQCQ-KKUMJFAQSA-N 0 0 433.552 -0.471 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](C)S(N)(=O)=O)c(C)c1 ZINC000679817617 1072768431 /nfs/dbraw/zinc/76/84/31/1072768431.db2.gz OTRQYURGLXTQCQ-ZNMIVQPWSA-N 0 0 433.552 -0.471 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCOCC1 ZINC000679817765 1072768416 /nfs/dbraw/zinc/76/84/16/1072768416.db2.gz BLVGSPFPHAKGNV-HIFRSBDPSA-N 0 0 431.898 -0.561 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCOCC1 ZINC000679817769 1072768352 /nfs/dbraw/zinc/76/83/52/1072768352.db2.gz BLVGSPFPHAKGNV-ZFWWWQNUSA-N 0 0 431.898 -0.561 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCc2ccnc(N(C)C)n2)cc1 ZINC000679818192 1072768401 /nfs/dbraw/zinc/76/84/01/1072768401.db2.gz DQCVKPHHPNJOEF-PBHICJAKSA-N 0 0 435.506 -0.009 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCc2ccnc(N(C)C)n2)cc1 ZINC000679818193 1072768421 /nfs/dbraw/zinc/76/84/21/1072768421.db2.gz DQCVKPHHPNJOEF-YOEHRIQHSA-N 0 0 435.506 -0.009 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1)S(N)(=O)=O ZINC000679818475 1072768452 /nfs/dbraw/zinc/76/84/52/1072768452.db2.gz XGIPIQDKRPJFNA-INWMFGNUSA-N 0 0 447.579 -0.099 20 0 IBADRN C[C@H](CNC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1)S(N)(=O)=O ZINC000679818480 1072768250 /nfs/dbraw/zinc/76/82/50/1072768250.db2.gz XGIPIQDKRPJFNA-IVMMDQJWSA-N 0 0 447.579 -0.099 20 0 IBADRN C[C@H](CNC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1)S(N)(=O)=O ZINC000679818483 1072768331 /nfs/dbraw/zinc/76/83/31/1072768331.db2.gz XGIPIQDKRPJFNA-XNRPHZJLSA-N 0 0 447.579 -0.099 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1)S(N)(=O)=O ZINC000679818486 1072768807 /nfs/dbraw/zinc/76/88/07/1072768807.db2.gz XGIPIQDKRPJFNA-XPKDYRNWSA-N 0 0 447.579 -0.099 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H](C)S(N)(=O)=O)c1C ZINC000679818840 1072768749 /nfs/dbraw/zinc/76/87/49/1072768749.db2.gz ZGQRUTUZMQZZKM-KCXAZCMYSA-N 0 0 447.579 -0.163 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](C)S(N)(=O)=O)c1C ZINC000679818843 1072768727 /nfs/dbraw/zinc/76/87/27/1072768727.db2.gz ZGQRUTUZMQZZKM-MAZHCROVSA-N 0 0 447.579 -0.163 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H](C)S(N)(=O)=O)c1C ZINC000679818844 1072768792 /nfs/dbraw/zinc/76/87/92/1072768792.db2.gz ZGQRUTUZMQZZKM-RCBQFDQVSA-N 0 0 447.579 -0.163 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](C)S(N)(=O)=O)c1C ZINC000679818845 1072768712 /nfs/dbraw/zinc/76/87/12/1072768712.db2.gz ZGQRUTUZMQZZKM-WQVCFCJDSA-N 0 0 447.579 -0.163 20 0 IBADRN Cn1nnnc1CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000679819716 1072768774 /nfs/dbraw/zinc/76/87/74/1072768774.db2.gz KXSAMQCZBXYKCR-RNCFNFMXSA-N 0 0 449.320 0.000 20 0 IBADRN Cn1nnnc1CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000679819718 1072768796 /nfs/dbraw/zinc/76/87/96/1072768796.db2.gz KXSAMQCZBXYKCR-ZANVPECISA-N 0 0 449.320 0.000 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)N2CCOCC2)cc1C ZINC000679819730 1072768741 /nfs/dbraw/zinc/76/87/41/1072768741.db2.gz RMXQKTWCCMZOQH-RDJZCZTQSA-N 0 0 425.507 -0.598 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)N2CCOCC2)cc1C ZINC000679819732 1072768701 /nfs/dbraw/zinc/76/87/01/1072768701.db2.gz RMXQKTWCCMZOQH-WBVHZDCISA-N 0 0 425.507 -0.598 20 0 IBADRN Cn1nnnc1CCNC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000679819870 1072768734 /nfs/dbraw/zinc/76/87/34/1072768734.db2.gz MYJBIOHERBIDPC-GDBMZVCRSA-N 0 0 436.538 -0.010 20 0 IBADRN Cn1nnnc1CCNC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000679819871 1072768768 /nfs/dbraw/zinc/76/87/68/1072768768.db2.gz MYJBIOHERBIDPC-GOEBONIOSA-N 0 0 436.538 -0.010 20 0 IBADRN Cn1nnnc1CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000679819872 1072768802 /nfs/dbraw/zinc/76/88/02/1072768802.db2.gz MYJBIOHERBIDPC-HOCLYGCPSA-N 0 0 436.538 -0.010 20 0 IBADRN Cn1nnnc1CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)(C)C)cc1 ZINC000679819873 1072768678 /nfs/dbraw/zinc/76/86/78/1072768678.db2.gz MYJBIOHERBIDPC-ZBFHGGJFSA-N 0 0 436.538 -0.010 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)N2CCOCC2)c(C)c1 ZINC000679819891 1072768763 /nfs/dbraw/zinc/76/87/63/1072768763.db2.gz UEVCYMRRPXSAKA-IRXDYDNUSA-N 0 0 439.534 -0.289 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)N2CCOCC2)c(C)c1 ZINC000679819892 1072768817 /nfs/dbraw/zinc/76/88/17/1072768817.db2.gz UEVCYMRRPXSAKA-SJORKVTESA-N 0 0 439.534 -0.289 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)c1ccn[nH]1 ZINC000679820803 1072768822 /nfs/dbraw/zinc/76/88/22/1072768822.db2.gz DWQZGLFJRBGNHJ-DOMZBBRYSA-N 0 0 441.897 -0.267 20 0 IBADRN O=C(NCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)c1ccn[nH]1 ZINC000679820805 1072768779 /nfs/dbraw/zinc/76/87/79/1072768779.db2.gz DWQZGLFJRBGNHJ-WFASDCNBSA-N 0 0 441.897 -0.267 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@H](S(=O)(=O)Nc3ccccc3)C2)CC1 ZINC000679820946 1072768693 /nfs/dbraw/zinc/76/86/93/1072768693.db2.gz DUFDMCCRPQGBJG-KRWDZBQOSA-N 0 0 444.579 -0.004 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@@H](S(=O)(=O)Nc3ccccc3)C2)CC1 ZINC000679820948 1072768812 /nfs/dbraw/zinc/76/88/12/1072768812.db2.gz DUFDMCCRPQGBJG-QGZVFWFLSA-N 0 0 444.579 -0.004 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679821324 1072768756 /nfs/dbraw/zinc/76/87/56/1072768756.db2.gz IMZQWGVKXHZJIU-HIFRSBDPSA-N 0 0 430.914 -0.988 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000679821325 1072769011 /nfs/dbraw/zinc/76/90/11/1072769011.db2.gz IMZQWGVKXHZJIU-ZFWWWQNUSA-N 0 0 430.914 -0.988 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCNC(=O)c2ccn[nH]2)cc1C ZINC000679821420 1072769227 /nfs/dbraw/zinc/76/92/27/1072769227.db2.gz KBBYHRSPFWOOMH-PBHICJAKSA-N 0 0 435.506 -0.303 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCNC(=O)c2ccn[nH]2)cc1C ZINC000679821421 1072769172 /nfs/dbraw/zinc/76/91/72/1072769172.db2.gz KBBYHRSPFWOOMH-YOEHRIQHSA-N 0 0 435.506 -0.303 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCNC(=O)c2ccn[nH]2)cc1 ZINC000679821630 1072769261 /nfs/dbraw/zinc/76/92/61/1072769261.db2.gz NUPZECDOCDMKQN-LRDDRELGSA-N 0 0 437.478 -0.912 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCNC(=O)c2ccn[nH]2)cc1 ZINC000679821631 1072769121 /nfs/dbraw/zinc/76/91/21/1072769121.db2.gz NUPZECDOCDMKQN-WBMJQRKESA-N 0 0 437.478 -0.912 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1C ZINC000679821634 1072769235 /nfs/dbraw/zinc/76/92/35/1072769235.db2.gz NVYOFBFRIMUDDD-PBHICJAKSA-N 0 0 448.505 -0.799 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1C ZINC000679821635 1072769198 /nfs/dbraw/zinc/76/91/98/1072769198.db2.gz NVYOFBFRIMUDDD-YOEHRIQHSA-N 0 0 448.505 -0.799 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000679821759 1072769133 /nfs/dbraw/zinc/76/91/33/1072769133.db2.gz QWXVOKBAGYRFEE-JOQOYGCGSA-N 0 0 437.518 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000679821761 1072769075 /nfs/dbraw/zinc/76/90/75/1072769075.db2.gz QWXVOKBAGYRFEE-SIIHOXLZSA-N 0 0 437.518 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000679821764 1072769025 /nfs/dbraw/zinc/76/90/25/1072769025.db2.gz QWXVOKBAGYRFEE-XUWXXGDYSA-N 0 0 437.518 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)cc1 ZINC000679821766 1072769207 /nfs/dbraw/zinc/76/92/07/1072769207.db2.gz QWXVOKBAGYRFEE-YEWWUXTCSA-N 0 0 437.518 -0.054 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2CCNC(=O)C2)c(C)c1 ZINC000679821894 1072769085 /nfs/dbraw/zinc/76/90/85/1072769085.db2.gz QXUXQNPEUARTHP-IRXDYDNUSA-N 0 0 438.550 -0.716 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2CCNC(=O)C2)c(C)c1 ZINC000679821895 1072769215 /nfs/dbraw/zinc/76/92/15/1072769215.db2.gz QXUXQNPEUARTHP-SJORKVTESA-N 0 0 438.550 -0.716 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(C(C)C)C(=O)C2)cc1 ZINC000679821988 1072769112 /nfs/dbraw/zinc/76/91/12/1072769112.db2.gz UULHUXMAZHXOFE-PBHICJAKSA-N 0 0 425.507 -0.102 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(C(C)C)C(=O)C2)cc1 ZINC000679821990 1072769099 /nfs/dbraw/zinc/76/90/99/1072769099.db2.gz UULHUXMAZHXOFE-YOEHRIQHSA-N 0 0 425.507 -0.102 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000679823159 1072769246 /nfs/dbraw/zinc/76/92/46/1072769246.db2.gz XXWSXPKPWVIFLE-UHFFFAOYSA-N 0 0 447.535 -0.013 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC[C@H](S(=O)(=O)Nc3ccccc3)C2)c(=O)n(C)c1=O ZINC000679825574 1072769146 /nfs/dbraw/zinc/76/91/46/1072769146.db2.gz AOOSKBPEQSICHY-AWEZNQCLSA-N 0 0 435.506 -0.245 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC[C@@H](S(=O)(=O)Nc3ccccc3)C2)c(=O)n(C)c1=O ZINC000679825594 1072769188 /nfs/dbraw/zinc/76/91/88/1072769188.db2.gz AOOSKBPEQSICHY-CQSZACIVSA-N 0 0 435.506 -0.245 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000679831587 1072769162 /nfs/dbraw/zinc/76/91/62/1072769162.db2.gz CYNKKVNVFULVJG-BHYGNILZSA-N 0 0 425.507 -0.599 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000679831588 1072769057 /nfs/dbraw/zinc/76/90/57/1072769057.db2.gz CYNKKVNVFULVJG-HYVNUMGLSA-N 0 0 425.507 -0.599 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000679831589 1072769751 /nfs/dbraw/zinc/76/97/51/1072769751.db2.gz CYNKKVNVFULVJG-PVAVHDDUSA-N 0 0 425.507 -0.599 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(C)c(C)c2)CCO1 ZINC000679831590 1072769702 /nfs/dbraw/zinc/76/97/02/1072769702.db2.gz CYNKKVNVFULVJG-XIRDDKMYSA-N 0 0 425.507 -0.599 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2c(C)cc(C)cc2C)CCO1 ZINC000679832235 1072769622 /nfs/dbraw/zinc/76/96/22/1072769622.db2.gz LEOUCTMEPWHJIP-IKGGRYGDSA-N 0 0 439.534 -0.291 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2c(C)cc(C)cc2C)CCO1 ZINC000679832237 1072769597 /nfs/dbraw/zinc/76/95/97/1072769597.db2.gz LEOUCTMEPWHJIP-IXDOHACOSA-N 0 0 439.534 -0.291 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2c(C)cc(C)cc2C)CCO1 ZINC000679832240 1072769653 /nfs/dbraw/zinc/76/96/53/1072769653.db2.gz LEOUCTMEPWHJIP-ULQDDVLXSA-N 0 0 439.534 -0.291 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2c(C)cc(C)cc2C)CCO1 ZINC000679832242 1072769760 /nfs/dbraw/zinc/76/97/60/1072769760.db2.gz LEOUCTMEPWHJIP-YESZJQIVSA-N 0 0 439.534 -0.291 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H](NS(=O)(=O)c2ccc3c(c2)OCCO3)C(C)C)CCO1 ZINC000679832534 1072769572 /nfs/dbraw/zinc/76/95/72/1072769572.db2.gz QDOMAAMMJBRSSV-IRXDYDNUSA-N 0 0 441.506 -0.266 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H](NS(=O)(=O)c2ccc3c(c2)OCCO3)C(C)C)CCO1 ZINC000679832540 1072769534 /nfs/dbraw/zinc/76/95/34/1072769534.db2.gz QDOMAAMMJBRSSV-SJORKVTESA-N 0 0 441.506 -0.266 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000679832729 1072769710 /nfs/dbraw/zinc/76/97/10/1072769710.db2.gz HSANZUPRUQJKJD-IBGZPJMESA-N 0 0 448.520 -0.418 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000679832730 1072769768 /nfs/dbraw/zinc/76/97/68/1072769768.db2.gz HSANZUPRUQJKJD-LJQANCHMSA-N 0 0 448.520 -0.418 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000679832900 1072769720 /nfs/dbraw/zinc/76/97/20/1072769720.db2.gz UTYZQFLYYPFIRM-BLLLJJGKSA-N 0 0 427.479 -0.512 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@H](C)NS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000679832901 1072769637 /nfs/dbraw/zinc/76/96/37/1072769637.db2.gz UTYZQFLYYPFIRM-LRDDRELGSA-N 0 0 427.479 -0.512 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000679833035 1072769548 /nfs/dbraw/zinc/76/95/48/1072769548.db2.gz MIZKOLMJLVPWAN-UHFFFAOYSA-N 0 0 426.539 -0.396 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000679833180 1072769682 /nfs/dbraw/zinc/76/96/82/1072769682.db2.gz ZBXUYCNDMIFPJY-AEGPPILISA-N 0 0 431.898 -0.563 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000679833182 1072769725 /nfs/dbraw/zinc/76/97/25/1072769725.db2.gz ZBXUYCNDMIFPJY-QEJZJMRPSA-N 0 0 431.898 -0.563 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000679833183 1072769609 /nfs/dbraw/zinc/76/96/09/1072769609.db2.gz ZBXUYCNDMIFPJY-SNPRPXQTSA-N 0 0 431.898 -0.563 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC000679833185 1072769692 /nfs/dbraw/zinc/76/96/92/1072769692.db2.gz ZBXUYCNDMIFPJY-VHDGCEQUSA-N 0 0 431.898 -0.563 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000679833514 1072769665 /nfs/dbraw/zinc/76/96/65/1072769665.db2.gz UBFLBJVRZQSVSV-UHFFFAOYSA-N 0 0 444.529 -0.257 20 0 IBADRN COCCCNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000679833614 1072769580 /nfs/dbraw/zinc/76/95/80/1072769580.db2.gz VWUCADIXSGOHEX-UHFFFAOYSA-N 0 0 444.529 -0.257 20 0 IBADRN O=C(NCCn1ccnn1)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000679855653 1072770081 /nfs/dbraw/zinc/77/00/81/1072770081.db2.gz FOLRUNAUTAOHFI-UHFFFAOYSA-N 0 0 432.462 -0.017 20 0 IBADRN Cn1c(CCC(=O)NCCn2ccnn2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000679858256 1072770235 /nfs/dbraw/zinc/77/02/35/1072770235.db2.gz SMUIKTBOHLDRMG-UHFFFAOYSA-N 0 0 447.521 -0.065 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCn2ccnn2)c1OC ZINC000679859608 1072770146 /nfs/dbraw/zinc/77/01/46/1072770146.db2.gz NZVNWMMXSHOUGG-UHFFFAOYSA-N 0 0 425.467 -0.254 20 0 IBADRN Cc1cn2c(n1)CN(CC(=O)N1CCN(C(=O)CN3CCn4cc(C)nc4C3)CC1)CC2 ZINC000679867814 1072770206 /nfs/dbraw/zinc/77/02/06/1072770206.db2.gz IAHWNQKVASDWII-UHFFFAOYSA-N 0 0 440.552 -0.301 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000679873263 1072770190 /nfs/dbraw/zinc/77/01/90/1072770190.db2.gz LAPPEMKUKMHWHV-UHFFFAOYSA-N 0 0 434.478 -1.000 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000679874414 1072770228 /nfs/dbraw/zinc/77/02/28/1072770228.db2.gz PWYUGGHUKUTMKV-UHFFFAOYSA-N 0 0 447.583 -0.687 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000679874441 1072770019 /nfs/dbraw/zinc/77/00/19/1072770019.db2.gz QCTVLJGHJXEQOA-UHFFFAOYSA-N 0 0 433.556 -0.430 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N[C@H]1CCC(=O)NC1=O ZINC000679878957 1072769979 /nfs/dbraw/zinc/76/99/79/1072769979.db2.gz VORWZMUCEKATPF-AAEUAGOBSA-N 0 0 444.491 -0.921 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N[C@@H]1CCC(=O)NC1=O ZINC000679878959 1072770219 /nfs/dbraw/zinc/77/02/19/1072770219.db2.gz VORWZMUCEKATPF-DGCLKSJQSA-N 0 0 444.491 -0.921 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N[C@@H]1CCC(=O)NC1=O ZINC000679878961 1072770213 /nfs/dbraw/zinc/77/02/13/1072770213.db2.gz VORWZMUCEKATPF-WCQYABFASA-N 0 0 444.491 -0.921 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N[C@H]1CCC(=O)NC1=O ZINC000679878963 1072770007 /nfs/dbraw/zinc/77/00/07/1072770007.db2.gz VORWZMUCEKATPF-YPMHNXCESA-N 0 0 444.491 -0.921 20 0 IBADRN CNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000679881972 1072770123 /nfs/dbraw/zinc/77/01/23/1072770123.db2.gz BSWGHGJKIQNVPG-UHFFFAOYSA-N 0 0 428.511 -0.786 20 0 IBADRN CNC(=O)CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000679882105 1072770163 /nfs/dbraw/zinc/77/01/63/1072770163.db2.gz HFRFONKEIHBLSM-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3cc(C)ccc3OC)CC2)n1 ZINC000679903365 1072769997 /nfs/dbraw/zinc/76/99/97/1072769997.db2.gz FOKSRXXUQWOHSO-UHFFFAOYSA-N 0 0 429.481 -0.376 20 0 IBADRN COc1ccc(C)cc1CN1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)CC1 ZINC000679903694 1072770135 /nfs/dbraw/zinc/77/01/35/1072770135.db2.gz LBAGEOSDQCKJMO-UHFFFAOYSA-N 0 0 443.508 -0.034 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3Cl)CC2)n1 ZINC000679905117 1072770174 /nfs/dbraw/zinc/77/01/74/1072770174.db2.gz MDGYBLJNJGVYET-UHFFFAOYSA-N 0 0 447.883 -0.471 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)n1)N1CCCCCC1 ZINC000679905574 1072770034 /nfs/dbraw/zinc/77/00/34/1072770034.db2.gz XQTMVNFISQMKKE-KRWDZBQOSA-N 0 0 447.540 -0.058 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)n1)N1CCCCCC1 ZINC000679905575 1072770094 /nfs/dbraw/zinc/77/00/94/1072770094.db2.gz XQTMVNFISQMKKE-QGZVFWFLSA-N 0 0 447.540 -0.058 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN([C@@H](CO)c3ccc(Cl)cc3)CC2)n1 ZINC000679906232 1072770064 /nfs/dbraw/zinc/77/00/64/1072770064.db2.gz VHYPIGSMDINXFQ-HNNXBMFYSA-N 0 0 449.899 -0.506 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN([C@H](CO)c3ccc(Cl)cc3)CC2)n1 ZINC000679906236 1072770113 /nfs/dbraw/zinc/77/01/13/1072770113.db2.gz VHYPIGSMDINXFQ-OAHLLOKOSA-N 0 0 449.899 -0.506 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)n1)N1CCCCCC1 ZINC000679909495 1072770790 /nfs/dbraw/zinc/77/07/90/1072770790.db2.gz PWOHZOPQUNGNMS-DLBZAZTESA-N 0 0 447.540 -0.323 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)n1)N1CCCCCC1 ZINC000679909496 1072770775 /nfs/dbraw/zinc/77/07/75/1072770775.db2.gz PWOHZOPQUNGNMS-IAGOWNOFSA-N 0 0 447.540 -0.323 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)n1)N1CCCCCC1 ZINC000679909497 1072770645 /nfs/dbraw/zinc/77/06/45/1072770645.db2.gz PWOHZOPQUNGNMS-IRXDYDNUSA-N 0 0 447.540 -0.323 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)n1)N1CCCCCC1 ZINC000679909498 1072770815 /nfs/dbraw/zinc/77/08/15/1072770815.db2.gz PWOHZOPQUNGNMS-SJORKVTESA-N 0 0 447.540 -0.323 20 0 IBADRN Cc1cn2c(n1)CN(C(=O)C(=O)Nc1ccc(N3CCN(S(C)(=O)=O)CC3)nc1)CC2 ZINC000679910837 1072770688 /nfs/dbraw/zinc/77/06/88/1072770688.db2.gz PZKGYJPVVXAODT-UHFFFAOYSA-N 0 0 447.521 -0.351 20 0 IBADRN Cc1cn2c(n1)CN(C(=O)C(=O)Nc1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC000679911002 1072770808 /nfs/dbraw/zinc/77/08/08/1072770808.db2.gz YWQRJWHBGQKLFQ-UHFFFAOYSA-N 0 0 446.489 -0.707 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NCCn1ccnn1 ZINC000679911552 1072770760 /nfs/dbraw/zinc/77/07/60/1072770760.db2.gz IFMAJIRIMINEOD-AWEZNQCLSA-N 0 0 449.942 -0.171 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NCCn1ccnn1 ZINC000679911553 1072770767 /nfs/dbraw/zinc/77/07/67/1072770767.db2.gz IFMAJIRIMINEOD-CQSZACIVSA-N 0 0 449.942 -0.171 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NCCn1ccnn1 ZINC000679912069 1072770628 /nfs/dbraw/zinc/77/06/28/1072770628.db2.gz UWVHGAFEFJBQQQ-UHFFFAOYSA-N 0 0 438.485 -0.153 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOCC4(CC4)C3)cn2)CC1 ZINC000679914185 1072770582 /nfs/dbraw/zinc/77/05/82/1072770582.db2.gz ACSMCDTUSDBVRW-UHFFFAOYSA-N 0 0 437.522 -0.259 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)c1 ZINC000679914978 1072770672 /nfs/dbraw/zinc/77/06/72/1072770672.db2.gz FRVYEKRGTLKCLG-UHFFFAOYSA-N 0 0 440.503 -0.010 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000679943420 1072770599 /nfs/dbraw/zinc/77/05/99/1072770599.db2.gz VMSBPHSBGDQUOJ-UHFFFAOYSA-N 0 0 425.559 -0.498 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nn1 ZINC000679948697 1072770551 /nfs/dbraw/zinc/77/05/51/1072770551.db2.gz QXXPXHSVEKYPOE-UHFFFAOYSA-N 0 0 435.466 -0.638 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000679952784 1072770566 /nfs/dbraw/zinc/77/05/66/1072770566.db2.gz LKZKQFWMVFMQJQ-AWEZNQCLSA-N 0 0 427.531 -0.955 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000679952793 1072771205 /nfs/dbraw/zinc/77/12/05/1072771205.db2.gz LKZKQFWMVFMQJQ-CQSZACIVSA-N 0 0 427.531 -0.955 20 0 IBADRN Cn1cc(CNC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)nn1 ZINC000679955263 1072771319 /nfs/dbraw/zinc/77/13/19/1072771319.db2.gz NFYCCOQZTGLMPN-GFCCVEGCSA-N 0 0 428.496 -0.398 20 0 IBADRN Cn1cc(CNC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)nn1 ZINC000679955264 1072771282 /nfs/dbraw/zinc/77/12/82/1072771282.db2.gz NFYCCOQZTGLMPN-LBPRGKRZSA-N 0 0 428.496 -0.398 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1=O ZINC000679958610 1072771335 /nfs/dbraw/zinc/77/13/35/1072771335.db2.gz OKKGPDMZQDVBIV-HNNXBMFYSA-N 0 0 444.496 -0.212 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1=O ZINC000679958616 1072771228 /nfs/dbraw/zinc/77/12/28/1072771228.db2.gz OKKGPDMZQDVBIV-OAHLLOKOSA-N 0 0 444.496 -0.212 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)cn1 ZINC000679959341 1072771152 /nfs/dbraw/zinc/77/11/52/1072771152.db2.gz WBUCYJLGBZCVCB-HNNXBMFYSA-N 0 0 432.485 -0.308 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)cn1 ZINC000679959355 1072771273 /nfs/dbraw/zinc/77/12/73/1072771273.db2.gz WBUCYJLGBZCVCB-OAHLLOKOSA-N 0 0 432.485 -0.308 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1=O ZINC000679959670 1072771185 /nfs/dbraw/zinc/77/11/85/1072771185.db2.gz WPOVTQLVNMPBOS-INIZCTEOSA-N 0 0 444.496 -0.212 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1=O ZINC000679959671 1072771306 /nfs/dbraw/zinc/77/13/06/1072771306.db2.gz WPOVTQLVNMPBOS-MRXNPFEDSA-N 0 0 444.496 -0.212 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCc2cn(C)nn2)c1OC ZINC000679961193 1072771342 /nfs/dbraw/zinc/77/13/42/1072771342.db2.gz JKISJLRQWRDWDT-UHFFFAOYSA-N 0 0 425.467 -0.217 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3cn(C)nn3)c2)CC1 ZINC000679978488 1072771251 /nfs/dbraw/zinc/77/12/51/1072771251.db2.gz AOHPPUZQWMZZEW-UHFFFAOYSA-N 0 0 435.510 -0.604 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cn(C)nn3)cc2)C[C@H](C)O1 ZINC000679978492 1072771354 /nfs/dbraw/zinc/77/13/54/1072771354.db2.gz ARGVFLWARLITIR-BETUJISGSA-N 0 0 436.494 -0.132 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cn(C)nn3)cc2)C[C@@H](C)O1 ZINC000679978493 1072771174 /nfs/dbraw/zinc/77/11/74/1072771174.db2.gz ARGVFLWARLITIR-CHWSQXEVSA-N 0 0 436.494 -0.132 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cn(C)nn3)cc2)C[C@H](C)O1 ZINC000679978494 1072771326 /nfs/dbraw/zinc/77/13/26/1072771326.db2.gz ARGVFLWARLITIR-STQMWFEESA-N 0 0 436.494 -0.132 20 0 IBADRN CO[C@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000679981637 1072771113 /nfs/dbraw/zinc/77/11/13/1072771113.db2.gz ZVHDGZZPQDNIOS-HNNXBMFYSA-N 0 0 438.506 -0.227 20 0 IBADRN CO[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000679981641 1072771218 /nfs/dbraw/zinc/77/12/18/1072771218.db2.gz ZVHDGZZPQDNIOS-OAHLLOKOSA-N 0 0 438.506 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2nccc3c2cccc3S(N)(=O)=O)CC1 ZINC000679996855 1072771299 /nfs/dbraw/zinc/77/12/99/1072771299.db2.gz DHPLTLLJQSZWRY-UHFFFAOYSA-N 0 0 427.508 -0.554 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2nccc3c2cccc3S(N)(=O)=O)CC1 ZINC000679998627 1072771259 /nfs/dbraw/zinc/77/12/59/1072771259.db2.gz HNHDBZOWENVBGE-UHFFFAOYSA-N 0 0 441.535 -0.811 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000680002134 1072771242 /nfs/dbraw/zinc/77/12/42/1072771242.db2.gz YLDPPRRSEWPANK-UHFFFAOYSA-N 0 0 438.550 -0.605 20 0 IBADRN Cn1cc(CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)nn1 ZINC000680003281 1072771311 /nfs/dbraw/zinc/77/13/11/1072771311.db2.gz BGMZKVJRIBPNII-UHFFFAOYSA-N 0 0 438.485 -0.116 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000680004922 1072771805 /nfs/dbraw/zinc/77/18/05/1072771805.db2.gz ACIPCWUDNDBMHF-GXTWGEPZSA-N 0 0 438.510 -0.001 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000680004923 1072771769 /nfs/dbraw/zinc/77/17/69/1072771769.db2.gz ACIPCWUDNDBMHF-JSGCOSHPSA-N 0 0 438.510 -0.001 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(CCNS(C)(=O)=O)cc2)CC1 ZINC000680006253 1072771766 /nfs/dbraw/zinc/77/17/66/1072771766.db2.gz HOCHOWFOWSKTTD-UHFFFAOYSA-N 0 0 426.539 -0.701 20 0 IBADRN O=C(CCn1[nH]c(=O)c2ccccc2c1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000680012958 1072771784 /nfs/dbraw/zinc/77/17/84/1072771784.db2.gz MCTRYJQAKUMTQH-UHFFFAOYSA-N 0 0 443.460 -0.978 20 0 IBADRN O=C(CCc1nc2ccccc2oc1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000680013396 1072771800 /nfs/dbraw/zinc/77/18/00/1072771800.db2.gz UBHABJYCGFFGNU-UHFFFAOYSA-N 0 0 428.445 -0.350 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cc3ccc4c(c3)NC(=O)C4)CC2)o1 ZINC000680024406 1072771820 /nfs/dbraw/zinc/77/18/20/1072771820.db2.gz ITSVJRZPQHBYAC-UHFFFAOYSA-N 0 0 432.458 -0.051 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC000680042642 1072771759 /nfs/dbraw/zinc/77/17/59/1072771759.db2.gz BGSDTSFFISVHQK-UHFFFAOYSA-N 0 0 444.535 -0.924 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000680043842 1072771751 /nfs/dbraw/zinc/77/17/51/1072771751.db2.gz SLNRTKVTUVPJEM-UHFFFAOYSA-N 0 0 438.506 -0.986 20 0 IBADRN CN(CC(=O)N1CCCC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000680046061 1072771789 /nfs/dbraw/zinc/77/17/89/1072771789.db2.gz HCHGXQCKTHDGTQ-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)CC1 ZINC000680072915 1072771833 /nfs/dbraw/zinc/77/18/33/1072771833.db2.gz KMDACMQDPWNWOB-UHFFFAOYSA-N 0 0 438.554 -0.809 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000680075140 1072771854 /nfs/dbraw/zinc/77/18/54/1072771854.db2.gz FHESEJKVCXLPQF-KRWDZBQOSA-N 0 0 438.554 -0.551 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CCCN(Cc3ccccn3)C2=O)CC1 ZINC000680075143 1072771672 /nfs/dbraw/zinc/77/16/72/1072771672.db2.gz FHESEJKVCXLPQF-QGZVFWFLSA-N 0 0 438.554 -0.551 20 0 IBADRN CN(C1CC1)S(=O)(=O)N1CCN(S(=O)(=O)N(C)C2CC2)[C@H](c2cnn(C)c2)C1 ZINC000680105172 1072771721 /nfs/dbraw/zinc/77/17/21/1072771721.db2.gz YIDBEGGYHBBWRA-INIZCTEOSA-N 0 0 432.572 -0.243 20 0 IBADRN CN(C1CC1)S(=O)(=O)N1CCN(S(=O)(=O)N(C)C2CC2)[C@@H](c2cnn(C)c2)C1 ZINC000680105176 1072771742 /nfs/dbraw/zinc/77/17/42/1072771742.db2.gz YIDBEGGYHBBWRA-MRXNPFEDSA-N 0 0 432.572 -0.243 20 0 IBADRN O=C(CN1CCNC(=O)[C@@H]1c1ccccc1F)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000680115814 1072771779 /nfs/dbraw/zinc/77/17/79/1072771779.db2.gz KJZFYZKDDDJGJV-BEFAXECRSA-N 0 0 438.525 -0.370 20 0 IBADRN O=C(CN1CCNC(=O)[C@H]1c1ccccc1F)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000680115815 1072771848 /nfs/dbraw/zinc/77/18/48/1072771848.db2.gz KJZFYZKDDDJGJV-DNVCBOLYSA-N 0 0 438.525 -0.370 20 0 IBADRN O=C(CN1CCNC(=O)[C@H]1c1ccccc1F)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000680115818 1072771795 /nfs/dbraw/zinc/77/17/95/1072771795.db2.gz KJZFYZKDDDJGJV-HNAYVOBHSA-N 0 0 438.525 -0.370 20 0 IBADRN O=C(CN1CCNC(=O)[C@@H]1c1ccccc1F)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000680115820 1072771703 /nfs/dbraw/zinc/77/17/03/1072771703.db2.gz KJZFYZKDDDJGJV-KXBFYZLASA-N 0 0 438.525 -0.370 20 0 IBADRN COCCN(C(=O)CN1CCNC(=O)[C@H]1c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000680116406 1072771731 /nfs/dbraw/zinc/77/17/31/1072771731.db2.gz QWFUCCSERFLHRJ-KBXCAEBGSA-N 0 0 427.498 -0.039 20 0 IBADRN COCCN(C(=O)CN1CCNC(=O)[C@@H]1c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000680116409 1072771747 /nfs/dbraw/zinc/77/17/47/1072771747.db2.gz QWFUCCSERFLHRJ-KDOFPFPSSA-N 0 0 427.498 -0.039 20 0 IBADRN COCCN(C(=O)CN1CCNC(=O)[C@@H]1c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000680116411 1072772458 /nfs/dbraw/zinc/77/24/58/1072772458.db2.gz QWFUCCSERFLHRJ-KSSFIOAISA-N 0 0 427.498 -0.039 20 0 IBADRN COCCN(C(=O)CN1CCNC(=O)[C@H]1c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000680116413 1072772286 /nfs/dbraw/zinc/77/22/86/1072772286.db2.gz QWFUCCSERFLHRJ-RDTXWAMCSA-N 0 0 427.498 -0.039 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCNC(=O)[C@@H]3c3ccccc3F)c2c(=O)n(C)c1=O ZINC000680127095 1072772335 /nfs/dbraw/zinc/77/23/35/1072772335.db2.gz MUEOQQJBBMMABV-HNNXBMFYSA-N 0 0 428.424 -0.337 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCNC(=O)[C@H]3c3ccccc3F)c2c(=O)n(C)c1=O ZINC000680127096 1072772261 /nfs/dbraw/zinc/77/22/61/1072772261.db2.gz MUEOQQJBBMMABV-OAHLLOKOSA-N 0 0 428.424 -0.337 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cc1 ZINC000680135215 1072772271 /nfs/dbraw/zinc/77/22/71/1072772271.db2.gz FPNZOTURKMBHKI-UHFFFAOYSA-N 0 0 440.503 -0.372 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)cc1 ZINC000680138186 1072772295 /nfs/dbraw/zinc/77/22/95/1072772295.db2.gz CVANMNVPXFKJBY-UHFFFAOYSA-N 0 0 440.503 -0.242 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000680140333 1072772361 /nfs/dbraw/zinc/77/23/61/1072772361.db2.gz BPPWAQIRCJOHLY-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cc1 ZINC000680142111 1072772405 /nfs/dbraw/zinc/77/24/05/1072772405.db2.gz MBCHZBVUFFNADJ-UHFFFAOYSA-N 0 0 425.511 -0.798 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000680142157 1072772246 /nfs/dbraw/zinc/77/22/46/1072772246.db2.gz XHBFZAFNCKETQP-UHFFFAOYSA-N 0 0 439.538 -0.360 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)c3cccn3C)CC2)cc1 ZINC000680142364 1072772305 /nfs/dbraw/zinc/77/23/05/1072772305.db2.gz MWQHBSXZZXLPKI-UHFFFAOYSA-N 0 0 433.490 -0.144 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000680143085 1072772465 /nfs/dbraw/zinc/77/24/65/1072772465.db2.gz SFQMNUKOKRLVCU-AWEZNQCLSA-N 0 0 439.538 -0.408 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000680143088 1072772414 /nfs/dbraw/zinc/77/24/14/1072772414.db2.gz SFQMNUKOKRLVCU-CQSZACIVSA-N 0 0 439.538 -0.408 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000680144412 1072772429 /nfs/dbraw/zinc/77/24/29/1072772429.db2.gz DZLBKPAYWLAJFG-GFCCVEGCSA-N 0 0 432.524 -0.679 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000680144413 1072772342 /nfs/dbraw/zinc/77/23/42/1072772342.db2.gz DZLBKPAYWLAJFG-LBPRGKRZSA-N 0 0 432.524 -0.679 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)cc1 ZINC000680144796 1072772373 /nfs/dbraw/zinc/77/23/73/1072772373.db2.gz IGQGBSYLJFJAFM-UHFFFAOYSA-N 0 0 431.474 -0.087 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000680145276 1072772228 /nfs/dbraw/zinc/77/22/28/1072772228.db2.gz LNJOFJXUTVMNHM-UHFFFAOYSA-N 0 0 446.551 -0.146 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000680145287 1072772999 /nfs/dbraw/zinc/77/29/99/1072772999.db2.gz MMTBTUMZMCQPJY-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC000680146195 1072772879 /nfs/dbraw/zinc/77/28/79/1072772879.db2.gz WNNLMJGDIILMOS-UHFFFAOYSA-N 0 0 446.489 -0.624 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(C(=O)NCCCOC)CC2)cc1 ZINC000680147292 1072772892 /nfs/dbraw/zinc/77/28/92/1072772892.db2.gz CDTDSWOHLHWYDG-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cc1 ZINC000680147423 1072772872 /nfs/dbraw/zinc/77/28/72/1072772872.db2.gz INVFHGBUKNNQMY-UHFFFAOYSA-N 0 0 436.494 -0.566 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)c1 ZINC000680148608 1072772850 /nfs/dbraw/zinc/77/28/50/1072772850.db2.gz FQYGWXQJPVBPBM-UHFFFAOYSA-N 0 0 434.474 -0.026 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCc2ccc(OC)cc2)cc1 ZINC000680148643 1072772974 /nfs/dbraw/zinc/77/29/74/1072772974.db2.gz GGEJAGNSNDZCBD-UHFFFAOYSA-N 0 0 434.474 -0.026 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=O)c3ccccc3C2=O)cc1 ZINC000680148890 1072772990 /nfs/dbraw/zinc/77/29/90/1072772990.db2.gz ICEIFGDKRMIHFU-UHFFFAOYSA-N 0 0 430.442 -0.054 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@]2(N3CCOCC3)CCSC2)cc1 ZINC000680149738 1072772787 /nfs/dbraw/zinc/77/27/87/1072772787.db2.gz SZFABRNKHFPHQW-GOSISDBHSA-N 0 0 442.563 -0.143 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@]2(N3CCOCC3)CCSC2)cc1 ZINC000680149739 1072772922 /nfs/dbraw/zinc/77/29/22/1072772922.db2.gz SZFABRNKHFPHQW-SFHVURJKSA-N 0 0 442.563 -0.143 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NCC2CCC2)cc1 ZINC000680156221 1072772777 /nfs/dbraw/zinc/77/27/77/1072772777.db2.gz IDQIJFXCKQZSIE-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)nn1[C@@H](C)C1CC1 ZINC000680157391 1072772981 /nfs/dbraw/zinc/77/29/81/1072772981.db2.gz CODHAFWLTJSNGE-AWEZNQCLSA-N 0 0 426.543 -0.206 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)nn1[C@H](C)C1CC1 ZINC000680157392 1072772913 /nfs/dbraw/zinc/77/29/13/1072772913.db2.gz CODHAFWLTJSNGE-CQSZACIVSA-N 0 0 426.543 -0.206 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)cc1 ZINC000680157855 1072773433 /nfs/dbraw/zinc/77/34/33/1072773433.db2.gz FKRLSQQIHUDUKS-UHFFFAOYSA-N 0 0 445.501 -0.019 20 0 IBADRN Cc1ccnn1-c1ccccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000680157857 1072773352 /nfs/dbraw/zinc/77/33/52/1072773352.db2.gz FNOGMJBHODYWMB-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)cc1 ZINC000680158057 1072773420 /nfs/dbraw/zinc/77/34/20/1072773420.db2.gz JARXMJHYIZUGLV-UHFFFAOYSA-N 0 0 433.490 -0.255 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000680160302 1072773415 /nfs/dbraw/zinc/77/34/15/1072773415.db2.gz IIHIEKKGMROOHE-AWEZNQCLSA-N 0 0 434.478 -0.422 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cc1 ZINC000680160303 1072773381 /nfs/dbraw/zinc/77/33/81/1072773381.db2.gz IIHIEKKGMROOHE-CQSZACIVSA-N 0 0 434.478 -0.422 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cc1 ZINC000680160342 1072773337 /nfs/dbraw/zinc/77/33/37/1072773337.db2.gz JMEASDNRFKBKCV-AWEZNQCLSA-N 0 0 434.478 -0.503 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cc1 ZINC000680160343 1072773370 /nfs/dbraw/zinc/77/33/70/1072773370.db2.gz JMEASDNRFKBKCV-CQSZACIVSA-N 0 0 434.478 -0.503 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000680161544 1072773401 /nfs/dbraw/zinc/77/34/01/1072773401.db2.gz FDINBIBFLNAWIA-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000680161615 1072773406 /nfs/dbraw/zinc/77/34/06/1072773406.db2.gz FXFZGHKJFGUGSV-CYBMUJFWSA-N 0 0 437.522 -0.656 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC000680161617 1072773374 /nfs/dbraw/zinc/77/33/74/1072773374.db2.gz FXFZGHKJFGUGSV-ZDUSSCGKSA-N 0 0 437.522 -0.656 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)s1 ZINC000680161746 1072773411 /nfs/dbraw/zinc/77/34/11/1072773411.db2.gz HFTWFUIVOYEXES-UHFFFAOYSA-N 0 0 446.532 -0.181 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)cc1 ZINC000680161960 1072773426 /nfs/dbraw/zinc/77/34/26/1072773426.db2.gz JJBDHEGYHYZAEB-AWEZNQCLSA-N 0 0 438.506 -0.369 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)cc1 ZINC000680161962 1072773436 /nfs/dbraw/zinc/77/34/36/1072773436.db2.gz JJBDHEGYHYZAEB-CQSZACIVSA-N 0 0 438.506 -0.369 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nccc(OC)n3)CC2)cc1 ZINC000680162213 1072773394 /nfs/dbraw/zinc/77/33/94/1072773394.db2.gz NIQZRQVUQMLNCI-UHFFFAOYSA-N 0 0 434.478 -0.319 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000680162574 1072773344 /nfs/dbraw/zinc/77/33/44/1072773344.db2.gz MNYFDHXMFVYLRR-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000680162605 1072773772 /nfs/dbraw/zinc/77/37/72/1072773772.db2.gz SQGGDFYWAKWTKH-ABAIWWIYSA-N 0 0 434.478 -0.423 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000680162606 1072773828 /nfs/dbraw/zinc/77/38/28/1072773828.db2.gz SQGGDFYWAKWTKH-IAQYHMDHSA-N 0 0 434.478 -0.423 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000680162607 1072773834 /nfs/dbraw/zinc/77/38/34/1072773834.db2.gz SQGGDFYWAKWTKH-NHYWBVRUSA-N 0 0 434.478 -0.423 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000680162608 1072773741 /nfs/dbraw/zinc/77/37/41/1072773741.db2.gz SQGGDFYWAKWTKH-XHDPSFHLSA-N 0 0 434.478 -0.423 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000680163687 1072773917 /nfs/dbraw/zinc/77/39/17/1072773917.db2.gz MZNFUSOSNKWGJI-BXUZGUMPSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000680163688 1072773863 /nfs/dbraw/zinc/77/38/63/1072773863.db2.gz MZNFUSOSNKWGJI-FZMZJTMJSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000680163689 1072773901 /nfs/dbraw/zinc/77/39/01/1072773901.db2.gz MZNFUSOSNKWGJI-RISCZKNCSA-N 0 0 432.524 -0.633 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000680163690 1072773856 /nfs/dbraw/zinc/77/38/56/1072773856.db2.gz MZNFUSOSNKWGJI-SMDDNHRTSA-N 0 0 432.524 -0.633 20 0 IBADRN CCN(C1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1)S(C)(=O)=O ZINC000680163774 1072773931 /nfs/dbraw/zinc/77/39/31/1072773931.db2.gz WRQVMJZYCDNMAJ-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cc1 ZINC000680165708 1072773778 /nfs/dbraw/zinc/77/37/78/1072773778.db2.gz ZVMKOVKMYRUERS-UHFFFAOYSA-N 0 0 425.511 -0.844 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccs2)cc1 ZINC000680166637 1072773921 /nfs/dbraw/zinc/77/39/21/1072773921.db2.gz AOMGQEMNTMLAFH-UHFFFAOYSA-N 0 0 446.532 -0.311 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cc1 ZINC000680166856 1072773850 /nfs/dbraw/zinc/77/38/50/1072773850.db2.gz YDNMOVAUSVSKFP-UHFFFAOYSA-N 0 0 425.496 -0.684 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000680169001 1072773887 /nfs/dbraw/zinc/77/38/87/1072773887.db2.gz VOHJQYUDUUKRJJ-UHFFFAOYSA-N 0 0 441.491 -0.977 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)cc1 ZINC000680169645 1072773804 /nfs/dbraw/zinc/77/38/04/1072773804.db2.gz NFBSRWPYGSXPDK-UHFFFAOYSA-N 0 0 444.535 -0.394 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1(CO)CCC1 ZINC000680181842 1072773892 /nfs/dbraw/zinc/77/38/92/1072773892.db2.gz RDEUOTBMVLESOB-UHFFFAOYSA-N 0 0 441.506 -0.067 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC3(CO)CCC3)cn2)CC1 ZINC000680181911 1072773794 /nfs/dbraw/zinc/77/37/94/1072773794.db2.gz TZIYBAZAQVONOS-UHFFFAOYSA-N 0 0 425.511 -0.620 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(CO)CCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000680182042 1072773840 /nfs/dbraw/zinc/77/38/40/1072773840.db2.gz XVGPBYWCBWSHOD-UHFFFAOYSA-N 0 0 441.506 -0.067 20 0 IBADRN O=C(NCCCn1ccnn1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000680203013 1072773906 /nfs/dbraw/zinc/77/39/06/1072773906.db2.gz IQXJLPQKXJCYCW-AWEZNQCLSA-N 0 0 442.523 -0.045 20 0 IBADRN O=C(NCCCn1ccnn1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000680203014 1072774334 /nfs/dbraw/zinc/77/43/34/1072774334.db2.gz IQXJLPQKXJCYCW-CQSZACIVSA-N 0 0 442.523 -0.045 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H]2CCCCS2(=O)=O)cc1 ZINC000680228820 1072774484 /nfs/dbraw/zinc/77/44/84/1072774484.db2.gz OKQLRSUCNRTFND-COXVUDFISA-N 0 0 446.547 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@@H]2CCCCS2(=O)=O)cc1 ZINC000680228821 1072774318 /nfs/dbraw/zinc/77/43/18/1072774318.db2.gz OKQLRSUCNRTFND-JQFCIGGWSA-N 0 0 446.547 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NC[C@H]2CCCCS2(=O)=O)cc1 ZINC000680228822 1072774465 /nfs/dbraw/zinc/77/44/65/1072774465.db2.gz OKQLRSUCNRTFND-XKQJLSEDSA-N 0 0 446.547 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H]2CCCCS2(=O)=O)cc1 ZINC000680228824 1072774348 /nfs/dbraw/zinc/77/43/48/1072774348.db2.gz OKQLRSUCNRTFND-XYPHTWIQSA-N 0 0 446.547 -0.097 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCNC(=O)[C@@H]2c2ccccc2F)CC1 ZINC000680276201 1072774292 /nfs/dbraw/zinc/77/42/92/1072774292.db2.gz MWMNAXYTJIXWMW-INIZCTEOSA-N 0 0 427.502 -0.415 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCNC(=O)[C@H]2c2ccccc2F)CC1 ZINC000680276202 1072774454 /nfs/dbraw/zinc/77/44/54/1072774454.db2.gz MWMNAXYTJIXWMW-MRXNPFEDSA-N 0 0 427.502 -0.415 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)CN(C)C(=O)C2CC2)[C@@H](c2cnn(C)c2)C1)C(=O)C1CC1 ZINC000680285152 1072774361 /nfs/dbraw/zinc/77/43/61/1072774361.db2.gz VNPZLIDJQVWINT-GOSISDBHSA-N 0 0 444.536 -0.131 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)CN(C)C(=O)C2CC2)[C@H](c2cnn(C)c2)C1)C(=O)C1CC1 ZINC000680285153 1072774397 /nfs/dbraw/zinc/77/43/97/1072774397.db2.gz VNPZLIDJQVWINT-SFHVURJKSA-N 0 0 444.536 -0.131 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)c1 ZINC000680319723 1072774279 /nfs/dbraw/zinc/77/42/79/1072774279.db2.gz YNGJXJCWCOQZJS-UHFFFAOYSA-N 0 0 440.503 -0.665 20 0 IBADRN O=c1[nH]cnc2c1CCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC000680362089 1072774966 /nfs/dbraw/zinc/77/49/66/1072774966.db2.gz ZYJGLEPIBCVMKT-UHFFFAOYSA-N 0 0 440.503 -0.050 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000680369589 1072774899 /nfs/dbraw/zinc/77/48/99/1072774899.db2.gz OVACWZQQKYYSKC-NSHDSACASA-N 0 0 446.486 -0.856 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000680369641 1072774846 /nfs/dbraw/zinc/77/48/46/1072774846.db2.gz RHVWNOBFBHHHHE-UHFFFAOYSA-N 0 0 432.462 -0.286 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(CO)C1 ZINC000680370055 1072774874 /nfs/dbraw/zinc/77/48/74/1072774874.db2.gz QIQCYYPRUFONPV-GOSISDBHSA-N 0 0 439.534 -0.087 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(CO)C1 ZINC000680370056 1072774896 /nfs/dbraw/zinc/77/48/96/1072774896.db2.gz QIQCYYPRUFONPV-SFHVURJKSA-N 0 0 439.534 -0.087 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3ccccn3)CC2)cc1 ZINC000680396402 1072774989 /nfs/dbraw/zinc/77/49/89/1072774989.db2.gz LWPVZESNLCYNLP-UHFFFAOYSA-N 0 0 439.519 -0.055 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N=c2nc(C)[nH]n2C)c1OC ZINC000680414148 1072774906 /nfs/dbraw/zinc/77/49/06/1072774906.db2.gz SJAHGIAVQRZEOI-UHFFFAOYSA-N 0 0 425.467 -0.164 20 0 IBADRN CC(=O)N1CCN(C(=O)CN(CC(=O)N2CCN(C(C)=O)CC2)Cc2ccccc2)CC1 ZINC000680420517 1072774982 /nfs/dbraw/zinc/77/49/82/1072774982.db2.gz FWORCFRTLANXSG-UHFFFAOYSA-N 0 0 443.548 -0.130 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000680429414 1072774822 /nfs/dbraw/zinc/77/48/22/1072774822.db2.gz KPFWFLPNRABFIJ-GFCCVEGCSA-N 0 0 444.535 -0.513 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)C1 ZINC000680429421 1072774841 /nfs/dbraw/zinc/77/48/41/1072774841.db2.gz KPFWFLPNRABFIJ-LBPRGKRZSA-N 0 0 444.535 -0.513 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)S(C)(=O)=O ZINC000680429553 1072774810 /nfs/dbraw/zinc/77/48/10/1072774810.db2.gz MSIMQMZFRIAPNT-UHFFFAOYSA-N 0 0 432.524 -0.655 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000680429564 1072774954 /nfs/dbraw/zinc/77/49/54/1072774954.db2.gz NFKVTFZVESLOGS-UHFFFAOYSA-N 0 0 438.506 -0.181 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000680429570 1072774827 /nfs/dbraw/zinc/77/48/27/1072774827.db2.gz OCYMISMJLRHBBE-UHFFFAOYSA-N 0 0 436.494 -0.384 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000680429688 1072774917 /nfs/dbraw/zinc/77/49/17/1072774917.db2.gz MTMFONHBIUBKBY-UHFFFAOYSA-N 0 0 444.535 -0.394 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000680429702 1072775500 /nfs/dbraw/zinc/77/55/00/1072775500.db2.gz NLQBUPVPNSHKAL-AWEZNQCLSA-N 0 0 444.535 -0.394 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC000680429703 1072775472 /nfs/dbraw/zinc/77/54/72/1072775472.db2.gz NLQBUPVPNSHKAL-CQSZACIVSA-N 0 0 444.535 -0.394 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)S(C)(=O)=O ZINC000680429737 1072775370 /nfs/dbraw/zinc/77/53/70/1072775370.db2.gz TYRCVJQPTRHBPR-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2CN2CCNC2=O)CC1 ZINC000680429861 1072775542 /nfs/dbraw/zinc/77/55/42/1072775542.db2.gz SXZVTBGVQZCNPT-UHFFFAOYSA-N 0 0 438.510 -0.899 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000680429913 1072775529 /nfs/dbraw/zinc/77/55/29/1072775529.db2.gz WLFCFODRXANJFZ-UHFFFAOYSA-N 0 0 435.510 -0.146 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000680429972 1072775384 /nfs/dbraw/zinc/77/53/84/1072775384.db2.gz ZIZFSVUANUVZMK-AWEZNQCLSA-N 0 0 448.505 -0.242 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000680429973 1072775462 /nfs/dbraw/zinc/77/54/62/1072775462.db2.gz ZIZFSVUANUVZMK-CQSZACIVSA-N 0 0 448.505 -0.242 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CN(CC(=O)N1CCC[C@H]1C(=O)NC)Cc1ccccc1 ZINC000680430117 1072775395 /nfs/dbraw/zinc/77/53/95/1072775395.db2.gz MHKKPLYEZZWSJJ-KDURUIRLSA-N 0 0 443.548 -0.037 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CN(CC(=O)N1CCC[C@H]1C(=O)NC)Cc1ccccc1 ZINC000680430118 1072775345 /nfs/dbraw/zinc/77/53/45/1072775345.db2.gz MHKKPLYEZZWSJJ-OALUTQOASA-N 0 0 443.548 -0.037 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CN(CC(=O)N1CCC[C@@H]1C(=O)NC)Cc1ccccc1 ZINC000680430119 1072775535 /nfs/dbraw/zinc/77/55/35/1072775535.db2.gz MHKKPLYEZZWSJJ-RTBURBONSA-N 0 0 443.548 -0.037 20 0 IBADRN O=C(CN1CCN2CCOC[C@H]2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000680430145 1072775421 /nfs/dbraw/zinc/77/54/21/1072775421.db2.gz OQPXLSNFMFIJLQ-GOSISDBHSA-N 0 0 438.550 -0.660 20 0 IBADRN O=C(CN1CCN2CCOC[C@@H]2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000680430146 1072775455 /nfs/dbraw/zinc/77/54/55/1072775455.db2.gz OQPXLSNFMFIJLQ-SFHVURJKSA-N 0 0 438.550 -0.660 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000680431546 1072775404 /nfs/dbraw/zinc/77/54/04/1072775404.db2.gz FIOUACLKPXZESJ-UHFFFAOYSA-N 0 0 448.505 -0.240 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000680431581 1072775324 /nfs/dbraw/zinc/77/53/24/1072775324.db2.gz HPQQMDIICDINDW-UHFFFAOYSA-N 0 0 444.535 -0.392 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCCN1CCCS1(=O)=O ZINC000680431748 1072775519 /nfs/dbraw/zinc/77/55/19/1072775519.db2.gz VLNAAZXIPPCWRR-UHFFFAOYSA-N 0 0 444.535 -0.511 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)NS(C)(=O)=O ZINC000680431964 1072775431 /nfs/dbraw/zinc/77/54/31/1072775431.db2.gz AUHNEEQTSCARBI-UHFFFAOYSA-N 0 0 432.524 -0.609 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000680431988 1072775292 /nfs/dbraw/zinc/77/52/92/1072775292.db2.gz CMOQAACGTODYRE-UHFFFAOYSA-N 0 0 436.494 -0.338 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1)NS(C)(=O)=O ZINC000680432283 1072775445 /nfs/dbraw/zinc/77/54/45/1072775445.db2.gz VPGSIARYVFKLER-UHFFFAOYSA-N 0 0 432.524 -0.490 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ncn(C3CCCCC3)n2)CC1 ZINC000680432638 1072775361 /nfs/dbraw/zinc/77/53/61/1072775361.db2.gz HTBAUFDMBFVGQY-UHFFFAOYSA-N 0 0 427.531 -0.585 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000680433303 1072775505 /nfs/dbraw/zinc/77/55/05/1072775505.db2.gz VXNJWNCFZBDUBF-UHFFFAOYSA-N 0 0 449.537 -0.403 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC000680434384 1072776070 /nfs/dbraw/zinc/77/60/70/1072776070.db2.gz HYIUGZHXDDMNPF-UHFFFAOYSA-N 0 0 444.535 -0.559 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c1 ZINC000680434615 1072775956 /nfs/dbraw/zinc/77/59/56/1072775956.db2.gz NAACWSLIBOJMIN-UHFFFAOYSA-N 0 0 448.505 -0.288 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000680434741 1072775965 /nfs/dbraw/zinc/77/59/65/1072775965.db2.gz OPIQOGUKESJUMM-UHFFFAOYSA-N 0 0 444.535 -0.440 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)CCN2C1=O ZINC000680437075 1072776089 /nfs/dbraw/zinc/77/60/89/1072776089.db2.gz HLTXZONWBMTNMV-HNNXBMFYSA-N 0 0 449.489 -0.439 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(S(=O)(=O)NC4CC4)cc3)CCN2C1=O ZINC000680437077 1072775929 /nfs/dbraw/zinc/77/59/29/1072775929.db2.gz HLTXZONWBMTNMV-OAHLLOKOSA-N 0 0 449.489 -0.439 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)CCN2C1=O ZINC000680437417 1072775986 /nfs/dbraw/zinc/77/59/86/1072775986.db2.gz LDUHIESSEVJBTP-HNNXBMFYSA-N 0 0 449.489 -0.558 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc4c3CCN4S(C)(=O)=O)CCN2C1=O ZINC000680437418 1072775903 /nfs/dbraw/zinc/77/59/03/1072775903.db2.gz LDUHIESSEVJBTP-OAHLLOKOSA-N 0 0 449.489 -0.558 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccccc3CN3CCNC3=O)CCN2C1=O ZINC000680437823 1072775978 /nfs/dbraw/zinc/77/59/78/1072775978.db2.gz ZRLNGZWGESSMQW-HNNXBMFYSA-N 0 0 428.449 -0.355 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccccc3CN3CCNC3=O)CCN2C1=O ZINC000680437824 1072775894 /nfs/dbraw/zinc/77/58/94/1072775894.db2.gz ZRLNGZWGESSMQW-OAHLLOKOSA-N 0 0 428.449 -0.355 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000680438378 1072775992 /nfs/dbraw/zinc/77/59/92/1072775992.db2.gz CBEQTGHMLAWSHA-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000680438409 1072775938 /nfs/dbraw/zinc/77/59/38/1072775938.db2.gz DBWPYDMFXKPNMT-UHFFFAOYSA-N 0 0 437.522 -0.702 20 0 IBADRN Cc1nn(C)c2ncc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc12 ZINC000680438504 1072775970 /nfs/dbraw/zinc/77/59/70/1072775970.db2.gz ISUIYCSWFXSVHJ-UHFFFAOYSA-N 0 0 429.481 -0.782 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000680438557 1072775879 /nfs/dbraw/zinc/77/58/79/1072775879.db2.gz JZIPQEZDUAQGFX-UHFFFAOYSA-N 0 0 437.522 -0.820 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000680438605 1072775913 /nfs/dbraw/zinc/77/59/13/1072775913.db2.gz KWEPRCDLWZUQHI-UHFFFAOYSA-N 0 0 448.520 -0.209 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccnn2-c2ccncc2)CC1)N1CCOCC1 ZINC000680438645 1072776034 /nfs/dbraw/zinc/77/60/34/1072776034.db2.gz LSKYOWBYQUIQGD-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN COCCOc1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000680438712 1072775868 /nfs/dbraw/zinc/77/58/68/1072775868.db2.gz NDGLJVMSEJFRTM-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(-c3ncccc3F)n2)CC1)N1CCOCC1 ZINC000680438863 1072775942 /nfs/dbraw/zinc/77/59/42/1072775942.db2.gz ROZCRLLLORFVIS-UHFFFAOYSA-N 0 0 445.455 -0.652 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCCCO3)CC1)N1CCOCC1 ZINC000680438971 1072776014 /nfs/dbraw/zinc/77/60/14/1072776014.db2.gz TYZVQAMSEZGLDL-UHFFFAOYSA-N 0 0 432.477 -0.211 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(Cc3ccccn3)c2)CC1)N1CCOCC1 ZINC000680439011 1072775854 /nfs/dbraw/zinc/77/58/54/1072775854.db2.gz VFTAHYMRCOTCCI-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2-n2cncn2)CC1)N1CCOCC1 ZINC000680439027 1072775948 /nfs/dbraw/zinc/77/59/48/1072775948.db2.gz VQONHXWROVAODM-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)C[C@@H]1C)Cc1ccccc1 ZINC000680442200 1072776618 /nfs/dbraw/zinc/77/66/18/1072776618.db2.gz UJMXHUPPGRFNKQ-HDICACEKSA-N 0 0 443.548 -0.037 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)C[C@H]1C)Cc1ccccc1 ZINC000680442202 1072776519 /nfs/dbraw/zinc/77/65/19/1072776519.db2.gz UJMXHUPPGRFNKQ-QZTJIDSGSA-N 0 0 443.548 -0.037 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)CN(CC(=O)N1CCNC(=O)C[C@@H]1C)Cc1ccccc1 ZINC000680442204 1072776611 /nfs/dbraw/zinc/77/66/11/1072776611.db2.gz UJMXHUPPGRFNKQ-ROUUACIJSA-N 0 0 443.548 -0.037 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4CCOC[C@@H]4C3)cc2)CC1 ZINC000680443379 1072776407 /nfs/dbraw/zinc/77/64/07/1072776407.db2.gz XIHSWRNCCBXHSB-IBGZPJMESA-N 0 0 429.521 -0.054 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4CCOC[C@H]4C3)cc2)CC1 ZINC000680443380 1072776487 /nfs/dbraw/zinc/77/64/87/1072776487.db2.gz XIHSWRNCCBXHSB-LJQANCHMSA-N 0 0 429.521 -0.054 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC1=O ZINC000680447240 1072776560 /nfs/dbraw/zinc/77/65/60/1072776560.db2.gz KVMBUCLVLTUJMG-UHFFFAOYSA-N 0 0 444.536 -0.474 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000680449098 1072776629 /nfs/dbraw/zinc/77/66/29/1072776629.db2.gz SGXWOPJGBCBHKM-UHFFFAOYSA-N 0 0 446.551 -0.289 20 0 IBADRN O=C(CN(CC(=O)N1[C@@H]2CO[C@@H]3[C@H]1CO[C@H]23)Cc1ccccc1)N1[C@@H]2CO[C@@H]3[C@H]1CO[C@H]23 ZINC000680450320 1072776533 /nfs/dbraw/zinc/77/65/33/1072776533.db2.gz OUBSEHPINZTLJG-ISSHLEGWSA-N 0 0 441.484 -0.757 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N[C@]1(CO)CCOC1 ZINC000680450891 1072776546 /nfs/dbraw/zinc/77/65/46/1072776546.db2.gz WZRGDRRKQUZRHW-BBRMVZONSA-N 0 0 433.508 -0.575 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N[C@]1(CO)CCOC1 ZINC000680450892 1072776679 /nfs/dbraw/zinc/77/66/79/1072776679.db2.gz WZRGDRRKQUZRHW-CJNGLKHVSA-N 0 0 433.508 -0.575 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N[C@@]1(CO)CCOC1 ZINC000680450893 1072776421 /nfs/dbraw/zinc/77/64/21/1072776421.db2.gz WZRGDRRKQUZRHW-CZUORRHYSA-N 0 0 433.508 -0.575 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N[C@@]1(CO)CCOC1 ZINC000680450894 1072776645 /nfs/dbraw/zinc/77/66/45/1072776645.db2.gz WZRGDRRKQUZRHW-XJKSGUPXSA-N 0 0 433.508 -0.575 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N[C@H](C(=O)NC(CO)CO)C(C)C)c1 ZINC000680452070 1072776469 /nfs/dbraw/zinc/77/64/69/1072776469.db2.gz XSNNSHJEAYEPIJ-KRWDZBQOSA-N 0 0 429.539 -0.059 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N[C@@H](C(=O)NC(CO)CO)C(C)C)c1 ZINC000680452071 1072776672 /nfs/dbraw/zinc/77/66/72/1072776672.db2.gz XSNNSHJEAYEPIJ-QGZVFWFLSA-N 0 0 429.539 -0.059 20 0 IBADRN CCn1c(CCC(=O)NC(CO)CO)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000680452638 1072776450 /nfs/dbraw/zinc/77/64/50/1072776450.db2.gz KYEVQCZRTWUOJF-UHFFFAOYSA-N 0 0 440.522 -0.521 20 0 IBADRN O=C(NC(CO)CO)c1cc(Br)cc(S(=O)(=O)N2CCOCC2)c1F ZINC000680453616 1072776438 /nfs/dbraw/zinc/77/64/38/1072776438.db2.gz YNZPHCWITWTSMS-UHFFFAOYSA-N 0 0 441.275 -0.308 20 0 IBADRN O=C(NC(CO)CO)c1cc(S(=O)(=O)N2CCCCC2)ccc1N1CCOCC1 ZINC000680454183 1072776699 /nfs/dbraw/zinc/77/66/99/1072776699.db2.gz HWYGXEQHVROAOG-UHFFFAOYSA-N 0 0 427.523 -0.219 20 0 IBADRN O=C(NC(CO)CO)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCCC1 ZINC000680454238 1072776659 /nfs/dbraw/zinc/77/66/59/1072776659.db2.gz JPXLFVNOTFRMDW-UHFFFAOYSA-N 0 0 427.523 -0.219 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000680458571 1072776686 /nfs/dbraw/zinc/77/66/86/1072776686.db2.gz OPBUBBWXEIQYML-DAYGRLMNSA-N 0 0 425.507 -0.638 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN3CCOC[C@@H]3C2)cc1 ZINC000680458572 1072776581 /nfs/dbraw/zinc/77/65/81/1072776581.db2.gz OPBUBBWXEIQYML-MPGHIAIKSA-N 0 0 425.507 -0.638 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000680458573 1072777151 /nfs/dbraw/zinc/77/71/51/1072777151.db2.gz OPBUBBWXEIQYML-RKVPGOIHSA-N 0 0 425.507 -0.638 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN3CCOC[C@H]3C2)cc1 ZINC000680458575 1072777099 /nfs/dbraw/zinc/77/70/99/1072777099.db2.gz OPBUBBWXEIQYML-VKJFTORMSA-N 0 0 425.507 -0.638 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CCC[C@H]3CS(N)(=O)=O)cn2)CC1 ZINC000680461978 1072776923 /nfs/dbraw/zinc/77/69/23/1072776923.db2.gz CWULZKFMGHGHIQ-AWEZNQCLSA-N 0 0 446.555 -0.552 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CCC[C@@H]3CS(N)(=O)=O)cn2)CC1 ZINC000680461979 1072777063 /nfs/dbraw/zinc/77/70/63/1072777063.db2.gz CWULZKFMGHGHIQ-CQSZACIVSA-N 0 0 446.555 -0.552 20 0 IBADRN CCNC(=O)CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000680469454 1072776980 /nfs/dbraw/zinc/77/69/80/1072776980.db2.gz JNDWTFSYRWIKRV-UHFFFAOYSA-N 0 0 437.522 -0.221 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N(C)C)CC2)C1 ZINC000680471027 1072777082 /nfs/dbraw/zinc/77/70/82/1072777082.db2.gz YRWZBUDUBUAVPD-INIZCTEOSA-N 0 0 441.554 -0.075 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N(C)C)CC2)C1 ZINC000680471029 1072777114 /nfs/dbraw/zinc/77/71/14/1072777114.db2.gz YRWZBUDUBUAVPD-MRXNPFEDSA-N 0 0 441.554 -0.075 20 0 IBADRN Cc1cnn(-c2ccc(C(N)=O)cc2NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000680476474 1072777001 /nfs/dbraw/zinc/77/70/01/1072777001.db2.gz APBNRLAXMKMCLG-UHFFFAOYSA-N 0 0 449.537 -0.022 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000680485575 1072777040 /nfs/dbraw/zinc/77/70/40/1072777040.db2.gz DGMMPVORBZPTLB-CYBMUJFWSA-N 0 0 443.420 -0.665 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000680485576 1072777054 /nfs/dbraw/zinc/77/70/54/1072777054.db2.gz DGMMPVORBZPTLB-ZDUSSCGKSA-N 0 0 443.420 -0.665 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)N2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000680485811 1072777124 /nfs/dbraw/zinc/77/71/24/1072777124.db2.gz MQUJFCQVLSNTMB-AWEZNQCLSA-N 0 0 429.437 -0.192 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)N2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000680485812 1072776959 /nfs/dbraw/zinc/77/69/59/1072776959.db2.gz MQUJFCQVLSNTMB-CQSZACIVSA-N 0 0 429.437 -0.192 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000680485898 1072776990 /nfs/dbraw/zinc/77/69/90/1072776990.db2.gz OTNSSNONMDHMDE-UHFFFAOYSA-N 0 0 446.464 -0.111 20 0 IBADRN CS(=O)(=O)NCCNC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000680485950 1072776972 /nfs/dbraw/zinc/77/69/72/1072776972.db2.gz PUPXYBQNGTZZOE-UHFFFAOYSA-N 0 0 426.455 -0.762 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CC1 ZINC000680486167 1072777104 /nfs/dbraw/zinc/77/71/04/1072777104.db2.gz WRFSANUDBJQFQZ-UHFFFAOYSA-N 0 0 445.480 -0.538 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)NCC(=O)N2CCOCC2)cc1 ZINC000680486700 1072776951 /nfs/dbraw/zinc/77/69/51/1072776951.db2.gz HXYOPCFUQYRAEI-UHFFFAOYSA-N 0 0 432.437 -0.453 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CCO1 ZINC000680486740 1072776939 /nfs/dbraw/zinc/77/69/39/1072776939.db2.gz JNIUPZXSMXPZOM-AWEZNQCLSA-N 0 0 432.437 -0.454 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CCO1 ZINC000680486741 1072777028 /nfs/dbraw/zinc/77/70/28/1072777028.db2.gz JNIUPZXSMXPZOM-CQSZACIVSA-N 0 0 432.437 -0.454 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000680486925 1072777007 /nfs/dbraw/zinc/77/70/07/1072777007.db2.gz NPFTYXZNGAVBCP-UHFFFAOYSA-N 0 0 445.436 -0.370 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)NCCN2C(=O)CNC2=O)cc1 ZINC000680487035 1072777136 /nfs/dbraw/zinc/77/71/36/1072777136.db2.gz OSARGKZXSRBPCJ-UHFFFAOYSA-N 0 0 431.409 -0.760 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CCO1 ZINC000680487140 1072777640 /nfs/dbraw/zinc/77/76/40/1072777640.db2.gz SHZYLMZVFIAFJV-INIZCTEOSA-N 0 0 446.464 -0.064 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)CCO1 ZINC000680487141 1072777457 /nfs/dbraw/zinc/77/74/57/1072777457.db2.gz SHZYLMZVFIAFJV-MRXNPFEDSA-N 0 0 446.464 -0.064 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000680487207 1072777568 /nfs/dbraw/zinc/77/75/68/1072777568.db2.gz VDQHBBYJGLUTLX-UHFFFAOYSA-N 0 0 439.538 -0.918 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)NCCN2C(=O)CCC2=O)cc1 ZINC000680487561 1072777451 /nfs/dbraw/zinc/77/74/51/1072777451.db2.gz WTLXUJGWZXNQEU-UHFFFAOYSA-N 0 0 430.421 -0.163 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000680487621 1072777581 /nfs/dbraw/zinc/77/75/81/1072777581.db2.gz YANUUQQFXJQQAR-UHFFFAOYSA-N 0 0 432.437 -0.765 20 0 IBADRN Cn1c(CCC(=O)NCC(=O)NC2CC2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000680488378 1072777503 /nfs/dbraw/zinc/77/75/03/1072777503.db2.gz MKKTWQFKSSPVTK-UHFFFAOYSA-N 0 0 449.533 -0.078 20 0 IBADRN C[C@H](C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)n1nnnc1C(C)(C)C ZINC000680489663 1072777650 /nfs/dbraw/zinc/77/76/50/1072777650.db2.gz BVDGDSRBQURYIC-CYBMUJFWSA-N 0 0 429.547 -0.311 20 0 IBADRN C[C@@H](C(=O)NC1CCN(S(=O)(=O)N2CCOCC2)CC1)n1nnnc1C(C)(C)C ZINC000680489665 1072777478 /nfs/dbraw/zinc/77/74/78/1072777478.db2.gz BVDGDSRBQURYIC-ZDUSSCGKSA-N 0 0 429.547 -0.311 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000680491734 1072777589 /nfs/dbraw/zinc/77/75/89/1072777589.db2.gz OIDDNKWWJLVVLX-UHFFFAOYSA-N 0 0 427.523 -0.605 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000680493502 1072777466 /nfs/dbraw/zinc/77/74/66/1072777466.db2.gz XLWVPWVZXPZBQG-UHFFFAOYSA-N 0 0 445.567 -0.293 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Nc2cccc3c2CCN3S(C)(=O)=O)CC1 ZINC000680493525 1072777546 /nfs/dbraw/zinc/77/75/46/1072777546.db2.gz ZBFBERREEINUSV-UHFFFAOYSA-N 0 0 431.540 -0.035 20 0 IBADRN CCNC(=O)N1CCN(C(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)CC1 ZINC000680501517 1072777526 /nfs/dbraw/zinc/77/75/26/1072777526.db2.gz XXTIKEJXOBVLGE-UHFFFAOYSA-N 0 0 427.527 -0.248 20 0 IBADRN COCCN(C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCS(=O)(=O)C1 ZINC000680503179 1072777535 /nfs/dbraw/zinc/77/75/35/1072777535.db2.gz CPUIWQCLQMKOJP-INIZCTEOSA-N 0 0 446.547 -0.017 20 0 IBADRN COCCN(C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000680503180 1072777516 /nfs/dbraw/zinc/77/75/16/1072777516.db2.gz CPUIWQCLQMKOJP-MRXNPFEDSA-N 0 0 446.547 -0.017 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(Cc1cccnc1)[C@H]1CCS(=O)(=O)C1)c(=O)n2C ZINC000680503986 1072777499 /nfs/dbraw/zinc/77/74/99/1072777499.db2.gz QFYILMQHPYCFQI-AWEZNQCLSA-N 0 0 446.489 -0.955 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(Cc1cccnc1)[C@@H]1CCS(=O)(=O)C1)c(=O)n2C ZINC000680503995 1072777439 /nfs/dbraw/zinc/77/74/39/1072777439.db2.gz QFYILMQHPYCFQI-CQSZACIVSA-N 0 0 446.489 -0.955 20 0 IBADRN COCCN(C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000680504909 1072777490 /nfs/dbraw/zinc/77/74/90/1072777490.db2.gz YOIYGILYAHANAU-KRWDZBQOSA-N 0 0 435.502 -0.280 20 0 IBADRN COCCN(C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000680504921 1072777959 /nfs/dbraw/zinc/77/79/59/1072777959.db2.gz YOIYGILYAHANAU-QGZVFWFLSA-N 0 0 435.502 -0.280 20 0 IBADRN CN(C)CCN(C(=O)c1ccc(CCNS(C)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000680505735 1072778062 /nfs/dbraw/zinc/77/80/62/1072778062.db2.gz MUPRBXXTYGJPDO-KRWDZBQOSA-N 0 0 431.580 -0.031 20 0 IBADRN CN(C)CCN(C(=O)c1ccc(CCNS(C)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000680505736 1072778087 /nfs/dbraw/zinc/77/80/87/1072778087.db2.gz MUPRBXXTYGJPDO-QGZVFWFLSA-N 0 0 431.580 -0.031 20 0 IBADRN CC(C)OCCNC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000680519339 1072777932 /nfs/dbraw/zinc/77/79/32/1072777932.db2.gz AIWVDDMHFODSII-UHFFFAOYSA-N 0 0 434.540 -0.225 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000680521521 1072778078 /nfs/dbraw/zinc/77/80/78/1072778078.db2.gz MDVXPCKSMHIIPD-UHFFFAOYSA-N 0 0 437.565 -0.585 20 0 IBADRN Cc1sc2ncn(CC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c(=O)c2c1C ZINC000680521771 1072778145 /nfs/dbraw/zinc/77/81/45/1072778145.db2.gz TWVTZQVCGYONTR-UHFFFAOYSA-N 0 0 447.517 -0.396 20 0 IBADRN O=C(CCCN1C(=O)c2ccccc2C1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000680521798 1072778182 /nfs/dbraw/zinc/77/81/82/1072778182.db2.gz UXSIUTNWFZLGMQ-UHFFFAOYSA-N 0 0 442.472 -0.408 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000680521958 1072778172 /nfs/dbraw/zinc/77/81/72/1072778172.db2.gz WMPIKIFKVCEHLF-UHFFFAOYSA-N 0 0 425.577 -0.818 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(N3C(=O)CCC3=O)cc2)CC1)N1CCOCC1 ZINC000680522001 1072778035 /nfs/dbraw/zinc/77/80/35/1072778035.db2.gz YFXUXCPQHQWCRW-UHFFFAOYSA-N 0 0 428.445 -0.517 20 0 IBADRN Cc1nc(=NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n(C)[nH]1 ZINC000680522785 1072778010 /nfs/dbraw/zinc/77/80/10/1072778010.db2.gz OQTXXVGACNNZHZ-UHFFFAOYSA-N 0 0 438.485 -0.064 20 0 IBADRN O=C(NCc1ccc(F)cc1)C(=O)NC[C@@H](CO)NC(=O)C(=O)NCc1ccc(F)cc1 ZINC000680524081 1072778110 /nfs/dbraw/zinc/77/81/10/1072778110.db2.gz BRDGAYUOVSGXNL-KRWDZBQOSA-N 0 0 448.426 -0.509 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)NC[C@@H](CO)NC(=O)[C@@H]1CSCN1C(=O)CC ZINC000680524112 1072777972 /nfs/dbraw/zinc/77/79/72/1072777972.db2.gz DIYYPFOEXBKDJT-AVGNSLFASA-N 0 0 432.568 -0.797 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)NC[C@@H](CO)NC(=O)[C@H]1CSCN1C(=O)CC ZINC000680524113 1072778021 /nfs/dbraw/zinc/77/80/21/1072778021.db2.gz DIYYPFOEXBKDJT-RWMBFGLXSA-N 0 0 432.568 -0.797 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)N[C@H](CO)CNC(=O)[C@H]1CSCN1C(=O)CC ZINC000680524114 1072778134 /nfs/dbraw/zinc/77/81/34/1072778134.db2.gz DIYYPFOEXBKDJT-XQQFMLRXSA-N 0 0 432.568 -0.797 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)NC[C@@H](CO)NC(=O)[C@H]1CSCN1C(=O)CC ZINC000680524115 1072777984 /nfs/dbraw/zinc/77/79/84/1072777984.db2.gz DIYYPFOEXBKDJT-YNEHKIRRSA-N 0 0 432.568 -0.797 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1cccc(-n2cnnn2)c1)c1cccc(-n2cnnn2)c1 ZINC000680524133 1072778047 /nfs/dbraw/zinc/77/80/47/1072778047.db2.gz FSOLKPPYLNIUNB-HNNXBMFYSA-N 0 0 434.420 -0.841 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1cnc2sccn2c1=O)c1cnc2sccn2c1=O ZINC000680524606 1072777948 /nfs/dbraw/zinc/77/79/48/1072777948.db2.gz IBFWBNLLHGYVSL-VIFPVBQESA-N 0 0 446.470 -0.654 20 0 IBADRN O=C(CS(=O)(=O)C1CCCC1)NC[C@@H](CO)NC(=O)CS(=O)(=O)C1CCCC1 ZINC000680524705 1072778162 /nfs/dbraw/zinc/77/81/62/1072778162.db2.gz JGTMDLRJLLJVFN-ZDUSSCGKSA-N 0 0 438.568 -0.706 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)[C@H]1CCCN(C(=O)C2CC2)C1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000680525317 1072778666 /nfs/dbraw/zinc/77/86/66/1072778666.db2.gz QVVKUXICBSTBGT-FHWLQOOXSA-N 0 0 448.564 -0.123 20 0 IBADRN O=C(N[C@H](CO)CNC(=O)[C@H]1CCCN(C(=O)C2CC2)C1)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000680525318 1072778694 /nfs/dbraw/zinc/77/86/94/1072778694.db2.gz QVVKUXICBSTBGT-OTWHNJEPSA-N 0 0 448.564 -0.123 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000680525319 1072778476 /nfs/dbraw/zinc/77/84/76/1072778476.db2.gz QVVKUXICBSTBGT-QRVBRYPASA-N 0 0 448.564 -0.123 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)[C@H]1CCCN(C(=O)C2CC2)C1)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000680525320 1072778550 /nfs/dbraw/zinc/77/85/50/1072778550.db2.gz QVVKUXICBSTBGT-QYZOEREBSA-N 0 0 448.564 -0.123 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1ccccc1-n1cnnn1)c1ccccc1-n1cnnn1 ZINC000680525429 1072778571 /nfs/dbraw/zinc/77/85/71/1072778571.db2.gz RAAFKQZVOKJFPY-ZDUSSCGKSA-N 0 0 434.420 -0.841 20 0 IBADRN Cc1cc(C)n2nc(C(=O)NC[C@@H](CO)NC(=O)c3nc4nc(C)cc(C)n4n3)nc2n1 ZINC000680525457 1072778509 /nfs/dbraw/zinc/77/85/09/1072778509.db2.gz SQYDBYKRTKQHOH-ZDUSSCGKSA-N 0 0 438.452 -0.684 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1sccc1-n1cnnn1)c1sccc1-n1cnnn1 ZINC000680525774 1072778597 /nfs/dbraw/zinc/77/85/97/1072778597.db2.gz XDTKEACPWHMLER-VIFPVBQESA-N 0 0 446.478 -0.718 20 0 IBADRN Cc1csc(=O)n1CCC(=O)NC[C@@H](CO)NC(=O)CCn1c(C)csc1=O ZINC000680525799 1072778682 /nfs/dbraw/zinc/77/86/82/1072778682.db2.gz XNAIVZHZXVVMCT-ZDUSSCGKSA-N 0 0 428.536 -0.176 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1ccc2c(c1)OCC(=O)N2)c1ccc2c(c1)OCC(=O)N2 ZINC000680525901 1072778534 /nfs/dbraw/zinc/77/85/34/1072778534.db2.gz ZERXWPRTOOTXCD-ZDUSSCGKSA-N 0 0 440.412 -0.131 20 0 IBADRN Cc1ccc([C@H](CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)N2CCOCC2)o1 ZINC000680527889 1072778523 /nfs/dbraw/zinc/77/85/23/1072778523.db2.gz WKORILNMMDHUKM-AWEZNQCLSA-N 0 0 430.465 -0.475 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)N2CCOCC2)o1 ZINC000680527890 1072778543 /nfs/dbraw/zinc/77/85/43/1072778543.db2.gz WKORILNMMDHUKM-CQSZACIVSA-N 0 0 430.465 -0.475 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c(C)c1NS(C)(=O)=O ZINC000680536224 1072778609 /nfs/dbraw/zinc/77/86/09/1072778609.db2.gz MDWGKEBSYCLUDN-GFCCVEGCSA-N 0 0 430.508 -0.092 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c(C)c1NS(C)(=O)=O ZINC000680536225 1072778654 /nfs/dbraw/zinc/77/86/54/1072778654.db2.gz MDWGKEBSYCLUDN-LBPRGKRZSA-N 0 0 430.508 -0.092 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000680556529 1072778623 /nfs/dbraw/zinc/77/86/23/1072778623.db2.gz HTDAKGSNYWIMMU-UHFFFAOYSA-N 0 0 438.485 -0.063 20 0 IBADRN Cn1nc(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c2ccccc21 ZINC000680570245 1072778580 /nfs/dbraw/zinc/77/85/80/1072778580.db2.gz RHUJNHGEICPEEV-UHFFFAOYSA-N 0 0 435.554 -0.107 20 0 IBADRN CCN1c2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc2CCC1=O ZINC000680572048 1072778703 /nfs/dbraw/zinc/77/87/03/1072778703.db2.gz IDVKSDRTMAMJTO-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000680577334 1072778491 /nfs/dbraw/zinc/77/84/91/1072778491.db2.gz ABDCXJLUKYGRBN-UHFFFAOYSA-N 0 0 432.568 -0.779 20 0 IBADRN Cc1ccccc1[C@@H]1C(=O)NCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000680583705 1072778462 /nfs/dbraw/zinc/77/84/62/1072778462.db2.gz AKNYSQSCEMBVHX-FXAWDEMLSA-N 0 0 434.562 -0.201 20 0 IBADRN Cc1ccccc1[C@H]1C(=O)NCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000680583706 1072778674 /nfs/dbraw/zinc/77/86/74/1072778674.db2.gz AKNYSQSCEMBVHX-PXNSSMCTSA-N 0 0 434.562 -0.201 20 0 IBADRN Cc1ccccc1[C@H]1C(=O)NCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000680583707 1072778504 /nfs/dbraw/zinc/77/85/04/1072778504.db2.gz AKNYSQSCEMBVHX-XLIONFOSSA-N 0 0 434.562 -0.201 20 0 IBADRN Cc1ccccc1[C@@H]1C(=O)NCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000680583708 1072779272 /nfs/dbraw/zinc/77/92/72/1072779272.db2.gz AKNYSQSCEMBVHX-YLJYHZDGSA-N 0 0 434.562 -0.201 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CCC[C@H](S(N)(=O)=O)C3)cn2)CC1 ZINC000680589633 1072779154 /nfs/dbraw/zinc/77/91/54/1072779154.db2.gz IRDKMJFIRAHESC-AWEZNQCLSA-N 0 0 446.555 -0.552 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cn2)CC1 ZINC000680589636 1072779092 /nfs/dbraw/zinc/77/90/92/1072779092.db2.gz IRDKMJFIRAHESC-CQSZACIVSA-N 0 0 446.555 -0.552 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000680593498 1072779236 /nfs/dbraw/zinc/77/92/36/1072779236.db2.gz ZCYRCWGCPLXBAR-CVEARBPZSA-N 0 0 443.526 -0.154 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000680593502 1072779250 /nfs/dbraw/zinc/77/92/50/1072779250.db2.gz ZCYRCWGCPLXBAR-HOTGVXAUSA-N 0 0 443.526 -0.154 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000680593504 1072778984 /nfs/dbraw/zinc/77/89/84/1072778984.db2.gz ZCYRCWGCPLXBAR-HZPDHXFCSA-N 0 0 443.526 -0.154 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000680593507 1072778969 /nfs/dbraw/zinc/77/89/69/1072778969.db2.gz ZCYRCWGCPLXBAR-JKSUJKDBSA-N 0 0 443.526 -0.154 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000680595403 1072779140 /nfs/dbraw/zinc/77/91/40/1072779140.db2.gz CLPOPJWKLDJDKW-BFVZDQMLSA-N 0 0 427.306 -0.071 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC000680595405 1072779287 /nfs/dbraw/zinc/77/92/87/1072779287.db2.gz CLPOPJWKLDJDKW-BHDSKKPTSA-N 0 0 427.306 -0.071 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000680595784 1072779164 /nfs/dbraw/zinc/77/91/64/1072779164.db2.gz GUUWSDBSCSOHPP-GXTWGEPZSA-N 0 0 442.943 -0.767 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000680595785 1072778995 /nfs/dbraw/zinc/77/89/95/1072778995.db2.gz GUUWSDBSCSOHPP-JSGCOSHPSA-N 0 0 442.943 -0.767 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N(C)C[C@H](O)CO)c1 ZINC000680596322 1072779108 /nfs/dbraw/zinc/77/91/08/1072779108.db2.gz QPVPBAQJNZUJLO-HNNXBMFYSA-N 0 0 429.539 -0.021 20 0 IBADRN CN1CCN(CC(=O)NCC[C@H](NC(=O)CN2CCN(C)CC2)c2ccccc2)CC1 ZINC000680597633 1072779176 /nfs/dbraw/zinc/77/91/76/1072779176.db2.gz QSUMOSIGWLNJFR-NRFANRHFSA-N 0 0 430.597 -0.155 20 0 IBADRN CN1CCN(CC(=O)NCC[C@@H](NC(=O)CN2CCN(C)CC2)c2ccccc2)CC1 ZINC000680597635 1072779021 /nfs/dbraw/zinc/77/90/21/1072779021.db2.gz QSUMOSIGWLNJFR-OAQYLSRUSA-N 0 0 430.597 -0.155 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000680618274 1072779197 /nfs/dbraw/zinc/77/91/97/1072779197.db2.gz PHXIBTHLILATSP-ZDUSSCGKSA-N 0 0 448.567 -0.320 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000680632626 1072779257 /nfs/dbraw/zinc/77/92/57/1072779257.db2.gz GWUJELLSXAXXES-AWEZNQCLSA-N 0 0 425.511 -0.278 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000680632629 1072779068 /nfs/dbraw/zinc/77/90/68/1072779068.db2.gz GWUJELLSXAXXES-CQSZACIVSA-N 0 0 425.511 -0.278 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CCCC3=O)cc2)CC1 ZINC000680648070 1072779839 /nfs/dbraw/zinc/77/98/39/1072779839.db2.gz NNWDXXUHTUKNHN-UHFFFAOYSA-N 0 0 443.504 -0.103 20 0 IBADRN Cn1c(=O)ccn(Cc2nc(-c3ccc(S(=O)(=O)N4CCOCC4)cc3)no2)c1=O ZINC000680673745 1072779639 /nfs/dbraw/zinc/77/96/39/1072779639.db2.gz QUCCXZKEDLPZQC-UHFFFAOYSA-N 0 0 433.446 -0.334 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000680678027 1072779676 /nfs/dbraw/zinc/77/96/76/1072779676.db2.gz FWIXUYUJXPXOJR-INIZCTEOSA-N 0 0 426.539 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(C[C@H]2CCCO2)CC1 ZINC000680678028 1072779724 /nfs/dbraw/zinc/77/97/24/1072779724.db2.gz FWIXUYUJXPXOJR-MRXNPFEDSA-N 0 0 426.539 -0.017 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000680711475 1072779793 /nfs/dbraw/zinc/77/97/93/1072779793.db2.gz KPIMOVBDWAMVLY-UHFFFAOYSA-N 0 0 434.478 -0.273 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)CC(=O)N2CCN(C)CC2)C1 ZINC000680743026 1072779763 /nfs/dbraw/zinc/77/97/63/1072779763.db2.gz SRSWOWXVOXZUDL-INIZCTEOSA-N 0 0 441.554 -0.075 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)CC(=O)N2CCN(C)CC2)C1 ZINC000680743027 1072779800 /nfs/dbraw/zinc/77/98/00/1072779800.db2.gz SRSWOWXVOXZUDL-MRXNPFEDSA-N 0 0 441.554 -0.075 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(-c2noc(Cn3cnc4c3c(=O)n(C)c(=O)n4C)n2)c1 ZINC000680782401 1072779596 /nfs/dbraw/zinc/77/95/96/1072779596.db2.gz DNZPKRCKOCGMHN-UHFFFAOYSA-N 0 0 445.461 -0.218 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2csc(-c3ccccn3)n2)CC1 ZINC000680818946 1072779824 /nfs/dbraw/zinc/77/98/24/1072779824.db2.gz YXZZKYPDWTUEIJ-UHFFFAOYSA-N 0 0 438.535 -0.163 20 0 IBADRN CC1(CO)CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000680820174 1072779810 /nfs/dbraw/zinc/77/98/10/1072779810.db2.gz CRNKAUZWTQBHMB-UHFFFAOYSA-N 0 0 439.538 -0.277 20 0 IBADRN CNC(=O)CN1CCN(c2cc(C(C)C)nc(N3CCN(CC(=O)NC)CC3)n2)CC1 ZINC000680836597 1072779700 /nfs/dbraw/zinc/77/97/00/1072779700.db2.gz YUTFNHVEFNNVCK-UHFFFAOYSA-N 0 0 432.573 -0.664 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000680859296 1072779831 /nfs/dbraw/zinc/77/98/31/1072779831.db2.gz BMQJWWAKIHDBCL-UHFFFAOYSA-N 0 0 445.567 -0.140 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)Cc2ccc(CC(=O)N3CCN(C[C@H](C)O)CC3)cc2)CC1 ZINC000680868568 1072779848 /nfs/dbraw/zinc/77/98/48/1072779848.db2.gz CSCMXJKVPNVUSR-BGYRXZFFSA-N 0 0 446.592 -0.178 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)c1 ZINC000680869370 1072779578 /nfs/dbraw/zinc/77/95/78/1072779578.db2.gz JDCKPQWSVKPCFO-UHFFFAOYSA-N 0 0 427.508 -0.445 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cc(OC)ncn3)CC2)cc1 ZINC000680873778 1072779744 /nfs/dbraw/zinc/77/97/44/1072779744.db2.gz IACXLUPMHJKJCX-UHFFFAOYSA-N 0 0 434.478 -0.319 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000680874002 1072779784 /nfs/dbraw/zinc/77/97/84/1072779784.db2.gz XUVPNWRHADJLJT-COXVUDFISA-N 0 0 446.547 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000680874003 1072779820 /nfs/dbraw/zinc/77/98/20/1072779820.db2.gz XUVPNWRHADJLJT-JQFCIGGWSA-N 0 0 446.547 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000680874004 1072780337 /nfs/dbraw/zinc/78/03/37/1072780337.db2.gz XUVPNWRHADJLJT-XKQJLSEDSA-N 0 0 446.547 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000680874005 1072780348 /nfs/dbraw/zinc/78/03/48/1072780348.db2.gz XUVPNWRHADJLJT-XYPHTWIQSA-N 0 0 446.547 -0.145 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N(CCC(N)=O)c1ccc(F)cc1 ZINC000680877025 1072780253 /nfs/dbraw/zinc/78/02/53/1072780253.db2.gz VZDDWLNYSUHDGD-HNNXBMFYSA-N 0 0 442.513 -0.341 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N(CCC(N)=O)c1ccc(F)cc1 ZINC000680877026 1072780163 /nfs/dbraw/zinc/78/01/63/1072780163.db2.gz VZDDWLNYSUHDGD-OAHLLOKOSA-N 0 0 442.513 -0.341 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC000680901990 1072780294 /nfs/dbraw/zinc/78/02/94/1072780294.db2.gz XRLPKSUHQZNZFQ-UHFFFAOYSA-N 0 0 428.536 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC000680904258 1072780357 /nfs/dbraw/zinc/78/03/57/1072780357.db2.gz ZUGDBEJOVYDPDG-UHFFFAOYSA-N 0 0 442.563 -0.623 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)Nc2nc(CS(C)(=O)=O)no2)cc1 ZINC000680907825 1072780274 /nfs/dbraw/zinc/78/02/74/1072780274.db2.gz MGOMMEGZAMWTBS-UHFFFAOYSA-N 0 0 447.495 -0.139 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000680908858 1072780143 /nfs/dbraw/zinc/78/01/43/1072780143.db2.gz WANKGLUHKZYTLN-UHFFFAOYSA-N 0 0 438.470 -0.735 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)n1 ZINC000680909954 1072780315 /nfs/dbraw/zinc/78/03/15/1072780315.db2.gz CBNPCQFHRBFVBP-UHFFFAOYSA-N 0 0 437.482 -0.130 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000680910251 1072780112 /nfs/dbraw/zinc/78/01/12/1072780112.db2.gz NPBKKEDCXFUXJA-UHFFFAOYSA-N 0 0 448.483 -0.243 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000680910544 1072780369 /nfs/dbraw/zinc/78/03/69/1072780369.db2.gz XCMXYIUNXFMBIE-LLVKDONJSA-N 0 0 437.500 -0.728 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000680910545 1072780225 /nfs/dbraw/zinc/78/02/25/1072780225.db2.gz XCMXYIUNXFMBIE-NSHDSACASA-N 0 0 437.500 -0.728 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)Nc2nc(CS(C)(=O)=O)no2)c1 ZINC000680910558 1072780214 /nfs/dbraw/zinc/78/02/14/1072780214.db2.gz XLUGNNNYQQXVTD-UHFFFAOYSA-N 0 0 447.495 -0.139 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)n1 ZINC000680910649 1072780376 /nfs/dbraw/zinc/78/03/76/1072780376.db2.gz ZQIDBMUBHZQKQN-JTQLQIEISA-N 0 0 425.489 -0.776 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)n1 ZINC000680910650 1072780236 /nfs/dbraw/zinc/78/02/36/1072780236.db2.gz ZQIDBMUBHZQKQN-SNVBAGLBSA-N 0 0 425.489 -0.776 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)Nc3nc(CS(C)(=O)=O)no3)CC2)no1 ZINC000680911341 1072780178 /nfs/dbraw/zinc/78/01/78/1072780178.db2.gz CCNHYEXGPVEKIR-UHFFFAOYSA-N 0 0 427.443 -0.301 20 0 IBADRN CS(=O)(=O)Cc1noc(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000680911433 1072780394 /nfs/dbraw/zinc/78/03/94/1072780394.db2.gz NUCJBYWPPOFRCR-UHFFFAOYSA-N 0 0 436.450 -0.492 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000680911657 1072780195 /nfs/dbraw/zinc/78/01/95/1072780195.db2.gz GUQIPHALJNFYIE-UHFFFAOYSA-N 0 0 439.516 -0.482 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000680912022 1072780383 /nfs/dbraw/zinc/78/03/83/1072780383.db2.gz VYNXFUHPJBTQAB-UHFFFAOYSA-N 0 0 425.489 -0.870 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000680912324 1072780399 /nfs/dbraw/zinc/78/03/99/1072780399.db2.gz XWSHQJNJNORKEZ-GFCCVEGCSA-N 0 0 432.503 -0.695 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000680912325 1072780756 /nfs/dbraw/zinc/78/07/56/1072780756.db2.gz XWSHQJNJNORKEZ-LBPRGKRZSA-N 0 0 432.503 -0.695 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(c3cccc(F)c3)C(=O)C2)CC1 ZINC000680912424 1072780841 /nfs/dbraw/zinc/78/08/41/1072780841.db2.gz OLAHCHXWGWHFOA-UHFFFAOYSA-N 0 0 427.502 -0.239 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000680915566 1072780848 /nfs/dbraw/zinc/78/08/48/1072780848.db2.gz HQUDAEJKVZLFFC-UHFFFAOYSA-N 0 0 445.567 -0.140 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000680924765 1072780782 /nfs/dbraw/zinc/78/07/82/1072780782.db2.gz TTXNVHARDQPZKA-MOPGFXCFSA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000680924766 1072780737 /nfs/dbraw/zinc/78/07/37/1072780737.db2.gz TTXNVHARDQPZKA-OALUTQOASA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000680924767 1072780702 /nfs/dbraw/zinc/78/07/02/1072780702.db2.gz TTXNVHARDQPZKA-RBUKOAKNSA-N 0 0 430.505 -0.300 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000680924769 1072780730 /nfs/dbraw/zinc/78/07/30/1072780730.db2.gz TTXNVHARDQPZKA-RTBURBONSA-N 0 0 430.505 -0.300 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000680932679 1072780685 /nfs/dbraw/zinc/78/06/85/1072780685.db2.gz YZZNTQYZPJSVNA-IBGZPJMESA-N 0 0 445.520 -0.156 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000680932680 1072780747 /nfs/dbraw/zinc/78/07/47/1072780747.db2.gz YZZNTQYZPJSVNA-LJQANCHMSA-N 0 0 445.520 -0.156 20 0 IBADRN CCn1c(N)c(C(=O)CN2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)c(=O)[nH]c1=O ZINC000680937373 1072780773 /nfs/dbraw/zinc/78/07/73/1072780773.db2.gz RNPISWZDHGPSJI-INIZCTEOSA-N 0 0 443.460 -0.140 20 0 IBADRN CCn1c(N)c(C(=O)CN2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)c(=O)[nH]c1=O ZINC000680937374 1072780802 /nfs/dbraw/zinc/78/08/02/1072780802.db2.gz RNPISWZDHGPSJI-MRXNPFEDSA-N 0 0 443.460 -0.140 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN([C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000680940311 1072780809 /nfs/dbraw/zinc/78/08/09/1072780809.db2.gz OLAWQDODRAAVMA-DZGCQCFKSA-N 0 0 448.567 -0.320 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN([C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000680940312 1072781181 /nfs/dbraw/zinc/78/11/81/1072781181.db2.gz OLAWQDODRAAVMA-HIFRSBDPSA-N 0 0 448.567 -0.320 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN([C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000680940313 1072781233 /nfs/dbraw/zinc/78/12/33/1072781233.db2.gz OLAWQDODRAAVMA-UKRRQHHQSA-N 0 0 448.567 -0.320 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN([C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000680940315 1072781212 /nfs/dbraw/zinc/78/12/12/1072781212.db2.gz OLAWQDODRAAVMA-ZFWWWQNUSA-N 0 0 448.567 -0.320 20 0 IBADRN CCN(CC)C(=O)CN1CCN(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000680940521 1072781339 /nfs/dbraw/zinc/78/13/39/1072781339.db2.gz SWQBVDGRYPXGDR-UHFFFAOYSA-N 0 0 438.617 -0.469 20 0 IBADRN COCCN(C(=O)CN1CCN(CC(=O)N2CCCCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000680940953 1072781298 /nfs/dbraw/zinc/78/12/98/1072781298.db2.gz NMXIUVPVQJFIHZ-GOSISDBHSA-N 0 0 444.598 -0.721 20 0 IBADRN COCCN(C(=O)CN1CCN(CC(=O)N2CCCCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000680940959 1072781284 /nfs/dbraw/zinc/78/12/84/1072781284.db2.gz NMXIUVPVQJFIHZ-SFHVURJKSA-N 0 0 444.598 -0.721 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000680941381 1072781162 /nfs/dbraw/zinc/78/11/62/1072781162.db2.gz DPYYRELNEGOSCF-INIZCTEOSA-N 0 0 433.509 -0.379 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000680941382 1072781171 /nfs/dbraw/zinc/78/11/71/1072781171.db2.gz DPYYRELNEGOSCF-MRXNPFEDSA-N 0 0 433.509 -0.379 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000680941426 1072781146 /nfs/dbraw/zinc/78/11/46/1072781146.db2.gz GYXQIIGJWPRDBD-UHFFFAOYSA-N 0 0 436.509 -0.003 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000680941502 1072781309 /nfs/dbraw/zinc/78/13/09/1072781309.db2.gz LMAYQIYZZHQLOK-INIZCTEOSA-N 0 0 430.571 -0.529 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000680941503 1072781274 /nfs/dbraw/zinc/78/12/74/1072781274.db2.gz LMAYQIYZZHQLOK-MRXNPFEDSA-N 0 0 430.571 -0.529 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2CN2CCOCC2)CC1 ZINC000680941625 1072781317 /nfs/dbraw/zinc/78/13/17/1072781317.db2.gz UDSWXIKUCCPQKN-UHFFFAOYSA-N 0 0 445.564 -0.196 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000680941751 1072781325 /nfs/dbraw/zinc/78/13/25/1072781325.db2.gz BNUHGAVLTITDTE-HNNXBMFYSA-N 0 0 432.477 -0.212 20 0 IBADRN C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000680941757 1072781244 /nfs/dbraw/zinc/78/12/44/1072781244.db2.gz BNUHGAVLTITDTE-OAHLLOKOSA-N 0 0 432.477 -0.212 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000680941773 1072781252 /nfs/dbraw/zinc/78/12/52/1072781252.db2.gz BWQFMBUOOPRHIQ-UHFFFAOYSA-N 0 0 448.520 -0.335 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000680941800 1072781134 /nfs/dbraw/zinc/78/11/34/1072781134.db2.gz WDNGQAPITCCROI-UHFFFAOYSA-N 0 0 437.566 -0.118 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000680941897 1072781331 /nfs/dbraw/zinc/78/13/31/1072781331.db2.gz GEQJWUPNZLEHFU-UHFFFAOYSA-N 0 0 449.533 -0.795 20 0 IBADRN Cc1cc(CN2CCN(CC(=O)N3CCN(CC(=O)N4CCOCC4)CC3)CC2)on1 ZINC000680941993 1072781263 /nfs/dbraw/zinc/78/12/63/1072781263.db2.gz YJOHWVJEPFCJFG-UHFFFAOYSA-N 0 0 434.541 -0.896 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1F ZINC000680942019 1072781224 /nfs/dbraw/zinc/78/12/24/1072781224.db2.gz HEYKXLALCRZRBG-UHFFFAOYSA-N 0 0 436.484 -0.204 20 0 IBADRN NC(=O)CCN(C(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(F)cc1 ZINC000680942049 1072781817 /nfs/dbraw/zinc/78/18/17/1072781817.db2.gz IRRAZKCQUDAXQP-UHFFFAOYSA-N 0 0 449.483 -0.963 20 0 IBADRN Cn1c2nnc(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)n2c2ccccc2c1=O ZINC000680942083 1072781804 /nfs/dbraw/zinc/78/18/04/1072781804.db2.gz KEIBFEFFADPGDU-UHFFFAOYSA-N 0 0 439.476 -0.916 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(C(=O)C(=O)NC(C)C)CC2)cc1S(N)(=O)=O ZINC000680942114 1072781786 /nfs/dbraw/zinc/78/17/86/1072781786.db2.gz LNZVYNLPXGGSDA-UHFFFAOYSA-N 0 0 425.511 -0.750 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1CCN(Cc2cccs2)CC1 ZINC000680942152 1072781737 /nfs/dbraw/zinc/78/17/37/1072781737.db2.gz NNADIEAFQRCGGL-UHFFFAOYSA-N 0 0 449.577 -0.605 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000680942156 1072781615 /nfs/dbraw/zinc/78/16/15/1072781615.db2.gz NRTVKTGLGYXKPP-INIZCTEOSA-N 0 0 430.571 -0.673 20 0 IBADRN CC(C)CN(C(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000680942157 1072781709 /nfs/dbraw/zinc/78/17/09/1072781709.db2.gz NRTVKTGLGYXKPP-MRXNPFEDSA-N 0 0 430.571 -0.673 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000680942280 1072781796 /nfs/dbraw/zinc/78/17/96/1072781796.db2.gz VOZIRRPJSBUYDJ-KRWDZBQOSA-N 0 0 442.582 -0.387 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000680942281 1072781672 /nfs/dbraw/zinc/78/16/72/1072781672.db2.gz VOZIRRPJSBUYDJ-QGZVFWFLSA-N 0 0 442.582 -0.387 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000680942336 1072781663 /nfs/dbraw/zinc/78/16/63/1072781663.db2.gz YKTFWJRGQUQBGF-GOSISDBHSA-N 0 0 449.504 -0.360 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@@H](O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000680942337 1072781697 /nfs/dbraw/zinc/78/16/97/1072781697.db2.gz YKTFWJRGQUQBGF-SFHVURJKSA-N 0 0 449.504 -0.360 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000680943895 1072781652 /nfs/dbraw/zinc/78/16/52/1072781652.db2.gz UGIMHRPAQIJPDC-UHFFFAOYSA-N 0 0 444.533 -0.566 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC000680946671 1072781724 /nfs/dbraw/zinc/78/17/24/1072781724.db2.gz KTWUWTWSILVWBG-UHFFFAOYSA-N 0 0 430.506 -0.309 20 0 IBADRN CN(CCN1CCCS1(=O)=O)c1nccnc1N(C)CCN1CCCS1(=O)=O ZINC000680956129 1072781838 /nfs/dbraw/zinc/78/18/38/1072781838.db2.gz PAPPVAURMHLOKF-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nccnc3N3CCN4C(=O)N(CC)C(=O)[C@@H]4C3)CCN2C1=O ZINC000680956977 1072781715 /nfs/dbraw/zinc/78/17/15/1072781715.db2.gz DAXPHLGYVHZMIW-KBPBESRZSA-N 0 0 442.480 -0.578 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nccnc3N3CCN4C(=O)N(CC)C(=O)[C@@H]4C3)CCN2C1=O ZINC000680956983 1072781681 /nfs/dbraw/zinc/78/16/81/1072781681.db2.gz DAXPHLGYVHZMIW-OKILXGFUSA-N 0 0 442.480 -0.578 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nccnc3N3CCN4C(=O)N(CC)C(=O)[C@H]4C3)CCN2C1=O ZINC000680956984 1072781633 /nfs/dbraw/zinc/78/16/33/1072781633.db2.gz DAXPHLGYVHZMIW-ZIAGYGMSSA-N 0 0 442.480 -0.578 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(c2nccnc2N2CCC[C@H](S(=O)(=O)NC)C2)C1 ZINC000680957054 1072781847 /nfs/dbraw/zinc/78/18/47/1072781847.db2.gz GRIRAQPUYQPGTM-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2nccnc2N2CCC[C@H](S(=O)(=O)NC)C2)C1 ZINC000680957055 1072781689 /nfs/dbraw/zinc/78/16/89/1072781689.db2.gz GRIRAQPUYQPGTM-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(c2nccnc2N2CCC[C@@H](S(=O)(=O)NC)C2)C1 ZINC000680957057 1072782371 /nfs/dbraw/zinc/78/23/71/1072782371.db2.gz GRIRAQPUYQPGTM-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1c1nccnc1N1CCC[C@H]1CS(=O)(=O)NC ZINC000680957278 1072782281 /nfs/dbraw/zinc/78/22/81/1072782281.db2.gz DCEPRQXHSKSFCM-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1nccnc1N1CCC[C@H]1CS(=O)(=O)NC ZINC000680957280 1072782351 /nfs/dbraw/zinc/78/23/51/1072782351.db2.gz DCEPRQXHSKSFCM-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1c1nccnc1N1CCC[C@@H]1CS(=O)(=O)NC ZINC000680957282 1072782415 /nfs/dbraw/zinc/78/24/15/1072782415.db2.gz DCEPRQXHSKSFCM-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(c2nccnc2N2CCC[C@H](CS(N)(=O)=O)C2)C1 ZINC000680957886 1072782400 /nfs/dbraw/zinc/78/24/00/1072782400.db2.gz PIODGBLVMBLTDH-KBPBESRZSA-N 0 0 432.572 -0.514 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(c2nccnc2N2CCC[C@H](CS(N)(=O)=O)C2)C1 ZINC000680957893 1072782358 /nfs/dbraw/zinc/78/23/58/1072782358.db2.gz PIODGBLVMBLTDH-OKILXGFUSA-N 0 0 432.572 -0.514 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(c2nccnc2N2CCC[C@@H](CS(N)(=O)=O)C2)C1 ZINC000680957896 1072782295 /nfs/dbraw/zinc/78/22/95/1072782295.db2.gz PIODGBLVMBLTDH-ZIAGYGMSSA-N 0 0 432.572 -0.514 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNc2nccnc2NC[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000680959861 1072782316 /nfs/dbraw/zinc/78/23/16/1072782316.db2.gz MULMGELOYJDHFV-KBPBESRZSA-N 0 0 432.572 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNc2nccnc2NC[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000680959862 1072782385 /nfs/dbraw/zinc/78/23/85/1072782385.db2.gz MULMGELOYJDHFV-OKILXGFUSA-N 0 0 432.572 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNc2nccnc2NC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000680959863 1072782261 /nfs/dbraw/zinc/78/22/61/1072782261.db2.gz MULMGELOYJDHFV-ZIAGYGMSSA-N 0 0 432.572 -0.137 20 0 IBADRN Cc1nnc(CN2CCN(c3nccnc3N3CCN(Cc4nnc(C)[nH]4)CC3)CC2)[nH]1 ZINC000680960271 1072782331 /nfs/dbraw/zinc/78/23/31/1072782331.db2.gz CIZDNDLIPRZNJP-UHFFFAOYSA-N 0 0 438.544 -0.026 20 0 IBADRN C[C@H]1CN(c2nccnc2N2CCN(S(C)(=O)=O)[C@@H](C)C2)CCN1S(C)(=O)=O ZINC000680961637 1072782185 /nfs/dbraw/zinc/78/21/85/1072782185.db2.gz QFMRPDYKFPAQJY-KBPBESRZSA-N 0 0 432.572 -0.583 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nccnc2N2CC[C@H](S(=O)(=O)NCC)C2)C1 ZINC000680963127 1072782363 /nfs/dbraw/zinc/78/23/63/1072782363.db2.gz ZGCLJBOEOUMGGS-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nccnc2N2CC[C@H](S(=O)(=O)NCC)C2)C1 ZINC000680963128 1072782198 /nfs/dbraw/zinc/78/21/98/1072782198.db2.gz ZGCLJBOEOUMGGS-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nccnc2N2CC[C@@H](S(=O)(=O)NCC)C2)C1 ZINC000680963129 1072782310 /nfs/dbraw/zinc/78/23/10/1072782310.db2.gz ZGCLJBOEOUMGGS-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)n1 ZINC000681008229 1072782374 /nfs/dbraw/zinc/78/23/74/1072782374.db2.gz BRUFEPHHJLROFO-UHFFFAOYSA-N 0 0 426.481 -0.304 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC000681009817 1072782220 /nfs/dbraw/zinc/78/22/20/1072782220.db2.gz BAEGAOYTIWMIGP-UHFFFAOYSA-N 0 0 426.481 -0.304 20 0 IBADRN CC(C)c1ccnc(N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC000681014817 1072782406 /nfs/dbraw/zinc/78/24/06/1072782406.db2.gz FALNWNJKQQXVOV-UHFFFAOYSA-N 0 0 432.572 -0.456 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)Nc3ccc(NC(=O)CN4CCOCC4)cc3)C2)nn1 ZINC000681026150 1072782392 /nfs/dbraw/zinc/78/23/92/1072782392.db2.gz CQRJRDLXUUKYSE-UHFFFAOYSA-N 0 0 428.453 -0.264 20 0 IBADRN CNC(=O)C1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000681026659 1072782206 /nfs/dbraw/zinc/78/22/06/1072782206.db2.gz YUCPGLNWVISZJS-CYBMUJFWSA-N 0 0 430.508 -0.638 20 0 IBADRN CNC(=O)C1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000681026660 1072782983 /nfs/dbraw/zinc/78/29/83/1072782983.db2.gz YUCPGLNWVISZJS-ZDUSSCGKSA-N 0 0 430.508 -0.638 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000681027428 1072783086 /nfs/dbraw/zinc/78/30/86/1072783086.db2.gz HBBJSWSQYFAXDI-UHFFFAOYSA-N 0 0 429.437 -0.053 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000681027433 1072782877 /nfs/dbraw/zinc/78/28/77/1072782877.db2.gz HDWQQXKNMOZZLT-UHFFFAOYSA-N 0 0 444.535 -0.574 20 0 IBADRN COc1ccc(NC(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1S(=O)(=O)NC1CC1 ZINC000681027778 1072783004 /nfs/dbraw/zinc/78/30/04/1072783004.db2.gz AGHORQAUPWKLQN-UHFFFAOYSA-N 0 0 435.466 -0.085 20 0 IBADRN CCn1c2ccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2oc1=O ZINC000681028201 1072783077 /nfs/dbraw/zinc/78/30/77/1072783077.db2.gz QELZKDMETUBJKK-UHFFFAOYSA-N 0 0 432.524 -0.530 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)Nc3ccc(N4CCN(C(=O)C5CC5)CC4)nc3)C2)nn1 ZINC000681028312 1072782852 /nfs/dbraw/zinc/78/28/52/1072782852.db2.gz SIMMEXJQDLEMPN-UHFFFAOYSA-N 0 0 439.480 -0.081 20 0 IBADRN CN(CCN1CCCS1(=O)=O)S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC000681029060 1072783118 /nfs/dbraw/zinc/78/31/18/1072783118.db2.gz VVTAXAAMAKHUQF-UHFFFAOYSA-N 0 0 429.520 -0.030 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)Nc3ccccc3OCC(=O)N3CCOCC3)C2)nn1 ZINC000681029462 1072783061 /nfs/dbraw/zinc/78/30/61/1072783061.db2.gz CIEWTEHAAKFOPH-UHFFFAOYSA-N 0 0 429.437 -0.297 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CC(n4cc(C(N)=O)nn4)C3)cn2)C[C@H](C)O1 ZINC000681030205 1072782893 /nfs/dbraw/zinc/78/28/93/1072782893.db2.gz LOTMZXBQHUVWHO-RYUDHWBXSA-N 0 0 431.457 -0.702 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC(n4cc(C(N)=O)nn4)C3)cn2)C[C@H](C)O1 ZINC000681030206 1072783040 /nfs/dbraw/zinc/78/30/40/1072783040.db2.gz LOTMZXBQHUVWHO-TXEJJXNPSA-N 0 0 431.457 -0.702 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC(n4cc(C(N)=O)nn4)C3)cn2)C[C@@H](C)O1 ZINC000681030207 1072783033 /nfs/dbraw/zinc/78/30/33/1072783033.db2.gz LOTMZXBQHUVWHO-VXGBXAGGSA-N 0 0 431.457 -0.702 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)CCCN3C(=O)c4ccccc4C3=O)CCN2C1=O ZINC000681030577 1072783127 /nfs/dbraw/zinc/78/31/27/1072783127.db2.gz RDLAHPGVKGJFFM-HNNXBMFYSA-N 0 0 434.474 -0.029 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)CCCN3C(=O)c4ccccc4C3=O)CCN2C1=O ZINC000681030579 1072783067 /nfs/dbraw/zinc/78/30/67/1072783067.db2.gz RDLAHPGVKGJFFM-OAHLLOKOSA-N 0 0 434.474 -0.029 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3c(nc[nH]c3=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000681030669 1072782991 /nfs/dbraw/zinc/78/29/91/1072782991.db2.gz FYTNXOYCSJMTQQ-GOSISDBHSA-N 0 0 433.446 -0.008 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3c(nc[nH]c3=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000681030670 1072782809 /nfs/dbraw/zinc/78/28/09/1072782809.db2.gz FYTNXOYCSJMTQQ-SFHVURJKSA-N 0 0 433.446 -0.008 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1Cl ZINC000681031894 1072782909 /nfs/dbraw/zinc/78/29/09/1072782909.db2.gz PFOCXBHENWYTSC-UHFFFAOYSA-N 0 0 448.871 -0.063 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C3CC3)nn2-c2ncccn2)CC1 ZINC000681043121 1072782969 /nfs/dbraw/zinc/78/29/69/1072782969.db2.gz FGECMUPOARQQTH-UHFFFAOYSA-N 0 0 448.509 -0.571 20 0 IBADRN COc1cc2c(cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1)NC(=O)CC2 ZINC000681044397 1072783046 /nfs/dbraw/zinc/78/30/46/1072783046.db2.gz VPBQPIAQGLSMFA-UHFFFAOYSA-N 0 0 439.494 -0.531 20 0 IBADRN CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)N[C@H]2CC[N@H+](CCN3CCOCC3)C2)c1 ZINC000681047635 1072782928 /nfs/dbraw/zinc/78/29/28/1072782928.db2.gz QCONMYGGWCWCOJ-HNNXBMFYSA-N 0 0 426.539 -0.221 20 0 IBADRN CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)N[C@@H]2CC[N@H+](CCN3CCOCC3)C2)c1 ZINC000681047636 1072783093 /nfs/dbraw/zinc/78/30/93/1072783093.db2.gz QCONMYGGWCWCOJ-OAHLLOKOSA-N 0 0 426.539 -0.221 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cc(C2CC2)nn1-c1ncccn1 ZINC000681049409 1072783546 /nfs/dbraw/zinc/78/35/46/1072783546.db2.gz NPSMHLKHGRANBO-UHFFFAOYSA-N 0 0 433.494 -0.028 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(C4CC4)nn3-c3ncccn3)CCN2C1=O ZINC000681050202 1072783452 /nfs/dbraw/zinc/78/34/52/1072783452.db2.gz SYEAVENQGWKQPT-AWEZNQCLSA-N 0 0 438.448 -0.027 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(C4CC4)nn3-c3ncccn3)CCN2C1=O ZINC000681050215 1072783593 /nfs/dbraw/zinc/78/35/93/1072783593.db2.gz SYEAVENQGWKQPT-CQSZACIVSA-N 0 0 438.448 -0.027 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)n(-c2ncccn2)n1 ZINC000681051699 1072783476 /nfs/dbraw/zinc/78/34/76/1072783476.db2.gz CZQUSSHIABGDRV-UHFFFAOYSA-N 0 0 428.497 -0.043 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCCN(C)S(C)(=O)=O)C2)cc1 ZINC000681053919 1072783600 /nfs/dbraw/zinc/78/36/00/1072783600.db2.gz FXYKLUWBVDOBDS-UHFFFAOYSA-N 0 0 434.540 -0.351 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCCN3CCCS3(=O)=O)C2)cc1 ZINC000681058520 1072783437 /nfs/dbraw/zinc/78/34/37/1072783437.db2.gz ONFWRVRBZNJZKZ-UHFFFAOYSA-N 0 0 446.551 -0.207 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)n1 ZINC000681059934 1072783651 /nfs/dbraw/zinc/78/36/51/1072783651.db2.gz OPYLLJCVYMPJIA-UHFFFAOYSA-N 0 0 429.485 -0.433 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)NCC(F)(F)CO)cc1 ZINC000681060287 1072783739 /nfs/dbraw/zinc/78/37/39/1072783739.db2.gz HWEPDRKKPOOKBL-UHFFFAOYSA-N 0 0 427.452 -0.421 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCC(C)(C)NS(C)(=O)=O)C2)cc1 ZINC000681060664 1072783502 /nfs/dbraw/zinc/78/35/02/1072783502.db2.gz JWDPRRUFPYBAJG-UHFFFAOYSA-N 0 0 434.540 -0.305 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C1 ZINC000681060999 1072783578 /nfs/dbraw/zinc/78/35/78/1072783578.db2.gz JXUKELVDUZWAJM-UHFFFAOYSA-N 0 0 430.509 -0.960 20 0 IBADRN CC(C)(CNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)S(C)(=O)=O ZINC000681063667 1072783614 /nfs/dbraw/zinc/78/36/14/1072783614.db2.gz FWDLNAKNMORUMH-UHFFFAOYSA-N 0 0 447.535 -0.047 20 0 IBADRN O=C(NCc1nnnn1C1CC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000681065915 1072783465 /nfs/dbraw/zinc/78/34/65/1072783465.db2.gz JJVWUNJKLXTXRE-UHFFFAOYSA-N 0 0 435.466 -0.349 20 0 IBADRN C[C@@H](NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@@H]1CN(C)CCO1 ZINC000681066281 1072783555 /nfs/dbraw/zinc/78/35/55/1072783555.db2.gz XGXNOENBKWTJTP-ACJLOTCBSA-N 0 0 440.522 -0.151 20 0 IBADRN C[C@@H](NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@H]1CN(C)CCO1 ZINC000681066287 1072783522 /nfs/dbraw/zinc/78/35/22/1072783522.db2.gz XGXNOENBKWTJTP-FZKQIMNGSA-N 0 0 440.522 -0.151 20 0 IBADRN C[C@H](NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@H]1CN(C)CCO1 ZINC000681066288 1072783763 /nfs/dbraw/zinc/78/37/63/1072783763.db2.gz XGXNOENBKWTJTP-SCLBCKFNSA-N 0 0 440.522 -0.151 20 0 IBADRN C[C@H](NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@@H]1CN(C)CCO1 ZINC000681066289 1072783672 /nfs/dbraw/zinc/78/36/72/1072783672.db2.gz XGXNOENBKWTJTP-UGSOOPFHSA-N 0 0 440.522 -0.151 20 0 IBADRN COC[C@](C)(CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000681079759 1072783707 /nfs/dbraw/zinc/78/37/07/1072783707.db2.gz QQXWXVWEYYKTKY-IBGZPJMESA-N 0 0 427.523 -0.187 20 0 IBADRN COC[C@@](C)(CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000681079760 1072783726 /nfs/dbraw/zinc/78/37/26/1072783726.db2.gz QQXWXVWEYYKTKY-LJQANCHMSA-N 0 0 427.523 -0.187 20 0 IBADRN COC[C@](C)(CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000681079911 1072783488 /nfs/dbraw/zinc/78/34/88/1072783488.db2.gz ZXWPJBGOBHTDLV-IBGZPJMESA-N 0 0 445.513 -0.048 20 0 IBADRN COC[C@@](C)(CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000681079913 1072783660 /nfs/dbraw/zinc/78/36/60/1072783660.db2.gz ZXWPJBGOBHTDLV-LJQANCHMSA-N 0 0 445.513 -0.048 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)n1 ZINC000681086154 1072784309 /nfs/dbraw/zinc/78/43/09/1072784309.db2.gz CMBFPDWQCBHUTD-UHFFFAOYSA-N 0 0 436.494 -0.081 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)n1 ZINC000681086989 1072784102 /nfs/dbraw/zinc/78/41/02/1072784102.db2.gz KUCPMOKATLHWFO-UHFFFAOYSA-N 0 0 435.510 -0.346 20 0 IBADRN O=C(c1nc(N2CCCC2)ncc1Cl)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000681090489 1072784135 /nfs/dbraw/zinc/78/41/35/1072784135.db2.gz GTPBMIVLNKGHAX-UHFFFAOYSA-N 0 0 426.890 -0.005 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000681090827 1072784285 /nfs/dbraw/zinc/78/42/85/1072784285.db2.gz LXZGXEYCAPDUMG-LLVKDONJSA-N 0 0 431.471 -0.361 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000681090828 1072784299 /nfs/dbraw/zinc/78/42/99/1072784299.db2.gz LXZGXEYCAPDUMG-NSHDSACASA-N 0 0 431.471 -0.361 20 0 IBADRN CC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000681101674 1072784259 /nfs/dbraw/zinc/78/42/59/1072784259.db2.gz FTOFWYGSMXIMFN-UHFFFAOYSA-N 0 0 437.522 -0.773 20 0 IBADRN CC(C)[C@H](CNC(=O)CNC(=O)c1ccncc1)NC(=O)CNC(=O)c1ccncc1 ZINC000681120493 1072784276 /nfs/dbraw/zinc/78/42/76/1072784276.db2.gz IGEBZONIRYPIBY-KRWDZBQOSA-N 0 0 426.477 -0.107 20 0 IBADRN CC(C)[C@@H](CNC(=O)CNC(=O)c1ccncc1)NC(=O)CNC(=O)c1ccncc1 ZINC000681120494 1072784189 /nfs/dbraw/zinc/78/41/89/1072784189.db2.gz IGEBZONIRYPIBY-QGZVFWFLSA-N 0 0 426.477 -0.107 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@]3(CCOC3)C2)cc1 ZINC000681123084 1072784243 /nfs/dbraw/zinc/78/42/43/1072784243.db2.gz WZKWLHANKXTPLS-DKSSEZFCSA-N 0 0 426.491 -0.163 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@@]3(CCOC3)C2)cc1 ZINC000681123085 1072784295 /nfs/dbraw/zinc/78/42/95/1072784295.db2.gz WZKWLHANKXTPLS-FNHZYXHNSA-N 0 0 426.491 -0.163 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@]3(CCOC3)C2)cc1 ZINC000681123086 1072784281 /nfs/dbraw/zinc/78/42/81/1072784281.db2.gz WZKWLHANKXTPLS-LJHODMEESA-N 0 0 426.491 -0.163 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@]3(CCOC3)C2)cc1 ZINC000681123087 1072784212 /nfs/dbraw/zinc/78/42/12/1072784212.db2.gz WZKWLHANKXTPLS-UCLAIMLFSA-N 0 0 426.491 -0.163 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)c1ccc(N2CCCC2)nn1)c1ccc(N2CCCC2)nn1 ZINC000681124124 1072784181 /nfs/dbraw/zinc/78/41/81/1072784181.db2.gz GEGJXNZFXLJPSJ-HNNXBMFYSA-N 0 0 440.508 -0.012 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2C[C@H](O)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC000681167371 1072784116 /nfs/dbraw/zinc/78/41/16/1072784116.db2.gz GLZHOWSAXNTPFE-HYVNUMGLSA-N 0 0 427.523 -0.230 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)[C@@H](C)N2C[C@H](O)C[C@H]2CO)c(=O)[nH]c1=O ZINC000681167399 1072784163 /nfs/dbraw/zinc/78/41/63/1072784163.db2.gz SDBFWWFWIPTMSA-HZSPNIEDSA-N 0 0 427.502 -0.873 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)C[C@H]3CO)cc2)C[C@H](C)O1 ZINC000681167404 1072784247 /nfs/dbraw/zinc/78/42/47/1072784247.db2.gz LTPOOZYJYXGZKF-NXNVCVFFSA-N 0 0 427.523 -0.150 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c2ncccc12 ZINC000681169842 1072784202 /nfs/dbraw/zinc/78/42/02/1072784202.db2.gz JOZNJEUQXAGVLK-UHFFFAOYSA-N 0 0 435.506 -0.125 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccnn2-c2cccc(F)c2)CC1 ZINC000681170563 1072784153 /nfs/dbraw/zinc/78/41/53/1072784153.db2.gz SXBMKBDVMRJRIV-UHFFFAOYSA-N 0 0 438.485 -0.357 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCc2nnnn2CC2CC2)CC1 ZINC000681171641 1072784855 /nfs/dbraw/zinc/78/48/55/1072784855.db2.gz NLGAWMUYYCZZNP-UHFFFAOYSA-N 0 0 426.481 -0.274 20 0 IBADRN C/C=C\C[C@H](CO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000681172765 1072784952 /nfs/dbraw/zinc/78/49/52/1072784952.db2.gz OETKRHBYOKBKEE-ABCZVMIZSA-N 0 0 425.511 -0.455 20 0 IBADRN C/C=C/C[C@@H](CO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000681172766 1072784713 /nfs/dbraw/zinc/78/47/13/1072784713.db2.gz OETKRHBYOKBKEE-BWPKMQGJSA-N 0 0 425.511 -0.455 20 0 IBADRN C/C=C\C[C@@H](CO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000681172767 1072784652 /nfs/dbraw/zinc/78/46/52/1072784652.db2.gz OETKRHBYOKBKEE-JZIMQPMSSA-N 0 0 425.511 -0.455 20 0 IBADRN C/C=C/C[C@H](CO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000681172768 1072784756 /nfs/dbraw/zinc/78/47/56/1072784756.db2.gz OETKRHBYOKBKEE-NHZBNJEXSA-N 0 0 425.511 -0.455 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC3(CO)CCCC3)cn2)CC1 ZINC000681172809 1072784938 /nfs/dbraw/zinc/78/49/38/1072784938.db2.gz QMNZBPMQDCVCPE-UHFFFAOYSA-N 0 0 439.538 -0.229 20 0 IBADRN CC(C)(C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)N1C(=O)c2ccccc2C1=O ZINC000681175508 1072784701 /nfs/dbraw/zinc/78/47/01/1072784701.db2.gz UKLCVYRMOYAOKK-UHFFFAOYSA-N 0 0 440.456 -0.119 20 0 IBADRN CC(C)(C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)N1C(=O)c2ccccc2C1=O ZINC000681176002 1072784725 /nfs/dbraw/zinc/78/47/25/1072784725.db2.gz ZHXDPXXOJQUREY-UHFFFAOYSA-N 0 0 442.472 -0.409 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCc3nnnn3CC3CC3)cn2)CC1 ZINC000681176782 1072784641 /nfs/dbraw/zinc/78/46/41/1072784641.db2.gz DQWMRJYHKMYPAL-UHFFFAOYSA-N 0 0 435.514 -0.119 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N[C@H]3CCCN(CCO)C3=O)cn2)CC1 ZINC000681177478 1072784842 /nfs/dbraw/zinc/78/48/42/1072784842.db2.gz NNVVLCBPVLDRGP-HNNXBMFYSA-N 0 0 440.526 -0.732 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N[C@@H]3CCCN(CCO)C3=O)cn2)CC1 ZINC000681177479 1072784779 /nfs/dbraw/zinc/78/47/79/1072784779.db2.gz NNVVLCBPVLDRGP-OAHLLOKOSA-N 0 0 440.526 -0.732 20 0 IBADRN CN1CC(=O)N(Cc2ccc(C(N)=O)cc2NC(=O)NCc2nnnn2CC2CC2)C1=O ZINC000681178176 1072784765 /nfs/dbraw/zinc/78/47/65/1072784765.db2.gz CLHCFIPDDKMXHT-UHFFFAOYSA-N 0 0 441.452 -0.102 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNC(=O)c2ccc(Br)cc2)CC1 ZINC000681180884 1072784808 /nfs/dbraw/zinc/78/48/08/1072784808.db2.gz JADBFMSHNJNGFT-UHFFFAOYSA-N 0 0 447.355 -0.128 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000681184483 1072784827 /nfs/dbraw/zinc/78/48/27/1072784827.db2.gz GPQGUCHCBFYEIW-GFCCVEGCSA-N 0 0 447.517 -0.686 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000681184496 1072784905 /nfs/dbraw/zinc/78/49/05/1072784905.db2.gz GPQGUCHCBFYEIW-LBPRGKRZSA-N 0 0 447.517 -0.686 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2nc(COc3ccccc3)n[nH]2)CC1 ZINC000681185419 1072784792 /nfs/dbraw/zinc/78/47/92/1072784792.db2.gz HHIMBHOFNBMVTE-UHFFFAOYSA-N 0 0 437.526 -0.240 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H](c3ncon3)C2)cc1 ZINC000681199557 1072784888 /nfs/dbraw/zinc/78/48/88/1072784888.db2.gz ALIZEMLLWLHIKK-KCXAZCMYSA-N 0 0 438.462 -0.198 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@H](c3ncon3)C2)cc1 ZINC000681199558 1072785348 /nfs/dbraw/zinc/78/53/48/1072785348.db2.gz ALIZEMLLWLHIKK-RCBQFDQVSA-N 0 0 438.462 -0.198 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H](c3ncon3)C2)cc1 ZINC000681199559 1072785358 /nfs/dbraw/zinc/78/53/58/1072785358.db2.gz ALIZEMLLWLHIKK-UHOFOFEASA-N 0 0 438.462 -0.198 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCO[C@@H](c3ncon3)C2)cc1 ZINC000681199560 1072785494 /nfs/dbraw/zinc/78/54/94/1072785494.db2.gz ALIZEMLLWLHIKK-VBNZEHGJSA-N 0 0 438.462 -0.198 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCc2nnnn2CC2CC2)cc1 ZINC000681202349 1072785431 /nfs/dbraw/zinc/78/54/31/1072785431.db2.gz QDPDAMUALDGMLY-BBRMVZONSA-N 0 0 436.494 -0.468 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCc2nnnn2CC2CC2)cc1 ZINC000681202350 1072785516 /nfs/dbraw/zinc/78/55/16/1072785516.db2.gz QDPDAMUALDGMLY-CJNGLKHVSA-N 0 0 436.494 -0.468 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(c2cc(N3CCC[C@H](CS(N)(=O)=O)C3)ncn2)C1 ZINC000681231245 1072785290 /nfs/dbraw/zinc/78/52/90/1072785290.db2.gz QUXULNKIPGTZJJ-KBPBESRZSA-N 0 0 432.572 -0.514 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(c2cc(N3CCC[C@H](CS(N)(=O)=O)C3)ncn2)C1 ZINC000681231248 1072785522 /nfs/dbraw/zinc/78/55/22/1072785522.db2.gz QUXULNKIPGTZJJ-OKILXGFUSA-N 0 0 432.572 -0.514 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(c2cc(N3CCC[C@@H](CS(N)(=O)=O)C3)ncn2)C1 ZINC000681231252 1072785548 /nfs/dbraw/zinc/78/55/48/1072785548.db2.gz QUXULNKIPGTZJJ-ZIAGYGMSSA-N 0 0 432.572 -0.514 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3cc(N4CCN5C(=O)N(CC)C(=O)[C@@H]5C4)ncn3)CCN2C1=O ZINC000681232891 1072785481 /nfs/dbraw/zinc/78/54/81/1072785481.db2.gz DCZIYSYPUKFNST-KBPBESRZSA-N 0 0 442.480 -0.578 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3cc(N4CCN5C(=O)N(CC)C(=O)[C@@H]5C4)ncn3)CCN2C1=O ZINC000681232892 1072785597 /nfs/dbraw/zinc/78/55/97/1072785597.db2.gz DCZIYSYPUKFNST-OKILXGFUSA-N 0 0 442.480 -0.578 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3cc(N4CCN5C(=O)N(CC)C(=O)[C@H]5C4)ncn3)CCN2C1=O ZINC000681232893 1072785501 /nfs/dbraw/zinc/78/55/01/1072785501.db2.gz DCZIYSYPUKFNST-ZIAGYGMSSA-N 0 0 442.480 -0.578 20 0 IBADRN CN(CCN1CCCS1(=O)=O)c1cc(N(C)CCN2CCCS2(=O)=O)ncn1 ZINC000681233352 1072785319 /nfs/dbraw/zinc/78/53/19/1072785319.db2.gz BUUDKYLGNLQHQW-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000681246489 1073195007 /nfs/dbraw/zinc/19/50/07/1073195007.db2.gz SQPSKGBCEYNPKG-UHFFFAOYSA-N 0 0 444.579 -0.134 20 0 IBADRN CN1CC[C@@H](C(=O)N2CCN(c3ncccc3CNC(=O)[C@@H]3CCN(C)C3=O)CC2)C1=O ZINC000681270730 1072785619 /nfs/dbraw/zinc/78/56/19/1072785619.db2.gz BAAIYDHBFIPHBZ-DLBZAZTESA-N 0 0 442.520 -0.697 20 0 IBADRN CN1CC[C@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@@H]3CCN(C)C3=O)CC2)C1=O ZINC000681270736 1072785278 /nfs/dbraw/zinc/78/52/78/1072785278.db2.gz BAAIYDHBFIPHBZ-IAGOWNOFSA-N 0 0 442.520 -0.697 20 0 IBADRN CN1CC[C@@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@H]3CCN(C)C3=O)CC2)C1=O ZINC000681270739 1072785234 /nfs/dbraw/zinc/78/52/34/1072785234.db2.gz BAAIYDHBFIPHBZ-IRXDYDNUSA-N 0 0 442.520 -0.697 20 0 IBADRN CN1CC[C@H](C(=O)NCc2cccnc2N2CCN(C(=O)[C@H]3CCN(C)C3=O)CC2)C1=O ZINC000681270742 1072785542 /nfs/dbraw/zinc/78/55/42/1072785542.db2.gz BAAIYDHBFIPHBZ-SJORKVTESA-N 0 0 442.520 -0.697 20 0 IBADRN CN1CC[C@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@H]3CCN(C)C3=O)CC2)C1=O ZINC000681276714 1072786070 /nfs/dbraw/zinc/78/60/70/1072786070.db2.gz VTJSINXKFKCTSC-MSOLQXFVSA-N 0 0 441.532 -0.092 20 0 IBADRN CN1CC[C@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@@H]3CCN(C)C3=O)CC2)C1=O ZINC000681276715 1072786076 /nfs/dbraw/zinc/78/60/76/1072786076.db2.gz VTJSINXKFKCTSC-QZTJIDSGSA-N 0 0 441.532 -0.092 20 0 IBADRN CN1CC[C@@H](C(=O)NCc2ccccc2N2CCN(C(=O)[C@H]3CCN(C)C3=O)CC2)C1=O ZINC000681276716 1072786084 /nfs/dbraw/zinc/78/60/84/1072786084.db2.gz VTJSINXKFKCTSC-ROUUACIJSA-N 0 0 441.532 -0.092 20 0 IBADRN CN1CC[C@@H](C(=O)N2CCN(c3ccccc3CNC(=O)[C@@H]3CCN(C)C3=O)CC2)C1=O ZINC000681276718 1072786039 /nfs/dbraw/zinc/78/60/39/1072786039.db2.gz VTJSINXKFKCTSC-ZWKOTPCHSA-N 0 0 441.532 -0.092 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n(-c2ccc(C)nn2)n1 ZINC000681300352 1072786099 /nfs/dbraw/zinc/78/60/99/1072786099.db2.gz UNFXTERWSZVENW-UHFFFAOYSA-N 0 0 436.498 -0.832 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCS(=O)(=O)c3ccccc3C2)CC1 ZINC000681304646 1072785944 /nfs/dbraw/zinc/78/59/44/1072785944.db2.gz SBLCOGUPZHXRDB-UHFFFAOYSA-N 0 0 430.552 -0.437 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2cccc(N3CCOCC3)n2)CC1 ZINC000681304651 1072785962 /nfs/dbraw/zinc/78/59/62/1072785962.db2.gz SDBNZRHXJSTDCI-UHFFFAOYSA-N 0 0 426.543 -0.705 20 0 IBADRN CCCCOc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000681312202 1072786028 /nfs/dbraw/zinc/78/60/28/1072786028.db2.gz YDJKQWFLFFTXFD-UHFFFAOYSA-N 0 0 427.527 -0.108 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(C)nn3-c3ccc(C)nn3)CCN2C1=O ZINC000681322920 1072785977 /nfs/dbraw/zinc/78/59/77/1072785977.db2.gz LVSVCYDDMHVGMQ-CYBMUJFWSA-N 0 0 426.437 -0.287 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(C)nn3-c3ccc(C)nn3)CCN2C1=O ZINC000681322922 1072786119 /nfs/dbraw/zinc/78/61/19/1072786119.db2.gz LVSVCYDDMHVGMQ-ZDUSSCGKSA-N 0 0 426.437 -0.287 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NC(CO)CO ZINC000681337328 1072785998 /nfs/dbraw/zinc/78/59/98/1072785998.db2.gz WMPWKEHNQIXWCI-GFCCVEGCSA-N 0 0 427.401 -0.913 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NC(CO)CO ZINC000681337329 1072786018 /nfs/dbraw/zinc/78/60/18/1072786018.db2.gz WMPWKEHNQIXWCI-LBPRGKRZSA-N 0 0 427.401 -0.913 20 0 IBADRN COCC1=CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000681343058 1072786151 /nfs/dbraw/zinc/78/61/51/1072786151.db2.gz HVBOECYWBLZMAK-UHFFFAOYSA-N 0 0 437.522 -0.093 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCC(=O)NCc1ccccn1 ZINC000681352657 1072786063 /nfs/dbraw/zinc/78/60/63/1072786063.db2.gz XNBBNJNLIXYJTN-UHFFFAOYSA-N 0 0 435.506 -0.123 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000681384796 1072786048 /nfs/dbraw/zinc/78/60/48/1072786048.db2.gz IJNZCAWZDPRLJB-KRWDZBQOSA-N 0 0 440.522 -0.029 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000681384801 1072786092 /nfs/dbraw/zinc/78/60/92/1072786092.db2.gz IJNZCAWZDPRLJB-QGZVFWFLSA-N 0 0 440.522 -0.029 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)C1=O ZINC000681392977 1072786625 /nfs/dbraw/zinc/78/66/25/1072786625.db2.gz SJSJXGJITPYASJ-UHFFFAOYSA-N 0 0 427.425 -0.552 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccccc2CN2C(=O)CCC2=O)CC1 ZINC000681398116 1073195467 /nfs/dbraw/zinc/19/54/67/1073195467.db2.gz CZHAYXZRQIRZJL-UHFFFAOYSA-N 0 0 426.437 -0.302 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCCNC(=O)c3ccn[nH]3)cn2)CC1 ZINC000681405274 1072786560 /nfs/dbraw/zinc/78/65/60/1072786560.db2.gz IAYSWTNVAVUSHU-UHFFFAOYSA-N 0 0 436.498 -0.562 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000681407003 1072786577 /nfs/dbraw/zinc/78/65/77/1072786577.db2.gz KPIUCKFTIIZWQG-AWEZNQCLSA-N 0 0 435.524 -0.375 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000681407004 1072786440 /nfs/dbraw/zinc/78/64/40/1072786440.db2.gz KPIUCKFTIIZWQG-CQSZACIVSA-N 0 0 435.524 -0.375 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(CCO)[C@H]3CCS(=O)(=O)C3)cn2)C[C@@H](C)O1 ZINC000681409366 1072786544 /nfs/dbraw/zinc/78/65/44/1072786544.db2.gz NBQJHYFDSFTSJV-FMKPAKJESA-N 0 0 443.526 -0.468 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(CCO)[C@@H]3CCS(=O)(=O)C3)cn2)C[C@@H](C)O1 ZINC000681409367 1072786656 /nfs/dbraw/zinc/78/66/56/1072786656.db2.gz NBQJHYFDSFTSJV-IIAWOOMASA-N 0 0 443.526 -0.468 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(CCO)[C@@H]3CCS(=O)(=O)C3)cn2)C[C@H](C)O1 ZINC000681409368 1072786589 /nfs/dbraw/zinc/78/65/89/1072786589.db2.gz NBQJHYFDSFTSJV-IJEWVQPXSA-N 0 0 443.526 -0.468 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N(CCO)[C@H]3CCS(=O)(=O)C3)cn2)C[C@H](C)O1 ZINC000681409369 1072786675 /nfs/dbraw/zinc/78/66/75/1072786675.db2.gz NBQJHYFDSFTSJV-LZWOXQAQSA-N 0 0 443.526 -0.468 20 0 IBADRN O=C(COc1ccccc1NC(=O)N(CCO)[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000681410652 1072786426 /nfs/dbraw/zinc/78/64/26/1072786426.db2.gz SEBATYZWXRUJLC-HNNXBMFYSA-N 0 0 441.506 -0.063 20 0 IBADRN O=C(COc1ccccc1NC(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000681410653 1072786599 /nfs/dbraw/zinc/78/65/99/1072786599.db2.gz SEBATYZWXRUJLC-OAHLLOKOSA-N 0 0 441.506 -0.063 20 0 IBADRN CS(=O)(=O)Cc1cc(F)ccc1NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000681410981 1072786482 /nfs/dbraw/zinc/78/64/82/1072786482.db2.gz ZGTIRAOEYBNYCM-UHFFFAOYSA-N 0 0 425.446 -0.267 20 0 IBADRN COc1cc(NC(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)ccc1S(=O)(=O)N(C)C ZINC000681417209 1072786471 /nfs/dbraw/zinc/78/64/71/1072786471.db2.gz XRGRHVSPUFXAFS-CYBMUJFWSA-N 0 0 435.524 -0.041 20 0 IBADRN COc1cc(NC(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)ccc1S(=O)(=O)N(C)C ZINC000681417210 1072786530 /nfs/dbraw/zinc/78/65/30/1072786530.db2.gz XRGRHVSPUFXAFS-ZDUSSCGKSA-N 0 0 435.524 -0.041 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC000681435118 1072786633 /nfs/dbraw/zinc/78/66/33/1072786633.db2.gz NPOKCCMBDKFJPY-INIZCTEOSA-N 0 0 430.465 -0.361 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)CC1 ZINC000681435121 1072786611 /nfs/dbraw/zinc/78/66/11/1072786611.db2.gz NPOKCCMBDKFJPY-MRXNPFEDSA-N 0 0 430.465 -0.361 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)Nc3ccc(C[C@@H]4NC(=O)NC4=O)cc3)CC2)c1=O ZINC000681435441 1072786495 /nfs/dbraw/zinc/78/64/95/1072786495.db2.gz NYTIGVHCIFTMAW-HNNXBMFYSA-N 0 0 425.449 -0.115 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)Nc3ccc(C[C@H]4NC(=O)NC4=O)cc3)CC2)c1=O ZINC000681435442 1072786666 /nfs/dbraw/zinc/78/66/66/1072786666.db2.gz NYTIGVHCIFTMAW-OAHLLOKOSA-N 0 0 425.449 -0.115 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000681484491 1072786644 /nfs/dbraw/zinc/78/66/44/1072786644.db2.gz HUEFIEZIJSGLPR-DZGCQCFKSA-N 0 0 427.548 -0.245 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000681484492 1072786682 /nfs/dbraw/zinc/78/66/82/1072786682.db2.gz HUEFIEZIJSGLPR-HIFRSBDPSA-N 0 0 427.548 -0.245 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000681484493 1072786449 /nfs/dbraw/zinc/78/64/49/1072786449.db2.gz HUEFIEZIJSGLPR-UKRRQHHQSA-N 0 0 427.548 -0.245 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000681484494 1072787108 /nfs/dbraw/zinc/78/71/08/1072787108.db2.gz HUEFIEZIJSGLPR-ZFWWWQNUSA-N 0 0 427.548 -0.245 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC000681498769 1072787188 /nfs/dbraw/zinc/78/71/88/1072787188.db2.gz CTCRORFTHSBSEN-AWEZNQCLSA-N 0 0 428.515 -0.845 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000681498774 1072787097 /nfs/dbraw/zinc/78/70/97/1072787097.db2.gz CTCRORFTHSBSEN-CQSZACIVSA-N 0 0 428.515 -0.845 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC000681498895 1072787081 /nfs/dbraw/zinc/78/70/81/1072787081.db2.gz DYAZQZLESHOSKO-GFCCVEGCSA-N 0 0 428.496 -0.262 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC000681498896 1072787057 /nfs/dbraw/zinc/78/70/57/1072787057.db2.gz DYAZQZLESHOSKO-LBPRGKRZSA-N 0 0 428.496 -0.262 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)CC1)N1CCOCC1 ZINC000681499828 1072787258 /nfs/dbraw/zinc/78/72/58/1072787258.db2.gz NPKIWVFLAMXCPN-HNNXBMFYSA-N 0 0 440.526 -0.749 20 0 IBADRN O=C(CN1CCN(C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)CC1)N1CCOCC1 ZINC000681499833 1072787221 /nfs/dbraw/zinc/78/72/21/1072787221.db2.gz NPKIWVFLAMXCPN-OAHLLOKOSA-N 0 0 440.526 -0.749 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000681500084 1072787154 /nfs/dbraw/zinc/78/71/54/1072787154.db2.gz OTOKOSRKTUGRSS-CYBMUJFWSA-N 0 0 426.499 -0.557 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC000681500090 1072787199 /nfs/dbraw/zinc/78/71/99/1072787199.db2.gz OTOKOSRKTUGRSS-ZDUSSCGKSA-N 0 0 426.499 -0.557 20 0 IBADRN CC(C)(CNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)NS(C)(=O)=O ZINC000681502113 1072787244 /nfs/dbraw/zinc/78/72/44/1072787244.db2.gz WJZWAWNHNFDKFH-UHFFFAOYSA-N 0 0 435.528 -0.448 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000681502343 1072787138 /nfs/dbraw/zinc/78/71/38/1072787138.db2.gz YTKKKCONQLDYMV-CYBMUJFWSA-N 0 0 448.523 -0.884 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000681502344 1072787266 /nfs/dbraw/zinc/78/72/66/1072787266.db2.gz YTKKKCONQLDYMV-ZDUSSCGKSA-N 0 0 448.523 -0.884 20 0 IBADRN O=C(Nc1ccnn1[C@H]1CCS(=O)(=O)C1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000681502941 1072787210 /nfs/dbraw/zinc/78/72/10/1072787210.db2.gz DMPXYJRXXNDSRU-AWEZNQCLSA-N 0 0 438.510 -0.459 20 0 IBADRN O=C(Nc1ccnn1[C@@H]1CCS(=O)(=O)C1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000681502944 1072787088 /nfs/dbraw/zinc/78/70/88/1072787088.db2.gz DMPXYJRXXNDSRU-CQSZACIVSA-N 0 0 438.510 -0.459 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC000681503541 1072787118 /nfs/dbraw/zinc/78/71/18/1072787118.db2.gz AMNODDJAWRMGRV-AWEZNQCLSA-N 0 0 427.483 -0.529 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC000681503542 1072787272 /nfs/dbraw/zinc/78/72/72/1072787272.db2.gz AMNODDJAWRMGRV-CQSZACIVSA-N 0 0 427.483 -0.529 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000681503551 1072787072 /nfs/dbraw/zinc/78/70/72/1072787072.db2.gz ATFDUNGZTKTKHY-UHFFFAOYSA-N 0 0 447.539 -0.398 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000681504255 1072787038 /nfs/dbraw/zinc/78/70/38/1072787038.db2.gz DHSMYFJLWXHQQP-CYBMUJFWSA-N 0 0 447.539 -0.352 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC000681504256 1072787236 /nfs/dbraw/zinc/78/72/36/1072787236.db2.gz DHSMYFJLWXHQQP-ZDUSSCGKSA-N 0 0 447.539 -0.352 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000681504281 1072787129 /nfs/dbraw/zinc/78/71/29/1072787129.db2.gz DVTKWDRHWJRHFV-UHFFFAOYSA-N 0 0 447.539 -0.350 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000681504935 1072787508 /nfs/dbraw/zinc/78/75/08/1072787508.db2.gz KGUNKDTXNSRBPP-UHFFFAOYSA-N 0 0 440.526 -0.660 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)Nc1ccc(S(=O)(=O)N3CCOCC3)cn1)CC2 ZINC000681505372 1072787736 /nfs/dbraw/zinc/78/77/36/1072787736.db2.gz UASOSTPZGMOZFE-UHFFFAOYSA-N 0 0 434.478 -0.214 20 0 IBADRN CN(CCCNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)S(C)(=O)=O ZINC000681505397 1072787671 /nfs/dbraw/zinc/78/76/71/1072787671.db2.gz UUWJOGMIOYQTNN-UHFFFAOYSA-N 0 0 435.528 -0.495 20 0 IBADRN COCC(=O)NC1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC000681505520 1072787649 /nfs/dbraw/zinc/78/76/49/1072787649.db2.gz YFKPHVPPDAQASF-UHFFFAOYSA-N 0 0 441.510 -0.139 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC000681506110 1072787566 /nfs/dbraw/zinc/78/75/66/1072787566.db2.gz QCIYMJWYGIBIIX-KBPBESRZSA-N 0 0 449.555 -0.147 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC000681506113 1072787661 /nfs/dbraw/zinc/78/76/61/1072787661.db2.gz QCIYMJWYGIBIIX-KGLIPLIRSA-N 0 0 449.555 -0.147 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC000681506115 1072787521 /nfs/dbraw/zinc/78/75/21/1072787521.db2.gz QCIYMJWYGIBIIX-UONOGXRCSA-N 0 0 449.555 -0.147 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC000681506116 1072787679 /nfs/dbraw/zinc/78/76/79/1072787679.db2.gz QCIYMJWYGIBIIX-ZIAGYGMSSA-N 0 0 449.555 -0.147 20 0 IBADRN CCn1nccc1C(=O)NCCS(=O)(=O)N1CCN(C(=O)c2ccnn2CC)CC1 ZINC000681513713 1072787605 /nfs/dbraw/zinc/78/76/05/1072787605.db2.gz MNUQKYIUYWLMPN-UHFFFAOYSA-N 0 0 437.526 -0.363 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H](n2cncn2)C1 ZINC000681596859 1072787999 /nfs/dbraw/zinc/78/79/99/1072787999.db2.gz VBKDWUDCAXAWTH-AWEZNQCLSA-N 0 0 427.508 -0.065 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@@H](n2cncn2)C1 ZINC000681596860 1072788155 /nfs/dbraw/zinc/78/81/55/1072788155.db2.gz VBKDWUDCAXAWTH-CQSZACIVSA-N 0 0 427.508 -0.065 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@H](n2cncn2)C1 ZINC000681602333 1072788122 /nfs/dbraw/zinc/78/81/22/1072788122.db2.gz QYNUVPXBPCPFMH-INIZCTEOSA-N 0 0 434.526 -0.219 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@@H](n2cncn2)C1 ZINC000681602340 1072788103 /nfs/dbraw/zinc/78/81/03/1072788103.db2.gz QYNUVPXBPCPFMH-MRXNPFEDSA-N 0 0 434.526 -0.219 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@H](n3cncn3)C2)c(=O)[nH]c1=O ZINC000681602987 1072788292 /nfs/dbraw/zinc/78/82/92/1072788292.db2.gz JCVKLELDDFLKBW-AWEZNQCLSA-N 0 0 434.501 -0.151 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CC[C@@H](n3cncn3)C2)c(=O)[nH]c1=O ZINC000681602988 1072788040 /nfs/dbraw/zinc/78/80/40/1072788040.db2.gz JCVKLELDDFLKBW-CQSZACIVSA-N 0 0 434.501 -0.151 20 0 IBADRN O=C(CN1CC[C@H](n2cncn2)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000681603612 1072788014 /nfs/dbraw/zinc/78/80/14/1072788014.db2.gz NIFAPIWTGJAZSW-KRWDZBQOSA-N 0 0 434.522 -0.138 20 0 IBADRN O=C(CN1CC[C@@H](n2cncn2)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000681603613 1072788200 /nfs/dbraw/zinc/78/82/00/1072788200.db2.gz NIFAPIWTGJAZSW-QGZVFWFLSA-N 0 0 434.522 -0.138 20 0 IBADRN O=C(CN1CC[C@H](n2cncn2)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000681605896 1072788175 /nfs/dbraw/zinc/78/81/75/1072788175.db2.gz JCFXBOZSHZAARQ-KRWDZBQOSA-N 0 0 434.522 -0.138 20 0 IBADRN O=C(CN1CC[C@@H](n2cncn2)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000681605897 1072788222 /nfs/dbraw/zinc/78/82/22/1072788222.db2.gz JCFXBOZSHZAARQ-QGZVFWFLSA-N 0 0 434.522 -0.138 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000681616764 1072788284 /nfs/dbraw/zinc/78/82/84/1072788284.db2.gz WFWVZJIFLDSLNZ-DOTOQJQBSA-N 0 0 431.511 -0.404 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000681616766 1072788165 /nfs/dbraw/zinc/78/81/65/1072788165.db2.gz WFWVZJIFLDSLNZ-NVXWUHKLSA-N 0 0 431.511 -0.404 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000681616768 1072788279 /nfs/dbraw/zinc/78/82/79/1072788279.db2.gz WFWVZJIFLDSLNZ-RDJZCZTQSA-N 0 0 431.511 -0.404 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000681616771 1072788274 /nfs/dbraw/zinc/78/82/74/1072788274.db2.gz WFWVZJIFLDSLNZ-WBVHZDCISA-N 0 0 431.511 -0.404 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@H](C4CC4)C3)cn2)CC1 ZINC000681623516 1072788782 /nfs/dbraw/zinc/78/87/82/1072788782.db2.gz MHGCZZITTGLKLZ-INIZCTEOSA-N 0 0 437.522 -0.261 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@@H](C4CC4)C3)cn2)CC1 ZINC000681623517 1072788827 /nfs/dbraw/zinc/78/88/27/1072788827.db2.gz MHGCZZITTGLKLZ-MRXNPFEDSA-N 0 0 437.522 -0.261 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000681624301 1072788711 /nfs/dbraw/zinc/78/87/11/1072788711.db2.gz PRENAWXHDFGRFH-MOPGFXCFSA-N 0 0 448.520 -0.051 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000681624302 1072788754 /nfs/dbraw/zinc/78/87/54/1072788754.db2.gz PRENAWXHDFGRFH-OALUTQOASA-N 0 0 448.520 -0.051 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000681624303 1072788735 /nfs/dbraw/zinc/78/87/35/1072788735.db2.gz PRENAWXHDFGRFH-RBUKOAKNSA-N 0 0 448.520 -0.051 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000681624304 1072788648 /nfs/dbraw/zinc/78/86/48/1072788648.db2.gz PRENAWXHDFGRFH-RTBURBONSA-N 0 0 448.520 -0.051 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CC[C@H](n2cncn2)C1)N1CCOCC1 ZINC000681624593 1072788800 /nfs/dbraw/zinc/78/88/00/1072788800.db2.gz BCUCIXXAOLUPMI-HNNXBMFYSA-N 0 0 428.449 -0.072 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CC[C@@H](n2cncn2)C1)N1CCOCC1 ZINC000681624594 1072788701 /nfs/dbraw/zinc/78/87/01/1072788701.db2.gz BCUCIXXAOLUPMI-OAHLLOKOSA-N 0 0 428.449 -0.072 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@H](n4cncn4)C3)c2)CC1 ZINC000681625199 1072788631 /nfs/dbraw/zinc/78/86/31/1072788631.db2.gz ONQMMCVBSZUJJR-INIZCTEOSA-N 0 0 447.521 -0.374 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC[C@@H](n4cncn4)C3)c2)CC1 ZINC000681625200 1072788810 /nfs/dbraw/zinc/78/88/10/1072788810.db2.gz ONQMMCVBSZUJJR-MRXNPFEDSA-N 0 0 447.521 -0.374 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC[C@@H](n2cncn2)C1 ZINC000681625945 1072788845 /nfs/dbraw/zinc/78/88/45/1072788845.db2.gz DWLKGDTXSWUEHU-GOSISDBHSA-N 0 0 441.492 -0.248 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC[C@H](n2cncn2)C1 ZINC000681625948 1072788606 /nfs/dbraw/zinc/78/86/06/1072788606.db2.gz DWLKGDTXSWUEHU-SFHVURJKSA-N 0 0 441.492 -0.248 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC[C@H](n2cncn2)C1 ZINC000681625954 1072788792 /nfs/dbraw/zinc/78/87/92/1072788792.db2.gz DQLBELBRTDPOSI-HNNXBMFYSA-N 0 0 434.478 -0.289 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC[C@@H](n2cncn2)C1 ZINC000681625956 1072788852 /nfs/dbraw/zinc/78/88/52/1072788852.db2.gz DQLBELBRTDPOSI-OAHLLOKOSA-N 0 0 434.478 -0.289 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](n4cncn4)C3)cc2)CC1 ZINC000681625967 1072788772 /nfs/dbraw/zinc/78/87/72/1072788772.db2.gz FMYHTPCOUDFKLW-INIZCTEOSA-N 0 0 447.521 -0.374 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](n4cncn4)C3)cc2)CC1 ZINC000681625968 1072788688 /nfs/dbraw/zinc/78/86/88/1072788688.db2.gz FMYHTPCOUDFKLW-MRXNPFEDSA-N 0 0 447.521 -0.374 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC[C@@H](n2cncn2)C1 ZINC000681626183 1072788620 /nfs/dbraw/zinc/78/86/20/1072788620.db2.gz IGIBGOHMRPDHIO-CRAIPNDOSA-N 0 0 440.460 -0.296 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC[C@H](n2cncn2)C1 ZINC000681626184 1072788817 /nfs/dbraw/zinc/78/88/17/1072788817.db2.gz IGIBGOHMRPDHIO-MAUKXSAKSA-N 0 0 440.460 -0.296 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC[C@@H](n2cncn2)C1 ZINC000681626185 1072788679 /nfs/dbraw/zinc/78/86/79/1072788679.db2.gz IGIBGOHMRPDHIO-QAPCUYQASA-N 0 0 440.460 -0.296 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC[C@H](n2cncn2)C1 ZINC000681626186 1072788835 /nfs/dbraw/zinc/78/88/35/1072788835.db2.gz IGIBGOHMRPDHIO-YJBOKZPZSA-N 0 0 440.460 -0.296 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC[C@H](n2cncn2)C1 ZINC000681626316 1072789188 /nfs/dbraw/zinc/78/91/88/1072789188.db2.gz NLUZVHOYDKBKET-HNNXBMFYSA-N 0 0 434.478 -0.289 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC[C@@H](n2cncn2)C1 ZINC000681626317 1072789166 /nfs/dbraw/zinc/78/91/66/1072789166.db2.gz NLUZVHOYDKBKET-OAHLLOKOSA-N 0 0 434.478 -0.289 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CC[C@H](n3cncn3)C2)cc1 ZINC000681626976 1072789372 /nfs/dbraw/zinc/78/93/72/1072789372.db2.gz UARNSPBQUZAPFK-KRWDZBQOSA-N 0 0 427.465 -0.039 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CC[C@@H](n3cncn3)C2)cc1 ZINC000681626977 1072789429 /nfs/dbraw/zinc/78/94/29/1072789429.db2.gz UARNSPBQUZAPFK-QGZVFWFLSA-N 0 0 427.465 -0.039 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC(NC(=O)C3CCC3)C2)cc1 ZINC000681639299 1073196318 /nfs/dbraw/zinc/19/63/18/1073196318.db2.gz LNSDHKVDSKPOFE-QAPCUYQASA-N 0 0 437.518 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC(NC(=O)C3CCC3)C2)cc1 ZINC000681639300 1073196417 /nfs/dbraw/zinc/19/64/17/1073196417.db2.gz LNSDHKVDSKPOFE-YJBOKZPZSA-N 0 0 437.518 -0.054 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CC[C@@H](C(=O)NCC(F)(F)F)C2)CC1 ZINC000681646482 1072789171 /nfs/dbraw/zinc/78/91/71/1072789171.db2.gz LRISYWUGHVJJQB-GFCCVEGCSA-N 0 0 429.465 -0.726 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CC[C@H](C(=O)NCC(F)(F)F)C2)CC1 ZINC000681646489 1072789234 /nfs/dbraw/zinc/78/92/34/1072789234.db2.gz LRISYWUGHVJJQB-LBPRGKRZSA-N 0 0 429.465 -0.726 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)CC1 ZINC000681646946 1073195970 /nfs/dbraw/zinc/19/59/70/1073195970.db2.gz OXQILLDETIHTPV-UHFFFAOYSA-N 0 0 425.559 -0.304 20 0 IBADRN Cc1nc(N2CCN(CC(=O)N(C)C)CC2)c(N2CCN(CC(=O)N(C)C)CC2)nc1C ZINC000681664129 1072789179 /nfs/dbraw/zinc/78/91/79/1072789179.db2.gz CELMEFQXLKEYEH-UHFFFAOYSA-N 0 0 446.600 -0.486 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(OC)c1 ZINC000681681366 1072789328 /nfs/dbraw/zinc/78/93/28/1072789328.db2.gz DFBQKGWVNSEKOH-UHFFFAOYSA-N 0 0 437.540 -0.432 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1 ZINC000681686216 1072789245 /nfs/dbraw/zinc/78/92/45/1072789245.db2.gz SEKDGYWOSCQAOT-UHFFFAOYSA-N 0 0 426.314 -0.282 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC000681686689 1072789278 /nfs/dbraw/zinc/78/92/78/1072789278.db2.gz IOOKSVWDFNVNOH-GFCCVEGCSA-N 0 0 430.508 -0.358 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC000681686690 1072789289 /nfs/dbraw/zinc/78/92/89/1072789289.db2.gz IOOKSVWDFNVNOH-LBPRGKRZSA-N 0 0 430.508 -0.358 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000681687978 1072789418 /nfs/dbraw/zinc/78/94/18/1072789418.db2.gz VDNPNHNDCXLXGK-PXAZEXFGSA-N 0 0 444.535 -0.016 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O ZINC000681687993 1072789299 /nfs/dbraw/zinc/78/92/99/1072789299.db2.gz VDNPNHNDCXLXGK-SJCJKPOMSA-N 0 0 444.535 -0.016 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000681687995 1072789439 /nfs/dbraw/zinc/78/94/39/1072789439.db2.gz VDNPNHNDCXLXGK-SJKOYZFVSA-N 0 0 444.535 -0.016 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@H]1CCC[C@@]12NC(=O)N(C)C2=O ZINC000681687997 1072789264 /nfs/dbraw/zinc/78/92/64/1072789264.db2.gz VDNPNHNDCXLXGK-YVEFUNNKSA-N 0 0 444.535 -0.016 20 0 IBADRN O=C(CN1CC[C@]2(C1)C(=O)Nc1ccccc12)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000681701846 1072789212 /nfs/dbraw/zinc/78/92/12/1072789212.db2.gz IMNJTJLOHZBQSI-HRAATJIYSA-N 0 0 432.546 -0.087 20 0 IBADRN O=C(CN1CC[C@@]2(C1)C(=O)Nc1ccccc12)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000681701847 1072789393 /nfs/dbraw/zinc/78/93/93/1072789393.db2.gz IMNJTJLOHZBQSI-IERDGZPVSA-N 0 0 432.546 -0.087 20 0 IBADRN O=C(CN1CC[C@]2(C1)C(=O)Nc1ccccc12)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000681701848 1072789345 /nfs/dbraw/zinc/78/93/45/1072789345.db2.gz IMNJTJLOHZBQSI-IIBYNOLFSA-N 0 0 432.546 -0.087 20 0 IBADRN O=C(CN1CC[C@@]2(C1)C(=O)Nc1ccccc12)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000681701849 1072789193 /nfs/dbraw/zinc/78/91/93/1072789193.db2.gz IMNJTJLOHZBQSI-KKSFZXQISA-N 0 0 432.546 -0.087 20 0 IBADRN COCC(=O)NC1CCN(C(=O)C(=O)Nc2ccc3c(c2)CCN3C(=O)COC)CC1 ZINC000681712580 1072789308 /nfs/dbraw/zinc/78/93/08/1072789308.db2.gz BWMDFTGTXTXZLF-UHFFFAOYSA-N 0 0 432.477 -0.086 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)ccc21 ZINC000681713069 1072789811 /nfs/dbraw/zinc/78/98/11/1072789811.db2.gz AWPIEDVFYXZWPH-UHFFFAOYSA-N 0 0 440.522 -0.052 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1)S(C)(=O)=O ZINC000681714565 1072789905 /nfs/dbraw/zinc/78/99/05/1072789905.db2.gz PJPPDXDKAOPECF-UHFFFAOYSA-N 0 0 444.485 -0.366 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)ccc21 ZINC000681715185 1072789938 /nfs/dbraw/zinc/78/99/38/1072789938.db2.gz YTRQFNAZROITCZ-UHFFFAOYSA-N 0 0 426.495 -0.442 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000681715286 1072789882 /nfs/dbraw/zinc/78/98/82/1072789882.db2.gz RAWODLXMJZSDAQ-UHFFFAOYSA-N 0 0 427.458 -0.401 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccn1 ZINC000681715415 1072789868 /nfs/dbraw/zinc/78/98/68/1072789868.db2.gz UENLDHQPPAHQNY-UHFFFAOYSA-N 0 0 433.465 -0.663 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCC(NS(C)(=O)=O)CC3)ccc21 ZINC000681715537 1072789954 /nfs/dbraw/zinc/78/99/54/1072789954.db2.gz YLRMLTXELATWNU-UHFFFAOYSA-N 0 0 438.506 -0.299 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCC[C@H](NS(C)(=O)=O)C3)ccc21 ZINC000681715552 1072789792 /nfs/dbraw/zinc/78/97/92/1072789792.db2.gz ZOEYMOIEBQVOIS-HNNXBMFYSA-N 0 0 438.506 -0.299 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCC[C@@H](NS(C)(=O)=O)C3)ccc21 ZINC000681715553 1072789915 /nfs/dbraw/zinc/78/99/15/1072789915.db2.gz ZOEYMOIEBQVOIS-OAHLLOKOSA-N 0 0 438.506 -0.299 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccn1 ZINC000681715676 1072789891 /nfs/dbraw/zinc/78/98/91/1072789891.db2.gz AKLOAQSKZGUKTR-UHFFFAOYSA-N 0 0 441.510 -0.472 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccn1 ZINC000681715979 1072789844 /nfs/dbraw/zinc/78/98/44/1072789844.db2.gz KWQRLJDPDPXQLD-UHFFFAOYSA-N 0 0 431.449 -0.373 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cc3c(cc2F)NC(=O)CC3)CC1 ZINC000681717721 1072789856 /nfs/dbraw/zinc/78/98/56/1072789856.db2.gz FXGNOCNVYRRGTO-UHFFFAOYSA-N 0 0 441.485 -0.658 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1)NS(C)(=O)=O ZINC000681718576 1072789833 /nfs/dbraw/zinc/78/98/33/1072789833.db2.gz FRZONQBWZAZEAA-UHFFFAOYSA-N 0 0 444.485 -0.319 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)ccc21 ZINC000681719237 1072789803 /nfs/dbraw/zinc/78/98/03/1072789803.db2.gz IPJIKGMTNLBRFH-UHFFFAOYSA-N 0 0 440.522 -0.005 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N(C)CCN3CCCS3(=O)=O)ccc21 ZINC000681719506 1072789923 /nfs/dbraw/zinc/78/99/23/1072789923.db2.gz LEYCYRANNJOWIM-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCCCN3CCCS3(=O)=O)ccc21 ZINC000681721402 1072789823 /nfs/dbraw/zinc/78/98/23/1072789823.db2.gz XNDRGJKFGGRTFP-UHFFFAOYSA-N 0 0 438.506 -0.298 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)ccc21 ZINC000681721657 1072789778 /nfs/dbraw/zinc/78/97/78/1072789778.db2.gz XYOABRBHIRFXAB-UHFFFAOYSA-N 0 0 426.495 -0.395 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc4c(c3)CCN4C(=O)COC)CCN2C1=O ZINC000681723415 1072789773 /nfs/dbraw/zinc/78/97/73/1072789773.db2.gz LUZNLKAWUBUBMX-INIZCTEOSA-N 0 0 443.460 -0.345 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc4c(c3)CCN4C(=O)COC)CCN2C1=O ZINC000681723416 1072789762 /nfs/dbraw/zinc/78/97/62/1072789762.db2.gz LUZNLKAWUBUBMX-MRXNPFEDSA-N 0 0 443.460 -0.345 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N3CCOCC3)c(F)c2)CC1 ZINC000681724925 1072789969 /nfs/dbraw/zinc/78/99/69/1072789969.db2.gz GAWRWGWEIMFOLJ-UHFFFAOYSA-N 0 0 449.483 -0.531 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)C(=O)N3CCN(CC(=O)N(C)C)CC3)ccc21 ZINC000681725295 1072789788 /nfs/dbraw/zinc/78/97/88/1072789788.db2.gz JVJRBGNFGAISSI-UHFFFAOYSA-N 0 0 445.520 -0.217 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)C(=O)N3CCN(CC(=O)N(C)C)CC3)ccc21 ZINC000681726977 1072790355 /nfs/dbraw/zinc/79/03/55/1072790355.db2.gz YNBSCIKEVQLAPO-UHFFFAOYSA-N 0 0 431.493 -0.607 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@]4(C3)c3ccccc3NC4=O)cnc2n(C)c1=O ZINC000681728675 1072790340 /nfs/dbraw/zinc/79/03/40/1072790340.db2.gz FCLDWYMSLYVAJL-JOCHJYFZSA-N 0 0 448.439 -0.307 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@]4(C3)c3ccccc3NC4=O)cnc2n(C)c1=O ZINC000681728676 1072790376 /nfs/dbraw/zinc/79/03/76/1072790376.db2.gz FCLDWYMSLYVAJL-QFIPXVFZSA-N 0 0 448.439 -0.307 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@@]3(C2)C(=O)Nc2ccccc23)cn1 ZINC000681729206 1072790232 /nfs/dbraw/zinc/79/02/32/1072790232.db2.gz DYFXOVJLIFNQEC-NRFANRHFSA-N 0 0 440.460 -0.293 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@]3(C2)C(=O)Nc2ccccc23)cn1 ZINC000681729207 1072790244 /nfs/dbraw/zinc/79/02/44/1072790244.db2.gz DYFXOVJLIFNQEC-OAQYLSRUSA-N 0 0 440.460 -0.293 20 0 IBADRN CC1(C)[C@@H](O)C[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000681731533 1072790371 /nfs/dbraw/zinc/79/03/71/1072790371.db2.gz JFJFZNWZAMNHRX-KBPBESRZSA-N 0 0 425.511 -0.623 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@@H]1O ZINC000681731534 1072790350 /nfs/dbraw/zinc/79/03/50/1072790350.db2.gz JFJFZNWZAMNHRX-KGLIPLIRSA-N 0 0 425.511 -0.623 20 0 IBADRN CC1(C)[C@H](O)C[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000681731535 1072790274 /nfs/dbraw/zinc/79/02/74/1072790274.db2.gz JFJFZNWZAMNHRX-UONOGXRCSA-N 0 0 425.511 -0.623 20 0 IBADRN CC1(C)[C@H](O)C[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000681731536 1072790381 /nfs/dbraw/zinc/79/03/81/1072790381.db2.gz JFJFZNWZAMNHRX-ZIAGYGMSSA-N 0 0 425.511 -0.623 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC000681733561 1072790262 /nfs/dbraw/zinc/79/02/62/1072790262.db2.gz HOUSDCRPVTYYPC-UHFFFAOYSA-N 0 0 444.535 -0.583 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(CCO)[C@@H](C)C3)cc2)CC1 ZINC000681733714 1072790391 /nfs/dbraw/zinc/79/03/91/1072790391.db2.gz DLHDYEHQHHRSQZ-KRWDZBQOSA-N 0 0 431.537 -0.072 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(CCO)[C@H](C)C3)cc2)CC1 ZINC000681733716 1072790285 /nfs/dbraw/zinc/79/02/85/1072790285.db2.gz DLHDYEHQHHRSQZ-QGZVFWFLSA-N 0 0 431.537 -0.072 20 0 IBADRN CC[C@@]1(O)CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000681734852 1072790405 /nfs/dbraw/zinc/79/04/05/1072790405.db2.gz ZYLWXBKCXYXMTR-GOSISDBHSA-N 0 0 425.511 -0.525 20 0 IBADRN CC[C@]1(O)CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000681734853 1072790323 /nfs/dbraw/zinc/79/03/23/1072790323.db2.gz ZYLWXBKCXYXMTR-SFHVURJKSA-N 0 0 425.511 -0.525 20 0 IBADRN CN(C)c1cnccc1NC(=O)Cn1nc(C(=O)Nc2ccncc2N(C)C)ccc1=O ZINC000681746626 1072790363 /nfs/dbraw/zinc/79/03/63/1072790363.db2.gz MXVVCNATRNEZMY-UHFFFAOYSA-N 0 0 436.476 -0.100 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)CN(CC(=O)N1CCN(C)C(=O)[C@@H]1C)Cc1ccccc1 ZINC000681757986 1072790335 /nfs/dbraw/zinc/79/03/35/1072790335.db2.gz HMCSEOSOSMEQDN-HDICACEKSA-N 0 0 443.548 -0.133 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)CN(CC(=O)N1CCN(C)C(=O)[C@H]1C)Cc1ccccc1 ZINC000681757987 1072790299 /nfs/dbraw/zinc/79/02/99/1072790299.db2.gz HMCSEOSOSMEQDN-QZTJIDSGSA-N 0 0 443.548 -0.133 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)CN(CC(=O)N1CCN(C)C(=O)[C@@H]1C)Cc1ccccc1 ZINC000681757988 1072790344 /nfs/dbraw/zinc/79/03/44/1072790344.db2.gz HMCSEOSOSMEQDN-ROUUACIJSA-N 0 0 443.548 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000681761070 1072790797 /nfs/dbraw/zinc/79/07/97/1072790797.db2.gz HSCNPWYSSAAIKJ-DAYGRLMNSA-N 0 0 427.523 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CCO)[C@@H](C)C2)cc1 ZINC000681761071 1072790812 /nfs/dbraw/zinc/79/08/12/1072790812.db2.gz HSCNPWYSSAAIKJ-MPGHIAIKSA-N 0 0 427.523 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000681761072 1072790780 /nfs/dbraw/zinc/79/07/80/1072790780.db2.gz HSCNPWYSSAAIKJ-RKVPGOIHSA-N 0 0 427.523 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CCO)[C@H](C)C2)cc1 ZINC000681761073 1072790956 /nfs/dbraw/zinc/79/09/56/1072790956.db2.gz HSCNPWYSSAAIKJ-VKJFTORMSA-N 0 0 427.523 -0.656 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCC2CN(S(C)(=O)=O)C2)cc1OCC ZINC000681761081 1072790728 /nfs/dbraw/zinc/79/07/28/1072790728.db2.gz GDHRBTLBRRWBDA-UHFFFAOYSA-N 0 0 449.551 -0.230 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000681761106 1072790769 /nfs/dbraw/zinc/79/07/69/1072790769.db2.gz HEUXWJKZTSSISL-UHFFFAOYSA-N 0 0 446.370 -0.204 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2cccc(C(F)(F)F)c2)C1 ZINC000681761117 1072790764 /nfs/dbraw/zinc/79/07/64/1072790764.db2.gz HLIAEXZSQCJBNV-UHFFFAOYSA-N 0 0 429.442 -0.009 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)C1 ZINC000681761557 1073197014 /nfs/dbraw/zinc/19/70/14/1073197014.db2.gz MPEBXVBKLYSCTB-UHFFFAOYSA-N 0 0 445.441 -0.129 20 0 IBADRN C[C@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCN1CCO ZINC000681772311 1072790902 /nfs/dbraw/zinc/79/09/02/1072790902.db2.gz DNHPTORRPWZUML-HNNXBMFYSA-N 0 0 426.543 -0.307 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCN1CCO ZINC000681772315 1072791050 /nfs/dbraw/zinc/79/10/50/1072791050.db2.gz DNHPTORRPWZUML-OAHLLOKOSA-N 0 0 426.543 -0.307 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000681772739 1072791025 /nfs/dbraw/zinc/79/10/25/1072791025.db2.gz PFLWBJBPPFGRHX-UHFFFAOYSA-N 0 0 446.555 -0.824 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)CCN1CCO ZINC000681772834 1072790716 /nfs/dbraw/zinc/79/07/16/1072790716.db2.gz AMOHLFFMIUOREF-CYBMUJFWSA-N 0 0 432.481 -0.290 20 0 IBADRN C[C@H]1CN(C(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)CCN1CCO ZINC000681772835 1072790749 /nfs/dbraw/zinc/79/07/49/1072790749.db2.gz AMOHLFFMIUOREF-ZDUSSCGKSA-N 0 0 432.481 -0.290 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCCC[C@H]3CCO)cn2)CC1 ZINC000681785314 1072790733 /nfs/dbraw/zinc/79/07/33/1072790733.db2.gz CHXUOAVODMCJLR-INIZCTEOSA-N 0 0 439.538 -0.135 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCCC[C@@H]3CCO)cn2)CC1 ZINC000681785315 1072790761 /nfs/dbraw/zinc/79/07/61/1072790761.db2.gz CHXUOAVODMCJLR-MRXNPFEDSA-N 0 0 439.538 -0.135 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC(F)(F)C[C@H]1CO ZINC000681952813 1072790740 /nfs/dbraw/zinc/79/07/40/1072790740.db2.gz OHQWPWCRDRSCNZ-AWEZNQCLSA-N 0 0 433.481 -0.055 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC(F)(F)C[C@@H]1CO ZINC000681952814 1072791635 /nfs/dbraw/zinc/79/16/35/1072791635.db2.gz OHQWPWCRDRSCNZ-CQSZACIVSA-N 0 0 433.481 -0.055 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000681959340 1072791517 /nfs/dbraw/zinc/79/15/17/1072791517.db2.gz UGAPQBSNAHFAKN-FMKPAKJESA-N 0 0 449.526 -0.510 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC000681959349 1072791651 /nfs/dbraw/zinc/79/16/51/1072791651.db2.gz UGAPQBSNAHFAKN-LZWOXQAQSA-N 0 0 449.526 -0.510 20 0 IBADRN CN(CCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)S(C)(=O)=O ZINC000681959479 1072791675 /nfs/dbraw/zinc/79/16/75/1072791675.db2.gz WUAWUMUKBBJGHO-HIFRSBDPSA-N 0 0 437.515 -0.653 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000681973401 1072791542 /nfs/dbraw/zinc/79/15/42/1072791542.db2.gz VRWYFVRAMVXUGG-DZGCQCFKSA-N 0 0 432.485 -0.029 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000681973402 1072791602 /nfs/dbraw/zinc/79/16/02/1072791602.db2.gz VRWYFVRAMVXUGG-HIFRSBDPSA-N 0 0 432.485 -0.029 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000681973403 1072791505 /nfs/dbraw/zinc/79/15/05/1072791505.db2.gz VRWYFVRAMVXUGG-UKRRQHHQSA-N 0 0 432.485 -0.029 20 0 IBADRN COCc1noc(CN2CCN(C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000681973404 1072791470 /nfs/dbraw/zinc/79/14/70/1072791470.db2.gz VRWYFVRAMVXUGG-ZFWWWQNUSA-N 0 0 432.485 -0.029 20 0 IBADRN Cc1cnc(N2CCC[C@H](NS(C)(=O)=O)C2)nc1N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000681974256 1072791569 /nfs/dbraw/zinc/79/15/69/1072791569.db2.gz SHKHJXHISGUGOJ-CABCVRRESA-N 0 0 446.599 -0.179 20 0 IBADRN Cc1cnc(N2CCC[C@H](NS(C)(=O)=O)C2)nc1N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000681974257 1072791553 /nfs/dbraw/zinc/79/15/53/1072791553.db2.gz SHKHJXHISGUGOJ-GJZGRUSLSA-N 0 0 446.599 -0.179 20 0 IBADRN Cc1cnc(N2CCC[C@@H](NS(C)(=O)=O)C2)nc1N1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000681974258 1072791484 /nfs/dbraw/zinc/79/14/84/1072791484.db2.gz SHKHJXHISGUGOJ-HUUCEWRRSA-N 0 0 446.599 -0.179 20 0 IBADRN Cc1cnc(N2CCC[C@@H](NS(C)(=O)=O)C2)nc1N1CCC[C@H](NS(C)(=O)=O)C1 ZINC000681974259 1072791662 /nfs/dbraw/zinc/79/16/62/1072791662.db2.gz SHKHJXHISGUGOJ-LSDHHAIUSA-N 0 0 446.599 -0.179 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ncn(Cc3cccc(F)c3)n2)CC1 ZINC000681975345 1072791584 /nfs/dbraw/zinc/79/15/84/1072791584.db2.gz SOCMFQAUSKHXQF-UHFFFAOYSA-N 0 0 439.517 -0.158 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(c2nccnc2N2CCC[C@H](NS(C)(=O)=O)C2)C1 ZINC000681975498 1072791531 /nfs/dbraw/zinc/79/15/31/1072791531.db2.gz GBEFFCBMZADMTQ-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(c2nccnc2N2CCC[C@H](NS(C)(=O)=O)C2)C1 ZINC000681975499 1072791658 /nfs/dbraw/zinc/79/16/58/1072791658.db2.gz GBEFFCBMZADMTQ-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(c2nccnc2N2CCC[C@@H](NS(C)(=O)=O)C2)C1 ZINC000681975500 1072792106 /nfs/dbraw/zinc/79/21/06/1072792106.db2.gz GBEFFCBMZADMTQ-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000681975852 1072792201 /nfs/dbraw/zinc/79/22/01/1072792201.db2.gz XWVZKNNFAKECPG-WBVHZDCISA-N 0 0 443.497 -0.297 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(c2cc(N3CCC[C@H](NS(C)(=O)=O)C3)ncn2)C1 ZINC000681976542 1072792145 /nfs/dbraw/zinc/79/21/45/1072792145.db2.gz YECFWQRULWPFGV-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(c2cc(N3CCC[C@H](NS(C)(=O)=O)C3)ncn2)C1 ZINC000681976543 1072792007 /nfs/dbraw/zinc/79/20/07/1072792007.db2.gz YECFWQRULWPFGV-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(c2cc(N3CCC[C@@H](NS(C)(=O)=O)C3)ncn2)C1 ZINC000681976544 1072792039 /nfs/dbraw/zinc/79/20/39/1072792039.db2.gz YECFWQRULWPFGV-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000681988901 1072792068 /nfs/dbraw/zinc/79/20/68/1072792068.db2.gz XXHNTNYXSGFRGG-ZBFHGGJFSA-N 0 0 449.526 -0.509 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)NS(C)(=O)=O ZINC000681993202 1072792220 /nfs/dbraw/zinc/79/22/20/1072792220.db2.gz RGRJQJKFWORUQS-OCCSQVGLSA-N 0 0 437.515 -0.606 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000681994131 1072792095 /nfs/dbraw/zinc/79/20/95/1072792095.db2.gz MKRDUQQMFFARQI-UHFFFAOYSA-N 0 0 430.552 -0.478 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cnc(-c3ccccc3)nc2)CC1 ZINC000681999135 1072792248 /nfs/dbraw/zinc/79/22/48/1072792248.db2.gz RYSVEPAFMLXQGE-UHFFFAOYSA-N 0 0 425.536 -0.001 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1NC(C)=O ZINC000681999652 1072792194 /nfs/dbraw/zinc/79/21/94/1072792194.db2.gz AYQIQPRZSOCINF-CVEARBPZSA-N 0 0 447.535 -0.570 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1NC(C)=O ZINC000681999654 1072792171 /nfs/dbraw/zinc/79/21/71/1072792171.db2.gz AYQIQPRZSOCINF-HOTGVXAUSA-N 0 0 447.535 -0.570 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1NC(C)=O ZINC000681999656 1072792113 /nfs/dbraw/zinc/79/21/13/1072792113.db2.gz AYQIQPRZSOCINF-HZPDHXFCSA-N 0 0 447.535 -0.570 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1NC(C)=O ZINC000681999657 1072792208 /nfs/dbraw/zinc/79/22/08/1072792208.db2.gz AYQIQPRZSOCINF-JKSUJKDBSA-N 0 0 447.535 -0.570 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(F)c1 ZINC000681999684 1072792129 /nfs/dbraw/zinc/79/21/29/1072792129.db2.gz CMISUUSUTZSYAN-KBPBESRZSA-N 0 0 426.463 -0.251 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(F)c1 ZINC000681999686 1072792180 /nfs/dbraw/zinc/79/21/80/1072792180.db2.gz CMISUUSUTZSYAN-KGLIPLIRSA-N 0 0 426.463 -0.251 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(F)c1 ZINC000681999689 1072792232 /nfs/dbraw/zinc/79/22/32/1072792232.db2.gz CMISUUSUTZSYAN-UONOGXRCSA-N 0 0 426.463 -0.251 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(F)c1 ZINC000681999691 1072791960 /nfs/dbraw/zinc/79/19/60/1072791960.db2.gz CMISUUSUTZSYAN-ZIAGYGMSSA-N 0 0 426.463 -0.251 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(F)c1 ZINC000681999693 1072792212 /nfs/dbraw/zinc/79/22/12/1072792212.db2.gz CPPYBMDSTOOQSY-CABCVRRESA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(F)c1 ZINC000681999698 1072791995 /nfs/dbraw/zinc/79/19/95/1072791995.db2.gz CPPYBMDSTOOQSY-GJZGRUSLSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(F)c1 ZINC000681999700 1072792260 /nfs/dbraw/zinc/79/22/60/1072792260.db2.gz CPPYBMDSTOOQSY-HUUCEWRRSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(F)c1 ZINC000681999702 1072792514 /nfs/dbraw/zinc/79/25/14/1072792514.db2.gz CPPYBMDSTOOQSY-LSDHHAIUSA-N 0 0 435.499 -0.440 20 0 IBADRN CC1(C)CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCN1CCO ZINC000682005826 1072792871 /nfs/dbraw/zinc/79/28/71/1072792871.db2.gz AGZIVJCVUOUTBR-UHFFFAOYSA-N 0 0 426.539 -0.301 20 0 IBADRN CC1(C)CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCN1CCO ZINC000682010223 1072792740 /nfs/dbraw/zinc/79/27/40/1072792740.db2.gz HARJASOLLNUPGP-WBVHZDCISA-N 0 0 429.514 -0.135 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CCO)C(C)(C)C2)cc1 ZINC000682010816 1072792791 /nfs/dbraw/zinc/79/27/91/1072792791.db2.gz PSVPVHVAZKJLON-QAPCUYQASA-N 0 0 441.550 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CCO)C(C)(C)C2)cc1 ZINC000682010821 1072792817 /nfs/dbraw/zinc/79/28/17/1072792817.db2.gz PSVPVHVAZKJLON-YJBOKZPZSA-N 0 0 441.550 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)CC2(O)CCOCC2)cc1 ZINC000682013888 1072792656 /nfs/dbraw/zinc/79/26/56/1072792656.db2.gz WFKKLYCIYPQVSL-PBHICJAKSA-N 0 0 428.507 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)CC2(O)CCOCC2)cc1 ZINC000682013889 1072792525 /nfs/dbraw/zinc/79/25/25/1072792525.db2.gz WFKKLYCIYPQVSL-YOEHRIQHSA-N 0 0 428.507 -0.181 20 0 IBADRN COCCN(C(=O)CN1CC(F)(F)C[C@@H]1CO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000682030924 1072792636 /nfs/dbraw/zinc/79/26/36/1072792636.db2.gz IGEQPFKKRGDBPP-GFCCVEGCSA-N 0 0 433.456 -0.132 20 0 IBADRN COCCN(C(=O)CN1CC(F)(F)C[C@H]1CO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000682030925 1072792862 /nfs/dbraw/zinc/79/28/62/1072792862.db2.gz IGEQPFKKRGDBPP-LBPRGKRZSA-N 0 0 433.456 -0.132 20 0 IBADRN O=C(CN1CC(F)(F)C[C@H]1CO)N1CCN(C(=O)CN2CC(F)(F)C[C@H]2CO)CC1 ZINC000682032249 1072792842 /nfs/dbraw/zinc/79/28/42/1072792842.db2.gz JBJYOHRZHZYMEA-KBPBESRZSA-N 0 0 440.438 -0.939 20 0 IBADRN O=C(CN1CC(F)(F)C[C@@H]1CO)N1CCN(C(=O)CN2CC(F)(F)C[C@H]2CO)CC1 ZINC000682032255 1072792909 /nfs/dbraw/zinc/79/29/09/1072792909.db2.gz JBJYOHRZHZYMEA-OKILXGFUSA-N 0 0 440.438 -0.939 20 0 IBADRN O=C(CN1CC(F)(F)C[C@@H]1CO)N1CCN(C(=O)CN2CC(F)(F)C[C@@H]2CO)CC1 ZINC000682032256 1072792946 /nfs/dbraw/zinc/79/29/46/1072792946.db2.gz JBJYOHRZHZYMEA-ZIAGYGMSSA-N 0 0 440.438 -0.939 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(CCO)C(C)(C)C2)c(N)n(Cc2ccccc2)c1=O ZINC000682034669 1072792712 /nfs/dbraw/zinc/79/27/12/1072792712.db2.gz KLUFMASYIYKYCJ-UHFFFAOYSA-N 0 0 429.521 -0.251 20 0 IBADRN COCc1noc(CN2CCN(C(=O)COc3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000682047720 1072792698 /nfs/dbraw/zinc/79/26/98/1072792698.db2.gz MVBQZLOMVJVVTJ-UHFFFAOYSA-N 0 0 425.467 -0.413 20 0 IBADRN COCc1noc(CN2CCN(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)n1 ZINC000682048763 1072793414 /nfs/dbraw/zinc/79/34/14/1072793414.db2.gz WTVIKBCZQLCISF-UHFFFAOYSA-N 0 0 448.484 -0.379 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(Cc3nc(COC)no3)CC2)cn1 ZINC000682049922 1072793399 /nfs/dbraw/zinc/79/33/99/1072793399.db2.gz NJUZXPCANLKWCU-UHFFFAOYSA-N 0 0 436.473 -0.475 20 0 IBADRN COCc1noc(CN2CCN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)n1 ZINC000682050332 1072793499 /nfs/dbraw/zinc/79/34/99/1072793499.db2.gz UJDZQAVPRFCQIL-UHFFFAOYSA-N 0 0 448.484 -0.379 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)c2)CC1 ZINC000682060358 1072793475 /nfs/dbraw/zinc/79/34/75/1072793475.db2.gz DPBNRTIDTBKNCO-UHFFFAOYSA-N 0 0 439.538 -0.309 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(OC3COC3)cc2)CC1 ZINC000682060760 1072793494 /nfs/dbraw/zinc/79/34/94/1072793494.db2.gz FWKHQPQCELEFFL-UHFFFAOYSA-N 0 0 426.495 -0.904 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c2)CC1 ZINC000682061564 1072793448 /nfs/dbraw/zinc/79/34/48/1072793448.db2.gz VWYHHSZPYCXIFU-UHFFFAOYSA-N 0 0 439.538 -0.262 20 0 IBADRN Cn1ncc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C(F)(F)F ZINC000682061644 1072793233 /nfs/dbraw/zinc/79/32/33/1072793233.db2.gz ZSNCGKLHBWIOJB-UHFFFAOYSA-N 0 0 426.421 -0.929 20 0 IBADRN CC(=O)N1CCN(c2cccc(NC(=O)C(=O)N3CCN(CC(=O)N(C)C)CC3)c2)CC1 ZINC000682063701 1072793355 /nfs/dbraw/zinc/79/33/55/1072793355.db2.gz OONIXIKVJMWTJH-UHFFFAOYSA-N 0 0 444.536 -0.474 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000682063733 1072793308 /nfs/dbraw/zinc/79/33/08/1072793308.db2.gz FXUXWWGBMLNAFZ-UHFFFAOYSA-N 0 0 430.421 -0.170 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN(CCO)C(C)(C)C3)cc2)CC1 ZINC000682064321 1072793483 /nfs/dbraw/zinc/79/34/83/1072793483.db2.gz DPWDDQIMPYAXJC-UHFFFAOYSA-N 0 0 431.537 -0.072 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)NCC4)CC2)n1 ZINC000682064548 1072793298 /nfs/dbraw/zinc/79/32/98/1072793298.db2.gz WNDZVJYPWDZUHP-UHFFFAOYSA-N 0 0 428.449 -0.215 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN(CCO)C(C)(C)C1)CC2 ZINC000682064648 1072793505 /nfs/dbraw/zinc/79/35/05/1072793505.db2.gz JDNUQLFWRXCHFC-UHFFFAOYSA-N 0 0 438.550 -0.211 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)ccc1S(=O)(=O)N(C)C ZINC000682064710 1072793248 /nfs/dbraw/zinc/79/32/48/1072793248.db2.gz CIFQPNQOBHQLIU-UHFFFAOYSA-N 0 0 442.538 -0.201 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)cc1 ZINC000682064730 1072793372 /nfs/dbraw/zinc/79/33/72/1072793372.db2.gz CXIQSRGYCGYIQM-UHFFFAOYSA-N 0 0 427.523 -0.040 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CCN1CCO ZINC000682065124 1072793430 /nfs/dbraw/zinc/79/34/30/1072793430.db2.gz LYSLZXSMKAFUKT-UHFFFAOYSA-N 0 0 447.536 -0.189 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CCN1CCO ZINC000682065363 1072793202 /nfs/dbraw/zinc/79/32/02/1072793202.db2.gz LPWAKZSKLVTUKO-UHFFFAOYSA-N 0 0 438.550 -0.225 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)cc1NS(C)(=O)=O ZINC000682065460 1072793321 /nfs/dbraw/zinc/79/33/21/1072793321.db2.gz SNGXGOXHUPXRSE-UHFFFAOYSA-N 0 0 428.511 -0.080 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)CC1 ZINC000682065461 1072793276 /nfs/dbraw/zinc/79/32/76/1072793276.db2.gz SNRABQVWXVQNHP-UHFFFAOYSA-N 0 0 431.537 -0.072 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)c1 ZINC000682065551 1072794099 /nfs/dbraw/zinc/79/40/99/1072794099.db2.gz WMFKVGZQPKGHFB-UHFFFAOYSA-N 0 0 430.502 -0.070 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CCN1CCO ZINC000682065616 1072793916 /nfs/dbraw/zinc/79/39/16/1072793916.db2.gz MWRQBBZOOHCUBH-UHFFFAOYSA-N 0 0 432.521 -0.059 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)cc1 ZINC000682065770 1072794117 /nfs/dbraw/zinc/79/41/17/1072794117.db2.gz VDDNDTZJOOQNOO-UHFFFAOYSA-N 0 0 442.538 -0.535 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CCN1CCO ZINC000682065861 1072793803 /nfs/dbraw/zinc/79/38/03/1072793803.db2.gz OSYAMTSNQGMDFK-UHFFFAOYSA-N 0 0 448.520 -0.222 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN(CCO)C(C)(C)C3)cc2)CC1 ZINC000682066265 1072794018 /nfs/dbraw/zinc/79/40/18/1072794018.db2.gz RDARGPUAEHMIBE-UHFFFAOYSA-N 0 0 445.564 -0.143 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN(CCO)C(C)(C)C1 ZINC000682066842 1072793865 /nfs/dbraw/zinc/79/38/65/1072793865.db2.gz YVVZELKIGDSUEF-UHFFFAOYSA-N 0 0 442.538 -0.201 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CCN1CCO ZINC000682066858 1072794035 /nfs/dbraw/zinc/79/40/35/1072794035.db2.gz ZAQRPQJESIDJHQ-UHFFFAOYSA-N 0 0 435.529 -0.466 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000682091834 1072794080 /nfs/dbraw/zinc/79/40/80/1072794080.db2.gz HHYBSBDWDUXZNX-AWEZNQCLSA-N 0 0 437.522 -0.702 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000682091835 1072794089 /nfs/dbraw/zinc/79/40/89/1072794089.db2.gz HHYBSBDWDUXZNX-CQSZACIVSA-N 0 0 437.522 -0.702 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682092216 1072793735 /nfs/dbraw/zinc/79/37/35/1072793735.db2.gz AIYZGJGDRWZDKE-INIZCTEOSA-N 0 0 444.536 -0.428 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682092217 1072793956 /nfs/dbraw/zinc/79/39/56/1072793956.db2.gz AIYZGJGDRWZDKE-MRXNPFEDSA-N 0 0 444.536 -0.428 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000682092534 1072793983 /nfs/dbraw/zinc/79/39/83/1072793983.db2.gz PUDHIPPUOIIDKL-GFCCVEGCSA-N 0 0 442.469 -0.478 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000682092535 1072793899 /nfs/dbraw/zinc/79/38/99/1072793899.db2.gz PUDHIPPUOIIDKL-LBPRGKRZSA-N 0 0 442.469 -0.478 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCNC(=O)C[C@@H]1C ZINC000682094148 1072794007 /nfs/dbraw/zinc/79/40/07/1072794007.db2.gz JWGZYPLPEFFZRI-AWEZNQCLSA-N 0 0 438.506 -0.309 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCNC(=O)C[C@H]1C ZINC000682094151 1072794073 /nfs/dbraw/zinc/79/40/73/1072794073.db2.gz JWGZYPLPEFFZRI-CQSZACIVSA-N 0 0 438.506 -0.309 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)C[C@H]2C)cc1 ZINC000682094200 1072793767 /nfs/dbraw/zinc/79/37/67/1072793767.db2.gz KXIAQGLTSOHQQI-CHWSQXEVSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)C[C@@H]2C)cc1 ZINC000682094204 1072793998 /nfs/dbraw/zinc/79/39/98/1072793998.db2.gz KXIAQGLTSOHQQI-OLZOCXBDSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)C[C@H]2C)cc1 ZINC000682094207 1072794063 /nfs/dbraw/zinc/79/40/63/1072794063.db2.gz KXIAQGLTSOHQQI-QWHCGFSZSA-N 0 0 426.495 -0.325 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)C[C@@H]2C)cc1 ZINC000682094209 1072793786 /nfs/dbraw/zinc/79/37/86/1072793786.db2.gz KXIAQGLTSOHQQI-STQMWFEESA-N 0 0 426.495 -0.325 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000682094289 1072794519 /nfs/dbraw/zinc/79/45/19/1072794519.db2.gz NQFSIHAJPBGQOH-HNNXBMFYSA-N 0 0 431.493 -0.576 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000682094465 1072794581 /nfs/dbraw/zinc/79/45/81/1072794581.db2.gz NQFSIHAJPBGQOH-OAHLLOKOSA-N 0 0 431.493 -0.576 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000682094599 1072794542 /nfs/dbraw/zinc/79/45/42/1072794542.db2.gz RIBHYJNXZUNCQT-PBHICJAKSA-N 0 0 430.461 -0.624 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000682094602 1072794442 /nfs/dbraw/zinc/79/44/42/1072794442.db2.gz RIBHYJNXZUNCQT-RHSMWYFYSA-N 0 0 430.461 -0.624 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000682094605 1072794567 /nfs/dbraw/zinc/79/45/67/1072794567.db2.gz RIBHYJNXZUNCQT-WMLDXEAASA-N 0 0 430.461 -0.624 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000682094607 1072794371 /nfs/dbraw/zinc/79/43/71/1072794371.db2.gz RIBHYJNXZUNCQT-YOEHRIQHSA-N 0 0 430.461 -0.624 20 0 IBADRN CN(C[C@@H]1CCCO1)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682095549 1072794571 /nfs/dbraw/zinc/79/45/71/1072794571.db2.gz JEWNBRYKXQHBMX-HNNXBMFYSA-N 0 0 425.511 -0.261 20 0 IBADRN CN(C[C@H]1CCCO1)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682095550 1072794354 /nfs/dbraw/zinc/79/43/54/1072794354.db2.gz JEWNBRYKXQHBMX-OAHLLOKOSA-N 0 0 425.511 -0.261 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000682095960 1072794384 /nfs/dbraw/zinc/79/43/84/1072794384.db2.gz FQWAJCWBVHIMCC-AWEZNQCLSA-N 0 0 428.493 -0.184 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000682095961 1072794551 /nfs/dbraw/zinc/79/45/51/1072794551.db2.gz FQWAJCWBVHIMCC-CQSZACIVSA-N 0 0 428.493 -0.184 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000682096536 1072794508 /nfs/dbraw/zinc/79/45/08/1072794508.db2.gz NGUBZLQUAUUWCA-LLVKDONJSA-N 0 0 437.884 -0.167 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)NCC(=O)N(C)C)c(Cl)c1 ZINC000682096537 1072794588 /nfs/dbraw/zinc/79/45/88/1072794588.db2.gz NGUBZLQUAUUWCA-NSHDSACASA-N 0 0 437.884 -0.167 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000682096704 1072794474 /nfs/dbraw/zinc/79/44/74/1072794474.db2.gz QGCHWQWVOITEJC-GFCCVEGCSA-N 0 0 425.511 -0.322 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000682096705 1072794560 /nfs/dbraw/zinc/79/45/60/1072794560.db2.gz QGCHWQWVOITEJC-LBPRGKRZSA-N 0 0 425.511 -0.322 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000682096747 1072794451 /nfs/dbraw/zinc/79/44/51/1072794451.db2.gz RZKVWVXOLUMNMN-AWEZNQCLSA-N 0 0 437.522 -0.702 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000682096748 1072794532 /nfs/dbraw/zinc/79/45/32/1072794532.db2.gz RZKVWVXOLUMNMN-CQSZACIVSA-N 0 0 437.522 -0.702 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCNC(=O)C[C@@H]1C ZINC000682096788 1072794401 /nfs/dbraw/zinc/79/44/01/1072794401.db2.gz VDLUEJSPMXMODW-AWEZNQCLSA-N 0 0 431.493 -0.242 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCNC(=O)C[C@H]1C ZINC000682096789 1072794488 /nfs/dbraw/zinc/79/44/88/1072794488.db2.gz VDLUEJSPMXMODW-CQSZACIVSA-N 0 0 431.493 -0.242 20 0 IBADRN O=C(Nc1ccn(CCO)n1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000682097083 1072794426 /nfs/dbraw/zinc/79/44/26/1072794426.db2.gz LGBPVMGHNJOGGW-CYBMUJFWSA-N 0 0 443.507 -0.015 20 0 IBADRN O=C(Nc1ccn(CCO)n1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000682097084 1072794464 /nfs/dbraw/zinc/79/44/64/1072794464.db2.gz LGBPVMGHNJOGGW-ZDUSSCGKSA-N 0 0 443.507 -0.015 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC[C@@H]1O ZINC000682099032 1072795003 /nfs/dbraw/zinc/79/50/03/1072795003.db2.gz KUGIZIIMRAFLRT-HNNXBMFYSA-N 0 0 439.538 -0.279 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC[C@H]1O ZINC000682099034 1072794977 /nfs/dbraw/zinc/79/49/77/1072794977.db2.gz KUGIZIIMRAFLRT-OAHLLOKOSA-N 0 0 439.538 -0.279 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC3CCS(=O)(=O)CC3)cn2)CC1 ZINC000682102541 1072795131 /nfs/dbraw/zinc/79/51/31/1072795131.db2.gz NYHCJIUGNFFGBC-UHFFFAOYSA-N 0 0 431.540 -0.138 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)Nc3ccn(CCC(N)=O)n3)cn2)CC1 ZINC000682103171 1072795116 /nfs/dbraw/zinc/79/51/16/1072795116.db2.gz WNJOXXGHTTULSL-UHFFFAOYSA-N 0 0 436.498 -0.121 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)N2CCN3C(=O)N=NC3C2)cc1 ZINC000682103524 1072794923 /nfs/dbraw/zinc/79/49/23/1072794923.db2.gz WXVKZZMWBLASDR-UHFFFAOYSA-N 0 0 428.409 -0.088 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCC[C@H](N2CCNC2=O)C1 ZINC000682111180 1072795142 /nfs/dbraw/zinc/79/51/42/1072795142.db2.gz ATDZZINROFQEPU-KRWDZBQOSA-N 0 0 428.493 -0.042 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000682111183 1072795036 /nfs/dbraw/zinc/79/50/36/1072795036.db2.gz ATDZZINROFQEPU-QGZVFWFLSA-N 0 0 428.493 -0.042 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000682116559 1072794952 /nfs/dbraw/zinc/79/49/52/1072794952.db2.gz WFPRJULIQSAJBE-HNNXBMFYSA-N 0 0 436.490 -0.114 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000682116560 1072794990 /nfs/dbraw/zinc/79/49/90/1072794990.db2.gz WFPRJULIQSAJBE-OAHLLOKOSA-N 0 0 436.490 -0.114 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC000682118196 1072794867 /nfs/dbraw/zinc/79/48/67/1072794867.db2.gz OLASBKXDOPCADM-INIZCTEOSA-N 0 0 439.538 -0.001 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000682118198 1072795016 /nfs/dbraw/zinc/79/50/16/1072795016.db2.gz OLASBKXDOPCADM-MRXNPFEDSA-N 0 0 439.538 -0.001 20 0 IBADRN O=C(CCNC(=O)N1CCC[C@H](N2CCNC2=O)C1)N1CCN(c2ncccn2)CC1 ZINC000682119043 1072794966 /nfs/dbraw/zinc/79/49/66/1072794966.db2.gz VDIYAGWXPMXNFT-INIZCTEOSA-N 0 0 430.513 -0.286 20 0 IBADRN O=C(CCNC(=O)N1CCC[C@@H](N2CCNC2=O)C1)N1CCN(c2ncccn2)CC1 ZINC000682119044 1072795087 /nfs/dbraw/zinc/79/50/87/1072795087.db2.gz VDIYAGWXPMXNFT-MRXNPFEDSA-N 0 0 430.513 -0.286 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CCCCC1 ZINC000682122766 1072794940 /nfs/dbraw/zinc/79/49/40/1072794940.db2.gz GQWSAQDLUVCPRZ-UHFFFAOYSA-N 0 0 432.524 -0.208 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)n1 ZINC000682122959 1072794892 /nfs/dbraw/zinc/79/48/92/1072794892.db2.gz JPSNZLONZSGLIR-UHFFFAOYSA-N 0 0 428.515 -0.722 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)cc1C ZINC000682123247 1072795102 /nfs/dbraw/zinc/79/51/02/1072795102.db2.gz SHPRNBUCDHBOFA-UHFFFAOYSA-N 0 0 428.515 -0.756 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)cc1 ZINC000682123327 1072795055 /nfs/dbraw/zinc/79/50/55/1072795055.db2.gz VOSWQXRGSXUOQI-UHFFFAOYSA-N 0 0 446.551 -0.099 20 0 IBADRN COc1ncnc(N(C)C)c1NC(=O)C(=O)NCC1(NS(C)(=O)=O)CCCCC1 ZINC000682123371 1072795626 /nfs/dbraw/zinc/79/56/26/1072795626.db2.gz WPNAAUALVNAUCI-UHFFFAOYSA-N 0 0 428.515 -0.142 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CCCCC1 ZINC000682124198 1072795693 /nfs/dbraw/zinc/79/56/93/1072795693.db2.gz BRNQAUCIKVSFEV-UHFFFAOYSA-N 0 0 426.495 -0.143 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CCCCC1 ZINC000682124431 1072795391 /nfs/dbraw/zinc/79/53/91/1072795391.db2.gz ICPIFYPQBWSWHU-UHFFFAOYSA-N 0 0 426.495 -0.143 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CCCCC1 ZINC000682124440 1072795478 /nfs/dbraw/zinc/79/54/78/1072795478.db2.gz IOQGNDJTSWNXMF-UHFFFAOYSA-N 0 0 440.526 -0.532 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)cn1 ZINC000682124574 1072795422 /nfs/dbraw/zinc/79/54/22/1072795422.db2.gz KNFYNGWDCWEUCA-UHFFFAOYSA-N 0 0 428.515 -0.722 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)cc1C(N)=O ZINC000682124596 1072795702 /nfs/dbraw/zinc/79/57/02/1072795702.db2.gz LKLVRVHSNWRFOI-UHFFFAOYSA-N 0 0 427.483 -0.504 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC2(NS(C)(=O)=O)CCCCC2)cc1S(N)(=O)=O ZINC000682124667 1072795450 /nfs/dbraw/zinc/79/54/50/1072795450.db2.gz NQPJDCNJBWRECV-UHFFFAOYSA-N 0 0 446.551 -0.051 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CCCCC1 ZINC000682125066 1072795601 /nfs/dbraw/zinc/79/56/01/1072795601.db2.gz RGDMQXFRMPOBCG-UHFFFAOYSA-N 0 0 432.524 -0.359 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)cc1 ZINC000682133266 1072795650 /nfs/dbraw/zinc/79/56/50/1072795650.db2.gz FKOCERCDPAZANV-UHFFFAOYSA-N 0 0 446.489 -0.624 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2cnn(C[C@@H]3CCCO3)c2)CC1 ZINC000682133960 1072795492 /nfs/dbraw/zinc/79/54/92/1072795492.db2.gz PZSIWLOVZAJMLL-HNNXBMFYSA-N 0 0 427.465 -0.157 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)Nc2cnn(C[C@H]3CCCO3)c2)CC1 ZINC000682133962 1072795719 /nfs/dbraw/zinc/79/57/19/1072795719.db2.gz PZSIWLOVZAJMLL-OAHLLOKOSA-N 0 0 427.465 -0.157 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)CC1 ZINC000682144512 1072795404 /nfs/dbraw/zinc/79/54/04/1072795404.db2.gz PNOKXUQYCUPXCT-AWEZNQCLSA-N 0 0 437.522 -0.708 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)CC1 ZINC000682144518 1072795559 /nfs/dbraw/zinc/79/55/59/1072795559.db2.gz PNOKXUQYCUPXCT-CQSZACIVSA-N 0 0 437.522 -0.708 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)cc2)CC1 ZINC000682144593 1072795672 /nfs/dbraw/zinc/79/56/72/1072795672.db2.gz UDJFLVJFTYRGIT-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000682144667 1072795516 /nfs/dbraw/zinc/79/55/16/1072795516.db2.gz WWLXUUKUZOYUMG-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@@H](C)CO1 ZINC000682146224 1072795712 /nfs/dbraw/zinc/79/57/12/1072795712.db2.gz VMYAHVNMXYWZME-KBPBESRZSA-N 0 0 425.511 -0.263 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682146225 1072795462 /nfs/dbraw/zinc/79/54/62/1072795462.db2.gz VMYAHVNMXYWZME-KGLIPLIRSA-N 0 0 425.511 -0.263 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@@H](C)CO1 ZINC000682146226 1072795616 /nfs/dbraw/zinc/79/56/16/1072795616.db2.gz VMYAHVNMXYWZME-UONOGXRCSA-N 0 0 425.511 -0.263 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@H](C)CO1 ZINC000682146227 1072795548 /nfs/dbraw/zinc/79/55/48/1072795548.db2.gz VMYAHVNMXYWZME-ZIAGYGMSSA-N 0 0 425.511 -0.263 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N(CCO)CCN2CCOCC2)CC1 ZINC000682147926 1072796163 /nfs/dbraw/zinc/79/61/63/1072796163.db2.gz DIPGSNDKZNVKMF-UHFFFAOYSA-N 0 0 447.536 -0.834 20 0 IBADRN O=C(Nc1ccc2nc3n(c(=O)c2c1)CCC3)C(=O)N(CCO)CCN1CCOCC1 ZINC000682148243 1072796110 /nfs/dbraw/zinc/79/61/10/1072796110.db2.gz YLRCEPAPGXPQOU-UHFFFAOYSA-N 0 0 429.477 -0.566 20 0 IBADRN CC(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000682148323 1072795919 /nfs/dbraw/zinc/79/59/19/1072795919.db2.gz IGUIOWJAQFFSSS-UHFFFAOYSA-N 0 0 427.523 -0.040 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000682149068 1072795997 /nfs/dbraw/zinc/79/59/97/1072795997.db2.gz SSVNABHKXOJCDU-UHFFFAOYSA-N 0 0 440.522 -0.781 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C(=O)NCCOC)CC[C@@H]2C)cc1 ZINC000682152741 1072796288 /nfs/dbraw/zinc/79/62/88/1072796288.db2.gz XOQOONCYRGRBMS-KBPBESRZSA-N 0 0 440.522 -0.077 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C(=O)NCCOC)CC[C@H]2C)cc1 ZINC000682152743 1072796298 /nfs/dbraw/zinc/79/62/98/1072796298.db2.gz XOQOONCYRGRBMS-KGLIPLIRSA-N 0 0 440.522 -0.077 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C(=O)NCCOC)CC[C@@H]2C)cc1 ZINC000682152744 1072796193 /nfs/dbraw/zinc/79/61/93/1072796193.db2.gz XOQOONCYRGRBMS-UONOGXRCSA-N 0 0 440.522 -0.077 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C(=O)NCCOC)CC[C@H]2C)cc1 ZINC000682152745 1072796123 /nfs/dbraw/zinc/79/61/23/1072796123.db2.gz XOQOONCYRGRBMS-ZIAGYGMSSA-N 0 0 440.522 -0.077 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)NC[C@@H](CO)NC(=O)CCn1c(C)cc(O)cc1=O ZINC000682165677 1073197462 /nfs/dbraw/zinc/19/74/62/1073197462.db2.gz MMJIGYYPCIGZSI-HNNXBMFYSA-N 0 0 448.476 -0.888 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCc1cn[nH]n1 ZINC000682182281 1072796015 /nfs/dbraw/zinc/79/60/15/1072796015.db2.gz LWPJBHNOONTCBI-UHFFFAOYSA-N 0 0 437.482 -0.996 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCc1cn[nH]n1 ZINC000682182522 1072796225 /nfs/dbraw/zinc/79/62/25/1072796225.db2.gz SKKRYAZOYSKHCY-UHFFFAOYSA-N 0 0 438.466 -0.521 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N(CCO)CC3CC3)cn2)CC1 ZINC000682183240 1072796154 /nfs/dbraw/zinc/79/61/54/1072796154.db2.gz OTUCDALLCXHICJ-UHFFFAOYSA-N 0 0 425.511 -0.667 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682189428 1072796037 /nfs/dbraw/zinc/79/60/37/1072796037.db2.gz PRWINXLBCFGIFE-HNNXBMFYSA-N 0 0 430.509 -0.818 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682189431 1072796063 /nfs/dbraw/zinc/79/60/63/1072796063.db2.gz PRWINXLBCFGIFE-OAHLLOKOSA-N 0 0 430.509 -0.818 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](C)C(C)(C)O ZINC000682194975 1072796303 /nfs/dbraw/zinc/79/63/03/1072796303.db2.gz HIWNVCFWOCOSEC-GFCCVEGCSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](C)C(C)(C)O ZINC000682194986 1072796137 /nfs/dbraw/zinc/79/61/37/1072796137.db2.gz HIWNVCFWOCOSEC-LBPRGKRZSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](C)C(C)(C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000682198110 1072796727 /nfs/dbraw/zinc/79/67/27/1072796727.db2.gz GGNJQIWKASBFSF-GFCCVEGCSA-N 0 0 429.495 -0.070 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](C)C(C)(C)O)cc1S(=O)(=O)N1CCOCC1 ZINC000682198111 1072796761 /nfs/dbraw/zinc/79/67/61/1072796761.db2.gz GGNJQIWKASBFSF-LBPRGKRZSA-N 0 0 429.495 -0.070 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(C)(C)O ZINC000682198597 1072796770 /nfs/dbraw/zinc/79/67/70/1072796770.db2.gz MKXTYPJJTUDVNO-CYBMUJFWSA-N 0 0 442.538 -0.012 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(C)(C)O ZINC000682198601 1072796881 /nfs/dbraw/zinc/79/68/81/1072796881.db2.gz MKXTYPJJTUDVNO-ZDUSSCGKSA-N 0 0 442.538 -0.012 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](C)C(C)(C)O ZINC000682198831 1072796615 /nfs/dbraw/zinc/79/66/15/1072796615.db2.gz QDAKJQGIZBRXCR-CYBMUJFWSA-N 0 0 442.538 -0.155 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](C)C(C)(C)O ZINC000682198835 1072796828 /nfs/dbraw/zinc/79/68/28/1072796828.db2.gz QDAKJQGIZBRXCR-ZDUSSCGKSA-N 0 0 442.538 -0.155 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000682220992 1072796741 /nfs/dbraw/zinc/79/67/41/1072796741.db2.gz LANYCTTYPJFFOX-DLBZAZTESA-N 0 0 428.555 -0.879 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000682220994 1072796750 /nfs/dbraw/zinc/79/67/50/1072796750.db2.gz LANYCTTYPJFFOX-IAGOWNOFSA-N 0 0 428.555 -0.879 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000682220996 1072796782 /nfs/dbraw/zinc/79/67/82/1072796782.db2.gz LANYCTTYPJFFOX-IRXDYDNUSA-N 0 0 428.555 -0.879 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000682221001 1072796876 /nfs/dbraw/zinc/79/68/76/1072796876.db2.gz LANYCTTYPJFFOX-SJORKVTESA-N 0 0 428.555 -0.879 20 0 IBADRN Cn1c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc2c1nc1ccccn1c2=O ZINC000682221055 1072796688 /nfs/dbraw/zinc/79/66/88/1072796688.db2.gz NITKNLMQILPFOA-UHFFFAOYSA-N 0 0 432.506 -0.507 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)C(=O)Nc2cnn(CC3CC3)c2)c1 ZINC000682221182 1072796554 /nfs/dbraw/zinc/79/65/54/1072796554.db2.gz QCXICIYZSYARHW-UHFFFAOYSA-N 0 0 434.478 -0.105 20 0 IBADRN CN(C(=O)C(=O)Nc1cnn(CC2CC2)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000682227858 1072796583 /nfs/dbraw/zinc/79/65/83/1072796583.db2.gz ATSFSMHKYVGVKM-UHFFFAOYSA-N 0 0 445.568 -0.072 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc(C2CC2)nc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000682228405 1072796803 /nfs/dbraw/zinc/79/68/03/1072796803.db2.gz WBPIWAXTMDXABU-UHFFFAOYSA-N 0 0 443.552 -0.011 20 0 IBADRN CNS(=O)(=O)CCNC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682228718 1072797301 /nfs/dbraw/zinc/79/73/01/1072797301.db2.gz DHKZZBIBIKOQHN-UHFFFAOYSA-N 0 0 426.543 -0.433 20 0 IBADRN CNS(=O)(=O)CCNC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000682231463 1072797107 /nfs/dbraw/zinc/79/71/07/1072797107.db2.gz LVUKVQPVVFQYOK-UHFFFAOYSA-N 0 0 426.455 -0.762 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)c(OC)n1 ZINC000682237638 1072797259 /nfs/dbraw/zinc/79/72/59/1072797259.db2.gz KLVJKOLLAFOLTI-UHFFFAOYSA-N 0 0 443.464 -0.108 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)Nc3ccc(F)c(C(N)=O)c3)CC2)c(C(N)=O)c1C ZINC000682237823 1072797387 /nfs/dbraw/zinc/79/73/87/1072797387.db2.gz SMPQXOQJHIIGAU-UHFFFAOYSA-N 0 0 443.439 -0.282 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)CCOCC(F)(F)F)cc1 ZINC000682263181 1072797499 /nfs/dbraw/zinc/79/74/99/1072797499.db2.gz FZBIXMJKFHFCDI-UHFFFAOYSA-N 0 0 427.401 -0.067 20 0 IBADRN CC(C)(C)[C@@H](CO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682263201 1072797379 /nfs/dbraw/zinc/79/73/79/1072797379.db2.gz GYQANVLZSGEXNZ-CQSZACIVSA-N 0 0 427.527 -0.375 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2)CC1 ZINC000682264675 1072797417 /nfs/dbraw/zinc/79/74/17/1072797417.db2.gz PLSNKWOOEGIXOC-INIZCTEOSA-N 0 0 437.522 -0.708 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2)CC1 ZINC000682264676 1072797238 /nfs/dbraw/zinc/79/72/38/1072797238.db2.gz PLSNKWOOEGIXOC-MRXNPFEDSA-N 0 0 437.522 -0.708 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682264726 1072797283 /nfs/dbraw/zinc/79/72/83/1072797283.db2.gz RTTNYTWFJMPWAJ-INIZCTEOSA-N 0 0 444.536 -0.476 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682264727 1072797125 /nfs/dbraw/zinc/79/71/25/1072797125.db2.gz RTTNYTWFJMPWAJ-MRXNPFEDSA-N 0 0 444.536 -0.476 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2c(C)nc3ccccn32)cn1 ZINC000682265589 1072797272 /nfs/dbraw/zinc/79/72/72/1072797272.db2.gz LVJZQXBERTVPFX-KRWDZBQOSA-N 0 0 438.448 -0.777 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2c(C)nc3ccccn32)cn1 ZINC000682265590 1072797315 /nfs/dbraw/zinc/79/73/15/1072797315.db2.gz LVJZQXBERTVPFX-QGZVFWFLSA-N 0 0 438.448 -0.777 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N(CCCO)C3CC3)cn2)CC1 ZINC000682267204 1072797218 /nfs/dbraw/zinc/79/72/18/1072797218.db2.gz OWTUBGYFKFFPAO-UHFFFAOYSA-N 0 0 425.511 -0.525 20 0 IBADRN C[C@@H]1OCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@H]1C ZINC000682272230 1072797937 /nfs/dbraw/zinc/79/79/37/1072797937.db2.gz JOYUVZLNNFRZPZ-KBPBESRZSA-N 0 0 425.511 -0.263 20 0 IBADRN C[C@@H]1[C@H](C)OCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682272233 1072798076 /nfs/dbraw/zinc/79/80/76/1072798076.db2.gz JOYUVZLNNFRZPZ-KGLIPLIRSA-N 0 0 425.511 -0.263 20 0 IBADRN C[C@H]1OCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@H]1C ZINC000682272235 1072797780 /nfs/dbraw/zinc/79/77/80/1072797780.db2.gz JOYUVZLNNFRZPZ-UONOGXRCSA-N 0 0 425.511 -0.263 20 0 IBADRN C[C@H]1OCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@@H]1C ZINC000682272237 1072798122 /nfs/dbraw/zinc/79/81/22/1072798122.db2.gz JOYUVZLNNFRZPZ-ZIAGYGMSSA-N 0 0 425.511 -0.263 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)CC1 ZINC000682273915 1072798808 /nfs/dbraw/zinc/79/88/08/1072798808.db2.gz IJJNXAWANCDIMD-AWEZNQCLSA-N 0 0 437.522 -0.708 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)CC1 ZINC000682273917 1072799048 /nfs/dbraw/zinc/79/90/48/1072799048.db2.gz IJJNXAWANCDIMD-CQSZACIVSA-N 0 0 437.522 -0.708 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H]3CO)cc2)C[C@H](C)O1 ZINC000682277158 1072799537 /nfs/dbraw/zinc/79/95/37/1072799537.db2.gz FFGHAVJLEWJEFT-DARAHFNDSA-N 0 0 441.506 -0.623 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H]3CO)cc2)C1 ZINC000682277415 1072799708 /nfs/dbraw/zinc/79/97/08/1072799708.db2.gz WNNPXDPDRXUMLX-CWRNSKLLSA-N 0 0 425.507 0.000 20 0 IBADRN CC(C)(C)[C@H](CO)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682278058 1072799521 /nfs/dbraw/zinc/79/95/21/1072799521.db2.gz GYQANVLZSGEXNZ-AWEZNQCLSA-N 0 0 427.527 -0.375 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)[C@@H](O)C3)cc2)C1 ZINC000682280477 1072799488 /nfs/dbraw/zinc/79/94/88/1072799488.db2.gz ACYREIQCNRZULB-MGBSGCIJSA-N 0 0 425.507 -0.144 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)N2CCSC[C@H]2CO)cc1 ZINC000682280563 1072799686 /nfs/dbraw/zinc/79/96/86/1072799686.db2.gz GSDATLVLLPILKW-GOSISDBHSA-N 0 0 449.577 -0.228 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)N2CCSC[C@@H]2CO)cc1 ZINC000682280564 1072799614 /nfs/dbraw/zinc/79/96/14/1072799614.db2.gz GSDATLVLLPILKW-SFHVURJKSA-N 0 0 449.577 -0.228 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCSC[C@@H]3CO)cn2)CC1 ZINC000682280728 1072799636 /nfs/dbraw/zinc/79/96/36/1072799636.db2.gz QNQQWIKGBOMTBT-AWEZNQCLSA-N 0 0 443.551 -0.962 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCSC[C@H]3CO)cn2)CC1 ZINC000682280729 1072799718 /nfs/dbraw/zinc/79/97/18/1072799718.db2.gz QNQQWIKGBOMTBT-CQSZACIVSA-N 0 0 443.551 -0.962 20 0 IBADRN CN(CC(C)(CO)CO)C(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682280762 1072799697 /nfs/dbraw/zinc/79/96/97/1072799697.db2.gz RQMGDAOFMUNAKY-UHFFFAOYSA-N 0 0 449.552 -0.715 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)N=NC4C3)cc2)CC1 ZINC000682282656 1072799502 /nfs/dbraw/zinc/79/95/02/1072799502.db2.gz IUQRJJJTVVJDLW-UHFFFAOYSA-N 0 0 427.465 -0.258 20 0 IBADRN C[C@]1(CO)CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682282869 1072799556 /nfs/dbraw/zinc/79/95/56/1072799556.db2.gz NBQWKZKAXDUIII-GOSISDBHSA-N 0 0 425.511 -0.525 20 0 IBADRN C[C@@]1(CO)CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682282871 1072799590 /nfs/dbraw/zinc/79/95/90/1072799590.db2.gz NBQWKZKAXDUIII-SFHVURJKSA-N 0 0 425.511 -0.525 20 0 IBADRN C[C@H]1COCCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682287254 1072799572 /nfs/dbraw/zinc/79/95/72/1072799572.db2.gz VLKOSRCZXUQQHP-AWEZNQCLSA-N 0 0 425.511 -0.261 20 0 IBADRN C[C@@H]1COCCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682287255 1072800401 /nfs/dbraw/zinc/80/04/01/1072800401.db2.gz VLKOSRCZXUQQHP-CQSZACIVSA-N 0 0 425.511 -0.261 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000682288095 1072800117 /nfs/dbraw/zinc/80/01/17/1072800117.db2.gz DDTKPQYPVULSQB-SFHVURJKSA-N 0 0 447.536 -0.036 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000682288569 1072800416 /nfs/dbraw/zinc/80/04/16/1072800416.db2.gz AOFONJMWWIXBBW-INIZCTEOSA-N 0 0 440.522 -0.077 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000682288710 1072800287 /nfs/dbraw/zinc/80/02/87/1072800287.db2.gz IOWNLRZXRPQPLT-MAUKXSAKSA-N 0 0 446.504 -0.084 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000682288711 1072800190 /nfs/dbraw/zinc/80/01/90/1072800190.db2.gz IOWNLRZXRPQPLT-YJBOKZPZSA-N 0 0 446.504 -0.084 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000682288739 1072800275 /nfs/dbraw/zinc/80/02/75/1072800275.db2.gz KKHLCWLGDURGNW-DZKIICNBSA-N 0 0 436.513 -0.266 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000682288740 1072800257 /nfs/dbraw/zinc/80/02/57/1072800257.db2.gz KKHLCWLGDURGNW-FMKPAKJESA-N 0 0 436.513 -0.266 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000682288741 1072800434 /nfs/dbraw/zinc/80/04/34/1072800434.db2.gz KKHLCWLGDURGNW-LZWOXQAQSA-N 0 0 436.513 -0.266 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000682289361 1072800346 /nfs/dbraw/zinc/80/03/46/1072800346.db2.gz OXGHJGIADUFCHQ-HNNXBMFYSA-N 0 0 428.511 -0.173 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000682289689 1072800082 /nfs/dbraw/zinc/80/00/82/1072800082.db2.gz LDYIKVJMKDAPNZ-KRWDZBQOSA-N 0 0 447.536 -0.836 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000682289690 1072800222 /nfs/dbraw/zinc/80/02/22/1072800222.db2.gz LDYIKVJMKDAPNZ-QGZVFWFLSA-N 0 0 447.536 -0.836 20 0 IBADRN CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000682291972 1072800206 /nfs/dbraw/zinc/80/02/06/1072800206.db2.gz CXDNEPJBUBTAIR-INIZCTEOSA-N 0 0 440.522 -0.077 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C1 ZINC000682294345 1072800015 /nfs/dbraw/zinc/80/00/15/1072800015.db2.gz FVSCPQSMTZMBKH-UHFFFAOYSA-N 0 0 447.536 -0.291 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@H]3C3CC3)cn2)CC1 ZINC000682296855 1072800450 /nfs/dbraw/zinc/80/04/50/1072800450.db2.gz UTJYIXILRVSBSW-INIZCTEOSA-N 0 0 437.522 -0.261 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@@H]3C3CC3)cn2)CC1 ZINC000682296856 1072800002 /nfs/dbraw/zinc/80/00/02/1072800002.db2.gz UTJYIXILRVSBSW-MRXNPFEDSA-N 0 0 437.522 -0.261 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C)cc1 ZINC000682298916 1073197527 /nfs/dbraw/zinc/19/75/27/1073197527.db2.gz SZORQONXNMGZSL-GOSISDBHSA-N 0 0 446.552 -0.149 20 0 IBADRN CC(C)(C)[C@H](NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(N)=O ZINC000682298947 1072800171 /nfs/dbraw/zinc/80/01/71/1072800171.db2.gz VKRRTEUVTMKGPX-CQSZACIVSA-N 0 0 440.526 -0.882 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccnn1-c1ccncc1 ZINC000682299873 1072800133 /nfs/dbraw/zinc/80/01/33/1072800133.db2.gz CBJRYIVOJSHGOV-KRWDZBQOSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccnn1-c1ccncc1 ZINC000682299874 1072800363 /nfs/dbraw/zinc/80/03/63/1072800363.db2.gz CBJRYIVOJSHGOV-QGZVFWFLSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccccc1-n1cncn1 ZINC000682300030 1072800797 /nfs/dbraw/zinc/80/07/97/1072800797.db2.gz IHYRZXJMNNHAMM-KRWDZBQOSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccccc1-n1cncn1 ZINC000682300031 1072800859 /nfs/dbraw/zinc/80/08/59/1072800859.db2.gz IHYRZXJMNNHAMM-QGZVFWFLSA-N 0 0 449.471 -0.075 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)C[C@H](O)C1CC1 ZINC000682301316 1072800642 /nfs/dbraw/zinc/80/06/42/1072800642.db2.gz FDMVHZUWFFYCOD-INIZCTEOSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)C[C@@H](O)C1CC1 ZINC000682301317 1072800722 /nfs/dbraw/zinc/80/07/22/1072800722.db2.gz FDMVHZUWFFYCOD-MRXNPFEDSA-N 0 0 441.506 -0.116 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682301804 1072800926 /nfs/dbraw/zinc/80/09/26/1072800926.db2.gz LKTVOEIJJSPRKV-HNNXBMFYSA-N 0 0 425.511 -0.669 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682301805 1072800758 /nfs/dbraw/zinc/80/07/58/1072800758.db2.gz LKTVOEIJJSPRKV-OAHLLOKOSA-N 0 0 425.511 -0.669 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C[C@H](O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000682302997 1072800628 /nfs/dbraw/zinc/80/06/28/1072800628.db2.gz YDAXMVQCHVDBKH-HNNXBMFYSA-N 0 0 441.506 -0.116 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)C[C@@H](O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000682302998 1072800595 /nfs/dbraw/zinc/80/05/95/1072800595.db2.gz YDAXMVQCHVDBKH-OAHLLOKOSA-N 0 0 441.506 -0.116 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCNC(=O)[C@H]1c1ccccc1F ZINC000682303356 1072800876 /nfs/dbraw/zinc/80/08/76/1072800876.db2.gz IDSUHEXOTZEDKE-GOSISDBHSA-N 0 0 444.467 -0.007 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1F ZINC000682303357 1072800661 /nfs/dbraw/zinc/80/06/61/1072800661.db2.gz IDSUHEXOTZEDKE-SFHVURJKSA-N 0 0 444.467 -0.007 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCNC(=O)[C@H]1c1ccccc1F ZINC000682303628 1072800575 /nfs/dbraw/zinc/80/05/75/1072800575.db2.gz SNUUYFSLQIIIMH-GOSISDBHSA-N 0 0 440.435 -0.205 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1F ZINC000682303629 1072800909 /nfs/dbraw/zinc/80/09/09/1072800909.db2.gz SNUUYFSLQIIIMH-SFHVURJKSA-N 0 0 440.435 -0.205 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCNC(=O)[C@H]1c1ccccc1F ZINC000682303886 1072800814 /nfs/dbraw/zinc/80/08/14/1072800814.db2.gz HJLMIJHUHNRUIA-GOSISDBHSA-N 0 0 444.467 -0.007 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1F ZINC000682303887 1072800967 /nfs/dbraw/zinc/80/09/67/1072800967.db2.gz HJLMIJHUHNRUIA-SFHVURJKSA-N 0 0 444.467 -0.007 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2F)c1 ZINC000682303959 1072800739 /nfs/dbraw/zinc/80/07/39/1072800739.db2.gz ZIVJCGYJMNYHNW-KRWDZBQOSA-N 0 0 441.419 -0.321 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2F)c1 ZINC000682303960 1072800615 /nfs/dbraw/zinc/80/06/15/1072800615.db2.gz ZIVJCGYJMNYHNW-QGZVFWFLSA-N 0 0 441.419 -0.321 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2F)cn1 ZINC000682305022 1072800781 /nfs/dbraw/zinc/80/07/81/1072800781.db2.gz SDMWUGGQKBOEEF-KRWDZBQOSA-N 0 0 446.439 -0.577 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2F)cn1 ZINC000682305027 1072800949 /nfs/dbraw/zinc/80/09/49/1072800949.db2.gz SDMWUGGQKBOEEF-QGZVFWFLSA-N 0 0 446.439 -0.577 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2F)cn1)NC1CC1 ZINC000682305747 1072801433 /nfs/dbraw/zinc/80/14/33/1072801433.db2.gz JDMZOODKZIIBSM-KRWDZBQOSA-N 0 0 428.424 -0.061 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2F)cn1)NC1CC1 ZINC000682305750 1072801145 /nfs/dbraw/zinc/80/11/45/1072801145.db2.gz JDMZOODKZIIBSM-QGZVFWFLSA-N 0 0 428.424 -0.061 20 0 IBADRN CC(C)C[C@@H](CO)N(C)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682306008 1072801415 /nfs/dbraw/zinc/80/14/15/1072801415.db2.gz SQGJHMQCGCOAFN-INIZCTEOSA-N 0 0 441.554 -0.033 20 0 IBADRN CC(C)C[C@H](CO)N(C)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682306010 1072801543 /nfs/dbraw/zinc/80/15/43/1072801543.db2.gz SQGJHMQCGCOAFN-MRXNPFEDSA-N 0 0 441.554 -0.033 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H]1CCCc2c1cnn2C ZINC000682311645 1072801161 /nfs/dbraw/zinc/80/11/61/1072801161.db2.gz QZMPUJQSQALDTE-AWEZNQCLSA-N 0 0 425.449 -0.160 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H]1CCCc2c1cnn2C ZINC000682311646 1072801566 /nfs/dbraw/zinc/80/15/66/1072801566.db2.gz QZMPUJQSQALDTE-CQSZACIVSA-N 0 0 425.449 -0.160 20 0 IBADRN CC(C)(O)CN(C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C1CC1 ZINC000682314070 1072801464 /nfs/dbraw/zinc/80/14/64/1072801464.db2.gz COUNMZZOJLPBGM-UHFFFAOYSA-N 0 0 439.538 -0.136 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)n(-c2cccc(Cl)c2)n1 ZINC000682319197 1072801448 /nfs/dbraw/zinc/80/14/48/1072801448.db2.gz OQOCPMUDVFFOAM-AWEZNQCLSA-N 0 0 430.852 -0.058 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)n(-c2cccc(Cl)c2)n1 ZINC000682319198 1072801505 /nfs/dbraw/zinc/80/15/05/1072801505.db2.gz OQOCPMUDVFFOAM-CQSZACIVSA-N 0 0 430.852 -0.058 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2)CC1 ZINC000682319664 1072801269 /nfs/dbraw/zinc/80/12/69/1072801269.db2.gz QNWWWPNDOYYQLB-INIZCTEOSA-N 0 0 442.476 -0.835 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2)CC1 ZINC000682319665 1072801188 /nfs/dbraw/zinc/80/11/88/1072801188.db2.gz QNWWWPNDOYYQLB-MRXNPFEDSA-N 0 0 442.476 -0.835 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000682320259 1072801303 /nfs/dbraw/zinc/80/13/03/1072801303.db2.gz VJYCGGGIUJWWQB-AWEZNQCLSA-N 0 0 426.433 -0.952 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000682320265 1072801486 /nfs/dbraw/zinc/80/14/86/1072801486.db2.gz VJYCGGGIUJWWQB-CQSZACIVSA-N 0 0 426.433 -0.952 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](CC(C)C)C(=O)NCCOC)cc1 ZINC000682335632 1072801521 /nfs/dbraw/zinc/80/15/21/1072801521.db2.gz DNGLFWFIPOSYTE-HNNXBMFYSA-N 0 0 428.511 -0.173 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC(F)(F)C[C@H]1CO ZINC000682337671 1072801174 /nfs/dbraw/zinc/80/11/74/1072801174.db2.gz QVWLAUIULBZKGB-INIZCTEOSA-N 0 0 440.447 -0.084 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CC(F)(F)C[C@@H]1CO ZINC000682337673 1072801126 /nfs/dbraw/zinc/80/11/26/1072801126.db2.gz QVWLAUIULBZKGB-MRXNPFEDSA-N 0 0 440.447 -0.084 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(F)(F)C[C@@H]1CO ZINC000682337952 1072801248 /nfs/dbraw/zinc/80/12/48/1072801248.db2.gz WAVBYNRZJFMVAV-CYBMUJFWSA-N 0 0 433.433 -0.126 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CC(F)(F)C[C@H]1CO ZINC000682337957 1072801745 /nfs/dbraw/zinc/80/17/45/1072801745.db2.gz WAVBYNRZJFMVAV-ZDUSSCGKSA-N 0 0 433.433 -0.126 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(F)(F)C[C@H]3CO)cn2)C[C@@H](C)O1 ZINC000682338017 1072802190 /nfs/dbraw/zinc/80/21/90/1072802190.db2.gz XOVYDOBKDWJSRB-BZPMIXESSA-N 0 0 429.424 -0.314 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(F)(F)C[C@@H]3CO)cn2)C[C@H](C)O1 ZINC000682338018 1072802047 /nfs/dbraw/zinc/80/20/47/1072802047.db2.gz XOVYDOBKDWJSRB-MBNYWOFBSA-N 0 0 429.424 -0.314 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(F)(F)C[C@H]3CO)cn2)C[C@H](C)O1 ZINC000682338019 1072802122 /nfs/dbraw/zinc/80/21/22/1072802122.db2.gz XOVYDOBKDWJSRB-SCRDCRAPSA-N 0 0 429.424 -0.314 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC(F)(F)C[C@@H]3CO)cn2)C[C@@H](C)O1 ZINC000682338020 1072802101 /nfs/dbraw/zinc/80/21/01/1072802101.db2.gz XOVYDOBKDWJSRB-YRGRVCCFSA-N 0 0 429.424 -0.314 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(F)(F)C[C@H]3CO)c2)CC1 ZINC000682338478 1072801931 /nfs/dbraw/zinc/80/19/31/1072801931.db2.gz WRGIZLRYIDKULE-AWEZNQCLSA-N 0 0 446.476 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CC(F)(F)C[C@@H]3CO)c2)CC1 ZINC000682338479 1072801757 /nfs/dbraw/zinc/80/17/57/1072801757.db2.gz WRGIZLRYIDKULE-CQSZACIVSA-N 0 0 446.476 -0.210 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC(F)(F)C[C@@H]3CO)cn2)CC1 ZINC000682338525 1072801710 /nfs/dbraw/zinc/80/17/10/1072801710.db2.gz YJPPDQJBWKEIKS-CYBMUJFWSA-N 0 0 447.464 -0.670 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC(F)(F)C[C@H]3CO)cn2)CC1 ZINC000682338526 1072802172 /nfs/dbraw/zinc/80/21/72/1072802172.db2.gz YJPPDQJBWKEIKS-ZDUSSCGKSA-N 0 0 447.464 -0.670 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)cc2)CC1 ZINC000682339334 1072802065 /nfs/dbraw/zinc/80/20/65/1072802065.db2.gz KANVGGRJEUAPMB-GOSISDBHSA-N 0 0 445.520 -0.498 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)cc2)CC1 ZINC000682339335 1072802141 /nfs/dbraw/zinc/80/21/41/1072802141.db2.gz KANVGGRJEUAPMB-SFHVURJKSA-N 0 0 445.520 -0.498 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCN1C ZINC000682339860 1072801940 /nfs/dbraw/zinc/80/19/40/1072801940.db2.gz QGGRZLLLJIGCOP-CABCVRRESA-N 0 0 438.554 -0.347 20 0 IBADRN C[C@H]1[C@H](C)N(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCN1C ZINC000682339862 1072801845 /nfs/dbraw/zinc/80/18/45/1072801845.db2.gz QGGRZLLLJIGCOP-GJZGRUSLSA-N 0 0 438.554 -0.347 20 0 IBADRN C[C@@H]1[C@@H](C)N(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCN1C ZINC000682339865 1072802009 /nfs/dbraw/zinc/80/20/09/1072802009.db2.gz QGGRZLLLJIGCOP-HUUCEWRRSA-N 0 0 438.554 -0.347 20 0 IBADRN C[C@@H]1[C@H](C)N(C)CCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682339867 1072801779 /nfs/dbraw/zinc/80/17/79/1072801779.db2.gz QGGRZLLLJIGCOP-LSDHHAIUSA-N 0 0 438.554 -0.347 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(F)(F)C[C@H]1CO ZINC000682339981 1072802237 /nfs/dbraw/zinc/80/22/37/1072802237.db2.gz APFAVBRRSIGTOH-BBRMVZONSA-N 0 0 439.415 -0.132 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(F)(F)C[C@@H]1CO ZINC000682339982 1072801726 /nfs/dbraw/zinc/80/17/26/1072801726.db2.gz APFAVBRRSIGTOH-CJNGLKHVSA-N 0 0 439.415 -0.132 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(F)(F)C[C@@H]1CO ZINC000682339983 1072801906 /nfs/dbraw/zinc/80/19/06/1072801906.db2.gz APFAVBRRSIGTOH-CZUORRHYSA-N 0 0 439.415 -0.132 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CC(F)(F)C[C@H]1CO ZINC000682339984 1072801994 /nfs/dbraw/zinc/80/19/94/1072801994.db2.gz APFAVBRRSIGTOH-XJKSGUPXSA-N 0 0 439.415 -0.132 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N[C@H]2CCCN(CCO)C2=O)CC1 ZINC000682340387 1072802207 /nfs/dbraw/zinc/80/22/07/1072802207.db2.gz WOHORZNHCQQKCG-KRWDZBQOSA-N 0 0 431.493 -0.888 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N[C@@H]2CCCN(CCO)C2=O)CC1 ZINC000682340388 1072802469 /nfs/dbraw/zinc/80/24/69/1072802469.db2.gz WOHORZNHCQQKCG-QGZVFWFLSA-N 0 0 431.493 -0.888 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cc2)CC1 ZINC000682342315 1072802496 /nfs/dbraw/zinc/80/24/96/1072802496.db2.gz IITKVIFHVGYEKQ-CYBMUJFWSA-N 0 0 425.511 -0.804 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cc2)CC1 ZINC000682342316 1072802672 /nfs/dbraw/zinc/80/26/72/1072802672.db2.gz IITKVIFHVGYEKQ-ZDUSSCGKSA-N 0 0 425.511 -0.804 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC(F)(F)C[C@H]3CO)cc2)CC1 ZINC000682342708 1072802376 /nfs/dbraw/zinc/80/23/76/1072802376.db2.gz UKPXVSHNLDEZQU-AWEZNQCLSA-N 0 0 446.476 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CC(F)(F)C[C@@H]3CO)cc2)CC1 ZINC000682342709 1072802744 /nfs/dbraw/zinc/80/27/44/1072802744.db2.gz UKPXVSHNLDEZQU-CQSZACIVSA-N 0 0 446.476 -0.210 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC(F)(F)C[C@@H]1CO ZINC000682343398 1072802416 /nfs/dbraw/zinc/80/24/16/1072802416.db2.gz ZRWFRWISKNTGNM-CYBMUJFWSA-N 0 0 433.433 -0.126 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CC(F)(F)C[C@H]1CO ZINC000682343400 1072802617 /nfs/dbraw/zinc/80/26/17/1072802617.db2.gz ZRWFRWISKNTGNM-ZDUSSCGKSA-N 0 0 433.433 -0.126 20 0 IBADRN CN(CC1(O)CCC1)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682347028 1072802395 /nfs/dbraw/zinc/80/23/95/1072802395.db2.gz JEZFQKOZIRSLFZ-UHFFFAOYSA-N 0 0 425.511 -0.525 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCOC[C@H]1c1noc(C)n1)CC2 ZINC000682348235 1072802577 /nfs/dbraw/zinc/80/25/77/1072802577.db2.gz IYBWZMPWBRBZLV-HNNXBMFYSA-N 0 0 435.462 -0.225 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCOC[C@@H]1c1noc(C)n1)CC2 ZINC000682348236 1072802698 /nfs/dbraw/zinc/80/26/98/1072802698.db2.gz IYBWZMPWBRBZLV-OAHLLOKOSA-N 0 0 435.462 -0.225 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682369031 1073198060 /nfs/dbraw/zinc/19/80/60/1073198060.db2.gz BSNRPEBHYLKJOG-KBPBESRZSA-N 0 0 430.552 -0.852 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682369032 1073198004 /nfs/dbraw/zinc/19/80/04/1073198004.db2.gz BSNRPEBHYLKJOG-KGLIPLIRSA-N 0 0 430.552 -0.852 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682369035 1073197939 /nfs/dbraw/zinc/19/79/39/1073197939.db2.gz BSNRPEBHYLKJOG-UONOGXRCSA-N 0 0 430.552 -0.852 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682369036 1073197961 /nfs/dbraw/zinc/19/79/61/1073197961.db2.gz BSNRPEBHYLKJOG-ZIAGYGMSSA-N 0 0 430.552 -0.852 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)CC1 ZINC000682369397 1073198042 /nfs/dbraw/zinc/19/80/42/1073198042.db2.gz GRZDUIIJPSCGCR-UHFFFAOYSA-N 0 0 435.550 -0.463 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682370139 1072802525 /nfs/dbraw/zinc/80/25/25/1072802525.db2.gz BHCOXYNVMJNOCV-CABCVRRESA-N 0 0 444.579 -0.510 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682370140 1072802660 /nfs/dbraw/zinc/80/26/60/1072802660.db2.gz BHCOXYNVMJNOCV-GJZGRUSLSA-N 0 0 444.579 -0.510 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682370141 1072802541 /nfs/dbraw/zinc/80/25/41/1072802541.db2.gz BHCOXYNVMJNOCV-HUUCEWRRSA-N 0 0 444.579 -0.510 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682370142 1072802435 /nfs/dbraw/zinc/80/24/35/1072802435.db2.gz BHCOXYNVMJNOCV-LSDHHAIUSA-N 0 0 444.579 -0.510 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(c3ccccc3S(N)(=O)=O)CC2)c(=O)[nH]c1=O ZINC000682370158 1072802603 /nfs/dbraw/zinc/80/26/03/1072802603.db2.gz CDXVTNKUXLEJLB-UHFFFAOYSA-N 0 0 436.494 -0.797 20 0 IBADRN CC(C)(CNC(=O)CN1CCN(c2ccccc2S(N)(=O)=O)CC1)N1CCOCC1 ZINC000682370173 1072802632 /nfs/dbraw/zinc/80/26/32/1072802632.db2.gz DAYVXZNIFRBYHR-UHFFFAOYSA-N 0 0 439.582 -0.317 20 0 IBADRN CCN(C(=O)CN1CCN(c2ccccc2S(N)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000682370559 1072802452 /nfs/dbraw/zinc/80/24/52/1072802452.db2.gz CJBQVPBSQLMBSM-HNNXBMFYSA-N 0 0 444.579 -0.508 20 0 IBADRN CCN(C(=O)CN1CCN(c2ccccc2S(N)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000682370560 1072802561 /nfs/dbraw/zinc/80/25/61/1072802561.db2.gz CJBQVPBSQLMBSM-OAHLLOKOSA-N 0 0 444.579 -0.508 20 0 IBADRN C[C@H](NC(=O)CN1CCN(c2ccccc2S(N)(=O)=O)CC1)C(=O)N1CCCCC1 ZINC000682370608 1072802728 /nfs/dbraw/zinc/80/27/28/1072802728.db2.gz HBSNNDKGMOCCEQ-INIZCTEOSA-N 0 0 437.566 -0.027 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(c2ccccc2S(N)(=O)=O)CC1)C(=O)N1CCCCC1 ZINC000682370609 1072802714 /nfs/dbraw/zinc/80/27/14/1072802714.db2.gz HBSNNDKGMOCCEQ-MRXNPFEDSA-N 0 0 437.566 -0.027 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(c3ccccc3S(N)(=O)=O)CC2)cn1 ZINC000682371064 1072802880 /nfs/dbraw/zinc/80/28/80/1072802880.db2.gz MWUBJXRXNGDIRC-UHFFFAOYSA-N 0 0 428.540 -0.832 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682371199 1072803073 /nfs/dbraw/zinc/80/30/73/1072803073.db2.gz PHTFUCCXZANRMI-UHFFFAOYSA-N 0 0 425.555 -0.171 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)Cn2nnc3ccccc3c2=O)CC1 ZINC000682386474 1072803093 /nfs/dbraw/zinc/80/30/93/1072803093.db2.gz AXLSAMQBFLFDPR-UHFFFAOYSA-N 0 0 428.474 -0.212 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cn1 ZINC000682386540 1072802952 /nfs/dbraw/zinc/80/29/52/1072802952.db2.gz DUNZUBCBODLVGK-UHFFFAOYSA-N 0 0 439.519 -0.401 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682387018 1072803010 /nfs/dbraw/zinc/80/30/10/1072803010.db2.gz IYVCQVCIPLFIKQ-UHFFFAOYSA-N 0 0 448.567 -0.673 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000682387169 1072803057 /nfs/dbraw/zinc/80/30/57/1072803057.db2.gz BGWWWCVJLVYIGJ-UHFFFAOYSA-N 0 0 435.506 -0.153 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682387586 1072802983 /nfs/dbraw/zinc/80/29/83/1072802983.db2.gz HBKNNQDQVYDFMG-UHFFFAOYSA-N 0 0 428.492 -0.155 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)s1 ZINC000682387662 1072803108 /nfs/dbraw/zinc/80/31/08/1072803108.db2.gz UIJOHBIZUOIMCD-UHFFFAOYSA-N 0 0 444.560 -0.066 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)c1 ZINC000682387849 1072802902 /nfs/dbraw/zinc/80/29/02/1072802902.db2.gz ZGXAZXHECQQPCS-UHFFFAOYSA-N 0 0 441.535 -0.125 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)C1=O ZINC000682387857 1072803123 /nfs/dbraw/zinc/80/31/23/1072803123.db2.gz ZMIGAQXIZDXRKI-IBGZPJMESA-N 0 0 435.506 -0.297 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)C1=O ZINC000682387859 1072802938 /nfs/dbraw/zinc/80/29/38/1072802938.db2.gz ZMIGAQXIZDXRKI-LJQANCHMSA-N 0 0 435.506 -0.297 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(c3ccccc3S(N)(=O)=O)CC1)c2=O ZINC000682387870 1072803042 /nfs/dbraw/zinc/80/30/42/1072803042.db2.gz PFJGBACIZJGKSF-UHFFFAOYSA-N 0 0 431.478 -0.874 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682388170 1072802969 /nfs/dbraw/zinc/80/29/69/1072802969.db2.gz GTOSNNYSYQDEFA-UHFFFAOYSA-N 0 0 427.508 -0.718 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)o1 ZINC000682388229 1072803019 /nfs/dbraw/zinc/80/30/19/1072803019.db2.gz VDUAODHSHZLKCZ-UHFFFAOYSA-N 0 0 428.492 -0.203 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)nc1 ZINC000682388325 1072802997 /nfs/dbraw/zinc/80/29/97/1072802997.db2.gz JYWJDIDLAKOYID-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cn1 ZINC000682388461 1072803257 /nfs/dbraw/zinc/80/32/57/1072803257.db2.gz ZOMLGMYSVFZJPP-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN Cc1oc(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cc1S(N)(=O)=O ZINC000682388476 1072803280 /nfs/dbraw/zinc/80/32/80/1072803280.db2.gz NQIFYTXULFOWCY-UHFFFAOYSA-N 0 0 428.492 -0.155 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)co1 ZINC000682388777 1072803335 /nfs/dbraw/zinc/80/33/35/1072803335.db2.gz ZNHCYOBBIGOIGF-UHFFFAOYSA-N 0 0 428.492 -0.203 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682389368 1072803430 /nfs/dbraw/zinc/80/34/30/1072803430.db2.gz MGQQVQJOHUZJFN-HNNXBMFYSA-N 0 0 430.552 -0.203 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682389369 1072803541 /nfs/dbraw/zinc/80/35/41/1072803541.db2.gz MGQQVQJOHUZJFN-OAHLLOKOSA-N 0 0 430.552 -0.203 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)n1cccn1 ZINC000682389468 1072803447 /nfs/dbraw/zinc/80/34/47/1072803447.db2.gz QIXYVBYAUGGUKI-HNNXBMFYSA-N 0 0 434.522 -0.101 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)n1cccn1 ZINC000682389469 1072803378 /nfs/dbraw/zinc/80/33/78/1072803378.db2.gz QIXYVBYAUGGUKI-OAHLLOKOSA-N 0 0 434.522 -0.101 20 0 IBADRN CN(CC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)c1ncnc2nc[nH]c21 ZINC000682389521 1072803497 /nfs/dbraw/zinc/80/34/97/1072803497.db2.gz TULORNJNNUXQBQ-UHFFFAOYSA-N 0 0 430.494 -0.215 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682390157 1072803295 /nfs/dbraw/zinc/80/32/95/1072803295.db2.gz KZHOEBWCGWMAAU-UHFFFAOYSA-N 0 0 430.490 -0.130 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682390299 1072803233 /nfs/dbraw/zinc/80/32/33/1072803233.db2.gz NZBODYZAVZPZDS-UHFFFAOYSA-N 0 0 425.511 -0.985 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682390550 1072803466 /nfs/dbraw/zinc/80/34/66/1072803466.db2.gz NXGWYNZJCATCTC-INIZCTEOSA-N 0 0 437.522 -0.890 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682390553 1072803415 /nfs/dbraw/zinc/80/34/15/1072803415.db2.gz NXGWYNZJCATCTC-MRXNPFEDSA-N 0 0 437.522 -0.890 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682390639 1072803524 /nfs/dbraw/zinc/80/35/24/1072803524.db2.gz GAVRNFSRKGFQSU-HNNXBMFYSA-N 0 0 432.568 -0.054 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682390640 1072803398 /nfs/dbraw/zinc/80/33/98/1072803398.db2.gz GAVRNFSRKGFQSU-OAHLLOKOSA-N 0 0 432.568 -0.054 20 0 IBADRN Cn1c2ncc(C(=O)N3CCN(c4ccccc4S(N)(=O)=O)CC3)cc2c(=O)[nH]c1=O ZINC000682390941 1073198025 /nfs/dbraw/zinc/19/80/25/1073198025.db2.gz UPNIONWTWDKNHE-UHFFFAOYSA-N 0 0 444.473 -0.356 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)COCC(=O)NCc2cccnc2)CC1 ZINC000682391022 1072803561 /nfs/dbraw/zinc/80/35/61/1072803561.db2.gz VZQAXKDZTBHAEH-UHFFFAOYSA-N 0 0 447.517 -0.289 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)ccn1 ZINC000682391837 1072803481 /nfs/dbraw/zinc/80/34/81/1072803481.db2.gz XPUUABACVOAMJI-UHFFFAOYSA-N 0 0 425.492 -0.661 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000682393762 1072803315 /nfs/dbraw/zinc/80/33/15/1072803315.db2.gz FVCJOANCQIPUAI-IPYPFGDCSA-N 0 0 449.551 -0.253 20 0 IBADRN C[C@H](O)CN(C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)[C@@H](C)CO ZINC000682393763 1072803821 /nfs/dbraw/zinc/80/38/21/1072803821.db2.gz FVCJOANCQIPUAI-KCQAQPDRSA-N 0 0 449.551 -0.253 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000682393764 1072803842 /nfs/dbraw/zinc/80/38/42/1072803842.db2.gz FVCJOANCQIPUAI-VNHYZAJKSA-N 0 0 449.551 -0.253 20 0 IBADRN C[C@H](O)CN(C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)[C@@H](C)CO ZINC000682393765 1072803747 /nfs/dbraw/zinc/80/37/47/1072803747.db2.gz FVCJOANCQIPUAI-YDHLFZDLSA-N 0 0 449.551 -0.253 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CCC(S(N)(=O)=O)CC3)cn2)CC1 ZINC000682395851 1072803777 /nfs/dbraw/zinc/80/37/77/1072803777.db2.gz FTVJASGXOKWUOS-UHFFFAOYSA-N 0 0 446.555 -0.552 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC(S(N)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000682398469 1072803706 /nfs/dbraw/zinc/80/37/06/1072803706.db2.gz VYWBLKPTZIBCFA-BETUJISGSA-N 0 0 428.515 -0.196 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC(S(N)(=O)=O)CC3)cn2)C[C@@H](C)O1 ZINC000682398470 1072803735 /nfs/dbraw/zinc/80/37/35/1072803735.db2.gz VYWBLKPTZIBCFA-CHWSQXEVSA-N 0 0 428.515 -0.196 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC(S(N)(=O)=O)CC3)cn2)C[C@H](C)O1 ZINC000682398471 1072803722 /nfs/dbraw/zinc/80/37/22/1072803722.db2.gz VYWBLKPTZIBCFA-STQMWFEESA-N 0 0 428.515 -0.196 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC[C@H]1C ZINC000682410760 1072803934 /nfs/dbraw/zinc/80/39/34/1072803934.db2.gz AZISJOOYSJGQFH-GDBMZVCRSA-N 0 0 439.538 -0.015 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC[C@@H]1C ZINC000682410761 1072803794 /nfs/dbraw/zinc/80/37/94/1072803794.db2.gz AZISJOOYSJGQFH-GOEBONIOSA-N 0 0 439.538 -0.015 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC[C@@H]1C ZINC000682410762 1072803961 /nfs/dbraw/zinc/80/39/61/1072803961.db2.gz AZISJOOYSJGQFH-HOCLYGCPSA-N 0 0 439.538 -0.015 20 0 IBADRN CO[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC[C@H]1C ZINC000682410763 1072803983 /nfs/dbraw/zinc/80/39/83/1072803983.db2.gz AZISJOOYSJGQFH-ZBFHGGJFSA-N 0 0 439.538 -0.015 20 0 IBADRN CC[C@@]1(CO)CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682410981 1072803969 /nfs/dbraw/zinc/80/39/69/1072803969.db2.gz RXEJOSMLPQZYLM-IBGZPJMESA-N 0 0 439.538 -0.135 20 0 IBADRN CC[C@]1(CO)CCCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682410983 1072803949 /nfs/dbraw/zinc/80/39/49/1072803949.db2.gz RXEJOSMLPQZYLM-LJQANCHMSA-N 0 0 439.538 -0.135 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCS(=O)(=O)NC)cc2)CC1 ZINC000682411018 1072803893 /nfs/dbraw/zinc/80/38/93/1072803893.db2.gz HGCZTRRRPFZFBK-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000682411235 1072803877 /nfs/dbraw/zinc/80/38/77/1072803877.db2.gz OEBSIRGTKIYJDE-UHFFFAOYSA-N 0 0 444.521 -0.138 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N(CCO)C3CCC3)cn2)CC1 ZINC000682411541 1072803759 /nfs/dbraw/zinc/80/37/59/1072803759.db2.gz VNCKSMDYGKKMTO-UHFFFAOYSA-N 0 0 425.511 -0.525 20 0 IBADRN CN(CC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000682411755 1072803858 /nfs/dbraw/zinc/80/38/58/1072803858.db2.gz YGUIXQLIHGBUTM-AWEZNQCLSA-N 0 0 430.552 -0.899 20 0 IBADRN CN(CC(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000682411756 1072803924 /nfs/dbraw/zinc/80/39/24/1072803924.db2.gz YGUIXQLIHGBUTM-CQSZACIVSA-N 0 0 430.552 -0.899 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@@H]1CO ZINC000682412897 1072803689 /nfs/dbraw/zinc/80/36/89/1072803689.db2.gz OSEJMKDDVVSEEQ-DZGCQCFKSA-N 0 0 425.511 -0.669 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@H]1CO ZINC000682412903 1072803808 /nfs/dbraw/zinc/80/38/08/1072803808.db2.gz OSEJMKDDVVSEEQ-HIFRSBDPSA-N 0 0 425.511 -0.669 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@@H]1CO ZINC000682412904 1072804361 /nfs/dbraw/zinc/80/43/61/1072804361.db2.gz OSEJMKDDVVSEEQ-UKRRQHHQSA-N 0 0 425.511 -0.669 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[C@H]1CO ZINC000682412905 1072804401 /nfs/dbraw/zinc/80/44/01/1072804401.db2.gz OSEJMKDDVVSEEQ-ZFWWWQNUSA-N 0 0 425.511 -0.669 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000682414124 1072804323 /nfs/dbraw/zinc/80/43/23/1072804323.db2.gz PKRJAXCLSRNWSC-UHFFFAOYSA-N 0 0 436.494 -0.107 20 0 IBADRN CNC(=O)c1ccc(OC)c(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000682414616 1072804228 /nfs/dbraw/zinc/80/42/28/1072804228.db2.gz CRJFTROZOSVRSK-UHFFFAOYSA-N 0 0 431.453 -0.451 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000682414697 1072804122 /nfs/dbraw/zinc/80/41/22/1072804122.db2.gz IIZJYMFOHNUFTD-UHFFFAOYSA-N 0 0 445.480 -0.109 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccc(Cn3cncn3)c1)C2 ZINC000682414776 1072804178 /nfs/dbraw/zinc/80/41/78/1072804178.db2.gz NBPOVPOCGCQFIE-UHFFFAOYSA-N 0 0 425.453 -0.180 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000682414890 1072804375 /nfs/dbraw/zinc/80/43/75/1072804375.db2.gz SFHFJQDTIUJHIG-UHFFFAOYSA-N 0 0 429.481 -0.189 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccccc1OCCC(N)=O)C2 ZINC000682415069 1072804193 /nfs/dbraw/zinc/80/41/93/1072804193.db2.gz WCSYKWKJPYFYDR-UHFFFAOYSA-N 0 0 431.453 -0.565 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccccc1SCC(N)=O)C2 ZINC000682415235 1072804427 /nfs/dbraw/zinc/80/44/27/1072804427.db2.gz NBUOXASURYCNFH-UHFFFAOYSA-N 0 0 433.494 -0.242 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000682415374 1072804442 /nfs/dbraw/zinc/80/44/42/1072804442.db2.gz KRHGRIZFLKVKJW-UHFFFAOYSA-N 0 0 431.453 -0.695 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccc(N3CCNC3=O)c1)C2 ZINC000682415471 1072804209 /nfs/dbraw/zinc/80/42/09/1072804209.db2.gz TXGAGLIKFFSCOZ-UHFFFAOYSA-N 0 0 428.453 -0.290 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc(OCCC(N)=O)cc1)C2 ZINC000682415954 1072804278 /nfs/dbraw/zinc/80/42/78/1072804278.db2.gz UEZICFRFYPZRHZ-UHFFFAOYSA-N 0 0 431.453 -0.565 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc3c(c1)N(C)C(=O)CO3)C2 ZINC000682416372 1072804161 /nfs/dbraw/zinc/80/41/61/1072804161.db2.gz LIYVASGRXNETDA-UHFFFAOYSA-N 0 0 429.437 -0.464 20 0 IBADRN COc1cc(C(=O)N(C)C)ccc1NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000682416437 1072804342 /nfs/dbraw/zinc/80/43/42/1072804342.db2.gz OIAFXKHHJXGQJU-UHFFFAOYSA-N 0 0 445.480 -0.109 20 0 IBADRN Cc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1S(N)(=O)=O ZINC000682416488 1072804310 /nfs/dbraw/zinc/80/43/10/1072804310.db2.gz AHELRNUYLZVITB-UHFFFAOYSA-N 0 0 437.482 -0.863 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccn(CC(F)(F)F)c1=O)C2 ZINC000682416578 1072804296 /nfs/dbraw/zinc/80/42/96/1072804296.db2.gz RXEMAWSYKGBYOS-UHFFFAOYSA-N 0 0 443.386 -0.095 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1noc(-c3cccs3)n1)C2 ZINC000682416692 1072804409 /nfs/dbraw/zinc/80/44/09/1072804409.db2.gz GQTWXJOTGNVGDX-UHFFFAOYSA-N 0 0 432.466 -0.030 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000682417157 1072804263 /nfs/dbraw/zinc/80/42/63/1072804263.db2.gz RFHPQJRRCHPHRG-UHFFFAOYSA-N 0 0 437.482 -0.911 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccn(-c3ncccc3F)n1)C2 ZINC000682417214 1072804245 /nfs/dbraw/zinc/80/42/45/1072804245.db2.gz GCMAACUIJNRBJA-UHFFFAOYSA-N 0 0 429.416 -0.100 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cnn(Cc3ccccn3)c1)C2 ZINC000682417376 1072804601 /nfs/dbraw/zinc/80/46/01/1072804601.db2.gz XQVLILMDZGVNJZ-UHFFFAOYSA-N 0 0 425.453 -0.180 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccn(Cc3ccncc3)n1)C2 ZINC000682417388 1072804780 /nfs/dbraw/zinc/80/47/80/1072804780.db2.gz XZLRYTASFQITIR-UHFFFAOYSA-N 0 0 425.453 -0.180 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccc([C@@H]3CNC(=O)C3)c1)C2 ZINC000682417635 1072804766 /nfs/dbraw/zinc/80/47/66/1072804766.db2.gz RCVKGKKDBWJUQP-AWEZNQCLSA-N 0 0 427.465 -0.216 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccc([C@H]3CNC(=O)C3)c1)C2 ZINC000682417736 1072804587 /nfs/dbraw/zinc/80/45/87/1072804587.db2.gz RCVKGKKDBWJUQP-CQSZACIVSA-N 0 0 427.465 -0.216 20 0 IBADRN Cc1cccn2cc(CCNC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)nc12 ZINC000682418031 1072804645 /nfs/dbraw/zinc/80/46/45/1072804645.db2.gz JNNZSZFUXNJZJB-UHFFFAOYSA-N 0 0 426.481 -0.143 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccnn1Cc1ccccn1)C2 ZINC000682418133 1072804879 /nfs/dbraw/zinc/80/48/79/1072804879.db2.gz XFRXDZKEZXFBRR-UHFFFAOYSA-N 0 0 425.453 -0.180 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(F)c1 ZINC000682418189 1072804711 /nfs/dbraw/zinc/80/47/11/1072804711.db2.gz MVPTYPFXORHWAK-UHFFFAOYSA-N 0 0 440.457 -0.565 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(F)c1 ZINC000682418425 1072804845 /nfs/dbraw/zinc/80/48/45/1072804845.db2.gz RCBGLJNRFDIYMJ-UHFFFAOYSA-N 0 0 431.421 -0.376 20 0 IBADRN CCc1nn(C)c(CC)c1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000682418446 1072804788 /nfs/dbraw/zinc/80/47/88/1072804788.db2.gz SHQADVBEYCECKN-UHFFFAOYSA-N 0 0 425.515 -0.804 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCN(C3CCCCC3)C1=O)C2 ZINC000682418548 1072804823 /nfs/dbraw/zinc/80/48/23/1072804823.db2.gz DVEQBESMMXWQCQ-HNNXBMFYSA-N 0 0 433.513 -0.408 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCN(C3CCCCC3)C1=O)C2 ZINC000682418549 1072804569 /nfs/dbraw/zinc/80/45/69/1072804569.db2.gz DVEQBESMMXWQCQ-OAHLLOKOSA-N 0 0 433.513 -0.408 20 0 IBADRN COc1cc(Cl)ccc1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000682418970 1072804804 /nfs/dbraw/zinc/80/48/04/1072804804.db2.gz ZEKCLLURGAYVKG-UHFFFAOYSA-N 0 0 429.886 -0.001 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCN(C(=O)C3CCCC3)C1)C2 ZINC000682419303 1072804743 /nfs/dbraw/zinc/80/47/43/1072804743.db2.gz QULMDJNKQDIPKK-HNNXBMFYSA-N 0 0 433.513 -0.551 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCN(C(=O)C3CCCC3)C1)C2 ZINC000682419304 1072804893 /nfs/dbraw/zinc/80/48/93/1072804893.db2.gz QULMDJNKQDIPKK-OAHLLOKOSA-N 0 0 433.513 -0.551 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCn1cc(Br)cn1)C2 ZINC000682428131 1072804678 /nfs/dbraw/zinc/80/46/78/1072804678.db2.gz QIIJGJZFLADYCQ-UHFFFAOYSA-N 0 0 426.275 -0.476 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1nc(N3CCCC3)ncc1Cl)C2 ZINC000682428323 1072804629 /nfs/dbraw/zinc/80/46/29/1072804629.db2.gz XOBUAJGOANOMAH-UHFFFAOYSA-N 0 0 434.888 -0.167 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(C)(C)N1C(=O)c3ccccc3C1=O)C2 ZINC000682428371 1072804860 /nfs/dbraw/zinc/80/48/60/1072804860.db2.gz ZRFHRMFKISYMRH-UHFFFAOYSA-N 0 0 440.460 -0.450 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)C2 ZINC000682429194 1072804616 /nfs/dbraw/zinc/80/46/16/1072804616.db2.gz KTKSISCBWHWCBI-AWEZNQCLSA-N 0 0 430.465 -0.330 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)C2 ZINC000682429198 1072804835 /nfs/dbraw/zinc/80/48/35/1072804835.db2.gz KTKSISCBWHWCBI-CQSZACIVSA-N 0 0 430.465 -0.330 20 0 IBADRN Cn1cc(-c2ncccc2NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000682433100 1072804694 /nfs/dbraw/zinc/80/46/94/1072804694.db2.gz XNBSPKRJWBZVSY-UHFFFAOYSA-N 0 0 435.510 -0.886 20 0 IBADRN Cc1oc(NC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c2c1c(C)n[nH]c2=O ZINC000682434718 1072805049 /nfs/dbraw/zinc/80/50/49/1072805049.db2.gz GTNCNZNHFYNGHU-UHFFFAOYSA-N 0 0 444.452 -0.554 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc3c(c1)OCCCO3)C2 ZINC000682434738 1072805062 /nfs/dbraw/zinc/80/50/62/1072805062.db2.gz ISPVPSBLXCJYFM-UHFFFAOYSA-N 0 0 444.448 -0.725 20 0 IBADRN CCc1c(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnn1CC(C)C ZINC000682434764 1072805076 /nfs/dbraw/zinc/80/50/76/1072805076.db2.gz KXQIRACBCIXCEF-UHFFFAOYSA-N 0 0 446.512 -0.471 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000682434996 1072805393 /nfs/dbraw/zinc/80/53/93/1072805393.db2.gz OXMUQFMRKHEISG-UHFFFAOYSA-N 0 0 443.464 -0.903 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccn1 ZINC000682435029 1072805085 /nfs/dbraw/zinc/80/50/85/1072805085.db2.gz PVAOLUKMNNTSEI-UHFFFAOYSA-N 0 0 431.453 -0.704 20 0 IBADRN CCN1CCc2ccc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc21 ZINC000682435355 1072805107 /nfs/dbraw/zinc/80/51/07/1072805107.db2.gz YYCRGFFHWPYKKP-UHFFFAOYSA-N 0 0 441.492 -0.504 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@@H](O)CC(F)(F)C1 ZINC000682443327 1072805019 /nfs/dbraw/zinc/80/50/19/1072805019.db2.gz FKAMRZQDSCIDMC-AWEZNQCLSA-N 0 0 433.481 -0.055 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@H](O)CC(F)(F)C1 ZINC000682443331 1072805265 /nfs/dbraw/zinc/80/52/65/1072805265.db2.gz FKAMRZQDSCIDMC-CQSZACIVSA-N 0 0 433.481 -0.055 20 0 IBADRN O=C(NCCC1CS(=O)(=O)C1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000682444381 1072805146 /nfs/dbraw/zinc/80/51/46/1072805146.db2.gz QVWITMPNCYLVNS-UHFFFAOYSA-N 0 0 445.567 -0.733 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)cn1 ZINC000682445207 1072805127 /nfs/dbraw/zinc/80/51/27/1072805127.db2.gz HMYGYGFCBTVBBY-INIZCTEOSA-N 0 0 434.478 -0.562 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)cn1 ZINC000682445212 1072805330 /nfs/dbraw/zinc/80/53/30/1072805330.db2.gz HMYGYGFCBTVBBY-MRXNPFEDSA-N 0 0 434.478 -0.562 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCCC2CS(=O)(=O)C2)CC1 ZINC000682457399 1072805294 /nfs/dbraw/zinc/80/52/94/1072805294.db2.gz DOZPGPUPUOMOMK-UHFFFAOYSA-N 0 0 444.579 -0.143 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000682478657 1073198455 /nfs/dbraw/zinc/19/84/55/1073198455.db2.gz OBFBYRVMWBQKPP-UHFFFAOYSA-N 0 0 425.504 -0.036 20 0 IBADRN O=C(NCCC1CS(=O)(=O)C1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000682479703 1072805352 /nfs/dbraw/zinc/80/53/52/1072805352.db2.gz LTTOTGUFWWUTOG-UHFFFAOYSA-N 0 0 445.519 -0.435 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000682480642 1072805202 /nfs/dbraw/zinc/80/52/02/1072805202.db2.gz RVRAMSRMWSWQAI-UHFFFAOYSA-N 0 0 439.531 -0.294 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC3CS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000682482995 1072805216 /nfs/dbraw/zinc/80/52/16/1072805216.db2.gz DYQXHMKLWPZXCA-KBPBESRZSA-N 0 0 438.572 -0.898 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC3CS(=O)(=O)C3)CC2)C[C@H](C)O1 ZINC000682482997 1072805249 /nfs/dbraw/zinc/80/52/49/1072805249.db2.gz DYQXHMKLWPZXCA-OKILXGFUSA-N 0 0 438.572 -0.898 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCC3CS(=O)(=O)C3)CC2)C[C@@H](C)O1 ZINC000682483000 1072805374 /nfs/dbraw/zinc/80/53/74/1072805374.db2.gz DYQXHMKLWPZXCA-ZIAGYGMSSA-N 0 0 438.572 -0.898 20 0 IBADRN CCC[C@@H]1CN(C(=O)[C@@H](O)Cc2cnn(C)c2)CCN1C(=O)[C@H](O)Cc1cnn(C)c1 ZINC000682510598 1072805176 /nfs/dbraw/zinc/80/51/76/1072805176.db2.gz YEFYTTXJTRUWAR-CEXWTWQISA-N 0 0 432.525 -0.500 20 0 IBADRN CCC[C@@H]1CN(C(=O)[C@H](O)Cc2cnn(C)c2)CCN1C(=O)[C@H](O)Cc1cnn(C)c1 ZINC000682510599 1072805236 /nfs/dbraw/zinc/80/52/36/1072805236.db2.gz YEFYTTXJTRUWAR-GUDVDZBRSA-N 0 0 432.525 -0.500 20 0 IBADRN CCC[C@@H]1CN(C(=O)[C@H](O)Cc2cnn(C)c2)CCN1C(=O)[C@@H](O)Cc1cnn(C)c1 ZINC000682510600 1072805315 /nfs/dbraw/zinc/80/53/15/1072805315.db2.gz YEFYTTXJTRUWAR-QRVBRYPASA-N 0 0 432.525 -0.500 20 0 IBADRN CCC[C@@H]1CN(C(=O)[C@@H](O)Cc2cnn(C)c2)CCN1C(=O)[C@@H](O)Cc1cnn(C)c1 ZINC000682510601 1072805660 /nfs/dbraw/zinc/80/56/60/1072805660.db2.gz YEFYTTXJTRUWAR-QYZOEREBSA-N 0 0 432.525 -0.500 20 0 IBADRN CN(C(=O)[C@H](O)Cc1cnn(C)c1)[C@@H]1CCCC[C@@H]1N(C)C(=O)[C@@H](O)Cc1cnn(C)c1 ZINC000682515915 1072805869 /nfs/dbraw/zinc/80/58/69/1072805869.db2.gz FZPCFNNWNOYXQT-FGYAAKKASA-N 0 0 446.552 -0.111 20 0 IBADRN CN(C(=O)[C@H](O)Cc1cnn(C)c1)[C@@H]1CCCC[C@@H]1N(C)C(=O)[C@H](O)Cc1cnn(C)c1 ZINC000682515916 1072805728 /nfs/dbraw/zinc/80/57/28/1072805728.db2.gz FZPCFNNWNOYXQT-IYWMVGAKSA-N 0 0 446.552 -0.111 20 0 IBADRN CN(C(=O)[C@H](O)Cc1cnn(C)c1)[C@@H]1CCCC[C@H]1N(C)C(=O)[C@H](O)Cc1cnn(C)c1 ZINC000682515917 1072805882 /nfs/dbraw/zinc/80/58/82/1072805882.db2.gz FZPCFNNWNOYXQT-UAFMIMERSA-N 0 0 446.552 -0.111 20 0 IBADRN CN(C(=O)[C@H](O)Cc1cnn(C)c1)[C@@H]1CCCC[C@H]1N(C)C(=O)[C@@H](O)Cc1cnn(C)c1 ZINC000682515918 1072805841 /nfs/dbraw/zinc/80/58/41/1072805841.db2.gz FZPCFNNWNOYXQT-WTGUMLROSA-N 0 0 446.552 -0.111 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)[C@H](O)Cc2cnn(C)c2)CCN1C(=O)[C@H](O)Cc1cnn(C)c1 ZINC000682516780 1072805673 /nfs/dbraw/zinc/80/56/73/1072805673.db2.gz SICOIGPEZGJACF-KCUHQSDYSA-N 0 0 446.552 -0.254 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)[C@@H](O)Cc2cnn(C)c2)CCN1C(=O)[C@@H](O)Cc1cnn(C)c1 ZINC000682516782 1072805689 /nfs/dbraw/zinc/80/56/89/1072805689.db2.gz SICOIGPEZGJACF-KNTRFNDTSA-N 0 0 446.552 -0.254 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)[C@@H](O)Cc2cnn(C)c2)CCN1C(=O)[C@H](O)Cc1cnn(C)c1 ZINC000682516926 1073198799 /nfs/dbraw/zinc/19/87/99/1073198799.db2.gz SICOIGPEZGJACF-MVJPYGJCSA-N 0 0 446.552 -0.254 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)[C@H](O)Cc2cnn(C)c2)CCN1C(=O)[C@@H](O)Cc1cnn(C)c1 ZINC000682516928 1073198895 /nfs/dbraw/zinc/19/88/95/1073198895.db2.gz SICOIGPEZGJACF-QLIIJSOBSA-N 0 0 446.552 -0.254 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000682533715 1072805704 /nfs/dbraw/zinc/80/57/04/1072805704.db2.gz CQLGGQRTOZQARN-UHFFFAOYSA-N 0 0 444.517 -0.372 20 0 IBADRN C[C@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000682539947 1072805853 /nfs/dbraw/zinc/80/58/53/1072805853.db2.gz AWVGOEYHZXXJCJ-GFCCVEGCSA-N 0 0 439.469 -0.523 20 0 IBADRN C[C@@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC000682539950 1072805765 /nfs/dbraw/zinc/80/57/65/1072805765.db2.gz AWVGOEYHZXXJCJ-LBPRGKRZSA-N 0 0 439.469 -0.523 20 0 IBADRN Cc1nc2ccnn2c(C)c1CCC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000682540109 1072805828 /nfs/dbraw/zinc/80/58/28/1072805828.db2.gz ITFAQPPFOZUCNX-UHFFFAOYSA-N 0 0 426.481 -0.232 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(N3CCOCC3)c(F)c1)C2 ZINC000682540251 1072805893 /nfs/dbraw/zinc/80/58/93/1072805893.db2.gz MADVSPNZNVMDOA-UHFFFAOYSA-N 0 0 432.456 -0.235 20 0 IBADRN Cc1c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)sc2nc(C)nc(N)c12 ZINC000682540268 1072805778 /nfs/dbraw/zinc/80/57/78/1072805778.db2.gz NCHBBBBLHXVZIP-UHFFFAOYSA-N 0 0 430.494 -0.007 20 0 IBADRN Cc1nn(C)c2nc(C)c(CC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c(C)c12 ZINC000682540294 1072805631 /nfs/dbraw/zinc/80/56/31/1072805631.db2.gz OQDAEZVORYHPNN-UHFFFAOYSA-N 0 0 440.508 -0.075 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCCN1C(=O)c3ccccc3C1=O)C2 ZINC000682540427 1072805815 /nfs/dbraw/zinc/80/58/15/1072805815.db2.gz UWGVYAHCUXTNAW-UHFFFAOYSA-N 0 0 440.460 -0.448 20 0 IBADRN Cc1cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1N1CCNC1=O ZINC000682540459 1072806412 /nfs/dbraw/zinc/80/64/12/1072806412.db2.gz WXEMEHJBCXPLMK-UHFFFAOYSA-N 0 0 427.465 -0.373 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1nnn(C3CC3)c1C(F)(F)F)C2 ZINC000682540464 1072806281 /nfs/dbraw/zinc/80/62/81/1072806281.db2.gz XPFORXFLMHBDJB-UHFFFAOYSA-N 0 0 428.375 -0.266 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C1(S(=O)(=O)c3ccccc3)CC1)C2 ZINC000682541518 1072806133 /nfs/dbraw/zinc/80/61/33/1072806133.db2.gz MKNUBLXKMCVJSX-UHFFFAOYSA-N 0 0 433.490 -0.518 20 0 IBADRN O=C(CN1C[C@@H](O)CC(F)(F)C1)N1CCN(C(=O)CN2C[C@@H](O)CC(F)(F)C2)CC1 ZINC000682543205 1072806472 /nfs/dbraw/zinc/80/64/72/1072806472.db2.gz LSWOQCUWZYSARR-KBPBESRZSA-N 0 0 440.438 -0.939 20 0 IBADRN O=C(CN1C[C@H](O)CC(F)(F)C1)N1CCN(C(=O)CN2C[C@@H](O)CC(F)(F)C2)CC1 ZINC000682543206 1072806118 /nfs/dbraw/zinc/80/61/18/1072806118.db2.gz LSWOQCUWZYSARR-OKILXGFUSA-N 0 0 440.438 -0.939 20 0 IBADRN O=C(CN1C[C@H](O)CC(F)(F)C1)N1CCN(C(=O)CN2C[C@H](O)CC(F)(F)C2)CC1 ZINC000682543207 1072806429 /nfs/dbraw/zinc/80/64/29/1072806429.db2.gz LSWOQCUWZYSARR-ZIAGYGMSSA-N 0 0 440.438 -0.939 20 0 IBADRN COCCN(C(=O)CN1C[C@H](O)CC(F)(F)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000682545418 1072806177 /nfs/dbraw/zinc/80/61/77/1072806177.db2.gz ZNKSRPQYOJFTLL-GFCCVEGCSA-N 0 0 433.456 -0.132 20 0 IBADRN COCCN(C(=O)CN1C[C@@H](O)CC(F)(F)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000682545420 1072806143 /nfs/dbraw/zinc/80/61/43/1072806143.db2.gz ZNKSRPQYOJFTLL-LBPRGKRZSA-N 0 0 433.456 -0.132 20 0 IBADRN COCCN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1=O ZINC000682552341 1072806292 /nfs/dbraw/zinc/80/62/92/1072806292.db2.gz QNMKKKBZGWHYMW-UHFFFAOYSA-N 0 0 428.511 -0.633 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCCC3CS(=O)(=O)C3)cn2)CC1 ZINC000682554396 1072806394 /nfs/dbraw/zinc/80/63/94/1072806394.db2.gz PACIQNWZDVQIAG-UHFFFAOYSA-N 0 0 431.540 -0.281 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCC3CS(=O)(=O)C3)CC2)cc1 ZINC000682560579 1072806376 /nfs/dbraw/zinc/80/63/76/1072806376.db2.gz CUMSCGZIGVQIFZ-UHFFFAOYSA-N 0 0 429.564 -0.148 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCC2CS(=O)(=O)C2)cc1C ZINC000682561317 1072806257 /nfs/dbraw/zinc/80/62/57/1072806257.db2.gz SFZAHVKLWBWTHZ-RDJZCZTQSA-N 0 0 430.548 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCC2CS(=O)(=O)C2)cc1C ZINC000682561318 1072806459 /nfs/dbraw/zinc/80/64/59/1072806459.db2.gz SFZAHVKLWBWTHZ-WBVHZDCISA-N 0 0 430.548 -0.022 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCC3CS(=O)(=O)C3)CC2)c1 ZINC000682561370 1072806189 /nfs/dbraw/zinc/80/61/89/1072806189.db2.gz UCXCVQTVRQNYNV-UHFFFAOYSA-N 0 0 429.564 -0.002 20 0 IBADRN CCN1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1=O ZINC000682562795 1072806445 /nfs/dbraw/zinc/80/64/45/1072806445.db2.gz GSTSGUVFSDQGOH-PBHICJAKSA-N 0 0 425.507 -0.100 20 0 IBADRN CCN1CCCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1=O ZINC000682562799 1072806240 /nfs/dbraw/zinc/80/62/40/1072806240.db2.gz GSTSGUVFSDQGOH-YOEHRIQHSA-N 0 0 425.507 -0.100 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C[C@@H](CO)O1 ZINC000682595105 1072806213 /nfs/dbraw/zinc/80/62/13/1072806213.db2.gz CRDWHTKQIAQVQF-APWZRJJASA-N 0 0 447.536 -0.557 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C[C@@H](CO)O1 ZINC000682595106 1072806314 /nfs/dbraw/zinc/80/63/14/1072806314.db2.gz CRDWHTKQIAQVQF-LPHOPBHVSA-N 0 0 447.536 -0.557 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C[C@H](CO)O1 ZINC000682595107 1072806156 /nfs/dbraw/zinc/80/61/56/1072806156.db2.gz CRDWHTKQIAQVQF-QFBILLFUSA-N 0 0 447.536 -0.557 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C[C@H](CO)O1 ZINC000682595108 1072806344 /nfs/dbraw/zinc/80/63/44/1072806344.db2.gz CRDWHTKQIAQVQF-VQIMIIECSA-N 0 0 447.536 -0.557 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCN(C)C(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000682599984 1073198934 /nfs/dbraw/zinc/19/89/34/1073198934.db2.gz KNOAQHOJQTVOMA-HNNXBMFYSA-N 0 0 425.507 -0.004 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CCN(C)C(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000682599988 1073198852 /nfs/dbraw/zinc/19/88/52/1073198852.db2.gz KNOAQHOJQTVOMA-OAHLLOKOSA-N 0 0 425.507 -0.004 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@@H](O)CC(F)(F)C1 ZINC000682602353 1072806913 /nfs/dbraw/zinc/80/69/13/1072806913.db2.gz ADNQOMDWXJXBKQ-BBRMVZONSA-N 0 0 439.415 -0.132 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@H](O)CC(F)(F)C1 ZINC000682602354 1072806757 /nfs/dbraw/zinc/80/67/57/1072806757.db2.gz ADNQOMDWXJXBKQ-CJNGLKHVSA-N 0 0 439.415 -0.132 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@H](O)CC(F)(F)C1 ZINC000682602355 1072806792 /nfs/dbraw/zinc/80/67/92/1072806792.db2.gz ADNQOMDWXJXBKQ-CZUORRHYSA-N 0 0 439.415 -0.132 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1C[C@@H](O)CC(F)(F)C1 ZINC000682602356 1072806702 /nfs/dbraw/zinc/80/67/02/1072806702.db2.gz ADNQOMDWXJXBKQ-XJKSGUPXSA-N 0 0 439.415 -0.132 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@@H](O)CC(F)(F)C3)cc2)CC1 ZINC000682602593 1072806803 /nfs/dbraw/zinc/80/68/03/1072806803.db2.gz FXBCLJZIHLLOMO-AWEZNQCLSA-N 0 0 446.476 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3C[C@H](O)CC(F)(F)C3)cc2)CC1 ZINC000682602594 1072806923 /nfs/dbraw/zinc/80/69/23/1072806923.db2.gz FXBCLJZIHLLOMO-CQSZACIVSA-N 0 0 446.476 -0.210 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1C[C@@H](O)CC(F)(F)C1 ZINC000682602804 1072806817 /nfs/dbraw/zinc/80/68/17/1072806817.db2.gz IQUKLPREIQMNRY-INIZCTEOSA-N 0 0 440.447 -0.084 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1C[C@H](O)CC(F)(F)C1 ZINC000682602806 1072806898 /nfs/dbraw/zinc/80/68/98/1072806898.db2.gz IQUKLPREIQMNRY-MRXNPFEDSA-N 0 0 440.447 -0.084 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1C[C@H](O)CC(F)(F)C1 ZINC000682603632 1072806870 /nfs/dbraw/zinc/80/68/70/1072806870.db2.gz CLVFBOAQMYZTFC-CYBMUJFWSA-N 0 0 433.433 -0.126 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1C[C@@H](O)CC(F)(F)C1 ZINC000682603635 1072806748 /nfs/dbraw/zinc/80/67/48/1072806748.db2.gz CLVFBOAQMYZTFC-ZDUSSCGKSA-N 0 0 433.433 -0.126 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@@H](O)CC(F)(F)C3)cn2)C[C@@H](C)O1 ZINC000682603725 1072806683 /nfs/dbraw/zinc/80/66/83/1072806683.db2.gz MDOVUCAKVHWPCF-BZPMIXESSA-N 0 0 429.424 -0.314 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](O)CC(F)(F)C3)cn2)C[C@H](C)O1 ZINC000682603728 1072806860 /nfs/dbraw/zinc/80/68/60/1072806860.db2.gz MDOVUCAKVHWPCF-MBNYWOFBSA-N 0 0 429.424 -0.314 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@@H](O)CC(F)(F)C3)cn2)C[C@H](C)O1 ZINC000682603731 1072806826 /nfs/dbraw/zinc/80/68/26/1072806826.db2.gz MDOVUCAKVHWPCF-SCRDCRAPSA-N 0 0 429.424 -0.314 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3C[C@H](O)CC(F)(F)C3)cn2)C[C@@H](C)O1 ZINC000682603733 1072806883 /nfs/dbraw/zinc/80/68/83/1072806883.db2.gz MDOVUCAKVHWPCF-YRGRVCCFSA-N 0 0 429.424 -0.314 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CC1=O ZINC000682603942 1072806770 /nfs/dbraw/zinc/80/67/70/1072806770.db2.gz ZTBVLBXSNADHGH-UHFFFAOYSA-N 0 0 445.520 -0.280 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@@H](O)CC(F)(F)C3)c2)CC1 ZINC000682604664 1072806711 /nfs/dbraw/zinc/80/67/11/1072806711.db2.gz RGCGLLPCDJPSFW-AWEZNQCLSA-N 0 0 446.476 -0.210 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@H](O)CC(F)(F)C3)c2)CC1 ZINC000682604665 1072806694 /nfs/dbraw/zinc/80/66/94/1072806694.db2.gz RGCGLLPCDJPSFW-CQSZACIVSA-N 0 0 446.476 -0.210 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@H](O)CC(F)(F)C1 ZINC000682605052 1072806654 /nfs/dbraw/zinc/80/66/54/1072806654.db2.gz MMYXLBDPQPXWAQ-CYBMUJFWSA-N 0 0 433.433 -0.126 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@@H](O)CC(F)(F)C1 ZINC000682605053 1072807182 /nfs/dbraw/zinc/80/71/82/1072807182.db2.gz MMYXLBDPQPXWAQ-ZDUSSCGKSA-N 0 0 433.433 -0.126 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3C[C@H](O)CC(F)(F)C3)cn2)CC1 ZINC000682606013 1072807190 /nfs/dbraw/zinc/80/71/90/1072807190.db2.gz ZGFBYTBBYYFLDG-CYBMUJFWSA-N 0 0 447.464 -0.670 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3C[C@@H](O)CC(F)(F)C3)cn2)CC1 ZINC000682606014 1072807242 /nfs/dbraw/zinc/80/72/42/1072807242.db2.gz ZGFBYTBBYYFLDG-ZDUSSCGKSA-N 0 0 447.464 -0.670 20 0 IBADRN Cc1nn(C)c2nc(C)c(CC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c(C)c12 ZINC000682607096 1072807216 /nfs/dbraw/zinc/80/72/16/1072807216.db2.gz AQMJLSDZJGBSCJ-DLBZAZTESA-N 0 0 435.550 -0.262 20 0 IBADRN Cc1nn(C)c2nc(C)c(CC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c(C)c12 ZINC000682607097 1072807135 /nfs/dbraw/zinc/80/71/35/1072807135.db2.gz AQMJLSDZJGBSCJ-IAGOWNOFSA-N 0 0 435.550 -0.262 20 0 IBADRN Cc1nn(C)c2nc(C)c(CC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(C)c12 ZINC000682607099 1072807174 /nfs/dbraw/zinc/80/71/74/1072807174.db2.gz AQMJLSDZJGBSCJ-IRXDYDNUSA-N 0 0 435.550 -0.262 20 0 IBADRN Cc1nn(C)c2nc(C)c(CC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(C)c12 ZINC000682607100 1072807296 /nfs/dbraw/zinc/80/72/96/1072807296.db2.gz AQMJLSDZJGBSCJ-SJORKVTESA-N 0 0 435.550 -0.262 20 0 IBADRN C[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000682607677 1073198917 /nfs/dbraw/zinc/19/89/17/1073198917.db2.gz KDLLQWJWPODAOP-DAXOMENPSA-N 0 0 434.511 -0.710 20 0 IBADRN C[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000682607679 1073198929 /nfs/dbraw/zinc/19/89/29/1073198929.db2.gz KDLLQWJWPODAOP-KCXAZCMYSA-N 0 0 434.511 -0.710 20 0 IBADRN C[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000682607681 1073199324 /nfs/dbraw/zinc/19/93/24/1073199324.db2.gz KDLLQWJWPODAOP-UHOFOFEASA-N 0 0 434.511 -0.710 20 0 IBADRN C[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000682607683 1072807276 /nfs/dbraw/zinc/80/72/76/1072807276.db2.gz KDLLQWJWPODAOP-WQVCFCJDSA-N 0 0 434.511 -0.710 20 0 IBADRN O=C(c1cnnn1-c1ccccc1Cl)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000682608047 1072807259 /nfs/dbraw/zinc/80/72/59/1072807259.db2.gz PNXWHUJBEOCCOD-CVEARBPZSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnnn1-c1ccccc1Cl)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000682608048 1072807163 /nfs/dbraw/zinc/80/71/63/1072807163.db2.gz PNXWHUJBEOCCOD-HOTGVXAUSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnnn1-c1ccccc1Cl)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000682608049 1072807309 /nfs/dbraw/zinc/80/73/09/1072807309.db2.gz PNXWHUJBEOCCOD-HZPDHXFCSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnnn1-c1ccccc1Cl)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000682608050 1072807250 /nfs/dbraw/zinc/80/72/50/1072807250.db2.gz PNXWHUJBEOCCOD-JKSUJKDBSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1ccc(N2CCOCC2)c(F)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000682608126 1072807288 /nfs/dbraw/zinc/80/72/88/1072807288.db2.gz QPAISMZDNHENEB-MSOLQXFVSA-N 0 0 427.498 -0.422 20 0 IBADRN O=C(c1ccc(N2CCOCC2)c(F)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000682608127 1072807233 /nfs/dbraw/zinc/80/72/33/1072807233.db2.gz QPAISMZDNHENEB-QZTJIDSGSA-N 0 0 427.498 -0.422 20 0 IBADRN O=C(c1ccc(N2CCOCC2)c(F)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000682608128 1072807201 /nfs/dbraw/zinc/80/72/01/1072807201.db2.gz QPAISMZDNHENEB-ROUUACIJSA-N 0 0 427.498 -0.422 20 0 IBADRN O=C(c1ccc(N2CCOCC2)c(F)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000682608130 1072807209 /nfs/dbraw/zinc/80/72/09/1072807209.db2.gz QPAISMZDNHENEB-ZWKOTPCHSA-N 0 0 427.498 -0.422 20 0 IBADRN CC(C)[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccnn1C ZINC000682633590 1073198865 /nfs/dbraw/zinc/19/88/65/1073198865.db2.gz CODRQDGGUKRLFH-KRWDZBQOSA-N 0 0 441.602 -0.021 20 0 IBADRN CC(C)[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)c1ccnn1C ZINC000682633593 1073198944 /nfs/dbraw/zinc/19/89/44/1073198944.db2.gz CODRQDGGUKRLFH-QGZVFWFLSA-N 0 0 441.602 -0.021 20 0 IBADRN CS(=O)(=O)c1ccc2ncnc(N3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2c1 ZINC000682662896 1072807324 /nfs/dbraw/zinc/80/73/24/1072807324.db2.gz ZTKHCTAGDNCWAV-UHFFFAOYSA-N 0 0 433.490 -0.459 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Cl)c(S(C)(=O)=O)c2)CC1 ZINC000682668482 1072807223 /nfs/dbraw/zinc/80/72/23/1072807223.db2.gz PYSRECVORUNJMA-UHFFFAOYSA-N 0 0 430.914 -0.086 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccnn1C ZINC000682669089 1072807150 /nfs/dbraw/zinc/80/71/50/1072807150.db2.gz MKOYBHCFSPENNI-AWEZNQCLSA-N 0 0 427.465 -0.140 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccnn1C ZINC000682669090 1072807666 /nfs/dbraw/zinc/80/76/66/1072807666.db2.gz MKOYBHCFSPENNI-CQSZACIVSA-N 0 0 427.465 -0.140 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCOCC(F)F)cn2)CC1 ZINC000682669276 1072807600 /nfs/dbraw/zinc/80/76/00/1072807600.db2.gz CTDSRVZIHKCYAL-UHFFFAOYSA-N 0 0 435.453 -0.500 20 0 IBADRN Cn1c2ncn(CCN3CC(S(=O)(=O)N4CCc5ccccc54)C3)c2c(=O)n(C)c1=O ZINC000682675237 1072807719 /nfs/dbraw/zinc/80/77/19/1072807719.db2.gz RWAAWSUNRXKDOG-UHFFFAOYSA-N 0 0 444.517 -0.490 20 0 IBADRN O=C(Nc1nc(CN2CCOCC2)cs1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682713528 1072807699 /nfs/dbraw/zinc/80/76/99/1072807699.db2.gz CSDNWGQDRNJBMZ-UHFFFAOYSA-N 0 0 442.527 -0.368 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682714740 1072807746 /nfs/dbraw/zinc/80/77/46/1072807746.db2.gz KRULXCRZPOXBII-UHFFFAOYSA-N 0 0 448.465 -0.605 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682716197 1072807638 /nfs/dbraw/zinc/80/76/38/1072807638.db2.gz SQAGUKHYKIWZNW-UHFFFAOYSA-N 0 0 448.465 -0.605 20 0 IBADRN CN(C)C(=O)COc1cccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000682735180 1072807628 /nfs/dbraw/zinc/80/76/28/1072807628.db2.gz GAQPZYKVYJRMDU-UHFFFAOYSA-N 0 0 437.482 -0.190 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682735386 1072807761 /nfs/dbraw/zinc/80/77/61/1072807761.db2.gz KXCJSUNWFQMTBD-UHFFFAOYSA-N 0 0 425.496 -0.080 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682735528 1072807683 /nfs/dbraw/zinc/80/76/83/1072807683.db2.gz NTMGUTQIRZNISG-UHFFFAOYSA-N 0 0 449.493 -0.185 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCOCC2)c1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682735913 1072807645 /nfs/dbraw/zinc/80/76/45/1072807645.db2.gz WXXIIUZMZGYTKP-UHFFFAOYSA-N 0 0 449.493 -0.185 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000682736372 1072807781 /nfs/dbraw/zinc/80/77/81/1072807781.db2.gz HDWVHJKCAIQQAB-UHFFFAOYSA-N 0 0 443.511 -0.440 20 0 IBADRN CNC(=O)CCOc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000682736790 1072807587 /nfs/dbraw/zinc/80/75/87/1072807587.db2.gz WYUNMQQJBULDEH-UHFFFAOYSA-N 0 0 437.482 -0.142 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000682737582 1072807558 /nfs/dbraw/zinc/80/75/58/1072807558.db2.gz FLCRBSZCYMETMI-UHFFFAOYSA-N 0 0 443.511 -0.608 20 0 IBADRN CS(=O)(=O)Nc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000682737612 1072807735 /nfs/dbraw/zinc/80/77/35/1072807735.db2.gz FUZCYLWXNMGDTG-UHFFFAOYSA-N 0 0 429.484 -0.285 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000682737887 1072807655 /nfs/dbraw/zinc/80/76/55/1072807655.db2.gz GMKPAGRBEDPIFZ-UHFFFAOYSA-N 0 0 429.484 -0.749 20 0 IBADRN Cn1cc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc(Br)c1=O ZINC000682738352 1072807611 /nfs/dbraw/zinc/80/76/11/1072807611.db2.gz DLBVIBSFASBDCD-UHFFFAOYSA-N 0 0 446.287 -0.196 20 0 IBADRN O=C(Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682738481 1072808051 /nfs/dbraw/zinc/80/80/51/1072808051.db2.gz QEGRLLVUVVHIHX-CYBMUJFWSA-N 0 0 447.477 -0.452 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682738484 1072808215 /nfs/dbraw/zinc/80/82/15/1072808215.db2.gz QEGRLLVUVVHIHX-ZDUSSCGKSA-N 0 0 447.477 -0.452 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682739474 1072808126 /nfs/dbraw/zinc/80/81/26/1072808126.db2.gz LCIVWKXSNUVJAB-UHFFFAOYSA-N 0 0 426.480 -0.327 20 0 IBADRN Cn1cc(Br)cc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1=O ZINC000682739519 1072808098 /nfs/dbraw/zinc/80/80/98/1072808098.db2.gz VLYUAVMIMLDLFW-UHFFFAOYSA-N 0 0 446.287 -0.196 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1C ZINC000682740614 1072808005 /nfs/dbraw/zinc/80/80/05/1072808005.db2.gz VEASHODPMACMAV-UHFFFAOYSA-N 0 0 443.511 -0.440 20 0 IBADRN CCNC(=O)COc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000682740981 1072808153 /nfs/dbraw/zinc/80/81/53/1072808153.db2.gz HBIBTJJOCSHJMT-UHFFFAOYSA-N 0 0 437.482 -0.142 20 0 IBADRN Cc1nc(N2CCOCC2)ccc1NC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682741446 1072808032 /nfs/dbraw/zinc/80/80/32/1072808032.db2.gz ZNPWBEJLCOTVJZ-UHFFFAOYSA-N 0 0 436.498 -0.117 20 0 IBADRN CS(=O)(=O)CCc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000682741778 1072808068 /nfs/dbraw/zinc/80/80/68/1072808068.db2.gz OMJPXVXKALPYJH-UHFFFAOYSA-N 0 0 442.523 -0.070 20 0 IBADRN CCNC(=O)COc1cccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000682742122 1072808225 /nfs/dbraw/zinc/80/82/25/1072808225.db2.gz IABROBUQAKGOHG-UHFFFAOYSA-N 0 0 437.482 -0.142 20 0 IBADRN O=C(Nc1ccccc1C(=O)N1CCOCC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682745115 1072808020 /nfs/dbraw/zinc/80/80/20/1072808020.db2.gz SVQWTALMIIHJDZ-UHFFFAOYSA-N 0 0 449.493 -0.185 20 0 IBADRN CN(C)C(=O)COc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000682745167 1072808113 /nfs/dbraw/zinc/80/81/13/1072808113.db2.gz VOETVHIPUDZRAA-UHFFFAOYSA-N 0 0 437.482 -0.190 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CCC2=O)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000682745414 1072808192 /nfs/dbraw/zinc/80/81/92/1072808192.db2.gz WXZUFBUTZWIGMB-UHFFFAOYSA-N 0 0 447.477 -0.008 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)cc2)c1=O ZINC000682746406 1072808162 /nfs/dbraw/zinc/80/81/62/1072808162.db2.gz LQLBTBVRHHVWCR-UHFFFAOYSA-N 0 0 433.454 -0.773 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)ccc21 ZINC000682751937 1072808141 /nfs/dbraw/zinc/80/81/41/1072808141.db2.gz PLHFFZYNPRKMBA-UHFFFAOYSA-N 0 0 449.493 -0.121 20 0 IBADRN Cc1cc(-n2cccn2)ccc1NC(=O)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000682780686 1072808202 /nfs/dbraw/zinc/80/82/02/1072808202.db2.gz KBMBYBWIZLZPRA-AWEZNQCLSA-N 0 0 433.490 -0.071 20 0 IBADRN Cc1cc(-n2cccn2)ccc1NC(=O)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000682780691 1072808176 /nfs/dbraw/zinc/80/81/76/1072808176.db2.gz KBMBYBWIZLZPRA-CQSZACIVSA-N 0 0 433.490 -0.071 20 0 IBADRN Cc1ccc(-n2cccn2)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000682784316 1072808272 /nfs/dbraw/zinc/80/82/72/1072808272.db2.gz MDPAJPFNGMENJT-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN Cc1cc(-n2cccn2)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000682784360 1072808241 /nfs/dbraw/zinc/80/82/41/1072808241.db2.gz OPTUGAAUOIPOQR-UHFFFAOYSA-N 0 0 434.522 -0.187 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCOC(F)(F)F)cn2)CC1 ZINC000682790992 1073199910 /nfs/dbraw/zinc/19/99/10/1073199910.db2.gz TYGRJVVEHPZDAY-UHFFFAOYSA-N 0 0 439.416 -0.246 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682795557 1072808751 /nfs/dbraw/zinc/80/87/51/1072808751.db2.gz HQKPABVNIJMNQL-INIZCTEOSA-N 0 0 446.552 -0.182 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000682795558 1072808667 /nfs/dbraw/zinc/80/86/67/1072808667.db2.gz HQKPABVNIJMNQL-MRXNPFEDSA-N 0 0 446.552 -0.182 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682796047 1072808719 /nfs/dbraw/zinc/80/87/19/1072808719.db2.gz PFVINJBWYVDDHF-CYBMUJFWSA-N 0 0 440.526 -0.915 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000682796048 1072808655 /nfs/dbraw/zinc/80/86/55/1072808655.db2.gz PFVINJBWYVDDHF-ZDUSSCGKSA-N 0 0 440.526 -0.915 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000682804618 1072808777 /nfs/dbraw/zinc/80/87/77/1072808777.db2.gz OPYRZYIHULKHMZ-UHFFFAOYSA-N 0 0 425.511 -0.745 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000682806348 1073199810 /nfs/dbraw/zinc/19/98/10/1073199810.db2.gz BNYMGOLQBDJZGQ-UHFFFAOYSA-N 0 0 439.538 -0.386 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(CC(=O)NCCOC)CC2)cc1 ZINC000682806475 1072808866 /nfs/dbraw/zinc/80/88/66/1072808866.db2.gz JODTXCWVERSUAK-UHFFFAOYSA-N 0 0 441.554 -0.674 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3ccncc3)CC2)cc1 ZINC000682808294 1072808840 /nfs/dbraw/zinc/80/88/40/1072808840.db2.gz RSLPLCAXEPECAH-UHFFFAOYSA-N 0 0 439.519 -0.055 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)C1(S(=O)(=O)c2ccccc2)CC1 ZINC000682810965 1072808828 /nfs/dbraw/zinc/80/88/28/1072808828.db2.gz QCLYSSJSNIPVFK-UHFFFAOYSA-N 0 0 425.492 -0.356 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000682813518 1072808697 /nfs/dbraw/zinc/80/86/97/1072808697.db2.gz VEKDOAYACCARGX-UHFFFAOYSA-N 0 0 434.493 -0.041 20 0 IBADRN CC(C)c1cc(N2CCN(S(C)(=O)=O)CC2)nc(N2CCN(S(C)(=O)=O)CC2)n1 ZINC000682841188 1072808591 /nfs/dbraw/zinc/80/85/91/1072808591.db2.gz IQASUXXHTYWFIF-UHFFFAOYSA-N 0 0 446.599 -0.237 20 0 IBADRN CS(=O)(=O)N(CCN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)Cc1ccccc1 ZINC000682858468 1072808855 /nfs/dbraw/zinc/80/88/55/1072808855.db2.gz PIQDHBKPLJQCFV-INIZCTEOSA-N 0 0 438.506 -0.383 20 0 IBADRN CS(=O)(=O)N(CCN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)Cc1ccccc1 ZINC000682858469 1072808707 /nfs/dbraw/zinc/80/87/07/1072808707.db2.gz PIQDHBKPLJQCFV-MRXNPFEDSA-N 0 0 438.506 -0.383 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H](CO)Cc2ccc(F)c(F)c2)CC1 ZINC000682860133 1072808813 /nfs/dbraw/zinc/80/88/13/1072808813.db2.gz DVMWVEOIKXSZKF-HNNXBMFYSA-N 0 0 434.509 -0.008 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H](CO)Cc2ccc(F)c(F)c2)CC1 ZINC000682860137 1072808726 /nfs/dbraw/zinc/80/87/26/1072808726.db2.gz DVMWVEOIKXSZKF-OAHLLOKOSA-N 0 0 434.509 -0.008 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000682860734 1072808539 /nfs/dbraw/zinc/80/85/39/1072808539.db2.gz PAJODUVSKVFUGU-KRWDZBQOSA-N 0 0 441.529 -0.197 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CCN(Cc3ccc(F)cc3)C2=O)CC1 ZINC000682860736 1072808802 /nfs/dbraw/zinc/80/88/02/1072808802.db2.gz PAJODUVSKVFUGU-QGZVFWFLSA-N 0 0 441.529 -0.197 20 0 IBADRN Cc1ccc(CN2CC[C@@H](NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000682860979 1072808768 /nfs/dbraw/zinc/80/87/68/1072808768.db2.gz VOWSIJQBDPJYAY-GOSISDBHSA-N 0 0 437.566 -0.028 20 0 IBADRN Cc1ccc(CN2CC[C@H](NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000682860982 1072808742 /nfs/dbraw/zinc/80/87/42/1072808742.db2.gz VOWSIJQBDPJYAY-SFHVURJKSA-N 0 0 437.566 -0.028 20 0 IBADRN C[C@H](C(=O)NCC(C)(C)NS(C)(=O)=O)[C@H](C)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000682897103 1072809281 /nfs/dbraw/zinc/80/92/81/1072809281.db2.gz FSCSCQUJSDLDMR-RYUDHWBXSA-N 0 0 442.604 -0.853 20 0 IBADRN C[C@@H](C(=O)NCC(C)(C)NS(C)(=O)=O)[C@H](C)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000682897104 1072809089 /nfs/dbraw/zinc/80/90/89/1072809089.db2.gz FSCSCQUJSDLDMR-TXEJJXNPSA-N 0 0 442.604 -0.853 20 0 IBADRN C[C@@H](C(=O)NCC(C)(C)NS(C)(=O)=O)[C@@H](C)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000682897105 1072809168 /nfs/dbraw/zinc/80/91/68/1072809168.db2.gz FSCSCQUJSDLDMR-VXGBXAGGSA-N 0 0 442.604 -0.853 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000682907230 1072809258 /nfs/dbraw/zinc/80/92/58/1072809258.db2.gz BPHBUUXFQLFBGN-IOASZLSFSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000682907231 1072809201 /nfs/dbraw/zinc/80/92/01/1072809201.db2.gz BPHBUUXFQLFBGN-WWGRRREGSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000682907232 1072809313 /nfs/dbraw/zinc/80/93/13/1072809313.db2.gz BPHBUUXFQLFBGN-XEZPLFJOSA-N 0 0 432.520 -0.535 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000682907233 1072809156 /nfs/dbraw/zinc/80/91/56/1072809156.db2.gz BPHBUUXFQLFBGN-ZENOOKHLSA-N 0 0 432.520 -0.535 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(c2cc(C(C)C)nc(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000682918307 1072809609 /nfs/dbraw/zinc/80/96/09/1072809609.db2.gz PGVYSHCYCODJTA-KBPBESRZSA-N 0 0 446.599 -0.144 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2cc(C(C)C)nc(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000682918308 1072809818 /nfs/dbraw/zinc/80/98/18/1072809818.db2.gz PGVYSHCYCODJTA-KGLIPLIRSA-N 0 0 446.599 -0.144 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2nc(C(C)C)cc(N3CC[C@H](S(=O)(=O)NC)C3)n2)C1 ZINC000682918309 1072809944 /nfs/dbraw/zinc/80/99/44/1072809944.db2.gz PGVYSHCYCODJTA-UONOGXRCSA-N 0 0 446.599 -0.144 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(c2cc(C(C)C)nc(N3CC[C@@H](S(=O)(=O)NC)C3)n2)C1 ZINC000682918329 1072809975 /nfs/dbraw/zinc/80/99/75/1072809975.db2.gz PGVYSHCYCODJTA-ZIAGYGMSSA-N 0 0 446.599 -0.144 20 0 IBADRN CC(C)c1cc(N2CCN(C(=O)[C@@H](C)O)CC2)nc(N2CCN(C(=O)[C@H](C)O)CC2)n1 ZINC000682918630 1072809697 /nfs/dbraw/zinc/80/96/97/1072809697.db2.gz VJXSEARGVSZXKF-CVEARBPZSA-N 0 0 434.541 -0.341 20 0 IBADRN CC(C)c1cc(N2CCN(C(=O)[C@H](C)O)CC2)nc(N2CCN(C(=O)[C@H](C)O)CC2)n1 ZINC000682918634 1072809734 /nfs/dbraw/zinc/80/97/34/1072809734.db2.gz VJXSEARGVSZXKF-HOTGVXAUSA-N 0 0 434.541 -0.341 20 0 IBADRN CC(C)c1cc(N2CCN(C(=O)[C@@H](C)O)CC2)nc(N2CCN(C(=O)[C@@H](C)O)CC2)n1 ZINC000682918636 1072809840 /nfs/dbraw/zinc/80/98/40/1072809840.db2.gz VJXSEARGVSZXKF-HZPDHXFCSA-N 0 0 434.541 -0.341 20 0 IBADRN CC(C)c1cc(N2CCN(C(=O)[C@H](C)O)CC2)nc(N2CCN(C(=O)[C@@H](C)O)CC2)n1 ZINC000682918638 1072809796 /nfs/dbraw/zinc/80/97/96/1072809796.db2.gz VJXSEARGVSZXKF-JKSUJKDBSA-N 0 0 434.541 -0.341 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCCn2cncn2)cc1S(=O)(=O)N1CCOCC1 ZINC000682927642 1072809713 /nfs/dbraw/zinc/80/97/13/1072809713.db2.gz WMVKXQLTXAXBOS-UHFFFAOYSA-N 0 0 429.524 -0.024 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCNC(=O)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000682929870 1072809759 /nfs/dbraw/zinc/80/97/59/1072809759.db2.gz TUZLQTPOUPBVLZ-AWEZNQCLSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCNC(=O)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000682929871 1072809784 /nfs/dbraw/zinc/80/97/84/1072809784.db2.gz TUZLQTPOUPBVLZ-CQSZACIVSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1ccc(S(=O)(=O)NCc2nnnn2C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000682930116 1072809863 /nfs/dbraw/zinc/80/98/63/1072809863.db2.gz YCQXGYPDOTZVHS-UHFFFAOYSA-N 0 0 442.523 -0.184 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2(C(N)=O)CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000682930139 1072809891 /nfs/dbraw/zinc/80/98/91/1072809891.db2.gz NMLVNSQZHVXJTC-UHFFFAOYSA-N 0 0 447.535 -0.671 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCc2nnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000682931429 1072809685 /nfs/dbraw/zinc/80/96/85/1072809685.db2.gz NYMHRZINCPNEBM-UHFFFAOYSA-N 0 0 430.512 -0.940 20 0 IBADRN CN1CCN(CC(=O)NCCN(CCC(F)(F)F)C(=O)CN2CCN(C)CC2)CC1 ZINC000682943129 1072809908 /nfs/dbraw/zinc/80/99/08/1072809908.db2.gz JTQFERWUTYUYRQ-UHFFFAOYSA-N 0 0 436.523 -0.622 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCc3nnc4n3CCOC4)CC2)c1 ZINC000682986161 1072809594 /nfs/dbraw/zinc/80/95/94/1072809594.db2.gz VWTZXWQOMXEACC-UHFFFAOYSA-N 0 0 434.522 -0.115 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCc3nnc4n3CCOC4)CC2)cc1 ZINC000682989415 1072809769 /nfs/dbraw/zinc/80/97/69/1072809769.db2.gz NDIJVLHSRFVEAA-UHFFFAOYSA-N 0 0 434.522 -0.261 20 0 IBADRN COc1cc(C(F)(F)F)ncc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000683002844 1072809634 /nfs/dbraw/zinc/80/96/34/1072809634.db2.gz QVXBYHDWZCJRNI-UHFFFAOYSA-N 0 0 443.382 -0.003 20 0 IBADRN COc1cc(C(F)(F)F)ncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000683004176 1072809677 /nfs/dbraw/zinc/80/96/77/1072809677.db2.gz ASEOUVRHXLCEOC-UHFFFAOYSA-N 0 0 439.416 -0.002 20 0 IBADRN C[C@H](NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)C(=O)N(C)C ZINC000683005791 1072810210 /nfs/dbraw/zinc/81/02/10/1072810210.db2.gz ACQYRWNCPPREPI-AAEUAGOBSA-N 0 0 432.524 -0.250 20 0 IBADRN C[C@H](NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)C(=O)N(C)C ZINC000683005792 1072810219 /nfs/dbraw/zinc/81/02/19/1072810219.db2.gz ACQYRWNCPPREPI-WCQYABFASA-N 0 0 432.524 -0.250 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cnc(C(F)(F)F)cc1OC ZINC000683010658 1072810348 /nfs/dbraw/zinc/81/03/48/1072810348.db2.gz KCRQLZONHYDGOF-JTQLQIEISA-N 0 0 431.371 -0.099 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cnc(C(F)(F)F)cc1OC ZINC000683010667 1072810462 /nfs/dbraw/zinc/81/04/62/1072810462.db2.gz KCRQLZONHYDGOF-SNVBAGLBSA-N 0 0 431.371 -0.099 20 0 IBADRN COc1cc(C(F)(F)F)ncc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000683014510 1072810318 /nfs/dbraw/zinc/81/03/18/1072810318.db2.gz SGFQNRRNRZLMFL-UHFFFAOYSA-N 0 0 439.416 -0.520 20 0 IBADRN COc1cc(C(F)(F)F)ncc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000683014731 1072810329 /nfs/dbraw/zinc/81/03/29/1072810329.db2.gz UXJZAKCEJVXREA-UHFFFAOYSA-N 0 0 442.358 -0.595 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnc(C(F)(F)F)cc2OC)CC1 ZINC000683014969 1072810248 /nfs/dbraw/zinc/81/02/48/1072810248.db2.gz YBWYGBRWHUJVLM-UHFFFAOYSA-N 0 0 447.414 -0.046 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCc3nnc4n3CCOC4)cn2)CC1 ZINC000683027830 1072810366 /nfs/dbraw/zinc/81/03/66/1072810366.db2.gz JDKQXDZJZUKMTH-UHFFFAOYSA-N 0 0 436.498 -0.393 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000683028695 1072810267 /nfs/dbraw/zinc/81/02/67/1072810267.db2.gz VTUCPAPOSYCJEY-INIZCTEOSA-N 0 0 445.542 -0.301 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000683028696 1072810381 /nfs/dbraw/zinc/81/03/81/1072810381.db2.gz VTUCPAPOSYCJEY-MRXNPFEDSA-N 0 0 445.542 -0.301 20 0 IBADRN O=C(NC[C@@H](O)C(F)(F)F)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000683037849 1072810261 /nfs/dbraw/zinc/81/02/61/1072810261.db2.gz HJRANAWAEKNYTM-CQSZACIVSA-N 0 0 432.399 -0.274 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H](O)C(F)(F)F)c2)CC1 ZINC000683037878 1072810239 /nfs/dbraw/zinc/81/02/39/1072810239.db2.gz YEWJPJDVXGTOFF-CYBMUJFWSA-N 0 0 438.428 -0.399 20 0 IBADRN O=C(NC[C@@H](O)C(F)(F)F)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000683037927 1072810230 /nfs/dbraw/zinc/81/02/30/1072810230.db2.gz MJFVICRRBFOATI-GFCCVEGCSA-N 0 0 443.375 -0.176 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NC[C@@H](O)C(F)(F)F ZINC000683037958 1072810451 /nfs/dbraw/zinc/81/04/51/1072810451.db2.gz QTNKJJPZPXTREK-CYBMUJFWSA-N 0 0 439.412 -0.006 20 0 IBADRN O=C(NC[C@@H](O)C(F)(F)F)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000683037982 1072810284 /nfs/dbraw/zinc/81/02/84/1072810284.db2.gz UEVSJZSARYWOSU-UONOGXRCSA-N 0 0 431.367 -0.321 20 0 IBADRN O=C(NC[C@@H](O)C(F)(F)F)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000683037983 1072810396 /nfs/dbraw/zinc/81/03/96/1072810396.db2.gz UEVSJZSARYWOSU-ZIAGYGMSSA-N 0 0 431.367 -0.321 20 0 IBADRN O=C(NC[C@@H](O)C(F)(F)F)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000683038017 1072810298 /nfs/dbraw/zinc/81/02/98/1072810298.db2.gz ASMRWESGSMFQNF-GFCCVEGCSA-N 0 0 425.385 -0.315 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](O)C(F)(F)F ZINC000683038038 1072810429 /nfs/dbraw/zinc/81/04/29/1072810429.db2.gz DYMAXQAWGPSJIW-GFCCVEGCSA-N 0 0 426.417 -0.019 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](O)C(F)(F)F)cc1 ZINC000683038050 1072810480 /nfs/dbraw/zinc/81/04/80/1072810480.db2.gz GYLQMGISRIHRHP-BXKDBHETSA-N 0 0 427.401 -0.022 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC1=O ZINC000683047910 1072810938 /nfs/dbraw/zinc/81/09/38/1072810938.db2.gz DMCJDDRPKIWNBU-UHFFFAOYSA-N 0 0 430.509 -0.517 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)C1 ZINC000683078893 1070793495 /nfs/dbraw/zinc/79/34/95/1070793495.db2.gz QZZVGWIYTVVXHI-LLVKDONJSA-N 0 0 439.516 -0.482 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Nc2nc(CS(C)(=O)=O)no2)C1 ZINC000683078894 1070793581 /nfs/dbraw/zinc/79/35/81/1070793581.db2.gz QZZVGWIYTVVXHI-NSHDSACASA-N 0 0 439.516 -0.482 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000683082529 1070794212 /nfs/dbraw/zinc/79/42/12/1070794212.db2.gz MIYZICCFVBXRNT-UHFFFAOYSA-N 0 0 441.620 -0.038 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cn1 ZINC000683093741 1070794295 /nfs/dbraw/zinc/79/42/95/1070794295.db2.gz XUEIUKHKNMXGDY-ZBFHGGJFSA-N 0 0 427.531 -0.644 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCCN(C)S(C)(=O)=O)C1 ZINC000683094090 1070794321 /nfs/dbraw/zinc/79/43/21/1070794321.db2.gz RAFKYAFSNGHWJT-AWEZNQCLSA-N 0 0 428.577 -0.650 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCCN(C)S(C)(=O)=O)C1 ZINC000683094092 1070794092 /nfs/dbraw/zinc/79/40/92/1070794092.db2.gz RAFKYAFSNGHWJT-CQSZACIVSA-N 0 0 428.577 -0.650 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCCN2CCCS2(=O)=O)C1 ZINC000683095519 1070794166 /nfs/dbraw/zinc/79/41/66/1070794166.db2.gz PAIUWFXLHDQFQU-HNNXBMFYSA-N 0 0 440.588 -0.506 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCCN2CCCS2(=O)=O)C1 ZINC000683095533 1070794002 /nfs/dbraw/zinc/79/40/02/1070794002.db2.gz PAIUWFXLHDQFQU-OAHLLOKOSA-N 0 0 440.588 -0.506 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCC(C)(C)NS(C)(=O)=O)C1 ZINC000683096287 1070794079 /nfs/dbraw/zinc/79/40/79/1070794079.db2.gz ZOJFMXOZUGHZJN-CYBMUJFWSA-N 0 0 428.577 -0.604 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCC(C)(C)NS(C)(=O)=O)C1 ZINC000683096288 1070794854 /nfs/dbraw/zinc/79/48/54/1070794854.db2.gz ZOJFMXOZUGHZJN-ZDUSSCGKSA-N 0 0 428.577 -0.604 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(C(N)=O)c2)C1 ZINC000683105853 1070794687 /nfs/dbraw/zinc/79/46/87/1070794687.db2.gz HILSHBUIEUTSSN-CYBMUJFWSA-N 0 0 444.485 -0.238 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(F)c(C(N)=O)c2)C1 ZINC000683105854 1070795004 /nfs/dbraw/zinc/79/50/04/1070795004.db2.gz HILSHBUIEUTSSN-ZDUSSCGKSA-N 0 0 444.485 -0.238 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)COCC3)C1 ZINC000683105878 1070794873 /nfs/dbraw/zinc/79/48/73/1070794873.db2.gz JKQNBSDTSMBVDI-INIZCTEOSA-N 0 0 440.522 -0.008 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)COCC3)C1 ZINC000683105879 1070794978 /nfs/dbraw/zinc/79/49/78/1070794978.db2.gz JKQNBSDTSMBVDI-MRXNPFEDSA-N 0 0 440.522 -0.008 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(OC)nc2OC)C1 ZINC000683105945 1070794889 /nfs/dbraw/zinc/79/48/89/1070794889.db2.gz LZTBIQZETZURNF-CYBMUJFWSA-N 0 0 444.510 -0.064 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(OC)nc2OC)C1 ZINC000683105946 1070794993 /nfs/dbraw/zinc/79/49/93/1070794993.db2.gz LZTBIQZETZURNF-ZDUSSCGKSA-N 0 0 444.510 -0.064 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C[C@@H]1OC ZINC000683106241 1070794906 /nfs/dbraw/zinc/79/49/06/1070794906.db2.gz VMATYSRRHBXJDN-KDURUIRLSA-N 0 0 447.536 -0.293 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)C1 ZINC000683119205 1070797719 /nfs/dbraw/zinc/79/77/19/1070797719.db2.gz FWGLHEMMEIGVOG-INIZCTEOSA-N 0 0 449.551 -0.388 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)C1 ZINC000683119206 1070797825 /nfs/dbraw/zinc/79/78/25/1070797825.db2.gz FWGLHEMMEIGVOG-MRXNPFEDSA-N 0 0 449.551 -0.388 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CCCCN2S(C)(=O)=O)C1 ZINC000683119297 1070797856 /nfs/dbraw/zinc/79/78/56/1070797856.db2.gz MXKKDVLJYFMYCW-CABCVRRESA-N 0 0 425.573 -0.301 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2CCCCN2S(C)(=O)=O)C1 ZINC000683119298 1070797695 /nfs/dbraw/zinc/79/76/95/1070797695.db2.gz MXKKDVLJYFMYCW-GJZGRUSLSA-N 0 0 425.573 -0.301 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CCCCN2S(C)(=O)=O)C1 ZINC000683119299 1070797894 /nfs/dbraw/zinc/79/78/94/1070797894.db2.gz MXKKDVLJYFMYCW-HUUCEWRRSA-N 0 0 425.573 -0.301 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@H]2CCCCN2S(C)(=O)=O)C1 ZINC000683119300 1070797970 /nfs/dbraw/zinc/79/79/70/1070797970.db2.gz MXKKDVLJYFMYCW-LSDHHAIUSA-N 0 0 425.573 -0.301 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)Cn3c(=O)ccn(Cc4ccccc4)c3=O)cnc2n(C)c1=O ZINC000683123627 1070798949 /nfs/dbraw/zinc/79/89/49/1070798949.db2.gz AHNCBEZXOXRFIW-UHFFFAOYSA-N 0 0 448.439 -0.357 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CNC(=O)c2ccccn2)CC3)CC1 ZINC000683124310 1070799054 /nfs/dbraw/zinc/79/90/54/1070799054.db2.gz IKAVJRVSNWJJMB-INIZCTEOSA-N 0 0 439.520 -0.226 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CNC(=O)c2ccccn2)CC3)CC1 ZINC000683124311 1070799331 /nfs/dbraw/zinc/79/93/31/1070799331.db2.gz IKAVJRVSNWJJMB-MRXNPFEDSA-N 0 0 439.520 -0.226 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000683124344 1070799368 /nfs/dbraw/zinc/79/93/68/1070799368.db2.gz KNRFAANDCLOZKV-VIFPVBQESA-N 0 0 436.450 -0.457 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)c3ccc(CCNS(C)(=O)=O)cc3)cnc2n(C)c1=O ZINC000683125411 1070799101 /nfs/dbraw/zinc/79/91/01/1070799101.db2.gz WTPVMVQYJOAFPQ-UHFFFAOYSA-N 0 0 431.474 -0.024 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)CC1 ZINC000683134299 1070802583 /nfs/dbraw/zinc/80/25/83/1070802583.db2.gz OCGOEDICNOXYLO-KRWDZBQOSA-N 0 0 445.586 -0.376 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)CNC(C)=O)CC1 ZINC000683134309 1070802201 /nfs/dbraw/zinc/80/22/01/1070802201.db2.gz OCGOEDICNOXYLO-QGZVFWFLSA-N 0 0 445.586 -0.376 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)C1 ZINC000683134578 1070802382 /nfs/dbraw/zinc/80/23/82/1070802382.db2.gz XELNGFUWVCURHW-CVEARBPZSA-N 0 0 432.543 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)C1 ZINC000683134579 1070802566 /nfs/dbraw/zinc/80/25/66/1070802566.db2.gz XELNGFUWVCURHW-HOTGVXAUSA-N 0 0 432.543 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CCCN2C(=O)CNC(C)=O)C1 ZINC000683134580 1070802642 /nfs/dbraw/zinc/80/26/42/1070802642.db2.gz XELNGFUWVCURHW-HZPDHXFCSA-N 0 0 432.543 -0.988 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@H]2CCCN2C(=O)CNC(C)=O)C1 ZINC000683134581 1070802671 /nfs/dbraw/zinc/80/26/71/1070802671.db2.gz XELNGFUWVCURHW-JKSUJKDBSA-N 0 0 432.543 -0.988 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CNC(=O)c2ccncc2)CC3)CC1 ZINC000683138867 1070803289 /nfs/dbraw/zinc/80/32/89/1070803289.db2.gz NTBUGANZNFAEQX-INIZCTEOSA-N 0 0 439.520 -0.226 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CNC(=O)c2ccncc2)CC3)CC1 ZINC000683138869 1070803538 /nfs/dbraw/zinc/80/35/38/1070803538.db2.gz NTBUGANZNFAEQX-MRXNPFEDSA-N 0 0 439.520 -0.226 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000683140840 1070803089 /nfs/dbraw/zinc/80/30/89/1070803089.db2.gz LXJJAGFQLMCFOY-HNNXBMFYSA-N 0 0 443.547 -0.397 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000683140841 1070803706 /nfs/dbraw/zinc/80/37/06/1070803706.db2.gz LXJJAGFQLMCFOY-OAHLLOKOSA-N 0 0 443.547 -0.397 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)CC1 ZINC000683158642 1070806450 /nfs/dbraw/zinc/80/64/50/1070806450.db2.gz BPXCOJQIYXOSJU-UHFFFAOYSA-N 0 0 439.604 -0.287 20 0 IBADRN Cc1nc(N2CCN(S(C)(=O)=O)CC2)nc(N2CCN(S(C)(=O)=O)CC2)c1F ZINC000683185562 1070810897 /nfs/dbraw/zinc/81/08/97/1070810897.db2.gz UNQFCMOBQPKMFA-UHFFFAOYSA-N 0 0 436.535 -0.913 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)NCCNS(=O)(=O)NC(C)C ZINC000683208780 1070815980 /nfs/dbraw/zinc/81/59/80/1070815980.db2.gz RIXWNCDTGCRZTM-INIZCTEOSA-N 0 0 437.526 -0.342 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)NCCNS(=O)(=O)NC(C)C ZINC000683208781 1070815530 /nfs/dbraw/zinc/81/55/30/1070815530.db2.gz RIXWNCDTGCRZTM-MRXNPFEDSA-N 0 0 437.526 -0.342 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000683216460 1070817556 /nfs/dbraw/zinc/81/75/56/1070817556.db2.gz BCBGPTNTMXAKQF-UHFFFAOYSA-N 0 0 448.567 -0.260 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)cc1 ZINC000683221431 1070819336 /nfs/dbraw/zinc/81/93/36/1070819336.db2.gz XPUYQFRBMQZXMZ-KRWDZBQOSA-N 0 0 425.507 -0.150 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)cc1 ZINC000683221432 1070819051 /nfs/dbraw/zinc/81/90/51/1070819051.db2.gz XPUYQFRBMQZXMZ-QGZVFWFLSA-N 0 0 425.507 -0.150 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)NCCNS(=O)(=O)NC(C)C)CC1 ZINC000683222413 1070818950 /nfs/dbraw/zinc/81/89/50/1070818950.db2.gz ISUCEJUEIDQLEG-UHFFFAOYSA-N 0 0 427.527 -0.005 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)NCCNS(=O)(=O)NC(C)C ZINC000683233036 1070821389 /nfs/dbraw/zinc/82/13/89/1070821389.db2.gz DUEAEDHPAAYFJB-CYBMUJFWSA-N 0 0 436.560 -0.187 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)NCCNS(=O)(=O)NC(C)C ZINC000683233037 1070821550 /nfs/dbraw/zinc/82/15/50/1070821550.db2.gz DUEAEDHPAAYFJB-ZDUSSCGKSA-N 0 0 436.560 -0.187 20 0 IBADRN Cc1cc(C)nc(-n2nccc2NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n1 ZINC000683236459 1070821929 /nfs/dbraw/zinc/82/19/29/1070821929.db2.gz BOAYKRYWIAKVFC-UHFFFAOYSA-N 0 0 436.498 -0.832 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)Nc1c[nH]ccc1=O ZINC000683237720 1070822171 /nfs/dbraw/zinc/82/21/71/1070822171.db2.gz KEHSCYUSFPMECD-GFCCVEGCSA-N 0 0 436.446 -0.303 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)Nc1c[nH]ccc1=O ZINC000683237723 1070822021 /nfs/dbraw/zinc/82/20/21/1070822021.db2.gz KEHSCYUSFPMECD-LBPRGKRZSA-N 0 0 436.446 -0.303 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccc(F)cc2)CC1 ZINC000683237953 1070822072 /nfs/dbraw/zinc/82/20/72/1070822072.db2.gz QPQVHOVCCGLOFA-UHFFFAOYSA-N 0 0 438.485 -0.040 20 0 IBADRN Cc1ccnc(-n2nccc2NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000683238489 1070822193 /nfs/dbraw/zinc/82/21/93/1070822193.db2.gz UYRIMDIXSBKNJA-UHFFFAOYSA-N 0 0 435.510 -0.792 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccnn3-c3nc(C)cc(C)n3)CCN2C1=O ZINC000683241607 1070823650 /nfs/dbraw/zinc/82/36/50/1070823650.db2.gz VDMTZNRVCFDYNZ-CYBMUJFWSA-N 0 0 426.437 -0.287 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccnn3-c3nc(C)cc(C)n3)CCN2C1=O ZINC000683241610 1070823872 /nfs/dbraw/zinc/82/38/72/1070823872.db2.gz VDMTZNRVCFDYNZ-ZDUSSCGKSA-N 0 0 426.437 -0.287 20 0 IBADRN CN(Cc1cn[nH]c1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000683242370 1070823764 /nfs/dbraw/zinc/82/37/64/1070823764.db2.gz KOILAEQZTWYPSQ-AWEZNQCLSA-N 0 0 437.478 -0.248 20 0 IBADRN CN(Cc1cn[nH]c1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000683242371 1070823998 /nfs/dbraw/zinc/82/39/98/1070823998.db2.gz KOILAEQZTWYPSQ-CQSZACIVSA-N 0 0 437.478 -0.248 20 0 IBADRN Cc1cc(CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)[nH]n1 ZINC000683242415 1070824027 /nfs/dbraw/zinc/82/40/27/1070824027.db2.gz XJUGVZHRBXHEGM-AWEZNQCLSA-N 0 0 437.478 -0.282 20 0 IBADRN Cc1cc(CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)[nH]n1 ZINC000683242416 1070823899 /nfs/dbraw/zinc/82/38/99/1070823899.db2.gz XJUGVZHRBXHEGM-CQSZACIVSA-N 0 0 437.478 -0.282 20 0 IBADRN COc1cc(C(F)(F)F)ncc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000683243837 1070825375 /nfs/dbraw/zinc/82/53/75/1070825375.db2.gz BGDVWXBPONERNV-UHFFFAOYSA-N 0 0 427.405 -0.004 20 0 IBADRN COc1ccc(Cn2nccc2NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000683244599 1070824732 /nfs/dbraw/zinc/82/47/32/1070824732.db2.gz RPROWJLGYFRTLV-UHFFFAOYSA-N 0 0 438.510 -0.173 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(CN2CCCC2=O)c1 ZINC000683244674 1070824486 /nfs/dbraw/zinc/82/44/86/1070824486.db2.gz LODINUAPUZVCPQ-UHFFFAOYSA-N 0 0 425.511 -0.304 20 0 IBADRN COCCn1ncc2ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc21 ZINC000683244680 1070824455 /nfs/dbraw/zinc/82/44/55/1070824455.db2.gz LSXVIALNUYCQTC-UHFFFAOYSA-N 0 0 426.499 -0.430 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCc2nnnn2C2CC2)cc1C ZINC000683245683 1070824514 /nfs/dbraw/zinc/82/45/14/1070824514.db2.gz LEMOUWKGTIMYQM-HNNXBMFYSA-N 0 0 435.510 -0.146 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCc2nnnn2C2CC2)cc1C ZINC000683245684 1070824617 /nfs/dbraw/zinc/82/46/17/1070824617.db2.gz LEMOUWKGTIMYQM-OAHLLOKOSA-N 0 0 435.510 -0.146 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000683247529 1070826142 /nfs/dbraw/zinc/82/61/42/1070826142.db2.gz ZPGAZMIJWAQNOE-CABCVRRESA-N 0 0 441.506 -0.401 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000683247530 1070825897 /nfs/dbraw/zinc/82/58/97/1070825897.db2.gz ZPGAZMIJWAQNOE-GJZGRUSLSA-N 0 0 441.506 -0.401 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000683247531 1070825868 /nfs/dbraw/zinc/82/58/68/1070825868.db2.gz ZPGAZMIJWAQNOE-HUUCEWRRSA-N 0 0 441.506 -0.401 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000683247532 1070826184 /nfs/dbraw/zinc/82/61/84/1070826184.db2.gz ZPGAZMIJWAQNOE-LSDHHAIUSA-N 0 0 441.506 -0.401 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1(CO)CC1 ZINC000683247795 1070825987 /nfs/dbraw/zinc/82/59/87/1070825987.db2.gz VTRAQDRIJOUCAB-CYBMUJFWSA-N 0 0 427.479 -0.741 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1(CO)CC1 ZINC000683247796 1070825787 /nfs/dbraw/zinc/82/57/87/1070825787.db2.gz VTRAQDRIJOUCAB-ZDUSSCGKSA-N 0 0 427.479 -0.741 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000683249750 1070826441 /nfs/dbraw/zinc/82/64/41/1070826441.db2.gz AHQORVNQGABGGE-CMPLNLGQSA-N 0 0 441.428 -0.571 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccccc1C(F)(F)F ZINC000683249751 1070826902 /nfs/dbraw/zinc/82/69/02/1070826902.db2.gz AHQORVNQGABGGE-JQWIXIFHSA-N 0 0 441.428 -0.571 20 0 IBADRN CC(C)(CO)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000683249897 1070827564 /nfs/dbraw/zinc/82/75/64/1070827564.db2.gz PNBXZJQAFGLXLY-CYBMUJFWSA-N 0 0 429.495 -0.495 20 0 IBADRN CC(C)(CO)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000683249898 1070827261 /nfs/dbraw/zinc/82/72/61/1070827261.db2.gz PNBXZJQAFGLXLY-ZDUSSCGKSA-N 0 0 429.495 -0.495 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H](CO)CC1CC1 ZINC000683251201 1070827459 /nfs/dbraw/zinc/82/74/59/1070827459.db2.gz VXPIGPLWXFJJFI-DZGCQCFKSA-N 0 0 441.506 -0.353 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H](CO)CC1CC1 ZINC000683251202 1070827619 /nfs/dbraw/zinc/82/76/19/1070827619.db2.gz VXPIGPLWXFJJFI-HIFRSBDPSA-N 0 0 441.506 -0.353 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H](CO)CC1CC1 ZINC000683251203 1070827806 /nfs/dbraw/zinc/82/78/06/1070827806.db2.gz VXPIGPLWXFJJFI-UKRRQHHQSA-N 0 0 441.506 -0.353 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H](CO)CC1CC1 ZINC000683251204 1070827533 /nfs/dbraw/zinc/82/75/33/1070827533.db2.gz VXPIGPLWXFJJFI-ZFWWWQNUSA-N 0 0 441.506 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@@H](C)S(=O)(=O)CC2)cc1 ZINC000683260532 1070829325 /nfs/dbraw/zinc/82/93/25/1070829325.db2.gz CJNCXUJDNSIEEK-CPUCHLNUSA-N 0 0 446.547 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@@H](C)S(=O)(=O)CC2)cc1 ZINC000683260534 1070828739 /nfs/dbraw/zinc/82/87/39/1070828739.db2.gz CJNCXUJDNSIEEK-KEYYUXOJSA-N 0 0 446.547 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC[C@H](C)S(=O)(=O)CC2)cc1 ZINC000683260535 1070829450 /nfs/dbraw/zinc/82/94/50/1070829450.db2.gz CJNCXUJDNSIEEK-VBQJREDUSA-N 0 0 446.547 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC[C@H](C)S(=O)(=O)CC2)cc1 ZINC000683260537 1070829149 /nfs/dbraw/zinc/82/91/49/1070829149.db2.gz CJNCXUJDNSIEEK-ZQIUZPCESA-N 0 0 446.547 -0.145 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000683263965 1070829608 /nfs/dbraw/zinc/82/96/08/1070829608.db2.gz XGWNQFBPBWLZKI-LBPRGKRZSA-N 0 0 434.540 -0.495 20 0 IBADRN CCOC[C@H]1C(=O)N(CCS(=O)(=O)N2CCN(c3ccccn3)CC2)C(=O)N1C ZINC000683272651 1070832651 /nfs/dbraw/zinc/83/26/51/1070832651.db2.gz NLTHEMRLOUIIMG-HNNXBMFYSA-N 0 0 425.511 -0.168 20 0 IBADRN CCOC[C@@H]1C(=O)N(CCS(=O)(=O)N2CCN(c3ccccn3)CC2)C(=O)N1C ZINC000683272653 1070832517 /nfs/dbraw/zinc/83/25/17/1070832517.db2.gz NLTHEMRLOUIIMG-OAHLLOKOSA-N 0 0 425.511 -0.168 20 0 IBADRN COc1ccc([C@@H]2NC(=O)N(CCN3CCN(S(C)(=O)=O)CC3)C2=O)c(OC)c1 ZINC000683275169 1070833109 /nfs/dbraw/zinc/83/31/09/1070833109.db2.gz GPJXUCWEPWYGJJ-INIZCTEOSA-N 0 0 426.495 -0.126 20 0 IBADRN COc1ccc([C@H]2NC(=O)N(CCN3CCN(S(C)(=O)=O)CC3)C2=O)c(OC)c1 ZINC000683275171 1070832924 /nfs/dbraw/zinc/83/29/24/1070832924.db2.gz GPJXUCWEPWYGJJ-MRXNPFEDSA-N 0 0 426.495 -0.126 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)C[C@H](C)C2)cc1 ZINC000683348310 1070850715 /nfs/dbraw/zinc/85/07/15/1070850715.db2.gz MUQCMBNFUFGDGB-CPUCHLNUSA-N 0 0 446.547 -0.288 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)C[C@H](C)C2)cc1 ZINC000683348311 1070851030 /nfs/dbraw/zinc/85/10/30/1070851030.db2.gz MUQCMBNFUFGDGB-KEYYUXOJSA-N 0 0 446.547 -0.288 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)C[C@@H](C)C2)cc1 ZINC000683348312 1070850572 /nfs/dbraw/zinc/85/05/72/1070850572.db2.gz MUQCMBNFUFGDGB-VBQJREDUSA-N 0 0 446.547 -0.288 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCS(=O)(=O)C[C@@H](C)C2)cc1 ZINC000683348313 1070850868 /nfs/dbraw/zinc/85/08/68/1070850868.db2.gz MUQCMBNFUFGDGB-ZQIUZPCESA-N 0 0 446.547 -0.288 20 0 IBADRN C[C@@H](NC(=O)c1cnc2n(c1=O)CCS2)[C@H](C)NC(=O)c1cnc2n(c1=O)CCS2 ZINC000683361341 1070852682 /nfs/dbraw/zinc/85/26/82/1070852682.db2.gz IJULTKIBPXCASP-AOOOYVTPSA-N 0 0 448.530 -0.052 20 0 IBADRN C[C@@H](NC(=O)c1cnc2n(c1=O)CCS2)[C@@H](C)NC(=O)c1cnc2n(c1=O)CCS2 ZINC000683361342 1070852555 /nfs/dbraw/zinc/85/25/55/1070852555.db2.gz IJULTKIBPXCASP-NXEZZACHSA-N 0 0 448.530 -0.052 20 0 IBADRN C[C@H](NC(=O)c1cnc2n(c1=O)CCS2)[C@H](C)NC(=O)c1cnc2n(c1=O)CCS2 ZINC000683361343 1070852148 /nfs/dbraw/zinc/85/21/48/1070852148.db2.gz IJULTKIBPXCASP-UWVGGRQHSA-N 0 0 448.530 -0.052 20 0 IBADRN C[C@H](NC(=O)Cn1nc2ccccn2c1=O)[C@H](C)NC(=O)Cn1nc2ccccn2c1=O ZINC000683362840 1070853420 /nfs/dbraw/zinc/85/34/20/1070853420.db2.gz SBTAVSBQMCWIOX-KBPBESRZSA-N 0 0 438.448 -0.985 20 0 IBADRN C[C@@H](NC(=O)Cn1nc2ccccn2c1=O)[C@H](C)NC(=O)Cn1nc2ccccn2c1=O ZINC000683362844 1070853506 /nfs/dbraw/zinc/85/35/06/1070853506.db2.gz SBTAVSBQMCWIOX-OKILXGFUSA-N 0 0 438.448 -0.985 20 0 IBADRN C[C@@H](NC(=O)Cn1nc2ccccn2c1=O)[C@@H](C)NC(=O)Cn1nc2ccccn2c1=O ZINC000683362848 1070853272 /nfs/dbraw/zinc/85/32/72/1070853272.db2.gz SBTAVSBQMCWIOX-ZIAGYGMSSA-N 0 0 438.448 -0.985 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000683363067 1070852872 /nfs/dbraw/zinc/85/28/72/1070852872.db2.gz GYWXZXDOQSZXPB-XEZPLFJOSA-N 0 0 442.567 -0.032 20 0 IBADRN Cc1cc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc(S(N)(=O)=O)c1C ZINC000683363554 1070852979 /nfs/dbraw/zinc/85/29/79/1070852979.db2.gz JGLQOZXVMNPYMK-UHFFFAOYSA-N 0 0 427.508 -0.179 20 0 IBADRN C[C@H](NC(=O)CCC(=O)N1CCOCC1)[C@H](C)NC(=O)CCC(=O)N1CCOCC1 ZINC000683363809 1070853526 /nfs/dbraw/zinc/85/35/26/1070853526.db2.gz RVPVUEHTRPKXCP-HOTGVXAUSA-N 0 0 426.514 -0.726 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)N1CCOCC1)[C@@H](C)NC(=O)CCC(=O)N1CCOCC1 ZINC000683363810 1070853193 /nfs/dbraw/zinc/85/31/93/1070853193.db2.gz RVPVUEHTRPKXCP-HZPDHXFCSA-N 0 0 426.514 -0.726 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)N1CCOCC1)[C@H](C)NC(=O)CCC(=O)N1CCOCC1 ZINC000683363811 1070853125 /nfs/dbraw/zinc/85/31/25/1070853125.db2.gz RVPVUEHTRPKXCP-IYBDPMFKSA-N 0 0 426.514 -0.726 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)Nc2nc(C)n(C)c2C(=O)NCCO)ccc1OC ZINC000683366460 1070853721 /nfs/dbraw/zinc/85/37/21/1070853721.db2.gz CTMDXCZJTUNDNC-UHFFFAOYSA-N 0 0 425.467 -0.380 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)N[C@@H]1CCC[C@@H]1NC(=O)CCC(=O)N1CCOCC1 ZINC000683366873 1070854231 /nfs/dbraw/zinc/85/42/31/1070854231.db2.gz CFXQYHVIHMNRPT-CALCHBBNSA-N 0 0 438.525 -0.582 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)N[C@@H]1CCC[C@H]1NC(=O)CCC(=O)N1CCOCC1 ZINC000683366875 1070853747 /nfs/dbraw/zinc/85/37/47/1070853747.db2.gz CFXQYHVIHMNRPT-IAGOWNOFSA-N 0 0 438.525 -0.582 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)N[C@H]1CCC[C@@H]1NC(=O)CCC(=O)N1CCOCC1 ZINC000683366876 1070853768 /nfs/dbraw/zinc/85/37/68/1070853768.db2.gz CFXQYHVIHMNRPT-IRXDYDNUSA-N 0 0 438.525 -0.582 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)Nc2nc(C)n(C)c2C(=O)NCCO)cc1 ZINC000683367909 1070853987 /nfs/dbraw/zinc/85/39/87/1070853987.db2.gz AYCHSTQWLWLCBP-UHFFFAOYSA-N 0 0 439.494 -0.372 20 0 IBADRN Cc1nc(NC(=O)CNC(=O)c2cnc3ccccc3c2O)c(C(=O)NCCO)n1C ZINC000683369771 1070855103 /nfs/dbraw/zinc/85/51/03/1070855103.db2.gz SNEPVFPBEAHMBS-UHFFFAOYSA-N 0 0 426.433 -0.339 20 0 IBADRN Cc1nc(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)c(C(=O)NCCO)n1C ZINC000683370202 1070853821 /nfs/dbraw/zinc/85/38/21/1070853821.db2.gz XZKQAARJLIVCTC-UHFFFAOYSA-N 0 0 429.231 -0.886 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)Nc2nc(C)n(C)c2C(=O)NCCO)c1 ZINC000683370457 1070854881 /nfs/dbraw/zinc/85/48/81/1070854881.db2.gz OEYNRUUQNPEDBP-UHFFFAOYSA-N 0 0 439.494 -0.372 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N[C@@H]1CCC[C@@H]1NC(=O)Cn1c(C)cc(O)cc1=O ZINC000683370969 1070855037 /nfs/dbraw/zinc/85/50/37/1070855037.db2.gz ZDJZHZPKWSNGKC-CALCHBBNSA-N 0 0 430.461 -0.108 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N[C@@H]1CCC[C@H]1NC(=O)Cn1c(C)cc(O)cc1=O ZINC000683370980 1070855196 /nfs/dbraw/zinc/85/51/96/1070855196.db2.gz ZDJZHZPKWSNGKC-IAGOWNOFSA-N 0 0 430.461 -0.108 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N[C@H]1CCC[C@@H]1NC(=O)Cn1c(C)cc(O)cc1=O ZINC000683370984 1070854612 /nfs/dbraw/zinc/85/46/12/1070854612.db2.gz ZDJZHZPKWSNGKC-IRXDYDNUSA-N 0 0 430.461 -0.108 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CCS(=O)(=O)C1 ZINC000683381514 1070857211 /nfs/dbraw/zinc/85/72/11/1070857211.db2.gz LKMICZVAGCDYIT-HNNXBMFYSA-N 0 0 436.534 -0.094 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccccc2C(=O)N2CCN(C)CC2)CCS(=O)(=O)C1 ZINC000683381515 1070857328 /nfs/dbraw/zinc/85/73/28/1070857328.db2.gz LKMICZVAGCDYIT-OAHLLOKOSA-N 0 0 436.534 -0.094 20 0 IBADRN CS(=O)(=O)c1ccc2ncnc(N3CCN(S(=O)(=O)N4CCOCC4)CC3)c2c1 ZINC000683382799 1070858292 /nfs/dbraw/zinc/85/82/92/1070858292.db2.gz BMZWSJQAXLKOCO-UHFFFAOYSA-N 0 0 441.535 -0.268 20 0 IBADRN CS(=O)(=O)c1ccc2ncnc(N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)c2c1 ZINC000683384821 1070858623 /nfs/dbraw/zinc/85/86/23/1070858623.db2.gz PWYLLYCNKOWXDN-UHFFFAOYSA-N 0 0 431.474 -0.169 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ncnc3ccc(S(C)(=O)=O)cc31)C2 ZINC000683396443 1070860781 /nfs/dbraw/zinc/86/07/81/1070860781.db2.gz VUQMEUOHHPIANR-UHFFFAOYSA-N 0 0 431.478 -0.500 20 0 IBADRN Cc1c(Br)cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(=O)n1C ZINC000683397054 1070861088 /nfs/dbraw/zinc/86/10/88/1070861088.db2.gz PXIAOUAOXPPAKY-UHFFFAOYSA-N 0 0 435.344 -0.237 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000683423420 1070864265 /nfs/dbraw/zinc/86/42/65/1070864265.db2.gz OCKPDWBASIOFNQ-QAPCUYQASA-N 0 0 439.534 -0.200 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000683423424 1070864075 /nfs/dbraw/zinc/86/40/75/1070864075.db2.gz OCKPDWBASIOFNQ-YJBOKZPZSA-N 0 0 439.534 -0.200 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1 ZINC000683431032 1070866553 /nfs/dbraw/zinc/86/65/53/1070866553.db2.gz JRHPEQGRTHSLCY-UHFFFAOYSA-N 0 0 429.521 -0.006 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCC12CCOCC2 ZINC000683433231 1070871323 /nfs/dbraw/zinc/87/13/23/1070871323.db2.gz RZBNYUYFYZZWRB-UHFFFAOYSA-N 0 0 436.490 -0.473 20 0 IBADRN CC(CC(=O)NCC(C)(C)NS(C)(=O)=O)CC(=O)NCC(C)(C)NS(C)(=O)=O ZINC000683444161 1070876716 /nfs/dbraw/zinc/87/67/16/1070876716.db2.gz UXZQMRACSSJAQT-UHFFFAOYSA-N 0 0 442.604 -0.709 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)n(C)n1 ZINC000683478313 1070879934 /nfs/dbraw/zinc/87/99/34/1070879934.db2.gz PLMLLPNFPZBJIX-INIZCTEOSA-N 0 0 427.575 -0.426 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)n(C)n1 ZINC000683478325 1070879905 /nfs/dbraw/zinc/87/99/05/1070879905.db2.gz PLMLLPNFPZBJIX-MRXNPFEDSA-N 0 0 427.575 -0.426 20 0 IBADRN CCOC1CC(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)(N2CCOCC2)C1 ZINC000683478710 1070879708 /nfs/dbraw/zinc/87/97/08/1070879708.db2.gz UXLGBJZASKJICC-UHFFFAOYSA-N 0 0 447.602 -0.867 20 0 IBADRN CCOC1CC(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)(N2CCOCC2)C1 ZINC000683482019 1070879776 /nfs/dbraw/zinc/87/97/76/1070879776.db2.gz RUMXTGRTOXHNCQ-UHFFFAOYSA-N 0 0 433.575 -0.610 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@@H]2c2ccccc2)CC1 ZINC000683485144 1070880589 /nfs/dbraw/zinc/88/05/89/1070880589.db2.gz NPGHEEIWEGOMNY-DUXKGJEZSA-N 0 0 444.532 -0.024 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@@H]2OCC(=O)N(C)[C@H]2c2ccccc2)CC1 ZINC000683485145 1070880957 /nfs/dbraw/zinc/88/09/57/1070880957.db2.gz NPGHEEIWEGOMNY-JRGCBEDISA-N 0 0 444.532 -0.024 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2OCC(=O)N(C)[C@H]2c2ccccc2)CC1 ZINC000683485146 1070880704 /nfs/dbraw/zinc/88/07/04/1070880704.db2.gz NPGHEEIWEGOMNY-QMMLZNLJSA-N 0 0 444.532 -0.024 20 0 IBADRN C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)[C@H]2OCC(=O)N(C)[C@@H]2c2ccccc2)CC1 ZINC000683485147 1070880745 /nfs/dbraw/zinc/88/07/45/1070880745.db2.gz NPGHEEIWEGOMNY-UIFIKXQLSA-N 0 0 444.532 -0.024 20 0 IBADRN CCOC1CC(O)(CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000683519543 1070880930 /nfs/dbraw/zinc/88/09/30/1070880930.db2.gz KRGURAIGYGJJEJ-BDTLPFKBSA-N 0 0 428.507 -0.135 20 0 IBADRN CCOC1CC(O)(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000683519544 1070880557 /nfs/dbraw/zinc/88/05/57/1070880557.db2.gz KRGURAIGYGJJEJ-OHNPGISMSA-N 0 0 428.507 -0.135 20 0 IBADRN O=C(CN1CCOC[C@H]1CO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000683526057 1070880769 /nfs/dbraw/zinc/88/07/69/1070880769.db2.gz AUZDOIKNWACQTR-CYBMUJFWSA-N 0 0 433.914 -0.008 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3CO)CC2)c1 ZINC000683526172 1070880663 /nfs/dbraw/zinc/88/06/63/1070880663.db2.gz IZIWMZLKZYQEEL-OAHLLOKOSA-N 0 0 443.522 -0.770 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@H]1CO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000683526401 1070880833 /nfs/dbraw/zinc/88/08/33/1070880833.db2.gz OSVXTCQVUBGQQG-MRXNPFEDSA-N 0 0 447.492 -0.748 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3CO)CC2)c1 ZINC000683526451 1070880683 /nfs/dbraw/zinc/88/06/83/1070880683.db2.gz XEQQOMHNBVABBL-QGZVFWFLSA-N 0 0 425.507 -0.585 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3CO)CC2)cc1 ZINC000683526470 1070880637 /nfs/dbraw/zinc/88/06/37/1070880637.db2.gz UGQPVOGLSLHZJG-QGZVFWFLSA-N 0 0 425.507 -0.585 20 0 IBADRN O=C(CN1CCOC[C@H]1CO)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000683526546 1070881464 /nfs/dbraw/zinc/88/14/64/1070881464.db2.gz KXPNPSNFQOXRIA-CQSZACIVSA-N 0 0 433.914 -0.008 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3CO)CC2)cc1OC ZINC000683526563 1070881288 /nfs/dbraw/zinc/88/12/88/1070881288.db2.gz WSCSFQJKFRGENE-OAHLLOKOSA-N 0 0 443.522 -0.770 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N[C@@]1(CCO)CCOC1 ZINC000683532820 1070881407 /nfs/dbraw/zinc/88/14/07/1070881407.db2.gz OBVMAABBRSBOMQ-PBHICJAKSA-N 0 0 447.535 -0.185 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N[C@]1(CCO)CCOC1 ZINC000683532821 1070881386 /nfs/dbraw/zinc/88/13/86/1070881386.db2.gz OBVMAABBRSBOMQ-RHSMWYFYSA-N 0 0 447.535 -0.185 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N[C@]1(CCO)CCOC1 ZINC000683532822 1070881227 /nfs/dbraw/zinc/88/12/27/1070881227.db2.gz OBVMAABBRSBOMQ-WMLDXEAASA-N 0 0 447.535 -0.185 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N[C@@]1(CCO)CCOC1 ZINC000683532823 1070881369 /nfs/dbraw/zinc/88/13/69/1070881369.db2.gz OBVMAABBRSBOMQ-YOEHRIQHSA-N 0 0 447.535 -0.185 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(CO)(OC)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000683548781 1070881926 /nfs/dbraw/zinc/88/19/26/1070881926.db2.gz ZYCYFPZUWAOEKD-KRWDZBQOSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(CO)(OC)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000683548782 1070881899 /nfs/dbraw/zinc/88/18/99/1070881899.db2.gz ZYCYFPZUWAOEKD-QGZVFWFLSA-N 0 0 427.479 -0.088 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000683550262 1070881738 /nfs/dbraw/zinc/88/17/38/1070881738.db2.gz SPZBLNKLCCGBAP-AWEZNQCLSA-N 0 0 447.583 -0.386 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000683550283 1070881979 /nfs/dbraw/zinc/88/19/79/1070881979.db2.gz SPZBLNKLCCGBAP-CQSZACIVSA-N 0 0 447.583 -0.386 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1-c1nc(CN2C(=O)NC(C)(C)C2=O)no1 ZINC000683581636 1070886674 /nfs/dbraw/zinc/88/66/74/1070886674.db2.gz KQKREFNHAJHHFN-UHFFFAOYSA-N 0 0 438.466 -0.074 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1-c1nc(C(C)(C)NS(C)(=O)=O)no1 ZINC000683582886 1070886584 /nfs/dbraw/zinc/88/65/84/1070886584.db2.gz YOOXUQUHRGCXGS-UHFFFAOYSA-N 0 0 433.512 -0.120 20 0 IBADRN CS(=O)(=O)NCCc1ccc(-c2nc(CC(=O)NCCN3CCOCC3)no2)cc1 ZINC000683583505 1070887441 /nfs/dbraw/zinc/88/74/41/1070887441.db2.gz SQVZGAGVGZQJDI-UHFFFAOYSA-N 0 0 437.522 -0.181 20 0 IBADRN COCCCNC(=O)Cc1noc(-c2cc(S(=O)(=O)N3CCOCC3)cn2C)n1 ZINC000683587145 1070887354 /nfs/dbraw/zinc/88/73/54/1070887354.db2.gz LVJPIVJXEWNZSK-UHFFFAOYSA-N 0 0 427.483 -0.209 20 0 IBADRN COC[C@@H](C)NC(=O)Cc1noc(-c2cc(S(=O)(=O)N3CCOCC3)cn2C)n1 ZINC000683588658 1070887468 /nfs/dbraw/zinc/88/74/68/1070887468.db2.gz PERKDLFOGWGGGN-GFCCVEGCSA-N 0 0 427.483 -0.210 20 0 IBADRN COC[C@H](C)NC(=O)Cc1noc(-c2cc(S(=O)(=O)N3CCOCC3)cn2C)n1 ZINC000683588659 1070887411 /nfs/dbraw/zinc/88/74/11/1070887411.db2.gz PERKDLFOGWGGGN-LBPRGKRZSA-N 0 0 427.483 -0.210 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000683622490 1070889764 /nfs/dbraw/zinc/88/97/64/1070889764.db2.gz FWBHWIAJYFNCSQ-CVEARBPZSA-N 0 0 425.486 -0.380 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000683622496 1070889676 /nfs/dbraw/zinc/88/96/76/1070889676.db2.gz FWBHWIAJYFNCSQ-HOTGVXAUSA-N 0 0 425.486 -0.380 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000683622498 1070889790 /nfs/dbraw/zinc/88/97/90/1070889790.db2.gz FWBHWIAJYFNCSQ-HZPDHXFCSA-N 0 0 425.486 -0.380 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000683622500 1070889737 /nfs/dbraw/zinc/88/97/37/1070889737.db2.gz FWBHWIAJYFNCSQ-JKSUJKDBSA-N 0 0 425.486 -0.380 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000683623976 1070889618 /nfs/dbraw/zinc/88/96/18/1070889618.db2.gz VUEYYCVCRICYQN-DOTOQJQBSA-N 0 0 425.486 -0.380 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000683623978 1070890006 /nfs/dbraw/zinc/89/00/06/1070890006.db2.gz VUEYYCVCRICYQN-NVXWUHKLSA-N 0 0 425.486 -0.380 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000683623980 1070889981 /nfs/dbraw/zinc/88/99/81/1070889981.db2.gz VUEYYCVCRICYQN-RDJZCZTQSA-N 0 0 425.486 -0.380 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000683623982 1070890103 /nfs/dbraw/zinc/89/01/03/1070890103.db2.gz VUEYYCVCRICYQN-WBVHZDCISA-N 0 0 425.486 -0.380 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000683636181 1070891661 /nfs/dbraw/zinc/89/16/61/1070891661.db2.gz XMSCRWBKVGPZRW-UHFFFAOYSA-N 0 0 440.472 -0.778 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000683636345 1070890408 /nfs/dbraw/zinc/89/04/08/1070890408.db2.gz DNDFSQLUOQHLSP-UHFFFAOYSA-N 0 0 437.530 -0.185 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC000683636651 1070890681 /nfs/dbraw/zinc/89/06/81/1070890681.db2.gz NXXZSCKYSOBOKS-UHFFFAOYSA-N 0 0 437.530 -0.703 20 0 IBADRN O=C(Cn1cc(NC(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)cn1)NCCO ZINC000683637173 1070890386 /nfs/dbraw/zinc/89/03/86/1070890386.db2.gz UKLLGDDEMAQLHS-UHFFFAOYSA-N 0 0 428.457 -0.192 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCC(CO)(OC)CC2)cc1 ZINC000683649105 1070891686 /nfs/dbraw/zinc/89/16/86/1070891686.db2.gz ABCIBKBACTYKSK-PBHICJAKSA-N 0 0 428.507 -0.181 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCC(CO)(OC)CC2)cc1 ZINC000683649106 1070891345 /nfs/dbraw/zinc/89/13/45/1070891345.db2.gz ABCIBKBACTYKSK-YOEHRIQHSA-N 0 0 428.507 -0.181 20 0 IBADRN Cc1nc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1Br ZINC000683650151 1070892252 /nfs/dbraw/zinc/89/22/52/1070892252.db2.gz DZNGBJLFZGCVFQ-UHFFFAOYSA-N 0 0 448.343 -0.216 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cnn1C1CCCC1 ZINC000683650286 1070891709 /nfs/dbraw/zinc/89/17/09/1070891709.db2.gz IHMKYXWAZOSBSH-UHFFFAOYSA-N 0 0 426.543 -0.061 20 0 IBADRN Cn1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C(F)(F)F)n1 ZINC000683650324 1070891183 /nfs/dbraw/zinc/89/11/83/1070891183.db2.gz KKGAECOURJZACN-UHFFFAOYSA-N 0 0 426.421 -0.929 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ncc1Br ZINC000683650379 1070892141 /nfs/dbraw/zinc/89/21/41/1070892141.db2.gz NFUHIYAZAHNDMV-UHFFFAOYSA-N 0 0 448.343 -0.216 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(Br)cn2)CC1 ZINC000683650894 1070892192 /nfs/dbraw/zinc/89/21/92/1070892192.db2.gz YRAIZOBNMVUGPK-UHFFFAOYSA-N 0 0 434.316 -0.524 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)NCC1(NC(=O)CS(=O)(=O)NC(C)(C)C)CC1 ZINC000683656969 1070891920 /nfs/dbraw/zinc/89/19/20/1070891920.db2.gz KJKVFHAXJAHNET-UHFFFAOYSA-N 0 0 440.588 -0.813 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000683657923 1070892064 /nfs/dbraw/zinc/89/20/64/1070892064.db2.gz YIDGKYFUOMGHPE-DLBZAZTESA-N 0 0 442.524 -0.145 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000683657924 1070893146 /nfs/dbraw/zinc/89/31/46/1070893146.db2.gz YIDGKYFUOMGHPE-IAGOWNOFSA-N 0 0 442.524 -0.145 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000683657925 1070893226 /nfs/dbraw/zinc/89/32/26/1070893226.db2.gz YIDGKYFUOMGHPE-IRXDYDNUSA-N 0 0 442.524 -0.145 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000683657926 1070892894 /nfs/dbraw/zinc/89/28/94/1070892894.db2.gz YIDGKYFUOMGHPE-SJORKVTESA-N 0 0 442.524 -0.145 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CCN1CCO ZINC000683660598 1070893049 /nfs/dbraw/zinc/89/30/49/1070893049.db2.gz MFLOKEKAWVWXLK-UHFFFAOYSA-N 0 0 430.513 -0.287 20 0 IBADRN Cn1cccc1C(=O)N1CCN(CC(O)CN2CCN(C(=O)c3cccn3C)CC2)CC1 ZINC000683665212 1070892691 /nfs/dbraw/zinc/89/26/91/1070892691.db2.gz UFLKDJUTYCYGTO-UHFFFAOYSA-N 0 0 442.564 -0.060 20 0 IBADRN COc1ccc(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)nc1 ZINC000683671861 1070895004 /nfs/dbraw/zinc/89/50/04/1070895004.db2.gz FKOVEZPBCPGYGD-UHFFFAOYSA-N 0 0 448.505 -0.178 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)C2)n(C)n1 ZINC000683676562 1070895744 /nfs/dbraw/zinc/89/57/44/1070895744.db2.gz FMDFOLFLECHEKH-INIZCTEOSA-N 0 0 440.508 -0.217 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)C2)n(C)n1 ZINC000683676563 1070896231 /nfs/dbraw/zinc/89/62/31/1070896231.db2.gz FMDFOLFLECHEKH-MRXNPFEDSA-N 0 0 440.508 -0.217 20 0 IBADRN COC1(CO)CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000683678655 1070895706 /nfs/dbraw/zinc/89/57/06/1070895706.db2.gz AZPAQWPAQSPKKZ-UHFFFAOYSA-N 0 0 441.550 -0.203 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000683678855 1070895918 /nfs/dbraw/zinc/89/59/18/1070895918.db2.gz SOLKMSAYICGLMA-DZGCQCFKSA-N 0 0 427.479 -0.052 20 0 IBADRN CCO[C@H]1C[C@H]1NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000683678856 1070896070 /nfs/dbraw/zinc/89/60/70/1070896070.db2.gz SOLKMSAYICGLMA-HIFRSBDPSA-N 0 0 427.479 -0.052 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000683678857 1070895805 /nfs/dbraw/zinc/89/58/05/1070895805.db2.gz SOLKMSAYICGLMA-UKRRQHHQSA-N 0 0 427.479 -0.052 20 0 IBADRN CCO[C@H]1C[C@@H]1NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000683678858 1070895776 /nfs/dbraw/zinc/89/57/76/1070895776.db2.gz SOLKMSAYICGLMA-ZFWWWQNUSA-N 0 0 427.479 -0.052 20 0 IBADRN COC1(CO)CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000683679315 1070895982 /nfs/dbraw/zinc/89/59/82/1070895982.db2.gz ZDVCPVVULKLVQI-UHFFFAOYSA-N 0 0 441.550 -0.203 20 0 IBADRN COCCN(C(=O)CN1CCC(CO)(OC)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000683679348 1070895933 /nfs/dbraw/zinc/89/59/33/1070895933.db2.gz NGBWHKKBYNDIEH-UHFFFAOYSA-N 0 0 441.529 -0.360 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC3(CCN(C)C3=O)CC1)CC2 ZINC000683683020 1070896948 /nfs/dbraw/zinc/89/69/48/1070896948.db2.gz VXHYPNYTAUTPTH-UHFFFAOYSA-N 0 0 434.518 -0.045 20 0 IBADRN COc1ccc(-n2cnnc2S(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000683684006 1070896486 /nfs/dbraw/zinc/89/64/86/1070896486.db2.gz TZLNVZQWQYPTHT-UHFFFAOYSA-N 0 0 445.523 -0.553 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC(CO)(OC)CC1 ZINC000683684460 1070897484 /nfs/dbraw/zinc/89/74/84/1070897484.db2.gz GNXVRZWZJWNHAT-UHFFFAOYSA-N 0 0 429.495 -0.116 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000683684503 1070897242 /nfs/dbraw/zinc/89/72/42/1070897242.db2.gz IFNMVEKNNAOSLS-UHFFFAOYSA-N 0 0 442.538 -0.059 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000683684795 1070897749 /nfs/dbraw/zinc/89/77/49/1070897749.db2.gz MCUZSHQYCMZJEW-UHFFFAOYSA-N 0 0 435.477 -0.137 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000683685163 1070897510 /nfs/dbraw/zinc/89/75/10/1070897510.db2.gz QGKKFYYWRMJPLF-GOSISDBHSA-N 0 0 447.488 -0.361 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000683685167 1070897620 /nfs/dbraw/zinc/89/76/20/1070897620.db2.gz QGKKFYYWRMJPLF-SFHVURJKSA-N 0 0 447.488 -0.361 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC(CO)(OC)CC1)CC2 ZINC000683685218 1070897598 /nfs/dbraw/zinc/89/75/98/1070897598.db2.gz RRQZNDQNSTTZCG-UHFFFAOYSA-N 0 0 425.507 -0.126 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(CO)(OC)CC2)cc1 ZINC000683685370 1070897790 /nfs/dbraw/zinc/89/77/90/1070897790.db2.gz UFZNOMXKXVCYGB-AWEZNQCLSA-N 0 0 443.522 -0.062 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(CO)(OC)CC2)cc1 ZINC000683685375 1070897269 /nfs/dbraw/zinc/89/72/69/1070897269.db2.gz UFZNOMXKXVCYGB-CQSZACIVSA-N 0 0 443.522 -0.062 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000683685550 1070897212 /nfs/dbraw/zinc/89/72/12/1070897212.db2.gz LOTPGFNLPOAMHC-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000683685856 1070897540 /nfs/dbraw/zinc/89/75/40/1070897540.db2.gz ISJYRYAKDKZBBI-UHFFFAOYSA-N 0 0 448.520 -0.313 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000683686133 1070898340 /nfs/dbraw/zinc/89/83/40/1070898340.db2.gz KABRLRMGXPBJOE-UHFFFAOYSA-N 0 0 432.521 -0.059 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(CO)(OC)CC2)cc1 ZINC000683686420 1070898672 /nfs/dbraw/zinc/89/86/72/1070898672.db2.gz MSCFZRPJKINTSC-UHFFFAOYSA-N 0 0 429.495 -0.450 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2C)c2ccccc2O1 ZINC000683686535 1070898815 /nfs/dbraw/zinc/89/88/15/1070898815.db2.gz AZVBQOIUYNWPGZ-KRWDZBQOSA-N 0 0 446.485 -0.201 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2C)c2ccccc2O1 ZINC000683686539 1070898700 /nfs/dbraw/zinc/89/87/00/1070898700.db2.gz AZVBQOIUYNWPGZ-QGZVFWFLSA-N 0 0 446.485 -0.201 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000683686973 1070898283 /nfs/dbraw/zinc/89/82/83/1070898283.db2.gz RLTSYLQMUOFQEY-UHFFFAOYSA-N 0 0 434.493 -0.104 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000683686994 1070898216 /nfs/dbraw/zinc/89/82/16/1070898216.db2.gz SMJXUWIQXHRMDF-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2C)cc1 ZINC000683687359 1070898886 /nfs/dbraw/zinc/89/88/86/1070898886.db2.gz JWDZBNIPGPHEHP-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)C(=O)NCc2ccc(S(N)(=O)=O)cc2C)c1 ZINC000683688061 1070899964 /nfs/dbraw/zinc/89/99/64/1070899964.db2.gz WZPQAYZAOGVVES-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)cc1 ZINC000683688430 1070898646 /nfs/dbraw/zinc/89/86/46/1070898646.db2.gz OXOBZIIDNHLWJB-DZGCQCFKSA-N 0 0 429.495 -0.594 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)cc1 ZINC000683688431 1070899043 /nfs/dbraw/zinc/89/90/43/1070899043.db2.gz OXOBZIIDNHLWJB-HIFRSBDPSA-N 0 0 429.495 -0.594 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)cc1 ZINC000683688432 1070899618 /nfs/dbraw/zinc/89/96/18/1070899618.db2.gz OXOBZIIDNHLWJB-UKRRQHHQSA-N 0 0 429.495 -0.594 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)cc1 ZINC000683688433 1070899478 /nfs/dbraw/zinc/89/94/78/1070899478.db2.gz OXOBZIIDNHLWJB-ZFWWWQNUSA-N 0 0 429.495 -0.594 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C1CC1)N1CCOC[C@H]1C1CC1 ZINC000683688515 1070899646 /nfs/dbraw/zinc/89/96/46/1070899646.db2.gz SRIFWDWSEQJANP-HNNXBMFYSA-N 0 0 425.511 -0.139 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C1CC1)N1CCOC[C@@H]1C1CC1 ZINC000683688516 1070899430 /nfs/dbraw/zinc/89/94/30/1070899430.db2.gz SRIFWDWSEQJANP-OAHLLOKOSA-N 0 0 425.511 -0.139 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)cc1 ZINC000683688835 1070899454 /nfs/dbraw/zinc/89/94/54/1070899454.db2.gz FPTZJSMSWNDWLC-UHFFFAOYSA-N 0 0 440.503 -0.195 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000683725377 1070903594 /nfs/dbraw/zinc/90/35/94/1070903594.db2.gz FMEFXBFJHGPCDA-UHFFFAOYSA-N 0 0 433.556 -0.981 20 0 IBADRN Cc1cc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1S(N)(=O)=O ZINC000683728310 1070904251 /nfs/dbraw/zinc/90/42/51/1070904251.db2.gz UJSCTCMWBXMACD-UHFFFAOYSA-N 0 0 433.556 -0.981 20 0 IBADRN CN(CCCNC(=O)Cn1cc(Br)c2ncncc2c1=O)S(C)(=O)=O ZINC000683736240 1070905160 /nfs/dbraw/zinc/90/51/60/1070905160.db2.gz GMFVUOUABWDZSH-UHFFFAOYSA-N 0 0 432.300 -0.048 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CC(=O)NC[C@@H]1COc3ccccc3O1)c2=O ZINC000683738310 1070904996 /nfs/dbraw/zinc/90/49/96/1070904996.db2.gz VEWPZYGHOREHGZ-LLVKDONJSA-N 0 0 433.446 -0.510 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CC(=O)NC[C@H]1COc3ccccc3O1)c2=O ZINC000683738311 1070905102 /nfs/dbraw/zinc/90/51/02/1070905102.db2.gz VEWPZYGHOREHGZ-NSHDSACASA-N 0 0 433.446 -0.510 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NS(=O)(=O)c1ccccc1F ZINC000683740394 1070905058 /nfs/dbraw/zinc/90/50/58/1070905058.db2.gz KJTWVQLIKUIZKP-QWHCGFSZSA-N 0 0 449.526 -0.356 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NS(=O)(=O)c1ccccc1F ZINC000683740395 1070905209 /nfs/dbraw/zinc/90/52/09/1070905209.db2.gz KJTWVQLIKUIZKP-STQMWFEESA-N 0 0 449.526 -0.356 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000683740518 1070905043 /nfs/dbraw/zinc/90/50/43/1070905043.db2.gz RGLIZNASBNGJEP-AWEZNQCLSA-N 0 0 431.536 -0.250 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000683740519 1070905149 /nfs/dbraw/zinc/90/51/49/1070905149.db2.gz RGLIZNASBNGJEP-CQSZACIVSA-N 0 0 431.536 -0.250 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCn1c2ccccc2c(=O)[nH]c1=O ZINC000683740612 1070905249 /nfs/dbraw/zinc/90/52/49/1070905249.db2.gz XCSFSJGSGXBCOI-CYBMUJFWSA-N 0 0 436.490 -0.356 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCn1c2ccccc2c(=O)[nH]c1=O ZINC000683740613 1070905969 /nfs/dbraw/zinc/90/59/69/1070905969.db2.gz XCSFSJGSGXBCOI-ZDUSSCGKSA-N 0 0 436.490 -0.356 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CC(=O)N1CCN(Cc3ccccc3)CC1)c2=O ZINC000683741151 1070905849 /nfs/dbraw/zinc/90/58/49/1070905849.db2.gz OQHMUXOBBCWUMU-UHFFFAOYSA-N 0 0 444.517 -0.122 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NS(=O)(=O)c1ccccc1 ZINC000683741290 1070905878 /nfs/dbraw/zinc/90/58/78/1070905878.db2.gz RJTJMASJAKTULC-KBPBESRZSA-N 0 0 431.536 -0.495 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](C)NS(=O)(=O)c1ccccc1 ZINC000683741291 1070905778 /nfs/dbraw/zinc/90/57/78/1070905778.db2.gz RJTJMASJAKTULC-UONOGXRCSA-N 0 0 431.536 -0.495 20 0 IBADRN Cc1nc(Cn2cc(C(=O)Nc3ccc(N4CCN(S(C)(=O)=O)CC4)nc3)nn2)no1 ZINC000683741878 1070905671 /nfs/dbraw/zinc/90/56/71/1070905671.db2.gz JDBGQFFVBFYACR-UHFFFAOYSA-N 0 0 447.481 -0.253 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3ncnc4c3NC(=O)CS4)CC2)C[C@H](C)O1 ZINC000683745363 1070906446 /nfs/dbraw/zinc/90/64/46/1070906446.db2.gz FZJZNPDVABYMFD-RYUDHWBXSA-N 0 0 428.540 -0.003 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3ncnc4c3NC(=O)CS4)CC2)C[C@H](C)O1 ZINC000683745367 1070906489 /nfs/dbraw/zinc/90/64/89/1070906489.db2.gz FZJZNPDVABYMFD-TXEJJXNPSA-N 0 0 428.540 -0.003 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3ncnc4c3NC(=O)CS4)CC2)C[C@@H](C)O1 ZINC000683745369 1070906401 /nfs/dbraw/zinc/90/64/01/1070906401.db2.gz FZJZNPDVABYMFD-VXGBXAGGSA-N 0 0 428.540 -0.003 20 0 IBADRN O=C1CN(CCCNc2nc3cccnc3nc2NCCCN2CCNC(=O)C2)CCN1 ZINC000683750775 1070906459 /nfs/dbraw/zinc/90/64/59/1070906459.db2.gz IBPFKVAQRGUXAE-UHFFFAOYSA-N 0 0 441.540 -0.508 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1ncccc1S(C)(=O)=O ZINC000683771058 1070909165 /nfs/dbraw/zinc/90/91/65/1070909165.db2.gz MXMNMWVWFJOGGQ-UHFFFAOYSA-N 0 0 442.519 -0.226 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000683787195 1070910293 /nfs/dbraw/zinc/91/02/93/1070910293.db2.gz SXAZJOLPQHQUSA-UHFFFAOYSA-N 0 0 445.549 -0.405 20 0 IBADRN NC(=O)c1ncccc1C(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000683814976 1070914176 /nfs/dbraw/zinc/91/41/76/1070914176.db2.gz HDBYJMASDHUTIW-UHFFFAOYSA-N 0 0 430.446 -0.091 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CCN2C1=O ZINC000683827734 1070916310 /nfs/dbraw/zinc/91/63/10/1070916310.db2.gz MRQWXMTYORKMJG-INIZCTEOSA-N 0 0 430.575 -0.649 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CCN2C1=O ZINC000683827735 1070916683 /nfs/dbraw/zinc/91/66/83/1070916683.db2.gz MRQWXMTYORKMJG-MRXNPFEDSA-N 0 0 430.575 -0.649 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN(C[C@@H](C)O)CC2)c1C(=O)N1CCN(C[C@H](C)O)CC1 ZINC000683848773 1070919221 /nfs/dbraw/zinc/91/92/21/1070919221.db2.gz SKSSYXFPEXDIIZ-MOPGFXCFSA-N 0 0 449.596 -0.460 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN(C[C@H](C)O)CC2)c1C(=O)N1CCN(C[C@@H](C)O)CC1 ZINC000683848775 1070919309 /nfs/dbraw/zinc/91/93/09/1070919309.db2.gz SKSSYXFPEXDIIZ-RBUKOAKNSA-N 0 0 449.596 -0.460 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000683849411 1070919459 /nfs/dbraw/zinc/91/94/59/1070919459.db2.gz ZELMIZHBJQPSCE-UHFFFAOYSA-N 0 0 429.477 -0.505 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1C(=O)N1CCOCC1 ZINC000683861794 1070920277 /nfs/dbraw/zinc/92/02/77/1070920277.db2.gz GJHOPIFOUDYJAH-UHFFFAOYSA-N 0 0 440.522 -0.196 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1N1CCNC1=O ZINC000683861911 1070920263 /nfs/dbraw/zinc/92/02/63/1070920263.db2.gz AHRXQIPCVIDTHR-UHFFFAOYSA-N 0 0 438.510 -0.586 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cccc1N1CCNC1=O ZINC000683862165 1070920234 /nfs/dbraw/zinc/92/02/34/1070920234.db2.gz FDCSCQYSQYCKAO-UHFFFAOYSA-N 0 0 438.510 -0.586 20 0 IBADRN Cn1nc(-c2ccsc2)cc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000683866336 1070921163 /nfs/dbraw/zinc/92/11/63/1070921163.db2.gz HHXBRUPNOXEMFH-UHFFFAOYSA-N 0 0 440.551 -0.220 20 0 IBADRN Cn1c(=O)ccc2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc21 ZINC000683866404 1070921121 /nfs/dbraw/zinc/92/11/21/1070921121.db2.gz KLVUTLSJEQMFCX-UHFFFAOYSA-N 0 0 435.506 -0.830 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1C(=O)N1CCOCC1 ZINC000683866427 1070920980 /nfs/dbraw/zinc/92/09/80/1070920980.db2.gz AHURLNUXCZLRKJ-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN COC[C@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000683866853 1070921137 /nfs/dbraw/zinc/92/11/37/1070921137.db2.gz SFNDGECOYCOLGT-GOSISDBHSA-N 0 0 429.495 -0.068 20 0 IBADRN COC[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000683866855 1070920921 /nfs/dbraw/zinc/92/09/21/1070920921.db2.gz SFNDGECOYCOLGT-SFHVURJKSA-N 0 0 429.495 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H](O)C[C@H](O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000683869741 1070920952 /nfs/dbraw/zinc/92/09/52/1070920952.db2.gz YAYXNFPBGDRNPA-OKILXGFUSA-N 0 0 427.479 -0.628 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(C)c(N4CCNC4=O)c3)CCN2C1=O ZINC000683870116 1070920966 /nfs/dbraw/zinc/92/09/66/1070920966.db2.gz DGUZVQQJUSVMRD-HNNXBMFYSA-N 0 0 428.449 -0.042 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(C)c(N4CCNC4=O)c3)CCN2C1=O ZINC000683870119 1070921099 /nfs/dbraw/zinc/92/10/99/1070921099.db2.gz DGUZVQQJUSVMRD-OAHLLOKOSA-N 0 0 428.449 -0.042 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cccc(N4CCNC4=O)c3C)CCN2C1=O ZINC000683871388 1070921873 /nfs/dbraw/zinc/92/18/73/1070921873.db2.gz YVNZLYYTFZHDLL-HNNXBMFYSA-N 0 0 428.449 -0.042 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cccc(N4CCNC4=O)c3C)CCN2C1=O ZINC000683871391 1070921827 /nfs/dbraw/zinc/92/18/27/1070921827.db2.gz YVNZLYYTFZHDLL-OAHLLOKOSA-N 0 0 428.449 -0.042 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1C(=O)N1CCOCC1 ZINC000683871772 1070921925 /nfs/dbraw/zinc/92/19/25/1070921925.db2.gz XFVRHSKJLIMTCL-UHFFFAOYSA-N 0 0 445.520 -0.362 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000683892874 1070923387 /nfs/dbraw/zinc/92/33/87/1070923387.db2.gz OEUJMXXUEWWWPS-UHFFFAOYSA-N 0 0 439.498 -0.924 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCC(=O)Nc2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000683893079 1070923351 /nfs/dbraw/zinc/92/33/51/1070923351.db2.gz UHPDIMYESGCVJB-UHFFFAOYSA-N 0 0 425.471 -0.667 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(F)cc1)NCc1ccco1 ZINC000683901844 1070924226 /nfs/dbraw/zinc/92/42/26/1070924226.db2.gz HWMNPMMGAXMTIX-BBRMVZONSA-N 0 0 425.438 -0.025 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000683901941 1070924143 /nfs/dbraw/zinc/92/41/43/1070924143.db2.gz ADUYJBRHNVETJM-PBHICJAKSA-N 0 0 440.522 -0.307 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000683901948 1070924363 /nfs/dbraw/zinc/92/43/63/1070924363.db2.gz ADUYJBRHNVETJM-YOEHRIQHSA-N 0 0 440.522 -0.307 20 0 IBADRN CCNC(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC000683902047 1070924335 /nfs/dbraw/zinc/92/43/35/1070924335.db2.gz CSVBOQOXBJSJNL-UHFFFAOYSA-N 0 0 425.511 -0.342 20 0 IBADRN CCNC(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000683902535 1070924279 /nfs/dbraw/zinc/92/42/79/1070924279.db2.gz NYBLNODCEGVRBP-HOCLYGCPSA-N 0 0 428.486 -0.177 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000683902948 1070924374 /nfs/dbraw/zinc/92/43/74/1070924374.db2.gz MYAYHGYXIDALPQ-INIZCTEOSA-N 0 0 426.539 -0.431 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000683903132 1070924982 /nfs/dbraw/zinc/92/49/82/1070924982.db2.gz XZRDMPDQIDVPKT-UHFFFAOYSA-N 0 0 426.539 -0.186 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)Nc1nc(C)n(C)c1C(=O)NCCO ZINC000683905315 1070925148 /nfs/dbraw/zinc/92/51/48/1070925148.db2.gz BBSQKFZBDBONEI-JTQLQIEISA-N 0 0 441.514 -0.894 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)Nc1nc(C)n(C)c1C(=O)NCCO ZINC000683905316 1070924950 /nfs/dbraw/zinc/92/49/50/1070924950.db2.gz BBSQKFZBDBONEI-SNVBAGLBSA-N 0 0 441.514 -0.894 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)Nc2nc(C)n(C)c2C(=O)NCCO)cc1 ZINC000683905886 1070924924 /nfs/dbraw/zinc/92/49/24/1070924924.db2.gz HJADGLDFAZJPDK-NSHDSACASA-N 0 0 439.494 -0.235 20 0 IBADRN Cc1nc(NC(=O)CCNS(=O)(=O)c2ccc(F)cc2)c(C(=O)NCCO)n1C ZINC000683905979 1070925930 /nfs/dbraw/zinc/92/59/30/1070925930.db2.gz IXZZLYMVHJRHSP-UHFFFAOYSA-N 0 0 427.458 -0.103 20 0 IBADRN COc1cc(C(=O)NCC(=O)Nc2nc(C)n(C)c2C(=O)NCCO)cc(OC)c1OC ZINC000683906167 1070925747 /nfs/dbraw/zinc/92/57/47/1070925747.db2.gz KRNSSHSIKSERMF-UHFFFAOYSA-N 0 0 449.464 -0.155 20 0 IBADRN COCCN1C[C@H](C(=O)N[C@@H]2CCC[C@H]2NC(=O)[C@@H]2CC(=O)N(CCOC)C2)CC1=O ZINC000683906823 1070925688 /nfs/dbraw/zinc/92/56/88/1070925688.db2.gz SPQXOBJAKMGFEQ-QBPKDAKJSA-N 0 0 438.525 -0.870 20 0 IBADRN COCCN1C[C@H](C(=O)N[C@@H]2CCC[C@@H]2NC(=O)[C@@H]2CC(=O)N(CCOC)C2)CC1=O ZINC000683906824 1070925773 /nfs/dbraw/zinc/92/57/73/1070925773.db2.gz SPQXOBJAKMGFEQ-VQHPVUNQSA-N 0 0 438.525 -0.870 20 0 IBADRN COCCN1C[C@H](C(=O)N[C@@H]2CCC[C@@H]2NC(=O)[C@H]2CC(=O)N(CCOC)C2)CC1=O ZINC000683906825 1070925520 /nfs/dbraw/zinc/92/55/20/1070925520.db2.gz SPQXOBJAKMGFEQ-WNKDZCFJSA-N 0 0 438.525 -0.870 20 0 IBADRN COCCN1C[C@H](C(=O)N[C@@H]2CCC[C@H]2NC(=O)[C@H]2CC(=O)N(CCOC)C2)CC1=O ZINC000683906826 1070925916 /nfs/dbraw/zinc/92/59/16/1070925916.db2.gz SPQXOBJAKMGFEQ-YYIAUSFCSA-N 0 0 438.525 -0.870 20 0 IBADRN COc1ccc(C(=O)Nc2nc(C)n(C)c2C(=O)NCCO)cc1S(=O)(=O)N(C)C ZINC000683906879 1070925894 /nfs/dbraw/zinc/92/58/94/1070925894.db2.gz VADJMVDQLBZXKC-UHFFFAOYSA-N 0 0 439.494 -0.038 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)C1CCN(S(=O)(=O)c2cccc(OC(F)(F)F)c2)CC1 ZINC000683912967 1070926459 /nfs/dbraw/zinc/92/64/59/1070926459.db2.gz VEBKTBUHVWHOSO-CYBMUJFWSA-N 0 0 439.412 -0.052 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2cccc(OC(F)(F)F)c2)CC1 ZINC000683912974 1070926500 /nfs/dbraw/zinc/92/65/00/1070926500.db2.gz VEBKTBUHVWHOSO-ZDUSSCGKSA-N 0 0 439.412 -0.052 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@H](O)C(N)=O)CC2=O)cc1 ZINC000683914831 1070926992 /nfs/dbraw/zinc/92/69/92/1070926992.db2.gz KBTBGLKIEUVNMT-DOMZBBRYSA-N 0 0 426.495 -0.968 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@@H](O)C(N)=O)CC2=O)cc1 ZINC000683914832 1070926928 /nfs/dbraw/zinc/92/69/28/1070926928.db2.gz KBTBGLKIEUVNMT-IUODEOHRSA-N 0 0 426.495 -0.968 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NC[C@@H](O)C(N)=O)CC2=O)cc1 ZINC000683914833 1070927043 /nfs/dbraw/zinc/92/70/43/1070927043.db2.gz KBTBGLKIEUVNMT-SWLSCSKDSA-N 0 0 426.495 -0.968 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NC[C@H](O)C(N)=O)CC2=O)cc1 ZINC000683914834 1070927295 /nfs/dbraw/zinc/92/72/95/1070927295.db2.gz KBTBGLKIEUVNMT-WFASDCNBSA-N 0 0 426.495 -0.968 20 0 IBADRN COc1ccc(C(=O)NCCCn2c(=O)ccn(C)c2=O)cc1S(=O)(=O)NC1CC1 ZINC000683915835 1070927839 /nfs/dbraw/zinc/92/78/39/1070927839.db2.gz NGTIXSWWBMGTBN-UHFFFAOYSA-N 0 0 436.490 -0.184 20 0 IBADRN CCCCn1c(CCC(=O)NC[C@H](O)C(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000683915860 1070927885 /nfs/dbraw/zinc/92/78/85/1070927885.db2.gz QJZHVHKWXWAHEZ-INIZCTEOSA-N 0 0 439.538 -0.018 20 0 IBADRN CCCCn1c(CCC(=O)NC[C@@H](O)C(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000683915861 1070927787 /nfs/dbraw/zinc/92/77/87/1070927787.db2.gz QJZHVHKWXWAHEZ-MRXNPFEDSA-N 0 0 439.538 -0.018 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@H](O)C(N)=O)n2C ZINC000683916061 1070927174 /nfs/dbraw/zinc/92/71/74/1070927174.db2.gz RMAPOXHLRFXHPC-HNNXBMFYSA-N 0 0 425.511 -0.501 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@@H](O)C(N)=O)n2C ZINC000683916064 1070927127 /nfs/dbraw/zinc/92/71/27/1070927127.db2.gz RMAPOXHLRFXHPC-OAHLLOKOSA-N 0 0 425.511 -0.501 20 0 IBADRN Cn1c(CCC(=O)NC[C@H](O)C(N)=O)nc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000683916206 1070927922 /nfs/dbraw/zinc/92/79/22/1070927922.db2.gz OKTFTQZVFBDQPE-INIZCTEOSA-N 0 0 437.522 -0.357 20 0 IBADRN Cn1c(CCC(=O)NC[C@@H](O)C(N)=O)nc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000683916213 1070927756 /nfs/dbraw/zinc/92/77/56/1070927756.db2.gz OKTFTQZVFBDQPE-MRXNPFEDSA-N 0 0 437.522 -0.357 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N[C@H](C(=O)NC[C@@H](O)C(N)=O)C(C)C)c1 ZINC000683916995 1070927834 /nfs/dbraw/zinc/92/78/34/1070927834.db2.gz WGUYLRQBVBMVRE-CVEARBPZSA-N 0 0 442.538 -0.566 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N[C@H](C(=O)NC[C@H](O)C(N)=O)C(C)C)c1 ZINC000683916996 1070927895 /nfs/dbraw/zinc/92/78/95/1070927895.db2.gz WGUYLRQBVBMVRE-HOTGVXAUSA-N 0 0 442.538 -0.566 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N[C@@H](C(=O)NC[C@@H](O)C(N)=O)C(C)C)c1 ZINC000683916997 1070927824 /nfs/dbraw/zinc/92/78/24/1070927824.db2.gz WGUYLRQBVBMVRE-HZPDHXFCSA-N 0 0 442.538 -0.566 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)N[C@@H](C(=O)NC[C@H](O)C(N)=O)C(C)C)c1 ZINC000683916998 1070927767 /nfs/dbraw/zinc/92/77/67/1070927767.db2.gz WGUYLRQBVBMVRE-JKSUJKDBSA-N 0 0 442.538 -0.566 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000683917174 1070928474 /nfs/dbraw/zinc/92/84/74/1070928474.db2.gz XLSSSZKABKTBAN-GWCFXTLKSA-N 0 0 434.312 -0.188 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000683917175 1070928616 /nfs/dbraw/zinc/92/86/16/1070928616.db2.gz XLSSSZKABKTBAN-GXFFZTMASA-N 0 0 434.312 -0.188 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000683917176 1070928485 /nfs/dbraw/zinc/92/84/85/1070928485.db2.gz XLSSSZKABKTBAN-MFKMUULPSA-N 0 0 434.312 -0.188 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(Br)cc2)C1 ZINC000683917177 1070928413 /nfs/dbraw/zinc/92/84/13/1070928413.db2.gz XLSSSZKABKTBAN-ZWNOBZJWSA-N 0 0 434.312 -0.188 20 0 IBADRN O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000683921759 1070929103 /nfs/dbraw/zinc/92/91/03/1070929103.db2.gz HFNNKMVHTULMTI-UHFFFAOYSA-N 0 0 443.460 -0.978 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000683937857 1070931334 /nfs/dbraw/zinc/93/13/34/1070931334.db2.gz QFWJMGFFRFGKPF-DXCKQFNASA-N 0 0 447.535 -0.187 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000683937858 1070931160 /nfs/dbraw/zinc/93/11/60/1070931160.db2.gz QFWJMGFFRFGKPF-JDFRZJQESA-N 0 0 447.535 -0.187 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000683937859 1070931350 /nfs/dbraw/zinc/93/13/50/1070931350.db2.gz QFWJMGFFRFGKPF-QEORTHHSSA-N 0 0 447.535 -0.187 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000683937860 1070931169 /nfs/dbraw/zinc/93/11/69/1070931169.db2.gz QFWJMGFFRFGKPF-RVSPLBMKSA-N 0 0 447.535 -0.187 20 0 IBADRN O=C(NC[C@@H]1CCCC(=O)N1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000683937914 1070931317 /nfs/dbraw/zinc/93/13/17/1070931317.db2.gz SPUCPZUNMYDLIL-KBPBESRZSA-N 0 0 444.535 -0.058 20 0 IBADRN O=C(NC[C@H]1CCCC(=O)N1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000683937915 1070931294 /nfs/dbraw/zinc/93/12/94/1070931294.db2.gz SPUCPZUNMYDLIL-KGLIPLIRSA-N 0 0 444.535 -0.058 20 0 IBADRN O=C(NC[C@@H]1CCCC(=O)N1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000683937916 1070931205 /nfs/dbraw/zinc/93/12/05/1070931205.db2.gz SPUCPZUNMYDLIL-UONOGXRCSA-N 0 0 444.535 -0.058 20 0 IBADRN O=C(NC[C@H]1CCCC(=O)N1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000683937917 1070931423 /nfs/dbraw/zinc/93/14/23/1070931423.db2.gz SPUCPZUNMYDLIL-ZIAGYGMSSA-N 0 0 444.535 -0.058 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cn1 ZINC000683944580 1070931903 /nfs/dbraw/zinc/93/19/03/1070931903.db2.gz LZFSQDUHEVKGML-HNNXBMFYSA-N 0 0 428.515 -0.323 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cn1 ZINC000683944584 1070932173 /nfs/dbraw/zinc/93/21/73/1070932173.db2.gz LZFSQDUHEVKGML-OAHLLOKOSA-N 0 0 428.515 -0.323 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000683970515 1070936087 /nfs/dbraw/zinc/93/60/87/1070936087.db2.gz FIOHPFIVSLXBDG-AWEZNQCLSA-N 0 0 447.535 -0.059 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000683970517 1070935977 /nfs/dbraw/zinc/93/59/77/1070935977.db2.gz FIOHPFIVSLXBDG-CQSZACIVSA-N 0 0 447.535 -0.059 20 0 IBADRN CN(C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C1CCN(c2cnccn2)CC1 ZINC000683981386 1070936929 /nfs/dbraw/zinc/93/69/29/1070936929.db2.gz JJIXNHBGMKUNMN-UHFFFAOYSA-N 0 0 425.559 -0.336 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1=O ZINC000683988729 1070936745 /nfs/dbraw/zinc/93/67/45/1070936745.db2.gz WEORYNSSHHCRPK-DEYYWGMASA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1=O ZINC000683988731 1070936520 /nfs/dbraw/zinc/93/65/20/1070936520.db2.gz WEORYNSSHHCRPK-GLJUWKHASA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1=O ZINC000683988736 1070936978 /nfs/dbraw/zinc/93/69/78/1070936978.db2.gz WEORYNSSHHCRPK-IYOUNJFTSA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1=O ZINC000683988739 1070936915 /nfs/dbraw/zinc/93/69/15/1070936915.db2.gz WEORYNSSHHCRPK-LBTNJELSSA-N 0 0 427.527 -0.084 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1C(N)=O ZINC000684008587 1070940322 /nfs/dbraw/zinc/94/03/22/1070940322.db2.gz XZJAPRGTZRRTJW-UHFFFAOYSA-N 0 0 441.510 -0.538 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000684028860 1070942724 /nfs/dbraw/zinc/94/27/24/1070942724.db2.gz UOEKEVLNKLJZOP-GZBFAFLISA-N 0 0 427.479 -0.006 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@H]2CCOC2)c1 ZINC000684028861 1070942640 /nfs/dbraw/zinc/94/26/40/1070942640.db2.gz UOEKEVLNKLJZOP-KCQAQPDRSA-N 0 0 427.479 -0.006 20 0 IBADRN CCO[C@@H]1C[C@H]1NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000684028862 1070942711 /nfs/dbraw/zinc/94/27/11/1070942711.db2.gz UOEKEVLNKLJZOP-UMVBOHGHSA-N 0 0 427.479 -0.006 20 0 IBADRN CCO[C@@H]1C[C@@H]1NC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N[C@@H]2CCOC2)c1 ZINC000684028863 1070942744 /nfs/dbraw/zinc/94/27/44/1070942744.db2.gz UOEKEVLNKLJZOP-VNHYZAJKSA-N 0 0 427.479 -0.006 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC000684030521 1070942621 /nfs/dbraw/zinc/94/26/21/1070942621.db2.gz XYFWLPWHTUKFHD-AWEZNQCLSA-N 0 0 444.535 -0.440 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC000684030522 1070942732 /nfs/dbraw/zinc/94/27/32/1070942732.db2.gz XYFWLPWHTUKFHD-CQSZACIVSA-N 0 0 444.535 -0.440 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000684030894 1070942763 /nfs/dbraw/zinc/94/27/63/1070942763.db2.gz BYWKJCPYGSGSKF-CYBMUJFWSA-N 0 0 429.520 -0.041 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000684030897 1070942715 /nfs/dbraw/zinc/94/27/15/1070942715.db2.gz BYWKJCPYGSGSKF-ZDUSSCGKSA-N 0 0 429.520 -0.041 20 0 IBADRN COCC1(C)CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000684033590 1070943577 /nfs/dbraw/zinc/94/35/77/1070943577.db2.gz PARFMHJGAUZJDO-UHFFFAOYSA-N 0 0 425.511 -0.403 20 0 IBADRN CS(=O)(=O)CC[C@H](C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000684044850 1070946129 /nfs/dbraw/zinc/94/61/29/1070946129.db2.gz JCNBGYGSYNFWSB-KRWDZBQOSA-N 0 0 431.580 -0.102 20 0 IBADRN CS(=O)(=O)CC[C@@H](C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000684044851 1070946077 /nfs/dbraw/zinc/94/60/77/1070946077.db2.gz JCNBGYGSYNFWSB-QGZVFWFLSA-N 0 0 431.580 -0.102 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCc3nc(N)ncc3C2)cc1 ZINC000684062391 1070948171 /nfs/dbraw/zinc/94/81/71/1070948171.db2.gz RMBNPRUJXOINQM-DYVFJYSZSA-N 0 0 433.490 -0.224 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCc3nc(N)ncc3C2)cc1 ZINC000684062392 1070949023 /nfs/dbraw/zinc/94/90/23/1070949023.db2.gz RMBNPRUJXOINQM-GUYCJALGSA-N 0 0 433.490 -0.224 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)[C@@H](C)CN2CCOCC2)cc1 ZINC000684070233 1070949046 /nfs/dbraw/zinc/94/90/46/1070949046.db2.gz BMHMYKXJDUVLJZ-BXWFABGCSA-N 0 0 441.550 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)[C@@H](C)CN2CCOCC2)cc1 ZINC000684070234 1070948765 /nfs/dbraw/zinc/94/87/65/1070948765.db2.gz BMHMYKXJDUVLJZ-FCEWJHQRSA-N 0 0 441.550 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)[C@H](C)CN2CCOCC2)cc1 ZINC000684070235 1070948889 /nfs/dbraw/zinc/94/88/89/1070948889.db2.gz BMHMYKXJDUVLJZ-GJYPPUQNSA-N 0 0 441.550 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)[C@H](C)CN2CCOCC2)cc1 ZINC000684070236 1070948969 /nfs/dbraw/zinc/94/89/69/1070948969.db2.gz BMHMYKXJDUVLJZ-MDZRGWNJSA-N 0 0 441.550 -0.002 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000684074513 1070949014 /nfs/dbraw/zinc/94/90/14/1070949014.db2.gz XOSOPPLYNHQVGI-UHFFFAOYSA-N 0 0 430.552 -0.385 20 0 IBADRN Cc1nc(CS(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cs1 ZINC000684095679 1070950335 /nfs/dbraw/zinc/95/03/35/1070950335.db2.gz JHTJNRAMONQSDC-UHFFFAOYSA-N 0 0 434.521 -0.020 20 0 IBADRN CN(CCS(=O)(=O)N(C)CC(=O)N1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC000684100262 1070951184 /nfs/dbraw/zinc/95/11/84/1070951184.db2.gz JNHTYGQXFSLTSH-UHFFFAOYSA-N 0 0 437.515 -0.433 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cc([C@@H]3CCCOC3)nn1C)C2 ZINC000684124849 1070955951 /nfs/dbraw/zinc/95/59/51/1070955951.db2.gz WMFDOMQCUWYNBO-CYBMUJFWSA-N 0 0 432.485 -0.192 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cc([C@H]3CCCOC3)nn1C)C2 ZINC000684124850 1070956013 /nfs/dbraw/zinc/95/60/13/1070956013.db2.gz WMFDOMQCUWYNBO-ZDUSSCGKSA-N 0 0 432.485 -0.192 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000684134929 1070959074 /nfs/dbraw/zinc/95/90/74/1070959074.db2.gz KWNNMHMTAIJWNB-IBGZPJMESA-N 0 0 435.506 -0.285 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000684134932 1070959120 /nfs/dbraw/zinc/95/91/20/1070959120.db2.gz KWNNMHMTAIJWNB-LJQANCHMSA-N 0 0 435.506 -0.285 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc([C@@H]3CCCOC3)nn2C)CC1 ZINC000684142048 1070960579 /nfs/dbraw/zinc/96/05/79/1070960579.db2.gz DKTIEXXFXZSSHA-CYBMUJFWSA-N 0 0 428.515 -0.797 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc([C@H]3CCCOC3)nn2C)CC1 ZINC000684142049 1070960460 /nfs/dbraw/zinc/96/04/60/1070960460.db2.gz DKTIEXXFXZSSHA-ZDUSSCGKSA-N 0 0 428.515 -0.797 20 0 IBADRN CO[C@H]1CCOc2c(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cccc21 ZINC000684145833 1070961915 /nfs/dbraw/zinc/96/19/15/1070961915.db2.gz WEJMYFWNGONKKZ-INIZCTEOSA-N 0 0 440.522 -0.212 20 0 IBADRN CO[C@@H]1CCOc2c(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cccc21 ZINC000684145834 1070961860 /nfs/dbraw/zinc/96/18/60/1070961860.db2.gz WEJMYFWNGONKKZ-MRXNPFEDSA-N 0 0 440.522 -0.212 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)c(=O)c1 ZINC000684148190 1070961879 /nfs/dbraw/zinc/96/18/79/1070961879.db2.gz KXNLMZYVXZMJLO-FQEVSTJZSA-N 0 0 434.518 -0.096 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)c(=O)c1 ZINC000684148191 1070962070 /nfs/dbraw/zinc/96/20/70/1070962070.db2.gz KXNLMZYVXZMJLO-HXUWFJFHSA-N 0 0 434.518 -0.096 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@H]3CCSC3)cn2)CC1 ZINC000684148618 1070962751 /nfs/dbraw/zinc/96/27/51/1070962751.db2.gz AUXJSIQPOZJCDB-CYBMUJFWSA-N 0 0 427.552 -0.029 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@@H]3CCSC3)cn2)CC1 ZINC000684148625 1070962693 /nfs/dbraw/zinc/96/26/93/1070962693.db2.gz AUXJSIQPOZJCDB-ZDUSSCGKSA-N 0 0 427.552 -0.029 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@@H]1O ZINC000684152261 1070962797 /nfs/dbraw/zinc/96/27/97/1070962797.db2.gz HYTSIIKEEXBGAT-AWEZNQCLSA-N 0 0 425.511 -0.669 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@H]1O ZINC000684152262 1070962842 /nfs/dbraw/zinc/96/28/42/1070962842.db2.gz HYTSIIKEEXBGAT-CQSZACIVSA-N 0 0 425.511 -0.669 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)N2CC(=O)N(C3CC3)C2)cc1 ZINC000684153215 1070962851 /nfs/dbraw/zinc/96/28/51/1070962851.db2.gz YRFJOPGCWWDALV-UHFFFAOYSA-N 0 0 442.520 -0.374 20 0 IBADRN Cn1ccc(NC(=O)CNC(=O)[C@H]2CCC[C@H](C(=O)NCC(=O)Nc3ccn(C)n3)C2)n1 ZINC000684171102 1070966190 /nfs/dbraw/zinc/96/61/90/1070966190.db2.gz IATAYEDMVJCZSK-KBPBESRZSA-N 0 0 444.496 -0.230 20 0 IBADRN Cn1ccc(NC(=O)CNC(=O)[C@@H]2CCC[C@H](C(=O)NCC(=O)Nc3ccn(C)n3)C2)n1 ZINC000684171109 1070966057 /nfs/dbraw/zinc/96/60/57/1070966057.db2.gz IATAYEDMVJCZSK-OKILXGFUSA-N 0 0 444.496 -0.230 20 0 IBADRN Cn1ccc(NC(=O)CNC(=O)[C@@H]2CCC[C@@H](C(=O)NCC(=O)Nc3ccn(C)n3)C2)n1 ZINC000684171110 1070966099 /nfs/dbraw/zinc/96/60/99/1070966099.db2.gz IATAYEDMVJCZSK-ZIAGYGMSSA-N 0 0 444.496 -0.230 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCC(=O)Nc3ccn(C)n3)CC2)cc1 ZINC000684172393 1070966889 /nfs/dbraw/zinc/96/68/89/1070966889.db2.gz YINCFVRIDLHPHN-UHFFFAOYSA-N 0 0 434.522 -0.210 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCC(=O)Nc2ccn(C)n2)cc1OC ZINC000684173554 1070966684 /nfs/dbraw/zinc/96/66/84/1070966684.db2.gz XEIOSOZKOGVFNZ-UHFFFAOYSA-N 0 0 425.467 -0.139 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCc2ccc(C(=O)NC[C@H](O)C(N)=O)cc2)cc1 ZINC000684174348 1070966805 /nfs/dbraw/zinc/96/68/05/1070966805.db2.gz AMHQEWGBDMRRPH-KRWDZBQOSA-N 0 0 434.474 -0.301 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCc2ccc(C(=O)NC[C@@H](O)C(N)=O)cc2)cc1 ZINC000684174349 1070966864 /nfs/dbraw/zinc/96/68/64/1070966864.db2.gz AMHQEWGBDMRRPH-QGZVFWFLSA-N 0 0 434.474 -0.301 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@H](O)C(N)=O)n2CC ZINC000684174394 1070966773 /nfs/dbraw/zinc/96/67/73/1070966773.db2.gz BEURBSCZDPEOAM-INIZCTEOSA-N 0 0 439.538 -0.018 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@@H](O)C(N)=O)n2CC ZINC000684174395 1070966737 /nfs/dbraw/zinc/96/67/37/1070966737.db2.gz BEURBSCZDPEOAM-MRXNPFEDSA-N 0 0 439.538 -0.018 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)c1=O ZINC000684179663 1070967649 /nfs/dbraw/zinc/96/76/49/1070967649.db2.gz AGRFXAVFDNVMBG-UHFFFAOYSA-N 0 0 437.474 -0.312 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)c1=O ZINC000684179718 1070967668 /nfs/dbraw/zinc/96/76/68/1070967668.db2.gz ASQROWPCZDGEOB-UHFFFAOYSA-N 0 0 436.490 -0.612 20 0 IBADRN Cc1nc(C(=O)NCCCn2c(=O)ccn(C)c2=O)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC000684180014 1070967440 /nfs/dbraw/zinc/96/74/40/1070967440.db2.gz FZFXHBCGLJKRDG-UHFFFAOYSA-N 0 0 427.347 -0.607 20 0 IBADRN COc1cccc(C[C@@H](C(=O)NCCCn2c(=O)ccn(C)c2=O)n2nnnc2C)c1 ZINC000684181300 1070967411 /nfs/dbraw/zinc/96/74/11/1070967411.db2.gz PGLUOOXXIYYJKQ-KRWDZBQOSA-N 0 0 427.465 -0.159 20 0 IBADRN COc1cccc(C[C@H](C(=O)NCCCn2c(=O)ccn(C)c2=O)n2nnnc2C)c1 ZINC000684181301 1070967555 /nfs/dbraw/zinc/96/75/55/1070967555.db2.gz PGLUOOXXIYYJKQ-QGZVFWFLSA-N 0 0 427.465 -0.159 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3CNc4ccnn4C3)CC2)cc1 ZINC000684195755 1070969791 /nfs/dbraw/zinc/96/97/91/1070969791.db2.gz OICPTWBUTUEXPH-AWEZNQCLSA-N 0 0 440.551 -0.421 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@H]3CNc4ccnn4C3)CC2)cc1 ZINC000684195758 1070969673 /nfs/dbraw/zinc/96/96/73/1070969673.db2.gz OICPTWBUTUEXPH-CQSZACIVSA-N 0 0 440.551 -0.421 20 0 IBADRN CC(C)[C@H](NC(=O)Nc1ncn(CC(=O)N(C)C)n1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000684231274 1070975675 /nfs/dbraw/zinc/97/56/75/1070975675.db2.gz WJDYFWFYAXTHKN-INIZCTEOSA-N 0 0 446.516 -0.291 20 0 IBADRN CC(C)[C@@H](NC(=O)Nc1ncn(CC(=O)N(C)C)n1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC000684231275 1070975707 /nfs/dbraw/zinc/97/57/07/1070975707.db2.gz WJDYFWFYAXTHKN-MRXNPFEDSA-N 0 0 446.516 -0.291 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N[C@H](C(=O)N(C)Cc2nnc3n2CCC3)C(C)C)cn1 ZINC000684233617 1070975802 /nfs/dbraw/zinc/97/58/02/1070975802.db2.gz MYUQGNSSMOHGTG-KRWDZBQOSA-N 0 0 431.501 -0.029 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N[C@@H](C(=O)N(C)Cc2nnc3n2CCC3)C(C)C)cn1 ZINC000684233619 1070975834 /nfs/dbraw/zinc/97/58/34/1070975834.db2.gz MYUQGNSSMOHGTG-QGZVFWFLSA-N 0 0 431.501 -0.029 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000684256456 1070976373 /nfs/dbraw/zinc/97/63/73/1070976373.db2.gz FEMHSCDPZVFFKA-HNNXBMFYSA-N 0 0 440.444 -0.431 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000684256457 1070977085 /nfs/dbraw/zinc/97/70/85/1070977085.db2.gz FEMHSCDPZVFFKA-OAHLLOKOSA-N 0 0 440.444 -0.431 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC000684260008 1070977159 /nfs/dbraw/zinc/97/71/59/1070977159.db2.gz PIHYVFZDAASIRE-UHFFFAOYSA-N 0 0 438.597 -0.082 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)ccc2[nH]1 ZINC000684299495 1070982222 /nfs/dbraw/zinc/98/22/22/1070982222.db2.gz IIWLHNQTAHWPSB-UHFFFAOYSA-N 0 0 436.494 -0.583 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(-n2cccn2)c1 ZINC000684299625 1070982047 /nfs/dbraw/zinc/98/20/47/1070982047.db2.gz LYNUBBWEMCQCNO-UHFFFAOYSA-N 0 0 436.494 -0.230 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cccc1NC(=O)N(C)C ZINC000684299948 1070982150 /nfs/dbraw/zinc/98/21/50/1070982150.db2.gz RNIRVMQOFDZXNF-UHFFFAOYSA-N 0 0 440.526 -0.022 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc4[nH]c(C(=O)NC)cc4c3)CCN2C1=O ZINC000684301098 1070982377 /nfs/dbraw/zinc/98/23/77/1070982377.db2.gz MJSIQZKMLNNYNX-HNNXBMFYSA-N 0 0 426.433 -0.039 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc4[nH]c(C(=O)NC)cc4c3)CCN2C1=O ZINC000684301099 1070982074 /nfs/dbraw/zinc/98/20/74/1070982074.db2.gz MJSIQZKMLNNYNX-OAHLLOKOSA-N 0 0 426.433 -0.039 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3C(=O)CCCC3=O)c2)CC1 ZINC000684301322 1070982191 /nfs/dbraw/zinc/98/21/91/1070982191.db2.gz TXFNIQDOBNDUFB-UHFFFAOYSA-N 0 0 429.477 -0.099 20 0 IBADRN CC1(F)CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000684306213 1070982333 /nfs/dbraw/zinc/98/23/33/1070982333.db2.gz CXBKBZYUGBKUIJ-GFCCVEGCSA-N 0 0 433.483 -0.339 20 0 IBADRN CC1(F)CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000684306214 1070982160 /nfs/dbraw/zinc/98/21/60/1070982160.db2.gz CXBKBZYUGBKUIJ-LBPRGKRZSA-N 0 0 433.483 -0.339 20 0 IBADRN C[C@]1(O)CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000684308254 1070982304 /nfs/dbraw/zinc/98/23/04/1070982304.db2.gz AUUGRCQIUYNRPN-IBGZPJMESA-N 0 0 439.538 -0.135 20 0 IBADRN C[C@@]1(O)CCCN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC000684308259 1070982204 /nfs/dbraw/zinc/98/22/04/1070982204.db2.gz AUUGRCQIUYNRPN-LJQANCHMSA-N 0 0 439.538 -0.135 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC([C@@H]2CCOC2)C1 ZINC000684311661 1070983901 /nfs/dbraw/zinc/98/39/01/1070983901.db2.gz CIWQTDIOQNVEFI-CYBMUJFWSA-N 0 0 436.490 -0.760 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CC([C@H]2CCOC2)C1 ZINC000684311662 1070983662 /nfs/dbraw/zinc/98/36/62/1070983662.db2.gz CIWQTDIOQNVEFI-ZDUSSCGKSA-N 0 0 436.490 -0.760 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC([C@H]4CCOC4)C3)cn2)CC1 ZINC000684312035 1070983952 /nfs/dbraw/zinc/98/39/52/1070983952.db2.gz HBCUVQMPVZJBKX-AWEZNQCLSA-N 0 0 437.522 -0.403 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC([C@@H]4CCOC4)C3)cn2)CC1 ZINC000684312038 1070983638 /nfs/dbraw/zinc/98/36/38/1070983638.db2.gz HBCUVQMPVZJBKX-CQSZACIVSA-N 0 0 437.522 -0.403 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000684321192 1070985146 /nfs/dbraw/zinc/98/51/46/1070985146.db2.gz MRBUTXGABNPVPH-FQEVSTJZSA-N 0 0 434.518 -0.096 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000684321269 1070985497 /nfs/dbraw/zinc/98/54/97/1070985497.db2.gz MRBUTXGABNPVPH-HXUWFJFHSA-N 0 0 434.518 -0.096 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCC1(NS(C)(=O)=O)CCCCC1 ZINC000684371462 1070989642 /nfs/dbraw/zinc/98/96/42/1070989642.db2.gz OCDKKGSKVJTHJI-KRWDZBQOSA-N 0 0 444.554 -0.030 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCC1(NS(C)(=O)=O)CCCCC1 ZINC000684371465 1070989951 /nfs/dbraw/zinc/98/99/51/1070989951.db2.gz OCDKKGSKVJTHJI-QGZVFWFLSA-N 0 0 444.554 -0.030 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000684394812 1070992163 /nfs/dbraw/zinc/99/21/63/1070992163.db2.gz LLYWRJCFNBNLTA-FQEVSTJZSA-N 0 0 431.493 -0.075 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000684394814 1070992251 /nfs/dbraw/zinc/99/22/51/1070992251.db2.gz LLYWRJCFNBNLTA-HXUWFJFHSA-N 0 0 431.493 -0.075 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000684406246 1070992684 /nfs/dbraw/zinc/99/26/84/1070992684.db2.gz WPBCZKRIBIFZDH-UHFFFAOYSA-N 0 0 432.568 -0.827 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000684409364 1070992644 /nfs/dbraw/zinc/99/26/44/1070992644.db2.gz ZBRAQWCZKBYSGS-UHFFFAOYSA-N 0 0 426.520 -0.040 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCc1cccc(CS(N)(=O)=O)c1 ZINC000684428874 1070998988 /nfs/dbraw/zinc/99/89/88/1070998988.db2.gz MSXNLKSXCMZZCV-GOSISDBHSA-N 0 0 438.506 -0.293 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCc1cccc(CS(N)(=O)=O)c1 ZINC000684428875 1070998926 /nfs/dbraw/zinc/99/89/26/1070998926.db2.gz MSXNLKSXCMZZCV-SFHVURJKSA-N 0 0 438.506 -0.293 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCc2ccc(S(N)(=O)=O)cc21 ZINC000684442941 1071002697 /nfs/dbraw/zinc/00/26/97/1071002697.db2.gz DWGBCIQAWKSARB-GOSISDBHSA-N 0 0 436.490 -0.161 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCc2ccc(S(N)(=O)=O)cc21 ZINC000684442944 1071003033 /nfs/dbraw/zinc/00/30/33/1071003033.db2.gz DWGBCIQAWKSARB-SFHVURJKSA-N 0 0 436.490 -0.161 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCc1cc(S(N)(=O)=O)ccc1F ZINC000684444095 1071002813 /nfs/dbraw/zinc/00/28/13/1071002813.db2.gz QWYXHWCDHNHBOT-KRWDZBQOSA-N 0 0 442.469 -0.295 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCc1cc(S(N)(=O)=O)ccc1F ZINC000684444096 1071002794 /nfs/dbraw/zinc/00/27/94/1071002794.db2.gz QWYXHWCDHNHBOT-QGZVFWFLSA-N 0 0 442.469 -0.295 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000684450795 1071003971 /nfs/dbraw/zinc/00/39/71/1071003971.db2.gz MWPARBVQTGOMSE-BBEGDGIKSA-N 0 0 432.481 -0.354 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000684450796 1071003910 /nfs/dbraw/zinc/00/39/10/1071003910.db2.gz MWPARBVQTGOMSE-SPKLELGKSA-N 0 0 432.481 -0.354 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000684450797 1071004120 /nfs/dbraw/zinc/00/41/20/1071004120.db2.gz MWPARBVQTGOMSE-TVZGQMOCSA-N 0 0 432.481 -0.354 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000684450798 1071004104 /nfs/dbraw/zinc/00/41/04/1071004104.db2.gz MWPARBVQTGOMSE-UHYGZKCKSA-N 0 0 432.481 -0.354 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1=O ZINC000684452762 1071004574 /nfs/dbraw/zinc/00/45/74/1071004574.db2.gz FQOVKAZGNGGNIZ-LIUKBUMOSA-N 0 0 435.481 -0.561 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1=O ZINC000684452763 1071004649 /nfs/dbraw/zinc/00/46/49/1071004649.db2.gz FQOVKAZGNGGNIZ-OACQNMCBSA-N 0 0 435.481 -0.561 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1=O ZINC000684452764 1071004473 /nfs/dbraw/zinc/00/44/73/1071004473.db2.gz FQOVKAZGNGGNIZ-OPURJYLOSA-N 0 0 435.481 -0.561 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1=O ZINC000684452765 1071004413 /nfs/dbraw/zinc/00/44/13/1071004413.db2.gz FQOVKAZGNGGNIZ-UOXRKKOCSA-N 0 0 435.481 -0.561 20 0 IBADRN CN1CC[C@@H](NC(=O)CN(CC(=O)N[C@H]2CCN(C)C(=O)C2)Cc2ccccc2)CC1=O ZINC000684485148 1071008813 /nfs/dbraw/zinc/00/88/13/1071008813.db2.gz KWXFSALMBHWELP-KDURUIRLSA-N 0 0 443.548 -0.037 20 0 IBADRN CN1CC[C@H](NC(=O)CN(CC(=O)N[C@H]2CCN(C)C(=O)C2)Cc2ccccc2)CC1=O ZINC000684485149 1071008535 /nfs/dbraw/zinc/00/85/35/1071008535.db2.gz KWXFSALMBHWELP-OALUTQOASA-N 0 0 443.548 -0.037 20 0 IBADRN CN1CC[C@@H](NC(=O)CN(CC(=O)N[C@@H]2CCN(C)C(=O)C2)Cc2ccccc2)CC1=O ZINC000684485150 1071008671 /nfs/dbraw/zinc/00/86/71/1071008671.db2.gz KWXFSALMBHWELP-RTBURBONSA-N 0 0 443.548 -0.037 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@H](CO)Cc2ccncc2)cc1 ZINC000684492998 1071009942 /nfs/dbraw/zinc/00/99/42/1071009942.db2.gz PGVORVRVIZWFSM-BXWFABGCSA-N 0 0 435.502 -0.066 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@H](CO)Cc2ccncc2)cc1 ZINC000684493012 1071009825 /nfs/dbraw/zinc/00/98/25/1071009825.db2.gz PGVORVRVIZWFSM-FCEWJHQRSA-N 0 0 435.502 -0.066 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H](CO)Cc2ccncc2)cc1 ZINC000684493014 1071009844 /nfs/dbraw/zinc/00/98/44/1071009844.db2.gz PGVORVRVIZWFSM-GJYPPUQNSA-N 0 0 435.502 -0.066 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H](CO)Cc2ccncc2)cc1 ZINC000684493015 1071009924 /nfs/dbraw/zinc/00/99/24/1071009924.db2.gz PGVORVRVIZWFSM-MDZRGWNJSA-N 0 0 435.502 -0.066 20 0 IBADRN CCN(C(=O)CCCN1C(=O)CCC1=O)C1CN(C(=O)CCCN2C(=O)CCC2=O)C1 ZINC000684498007 1071011060 /nfs/dbraw/zinc/01/10/60/1071011060.db2.gz WKMPWPQZEBGFOK-UHFFFAOYSA-N 0 0 434.493 -0.096 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2(S(C)(=O)=O)CCC2)cc1 ZINC000684498223 1071011000 /nfs/dbraw/zinc/01/10/00/1071011000.db2.gz ITJFACZXPOFQEQ-BBRMVZONSA-N 0 0 446.547 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2(S(C)(=O)=O)CCC2)cc1 ZINC000684498224 1071011041 /nfs/dbraw/zinc/01/10/41/1071011041.db2.gz ITJFACZXPOFQEQ-CJNGLKHVSA-N 0 0 446.547 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC2(S(C)(=O)=O)CC2)cc1 ZINC000684498937 1071011104 /nfs/dbraw/zinc/01/11/04/1071011104.db2.gz WTKGOMOGAWZLRX-DOMZBBRYSA-N 0 0 432.520 -0.488 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC2(S(C)(=O)=O)CC2)cc1 ZINC000684498938 1071010961 /nfs/dbraw/zinc/01/09/61/1071010961.db2.gz WTKGOMOGAWZLRX-WFASDCNBSA-N 0 0 432.520 -0.488 20 0 IBADRN CCn1nccc1CN(CCO)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000684500834 1071011704 /nfs/dbraw/zinc/01/17/04/1071011704.db2.gz UDLADMRUPZKOHW-UHFFFAOYSA-N 0 0 425.511 -0.103 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)CNS(=O)(=O)c2c(C)noc2C)cc1 ZINC000684507618 1071012660 /nfs/dbraw/zinc/01/26/60/1071012660.db2.gz YCWTXWKDULCHSL-UHFFFAOYSA-N 0 0 430.508 -0.065 20 0 IBADRN Cn1cccc1C(=O)N1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000684567466 1071032776 /nfs/dbraw/zinc/03/27/76/1071032776.db2.gz ANCZABYXJHGROK-UHFFFAOYSA-N 0 0 432.568 -0.216 20 0 IBADRN CN(C)C(=O)CN1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000684569579 1071033293 /nfs/dbraw/zinc/03/32/93/1071033293.db2.gz DFBJGKIJYYSBGI-UHFFFAOYSA-N 0 0 440.566 -0.208 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000684571209 1071033221 /nfs/dbraw/zinc/03/32/21/1071033221.db2.gz WPCQUFFTXLUPTF-UHFFFAOYSA-N 0 0 447.536 -0.620 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1 ZINC000684571886 1071033208 /nfs/dbraw/zinc/03/32/08/1071033208.db2.gz QPBLIJQPRWTBPO-HNNXBMFYSA-N 0 0 437.566 -0.246 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1 ZINC000684571896 1071033276 /nfs/dbraw/zinc/03/32/76/1071033276.db2.gz QPBLIJQPRWTBPO-OAHLLOKOSA-N 0 0 437.566 -0.246 20 0 IBADRN CC(C)(CN=c1cc(NCC(C)(C)NS(C)(=O)=O)n2[nH]cnc2n1)NS(C)(=O)=O ZINC000684573470 1071034390 /nfs/dbraw/zinc/03/43/90/1071034390.db2.gz NCEZUVSEKWNHDG-UHFFFAOYSA-N 0 0 448.575 -0.974 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000684574680 1071034435 /nfs/dbraw/zinc/03/44/35/1071034435.db2.gz NDYPGIASBRAEAC-HNNXBMFYSA-N 0 0 444.579 -0.045 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000684574681 1071034307 /nfs/dbraw/zinc/03/43/07/1071034307.db2.gz NDYPGIASBRAEAC-OAHLLOKOSA-N 0 0 444.579 -0.045 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)cc1C ZINC000684580016 1071035243 /nfs/dbraw/zinc/03/52/43/1071035243.db2.gz QGRKMZRAHSTGKL-PXAZEXFGSA-N 0 0 444.535 -0.098 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)cc1C ZINC000684580020 1071035384 /nfs/dbraw/zinc/03/53/84/1071035384.db2.gz QGRKMZRAHSTGKL-SJCJKPOMSA-N 0 0 444.535 -0.098 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)cc1C ZINC000684580023 1071035429 /nfs/dbraw/zinc/03/54/29/1071035429.db2.gz QGRKMZRAHSTGKL-SJKOYZFVSA-N 0 0 444.535 -0.098 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)cc1C ZINC000684580025 1071035340 /nfs/dbraw/zinc/03/53/40/1071035340.db2.gz QGRKMZRAHSTGKL-YVEFUNNKSA-N 0 0 444.535 -0.098 20 0 IBADRN C[C@@H]1C[C@H](NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC000684581418 1071035759 /nfs/dbraw/zinc/03/57/59/1071035759.db2.gz NMTBVSKRQRJCDH-DOMZBBRYSA-N 0 0 433.556 -0.495 20 0 IBADRN C[C@@H]1C[C@@H](NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC000684581419 1071036340 /nfs/dbraw/zinc/03/63/40/1071036340.db2.gz NMTBVSKRQRJCDH-IUODEOHRSA-N 0 0 433.556 -0.495 20 0 IBADRN C[C@H]1C[C@@H](NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC000684581420 1071036471 /nfs/dbraw/zinc/03/64/71/1071036471.db2.gz NMTBVSKRQRJCDH-SWLSCSKDSA-N 0 0 433.556 -0.495 20 0 IBADRN C[C@H]1C[C@H](NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC000684581421 1071036360 /nfs/dbraw/zinc/03/63/60/1071036360.db2.gz NMTBVSKRQRJCDH-WFASDCNBSA-N 0 0 433.556 -0.495 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)NC)c(C)c3)CCN2C1=O ZINC000684582210 1071037092 /nfs/dbraw/zinc/03/70/92/1071037092.db2.gz XONFIHHNULQERR-CYBMUJFWSA-N 0 0 430.508 -0.440 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)NC)c(C)c3)CCN2C1=O ZINC000684582211 1071036973 /nfs/dbraw/zinc/03/69/73/1071036973.db2.gz XONFIHHNULQERR-ZDUSSCGKSA-N 0 0 430.508 -0.440 20 0 IBADRN COCCNC(=O)CN1CCN(CCOc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000684587650 1071039251 /nfs/dbraw/zinc/03/92/51/1071039251.db2.gz GYDAIQKMFDPIOH-UHFFFAOYSA-N 0 0 428.555 -0.304 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CCOc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000684600358 1071040427 /nfs/dbraw/zinc/04/04/27/1071040427.db2.gz HTYMAECQJAZXJX-UHFFFAOYSA-N 0 0 426.539 -0.016 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000684600640 1071041321 /nfs/dbraw/zinc/04/13/21/1071041321.db2.gz XRRPXNMMUMDCIU-UHFFFAOYSA-N 0 0 433.509 -0.428 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000684606437 1071041849 /nfs/dbraw/zinc/04/18/49/1071041849.db2.gz ASVDGGYUWWJELW-UHFFFAOYSA-N 0 0 440.403 -0.050 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000684606591 1071041818 /nfs/dbraw/zinc/04/18/18/1071041818.db2.gz CTPXEQBJPKQOTQ-INIZCTEOSA-N 0 0 448.520 -0.289 20 0 IBADRN COc1ccc(CNC(=O)[C@@H](C)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000684606593 1071041867 /nfs/dbraw/zinc/04/18/67/1071041867.db2.gz CTPXEQBJPKQOTQ-MRXNPFEDSA-N 0 0 448.520 -0.289 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000684606597 1071041728 /nfs/dbraw/zinc/04/17/28/1071041728.db2.gz CXOWAGXFSSGTBM-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN COc1cc2nc(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)[nH]c(=O)c2cc1OC ZINC000684606812 1071041829 /nfs/dbraw/zinc/04/18/29/1071041829.db2.gz GYGBYTKOGAUTBL-UHFFFAOYSA-N 0 0 445.476 -0.144 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000684606873 1071041858 /nfs/dbraw/zinc/04/18/58/1071041858.db2.gz IBKWUZUXTWFDQP-IBGZPJMESA-N 0 0 430.505 -0.694 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000684606878 1071041840 /nfs/dbraw/zinc/04/18/40/1071041840.db2.gz IBKWUZUXTWFDQP-LJQANCHMSA-N 0 0 430.505 -0.694 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000684606903 1071042244 /nfs/dbraw/zinc/04/22/44/1071042244.db2.gz JOINYYPSWQZNOX-UHFFFAOYSA-N 0 0 445.520 -0.693 20 0 IBADRN Nc1nc(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)nc(Nc2ccc(F)cc2)n1 ZINC000684607310 1071041927 /nfs/dbraw/zinc/04/19/27/1071041927.db2.gz NIVIPNQHERPQJR-UHFFFAOYSA-N 0 0 444.471 -0.161 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000684607403 1071041937 /nfs/dbraw/zinc/04/19/37/1071041937.db2.gz RDOMKEPZOICLTI-UHFFFAOYSA-N 0 0 445.520 -0.605 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)NCCOc1ccc(Cl)cc1 ZINC000684607606 1071041808 /nfs/dbraw/zinc/04/18/08/1071041808.db2.gz TVKHPLYEAQOPHF-UHFFFAOYSA-N 0 0 438.912 -0.162 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1OC ZINC000684607629 1071041688 /nfs/dbraw/zinc/04/16/88/1071041688.db2.gz VEISKUCPLQPKBK-UHFFFAOYSA-N 0 0 434.493 -0.677 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)(C)C)c(C)c1 ZINC000684630631 1071047138 /nfs/dbraw/zinc/04/71/38/1071047138.db2.gz DKWGODFGTGLNGJ-UHFFFAOYSA-N 0 0 428.511 -0.108 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c(C)c1 ZINC000684630918 1071047189 /nfs/dbraw/zinc/04/71/89/1071047189.db2.gz CWQPFKSJZCJCQN-CYBMUJFWSA-N 0 0 426.495 -0.401 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c(C)c1 ZINC000684630919 1071047147 /nfs/dbraw/zinc/04/71/47/1071047147.db2.gz CWQPFKSJZCJCQN-ZDUSSCGKSA-N 0 0 426.495 -0.401 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000684631533 1071047090 /nfs/dbraw/zinc/04/70/90/1071047090.db2.gz JONPOWVJMIHUKU-UHFFFAOYSA-N 0 0 438.510 -0.370 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1C(N)=O ZINC000684631600 1071047101 /nfs/dbraw/zinc/04/71/01/1071047101.db2.gz KISIPFYPFVXCPA-UHFFFAOYSA-N 0 0 441.510 -0.538 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(OC)c(C)c1OC ZINC000684631788 1071047078 /nfs/dbraw/zinc/04/70/78/1071047078.db2.gz LJMUHOUVGNSXCV-UHFFFAOYSA-N 0 0 444.510 -0.090 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000684632268 1071047753 /nfs/dbraw/zinc/04/77/53/1071047753.db2.gz VHDHOWJUSANVFM-UHFFFAOYSA-N 0 0 440.526 -0.259 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(C)c1 ZINC000684632337 1071047586 /nfs/dbraw/zinc/04/75/86/1071047586.db2.gz YNLWOJKMAOCJMB-UHFFFAOYSA-N 0 0 441.510 -0.991 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c(C)c1 ZINC000684633046 1071047128 /nfs/dbraw/zinc/04/71/28/1071047128.db2.gz IXEZURHLUXPJAC-UHFFFAOYSA-N 0 0 426.495 -0.400 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)c(C)c1 ZINC000684635543 1071048308 /nfs/dbraw/zinc/04/83/08/1071048308.db2.gz CJJWYGNICDIONA-UHFFFAOYSA-N 0 0 426.495 -0.448 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(OCC(=O)NC)cc3C)CCN2C1=O ZINC000684636063 1071048201 /nfs/dbraw/zinc/04/82/01/1071048201.db2.gz DWRLAOPQNGTOGA-HNNXBMFYSA-N 0 0 431.449 -0.447 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(OCC(=O)NC)cc3C)CCN2C1=O ZINC000684636064 1071048080 /nfs/dbraw/zinc/04/80/80/1071048080.db2.gz DWRLAOPQNGTOGA-OAHLLOKOSA-N 0 0 431.449 -0.447 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1)[C@H]1CN(C)CCO1 ZINC000684636845 1071048326 /nfs/dbraw/zinc/04/83/26/1071048326.db2.gz OJOPHRUTMPYLCC-DZGCQCFKSA-N 0 0 425.511 -0.360 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1)[C@@H]1CN(C)CCO1 ZINC000684636846 1071048225 /nfs/dbraw/zinc/04/82/25/1071048225.db2.gz OJOPHRUTMPYLCC-HIFRSBDPSA-N 0 0 425.511 -0.360 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1)[C@H]1CN(C)CCO1 ZINC000684636847 1071048298 /nfs/dbraw/zinc/04/82/98/1071048298.db2.gz OJOPHRUTMPYLCC-UKRRQHHQSA-N 0 0 425.511 -0.360 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccn1)[C@@H]1CN(C)CCO1 ZINC000684636848 1071048142 /nfs/dbraw/zinc/04/81/42/1071048142.db2.gz OJOPHRUTMPYLCC-ZFWWWQNUSA-N 0 0 425.511 -0.360 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CCN1CCO ZINC000684638349 1071048831 /nfs/dbraw/zinc/04/88/31/1071048831.db2.gz BUVBMUXVAKZYRH-UHFFFAOYSA-N 0 0 439.538 -0.280 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H](CO)CC3CCC3)cn2)CC1 ZINC000684646732 1071049863 /nfs/dbraw/zinc/04/98/63/1071049863.db2.gz UFCMZJKHFPDTSQ-INIZCTEOSA-N 0 0 439.538 -0.231 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H](CO)CC3CCC3)cn2)CC1 ZINC000684646733 1071049835 /nfs/dbraw/zinc/04/98/35/1071049835.db2.gz UFCMZJKHFPDTSQ-MRXNPFEDSA-N 0 0 439.538 -0.231 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N(CCO)CC(F)F)cn2)CC1 ZINC000684646939 1071049656 /nfs/dbraw/zinc/04/96/56/1071049656.db2.gz RHGLWQDLPSCPMQ-UHFFFAOYSA-N 0 0 435.453 -0.812 20 0 IBADRN O=C(CS(=O)(=O)c1ccc(Cl)cc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000684653359 1071050197 /nfs/dbraw/zinc/05/01/97/1071050197.db2.gz BPJXBGZUWYKSQD-UHFFFAOYSA-N 0 0 443.909 -0.357 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1)OCC(=O)N2 ZINC000684657227 1071051387 /nfs/dbraw/zinc/05/13/87/1071051387.db2.gz JKJNRJSCQJNBAQ-UHFFFAOYSA-N 0 0 434.496 -0.861 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCC(C)(C)NS(C)(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000684658529 1071051967 /nfs/dbraw/zinc/05/19/67/1071051967.db2.gz HMOQRMUYWIFHLX-KRWDZBQOSA-N 0 0 433.552 -0.115 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCC(C)(C)NS(C)(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000684658530 1071052072 /nfs/dbraw/zinc/05/20/72/1071052072.db2.gz HMOQRMUYWIFHLX-QGZVFWFLSA-N 0 0 433.552 -0.115 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N(C)CCN1CCCS1(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000684661736 1071053166 /nfs/dbraw/zinc/05/31/66/1071053166.db2.gz PJERHFCKUNOABE-GOSISDBHSA-N 0 0 445.563 -0.065 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N(C)CCN1CCCS1(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000684661737 1071053093 /nfs/dbraw/zinc/05/30/93/1071053093.db2.gz PJERHFCKUNOABE-SFHVURJKSA-N 0 0 445.563 -0.065 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(CC(=O)N(C)C)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000684680632 1071056400 /nfs/dbraw/zinc/05/64/00/1071056400.db2.gz OMROHDSPXYWNTM-FQEVSTJZSA-N 0 0 438.550 -0.326 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(CC(=O)N(C)C)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000684680633 1071056443 /nfs/dbraw/zinc/05/64/43/1071056443.db2.gz OMROHDSPXYWNTM-HXUWFJFHSA-N 0 0 438.550 -0.326 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000684681360 1071056712 /nfs/dbraw/zinc/05/67/12/1071056712.db2.gz HYSOMQYIJWAWBB-CXAGYDPISA-N 0 0 444.535 -0.119 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000684681361 1071056827 /nfs/dbraw/zinc/05/68/27/1071056827.db2.gz HYSOMQYIJWAWBB-DYVFJYSZSA-N 0 0 444.535 -0.119 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000684681362 1071056781 /nfs/dbraw/zinc/05/67/81/1071056781.db2.gz HYSOMQYIJWAWBB-GUYCJALGSA-N 0 0 444.535 -0.119 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000684681363 1071056720 /nfs/dbraw/zinc/05/67/20/1071056720.db2.gz HYSOMQYIJWAWBB-SUMWQHHRSA-N 0 0 444.535 -0.119 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCCN(C)S(C)(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000684682443 1071057552 /nfs/dbraw/zinc/05/75/52/1071057552.db2.gz NUOAREKPNDHQTK-KRWDZBQOSA-N 0 0 433.552 -0.161 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCCN(C)S(C)(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000684682444 1071057476 /nfs/dbraw/zinc/05/74/76/1071057476.db2.gz NUOAREKPNDHQTK-QGZVFWFLSA-N 0 0 433.552 -0.161 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000684683094 1071057464 /nfs/dbraw/zinc/05/74/64/1071057464.db2.gz ZXNAYMRXOAKOEA-CRAIPNDOSA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCC[C@H](NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000684683095 1071057436 /nfs/dbraw/zinc/05/74/36/1071057436.db2.gz ZXNAYMRXOAKOEA-MAUKXSAKSA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000684683096 1071057345 /nfs/dbraw/zinc/05/73/45/1071057345.db2.gz ZXNAYMRXOAKOEA-QAPCUYQASA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCC[C@H](NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC000684683097 1071057360 /nfs/dbraw/zinc/05/73/60/1071057360.db2.gz ZXNAYMRXOAKOEA-YJBOKZPZSA-N 0 0 445.563 -0.018 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@@H](CO)[C@@H]3CCOC3)cn2)CC1 ZINC000684685647 1071057960 /nfs/dbraw/zinc/05/79/60/1071057960.db2.gz CQVSFZSBAGZGQF-CABCVRRESA-N 0 0 427.527 -0.070 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@@H](CO)[C@H]3CCOC3)cn2)CC1 ZINC000684685648 1071058081 /nfs/dbraw/zinc/05/80/81/1071058081.db2.gz CQVSFZSBAGZGQF-GJZGRUSLSA-N 0 0 427.527 -0.070 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@H](CO)[C@@H]3CCOC3)cn2)CC1 ZINC000684685649 1071058014 /nfs/dbraw/zinc/05/80/14/1071058014.db2.gz CQVSFZSBAGZGQF-HUUCEWRRSA-N 0 0 427.527 -0.070 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@H](CO)[C@H]3CCOC3)cn2)CC1 ZINC000684685650 1071058091 /nfs/dbraw/zinc/05/80/91/1071058091.db2.gz CQVSFZSBAGZGQF-LSDHHAIUSA-N 0 0 427.527 -0.070 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCC3(S(C)(=O)=O)CC3)cn2)CC1 ZINC000684686056 1071058482 /nfs/dbraw/zinc/05/84/82/1071058482.db2.gz MWWFGJDMLQYPAC-UHFFFAOYSA-N 0 0 431.540 -0.138 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)nc1 ZINC000684695229 1071060022 /nfs/dbraw/zinc/06/00/22/1071060022.db2.gz NERGAZKRJMANBE-UHFFFAOYSA-N 0 0 434.544 -0.969 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000684702021 1071061150 /nfs/dbraw/zinc/06/11/50/1071061150.db2.gz NPGKOCXCDVSRTA-CYBMUJFWSA-N 0 0 432.524 -0.121 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000684702022 1071061142 /nfs/dbraw/zinc/06/11/42/1071061142.db2.gz NPGKOCXCDVSRTA-ZDUSSCGKSA-N 0 0 432.524 -0.121 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000684702510 1071061274 /nfs/dbraw/zinc/06/12/74/1071061274.db2.gz VVLOMDVDZQUKBK-UHFFFAOYSA-N 0 0 446.555 -0.178 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c(C)c1 ZINC000684704415 1071061314 /nfs/dbraw/zinc/06/13/14/1071061314.db2.gz DVLSGHYODGHLAY-UHFFFAOYSA-N 0 0 437.482 -0.224 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)c1 ZINC000684705525 1071061219 /nfs/dbraw/zinc/06/12/19/1071061219.db2.gz YBSMXEUINNFLTB-UHFFFAOYSA-N 0 0 427.527 -0.181 20 0 IBADRN CNC(=O)COc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(C)c1 ZINC000684706726 1071061694 /nfs/dbraw/zinc/06/16/94/1071061694.db2.gz PGQVPJRXGBGXFW-UHFFFAOYSA-N 0 0 445.480 -0.386 20 0 IBADRN CN(CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1)CC(=O)N1CCOCC1 ZINC000684721316 1071063130 /nfs/dbraw/zinc/06/31/30/1071063130.db2.gz SEPYZGFJTHXLBJ-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](CO)[C@@H]2CCOC2)cc1 ZINC000684756139 1071071098 /nfs/dbraw/zinc/07/10/98/1071071098.db2.gz DBTDAXABJXYXEQ-ADAWSYLGSA-N 0 0 428.507 -0.420 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](CO)[C@H]2CCOC2)cc1 ZINC000684756143 1071071033 /nfs/dbraw/zinc/07/10/33/1071071033.db2.gz DBTDAXABJXYXEQ-AFIMGQEJSA-N 0 0 428.507 -0.420 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](CO)[C@H]2CCOC2)cc1 ZINC000684756144 1071071109 /nfs/dbraw/zinc/07/11/09/1071071109.db2.gz DBTDAXABJXYXEQ-BORJPKMPSA-N 0 0 428.507 -0.420 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](CO)[C@@H]2CCOC2)cc1 ZINC000684756146 1071070996 /nfs/dbraw/zinc/07/09/96/1071070996.db2.gz DBTDAXABJXYXEQ-FSZRXZPDSA-N 0 0 428.507 -0.420 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000684757937 1071071603 /nfs/dbraw/zinc/07/16/03/1071071603.db2.gz ATGNPPXIKNLEAY-ACWOFJMJSA-N 0 0 425.507 -0.196 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000684757939 1071071504 /nfs/dbraw/zinc/07/15/04/1071071504.db2.gz ATGNPPXIKNLEAY-AXHNFQJDSA-N 0 0 425.507 -0.196 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000684757941 1071071428 /nfs/dbraw/zinc/07/14/28/1071071428.db2.gz ATGNPPXIKNLEAY-AZOIQLNYSA-N 0 0 425.507 -0.196 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000684757942 1071071620 /nfs/dbraw/zinc/07/16/20/1071071620.db2.gz ATGNPPXIKNLEAY-IYJAJMOOSA-N 0 0 425.507 -0.196 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000684780969 1071074820 /nfs/dbraw/zinc/07/48/20/1071074820.db2.gz FQPOXAGTJAFRKX-INIZCTEOSA-N 0 0 428.486 -0.311 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000684780970 1071074841 /nfs/dbraw/zinc/07/48/41/1071074841.db2.gz FQPOXAGTJAFRKX-MRXNPFEDSA-N 0 0 428.486 -0.311 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000684790788 1071076605 /nfs/dbraw/zinc/07/66/05/1071076605.db2.gz AZHHELMDQHXBMO-UHFFFAOYSA-N 0 0 441.554 -0.770 20 0 IBADRN Cn1c(C(=O)Nc2cccc(NC(=O)NCCC(N)=O)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000684796217 1071078439 /nfs/dbraw/zinc/07/84/39/1071078439.db2.gz BPJPESPPRMKJHK-UHFFFAOYSA-N 0 0 441.448 -0.175 20 0 IBADRN CC(C)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H]1C[C@H]1CO ZINC000684803087 1071079657 /nfs/dbraw/zinc/07/96/57/1071079657.db2.gz NWLDNFJSOCJJAO-CGTJXYLNSA-N 0 0 439.534 -0.041 20 0 IBADRN CC(C)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N[C@@H]1C[C@H]1CO ZINC000684803091 1071079582 /nfs/dbraw/zinc/07/95/82/1071079582.db2.gz NWLDNFJSOCJJAO-JQHSSLGASA-N 0 0 439.534 -0.041 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000684814669 1071080837 /nfs/dbraw/zinc/08/08/37/1071080837.db2.gz NOVDIPQLHGLZAU-HNNXBMFYSA-N 0 0 442.472 -0.491 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000684814676 1071081080 /nfs/dbraw/zinc/08/10/80/1071081080.db2.gz NOVDIPQLHGLZAU-OAHLLOKOSA-N 0 0 442.472 -0.491 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000684814751 1071080785 /nfs/dbraw/zinc/08/07/85/1071080785.db2.gz VUVACDVWRTZUSB-UHFFFAOYSA-N 0 0 429.518 -0.138 20 0 IBADRN COCCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CC1 ZINC000684815723 1071081399 /nfs/dbraw/zinc/08/13/99/1071081399.db2.gz GSSKIRPQVVFINK-UHFFFAOYSA-N 0 0 438.550 -0.056 20 0 IBADRN Cc1nc(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)c(C(=O)NCCO)n1C ZINC000684815814 1071081697 /nfs/dbraw/zinc/08/16/97/1071081697.db2.gz IJIPIFCKVGMMMV-UHFFFAOYSA-N 0 0 440.460 -0.539 20 0 IBADRN CC(C)CC(=O)N[C@H](CC(N)=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000684829021 1071084389 /nfs/dbraw/zinc/08/43/89/1071084389.db2.gz FLLIVMODSBATCG-GOSISDBHSA-N 0 0 431.537 -0.147 20 0 IBADRN CC(C)CC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000684829038 1071084105 /nfs/dbraw/zinc/08/41/05/1071084105.db2.gz FLLIVMODSBATCG-SFHVURJKSA-N 0 0 431.537 -0.147 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)CC(C)C)CC1 ZINC000684829806 1071084410 /nfs/dbraw/zinc/08/44/10/1071084410.db2.gz DLCJPMJKLGGXCH-AWEZNQCLSA-N 0 0 443.526 -0.469 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)CC(C)C)CC1 ZINC000684829807 1071084368 /nfs/dbraw/zinc/08/43/68/1071084368.db2.gz DLCJPMJKLGGXCH-CQSZACIVSA-N 0 0 443.526 -0.469 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@H](N3CCN(S(C)(=O)=O)CC3)C2=O)cc1OC ZINC000684836893 1071086673 /nfs/dbraw/zinc/08/66/73/1071086673.db2.gz CSBNCPBZPDLPKB-HNNXBMFYSA-N 0 0 425.507 -0.049 20 0 IBADRN COc1ccc(CCN2C(=O)C[C@@H](N3CCN(S(C)(=O)=O)CC3)C2=O)cc1OC ZINC000684836894 1071086403 /nfs/dbraw/zinc/08/64/03/1071086403.db2.gz CSBNCPBZPDLPKB-OAHLLOKOSA-N 0 0 425.507 -0.049 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc(C(N)=O)n(C)c3)CC2)cc1 ZINC000684838112 1071087193 /nfs/dbraw/zinc/08/71/93/1071087193.db2.gz AIHVOMDJZFMHMT-UHFFFAOYSA-N 0 0 442.519 -0.172 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(N)=O)n(C)c2)CC1 ZINC000684838958 1071087111 /nfs/dbraw/zinc/08/71/11/1071087111.db2.gz SJBWVZPOSIBUGW-UHFFFAOYSA-N 0 0 431.496 -0.576 20 0 IBADRN CC(=O)Nc1cccc2c1CCN(c1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C2 ZINC000684839432 1071087765 /nfs/dbraw/zinc/08/77/65/1071087765.db2.gz OCVIYSCTPUTBCH-QTQZEZTPSA-N 0 0 440.460 -0.041 20 0 IBADRN COc1cccc2c1OC[C@@H](Nc1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C2 ZINC000684839505 1071087552 /nfs/dbraw/zinc/08/75/52/1071087552.db2.gz TXKNFCMPVXYOJT-FKMNLVFWSA-N 0 0 429.433 -0.138 20 0 IBADRN COc1cccc2c1OC[C@H](Nc1ncnc3c1ncn3[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C2 ZINC000684839506 1071087466 /nfs/dbraw/zinc/08/74/66/1071087466.db2.gz TXKNFCMPVXYOJT-JSKICNKESA-N 0 0 429.433 -0.138 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CC1 ZINC000684840563 1071087128 /nfs/dbraw/zinc/08/71/28/1071087128.db2.gz ABVDKCOFWSFJTA-UHFFFAOYSA-N 0 0 440.566 -0.208 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000684840696 1071087065 /nfs/dbraw/zinc/08/70/65/1071087065.db2.gz KQTWJGGZQPMNHB-FQEVSTJZSA-N 0 0 432.521 -0.239 20 0 IBADRN COCCN1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000684840697 1071087220 /nfs/dbraw/zinc/08/72/20/1071087220.db2.gz KQTWJGGZQPMNHB-HXUWFJFHSA-N 0 0 432.521 -0.239 20 0 IBADRN O=C(Cn1ncn(-c2ccncc2)c1=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000684843809 1071087658 /nfs/dbraw/zinc/08/76/58/1071087658.db2.gz KYGCNYVEYVGANN-UHFFFAOYSA-N 0 0 428.474 -0.038 20 0 IBADRN O=C(c1cccc(CN2C(=O)CNC2=O)c1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000684845681 1071087506 /nfs/dbraw/zinc/08/75/06/1071087506.db2.gz RIVBDPALTIAFDS-UHFFFAOYSA-N 0 0 432.462 -0.392 20 0 IBADRN Cn1[nH]c(C2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)nc1=N ZINC000684856666 1071089971 /nfs/dbraw/zinc/08/99/71/1071089971.db2.gz SMJSDLSURFWMPL-UHFFFAOYSA-N 0 0 447.521 -0.632 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CC1 ZINC000684878106 1071092679 /nfs/dbraw/zinc/09/26/79/1071092679.db2.gz JZQYWNPEKWGGRV-UHFFFAOYSA-N 0 0 433.328 -0.058 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)c2ccc(S(N)(=O)=O)nc2)c1 ZINC000684880271 1071093533 /nfs/dbraw/zinc/09/35/33/1071093533.db2.gz DFOKHTMYHROMMW-UHFFFAOYSA-N 0 0 443.507 -0.052 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N(CCO)CCN2CCOCC2)cc1Br ZINC000684880998 1071093448 /nfs/dbraw/zinc/09/34/48/1071093448.db2.gz SPGVOZHQZBZNDU-UHFFFAOYSA-N 0 0 436.328 -0.137 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000684886907 1071094308 /nfs/dbraw/zinc/09/43/08/1071094308.db2.gz UHNRNXWOLPNRDM-UHFFFAOYSA-N 0 0 427.479 -0.009 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000684955380 1071113731 /nfs/dbraw/zinc/11/37/31/1071113731.db2.gz MRZDEHAFIDWYFR-GOSISDBHSA-N 0 0 438.550 -0.007 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000684955381 1071113480 /nfs/dbraw/zinc/11/34/80/1071113480.db2.gz MRZDEHAFIDWYFR-SFHVURJKSA-N 0 0 438.550 -0.007 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@@H](CO)[C@H](O)C1 ZINC000684986265 1071117306 /nfs/dbraw/zinc/11/73/06/1071117306.db2.gz YQUMRMJZZDXFBN-JOQOYGCGSA-N 0 0 428.507 -0.038 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@H](CO)[C@H](O)C1 ZINC000684986266 1071117034 /nfs/dbraw/zinc/11/70/34/1071117034.db2.gz YQUMRMJZZDXFBN-SIIHOXLZSA-N 0 0 428.507 -0.038 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@H](CO)[C@@H](O)C1 ZINC000684986268 1071117320 /nfs/dbraw/zinc/11/73/20/1071117320.db2.gz YQUMRMJZZDXFBN-XUWXXGDYSA-N 0 0 428.507 -0.038 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000684986270 1071117283 /nfs/dbraw/zinc/11/72/83/1071117283.db2.gz YQUMRMJZZDXFBN-YEWWUXTCSA-N 0 0 428.507 -0.038 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N[C@@H](C)C(=O)N2CCCC2)cc1 ZINC000684988030 1071117254 /nfs/dbraw/zinc/11/72/54/1071117254.db2.gz BRUSCAHCBHSZJH-VBQJREDUSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N[C@@H](C)C(=O)N2CCCC2)cc1 ZINC000684988033 1071117174 /nfs/dbraw/zinc/11/71/74/1071117174.db2.gz BRUSCAHCBHSZJH-ZQIUZPCESA-N 0 0 425.507 -0.054 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CCCN(c2nccn(C)c2=O)C1 ZINC000684998314 1071118241 /nfs/dbraw/zinc/11/82/41/1071118241.db2.gz YIUXPIAKVWMVJJ-GXTWGEPZSA-N 0 0 438.510 -0.163 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H]1CCCN(c2nccn(C)c2=O)C1 ZINC000684998315 1071118092 /nfs/dbraw/zinc/11/80/92/1071118092.db2.gz YIUXPIAKVWMVJJ-JSGCOSHPSA-N 0 0 438.510 -0.163 20 0 IBADRN COCC[C@@H](CO)NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000685009096 1071118890 /nfs/dbraw/zinc/11/88/90/1071118890.db2.gz SGSDLRXEKPKAHD-KBPBESRZSA-N 0 0 435.524 -0.329 20 0 IBADRN COCC[C@H](CO)NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000685009098 1071118943 /nfs/dbraw/zinc/11/89/43/1071118943.db2.gz SGSDLRXEKPKAHD-KGLIPLIRSA-N 0 0 435.524 -0.329 20 0 IBADRN COCC[C@@H](CO)NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000685009100 1071118855 /nfs/dbraw/zinc/11/88/55/1071118855.db2.gz SGSDLRXEKPKAHD-UONOGXRCSA-N 0 0 435.524 -0.329 20 0 IBADRN COCC[C@H](CO)NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000685009101 1071118805 /nfs/dbraw/zinc/11/88/05/1071118805.db2.gz SGSDLRXEKPKAHD-ZIAGYGMSSA-N 0 0 435.524 -0.329 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc3c(c1)OCC(=O)N3C)C2 ZINC000685021358 1071121996 /nfs/dbraw/zinc/12/19/96/1071121996.db2.gz PNDKIDBAFYMMGW-UHFFFAOYSA-N 0 0 429.437 -0.464 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCCN(C)S(C)(=O)=O ZINC000685024057 1071122924 /nfs/dbraw/zinc/12/29/24/1071122924.db2.gz SARVOKJIUXOYKK-INIZCTEOSA-N 0 0 440.522 -0.059 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCCN(C)S(C)(=O)=O ZINC000685024058 1071123537 /nfs/dbraw/zinc/12/35/37/1071123537.db2.gz SARVOKJIUXOYKK-MRXNPFEDSA-N 0 0 440.522 -0.059 20 0 IBADRN CCn1ncc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1C(F)(F)F ZINC000685024831 1071123551 /nfs/dbraw/zinc/12/35/51/1071123551.db2.gz SOMZOFWSHNUJGF-UHFFFAOYSA-N 0 0 426.421 -0.189 20 0 IBADRN CN1C(=O)COc2cc(NC(=O)C(=O)N3CCN(C(=O)C[NH+]4CCCC4)CC3)ccc21 ZINC000685026006 1071123563 /nfs/dbraw/zinc/12/35/63/1071123563.db2.gz PQXBGQRFMJOJSB-UHFFFAOYSA-N 0 0 429.477 -0.253 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000685026381 1071123727 /nfs/dbraw/zinc/12/37/27/1071123727.db2.gz DGWUQCUIWMOAMW-HNNXBMFYSA-N 0 0 440.522 -0.013 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000685026382 1071123486 /nfs/dbraw/zinc/12/34/86/1071123486.db2.gz DGWUQCUIWMOAMW-OAHLLOKOSA-N 0 0 440.522 -0.013 20 0 IBADRN CCn1ncc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C(F)(F)F ZINC000685026504 1071123626 /nfs/dbraw/zinc/12/36/26/1071123626.db2.gz DOSKRYUWKRWVCL-UHFFFAOYSA-N 0 0 440.448 -0.446 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000685027471 1071124680 /nfs/dbraw/zinc/12/46/80/1071124680.db2.gz HMOSNLQMQUHNDW-TXEJJXNPSA-N 0 0 429.271 -0.427 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000685028574 1071124622 /nfs/dbraw/zinc/12/46/22/1071124622.db2.gz GLTOGKWMXGTYJS-GOSISDBHSA-N 0 0 445.520 -0.225 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000685028575 1071124671 /nfs/dbraw/zinc/12/46/71/1071124671.db2.gz GLTOGKWMXGTYJS-SFHVURJKSA-N 0 0 445.520 -0.225 20 0 IBADRN CN(C)C(=O)CN1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000685034501 1071126188 /nfs/dbraw/zinc/12/61/88/1071126188.db2.gz OEMUINQCDDXSNV-UHFFFAOYSA-N 0 0 446.595 -0.584 20 0 IBADRN COCC(=O)NC1CCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000685034656 1071126309 /nfs/dbraw/zinc/12/63/09/1071126309.db2.gz YUHNBVZCNNXQSI-UHFFFAOYSA-N 0 0 447.579 -0.062 20 0 IBADRN CN(CCCNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)S(C)(=O)=O ZINC000685046293 1071131282 /nfs/dbraw/zinc/13/12/82/1071131282.db2.gz SKLWUFHBLOOWTB-UHFFFAOYSA-N 0 0 428.483 -0.176 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000685047369 1071132338 /nfs/dbraw/zinc/13/23/38/1071132338.db2.gz VDJZCZJYMMZVMM-UHFFFAOYSA-N 0 0 440.494 -0.032 20 0 IBADRN CC(C)(CNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)NS(C)(=O)=O ZINC000685048061 1071132461 /nfs/dbraw/zinc/13/24/61/1071132461.db2.gz DTLXJLUXHHVLHQ-UHFFFAOYSA-N 0 0 428.483 -0.130 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000685054842 1071135604 /nfs/dbraw/zinc/13/56/04/1071135604.db2.gz SJRAJBRUQIBWMF-UHFFFAOYSA-N 0 0 434.559 -0.836 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000685057077 1071137137 /nfs/dbraw/zinc/13/71/37/1071137137.db2.gz YWAKLIXYMVATPK-UHFFFAOYSA-N 0 0 438.506 -0.840 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)cc1)C(=O)N1CCN(c2cccnn2)CC1 ZINC000685057161 1071137350 /nfs/dbraw/zinc/13/73/50/1071137350.db2.gz BJSSOSHHINBUBT-UHFFFAOYSA-N 0 0 444.517 -0.019 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCCS4(=O)=O)cc3)CC2)cn1 ZINC000685057246 1071137368 /nfs/dbraw/zinc/13/73/68/1071137368.db2.gz JKYSSSKIYNHGOJ-UHFFFAOYSA-N 0 0 446.533 -0.075 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000685057397 1071137339 /nfs/dbraw/zinc/13/73/39/1071137339.db2.gz VGCYKSQOGWJVHE-CYBMUJFWSA-N 0 0 431.536 -0.266 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000685057398 1071137151 /nfs/dbraw/zinc/13/71/51/1071137151.db2.gz VGCYKSQOGWJVHE-ZDUSSCGKSA-N 0 0 431.536 -0.266 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000685057489 1071137376 /nfs/dbraw/zinc/13/73/76/1071137376.db2.gz FYHUWVPGYVDTAP-INIZCTEOSA-N 0 0 449.533 -0.095 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000685057490 1071137195 /nfs/dbraw/zinc/13/71/95/1071137195.db2.gz FYHUWVPGYVDTAP-MRXNPFEDSA-N 0 0 449.533 -0.095 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)cc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000685057507 1071137232 /nfs/dbraw/zinc/13/72/32/1071137232.db2.gz IECAXIKLYHRUMX-UHFFFAOYSA-N 0 0 444.517 -0.019 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000685057565 1071137276 /nfs/dbraw/zinc/13/72/76/1071137276.db2.gz DFHTVDCKRGMCCH-UHFFFAOYSA-N 0 0 438.550 -0.242 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000685057608 1071137210 /nfs/dbraw/zinc/13/72/10/1071137210.db2.gz JSELTNDPAGOQAF-INIZCTEOSA-N 0 0 443.547 -0.122 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000685057609 1071137223 /nfs/dbraw/zinc/13/72/23/1071137223.db2.gz JSELTNDPAGOQAF-MRXNPFEDSA-N 0 0 443.547 -0.122 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)cc1)C(=O)NCC1(N2CCOCC2)CC1 ZINC000685057621 1071138180 /nfs/dbraw/zinc/13/81/80/1071138180.db2.gz LOTDQSLBHDHAPV-UHFFFAOYSA-N 0 0 436.534 -0.176 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CCO1 ZINC000685057730 1071138190 /nfs/dbraw/zinc/13/81/90/1071138190.db2.gz PPISWHCNSSEIDT-KRWDZBQOSA-N 0 0 438.506 -0.794 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CCO1 ZINC000685057733 1071138100 /nfs/dbraw/zinc/13/81/00/1071138100.db2.gz PPISWHCNSSEIDT-QGZVFWFLSA-N 0 0 438.506 -0.794 20 0 IBADRN NC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000685057776 1071138008 /nfs/dbraw/zinc/13/80/08/1071138008.db2.gz RNBGUUFVEQERLQ-HNNXBMFYSA-N 0 0 437.522 -0.250 20 0 IBADRN NC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC000685057781 1071138202 /nfs/dbraw/zinc/13/82/02/1071138202.db2.gz RNBGUUFVEQERLQ-OAHLLOKOSA-N 0 0 437.522 -0.250 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000685057947 1071138167 /nfs/dbraw/zinc/13/81/67/1071138167.db2.gz JSKJQXSXRXAGIG-UHFFFAOYSA-N 0 0 425.511 -0.428 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)cc1)C(=O)N1CCN(c2cnccn2)CC1 ZINC000685058277 1071138152 /nfs/dbraw/zinc/13/81/52/1071138152.db2.gz QDCILKQFDSHRLN-UHFFFAOYSA-N 0 0 444.517 -0.019 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000685058494 1071138893 /nfs/dbraw/zinc/13/88/93/1071138893.db2.gz MFCTVDVNPAYPEJ-UHFFFAOYSA-N 0 0 425.507 -0.157 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000685058528 1071138817 /nfs/dbraw/zinc/13/88/17/1071138817.db2.gz PAFNMSKETRUKFD-UHFFFAOYSA-N 0 0 425.507 -0.157 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC000685058854 1071138841 /nfs/dbraw/zinc/13/88/41/1071138841.db2.gz BYAMWBFGSPLDIB-UHFFFAOYSA-N 0 0 425.507 -0.157 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCCCN3CCOCC3)C2=O)c1 ZINC000685067135 1071143998 /nfs/dbraw/zinc/14/39/98/1071143998.db2.gz UFBIVDPHAQJIIA-GOSISDBHSA-N 0 0 434.493 -0.236 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCCCN3CCOCC3)C2=O)c1 ZINC000685067136 1071143973 /nfs/dbraw/zinc/14/39/73/1071143973.db2.gz UFBIVDPHAQJIIA-SFHVURJKSA-N 0 0 434.493 -0.236 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCC(CO)(OC)CC3)C2=O)c1 ZINC000685067309 1071143950 /nfs/dbraw/zinc/14/39/50/1071143950.db2.gz XBAWTNNCTKXFFI-KRWDZBQOSA-N 0 0 435.477 -0.075 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCC(CO)(OC)CC3)C2=O)c1 ZINC000685067310 1071144176 /nfs/dbraw/zinc/14/41/76/1071144176.db2.gz XBAWTNNCTKXFFI-QGZVFWFLSA-N 0 0 435.477 -0.075 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCc3ccc(C(N)=O)nc3)C2=O)c1 ZINC000685067392 1071144110 /nfs/dbraw/zinc/14/41/10/1071144110.db2.gz AAAYYMUNZWMFHE-KRWDZBQOSA-N 0 0 441.444 -0.264 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccc(C(N)=O)nc3)C2=O)c1 ZINC000685067393 1071143989 /nfs/dbraw/zinc/14/39/89/1071143989.db2.gz AAAYYMUNZWMFHE-QGZVFWFLSA-N 0 0 441.444 -0.264 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)C2=O)c1 ZINC000685067772 1071144693 /nfs/dbraw/zinc/14/46/93/1071144693.db2.gz ZOEPZJSODHISII-GOSISDBHSA-N 0 0 448.520 -0.160 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CCN(CC(C)(C)O)CC3)C2=O)c1 ZINC000685067773 1071144778 /nfs/dbraw/zinc/14/47/78/1071144778.db2.gz ZOEPZJSODHISII-SFHVURJKSA-N 0 0 448.520 -0.160 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)C2=O)c1 ZINC000685067814 1071144840 /nfs/dbraw/zinc/14/48/40/1071144840.db2.gz FXENBNUQBVAXMP-BVGQSLNGSA-N 0 0 434.493 -0.239 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)C2=O)c1 ZINC000685067815 1071144993 /nfs/dbraw/zinc/14/49/93/1071144993.db2.gz FXENBNUQBVAXMP-DOPJRALCSA-N 0 0 434.493 -0.239 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)C2=O)c1 ZINC000685067816 1071145025 /nfs/dbraw/zinc/14/50/25/1071145025.db2.gz FXENBNUQBVAXMP-JEBQAFNWSA-N 0 0 434.493 -0.239 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)C2=O)c1 ZINC000685067817 1071144949 /nfs/dbraw/zinc/14/49/49/1071144949.db2.gz FXENBNUQBVAXMP-KKXDTOCCSA-N 0 0 434.493 -0.239 20 0 IBADRN CC[C@@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000685069705 1071145889 /nfs/dbraw/zinc/14/58/89/1071145889.db2.gz AYEMERAZIIACMC-AWEZNQCLSA-N 0 0 431.536 -0.637 20 0 IBADRN CC[C@H](CS(=O)(=O)c1ccccc1)NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000685069708 1071146532 /nfs/dbraw/zinc/14/65/32/1071146532.db2.gz AYEMERAZIIACMC-CQSZACIVSA-N 0 0 431.536 -0.637 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](CNC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)C2)c1 ZINC000685077314 1071148878 /nfs/dbraw/zinc/14/88/78/1071148878.db2.gz REVFGRMSVKMQEW-CXAGYDPISA-N 0 0 440.522 -0.464 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](CNC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)C2)c1 ZINC000685077315 1071148725 /nfs/dbraw/zinc/14/87/25/1071148725.db2.gz REVFGRMSVKMQEW-DYVFJYSZSA-N 0 0 440.522 -0.464 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](CNC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)C2)c1 ZINC000685077316 1071148856 /nfs/dbraw/zinc/14/88/56/1071148856.db2.gz REVFGRMSVKMQEW-GUYCJALGSA-N 0 0 440.522 -0.464 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](CNC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)C2)c1 ZINC000685077317 1071148762 /nfs/dbraw/zinc/14/87/62/1071148762.db2.gz REVFGRMSVKMQEW-SUMWQHHRSA-N 0 0 440.522 -0.464 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)C(=O)NCc2cnn(-c3ccc(Br)cc3)c2)CCO1 ZINC000685077899 1071148777 /nfs/dbraw/zinc/14/87/77/1071148777.db2.gz SUVPYDZYFVGMJF-AWEZNQCLSA-N 0 0 436.266 -0.036 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)C(=O)NCc2cnn(-c3ccc(Br)cc3)c2)CCO1 ZINC000685077900 1071148897 /nfs/dbraw/zinc/14/88/97/1071148897.db2.gz SUVPYDZYFVGMJF-CQSZACIVSA-N 0 0 436.266 -0.036 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3cccnc3)CC2)cn1 ZINC000685078485 1071148884 /nfs/dbraw/zinc/14/88/84/1071148884.db2.gz AEELMRNFHJHBOL-UHFFFAOYSA-N 0 0 436.494 -0.926 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N(C)C[C@@H]2C[C@H](F)CN2Cc2ccnn2C)cn1 ZINC000685078524 1071148943 /nfs/dbraw/zinc/14/89/43/1071148943.db2.gz MJEKVDDUWKPZBR-WMZOPIPTSA-N 0 0 435.504 -0.050 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@H]1CNC(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000685079230 1071149607 /nfs/dbraw/zinc/14/96/07/1071149607.db2.gz QRCGPQGTMLHSEW-INIZCTEOSA-N 0 0 443.574 -0.002 20 0 IBADRN CN(C)S(=O)(=O)N1CCCC[C@@H]1CNC(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000685079231 1071149570 /nfs/dbraw/zinc/14/95/70/1071149570.db2.gz QRCGPQGTMLHSEW-MRXNPFEDSA-N 0 0 443.574 -0.002 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)cn1 ZINC000685081604 1071150358 /nfs/dbraw/zinc/15/03/58/1071150358.db2.gz GMXJOEZNHXIYCD-AWEZNQCLSA-N 0 0 442.542 -0.029 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)cn1 ZINC000685081605 1071150324 /nfs/dbraw/zinc/15/03/24/1071150324.db2.gz GMXJOEZNHXIYCD-CQSZACIVSA-N 0 0 442.542 -0.029 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)cn1 ZINC000685081686 1071150342 /nfs/dbraw/zinc/15/03/42/1071150342.db2.gz VMPFOPJRGGNRGQ-UHFFFAOYSA-N 0 0 440.482 -0.377 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)s1 ZINC000685085445 1071151091 /nfs/dbraw/zinc/15/10/91/1071151091.db2.gz GEVGQQQDSCJLFE-HNNXBMFYSA-N 0 0 444.579 -0.226 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)s1 ZINC000685085447 1071151075 /nfs/dbraw/zinc/15/10/75/1071151075.db2.gz GEVGQQQDSCJLFE-OAHLLOKOSA-N 0 0 444.579 -0.226 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@@H]2c2nncn2C)s1 ZINC000685086064 1071151148 /nfs/dbraw/zinc/15/11/48/1071151148.db2.gz DYPRHDOBYCIWBH-GFCCVEGCSA-N 0 0 426.524 -0.057 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@H]2c2nncn2C)s1 ZINC000685086065 1071151066 /nfs/dbraw/zinc/15/10/66/1071151066.db2.gz DYPRHDOBYCIWBH-LBPRGKRZSA-N 0 0 426.524 -0.057 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)s1 ZINC000685086098 1071150984 /nfs/dbraw/zinc/15/09/84/1071150984.db2.gz NLICSETWBBBOMU-GFCCVEGCSA-N 0 0 431.540 -0.642 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)s1 ZINC000685086099 1071151193 /nfs/dbraw/zinc/15/11/93/1071151193.db2.gz NLICSETWBBBOMU-LBPRGKRZSA-N 0 0 431.540 -0.642 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCC(OCCCO)CC2)s1 ZINC000685086222 1071151662 /nfs/dbraw/zinc/15/16/62/1071151662.db2.gz DBCOSEMHXVMZJL-UHFFFAOYSA-N 0 0 433.552 -0.159 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCO[C@H]([C@@H]3CCCO3)C2)s1 ZINC000685086263 1071151868 /nfs/dbraw/zinc/15/18/68/1071151868.db2.gz JIXHPIBYJHUTQI-KBPBESRZSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCO[C@H]([C@H]3CCCO3)C2)s1 ZINC000685086264 1071151709 /nfs/dbraw/zinc/15/17/09/1071151709.db2.gz JIXHPIBYJHUTQI-KGLIPLIRSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCO[C@@H]([C@@H]3CCCO3)C2)s1 ZINC000685086265 1071151748 /nfs/dbraw/zinc/15/17/48/1071151748.db2.gz JIXHPIBYJHUTQI-UONOGXRCSA-N 0 0 431.536 -0.143 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)s1 ZINC000685086266 1071151795 /nfs/dbraw/zinc/15/17/95/1071151795.db2.gz JIXHPIBYJHUTQI-ZIAGYGMSSA-N 0 0 431.536 -0.143 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCc2ncc(C)cn2)CC1 ZINC000685096542 1071154394 /nfs/dbraw/zinc/15/43/94/1071154394.db2.gz YNKLGWMBLOLLMG-UHFFFAOYSA-N 0 0 440.570 -0.045 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1ccc(C3CC3)cc1)C2 ZINC000685111485 1071157543 /nfs/dbraw/zinc/15/75/43/1071157543.db2.gz KXNZLFMPMJVVJG-UHFFFAOYSA-N 0 0 426.477 -0.331 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(SCc3cc(=O)n(C)c(=O)n3C)nc2)CC1 ZINC000685111530 1071157414 /nfs/dbraw/zinc/15/74/14/1071157414.db2.gz PXBGLWDDRZLEER-UHFFFAOYSA-N 0 0 425.536 -0.293 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NC[C@@H]2CCCCN2S(=O)(=O)N(C)C)n1 ZINC000685129286 1071161996 /nfs/dbraw/zinc/16/19/96/1071161996.db2.gz XPDYNLGWGNDLSC-AWEZNQCLSA-N 0 0 430.531 -0.365 20 0 IBADRN COCCCn1ccc(NC(=O)C(=O)NC[C@H]2CCCCN2S(=O)(=O)N(C)C)n1 ZINC000685129288 1071162050 /nfs/dbraw/zinc/16/20/50/1071162050.db2.gz XPDYNLGWGNDLSC-CQSZACIVSA-N 0 0 430.531 -0.365 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)C2)c1 ZINC000685129690 1071162034 /nfs/dbraw/zinc/16/20/34/1071162034.db2.gz CQTPPKFZYAPQLG-BLLLJJGKSA-N 0 0 426.495 -0.712 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)C2)c1 ZINC000685129693 1071162015 /nfs/dbraw/zinc/16/20/15/1071162015.db2.gz CQTPPKFZYAPQLG-LRDDRELGSA-N 0 0 426.495 -0.712 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)C2)c1 ZINC000685129695 1071162759 /nfs/dbraw/zinc/16/27/59/1071162759.db2.gz CQTPPKFZYAPQLG-MLGOLLRUSA-N 0 0 426.495 -0.712 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)C2)c1 ZINC000685129697 1071162976 /nfs/dbraw/zinc/16/29/76/1071162976.db2.gz CQTPPKFZYAPQLG-WBMJQRKESA-N 0 0 426.495 -0.712 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2)c1 ZINC000685129910 1071162769 /nfs/dbraw/zinc/16/27/69/1071162769.db2.gz LZBGXDIPASOZLT-AWEZNQCLSA-N 0 0 440.522 -0.594 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2)c1 ZINC000685129912 1071163019 /nfs/dbraw/zinc/16/30/19/1071163019.db2.gz LZBGXDIPASOZLT-CQSZACIVSA-N 0 0 440.522 -0.594 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1 ZINC000685131141 1071162917 /nfs/dbraw/zinc/16/29/17/1071162917.db2.gz MBXLYDHMGQXPTL-CABCVRRESA-N 0 0 426.464 -0.189 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1 ZINC000685131147 1071162733 /nfs/dbraw/zinc/16/27/33/1071162733.db2.gz MBXLYDHMGQXPTL-GJZGRUSLSA-N 0 0 426.464 -0.189 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCN(c2c(F)cccc2F)C1 ZINC000685131149 1071162835 /nfs/dbraw/zinc/16/28/35/1071162835.db2.gz MBXLYDHMGQXPTL-HUUCEWRRSA-N 0 0 426.464 -0.189 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCN(c2c(F)cccc2F)C1 ZINC000685131153 1071162899 /nfs/dbraw/zinc/16/28/99/1071162899.db2.gz MBXLYDHMGQXPTL-LSDHHAIUSA-N 0 0 426.464 -0.189 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOCC(F)(F)C3)cn2)CC1 ZINC000685132906 1071163705 /nfs/dbraw/zinc/16/37/05/1071163705.db2.gz CZSFIERWCRGYJE-UHFFFAOYSA-N 0 0 447.464 -0.404 20 0 IBADRN Cc1c(NC(=O)C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2C)cccc1S(=O)(=O)NC1CC1 ZINC000685134404 1071164590 /nfs/dbraw/zinc/16/45/90/1071164590.db2.gz XZPVAAFZNVMRKB-IINYFYTJSA-N 0 0 429.520 -0.076 20 0 IBADRN COCCOCCN(C)C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000685134963 1071166114 /nfs/dbraw/zinc/16/61/14/1071166114.db2.gz OZBXAQKPYSWOCJ-UHFFFAOYSA-N 0 0 443.526 -0.777 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2)CC1 ZINC000685135093 1071165322 /nfs/dbraw/zinc/16/53/22/1071165322.db2.gz ZQTWWAJAPSZBBG-HNNXBMFYSA-N 0 0 446.899 -0.608 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2)CC1 ZINC000685135094 1071165413 /nfs/dbraw/zinc/16/54/13/1071165413.db2.gz ZQTWWAJAPSZBBG-OAHLLOKOSA-N 0 0 446.899 -0.608 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@H]2CCN(c3cccc(Cl)c3)C2)CC1 ZINC000685135112 1071165310 /nfs/dbraw/zinc/16/53/10/1071165310.db2.gz JSPAWPIQOFRZDF-INIZCTEOSA-N 0 0 447.923 -0.016 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCN(c3cccc(Cl)c3)C2)CC1 ZINC000685135113 1071165426 /nfs/dbraw/zinc/16/54/26/1071165426.db2.gz JSPAWPIQOFRZDF-MRXNPFEDSA-N 0 0 447.923 -0.016 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)C1 ZINC000685139988 1071166906 /nfs/dbraw/zinc/16/69/06/1071166906.db2.gz AXSZHJJGTUXYGS-CVEARBPZSA-N 0 0 440.541 -0.480 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)C1 ZINC000685139996 1071167022 /nfs/dbraw/zinc/16/70/22/1071167022.db2.gz AXSZHJJGTUXYGS-HOTGVXAUSA-N 0 0 440.541 -0.480 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)C1 ZINC000685139998 1071167189 /nfs/dbraw/zinc/16/71/89/1071167189.db2.gz AXSZHJJGTUXYGS-HZPDHXFCSA-N 0 0 440.541 -0.480 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NC2CCN(C(=O)CCOC)CC2)C1 ZINC000685140000 1071167181 /nfs/dbraw/zinc/16/71/81/1071167181.db2.gz AXSZHJJGTUXYGS-JKSUJKDBSA-N 0 0 440.541 -0.480 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)CC1 ZINC000685140318 1071167756 /nfs/dbraw/zinc/16/77/56/1071167756.db2.gz PKZNYLONBHQVKD-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C(F)(F)F ZINC000685140795 1071167715 /nfs/dbraw/zinc/16/77/15/1071167715.db2.gz AKLWSDPYSDMKGM-GFCCVEGCSA-N 0 0 442.416 -0.268 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C(F)(F)F ZINC000685140796 1071167852 /nfs/dbraw/zinc/16/78/52/1071167852.db2.gz AKLWSDPYSDMKGM-LBPRGKRZSA-N 0 0 442.416 -0.268 20 0 IBADRN COCCC1(C)CN(C(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC000685141098 1071167657 /nfs/dbraw/zinc/16/76/57/1071167657.db2.gz GJHDGCWSSHOZKW-UHFFFAOYSA-N 0 0 439.538 -0.013 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC[C@@H](OC)C(F)(F)F)C1 ZINC000685141139 1071167737 /nfs/dbraw/zinc/16/77/37/1071167737.db2.gz QBUHDNIXIGVUHF-CHWSQXEVSA-N 0 0 447.476 -0.031 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC[C@H](OC)C(F)(F)F)C1 ZINC000685141140 1071167849 /nfs/dbraw/zinc/16/78/49/1071167849.db2.gz QBUHDNIXIGVUHF-OLZOCXBDSA-N 0 0 447.476 -0.031 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC[C@@H](OC)C(F)(F)F)C1 ZINC000685141141 1071167597 /nfs/dbraw/zinc/16/75/97/1071167597.db2.gz QBUHDNIXIGVUHF-QWHCGFSZSA-N 0 0 447.476 -0.031 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC[C@H](OC)C(F)(F)F)C1 ZINC000685141142 1071167836 /nfs/dbraw/zinc/16/78/36/1071167836.db2.gz QBUHDNIXIGVUHF-STQMWFEESA-N 0 0 447.476 -0.031 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)C(F)(F)F ZINC000685141239 1071167871 /nfs/dbraw/zinc/16/78/71/1071167871.db2.gz XRWYVXMSFQAYAO-CHWSQXEVSA-N 0 0 445.460 -0.277 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)C(F)(F)F ZINC000685141240 1071167891 /nfs/dbraw/zinc/16/78/91/1071167891.db2.gz XRWYVXMSFQAYAO-OLZOCXBDSA-N 0 0 445.460 -0.277 20 0 IBADRN CO[C@H](CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)C(F)(F)F ZINC000685141241 1071167697 /nfs/dbraw/zinc/16/76/97/1071167697.db2.gz XRWYVXMSFQAYAO-QWHCGFSZSA-N 0 0 445.460 -0.277 20 0 IBADRN CO[C@@H](CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)C(F)(F)F ZINC000685141242 1071168637 /nfs/dbraw/zinc/16/86/37/1071168637.db2.gz XRWYVXMSFQAYAO-STQMWFEESA-N 0 0 445.460 -0.277 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N(C)CCn2cc(Br)cn2)cc1C ZINC000685142084 1071168469 /nfs/dbraw/zinc/16/84/69/1071168469.db2.gz PQSQQEIJXAJRKV-UHFFFAOYSA-N 0 0 426.275 -0.006 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CCN1CCOC ZINC000685164278 1071174560 /nfs/dbraw/zinc/17/45/60/1071174560.db2.gz FYPAVZDGOSGHII-JFIYKMOQSA-N 0 0 434.541 -0.011 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CCN1CCOC ZINC000685164279 1071174662 /nfs/dbraw/zinc/17/46/62/1071174662.db2.gz FYPAVZDGOSGHII-JZXOWHBKSA-N 0 0 434.541 -0.011 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CCN1CCOC ZINC000685164280 1071174398 /nfs/dbraw/zinc/17/43/98/1071174398.db2.gz FYPAVZDGOSGHII-LZLYRXPVSA-N 0 0 434.541 -0.011 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CCN1CCOC ZINC000685164281 1071174637 /nfs/dbraw/zinc/17/46/37/1071174637.db2.gz FYPAVZDGOSGHII-NUJGCVRESA-N 0 0 434.541 -0.011 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C(=O)N1c1cnn(C)c1 ZINC000685164846 1071175442 /nfs/dbraw/zinc/17/54/42/1071175442.db2.gz CMYAWLMTJHTDLR-PBHICJAKSA-N 0 0 441.492 -0.758 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C(=O)N1c1cnn(C)c1 ZINC000685164847 1071175275 /nfs/dbraw/zinc/17/52/75/1071175275.db2.gz CMYAWLMTJHTDLR-RHSMWYFYSA-N 0 0 441.492 -0.758 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C(=O)N1c1cnn(C)c1 ZINC000685164848 1071175412 /nfs/dbraw/zinc/17/54/12/1071175412.db2.gz CMYAWLMTJHTDLR-WMLDXEAASA-N 0 0 441.492 -0.758 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCC(=O)N(C)CCc2ccccn2)C(=O)N1c1cnn(C)c1 ZINC000685164849 1071176329 /nfs/dbraw/zinc/17/63/29/1071176329.db2.gz CMYAWLMTJHTDLR-YOEHRIQHSA-N 0 0 441.492 -0.758 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685166191 1071176390 /nfs/dbraw/zinc/17/63/90/1071176390.db2.gz JNURJUIUQVAVGE-BLLLJJGKSA-N 0 0 448.505 -0.745 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685166192 1071176134 /nfs/dbraw/zinc/17/61/34/1071176134.db2.gz JNURJUIUQVAVGE-LRDDRELGSA-N 0 0 448.505 -0.745 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685166193 1071176172 /nfs/dbraw/zinc/17/61/72/1071176172.db2.gz JNURJUIUQVAVGE-MLGOLLRUSA-N 0 0 448.505 -0.745 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685166194 1071176202 /nfs/dbraw/zinc/17/62/02/1071176202.db2.gz JNURJUIUQVAVGE-WBMJQRKESA-N 0 0 448.505 -0.745 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685166207 1071176107 /nfs/dbraw/zinc/17/61/07/1071176107.db2.gz JQNRMZJYKBWTIF-DZGCQCFKSA-N 0 0 442.480 -0.823 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685166208 1071176213 /nfs/dbraw/zinc/17/62/13/1071176213.db2.gz JQNRMZJYKBWTIF-HIFRSBDPSA-N 0 0 442.480 -0.823 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685166209 1071176319 /nfs/dbraw/zinc/17/63/19/1071176319.db2.gz JQNRMZJYKBWTIF-UKRRQHHQSA-N 0 0 442.480 -0.823 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685166210 1071176383 /nfs/dbraw/zinc/17/63/83/1071176383.db2.gz JQNRMZJYKBWTIF-ZFWWWQNUSA-N 0 0 442.480 -0.823 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685166666 1071178012 /nfs/dbraw/zinc/17/80/12/1071178012.db2.gz AMLIDRLOBQJXCJ-ADEWGFFLSA-N 0 0 430.387 -0.691 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685166670 1071177824 /nfs/dbraw/zinc/17/78/24/1071177824.db2.gz AMLIDRLOBQJXCJ-JLLWLGSASA-N 0 0 430.387 -0.691 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685166674 1071177940 /nfs/dbraw/zinc/17/79/40/1071177940.db2.gz AMLIDRLOBQJXCJ-USWWRNFRSA-N 0 0 430.387 -0.691 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C(=O)N1c1cnn(C)c1 ZINC000685166679 1071177885 /nfs/dbraw/zinc/17/78/85/1071177885.db2.gz NKRBGMPNVHCWKY-PBHICJAKSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685166680 1071177804 /nfs/dbraw/zinc/17/78/04/1071177804.db2.gz AMLIDRLOBQJXCJ-YUSALJHKSA-N 0 0 430.387 -0.691 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C(=O)N1c1cnn(C)c1 ZINC000685166698 1071177951 /nfs/dbraw/zinc/17/79/51/1071177951.db2.gz NKRBGMPNVHCWKY-RHSMWYFYSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C(=O)N1c1cnn(C)c1 ZINC000685166701 1071177788 /nfs/dbraw/zinc/17/77/88/1071177788.db2.gz NKRBGMPNVHCWKY-WMLDXEAASA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2ccc(N3CCOCC3)nc2)C(=O)N1c1cnn(C)c1 ZINC000685166703 1071177813 /nfs/dbraw/zinc/17/78/13/1071177813.db2.gz NKRBGMPNVHCWKY-YOEHRIQHSA-N 0 0 441.492 -0.422 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000685167082 1071176842 /nfs/dbraw/zinc/17/68/42/1071176842.db2.gz BOZICISNYVGWIO-BBRMVZONSA-N 0 0 430.465 -0.233 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000685167097 1071176973 /nfs/dbraw/zinc/17/69/73/1071176973.db2.gz BOZICISNYVGWIO-CJNGLKHVSA-N 0 0 430.465 -0.233 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000685167099 1071177030 /nfs/dbraw/zinc/17/70/30/1071177030.db2.gz BOZICISNYVGWIO-CZUORRHYSA-N 0 0 430.465 -0.233 20 0 IBADRN COCCOc1ncccc1CNC(=O)C(=O)N[C@@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000685167102 1071177042 /nfs/dbraw/zinc/17/70/42/1071177042.db2.gz BOZICISNYVGWIO-XJKSGUPXSA-N 0 0 430.465 -0.233 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685167151 1071176987 /nfs/dbraw/zinc/17/69/87/1071176987.db2.gz BSNIEDANYRLZJH-BBRMVZONSA-N 0 0 426.481 -0.523 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685167172 1071176879 /nfs/dbraw/zinc/17/68/79/1071176879.db2.gz BSNIEDANYRLZJH-CJNGLKHVSA-N 0 0 426.481 -0.523 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685167174 1071176887 /nfs/dbraw/zinc/17/68/87/1071176887.db2.gz BSNIEDANYRLZJH-CZUORRHYSA-N 0 0 426.481 -0.523 20 0 IBADRN Cc1nccnc1N1CCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685167176 1071176961 /nfs/dbraw/zinc/17/69/61/1071176961.db2.gz BSNIEDANYRLZJH-XJKSGUPXSA-N 0 0 426.481 -0.523 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000685167312 1071176872 /nfs/dbraw/zinc/17/68/72/1071176872.db2.gz CKGCIZRUEIGMIO-BLLLJJGKSA-N 0 0 433.490 -0.250 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000685167316 1071176906 /nfs/dbraw/zinc/17/69/06/1071176906.db2.gz CKGCIZRUEIGMIO-LRDDRELGSA-N 0 0 433.490 -0.250 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000685167317 1071176808 /nfs/dbraw/zinc/17/68/08/1071176808.db2.gz CKGCIZRUEIGMIO-MLGOLLRUSA-N 0 0 433.490 -0.250 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2ccc(S(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000685167319 1071178009 /nfs/dbraw/zinc/17/80/09/1071178009.db2.gz CKGCIZRUEIGMIO-WBMJQRKESA-N 0 0 433.490 -0.250 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685167434 1071176898 /nfs/dbraw/zinc/17/68/98/1071176898.db2.gz QTUHNSCCOBXKNI-BBRMVZONSA-N 0 0 431.522 -0.169 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685167435 1071176913 /nfs/dbraw/zinc/17/69/13/1071176913.db2.gz QTUHNSCCOBXKNI-CJNGLKHVSA-N 0 0 431.522 -0.169 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685167436 1071177000 /nfs/dbraw/zinc/17/70/00/1071177000.db2.gz QTUHNSCCOBXKNI-CZUORRHYSA-N 0 0 431.522 -0.169 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3cscn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685167437 1071176829 /nfs/dbraw/zinc/17/68/29/1071176829.db2.gz QTUHNSCCOBXKNI-XJKSGUPXSA-N 0 0 431.522 -0.169 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685167523 1071179618 /nfs/dbraw/zinc/17/96/18/1071179618.db2.gz HROIXVUWYGFQGZ-BBRMVZONSA-N 0 0 429.456 -0.087 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685167524 1071180618 /nfs/dbraw/zinc/18/06/18/1071180618.db2.gz HROIXVUWYGFQGZ-CJNGLKHVSA-N 0 0 429.456 -0.087 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685167525 1071180520 /nfs/dbraw/zinc/18/05/20/1071180520.db2.gz HROIXVUWYGFQGZ-CZUORRHYSA-N 0 0 429.456 -0.087 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685167526 1071180486 /nfs/dbraw/zinc/18/04/86/1071180486.db2.gz HROIXVUWYGFQGZ-XJKSGUPXSA-N 0 0 429.456 -0.087 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685167731 1071178756 /nfs/dbraw/zinc/17/87/56/1071178756.db2.gz SOECWNDUECYEDE-DOTOQJQBSA-N 0 0 447.540 -0.957 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685167735 1071178682 /nfs/dbraw/zinc/17/86/82/1071178682.db2.gz SOECWNDUECYEDE-NVXWUHKLSA-N 0 0 447.540 -0.957 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685167736 1071178919 /nfs/dbraw/zinc/17/89/19/1071178919.db2.gz SOECWNDUECYEDE-RDJZCZTQSA-N 0 0 447.540 -0.957 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685167737 1071178855 /nfs/dbraw/zinc/17/88/55/1071178855.db2.gz SOECWNDUECYEDE-WBVHZDCISA-N 0 0 447.540 -0.957 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000685167798 1071178665 /nfs/dbraw/zinc/17/86/65/1071178665.db2.gz SUNGNBNXULVVHI-BBRMVZONSA-N 0 0 433.490 -0.380 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000685167804 1071178864 /nfs/dbraw/zinc/17/88/64/1071178864.db2.gz SUNGNBNXULVVHI-CJNGLKHVSA-N 0 0 433.490 -0.380 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000685167806 1071178748 /nfs/dbraw/zinc/17/87/48/1071178748.db2.gz SUNGNBNXULVVHI-CZUORRHYSA-N 0 0 433.490 -0.380 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000685167808 1071178674 /nfs/dbraw/zinc/17/86/74/1071178674.db2.gz SUNGNBNXULVVHI-XJKSGUPXSA-N 0 0 433.490 -0.380 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)C1 ZINC000685168048 1071179821 /nfs/dbraw/zinc/17/98/21/1071179821.db2.gz UKTSOZMREFLLEZ-HWMZRRJGSA-N 0 0 448.524 -0.580 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)C1 ZINC000685168053 1071179697 /nfs/dbraw/zinc/17/96/97/1071179697.db2.gz UKTSOZMREFLLEZ-KLZNWCGWSA-N 0 0 448.524 -0.580 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)C1 ZINC000685168055 1071179784 /nfs/dbraw/zinc/17/97/84/1071179784.db2.gz UKTSOZMREFLLEZ-LJIGWXMPSA-N 0 0 448.524 -0.580 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)C1 ZINC000685168059 1071179809 /nfs/dbraw/zinc/17/98/09/1071179809.db2.gz UKTSOZMREFLLEZ-MPTYRVRUSA-N 0 0 448.524 -0.580 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168087 1071179842 /nfs/dbraw/zinc/17/98/42/1071179842.db2.gz GLZFDBQTIFXDFL-DZGCQCFKSA-N 0 0 433.513 -0.318 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168091 1071179853 /nfs/dbraw/zinc/17/98/53/1071179853.db2.gz GLZFDBQTIFXDFL-HIFRSBDPSA-N 0 0 433.513 -0.318 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168092 1071179653 /nfs/dbraw/zinc/17/96/53/1071179653.db2.gz GLZFDBQTIFXDFL-UKRRQHHQSA-N 0 0 433.513 -0.318 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168094 1071179764 /nfs/dbraw/zinc/17/97/64/1071179764.db2.gz GLZFDBQTIFXDFL-ZFWWWQNUSA-N 0 0 433.513 -0.318 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)on1 ZINC000685168157 1071179636 /nfs/dbraw/zinc/17/96/36/1071179636.db2.gz VDPHCONQMVUVNT-PBHICJAKSA-N 0 0 429.481 -0.329 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)on1 ZINC000685168158 1071179798 /nfs/dbraw/zinc/17/97/98/1071179798.db2.gz VDPHCONQMVUVNT-RHSMWYFYSA-N 0 0 429.481 -0.329 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)on1 ZINC000685168159 1071179708 /nfs/dbraw/zinc/17/97/08/1071179708.db2.gz VDPHCONQMVUVNT-WMLDXEAASA-N 0 0 429.481 -0.329 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)on1 ZINC000685168161 1071179723 /nfs/dbraw/zinc/17/97/23/1071179723.db2.gz VDPHCONQMVUVNT-YOEHRIQHSA-N 0 0 429.481 -0.329 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168312 1071179828 /nfs/dbraw/zinc/17/98/28/1071179828.db2.gz VYTAMPDJLUBEJU-CXAGYDPISA-N 0 0 440.508 -0.021 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168315 1071179595 /nfs/dbraw/zinc/17/95/95/1071179595.db2.gz VYTAMPDJLUBEJU-DYVFJYSZSA-N 0 0 440.508 -0.021 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168317 1071179669 /nfs/dbraw/zinc/17/96/69/1071179669.db2.gz VYTAMPDJLUBEJU-GUYCJALGSA-N 0 0 440.508 -0.021 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168319 1071179733 /nfs/dbraw/zinc/17/97/33/1071179733.db2.gz VYTAMPDJLUBEJU-SUMWQHHRSA-N 0 0 440.508 -0.021 20 0 IBADRN COc1ccc(CN(C)C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1OC ZINC000685168335 1071178904 /nfs/dbraw/zinc/17/89/04/1071178904.db2.gz BOJMKTUGHODGQZ-UHFFFAOYSA-N 0 0 440.478 -0.114 20 0 IBADRN C[C@H]1CN(Cc2ccccc2)CCN1C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000685168414 1071182256 /nfs/dbraw/zinc/18/22/56/1071182256.db2.gz CKALBNMJOWAKFX-INIZCTEOSA-N 0 0 449.533 -0.057 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCCc2nnc3n2CCCCC3)C(=O)N1c1cnn(C)c1 ZINC000685168702 1071180573 /nfs/dbraw/zinc/18/05/73/1071180573.db2.gz MORUYKBAPKQMNJ-DZGCQCFKSA-N 0 0 428.497 -0.293 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCCc2nnc3n2CCCCC3)C(=O)N1c1cnn(C)c1 ZINC000685168703 1071180731 /nfs/dbraw/zinc/18/07/31/1071180731.db2.gz MORUYKBAPKQMNJ-HIFRSBDPSA-N 0 0 428.497 -0.293 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCc2nnc3n2CCCCC3)C(=O)N1c1cnn(C)c1 ZINC000685168704 1071180556 /nfs/dbraw/zinc/18/05/56/1071180556.db2.gz MORUYKBAPKQMNJ-UKRRQHHQSA-N 0 0 428.497 -0.293 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCCc2nnc3n2CCCCC3)C(=O)N1c1cnn(C)c1 ZINC000685168705 1071180472 /nfs/dbraw/zinc/18/04/72/1071180472.db2.gz MORUYKBAPKQMNJ-ZFWWWQNUSA-N 0 0 428.497 -0.293 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)C(=O)N1c1cnn(C)c1 ZINC000685168744 1071180627 /nfs/dbraw/zinc/18/06/27/1071180627.db2.gz WXRIAFIPXAOBIE-DVOMOZLQSA-N 0 0 429.456 -0.041 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)C(=O)N1c1cnn(C)c1 ZINC000685168747 1071180790 /nfs/dbraw/zinc/18/07/90/1071180790.db2.gz WXRIAFIPXAOBIE-IOASZLSFSA-N 0 0 429.456 -0.041 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168831 1071180751 /nfs/dbraw/zinc/18/07/51/1071180751.db2.gz AAGOXNVHZLZZPQ-PBHICJAKSA-N 0 0 428.497 -0.892 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168840 1071180540 /nfs/dbraw/zinc/18/05/40/1071180540.db2.gz AAGOXNVHZLZZPQ-RHSMWYFYSA-N 0 0 428.497 -0.892 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168843 1071180771 /nfs/dbraw/zinc/18/07/71/1071180771.db2.gz AAGOXNVHZLZZPQ-WMLDXEAASA-N 0 0 428.497 -0.892 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3cnn(C)c3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685168845 1071180804 /nfs/dbraw/zinc/18/08/04/1071180804.db2.gz AAGOXNVHZLZZPQ-YOEHRIQHSA-N 0 0 428.497 -0.892 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCN(c3ncccc3F)C2)C(=O)N1c1cnn(C)c1 ZINC000685169020 1071180603 /nfs/dbraw/zinc/18/06/03/1071180603.db2.gz WXRIAFIPXAOBIE-WWGRRREGSA-N 0 0 429.456 -0.041 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCN(c3ncccc3F)C2)C(=O)N1c1cnn(C)c1 ZINC000685169024 1071180821 /nfs/dbraw/zinc/18/08/21/1071180821.db2.gz WXRIAFIPXAOBIE-XJKCOSOUSA-N 0 0 429.456 -0.041 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000685169188 1071181562 /nfs/dbraw/zinc/18/15/62/1071181562.db2.gz OTNHJVUTVSPWIL-CXAGYDPISA-N 0 0 447.517 -0.109 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000685169191 1071181550 /nfs/dbraw/zinc/18/15/50/1071181550.db2.gz OTNHJVUTVSPWIL-DYVFJYSZSA-N 0 0 447.517 -0.109 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000685169308 1071181446 /nfs/dbraw/zinc/18/14/46/1071181446.db2.gz OTNHJVUTVSPWIL-GUYCJALGSA-N 0 0 447.517 -0.109 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2ccc(CS(C)(=O)=O)cc2)C(=O)N1c1cnn(C)c1 ZINC000685169313 1071181470 /nfs/dbraw/zinc/18/14/70/1071181470.db2.gz OTNHJVUTVSPWIL-SUMWQHHRSA-N 0 0 447.517 -0.109 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000685169561 1071182986 /nfs/dbraw/zinc/18/29/86/1071182986.db2.gz YZWVXZHTTONTPD-BBRMVZONSA-N 0 0 448.505 -0.875 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000685169564 1071182953 /nfs/dbraw/zinc/18/29/53/1071182953.db2.gz YZWVXZHTTONTPD-CJNGLKHVSA-N 0 0 448.505 -0.875 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000685169568 1071182925 /nfs/dbraw/zinc/18/29/25/1071182925.db2.gz YZWVXZHTTONTPD-CZUORRHYSA-N 0 0 448.505 -0.875 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000685169572 1071182943 /nfs/dbraw/zinc/18/29/43/1071182943.db2.gz YZWVXZHTTONTPD-XJKSGUPXSA-N 0 0 448.505 -0.875 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685169673 1071182817 /nfs/dbraw/zinc/18/28/17/1071182817.db2.gz JXSCFXVYGAAIMI-BBRMVZONSA-N 0 0 427.465 -0.110 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685169674 1071182787 /nfs/dbraw/zinc/18/27/87/1071182787.db2.gz JXSCFXVYGAAIMI-CJNGLKHVSA-N 0 0 427.465 -0.110 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685169675 1071183028 /nfs/dbraw/zinc/18/30/28/1071183028.db2.gz JXSCFXVYGAAIMI-CZUORRHYSA-N 0 0 427.465 -0.110 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCC(Oc3cnccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685169676 1071182913 /nfs/dbraw/zinc/18/29/13/1071182913.db2.gz JXSCFXVYGAAIMI-XJKSGUPXSA-N 0 0 427.465 -0.110 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n[nH]1 ZINC000685169685 1071182780 /nfs/dbraw/zinc/18/27/80/1071182780.db2.gz JZSSCXLJOVXMGP-BBRMVZONSA-N 0 0 428.497 -0.153 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n[nH]1 ZINC000685169686 1071182760 /nfs/dbraw/zinc/18/27/60/1071182760.db2.gz JZSSCXLJOVXMGP-CJNGLKHVSA-N 0 0 428.497 -0.153 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n[nH]1 ZINC000685169687 1071183015 /nfs/dbraw/zinc/18/30/15/1071183015.db2.gz JZSSCXLJOVXMGP-CZUORRHYSA-N 0 0 428.497 -0.153 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n[nH]1 ZINC000685169688 1071182804 /nfs/dbraw/zinc/18/28/04/1071182804.db2.gz JZSSCXLJOVXMGP-XJKSGUPXSA-N 0 0 428.497 -0.153 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685169747 1071183004 /nfs/dbraw/zinc/18/30/04/1071183004.db2.gz ZXWORSUBSHWCAC-DZGCQCFKSA-N 0 0 428.449 -0.392 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685169748 1071182863 /nfs/dbraw/zinc/18/28/63/1071182863.db2.gz ZXWORSUBSHWCAC-HIFRSBDPSA-N 0 0 428.449 -0.392 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685169749 1071182832 /nfs/dbraw/zinc/18/28/32/1071182832.db2.gz ZXWORSUBSHWCAC-UKRRQHHQSA-N 0 0 428.449 -0.392 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)c3ccco3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685169750 1071183887 /nfs/dbraw/zinc/18/38/87/1071183887.db2.gz ZXWORSUBSHWCAC-ZFWWWQNUSA-N 0 0 428.449 -0.392 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685169859 1071183693 /nfs/dbraw/zinc/18/36/93/1071183693.db2.gz BOIOCNJMESWWKR-BPLDGKMQSA-N 0 0 442.480 -0.925 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685169863 1071183815 /nfs/dbraw/zinc/18/38/15/1071183815.db2.gz BOIOCNJMESWWKR-SNPRPXQTSA-N 0 0 442.480 -0.925 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685169868 1071183837 /nfs/dbraw/zinc/18/38/37/1071183837.db2.gz BOIOCNJMESWWKR-VHDGCEQUSA-N 0 0 442.480 -0.925 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685169873 1071183832 /nfs/dbraw/zinc/18/38/32/1071183832.db2.gz BOIOCNJMESWWKR-YUELXQCFSA-N 0 0 442.480 -0.925 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685170091 1071182396 /nfs/dbraw/zinc/18/23/96/1071182396.db2.gz KWEUERZEBUXXQB-UXLLHSPISA-N 0 0 447.540 -0.911 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685170092 1071182349 /nfs/dbraw/zinc/18/23/49/1071182349.db2.gz KWEUERZEBUXXQB-YQQAZPJKSA-N 0 0 447.540 -0.911 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685170093 1071182365 /nfs/dbraw/zinc/18/23/65/1071182365.db2.gz KWEUERZEBUXXQB-ZMSDIMECSA-N 0 0 447.540 -0.911 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685170094 1071182139 /nfs/dbraw/zinc/18/21/39/1071182139.db2.gz KWEUERZEBUXXQB-ZOBUZTSGSA-N 0 0 447.540 -0.911 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N(C)C2CCN(c3cnccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685170103 1071182332 /nfs/dbraw/zinc/18/23/32/1071182332.db2.gz KXRIISPAQLPXBT-PBHICJAKSA-N 0 0 440.508 -0.053 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N(C)C2CCN(c3cnccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685170104 1071182200 /nfs/dbraw/zinc/18/22/00/1071182200.db2.gz KXRIISPAQLPXBT-RHSMWYFYSA-N 0 0 440.508 -0.053 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N(C)C2CCN(c3cnccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685170105 1071182102 /nfs/dbraw/zinc/18/21/02/1071182102.db2.gz KXRIISPAQLPXBT-WMLDXEAASA-N 0 0 440.508 -0.053 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N(C)C2CCN(c3cnccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685170106 1071182152 /nfs/dbraw/zinc/18/21/52/1071182152.db2.gz KXRIISPAQLPXBT-YOEHRIQHSA-N 0 0 440.508 -0.053 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000685170159 1071182301 /nfs/dbraw/zinc/18/23/01/1071182301.db2.gz LHGFTBYXEKNQSL-BLLLJJGKSA-N 0 0 428.449 -0.789 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000685170160 1071182085 /nfs/dbraw/zinc/18/20/85/1071182085.db2.gz LHGFTBYXEKNQSL-LRDDRELGSA-N 0 0 428.449 -0.789 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000685170161 1071182317 /nfs/dbraw/zinc/18/23/17/1071182317.db2.gz LHGFTBYXEKNQSL-MLGOLLRUSA-N 0 0 428.449 -0.789 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2cccc(OCC(N)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000685170162 1071182217 /nfs/dbraw/zinc/18/22/17/1071182217.db2.gz LHGFTBYXEKNQSL-WBMJQRKESA-N 0 0 428.449 -0.789 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(Cc2cccs2)CC1 ZINC000685170181 1071182235 /nfs/dbraw/zinc/18/22/35/1071182235.db2.gz OXKWJNXQPDIPKY-UHFFFAOYSA-N 0 0 441.535 -0.384 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C(=O)N1c1cnn(C)c1 ZINC000685170251 1071182244 /nfs/dbraw/zinc/18/22/44/1071182244.db2.gz SHIQFFYAYUFIRO-PBHICJAKSA-N 0 0 441.492 -0.422 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CC[C@@]2(C1)OCc1ccccc12 ZINC000685170252 1071182846 /nfs/dbraw/zinc/18/28/46/1071182846.db2.gz QHDJSVYVKPSGQO-IBGZPJMESA-N 0 0 434.474 -0.132 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C(=O)N1c1cnn(C)c1 ZINC000685170253 1071182897 /nfs/dbraw/zinc/18/28/97/1071182897.db2.gz SHIQFFYAYUFIRO-RHSMWYFYSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C(=O)N1c1cnn(C)c1 ZINC000685170254 1071182884 /nfs/dbraw/zinc/18/28/84/1071182884.db2.gz SHIQFFYAYUFIRO-WMLDXEAASA-N 0 0 441.492 -0.422 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CC[C@]2(C1)OCc1ccccc12 ZINC000685170255 1071182899 /nfs/dbraw/zinc/18/28/99/1071182899.db2.gz QHDJSVYVKPSGQO-LJQANCHMSA-N 0 0 434.474 -0.132 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2cccc(N3CCOCC3)n2)C(=O)N1c1cnn(C)c1 ZINC000685170256 1071182921 /nfs/dbraw/zinc/18/29/21/1071182921.db2.gz SHIQFFYAYUFIRO-YOEHRIQHSA-N 0 0 441.492 -0.422 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)ccc1OCCO ZINC000685170330 1071185753 /nfs/dbraw/zinc/18/57/53/1071185753.db2.gz ROBISJCYDKIRRD-BBRMVZONSA-N 0 0 445.476 -0.274 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)ccc1OCCO ZINC000685170334 1071185745 /nfs/dbraw/zinc/18/57/45/1071185745.db2.gz ROBISJCYDKIRRD-CJNGLKHVSA-N 0 0 445.476 -0.274 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)ccc1OCCO ZINC000685170335 1071185658 /nfs/dbraw/zinc/18/56/58/1071185658.db2.gz ROBISJCYDKIRRD-CZUORRHYSA-N 0 0 445.476 -0.274 20 0 IBADRN COc1cc(CNC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)ccc1OCCO ZINC000685170336 1071185557 /nfs/dbraw/zinc/18/55/57/1071185557.db2.gz ROBISJCYDKIRRD-XJKSGUPXSA-N 0 0 445.476 -0.274 20 0 IBADRN COc1ccc(CN(C)C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c(OC)c1 ZINC000685170373 1071185642 /nfs/dbraw/zinc/18/56/42/1071185642.db2.gz SEDGXTVCALSKRX-UHFFFAOYSA-N 0 0 440.478 -0.114 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685170394 1071185573 /nfs/dbraw/zinc/18/55/73/1071185573.db2.gz DASQSABBOSXSMW-DOMZBBRYSA-N 0 0 446.537 -0.071 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685170404 1071185726 /nfs/dbraw/zinc/18/57/26/1071185726.db2.gz DASQSABBOSXSMW-IUODEOHRSA-N 0 0 446.537 -0.071 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685170408 1071185632 /nfs/dbraw/zinc/18/56/32/1071185632.db2.gz DASQSABBOSXSMW-SWLSCSKDSA-N 0 0 446.537 -0.071 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685170411 1071185617 /nfs/dbraw/zinc/18/56/17/1071185617.db2.gz DASQSABBOSXSMW-WFASDCNBSA-N 0 0 446.537 -0.071 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)C2)n(C)n1 ZINC000685170422 1071185735 /nfs/dbraw/zinc/18/57/35/1071185735.db2.gz DFNZQERCILYFOJ-HLLBOEOZSA-N 0 0 442.524 -0.143 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)C2)n(C)n1 ZINC000685170425 1071185564 /nfs/dbraw/zinc/18/55/64/1071185564.db2.gz DFNZQERCILYFOJ-VYDXJSESSA-N 0 0 442.524 -0.143 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)C2)n(C)n1 ZINC000685170427 1071185548 /nfs/dbraw/zinc/18/55/48/1071185548.db2.gz DFNZQERCILYFOJ-YQQAZPJKSA-N 0 0 442.524 -0.143 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)C2)n(C)n1 ZINC000685170429 1071185531 /nfs/dbraw/zinc/18/55/31/1071185531.db2.gz DFNZQERCILYFOJ-ZOBUZTSGSA-N 0 0 442.524 -0.143 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685170508 1071186396 /nfs/dbraw/zinc/18/63/96/1071186396.db2.gz DXYZOPSSEIQBQL-BGTYHANMSA-N 0 0 432.525 -0.210 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685170516 1071186696 /nfs/dbraw/zinc/18/66/96/1071186696.db2.gz DXYZOPSSEIQBQL-LAVFITLUSA-N 0 0 432.525 -0.210 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685170521 1071186714 /nfs/dbraw/zinc/18/67/14/1071186714.db2.gz DXYZOPSSEIQBQL-NRSFXHEJSA-N 0 0 432.525 -0.210 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685170523 1071186364 /nfs/dbraw/zinc/18/63/64/1071186364.db2.gz DXYZOPSSEIQBQL-UBDQQSCGSA-N 0 0 432.525 -0.210 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)C(=O)N1c1cnn(C)c1 ZINC000685170617 1071186672 /nfs/dbraw/zinc/18/66/72/1071186672.db2.gz MAFAKUUTIDQBQB-FRFSOERESA-N 0 0 430.509 -0.063 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)C(=O)N1c1cnn(C)c1 ZINC000685170620 1071186543 /nfs/dbraw/zinc/18/65/43/1071186543.db2.gz MAFAKUUTIDQBQB-KMFMINBZSA-N 0 0 430.509 -0.063 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)C(=O)N1c1cnn(C)c1 ZINC000685170621 1071186640 /nfs/dbraw/zinc/18/66/40/1071186640.db2.gz MAFAKUUTIDQBQB-UKPHBRMFSA-N 0 0 430.509 -0.063 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)C(=O)N1c1cnn(C)c1 ZINC000685170622 1071186653 /nfs/dbraw/zinc/18/66/53/1071186653.db2.gz MAFAKUUTIDQBQB-UNEWFSDZSA-N 0 0 430.509 -0.063 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCC(c3nnc4n3CCC4)CC2)C(=O)N1c1cnn(C)c1 ZINC000685170649 1071186508 /nfs/dbraw/zinc/18/65/08/1071186508.db2.gz SPKBXIQPGWOVBP-BBRMVZONSA-N 0 0 440.508 -0.026 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC(c3nnc4n3CCC4)CC2)C(=O)N1c1cnn(C)c1 ZINC000685170656 1071186627 /nfs/dbraw/zinc/18/66/27/1071186627.db2.gz SPKBXIQPGWOVBP-CJNGLKHVSA-N 0 0 440.508 -0.026 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCC(c3nnc4n3CCC4)CC2)C(=O)N1c1cnn(C)c1 ZINC000685170660 1071186618 /nfs/dbraw/zinc/18/66/18/1071186618.db2.gz SPKBXIQPGWOVBP-CZUORRHYSA-N 0 0 440.508 -0.026 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCC(c3nnc4n3CCC4)CC2)C(=O)N1c1cnn(C)c1 ZINC000685170663 1071186737 /nfs/dbraw/zinc/18/67/37/1071186737.db2.gz SPKBXIQPGWOVBP-XJKSGUPXSA-N 0 0 440.508 -0.026 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)C(=O)N1c1cnn(C)c1 ZINC000685170704 1071186678 /nfs/dbraw/zinc/18/66/78/1071186678.db2.gz UABXHWAUBQBXKW-GWCFXTLKSA-N 0 0 439.519 -0.189 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)C(=O)N1c1cnn(C)c1 ZINC000685170710 1071183970 /nfs/dbraw/zinc/18/39/70/1071183970.db2.gz UABXHWAUBQBXKW-GXFFZTMASA-N 0 0 439.519 -0.189 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)C(=O)N1c1cnn(C)c1 ZINC000685170712 1071183913 /nfs/dbraw/zinc/18/39/13/1071183913.db2.gz UABXHWAUBQBXKW-MFKMUULPSA-N 0 0 439.519 -0.189 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2ccc(S(C)(=O)=O)s2)C(=O)N1c1cnn(C)c1 ZINC000685170716 1071183754 /nfs/dbraw/zinc/18/37/54/1071183754.db2.gz UABXHWAUBQBXKW-ZWNOBZJWSA-N 0 0 439.519 -0.189 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685170742 1071183728 /nfs/dbraw/zinc/18/37/28/1071183728.db2.gz VEVXNBWCZHKRJE-PBHICJAKSA-N 0 0 447.540 -0.863 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685170745 1071183986 /nfs/dbraw/zinc/18/39/86/1071183986.db2.gz VEVXNBWCZHKRJE-RHSMWYFYSA-N 0 0 447.540 -0.863 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685170747 1071183939 /nfs/dbraw/zinc/18/39/39/1071183939.db2.gz VEVXNBWCZHKRJE-WMLDXEAASA-N 0 0 447.540 -0.863 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000685170748 1071183719 /nfs/dbraw/zinc/18/37/19/1071183719.db2.gz VEVXNBWCZHKRJE-YOEHRIQHSA-N 0 0 447.540 -0.863 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C(=O)N1c1cnn(C)c1 ZINC000685170820 1071184612 /nfs/dbraw/zinc/18/46/12/1071184612.db2.gz XERXCAFUDURPHI-CXAGYDPISA-N 0 0 444.467 -0.356 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C(=O)N1c1cnn(C)c1 ZINC000685170822 1071184866 /nfs/dbraw/zinc/18/48/66/1071184866.db2.gz XERXCAFUDURPHI-DYVFJYSZSA-N 0 0 444.467 -0.356 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C(=O)N1c1cnn(C)c1 ZINC000685170823 1071184974 /nfs/dbraw/zinc/18/49/74/1071184974.db2.gz XERXCAFUDURPHI-GUYCJALGSA-N 0 0 444.467 -0.356 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCCNC(=O)Cc2cccc(F)c2)C(=O)N1c1cnn(C)c1 ZINC000685170825 1071184811 /nfs/dbraw/zinc/18/48/11/1071184811.db2.gz XERXCAFUDURPHI-SUMWQHHRSA-N 0 0 444.467 -0.356 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)N[C@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000685170886 1071184960 /nfs/dbraw/zinc/18/49/60/1071184960.db2.gz FIBYFZBSGIUDDV-DQYPLSBCSA-N 0 0 443.508 -0.019 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)N[C@@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O ZINC000685170887 1071184790 /nfs/dbraw/zinc/18/47/90/1071184790.db2.gz FIBYFZBSGIUDDV-JLZZUVOBSA-N 0 0 443.508 -0.019 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)N[C@@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000685170888 1071184819 /nfs/dbraw/zinc/18/48/19/1071184819.db2.gz FIBYFZBSGIUDDV-VUCTXSBTSA-N 0 0 443.508 -0.019 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)C(=O)N[C@H]1C[C@H](C)N(c2cnn(C)c2)C1=O ZINC000685170889 1071185046 /nfs/dbraw/zinc/18/50/46/1071185046.db2.gz FIBYFZBSGIUDDV-ZLIFDBKOSA-N 0 0 443.508 -0.019 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685170906 1071184721 /nfs/dbraw/zinc/18/47/21/1071184721.db2.gz FXFXWWPZYONXGH-GXTWGEPZSA-N 0 0 446.537 -0.207 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685170907 1071184883 /nfs/dbraw/zinc/18/48/83/1071184883.db2.gz FXFXWWPZYONXGH-JSGCOSHPSA-N 0 0 446.537 -0.207 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685170908 1071184851 /nfs/dbraw/zinc/18/48/51/1071184851.db2.gz FXFXWWPZYONXGH-OCCSQVGLSA-N 0 0 446.537 -0.207 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685170909 1071184703 /nfs/dbraw/zinc/18/47/03/1071184703.db2.gz FXFXWWPZYONXGH-TZMCWYRMSA-N 0 0 446.537 -0.207 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(Cc2ccccc2)CC1 ZINC000685170940 1071184825 /nfs/dbraw/zinc/18/48/25/1071184825.db2.gz YHMCHTFKMNQMOG-UHFFFAOYSA-N 0 0 435.506 -0.445 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685171208 1071185762 /nfs/dbraw/zinc/18/57/62/1071185762.db2.gz CNEDFKRWMOIYFP-CRAIPNDOSA-N 0 0 425.493 -0.231 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685171215 1071185603 /nfs/dbraw/zinc/18/56/03/1071185603.db2.gz CNEDFKRWMOIYFP-MAUKXSAKSA-N 0 0 425.493 -0.231 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685171218 1071185674 /nfs/dbraw/zinc/18/56/74/1071185674.db2.gz CNEDFKRWMOIYFP-QAPCUYQASA-N 0 0 425.493 -0.231 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685171221 1071185772 /nfs/dbraw/zinc/18/57/72/1071185772.db2.gz CNEDFKRWMOIYFP-YJBOKZPZSA-N 0 0 425.493 -0.231 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N(C)CC(C)(C)CN2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685171840 1071188399 /nfs/dbraw/zinc/18/83/99/1071188399.db2.gz WYERSGKPZMQKMB-DOTOQJQBSA-N 0 0 434.541 -0.153 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N(C)CC(C)(C)CN2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685171842 1071188252 /nfs/dbraw/zinc/18/82/52/1071188252.db2.gz WYERSGKPZMQKMB-NVXWUHKLSA-N 0 0 434.541 -0.153 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N(C)CC(C)(C)CN2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685171843 1071188277 /nfs/dbraw/zinc/18/82/77/1071188277.db2.gz WYERSGKPZMQKMB-RDJZCZTQSA-N 0 0 434.541 -0.153 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N(C)CC(C)(C)CN2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685171844 1071188388 /nfs/dbraw/zinc/18/83/88/1071188388.db2.gz WYERSGKPZMQKMB-WBVHZDCISA-N 0 0 434.541 -0.153 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000685171880 1071188447 /nfs/dbraw/zinc/18/84/47/1071188447.db2.gz PARFVGMOVIHPMS-CXAGYDPISA-N 0 0 447.517 -0.109 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000685171884 1071188322 /nfs/dbraw/zinc/18/83/22/1071188322.db2.gz PARFVGMOVIHPMS-DYVFJYSZSA-N 0 0 447.517 -0.109 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685171922 1071188293 /nfs/dbraw/zinc/18/82/93/1071188293.db2.gz XUQQLIJVGLZBNG-COXVUDFISA-N 0 0 439.476 -0.263 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685171923 1071188333 /nfs/dbraw/zinc/18/83/33/1071188333.db2.gz XUQQLIJVGLZBNG-KBRIMQKVSA-N 0 0 439.476 -0.263 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3cccnc3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685171924 1071188465 /nfs/dbraw/zinc/18/84/65/1071188465.db2.gz XUQQLIJVGLZBNG-XOKHGSTOSA-N 0 0 439.476 -0.263 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3cccnc3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685171925 1071188381 /nfs/dbraw/zinc/18/83/81/1071188381.db2.gz XUQQLIJVGLZBNG-XYPHTWIQSA-N 0 0 439.476 -0.263 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000685171969 1071188346 /nfs/dbraw/zinc/18/83/46/1071188346.db2.gz PARFVGMOVIHPMS-GUYCJALGSA-N 0 0 447.517 -0.109 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2cccc(CS(C)(=O)=O)c2)C(=O)N1c1cnn(C)c1 ZINC000685171973 1071188317 /nfs/dbraw/zinc/18/83/17/1071188317.db2.gz PARFVGMOVIHPMS-SUMWQHHRSA-N 0 0 447.517 -0.109 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)C(=O)N1c1cnn(C)c1 ZINC000685172244 1071188366 /nfs/dbraw/zinc/18/83/66/1071188366.db2.gz ZNCLOCDCIQPZLE-FMKPAKJESA-N 0 0 426.481 -0.395 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3ncccn3)C2)C(=O)N1c1cnn(C)c1 ZINC000685172245 1071188468 /nfs/dbraw/zinc/18/84/68/1071188468.db2.gz ZNCLOCDCIQPZLE-IIAWOOMASA-N 0 0 426.481 -0.395 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)C(=O)N1c1cnn(C)c1 ZINC000685172246 1071188184 /nfs/dbraw/zinc/18/81/84/1071188184.db2.gz ZNCLOCDCIQPZLE-IJEWVQPXSA-N 0 0 426.481 -0.395 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3ncccn3)C2)C(=O)N1c1cnn(C)c1 ZINC000685172247 1071188454 /nfs/dbraw/zinc/18/84/54/1071188454.db2.gz ZNCLOCDCIQPZLE-YCPHGPKFSA-N 0 0 426.481 -0.395 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685172451 1071188935 /nfs/dbraw/zinc/18/89/35/1071188935.db2.gz LMVLAQVQYOAKSI-FHLIZLRMSA-N 0 0 438.488 -0.053 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCN(Cc3ccccc3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685172454 1071188945 /nfs/dbraw/zinc/18/89/45/1071188945.db2.gz LMVLAQVQYOAKSI-JLSDUUJJSA-N 0 0 438.488 -0.053 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685172456 1071189133 /nfs/dbraw/zinc/18/91/33/1071189133.db2.gz LMVLAQVQYOAKSI-OLMNPRSZSA-N 0 0 438.488 -0.053 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCN(Cc3ccccc3)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685172458 1071188970 /nfs/dbraw/zinc/18/89/70/1071188970.db2.gz LMVLAQVQYOAKSI-ZTFGCOKTSA-N 0 0 438.488 -0.053 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@H](c2cccc(O)c2)C1 ZINC000685172500 1071188827 /nfs/dbraw/zinc/18/88/27/1071188827.db2.gz DXAJTQXXERGNSG-INIZCTEOSA-N 0 0 438.462 -0.484 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@@H](c2cccc(O)c2)C1 ZINC000685172503 1071189050 /nfs/dbraw/zinc/18/90/50/1071189050.db2.gz DXAJTQXXERGNSG-MRXNPFEDSA-N 0 0 438.462 -0.484 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685172642 1071186586 /nfs/dbraw/zinc/18/65/86/1071186586.db2.gz MDLVLNPVPQQREB-CXAGYDPISA-N 0 0 426.477 -0.251 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685172649 1071186684 /nfs/dbraw/zinc/18/66/84/1071186684.db2.gz MDLVLNPVPQQREB-DYVFJYSZSA-N 0 0 426.477 -0.251 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685172651 1071187164 /nfs/dbraw/zinc/18/71/64/1071187164.db2.gz MDLVLNPVPQQREB-GUYCJALGSA-N 0 0 426.477 -0.251 20 0 IBADRN CNC(=O)c1cccc(CCNC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685172655 1071187187 /nfs/dbraw/zinc/18/71/87/1071187187.db2.gz MDLVLNPVPQQREB-SUMWQHHRSA-N 0 0 426.477 -0.251 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCOc2cccc(F)c2C1 ZINC000685172683 1071187230 /nfs/dbraw/zinc/18/72/30/1071187230.db2.gz AWTQCPPSJDFNGB-UHFFFAOYSA-N 0 0 426.426 -0.230 20 0 IBADRN CCn1nccc1C1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000685172708 1071187219 /nfs/dbraw/zinc/18/72/19/1071187219.db2.gz BPHJTZAQRPAXSK-UHFFFAOYSA-N 0 0 438.510 -0.167 20 0 IBADRN CCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000685172763 1071187211 /nfs/dbraw/zinc/18/72/11/1071187211.db2.gz DOAWQBCZTNQUIY-UHFFFAOYSA-N 0 0 438.462 -0.012 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCCC[C@H]1C ZINC000685172793 1071187263 /nfs/dbraw/zinc/18/72/63/1071187263.db2.gz GCNRHDIDXSILKS-CHWSQXEVSA-N 0 0 429.499 -0.884 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCCC[C@H]1C ZINC000685172796 1071187346 /nfs/dbraw/zinc/18/73/46/1071187346.db2.gz GCNRHDIDXSILKS-OLZOCXBDSA-N 0 0 429.499 -0.884 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCCC[C@@H]1C ZINC000685172797 1071187242 /nfs/dbraw/zinc/18/72/42/1071187242.db2.gz GCNRHDIDXSILKS-QWHCGFSZSA-N 0 0 429.499 -0.884 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCCC[C@@H]1C ZINC000685172798 1071187196 /nfs/dbraw/zinc/18/71/96/1071187196.db2.gz GCNRHDIDXSILKS-STQMWFEESA-N 0 0 429.499 -0.884 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@H](C(F)(F)F)O1 ZINC000685172838 1071187293 /nfs/dbraw/zinc/18/72/93/1071187293.db2.gz KCQVBYISPVHJES-GXSJLCMTSA-N 0 0 428.389 -0.612 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@H](C(F)(F)F)O1 ZINC000685172839 1071187305 /nfs/dbraw/zinc/18/73/05/1071187305.db2.gz KCQVBYISPVHJES-KOLCDFICSA-N 0 0 428.389 -0.612 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@H](C(F)(F)F)O1 ZINC000685172840 1071187126 /nfs/dbraw/zinc/18/71/26/1071187126.db2.gz KCQVBYISPVHJES-MWLCHTKSSA-N 0 0 428.389 -0.612 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@@H](C(F)(F)F)O1 ZINC000685172841 1071187152 /nfs/dbraw/zinc/18/71/52/1071187152.db2.gz KCQVBYISPVHJES-ONGXEEELSA-N 0 0 428.389 -0.612 20 0 IBADRN COc1cccc2c1OCC[C@@H]2NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000685172848 1071187252 /nfs/dbraw/zinc/18/72/52/1071187252.db2.gz KNWBTGPNSOMVNE-AWEZNQCLSA-N 0 0 438.462 -0.141 20 0 IBADRN COc1cccc2c1OCC[C@H]2NC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000685172852 1071187276 /nfs/dbraw/zinc/18/72/76/1071187276.db2.gz KNWBTGPNSOMVNE-CQSZACIVSA-N 0 0 438.462 -0.141 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)no1 ZINC000685172909 1071187318 /nfs/dbraw/zinc/18/73/18/1071187318.db2.gz TUQZFYKYQCYBLV-CXAGYDPISA-N 0 0 429.481 -0.329 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)no1 ZINC000685172911 1071187112 /nfs/dbraw/zinc/18/71/12/1071187112.db2.gz TUQZFYKYQCYBLV-DYVFJYSZSA-N 0 0 429.481 -0.329 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)no1 ZINC000685172913 1071188431 /nfs/dbraw/zinc/18/84/31/1071188431.db2.gz TUQZFYKYQCYBLV-GUYCJALGSA-N 0 0 429.481 -0.329 20 0 IBADRN Cc1cc(CN2CCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)no1 ZINC000685172915 1071188354 /nfs/dbraw/zinc/18/83/54/1071188354.db2.gz TUQZFYKYQCYBLV-SUMWQHHRSA-N 0 0 429.481 -0.329 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685172940 1071189936 /nfs/dbraw/zinc/18/99/36/1071189936.db2.gz UGICBGHCNQLTJS-CXAGYDPISA-N 0 0 442.476 -0.069 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685172941 1071189907 /nfs/dbraw/zinc/18/99/07/1071189907.db2.gz UGICBGHCNQLTJS-DYVFJYSZSA-N 0 0 442.476 -0.069 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685172942 1071189926 /nfs/dbraw/zinc/18/99/26/1071189926.db2.gz UGICBGHCNQLTJS-GUYCJALGSA-N 0 0 442.476 -0.069 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)c1 ZINC000685172943 1071189898 /nfs/dbraw/zinc/18/98/98/1071189898.db2.gz UGICBGHCNQLTJS-SUMWQHHRSA-N 0 0 442.476 -0.069 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccnn1C ZINC000685173021 1071189864 /nfs/dbraw/zinc/18/98/64/1071189864.db2.gz UCKRMWCZFYWCPB-AWEZNQCLSA-N 0 0 426.499 -0.500 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)c1ccnn1C ZINC000685173030 1071189814 /nfs/dbraw/zinc/18/98/14/1071189814.db2.gz UCKRMWCZFYWCPB-CQSZACIVSA-N 0 0 426.499 -0.500 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)[C@H](c2ccccc2)C1 ZINC000685173058 1071189870 /nfs/dbraw/zinc/18/98/70/1071189870.db2.gz URJDYJRTHGSUDW-KRWDZBQOSA-N 0 0 435.506 -0.275 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)[C@@H](c2ccccc2)C1 ZINC000685173060 1071189913 /nfs/dbraw/zinc/18/99/13/1071189913.db2.gz URJDYJRTHGSUDW-QGZVFWFLSA-N 0 0 435.506 -0.275 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@@]2(CCc3ccccc32)C1 ZINC000685173072 1071189930 /nfs/dbraw/zinc/18/99/30/1071189930.db2.gz VBIDHEIDYHTYDL-FQEVSTJZSA-N 0 0 448.501 -0.089 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCO[C@]2(CCc3ccccc32)C1 ZINC000685173075 1071189831 /nfs/dbraw/zinc/18/98/31/1071189831.db2.gz VBIDHEIDYHTYDL-HXUWFJFHSA-N 0 0 448.501 -0.089 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685173118 1071189794 /nfs/dbraw/zinc/18/97/94/1071189794.db2.gz NXBPCHFUVLZEMA-DOMZBBRYSA-N 0 0 430.444 -0.692 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCN(c2ccccc2)C(=O)C1 ZINC000685173122 1071189782 /nfs/dbraw/zinc/18/97/82/1071189782.db2.gz XUBWLWDVYWHXTA-UHFFFAOYSA-N 0 0 435.462 -0.914 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685173123 1071189892 /nfs/dbraw/zinc/18/98/92/1071189892.db2.gz NXBPCHFUVLZEMA-IUODEOHRSA-N 0 0 430.444 -0.692 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685173148 1071190452 /nfs/dbraw/zinc/19/04/52/1071190452.db2.gz NXBPCHFUVLZEMA-SWLSCSKDSA-N 0 0 430.444 -0.692 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(c3ncc(F)cn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685173150 1071190438 /nfs/dbraw/zinc/19/04/38/1071190438.db2.gz NXBPCHFUVLZEMA-WFASDCNBSA-N 0 0 430.444 -0.692 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)C(=O)N1c1cnn(C)c1 ZINC000685173175 1071190466 /nfs/dbraw/zinc/19/04/66/1071190466.db2.gz VUHDLGOGTWAYSF-GDBMZVCRSA-N 0 0 428.497 -0.892 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)C(=O)N1c1cnn(C)c1 ZINC000685173177 1071190489 /nfs/dbraw/zinc/19/04/89/1071190489.db2.gz VUHDLGOGTWAYSF-GOEBONIOSA-N 0 0 428.497 -0.892 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)C(=O)N1c1cnn(C)c1 ZINC000685173179 1071190363 /nfs/dbraw/zinc/19/03/63/1071190363.db2.gz VUHDLGOGTWAYSF-HOCLYGCPSA-N 0 0 428.497 -0.892 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3nccn3C)CC2)C(=O)N1c1cnn(C)c1 ZINC000685173181 1071190396 /nfs/dbraw/zinc/19/03/96/1071190396.db2.gz VUHDLGOGTWAYSF-ZBFHGGJFSA-N 0 0 428.497 -0.892 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685173223 1071190497 /nfs/dbraw/zinc/19/04/97/1071190497.db2.gz WACOOZDEYSWXCW-DOTOQJQBSA-N 0 0 440.508 -0.214 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685173228 1071190370 /nfs/dbraw/zinc/19/03/70/1071190370.db2.gz WACOOZDEYSWXCW-NVXWUHKLSA-N 0 0 440.508 -0.214 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685173229 1071190388 /nfs/dbraw/zinc/19/03/88/1071190388.db2.gz WACOOZDEYSWXCW-RDJZCZTQSA-N 0 0 440.508 -0.214 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685173231 1071190460 /nfs/dbraw/zinc/19/04/60/1071190460.db2.gz WACOOZDEYSWXCW-WBVHZDCISA-N 0 0 440.508 -0.214 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cn1 ZINC000685173319 1071190418 /nfs/dbraw/zinc/19/04/18/1071190418.db2.gz WLDACRCVLXNXJN-BBRMVZONSA-N 0 0 430.465 -0.233 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cn1 ZINC000685173320 1071190503 /nfs/dbraw/zinc/19/05/03/1071190503.db2.gz WLDACRCVLXNXJN-CJNGLKHVSA-N 0 0 430.465 -0.233 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cn1 ZINC000685173334 1071190444 /nfs/dbraw/zinc/19/04/44/1071190444.db2.gz WLDACRCVLXNXJN-CZUORRHYSA-N 0 0 430.465 -0.233 20 0 IBADRN COCCOc1ccc(CNC(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cn1 ZINC000685173335 1071190427 /nfs/dbraw/zinc/19/04/27/1071190427.db2.gz WLDACRCVLXNXJN-XJKSGUPXSA-N 0 0 430.465 -0.233 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)C(=O)N1c1cnn(C)c1 ZINC000685173462 1071191280 /nfs/dbraw/zinc/19/12/80/1071191280.db2.gz XIVRZSJIPBLBMX-CKEIUWERSA-N 0 0 428.497 -0.451 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)C(=O)N1c1cnn(C)c1 ZINC000685173464 1071191272 /nfs/dbraw/zinc/19/12/72/1071191272.db2.gz XIVRZSJIPBLBMX-CPUCHLNUSA-N 0 0 428.497 -0.451 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)C(=O)N1c1cnn(C)c1 ZINC000685173465 1071191347 /nfs/dbraw/zinc/19/13/47/1071191347.db2.gz XIVRZSJIPBLBMX-JKIFEVAISA-N 0 0 428.497 -0.451 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)C(=O)N1c1cnn(C)c1 ZINC000685173467 1071191226 /nfs/dbraw/zinc/19/12/26/1071191226.db2.gz XIVRZSJIPBLBMX-KEYYUXOJSA-N 0 0 428.497 -0.451 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2cccnc2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685173477 1071191284 /nfs/dbraw/zinc/19/12/84/1071191284.db2.gz XMUSLQLLAOAFQJ-PBHICJAKSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2cccnc2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685173479 1071191313 /nfs/dbraw/zinc/19/13/13/1071191313.db2.gz XMUSLQLLAOAFQJ-RHSMWYFYSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2cccnc2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685173481 1071191374 /nfs/dbraw/zinc/19/13/74/1071191374.db2.gz XMUSLQLLAOAFQJ-WMLDXEAASA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2cccnc2N2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685173483 1071191359 /nfs/dbraw/zinc/19/13/59/1071191359.db2.gz XMUSLQLLAOAFQJ-YOEHRIQHSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C(=O)N1c1cnn(C)c1 ZINC000685173539 1071191369 /nfs/dbraw/zinc/19/13/69/1071191369.db2.gz XZEYVJPKIWARTP-PBHICJAKSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C(=O)N1c1cnn(C)c1 ZINC000685173541 1071191326 /nfs/dbraw/zinc/19/13/26/1071191326.db2.gz XZEYVJPKIWARTP-RHSMWYFYSA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C(=O)N1c1cnn(C)c1 ZINC000685173544 1071191344 /nfs/dbraw/zinc/19/13/44/1071191344.db2.gz XZEYVJPKIWARTP-WMLDXEAASA-N 0 0 441.492 -0.422 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCc2ccnc(N3CCOCC3)c2)C(=O)N1c1cnn(C)c1 ZINC000685173548 1071191365 /nfs/dbraw/zinc/19/13/65/1071191365.db2.gz XZEYVJPKIWARTP-YOEHRIQHSA-N 0 0 441.492 -0.422 20 0 IBADRN CCCCn1c2nc(CO)n(CCC)c2c(=O)n(Cc2cc(=O)n(C)c(=O)n2C)c1=O ZINC000685173827 1071192106 /nfs/dbraw/zinc/19/21/06/1071192106.db2.gz RNFDEBADVVZVFR-UHFFFAOYSA-N 0 0 432.481 -0.492 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685174174 1071188994 /nfs/dbraw/zinc/18/89/94/1071188994.db2.gz UDPJTBBXLDLSGM-PBHICJAKSA-N 0 0 439.476 -0.590 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685174176 1071188902 /nfs/dbraw/zinc/18/89/02/1071188902.db2.gz UDPJTBBXLDLSGM-RHSMWYFYSA-N 0 0 439.476 -0.590 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685174177 1071188916 /nfs/dbraw/zinc/18/89/16/1071188916.db2.gz UDPJTBBXLDLSGM-WMLDXEAASA-N 0 0 439.476 -0.590 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(=O)c3ccccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685174179 1071189124 /nfs/dbraw/zinc/18/91/24/1071189124.db2.gz UDPJTBBXLDLSGM-YOEHRIQHSA-N 0 0 439.476 -0.590 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)oc1C ZINC000685174211 1071188963 /nfs/dbraw/zinc/18/89/63/1071188963.db2.gz DKMZMIUCNCOUJD-CXAGYDPISA-N 0 0 443.508 -0.021 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)oc1C ZINC000685174212 1071189084 /nfs/dbraw/zinc/18/90/84/1071189084.db2.gz DKMZMIUCNCOUJD-DYVFJYSZSA-N 0 0 443.508 -0.021 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)oc1C ZINC000685174213 1071188983 /nfs/dbraw/zinc/18/89/83/1071188983.db2.gz DKMZMIUCNCOUJD-GUYCJALGSA-N 0 0 443.508 -0.021 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)oc1C ZINC000685174214 1071189094 /nfs/dbraw/zinc/18/90/94/1071189094.db2.gz DKMZMIUCNCOUJD-SUMWQHHRSA-N 0 0 443.508 -0.021 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)C(=O)N1c1cnn(C)c1 ZINC000685174373 1071192026 /nfs/dbraw/zinc/19/20/26/1071192026.db2.gz FISYMHAOQUITQT-BBRMVZONSA-N 0 0 429.456 -0.087 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)C(=O)N1c1cnn(C)c1 ZINC000685174374 1071192681 /nfs/dbraw/zinc/19/26/81/1071192681.db2.gz FISYMHAOQUITQT-CJNGLKHVSA-N 0 0 429.456 -0.087 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)C(=O)N1c1cnn(C)c1 ZINC000685174375 1071192727 /nfs/dbraw/zinc/19/27/27/1071192727.db2.gz FISYMHAOQUITQT-CZUORRHYSA-N 0 0 429.456 -0.087 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)C(=O)N1c1cnn(C)c1 ZINC000685174376 1071192742 /nfs/dbraw/zinc/19/27/42/1071192742.db2.gz FISYMHAOQUITQT-XJKSGUPXSA-N 0 0 429.456 -0.087 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685174552 1071192901 /nfs/dbraw/zinc/19/29/01/1071192901.db2.gz HGPXDUXQNDCPSZ-KGYLQXTDSA-N 0 0 444.414 -0.300 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685174554 1071192716 /nfs/dbraw/zinc/19/27/16/1071192716.db2.gz HGPXDUXQNDCPSZ-RAIGVLPGSA-N 0 0 444.414 -0.300 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685174555 1071192748 /nfs/dbraw/zinc/19/27/48/1071192748.db2.gz HGPXDUXQNDCPSZ-RTXFEEFZSA-N 0 0 444.414 -0.300 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N[C@H]2CCCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000685174557 1071192669 /nfs/dbraw/zinc/19/26/69/1071192669.db2.gz HGPXDUXQNDCPSZ-WXHSDQCUSA-N 0 0 444.414 -0.300 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1C[C@H](C)N(c2cnn(C)c2)C1=O)[C@H](C)CS(=O)(=O)CC ZINC000685175884 1071193589 /nfs/dbraw/zinc/19/35/89/1071193589.db2.gz RAFJTJIQSMSXHE-GZBFAFLISA-N 0 0 427.527 -0.298 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1C[C@H](C)N(c2cnn(C)c2)C1=O)[C@@H](C)CS(=O)(=O)CC ZINC000685175885 1071193658 /nfs/dbraw/zinc/19/36/58/1071193658.db2.gz RAFJTJIQSMSXHE-KCQAQPDRSA-N 0 0 427.527 -0.298 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O)[C@H](C)CS(=O)(=O)CC ZINC000685175886 1071193651 /nfs/dbraw/zinc/19/36/51/1071193651.db2.gz RAFJTJIQSMSXHE-UMVBOHGHSA-N 0 0 427.527 -0.298 20 0 IBADRN CCN(C(=O)C(=O)N[C@@H]1C[C@@H](C)N(c2cnn(C)c2)C1=O)[C@@H](C)CS(=O)(=O)CC ZINC000685175887 1071193601 /nfs/dbraw/zinc/19/36/01/1071193601.db2.gz RAFJTJIQSMSXHE-VNHYZAJKSA-N 0 0 427.527 -0.298 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)ncn1 ZINC000685175888 1071195383 /nfs/dbraw/zinc/19/53/83/1071195383.db2.gz RBXSZWVWBYBSIW-DZGCQCFKSA-N 0 0 442.480 -0.823 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)ncn1 ZINC000685175889 1071195248 /nfs/dbraw/zinc/19/52/48/1071195248.db2.gz RBXSZWVWBYBSIW-HIFRSBDPSA-N 0 0 442.480 -0.823 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)ncn1 ZINC000685175890 1071195411 /nfs/dbraw/zinc/19/54/11/1071195411.db2.gz RBXSZWVWBYBSIW-UKRRQHHQSA-N 0 0 442.480 -0.823 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)ncn1 ZINC000685175891 1071195424 /nfs/dbraw/zinc/19/54/24/1071195424.db2.gz RBXSZWVWBYBSIW-ZFWWWQNUSA-N 0 0 442.480 -0.823 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685176146 1071193756 /nfs/dbraw/zinc/19/37/56/1071193756.db2.gz VCIGXAIERSAGAR-CRAIPNDOSA-N 0 0 425.493 -0.231 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685176147 1071193636 /nfs/dbraw/zinc/19/36/36/1071193636.db2.gz VCIGXAIERSAGAR-MAUKXSAKSA-N 0 0 425.493 -0.231 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685176148 1071193841 /nfs/dbraw/zinc/19/38/41/1071193841.db2.gz VCIGXAIERSAGAR-QAPCUYQASA-N 0 0 425.493 -0.231 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)C(=O)N1c1cnn(C)c1 ZINC000685176149 1071193723 /nfs/dbraw/zinc/19/37/23/1071193723.db2.gz VCIGXAIERSAGAR-YJBOKZPZSA-N 0 0 425.493 -0.231 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685176150 1071193794 /nfs/dbraw/zinc/19/37/94/1071193794.db2.gz VDYILEOOGIHSHH-BBRMVZONSA-N 0 0 426.481 -0.523 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685176151 1071193622 /nfs/dbraw/zinc/19/36/22/1071193622.db2.gz VDYILEOOGIHSHH-CJNGLKHVSA-N 0 0 426.481 -0.523 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685176152 1071193866 /nfs/dbraw/zinc/19/38/66/1071193866.db2.gz VDYILEOOGIHSHH-CZUORRHYSA-N 0 0 426.481 -0.523 20 0 IBADRN Cc1nccc(N2CCN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)n1 ZINC000685176153 1071193704 /nfs/dbraw/zinc/19/37/04/1071193704.db2.gz VDYILEOOGIHSHH-XJKSGUPXSA-N 0 0 426.481 -0.523 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685176615 1071194459 /nfs/dbraw/zinc/19/44/59/1071194459.db2.gz YCVCUYZGZZOUSE-JFIYKMOQSA-N 0 0 432.525 -0.257 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685176616 1071194396 /nfs/dbraw/zinc/19/43/96/1071194396.db2.gz YCVCUYZGZZOUSE-NUJGCVRESA-N 0 0 432.525 -0.257 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685176617 1071194445 /nfs/dbraw/zinc/19/44/45/1071194445.db2.gz YCVCUYZGZZOUSE-RYRKJORJSA-N 0 0 432.525 -0.257 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)C(=O)N1c1cnn(C)c1 ZINC000685176618 1071194489 /nfs/dbraw/zinc/19/44/89/1071194489.db2.gz YCVCUYZGZZOUSE-SOLBZPMBSA-N 0 0 432.525 -0.257 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2CCCC[C@H]2C(F)(F)F)CC1 ZINC000685179705 1071195930 /nfs/dbraw/zinc/19/59/30/1071195930.db2.gz GFIKOAHIRYGUAZ-CHWSQXEVSA-N 0 0 431.419 -0.171 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@H]2CCCC[C@@H]2C(F)(F)F)CC1 ZINC000685179709 1071196061 /nfs/dbraw/zinc/19/60/61/1071196061.db2.gz GFIKOAHIRYGUAZ-OLZOCXBDSA-N 0 0 431.419 -0.171 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2CCCC[C@H]2C(F)(F)F)CC1 ZINC000685179710 1071196015 /nfs/dbraw/zinc/19/60/15/1071196015.db2.gz GFIKOAHIRYGUAZ-QWHCGFSZSA-N 0 0 431.419 -0.171 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NC[C@@H]2CCCC[C@@H]2C(F)(F)F)CC1 ZINC000685179712 1071195971 /nfs/dbraw/zinc/19/59/71/1071195971.db2.gz GFIKOAHIRYGUAZ-STQMWFEESA-N 0 0 431.419 -0.171 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCCc2ccn(C)n2)CC1 ZINC000685193671 1071200109 /nfs/dbraw/zinc/20/01/09/1071200109.db2.gz FUZXAMUNQAHKNY-UHFFFAOYSA-N 0 0 440.570 -0.268 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000685214936 1071204118 /nfs/dbraw/zinc/20/41/18/1071204118.db2.gz YKALEVSRGGGOFC-DOTOQJQBSA-N 0 0 439.520 -0.113 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000685214939 1071204086 /nfs/dbraw/zinc/20/40/86/1071204086.db2.gz YKALEVSRGGGOFC-NVXWUHKLSA-N 0 0 439.520 -0.113 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000685214941 1071203934 /nfs/dbraw/zinc/20/39/34/1071203934.db2.gz YKALEVSRGGGOFC-RDJZCZTQSA-N 0 0 439.520 -0.113 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000685214944 1071203958 /nfs/dbraw/zinc/20/39/58/1071203958.db2.gz YKALEVSRGGGOFC-WBVHZDCISA-N 0 0 439.520 -0.113 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cn1 ZINC000685220722 1071204610 /nfs/dbraw/zinc/20/46/10/1071204610.db2.gz BLFHCWPUNNRGTG-RISCZKNCSA-N 0 0 430.387 -0.471 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(CCOC(C)C)CC2)cn1 ZINC000685220752 1071205570 /nfs/dbraw/zinc/20/55/70/1071205570.db2.gz MODODZCQTLHMMO-MJGOQNOKSA-N 0 0 434.541 -0.092 20 0 IBADRN CCn1cc([C@@H]2NC(=O)CC[C@H]2NC(=O)C(=O)N2CCN(c3cnccn3)CC2)cn1 ZINC000685220875 1071205309 /nfs/dbraw/zinc/20/53/09/1071205309.db2.gz KATRWIQUDJVGKJ-QAPCUYQASA-N 0 0 426.481 -0.522 20 0 IBADRN Cn1nc(CCNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c2ccccc21 ZINC000685247906 1071210088 /nfs/dbraw/zinc/21/00/88/1071210088.db2.gz YYPCSFBKVOPCAS-UHFFFAOYSA-N 0 0 426.477 -0.819 20 0 IBADRN CCC1(O)CCC(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000685249349 1071210987 /nfs/dbraw/zinc/21/09/87/1071210987.db2.gz GXHVUYSXDAMGPN-BQJIDLTASA-N 0 0 426.558 -0.128 20 0 IBADRN CCC1(O)CCC(NC(=O)C(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000685249350 1071211210 /nfs/dbraw/zinc/21/12/10/1071211210.db2.gz GXHVUYSXDAMGPN-ZGGTZUKQSA-N 0 0 426.558 -0.128 20 0 IBADRN CCC1(O)CCC(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000685252269 1071212056 /nfs/dbraw/zinc/21/20/56/1071212056.db2.gz NJDGVTPHJIFAEB-UHFFFAOYSA-N 0 0 428.511 -0.152 20 0 IBADRN CCC1(O)CCC(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000685252483 1071211847 /nfs/dbraw/zinc/21/18/47/1071211847.db2.gz DQGDTIFQOXBINK-KOHRHEQBSA-N 0 0 431.555 -0.161 20 0 IBADRN CCC1(O)CCC(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000685252488 1071212069 /nfs/dbraw/zinc/21/20/69/1071212069.db2.gz DQGDTIFQOXBINK-WUJZJPHMSA-N 0 0 431.555 -0.161 20 0 IBADRN CCC1(O)CCC(NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000685252799 1071212025 /nfs/dbraw/zinc/21/20/25/1071212025.db2.gz YDIGCOYSNNDAPP-UHFFFAOYSA-N 0 0 441.554 -0.239 20 0 IBADRN CCC1(O)CCC(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000685254164 1071212756 /nfs/dbraw/zinc/21/27/56/1071212756.db2.gz PAAMCCGTLXMSBX-UHFFFAOYSA-N 0 0 432.525 -0.169 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2cnc(N3CCN(CC(=O)NC(C)C)CC3)cn2)CC1 ZINC000685264493 1071215293 /nfs/dbraw/zinc/21/52/93/1071215293.db2.gz MHQZRNMJOVPFNV-UHFFFAOYSA-N 0 0 446.600 -0.230 20 0 IBADRN O=C(CN1CCN(c2cnc(N3CCN(CC(=O)NC4CC4)CC3)cn2)CC1)NC1CC1 ZINC000685264698 1071215091 /nfs/dbraw/zinc/21/50/91/1071215091.db2.gz LTJXXQNGQOZORE-UHFFFAOYSA-N 0 0 442.568 -0.722 20 0 IBADRN CS(=O)(=O)N1CCCN(c2cnc(N3CCCN(S(C)(=O)=O)CC3)cn2)CC1 ZINC000685265446 1071214998 /nfs/dbraw/zinc/21/49/98/1071214998.db2.gz ZMFPDGHCNFYOOV-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN Cn1cc(N2CCN(c3cnc(N4CCN(c5cnn(C)c5)C(=O)C4)cn3)CC2=O)cn1 ZINC000685272107 1071216632 /nfs/dbraw/zinc/21/66/32/1071216632.db2.gz BENWBUJCSKNFRP-UHFFFAOYSA-N 0 0 436.480 -0.350 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2cnc(N3CC[C@H](S(=O)(=O)NCC)C3)cn2)C1 ZINC000685272776 1071216618 /nfs/dbraw/zinc/21/66/18/1071216618.db2.gz FAUHPHVTRNXLFR-KBPBESRZSA-N 0 0 432.572 -0.487 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2cnc(N3CC[C@H](S(=O)(=O)NCC)C3)cn2)C1 ZINC000685272777 1071216856 /nfs/dbraw/zinc/21/68/56/1071216856.db2.gz FAUHPHVTRNXLFR-OKILXGFUSA-N 0 0 432.572 -0.487 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2cnc(N3CC[C@@H](S(=O)(=O)NCC)C3)cn2)C1 ZINC000685272778 1071217499 /nfs/dbraw/zinc/21/74/99/1071217499.db2.gz FAUHPHVTRNXLFR-ZIAGYGMSSA-N 0 0 432.572 -0.487 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNc2cnc(NC[C@@H]3CCN(S(C)(=O)=O)C3)cn2)C1 ZINC000685273017 1071216786 /nfs/dbraw/zinc/21/67/86/1071216786.db2.gz NIYYPMFTQCQDMX-KBPBESRZSA-N 0 0 432.572 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNc2cnc(NC[C@@H]3CCN(S(C)(=O)=O)C3)cn2)C1 ZINC000685273018 1071216816 /nfs/dbraw/zinc/21/68/16/1071216816.db2.gz NIYYPMFTQCQDMX-OKILXGFUSA-N 0 0 432.572 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNc2cnc(NC[C@H]3CCN(S(C)(=O)=O)C3)cn2)C1 ZINC000685273019 1071216683 /nfs/dbraw/zinc/21/66/83/1071216683.db2.gz NIYYPMFTQCQDMX-ZIAGYGMSSA-N 0 0 432.572 -0.137 20 0 IBADRN CCCc1nc(C(N)=O)nn1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000685285507 1071220511 /nfs/dbraw/zinc/22/05/11/1071220511.db2.gz NMXRANJGQFJTTE-UHFFFAOYSA-N 0 0 436.494 -0.011 20 0 IBADRN CCc1noc(CC)c1CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000685286628 1071221241 /nfs/dbraw/zinc/22/12/41/1071221241.db2.gz ZCVNPMSZKHMKBQ-HNNXBMFYSA-N 0 0 435.525 -0.193 20 0 IBADRN CCc1noc(CC)c1CNC(=O)C(=O)N1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000685286629 1071221341 /nfs/dbraw/zinc/22/13/41/1071221341.db2.gz ZCVNPMSZKHMKBQ-OAHLLOKOSA-N 0 0 435.525 -0.193 20 0 IBADRN NS(=O)(=O)CCOCCNS(=O)(=O)c1cc2[nH]c(=O)[nH]c2cc1Br ZINC000685288137 1071221183 /nfs/dbraw/zinc/22/11/83/1071221183.db2.gz ZDJHLRJRIKRAJC-UHFFFAOYSA-N 0 0 443.301 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CCC(F)(F)C3)CC2)CC1 ZINC000685294281 1071222859 /nfs/dbraw/zinc/22/28/59/1071222859.db2.gz JWXIPVQTLTUIPT-AWEZNQCLSA-N 0 0 430.543 -0.139 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CCC(F)(F)C3)CC2)CC1 ZINC000685294282 1071223010 /nfs/dbraw/zinc/22/30/10/1071223010.db2.gz JWXIPVQTLTUIPT-CQSZACIVSA-N 0 0 430.543 -0.139 20 0 IBADRN Cc1cscc1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000685312698 1071226862 /nfs/dbraw/zinc/22/68/62/1071226862.db2.gz MCQKGDKXOQLAPF-UHFFFAOYSA-N 0 0 429.568 -0.691 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(c3nccc(OC)n3)CC1)CC2 ZINC000685313480 1071226792 /nfs/dbraw/zinc/22/67/92/1071226792.db2.gz YDDGBFLKDQHBJN-CYBMUJFWSA-N 0 0 430.469 -0.997 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(c3nccc(OC)n3)CC1)CC2 ZINC000685313481 1071226910 /nfs/dbraw/zinc/22/69/10/1071226910.db2.gz YDDGBFLKDQHBJN-ZDUSSCGKSA-N 0 0 430.469 -0.997 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCOC[C@H]1c1c(C)nn(C)c1C)CC2 ZINC000685314380 1071226770 /nfs/dbraw/zinc/22/67/70/1071226770.db2.gz PSPGZTGWJRSKLJ-CABCVRRESA-N 0 0 431.497 -0.194 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCOC[C@H]1c1c(C)nn(C)c1C)CC2 ZINC000685314382 1071226706 /nfs/dbraw/zinc/22/67/06/1071226706.db2.gz PSPGZTGWJRSKLJ-GJZGRUSLSA-N 0 0 431.497 -0.194 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCOC[C@@H]1c1c(C)nn(C)c1C)CC2 ZINC000685314383 1071226685 /nfs/dbraw/zinc/22/66/85/1071226685.db2.gz PSPGZTGWJRSKLJ-HUUCEWRRSA-N 0 0 431.497 -0.194 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCOC[C@@H]1c1c(C)nn(C)c1C)CC2 ZINC000685314384 1071227615 /nfs/dbraw/zinc/22/76/15/1071227615.db2.gz PSPGZTGWJRSKLJ-LSDHHAIUSA-N 0 0 431.497 -0.194 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@@H]1CCCCO1 ZINC000685324101 1071228784 /nfs/dbraw/zinc/22/87/84/1071228784.db2.gz GGOOPPCOCHFJNW-INIZCTEOSA-N 0 0 438.525 -0.773 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)[C@H]1CCCCO1 ZINC000685324110 1071229521 /nfs/dbraw/zinc/22/95/21/1071229521.db2.gz GGOOPPCOCHFJNW-MRXNPFEDSA-N 0 0 438.525 -0.773 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC(C)(C)[C@@H]1CCCCO1)C2 ZINC000685326601 1071229454 /nfs/dbraw/zinc/22/94/54/1071229454.db2.gz ZVIMZPYMZCYWNS-AWEZNQCLSA-N 0 0 436.513 -0.813 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCC(C)(C)[C@H]1CCCCO1)C2 ZINC000685326602 1071229652 /nfs/dbraw/zinc/22/96/52/1071229652.db2.gz ZVIMZPYMZCYWNS-CQSZACIVSA-N 0 0 436.513 -0.813 20 0 IBADRN CN(C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC000685328107 1071230155 /nfs/dbraw/zinc/23/01/55/1071230155.db2.gz CWHAYJYZNDFGIB-HNNXBMFYSA-N 0 0 442.925 -0.089 20 0 IBADRN CN(C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC000685328108 1071230198 /nfs/dbraw/zinc/23/01/98/1071230198.db2.gz CWHAYJYZNDFGIB-OAHLLOKOSA-N 0 0 442.925 -0.089 20 0 IBADRN COCCN(CCOc1ccc(F)cc1)C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000685328109 1071230314 /nfs/dbraw/zinc/23/03/14/1071230314.db2.gz CXFBJZTVXQOIMT-UHFFFAOYSA-N 0 0 431.486 -0.313 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(c3nc(-c4ccccn4)no3)CC2)C1 ZINC000685328209 1071230209 /nfs/dbraw/zinc/23/02/09/1071230209.db2.gz BGPVPUPHEQCNPI-UHFFFAOYSA-N 0 0 448.505 -0.155 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCCN(Cc3ccc(F)cc3)CC2)C1 ZINC000685328217 1071230089 /nfs/dbraw/zinc/23/00/89/1071230089.db2.gz BIKGNDFBHPNZFI-UHFFFAOYSA-N 0 0 426.514 -0.132 20 0 IBADRN Cc1cccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2)n1 ZINC000685328254 1071230055 /nfs/dbraw/zinc/23/00/55/1071230055.db2.gz PMJNJFVXYKMUGP-HNNXBMFYSA-N 0 0 437.522 -0.425 20 0 IBADRN Cc1cccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2)n1 ZINC000685328258 1071230069 /nfs/dbraw/zinc/23/00/69/1071230069.db2.gz PMJNJFVXYKMUGP-OAHLLOKOSA-N 0 0 437.522 -0.425 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(C(=O)c3ccc(F)cc3)CC2)C1 ZINC000685328317 1071230261 /nfs/dbraw/zinc/23/02/61/1071230261.db2.gz SZVZPQQTDSUUEF-UHFFFAOYSA-N 0 0 426.470 -0.882 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCCN(C(=O)c3ccccc3F)CC2)C1 ZINC000685328319 1071230081 /nfs/dbraw/zinc/23/00/81/1071230081.db2.gz SZXKOFZZLNSAQZ-UHFFFAOYSA-N 0 0 440.497 -0.492 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(NC(=O)NC3CCCCC3)CC2)C1 ZINC000685328347 1071230128 /nfs/dbraw/zinc/23/01/28/1071230128.db2.gz VVSLXTFNUNOIQH-UHFFFAOYSA-N 0 0 443.570 -0.383 20 0 IBADRN CN1CCN([C@@H](CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)c2cccs2)CC1 ZINC000685328373 1071230184 /nfs/dbraw/zinc/23/01/84/1071230184.db2.gz XHANPNMMFTUHLF-HNNXBMFYSA-N 0 0 443.595 -0.840 20 0 IBADRN CN1CCN([C@H](CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)c2cccs2)CC1 ZINC000685328375 1071230937 /nfs/dbraw/zinc/23/09/37/1071230937.db2.gz XHANPNMMFTUHLF-OAHLLOKOSA-N 0 0 443.595 -0.840 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(NC(=O)Nc3ccccc3)CC2)C1 ZINC000685328431 1071231006 /nfs/dbraw/zinc/23/10/06/1071231006.db2.gz GXIDLBCXHBMMPU-UHFFFAOYSA-N 0 0 437.522 -0.193 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000685328503 1071230821 /nfs/dbraw/zinc/23/08/21/1071230821.db2.gz KRLSTXYSYSJHKM-UHFFFAOYSA-N 0 0 436.534 -0.784 20 0 IBADRN COCCN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000685328542 1071230807 /nfs/dbraw/zinc/23/08/07/1071230807.db2.gz MIHDRHVSXJSQOD-UHFFFAOYSA-N 0 0 427.479 -0.602 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(C(=O)c3cccc(Cl)c3)CC2)C1 ZINC000685328574 1071230918 /nfs/dbraw/zinc/23/09/18/1071230918.db2.gz NDZGAIFXXXQVEQ-UHFFFAOYSA-N 0 0 442.925 -0.368 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(C(=O)NCc3ccccc3)CC2)C1 ZINC000685328625 1071230996 /nfs/dbraw/zinc/23/09/96/1071230996.db2.gz OGONALGHLZGKSR-UHFFFAOYSA-N 0 0 436.534 -0.451 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(CCCOCC(F)(F)F)CC2)C1 ZINC000685328627 1071230906 /nfs/dbraw/zinc/23/09/06/1071230906.db2.gz OLGBSURGTCRWHP-UHFFFAOYSA-N 0 0 444.476 -0.893 20 0 IBADRN COc1cccc([C@H](C)N2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)c1 ZINC000685328651 1071230735 /nfs/dbraw/zinc/23/07/35/1071230735.db2.gz PNXZQIOQNZBKBQ-HNNXBMFYSA-N 0 0 438.550 -0.092 20 0 IBADRN COc1cccc([C@@H](C)N2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)c1 ZINC000685328652 1071230787 /nfs/dbraw/zinc/23/07/87/1071230787.db2.gz PNXZQIOQNZBKBQ-OAHLLOKOSA-N 0 0 438.550 -0.092 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000685328656 1071230884 /nfs/dbraw/zinc/23/08/84/1071230884.db2.gz PUVVSEQNFXAKHQ-UHFFFAOYSA-N 0 0 437.566 -0.725 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N(Cc2ccc(-n3cncn3)cc2)C2CC2)C1 ZINC000685328692 1071230843 /nfs/dbraw/zinc/23/08/43/1071230843.db2.gz RGWXSDJSJOOPSG-UHFFFAOYSA-N 0 0 432.506 -0.234 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@@H]1Oc1ccccc1 ZINC000685328696 1071230854 /nfs/dbraw/zinc/23/08/54/1071230854.db2.gz RLNHLVRQMJSAEJ-BBWFWOEESA-N 0 0 425.507 -0.265 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@H]1Oc1ccccc1 ZINC000685328697 1071230878 /nfs/dbraw/zinc/23/08/78/1071230878.db2.gz RLNHLVRQMJSAEJ-BRWVUGGUSA-N 0 0 425.507 -0.265 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@H]1Oc1ccccc1 ZINC000685328698 1071230928 /nfs/dbraw/zinc/23/09/28/1071230928.db2.gz RLNHLVRQMJSAEJ-GVDBMIGSSA-N 0 0 425.507 -0.265 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@@H]1Oc1ccccc1 ZINC000685328699 1071230726 /nfs/dbraw/zinc/23/07/26/1071230726.db2.gz RLNHLVRQMJSAEJ-ZACQAIPSSA-N 0 0 425.507 -0.265 20 0 IBADRN CCOc1cc(NC2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)ncn1 ZINC000685328707 1071230831 /nfs/dbraw/zinc/23/08/31/1071230831.db2.gz RXQINPARSGFBRH-UHFFFAOYSA-N 0 0 440.526 -0.714 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)n1 ZINC000685328748 1071230981 /nfs/dbraw/zinc/23/09/81/1071230981.db2.gz VJJSDMCQPVOSBL-UHFFFAOYSA-N 0 0 425.511 -0.864 20 0 IBADRN COc1ccc(C)cc1CN1CCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000685328775 1071230872 /nfs/dbraw/zinc/23/08/72/1071230872.db2.gz WPJMDLORXJUZRD-UHFFFAOYSA-N 0 0 438.550 -0.345 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)c(C)o1 ZINC000685328792 1071231698 /nfs/dbraw/zinc/23/16/98/1071231698.db2.gz XANWYBXNXJCJSD-UHFFFAOYSA-N 0 0 426.495 -0.812 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCn3c(Cc4ccccc4)nnc3C2)C1 ZINC000685328861 1071231579 /nfs/dbraw/zinc/23/15/79/1071231579.db2.gz ZYSJEBFTTJXZQV-UHFFFAOYSA-N 0 0 432.506 -0.781 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(Cc3ccccc3Cl)CC2)C1 ZINC000685328928 1071231554 /nfs/dbraw/zinc/23/15/54/1071231554.db2.gz UTRZCYOCZDIPCP-UHFFFAOYSA-N 0 0 428.942 -0.008 20 0 IBADRN CN(Cc1cc2c(cc1OC(F)F)OCO2)C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000685328981 1071231441 /nfs/dbraw/zinc/23/14/41/1071231441.db2.gz BGNNYFVQJZXZPC-UHFFFAOYSA-N 0 0 449.432 -0.017 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(Cc3cccc(Cl)c3)CC2)C1 ZINC000685329076 1071231663 /nfs/dbraw/zinc/23/16/63/1071231663.db2.gz LPSHWAWHFVBZNW-UHFFFAOYSA-N 0 0 428.942 -0.008 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(c3ncnc4ccsc43)CC2)C1 ZINC000685329129 1071231645 /nfs/dbraw/zinc/23/16/45/1071231645.db2.gz ASRHLBRLBXMBHV-UHFFFAOYSA-N 0 0 438.535 -0.653 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000685329145 1071231636 /nfs/dbraw/zinc/23/16/36/1071231636.db2.gz RQCVOZLXUYLVEV-UHFFFAOYSA-N 0 0 442.513 -0.514 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NCCN2CCc3c(Cl)cccc3C2)C1 ZINC000685329232 1071231594 /nfs/dbraw/zinc/23/15/94/1071231594.db2.gz HAASOUOALIMJFF-UHFFFAOYSA-N 0 0 428.942 -0.178 20 0 IBADRN Cc1ccc(NC(=O)[C@H]2CCCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2)nc1 ZINC000685329252 1071231724 /nfs/dbraw/zinc/23/17/24/1071231724.db2.gz VUBBGSADVPQIMN-HNNXBMFYSA-N 0 0 437.522 -0.425 20 0 IBADRN Cc1ccc(NC(=O)[C@@H]2CCCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2)nc1 ZINC000685329254 1071231681 /nfs/dbraw/zinc/23/16/81/1071231681.db2.gz VUBBGSADVPQIMN-OAHLLOKOSA-N 0 0 437.522 -0.425 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(C(=O)CCC3CCCC3)CC2)C1 ZINC000685329271 1071231567 /nfs/dbraw/zinc/23/15/67/1071231567.db2.gz WTSUNPDQZNNUBD-UHFFFAOYSA-N 0 0 428.555 -0.365 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)C1 ZINC000685329341 1071231657 /nfs/dbraw/zinc/23/16/57/1071231657.db2.gz KFIVAPJAGJMIGO-UHFFFAOYSA-N 0 0 444.460 -0.743 20 0 IBADRN COc1cc(F)ccc1N1CCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000685329358 1071231627 /nfs/dbraw/zinc/23/16/27/1071231627.db2.gz LOPRCRHDEOMIQS-UHFFFAOYSA-N 0 0 428.486 -0.510 20 0 IBADRN Cc1cc(N2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)nc(C(C)C)n1 ZINC000685329383 1071231540 /nfs/dbraw/zinc/23/15/40/1071231540.db2.gz NAFVALQPBIXLKZ-UHFFFAOYSA-N 0 0 438.554 -0.435 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@H]2CSCCN2Cc2ccccc2)C1 ZINC000685329399 1071231461 /nfs/dbraw/zinc/23/14/61/1071231461.db2.gz PNMVAHAYVAQJCB-KRWDZBQOSA-N 0 0 440.591 -0.272 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)NC[C@@H]2CSCCN2Cc2ccccc2)C1 ZINC000685329400 1071231507 /nfs/dbraw/zinc/23/15/07/1071231507.db2.gz PNMVAHAYVAQJCB-QGZVFWFLSA-N 0 0 440.591 -0.272 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cc1F ZINC000685329404 1071232389 /nfs/dbraw/zinc/23/23/89/1071232389.db2.gz PTKQUTJYTVDTEK-UHFFFAOYSA-N 0 0 442.513 -0.514 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCCN(C(=O)c3cccc(F)c3)CC2)C1 ZINC000685329430 1071232397 /nfs/dbraw/zinc/23/23/97/1071232397.db2.gz AQFRWICNAYVIGI-UHFFFAOYSA-N 0 0 440.497 -0.492 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(n3c4ccccc4[nH]c3=O)CC2)C1 ZINC000685329453 1071232128 /nfs/dbraw/zinc/23/21/28/1071232128.db2.gz BVOBIVOBSIMSMA-UHFFFAOYSA-N 0 0 435.506 -0.087 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC000685329483 1071232411 /nfs/dbraw/zinc/23/24/11/1071232411.db2.gz DMAPSPAHRITINO-UHFFFAOYSA-N 0 0 438.550 -0.263 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(C(=O)c3ccc(Cl)cc3)CC2)C1 ZINC000685329498 1071232147 /nfs/dbraw/zinc/23/21/47/1071232147.db2.gz FTAFXEASNSINDG-UHFFFAOYSA-N 0 0 442.925 -0.368 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(Cc3ccc(Cl)cc3)CC2)C1 ZINC000685329507 1071232246 /nfs/dbraw/zinc/23/22/46/1071232246.db2.gz GDFSMAJNAVPKEV-UHFFFAOYSA-N 0 0 428.942 -0.008 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(CC(=O)NC3CCCC3)CC2)C1 ZINC000685329600 1071232062 /nfs/dbraw/zinc/23/20/62/1071232062.db2.gz XXDWWHIBSUQAAR-UHFFFAOYSA-N 0 0 428.555 -0.319 20 0 IBADRN Cc1cccc(C(=O)N2CCCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)c1 ZINC000685329604 1071232265 /nfs/dbraw/zinc/23/22/65/1071232265.db2.gz YJJFBJDFGNXZKU-UHFFFAOYSA-N 0 0 436.534 -0.323 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)C1 ZINC000685329636 1071232458 /nfs/dbraw/zinc/23/24/58/1071232458.db2.gz HPFJMYGOZJCFMD-UHFFFAOYSA-N 0 0 440.497 -0.953 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(C(=O)N3CCc4ccccc43)CC2)C1 ZINC000685329661 1071229633 /nfs/dbraw/zinc/22/96/33/1071229633.db2.gz IFQDCQSRWRPHCM-UHFFFAOYSA-N 0 0 448.545 -0.178 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(NC(=O)c3ccc(F)cc3)CC2)C1 ZINC000685329744 1071229623 /nfs/dbraw/zinc/22/96/23/1071229623.db2.gz NCGHTZZICGZHDY-UHFFFAOYSA-N 0 0 440.497 -0.446 20 0 IBADRN CCc1ccc(CN2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)s1 ZINC000685329748 1071229445 /nfs/dbraw/zinc/22/94/45/1071229445.db2.gz NJYQHRVRZBLUGF-UHFFFAOYSA-N 0 0 428.580 -0.038 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(c3ncnc4sccc43)CC2)C1 ZINC000685329758 1071229555 /nfs/dbraw/zinc/22/95/55/1071229555.db2.gz OFJHTNMATXUGEE-UHFFFAOYSA-N 0 0 438.535 -0.653 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCCN(C(=O)c3ccsc3)CC2)C1 ZINC000685329780 1071229473 /nfs/dbraw/zinc/22/94/73/1071229473.db2.gz PGDFFLAYOPYATO-UHFFFAOYSA-N 0 0 428.536 -0.570 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCCC[C@H]2CNC(=O)c2ccccc2)C1 ZINC000685329933 1071229572 /nfs/dbraw/zinc/22/95/72/1071229572.db2.gz LUZWTRZKKBHDDT-KRWDZBQOSA-N 0 0 436.534 -0.195 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCCC[C@@H]2CNC(=O)c2ccccc2)C1 ZINC000685329934 1071229662 /nfs/dbraw/zinc/22/96/62/1071229662.db2.gz LUZWTRZKKBHDDT-QGZVFWFLSA-N 0 0 436.534 -0.195 20 0 IBADRN CCOc1ccc(CN2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC000685329969 1071229504 /nfs/dbraw/zinc/22/95/04/1071229504.db2.gz BTIFTXDLROTBFS-UHFFFAOYSA-N 0 0 438.550 -0.263 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(Cc3ccc(Cl)cc3)C(=O)C2)C1 ZINC000685330043 1071229583 /nfs/dbraw/zinc/22/95/83/1071229583.db2.gz XNBOHUBWYFEBAQ-UHFFFAOYSA-N 0 0 442.925 -0.482 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cs1 ZINC000685330063 1071229642 /nfs/dbraw/zinc/22/96/42/1071229642.db2.gz YPULBCHOXHFZLR-UHFFFAOYSA-N 0 0 429.568 -0.643 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CC[C@H](NC(=O)Nc3ccc(F)cc3)C2)C1 ZINC000685330088 1071229564 /nfs/dbraw/zinc/22/95/64/1071229564.db2.gz ZTRUSYYDNUOYRT-HNNXBMFYSA-N 0 0 441.485 -0.444 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CC[C@@H](NC(=O)Nc3ccc(F)cc3)C2)C1 ZINC000685330089 1071229618 /nfs/dbraw/zinc/22/96/18/1071229618.db2.gz ZTRUSYYDNUOYRT-OAHLLOKOSA-N 0 0 441.485 -0.444 20 0 IBADRN COc1ccc(Cl)cc1NC(=O)CCNC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000685330185 1071230166 /nfs/dbraw/zinc/23/01/66/1071230166.db2.gz NNAVNQSPQPYAIX-UHFFFAOYSA-N 0 0 446.913 -0.199 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC[C@@H](CNC(=O)c3ccccc3)C2)C1 ZINC000685330241 1071230304 /nfs/dbraw/zinc/23/03/04/1071230304.db2.gz WUNKIHDNNTVEQW-HNNXBMFYSA-N 0 0 436.534 -0.337 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC[C@H](CNC(=O)c3ccccc3)C2)C1 ZINC000685330242 1071230216 /nfs/dbraw/zinc/23/02/16/1071230216.db2.gz WUNKIHDNNTVEQW-OAHLLOKOSA-N 0 0 436.534 -0.337 20 0 IBADRN CCOCCC1(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000685332133 1071230173 /nfs/dbraw/zinc/23/01/73/1071230173.db2.gz JZAHNWFJWIVVAC-UHFFFAOYSA-N 0 0 428.511 -0.028 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@H]1CN(C)CCO1 ZINC000685336175 1071232318 /nfs/dbraw/zinc/23/23/18/1071232318.db2.gz JWDMFGSBEPGKAP-GDBMZVCRSA-N 0 0 448.549 -0.765 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@H]1CN(C)CCO1 ZINC000685336176 1071231962 /nfs/dbraw/zinc/23/19/62/1071231962.db2.gz JWDMFGSBEPGKAP-GOEBONIOSA-N 0 0 448.549 -0.765 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@@H]1CN(C)CCO1 ZINC000685336177 1071233021 /nfs/dbraw/zinc/23/30/21/1071233021.db2.gz JWDMFGSBEPGKAP-HOCLYGCPSA-N 0 0 448.549 -0.765 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)[C@@H]1CN(C)CCO1 ZINC000685336178 1071232985 /nfs/dbraw/zinc/23/29/85/1071232985.db2.gz JWDMFGSBEPGKAP-ZBFHGGJFSA-N 0 0 448.549 -0.765 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1)[C@H]1CN(C)CCO1 ZINC000685336624 1071233288 /nfs/dbraw/zinc/23/32/88/1071233288.db2.gz ITNXVCWHANWPTC-DFQSSKMNSA-N 0 0 443.548 -0.229 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1)[C@@H]1CN(C)CCO1 ZINC000685336625 1071233266 /nfs/dbraw/zinc/23/32/66/1071233266.db2.gz ITNXVCWHANWPTC-IHPCNDPISA-N 0 0 443.548 -0.229 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1)[C@@H]1CN(C)CCO1 ZINC000685336626 1071233223 /nfs/dbraw/zinc/23/32/23/1071233223.db2.gz ITNXVCWHANWPTC-SXLOBPIMSA-N 0 0 443.548 -0.229 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1)[C@H]1CN(C)CCO1 ZINC000685336627 1071233136 /nfs/dbraw/zinc/23/31/36/1071233136.db2.gz ITNXVCWHANWPTC-YSIASYRMSA-N 0 0 443.548 -0.229 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)CC1 ZINC000685336775 1071233187 /nfs/dbraw/zinc/23/31/87/1071233187.db2.gz OTVGYUZEAJJVTO-DLBZAZTESA-N 0 0 447.602 -0.667 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)CC1 ZINC000685336776 1071233252 /nfs/dbraw/zinc/23/32/52/1071233252.db2.gz OTVGYUZEAJJVTO-IAGOWNOFSA-N 0 0 447.602 -0.667 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)CC1 ZINC000685336777 1071233050 /nfs/dbraw/zinc/23/30/50/1071233050.db2.gz OTVGYUZEAJJVTO-IRXDYDNUSA-N 0 0 447.602 -0.667 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)CC1 ZINC000685336778 1071233113 /nfs/dbraw/zinc/23/31/13/1071233113.db2.gz OTVGYUZEAJJVTO-SJORKVTESA-N 0 0 447.602 -0.667 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)[C@H]1CN(C)CCO1 ZINC000685336791 1071233298 /nfs/dbraw/zinc/23/32/98/1071233298.db2.gz PIDPQKYOGLMSQC-CRAIPNDOSA-N 0 0 435.500 -0.256 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)[C@H]1CN(C)CCO1 ZINC000685336792 1071233082 /nfs/dbraw/zinc/23/30/82/1071233082.db2.gz PIDPQKYOGLMSQC-MAUKXSAKSA-N 0 0 435.500 -0.256 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685336793 1071233238 /nfs/dbraw/zinc/23/32/38/1071233238.db2.gz PIDPQKYOGLMSQC-QAPCUYQASA-N 0 0 435.500 -0.256 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685336794 1071233207 /nfs/dbraw/zinc/23/32/07/1071233207.db2.gz PIDPQKYOGLMSQC-YJBOKZPZSA-N 0 0 435.500 -0.256 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1)[C@H]1CN(C)CCO1 ZINC000685336948 1071232212 /nfs/dbraw/zinc/23/22/12/1071232212.db2.gz WGHMIVCGODIWFZ-AKHDSKFASA-N 0 0 437.585 -0.339 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1)[C@@H]1CN(C)CCO1 ZINC000685336949 1071232015 /nfs/dbraw/zinc/23/20/15/1071232015.db2.gz WGHMIVCGODIWFZ-XWSJACJDSA-N 0 0 437.585 -0.339 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1)[C@H]1CN(C)CCO1 ZINC000685336950 1071232278 /nfs/dbraw/zinc/23/22/78/1071232278.db2.gz WGHMIVCGODIWFZ-YDZRNGNQSA-N 0 0 437.585 -0.339 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1)[C@@H]1CN(C)CCO1 ZINC000685336951 1071232435 /nfs/dbraw/zinc/23/24/35/1071232435.db2.gz WGHMIVCGODIWFZ-YRXWBPOGSA-N 0 0 437.585 -0.339 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1)[C@@H]1CN(C)CCO1 ZINC000685337079 1071236008 /nfs/dbraw/zinc/23/60/08/1071236008.db2.gz ZKABPNSCUPKUNZ-APWZRJJASA-N 0 0 449.527 -0.465 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1)[C@@H]1CN(C)CCO1 ZINC000685337080 1071236119 /nfs/dbraw/zinc/23/61/19/1071236119.db2.gz ZKABPNSCUPKUNZ-LPHOPBHVSA-N 0 0 449.527 -0.465 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1)[C@H]1CN(C)CCO1 ZINC000685337081 1071235868 /nfs/dbraw/zinc/23/58/68/1071235868.db2.gz ZKABPNSCUPKUNZ-QFBILLFUSA-N 0 0 449.527 -0.465 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1)[C@H]1CN(C)CCO1 ZINC000685337082 1071236479 /nfs/dbraw/zinc/23/64/79/1071236479.db2.gz ZKABPNSCUPKUNZ-VQIMIIECSA-N 0 0 449.527 -0.465 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)CC2)n1 ZINC000685337717 1071236230 /nfs/dbraw/zinc/23/62/30/1071236230.db2.gz SUJNVGCBGUBKRB-ARFHVFGLSA-N 0 0 438.529 -0.353 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)CC2)n1 ZINC000685337718 1071235980 /nfs/dbraw/zinc/23/59/80/1071235980.db2.gz SUJNVGCBGUBKRB-BZUAXINKSA-N 0 0 438.529 -0.353 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)CC2)n1 ZINC000685337719 1071236417 /nfs/dbraw/zinc/23/64/17/1071236417.db2.gz SUJNVGCBGUBKRB-OAGGEKHMSA-N 0 0 438.529 -0.353 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)CC2)n1 ZINC000685337720 1071236527 /nfs/dbraw/zinc/23/65/27/1071236527.db2.gz SUJNVGCBGUBKRB-XHSDSOJGSA-N 0 0 438.529 -0.353 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685338126 1071236391 /nfs/dbraw/zinc/23/63/91/1071236391.db2.gz AVKZIZBHAGJJGQ-PBHICJAKSA-N 0 0 442.513 -0.506 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@H]1CN(C)CCO1 ZINC000685338127 1071236074 /nfs/dbraw/zinc/23/60/74/1071236074.db2.gz AVKZIZBHAGJJGQ-RHSMWYFYSA-N 0 0 442.513 -0.506 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@H]1CN(C)CCO1 ZINC000685338128 1071236455 /nfs/dbraw/zinc/23/64/55/1071236455.db2.gz AVKZIZBHAGJJGQ-WMLDXEAASA-N 0 0 442.513 -0.506 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685338129 1071235907 /nfs/dbraw/zinc/23/59/07/1071235907.db2.gz AVKZIZBHAGJJGQ-YOEHRIQHSA-N 0 0 442.513 -0.506 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)CC2)n1 ZINC000685338625 1071236280 /nfs/dbraw/zinc/23/62/80/1071236280.db2.gz TWSYFEFNEPDPBT-ARFHVFGLSA-N 0 0 438.529 -0.353 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)CC2)n1 ZINC000685338626 1071236984 /nfs/dbraw/zinc/23/69/84/1071236984.db2.gz TWSYFEFNEPDPBT-BZUAXINKSA-N 0 0 438.529 -0.353 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)CC2)n1 ZINC000685338628 1071237308 /nfs/dbraw/zinc/23/73/08/1071237308.db2.gz TWSYFEFNEPDPBT-OAGGEKHMSA-N 0 0 438.529 -0.353 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)CC2)n1 ZINC000685338630 1071237410 /nfs/dbraw/zinc/23/74/10/1071237410.db2.gz TWSYFEFNEPDPBT-XHSDSOJGSA-N 0 0 438.529 -0.353 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)CC2)CC1 ZINC000685340009 1071235156 /nfs/dbraw/zinc/23/51/56/1071235156.db2.gz OCYWWSDKSDIAHA-HKUYNNGSSA-N 0 0 437.585 -0.386 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)CC2)CC1 ZINC000685340011 1071234864 /nfs/dbraw/zinc/23/48/64/1071234864.db2.gz OCYWWSDKSDIAHA-IEBWSBKVSA-N 0 0 437.585 -0.386 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)CC2)CC1 ZINC000685340013 1071234957 /nfs/dbraw/zinc/23/49/57/1071234957.db2.gz OCYWWSDKSDIAHA-MJGOQNOKSA-N 0 0 437.585 -0.386 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)CC2)CC1 ZINC000685340015 1071234794 /nfs/dbraw/zinc/23/47/94/1071234794.db2.gz OCYWWSDKSDIAHA-PKOBYXMFSA-N 0 0 437.585 -0.386 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000685340199 1071234890 /nfs/dbraw/zinc/23/48/90/1071234890.db2.gz CJMMZCUZIWKIOK-DOTOQJQBSA-N 0 0 426.539 -0.433 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@H](C)[C@H]2CN(C)CCO2)cc1 ZINC000685340200 1071235102 /nfs/dbraw/zinc/23/51/02/1071235102.db2.gz CJMMZCUZIWKIOK-NVXWUHKLSA-N 0 0 426.539 -0.433 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000685340201 1071235242 /nfs/dbraw/zinc/23/52/42/1071235242.db2.gz CJMMZCUZIWKIOK-RDJZCZTQSA-N 0 0 426.539 -0.433 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)cc1 ZINC000685340202 1071235364 /nfs/dbraw/zinc/23/53/64/1071235364.db2.gz CJMMZCUZIWKIOK-WBVHZDCISA-N 0 0 426.539 -0.433 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1)[C@@H]1CN(C)CCO1 ZINC000685340597 1071235064 /nfs/dbraw/zinc/23/50/64/1071235064.db2.gz VQDWIQJDPBBCGF-HKUYNNGSSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1)[C@H]1CN(C)CCO1 ZINC000685340598 1071234916 /nfs/dbraw/zinc/23/49/16/1071234916.db2.gz VQDWIQJDPBBCGF-IEBWSBKVSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1)[C@@H]1CN(C)CCO1 ZINC000685340601 1071234838 /nfs/dbraw/zinc/23/48/38/1071234838.db2.gz VQDWIQJDPBBCGF-MJGOQNOKSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1)[C@H]1CN(C)CCO1 ZINC000685340604 1071235132 /nfs/dbraw/zinc/23/51/32/1071235132.db2.gz VQDWIQJDPBBCGF-PKOBYXMFSA-N 0 0 437.585 -0.243 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1)[C@H]1CN(C)CCO1 ZINC000685341117 1071234935 /nfs/dbraw/zinc/23/49/35/1071234935.db2.gz GJLKSBXRMXMCQF-FCGDIQPGSA-N 0 0 437.585 -0.530 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1)[C@@H]1CN(C)CCO1 ZINC000685341123 1071234981 /nfs/dbraw/zinc/23/49/81/1071234981.db2.gz GJLKSBXRMXMCQF-HCXYKTFWSA-N 0 0 437.585 -0.530 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1)[C@@H]1CN(C)CCO1 ZINC000685341125 1071235323 /nfs/dbraw/zinc/23/53/23/1071235323.db2.gz GJLKSBXRMXMCQF-RDGPPVDQSA-N 0 0 437.585 -0.530 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1)[C@H]1CN(C)CCO1 ZINC000685341127 1071235006 /nfs/dbraw/zinc/23/50/06/1071235006.db2.gz GJLKSBXRMXMCQF-ZSYWTGECSA-N 0 0 437.585 -0.530 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1)[C@H]1CN(C)CCO1 ZINC000685341426 1071235398 /nfs/dbraw/zinc/23/53/98/1071235398.db2.gz CWJDOGKFGRVKQF-FXAWDEMLSA-N 0 0 443.548 -0.445 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1)[C@@H]1CN(C)CCO1 ZINC000685341427 1071235027 /nfs/dbraw/zinc/23/50/27/1071235027.db2.gz CWJDOGKFGRVKQF-PXNSSMCTSA-N 0 0 443.548 -0.445 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1)[C@@H]1CN(C)CCO1 ZINC000685341428 1071236363 /nfs/dbraw/zinc/23/63/63/1071236363.db2.gz CWJDOGKFGRVKQF-XLIONFOSSA-N 0 0 443.548 -0.445 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1)[C@H]1CN(C)CCO1 ZINC000685341430 1071236432 /nfs/dbraw/zinc/23/64/32/1071236432.db2.gz CWJDOGKFGRVKQF-YLJYHZDGSA-N 0 0 443.548 -0.445 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685342003 1071237440 /nfs/dbraw/zinc/23/74/40/1071237440.db2.gz UDEXTMVZPINSBK-AEFFLSMTSA-N 0 0 438.550 -0.255 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1)[C@H]1CN(C)CCO1 ZINC000685342005 1071237208 /nfs/dbraw/zinc/23/72/08/1071237208.db2.gz UDEXTMVZPINSBK-FUHWJXTLSA-N 0 0 438.550 -0.255 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1)[C@H]1CN(C)CCO1 ZINC000685342007 1071237428 /nfs/dbraw/zinc/23/74/28/1071237428.db2.gz UDEXTMVZPINSBK-SJLPKXTDSA-N 0 0 438.550 -0.255 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685342010 1071237353 /nfs/dbraw/zinc/23/73/53/1071237353.db2.gz UDEXTMVZPINSBK-WMZOPIPTSA-N 0 0 438.550 -0.255 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1)[C@@H]1CN(C)CCO1 ZINC000685342910 1071237092 /nfs/dbraw/zinc/23/70/92/1071237092.db2.gz KCCLMVFMKKZRIR-JXFKEZNVSA-N 0 0 432.521 -0.063 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1)[C@H]1CN(C)CCO1 ZINC000685342914 1071237474 /nfs/dbraw/zinc/23/74/74/1071237474.db2.gz KCCLMVFMKKZRIR-OXJNMPFZSA-N 0 0 432.521 -0.063 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1)[C@H]1CN(C)CCO1 ZINC000685342916 1071237388 /nfs/dbraw/zinc/23/73/88/1071237388.db2.gz KCCLMVFMKKZRIR-OXQOHEQNSA-N 0 0 432.521 -0.063 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1)[C@@H]1CN(C)CCO1 ZINC000685342918 1071237271 /nfs/dbraw/zinc/23/72/71/1071237271.db2.gz KCCLMVFMKKZRIR-UZLBHIALSA-N 0 0 432.521 -0.063 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685342994 1071237133 /nfs/dbraw/zinc/23/71/33/1071237133.db2.gz RPFSGBMWTIKNFN-HKUYNNGSSA-N 0 0 431.537 -0.718 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@H]1CN(C)CCO1 ZINC000685342995 1071237457 /nfs/dbraw/zinc/23/74/57/1071237457.db2.gz RPFSGBMWTIKNFN-IEBWSBKVSA-N 0 0 431.537 -0.718 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685342996 1071237242 /nfs/dbraw/zinc/23/72/42/1071237242.db2.gz RPFSGBMWTIKNFN-MJGOQNOKSA-N 0 0 431.537 -0.718 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)[C@H]1CN(C)CCO1 ZINC000685342997 1071237497 /nfs/dbraw/zinc/23/74/97/1071237497.db2.gz RPFSGBMWTIKNFN-PKOBYXMFSA-N 0 0 431.537 -0.718 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)CC2)cs1 ZINC000685343450 1071237030 /nfs/dbraw/zinc/23/70/30/1071237030.db2.gz TUTUOUXPEXBAQR-GXTWGEPZSA-N 0 0 445.567 -0.880 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)CC2)cs1 ZINC000685343453 1071238019 /nfs/dbraw/zinc/23/80/19/1071238019.db2.gz TUTUOUXPEXBAQR-JSGCOSHPSA-N 0 0 445.567 -0.880 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)CC2)cs1 ZINC000685343455 1071238456 /nfs/dbraw/zinc/23/84/56/1071238456.db2.gz TUTUOUXPEXBAQR-OCCSQVGLSA-N 0 0 445.567 -0.880 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)CC2)cs1 ZINC000685343457 1071238048 /nfs/dbraw/zinc/23/80/48/1071238048.db2.gz TUTUOUXPEXBAQR-TZMCWYRMSA-N 0 0 445.567 -0.880 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1)[C@H]1CN(C)CCO1 ZINC000685343838 1071238500 /nfs/dbraw/zinc/23/85/00/1071238500.db2.gz PBBKVFMBIANNDL-CRAIPNDOSA-N 0 0 436.484 -0.289 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1)[C@H]1CN(C)CCO1 ZINC000685343839 1071238116 /nfs/dbraw/zinc/23/81/16/1071238116.db2.gz PBBKVFMBIANNDL-MAUKXSAKSA-N 0 0 436.484 -0.289 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685343840 1071238210 /nfs/dbraw/zinc/23/82/10/1071238210.db2.gz PBBKVFMBIANNDL-QAPCUYQASA-N 0 0 436.484 -0.289 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1)[C@@H]1CN(C)CCO1 ZINC000685343841 1071238603 /nfs/dbraw/zinc/23/86/03/1071238603.db2.gz PBBKVFMBIANNDL-YJBOKZPZSA-N 0 0 436.484 -0.289 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)[C@H]1CN(C)CCO1 ZINC000685344072 1071238569 /nfs/dbraw/zinc/23/85/69/1071238569.db2.gz RPELAQAJBKVPDW-AEGPPILISA-N 0 0 433.469 -0.795 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)[C@@H]1CN(C)CCO1 ZINC000685344073 1071238300 /nfs/dbraw/zinc/23/83/00/1071238300.db2.gz RPELAQAJBKVPDW-CFVMTHIKSA-N 0 0 433.469 -0.795 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)[C@H]1CN(C)CCO1 ZINC000685344074 1071237994 /nfs/dbraw/zinc/23/79/94/1071237994.db2.gz RPELAQAJBKVPDW-NWANDNLSSA-N 0 0 433.469 -0.795 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)[C@@H]1CN(C)CCO1 ZINC000685344076 1071238538 /nfs/dbraw/zinc/23/85/38/1071238538.db2.gz RPELAQAJBKVPDW-QEJZJMRPSA-N 0 0 433.469 -0.795 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1)[C@H]1CN(C)CCO1 ZINC000685344185 1071238258 /nfs/dbraw/zinc/23/82/58/1071238258.db2.gz TYNQCDYIGZETBG-BHYGNILZSA-N 0 0 430.571 -0.325 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1)[C@@H]1CN(C)CCO1 ZINC000685344186 1071238093 /nfs/dbraw/zinc/23/80/93/1071238093.db2.gz TYNQCDYIGZETBG-UAGQMJEPSA-N 0 0 430.571 -0.325 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1)[C@H]1CN(C)CCO1 ZINC000685344187 1071237907 /nfs/dbraw/zinc/23/79/07/1071237907.db2.gz TYNQCDYIGZETBG-USXIJHARSA-N 0 0 430.571 -0.325 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1)[C@@H]1CN(C)CCO1 ZINC000685344188 1071238142 /nfs/dbraw/zinc/23/81/42/1071238142.db2.gz TYNQCDYIGZETBG-XIRDDKMYSA-N 0 0 430.571 -0.325 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1)[C@H]1CN(C)CCO1 ZINC000685344342 1071238067 /nfs/dbraw/zinc/23/80/67/1071238067.db2.gz VFZAGBJVEBTHKC-CRAIPNDOSA-N 0 0 442.520 -0.185 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1)[C@H]1CN(C)CCO1 ZINC000685344343 1071237963 /nfs/dbraw/zinc/23/79/63/1071237963.db2.gz VFZAGBJVEBTHKC-MAUKXSAKSA-N 0 0 442.520 -0.185 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1)[C@@H]1CN(C)CCO1 ZINC000685344344 1071238408 /nfs/dbraw/zinc/23/84/08/1071238408.db2.gz VFZAGBJVEBTHKC-QAPCUYQASA-N 0 0 442.520 -0.185 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1)[C@@H]1CN(C)CCO1 ZINC000685344345 1071238171 /nfs/dbraw/zinc/23/81/71/1071238171.db2.gz VFZAGBJVEBTHKC-YJBOKZPZSA-N 0 0 442.520 -0.185 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)CC2)c1 ZINC000685344422 1071238365 /nfs/dbraw/zinc/23/83/65/1071238365.db2.gz WSFSRFLCISQOLX-APWZRJJASA-N 0 0 432.521 -0.256 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)CC2)c1 ZINC000685344423 1071239132 /nfs/dbraw/zinc/23/91/32/1071239132.db2.gz WSFSRFLCISQOLX-LPHOPBHVSA-N 0 0 432.521 -0.256 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)CC2)c1 ZINC000685344424 1071239532 /nfs/dbraw/zinc/23/95/32/1071239532.db2.gz WSFSRFLCISQOLX-QFBILLFUSA-N 0 0 432.521 -0.256 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)CC2)c1 ZINC000685344425 1071239576 /nfs/dbraw/zinc/23/95/76/1071239576.db2.gz WSFSRFLCISQOLX-VQIMIIECSA-N 0 0 432.521 -0.256 20 0 IBADRN C[C@H](NC(=O)C(=O)N(CCCN1CCOCC1)Cc1ccncc1)[C@H]1CN(C)CCO1 ZINC000685344658 1071239264 /nfs/dbraw/zinc/23/92/64/1071239264.db2.gz ZXUCXRLQJVPGJH-AZUAARDMSA-N 0 0 433.553 -0.032 20 0 IBADRN C[C@H](NC(=O)C(=O)N(CCCN1CCOCC1)Cc1ccncc1)[C@@H]1CN(C)CCO1 ZINC000685344659 1071239624 /nfs/dbraw/zinc/23/96/24/1071239624.db2.gz ZXUCXRLQJVPGJH-ICSRJNTNSA-N 0 0 433.553 -0.032 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(CCCN1CCOCC1)Cc1ccncc1)[C@@H]1CN(C)CCO1 ZINC000685344660 1071239407 /nfs/dbraw/zinc/23/94/07/1071239407.db2.gz ZXUCXRLQJVPGJH-QUCCMNQESA-N 0 0 433.553 -0.032 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(CCCN1CCOCC1)Cc1ccncc1)[C@H]1CN(C)CCO1 ZINC000685344661 1071239632 /nfs/dbraw/zinc/23/96/32/1071239632.db2.gz ZXUCXRLQJVPGJH-UYAOXDASSA-N 0 0 433.553 -0.032 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](c2ccc(Cl)cc2)[C@H]2CN(C)CCO2)CCO1 ZINC000685345598 1071239089 /nfs/dbraw/zinc/23/90/89/1071239089.db2.gz QJKHYMCRKLFPRM-IKGGRYGDSA-N 0 0 438.912 -0.199 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H](c2ccc(Cl)cc2)[C@H]2CN(C)CCO2)CCO1 ZINC000685345599 1071239604 /nfs/dbraw/zinc/23/96/04/1071239604.db2.gz QJKHYMCRKLFPRM-IXDOHACOSA-N 0 0 438.912 -0.199 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](c2ccc(Cl)cc2)[C@@H]2CN(C)CCO2)CCO1 ZINC000685345600 1071239337 /nfs/dbraw/zinc/23/93/37/1071239337.db2.gz QJKHYMCRKLFPRM-ULQDDVLXSA-N 0 0 438.912 -0.199 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H](c2ccc(Cl)cc2)[C@@H]2CN(C)CCO2)CCO1 ZINC000685345601 1071239496 /nfs/dbraw/zinc/23/94/96/1071239496.db2.gz QJKHYMCRKLFPRM-YESZJQIVSA-N 0 0 438.912 -0.199 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@@H]1CCCO1 ZINC000685352237 1071240083 /nfs/dbraw/zinc/24/00/83/1071240083.db2.gz UDWTVHJJMBUVQM-MSOLQXFVSA-N 0 0 439.534 -0.029 20 0 IBADRN COC[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@H]1CCCO1 ZINC000685352238 1071240130 /nfs/dbraw/zinc/24/01/30/1071240130.db2.gz UDWTVHJJMBUVQM-QZTJIDSGSA-N 0 0 439.534 -0.029 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@@H]1CCCO1 ZINC000685352239 1071239844 /nfs/dbraw/zinc/23/98/44/1071239844.db2.gz UDWTVHJJMBUVQM-ROUUACIJSA-N 0 0 439.534 -0.029 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@H]1CCCO1 ZINC000685352240 1071239967 /nfs/dbraw/zinc/23/99/67/1071239967.db2.gz UDWTVHJJMBUVQM-ZWKOTPCHSA-N 0 0 439.534 -0.029 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](COC)[C@H]2CCCO2)CC1 ZINC000685352721 1071240109 /nfs/dbraw/zinc/24/01/09/1071240109.db2.gz ZSGWUDCPQTVTAN-DLBZAZTESA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](COC)[C@H]2CCCO2)CC1 ZINC000685352723 1071240073 /nfs/dbraw/zinc/24/00/73/1071240073.db2.gz ZSGWUDCPQTVTAN-IAGOWNOFSA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@@H](COC)[C@@H]2CCCO2)CC1 ZINC000685352724 1071239981 /nfs/dbraw/zinc/23/99/81/1071239981.db2.gz ZSGWUDCPQTVTAN-IRXDYDNUSA-N 0 0 448.586 -0.192 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)N[C@H](COC)[C@@H]2CCCO2)CC1 ZINC000685352725 1071240167 /nfs/dbraw/zinc/24/01/67/1071240167.db2.gz ZSGWUDCPQTVTAN-SJORKVTESA-N 0 0 448.586 -0.192 20 0 IBADRN C[C@H](O)C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000685360345 1071240900 /nfs/dbraw/zinc/24/09/00/1071240900.db2.gz ANTTVBHTJUKOAJ-AZUAARDMSA-N 0 0 446.548 -0.340 20 0 IBADRN C[C@H](O)C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000685360346 1071240800 /nfs/dbraw/zinc/24/08/00/1071240800.db2.gz ANTTVBHTJUKOAJ-ICSRJNTNSA-N 0 0 446.548 -0.340 20 0 IBADRN C[C@@H](O)C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000685360347 1071240981 /nfs/dbraw/zinc/24/09/81/1071240981.db2.gz ANTTVBHTJUKOAJ-QUCCMNQESA-N 0 0 446.548 -0.340 20 0 IBADRN C[C@@H](O)C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000685360348 1071240934 /nfs/dbraw/zinc/24/09/34/1071240934.db2.gz ANTTVBHTJUKOAJ-UYAOXDASSA-N 0 0 446.548 -0.340 20 0 IBADRN COc1c(F)cc(Cc2nc(-c3cn(C)c(=O)n(C)c3=O)no2)cc1S(N)(=O)=O ZINC000685374332 1071241492 /nfs/dbraw/zinc/24/14/92/1071241492.db2.gz QQWUIBAXQVMFPV-UHFFFAOYSA-N 0 0 425.398 -0.480 20 0 IBADRN CC(C)c1ccnc(N2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)n1 ZINC000685403670 1071242201 /nfs/dbraw/zinc/24/22/01/1071242201.db2.gz FQSLOLJSXDSQDA-OAHLLOKOSA-N 0 0 438.554 -0.211 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000685403711 1071242213 /nfs/dbraw/zinc/24/22/13/1071242213.db2.gz QPDOIGTZHDJKEH-MRXNPFEDSA-N 0 0 446.570 -0.692 20 0 IBADRN C[C@@H](c1nccs1)N1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000685403877 1071242135 /nfs/dbraw/zinc/24/21/35/1071242135.db2.gz RXVDXUHHAXHKGZ-UONOGXRCSA-N 0 0 429.568 -0.112 20 0 IBADRN C[C@H](c1nccs1)N1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000685403878 1071242342 /nfs/dbraw/zinc/24/23/42/1071242342.db2.gz RXVDXUHHAXHKGZ-ZIAGYGMSSA-N 0 0 429.568 -0.112 20 0 IBADRN Cc1occc1C(=O)NC1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000685403933 1071242909 /nfs/dbraw/zinc/24/29/09/1071242909.db2.gz KTMUDVRICJWDDP-OAHLLOKOSA-N 0 0 440.522 -0.151 20 0 IBADRN Cc1nc(N2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)sc1C ZINC000685403939 1071243036 /nfs/dbraw/zinc/24/30/36/1071243036.db2.gz OBRKNILKRDMHQM-CQSZACIVSA-N 0 0 429.568 -0.051 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)[C@H]1COc2ccccc21 ZINC000685405677 1071243110 /nfs/dbraw/zinc/24/31/10/1071243110.db2.gz JHWMFOLTDVKDLK-DZGCQCFKSA-N 0 0 442.476 -0.844 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)[C@@H]1COc2ccccc21 ZINC000685405678 1071242922 /nfs/dbraw/zinc/24/29/22/1071242922.db2.gz JHWMFOLTDVKDLK-HIFRSBDPSA-N 0 0 442.476 -0.844 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)[C@H]1COc2ccccc21 ZINC000685405679 1071242875 /nfs/dbraw/zinc/24/28/75/1071242875.db2.gz JHWMFOLTDVKDLK-UKRRQHHQSA-N 0 0 442.476 -0.844 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)[C@@H]1COc2ccccc21 ZINC000685405680 1071243119 /nfs/dbraw/zinc/24/31/19/1071243119.db2.gz JHWMFOLTDVKDLK-ZFWWWQNUSA-N 0 0 442.476 -0.844 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)C1 ZINC000685406804 1071242935 /nfs/dbraw/zinc/24/29/35/1071242935.db2.gz QHUKKJODEDUOPR-QBPKDAKJSA-N 0 0 443.566 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)C1 ZINC000685406805 1071243130 /nfs/dbraw/zinc/24/31/30/1071243130.db2.gz QHUKKJODEDUOPR-TWMKSMIVSA-N 0 0 443.566 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)C1 ZINC000685406806 1071243064 /nfs/dbraw/zinc/24/30/64/1071243064.db2.gz QHUKKJODEDUOPR-VQHPVUNQSA-N 0 0 443.566 -0.041 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)C1 ZINC000685406807 1071243074 /nfs/dbraw/zinc/24/30/74/1071243074.db2.gz QHUKKJODEDUOPR-YYIAUSFCSA-N 0 0 443.566 -0.041 20 0 IBADRN CNS(=O)(=O)N1CCN(CCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000685416247 1071243896 /nfs/dbraw/zinc/24/38/96/1071243896.db2.gz RYRVEXLRMAILAJ-UHFFFAOYSA-N 0 0 448.567 -0.832 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000685421933 1071243864 /nfs/dbraw/zinc/24/38/64/1071243864.db2.gz ITERFDYWUVKBSB-GFCCVEGCSA-N 0 0 442.567 -0.732 20 0 IBADRN CSc1nnc(CNC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCOCC3)CC2)s1 ZINC000685421934 1071243733 /nfs/dbraw/zinc/24/37/33/1071243733.db2.gz ITERFDYWUVKBSB-LBPRGKRZSA-N 0 0 442.567 -0.732 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3cccnc3OCC(F)F)CC2)c1=O ZINC000685426202 1071244409 /nfs/dbraw/zinc/24/44/09/1071244409.db2.gz YHEUSBIFIUXNCR-UHFFFAOYSA-N 0 0 436.419 -0.216 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000685428387 1071243836 /nfs/dbraw/zinc/24/38/36/1071243836.db2.gz CYRMFBIMVJKZSA-BPQIPLTHSA-N 0 0 427.505 -0.562 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000685428388 1071243672 /nfs/dbraw/zinc/24/36/72/1071243672.db2.gz CYRMFBIMVJKZSA-NJAFHUGGSA-N 0 0 427.505 -0.562 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000685428389 1071243821 /nfs/dbraw/zinc/24/38/21/1071243821.db2.gz CYRMFBIMVJKZSA-RYQLBKOJSA-N 0 0 427.505 -0.562 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000685428390 1071243756 /nfs/dbraw/zinc/24/37/56/1071243756.db2.gz CYRMFBIMVJKZSA-SZMVWBNQSA-N 0 0 427.505 -0.562 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CCS(=O)(=O)CC1 ZINC000685431297 1071244395 /nfs/dbraw/zinc/24/43/95/1071244395.db2.gz ZMOKZFAOVDJUEC-UHFFFAOYSA-N 0 0 445.563 -0.108 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCN(Cc3ccccn3)CC2)CC1)N1CCOCC1 ZINC000685431444 1071244572 /nfs/dbraw/zinc/24/45/72/1071244572.db2.gz FIFHJWLCXQUTPN-UHFFFAOYSA-N 0 0 430.553 -0.798 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000685434559 1071244662 /nfs/dbraw/zinc/24/46/62/1071244662.db2.gz YRDDOCSYEJOPFO-KRWDZBQOSA-N 0 0 435.500 -0.003 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(C[C@H]2CCCO2)CC1 ZINC000685434560 1071244525 /nfs/dbraw/zinc/24/45/25/1071244525.db2.gz YRDDOCSYEJOPFO-QGZVFWFLSA-N 0 0 435.500 -0.003 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)c(F)c1)C(=O)N1CCN(c2cnccn2)CC1 ZINC000685434610 1071244437 /nfs/dbraw/zinc/24/44/37/1071244437.db2.gz IBBZRHPHHAKIQG-UHFFFAOYSA-N 0 0 429.456 -0.188 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)CC2)n[nH]1 ZINC000685435168 1071244381 /nfs/dbraw/zinc/24/43/81/1071244381.db2.gz YCCKMUZPRCELCB-UHFFFAOYSA-N 0 0 428.497 -0.754 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN([C@@H](C)C(=O)NC4CC4)CC3)CC2)n[nH]1 ZINC000685435511 1071244489 /nfs/dbraw/zinc/24/44/89/1071244489.db2.gz ZVSRYYWOUYXWEG-HNNXBMFYSA-N 0 0 431.541 -0.386 20 0 IBADRN Cc1cc(N2CCC(NC(=O)C(=O)N3CCN([C@H](C)C(=O)NC4CC4)CC3)CC2)n[nH]1 ZINC000685435512 1071244516 /nfs/dbraw/zinc/24/45/16/1071244516.db2.gz ZVSRYYWOUYXWEG-OAHLLOKOSA-N 0 0 431.541 -0.386 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cnc(N4CCOCC4)c(F)c3)CC2=O)cn1 ZINC000685435621 1071244651 /nfs/dbraw/zinc/24/46/51/1071244651.db2.gz URFDHIVFHSCMTE-UHFFFAOYSA-N 0 0 445.455 -0.718 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCc1nc(N)no1 ZINC000685440097 1071244623 /nfs/dbraw/zinc/24/46/23/1071244623.db2.gz XVIPDGCVLHYCTH-UHFFFAOYSA-N 0 0 438.510 -0.041 20 0 IBADRN COCCn1cc2c(n1)[C@@H](NC(=O)C(=O)N1C[C@@H](c3cnn(C)c3)[C@H](CO)C1)CCC2 ZINC000685445640 1071245427 /nfs/dbraw/zinc/24/54/27/1071245427.db2.gz INAUCUSQFSSVGR-BZSNNMDCSA-N 0 0 430.509 -0.009 20 0 IBADRN COCCn1cc2c(n1)[C@H](NC(=O)C(=O)N1C[C@@H](c3cnn(C)c3)[C@H](CO)C1)CCC2 ZINC000685445641 1071245382 /nfs/dbraw/zinc/24/53/82/1071245382.db2.gz INAUCUSQFSSVGR-OKZBNKHCSA-N 0 0 430.509 -0.009 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CCC1 ZINC000685451249 1071245271 /nfs/dbraw/zinc/24/52/71/1071245271.db2.gz QIRPCLUSFVFCDK-UHFFFAOYSA-N 0 0 441.510 -0.929 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccnn1C ZINC000685479779 1071245338 /nfs/dbraw/zinc/24/53/38/1071245338.db2.gz GRDLGGFBPCJYEG-KRWDZBQOSA-N 0 0 434.541 -0.721 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccnn1C ZINC000685479780 1071245323 /nfs/dbraw/zinc/24/53/23/1071245323.db2.gz GRDLGGFBPCJYEG-QGZVFWFLSA-N 0 0 434.541 -0.721 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccnn1C ZINC000685480696 1071245398 /nfs/dbraw/zinc/24/53/98/1071245398.db2.gz RCAYCIBDKSRAIF-KRWDZBQOSA-N 0 0 434.541 -0.721 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccnn1C ZINC000685480700 1071245254 /nfs/dbraw/zinc/24/52/54/1071245254.db2.gz RCAYCIBDKSRAIF-QGZVFWFLSA-N 0 0 434.541 -0.721 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)[C@@H]1Oc1ccccc1 ZINC000685482656 1071245350 /nfs/dbraw/zinc/24/53/50/1071245350.db2.gz DHKKYIHHAHXYBJ-FFGOWVMKSA-N 0 0 435.521 -0.121 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)[C@H]1Oc1ccccc1 ZINC000685482657 1071245449 /nfs/dbraw/zinc/24/54/49/1071245449.db2.gz DHKKYIHHAHXYBJ-GSEOLPGOSA-N 0 0 435.521 -0.121 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)[C@H]1Oc1ccccc1 ZINC000685482658 1071245186 /nfs/dbraw/zinc/24/51/86/1071245186.db2.gz DHKKYIHHAHXYBJ-IJXRJRJASA-N 0 0 435.521 -0.121 20 0 IBADRN CCO[C@@H]1C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)[C@@H]1Oc1ccccc1 ZINC000685482659 1071245477 /nfs/dbraw/zinc/24/54/77/1071245477.db2.gz DHKKYIHHAHXYBJ-MDNKFWRPSA-N 0 0 435.521 -0.121 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)c1 ZINC000685484438 1071246348 /nfs/dbraw/zinc/24/63/48/1071246348.db2.gz DHCYAOGGWGXNNI-UHFFFAOYSA-N 0 0 444.492 -0.344 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)CC1 ZINC000685484471 1071246337 /nfs/dbraw/zinc/24/63/37/1071246337.db2.gz GANZPLKTJCVZQS-UHFFFAOYSA-N 0 0 447.540 -0.736 20 0 IBADRN O=C(Cc1ccc(Cl)cc1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)CC1 ZINC000685484706 1071246028 /nfs/dbraw/zinc/24/60/28/1071246028.db2.gz ORMUNJIPWYPMRT-UHFFFAOYSA-N 0 0 446.895 -0.009 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)cc(OC)c1 ZINC000685484728 1071245997 /nfs/dbraw/zinc/24/59/97/1071245997.db2.gz QEYWVGKMZMWYNU-UHFFFAOYSA-N 0 0 444.492 -0.214 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)CC1)C1CCCCC1 ZINC000685484747 1071246093 /nfs/dbraw/zinc/24/60/93/1071246093.db2.gz QZMJNTPWNWBRJT-UHFFFAOYSA-N 0 0 447.540 -0.640 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)CC1)NC1CCCCC1 ZINC000685484815 1071245979 /nfs/dbraw/zinc/24/59/79/1071245979.db2.gz VBOWRHPBJSQXNE-UHFFFAOYSA-N 0 0 433.513 -0.982 20 0 IBADRN CN(CCN1CCN(c2ccccc2)CC1)C(=O)C(=O)NCc1nnc2n1CCOC2 ZINC000685484824 1071245939 /nfs/dbraw/zinc/24/59/39/1071245939.db2.gz VIEFWWDYIHGBMD-UHFFFAOYSA-N 0 0 427.509 -0.295 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)CC1 ZINC000685484833 1071246177 /nfs/dbraw/zinc/24/61/77/1071246177.db2.gz VOGILXPPNDPIPX-UHFFFAOYSA-N 0 0 426.477 -0.354 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)CC1 ZINC000685484931 1071246310 /nfs/dbraw/zinc/24/63/10/1071246310.db2.gz YPVAHQAPVSQUMQ-UHFFFAOYSA-N 0 0 442.476 -0.192 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000685485025 1071246064 /nfs/dbraw/zinc/24/60/64/1071246064.db2.gz ZOKBOSPSBFRIPD-UHFFFAOYSA-N 0 0 446.489 -0.972 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1nnc2n1CCOC2)N1CCN(c2ccccc2)CC1 ZINC000685485123 1071246008 /nfs/dbraw/zinc/24/60/08/1071246008.db2.gz CCQLAAVUYRYUGU-INIZCTEOSA-N 0 0 427.509 -0.249 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1nnc2n1CCOC2)N1CCN(c2ccccc2)CC1 ZINC000685485125 1071246281 /nfs/dbraw/zinc/24/62/81/1071246281.db2.gz CCQLAAVUYRYUGU-MRXNPFEDSA-N 0 0 427.509 -0.249 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)C1 ZINC000685485164 1071245901 /nfs/dbraw/zinc/24/59/01/1071245901.db2.gz IOHWPGAIOFGSDW-CVEARBPZSA-N 0 0 447.540 -0.736 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)C1 ZINC000685485165 1071245922 /nfs/dbraw/zinc/24/59/22/1071245922.db2.gz IOHWPGAIOFGSDW-HOTGVXAUSA-N 0 0 447.540 -0.736 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)C1 ZINC000685485166 1071245968 /nfs/dbraw/zinc/24/59/68/1071245968.db2.gz IOHWPGAIOFGSDW-HZPDHXFCSA-N 0 0 447.540 -0.736 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)C1 ZINC000685485167 1071246081 /nfs/dbraw/zinc/24/60/81/1071246081.db2.gz IOHWPGAIOFGSDW-JKSUJKDBSA-N 0 0 447.540 -0.736 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000685485226 1071246260 /nfs/dbraw/zinc/24/62/60/1071246260.db2.gz GCHMTGUAZJTQOR-UHFFFAOYSA-N 0 0 442.476 -0.460 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)CC1)Nc1ccc(F)cc1 ZINC000685485231 1071246847 /nfs/dbraw/zinc/24/68/47/1071246847.db2.gz JPQJVNKCTGTQRZ-UHFFFAOYSA-N 0 0 445.455 -0.654 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)C1 ZINC000685485329 1071246720 /nfs/dbraw/zinc/24/67/20/1071246720.db2.gz JPKULPNFRODNBB-HOTGVXAUSA-N 0 0 447.540 -0.927 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)C1 ZINC000685485330 1071247021 /nfs/dbraw/zinc/24/70/21/1071247021.db2.gz JPKULPNFRODNBB-HZPDHXFCSA-N 0 0 447.540 -0.927 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)C1 ZINC000685485331 1071246701 /nfs/dbraw/zinc/24/67/01/1071246701.db2.gz JPKULPNFRODNBB-IYBDPMFKSA-N 0 0 447.540 -0.927 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)C(=O)N1CCN([C@@H](CO)c2ccc(Cl)cc2)CC1 ZINC000685485452 1071246731 /nfs/dbraw/zinc/24/67/31/1071246731.db2.gz PSCQDLQLERDKIE-INIZCTEOSA-N 0 0 448.911 -0.044 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)C(=O)N1CCN([C@H](CO)c2ccc(Cl)cc2)CC1 ZINC000685485458 1071246747 /nfs/dbraw/zinc/24/67/47/1071246747.db2.gz PSCQDLQLERDKIE-MRXNPFEDSA-N 0 0 448.911 -0.044 20 0 IBADRN Cc1cc(N2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)nc(C(C)C)n1 ZINC000685485618 1071246926 /nfs/dbraw/zinc/24/69/26/1071246926.db2.gz PZGICFLPKANMCZ-UHFFFAOYSA-N 0 0 428.497 -0.005 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NCc3nnc4n3CCOC4)CC2)cc1F ZINC000685486000 1071246975 /nfs/dbraw/zinc/24/69/75/1071246975.db2.gz SYJCEFDLZYJAJV-UHFFFAOYSA-N 0 0 432.456 -0.083 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)CC1 ZINC000685486086 1071246866 /nfs/dbraw/zinc/24/68/66/1071246866.db2.gz VKUASHQLQXKFLW-UHFFFAOYSA-N 0 0 446.439 -0.687 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)CC1 ZINC000685486447 1071247031 /nfs/dbraw/zinc/24/70/31/1071247031.db2.gz MNXVSLROTHRGPY-UHFFFAOYSA-N 0 0 432.456 -0.083 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)C(=O)N1CCN(Cc2ccc(Cl)cc2)C(=O)C1 ZINC000685486526 1071246780 /nfs/dbraw/zinc/24/67/80/1071246780.db2.gz PEXBOYSLMJBCCU-UHFFFAOYSA-N 0 0 432.868 -0.051 20 0 IBADRN C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)NCc1nnc2n1CCOC2 ZINC000685486708 1071246884 /nfs/dbraw/zinc/24/68/84/1071246884.db2.gz WLGFJJMOUXJVAN-INIZCTEOSA-N 0 0 427.509 -0.249 20 0 IBADRN C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)C(=O)NCc1nnc2n1CCOC2 ZINC000685486709 1071246950 /nfs/dbraw/zinc/24/69/50/1071246950.db2.gz WLGFJJMOUXJVAN-MRXNPFEDSA-N 0 0 427.509 -0.249 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3=O)C2)n1 ZINC000685488564 1071246986 /nfs/dbraw/zinc/24/69/86/1071246986.db2.gz WNXAEWKYNGRBPU-KBPBESRZSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)N[C@H]3CCCN(c4ccnn4C)C3=O)C2)n1 ZINC000685488565 1071246997 /nfs/dbraw/zinc/24/69/97/1071246997.db2.gz WNXAEWKYNGRBPU-KGLIPLIRSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3=O)C2)n1 ZINC000685488566 1071247009 /nfs/dbraw/zinc/24/70/09/1071247009.db2.gz WNXAEWKYNGRBPU-UONOGXRCSA-N 0 0 444.496 -0.113 20 0 IBADRN CN(C)c1noc([C@@H]2CCCN(C(=O)C(=O)N[C@@H]3CCCN(c4ccnn4C)C3=O)C2)n1 ZINC000685488567 1071247665 /nfs/dbraw/zinc/24/76/65/1071247665.db2.gz WNXAEWKYNGRBPU-ZIAGYGMSSA-N 0 0 444.496 -0.113 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1=O ZINC000685488578 1071247531 /nfs/dbraw/zinc/24/75/31/1071247531.db2.gz YICFHLHOTSPTKP-INIZCTEOSA-N 0 0 447.540 -0.863 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1=O ZINC000685488579 1071247441 /nfs/dbraw/zinc/24/74/41/1071247441.db2.gz YICFHLHOTSPTKP-MRXNPFEDSA-N 0 0 447.540 -0.863 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1=O ZINC000685488618 1071247651 /nfs/dbraw/zinc/24/76/51/1071247651.db2.gz DREOJUTXJAAUMY-GFCCVEGCSA-N 0 0 447.525 -0.703 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1=O ZINC000685488619 1071247601 /nfs/dbraw/zinc/24/76/01/1071247601.db2.gz DREOJUTXJAAUMY-LBPRGKRZSA-N 0 0 447.525 -0.703 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)C1=O ZINC000685488656 1071247730 /nfs/dbraw/zinc/24/77/30/1071247730.db2.gz QXCCZMHWZRXMSP-CABCVRRESA-N 0 0 431.497 -0.423 20 0 IBADRN CCn1nccc1N1CCC[C@H](NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)C1=O ZINC000685488657 1071247569 /nfs/dbraw/zinc/24/75/69/1071247569.db2.gz QXCCZMHWZRXMSP-GJZGRUSLSA-N 0 0 431.497 -0.423 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)C1=O ZINC000685488658 1071247742 /nfs/dbraw/zinc/24/77/42/1071247742.db2.gz QXCCZMHWZRXMSP-HUUCEWRRSA-N 0 0 431.497 -0.423 20 0 IBADRN CCn1nccc1N1CCC[C@@H](NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)C1=O ZINC000685488659 1071247461 /nfs/dbraw/zinc/24/74/61/1071247461.db2.gz QXCCZMHWZRXMSP-LSDHHAIUSA-N 0 0 431.497 -0.423 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCOCC(F)(F)F)CC2)o1 ZINC000685491243 1071245164 /nfs/dbraw/zinc/24/51/64/1071245164.db2.gz PPRQZYWOOIKONZ-UHFFFAOYSA-N 0 0 449.429 -0.407 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(c3nccnc3C)CC2)(N2CCOCC2)C1 ZINC000685493958 1071247558 /nfs/dbraw/zinc/24/75/58/1071247558.db2.gz BIIHLCXGJNKOHZ-UHFFFAOYSA-N 0 0 446.552 -0.180 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)(N2CCOCC2)C1 ZINC000685493970 1071247491 /nfs/dbraw/zinc/24/74/91/1071247491.db2.gz DPFQJJGWNDSUNT-FLPIEVNYSA-N 0 0 438.569 -0.304 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)(N2CCOCC2)C1 ZINC000685493971 1071247627 /nfs/dbraw/zinc/24/76/27/1071247627.db2.gz DPFQJJGWNDSUNT-PGFLUOATSA-N 0 0 438.569 -0.304 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCN(c3cnccn3)CC2)(N2CCOCC2)C1 ZINC000685494636 1071247714 /nfs/dbraw/zinc/24/77/14/1071247714.db2.gz IHBMCSADMDZDIT-UHFFFAOYSA-N 0 0 432.525 -0.489 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)NCCN2CCOCC2(C)C)(N2CCOCC2)C1 ZINC000685494675 1071247682 /nfs/dbraw/zinc/24/76/82/1071247682.db2.gz PQWTVARXFXOCOJ-UHFFFAOYSA-N 0 0 426.558 -0.401 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)(N2CCOCC2)C1 ZINC000685494692 1071247698 /nfs/dbraw/zinc/24/76/98/1071247698.db2.gz UDSMDWRLFMQGLN-KOHRHEQBSA-N 0 0 431.555 -0.592 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)(N2CCOCC2)C1 ZINC000685494693 1071247516 /nfs/dbraw/zinc/24/75/16/1071247516.db2.gz UDSMDWRLFMQGLN-WUJZJPHMSA-N 0 0 431.555 -0.592 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)(N2CCOCC2)C1 ZINC000685494742 1071247585 /nfs/dbraw/zinc/24/75/85/1071247585.db2.gz GXZULDKUBUNPKC-BKVYSMKUSA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCO[C@H]([C@H]3CCCO3)C2)(N2CCOCC2)C1 ZINC000685494743 1071247614 /nfs/dbraw/zinc/24/76/14/1071247614.db2.gz GXZULDKUBUNPKC-IOBOIYPZSA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCO[C@H]([C@@H]3CCCO3)C2)(N2CCOCC2)C1 ZINC000685494744 1071247482 /nfs/dbraw/zinc/24/74/82/1071247482.db2.gz GXZULDKUBUNPKC-KQNFGGRRSA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCO[C@@H]([C@@H]3CCCO3)C2)(N2CCOCC2)C1 ZINC000685494745 1071247471 /nfs/dbraw/zinc/24/74/71/1071247471.db2.gz GXZULDKUBUNPKC-UISNHOIMSA-N 0 0 425.526 -0.221 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC[C@H](N3CCCC3=O)C2)(N2CCOCC2)C1 ZINC000685494759 1071248576 /nfs/dbraw/zinc/24/85/76/1071248576.db2.gz KUFFPMNIDDRFBW-LYGNXSNRSA-N 0 0 436.553 -0.014 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC[C@@H](N3CCCC3=O)C2)(N2CCOCC2)C1 ZINC000685494760 1071248412 /nfs/dbraw/zinc/24/84/12/1071248412.db2.gz KUFFPMNIDDRFBW-PDDLGQBUSA-N 0 0 436.553 -0.014 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1)N1CCCCCC1 ZINC000685511072 1071248486 /nfs/dbraw/zinc/24/84/86/1071248486.db2.gz RRPOQRUAFMYGDQ-UHFFFAOYSA-N 0 0 435.569 -0.486 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)n1 ZINC000685511417 1071248426 /nfs/dbraw/zinc/24/84/26/1071248426.db2.gz QXYKOPNXGIHEIC-UHFFFAOYSA-N 0 0 432.525 -0.105 20 0 IBADRN Cn1nncc1S(=O)(=O)N1CCCC2(CCCN(S(=O)(=O)c3cnnn3C)C2)C1 ZINC000685516868 1071248352 /nfs/dbraw/zinc/24/83/52/1071248352.db2.gz DVKHTZJLEQICBZ-UHFFFAOYSA-N 0 0 444.543 -0.801 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000685524280 1071249273 /nfs/dbraw/zinc/24/92/73/1071249273.db2.gz HYHVWUREXZFVHH-GOSISDBHSA-N 0 0 447.584 -0.174 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000685524281 1071249302 /nfs/dbraw/zinc/24/93/02/1071249302.db2.gz HYHVWUREXZFVHH-SFHVURJKSA-N 0 0 447.584 -0.174 20 0 IBADRN C[C@H](O)CN(CCO)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000685524444 1071249097 /nfs/dbraw/zinc/24/90/97/1071249097.db2.gz KNUUUAREBPSSOZ-GXTWGEPZSA-N 0 0 435.524 -0.641 20 0 IBADRN C[C@H](O)CN(CCO)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000685524445 1071249044 /nfs/dbraw/zinc/24/90/44/1071249044.db2.gz KNUUUAREBPSSOZ-JSGCOSHPSA-N 0 0 435.524 -0.641 20 0 IBADRN C[C@@H](O)CN(CCO)C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000685524446 1071248968 /nfs/dbraw/zinc/24/89/68/1071248968.db2.gz KNUUUAREBPSSOZ-OCCSQVGLSA-N 0 0 435.524 -0.641 20 0 IBADRN C[C@@H](O)CN(CCO)C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000685524447 1071249031 /nfs/dbraw/zinc/24/90/31/1071249031.db2.gz KNUUUAREBPSSOZ-TZMCWYRMSA-N 0 0 435.524 -0.641 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000685524721 1071249841 /nfs/dbraw/zinc/24/98/41/1071249841.db2.gz VSVXPDYKBUUKAD-KRWDZBQOSA-N 0 0 434.541 -0.185 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3cc(C)nn3C)C2)CC1 ZINC000685524722 1071249705 /nfs/dbraw/zinc/24/97/05/1071249705.db2.gz VSVXPDYKBUUKAD-QGZVFWFLSA-N 0 0 434.541 -0.185 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)C2)n(C)n1 ZINC000685524982 1071249896 /nfs/dbraw/zinc/24/98/96/1071249896.db2.gz FWDXQOYRROZGSJ-KRWDZBQOSA-N 0 0 433.557 -0.564 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)C2)n(C)n1 ZINC000685524983 1071249947 /nfs/dbraw/zinc/24/99/47/1071249947.db2.gz FWDXQOYRROZGSJ-QGZVFWFLSA-N 0 0 433.557 -0.564 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(Cc4cnn(C)c4)CC3)C2)n(C)n1 ZINC000685525440 1071249830 /nfs/dbraw/zinc/24/98/30/1071249830.db2.gz ZTNJLISLWJQCJK-GOSISDBHSA-N 0 0 428.541 -0.109 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(Cc4cnn(C)c4)CC3)C2)n(C)n1 ZINC000685525444 1071249737 /nfs/dbraw/zinc/24/97/37/1071249737.db2.gz ZTNJLISLWJQCJK-SFHVURJKSA-N 0 0 428.541 -0.109 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)C2)n(C)n1 ZINC000685525628 1071249866 /nfs/dbraw/zinc/24/98/66/1071249866.db2.gz VZOWJQDBIOLPOE-GOSISDBHSA-N 0 0 445.568 -0.420 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)C2)n(C)n1 ZINC000685525629 1071249854 /nfs/dbraw/zinc/24/98/54/1071249854.db2.gz VZOWJQDBIOLPOE-SFHVURJKSA-N 0 0 445.568 -0.420 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NCCCn3cc(CO)nn3)cn2)CC1 ZINC000685530033 1071250413 /nfs/dbraw/zinc/25/04/13/1071250413.db2.gz ADUVXYGOAVKCGM-UHFFFAOYSA-N 0 0 438.514 -0.541 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000685542985 1071250502 /nfs/dbraw/zinc/25/05/02/1071250502.db2.gz ULZVIKNJQNEQKX-UHFFFAOYSA-N 0 0 425.471 -0.602 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)[C@H]1c1ccccc1 ZINC000685582353 1071251373 /nfs/dbraw/zinc/25/13/73/1071251373.db2.gz ABVSCQHJJHVZRJ-YADHBBJMSA-N 0 0 444.532 -0.022 20 0 IBADRN CCN(CC)CCS(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000685588874 1071251172 /nfs/dbraw/zinc/25/11/72/1071251172.db2.gz WUBQMKKLTUPBOT-KRWDZBQOSA-N 0 0 425.617 -0.131 20 0 IBADRN CCN(CC)CCS(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000685588876 1071251548 /nfs/dbraw/zinc/25/15/48/1071251548.db2.gz WUBQMKKLTUPBOT-QGZVFWFLSA-N 0 0 425.617 -0.131 20 0 IBADRN CCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCC)cc1 ZINC000685589072 1071251578 /nfs/dbraw/zinc/25/15/78/1071251578.db2.gz ITYNCSNVJJXLBV-RYUDHWBXSA-N 0 0 434.540 -0.708 20 0 IBADRN CCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCC)cc1 ZINC000685589073 1071251472 /nfs/dbraw/zinc/25/14/72/1071251472.db2.gz ITYNCSNVJJXLBV-TXEJJXNPSA-N 0 0 434.540 -0.708 20 0 IBADRN CCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)NCC)cc1 ZINC000685589074 1071251492 /nfs/dbraw/zinc/25/14/92/1071251492.db2.gz ITYNCSNVJJXLBV-VXGBXAGGSA-N 0 0 434.540 -0.708 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@H](C)O)CC2)cc1OC ZINC000685589456 1071251557 /nfs/dbraw/zinc/25/15/57/1071251557.db2.gz VNBNJZJSZWOZPB-HNNXBMFYSA-N 0 0 445.538 -0.788 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CCO)C[C@@H](C)O)CC2)cc1OC ZINC000685589457 1071251225 /nfs/dbraw/zinc/25/12/25/1071251225.db2.gz VNBNJZJSZWOZPB-OAHLLOKOSA-N 0 0 445.538 -0.788 20 0 IBADRN CCNC(=O)CN(C)S(=O)(=O)c1ccc(S(=O)(=O)N(C)CC(=O)NCC)cc1 ZINC000685589867 1071251335 /nfs/dbraw/zinc/25/13/35/1071251335.db2.gz IURLVWLWWBVALR-UHFFFAOYSA-N 0 0 434.540 -0.800 20 0 IBADRN O=C(c1ccc(CN2CCOCC2)cn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000685594532 1071251520 /nfs/dbraw/zinc/25/15/20/1071251520.db2.gz DHXYBBJVABHOMN-UHFFFAOYSA-N 0 0 439.538 -0.751 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3c(c1)C(=O)CCC3)C2 ZINC000685597311 1071252321 /nfs/dbraw/zinc/25/23/21/1071252321.db2.gz BDASSNNIPUCSHK-UHFFFAOYSA-N 0 0 433.490 -0.144 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CC[C@@](F)(CO)C2)cc1)N1CC[C@@](F)(CO)C1 ZINC000685598886 1071252231 /nfs/dbraw/zinc/25/22/31/1071252231.db2.gz JPLAZMKVHFSBCL-HOTGVXAUSA-N 0 0 440.490 -0.123 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CC[C@](F)(CO)C2)cc1)N1CC[C@](F)(CO)C1 ZINC000685598887 1071252270 /nfs/dbraw/zinc/25/22/70/1071252270.db2.gz JPLAZMKVHFSBCL-HZPDHXFCSA-N 0 0 440.490 -0.123 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CC[C@@](F)(CO)C2)cc1)N1CC[C@](F)(CO)C1 ZINC000685598889 1071252192 /nfs/dbraw/zinc/25/21/92/1071252192.db2.gz JPLAZMKVHFSBCL-IYBDPMFKSA-N 0 0 440.490 -0.123 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000685599678 1071252147 /nfs/dbraw/zinc/25/21/47/1071252147.db2.gz CHOUFKGEJGMACJ-UHFFFAOYSA-N 0 0 432.524 -0.882 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC(NS(C)(=O)=O)CC2)cc1OC ZINC000685602029 1071252208 /nfs/dbraw/zinc/25/22/08/1071252208.db2.gz SWMAGCUPLYJBMN-UHFFFAOYSA-N 0 0 435.524 -0.478 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(C4CCOCC4)nn3)CC2)C[C@H](C)O1 ZINC000685602947 1071252266 /nfs/dbraw/zinc/25/22/66/1071252266.db2.gz VCTOYLKUYPECHO-GASCZTMLSA-N 0 0 442.542 -0.259 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(C4CCOCC4)nn3)CC2)C[C@H](C)O1 ZINC000685602948 1071252308 /nfs/dbraw/zinc/25/23/08/1071252308.db2.gz VCTOYLKUYPECHO-GJZGRUSLSA-N 0 0 442.542 -0.259 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn(C4CCOCC4)nn3)CC2)C[C@@H](C)O1 ZINC000685602949 1071252249 /nfs/dbraw/zinc/25/22/49/1071252249.db2.gz VCTOYLKUYPECHO-HUUCEWRRSA-N 0 0 442.542 -0.259 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000685603649 1071251981 /nfs/dbraw/zinc/25/19/81/1071251981.db2.gz VFCJSXVTPOARGH-UHFFFAOYSA-N 0 0 427.527 -0.622 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCCN(S(=O)(=O)N(C)C)CC2)ccc1C ZINC000685603665 1071251864 /nfs/dbraw/zinc/25/18/64/1071251864.db2.gz GEHQLOLQGOHHJD-UHFFFAOYSA-N 0 0 447.583 -0.344 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(Cc2nnc3n2c2ccsc2c(=O)n3C)CC1 ZINC000685603675 1071252221 /nfs/dbraw/zinc/25/22/21/1071252221.db2.gz LNKDEVARXSKNSX-UHFFFAOYSA-N 0 0 425.540 -0.043 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CC(=O)N(CCC(N)=O)Cc2ccccc2)CC1 ZINC000685603716 1071252022 /nfs/dbraw/zinc/25/20/22/1071252022.db2.gz RTMVWNFNIKTVLT-UHFFFAOYSA-N 0 0 425.555 -0.295 20 0 IBADRN COc1ccc(C[C@@](C)(O)CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1OC ZINC000685604675 1071252865 /nfs/dbraw/zinc/25/28/65/1071252865.db2.gz PQYNBVBSPFMCHG-GOSISDBHSA-N 0 0 427.479 -0.627 20 0 IBADRN COc1ccc(C[C@](C)(O)CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc1OC ZINC000685604678 1071252940 /nfs/dbraw/zinc/25/29/40/1071252940.db2.gz PQYNBVBSPFMCHG-SFHVURJKSA-N 0 0 427.479 -0.627 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCc2cccc(S(N)(=O)=O)c2)cc1 ZINC000685604839 1071252890 /nfs/dbraw/zinc/25/28/90/1071252890.db2.gz IJSHFWDMGBFARZ-UHFFFAOYSA-N 0 0 440.503 -0.113 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000685606153 1071251843 /nfs/dbraw/zinc/25/18/43/1071251843.db2.gz YIKMLRKYTMQTQE-UHFFFAOYSA-N 0 0 432.568 -0.132 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1OC ZINC000685609266 1071252957 /nfs/dbraw/zinc/25/29/57/1071252957.db2.gz JHLULOQXTKEQEJ-GFCCVEGCSA-N 0 0 435.524 -0.478 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1OC ZINC000685609268 1071252851 /nfs/dbraw/zinc/25/28/51/1071252851.db2.gz JHLULOQXTKEQEJ-LBPRGKRZSA-N 0 0 435.524 -0.478 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000685609419 1071252711 /nfs/dbraw/zinc/25/27/11/1071252711.db2.gz ZYMDJBQKHYSHSF-AWEZNQCLSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000685609420 1071252922 /nfs/dbraw/zinc/25/29/22/1071252922.db2.gz ZYMDJBQKHYSHSF-CQSZACIVSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC000685611108 1071252742 /nfs/dbraw/zinc/25/27/42/1071252742.db2.gz XOUXECUBUNRFNU-AWEZNQCLSA-N 0 0 431.536 -0.045 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)c1 ZINC000685611109 1071252754 /nfs/dbraw/zinc/25/27/54/1071252754.db2.gz XOUXECUBUNRFNU-CQSZACIVSA-N 0 0 431.536 -0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000685611796 1071252930 /nfs/dbraw/zinc/25/29/30/1071252930.db2.gz PMPTVRJJOCJAQR-AWEZNQCLSA-N 0 0 431.536 -0.657 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000685611797 1071252790 /nfs/dbraw/zinc/25/27/90/1071252790.db2.gz PMPTVRJJOCJAQR-CQSZACIVSA-N 0 0 431.536 -0.657 20 0 IBADRN COCC(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000685618324 1071252831 /nfs/dbraw/zinc/25/28/31/1071252831.db2.gz FBTLCYQDIQAVIV-UHFFFAOYSA-N 0 0 429.495 -0.264 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000685623934 1071253910 /nfs/dbraw/zinc/25/39/10/1071253910.db2.gz JMVCQVDFIJIGQB-UHFFFAOYSA-N 0 0 448.476 -0.008 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000685630787 1071253629 /nfs/dbraw/zinc/25/36/29/1071253629.db2.gz NQMCJIBOFZASGP-UHFFFAOYSA-N 0 0 436.490 -0.907 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000685633186 1071253595 /nfs/dbraw/zinc/25/35/95/1071253595.db2.gz HGBACSICMCOAQT-UHFFFAOYSA-N 0 0 440.570 -0.090 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)CC1 ZINC000685633570 1071253468 /nfs/dbraw/zinc/25/34/68/1071253468.db2.gz VJGUYAIPNLTHMW-UHFFFAOYSA-N 0 0 440.526 -0.362 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000685635647 1071253810 /nfs/dbraw/zinc/25/38/10/1071253810.db2.gz XSVQHYQXAHKBOO-UHFFFAOYSA-N 0 0 434.544 -0.824 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000685636359 1071254491 /nfs/dbraw/zinc/25/44/91/1071254491.db2.gz KDQSPTMNRVDQND-UHFFFAOYSA-N 0 0 443.530 -0.912 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000685638108 1071253732 /nfs/dbraw/zinc/25/37/32/1071253732.db2.gz QPRFJUGATPXOAY-UHFFFAOYSA-N 0 0 443.530 -0.912 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C ZINC000685638747 1071253825 /nfs/dbraw/zinc/25/38/25/1071253825.db2.gz IRGMJQKYXIZFIE-UHFFFAOYSA-N 0 0 432.568 -0.725 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000685638773 1071253502 /nfs/dbraw/zinc/25/35/02/1071253502.db2.gz KVCXLAVMLKOHIN-INIZCTEOSA-N 0 0 428.559 -0.824 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000685638788 1071253843 /nfs/dbraw/zinc/25/38/43/1071253843.db2.gz KVCXLAVMLKOHIN-MRXNPFEDSA-N 0 0 428.559 -0.824 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000685638857 1071253669 /nfs/dbraw/zinc/25/36/69/1071253669.db2.gz QGGGOMUYGMDXAD-UHFFFAOYSA-N 0 0 432.568 -0.725 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000685638981 1071253796 /nfs/dbraw/zinc/25/37/96/1071253796.db2.gz ZMXAAOVRIOZYTP-UHFFFAOYSA-N 0 0 438.959 -0.688 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC000685640935 1071254356 /nfs/dbraw/zinc/25/43/56/1071254356.db2.gz JJNGPWFYAVUHPK-HNNXBMFYSA-N 0 0 438.510 -0.217 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)CC1 ZINC000685640936 1071254422 /nfs/dbraw/zinc/25/44/22/1071254422.db2.gz JJNGPWFYAVUHPK-OAHLLOKOSA-N 0 0 438.510 -0.217 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000685642931 1071254477 /nfs/dbraw/zinc/25/44/77/1071254477.db2.gz OFTCFFYUBIIHQF-UHFFFAOYSA-N 0 0 440.482 -0.420 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000685644634 1071254384 /nfs/dbraw/zinc/25/43/84/1071254384.db2.gz OCYYUKVEAGJRDB-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000685653935 1071254608 /nfs/dbraw/zinc/25/46/08/1071254608.db2.gz JTWMQEOKEFDEFM-UHFFFAOYSA-N 0 0 440.526 -0.492 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000685655035 1071254432 /nfs/dbraw/zinc/25/44/32/1071254432.db2.gz BLURVQOIJWIZCJ-UHFFFAOYSA-N 0 0 440.526 -0.410 20 0 IBADRN O=C(Nc1cccc2c1OCC(=O)N2)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000685662952 1071255227 /nfs/dbraw/zinc/25/52/27/1071255227.db2.gz MRODWWMQNIHLHG-UHFFFAOYSA-N 0 0 425.467 -0.256 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCCCN2CCCS2(=O)=O)cc1OC ZINC000685690103 1071256067 /nfs/dbraw/zinc/25/60/67/1071256067.db2.gz CLCVJEBEDBDERS-UHFFFAOYSA-N 0 0 435.524 -0.476 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000685690125 1071256137 /nfs/dbraw/zinc/25/61/37/1071256137.db2.gz IPWCLECKTOBBMM-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000685710428 1071260980 /nfs/dbraw/zinc/26/09/80/1071260980.db2.gz GTXMNGQGLJBXBK-AWEZNQCLSA-N 0 0 445.542 -0.745 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000685710429 1071261311 /nfs/dbraw/zinc/26/13/11/1071261311.db2.gz GTXMNGQGLJBXBK-CQSZACIVSA-N 0 0 445.542 -0.745 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F)S(C)(=O)=O ZINC000685710714 1071261268 /nfs/dbraw/zinc/26/12/68/1071261268.db2.gz FLJIAEKTKBEMGP-UHFFFAOYSA-N 0 0 436.437 -0.234 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F)S(C)(=O)=O ZINC000685710747 1071260951 /nfs/dbraw/zinc/26/09/51/1071260951.db2.gz MPOWDSZSRSXPSG-UHFFFAOYSA-N 0 0 436.437 -0.234 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000685711754 1071261872 /nfs/dbraw/zinc/26/18/72/1071261872.db2.gz FJNIVESUFYSSRN-UHFFFAOYSA-N 0 0 448.448 -0.092 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000685711821 1071261736 /nfs/dbraw/zinc/26/17/36/1071261736.db2.gz RKXNMEDGQHFFCM-UHFFFAOYSA-N 0 0 448.448 -0.092 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000685712025 1071261772 /nfs/dbraw/zinc/26/17/72/1071261772.db2.gz PFIOTNCTPSOJME-UHFFFAOYSA-N 0 0 448.448 -0.138 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000685712499 1071261848 /nfs/dbraw/zinc/26/18/48/1071261848.db2.gz FGKQFXPTQYCBAB-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000685712500 1071262069 /nfs/dbraw/zinc/26/20/69/1071262069.db2.gz FGKQFXPTQYCBAB-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000685712610 1071261747 /nfs/dbraw/zinc/26/17/47/1071261747.db2.gz XBHLZPJPYSELJC-LLVKDONJSA-N 0 0 448.448 -0.092 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000685712611 1071261807 /nfs/dbraw/zinc/26/18/07/1071261807.db2.gz XBHLZPJPYSELJC-NSHDSACASA-N 0 0 448.448 -0.092 20 0 IBADRN NC(=O)c1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c(OCC(F)F)c1 ZINC000685717903 1071261971 /nfs/dbraw/zinc/26/19/71/1071261971.db2.gz JTUPGNXETLGYPP-UHFFFAOYSA-N 0 0 448.448 -0.090 20 0 IBADRN NC(=O)c1ccc(OCC(F)F)c(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)c1 ZINC000685718059 1071261825 /nfs/dbraw/zinc/26/18/25/1071261825.db2.gz YJIUKRIGMLGLSP-UHFFFAOYSA-N 0 0 448.448 -0.090 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F)NS(C)(=O)=O ZINC000685719109 1071262653 /nfs/dbraw/zinc/26/26/53/1071262653.db2.gz BFJJHUKPNWAOBF-UHFFFAOYSA-N 0 0 436.437 -0.188 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F)NS(C)(=O)=O ZINC000685719227 1071262785 /nfs/dbraw/zinc/26/27/85/1071262785.db2.gz JFADEPBKGCOSJQ-UHFFFAOYSA-N 0 0 436.437 -0.188 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cccc2c1OCC(=O)N2 ZINC000685719414 1071262832 /nfs/dbraw/zinc/26/28/32/1071262832.db2.gz BRTZMRBORJUIDV-GFCCVEGCSA-N 0 0 440.478 -0.439 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cccc2c1OCC(=O)N2 ZINC000685719415 1071262570 /nfs/dbraw/zinc/26/25/70/1071262570.db2.gz BRTZMRBORJUIDV-LBPRGKRZSA-N 0 0 440.478 -0.439 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cc(C(N)=O)ccc1OCC(F)F ZINC000685724070 1071262810 /nfs/dbraw/zinc/26/28/10/1071262810.db2.gz LFRQCWQYTSILAH-UHFFFAOYSA-N 0 0 448.448 -0.138 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1ccc(C(N)=O)cc1OCC(F)F ZINC000685724449 1071262474 /nfs/dbraw/zinc/26/24/74/1071262474.db2.gz YWHHCBWAPWYYOE-UHFFFAOYSA-N 0 0 448.448 -0.138 20 0 IBADRN Cc1cc(C(F)(F)F)ncc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000685724502 1071262559 /nfs/dbraw/zinc/26/25/59/1071262559.db2.gz IRHNTMDGLFKDMN-UHFFFAOYSA-N 0 0 426.359 -0.295 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000685725305 1071263255 /nfs/dbraw/zinc/26/32/55/1071263255.db2.gz YVZWUAWDGHCCKI-AWEZNQCLSA-N 0 0 447.492 -0.359 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000685725307 1071263290 /nfs/dbraw/zinc/26/32/90/1071263290.db2.gz YVZWUAWDGHCCKI-CQSZACIVSA-N 0 0 447.492 -0.359 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC2CCN(CC(=O)N(C)C)CC2)cc1OC ZINC000685727600 1071263392 /nfs/dbraw/zinc/26/33/92/1071263392.db2.gz WVVOPYQYNUPZQG-UHFFFAOYSA-N 0 0 442.538 -0.349 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000685727985 1071263491 /nfs/dbraw/zinc/26/34/91/1071263491.db2.gz KUPQUTCXTRWBJC-UHFFFAOYSA-N 0 0 440.478 -0.534 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)CC1 ZINC000685730214 1071263336 /nfs/dbraw/zinc/26/33/36/1071263336.db2.gz BXTOMRZWHPGYIO-UHFFFAOYSA-N 0 0 441.435 -0.400 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)CC1 ZINC000685730431 1071263279 /nfs/dbraw/zinc/26/32/79/1071263279.db2.gz ZWNIKFNRDGLKSP-UHFFFAOYSA-N 0 0 441.435 -0.400 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3cccc4c3OCC(=O)N4)CC2)n1 ZINC000685733596 1071264210 /nfs/dbraw/zinc/26/42/10/1071264210.db2.gz KYCQFDSEQBPNTO-UHFFFAOYSA-N 0 0 430.421 -0.170 20 0 IBADRN COCC(=O)N1CCC(NC(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000685734836 1071264307 /nfs/dbraw/zinc/26/43/07/1071264307.db2.gz KUTBJHYAFJGKJG-UHFFFAOYSA-N 0 0 429.495 -0.264 20 0 IBADRN CNC(=O)c1cc(Oc2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2F)ccn1 ZINC000685737705 1071264268 /nfs/dbraw/zinc/26/42/68/1071264268.db2.gz RWSKHDYTCKKDST-UHFFFAOYSA-N 0 0 433.396 -0.071 20 0 IBADRN CCS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000685738440 1071264043 /nfs/dbraw/zinc/26/40/43/1071264043.db2.gz LVZMPGMCVAGRJY-UHFFFAOYSA-N 0 0 448.448 -0.234 20 0 IBADRN CCCCN(C)S(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC)c1 ZINC000685738573 1071263987 /nfs/dbraw/zinc/26/39/87/1071263987.db2.gz FNMCJQGOAQTYMX-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(C(N)=O)cc2OCC(F)F)C1 ZINC000685742380 1071264988 /nfs/dbraw/zinc/26/49/88/1071264988.db2.gz FWEKGHONMNEOHT-UHFFFAOYSA-N 0 0 434.421 -0.436 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2cc(C(N)=O)ccc2OCC(F)F)C1 ZINC000685742406 1071265213 /nfs/dbraw/zinc/26/52/13/1071265213.db2.gz LBFMAHJHGCDHHZ-UHFFFAOYSA-N 0 0 434.421 -0.436 20 0 IBADRN O=C(C[N-]S(=O)(=O)c1c(F)cccc1F)N[C@H]1CC[N@H+](CCN2CCOCC2)C1 ZINC000685742599 1071265079 /nfs/dbraw/zinc/26/50/79/1071265079.db2.gz SVLPPBGRLSQSME-AWEZNQCLSA-N 0 0 432.493 -0.234 20 0 IBADRN O=C(C[N-]S(=O)(=O)c1c(F)cccc1F)N[C@@H]1CC[N@H+](CCN2CCOCC2)C1 ZINC000685742600 1071265011 /nfs/dbraw/zinc/26/50/11/1071265011.db2.gz SVLPPBGRLSQSME-CQSZACIVSA-N 0 0 432.493 -0.234 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000685745044 1071264890 /nfs/dbraw/zinc/26/48/90/1071264890.db2.gz JPKXNJKSMGWUCQ-AWEZNQCLSA-N 0 0 428.486 -0.847 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000685745045 1071264909 /nfs/dbraw/zinc/26/49/09/1071264909.db2.gz JPKXNJKSMGWUCQ-CQSZACIVSA-N 0 0 428.486 -0.847 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000685745101 1071264796 /nfs/dbraw/zinc/26/47/96/1071264796.db2.gz UUMVNWZDANHWPS-KRWDZBQOSA-N 0 0 438.550 -0.369 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000685745102 1071264879 /nfs/dbraw/zinc/26/48/79/1071264879.db2.gz UUMVNWZDANHWPS-QGZVFWFLSA-N 0 0 438.550 -0.369 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NC3(CO)CCCC3)cn2)CC1 ZINC000685745598 1071264838 /nfs/dbraw/zinc/26/48/38/1071264838.db2.gz ZDLXCFNFDRLTPU-UHFFFAOYSA-N 0 0 425.511 -0.477 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NC3(CCO)CCCC3)cn2)CC1 ZINC000685747854 1071266061 /nfs/dbraw/zinc/26/60/61/1071266061.db2.gz ZVGQYDPNABDBMW-UHFFFAOYSA-N 0 0 439.538 -0.087 20 0 IBADRN COc1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)nn1C ZINC000685752460 1071266746 /nfs/dbraw/zinc/26/67/46/1071266746.db2.gz GSPBORNVQXRXQV-UHFFFAOYSA-N 0 0 436.494 -0.058 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCc1ccc(CC(N)=O)cc1)CC2 ZINC000685753607 1071267615 /nfs/dbraw/zinc/26/76/15/1071267615.db2.gz LTWSHEUHMLZOBJ-UHFFFAOYSA-N 0 0 430.486 -0.172 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCn2cc(CO)nn2)c1 ZINC000685757998 1071268936 /nfs/dbraw/zinc/26/89/36/1071268936.db2.gz MEXGAOXSILZCGE-CYBMUJFWSA-N 0 0 438.510 -0.008 20 0 IBADRN CC[C@H](C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCCn2cc(CO)nn2)c1 ZINC000685757999 1071268752 /nfs/dbraw/zinc/26/87/52/1071268752.db2.gz MEXGAOXSILZCGE-ZDUSSCGKSA-N 0 0 438.510 -0.008 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000685762905 1071269615 /nfs/dbraw/zinc/26/96/15/1071269615.db2.gz QMOGUPFRLRAYHS-UHFFFAOYSA-N 0 0 428.492 -0.373 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CC1 ZINC000685764653 1071270754 /nfs/dbraw/zinc/27/07/54/1071270754.db2.gz DJEDWGQLJUJWCG-KRWDZBQOSA-N 0 0 440.570 -0.550 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)CC1 ZINC000685764654 1071270525 /nfs/dbraw/zinc/27/05/25/1071270525.db2.gz DJEDWGQLJUJWCG-QGZVFWFLSA-N 0 0 440.570 -0.550 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000685765551 1071271534 /nfs/dbraw/zinc/27/15/34/1071271534.db2.gz FUNQJMWUWHRRCN-PXAZEXFGSA-N 0 0 448.505 -0.033 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000685765552 1071271176 /nfs/dbraw/zinc/27/11/76/1071271176.db2.gz FUNQJMWUWHRRCN-SJCJKPOMSA-N 0 0 448.505 -0.033 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000685765553 1071271231 /nfs/dbraw/zinc/27/12/31/1071271231.db2.gz FUNQJMWUWHRRCN-SJKOYZFVSA-N 0 0 448.505 -0.033 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cc1 ZINC000685765554 1071271245 /nfs/dbraw/zinc/27/12/45/1071271245.db2.gz FUNQJMWUWHRRCN-YVEFUNNKSA-N 0 0 448.505 -0.033 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCn4cncc4C3)cn2)CC1 ZINC000685767370 1071273045 /nfs/dbraw/zinc/27/30/45/1071273045.db2.gz HLYILLLGBIUPPW-UHFFFAOYSA-N 0 0 433.494 -0.659 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCn4cncc4C3)cc2)CC1 ZINC000685767378 1071273001 /nfs/dbraw/zinc/27/30/01/1071273001.db2.gz LVYZFJONGYPVBK-UHFFFAOYSA-N 0 0 432.506 -0.200 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000685768950 1071274363 /nfs/dbraw/zinc/27/43/63/1071274363.db2.gz NBWDTTRQAHUPOA-UHFFFAOYSA-N 0 0 432.524 -0.583 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(C)CCN2CCCS2(=O)=O)cc1OC ZINC000685768957 1071274389 /nfs/dbraw/zinc/27/43/89/1071274389.db2.gz OKFPJIYLUNDYPG-UHFFFAOYSA-N 0 0 435.524 -0.524 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000685770820 1071275365 /nfs/dbraw/zinc/27/53/65/1071275365.db2.gz PALFJLFGXILGDW-HNNXBMFYSA-N 0 0 443.526 -0.731 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000685770822 1071275556 /nfs/dbraw/zinc/27/55/56/1071275556.db2.gz PALFJLFGXILGDW-OAHLLOKOSA-N 0 0 443.526 -0.731 20 0 IBADRN COCC[C@@H](COC)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000685771006 1071275215 /nfs/dbraw/zinc/27/52/15/1071275215.db2.gz YMGWIEYWSGWPOJ-HNNXBMFYSA-N 0 0 429.495 -0.186 20 0 IBADRN COCC[C@H](COC)NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000685771007 1071275249 /nfs/dbraw/zinc/27/52/49/1071275249.db2.gz YMGWIEYWSGWPOJ-OAHLLOKOSA-N 0 0 429.495 -0.186 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cc1OC ZINC000685771203 1071275441 /nfs/dbraw/zinc/27/54/41/1071275441.db2.gz NIPDSPBLNYHYBP-HNNXBMFYSA-N 0 0 441.506 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cc1OC ZINC000685771204 1071275327 /nfs/dbraw/zinc/27/53/27/1071275327.db2.gz NIPDSPBLNYHYBP-OAHLLOKOSA-N 0 0 441.506 -0.122 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cnn(Cc3ccccn3)c2)CC1 ZINC000685772002 1071276017 /nfs/dbraw/zinc/27/60/17/1071276017.db2.gz CYOCWIGDQZCVRI-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000685772091 1071275930 /nfs/dbraw/zinc/27/59/30/1071275930.db2.gz KPHYBLYRSRTCBM-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1F ZINC000685772126 1071275888 /nfs/dbraw/zinc/27/58/88/1071275888.db2.gz YGSTVHFCZWWTRX-UHFFFAOYSA-N 0 0 443.501 -0.193 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccn(-c3ncccc3F)n2)CC1 ZINC000685772135 1071275957 /nfs/dbraw/zinc/27/59/57/1071275957.db2.gz CKDYRQDLTHFZRO-UHFFFAOYSA-N 0 0 439.473 -0.314 20 0 IBADRN Cc1ccnc(-n2nccc2NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000685772137 1071276058 /nfs/dbraw/zinc/27/60/58/1071276058.db2.gz FFJXVSUENVYVKF-UHFFFAOYSA-N 0 0 435.510 -0.145 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000685772150 1071276175 /nfs/dbraw/zinc/27/61/75/1071276175.db2.gz LYNWNUUUPQMKSH-UHFFFAOYSA-N 0 0 425.511 -0.285 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccn(CCc3ccncc3)n2)CC1 ZINC000685772154 1071275921 /nfs/dbraw/zinc/27/59/21/1071275921.db2.gz OKBLULIJKPPNQD-UHFFFAOYSA-N 0 0 449.537 -0.200 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3ccn(C)c(=O)c3c2)CC1 ZINC000685772159 1071276550 /nfs/dbraw/zinc/27/65/50/1071276550.db2.gz TXZZHEGICNZTCX-UHFFFAOYSA-N 0 0 435.506 -0.182 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000685772181 1071276811 /nfs/dbraw/zinc/27/68/11/1071276811.db2.gz BCJBTQWTRXGDJC-UHFFFAOYSA-N 0 0 425.511 -0.285 20 0 IBADRN CC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000685772182 1071276689 /nfs/dbraw/zinc/27/66/89/1071276689.db2.gz BDMIXESXTBLIKC-UHFFFAOYSA-N 0 0 425.511 -0.052 20 0 IBADRN CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000685772189 1071276533 /nfs/dbraw/zinc/27/65/33/1071276533.db2.gz DLGXWRSLIADHTN-UHFFFAOYSA-N 0 0 425.511 -0.746 20 0 IBADRN COCCOc1ccccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000685772194 1071276752 /nfs/dbraw/zinc/27/67/52/1071276752.db2.gz FZWLLFCTMKLTCW-UHFFFAOYSA-N 0 0 428.511 -0.009 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1-n1cnnn1 ZINC000685772215 1071276498 /nfs/dbraw/zinc/27/64/98/1071276498.db2.gz ONPDQUVHZKWIQA-UHFFFAOYSA-N 0 0 436.498 -0.750 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000685772239 1071276706 /nfs/dbraw/zinc/27/67/06/1071276706.db2.gz YWUCQJGNNIPYIB-UHFFFAOYSA-N 0 0 425.467 -0.703 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc(OC)c1OC ZINC000685772247 1071276518 /nfs/dbraw/zinc/27/65/18/1071276518.db2.gz FQLVLSIGRRDBDO-UHFFFAOYSA-N 0 0 444.510 -0.008 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000685775098 1071278177 /nfs/dbraw/zinc/27/81/77/1071278177.db2.gz SIFDMLUKOIOCRC-GFCCVEGCSA-N 0 0 435.524 -0.478 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000685775100 1071278281 /nfs/dbraw/zinc/27/82/81/1071278281.db2.gz SIFDMLUKOIOCRC-LBPRGKRZSA-N 0 0 435.524 -0.478 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)Cc2ccon2)cc1C ZINC000685775339 1071278803 /nfs/dbraw/zinc/27/88/03/1071278803.db2.gz SBKWNORWWOMETF-UHFFFAOYSA-N 0 0 430.508 -0.194 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3cnn(C)c3)C(=O)C2)cc1OC ZINC000685775366 1071278787 /nfs/dbraw/zinc/27/87/87/1071278787.db2.gz YYSBSSBDFBJMCT-UHFFFAOYSA-N 0 0 437.478 -0.409 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc(OC)c(OC)c3)CCN2C1=O ZINC000685776687 1071279177 /nfs/dbraw/zinc/27/91/77/1071279177.db2.gz CDDTYMSRKDLHDX-CYBMUJFWSA-N 0 0 440.478 -0.523 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CNS(=O)(=O)c3ccc(OC)c(OC)c3)CCN2C1=O ZINC000685776688 1071279055 /nfs/dbraw/zinc/27/90/55/1071279055.db2.gz CDDTYMSRKDLHDX-ZDUSSCGKSA-N 0 0 440.478 -0.523 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H](CO)C3CCCC3)cn2)CC1 ZINC000685777784 1071279859 /nfs/dbraw/zinc/27/98/59/1071279859.db2.gz VSZRWSTWRXQIIM-INIZCTEOSA-N 0 0 439.538 -0.231 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H](CO)C3CCCC3)cn2)CC1 ZINC000685777788 1071279634 /nfs/dbraw/zinc/27/96/34/1071279634.db2.gz VSZRWSTWRXQIIM-MRXNPFEDSA-N 0 0 439.538 -0.231 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CNS(=O)(=O)c3ccc(NC(C)=O)cc3)CCN2C1=O ZINC000685777881 1071279801 /nfs/dbraw/zinc/27/98/01/1071279801.db2.gz SVFBCDSLPXUYAL-HNNXBMFYSA-N 0 0 437.478 -0.582 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc(NC(C)=O)cc3)CCN2C1=O ZINC000685777882 1071279947 /nfs/dbraw/zinc/27/99/47/1071279947.db2.gz SVFBCDSLPXUYAL-OAHLLOKOSA-N 0 0 437.478 -0.582 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc1OC ZINC000685780135 1071280566 /nfs/dbraw/zinc/28/05/66/1071280566.db2.gz WEUQOHKLXSJQCF-UHFFFAOYSA-N 0 0 436.512 -0.597 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc2)CC1 ZINC000685780539 1071280686 /nfs/dbraw/zinc/28/06/86/1071280686.db2.gz GNTNSYQOYXWWNA-UHFFFAOYSA-N 0 0 439.538 -0.703 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000685780551 1071280772 /nfs/dbraw/zinc/28/07/72/1071280772.db2.gz MOKNRHJGBDWGTH-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000685780554 1071280814 /nfs/dbraw/zinc/28/08/14/1071280814.db2.gz NKKGGNVLMKYHGP-UHFFFAOYSA-N 0 0 425.511 -0.980 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CS(=O)(=O)NC(C)(C)C)c1 ZINC000685780776 1071280671 /nfs/dbraw/zinc/28/06/71/1071280671.db2.gz BPMXCRQBYRQRHR-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000685780821 1071280654 /nfs/dbraw/zinc/28/06/54/1071280654.db2.gz VOODMZXZGHGWHR-UHFFFAOYSA-N 0 0 436.487 -0.688 20 0 IBADRN CCN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N(C)C)cc2)CC1 ZINC000685780827 1071280628 /nfs/dbraw/zinc/28/06/28/1071280628.db2.gz XKQKVSHNEDUXBF-UHFFFAOYSA-N 0 0 439.538 -0.590 20 0 IBADRN NC(=O)Cc1ccc(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000685801460 1071288161 /nfs/dbraw/zinc/28/81/61/1071288161.db2.gz AKRIBQKCIINSNQ-UHFFFAOYSA-N 0 0 430.486 -0.235 20 0 IBADRN NC(=O)Cc1ccc(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000685801577 1071288312 /nfs/dbraw/zinc/28/83/12/1071288312.db2.gz FCUPTYZMGYDEBD-UHFFFAOYSA-N 0 0 430.486 -0.235 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(CCO)CCN2CCOCC2)cc1OC ZINC000685804555 1071289995 /nfs/dbraw/zinc/28/99/95/1071289995.db2.gz FTFMRKLMAMLFSH-UHFFFAOYSA-N 0 0 431.511 -0.865 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000685804562 1071289832 /nfs/dbraw/zinc/28/98/32/1071289832.db2.gz IYPQQWLEWPSAFL-UHFFFAOYSA-N 0 0 428.511 -0.924 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC000685804762 1071290101 /nfs/dbraw/zinc/29/01/01/1071290101.db2.gz DFEIEPUEQSXWDR-INIZCTEOSA-N 0 0 428.511 -0.925 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC000685804763 1071290057 /nfs/dbraw/zinc/29/00/57/1071290057.db2.gz DFEIEPUEQSXWDR-MRXNPFEDSA-N 0 0 428.511 -0.925 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000685818873 1071293699 /nfs/dbraw/zinc/29/36/99/1071293699.db2.gz NGIVUPHAWHWYTC-INIZCTEOSA-N 0 0 431.536 -0.382 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000685818875 1071293889 /nfs/dbraw/zinc/29/38/89/1071293889.db2.gz NGIVUPHAWHWYTC-MRXNPFEDSA-N 0 0 431.536 -0.382 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000685818934 1071293903 /nfs/dbraw/zinc/29/39/03/1071293903.db2.gz XFDXNQLTPSZHLB-KRWDZBQOSA-N 0 0 445.563 -0.074 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC000685818937 1071294006 /nfs/dbraw/zinc/29/40/06/1071294006.db2.gz XFDXNQLTPSZHLB-QGZVFWFLSA-N 0 0 445.563 -0.074 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000685819358 1071294037 /nfs/dbraw/zinc/29/40/37/1071294037.db2.gz XXXYEKUMTPRQFB-UHFFFAOYSA-N 0 0 436.515 -0.037 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NCCNC(=O)c1ccn[nH]1 ZINC000685819902 1071293813 /nfs/dbraw/zinc/29/38/13/1071293813.db2.gz AGRHSSQYYAOVAC-UHFFFAOYSA-N 0 0 430.284 -0.003 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1C ZINC000685822266 1071294864 /nfs/dbraw/zinc/29/48/64/1071294864.db2.gz QBQUVWIDQLGXQK-UHFFFAOYSA-N 0 0 442.523 -0.767 20 0 IBADRN Cn1cc(CNC(=O)[C@H]2CC[C@@H](C(=O)NCc3cn(C)nn3)N2Cc2ccccc2)nn1 ZINC000685823089 1071294842 /nfs/dbraw/zinc/29/48/42/1071294842.db2.gz OTDUGFCMCPVQEC-KDURUIRLSA-N 0 0 437.508 -0.091 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000685827963 1071294707 /nfs/dbraw/zinc/29/47/07/1071294707.db2.gz AJDNMKFDABGRET-UHFFFAOYSA-N 0 0 440.341 -0.265 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2ccccc2C(F)(F)F)C1 ZINC000685827992 1071294777 /nfs/dbraw/zinc/29/47/77/1071294777.db2.gz DXOOMTWSYIQPGK-UHFFFAOYSA-N 0 0 429.442 -0.009 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000685828003 1071294730 /nfs/dbraw/zinc/29/47/30/1071294730.db2.gz FRBNGSXSZMRSLO-UHFFFAOYSA-N 0 0 440.341 -0.265 20 0 IBADRN CN(C[C@H]1CN(C(=O)CNS(=O)(=O)c2ccccc2)CCO1)c1nccn(C)c1=O ZINC000685829749 1071295701 /nfs/dbraw/zinc/29/57/01/1071295701.db2.gz DFMPXVFLTDUKRW-HNNXBMFYSA-N 0 0 435.506 -0.578 20 0 IBADRN CN(C[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccccc2)CCO1)c1nccn(C)c1=O ZINC000685829751 1071296613 /nfs/dbraw/zinc/29/66/13/1071296613.db2.gz DFMPXVFLTDUKRW-OAHLLOKOSA-N 0 0 435.506 -0.578 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CNS(=O)(=O)c3ccccc3F)CC2)n1 ZINC000685830335 1071296595 /nfs/dbraw/zinc/29/65/95/1071296595.db2.gz CJSKUKXHGNKPNR-UHFFFAOYSA-N 0 0 427.458 -0.022 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000685830891 1071296255 /nfs/dbraw/zinc/29/62/55/1071296255.db2.gz FUYPKEFZKZEINR-UHFFFAOYSA-N 0 0 439.519 -0.644 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(Cc2nccn2S(=O)(=O)N(C)C)CC1 ZINC000685835996 1071297281 /nfs/dbraw/zinc/29/72/81/1071297281.db2.gz MJEAGBSGIBWDQI-UHFFFAOYSA-N 0 0 442.567 -0.232 20 0 IBADRN NC(=O)CC1CN(C(=O)[C@H]2CC[C@@H](C(=O)N3CC(CC(N)=O)C3)N2Cc2ccccc2)C1 ZINC000685842071 1071298712 /nfs/dbraw/zinc/29/87/12/1071298712.db2.gz FLEZJRTXRISXKX-KDURUIRLSA-N 0 0 441.532 -0.313 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NCc3nccn3S(=O)(=O)N(C)C)cn2)C[C@H](C)O1 ZINC000685842684 1071298802 /nfs/dbraw/zinc/29/88/02/1071298802.db2.gz VSOYIDHEFMLIAD-KBPBESRZSA-N 0 0 425.515 -0.018 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NCc3nccn3S(=O)(=O)N(C)C)cn2)C[C@H](C)O1 ZINC000685842685 1071298779 /nfs/dbraw/zinc/29/87/79/1071298779.db2.gz VSOYIDHEFMLIAD-OKILXGFUSA-N 0 0 425.515 -0.018 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NCc3nccn3S(=O)(=O)N(C)C)cn2)C[C@@H](C)O1 ZINC000685842686 1071298466 /nfs/dbraw/zinc/29/84/66/1071298466.db2.gz VSOYIDHEFMLIAD-ZIAGYGMSSA-N 0 0 425.515 -0.018 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CNc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000685842881 1071298605 /nfs/dbraw/zinc/29/86/05/1071298605.db2.gz ORRWNDUVBTZSBX-UHFFFAOYSA-N 0 0 443.555 -0.374 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000685846289 1071300180 /nfs/dbraw/zinc/30/01/80/1071300180.db2.gz CMMHPSLQLFOOHS-UHFFFAOYSA-N 0 0 440.341 -0.076 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000685846340 1071300109 /nfs/dbraw/zinc/30/01/09/1071300109.db2.gz LBGRQMDLXHXRLX-UHFFFAOYSA-N 0 0 440.341 -0.076 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000685846614 1071299440 /nfs/dbraw/zinc/29/94/40/1071299440.db2.gz FTNGITUNUXKEOB-UHFFFAOYSA-N 0 0 440.494 -0.122 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1C ZINC000685855125 1071301341 /nfs/dbraw/zinc/30/13/41/1071301341.db2.gz OGWRQSPAQUBGMB-OAHLLOKOSA-N 0 0 432.568 -0.031 20 0 IBADRN COc1ccc(CNC(=O)COc2ccc(S(N)(=O)=O)cc2)cc1S(N)(=O)=O ZINC000685862363 1071302775 /nfs/dbraw/zinc/30/27/75/1071302775.db2.gz RWAJTTRZKMRNGC-UHFFFAOYSA-N 0 0 429.476 -0.315 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NCCCn1cc(CO)nn1 ZINC000685887992 1071310955 /nfs/dbraw/zinc/31/09/55/1071310955.db2.gz DUJBONFIZJBGOF-ZDUSSCGKSA-N 0 0 439.494 -0.195 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(CNC(=O)[C@H](C)NS(=O)(=O)c2c(C)noc2C)nn1 ZINC000685896303 1071312478 /nfs/dbraw/zinc/31/24/78/1071312478.db2.gz DOVLMWMNNLODIM-ZLKJLUDKSA-N 0 0 428.471 -0.548 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000685904146 1071313310 /nfs/dbraw/zinc/31/33/10/1071313310.db2.gz CHFDHUBPHSMTEK-UHFFFAOYSA-N 0 0 439.519 -0.442 20 0 IBADRN Cc1nc(=NS(=O)(=O)c2ccc(S(=O)(=O)N=c3nc(C)[nH]n3C)cc2)n(C)[nH]1 ZINC000685912739 1071314099 /nfs/dbraw/zinc/31/40/99/1071314099.db2.gz PFHZRWXMLWDXNL-UHFFFAOYSA-N 0 0 426.484 -0.994 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000685921857 1071315076 /nfs/dbraw/zinc/31/50/76/1071315076.db2.gz KCXSXQCQFIZJAL-UHFFFAOYSA-N 0 0 447.583 -0.241 20 0 IBADRN C[C@@H](NC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000685922994 1071315214 /nfs/dbraw/zinc/31/52/14/1071315214.db2.gz GNMPGYQRHUBLPM-CYBMUJFWSA-N 0 0 433.556 -0.081 20 0 IBADRN C[C@H](NC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1)c1cccc(S(N)(=O)=O)c1 ZINC000685922998 1071314932 /nfs/dbraw/zinc/31/49/32/1071314932.db2.gz GNMPGYQRHUBLPM-ZDUSSCGKSA-N 0 0 433.556 -0.081 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000685926350 1071316181 /nfs/dbraw/zinc/31/61/81/1071316181.db2.gz XLAJICVFYCLKJH-UHFFFAOYSA-N 0 0 432.591 -0.499 20 0 IBADRN CN(C)S(=O)(=O)CCNc1nc2cccnc2nc1NCCS(=O)(=O)N(C)C ZINC000685931493 1071316070 /nfs/dbraw/zinc/31/60/70/1071316070.db2.gz DKXYZFTVROQUMX-UHFFFAOYSA-N 0 0 431.544 -0.369 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCCN3CCCS3(=O)=O)cc2S1(=O)=O ZINC000725758982 1072825603 /nfs/dbraw/zinc/82/56/03/1072825603.db2.gz LYDWCQJTZQQTQM-UHFFFAOYSA-N 0 0 445.519 -0.367 20 0 IBADRN O=C1NC(C2CC2)(C2CC2)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000725764778 1072825528 /nfs/dbraw/zinc/82/55/28/1072825528.db2.gz BZCXPEVUNHWVFO-UHFFFAOYSA-N 0 0 427.527 -0.751 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CN1CCN(S(=O)(=O)N(C)C)CC1 ZINC000725764783 1072825359 /nfs/dbraw/zinc/82/53/59/1072825359.db2.gz CIHHYOUGZHHPPW-UHFFFAOYSA-N 0 0 447.539 -0.774 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CN1CCCN(S(C)(=O)=O)CC1 ZINC000725765198 1072825541 /nfs/dbraw/zinc/82/55/41/1072825541.db2.gz KKNULEWZOCWZOA-UHFFFAOYSA-N 0 0 432.524 -0.230 20 0 IBADRN COc1ccc(CCN2C(=O)C(=O)N(CN3CCCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000725766574 1072825587 /nfs/dbraw/zinc/82/55/87/1072825587.db2.gz XLURLUSQEBZNLB-UHFFFAOYSA-N 0 0 438.506 -0.047 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)NC(C4CC4)(C4CC4)C3=O)CC2)CC1 ZINC000725767269 1072825337 /nfs/dbraw/zinc/82/53/37/1072825337.db2.gz ULEDZSNKSAVNFR-UHFFFAOYSA-N 0 0 440.570 -0.836 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3c4cccc(Cl)c4C(=O)C3=O)CC2)CC1 ZINC000725767332 1072825479 /nfs/dbraw/zinc/82/54/79/1072825479.db2.gz VZJFBZFDJKPGLH-UHFFFAOYSA-N 0 0 441.941 -0.063 20 0 IBADRN CCS(=O)(=O)N1CCN(CN2C(=O)C(=O)N(CCc3ccc(OC)cc3)C2=O)CC1 ZINC000725772430 1072825464 /nfs/dbraw/zinc/82/54/64/1072825464.db2.gz VNDUHBQNRCUZLM-UHFFFAOYSA-N 0 0 438.506 -0.047 20 0 IBADRN CCS(=O)(=O)N1CCN(Cn2c3ccc(S(=O)(=O)N(C)C)cc3oc2=O)CC1 ZINC000725772627 1072825426 /nfs/dbraw/zinc/82/54/26/1072825426.db2.gz ZECNOHVOZQFHND-UHFFFAOYSA-N 0 0 432.524 -0.230 20 0 IBADRN NC(=O)COc1ccc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000725794592 1072825621 /nfs/dbraw/zinc/82/56/21/1072825621.db2.gz BWXIVRJEHPYBCW-INIZCTEOSA-N 0 0 431.536 -0.815 20 0 IBADRN NC(=O)COc1ccc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000725794593 1072825451 /nfs/dbraw/zinc/82/54/51/1072825451.db2.gz BWXIVRJEHPYBCW-MRXNPFEDSA-N 0 0 431.536 -0.815 20 0 IBADRN NC(=O)c1ccc(N2CCN(CCC(=O)NN3C(=O)NC4(CCCCC4)C3=O)CC2)nc1 ZINC000725796764 1072825404 /nfs/dbraw/zinc/82/54/04/1072825404.db2.gz XGRILLUHAFJERG-UHFFFAOYSA-N 0 0 443.508 -0.022 20 0 IBADRN O=S(=O)(CCN1CCN(c2cccnn2)CC1)CCN1CCN(c2cccnn2)CC1 ZINC000725805219 1072825935 /nfs/dbraw/zinc/82/59/35/1072825935.db2.gz GYSLYZYLPCXTIZ-UHFFFAOYSA-N 0 0 446.581 -0.374 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccc(Cl)nc3)CC2)CC1 ZINC000725821880 1072826095 /nfs/dbraw/zinc/82/60/95/1072826095.db2.gz MIBRSLOZOURNDZ-UHFFFAOYSA-N 0 0 437.975 -0.325 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(c3cc(C)nc4ncnn43)CC2)CC1 ZINC000725823269 1072825959 /nfs/dbraw/zinc/82/59/59/1072825959.db2.gz KBXJLTMQYVTXDY-UHFFFAOYSA-N 0 0 438.514 -0.426 20 0 IBADRN Cc1cccc(CS(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000725823729 1071219041 /nfs/dbraw/zinc/21/90/41/1071219041.db2.gz DMTSLHDHXLXKJS-INIZCTEOSA-N 0 0 436.577 -0.041 20 0 IBADRN Cc1cccc(CS(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000725823730 1072826086 /nfs/dbraw/zinc/82/60/86/1072826086.db2.gz DMTSLHDHXLXKJS-MRXNPFEDSA-N 0 0 436.577 -0.041 20 0 IBADRN COCCS(=O)(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000725823746 1071218924 /nfs/dbraw/zinc/21/89/24/1071218924.db2.gz ARASUHWYKMNORU-UHFFFAOYSA-N 0 0 440.565 -0.319 20 0 IBADRN O=S(=O)(C[C@@H]1CCCCO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000725823843 1072826078 /nfs/dbraw/zinc/82/60/78/1072826078.db2.gz FHQASIBHAWNMAM-KBPBESRZSA-N 0 0 430.570 -0.980 20 0 IBADRN O=S(=O)(C[C@H]1CCCCO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000725823847 1072825984 /nfs/dbraw/zinc/82/59/84/1072825984.db2.gz FHQASIBHAWNMAM-KGLIPLIRSA-N 0 0 430.570 -0.980 20 0 IBADRN O=S(=O)(C[C@@H]1CCCCO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000725823848 1072825968 /nfs/dbraw/zinc/82/59/68/1072825968.db2.gz FHQASIBHAWNMAM-UONOGXRCSA-N 0 0 430.570 -0.980 20 0 IBADRN O=S(=O)(C[C@H]1CCCCO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000725823850 1072826022 /nfs/dbraw/zinc/82/60/22/1072826022.db2.gz FHQASIBHAWNMAM-ZIAGYGMSSA-N 0 0 430.570 -0.980 20 0 IBADRN O=S(=O)(Cc1ccccc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000725824119 1072826013 /nfs/dbraw/zinc/82/60/13/1072826013.db2.gz NTAGRKSEJBBGRZ-AWEZNQCLSA-N 0 0 440.540 -0.210 20 0 IBADRN O=S(=O)(Cc1ccccc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000725824120 1072826054 /nfs/dbraw/zinc/82/60/54/1072826054.db2.gz NTAGRKSEJBBGRZ-CQSZACIVSA-N 0 0 440.540 -0.210 20 0 IBADRN O=S(=O)(C1CCS(=O)(=O)CC1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000725824318 1072826007 /nfs/dbraw/zinc/82/60/07/1072826007.db2.gz QJSCVYLTNZKGSO-UHFFFAOYSA-N 0 0 447.560 -0.340 20 0 IBADRN O=S(=O)(c1cccc(F)c1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000725824350 1072825948 /nfs/dbraw/zinc/82/59/48/1072825948.db2.gz SSCXJOXDKLNRKF-LLVKDONJSA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)(c1cccc(F)c1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000725824351 1072826072 /nfs/dbraw/zinc/82/60/72/1072826072.db2.gz SSCXJOXDKLNRKF-NSHDSACASA-N 0 0 444.503 -0.212 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNS(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC000725825177 1072826103 /nfs/dbraw/zinc/82/61/03/1072826103.db2.gz FQDLJSGXZSAITP-UHFFFAOYSA-N 0 0 439.556 -0.438 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000725825247 1071221216 /nfs/dbraw/zinc/22/12/16/1071221216.db2.gz JPMOOHVDTHSTJD-AWEZNQCLSA-N 0 0 448.542 -0.072 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000725825248 1072826115 /nfs/dbraw/zinc/82/61/15/1072826115.db2.gz JPMOOHVDTHSTJD-CQSZACIVSA-N 0 0 448.542 -0.072 20 0 IBADRN O=C(CNC(=O)NCCS(=O)(=O)N1CCOCC1)Nc1ccc(-n2cccn2)cc1 ZINC000725866782 1072825977 /nfs/dbraw/zinc/82/59/77/1072825977.db2.gz OJVBEGZWLMOGSI-UHFFFAOYSA-N 0 0 436.494 -0.228 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(C(=O)c2cccc(O)c2)CC1 ZINC000725866798 1072826515 /nfs/dbraw/zinc/82/65/15/1072826515.db2.gz OKEPESDHINAOFC-UHFFFAOYSA-N 0 0 426.495 -0.478 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000725875984 1072826478 /nfs/dbraw/zinc/82/64/78/1072826478.db2.gz AUHQRNNFDFXRIQ-UHFFFAOYSA-N 0 0 447.558 -0.210 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC000725877533 1072826535 /nfs/dbraw/zinc/82/65/35/1072826535.db2.gz XYDRMPALWNLRMO-UHFFFAOYSA-N 0 0 425.577 -0.674 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)cn1C ZINC000725878627 1072826639 /nfs/dbraw/zinc/82/66/39/1072826639.db2.gz IVLVLCMHLFUXKR-IBGZPJMESA-N 0 0 448.505 -0.019 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)cn1C ZINC000725878631 1072826500 /nfs/dbraw/zinc/82/65/00/1072826500.db2.gz IVLVLCMHLFUXKR-LJQANCHMSA-N 0 0 448.505 -0.019 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1=O ZINC000725878917 1072826523 /nfs/dbraw/zinc/82/65/23/1072826523.db2.gz LLMNCAUURDOHBD-GOSISDBHSA-N 0 0 434.478 -0.105 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1=O ZINC000725878920 1072826548 /nfs/dbraw/zinc/82/65/48/1072826548.db2.gz LLMNCAUURDOHBD-SFHVURJKSA-N 0 0 434.478 -0.105 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000725879723 1071337352 /nfs/dbraw/zinc/33/73/52/1071337352.db2.gz YZLWRDCQXKULMI-UHFFFAOYSA-N 0 0 428.559 -0.543 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NN2C(=O)N[C@](C)(c3ccccc3)C2=O)cn1C ZINC000725880830 1072826465 /nfs/dbraw/zinc/82/64/65/1072826465.db2.gz NIFRTXITYYRPOX-GOSISDBHSA-N 0 0 434.478 -0.105 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NN2C(=O)N[C@@](C)(c3ccccc3)C2=O)cn1C ZINC000725880831 1072826425 /nfs/dbraw/zinc/82/64/25/1072826425.db2.gz NIFRTXITYYRPOX-SFHVURJKSA-N 0 0 434.478 -0.105 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)cn1C ZINC000725887331 1072826375 /nfs/dbraw/zinc/82/63/75/1072826375.db2.gz HSBQRCZCOPBPOC-UHFFFAOYSA-N 0 0 444.558 -0.324 20 0 IBADRN Cc1cccn2cc(CC(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)nc12 ZINC000725887367 1072826391 /nfs/dbraw/zinc/82/63/91/1072826391.db2.gz IQNGCBKYZYJDEO-INIZCTEOSA-N 0 0 440.547 -0.154 20 0 IBADRN Cc1cccn2cc(CC(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)nc12 ZINC000725887371 1072826708 /nfs/dbraw/zinc/82/67/08/1072826708.db2.gz IQNGCBKYZYJDEO-MRXNPFEDSA-N 0 0 440.547 -0.154 20 0 IBADRN Cc1[nH]nc2nc(C)cc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c12 ZINC000725887622 1072826411 /nfs/dbraw/zinc/82/64/11/1072826411.db2.gz PSXDRWLMDDUIMJ-CYBMUJFWSA-N 0 0 441.535 -0.151 20 0 IBADRN Cc1[nH]nc2nc(C)cc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c12 ZINC000725887624 1072826489 /nfs/dbraw/zinc/82/64/89/1072826489.db2.gz PSXDRWLMDDUIMJ-ZDUSSCGKSA-N 0 0 441.535 -0.151 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC[C@@H]3C[N@@H+]4CCC[C@@H]4CO3)n2c(NCC)n1 ZINC000725895606 1072826649 /nfs/dbraw/zinc/82/66/49/1072826649.db2.gz HUMXBKBDKFQKEY-CHWSQXEVSA-N 0 0 435.558 -0.124 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC[C@H]3C[N@@H+]4CCC[C@@H]4CO3)n2c(NCC)n1 ZINC000725895610 1072827152 /nfs/dbraw/zinc/82/71/52/1072827152.db2.gz HUMXBKBDKFQKEY-OLZOCXBDSA-N 0 0 435.558 -0.124 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC[C@@H]3C[N@@H+]4CCC[C@H]4CO3)n2c(NCC)n1 ZINC000725895611 1071355463 /nfs/dbraw/zinc/35/54/63/1071355463.db2.gz HUMXBKBDKFQKEY-QWHCGFSZSA-N 0 0 435.558 -0.124 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC[C@H]3C[N@@H+]4CCC[C@H]4CO3)n2c(NCC)n1 ZINC000725895612 1072827082 /nfs/dbraw/zinc/82/70/82/1072827082.db2.gz HUMXBKBDKFQKEY-STQMWFEESA-N 0 0 435.558 -0.124 20 0 IBADRN O=C(c1cccc(O)c1)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000725903177 1072827119 /nfs/dbraw/zinc/82/71/19/1072827119.db2.gz CPNPTQHSGWXKFK-HNNXBMFYSA-N 0 0 448.501 -0.158 20 0 IBADRN O=C(c1cccc(O)c1)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000725903179 1072827143 /nfs/dbraw/zinc/82/71/43/1072827143.db2.gz CPNPTQHSGWXKFK-OAHLLOKOSA-N 0 0 448.501 -0.158 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N[C@@H](CO)C(=O)OC)c2)CC1 ZINC000725906419 1072827078 /nfs/dbraw/zinc/82/70/78/1072827078.db2.gz LFLTZTCLNQBFCZ-HNNXBMFYSA-N 0 0 433.914 -0.070 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N[C@H](CO)C(=O)OC)c2)CC1 ZINC000725906420 1072827218 /nfs/dbraw/zinc/82/72/18/1072827218.db2.gz LFLTZTCLNQBFCZ-OAHLLOKOSA-N 0 0 433.914 -0.070 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@H](CO)C(=O)OC)c1 ZINC000725907024 1072827054 /nfs/dbraw/zinc/82/70/54/1072827054.db2.gz KJBMLQYBNZEBHN-GFCCVEGCSA-N 0 0 439.284 -0.363 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H](CO)C(=O)OC)c1 ZINC000725907025 1071378092 /nfs/dbraw/zinc/37/80/92/1071378092.db2.gz KJBMLQYBNZEBHN-LBPRGKRZSA-N 0 0 439.284 -0.363 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)C1=O ZINC000725911615 1072827802 /nfs/dbraw/zinc/82/78/02/1072827802.db2.gz SPFKQHQUWKBQSK-UHFFFAOYSA-N 0 0 426.451 -0.074 20 0 IBADRN Cn1c2ncn(CC(=O)OCCOc3ccc4c(c3)CCC(=O)N4)c2c(=O)n(C)c1=O ZINC000725912884 1072827646 /nfs/dbraw/zinc/82/76/46/1072827646.db2.gz BCYWEENGFOTJIJ-UHFFFAOYSA-N 0 0 427.417 -0.059 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000725912987 1072827683 /nfs/dbraw/zinc/82/76/83/1072827683.db2.gz AUEWLQSLRHBCRN-UHFFFAOYSA-N 0 0 440.459 -0.687 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)Cn1c2ccccc2c(=O)[nH]c1=O ZINC000725915722 1072827827 /nfs/dbraw/zinc/82/78/27/1072827827.db2.gz MRENRGDIVSMJSC-UHFFFAOYSA-N 0 0 432.414 -0.069 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)CNS(=O)(=O)c1ccccc1F ZINC000725915908 1072827749 /nfs/dbraw/zinc/82/77/49/1072827749.db2.gz AWSJYGGBRVOXNV-UHFFFAOYSA-N 0 0 445.450 -0.067 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)CNC(=O)c1ccc2c(c1)OCO2 ZINC000725916939 1072827786 /nfs/dbraw/zinc/82/77/86/1072827786.db2.gz KURRCPLNRILLHV-UHFFFAOYSA-N 0 0 435.414 -0.026 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)CNS(=O)(=O)c1cccc(F)c1 ZINC000725918267 1072827672 /nfs/dbraw/zinc/82/76/72/1072827672.db2.gz DCUNKIJBXHGZJZ-UHFFFAOYSA-N 0 0 445.450 -0.067 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCOc1ccc3c(c1)CCC(=O)N3)c(=O)n2C ZINC000725918513 1071406072 /nfs/dbraw/zinc/40/60/72/1071406072.db2.gz RWXJKDUAUOSXOV-UHFFFAOYSA-N 0 0 427.417 -0.059 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)CC1 ZINC000725918923 1072827739 /nfs/dbraw/zinc/82/77/39/1072827739.db2.gz LGPRXWFOMVXUQG-UHFFFAOYSA-N 0 0 433.508 -0.123 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)Cn1c(=O)[nH]c2ccccc2c1=O ZINC000725920557 1072827815 /nfs/dbraw/zinc/82/78/15/1072827815.db2.gz BQSFLJDBUJHVRJ-UHFFFAOYSA-N 0 0 432.414 -0.069 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)CNS(=O)(=O)c1cccs1 ZINC000725920719 1072827728 /nfs/dbraw/zinc/82/77/28/1072827728.db2.gz FFHUNOYEKRZNBH-UHFFFAOYSA-N 0 0 433.489 -0.144 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)o1 ZINC000725920758 1072827716 /nfs/dbraw/zinc/82/77/16/1072827716.db2.gz FZXHBXUGJOWSOJ-UHFFFAOYSA-N 0 0 431.448 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)c1 ZINC000725921591 1072827696 /nfs/dbraw/zinc/82/76/96/1072827696.db2.gz REONBKBTNQFGOV-UHFFFAOYSA-N 0 0 430.464 -0.292 20 0 IBADRN Cn1c2nc(C(=O)OCC(=O)Nc3ccccc3S(N)(=O)=O)ccc2c(=O)n(C)c1=O ZINC000725921815 1072827765 /nfs/dbraw/zinc/82/77/65/1072827765.db2.gz OJJSKTAXZCMFSS-UHFFFAOYSA-N 0 0 447.429 -0.925 20 0 IBADRN Cn1c(C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000725928129 1072827653 /nfs/dbraw/zinc/82/76/53/1072827653.db2.gz VPZUPMAXVSGEBL-UHFFFAOYSA-N 0 0 449.445 -0.981 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)C1 ZINC000725928141 1072827760 /nfs/dbraw/zinc/82/77/60/1072827760.db2.gz UCIAPOFGXKRVQY-GFCCVEGCSA-N 0 0 433.508 -0.123 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)C1 ZINC000725928145 1072827709 /nfs/dbraw/zinc/82/77/09/1072827709.db2.gz UCIAPOFGXKRVQY-LBPRGKRZSA-N 0 0 433.508 -0.123 20 0 IBADRN CC(C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000725931989 1072827664 /nfs/dbraw/zinc/82/76/64/1072827664.db2.gz CQKGMTKJZVHDDO-UHFFFAOYSA-N 0 0 442.490 -0.005 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)c1ccc(S(=O)(=O)CCO)cc1 ZINC000725933309 1072828370 /nfs/dbraw/zinc/82/83/70/1072828370.db2.gz XCDNHKYBOSIMNR-UHFFFAOYSA-N 0 0 442.471 -0.105 20 0 IBADRN CC(C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000725933834 1072828192 /nfs/dbraw/zinc/82/81/92/1072828192.db2.gz UPRXBDRNEFLOMF-UHFFFAOYSA-N 0 0 428.463 -0.395 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(C)O)CC2)c1 ZINC000725934384 1072828210 /nfs/dbraw/zinc/82/82/10/1072828210.db2.gz AEHXGMXYJDAZCU-UHFFFAOYSA-N 0 0 430.479 -0.149 20 0 IBADRN COCCN(C(=O)COC(=O)C(C)(C)O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000725934976 1072828170 /nfs/dbraw/zinc/82/81/70/1072828170.db2.gz LUYUYGASHVFSIV-UHFFFAOYSA-N 0 0 434.449 -0.127 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(C)O)CC2)cc1OC ZINC000725935328 1072828333 /nfs/dbraw/zinc/82/83/33/1072828333.db2.gz QEHYYPGKPBIUDN-UHFFFAOYSA-N 0 0 430.479 -0.149 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1ccc(F)cc1 ZINC000725958637 1072828305 /nfs/dbraw/zinc/82/83/05/1072828305.db2.gz RCYYQEZDLJZZLA-CQDKDKBSSA-N 0 0 425.413 -0.195 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(F)cc1 ZINC000725958655 1072828202 /nfs/dbraw/zinc/82/82/02/1072828202.db2.gz RCYYQEZDLJZZLA-GLQYFDAESA-N 0 0 425.413 -0.195 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@H]1C[C@@H](O)CN1C(=O)c1ccc(F)cc1 ZINC000725958659 1072828279 /nfs/dbraw/zinc/82/82/79/1072828279.db2.gz RCYYQEZDLJZZLA-NILFDRSVSA-N 0 0 425.413 -0.195 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@H]1C[C@H](O)CN1C(=O)c1ccc(F)cc1 ZINC000725958665 1072828160 /nfs/dbraw/zinc/82/81/60/1072828160.db2.gz RCYYQEZDLJZZLA-TUKIKUTGSA-N 0 0 425.413 -0.195 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(n2cc(C(=O)OCC(=O)N3CCNC(=O)C3)nn2)CC1 ZINC000725973567 1072828183 /nfs/dbraw/zinc/82/81/83/1072828183.db2.gz SCGIMLSXKXBVCJ-UHFFFAOYSA-N 0 0 436.469 -0.035 20 0 IBADRN O=C(CC1CCCC1)NCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000725986575 1072828177 /nfs/dbraw/zinc/82/81/77/1072828177.db2.gz CSADPKZJAPLGBM-INIZCTEOSA-N 0 0 429.539 -0.443 20 0 IBADRN O=C(CC1CCCC1)NCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000725986586 1072828148 /nfs/dbraw/zinc/82/81/48/1072828148.db2.gz CSADPKZJAPLGBM-MRXNPFEDSA-N 0 0 429.539 -0.443 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(F)c(NS(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000725992252 1072828286 /nfs/dbraw/zinc/82/82/86/1072828286.db2.gz GVDJWUVVIHCJNG-UHFFFAOYSA-N 0 0 439.425 -0.199 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(F)c(NS(C)(=O)=O)c1)S(C)(=O)=O ZINC000725993010 1072828246 /nfs/dbraw/zinc/82/82/46/1072828246.db2.gz MNAGJYUNKSMMPT-UHFFFAOYSA-N 0 0 439.487 -0.248 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(F)c(NS(C)(=O)=O)c2)CC1 ZINC000725994251 1072828315 /nfs/dbraw/zinc/82/83/15/1072828315.db2.gz XYUPTSOXCDFURQ-UHFFFAOYSA-N 0 0 444.485 -0.414 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H]1C[C@H](O)CN1C(=O)c1ccc2c(c1)CCC2)C(=O)N(C)C ZINC000725996361 1072828359 /nfs/dbraw/zinc/82/83/59/1072828359.db2.gz IUGMMNWCVHZMGG-DOPJRALCSA-N 0 0 431.489 -0.113 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1ccc2c(c1)CCC2)C(=O)N(C)C ZINC000725996364 1072828350 /nfs/dbraw/zinc/82/83/50/1072828350.db2.gz IUGMMNWCVHZMGG-KKXDTOCCSA-N 0 0 431.489 -0.113 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H]1C[C@@H](O)CN1C(=O)c1ccc2c(c1)CCC2)C(=O)N(C)C ZINC000725996369 1072828222 /nfs/dbraw/zinc/82/82/22/1072828222.db2.gz IUGMMNWCVHZMGG-MORSLUCNSA-N 0 0 431.489 -0.113 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc2c(c1)CCC2)C(=O)N(C)C ZINC000725996370 1072828238 /nfs/dbraw/zinc/82/82/38/1072828238.db2.gz IUGMMNWCVHZMGG-VHSSKADRSA-N 0 0 431.489 -0.113 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(F)c(NS(C)(=O)=O)c2)c(=O)n(C)c1=O ZINC000725996614 1072828258 /nfs/dbraw/zinc/82/82/58/1072828258.db2.gz XCRLWIIFOHNILQ-UHFFFAOYSA-N 0 0 428.398 -0.784 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@@H]2C[C@H](O)CN2C(=O)c2ccc3c(c2)CCC3)CC1 ZINC000725996865 1072828674 /nfs/dbraw/zinc/82/86/74/1072828674.db2.gz FEQWFGVVFJFPOB-PMACEKPBSA-N 0 0 443.500 -0.015 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@@H]2C[C@@H](O)CN2C(=O)c2ccc3c(c2)CCC3)CC1 ZINC000725996870 1072828683 /nfs/dbraw/zinc/82/86/83/1072828683.db2.gz FEQWFGVVFJFPOB-UXHICEINSA-N 0 0 443.500 -0.015 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@H]2C[C@H](O)CN2C(=O)c2ccc3c(c2)CCC3)CC1 ZINC000725996871 1072828747 /nfs/dbraw/zinc/82/87/47/1072828747.db2.gz FEQWFGVVFJFPOB-VQTJNVASSA-N 0 0 443.500 -0.015 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@H]2C[C@@H](O)CN2C(=O)c2ccc3c(c2)CCC3)CC1 ZINC000725996873 1072828853 /nfs/dbraw/zinc/82/88/53/1072828853.db2.gz FEQWFGVVFJFPOB-WOJBJXKFSA-N 0 0 443.500 -0.015 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)Cc2cnn(C)c2)CC1 ZINC000725997863 1072828818 /nfs/dbraw/zinc/82/88/18/1072828818.db2.gz ASSZAFCNXWMLEB-UHFFFAOYSA-N 0 0 425.467 -0.356 20 0 IBADRN Cn1cc(CC(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)cn1 ZINC000725999636 1072828838 /nfs/dbraw/zinc/82/88/38/1072828838.db2.gz CFGJEHXTYBWEBJ-UHFFFAOYSA-N 0 0 436.490 -0.157 20 0 IBADRN Cn1cc(CC(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000726001754 1072828877 /nfs/dbraw/zinc/82/88/77/1072828877.db2.gz ZFKLMNBIQRLLCV-UHFFFAOYSA-N 0 0 436.490 -0.157 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@@H]2C[C@@H](O)CN2C(=O)c2ccc3c(c2)CCC3)n(C)c1=O ZINC000726005230 1072828913 /nfs/dbraw/zinc/82/89/13/1072828913.db2.gz XOVIMIAZRZVSQZ-MSOLQXFVSA-N 0 0 427.457 -0.109 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@H]2C[C@@H](O)CN2C(=O)c2ccc3c(c2)CCC3)n(C)c1=O ZINC000726005231 1072828653 /nfs/dbraw/zinc/82/86/53/1072828653.db2.gz XOVIMIAZRZVSQZ-QZTJIDSGSA-N 0 0 427.457 -0.109 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@@H]2C[C@H](O)CN2C(=O)c2ccc3c(c2)CCC3)n(C)c1=O ZINC000726005232 1072828734 /nfs/dbraw/zinc/82/87/34/1072828734.db2.gz XOVIMIAZRZVSQZ-ROUUACIJSA-N 0 0 427.457 -0.109 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@H]2C[C@H](O)CN2C(=O)c2ccc3c(c2)CCC3)n(C)c1=O ZINC000726005233 1072828900 /nfs/dbraw/zinc/82/89/00/1072828900.db2.gz XOVIMIAZRZVSQZ-ZWKOTPCHSA-N 0 0 427.457 -0.109 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000726009331 1072828755 /nfs/dbraw/zinc/82/87/55/1072828755.db2.gz RBAROCCHTYVRDP-FAEJEUNOSA-N 0 0 441.525 -0.053 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000726009333 1072828712 /nfs/dbraw/zinc/82/87/12/1072828712.db2.gz RBAROCCHTYVRDP-ZLPCBKJTSA-N 0 0 441.525 -0.053 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000726011611 1072828802 /nfs/dbraw/zinc/82/88/02/1072828802.db2.gz SSOPIKCXKMEGNG-UHFFFAOYSA-N 0 0 427.498 -0.442 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000726031808 1072828770 /nfs/dbraw/zinc/82/87/70/1072828770.db2.gz AJPFFCNYGNCJEB-CRAIPNDOSA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000726031809 1072828786 /nfs/dbraw/zinc/82/87/86/1072828786.db2.gz AJPFFCNYGNCJEB-MAUKXSAKSA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000726031810 1072828719 /nfs/dbraw/zinc/82/87/19/1072828719.db2.gz AJPFFCNYGNCJEB-QAPCUYQASA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000726031811 1072828920 /nfs/dbraw/zinc/82/89/20/1072828920.db2.gz AJPFFCNYGNCJEB-YJBOKZPZSA-N 0 0 429.539 -0.492 20 0 IBADRN O=C(CCNC(=O)C1CCCCC1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000726044268 1072830266 /nfs/dbraw/zinc/83/02/66/1072830266.db2.gz HJVLUQXRVQBUMR-KRWDZBQOSA-N 0 0 443.566 -0.053 20 0 IBADRN O=C(CCNC(=O)C1CCCCC1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000726044269 1072830289 /nfs/dbraw/zinc/83/02/89/1072830289.db2.gz HJVLUQXRVQBUMR-QGZVFWFLSA-N 0 0 443.566 -0.053 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccc(CS(C)(=O)=O)o2)c(=O)n(C)c1=O ZINC000726049179 1072830500 /nfs/dbraw/zinc/83/05/00/1072830500.db2.gz WLOWBYQOINNSMW-UHFFFAOYSA-N 0 0 441.462 -0.038 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(S(N)(=O)=O)c(Cl)c2)CC1 ZINC000726062284 1072830481 /nfs/dbraw/zinc/83/04/81/1072830481.db2.gz CVRWMYUQDHRNOC-UHFFFAOYSA-N 0 0 446.913 -0.623 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(S(N)(=O)=O)c(Cl)c3)c2c(=O)n(C)c1=O ZINC000726063432 1072830427 /nfs/dbraw/zinc/83/04/27/1072830427.db2.gz KVYQBFIFIUIXPY-UHFFFAOYSA-N 0 0 441.853 -0.409 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(S(N)(=O)=O)c(Cl)c1)S(C)(=O)=O ZINC000726063896 1072830277 /nfs/dbraw/zinc/83/02/77/1072830277.db2.gz OCNBXWQYLCWEQQ-UHFFFAOYSA-N 0 0 441.915 -0.458 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726064078 1072830445 /nfs/dbraw/zinc/83/04/45/1072830445.db2.gz ANWCEGWRRJQQRB-UHFFFAOYSA-N 0 0 443.478 -0.053 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726064834 1072830533 /nfs/dbraw/zinc/83/05/33/1072830533.db2.gz GRVTWEHAPFYGSC-CYBMUJFWSA-N 0 0 427.479 -0.275 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726064837 1072830461 /nfs/dbraw/zinc/83/04/61/1072830461.db2.gz GRVTWEHAPFYGSC-ZDUSSCGKSA-N 0 0 427.479 -0.275 20 0 IBADRN NS(=O)(=O)c1ccc(OCCC(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000726064942 1072830935 /nfs/dbraw/zinc/83/09/35/1072830935.db2.gz HUORWDAMNPZIMC-UHFFFAOYSA-N 0 0 439.490 -0.273 20 0 IBADRN NS(=O)(=O)c1ccc(OCCC(=O)OCC(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000726067251 1072830893 /nfs/dbraw/zinc/83/08/93/1072830893.db2.gz HUZIQJIXSLBHIP-UHFFFAOYSA-N 0 0 449.489 -0.215 20 0 IBADRN C[C@@H](OC(=O)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000726067313 1072830911 /nfs/dbraw/zinc/83/09/11/1072830911.db2.gz IULRXSXKVDTQGC-CHWSQXEVSA-N 0 0 448.519 -0.320 20 0 IBADRN C[C@@H](OC(=O)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000726067315 1072830784 /nfs/dbraw/zinc/83/07/84/1072830784.db2.gz IULRXSXKVDTQGC-OLZOCXBDSA-N 0 0 448.519 -0.320 20 0 IBADRN C[C@H](OC(=O)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000726067317 1072830900 /nfs/dbraw/zinc/83/09/00/1072830900.db2.gz IULRXSXKVDTQGC-QWHCGFSZSA-N 0 0 448.519 -0.320 20 0 IBADRN C[C@H](OC(=O)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000726067318 1072830858 /nfs/dbraw/zinc/83/08/58/1072830858.db2.gz IULRXSXKVDTQGC-STQMWFEESA-N 0 0 448.519 -0.320 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000726067701 1072830835 /nfs/dbraw/zinc/83/08/35/1072830835.db2.gz ZAOKJBQRVRDNNI-AWEZNQCLSA-N 0 0 427.479 -0.227 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000726067704 1072830767 /nfs/dbraw/zinc/83/07/67/1072830767.db2.gz ZAOKJBQRVRDNNI-CQSZACIVSA-N 0 0 427.479 -0.227 20 0 IBADRN C[C@@H](OC(=O)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000726067767 1072830799 /nfs/dbraw/zinc/83/07/99/1072830799.db2.gz ZQRWYHPEZMWVKE-CHWSQXEVSA-N 0 0 427.479 -0.242 20 0 IBADRN C[C@@H](OC(=O)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000726067771 1072830944 /nfs/dbraw/zinc/83/09/44/1072830944.db2.gz ZQRWYHPEZMWVKE-OLZOCXBDSA-N 0 0 427.479 -0.242 20 0 IBADRN C[C@H](OC(=O)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000726067773 1072830826 /nfs/dbraw/zinc/83/08/26/1072830826.db2.gz ZQRWYHPEZMWVKE-QWHCGFSZSA-N 0 0 427.479 -0.242 20 0 IBADRN C[C@H](OC(=O)CCOc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000726067774 1072830843 /nfs/dbraw/zinc/83/08/43/1072830843.db2.gz ZQRWYHPEZMWVKE-STQMWFEESA-N 0 0 427.479 -0.242 20 0 IBADRN CCN(C(=O)COC(=O)CCOc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000726069160 1072830737 /nfs/dbraw/zinc/83/07/37/1072830737.db2.gz YRBJERNFGAVZTD-CYBMUJFWSA-N 0 0 448.519 -0.318 20 0 IBADRN CCN(C(=O)COC(=O)CCOc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000726069161 1072830755 /nfs/dbraw/zinc/83/07/55/1072830755.db2.gz YRBJERNFGAVZTD-ZDUSSCGKSA-N 0 0 448.519 -0.318 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000726076593 1072830867 /nfs/dbraw/zinc/83/08/67/1072830867.db2.gz YUBITMOATMDLST-UHFFFAOYSA-N 0 0 448.567 -0.191 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)Cn3c(=O)onc3-c3cnccn3)C2=O)cc1 ZINC000726119596 1072831189 /nfs/dbraw/zinc/83/11/89/1072831189.db2.gz SOLLCSFCLDJJPK-IBGZPJMESA-N 0 0 439.388 -0.200 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)Cn3c(=O)onc3-c3cnccn3)C2=O)cc1 ZINC000726119597 1072831242 /nfs/dbraw/zinc/83/12/42/1072831242.db2.gz SOLLCSFCLDJJPK-LJQANCHMSA-N 0 0 439.388 -0.200 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C(=S)NCCc2ccccn2)CC1 ZINC000726132451 1072831298 /nfs/dbraw/zinc/83/12/98/1072831298.db2.gz ZTWSPTFKBQSRJB-HNNXBMFYSA-N 0 0 432.593 -0.367 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C(=S)NCCc2ccccn2)CC1 ZINC000726132453 1072831523 /nfs/dbraw/zinc/83/15/23/1072831523.db2.gz ZTWSPTFKBQSRJB-OAHLLOKOSA-N 0 0 432.593 -0.367 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)cc2)NC(=O)NC1=O ZINC000726167390 1072831545 /nfs/dbraw/zinc/83/15/45/1072831545.db2.gz IYUHEWNIJILFRH-IBGZPJMESA-N 0 0 429.458 -0.145 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)cc2)NC(=O)NC1=O ZINC000726167391 1072831318 /nfs/dbraw/zinc/83/13/18/1072831318.db2.gz IYUHEWNIJILFRH-LJQANCHMSA-N 0 0 429.458 -0.145 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)cc2)NC(=O)NC1=O ZINC000726167398 1072831504 /nfs/dbraw/zinc/83/15/04/1072831504.db2.gz JBVPVWCBKPXPGB-IBGZPJMESA-N 0 0 429.458 -0.145 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)cc2)NC(=O)NC1=O ZINC000726167399 1072831274 /nfs/dbraw/zinc/83/12/74/1072831274.db2.gz JBVPVWCBKPXPGB-LJQANCHMSA-N 0 0 429.458 -0.145 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C1 ZINC000726175638 1072831258 /nfs/dbraw/zinc/83/12/58/1072831258.db2.gz NQTQSWWXHRNYAE-AWEZNQCLSA-N 0 0 438.506 -0.256 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C1 ZINC000726175646 1072831556 /nfs/dbraw/zinc/83/15/56/1072831556.db2.gz NQTQSWWXHRNYAE-CQSZACIVSA-N 0 0 438.506 -0.256 20 0 IBADRN O=S(=O)(NC[C@H]1CN2CCC[C@@H]2CO1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000726186859 1072831472 /nfs/dbraw/zinc/83/14/72/1072831472.db2.gz GRCCMOGCRUOMJD-CVEARBPZSA-N 0 0 445.563 -0.151 20 0 IBADRN O=S(=O)(NC[C@H]1CN2CCC[C@H]2CO1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000726186868 1072831390 /nfs/dbraw/zinc/83/13/90/1072831390.db2.gz GRCCMOGCRUOMJD-HOTGVXAUSA-N 0 0 445.563 -0.151 20 0 IBADRN O=S(=O)(NC[C@@H]1CN2CCC[C@@H]2CO1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000726186869 1072831441 /nfs/dbraw/zinc/83/14/41/1072831441.db2.gz GRCCMOGCRUOMJD-HZPDHXFCSA-N 0 0 445.563 -0.151 20 0 IBADRN O=S(=O)(NC[C@@H]1CN2CCC[C@H]2CO1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000726186870 1072831815 /nfs/dbraw/zinc/83/18/15/1072831815.db2.gz GRCCMOGCRUOMJD-JKSUJKDBSA-N 0 0 445.563 -0.151 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000726187321 1072831979 /nfs/dbraw/zinc/83/19/79/1072831979.db2.gz QUEPQUUGBYNAPV-UHFFFAOYSA-N 0 0 434.536 -0.021 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000726187818 1072831989 /nfs/dbraw/zinc/83/19/89/1072831989.db2.gz FLXAJDVNUOZLAN-GOSISDBHSA-N 0 0 439.494 -0.150 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000726187819 1072831763 /nfs/dbraw/zinc/83/17/63/1072831763.db2.gz FLXAJDVNUOZLAN-SFHVURJKSA-N 0 0 439.494 -0.150 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)N2CCN(C(=O)OCC)CC2)C1 ZINC000726189348 1072831937 /nfs/dbraw/zinc/83/19/37/1072831937.db2.gz PVLJNNQOWSVBFV-HNNXBMFYSA-N 0 0 448.542 -0.261 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)N2CCN(C(=O)OCC)CC2)C1 ZINC000726189349 1072831969 /nfs/dbraw/zinc/83/19/69/1072831969.db2.gz PVLJNNQOWSVBFV-OAHLLOKOSA-N 0 0 448.542 -0.261 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)O[C@H](C)C(=O)N3CCNC3=O)CC2)cn1C ZINC000726199436 1072832004 /nfs/dbraw/zinc/83/20/04/1072832004.db2.gz DZVVCPNQFWANNY-LLVKDONJSA-N 0 0 427.483 -0.387 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)O[C@@H](C)C(=O)N3CCNC3=O)CC2)cn1C ZINC000726199438 1072831955 /nfs/dbraw/zinc/83/19/55/1072831955.db2.gz DZVVCPNQFWANNY-NSHDSACASA-N 0 0 427.483 -0.387 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCC(=O)NC[C@@H]3CCCO3)CC2)cn1C ZINC000726199733 1072831904 /nfs/dbraw/zinc/83/19/04/1072831904.db2.gz HCIMFACDEVWYJH-HNNXBMFYSA-N 0 0 428.511 -0.032 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCC(=O)NC[C@H]3CCCO3)CC2)cn1C ZINC000726199737 1072831731 /nfs/dbraw/zinc/83/17/31/1072831731.db2.gz HCIMFACDEVWYJH-OAHLLOKOSA-N 0 0 428.511 -0.032 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000726200199 1072831870 /nfs/dbraw/zinc/83/18/70/1072831870.db2.gz KPFGYDGVMGKZTB-LLVKDONJSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000726200200 1072831914 /nfs/dbraw/zinc/83/19/14/1072831914.db2.gz KPFGYDGVMGKZTB-NSHDSACASA-N 0 0 430.483 -0.650 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCC(=O)NC(=O)NC3CC3)CC2)cn1C ZINC000726200772 1072831800 /nfs/dbraw/zinc/83/18/00/1072831800.db2.gz PXWZDWHWMJALDF-UHFFFAOYSA-N 0 0 427.483 -0.339 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC000726200904 1072832012 /nfs/dbraw/zinc/83/20/12/1072832012.db2.gz JVCHSJWWVUXWLN-UHFFFAOYSA-N 0 0 448.519 -0.023 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC000726201004 1072831781 /nfs/dbraw/zinc/83/17/81/1072831781.db2.gz LUHRJYARVDASQX-UHFFFAOYSA-N 0 0 434.492 -0.413 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCc3nc(N)nc(N(C)C)n3)CC2)cn1C ZINC000726201129 1072831847 /nfs/dbraw/zinc/83/18/47/1072831847.db2.gz UWHWZYHTFLHTIY-UHFFFAOYSA-N 0 0 438.514 -0.294 20 0 IBADRN O=C(COC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1)N1CCNC(=O)C1 ZINC000726201301 1072831858 /nfs/dbraw/zinc/83/18/58/1072831858.db2.gz PRBRZDYZAUSOCC-UHFFFAOYSA-N 0 0 445.519 -0.744 20 0 IBADRN O=C(OCCN1C(=O)CNC1=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC000726201397 1072831748 /nfs/dbraw/zinc/83/17/48/1072831748.db2.gz RNUMTQJTRKKHPW-UHFFFAOYSA-N 0 0 431.492 -0.150 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000726201468 1072831928 /nfs/dbraw/zinc/83/19/28/1072831928.db2.gz WLSUIMZMOODUEB-UHFFFAOYSA-N 0 0 430.483 -0.648 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c(O)c1 ZINC000726204901 1072831880 /nfs/dbraw/zinc/83/18/80/1072831880.db2.gz WLYVYDKABVTREB-UHFFFAOYSA-N 0 0 434.493 -0.404 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c(O)c1 ZINC000726205674 1072832670 /nfs/dbraw/zinc/83/26/70/1072832670.db2.gz NSZGNRCQIKLFLI-UHFFFAOYSA-N 0 0 429.433 -0.189 20 0 IBADRN COc1cc(OC)c(N)c(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000726206132 1072832658 /nfs/dbraw/zinc/83/26/58/1072832658.db2.gz KQABTKSNWLVYER-CYBMUJFWSA-N 0 0 441.506 -0.226 20 0 IBADRN COc1cc(OC)c(N)c(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000726206138 1072832211 /nfs/dbraw/zinc/83/22/11/1072832211.db2.gz KQABTKSNWLVYER-ZDUSSCGKSA-N 0 0 441.506 -0.226 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)NCCS(=O)(=O)N3CCOCC3)cc2)NC(=O)NC1=O ZINC000726250991 1072832712 /nfs/dbraw/zinc/83/27/12/1072832712.db2.gz NXWWENKSLVWVMM-GOSISDBHSA-N 0 0 439.494 -0.798 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)NCCS(=O)(=O)N3CCOCC3)cc2)NC(=O)NC1=O ZINC000726250992 1072832615 /nfs/dbraw/zinc/83/26/15/1072832615.db2.gz NXWWENKSLVWVMM-SFHVURJKSA-N 0 0 439.494 -0.798 20 0 IBADRN C[C@@H]1CN(CCNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCO1 ZINC000726254506 1072832270 /nfs/dbraw/zinc/83/22/70/1072832270.db2.gz GUVQLBAHXXDXAE-CYBMUJFWSA-N 0 0 429.499 -0.885 20 0 IBADRN C[C@H]1CN(CCNC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCO1 ZINC000726254514 1072832626 /nfs/dbraw/zinc/83/26/26/1072832626.db2.gz GUVQLBAHXXDXAE-ZDUSSCGKSA-N 0 0 429.499 -0.885 20 0 IBADRN C[C@H]1CN(CCNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000726255589 1072832589 /nfs/dbraw/zinc/83/25/89/1072832589.db2.gz LLJKWZFPDYWDRR-KRWDZBQOSA-N 0 0 440.570 -0.447 20 0 IBADRN C[C@@H]1CN(CCNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC000726255601 1072832724 /nfs/dbraw/zinc/83/27/24/1072832724.db2.gz LLJKWZFPDYWDRR-QGZVFWFLSA-N 0 0 440.570 -0.447 20 0 IBADRN C[C@@H]1CN(CCNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCO1 ZINC000726255870 1072832387 /nfs/dbraw/zinc/83/23/87/1072832387.db2.gz MZZGGAUBXGJPGC-BRWVUGGUSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@@H]1CN(CCNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCO1 ZINC000726255871 1072832545 /nfs/dbraw/zinc/83/25/45/1072832545.db2.gz MZZGGAUBXGJPGC-IKGGRYGDSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCN3CCO[C@@H](C)C3)CC2)C[C@H](C)O1 ZINC000726256178 1072832491 /nfs/dbraw/zinc/83/24/91/1072832491.db2.gz MZZGGAUBXGJPGC-YESZJQIVSA-N 0 0 433.575 -0.612 20 0 IBADRN C[C@@H]1CN(CCNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCO1 ZINC000726256181 1072832435 /nfs/dbraw/zinc/83/24/35/1072832435.db2.gz MZZGGAUBXGJPGC-ZACQAIPSSA-N 0 0 433.575 -0.612 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000726278919 1072832760 /nfs/dbraw/zinc/83/27/60/1072832760.db2.gz NZSQQKBMIRFNGN-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000726279559 1072832580 /nfs/dbraw/zinc/83/25/80/1072832580.db2.gz UUORLCDXXAATET-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COCCNC(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)C(F)(F)F)ccc1OC ZINC000726283712 1072832317 /nfs/dbraw/zinc/83/23/17/1072832317.db2.gz KIBNYCNIXXXJQG-UHFFFAOYSA-N 0 0 441.384 -0.194 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000726284425 1072832530 /nfs/dbraw/zinc/83/25/30/1072832530.db2.gz WRFCOFQNQSLBOL-UHFFFAOYSA-N 0 0 447.413 -0.407 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000726284843 1072832698 /nfs/dbraw/zinc/83/26/98/1072832698.db2.gz GNQANHIWQQRWBG-UHFFFAOYSA-N 0 0 449.551 -0.117 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)c1 ZINC000726286958 1072833023 /nfs/dbraw/zinc/83/30/23/1072833023.db2.gz XRSNREDZMPDWDD-UHFFFAOYSA-N 0 0 434.474 -0.010 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000726288521 1072833246 /nfs/dbraw/zinc/83/32/46/1072833246.db2.gz FNEHCFPZCUUXML-UHFFFAOYSA-N 0 0 426.495 -0.126 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000726288552 1072832979 /nfs/dbraw/zinc/83/29/79/1072832979.db2.gz GQOQFIBZKRVHDD-UHFFFAOYSA-N 0 0 440.522 -0.671 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000726288614 1072833146 /nfs/dbraw/zinc/83/31/46/1072833146.db2.gz JBKBOSPTKRISEY-UHFFFAOYSA-N 0 0 427.479 -0.634 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)c1 ZINC000726289280 1072832959 /nfs/dbraw/zinc/83/29/59/1072832959.db2.gz CYUQLXZYROEEGI-UHFFFAOYSA-N 0 0 447.535 -0.458 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000726289321 1072833233 /nfs/dbraw/zinc/83/32/33/1072833233.db2.gz DYODKURVUONVOU-UHFFFAOYSA-N 0 0 433.508 -0.848 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000726289434 1072833081 /nfs/dbraw/zinc/83/30/81/1072833081.db2.gz XFUGKQMPKYFSOM-UHFFFAOYSA-N 0 0 440.522 -0.671 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1 ZINC000726290455 1072833120 /nfs/dbraw/zinc/83/31/20/1072833120.db2.gz GRHMBAZVFUFJKX-AWEZNQCLSA-N 0 0 447.535 -0.411 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000726290456 1072833133 /nfs/dbraw/zinc/83/31/33/1072833133.db2.gz GRHMBAZVFUFJKX-CQSZACIVSA-N 0 0 447.535 -0.411 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000726292706 1072833065 /nfs/dbraw/zinc/83/30/65/1072833065.db2.gz GUOJPNDJVQCJMP-UHFFFAOYSA-N 0 0 435.524 -0.508 20 0 IBADRN CSc1cc2c(cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1)OCCO2 ZINC000726293738 1072833007 /nfs/dbraw/zinc/83/30/07/1072833007.db2.gz LFAPPVAHGWCHLH-UHFFFAOYSA-N 0 0 445.519 -0.104 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000726294540 1072833096 /nfs/dbraw/zinc/83/30/96/1072833096.db2.gz ZZDOFALHXUNPNP-LLVKDONJSA-N 0 0 439.368 -0.360 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000726294541 1072833194 /nfs/dbraw/zinc/83/31/94/1072833194.db2.gz ZZDOFALHXUNPNP-NSHDSACASA-N 0 0 439.368 -0.360 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000726294651 1072833167 /nfs/dbraw/zinc/83/31/67/1072833167.db2.gz WKQPUFMCQDKGIE-AWEZNQCLSA-N 0 0 447.535 -0.411 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC000726294652 1072833206 /nfs/dbraw/zinc/83/32/06/1072833206.db2.gz WKQPUFMCQDKGIE-CQSZACIVSA-N 0 0 447.535 -0.411 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cccnn3)CC2)cc1 ZINC000726306253 1072833111 /nfs/dbraw/zinc/83/31/11/1072833111.db2.gz NEUQQIHNLQQMAH-UHFFFAOYSA-N 0 0 434.478 -0.054 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN(c2cccnn2)CC1 ZINC000726307392 1072833051 /nfs/dbraw/zinc/83/30/51/1072833051.db2.gz UVNGNPBJQYOYEG-UHFFFAOYSA-N 0 0 444.517 -0.035 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCCS2(=O)=O)cc1 ZINC000726312466 1072833178 /nfs/dbraw/zinc/83/31/78/1072833178.db2.gz KYWDZGNUGCUONP-UHFFFAOYSA-N 0 0 448.523 -0.651 20 0 IBADRN C[C@@]1(c2ccc(CNC(=O)C(=O)Nc3ccc(-n4nccn4)nc3)cc2)NC(=O)NC1=O ZINC000726316209 1072833668 /nfs/dbraw/zinc/83/36/68/1072833668.db2.gz SAVOZMRJLPNSOT-FQEVSTJZSA-N 0 0 434.416 -0.028 20 0 IBADRN C[C@]1(c2ccc(CNC(=O)C(=O)Nc3ccc(-n4nccn4)nc3)cc2)NC(=O)NC1=O ZINC000726316210 1072833637 /nfs/dbraw/zinc/83/36/37/1072833637.db2.gz SAVOZMRJLPNSOT-HXUWFJFHSA-N 0 0 434.416 -0.028 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCCCC3)c2)CC1 ZINC000726371894 1072833555 /nfs/dbraw/zinc/83/35/55/1072833555.db2.gz ASIKHLOIHHRLKW-UHFFFAOYSA-N 0 0 434.515 -0.038 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000726372145 1072833609 /nfs/dbraw/zinc/83/36/09/1072833609.db2.gz MBLWUERLRPRIFN-UHFFFAOYSA-N 0 0 427.302 -0.942 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)c2ncccc12 ZINC000726374477 1072833483 /nfs/dbraw/zinc/83/34/83/1072833483.db2.gz UVFWXTUYSITIJF-UHFFFAOYSA-N 0 0 441.535 -0.419 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726374906 1072833464 /nfs/dbraw/zinc/83/34/64/1072833464.db2.gz QBRIIHOPDDGLII-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN O=C(CNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)Nc1ccc(-n2cncn2)cc1 ZINC000726377504 1072833684 /nfs/dbraw/zinc/83/36/84/1072833684.db2.gz YCFHNCGVWBJOQZ-UHFFFAOYSA-N 0 0 427.446 -0.180 20 0 IBADRN NS(=O)(=O)c1ccc(OCCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000726381005 1072834119 /nfs/dbraw/zinc/83/41/19/1072834119.db2.gz QMWFZKGZSQAABF-UHFFFAOYSA-N 0 0 440.522 -0.894 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)NNC(=S)NCCN2CCOCC2)cc1 ZINC000726436717 1072834136 /nfs/dbraw/zinc/83/41/36/1072834136.db2.gz HQAQRKIJLSPZFZ-UHFFFAOYSA-N 0 0 432.597 -0.991 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000726442945 1072834324 /nfs/dbraw/zinc/83/43/24/1072834324.db2.gz KDANFAUEDAYIAF-UHFFFAOYSA-N 0 0 425.580 -0.052 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000726443128 1072834088 /nfs/dbraw/zinc/83/40/88/1072834088.db2.gz LXZXHJKEVIRMGG-UHFFFAOYSA-N 0 0 441.579 -0.815 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000726447932 1072834206 /nfs/dbraw/zinc/83/42/06/1072834206.db2.gz KCBHCUFAEIMCLH-AWEZNQCLSA-N 0 0 432.593 -0.487 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000726447940 1072834058 /nfs/dbraw/zinc/83/40/58/1072834058.db2.gz KCBHCUFAEIMCLH-CQSZACIVSA-N 0 0 432.593 -0.487 20 0 IBADRN COCCNC(=O)CN1CCN(C(=S)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726449300 1072834074 /nfs/dbraw/zinc/83/40/74/1072834074.db2.gz OGNDQCMKVRMCEA-UHFFFAOYSA-N 0 0 429.568 -0.911 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=S)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726451048 1072834053 /nfs/dbraw/zinc/83/40/53/1072834053.db2.gz LLZGFFFEAJMBJH-CYBMUJFWSA-N 0 0 425.580 -0.007 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=S)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726451051 1072834272 /nfs/dbraw/zinc/83/42/72/1072834272.db2.gz LLZGFFFEAJMBJH-ZDUSSCGKSA-N 0 0 425.580 -0.007 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=S)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726451320 1072834035 /nfs/dbraw/zinc/83/40/35/1072834035.db2.gz MRJPTEPVCQBZCW-UHFFFAOYSA-N 0 0 449.624 -0.087 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000726451698 1072834250 /nfs/dbraw/zinc/83/42/50/1072834250.db2.gz LTNSOPXFFLYQHM-UHFFFAOYSA-N 0 0 441.579 -0.815 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=S)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000726453053 1072834339 /nfs/dbraw/zinc/83/43/39/1072834339.db2.gz QJCQRCRCOJSXAT-UHFFFAOYSA-N 0 0 427.552 -0.623 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000726464910 1072834191 /nfs/dbraw/zinc/83/41/91/1072834191.db2.gz DNOUKGPRMOEDDH-UHFFFAOYSA-N 0 0 439.563 -0.525 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=S)Nc3ccc(C(N)=O)cc3)CC2)CC1 ZINC000726465535 1072834285 /nfs/dbraw/zinc/83/42/85/1072834285.db2.gz NRWXKFDRTULYLR-UHFFFAOYSA-N 0 0 426.568 -0.408 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000726466506 1072834164 /nfs/dbraw/zinc/83/41/64/1072834164.db2.gz RHYCHNMVLCKEAA-UHFFFAOYSA-N 0 0 425.536 -0.869 20 0 IBADRN NC(=O)c1ccc(NC(=S)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000726480909 1072834351 /nfs/dbraw/zinc/83/43/51/1072834351.db2.gz OHDWHZAUJXPZBS-AWEZNQCLSA-N 0 0 446.576 -0.383 20 0 IBADRN NC(=O)c1ccc(NC(=S)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000726480910 1072834303 /nfs/dbraw/zinc/83/43/03/1072834303.db2.gz OHDWHZAUJXPZBS-CQSZACIVSA-N 0 0 446.576 -0.383 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000726499444 1072834777 /nfs/dbraw/zinc/83/47/77/1072834777.db2.gz FGYVKXWIGKVATR-AWEZNQCLSA-N 0 0 429.543 -0.030 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@@H](C)N2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000726499447 1072834798 /nfs/dbraw/zinc/83/47/98/1072834798.db2.gz FGYVKXWIGKVATR-CQSZACIVSA-N 0 0 429.543 -0.030 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)cc1 ZINC000726504867 1072834679 /nfs/dbraw/zinc/83/46/79/1072834679.db2.gz JJOCUAUOQLOQFY-INIZCTEOSA-N 0 0 425.507 -0.178 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)cc1 ZINC000726504868 1072834693 /nfs/dbraw/zinc/83/46/93/1072834693.db2.gz JJOCUAUOQLOQFY-MRXNPFEDSA-N 0 0 425.507 -0.178 20 0 IBADRN O=C(CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCc2ccccc2C1 ZINC000726517548 1072834660 /nfs/dbraw/zinc/83/46/60/1072834660.db2.gz YAPQKNQXVJJPFG-INIZCTEOSA-N 0 0 432.502 -0.147 20 0 IBADRN O=C(CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCc2ccccc2C1 ZINC000726517549 1072834653 /nfs/dbraw/zinc/83/46/53/1072834653.db2.gz YAPQKNQXVJJPFG-MRXNPFEDSA-N 0 0 432.502 -0.147 20 0 IBADRN O=C(CCC1CCCC1)NCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000726526637 1072834746 /nfs/dbraw/zinc/83/47/46/1072834746.db2.gz NBSOBAGUBQITQC-INIZCTEOSA-N 0 0 449.595 -0.266 20 0 IBADRN O=C(CCC1CCCC1)NCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000726526638 1072834706 /nfs/dbraw/zinc/83/47/06/1072834706.db2.gz NBSOBAGUBQITQC-MRXNPFEDSA-N 0 0 449.595 -0.266 20 0 IBADRN CN1C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)CC1=O ZINC000726537370 1072834767 /nfs/dbraw/zinc/83/47/67/1072834767.db2.gz LWGJVRBISJQFNI-BMEWNCGWSA-N 0 0 443.547 -0.273 20 0 IBADRN CN1C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)CC1=O ZINC000726537375 1072834603 /nfs/dbraw/zinc/83/46/03/1072834603.db2.gz LWGJVRBISJQFNI-IRZHHCKBSA-N 0 0 443.547 -0.273 20 0 IBADRN CN1C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)CC1=O ZINC000726537376 1072834720 /nfs/dbraw/zinc/83/47/20/1072834720.db2.gz LWGJVRBISJQFNI-OXSAEWAQSA-N 0 0 443.547 -0.273 20 0 IBADRN CN1C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)CC1=O ZINC000726537378 1072834547 /nfs/dbraw/zinc/83/45/47/1072834547.db2.gz LWGJVRBISJQFNI-ZVYLKZBJSA-N 0 0 443.547 -0.273 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000726541089 1072834809 /nfs/dbraw/zinc/83/48/09/1072834809.db2.gz WJYLYHXNXYQZOB-UHFFFAOYSA-N 0 0 438.506 -0.256 20 0 IBADRN O=C(CCNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCN(c2ncccn2)CC1 ZINC000726543684 1072834565 /nfs/dbraw/zinc/83/45/65/1072834565.db2.gz HHAUERZJJALISR-UHFFFAOYSA-N 0 0 445.505 -0.871 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)ccc1F ZINC000726543806 1072834750 /nfs/dbraw/zinc/83/47/50/1072834750.db2.gz KFXAVZPJAZAXHH-UHFFFAOYSA-N 0 0 436.469 -0.268 20 0 IBADRN O=C(CCNC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(c2ncccn2)CC1 ZINC000726544196 1072834637 /nfs/dbraw/zinc/83/46/37/1072834637.db2.gz RFNRVEFXZLQBMP-UHFFFAOYSA-N 0 0 445.505 -0.871 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)N2CCN(C(=O)OCC)CC2)CC1 ZINC000726598089 1072835257 /nfs/dbraw/zinc/83/52/57/1072835257.db2.gz DGVQOKZBPVXEFP-UHFFFAOYSA-N 0 0 448.542 -0.261 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000726603249 1072835047 /nfs/dbraw/zinc/83/50/47/1072835047.db2.gz PHCNOFCTNWKGIV-UHFFFAOYSA-N 0 0 426.499 -0.679 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000726616241 1072835135 /nfs/dbraw/zinc/83/51/35/1072835135.db2.gz FRKUYPUGFURRPB-HNNXBMFYSA-N 0 0 432.547 -0.963 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000726616244 1072835752 /nfs/dbraw/zinc/83/57/52/1072835752.db2.gz FRKUYPUGFURRPB-OAHLLOKOSA-N 0 0 432.547 -0.963 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000726616425 1072835172 /nfs/dbraw/zinc/83/51/72/1072835172.db2.gz HUMUULIHZOKHTF-JYJNAYRXSA-N 0 0 447.558 -0.102 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000726616426 1072835121 /nfs/dbraw/zinc/83/51/21/1072835121.db2.gz HUMUULIHZOKHTF-OAGGEKHMSA-N 0 0 447.558 -0.102 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000726616427 1072835301 /nfs/dbraw/zinc/83/53/01/1072835301.db2.gz HUMUULIHZOKHTF-OWCLPIDISA-N 0 0 447.558 -0.102 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000726616428 1072835246 /nfs/dbraw/zinc/83/52/46/1072835246.db2.gz HUMUULIHZOKHTF-XHSDSOJGSA-N 0 0 447.558 -0.102 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000726617384 1072835641 /nfs/dbraw/zinc/83/56/41/1072835641.db2.gz CBEYALIULCORIR-GFCCVEGCSA-N 0 0 443.482 -0.375 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000726617385 1072835667 /nfs/dbraw/zinc/83/56/67/1072835667.db2.gz CBEYALIULCORIR-LBPRGKRZSA-N 0 0 443.482 -0.375 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CCCCC1 ZINC000726640894 1072835608 /nfs/dbraw/zinc/83/56/08/1072835608.db2.gz BAYLMJAWBHVRQD-UHFFFAOYSA-N 0 0 444.510 -0.068 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCc2ccc(S(=O)(=O)N(C)C)o2)cn1C ZINC000726641228 1072835576 /nfs/dbraw/zinc/83/55/76/1072835576.db2.gz FDVURKQUUISLHU-UHFFFAOYSA-N 0 0 434.496 -0.016 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)cn1C ZINC000726641235 1072835775 /nfs/dbraw/zinc/83/57/75/1072835775.db2.gz FFKYAHFPFMLEOF-LLVKDONJSA-N 0 0 429.499 -0.934 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cn1C ZINC000726641240 1072835695 /nfs/dbraw/zinc/83/56/95/1072835695.db2.gz FFKYAHFPFMLEOF-NSHDSACASA-N 0 0 429.499 -0.934 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCCNS(=O)(=O)c2ccccc2)cn1C ZINC000726641364 1072835654 /nfs/dbraw/zinc/83/56/54/1072835654.db2.gz IJIJBPZJJDLXNS-UHFFFAOYSA-N 0 0 430.508 -0.081 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)cn1C ZINC000726641514 1072835622 /nfs/dbraw/zinc/83/56/22/1072835622.db2.gz JAIXBQKJINCOEG-UHFFFAOYSA-N 0 0 441.510 -0.979 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000726641860 1072836009 /nfs/dbraw/zinc/83/60/09/1072836009.db2.gz QCSWWFQCRWGQQI-GFCCVEGCSA-N 0 0 444.510 -0.260 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000726641865 1072836025 /nfs/dbraw/zinc/83/60/25/1072836025.db2.gz QCSWWFQCRWGQQI-LBPRGKRZSA-N 0 0 444.510 -0.260 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCC3(CC2)OCCO3)cn1C ZINC000726641947 1072836175 /nfs/dbraw/zinc/83/61/75/1072836175.db2.gz RFMZYCKZZLUAIX-UHFFFAOYSA-N 0 0 430.483 -0.694 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000726642085 1072835714 /nfs/dbraw/zinc/83/57/14/1072835714.db2.gz RYOZPCZIEKTDIK-AWEZNQCLSA-N 0 0 429.499 -0.933 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000726642086 1072835738 /nfs/dbraw/zinc/83/57/38/1072835738.db2.gz RYOZPCZIEKTDIK-CQSZACIVSA-N 0 0 429.499 -0.933 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cn1C ZINC000726642093 1072835594 /nfs/dbraw/zinc/83/55/94/1072835594.db2.gz SFTFFILDRGUKQB-AAEUAGOBSA-N 0 0 429.499 -0.947 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cn1C ZINC000726642094 1072835704 /nfs/dbraw/zinc/83/57/04/1072835704.db2.gz SFTFFILDRGUKQB-DGCLKSJQSA-N 0 0 429.499 -0.947 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cn1C ZINC000726642095 1072835785 /nfs/dbraw/zinc/83/57/85/1072835785.db2.gz SFTFFILDRGUKQB-WCQYABFASA-N 0 0 429.499 -0.947 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cn1C ZINC000726642096 1072835633 /nfs/dbraw/zinc/83/56/33/1072835633.db2.gz SFTFFILDRGUKQB-YPMHNXCESA-N 0 0 429.499 -0.947 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)c2ccc(S(N)(=O)=O)cc2)cn1C ZINC000726642188 1072835726 /nfs/dbraw/zinc/83/57/26/1072835726.db2.gz VNFWKYYRRZWPQZ-UHFFFAOYSA-N 0 0 444.491 -0.530 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)N[C@H](C)C(=O)N2CCCCC2)cn1C ZINC000726642196 1072835551 /nfs/dbraw/zinc/83/55/51/1072835551.db2.gz VYOKUDNCHKIXBO-CYBMUJFWSA-N 0 0 443.526 -0.543 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)N[C@@H](C)C(=O)N2CCCCC2)cn1C ZINC000726642197 1072836144 /nfs/dbraw/zinc/83/61/44/1072836144.db2.gz VYOKUDNCHKIXBO-ZDUSSCGKSA-N 0 0 443.526 -0.543 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)O[C@H](C)C(=O)NC(=O)NCC(F)(F)F)cn1C ZINC000726642216 1072835527 /nfs/dbraw/zinc/83/55/27/1072835527.db2.gz WPSBPMUSZPSCDN-MRVPVSSYSA-N 0 0 443.404 -0.283 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)O[C@@H](C)C(=O)NC(=O)NCC(F)(F)F)cn1C ZINC000726642217 1072835682 /nfs/dbraw/zinc/83/56/82/1072835682.db2.gz WPSBPMUSZPSCDN-QMMMGPOBSA-N 0 0 443.404 -0.283 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)NC2CCC3(CC2)OCCO3)cn1C ZINC000726642282 1072835565 /nfs/dbraw/zinc/83/55/65/1072835565.db2.gz ZBIKIXQGRLZVCI-UHFFFAOYSA-N 0 0 444.510 -0.258 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCc2nn3c(nc(C)cc3=O)s2)cn1C ZINC000726642653 1072836030 /nfs/dbraw/zinc/83/60/30/1072836030.db2.gz GNHHWCQJYUHVOS-UHFFFAOYSA-N 0 0 426.480 -0.087 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CCNS(=O)(=O)c1cn(C)c(C)n1 ZINC000726642654 1072836089 /nfs/dbraw/zinc/83/60/89/1072836089.db2.gz GPLSHHMDBXIRBQ-AWEZNQCLSA-N 0 0 444.510 -0.116 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)CCNS(=O)(=O)c1cn(C)c(C)n1 ZINC000726642655 1072836199 /nfs/dbraw/zinc/83/61/99/1072836199.db2.gz GPLSHHMDBXIRBQ-CQSZACIVSA-N 0 0 444.510 -0.116 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000726643096 1072836071 /nfs/dbraw/zinc/83/60/71/1072836071.db2.gz MODCWDJCMPTYEC-AWEZNQCLSA-N 0 0 444.510 -0.258 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000726643108 1072836042 /nfs/dbraw/zinc/83/60/42/1072836042.db2.gz MODCWDJCMPTYEC-CQSZACIVSA-N 0 0 444.510 -0.258 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000726643262 1072836185 /nfs/dbraw/zinc/83/61/85/1072836185.db2.gz OMJLXWOOANZYBC-UHFFFAOYSA-N 0 0 445.498 -0.759 20 0 IBADRN C[C@H](C(=O)OCC(=O)NCc1ccc(OCC(N)=O)cc1)N1C(=O)NC2(CCCC2)C1=O ZINC000726648953 1072835990 /nfs/dbraw/zinc/83/59/90/1072835990.db2.gz AWUAXIWOICWWQM-CYBMUJFWSA-N 0 0 446.460 -0.037 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCc1ccc(OCC(N)=O)cc1)N1C(=O)NC2(CCCC2)C1=O ZINC000726648954 1072836212 /nfs/dbraw/zinc/83/62/12/1072836212.db2.gz AWUAXIWOICWWQM-ZDUSSCGKSA-N 0 0 446.460 -0.037 20 0 IBADRN C[C@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1C(=O)NC2(CCCC2)C1=O ZINC000726649511 1072836111 /nfs/dbraw/zinc/83/61/11/1072836111.db2.gz ICXYRKSYVZPGMD-GFCCVEGCSA-N 0 0 446.464 -0.380 20 0 IBADRN C[C@@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1C(=O)NC2(CCCC2)C1=O ZINC000726649512 1072836077 /nfs/dbraw/zinc/83/60/77/1072836077.db2.gz ICXYRKSYVZPGMD-LBPRGKRZSA-N 0 0 446.464 -0.380 20 0 IBADRN C[C@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)NC2(CCCC2)C1=O ZINC000726649564 1072836054 /nfs/dbraw/zinc/83/60/54/1072836054.db2.gz HRWPDHCPJNAYBY-LLVKDONJSA-N 0 0 432.437 -0.770 20 0 IBADRN C[C@@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)NC2(CCCC2)C1=O ZINC000726649574 1072836221 /nfs/dbraw/zinc/83/62/21/1072836221.db2.gz HRWPDHCPJNAYBY-NSHDSACASA-N 0 0 432.437 -0.770 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H](C)N1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000726649993 1072836120 /nfs/dbraw/zinc/83/61/20/1072836120.db2.gz JHDCHJCVCXLJSP-CHWSQXEVSA-N 0 0 429.495 -0.182 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H](C)N1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000726649996 1072836097 /nfs/dbraw/zinc/83/60/97/1072836097.db2.gz JHDCHJCVCXLJSP-OLZOCXBDSA-N 0 0 429.495 -0.182 20 0 IBADRN CCN(C(=O)COC(=O)[C@H](C)N1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000726649998 1072836133 /nfs/dbraw/zinc/83/61/33/1072836133.db2.gz JHDCHJCVCXLJSP-QWHCGFSZSA-N 0 0 429.495 -0.182 20 0 IBADRN CCN(C(=O)COC(=O)[C@H](C)N1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000726650000 1072836800 /nfs/dbraw/zinc/83/68/00/1072836800.db2.gz JHDCHJCVCXLJSP-STQMWFEESA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)N1C(=O)NC2(CCCC2)C1=O ZINC000726650046 1072836537 /nfs/dbraw/zinc/83/65/37/1072836537.db2.gz LAHIVCRICIRECO-GFCCVEGCSA-N 0 0 432.499 -0.820 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)N1C(=O)NC2(CCCC2)C1=O ZINC000726650054 1072836782 /nfs/dbraw/zinc/83/67/82/1072836782.db2.gz LAHIVCRICIRECO-LBPRGKRZSA-N 0 0 432.499 -0.820 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000726653711 1072836582 /nfs/dbraw/zinc/83/65/82/1072836582.db2.gz YFNICBNJUHXSHQ-AWEZNQCLSA-N 0 0 437.497 -0.985 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000726653715 1072836618 /nfs/dbraw/zinc/83/66/18/1072836618.db2.gz YFNICBNJUHXSHQ-CQSZACIVSA-N 0 0 437.497 -0.985 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(c2cnccn2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000726654317 1072836693 /nfs/dbraw/zinc/83/66/93/1072836693.db2.gz LQGICIGVHIKVTD-AWEZNQCLSA-N 0 0 430.465 -0.079 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(c2cnccn2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000726654321 1072836522 /nfs/dbraw/zinc/83/65/22/1072836522.db2.gz LQGICIGVHIKVTD-CQSZACIVSA-N 0 0 430.465 -0.079 20 0 IBADRN C[C@H](C(=O)O[C@@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1)N1C(=O)NC2(CCCC2)C1=O ZINC000726656993 1072836746 /nfs/dbraw/zinc/83/67/46/1072836746.db2.gz YPVKKIOMSOXPPL-AGIUHOORSA-N 0 0 429.495 -0.183 20 0 IBADRN C[C@H](OC(=O)[C@H](C)N1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000726656994 1072836710 /nfs/dbraw/zinc/83/67/10/1072836710.db2.gz YPVKKIOMSOXPPL-AVGNSLFASA-N 0 0 429.495 -0.183 20 0 IBADRN C[C@H](C(=O)O[C@@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)N1C(=O)NC2(CCCC2)C1=O ZINC000726656995 1072836570 /nfs/dbraw/zinc/83/65/70/1072836570.db2.gz YPVKKIOMSOXPPL-FRRDWIJNSA-N 0 0 429.495 -0.183 20 0 IBADRN C[C@H](OC(=O)[C@H](C)N1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000726656996 1072836728 /nfs/dbraw/zinc/83/67/28/1072836728.db2.gz YPVKKIOMSOXPPL-RWMBFGLXSA-N 0 0 429.495 -0.183 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2oc3ccccc3c2CS(C)(=O)=O)c(=O)n(C)c1=O ZINC000726659478 1072836601 /nfs/dbraw/zinc/83/66/01/1072836601.db2.gz IUYJMZUPFJJECB-UHFFFAOYSA-N 0 0 449.441 -0.003 20 0 IBADRN CC(=O)N1c2ccccc2C[C@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000726659504 1072836638 /nfs/dbraw/zinc/83/66/38/1072836638.db2.gz JQOUAIFVQGYORW-HKUYNNGSSA-N 0 0 449.529 -0.161 20 0 IBADRN CC(=O)N1c2ccccc2C[C@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000726659505 1072836766 /nfs/dbraw/zinc/83/67/66/1072836766.db2.gz JQOUAIFVQGYORW-MJGOQNOKSA-N 0 0 449.529 -0.161 20 0 IBADRN CC(C)(CO)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000726666927 1072836668 /nfs/dbraw/zinc/83/66/68/1072836668.db2.gz DLWBFPZIFHGGLQ-UHFFFAOYSA-N 0 0 442.490 -0.148 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)NC(=O)NCC(F)(F)F ZINC000726669804 1072837258 /nfs/dbraw/zinc/83/72/58/1072837258.db2.gz AXURKIILAXBZAL-UHFFFAOYSA-N 0 0 439.368 -0.074 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000726669846 1072837333 /nfs/dbraw/zinc/83/73/33/1072837333.db2.gz CQGBYFWKJRZBGR-LLVKDONJSA-N 0 0 429.451 -0.601 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000726669847 1072837243 /nfs/dbraw/zinc/83/72/43/1072837243.db2.gz CQGBYFWKJRZBGR-NSHDSACASA-N 0 0 429.451 -0.601 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)NCCCN1CCCC1=O ZINC000726670149 1072837191 /nfs/dbraw/zinc/83/71/91/1072837191.db2.gz GZZIXIHZRJODIL-UHFFFAOYSA-N 0 0 439.490 -0.333 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(=O)N(C)CC(=O)NC1CC1 ZINC000726670159 1072837202 /nfs/dbraw/zinc/83/72/02/1072837202.db2.gz HLNUJPQUDJFWBW-GFCCVEGCSA-N 0 0 439.490 -0.336 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(=O)N(C)CC(=O)NC1CC1 ZINC000726670160 1072837297 /nfs/dbraw/zinc/83/72/97/1072837297.db2.gz HLNUJPQUDJFWBW-LBPRGKRZSA-N 0 0 439.490 -0.336 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)NCC(=O)N1CCCC1 ZINC000726670225 1072837152 /nfs/dbraw/zinc/83/71/52/1072837152.db2.gz GMNBMBGXEVNFHG-UHFFFAOYSA-N 0 0 425.463 -0.723 20 0 IBADRN O=C(CCC1CCCC1)NCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000726670405 1072836820 /nfs/dbraw/zinc/83/68/20/1072836820.db2.gz GTIMPAPSHMCFOC-KRWDZBQOSA-N 0 0 443.566 -0.053 20 0 IBADRN O=C(CCC1CCCC1)NCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000726670407 1072837268 /nfs/dbraw/zinc/83/72/68/1072837268.db2.gz GTIMPAPSHMCFOC-QGZVFWFLSA-N 0 0 443.566 -0.053 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000726670429 1072837231 /nfs/dbraw/zinc/83/72/31/1072837231.db2.gz RKIHISYTYAPEKM-UHFFFAOYSA-N 0 0 440.474 -0.096 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000726670477 1072837306 /nfs/dbraw/zinc/83/73/06/1072837306.db2.gz JTWVXDMRDQVRNO-HNNXBMFYSA-N 0 0 425.463 -0.595 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000726670485 1072837141 /nfs/dbraw/zinc/83/71/41/1072837141.db2.gz JTWVXDMRDQVRNO-OAHLLOKOSA-N 0 0 425.463 -0.595 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000726670761 1072837210 /nfs/dbraw/zinc/83/72/10/1072837210.db2.gz KBQKCRZLFYPKLI-UHFFFAOYSA-N 0 0 441.506 -0.089 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000726670962 1072837162 /nfs/dbraw/zinc/83/71/62/1072837162.db2.gz XMELPWOSPWQTHV-UHFFFAOYSA-N 0 0 427.479 -0.431 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000726671045 1072837284 /nfs/dbraw/zinc/83/72/84/1072837284.db2.gz ZVNCLNDSPBBSNF-INIZCTEOSA-N 0 0 439.490 -0.335 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC000726671047 1072837176 /nfs/dbraw/zinc/83/71/76/1072837176.db2.gz ZVNCLNDSPBBSNF-MRXNPFEDSA-N 0 0 439.490 -0.335 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000726671165 1072837317 /nfs/dbraw/zinc/83/73/17/1072837317.db2.gz PTNHJSHBPBOINF-UHFFFAOYSA-N 0 0 440.474 -0.050 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000726671839 1072837815 /nfs/dbraw/zinc/83/78/15/1072837815.db2.gz XGILSKREQWIWSG-CYBMUJFWSA-N 0 0 439.490 -0.383 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000726671845 1072837323 /nfs/dbraw/zinc/83/73/23/1072837323.db2.gz XGILSKREQWIWSG-ZDUSSCGKSA-N 0 0 439.490 -0.383 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000726671872 1072837125 /nfs/dbraw/zinc/83/71/25/1072837125.db2.gz XOSDADDSXKQJMU-UHFFFAOYSA-N 0 0 425.463 -0.771 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000726671892 1072837109 /nfs/dbraw/zinc/83/71/09/1072837109.db2.gz XSNSRCBCAIHMHX-CHWSQXEVSA-N 0 0 439.490 -0.349 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000726671895 1072837314 /nfs/dbraw/zinc/83/73/14/1072837314.db2.gz XSNSRCBCAIHMHX-OLZOCXBDSA-N 0 0 439.490 -0.349 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000726671898 1072837751 /nfs/dbraw/zinc/83/77/51/1072837751.db2.gz XSNSRCBCAIHMHX-QWHCGFSZSA-N 0 0 439.490 -0.349 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000726671899 1072837668 /nfs/dbraw/zinc/83/76/68/1072837668.db2.gz XSNSRCBCAIHMHX-STQMWFEESA-N 0 0 439.490 -0.349 20 0 IBADRN COc1ccc(CN2C[C@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000726683155 1072837614 /nfs/dbraw/zinc/83/76/14/1072837614.db2.gz UOFHDNLQJJMTNR-CYBMUJFWSA-N 0 0 444.444 -0.551 20 0 IBADRN COc1ccc(CN2C[C@@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000726683156 1072837653 /nfs/dbraw/zinc/83/76/53/1072837653.db2.gz UOFHDNLQJJMTNR-ZDUSSCGKSA-N 0 0 444.444 -0.551 20 0 IBADRN O=C(NCCn1cncn1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000726695438 1072837630 /nfs/dbraw/zinc/83/76/30/1072837630.db2.gz UOLGRTPCNJYDKD-CYBMUJFWSA-N 0 0 428.496 -0.435 20 0 IBADRN O=C(NCCn1cncn1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000726695439 1072837743 /nfs/dbraw/zinc/83/77/43/1072837743.db2.gz UOLGRTPCNJYDKD-ZDUSSCGKSA-N 0 0 428.496 -0.435 20 0 IBADRN CS(=O)(=O)CCNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000726695777 1072837824 /nfs/dbraw/zinc/83/78/24/1072837824.db2.gz XVYKPDROUPEWQO-GFCCVEGCSA-N 0 0 439.537 -0.682 20 0 IBADRN CS(=O)(=O)CCNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000726695786 1072837688 /nfs/dbraw/zinc/83/76/88/1072837688.db2.gz XVYKPDROUPEWQO-LBPRGKRZSA-N 0 0 439.537 -0.682 20 0 IBADRN COc1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1-n1nnnc1C ZINC000726701385 1072837760 /nfs/dbraw/zinc/83/77/60/1072837760.db2.gz LOZNHJCHESTOLK-UHFFFAOYSA-N 0 0 425.471 -0.237 20 0 IBADRN O=C(CCNC(=O)NCc1ccc(-n2cncn2)nc1)N1CCN(c2ncccn2)CC1 ZINC000726706265 1072837803 /nfs/dbraw/zinc/83/78/03/1072837803.db2.gz ZXHJKAACFLAMBD-UHFFFAOYSA-N 0 0 436.480 -0.010 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000726709249 1072837788 /nfs/dbraw/zinc/83/77/88/1072837788.db2.gz IZBOTBHUBJVDEW-UHFFFAOYSA-N 0 0 442.542 -0.767 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)cc1 ZINC000726727696 1072837673 /nfs/dbraw/zinc/83/76/73/1072837673.db2.gz JQLOKLVXWJFSLE-HNNXBMFYSA-N 0 0 434.449 -0.196 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)cc1 ZINC000726727698 1072837681 /nfs/dbraw/zinc/83/76/81/1072837681.db2.gz JQLOKLVXWJFSLE-OAHLLOKOSA-N 0 0 434.449 -0.196 20 0 IBADRN O=C(C(=O)N1CCN(C(=S)NCCc2ccc3c(c2)OCCO3)CC1)N1CCOCC1 ZINC000726745780 1072838320 /nfs/dbraw/zinc/83/83/20/1072838320.db2.gz BOIDLSMUVGBNDY-UHFFFAOYSA-N 0 0 448.545 -0.122 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000726771546 1072838193 /nfs/dbraw/zinc/83/81/93/1072838193.db2.gz YWIRDKNURMDZDS-UHFFFAOYSA-N 0 0 437.515 -0.094 20 0 IBADRN O=C(c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21)N1CCCNC(=O)C1 ZINC000726780887 1072838258 /nfs/dbraw/zinc/83/82/58/1072838258.db2.gz HYLXCEZYDFFOND-UHFFFAOYSA-N 0 0 434.474 -0.077 20 0 IBADRN Cn1c(CCC(=O)N2CCCNC(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000726781661 1072838208 /nfs/dbraw/zinc/83/82/08/1072838208.db2.gz YMJFXEFYGCQTHI-UHFFFAOYSA-N 0 0 449.533 -0.125 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCCNC(=O)C2)c1OC ZINC000726782189 1072838287 /nfs/dbraw/zinc/83/82/87/1072838287.db2.gz OKKBXUHQWUYUNZ-UHFFFAOYSA-N 0 0 427.479 -0.313 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCCNC(=O)C3)CC2)cc1 ZINC000726783061 1072838369 /nfs/dbraw/zinc/83/83/69/1072838369.db2.gz UOMQCUHHBLXESM-UHFFFAOYSA-N 0 0 436.534 -0.043 20 0 IBADRN Cc1onc2c1c(C)nn(CN1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)c2=O ZINC000726784525 1072838143 /nfs/dbraw/zinc/83/81/43/1072838143.db2.gz FXKQCUKVLADPLG-UHFFFAOYSA-N 0 0 425.515 -0.931 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cn(-c3ccccc3)nn2)CC1 ZINC000726788549 1072838179 /nfs/dbraw/zinc/83/81/79/1072838179.db2.gz FMQMPKLSCSJBQD-KRWDZBQOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cn(-c3ccccc3)nn2)CC1 ZINC000726788551 1072838073 /nfs/dbraw/zinc/83/80/73/1072838073.db2.gz FMQMPKLSCSJBQD-QGZVFWFLSA-N 0 0 425.536 -0.098 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CCCNC(=O)C3)CC2)c1 ZINC000726790862 1072838908 /nfs/dbraw/zinc/83/89/08/1072838908.db2.gz LRPXWQYUPKTGET-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCCNC(=O)C3)CC2)cc1OC ZINC000726791447 1072838795 /nfs/dbraw/zinc/83/87/95/1072838795.db2.gz QZDHJQSCGRKIJH-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN COc1ccc(NC(=O)CN2CCCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000726791550 1072838697 /nfs/dbraw/zinc/83/86/97/1072838697.db2.gz ONDPGCIGMQDWLE-UHFFFAOYSA-N 0 0 426.495 -0.524 20 0 IBADRN O=C(CN1CCCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000726791593 1072838898 /nfs/dbraw/zinc/83/88/98/1072838898.db2.gz PACWGXIQJYQTRG-UHFFFAOYSA-N 0 0 438.506 -0.887 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc(NC(=O)CN2CCCNC(=O)C2)c1C(=O)OC ZINC000726791596 1072838655 /nfs/dbraw/zinc/83/86/55/1072838655.db2.gz PAZJXZSARFRXFG-UHFFFAOYSA-N 0 0 441.462 -0.203 20 0 IBADRN COCCN(C(=O)CN1CCCNC(=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000726791989 1072838682 /nfs/dbraw/zinc/83/86/82/1072838682.db2.gz TYTWMRHJXASUFO-UHFFFAOYSA-N 0 0 444.492 -0.619 20 0 IBADRN Cn1c2ncn(CC(=O)OCc3nc(-c4ccc5c(c4)OCO5)no3)c2c(=O)n(C)c1=O ZINC000726804903 1072838816 /nfs/dbraw/zinc/83/88/16/1072838816.db2.gz XABGIHAYKNZTBF-UHFFFAOYSA-N 0 0 440.372 -0.044 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCc1nc(-c3ccc4c(c3)OCO4)no1)c(=O)n2C ZINC000726807925 1072838723 /nfs/dbraw/zinc/83/87/23/1072838723.db2.gz XWBCHEMJGZTWDJ-UHFFFAOYSA-N 0 0 440.372 -0.044 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)NCCN1C(=O)S/C(=C/c2cccnc2)C1=O ZINC000726817944 1072838670 /nfs/dbraw/zinc/83/86/70/1072838670.db2.gz IOOZCSQEBMZSCO-AWNIVKPZSA-N 0 0 446.441 -0.170 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)NCCN1C(=O)S/C(=C\c2cccnc2)C1=O ZINC000726817945 1072838851 /nfs/dbraw/zinc/83/88/51/1072838851.db2.gz IOOZCSQEBMZSCO-MLPAPPSSSA-N 0 0 446.441 -0.170 20 0 IBADRN CCCCN(C(=O)COC(=O)C1CC(=O)NC(=O)C1)c1c(N)n(CCC)c(=O)[nH]c1=O ZINC000726818168 1072838833 /nfs/dbraw/zinc/83/88/33/1072838833.db2.gz MQCICXVZWKHTPZ-UHFFFAOYSA-N 0 0 437.453 -0.330 20 0 IBADRN COc1ccc(NC(=O)COC(=O)C2CC(=O)NC(=O)C2)cc1S(=O)(=O)N(C)C ZINC000726818296 1072838824 /nfs/dbraw/zinc/83/88/24/1072838824.db2.gz PHVMPAGRNVKBFX-UHFFFAOYSA-N 0 0 427.435 -0.520 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)C2CC(=O)NC(=O)C2)CC1)Nc1ccc(F)cc1 ZINC000726818859 1072838741 /nfs/dbraw/zinc/83/87/41/1072838741.db2.gz NXMYOWATCMSXMH-UHFFFAOYSA-N 0 0 434.424 -0.496 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)COC(=O)C2CC(=O)NC(=O)C2)CC1 ZINC000726818936 1072838805 /nfs/dbraw/zinc/83/88/05/1072838805.db2.gz QUYVPGXDQRDPRG-UHFFFAOYSA-N 0 0 444.488 -0.018 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)COC(=O)C2CC(=O)NC(=O)C2)CC1 ZINC000726818946 1072838764 /nfs/dbraw/zinc/83/87/64/1072838764.db2.gz QZJLEQNBCACBFT-UHFFFAOYSA-N 0 0 444.488 -0.072 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000726819017 1072838887 /nfs/dbraw/zinc/83/88/87/1072838887.db2.gz YXVXDARDNCWQDP-UHFFFAOYSA-N 0 0 437.474 -0.743 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000726819086 1072838842 /nfs/dbraw/zinc/83/88/42/1072838842.db2.gz AJBJWVCEQCGSNN-GFCCVEGCSA-N 0 0 428.488 -0.140 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000726819088 1072838782 /nfs/dbraw/zinc/83/87/82/1072838782.db2.gz AJBJWVCEQCGSNN-LBPRGKRZSA-N 0 0 428.488 -0.140 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)C2CC(=O)NC(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000726819793 1072839262 /nfs/dbraw/zinc/83/92/62/1072839262.db2.gz JVGGARCWUBATHV-UHFFFAOYSA-N 0 0 442.428 -0.561 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC000726819846 1072839168 /nfs/dbraw/zinc/83/91/68/1072839168.db2.gz KMRNGAWMAMXPKZ-UHFFFAOYSA-N 0 0 436.446 -0.308 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)OC(=O)C1CC(=O)NC(=O)C1 ZINC000726820240 1072839206 /nfs/dbraw/zinc/83/92/06/1072839206.db2.gz OSSSXCQCXZMDBP-JTQLQIEISA-N 0 0 441.462 -0.132 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)OC(=O)C1CC(=O)NC(=O)C1 ZINC000726820241 1072839156 /nfs/dbraw/zinc/83/91/56/1072839156.db2.gz OSSSXCQCXZMDBP-SNVBAGLBSA-N 0 0 441.462 -0.132 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000726820574 1072839187 /nfs/dbraw/zinc/83/91/87/1072839187.db2.gz SBJXKHVMXGKJDO-UHFFFAOYSA-N 0 0 439.446 -0.758 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000726820914 1072839268 /nfs/dbraw/zinc/83/92/68/1072839268.db2.gz VKPWPOMMBCDWTF-UHFFFAOYSA-N 0 0 439.446 -0.758 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000726821252 1072839226 /nfs/dbraw/zinc/83/92/26/1072839226.db2.gz LXSIIVFEGAAZQS-UHFFFAOYSA-N 0 0 441.437 -0.745 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CNC(=O)Cc1ccc(O)cc1 ZINC000726821607 1072839144 /nfs/dbraw/zinc/83/91/44/1072839144.db2.gz LKOPUGAMNKELNV-UHFFFAOYSA-N 0 0 447.469 -0.169 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000726821729 1072839150 /nfs/dbraw/zinc/83/91/50/1072839150.db2.gz PKZDZKHGNMGKCQ-IZZDOVSWSA-N 0 0 449.485 -0.273 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000726821733 1072839278 /nfs/dbraw/zinc/83/92/78/1072839278.db2.gz PKZDZKHGNMGKCQ-WDZFZDKYSA-N 0 0 449.485 -0.273 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)COC(=O)C1CC(=O)NC(=O)C1 ZINC000726822317 1072839235 /nfs/dbraw/zinc/83/92/35/1072839235.db2.gz VSNRGYHCOKJPBU-UHFFFAOYSA-N 0 0 437.474 -0.188 20 0 IBADRN O=C(OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CC(=O)NC(=O)C1 ZINC000726822379 1072839134 /nfs/dbraw/zinc/83/91/34/1072839134.db2.gz WODXGWXCLOHMTE-UHFFFAOYSA-N 0 0 426.447 -0.318 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000726822388 1072839337 /nfs/dbraw/zinc/83/93/37/1072839337.db2.gz WQUHYGCBEDDQSP-UHFFFAOYSA-N 0 0 441.437 -0.745 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)C3CC(=O)NC(=O)C3)C2=O)cc1 ZINC000726822556 1072839290 /nfs/dbraw/zinc/83/92/90/1072839290.db2.gz XVRBDRWKPCWTRE-IBGZPJMESA-N 0 0 432.389 -0.911 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)C3CC(=O)NC(=O)C3)C2=O)cc1 ZINC000726822557 1072839253 /nfs/dbraw/zinc/83/92/53/1072839253.db2.gz XVRBDRWKPCWTRE-LJQANCHMSA-N 0 0 432.389 -0.911 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000726822607 1072839302 /nfs/dbraw/zinc/83/93/02/1072839302.db2.gz YSBPDHOHAZDLTK-UHFFFAOYSA-N 0 0 441.437 -0.745 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CNC(=O)Cc2ccc(O)cc2)c(=O)n(C)c1=O ZINC000726823061 1072839216 /nfs/dbraw/zinc/83/92/16/1072839216.db2.gz RHXWUKLOOZIIBG-UHFFFAOYSA-N 0 0 446.460 -0.424 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CNC(=O)Cc1ccc(O)cc1)c(=O)n2C ZINC000726823412 1072839241 /nfs/dbraw/zinc/83/92/41/1072839241.db2.gz BNJFMECAJDCRQO-UHFFFAOYSA-N 0 0 429.433 -0.569 20 0 IBADRN CC(C)[C@@H](OC(=O)CNC(=O)Cc1ccc(O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000726824615 1072839329 /nfs/dbraw/zinc/83/93/29/1072839329.db2.gz UHFBPOKYNZDZKK-KBXCAEBGSA-N 0 0 426.491 -0.078 20 0 IBADRN CC(C)[C@H](OC(=O)CNC(=O)Cc1ccc(O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000726824617 1072839317 /nfs/dbraw/zinc/83/93/17/1072839317.db2.gz UHFBPOKYNZDZKK-KDOFPFPSSA-N 0 0 426.491 -0.078 20 0 IBADRN CC(C)[C@H](OC(=O)CNC(=O)Cc1ccc(O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000726824619 1072839635 /nfs/dbraw/zinc/83/96/35/1072839635.db2.gz UHFBPOKYNZDZKK-KSSFIOAISA-N 0 0 426.491 -0.078 20 0 IBADRN CC(C)[C@@H](OC(=O)CNC(=O)Cc1ccc(O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000726824620 1072839773 /nfs/dbraw/zinc/83/97/73/1072839773.db2.gz UHFBPOKYNZDZKK-RDTXWAMCSA-N 0 0 426.491 -0.078 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)c2cc3c(s2)CCCC3)c(=O)n(C)c1=O ZINC000726825320 1072839676 /nfs/dbraw/zinc/83/96/76/1072839676.db2.gz LIQXHSJIDQTGTH-UHFFFAOYSA-N 0 0 434.474 -0.238 20 0 IBADRN CC(=O)NC(C)(C)C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000726837105 1072839609 /nfs/dbraw/zinc/83/96/09/1072839609.db2.gz VPZCTSYAEGMYPC-UHFFFAOYSA-N 0 0 441.506 -0.218 20 0 IBADRN CC(=O)NC(C)(C)C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000726837319 1072839596 /nfs/dbraw/zinc/83/95/96/1072839596.db2.gz FLQGULDCBUMVFT-UHFFFAOYSA-N 0 0 441.506 -0.218 20 0 IBADRN CC(=O)NC(C)(C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000726837371 1072839768 /nfs/dbraw/zinc/83/97/68/1072839768.db2.gz GVAOWKZLMPTRCY-UHFFFAOYSA-N 0 0 430.483 -0.418 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(C)NC(C)=O)CC2)cc1 ZINC000726837971 1072839845 /nfs/dbraw/zinc/83/98/45/1072839845.db2.gz HODYLTJTYCKMAO-UHFFFAOYSA-N 0 0 441.506 -0.014 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(F)c2)CC1 ZINC000726854967 1072839812 /nfs/dbraw/zinc/83/98/12/1072839812.db2.gz AHRRKHSDPKZIJY-UHFFFAOYSA-N 0 0 429.474 -0.406 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCCNC(=O)C1 ZINC000726856149 1072839831 /nfs/dbraw/zinc/83/98/31/1072839831.db2.gz AMHJLVYRZYGKQR-UHFFFAOYSA-N 0 0 444.897 -0.352 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCCNC(=O)C3)c2)CC1 ZINC000726856282 1072839663 /nfs/dbraw/zinc/83/96/63/1072839663.db2.gz FKCVVTDQHKUNFU-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000726856692 1072839716 /nfs/dbraw/zinc/83/97/16/1072839716.db2.gz LACJBBRBOZFRJQ-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cc(NC(=O)C(=O)N2CCCNC(=O)C2)ccc1F ZINC000726856805 1072839739 /nfs/dbraw/zinc/83/97/39/1072839739.db2.gz HTLYQTNUNSAAOV-UHFFFAOYSA-N 0 0 435.456 -0.227 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000726856988 1072839623 /nfs/dbraw/zinc/83/96/23/1072839623.db2.gz NDLHUZJFVHJAOL-KBPBESRZSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000726856989 1072839823 /nfs/dbraw/zinc/83/98/23/1072839823.db2.gz NDLHUZJFVHJAOL-OKILXGFUSA-N 0 0 438.506 -0.229 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCCNC(=O)C3)cc2)C[C@@H](C)O1 ZINC000726856990 1072839757 /nfs/dbraw/zinc/83/97/57/1072839757.db2.gz NDLHUZJFVHJAOL-ZIAGYGMSSA-N 0 0 438.506 -0.229 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)C(=O)N1CCCNC(=O)C1 ZINC000726857021 1072839728 /nfs/dbraw/zinc/83/97/28/1072839728.db2.gz OKIDHKIAGNAEII-UHFFFAOYSA-N 0 0 431.493 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCCNC(=O)C1 ZINC000726857026 1072839805 /nfs/dbraw/zinc/83/98/05/1072839805.db2.gz ONKZOHBVKOZUHT-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCCNC(=O)C1 ZINC000726857629 1072839698 /nfs/dbraw/zinc/83/96/98/1072839698.db2.gz PRJPVLTVHRHJOG-UHFFFAOYSA-N 0 0 428.442 -0.866 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000726865138 1072840483 /nfs/dbraw/zinc/84/04/83/1072840483.db2.gz RVWHXLQTGFUITC-UHFFFAOYSA-N 0 0 426.426 -0.275 20 0 IBADRN COc1ccc(NC(=O)CCn2cc[nH]c(=O)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000726865339 1072840219 /nfs/dbraw/zinc/84/02/19/1072840219.db2.gz ZDZCGIAOVZICEE-UHFFFAOYSA-N 0 0 438.462 -0.405 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)CCn3cc[nH]c(=O)c3=O)c2)CC1 ZINC000726865557 1072840617 /nfs/dbraw/zinc/84/06/17/1072840617.db2.gz ALAMNBDQPVBEAZ-UHFFFAOYSA-N 0 0 435.506 -0.108 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)CCn2cc[nH]c(=O)c2=O)CC1 ZINC000726866163 1072840527 /nfs/dbraw/zinc/84/05/27/1072840527.db2.gz UJKYSIIREDXAAE-UHFFFAOYSA-N 0 0 427.527 -0.420 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000726866549 1072840642 /nfs/dbraw/zinc/84/06/42/1072840642.db2.gz XTXBSCMFCSTZAT-UHFFFAOYSA-N 0 0 428.417 -0.262 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCCCNC1=O ZINC000726866671 1072840355 /nfs/dbraw/zinc/84/03/55/1072840355.db2.gz QCDLQXWKBIMUDV-GOSISDBHSA-N 0 0 438.550 -0.076 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@H]1CCCCNC1=O ZINC000726866819 1072840072 /nfs/dbraw/zinc/84/00/72/1072840072.db2.gz QCDLQXWKBIMUDV-SFHVURJKSA-N 0 0 438.550 -0.076 20 0 IBADRN NC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000726868820 1072840553 /nfs/dbraw/zinc/84/05/53/1072840553.db2.gz HABWPSBYPJDPRA-UHFFFAOYSA-N 0 0 439.357 -0.145 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC[C@@H](CNS(N)(=O)=O)C1)C2=O ZINC000726882763 1072840342 /nfs/dbraw/zinc/84/03/42/1072840342.db2.gz SROSTEMTDSDBQK-JZLYGMAVSA-N 0 0 430.531 -0.977 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC[C@H](CNS(N)(=O)=O)C1)C2=O ZINC000726882764 1072840123 /nfs/dbraw/zinc/84/01/23/1072840123.db2.gz SROSTEMTDSDBQK-UIORMTCPSA-N 0 0 430.531 -0.977 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@@H](CNS(N)(=O)=O)C2)NC(=O)N[C@@H]1c1ccco1 ZINC000726882890 1072840676 /nfs/dbraw/zinc/84/06/76/1072840676.db2.gz WJMVIJBQSHPETI-BLLLJJGKSA-N 0 0 441.510 -0.044 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@@H](CNS(N)(=O)=O)C2)NC(=O)N[C@H]1c1ccco1 ZINC000726882892 1072840515 /nfs/dbraw/zinc/84/05/15/1072840515.db2.gz WJMVIJBQSHPETI-LRDDRELGSA-N 0 0 441.510 -0.044 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@H](CNS(N)(=O)=O)C2)NC(=O)N[C@@H]1c1ccco1 ZINC000726882894 1072841024 /nfs/dbraw/zinc/84/10/24/1072841024.db2.gz WJMVIJBQSHPETI-MLGOLLRUSA-N 0 0 441.510 -0.044 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@H](CNS(N)(=O)=O)C2)NC(=O)N[C@H]1c1ccco1 ZINC000726882895 1072841156 /nfs/dbraw/zinc/84/11/56/1072841156.db2.gz WJMVIJBQSHPETI-WBMJQRKESA-N 0 0 441.510 -0.044 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCNC(=O)c3[nH]nc4ccccc43)c2c(=O)n(C)c1=O ZINC000726885110 1072841066 /nfs/dbraw/zinc/84/10/66/1072841066.db2.gz XZWOSULTIINXAY-UHFFFAOYSA-N 0 0 439.432 -0.327 20 0 IBADRN COc1ccc(NC(=S)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000726901651 1072841114 /nfs/dbraw/zinc/84/11/14/1072841114.db2.gz ANTJTUKTOVVGML-CYBMUJFWSA-N 0 0 434.565 -0.079 20 0 IBADRN COc1ccc(NC(=S)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000726901652 1072841102 /nfs/dbraw/zinc/84/11/02/1072841102.db2.gz ANTJTUKTOVVGML-ZDUSSCGKSA-N 0 0 434.565 -0.079 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(Br)c(C(=O)NC(C(N)=O)C(N)=O)c1 ZINC000726933674 1072841206 /nfs/dbraw/zinc/84/12/06/1072841206.db2.gz PHZNDCAHNANPRF-UHFFFAOYSA-N 0 0 435.300 -0.405 20 0 IBADRN Cn1cnnc1SCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000726935459 1072841044 /nfs/dbraw/zinc/84/10/44/1072841044.db2.gz HGJNKQSRVICUOX-UHFFFAOYSA-N 0 0 425.540 -0.430 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000726939562 1072841221 /nfs/dbraw/zinc/84/12/21/1072841221.db2.gz JDBLNZIKZRBWOD-UHFFFAOYSA-N 0 0 449.526 -0.693 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NCCN2CCS(=O)(=O)CC2)ccc1Cl ZINC000726939827 1072841235 /nfs/dbraw/zinc/84/12/35/1072841235.db2.gz TZRRKRRYXFVABT-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000726942445 1072841124 /nfs/dbraw/zinc/84/11/24/1072841124.db2.gz FRIHFNJDPYCURZ-HNNXBMFYSA-N 0 0 425.438 -0.134 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000726942446 1072841037 /nfs/dbraw/zinc/84/10/37/1072841037.db2.gz FRIHFNJDPYCURZ-OAHLLOKOSA-N 0 0 425.438 -0.134 20 0 IBADRN CCOC(=O)N(C)C1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000726972861 1072841171 /nfs/dbraw/zinc/84/11/71/1072841171.db2.gz SCGRQHQYWMZNBN-KRWDZBQOSA-N 0 0 430.571 -0.130 20 0 IBADRN CCOC(=O)N(C)C1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000726972865 1072841197 /nfs/dbraw/zinc/84/11/97/1072841197.db2.gz SCGRQHQYWMZNBN-QGZVFWFLSA-N 0 0 430.571 -0.130 20 0 IBADRN O=S(=O)(c1cncc(F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000726974909 1072841092 /nfs/dbraw/zinc/84/10/92/1072841092.db2.gz WPACMSKTGARFDK-GFCCVEGCSA-N 0 0 427.501 -0.956 20 0 IBADRN O=S(=O)(c1cncc(F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000726974910 1072841005 /nfs/dbraw/zinc/84/10/05/1072841005.db2.gz WPACMSKTGARFDK-LBPRGKRZSA-N 0 0 427.501 -0.956 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000726977521 1072841651 /nfs/dbraw/zinc/84/16/51/1072841651.db2.gz NGZGVKSXYVDCIW-UHFFFAOYSA-N 0 0 439.519 -0.493 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(Br)c(C(=O)NC(C(N)=O)C(N)=O)c1 ZINC000726979169 1072841588 /nfs/dbraw/zinc/84/15/88/1072841588.db2.gz CVIMFIRLPHDFOF-UHFFFAOYSA-N 0 0 435.300 -0.548 20 0 IBADRN CC[C@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(N)=O ZINC000726992530 1072841752 /nfs/dbraw/zinc/84/17/52/1072841752.db2.gz VLCPPZVHTPQWTM-KRWDZBQOSA-N 0 0 441.506 -0.035 20 0 IBADRN CC[C@@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)C(N)=O ZINC000726992532 1072841578 /nfs/dbraw/zinc/84/15/78/1072841578.db2.gz VLCPPZVHTPQWTM-QGZVFWFLSA-N 0 0 441.506 -0.035 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000727005424 1072841741 /nfs/dbraw/zinc/84/17/41/1072841741.db2.gz CGUJENJGEFMIKN-UHFFFAOYSA-N 0 0 438.462 0.000 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000727005430 1072841673 /nfs/dbraw/zinc/84/16/73/1072841673.db2.gz CNIUFKRFNOAKJF-INIZCTEOSA-N 0 0 436.490 -0.133 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000727005431 1072841525 /nfs/dbraw/zinc/84/15/25/1072841525.db2.gz CNIUFKRFNOAKJF-MRXNPFEDSA-N 0 0 436.490 -0.133 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CC(=O)Nc3ccccc32)cn1C ZINC000727005450 1072841497 /nfs/dbraw/zinc/84/14/97/1072841497.db2.gz DGMRLRUHWNYUTJ-LLVKDONJSA-N 0 0 435.462 -0.076 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CC(=O)Nc3ccccc32)cn1C ZINC000727005651 1072841782 /nfs/dbraw/zinc/84/17/82/1072841782.db2.gz DGMRLRUHWNYUTJ-NSHDSACASA-N 0 0 435.462 -0.076 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cccc(S(C)(=O)=O)c2)cn1C ZINC000727005739 1072841635 /nfs/dbraw/zinc/84/16/35/1072841635.db2.gz FOVKOMFQNJIAJC-UHFFFAOYSA-N 0 0 444.491 -0.408 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc(S(C)(=O)=O)cc2)cn1C ZINC000727005837 1072841484 /nfs/dbraw/zinc/84/14/84/1072841484.db2.gz GUHZGYMSVPPCIP-UHFFFAOYSA-N 0 0 444.491 -0.408 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000727006037 1072841566 /nfs/dbraw/zinc/84/15/66/1072841566.db2.gz HHOHVPCCCVOXIF-CYBMUJFWSA-N 0 0 430.483 -0.506 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000727006038 1072841509 /nfs/dbraw/zinc/84/15/09/1072841509.db2.gz HHOHVPCCCVOXIF-ZDUSSCGKSA-N 0 0 430.483 -0.506 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000727006052 1072842066 /nfs/dbraw/zinc/84/20/66/1072842066.db2.gz HQGOGWVUCMALMG-CYBMUJFWSA-N 0 0 430.483 -0.648 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000727006053 1072842245 /nfs/dbraw/zinc/84/22/45/1072842245.db2.gz HQGOGWVUCMALMG-ZDUSSCGKSA-N 0 0 430.483 -0.648 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000727006168 1072842262 /nfs/dbraw/zinc/84/22/62/1072842262.db2.gz KAESEGPAMAJMGR-LLVKDONJSA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000727006170 1072842147 /nfs/dbraw/zinc/84/21/47/1072842147.db2.gz KAESEGPAMAJMGR-NSHDSACASA-N 0 0 430.483 -0.650 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CCCCC1 ZINC000727006442 1072311841 /nfs/dbraw/zinc/31/18/41/1072311841.db2.gz DSEXBPXHXNNQPA-UHFFFAOYSA-N 0 0 430.483 -0.458 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NCC(F)(F)F)cn1C ZINC000727006722 1072841619 /nfs/dbraw/zinc/84/16/19/1072841619.db2.gz HNAVCNIJPNGQEG-SSDOTTSWSA-N 0 0 429.377 -0.673 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NCC(F)(F)F)cn1C ZINC000727006726 1072841519 /nfs/dbraw/zinc/84/15/19/1072841519.db2.gz HNAVCNIJPNGQEG-ZETCQYMHSA-N 0 0 429.377 -0.673 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NCCOc2cccc(F)c2)cn1C ZINC000727006818 1072841760 /nfs/dbraw/zinc/84/17/60/1072841760.db2.gz IILZYSXZDCNSBD-UHFFFAOYSA-N 0 0 428.442 -0.116 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCCN2C(=O)c3ccccc3S2(=O)=O)cn1C ZINC000727006908 1072842235 /nfs/dbraw/zinc/84/22/35/1072842235.db2.gz PJEGFGAFZXQLKY-UHFFFAOYSA-N 0 0 442.475 -0.605 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000727007017 1072842227 /nfs/dbraw/zinc/84/22/27/1072842227.db2.gz RNSWFHRLVODLNK-GXTWGEPZSA-N 0 0 444.510 -0.260 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000727007018 1072842090 /nfs/dbraw/zinc/84/20/90/1072842090.db2.gz RNSWFHRLVODLNK-JSGCOSHPSA-N 0 0 444.510 -0.260 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000727007019 1072842155 /nfs/dbraw/zinc/84/21/55/1072842155.db2.gz RNSWFHRLVODLNK-OCCSQVGLSA-N 0 0 444.510 -0.260 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C1 ZINC000727007020 1072842077 /nfs/dbraw/zinc/84/20/77/1072842077.db2.gz RNSWFHRLVODLNK-TZMCWYRMSA-N 0 0 444.510 -0.260 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NCCN2CCc3ccccc32)cn1C ZINC000727007341 1072842118 /nfs/dbraw/zinc/84/21/18/1072842118.db2.gz JVQFQTBVYFXKQR-UHFFFAOYSA-N 0 0 435.506 -0.271 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1)c1ccccc1 ZINC000727007433 1072842000 /nfs/dbraw/zinc/84/20/00/1072842000.db2.gz KSVIZGMBECCXIO-KRWDZBQOSA-N 0 0 437.478 -0.846 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1)c1ccccc1 ZINC000727007435 1072842048 /nfs/dbraw/zinc/84/20/48/1072842048.db2.gz KSVIZGMBECCXIO-QGZVFWFLSA-N 0 0 437.478 -0.846 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C(C)C)CC1 ZINC000727007443 1072842195 /nfs/dbraw/zinc/84/21/95/1072842195.db2.gz LAUSQWZRUMYNQJ-KRWDZBQOSA-N 0 0 443.526 -0.735 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)C(C)C)CC1 ZINC000727007446 1072842028 /nfs/dbraw/zinc/84/20/28/1072842028.db2.gz LAUSQWZRUMYNQJ-QGZVFWFLSA-N 0 0 443.526 -0.735 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000727007465 1072842135 /nfs/dbraw/zinc/84/21/35/1072842135.db2.gz UHMUUCGMOJMUQE-GFCCVEGCSA-N 0 0 445.498 -0.761 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000727007468 1072842172 /nfs/dbraw/zinc/84/21/72/1072842172.db2.gz UHMUUCGMOJMUQE-LBPRGKRZSA-N 0 0 445.498 -0.761 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NC2CCC3(CC2)OCCO3)cn1C ZINC000727007879 1072633832 /nfs/dbraw/zinc/63/38/32/1072633832.db2.gz MOBRQCJJRMLZLS-UHFFFAOYSA-N 0 0 430.483 -0.648 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3ccco3)CC2)cn1C ZINC000727007908 1072633562 /nfs/dbraw/zinc/63/35/62/1072633562.db2.gz NBCWFXGMCFBUPE-UHFFFAOYSA-N 0 0 439.494 -0.513 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)c1 ZINC000727008112 1072314013 /nfs/dbraw/zinc/31/40/13/1072314013.db2.gz WYPZVQJZMICKLY-LLVKDONJSA-N 0 0 437.478 -0.063 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)c1 ZINC000727008113 1072634260 /nfs/dbraw/zinc/63/42/60/1072634260.db2.gz WYPZVQJZMICKLY-NSHDSACASA-N 0 0 437.478 -0.063 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NCCCN2CCCCCC2=O)cn1C ZINC000727008377 1072629172 /nfs/dbraw/zinc/62/91/72/1072629172.db2.gz PLTQDODAIUQHCT-UHFFFAOYSA-N 0 0 443.526 -0.541 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3ccccc3)CC2)cn1C ZINC000727008502 1072629092 /nfs/dbraw/zinc/62/90/92/1072629092.db2.gz RFLVRKXVEWHNKO-UHFFFAOYSA-N 0 0 449.533 -0.106 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)c2ccc(S(N)(=O)=O)cc2)cn1C ZINC000727008541 1072631746 /nfs/dbraw/zinc/63/17/46/1072631746.db2.gz RUWLZQAVWMDPPY-UHFFFAOYSA-N 0 0 430.464 -0.920 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NCCOc2ccc(F)cc2)cn1C ZINC000727008890 1073370892 /nfs/dbraw/zinc/37/08/92/1073370892.db2.gz VHPRJACHYMMHAI-UHFFFAOYSA-N 0 0 428.442 -0.116 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000727009228 1073371014 /nfs/dbraw/zinc/37/10/14/1073371014.db2.gz YGXPLNJFZQTMFN-AUWJEWJLSA-N 0 0 446.507 -0.470 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000727009229 1073371314 /nfs/dbraw/zinc/37/13/14/1073371314.db2.gz YGXPLNJFZQTMFN-VGOFMYFVSA-N 0 0 446.507 -0.470 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)N[C@H](C)C(=O)N2CCCCC2)cn1C ZINC000727009253 1073371041 /nfs/dbraw/zinc/37/10/41/1073371041.db2.gz YMCBQGQJIFWYTA-GFCCVEGCSA-N 0 0 429.499 -0.933 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)N[C@@H](C)C(=O)N2CCCCC2)cn1C ZINC000727009254 1073370928 /nfs/dbraw/zinc/37/09/28/1073370928.db2.gz YMCBQGQJIFWYTA-LBPRGKRZSA-N 0 0 429.499 -0.933 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@H](C(=O)N2CCC[C@H](C(N)=O)C2)C(C)C)cn1C ZINC000727009284 1073371056 /nfs/dbraw/zinc/37/10/56/1073371056.db2.gz YVBVQVGEDWSJJN-BBRMVZONSA-N 0 0 443.526 -0.701 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@H](C(=O)N2CCC[C@@H](C(N)=O)C2)C(C)C)cn1C ZINC000727009287 1073370968 /nfs/dbraw/zinc/37/09/68/1073370968.db2.gz YVBVQVGEDWSJJN-CJNGLKHVSA-N 0 0 443.526 -0.701 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@@H](C(=O)N2CCC[C@@H](C(N)=O)C2)C(C)C)cn1C ZINC000727009289 1073370870 /nfs/dbraw/zinc/37/08/70/1073370870.db2.gz YVBVQVGEDWSJJN-CZUORRHYSA-N 0 0 443.526 -0.701 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@@H](C(=O)N2CCC[C@H](C(N)=O)C2)C(C)C)cn1C ZINC000727009291 1073371029 /nfs/dbraw/zinc/37/10/29/1073371029.db2.gz YVBVQVGEDWSJJN-XJKSGUPXSA-N 0 0 443.526 -0.701 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cccc(C(=O)N(C)C)c2)cn1C ZINC000727013855 1073371388 /nfs/dbraw/zinc/37/13/88/1073371388.db2.gz PEOGSYXSOAPWJG-UHFFFAOYSA-N 0 0 437.478 -0.109 20 0 IBADRN O=C(CC(F)(F)F)N1CCC(NC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000727033790 1073371323 /nfs/dbraw/zinc/37/13/23/1073371323.db2.gz WQYWJKGYTOXYKD-UHFFFAOYSA-N 0 0 430.449 -0.109 20 0 IBADRN CCOC(=O)N(C)C1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000727035702 1073371300 /nfs/dbraw/zinc/37/13/00/1073371300.db2.gz FVTLHJSFSPNEBA-UHFFFAOYSA-N 0 0 446.464 -0.350 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnn3)cc2)CC1 ZINC000727036601 1073371330 /nfs/dbraw/zinc/37/13/30/1073371330.db2.gz FRRHNLNHZMCPBM-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN CCOC(=O)N(C)C1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000727036640 1073371434 /nfs/dbraw/zinc/37/14/34/1073371434.db2.gz UMMGMVUWZNTTLA-UHFFFAOYSA-N 0 0 433.465 -0.081 20 0 IBADRN CCN(C(=O)Cn1cnc2c(c(Br)nn2C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000727063768 1073371450 /nfs/dbraw/zinc/37/14/50/1073371450.db2.gz FCSBKIFADJYYAL-SECBINFHSA-N 0 0 432.300 -0.072 20 0 IBADRN CCN(C(=O)Cn1cnc2c(c(Br)nn2C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000727063772 1073371457 /nfs/dbraw/zinc/37/14/57/1073371457.db2.gz FCSBKIFADJYYAL-VIFPVBQESA-N 0 0 432.300 -0.072 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=S)NCCNS(C)(=O)=O)CC2)cc1 ZINC000727066269 1073371474 /nfs/dbraw/zinc/37/14/74/1073371474.db2.gz MVVPOEDHSWAZEL-UHFFFAOYSA-N 0 0 436.581 -0.575 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NNc1ccc(S(=O)(=O)N2CCCCC2)cn1 ZINC000727067121 1072323121 /nfs/dbraw/zinc/32/31/21/1072323121.db2.gz VMZOCRNTBDUYDI-UHFFFAOYSA-N 0 0 436.585 -0.404 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=S)NCCNS(C)(=O)=O)CC2)cc1 ZINC000727067369 1073371423 /nfs/dbraw/zinc/37/14/23/1073371423.db2.gz ZSIXNRKUUUFTOJ-UHFFFAOYSA-N 0 0 448.592 -0.381 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000727068534 1073371901 /nfs/dbraw/zinc/37/19/01/1073371901.db2.gz XYMCGZTWVIMURR-UHFFFAOYSA-N 0 0 442.535 -0.305 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000727068646 1073371735 /nfs/dbraw/zinc/37/17/35/1073371735.db2.gz JANWSWBMXDFTRC-UHFFFAOYSA-N 0 0 446.620 -0.095 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000727070046 1073371852 /nfs/dbraw/zinc/37/18/52/1073371852.db2.gz DGAFCTOTPLRXSN-HNNXBMFYSA-N 0 0 428.536 -0.464 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000727070047 1073371826 /nfs/dbraw/zinc/37/18/26/1073371826.db2.gz DGAFCTOTPLRXSN-OAHLLOKOSA-N 0 0 428.536 -0.464 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000727070318 1073371882 /nfs/dbraw/zinc/37/18/82/1073371882.db2.gz HCNMFXVOVZZMRX-UHFFFAOYSA-N 0 0 430.581 -0.703 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=S)NCCNS(C)(=O)=O)CC1 ZINC000727070591 1073371755 /nfs/dbraw/zinc/37/17/55/1073371755.db2.gz JBDOKWMUMMBPPR-UHFFFAOYSA-N 0 0 425.558 -0.979 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=S)NCCNS(C)(=O)=O)CC1 ZINC000727070665 1073371689 /nfs/dbraw/zinc/37/16/89/1073371689.db2.gz LLAUPSNFKJKKSC-UHFFFAOYSA-N 0 0 439.585 -0.588 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=S)NCCNS(C)(=O)=O)CC2)cc1OC ZINC000727071051 1073371680 /nfs/dbraw/zinc/37/16/80/1073371680.db2.gz RKQJGCUBTRGZLP-UHFFFAOYSA-N 0 0 430.552 -0.115 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000727071055 1073371696 /nfs/dbraw/zinc/37/16/96/1073371696.db2.gz ULUKKXMZBDQECB-UHFFFAOYSA-N 0 0 438.572 -0.054 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=S)NCCNS(C)(=O)=O)CC1 ZINC000727071133 1073371861 /nfs/dbraw/zinc/37/18/61/1073371861.db2.gz BIEJVRJDDQRIEK-UHFFFAOYSA-N 0 0 441.645 -0.463 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000727072354 1073372899 /nfs/dbraw/zinc/37/28/99/1073372899.db2.gz MTSQPVUMXPKAPT-UHFFFAOYSA-N 0 0 442.535 -0.305 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=S)NCCNS(C)(=O)=O)CC2)c1 ZINC000727072602 1073372853 /nfs/dbraw/zinc/37/28/53/1073372853.db2.gz VSTZIZPSDGSDAJ-UHFFFAOYSA-N 0 0 430.552 -0.115 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000727073328 1073372710 /nfs/dbraw/zinc/37/27/10/1073372710.db2.gz LOTPQJDRZZPDMW-UHFFFAOYSA-N 0 0 442.610 -0.718 20 0 IBADRN NC(=O)CNC(=S)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000727073433 1073372963 /nfs/dbraw/zinc/37/29/63/1073372963.db2.gz ZJKVZBDADVIBGB-UHFFFAOYSA-N 0 0 447.926 -0.663 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCC(NS(=O)(=O)c2cccs2)CC1 ZINC000727073787 1073372670 /nfs/dbraw/zinc/37/26/70/1073372670.db2.gz SZSHCUHPFAMYKM-UHFFFAOYSA-N 0 0 426.611 -0.086 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000727073952 1073372864 /nfs/dbraw/zinc/37/28/64/1073372864.db2.gz WFIHXUKJXVWVGE-UHFFFAOYSA-N 0 0 436.581 -0.779 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NNc1ccc(S(=O)(=O)NC2CCCC2)cn1 ZINC000727074204 1073371766 /nfs/dbraw/zinc/37/17/66/1073371766.db2.gz RJVQFTAGHKHJDC-UHFFFAOYSA-N 0 0 436.585 -0.357 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCC(NS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000727075027 1073371815 /nfs/dbraw/zinc/37/18/15/1073371815.db2.gz WQXRPSDGONVHIN-UHFFFAOYSA-N 0 0 438.572 -0.008 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=S)NCCNS(C)(=O)=O)CC1 ZINC000727075402 1073371803 /nfs/dbraw/zinc/37/18/03/1073371803.db2.gz DBPSABRYPBHSIM-UHFFFAOYSA-N 0 0 437.588 -0.483 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=S)NCC(N)=O)CC1 ZINC000727076173 1072452040 /nfs/dbraw/zinc/45/20/40/1072452040.db2.gz BUNATWAPBUZEQD-UHFFFAOYSA-N 0 0 434.565 -0.935 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000727077637 1073371724 /nfs/dbraw/zinc/37/17/24/1073371724.db2.gz RNZOFINKAFDLSC-UHFFFAOYSA-N 0 0 425.580 -0.383 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000727077758 1073371702 /nfs/dbraw/zinc/37/17/02/1073371702.db2.gz DEEIHFPOXYADLA-UHFFFAOYSA-N 0 0 443.595 -0.139 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=S)NCCNS(C)(=O)=O)CC2)c(OC)c1 ZINC000727078004 1073371869 /nfs/dbraw/zinc/37/18/69/1073371869.db2.gz MMFGBDYQTHRJJT-UHFFFAOYSA-N 0 0 430.552 -0.115 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000727078943 1073371891 /nfs/dbraw/zinc/37/18/91/1073371891.db2.gz USVCEDZTFKNWSU-UHFFFAOYSA-N 0 0 431.565 -0.823 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000727079375 1073371836 /nfs/dbraw/zinc/37/18/36/1073371836.db2.gz VLLOXNXUZCKRCC-UHFFFAOYSA-N 0 0 446.580 -0.707 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=S)NCCNS(C)(=O)=O)CC2)c1OC ZINC000727082773 1073372085 /nfs/dbraw/zinc/37/20/85/1073372085.db2.gz CFAHITJGZBXURJ-UHFFFAOYSA-N 0 0 430.552 -0.115 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NCc1ccccc1OCC(=O)NC[C@@H]1CCCO1 ZINC000727083224 1073372104 /nfs/dbraw/zinc/37/21/04/1073372104.db2.gz COPWDTHOOYVMRV-HNNXBMFYSA-N 0 0 444.579 -0.126 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NCc1ccccc1OCC(=O)NC[C@H]1CCCO1 ZINC000727083232 1073372163 /nfs/dbraw/zinc/37/21/63/1073372163.db2.gz COPWDTHOOYVMRV-OAHLLOKOSA-N 0 0 444.579 -0.126 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=S)NCCNS(C)(=O)=O ZINC000727083515 1073372115 /nfs/dbraw/zinc/37/21/15/1073372115.db2.gz CQHNOPRUDBBCHU-UHFFFAOYSA-N 0 0 429.568 -0.082 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727083976 1073372167 /nfs/dbraw/zinc/37/21/67/1073372167.db2.gz AMMQFNCQCUUDNE-UHFFFAOYSA-N 0 0 429.275 -0.544 20 0 IBADRN CS(=O)(=O)NCCNC(=S)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC000727084255 1073372057 /nfs/dbraw/zinc/37/20/57/1073372057.db2.gz ICYAZCQCRYTTGC-UHFFFAOYSA-N 0 0 426.568 -0.024 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=S)NCCNS(C)(=O)=O ZINC000727085701 1073372147 /nfs/dbraw/zinc/37/21/47/1073372147.db2.gz VBLFIBUVOIQDLD-UHFFFAOYSA-N 0 0 437.588 -0.579 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCS(=O)(=O)NC(C)(C)C)c2=O ZINC000727087972 1073372151 /nfs/dbraw/zinc/37/21/51/1073372151.db2.gz ZGVRTJCGFUQFQA-UHFFFAOYSA-N 0 0 449.331 -0.273 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC(=O)NCC(F)(F)F)c2=O ZINC000727088915 1073372182 /nfs/dbraw/zinc/37/21/82/1073372182.db2.gz FYFBLFVTOWPWRX-UHFFFAOYSA-N 0 0 425.165 -0.313 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCNC(=O)c1cccnc1)c2=O ZINC000727090200 1073372072 /nfs/dbraw/zinc/37/20/72/1073372072.db2.gz ZPAGBDSEDDHJIC-UHFFFAOYSA-N 0 0 434.254 -0.166 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727091542 1073372157 /nfs/dbraw/zinc/37/21/57/1073372157.db2.gz LRWIQTGTIZDFLS-UHFFFAOYSA-N 0 0 435.304 -0.664 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)n1 ZINC000727091626 1073372143 /nfs/dbraw/zinc/37/21/43/1073372143.db2.gz VDHWXJCDSRZQFI-UHFFFAOYSA-N 0 0 437.258 -0.184 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727098139 1073372135 /nfs/dbraw/zinc/37/21/35/1073372135.db2.gz IENUXJFMGONMIT-UHFFFAOYSA-N 0 0 427.259 -0.790 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000727098754 1073372140 /nfs/dbraw/zinc/37/21/40/1073372140.db2.gz RNOAFQUBORNTMK-UHFFFAOYSA-N 0 0 440.302 -0.875 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCCN(S(C)(=O)=O)CC1)c2=O ZINC000727099935 1073372176 /nfs/dbraw/zinc/37/21/76/1073372176.db2.gz GUERIVYVYGAKMA-UHFFFAOYSA-N 0 0 447.315 -0.614 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)cn1C ZINC000727100218 1073372483 /nfs/dbraw/zinc/37/24/83/1073372483.db2.gz CYLGIAWPHWMJBL-UHFFFAOYSA-N 0 0 441.535 -0.154 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000727100304 1073372425 /nfs/dbraw/zinc/37/24/25/1073372425.db2.gz FOYXIISKHRMUFQ-UHFFFAOYSA-N 0 0 427.508 -0.240 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000727100586 1073372463 /nfs/dbraw/zinc/37/24/63/1073372463.db2.gz USZHWAQXJNIAKN-UHFFFAOYSA-N 0 0 440.302 -0.827 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000727101066 1073372515 /nfs/dbraw/zinc/37/25/15/1073372515.db2.gz SVRURNJBRFSPQR-UHFFFAOYSA-N 0 0 427.508 -0.462 20 0 IBADRN COCC(=O)N1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000727101456 1073372311 /nfs/dbraw/zinc/37/23/11/1073372311.db2.gz PWLOXRWQROFDND-UHFFFAOYSA-N 0 0 427.259 -0.790 20 0 IBADRN C[C@H](CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000727101919 1072488103 /nfs/dbraw/zinc/48/81/03/1072488103.db2.gz OGGKRNJFWKRUPF-GDBMZVCRSA-N 0 0 449.595 -0.268 20 0 IBADRN C[C@@H](CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000727101922 1073372507 /nfs/dbraw/zinc/37/25/07/1073372507.db2.gz OGGKRNJFWKRUPF-GOEBONIOSA-N 0 0 449.595 -0.268 20 0 IBADRN C[C@@H](CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000727101925 1073372374 /nfs/dbraw/zinc/37/23/74/1073372374.db2.gz OGGKRNJFWKRUPF-HOCLYGCPSA-N 0 0 449.595 -0.268 20 0 IBADRN C[C@H](CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000727101927 1073372532 /nfs/dbraw/zinc/37/25/32/1073372532.db2.gz OGGKRNJFWKRUPF-ZBFHGGJFSA-N 0 0 449.595 -0.268 20 0 IBADRN O=C(c1ccc(N2CCCC2)nc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000727102031 1073372390 /nfs/dbraw/zinc/37/23/90/1073372390.db2.gz RBPDSGGACRLTAI-INIZCTEOSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C(c1ccc(N2CCCC2)nc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727102034 1073372439 /nfs/dbraw/zinc/37/24/39/1073372439.db2.gz RBPDSGGACRLTAI-MRXNPFEDSA-N 0 0 442.563 -0.044 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727102107 1073372526 /nfs/dbraw/zinc/37/25/26/1073372526.db2.gz HEILLNXCFKCWJT-UHFFFAOYSA-N 0 0 449.331 -0.320 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCC(=O)N1CCOCC1)c2=O ZINC000727102387 1073372473 /nfs/dbraw/zinc/37/24/73/1073372473.db2.gz NAYSIPWLXZHUIS-UHFFFAOYSA-N 0 0 427.259 -0.742 20 0 IBADRN CN(CCCNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)S(C)(=O)=O ZINC000727102401 1073372492 /nfs/dbraw/zinc/37/24/92/1073372492.db2.gz NXIDDEIYZMNYNA-UHFFFAOYSA-N 0 0 435.304 -0.710 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H](NS(C)(=O)=O)C1)c2=O ZINC000727102859 1073372403 /nfs/dbraw/zinc/37/24/03/1073372403.db2.gz XBPLCKWTMBWVIO-SECBINFHSA-N 0 0 447.315 -0.567 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H](NS(C)(=O)=O)C1)c2=O ZINC000727102860 1073372415 /nfs/dbraw/zinc/37/24/15/1073372415.db2.gz XBPLCKWTMBWVIO-VIFPVBQESA-N 0 0 447.315 -0.567 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000727102880 1073372361 /nfs/dbraw/zinc/37/23/61/1073372361.db2.gz XLVRQXSRVMKIJH-UHFFFAOYSA-N 0 0 426.275 -0.282 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCC(=O)Nc1ccncc1)c2=O ZINC000727103236 1073372684 /nfs/dbraw/zinc/37/26/84/1073372684.db2.gz GIEOYDSQAAFLCF-UHFFFAOYSA-N 0 0 434.254 -0.146 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)c2=O ZINC000727103459 1073372449 /nfs/dbraw/zinc/37/24/49/1073372449.db2.gz PQSZRJSBVFOHIW-SECBINFHSA-N 0 0 447.315 -0.567 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)c2=O ZINC000727103462 1073372336 /nfs/dbraw/zinc/37/23/36/1073372336.db2.gz PQSZRJSBVFOHIW-VIFPVBQESA-N 0 0 447.315 -0.567 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCN1CCCS1(=O)=O)c2=O ZINC000727103490 1073372749 /nfs/dbraw/zinc/37/27/49/1073372749.db2.gz JZHOCGQTKMIORX-UHFFFAOYSA-N 0 0 433.288 -0.956 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000727103829 1073372351 /nfs/dbraw/zinc/37/23/51/1073372351.db2.gz MHBFFBURZOVZGU-UHFFFAOYSA-N 0 0 447.315 -0.614 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727103834 1073372501 /nfs/dbraw/zinc/37/25/01/1073372501.db2.gz MLQFLFCIZAWSOZ-UHFFFAOYSA-N 0 0 435.304 -0.662 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H](N3CCNC3=O)C1)c2=O ZINC000727104149 1073372126 /nfs/dbraw/zinc/37/21/26/1073372126.db2.gz XMDSVILMFSSMHB-JTQLQIEISA-N 0 0 438.286 -0.091 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H](N3CCNC3=O)C1)c2=O ZINC000727104150 1073372093 /nfs/dbraw/zinc/37/20/93/1073372093.db2.gz XMDSVILMFSSMHB-SNVBAGLBSA-N 0 0 438.286 -0.091 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727104167 1073372172 /nfs/dbraw/zinc/37/21/72/1073372172.db2.gz YDMCUDLHRHFYNU-UHFFFAOYSA-N 0 0 429.275 -0.496 20 0 IBADRN CCN(CCCNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)S(C)(=O)=O ZINC000727104989 1073372837 /nfs/dbraw/zinc/37/28/37/1073372837.db2.gz RNWXDHUNRXDIRE-UHFFFAOYSA-N 0 0 449.331 -0.320 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCCN1CCCS1(=O)=O)c2=O ZINC000727105835 1073372822 /nfs/dbraw/zinc/37/28/22/1073372822.db2.gz RQRDMMUNXKDVET-UHFFFAOYSA-N 0 0 447.315 -0.566 20 0 IBADRN CC(C)(C)OC(=O)N1CSC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000727120603 1073372799 /nfs/dbraw/zinc/37/27/99/1073372799.db2.gz NPRLBUAISNKGMD-CQSZACIVSA-N 0 0 442.538 -0.174 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000727162933 1073373220 /nfs/dbraw/zinc/37/32/20/1073373220.db2.gz BVMKMZCQPSBHCU-UHFFFAOYSA-N 0 0 440.302 -0.827 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(Cn2nc(C(=O)OC)c3c(C)onc3c2=O)CC1 ZINC000727165545 1073373339 /nfs/dbraw/zinc/37/33/39/1073373339.db2.gz JJYVLFPVNPRXEO-UHFFFAOYSA-N 0 0 442.498 -0.359 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCC(=O)N1CCN(C)CC1)c2=O ZINC000727177422 1073373879 /nfs/dbraw/zinc/37/38/79/1073373879.db2.gz IPRWBSQSDSODQC-UHFFFAOYSA-N 0 0 440.302 -0.827 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727177540 1073374105 /nfs/dbraw/zinc/37/41/05/1073374105.db2.gz LSFFBJRIKDENLJ-UHFFFAOYSA-N 0 0 440.302 -0.875 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000727178260 1073373557 /nfs/dbraw/zinc/37/35/57/1073373557.db2.gz RGJFDVHWVZMDRF-UHFFFAOYSA-N 0 0 440.302 -0.827 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC000727196275 1073373992 /nfs/dbraw/zinc/37/39/92/1073373992.db2.gz WVTHLYMEAMKHLF-MSOLQXFVSA-N 0 0 433.461 -0.008 20 0 IBADRN C[C@@H](CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000727200932 1073374202 /nfs/dbraw/zinc/37/42/02/1073374202.db2.gz NRKSJUMCKZNCIW-DOTOQJQBSA-N 0 0 443.566 -0.054 20 0 IBADRN C[C@H](CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000727200937 1073373907 /nfs/dbraw/zinc/37/39/07/1073373907.db2.gz NRKSJUMCKZNCIW-NVXWUHKLSA-N 0 0 443.566 -0.054 20 0 IBADRN C[C@@H](CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000727200939 1073373980 /nfs/dbraw/zinc/37/39/80/1073373980.db2.gz NRKSJUMCKZNCIW-RDJZCZTQSA-N 0 0 443.566 -0.054 20 0 IBADRN C[C@H](CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)NC(=O)C1CCCC1 ZINC000727200941 1073374171 /nfs/dbraw/zinc/37/41/71/1073374171.db2.gz NRKSJUMCKZNCIW-WBVHZDCISA-N 0 0 443.566 -0.054 20 0 IBADRN COCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000727211230 1073374162 /nfs/dbraw/zinc/37/41/62/1073374162.db2.gz MZBKGDYKMPYWPE-UHFFFAOYSA-N 0 0 428.463 -0.130 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCC(=O)NC(=O)NC1CC1)c2=O ZINC000727221048 1073374138 /nfs/dbraw/zinc/37/41/38/1073374138.db2.gz BLHZWCBXPDZQQF-UHFFFAOYSA-N 0 0 427.215 -0.576 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727221250 1073374369 /nfs/dbraw/zinc/37/43/69/1073374369.db2.gz JJSYGHBPWQRJLQ-UHFFFAOYSA-N 0 0 430.215 -0.885 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727221291 1073374516 /nfs/dbraw/zinc/37/45/16/1073374516.db2.gz KWVCUIJJBWZQSI-SSDOTTSWSA-N 0 0 429.231 -0.330 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727221292 1073374474 /nfs/dbraw/zinc/37/44/74/1073374474.db2.gz KWVCUIJJBWZQSI-ZETCQYMHSA-N 0 0 429.231 -0.330 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCc1cc(=O)n(C)c(=O)n1C)c2=O ZINC000727221340 1073374381 /nfs/dbraw/zinc/37/43/81/1073374381.db2.gz MDIJSVUGYJPTTM-UHFFFAOYSA-N 0 0 439.226 -0.967 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727221365 1073374525 /nfs/dbraw/zinc/37/45/25/1073374525.db2.gz MRIWIJVHOMKRFT-UHFFFAOYSA-N 0 0 443.258 -0.083 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727221370 1073374442 /nfs/dbraw/zinc/37/44/42/1073374442.db2.gz MWNZORGKYWXSNT-UHFFFAOYSA-N 0 0 429.231 -0.329 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)n1 ZINC000727221381 1073374571 /nfs/dbraw/zinc/37/45/71/1073374571.db2.gz NEFZXRWCTQVCDD-UHFFFAOYSA-N 0 0 438.246 -0.531 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)N1CCOCC1 ZINC000727221559 1073374505 /nfs/dbraw/zinc/37/45/05/1073374505.db2.gz UGDRAUAZFAJJPN-SECBINFHSA-N 0 0 428.243 -0.317 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)N1CCOCC1 ZINC000727221560 1073374460 /nfs/dbraw/zinc/37/44/60/1073374460.db2.gz UGDRAUAZFAJJPN-VIFPVBQESA-N 0 0 428.243 -0.317 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCC(=O)NC[C@H]1CCCO1)c2=O ZINC000727221858 1073374010 /nfs/dbraw/zinc/37/40/10/1073374010.db2.gz AUHDLHWGYXHCIB-SECBINFHSA-N 0 0 428.243 -0.269 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCC(=O)NC[C@@H]1CCCO1)c2=O ZINC000727221860 1073374485 /nfs/dbraw/zinc/37/44/85/1073374485.db2.gz AUHDLHWGYXHCIB-VIFPVBQESA-N 0 0 428.243 -0.269 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)NC(=O)NC1CC1 ZINC000727221907 1073374566 /nfs/dbraw/zinc/37/45/66/1073374566.db2.gz CBDJNTRJIMQVFX-SSDOTTSWSA-N 0 0 441.242 -0.188 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)NC(=O)NC1CC1 ZINC000727221908 1073374392 /nfs/dbraw/zinc/37/43/92/1073374392.db2.gz CBDJNTRJIMQVFX-ZETCQYMHSA-N 0 0 441.242 -0.188 20 0 IBADRN COCCCNC(=O)[C@@H](C)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727221938 1073374419 /nfs/dbraw/zinc/37/44/19/1073374419.db2.gz CLSVGDGBCFLYRY-SECBINFHSA-N 0 0 430.259 -0.023 20 0 IBADRN COCCCNC(=O)[C@H](C)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727221940 1073374552 /nfs/dbraw/zinc/37/45/52/1073374552.db2.gz CLSVGDGBCFLYRY-VIFPVBQESA-N 0 0 430.259 -0.023 20 0 IBADRN C[C@@H](NC(=O)COC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)N(C)C ZINC000727222126 1073374560 /nfs/dbraw/zinc/37/45/60/1073374560.db2.gz SDCRZLKRRGKPCY-MRVPVSSYSA-N 0 0 443.258 -0.971 20 0 IBADRN C[C@H](NC(=O)COC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)N(C)C ZINC000727222127 1073374867 /nfs/dbraw/zinc/37/48/67/1073374867.db2.gz SDCRZLKRRGKPCY-QMMMGPOBSA-N 0 0 443.258 -0.971 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCCn1nc3ccccn3c1=O)c2=O ZINC000727222349 1073374545 /nfs/dbraw/zinc/37/45/45/1073374545.db2.gz HFVWOHCEROXHQS-UHFFFAOYSA-N 0 0 448.237 -0.055 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCCN1C(=O)NC(C)(C)C1=O)c2=O ZINC000727222788 1073374451 /nfs/dbraw/zinc/37/44/51/1073374451.db2.gz KTYILEKWLONUNW-UHFFFAOYSA-N 0 0 441.242 -0.234 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCO1 ZINC000727223109 1073374835 /nfs/dbraw/zinc/37/48/35/1073374835.db2.gz ORIODHAPSAUMGC-SECBINFHSA-N 0 0 428.243 -0.317 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCO1 ZINC000727223110 1073374811 /nfs/dbraw/zinc/37/48/11/1073374811.db2.gz ORIODHAPSAUMGC-VIFPVBQESA-N 0 0 428.243 -0.317 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727224022 1073374843 /nfs/dbraw/zinc/37/48/43/1073374843.db2.gz VMMQWFHRKBCOJO-SSDOTTSWSA-N 0 0 430.215 -0.887 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727224030 1073374712 /nfs/dbraw/zinc/37/47/12/1073374712.db2.gz VMMQWFHRKBCOJO-ZETCQYMHSA-N 0 0 430.215 -0.887 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)N1CCNC1=O ZINC000727224721 1073374792 /nfs/dbraw/zinc/37/47/92/1073374792.db2.gz ZIFBRSSKLZLPEH-SSDOTTSWSA-N 0 0 427.215 -0.624 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)N1CCNC1=O ZINC000727224725 1073374747 /nfs/dbraw/zinc/37/47/47/1073374747.db2.gz ZIFBRSSKLZLPEH-ZETCQYMHSA-N 0 0 427.215 -0.624 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)NC(N)=O ZINC000727224739 1073374902 /nfs/dbraw/zinc/37/49/02/1073374902.db2.gz ZYQGCTZNEHKUKI-SECBINFHSA-N 0 0 429.231 -0.345 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)NC(N)=O ZINC000727224740 1073374760 /nfs/dbraw/zinc/37/47/60/1073374760.db2.gz ZYQGCTZNEHKUKI-VIFPVBQESA-N 0 0 429.231 -0.345 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000727230017 1073374915 /nfs/dbraw/zinc/37/49/15/1073374915.db2.gz LORMOILHUJYDHO-QVKFZJNVSA-N 0 0 445.476 -0.676 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000727230018 1073374856 /nfs/dbraw/zinc/37/48/56/1073374856.db2.gz LORMOILHUJYDHO-YCRPNKLZSA-N 0 0 445.476 -0.676 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCNC(=O)[C@H]2CC(=O)OC)C1=O ZINC000727230947 1073374699 /nfs/dbraw/zinc/37/46/99/1073374699.db2.gz YCZAOJIAKIXJSJ-JLTOFOAXSA-N 0 0 431.449 -0.762 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCNC(=O)[C@H]2CC(=O)OC)C1=O ZINC000727230949 1073374802 /nfs/dbraw/zinc/37/48/02/1073374802.db2.gz YCZAOJIAKIXJSJ-VLIAUNLRSA-N 0 0 431.449 -0.762 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCc3cc(S(=O)(=O)N(C)C)ccc31)CC2 ZINC000727231520 1073374825 /nfs/dbraw/zinc/37/48/25/1073374825.db2.gz PFYAFAZXHMYNRD-AWEZNQCLSA-N 0 0 432.506 -0.143 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCc3cc(S(=O)(=O)N(C)C)ccc31)CC2 ZINC000727231521 1073374781 /nfs/dbraw/zinc/37/47/81/1073374781.db2.gz PFYAFAZXHMYNRD-CQSZACIVSA-N 0 0 432.506 -0.143 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000727238546 1073375056 /nfs/dbraw/zinc/37/50/56/1073375056.db2.gz DDBZBSFOSUCTTI-HNNXBMFYSA-N 0 0 432.543 -0.651 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727238547 1073375149 /nfs/dbraw/zinc/37/51/49/1073375149.db2.gz DDBZBSFOSUCTTI-OAHLLOKOSA-N 0 0 432.543 -0.651 20 0 IBADRN CN(CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O)CC(=O)N1CCOCC1 ZINC000727239910 1073375300 /nfs/dbraw/zinc/37/53/00/1073375300.db2.gz GJXSRLYGJOYYSL-NRFANRHFSA-N 0 0 431.493 -0.249 20 0 IBADRN CN(CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O)CC(=O)N1CCOCC1 ZINC000727239911 1073375313 /nfs/dbraw/zinc/37/53/13/1073375313.db2.gz GJXSRLYGJOYYSL-OAQYLSRUSA-N 0 0 431.493 -0.249 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000727240451 1073375288 /nfs/dbraw/zinc/37/52/88/1073375288.db2.gz KIEOBBZZPTYAEJ-INIZCTEOSA-N 0 0 430.527 -0.386 20 0 IBADRN COc1ccc(OC)c([C@H](O)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000727240458 1073375185 /nfs/dbraw/zinc/37/51/85/1073375185.db2.gz KIEOBBZZPTYAEJ-MRXNPFEDSA-N 0 0 430.527 -0.386 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000727250949 1073375083 /nfs/dbraw/zinc/37/50/83/1073375083.db2.gz NDKSDQPNZYOLRM-UHFFFAOYSA-N 0 0 425.530 -0.800 20 0 IBADRN CC(C)NC(=O)CNC(=S)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000727272655 1073375159 /nfs/dbraw/zinc/37/51/59/1073375159.db2.gz SQCMMQZZOKBBSO-UHFFFAOYSA-N 0 0 439.563 -0.113 20 0 IBADRN CC(C)NC(=O)CNC(=S)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000727273012 1073375106 /nfs/dbraw/zinc/37/51/06/1073375106.db2.gz XRLCSHOTNYHDCO-UHFFFAOYSA-N 0 0 440.551 -0.026 20 0 IBADRN CC(C)NC(=O)CNC(=S)NNc1ccc(S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000727273671 1073375238 /nfs/dbraw/zinc/37/52/38/1073375238.db2.gz LIADKFMKFQULMS-UHFFFAOYSA-N 0 0 429.572 -0.667 20 0 IBADRN CC(C)NC(=O)CNC(=S)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000727275116 1073375258 /nfs/dbraw/zinc/37/52/58/1073375258.db2.gz JCEYGIANRJRVON-UHFFFAOYSA-N 0 0 428.584 -0.478 20 0 IBADRN Cc1occc1-c1cc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)n(CCO)n1 ZINC000727317911 1073375129 /nfs/dbraw/zinc/37/51/29/1073375129.db2.gz UPGMJLORUMAIKD-UHFFFAOYSA-N 0 0 427.421 -0.170 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)cn1 ZINC000727317949 1073375557 /nfs/dbraw/zinc/37/55/57/1073375557.db2.gz XWACLNLPBCQPGI-UHFFFAOYSA-N 0 0 437.258 -0.184 20 0 IBADRN Cc1occc1-c1cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)n(CCO)n1 ZINC000727318865 1073375487 /nfs/dbraw/zinc/37/54/87/1073375487.db2.gz VTBNYLLXMFDEEA-UHFFFAOYSA-N 0 0 427.421 -0.170 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC(C(=O)Nc3ccc4c(c3)OCO4)CC2)c(=O)n(C)c1=O ZINC000727323852 1073375194 /nfs/dbraw/zinc/37/51/94/1073375194.db2.gz PXEQNHXIQIWYIN-UHFFFAOYSA-N 0 0 443.460 -0.072 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000727332306 1073375141 /nfs/dbraw/zinc/37/51/41/1073375141.db2.gz NRZCBDZYOUUKBE-GDBMZVCRSA-N 0 0 440.522 -0.608 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000727332308 1073375215 /nfs/dbraw/zinc/37/52/15/1073375215.db2.gz NRZCBDZYOUUKBE-GOEBONIOSA-N 0 0 440.522 -0.608 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000727332310 1073375120 /nfs/dbraw/zinc/37/51/20/1073375120.db2.gz NRZCBDZYOUUKBE-HOCLYGCPSA-N 0 0 440.522 -0.608 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000727332312 1073375071 /nfs/dbraw/zinc/37/50/71/1073375071.db2.gz NRZCBDZYOUUKBE-ZBFHGGJFSA-N 0 0 440.522 -0.608 20 0 IBADRN O=Cc1ccc(OCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000727372930 1073375566 /nfs/dbraw/zinc/37/55/66/1073375566.db2.gz LIGUFZKLYHGHQQ-INIZCTEOSA-N 0 0 430.504 -0.461 20 0 IBADRN O=Cc1ccc(OCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000727372931 1073375586 /nfs/dbraw/zinc/37/55/86/1073375586.db2.gz LIGUFZKLYHGHQQ-MRXNPFEDSA-N 0 0 430.504 -0.461 20 0 IBADRN O=Cc1ccccc1OCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000727373064 1073375584 /nfs/dbraw/zinc/37/55/84/1073375584.db2.gz NFDSPTFMQGFDNZ-HNNXBMFYSA-N 0 0 430.504 -0.461 20 0 IBADRN O=Cc1ccccc1OCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727373065 1073375572 /nfs/dbraw/zinc/37/55/72/1073375572.db2.gz NFDSPTFMQGFDNZ-OAHLLOKOSA-N 0 0 430.504 -0.461 20 0 IBADRN O=C(CSC[C@H]1CCCCO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000727373340 1073375603 /nfs/dbraw/zinc/37/56/03/1073375603.db2.gz WACPXWRZNJKPLB-CABCVRRESA-N 0 0 440.609 -0.050 20 0 IBADRN O=C(CSC[C@@H]1CCCCO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000727373341 1073375610 /nfs/dbraw/zinc/37/56/10/1073375610.db2.gz WACPXWRZNJKPLB-GJZGRUSLSA-N 0 0 440.609 -0.050 20 0 IBADRN O=C(CSC[C@H]1CCCCO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727373342 1073375625 /nfs/dbraw/zinc/37/56/25/1073375625.db2.gz WACPXWRZNJKPLB-HUUCEWRRSA-N 0 0 440.609 -0.050 20 0 IBADRN O=C(CSC[C@@H]1CCCCO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727373343 1073375596 /nfs/dbraw/zinc/37/55/96/1073375596.db2.gz WACPXWRZNJKPLB-LSDHHAIUSA-N 0 0 440.609 -0.050 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727383012 1073375523 /nfs/dbraw/zinc/37/55/23/1073375523.db2.gz SBNPWNINVOUZGD-MRVPVSSYSA-N 0 0 429.300 -0.118 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000727383013 1073375635 /nfs/dbraw/zinc/37/56/35/1073375635.db2.gz SBNPWNINVOUZGD-QMMMGPOBSA-N 0 0 429.300 -0.118 20 0 IBADRN COC1(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CCN(C(=O)OC(C)(C)C)CC1 ZINC000727391196 1073375944 /nfs/dbraw/zinc/37/59/44/1073375944.db2.gz CKECYUHBMWMBJV-UHFFFAOYSA-N 0 0 437.501 -0.240 20 0 IBADRN CSCC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000727391200 1073376092 /nfs/dbraw/zinc/37/60/92/1073376092.db2.gz CMGBPECGVHDIIF-ZDUSSCGKSA-N 0 0 427.531 -0.010 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000727391544 1073376058 /nfs/dbraw/zinc/37/60/58/1073376058.db2.gz IPWXNHHJMXSONJ-UHFFFAOYSA-N 0 0 425.446 -0.905 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1Cl ZINC000727391949 1073375891 /nfs/dbraw/zinc/37/58/91/1073375891.db2.gz KSCPYZWJIVZNSZ-UHFFFAOYSA-N 0 0 441.901 -0.439 20 0 IBADRN COc1cccc(/C=C(/C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n2nnnc2C)c1 ZINC000727391957 1073376000 /nfs/dbraw/zinc/37/60/00/1073376000.db2.gz KYSCEBBCZLTTMF-BOPFTXTBSA-N 0 0 438.452 -0.654 20 0 IBADRN COc1cccc(/C=C(\C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n2nnnc2C)c1 ZINC000727391958 1073376083 /nfs/dbraw/zinc/37/60/83/1073376083.db2.gz KYSCEBBCZLTTMF-GZTJUZNOSA-N 0 0 438.452 -0.654 20 0 IBADRN CC(C)n1ncc2cc(C=CC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c(=O)[nH]c21 ZINC000727391989 1073375975 /nfs/dbraw/zinc/37/59/75/1073375975.db2.gz LOUYAOTWIOBMJF-ARJAWSKDSA-N 0 0 425.453 -0.324 20 0 IBADRN CC(C)n1ncc2cc(C=CC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c(=O)[nH]c21 ZINC000727391992 1073376036 /nfs/dbraw/zinc/37/60/36/1073376036.db2.gz LOUYAOTWIOBMJF-ONEGZZNKSA-N 0 0 425.453 -0.324 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C2=NN(Cc3ccc(F)cc3)C(=O)CC2)CC1 ZINC000727392281 1073375922 /nfs/dbraw/zinc/37/59/22/1073375922.db2.gz NTEBPZQDGDAORN-UHFFFAOYSA-N 0 0 428.428 -0.338 20 0 IBADRN Cc1nnnn1/C(=C/c1ccc(F)cc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000727392282 1073376024 /nfs/dbraw/zinc/37/60/24/1073376024.db2.gz NTNFXLKJXSWYRF-MHWRWJLKSA-N 0 0 426.416 -0.524 20 0 IBADRN Cc1nnnn1/C(=C\c1ccc(F)cc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000727392283 1073376048 /nfs/dbraw/zinc/37/60/48/1073376048.db2.gz NTNFXLKJXSWYRF-YBEGLDIGSA-N 0 0 426.416 -0.524 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000727392518 1073375902 /nfs/dbraw/zinc/37/59/02/1073375902.db2.gz SUMRCPBCGDNKOP-UHFFFAOYSA-N 0 0 437.457 -0.763 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1F ZINC000727392709 1073375862 /nfs/dbraw/zinc/37/58/62/1073375862.db2.gz XDXRPVDFVPVSPU-UHFFFAOYSA-N 0 0 439.473 -0.517 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000727392713 1073375844 /nfs/dbraw/zinc/37/58/44/1073375844.db2.gz XIWDWWFERRALRX-UHFFFAOYSA-N 0 0 425.446 -0.953 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000727392855 1073376070 /nfs/dbraw/zinc/37/60/70/1073376070.db2.gz ZINBOKAMMNJYFI-UHFFFAOYSA-N 0 0 439.473 -0.517 20 0 IBADRN CC(C)(C)c1ccc(=O)n(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000727421549 1073376273 /nfs/dbraw/zinc/37/62/73/1073376273.db2.gz OLQJTYKUCQDEPO-AWEZNQCLSA-N 0 0 432.568 -0.367 20 0 IBADRN CC(C)(C)c1ccc(=O)n(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000727421550 1073376452 /nfs/dbraw/zinc/37/64/52/1073376452.db2.gz OLQJTYKUCQDEPO-CQSZACIVSA-N 0 0 432.568 -0.367 20 0 IBADRN C[C@@H](C1CC1)N(C)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727451285 1073376361 /nfs/dbraw/zinc/37/63/61/1073376361.db2.gz MRNQIBGMQRWZII-GXTWGEPZSA-N 0 0 429.586 -0.904 20 0 IBADRN C[C@@H](C1CC1)N(C)S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000727451300 1073376392 /nfs/dbraw/zinc/37/63/92/1073376392.db2.gz MRNQIBGMQRWZII-JSGCOSHPSA-N 0 0 429.586 -0.904 20 0 IBADRN C[C@H](C1CC1)N(C)S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000727451302 1073376254 /nfs/dbraw/zinc/37/62/54/1073376254.db2.gz MRNQIBGMQRWZII-OCCSQVGLSA-N 0 0 429.586 -0.904 20 0 IBADRN C[C@H](C1CC1)N(C)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727451303 1073376212 /nfs/dbraw/zinc/37/62/12/1073376212.db2.gz MRNQIBGMQRWZII-TZMCWYRMSA-N 0 0 429.586 -0.904 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)CC1 ZINC000727456448 1073376402 /nfs/dbraw/zinc/37/64/02/1073376402.db2.gz PEOWHEFTGBJYCN-UHFFFAOYSA-N 0 0 442.542 -0.119 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1 ZINC000727473610 1073376264 /nfs/dbraw/zinc/37/62/64/1073376264.db2.gz FDFYTXFGNZITOP-UHFFFAOYSA-N 0 0 427.425 -0.899 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCC(=O)N(C)CCc2ccccn2)CC1 ZINC000727480995 1073376414 /nfs/dbraw/zinc/37/64/14/1073376414.db2.gz LQCQIRQPESJVIR-UHFFFAOYSA-N 0 0 440.570 -0.004 20 0 IBADRN Cn1c(C(=O)OCC(=O)Nc2nnc([C@H]3CCCO3)o2)cc2c1n(C)c(=O)n(C)c2=O ZINC000727515188 1073376338 /nfs/dbraw/zinc/37/63/38/1073376338.db2.gz HXWMOBBIXQTFSB-LLVKDONJSA-N 0 0 432.393 -0.394 20 0 IBADRN Cn1c(C(=O)OCC(=O)Nc2nnc([C@@H]3CCCO3)o2)cc2c1n(C)c(=O)n(C)c2=O ZINC000727515189 1073376384 /nfs/dbraw/zinc/37/63/84/1073376384.db2.gz HXWMOBBIXQTFSB-NSHDSACASA-N 0 0 432.393 -0.394 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cnnc2)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000727517487 1073376288 /nfs/dbraw/zinc/37/62/88/1073376288.db2.gz MUBSHHNTHIFNFY-KRWDZBQOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cnnc2)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727517488 1073376350 /nfs/dbraw/zinc/37/63/50/1073376350.db2.gz MUBSHHNTHIFNFY-QGZVFWFLSA-N 0 0 433.490 -0.245 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)Nc2nnc([C@H]3CCCO3)o2)cn1C ZINC000727518127 1073376244 /nfs/dbraw/zinc/37/62/44/1073376244.db2.gz MPKQGWXXZNZVRX-LLVKDONJSA-N 0 0 442.454 -0.187 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)Nc2nnc([C@@H]3CCCO3)o2)cn1C ZINC000727518128 1073376317 /nfs/dbraw/zinc/37/63/17/1073376317.db2.gz MPKQGWXXZNZVRX-NSHDSACASA-N 0 0 442.454 -0.187 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)NC(=O)CN2)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000727523900 1073376326 /nfs/dbraw/zinc/37/63/26/1073376326.db2.gz KXJWMJFFOMFFLA-AWEZNQCLSA-N 0 0 436.490 -0.461 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)NC(=O)CN2)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000727523901 1073376465 /nfs/dbraw/zinc/37/64/65/1073376465.db2.gz KXJWMJFFOMFFLA-CQSZACIVSA-N 0 0 436.490 -0.461 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)CNC(=O)c2ccc(O)cc2)cc1 ZINC000727541789 1073376734 /nfs/dbraw/zinc/37/67/34/1073376734.db2.gz OLBFBPXBOUDJHP-UHFFFAOYSA-N 0 0 435.458 -0.329 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CNC(=O)c1ccc(O)cc1 ZINC000727542071 1073376299 /nfs/dbraw/zinc/37/62/99/1073376299.db2.gz LQLGZDHJAAUDKQ-UHFFFAOYSA-N 0 0 433.442 -0.098 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CNC(=O)c2ccc(O)cc2)c(=O)n(C)c1=O ZINC000727542154 1073376432 /nfs/dbraw/zinc/37/64/32/1073376432.db2.gz TZAVSHXCZOESMA-UHFFFAOYSA-N 0 0 432.433 -0.353 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)NCc2ccc(Cl)cc2)c(=O)n(C)c1=O ZINC000727543317 1073376825 /nfs/dbraw/zinc/37/68/25/1073376825.db2.gz DIZNBFYSFIJLEJ-UHFFFAOYSA-N 0 0 437.840 -0.455 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000727547501 1073376770 /nfs/dbraw/zinc/37/67/70/1073376770.db2.gz IMSKLBYJMASNGY-AWEZNQCLSA-N 0 0 428.449 -0.174 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000727547507 1073376634 /nfs/dbraw/zinc/37/66/34/1073376634.db2.gz IMSKLBYJMASNGY-CQSZACIVSA-N 0 0 428.449 -0.174 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000727548712 1073376691 /nfs/dbraw/zinc/37/66/91/1073376691.db2.gz VMHRIVPKKWPQMY-IBGZPJMESA-N 0 0 433.509 -0.388 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000727548714 1073376680 /nfs/dbraw/zinc/37/66/80/1073376680.db2.gz VMHRIVPKKWPQMY-LJQANCHMSA-N 0 0 433.509 -0.388 20 0 IBADRN CC(=O)N[C@H](CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1c(F)cccc1F ZINC000727574768 1073376655 /nfs/dbraw/zinc/37/66/55/1073376655.db2.gz YKJSXBVEOIBTHB-GFCCVEGCSA-N 0 0 438.387 -0.062 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1c(F)cccc1F ZINC000727574769 1073376724 /nfs/dbraw/zinc/37/67/24/1073376724.db2.gz YKJSXBVEOIBTHB-LBPRGKRZSA-N 0 0 438.387 -0.062 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000727601950 1073376667 /nfs/dbraw/zinc/37/66/67/1073376667.db2.gz FPVDUIGKXUVECO-CYBMUJFWSA-N 0 0 434.540 -0.383 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000727601951 1073376644 /nfs/dbraw/zinc/37/66/44/1073376644.db2.gz FPVDUIGKXUVECO-ZDUSSCGKSA-N 0 0 434.540 -0.383 20 0 IBADRN C[C@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000727602411 1073376753 /nfs/dbraw/zinc/37/67/53/1073376753.db2.gz NIYFHZJKSYEIGV-AWEZNQCLSA-N 0 0 448.567 -0.041 20 0 IBADRN C[C@@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000727602412 1073376763 /nfs/dbraw/zinc/37/67/63/1073376763.db2.gz NIYFHZJKSYEIGV-CQSZACIVSA-N 0 0 448.567 -0.041 20 0 IBADRN COC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1F ZINC000727612872 1073376780 /nfs/dbraw/zinc/37/67/80/1073376780.db2.gz SFKQXVLQYSCLBM-UHFFFAOYSA-N 0 0 435.412 -0.108 20 0 IBADRN COC(=O)Nc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1F ZINC000727613492 1073376714 /nfs/dbraw/zinc/37/67/14/1073376714.db2.gz AWSHMGGUOFGZFW-UHFFFAOYSA-N 0 0 431.446 -0.107 20 0 IBADRN COCCOc1ccc(F)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000727616750 1073376801 /nfs/dbraw/zinc/37/68/01/1073376801.db2.gz DGMIGSZJQPYOMU-UHFFFAOYSA-N 0 0 433.458 -0.432 20 0 IBADRN COC(=O)Nc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1F ZINC000727618297 1073376838 /nfs/dbraw/zinc/37/68/38/1073376838.db2.gz XMBAEAMCELZBNK-UHFFFAOYSA-N 0 0 432.430 -0.279 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)CC2)cn1 ZINC000727645867 1073377119 /nfs/dbraw/zinc/37/71/19/1073377119.db2.gz IHPWKRLIGRYGOD-UHFFFAOYSA-N 0 0 432.506 -0.114 20 0 IBADRN CCN(C(=O)CN1C(=O)N[C@](C)(c2cccc(C(N)=O)c2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000727656078 1073376966 /nfs/dbraw/zinc/37/69/66/1073376966.db2.gz MZJIFCULXCEHND-AUUYWEPGSA-N 0 0 436.490 -0.412 20 0 IBADRN CCN(C(=O)CN1C(=O)N[C@](C)(c2cccc(C(N)=O)c2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000727656079 1073377011 /nfs/dbraw/zinc/37/70/11/1073377011.db2.gz MZJIFCULXCEHND-IFXJQAMLSA-N 0 0 436.490 -0.412 20 0 IBADRN CCN(C(=O)CN1C(=O)N[C@@](C)(c2cccc(C(N)=O)c2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000727656083 1073377099 /nfs/dbraw/zinc/37/70/99/1073377099.db2.gz MZJIFCULXCEHND-KUHUBIRLSA-N 0 0 436.490 -0.412 20 0 IBADRN CCN(C(=O)CN1C(=O)N[C@@](C)(c2cccc(C(N)=O)c2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000727656084 1073377093 /nfs/dbraw/zinc/37/70/93/1073377093.db2.gz MZJIFCULXCEHND-LIRRHRJNSA-N 0 0 436.490 -0.412 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cccc(C(N)=O)c3)C2=O)CC1 ZINC000727656622 1073377133 /nfs/dbraw/zinc/37/71/33/1073377133.db2.gz FXGKIUOIKBGYBX-FQEVSTJZSA-N 0 0 431.449 -0.147 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3cccc(C(N)=O)c3)C2=O)CC1 ZINC000727656623 1073377033 /nfs/dbraw/zinc/37/70/33/1073377033.db2.gz FXGKIUOIKBGYBX-HXUWFJFHSA-N 0 0 431.449 -0.147 20 0 IBADRN C[C@@]1(c2cccc(C(N)=O)c2)NC(=O)N(CC(=O)N2CCN(C(=O)C3CC3)CC2)C1=O ZINC000727657765 1073376956 /nfs/dbraw/zinc/37/69/56/1073376956.db2.gz UWPYXNSIKOBHSG-NRFANRHFSA-N 0 0 427.461 -0.367 20 0 IBADRN C[C@]1(c2cccc(C(N)=O)c2)NC(=O)N(CC(=O)N2CCN(C(=O)C3CC3)CC2)C1=O ZINC000727657766 1073376944 /nfs/dbraw/zinc/37/69/44/1073376944.db2.gz UWPYXNSIKOBHSG-OAQYLSRUSA-N 0 0 427.461 -0.367 20 0 IBADRN CC(C)(CNC(=O)CN1C(=O)N[C@@](C)(c2cccc(C(N)=O)c2)C1=O)N1CCOCC1 ZINC000727658023 1073377072 /nfs/dbraw/zinc/37/70/72/1073377072.db2.gz VJBOTKFDLPFROZ-NRFANRHFSA-N 0 0 431.493 -0.221 20 0 IBADRN CC(C)(CNC(=O)CN1C(=O)N[C@](C)(c2cccc(C(N)=O)c2)C1=O)N1CCOCC1 ZINC000727658024 1073377144 /nfs/dbraw/zinc/37/71/44/1073377144.db2.gz VJBOTKFDLPFROZ-OAQYLSRUSA-N 0 0 431.493 -0.221 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C(=S)NCCc2cccnc2)CC1 ZINC000727698613 1073377172 /nfs/dbraw/zinc/37/71/72/1073377172.db2.gz OZYMGPHENHYXJE-HNNXBMFYSA-N 0 0 432.593 -0.367 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C(=S)NCCc2cccnc2)CC1 ZINC000727698614 1073377023 /nfs/dbraw/zinc/37/70/23/1073377023.db2.gz OZYMGPHENHYXJE-OAHLLOKOSA-N 0 0 432.593 -0.367 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=S)NCCN2CCOCC2)CC1 ZINC000727703709 1073377157 /nfs/dbraw/zinc/37/71/57/1073377157.db2.gz MVAISEFMPMOJDU-UHFFFAOYSA-N 0 0 427.596 -0.077 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=S)NCCNS(C)(=O)=O)CC1 ZINC000727703852 1073377108 /nfs/dbraw/zinc/37/71/08/1073377108.db2.gz PWKUACKZUCVOMS-UHFFFAOYSA-N 0 0 435.597 -0.860 20 0 IBADRN CCS(=O)(=O)CCNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000727719272 1073377049 /nfs/dbraw/zinc/37/70/49/1073377049.db2.gz YISCUMOMGRWMSK-UHFFFAOYSA-N 0 0 434.536 -0.191 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000727742909 1073376999 /nfs/dbraw/zinc/37/69/99/1073376999.db2.gz IRCRYRDJXYHULF-CMDGGOBGSA-N 0 0 447.517 -0.646 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000727742910 1073377042 /nfs/dbraw/zinc/37/70/42/1073377042.db2.gz IRCRYRDJXYHULF-HJWRWDBZSA-N 0 0 447.517 -0.646 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1CC(n2cncn2)C1 ZINC000727749768 1073377654 /nfs/dbraw/zinc/37/76/54/1073377654.db2.gz LFTWTJVLTXKDIP-UHFFFAOYSA-N 0 0 432.506 -0.025 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)COCC(=O)NCc2cccnc2)CC1 ZINC000727753521 1073377510 /nfs/dbraw/zinc/37/75/10/1073377510.db2.gz JURTZQUVPAEVKA-UHFFFAOYSA-N 0 0 427.527 -0.555 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000727753792 1073377560 /nfs/dbraw/zinc/37/75/60/1073377560.db2.gz STISQADSGMPQEK-HNNXBMFYSA-N 0 0 431.540 -0.553 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000727753793 1073377456 /nfs/dbraw/zinc/37/74/56/1073377456.db2.gz STISQADSGMPQEK-OAHLLOKOSA-N 0 0 431.540 -0.553 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000727754039 1073377491 /nfs/dbraw/zinc/37/74/91/1073377491.db2.gz BURJAUOIQIDYTF-KRWDZBQOSA-N 0 0 431.522 -0.165 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000727754040 1073377468 /nfs/dbraw/zinc/37/74/68/1073377468.db2.gz BURJAUOIQIDYTF-QGZVFWFLSA-N 0 0 431.522 -0.165 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2c(Cl)nc3sccn32)CC1)N1CCOCC1 ZINC000727756975 1073377433 /nfs/dbraw/zinc/37/74/33/1073377433.db2.gz BGIBSFSTWUPYTN-UHFFFAOYSA-N 0 0 447.926 -0.259 20 0 IBADRN COC(=O)CN(CC(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)CC(=O)OC ZINC000727758536 1073377549 /nfs/dbraw/zinc/37/75/49/1073377549.db2.gz AQFGVTURYHCOPB-UHFFFAOYSA-N 0 0 440.478 -0.256 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H]3Nc4ccccc4S(=O)(=O)N3)CC2)no1 ZINC000727765734 1073377569 /nfs/dbraw/zinc/37/75/69/1073377569.db2.gz PHKFTQJIPHXMLJ-KRWDZBQOSA-N 0 0 434.478 -0.204 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@@H]3Nc4ccccc4S(=O)(=O)N3)CC2)no1 ZINC000727765735 1073377529 /nfs/dbraw/zinc/37/75/29/1073377529.db2.gz PHKFTQJIPHXMLJ-QGZVFWFLSA-N 0 0 434.478 -0.204 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000727766135 1073376979 /nfs/dbraw/zinc/37/69/79/1073376979.db2.gz PVNMZZIDZOBVKJ-HNNXBMFYSA-N 0 0 428.478 -0.258 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000727766136 1073377057 /nfs/dbraw/zinc/37/70/57/1073377057.db2.gz PVNMZZIDZOBVKJ-OAHLLOKOSA-N 0 0 428.478 -0.258 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3csc(-c4nc[nH]n4)n3)CC2)CC1 ZINC000727766722 1073377423 /nfs/dbraw/zinc/37/74/23/1073377423.db2.gz FEEMFGDJYFCZJY-UHFFFAOYSA-N 0 0 440.555 -0.893 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC000727768959 1073377634 /nfs/dbraw/zinc/37/76/34/1073377634.db2.gz TZSHIHGPIDZJLJ-MSOLQXFVSA-N 0 0 444.488 -0.292 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000727769148 1073377539 /nfs/dbraw/zinc/37/75/39/1073377539.db2.gz YSUXCCGVRFQIJP-GOSISDBHSA-N 0 0 443.485 -0.395 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000727769149 1073377624 /nfs/dbraw/zinc/37/76/24/1073377624.db2.gz YSUXCCGVRFQIJP-SFHVURJKSA-N 0 0 443.485 -0.395 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000727771754 1073378073 /nfs/dbraw/zinc/37/80/73/1073378073.db2.gz AXJUKKFBYTYANU-CQSZACIVSA-N 0 0 437.497 -0.006 20 0 IBADRN Cc1sc(=O)n(CC(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c1C ZINC000727771883 1073377445 /nfs/dbraw/zinc/37/74/45/1073377445.db2.gz JUANJDCQQKOVNP-UHFFFAOYSA-N 0 0 435.506 -0.378 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)COc3ccc(C(N)=O)cc3)CC2)ccc1=O ZINC000727771911 1073377972 /nfs/dbraw/zinc/37/79/72/1073377972.db2.gz LDJYSCHAGUMUAG-UHFFFAOYSA-N 0 0 443.460 -0.741 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc4c(c3)OCC(=O)N4)CC2)ccc1=O ZINC000727772345 1073377520 /nfs/dbraw/zinc/37/75/20/1073377520.db2.gz BHTRXWKOPBHTLI-UHFFFAOYSA-N 0 0 441.444 -0.274 20 0 IBADRN C[C@H](NC(=O)c1ccccc1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000727772364 1073377599 /nfs/dbraw/zinc/37/75/99/1073377599.db2.gz BWSXBYVPEMQBLA-INIZCTEOSA-N 0 0 441.488 -0.100 20 0 IBADRN C[C@@H](NC(=O)c1ccccc1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000727772365 1073377643 /nfs/dbraw/zinc/37/76/43/1073377643.db2.gz BWSXBYVPEMQBLA-MRXNPFEDSA-N 0 0 441.488 -0.100 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc4c(c3)NC(=O)CO4)CC2)ccc1=O ZINC000727772678 1073378016 /nfs/dbraw/zinc/37/80/16/1073378016.db2.gz JJQWBQJRNBEGNB-UHFFFAOYSA-N 0 0 441.444 -0.274 20 0 IBADRN C[C@H](NC(=O)c1cccs1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000727773470 1073377896 /nfs/dbraw/zinc/37/78/96/1073377896.db2.gz RVZGUFSTUKDDJL-AWEZNQCLSA-N 0 0 447.517 -0.039 20 0 IBADRN C[C@@H](NC(=O)c1cccs1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000727773471 1073377933 /nfs/dbraw/zinc/37/79/33/1073377933.db2.gz RVZGUFSTUKDDJL-CQSZACIVSA-N 0 0 447.517 -0.039 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(OCC(N)=O)cc3)CC2)ccc1=O ZINC000727773615 1073378044 /nfs/dbraw/zinc/37/80/44/1073378044.db2.gz UPAVUMSIEBPTQS-UHFFFAOYSA-N 0 0 443.460 -0.741 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(CNC(N)=O)cc3)CC2)ccc1=O ZINC000727773635 1073377882 /nfs/dbraw/zinc/37/78/82/1073377882.db2.gz UWZQNNDJNWVICX-UHFFFAOYSA-N 0 0 442.476 -0.437 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=S)NC3CCOCC3)CC2)cc1 ZINC000727782105 1073377960 /nfs/dbraw/zinc/37/79/60/1073377960.db2.gz WYYWATIBGAVTLB-UHFFFAOYSA-N 0 0 448.592 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H]2CCCN2C(=O)OCC(F)(F)F)CC1 ZINC000727799141 1073379024 /nfs/dbraw/zinc/37/90/24/1073379024.db2.gz LNHQUYSRUOKFCI-GFCCVEGCSA-N 0 0 430.449 -0.157 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H]2CCCN2C(=O)OCC(F)(F)F)CC1 ZINC000727799142 1073379109 /nfs/dbraw/zinc/37/91/09/1073379109.db2.gz LNHQUYSRUOKFCI-LBPRGKRZSA-N 0 0 430.449 -0.157 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)OCC(F)(F)F)CC1 ZINC000727799670 1073378976 /nfs/dbraw/zinc/37/89/76/1073378976.db2.gz NXBQBXPDVHPQKP-GFCCVEGCSA-N 0 0 448.398 -0.031 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)OCC(F)(F)F)CC1 ZINC000727799687 1073378938 /nfs/dbraw/zinc/37/89/38/1073378938.db2.gz NXBQBXPDVHPQKP-LBPRGKRZSA-N 0 0 448.398 -0.031 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCCN1C(=O)OCC(F)(F)F ZINC000727802682 1073378853 /nfs/dbraw/zinc/37/88/53/1073378853.db2.gz XKLFIECMZBLTBB-GHMZBOCLSA-N 0 0 429.417 -0.089 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F ZINC000727802683 1073378703 /nfs/dbraw/zinc/37/87/03/1073378703.db2.gz XKLFIECMZBLTBB-MNOVXSKESA-N 0 0 429.417 -0.089 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F ZINC000727802685 1073379434 /nfs/dbraw/zinc/37/94/34/1073379434.db2.gz XKLFIECMZBLTBB-QWRGUYRKSA-N 0 0 429.417 -0.089 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCCN1C(=O)OCC(F)(F)F ZINC000727802687 1073379291 /nfs/dbraw/zinc/37/92/91/1073379291.db2.gz XKLFIECMZBLTBB-WDEREUQCSA-N 0 0 429.417 -0.089 20 0 IBADRN O=C(CCNC(=O)[C@H]1CCCN1C(=O)OCC(F)(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC000727802745 1073379413 /nfs/dbraw/zinc/37/94/13/1073379413.db2.gz YPYPRUJCZFYITQ-GHMZBOCLSA-N 0 0 429.417 -0.041 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC000727802749 1073379257 /nfs/dbraw/zinc/37/92/57/1073379257.db2.gz YPYPRUJCZFYITQ-MNOVXSKESA-N 0 0 429.417 -0.041 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F)N[C@H]1CCS(=O)(=O)C1 ZINC000727802751 1073379384 /nfs/dbraw/zinc/37/93/84/1073379384.db2.gz YPYPRUJCZFYITQ-QWRGUYRKSA-N 0 0 429.417 -0.041 20 0 IBADRN O=C(CCNC(=O)[C@H]1CCCN1C(=O)OCC(F)(F)F)N[C@H]1CCS(=O)(=O)C1 ZINC000727802753 1073379353 /nfs/dbraw/zinc/37/93/53/1073379353.db2.gz YPYPRUJCZFYITQ-WDEREUQCSA-N 0 0 429.417 -0.041 20 0 IBADRN Cn1cc(/C=C/C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000727805853 1073379364 /nfs/dbraw/zinc/37/93/64/1073379364.db2.gz CQURMEVPAZJNEP-VMPITWQZSA-N 0 0 441.488 -0.202 20 0 IBADRN Cn1cc(/C=C\C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000727805854 1073379342 /nfs/dbraw/zinc/37/93/42/1073379342.db2.gz CQURMEVPAZJNEP-YVMONPNESA-N 0 0 441.488 -0.202 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(CN2C(=O)NC3(CCOCC3)C2=O)CC1 ZINC000727817072 1073379274 /nfs/dbraw/zinc/37/92/74/1073379274.db2.gz HKOVLWWVYAXIML-UHFFFAOYSA-N 0 0 427.483 -0.344 20 0 IBADRN O=C1NC2(CCOCC2)C(=O)N1CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000727818225 1073379332 /nfs/dbraw/zinc/37/93/32/1073379332.db2.gz FWRDZIOVAQAJME-UHFFFAOYSA-N 0 0 448.505 -0.072 20 0 IBADRN O=C1NC2(CCOCC2)C(=O)N1CN1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000727819412 1073379310 /nfs/dbraw/zinc/37/93/10/1073379310.db2.gz VVHIJAOUTKSPCM-UHFFFAOYSA-N 0 0 433.490 -0.188 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)NC4(CCOCC4)C3=O)CC2)C[C@H](C)O1 ZINC000727821481 1073379286 /nfs/dbraw/zinc/37/92/86/1073379286.db2.gz WSRMVLPXSIRNGS-GASCZTMLSA-N 0 0 445.542 -0.984 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)NC4(CCOCC4)C3=O)CC2)C[C@H](C)O1 ZINC000727821482 1073379281 /nfs/dbraw/zinc/37/92/81/1073379281.db2.gz WSRMVLPXSIRNGS-GJZGRUSLSA-N 0 0 445.542 -0.984 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)NC4(CCOCC4)C3=O)CC2)C[C@@H](C)O1 ZINC000727821483 1073379813 /nfs/dbraw/zinc/37/98/13/1073379813.db2.gz WSRMVLPXSIRNGS-HUUCEWRRSA-N 0 0 445.542 -0.984 20 0 IBADRN CCCCn1c(N)c(N(CCOC)CN2C(=O)NC3(CCOCC3)C2=O)c(=O)[nH]c1=O ZINC000727821759 1073379843 /nfs/dbraw/zinc/37/98/43/1073379843.db2.gz PZEVFPXBGCCWRM-UHFFFAOYSA-N 0 0 438.485 -0.158 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CN2C(=O)NC3(CCOCC3)C2=O)CC1 ZINC000727825775 1073379304 /nfs/dbraw/zinc/37/93/04/1073379304.db2.gz ZAMDAYQXVRQXCK-UHFFFAOYSA-N 0 0 437.522 -0.225 20 0 IBADRN COCCS(=O)(=O)CCCN1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000727828378 1073379376 /nfs/dbraw/zinc/37/93/76/1073379376.db2.gz BMCGNYXFWBOLIB-HOTGVXAUSA-N 0 0 427.589 -0.591 20 0 IBADRN COCCS(=O)(=O)CCCN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000727828379 1073379265 /nfs/dbraw/zinc/37/92/65/1073379265.db2.gz BMCGNYXFWBOLIB-HZPDHXFCSA-N 0 0 427.589 -0.591 20 0 IBADRN COCCS(=O)(=O)CCCN1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000727828380 1073379426 /nfs/dbraw/zinc/37/94/26/1073379426.db2.gz BMCGNYXFWBOLIB-IYBDPMFKSA-N 0 0 427.589 -0.591 20 0 IBADRN CCS(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000727835685 1073379297 /nfs/dbraw/zinc/37/92/97/1073379297.db2.gz IGEBNOTWOOCFIC-UHFFFAOYSA-N 0 0 426.538 -0.580 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000727847744 1073379785 /nfs/dbraw/zinc/37/97/85/1073379785.db2.gz AUTGPTXVJNRNAY-UHFFFAOYSA-N 0 0 436.490 -0.595 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000727847941 1073379711 /nfs/dbraw/zinc/37/97/11/1073379711.db2.gz FVPWQGXEXPVLPM-UHFFFAOYSA-N 0 0 432.502 -0.052 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCn3cc[nH]c(=O)c3=O)CC2)cc1 ZINC000727848091 1073379723 /nfs/dbraw/zinc/37/97/23/1073379723.db2.gz XVAPZMPUWKHRGM-UHFFFAOYSA-N 0 0 434.474 -0.338 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000727848229 1073379737 /nfs/dbraw/zinc/37/97/37/1073379737.db2.gz JPZNKHBVMWVXGT-UHFFFAOYSA-N 0 0 428.417 -0.262 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CCn2cc[nH]c(=O)c2=O)CC1 ZINC000727848427 1073379702 /nfs/dbraw/zinc/37/97/02/1073379702.db2.gz MUEXVCKAPJSJGC-UHFFFAOYSA-N 0 0 425.467 -0.545 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)NCc1ccccc1OCC(=O)NC[C@@H]1CCCO1 ZINC000727849574 1073379821 /nfs/dbraw/zinc/37/98/21/1073379821.db2.gz FTHGVHCRXJCJPJ-INIZCTEOSA-N 0 0 430.461 -0.083 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)NCc1ccccc1OCC(=O)NC[C@H]1CCCO1 ZINC000727849575 1073379872 /nfs/dbraw/zinc/37/98/72/1073379872.db2.gz FTHGVHCRXJCJPJ-MRXNPFEDSA-N 0 0 430.461 -0.083 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000727852491 1073379753 /nfs/dbraw/zinc/37/97/53/1073379753.db2.gz WZBNPXSHQQYZAV-HNNXBMFYSA-N 0 0 436.490 -0.300 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000727852492 1073379763 /nfs/dbraw/zinc/37/97/63/1073379763.db2.gz WZBNPXSHQQYZAV-OAHLLOKOSA-N 0 0 436.490 -0.300 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000727852561 1073379797 /nfs/dbraw/zinc/37/97/97/1073379797.db2.gz ZFTSTNBIGGPRNU-UHFFFAOYSA-N 0 0 432.462 -0.664 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)CCn2cc[nH]c(=O)c2=O)C1 ZINC000727853608 1073379743 /nfs/dbraw/zinc/37/97/43/1073379743.db2.gz OPNGCJSVJMWOBM-AWEZNQCLSA-N 0 0 437.497 -0.194 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)CCn2cc[nH]c(=O)c2=O)C1 ZINC000727853609 1073379776 /nfs/dbraw/zinc/37/97/76/1073379776.db2.gz OPNGCJSVJMWOBM-CQSZACIVSA-N 0 0 437.497 -0.194 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCS(=O)(=O)CC)c1 ZINC000727860495 1073379719 /nfs/dbraw/zinc/37/97/19/1073379719.db2.gz JWWVYMQVTSVWHF-UHFFFAOYSA-N 0 0 433.552 -0.002 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCS(=O)(=O)CC)cc1 ZINC000727860869 1073379809 /nfs/dbraw/zinc/37/98/09/1073379809.db2.gz PHSFNOBYGXVFAM-UHFFFAOYSA-N 0 0 433.552 -0.002 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000727892008 1073380204 /nfs/dbraw/zinc/38/02/04/1073380204.db2.gz DRWNVMKZDCPDIZ-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000727892009 1073380311 /nfs/dbraw/zinc/38/03/11/1073380311.db2.gz DRWNVMKZDCPDIZ-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCc2nc(-c3ncccn3)no2)CC1 ZINC000727902545 1073380273 /nfs/dbraw/zinc/38/02/73/1073380273.db2.gz JJUIAXULMQLYPH-UHFFFAOYSA-N 0 0 431.453 -0.765 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCc1nc(-c3ncccn3)no1)c(=O)n2C ZINC000727902996 1073380295 /nfs/dbraw/zinc/38/02/95/1073380295.db2.gz OGWMHTXOXGAPHF-UHFFFAOYSA-N 0 0 440.420 -0.160 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCc3nc(-c4ncccn4)no3)c2c(=O)n(C)c1=O ZINC000727905722 1073380322 /nfs/dbraw/zinc/38/03/22/1073380322.db2.gz WCOSNBPAEDKIIP-UHFFFAOYSA-N 0 0 426.393 -0.550 20 0 IBADRN O=C(COC(=O)[C@H]1CCCOC1)N1CCN(C(=O)COC(=O)[C@H]2CCCOC2)CC1 ZINC000727906203 1073380401 /nfs/dbraw/zinc/38/04/01/1073380401.db2.gz DMPGJVUHLOWDNA-HOTGVXAUSA-N 0 0 426.466 -0.403 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCOC1)N1CCN(C(=O)COC(=O)[C@@H]2CCCOC2)CC1 ZINC000727906204 1073380252 /nfs/dbraw/zinc/38/02/52/1073380252.db2.gz DMPGJVUHLOWDNA-HZPDHXFCSA-N 0 0 426.466 -0.403 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCOC1)N1CCN(C(=O)COC(=O)[C@H]2CCCOC2)CC1 ZINC000727906205 1073380425 /nfs/dbraw/zinc/38/04/25/1073380425.db2.gz DMPGJVUHLOWDNA-IYBDPMFKSA-N 0 0 426.466 -0.403 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)CCN2CCCC2=O)c(N)n(Cc2ccccc2)c1=O ZINC000727914003 1073380348 /nfs/dbraw/zinc/38/03/48/1073380348.db2.gz LAIILXIYWQPIAZ-UHFFFAOYSA-N 0 0 428.445 -0.084 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CCN2CCCC2=O)CC1 ZINC000727914667 1073380412 /nfs/dbraw/zinc/38/04/12/1073380412.db2.gz YCGYRTVVASTULB-UHFFFAOYSA-N 0 0 442.494 -0.320 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN2C(=O)OCC(F)(F)F)c(=O)n(C)c1=O ZINC000727932004 1073380214 /nfs/dbraw/zinc/38/02/14/1073380214.db2.gz ZRFMVGVIPPKBMM-MRVPVSSYSA-N 0 0 436.343 -0.445 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN2C(=O)OCC(F)(F)F)c(=O)n(C)c1=O ZINC000727932005 1073380382 /nfs/dbraw/zinc/38/03/82/1073380382.db2.gz ZRFMVGVIPPKBMM-QMMMGPOBSA-N 0 0 436.343 -0.445 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCCS(C)(=O)=O)CC2)c1 ZINC000727937091 1073380265 /nfs/dbraw/zinc/38/02/65/1073380265.db2.gz RYIDWXJMRCFPBF-UHFFFAOYSA-N 0 0 439.537 -0.405 20 0 IBADRN CS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000727937173 1073380192 /nfs/dbraw/zinc/38/01/92/1073380192.db2.gz WFOSEJBDUILVLA-UHFFFAOYSA-N 0 0 430.508 -0.758 20 0 IBADRN CCS(=O)(=O)CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000727937988 1073380778 /nfs/dbraw/zinc/38/07/78/1073380778.db2.gz MDSCZKVCJXQKGL-UHFFFAOYSA-N 0 0 433.556 -0.733 20 0 IBADRN CCS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000727938479 1073380689 /nfs/dbraw/zinc/38/06/89/1073380689.db2.gz CCQAOLHMBOEXPR-UHFFFAOYSA-N 0 0 444.535 -0.368 20 0 IBADRN CCS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000727938622 1073380889 /nfs/dbraw/zinc/38/08/89/1073380889.db2.gz FZLGMEMWVPZDOC-KBPBESRZSA-N 0 0 426.561 -0.898 20 0 IBADRN CCS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000727938628 1073380822 /nfs/dbraw/zinc/38/08/22/1073380822.db2.gz FZLGMEMWVPZDOC-OKILXGFUSA-N 0 0 426.561 -0.898 20 0 IBADRN CCS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000727938629 1073380831 /nfs/dbraw/zinc/38/08/31/1073380831.db2.gz FZLGMEMWVPZDOC-ZIAGYGMSSA-N 0 0 426.561 -0.898 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccccc2N2CCOCC2)CC1 ZINC000727941170 1073380798 /nfs/dbraw/zinc/38/07/98/1073380798.db2.gz NVXFZZBLJBLXGF-UHFFFAOYSA-N 0 0 425.555 -0.100 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(OC)c1OC ZINC000727947052 1073380855 /nfs/dbraw/zinc/38/08/55/1073380855.db2.gz JORZTSSBRGJKQK-UHFFFAOYSA-N 0 0 431.467 -0.571 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2c(C)nn(CC)c2C)c(=O)n1CC(=O)N1CCOCC1 ZINC000727976715 1073380636 /nfs/dbraw/zinc/38/06/36/1073380636.db2.gz YWUPHKROPFJOGP-UHFFFAOYSA-N 0 0 448.545 -0.226 20 0 IBADRN CCNC(=O)N1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000727977830 1073380652 /nfs/dbraw/zinc/38/06/52/1073380652.db2.gz XXKXRJLAPJBCLH-UHFFFAOYSA-N 0 0 426.275 -0.234 20 0 IBADRN CC(C)NC(=O)CNC(=S)NNc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000727978391 1073380764 /nfs/dbraw/zinc/38/07/64/1073380764.db2.gz JVAZAXJHCHIGJC-UHFFFAOYSA-N 0 0 428.584 -0.062 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NNC(=S)NCCN3CCOCC3)cc2)CC1 ZINC000727979196 1073380877 /nfs/dbraw/zinc/38/08/77/1073380877.db2.gz IXCFACQVKRVALB-UHFFFAOYSA-N 0 0 442.611 -0.254 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000728000659 1073381210 /nfs/dbraw/zinc/38/12/10/1073381210.db2.gz NATBTUCJHFEILR-UHFFFAOYSA-N 0 0 435.462 -0.320 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000728020716 1073380703 /nfs/dbraw/zinc/38/07/03/1073380703.db2.gz ZTICKNHFEKUORU-KUJWUYCRSA-N 0 0 438.550 -0.226 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000728020717 1073380868 /nfs/dbraw/zinc/38/08/68/1073380868.db2.gz ZTICKNHFEKUORU-MRJCOXNESA-N 0 0 438.550 -0.226 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000728020718 1073381247 /nfs/dbraw/zinc/38/12/47/1073381247.db2.gz ZTICKNHFEKUORU-SSTBGOPISA-N 0 0 438.550 -0.226 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000728020719 1073381195 /nfs/dbraw/zinc/38/11/95/1073381195.db2.gz ZTICKNHFEKUORU-UDCCBSLUSA-N 0 0 438.550 -0.226 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000728022115 1073381344 /nfs/dbraw/zinc/38/13/44/1073381344.db2.gz MWKBMWJSVYSKDW-KUJWUYCRSA-N 0 0 446.595 -0.035 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000728022116 1073381199 /nfs/dbraw/zinc/38/11/99/1073381199.db2.gz MWKBMWJSVYSKDW-MRJCOXNESA-N 0 0 446.595 -0.035 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000728022117 1073381275 /nfs/dbraw/zinc/38/12/75/1073381275.db2.gz MWKBMWJSVYSKDW-SSTBGOPISA-N 0 0 446.595 -0.035 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000728022118 1073381253 /nfs/dbraw/zinc/38/12/53/1073381253.db2.gz MWKBMWJSVYSKDW-UDCCBSLUSA-N 0 0 446.595 -0.035 20 0 IBADRN CCCCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000728025920 1073381284 /nfs/dbraw/zinc/38/12/84/1073381284.db2.gz YVHFJCUGSAVMTI-AWEZNQCLSA-N 0 0 444.597 -0.748 20 0 IBADRN CCCCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000728025921 1073381319 /nfs/dbraw/zinc/38/13/19/1073381319.db2.gz YVHFJCUGSAVMTI-CQSZACIVSA-N 0 0 444.597 -0.748 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000728027043 1073381349 /nfs/dbraw/zinc/38/13/49/1073381349.db2.gz GGTQRMXGJUABJX-UHFFFAOYSA-N 0 0 445.563 -0.197 20 0 IBADRN COc1ccc(CC(=O)N(C)CCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000728027513 1073381325 /nfs/dbraw/zinc/38/13/25/1073381325.db2.gz ONLBGFXAYKKWFH-UHFFFAOYSA-N 0 0 434.536 -0.238 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N(C)CCS(C)(=O)=O)c1 ZINC000728027983 1073381258 /nfs/dbraw/zinc/38/12/58/1073381258.db2.gz RDIDUVUZOAHCPG-UHFFFAOYSA-N 0 0 433.552 -0.110 20 0 IBADRN Cc1ccccc1[C@@]1(C)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000728041789 1073381334 /nfs/dbraw/zinc/38/13/34/1073381334.db2.gz QEDPGCNNPJFPNC-JOCHJYFZSA-N 0 0 443.504 -0.277 20 0 IBADRN Cc1ccccc1[C@]1(C)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000728041794 1073381181 /nfs/dbraw/zinc/38/11/81/1073381181.db2.gz QEDPGCNNPJFPNC-QFIPXVFZSA-N 0 0 443.504 -0.277 20 0 IBADRN Cn1nc(Br)c2c1ncnc2N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000728050988 1073381296 /nfs/dbraw/zinc/38/12/96/1073381296.db2.gz XFVVVNXFSIYWJJ-UHFFFAOYSA-N 0 0 436.270 -0.077 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCS(C)(=O)=O)CC2)c1 ZINC000728053849 1073381270 /nfs/dbraw/zinc/38/12/70/1073381270.db2.gz LZCQCMJAQSTBJX-UHFFFAOYSA-N 0 0 445.563 -0.302 20 0 IBADRN CN(CCS(C)(=O)=O)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000728054009 1073381241 /nfs/dbraw/zinc/38/12/41/1073381241.db2.gz BOPZMQIQVKZBTO-KRWDZBQOSA-N 0 0 425.507 -0.526 20 0 IBADRN CN(CCS(C)(=O)=O)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000728054011 1073381225 /nfs/dbraw/zinc/38/12/25/1073381225.db2.gz BOPZMQIQVKZBTO-QGZVFWFLSA-N 0 0 425.507 -0.526 20 0 IBADRN Cc1ccc(NC(=O)CN(C)CCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000728054088 1073381664 /nfs/dbraw/zinc/38/16/64/1073381664.db2.gz HBAURLGHKBYCEA-UHFFFAOYSA-N 0 0 433.552 -0.069 20 0 IBADRN CN(CCS(C)(=O)=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000728054161 1073381710 /nfs/dbraw/zinc/38/17/10/1073381710.db2.gz QACDJVCWCKZLRP-UHFFFAOYSA-N 0 0 433.552 -0.700 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCS(C)(=O)=O)CC2)cc1 ZINC000728054343 1073381631 /nfs/dbraw/zinc/38/16/31/1073381631.db2.gz HRNPULZACNPIAY-UHFFFAOYSA-N 0 0 447.579 -0.105 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCS(C)(=O)=O)CC2)cc1 ZINC000728054369 1073381641 /nfs/dbraw/zinc/38/16/41/1073381641.db2.gz IFVNQHVZHLQTAE-UHFFFAOYSA-N 0 0 445.563 -0.302 20 0 IBADRN CCN(C(=O)CN(C)CCS(C)(=O)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000728054408 1073381657 /nfs/dbraw/zinc/38/16/57/1073381657.db2.gz JSKHPTNSTHIZFN-UHFFFAOYSA-N 0 0 437.522 -0.091 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCS(C)(=O)=O)CC2)cc1 ZINC000728054419 1073381765 /nfs/dbraw/zinc/38/17/65/1073381765.db2.gz KDKGMAFHKNHWPJ-UHFFFAOYSA-N 0 0 433.552 -0.496 20 0 IBADRN CN(CCS(C)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000728054701 1073381693 /nfs/dbraw/zinc/38/16/93/1073381693.db2.gz NVCFICKXEHWFLA-UHFFFAOYSA-N 0 0 443.591 -0.015 20 0 IBADRN CN(CCS(C)(=O)=O)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000728054713 1073381648 /nfs/dbraw/zinc/38/16/48/1073381648.db2.gz NYCHQCWCCCIFTD-UHFFFAOYSA-N 0 0 433.552 -0.700 20 0 IBADRN COc1ccc(NC(=O)CN(C)CCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000728054727 1073381678 /nfs/dbraw/zinc/38/16/78/1073381678.db2.gz OGFVBWAZCMKLEZ-UHFFFAOYSA-N 0 0 449.551 -0.369 20 0 IBADRN CN(CCS(C)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000728054748 1073381717 /nfs/dbraw/zinc/38/17/17/1073381717.db2.gz OTOPGVKMRBKWRV-UHFFFAOYSA-N 0 0 439.506 -0.226 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccccc3C=O)CC2)o1 ZINC000728056836 1073381700 /nfs/dbraw/zinc/38/17/00/1073381700.db2.gz FUKARUABAUASOU-UHFFFAOYSA-N 0 0 427.460 -0.114 20 0 IBADRN CN(CCS(C)(=O)=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000728060537 1073381310 /nfs/dbraw/zinc/38/13/10/1073381310.db2.gz TVUCEBPQHREAGH-UHFFFAOYSA-N 0 0 426.538 -0.627 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N(C)CCS(C)(=O)=O)cc1 ZINC000728081673 1073382508 /nfs/dbraw/zinc/38/25/08/1073382508.db2.gz LYWVLIOICFPTNJ-UHFFFAOYSA-N 0 0 433.552 -0.050 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C)CCS(C)(=O)=O)c1 ZINC000728081784 1072562309 /nfs/dbraw/zinc/56/23/09/1072562309.db2.gz QVELNQDDPFJATR-UHFFFAOYSA-N 0 0 433.552 -0.050 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC000728084180 1073381724 /nfs/dbraw/zinc/38/17/24/1073381724.db2.gz WONTWIRQCCDTJL-HFBAOOFYSA-N 0 0 441.531 -0.158 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC000728084181 1073381616 /nfs/dbraw/zinc/38/16/16/1073381616.db2.gz WONTWIRQCCDTJL-LYRGGWFBSA-N 0 0 441.531 -0.158 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](O)[C@H](O)c1nc2ccccc2s1 ZINC000728084182 1073381760 /nfs/dbraw/zinc/38/17/60/1073381760.db2.gz WONTWIRQCCDTJL-RLCCDNCMSA-N 0 0 441.531 -0.158 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](O)[C@H](O)c1nc2ccccc2s1 ZINC000728084183 1073381772 /nfs/dbraw/zinc/38/17/72/1073381772.db2.gz WONTWIRQCCDTJL-XFBWCDHKSA-N 0 0 441.531 -0.158 20 0 IBADRN C[C@@H]1Oc2ccc(C(=O)COC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cc2NC1=O ZINC000728087083 1073382182 /nfs/dbraw/zinc/38/21/82/1073382182.db2.gz RNZDDSFJVUHNJX-JTQLQIEISA-N 0 0 441.400 -0.421 20 0 IBADRN C[C@H]1Oc2ccc(C(=O)COC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cc2NC1=O ZINC000728087089 1073382168 /nfs/dbraw/zinc/38/21/68/1073382168.db2.gz RNZDDSFJVUHNJX-SNVBAGLBSA-N 0 0 441.400 -0.421 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC2CCOCC2)cc1 ZINC000728087397 1073382081 /nfs/dbraw/zinc/38/20/81/1073382081.db2.gz VITDTUABUBWCOU-UHFFFAOYSA-N 0 0 428.463 -0.020 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NCC(=O)c1ccccc1 ZINC000728087705 1073382249 /nfs/dbraw/zinc/38/22/49/1073382249.db2.gz ZQACLLKDLWQDAE-AWEZNQCLSA-N 0 0 435.458 -0.306 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NCC(=O)c1ccccc1 ZINC000728087708 1073382104 /nfs/dbraw/zinc/38/21/04/1073382104.db2.gz ZQACLLKDLWQDAE-CQSZACIVSA-N 0 0 435.458 -0.306 20 0 IBADRN C[C@@H]1Oc2ccc(C(=O)COC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cc2NC1=O ZINC000728088064 1073382119 /nfs/dbraw/zinc/38/21/19/1073382119.db2.gz CPKGIANGEMSPTC-JTQLQIEISA-N 0 0 441.400 -0.421 20 0 IBADRN C[C@H]1Oc2ccc(C(=O)COC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cc2NC1=O ZINC000728088065 1073382239 /nfs/dbraw/zinc/38/22/39/1073382239.db2.gz CPKGIANGEMSPTC-SNVBAGLBSA-N 0 0 441.400 -0.421 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)NC2CCOCC2)c1 ZINC000728088539 1073382132 /nfs/dbraw/zinc/38/21/32/1073382132.db2.gz JEUMTGGVDIWRKX-UHFFFAOYSA-N 0 0 429.495 -0.283 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)NC1CCOCC1 ZINC000728088683 1073382208 /nfs/dbraw/zinc/38/22/08/1073382208.db2.gz KZPCZENENREWIJ-UHFFFAOYSA-N 0 0 439.490 -0.070 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC1CCOCC1 ZINC000728089144 1073382071 /nfs/dbraw/zinc/38/20/71/1073382071.db2.gz OQHPUMUYDAZQQJ-UHFFFAOYSA-N 0 0 428.463 -0.035 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCC(=O)NC2CCOCC2)c1 ZINC000728090103 1073382229 /nfs/dbraw/zinc/38/22/29/1073382229.db2.gz XNBYALKFAPMQRF-UHFFFAOYSA-N 0 0 429.495 -0.207 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)NC2CCOCC2)c1 ZINC000728091095 1073382137 /nfs/dbraw/zinc/38/21/37/1073382137.db2.gz QCHSPGKIINYZRC-UHFFFAOYSA-N 0 0 427.479 -0.495 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)NC1CCOCC1 ZINC000728092456 1073382219 /nfs/dbraw/zinc/38/22/19/1073382219.db2.gz VNQBLCNWKZQTTK-CYBMUJFWSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)NC1CCOCC1 ZINC000728092457 1073382255 /nfs/dbraw/zinc/38/22/55/1073382255.db2.gz VNQBLCNWKZQTTK-ZDUSSCGKSA-N 0 0 441.506 -0.106 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NC1CCOCC1 ZINC000728093196 1073382261 /nfs/dbraw/zinc/38/22/61/1073382261.db2.gz QEVOIIDUOQIUFS-UHFFFAOYSA-N 0 0 425.463 -0.741 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NC1CCOCC1 ZINC000728093859 1073382271 /nfs/dbraw/zinc/38/22/71/1073382271.db2.gz VJSFVQZSMQSYJE-UHFFFAOYSA-N 0 0 425.463 -0.741 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCC(=O)NC3CCOCC3)cc2S1(=O)=O ZINC000728094656 1073382093 /nfs/dbraw/zinc/38/20/93/1073382093.db2.gz GBVSTIIGVRMJFE-UHFFFAOYSA-N 0 0 426.447 -0.071 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NCC(=O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000728095210 1073382156 /nfs/dbraw/zinc/38/21/56/1073382156.db2.gz MGUAWZXFZLVKFC-UHFFFAOYSA-N 0 0 427.417 -0.634 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCNC(=O)c2[nH]nc3ccccc32)CC1 ZINC000728100075 1073382190 /nfs/dbraw/zinc/38/21/90/1073382190.db2.gz BTPMCKRAXLXGAA-UHFFFAOYSA-N 0 0 444.492 -0.542 20 0 IBADRN CCCCCS(=O)(=O)CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000728104530 1073382539 /nfs/dbraw/zinc/38/25/39/1073382539.db2.gz XGUYKLHWFXQBQT-HNNXBMFYSA-N 0 0 438.568 -0.534 20 0 IBADRN CCCCCS(=O)(=O)CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000728104531 1073382672 /nfs/dbraw/zinc/38/26/72/1073382672.db2.gz XGUYKLHWFXQBQT-OAHLLOKOSA-N 0 0 438.568 -0.534 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000728110039 1073382643 /nfs/dbraw/zinc/38/26/43/1073382643.db2.gz NKLISZYVZPHPOW-UHFFFAOYSA-N 0 0 438.462 -0.142 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000728126851 1073382582 /nfs/dbraw/zinc/38/25/82/1073382582.db2.gz FEXKEQXPZYWISK-UHFFFAOYSA-N 0 0 425.554 -0.045 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000728129258 1073382598 /nfs/dbraw/zinc/38/25/98/1073382598.db2.gz USIXKARNNMWEPC-UHFFFAOYSA-N 0 0 433.556 -0.781 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)c(F)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000728138006 1073382615 /nfs/dbraw/zinc/38/26/15/1073382615.db2.gz AFHANVWPKHVMSW-UHFFFAOYSA-N 0 0 447.467 -0.450 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c(F)c2)CC1 ZINC000728139007 1073382590 /nfs/dbraw/zinc/38/25/90/1073382590.db2.gz AOGVNQGNBWVJDT-UHFFFAOYSA-N 0 0 449.483 -0.204 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccnc2OCCOC)CC1 ZINC000728140389 1073382566 /nfs/dbraw/zinc/38/25/66/1073382566.db2.gz RMFDADBALPFLSI-UHFFFAOYSA-N 0 0 443.526 -0.224 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c(F)c2)CC1 ZINC000728140656 1073382520 /nfs/dbraw/zinc/38/25/20/1073382520.db2.gz WKLJTZNIWZJWFP-UHFFFAOYSA-N 0 0 443.501 -0.449 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CCS(C)(=O)=O ZINC000728142358 1073382697 /nfs/dbraw/zinc/38/26/97/1073382697.db2.gz DKXIRMNQFCGTHF-UHFFFAOYSA-N 0 0 434.540 -0.556 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000728142699 1073382527 /nfs/dbraw/zinc/38/25/27/1073382527.db2.gz HLXWAOUYSXSBGJ-UHFFFAOYSA-N 0 0 446.551 -0.936 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N(C)CCS(C)(=O)=O ZINC000728143816 1073383009 /nfs/dbraw/zinc/38/30/09/1073383009.db2.gz TVZWDXNSNBWWCA-UHFFFAOYSA-N 0 0 433.508 -0.423 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(N2CCOCC2)c(F)c1 ZINC000728143939 1073382961 /nfs/dbraw/zinc/38/29/61/1073382961.db2.gz XYFDLEQGAAWEOI-UHFFFAOYSA-N 0 0 444.485 -0.621 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000728144303 1073382980 /nfs/dbraw/zinc/38/29/80/1073382980.db2.gz XEPBFXDWHMOZRM-UHFFFAOYSA-N 0 0 446.551 -0.936 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000728144444 1073383098 /nfs/dbraw/zinc/38/30/98/1073383098.db2.gz ZLDGOCKZKDRRMA-INIZCTEOSA-N 0 0 439.490 -0.858 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000728144445 1073383027 /nfs/dbraw/zinc/38/30/27/1073383027.db2.gz ZLDGOCKZKDRRMA-MRXNPFEDSA-N 0 0 439.490 -0.858 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N(C)CCS(C)(=O)=O ZINC000728144551 1073382914 /nfs/dbraw/zinc/38/29/14/1073382914.db2.gz FXEHEDMNTDZYCF-UHFFFAOYSA-N 0 0 447.535 -0.543 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000728149225 1073383106 /nfs/dbraw/zinc/38/31/06/1073383106.db2.gz VKYZGYQZFYNYBS-CHWSQXEVSA-N 0 0 430.504 -0.122 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000728149226 1073382936 /nfs/dbraw/zinc/38/29/36/1073382936.db2.gz VKYZGYQZFYNYBS-OLZOCXBDSA-N 0 0 430.504 -0.122 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000728149227 1073382957 /nfs/dbraw/zinc/38/29/57/1073382957.db2.gz VKYZGYQZFYNYBS-QWHCGFSZSA-N 0 0 430.504 -0.122 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000728149228 1073383056 /nfs/dbraw/zinc/38/30/56/1073383056.db2.gz VKYZGYQZFYNYBS-STQMWFEESA-N 0 0 430.504 -0.122 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000728150178 1073383033 /nfs/dbraw/zinc/38/30/33/1073383033.db2.gz APYLDMGFQURYEV-CMDGGOBGSA-N 0 0 436.476 -0.583 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000728150179 1073382905 /nfs/dbraw/zinc/38/29/05/1073382905.db2.gz APYLDMGFQURYEV-HJWRWDBZSA-N 0 0 436.476 -0.583 20 0 IBADRN O=C(Cc1csc(-c2nc[nH]n2)n1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000728151427 1073382973 /nfs/dbraw/zinc/38/29/73/1073382973.db2.gz CDWLIVDILOLYPL-UHFFFAOYSA-N 0 0 427.512 -0.808 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC(S(N)(=O)=O)CC2)C1=O ZINC000728152705 1073383234 /nfs/dbraw/zinc/38/32/34/1073383234.db2.gz LVFZUZGOCWEQNT-IBGZPJMESA-N 0 0 437.522 -0.286 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC(S(N)(=O)=O)CC2)C1=O ZINC000728152706 1073383297 /nfs/dbraw/zinc/38/32/97/1073383297.db2.gz LVFZUZGOCWEQNT-LJQANCHMSA-N 0 0 437.522 -0.286 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC(S(N)(=O)=O)CC3)C2=O)cc1 ZINC000728153047 1073383411 /nfs/dbraw/zinc/38/34/11/1073383411.db2.gz VWBFBNNUAUAVIK-GOSISDBHSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC(S(N)(=O)=O)CC3)C2=O)cc1 ZINC000728153048 1073383250 /nfs/dbraw/zinc/38/32/50/1073383250.db2.gz VWBFBNNUAUAVIK-SFHVURJKSA-N 0 0 439.494 -0.754 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)NC(=O)NC3=O)C1=O ZINC000728153302 1073383464 /nfs/dbraw/zinc/38/34/64/1073383464.db2.gz ZSQQYEDMCCTTEQ-FQEVSTJZSA-N 0 0 442.476 -0.365 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)NC(=O)NC3=O)C1=O ZINC000728153303 1073383310 /nfs/dbraw/zinc/38/33/10/1073383310.db2.gz ZSQQYEDMCCTTEQ-HXUWFJFHSA-N 0 0 442.476 -0.365 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccn3)C2=O)CC1 ZINC000728159292 1073383286 /nfs/dbraw/zinc/38/32/86/1073383286.db2.gz DLKZVJDYDFZPDD-NRFANRHFSA-N 0 0 430.509 -0.093 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccccn3)C2=O)CC1 ZINC000728159293 1073383274 /nfs/dbraw/zinc/38/32/74/1073383274.db2.gz DLKZVJDYDFZPDD-OAQYLSRUSA-N 0 0 430.509 -0.093 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccn3)C2=O)CC1 ZINC000728159336 1073383350 /nfs/dbraw/zinc/38/33/50/1073383350.db2.gz GHQJCDWVQZMBBJ-NRFANRHFSA-N 0 0 449.475 -0.126 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccccn3)C2=O)CC1 ZINC000728159337 1073383421 /nfs/dbraw/zinc/38/34/21/1073383421.db2.gz GHQJCDWVQZMBBJ-OAQYLSRUSA-N 0 0 449.475 -0.126 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC(N4CCNC4=O)CC3)C2=O)c1 ZINC000728172600 1073384251 /nfs/dbraw/zinc/38/42/51/1073384251.db2.gz DUQQHWPVMSMFSG-NRFANRHFSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC(N4CCNC4=O)CC3)C2=O)c1 ZINC000728172601 1073384349 /nfs/dbraw/zinc/38/43/49/1073384349.db2.gz DUQQHWPVMSMFSG-OAQYLSRUSA-N 0 0 444.492 -0.017 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000728172665 1073384181 /nfs/dbraw/zinc/38/41/81/1073384181.db2.gz IRYSIBMGTISBMU-MSOLQXFVSA-N 0 0 434.518 -0.306 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000728172666 1073384381 /nfs/dbraw/zinc/38/43/81/1073384381.db2.gz IRYSIBMGTISBMU-QZTJIDSGSA-N 0 0 434.518 -0.306 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000728172667 1073384242 /nfs/dbraw/zinc/38/42/42/1073384242.db2.gz IRYSIBMGTISBMU-ROUUACIJSA-N 0 0 434.518 -0.306 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000728172668 1073384276 /nfs/dbraw/zinc/38/42/76/1073384276.db2.gz IRYSIBMGTISBMU-ZWKOTPCHSA-N 0 0 434.518 -0.306 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H](N4CCNC4=O)C3)C2=O)c1 ZINC000728172749 1073384374 /nfs/dbraw/zinc/38/43/74/1073384374.db2.gz JYMBXMLPLXVARH-BTYIYWSLSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H](N4CCNC4=O)C3)C2=O)c1 ZINC000728172750 1073384343 /nfs/dbraw/zinc/38/43/43/1073384343.db2.gz JYMBXMLPLXVARH-QVKFZJNVSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H](N4CCNC4=O)C3)C2=O)c1 ZINC000728172751 1073384385 /nfs/dbraw/zinc/38/43/85/1073384385.db2.gz JYMBXMLPLXVARH-VFNWGFHPSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H](N4CCNC4=O)C3)C2=O)c1 ZINC000728172752 1073384369 /nfs/dbraw/zinc/38/43/69/1073384369.db2.gz JYMBXMLPLXVARH-YCRPNKLZSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC(C(=O)NCCO)CC3)C2=O)c1 ZINC000728173149 1073384284 /nfs/dbraw/zinc/38/42/84/1073384284.db2.gz PTBSTSSSAUSETJ-NRFANRHFSA-N 0 0 447.492 -0.686 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC(C(=O)NCCO)CC3)C2=O)c1 ZINC000728173151 1073384313 /nfs/dbraw/zinc/38/43/13/1073384313.db2.gz PTBSTSSSAUSETJ-OAQYLSRUSA-N 0 0 447.492 -0.686 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000728173197 1073384302 /nfs/dbraw/zinc/38/43/02/1073384302.db2.gz NIYSSQFOTIRRGC-NRFANRHFSA-N 0 0 447.492 -0.280 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000728173202 1073384214 /nfs/dbraw/zinc/38/42/14/1073384214.db2.gz NIYSSQFOTIRRGC-OAQYLSRUSA-N 0 0 447.492 -0.280 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000728173281 1073384362 /nfs/dbraw/zinc/38/43/62/1073384362.db2.gz OBPYVBPUWFXFLT-NRFANRHFSA-N 0 0 446.508 -0.753 20 0 IBADRN CCNC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000728173288 1073384226 /nfs/dbraw/zinc/38/42/26/1073384226.db2.gz OBPYVBPUWFXFLT-OAQYLSRUSA-N 0 0 446.508 -0.753 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000728197183 1070814256 /nfs/dbraw/zinc/81/42/56/1070814256.db2.gz TWEYBBUZYMGNJC-UHFFFAOYSA-N 0 0 430.502 -0.404 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000728198007 1070813405 /nfs/dbraw/zinc/81/34/05/1070813405.db2.gz AINBCJOUFGMQFW-UHFFFAOYSA-N 0 0 428.511 -0.611 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)CC1 ZINC000728198039 1070813993 /nfs/dbraw/zinc/81/39/93/1070813993.db2.gz BOMAPLFMSFNGRR-NRFANRHFSA-N 0 0 431.493 -0.640 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccccc3)C2=O)CC1 ZINC000728198040 1070814462 /nfs/dbraw/zinc/81/44/62/1070814462.db2.gz BOMAPLFMSFNGRR-OAQYLSRUSA-N 0 0 431.493 -0.640 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000728198173 1070814087 /nfs/dbraw/zinc/81/40/87/1070814087.db2.gz IUKFBCIKQUEGPP-JOCHJYFZSA-N 0 0 443.504 -0.713 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000728198174 1070814025 /nfs/dbraw/zinc/81/40/25/1070814025.db2.gz IUKFBCIKQUEGPP-QFIPXVFZSA-N 0 0 443.504 -0.713 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC000728199280 1070814220 /nfs/dbraw/zinc/81/42/20/1070814220.db2.gz SHEPPKLIVGIMPC-JOCHJYFZSA-N 0 0 445.520 -0.331 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC000728199282 1070813958 /nfs/dbraw/zinc/81/39/58/1070813958.db2.gz SHEPPKLIVGIMPC-QFIPXVFZSA-N 0 0 445.520 -0.331 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)N(C)OC)c2)CC1 ZINC000728201779 1070815426 /nfs/dbraw/zinc/81/54/26/1070815426.db2.gz WAHDZXFTTCVYAD-UHFFFAOYSA-N 0 0 428.511 -0.611 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC2CCN(CC(N)=O)CC2)C1 ZINC000728203264 1070818145 /nfs/dbraw/zinc/81/81/45/1070818145.db2.gz RKXNATRXBJHQLD-AWEZNQCLSA-N 0 0 426.543 -0.507 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC2CCN(CC(N)=O)CC2)C1 ZINC000728203265 1070817924 /nfs/dbraw/zinc/81/79/24/1070817924.db2.gz RKXNATRXBJHQLD-CQSZACIVSA-N 0 0 426.543 -0.507 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000728281239 1070909912 /nfs/dbraw/zinc/90/99/12/1070909912.db2.gz DALPAPITBHFBTQ-RMKNXTFCSA-N 0 0 431.537 -0.064 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000728281243 1070909728 /nfs/dbraw/zinc/90/97/28/1070909728.db2.gz DALPAPITBHFBTQ-TWGQIWQCSA-N 0 0 431.537 -0.064 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c(F)c1 ZINC000728295021 1070927808 /nfs/dbraw/zinc/92/78/08/1070927808.db2.gz NIFXDIQVWPYMRK-UHFFFAOYSA-N 0 0 445.516 -0.863 20 0 IBADRN O=C(NCCCN1CCOC1=O)c1cc(S(=O)(=O)NCCCN2CCOC2=O)c[nH]1 ZINC000728297115 1070929829 /nfs/dbraw/zinc/92/98/29/1070929829.db2.gz MXRHTGPYYUNIHA-UHFFFAOYSA-N 0 0 443.482 -0.293 20 0 IBADRN O=C1OCCN1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000728298056 1070932004 /nfs/dbraw/zinc/93/20/04/1070932004.db2.gz XRRIYEYCDAGDJM-UHFFFAOYSA-N 0 0 433.508 -0.172 20 0 IBADRN COc1ccc(S(=O)(=O)NCCCN2CCOC2=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000728298212 1070934237 /nfs/dbraw/zinc/93/42/37/1070934237.db2.gz YGTHLUKMSNNVPZ-KRWDZBQOSA-N 0 0 426.451 -0.130 20 0 IBADRN COc1ccc(S(=O)(=O)NCCCN2CCOC2=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000728298213 1070934252 /nfs/dbraw/zinc/93/42/52/1070934252.db2.gz YGTHLUKMSNNVPZ-QGZVFWFLSA-N 0 0 426.451 -0.130 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)CCn2cc[nH]c(=O)c2=O)cc1 ZINC000728306278 1070948829 /nfs/dbraw/zinc/94/88/29/1070948829.db2.gz CYQZNAGWORWZGP-UHFFFAOYSA-N 0 0 428.493 -0.224 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc2c(c1)CC(=O)N2C ZINC000728309156 1070949558 /nfs/dbraw/zinc/94/95/58/1070949558.db2.gz JUVQNKAAMKMZJI-HNNXBMFYSA-N 0 0 443.504 -0.021 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc2c(c1)CC(=O)N2C ZINC000728309158 1070949737 /nfs/dbraw/zinc/94/97/37/1070949737.db2.gz JUVQNKAAMKMZJI-OAHLLOKOSA-N 0 0 443.504 -0.021 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000728319156 1070963459 /nfs/dbraw/zinc/96/34/59/1070963459.db2.gz BAVYOBSNISVNGR-UHFFFAOYSA-N 0 0 428.445 -0.229 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCc1ccccc1COC(=O)Cn1ccc(=O)[nH]c1=O ZINC000728319916 1070963369 /nfs/dbraw/zinc/96/33/69/1070963369.db2.gz HPPDPRBMDPPPSJ-UHFFFAOYSA-N 0 0 442.384 -0.302 20 0 IBADRN O=C(COC(=O)c1ccc(NCCO)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000728326232 1070969927 /nfs/dbraw/zinc/96/99/27/1070969927.db2.gz XHJBJCITOODEHL-KRWDZBQOSA-N 0 0 425.507 -0.421 20 0 IBADRN O=C(COC(=O)c1ccc(NCCO)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000728326233 1070969872 /nfs/dbraw/zinc/96/98/72/1070969872.db2.gz XHJBJCITOODEHL-QGZVFWFLSA-N 0 0 425.507 -0.421 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)c2ccc(NS(C)(=O)=O)cc2)cn1 ZINC000728328511 1070973499 /nfs/dbraw/zinc/97/34/99/1070973499.db2.gz VSACCQCLRLOPIR-UHFFFAOYSA-N 0 0 430.464 -0.504 20 0 IBADRN Cn1c(C(=O)OCC(=O)c2ccc(NS(C)(=O)=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000728335855 1070976424 /nfs/dbraw/zinc/97/64/24/1070976424.db2.gz RURNUXLJVVKFKF-UHFFFAOYSA-N 0 0 448.457 -0.013 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000728339734 1070979418 /nfs/dbraw/zinc/97/94/18/1070979418.db2.gz ALJMXAUCDXHOQN-GFCCVEGCSA-N 0 0 430.483 -0.346 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000728339738 1070979334 /nfs/dbraw/zinc/97/93/34/1070979334.db2.gz ALJMXAUCDXHOQN-LBPRGKRZSA-N 0 0 430.483 -0.346 20 0 IBADRN CS(=O)(=O)Nc1ccc(C(=O)COC(=O)CCn2cc(S(N)(=O)=O)cn2)cc1 ZINC000728341179 1070979204 /nfs/dbraw/zinc/97/92/04/1070979204.db2.gz UAOWZFRYMPEDON-UHFFFAOYSA-N 0 0 430.464 -0.282 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000728343800 1070983190 /nfs/dbraw/zinc/98/31/90/1070983190.db2.gz GKGIYESPOAJNLK-GFCCVEGCSA-N 0 0 444.510 -0.260 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000728343802 1070983066 /nfs/dbraw/zinc/98/30/66/1070983066.db2.gz GKGIYESPOAJNLK-LBPRGKRZSA-N 0 0 444.510 -0.260 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)c2ccc(NS(C)(=O)=O)cc2)cn1C ZINC000728345019 1070984495 /nfs/dbraw/zinc/98/44/95/1070984495.db2.gz WEQUMHZQAVBURF-UHFFFAOYSA-N 0 0 444.491 -0.196 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000728366557 1071000993 /nfs/dbraw/zinc/00/09/93/1071000993.db2.gz COMCVARNCORCLU-LLVKDONJSA-N 0 0 439.432 -0.328 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000728366559 1071000951 /nfs/dbraw/zinc/00/09/51/1071000951.db2.gz COMCVARNCORCLU-NSHDSACASA-N 0 0 439.432 -0.328 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)cc1 ZINC000728367313 1071001996 /nfs/dbraw/zinc/00/19/96/1071001996.db2.gz NHUYMLYOBFTTJF-UHFFFAOYSA-N 0 0 435.458 -0.003 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000728368631 1071002886 /nfs/dbraw/zinc/00/28/86/1071002886.db2.gz LNNLFIKWVRAPRS-GFCCVEGCSA-N 0 0 439.494 -0.378 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000728368634 1071003054 /nfs/dbraw/zinc/00/30/54/1071003054.db2.gz LNNLFIKWVRAPRS-LBPRGKRZSA-N 0 0 439.494 -0.378 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000728370112 1071003151 /nfs/dbraw/zinc/00/31/51/1071003151.db2.gz SEWBZBUBSMPTHH-SECBINFHSA-N 0 0 428.405 -0.913 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000728370114 1071002977 /nfs/dbraw/zinc/00/29/77/1071002977.db2.gz SEWBZBUBSMPTHH-VIFPVBQESA-N 0 0 428.405 -0.913 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)Cc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000728370558 1071004219 /nfs/dbraw/zinc/00/42/19/1071004219.db2.gz SQUUIXZASYTHRJ-GXTWGEPZSA-N 0 0 432.520 -0.156 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)Cc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000728370564 1071003950 /nfs/dbraw/zinc/00/39/50/1071003950.db2.gz SQUUIXZASYTHRJ-JSGCOSHPSA-N 0 0 432.520 -0.156 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)Cc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000728370566 1071004451 /nfs/dbraw/zinc/00/44/51/1071004451.db2.gz SQUUIXZASYTHRJ-OCCSQVGLSA-N 0 0 432.520 -0.156 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)Cc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000728370568 1071004592 /nfs/dbraw/zinc/00/45/92/1071004592.db2.gz SQUUIXZASYTHRJ-TZMCWYRMSA-N 0 0 432.520 -0.156 20 0 IBADRN C[C@H](OC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000728370857 1071004007 /nfs/dbraw/zinc/00/40/07/1071004007.db2.gz UOGIQVKOOJTHTK-AWEZNQCLSA-N 0 0 433.490 -0.053 20 0 IBADRN C[C@@H](OC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000728370858 1071004086 /nfs/dbraw/zinc/00/40/86/1071004086.db2.gz UOGIQVKOOJTHTK-CQSZACIVSA-N 0 0 433.490 -0.053 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000728371367 1071007057 /nfs/dbraw/zinc/00/70/57/1071007057.db2.gz XWUZOFMYAUBDDL-AWEZNQCLSA-N 0 0 444.492 -0.543 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000728371368 1071007283 /nfs/dbraw/zinc/00/72/83/1071007283.db2.gz XWUZOFMYAUBDDL-CQSZACIVSA-N 0 0 444.492 -0.543 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cc1ccc(S(N)(=O)=O)cc1)c(=O)n2C ZINC000728372513 1071007829 /nfs/dbraw/zinc/00/78/29/1071007829.db2.gz RWAAAYQELDTIOL-UHFFFAOYSA-N 0 0 435.462 -0.743 20 0 IBADRN CC(C)[C@H](OC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000728373005 1071007689 /nfs/dbraw/zinc/00/76/89/1071007689.db2.gz VBGVODDPXNGYDF-BBRMVZONSA-N 0 0 432.520 -0.252 20 0 IBADRN CC(C)[C@H](OC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000728373006 1071007895 /nfs/dbraw/zinc/00/78/95/1071007895.db2.gz VBGVODDPXNGYDF-CJNGLKHVSA-N 0 0 432.520 -0.252 20 0 IBADRN CC(C)[C@@H](OC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000728373007 1071007810 /nfs/dbraw/zinc/00/78/10/1071007810.db2.gz VBGVODDPXNGYDF-CZUORRHYSA-N 0 0 432.520 -0.252 20 0 IBADRN CC(C)[C@@H](OC(=O)Cc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000728373008 1071007791 /nfs/dbraw/zinc/00/77/91/1071007791.db2.gz VBGVODDPXNGYDF-XJKSGUPXSA-N 0 0 432.520 -0.252 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000728381847 1071014961 /nfs/dbraw/zinc/01/49/61/1071014961.db2.gz ZJIHSWJAHHWNCW-UHFFFAOYSA-N 0 0 439.534 -0.202 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C[C@H](c2ccccc2)N2CCOC2=O)c(=O)n(C)c1=O ZINC000728382152 1072595073 /nfs/dbraw/zinc/59/50/73/1072595073.db2.gz WFUCZGOHXXLNFW-CYBMUJFWSA-N 0 0 430.417 -0.024 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C[C@@H](c2ccccc2)N2CCOC2=O)c(=O)n(C)c1=O ZINC000728382153 1071013941 /nfs/dbraw/zinc/01/39/41/1071013941.db2.gz WFUCZGOHXXLNFW-ZDUSSCGKSA-N 0 0 430.417 -0.024 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)C[C@H]2O ZINC000728386676 1071019135 /nfs/dbraw/zinc/01/91/35/1071019135.db2.gz HMHKCCVFYGLPDZ-GFCCVEGCSA-N 0 0 429.433 -0.473 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)C[C@@H]2O ZINC000728386677 1071019193 /nfs/dbraw/zinc/01/91/93/1071019193.db2.gz HMHKCCVFYGLPDZ-LBPRGKRZSA-N 0 0 429.433 -0.473 20 0 IBADRN O=C(NCCCN1CCOC1=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000728396031 1071024198 /nfs/dbraw/zinc/02/41/98/1071024198.db2.gz QLGHXERYBOEVOY-UHFFFAOYSA-N 0 0 440.526 -0.325 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCCN3CCOC3=O)CC2)o1 ZINC000728396319 1071024767 /nfs/dbraw/zinc/02/47/67/1071024767.db2.gz DLTCMWLUDLJYKD-UHFFFAOYSA-N 0 0 429.455 -0.763 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCOC3=O)CC2)C[C@H](C)O1 ZINC000728401233 1071025842 /nfs/dbraw/zinc/02/58/42/1071025842.db2.gz OYQHRXGDSUPERF-GASCZTMLSA-N 0 0 433.531 -0.490 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCOC3=O)CC2)C[C@H](C)O1 ZINC000728401236 1072596039 /nfs/dbraw/zinc/59/60/39/1072596039.db2.gz OYQHRXGDSUPERF-GJZGRUSLSA-N 0 0 433.531 -0.490 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCN3CCOC3=O)CC2)C[C@@H](C)O1 ZINC000728401237 1072596251 /nfs/dbraw/zinc/59/62/51/1072596251.db2.gz OYQHRXGDSUPERF-HUUCEWRRSA-N 0 0 433.531 -0.490 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)oc(=O)n3C)CC1 ZINC000728403054 1071026554 /nfs/dbraw/zinc/02/65/54/1071026554.db2.gz HCPQJZARFMHNDH-UHFFFAOYSA-N 0 0 439.494 -0.199 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000728404469 1071027841 /nfs/dbraw/zinc/02/78/41/1071027841.db2.gz FPHOXMOQWMCUQW-UHFFFAOYSA-N 0 0 447.492 -0.354 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000728405011 1071027049 /nfs/dbraw/zinc/02/70/49/1071027049.db2.gz OSHILXJGUBAGDM-UHFFFAOYSA-N 0 0 446.552 -0.180 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCCN3CCOC3=O)cc2)CC1 ZINC000728405646 1071029963 /nfs/dbraw/zinc/02/99/63/1071029963.db2.gz ZCFOODOXHZIEMY-UHFFFAOYSA-N 0 0 431.493 -0.100 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCCNC(=O)C3)c2)CC1 ZINC000728406077 1071028918 /nfs/dbraw/zinc/02/89/18/1071028918.db2.gz UFHHZKMDMPXXKB-UHFFFAOYSA-N 0 0 437.522 -0.700 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCN1CCOC1=O ZINC000728406420 1071031348 /nfs/dbraw/zinc/03/13/48/1071031348.db2.gz DXMSFTOKWAQYIS-UHFFFAOYSA-N 0 0 428.467 -0.158 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCN1CCOC1=O ZINC000728406629 1071030913 /nfs/dbraw/zinc/03/09/13/1071030913.db2.gz JQLMTZVZGCITOW-UHFFFAOYSA-N 0 0 441.510 -0.100 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCOC2=O)cc1 ZINC000728406641 1071030937 /nfs/dbraw/zinc/03/09/37/1071030937.db2.gz KCOSMIHNKUNQQA-CYBMUJFWSA-N 0 0 442.494 -0.103 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCOC2=O)cc1 ZINC000728406642 1071030919 /nfs/dbraw/zinc/03/09/19/1071030919.db2.gz KCOSMIHNKUNQQA-ZDUSSCGKSA-N 0 0 442.494 -0.103 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000728406811 1071031024 /nfs/dbraw/zinc/03/10/24/1071031024.db2.gz MWBMAOFMNZLQSQ-KRWDZBQOSA-N 0 0 446.460 -0.402 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000728406812 1071030962 /nfs/dbraw/zinc/03/09/62/1071030962.db2.gz MWBMAOFMNZLQSQ-QGZVFWFLSA-N 0 0 446.460 -0.402 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCCN1CCOC1=O ZINC000728406846 1071030975 /nfs/dbraw/zinc/03/09/75/1071030975.db2.gz OCRXNNURVZBWKJ-UHFFFAOYSA-N 0 0 447.492 -0.020 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)NCCCN2CCOC2=O)cc1 ZINC000728406848 1071030932 /nfs/dbraw/zinc/03/09/32/1071030932.db2.gz OFKQNLUDXPIIAW-UHFFFAOYSA-N 0 0 433.465 -0.146 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000728406849 1071030995 /nfs/dbraw/zinc/03/09/95/1071030995.db2.gz OGAZKKUNYPPJJU-UHFFFAOYSA-N 0 0 440.478 -0.396 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000728407382 1071032717 /nfs/dbraw/zinc/03/27/17/1071032717.db2.gz VATKXVAJXRQAJK-UHFFFAOYSA-N 0 0 440.478 -0.396 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=S)NCCNS(C)(=O)=O)CC2)cs1 ZINC000728453639 1071061373 /nfs/dbraw/zinc/06/13/73/1071061373.db2.gz LXCIZBXNKSGFLS-UHFFFAOYSA-N 0 0 427.599 -0.818 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000728467364 1071067157 /nfs/dbraw/zinc/06/71/57/1071067157.db2.gz IHMUHQWIONMQSI-INIZCTEOSA-N 0 0 447.517 -0.593 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000728467365 1071067464 /nfs/dbraw/zinc/06/74/64/1071067464.db2.gz IHMUHQWIONMQSI-MRXNPFEDSA-N 0 0 447.517 -0.593 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000728468137 1071066528 /nfs/dbraw/zinc/06/65/28/1071066528.db2.gz GQLMVZSYWPUNOD-HNNXBMFYSA-N 0 0 439.513 -0.691 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000728468138 1071066546 /nfs/dbraw/zinc/06/65/46/1071066546.db2.gz GQLMVZSYWPUNOD-OAHLLOKOSA-N 0 0 439.513 -0.691 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000728475497 1071072744 /nfs/dbraw/zinc/07/27/44/1071072744.db2.gz CLJFMGNCQDPWDW-CYBMUJFWSA-N 0 0 428.561 -0.039 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000728475498 1071072632 /nfs/dbraw/zinc/07/26/32/1071072632.db2.gz CLJFMGNCQDPWDW-ZDUSSCGKSA-N 0 0 428.561 -0.039 20 0 IBADRN Cn1c2ncc(S(=O)(=O)Nc3cc(S(N)(=O)=O)ccc3F)cc2c(=O)[nH]c1=O ZINC000728506073 1071117894 /nfs/dbraw/zinc/11/78/94/1071117894.db2.gz ZNMZGURRZKWFDY-UHFFFAOYSA-N 0 0 429.411 -0.379 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000728516407 1071118952 /nfs/dbraw/zinc/11/89/52/1071118952.db2.gz SWCNQSUAFUGQFJ-INIZCTEOSA-N 0 0 425.530 -0.218 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000728516408 1071118727 /nfs/dbraw/zinc/11/87/27/1071118727.db2.gz SWCNQSUAFUGQFJ-MRXNPFEDSA-N 0 0 425.530 -0.218 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)cs1 ZINC000728555902 1071156510 /nfs/dbraw/zinc/15/65/10/1071156510.db2.gz LUWZADVSCSIANR-PLNGDYQASA-N 0 0 439.519 -0.605 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)cs1 ZINC000728555903 1071156584 /nfs/dbraw/zinc/15/65/84/1071156584.db2.gz LUWZADVSCSIANR-SNAWJCMRSA-N 0 0 439.519 -0.605 20 0 IBADRN COC(=O)CN(CC(=O)OC)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000728559693 1071157381 /nfs/dbraw/zinc/15/73/81/1071157381.db2.gz DJAMIPDAIIXFLM-GOSISDBHSA-N 0 0 441.462 -0.537 20 0 IBADRN COC(=O)CN(CC(=O)OC)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000728559695 1071157459 /nfs/dbraw/zinc/15/74/59/1071157459.db2.gz DJAMIPDAIIXFLM-SFHVURJKSA-N 0 0 441.462 -0.537 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCO[C@H](C(=O)OC)C2)c1=O ZINC000728563227 1071160441 /nfs/dbraw/zinc/16/04/41/1071160441.db2.gz AGSISKXHLXACDN-INIZCTEOSA-N 0 0 426.495 -0.096 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCO[C@@H](C(=O)OC)C2)c1=O ZINC000728563228 1071160488 /nfs/dbraw/zinc/16/04/88/1071160488.db2.gz AGSISKXHLXACDN-MRXNPFEDSA-N 0 0 426.495 -0.096 20 0 IBADRN COC(=O)[C@@H]1CN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CCO1 ZINC000728565663 1071165396 /nfs/dbraw/zinc/16/53/96/1071165396.db2.gz YKUCVXQLJYBNLK-KBXCAEBGSA-N 0 0 425.463 -0.312 20 0 IBADRN COC(=O)[C@H]1CN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CCO1 ZINC000728565964 1071164638 /nfs/dbraw/zinc/16/46/38/1071164638.db2.gz YKUCVXQLJYBNLK-KDOFPFPSSA-N 0 0 425.463 -0.312 20 0 IBADRN COC(=O)[C@@H]1CN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CCO1 ZINC000728565965 1071164614 /nfs/dbraw/zinc/16/46/14/1071164614.db2.gz YKUCVXQLJYBNLK-KSSFIOAISA-N 0 0 425.463 -0.312 20 0 IBADRN COC(=O)[C@H]1CN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CCO1 ZINC000728565966 1071164552 /nfs/dbraw/zinc/16/45/52/1071164552.db2.gz YKUCVXQLJYBNLK-RDTXWAMCSA-N 0 0 425.463 -0.312 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CN3C(=O)NC4(CCOCC4)C3=O)CC2)cs1 ZINC000728567676 1071168456 /nfs/dbraw/zinc/16/84/56/1071168456.db2.gz NLGLVNBCMAKXMT-UHFFFAOYSA-N 0 0 429.524 -0.184 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000728580500 1071209179 /nfs/dbraw/zinc/20/91/79/1071209179.db2.gz GFOXHORSVUFWPT-LLVKDONJSA-N 0 0 436.483 -0.026 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000728580501 1071210069 /nfs/dbraw/zinc/21/00/69/1071210069.db2.gz GFOXHORSVUFWPT-NSHDSACASA-N 0 0 436.483 -0.026 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1c(Cl)nc2sccn21 ZINC000728580545 1071210171 /nfs/dbraw/zinc/21/01/71/1071210171.db2.gz IGADQGSKTKTHIS-MRVPVSSYSA-N 0 0 426.929 -0.027 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1c(Cl)nc2sccn21 ZINC000728580546 1071210077 /nfs/dbraw/zinc/21/00/77/1071210077.db2.gz IGADQGSKTKTHIS-QMMMGPOBSA-N 0 0 426.929 -0.027 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000728581322 1071210367 /nfs/dbraw/zinc/21/03/67/1071210367.db2.gz XDTVHCUGCOVGHB-LLVKDONJSA-N 0 0 436.483 -0.074 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000728581323 1071210354 /nfs/dbraw/zinc/21/03/54/1071210354.db2.gz XDTVHCUGCOVGHB-NSHDSACASA-N 0 0 436.483 -0.074 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc(C(=O)OC)c(F)cc1Br ZINC000728583427 1071213361 /nfs/dbraw/zinc/21/33/61/1071213361.db2.gz SXIXQPBLILHKJX-UHFFFAOYSA-N 0 0 441.231 -0.058 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000728584204 1071214212 /nfs/dbraw/zinc/21/42/12/1071214212.db2.gz AQDXHRRCECSBHO-UHFFFAOYSA-N 0 0 437.515 -0.142 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2c(Cl)nc3sccn32)CC1 ZINC000728585577 1071218346 /nfs/dbraw/zinc/21/83/46/1071218346.db2.gz WCYKLKOKTFVLTN-UHFFFAOYSA-N 0 0 427.961 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1)NC1CCCCC1 ZINC000728597591 1071254465 /nfs/dbraw/zinc/25/44/65/1071254465.db2.gz VGYGTAOPGFJUQF-UHFFFAOYSA-N 0 0 445.586 -0.576 20 0 IBADRN CNC(=O)c1ccc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000728679555 1071324100 /nfs/dbraw/zinc/32/41/00/1071324100.db2.gz SSRRTGLLZSYBCD-CABCVRRESA-N 0 0 439.490 -0.207 20 0 IBADRN CNC(=O)c1ccc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000728679558 1071323711 /nfs/dbraw/zinc/32/37/11/1071323711.db2.gz SSRRTGLLZSYBCD-GJZGRUSLSA-N 0 0 439.490 -0.207 20 0 IBADRN CNC(=O)c1ccc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000728679561 1071324117 /nfs/dbraw/zinc/32/41/17/1071324117.db2.gz SSRRTGLLZSYBCD-HUUCEWRRSA-N 0 0 439.490 -0.207 20 0 IBADRN CNC(=O)c1ccc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000728679564 1071324068 /nfs/dbraw/zinc/32/40/68/1071324068.db2.gz SSRRTGLLZSYBCD-LSDHHAIUSA-N 0 0 439.490 -0.207 20 0 IBADRN CNC(=O)c1ccc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1 ZINC000728679654 1071324553 /nfs/dbraw/zinc/32/45/53/1071324553.db2.gz BOYLRZRSAYNABC-UHFFFAOYSA-N 0 0 438.448 -0.377 20 0 IBADRN CNC(=O)c1ccc(C(=O)O[C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000728679825 1071324328 /nfs/dbraw/zinc/32/43/28/1071324328.db2.gz KZDBZCGXRGXKAE-GFCCVEGCSA-N 0 0 434.474 -0.007 20 0 IBADRN CNC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000728679826 1071324532 /nfs/dbraw/zinc/32/45/32/1071324532.db2.gz KZDBZCGXRGXKAE-LBPRGKRZSA-N 0 0 434.474 -0.007 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccnc2Cl)c(=O)n1CC(=O)NCCOC ZINC000728695718 1071331605 /nfs/dbraw/zinc/33/16/05/1071331605.db2.gz CXBORHMSHRXCAZ-UHFFFAOYSA-N 0 0 425.894 -0.107 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccnc2Cl)c(=O)n1CC(=O)N1CCOCC1 ZINC000728696454 1071330912 /nfs/dbraw/zinc/33/09/12/1071330912.db2.gz RPVOEBQKMXJSLX-UHFFFAOYSA-N 0 0 437.905 -0.011 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000728696894 1071331450 /nfs/dbraw/zinc/33/14/50/1071331450.db2.gz APCVPSKNVFQASJ-BHYGNILZSA-N 0 0 425.507 -0.085 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000728696895 1071331553 /nfs/dbraw/zinc/33/15/53/1071331553.db2.gz APCVPSKNVFQASJ-UAGQMJEPSA-N 0 0 425.507 -0.085 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000728696896 1071332087 /nfs/dbraw/zinc/33/20/87/1071332087.db2.gz APCVPSKNVFQASJ-USXIJHARSA-N 0 0 425.507 -0.085 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000728696897 1071332304 /nfs/dbraw/zinc/33/23/04/1071332304.db2.gz APCVPSKNVFQASJ-XIRDDKMYSA-N 0 0 425.507 -0.085 20 0 IBADRN CC(C)n1ncc2cc(C=CC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c(=O)[nH]c21 ZINC000728697458 1071332074 /nfs/dbraw/zinc/33/20/74/1071332074.db2.gz GXXZXZZNNKAGAJ-DSLCMKTCSA-N 0 0 449.533 -0.067 20 0 IBADRN CC(C)n1ncc2cc(C=CC(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c(=O)[nH]c21 ZINC000728697459 1071332154 /nfs/dbraw/zinc/33/21/54/1071332154.db2.gz GXXZXZZNNKAGAJ-MULDZMIYSA-N 0 0 449.533 -0.067 20 0 IBADRN CC(C)n1ncc2cc(C=CC(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)c(=O)[nH]c21 ZINC000728697460 1071332042 /nfs/dbraw/zinc/33/20/42/1071332042.db2.gz GXXZXZZNNKAGAJ-NMBNSIPYSA-N 0 0 449.533 -0.067 20 0 IBADRN CC(C)n1ncc2cc(C=CC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)c(=O)[nH]c21 ZINC000728697461 1071332334 /nfs/dbraw/zinc/33/23/34/1071332334.db2.gz GXXZXZZNNKAGAJ-YRLOJYSSSA-N 0 0 449.533 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000728697702 1071332323 /nfs/dbraw/zinc/33/23/23/1071332323.db2.gz HUKHXMDDXVNCOS-CVEARBPZSA-N 0 0 449.526 -0.696 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000728697703 1071332285 /nfs/dbraw/zinc/33/22/85/1071332285.db2.gz HUKHXMDDXVNCOS-HOTGVXAUSA-N 0 0 449.526 -0.696 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000728697704 1071332140 /nfs/dbraw/zinc/33/21/40/1071332140.db2.gz HUKHXMDDXVNCOS-HZPDHXFCSA-N 0 0 449.526 -0.696 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000728697705 1071332058 /nfs/dbraw/zinc/33/20/58/1071332058.db2.gz HUKHXMDDXVNCOS-JKSUJKDBSA-N 0 0 449.526 -0.696 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000728697736 1071332735 /nfs/dbraw/zinc/33/27/35/1071332735.db2.gz IJZPELAXQSAZGC-CVEARBPZSA-N 0 0 449.526 -0.649 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000728697737 1071332802 /nfs/dbraw/zinc/33/28/02/1071332802.db2.gz IJZPELAXQSAZGC-HOTGVXAUSA-N 0 0 449.526 -0.649 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000728697738 1071332767 /nfs/dbraw/zinc/33/27/67/1071332767.db2.gz IJZPELAXQSAZGC-HZPDHXFCSA-N 0 0 449.526 -0.649 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000728697739 1071333264 /nfs/dbraw/zinc/33/32/64/1071333264.db2.gz IJZPELAXQSAZGC-JKSUJKDBSA-N 0 0 449.526 -0.649 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C1=NN(Cc2ccccc2)C(=O)CC1 ZINC000728698997 1071332639 /nfs/dbraw/zinc/33/26/39/1071332639.db2.gz QERFHFDBEOMSTC-MSOLQXFVSA-N 0 0 434.518 -0.221 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C1=NN(Cc2ccccc2)C(=O)CC1 ZINC000728699000 1071332709 /nfs/dbraw/zinc/33/27/09/1071332709.db2.gz QERFHFDBEOMSTC-QZTJIDSGSA-N 0 0 434.518 -0.221 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C1=NN(Cc2ccccc2)C(=O)CC1 ZINC000728699003 1071332753 /nfs/dbraw/zinc/33/27/53/1071332753.db2.gz QERFHFDBEOMSTC-ROUUACIJSA-N 0 0 434.518 -0.221 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C1=NN(Cc2ccccc2)C(=O)CC1 ZINC000728699006 1071332839 /nfs/dbraw/zinc/33/28/39/1071332839.db2.gz QERFHFDBEOMSTC-ZWKOTPCHSA-N 0 0 434.518 -0.221 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000728699069 1071332650 /nfs/dbraw/zinc/33/26/50/1071332650.db2.gz QULGIFCQQHHYNS-DZGCQCFKSA-N 0 0 443.909 -0.321 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000728699070 1071332858 /nfs/dbraw/zinc/33/28/58/1071332858.db2.gz QULGIFCQQHHYNS-HIFRSBDPSA-N 0 0 443.909 -0.321 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000728699071 1071332820 /nfs/dbraw/zinc/33/28/20/1071332820.db2.gz QULGIFCQQHHYNS-UKRRQHHQSA-N 0 0 443.909 -0.321 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000728699072 1071332784 /nfs/dbraw/zinc/33/27/84/1071332784.db2.gz QULGIFCQQHHYNS-ZFWWWQNUSA-N 0 0 443.909 -0.321 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000728699429 1071332892 /nfs/dbraw/zinc/33/28/92/1071332892.db2.gz UZDBGNWCNFTAOX-KBPBESRZSA-N 0 0 447.535 -0.708 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000728699432 1071332876 /nfs/dbraw/zinc/33/28/76/1071332876.db2.gz UZDBGNWCNFTAOX-KGLIPLIRSA-N 0 0 447.535 -0.708 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000728699433 1071332672 /nfs/dbraw/zinc/33/26/72/1071332672.db2.gz UZDBGNWCNFTAOX-UONOGXRCSA-N 0 0 447.535 -0.708 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000728699435 1071332595 /nfs/dbraw/zinc/33/25/95/1071332595.db2.gz UZDBGNWCNFTAOX-ZIAGYGMSSA-N 0 0 447.535 -0.708 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)=NN1c1ccccc1 ZINC000728699914 1071333302 /nfs/dbraw/zinc/33/33/02/1071333302.db2.gz XUAMMFLOHRWCIW-CEXWTWQISA-N 0 0 434.518 -0.176 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)=NN1c1ccccc1 ZINC000728699915 1071333336 /nfs/dbraw/zinc/33/33/36/1071333336.db2.gz XUAMMFLOHRWCIW-FHWLQOOXSA-N 0 0 434.518 -0.176 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)=NN1c1ccccc1 ZINC000728699916 1071333254 /nfs/dbraw/zinc/33/32/54/1071333254.db2.gz XUAMMFLOHRWCIW-GBESFXJTSA-N 0 0 434.518 -0.176 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)=NN1c1ccccc1 ZINC000728699917 1071333310 /nfs/dbraw/zinc/33/33/10/1071333310.db2.gz XUAMMFLOHRWCIW-QYZOEREBSA-N 0 0 434.518 -0.176 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000728712404 1071338593 /nfs/dbraw/zinc/33/85/93/1071338593.db2.gz HHHBOUCUXLJCPT-KRWDZBQOSA-N 0 0 440.464 -0.458 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000728712405 1071338614 /nfs/dbraw/zinc/33/86/14/1071338614.db2.gz HHHBOUCUXLJCPT-QGZVFWFLSA-N 0 0 440.464 -0.458 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000728713427 1071339334 /nfs/dbraw/zinc/33/93/34/1071339334.db2.gz CDOPPIYBBYIDQH-DLBZAZTESA-N 0 0 441.506 -0.952 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000728713428 1071339499 /nfs/dbraw/zinc/33/94/99/1071339499.db2.gz CDOPPIYBBYIDQH-IAGOWNOFSA-N 0 0 441.506 -0.952 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000728713430 1071339308 /nfs/dbraw/zinc/33/93/08/1071339308.db2.gz CDOPPIYBBYIDQH-IRXDYDNUSA-N 0 0 441.506 -0.952 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000728713431 1071339433 /nfs/dbraw/zinc/33/94/33/1071339433.db2.gz CDOPPIYBBYIDQH-SJORKVTESA-N 0 0 441.506 -0.952 20 0 IBADRN CCN(CC)C(=O)CCCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000728714199 1071340041 /nfs/dbraw/zinc/34/00/41/1071340041.db2.gz JCFGVMRQUBXJBF-HNNXBMFYSA-N 0 0 437.584 -0.314 20 0 IBADRN CCN(CC)C(=O)CCCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000728714201 1071339935 /nfs/dbraw/zinc/33/99/35/1071339935.db2.gz JCFGVMRQUBXJBF-OAHLLOKOSA-N 0 0 437.584 -0.314 20 0 IBADRN NC(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000728715221 1071340535 /nfs/dbraw/zinc/34/05/35/1071340535.db2.gz QEBBRNWQFXJGKA-AWEZNQCLSA-N 0 0 430.508 -0.548 20 0 IBADRN NC(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000728715222 1071340360 /nfs/dbraw/zinc/34/03/60/1071340360.db2.gz QEBBRNWQFXJGKA-CQSZACIVSA-N 0 0 430.508 -0.548 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000728717291 1071341122 /nfs/dbraw/zinc/34/11/22/1071341122.db2.gz CJZRHTADYVHUHF-JKOKRWQUSA-N 0 0 448.881 -0.315 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000728717292 1071340963 /nfs/dbraw/zinc/34/09/63/1071340963.db2.gz CJZRHTADYVHUHF-OSMZGAPFSA-N 0 0 448.881 -0.315 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000728717293 1071341136 /nfs/dbraw/zinc/34/11/36/1071341136.db2.gz CJZRHTADYVHUHF-QKCSRTOESA-N 0 0 448.881 -0.315 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000728717294 1071341156 /nfs/dbraw/zinc/34/11/56/1071341156.db2.gz CJZRHTADYVHUHF-SUHUHFCYSA-N 0 0 448.881 -0.315 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCNC1=O ZINC000728717659 1071341111 /nfs/dbraw/zinc/34/11/11/1071341111.db2.gz FRZFIKPHPBUHHB-JKOKRWQUSA-N 0 0 445.881 -0.053 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCNC1=O ZINC000728717660 1071341100 /nfs/dbraw/zinc/34/11/00/1071341100.db2.gz FRZFIKPHPBUHHB-OSMZGAPFSA-N 0 0 445.881 -0.053 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCNC1=O ZINC000728717661 1071340942 /nfs/dbraw/zinc/34/09/42/1071340942.db2.gz FRZFIKPHPBUHHB-QKCSRTOESA-N 0 0 445.881 -0.053 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCNC1=O ZINC000728717662 1071340995 /nfs/dbraw/zinc/34/09/95/1071340995.db2.gz FRZFIKPHPBUHHB-SUHUHFCYSA-N 0 0 445.881 -0.053 20 0 IBADRN O=C(OCCN1C(=O)CNC1=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000728718353 1071341086 /nfs/dbraw/zinc/34/10/86/1071341086.db2.gz MCFBRTMBPIENHI-AAEUAGOBSA-N 0 0 431.854 -0.441 20 0 IBADRN O=C(OCCN1C(=O)CNC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000728718354 1071341024 /nfs/dbraw/zinc/34/10/24/1071341024.db2.gz MCFBRTMBPIENHI-YPMHNXCESA-N 0 0 431.854 -0.441 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCCN1C(=O)CNC1=O ZINC000728720649 1071341616 /nfs/dbraw/zinc/34/16/16/1071341616.db2.gz MISJSQUJTOMSFC-PXAZEXFGSA-N 0 0 435.458 -0.038 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCCN1C(=O)CNC1=O ZINC000728720650 1071341794 /nfs/dbraw/zinc/34/17/94/1071341794.db2.gz MISJSQUJTOMSFC-SJCJKPOMSA-N 0 0 435.458 -0.038 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCCN1C(=O)CNC1=O ZINC000728720651 1071341659 /nfs/dbraw/zinc/34/16/59/1071341659.db2.gz MISJSQUJTOMSFC-SJKOYZFVSA-N 0 0 435.458 -0.038 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCCN1C(=O)CNC1=O ZINC000728720652 1071341646 /nfs/dbraw/zinc/34/16/46/1071341646.db2.gz MISJSQUJTOMSFC-YVEFUNNKSA-N 0 0 435.458 -0.038 20 0 IBADRN O=C(OCCN1C(=O)CCC1=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000728721105 1071341507 /nfs/dbraw/zinc/34/15/07/1071341507.db2.gz PLCGGXCXZNOFJY-GFCCVEGCSA-N 0 0 449.279 -0.220 20 0 IBADRN O=C(OCCN1C(=O)CCC1=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000728721106 1071341776 /nfs/dbraw/zinc/34/17/76/1071341776.db2.gz PLCGGXCXZNOFJY-LBPRGKRZSA-N 0 0 449.279 -0.220 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000728748145 1071350451 /nfs/dbraw/zinc/35/04/51/1071350451.db2.gz QLLHCDNPNWQIMZ-UHFFFAOYSA-N 0 0 436.485 -0.233 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CCO1 ZINC000728757250 1071353698 /nfs/dbraw/zinc/35/36/98/1071353698.db2.gz OSDLUHVDRCZSHT-HNNXBMFYSA-N 0 0 425.463 -0.774 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CCO1 ZINC000728757253 1071353670 /nfs/dbraw/zinc/35/36/70/1071353670.db2.gz OSDLUHVDRCZSHT-OAHLLOKOSA-N 0 0 425.463 -0.774 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(C(N)=O)cc3)C2=O)CC1 ZINC000728790298 1071378472 /nfs/dbraw/zinc/37/84/72/1071378472.db2.gz OGEPOAHAOHUROY-FQEVSTJZSA-N 0 0 431.449 -0.147 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(C(N)=O)cc3)C2=O)CC1 ZINC000728790299 1071378164 /nfs/dbraw/zinc/37/81/64/1071378164.db2.gz OGEPOAHAOHUROY-HXUWFJFHSA-N 0 0 431.449 -0.147 20 0 IBADRN CCN(C(=O)CN1C(=O)N[C@](C)(c2ccc(C(N)=O)cc2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000728790960 1071383009 /nfs/dbraw/zinc/38/30/09/1071383009.db2.gz RTLFDALMDGAQHD-AUUYWEPGSA-N 0 0 436.490 -0.412 20 0 IBADRN CCN(C(=O)CN1C(=O)N[C@](C)(c2ccc(C(N)=O)cc2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000728790961 1071383082 /nfs/dbraw/zinc/38/30/82/1071383082.db2.gz RTLFDALMDGAQHD-IFXJQAMLSA-N 0 0 436.490 -0.412 20 0 IBADRN CCN(C(=O)CN1C(=O)N[C@@](C)(c2ccc(C(N)=O)cc2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000728790962 1071382882 /nfs/dbraw/zinc/38/28/82/1071382882.db2.gz RTLFDALMDGAQHD-KUHUBIRLSA-N 0 0 436.490 -0.412 20 0 IBADRN CCN(C(=O)CN1C(=O)N[C@@](C)(c2ccc(C(N)=O)cc2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000728790963 1071382779 /nfs/dbraw/zinc/38/27/79/1071382779.db2.gz RTLFDALMDGAQHD-LIRRHRJNSA-N 0 0 436.490 -0.412 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=S)NCCNS(C)(=O)=O)cc2)CC1 ZINC000728791593 1071379765 /nfs/dbraw/zinc/37/97/65/1071379765.db2.gz MZZYXWKQJWUMEV-UHFFFAOYSA-N 0 0 429.568 -0.183 20 0 IBADRN CC(C)(CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(C(N)=O)cc2)C1=O)N1CCOCC1 ZINC000728793728 1071389502 /nfs/dbraw/zinc/38/95/02/1071389502.db2.gz HERWWZQRDGOLHR-NRFANRHFSA-N 0 0 431.493 -0.221 20 0 IBADRN CC(C)(CNC(=O)CN1C(=O)N[C@](C)(c2ccc(C(N)=O)cc2)C1=O)N1CCOCC1 ZINC000728794099 1071389393 /nfs/dbraw/zinc/38/93/93/1071389393.db2.gz HERWWZQRDGOLHR-OAQYLSRUSA-N 0 0 431.493 -0.221 20 0 IBADRN C[C@@]1(c2ccc(C(N)=O)cc2)NC(=O)N(CC(=O)N2CCN(C(=O)C3CC3)CC2)C1=O ZINC000728795429 1071389327 /nfs/dbraw/zinc/38/93/27/1071389327.db2.gz YOUBJSUFUBINAZ-NRFANRHFSA-N 0 0 427.461 -0.367 20 0 IBADRN C[C@]1(c2ccc(C(N)=O)cc2)NC(=O)N(CC(=O)N2CCN(C(=O)C3CC3)CC2)C1=O ZINC000728795435 1072618394 /nfs/dbraw/zinc/61/83/94/1072618394.db2.gz YOUBJSUFUBINAZ-OAQYLSRUSA-N 0 0 427.461 -0.367 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)c3cn(CC(=O)N(C)C)nn3)cc2)CC1 ZINC000728830582 1071429468 /nfs/dbraw/zinc/42/94/68/1071429468.db2.gz NFGJJUMBXZODLY-UHFFFAOYSA-N 0 0 429.481 -0.086 20 0 IBADRN NC(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC000728866359 1071442279 /nfs/dbraw/zinc/44/22/79/1071442279.db2.gz BVVLANRXDYPYOO-UHFFFAOYSA-N 0 0 439.357 -0.192 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCCN(CC(N)=O)CC2)cc1OCC ZINC000728867841 1072823076 /nfs/dbraw/zinc/82/30/76/1072823076.db2.gz TWVWKAMCNYTWFO-UHFFFAOYSA-N 0 0 442.538 -0.218 20 0 IBADRN NC(=O)CN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000728869331 1071442955 /nfs/dbraw/zinc/44/29/55/1071442955.db2.gz AWHFGKUSHPQYPC-UHFFFAOYSA-N 0 0 428.486 -0.520 20 0 IBADRN NC(=O)CN1CCCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000728869673 1071443101 /nfs/dbraw/zinc/44/31/01/1071443101.db2.gz WBVBPVOTDUKFJX-UHFFFAOYSA-N 0 0 430.552 -0.669 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C3CCN(S(N)(=O)=O)CC3)cc2)CC1 ZINC000728879120 1071449405 /nfs/dbraw/zinc/44/94/05/1071449405.db2.gz GNFUDVUSKZNASN-UHFFFAOYSA-N 0 0 439.538 -0.164 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C(=O)C1=O ZINC000728879180 1071449438 /nfs/dbraw/zinc/44/94/38/1071449438.db2.gz JSGVVKATKPXDHN-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(F)c(S(N)(=O)=O)c2)CC1 ZINC000728888691 1071458511 /nfs/dbraw/zinc/45/85/11/1071458511.db2.gz CRONTVHLJWNNSF-CYBMUJFWSA-N 0 0 430.502 -0.228 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(F)c(S(N)(=O)=O)c2)CC1 ZINC000728888692 1071458521 /nfs/dbraw/zinc/45/85/21/1071458521.db2.gz CRONTVHLJWNNSF-ZDUSSCGKSA-N 0 0 430.502 -0.228 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000728888842 1071458996 /nfs/dbraw/zinc/45/89/96/1071458996.db2.gz HGTQWBSIVBFDPY-HNNXBMFYSA-N 0 0 439.538 -0.831 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000728888843 1071459098 /nfs/dbraw/zinc/45/90/98/1071459098.db2.gz HGTQWBSIVBFDPY-OAHLLOKOSA-N 0 0 439.538 -0.831 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000728889639 1071459770 /nfs/dbraw/zinc/45/97/70/1071459770.db2.gz VVSUWKPBTLCHOU-HNNXBMFYSA-N 0 0 439.538 -0.831 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000728889642 1071459849 /nfs/dbraw/zinc/45/98/49/1071459849.db2.gz VVSUWKPBTLCHOU-OAHLLOKOSA-N 0 0 439.538 -0.831 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)CC1 ZINC000728890052 1071461230 /nfs/dbraw/zinc/46/12/30/1071461230.db2.gz ZAURPOMOXBFLKZ-APWZRJJASA-N 0 0 444.536 -0.208 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)CC1 ZINC000728890053 1071461243 /nfs/dbraw/zinc/46/12/43/1071461243.db2.gz ZAURPOMOXBFLKZ-LPHOPBHVSA-N 0 0 444.536 -0.208 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)CC1 ZINC000728890054 1071461186 /nfs/dbraw/zinc/46/11/86/1071461186.db2.gz ZAURPOMOXBFLKZ-QFBILLFUSA-N 0 0 444.536 -0.208 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)CC1 ZINC000728890055 1071461262 /nfs/dbraw/zinc/46/12/62/1071461262.db2.gz ZAURPOMOXBFLKZ-VQIMIIECSA-N 0 0 444.536 -0.208 20 0 IBADRN C[C@@H](OC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCNC1=O ZINC000728891403 1071461279 /nfs/dbraw/zinc/46/12/79/1071461279.db2.gz QXHZSFZSVLEMED-LLVKDONJSA-N 0 0 429.426 -0.056 20 0 IBADRN C[C@H](OC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCNC1=O ZINC000728891404 1071461215 /nfs/dbraw/zinc/46/12/15/1071461215.db2.gz QXHZSFZSVLEMED-NSHDSACASA-N 0 0 429.426 -0.056 20 0 IBADRN COc1ccc(-n2cnn(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)c2=S)cn1 ZINC000728897267 1071468992 /nfs/dbraw/zinc/46/89/92/1071468992.db2.gz MTRRADWTIDKGJP-UHFFFAOYSA-N 0 0 447.521 -0.233 20 0 IBADRN O=c1[nH]c(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc2ccccc21 ZINC000728914135 1071512734 /nfs/dbraw/zinc/51/27/34/1071512734.db2.gz BWFVLJSNPFBOSA-CYBMUJFWSA-N 0 0 426.520 -0.030 20 0 IBADRN O=c1[nH]c(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc2ccccc21 ZINC000728914137 1071512139 /nfs/dbraw/zinc/51/21/39/1071512139.db2.gz BWFVLJSNPFBOSA-ZDUSSCGKSA-N 0 0 426.520 -0.030 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000728914319 1071511884 /nfs/dbraw/zinc/51/18/84/1071511884.db2.gz QWUVQQVZINVEAJ-AWEZNQCLSA-N 0 0 441.506 -0.153 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000728914320 1071511937 /nfs/dbraw/zinc/51/19/37/1071511937.db2.gz QWUVQQVZINVEAJ-CQSZACIVSA-N 0 0 441.506 -0.153 20 0 IBADRN Cn1c(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc2ccccc2c1=O ZINC000728914507 1071511327 /nfs/dbraw/zinc/51/13/27/1071511327.db2.gz FUJMGSHNVPREFU-AWEZNQCLSA-N 0 0 440.547 -0.432 20 0 IBADRN Cn1c(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc2ccccc2c1=O ZINC000728914508 1071511343 /nfs/dbraw/zinc/51/13/43/1071511343.db2.gz FUJMGSHNVPREFU-CQSZACIVSA-N 0 0 440.547 -0.432 20 0 IBADRN CC(=O)c1ccccc1NC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000728915189 1071514435 /nfs/dbraw/zinc/51/44/35/1071514435.db2.gz PJWPPHLKHIPRAT-HNNXBMFYSA-N 0 0 443.547 -0.038 20 0 IBADRN CC(=O)c1ccccc1NC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000728915190 1071514573 /nfs/dbraw/zinc/51/45/73/1071514573.db2.gz PJWPPHLKHIPRAT-OAHLLOKOSA-N 0 0 443.547 -0.038 20 0 IBADRN Nc1nc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc2ccccc12 ZINC000728915226 1071514532 /nfs/dbraw/zinc/51/45/32/1071514532.db2.gz RSEYRQXUCQNGNQ-CYBMUJFWSA-N 0 0 425.536 -0.154 20 0 IBADRN Nc1nc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc2ccccc12 ZINC000728915227 1071514367 /nfs/dbraw/zinc/51/43/67/1071514367.db2.gz RSEYRQXUCQNGNQ-ZDUSSCGKSA-N 0 0 425.536 -0.154 20 0 IBADRN NC(=O)c1cccnc1N1CCN(CCC(=O)NN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000728918341 1071515911 /nfs/dbraw/zinc/51/59/11/1071515911.db2.gz XJSIMDRHOKEIMD-UHFFFAOYSA-N 0 0 443.508 -0.022 20 0 IBADRN CN1C(=O)Cc2cc(C(=O)COC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)ccc21 ZINC000728920213 1071515620 /nfs/dbraw/zinc/51/56/20/1071515620.db2.gz JUDQGDBWFFHSQM-UHFFFAOYSA-N 0 0 425.401 -0.621 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)C(=O)N1CCNC1=O ZINC000728924948 1071517788 /nfs/dbraw/zinc/51/77/88/1071517788.db2.gz NRLVDYVZJDVSGB-LLVKDONJSA-N 0 0 429.426 -0.056 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)C(=O)N1CCNC1=O ZINC000728924949 1071517538 /nfs/dbraw/zinc/51/75/38/1071517538.db2.gz NRLVDYVZJDVSGB-NSHDSACASA-N 0 0 429.426 -0.056 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CC(=O)NC2CCC3(CC2)OCCO3)CC1 ZINC000728931383 1071533790 /nfs/dbraw/zinc/53/37/90/1071533790.db2.gz BYTLQEYVIPOTEO-KRWDZBQOSA-N 0 0 426.558 -0.053 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CC(=O)NC2CCC3(CC2)OCCO3)CC1 ZINC000728931384 1071533572 /nfs/dbraw/zinc/53/35/72/1071533572.db2.gz BYTLQEYVIPOTEO-QGZVFWFLSA-N 0 0 426.558 -0.053 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000728932392 1071534911 /nfs/dbraw/zinc/53/49/11/1071534911.db2.gz NXGAYXNNGUMGQP-MSOLQXFVSA-N 0 0 441.573 -0.166 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000728932393 1071535183 /nfs/dbraw/zinc/53/51/83/1071535183.db2.gz NXGAYXNNGUMGQP-QZTJIDSGSA-N 0 0 441.573 -0.166 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000728932394 1071535054 /nfs/dbraw/zinc/53/50/54/1071535054.db2.gz NXGAYXNNGUMGQP-ROUUACIJSA-N 0 0 441.573 -0.166 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000728932395 1071535042 /nfs/dbraw/zinc/53/50/42/1071535042.db2.gz NXGAYXNNGUMGQP-ZWKOTPCHSA-N 0 0 441.573 -0.166 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000728932461 1071534418 /nfs/dbraw/zinc/53/44/18/1071534418.db2.gz PIJXHXVITCKNSZ-KRWDZBQOSA-N 0 0 427.546 -0.554 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000728932467 1071534473 /nfs/dbraw/zinc/53/44/73/1071534473.db2.gz PIJXHXVITCKNSZ-QGZVFWFLSA-N 0 0 427.546 -0.554 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000728935597 1071544332 /nfs/dbraw/zinc/54/43/32/1071544332.db2.gz BFRAHSUVLGKYJS-INIZCTEOSA-N 0 0 449.574 -0.836 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)CC1 ZINC000728935598 1071544152 /nfs/dbraw/zinc/54/41/52/1071544152.db2.gz BFRAHSUVLGKYJS-MRXNPFEDSA-N 0 0 449.574 -0.836 20 0 IBADRN COc1ccc(C(=O)O[C@H](C)C(=O)N2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000728949366 1071592184 /nfs/dbraw/zinc/59/21/84/1071592184.db2.gz QAKQIDYTJQNUSP-GFCCVEGCSA-N 0 0 441.462 -0.187 20 0 IBADRN COc1ccc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000728949368 1071592251 /nfs/dbraw/zinc/59/22/51/1071592251.db2.gz QAKQIDYTJQNUSP-LBPRGKRZSA-N 0 0 441.462 -0.187 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000728951727 1071592462 /nfs/dbraw/zinc/59/24/62/1071592462.db2.gz FXQQPNUIENHUQA-HNNXBMFYSA-N 0 0 448.542 -0.909 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000728951728 1071592599 /nfs/dbraw/zinc/59/25/99/1071592599.db2.gz FXQQPNUIENHUQA-OAHLLOKOSA-N 0 0 448.542 -0.909 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000728951729 1071592693 /nfs/dbraw/zinc/59/26/93/1071592693.db2.gz FYQBCKHVUJTTDS-UHFFFAOYSA-N 0 0 448.542 -0.909 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000728952058 1071593215 /nfs/dbraw/zinc/59/32/15/1071593215.db2.gz KIYZZWRGKIQHRC-INIZCTEOSA-N 0 0 445.586 -0.577 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000728952059 1071593250 /nfs/dbraw/zinc/59/32/50/1071593250.db2.gz KIYZZWRGKIQHRC-MRXNPFEDSA-N 0 0 445.586 -0.577 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000728955560 1071600058 /nfs/dbraw/zinc/60/00/58/1071600058.db2.gz CNXIIDSQRAUPFL-UHFFFAOYSA-N 0 0 435.547 -0.195 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCCNC(=O)OC(C)(C)C)CC2)CC1 ZINC000728955701 1071599964 /nfs/dbraw/zinc/59/99/64/1071599964.db2.gz JVBHHQQWYMUROB-UHFFFAOYSA-N 0 0 448.590 -0.279 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000728956836 1071599985 /nfs/dbraw/zinc/59/99/85/1071599985.db2.gz IEPVQSMGUCZKND-UHFFFAOYSA-N 0 0 427.502 -0.386 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000728958501 1071600005 /nfs/dbraw/zinc/60/00/05/1071600005.db2.gz NVNVAUOCILKCAT-UHFFFAOYSA-N 0 0 443.551 -0.208 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)Cn3cc(S(N)(=O)=O)cn3)cc2)CC1 ZINC000728977117 1071600659 /nfs/dbraw/zinc/60/06/59/1071600659.db2.gz SMBPJGKSKBFFSX-UHFFFAOYSA-N 0 0 436.494 -0.536 20 0 IBADRN COc1ccc(C(=O)NCC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000728984573 1071600567 /nfs/dbraw/zinc/60/05/67/1071600567.db2.gz IKKYXAPUTFOIFQ-AAEUAGOBSA-N 0 0 428.463 -0.331 20 0 IBADRN COc1ccc(C(=O)NCC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000728984577 1071600484 /nfs/dbraw/zinc/60/04/84/1071600484.db2.gz IKKYXAPUTFOIFQ-DGCLKSJQSA-N 0 0 428.463 -0.331 20 0 IBADRN COc1ccc(C(=O)NCC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000728984579 1071600631 /nfs/dbraw/zinc/60/06/31/1071600631.db2.gz IKKYXAPUTFOIFQ-WCQYABFASA-N 0 0 428.463 -0.331 20 0 IBADRN COc1ccc(C(=O)NCC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000728984581 1071600572 /nfs/dbraw/zinc/60/05/72/1071600572.db2.gz IKKYXAPUTFOIFQ-YPMHNXCESA-N 0 0 428.463 -0.331 20 0 IBADRN Cn1c2ncn(CC(=O)N(c3ccccc3)[C@H]3C=CS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC000728984994 1071600493 /nfs/dbraw/zinc/60/04/93/1071600493.db2.gz OWMIJBJCJCLMQI-AWEZNQCLSA-N 0 0 429.458 -0.222 20 0 IBADRN Cn1c2ncn(CC(=O)N(c3ccccc3)[C@@H]3C=CS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC000728984996 1071600508 /nfs/dbraw/zinc/60/05/08/1071600508.db2.gz OWMIJBJCJCLMQI-CQSZACIVSA-N 0 0 429.458 -0.222 20 0 IBADRN COCCc1noc(COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)n1 ZINC000728988816 1071600615 /nfs/dbraw/zinc/60/06/15/1071600615.db2.gz XLNAMTUSUUGUNK-UHFFFAOYSA-N 0 0 426.451 -0.018 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C2(O)CCOCC2)CC1 ZINC000729023457 1071601205 /nfs/dbraw/zinc/60/12/05/1071601205.db2.gz CBFUWQSUYQYKKR-UHFFFAOYSA-N 0 0 431.467 -0.791 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000729023857 1071601089 /nfs/dbraw/zinc/60/10/89/1071601089.db2.gz HQOHOBMMFZKUQI-UHFFFAOYSA-N 0 0 426.491 -0.255 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000729023858 1071601016 /nfs/dbraw/zinc/60/10/16/1071601016.db2.gz HVBYZIPKBQZROV-UHFFFAOYSA-N 0 0 430.454 -0.257 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000729024507 1071600977 /nfs/dbraw/zinc/60/09/77/1071600977.db2.gz SCJKHWYPYPWPND-UHFFFAOYSA-N 0 0 442.490 -0.592 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000729024845 1071601197 /nfs/dbraw/zinc/60/11/97/1071601197.db2.gz VRLNXOCNZZRXHZ-UHFFFAOYSA-N 0 0 448.444 -0.118 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000729025041 1071601209 /nfs/dbraw/zinc/60/12/09/1071601209.db2.gz YTKLMQVMMCHBGZ-UHFFFAOYSA-N 0 0 430.454 -0.257 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000729025055 1071601163 /nfs/dbraw/zinc/60/11/63/1071601163.db2.gz ZESKKRXVMATJQS-UHFFFAOYSA-N 0 0 430.454 -0.257 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000729025833 1072868403 /nfs/dbraw/zinc/86/84/03/1072868403.db2.gz DZMWMIMLEIFIQZ-UHFFFAOYSA-N 0 0 428.463 -0.269 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000729026358 1071601128 /nfs/dbraw/zinc/60/11/28/1071601128.db2.gz LUBYCOVXOSKMCP-UHFFFAOYSA-N 0 0 442.490 -0.592 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)C2(O)CCOCC2)c(N)n(Cc2ccccc2)c1=O ZINC000729026386 1071601153 /nfs/dbraw/zinc/60/11/53/1071601153.db2.gz MEOFXJNQCOCBPN-UHFFFAOYSA-N 0 0 431.445 -0.072 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000729026754 1071601175 /nfs/dbraw/zinc/60/11/75/1071601175.db2.gz OKCOPGMIRMSDIL-UHFFFAOYSA-N 0 0 428.463 -0.269 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(O)CCOCC3)CC2)cc1 ZINC000729028975 1072868276 /nfs/dbraw/zinc/86/82/76/1072868276.db2.gz ZKCFJOPMOWYFOM-UHFFFAOYSA-N 0 0 442.490 -0.387 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000729046627 1071601617 /nfs/dbraw/zinc/60/16/17/1071601617.db2.gz BQMNKBYDYFEZDN-AAEUAGOBSA-N 0 0 428.463 -0.331 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729046630 1071601727 /nfs/dbraw/zinc/60/17/27/1071601727.db2.gz BQMNKBYDYFEZDN-DGCLKSJQSA-N 0 0 428.463 -0.331 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729046632 1071601752 /nfs/dbraw/zinc/60/17/52/1071601752.db2.gz BQMNKBYDYFEZDN-WCQYABFASA-N 0 0 428.463 -0.331 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000729046634 1072868948 /nfs/dbraw/zinc/86/89/48/1072868948.db2.gz BQMNKBYDYFEZDN-YPMHNXCESA-N 0 0 428.463 -0.331 20 0 IBADRN CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)CC1 ZINC000729055413 1071601637 /nfs/dbraw/zinc/60/16/37/1071601637.db2.gz CIESQGJEBMILLX-UHFFFAOYSA-N 0 0 445.520 -0.095 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCCn3cncn3)cc2)CC1 ZINC000729055848 1071601757 /nfs/dbraw/zinc/60/17/57/1071601757.db2.gz DMARVGQMASZAEN-UHFFFAOYSA-N 0 0 429.481 -0.282 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@H](C(=O)OC)C3)cc2)CC1 ZINC000729055887 1071601684 /nfs/dbraw/zinc/60/16/84/1071601684.db2.gz JXEPSWGLYTUXAJ-HNNXBMFYSA-N 0 0 432.477 -0.058 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@H](C(=O)OC)C3)cc2)CC1 ZINC000729055888 1071601607 /nfs/dbraw/zinc/60/16/07/1071601607.db2.gz JXEPSWGLYTUXAJ-OAHLLOKOSA-N 0 0 432.477 -0.058 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(=O)OC)CC3)cc2)CC1 ZINC000729056480 1071601806 /nfs/dbraw/zinc/60/18/06/1071601806.db2.gz OWZFSZSFVQKKRQ-UHFFFAOYSA-N 0 0 447.492 -0.169 20 0 IBADRN CCN(CC(=O)N(C)C)C(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000729056484 1071601582 /nfs/dbraw/zinc/60/15/82/1071601582.db2.gz PHOFRPWRZJYLLF-UHFFFAOYSA-N 0 0 433.509 -0.143 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000729056895 1071601672 /nfs/dbraw/zinc/60/16/72/1071601672.db2.gz JSKVZJOZIIBGLF-UHFFFAOYSA-N 0 0 431.493 -0.356 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@H](O)C(F)(F)F)cc2)CC1 ZINC000729056903 1071601666 /nfs/dbraw/zinc/60/16/66/1071601666.db2.gz KBDIAPVTKFDOEV-AWEZNQCLSA-N 0 0 432.399 -0.041 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](O)C(F)(F)F)cc2)CC1 ZINC000729056904 1071601801 /nfs/dbraw/zinc/60/18/01/1071601801.db2.gz KBDIAPVTKFDOEV-CQSZACIVSA-N 0 0 432.399 -0.041 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000729057026 1071601823 /nfs/dbraw/zinc/60/18/23/1071601823.db2.gz BNFBQWWCDMZUFC-HNNXBMFYSA-N 0 0 438.506 -0.777 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000729057030 1071601790 /nfs/dbraw/zinc/60/17/90/1071601790.db2.gz BNFBQWWCDMZUFC-OAHLLOKOSA-N 0 0 438.506 -0.777 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)c(C)c2)CC1 ZINC000729057336 1071602258 /nfs/dbraw/zinc/60/22/58/1071602258.db2.gz XIDZEUXYAZQQHE-UHFFFAOYSA-N 0 0 425.511 -0.237 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCNC(=O)C3CC3)cc2)CC1 ZINC000729057563 1071602195 /nfs/dbraw/zinc/60/21/95/1071602195.db2.gz FIAHOYSPDAWVDD-UHFFFAOYSA-N 0 0 431.493 -0.438 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000729057567 1071602186 /nfs/dbraw/zinc/60/21/86/1071602186.db2.gz FJGIQXNDBKMBRC-UHFFFAOYSA-N 0 0 433.509 -0.632 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C)CC(=O)NC(C)C)cc2)CC1 ZINC000729057698 1071602190 /nfs/dbraw/zinc/60/21/90/1071602190.db2.gz YIZPEYZCHMGJMP-UHFFFAOYSA-N 0 0 433.509 -0.097 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)CN3CCOCC3)cc2)CC1 ZINC000729057825 1071602210 /nfs/dbraw/zinc/60/22/10/1071602210.db2.gz MWCLUMWWZOVJDJ-KRWDZBQOSA-N 0 0 447.536 -0.243 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)CN3CCOCC3)cc2)CC1 ZINC000729057828 1071602229 /nfs/dbraw/zinc/60/22/29/1071602229.db2.gz MWCLUMWWZOVJDJ-QGZVFWFLSA-N 0 0 447.536 -0.243 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCC(=O)NC(C)C)cc2)CC1 ZINC000729058598 1071602181 /nfs/dbraw/zinc/60/21/81/1071602181.db2.gz QXVAFOWEPXCUFY-UHFFFAOYSA-N 0 0 433.509 -0.049 20 0 IBADRN CCn1cnnc1CNC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000729058629 1071602263 /nfs/dbraw/zinc/60/22/63/1071602263.db2.gz SDBHKXCFZWJBOY-UHFFFAOYSA-N 0 0 429.481 -0.152 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(C)=O)CC3)cc2)CC1 ZINC000729059325 1071602251 /nfs/dbraw/zinc/60/22/51/1071602251.db2.gz YSSRPBFYJQMKAV-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCNC(=O)C(C)C)cc2)CC1 ZINC000729059384 1071602248 /nfs/dbraw/zinc/60/22/48/1071602248.db2.gz YDLIOTPFSBBGGG-UHFFFAOYSA-N 0 0 433.509 -0.192 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCCN3CCOCC3)cc2)CC1 ZINC000729059419 1071602175 /nfs/dbraw/zinc/60/21/75/1071602175.db2.gz ZFRSZMFSVLINBZ-UHFFFAOYSA-N 0 0 447.536 -0.241 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C)CCS(C)(=O)=O)cc2)CC1 ZINC000729059610 1071602266 /nfs/dbraw/zinc/60/22/66/1071602266.db2.gz AHNOGORBOAWYGH-UHFFFAOYSA-N 0 0 440.522 -0.577 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCCNC(=O)OC(C)(C)C)cnc2n(C)c1=O ZINC000729060049 1071602197 /nfs/dbraw/zinc/60/21/97/1071602197.db2.gz LQCVXBAGRJZITQ-UHFFFAOYSA-N 0 0 434.453 -0.398 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCCN3CCOC3=O)cc2)CC1 ZINC000729060096 1071602242 /nfs/dbraw/zinc/60/22/42/1071602242.db2.gz LWAOGEQVOOQRLR-UHFFFAOYSA-N 0 0 447.492 -0.121 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@H](C(=O)OC)C3)cc2)CC1 ZINC000729060415 1071602253 /nfs/dbraw/zinc/60/22/53/1071602253.db2.gz RCOQVDVSQPIGHU-KRWDZBQOSA-N 0 0 448.476 -0.680 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@@H](C(=O)OC)C3)cc2)CC1 ZINC000729060416 1071602203 /nfs/dbraw/zinc/60/22/03/1071602203.db2.gz RCOQVDVSQPIGHU-QGZVFWFLSA-N 0 0 448.476 -0.680 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000729060921 1071602239 /nfs/dbraw/zinc/60/22/39/1071602239.db2.gz MTQWODVIVJGQER-UHFFFAOYSA-N 0 0 433.509 -0.095 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCN3CCO[C@@H](C)C3)cc2)CC1 ZINC000729061039 1071601813 /nfs/dbraw/zinc/60/18/13/1071601813.db2.gz QQAFGKTWCLNJHP-KRWDZBQOSA-N 0 0 447.536 -0.243 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCN3CCO[C@H](C)C3)cc2)CC1 ZINC000729061040 1071601651 /nfs/dbraw/zinc/60/16/51/1071601651.db2.gz QQAFGKTWCLNJHP-QGZVFWFLSA-N 0 0 447.536 -0.243 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCCC(=O)N(C)C)cc2)CC1 ZINC000729061192 1071601735 /nfs/dbraw/zinc/60/17/35/1071601735.db2.gz WNLAYUBZODQFFR-UHFFFAOYSA-N 0 0 433.509 -0.095 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC(C)(C)C1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000729106823 1071602833 /nfs/dbraw/zinc/60/28/33/1071602833.db2.gz UVQIEEWLBVFOIT-LLVKDONJSA-N 0 0 440.478 -0.745 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)NC(C)(C)C1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000729106824 1071602894 /nfs/dbraw/zinc/60/28/94/1071602894.db2.gz UVQIEEWLBVFOIT-NSHDSACASA-N 0 0 440.478 -0.745 20 0 IBADRN COC(=O)c1coc(C(=O)COC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC000729114995 1071602856 /nfs/dbraw/zinc/60/28/56/1071602856.db2.gz SMJVXAIBNKVIPE-LLVKDONJSA-N 0 0 426.403 -0.042 20 0 IBADRN COC(=O)c1coc(C(=O)COC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC000729115435 1071602879 /nfs/dbraw/zinc/60/28/79/1071602879.db2.gz SMJVXAIBNKVIPE-NSHDSACASA-N 0 0 426.403 -0.042 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCNC(=O)C2)cc1Br ZINC000729121254 1071602885 /nfs/dbraw/zinc/60/28/85/1071602885.db2.gz NVTWZLKBSSEEHF-UHFFFAOYSA-N 0 0 448.295 -0.185 20 0 IBADRN C[C@@H](CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000729133316 1071602785 /nfs/dbraw/zinc/60/27/85/1071602785.db2.gz RGGHCFJGBONYMG-JTQLQIEISA-N 0 0 441.437 -0.216 20 0 IBADRN C[C@H](CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000729133318 1071602809 /nfs/dbraw/zinc/60/28/09/1071602809.db2.gz RGGHCFJGBONYMG-SNVBAGLBSA-N 0 0 441.437 -0.216 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)CC1 ZINC000729153169 1071603334 /nfs/dbraw/zinc/60/33/34/1071603334.db2.gz DHZBQVZYFJHYLJ-UHFFFAOYSA-N 0 0 444.510 -0.435 20 0 IBADRN CC(C)(CNC(=S)NCCS(C)(=O)=O)CNC(=S)NCCS(C)(=O)=O ZINC000729153594 1071603353 /nfs/dbraw/zinc/60/33/53/1071603353.db2.gz QCBJDAHRGPEVAG-UHFFFAOYSA-N 0 0 432.659 -0.970 20 0 IBADRN CS(=O)(=O)CCNC(=S)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000729154925 1071603362 /nfs/dbraw/zinc/60/33/62/1071603362.db2.gz AIZPDWUJSDZPCB-UHFFFAOYSA-N 0 0 431.565 -0.212 20 0 IBADRN CS(=O)(=O)CCNC(=S)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000729155375 1071603405 /nfs/dbraw/zinc/60/34/05/1071603405.db2.gz AFISYJMLICHIGK-UHFFFAOYSA-N 0 0 435.593 -0.143 20 0 IBADRN CS(=O)(=O)CCNC(=S)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000729155386 1071603410 /nfs/dbraw/zinc/60/34/10/1071603410.db2.gz ALASKFPYXVICEY-UHFFFAOYSA-N 0 0 446.576 -0.593 20 0 IBADRN CS(=O)(=O)CCNC(=S)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000729155554 1071603400 /nfs/dbraw/zinc/60/34/00/1071603400.db2.gz UYKKZWFFLDEMPW-UHFFFAOYSA-N 0 0 435.597 -0.958 20 0 IBADRN CS(=O)(=O)CCNC(=S)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000729157015 1071603370 /nfs/dbraw/zinc/60/33/70/1071603370.db2.gz STGOQTXDARGZFI-UHFFFAOYSA-N 0 0 447.564 -0.506 20 0 IBADRN CS(=O)(=O)CCNC(=S)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000729157332 1071603805 /nfs/dbraw/zinc/60/38/05/1071603805.db2.gz XJMGFVPAARGOMS-UHFFFAOYSA-N 0 0 427.595 -0.222 20 0 IBADRN CS(=O)(=O)CCNC(=S)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000729157553 1071603826 /nfs/dbraw/zinc/60/38/26/1071603826.db2.gz ZDGXKMNYSZBYLE-UHFFFAOYSA-N 0 0 449.605 -0.083 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NNC(=S)NCCS(C)(=O)=O)cc2)CC1 ZINC000729157803 1071603864 /nfs/dbraw/zinc/60/38/64/1071603864.db2.gz BKVPUJRDEMKEFC-UHFFFAOYSA-N 0 0 435.597 -0.542 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=S)NCCCN2CCOCC2)c1 ZINC000729165815 1071603321 /nfs/dbraw/zinc/60/33/21/1071603321.db2.gz ZJAAOMPNMLZABT-UHFFFAOYSA-N 0 0 429.568 -0.280 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(-n4cnnn4)cc3Cl)c2c(=O)n(C)c1=O ZINC000729184408 1071603856 /nfs/dbraw/zinc/60/38/56/1071603856.db2.gz FFRBXRUBLRIPIS-UHFFFAOYSA-N 0 0 430.812 -0.080 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000729185990 1071603813 /nfs/dbraw/zinc/60/38/13/1071603813.db2.gz COOBTTCMHWRPCA-KBPBESRZSA-N 0 0 432.520 -0.183 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000729185991 1071603878 /nfs/dbraw/zinc/60/38/78/1071603878.db2.gz COOBTTCMHWRPCA-KGLIPLIRSA-N 0 0 432.520 -0.183 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000729185993 1071603869 /nfs/dbraw/zinc/60/38/69/1071603869.db2.gz COOBTTCMHWRPCA-UONOGXRCSA-N 0 0 432.520 -0.183 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000729185994 1071603784 /nfs/dbraw/zinc/60/37/84/1071603784.db2.gz COOBTTCMHWRPCA-ZIAGYGMSSA-N 0 0 432.520 -0.183 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCCn1c(=O)[nH]c3ccccc3c1=O)c(=O)n2C ZINC000729198178 1071603831 /nfs/dbraw/zinc/60/38/31/1071603831.db2.gz IYIVGJVSGYXDHP-UHFFFAOYSA-N 0 0 440.416 -0.517 20 0 IBADRN Cn1c2ncn(CC(=O)OCCCn3c(=O)[nH]c4ccccc4c3=O)c2c(=O)n(C)c1=O ZINC000729202516 1071603957 /nfs/dbraw/zinc/60/39/57/1071603957.db2.gz SHRADZOIMDSSMM-UHFFFAOYSA-N 0 0 440.416 -0.517 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CCNC(=O)OC(C)(C)C)CC2)ccc1=O ZINC000729207644 1071605064 /nfs/dbraw/zinc/60/50/64/1071605064.db2.gz AHDYCYJEEOTMLY-UHFFFAOYSA-N 0 0 437.497 -0.004 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729207663 1071604954 /nfs/dbraw/zinc/60/49/54/1071604954.db2.gz AXJUKKFBYTYANU-AWEZNQCLSA-N 0 0 437.497 -0.006 20 0 IBADRN CN(CC(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)C(=O)c1cccs1 ZINC000729207704 1071605008 /nfs/dbraw/zinc/60/50/08/1071605008.db2.gz CHHQDBKARSKQCN-UHFFFAOYSA-N 0 0 447.517 -0.085 20 0 IBADRN Cc1nn(C)c2ncc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)cc12 ZINC000729207734 1071604462 /nfs/dbraw/zinc/60/44/62/1071604462.db2.gz DJEAGSUSLQEEKS-UHFFFAOYSA-N 0 0 439.476 -0.015 20 0 IBADRN CC(=O)N[C@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)C1CCCC1 ZINC000729207738 1071604459 /nfs/dbraw/zinc/60/44/59/1071604459.db2.gz DMCXRFWTUJRMHV-IBGZPJMESA-N 0 0 433.509 -0.224 20 0 IBADRN CC(=O)N[C@@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)C1CCCC1 ZINC000729207739 1071604428 /nfs/dbraw/zinc/60/44/28/1071604428.db2.gz DMCXRFWTUJRMHV-LJQANCHMSA-N 0 0 433.509 -0.224 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3Cc4ccccc4C(=O)O3)CC2)ccc1=O ZINC000729207766 1071604420 /nfs/dbraw/zinc/60/44/20/1071604420.db2.gz FWGOZDWNHFXSFM-GOSISDBHSA-N 0 0 440.456 -0.137 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3Cc4ccccc4C(=O)O3)CC2)ccc1=O ZINC000729207767 1071604465 /nfs/dbraw/zinc/60/44/65/1071604465.db2.gz FWGOZDWNHFXSFM-SFHVURJKSA-N 0 0 440.456 -0.137 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cnn(-c4ccccc4)n3)CC2)ccc1=O ZINC000729207794 1071604422 /nfs/dbraw/zinc/60/44/22/1071604422.db2.gz GLOLYTVSMYDTAE-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN CCOC(=O)N[C@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)C(C)C ZINC000729207821 1071605071 /nfs/dbraw/zinc/60/50/71/1071605071.db2.gz HSHPAVFDJFAVHE-KRWDZBQOSA-N 0 0 437.497 -0.148 20 0 IBADRN CCOC(=O)N[C@@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)C(C)C ZINC000729207822 1071605020 /nfs/dbraw/zinc/60/50/20/1071605020.db2.gz HSHPAVFDJFAVHE-QGZVFWFLSA-N 0 0 437.497 -0.148 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3CC(=O)N(C(C)(C)C)C3)CC2)ccc1=O ZINC000729207847 1071604971 /nfs/dbraw/zinc/60/49/71/1071604971.db2.gz IXJGQLLFIUQHQO-HNNXBMFYSA-N 0 0 433.509 -0.272 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3CC(=O)N(C(C)(C)C)C3)CC2)ccc1=O ZINC000729207848 1071605029 /nfs/dbraw/zinc/60/50/29/1071605029.db2.gz IXJGQLLFIUQHQO-OAHLLOKOSA-N 0 0 433.509 -0.272 20 0 IBADRN CC(C)CN1C[C@@H](C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)CC1=O ZINC000729207898 1071604471 /nfs/dbraw/zinc/60/44/71/1071604471.db2.gz KVXYAASLGZASNP-INIZCTEOSA-N 0 0 433.509 -0.414 20 0 IBADRN CC(C)CN1C[C@H](C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)CC1=O ZINC000729207899 1071604419 /nfs/dbraw/zinc/60/44/19/1071604419.db2.gz KVXYAASLGZASNP-MRXNPFEDSA-N 0 0 433.509 -0.414 20 0 IBADRN Cn1ncc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c1-n1cccc1 ZINC000729207903 1071604467 /nfs/dbraw/zinc/60/44/67/1071604467.db2.gz KYRZFEQCHIOFJQ-UHFFFAOYSA-N 0 0 439.476 -0.081 20 0 IBADRN CCOC(=O)N1CCC[C@H](C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)C1 ZINC000729207918 1071604412 /nfs/dbraw/zinc/60/44/12/1071604412.db2.gz LXJKUGUDKQWZOC-INIZCTEOSA-N 0 0 449.508 -0.050 20 0 IBADRN CCOC(=O)N1CCC[C@@H](C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)C1 ZINC000729207919 1071604438 /nfs/dbraw/zinc/60/44/38/1071604438.db2.gz LXJKUGUDKQWZOC-MRXNPFEDSA-N 0 0 449.508 -0.050 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cccc(OCC(N)=O)c3)CC2)ccc1=O ZINC000729207958 1071604469 /nfs/dbraw/zinc/60/44/69/1071604469.db2.gz NHUTZODIYYRRRS-UHFFFAOYSA-N 0 0 443.460 -0.741 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3sccc3-n3cnnn3)CC2)ccc1=O ZINC000729207961 1071604455 /nfs/dbraw/zinc/60/44/55/1071604455.db2.gz NMQQOLHCNGUGRW-UHFFFAOYSA-N 0 0 444.477 -0.568 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccccc3OCC(N)=O)CC2)ccc1=O ZINC000729207967 1071604429 /nfs/dbraw/zinc/60/44/29/1071604429.db2.gz NWKUSGJEPRJQTA-UHFFFAOYSA-N 0 0 443.460 -0.741 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3CS[C@]4(C)CCC(=O)N34)CC2)ccc1=O ZINC000729208012 1071604443 /nfs/dbraw/zinc/60/44/43/1071604443.db2.gz QBUFEIILWBXCBG-FOIQADDNSA-N 0 0 449.533 -0.465 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3CS[C@]4(C)CCC(=O)N34)CC2)ccc1=O ZINC000729208013 1071604448 /nfs/dbraw/zinc/60/44/48/1071604448.db2.gz QBUFEIILWBXCBG-MGPUTAFESA-N 0 0 449.533 -0.465 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3CS[C@@]4(C)CCC(=O)N34)CC2)ccc1=O ZINC000729208014 1071604446 /nfs/dbraw/zinc/60/44/46/1071604446.db2.gz QBUFEIILWBXCBG-QRWLVFNGSA-N 0 0 449.533 -0.465 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3CS[C@@]4(C)CCC(=O)N34)CC2)ccc1=O ZINC000729208015 1071604440 /nfs/dbraw/zinc/60/44/40/1071604440.db2.gz QBUFEIILWBXCBG-YWZLYKJASA-N 0 0 449.533 -0.465 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cn(-c4ccccc4)nn3)CC2)ccc1=O ZINC000729208029 1071604452 /nfs/dbraw/zinc/60/44/52/1071604452.db2.gz QVXNOXPYNYWLPX-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN C[C@H](NC(=O)c1ccoc1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729208081 1071604944 /nfs/dbraw/zinc/60/49/44/1071604944.db2.gz UBSYJWPCYVYYCN-AWEZNQCLSA-N 0 0 431.449 -0.507 20 0 IBADRN C[C@@H](NC(=O)c1ccoc1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729208082 1071605061 /nfs/dbraw/zinc/60/50/61/1071605061.db2.gz UBSYJWPCYVYYCN-CQSZACIVSA-N 0 0 431.449 -0.507 20 0 IBADRN CCOC(=O)N1CCC(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)CC1 ZINC000729208154 1071605049 /nfs/dbraw/zinc/60/50/49/1071605049.db2.gz WTXHYZPFRRJSFD-UHFFFAOYSA-N 0 0 449.508 -0.050 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@]34CCC(=O)N3CCS4)CC2)ccc1=O ZINC000729208193 1071605478 /nfs/dbraw/zinc/60/54/78/1071605478.db2.gz YCGMBZYWYNFUOM-IBGZPJMESA-N 0 0 435.506 -0.853 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@]34CCC(=O)N3CCS4)CC2)ccc1=O ZINC000729208194 1071605483 /nfs/dbraw/zinc/60/54/83/1071605483.db2.gz YCGMBZYWYNFUOM-LJQANCHMSA-N 0 0 435.506 -0.853 20 0 IBADRN C[C@H](NC(=O)C1CCCC1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729208210 1071605452 /nfs/dbraw/zinc/60/54/52/1071605452.db2.gz YQOSGBVBQDPGCP-HNNXBMFYSA-N 0 0 433.509 -0.224 20 0 IBADRN C[C@@H](NC(=O)C1CCCC1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729208211 1071605517 /nfs/dbraw/zinc/60/55/17/1071605517.db2.gz YQOSGBVBQDPGCP-OAHLLOKOSA-N 0 0 433.509 -0.224 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3CC(=O)N(C4CCCC4)C3)CC2)ccc1=O ZINC000729208220 1071605437 /nfs/dbraw/zinc/60/54/37/1071605437.db2.gz YXLHLLKCLZKMTB-INIZCTEOSA-N 0 0 445.520 -0.128 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3CC(=O)N(C4CCCC4)C3)CC2)ccc1=O ZINC000729208221 1071605444 /nfs/dbraw/zinc/60/54/44/1071605444.db2.gz YXLHLLKCLZKMTB-MRXNPFEDSA-N 0 0 445.520 -0.128 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CSc3nnnn3C3CC3)CC2)ccc1=O ZINC000729208256 1071605471 /nfs/dbraw/zinc/60/54/71/1071605471.db2.gz ZZHMGZWHRRYAPJ-UHFFFAOYSA-N 0 0 448.509 -0.805 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(S(C)(=O)=O)cc3)CC2)ccc1=O ZINC000729208257 1071605533 /nfs/dbraw/zinc/60/55/33/1071605533.db2.gz ANBXSPWYCPSAQK-UHFFFAOYSA-N 0 0 448.501 -0.201 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CNC(=O)Nc3ccccc3)CC2)ccc1=O ZINC000729208287 1071605500 /nfs/dbraw/zinc/60/55/00/1071605500.db2.gz CBKJKUXLWHUGNF-UHFFFAOYSA-N 0 0 442.476 -0.097 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CCNC(=O)c3ccco3)CC2)ccc1=O ZINC000729208313 1071604994 /nfs/dbraw/zinc/60/49/94/1071604994.db2.gz DGTOAZBUSYHAHX-UHFFFAOYSA-N 0 0 431.449 -0.506 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(NC(N)=O)cc3)CC2)ccc1=O ZINC000729208403 1071605504 /nfs/dbraw/zinc/60/55/04/1071605504.db2.gz IJDRBUCKUFHKMR-UHFFFAOYSA-N 0 0 428.449 -0.114 20 0 IBADRN CC(C)C(=O)N1CCC[C@H]1C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729208465 1071605039 /nfs/dbraw/zinc/60/50/39/1071605039.db2.gz AKXGBGAEACUENT-KRWDZBQOSA-N 0 0 433.509 -0.272 20 0 IBADRN CC(C)C(=O)N1CCC[C@@H]1C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729208466 1071605024 /nfs/dbraw/zinc/60/50/24/1071605024.db2.gz AKXGBGAEACUENT-QGZVFWFLSA-N 0 0 433.509 -0.272 20 0 IBADRN Cn1nnc2cc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)ccc21 ZINC000729208476 1071604960 /nfs/dbraw/zinc/60/49/60/1071604960.db2.gz BFQVRCSQFLWHFL-UHFFFAOYSA-N 0 0 425.449 -0.323 20 0 IBADRN Cc1ccn2ncc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)c2n1 ZINC000729208504 1071604976 /nfs/dbraw/zinc/60/49/76/1071604976.db2.gz CWHVVDMGDZDWCE-UHFFFAOYSA-N 0 0 425.449 -0.254 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cccc(-n4cnnn4)c3)CC2)ccc1=O ZINC000729208573 1071604983 /nfs/dbraw/zinc/60/49/83/1071604983.db2.gz LQMINAGBAHHSBN-UHFFFAOYSA-N 0 0 438.448 -0.629 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CCNC(=O)c3ccsc3)CC2)ccc1=O ZINC000729208618 1071605055 /nfs/dbraw/zinc/60/50/55/1071605055.db2.gz MVCVXQAJKCTERF-UHFFFAOYSA-N 0 0 447.517 -0.037 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(-n4cncn4)cc3)CC2)ccc1=O ZINC000729208657 1071605017 /nfs/dbraw/zinc/60/50/17/1071605017.db2.gz OBMSYZMJFWESFW-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CCNC(=O)c3cccs3)CC2)ccc1=O ZINC000729208982 1071605001 /nfs/dbraw/zinc/60/50/01/1071605001.db2.gz PEGKHCQLUMXXLJ-UHFFFAOYSA-N 0 0 447.517 -0.037 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(-n4cnnn4)cc3)CC2)ccc1=O ZINC000729209013 1071605036 /nfs/dbraw/zinc/60/50/36/1071605036.db2.gz QUSYRMKJXDJSQK-UHFFFAOYSA-N 0 0 438.448 -0.629 20 0 IBADRN CCCC(=O)N1CCC[C@H]1C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729209457 1071605429 /nfs/dbraw/zinc/60/54/29/1071605429.db2.gz MWLLEAZSPMCDDD-KRWDZBQOSA-N 0 0 433.509 -0.128 20 0 IBADRN CCCC(=O)N1CCC[C@@H]1C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729209458 1071605419 /nfs/dbraw/zinc/60/54/19/1071605419.db2.gz MWLLEAZSPMCDDD-QGZVFWFLSA-N 0 0 433.509 -0.128 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cccc(NC(N)=O)c3)CC2)ccc1=O ZINC000729209479 1071605489 /nfs/dbraw/zinc/60/54/89/1071605489.db2.gz TVZNSJWWUVIQCK-UHFFFAOYSA-N 0 0 428.449 -0.114 20 0 IBADRN Cc1nn2cccnc2c1C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729210037 1071605493 /nfs/dbraw/zinc/60/54/93/1071605493.db2.gz PEFBLVHCOTXEQE-UHFFFAOYSA-N 0 0 425.449 -0.254 20 0 IBADRN CNC(=O)c1ccc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)cn1 ZINC000729210059 1071605466 /nfs/dbraw/zinc/60/54/66/1071605466.db2.gz PTYKSXBKAAHGIK-UHFFFAOYSA-N 0 0 428.449 -0.850 20 0 IBADRN Cc1csc(=O)n1CCC(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000729210064 1071605458 /nfs/dbraw/zinc/60/54/58/1071605458.db2.gz XHWKMVPOPBXUGB-UHFFFAOYSA-N 0 0 435.506 -0.297 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)C(=O)c3cnn(C(C)(C)C)c3)CC2)ccc1=O ZINC000729211154 1071605412 /nfs/dbraw/zinc/60/54/12/1071605412.db2.gz VVVFTIBQKAPGTH-UHFFFAOYSA-N 0 0 444.492 -0.084 20 0 IBADRN CCC(=O)N1CCC[C@H](C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)C1 ZINC000729211233 1071605510 /nfs/dbraw/zinc/60/55/10/1071605510.db2.gz XDEXIMDOHYSVES-INIZCTEOSA-N 0 0 433.509 -0.270 20 0 IBADRN CCC(=O)N1CCC[C@@H](C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)C1 ZINC000729211235 1071605525 /nfs/dbraw/zinc/60/55/25/1071605525.db2.gz XDEXIMDOHYSVES-MRXNPFEDSA-N 0 0 433.509 -0.270 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CN3CCCCCCC3=O)CC2)ccc1=O ZINC000729211267 1071605402 /nfs/dbraw/zinc/60/54/02/1071605402.db2.gz XKDZCANBIFBKMI-UHFFFAOYSA-N 0 0 433.509 -0.126 20 0 IBADRN CC[C@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1cccc(S(N)(=O)=O)c1 ZINC000729226809 1071605930 /nfs/dbraw/zinc/60/59/30/1071605930.db2.gz DNUGXLGENFNMQM-HNNXBMFYSA-N 0 0 434.540 -0.254 20 0 IBADRN CC[C@@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1cccc(S(N)(=O)=O)c1 ZINC000729226810 1071606030 /nfs/dbraw/zinc/60/60/30/1071606030.db2.gz DNUGXLGENFNMQM-OAHLLOKOSA-N 0 0 434.540 -0.254 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1)N1CCOCC1 ZINC000729227048 1071605912 /nfs/dbraw/zinc/60/59/12/1071605912.db2.gz GXBZFBRVUSXFTM-UHFFFAOYSA-N 0 0 438.550 -0.213 20 0 IBADRN CN(CCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000729228236 1071605897 /nfs/dbraw/zinc/60/58/97/1071605897.db2.gz CULOKFAEHQMQKQ-UHFFFAOYSA-N 0 0 435.547 -0.243 20 0 IBADRN CN(CCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)OC(C)(C)C ZINC000729229145 1072877115 /nfs/dbraw/zinc/87/71/15/1072877115.db2.gz RWPDVKXSXDWJEM-UHFFFAOYSA-N 0 0 448.590 -0.327 20 0 IBADRN CN(CCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000729229202 1071605880 /nfs/dbraw/zinc/60/58/80/1071605880.db2.gz UKCXRYIMFKDGSV-UHFFFAOYSA-N 0 0 427.502 -0.434 20 0 IBADRN CCN(CCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000729233231 1071606015 /nfs/dbraw/zinc/60/60/15/1071606015.db2.gz ASZMURSXFLMESW-UHFFFAOYSA-N 0 0 441.529 -0.044 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729234577 1071607078 /nfs/dbraw/zinc/60/70/78/1071607078.db2.gz IJUDSMLFMLJMJS-AMSHZMHASA-N 0 0 430.504 -0.188 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729234578 1071607061 /nfs/dbraw/zinc/60/70/61/1071607061.db2.gz IJUDSMLFMLJMJS-FMAWOHHVSA-N 0 0 430.504 -0.188 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729234579 1071606977 /nfs/dbraw/zinc/60/69/77/1071606977.db2.gz IJUDSMLFMLJMJS-SROOCWBTSA-N 0 0 430.504 -0.188 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729234580 1071607035 /nfs/dbraw/zinc/60/70/35/1071607035.db2.gz IJUDSMLFMLJMJS-WOPSIANGSA-N 0 0 430.504 -0.188 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729235357 1071605993 /nfs/dbraw/zinc/60/59/93/1071605993.db2.gz DFJWOHHFYOHGRV-AVGNSLFASA-N 0 0 448.519 -0.403 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729235358 1071606041 /nfs/dbraw/zinc/60/60/41/1071606041.db2.gz DFJWOHHFYOHGRV-RWMBFGLXSA-N 0 0 448.519 -0.403 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729235359 1071605948 /nfs/dbraw/zinc/60/59/48/1071605948.db2.gz DFJWOHHFYOHGRV-XQQFMLRXSA-N 0 0 448.519 -0.403 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729235360 1071605839 /nfs/dbraw/zinc/60/58/39/1071605839.db2.gz DFJWOHHFYOHGRV-YNEHKIRRSA-N 0 0 448.519 -0.403 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729235384 1071605999 /nfs/dbraw/zinc/60/59/99/1071605999.db2.gz HYMHVAOUMLDAGU-BFHYXJOUSA-N 0 0 432.520 -0.103 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729235385 1071605870 /nfs/dbraw/zinc/60/58/70/1071605870.db2.gz HYMHVAOUMLDAGU-IHRRRGAJSA-N 0 0 432.520 -0.103 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729235386 1071605960 /nfs/dbraw/zinc/60/59/60/1071605960.db2.gz HYMHVAOUMLDAGU-MELADBBJSA-N 0 0 432.520 -0.103 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729235387 1071605927 /nfs/dbraw/zinc/60/59/27/1071605927.db2.gz HYMHVAOUMLDAGU-MJBXVCDLSA-N 0 0 432.520 -0.103 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000729237213 1071606590 /nfs/dbraw/zinc/60/65/90/1071606590.db2.gz KJBJODBEPKQUGQ-CHWSQXEVSA-N 0 0 429.495 -0.182 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000729237214 1071606495 /nfs/dbraw/zinc/60/64/95/1071606495.db2.gz KJBJODBEPKQUGQ-OLZOCXBDSA-N 0 0 429.495 -0.182 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000729237215 1071606575 /nfs/dbraw/zinc/60/65/75/1071606575.db2.gz KJBJODBEPKQUGQ-QWHCGFSZSA-N 0 0 429.495 -0.182 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000729237216 1071606454 /nfs/dbraw/zinc/60/64/54/1071606454.db2.gz KJBJODBEPKQUGQ-STQMWFEESA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1F)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729238415 1071606597 /nfs/dbraw/zinc/60/65/97/1071606597.db2.gz LLLGXUKFYXLHFG-NEPJUHHUSA-N 0 0 436.483 -0.319 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccccc1F)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729238417 1071606582 /nfs/dbraw/zinc/60/65/82/1071606582.db2.gz LLLGXUKFYXLHFG-NWDGAFQWSA-N 0 0 436.483 -0.319 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccccc1F)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729238419 1071606567 /nfs/dbraw/zinc/60/65/67/1071606567.db2.gz LLLGXUKFYXLHFG-RYUDHWBXSA-N 0 0 436.483 -0.319 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1F)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729238420 1071606558 /nfs/dbraw/zinc/60/65/58/1071606558.db2.gz LLLGXUKFYXLHFG-VXGBXAGGSA-N 0 0 436.483 -0.319 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1ccccc1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729238621 1071606482 /nfs/dbraw/zinc/60/64/82/1071606482.db2.gz XKJTVHOPJCEVKC-QJPTWQEYSA-N 0 0 436.483 -0.273 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)O[C@@H](C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729238622 1071606545 /nfs/dbraw/zinc/60/65/45/1071606545.db2.gz XKJTVHOPJCEVKC-SDDRHHMPSA-N 0 0 436.483 -0.273 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)O[C@@H](C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729238623 1071606616 /nfs/dbraw/zinc/60/66/16/1071606616.db2.gz XKJTVHOPJCEVKC-SRVKXCTJSA-N 0 0 436.483 -0.273 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1ccccc1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729238624 1071606621 /nfs/dbraw/zinc/60/66/21/1071606621.db2.gz XKJTVHOPJCEVKC-TUAOUCFPSA-N 0 0 436.483 -0.273 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729239751 1071606552 /nfs/dbraw/zinc/60/65/52/1071606552.db2.gz MXMDOKCBZVUIIT-CMPLNLGQSA-N 0 0 438.911 -0.147 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729239752 1071606608 /nfs/dbraw/zinc/60/66/08/1071606608.db2.gz MXMDOKCBZVUIIT-JQWIXIFHSA-N 0 0 438.911 -0.147 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729239753 1071606627 /nfs/dbraw/zinc/60/66/27/1071606627.db2.gz MXMDOKCBZVUIIT-PWSUYJOCSA-N 0 0 438.911 -0.147 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729239754 1071606504 /nfs/dbraw/zinc/60/65/04/1071606504.db2.gz MXMDOKCBZVUIIT-ZYHUDNBSSA-N 0 0 438.911 -0.147 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729240135 1071606526 /nfs/dbraw/zinc/60/65/26/1071606526.db2.gz BTWITHNMESGASB-KBPBESRZSA-N 0 0 432.520 -0.102 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729240136 1071606518 /nfs/dbraw/zinc/60/65/18/1071606518.db2.gz BTWITHNMESGASB-KGLIPLIRSA-N 0 0 432.520 -0.102 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729240137 1071606467 /nfs/dbraw/zinc/60/64/67/1071606467.db2.gz BTWITHNMESGASB-UONOGXRCSA-N 0 0 432.520 -0.102 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729240138 1071606537 /nfs/dbraw/zinc/60/65/37/1071606537.db2.gz BTWITHNMESGASB-ZIAGYGMSSA-N 0 0 432.520 -0.102 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(F)c(NC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)c1 ZINC000729244621 1071606998 /nfs/dbraw/zinc/60/69/98/1071606998.db2.gz GOIOQUNHWXHOMS-UHFFFAOYSA-N 0 0 435.437 -0.133 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000729248767 1071606985 /nfs/dbraw/zinc/60/69/85/1071606985.db2.gz IZVPMDHSLOVRRX-RBZFPXEDSA-N 0 0 448.501 -0.858 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000729248771 1071607066 /nfs/dbraw/zinc/60/70/66/1071607066.db2.gz IZVPMDHSLOVRRX-RNODOKPDSA-N 0 0 448.501 -0.858 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000729248772 1071606988 /nfs/dbraw/zinc/60/69/88/1071606988.db2.gz IZVPMDHSLOVRRX-XCLFUZPHSA-N 0 0 448.501 -0.858 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000729248774 1071606994 /nfs/dbraw/zinc/60/69/94/1071606994.db2.gz IZVPMDHSLOVRRX-ZUOKHONESA-N 0 0 448.501 -0.858 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000729248925 1071607052 /nfs/dbraw/zinc/60/70/52/1071607052.db2.gz JICJFAUKVKIQRV-UHFFFAOYSA-N 0 0 449.526 -0.013 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000729249043 1071606975 /nfs/dbraw/zinc/60/69/75/1071606975.db2.gz QGOHOUVZPISBEW-LLVKDONJSA-N 0 0 435.499 -0.501 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000729249044 1071607047 /nfs/dbraw/zinc/60/70/47/1071607047.db2.gz QGOHOUVZPISBEW-NSHDSACASA-N 0 0 435.499 -0.501 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000729249267 1071607630 /nfs/dbraw/zinc/60/76/30/1071607630.db2.gz YDDAAZNAOULHFR-JTQLQIEISA-N 0 0 437.927 -0.377 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000729249268 1071607651 /nfs/dbraw/zinc/60/76/51/1071607651.db2.gz YDDAAZNAOULHFR-SNVBAGLBSA-N 0 0 437.927 -0.377 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(Cl)c(S(=O)(=O)N(C)OC)c1 ZINC000729249319 1071607679 /nfs/dbraw/zinc/60/76/79/1071607679.db2.gz UGTBLUARJYPEEU-CYBMUJFWSA-N 0 0 446.913 -0.011 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(Cl)c(S(=O)(=O)N(C)OC)c1 ZINC000729249320 1071607690 /nfs/dbraw/zinc/60/76/90/1071607690.db2.gz UGTBLUARJYPEEU-ZDUSSCGKSA-N 0 0 446.913 -0.011 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC000729249827 1071607008 /nfs/dbraw/zinc/60/70/08/1071607008.db2.gz CEAMSESZQRABIC-AWEZNQCLSA-N 0 0 434.474 -0.540 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC000729249831 1071607071 /nfs/dbraw/zinc/60/70/71/1071607071.db2.gz CEAMSESZQRABIC-CQSZACIVSA-N 0 0 434.474 -0.540 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000729250199 1071607642 /nfs/dbraw/zinc/60/76/42/1071607642.db2.gz CDJFZKBLWAXVMF-GBIKHYSHSA-N 0 0 433.870 -0.149 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000729250200 1071607568 /nfs/dbraw/zinc/60/75/68/1071607568.db2.gz CDJFZKBLWAXVMF-KWBADKCTSA-N 0 0 433.870 -0.149 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000729250201 1071607595 /nfs/dbraw/zinc/60/75/95/1071607595.db2.gz CDJFZKBLWAXVMF-NRUUGDAUSA-N 0 0 433.870 -0.149 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000729250202 1071607574 /nfs/dbraw/zinc/60/75/74/1071607574.db2.gz CDJFZKBLWAXVMF-OUJBWJOFSA-N 0 0 433.870 -0.149 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000729251110 1071607621 /nfs/dbraw/zinc/60/76/21/1071607621.db2.gz URFSDDZGJXCMCU-BTYIYWSLSA-N 0 0 427.461 -0.767 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000729251111 1071607585 /nfs/dbraw/zinc/60/75/85/1071607585.db2.gz URFSDDZGJXCMCU-QVKFZJNVSA-N 0 0 427.461 -0.767 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000729251112 1071607672 /nfs/dbraw/zinc/60/76/72/1071607672.db2.gz URFSDDZGJXCMCU-VFNWGFHPSA-N 0 0 427.461 -0.767 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000729251113 1071607682 /nfs/dbraw/zinc/60/76/82/1071607682.db2.gz URFSDDZGJXCMCU-YCRPNKLZSA-N 0 0 427.461 -0.767 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C(C)C)C2=O ZINC000729251122 1071607602 /nfs/dbraw/zinc/60/76/02/1071607602.db2.gz VRTQBSDBARNBOQ-AWEZNQCLSA-N 0 0 436.490 -0.294 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C(C)C)C2=O ZINC000729251123 1071607662 /nfs/dbraw/zinc/60/76/62/1071607662.db2.gz VRTQBSDBARNBOQ-CQSZACIVSA-N 0 0 436.490 -0.294 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000729251141 1071607658 /nfs/dbraw/zinc/60/76/58/1071607658.db2.gz XDNFMLBOZYKEGJ-GFCCVEGCSA-N 0 0 447.311 -0.437 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000729251142 1071607635 /nfs/dbraw/zinc/60/76/35/1071607635.db2.gz XDNFMLBOZYKEGJ-LBPRGKRZSA-N 0 0 447.311 -0.437 20 0 IBADRN COc1ccc(CC(=O)NCC2N=NC(=S)N2C)cc1S(=O)(=O)N1CCOCC1 ZINC000729251428 1071607579 /nfs/dbraw/zinc/60/75/79/1071607579.db2.gz SDWDKVACHKCUIM-UHFFFAOYSA-N 0 0 441.535 -0.008 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000729258801 1071608241 /nfs/dbraw/zinc/60/82/41/1071608241.db2.gz PPGXBRHDKKSJBA-UHFFFAOYSA-N 0 0 444.536 -0.186 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)O[C@H](C)C(=O)N2CCNC2=O)[C@H](C)O)cc1C ZINC000729264987 1071608193 /nfs/dbraw/zinc/60/81/93/1071608193.db2.gz ZZZSFNFQMIFXDI-GUTXKFCHSA-N 0 0 427.479 -0.185 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)O[C@@H](C)C(=O)N2CCNC2=O)[C@@H](C)O)cc1C ZINC000729264991 1071608274 /nfs/dbraw/zinc/60/82/74/1071608274.db2.gz ZZZSFNFQMIFXDI-IPYPFGDCSA-N 0 0 427.479 -0.185 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)O[C@H](C)C(=O)N2CCNC2=O)[C@@H](C)O)cc1C ZINC000729264992 1071608298 /nfs/dbraw/zinc/60/82/98/1071608298.db2.gz ZZZSFNFQMIFXDI-NFAWXSAZSA-N 0 0 427.479 -0.185 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)O[C@@H](C)C(=O)N2CCNC2=O)[C@H](C)O)cc1C ZINC000729264994 1071608781 /nfs/dbraw/zinc/60/87/81/1071608781.db2.gz ZZZSFNFQMIFXDI-YDHLFZDLSA-N 0 0 427.479 -0.185 20 0 IBADRN COCCN(C(=O)CN1CCC2(C1)OCCO2)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000729265708 1071608866 /nfs/dbraw/zinc/60/88/66/1071608866.db2.gz XNXWRVMHEVSDGN-UHFFFAOYSA-N 0 0 425.486 -0.385 20 0 IBADRN O=C(CN1CCC2(C1)OCCO2)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000729266562 1071608723 /nfs/dbraw/zinc/60/87/23/1071608723.db2.gz LYUVOHPWLYVIHN-UHFFFAOYSA-N 0 0 425.507 -0.228 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC(CS(N)(=O)=O)CC3)C2=O)cc1 ZINC000729270606 1071608183 /nfs/dbraw/zinc/60/81/83/1071608183.db2.gz FKDRAEFPIMHBRJ-IBGZPJMESA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC(CS(N)(=O)=O)CC3)C2=O)cc1 ZINC000729270607 1071608189 /nfs/dbraw/zinc/60/81/89/1071608189.db2.gz FKDRAEFPIMHBRJ-LJQANCHMSA-N 0 0 438.506 -0.011 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000729270921 1071608124 /nfs/dbraw/zinc/60/81/24/1071608124.db2.gz OULBXINZPXYNSK-GFCCVEGCSA-N 0 0 433.508 -0.756 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC000729270923 1071608234 /nfs/dbraw/zinc/60/82/34/1071608234.db2.gz OULBXINZPXYNSK-LBPRGKRZSA-N 0 0 433.508 -0.756 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000729271162 1071608112 /nfs/dbraw/zinc/60/81/12/1071608112.db2.gz PTWZFRVJSBPIAB-GFCCVEGCSA-N 0 0 433.508 -0.756 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000729271163 1071608131 /nfs/dbraw/zinc/60/81/31/1071608131.db2.gz PTWZFRVJSBPIAB-LBPRGKRZSA-N 0 0 433.508 -0.756 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000729284976 1071608888 /nfs/dbraw/zinc/60/88/88/1071608888.db2.gz PVTOKTATSIRZNH-UHFFFAOYSA-N 0 0 425.511 -0.411 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC000729285012 1071608786 /nfs/dbraw/zinc/60/87/86/1071608786.db2.gz RAANQJYWXDNTRD-SJORKVTESA-N 0 0 441.506 -0.463 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000729287440 1071608852 /nfs/dbraw/zinc/60/88/52/1071608852.db2.gz FNWKGXQCRCXQLV-UHFFFAOYSA-N 0 0 427.421 -0.415 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000729288523 1071608894 /nfs/dbraw/zinc/60/88/94/1071608894.db2.gz SUIJYAXHDIDLFV-UHFFFAOYSA-N 0 0 428.493 -0.240 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H](c2ccccc2)N2CCC(O)CC2)c(=O)n(C)c1=O ZINC000729291853 1071609304 /nfs/dbraw/zinc/60/93/04/1071609304.db2.gz CQZPSSSXJCMKQP-KRWDZBQOSA-N 0 0 430.461 -0.410 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H](c2ccccc2)N2CCC(O)CC2)c(=O)n(C)c1=O ZINC000729291854 1071609264 /nfs/dbraw/zinc/60/92/64/1071609264.db2.gz CQZPSSSXJCMKQP-QGZVFWFLSA-N 0 0 430.461 -0.410 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2ccc3c(c2)C(=O)NC3=O)cc1 ZINC000729293546 1071608840 /nfs/dbraw/zinc/60/88/40/1071608840.db2.gz MWVXNWDURNEHDY-UHFFFAOYSA-N 0 0 431.426 -0.267 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccc3c(c2)C(=O)NC3=O)c(=O)n(C)c1=O ZINC000729294374 1071608673 /nfs/dbraw/zinc/60/86/73/1071608673.db2.gz NOWKWDPFAKMNNY-UHFFFAOYSA-N 0 0 428.401 -0.292 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1ccccc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729295643 1071608772 /nfs/dbraw/zinc/60/87/72/1071608772.db2.gz CVGHEBQFJJCODN-KBPBESRZSA-N 0 0 432.520 -0.068 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1ccccc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729295646 1071609227 /nfs/dbraw/zinc/60/92/27/1071609227.db2.gz CVGHEBQFJJCODN-KGLIPLIRSA-N 0 0 432.520 -0.068 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1ccccc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729295650 1071609312 /nfs/dbraw/zinc/60/93/12/1071609312.db2.gz CVGHEBQFJJCODN-UONOGXRCSA-N 0 0 432.520 -0.068 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1ccccc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729295655 1071609239 /nfs/dbraw/zinc/60/92/39/1071609239.db2.gz CVGHEBQFJJCODN-ZIAGYGMSSA-N 0 0 432.520 -0.068 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@]2(CCCC[C@H]2C)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729297587 1071609299 /nfs/dbraw/zinc/60/92/99/1071609299.db2.gz RMSPBPRQTSBKMR-OXRQTFEDSA-N 0 0 429.495 -0.278 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@]2(CCCC[C@@H]2C)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729297595 1071609200 /nfs/dbraw/zinc/60/92/00/1071609200.db2.gz RMSPBPRQTSBKMR-RSLFNQERSA-N 0 0 429.495 -0.278 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000729317187 1071609250 /nfs/dbraw/zinc/60/92/50/1071609250.db2.gz WSKXBDQMFWGEFR-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN CCC(CC)N1C[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000729321474 1071609188 /nfs/dbraw/zinc/60/91/88/1071609188.db2.gz AZFAKYPGFFCGQG-GDBMZVCRSA-N 0 0 449.595 -0.315 20 0 IBADRN CCC(CC)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000729321475 1071609159 /nfs/dbraw/zinc/60/91/59/1071609159.db2.gz AZFAKYPGFFCGQG-GOEBONIOSA-N 0 0 449.595 -0.315 20 0 IBADRN CCC(CC)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000729321476 1071609924 /nfs/dbraw/zinc/60/99/24/1071609924.db2.gz AZFAKYPGFFCGQG-HOCLYGCPSA-N 0 0 449.595 -0.315 20 0 IBADRN CCC(CC)N1C[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000729321477 1071609889 /nfs/dbraw/zinc/60/98/89/1071609889.db2.gz AZFAKYPGFFCGQG-ZBFHGGJFSA-N 0 0 449.595 -0.315 20 0 IBADRN COC(=O)N(C)CCCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000729321672 1071609232 /nfs/dbraw/zinc/60/92/32/1071609232.db2.gz KSRGBSMZYSHUPM-CYBMUJFWSA-N 0 0 425.529 -0.874 20 0 IBADRN COC(=O)N(C)CCCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000729321673 1071609175 /nfs/dbraw/zinc/60/91/75/1071609175.db2.gz KSRGBSMZYSHUPM-ZDUSSCGKSA-N 0 0 425.529 -0.874 20 0 IBADRN O=C(NC1CC1)C1(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCC1 ZINC000729321715 1071609142 /nfs/dbraw/zinc/60/91/42/1071609142.db2.gz MHZHSKPEYSKAGN-AWEZNQCLSA-N 0 0 433.552 -0.904 20 0 IBADRN O=C(NC1CC1)C1(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCC1 ZINC000729321716 1071609181 /nfs/dbraw/zinc/60/91/81/1071609181.db2.gz MHZHSKPEYSKAGN-CQSZACIVSA-N 0 0 433.552 -0.904 20 0 IBADRN C[C@@H](OC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)NC(=O)NC(C)(C)C ZINC000729322028 1071609169 /nfs/dbraw/zinc/60/91/69/1071609169.db2.gz HAZOIUXZLASAMP-GHMZBOCLSA-N 0 0 430.483 -0.292 20 0 IBADRN C[C@@H](OC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)NC(=O)NC(C)(C)C ZINC000729322029 1071609211 /nfs/dbraw/zinc/60/92/11/1071609211.db2.gz HAZOIUXZLASAMP-MNOVXSKESA-N 0 0 430.483 -0.292 20 0 IBADRN C[C@H](OC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)NC(=O)NC(C)(C)C ZINC000729322030 1071609194 /nfs/dbraw/zinc/60/91/94/1071609194.db2.gz HAZOIUXZLASAMP-QWRGUYRKSA-N 0 0 430.483 -0.292 20 0 IBADRN C[C@H](OC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)NC(=O)NC(C)(C)C ZINC000729322031 1071609151 /nfs/dbraw/zinc/60/91/51/1071609151.db2.gz HAZOIUXZLASAMP-WDEREUQCSA-N 0 0 430.483 -0.292 20 0 IBADRN Cn1nnc2cc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC000729322323 1071609963 /nfs/dbraw/zinc/60/99/63/1071609963.db2.gz WJKPCXKLZYARKU-CYBMUJFWSA-N 0 0 427.508 -0.757 20 0 IBADRN Cn1nnc2cc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC000729322324 1071609943 /nfs/dbraw/zinc/60/99/43/1071609943.db2.gz WJKPCXKLZYARKU-ZDUSSCGKSA-N 0 0 427.508 -0.757 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)NCC(F)(F)F ZINC000729323389 1071609968 /nfs/dbraw/zinc/60/99/68/1071609968.db2.gz RWYJVCRFCSDXFQ-MRVPVSSYSA-N 0 0 442.372 -0.917 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)NCC(F)(F)F ZINC000729323390 1071609905 /nfs/dbraw/zinc/60/99/05/1071609905.db2.gz RWYJVCRFCSDXFQ-QMMMGPOBSA-N 0 0 442.372 -0.917 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000729323429 1071609880 /nfs/dbraw/zinc/60/98/80/1071609880.db2.gz KROZWGMAIDDDBT-KBPBESRZSA-N 0 0 432.520 -0.183 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000729323431 1071609919 /nfs/dbraw/zinc/60/99/19/1071609919.db2.gz KROZWGMAIDDDBT-KGLIPLIRSA-N 0 0 432.520 -0.183 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729323432 1071609982 /nfs/dbraw/zinc/60/99/82/1071609982.db2.gz KROZWGMAIDDDBT-UONOGXRCSA-N 0 0 432.520 -0.183 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729323434 1071609929 /nfs/dbraw/zinc/60/99/29/1071609929.db2.gz KROZWGMAIDDDBT-ZIAGYGMSSA-N 0 0 432.520 -0.183 20 0 IBADRN NC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3Cl)CC2)CC1 ZINC000729344125 1071610379 /nfs/dbraw/zinc/61/03/79/1071610379.db2.gz BBHILRXRMMIJMS-UHFFFAOYSA-N 0 0 429.930 -0.235 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)CC1 ZINC000729344835 1071610431 /nfs/dbraw/zinc/61/04/31/1071610431.db2.gz MTNRIAHQJIHSHN-AWEZNQCLSA-N 0 0 436.490 -0.747 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)CC1 ZINC000729344837 1071610454 /nfs/dbraw/zinc/61/04/54/1071610454.db2.gz MTNRIAHQJIHSHN-CQSZACIVSA-N 0 0 436.490 -0.747 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(CC(N)=O)CC2)ccc1Br ZINC000729344990 1071610368 /nfs/dbraw/zinc/61/03/68/1071610368.db2.gz LYWVUUWICQKDKZ-UHFFFAOYSA-N 0 0 433.328 -0.058 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000729362491 1071611475 /nfs/dbraw/zinc/61/14/75/1071611475.db2.gz CMEUVQGLGCPEDN-UHFFFAOYSA-N 0 0 430.442 -0.084 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCCC[C@@H]1CNC(N)=O)c2=O ZINC000729362933 1071610939 /nfs/dbraw/zinc/61/09/39/1071610939.db2.gz HDUJVYXVWBNIRZ-SECBINFHSA-N 0 0 426.275 -0.058 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCCC[C@H]1CNC(N)=O)c2=O ZINC000729362934 1071610999 /nfs/dbraw/zinc/61/09/99/1071610999.db2.gz HDUJVYXVWBNIRZ-VIFPVBQESA-N 0 0 426.275 -0.058 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)O[C@H](C)C(=O)N2CCNC2=O)cc1 ZINC000729365541 1071612522 /nfs/dbraw/zinc/61/25/22/1071612522.db2.gz RBJMOKPJCBBVFO-LLVKDONJSA-N 0 0 426.451 -0.251 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)O[C@@H](C)C(=O)N2CCNC2=O)cc1 ZINC000729365542 1071612476 /nfs/dbraw/zinc/61/24/76/1071612476.db2.gz RBJMOKPJCBBVFO-NSHDSACASA-N 0 0 426.451 -0.251 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)O[C@H](C)C(=O)N2CCNC2=O)cc1 ZINC000729366297 1071612486 /nfs/dbraw/zinc/61/24/86/1071612486.db2.gz ZXHVYSDUTDXXQK-LLVKDONJSA-N 0 0 426.451 -0.203 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)O[C@@H](C)C(=O)N2CCNC2=O)cc1 ZINC000729366298 1071612505 /nfs/dbraw/zinc/61/25/05/1071612505.db2.gz ZXHVYSDUTDXXQK-NSHDSACASA-N 0 0 426.451 -0.203 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000729376623 1071613156 /nfs/dbraw/zinc/61/31/56/1071613156.db2.gz LUFCVJOKHFOJRN-UHFFFAOYSA-N 0 0 444.517 -0.103 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000729401530 1071613651 /nfs/dbraw/zinc/61/36/51/1071613651.db2.gz VHTHCYKJORWDGS-UHFFFAOYSA-N 0 0 433.575 -0.087 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000729401543 1072955418 /nfs/dbraw/zinc/95/54/18/1072955418.db2.gz VRRPTDXBIRBKOR-UHFFFAOYSA-N 0 0 444.558 -0.291 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CCn1cc(S(N)(=O)=O)cn1 ZINC000729401763 1071613638 /nfs/dbraw/zinc/61/36/38/1071613638.db2.gz XIVHPGNNWGMMBC-UHFFFAOYSA-N 0 0 444.558 -0.068 20 0 IBADRN CCN1CCN(CC(=O)N(C)CCN2CCN(C(=O)OC(C)(C)C)CC2)C(=O)C1=O ZINC000729402177 1071613716 /nfs/dbraw/zinc/61/37/16/1071613716.db2.gz ZDDMNFFCFBIDLG-UHFFFAOYSA-N 0 0 425.530 -0.312 20 0 IBADRN COCCN(CC(=O)OC(C)(C)C)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000729405660 1071613698 /nfs/dbraw/zinc/61/36/98/1071613698.db2.gz JQQLMTKQZMJXTH-CYBMUJFWSA-N 0 0 431.511 -0.031 20 0 IBADRN COCCN(CC(=O)OC(C)(C)C)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000729405661 1071613623 /nfs/dbraw/zinc/61/36/23/1071613623.db2.gz JQQLMTKQZMJXTH-ZDUSSCGKSA-N 0 0 431.511 -0.031 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2ccc(F)c(S(N)(=O)=O)c2)c1 ZINC000729418061 1071613705 /nfs/dbraw/zinc/61/37/05/1071613705.db2.gz RUDLLQLWWOMYIG-UHFFFAOYSA-N 0 0 444.466 -0.250 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)O[C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1=O ZINC000729422794 1071613615 /nfs/dbraw/zinc/61/36/15/1071613615.db2.gz GPJIUNCHLULEHO-BMLIUANNSA-N 0 0 426.451 -0.075 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)O[C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1=O ZINC000729422796 1071613606 /nfs/dbraw/zinc/61/36/06/1071613606.db2.gz GPJIUNCHLULEHO-BTDLBPIBSA-N 0 0 426.451 -0.075 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)O[C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1=O ZINC000729422799 1071613665 /nfs/dbraw/zinc/61/36/65/1071613665.db2.gz GPJIUNCHLULEHO-DYZYQPBXSA-N 0 0 426.451 -0.075 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)O[C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)C1=O ZINC000729422801 1072959358 /nfs/dbraw/zinc/95/93/58/1072959358.db2.gz GPJIUNCHLULEHO-QGHHPUGFSA-N 0 0 426.451 -0.075 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CCCS(N)(=O)=O)CC1 ZINC000729470280 1072166404 /nfs/dbraw/zinc/16/64/04/1072166404.db2.gz GUBADHUYKAANGD-UHFFFAOYSA-N 0 0 439.581 -0.617 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000729484217 1072244449 /nfs/dbraw/zinc/24/44/49/1072244449.db2.gz COGJELZCIURSRK-CYBMUJFWSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000729484218 1072244565 /nfs/dbraw/zinc/24/45/65/1072244565.db2.gz COGJELZCIURSRK-ZDUSSCGKSA-N 0 0 440.540 -0.043 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000729484281 1072244662 /nfs/dbraw/zinc/24/46/62/1072244662.db2.gz INXIQYRFAZUGLG-UHFFFAOYSA-N 0 0 437.474 -0.404 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000729485008 1072246550 /nfs/dbraw/zinc/24/65/50/1072246550.db2.gz SFYHABNQBFFRPL-UHFFFAOYSA-N 0 0 441.437 -0.406 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000729487601 1072274061 /nfs/dbraw/zinc/27/40/61/1072274061.db2.gz AVSNWZDOXLDKRU-UHFFFAOYSA-N 0 0 445.542 -0.743 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCNC1=O ZINC000729501998 1072295378 /nfs/dbraw/zinc/29/53/78/1072295378.db2.gz ADIBETVHNWJTBF-LLVKDONJSA-N 0 0 427.435 -0.390 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCNC1=O ZINC000729501999 1072295364 /nfs/dbraw/zinc/29/53/64/1072295364.db2.gz ADIBETVHNWJTBF-NSHDSACASA-N 0 0 427.435 -0.390 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000729509926 1072300768 /nfs/dbraw/zinc/30/07/68/1072300768.db2.gz DGEBWVFOEXGEJS-UHFFFAOYSA-N 0 0 444.536 -0.186 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC000729512624 1072304047 /nfs/dbraw/zinc/30/40/47/1072304047.db2.gz NNMTZRKINGIHED-MSOLQXFVSA-N 0 0 446.504 -0.046 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000729515587 1072305602 /nfs/dbraw/zinc/30/56/02/1072305602.db2.gz IKSRPPFHVYVSRL-CMPLNLGQSA-N 0 0 434.492 -0.548 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000729515589 1072305524 /nfs/dbraw/zinc/30/55/24/1072305524.db2.gz IKSRPPFHVYVSRL-JQWIXIFHSA-N 0 0 434.492 -0.548 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)ccc1OC ZINC000729515590 1072305558 /nfs/dbraw/zinc/30/55/58/1072305558.db2.gz IKSRPPFHVYVSRL-PWSUYJOCSA-N 0 0 434.492 -0.548 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1OC ZINC000729515591 1072305566 /nfs/dbraw/zinc/30/55/66/1072305566.db2.gz IKSRPPFHVYVSRL-ZYHUDNBSSA-N 0 0 434.492 -0.548 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(OC)c(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000729516668 1072306456 /nfs/dbraw/zinc/30/64/56/1072306456.db2.gz XAQXCRGWBBBALA-AAEUAGOBSA-N 0 0 448.519 -0.077 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(OC)c(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000729516670 1072306550 /nfs/dbraw/zinc/30/65/50/1072306550.db2.gz XAQXCRGWBBBALA-DGCLKSJQSA-N 0 0 448.519 -0.077 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(OC)c(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000729516672 1072306389 /nfs/dbraw/zinc/30/63/89/1072306389.db2.gz XAQXCRGWBBBALA-WCQYABFASA-N 0 0 448.519 -0.077 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(OC)c(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000729516674 1072306445 /nfs/dbraw/zinc/30/64/45/1072306445.db2.gz XAQXCRGWBBBALA-YPMHNXCESA-N 0 0 448.519 -0.077 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729517439 1072306948 /nfs/dbraw/zinc/30/69/48/1072306948.db2.gz JTMZOSINCXIFAT-GHMZBOCLSA-N 0 0 436.483 -0.075 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729517440 1072306920 /nfs/dbraw/zinc/30/69/20/1072306920.db2.gz JTMZOSINCXIFAT-MNOVXSKESA-N 0 0 436.483 -0.075 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729517441 1072306839 /nfs/dbraw/zinc/30/68/39/1072306839.db2.gz JTMZOSINCXIFAT-QWRGUYRKSA-N 0 0 436.483 -0.075 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729517442 1072307011 /nfs/dbraw/zinc/30/70/11/1072307011.db2.gz JTMZOSINCXIFAT-WDEREUQCSA-N 0 0 436.483 -0.075 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)O[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729517462 1072306886 /nfs/dbraw/zinc/30/68/86/1072306886.db2.gz LXTFVVWMHSGQJM-GHMZBOCLSA-N 0 0 436.483 -0.075 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)O[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000729517463 1072306850 /nfs/dbraw/zinc/30/68/50/1072306850.db2.gz LXTFVVWMHSGQJM-MNOVXSKESA-N 0 0 436.483 -0.075 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)O[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000729517464 1072306939 /nfs/dbraw/zinc/30/69/39/1072306939.db2.gz LXTFVVWMHSGQJM-QWRGUYRKSA-N 0 0 436.483 -0.075 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)O[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729517465 1072306975 /nfs/dbraw/zinc/30/69/75/1072306975.db2.gz LXTFVVWMHSGQJM-WDEREUQCSA-N 0 0 436.483 -0.075 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cccc(F)c1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729518007 1072306003 /nfs/dbraw/zinc/30/60/03/1072306003.db2.gz FJLATTAKTPUGSV-AAEUAGOBSA-N 0 0 436.483 -0.319 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cccc(F)c1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729518008 1072305856 /nfs/dbraw/zinc/30/58/56/1072305856.db2.gz FJLATTAKTPUGSV-DGCLKSJQSA-N 0 0 436.483 -0.319 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cccc(F)c1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729518009 1072305970 /nfs/dbraw/zinc/30/59/70/1072305970.db2.gz FJLATTAKTPUGSV-WCQYABFASA-N 0 0 436.483 -0.319 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cccc(F)c1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729518011 1072305977 /nfs/dbraw/zinc/30/59/77/1072305977.db2.gz FJLATTAKTPUGSV-YPMHNXCESA-N 0 0 436.483 -0.319 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)CC1 ZINC000729519152 1072307432 /nfs/dbraw/zinc/30/74/32/1072307432.db2.gz LHPXPIQXNDXYFC-UHFFFAOYSA-N 0 0 425.330 -0.338 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC000729521421 1072313396 /nfs/dbraw/zinc/31/33/96/1072313396.db2.gz JDDUBNSWNGHPGZ-SJORKVTESA-N 0 0 425.507 -0.395 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCCN2CCS(=O)(=O)CC2)sc1Br ZINC000729521705 1072988028 /nfs/dbraw/zinc/98/80/28/1072988028.db2.gz VUGGYDHNOAFIAF-UHFFFAOYSA-N 0 0 446.370 -0.382 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC000729522041 1072314528 /nfs/dbraw/zinc/31/45/28/1072314528.db2.gz GEYJUUYERVMNSM-SJORKVTESA-N 0 0 440.522 -0.291 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC000729522686 1072317992 /nfs/dbraw/zinc/31/79/92/1072317992.db2.gz XARSWORAGCWHQS-SJORKVTESA-N 0 0 443.464 -0.884 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000729526232 1072321044 /nfs/dbraw/zinc/32/10/44/1072321044.db2.gz VRXIWSLPPQNZGY-UHFFFAOYSA-N 0 0 438.554 -0.496 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1cccs1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729526843 1072322174 /nfs/dbraw/zinc/32/21/74/1072322174.db2.gz IJUOJWAZYZQOBE-NEPJUHHUSA-N 0 0 438.549 -0.006 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1cccs1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729526844 1072322118 /nfs/dbraw/zinc/32/21/18/1072322118.db2.gz IJUOJWAZYZQOBE-NWDGAFQWSA-N 0 0 438.549 -0.006 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1cccs1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729526846 1072987988 /nfs/dbraw/zinc/98/79/88/1072987988.db2.gz IJUOJWAZYZQOBE-RYUDHWBXSA-N 0 0 438.549 -0.006 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1cccs1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729526848 1072321942 /nfs/dbraw/zinc/32/19/42/1072321942.db2.gz IJUOJWAZYZQOBE-VXGBXAGGSA-N 0 0 438.549 -0.006 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000729535567 1072502493 /nfs/dbraw/zinc/50/24/93/1072502493.db2.gz HTAIRFPMVBENPP-UHFFFAOYSA-N 0 0 430.531 -0.458 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729536865 1072505805 /nfs/dbraw/zinc/50/58/05/1072505805.db2.gz YOUOBYBGCGYLNB-HKMRUAMVSA-N 0 0 449.485 -0.385 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729536866 1072506057 /nfs/dbraw/zinc/50/60/57/1072506057.db2.gz YOUOBYBGCGYLNB-KBARZSOFSA-N 0 0 449.485 -0.385 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729536867 1072505926 /nfs/dbraw/zinc/50/59/26/1072505926.db2.gz YOUOBYBGCGYLNB-SIFORAMQSA-N 0 0 449.485 -0.385 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729536868 1072506026 /nfs/dbraw/zinc/50/60/26/1072506026.db2.gz YOUOBYBGCGYLNB-TVSHIAKJSA-N 0 0 449.485 -0.385 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)c(F)c1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000729542230 1072529296 /nfs/dbraw/zinc/52/92/96/1072529296.db2.gz YNSAIEOUIHXAIP-NEPJUHHUSA-N 0 0 445.444 -0.014 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(F)c(F)c1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000729542231 1072529490 /nfs/dbraw/zinc/52/94/90/1072529490.db2.gz YNSAIEOUIHXAIP-NWDGAFQWSA-N 0 0 445.444 -0.014 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)c(F)c1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000729542232 1072529384 /nfs/dbraw/zinc/52/93/84/1072529384.db2.gz YNSAIEOUIHXAIP-RYUDHWBXSA-N 0 0 445.444 -0.014 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(F)c(F)c1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000729542233 1072529371 /nfs/dbraw/zinc/52/93/71/1072529371.db2.gz YNSAIEOUIHXAIP-VXGBXAGGSA-N 0 0 445.444 -0.014 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000729544525 1072532192 /nfs/dbraw/zinc/53/21/92/1072532192.db2.gz HKANHFLWSTVTGG-UHFFFAOYSA-N 0 0 437.497 -0.983 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC2(CCCCC2)C1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729566528 1072582052 /nfs/dbraw/zinc/58/20/52/1072582052.db2.gz XYSMTTGBXYUQGZ-CHWSQXEVSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC2(CCCCC2)C1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729566529 1072582006 /nfs/dbraw/zinc/58/20/06/1072582006.db2.gz XYSMTTGBXYUQGZ-OLZOCXBDSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)NC2(CCCCC2)C1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729566530 1072582467 /nfs/dbraw/zinc/58/24/67/1072582467.db2.gz XYSMTTGBXYUQGZ-QWHCGFSZSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)NC2(CCCCC2)C1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729566531 1072582420 /nfs/dbraw/zinc/58/24/20/1072582420.db2.gz XYSMTTGBXYUQGZ-STQMWFEESA-N 0 0 429.495 -0.182 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Nc1ccc(F)c(F)c1 ZINC000729583063 1072631230 /nfs/dbraw/zinc/63/12/30/1072631230.db2.gz MJZKFYUAWFHFQQ-UHFFFAOYSA-N 0 0 437.403 -0.094 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000729588218 1072752701 /nfs/dbraw/zinc/75/27/01/1072752701.db2.gz USQAEETUKYNQMR-FNFWKMNBSA-N 0 0 429.495 -0.326 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000729588222 1072752787 /nfs/dbraw/zinc/75/27/87/1072752787.db2.gz USQAEETUKYNQMR-RRUGBERISA-N 0 0 429.495 -0.326 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000729588224 1072752841 /nfs/dbraw/zinc/75/28/41/1072752841.db2.gz USQAEETUKYNQMR-SRBZOADZSA-N 0 0 429.495 -0.326 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000729588225 1072752875 /nfs/dbraw/zinc/75/28/75/1072752875.db2.gz USQAEETUKYNQMR-WHWRDJHUSA-N 0 0 429.495 -0.326 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000729588661 1072809753 /nfs/dbraw/zinc/80/97/53/1072809753.db2.gz ZKZZJWAZFDNPHL-MGNBDDOMSA-N 0 0 438.462 -0.075 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000729588662 1072810379 /nfs/dbraw/zinc/81/03/79/1072810379.db2.gz ZKZZJWAZFDNPHL-MLCYQJTMSA-N 0 0 438.462 -0.075 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000729588663 1072809843 /nfs/dbraw/zinc/80/98/43/1072809843.db2.gz ZKZZJWAZFDNPHL-XTZNXHDOSA-N 0 0 438.462 -0.075 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000729588664 1072810562 /nfs/dbraw/zinc/81/05/62/1072810562.db2.gz ZKZZJWAZFDNPHL-YPMLDQLKSA-N 0 0 438.462 -0.075 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000729589514 1072823573 /nfs/dbraw/zinc/82/35/73/1072823573.db2.gz RWAIAMWSFQPWPW-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2cccnc2-n2ccnc2)CC1 ZINC000729590349 1072822663 /nfs/dbraw/zinc/82/26/63/1072822663.db2.gz DOPGQSVANNSMOD-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729594789 1072847517 /nfs/dbraw/zinc/84/75/17/1072847517.db2.gz PJDIELUNDMSCAT-DVAPBTGTSA-N 0 0 429.495 -0.278 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729594791 1072847023 /nfs/dbraw/zinc/84/70/23/1072847023.db2.gz PJDIELUNDMSCAT-MWDZPIOTSA-N 0 0 429.495 -0.278 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729594793 1072847588 /nfs/dbraw/zinc/84/75/88/1072847588.db2.gz PJDIELUNDMSCAT-NKXNIHSHSA-N 0 0 429.495 -0.278 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729594795 1072847971 /nfs/dbraw/zinc/84/79/71/1072847971.db2.gz PJDIELUNDMSCAT-YMOZUKEZSA-N 0 0 429.495 -0.278 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729603005 1072870347 /nfs/dbraw/zinc/87/03/47/1072870347.db2.gz RXMKPFSUFKOQEY-AAEUAGOBSA-N 0 0 436.483 -0.271 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729603006 1072870552 /nfs/dbraw/zinc/87/05/52/1072870552.db2.gz RXMKPFSUFKOQEY-DGCLKSJQSA-N 0 0 436.483 -0.271 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729603007 1072870369 /nfs/dbraw/zinc/87/03/69/1072870369.db2.gz RXMKPFSUFKOQEY-WCQYABFASA-N 0 0 436.483 -0.271 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1ccc(F)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729603008 1072870482 /nfs/dbraw/zinc/87/04/82/1072870482.db2.gz RXMKPFSUFKOQEY-YPMHNXCESA-N 0 0 436.483 -0.271 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCNC2=O)cc1 ZINC000729603356 1072870540 /nfs/dbraw/zinc/87/05/40/1072870540.db2.gz UCHYGQBMUIFSRP-LLVKDONJSA-N 0 0 427.435 -0.375 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCNC2=O)cc1 ZINC000729603357 1072870221 /nfs/dbraw/zinc/87/02/21/1072870221.db2.gz UCHYGQBMUIFSRP-NSHDSACASA-N 0 0 427.435 -0.375 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccnc(-n3ccnc3)c2)CC1 ZINC000729606747 1072874415 /nfs/dbraw/zinc/87/44/15/1072874415.db2.gz PVHQCFIJWSJVBW-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN COCC[N@H+](C)CCNC(=O)NCCN=c1ncnc2n(C)[n-]c(Br)c1-2 ZINC000729611630 1072882999 /nfs/dbraw/zinc/88/29/99/1072882999.db2.gz MLBSHZQBPQMVJE-UHFFFAOYSA-N 0 0 429.323 -0.211 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729612830 1072883359 /nfs/dbraw/zinc/88/33/59/1072883359.db2.gz JSWCPWBGCSAQAM-GXTWGEPZSA-N 0 0 446.503 -0.598 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729612831 1072883307 /nfs/dbraw/zinc/88/33/07/1072883307.db2.gz JSWCPWBGCSAQAM-JSGCOSHPSA-N 0 0 446.503 -0.598 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729612832 1072883234 /nfs/dbraw/zinc/88/32/34/1072883234.db2.gz JSWCPWBGCSAQAM-OCCSQVGLSA-N 0 0 446.503 -0.598 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729612833 1072883211 /nfs/dbraw/zinc/88/32/11/1072883211.db2.gz JSWCPWBGCSAQAM-TZMCWYRMSA-N 0 0 446.503 -0.598 20 0 IBADRN CN(CCNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(=O)OC(C)(C)C ZINC000729613269 1072883276 /nfs/dbraw/zinc/88/32/76/1072883276.db2.gz KPAODJGLYJASFI-UHFFFAOYSA-N 0 0 425.486 -0.144 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000729613951 1072883555 /nfs/dbraw/zinc/88/35/55/1072883555.db2.gz UOUUKILYBWOKTA-UHFFFAOYSA-N 0 0 425.486 -0.096 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729618128 1072886026 /nfs/dbraw/zinc/88/60/26/1072886026.db2.gz ASMBNWMUXKJQLZ-GXTWGEPZSA-N 0 0 446.503 -0.598 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000729618130 1072885948 /nfs/dbraw/zinc/88/59/48/1072885948.db2.gz ASMBNWMUXKJQLZ-JSGCOSHPSA-N 0 0 446.503 -0.598 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000729618132 1072989826 /nfs/dbraw/zinc/98/98/26/1072989826.db2.gz ASMBNWMUXKJQLZ-OCCSQVGLSA-N 0 0 446.503 -0.598 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729618134 1072885805 /nfs/dbraw/zinc/88/58/05/1072885805.db2.gz ASMBNWMUXKJQLZ-TZMCWYRMSA-N 0 0 446.503 -0.598 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1ccc3c(c1)CC(=O)N3C)c(=O)n2C ZINC000729627119 1072887158 /nfs/dbraw/zinc/88/71/58/1072887158.db2.gz LXHQAXTUHCWGHH-UHFFFAOYSA-N 0 0 425.401 -0.621 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCN1S(C)(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000729627130 1072887004 /nfs/dbraw/zinc/88/70/04/1072887004.db2.gz GUJQXPBSPAOZEC-DOMZBBRYSA-N 0 0 447.535 -0.652 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCN1S(C)(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000729627133 1072886966 /nfs/dbraw/zinc/88/69/66/1072886966.db2.gz GUJQXPBSPAOZEC-WFASDCNBSA-N 0 0 447.535 -0.652 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@H](C)C(=O)N2CCNC2=O)c1 ZINC000729627834 1072887396 /nfs/dbraw/zinc/88/73/96/1072887396.db2.gz XBPZPBOEQFFQJQ-GFCCVEGCSA-N 0 0 428.467 -0.638 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@@H](C)C(=O)N2CCNC2=O)c1 ZINC000729627835 1072887606 /nfs/dbraw/zinc/88/76/06/1072887606.db2.gz XBPZPBOEQFFQJQ-LBPRGKRZSA-N 0 0 428.467 -0.638 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cnn3ccccc23)c(=O)n1CC(=O)NCCOC ZINC000729643724 1072891013 /nfs/dbraw/zinc/89/10/13/1072891013.db2.gz HPIYMIISXLNZMV-UHFFFAOYSA-N 0 0 430.486 -0.508 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cnn3ccccc23)c(=O)n1CC(=O)N1CCOCC1 ZINC000729644478 1072890949 /nfs/dbraw/zinc/89/09/49/1072890949.db2.gz VIAUVILEWQYALG-UHFFFAOYSA-N 0 0 442.497 -0.411 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000729645116 1072891390 /nfs/dbraw/zinc/89/13/90/1072891390.db2.gz ROCQNCJUPZIERL-CYBMUJFWSA-N 0 0 438.572 -0.008 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000729645117 1072891452 /nfs/dbraw/zinc/89/14/52/1072891452.db2.gz ROCQNCJUPZIERL-ZDUSSCGKSA-N 0 0 438.572 -0.008 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)c1 ZINC000729648516 1072891991 /nfs/dbraw/zinc/89/19/91/1072891991.db2.gz DRHKDIVBJIHTIT-UHFFFAOYSA-N 0 0 440.459 -0.459 20 0 IBADRN C[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)NC(=O)NCc1ccccc1 ZINC000729649062 1072892376 /nfs/dbraw/zinc/89/23/76/1072892376.db2.gz MWQCQZRRZXNKOX-GFCCVEGCSA-N 0 0 433.446 -0.086 20 0 IBADRN C[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)NC(=O)NCc1ccccc1 ZINC000729649065 1072892360 /nfs/dbraw/zinc/89/23/60/1072892360.db2.gz MWQCQZRRZXNKOX-LBPRGKRZSA-N 0 0 433.446 -0.086 20 0 IBADRN C[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)Nc1sccc1C(N)=O ZINC000729649262 1072891981 /nfs/dbraw/zinc/89/19/81/1072891981.db2.gz PPXDCVDYXAYNPU-MRVPVSSYSA-N 0 0 425.448 -0.313 20 0 IBADRN C[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)Nc1sccc1C(N)=O ZINC000729649264 1072891874 /nfs/dbraw/zinc/89/18/74/1072891874.db2.gz PPXDCVDYXAYNPU-QMMMGPOBSA-N 0 0 425.448 -0.313 20 0 IBADRN C[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CC(=O)Nc2ccccc21 ZINC000729649650 1072892340 /nfs/dbraw/zinc/89/23/40/1072892340.db2.gz ZGKXIIZAQUHRGN-LLVKDONJSA-N 0 0 431.430 -0.127 20 0 IBADRN C[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CC(=O)Nc2ccccc21 ZINC000729649652 1072892284 /nfs/dbraw/zinc/89/22/84/1072892284.db2.gz ZGKXIIZAQUHRGN-NSHDSACASA-N 0 0 431.430 -0.127 20 0 IBADRN C[C@@H](OC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCNC1=O ZINC000729659370 1072892820 /nfs/dbraw/zinc/89/28/20/1072892820.db2.gz UXTXIOQKEKLOOQ-GFCCVEGCSA-N 0 0 441.462 0.000 20 0 IBADRN C[C@H](OC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCNC1=O ZINC000729659371 1072892938 /nfs/dbraw/zinc/89/29/38/1072892938.db2.gz UXTXIOQKEKLOOQ-LBPRGKRZSA-N 0 0 441.462 0.000 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCNC1=O ZINC000729667161 1072893329 /nfs/dbraw/zinc/89/33/29/1072893329.db2.gz OVHWJUPOIUTEAT-GFCCVEGCSA-N 0 0 441.462 0.000 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCNC1=O ZINC000729667162 1072893246 /nfs/dbraw/zinc/89/32/46/1072893246.db2.gz OVHWJUPOIUTEAT-LBPRGKRZSA-N 0 0 441.462 0.000 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729675692 1072897158 /nfs/dbraw/zinc/89/71/58/1072897158.db2.gz PLJMXUJRFNJVEV-AAEUAGOBSA-N 0 0 434.492 -0.283 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729675693 1072897309 /nfs/dbraw/zinc/89/73/09/1072897309.db2.gz PLJMXUJRFNJVEV-DGCLKSJQSA-N 0 0 434.492 -0.283 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729675694 1072897344 /nfs/dbraw/zinc/89/73/44/1072897344.db2.gz PLJMXUJRFNJVEV-WCQYABFASA-N 0 0 434.492 -0.283 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729675695 1072897188 /nfs/dbraw/zinc/89/71/88/1072897188.db2.gz PLJMXUJRFNJVEV-YPMHNXCESA-N 0 0 434.492 -0.283 20 0 IBADRN C[C@@H](OC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000729675738 1072897127 /nfs/dbraw/zinc/89/71/27/1072897127.db2.gz PSKZYVVTLLWZJN-LLVKDONJSA-N 0 0 426.451 -0.122 20 0 IBADRN C[C@H](OC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000729675739 1072897115 /nfs/dbraw/zinc/89/71/15/1072897115.db2.gz PSKZYVVTLLWZJN-NSHDSACASA-N 0 0 426.451 -0.122 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(Cl)c1CNCCS(=O)(=O)N1CCOCC1 ZINC000729683428 1072899111 /nfs/dbraw/zinc/89/91/11/1072899111.db2.gz OWDPQSTWVONGAJ-CYBMUJFWSA-N 0 0 440.975 -0.044 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(Cl)c1CNCCS(=O)(=O)N1CCOCC1 ZINC000729683431 1072899232 /nfs/dbraw/zinc/89/92/32/1072899232.db2.gz OWDPQSTWVONGAJ-ZDUSSCGKSA-N 0 0 440.975 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729687446 1072925656 /nfs/dbraw/zinc/92/56/56/1072925656.db2.gz LFEDDPCOEXMYDB-GXTWGEPZSA-N 0 0 432.520 -0.238 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729687447 1072925689 /nfs/dbraw/zinc/92/56/89/1072925689.db2.gz LFEDDPCOEXMYDB-JSGCOSHPSA-N 0 0 432.520 -0.238 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000729687448 1072926080 /nfs/dbraw/zinc/92/60/80/1072926080.db2.gz LFEDDPCOEXMYDB-OCCSQVGLSA-N 0 0 432.520 -0.238 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000729687449 1072926225 /nfs/dbraw/zinc/92/62/25/1072926225.db2.gz LFEDDPCOEXMYDB-TZMCWYRMSA-N 0 0 432.520 -0.238 20 0 IBADRN C[C@H](OC(=O)CCc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729687614 1072952144 /nfs/dbraw/zinc/95/21/44/1072952144.db2.gz VJIYIFXRWNQKRL-GXTWGEPZSA-N 0 0 432.520 -0.156 20 0 IBADRN C[C@H](OC(=O)CCc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729687615 1072952061 /nfs/dbraw/zinc/95/20/61/1072952061.db2.gz VJIYIFXRWNQKRL-JSGCOSHPSA-N 0 0 432.520 -0.156 20 0 IBADRN C[C@@H](OC(=O)CCc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729687616 1072952200 /nfs/dbraw/zinc/95/22/00/1072952200.db2.gz VJIYIFXRWNQKRL-OCCSQVGLSA-N 0 0 432.520 -0.156 20 0 IBADRN C[C@@H](OC(=O)CCc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729687617 1072952104 /nfs/dbraw/zinc/95/21/04/1072952104.db2.gz VJIYIFXRWNQKRL-TZMCWYRMSA-N 0 0 432.520 -0.156 20 0 IBADRN C[C@H](OC(=O)Cn1nc(-c2ccc(F)cc2)oc1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729687809 1072953793 /nfs/dbraw/zinc/95/37/93/1072953793.db2.gz XESHCRROLOEUNI-GWCFXTLKSA-N 0 0 427.410 -0.123 20 0 IBADRN C[C@H](OC(=O)Cn1nc(-c2ccc(F)cc2)oc1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729687810 1072953804 /nfs/dbraw/zinc/95/38/04/1072953804.db2.gz XESHCRROLOEUNI-GXFFZTMASA-N 0 0 427.410 -0.123 20 0 IBADRN C[C@@H](OC(=O)Cn1nc(-c2ccc(F)cc2)oc1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729687811 1072953765 /nfs/dbraw/zinc/95/37/65/1072953765.db2.gz XESHCRROLOEUNI-MFKMUULPSA-N 0 0 427.410 -0.123 20 0 IBADRN C[C@@H](OC(=O)Cn1nc(-c2ccc(F)cc2)oc1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729687812 1072953881 /nfs/dbraw/zinc/95/38/81/1072953881.db2.gz XESHCRROLOEUNI-ZWNOBZJWSA-N 0 0 427.410 -0.123 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)O[C@H](C)C(=O)N1CCNC1=O ZINC000729688629 1072954274 /nfs/dbraw/zinc/95/42/74/1072954274.db2.gz QZLSKWMWJNGENU-GFCCVEGCSA-N 0 0 441.462 -0.187 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000729688630 1072954296 /nfs/dbraw/zinc/95/42/96/1072954296.db2.gz QZLSKWMWJNGENU-LBPRGKRZSA-N 0 0 441.462 -0.187 20 0 IBADRN C[C@@H](OC(=O)CCC(=O)N1CC(=O)Nc2ccccc21)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729690322 1072954281 /nfs/dbraw/zinc/95/42/81/1072954281.db2.gz BLWQFKFCBLSWKT-CHWSQXEVSA-N 0 0 437.474 -0.013 20 0 IBADRN C[C@@H](OC(=O)CCC(=O)N1CC(=O)Nc2ccccc21)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729690323 1072954270 /nfs/dbraw/zinc/95/42/70/1072954270.db2.gz BLWQFKFCBLSWKT-OLZOCXBDSA-N 0 0 437.474 -0.013 20 0 IBADRN C[C@H](OC(=O)CCC(=O)N1CC(=O)Nc2ccccc21)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729690324 1072954300 /nfs/dbraw/zinc/95/43/00/1072954300.db2.gz BLWQFKFCBLSWKT-QWHCGFSZSA-N 0 0 437.474 -0.013 20 0 IBADRN C[C@H](OC(=O)CCC(=O)N1CC(=O)Nc2ccccc21)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729690325 1072955032 /nfs/dbraw/zinc/95/50/32/1072955032.db2.gz BLWQFKFCBLSWKT-STQMWFEESA-N 0 0 437.474 -0.013 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729694513 1072954907 /nfs/dbraw/zinc/95/49/07/1072954907.db2.gz JRQNHYQDVRWTNF-CHWSQXEVSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729694514 1072954931 /nfs/dbraw/zinc/95/49/31/1072954931.db2.gz JRQNHYQDVRWTNF-OLZOCXBDSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729694515 1072954980 /nfs/dbraw/zinc/95/49/80/1072954980.db2.gz JRQNHYQDVRWTNF-QWHCGFSZSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729694516 1072954963 /nfs/dbraw/zinc/95/49/63/1072954963.db2.gz JRQNHYQDVRWTNF-STQMWFEESA-N 0 0 429.495 -0.182 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C2(C(=O)NC3CC3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000729712950 1072954828 /nfs/dbraw/zinc/95/48/28/1072954828.db2.gz NMNDEQLSFLHUDI-UHFFFAOYSA-N 0 0 440.456 -0.038 20 0 IBADRN O=C(COC(=O)C1(C(=O)NC2CC2)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000729714719 1072955529 /nfs/dbraw/zinc/95/55/29/1072955529.db2.gz NRSXWOFKODPHMS-CABCVRRESA-N 0 0 428.507 -0.217 20 0 IBADRN O=C(COC(=O)C1(C(=O)NC2CC2)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000729714720 1072955570 /nfs/dbraw/zinc/95/55/70/1072955570.db2.gz NRSXWOFKODPHMS-GJZGRUSLSA-N 0 0 428.507 -0.217 20 0 IBADRN O=C(COC(=O)C1(C(=O)NC2CC2)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000729714721 1072955412 /nfs/dbraw/zinc/95/54/12/1072955412.db2.gz NRSXWOFKODPHMS-HUUCEWRRSA-N 0 0 428.507 -0.217 20 0 IBADRN O=C(COC(=O)C1(C(=O)NC2CC2)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000729714722 1072955377 /nfs/dbraw/zinc/95/53/77/1072955377.db2.gz NRSXWOFKODPHMS-LSDHHAIUSA-N 0 0 428.507 -0.217 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C2(C(=O)NC3CC3)CC2)CC1 ZINC000729716498 1072955538 /nfs/dbraw/zinc/95/55/38/1072955538.db2.gz SSNBOUHABHWHNB-UHFFFAOYSA-N 0 0 427.465 -0.386 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(-n3cnnn3)cc2Cl)CC1 ZINC000729728133 1072955782 /nfs/dbraw/zinc/95/57/82/1072955782.db2.gz MGZJXDQAEGHUSG-UHFFFAOYSA-N 0 0 435.872 -0.295 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(-n2cnnn2)cc1Cl)S(C)(=O)=O ZINC000729728454 1072956026 /nfs/dbraw/zinc/95/60/26/1072956026.db2.gz RZFXPOZADDPWBS-UHFFFAOYSA-N 0 0 430.874 -0.130 20 0 IBADRN O=C(COC(=O)C1(C(=O)NC2CC2)CCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000729737055 1072956551 /nfs/dbraw/zinc/95/65/51/1072956551.db2.gz LROOOTQIJALHNO-HNNXBMFYSA-N 0 0 427.523 -0.690 20 0 IBADRN O=C(COC(=O)C1(C(=O)NC2CC2)CCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000729737056 1072956434 /nfs/dbraw/zinc/95/64/34/1072956434.db2.gz LROOOTQIJALHNO-OAHLLOKOSA-N 0 0 427.523 -0.690 20 0 IBADRN COC(=O)NC(C)(C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000729737975 1072956472 /nfs/dbraw/zinc/95/64/72/1072956472.db2.gz HGQHHCTYUBRHIG-UHFFFAOYSA-N 0 0 446.482 -0.198 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)N1CCNC1=O ZINC000729750740 1072956604 /nfs/dbraw/zinc/95/66/04/1072956604.db2.gz HTULTHOXEWXBAG-LLVKDONJSA-N 0 0 426.451 -0.732 20 0 IBADRN C[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)N1CCNC1=O ZINC000729750748 1072956612 /nfs/dbraw/zinc/95/66/12/1072956612.db2.gz HTULTHOXEWXBAG-NSHDSACASA-N 0 0 426.451 -0.732 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000729753203 1072956502 /nfs/dbraw/zinc/95/65/02/1072956502.db2.gz ABDRISBFCGNSBW-UHFFFAOYSA-N 0 0 446.508 -0.391 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000729753799 1072957006 /nfs/dbraw/zinc/95/70/06/1072957006.db2.gz JLHLWOSHZSBRFB-UHFFFAOYSA-N 0 0 441.510 -0.226 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000729754881 1072957066 /nfs/dbraw/zinc/95/70/66/1072957066.db2.gz VGKBWNOWHGPEGZ-UHFFFAOYSA-N 0 0 441.448 -0.176 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000729756465 1072957019 /nfs/dbraw/zinc/95/70/19/1072957019.db2.gz ZEYKOPPLCHGCQR-UHFFFAOYSA-N 0 0 430.421 -0.761 20 0 IBADRN COC(=O)CCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000729788467 1072957345 /nfs/dbraw/zinc/95/73/45/1072957345.db2.gz PCNKXACDSDKEQA-UHFFFAOYSA-N 0 0 427.479 -0.491 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCN3CCOC[C@@H]3C)cc2)CC1 ZINC000729789374 1072957387 /nfs/dbraw/zinc/95/73/87/1072957387.db2.gz FITOJUULVZMUGZ-KRWDZBQOSA-N 0 0 447.536 -0.243 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCN3CCOC[C@H]3C)cc2)CC1 ZINC000729789375 1072957528 /nfs/dbraw/zinc/95/75/28/1072957528.db2.gz FITOJUULVZMUGZ-QGZVFWFLSA-N 0 0 447.536 -0.243 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCCNS(C)(=O)=O)cc2)CC1 ZINC000729793947 1072957338 /nfs/dbraw/zinc/95/73/38/1072957338.db2.gz UKCHGFLGRYVKIG-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729794123 1072957491 /nfs/dbraw/zinc/95/74/91/1072957491.db2.gz TWDSRKGSUJMEPJ-BXUZGUMPSA-N 0 0 430.504 -0.024 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729794124 1072957473 /nfs/dbraw/zinc/95/74/73/1072957473.db2.gz TWDSRKGSUJMEPJ-FZMZJTMJSA-N 0 0 430.504 -0.024 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729794126 1072957467 /nfs/dbraw/zinc/95/74/67/1072957467.db2.gz TWDSRKGSUJMEPJ-RISCZKNCSA-N 0 0 430.504 -0.024 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729794128 1072957321 /nfs/dbraw/zinc/95/73/21/1072957321.db2.gz TWDSRKGSUJMEPJ-SMDDNHRTSA-N 0 0 430.504 -0.024 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)OCCNS(C)(=O)=O)CC1 ZINC000729794900 1072957460 /nfs/dbraw/zinc/95/74/60/1072957460.db2.gz YMMCXTXNDFWNES-UHFFFAOYSA-N 0 0 448.519 -0.034 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCNC1=O ZINC000729815731 1072957597 /nfs/dbraw/zinc/95/75/97/1072957597.db2.gz MIAPWEGIAJGKOK-LLVKDONJSA-N 0 0 426.451 -0.850 20 0 IBADRN C[C@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)N1CCNC1=O ZINC000729815732 1072957355 /nfs/dbraw/zinc/95/73/55/1072957355.db2.gz MIAPWEGIAJGKOK-NSHDSACASA-N 0 0 426.451 -0.850 20 0 IBADRN CCCCNC(=O)NC(=O)COC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000729815772 1072957550 /nfs/dbraw/zinc/95/75/50/1072957550.db2.gz RNXPFEPYBWUGPH-UHFFFAOYSA-N 0 0 442.494 -0.164 20 0 IBADRN C[C@@H](OC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729830140 1072988890 /nfs/dbraw/zinc/98/88/90/1072988890.db2.gz OQXYUTLFCDGOAQ-BXUZGUMPSA-N 0 0 430.504 -0.024 20 0 IBADRN C[C@H](OC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729830143 1072988963 /nfs/dbraw/zinc/98/89/63/1072988963.db2.gz OQXYUTLFCDGOAQ-FZMZJTMJSA-N 0 0 430.504 -0.024 20 0 IBADRN C[C@@H](OC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729830146 1072988814 /nfs/dbraw/zinc/98/88/14/1072988814.db2.gz OQXYUTLFCDGOAQ-RISCZKNCSA-N 0 0 430.504 -0.024 20 0 IBADRN C[C@H](OC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729830150 1072988883 /nfs/dbraw/zinc/98/88/83/1072988883.db2.gz OQXYUTLFCDGOAQ-SMDDNHRTSA-N 0 0 430.504 -0.024 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2ccc(=O)[nH]c2=O)C1=O ZINC000729831540 1072989455 /nfs/dbraw/zinc/98/94/55/1072989455.db2.gz PNLWZRMODARUIH-IBGZPJMESA-N 0 0 429.389 -0.619 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2ccc(=O)[nH]c2=O)C1=O ZINC000729831541 1072989294 /nfs/dbraw/zinc/98/92/94/1072989294.db2.gz PNLWZRMODARUIH-LJQANCHMSA-N 0 0 429.389 -0.619 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2ccc(=O)[nH]c2=O)C1=O ZINC000729831591 1072989395 /nfs/dbraw/zinc/98/93/95/1072989395.db2.gz SWDYMDVHPYKGAH-FQEVSTJZSA-N 0 0 443.416 -0.533 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2ccc(=O)[nH]c2=O)C1=O ZINC000729831592 1072989477 /nfs/dbraw/zinc/98/94/77/1072989477.db2.gz SWDYMDVHPYKGAH-HXUWFJFHSA-N 0 0 443.416 -0.533 20 0 IBADRN C[C@@H](OC(=O)c1ccc2c(c1)CCN2S(C)(=O)=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729833758 1072995810 /nfs/dbraw/zinc/99/58/10/1072995810.db2.gz GMQMNVHEWADKGV-BXUZGUMPSA-N 0 0 430.504 -0.143 20 0 IBADRN C[C@H](OC(=O)c1ccc2c(c1)CCN2S(C)(=O)=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729833759 1072995638 /nfs/dbraw/zinc/99/56/38/1072995638.db2.gz GMQMNVHEWADKGV-FZMZJTMJSA-N 0 0 430.504 -0.143 20 0 IBADRN C[C@@H](OC(=O)c1ccc2c(c1)CCN2S(C)(=O)=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729833760 1072995686 /nfs/dbraw/zinc/99/56/86/1072995686.db2.gz GMQMNVHEWADKGV-RISCZKNCSA-N 0 0 430.504 -0.143 20 0 IBADRN C[C@H](OC(=O)c1ccc2c(c1)CCN2S(C)(=O)=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729833761 1072995693 /nfs/dbraw/zinc/99/56/93/1072995693.db2.gz GMQMNVHEWADKGV-SMDDNHRTSA-N 0 0 430.504 -0.143 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC000729839189 1072999105 /nfs/dbraw/zinc/99/91/05/1072999105.db2.gz AKIQNWZAOSBISQ-CQSZACIVSA-N 0 0 429.520 -0.490 20 0 IBADRN C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)COCCOCC(=O)N1C[C@@H](C(N)=O)CC[C@H]1C ZINC000729839876 1073004600 /nfs/dbraw/zinc/00/46/00/1073004600.db2.gz PYIIJFUSSOWBBB-FPCVCCKLSA-N 0 0 426.514 -0.756 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)COCCOCC(=O)N1C[C@@H](C(N)=O)CC[C@@H]1C ZINC000729839877 1073004516 /nfs/dbraw/zinc/00/45/16/1073004516.db2.gz PYIIJFUSSOWBBB-FZKCQIBNSA-N 0 0 426.514 -0.756 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)COCCOCC(=O)N1C[C@H](C(N)=O)CC[C@@H]1C ZINC000729839878 1073004537 /nfs/dbraw/zinc/00/45/37/1073004537.db2.gz PYIIJFUSSOWBBB-SYMSYNOKSA-N 0 0 426.514 -0.756 20 0 IBADRN C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)COCCOCC(=O)N1C[C@@H](C(N)=O)CC[C@H]1C ZINC000729839879 1073004529 /nfs/dbraw/zinc/00/45/29/1073004529.db2.gz PYIIJFUSSOWBBB-WCVJEAGWSA-N 0 0 426.514 -0.756 20 0 IBADRN CNC(=O)[C@@H]1CCCCN1C(=O)COCCOCC(=O)N1CCCC[C@H]1C(=O)NC ZINC000729840562 1073000249 /nfs/dbraw/zinc/00/02/49/1073000249.db2.gz WSSRSIXRYZMQSV-HOTGVXAUSA-N 0 0 426.514 -0.726 20 0 IBADRN CNC(=O)[C@H]1CCCCN1C(=O)COCCOCC(=O)N1CCCC[C@@H]1C(=O)NC ZINC000729840563 1073000076 /nfs/dbraw/zinc/00/00/76/1073000076.db2.gz WSSRSIXRYZMQSV-HZPDHXFCSA-N 0 0 426.514 -0.726 20 0 IBADRN CNC(=O)[C@H]1CCCCN1C(=O)COCCOCC(=O)N1CCCC[C@H]1C(=O)NC ZINC000729840565 1073000070 /nfs/dbraw/zinc/00/00/70/1073000070.db2.gz WSSRSIXRYZMQSV-IYBDPMFKSA-N 0 0 426.514 -0.726 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)O[C@H](C)C(=O)N2CCNC2=O)cc1OC ZINC000729840659 1072999613 /nfs/dbraw/zinc/99/96/13/1072999613.db2.gz MLLQUPIVWPDGBD-LLVKDONJSA-N 0 0 429.451 -0.144 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)O[C@@H](C)C(=O)N2CCNC2=O)cc1OC ZINC000729840660 1072999584 /nfs/dbraw/zinc/99/95/84/1072999584.db2.gz MLLQUPIVWPDGBD-NSHDSACASA-N 0 0 429.451 -0.144 20 0 IBADRN CN(C(=O)COCCOCC(=O)N(C)[C@H]1CCCCNC1=O)[C@H]1CCCCNC1=O ZINC000729843680 1073013082 /nfs/dbraw/zinc/01/30/82/1073013082.db2.gz GZOCIGCTXQPRRG-HOTGVXAUSA-N 0 0 426.514 -0.726 20 0 IBADRN CN(C(=O)COCCOCC(=O)N(C)[C@@H]1CCCCNC1=O)[C@@H]1CCCCNC1=O ZINC000729843681 1073013006 /nfs/dbraw/zinc/01/30/06/1073013006.db2.gz GZOCIGCTXQPRRG-HZPDHXFCSA-N 0 0 426.514 -0.726 20 0 IBADRN CN(C(=O)COCCOCC(=O)N(C)[C@H]1CCCCNC1=O)[C@@H]1CCCCNC1=O ZINC000729843682 1073012860 /nfs/dbraw/zinc/01/28/60/1073012860.db2.gz GZOCIGCTXQPRRG-IYBDPMFKSA-N 0 0 426.514 -0.726 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F ZINC000729844966 1073029655 /nfs/dbraw/zinc/02/96/55/1073029655.db2.gz UQAAGYISTCKVJX-UHFFFAOYSA-N 0 0 437.490 -0.134 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@H]2CCCCNC2=O)CC1 ZINC000729850266 1073047330 /nfs/dbraw/zinc/04/73/30/1073047330.db2.gz BTCNOTSDAGYPGY-HNNXBMFYSA-N 0 0 427.527 -0.275 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(C)[C@@H]2CCCCNC2=O)CC1 ZINC000729850268 1073046579 /nfs/dbraw/zinc/04/65/79/1073046579.db2.gz BTCNOTSDAGYPGY-OAHLLOKOSA-N 0 0 427.527 -0.275 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN(C)[C@H]2CCCCNC2=O)c(=O)[nH]c1=O ZINC000729850706 1073052501 /nfs/dbraw/zinc/05/25/01/1073052501.db2.gz QAJUXORADIUATE-AWEZNQCLSA-N 0 0 438.529 -0.089 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN(C)[C@@H]2CCCCNC2=O)c(=O)[nH]c1=O ZINC000729850708 1073052586 /nfs/dbraw/zinc/05/25/86/1073052586.db2.gz QAJUXORADIUATE-CQSZACIVSA-N 0 0 438.529 -0.089 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@H]1CCCCNC1=O ZINC000729850910 1073051132 /nfs/dbraw/zinc/05/11/32/1073051132.db2.gz URPMHKRIVBHJKC-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@@H]1CCCCNC1=O ZINC000729850911 1073051102 /nfs/dbraw/zinc/05/11/02/1073051102.db2.gz URPMHKRIVBHJKC-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN COCCN(C(=O)CN(C)[C@H]1CCCCNC1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000729852341 1073055796 /nfs/dbraw/zinc/05/57/96/1073055796.db2.gz ZYVBWPFPGZWZPH-AWEZNQCLSA-N 0 0 438.529 -0.233 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H]1CCCCNC1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000729852344 1073055828 /nfs/dbraw/zinc/05/58/28/1073055828.db2.gz ZYVBWPFPGZWZPH-CQSZACIVSA-N 0 0 438.529 -0.233 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC000729854107 1073063363 /nfs/dbraw/zinc/06/33/63/1073063363.db2.gz VQEFBYLSQKBQBU-AWEZNQCLSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC000729854108 1073063603 /nfs/dbraw/zinc/06/36/03/1073063603.db2.gz VQEFBYLSQKBQBU-CQSZACIVSA-N 0 0 440.540 -0.043 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000729864074 1073086458 /nfs/dbraw/zinc/08/64/58/1073086458.db2.gz IZAWBJXKGYAWBW-AAEUAGOBSA-N 0 0 434.492 -0.283 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729864075 1073086323 /nfs/dbraw/zinc/08/63/23/1073086323.db2.gz IZAWBJXKGYAWBW-DGCLKSJQSA-N 0 0 434.492 -0.283 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000729864076 1073086264 /nfs/dbraw/zinc/08/62/64/1073086264.db2.gz IZAWBJXKGYAWBW-WCQYABFASA-N 0 0 434.492 -0.283 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000729864077 1073086251 /nfs/dbraw/zinc/08/62/51/1073086251.db2.gz IZAWBJXKGYAWBW-YPMHNXCESA-N 0 0 434.492 -0.283 20 0 IBADRN COc1ncnc(OC)c1C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000729868463 1073097101 /nfs/dbraw/zinc/09/71/01/1073097101.db2.gz HBNJFKZOSGWYQY-CHWSQXEVSA-N 0 0 443.478 -0.155 20 0 IBADRN COc1ncnc(OC)c1C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000729868464 1073097407 /nfs/dbraw/zinc/09/74/07/1073097407.db2.gz HBNJFKZOSGWYQY-OLZOCXBDSA-N 0 0 443.478 -0.155 20 0 IBADRN COc1ncnc(OC)c1C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000729868465 1073097165 /nfs/dbraw/zinc/09/71/65/1073097165.db2.gz HBNJFKZOSGWYQY-QWHCGFSZSA-N 0 0 443.478 -0.155 20 0 IBADRN COc1ncnc(OC)c1C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000729868466 1073097232 /nfs/dbraw/zinc/09/72/32/1073097232.db2.gz HBNJFKZOSGWYQY-STQMWFEESA-N 0 0 443.478 -0.155 20 0 IBADRN CNC(=O)NC(=O)COC(=O)CC1(CC(=O)OCC(=O)NC(=O)NC)CCCCC1 ZINC000729869261 1073096924 /nfs/dbraw/zinc/09/69/24/1073096924.db2.gz BPLIDDCDFYXOGC-UHFFFAOYSA-N 0 0 428.442 -0.285 20 0 IBADRN O=C(CC1(CC(=O)OCC(=O)N2CCNC2=O)CCCC1)OCC(=O)N1CCNC1=O ZINC000729869545 1073096934 /nfs/dbraw/zinc/09/69/34/1073096934.db2.gz KQAUWIGDNLUPTK-UHFFFAOYSA-N 0 0 438.437 -0.483 20 0 IBADRN COc1ncnc(OC)c1C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000729875004 1073113079 /nfs/dbraw/zinc/11/30/79/1073113079.db2.gz PKRXCWICUGZOHH-UHFFFAOYSA-N 0 0 442.436 -0.324 20 0 IBADRN C[C@@H](OC(=O)CCN1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729876165 1073115721 /nfs/dbraw/zinc/11/57/21/1073115721.db2.gz JCDWWNCDTOZYMD-CHWSQXEVSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@@H](OC(=O)CCN1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729876170 1073115740 /nfs/dbraw/zinc/11/57/40/1073115740.db2.gz JCDWWNCDTOZYMD-OLZOCXBDSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@H](OC(=O)CCN1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729876172 1073115848 /nfs/dbraw/zinc/11/58/48/1073115848.db2.gz JCDWWNCDTOZYMD-QWHCGFSZSA-N 0 0 429.495 -0.182 20 0 IBADRN C[C@H](OC(=O)CCN1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729876179 1073115818 /nfs/dbraw/zinc/11/58/18/1073115818.db2.gz JCDWWNCDTOZYMD-STQMWFEESA-N 0 0 429.495 -0.182 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)O[C@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729876650 1073113181 /nfs/dbraw/zinc/11/31/81/1073113181.db2.gz QVISCEHLCPZGRB-FRRDWIJNSA-N 0 0 444.597 -0.375 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)O[C@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729876651 1073112990 /nfs/dbraw/zinc/11/29/90/1073112990.db2.gz QVISCEHLCPZGRB-JHJVBQTASA-N 0 0 444.597 -0.375 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)O[C@@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000729876652 1073112998 /nfs/dbraw/zinc/11/29/98/1073112998.db2.gz QVISCEHLCPZGRB-RWMBFGLXSA-N 0 0 444.597 -0.375 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)O[C@@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000729876653 1073113177 /nfs/dbraw/zinc/11/31/77/1073113177.db2.gz QVISCEHLCPZGRB-YNEHKIRRSA-N 0 0 444.597 -0.375 20 0 IBADRN CCN(C(=O)CS(=O)(=O)CCN1C(=O)c2ccccc2C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000729877007 1073116720 /nfs/dbraw/zinc/11/67/20/1073116720.db2.gz VZMDTUGNQCMRSG-CYBMUJFWSA-N 0 0 442.515 -0.267 20 0 IBADRN CCN(C(=O)CS(=O)(=O)CCN1C(=O)c2ccccc2C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000729877008 1073116880 /nfs/dbraw/zinc/11/68/80/1073116880.db2.gz VZMDTUGNQCMRSG-ZDUSSCGKSA-N 0 0 442.515 -0.267 20 0 IBADRN CCN(C(=O)[C@@H](C)S(=O)(=O)CCN1C(=O)NC(C)(C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000729877038 1073116843 /nfs/dbraw/zinc/11/68/43/1073116843.db2.gz XMAZHKQVYQHFCW-NEPJUHHUSA-N 0 0 437.540 -0.844 20 0 IBADRN CCN(C(=O)[C@H](C)S(=O)(=O)CCN1C(=O)NC(C)(C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000729877043 1073116898 /nfs/dbraw/zinc/11/68/98/1073116898.db2.gz XMAZHKQVYQHFCW-NWDGAFQWSA-N 0 0 437.540 -0.844 20 0 IBADRN CCN(C(=O)[C@H](C)S(=O)(=O)CCN1C(=O)NC(C)(C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000729877047 1073116835 /nfs/dbraw/zinc/11/68/35/1073116835.db2.gz XMAZHKQVYQHFCW-RYUDHWBXSA-N 0 0 437.540 -0.844 20 0 IBADRN CCN(C(=O)[C@@H](C)S(=O)(=O)CCN1C(=O)NC(C)(C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000729877051 1073116740 /nfs/dbraw/zinc/11/67/40/1073116740.db2.gz XMAZHKQVYQHFCW-VXGBXAGGSA-N 0 0 437.540 -0.844 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO)C(=O)N1CCNC1=O ZINC000729877756 1073116776 /nfs/dbraw/zinc/11/67/76/1073116776.db2.gz DCWVDKKFSRIYAK-LLVKDONJSA-N 0 0 428.467 -0.562 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO)C(=O)N1CCNC1=O ZINC000729877757 1073117278 /nfs/dbraw/zinc/11/72/78/1073117278.db2.gz DCWVDKKFSRIYAK-NSHDSACASA-N 0 0 428.467 -0.562 20 0 IBADRN C[C@@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729878689 1073116133 /nfs/dbraw/zinc/11/61/33/1073116133.db2.gz UVEJNJKAFXZWJZ-NEPJUHHUSA-N 0 0 443.503 -0.698 20 0 IBADRN C[C@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729878690 1073116056 /nfs/dbraw/zinc/11/60/56/1073116056.db2.gz UVEJNJKAFXZWJZ-NWDGAFQWSA-N 0 0 443.503 -0.698 20 0 IBADRN C[C@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000729878691 1073116095 /nfs/dbraw/zinc/11/60/95/1073116095.db2.gz UVEJNJKAFXZWJZ-RYUDHWBXSA-N 0 0 443.503 -0.698 20 0 IBADRN C[C@@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000729878692 1073116127 /nfs/dbraw/zinc/11/61/27/1073116127.db2.gz UVEJNJKAFXZWJZ-VXGBXAGGSA-N 0 0 443.503 -0.698 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000729884020 1073384553 /nfs/dbraw/zinc/38/45/53/1073384553.db2.gz FVIZJBUWKVTUHN-UHFFFAOYSA-N 0 0 429.520 -0.152 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(-n4cnnc4)cc3)CC2)ccc1=O ZINC000729902617 1073385046 /nfs/dbraw/zinc/38/50/46/1073385046.db2.gz ATEPIWXWBHBZGJ-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3CCCN(C(=O)C4CC4)C3)CC2)ccc1=O ZINC000729902662 1073385088 /nfs/dbraw/zinc/38/50/88/1073385088.db2.gz DDDIDAGUFHFURH-KRWDZBQOSA-N 0 0 445.520 -0.270 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3CCCN(C(=O)C4CC4)C3)CC2)ccc1=O ZINC000729902663 1073385078 /nfs/dbraw/zinc/38/50/78/1073385078.db2.gz DDDIDAGUFHFURH-QGZVFWFLSA-N 0 0 445.520 -0.270 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)COc2ccccc2C(N)=O)c(=O)n(C)c1=O ZINC000729927524 1073385583 /nfs/dbraw/zinc/38/55/83/1073385583.db2.gz NWZNVOXUCVYZAM-UHFFFAOYSA-N 0 0 432.433 -0.311 20 0 IBADRN O=C(CN1Cc2ccccc2C1=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000729967648 1073385952 /nfs/dbraw/zinc/38/59/52/1073385952.db2.gz VEGAHBUUPZGVDR-HNNXBMFYSA-N 0 0 441.531 -0.697 20 0 IBADRN O=C(CN1Cc2ccccc2C1=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000729967649 1073386061 /nfs/dbraw/zinc/38/60/61/1073386061.db2.gz VEGAHBUUPZGVDR-OAHLLOKOSA-N 0 0 441.531 -0.697 20 0 IBADRN CNC(=O)C1(C(=O)N2CCN(S(=O)(=O)c3cc(S(C)(=O)=O)ccc3C)CC2)CC1 ZINC000729967697 1073385474 /nfs/dbraw/zinc/38/54/74/1073385474.db2.gz XRJWKUYDZNQCRP-UHFFFAOYSA-N 0 0 443.547 -0.242 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCCN1C(=O)CCC1=O)c2=O ZINC000729970390 1073385980 /nfs/dbraw/zinc/38/59/80/1073385980.db2.gz HFFRKLABFSJBAW-UHFFFAOYSA-N 0 0 425.243 -0.452 20 0 IBADRN Cn1cc(CN2CCN(C(=O)Cn3cnc4c(c(Br)nn4C)c3=O)CC2)cn1 ZINC000729973153 1073385900 /nfs/dbraw/zinc/38/59/00/1073385900.db2.gz DYLAZFXVVBVEKV-UHFFFAOYSA-N 0 0 449.313 -0.030 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c1 ZINC000729975433 1073385891 /nfs/dbraw/zinc/38/58/91/1073385891.db2.gz NEEJFDXSDUDNRG-BCPZQOPPSA-N 0 0 449.489 -0.851 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c1 ZINC000729975434 1073385850 /nfs/dbraw/zinc/38/58/50/1073385850.db2.gz NEEJFDXSDUDNRG-IDVQTMNDSA-N 0 0 449.489 -0.851 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c1 ZINC000729975435 1073385974 /nfs/dbraw/zinc/38/59/74/1073385974.db2.gz NEEJFDXSDUDNRG-JLVNDZCYSA-N 0 0 449.489 -0.851 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c1 ZINC000729975436 1073386081 /nfs/dbraw/zinc/38/60/81/1073386081.db2.gz NEEJFDXSDUDNRG-LAUAKBEESA-N 0 0 449.489 -0.851 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000729976665 1073385994 /nfs/dbraw/zinc/38/59/94/1073385994.db2.gz KCCZDQAWZOWUFG-UHFFFAOYSA-N 0 0 449.313 -0.030 20 0 IBADRN CN(C(=O)CS[C@H]1CCS(=O)(=O)C1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000729980723 1073385907 /nfs/dbraw/zinc/38/59/07/1073385907.db2.gz TXXKUDZPSNLBFA-KRWDZBQOSA-N 0 0 446.639 -0.397 20 0 IBADRN CN(C(=O)CS[C@@H]1CCS(=O)(=O)C1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000729980724 1073385862 /nfs/dbraw/zinc/38/58/62/1073385862.db2.gz TXXKUDZPSNLBFA-QGZVFWFLSA-N 0 0 446.639 -0.397 20 0 IBADRN O=C(C(=O)N1CCN(Cn2nnn(C3CCOCC3)c2=S)CC1)N1CCOCC1 ZINC000729980848 1073386049 /nfs/dbraw/zinc/38/60/49/1073386049.db2.gz BEFNYZYDYRGKPY-UHFFFAOYSA-N 0 0 425.515 -0.879 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(Cn2nnn(C3CCOCC3)c2=S)CC1 ZINC000729981435 1073385928 /nfs/dbraw/zinc/38/59/28/1073385928.db2.gz IGMMIZUJMJKWTR-UHFFFAOYSA-N 0 0 433.560 -0.687 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cn3nnn(C4CCOCC4)c3=S)CC2)CC1 ZINC000729981498 1073385937 /nfs/dbraw/zinc/38/59/37/1073385937.db2.gz JTUSHYOVZYSDHF-UHFFFAOYSA-N 0 0 446.603 -0.772 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCCN2C(=O)CCC2=O)CC1 ZINC000730025647 1073386005 /nfs/dbraw/zinc/38/60/05/1073386005.db2.gz OTZFJRAEEDCFAE-UHFFFAOYSA-N 0 0 425.486 -0.096 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000730035965 1073386038 /nfs/dbraw/zinc/38/60/38/1073386038.db2.gz QSBLIOYJMYIZDP-UHFFFAOYSA-N 0 0 434.405 -0.739 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000730036115 1073385883 /nfs/dbraw/zinc/38/58/83/1073385883.db2.gz JGIMGTVIRZDIFI-UHFFFAOYSA-N 0 0 445.432 -0.155 20 0 IBADRN COCCN(C(=O)CSc1nnc(-n2nc(C)cc2C)n1N)[C@@H]1CCS(=O)(=O)C1 ZINC000730037679 1073386019 /nfs/dbraw/zinc/38/60/19/1073386019.db2.gz GLFMCNHSBVLWNT-CYBMUJFWSA-N 0 0 443.555 -0.451 20 0 IBADRN COCCN(C(=O)CSc1nnc(-n2nc(C)cc2C)n1N)[C@H]1CCS(=O)(=O)C1 ZINC000730037682 1073386072 /nfs/dbraw/zinc/38/60/72/1073386072.db2.gz GLFMCNHSBVLWNT-ZDUSSCGKSA-N 0 0 443.555 -0.451 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000730042656 1073385962 /nfs/dbraw/zinc/38/59/62/1073385962.db2.gz IKIQJLUCBBBQTC-UHFFFAOYSA-N 0 0 439.490 -0.607 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000730042690 1073386345 /nfs/dbraw/zinc/38/63/45/1073386345.db2.gz JYHUOSHHCUOWPQ-UHFFFAOYSA-N 0 0 428.467 -0.806 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000730043171 1073386338 /nfs/dbraw/zinc/38/63/38/1073386338.db2.gz DWBSSPNUPQMYPK-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000730044270 1073386394 /nfs/dbraw/zinc/38/63/94/1073386394.db2.gz VPDLTXPSLBFYMO-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000730044353 1073386404 /nfs/dbraw/zinc/38/64/04/1073386404.db2.gz XTAZJUSSRJQPES-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000730044407 1073386330 /nfs/dbraw/zinc/38/63/30/1073386330.db2.gz ZVTROIPCWVTZRK-UHFFFAOYSA-N 0 0 445.444 -0.133 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000730044638 1073386425 /nfs/dbraw/zinc/38/64/25/1073386425.db2.gz DFYKXALKOMXVFL-UHFFFAOYSA-N 0 0 425.463 -0.285 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)C2(C(=O)NC)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000730045157 1073386421 /nfs/dbraw/zinc/38/64/21/1073386421.db2.gz JJTQHHNLVDIRKF-UHFFFAOYSA-N 0 0 428.445 -0.088 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCC1 ZINC000730046048 1073386415 /nfs/dbraw/zinc/38/64/15/1073386415.db2.gz QESZNBGYEGRYDV-UHFFFAOYSA-N 0 0 428.445 -0.180 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000730046104 1073386359 /nfs/dbraw/zinc/38/63/59/1073386359.db2.gz OIIYAAPUKZDONT-UHFFFAOYSA-N 0 0 425.463 -0.285 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CC1 ZINC000730046690 1073386373 /nfs/dbraw/zinc/38/63/73/1073386373.db2.gz XLSAGZKREONUQH-UHFFFAOYSA-N 0 0 439.490 -0.403 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000730046727 1073386432 /nfs/dbraw/zinc/38/64/32/1073386432.db2.gz UXJBZWMKYXIYJN-UHFFFAOYSA-N 0 0 439.490 -0.607 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CCC1 ZINC000730046933 1073386351 /nfs/dbraw/zinc/38/63/51/1073386351.db2.gz YAIRMGCMEKPQGI-UHFFFAOYSA-N 0 0 442.494 -0.416 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000730048726 1073386388 /nfs/dbraw/zinc/38/63/88/1073386388.db2.gz LSIKLSSCHXXADN-UHFFFAOYSA-N 0 0 436.416 -0.049 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCC1 ZINC000730049341 1073386315 /nfs/dbraw/zinc/38/63/15/1073386315.db2.gz SPMRHCPJULKVRQ-IBGZPJMESA-N 0 0 432.433 -0.047 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCC1 ZINC000730049343 1073386306 /nfs/dbraw/zinc/38/63/06/1073386306.db2.gz SPMRHCPJULKVRQ-LJQANCHMSA-N 0 0 432.433 -0.047 20 0 IBADRN Cn1c2ncn(CCOC(=O)CSc3nnnn3CC(F)(F)F)c2c(=O)n(C)c1=O ZINC000730050388 1073386367 /nfs/dbraw/zinc/38/63/67/1073386367.db2.gz BPYZMIOPSVQPKN-UHFFFAOYSA-N 0 0 448.387 -0.682 20 0 IBADRN C[C@@H](OC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000730052007 1073386410 /nfs/dbraw/zinc/38/64/10/1073386410.db2.gz OMOVKTDXMHRLEE-BDAKNGLRSA-N 0 0 445.445 -0.095 20 0 IBADRN C[C@H](OC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000730052008 1073386323 /nfs/dbraw/zinc/38/63/23/1073386323.db2.gz OMOVKTDXMHRLEE-DTWKUNHWSA-N 0 0 445.445 -0.095 20 0 IBADRN C[C@H](OC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000730052009 1073386670 /nfs/dbraw/zinc/38/66/70/1073386670.db2.gz OMOVKTDXMHRLEE-IUCAKERBSA-N 0 0 445.445 -0.095 20 0 IBADRN C[C@@H](OC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000730052010 1073386868 /nfs/dbraw/zinc/38/68/68/1073386868.db2.gz OMOVKTDXMHRLEE-RKDXNWHRSA-N 0 0 445.445 -0.095 20 0 IBADRN CCN(C(=O)COC(=O)CSc1nnnn1CC(F)(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC000730052736 1073386820 /nfs/dbraw/zinc/38/68/20/1073386820.db2.gz XWHZQOBMKIWLAO-SECBINFHSA-N 0 0 445.445 -0.094 20 0 IBADRN CCN(C(=O)COC(=O)CSc1nnnn1CC(F)(F)F)[C@H]1CCS(=O)(=O)C1 ZINC000730052737 1073386733 /nfs/dbraw/zinc/38/67/33/1073386733.db2.gz XWHZQOBMKIWLAO-VIFPVBQESA-N 0 0 445.445 -0.094 20 0 IBADRN O=C(CN1Cc2ccccc2C1=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000730053497 1073386706 /nfs/dbraw/zinc/38/67/06/1073386706.db2.gz GSFWUAZVMNZHBO-INIZCTEOSA-N 0 0 435.502 -0.483 20 0 IBADRN O=C(CN1Cc2ccccc2C1=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730053498 1073386829 /nfs/dbraw/zinc/38/68/29/1073386829.db2.gz GSFWUAZVMNZHBO-MRXNPFEDSA-N 0 0 435.502 -0.483 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000730066625 1073386658 /nfs/dbraw/zinc/38/66/58/1073386658.db2.gz LAOULMSKWSWBSI-UHFFFAOYSA-N 0 0 435.524 -0.570 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2)cn1 ZINC000730070031 1073386596 /nfs/dbraw/zinc/38/65/96/1073386596.db2.gz MQFSVSGQIGKXLV-UHFFFAOYSA-N 0 0 425.493 -0.361 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000730070136 1073386837 /nfs/dbraw/zinc/38/68/37/1073386837.db2.gz OGSLEMQESZZDMS-UHFFFAOYSA-N 0 0 425.493 -0.361 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CN3Cc4ccccc4C3=O)CC2)ccc1=O ZINC000730076685 1073387254 /nfs/dbraw/zinc/38/72/54/1073387254.db2.gz UWTSGNAENUSWFB-UHFFFAOYSA-N 0 0 439.472 -0.263 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C[C@H](O)Cn2cccn2)CC1 ZINC000730079766 1073387234 /nfs/dbraw/zinc/38/72/34/1073387234.db2.gz GTCCVQGVMWMMSL-INIZCTEOSA-N 0 0 442.563 -0.038 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C[C@@H](O)Cn2cccn2)CC1 ZINC000730079767 1073387272 /nfs/dbraw/zinc/38/72/72/1073387272.db2.gz GTCCVQGVMWMMSL-MRXNPFEDSA-N 0 0 442.563 -0.038 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000730096229 1073387223 /nfs/dbraw/zinc/38/72/23/1073387223.db2.gz KLWPROSTSSLFNC-UHFFFAOYSA-N 0 0 430.509 -0.576 20 0 IBADRN CCN1C(=S)N=NC1[C@@H]1CCCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000730101712 1073387186 /nfs/dbraw/zinc/38/71/86/1073387186.db2.gz BJNKFDVHQVCKJE-LLVKDONJSA-N 0 0 432.510 -0.260 20 0 IBADRN CCN1C(=S)N=NC1[C@H]1CCCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000730101713 1073387290 /nfs/dbraw/zinc/38/72/90/1073387290.db2.gz BJNKFDVHQVCKJE-NSHDSACASA-N 0 0 432.510 -0.260 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC[S@](C)=O)c(=O)n2Cc1ccccc1 ZINC000730103151 1073387213 /nfs/dbraw/zinc/38/72/13/1073387213.db2.gz DYNKOOYIGSNHTE-HKBQPEDESA-N 0 0 447.517 -0.451 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC[S@@](C)=O)c(=O)n2Cc1ccccc1 ZINC000730103154 1073387229 /nfs/dbraw/zinc/38/72/29/1073387229.db2.gz DYNKOOYIGSNHTE-WJOKGBTCSA-N 0 0 447.517 -0.451 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000730105824 1073387303 /nfs/dbraw/zinc/38/73/03/1073387303.db2.gz QEDMZNQYMGWYJY-UHFFFAOYSA-N 0 0 428.493 -0.286 20 0 IBADRN CCOc1cccc(N2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)n1 ZINC000730124543 1073387281 /nfs/dbraw/zinc/38/72/81/1073387281.db2.gz BCIGSRGEWZBDMA-UHFFFAOYSA-N 0 0 427.527 -0.026 20 0 IBADRN COc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(C(=O)NC2CC2)c1 ZINC000730198395 1073387177 /nfs/dbraw/zinc/38/71/77/1073387177.db2.gz WDWZCOVOZUDXRJ-UHFFFAOYSA-N 0 0 426.433 -0.027 20 0 IBADRN COc1ccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(C(=O)NC2CC2)c1 ZINC000730199066 1073387243 /nfs/dbraw/zinc/38/72/43/1073387243.db2.gz NJHKGAVWOBAKCL-UHFFFAOYSA-N 0 0 426.433 -0.027 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3ccc(F)cc3)C2)CC1)N1CCOCC1 ZINC000730207757 1073387621 /nfs/dbraw/zinc/38/76/21/1073387621.db2.gz WGROEFDOEQNSFR-HNNXBMFYSA-N 0 0 432.452 -0.292 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc(F)cc3)C2)CC1)N1CCOCC1 ZINC000730207758 1073387674 /nfs/dbraw/zinc/38/76/74/1073387674.db2.gz WGROEFDOEQNSFR-OAHLLOKOSA-N 0 0 432.452 -0.292 20 0 IBADRN CCCC(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730210952 1073387606 /nfs/dbraw/zinc/38/76/06/1073387606.db2.gz HYEKWWMNHACOKM-CVEARBPZSA-N 0 0 449.595 -0.171 20 0 IBADRN CCCC(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000730210953 1073387547 /nfs/dbraw/zinc/38/75/47/1073387547.db2.gz HYEKWWMNHACOKM-HOTGVXAUSA-N 0 0 449.595 -0.171 20 0 IBADRN CCCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730210954 1073387593 /nfs/dbraw/zinc/38/75/93/1073387593.db2.gz HYEKWWMNHACOKM-HZPDHXFCSA-N 0 0 449.595 -0.171 20 0 IBADRN CCCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000730210955 1073387611 /nfs/dbraw/zinc/38/76/11/1073387611.db2.gz HYEKWWMNHACOKM-JKSUJKDBSA-N 0 0 449.595 -0.171 20 0 IBADRN O=C([C@H]1CC(=O)N(c2ccc(F)cc2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000730211032 1073387658 /nfs/dbraw/zinc/38/76/58/1073387658.db2.gz WNGJUTQOGHFKIA-HNNXBMFYSA-N 0 0 440.497 -0.100 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2)C1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000730211033 1073387643 /nfs/dbraw/zinc/38/76/43/1073387643.db2.gz WNGJUTQOGHFKIA-OAHLLOKOSA-N 0 0 440.497 -0.100 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3ccc(F)cc3)C2)CC1 ZINC000730211260 1073557722 /nfs/dbraw/zinc/55/77/22/1073557722.db2.gz NRHHWSOULVJTMQ-AWEZNQCLSA-N 0 0 430.436 -0.002 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3ccc(F)cc3)C2)CC1 ZINC000730211261 1073387294 /nfs/dbraw/zinc/38/72/94/1073387294.db2.gz NRHHWSOULVJTMQ-CQSZACIVSA-N 0 0 430.436 -0.002 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000730217327 1073387261 /nfs/dbraw/zinc/38/72/61/1073387261.db2.gz JFXKMEWPPIGALJ-IAOVAPTHSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000730217328 1073387165 /nfs/dbraw/zinc/38/71/65/1073387165.db2.gz JFXKMEWPPIGALJ-KBRIMQKVSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000730217329 1073387599 /nfs/dbraw/zinc/38/75/99/1073387599.db2.gz JFXKMEWPPIGALJ-XKQJLSEDSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000730217330 1073387650 /nfs/dbraw/zinc/38/76/50/1073387650.db2.gz JFXKMEWPPIGALJ-XYPHTWIQSA-N 0 0 425.482 -0.207 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)N[C@H](C)CN3CC[NH+](C)CC3)n2c(NCC)n1 ZINC000730227920 1073387580 /nfs/dbraw/zinc/38/75/80/1073387580.db2.gz LBHGMYOSGLTQRA-CYBMUJFWSA-N 0 0 436.590 -0.351 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)N[C@@H](C)CN3CC[NH+](C)CC3)n2c(NCC)n1 ZINC000730227921 1073387685 /nfs/dbraw/zinc/38/76/85/1073387685.db2.gz LBHGMYOSGLTQRA-ZDUSSCGKSA-N 0 0 436.590 -0.351 20 0 IBADRN COc1ccc(C[C@@]2(C)NC(=O)N(CN3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000730251445 1073387680 /nfs/dbraw/zinc/38/76/80/1073387680.db2.gz HAHHRTSKEDQFFS-JOCHJYFZSA-N 0 0 443.504 -0.072 20 0 IBADRN COc1ccc(C[C@]2(C)NC(=O)N(CN3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000730251446 1073387694 /nfs/dbraw/zinc/38/76/94/1073387694.db2.gz HAHHRTSKEDQFFS-QFIPXVFZSA-N 0 0 443.504 -0.072 20 0 IBADRN COc1ccc(C[C@]2(C)NC(=O)N(CN3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1 ZINC000730253914 1073388090 /nfs/dbraw/zinc/38/80/90/1073388090.db2.gz YSZNOQDQPACEOW-IBGZPJMESA-N 0 0 439.538 -0.070 20 0 IBADRN COc1ccc(C[C@@]2(C)NC(=O)N(CN3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1 ZINC000730253915 1073388156 /nfs/dbraw/zinc/38/81/56/1073388156.db2.gz YSZNOQDQPACEOW-LJQANCHMSA-N 0 0 439.538 -0.070 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000730263459 1073388186 /nfs/dbraw/zinc/38/81/86/1073388186.db2.gz UXAGUZBQUPGDBA-CVEARBPZSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000730263460 1073388177 /nfs/dbraw/zinc/38/81/77/1073388177.db2.gz UXAGUZBQUPGDBA-HOTGVXAUSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000730263461 1073388030 /nfs/dbraw/zinc/38/80/30/1073388030.db2.gz UXAGUZBQUPGDBA-HZPDHXFCSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000730263462 1073388169 /nfs/dbraw/zinc/38/81/69/1073388169.db2.gz UXAGUZBQUPGDBA-JKSUJKDBSA-N 0 0 430.571 -0.083 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000730267525 1073388141 /nfs/dbraw/zinc/38/81/41/1073388141.db2.gz XWQHJQJVGFUGAF-UHFFFAOYSA-N 0 0 440.565 -0.353 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000730272870 1073388199 /nfs/dbraw/zinc/38/81/99/1073388199.db2.gz KVNFZFWCOJBMJX-UHFFFAOYSA-N 0 0 444.488 -0.278 20 0 IBADRN COc1cc(C)cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1O ZINC000730277992 1073388150 /nfs/dbraw/zinc/38/81/50/1073388150.db2.gz FREDLHWIPPEHOR-CYBMUJFWSA-N 0 0 432.520 -0.016 20 0 IBADRN COc1cc(C)cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1O ZINC000730277996 1073388129 /nfs/dbraw/zinc/38/81/29/1073388129.db2.gz FREDLHWIPPEHOR-ZDUSSCGKSA-N 0 0 432.520 -0.016 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000730283977 1073388181 /nfs/dbraw/zinc/38/81/81/1073388181.db2.gz KEYFNOXUWGOFAU-DOTOQJQBSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000730283978 1073388113 /nfs/dbraw/zinc/38/81/13/1073388113.db2.gz KEYFNOXUWGOFAU-NVXWUHKLSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000730284091 1073388122 /nfs/dbraw/zinc/38/81/22/1073388122.db2.gz KEYFNOXUWGOFAU-RDJZCZTQSA-N 0 0 437.518 -0.194 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000730284095 1073388056 /nfs/dbraw/zinc/38/80/56/1073388056.db2.gz KEYFNOXUWGOFAU-WBVHZDCISA-N 0 0 437.518 -0.194 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CSCN2C(=O)c2ccccc2)CC1 ZINC000730300304 1073388543 /nfs/dbraw/zinc/38/85/43/1073388543.db2.gz WXHVREXEIPFNGA-KRWDZBQOSA-N 0 0 448.545 -0.023 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CSCN2C(=O)c2ccccc2)c(=O)n(C)c1=O ZINC000730300794 1073388443 /nfs/dbraw/zinc/38/84/43/1073388443.db2.gz YVWAKSYTXWLWDT-LBPRGKRZSA-N 0 0 432.458 -0.393 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000730306041 1073388045 /nfs/dbraw/zinc/38/80/45/1073388045.db2.gz GEOWYHWGBHJHAD-INIZCTEOSA-N 0 0 441.531 -0.016 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000730306043 1073388163 /nfs/dbraw/zinc/38/81/63/1073388163.db2.gz GEOWYHWGBHJHAD-MRXNPFEDSA-N 0 0 441.531 -0.016 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000730306139 1073388193 /nfs/dbraw/zinc/38/81/93/1073388193.db2.gz CCJGXZGTGQHOKQ-LLVKDONJSA-N 0 0 426.495 -0.177 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000730306140 1073388019 /nfs/dbraw/zinc/38/80/19/1073388019.db2.gz CCJGXZGTGQHOKQ-NSHDSACASA-N 0 0 426.495 -0.177 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000730306261 1073388493 /nfs/dbraw/zinc/38/84/93/1073388493.db2.gz HCTSNSDZJOXPKK-AWEZNQCLSA-N 0 0 433.494 -0.524 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000730306262 1073388521 /nfs/dbraw/zinc/38/85/21/1073388521.db2.gz HCTSNSDZJOXPKK-CQSZACIVSA-N 0 0 433.494 -0.524 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000730307356 1073388481 /nfs/dbraw/zinc/38/84/81/1073388481.db2.gz BNMQCFJJOKRUJL-QLFBSQMISA-N 0 0 434.536 -0.354 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000730307358 1073388464 /nfs/dbraw/zinc/38/84/64/1073388464.db2.gz BNMQCFJJOKRUJL-RBSFLKMASA-N 0 0 434.536 -0.354 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000730307360 1073388437 /nfs/dbraw/zinc/38/84/37/1073388437.db2.gz BNMQCFJJOKRUJL-RRFJBIMHSA-N 0 0 434.536 -0.354 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000730307363 1073388511 /nfs/dbraw/zinc/38/85/11/1073388511.db2.gz BNMQCFJJOKRUJL-SOUVJXGZSA-N 0 0 434.536 -0.354 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000730309221 1073388412 /nfs/dbraw/zinc/38/84/12/1073388412.db2.gz GFCJBGGTCLQSQQ-AWEZNQCLSA-N 0 0 446.485 -0.175 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000730309224 1073388418 /nfs/dbraw/zinc/38/84/18/1073388418.db2.gz GFCJBGGTCLQSQQ-CQSZACIVSA-N 0 0 446.485 -0.175 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)O[C@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000730309359 1073388588 /nfs/dbraw/zinc/38/85/88/1073388588.db2.gz VNUNPCIFMAAQGT-ABAIWWIYSA-N 0 0 429.520 -0.154 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)O[C@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000730309361 1073388425 /nfs/dbraw/zinc/38/84/25/1073388425.db2.gz VNUNPCIFMAAQGT-IAQYHMDHSA-N 0 0 429.520 -0.154 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)O[C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000730309362 1073388471 /nfs/dbraw/zinc/38/84/71/1073388471.db2.gz VNUNPCIFMAAQGT-NHYWBVRUSA-N 0 0 429.520 -0.154 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)O[C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000730309365 1073388430 /nfs/dbraw/zinc/38/84/30/1073388430.db2.gz VNUNPCIFMAAQGT-XHDPSFHLSA-N 0 0 429.520 -0.154 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000730312106 1073388596 /nfs/dbraw/zinc/38/85/96/1073388596.db2.gz OXPPXXBUBORWHO-AWEZNQCLSA-N 0 0 429.520 -0.153 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000730312109 1073388556 /nfs/dbraw/zinc/38/85/56/1073388556.db2.gz OXPPXXBUBORWHO-CQSZACIVSA-N 0 0 429.520 -0.153 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CSCN2C(=O)C2CCCC2)CC1 ZINC000730314562 1073388565 /nfs/dbraw/zinc/38/85/65/1073388565.db2.gz JJGAUQQKAONIKK-INIZCTEOSA-N 0 0 440.566 -0.146 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CSCN2C(=O)C2CCCC2)CC1 ZINC000730314564 1073388402 /nfs/dbraw/zinc/38/84/02/1073388402.db2.gz JJGAUQQKAONIKK-MRXNPFEDSA-N 0 0 440.566 -0.146 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000730317344 1073388452 /nfs/dbraw/zinc/38/84/52/1073388452.db2.gz LSXBEJKYCUKWEA-KBPBESRZSA-N 0 0 433.552 -0.828 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730317345 1073388971 /nfs/dbraw/zinc/38/89/71/1073388971.db2.gz LSXBEJKYCUKWEA-KGLIPLIRSA-N 0 0 433.552 -0.828 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000730317346 1073388901 /nfs/dbraw/zinc/38/89/01/1073388901.db2.gz LSXBEJKYCUKWEA-UONOGXRCSA-N 0 0 433.552 -0.828 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730317347 1073388866 /nfs/dbraw/zinc/38/88/66/1073388866.db2.gz LSXBEJKYCUKWEA-ZIAGYGMSSA-N 0 0 433.552 -0.828 20 0 IBADRN CCCC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730317916 1073388839 /nfs/dbraw/zinc/38/88/39/1073388839.db2.gz CBOINRIAIKPIEH-CABCVRRESA-N 0 0 447.579 -0.438 20 0 IBADRN CCCC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000730317920 1073388873 /nfs/dbraw/zinc/38/88/73/1073388873.db2.gz CBOINRIAIKPIEH-GJZGRUSLSA-N 0 0 447.579 -0.438 20 0 IBADRN CCCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730317922 1073388918 /nfs/dbraw/zinc/38/89/18/1073388918.db2.gz CBOINRIAIKPIEH-HUUCEWRRSA-N 0 0 447.579 -0.438 20 0 IBADRN CCCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000730317926 1073388907 /nfs/dbraw/zinc/38/89/07/1073388907.db2.gz CBOINRIAIKPIEH-LSDHHAIUSA-N 0 0 447.579 -0.438 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000730319014 1073388960 /nfs/dbraw/zinc/38/89/60/1073388960.db2.gz WWYNQFBCTOKTFH-AWEZNQCLSA-N 0 0 447.521 -0.133 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000730319015 1073388937 /nfs/dbraw/zinc/38/89/37/1073388937.db2.gz WWYNQFBCTOKTFH-CQSZACIVSA-N 0 0 447.521 -0.133 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CSCN2C(=O)C(C)(C)C)CC1 ZINC000730321616 1073388854 /nfs/dbraw/zinc/38/88/54/1073388854.db2.gz HSDNOCSGGQAMTK-AWEZNQCLSA-N 0 0 428.555 -0.290 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CSCN2C(=O)C(C)(C)C)CC1 ZINC000730321620 1073388831 /nfs/dbraw/zinc/38/88/31/1073388831.db2.gz HSDNOCSGGQAMTK-CQSZACIVSA-N 0 0 428.555 -0.290 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CSCN2C(=O)CC(C)(C)C)c(=O)n(C)c1=O ZINC000730325056 1073388858 /nfs/dbraw/zinc/38/88/58/1073388858.db2.gz QGWGPFJFHDBIPZ-JTQLQIEISA-N 0 0 426.495 -0.270 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CSCN2C(=O)CC(C)(C)C)c(=O)n(C)c1=O ZINC000730325057 1073388914 /nfs/dbraw/zinc/38/89/14/1073388914.db2.gz QGWGPFJFHDBIPZ-SNVBAGLBSA-N 0 0 426.495 -0.270 20 0 IBADRN CCCCC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000730327646 1073388820 /nfs/dbraw/zinc/38/88/20/1073388820.db2.gz LKLQOEPHVMNOFR-HNNXBMFYSA-N 0 0 428.555 -0.146 20 0 IBADRN CCCCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000730327647 1073388848 /nfs/dbraw/zinc/38/88/48/1073388848.db2.gz LKLQOEPHVMNOFR-OAHLLOKOSA-N 0 0 428.555 -0.146 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cc2cccc(NS(C)(=O)=O)c2)CC1 ZINC000730336870 1073388810 /nfs/dbraw/zinc/38/88/10/1073388810.db2.gz CFHMICJWJPIZDX-UHFFFAOYSA-N 0 0 440.522 -0.624 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cc1cccc(NS(C)(=O)=O)c1)S(C)(=O)=O ZINC000730338543 1073388953 /nfs/dbraw/zinc/38/89/53/1073388953.db2.gz AQDBDBIBNBCKKO-UHFFFAOYSA-N 0 0 435.524 -0.459 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cc1cccc(NS(C)(=O)=O)c1)c(=O)n2C ZINC000730338628 1073388925 /nfs/dbraw/zinc/38/89/25/1073388925.db2.gz CGUGYIOUVRJHFG-UHFFFAOYSA-N 0 0 449.489 -0.019 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cc3cccc(NS(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000730341487 1073388892 /nfs/dbraw/zinc/38/88/92/1073388892.db2.gz GAXRIKLSBQUTDA-UHFFFAOYSA-N 0 0 435.462 -0.409 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CC(=O)N(c3ccc(F)cc3)C2)CC1 ZINC000730366552 1073388880 /nfs/dbraw/zinc/38/88/80/1073388880.db2.gz DKBMZJNRVMQLNB-HNNXBMFYSA-N 0 0 434.468 -0.046 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N(c3ccc(F)cc3)C2)CC1 ZINC000730366554 1073389295 /nfs/dbraw/zinc/38/92/95/1073389295.db2.gz DKBMZJNRVMQLNB-OAHLLOKOSA-N 0 0 434.468 -0.046 20 0 IBADRN CNC(=O)NC(=O)COC(=O)C[C@@H](C(=O)OCC(=O)NC(=O)NC)c1ccccc1F ZINC000730368046 1073389321 /nfs/dbraw/zinc/38/93/21/1073389321.db2.gz RCKILRIHERMLBW-LLVKDONJSA-N 0 0 440.384 -0.703 20 0 IBADRN CNC(=O)NC(=O)COC(=O)C[C@H](C(=O)OCC(=O)NC(=O)NC)c1ccccc1F ZINC000730368047 1073389259 /nfs/dbraw/zinc/38/92/59/1073389259.db2.gz RCKILRIHERMLBW-NSHDSACASA-N 0 0 440.384 -0.703 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OCC(=O)N3CCNC(=O)C3)n(C)c2)C1 ZINC000730369447 1073389269 /nfs/dbraw/zinc/38/92/69/1073389269.db2.gz ITQKZGPNUIAZSQ-CYBMUJFWSA-N 0 0 426.495 -0.439 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OCC(=O)N3CCNC(=O)C3)n(C)c2)C1 ZINC000730369448 1073389337 /nfs/dbraw/zinc/38/93/37/1073389337.db2.gz ITQKZGPNUIAZSQ-ZDUSSCGKSA-N 0 0 426.495 -0.439 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2c(F)cccc2-n2cccn2)CC1 ZINC000730385258 1073389299 /nfs/dbraw/zinc/38/92/99/1073389299.db2.gz AISVYHZMQIICOB-UHFFFAOYSA-N 0 0 444.467 -0.047 20 0 IBADRN O=C(Nc1c(F)cccc1-n1cccn1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000730385425 1073389347 /nfs/dbraw/zinc/38/93/47/1073389347.db2.gz COEZJELLNOXCCF-UHFFFAOYSA-N 0 0 428.424 -0.101 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1c(F)cccc1-n1cccn1 ZINC000730388257 1073389310 /nfs/dbraw/zinc/38/93/10/1073389310.db2.gz FMYLBCACAUTKAZ-UHFFFAOYSA-N 0 0 425.442 -0.272 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1sc(C(N)=O)c(C)c1C(=O)OCCOC ZINC000730389491 1073389289 /nfs/dbraw/zinc/38/92/89/1073389289.db2.gz NNDOUAUSNLPCAA-JTQLQIEISA-N 0 0 440.478 -0.366 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1sc(C(N)=O)c(C)c1C(=O)OCCOC ZINC000730389492 1073389282 /nfs/dbraw/zinc/38/92/82/1073389282.db2.gz NNDOUAUSNLPCAA-SNVBAGLBSA-N 0 0 440.478 -0.366 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000730389947 1073389319 /nfs/dbraw/zinc/38/93/19/1073389319.db2.gz SMBQJOOQUMNIBJ-KRWDZBQOSA-N 0 0 431.493 -0.343 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000730389948 1073389234 /nfs/dbraw/zinc/38/92/34/1073389234.db2.gz SMBQJOOQUMNIBJ-QGZVFWFLSA-N 0 0 431.493 -0.343 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000730391595 1073389342 /nfs/dbraw/zinc/38/93/42/1073389342.db2.gz OCFVEWQSULVYSF-AWEZNQCLSA-N 0 0 433.465 -0.034 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000730391596 1073389218 /nfs/dbraw/zinc/38/92/18/1073389218.db2.gz OCFVEWQSULVYSF-CQSZACIVSA-N 0 0 433.465 -0.034 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@H](NC(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000730392352 1073389277 /nfs/dbraw/zinc/38/92/77/1073389277.db2.gz YQJBIKBVZLXZAX-LLVKDONJSA-N 0 0 446.464 -0.304 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@H](NC(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000730392356 1073389209 /nfs/dbraw/zinc/38/92/09/1073389209.db2.gz YQJBIKBVZLXZAX-NSHDSACASA-N 0 0 446.464 -0.304 20 0 IBADRN O=C(c1c[nH]nc1-c1cccnc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000730419925 1073389327 /nfs/dbraw/zinc/38/93/27/1073389327.db2.gz AILDKOCEWWWBHI-AWEZNQCLSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(c1c[nH]nc1-c1cccnc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730419926 1073389683 /nfs/dbraw/zinc/38/96/83/1073389683.db2.gz AILDKOCEWWWBHI-CQSZACIVSA-N 0 0 439.519 -0.254 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000730438645 1073389733 /nfs/dbraw/zinc/38/97/33/1073389733.db2.gz TYEMFXFIABKRDP-UHFFFAOYSA-N 0 0 438.622 -0.323 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CSCCS(C)(=O)=O)CC1 ZINC000730466304 1073389690 /nfs/dbraw/zinc/38/96/90/1073389690.db2.gz IWLVITXUJPZKIP-UHFFFAOYSA-N 0 0 425.554 -0.098 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)N1CCSCC1)C1=NS(=O)(=O)c2ccccc21 ZINC000730499618 1073390150 /nfs/dbraw/zinc/39/01/50/1073390150.db2.gz BQKKAMCKZZIVFV-UHFFFAOYSA-N 0 0 446.576 -0.438 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCS(=O)(=O)N2CCSCC2)=NN1c1ccc(F)cc1 ZINC000730499645 1073390044 /nfs/dbraw/zinc/39/00/44/1073390044.db2.gz CTPDUCNJCRYCSV-HNNXBMFYSA-N 0 0 443.526 -0.260 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCS(=O)(=O)N2CCSCC2)=NN1c1ccc(F)cc1 ZINC000730499646 1073390161 /nfs/dbraw/zinc/39/01/61/1073390161.db2.gz CTPDUCNJCRYCSV-OAHLLOKOSA-N 0 0 443.526 -0.260 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCS(=O)(=O)N2CCSCC2)=NN1c1ccccc1 ZINC000730499721 1073389594 /nfs/dbraw/zinc/38/95/94/1073389594.db2.gz HCQBFIJUIUSFGU-HNNXBMFYSA-N 0 0 425.536 -0.399 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCS(=O)(=O)N2CCSCC2)=NN1c1ccccc1 ZINC000730499722 1073390191 /nfs/dbraw/zinc/39/01/91/1073390191.db2.gz HCQBFIJUIUSFGU-OAHLLOKOSA-N 0 0 425.536 -0.399 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)NCCS(=O)(=O)N3CCSCC3)cc2S1(=O)=O ZINC000730499929 1073389773 /nfs/dbraw/zinc/38/97/73/1073389773.db2.gz KQVDJUQLQHVZBQ-UHFFFAOYSA-N 0 0 447.560 -0.041 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)NCCS(=O)(=O)N2CCSCC2)C1=O ZINC000730500288 1073389645 /nfs/dbraw/zinc/38/96/45/1073389645.db2.gz NBZXNYQAUIUARM-GOSISDBHSA-N 0 0 440.547 -0.052 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)NCCS(=O)(=O)N2CCSCC2)C1=O ZINC000730500289 1073389664 /nfs/dbraw/zinc/38/96/64/1073389664.db2.gz NBZXNYQAUIUARM-SFHVURJKSA-N 0 0 440.547 -0.052 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000730500614 1073390083 /nfs/dbraw/zinc/39/00/83/1073390083.db2.gz RLOATWLMFZYGTF-UHFFFAOYSA-N 0 0 437.565 -0.023 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000730500618 1073390185 /nfs/dbraw/zinc/39/01/85/1073390185.db2.gz RQTNDAKHGTUEPM-UHFFFAOYSA-N 0 0 437.565 -0.023 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NCCS(=O)(=O)N2CCSCC2)c1=O ZINC000730501089 1073390131 /nfs/dbraw/zinc/39/01/31/1073390131.db2.gz XAFCYSFCLKYVBF-UHFFFAOYSA-N 0 0 440.547 -0.322 20 0 IBADRN O=C(Cn1ncc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000730528189 1073390093 /nfs/dbraw/zinc/39/00/93/1073390093.db2.gz BFSUBLSIAOQARR-HNNXBMFYSA-N 0 0 426.520 -0.303 20 0 IBADRN O=C(Cn1ncc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000730528194 1073390139 /nfs/dbraw/zinc/39/01/39/1073390139.db2.gz BFSUBLSIAOQARR-OAHLLOKOSA-N 0 0 426.520 -0.303 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000730539723 1073390156 /nfs/dbraw/zinc/39/01/56/1073390156.db2.gz IRMRUJKNEWDMPY-HNNXBMFYSA-N 0 0 439.490 -0.411 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000730539727 1073390144 /nfs/dbraw/zinc/39/01/44/1073390144.db2.gz IRMRUJKNEWDMPY-OAHLLOKOSA-N 0 0 439.490 -0.411 20 0 IBADRN C[C@H]1NC(C(=O)NCCS(=O)(=O)N2CCSCC2)=NN(c2ccccc2)C1=O ZINC000730550784 1073390602 /nfs/dbraw/zinc/39/06/02/1073390602.db2.gz IJNGTMFBFREKFZ-CYBMUJFWSA-N 0 0 425.536 -0.180 20 0 IBADRN C[C@@H]1NC(C(=O)NCCS(=O)(=O)N2CCSCC2)=NN(c2ccccc2)C1=O ZINC000730550785 1073390539 /nfs/dbraw/zinc/39/05/39/1073390539.db2.gz IJNGTMFBFREKFZ-ZDUSSCGKSA-N 0 0 425.536 -0.180 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCCS(=O)(=O)N1CCSCC1 ZINC000730550904 1073390493 /nfs/dbraw/zinc/39/04/93/1073390493.db2.gz OEDAVHVSTXSGHM-UHFFFAOYSA-N 0 0 433.533 -0.674 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CCNS(C)(=O)=O)CC1 ZINC000730567619 1073390515 /nfs/dbraw/zinc/39/05/15/1073390515.db2.gz MIIBSNFUQBNBNL-UHFFFAOYSA-N 0 0 439.581 -0.746 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)ccc1F ZINC000730574443 1073391033 /nfs/dbraw/zinc/39/10/33/1073391033.db2.gz PFXBHMKVINXPOG-UHFFFAOYSA-N 0 0 446.569 -0.114 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000730591539 1073391171 /nfs/dbraw/zinc/39/11/71/1073391171.db2.gz UKKHMNYTOKQTHE-UHFFFAOYSA-N 0 0 427.552 -0.084 20 0 IBADRN CS(=O)(=O)NCCOC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000730597674 1073391209 /nfs/dbraw/zinc/39/12/09/1073391209.db2.gz BCKCSPTWEKBSFW-AAEUAGOBSA-N 0 0 426.900 -0.444 20 0 IBADRN CS(=O)(=O)NCCOC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000730597675 1073391179 /nfs/dbraw/zinc/39/11/79/1073391179.db2.gz BCKCSPTWEKBSFW-YPMHNXCESA-N 0 0 426.900 -0.444 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)OCCNS(C)(=O)=O)n2C ZINC000730597850 1073391068 /nfs/dbraw/zinc/39/10/68/1073391068.db2.gz FBOPJYDRCHCISX-UHFFFAOYSA-N 0 0 432.524 -0.151 20 0 IBADRN CS(=O)(=O)NCCOC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000730597853 1073391056 /nfs/dbraw/zinc/39/10/56/1073391056.db2.gz FJNYLUWYXBHMJN-UHFFFAOYSA-N 0 0 447.535 -0.608 20 0 IBADRN CS(=O)(=O)NCCOC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000730598192 1073390930 /nfs/dbraw/zinc/39/09/30/1073390930.db2.gz LHSSSMWTQKIMHM-UHFFFAOYSA-N 0 0 437.219 -0.027 20 0 IBADRN COc1ccc(CC(=O)OCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000730598246 1073390982 /nfs/dbraw/zinc/39/09/82/1073390982.db2.gz MVDSTVOBDMFFHU-UHFFFAOYSA-N 0 0 436.508 -0.649 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCCNS(C)(=O)=O ZINC000730598669 1073390945 /nfs/dbraw/zinc/39/09/45/1073390945.db2.gz QUTZVMXROHNBCY-BLLLJJGKSA-N 0 0 430.504 -0.040 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCCNS(C)(=O)=O ZINC000730598670 1073391100 /nfs/dbraw/zinc/39/11/00/1073391100.db2.gz QUTZVMXROHNBCY-LRDDRELGSA-N 0 0 430.504 -0.040 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCCNS(C)(=O)=O ZINC000730598671 1073391026 /nfs/dbraw/zinc/39/10/26/1073391026.db2.gz QUTZVMXROHNBCY-MLGOLLRUSA-N 0 0 430.504 -0.040 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCCNS(C)(=O)=O ZINC000730598672 1073391017 /nfs/dbraw/zinc/39/10/17/1073391017.db2.gz QUTZVMXROHNBCY-WBMJQRKESA-N 0 0 430.504 -0.040 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)OCCNS(C)(=O)=O)cc1 ZINC000730598729 1073391431 /nfs/dbraw/zinc/39/14/31/1073391431.db2.gz STSSZZQRAYYTHB-UHFFFAOYSA-N 0 0 435.524 -0.461 20 0 IBADRN CS(=O)(=O)NCCOC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000730598752 1073391509 /nfs/dbraw/zinc/39/15/09/1073391509.db2.gz UHOMOWWBGKUPIV-LLVKDONJSA-N 0 0 445.313 -0.819 20 0 IBADRN CS(=O)(=O)NCCOC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000730598753 1073391515 /nfs/dbraw/zinc/39/15/15/1073391515.db2.gz UHOMOWWBGKUPIV-NSHDSACASA-N 0 0 445.313 -0.819 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCCNS(C)(=O)=O)c2)CC1 ZINC000730598819 1073391047 /nfs/dbraw/zinc/39/10/47/1073391047.db2.gz QVWAWLDIVZWDBC-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCCNS(C)(=O)=O)c1 ZINC000730599461 1073391549 /nfs/dbraw/zinc/39/15/49/1073391549.db2.gz AZNXUCUGROGFII-UHFFFAOYSA-N 0 0 435.524 -0.461 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)OCCNS(C)(=O)=O ZINC000730599877 1073391525 /nfs/dbraw/zinc/39/15/25/1073391525.db2.gz BVXBOJXRTJKFNG-GOSISDBHSA-N 0 0 425.463 -0.296 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)OCCNS(C)(=O)=O ZINC000730599878 1073391483 /nfs/dbraw/zinc/39/14/83/1073391483.db2.gz BVXBOJXRTJKFNG-SFHVURJKSA-N 0 0 425.463 -0.296 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)OCCNS(C)(=O)=O ZINC000730599980 1073391421 /nfs/dbraw/zinc/39/14/21/1073391421.db2.gz DQOLIZRSMAGCCH-CYBMUJFWSA-N 0 0 440.927 -0.035 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)OCCNS(C)(=O)=O ZINC000730599982 1073391567 /nfs/dbraw/zinc/39/15/67/1073391567.db2.gz DQOLIZRSMAGCCH-ZDUSSCGKSA-N 0 0 440.927 -0.035 20 0 IBADRN CS(=O)(=O)NCCOC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000730601505 1073391559 /nfs/dbraw/zinc/39/15/59/1073391559.db2.gz LLCGQQDSXPSDOR-UHFFFAOYSA-N 0 0 448.519 -0.049 20 0 IBADRN CS(=O)(=O)NCCOC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000730602265 1073391439 /nfs/dbraw/zinc/39/14/39/1073391439.db2.gz HVJIVDFKZYGKHZ-GFCCVEGCSA-N 0 0 432.476 -0.284 20 0 IBADRN CS(=O)(=O)NCCOC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000730602266 1073391464 /nfs/dbraw/zinc/39/14/64/1073391464.db2.gz HVJIVDFKZYGKHZ-LBPRGKRZSA-N 0 0 432.476 -0.284 20 0 IBADRN CS(=O)(=O)NCCOC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000730602310 1073391373 /nfs/dbraw/zinc/39/13/73/1073391373.db2.gz UGWYOXYWPOBKBP-UHFFFAOYSA-N 0 0 436.508 -0.001 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCCNS(C)(=O)=O)c1 ZINC000730602800 1073391574 /nfs/dbraw/zinc/39/15/74/1073391574.db2.gz KGOWERMBNNAKMM-UHFFFAOYSA-N 0 0 435.524 -0.521 20 0 IBADRN CS(=O)(=O)NCCOC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC000730603287 1073391497 /nfs/dbraw/zinc/39/14/97/1073391497.db2.gz VZFPRTLNKUTOFG-UHFFFAOYSA-N 0 0 426.538 -0.153 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)OCCNS(C)(=O)=O)o1 ZINC000730604532 1073391358 /nfs/dbraw/zinc/39/13/58/1073391358.db2.gz IYJKHGPJMKZHMA-LLVKDONJSA-N 0 0 438.480 -0.298 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)OCCNS(C)(=O)=O)o1 ZINC000730604533 1073391475 /nfs/dbraw/zinc/39/14/75/1073391475.db2.gz IYJKHGPJMKZHMA-NSHDSACASA-N 0 0 438.480 -0.298 20 0 IBADRN CN(C)C(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000730682383 1073392370 /nfs/dbraw/zinc/39/23/70/1073392370.db2.gz WWQDUTKFNLCIEG-UHFFFAOYSA-N 0 0 428.536 -0.178 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000730682387 1073392405 /nfs/dbraw/zinc/39/24/05/1073392405.db2.gz XDPGOKKWYCDLJJ-UHFFFAOYSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000730683359 1073392482 /nfs/dbraw/zinc/39/24/82/1073392482.db2.gz GSKDWRIDMNILID-UHFFFAOYSA-N 0 0 425.496 -0.904 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000730684941 1073392400 /nfs/dbraw/zinc/39/24/00/1073392400.db2.gz ZOYMDOTXEUJYJS-UHFFFAOYSA-N 0 0 444.535 -0.295 20 0 IBADRN CC(C)c1noc(OCC(=O)NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)n1 ZINC000730731300 1073392915 /nfs/dbraw/zinc/39/29/15/1073392915.db2.gz VATHVJBUGMMOIJ-UHFFFAOYSA-N 0 0 441.466 -0.112 20 0 IBADRN Cc1cccc(N2C(=O)N[C@@H](CCC(=O)NCCS(=O)(=O)N3CCOCC3)C2=O)c1 ZINC000730749382 1073392783 /nfs/dbraw/zinc/39/27/83/1073392783.db2.gz MOFYFOAYKRMSSX-INIZCTEOSA-N 0 0 438.506 -0.022 20 0 IBADRN Cc1cccc(N2C(=O)N[C@H](CCC(=O)NCCS(=O)(=O)N3CCOCC3)C2=O)c1 ZINC000730749383 1073392892 /nfs/dbraw/zinc/39/28/92/1073392892.db2.gz MOFYFOAYKRMSSX-MRXNPFEDSA-N 0 0 438.506 -0.022 20 0 IBADRN COC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000730772334 1073392827 /nfs/dbraw/zinc/39/28/27/1073392827.db2.gz JCXLSNFCBXGQIF-UHFFFAOYSA-N 0 0 447.535 -0.022 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000730783022 1073392841 /nfs/dbraw/zinc/39/28/41/1073392841.db2.gz BKPPWESQFCDAJB-UHFFFAOYSA-N 0 0 435.506 -0.736 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)n(C)c1 ZINC000730783044 1073392747 /nfs/dbraw/zinc/39/27/47/1073392747.db2.gz CUVVJEHFPOQZDI-UHFFFAOYSA-N 0 0 441.554 -0.134 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000730783080 1073392797 /nfs/dbraw/zinc/39/27/97/1073392797.db2.gz FMARMLIEJNDZCD-UHFFFAOYSA-N 0 0 426.495 -0.321 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000730783132 1073392882 /nfs/dbraw/zinc/39/28/82/1073392882.db2.gz GUKDJJZVNYGXMY-KRWDZBQOSA-N 0 0 432.456 -0.557 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000730783139 1073392817 /nfs/dbraw/zinc/39/28/17/1073392817.db2.gz GUKDJJZVNYGXMY-QGZVFWFLSA-N 0 0 432.456 -0.557 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CC1 ZINC000730783210 1073392933 /nfs/dbraw/zinc/39/29/33/1073392933.db2.gz IZTOVAKYGPIUFM-UHFFFAOYSA-N 0 0 448.501 -0.196 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000730783214 1073392767 /nfs/dbraw/zinc/39/27/67/1073392767.db2.gz JERJHEHRABHYLO-JOCHJYFZSA-N 0 0 441.488 -0.423 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000730783216 1073392923 /nfs/dbraw/zinc/39/29/23/1073392923.db2.gz JERJHEHRABHYLO-QFIPXVFZSA-N 0 0 441.488 -0.423 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000730783381 1073392757 /nfs/dbraw/zinc/39/27/57/1073392757.db2.gz LLHJYNZIPZLLFC-UHFFFAOYSA-N 0 0 428.486 -0.113 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000730783403 1073392776 /nfs/dbraw/zinc/39/27/76/1073392776.db2.gz MOLCKFHETJYMFO-UHFFFAOYSA-N 0 0 426.495 -0.321 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000730783422 1073392872 /nfs/dbraw/zinc/39/28/72/1073392872.db2.gz NPACQLHRNDDXSO-UHFFFAOYSA-N 0 0 428.486 -0.066 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000730783430 1073392942 /nfs/dbraw/zinc/39/29/42/1073392942.db2.gz NTTGSGYRKFQFCZ-NRFANRHFSA-N 0 0 447.467 -0.210 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000730783431 1073392853 /nfs/dbraw/zinc/39/28/53/1073392853.db2.gz NTTGSGYRKFQFCZ-OAQYLSRUSA-N 0 0 447.467 -0.210 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)cc2S1(=O)=O ZINC000730783462 1073392735 /nfs/dbraw/zinc/39/27/35/1073392735.db2.gz ORRHWORYSXAWBK-UHFFFAOYSA-N 0 0 436.490 -0.338 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC000730783743 1073392903 /nfs/dbraw/zinc/39/29/03/1073392903.db2.gz UAJPTYKOYLQXQL-JOCHJYFZSA-N 0 0 443.504 -0.041 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC000730783747 1073393211 /nfs/dbraw/zinc/39/32/11/1073393211.db2.gz UAJPTYKOYLQXQL-QFIPXVFZSA-N 0 0 443.504 -0.041 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)CC1 ZINC000730783763 1073393200 /nfs/dbraw/zinc/39/32/00/1073393200.db2.gz UOYUIEROAIPFOS-NRFANRHFSA-N 0 0 429.477 -0.349 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2C(=O)N[C@](C)(c3ccccc3)C2=O)CC1 ZINC000730783764 1073393214 /nfs/dbraw/zinc/39/32/14/1073393214.db2.gz UOYUIEROAIPFOS-OAQYLSRUSA-N 0 0 429.477 -0.349 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)CC1 ZINC000730783777 1073393105 /nfs/dbraw/zinc/39/31/05/1073393105.db2.gz VEYLLYNPPBZTLQ-UHFFFAOYSA-N 0 0 426.495 -0.125 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1=O ZINC000730785038 1073393220 /nfs/dbraw/zinc/39/32/20/1073393220.db2.gz CJVHXNGBSNWOFA-UHFFFAOYSA-N 0 0 429.477 -0.620 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)CC1 ZINC000730806236 1073393147 /nfs/dbraw/zinc/39/31/47/1073393147.db2.gz MMKLYQOSJWQNNT-GIDUJCDVSA-N 0 0 435.550 -0.766 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)CC1 ZINC000730806237 1073393252 /nfs/dbraw/zinc/39/32/52/1073393252.db2.gz MMKLYQOSJWQNNT-UUASQNMZSA-N 0 0 435.550 -0.766 20 0 IBADRN O=C1C[C@H](N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C(=O)N1c1cnccn1 ZINC000730817596 1073393224 /nfs/dbraw/zinc/39/32/24/1073393224.db2.gz WNZMOOUGUOYSIS-AWEZNQCLSA-N 0 0 426.458 -0.125 20 0 IBADRN O=C1C[C@@H](N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C(=O)N1c1cnccn1 ZINC000730817597 1073393233 /nfs/dbraw/zinc/39/32/33/1073393233.db2.gz WNZMOOUGUOYSIS-CQSZACIVSA-N 0 0 426.458 -0.125 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000730823393 1073393142 /nfs/dbraw/zinc/39/31/42/1073393142.db2.gz JKWCLSKIRIYKMJ-KRWDZBQOSA-N 0 0 448.446 -0.060 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000730823394 1073393135 /nfs/dbraw/zinc/39/31/35/1073393135.db2.gz JKWCLSKIRIYKMJ-QGZVFWFLSA-N 0 0 448.446 -0.060 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000730829016 1073393182 /nfs/dbraw/zinc/39/31/82/1073393182.db2.gz ZTUQJOHJVRGHTD-UHFFFAOYSA-N 0 0 439.538 -0.514 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC000730841876 1073393173 /nfs/dbraw/zinc/39/31/73/1073393173.db2.gz PTTUSKJNIUZIIL-MOPGFXCFSA-N 0 0 446.504 -0.045 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)[C@@H](O)C1CC1 ZINC000730878934 1073393422 /nfs/dbraw/zinc/39/34/22/1073393422.db2.gz OVOKGKNVRGWBCC-KRWDZBQOSA-N 0 0 428.463 -0.031 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)[C@H](O)C1CC1 ZINC000730878937 1073393518 /nfs/dbraw/zinc/39/35/18/1073393518.db2.gz OVOKGKNVRGWBCC-QGZVFWFLSA-N 0 0 428.463 -0.031 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H](O)C3CC3)CC2)cc1OC ZINC000730878997 1073393246 /nfs/dbraw/zinc/39/32/46/1073393246.db2.gz PRTUUXRMFWYOKA-GOSISDBHSA-N 0 0 442.490 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H](O)C3CC3)CC2)cc1OC ZINC000730878999 1073393158 /nfs/dbraw/zinc/39/31/58/1073393158.db2.gz PRTUUXRMFWYOKA-SFHVURJKSA-N 0 0 442.490 -0.149 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000730880760 1073393457 /nfs/dbraw/zinc/39/34/57/1073393457.db2.gz VUZOOZORVQZJSI-GOSISDBHSA-N 0 0 440.474 -0.395 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000730880761 1073393548 /nfs/dbraw/zinc/39/35/48/1073393548.db2.gz VUZOOZORVQZJSI-SFHVURJKSA-N 0 0 440.474 -0.395 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@@H](O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000730882556 1073393470 /nfs/dbraw/zinc/39/34/70/1073393470.db2.gz GUDAFIXBWXWRAF-KRWDZBQOSA-N 0 0 428.463 -0.031 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@H](O)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000730882558 1073393637 /nfs/dbraw/zinc/39/36/37/1073393637.db2.gz GUDAFIXBWXWRAF-QGZVFWFLSA-N 0 0 428.463 -0.031 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H](O)C3CC3)CC2)c1 ZINC000730884172 1073393630 /nfs/dbraw/zinc/39/36/30/1073393630.db2.gz XYGNTDPQFRQBAD-GOSISDBHSA-N 0 0 442.490 -0.149 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H](O)C3CC3)CC2)c1 ZINC000730884173 1073393607 /nfs/dbraw/zinc/39/36/07/1073393607.db2.gz XYGNTDPQFRQBAD-SFHVURJKSA-N 0 0 442.490 -0.149 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)ccc1S(N)(=O)=O ZINC000730887757 1073393570 /nfs/dbraw/zinc/39/35/70/1073393570.db2.gz AGGAGAQNENVTSU-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)ccc1S(N)(=O)=O ZINC000730889434 1073393507 /nfs/dbraw/zinc/39/35/07/1073393507.db2.gz AZYTYUHJVRAJLE-UHFFFAOYSA-N 0 0 435.458 -0.020 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)ccc1S(N)(=O)=O ZINC000730889762 1073393579 /nfs/dbraw/zinc/39/35/79/1073393579.db2.gz GQCUBLXSDPQNPY-UHFFFAOYSA-N 0 0 449.551 -0.024 20 0 IBADRN Cc1cc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)ccc1S(N)(=O)=O ZINC000730892134 1073393559 /nfs/dbraw/zinc/39/35/59/1073393559.db2.gz SRJMLHFBCAOAKK-UHFFFAOYSA-N 0 0 435.462 -0.363 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(CN3CCOC3=O)c2)CC1 ZINC000730914587 1073393480 /nfs/dbraw/zinc/39/34/80/1073393480.db2.gz ASMOJDXLESGXGF-UHFFFAOYSA-N 0 0 445.476 -0.226 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(N3CCOCC3)c(F)c2)CC1 ZINC000730914685 1073393644 /nfs/dbraw/zinc/39/36/44/1073393644.db2.gz CMLUFDFWTMNCLP-UHFFFAOYSA-N 0 0 449.483 -0.202 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC000730915390 1073393436 /nfs/dbraw/zinc/39/34/36/1073393436.db2.gz IFFMQUJIQBSWNH-UHFFFAOYSA-N 0 0 431.493 -0.341 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(CN3CCOC3=O)cc2)CC1 ZINC000730915958 1073393624 /nfs/dbraw/zinc/39/36/24/1073393624.db2.gz PRJSUZPJDPQBRT-UHFFFAOYSA-N 0 0 445.476 -0.226 20 0 IBADRN CN(CC(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)C(=O)OC(C)(C)C ZINC000730924329 1073393446 /nfs/dbraw/zinc/39/34/46/1073393446.db2.gz WOWPTTGUXRVZEK-UHFFFAOYSA-N 0 0 437.497 -0.052 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CCc3ccc(S(N)(=O)=O)cc3)CC2)C[C@H](C)O1 ZINC000730937716 1073393588 /nfs/dbraw/zinc/39/35/88/1073393588.db2.gz DVMCEHHTHRYCHM-HOTGVXAUSA-N 0 0 446.595 -0.152 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CCc3ccc(S(N)(=O)=O)cc3)CC2)C[C@@H](C)O1 ZINC000730937717 1073393527 /nfs/dbraw/zinc/39/35/27/1073393527.db2.gz DVMCEHHTHRYCHM-HZPDHXFCSA-N 0 0 446.595 -0.152 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CCc3ccc(S(N)(=O)=O)cc3)CC2)C[C@H](C)O1 ZINC000730937718 1073393858 /nfs/dbraw/zinc/39/38/58/1073393858.db2.gz DVMCEHHTHRYCHM-IYBDPMFKSA-N 0 0 446.595 -0.152 20 0 IBADRN O=C1CNC(=O)N1CCCNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000730951050 1073393876 /nfs/dbraw/zinc/39/38/76/1073393876.db2.gz SSBDSGUKRSGNEY-UHFFFAOYSA-N 0 0 441.535 -0.064 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000731002427 1073394002 /nfs/dbraw/zinc/39/40/02/1073394002.db2.gz HFADPRUZLVLSOI-OLZOCXBDSA-N 0 0 425.529 -0.972 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000731002429 1073393951 /nfs/dbraw/zinc/39/39/51/1073393951.db2.gz HFADPRUZLVLSOI-STQMWFEESA-N 0 0 425.529 -0.972 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000731008058 1073394051 /nfs/dbraw/zinc/39/40/51/1073394051.db2.gz DJTBNCJWMNHTFJ-CXOKCQFOSA-N 0 0 427.461 -0.152 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@H]2C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000731008059 1073393981 /nfs/dbraw/zinc/39/39/81/1073393981.db2.gz DJTBNCJWMNHTFJ-FSNWXROXSA-N 0 0 427.461 -0.152 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@H]2C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000731008061 1073393834 /nfs/dbraw/zinc/39/38/34/1073393834.db2.gz DJTBNCJWMNHTFJ-HWYHOQROSA-N 0 0 427.461 -0.152 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000731008064 1073394040 /nfs/dbraw/zinc/39/40/40/1073394040.db2.gz DJTBNCJWMNHTFJ-YXMGTMDOSA-N 0 0 427.461 -0.152 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000731008380 1073394009 /nfs/dbraw/zinc/39/40/09/1073394009.db2.gz GEFVZDYWBFFHEG-UHFFFAOYSA-N 0 0 428.442 -0.482 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000731009625 1073393964 /nfs/dbraw/zinc/39/39/64/1073393964.db2.gz LRFPOYRJWHGERW-UHFFFAOYSA-N 0 0 433.490 -0.094 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NCCCN2C(=O)CNC2=O)c1 ZINC000731009736 1073393972 /nfs/dbraw/zinc/39/39/72/1073393972.db2.gz NSGPMTRPOCVGJQ-UHFFFAOYSA-N 0 0 432.886 -0.064 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCCN2C(=O)CNC2=O)cc1 ZINC000731037974 1073393849 /nfs/dbraw/zinc/39/38/49/1073393849.db2.gz VKAMPQTWMJXUMN-UHFFFAOYSA-N 0 0 433.508 -0.280 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000731046610 1073393905 /nfs/dbraw/zinc/39/39/05/1073393905.db2.gz IBGVKAFLDQORNW-UHFFFAOYSA-N 0 0 434.478 -0.595 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCCN2C(=O)CNC2=O)CC1 ZINC000731047084 1073393988 /nfs/dbraw/zinc/39/39/88/1073393988.db2.gz LKUNLALVMKKANA-UHFFFAOYSA-N 0 0 426.474 -0.693 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCCCN2C(=O)CNC2=O)sc1Br ZINC000731053710 1073394233 /nfs/dbraw/zinc/39/42/33/1073394233.db2.gz ULQOYGKWWVFBRQ-UHFFFAOYSA-N 0 0 425.286 -0.170 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CNC(=O)c2c(F)cccc2Cl)CC1 ZINC000731076618 1073394408 /nfs/dbraw/zinc/39/44/08/1073394408.db2.gz DOIVJBMRDUAIAD-UHFFFAOYSA-N 0 0 442.875 -0.016 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)c2c(F)cccc2Cl)c(=O)n(C)c1=O ZINC000731079357 1073394296 /nfs/dbraw/zinc/39/42/96/1073394296.db2.gz WDQGLNMEARKRKM-UHFFFAOYSA-N 0 0 426.788 -0.385 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2sccc2S(=O)(=O)C(F)F)c(=O)n(C)c1=O ZINC000731094708 1073394315 /nfs/dbraw/zinc/39/43/15/1073394315.db2.gz RRHDJDKVQLPQTR-UHFFFAOYSA-N 0 0 437.402 -0.236 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000731130477 1073394796 /nfs/dbraw/zinc/39/47/96/1073394796.db2.gz OHEXEHFUEFJMCP-UHFFFAOYSA-N 0 0 436.856 -0.727 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000731142453 1073394398 /nfs/dbraw/zinc/39/43/98/1073394398.db2.gz KJDODPKUNYOZHY-UHFFFAOYSA-N 0 0 441.553 -0.336 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N(C)C)C2=O)cc1 ZINC000731142515 1073394337 /nfs/dbraw/zinc/39/43/37/1073394337.db2.gz NVSGNIABLHGNEY-GOSISDBHSA-N 0 0 442.494 -0.256 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N(C)C)C2=O)cc1 ZINC000731142516 1073394252 /nfs/dbraw/zinc/39/42/52/1073394252.db2.gz NVSGNIABLHGNEY-SFHVURJKSA-N 0 0 442.494 -0.256 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000731142687 1073394241 /nfs/dbraw/zinc/39/42/41/1073394241.db2.gz BLTQEBCNIPYILB-UHFFFAOYSA-N 0 0 435.524 -0.398 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCCN(C)S(=O)(=O)N(C)C)cc2S1(=O)=O ZINC000731143102 1073394368 /nfs/dbraw/zinc/39/43/68/1073394368.db2.gz GNZBWXNSKLRCBN-UHFFFAOYSA-N 0 0 449.507 -0.628 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000731143744 1073394355 /nfs/dbraw/zinc/39/43/55/1073394355.db2.gz UKDKYHWGOFENBC-UHFFFAOYSA-N 0 0 434.540 -0.236 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC000731144260 1073394285 /nfs/dbraw/zinc/39/42/85/1073394285.db2.gz FENDROJRJMEVQP-KRWDZBQOSA-N 0 0 430.458 -0.126 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC000731144263 1073394345 /nfs/dbraw/zinc/39/43/45/1073394345.db2.gz FENDROJRJMEVQP-QGZVFWFLSA-N 0 0 430.458 -0.126 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000731144271 1073394388 /nfs/dbraw/zinc/39/43/88/1073394388.db2.gz FLEOVVYJNPVUEA-UHFFFAOYSA-N 0 0 435.524 -0.398 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000731144579 1073394377 /nfs/dbraw/zinc/39/43/77/1073394377.db2.gz IOGZSAXHXVDYJK-UHFFFAOYSA-N 0 0 435.524 -0.398 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCN(C)S(=O)(=O)N(C)C ZINC000731144947 1073394305 /nfs/dbraw/zinc/39/43/05/1073394305.db2.gz DCRHLQIYYFWVPQ-UHFFFAOYSA-N 0 0 449.551 -0.089 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000731145093 1073394194 /nfs/dbraw/zinc/39/41/94/1073394194.db2.gz MVBHJTRPUFOGLG-UHFFFAOYSA-N 0 0 440.569 -0.353 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000731145196 1073394327 /nfs/dbraw/zinc/39/43/27/1073394327.db2.gz GDPZOLFAKRHPJU-UHFFFAOYSA-N 0 0 425.485 -0.805 20 0 IBADRN Cc1ccc(C(=O)OCCN(C)S(=O)(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000731145236 1073394209 /nfs/dbraw/zinc/39/42/09/1073394209.db2.gz QEHWYDKPZBUITN-UHFFFAOYSA-N 0 0 449.551 -0.089 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000731159731 1073394671 /nfs/dbraw/zinc/39/46/71/1073394671.db2.gz HUVQSXDYZYWRJF-UHFFFAOYSA-N 0 0 431.559 -0.403 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)COCC(=O)NCc2cccnc2)CC1 ZINC000731184596 1073394813 /nfs/dbraw/zinc/39/48/13/1073394813.db2.gz KXRDKOPMMFGQSB-UHFFFAOYSA-N 0 0 449.508 -0.090 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccccc3C(=O)NCCC(N)=O)c2c(=O)n(C)c1=O ZINC000731188236 1073394690 /nfs/dbraw/zinc/39/46/90/1073394690.db2.gz DLJUBDVYAPHLSY-UHFFFAOYSA-N 0 0 441.448 -0.932 20 0 IBADRN NC(=O)CCNC(=O)c1ccccc1NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000731188323 1073394700 /nfs/dbraw/zinc/39/47/00/1073394700.db2.gz JVPCLFQJRGLGBB-HNNXBMFYSA-N 0 0 431.493 -0.589 20 0 IBADRN NC(=O)CCNC(=O)c1ccccc1NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000731188324 1073394824 /nfs/dbraw/zinc/39/48/24/1073394824.db2.gz JVPCLFQJRGLGBB-OAHLLOKOSA-N 0 0 431.493 -0.589 20 0 IBADRN Cn1c(C(=O)Nc2ccccc2C(=O)NCCC(N)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000731188358 1073394841 /nfs/dbraw/zinc/39/48/41/1073394841.db2.gz LHUJCKJBISQBOL-UHFFFAOYSA-N 0 0 426.433 -0.567 20 0 IBADRN NC(=O)CCNC(=O)c1ccccc1NC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC000731188385 1073394721 /nfs/dbraw/zinc/39/47/21/1073394721.db2.gz MUQBNCULHWHAIR-UHFFFAOYSA-N 0 0 438.238 -0.045 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)Nc2ccccc2C(=O)NCCC(N)=O)cn1C ZINC000731188565 1073394986 /nfs/dbraw/zinc/39/49/86/1073394986.db2.gz QWCGRDKRCYBYQB-UHFFFAOYSA-N 0 0 436.494 -0.359 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(F)c(NC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)c1 ZINC000731192960 1073395456 /nfs/dbraw/zinc/39/54/56/1073395456.db2.gz WEJLBMGTCCUBBN-UHFFFAOYSA-N 0 0 435.437 -0.133 20 0 IBADRN COCCN(C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000731196713 1073395240 /nfs/dbraw/zinc/39/52/40/1073395240.db2.gz HLEVTKWFOFPJOV-GDBMZVCRSA-N 0 0 426.470 -0.092 20 0 IBADRN COCCN(C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000731196714 1073395184 /nfs/dbraw/zinc/39/51/84/1073395184.db2.gz HLEVTKWFOFPJOV-GOEBONIOSA-N 0 0 426.470 -0.092 20 0 IBADRN COCCN(C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000731196715 1073395334 /nfs/dbraw/zinc/39/53/34/1073395334.db2.gz HLEVTKWFOFPJOV-HOCLYGCPSA-N 0 0 426.470 -0.092 20 0 IBADRN COCCN(C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000731196716 1073395280 /nfs/dbraw/zinc/39/52/80/1073395280.db2.gz HLEVTKWFOFPJOV-ZBFHGGJFSA-N 0 0 426.470 -0.092 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000731197835 1073395320 /nfs/dbraw/zinc/39/53/20/1073395320.db2.gz AGWISDSVNSHWME-FEHORCSSSA-N 0 0 431.537 -0.064 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000731197836 1073395296 /nfs/dbraw/zinc/39/52/96/1073395296.db2.gz AGWISDSVNSHWME-KTJBFALPSA-N 0 0 431.537 -0.064 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000731197837 1073395375 /nfs/dbraw/zinc/39/53/75/1073395375.db2.gz AGWISDSVNSHWME-MHDVBENUSA-N 0 0 431.537 -0.064 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000731197838 1073395438 /nfs/dbraw/zinc/39/54/38/1073395438.db2.gz AGWISDSVNSHWME-PPVIZMFSSA-N 0 0 431.537 -0.064 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000731200464 1073395216 /nfs/dbraw/zinc/39/52/16/1073395216.db2.gz DDUJTKYAAKPOPL-AATRIKPKSA-N 0 0 431.537 -0.110 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)CC1 ZINC000731200465 1073395404 /nfs/dbraw/zinc/39/54/04/1073395404.db2.gz DDUJTKYAAKPOPL-WAYWQWQTSA-N 0 0 431.537 -0.110 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)c(=O)n(C)c1=O ZINC000731203571 1073395250 /nfs/dbraw/zinc/39/52/50/1073395250.db2.gz RYHVCXIQVGZUOL-BQYQJAHWSA-N 0 0 443.479 -0.190 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)c(=O)n(C)c1=O ZINC000731203572 1073395195 /nfs/dbraw/zinc/39/51/95/1073395195.db2.gz RYHVCXIQVGZUOL-FPLPWBNLSA-N 0 0 443.479 -0.190 20 0 IBADRN CCOC(=O)c1cnn(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1C(F)F ZINC000731204425 1073395390 /nfs/dbraw/zinc/39/53/90/1073395390.db2.gz IHSQUGUQNUPCJF-UHFFFAOYSA-N 0 0 429.424 -0.042 20 0 IBADRN CN(C)c1nn(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(=S)s1 ZINC000731204639 1073395159 /nfs/dbraw/zinc/39/51/59/1073395159.db2.gz ORWYEIZHBRYBJE-LLVKDONJSA-N 0 0 441.626 -0.168 20 0 IBADRN CN(C)c1nn(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(=S)s1 ZINC000731204640 1073395313 /nfs/dbraw/zinc/39/53/13/1073395313.db2.gz ORWYEIZHBRYBJE-NSHDSACASA-N 0 0 441.626 -0.168 20 0 IBADRN COCCN(CCC(=O)NN1C(=O)NC2(CCCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000731211191 1073394781 /nfs/dbraw/zinc/39/47/81/1073394781.db2.gz AWCXIEVURFBOOB-AWEZNQCLSA-N 0 0 430.527 -0.202 20 0 IBADRN COCCN(CCC(=O)NN1C(=O)NC2(CCCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000731211193 1073394877 /nfs/dbraw/zinc/39/48/77/1073394877.db2.gz AWCXIEVURFBOOB-CQSZACIVSA-N 0 0 430.527 -0.202 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H](c2ccccc2)N2CCC(O)CC2)CC1 ZINC000731250441 1073395744 /nfs/dbraw/zinc/39/57/44/1073395744.db2.gz YGFICPUNFLPVCI-JOCHJYFZSA-N 0 0 446.548 -0.040 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H](c2ccccc2)N2CCC(O)CC2)CC1 ZINC000731250442 1073395667 /nfs/dbraw/zinc/39/56/67/1073395667.db2.gz YGFICPUNFLPVCI-QFIPXVFZSA-N 0 0 446.548 -0.040 20 0 IBADRN CS(=O)(=O)CCCC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000731254465 1073395679 /nfs/dbraw/zinc/39/56/79/1073395679.db2.gz KJSGSHAKZSXUOV-KBPBESRZSA-N 0 0 425.525 -0.451 20 0 IBADRN CS(=O)(=O)CCCC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000731254466 1073395772 /nfs/dbraw/zinc/39/57/72/1073395772.db2.gz KJSGSHAKZSXUOV-KGLIPLIRSA-N 0 0 425.525 -0.451 20 0 IBADRN CS(=O)(=O)CCCC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000731254467 1073395633 /nfs/dbraw/zinc/39/56/33/1073395633.db2.gz KJSGSHAKZSXUOV-UONOGXRCSA-N 0 0 425.525 -0.451 20 0 IBADRN CS(=O)(=O)CCCC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000731254468 1073395805 /nfs/dbraw/zinc/39/58/05/1073395805.db2.gz KJSGSHAKZSXUOV-ZIAGYGMSSA-N 0 0 425.525 -0.451 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)CCCS(C)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000731256607 1073395783 /nfs/dbraw/zinc/39/57/83/1073395783.db2.gz QPFAARGKLRXSSH-UHFFFAOYSA-N 0 0 437.474 -0.272 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CCCS(C)(=O)=O)C2=O)cc1 ZINC000731257604 1073395795 /nfs/dbraw/zinc/39/57/95/1073395795.db2.gz HNVFRCFQQBFQPP-GOSISDBHSA-N 0 0 441.462 -0.139 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CCCS(C)(=O)=O)C2=O)cc1 ZINC000731257605 1073395701 /nfs/dbraw/zinc/39/57/01/1073395701.db2.gz HNVFRCFQQBFQPP-SFHVURJKSA-N 0 0 441.462 -0.139 20 0 IBADRN CS(=O)(=O)CCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000731257712 1073395715 /nfs/dbraw/zinc/39/57/15/1073395715.db2.gz JSHHHXNCMDPPMQ-UHFFFAOYSA-N 0 0 432.520 -0.113 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccccc2S(=O)(=O)CC(F)F)c(=O)n(C)c1=O ZINC000731271293 1073396859 /nfs/dbraw/zinc/39/68/59/1073396859.db2.gz XPJNPMBSMCTVRE-UHFFFAOYSA-N 0 0 445.400 -0.255 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN(C(=O)c3ccccc3)C2)c(=O)n(C)c1=O ZINC000731272733 1073396809 /nfs/dbraw/zinc/39/68/09/1073396809.db2.gz ZXJRIPWVAVAFSY-AWEZNQCLSA-N 0 0 428.445 -0.056 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN(C(=O)c3ccccc3)C2)c(=O)n(C)c1=O ZINC000731272735 1073396796 /nfs/dbraw/zinc/39/67/96/1073396796.db2.gz ZXJRIPWVAVAFSY-CQSZACIVSA-N 0 0 428.445 -0.056 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1C(=O)NC(C)C ZINC000731285634 1073395658 /nfs/dbraw/zinc/39/56/58/1073395658.db2.gz JGHROWNWTMMIIQ-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1C(=O)NC(C)C ZINC000731285762 1073395761 /nfs/dbraw/zinc/39/57/61/1073395761.db2.gz LXHYRVWBSXSYNS-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN CN(CCOC(=O)c1ccc(CCNS(C)(=O)=O)cc1)S(=O)(=O)N1CCOCC1 ZINC000731289444 1073396112 /nfs/dbraw/zinc/39/61/12/1073396112.db2.gz BXORVTCQQLJAAF-UHFFFAOYSA-N 0 0 449.551 -0.556 20 0 IBADRN CN(CCOC(=O)CCN1C(=O)COc2ccccc21)S(=O)(=O)N1CCOCC1 ZINC000731289469 1073396137 /nfs/dbraw/zinc/39/61/37/1073396137.db2.gz DFAUNOIVQANAGF-UHFFFAOYSA-N 0 0 427.479 -0.146 20 0 IBADRN CN(CCOC(=O)CN1C(=O)NC2(CCCCC2)C1=O)S(=O)(=O)N1CCOCC1 ZINC000731289498 1073396061 /nfs/dbraw/zinc/39/60/61/1073396061.db2.gz DXUZAPRVUOFRRB-UHFFFAOYSA-N 0 0 432.499 -0.707 20 0 IBADRN CN(CCOC(=O)CN1c2ccccc2OCCC1=O)S(=O)(=O)N1CCOCC1 ZINC000731289513 1073396049 /nfs/dbraw/zinc/39/60/49/1073396049.db2.gz FUXBVJBSVQBNGL-UHFFFAOYSA-N 0 0 427.479 -0.146 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N1CCOCC1)C2=O ZINC000731289523 1073396101 /nfs/dbraw/zinc/39/61/01/1073396101.db2.gz GJFSYAUMKHBTAL-KBXCAEBGSA-N 0 0 446.526 -0.461 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N1CCOCC1)C2=O ZINC000731289524 1073396206 /nfs/dbraw/zinc/39/62/06/1073396206.db2.gz GJFSYAUMKHBTAL-KDOFPFPSSA-N 0 0 446.526 -0.461 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N1CCOCC1)C2=O ZINC000731289525 1073396152 /nfs/dbraw/zinc/39/61/52/1073396152.db2.gz GJFSYAUMKHBTAL-KSSFIOAISA-N 0 0 446.526 -0.461 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N1CCOCC1)C2=O ZINC000731289526 1073396126 /nfs/dbraw/zinc/39/61/26/1073396126.db2.gz GJFSYAUMKHBTAL-RDTXWAMCSA-N 0 0 446.526 -0.461 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000731289528 1073396230 /nfs/dbraw/zinc/39/62/30/1073396230.db2.gz GJVVUQVHMZJDOB-HNNXBMFYSA-N 0 0 449.551 -0.286 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C)C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000731289529 1073396216 /nfs/dbraw/zinc/39/62/16/1073396216.db2.gz GJVVUQVHMZJDOB-OAHLLOKOSA-N 0 0 449.551 -0.286 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000731289537 1073395611 /nfs/dbraw/zinc/39/56/11/1073395611.db2.gz GWRYJSBKIBFNHO-UHFFFAOYSA-N 0 0 426.499 -0.274 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)o1 ZINC000731289575 1073396266 /nfs/dbraw/zinc/39/62/66/1073396266.db2.gz ACHZRBXWQAWCOL-UHFFFAOYSA-N 0 0 425.485 -0.805 20 0 IBADRN CN(CCOC(=O)c1ccc(-n2cnnn2)cc1Cl)S(=O)(=O)N1CCOCC1 ZINC000731289578 1073396072 /nfs/dbraw/zinc/39/60/72/1073396072.db2.gz IUOUPUKHVCOIGF-UHFFFAOYSA-N 0 0 430.874 -0.019 20 0 IBADRN CN(CCOC(=O)C1CCN(C(=O)N2CCCC2)CC1)S(=O)(=O)N1CCOCC1 ZINC000731289965 1073395737 /nfs/dbraw/zinc/39/57/37/1073395737.db2.gz PSBIGDAQPBJBLI-UHFFFAOYSA-N 0 0 432.543 -0.034 20 0 IBADRN CN(CCOC(=O)CCn1c(=O)[nH]c(=O)c2ccccc21)S(=O)(=O)N1CCOCC1 ZINC000731290104 1073395646 /nfs/dbraw/zinc/39/56/46/1073395646.db2.gz AISGZKXXKLSCGZ-UHFFFAOYSA-N 0 0 440.478 -0.456 20 0 IBADRN CCn1c2ccccc2n(CC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1=O ZINC000731290172 1073396195 /nfs/dbraw/zinc/39/61/95/1073396195.db2.gz BPWYNJDNMJLVCF-UHFFFAOYSA-N 0 0 426.495 -0.125 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)C1=O ZINC000731290227 1072678900 /nfs/dbraw/zinc/67/89/00/1072678900.db2.gz SXJTZAPUHAQJLT-AWEZNQCLSA-N 0 0 427.479 -0.148 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)C1=O ZINC000731290229 1073396161 /nfs/dbraw/zinc/39/61/61/1073396161.db2.gz SXJTZAPUHAQJLT-CQSZACIVSA-N 0 0 427.479 -0.148 20 0 IBADRN CN(CCOC(=O)CCN1C(=O)NC2(CCCC2)C1=O)S(=O)(=O)N1CCOCC1 ZINC000731290298 1073396587 /nfs/dbraw/zinc/39/65/87/1073396587.db2.gz DPXYZDZDONDTEB-UHFFFAOYSA-N 0 0 432.499 -0.707 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1 ZINC000731290591 1073396521 /nfs/dbraw/zinc/39/65/21/1073396521.db2.gz IHQXKPDOKJWJMO-UHFFFAOYSA-N 0 0 449.551 -0.041 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000731290592 1073396431 /nfs/dbraw/zinc/39/64/31/1073396431.db2.gz WETHYQFYMGGHDI-UHFFFAOYSA-N 0 0 449.551 -0.421 20 0 IBADRN CN(CCOC(=O)Cc1csc(N2CCCC2=O)n1)S(=O)(=O)N1CCOCC1 ZINC000731290604 1073396630 /nfs/dbraw/zinc/39/66/30/1073396630.db2.gz IUBDYDNVLBTYDQ-UHFFFAOYSA-N 0 0 432.524 -0.136 20 0 IBADRN CN(CCOC(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1)S(=O)(=O)N1CCOCC1 ZINC000731290616 1073396419 /nfs/dbraw/zinc/39/64/19/1073396419.db2.gz IWINVCFUKNNALO-INIZCTEOSA-N 0 0 439.494 -0.841 20 0 IBADRN CN(CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1)S(=O)(=O)N1CCOCC1 ZINC000731290618 1073396618 /nfs/dbraw/zinc/39/66/18/1073396618.db2.gz IWINVCFUKNNALO-MRXNPFEDSA-N 0 0 439.494 -0.841 20 0 IBADRN C[C@H](C(=O)OCCN(C)S(=O)(=O)N1CCOCC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000731290719 1073396146 /nfs/dbraw/zinc/39/61/46/1073396146.db2.gz ZVWDIMRUZMIHHG-ILXRZTDVSA-N 0 0 431.511 -0.398 20 0 IBADRN C[C@H](C(=O)OCCN(C)S(=O)(=O)N1CCOCC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000731290727 1073396185 /nfs/dbraw/zinc/39/61/85/1073396185.db2.gz ZVWDIMRUZMIHHG-KFWWJZLASA-N 0 0 431.511 -0.398 20 0 IBADRN C[C@H](C(=O)OCCN(C)S(=O)(=O)N1CCOCC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000731290729 1073396495 /nfs/dbraw/zinc/39/64/95/1073396495.db2.gz ZVWDIMRUZMIHHG-RBSFLKMASA-N 0 0 431.511 -0.398 20 0 IBADRN C[C@@H](C(=O)OCCN(C)S(=O)(=O)N1CCOCC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000731290730 1073396484 /nfs/dbraw/zinc/39/64/84/1073396484.db2.gz ZVWDIMRUZMIHHG-SOUVJXGZSA-N 0 0 431.511 -0.398 20 0 IBADRN CN(CCOC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)S(=O)(=O)N1CCOCC1 ZINC000731290867 1073396252 /nfs/dbraw/zinc/39/62/52/1073396252.db2.gz FXJDUJOBSVNBOY-UHFFFAOYSA-N 0 0 447.535 -0.207 20 0 IBADRN CN(CCOC(=O)Cn1cc(Br)ccc1=O)S(=O)(=O)N1CCOCC1 ZINC000731291050 1073396085 /nfs/dbraw/zinc/39/60/85/1073396085.db2.gz KSIQYVOXDDWGHP-UHFFFAOYSA-N 0 0 438.300 -0.337 20 0 IBADRN CN(CCOC(=O)c1cccc(CN2C(=O)CNC2=O)c1)S(=O)(=O)N1CCOCC1 ZINC000731291182 1073396953 /nfs/dbraw/zinc/39/69/53/1073396953.db2.gz KTUCWRCNXDCKIE-UHFFFAOYSA-N 0 0 440.478 -0.596 20 0 IBADRN CN(CCOC(=O)CSc1nnnn1CC(F)(F)F)S(=O)(=O)N1CCOCC1 ZINC000731291234 1073396932 /nfs/dbraw/zinc/39/69/32/1073396932.db2.gz NGSNHMMOBJAVPZ-UHFFFAOYSA-N 0 0 448.449 -0.621 20 0 IBADRN CN(CCOC(=O)CCn1nnc2ccccc2c1=O)S(=O)(=O)N1CCOCC1 ZINC000731291492 1073396992 /nfs/dbraw/zinc/39/69/92/1073396992.db2.gz PUBXBPODLVAVIC-UHFFFAOYSA-N 0 0 425.467 -0.766 20 0 IBADRN CN(CCOC(=O)c1cccc(N2CCCS2(=O)=O)c1)S(=O)(=O)N1CCOCC1 ZINC000731291591 1073396551 /nfs/dbraw/zinc/39/65/51/1073396551.db2.gz QKGMKYQVUHKAKL-UHFFFAOYSA-N 0 0 447.535 -0.108 20 0 IBADRN C[C@H](C(=O)OCCN(C)S(=O)(=O)N1CCOCC1)N1C(=O)NC2(CCCC2)C1=O ZINC000731291606 1073396440 /nfs/dbraw/zinc/39/64/40/1073396440.db2.gz QLYDIMKBHFLTFB-CYBMUJFWSA-N 0 0 432.499 -0.709 20 0 IBADRN C[C@@H](C(=O)OCCN(C)S(=O)(=O)N1CCOCC1)N1C(=O)NC2(CCCC2)C1=O ZINC000731291608 1073396562 /nfs/dbraw/zinc/39/65/62/1073396562.db2.gz QLYDIMKBHFLTFB-ZDUSSCGKSA-N 0 0 432.499 -0.709 20 0 IBADRN CN(CCOC(=O)CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)S(=O)(=O)N1CCOCC1 ZINC000731291618 1073396910 /nfs/dbraw/zinc/39/69/10/1073396910.db2.gz FJVXHXAUHVKRTA-GASCZTMLSA-N 0 0 431.511 -0.396 20 0 IBADRN CN(CCOC(=O)CCN1C(=O)[C@H]2CCCC[C@@H]2C1=O)S(=O)(=O)N1CCOCC1 ZINC000731291620 1073396893 /nfs/dbraw/zinc/39/68/93/1073396893.db2.gz FJVXHXAUHVKRTA-GJZGRUSLSA-N 0 0 431.511 -0.396 20 0 IBADRN CN(CCOC(=O)CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)S(=O)(=O)N1CCOCC1 ZINC000731291621 1073396784 /nfs/dbraw/zinc/39/67/84/1073396784.db2.gz FJVXHXAUHVKRTA-HUUCEWRRSA-N 0 0 431.511 -0.396 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)C1 ZINC000731291648 1073396977 /nfs/dbraw/zinc/39/69/77/1073396977.db2.gz NTPMGMOTBPRACM-AWEZNQCLSA-N 0 0 427.545 -0.900 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)C1 ZINC000731291649 1073396920 /nfs/dbraw/zinc/39/69/20/1073396920.db2.gz NTPMGMOTBPRACM-CQSZACIVSA-N 0 0 427.545 -0.900 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)OCCN(C)S(=O)(=O)N1CCOCC1)C2=O ZINC000731291850 1073396472 /nfs/dbraw/zinc/39/64/72/1073396472.db2.gz QVJKSPMZHXSUFL-UHFFFAOYSA-N 0 0 425.463 -0.357 20 0 IBADRN CN(CCOC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)S(=O)(=O)N1CCOCC1 ZINC000731291881 1073396577 /nfs/dbraw/zinc/39/65/77/1073396577.db2.gz RGUCNWRZBUHBMX-UHFFFAOYSA-N 0 0 426.451 -0.846 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N1CCOCC1)C2=O ZINC000731291967 1073396402 /nfs/dbraw/zinc/39/64/02/1073396402.db2.gz SOJWHWBYQIBXIY-UHFFFAOYSA-N 0 0 446.526 -0.461 20 0 IBADRN CN(CCOC(=O)CCc1nc(-c2ncccn2)no1)S(=O)(=O)N1CCOCC1 ZINC000731292008 1073396641 /nfs/dbraw/zinc/39/66/41/1073396641.db2.gz HGKBMEZRPADBJX-UHFFFAOYSA-N 0 0 426.455 -0.489 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000731292068 1073396610 /nfs/dbraw/zinc/39/66/10/1073396610.db2.gz ULSJRLHGZFYGEH-UHFFFAOYSA-N 0 0 449.551 -0.285 20 0 IBADRN CN(CCOC(=O)Cn1nc(-c2ccccc2)ccc1=O)S(=O)(=O)N1CCOCC1 ZINC000731292079 1073396507 /nfs/dbraw/zinc/39/65/07/1073396507.db2.gz UPBWILKNJOMBTC-UHFFFAOYSA-N 0 0 436.490 -0.038 20 0 IBADRN CN(CCOC(=O)CN(C)S(=O)(=O)c1ccccc1)S(=O)(=O)N1CCOCC1 ZINC000731292117 1073396538 /nfs/dbraw/zinc/39/65/38/1073396538.db2.gz IMQLQVQHYPUCMS-UHFFFAOYSA-N 0 0 435.524 -0.641 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1 ZINC000731292175 1073396943 /nfs/dbraw/zinc/39/69/43/1073396943.db2.gz UTWFXUHGLXOBAD-UHFFFAOYSA-N 0 0 435.524 -0.350 20 0 IBADRN CN(CCOC(=O)CCc1nc2c(cnn2C)c(=O)[nH]1)S(=O)(=O)N1CCOCC1 ZINC000731292557 1073396963 /nfs/dbraw/zinc/39/69/63/1073396963.db2.gz LIGPLRPBPWITFY-UHFFFAOYSA-N 0 0 428.471 -0.947 20 0 IBADRN CN(CCOC(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O)S(=O)(=O)N1CCOCC1 ZINC000731293535 1073397358 /nfs/dbraw/zinc/39/73/58/1073397358.db2.gz OPJMOQNMGPUDFV-UHFFFAOYSA-N 0 0 446.526 -0.365 20 0 IBADRN COc1cc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)ccc1OCC(N)=O ZINC000731293592 1073397304 /nfs/dbraw/zinc/39/73/04/1073397304.db2.gz QNHHIORYKWOYFQ-UHFFFAOYSA-N 0 0 431.467 -0.775 20 0 IBADRN CN(CCOC(=O)[C@H]1CCCN(C(=O)N2CCCC2)C1)S(=O)(=O)N1CCOCC1 ZINC000731293673 1073397293 /nfs/dbraw/zinc/39/72/93/1073397293.db2.gz SQSBBJFKYYWXHN-INIZCTEOSA-N 0 0 432.543 -0.034 20 0 IBADRN CN(CCOC(=O)[C@@H]1CCCN(C(=O)N2CCCC2)C1)S(=O)(=O)N1CCOCC1 ZINC000731293674 1073397215 /nfs/dbraw/zinc/39/72/15/1073397215.db2.gz SQSBBJFKYYWXHN-MRXNPFEDSA-N 0 0 432.543 -0.034 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)CC1 ZINC000731294097 1073396834 /nfs/dbraw/zinc/39/68/34/1073396834.db2.gz ACRCOEGXOQTNAM-UHFFFAOYSA-N 0 0 427.545 -0.900 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1 ZINC000731294104 1073396901 /nfs/dbraw/zinc/39/69/01/1073396901.db2.gz AILDYVJSDLRGSN-UHFFFAOYSA-N 0 0 435.524 -0.398 20 0 IBADRN CN(CCOC(=O)CCn1c2ccccc2n(C)c1=O)S(=O)(=O)N1CCOCC1 ZINC000731294105 1073396885 /nfs/dbraw/zinc/39/68/85/1073396885.db2.gz AIRXYLUEDASWGF-UHFFFAOYSA-N 0 0 426.495 -0.218 20 0 IBADRN CN(CCOC(=O)CSc1nnnn1C1CCCC1)S(=O)(=O)N1CCOCC1 ZINC000731294128 1073396874 /nfs/dbraw/zinc/39/68/74/1073396874.db2.gz BBVZBXKSZGBVTO-UHFFFAOYSA-N 0 0 434.544 -0.068 20 0 IBADRN CN(CCOC(=O)c1ccc2c(c1)CCN2S(C)(=O)=O)S(=O)(=O)N1CCOCC1 ZINC000731294135 1073397256 /nfs/dbraw/zinc/39/72/56/1073397256.db2.gz BKHFGLVUTYTSBB-UHFFFAOYSA-N 0 0 447.535 -0.326 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000731294250 1073397172 /nfs/dbraw/zinc/39/71/72/1073397172.db2.gz FFSZGFGJTUDRNA-UHFFFAOYSA-N 0 0 435.524 -0.398 20 0 IBADRN CN(CCOC(=O)c1nc(S(C)(=O)=O)n2ccccc12)S(=O)(=O)N1CCOCC1 ZINC000731294335 1073397243 /nfs/dbraw/zinc/39/72/43/1073397243.db2.gz HAPWVVGBXRRHPP-UHFFFAOYSA-N 0 0 446.507 -0.597 20 0 IBADRN CNC(=O)COc1ccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1OC ZINC000731294345 1073397159 /nfs/dbraw/zinc/39/71/59/1073397159.db2.gz HKPVAQKNYWGHBA-UHFFFAOYSA-N 0 0 445.494 -0.514 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000731294349 1073397230 /nfs/dbraw/zinc/39/72/30/1073397230.db2.gz HNIUZQFDENJQCS-UHFFFAOYSA-N 0 0 435.524 -0.350 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)OCCN(C)S(=O)(=O)N3CCOCC3)ccc2c1=O ZINC000731294377 1073397111 /nfs/dbraw/zinc/39/71/11/1073397111.db2.gz IGCGLSUPALYAPN-UHFFFAOYSA-N 0 0 440.478 -0.212 20 0 IBADRN CN(CCOC(=O)C1CCN(C(=O)c2cccnc2)CC1)S(=O)(=O)N1CCOCC1 ZINC000731294499 1073397268 /nfs/dbraw/zinc/39/72/68/1073397268.db2.gz JPKABPDGYNNQJG-UHFFFAOYSA-N 0 0 440.522 -0.014 20 0 IBADRN CN(CCOC(=O)c1ccc(N2CCCS2(=O)=O)cc1)S(=O)(=O)N1CCOCC1 ZINC000731294511 1073397337 /nfs/dbraw/zinc/39/73/37/1073397337.db2.gz KENYUCCTNUZGME-UHFFFAOYSA-N 0 0 447.535 -0.108 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000731294588 1073397315 /nfs/dbraw/zinc/39/73/15/1073397315.db2.gz NMOADYCYMNLDSA-UHFFFAOYSA-N 0 0 429.495 -0.181 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000731294777 1073397187 /nfs/dbraw/zinc/39/71/87/1073397187.db2.gz QKJRGCJOQVOGHA-INIZCTEOSA-N 0 0 428.511 -0.112 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000731294778 1073397200 /nfs/dbraw/zinc/39/72/00/1073397200.db2.gz QKJRGCJOQVOGHA-MRXNPFEDSA-N 0 0 428.511 -0.112 20 0 IBADRN CN(CCOC(=O)CCN1C(=O)c2ccccc2C1=O)S(=O)(=O)N1CCOCC1 ZINC000731294902 1073397347 /nfs/dbraw/zinc/39/73/47/1073397347.db2.gz STRNRUGBSZDRTB-UHFFFAOYSA-N 0 0 425.463 -0.275 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)no1 ZINC000731294908 1073397144 /nfs/dbraw/zinc/39/71/44/1073397144.db2.gz SUVXUVDHKPFTBR-UHFFFAOYSA-N 0 0 436.512 -0.293 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000731294972 1073397327 /nfs/dbraw/zinc/39/73/27/1073397327.db2.gz VFEIEUGMWJNWSE-UHFFFAOYSA-N 0 0 445.494 -0.093 20 0 IBADRN CN(CCOC(=O)CCn1cnc2sccc2c1=O)S(=O)(=O)N1CCOCC1 ZINC000731295142 1073397129 /nfs/dbraw/zinc/39/71/29/1073397129.db2.gz YVMNIMYXRKGFIF-UHFFFAOYSA-N 0 0 430.508 -0.100 20 0 IBADRN CN(C)C(=O)CNC(=S)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731318530 1073397757 /nfs/dbraw/zinc/39/77/57/1073397757.db2.gz VEFPWBIDMAEMAI-UHFFFAOYSA-N 0 0 441.535 -0.714 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)CC2)CC1 ZINC000731351023 1073397559 /nfs/dbraw/zinc/39/75/59/1073397559.db2.gz IZAHZDPAOMQXCL-UHFFFAOYSA-N 0 0 435.550 -0.113 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)CC2)CC1 ZINC000731351783 1073397656 /nfs/dbraw/zinc/39/76/56/1073397656.db2.gz XSJFYFDIWVQSQE-UHFFFAOYSA-N 0 0 435.550 -0.113 20 0 IBADRN CN1N=C(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCC1=O ZINC000731352749 1073397503 /nfs/dbraw/zinc/39/75/03/1073397503.db2.gz CWMLKVNXWKUBTG-UHFFFAOYSA-N 0 0 435.462 -0.541 20 0 IBADRN COC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731352811 1073397518 /nfs/dbraw/zinc/39/75/18/1073397518.db2.gz DRADBDBXXQXDPP-UHFFFAOYSA-N 0 0 426.451 -0.451 20 0 IBADRN O=C(CCN1CCOC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731352985 1073397730 /nfs/dbraw/zinc/39/77/30/1073397730.db2.gz GBKSKVADZUKFNK-UHFFFAOYSA-N 0 0 438.462 -0.307 20 0 IBADRN COC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731352989 1073397642 /nfs/dbraw/zinc/39/76/42/1073397642.db2.gz GLWZAZMQQWMSJS-UHFFFAOYSA-N 0 0 426.451 -0.404 20 0 IBADRN O=C(CCN1CCOCC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731353269 1073397600 /nfs/dbraw/zinc/39/76/00/1073397600.db2.gz KYEQPLQEARSTQQ-UHFFFAOYSA-N 0 0 438.506 -0.427 20 0 IBADRN CCOC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731353331 1073397545 /nfs/dbraw/zinc/39/75/45/1073397545.db2.gz MFOBGWBJFYXOOA-UHFFFAOYSA-N 0 0 440.478 -0.013 20 0 IBADRN COC(=O)NCCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731353682 1073397691 /nfs/dbraw/zinc/39/76/91/1073397691.db2.gz XBHZXUWBRYISEU-UHFFFAOYSA-N 0 0 440.478 -0.013 20 0 IBADRN COC(=O)NC(C)(C)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731353699 1073397744 /nfs/dbraw/zinc/39/77/44/1073397744.db2.gz XVQSCYKUPHYVNO-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN O=c1n(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nc2ccccn21 ZINC000731353995 1073397842 /nfs/dbraw/zinc/39/78/42/1073397842.db2.gz BROOOVZXPWVAIO-UHFFFAOYSA-N 0 0 444.473 -0.209 20 0 IBADRN COC(=O)c1ncn(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000731354329 1073397710 /nfs/dbraw/zinc/39/77/10/1073397710.db2.gz NULXHVGZSYHPHG-UHFFFAOYSA-N 0 0 436.450 -0.640 20 0 IBADRN COC(=O)c1cn(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nn1 ZINC000731354959 1073397530 /nfs/dbraw/zinc/39/75/30/1073397530.db2.gz ZCCJJPDODFIPAP-UHFFFAOYSA-N 0 0 436.450 -0.640 20 0 IBADRN C[C@H]1CN(C(=O)CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCO1 ZINC000731356074 1073398141 /nfs/dbraw/zinc/39/81/41/1073398141.db2.gz RSVBQTCRCAPVFJ-AWEZNQCLSA-N 0 0 438.506 -0.429 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCO1 ZINC000731356075 1073398171 /nfs/dbraw/zinc/39/81/71/1073398171.db2.gz RSVBQTCRCAPVFJ-CQSZACIVSA-N 0 0 438.506 -0.429 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(N(CC)S(C)(=O)=O)CC2)CC1 ZINC000731356402 1073398120 /nfs/dbraw/zinc/39/81/20/1073398120.db2.gz GEIWQAJEPYIRAY-UHFFFAOYSA-N 0 0 426.561 -0.249 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(N(C)S(=O)(=O)CC)CC2)CC1 ZINC000731356703 1073398286 /nfs/dbraw/zinc/39/82/86/1073398286.db2.gz OVXAQESGKLNQBE-UHFFFAOYSA-N 0 0 426.561 -0.249 20 0 IBADRN CC(C)N(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731357884 1073398313 /nfs/dbraw/zinc/39/83/13/1073398313.db2.gz USHXWHHJSNPENM-UHFFFAOYSA-N 0 0 432.524 -0.091 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)CC1 ZINC000731357985 1073398205 /nfs/dbraw/zinc/39/82/05/1073398205.db2.gz YAJOHFJAWNNQTD-UHFFFAOYSA-N 0 0 445.586 -0.119 20 0 IBADRN CS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731361818 1073398298 /nfs/dbraw/zinc/39/82/98/1073398298.db2.gz YQEFXNCQSFZLFX-UHFFFAOYSA-N 0 0 446.507 -0.922 20 0 IBADRN CCN(C1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1)S(C)(=O)=O ZINC000731365419 1073397826 /nfs/dbraw/zinc/39/78/26/1073397826.db2.gz IABMYGVHJSNLJJ-UHFFFAOYSA-N 0 0 426.561 -0.896 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000731365430 1073397698 /nfs/dbraw/zinc/39/76/98/1073397698.db2.gz IGGVACPYJBKRMV-UHFFFAOYSA-N 0 0 426.561 -0.896 20 0 IBADRN O=C(CCCn1cncn1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731371774 1073398099 /nfs/dbraw/zinc/39/80/99/1073398099.db2.gz KXHPILVRBLCDIS-UHFFFAOYSA-N 0 0 434.478 -0.078 20 0 IBADRN CCOC(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000731372161 1073398342 /nfs/dbraw/zinc/39/83/42/1073398342.db2.gz RIJRJEOZKHDDKS-UHFFFAOYSA-N 0 0 426.451 -0.404 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(NC(=O)C2CC2)nc1 ZINC000731407164 1073398152 /nfs/dbraw/zinc/39/81/52/1073398152.db2.gz RJOXPWJEJFKDDN-UHFFFAOYSA-N 0 0 425.467 -0.853 20 0 IBADRN Cn1c2ncn(CCOC(=O)C3(S(=O)(=O)c4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000731409915 1073398354 /nfs/dbraw/zinc/39/83/54/1073398354.db2.gz FBJLCRQCYFBFAB-UHFFFAOYSA-N 0 0 432.458 -0.017 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@]3(O)CCCc4ccccc43)cnc2n(C)c1=O ZINC000731412079 1073398130 /nfs/dbraw/zinc/39/81/30/1073398130.db2.gz WNFBIHOCFYAISN-JOCHJYFZSA-N 0 0 437.456 -0.089 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@]3(O)CCCc4ccccc43)cnc2n(C)c1=O ZINC000731412080 1073398327 /nfs/dbraw/zinc/39/83/27/1073398327.db2.gz WNFBIHOCFYAISN-QFIPXVFZSA-N 0 0 437.456 -0.089 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCC3N=NC(=S)N3C)cc2S1(=O)=O ZINC000731438576 1073398809 /nfs/dbraw/zinc/39/88/09/1073398809.db2.gz LXDFZSZHSIELLD-UHFFFAOYSA-N 0 0 425.492 -0.133 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCC2N=NC(=S)N2C)c1 ZINC000731439403 1073398872 /nfs/dbraw/zinc/39/88/72/1073398872.db2.gz QYCNMNFVGGWSDE-UHFFFAOYSA-N 0 0 428.540 -0.345 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000731442251 1073398855 /nfs/dbraw/zinc/39/88/55/1073398855.db2.gz VWTZSIHYKZCJMO-UHFFFAOYSA-N 0 0 428.540 -0.081 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)c1cccc(C(=O)NCCC2N=NC(=S)N2C)n1 ZINC000731446456 1073398089 /nfs/dbraw/zinc/39/80/89/1073398089.db2.gz BMOPJLYBNWJYGH-UHFFFAOYSA-N 0 0 447.550 -0.139 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000731446560 1073398063 /nfs/dbraw/zinc/39/80/63/1073398063.db2.gz LEQVFZAMIDEVHV-UHFFFAOYSA-N 0 0 432.481 -0.630 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000731446806 1073398161 /nfs/dbraw/zinc/39/81/61/1073398161.db2.gz LOCWLSROZLSLRE-UHFFFAOYSA-N 0 0 427.508 -0.098 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCC2N=NC(=S)N2C)c1 ZINC000731447559 1073398645 /nfs/dbraw/zinc/39/86/45/1073398645.db2.gz YCZGZBHXHNKIAA-UHFFFAOYSA-N 0 0 426.524 -0.557 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000731447901 1073398257 /nfs/dbraw/zinc/39/82/57/1073398257.db2.gz OPLHTWASBUDNHM-UHFFFAOYSA-N 0 0 441.448 -0.025 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000731448091 1073398184 /nfs/dbraw/zinc/39/81/84/1073398184.db2.gz VWHAIUAHPSJJHA-UHFFFAOYSA-N 0 0 427.483 -0.465 20 0 IBADRN Cc1c(C(=O)NCCS(=O)(=O)N2CCOCC2)nnn1-c1ccc2c(c1)OCCO2 ZINC000731455445 1073399117 /nfs/dbraw/zinc/39/91/17/1073399117.db2.gz JWDNSGOAWSEUSZ-UHFFFAOYSA-N 0 0 437.478 -0.261 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)cc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000731456057 1073399272 /nfs/dbraw/zinc/39/92/72/1073399272.db2.gz XDSNBCZFLNUGRC-KBPBESRZSA-N 0 0 427.454 -0.153 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(F)cc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000731456058 1073399165 /nfs/dbraw/zinc/39/91/65/1073399165.db2.gz XDSNBCZFLNUGRC-KGLIPLIRSA-N 0 0 427.454 -0.153 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(F)cc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000731456059 1073399219 /nfs/dbraw/zinc/39/92/19/1073399219.db2.gz XDSNBCZFLNUGRC-UONOGXRCSA-N 0 0 427.454 -0.153 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(F)cc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000731456060 1073399046 /nfs/dbraw/zinc/39/90/46/1073399046.db2.gz XDSNBCZFLNUGRC-ZIAGYGMSSA-N 0 0 427.454 -0.153 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000731464099 1073398546 /nfs/dbraw/zinc/39/85/46/1073398546.db2.gz BQUFTIHQSFONQY-DOTOQJQBSA-N 0 0 434.518 -0.032 20 0 IBADRN CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000731464100 1073398743 /nfs/dbraw/zinc/39/87/43/1073398743.db2.gz BQUFTIHQSFONQY-NVXWUHKLSA-N 0 0 434.518 -0.032 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000731464101 1073398726 /nfs/dbraw/zinc/39/87/26/1073398726.db2.gz BQUFTIHQSFONQY-RDJZCZTQSA-N 0 0 434.518 -0.032 20 0 IBADRN CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000731464102 1073398828 /nfs/dbraw/zinc/39/88/28/1073398828.db2.gz BQUFTIHQSFONQY-WBVHZDCISA-N 0 0 434.518 -0.032 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000731472432 1073398759 /nfs/dbraw/zinc/39/87/59/1073398759.db2.gz CRNDASMCTWUPFU-UHFFFAOYSA-N 0 0 437.497 -0.050 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000731474875 1073398677 /nfs/dbraw/zinc/39/86/77/1073398677.db2.gz ANUMEVPZQJAXAB-UHFFFAOYSA-N 0 0 430.215 -0.933 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CCCN1C(=O)OCC(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC000731475994 1073398514 /nfs/dbraw/zinc/39/85/14/1073398514.db2.gz OYAJYFGFQPMHFM-GHMZBOCLSA-N 0 0 429.417 -0.089 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC000731475995 1073399069 /nfs/dbraw/zinc/39/90/69/1073399069.db2.gz OYAJYFGFQPMHFM-MNOVXSKESA-N 0 0 429.417 -0.089 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC000731475996 1073399259 /nfs/dbraw/zinc/39/92/59/1073399259.db2.gz OYAJYFGFQPMHFM-QWRGUYRKSA-N 0 0 429.417 -0.089 20 0 IBADRN C[C@H](NC(=O)[C@H]1CCCN1C(=O)OCC(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC000731475997 1073399135 /nfs/dbraw/zinc/39/91/35/1073399135.db2.gz OYAJYFGFQPMHFM-WDEREUQCSA-N 0 0 429.417 -0.089 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(CC(=O)OC)CC(=O)OC)cc2S1(=O)=O ZINC000731476294 1073398778 /nfs/dbraw/zinc/39/87/78/1073398778.db2.gz XDSZBEXDKHLITF-UHFFFAOYSA-N 0 0 428.419 -0.734 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)COc2ccc(Cl)cc21)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476365 1073399058 /nfs/dbraw/zinc/39/90/58/1073399058.db2.gz ABPPWRVVCFRWEB-LLVKDONJSA-N 0 0 429.882 -0.173 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)COc2ccc(Cl)cc21)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476366 1073399243 /nfs/dbraw/zinc/39/92/43/1073399243.db2.gz ABPPWRVVCFRWEB-NSHDSACASA-N 0 0 429.882 -0.173 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000731476458 1073399474 /nfs/dbraw/zinc/39/94/74/1073399474.db2.gz DCPUPXTVMAJXCE-GFCCVEGCSA-N 0 0 449.526 -0.112 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)c1 ZINC000731476459 1073399613 /nfs/dbraw/zinc/39/96/13/1073399613.db2.gz DCPUPXTVMAJXCE-LBPRGKRZSA-N 0 0 449.526 -0.112 20 0 IBADRN Cc1nnnn1/C(=C\c1ccc(F)cc1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476460 1073399599 /nfs/dbraw/zinc/39/95/99/1073399599.db2.gz DKEHGBNAFAQIMN-COOUDCDJSA-N 0 0 436.469 -0.120 20 0 IBADRN Cc1nnnn1/C(=C\c1ccc(F)cc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476461 1073399696 /nfs/dbraw/zinc/39/96/96/1073399696.db2.gz DKEHGBNAFAQIMN-IEMQHYRPSA-N 0 0 436.469 -0.120 20 0 IBADRN Cc1nnnn1/C(=C/c1ccc(F)cc1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476462 1073399740 /nfs/dbraw/zinc/39/97/40/1073399740.db2.gz DKEHGBNAFAQIMN-JASJWYONSA-N 0 0 436.469 -0.120 20 0 IBADRN Cc1nnnn1/C(=C/c1ccc(F)cc1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476563 1073399727 /nfs/dbraw/zinc/39/97/27/1073399727.db2.gz DKEHGBNAFAQIMN-PILJQMSFSA-N 0 0 436.469 -0.120 20 0 IBADRN COc1cccc(/C=C(/C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)n2nnnc2C)c1 ZINC000731476588 1073399710 /nfs/dbraw/zinc/39/97/10/1073399710.db2.gz DWVHIMSKEUCBBW-IGWPVBGESA-N 0 0 448.505 -0.250 20 0 IBADRN COc1cccc(/C=C(/C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)n2nnnc2C)c1 ZINC000731476589 1073399443 /nfs/dbraw/zinc/39/94/43/1073399443.db2.gz DWVHIMSKEUCBBW-KAECEXQPSA-N 0 0 448.505 -0.250 20 0 IBADRN COc1cccc(/C=C(\C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)n2nnnc2C)c1 ZINC000731476590 1073399488 /nfs/dbraw/zinc/39/94/88/1073399488.db2.gz DWVHIMSKEUCBBW-LVLBFHFTSA-N 0 0 448.505 -0.250 20 0 IBADRN COc1cccc(/C=C(\C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)n2nnnc2C)c1 ZINC000731476591 1073399752 /nfs/dbraw/zinc/39/97/52/1073399752.db2.gz DWVHIMSKEUCBBW-VLURKWGBSA-N 0 0 448.505 -0.250 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)cc2S1(=O)=O ZINC000731476807 1073399787 /nfs/dbraw/zinc/39/97/87/1073399787.db2.gz IVPRHHPTAZWNIY-LLVKDONJSA-N 0 0 443.503 -0.774 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)cc2S1(=O)=O ZINC000731476808 1073399502 /nfs/dbraw/zinc/39/95/02/1073399502.db2.gz IVPRHHPTAZWNIY-NSHDSACASA-N 0 0 443.503 -0.774 20 0 IBADRN C[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476862 1073399208 /nfs/dbraw/zinc/39/92/08/1073399208.db2.gz LGGUZCCTBCDQRS-LLVKDONJSA-N 0 0 435.499 -0.549 20 0 IBADRN C[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476957 1073399085 /nfs/dbraw/zinc/39/90/85/1073399085.db2.gz LGGUZCCTBCDQRS-NSHDSACASA-N 0 0 435.499 -0.549 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCCC2)o1)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476978 1073399231 /nfs/dbraw/zinc/39/92/31/1073399231.db2.gz MEJYWZOUSGPSDP-GFCCVEGCSA-N 0 0 433.508 -0.561 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCCC2)o1)C(=O)N1CCS(=O)(=O)CC1 ZINC000731476979 1073399579 /nfs/dbraw/zinc/39/95/79/1073399579.db2.gz MEJYWZOUSGPSDP-LBPRGKRZSA-N 0 0 433.508 -0.561 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477042 1073398794 /nfs/dbraw/zinc/39/87/94/1073398794.db2.gz OUVBLENHQFQGFB-BFUOFWGJSA-N 0 0 436.490 -0.785 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477043 1073398533 /nfs/dbraw/zinc/39/85/33/1073398533.db2.gz OUVBLENHQFQGFB-DJJJIMSYSA-N 0 0 436.490 -0.785 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477044 1073398838 /nfs/dbraw/zinc/39/88/38/1073398838.db2.gz OUVBLENHQFQGFB-ORAYPTAESA-N 0 0 436.490 -0.785 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477045 1073398661 /nfs/dbraw/zinc/39/86/61/1073398661.db2.gz OUVBLENHQFQGFB-YJYMSZOUSA-N 0 0 436.490 -0.785 20 0 IBADRN C[C@@H](NC(=O)/C=C\c1ccc(NS(C)(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477167 1073399287 /nfs/dbraw/zinc/39/92/87/1073399287.db2.gz SLTNIRLTWWTIEY-DMTLFAOVSA-N 0 0 429.520 -0.167 20 0 IBADRN C[C@H](NC(=O)/C=C/c1ccc(NS(C)(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477168 1073399075 /nfs/dbraw/zinc/39/90/75/1073399075.db2.gz SLTNIRLTWWTIEY-LJLILKBBSA-N 0 0 429.520 -0.167 20 0 IBADRN C[C@@H](NC(=O)/C=C/c1ccc(NS(C)(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477169 1073399100 /nfs/dbraw/zinc/39/91/00/1073399100.db2.gz SLTNIRLTWWTIEY-OQHXTRMZSA-N 0 0 429.520 -0.167 20 0 IBADRN C[C@H](NC(=O)/C=C\c1ccc(NS(C)(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477170 1073399108 /nfs/dbraw/zinc/39/91/08/1073399108.db2.gz SLTNIRLTWWTIEY-UJZCVKTISA-N 0 0 429.520 -0.167 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)ccc1F ZINC000731477497 1073399763 /nfs/dbraw/zinc/39/97/63/1073399763.db2.gz WGIUYWARIXBJQO-GFCCVEGCSA-N 0 0 449.526 -0.112 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)ccc1F ZINC000731477499 1073399796 /nfs/dbraw/zinc/39/97/96/1073399796.db2.gz WGIUYWARIXBJQO-LBPRGKRZSA-N 0 0 449.526 -0.112 20 0 IBADRN C[C@@H](NC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477539 1073399661 /nfs/dbraw/zinc/39/96/61/1073399661.db2.gz WSAXVJLLXLOKIG-LLVKDONJSA-N 0 0 447.510 -0.358 20 0 IBADRN C[C@H](NC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000731477542 1073399517 /nfs/dbraw/zinc/39/95/17/1073399517.db2.gz WSAXVJLLXLOKIG-NSHDSACASA-N 0 0 447.510 -0.358 20 0 IBADRN O=C(NN1C(=O)NC2(CCCCC2)C1=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000731500396 1073399180 /nfs/dbraw/zinc/39/91/80/1073399180.db2.gz BRJIJNMXGGISFV-UHFFFAOYSA-N 0 0 431.434 -0.003 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC000731501458 1073399155 /nfs/dbraw/zinc/39/91/55/1073399155.db2.gz UVBQBJIPVGPTSW-UHFFFAOYSA-N 0 0 447.521 -0.228 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cccc(S(=O)(=O)CC(F)F)c2)c(=O)n(C)c1=O ZINC000731533870 1073400083 /nfs/dbraw/zinc/40/00/83/1073400083.db2.gz OULCJCVJLYAGDO-UHFFFAOYSA-N 0 0 445.400 -0.255 20 0 IBADRN CCNS(=O)(=O)CCNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000731569100 1073400236 /nfs/dbraw/zinc/40/02/36/1073400236.db2.gz XYCTVZDODFCHJI-UHFFFAOYSA-N 0 0 436.581 -0.067 20 0 IBADRN CC(C)(CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)NS(C)(=O)=O ZINC000731586974 1073400278 /nfs/dbraw/zinc/40/02/78/1073400278.db2.gz DLHQURKBXRNAPS-UHFFFAOYSA-N 0 0 437.515 -0.096 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC(C)(C)NS(C)(=O)=O)c2=O ZINC000731587280 1073400183 /nfs/dbraw/zinc/40/01/83/1073400183.db2.gz JNIHNIQTKHQHBV-UHFFFAOYSA-N 0 0 435.304 -0.664 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCC(C)(C)NS(C)(=O)=O)C2=O)cc1 ZINC000731587472 1073400147 /nfs/dbraw/zinc/40/01/47/1073400147.db2.gz ORMWQBJJUXGBBD-GOSISDBHSA-N 0 0 426.495 -0.094 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCC(C)(C)NS(C)(=O)=O)C2=O)cc1 ZINC000731587473 1073400173 /nfs/dbraw/zinc/40/01/73/1073400173.db2.gz ORMWQBJJUXGBBD-SFHVURJKSA-N 0 0 426.495 -0.094 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCC(C)(C)NS(C)(=O)=O)cc2S1(=O)=O ZINC000731587708 1073400049 /nfs/dbraw/zinc/40/00/49/1073400049.db2.gz WRECXKVWUHUUAK-UHFFFAOYSA-N 0 0 433.508 -0.465 20 0 IBADRN CC(C)(CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)NS(C)(=O)=O ZINC000731587726 1073400267 /nfs/dbraw/zinc/40/02/67/1073400267.db2.gz XQHQJWYJDCQXOG-UHFFFAOYSA-N 0 0 437.515 -0.096 20 0 IBADRN O=C1NC(=O)c2cc(S(=O)(=O)NN=c3ccc(S(=O)(=O)NC4CC4)c[nH]3)ccc21 ZINC000731596371 1073400831 /nfs/dbraw/zinc/40/08/31/1073400831.db2.gz GBCJTTIYQHQNPF-UHFFFAOYSA-N 0 0 437.459 -0.289 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(=NNS(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)[nH]c1 ZINC000731596588 1073400902 /nfs/dbraw/zinc/40/09/02/1073400902.db2.gz RFZATCIZRKTZEF-UHFFFAOYSA-N 0 0 439.475 -0.043 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2nn(-c3ccc(F)cc3)ccc2=O)CC1)N1CCOCC1 ZINC000731600595 1073400693 /nfs/dbraw/zinc/40/06/93/1073400693.db2.gz BOEDDLYNACCPHN-UHFFFAOYSA-N 0 0 443.435 -0.485 20 0 IBADRN O=C(CN1CCN(C(=O)c2nn(-c3ccc(F)cc3)ccc2=O)CC1)N1CCOCC1 ZINC000731601281 1073400790 /nfs/dbraw/zinc/40/07/90/1073400790.db2.gz ZVNUDXNDZPAPIU-UHFFFAOYSA-N 0 0 429.452 -0.012 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2nn(-c3ccc(F)cc3)ccc2=O)CC1 ZINC000731601970 1073400876 /nfs/dbraw/zinc/40/08/76/1073400876.db2.gz XDEOQNGWSBGJFS-UHFFFAOYSA-N 0 0 429.452 -0.012 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C2(C(N)=O)CC2)CC1 ZINC000731611299 1073400034 /nfs/dbraw/zinc/40/00/34/1073400034.db2.gz WPJSSYLTDQIDQL-UHFFFAOYSA-N 0 0 429.520 -0.503 20 0 IBADRN O=Cc1ccc(OCCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000731612434 1073399998 /nfs/dbraw/zinc/39/99/98/1073399998.db2.gz VYYXONYPPQKUBK-KRWDZBQOSA-N 0 0 444.531 -0.071 20 0 IBADRN O=Cc1ccc(OCCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000731612435 1073400858 /nfs/dbraw/zinc/40/08/58/1073400858.db2.gz VYYXONYPPQKUBK-QGZVFWFLSA-N 0 0 444.531 -0.071 20 0 IBADRN O=Cc1cccc(OCCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000731612468 1073400818 /nfs/dbraw/zinc/40/08/18/1073400818.db2.gz XJSULVQQPALEAV-KRWDZBQOSA-N 0 0 444.531 -0.071 20 0 IBADRN O=Cc1cccc(OCCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000731612469 1073400865 /nfs/dbraw/zinc/40/08/65/1073400865.db2.gz XJSULVQQPALEAV-QGZVFWFLSA-N 0 0 444.531 -0.071 20 0 IBADRN O=C(c1cc2c([nH]c1=O)CCCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000731612678 1073400871 /nfs/dbraw/zinc/40/08/71/1073400871.db2.gz YVOPUQHUCUIOSR-AWEZNQCLSA-N 0 0 443.547 -0.059 20 0 IBADRN O=C(c1cc2c([nH]c1=O)CCCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000731612679 1073400686 /nfs/dbraw/zinc/40/06/86/1073400686.db2.gz YVOPUQHUCUIOSR-CQSZACIVSA-N 0 0 443.547 -0.059 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2nn(-c3ccc(F)cc3)ccc2=O)CC1 ZINC000731612876 1073400770 /nfs/dbraw/zinc/40/07/70/1073400770.db2.gz OJOJVIWCBYZLHB-UHFFFAOYSA-N 0 0 441.419 -0.195 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nn(-c2ccc(F)cc2)ccc1=O ZINC000731622075 1073400848 /nfs/dbraw/zinc/40/08/48/1073400848.db2.gz JMNWDISPMNNLEF-CVEARBPZSA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nn(-c2ccc(F)cc2)ccc1=O ZINC000731622080 1073400705 /nfs/dbraw/zinc/40/07/05/1073400705.db2.gz JMNWDISPMNNLEF-HOTGVXAUSA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nn(-c2ccc(F)cc2)ccc1=O ZINC000731622084 1073401417 /nfs/dbraw/zinc/40/14/17/1073401417.db2.gz JMNWDISPMNNLEF-HZPDHXFCSA-N 0 0 436.465 -0.401 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nn(-c2ccc(F)cc2)ccc1=O ZINC000731622089 1073401349 /nfs/dbraw/zinc/40/13/49/1073401349.db2.gz JMNWDISPMNNLEF-JKSUJKDBSA-N 0 0 436.465 -0.401 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000731635093 1073400658 /nfs/dbraw/zinc/40/06/58/1073400658.db2.gz WJLVYLLLWMJUIP-UHFFFAOYSA-N 0 0 432.510 -0.353 20 0 IBADRN CCN1C(=S)N=NC1C1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000731641744 1073402913 /nfs/dbraw/zinc/40/29/13/1073402913.db2.gz QHNMRKWICYBOPN-UHFFFAOYSA-N 0 0 432.510 -0.260 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000731642514 1073402746 /nfs/dbraw/zinc/40/27/46/1073402746.db2.gz FJTKZPSXSYMHKL-UHFFFAOYSA-N 0 0 435.524 -0.615 20 0 IBADRN CCN1C(=S)N=NC1C1CCN(C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000731642748 1073403031 /nfs/dbraw/zinc/40/30/31/1073403031.db2.gz XBBFCGPUVXCKBA-UHFFFAOYSA-N 0 0 432.510 -0.260 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000731642830 1073402851 /nfs/dbraw/zinc/40/28/51/1073402851.db2.gz JFECNOAYVLRECO-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000731646502 1073401392 /nfs/dbraw/zinc/40/13/92/1073401392.db2.gz ZXKALCKOZSNAMZ-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000731646555 1073401460 /nfs/dbraw/zinc/40/14/60/1073401460.db2.gz FVVLRHWFOQMPER-CYBMUJFWSA-N 0 0 445.519 -0.321 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000731646556 1073401506 /nfs/dbraw/zinc/40/15/06/1073401506.db2.gz FVVLRHWFOQMPER-ZDUSSCGKSA-N 0 0 445.519 -0.321 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000731646740 1073401471 /nfs/dbraw/zinc/40/14/71/1073401471.db2.gz CZGAEYHNXACXFJ-UHFFFAOYSA-N 0 0 449.551 -0.686 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000731646743 1073401549 /nfs/dbraw/zinc/40/15/49/1073401549.db2.gz DIHCPZUOMRUEBD-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000731646754 1073401481 /nfs/dbraw/zinc/40/14/81/1073401481.db2.gz DVUYMUBDDPOGHI-UHFFFAOYSA-N 0 0 448.567 -0.557 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000731646879 1073401403 /nfs/dbraw/zinc/40/14/03/1073401403.db2.gz YYYQGXFNBBYVFL-UHFFFAOYSA-N 0 0 425.554 -0.633 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000731646960 1073401499 /nfs/dbraw/zinc/40/14/99/1073401499.db2.gz RNIBLYPCQGXFNH-UHFFFAOYSA-N 0 0 435.524 -0.615 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000731646981 1073401425 /nfs/dbraw/zinc/40/14/25/1073401425.db2.gz UCAYJPKWHAYQER-UHFFFAOYSA-N 0 0 434.359 -0.156 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000731647109 1073401559 /nfs/dbraw/zinc/40/15/59/1073401559.db2.gz GKIHNBJARRSPNT-UHFFFAOYSA-N 0 0 435.524 -0.428 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000731647241 1073401491 /nfs/dbraw/zinc/40/14/91/1073401491.db2.gz PWRBDGSVLNGJAB-UHFFFAOYSA-N 0 0 445.567 -0.188 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000731647327 1073401355 /nfs/dbraw/zinc/40/13/55/1073401355.db2.gz ADDWCHRLKHTJQO-UHFFFAOYSA-N 0 0 432.568 -0.498 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000731647621 1073402261 /nfs/dbraw/zinc/40/22/61/1073402261.db2.gz XERVVDIBVVTEKH-UHFFFAOYSA-N 0 0 433.552 -0.304 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)c2nc(S(C)(=O)=O)n3ccccc23)CC1 ZINC000731647951 1073402230 /nfs/dbraw/zinc/40/22/30/1073402230.db2.gz HYGBDSVLAUHKHH-UHFFFAOYSA-N 0 0 428.536 -0.023 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1ccc(CC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000731648055 1073402273 /nfs/dbraw/zinc/40/22/73/1073402273.db2.gz AUDGBQNUHHOCNO-UHFFFAOYSA-N 0 0 433.552 -0.061 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000731648107 1073402176 /nfs/dbraw/zinc/40/21/76/1073402176.db2.gz FLCOMKDDQKUEBD-UHFFFAOYSA-N 0 0 428.536 -0.097 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000731648308 1073401535 /nfs/dbraw/zinc/40/15/35/1073401535.db2.gz KSYVXARXXADZMG-KRWDZBQOSA-N 0 0 435.554 -0.100 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000731648309 1073402146 /nfs/dbraw/zinc/40/21/46/1073402146.db2.gz KSYVXARXXADZMG-QGZVFWFLSA-N 0 0 435.554 -0.100 20 0 IBADRN CN(CC(=O)NC1CCN(CCS(C)(=O)=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000731648333 1073402248 /nfs/dbraw/zinc/40/22/48/1073402248.db2.gz LSOPKZPBYKKUQH-UHFFFAOYSA-N 0 0 442.563 -0.308 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000731648436 1073401362 /nfs/dbraw/zinc/40/13/62/1073401362.db2.gz FHMHNUSJUANOBZ-KRWDZBQOSA-N 0 0 439.513 -0.129 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000731648437 1073401442 /nfs/dbraw/zinc/40/14/42/1073401442.db2.gz FHMHNUSJUANOBZ-QGZVFWFLSA-N 0 0 439.513 -0.129 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC000731648866 1073402192 /nfs/dbraw/zinc/40/21/92/1073402192.db2.gz LFWCMNIPRYRJOU-UHFFFAOYSA-N 0 0 447.579 -0.150 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000731648997 1073402154 /nfs/dbraw/zinc/40/21/54/1073402154.db2.gz QMXSTOTZZYWVTH-UHFFFAOYSA-N 0 0 449.551 -0.038 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)NCCOC)ccc1Cl ZINC000731648999 1073402243 /nfs/dbraw/zinc/40/22/43/1073402243.db2.gz QPKWUSAHBISYDG-UHFFFAOYSA-N 0 0 427.932 -0.066 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC000731649403 1073402057 /nfs/dbraw/zinc/40/20/57/1073402057.db2.gz OUOGSFHSAHWWEQ-AWEZNQCLSA-N 0 0 447.579 -0.013 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)NCC1CCC1)C1=NS(=O)(=O)c2ccccc21 ZINC000731650075 1073402158 /nfs/dbraw/zinc/40/21/58/1073402158.db2.gz ZMJVOBOQCYQIDF-UHFFFAOYSA-N 0 0 428.536 -0.097 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1=O ZINC000731650222 1073402184 /nfs/dbraw/zinc/40/21/84/1073402184.db2.gz KKYISFYZUIDSFO-UHFFFAOYSA-N 0 0 436.534 -0.192 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC000731650434 1073402074 /nfs/dbraw/zinc/40/20/74/1073402074.db2.gz CUBFPOIQZKLQKV-UHFFFAOYSA-N 0 0 447.579 -0.150 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000731650531 1073402928 /nfs/dbraw/zinc/40/29/28/1073402928.db2.gz QWCWXLHCKGEVHF-UHFFFAOYSA-N 0 0 448.545 -0.317 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)CNC(=O)c2cnc3ccccc3c2O)CC1 ZINC000731651202 1073402279 /nfs/dbraw/zinc/40/22/79/1073402279.db2.gz YIESBSXYTJSLEY-UHFFFAOYSA-N 0 0 434.518 -0.117 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC3CCN(CCS(C)(=O)=O)CC3)nc2)CC1 ZINC000731663682 1073403512 /nfs/dbraw/zinc/40/35/12/1073403512.db2.gz OMOAPQXHROBKPH-UHFFFAOYSA-N 0 0 445.611 -0.061 20 0 IBADRN O=C1[C@H](N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCN1c1ccccc1 ZINC000731664669 1073403609 /nfs/dbraw/zinc/40/36/09/1073403609.db2.gz UYYAXXOEOXRUDH-DLBZAZTESA-N 0 0 427.548 -0.074 20 0 IBADRN O=C1[C@H](N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCN1c1ccccc1 ZINC000731664670 1073404213 /nfs/dbraw/zinc/40/42/13/1073404213.db2.gz UYYAXXOEOXRUDH-IAGOWNOFSA-N 0 0 427.548 -0.074 20 0 IBADRN O=C1[C@@H](N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCN1c1ccccc1 ZINC000731664671 1073404259 /nfs/dbraw/zinc/40/42/59/1073404259.db2.gz UYYAXXOEOXRUDH-IRXDYDNUSA-N 0 0 427.548 -0.074 20 0 IBADRN O=C1[C@@H](N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCN1c1ccccc1 ZINC000731664672 1073404294 /nfs/dbraw/zinc/40/42/94/1073404294.db2.gz UYYAXXOEOXRUDH-SJORKVTESA-N 0 0 427.548 -0.074 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1ccc(OCCS(=O)(=O)CC)cc1 ZINC000731673230 1073404166 /nfs/dbraw/zinc/40/41/66/1073404166.db2.gz GDHJWEXNCSIHAH-UHFFFAOYSA-N 0 0 428.554 -0.282 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NC3CCN(CCS(C)(=O)=O)CC3)cc2n(C)c1=O ZINC000731673235 1073404249 /nfs/dbraw/zinc/40/42/49/1073404249.db2.gz GNQNCECMYATAJW-UHFFFAOYSA-N 0 0 430.552 -0.336 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC000731673469 1073404365 /nfs/dbraw/zinc/40/43/65/1073404365.db2.gz LQJHQQUCNBWKOD-UHFFFAOYSA-N 0 0 439.581 -0.618 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000731673730 1073404223 /nfs/dbraw/zinc/40/42/23/1073404223.db2.gz NWMQDQSVCURCJC-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000731673867 1073404175 /nfs/dbraw/zinc/40/41/75/1073404175.db2.gz QYQXMVWQPDLFLP-AWEZNQCLSA-N 0 0 425.573 -0.395 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000731673869 1073404299 /nfs/dbraw/zinc/40/42/99/1073404299.db2.gz QYQXMVWQPDLFLP-CQSZACIVSA-N 0 0 425.573 -0.395 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC000731674285 1073404243 /nfs/dbraw/zinc/40/42/43/1073404243.db2.gz FUURCBYLVGXIBP-UHFFFAOYSA-N 0 0 431.533 -0.695 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC000731674548 1073404185 /nfs/dbraw/zinc/40/41/85/1073404185.db2.gz PZIWQTYBPZMAAO-UHFFFAOYSA-N 0 0 442.313 -0.002 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)N(CC)CC)cc1 ZINC000731674595 1073404198 /nfs/dbraw/zinc/40/41/98/1073404198.db2.gz SHPYZUNIWQCEDG-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC000731676248 1073404340 /nfs/dbraw/zinc/40/43/40/1073404340.db2.gz CHWACTZFWAEAGE-UHFFFAOYSA-N 0 0 439.581 -0.618 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)NC3CCN(CCS(C)(=O)=O)CC3)cc2C1=O ZINC000731676470 1073404307 /nfs/dbraw/zinc/40/43/07/1073404307.db2.gz HMPKKAFNHUIQHI-UHFFFAOYSA-N 0 0 429.520 -0.300 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000731676474 1073404353 /nfs/dbraw/zinc/40/43/53/1073404353.db2.gz HTCAGAMHIZMPSR-UHFFFAOYSA-N 0 0 426.561 -0.896 20 0 IBADRN CS(=O)(=O)CCN1CCC(NS(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1 ZINC000731677231 1073404266 /nfs/dbraw/zinc/40/42/66/1073404266.db2.gz UKUIYDCAZXLYPE-UHFFFAOYSA-N 0 0 430.508 -0.172 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000731679526 1073404203 /nfs/dbraw/zinc/40/42/03/1073404203.db2.gz MKMULVGFTZYSIS-UHFFFAOYSA-N 0 0 448.567 -0.413 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000731679795 1073404281 /nfs/dbraw/zinc/40/42/81/1073404281.db2.gz WQOURKPAAHGDEI-UHFFFAOYSA-N 0 0 434.540 -0.554 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000731682074 1073404317 /nfs/dbraw/zinc/40/43/17/1073404317.db2.gz KXTWXZSVVHLCMN-UHFFFAOYSA-N 0 0 428.559 -0.421 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000731682653 1073404233 /nfs/dbraw/zinc/40/42/33/1073404233.db2.gz MOEVOLQMUIETIP-HNNXBMFYSA-N 0 0 448.567 -0.118 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000731682654 1073404702 /nfs/dbraw/zinc/40/47/02/1073404702.db2.gz MOEVOLQMUIETIP-OAHLLOKOSA-N 0 0 448.567 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCC(C)(C)NS(C)(=O)=O)CC1 ZINC000731683631 1073404857 /nfs/dbraw/zinc/40/48/57/1073404857.db2.gz VPMSHDITDHCTKY-UHFFFAOYSA-N 0 0 435.547 -0.307 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000731684711 1073404894 /nfs/dbraw/zinc/40/48/94/1073404894.db2.gz ITPSAUGVFUSAMZ-UHFFFAOYSA-N 0 0 442.629 -0.827 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000731684763 1073404914 /nfs/dbraw/zinc/40/49/14/1073404914.db2.gz CTTGAQZFXSSTFV-UHFFFAOYSA-N 0 0 434.540 -0.350 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000731684768 1073404847 /nfs/dbraw/zinc/40/48/47/1073404847.db2.gz CZIHQNLGHCAKFD-UHFFFAOYSA-N 0 0 428.540 -0.478 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000731684817 1073404831 /nfs/dbraw/zinc/40/48/31/1073404831.db2.gz HPVSUZYVLHBQGV-UHFFFAOYSA-N 0 0 429.524 -0.598 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000731684929 1073404925 /nfs/dbraw/zinc/40/49/25/1073404925.db2.gz KBMUOXIUQGOVSQ-UHFFFAOYSA-N 0 0 437.544 -0.363 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000731684941 1073404817 /nfs/dbraw/zinc/40/48/17/1073404817.db2.gz KJEXFPZZTGNZJM-UHFFFAOYSA-N 0 0 440.494 -0.080 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000731684984 1073404956 /nfs/dbraw/zinc/40/49/56/1073404956.db2.gz MLYYKDWXQAVBCV-UHFFFAOYSA-N 0 0 439.604 -0.238 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N[C@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000731685479 1073404789 /nfs/dbraw/zinc/40/47/89/1073404789.db2.gz JXCBTRFFOJWIHC-LLVKDONJSA-N 0 0 435.528 -0.840 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)NC)c1 ZINC000731685480 1073404747 /nfs/dbraw/zinc/40/47/47/1073404747.db2.gz JXCBTRFFOJWIHC-NSHDSACASA-N 0 0 435.528 -0.840 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000731685538 1073404875 /nfs/dbraw/zinc/40/48/75/1073404875.db2.gz OJGCYXLAAKODQT-UHFFFAOYSA-N 0 0 444.539 -0.482 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000731685546 1073404773 /nfs/dbraw/zinc/40/47/73/1073404773.db2.gz OZBOMAABKVIYOH-UHFFFAOYSA-N 0 0 446.551 -0.156 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(c2ccccc2S(=O)(=O)NC)CC1 ZINC000731685646 1073403676 /nfs/dbraw/zinc/40/36/76/1073403676.db2.gz ZAXIYQLENJJWFT-UHFFFAOYSA-N 0 0 433.556 -0.634 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000731685779 1073403626 /nfs/dbraw/zinc/40/36/26/1073403626.db2.gz ATLVILBLJCCFEZ-INIZCTEOSA-N 0 0 431.603 -0.263 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000731685780 1073403521 /nfs/dbraw/zinc/40/35/21/1073403521.db2.gz ATLVILBLJCCFEZ-MRXNPFEDSA-N 0 0 431.603 -0.263 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2)n1 ZINC000731685811 1073402969 /nfs/dbraw/zinc/40/29/69/1073402969.db2.gz CAOIRXJVBVDUFT-UHFFFAOYSA-N 0 0 426.543 -0.174 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000731685852 1073402816 /nfs/dbraw/zinc/40/28/16/1073402816.db2.gz DNHXJMPTFNJKAP-UHFFFAOYSA-N 0 0 432.568 -0.227 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NCC2CCC2)CC1 ZINC000731685956 1073402982 /nfs/dbraw/zinc/40/29/82/1073402982.db2.gz NBCQPADKYOFCKZ-UHFFFAOYSA-N 0 0 439.604 -0.380 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000731686013 1073402798 /nfs/dbraw/zinc/40/27/98/1073402798.db2.gz ILTIFUJMMNRLAC-UHFFFAOYSA-N 0 0 446.595 -0.086 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCN(CC(=O)N3CCCC3)CC2)CC1 ZINC000731686043 1073402860 /nfs/dbraw/zinc/40/28/60/1073402860.db2.gz KPASLIINTZOCPF-UHFFFAOYSA-N 0 0 429.587 -0.555 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000731686148 1073402833 /nfs/dbraw/zinc/40/28/33/1073402833.db2.gz UBDNYPQKVFGUOV-UHFFFAOYSA-N 0 0 446.595 -0.086 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000731686172 1073402761 /nfs/dbraw/zinc/40/27/61/1073402761.db2.gz MKXFHZUBQPSMRG-UHFFFAOYSA-N 0 0 438.554 -0.479 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000731686232 1073402953 /nfs/dbraw/zinc/40/29/53/1073402953.db2.gz PGVFUGOQDVTCQY-HNNXBMFYSA-N 0 0 429.587 -0.510 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000731686233 1073403041 /nfs/dbraw/zinc/40/30/41/1073403041.db2.gz PGVFUGOQDVTCQY-OAHLLOKOSA-N 0 0 429.587 -0.510 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC000731686316 1073403058 /nfs/dbraw/zinc/40/30/58/1073403058.db2.gz YSYILMXAXFKTMC-UHFFFAOYSA-N 0 0 425.558 -0.593 20 0 IBADRN CC(C)(CNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)NS(C)(=O)=O ZINC000731686780 1073403506 /nfs/dbraw/zinc/40/35/06/1073403506.db2.gz PGVATIVQAHPREE-UHFFFAOYSA-N 0 0 443.551 -0.210 20 0 IBADRN Cc1nc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nn1-c1ccccc1 ZINC000731687172 1073403653 /nfs/dbraw/zinc/40/36/53/1073403653.db2.gz GVDUTUQIDOYNOQ-UHFFFAOYSA-N 0 0 433.538 -0.174 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NCC(=O)c1cccs1 ZINC000731688785 1073403540 /nfs/dbraw/zinc/40/35/40/1073403540.db2.gz FOAILQWMLUYEHO-LLVKDONJSA-N 0 0 441.487 -0.244 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NCC(=O)c1cccs1 ZINC000731688786 1073403552 /nfs/dbraw/zinc/40/35/52/1073403552.db2.gz FOAILQWMLUYEHO-NSHDSACASA-N 0 0 441.487 -0.244 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000731689119 1073403600 /nfs/dbraw/zinc/40/36/00/1073403600.db2.gz VOLYIDOHMHHFNO-JSGCOSHPSA-N 0 0 440.927 -0.054 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000731689346 1073403639 /nfs/dbraw/zinc/40/36/39/1073403639.db2.gz VOLYIDOHMHHFNO-OCCSQVGLSA-N 0 0 440.927 -0.054 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)O ZINC000731689438 1073403754 /nfs/dbraw/zinc/40/37/54/1073403754.db2.gz ZLYKBJRXARSGRG-GWCFXTLKSA-N 0 0 428.916 -0.150 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)O ZINC000731689439 1073403582 /nfs/dbraw/zinc/40/35/82/1073403582.db2.gz ZLYKBJRXARSGRG-GXFFZTMASA-N 0 0 428.916 -0.150 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC000731689440 1073403592 /nfs/dbraw/zinc/40/35/92/1073403592.db2.gz ZLYKBJRXARSGRG-MFKMUULPSA-N 0 0 428.916 -0.150 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC000731689441 1073403716 /nfs/dbraw/zinc/40/37/16/1073403716.db2.gz ZLYKBJRXARSGRG-ZWNOBZJWSA-N 0 0 428.916 -0.150 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000731689656 1073405297 /nfs/dbraw/zinc/40/52/97/1073405297.db2.gz PMRJLCRFKZEIHU-UHFFFAOYSA-N 0 0 436.508 -0.001 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000731691291 1073405462 /nfs/dbraw/zinc/40/54/62/1073405462.db2.gz MBHNKLSLLBFRMZ-UHFFFAOYSA-N 0 0 436.508 -0.188 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000731693770 1073405387 /nfs/dbraw/zinc/40/53/87/1073405387.db2.gz JNEOHZXQVAKGDZ-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000731694008 1073405488 /nfs/dbraw/zinc/40/54/88/1073405488.db2.gz MNJCDOLLHRXECO-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000731694184 1073405414 /nfs/dbraw/zinc/40/54/14/1073405414.db2.gz QTQUQCAMNOGJSY-UHFFFAOYSA-N 0 0 436.508 -0.188 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000731696110 1073405312 /nfs/dbraw/zinc/40/53/12/1073405312.db2.gz NCONTPMOEBFMJL-UHFFFAOYSA-N 0 0 433.508 -0.426 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000731696562 1073405372 /nfs/dbraw/zinc/40/53/72/1073405372.db2.gz CGRAXVOXKBYVBR-UHFFFAOYSA-N 0 0 436.508 -0.001 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NCC(=O)c3cccs3)c2c(=O)n(C)c1=O ZINC000731700661 1073405447 /nfs/dbraw/zinc/40/54/47/1073405447.db2.gz KHLYROHGCGVPNV-UHFFFAOYSA-N 0 0 433.446 -0.572 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000731701451 1073406193 /nfs/dbraw/zinc/40/61/93/1073406193.db2.gz XRZQHSGXCZLMSK-UHFFFAOYSA-N 0 0 449.551 -0.130 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCC(=O)c1cccs1 ZINC000731701927 1073405949 /nfs/dbraw/zinc/40/59/49/1073405949.db2.gz BQYZWJSYELRRKQ-NEPJUHHUSA-N 0 0 428.488 -0.374 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCC(=O)c1cccs1 ZINC000731701929 1073406021 /nfs/dbraw/zinc/40/60/21/1073406021.db2.gz BQYZWJSYELRRKQ-NWDGAFQWSA-N 0 0 428.488 -0.374 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCC(=O)c1cccs1 ZINC000731701930 1073406232 /nfs/dbraw/zinc/40/62/32/1073406232.db2.gz BQYZWJSYELRRKQ-RYUDHWBXSA-N 0 0 428.488 -0.374 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCC(=O)c1cccs1 ZINC000731701931 1073406158 /nfs/dbraw/zinc/40/61/58/1073406158.db2.gz BQYZWJSYELRRKQ-VXGBXAGGSA-N 0 0 428.488 -0.374 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CCNS(C)(=O)=O)C1=O ZINC000731702456 1073406119 /nfs/dbraw/zinc/40/61/19/1073406119.db2.gz ISFXBJJRBQKZKU-GOSISDBHSA-N 0 0 440.478 -0.557 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CCNS(C)(=O)=O)C1=O ZINC000731702458 1073405976 /nfs/dbraw/zinc/40/59/76/1073405976.db2.gz ISFXBJJRBQKZKU-SFHVURJKSA-N 0 0 440.478 -0.557 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731702837 1073406170 /nfs/dbraw/zinc/40/61/70/1073406170.db2.gz KLUYDQKGQDCXOD-PBHICJAKSA-N 0 0 433.461 -0.045 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731702838 1073406035 /nfs/dbraw/zinc/40/60/35/1073406035.db2.gz KLUYDQKGQDCXOD-RHSMWYFYSA-N 0 0 433.461 -0.045 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731702839 1073406053 /nfs/dbraw/zinc/40/60/53/1073406053.db2.gz KLUYDQKGQDCXOD-WMLDXEAASA-N 0 0 433.461 -0.045 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731702840 1073406065 /nfs/dbraw/zinc/40/60/65/1073406065.db2.gz KLUYDQKGQDCXOD-YOEHRIQHSA-N 0 0 433.461 -0.045 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000731703266 1073406147 /nfs/dbraw/zinc/40/61/47/1073406147.db2.gz YAMUXYOCJIQNAR-GFCCVEGCSA-N 0 0 435.524 -0.462 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000731703267 1073406178 /nfs/dbraw/zinc/40/61/78/1073406178.db2.gz YAMUXYOCJIQNAR-LBPRGKRZSA-N 0 0 435.524 -0.462 20 0 IBADRN CN(CC(=O)OCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O)S(C)(=O)=O ZINC000731707444 1073406186 /nfs/dbraw/zinc/40/61/86/1073406186.db2.gz UXAJDYFYRZVAMM-GOSISDBHSA-N 0 0 440.478 -0.605 20 0 IBADRN CN(CC(=O)OCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O)S(C)(=O)=O ZINC000731707445 1073406131 /nfs/dbraw/zinc/40/61/31/1073406131.db2.gz UXAJDYFYRZVAMM-SFHVURJKSA-N 0 0 440.478 -0.605 20 0 IBADRN CCCC(=O)NCC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731707520 1073406076 /nfs/dbraw/zinc/40/60/76/1073406076.db2.gz XKSJKGFIQBEXKU-KRWDZBQOSA-N 0 0 433.461 -0.043 20 0 IBADRN CCCC(=O)NCC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731707521 1073405994 /nfs/dbraw/zinc/40/59/94/1073405994.db2.gz XKSJKGFIQBEXKU-QGZVFWFLSA-N 0 0 433.461 -0.043 20 0 IBADRN O=C(CNC(=O)C1CC1)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731708124 1073406225 /nfs/dbraw/zinc/40/62/25/1073406225.db2.gz XIDCKDVVQWJCJX-KRWDZBQOSA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(CNC(=O)C1CC1)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731708125 1073406211 /nfs/dbraw/zinc/40/62/11/1073406211.db2.gz XIDCKDVVQWJCJX-QGZVFWFLSA-N 0 0 431.445 -0.433 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCCNC2=O)C1=O ZINC000731708663 1073406091 /nfs/dbraw/zinc/40/60/91/1073406091.db2.gz HEGXNXBWEUQKSN-FQEVSTJZSA-N 0 0 431.449 -0.081 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCCNC2=O)C1=O ZINC000731708664 1073406772 /nfs/dbraw/zinc/40/67/72/1073406772.db2.gz HEGXNXBWEUQKSN-HXUWFJFHSA-N 0 0 431.449 -0.081 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)ccc1=O ZINC000731710189 1073406788 /nfs/dbraw/zinc/40/67/88/1073406788.db2.gz ODNRMYPEXLFJFX-KRWDZBQOSA-N 0 0 442.428 -0.552 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)ccc1=O ZINC000731710190 1073406778 /nfs/dbraw/zinc/40/67/78/1073406778.db2.gz ODNRMYPEXLFJFX-QGZVFWFLSA-N 0 0 442.428 -0.552 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O)S(C)(=O)=O ZINC000731710199 1073406724 /nfs/dbraw/zinc/40/67/24/1073406724.db2.gz MPPFCNIELFJGRW-KPZWWZAWSA-N 0 0 425.463 -0.063 20 0 IBADRN C[C@H](C(=O)OCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O)S(C)(=O)=O ZINC000731710200 1073406765 /nfs/dbraw/zinc/40/67/65/1073406765.db2.gz MPPFCNIELFJGRW-KZULUSFZSA-N 0 0 425.463 -0.063 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O)S(C)(=O)=O ZINC000731710201 1073406645 /nfs/dbraw/zinc/40/66/45/1073406645.db2.gz MPPFCNIELFJGRW-SGTLLEGYSA-N 0 0 425.463 -0.063 20 0 IBADRN C[C@H](C(=O)OCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O)S(C)(=O)=O ZINC000731710202 1073406741 /nfs/dbraw/zinc/40/67/41/1073406741.db2.gz MPPFCNIELFJGRW-XIKOKIGWSA-N 0 0 425.463 -0.063 20 0 IBADRN O=C(CN1CCCNC1=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731710464 1073406732 /nfs/dbraw/zinc/40/67/32/1073406732.db2.gz VOWQNXDCPSZVLS-KRWDZBQOSA-N 0 0 446.460 -0.544 20 0 IBADRN O=C(CN1CCCNC1=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731710468 1073406606 /nfs/dbraw/zinc/40/66/06/1073406606.db2.gz VOWQNXDCPSZVLS-QGZVFWFLSA-N 0 0 446.460 -0.544 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731710700 1073404712 /nfs/dbraw/zinc/40/47/12/1073404712.db2.gz QJMPAYAODCOEQE-PBHICJAKSA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731710701 1073404762 /nfs/dbraw/zinc/40/47/62/1073404762.db2.gz QJMPAYAODCOEQE-RHSMWYFYSA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731710702 1073404733 /nfs/dbraw/zinc/40/47/33/1073404733.db2.gz QJMPAYAODCOEQE-WMLDXEAASA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731710703 1073404884 /nfs/dbraw/zinc/40/48/84/1073404884.db2.gz QJMPAYAODCOEQE-YOEHRIQHSA-N 0 0 431.445 -0.433 20 0 IBADRN Cn1nc(C(=O)OCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)ccc1=O ZINC000731711128 1073404942 /nfs/dbraw/zinc/40/49/42/1073404942.db2.gz VRHFJZASPVHSRB-FQEVSTJZSA-N 0 0 427.417 -0.088 20 0 IBADRN Cn1nc(C(=O)OCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)ccc1=O ZINC000731711132 1073404800 /nfs/dbraw/zinc/40/48/00/1073404800.db2.gz VRHFJZASPVHSRB-HXUWFJFHSA-N 0 0 427.417 -0.088 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731711542 1073406703 /nfs/dbraw/zinc/40/67/03/1073406703.db2.gz YFYABJKEDLIESF-INIZCTEOSA-N 0 0 435.433 -0.261 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731711547 1073406671 /nfs/dbraw/zinc/40/66/71/1073406671.db2.gz YFYABJKEDLIESF-MRXNPFEDSA-N 0 0 435.433 -0.261 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)S(C)(=O)=O ZINC000731711684 1073405437 /nfs/dbraw/zinc/40/54/37/1073405437.db2.gz YKIVHGDXCINUED-BBRMVZONSA-N 0 0 440.474 -0.527 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)S(C)(=O)=O ZINC000731711688 1073405305 /nfs/dbraw/zinc/40/53/05/1073405305.db2.gz YKIVHGDXCINUED-CJNGLKHVSA-N 0 0 440.474 -0.527 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)S(C)(=O)=O ZINC000731711689 1073405432 /nfs/dbraw/zinc/40/54/32/1073405432.db2.gz YKIVHGDXCINUED-CZUORRHYSA-N 0 0 440.474 -0.527 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)S(C)(=O)=O ZINC000731711691 1073405473 /nfs/dbraw/zinc/40/54/73/1073405473.db2.gz YKIVHGDXCINUED-XJKSGUPXSA-N 0 0 440.474 -0.527 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000731715897 1073405501 /nfs/dbraw/zinc/40/55/01/1073405501.db2.gz COLJQXMEXLOTLM-UHFFFAOYSA-N 0 0 433.552 -0.071 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000731716083 1073405364 /nfs/dbraw/zinc/40/53/64/1073405364.db2.gz FHFCXQJGIAHNMJ-GOSISDBHSA-N 0 0 425.463 -0.061 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000731716087 1073405329 /nfs/dbraw/zinc/40/53/29/1073405329.db2.gz FHFCXQJGIAHNMJ-SFHVURJKSA-N 0 0 425.463 -0.061 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731716807 1073405354 /nfs/dbraw/zinc/40/53/54/1073405354.db2.gz LCIGAQHLEIUJEK-HNNXBMFYSA-N 0 0 426.447 -0.915 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731716834 1073405339 /nfs/dbraw/zinc/40/53/39/1073405339.db2.gz LCIGAQHLEIUJEK-OAHLLOKOSA-N 0 0 426.447 -0.915 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731717610 1073405401 /nfs/dbraw/zinc/40/54/01/1073405401.db2.gz SKEDRWHJNKOXFA-INIZCTEOSA-N 0 0 440.474 -0.525 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731717612 1073405496 /nfs/dbraw/zinc/40/54/96/1073405496.db2.gz SKEDRWHJNKOXFA-MRXNPFEDSA-N 0 0 440.474 -0.525 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC000731718567 1073405479 /nfs/dbraw/zinc/40/54/79/1073405479.db2.gz YKMQDSMSQLFEJS-UHFFFAOYSA-N 0 0 426.538 -0.010 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)cn1 ZINC000731719644 1073407541 /nfs/dbraw/zinc/40/75/41/1073407541.db2.gz MJLGHKOBHOYAHR-KRWDZBQOSA-N 0 0 442.428 -0.343 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)cn1 ZINC000731719645 1073407532 /nfs/dbraw/zinc/40/75/32/1073407532.db2.gz MJLGHKOBHOYAHR-QGZVFWFLSA-N 0 0 442.428 -0.343 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731720923 1073407475 /nfs/dbraw/zinc/40/74/75/1073407475.db2.gz FUNNLAZPAFBYJA-INIZCTEOSA-N 0 0 445.428 -0.907 20 0 IBADRN O=C(COC(=O)C1CC(=O)NC(=O)C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731720924 1073407519 /nfs/dbraw/zinc/40/75/19/1073407519.db2.gz FUNNLAZPAFBYJA-MRXNPFEDSA-N 0 0 445.428 -0.907 20 0 IBADRN CC(=O)NC(C)(C)C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731721153 1073407460 /nfs/dbraw/zinc/40/74/60/1073407460.db2.gz GXCHEWIKHBRFGP-KRWDZBQOSA-N 0 0 433.461 -0.045 20 0 IBADRN CC(=O)NC(C)(C)C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731721154 1073407517 /nfs/dbraw/zinc/40/75/17/1073407517.db2.gz GXCHEWIKHBRFGP-QGZVFWFLSA-N 0 0 433.461 -0.045 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)C2CC(=O)NC(=O)C2)C1=O ZINC000731721534 1073407505 /nfs/dbraw/zinc/40/75/05/1073407505.db2.gz LZNRDMQVNQXWJW-FQEVSTJZSA-N 0 0 430.417 -0.443 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)C2CC(=O)NC(=O)C2)C1=O ZINC000731721536 1073407510 /nfs/dbraw/zinc/40/75/10/1073407510.db2.gz LZNRDMQVNQXWJW-HXUWFJFHSA-N 0 0 430.417 -0.443 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCC1 ZINC000731722701 1073407451 /nfs/dbraw/zinc/40/74/51/1073407451.db2.gz KDIPNIQTFSOQPB-KRWDZBQOSA-N 0 0 445.472 -0.043 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCC1 ZINC000731722704 1073407470 /nfs/dbraw/zinc/40/74/70/1073407470.db2.gz KDIPNIQTFSOQPB-QGZVFWFLSA-N 0 0 445.472 -0.043 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000731723190 1073407492 /nfs/dbraw/zinc/40/74/92/1073407492.db2.gz VIVINPHAMWQZRG-INIZCTEOSA-N 0 0 431.445 -0.433 20 0 IBADRN CNC(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000731723192 1073407528 /nfs/dbraw/zinc/40/75/28/1073407528.db2.gz VIVINPHAMWQZRG-MRXNPFEDSA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000731723579 1073407536 /nfs/dbraw/zinc/40/75/36/1073407536.db2.gz DSAWGQVHRLKPSK-KRWDZBQOSA-N 0 0 434.445 -0.418 20 0 IBADRN O=C(COC(=O)C1(O)CCOCC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000731723580 1073407482 /nfs/dbraw/zinc/40/74/82/1073407482.db2.gz DSAWGQVHRLKPSK-QGZVFWFLSA-N 0 0 434.445 -0.418 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(C(N)=O)CC3)CC2)cc1 ZINC000731726734 1073407424 /nfs/dbraw/zinc/40/74/24/1073407424.db2.gz SYQQPNRLVRXOFG-UHFFFAOYSA-N 0 0 437.474 -0.469 20 0 IBADRN C[C@@H](OC(=O)C1(C(N)=O)CC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000731727245 1073407439 /nfs/dbraw/zinc/40/74/39/1073407439.db2.gz AKGARAXQTGDSST-GFCCVEGCSA-N 0 0 425.463 -0.157 20 0 IBADRN C[C@H](OC(=O)C1(C(N)=O)CC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000731727246 1073407500 /nfs/dbraw/zinc/40/75/00/1073407500.db2.gz AKGARAXQTGDSST-LBPRGKRZSA-N 0 0 425.463 -0.157 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)C2(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000731727573 1073407524 /nfs/dbraw/zinc/40/75/24/1073407524.db2.gz MFKBPCMJBJRGTK-UHFFFAOYSA-N 0 0 425.463 -0.237 20 0 IBADRN C[C@@H](OC(=O)C1(C(N)=O)CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000731727603 1073407444 /nfs/dbraw/zinc/40/74/44/1073407444.db2.gz AMNOGBTUZLVPBL-GFCCVEGCSA-N 0 0 425.463 -0.157 20 0 IBADRN C[C@H](OC(=O)C1(C(N)=O)CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000731727604 1073408075 /nfs/dbraw/zinc/40/80/75/1073408075.db2.gz AMNOGBTUZLVPBL-LBPRGKRZSA-N 0 0 425.463 -0.157 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000731727689 1073408061 /nfs/dbraw/zinc/40/80/61/1073408061.db2.gz CFCXGNBLWKEJAX-UHFFFAOYSA-N 0 0 425.463 -0.868 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000731727838 1073408122 /nfs/dbraw/zinc/40/81/22/1073408122.db2.gz OMHBQTLBLVDZSU-UHFFFAOYSA-N 0 0 425.463 -0.868 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CC1 ZINC000731727891 1073408148 /nfs/dbraw/zinc/40/81/48/1073408148.db2.gz QCCXTJQGETVMNL-UHFFFAOYSA-N 0 0 429.882 -0.018 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(C(N)=O)CC3)CC2)cc1 ZINC000731727956 1073408209 /nfs/dbraw/zinc/40/82/09/1073408209.db2.gz RBBSFTWFAZOPRR-UHFFFAOYSA-N 0 0 425.463 -0.663 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)CC1 ZINC000731728159 1073408053 /nfs/dbraw/zinc/40/80/53/1073408053.db2.gz IXFDDVZSSUUVKO-UHFFFAOYSA-N 0 0 435.502 -0.183 20 0 IBADRN COc1ccc(NC(=O)COC(=O)C2(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000731728243 1073408094 /nfs/dbraw/zinc/40/80/94/1073408094.db2.gz KJBMEDQHWNQRRF-UHFFFAOYSA-N 0 0 441.462 -0.537 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(C(N)=O)CC3)CC2)c1 ZINC000731728950 1073408082 /nfs/dbraw/zinc/40/80/82/1073408082.db2.gz VHCQHVXSDYDEIS-UHFFFAOYSA-N 0 0 437.474 -0.469 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000731729377 1073408012 /nfs/dbraw/zinc/40/80/12/1073408012.db2.gz LSBWZQGNJOCFEN-UHFFFAOYSA-N 0 0 431.417 -0.394 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CS(=O)(=O)NC(C)(C)C ZINC000731729592 1073407954 /nfs/dbraw/zinc/40/79/54/1073407954.db2.gz CRDLTBYAJXCFPM-LLVKDONJSA-N 0 0 447.535 -0.127 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CS(=O)(=O)NC(C)(C)C ZINC000731729594 1073407984 /nfs/dbraw/zinc/40/79/84/1073407984.db2.gz CRDLTBYAJXCFPM-NSHDSACASA-N 0 0 447.535 -0.127 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(C(N)=O)CC3)CC2)cc1 ZINC000731729611 1073408046 /nfs/dbraw/zinc/40/80/46/1073408046.db2.gz RKHIOWNSNQXSOI-UHFFFAOYSA-N 0 0 439.490 -0.273 20 0 IBADRN CCN(C(=O)COC(=O)C1(C(N)=O)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000731729698 1073408025 /nfs/dbraw/zinc/40/80/25/1073408025.db2.gz SWAPCNXQXOVYMS-UHFFFAOYSA-N 0 0 429.433 -0.259 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000731729748 1073408039 /nfs/dbraw/zinc/40/80/39/1073408039.db2.gz CDMDCKSTQTXLKM-UHFFFAOYSA-N 0 0 446.570 -0.740 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CS(=O)(=O)NC(C)(C)C)C2=O ZINC000731729782 1073408108 /nfs/dbraw/zinc/40/81/08/1073408108.db2.gz DJLVBDYFLBSNGS-UHFFFAOYSA-N 0 0 432.499 -0.221 20 0 IBADRN C[C@@H](OC(=O)CS(=O)(=O)NC(C)(C)C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000731729968 1073408134 /nfs/dbraw/zinc/40/81/34/1073408134.db2.gz HJEHMSMUWCSRND-GFCCVEGCSA-N 0 0 449.551 -0.358 20 0 IBADRN C[C@H](OC(=O)CS(=O)(=O)NC(C)(C)C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000731729969 1073408218 /nfs/dbraw/zinc/40/82/18/1073408218.db2.gz HJEHMSMUWCSRND-LBPRGKRZSA-N 0 0 449.551 -0.358 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)C1(C(N)=O)CC1 ZINC000731730057 1073408154 /nfs/dbraw/zinc/40/81/54/1073408154.db2.gz WEIPEUARQNQLGX-UHFFFAOYSA-N 0 0 441.462 -0.537 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000731730528 1073407997 /nfs/dbraw/zinc/40/79/97/1073407997.db2.gz NNAAXAZIJXCQLQ-UHFFFAOYSA-N 0 0 433.508 -0.516 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000731730532 1073408200 /nfs/dbraw/zinc/40/82/00/1073408200.db2.gz OIXMGBFHILHJHX-UHFFFAOYSA-N 0 0 435.524 -0.746 20 0 IBADRN CC(C)[C@H](OC(=O)CS(=O)(=O)NC(C)(C)C)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000731731436 1073408852 /nfs/dbraw/zinc/40/88/52/1073408852.db2.gz HVBWGVHZQCROGB-BBRMVZONSA-N 0 0 426.557 -0.035 20 0 IBADRN CC(C)[C@@H](OC(=O)CS(=O)(=O)NC(C)(C)C)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000731731437 1073408736 /nfs/dbraw/zinc/40/87/36/1073408736.db2.gz HVBWGVHZQCROGB-CJNGLKHVSA-N 0 0 426.557 -0.035 20 0 IBADRN CC(C)[C@@H](OC(=O)CS(=O)(=O)NC(C)(C)C)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000731731438 1073408813 /nfs/dbraw/zinc/40/88/13/1073408813.db2.gz HVBWGVHZQCROGB-CZUORRHYSA-N 0 0 426.557 -0.035 20 0 IBADRN CC(C)[C@H](OC(=O)CS(=O)(=O)NC(C)(C)C)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000731731439 1073408857 /nfs/dbraw/zinc/40/88/57/1073408857.db2.gz HVBWGVHZQCROGB-XJKSGUPXSA-N 0 0 426.557 -0.035 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)OCc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC000731731559 1073408769 /nfs/dbraw/zinc/40/87/69/1073408769.db2.gz IQAJWDNNFZQVKX-UHFFFAOYSA-N 0 0 431.496 -0.173 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CS(=O)(=O)NC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000731731640 1073408862 /nfs/dbraw/zinc/40/88/62/1073408862.db2.gz LHDIZHXIPKELDB-CYBMUJFWSA-N 0 0 426.557 -0.081 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CS(=O)(=O)NC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000731731641 1073408774 /nfs/dbraw/zinc/40/87/74/1073408774.db2.gz LHDIZHXIPKELDB-ZDUSSCGKSA-N 0 0 426.557 -0.081 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CS(=O)(=O)NC(C)(C)C)c(=O)n(C)c1=O ZINC000731732083 1073408730 /nfs/dbraw/zinc/40/87/30/1073408730.db2.gz PCEJBFHNKCCEEQ-UHFFFAOYSA-N 0 0 432.499 -0.771 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000731733049 1073406657 /nfs/dbraw/zinc/40/66/57/1073406657.db2.gz UVJCWZYKXYZXOD-UHFFFAOYSA-N 0 0 441.510 -0.525 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC000731733054 1073406751 /nfs/dbraw/zinc/40/67/51/1073406751.db2.gz UZWCCXVXJFCXDZ-UHFFFAOYSA-N 0 0 441.572 -0.575 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCC(=O)N2CCOc3ccccc32)c(=O)n(C)c1=O ZINC000731735705 1073406614 /nfs/dbraw/zinc/40/66/14/1073406614.db2.gz GZIJJNYSFFRJDE-UHFFFAOYSA-N 0 0 430.417 -0.402 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCC(=O)N2CCOc3ccccc32)CC1 ZINC000731737096 1073406630 /nfs/dbraw/zinc/40/66/30/1073406630.db2.gz QFVIZFHYMFUFNZ-UHFFFAOYSA-N 0 0 446.504 -0.032 20 0 IBADRN COc1ccc(Cn2cc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)cn2)cc1 ZINC000731741459 1073406716 /nfs/dbraw/zinc/40/67/16/1073406716.db2.gz UBWOLCSYFDEWBU-UHFFFAOYSA-N 0 0 427.417 -0.041 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2nn(-c3ccc(F)cc3)ccc2=O)CC1 ZINC000731745570 1073406680 /nfs/dbraw/zinc/40/66/80/1073406680.db2.gz CNDQRUMVAWHXML-UHFFFAOYSA-N 0 0 445.451 -0.239 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1nn(-c2ccc(F)cc2)ccc1=O)S(C)(=O)=O ZINC000731745859 1073407415 /nfs/dbraw/zinc/40/74/15/1073407415.db2.gz LFPYECGOGPGJBG-UHFFFAOYSA-N 0 0 440.453 -0.074 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3nn(-c4ccc(F)cc4)ccc3=O)c2c(=O)n(C)c1=O ZINC000731746181 1073406796 /nfs/dbraw/zinc/40/67/96/1073406796.db2.gz LWGYORLNLXUYEZ-UHFFFAOYSA-N 0 0 440.391 -0.024 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2nn(-c3ccc(F)cc3)ccc2=O)c(=O)n(C)c1=O ZINC000731746950 1073408825 /nfs/dbraw/zinc/40/88/25/1073408825.db2.gz VOCWESHLBRMKSC-UHFFFAOYSA-N 0 0 429.364 -0.609 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)NC3CCN(CCS(C)(=O)=O)CC3)cnc2n(C)c1=O ZINC000731752451 1073408778 /nfs/dbraw/zinc/40/87/78/1073408778.db2.gz GREDKFJYZSSAJC-UHFFFAOYSA-N 0 0 438.510 -0.737 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000731752509 1073408719 /nfs/dbraw/zinc/40/87/19/1073408719.db2.gz DHQNDMUTTAHHCA-UHFFFAOYSA-N 0 0 433.556 -0.317 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000731754445 1073408755 /nfs/dbraw/zinc/40/87/55/1073408755.db2.gz ZWXQGQLOLJUICC-UHFFFAOYSA-N 0 0 438.503 -0.093 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000731755594 1073409536 /nfs/dbraw/zinc/40/95/36/1073409536.db2.gz CISWMFAOQLKTOS-UHFFFAOYSA-N 0 0 440.570 -0.042 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000731757213 1073409622 /nfs/dbraw/zinc/40/96/22/1073409622.db2.gz ROHJVUWEXDQTRV-UHFFFAOYSA-N 0 0 425.555 -0.042 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000731758301 1073409513 /nfs/dbraw/zinc/40/95/13/1073409513.db2.gz CPWIWRXGCUTKPA-AWEZNQCLSA-N 0 0 449.574 -0.012 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000731758304 1073409475 /nfs/dbraw/zinc/40/94/75/1073409475.db2.gz CPWIWRXGCUTKPA-CQSZACIVSA-N 0 0 449.574 -0.012 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000731758667 1073409575 /nfs/dbraw/zinc/40/95/75/1073409575.db2.gz FFGFIQSSSSCWRM-UHFFFAOYSA-N 0 0 440.494 -0.080 20 0 IBADRN NC(=O)[C@H](CNC(=O)NCCS(=O)(=O)N1CCOCC1)Cc1ccccc1Cl ZINC000731759493 1073409463 /nfs/dbraw/zinc/40/94/63/1073409463.db2.gz OMYLCFZFJVKFAM-AWEZNQCLSA-N 0 0 432.930 -0.055 20 0 IBADRN NC(=O)[C@@H](CNC(=O)NCCS(=O)(=O)N1CCOCC1)Cc1ccccc1Cl ZINC000731759499 1073409614 /nfs/dbraw/zinc/40/96/14/1073409614.db2.gz OMYLCFZFJVKFAM-CQSZACIVSA-N 0 0 432.930 -0.055 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000731760560 1073409558 /nfs/dbraw/zinc/40/95/58/1073409558.db2.gz PLIYPBFKOAZWAW-UHFFFAOYSA-N 0 0 429.518 -0.178 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCN(CC(=O)N3CCCCC3)CC2)CC1 ZINC000731760802 1073409589 /nfs/dbraw/zinc/40/95/89/1073409589.db2.gz AQFKMMBYYWSSFS-UHFFFAOYSA-N 0 0 443.614 -0.165 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)CC1 ZINC000731760901 1073409640 /nfs/dbraw/zinc/40/96/40/1073409640.db2.gz GTGZPOPPHRJQRK-INIZCTEOSA-N 0 0 430.571 -0.224 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)CC1 ZINC000731760902 1073409451 /nfs/dbraw/zinc/40/94/51/1073409451.db2.gz GTGZPOPPHRJQRK-MRXNPFEDSA-N 0 0 430.571 -0.224 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCCC[C@H]2CCNS(C)(=O)=O)CC1 ZINC000731761420 1073409402 /nfs/dbraw/zinc/40/94/02/1073409402.db2.gz KQGUTSUHEYOGCL-INIZCTEOSA-N 0 0 438.616 -0.001 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCCC[C@@H]2CCNS(C)(=O)=O)CC1 ZINC000731761421 1073409422 /nfs/dbraw/zinc/40/94/22/1073409422.db2.gz KQGUTSUHEYOGCL-MRXNPFEDSA-N 0 0 438.616 -0.001 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000731761678 1073409433 /nfs/dbraw/zinc/40/94/33/1073409433.db2.gz YICPYTIMZBMHBW-HNNXBMFYSA-N 0 0 443.522 -0.037 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000731761679 1073409654 /nfs/dbraw/zinc/40/96/54/1073409654.db2.gz YICPYTIMZBMHBW-OAHLLOKOSA-N 0 0 443.522 -0.037 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000731761727 1073410273 /nfs/dbraw/zinc/41/02/73/1073410273.db2.gz AKCIRLMAPAHZPW-UHFFFAOYSA-N 0 0 432.591 -0.547 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000731762945 1073410165 /nfs/dbraw/zinc/41/01/65/1073410165.db2.gz AZZMYXRMGWWPDA-UHFFFAOYSA-N 0 0 431.902 -0.730 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCSCC2)cc1 ZINC000731762963 1073410248 /nfs/dbraw/zinc/41/02/48/1073410248.db2.gz BZSFPOLCRBUHBY-UHFFFAOYSA-N 0 0 442.563 -0.202 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NCC)c2ccccc2O1 ZINC000731762988 1073410183 /nfs/dbraw/zinc/41/01/83/1073410183.db2.gz DKHWSFVJKASBBN-HNNXBMFYSA-N 0 0 426.495 -0.638 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCS(=O)(=O)NCC)c2ccccc2O1 ZINC000731762989 1073410257 /nfs/dbraw/zinc/41/02/57/1073410257.db2.gz DKHWSFVJKASBBN-OAHLLOKOSA-N 0 0 426.495 -0.638 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000731763013 1073410244 /nfs/dbraw/zinc/41/02/44/1073410244.db2.gz GMLUDLBWNFSTKY-UHFFFAOYSA-N 0 0 448.523 -0.870 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000731763014 1073410156 /nfs/dbraw/zinc/41/01/56/1073410156.db2.gz GMQCYQDGVOJGRN-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(Cl)c1 ZINC000731763019 1073410188 /nfs/dbraw/zinc/41/01/88/1073410188.db2.gz GWXBUDRBZUZCGK-UHFFFAOYSA-N 0 0 446.913 -0.194 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000731763035 1073410267 /nfs/dbraw/zinc/41/02/67/1073410267.db2.gz IABPVDMPMYTTOD-KBPBESRZSA-N 0 0 440.522 -0.070 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000731763036 1073410176 /nfs/dbraw/zinc/41/01/76/1073410176.db2.gz IABPVDMPMYTTOD-OKILXGFUSA-N 0 0 440.522 -0.070 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000731763241 1073410217 /nfs/dbraw/zinc/41/02/17/1073410217.db2.gz IABPVDMPMYTTOD-ZIAGYGMSSA-N 0 0 440.522 -0.070 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000731763291 1073410149 /nfs/dbraw/zinc/41/01/49/1073410149.db2.gz KAXLVXWFYHKBMR-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(F)c(N2CCCS2(=O)=O)c1 ZINC000731763337 1073410235 /nfs/dbraw/zinc/41/02/35/1073410235.db2.gz MBYDIUXONXCUTI-UHFFFAOYSA-N 0 0 436.487 -0.640 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CS(=O)(=O)NC(C)(C)C)c1 ZINC000731763375 1073410172 /nfs/dbraw/zinc/41/01/72/1073410172.db2.gz NONMYGDUKBVGPA-UHFFFAOYSA-N 0 0 448.567 -0.102 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C3CC3)CC2)cc1 ZINC000731763492 1073410200 /nfs/dbraw/zinc/41/02/00/1073410200.db2.gz HXTUYHNKZWUJME-UHFFFAOYSA-N 0 0 443.547 -0.250 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000731763557 1073410223 /nfs/dbraw/zinc/41/02/23/1073410223.db2.gz PKIXTCQMSVLPCA-UHFFFAOYSA-N 0 0 432.524 -0.535 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000731763561 1073410211 /nfs/dbraw/zinc/41/02/11/1073410211.db2.gz PZUIBJYQKUSFMG-AWEZNQCLSA-N 0 0 447.535 -0.367 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000731763562 1073410228 /nfs/dbraw/zinc/41/02/28/1073410228.db2.gz PZUIBJYQKUSFMG-CQSZACIVSA-N 0 0 447.535 -0.367 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C(C)C)cc1 ZINC000731763574 1073410141 /nfs/dbraw/zinc/41/01/41/1073410141.db2.gz QPZBJDZQUHFETO-UHFFFAOYSA-N 0 0 434.540 -0.291 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(Cn2nc3ccccn3c2=O)c1 ZINC000731763621 1073410915 /nfs/dbraw/zinc/41/09/15/1073410915.db2.gz UPHRBDWLARXKRH-UHFFFAOYSA-N 0 0 446.489 -0.462 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000731763622 1073410964 /nfs/dbraw/zinc/41/09/64/1073410964.db2.gz UPWQARJAOVCNMD-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CC(C)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CC1 ZINC000731763722 1073410847 /nfs/dbraw/zinc/41/08/47/1073410847.db2.gz LELPWPFYCREQCL-UHFFFAOYSA-N 0 0 445.563 -0.004 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)N2CCOCC2)c1C ZINC000731763745 1073411532 /nfs/dbraw/zinc/41/15/32/1073411532.db2.gz AIAUZUJJAXAPEC-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)NCCOC)c(Cl)c1 ZINC000731763767 1073411488 /nfs/dbraw/zinc/41/14/88/1073411488.db2.gz AVMAZWVZONHATO-UHFFFAOYSA-N 0 0 434.902 -0.290 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)N2CCSCC2)c1 ZINC000731763815 1073411422 /nfs/dbraw/zinc/41/14/22/1073411422.db2.gz CYTUWXOBABGTKZ-UHFFFAOYSA-N 0 0 428.536 -0.130 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000731763841 1073411432 /nfs/dbraw/zinc/41/14/32/1073411432.db2.gz DVDAKPKPALERGI-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1OC ZINC000731763847 1073411449 /nfs/dbraw/zinc/41/14/49/1073411449.db2.gz DXLWGFVMIUPLIV-UHFFFAOYSA-N 0 0 442.494 -0.838 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000731763919 1073410842 /nfs/dbraw/zinc/41/08/42/1073410842.db2.gz FKSJXHSPLKTDGN-UHFFFAOYSA-N 0 0 440.931 -0.416 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(N2CCCS2(=O)=O)ccc1F ZINC000731763923 1073410862 /nfs/dbraw/zinc/41/08/62/1073410862.db2.gz FMKADQZAHGUZOH-UHFFFAOYSA-N 0 0 436.487 -0.640 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC(C)(C)C)c1 ZINC000731763929 1073410960 /nfs/dbraw/zinc/41/09/60/1073410960.db2.gz FOQQTPVBOQNZQW-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000731763939 1073410977 /nfs/dbraw/zinc/41/09/77/1073410977.db2.gz GFKUAVKANMQSGN-UHFFFAOYSA-N 0 0 426.495 -0.918 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1 ZINC000731763941 1073410939 /nfs/dbraw/zinc/41/09/39/1073410939.db2.gz ZREHHHQRJQTNSS-UHFFFAOYSA-N 0 0 425.511 -0.932 20 0 IBADRN CCCCNS(=O)(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)NCC)c1 ZINC000731763946 1073410921 /nfs/dbraw/zinc/41/09/21/1073410921.db2.gz GUDMLUNPIHPJAS-UHFFFAOYSA-N 0 0 434.540 -0.241 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(CC)CC)cc1 ZINC000731764071 1073410866 /nfs/dbraw/zinc/41/08/66/1073410866.db2.gz JKOSHDJNOFWPEB-UHFFFAOYSA-N 0 0 434.540 -0.289 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000731764115 1073410874 /nfs/dbraw/zinc/41/08/74/1073410874.db2.gz OUJDWPBGILNWCX-AWEZNQCLSA-N 0 0 445.519 -0.820 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000731764116 1073410857 /nfs/dbraw/zinc/41/08/57/1073410857.db2.gz OUJDWPBGILNWCX-CQSZACIVSA-N 0 0 445.519 -0.820 20 0 IBADRN CCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CC1 ZINC000731764132 1073410888 /nfs/dbraw/zinc/41/08/88/1073410888.db2.gz OYHKINNICUZNQB-UHFFFAOYSA-N 0 0 445.563 -0.002 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(OCC(=O)N2CCCC2)c1 ZINC000731764186 1073410869 /nfs/dbraw/zinc/41/08/69/1073410869.db2.gz NXTJBOXRHLKBCX-UHFFFAOYSA-N 0 0 426.495 -0.318 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(=O)N2CCCC2)ccc1OC ZINC000731764258 1073410972 /nfs/dbraw/zinc/41/09/72/1073410972.db2.gz PURMABJQHUBMEV-UHFFFAOYSA-N 0 0 426.495 -0.075 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CC1 ZINC000731764279 1073410896 /nfs/dbraw/zinc/41/08/96/1073410896.db2.gz UARUYBYLGJSDLH-UHFFFAOYSA-N 0 0 431.536 -0.393 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCN(CC)CC2)cc1 ZINC000731764343 1073410927 /nfs/dbraw/zinc/41/09/27/1073410927.db2.gz VYHZRGUTDOHEFY-UHFFFAOYSA-N 0 0 439.538 -0.542 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)C2CC2)cc1 ZINC000731764380 1073410953 /nfs/dbraw/zinc/41/09/53/1073410953.db2.gz YRXOICWYGPBMIL-UHFFFAOYSA-N 0 0 432.524 -0.537 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1)NS(C)(=O)=O ZINC000731764776 1073411477 /nfs/dbraw/zinc/41/14/77/1073411477.db2.gz FFFSKCDOLKSTFY-UHFFFAOYSA-N 0 0 435.524 -0.508 20 0 IBADRN O=C(NCCS(=O)(=O)NCC1CCC1)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000731765057 1073411460 /nfs/dbraw/zinc/41/14/60/1073411460.db2.gz LZTPESOLDISYSF-UHFFFAOYSA-N 0 0 437.522 -0.603 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCOC[C@H]1CCOC1 ZINC000731765371 1073411570 /nfs/dbraw/zinc/41/15/70/1073411570.db2.gz LBAVUGYMGQFWGA-AWEZNQCLSA-N 0 0 425.507 -0.005 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCOC[C@@H]1CCOC1 ZINC000731765372 1073411517 /nfs/dbraw/zinc/41/15/17/1073411517.db2.gz LBAVUGYMGQFWGA-CQSZACIVSA-N 0 0 425.507 -0.005 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000731765896 1073408818 /nfs/dbraw/zinc/40/88/18/1073408818.db2.gz QKAIMGIXKRBXMY-UHFFFAOYSA-N 0 0 436.512 -0.749 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC(C)(C)NS(C)(=O)=O ZINC000731765899 1073408725 /nfs/dbraw/zinc/40/87/25/1073408725.db2.gz QOQMFUXJDFBDLY-UHFFFAOYSA-N 0 0 446.551 -0.730 20 0 IBADRN CC(C)(CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)NS(C)(=O)=O ZINC000731766267 1073408800 /nfs/dbraw/zinc/40/88/00/1073408800.db2.gz WOJAEFOQKZUZMT-UHFFFAOYSA-N 0 0 445.929 -0.341 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)CC1 ZINC000731774082 1073408834 /nfs/dbraw/zinc/40/88/34/1073408834.db2.gz KARSHCHNXPSNEP-UHFFFAOYSA-N 0 0 441.572 -0.464 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)NCC(=O)N1CCCC1 ZINC000731808767 1073411463 /nfs/dbraw/zinc/41/14/63/1073411463.db2.gz GBMYBHBGBVIKKL-UHFFFAOYSA-N 0 0 448.461 -0.908 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CCn1nc2ccccn2c1=O ZINC000731810694 1073411493 /nfs/dbraw/zinc/41/14/93/1073411493.db2.gz CFVCADAGQPSLBQ-UHFFFAOYSA-N 0 0 441.429 -0.137 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)NC(=O)NC(C)(C)C ZINC000731810721 1073411380 /nfs/dbraw/zinc/41/13/80/1073411380.db2.gz DASVUKVTPGWWDN-UHFFFAOYSA-N 0 0 436.450 -0.022 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N1C[C@H](C)O[C@@H](C)C1 ZINC000731810951 1073412393 /nfs/dbraw/zinc/41/23/93/1073412393.db2.gz GJWIHLDLILSZHZ-RYUDHWBXSA-N 0 0 435.462 -0.011 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000731810952 1073412328 /nfs/dbraw/zinc/41/23/28/1073412328.db2.gz GJWIHLDLILSZHZ-TXEJJXNPSA-N 0 0 435.462 -0.011 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N1C[C@@H](C)O[C@H](C)C1 ZINC000731810953 1073412196 /nfs/dbraw/zinc/41/21/96/1073412196.db2.gz GJWIHLDLILSZHZ-VXGBXAGGSA-N 0 0 435.462 -0.011 20 0 IBADRN COCCS(=O)(=O)CCCN1C(C(=O)OC)=NC2=NS(=O)(=O)c3ccccc3N21 ZINC000731811208 1073411559 /nfs/dbraw/zinc/41/15/59/1073411559.db2.gz NFNLYABKVKSQIF-UHFFFAOYSA-N 0 0 444.491 -0.195 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)NC(=O)NCC(C)C ZINC000731811332 1073412252 /nfs/dbraw/zinc/41/22/52/1073412252.db2.gz PFDYAFCZCYYJPB-UHFFFAOYSA-N 0 0 436.450 -0.165 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N(C)Cc1cnn(C)c1 ZINC000731811383 1073411385 /nfs/dbraw/zinc/41/13/85/1073411385.db2.gz RUJZTIKKPKPTFV-UHFFFAOYSA-N 0 0 445.461 -0.255 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N1CCN(C(C)=O)CC1 ZINC000731811391 1073411354 /nfs/dbraw/zinc/41/13/54/1073411354.db2.gz SLXMJWQLBFEPTF-UHFFFAOYSA-N 0 0 448.461 -0.956 20 0 IBADRN CCCCNC(=O)NC(=O)CN1C(C(=O)OC)=NC2=NS(=O)(=O)c3ccccc3N21 ZINC000731811673 1073412349 /nfs/dbraw/zinc/41/23/49/1073412349.db2.gz XCSGVDIWKYQZOC-UHFFFAOYSA-N 0 0 436.450 -0.021 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1ccc(C(=O)NCCS(=O)(=O)NCC)cc1 ZINC000731834657 1073411394 /nfs/dbraw/zinc/41/13/94/1073411394.db2.gz FFYHERJXXRKXAV-UHFFFAOYSA-N 0 0 434.540 -0.975 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CNC(=O)c1ccc(S(=O)(=O)N(CC)CC)cc1 ZINC000731835063 1073412885 /nfs/dbraw/zinc/41/28/85/1073412885.db2.gz LMFXCZPYQUTIBN-UHFFFAOYSA-N 0 0 448.567 -0.498 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1ccc(C(=O)NCCS(=O)(=O)NCC)s1 ZINC000731835141 1073412958 /nfs/dbraw/zinc/41/29/58/1073412958.db2.gz PXLXOCREKKQXJI-UHFFFAOYSA-N 0 0 440.569 -0.914 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(CC)CC)c1 ZINC000731835262 1073413040 /nfs/dbraw/zinc/41/30/40/1073413040.db2.gz WLMLDLHLLDOQPM-UHFFFAOYSA-N 0 0 448.567 -0.498 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC000731837595 1073413079 /nfs/dbraw/zinc/41/30/79/1073413079.db2.gz CYNVCHCGIWVJPL-UHFFFAOYSA-N 0 0 445.563 -0.207 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000731837728 1073413095 /nfs/dbraw/zinc/41/30/95/1073413095.db2.gz ZWWAAAXWLLDPBB-GOSISDBHSA-N 0 0 425.463 -0.121 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000731837729 1073413071 /nfs/dbraw/zinc/41/30/71/1073413071.db2.gz ZWWAAAXWLLDPBB-SFHVURJKSA-N 0 0 425.463 -0.121 20 0 IBADRN CCNC(=O)N1CCN(CCS(=O)(=O)CCN2CCN(C(=O)NCC)CC2)CC1 ZINC000731842259 1073413025 /nfs/dbraw/zinc/41/30/25/1073413025.db2.gz AEFSFFKUUASIRZ-UHFFFAOYSA-N 0 0 432.591 -0.905 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000731844362 1073412379 /nfs/dbraw/zinc/41/23/79/1073412379.db2.gz SGQAHMGFPCALCR-JVGUAYRASA-N 0 0 437.518 -0.261 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000731844363 1073412261 /nfs/dbraw/zinc/41/22/61/1073412261.db2.gz SGQAHMGFPCALCR-OUODJMRJSA-N 0 0 437.518 -0.261 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000731847529 1073412383 /nfs/dbraw/zinc/41/23/83/1073412383.db2.gz WAGPBHMPVDMVGN-UHFFFAOYSA-N 0 0 439.513 -0.675 20 0 IBADRN COC(=O)CN(CCC(=O)NN1C(=O)NC2(CCCCC2)C1=O)CCN1CCOCC1 ZINC000731847735 1073412228 /nfs/dbraw/zinc/41/22/28/1073412228.db2.gz YDIFKSUYUBTBLL-UHFFFAOYSA-N 0 0 439.513 -0.530 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)no1 ZINC000731852754 1073412400 /nfs/dbraw/zinc/41/24/00/1073412400.db2.gz GEAYJYKVXAQSIO-CYBMUJFWSA-N 0 0 438.510 -0.558 20 0 IBADRN CCc1nc(CN2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)no1 ZINC000731852755 1073412370 /nfs/dbraw/zinc/41/23/70/1073412370.db2.gz GEAYJYKVXAQSIO-ZDUSSCGKSA-N 0 0 438.510 -0.558 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC[C@@H](CS(N)(=O)=O)C3)C2=O)cc1 ZINC000731856662 1073412898 /nfs/dbraw/zinc/41/28/98/1073412898.db2.gz UMTCAKBMHOYAIO-BFUOFWGJSA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC[C@H](CS(N)(=O)=O)C3)C2=O)cc1 ZINC000731856665 1073413033 /nfs/dbraw/zinc/41/30/33/1073413033.db2.gz UMTCAKBMHOYAIO-DJJJIMSYSA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC[C@H](CS(N)(=O)=O)C3)C2=O)cc1 ZINC000731856667 1073412951 /nfs/dbraw/zinc/41/29/51/1073412951.db2.gz UMTCAKBMHOYAIO-ORAYPTAESA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC[C@@H](CS(N)(=O)=O)C3)C2=O)cc1 ZINC000731856671 1073413152 /nfs/dbraw/zinc/41/31/52/1073413152.db2.gz UMTCAKBMHOYAIO-YJYMSZOUSA-N 0 0 438.506 -0.011 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000731881091 1073413786 /nfs/dbraw/zinc/41/37/86/1073413786.db2.gz CPWQCHSDRKYPHR-CYBMUJFWSA-N 0 0 449.526 -0.013 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000731881092 1073413811 /nfs/dbraw/zinc/41/38/11/1073413811.db2.gz CPWQCHSDRKYPHR-ZDUSSCGKSA-N 0 0 449.526 -0.013 20 0 IBADRN C=CC(=O)NCCNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000731881770 1073413746 /nfs/dbraw/zinc/41/37/46/1073413746.db2.gz HSDAOPZTGWUCCA-UHFFFAOYSA-N 0 0 449.533 -0.055 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000731881897 1073413710 /nfs/dbraw/zinc/41/37/10/1073413710.db2.gz LHXLGBITTVXDKH-UHFFFAOYSA-N 0 0 438.506 -0.599 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000731882027 1073413574 /nfs/dbraw/zinc/41/35/74/1073413574.db2.gz OEERDKQJNOPTCS-JOCHJYFZSA-N 0 0 444.488 -0.286 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000731882031 1073413799 /nfs/dbraw/zinc/41/37/99/1073413799.db2.gz OEERDKQJNOPTCS-QFIPXVFZSA-N 0 0 444.488 -0.286 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1cccc(S(=O)(=O)N(C)OC)c1 ZINC000731882361 1073413771 /nfs/dbraw/zinc/41/37/71/1073413771.db2.gz PKCBGAULSRUAFX-UHFFFAOYSA-N 0 0 429.495 -0.184 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC000731882467 1073413823 /nfs/dbraw/zinc/41/38/23/1073413823.db2.gz ROALOXGGVDWJIP-KRWDZBQOSA-N 0 0 435.456 -0.421 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC000731882470 1073413757 /nfs/dbraw/zinc/41/37/57/1073413757.db2.gz ROALOXGGVDWJIP-QGZVFWFLSA-N 0 0 435.456 -0.421 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCC(=O)NCCN3CCOCC3)C2=O)cc1 ZINC000731886661 1073413624 /nfs/dbraw/zinc/41/36/24/1073413624.db2.gz BAXQPIRPGBHIKU-NRFANRHFSA-N 0 0 447.492 -0.973 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCC(=O)NCCN3CCOCC3)C2=O)cc1 ZINC000731886662 1073413685 /nfs/dbraw/zinc/41/36/85/1073413685.db2.gz BAXQPIRPGBHIKU-OAQYLSRUSA-N 0 0 447.492 -0.973 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000731886697 1073413829 /nfs/dbraw/zinc/41/38/29/1073413829.db2.gz CIQDWYQTNDQBOA-UHFFFAOYSA-N 0 0 449.327 -0.725 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(=O)NCC(=O)NCCN2CCOCC2)C1 ZINC000731886997 1073414423 /nfs/dbraw/zinc/41/44/23/1073414423.db2.gz GBLUGBIWDJTEQH-GOSISDBHSA-N 0 0 431.493 -0.251 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@H](C(=O)NCC(=O)NCCN2CCOCC2)C1 ZINC000731887002 1073414383 /nfs/dbraw/zinc/41/43/83/1073414383.db2.gz GBLUGBIWDJTEQH-SFHVURJKSA-N 0 0 431.493 -0.251 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CS[C@@H]1CCS(=O)(=O)C1)C(=O)NCCN1CCOCC1 ZINC000731887008 1073414447 /nfs/dbraw/zinc/41/44/47/1073414447.db2.gz GGLSPFSFNZLTFV-UXLLHSPISA-N 0 0 435.612 -0.114 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CS[C@H]1CCS(=O)(=O)C1)C(=O)NCCN1CCOCC1 ZINC000731887009 1073414379 /nfs/dbraw/zinc/41/43/79/1073414379.db2.gz GGLSPFSFNZLTFV-YQQAZPJKSA-N 0 0 435.612 -0.114 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CS[C@@H]1CCS(=O)(=O)C1)C(=O)NCCN1CCOCC1 ZINC000731887010 1073414425 /nfs/dbraw/zinc/41/44/25/1073414425.db2.gz GGLSPFSFNZLTFV-ZMSDIMECSA-N 0 0 435.612 -0.114 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CS[C@H]1CCS(=O)(=O)C1)C(=O)NCCN1CCOCC1 ZINC000731887011 1073414370 /nfs/dbraw/zinc/41/43/70/1073414370.db2.gz GGLSPFSFNZLTFV-ZOBUZTSGSA-N 0 0 435.612 -0.114 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)NCCN1CCOCC1 ZINC000731887023 1073414394 /nfs/dbraw/zinc/41/43/94/1073414394.db2.gz GNHKXXNMJXNDDQ-MLCBILRFSA-N 0 0 435.525 -0.924 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)NCCN1CCOCC1 ZINC000731887024 1073414413 /nfs/dbraw/zinc/41/44/13/1073414413.db2.gz GNHKXXNMJXNDDQ-UHCGQYCJSA-N 0 0 435.525 -0.924 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)NCCN1CCOCC1 ZINC000731887025 1073414401 /nfs/dbraw/zinc/41/44/01/1073414401.db2.gz GNHKXXNMJXNDDQ-XDWWLABZSA-N 0 0 435.525 -0.924 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)NCCN1CCOCC1 ZINC000731887026 1073414390 /nfs/dbraw/zinc/41/43/90/1073414390.db2.gz GNHKXXNMJXNDDQ-ZNUVNQELSA-N 0 0 435.525 -0.924 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCC(=O)NCCN2CCOCC2)ccc1Cl ZINC000731887093 1073414433 /nfs/dbraw/zinc/41/44/33/1073414433.db2.gz HNKRDMBTLPMNAJ-UHFFFAOYSA-N 0 0 432.930 -0.232 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NCC(=O)NCCN2CCOCC2)ccc1Cl ZINC000731887151 1073414364 /nfs/dbraw/zinc/41/43/64/1073414364.db2.gz ILBOGVKFVFYRRU-UHFFFAOYSA-N 0 0 448.929 -0.300 20 0 IBADRN O=C(CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCCC2)c1)NCCN1CCOCC1 ZINC000731887538 1073414430 /nfs/dbraw/zinc/41/44/30/1073414430.db2.gz NNNMPCFEEJBCTK-UHFFFAOYSA-N 0 0 442.513 -0.212 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O)NCCN1CCOCC1 ZINC000731887572 1073413614 /nfs/dbraw/zinc/41/36/14/1073413614.db2.gz NSTDSGWWIYPLLX-UHFFFAOYSA-N 0 0 436.490 -0.828 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCC(=O)NCCN2CCOCC2)C1=O ZINC000731887850 1073414452 /nfs/dbraw/zinc/41/44/52/1073414452.db2.gz ONGPTEIRTGUEMI-FQEVSTJZSA-N 0 0 435.456 -0.843 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCC(=O)NCCN2CCOCC2)C1=O ZINC000731887851 1073414403 /nfs/dbraw/zinc/41/44/03/1073414403.db2.gz ONGPTEIRTGUEMI-HXUWFJFHSA-N 0 0 435.456 -0.843 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)NCC(=O)NCCN3CCOCC3)cc2S1(=O)=O ZINC000731888290 1073414355 /nfs/dbraw/zinc/41/43/55/1073414355.db2.gz TXWSFGACWMGQJM-UHFFFAOYSA-N 0 0 438.506 -0.582 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NCC(=O)NCCN3CCOCC3)C2=O)cc1 ZINC000731888687 1073414345 /nfs/dbraw/zinc/41/43/45/1073414345.db2.gz YSLMZOQKVNNZFY-NRFANRHFSA-N 0 0 431.493 -0.673 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCC(=O)NCCN3CCOCC3)C2=O)cc1 ZINC000731888689 1073414410 /nfs/dbraw/zinc/41/44/10/1073414410.db2.gz YSLMZOQKVNNZFY-OAQYLSRUSA-N 0 0 431.493 -0.673 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c2ncccc12 ZINC000731902179 1073414418 /nfs/dbraw/zinc/41/44/18/1073414418.db2.gz ZOWOINHWQURIJR-UHFFFAOYSA-N 0 0 433.490 -0.610 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)Cc2csc(-c3nc[nH]n3)n2)c(=O)n(C)c1=O ZINC000731906879 1073415056 /nfs/dbraw/zinc/41/50/56/1073415056.db2.gz SNQYSRUBZUVZBF-UHFFFAOYSA-N 0 0 447.477 -0.005 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)C2CCC3(CC2)NC(=O)NC3=O)c(=O)n(C)c1=O ZINC000731908701 1073415010 /nfs/dbraw/zinc/41/50/10/1073415010.db2.gz QAQSUSVONFBBPS-UHFFFAOYSA-N 0 0 449.464 -0.721 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)C1CCC3(CC1)NC(=O)NC3=O)C2=O ZINC000731909402 1073415072 /nfs/dbraw/zinc/41/50/72/1073415072.db2.gz URYWZBKCJYIBBJ-UHFFFAOYSA-N 0 0 449.464 -0.170 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)COC(=O)CN2CC(=O)NC2=O)c1 ZINC000731911646 1073415164 /nfs/dbraw/zinc/41/51/64/1073415164.db2.gz CEANBPMRUGJIDN-UHFFFAOYSA-N 0 0 426.451 -0.249 20 0 IBADRN O=C(CNC(=O)COC(=O)CN1CC(=O)NC1=O)Nc1ccccc1Br ZINC000731912677 1073415095 /nfs/dbraw/zinc/41/50/95/1073415095.db2.gz XWUYCDAGCGXITP-UHFFFAOYSA-N 0 0 427.211 -0.401 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)COC(=O)CN2CC(=O)NC2=O)cc1 ZINC000731912786 1073415143 /nfs/dbraw/zinc/41/51/43/1073415143.db2.gz KZKBFMRJYZGZDU-UHFFFAOYSA-N 0 0 426.451 -0.249 20 0 IBADRN O=C(CN1CC(=O)NC1=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000731912809 1073415082 /nfs/dbraw/zinc/41/50/82/1073415082.db2.gz LFOVYYLLQCVBJY-UHFFFAOYSA-N 0 0 427.435 -0.819 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2CC(=O)NC2=O)NC(=O)N[C@H]1c1ccc(OC)cc1 ZINC000731913249 1073414960 /nfs/dbraw/zinc/41/49/60/1073414960.db2.gz NERMPCBLKQJZFI-KRWDZBQOSA-N 0 0 446.416 -0.039 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2CC(=O)NC2=O)NC(=O)N[C@@H]1c1ccc(OC)cc1 ZINC000731913250 1073415116 /nfs/dbraw/zinc/41/51/16/1073415116.db2.gz NERMPCBLKQJZFI-QGZVFWFLSA-N 0 0 446.416 -0.039 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@@H](C)OC(=O)CN2CC(=O)NC2=O)cc1 ZINC000731913296 1073414923 /nfs/dbraw/zinc/41/49/23/1073414923.db2.gz OVUKCRHVSALGIF-LLVKDONJSA-N 0 0 426.451 -0.205 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@H](C)OC(=O)CN2CC(=O)NC2=O)cc1 ZINC000731913297 1073415151 /nfs/dbraw/zinc/41/51/51/1073415151.db2.gz OVUKCRHVSALGIF-NSHDSACASA-N 0 0 426.451 -0.205 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)COC(=O)CN2CC(=O)NC2=O)ccc1Cl ZINC000731913433 1073415157 /nfs/dbraw/zinc/41/51/57/1073415157.db2.gz RFLWVJLKBNVGNQ-UHFFFAOYSA-N 0 0 432.842 -0.376 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)COC(=O)CN1CC(=O)NC1=O ZINC000731913551 1073414999 /nfs/dbraw/zinc/41/49/99/1073414999.db2.gz WATRZEFPYVOOLZ-UHFFFAOYSA-N 0 0 440.434 -0.374 20 0 IBADRN O=C(COC(=O)c1cncc(O)c1)N1CCN(C(=O)COC(=O)c2cncc(O)c2)CC1 ZINC000731914936 1073415041 /nfs/dbraw/zinc/41/50/41/1073415041.db2.gz CHKNPOYZKSAWNQ-UHFFFAOYSA-N 0 0 444.400 -0.428 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000731917599 1073414981 /nfs/dbraw/zinc/41/49/81/1073414981.db2.gz KTCRALSOFPFZTM-UHFFFAOYSA-N 0 0 435.524 -0.391 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000731917998 1073415732 /nfs/dbraw/zinc/41/57/32/1073415732.db2.gz XSIZIFXOKRANBH-UHFFFAOYSA-N 0 0 436.508 -0.049 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO ZINC000731918130 1073415823 /nfs/dbraw/zinc/41/58/23/1073415823.db2.gz YBWSKJUQZUGYBH-UHFFFAOYSA-N 0 0 437.540 -0.221 20 0 IBADRN COC(=O)CCc1nc(Cn2c(Br)nc3c2n(C)c(=O)n(C)c3=O)no1 ZINC000731939218 1073415749 /nfs/dbraw/zinc/41/57/49/1073415749.db2.gz KMYLLCBOGFTBFL-UHFFFAOYSA-N 0 0 427.215 -0.267 20 0 IBADRN CNC(=O)c1cncc(/C=C/C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000731970753 1073416390 /nfs/dbraw/zinc/41/63/90/1073416390.db2.gz NRGWBXGIBYMBSH-DUXPYHPUSA-N 0 0 447.473 -0.321 20 0 IBADRN CNC(=O)c1cncc(/C=C\C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1 ZINC000731970760 1073416487 /nfs/dbraw/zinc/41/64/87/1073416487.db2.gz NRGWBXGIBYMBSH-RQOWECAXSA-N 0 0 447.473 -0.321 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000731987005 1073416438 /nfs/dbraw/zinc/41/64/38/1073416438.db2.gz VQVFLSAKMFPLKT-UHFFFAOYSA-N 0 0 432.426 -0.365 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)=NN1c1ccccc1 ZINC000732030488 1073416595 /nfs/dbraw/zinc/41/65/95/1073416595.db2.gz HZEYQOJWFFIIRM-DOTOQJQBSA-N 0 0 437.522 -0.289 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)=NN1c1ccccc1 ZINC000732030489 1073416410 /nfs/dbraw/zinc/41/64/10/1073416410.db2.gz HZEYQOJWFFIIRM-NVXWUHKLSA-N 0 0 437.522 -0.289 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)=NN1c1ccccc1 ZINC000732030490 1073416465 /nfs/dbraw/zinc/41/64/65/1073416465.db2.gz HZEYQOJWFFIIRM-RDJZCZTQSA-N 0 0 437.522 -0.289 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)=NN1c1ccccc1 ZINC000732030491 1073416610 /nfs/dbraw/zinc/41/66/10/1073416610.db2.gz HZEYQOJWFFIIRM-WBVHZDCISA-N 0 0 437.522 -0.289 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000732030815 1073416566 /nfs/dbraw/zinc/41/65/66/1073416566.db2.gz ANXCEFBUDAWJET-AWEZNQCLSA-N 0 0 432.524 -0.911 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000732030817 1073416494 /nfs/dbraw/zinc/41/64/94/1073416494.db2.gz ANXCEFBUDAWJET-CQSZACIVSA-N 0 0 432.524 -0.911 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000732031076 1073416506 /nfs/dbraw/zinc/41/65/06/1073416506.db2.gz GXFHARYVNFXCHX-CYBMUJFWSA-N 0 0 432.524 -0.911 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000732031077 1073416427 /nfs/dbraw/zinc/41/64/27/1073416427.db2.gz GXFHARYVNFXCHX-ZDUSSCGKSA-N 0 0 432.524 -0.911 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)NN=c1ccc(S(=O)(=O)N2CCCC2)c[nH]1 ZINC000732044477 1073416446 /nfs/dbraw/zinc/41/64/46/1073416446.db2.gz CTYSDBUKBYAXED-UHFFFAOYSA-N 0 0 444.495 -0.010 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000732052572 1073418801 /nfs/dbraw/zinc/41/88/01/1073418801.db2.gz OAGICEPIJKVIMS-IBGZPJMESA-N 0 0 437.585 -0.240 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000732052573 1073418830 /nfs/dbraw/zinc/41/88/30/1073418830.db2.gz OAGICEPIJKVIMS-LJQANCHMSA-N 0 0 437.585 -0.240 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1cc(S(N)(=O)=O)c(Br)s1)C(=O)OC ZINC000732055432 1073416471 /nfs/dbraw/zinc/41/64/71/1073416471.db2.gz MOUPGXRIZKIQMB-RXMQYKEDSA-N 0 0 429.270 -0.008 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1cc(S(N)(=O)=O)c(Br)s1)C(=O)OC ZINC000732055433 1073416489 /nfs/dbraw/zinc/41/64/89/1073416489.db2.gz MOUPGXRIZKIQMB-YFKPBYRVSA-N 0 0 429.270 -0.008 20 0 IBADRN COC(=O)C[C@@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)OC ZINC000732055552 1073416598 /nfs/dbraw/zinc/41/65/98/1073416598.db2.gz RNLFMDNIPKQDGU-SSDOTTSWSA-N 0 0 430.215 -0.887 20 0 IBADRN COC(=O)C[C@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)OC ZINC000732055553 1073416619 /nfs/dbraw/zinc/41/66/19/1073416619.db2.gz RNLFMDNIPKQDGU-ZETCQYMHSA-N 0 0 430.215 -0.887 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)OCC(F)(F)F)CC2)C[C@H](C)O1 ZINC000732057329 1073417179 /nfs/dbraw/zinc/41/71/79/1073417179.db2.gz KYXRTVRTUAHSMX-RYUDHWBXSA-N 0 0 446.448 -0.227 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)OCC(F)(F)F)CC2)C[C@H](C)O1 ZINC000732057333 1073417374 /nfs/dbraw/zinc/41/73/74/1073417374.db2.gz KYXRTVRTUAHSMX-TXEJJXNPSA-N 0 0 446.448 -0.227 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CNC(=O)OCC(F)(F)F)CC2)C[C@@H](C)O1 ZINC000732057335 1073417392 /nfs/dbraw/zinc/41/73/92/1073417392.db2.gz KYXRTVRTUAHSMX-VXGBXAGGSA-N 0 0 446.448 -0.227 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000732057601 1073416556 /nfs/dbraw/zinc/41/65/56/1073416556.db2.gz MUBAIHWUKWDZSI-LURJTMIESA-N 0 0 440.297 -0.338 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000732057602 1073417346 /nfs/dbraw/zinc/41/73/46/1073417346.db2.gz MUBAIHWUKWDZSI-ZCFIWIBFSA-N 0 0 440.297 -0.338 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CCn2ccnn2)CC1 ZINC000732058839 1073417244 /nfs/dbraw/zinc/41/72/44/1073417244.db2.gz HQKFGRKEBYUESR-UHFFFAOYSA-N 0 0 441.535 -0.087 20 0 IBADRN COCCOc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000732058844 1073417363 /nfs/dbraw/zinc/41/73/63/1073417363.db2.gz ICFYMMUIHZOGRH-KRWDZBQOSA-N 0 0 446.547 -0.014 20 0 IBADRN COCCOc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000732058845 1073417384 /nfs/dbraw/zinc/41/73/84/1073417384.db2.gz ICFYMMUIHZOGRH-QGZVFWFLSA-N 0 0 446.547 -0.014 20 0 IBADRN O=C([C@@H]1CCCN1c1nccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000732058886 1073417307 /nfs/dbraw/zinc/41/73/07/1073417307.db2.gz KUZTXKZKGIJOPI-KBPBESRZSA-N 0 0 448.592 -0.227 20 0 IBADRN O=C([C@@H]1CCCN1c1nccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732058887 1073417161 /nfs/dbraw/zinc/41/71/61/1073417161.db2.gz KUZTXKZKGIJOPI-KGLIPLIRSA-N 0 0 448.592 -0.227 20 0 IBADRN O=C([C@H]1CCCN1c1nccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000732058888 1073417235 /nfs/dbraw/zinc/41/72/35/1073417235.db2.gz KUZTXKZKGIJOPI-UONOGXRCSA-N 0 0 448.592 -0.227 20 0 IBADRN O=C([C@H]1CCCN1c1nccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732058889 1073417298 /nfs/dbraw/zinc/41/72/98/1073417298.db2.gz KUZTXKZKGIJOPI-ZIAGYGMSSA-N 0 0 448.592 -0.227 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000732062419 1073417262 /nfs/dbraw/zinc/41/72/62/1073417262.db2.gz LCIGNNHDHKFMQX-UHFFFAOYSA-N 0 0 428.286 -0.351 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000732066075 1073418218 /nfs/dbraw/zinc/41/82/18/1073418218.db2.gz JFLRFMGNLMLXKZ-CDVVCGDMSA-N 0 0 435.506 -0.863 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000732066076 1073418205 /nfs/dbraw/zinc/41/82/05/1073418205.db2.gz JFLRFMGNLMLXKZ-KQQSKNTNSA-N 0 0 435.506 -0.863 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@H]2C(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000732066277 1073417315 /nfs/dbraw/zinc/41/73/15/1073417315.db2.gz JFLRFMGNLMLXKZ-SBSDFTTDSA-N 0 0 435.506 -0.863 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@H]2C(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000732066278 1073418003 /nfs/dbraw/zinc/41/80/03/1073418003.db2.gz JFLRFMGNLMLXKZ-WHWKNOJMSA-N 0 0 435.506 -0.863 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CS(=O)(=O)Nc2ccc(N3CCCCC3)cc2)CC1 ZINC000732066385 1073418180 /nfs/dbraw/zinc/41/81/80/1073418180.db2.gz OIRGPOZKBXKIGP-UHFFFAOYSA-N 0 0 445.567 -0.234 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(C(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000732066532 1073417291 /nfs/dbraw/zinc/41/72/91/1073417291.db2.gz RGYQCFVJVOXZCL-UHFFFAOYSA-N 0 0 426.314 -0.186 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)N2CCN(S(N)(=O)=O)CC2)ccc1Cl ZINC000732066723 1073417401 /nfs/dbraw/zinc/41/74/01/1073417401.db2.gz VYJHBYJIHJFOSG-UHFFFAOYSA-N 0 0 426.904 -0.517 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CCc2nc3c(cnn3-c3ccccc3)c(=O)[nH]2)CC1 ZINC000732068411 1073418073 /nfs/dbraw/zinc/41/80/73/1073418073.db2.gz KTCVFTXUAUVEOI-UHFFFAOYSA-N 0 0 431.478 -0.199 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(S(N)(=O)=O)CC2)ccc1Br ZINC000732069053 1073418222 /nfs/dbraw/zinc/41/82/22/1073418222.db2.gz RJDLPXNZHUAAAO-UHFFFAOYSA-N 0 0 426.314 -0.186 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(n2cc(C(=O)N3CCN(S(N)(=O)=O)CC3)nn2)CC1 ZINC000732069371 1073418212 /nfs/dbraw/zinc/41/82/12/1073418212.db2.gz VKIYPDNJROOLQG-UHFFFAOYSA-N 0 0 443.530 -0.189 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000732069459 1073418058 /nfs/dbraw/zinc/41/80/58/1073418058.db2.gz WLEBVJZWDKGGAJ-UHFFFAOYSA-N 0 0 441.535 -0.805 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000732069486 1073418228 /nfs/dbraw/zinc/41/82/28/1073418228.db2.gz YAALNIDFBMZVKB-UHFFFAOYSA-N 0 0 440.931 -0.774 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000732070242 1073418030 /nfs/dbraw/zinc/41/80/30/1073418030.db2.gz KMRJWOXRUBAXEK-UHFFFAOYSA-N 0 0 429.270 -0.054 20 0 IBADRN NC(=O)CCNC(=O)c1ccccc1NC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000732071660 1073418231 /nfs/dbraw/zinc/41/82/31/1073418231.db2.gz VMLYJMLXYJFNTQ-GOSISDBHSA-N 0 0 445.520 -0.056 20 0 IBADRN NC(=O)CCNC(=O)c1ccccc1NC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000732071661 1073418104 /nfs/dbraw/zinc/41/81/04/1073418104.db2.gz VMLYJMLXYJFNTQ-SFHVURJKSA-N 0 0 445.520 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000732071896 1073418248 /nfs/dbraw/zinc/41/82/48/1073418248.db2.gz PMXXRLBPVPXLFN-AWEZNQCLSA-N 0 0 426.470 -0.267 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000732071897 1073418046 /nfs/dbraw/zinc/41/80/46/1073418046.db2.gz PMXXRLBPVPXLFN-CQSZACIVSA-N 0 0 426.470 -0.267 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(F)c(S(=O)(=O)NC(C)C)c1 ZINC000732071947 1073418132 /nfs/dbraw/zinc/41/81/32/1073418132.db2.gz RCDTVCHOAHWBLB-AWEZNQCLSA-N 0 0 428.486 -0.021 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(F)c(S(=O)(=O)NC(C)C)c1 ZINC000732071948 1073418240 /nfs/dbraw/zinc/41/82/40/1073418240.db2.gz RCDTVCHOAHWBLB-CQSZACIVSA-N 0 0 428.486 -0.021 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(C)cc2)C1=O ZINC000732072289 1073418121 /nfs/dbraw/zinc/41/81/21/1073418121.db2.gz WXBCEGQVOUCYAI-BTYIYWSLSA-N 0 0 429.477 -0.385 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(C)cc2)C1=O ZINC000732072290 1073418019 /nfs/dbraw/zinc/41/80/19/1073418019.db2.gz WXBCEGQVOUCYAI-QVKFZJNVSA-N 0 0 429.477 -0.385 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(C)cc2)C1=O ZINC000732072291 1073418823 /nfs/dbraw/zinc/41/88/23/1073418823.db2.gz WXBCEGQVOUCYAI-VFNWGFHPSA-N 0 0 429.477 -0.385 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(C)cc2)C1=O ZINC000732072292 1073418752 /nfs/dbraw/zinc/41/87/52/1073418752.db2.gz WXBCEGQVOUCYAI-YCRPNKLZSA-N 0 0 429.477 -0.385 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F ZINC000732073888 1073418661 /nfs/dbraw/zinc/41/86/61/1073418661.db2.gz MITGHBYACMOIOZ-GFCCVEGCSA-N 0 0 444.460 -0.128 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F ZINC000732073889 1073418694 /nfs/dbraw/zinc/41/86/94/1073418694.db2.gz MITGHBYACMOIOZ-LBPRGKRZSA-N 0 0 444.460 -0.128 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)n(C)c1 ZINC000732074383 1073418781 /nfs/dbraw/zinc/41/87/81/1073418781.db2.gz QTLCFODCXOLWPK-AWEZNQCLSA-N 0 0 427.527 -0.478 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)n(C)c1 ZINC000732074386 1073418675 /nfs/dbraw/zinc/41/86/75/1073418675.db2.gz QTLCFODCXOLWPK-CQSZACIVSA-N 0 0 427.527 -0.478 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CNC(=O)OCC(F)(F)F)c1 ZINC000732074786 1073418785 /nfs/dbraw/zinc/41/87/85/1073418785.db2.gz UWJRKUXPKOZFGA-UHFFFAOYSA-N 0 0 426.373 -0.062 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)/C(=C/c1cccc(OC)c1)n1nnnc1C ZINC000732074824 1073418685 /nfs/dbraw/zinc/41/86/85/1073418685.db2.gz VFOCJPBMHILXMX-FDIDWWNZSA-N 0 0 427.465 -0.159 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)/C(=C/c1cccc(OC)c1)n1nnnc1C ZINC000732074825 1073418727 /nfs/dbraw/zinc/41/87/27/1073418727.db2.gz VFOCJPBMHILXMX-FEEIMYBTSA-N 0 0 427.465 -0.159 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)/C(=C\c1cccc(OC)c1)n1nnnc1C ZINC000732074826 1073418762 /nfs/dbraw/zinc/41/87/62/1073418762.db2.gz VFOCJPBMHILXMX-KTZOAPACSA-N 0 0 427.465 -0.159 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)/C(=C\c1cccc(OC)c1)n1nnnc1C ZINC000732074827 1073418722 /nfs/dbraw/zinc/41/87/22/1073418722.db2.gz VFOCJPBMHILXMX-PCJMVEANSA-N 0 0 427.465 -0.159 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)NC(C)C)ccc1F ZINC000732074846 1073418733 /nfs/dbraw/zinc/41/87/33/1073418733.db2.gz WMBJNXOHCKXXOO-HNNXBMFYSA-N 0 0 428.486 -0.021 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1cc(S(=O)(=O)NC(C)C)ccc1F ZINC000732074847 1073418768 /nfs/dbraw/zinc/41/87/68/1073418768.db2.gz WMBJNXOHCKXXOO-OAHLLOKOSA-N 0 0 428.486 -0.021 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000732076601 1073419486 /nfs/dbraw/zinc/41/94/86/1073419486.db2.gz ZKTPVXMAWUFMCO-LLVKDONJSA-N 0 0 432.510 -0.353 20 0 IBADRN CN1C(=S)N=NC1[C@H]1CCCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000732076604 1073419366 /nfs/dbraw/zinc/41/93/66/1073419366.db2.gz ZKTPVXMAWUFMCO-NSHDSACASA-N 0 0 432.510 -0.353 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000732078525 1073419375 /nfs/dbraw/zinc/41/93/75/1073419375.db2.gz ALWMEUNXEVKAPH-UHFFFAOYSA-N 0 0 447.579 -0.521 20 0 IBADRN CN(C(=O)CNC(=O)OCC(F)(F)F)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000732079001 1073419397 /nfs/dbraw/zinc/41/93/97/1073419397.db2.gz IXFCKKSVABTXCJ-UHFFFAOYSA-N 0 0 437.463 -0.028 20 0 IBADRN CCN1C(=S)N=NC1[C@@H]1CCCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000732081038 1073419350 /nfs/dbraw/zinc/41/93/50/1073419350.db2.gz YWTJGNLWLDWREY-LLVKDONJSA-N 0 0 432.510 -0.260 20 0 IBADRN CCN1C(=S)N=NC1[C@H]1CCCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000732081039 1073419479 /nfs/dbraw/zinc/41/94/79/1073419479.db2.gz YWTJGNLWLDWREY-NSHDSACASA-N 0 0 432.510 -0.260 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@@H](CS(N)(=O)=O)C3)cc2S1(=O)=O ZINC000732092229 1073418707 /nfs/dbraw/zinc/41/87/07/1073418707.db2.gz IGKJWZIWTYERLW-GFCCVEGCSA-N 0 0 445.519 -0.382 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@H](CS(N)(=O)=O)C3)cc2S1(=O)=O ZINC000732092231 1073419445 /nfs/dbraw/zinc/41/94/45/1073419445.db2.gz IGKJWZIWTYERLW-LBPRGKRZSA-N 0 0 445.519 -0.382 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H](CS(N)(=O)=O)C1)c2=O ZINC000732093445 1073419458 /nfs/dbraw/zinc/41/94/58/1073419458.db2.gz SWPUWFBOJXJQJZ-SECBINFHSA-N 0 0 447.315 -0.580 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H](CS(N)(=O)=O)C1)c2=O ZINC000732093451 1073419379 /nfs/dbraw/zinc/41/93/79/1073419379.db2.gz SWPUWFBOJXJQJZ-VIFPVBQESA-N 0 0 447.315 -0.580 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(CCNC(=O)c2ccc(OC)cc2)CC1 ZINC000732097282 1073419449 /nfs/dbraw/zinc/41/94/49/1073419449.db2.gz ASXVZPQUDQNRAR-UHFFFAOYSA-N 0 0 427.523 -0.370 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000732097331 1073419412 /nfs/dbraw/zinc/41/94/12/1073419412.db2.gz CYCNWKHADNEPLK-UHFFFAOYSA-N 0 0 429.495 -0.184 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N(CCN3CCOCC3)CC(=O)OC)cc2S1(=O)=O ZINC000732097387 1073419494 /nfs/dbraw/zinc/41/94/94/1073419494.db2.gz FJVPMDBUKHPVMO-UHFFFAOYSA-N 0 0 439.490 -0.202 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC000732097951 1073419354 /nfs/dbraw/zinc/41/93/54/1073419354.db2.gz OMRLKOMFRJHMJT-NRFANRHFSA-N 0 0 432.477 -0.213 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC000732097954 1073419385 /nfs/dbraw/zinc/41/93/85/1073419385.db2.gz OMRLKOMFRJHMJT-OAQYLSRUSA-N 0 0 432.477 -0.213 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CN1C(=O)c2ccccc2S1(=O)=O ZINC000732098573 1073419389 /nfs/dbraw/zinc/41/93/89/1073419389.db2.gz VEOYWJIPAMUFFL-UHFFFAOYSA-N 0 0 425.463 -0.835 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N(CCN2CCOCC2)CC(=O)OC)c1=O ZINC000732098775 1073419420 /nfs/dbraw/zinc/41/94/20/1073419420.db2.gz ZVYPTGGUQYVWDE-UHFFFAOYSA-N 0 0 432.477 -0.483 20 0 IBADRN C=CC(=O)NCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000732101600 1073419426 /nfs/dbraw/zinc/41/94/26/1073419426.db2.gz INVGOTKLHJYWQT-UHFFFAOYSA-N 0 0 427.479 -0.243 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000732107482 1073421065 /nfs/dbraw/zinc/42/10/65/1073421065.db2.gz UYEVAEGLJMWIDO-GOSISDBHSA-N 0 0 444.598 -0.675 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000732107484 1073421033 /nfs/dbraw/zinc/42/10/33/1073421033.db2.gz UYEVAEGLJMWIDO-SFHVURJKSA-N 0 0 444.598 -0.675 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000732118580 1073421079 /nfs/dbraw/zinc/42/10/79/1073421079.db2.gz NTSFQHSWHWBUTQ-LZYBPNLTSA-N 0 0 438.550 -0.126 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCNS(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000732118585 1073421017 /nfs/dbraw/zinc/42/10/17/1073421017.db2.gz NTSFQHSWHWBUTQ-PXNMLYILSA-N 0 0 438.550 -0.126 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCC[C@@H]1CN1CCOCC1 ZINC000732127832 1073420963 /nfs/dbraw/zinc/42/09/63/1073420963.db2.gz OWKDUJLEULTFIC-CVEARBPZSA-N 0 0 426.539 -0.135 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCC[C@H]1CN1CCOCC1 ZINC000732127834 1073420978 /nfs/dbraw/zinc/42/09/78/1073420978.db2.gz OWKDUJLEULTFIC-HOTGVXAUSA-N 0 0 426.539 -0.135 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCC[C@@H]1CN1CCOCC1 ZINC000732127836 1073420789 /nfs/dbraw/zinc/42/07/89/1073420789.db2.gz OWKDUJLEULTFIC-HZPDHXFCSA-N 0 0 426.539 -0.135 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCC[C@H]1CN1CCOCC1 ZINC000732127838 1073420841 /nfs/dbraw/zinc/42/08/41/1073420841.db2.gz OWKDUJLEULTFIC-JKSUJKDBSA-N 0 0 426.539 -0.135 20 0 IBADRN O=C(CNC(=O)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F)NCCN1CCOCC1 ZINC000732155372 1073420815 /nfs/dbraw/zinc/42/08/15/1073420815.db2.gz BIJYYLWTXQZWOL-UHFFFAOYSA-N 0 0 446.476 -0.416 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CCc1nc2c(cnn2C)c(=O)[nH]1)C(=O)NCCN1CCOCC1 ZINC000732155654 1073420856 /nfs/dbraw/zinc/42/08/56/1073420856.db2.gz DWXAJNPDOLYYDZ-KBXCAEBGSA-N 0 0 447.540 -0.019 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)CCc1nc2c(cnn2C)c(=O)[nH]1)C(=O)NCCN1CCOCC1 ZINC000732155656 1073421084 /nfs/dbraw/zinc/42/10/84/1073421084.db2.gz DWXAJNPDOLYYDZ-KDOFPFPSSA-N 0 0 447.540 -0.019 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CCc1nc2c(cnn2C)c(=O)[nH]1)C(=O)NCCN1CCOCC1 ZINC000732155658 1073420884 /nfs/dbraw/zinc/42/08/84/1073420884.db2.gz DWXAJNPDOLYYDZ-KSSFIOAISA-N 0 0 447.540 -0.019 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)CCc1nc2c(cnn2C)c(=O)[nH]1)C(=O)NCCN1CCOCC1 ZINC000732155661 1073420988 /nfs/dbraw/zinc/42/09/88/1073420988.db2.gz DWXAJNPDOLYYDZ-RDTXWAMCSA-N 0 0 447.540 -0.019 20 0 IBADRN O=C(CNC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1)NCCN1CCOCC1 ZINC000732155876 1073420753 /nfs/dbraw/zinc/42/07/53/1073420753.db2.gz GBKJVDBPISQLFJ-UHFFFAOYSA-N 0 0 428.486 -0.555 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)NCC(=O)NCCN2CCOCC2)ccc1F ZINC000732156581 1073420999 /nfs/dbraw/zinc/42/09/99/1073420999.db2.gz MSGDACMRTTXTSV-UHFFFAOYSA-N 0 0 430.502 -0.309 20 0 IBADRN COc1cccc(/C=C(/C(=O)NCC(=O)NCCN2CCOCC2)n2nnnc2C)c1 ZINC000732157772 1073421540 /nfs/dbraw/zinc/42/15/40/1073421540.db2.gz GIWPYMWAJBOQBB-AQTBWJFISA-N 0 0 429.481 -0.447 20 0 IBADRN COc1cccc(/C=C(\C(=O)NCC(=O)NCCN2CCOCC2)n2nnnc2C)c1 ZINC000732157773 1073421562 /nfs/dbraw/zinc/42/15/62/1073421562.db2.gz GIWPYMWAJBOQBB-QGOAFFKASA-N 0 0 429.481 -0.447 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000732157843 1073420868 /nfs/dbraw/zinc/42/08/68/1073420868.db2.gz IRXXHZBQIWCQBX-UHFFFAOYSA-N 0 0 430.502 -0.309 20 0 IBADRN COC1(C(=O)NCC(=O)NCCN2CCOCC2)CCN(C(=O)OC(C)(C)C)CC1 ZINC000732157982 1073420949 /nfs/dbraw/zinc/42/09/49/1073420949.db2.gz NXIXOKUYXNYXED-UHFFFAOYSA-N 0 0 428.530 -0.033 20 0 IBADRN C[C@H]1NC(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)=NN(c2ccccc2)C1=O ZINC000732163622 1073421499 /nfs/dbraw/zinc/42/14/99/1073421499.db2.gz FYUZXXPXBGDBLP-GDBMZVCRSA-N 0 0 437.522 -0.071 20 0 IBADRN C[C@@H]1NC(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)=NN(c2ccccc2)C1=O ZINC000732163623 1073421545 /nfs/dbraw/zinc/42/15/45/1073421545.db2.gz FYUZXXPXBGDBLP-GOEBONIOSA-N 0 0 437.522 -0.071 20 0 IBADRN C[C@@H]1NC(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)=NN(c2ccccc2)C1=O ZINC000732163624 1073421530 /nfs/dbraw/zinc/42/15/30/1073421530.db2.gz FYUZXXPXBGDBLP-HOCLYGCPSA-N 0 0 437.522 -0.071 20 0 IBADRN C[C@H]1NC(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)=NN(c2ccccc2)C1=O ZINC000732163625 1073421615 /nfs/dbraw/zinc/42/16/15/1073421615.db2.gz FYUZXXPXBGDBLP-ZBFHGGJFSA-N 0 0 437.522 -0.071 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000732164223 1073421551 /nfs/dbraw/zinc/42/15/51/1073421551.db2.gz IVNIGCLWGLKSHQ-CYBMUJFWSA-N 0 0 445.519 -0.564 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000732164224 1073421658 /nfs/dbraw/zinc/42/16/58/1073421658.db2.gz IVNIGCLWGLKSHQ-ZDUSSCGKSA-N 0 0 445.519 -0.564 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN(C)CC(=O)N2CCOCC2)c1=O ZINC000732179032 1073422378 /nfs/dbraw/zinc/42/23/78/1073422378.db2.gz MAATXQWVKSPGEJ-UHFFFAOYSA-N 0 0 439.538 -0.179 20 0 IBADRN CN(CC(=O)N1CCOCC1)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000732179801 1073422447 /nfs/dbraw/zinc/42/24/47/1073422447.db2.gz FSHFPNLTIWEAPE-IBGZPJMESA-N 0 0 438.506 -0.395 20 0 IBADRN CN(CC(=O)N1CCOCC1)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000732179802 1073422423 /nfs/dbraw/zinc/42/24/23/1073422423.db2.gz FSHFPNLTIWEAPE-LJQANCHMSA-N 0 0 438.506 -0.395 20 0 IBADRN NC(CN1CCOCC1)=NOCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000732194936 1073421601 /nfs/dbraw/zinc/42/16/01/1073421601.db2.gz AFKOCIUMQCCZFZ-UHFFFAOYSA-N 0 0 425.511 -0.650 20 0 IBADRN NC(CN1CCOCC1)=NOCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000732195005 1073422369 /nfs/dbraw/zinc/42/23/69/1073422369.db2.gz KDQJGCYBQWILNV-UHFFFAOYSA-N 0 0 428.511 -0.083 20 0 IBADRN NC(CN1CCOCC1)=NOCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000732195014 1073422331 /nfs/dbraw/zinc/42/23/31/1073422331.db2.gz KWGYDXBBCVQJJK-UHFFFAOYSA-N 0 0 441.510 -0.523 20 0 IBADRN NC(CN1CCOCC1)=NOCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000732195356 1073422413 /nfs/dbraw/zinc/42/24/13/1073422413.db2.gz SZGGIBTVYYOFGY-UHFFFAOYSA-N 0 0 441.510 -0.523 20 0 IBADRN O=C1[C@@H](N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCN1CC(F)(F)F ZINC000732197837 1073422315 /nfs/dbraw/zinc/42/23/15/1073422315.db2.gz OJIHOSIYLURDAW-NEPJUHHUSA-N 0 0 433.474 -0.716 20 0 IBADRN O=C1[C@H](N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCN1CC(F)(F)F ZINC000732197838 1073422431 /nfs/dbraw/zinc/42/24/31/1073422431.db2.gz OJIHOSIYLURDAW-NWDGAFQWSA-N 0 0 433.474 -0.716 20 0 IBADRN O=C1[C@@H](N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCN1CC(F)(F)F ZINC000732197840 1073422457 /nfs/dbraw/zinc/42/24/57/1073422457.db2.gz OJIHOSIYLURDAW-RYUDHWBXSA-N 0 0 433.474 -0.716 20 0 IBADRN O=C1[C@H](N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCN1CC(F)(F)F ZINC000732197841 1073422361 /nfs/dbraw/zinc/42/23/61/1073422361.db2.gz OJIHOSIYLURDAW-VXGBXAGGSA-N 0 0 433.474 -0.716 20 0 IBADRN Cc1cccc(CNC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000732198088 1073422883 /nfs/dbraw/zinc/42/28/83/1073422883.db2.gz UYMOVJDBJDMSKR-KRWDZBQOSA-N 0 0 429.564 -0.254 20 0 IBADRN Cc1cccc(CNC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000732198091 1073422871 /nfs/dbraw/zinc/42/28/71/1073422871.db2.gz UYMOVJDBJDMSKR-QGZVFWFLSA-N 0 0 429.564 -0.254 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC(S(=O)(=O)NC)CC2)C1=O ZINC000732206390 1073422452 /nfs/dbraw/zinc/42/24/52/1073422452.db2.gz XJQFHICQODBTHC-IBGZPJMESA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC(S(=O)(=O)NC)CC2)C1=O ZINC000732206391 1073422347 /nfs/dbraw/zinc/42/23/47/1073422347.db2.gz XJQFHICQODBTHC-LJQANCHMSA-N 0 0 437.522 -0.112 20 0 IBADRN O=C(CN1CCCC[C@@H]1CN1CCCC1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000732211739 1073422393 /nfs/dbraw/zinc/42/23/93/1073422393.db2.gz RYKBURHEHLOWGL-MSOLQXFVSA-N 0 0 426.583 -0.205 20 0 IBADRN O=C(CN1CCCC[C@@H]1CN1CCCC1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732211741 1073422276 /nfs/dbraw/zinc/42/22/76/1073422276.db2.gz RYKBURHEHLOWGL-QZTJIDSGSA-N 0 0 426.583 -0.205 20 0 IBADRN O=C(CN1CCCC[C@H]1CN1CCCC1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000732211742 1073422309 /nfs/dbraw/zinc/42/23/09/1073422309.db2.gz RYKBURHEHLOWGL-ROUUACIJSA-N 0 0 426.583 -0.205 20 0 IBADRN O=C(CN1CCCC[C@H]1CN1CCCC1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732211744 1073422339 /nfs/dbraw/zinc/42/23/39/1073422339.db2.gz RYKBURHEHLOWGL-ZWKOTPCHSA-N 0 0 426.583 -0.205 20 0 IBADRN Cc1ccccc1CS(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000732215358 1073422408 /nfs/dbraw/zinc/42/24/08/1073422408.db2.gz AAWYUJOUNZDWTP-INIZCTEOSA-N 0 0 436.577 -0.041 20 0 IBADRN Cc1ccccc1CS(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732215359 1073422292 /nfs/dbraw/zinc/42/22/92/1073422292.db2.gz AAWYUJOUNZDWTP-MRXNPFEDSA-N 0 0 436.577 -0.041 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2ccc(OCC(N)=O)c(OC)c2)CC1 ZINC000732215510 1073422399 /nfs/dbraw/zinc/42/23/99/1073422399.db2.gz FRICIKBDYNLCJD-UHFFFAOYSA-N 0 0 430.483 -0.332 20 0 IBADRN Cc1n[nH]cc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000732215959 1073422438 /nfs/dbraw/zinc/42/24/38/1073422438.db2.gz IQRXJUOMRHPGFL-UHFFFAOYSA-N 0 0 449.536 -0.939 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000732216695 1073422866 /nfs/dbraw/zinc/42/28/66/1073422866.db2.gz SMQBJXZQZUNQTK-UHFFFAOYSA-N 0 0 426.455 -0.254 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000732216734 1073422853 /nfs/dbraw/zinc/42/28/53/1073422853.db2.gz VJQPCIRUVHKCFP-UHFFFAOYSA-N 0 0 447.495 -0.195 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1Cl ZINC000732220766 1073422887 /nfs/dbraw/zinc/42/28/87/1073422887.db2.gz FLLWCEZWLKBZRO-GFCCVEGCSA-N 0 0 437.927 -0.241 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1Cl ZINC000732220767 1073422842 /nfs/dbraw/zinc/42/28/42/1073422842.db2.gz FLLWCEZWLKBZRO-LBPRGKRZSA-N 0 0 437.927 -0.241 20 0 IBADRN Cc1n[nH]cc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000732222304 1073422953 /nfs/dbraw/zinc/42/29/53/1073422953.db2.gz OPRGOOZXCWUNOI-UHFFFAOYSA-N 0 0 441.491 -0.256 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC000732228575 1073423041 /nfs/dbraw/zinc/42/30/41/1073423041.db2.gz WAPMCTIZFWRXBW-AWEZNQCLSA-N 0 0 442.560 -0.917 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC000732228576 1073422803 /nfs/dbraw/zinc/42/28/03/1073422803.db2.gz WAPMCTIZFWRXBW-CQSZACIVSA-N 0 0 442.560 -0.917 20 0 IBADRN CN(c1ccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000732244185 1073422826 /nfs/dbraw/zinc/42/28/26/1073422826.db2.gz DINOPNZSRNEMQY-UHFFFAOYSA-N 0 0 434.540 -0.456 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000732245018 1073423017 /nfs/dbraw/zinc/42/30/17/1073423017.db2.gz PXZYASMYTWDCQJ-UHFFFAOYSA-N 0 0 447.558 -0.211 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N(C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000732265450 1073423750 /nfs/dbraw/zinc/42/37/50/1073423750.db2.gz ZERLRULGXLYPSR-UHFFFAOYSA-N 0 0 433.509 -0.047 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC000732272765 1073422918 /nfs/dbraw/zinc/42/29/18/1073422918.db2.gz AKIQNWZAOSBISQ-AWEZNQCLSA-N 0 0 429.520 -0.490 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000732272780 1073423008 /nfs/dbraw/zinc/42/30/08/1073423008.db2.gz BFNKRUFKCNJXSV-UHFFFAOYSA-N 0 0 435.506 -0.688 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)CN3CC(=O)NC3=O)C2)CC1 ZINC000732274942 1073422946 /nfs/dbraw/zinc/42/29/46/1073422946.db2.gz DVCUSJTXTGTMHE-AWEZNQCLSA-N 0 0 437.497 -0.144 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)CN3CC(=O)NC3=O)C2)CC1 ZINC000732274953 1073422934 /nfs/dbraw/zinc/42/29/34/1073422934.db2.gz DVCUSJTXTGTMHE-CQSZACIVSA-N 0 0 437.497 -0.144 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000732274978 1073423034 /nfs/dbraw/zinc/42/30/34/1073423034.db2.gz FGFRHKFSQOIGDY-UHFFFAOYSA-N 0 0 441.529 -0.443 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000732275332 1073423824 /nfs/dbraw/zinc/42/38/24/1073423824.db2.gz JDIABDAAFSDCNP-UHFFFAOYSA-N 0 0 427.502 -0.786 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)CN2CCC(=O)NC2=O)C1 ZINC000732281067 1073423869 /nfs/dbraw/zinc/42/38/69/1073423869.db2.gz YZGRZJOKFRFOBU-CYBMUJFWSA-N 0 0 425.486 -0.192 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)CN2CCC(=O)NC2=O)C1 ZINC000732281068 1073423697 /nfs/dbraw/zinc/42/36/97/1073423697.db2.gz YZGRZJOKFRFOBU-ZDUSSCGKSA-N 0 0 425.486 -0.192 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC000732285968 1073423879 /nfs/dbraw/zinc/42/38/79/1073423879.db2.gz LTUHIVROJQOEIS-IKGGRYGDSA-N 0 0 432.477 -0.388 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC000732285970 1073423902 /nfs/dbraw/zinc/42/39/02/1073423902.db2.gz LTUHIVROJQOEIS-ZACQAIPSSA-N 0 0 432.477 -0.388 20 0 IBADRN O=C([C@H](O)c1cccnc1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000732291127 1073423529 /nfs/dbraw/zinc/42/35/29/1073423529.db2.gz QJZHZWDCWADJBN-GOSISDBHSA-N 0 0 432.458 -0.021 20 0 IBADRN O=C([C@@H](O)c1cccnc1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000732291128 1073423589 /nfs/dbraw/zinc/42/35/89/1073423589.db2.gz QJZHZWDCWADJBN-SFHVURJKSA-N 0 0 432.458 -0.021 20 0 IBADRN Cn1cc(N2CC[C@H](OC(=O)/C=C/C(=O)O[C@H]3CCN(c4cnn(C)c4)C3=O)C2=O)cn1 ZINC000732316656 1073424294 /nfs/dbraw/zinc/42/42/94/1073424294.db2.gz XKROZXXCIYMCDB-PIGHNIFASA-N 0 0 442.432 -0.293 20 0 IBADRN Cn1cc(N2CC[C@@H](OC(=O)/C=C/C(=O)O[C@H]3CCN(c4cnn(C)c4)C3=O)C2=O)cn1 ZINC000732316658 1073424630 /nfs/dbraw/zinc/42/46/30/1073424630.db2.gz XKROZXXCIYMCDB-ZOSBBBERSA-N 0 0 442.432 -0.293 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)O[C@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000732318153 1073424238 /nfs/dbraw/zinc/42/42/38/1073424238.db2.gz GMDCMADGASDBCA-INIZCTEOSA-N 0 0 449.489 -0.251 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)O[C@@H]2CCN(c3cnn(C)c3)C2=O)c1 ZINC000732318175 1073424500 /nfs/dbraw/zinc/42/45/00/1073424500.db2.gz GMDCMADGASDBCA-MRXNPFEDSA-N 0 0 449.489 -0.251 20 0 IBADRN Cn1cc(N2CC[C@H](OC(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)C2=O)cn1 ZINC000732320293 1073423892 /nfs/dbraw/zinc/42/38/92/1073423892.db2.gz ILUJAOZCVFNIOG-INIZCTEOSA-N 0 0 437.478 -0.258 20 0 IBADRN Cn1cc(N2CC[C@@H](OC(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)C2=O)cn1 ZINC000732320294 1073423620 /nfs/dbraw/zinc/42/36/20/1073423620.db2.gz ILUJAOZCVFNIOG-MRXNPFEDSA-N 0 0 437.478 -0.258 20 0 IBADRN Cn1c2ncn(CCC(=O)OCc3ccc(NS(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000732320854 1073423649 /nfs/dbraw/zinc/42/36/49/1073423649.db2.gz GXEJSDJOQUOLJJ-UHFFFAOYSA-N 0 0 435.462 -0.061 20 0 IBADRN Cn1cc(N2CC[C@H](OC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2=O)cn1 ZINC000732323572 1073423601 /nfs/dbraw/zinc/42/36/01/1073423601.db2.gz OJYZLWOIAZEGMM-INIZCTEOSA-N 0 0 447.473 -0.497 20 0 IBADRN Cn1cc(N2CC[C@@H](OC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2=O)cn1 ZINC000732323574 1073423491 /nfs/dbraw/zinc/42/34/91/1073423491.db2.gz OJYZLWOIAZEGMM-MRXNPFEDSA-N 0 0 447.473 -0.497 20 0 IBADRN Cn1cc(N2CC[C@H](OC(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2=O)cn1 ZINC000732324357 1073423674 /nfs/dbraw/zinc/42/36/74/1073423674.db2.gz YANYDDQNLLZDJE-INIZCTEOSA-N 0 0 447.473 -0.497 20 0 IBADRN Cn1cc(N2CC[C@@H](OC(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2=O)cn1 ZINC000732324361 1073423908 /nfs/dbraw/zinc/42/39/08/1073423908.db2.gz YANYDDQNLLZDJE-MRXNPFEDSA-N 0 0 447.473 -0.497 20 0 IBADRN Cc1nn(CCO)c2ncc(C(=O)OCCn3cnc4c3c(=O)n(C)c(=O)n4C)cc12 ZINC000732333929 1073424600 /nfs/dbraw/zinc/42/46/00/1073424600.db2.gz SBMUUJZYWWIBNY-UHFFFAOYSA-N 0 0 427.421 -0.664 20 0 IBADRN Cc1nn(CCO)c2ncc(C(=O)OCCCn3c(=O)c4c(ncn4C)n(C)c3=O)cc12 ZINC000732333996 1073424179 /nfs/dbraw/zinc/42/41/79/1073424179.db2.gz ATXXCELHXNYDLT-UHFFFAOYSA-N 0 0 441.448 -0.274 20 0 IBADRN Cc1nn(CCO)c2ncc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc12 ZINC000732334707 1073424572 /nfs/dbraw/zinc/42/45/72/1073424572.db2.gz DPQRYILXVHAOSG-UHFFFAOYSA-N 0 0 427.483 -0.713 20 0 IBADRN Cc1nn(CCO)c2ncc(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)cc12 ZINC000732335548 1073424557 /nfs/dbraw/zinc/42/45/57/1073424557.db2.gz TZOWDANILZZSEB-UHFFFAOYSA-N 0 0 432.481 -0.879 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccc3c(c2)C(=O)NC3=O)CC1 ZINC000732339263 1073424449 /nfs/dbraw/zinc/42/44/49/1073424449.db2.gz LJYVXAIXEIKAAC-UHFFFAOYSA-N 0 0 449.427 -0.248 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)C(=O)NC2=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732340087 1073424589 /nfs/dbraw/zinc/42/45/89/1073424589.db2.gz XRGTVQXNKLBFDH-CYBMUJFWSA-N 0 0 435.458 -0.942 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)C(=O)NC2=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000732340088 1073424325 /nfs/dbraw/zinc/42/43/25/1073424325.db2.gz XRGTVQXNKLBFDH-ZDUSSCGKSA-N 0 0 435.458 -0.942 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1ccc2c(c1)C(=O)NC2=O)S(C)(=O)=O ZINC000732340578 1073424516 /nfs/dbraw/zinc/42/45/16/1073424516.db2.gz IOKFQXSXXBJDKB-UHFFFAOYSA-N 0 0 425.463 -0.097 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)c1ccc2c(c1)C(=O)NC2=O ZINC000732340582 1073424370 /nfs/dbraw/zinc/42/43/70/1073424370.db2.gz IVIPAHVMFZOGPH-UHFFFAOYSA-N 0 0 429.410 -0.036 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cc1csc(-c3nc[nH]n3)n1)c(=O)n2C ZINC000732341778 1073428061 /nfs/dbraw/zinc/42/80/61/1073428061.db2.gz HXBTWKMMCPALRX-UHFFFAOYSA-N 0 0 430.450 -0.149 20 0 IBADRN C[C@H](OC(=O)C1CCC2(CC1)NC(=O)NC2=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000732344843 1073424286 /nfs/dbraw/zinc/42/42/86/1073424286.db2.gz CGRYCKYURKRVCP-LTQQYIGLSA-N 0 0 430.465 -0.175 20 0 IBADRN C[C@@H](OC(=O)C1CCC2(CC1)NC(=O)NC2=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000732344844 1073424200 /nfs/dbraw/zinc/42/42/00/1073424200.db2.gz CGRYCKYURKRVCP-WCGZIJFHSA-N 0 0 430.465 -0.175 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)C1CCC3(CC1)NC(=O)NC3=O)c(=O)n2C ZINC000732345594 1073424416 /nfs/dbraw/zinc/42/44/16/1073424416.db2.gz IYTKELBOWGDWMG-UHFFFAOYSA-N 0 0 432.437 -0.865 20 0 IBADRN CC(C)CN(C(=O)COC(=O)C1CCC2(CC1)NC(=O)NC2=O)[C@H]1CCS(=O)(=O)C1 ZINC000732346644 1073425261 /nfs/dbraw/zinc/42/52/61/1073425261.db2.gz OFFQAFRUEBCLGO-BIWSTMPVSA-N 0 0 443.522 -0.030 20 0 IBADRN CC(C)CN(C(=O)COC(=O)C1CCC2(CC1)NC(=O)NC2=O)[C@@H]1CCS(=O)(=O)C1 ZINC000732346645 1073425172 /nfs/dbraw/zinc/42/51/72/1073425172.db2.gz OFFQAFRUEBCLGO-SHYRYGCCSA-N 0 0 443.522 -0.030 20 0 IBADRN COc1cccc([C@@H](O)C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000732346929 1073424614 /nfs/dbraw/zinc/42/46/14/1073424614.db2.gz XNHBCCILHCEPMO-CRAIPNDOSA-N 0 0 426.491 -0.397 20 0 IBADRN COc1cccc([C@@H](O)C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000732346930 1073425242 /nfs/dbraw/zinc/42/52/42/1073425242.db2.gz XNHBCCILHCEPMO-MAUKXSAKSA-N 0 0 426.491 -0.397 20 0 IBADRN COc1cccc([C@H](O)C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000732346931 1073425275 /nfs/dbraw/zinc/42/52/75/1073425275.db2.gz XNHBCCILHCEPMO-QAPCUYQASA-N 0 0 426.491 -0.397 20 0 IBADRN COc1cccc([C@H](O)C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000732346932 1073425289 /nfs/dbraw/zinc/42/52/89/1073425289.db2.gz XNHBCCILHCEPMO-YJBOKZPZSA-N 0 0 426.491 -0.397 20 0 IBADRN O=C(COC(=O)C1CCC2(CC1)NC(=O)NC2=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000732347598 1073425296 /nfs/dbraw/zinc/42/52/96/1073425296.db2.gz WHMPLZRGQMABRO-UHFFFAOYSA-N 0 0 432.433 -0.124 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)C1CCC2(CC1)NC(=O)NC2=O)S(C)(=O)=O ZINC000732350869 1073425338 /nfs/dbraw/zinc/42/53/38/1073425338.db2.gz WJIKTMJKDVERQA-UHFFFAOYSA-N 0 0 446.526 -0.526 20 0 IBADRN CCCNC(=O)CCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000732352398 1073425266 /nfs/dbraw/zinc/42/52/66/1073425266.db2.gz HCNJIORBABLUMH-UHFFFAOYSA-N 0 0 444.510 -0.026 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)CN2CC(=O)NC2=O)CC1)Nc1ccc(F)cc1 ZINC000732355165 1073425224 /nfs/dbraw/zinc/42/52/24/1073425224.db2.gz FKALQGZMRRBGDS-UHFFFAOYSA-N 0 0 435.412 -0.997 20 0 IBADRN COc1cc(C)c(CN2CCN(C(=O)COC(=O)CN3CC(=O)NC3=O)CC2)cc1OC ZINC000732355259 1073425304 /nfs/dbraw/zinc/42/53/04/1073425304.db2.gz JDHQPKHUWBYOEX-UHFFFAOYSA-N 0 0 448.476 -0.249 20 0 IBADRN O=C(COC(=O)CN1CC(=O)NC1=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000732355472 1073425188 /nfs/dbraw/zinc/42/51/88/1073425188.db2.gz NWOOODDVDXWAOM-UHFFFAOYSA-N 0 0 438.462 -0.105 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)COC(=O)CN1CC(=O)NC1=O ZINC000732355664 1073425279 /nfs/dbraw/zinc/42/52/79/1073425279.db2.gz RTUASDNHLKTTDM-UHFFFAOYSA-N 0 0 438.462 -0.689 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)COC(=O)CN2CC(=O)NC2=O)CC1 ZINC000732355838 1073425210 /nfs/dbraw/zinc/42/52/10/1073425210.db2.gz CLRUGCBOKVHCAL-UHFFFAOYSA-N 0 0 445.476 -0.519 20 0 IBADRN O=C(CN1CC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000732356248 1073425965 /nfs/dbraw/zinc/42/59/65/1073425965.db2.gz YPYYLMCCROOFLV-LLVKDONJSA-N 0 0 429.476 -0.641 20 0 IBADRN O=C(CN1CC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000732356250 1073425919 /nfs/dbraw/zinc/42/59/19/1073425919.db2.gz YPYYLMCCROOFLV-NSHDSACASA-N 0 0 429.476 -0.641 20 0 IBADRN O=C(CCc1ccccc1)NC1CCN(C(=O)COC(=O)CN2CC(=O)NC2=O)CC1 ZINC000732356422 1073425323 /nfs/dbraw/zinc/42/53/23/1073425323.db2.gz DQLDKZQHIBGGQQ-UHFFFAOYSA-N 0 0 430.461 -0.179 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)COC(=O)CN2CC(=O)NC2=O)CC1 ZINC000732356780 1073425286 /nfs/dbraw/zinc/42/52/86/1073425286.db2.gz KJIQEWVMIPYSTC-UHFFFAOYSA-N 0 0 445.476 -0.573 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)OC(=O)CN1CC(=O)NC1=O ZINC000732356815 1073425151 /nfs/dbraw/zinc/42/51/51/1073425151.db2.gz LLCRNQDSNVFXAC-JTQLQIEISA-N 0 0 442.450 -0.633 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)OC(=O)CN1CC(=O)NC1=O ZINC000732356816 1073425332 /nfs/dbraw/zinc/42/53/32/1073425332.db2.gz LLCRNQDSNVFXAC-SNVBAGLBSA-N 0 0 442.450 -0.633 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CC(=O)NC2=O)C1=O ZINC000732357159 1073425250 /nfs/dbraw/zinc/42/52/50/1073425250.db2.gz MOEKLFOBPMGDKM-IBGZPJMESA-N 0 0 431.405 -0.944 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CC(=O)NC2=O)C1=O ZINC000732357161 1073425301 /nfs/dbraw/zinc/42/53/01/1073425301.db2.gz MOEKLFOBPMGDKM-LJQANCHMSA-N 0 0 431.405 -0.944 20 0 IBADRN COC(=O)c1sc(NC(=O)COC(=O)CN2CC(=O)NC2=O)c(C(=O)OC)c1C ZINC000732357188 1073425326 /nfs/dbraw/zinc/42/53/26/1073425326.db2.gz QJXQFHOXIFATKD-UHFFFAOYSA-N 0 0 427.391 -0.337 20 0 IBADRN O=C(COC(=O)CN1CC(=O)NC1=O)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000732357208 1073425789 /nfs/dbraw/zinc/42/57/89/1073425789.db2.gz NEODPRKONAZOAJ-SDQBBNPISA-N 0 0 447.429 -0.671 20 0 IBADRN O=C(COC(=O)CN1CC(=O)NC1=O)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000732357210 1073426045 /nfs/dbraw/zinc/42/60/45/1073426045.db2.gz NEODPRKONAZOAJ-WUXMJOGZSA-N 0 0 447.429 -0.671 20 0 IBADRN O=C(CS(=O)(=O)Nc1ccc(N2CCCCC2)cc1)OCC(=O)N1CCNC(=O)C1 ZINC000732358987 1073426037 /nfs/dbraw/zinc/42/60/37/1073426037.db2.gz YEYYBNUUZPYSTR-UHFFFAOYSA-N 0 0 438.506 -0.080 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)[C@@H]3CNC(=O)N3)cc2)C[C@@H](C)O1 ZINC000732359211 1073425952 /nfs/dbraw/zinc/42/59/52/1073425952.db2.gz AQWWJONBKFPYOU-JMSVASOKSA-N 0 0 440.478 -0.352 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)[C@H]3CNC(=O)N3)cc2)C[C@@H](C)O1 ZINC000732359212 1073425759 /nfs/dbraw/zinc/42/57/59/1073425759.db2.gz AQWWJONBKFPYOU-LALPHHSUSA-N 0 0 440.478 -0.352 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)[C@H]3CNC(=O)N3)cc2)C[C@H](C)O1 ZINC000732359213 1073425987 /nfs/dbraw/zinc/42/59/87/1073425987.db2.gz AQWWJONBKFPYOU-TYNCELHUSA-N 0 0 440.478 -0.352 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)[C@@H]3CNC(=O)N3)cc2)C[C@H](C)O1 ZINC000732359214 1073425995 /nfs/dbraw/zinc/42/59/95/1073425995.db2.gz AQWWJONBKFPYOU-ZOWXZIJZSA-N 0 0 440.478 -0.352 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000732359263 1073425818 /nfs/dbraw/zinc/42/58/18/1073425818.db2.gz BLQFSKUWOAMDAJ-CYBMUJFWSA-N 0 0 448.860 -0.463 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000732359264 1073425809 /nfs/dbraw/zinc/42/58/09/1073425809.db2.gz BLQFSKUWOAMDAJ-ZDUSSCGKSA-N 0 0 448.860 -0.463 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CNC(=O)N1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000732359745 1073426022 /nfs/dbraw/zinc/42/60/22/1073426022.db2.gz AHFBHGOUFVDAMS-BXUZGUMPSA-N 0 0 426.451 -0.741 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CNC(=O)N1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000732359760 1073425873 /nfs/dbraw/zinc/42/58/73/1073425873.db2.gz AHFBHGOUFVDAMS-FZMZJTMJSA-N 0 0 426.451 -0.741 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CNC(=O)N1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000732359763 1073426016 /nfs/dbraw/zinc/42/60/16/1073426016.db2.gz AHFBHGOUFVDAMS-RISCZKNCSA-N 0 0 426.451 -0.741 20 0 IBADRN C[C@H](OC(=O)[C@H]1CNC(=O)N1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000732359766 1073425845 /nfs/dbraw/zinc/42/58/45/1073425845.db2.gz AHFBHGOUFVDAMS-SMDDNHRTSA-N 0 0 426.451 -0.741 20 0 IBADRN CCn1c(COC(=O)[C@@H]2CNC(=O)N2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000732360123 1073426005 /nfs/dbraw/zinc/42/60/05/1073426005.db2.gz DVIMSIPXIFKTDN-AWEZNQCLSA-N 0 0 437.478 -0.198 20 0 IBADRN CCn1c(COC(=O)[C@H]2CNC(=O)N2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000732360128 1073425830 /nfs/dbraw/zinc/42/58/30/1073425830.db2.gz DVIMSIPXIFKTDN-CQSZACIVSA-N 0 0 437.478 -0.198 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000732360461 1073425891 /nfs/dbraw/zinc/42/58/91/1073425891.db2.gz GERFDUPTPYILPX-HNNXBMFYSA-N 0 0 436.490 -0.025 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000732360462 1073425978 /nfs/dbraw/zinc/42/59/78/1073425978.db2.gz GERFDUPTPYILPX-OAHLLOKOSA-N 0 0 436.490 -0.025 20 0 IBADRN CCCN(C(=O)COC(=O)[C@H]1CNC(=O)N1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000732360520 1073426658 /nfs/dbraw/zinc/42/66/58/1073426658.db2.gz LKLZFLFRUUJQHW-CYBMUJFWSA-N 0 0 444.448 -0.453 20 0 IBADRN CCCN(C(=O)COC(=O)[C@@H]1CNC(=O)N1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000732360521 1073426672 /nfs/dbraw/zinc/42/66/72/1073426672.db2.gz LKLZFLFRUUJQHW-ZDUSSCGKSA-N 0 0 444.448 -0.453 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000732360530 1073426548 /nfs/dbraw/zinc/42/65/48/1073426548.db2.gz LSPZFOWKNPSVPL-GFCCVEGCSA-N 0 0 446.869 -0.476 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000732360531 1073426634 /nfs/dbraw/zinc/42/66/34/1073426634.db2.gz LSPZFOWKNPSVPL-LBPRGKRZSA-N 0 0 446.869 -0.476 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000732361301 1073426598 /nfs/dbraw/zinc/42/65/98/1073426598.db2.gz KNNSQNMJKQQBCR-SECBINFHSA-N 0 0 436.899 -0.541 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000732361303 1073426616 /nfs/dbraw/zinc/42/66/16/1073426616.db2.gz KNNSQNMJKQQBCR-VIFPVBQESA-N 0 0 436.899 -0.541 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc3ccccc3c2)CC1 ZINC000732361309 1073426685 /nfs/dbraw/zinc/42/66/85/1073426685.db2.gz KRRUCIPVPRNDEP-KRWDZBQOSA-N 0 0 446.485 -0.103 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc3ccccc3c2)CC1 ZINC000732361311 1073426611 /nfs/dbraw/zinc/42/66/11/1073426611.db2.gz KRRUCIPVPRNDEP-QGZVFWFLSA-N 0 0 446.485 -0.103 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000732361549 1073426606 /nfs/dbraw/zinc/42/66/06/1073426606.db2.gz LMKDTRCAVISQHD-INIZCTEOSA-N 0 0 436.490 -0.767 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000732361551 1073426631 /nfs/dbraw/zinc/42/66/31/1073426631.db2.gz LMKDTRCAVISQHD-MRXNPFEDSA-N 0 0 436.490 -0.767 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)COC(=O)[C@H]2CNC(=O)N2)c1 ZINC000732361575 1073426581 /nfs/dbraw/zinc/42/65/81/1073426581.db2.gz LRTRIFWPOGRRBO-CYBMUJFWSA-N 0 0 428.467 -0.111 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)COC(=O)[C@@H]2CNC(=O)N2)c1 ZINC000732361577 1073426679 /nfs/dbraw/zinc/42/66/79/1073426679.db2.gz LRTRIFWPOGRRBO-ZDUSSCGKSA-N 0 0 428.467 -0.111 20 0 IBADRN CCCCn1c(N)c(N(C(=O)COC(=O)[C@H]2CNC(=O)N2)C2CCCC2)c(=O)[nH]c1=O ZINC000732361848 1073426667 /nfs/dbraw/zinc/42/66/67/1073426667.db2.gz HAYNKBQFXDQHMC-GFCCVEGCSA-N 0 0 436.469 -0.169 20 0 IBADRN CCCCn1c(N)c(N(C(=O)COC(=O)[C@@H]2CNC(=O)N2)C2CCCC2)c(=O)[nH]c1=O ZINC000732361849 1073426621 /nfs/dbraw/zinc/42/66/21/1073426621.db2.gz HAYNKBQFXDQHMC-LBPRGKRZSA-N 0 0 436.469 -0.169 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000732362190 1073426574 /nfs/dbraw/zinc/42/65/74/1073426574.db2.gz IEMTZKNLXYZLHE-GFCCVEGCSA-N 0 0 432.405 -0.978 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000732362191 1073426560 /nfs/dbraw/zinc/42/65/60/1073426560.db2.gz IEMTZKNLXYZLHE-LBPRGKRZSA-N 0 0 432.405 -0.978 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)N1CCCc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000732362620 1073427512 /nfs/dbraw/zinc/42/75/12/1073427512.db2.gz KOFHECVPXOJKSS-HNNXBMFYSA-N 0 0 436.490 -0.025 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)N1CCCc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC000732362621 1073427471 /nfs/dbraw/zinc/42/74/71/1073427471.db2.gz KOFHECVPXOJKSS-OAHLLOKOSA-N 0 0 436.490 -0.025 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@H]2CNC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC000732362850 1073427547 /nfs/dbraw/zinc/42/75/47/1073427547.db2.gz QWVIYDJIWQFSFK-CYBMUJFWSA-N 0 0 426.451 -0.821 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@@H]2CNC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC000732362858 1073427565 /nfs/dbraw/zinc/42/75/65/1073427565.db2.gz QWVIYDJIWQFSFK-ZDUSSCGKSA-N 0 0 426.451 -0.821 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)OC(=O)[C@H]2CNC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC000732363123 1073426543 /nfs/dbraw/zinc/42/65/43/1073426543.db2.gz RYFOKWJFPWORSO-GXTWGEPZSA-N 0 0 440.478 -0.432 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)OC(=O)[C@@H]2CNC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC000732363126 1073426627 /nfs/dbraw/zinc/42/66/27/1073426627.db2.gz RYFOKWJFPWORSO-JSGCOSHPSA-N 0 0 440.478 -0.432 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)OC(=O)[C@@H]2CNC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC000732363129 1073426650 /nfs/dbraw/zinc/42/66/50/1073426650.db2.gz RYFOKWJFPWORSO-OCCSQVGLSA-N 0 0 440.478 -0.432 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)OC(=O)[C@H]2CNC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC000732363132 1073426636 /nfs/dbraw/zinc/42/66/36/1073426636.db2.gz RYFOKWJFPWORSO-TZMCWYRMSA-N 0 0 440.478 -0.432 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000732363138 1073427502 /nfs/dbraw/zinc/42/75/02/1073427502.db2.gz XPNPQUGVOLNUAP-CYBMUJFWSA-N 0 0 446.869 -0.476 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000732363143 1073427398 /nfs/dbraw/zinc/42/73/98/1073427398.db2.gz XPNPQUGVOLNUAP-ZDUSSCGKSA-N 0 0 446.869 -0.476 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CNC(=O)N1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000732363144 1073427515 /nfs/dbraw/zinc/42/75/15/1073427515.db2.gz SEELINFWYCNKQZ-BXUZGUMPSA-N 0 0 426.451 -0.741 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CNC(=O)N1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000732363148 1073427431 /nfs/dbraw/zinc/42/74/31/1073427431.db2.gz SEELINFWYCNKQZ-FZMZJTMJSA-N 0 0 426.451 -0.741 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CNC(=O)N1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000732363151 1073427423 /nfs/dbraw/zinc/42/74/23/1073427423.db2.gz SEELINFWYCNKQZ-RISCZKNCSA-N 0 0 426.451 -0.741 20 0 IBADRN C[C@H](OC(=O)[C@H]1CNC(=O)N1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000732363152 1073427556 /nfs/dbraw/zinc/42/75/56/1073427556.db2.gz SEELINFWYCNKQZ-SMDDNHRTSA-N 0 0 426.451 -0.741 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3CNC(=O)N3)CC2)cc1 ZINC000732363366 1073427539 /nfs/dbraw/zinc/42/75/39/1073427539.db2.gz XZCBHWZVHGCNIF-HNNXBMFYSA-N 0 0 440.478 -0.857 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3CNC(=O)N3)CC2)cc1 ZINC000732363368 1073427366 /nfs/dbraw/zinc/42/73/66/1073427366.db2.gz XZCBHWZVHGCNIF-OAHLLOKOSA-N 0 0 440.478 -0.857 20 0 IBADRN O=C(COC(=O)[C@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000732363449 1073427405 /nfs/dbraw/zinc/42/74/05/1073427405.db2.gz SICWLFNSZDFEIC-CYBMUJFWSA-N 0 0 430.870 -0.603 20 0 IBADRN O=C(COC(=O)[C@@H]1CNC(=O)N1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000732363452 1073427413 /nfs/dbraw/zinc/42/74/13/1073427413.db2.gz SICWLFNSZDFEIC-ZDUSSCGKSA-N 0 0 430.870 -0.603 20 0 IBADRN CCN(C(=O)COC(=O)[C@H]1CNC(=O)N1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000732365081 1073427454 /nfs/dbraw/zinc/42/74/54/1073427454.db2.gz WYYLUDZIGCIVIL-GFCCVEGCSA-N 0 0 430.421 -0.843 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H]1CNC(=O)N1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000732365083 1073427481 /nfs/dbraw/zinc/42/74/81/1073427481.db2.gz WYYLUDZIGCIVIL-LBPRGKRZSA-N 0 0 430.421 -0.843 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000732366623 1073427525 /nfs/dbraw/zinc/42/75/25/1073427525.db2.gz HNHLWWQDMKLFKI-UHFFFAOYSA-N 0 0 430.464 -0.982 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000732367059 1073427354 /nfs/dbraw/zinc/42/73/54/1073427354.db2.gz NUZHGEQURTULFH-UHFFFAOYSA-N 0 0 441.491 -0.398 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2sccc2S(=O)(=O)N(C)C)CC1 ZINC000732367703 1073427491 /nfs/dbraw/zinc/42/74/91/1073427491.db2.gz XPNDVHYIBNXJHD-UHFFFAOYSA-N 0 0 446.551 -0.612 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000732368166 1073427440 /nfs/dbraw/zinc/42/74/40/1073427440.db2.gz QFUSHGGQWHKTTK-UHFFFAOYSA-N 0 0 441.553 -0.447 20 0 IBADRN CCn1nc(C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)ccc1=O ZINC000732370052 1073427945 /nfs/dbraw/zinc/42/79/45/1073427945.db2.gz DMTJUVKQWSWUOL-UHFFFAOYSA-N 0 0 439.428 -0.206 20 0 IBADRN CCn1nc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)ccc1=O ZINC000732371253 1073427965 /nfs/dbraw/zinc/42/79/65/1073427965.db2.gz WEKDQJVSRWPJOU-UHFFFAOYSA-N 0 0 426.437 -0.555 20 0 IBADRN CCn1nc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)ccc1=O ZINC000732371387 1073428059 /nfs/dbraw/zinc/42/80/59/1073428059.db2.gz FVDPNMRTISKXDZ-KBPBESRZSA-N 0 0 427.479 -0.385 20 0 IBADRN CCn1nc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)ccc1=O ZINC000732371388 1073427986 /nfs/dbraw/zinc/42/79/86/1073427986.db2.gz FVDPNMRTISKXDZ-KGLIPLIRSA-N 0 0 427.479 -0.385 20 0 IBADRN CCn1nc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)ccc1=O ZINC000732371390 1073428085 /nfs/dbraw/zinc/42/80/85/1073428085.db2.gz FVDPNMRTISKXDZ-UONOGXRCSA-N 0 0 427.479 -0.385 20 0 IBADRN CCn1nc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)ccc1=O ZINC000732371391 1073427995 /nfs/dbraw/zinc/42/79/95/1073427995.db2.gz FVDPNMRTISKXDZ-ZIAGYGMSSA-N 0 0 427.479 -0.385 20 0 IBADRN CCn1nc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)ccc1=O ZINC000732372696 1073427975 /nfs/dbraw/zinc/42/79/75/1073427975.db2.gz QGVUTBRVWFMQSU-UHFFFAOYSA-N 0 0 434.474 -0.047 20 0 IBADRN CCn1nc(C(=O)OCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)ccc1=O ZINC000732374158 1073428075 /nfs/dbraw/zinc/42/80/75/1073428075.db2.gz HPMZRGOOJKFDEU-FQEVSTJZSA-N 0 0 443.416 -0.073 20 0 IBADRN CCn1nc(C(=O)OCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)ccc1=O ZINC000732374159 1073427935 /nfs/dbraw/zinc/42/79/35/1073427935.db2.gz HPMZRGOOJKFDEU-HXUWFJFHSA-N 0 0 443.416 -0.073 20 0 IBADRN O=C(CN1C(=O)CCc2ccccc21)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000732374237 1073428095 /nfs/dbraw/zinc/42/80/95/1073428095.db2.gz KPGRQGVVLWWPCN-KRWDZBQOSA-N 0 0 449.529 -0.160 20 0 IBADRN O=C(CN1C(=O)CCc2ccccc21)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732374238 1073428039 /nfs/dbraw/zinc/42/80/39/1073428039.db2.gz KPGRQGVVLWWPCN-QGZVFWFLSA-N 0 0 449.529 -0.160 20 0 IBADRN CNC(=O)c1cncc(/C=C/C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000732406021 1073428005 /nfs/dbraw/zinc/42/80/05/1073428005.db2.gz APCGFAIEBHAURJ-AATRIKPKSA-N 0 0 426.433 -0.165 20 0 IBADRN CNC(=O)c1cncc(/C=C\C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000732406026 1073428078 /nfs/dbraw/zinc/42/80/78/1073428078.db2.gz APCGFAIEBHAURJ-WAYWQWQTSA-N 0 0 426.433 -0.165 20 0 IBADRN CNC(=O)c1cncc(C=CC(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c1 ZINC000732408940 1073428090 /nfs/dbraw/zinc/42/80/90/1073428090.db2.gz SBFWQYMPCVXOFN-AATRIKPKSA-N 0 0 443.460 -0.021 20 0 IBADRN CNC(=O)c1cncc(C=CC(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c1 ZINC000732408941 1073428047 /nfs/dbraw/zinc/42/80/47/1073428047.db2.gz SBFWQYMPCVXOFN-WAYWQWQTSA-N 0 0 443.460 -0.021 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000732448295 1073428017 /nfs/dbraw/zinc/42/80/17/1073428017.db2.gz FYFBIIPLRHUWKD-UHFFFAOYSA-N 0 0 427.502 -0.434 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000732457877 1073427931 /nfs/dbraw/zinc/42/79/31/1073427931.db2.gz BGOAMLOLBGWTEX-UHFFFAOYSA-N 0 0 431.511 -0.130 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC000732457911 1073427911 /nfs/dbraw/zinc/42/79/11/1073427911.db2.gz BYPYTBAWMSURBK-IBGZPJMESA-N 0 0 440.566 -0.109 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC000732457915 1073427958 /nfs/dbraw/zinc/42/79/58/1073427958.db2.gz BYPYTBAWMSURBK-LJQANCHMSA-N 0 0 440.566 -0.109 20 0 IBADRN COc1ccc(CN(CCO)C(=O)NCCS(=O)(=O)N2CCOCC2)c(OC)c1 ZINC000732459347 1073428632 /nfs/dbraw/zinc/42/86/32/1073428632.db2.gz UIGVMIJWVGATKJ-UHFFFAOYSA-N 0 0 431.511 -0.130 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NC[C@@H]3C[N@H+](C)CCN3C)ncnc1-2 ZINC000732462031 1073428460 /nfs/dbraw/zinc/42/84/60/1073428460.db2.gz DJVLQLWGYKEJLG-LLVKDONJSA-N 0 0 440.350 -0.544 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NC[C@H]3C[N@H+](C)CCN3C)ncnc1-2 ZINC000732462032 1073428719 /nfs/dbraw/zinc/42/87/19/1073428719.db2.gz DJVLQLWGYKEJLG-NSHDSACASA-N 0 0 440.350 -0.544 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)N(C)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000732482920 1073428767 /nfs/dbraw/zinc/42/87/67/1073428767.db2.gz PJNVUVQCEQGEBW-LLVKDONJSA-N 0 0 448.480 -0.058 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)N(C)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000732482921 1073428788 /nfs/dbraw/zinc/42/87/88/1073428788.db2.gz PJNVUVQCEQGEBW-NSHDSACASA-N 0 0 448.480 -0.058 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1 ZINC000732484969 1073428835 /nfs/dbraw/zinc/42/88/35/1073428835.db2.gz UFOBZXKDCAPKIC-INIZCTEOSA-N 0 0 445.520 -0.095 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1 ZINC000732484971 1073428810 /nfs/dbraw/zinc/42/88/10/1073428810.db2.gz UFOBZXKDCAPKIC-MRXNPFEDSA-N 0 0 445.520 -0.095 20 0 IBADRN COc1cccc([C@@H](O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000732485716 1073428615 /nfs/dbraw/zinc/42/86/15/1073428615.db2.gz WFQAFIHHJLMNLF-HNNXBMFYSA-N 0 0 427.417 -0.571 20 0 IBADRN COc1cccc([C@H](O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1 ZINC000732485717 1073428512 /nfs/dbraw/zinc/42/85/12/1073428512.db2.gz WFQAFIHHJLMNLF-OAHLLOKOSA-N 0 0 427.417 -0.571 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCCC2=O)c1 ZINC000732488429 1073429270 /nfs/dbraw/zinc/42/92/70/1073429270.db2.gz JIFPHSMWPFDVBW-INIZCTEOSA-N 0 0 429.477 -0.156 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCCC2=O)c1 ZINC000732488432 1073429253 /nfs/dbraw/zinc/42/92/53/1073429253.db2.gz JIFPHSMWPFDVBW-MRXNPFEDSA-N 0 0 429.477 -0.156 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCCC[C@H]3CN3CCCC3=O)cnc2n(C)c1=O ZINC000732488632 1073429364 /nfs/dbraw/zinc/42/93/64/1073429364.db2.gz VXOKXYRDGFIJIP-AWEZNQCLSA-N 0 0 442.476 -0.426 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCCC[C@@H]3CN3CCCC3=O)cnc2n(C)c1=O ZINC000732488635 1073429350 /nfs/dbraw/zinc/42/93/50/1073429350.db2.gz VXOKXYRDGFIJIP-CQSZACIVSA-N 0 0 442.476 -0.426 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCCC[C@H]1CN1CCCC1=O ZINC000732489330 1073429177 /nfs/dbraw/zinc/42/91/77/1073429177.db2.gz RTWPOVVIFNUJPB-INIZCTEOSA-N 0 0 428.493 -0.040 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCCC[C@@H]1CN1CCCC1=O ZINC000732489331 1073429375 /nfs/dbraw/zinc/42/93/75/1073429375.db2.gz RTWPOVVIFNUJPB-MRXNPFEDSA-N 0 0 428.493 -0.040 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCn4cnnc4C3)cc2)CC1 ZINC000732491643 1073428574 /nfs/dbraw/zinc/42/85/74/1073428574.db2.gz ICHXZKAGIHJBHK-UHFFFAOYSA-N 0 0 427.465 -0.446 20 0 IBADRN CN(C)C(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC000732492374 1073428749 /nfs/dbraw/zinc/42/87/49/1073428749.db2.gz LHNLMPXCYCGIIN-AWEZNQCLSA-N 0 0 440.522 -0.068 20 0 IBADRN CN(C)C(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC000732492375 1073428801 /nfs/dbraw/zinc/42/88/01/1073428801.db2.gz LHNLMPXCYCGIIN-CQSZACIVSA-N 0 0 440.522 -0.068 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc(-n2nccn2)cc1 ZINC000732492921 1073429225 /nfs/dbraw/zinc/42/92/25/1073429225.db2.gz OOSQQINBECUNDE-INIZCTEOSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc(-n2nccn2)cc1 ZINC000732492922 1073429359 /nfs/dbraw/zinc/42/93/59/1073429359.db2.gz OOSQQINBECUNDE-MRXNPFEDSA-N 0 0 436.494 -0.190 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H](O)c1ccc(F)cc1 ZINC000732493166 1073428796 /nfs/dbraw/zinc/42/87/96/1073428796.db2.gz PJZYHQUIWYDZGS-BMIGLBTASA-N 0 0 429.408 -0.052 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H](O)c1ccc(F)cc1 ZINC000732493167 1073428373 /nfs/dbraw/zinc/42/83/73/1073428373.db2.gz PJZYHQUIWYDZGS-BONVTDFDSA-N 0 0 429.408 -0.052 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H](O)c1ccc(F)cc1 ZINC000732493168 1073428597 /nfs/dbraw/zinc/42/85/97/1073428597.db2.gz PJZYHQUIWYDZGS-MEBBXXQBSA-N 0 0 429.408 -0.052 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H](O)c1ccc(F)cc1 ZINC000732493169 1073429340 /nfs/dbraw/zinc/42/93/40/1073429340.db2.gz PJZYHQUIWYDZGS-ZUZCIYMTSA-N 0 0 429.408 -0.052 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000732493404 1073429334 /nfs/dbraw/zinc/42/93/34/1073429334.db2.gz SFEWCBDNVICKSK-AWEZNQCLSA-N 0 0 437.482 -0.795 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000732493405 1073429192 /nfs/dbraw/zinc/42/91/92/1073429192.db2.gz SFEWCBDNVICKSK-CQSZACIVSA-N 0 0 437.482 -0.795 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc(-n2ccnc2)nc1 ZINC000732493651 1073429282 /nfs/dbraw/zinc/42/92/82/1073429282.db2.gz WKTHMUIYFPSRBY-HNNXBMFYSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc(-n2ccnc2)nc1 ZINC000732493652 1073429117 /nfs/dbraw/zinc/42/91/17/1073429117.db2.gz WKTHMUIYFPSRBY-OAHLLOKOSA-N 0 0 436.494 -0.190 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000732505144 1073429137 /nfs/dbraw/zinc/42/91/37/1073429137.db2.gz QDOBEVVMKOTVHL-UHFFFAOYSA-N 0 0 441.553 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000732505533 1073429163 /nfs/dbraw/zinc/42/91/63/1073429163.db2.gz WNLZBDXTDHRBKG-KRWDZBQOSA-N 0 0 438.506 -0.257 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000732505536 1073429381 /nfs/dbraw/zinc/42/93/81/1073429381.db2.gz WNLZBDXTDHRBKG-QGZVFWFLSA-N 0 0 438.506 -0.257 20 0 IBADRN CC(C)(C)OC(=O)NCCOCC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000732549676 1073430143 /nfs/dbraw/zinc/43/01/43/1073430143.db2.gz GCMIJTROXOCRCB-UHFFFAOYSA-N 0 0 428.486 -0.943 20 0 IBADRN CC(C)(C)OC(=O)NCCOCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000732550175 1073430010 /nfs/dbraw/zinc/43/00/10/1073430010.db2.gz GIJCLQQJTQBXID-UHFFFAOYSA-N 0 0 436.531 -0.751 20 0 IBADRN CC(C)(C)OC(=O)NCCOCC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000732551091 1073430105 /nfs/dbraw/zinc/43/01/05/1073430105.db2.gz DJNCTXRUIPGCDO-UHFFFAOYSA-N 0 0 426.470 -0.653 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000732571794 1073430131 /nfs/dbraw/zinc/43/01/31/1073430131.db2.gz ZCIKILWKIFCMSN-AWEZNQCLSA-N 0 0 425.511 -0.027 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@@H]2CCN(c3ccccc3C(N)=O)C2)CC1 ZINC000732571795 1073429946 /nfs/dbraw/zinc/42/99/46/1073429946.db2.gz ZCIKILWKIFCMSN-CQSZACIVSA-N 0 0 425.511 -0.027 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000732572908 1073429998 /nfs/dbraw/zinc/42/99/98/1073429998.db2.gz KUUVRLKVHHXNIN-AWEZNQCLSA-N 0 0 425.511 -0.675 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000732572910 1073430028 /nfs/dbraw/zinc/43/00/28/1073430028.db2.gz KUUVRLKVHHXNIN-CQSZACIVSA-N 0 0 425.511 -0.675 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)COCCNC(=O)OC(C)(C)C)CC2)CC1 ZINC000732574895 1073431274 /nfs/dbraw/zinc/43/12/74/1073431274.db2.gz GQYRESPROLTJHV-UHFFFAOYSA-N 0 0 449.574 -0.836 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000732581414 1073431071 /nfs/dbraw/zinc/43/10/71/1073431071.db2.gz CMTVMRHIGGAZJA-UHFFFAOYSA-N 0 0 427.410 -0.361 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732594272 1073430980 /nfs/dbraw/zinc/43/09/80/1073430980.db2.gz UKSJRKHFDCALMJ-CYBMUJFWSA-N 0 0 427.383 -0.159 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732594273 1073431306 /nfs/dbraw/zinc/43/13/06/1073431306.db2.gz UKSJRKHFDCALMJ-ZDUSSCGKSA-N 0 0 427.383 -0.159 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732623764 1073432586 /nfs/dbraw/zinc/43/25/86/1073432586.db2.gz NAVAEIIHEFYMBJ-UHFFFAOYSA-N 0 0 426.508 -0.439 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=S)NCCN3CCOCC3)cn2)CC1 ZINC000732624850 1073432842 /nfs/dbraw/zinc/43/28/42/1073432842.db2.gz YLRJMPGAJAYOFP-UHFFFAOYSA-N 0 0 428.584 -0.218 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)nn1 ZINC000732640944 1073432741 /nfs/dbraw/zinc/43/27/41/1073432741.db2.gz MSLKNAASVBIEPE-UHFFFAOYSA-N 0 0 426.421 -0.342 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)c2=O ZINC000732641494 1073432817 /nfs/dbraw/zinc/43/28/17/1073432817.db2.gz UWDDGIYYMWKRJQ-UHFFFAOYSA-N 0 0 436.416 -0.192 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732641939 1073432862 /nfs/dbraw/zinc/43/28/62/1073432862.db2.gz KHBZQZPXYOTIAT-CYBMUJFWSA-N 0 0 442.460 -0.209 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732641940 1073432687 /nfs/dbraw/zinc/43/26/87/1073432687.db2.gz KHBZQZPXYOTIAT-ZDUSSCGKSA-N 0 0 442.460 -0.209 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CC(c3cc(F)ccc3F)=NO2)CC1)N1CCOCC1 ZINC000732655072 1073432803 /nfs/dbraw/zinc/43/28/03/1073432803.db2.gz MMPLWDHDRLVSKZ-KRWDZBQOSA-N 0 0 436.415 -0.013 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CC(c3cc(F)ccc3F)=NO2)CC1)N1CCOCC1 ZINC000732655073 1073432557 /nfs/dbraw/zinc/43/25/57/1073432557.db2.gz MMPLWDHDRLVSKZ-QGZVFWFLSA-N 0 0 436.415 -0.013 20 0 IBADRN Cn1cc(/C=C\C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)c(=O)n(C)c1=O ZINC000732660935 1073432811 /nfs/dbraw/zinc/43/28/11/1073432811.db2.gz COJCIXKYIYZMQB-DAXSKMNVSA-N 0 0 448.505 -0.788 20 0 IBADRN Cn1cc(/C=C/C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)c(=O)n(C)c1=O ZINC000732660936 1073432702 /nfs/dbraw/zinc/43/27/02/1073432702.db2.gz COJCIXKYIYZMQB-QPJJXVBHSA-N 0 0 448.505 -0.788 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)cn1 ZINC000732662019 1073433507 /nfs/dbraw/zinc/43/35/07/1073433507.db2.gz GRJKNVNJNWKCSY-UHFFFAOYSA-N 0 0 447.461 -0.624 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)c1 ZINC000732662033 1073433334 /nfs/dbraw/zinc/43/33/34/1073433334.db2.gz HHFVSBRYZNPBHM-UHFFFAOYSA-N 0 0 447.461 -0.402 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000732662318 1073433491 /nfs/dbraw/zinc/43/34/91/1073433491.db2.gz BQYXEJNESITEPO-CYBMUJFWSA-N 0 0 426.520 -0.263 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000732662320 1073433423 /nfs/dbraw/zinc/43/34/23/1073433423.db2.gz BQYXEJNESITEPO-ZDUSSCGKSA-N 0 0 426.520 -0.263 20 0 IBADRN Cn1cc(/C=C\C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)c(=O)n(C)c1=O ZINC000732662541 1073433311 /nfs/dbraw/zinc/43/33/11/1073433311.db2.gz PYROEHBLEHSBAE-ARJAWSKDSA-N 0 0 438.428 -0.225 20 0 IBADRN Cn1cc(/C=C/C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)c(=O)n(C)c1=O ZINC000732662542 1073433246 /nfs/dbraw/zinc/43/32/46/1073433246.db2.gz PYROEHBLEHSBAE-ONEGZZNKSA-N 0 0 438.428 -0.225 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000732662548 1073433518 /nfs/dbraw/zinc/43/35/18/1073433518.db2.gz QKNRZBBJTHJLCB-UHFFFAOYSA-N 0 0 436.478 -0.420 20 0 IBADRN CCN1CCN(CC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C(=O)C1=O ZINC000732662572 1073433414 /nfs/dbraw/zinc/43/34/14/1073433414.db2.gz RIXWDGVQDDYMAX-UHFFFAOYSA-N 0 0 428.433 -0.645 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000732662659 1073433448 /nfs/dbraw/zinc/43/34/48/1073433448.db2.gz KEUBBSHBENDWPL-LLVKDONJSA-N 0 0 425.916 -0.232 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000732662660 1073433457 /nfs/dbraw/zinc/43/34/57/1073433457.db2.gz KEUBBSHBENDWPL-NSHDSACASA-N 0 0 425.916 -0.232 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)C1 ZINC000732665412 1072717536 /nfs/dbraw/zinc/71/75/36/1072717536.db2.gz ZGPYDTJUTXHZPQ-GFCCVEGCSA-N 0 0 437.927 -0.136 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)C1 ZINC000732665413 1073433439 /nfs/dbraw/zinc/43/34/39/1073433439.db2.gz ZGPYDTJUTXHZPQ-LBPRGKRZSA-N 0 0 437.927 -0.136 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)[C@@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000732668024 1073433875 /nfs/dbraw/zinc/43/38/75/1073433875.db2.gz WEIVYIUGEAKZHH-KPZWWZAWSA-N 0 0 426.495 -0.142 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)[C@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000732668025 1073434158 /nfs/dbraw/zinc/43/41/58/1073434158.db2.gz WEIVYIUGEAKZHH-KZULUSFZSA-N 0 0 426.495 -0.142 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN(C)[C@@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000732668027 1073434073 /nfs/dbraw/zinc/43/40/73/1073434073.db2.gz WEIVYIUGEAKZHH-SGTLLEGYSA-N 0 0 426.495 -0.142 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN(C)[C@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000732668030 1073434146 /nfs/dbraw/zinc/43/41/46/1073434146.db2.gz WEIVYIUGEAKZHH-XIKOKIGWSA-N 0 0 426.495 -0.142 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732668077 1073434038 /nfs/dbraw/zinc/43/40/38/1073434038.db2.gz GLSHTZIYZQGUEI-UHFFFAOYSA-N 0 0 441.494 -0.023 20 0 IBADRN Cn1cc(S(=O)(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)cc1C(N)=O ZINC000732668145 1073433922 /nfs/dbraw/zinc/43/39/22/1073433922.db2.gz HKDKXMQHZWUURN-UHFFFAOYSA-N 0 0 432.446 -0.036 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732674085 1073433963 /nfs/dbraw/zinc/43/39/63/1073433963.db2.gz WXWJHEAHVDBTQT-UHFFFAOYSA-N 0 0 429.421 -0.211 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)c1 ZINC000732677859 1073434165 /nfs/dbraw/zinc/43/41/65/1073434165.db2.gz BLQVGQMSXVKLRH-UHFFFAOYSA-N 0 0 433.434 -0.792 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732678019 1073434094 /nfs/dbraw/zinc/43/40/94/1073434094.db2.gz LHLLMXFPGJJABX-UHFFFAOYSA-N 0 0 430.449 -0.303 20 0 IBADRN CN(CC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000732678087 1073433997 /nfs/dbraw/zinc/43/39/97/1073433997.db2.gz PXHIMHIOMKJODE-GFCCVEGCSA-N 0 0 435.490 -0.217 20 0 IBADRN CN(CC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000732678088 1073434132 /nfs/dbraw/zinc/43/41/32/1073434132.db2.gz PXHIMHIOMKJODE-LBPRGKRZSA-N 0 0 435.490 -0.217 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732678232 1073434179 /nfs/dbraw/zinc/43/41/79/1073434179.db2.gz XTISEWHTTYQICH-UHFFFAOYSA-N 0 0 432.446 -0.036 20 0 IBADRN CCC[C@H](NC(=O)Cc1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000732686144 1073433378 /nfs/dbraw/zinc/43/33/78/1073433378.db2.gz PQTRJJJMCHXIMY-AWEZNQCLSA-N 0 0 430.461 -0.080 20 0 IBADRN CCC[C@@H](NC(=O)Cc1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000732686146 1073433419 /nfs/dbraw/zinc/43/34/19/1073433419.db2.gz PQTRJJJMCHXIMY-CQSZACIVSA-N 0 0 430.461 -0.080 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000732701453 1073434015 /nfs/dbraw/zinc/43/40/15/1073434015.db2.gz QVVTZQIHROIIPR-UHFFFAOYSA-N 0 0 434.544 -0.776 20 0 IBADRN O=C(NCCS(=O)(=O)NC1CC1)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732702097 1073434056 /nfs/dbraw/zinc/43/40/56/1073434056.db2.gz QPFVEHKGPYAYNC-UHFFFAOYSA-N 0 0 436.478 -0.071 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000732702568 1073434696 /nfs/dbraw/zinc/43/46/96/1073434696.db2.gz XJKALNQJVRBBGJ-UHFFFAOYSA-N 0 0 436.478 -0.117 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(N2CCCC2=O)c(F)c1 ZINC000732703606 1073434725 /nfs/dbraw/zinc/43/47/25/1073434725.db2.gz BVCQHRIMGBMLPL-UHFFFAOYSA-N 0 0 442.469 -0.331 20 0 IBADRN CC(C)C[C@H](O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000732703855 1073434684 /nfs/dbraw/zinc/43/46/84/1073434684.db2.gz FJSSQICEBWBIHW-HNNXBMFYSA-N 0 0 427.527 -0.375 20 0 IBADRN CC(C)C[C@@H](O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000732703857 1073434568 /nfs/dbraw/zinc/43/45/68/1073434568.db2.gz FJSSQICEBWBIHW-OAHLLOKOSA-N 0 0 427.527 -0.375 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000732704281 1073434676 /nfs/dbraw/zinc/43/46/76/1073434676.db2.gz VTOBDBBDSJZUME-UHFFFAOYSA-N 0 0 436.478 -0.165 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000732704734 1073434672 /nfs/dbraw/zinc/43/46/72/1073434672.db2.gz XBEHSMUMDOWFTJ-UHFFFAOYSA-N 0 0 440.526 -0.914 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@H](O)c3ccccc3)cn2)CC1 ZINC000732705169 1073434733 /nfs/dbraw/zinc/43/47/33/1073434733.db2.gz SPKKUXWIHHZPQC-KRWDZBQOSA-N 0 0 447.517 -0.049 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](O)c3ccccc3)cn2)CC1 ZINC000732705170 1073434599 /nfs/dbraw/zinc/43/45/99/1073434599.db2.gz SPKKUXWIHHZPQC-QGZVFWFLSA-N 0 0 447.517 -0.049 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000732706506 1073434628 /nfs/dbraw/zinc/43/46/28/1073434628.db2.gz ORGUQLATBGXFBI-GFCCVEGCSA-N 0 0 431.536 -0.283 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000732706507 1073434654 /nfs/dbraw/zinc/43/46/54/1073434654.db2.gz ORGUQLATBGXFBI-LBPRGKRZSA-N 0 0 431.536 -0.283 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cnn(C(C)C)c2)c(=O)n1CC(=O)N1CCOCC1 ZINC000732714705 1073433939 /nfs/dbraw/zinc/43/39/39/1073433939.db2.gz XARRZSROPRYUKB-UHFFFAOYSA-N 0 0 434.518 -0.282 20 0 IBADRN CC(C)(C)[C@@H](O)C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@H](O)C(C)(C)C)CC1 ZINC000732721341 1073434083 /nfs/dbraw/zinc/43/40/83/1073434083.db2.gz NLFWNLTZFYINEV-HOTGVXAUSA-N 0 0 430.498 -0.442 20 0 IBADRN CC(C)(C)[C@H](O)C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@@H](O)C(C)(C)C)CC1 ZINC000732721345 1073434029 /nfs/dbraw/zinc/43/40/29/1073434029.db2.gz NLFWNLTZFYINEV-HZPDHXFCSA-N 0 0 430.498 -0.442 20 0 IBADRN CC(C)(C)[C@@H](O)C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@@H](O)C(C)(C)C)CC1 ZINC000732721347 1073433901 /nfs/dbraw/zinc/43/39/01/1073433901.db2.gz NLFWNLTZFYINEV-IYBDPMFKSA-N 0 0 430.498 -0.442 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732778193 1073434710 /nfs/dbraw/zinc/43/47/10/1073434710.db2.gz ANTJWUSYVCFABP-JTQLQIEISA-N 0 0 430.387 -0.555 20 0 IBADRN CN(C(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732778194 1073435260 /nfs/dbraw/zinc/43/52/60/1073435260.db2.gz ANTJWUSYVCFABP-SNVBAGLBSA-N 0 0 430.387 -0.555 20 0 IBADRN CN(C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732781510 1073435882 /nfs/dbraw/zinc/43/58/82/1073435882.db2.gz WATLPGLADVVJBT-GRYCIOLGSA-N 0 0 425.429 -0.356 20 0 IBADRN CN(C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732781511 1073435890 /nfs/dbraw/zinc/43/58/90/1073435890.db2.gz WATLPGLADVVJBT-IJLUTSLNSA-N 0 0 425.429 -0.356 20 0 IBADRN CN(C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732781512 1073435900 /nfs/dbraw/zinc/43/59/00/1073435900.db2.gz WATLPGLADVVJBT-UTUOFQBUSA-N 0 0 425.429 -0.356 20 0 IBADRN CN(C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732781514 1073435788 /nfs/dbraw/zinc/43/57/88/1073435788.db2.gz WATLPGLADVVJBT-WOPDTQHZSA-N 0 0 425.429 -0.356 20 0 IBADRN CN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732783242 1073435824 /nfs/dbraw/zinc/43/58/24/1073435824.db2.gz FRYFSBLWXFVWOJ-CMPLNLGQSA-N 0 0 438.428 -0.227 20 0 IBADRN CN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732783243 1073435884 /nfs/dbraw/zinc/43/58/84/1073435884.db2.gz FRYFSBLWXFVWOJ-JQWIXIFHSA-N 0 0 438.428 -0.227 20 0 IBADRN CN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732783245 1073435852 /nfs/dbraw/zinc/43/58/52/1073435852.db2.gz FRYFSBLWXFVWOJ-PWSUYJOCSA-N 0 0 438.428 -0.227 20 0 IBADRN CN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732783246 1073435845 /nfs/dbraw/zinc/43/58/45/1073435845.db2.gz FRYFSBLWXFVWOJ-ZYHUDNBSSA-N 0 0 438.428 -0.227 20 0 IBADRN CC(=O)NC(C)(C)C(N)=NOCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000732805998 1073435860 /nfs/dbraw/zinc/43/58/60/1073435860.db2.gz PTDNMNIBTKAOFQ-UHFFFAOYSA-N 0 0 425.511 -0.067 20 0 IBADRN O=C(CN1CCC[C@@H](N2CCCCC2=O)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000732809159 1073436298 /nfs/dbraw/zinc/43/62/98/1073436298.db2.gz RZECZOIGZLVZMK-MSOLQXFVSA-N 0 0 426.583 -0.205 20 0 IBADRN O=C(CN1CCC[C@@H](N2CCCCC2=O)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732809161 1073436433 /nfs/dbraw/zinc/43/64/33/1073436433.db2.gz RZECZOIGZLVZMK-QZTJIDSGSA-N 0 0 426.583 -0.205 20 0 IBADRN O=C(CN1CCC[C@H](N2CCCCC2=O)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000732809163 1073436327 /nfs/dbraw/zinc/43/63/27/1073436327.db2.gz RZECZOIGZLVZMK-ROUUACIJSA-N 0 0 426.583 -0.205 20 0 IBADRN O=C(CN1CCC[C@H](N2CCCCC2=O)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000732809166 1073436239 /nfs/dbraw/zinc/43/62/39/1073436239.db2.gz RZECZOIGZLVZMK-ZWKOTPCHSA-N 0 0 426.583 -0.205 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732811318 1073436542 /nfs/dbraw/zinc/43/65/42/1073436542.db2.gz SMHJJPQNNWGTMM-KBPBESRZSA-N 0 0 440.488 -0.587 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732811319 1073436187 /nfs/dbraw/zinc/43/61/87/1073436187.db2.gz SMHJJPQNNWGTMM-KGLIPLIRSA-N 0 0 440.488 -0.587 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732811320 1073436227 /nfs/dbraw/zinc/43/62/27/1073436227.db2.gz SMHJJPQNNWGTMM-UONOGXRCSA-N 0 0 440.488 -0.587 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732811321 1073436449 /nfs/dbraw/zinc/43/64/49/1073436449.db2.gz SMHJJPQNNWGTMM-ZIAGYGMSSA-N 0 0 440.488 -0.587 20 0 IBADRN CN([C@H]1CCN(CC(F)(F)F)C1=O)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000732817497 1073436483 /nfs/dbraw/zinc/43/64/83/1073436483.db2.gz VGEAUAGRMIIZFU-JTQLQIEISA-N 0 0 435.384 -0.182 20 0 IBADRN CN([C@@H]1CCN(CC(F)(F)F)C1=O)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000732817498 1073436518 /nfs/dbraw/zinc/43/65/18/1073436518.db2.gz VGEAUAGRMIIZFU-SNVBAGLBSA-N 0 0 435.384 -0.182 20 0 IBADRN COc1c(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c(C)nn1C ZINC000732851684 1073436381 /nfs/dbraw/zinc/43/63/81/1073436381.db2.gz NEVFYQFXIXFEST-UHFFFAOYSA-N 0 0 428.453 -0.081 20 0 IBADRN CCOC(=O)C1=C(COC(=O)Cn2ncn3nccc3c2=O)NC(=O)N[C@H]1c1ccco1 ZINC000732862726 1073436172 /nfs/dbraw/zinc/43/61/72/1073436172.db2.gz FHBCHYMITQXEPZ-INIZCTEOSA-N 0 0 442.388 -0.102 20 0 IBADRN CCOC(=O)C1=C(COC(=O)Cn2ncn3nccc3c2=O)NC(=O)N[C@@H]1c1ccco1 ZINC000732862727 1073436421 /nfs/dbraw/zinc/43/64/21/1073436421.db2.gz FHBCHYMITQXEPZ-MRXNPFEDSA-N 0 0 442.388 -0.102 20 0 IBADRN O=C(COC(=O)Cn1ncn2nccc2c1=O)NCC1(N2CCOCC2)CCCCC1 ZINC000732862734 1073436266 /nfs/dbraw/zinc/43/62/66/1073436266.db2.gz FLBVCORIFJFTHV-UHFFFAOYSA-N 0 0 432.481 -0.415 20 0 IBADRN C[C@@H](OC(=O)Cn1ncn2nccc2c1=O)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000732862863 1073436530 /nfs/dbraw/zinc/43/65/30/1073436530.db2.gz JUHMDOOYSMLQHW-CYBMUJFWSA-N 0 0 427.417 -0.047 20 0 IBADRN C[C@H](OC(=O)Cn1ncn2nccc2c1=O)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000732862864 1073436345 /nfs/dbraw/zinc/43/63/45/1073436345.db2.gz JUHMDOOYSMLQHW-ZDUSSCGKSA-N 0 0 427.417 -0.047 20 0 IBADRN C[C@H](OC(=O)Cn1ncn2nccc2c1=O)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000732862934 1073437131 /nfs/dbraw/zinc/43/71/31/1073437131.db2.gz LXBJPBDJLVDHRI-AWEZNQCLSA-N 0 0 426.433 -0.123 20 0 IBADRN C[C@@H](OC(=O)Cn1ncn2nccc2c1=O)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000732862935 1073437161 /nfs/dbraw/zinc/43/71/61/1073437161.db2.gz LXBJPBDJLVDHRI-CQSZACIVSA-N 0 0 426.433 -0.123 20 0 IBADRN C[C@@H](OC(=O)Cn1ncn2nccc2c1=O)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000732863087 1073436362 /nfs/dbraw/zinc/43/63/62/1073436362.db2.gz CAAHRCYQPLNZET-LLVKDONJSA-N 0 0 442.388 -0.058 20 0 IBADRN C[C@H](OC(=O)Cn1ncn2nccc2c1=O)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000732863088 1073436463 /nfs/dbraw/zinc/43/64/63/1073436463.db2.gz CAAHRCYQPLNZET-NSHDSACASA-N 0 0 442.388 -0.058 20 0 IBADRN COC(=O)c1cc(NC(=O)COC(=O)Cn2ncn3nccc3c2=O)cc(C(=O)OC)c1 ZINC000732863889 1073436949 /nfs/dbraw/zinc/43/69/49/1073436949.db2.gz DKVKMZYGSOJFAS-UHFFFAOYSA-N 0 0 443.372 -0.354 20 0 IBADRN O=C(COC(=O)Cn1ncn2nccc2c1=O)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000732864622 1073437064 /nfs/dbraw/zinc/43/70/64/1073437064.db2.gz QEPFPZLMZWFLJL-UHFFFAOYSA-N 0 0 442.388 -0.056 20 0 IBADRN O=C(COC(=O)Cn1ncn2nccc2c1=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000732864721 1073437013 /nfs/dbraw/zinc/43/70/13/1073437013.db2.gz YMAPFIJHPKUTLU-UHFFFAOYSA-N 0 0 428.361 -0.446 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H]1CCN(CC(F)(F)F)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000732875144 1073437083 /nfs/dbraw/zinc/43/70/83/1073437083.db2.gz RFVBJDBCEOUIFP-CHWSQXEVSA-N 0 0 429.461 -0.257 20 0 IBADRN COCCN(C(=O)CN(C)[C@H]1CCN(CC(F)(F)F)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000732875145 1073437095 /nfs/dbraw/zinc/43/70/95/1073437095.db2.gz RFVBJDBCEOUIFP-OLZOCXBDSA-N 0 0 429.461 -0.257 20 0 IBADRN COCCN(C(=O)CN(C)[C@@H]1CCN(CC(F)(F)F)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000732875146 1073437177 /nfs/dbraw/zinc/43/71/77/1073437177.db2.gz RFVBJDBCEOUIFP-QWHCGFSZSA-N 0 0 429.461 -0.257 20 0 IBADRN COCCN(C(=O)CN(C)[C@H]1CCN(CC(F)(F)F)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000732875147 1073436962 /nfs/dbraw/zinc/43/69/62/1073436962.db2.gz RFVBJDBCEOUIFP-STQMWFEESA-N 0 0 429.461 -0.257 20 0 IBADRN CN(C(=O)NCCN1CCN(S(C)(=O)=O)CC1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732887592 1073437054 /nfs/dbraw/zinc/43/70/54/1073437054.db2.gz OOCWRMATVWGSTB-GFCCVEGCSA-N 0 0 429.465 -0.632 20 0 IBADRN CN(C(=O)NCCN1CCN(S(C)(=O)=O)CC1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732887593 1073436934 /nfs/dbraw/zinc/43/69/34/1073436934.db2.gz OOCWRMATVWGSTB-LBPRGKRZSA-N 0 0 429.465 -0.632 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@H](N2CCCCC2=O)C1 ZINC000732896187 1073437124 /nfs/dbraw/zinc/43/71/24/1073437124.db2.gz LOSMVBLRSWGENR-INIZCTEOSA-N 0 0 428.493 -0.040 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCC[C@@H](N2CCCCC2=O)C1 ZINC000732896188 1073436998 /nfs/dbraw/zinc/43/69/98/1073436998.db2.gz LOSMVBLRSWGENR-MRXNPFEDSA-N 0 0 428.493 -0.040 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCN1CCSCC1 ZINC000732896768 1073436974 /nfs/dbraw/zinc/43/69/74/1073436974.db2.gz QUTWQBCKWWHCMV-UHFFFAOYSA-N 0 0 426.564 -0.009 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H](N4CCCCC4=O)C3)cnc2n(C)c1=O ZINC000732897024 1073436915 /nfs/dbraw/zinc/43/69/15/1073436915.db2.gz RXCPBKUXZIEHKP-AWEZNQCLSA-N 0 0 442.476 -0.426 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H](N4CCCCC4=O)C3)cnc2n(C)c1=O ZINC000732897028 1073437040 /nfs/dbraw/zinc/43/70/40/1073437040.db2.gz RXCPBKUXZIEHKP-CQSZACIVSA-N 0 0 442.476 -0.426 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@H](N3CCCCC3=O)C2)c1 ZINC000732897473 1073437048 /nfs/dbraw/zinc/43/70/48/1073437048.db2.gz XLFOBMUYAXVMRS-INIZCTEOSA-N 0 0 429.477 -0.156 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCCC3=O)C2)c1 ZINC000732897476 1073437029 /nfs/dbraw/zinc/43/70/29/1073437029.db2.gz XLFOBMUYAXVMRS-MRXNPFEDSA-N 0 0 429.477 -0.156 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732911774 1073438340 /nfs/dbraw/zinc/43/83/40/1073438340.db2.gz FBVUYZGGXPTDEX-AWEZNQCLSA-N 0 0 443.599 -0.705 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732911775 1073438216 /nfs/dbraw/zinc/43/82/16/1073438216.db2.gz FBVUYZGGXPTDEX-CQSZACIVSA-N 0 0 443.599 -0.705 20 0 IBADRN CS(=O)(=O)CCNC(=S)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732911798 1073438301 /nfs/dbraw/zinc/43/83/01/1073438301.db2.gz GDONIBNMWYSRQW-AWEZNQCLSA-N 0 0 428.584 -0.209 20 0 IBADRN CS(=O)(=O)CCNC(=S)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732911799 1073438565 /nfs/dbraw/zinc/43/85/65/1073438565.db2.gz GDONIBNMWYSRQW-CQSZACIVSA-N 0 0 428.584 -0.209 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732913869 1073438253 /nfs/dbraw/zinc/43/82/53/1073438253.db2.gz DMJFICMYWKJOHH-HNNXBMFYSA-N 0 0 429.481 -0.662 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732913871 1073438502 /nfs/dbraw/zinc/43/85/02/1073438502.db2.gz DMJFICMYWKJOHH-OAHLLOKOSA-N 0 0 429.481 -0.662 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732914144 1073438538 /nfs/dbraw/zinc/43/85/38/1073438538.db2.gz LXHPFFIWDBEZHV-HNNXBMFYSA-N 0 0 426.543 -0.032 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732914145 1073438470 /nfs/dbraw/zinc/43/84/70/1073438470.db2.gz LXHPFFIWDBEZHV-OAHLLOKOSA-N 0 0 426.543 -0.032 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)NCCS(=O)(=O)N3CCOCC3)C2)ncn1 ZINC000732914429 1073438444 /nfs/dbraw/zinc/43/84/44/1073438444.db2.gz MXXPUDITEFJUQS-INIZCTEOSA-N 0 0 426.543 -0.031 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)NCCS(=O)(=O)N3CCOCC3)C2)ncn1 ZINC000732914430 1073438374 /nfs/dbraw/zinc/43/83/74/1073438374.db2.gz MXXPUDITEFJUQS-MRXNPFEDSA-N 0 0 426.543 -0.031 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732915128 1073438589 /nfs/dbraw/zinc/43/85/89/1073438589.db2.gz WAFQPGHUVJYUQR-HNNXBMFYSA-N 0 0 441.558 -0.480 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732915129 1073439276 /nfs/dbraw/zinc/43/92/76/1073439276.db2.gz WAFQPGHUVJYUQR-OAHLLOKOSA-N 0 0 441.558 -0.480 20 0 IBADRN COCCS(=O)(=O)CC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732916473 1073439239 /nfs/dbraw/zinc/43/92/39/1073439239.db2.gz SDRKZCKAJGIMOU-HNNXBMFYSA-N 0 0 427.527 -0.541 20 0 IBADRN COCCS(=O)(=O)CC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000732916474 1073439162 /nfs/dbraw/zinc/43/91/62/1073439162.db2.gz SDRKZCKAJGIMOU-OAHLLOKOSA-N 0 0 427.527 -0.541 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC000732928876 1073439211 /nfs/dbraw/zinc/43/92/11/1073439211.db2.gz IMXZYPAEHJFLQQ-UHFFFAOYSA-N 0 0 440.535 -0.302 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000732929939 1073439322 /nfs/dbraw/zinc/43/93/22/1073439322.db2.gz BSZKGUKJAARWLK-INIZCTEOSA-N 0 0 425.580 -0.167 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000732929940 1073439398 /nfs/dbraw/zinc/43/93/98/1073439398.db2.gz BSZKGUKJAARWLK-MRXNPFEDSA-N 0 0 425.580 -0.167 20 0 IBADRN O=C(NCCNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)c1c(F)cccc1F ZINC000732941607 1073439223 /nfs/dbraw/zinc/43/92/23/1073439223.db2.gz ALTBBTRPBXMHGS-LLVKDONJSA-N 0 0 442.444 -0.024 20 0 IBADRN O=C(NCCNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)c1c(F)cccc1F ZINC000732941608 1073439137 /nfs/dbraw/zinc/43/91/37/1073439137.db2.gz ALTBBTRPBXMHGS-NSHDSACASA-N 0 0 442.444 -0.024 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)cnc2n(C)c1=O ZINC000732944805 1073439293 /nfs/dbraw/zinc/43/92/93/1073439293.db2.gz RYKGXBYGNGCQIW-UHFFFAOYSA-N 0 0 445.448 -0.374 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000732946119 1073439229 /nfs/dbraw/zinc/43/92/29/1073439229.db2.gz WOYIYGGIKUGMRM-UHFFFAOYSA-N 0 0 438.478 -0.135 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)nc2n(C)c1=O ZINC000732946421 1073439199 /nfs/dbraw/zinc/43/91/99/1073439199.db2.gz VBUVHSPKSVMDAQ-UHFFFAOYSA-N 0 0 445.448 -0.374 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000732946571 1073439409 /nfs/dbraw/zinc/43/94/09/1073439409.db2.gz UKWODKVSTRDQQW-UHFFFAOYSA-N 0 0 438.478 -0.135 20 0 IBADRN CN(CC(=O)NCc1cccs1)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000732966060 1073439372 /nfs/dbraw/zinc/43/93/72/1073439372.db2.gz PPFPUVWKINJUAZ-GFCCVEGCSA-N 0 0 426.520 -0.012 20 0 IBADRN CN(CC(=O)NCc1cccs1)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000732966061 1073439185 /nfs/dbraw/zinc/43/91/85/1073439185.db2.gz PPFPUVWKINJUAZ-LBPRGKRZSA-N 0 0 426.520 -0.012 20 0 IBADRN O=C(CSCC(=O)N1CCOCC1)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000732970311 1073439381 /nfs/dbraw/zinc/43/93/81/1073439381.db2.gz AUWUOIOBGIUEAZ-UHFFFAOYSA-N 0 0 429.511 -0.041 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)cn1C ZINC000732970330 1073439417 /nfs/dbraw/zinc/43/94/17/1073439417.db2.gz BHZDEHCRASQGNL-UHFFFAOYSA-N 0 0 443.498 -0.613 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)cn1 ZINC000732970656 1073439254 /nfs/dbraw/zinc/43/92/54/1073439254.db2.gz ILFBNMYPLRTENY-UHFFFAOYSA-N 0 0 429.471 -0.921 20 0 IBADRN Cn1c(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000732971532 1073439154 /nfs/dbraw/zinc/43/91/54/1073439154.db2.gz RDXHJSSHHBQJMN-UHFFFAOYSA-N 0 0 447.464 -0.430 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)c1 ZINC000732984941 1073440304 /nfs/dbraw/zinc/44/03/04/1073440304.db2.gz FRQULAJOFWUQEZ-UHFFFAOYSA-N 0 0 447.507 -0.123 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000732985048 1073440055 /nfs/dbraw/zinc/44/00/55/1073440055.db2.gz GNDHIIOEZHKPCR-UHFFFAOYSA-N 0 0 433.499 -0.030 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000732985794 1073440029 /nfs/dbraw/zinc/44/00/29/1073440029.db2.gz MMCBULMUHCWNRO-UHFFFAOYSA-N 0 0 447.507 -0.123 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000732986216 1073440223 /nfs/dbraw/zinc/44/02/23/1073440223.db2.gz SFYRMEXERKWZSH-UHFFFAOYSA-N 0 0 448.514 -0.141 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)cn1C ZINC000732986255 1073440019 /nfs/dbraw/zinc/44/00/19/1073440019.db2.gz TWJNYDILFQYOFV-UHFFFAOYSA-N 0 0 428.483 -0.073 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000732990308 1073440240 /nfs/dbraw/zinc/44/02/40/1073440240.db2.gz IREGMVYYOWBWCP-UHFFFAOYSA-N 0 0 432.515 -0.026 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000732991412 1073440000 /nfs/dbraw/zinc/44/00/00/1073440000.db2.gz GFHWJPSETGGXMQ-UHFFFAOYSA-N 0 0 425.502 -0.240 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000732993926 1073440211 /nfs/dbraw/zinc/44/02/11/1073440211.db2.gz GAGLOGYDWLTRLO-UHFFFAOYSA-N 0 0 432.515 -0.024 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000732994854 1073439987 /nfs/dbraw/zinc/43/99/87/1073439987.db2.gz JLWFFZQEIVAORI-UHFFFAOYSA-N 0 0 448.514 -0.788 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000732996743 1073440279 /nfs/dbraw/zinc/44/02/79/1073440279.db2.gz OBABFXZRJSGNNA-GFCCVEGCSA-N 0 0 432.515 -0.026 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000732996744 1073439961 /nfs/dbraw/zinc/43/99/61/1073439961.db2.gz OBABFXZRJSGNNA-LBPRGKRZSA-N 0 0 432.515 -0.026 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC000732999462 1073440798 /nfs/dbraw/zinc/44/07/98/1073440798.db2.gz WDJSHRQGIWIQGB-UHFFFAOYSA-N 0 0 438.494 -0.076 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C2CCS(=O)(=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000733020846 1073440883 /nfs/dbraw/zinc/44/08/83/1073440883.db2.gz AWDCBXIDJQMERI-UHFFFAOYSA-N 0 0 449.485 -0.272 20 0 IBADRN O=C(COC(=O)C1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000733025299 1073440751 /nfs/dbraw/zinc/44/07/51/1073440751.db2.gz FRECCRKDNZDGGO-UHFFFAOYSA-N 0 0 444.531 -0.113 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000733036451 1073441616 /nfs/dbraw/zinc/44/16/16/1073441616.db2.gz ASGWYCJQHGETND-UHFFFAOYSA-N 0 0 425.502 -0.286 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000733042279 1073441602 /nfs/dbraw/zinc/44/16/02/1073441602.db2.gz NBLCPVAZTXFMNT-UHFFFAOYSA-N 0 0 447.530 -0.616 20 0 IBADRN O=C(CN1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1)NC1CC1 ZINC000733042416 1073441448 /nfs/dbraw/zinc/44/14/48/1073441448.db2.gz PSBSWRZIQOFMIU-UHFFFAOYSA-N 0 0 437.513 -0.143 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000733042711 1073441291 /nfs/dbraw/zinc/44/12/91/1073441291.db2.gz VGCWESBLQXHEHG-GFCCVEGCSA-N 0 0 432.515 -0.026 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000733042714 1073441686 /nfs/dbraw/zinc/44/16/86/1073441686.db2.gz VGCWESBLQXHEHG-LBPRGKRZSA-N 0 0 432.515 -0.026 20 0 IBADRN CC(C)OC(=O)N1CCC(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000733043819 1073441678 /nfs/dbraw/zinc/44/16/78/1073441678.db2.gz BEHDZWCJZLQUAM-UHFFFAOYSA-N 0 0 437.497 -0.001 20 0 IBADRN CC(C)OC(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000733044033 1073441387 /nfs/dbraw/zinc/44/13/87/1073441387.db2.gz HBNQIAHOVXUMLZ-UHFFFAOYSA-N 0 0 439.513 -0.292 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000733044972 1073441646 /nfs/dbraw/zinc/44/16/46/1073441646.db2.gz BFTSVRMIRFWINA-UHFFFAOYSA-N 0 0 432.515 -0.072 20 0 IBADRN CC(C)OC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000733045011 1073441515 /nfs/dbraw/zinc/44/15/15/1073441515.db2.gz NFZAAWCQTAJXMC-UHFFFAOYSA-N 0 0 447.558 -0.100 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000733045062 1073441528 /nfs/dbraw/zinc/44/15/28/1073441528.db2.gz DDTHXAUFLZWGAH-UHFFFAOYSA-N 0 0 432.515 -0.072 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000733045917 1073440773 /nfs/dbraw/zinc/44/07/73/1073440773.db2.gz VRWHSYOHXWNAKL-UHFFFAOYSA-N 0 0 425.502 -0.286 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3C(=O)CCC3=O)c(C)c2)CC1 ZINC000733046514 1073440932 /nfs/dbraw/zinc/44/09/32/1073440932.db2.gz WKPXJFDIYNRUGW-UHFFFAOYSA-N 0 0 429.477 -0.133 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000733048958 1073440943 /nfs/dbraw/zinc/44/09/43/1073440943.db2.gz HGMPJYKJUSXPKI-UHFFFAOYSA-N 0 0 443.436 -0.019 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)C(=O)Nc1cccc(-n2cnnn2)c1 ZINC000733049287 1073440852 /nfs/dbraw/zinc/44/08/52/1073440852.db2.gz LWWWXJJXCGJWOQ-UHFFFAOYSA-N 0 0 443.436 -0.019 20 0 IBADRN CC(C)OC(=O)N1CCC(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000733049457 1073441022 /nfs/dbraw/zinc/44/10/22/1073441022.db2.gz CWHJMROABWQNQU-UHFFFAOYSA-N 0 0 446.464 -0.304 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)C(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000733050563 1073441004 /nfs/dbraw/zinc/44/10/04/1073441004.db2.gz ZHPMJEALYIJJOE-UHFFFAOYSA-N 0 0 443.436 -0.019 20 0 IBADRN CCN(CC(=O)Nc1ccc(N2CCOCC2)cc1)C(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000733089499 1073441583 /nfs/dbraw/zinc/44/15/83/1073441583.db2.gz JRXHFCAEKODKIZ-UHFFFAOYSA-N 0 0 431.449 -0.269 20 0 IBADRN CCCCn1c2nc(COC(=O)CN3C(=O)C(=O)N(C)C3=O)n(CCC)c2c(=O)[nH]c1=O ZINC000733090079 1073441484 /nfs/dbraw/zinc/44/14/84/1073441484.db2.gz VSAFMYOEKKCFSX-UHFFFAOYSA-N 0 0 448.436 -0.028 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CN2C(=O)C(=O)N(C)C2=O)CC1 ZINC000733095755 1073442307 /nfs/dbraw/zinc/44/23/07/1073442307.db2.gz JOKOXXANFFHRPC-UHFFFAOYSA-N 0 0 425.442 -0.960 20 0 IBADRN COc1ccccc1NCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000733132670 1073442274 /nfs/dbraw/zinc/44/22/74/1073442274.db2.gz CQHSJGJGNBRZJM-AWEZNQCLSA-N 0 0 431.536 -0.232 20 0 IBADRN COc1ccccc1NCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000733132674 1073442444 /nfs/dbraw/zinc/44/24/44/1073442444.db2.gz CQHSJGJGNBRZJM-CQSZACIVSA-N 0 0 431.536 -0.232 20 0 IBADRN COCCOCC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000733132964 1073442395 /nfs/dbraw/zinc/44/23/95/1073442395.db2.gz SHCZFHMXJZCMQA-UHFFFAOYSA-N 0 0 434.536 -0.106 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)C1=O ZINC000733143349 1073442261 /nfs/dbraw/zinc/44/22/61/1073442261.db2.gz IKVXAMFYPTYELB-GFCCVEGCSA-N 0 0 439.469 -0.714 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)C1=O ZINC000733143350 1073442403 /nfs/dbraw/zinc/44/24/03/1073442403.db2.gz IKVXAMFYPTYELB-LBPRGKRZSA-N 0 0 439.469 -0.714 20 0 IBADRN CN(C)c1nc(N)nc(COc2cccc(NC(=O)CCn3cc[nH]c(=O)c3=O)c2)n1 ZINC000733146914 1073442234 /nfs/dbraw/zinc/44/22/34/1073442234.db2.gz QYMSJERNMUTWOZ-UHFFFAOYSA-N 0 0 426.437 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CCn3cc[nH]c(=O)c3=O)CC2)cc1 ZINC000733152661 1073442965 /nfs/dbraw/zinc/44/29/65/1073442965.db2.gz WLSSMERFQYBATK-UHFFFAOYSA-N 0 0 449.533 -0.642 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)CCn3cc[nH]c(=O)c3=O)CC2)cc1 ZINC000733153399 1073443209 /nfs/dbraw/zinc/44/32/09/1073443209.db2.gz VKVQILSDYZHHFF-UHFFFAOYSA-N 0 0 429.477 -0.491 20 0 IBADRN O=C(CN1CCCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1)N1CCCC1 ZINC000733159265 1073443234 /nfs/dbraw/zinc/44/32/34/1073443234.db2.gz DHXNBBLSQIHZHP-UHFFFAOYSA-N 0 0 434.518 -0.012 20 0 IBADRN Cn1cc(/C=C/C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)c(=O)n(C)c1=O ZINC000733176174 1073443225 /nfs/dbraw/zinc/44/32/25/1073443225.db2.gz BXTIZARJOBDLDQ-CMDGGOBGSA-N 0 0 431.537 -0.062 20 0 IBADRN Cn1cc(/C=C\C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)c(=O)n(C)c1=O ZINC000733176177 1073443009 /nfs/dbraw/zinc/44/30/09/1073443009.db2.gz BXTIZARJOBDLDQ-HJWRWDBZSA-N 0 0 431.537 -0.062 20 0 IBADRN CC(C)(C)S(=O)(=O)CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000733178745 1073443103 /nfs/dbraw/zinc/44/31/03/1073443103.db2.gz OAOLQAMGRLHEKS-UHFFFAOYSA-N 0 0 431.536 -0.250 20 0 IBADRN CC(C)(C)S(=O)(=O)CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000733181562 1073443722 /nfs/dbraw/zinc/44/37/22/1073443722.db2.gz OMZSZFNYPSYULI-UHFFFAOYSA-N 0 0 431.536 -0.250 20 0 IBADRN O=C1CNC(=O)N1CCNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000733210562 1073443860 /nfs/dbraw/zinc/44/38/60/1073443860.db2.gz XDFXYZMSWWNPBI-UHFFFAOYSA-N 0 0 427.508 -0.454 20 0 IBADRN COCCN(CCC(=O)OC)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000733222482 1073443913 /nfs/dbraw/zinc/44/39/13/1073443913.db2.gz IRBXRQMAPHYZIU-UHFFFAOYSA-N 0 0 430.259 -0.069 20 0 IBADRN COCCN(CCC(=O)OC)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000733222677 1073443612 /nfs/dbraw/zinc/44/36/12/1073443612.db2.gz OVKJUXDHBUMGCT-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN COCCN(CCC(=O)OC)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000733222978 1073443789 /nfs/dbraw/zinc/44/37/89/1073443789.db2.gz WLUMGKFOOJOXOW-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN O=Cc1cccc(OCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000733253625 1073443693 /nfs/dbraw/zinc/44/36/93/1073443693.db2.gz AKIXWTJODNGGEB-INIZCTEOSA-N 0 0 430.504 -0.461 20 0 IBADRN O=Cc1cccc(OCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000733253627 1073443749 /nfs/dbraw/zinc/44/37/49/1073443749.db2.gz AKIXWTJODNGGEB-MRXNPFEDSA-N 0 0 430.504 -0.461 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(C(=O)N(CC(N)=O)CC(N)=O)ccc1Br ZINC000733255923 1073443583 /nfs/dbraw/zinc/44/35/83/1073443583.db2.gz BHRDEXGZCZWSDP-UHFFFAOYSA-N 0 0 449.327 -0.061 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Br)c(C(=O)N(CC(N)=O)CC(N)=O)c1 ZINC000733256453 1073443878 /nfs/dbraw/zinc/44/38/78/1073443878.db2.gz JBBSUIBNBFJGHJ-UHFFFAOYSA-N 0 0 449.327 -0.108 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(Br)c(C(=O)N(CC(N)=O)CC(N)=O)c1 ZINC000733256500 1073443804 /nfs/dbraw/zinc/44/38/04/1073443804.db2.gz JSEQMMFCWYNKNL-UHFFFAOYSA-N 0 0 449.327 -0.061 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N(CC(N)=O)CC(N)=O)c2)CC1 ZINC000733257475 1073443773 /nfs/dbraw/zinc/44/37/73/1073443773.db2.gz RRLXPBOHFNJEJH-UHFFFAOYSA-N 0 0 445.929 -0.921 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)N(CC(N)=O)CC(N)=O)ccc2Cl)C[C@@H](C)O1 ZINC000733257512 1073443677 /nfs/dbraw/zinc/44/36/77/1073443677.db2.gz SBKVNOPBJYZNJO-GHMZBOCLSA-N 0 0 446.913 -0.449 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)N(CC(N)=O)CC(N)=O)ccc2Cl)C[C@H](C)O1 ZINC000733257518 1073443659 /nfs/dbraw/zinc/44/36/59/1073443659.db2.gz SBKVNOPBJYZNJO-PHIMTYICSA-N 0 0 446.913 -0.449 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cc(C(=O)N(CC(N)=O)CC(N)=O)ccc2Cl)C[C@H](C)O1 ZINC000733257521 1073443828 /nfs/dbraw/zinc/44/38/28/1073443828.db2.gz SBKVNOPBJYZNJO-QWRGUYRKSA-N 0 0 446.913 -0.449 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000733257640 1073443851 /nfs/dbraw/zinc/44/38/51/1073443851.db2.gz WHAOLDZFSHYGQE-LLVKDONJSA-N 0 0 432.886 -0.790 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000733257643 1073443758 /nfs/dbraw/zinc/44/37/58/1073443758.db2.gz WHAOLDZFSHYGQE-NSHDSACASA-N 0 0 432.886 -0.790 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000733267867 1073443890 /nfs/dbraw/zinc/44/38/90/1073443890.db2.gz FNRFUAKIQWHOKU-UHFFFAOYSA-N 0 0 430.870 -0.358 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000733271183 1073443530 /nfs/dbraw/zinc/44/35/30/1073443530.db2.gz QZANMCRHVQXLOJ-GFCCVEGCSA-N 0 0 436.446 -0.708 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000733271184 1073443840 /nfs/dbraw/zinc/44/38/40/1073443840.db2.gz QZANMCRHVQXLOJ-LBPRGKRZSA-N 0 0 436.446 -0.708 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCN2C(=O)CNC2=O)ccc1Br ZINC000733271192 1073443819 /nfs/dbraw/zinc/44/38/19/1073443819.db2.gz RHMNEWXZUAZJTI-UHFFFAOYSA-N 0 0 433.284 -0.019 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000733279218 1073444422 /nfs/dbraw/zinc/44/44/22/1073444422.db2.gz RJANQIBMFQBJIS-SECBINFHSA-N 0 0 447.315 -0.567 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000733279219 1073444259 /nfs/dbraw/zinc/44/42/59/1073444259.db2.gz RJANQIBMFQBJIS-VIFPVBQESA-N 0 0 447.315 -0.567 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)C1 ZINC000733279456 1073444454 /nfs/dbraw/zinc/44/44/54/1073444454.db2.gz WNQHNYPZCTVYJJ-CYBMUJFWSA-N 0 0 445.519 -0.369 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)C1 ZINC000733279461 1073444440 /nfs/dbraw/zinc/44/44/40/1073444440.db2.gz WNQHNYPZCTVYJJ-ZDUSSCGKSA-N 0 0 445.519 -0.369 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)C1=O ZINC000733290040 1073444427 /nfs/dbraw/zinc/44/44/27/1073444427.db2.gz VOHAFJWPDZAYRG-GOSISDBHSA-N 0 0 426.495 -0.084 20 0 IBADRN COC[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)C1=O ZINC000733290041 1073444464 /nfs/dbraw/zinc/44/44/64/1073444464.db2.gz VOHAFJWPDZAYRG-SFHVURJKSA-N 0 0 426.495 -0.084 20 0 IBADRN COC[C@]1(C)NC(=O)N(CN2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)C1=O ZINC000733292274 1073444925 /nfs/dbraw/zinc/44/49/25/1073444925.db2.gz WBYLTZZAILNRPH-KRWDZBQOSA-N 0 0 429.499 -0.098 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CN2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)C1=O ZINC000733292275 1073444863 /nfs/dbraw/zinc/44/48/63/1073444863.db2.gz WBYLTZZAILNRPH-QGZVFWFLSA-N 0 0 429.499 -0.098 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1=O ZINC000733293144 1073445002 /nfs/dbraw/zinc/44/50/02/1073445002.db2.gz DTERAPHDJMPZJN-GOSISDBHSA-N 0 0 436.494 -0.216 20 0 IBADRN COC[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1=O ZINC000733293147 1073444995 /nfs/dbraw/zinc/44/49/95/1073444995.db2.gz DTERAPHDJMPZJN-SFHVURJKSA-N 0 0 436.494 -0.216 20 0 IBADRN O=C1C[C@@H](c2ccccn2)CN1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000733293611 1073444805 /nfs/dbraw/zinc/44/48/05/1073444805.db2.gz LIGUICIBQZEYGB-CVEARBPZSA-N 0 0 442.563 -0.511 20 0 IBADRN O=C1C[C@H](c2ccccn2)CN1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000733293616 1073444954 /nfs/dbraw/zinc/44/49/54/1073444954.db2.gz LIGUICIBQZEYGB-HOTGVXAUSA-N 0 0 442.563 -0.511 20 0 IBADRN O=C1C[C@@H](c2ccccn2)CN1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000733293617 1073444749 /nfs/dbraw/zinc/44/47/49/1073444749.db2.gz LIGUICIBQZEYGB-HZPDHXFCSA-N 0 0 442.563 -0.511 20 0 IBADRN O=C1C[C@H](c2ccccn2)CN1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000733293618 1073444947 /nfs/dbraw/zinc/44/49/47/1073444947.db2.gz LIGUICIBQZEYGB-JKSUJKDBSA-N 0 0 442.563 -0.511 20 0 IBADRN COC[C@]1(C)NC(=O)N(CN(C)CC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000733294200 1073444894 /nfs/dbraw/zinc/44/48/94/1073444894.db2.gz WLEILRWTJZLBQR-IBGZPJMESA-N 0 0 427.502 -0.088 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CN(C)CC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000733294201 1073444781 /nfs/dbraw/zinc/44/47/81/1073444781.db2.gz WLEILRWTJZLBQR-LJQANCHMSA-N 0 0 427.502 -0.088 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CN2C(=O)C(=O)N(CCc3ccc(OC)cc3)C2=O)C1 ZINC000733296628 1073444979 /nfs/dbraw/zinc/44/49/79/1073444979.db2.gz ATHBKVUUVIJJBX-INIZCTEOSA-N 0 0 438.506 0.000 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CN2C(=O)C(=O)N(CCc3ccc(OC)cc3)C2=O)C1 ZINC000733296629 1073444820 /nfs/dbraw/zinc/44/48/20/1073444820.db2.gz ATHBKVUUVIJJBX-MRXNPFEDSA-N 0 0 438.506 0.000 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(Cn2c3ccc(S(=O)(=O)N(C)C)cc3oc2=O)C1 ZINC000733298137 1073445754 /nfs/dbraw/zinc/44/57/54/1073445754.db2.gz APAJKXULTASYTN-CYBMUJFWSA-N 0 0 432.524 -0.184 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(Cn2c3ccc(S(=O)(=O)N(C)C)cc3oc2=O)C1 ZINC000733298138 1073445553 /nfs/dbraw/zinc/44/55/53/1073445553.db2.gz APAJKXULTASYTN-ZDUSSCGKSA-N 0 0 432.524 -0.184 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CN2C(=O)N[C@](C)(COC)C2=O)CC1 ZINC000733298369 1073444348 /nfs/dbraw/zinc/44/43/48/1073444348.db2.gz VDRKVRHMMXFHFR-GOSISDBHSA-N 0 0 425.511 -0.369 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CN2C(=O)N[C@@](C)(COC)C2=O)CC1 ZINC000733298372 1073444404 /nfs/dbraw/zinc/44/44/04/1073444404.db2.gz VDRKVRHMMXFHFR-SFHVURJKSA-N 0 0 425.511 -0.369 20 0 IBADRN COCCN(CCC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000733309706 1073444315 /nfs/dbraw/zinc/44/43/15/1073444315.db2.gz LMKAMALCFBIRGJ-UHFFFAOYSA-N 0 0 446.526 -0.364 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H](S(=O)(=O)NC)C2)C1=O ZINC000733311497 1073444243 /nfs/dbraw/zinc/44/42/43/1073444243.db2.gz RXFJIUKNMFZMIV-BEFAXECRSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H](S(=O)(=O)NC)C2)C1=O ZINC000733311499 1073444303 /nfs/dbraw/zinc/44/43/03/1073444303.db2.gz RXFJIUKNMFZMIV-DNVCBOLYSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H](S(=O)(=O)NC)C2)C1=O ZINC000733311501 1073444360 /nfs/dbraw/zinc/44/43/60/1073444360.db2.gz RXFJIUKNMFZMIV-HNAYVOBHSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H](S(=O)(=O)NC)C2)C1=O ZINC000733311503 1073444164 /nfs/dbraw/zinc/44/41/64/1073444164.db2.gz RXFJIUKNMFZMIV-KXBFYZLASA-N 0 0 437.522 -0.112 20 0 IBADRN NC(=O)CN1CCC(NS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000733314017 1073444368 /nfs/dbraw/zinc/44/43/68/1073444368.db2.gz LIBGNUDDZLSLQX-UHFFFAOYSA-N 0 0 430.552 -0.301 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000733315472 1073445008 /nfs/dbraw/zinc/44/50/08/1073445008.db2.gz NPVQQPMXFFCLJC-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN COCCN(CCC(=O)OC)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000733315905 1073444962 /nfs/dbraw/zinc/44/49/62/1073444962.db2.gz ZLAFQBOZGHOSGV-GOSISDBHSA-N 0 0 443.478 -0.050 20 0 IBADRN COCCN(CCC(=O)OC)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000733315906 1073444903 /nfs/dbraw/zinc/44/49/03/1073444903.db2.gz ZLAFQBOZGHOSGV-SFHVURJKSA-N 0 0 443.478 -0.050 20 0 IBADRN COC(=O)C=Cc1cc(OC)c(OC)c(S(=O)(=O)NCCN2C(=O)CNC2=O)c1 ZINC000733316787 1073444935 /nfs/dbraw/zinc/44/49/35/1073444935.db2.gz MICBNSCDPHNQLP-PLNGDYQASA-N 0 0 427.435 -0.280 20 0 IBADRN COC(=O)C=Cc1cc(OC)c(OC)c(S(=O)(=O)NCCN2C(=O)CNC2=O)c1 ZINC000733316791 1073444880 /nfs/dbraw/zinc/44/48/80/1073444880.db2.gz MICBNSCDPHNQLP-SNAWJCMRSA-N 0 0 427.435 -0.280 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)NCCN2C(=O)CNC2=O)c1 ZINC000733317029 1073444840 /nfs/dbraw/zinc/44/48/40/1073444840.db2.gz PZGFDYDANZEDMY-UHFFFAOYSA-N 0 0 440.297 -0.317 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000733341768 1073445874 /nfs/dbraw/zinc/44/58/74/1073445874.db2.gz GKAKFERMOGPRAP-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000733341769 1073445889 /nfs/dbraw/zinc/44/58/89/1073445889.db2.gz GKAKFERMOGPRAP-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)C(C)(C)C)CC2)CC1 ZINC000733350715 1073445726 /nfs/dbraw/zinc/44/57/26/1073445726.db2.gz UOEILYFKYXLMDW-UHFFFAOYSA-N 0 0 439.604 -0.981 20 0 IBADRN CC(C)(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000733350790 1073445799 /nfs/dbraw/zinc/44/57/99/1073445799.db2.gz BFMJJODEJWIUNH-UHFFFAOYSA-N 0 0 426.561 -0.896 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NCCN2C(=O)CNC2=O)CC1 ZINC000733352125 1073445828 /nfs/dbraw/zinc/44/58/28/1073445828.db2.gz TYTNCBZTUQOZEX-UHFFFAOYSA-N 0 0 426.474 -0.645 20 0 IBADRN CO[C@@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(F)(F)F ZINC000733357077 1073445817 /nfs/dbraw/zinc/44/58/17/1073445817.db2.gz QFHYANQQVSVXJW-JTQLQIEISA-N 0 0 428.389 -0.028 20 0 IBADRN CO[C@H](CNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(F)(F)F ZINC000733357079 1073445765 /nfs/dbraw/zinc/44/57/65/1073445765.db2.gz QFHYANQQVSVXJW-SNVBAGLBSA-N 0 0 428.389 -0.028 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCCN(CC(N)=O)CC2)cc1 ZINC000733358676 1073445491 /nfs/dbraw/zinc/44/54/91/1073445491.db2.gz FLSDVPVYCHEESH-UHFFFAOYSA-N 0 0 425.511 -0.667 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccnc3N3CCC(O)CC3)c2c(=O)n(C)c1=O ZINC000733362035 1073445677 /nfs/dbraw/zinc/44/56/77/1073445677.db2.gz VGRNBBFDIRTJMW-UHFFFAOYSA-N 0 0 427.465 -0.181 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCS(=O)(=O)C(C)(C)C)c1 ZINC000733364923 1073446477 /nfs/dbraw/zinc/44/64/77/1073446477.db2.gz XHCIGBVGTTWDPO-UHFFFAOYSA-N 0 0 433.552 -0.004 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c1 ZINC000733369080 1073446756 /nfs/dbraw/zinc/44/67/56/1073446756.db2.gz JCGXBMQIHYIOTQ-UHFFFAOYSA-N 0 0 434.449 -0.025 20 0 IBADRN O=C(OCCCN1C(=O)CNC1=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000733372701 1073446289 /nfs/dbraw/zinc/44/62/89/1073446289.db2.gz CIGFKKMALMKKDI-JSGCOSHPSA-N 0 0 445.881 -0.051 20 0 IBADRN O=C(OCCCN1C(=O)CNC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000733372703 1073446640 /nfs/dbraw/zinc/44/66/40/1073446640.db2.gz CIGFKKMALMKKDI-OCCSQVGLSA-N 0 0 445.881 -0.051 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCCN1C(=O)CNC1=O ZINC000733373923 1073446461 /nfs/dbraw/zinc/44/64/61/1073446461.db2.gz FBKLURFZPBJUDK-HZMBPMFUSA-N 0 0 433.870 -0.147 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCCN1C(=O)CNC1=O ZINC000733373930 1073446453 /nfs/dbraw/zinc/44/64/53/1073446453.db2.gz FBKLURFZPBJUDK-IINYFYTJSA-N 0 0 433.870 -0.147 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCCN1C(=O)CNC1=O ZINC000733373932 1073446441 /nfs/dbraw/zinc/44/64/41/1073446441.db2.gz FBKLURFZPBJUDK-QMTHXVAHSA-N 0 0 433.870 -0.147 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCCN1C(=O)CNC1=O ZINC000733373935 1073446631 /nfs/dbraw/zinc/44/66/31/1073446631.db2.gz FBKLURFZPBJUDK-YGRLFVJLSA-N 0 0 433.870 -0.147 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCCCN2C(=O)CNC2=O)[C@H](C)O)cc1C ZINC000733375791 1073445572 /nfs/dbraw/zinc/44/55/72/1073445572.db2.gz IJDBYZJLFJZRDW-BBRMVZONSA-N 0 0 427.479 -0.184 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCCCN2C(=O)CNC2=O)[C@@H](C)O)cc1C ZINC000733375792 1073445809 /nfs/dbraw/zinc/44/58/09/1073445809.db2.gz IJDBYZJLFJZRDW-CJNGLKHVSA-N 0 0 427.479 -0.184 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCCCN2C(=O)CNC2=O)[C@@H](C)O)cc1C ZINC000733375793 1073445894 /nfs/dbraw/zinc/44/58/94/1073445894.db2.gz IJDBYZJLFJZRDW-CZUORRHYSA-N 0 0 427.479 -0.184 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCCCN2C(=O)CNC2=O)[C@H](C)O)cc1C ZINC000733375794 1073445900 /nfs/dbraw/zinc/44/59/00/1073445900.db2.gz IJDBYZJLFJZRDW-XJKSGUPXSA-N 0 0 427.479 -0.184 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)OCc3nnnn3C)CC2)cc1 ZINC000733377992 1073447374 /nfs/dbraw/zinc/44/73/74/1073447374.db2.gz ZBAGKSHHRGRZFJ-UHFFFAOYSA-N 0 0 436.494 -0.125 20 0 IBADRN O=C(OCCCN1C(=O)CNC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000733379629 1073447597 /nfs/dbraw/zinc/44/75/97/1073447597.db2.gz IXPBNNZZQJOXIR-UHFFFAOYSA-N 0 0 429.426 -0.055 20 0 IBADRN O=C(OCCCN1C(=O)CNC1=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000733385295 1073447171 /nfs/dbraw/zinc/44/71/71/1073447171.db2.gz DVNAHBRJJARVDE-UHFFFAOYSA-N 0 0 429.426 -0.055 20 0 IBADRN COc1ccc(C(=O)OCCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000733387356 1073447262 /nfs/dbraw/zinc/44/72/62/1073447262.db2.gz QPAOUGHBJGJCFV-UHFFFAOYSA-N 0 0 441.462 -0.185 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)OCCCN2C(=O)CNC2=O)cc1 ZINC000733394829 1073447239 /nfs/dbraw/zinc/44/72/39/1073447239.db2.gz BOHWIAKNIDTMQV-UHFFFAOYSA-N 0 0 426.451 -0.202 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)OCCCN2C(=O)CNC2=O)cc1 ZINC000733395251 1073447486 /nfs/dbraw/zinc/44/74/86/1073447486.db2.gz PZNOAVDCKIINOS-UHFFFAOYSA-N 0 0 426.451 -0.249 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCCCN2C(=O)CNC2=O)c1 ZINC000733396583 1073446611 /nfs/dbraw/zinc/44/66/11/1073446611.db2.gz KGXVRRDKOOKACM-UHFFFAOYSA-N 0 0 426.451 -0.731 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCCCN2C(=O)CNC2=O)c1 ZINC000733398294 1073446675 /nfs/dbraw/zinc/44/66/75/1073446675.db2.gz FCJRHLPFPHJXQY-UHFFFAOYSA-N 0 0 428.467 -0.560 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCCN1C(=O)CNC1=O ZINC000733401481 1073446550 /nfs/dbraw/zinc/44/65/50/1073446550.db2.gz PPUZNJNEOZMXRW-UHFFFAOYSA-N 0 0 441.462 -0.185 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)OCCCN1C(=O)CNC1=O ZINC000733402571 1073447427 /nfs/dbraw/zinc/44/74/27/1073447427.db2.gz KGOCVHOPRIDWGN-UHFFFAOYSA-N 0 0 438.462 -0.423 20 0 IBADRN Cn1nnnc1COC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000733408957 1073447347 /nfs/dbraw/zinc/44/73/47/1073447347.db2.gz GDZBZXIYPIFUNP-UHFFFAOYSA-N 0 0 449.493 -0.206 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)OCCCN1C(=O)CNC1=O ZINC000733409418 1073447402 /nfs/dbraw/zinc/44/74/02/1073447402.db2.gz KRAAXKGEPQFAEE-UHFFFAOYSA-N 0 0 427.435 -0.389 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCCCN2C(=O)CNC2=O)c1 ZINC000733411782 1073447319 /nfs/dbraw/zinc/44/73/19/1073447319.db2.gz VWJVCGPXCQJOTE-UHFFFAOYSA-N 0 0 428.467 -0.636 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCCCN2C(=O)CNC2=O)c1 ZINC000733420771 1073447191 /nfs/dbraw/zinc/44/71/91/1073447191.db2.gz CBAWAOIHAMNBHL-UHFFFAOYSA-N 0 0 426.451 -0.848 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)OCCCN2C(=O)CNC2=O)CC1 ZINC000733423904 1073447217 /nfs/dbraw/zinc/44/72/17/1073447217.db2.gz PDDRBBFGQOGLPA-UHFFFAOYSA-N 0 0 427.483 -0.088 20 0 IBADRN COCCS(=O)(=O)CCCOC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000733425267 1073447462 /nfs/dbraw/zinc/44/74/62/1073447462.db2.gz FPZMABZTRPEIBI-UHFFFAOYSA-N 0 0 438.524 -0.346 20 0 IBADRN COC(=O)CCc1nc(COC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)no1 ZINC000733425638 1073447179 /nfs/dbraw/zinc/44/71/79/1073447179.db2.gz JPFWGAUVRVWNLR-UHFFFAOYSA-N 0 0 442.450 -0.108 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCCN1C(=O)CNC1=O ZINC000733429454 1073447587 /nfs/dbraw/zinc/44/75/87/1073447587.db2.gz GPSPFPRHJPUSKH-GFCCVEGCSA-N 0 0 440.478 -0.460 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCCN1C(=O)CNC1=O ZINC000733429455 1073447566 /nfs/dbraw/zinc/44/75/66/1073447566.db2.gz GPSPFPRHJPUSKH-LBPRGKRZSA-N 0 0 440.478 -0.460 20 0 IBADRN COCCS(=O)(=O)CCCOC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000733434015 1073447533 /nfs/dbraw/zinc/44/75/33/1073447533.db2.gz GWALDWBERDKGJS-UHFFFAOYSA-N 0 0 448.519 -0.585 20 0 IBADRN COCCS(=O)(=O)CCCOC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000733438054 1073448512 /nfs/dbraw/zinc/44/85/12/1073448512.db2.gz SBIUVXWNSYITNE-UHFFFAOYSA-N 0 0 448.519 -0.585 20 0 IBADRN COCCS(=O)(=O)CCCOC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000733438689 1073448493 /nfs/dbraw/zinc/44/84/93/1073448493.db2.gz IIAITRIKRJZAIA-UHFFFAOYSA-N 0 0 425.481 -0.091 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)Nc2cccc(C(=O)N3CCSCC3)c2)c1=O ZINC000733440091 1073448214 /nfs/dbraw/zinc/44/82/14/1073448214.db2.gz OIWZEKZUAXPFQZ-UHFFFAOYSA-N 0 0 446.485 -0.082 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)OCc2nnnn2C)c1OC ZINC000733451547 1073448369 /nfs/dbraw/zinc/44/83/69/1073448369.db2.gz KXHRLPFBXVRKIK-UHFFFAOYSA-N 0 0 427.439 -0.395 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCCCN3C(=O)CNC3=O)cc2S1(=O)=O ZINC000733455200 1073447507 /nfs/dbraw/zinc/44/75/07/1073447507.db2.gz BEGYJZJRWNVYFQ-UHFFFAOYSA-N 0 0 425.419 -0.424 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)Nc1cccc(C(=O)N2CCSCC2)c1 ZINC000733457050 1073447293 /nfs/dbraw/zinc/44/72/93/1073447293.db2.gz UGBPREAXEKKHKY-UHFFFAOYSA-N 0 0 436.490 -0.390 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)OCCCN3C(=O)CNC3=O)CC2)c1 ZINC000733463484 1073448191 /nfs/dbraw/zinc/44/81/91/1073448191.db2.gz JVUPYJOGDYRZLH-UHFFFAOYSA-N 0 0 438.506 -0.068 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCCCN3C(=O)CNC3=O)CC2)cn1C ZINC000733474532 1073448462 /nfs/dbraw/zinc/44/84/62/1073448462.db2.gz PJXFCBNGZKJLCD-UHFFFAOYSA-N 0 0 427.483 -0.386 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCCCN1C(=O)CNC1=O)c2=O ZINC000733482659 1073448314 /nfs/dbraw/zinc/44/83/14/1073448314.db2.gz WPCIFQLLHYGYKA-UHFFFAOYSA-N 0 0 427.215 -0.622 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2cccn(C)c2=O)CC1 ZINC000733504100 1073448258 /nfs/dbraw/zinc/44/82/58/1073448258.db2.gz SDSZAWWHIOQDQG-UHFFFAOYSA-N 0 0 438.462 -0.320 20 0 IBADRN COCCN(C(=O)COC(=O)c1cccn(C)c1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000733504905 1073448270 /nfs/dbraw/zinc/44/82/70/1073448270.db2.gz VSPUZMSVXRZQCD-UHFFFAOYSA-N 0 0 449.464 -0.278 20 0 IBADRN Cn1cccc(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)c1=O ZINC000733506407 1073448174 /nfs/dbraw/zinc/44/81/74/1073448174.db2.gz NAUBHAPZRNGPFF-UHFFFAOYSA-N 0 0 449.485 -0.121 20 0 IBADRN Cn1cccc(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1=O ZINC000733508152 1073448285 /nfs/dbraw/zinc/44/82/85/1073448285.db2.gz KTHWLRAAVKKXOT-UHFFFAOYSA-N 0 0 449.485 -0.121 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CN(C)C(=O)C2CC2)CC1 ZINC000733511742 1073448388 /nfs/dbraw/zinc/44/83/88/1073448388.db2.gz DNSGZONHXDWFDI-UHFFFAOYSA-N 0 0 442.494 -0.464 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)C(=O)C1CC1 ZINC000733511986 1073448452 /nfs/dbraw/zinc/44/84/52/1073448452.db2.gz JTTPAUUEBSGISN-GOSISDBHSA-N 0 0 445.472 -0.091 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)C(=O)C1CC1 ZINC000733511987 1073448401 /nfs/dbraw/zinc/44/84/01/1073448401.db2.gz JTTPAUUEBSGISN-SFHVURJKSA-N 0 0 445.472 -0.091 20 0 IBADRN CN(CC(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)C(=O)C1CC1 ZINC000733513116 1073448336 /nfs/dbraw/zinc/44/83/36/1073448336.db2.gz FELWHIFDCRTBDJ-UHFFFAOYSA-N 0 0 428.445 -0.228 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000733516063 1073449407 /nfs/dbraw/zinc/44/94/07/1073449407.db2.gz MQEOJAAXKNWSOY-CYBMUJFWSA-N 0 0 445.411 -0.690 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000733516066 1073449281 /nfs/dbraw/zinc/44/92/81/1073449281.db2.gz MQEOJAAXKNWSOY-ZDUSSCGKSA-N 0 0 445.411 -0.690 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000733517496 1073449222 /nfs/dbraw/zinc/44/92/22/1073449222.db2.gz VZXKXFKVZGJOEB-CYBMUJFWSA-N 0 0 445.411 -0.690 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000733517497 1073449202 /nfs/dbraw/zinc/44/92/02/1073449202.db2.gz VZXKXFKVZGJOEB-ZDUSSCGKSA-N 0 0 445.411 -0.690 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CN(C)C(=O)C3CC3)C2=O)cc1 ZINC000733517625 1073449097 /nfs/dbraw/zinc/44/90/97/1073449097.db2.gz STLGALJQANAQDQ-FQEVSTJZSA-N 0 0 432.433 -0.095 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CN(C)C(=O)C3CC3)C2=O)cc1 ZINC000733517626 1073450003 /nfs/dbraw/zinc/45/00/03/1073450003.db2.gz STLGALJQANAQDQ-HXUWFJFHSA-N 0 0 432.433 -0.095 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)=NN1c1ccccc1 ZINC000733536233 1073448164 /nfs/dbraw/zinc/44/81/64/1073448164.db2.gz ZYZVXHNJFCSCQQ-IBGZPJMESA-N 0 0 443.504 -0.014 20 0 IBADRN CC(=O)[C@H]1CC(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)=NN1c1ccccc1 ZINC000733536234 1073448138 /nfs/dbraw/zinc/44/81/38/1073448138.db2.gz ZYZVXHNJFCSCQQ-LJQANCHMSA-N 0 0 443.504 -0.014 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cc(C(N)=O)n(-c2ccccc2)n1)S(C)(=O)=O ZINC000733539436 1073449392 /nfs/dbraw/zinc/44/93/92/1073449392.db2.gz ODORDYZCXDKHDN-UHFFFAOYSA-N 0 0 437.478 -0.474 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)=NN1c1ccccc1 ZINC000733539970 1073448236 /nfs/dbraw/zinc/44/82/36/1073448236.db2.gz OKBJNFBIJHHHOJ-AWEZNQCLSA-N 0 0 427.417 -0.384 20 0 IBADRN CC(=O)[C@H]1CC(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)=NN1c1ccccc1 ZINC000733539971 1073448481 /nfs/dbraw/zinc/44/84/81/1073448481.db2.gz OKBJNFBIJHHHOJ-CQSZACIVSA-N 0 0 427.417 -0.384 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cc(C(N)=O)n(-c4ccccc4)n3)c2c(=O)n(C)c1=O ZINC000733540664 1073449079 /nfs/dbraw/zinc/44/90/79/1073449079.db2.gz GNKBVEFOZRNYFX-UHFFFAOYSA-N 0 0 437.416 -0.425 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(C(N)=O)n(-c3ccccc3)n2)CC1 ZINC000733541891 1073449240 /nfs/dbraw/zinc/44/92/40/1073449240.db2.gz YOJGSASEIIDQCW-UHFFFAOYSA-N 0 0 442.476 -0.640 20 0 IBADRN COC(=O)NCCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000733541921 1073449043 /nfs/dbraw/zinc/44/90/43/1073449043.db2.gz ABVFUHQEBXSKDN-UHFFFAOYSA-N 0 0 446.482 -0.196 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000733542675 1073449257 /nfs/dbraw/zinc/44/92/57/1073449257.db2.gz LIHXWWZUMFKRTG-UHFFFAOYSA-N 0 0 431.442 -0.052 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000733543573 1073449336 /nfs/dbraw/zinc/44/93/36/1073449336.db2.gz ZNEKGPGBSMNJJA-UHFFFAOYSA-N 0 0 431.442 -0.052 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000733543606 1073449060 /nfs/dbraw/zinc/44/90/60/1073449060.db2.gz ABIGZQTTXNETAA-UHFFFAOYSA-N 0 0 429.451 -0.065 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000733544247 1073449307 /nfs/dbraw/zinc/44/93/07/1073449307.db2.gz JGUACTMQBPIMPE-UHFFFAOYSA-N 0 0 431.442 -0.052 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000733544295 1073449323 /nfs/dbraw/zinc/44/93/23/1073449323.db2.gz LRTQCWVABWYFNA-UHFFFAOYSA-N 0 0 427.479 -0.050 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000733544486 1073449374 /nfs/dbraw/zinc/44/93/74/1073449374.db2.gz POHWBHMGRLGVSE-UHFFFAOYSA-N 0 0 443.478 -0.183 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000733544594 1073451889 /nfs/dbraw/zinc/45/18/89/1073451889.db2.gz QFQUMXQDOHWFBL-UHFFFAOYSA-N 0 0 443.478 -0.387 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000733544650 1073452011 /nfs/dbraw/zinc/45/20/11/1073452011.db2.gz DZNAVFHCQKRSKW-INIZCTEOSA-N 0 0 435.433 -0.214 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000733544651 1073451904 /nfs/dbraw/zinc/45/19/04/1073451904.db2.gz DZNAVFHCQKRSKW-MRXNPFEDSA-N 0 0 435.433 -0.214 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000733545157 1073449125 /nfs/dbraw/zinc/44/91/25/1073449125.db2.gz ZQPVATOSPDJZTB-UHFFFAOYSA-N 0 0 429.451 -0.065 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000733545331 1073449184 /nfs/dbraw/zinc/44/91/84/1073449184.db2.gz ZTLPLLUUVZGLTD-UHFFFAOYSA-N 0 0 443.478 -0.387 20 0 IBADRN COC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000733545347 1073449156 /nfs/dbraw/zinc/44/91/56/1073449156.db2.gz RHKPPKQICABANM-UHFFFAOYSA-N 0 0 432.455 -0.586 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000733545555 1073452610 /nfs/dbraw/zinc/45/26/10/1073452610.db2.gz AIRFWWXGPUJJNX-UHFFFAOYSA-N 0 0 444.448 -0.602 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000733545967 1073451964 /nfs/dbraw/zinc/45/19/64/1073451964.db2.gz QLTLLBSQWJEMHI-UHFFFAOYSA-N 0 0 427.417 -0.006 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000733546692 1073452572 /nfs/dbraw/zinc/45/25/72/1073452572.db2.gz KFVPBJOKURFLCS-UHFFFAOYSA-N 0 0 444.448 -0.051 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O ZINC000733547392 1073452505 /nfs/dbraw/zinc/45/25/05/1073452505.db2.gz SBQWZPWKXGSOIM-UHFFFAOYSA-N 0 0 441.510 -0.407 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)O[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000733548160 1073452543 /nfs/dbraw/zinc/45/25/43/1073452543.db2.gz VRVJJKSVDCPGQB-AWEZNQCLSA-N 0 0 425.449 -0.056 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)O[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000733548176 1073452601 /nfs/dbraw/zinc/45/26/01/1073452601.db2.gz VRVJJKSVDCPGQB-CQSZACIVSA-N 0 0 425.449 -0.056 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000733548601 1073452656 /nfs/dbraw/zinc/45/26/56/1073452656.db2.gz ZNYXJUJMZTUJGV-UHFFFAOYSA-N 0 0 427.421 -0.746 20 0 IBADRN COC(=O)N[C@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)C(C)C ZINC000733554512 1073450032 /nfs/dbraw/zinc/45/00/32/1073450032.db2.gz VMYVUDLRPJKCPW-HNNXBMFYSA-N 0 0 433.469 -0.064 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000733559484 1073449824 /nfs/dbraw/zinc/44/98/24/1073449824.db2.gz JUKPXXUDDGBBSC-UHFFFAOYSA-N 0 0 438.462 -0.995 20 0 IBADRN O=C(CNC(=O)COC(=O)CN1CCC(=O)NC1=O)Nc1ccccc1Br ZINC000733560083 1073449848 /nfs/dbraw/zinc/44/98/48/1073449848.db2.gz VISHLKKDXSSGPA-UHFFFAOYSA-N 0 0 441.238 -0.011 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCC(=O)NC2=O)C1=O ZINC000733560439 1073449979 /nfs/dbraw/zinc/44/99/79/1073449979.db2.gz YWQXXMMCZWHRKL-FQEVSTJZSA-N 0 0 445.432 -0.554 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCC(=O)NC2=O)C1=O ZINC000733560441 1073449836 /nfs/dbraw/zinc/44/98/36/1073449836.db2.gz YWQXXMMCZWHRKL-HXUWFJFHSA-N 0 0 445.432 -0.554 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)CN2CCC(=O)NC2=O)CC1)Nc1ccc(F)cc1 ZINC000733560563 1073449888 /nfs/dbraw/zinc/44/98/88/1073449888.db2.gz FBSJZJNHDKLRNY-UHFFFAOYSA-N 0 0 449.439 -0.607 20 0 IBADRN C[C@@H](OC(=O)CN1CCC(=O)NC1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000733560824 1073449810 /nfs/dbraw/zinc/44/98/10/1073449810.db2.gz RTZDVQSMQCFNGT-LLVKDONJSA-N 0 0 426.451 -0.251 20 0 IBADRN C[C@H](OC(=O)CN1CCC(=O)NC1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000733560825 1073449861 /nfs/dbraw/zinc/44/98/61/1073449861.db2.gz RTZDVQSMQCFNGT-NSHDSACASA-N 0 0 426.451 -0.251 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000733561371 1073449791 /nfs/dbraw/zinc/44/97/91/1073449791.db2.gz IKEFODRNQLIDRG-UHFFFAOYSA-N 0 0 430.461 -0.249 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000733561391 1073450009 /nfs/dbraw/zinc/45/00/09/1073450009.db2.gz JKPSEQOEEBDQLB-UHFFFAOYSA-N 0 0 441.462 -0.429 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2CCC(=O)NC2=O)cc1S(=O)(=O)N(C)C ZINC000733561625 1073449994 /nfs/dbraw/zinc/44/99/94/1073449994.db2.gz LBEBDIDTDPMCHS-UHFFFAOYSA-N 0 0 426.451 -0.331 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCC(=O)NC2=O)C1=O ZINC000733561643 1073449869 /nfs/dbraw/zinc/44/98/69/1073449869.db2.gz LZEXBAGNJIAVGG-IBGZPJMESA-N 0 0 431.405 -0.640 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCC(=O)NC2=O)C1=O ZINC000733561644 1073449877 /nfs/dbraw/zinc/44/98/77/1073449877.db2.gz LZEXBAGNJIAVGG-LJQANCHMSA-N 0 0 431.405 -0.640 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000733562385 1073449964 /nfs/dbraw/zinc/44/99/64/1073449964.db2.gz RQPUNBJBLJDMIJ-AWEZNQCLSA-N 0 0 429.495 -0.180 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000733562386 1073450016 /nfs/dbraw/zinc/45/00/16/1073450016.db2.gz RQPUNBJBLJDMIJ-CQSZACIVSA-N 0 0 429.495 -0.180 20 0 IBADRN O=C(COC(=O)CN1CCC(=O)NC1=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000733563116 1073449950 /nfs/dbraw/zinc/44/99/50/1073449950.db2.gz XMTXXRPDCDSVCZ-UHFFFAOYSA-N 0 0 438.462 -0.105 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@@H](C)OC(=O)CN2C(=O)C(=O)N(C)C2=O)c1 ZINC000733563484 1073450025 /nfs/dbraw/zinc/45/00/25/1073450025.db2.gz BCKPMPWCLDPKQH-SECBINFHSA-N 0 0 449.372 -0.449 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@H](C)OC(=O)CN2C(=O)C(=O)N(C)C2=O)c1 ZINC000733563490 1073450609 /nfs/dbraw/zinc/45/06/09/1073450609.db2.gz BCKPMPWCLDPKQH-VIFPVBQESA-N 0 0 449.372 -0.449 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)NCc2ccc(C(=O)N3CCCC3)cc2)C1=O ZINC000733563693 1073450807 /nfs/dbraw/zinc/45/08/07/1073450807.db2.gz BICWANCZFOPCIK-UHFFFAOYSA-N 0 0 430.417 -0.497 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)NC2CCN(C(=O)C3CCCCC3)CC2)C1=O ZINC000733563943 1073450690 /nfs/dbraw/zinc/45/06/90/1073450690.db2.gz FXYGKZUNYXUQSV-UHFFFAOYSA-N 0 0 436.465 -0.362 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N2CCC(NC(=O)c3ccccc3)CC2)C1=O ZINC000733564138 1073450620 /nfs/dbraw/zinc/45/06/20/1073450620.db2.gz GVUPQMQOJZSNFZ-UHFFFAOYSA-N 0 0 430.417 -0.629 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000733564172 1073450682 /nfs/dbraw/zinc/45/06/82/1073450682.db2.gz HHIKPBWERPCUCZ-SSDOTTSWSA-N 0 0 444.322 -0.489 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000733564173 1073450817 /nfs/dbraw/zinc/45/08/17/1073450817.db2.gz HHIKPBWERPCUCZ-ZETCQYMHSA-N 0 0 444.322 -0.489 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000733564299 1073450651 /nfs/dbraw/zinc/45/06/51/1073450651.db2.gz IFTGFXSYPBKYIE-JTQLQIEISA-N 0 0 440.434 -0.772 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000733564300 1073450800 /nfs/dbraw/zinc/45/08/00/1073450800.db2.gz IFTGFXSYPBKYIE-SNVBAGLBSA-N 0 0 440.434 -0.772 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N2CCC(C(=O)Nc3ccccc3)CC2)C1=O ZINC000733564340 1073450732 /nfs/dbraw/zinc/45/07/32/1073450732.db2.gz IZGDKLUUGZEKSQ-UHFFFAOYSA-N 0 0 430.417 -0.173 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N2CCN(Cc3cccc(Cl)c3)CC2)C1=O ZINC000733564392 1073449899 /nfs/dbraw/zinc/44/98/99/1073449899.db2.gz JJBJWKRSZOAEFV-UHFFFAOYSA-N 0 0 436.852 -0.052 20 0 IBADRN Cc1cc(N2CCN(C(=O)COC(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)nc(C(C)C)n1 ZINC000733564397 1073450706 /nfs/dbraw/zinc/45/07/06/1073450706.db2.gz KMHBQSFCWPTFAQ-UHFFFAOYSA-N 0 0 446.464 -0.479 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000733564398 1073450634 /nfs/dbraw/zinc/45/06/34/1073450634.db2.gz JTCBHAVBBDQDOM-AWEZNQCLSA-N 0 0 446.460 -0.513 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000733564399 1073450586 /nfs/dbraw/zinc/45/05/86/1073450586.db2.gz JTCBHAVBBDQDOM-CQSZACIVSA-N 0 0 446.460 -0.513 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)C1=O ZINC000733564565 1073451250 /nfs/dbraw/zinc/45/12/50/1073451250.db2.gz LTXXAILDKGYAQR-UHFFFAOYSA-N 0 0 431.405 -0.674 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)NCc2ccccc2CN2CCCC2=O)C1=O ZINC000733564664 1073451269 /nfs/dbraw/zinc/45/12/69/1073451269.db2.gz LWURFBQAMVLINE-UHFFFAOYSA-N 0 0 430.417 -0.611 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@@H](C)OC(=O)CN2C(=O)C(=O)N(C)C2=O)cc(C(=O)OC)c1 ZINC000733564772 1073451388 /nfs/dbraw/zinc/45/13/88/1073451388.db2.gz NQSANZXCPUQDMF-SECBINFHSA-N 0 0 449.372 -0.449 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@H](C)OC(=O)CN2C(=O)C(=O)N(C)C2=O)cc(C(=O)OC)c1 ZINC000733564774 1073451364 /nfs/dbraw/zinc/45/13/64/1073451364.db2.gz NQSANZXCPUQDMF-VIFPVBQESA-N 0 0 449.372 -0.449 20 0 IBADRN CCCCn1c2nc(COC(=O)CN3C(=O)C(=O)N(C)C3=O)n(CC)c2c(=O)[nH]c1=O ZINC000733564952 1073451377 /nfs/dbraw/zinc/45/13/77/1073451377.db2.gz PSRHSLYCLIURCI-UHFFFAOYSA-N 0 0 434.409 -0.418 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N(c2ccccc2)[C@@H]2C=CS(=O)(=O)C2)C1=O ZINC000733565152 1073450830 /nfs/dbraw/zinc/45/08/30/1073450830.db2.gz RFDMHKLGTLRDJP-CYBMUJFWSA-N 0 0 435.414 -0.706 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N(c2ccccc2)[C@H]2C=CS(=O)(=O)C2)C1=O ZINC000733565153 1073450771 /nfs/dbraw/zinc/45/07/71/1073450771.db2.gz RFDMHKLGTLRDJP-ZDUSSCGKSA-N 0 0 435.414 -0.706 20 0 IBADRN COC(=O)C1=C(COC(=O)CN2C(=O)C(=O)N(C)C2=O)N(C)C(=O)N[C@H]1c1ccccc1 ZINC000733565285 1073450824 /nfs/dbraw/zinc/45/08/24/1073450824.db2.gz RLGMXWKZSYKUAO-HNNXBMFYSA-N 0 0 444.400 -0.226 20 0 IBADRN COC(=O)C1=C(COC(=O)CN2C(=O)C(=O)N(C)C2=O)N(C)C(=O)N[C@@H]1c1ccccc1 ZINC000733565289 1073450721 /nfs/dbraw/zinc/45/07/21/1073450721.db2.gz RLGMXWKZSYKUAO-OAHLLOKOSA-N 0 0 444.400 -0.226 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)Nc2cccc(C(=O)N3CCSCC3)c2)C1=O ZINC000733565358 1073450664 /nfs/dbraw/zinc/45/06/64/1073450664.db2.gz RYHLTTNJPBKPQI-UHFFFAOYSA-N 0 0 448.457 -0.222 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2C(=O)C(=O)N(C)C2=O)NC(=O)N[C@@H]1c1ccco1 ZINC000733565870 1073451351 /nfs/dbraw/zinc/45/13/51/1073451351.db2.gz WZABBNUKEQZEKR-CYBMUJFWSA-N 0 0 434.361 -0.586 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2C(=O)C(=O)N(C)C2=O)NC(=O)N[C@H]1c1ccco1 ZINC000733565873 1073451396 /nfs/dbraw/zinc/45/13/96/1073451396.db2.gz WZABBNUKEQZEKR-ZDUSSCGKSA-N 0 0 434.361 -0.586 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)COC(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)c(F)c1 ZINC000733565927 1073451198 /nfs/dbraw/zinc/45/11/98/1073451198.db2.gz XEHQXQCUIQJUKU-UHFFFAOYSA-N 0 0 448.407 -0.359 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)Nc2ccccc2S(=O)(=O)C(F)F)C1=O ZINC000733566060 1073451218 /nfs/dbraw/zinc/45/12/18/1073451218.db2.gz YZYPHVAKVWMIGL-UHFFFAOYSA-N 0 0 433.345 -0.415 20 0 IBADRN Cc1c(NC(=O)[C@@H](C)OC(=O)CN2C(=O)C(=O)N(C)C2=O)c(=O)n(-c2ccccc2)n1C ZINC000733566262 1073451339 /nfs/dbraw/zinc/45/13/39/1073451339.db2.gz ALKCPKCSBHSRPU-GFCCVEGCSA-N 0 0 443.416 -0.225 20 0 IBADRN Cc1c(NC(=O)[C@H](C)OC(=O)CN2C(=O)C(=O)N(C)C2=O)c(=O)n(-c2ccccc2)n1C ZINC000733566263 1073451299 /nfs/dbraw/zinc/45/12/99/1073451299.db2.gz ALKCPKCSBHSRPU-LBPRGKRZSA-N 0 0 443.416 -0.225 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)NCc2ccccc2CN2CCOCC2)C1=O ZINC000733566394 1073451316 /nfs/dbraw/zinc/45/13/16/1073451316.db2.gz HABPUPBDMCHHAQ-UHFFFAOYSA-N 0 0 432.433 -0.901 20 0 IBADRN Cc1cc(NC(=O)COC(=O)CN2C(=O)C(=O)N(C)C2=O)n(-c2nc(C)cc(C)n2)n1 ZINC000733566494 1073451440 /nfs/dbraw/zinc/45/14/40/1073451440.db2.gz KLUMRVMHSZAWGF-UHFFFAOYSA-N 0 0 429.393 -0.510 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2C(=O)C(=O)N(C)C2=O)cc1S(=O)(=O)N(C)C ZINC000733566535 1073451172 /nfs/dbraw/zinc/45/11/72/1073451172.db2.gz LZTGMCRUUSQLQM-UHFFFAOYSA-N 0 0 440.434 -0.852 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCCO3)C1=O ZINC000733566540 1073451189 /nfs/dbraw/zinc/45/11/89/1073451189.db2.gz MIVVXZZFSOZWPV-UHFFFAOYSA-N 0 0 434.361 -0.540 20 0 IBADRN COC(=O)c1cc(NC(=O)COC(=O)CN2C(=O)C(=O)N(C)C2=O)cc(C(=O)OC)c1 ZINC000733566588 1073451979 /nfs/dbraw/zinc/45/19/79/1073451979.db2.gz NSTJXCJBRGLXTJ-UHFFFAOYSA-N 0 0 435.345 -0.838 20 0 IBADRN CCOC(=O)c1c(NC(=O)COC(=O)CN2C(=O)C(=O)N(C)C2=O)oc(C)c1C(C)=O ZINC000733566606 1073451984 /nfs/dbraw/zinc/45/19/84/1073451984.db2.gz OCZITVNYGDLOCR-UHFFFAOYSA-N 0 0 437.361 -0.130 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2C(=O)C(=O)N(C)C2=O)NC(=O)N[C@H]1c1ccccc1 ZINC000733566780 1073451150 /nfs/dbraw/zinc/45/11/50/1073451150.db2.gz QXUCVUTYLYQXKM-HNNXBMFYSA-N 0 0 444.400 -0.179 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2C(=O)C(=O)N(C)C2=O)NC(=O)N[C@@H]1c1ccccc1 ZINC000733566781 1073451796 /nfs/dbraw/zinc/45/17/96/1073451796.db2.gz QXUCVUTYLYQXKM-OAHLLOKOSA-N 0 0 444.400 -0.179 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1=O ZINC000733566808 1073451954 /nfs/dbraw/zinc/45/19/54/1073451954.db2.gz SBEZRNYRMQERJH-UHFFFAOYSA-N 0 0 437.430 -0.382 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)C1=O ZINC000733566981 1073451408 /nfs/dbraw/zinc/45/14/08/1073451408.db2.gz VDSIGYFVAOOKCP-UHFFFAOYSA-N 0 0 444.444 -0.771 20 0 IBADRN CCS(=O)(=O)N1CCc2cc(C(=O)COC(=O)CN3C(=O)C(=O)N(C)C3=O)ccc21 ZINC000733567292 1073451429 /nfs/dbraw/zinc/45/14/29/1073451429.db2.gz YKVBIIDMPGCSJX-UHFFFAOYSA-N 0 0 437.430 -0.455 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000733568428 1073451942 /nfs/dbraw/zinc/45/19/42/1073451942.db2.gz BVBPJZQDLSEICN-AWEZNQCLSA-N 0 0 432.437 -0.886 20 0 IBADRN CC(C)[C@@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000733568429 1073451855 /nfs/dbraw/zinc/45/18/55/1073451855.db2.gz BVBPJZQDLSEICN-CQSZACIVSA-N 0 0 432.437 -0.886 20 0 IBADRN Cc1ccccc1C(=O)NC1CCN(C(=O)COC(=O)CN2C(=O)C(=O)N(C)C2=O)CC1 ZINC000733569909 1073451787 /nfs/dbraw/zinc/45/17/87/1073451787.db2.gz IDMVKGRQBZDFHW-UHFFFAOYSA-N 0 0 444.444 -0.320 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C1=O ZINC000733569968 1073451749 /nfs/dbraw/zinc/45/17/49/1073451749.db2.gz IRMNECHYTKWMTQ-UHFFFAOYSA-N 0 0 433.345 -0.415 20 0 IBADRN Cc1cc(C(=O)COC(=O)CN2C(=O)C(=O)N(C)C2=O)c(C)n1[C@@H]1CCS(=O)(=O)C1 ZINC000733571635 1073451768 /nfs/dbraw/zinc/45/17/68/1073451768.db2.gz OUHFNNKRHLTQQS-GFCCVEGCSA-N 0 0 439.446 -0.389 20 0 IBADRN Cc1cc(C(=O)COC(=O)CN2C(=O)C(=O)N(C)C2=O)c(C)n1[C@H]1CCS(=O)(=O)C1 ZINC000733571636 1073451929 /nfs/dbraw/zinc/45/19/29/1073451929.db2.gz OUHFNNKRHLTQQS-LBPRGKRZSA-N 0 0 439.446 -0.389 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)C1=O ZINC000733571664 1073451916 /nfs/dbraw/zinc/45/19/16/1073451916.db2.gz OVMKLARIAWFGKG-UHFFFAOYSA-N 0 0 431.810 -0.354 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000733572908 1073451872 /nfs/dbraw/zinc/45/18/72/1073451872.db2.gz RYNCOELSLXGZPH-SECBINFHSA-N 0 0 434.361 -0.542 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)C(=O)N(C)C1=O)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000733572910 1073451836 /nfs/dbraw/zinc/45/18/36/1073451836.db2.gz RYNCOELSLXGZPH-VIFPVBQESA-N 0 0 434.361 -0.542 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N([C@@H]2CCS(=O)(=O)C2)C2CCCCC2)C1=O ZINC000733573575 1073451880 /nfs/dbraw/zinc/45/18/80/1073451880.db2.gz VBYILUMDBJUQFT-CYBMUJFWSA-N 0 0 443.478 -0.701 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N([C@H]2CCS(=O)(=O)C2)C2CCCCC2)C1=O ZINC000733573576 1073451815 /nfs/dbraw/zinc/45/18/15/1073451815.db2.gz VBYILUMDBJUQFT-ZDUSSCGKSA-N 0 0 443.478 -0.701 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)c2ccc3c(c2)CCCN3S(C)(=O)=O)C1=O ZINC000733574739 1073452412 /nfs/dbraw/zinc/45/24/12/1073452412.db2.gz YUAFYPWGGQWDDG-UHFFFAOYSA-N 0 0 437.430 -0.455 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccc(S(C)(=O)=O)o2)CC1 ZINC000733586058 1073452622 /nfs/dbraw/zinc/45/26/22/1073452622.db2.gz JYYQQTPEJGTQAB-UHFFFAOYSA-N 0 0 448.461 -0.135 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccc(S(C)(=O)=O)o2)c(=O)n(C)c1=O ZINC000733586497 1073452560 /nfs/dbraw/zinc/45/25/60/1073452560.db2.gz OVLJPKRTOHENFV-UHFFFAOYSA-N 0 0 427.435 -0.179 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)COC[C@@H]2CCCO2)CC1 ZINC000733592827 1073452394 /nfs/dbraw/zinc/45/23/94/1073452394.db2.gz IYISXNVEEVMNQE-HNNXBMFYSA-N 0 0 445.494 -0.137 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)COC[C@H]2CCCO2)CC1 ZINC000733592828 1073452645 /nfs/dbraw/zinc/45/26/45/1073452645.db2.gz IYISXNVEEVMNQE-OAHLLOKOSA-N 0 0 445.494 -0.137 20 0 IBADRN CN(C)c1ncc(Br)cc1C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000733603567 1073452360 /nfs/dbraw/zinc/45/23/60/1073452360.db2.gz CEWDWKXRQOZZCY-UHFFFAOYSA-N 0 0 440.254 -0.071 20 0 IBADRN Cc1nn(C)cc1C(=O)OCC(=O)N1CCN(C(=O)COC(=O)c2cn(C)nc2C)CC1 ZINC000733608751 1073452339 /nfs/dbraw/zinc/45/23/39/1073452339.db2.gz CKYGCHJTHFEIDW-UHFFFAOYSA-N 0 0 446.464 -0.545 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN2CC(=O)Nc3ccccc32)c(=O)n(C)c1=O ZINC000733610789 1073452442 /nfs/dbraw/zinc/45/24/42/1073452442.db2.gz DWOMIBJSNPMQGV-UHFFFAOYSA-N 0 0 443.460 -0.030 20 0 IBADRN CC1(C)NC(=O)N(CCS(=O)(=O)CC(=O)NC[C@@H]2COc3ccccc3O2)C1=O ZINC000733611099 1073452493 /nfs/dbraw/zinc/45/24/93/1073452493.db2.gz TZSOZYWCGYCFNE-GFCCVEGCSA-N 0 0 425.463 -0.312 20 0 IBADRN CC1(C)NC(=O)N(CCS(=O)(=O)CC(=O)NC[C@H]2COc3ccccc3O2)C1=O ZINC000733611103 1073452374 /nfs/dbraw/zinc/45/23/74/1073452374.db2.gz TZSOZYWCGYCFNE-LBPRGKRZSA-N 0 0 425.463 -0.312 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1CC(=O)Nc3ccccc31)c(=O)n2C ZINC000733611332 1073452584 /nfs/dbraw/zinc/45/25/84/1073452584.db2.gz HRPNPZVVMGQCBG-UHFFFAOYSA-N 0 0 426.433 -0.174 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)CN2CC(=O)Nc3ccccc32)cc1 ZINC000733611579 1073452481 /nfs/dbraw/zinc/45/24/81/1073452481.db2.gz LXYIEKFDZGGIFL-UHFFFAOYSA-N 0 0 446.485 -0.005 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000733631599 1073453290 /nfs/dbraw/zinc/45/32/90/1073453290.db2.gz LAMXIVRREVCLCA-UHFFFAOYSA-N 0 0 427.483 -0.925 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)CC1 ZINC000733632214 1073452455 /nfs/dbraw/zinc/45/24/55/1073452455.db2.gz YJDZYBZSBGWHDB-UHFFFAOYSA-N 0 0 439.538 -0.078 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000733634766 1073453330 /nfs/dbraw/zinc/45/33/30/1073453330.db2.gz YVQWMJVIBMSSPE-UHFFFAOYSA-N 0 0 435.524 -0.110 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000733650607 1073453209 /nfs/dbraw/zinc/45/32/09/1073453209.db2.gz SNYICKMHGXSFEY-GFCCVEGCSA-N 0 0 432.515 -0.026 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000733650608 1073453314 /nfs/dbraw/zinc/45/33/14/1073453314.db2.gz SNYICKMHGXSFEY-LBPRGKRZSA-N 0 0 432.515 -0.026 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)NCCN2C(=O)CNC2=O)C1 ZINC000733657581 1073453940 /nfs/dbraw/zinc/45/39/40/1073453940.db2.gz KVSDSDLGVHDHGG-CYBMUJFWSA-N 0 0 440.501 -0.399 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)NCCN2C(=O)CNC2=O)C1 ZINC000733657591 1073454068 /nfs/dbraw/zinc/45/40/68/1073454068.db2.gz KVSDSDLGVHDHGG-ZDUSSCGKSA-N 0 0 440.501 -0.399 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CNC(C)=O)cc2)CC1 ZINC000733664033 1073453369 /nfs/dbraw/zinc/45/33/69/1073453369.db2.gz NTXJDIIWXLPBRU-UHFFFAOYSA-N 0 0 439.538 -0.008 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1ccc(-n2nccn2)cc1C(F)(F)F ZINC000733669551 1073453155 /nfs/dbraw/zinc/45/31/55/1073453155.db2.gz LPBJRDLOHSIRIY-UHFFFAOYSA-N 0 0 425.327 -0.107 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C(=O)Nc1cc2c(cc1Br)OCCO2 ZINC000733669558 1073453380 /nfs/dbraw/zinc/45/33/80/1073453380.db2.gz LSHRHRSEWNRPOW-UHFFFAOYSA-N 0 0 427.211 -0.173 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000733669565 1073453354 /nfs/dbraw/zinc/45/33/54/1073453354.db2.gz OMHATPRKUXIFII-HNNXBMFYSA-N 0 0 447.535 -0.411 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000733669567 1073453324 /nfs/dbraw/zinc/45/33/24/1073453324.db2.gz OMHATPRKUXIFII-OAHLLOKOSA-N 0 0 447.535 -0.411 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)C1 ZINC000733669583 1073453258 /nfs/dbraw/zinc/45/32/58/1073453258.db2.gz OYEJWMXJCSHVEZ-AWEZNQCLSA-N 0 0 448.523 -0.653 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)C1 ZINC000733669584 1073453361 /nfs/dbraw/zinc/45/33/61/1073453361.db2.gz OYEJWMXJCSHVEZ-CQSZACIVSA-N 0 0 448.523 -0.653 20 0 IBADRN CN(Cc1cccc(NC(=O)C(=O)NCCN2C(=O)CNC2=O)c1)C(=O)C(F)(F)F ZINC000733671619 1073453231 /nfs/dbraw/zinc/45/32/31/1073453231.db2.gz WYFUURDVKUMKIL-UHFFFAOYSA-N 0 0 429.355 -0.186 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)CS(C)(=O)=O)cc2)CC1 ZINC000733673574 1073453225 /nfs/dbraw/zinc/45/32/25/1073453225.db2.gz JREHILPKAVVJCA-AWEZNQCLSA-N 0 0 440.522 -0.531 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)CS(C)(=O)=O)cc2)CC1 ZINC000733673579 1073453193 /nfs/dbraw/zinc/45/31/93/1073453193.db2.gz JREHILPKAVVJCA-CQSZACIVSA-N 0 0 440.522 -0.531 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000733674912 1073453386 /nfs/dbraw/zinc/45/33/86/1073453386.db2.gz QDILFPNAILLAOG-GFCCVEGCSA-N 0 0 431.536 -0.235 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000733674913 1073453283 /nfs/dbraw/zinc/45/32/83/1073453283.db2.gz QDILFPNAILLAOG-LBPRGKRZSA-N 0 0 431.536 -0.235 20 0 IBADRN CCC(=O)N1CCCC[C@H]1C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000733691670 1073454115 /nfs/dbraw/zinc/45/41/15/1073454115.db2.gz CGOMITNQIOUDTE-KRWDZBQOSA-N 0 0 433.509 -0.128 20 0 IBADRN CCC(=O)N1CCCC[C@@H]1C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000733691671 1073454032 /nfs/dbraw/zinc/45/40/32/1073454032.db2.gz CGOMITNQIOUDTE-QGZVFWFLSA-N 0 0 433.509 -0.128 20 0 IBADRN CC(C)[C@@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)N1CCCC1=O ZINC000733692685 1073453913 /nfs/dbraw/zinc/45/39/13/1073453913.db2.gz XBXVBEIRTOYYGY-IBGZPJMESA-N 0 0 433.509 -0.272 20 0 IBADRN CC(C)[C@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)N1CCCC1=O ZINC000733692692 1073454125 /nfs/dbraw/zinc/45/41/25/1073454125.db2.gz XBXVBEIRTOYYGY-LJQANCHMSA-N 0 0 433.509 -0.272 20 0 IBADRN Cc1nnc2ccc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)cn12 ZINC000733692738 1073454203 /nfs/dbraw/zinc/45/42/03/1073454203.db2.gz YNFCRQAMCDPBOP-UHFFFAOYSA-N 0 0 425.449 -0.254 20 0 IBADRN Cn1c2ccc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)cc2[nH]c1=O ZINC000733692773 1073453958 /nfs/dbraw/zinc/45/39/58/1073453958.db2.gz YWMUJUAZPIDYMM-UHFFFAOYSA-N 0 0 440.460 -0.013 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000733698860 1073454166 /nfs/dbraw/zinc/45/41/66/1073454166.db2.gz ZVLWNEMQLCDUSK-HNNXBMFYSA-N 0 0 441.572 -0.367 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000733698861 1073454098 /nfs/dbraw/zinc/45/40/98/1073454098.db2.gz ZVLWNEMQLCDUSK-OAHLLOKOSA-N 0 0 441.572 -0.367 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000733778013 1073455428 /nfs/dbraw/zinc/45/54/28/1073455428.db2.gz JWGYBTBJEGWJKX-CYBMUJFWSA-N 0 0 435.458 -0.111 20 0 IBADRN O=C(NCCN1C(=O)CCC1=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000733778014 1073455354 /nfs/dbraw/zinc/45/53/54/1073455354.db2.gz JWGYBTBJEGWJKX-ZDUSSCGKSA-N 0 0 435.458 -0.111 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)[C@@H](C)CS(C)(=O)=O)C2=O)c1 ZINC000733794669 1073455423 /nfs/dbraw/zinc/45/54/23/1073455423.db2.gz FVDRKINMIYBPTL-KPZWWZAWSA-N 0 0 426.495 -0.142 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)[C@H](C)CS(C)(=O)=O)C2=O)c1 ZINC000733794670 1073455386 /nfs/dbraw/zinc/45/53/86/1073455386.db2.gz FVDRKINMIYBPTL-KZULUSFZSA-N 0 0 426.495 -0.142 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN(C)[C@@H](C)CS(C)(=O)=O)C2=O)c1 ZINC000733794671 1073455294 /nfs/dbraw/zinc/45/52/94/1073455294.db2.gz FVDRKINMIYBPTL-SGTLLEGYSA-N 0 0 426.495 -0.142 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN(C)[C@H](C)CS(C)(=O)=O)C2=O)c1 ZINC000733794672 1073455274 /nfs/dbraw/zinc/45/52/74/1073455274.db2.gz FVDRKINMIYBPTL-XIKOKIGWSA-N 0 0 426.495 -0.142 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NCCN2C(=O)CCC2=O)CC1 ZINC000733803457 1073455241 /nfs/dbraw/zinc/45/52/41/1073455241.db2.gz XOVMCCUJRJEIDU-UHFFFAOYSA-N 0 0 425.486 -0.048 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000733857034 1073455962 /nfs/dbraw/zinc/45/59/62/1073455962.db2.gz JYEBWGFAVLPNIH-UHFFFAOYSA-N 0 0 441.510 -0.115 20 0 IBADRN Cn1c2c(nc(Br)n2C[C@H]2CN=C(N3CCOCC3)S2)c(=O)n(C)c1=O ZINC000733871921 1073455894 /nfs/dbraw/zinc/45/58/94/1073455894.db2.gz YVNMQZMKXFUHIH-SECBINFHSA-N 0 0 443.327 0.000 20 0 IBADRN Cn1c2c(nc(Br)n2C[C@@H]2CN=C(N3CCOCC3)S2)c(=O)n(C)c1=O ZINC000733871922 1073456126 /nfs/dbraw/zinc/45/61/26/1073456126.db2.gz YVNMQZMKXFUHIH-VIFPVBQESA-N 0 0 443.327 0.000 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000733874881 1073456004 /nfs/dbraw/zinc/45/60/04/1073456004.db2.gz KIKDMIAFQUJBGN-UHFFFAOYSA-N 0 0 430.870 -0.358 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)=NN1c1ccccc1 ZINC000733877256 1073456844 /nfs/dbraw/zinc/45/68/44/1073456844.db2.gz KSZCTMVDEJLAJH-HNNXBMFYSA-N 0 0 442.505 -0.259 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)=NN1c1ccccc1 ZINC000733877259 1073456684 /nfs/dbraw/zinc/45/66/84/1073456684.db2.gz KSZCTMVDEJLAJH-OAHLLOKOSA-N 0 0 442.505 -0.259 20 0 IBADRN O=C(CN1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1)Nc1nncs1 ZINC000733877352 1073456812 /nfs/dbraw/zinc/45/68/12/1073456812.db2.gz OMVWGFFWLAYUSL-UHFFFAOYSA-N 0 0 437.507 -0.882 20 0 IBADRN C[C@H]1NC(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)=NN(c2ccccc2)C1=O ZINC000733877601 1073455863 /nfs/dbraw/zinc/45/58/63/1073455863.db2.gz YWRFOLASQRIYML-CYBMUJFWSA-N 0 0 442.505 -0.041 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)=NN(c2ccccc2)C1=O ZINC000733877602 1073456713 /nfs/dbraw/zinc/45/67/13/1073456713.db2.gz YWRFOLASQRIYML-ZDUSSCGKSA-N 0 0 442.505 -0.041 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000733881981 1073456595 /nfs/dbraw/zinc/45/65/95/1073456595.db2.gz XAUAYOYRFJXWSR-GFCCVEGCSA-N 0 0 435.499 -0.260 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000733881982 1073456779 /nfs/dbraw/zinc/45/67/79/1073456779.db2.gz XAUAYOYRFJXWSR-LBPRGKRZSA-N 0 0 435.499 -0.260 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000733881985 1073456700 /nfs/dbraw/zinc/45/67/00/1073456700.db2.gz XFJGYYBBVRLEIR-MRVPVSSYSA-N 0 0 426.314 -0.010 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000733881986 1073456863 /nfs/dbraw/zinc/45/68/63/1073456863.db2.gz XFJGYYBBVRLEIR-QMMMGPOBSA-N 0 0 426.314 -0.010 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000733884217 1073456658 /nfs/dbraw/zinc/45/66/58/1073456658.db2.gz PUQJWABCVJKVCT-GFCCVEGCSA-N 0 0 434.478 -0.167 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000733884218 1073456786 /nfs/dbraw/zinc/45/67/86/1073456786.db2.gz PUQJWABCVJKVCT-LBPRGKRZSA-N 0 0 434.478 -0.167 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000733884247 1073456896 /nfs/dbraw/zinc/45/68/96/1073456896.db2.gz NYECUSIYPKQGJC-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2cc(S(N)(=O)=O)ccc2Br)CC1 ZINC000733884320 1073456571 /nfs/dbraw/zinc/45/65/71/1073456571.db2.gz QPEZNVLNPFAEHB-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCN3C(=O)CSC3=O)CC2=O)c1 ZINC000733889527 1073461717 /nfs/dbraw/zinc/46/17/17/1073461717.db2.gz SVYUAMYMBAKTMZ-JTQLQIEISA-N 0 0 426.476 -0.502 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCN3C(=O)CSC3=O)CC2=O)c1 ZINC000733889529 1073461723 /nfs/dbraw/zinc/46/17/23/1073461723.db2.gz SVYUAMYMBAKTMZ-SNVBAGLBSA-N 0 0 426.476 -0.502 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H]2CS(N)(=O)=O)C1=O ZINC000733896828 1073461671 /nfs/dbraw/zinc/46/16/71/1073461671.db2.gz AFJYPXRUASDVKK-BEFAXECRSA-N 0 0 437.522 -0.286 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H]2CS(N)(=O)=O)C1=O ZINC000733896829 1073461711 /nfs/dbraw/zinc/46/17/11/1073461711.db2.gz AFJYPXRUASDVKK-DNVCBOLYSA-N 0 0 437.522 -0.286 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H]2CS(N)(=O)=O)C1=O ZINC000733896830 1073461736 /nfs/dbraw/zinc/46/17/36/1073461736.db2.gz AFJYPXRUASDVKK-HNAYVOBHSA-N 0 0 437.522 -0.286 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H]2CS(N)(=O)=O)C1=O ZINC000733896831 1073462423 /nfs/dbraw/zinc/46/24/23/1073462423.db2.gz AFJYPXRUASDVKK-KXBFYZLASA-N 0 0 437.522 -0.286 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H]3CS(N)(=O)=O)C2=O)cc1 ZINC000733897146 1073462264 /nfs/dbraw/zinc/46/22/64/1073462264.db2.gz IHMFDXWEHALTDH-ACJLOTCBSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H]3CS(N)(=O)=O)C2=O)cc1 ZINC000733897147 1073462300 /nfs/dbraw/zinc/46/23/00/1073462300.db2.gz IHMFDXWEHALTDH-FZKQIMNGSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H]3CS(N)(=O)=O)C2=O)cc1 ZINC000733897150 1073462516 /nfs/dbraw/zinc/46/25/16/1073462516.db2.gz IHMFDXWEHALTDH-SCLBCKFNSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H]3CS(N)(=O)=O)C2=O)cc1 ZINC000733897152 1073462288 /nfs/dbraw/zinc/46/22/88/1073462288.db2.gz IHMFDXWEHALTDH-UGSOOPFHSA-N 0 0 439.494 -0.754 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000733898108 1073462493 /nfs/dbraw/zinc/46/24/93/1073462493.db2.gz DVMGJIBXSQNYSS-UHFFFAOYSA-N 0 0 441.553 -0.990 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000733898943 1073462465 /nfs/dbraw/zinc/46/24/65/1073462465.db2.gz UDOFKNYHDPFYNL-PLNGDYQASA-N 0 0 449.507 -0.600 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000733898944 1073462474 /nfs/dbraw/zinc/46/24/74/1073462474.db2.gz UDOFKNYHDPFYNL-SNAWJCMRSA-N 0 0 449.507 -0.600 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)ccc1Br ZINC000733899113 1073462395 /nfs/dbraw/zinc/46/23/95/1073462395.db2.gz VMVVXLZZQVPVKQ-UHFFFAOYSA-N 0 0 442.313 -0.255 20 0 IBADRN Cc1ccc(S(=O)(=O)Oc2ccc(S(=O)(=O)N(CC(N)=O)CC(N)=O)cc2)cc1 ZINC000733899744 1073462220 /nfs/dbraw/zinc/46/22/20/1073462220.db2.gz NJYVDQIMFGKJPT-UHFFFAOYSA-N 0 0 441.487 -0.276 20 0 IBADRN COCCN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000733949327 1073462372 /nfs/dbraw/zinc/46/23/72/1073462372.db2.gz KPIFKYOAMJWGFD-UHFFFAOYSA-N 0 0 428.530 -0.127 20 0 IBADRN COCCN(CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000733950159 1073462482 /nfs/dbraw/zinc/46/24/82/1073462482.db2.gz OATDTGJRDVHGBZ-UHFFFAOYSA-N 0 0 428.530 -0.127 20 0 IBADRN COCCN(CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000733950160 1073462405 /nfs/dbraw/zinc/46/24/05/1073462405.db2.gz OEJNBHKYISLSOC-UHFFFAOYSA-N 0 0 442.513 -0.601 20 0 IBADRN COCCN(CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(=O)OC(C)(C)C ZINC000733950959 1073463059 /nfs/dbraw/zinc/46/30/59/1073463059.db2.gz PWBZZOQFTZOEID-UHFFFAOYSA-N 0 0 440.497 -0.310 20 0 IBADRN COCCN(CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)OC(C)(C)C ZINC000733952390 1073463071 /nfs/dbraw/zinc/46/30/71/1073463071.db2.gz FPRMPJOTEQNUNY-CABCVRRESA-N 0 0 435.543 -0.516 20 0 IBADRN COCCN(CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)OC(C)(C)C ZINC000733952391 1073463025 /nfs/dbraw/zinc/46/30/25/1073463025.db2.gz FPRMPJOTEQNUNY-GJZGRUSLSA-N 0 0 435.543 -0.516 20 0 IBADRN COCCN(CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)OC(C)(C)C ZINC000733952392 1073463145 /nfs/dbraw/zinc/46/31/45/1073463145.db2.gz FPRMPJOTEQNUNY-HUUCEWRRSA-N 0 0 435.543 -0.516 20 0 IBADRN COCCN(CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)OC(C)(C)C ZINC000733952393 1073463192 /nfs/dbraw/zinc/46/31/92/1073463192.db2.gz FPRMPJOTEQNUNY-LSDHHAIUSA-N 0 0 435.543 -0.516 20 0 IBADRN COc1ncc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC000733955995 1073463049 /nfs/dbraw/zinc/46/30/49/1073463049.db2.gz SRZIGTYVEDFEJZ-UHFFFAOYSA-N 0 0 433.446 -0.037 20 0 IBADRN CCOC(Cn1cc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)nn1)OCC ZINC000733958632 1073463014 /nfs/dbraw/zinc/46/30/14/1073463014.db2.gz NCSCPFFCLXLBKL-UHFFFAOYSA-N 0 0 438.514 -0.075 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)ccc1F ZINC000733958687 1073463158 /nfs/dbraw/zinc/46/31/58/1073463158.db2.gz OZOIJMMKMUEWDZ-UHFFFAOYSA-N 0 0 428.471 -0.279 20 0 IBADRN O=C(CN1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1)Nc1nncs1 ZINC000733959455 1073463095 /nfs/dbraw/zinc/46/30/95/1073463095.db2.gz YWNXVIUATWAUEN-UHFFFAOYSA-N 0 0 437.507 -0.882 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@@H]3CS(N)(=O)=O)cc2S1(=O)=O ZINC000733970728 1073462192 /nfs/dbraw/zinc/46/21/92/1073462192.db2.gz ITJKMTJSSKZWQL-GFCCVEGCSA-N 0 0 431.492 -0.629 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@H]3CS(N)(=O)=O)cc2S1(=O)=O ZINC000733970729 1073462280 /nfs/dbraw/zinc/46/22/80/1073462280.db2.gz ITJKMTJSSKZWQL-LBPRGKRZSA-N 0 0 431.492 -0.629 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCC[C@@H]1CS(N)(=O)=O ZINC000733970896 1073463180 /nfs/dbraw/zinc/46/31/80/1073463180.db2.gz PMFYNHPQUYCGBL-CABCVRRESA-N 0 0 426.495 -0.174 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCC[C@H]1CS(N)(=O)=O ZINC000733970897 1073463186 /nfs/dbraw/zinc/46/31/86/1073463186.db2.gz PMFYNHPQUYCGBL-GJZGRUSLSA-N 0 0 426.495 -0.174 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H]1CS(N)(=O)=O)c2=O ZINC000733970985 1073463176 /nfs/dbraw/zinc/46/31/76/1073463176.db2.gz SYTINVAPDYFQCS-MRVPVSSYSA-N 0 0 433.288 -0.828 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H]1CS(N)(=O)=O)c2=O ZINC000733970986 1073463036 /nfs/dbraw/zinc/46/30/36/1073463036.db2.gz SYTINVAPDYFQCS-QMMMGPOBSA-N 0 0 433.288 -0.828 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCC(=O)N3CCN(C)CC3)cc2S1(=O)=O ZINC000733975393 1073463133 /nfs/dbraw/zinc/46/31/33/1073463133.db2.gz UGCLUWOMCLPGLQ-UHFFFAOYSA-N 0 0 438.506 -0.629 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC[C@@H](C)N3CC[NH+](C)CC3)n2c(NCC)n1 ZINC000733977864 1073463168 /nfs/dbraw/zinc/46/31/68/1073463168.db2.gz SYTRYHXZSKBRCX-CYBMUJFWSA-N 0 0 436.590 -0.351 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC[C@H](C)N3CC[NH+](C)CC3)n2c(NCC)n1 ZINC000733977865 1073463107 /nfs/dbraw/zinc/46/31/07/1073463107.db2.gz SYTRYHXZSKBRCX-ZDUSSCGKSA-N 0 0 436.590 -0.351 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H](CS(N)(=O)=O)C2)C1=O ZINC000733997294 1073463816 /nfs/dbraw/zinc/46/38/16/1073463816.db2.gz OJJFYYWRUXARAZ-AUUYWEPGSA-N 0 0 437.522 -0.125 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H](CS(N)(=O)=O)C2)C1=O ZINC000733997295 1073464005 /nfs/dbraw/zinc/46/40/05/1073464005.db2.gz OJJFYYWRUXARAZ-IFXJQAMLSA-N 0 0 437.522 -0.125 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H](CS(N)(=O)=O)C2)C1=O ZINC000733997296 1073463972 /nfs/dbraw/zinc/46/39/72/1073463972.db2.gz OJJFYYWRUXARAZ-KUHUBIRLSA-N 0 0 437.522 -0.125 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H](CS(N)(=O)=O)C2)C1=O ZINC000733997297 1073463915 /nfs/dbraw/zinc/46/39/15/1073463915.db2.gz OJJFYYWRUXARAZ-LIRRHRJNSA-N 0 0 437.522 -0.125 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H]3CS(N)(=O)=O)C2=O)c1 ZINC000733998387 1073463937 /nfs/dbraw/zinc/46/39/37/1073463937.db2.gz UAHRNXCZBDFJHX-ACJLOTCBSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H]3CS(N)(=O)=O)C2=O)c1 ZINC000733998390 1073463775 /nfs/dbraw/zinc/46/37/75/1073463775.db2.gz UAHRNXCZBDFJHX-FZKQIMNGSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H]3CS(N)(=O)=O)C2=O)c1 ZINC000733998391 1073464029 /nfs/dbraw/zinc/46/40/29/1073464029.db2.gz UAHRNXCZBDFJHX-SCLBCKFNSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H]3CS(N)(=O)=O)C2=O)c1 ZINC000733998392 1073463752 /nfs/dbraw/zinc/46/37/52/1073463752.db2.gz UAHRNXCZBDFJHX-UGSOOPFHSA-N 0 0 439.494 -0.754 20 0 IBADRN Cc1cc(NC(=O)COC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)n(C)n1 ZINC000734033146 1073463960 /nfs/dbraw/zinc/46/39/60/1073463960.db2.gz ACMCDJGOWAZIDK-GFCCVEGCSA-N 0 0 435.462 -0.089 20 0 IBADRN Cc1cc(NC(=O)COC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)n(C)n1 ZINC000734033149 1073463945 /nfs/dbraw/zinc/46/39/45/1073463945.db2.gz ACMCDJGOWAZIDK-LBPRGKRZSA-N 0 0 435.462 -0.089 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000734033327 1073464042 /nfs/dbraw/zinc/46/40/42/1073464042.db2.gz BBOFDVKFYGEFBF-CHWSQXEVSA-N 0 0 425.463 -0.523 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000734033334 1073464024 /nfs/dbraw/zinc/46/40/24/1073464024.db2.gz BBOFDVKFYGEFBF-OLZOCXBDSA-N 0 0 425.463 -0.523 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000734033337 1073463858 /nfs/dbraw/zinc/46/38/58/1073463858.db2.gz BBOFDVKFYGEFBF-QWHCGFSZSA-N 0 0 425.463 -0.523 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000734033339 1073463720 /nfs/dbraw/zinc/46/37/20/1073463720.db2.gz BBOFDVKFYGEFBF-STQMWFEESA-N 0 0 425.463 -0.523 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)COC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734033417 1073463885 /nfs/dbraw/zinc/46/38/85/1073463885.db2.gz BRDFXHCJSJUQTA-LLVKDONJSA-N 0 0 440.478 -0.146 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)COC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734033419 1073464037 /nfs/dbraw/zinc/46/40/37/1073464037.db2.gz BRDFXHCJSJUQTA-NSHDSACASA-N 0 0 440.478 -0.146 20 0 IBADRN Cn1cc(N2CC[C@@H](OC(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2=O)cn1 ZINC000734035017 1073464618 /nfs/dbraw/zinc/46/46/18/1073464618.db2.gz HBBJKXHJROEOKJ-BLLLJJGKSA-N 0 0 447.473 -0.231 20 0 IBADRN Cn1cc(N2CC[C@H](OC(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2=O)cn1 ZINC000734035019 1073464470 /nfs/dbraw/zinc/46/44/70/1073464470.db2.gz HBBJKXHJROEOKJ-LRDDRELGSA-N 0 0 447.473 -0.231 20 0 IBADRN Cn1cc(N2CC[C@@H](OC(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2=O)cn1 ZINC000734035021 1073464541 /nfs/dbraw/zinc/46/45/41/1073464541.db2.gz HBBJKXHJROEOKJ-MLGOLLRUSA-N 0 0 447.473 -0.231 20 0 IBADRN Cn1cc(N2CC[C@H](OC(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2=O)cn1 ZINC000734035023 1073464627 /nfs/dbraw/zinc/46/46/27/1073464627.db2.gz HBBJKXHJROEOKJ-WBMJQRKESA-N 0 0 447.473 -0.231 20 0 IBADRN Cc1ccc2c(=O)c(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)cn(C)c2n1 ZINC000734035060 1073464635 /nfs/dbraw/zinc/46/46/35/1073464635.db2.gz PIGVSXTVBXGIEW-UHFFFAOYSA-N 0 0 429.477 -0.369 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734035641 1073464592 /nfs/dbraw/zinc/46/45/92/1073464592.db2.gz JSCNEMRSNRXFID-AWEZNQCLSA-N 0 0 449.489 -0.378 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734035646 1073464571 /nfs/dbraw/zinc/46/45/71/1073464571.db2.gz JSCNEMRSNRXFID-CQSZACIVSA-N 0 0 449.489 -0.378 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)OCCn3nc4ccccn4c3=O)CC2=O)c1 ZINC000734035649 1073464609 /nfs/dbraw/zinc/46/46/09/1073464609.db2.gz JUEQPNSJSCYXGJ-CYBMUJFWSA-N 0 0 445.457 -0.260 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)OCCn3nc4ccccn4c3=O)CC2=O)c1 ZINC000734035651 1073464550 /nfs/dbraw/zinc/46/45/50/1073464550.db2.gz JUEQPNSJSCYXGJ-ZDUSSCGKSA-N 0 0 445.457 -0.260 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)OCC(=O)NC[C@H]3CCCO3)CC2=O)c1 ZINC000734037586 1073464584 /nfs/dbraw/zinc/46/45/84/1073464584.db2.gz AGFOVKBEIXHBKT-GXTWGEPZSA-N 0 0 425.463 -0.475 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)OCC(=O)NC[C@@H]3CCCO3)CC2=O)c1 ZINC000734037590 1073464490 /nfs/dbraw/zinc/46/44/90/1073464490.db2.gz AGFOVKBEIXHBKT-JSGCOSHPSA-N 0 0 425.463 -0.475 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)OCC(=O)NC[C@@H]3CCCO3)CC2=O)c1 ZINC000734037593 1073464505 /nfs/dbraw/zinc/46/45/05/1073464505.db2.gz AGFOVKBEIXHBKT-OCCSQVGLSA-N 0 0 425.463 -0.475 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)OCC(=O)NC[C@H]3CCCO3)CC2=O)c1 ZINC000734037596 1073464512 /nfs/dbraw/zinc/46/45/12/1073464512.db2.gz AGFOVKBEIXHBKT-TZMCWYRMSA-N 0 0 425.463 -0.475 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734038444 1073464464 /nfs/dbraw/zinc/46/44/64/1073464464.db2.gz GAYYMGPXVBLUNI-GHMZBOCLSA-N 0 0 426.451 -0.536 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734038446 1073464534 /nfs/dbraw/zinc/46/45/34/1073464534.db2.gz GAYYMGPXVBLUNI-MNOVXSKESA-N 0 0 426.451 -0.536 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734038448 1073465280 /nfs/dbraw/zinc/46/52/80/1073465280.db2.gz GAYYMGPXVBLUNI-QWRGUYRKSA-N 0 0 426.451 -0.536 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734038856 1073464562 /nfs/dbraw/zinc/46/45/62/1073464562.db2.gz GAYYMGPXVBLUNI-WDEREUQCSA-N 0 0 426.451 -0.536 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)OCC(=O)NC3CCOCC3)CC2=O)c1 ZINC000734039522 1073465351 /nfs/dbraw/zinc/46/53/51/1073465351.db2.gz KBOZPFUPGPQPMN-GFCCVEGCSA-N 0 0 425.463 -0.475 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)OCC(=O)NC3CCOCC3)CC2=O)c1 ZINC000734039523 1073465230 /nfs/dbraw/zinc/46/52/30/1073465230.db2.gz KBOZPFUPGPQPMN-LBPRGKRZSA-N 0 0 425.463 -0.475 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1=O ZINC000734039587 1073465318 /nfs/dbraw/zinc/46/53/18/1073465318.db2.gz LKTSWLIKRRBSRW-LLVKDONJSA-N 0 0 438.462 -0.440 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1=O ZINC000734039588 1073465306 /nfs/dbraw/zinc/46/53/06/1073465306.db2.gz LKTSWLIKRRBSRW-NSHDSACASA-N 0 0 438.462 -0.440 20 0 IBADRN CCCCNC(=O)NC(=O)COC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734039638 1073465377 /nfs/dbraw/zinc/46/53/77/1073465377.db2.gz VYGYDJURAYJZGZ-GFCCVEGCSA-N 0 0 440.478 -0.144 20 0 IBADRN CCCCNC(=O)NC(=O)COC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734039639 1073465269 /nfs/dbraw/zinc/46/52/69/1073465269.db2.gz VYGYDJURAYJZGZ-LBPRGKRZSA-N 0 0 440.478 -0.144 20 0 IBADRN COCCCNC(=O)[C@@H](C)OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734039929 1073465254 /nfs/dbraw/zinc/46/52/54/1073465254.db2.gz LYBKJZFYBMREID-CHWSQXEVSA-N 0 0 427.479 -0.229 20 0 IBADRN COCCCNC(=O)[C@@H](C)OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734039930 1073465343 /nfs/dbraw/zinc/46/53/43/1073465343.db2.gz LYBKJZFYBMREID-OLZOCXBDSA-N 0 0 427.479 -0.229 20 0 IBADRN COCCCNC(=O)[C@H](C)OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734039932 1073465356 /nfs/dbraw/zinc/46/53/56/1073465356.db2.gz LYBKJZFYBMREID-QWHCGFSZSA-N 0 0 427.479 -0.229 20 0 IBADRN COCCCNC(=O)[C@H](C)OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734039934 1073465367 /nfs/dbraw/zinc/46/53/67/1073465367.db2.gz LYBKJZFYBMREID-STQMWFEESA-N 0 0 427.479 -0.229 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734040063 1073465295 /nfs/dbraw/zinc/46/52/95/1073465295.db2.gz OJUZOBLJLXWECF-GFCCVEGCSA-N 0 0 440.478 -0.288 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734040064 1073465815 /nfs/dbraw/zinc/46/58/15/1073465815.db2.gz OJUZOBLJLXWECF-LBPRGKRZSA-N 0 0 440.478 -0.288 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@H](C)O1 ZINC000734040177 1073465336 /nfs/dbraw/zinc/46/53/36/1073465336.db2.gz XOSPBBWBNPOGKB-HZSPNIEDSA-N 0 0 439.490 -0.134 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@@H](C)O1 ZINC000734040178 1073465326 /nfs/dbraw/zinc/46/53/26/1073465326.db2.gz XOSPBBWBNPOGKB-MCIONIFRSA-N 0 0 439.490 -0.134 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@@H](C)O1 ZINC000734040179 1073465222 /nfs/dbraw/zinc/46/52/22/1073465222.db2.gz XOSPBBWBNPOGKB-MGPQQGTHSA-N 0 0 439.490 -0.134 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@H](C)O1 ZINC000734040180 1073465238 /nfs/dbraw/zinc/46/52/38/1073465238.db2.gz XOSPBBWBNPOGKB-MJBXVCDLSA-N 0 0 439.490 -0.134 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NC(=O)NC1CC1 ZINC000734040778 1073465260 /nfs/dbraw/zinc/46/52/60/1073465260.db2.gz RWXNNCAUVFMWPM-GHMZBOCLSA-N 0 0 438.462 -0.393 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NC(=O)NC1CC1 ZINC000734040779 1073465247 /nfs/dbraw/zinc/46/52/47/1073465247.db2.gz RWXNNCAUVFMWPM-MNOVXSKESA-N 0 0 438.462 -0.393 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NC(=O)NC1CC1 ZINC000734040780 1073465242 /nfs/dbraw/zinc/46/52/42/1073465242.db2.gz RWXNNCAUVFMWPM-QWRGUYRKSA-N 0 0 438.462 -0.393 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NC(=O)NC1CC1 ZINC000734040781 1073465209 /nfs/dbraw/zinc/46/52/09/1073465209.db2.gz RWXNNCAUVFMWPM-WDEREUQCSA-N 0 0 438.462 -0.393 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734040980 1073465968 /nfs/dbraw/zinc/46/59/68/1073465968.db2.gz UAGPDYXMLRKFGV-LLVKDONJSA-N 0 0 426.451 -0.534 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734040981 1073466044 /nfs/dbraw/zinc/46/60/44/1073466044.db2.gz UAGPDYXMLRKFGV-NSHDSACASA-N 0 0 426.451 -0.534 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)OCC(=O)NCCCn3cccn3)CC2=O)c1 ZINC000734041196 1073465806 /nfs/dbraw/zinc/46/58/06/1073465806.db2.gz WHDOQBFZDKQGLR-AWEZNQCLSA-N 0 0 449.489 -0.367 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)OCC(=O)NCCCn3cccn3)CC2=O)c1 ZINC000734041197 1073465847 /nfs/dbraw/zinc/46/58/47/1073465847.db2.gz WHDOQBFZDKQGLR-CQSZACIVSA-N 0 0 449.489 -0.367 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734041345 1073465897 /nfs/dbraw/zinc/46/58/97/1073465897.db2.gz XAIMYBLZRDNCNS-NEPJUHHUSA-N 0 0 440.478 -0.147 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734041346 1073465821 /nfs/dbraw/zinc/46/58/21/1073465821.db2.gz XAIMYBLZRDNCNS-NWDGAFQWSA-N 0 0 440.478 -0.147 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734041347 1073466017 /nfs/dbraw/zinc/46/60/17/1073466017.db2.gz XAIMYBLZRDNCNS-RYUDHWBXSA-N 0 0 440.478 -0.147 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000734041348 1073465868 /nfs/dbraw/zinc/46/58/68/1073465868.db2.gz XAIMYBLZRDNCNS-VXGBXAGGSA-N 0 0 440.478 -0.147 20 0 IBADRN COc1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)cn1 ZINC000734048131 1073465909 /nfs/dbraw/zinc/46/59/09/1073465909.db2.gz AGIXBBFTZOPZNZ-UHFFFAOYSA-N 0 0 439.450 -0.220 20 0 IBADRN CCn1cc(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)c3cnn(CC)c3)CC2)cn1 ZINC000734048539 1073465939 /nfs/dbraw/zinc/46/59/39/1073465939.db2.gz UPUDCFPCSMXQRA-UHFFFAOYSA-N 0 0 446.464 -0.196 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCOc3cccnc3)cn2)CC1 ZINC000734073465 1073465927 /nfs/dbraw/zinc/46/59/27/1073465927.db2.gz OCKHWSYKWCLYDP-UHFFFAOYSA-N 0 0 448.505 -0.308 20 0 IBADRN Cc1cc(S(C)(=O)=O)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000734073601 1073465856 /nfs/dbraw/zinc/46/58/56/1073465856.db2.gz LWMUABUQKNZUDD-UHFFFAOYSA-N 0 0 433.508 -0.885 20 0 IBADRN O=C(NCCOc1cccnc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000734075972 1073465874 /nfs/dbraw/zinc/46/58/74/1073465874.db2.gz UQDVUSRFGHJCKL-UHFFFAOYSA-N 0 0 447.473 -0.664 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@](C)(O)c3ccc(F)cc3F)cnc2n(C)c1=O ZINC000734076690 1073465984 /nfs/dbraw/zinc/46/59/84/1073465984.db2.gz HGPIEYWMBBWCBD-FQEVSTJZSA-N 0 0 447.398 -0.127 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@](C)(O)c3ccc(F)cc3F)cnc2n(C)c1=O ZINC000734076691 1073466005 /nfs/dbraw/zinc/46/60/05/1073466005.db2.gz HGPIEYWMBBWCBD-HXUWFJFHSA-N 0 0 447.398 -0.127 20 0 IBADRN COC(=O)CCN(C[C@@H]1CCCO1)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000734108070 1073466667 /nfs/dbraw/zinc/46/66/67/1073466667.db2.gz DXZHTKOMPCFQLP-KBPBESRZSA-N 0 0 429.495 -0.277 20 0 IBADRN COC(=O)CCN(C[C@@H]1CCCO1)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000734108072 1073466647 /nfs/dbraw/zinc/46/66/47/1073466647.db2.gz DXZHTKOMPCFQLP-KGLIPLIRSA-N 0 0 429.495 -0.277 20 0 IBADRN COC(=O)CCN(C[C@H]1CCCO1)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000734108075 1073466551 /nfs/dbraw/zinc/46/65/51/1073466551.db2.gz DXZHTKOMPCFQLP-UONOGXRCSA-N 0 0 429.495 -0.277 20 0 IBADRN COC(=O)CCN(C[C@H]1CCCO1)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000734108076 1073466557 /nfs/dbraw/zinc/46/65/57/1073466557.db2.gz DXZHTKOMPCFQLP-ZIAGYGMSSA-N 0 0 429.495 -0.277 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCc2c(C)[nH]c3nn(C)c(=O)c-3c2C)CC1 ZINC000734122233 1073466633 /nfs/dbraw/zinc/46/66/33/1073466633.db2.gz YXTGEZYVCVBGLA-UHFFFAOYSA-N 0 0 432.525 -0.282 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(c4cccc(F)c4)=NO3)CC2)CC1 ZINC000734122587 1073466641 /nfs/dbraw/zinc/46/66/41/1073466641.db2.gz ADQZBGADJNYVGA-GOSISDBHSA-N 0 0 439.513 -0.045 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(c4cccc(F)c4)=NO3)CC2)CC1 ZINC000734122590 1073466592 /nfs/dbraw/zinc/46/65/92/1073466592.db2.gz ADQZBGADJNYVGA-SFHVURJKSA-N 0 0 439.513 -0.045 20 0 IBADRN CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000734122707 1073466609 /nfs/dbraw/zinc/46/66/09/1073466609.db2.gz FDZZEISNPWNPJM-HNNXBMFYSA-N 0 0 433.575 -0.074 20 0 IBADRN COc1cc(C=O)ccc1OCC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000734123106 1073467193 /nfs/dbraw/zinc/46/71/93/1073467193.db2.gz JQEACGZOISRWGA-UHFFFAOYSA-N 0 0 440.522 -0.477 20 0 IBADRN CNC(=O)c1cncc(/C=C\C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000734123452 1073466626 /nfs/dbraw/zinc/46/66/26/1073466626.db2.gz OKXUPHJDWOKNGH-ARJAWSKDSA-N 0 0 436.538 -0.909 20 0 IBADRN CNC(=O)c1cncc(/C=C/C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000734123453 1073466541 /nfs/dbraw/zinc/46/65/41/1073466541.db2.gz OKXUPHJDWOKNGH-ONEGZZNKSA-N 0 0 436.538 -0.909 20 0 IBADRN C=CC(=O)NCc1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000734124345 1073467308 /nfs/dbraw/zinc/46/73/08/1073467308.db2.gz ZZWCLDJCCWCSJF-UHFFFAOYSA-N 0 0 435.550 -0.261 20 0 IBADRN C[C@H](C(=O)NCCc1cccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000734145905 1073467173 /nfs/dbraw/zinc/46/71/73/1073467173.db2.gz FNWRUCOIDDTAOC-GDBMZVCRSA-N 0 0 449.620 -0.070 20 0 IBADRN C[C@@H](C(=O)NCCc1cccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000734145906 1073467261 /nfs/dbraw/zinc/46/72/61/1073467261.db2.gz FNWRUCOIDDTAOC-GOEBONIOSA-N 0 0 449.620 -0.070 20 0 IBADRN C[C@@H](C(=O)NCCc1cccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000734145908 1073467237 /nfs/dbraw/zinc/46/72/37/1073467237.db2.gz FNWRUCOIDDTAOC-HOCLYGCPSA-N 0 0 449.620 -0.070 20 0 IBADRN C[C@H](C(=O)NCCc1cccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000734145910 1073467233 /nfs/dbraw/zinc/46/72/33/1073467233.db2.gz FNWRUCOIDDTAOC-ZBFHGGJFSA-N 0 0 449.620 -0.070 20 0 IBADRN C[C@H](C(=O)NCCc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000734146149 1073467287 /nfs/dbraw/zinc/46/72/87/1073467287.db2.gz IJYKUQJIYIPMFQ-AEFFLSMTSA-N 0 0 443.591 -0.132 20 0 IBADRN C[C@@H](C(=O)NCCc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000734146150 1073467291 /nfs/dbraw/zinc/46/72/91/1073467291.db2.gz IJYKUQJIYIPMFQ-FUHWJXTLSA-N 0 0 443.591 -0.132 20 0 IBADRN C[C@H](C(=O)NCCc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000734146152 1073467128 /nfs/dbraw/zinc/46/71/28/1073467128.db2.gz IJYKUQJIYIPMFQ-SJLPKXTDSA-N 0 0 443.591 -0.132 20 0 IBADRN C[C@@H](C(=O)NCCc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000734146153 1073467214 /nfs/dbraw/zinc/46/72/14/1073467214.db2.gz IJYKUQJIYIPMFQ-WMZOPIPTSA-N 0 0 443.591 -0.132 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nc(-c3ccccn3)no2)CC1 ZINC000734147113 1073467297 /nfs/dbraw/zinc/46/72/97/1073467297.db2.gz PJUYJSNPQDEJLK-CYBMUJFWSA-N 0 0 427.508 -0.234 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nc(-c3ccccn3)no2)CC1 ZINC000734147117 1073467223 /nfs/dbraw/zinc/46/72/23/1073467223.db2.gz PJUYJSNPQDEJLK-ZDUSSCGKSA-N 0 0 427.508 -0.234 20 0 IBADRN CN(Cc1ccc(F)cc1)C(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000734147598 1073467242 /nfs/dbraw/zinc/46/72/42/1073467242.db2.gz WJGTWQGBRULMDT-KRWDZBQOSA-N 0 0 447.554 -0.081 20 0 IBADRN CN(Cc1ccc(F)cc1)C(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000734147601 1073467258 /nfs/dbraw/zinc/46/72/58/1073467258.db2.gz WJGTWQGBRULMDT-QGZVFWFLSA-N 0 0 447.554 -0.081 20 0 IBADRN O=S(=O)(c1c[nH]c2ncccc12)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000734151379 1073467253 /nfs/dbraw/zinc/46/72/53/1073467253.db2.gz OHAQGEAZEKXLFR-GFCCVEGCSA-N 0 0 448.548 -0.614 20 0 IBADRN O=S(=O)(c1c[nH]c2ncccc12)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000734151380 1073467148 /nfs/dbraw/zinc/46/71/48/1073467148.db2.gz OHAQGEAZEKXLFR-LBPRGKRZSA-N 0 0 448.548 -0.614 20 0 IBADRN C[C@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC[C@H]1CCCO1 ZINC000734182382 1073467266 /nfs/dbraw/zinc/46/72/66/1073467266.db2.gz HTOQTEPBLGELNC-DZGCQCFKSA-N 0 0 439.490 -0.352 20 0 IBADRN C[C@@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC[C@@H]1CCCO1 ZINC000734182383 1073467303 /nfs/dbraw/zinc/46/73/03/1073467303.db2.gz HTOQTEPBLGELNC-HIFRSBDPSA-N 0 0 439.490 -0.352 20 0 IBADRN C[C@@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC[C@H]1CCCO1 ZINC000734182384 1073468032 /nfs/dbraw/zinc/46/80/32/1073468032.db2.gz HTOQTEPBLGELNC-UKRRQHHQSA-N 0 0 439.490 -0.352 20 0 IBADRN C[C@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC[C@@H]1CCCO1 ZINC000734182385 1073467967 /nfs/dbraw/zinc/46/79/67/1073467967.db2.gz HTOQTEPBLGELNC-ZFWWWQNUSA-N 0 0 439.490 -0.352 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NC[C@H]1CCCO1 ZINC000734182609 1073467990 /nfs/dbraw/zinc/46/79/90/1073467990.db2.gz LXEJLVLKZSMLKS-DZGCQCFKSA-N 0 0 439.490 -0.352 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NC[C@@H]1CCCO1 ZINC000734182610 1073468070 /nfs/dbraw/zinc/46/80/70/1073468070.db2.gz LXEJLVLKZSMLKS-HIFRSBDPSA-N 0 0 439.490 -0.352 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NC[C@H]1CCCO1 ZINC000734182611 1073468096 /nfs/dbraw/zinc/46/80/96/1073468096.db2.gz LXEJLVLKZSMLKS-UKRRQHHQSA-N 0 0 439.490 -0.352 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NC[C@@H]1CCCO1 ZINC000734182612 1073467978 /nfs/dbraw/zinc/46/79/78/1073467978.db2.gz LXEJLVLKZSMLKS-ZFWWWQNUSA-N 0 0 439.490 -0.352 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)CCCOC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1 ZINC000734184545 1073468049 /nfs/dbraw/zinc/46/80/49/1073468049.db2.gz HYMHILITRSSERY-UHFFFAOYSA-N 0 0 444.491 -0.104 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NC[C@@H]1CCCO1 ZINC000734187484 1073467996 /nfs/dbraw/zinc/46/79/96/1073467996.db2.gz DADVBSHZVFSMOM-GUTXKFCHSA-N 0 0 439.490 -0.086 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NC[C@H]1CCCO1 ZINC000734187485 1073468041 /nfs/dbraw/zinc/46/80/41/1073468041.db2.gz DADVBSHZVFSMOM-GZBFAFLISA-N 0 0 439.490 -0.086 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NC[C@H]1CCCO1 ZINC000734187486 1073468089 /nfs/dbraw/zinc/46/80/89/1073468089.db2.gz DADVBSHZVFSMOM-KCQAQPDRSA-N 0 0 439.490 -0.086 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NC[C@@H]1CCCO1 ZINC000734187488 1073468064 /nfs/dbraw/zinc/46/80/64/1073468064.db2.gz DADVBSHZVFSMOM-YDHLFZDLSA-N 0 0 439.490 -0.086 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)CCCOC(=O)CN2C(=O)C(=O)N(C)C2=O)cc1 ZINC000734189509 1073467937 /nfs/dbraw/zinc/46/79/37/1073467937.db2.gz OQTLXFLHNREPIU-UHFFFAOYSA-N 0 0 425.419 -0.227 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@@H](CO)C1CC1 ZINC000734227703 1073468083 /nfs/dbraw/zinc/46/80/83/1073468083.db2.gz MMRDZOIYPUCTLO-INIZCTEOSA-N 0 0 440.522 -0.543 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N[C@H](CO)C1CC1 ZINC000734227704 1073468075 /nfs/dbraw/zinc/46/80/75/1073468075.db2.gz MMRDZOIYPUCTLO-MRXNPFEDSA-N 0 0 440.522 -0.543 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@@H](CO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000734228859 1073467899 /nfs/dbraw/zinc/46/78/99/1073467899.db2.gz HPHFOUIFMJGSAW-HNNXBMFYSA-N 0 0 441.506 -0.068 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N[C@H](CO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000734228861 1073467915 /nfs/dbraw/zinc/46/79/15/1073467915.db2.gz HPHFOUIFMJGSAW-OAHLLOKOSA-N 0 0 441.506 -0.068 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](CO)C1CC1 ZINC000734229866 1073468022 /nfs/dbraw/zinc/46/80/22/1073468022.db2.gz QGYMXLRRMBDGJY-INIZCTEOSA-N 0 0 441.506 -0.068 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CO)C1CC1 ZINC000734229867 1073468102 /nfs/dbraw/zinc/46/81/02/1073468102.db2.gz QGYMXLRRMBDGJY-MRXNPFEDSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](CO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000734230156 1073468586 /nfs/dbraw/zinc/46/85/86/1073468586.db2.gz USDMZJTXGOEQRV-AWEZNQCLSA-N 0 0 427.479 -0.458 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000734230157 1073468814 /nfs/dbraw/zinc/46/88/14/1073468814.db2.gz USDMZJTXGOEQRV-CQSZACIVSA-N 0 0 427.479 -0.458 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](CO)C1CC1 ZINC000734230267 1073468711 /nfs/dbraw/zinc/46/87/11/1073468711.db2.gz RIVUYJGMVYYRKB-HNNXBMFYSA-N 0 0 427.479 -0.458 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CO)C1CC1 ZINC000734230269 1073468562 /nfs/dbraw/zinc/46/85/62/1073468562.db2.gz RIVUYJGMVYYRKB-OAHLLOKOSA-N 0 0 427.479 -0.458 20 0 IBADRN COC(=O)CCc1c(C)nc2c(c(=O)n(CN3CCN(S(C)(=O)=O)CC3)n2C)c1C ZINC000734241241 1073468601 /nfs/dbraw/zinc/46/86/01/1073468601.db2.gz KBTWKOCRDZRSSY-UHFFFAOYSA-N 0 0 439.538 -0.008 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000734274031 1073468639 /nfs/dbraw/zinc/46/86/39/1073468639.db2.gz XELDPDUIEBOGDZ-UHFFFAOYSA-N 0 0 445.586 -0.766 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000734276941 1073468798 /nfs/dbraw/zinc/46/87/98/1073468798.db2.gz LAIMXPRZAMCHNU-UHFFFAOYSA-N 0 0 438.554 -0.479 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000734307956 1073469475 /nfs/dbraw/zinc/46/94/75/1073469475.db2.gz KHCGNNXHHNPJLV-UHFFFAOYSA-N 0 0 448.592 -0.728 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000734347732 1073469351 /nfs/dbraw/zinc/46/93/51/1073469351.db2.gz JCBCXABUUZLZLO-UHFFFAOYSA-N 0 0 432.520 -0.564 20 0 IBADRN COC(=O)C[C@@H](C)SCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000734366833 1073469556 /nfs/dbraw/zinc/46/95/56/1073469556.db2.gz ASOIFUAFZAPPGE-GFCCVEGCSA-N 0 0 447.535 -0.013 20 0 IBADRN COC(=O)C[C@H](C)SCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000734366834 1073469628 /nfs/dbraw/zinc/46/96/28/1073469628.db2.gz ASOIFUAFZAPPGE-LBPRGKRZSA-N 0 0 447.535 -0.013 20 0 IBADRN COC(=O)[C@H](C)CSCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000734367432 1073469534 /nfs/dbraw/zinc/46/95/34/1073469534.db2.gz HARDGQKEKCOMPJ-GFCCVEGCSA-N 0 0 447.535 -0.155 20 0 IBADRN COC(=O)[C@@H](C)CSCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000734367433 1073469622 /nfs/dbraw/zinc/46/96/22/1073469622.db2.gz HARDGQKEKCOMPJ-LBPRGKRZSA-N 0 0 447.535 -0.155 20 0 IBADRN CCN(CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)OC(C)(C)C ZINC000734422247 1073469367 /nfs/dbraw/zinc/46/93/67/1073469367.db2.gz PEONAODKOHVWTL-UHFFFAOYSA-N 0 0 433.575 -0.120 20 0 IBADRN COc1ccc(C=O)c(OCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000734422331 1073469574 /nfs/dbraw/zinc/46/95/74/1073469574.db2.gz RUJVIWHBZDGQFU-UHFFFAOYSA-N 0 0 440.522 -0.477 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CCn3cc[nH]c(=O)c3=O)CC2)c1 ZINC000734444588 1073470347 /nfs/dbraw/zinc/47/03/47/1073470347.db2.gz PYTAUNJTPIFHKD-UHFFFAOYSA-N 0 0 434.474 -0.685 20 0 IBADRN CN(CC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000734469241 1073470513 /nfs/dbraw/zinc/47/05/13/1073470513.db2.gz XMXHNOWRIVWIEI-INIZCTEOSA-N 0 0 435.550 -0.410 20 0 IBADRN CN(CC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000734469242 1073470488 /nfs/dbraw/zinc/47/04/88/1073470488.db2.gz XMXHNOWRIVWIEI-MRXNPFEDSA-N 0 0 435.550 -0.410 20 0 IBADRN COC[C@]1(C)NC(=O)N(CN2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)C1=O ZINC000734475539 1073471105 /nfs/dbraw/zinc/47/11/05/1073471105.db2.gz AYHOTFLQSLEGCY-IBGZPJMESA-N 0 0 438.506 -0.238 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CN2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)C1=O ZINC000734475540 1073471138 /nfs/dbraw/zinc/47/11/38/1073471138.db2.gz AYHOTFLQSLEGCY-LJQANCHMSA-N 0 0 438.506 -0.238 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc(C(=O)OC)c(Br)cc1F ZINC000734493043 1073471164 /nfs/dbraw/zinc/47/11/64/1073471164.db2.gz PGEPFBOGNDOIKE-UHFFFAOYSA-N 0 0 441.231 -0.058 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc1C(=O)N(C)C ZINC000734494984 1073471275 /nfs/dbraw/zinc/47/12/75/1073471275.db2.gz LZOULCUAFDFMPK-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc21 ZINC000734495024 1073471206 /nfs/dbraw/zinc/47/12/06/1073471206.db2.gz NIYWHILYCUWHGM-UHFFFAOYSA-N 0 0 430.552 -0.549 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)c1 ZINC000734496997 1073471120 /nfs/dbraw/zinc/47/11/20/1073471120.db2.gz HIBYVVORXGXYNY-UHFFFAOYSA-N 0 0 427.460 -0.423 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000734497078 1073471130 /nfs/dbraw/zinc/47/11/30/1073471130.db2.gz KNLVZIQYCLCZAO-UHFFFAOYSA-N 0 0 441.487 -0.080 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(S(=O)(=O)Oc2cccc(C(=O)NCC(N)=O)c2)cc1 ZINC000734497192 1073471289 /nfs/dbraw/zinc/47/12/89/1073471289.db2.gz CNNGHAPGHFGBCY-UHFFFAOYSA-N 0 0 441.487 -0.080 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000734497446 1073471094 /nfs/dbraw/zinc/47/10/94/1073471094.db2.gz MHYRQEITTXWNPJ-UHFFFAOYSA-N 0 0 427.460 -0.423 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)Oc2cccc(C(=O)NCC(N)=O)c2)cc1 ZINC000734497457 1073471231 /nfs/dbraw/zinc/47/12/31/1073471231.db2.gz FZRDGSRJGMJCIX-UHFFFAOYSA-N 0 0 427.460 -0.423 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)Oc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000734497805 1073471153 /nfs/dbraw/zinc/47/11/53/1073471153.db2.gz MVLUCTUNINZWGQ-UHFFFAOYSA-N 0 0 427.460 -0.423 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)Oc2cccc(C(=O)NCC(N)=O)c2)c1 ZINC000734498059 1073471193 /nfs/dbraw/zinc/47/11/93/1073471193.db2.gz SRHDCDZXVREGRK-UHFFFAOYSA-N 0 0 441.487 -0.080 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)c1 ZINC000734498229 1073471283 /nfs/dbraw/zinc/47/12/83/1073471283.db2.gz YDDMKRKBGKCPPJ-UHFFFAOYSA-N 0 0 441.487 -0.080 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NCCS(=O)(=O)N3CCOCC3)C2=O)cc1 ZINC000734524449 1073471247 /nfs/dbraw/zinc/47/12/47/1073471247.db2.gz DUZQSMCELOHZTA-KRWDZBQOSA-N 0 0 440.522 -0.243 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NCCS(=O)(=O)N3CCOCC3)C2=O)cc1 ZINC000734524453 1073471271 /nfs/dbraw/zinc/47/12/71/1073471271.db2.gz DUZQSMCELOHZTA-QGZVFWFLSA-N 0 0 440.522 -0.243 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)CN2C(=O)c3ccccc3S2(=O)=O)c1 ZINC000734556668 1073471816 /nfs/dbraw/zinc/47/18/16/1073471816.db2.gz WXSKDFHPXYBNTI-UHFFFAOYSA-N 0 0 427.435 -0.066 20 0 IBADRN Cc1sc(=O)n(CCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000734593543 1073471794 /nfs/dbraw/zinc/47/17/94/1073471794.db2.gz NRPJSKGWQQSUKT-HNNXBMFYSA-N 0 0 445.563 -0.209 20 0 IBADRN Cc1sc(=O)n(CCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000734593550 1073471899 /nfs/dbraw/zinc/47/18/99/1073471899.db2.gz NRPJSKGWQQSUKT-OAHLLOKOSA-N 0 0 445.563 -0.209 20 0 IBADRN O=C(COC(=O)c1cccc(-n2cncn2)c1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000734616054 1073471957 /nfs/dbraw/zinc/47/19/57/1073471957.db2.gz XOPATZMHASZOBA-KRWDZBQOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1cccc(-n2cncn2)c1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000734616055 1073471909 /nfs/dbraw/zinc/47/19/09/1073471909.db2.gz XOPATZMHASZOBA-QGZVFWFLSA-N 0 0 433.490 -0.245 20 0 IBADRN CCS(=O)(=O)CCNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000734649460 1073472055 /nfs/dbraw/zinc/47/20/55/1073472055.db2.gz AIAJBDZAXPRAAE-UHFFFAOYSA-N 0 0 431.536 -0.008 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000734669280 1073472015 /nfs/dbraw/zinc/47/20/15/1073472015.db2.gz RXDQAULPZACXHU-UHFFFAOYSA-N 0 0 446.551 -0.503 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)c1 ZINC000734696738 1073472866 /nfs/dbraw/zinc/47/28/66/1073472866.db2.gz VKUDZMYXGNCJBV-UHFFFAOYSA-N 0 0 449.464 -0.336 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@H](OCCO)C3)cc2)CC1 ZINC000734698477 1073472949 /nfs/dbraw/zinc/47/29/49/1073472949.db2.gz NMBVQUSXAHURAY-IBGZPJMESA-N 0 0 448.520 -0.080 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@@H](OCCO)C3)cc2)CC1 ZINC000734698480 1073472814 /nfs/dbraw/zinc/47/28/14/1073472814.db2.gz NMBVQUSXAHURAY-LJQANCHMSA-N 0 0 448.520 -0.080 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC[C@H](OCCO)C1 ZINC000734698609 1073472877 /nfs/dbraw/zinc/47/28/77/1073472877.db2.gz OTASCKLFJUDTRA-HNNXBMFYSA-N 0 0 425.507 -0.174 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC[C@@H](OCCO)C1 ZINC000734698610 1073472957 /nfs/dbraw/zinc/47/29/57/1073472957.db2.gz OTASCKLFJUDTRA-OAHLLOKOSA-N 0 0 425.507 -0.174 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cccc(-n4cncn4)c3)CC2)ccc1=O ZINC000734711805 1073471926 /nfs/dbraw/zinc/47/19/26/1073471926.db2.gz INYHARDSRUIQAQ-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN NC(=O)CNC(=O)c1cccc(OC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)c1 ZINC000734715334 1073471829 /nfs/dbraw/zinc/47/18/29/1073471829.db2.gz NVKVGVLWSTWCEZ-UHFFFAOYSA-N 0 0 437.430 -0.265 20 0 IBADRN CN(CC(=O)Oc1cccc(C(=O)NCC(N)=O)c1)C1=NS(=O)(=O)c2ccccc21 ZINC000734715661 1073471732 /nfs/dbraw/zinc/47/17/32/1073471732.db2.gz DBBVCWFYASEUQJ-UHFFFAOYSA-N 0 0 430.442 -0.112 20 0 IBADRN NC(=O)CNC(=O)c1cccc(OC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)c1 ZINC000734715935 1073471884 /nfs/dbraw/zinc/47/18/84/1073471884.db2.gz LKAZAFWPNSGRRS-UHFFFAOYSA-N 0 0 436.424 -0.121 20 0 IBADRN CN(CC(=O)Oc1ccc(C(=O)NCC(N)=O)cc1)C1=NS(=O)(=O)c2ccccc21 ZINC000734719334 1073471756 /nfs/dbraw/zinc/47/17/56/1073471756.db2.gz OPJKOEJBNXHKQW-UHFFFAOYSA-N 0 0 430.442 -0.112 20 0 IBADRN NC(=O)CNC(=O)c1ccc(OC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)cc1 ZINC000734719652 1073471840 /nfs/dbraw/zinc/47/18/40/1073471840.db2.gz RQXWKFUCLYPFGE-UHFFFAOYSA-N 0 0 436.424 -0.121 20 0 IBADRN NC(=O)CNC(=O)c1ccc(OC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cc1 ZINC000734720049 1073471806 /nfs/dbraw/zinc/47/18/06/1073471806.db2.gz YDRZHVKQZJAVGB-UHFFFAOYSA-N 0 0 437.430 -0.265 20 0 IBADRN COc1ccc([C@H]2[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC(=O)N2C)cc1 ZINC000734772055 1073472954 /nfs/dbraw/zinc/47/29/54/1073472954.db2.gz MCYGFICRYJBWIH-AEFFLSMTSA-N 0 0 425.507 -0.007 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC(=O)N2C)cc1 ZINC000734772059 1073472913 /nfs/dbraw/zinc/47/29/13/1073472913.db2.gz MCYGFICRYJBWIH-FUHWJXTLSA-N 0 0 425.507 -0.007 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC(=O)N2C)cc1 ZINC000734772061 1073473620 /nfs/dbraw/zinc/47/36/20/1073473620.db2.gz MCYGFICRYJBWIH-SJLPKXTDSA-N 0 0 425.507 -0.007 20 0 IBADRN COc1ccc([C@H]2[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC(=O)N2C)cc1 ZINC000734772063 1073473470 /nfs/dbraw/zinc/47/34/70/1073473470.db2.gz MCYGFICRYJBWIH-WMZOPIPTSA-N 0 0 425.507 -0.007 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)CN3CCCS3(=O)=O)cc2)CC1 ZINC000734774998 1073473479 /nfs/dbraw/zinc/47/34/79/1073473479.db2.gz BPACPBGMLDHCCA-UHFFFAOYSA-N 0 0 445.519 -0.655 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)Cc3nc4ncccn4n3)cc2)CC1 ZINC000734775105 1073473540 /nfs/dbraw/zinc/47/35/40/1073473540.db2.gz DOGNXCCKKUVXOT-UHFFFAOYSA-N 0 0 444.473 -0.010 20 0 IBADRN CN(CC(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1)S(C)(=O)=O ZINC000734775268 1073473625 /nfs/dbraw/zinc/47/36/25/1073473625.db2.gz DVJBAXROHXJOBN-UHFFFAOYSA-N 0 0 433.508 -0.799 20 0 IBADRN C[C@H](C(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1)N1C(=O)CCC1=O ZINC000734775473 1073473597 /nfs/dbraw/zinc/47/35/97/1073473597.db2.gz FIWKIMFTZKXWGI-CYBMUJFWSA-N 0 0 437.474 -0.153 20 0 IBADRN C[C@@H](C(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1)N1C(=O)CCC1=O ZINC000734775477 1073473512 /nfs/dbraw/zinc/47/35/12/1073473512.db2.gz FIWKIMFTZKXWGI-ZDUSSCGKSA-N 0 0 437.474 -0.153 20 0 IBADRN COCCS(=O)(=O)CC(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000734775583 1073473420 /nfs/dbraw/zinc/47/34/20/1073473420.db2.gz GWCVIMVUGCIQPL-UHFFFAOYSA-N 0 0 448.519 -0.629 20 0 IBADRN Cn1cc(C(=O)Oc2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)c(=O)[nH]c1=O ZINC000734775832 1073473614 /nfs/dbraw/zinc/47/36/14/1073473614.db2.gz NQLOZCNBALSCHW-UHFFFAOYSA-N 0 0 436.446 -0.578 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)CCN3C(=O)CCC3=O)cc2)CC1 ZINC000734776060 1073473569 /nfs/dbraw/zinc/47/35/69/1073473569.db2.gz SUOPXYQTBCXGDX-UHFFFAOYSA-N 0 0 437.474 -0.152 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000734776257 1073473393 /nfs/dbraw/zinc/47/33/93/1073473393.db2.gz XNGFSCKHIPCJAR-AWEZNQCLSA-N 0 0 430.504 -0.256 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000734776258 1073473455 /nfs/dbraw/zinc/47/34/55/1073473455.db2.gz XNGFSCKHIPCJAR-CQSZACIVSA-N 0 0 430.504 -0.256 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)CN3CSCC3=O)cc2)CC1 ZINC000734776676 1073473574 /nfs/dbraw/zinc/47/35/74/1073473574.db2.gz ZKDRWCGRDCDSLN-UHFFFAOYSA-N 0 0 427.504 -0.158 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(Cc3ccc(Cl)nc3)CC1)c(=O)n2C ZINC000734784870 1073472925 /nfs/dbraw/zinc/47/29/25/1073472925.db2.gz IFBNGKWSYKRPOT-UHFFFAOYSA-N 0 0 431.884 -0.174 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4ccc(Cl)nc4)CC3)c2c(=O)n(C)c1=O ZINC000734786337 1073472889 /nfs/dbraw/zinc/47/28/89/1073472889.db2.gz YSHFJEAVYOWKCB-UHFFFAOYSA-N 0 0 431.884 -0.174 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)NCCS(=O)(=O)N2CCOCC2)cc1F ZINC000734813678 1073473552 /nfs/dbraw/zinc/47/35/52/1073473552.db2.gz FDVSJMHVAPOZAG-UHFFFAOYSA-N 0 0 436.483 -0.420 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000734836137 1073474253 /nfs/dbraw/zinc/47/42/53/1073474253.db2.gz ABJFEMGVXDOGHH-MSOLQXFVSA-N 0 0 438.550 -0.149 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000734836140 1073474156 /nfs/dbraw/zinc/47/41/56/1073474156.db2.gz ABJFEMGVXDOGHH-QZTJIDSGSA-N 0 0 438.550 -0.149 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000734836142 1073474270 /nfs/dbraw/zinc/47/42/70/1073474270.db2.gz ABJFEMGVXDOGHH-ROUUACIJSA-N 0 0 438.550 -0.149 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000734836143 1073474173 /nfs/dbraw/zinc/47/41/73/1073474173.db2.gz ABJFEMGVXDOGHH-ZWKOTPCHSA-N 0 0 438.550 -0.149 20 0 IBADRN O=S1(=O)C[C@H](N2CCOCC2)[C@H](NCc2nnnn2-c2ccc(OC(F)F)cc2)C1 ZINC000734836146 1073474263 /nfs/dbraw/zinc/47/42/63/1073474263.db2.gz AIHHLQCFFMDOBW-CABCVRRESA-N 0 0 444.464 -0.149 20 0 IBADRN O=S1(=O)C[C@H](NCc2nnnn2-c2ccc(OC(F)F)cc2)[C@@H](N2CCOCC2)C1 ZINC000734836150 1073474218 /nfs/dbraw/zinc/47/42/18/1073474218.db2.gz AIHHLQCFFMDOBW-GJZGRUSLSA-N 0 0 444.464 -0.149 20 0 IBADRN O=S1(=O)C[C@@H](NCc2nnnn2-c2ccc(OC(F)F)cc2)[C@H](N2CCOCC2)C1 ZINC000734836151 1073474308 /nfs/dbraw/zinc/47/43/08/1073474308.db2.gz AIHHLQCFFMDOBW-HUUCEWRRSA-N 0 0 444.464 -0.149 20 0 IBADRN O=S1(=O)C[C@H](NCc2nnnn2-c2ccc(OC(F)F)cc2)[C@H](N2CCOCC2)C1 ZINC000734836154 1073474290 /nfs/dbraw/zinc/47/42/90/1073474290.db2.gz AIHHLQCFFMDOBW-LSDHHAIUSA-N 0 0 444.464 -0.149 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000734836233 1073473365 /nfs/dbraw/zinc/47/33/65/1073473365.db2.gz BDVWTGDHSLQKAL-CVEARBPZSA-N 0 0 431.536 -0.884 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000734836234 1073473587 /nfs/dbraw/zinc/47/35/87/1073473587.db2.gz BDVWTGDHSLQKAL-HOTGVXAUSA-N 0 0 431.536 -0.884 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000734836235 1073473602 /nfs/dbraw/zinc/47/36/02/1073473602.db2.gz BDVWTGDHSLQKAL-HZPDHXFCSA-N 0 0 431.536 -0.884 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000734836238 1073473522 /nfs/dbraw/zinc/47/35/22/1073473522.db2.gz BDVWTGDHSLQKAL-JKSUJKDBSA-N 0 0 431.536 -0.884 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccccc1C(=O)NC1CC1 ZINC000734836254 1073473499 /nfs/dbraw/zinc/47/34/99/1073473499.db2.gz BMPLDXQMNOWYAF-MSOLQXFVSA-N 0 0 436.534 -0.395 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccccc1C(=O)NC1CC1 ZINC000734836257 1073474210 /nfs/dbraw/zinc/47/42/10/1073474210.db2.gz BMPLDXQMNOWYAF-QZTJIDSGSA-N 0 0 436.534 -0.395 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccccc1C(=O)NC1CC1 ZINC000734836259 1073474144 /nfs/dbraw/zinc/47/41/44/1073474144.db2.gz BMPLDXQMNOWYAF-ROUUACIJSA-N 0 0 436.534 -0.395 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccccc1C(=O)NC1CC1 ZINC000734836260 1073474302 /nfs/dbraw/zinc/47/43/02/1073474302.db2.gz BMPLDXQMNOWYAF-ZWKOTPCHSA-N 0 0 436.534 -0.395 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000734836788 1073474201 /nfs/dbraw/zinc/47/42/01/1073474201.db2.gz DNPKLHXYRSPBGY-DLBZAZTESA-N 0 0 440.522 -0.432 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000734836791 1073474245 /nfs/dbraw/zinc/47/42/45/1073474245.db2.gz DNPKLHXYRSPBGY-IAGOWNOFSA-N 0 0 440.522 -0.432 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000734836795 1073474279 /nfs/dbraw/zinc/47/42/79/1073474279.db2.gz DNPKLHXYRSPBGY-IRXDYDNUSA-N 0 0 440.522 -0.432 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000734836799 1073474185 /nfs/dbraw/zinc/47/41/85/1073474185.db2.gz DNPKLHXYRSPBGY-SJORKVTESA-N 0 0 440.522 -0.432 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccc(N2CCOCC2)cc1 ZINC000734836863 1073474223 /nfs/dbraw/zinc/47/42/23/1073474223.db2.gz GQODQIATPSYOCX-MOPGFXCFSA-N 0 0 438.550 -0.451 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)Nc1ccc(N2CCOCC2)cc1 ZINC000734836864 1073474944 /nfs/dbraw/zinc/47/49/44/1073474944.db2.gz GQODQIATPSYOCX-OALUTQOASA-N 0 0 438.550 -0.451 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccc(N2CCOCC2)cc1 ZINC000734836865 1073474925 /nfs/dbraw/zinc/47/49/25/1073474925.db2.gz GQODQIATPSYOCX-RBUKOAKNSA-N 0 0 438.550 -0.451 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)Nc1ccc(N2CCOCC2)cc1 ZINC000734836866 1073474836 /nfs/dbraw/zinc/47/48/36/1073474836.db2.gz GQODQIATPSYOCX-RTBURBONSA-N 0 0 438.550 -0.451 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(Cc2ccco2)CC1 ZINC000734836896 1073474855 /nfs/dbraw/zinc/47/48/55/1073474855.db2.gz HNFKPEHBBOPEAX-MSOLQXFVSA-N 0 0 426.539 -0.989 20 0 IBADRN O=C(CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(Cc2ccco2)CC1 ZINC000734836897 1073474891 /nfs/dbraw/zinc/47/48/91/1073474891.db2.gz HNFKPEHBBOPEAX-QZTJIDSGSA-N 0 0 426.539 -0.989 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)N1CCN(Cc2ccco2)CC1 ZINC000734836898 1073474791 /nfs/dbraw/zinc/47/47/91/1073474791.db2.gz HNFKPEHBBOPEAX-ROUUACIJSA-N 0 0 426.539 -0.989 20 0 IBADRN O=C(CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)N1CCN(Cc2ccco2)CC1 ZINC000734836899 1073474980 /nfs/dbraw/zinc/47/49/80/1073474980.db2.gz HNFKPEHBBOPEAX-ZWKOTPCHSA-N 0 0 426.539 -0.989 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000734841429 1073474771 /nfs/dbraw/zinc/47/47/71/1073474771.db2.gz QSDJOSQDCNJBQV-UHFFFAOYSA-N 0 0 426.538 -0.829 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)NCC3)CC1 ZINC000734842566 1073475506 /nfs/dbraw/zinc/47/55/06/1073475506.db2.gz QXDYSXQQCFKTIN-UHFFFAOYSA-N 0 0 430.552 -0.135 20 0 IBADRN Cn1cc(S(=O)(=O)Oc2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)cn1 ZINC000734845318 1073475552 /nfs/dbraw/zinc/47/55/52/1073475552.db2.gz OANLBLKQCPUGFN-UHFFFAOYSA-N 0 0 428.492 -0.095 20 0 IBADRN Cn1cnc(S(=O)(=O)Oc2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)c1 ZINC000734845368 1073475633 /nfs/dbraw/zinc/47/56/33/1073475633.db2.gz PFCFVWLKGUXPQU-UHFFFAOYSA-N 0 0 428.492 -0.095 20 0 IBADRN O=C(CN1CCN(Cc2ccc(Cl)nc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000734852595 1073475604 /nfs/dbraw/zinc/47/56/04/1073475604.db2.gz FMQIHMKYKYEFKX-AWEZNQCLSA-N 0 0 429.930 -0.135 20 0 IBADRN O=C(CN1CCN(Cc2ccc(Cl)nc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000734852613 1073475639 /nfs/dbraw/zinc/47/56/39/1073475639.db2.gz FMQIHMKYKYEFKX-CQSZACIVSA-N 0 0 429.930 -0.135 20 0 IBADRN COc1ccc([C@@H]2[C@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC(=O)N2C)cc1 ZINC000734924039 1073475492 /nfs/dbraw/zinc/47/54/92/1073475492.db2.gz XJONJZQLGXWECB-CXAGYDPISA-N 0 0 444.444 -0.380 20 0 IBADRN COc1ccc([C@H]2[C@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC(=O)N2C)cc1 ZINC000734924040 1073475473 /nfs/dbraw/zinc/47/54/73/1073475473.db2.gz XJONJZQLGXWECB-DYVFJYSZSA-N 0 0 444.444 -0.380 20 0 IBADRN COc1ccc([C@H]2[C@@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC(=O)N2C)cc1 ZINC000734924041 1073475593 /nfs/dbraw/zinc/47/55/93/1073475593.db2.gz XJONJZQLGXWECB-GUYCJALGSA-N 0 0 444.444 -0.380 20 0 IBADRN COc1ccc([C@@H]2[C@@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC(=O)N2C)cc1 ZINC000734924042 1073475533 /nfs/dbraw/zinc/47/55/33/1073475533.db2.gz XJONJZQLGXWECB-SUMWQHHRSA-N 0 0 444.444 -0.380 20 0 IBADRN Cc1ncc2c(n1)CCC[C@H]2NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000734951420 1073476129 /nfs/dbraw/zinc/47/61/29/1073476129.db2.gz MNYUZSLQZUAKPP-GOSISDBHSA-N 0 0 437.570 -0.018 20 0 IBADRN Cc1ncc2c(n1)CCC[C@@H]2NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000734951425 1073476210 /nfs/dbraw/zinc/47/62/10/1073476210.db2.gz MNYUZSLQZUAKPP-SFHVURJKSA-N 0 0 437.570 -0.018 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=S)NCCN2CCOCC2)CC1 ZINC000734980485 1073476265 /nfs/dbraw/zinc/47/62/65/1073476265.db2.gz CARQJQDVDNHRKT-UHFFFAOYSA-N 0 0 441.623 -0.081 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=S)NCCNS(C)(=O)=O)CC1 ZINC000734982701 1073476353 /nfs/dbraw/zinc/47/63/53/1073476353.db2.gz UQMIBAXMRDCOBP-UHFFFAOYSA-N 0 0 449.624 -0.864 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=S)NCCS(C)(=O)=O)CC1 ZINC000734982871 1073476232 /nfs/dbraw/zinc/47/62/32/1073476232.db2.gz XZTPXBZFGKGMTO-UHFFFAOYSA-N 0 0 434.609 -0.369 20 0 IBADRN Cn1cc(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)c(=O)n(C)c1=O ZINC000734989610 1073476157 /nfs/dbraw/zinc/47/61/57/1073476157.db2.gz AXXCEOLJRQMOFW-UHFFFAOYSA-N 0 0 426.426 -0.504 20 0 IBADRN COc1ccc(NC(=O)c2cn(C)c(=O)n(C)c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000734989654 1073476115 /nfs/dbraw/zinc/47/61/15/1073476115.db2.gz DSLMSCISBFNQCJ-UHFFFAOYSA-N 0 0 438.462 -0.634 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)c(=O)n(C)c1=O ZINC000734990333 1073476096 /nfs/dbraw/zinc/47/60/96/1073476096.db2.gz SIDIYSWNVRIKND-UHFFFAOYSA-N 0 0 426.882 -0.116 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)c1cn(C)c(=O)n(C)c1=O ZINC000734990721 1073476281 /nfs/dbraw/zinc/47/62/81/1073476281.db2.gz RQKVOCNTOFEODE-UHFFFAOYSA-N 0 0 438.462 -0.634 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)c(=O)n(C)c1=O ZINC000734991206 1073477183 /nfs/dbraw/zinc/47/71/83/1073477183.db2.gz PDGUWLXSRSNMKG-UHFFFAOYSA-N 0 0 428.417 -0.491 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)c(=O)n(C)c1=O ZINC000734991245 1073477104 /nfs/dbraw/zinc/47/71/04/1073477104.db2.gz QREABLUESBQREC-UHFFFAOYSA-N 0 0 446.407 -0.352 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)c(=O)n(C)c1=O ZINC000734991626 1073476310 /nfs/dbraw/zinc/47/63/10/1073476310.db2.gz CZPNYOCYYNEGME-UHFFFAOYSA-N 0 0 432.502 -0.281 20 0 IBADRN COCCCN(C(=O)c1cn(C)c(=O)n(C)c1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000734991994 1073477201 /nfs/dbraw/zinc/47/72/01/1073477201.db2.gz JIZIIYKFTFGLNX-UHFFFAOYSA-N 0 0 436.469 -0.732 20 0 IBADRN Cn1cc(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000734992263 1073477190 /nfs/dbraw/zinc/47/71/90/1073477190.db2.gz GACLIINOIGAERC-UHFFFAOYSA-N 0 0 436.490 -0.824 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000734992853 1073477078 /nfs/dbraw/zinc/47/70/78/1073477078.db2.gz LJAMENKODPECCI-UHFFFAOYSA-N 0 0 425.467 -0.774 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000734992999 1073477168 /nfs/dbraw/zinc/47/71/68/1073477168.db2.gz LOTFHVUDSCFVRT-UHFFFAOYSA-N 0 0 434.474 -0.567 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)c(=O)n(C)c1=O ZINC000734994499 1073476938 /nfs/dbraw/zinc/47/69/38/1073476938.db2.gz XAHFZNCLEOEMDD-UHFFFAOYSA-N 0 0 432.911 -0.054 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)c3cn(C)c(=O)n(C)c3=O)c2)CC1 ZINC000734994742 1073477053 /nfs/dbraw/zinc/47/70/53/1073477053.db2.gz SVPJMBFDSKYNGR-UHFFFAOYSA-N 0 0 435.506 -0.338 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)c(=O)n(C)c1=O ZINC000734994846 1073477063 /nfs/dbraw/zinc/47/70/63/1073477063.db2.gz VHQGLTFHJQAFTA-UHFFFAOYSA-N 0 0 428.417 -0.491 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3cccc(Cl)c3)CC2)c(=O)n(C)c1=O ZINC000734996596 1073477018 /nfs/dbraw/zinc/47/70/18/1073477018.db2.gz REMCQVNKAMQMCY-UHFFFAOYSA-N 0 0 426.882 -0.116 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000734997653 1073477133 /nfs/dbraw/zinc/47/71/33/1073477133.db2.gz WGALRJGPUGRRFO-UHFFFAOYSA-N 0 0 427.527 -0.649 20 0 IBADRN Cn1cc(C(=O)NCc2cccc(NC(=O)CCN3CCOCC3)c2)c(=O)n(C)c1=O ZINC000735001353 1073477117 /nfs/dbraw/zinc/47/71/17/1073477117.db2.gz QBXPNYUQYVTBOQ-UHFFFAOYSA-N 0 0 429.477 -0.325 20 0 IBADRN Cn1cc(C(=O)Nc2ccc(NC(=O)CCN3C(=O)CNC3=O)cc2)c(=O)n(C)c1=O ZINC000735002253 1073476964 /nfs/dbraw/zinc/47/69/64/1073476964.db2.gz HZXHTQSMPSCLDF-UHFFFAOYSA-N 0 0 428.405 -0.783 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCc3ccccc3N2C(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000735002671 1073477146 /nfs/dbraw/zinc/47/71/46/1073477146.db2.gz NSKZJFVWLOHOBO-GOSISDBHSA-N 0 0 425.489 -0.180 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCc3ccccc3N2C(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000735002672 1073477176 /nfs/dbraw/zinc/47/71/76/1073477176.db2.gz NSKZJFVWLOHOBO-SFHVURJKSA-N 0 0 425.489 -0.180 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)c(=O)n(C)c1=O ZINC000735003732 1073477092 /nfs/dbraw/zinc/47/70/92/1073477092.db2.gz UPSATPXOKWEXKJ-UHFFFAOYSA-N 0 0 432.462 -0.893 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c(C)s1 ZINC000735004625 1073477159 /nfs/dbraw/zinc/47/71/59/1073477159.db2.gz ISIVOCGXCVHMRN-UHFFFAOYSA-N 0 0 426.520 -0.091 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000735005593 1073476996 /nfs/dbraw/zinc/47/69/96/1073476996.db2.gz WJSNMAKTRBRPMR-AWEZNQCLSA-N 0 0 434.536 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000735005603 1073477172 /nfs/dbraw/zinc/47/71/72/1073477172.db2.gz WJSNMAKTRBRPMR-CQSZACIVSA-N 0 0 434.536 -0.117 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000735009935 1073477823 /nfs/dbraw/zinc/47/78/23/1073477823.db2.gz SNDAUZBRMGDJPT-UHFFFAOYSA-N 0 0 428.493 -0.454 20 0 IBADRN Cn1cc(C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)c(=O)n(C)c1=O ZINC000735010091 1073477854 /nfs/dbraw/zinc/47/78/54/1073477854.db2.gz ZKQJYQSGOAAJIE-UHFFFAOYSA-N 0 0 435.506 -0.338 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)c(=O)n(C)c1=O ZINC000735011846 1073477688 /nfs/dbraw/zinc/47/76/88/1073477688.db2.gz XLFJWFZDXIFPIG-UHFFFAOYSA-N 0 0 425.489 -0.179 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)c(=O)n(C)c1=O ZINC000735012626 1073477629 /nfs/dbraw/zinc/47/76/29/1073477629.db2.gz BTPUJKDJFQDLAZ-AWEZNQCLSA-N 0 0 429.481 -0.891 20 0 IBADRN Cn1cc(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)c(=O)n(C)c1=O ZINC000735012627 1073477669 /nfs/dbraw/zinc/47/76/69/1073477669.db2.gz BTPUJKDJFQDLAZ-CQSZACIVSA-N 0 0 429.481 -0.891 20 0 IBADRN COCCS(=O)(=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000735014654 1073477783 /nfs/dbraw/zinc/47/77/83/1073477783.db2.gz AKSWONGZYISZCN-UHFFFAOYSA-N 0 0 433.552 -0.700 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000735020890 1073477767 /nfs/dbraw/zinc/47/77/67/1073477767.db2.gz FWWGOMMLVRXIAU-PMERELPUSA-N 0 0 433.490 -0.033 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000735020903 1073477839 /nfs/dbraw/zinc/47/78/39/1073477839.db2.gz FWWGOMMLVRXIAU-SSEXGKCCSA-N 0 0 433.490 -0.033 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000735022017 1073477754 /nfs/dbraw/zinc/47/77/54/1073477754.db2.gz LOAULDRBBXJTNU-PMERELPUSA-N 0 0 433.490 -0.033 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000735022018 1073477777 /nfs/dbraw/zinc/47/77/77/1073477777.db2.gz LOAULDRBBXJTNU-SSEXGKCCSA-N 0 0 433.490 -0.033 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccccc3Cl)CC2)c(=O)n(C)c1=O ZINC000735030261 1073477609 /nfs/dbraw/zinc/47/76/09/1073477609.db2.gz KEYDRUNBTYSGGF-UHFFFAOYSA-N 0 0 426.882 -0.116 20 0 IBADRN COc1ccc([C@H](CNC(=O)c2cn(C)c(=O)n(C)c2=O)N2CCOCC2)cc1OC ZINC000735031597 1073477658 /nfs/dbraw/zinc/47/76/58/1073477658.db2.gz NBLUNDWAXZYRGK-INIZCTEOSA-N 0 0 432.477 -0.096 20 0 IBADRN COc1ccc([C@@H](CNC(=O)c2cn(C)c(=O)n(C)c2=O)N2CCOCC2)cc1OC ZINC000735031598 1073477590 /nfs/dbraw/zinc/47/75/90/1073477590.db2.gz NBLUNDWAXZYRGK-MRXNPFEDSA-N 0 0 432.477 -0.096 20 0 IBADRN Cn1cc(C(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)c(=O)n(C)c1=O ZINC000735035253 1073477718 /nfs/dbraw/zinc/47/77/18/1073477718.db2.gz NGCWRSQGTWTLSF-HNNXBMFYSA-N 0 0 430.461 -0.312 20 0 IBADRN Cn1cc(C(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)c(=O)n(C)c1=O ZINC000735035254 1073477809 /nfs/dbraw/zinc/47/78/09/1073477809.db2.gz NGCWRSQGTWTLSF-OAHLLOKOSA-N 0 0 430.461 -0.312 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000735036796 1073477814 /nfs/dbraw/zinc/47/78/14/1073477814.db2.gz CJVWIJKPHTZYLR-HNNXBMFYSA-N 0 0 445.519 -0.705 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000735036800 1073477799 /nfs/dbraw/zinc/47/77/99/1073477799.db2.gz CJVWIJKPHTZYLR-OAHLLOKOSA-N 0 0 445.519 -0.705 20 0 IBADRN Cn1cc(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)c(=O)n(C)c1=O ZINC000735038043 1073477742 /nfs/dbraw/zinc/47/77/42/1073477742.db2.gz PRDYQUJVJOUVIP-AWEZNQCLSA-N 0 0 436.490 -0.529 20 0 IBADRN Cn1cc(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)c(=O)n(C)c1=O ZINC000735038045 1073478465 /nfs/dbraw/zinc/47/84/65/1073478465.db2.gz PRDYQUJVJOUVIP-CQSZACIVSA-N 0 0 436.490 -0.529 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000735038297 1073479814 /nfs/dbraw/zinc/47/98/14/1073479814.db2.gz ULSIUIAMCPCTPY-CYBMUJFWSA-N 0 0 437.497 -0.423 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000735038299 1073479864 /nfs/dbraw/zinc/47/98/64/1073479864.db2.gz ULSIUIAMCPCTPY-ZDUSSCGKSA-N 0 0 437.497 -0.423 20 0 IBADRN CN(C)c1nc(N)nc(COc2cccc(NC(=O)c3cn(C)c(=O)n(C)c3=O)c2)n1 ZINC000735044182 1073478432 /nfs/dbraw/zinc/47/84/32/1073478432.db2.gz IZLPXMPHCBWGMR-UHFFFAOYSA-N 0 0 426.437 -0.252 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000735048097 1073478451 /nfs/dbraw/zinc/47/84/51/1073478451.db2.gz HSHZDWCHYJCDLH-UHFFFAOYSA-N 0 0 429.477 -0.720 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000735048440 1073478410 /nfs/dbraw/zinc/47/84/10/1073478410.db2.gz NVZSNRFXKRZXGP-UHFFFAOYSA-N 0 0 449.533 -0.871 20 0 IBADRN C[S@@](=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000735053647 1073478426 /nfs/dbraw/zinc/47/84/26/1073478426.db2.gz VNSLYBQYGYQHQS-MUUNZHRXSA-N 0 0 445.563 -0.348 20 0 IBADRN C[S@](=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000735053648 1073478493 /nfs/dbraw/zinc/47/84/93/1073478493.db2.gz VNSLYBQYGYQHQS-NDEPHWFRSA-N 0 0 445.563 -0.348 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CCn2cc[nH]c(=O)c2=O)CC1 ZINC000735060881 1073478396 /nfs/dbraw/zinc/47/83/96/1073478396.db2.gz XRQNRXPGKBJHBN-UHFFFAOYSA-N 0 0 435.506 -0.821 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000735062216 1073478443 /nfs/dbraw/zinc/47/84/43/1073478443.db2.gz CWRVKMHCGGLOKC-GFCCVEGCSA-N 0 0 435.499 -0.390 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000735062217 1073478418 /nfs/dbraw/zinc/47/84/18/1073478418.db2.gz CWRVKMHCGGLOKC-LBPRGKRZSA-N 0 0 435.499 -0.390 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)C1 ZINC000735063130 1073478448 /nfs/dbraw/zinc/47/84/48/1073478448.db2.gz FLKGASXGCZKULD-MRVPVSSYSA-N 0 0 426.314 -0.140 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)C1 ZINC000735063131 1073479266 /nfs/dbraw/zinc/47/92/66/1073479266.db2.gz FLKGASXGCZKULD-QMMMGPOBSA-N 0 0 426.314 -0.140 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC000735063134 1073479324 /nfs/dbraw/zinc/47/93/24/1073479324.db2.gz FNEIWLWPRPPSTC-BRBWODKKSA-N 0 0 434.518 -0.060 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC000735063135 1073479286 /nfs/dbraw/zinc/47/92/86/1073479286.db2.gz FNEIWLWPRPPSTC-NWTSWCFDSA-N 0 0 434.518 -0.060 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC000735063136 1073479278 /nfs/dbraw/zinc/47/92/78/1073479278.db2.gz FNEIWLWPRPPSTC-QOCAVEGASA-N 0 0 434.518 -0.060 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC000735063137 1073479225 /nfs/dbraw/zinc/47/92/25/1073479225.db2.gz FNEIWLWPRPPSTC-REEAJDFCSA-N 0 0 434.518 -0.060 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)cc2S1(=O)=O ZINC000735063391 1073478471 /nfs/dbraw/zinc/47/84/71/1073478471.db2.gz IFZROJGNAUKUSR-GFCCVEGCSA-N 0 0 431.492 -0.759 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC[C@H](NS(C)(=O)=O)C3)cc2S1(=O)=O ZINC000735063392 1073478368 /nfs/dbraw/zinc/47/83/68/1073478368.db2.gz IFZROJGNAUKUSR-LBPRGKRZSA-N 0 0 431.492 -0.759 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC000735063455 1073478444 /nfs/dbraw/zinc/47/84/44/1073478444.db2.gz MLIOEJMLYAGMPB-LURJTMIESA-N 0 0 432.343 -0.078 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC000735063456 1073478439 /nfs/dbraw/zinc/47/84/39/1073478439.db2.gz MLIOEJMLYAGMPB-ZCFIWIBFSA-N 0 0 432.343 -0.078 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000735063728 1073478386 /nfs/dbraw/zinc/47/83/86/1073478386.db2.gz STHPOCWXHGHMPU-CABCVRRESA-N 0 0 426.495 -0.303 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000735063730 1073478490 /nfs/dbraw/zinc/47/84/90/1073478490.db2.gz STHPOCWXHGHMPU-GJZGRUSLSA-N 0 0 426.495 -0.303 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@@H](NS(C)(=O)=O)C1)c2=O ZINC000735064409 1073479191 /nfs/dbraw/zinc/47/91/91/1073479191.db2.gz LAUJUNKLLRPTQE-MRVPVSSYSA-N 0 0 433.288 -0.957 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@H](NS(C)(=O)=O)C1)c2=O ZINC000735064410 1073479160 /nfs/dbraw/zinc/47/91/60/1073479160.db2.gz LAUJUNKLLRPTQE-QMMMGPOBSA-N 0 0 433.288 -0.957 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000735064663 1073479213 /nfs/dbraw/zinc/47/92/13/1073479213.db2.gz RRIQJEXYVLCQRN-AWEZNQCLSA-N 0 0 440.547 -0.002 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000735064664 1073479294 /nfs/dbraw/zinc/47/92/94/1073479294.db2.gz RRIQJEXYVLCQRN-CQSZACIVSA-N 0 0 440.547 -0.002 20 0 IBADRN CC(C)[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000735070357 1073479825 /nfs/dbraw/zinc/47/98/25/1073479825.db2.gz KZXCZTVYLTYKDE-PBHICJAKSA-N 0 0 435.568 -0.500 20 0 IBADRN CC(C)[C@@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000735070358 1073479723 /nfs/dbraw/zinc/47/97/23/1073479723.db2.gz KZXCZTVYLTYKDE-RHSMWYFYSA-N 0 0 435.568 -0.500 20 0 IBADRN CC(C)[C@@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000735070359 1073479739 /nfs/dbraw/zinc/47/97/39/1073479739.db2.gz KZXCZTVYLTYKDE-WMLDXEAASA-N 0 0 435.568 -0.500 20 0 IBADRN CC(C)[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000735070360 1073479859 /nfs/dbraw/zinc/47/98/59/1073479859.db2.gz KZXCZTVYLTYKDE-YOEHRIQHSA-N 0 0 435.568 -0.500 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC000735072759 1073480643 /nfs/dbraw/zinc/48/06/43/1073480643.db2.gz GWMTXORYYBFZKZ-CXAGYDPISA-N 0 0 444.535 -0.562 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC000735072760 1073480663 /nfs/dbraw/zinc/48/06/63/1073480663.db2.gz GWMTXORYYBFZKZ-DYVFJYSZSA-N 0 0 444.535 -0.562 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CC[C@H](NS(C)(=O)=O)C2)C1=O ZINC000735072761 1073480621 /nfs/dbraw/zinc/48/06/21/1073480621.db2.gz GWMTXORYYBFZKZ-GUYCJALGSA-N 0 0 444.535 -0.562 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CC[C@H](NS(C)(=O)=O)C2)C1=O ZINC000735072762 1073480530 /nfs/dbraw/zinc/48/05/30/1073480530.db2.gz GWMTXORYYBFZKZ-SUMWQHHRSA-N 0 0 444.535 -0.562 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CC[C@@H](NS(C)(=O)=O)C2)c1=O ZINC000735074318 1073480634 /nfs/dbraw/zinc/48/06/34/1073480634.db2.gz YWWWZYZFUKXWND-CYBMUJFWSA-N 0 0 445.567 -0.346 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CC[C@H](NS(C)(=O)=O)C2)c1=O ZINC000735074326 1073480670 /nfs/dbraw/zinc/48/06/70/1073480670.db2.gz YWWWZYZFUKXWND-ZDUSSCGKSA-N 0 0 445.567 -0.346 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H](NS(C)(=O)=O)C3)C2=O)c1 ZINC000735077759 1073480580 /nfs/dbraw/zinc/48/05/80/1073480580.db2.gz LBPKDQUORMZBRX-ACJLOTCBSA-N 0 0 439.494 -0.883 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H](NS(C)(=O)=O)C3)C2=O)c1 ZINC000735077760 1073480596 /nfs/dbraw/zinc/48/05/96/1073480596.db2.gz LBPKDQUORMZBRX-FZKQIMNGSA-N 0 0 439.494 -0.883 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@H](NS(C)(=O)=O)C3)C2=O)c1 ZINC000735077761 1073480568 /nfs/dbraw/zinc/48/05/68/1073480568.db2.gz LBPKDQUORMZBRX-SCLBCKFNSA-N 0 0 439.494 -0.883 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@H](NS(C)(=O)=O)C3)C2=O)c1 ZINC000735077762 1073480650 /nfs/dbraw/zinc/48/06/50/1073480650.db2.gz LBPKDQUORMZBRX-UGSOOPFHSA-N 0 0 439.494 -0.883 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H](NS(C)(=O)=O)C3)C2=O)cc1 ZINC000735077769 1073480617 /nfs/dbraw/zinc/48/06/17/1073480617.db2.gz LTUZZZJZXMUUHO-ACJLOTCBSA-N 0 0 439.494 -0.883 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H](NS(C)(=O)=O)C3)C2=O)cc1 ZINC000735077770 1073480626 /nfs/dbraw/zinc/48/06/26/1073480626.db2.gz LTUZZZJZXMUUHO-FZKQIMNGSA-N 0 0 439.494 -0.883 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@H](NS(C)(=O)=O)C3)C2=O)cc1 ZINC000735077771 1073480646 /nfs/dbraw/zinc/48/06/46/1073480646.db2.gz LTUZZZJZXMUUHO-SCLBCKFNSA-N 0 0 439.494 -0.883 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@H](NS(C)(=O)=O)C3)C2=O)cc1 ZINC000735077772 1073481212 /nfs/dbraw/zinc/48/12/12/1073481212.db2.gz LTUZZZJZXMUUHO-UGSOOPFHSA-N 0 0 439.494 -0.883 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC000735078972 1073481282 /nfs/dbraw/zinc/48/12/82/1073481282.db2.gz ZDVWLFWCADMGEP-BEFAXECRSA-N 0 0 437.522 -0.416 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC000735078973 1073481122 /nfs/dbraw/zinc/48/11/22/1073481122.db2.gz ZDVWLFWCADMGEP-DNVCBOLYSA-N 0 0 437.522 -0.416 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CC[C@H](NS(C)(=O)=O)C2)C1=O ZINC000735078975 1073481141 /nfs/dbraw/zinc/48/11/41/1073481141.db2.gz ZDVWLFWCADMGEP-HNAYVOBHSA-N 0 0 437.522 -0.416 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CC[C@H](NS(C)(=O)=O)C2)C1=O ZINC000735078976 1073481275 /nfs/dbraw/zinc/48/12/75/1073481275.db2.gz ZDVWLFWCADMGEP-KXBFYZLASA-N 0 0 437.522 -0.416 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000735083735 1073481240 /nfs/dbraw/zinc/48/12/40/1073481240.db2.gz YMSFILBTTXBFAS-CYBMUJFWSA-N 0 0 440.565 -0.188 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000735083736 1073481365 /nfs/dbraw/zinc/48/13/65/1073481365.db2.gz YMSFILBTTXBFAS-ZDUSSCGKSA-N 0 0 440.565 -0.188 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)CC1 ZINC000735093928 1073479748 /nfs/dbraw/zinc/47/97/48/1073479748.db2.gz COUYJOVUCDYCBO-CYBMUJFWSA-N 0 0 433.531 -0.601 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CC[C@H](NS(C)(=O)=O)C2)CC1 ZINC000735093942 1073479855 /nfs/dbraw/zinc/47/98/55/1073479855.db2.gz COUYJOVUCDYCBO-ZDUSSCGKSA-N 0 0 433.531 -0.601 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000735098977 1073479831 /nfs/dbraw/zinc/47/98/31/1073479831.db2.gz CKZZYKVMOHGIKR-UHFFFAOYSA-N 0 0 447.583 -0.639 20 0 IBADRN CCS(=O)(=O)CCNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000735100134 1073479872 /nfs/dbraw/zinc/47/98/72/1073479872.db2.gz PVWCWZGHYYERIJ-UHFFFAOYSA-N 0 0 432.568 -0.143 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000735101537 1073479849 /nfs/dbraw/zinc/47/98/49/1073479849.db2.gz QULNOINWWVMPCK-LLVKDONJSA-N 0 0 436.478 -0.119 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000735101546 1073479765 /nfs/dbraw/zinc/47/97/65/1073479765.db2.gz QULNOINWWVMPCK-NSHDSACASA-N 0 0 436.478 -0.119 20 0 IBADRN O=C(NCC[S@](=O)Cc1ccccc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000735106353 1073480657 /nfs/dbraw/zinc/48/06/57/1073480657.db2.gz BLDIEWOBKHFPPU-PMERELPUSA-N 0 0 436.534 -0.352 20 0 IBADRN O=C(NCC[S@@](=O)Cc1ccccc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000735106354 1073480602 /nfs/dbraw/zinc/48/06/02/1073480602.db2.gz BLDIEWOBKHFPPU-SSEXGKCCSA-N 0 0 436.534 -0.352 20 0 IBADRN O=C(NCC[S@@](=O)Cc1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000735107069 1073481193 /nfs/dbraw/zinc/48/11/93/1073481193.db2.gz OFMREKURSRUHPB-MUUNZHRXSA-N 0 0 444.579 -0.161 20 0 IBADRN O=C(NCC[S@](=O)Cc1ccccc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000735107070 1073481231 /nfs/dbraw/zinc/48/12/31/1073481231.db2.gz OFMREKURSRUHPB-NDEPHWFRSA-N 0 0 444.579 -0.161 20 0 IBADRN C[S@@](=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000735107716 1073480614 /nfs/dbraw/zinc/48/06/14/1073480614.db2.gz ZAMBJLGYBFAAHU-HHHXNRCGSA-N 0 0 430.508 -0.588 20 0 IBADRN C[S@](=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000735107717 1073480587 /nfs/dbraw/zinc/48/05/87/1073480587.db2.gz ZAMBJLGYBFAAHU-MHZLTWQESA-N 0 0 430.508 -0.588 20 0 IBADRN CC[S@@](=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000735109095 1073481353 /nfs/dbraw/zinc/48/13/53/1073481353.db2.gz BYEHQAUBLVGIPP-MUUNZHRXSA-N 0 0 444.535 -0.198 20 0 IBADRN CC[S@](=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000735109097 1073481220 /nfs/dbraw/zinc/48/12/20/1073481220.db2.gz BYEHQAUBLVGIPP-NDEPHWFRSA-N 0 0 444.535 -0.198 20 0 IBADRN CC(C)(C)[S@](=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000735112128 1073481266 /nfs/dbraw/zinc/48/12/66/1073481266.db2.gz DHBQWFFCTVHDJA-HHHXNRCGSA-N 0 0 434.540 -0.058 20 0 IBADRN CC(C)(C)[S@@](=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000735112129 1073481172 /nfs/dbraw/zinc/48/11/72/1073481172.db2.gz DHBQWFFCTVHDJA-MHZLTWQESA-N 0 0 434.540 -0.058 20 0 IBADRN C[C@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000735149596 1073481295 /nfs/dbraw/zinc/48/12/95/1073481295.db2.gz LDGKESMOEZVQAT-HNNXBMFYSA-N 0 0 444.488 -0.222 20 0 IBADRN C[C@@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000735149597 1073481334 /nfs/dbraw/zinc/48/13/34/1073481334.db2.gz LDGKESMOEZVQAT-OAHLLOKOSA-N 0 0 444.488 -0.222 20 0 IBADRN Cn1cc(C(=O)OCC(=O)NCc2ccccc2CN2CCOCC2)c(=O)n(C)c1=O ZINC000735150735 1073481376 /nfs/dbraw/zinc/48/13/76/1073481376.db2.gz WJHUDZMGWFCKOG-UHFFFAOYSA-N 0 0 430.461 -0.611 20 0 IBADRN Cn1cc(C(=O)OCC(=O)NCc2ccccc2CN2CCCC2=O)c(=O)n(C)c1=O ZINC000735150746 1073482001 /nfs/dbraw/zinc/48/20/01/1073482001.db2.gz WSRKQXATQAZOGV-UHFFFAOYSA-N 0 0 428.445 -0.320 20 0 IBADRN Cn1cc(C(=O)OCC(=O)NC2CCN(C(=O)C3CCCCC3)CC2)c(=O)n(C)c1=O ZINC000735151329 1073482075 /nfs/dbraw/zinc/48/20/75/1073482075.db2.gz HAUBBXMHUHWXOV-UHFFFAOYSA-N 0 0 434.493 -0.072 20 0 IBADRN Cn1cc(C(=O)OCC(=O)Nc2ccccc2S(=O)(=O)C(F)F)c(=O)n(C)c1=O ZINC000735151344 1073482090 /nfs/dbraw/zinc/48/20/90/1073482090.db2.gz HRNGAAJNTLQTIM-UHFFFAOYSA-N 0 0 431.373 -0.124 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@H](C)OC(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000735151488 1073482010 /nfs/dbraw/zinc/48/20/10/1073482010.db2.gz JDYMNGUPXBVYIA-JTQLQIEISA-N 0 0 447.400 -0.159 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@@H](C)OC(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000735151489 1073481976 /nfs/dbraw/zinc/48/19/76/1073481976.db2.gz JDYMNGUPXBVYIA-SNVBAGLBSA-N 0 0 447.400 -0.159 20 0 IBADRN Cn1cc(C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCCO3)c(=O)n(C)c1=O ZINC000735151539 1073481995 /nfs/dbraw/zinc/48/19/95/1073481995.db2.gz KJLRKTMQNPPNMC-UHFFFAOYSA-N 0 0 432.389 -0.250 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)OC(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000735151566 1073482054 /nfs/dbraw/zinc/48/20/54/1073482054.db2.gz LQNKLUDRRSNEOR-GHMZBOCLSA-N 0 0 438.462 -0.846 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000735151567 1073481989 /nfs/dbraw/zinc/48/19/89/1073481989.db2.gz LQNKLUDRRSNEOR-MNOVXSKESA-N 0 0 438.462 -0.846 20 0 IBADRN C[C@H](NC(=O)[C@H](C)OC(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000735151568 1073482066 /nfs/dbraw/zinc/48/20/66/1073482066.db2.gz LQNKLUDRRSNEOR-QWRGUYRKSA-N 0 0 438.462 -0.846 20 0 IBADRN C[C@@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000735151569 1073482018 /nfs/dbraw/zinc/48/20/18/1073482018.db2.gz LQNKLUDRRSNEOR-WDEREUQCSA-N 0 0 438.462 -0.846 20 0 IBADRN C[C@@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000735151645 1073481982 /nfs/dbraw/zinc/48/19/82/1073481982.db2.gz MHPQCZSGOFUPPV-MRVPVSSYSA-N 0 0 442.350 -0.199 20 0 IBADRN C[C@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000735151649 1073482014 /nfs/dbraw/zinc/48/20/14/1073482014.db2.gz MHPQCZSGOFUPPV-QMMMGPOBSA-N 0 0 442.350 -0.199 20 0 IBADRN Cc1cc(C(=O)COC(=O)c2cn(C)c(=O)n(C)c2=O)c(C)n1[C@@H]1CCS(=O)(=O)C1 ZINC000735151656 1073482048 /nfs/dbraw/zinc/48/20/48/1073482048.db2.gz MNIRNCHHKKFEBP-CYBMUJFWSA-N 0 0 437.474 -0.098 20 0 IBADRN Cc1cc(C(=O)COC(=O)c2cn(C)c(=O)n(C)c2=O)c(C)n1[C@H]1CCS(=O)(=O)C1 ZINC000735151661 1073482032 /nfs/dbraw/zinc/48/20/32/1073482032.db2.gz MNIRNCHHKKFEBP-ZDUSSCGKSA-N 0 0 437.474 -0.098 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)COC(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c(F)c1 ZINC000735151834 1073482059 /nfs/dbraw/zinc/48/20/59/1073482059.db2.gz RIUNWIVHGYHKLJ-UHFFFAOYSA-N 0 0 446.435 -0.069 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000735151893 1073482023 /nfs/dbraw/zinc/48/20/23/1073482023.db2.gz SLTCSBUVYBPKMQ-UHFFFAOYSA-N 0 0 429.433 -0.383 20 0 IBADRN Cn1cc(C(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)s2)c(=O)n(C)c1=O ZINC000735152096 1073482719 /nfs/dbraw/zinc/48/27/19/1073482719.db2.gz XKHXIBGILSMUTL-UHFFFAOYSA-N 0 0 429.476 -0.723 20 0 IBADRN Cn1cc(C(=O)OCC(=O)NCc2ccc(C(=O)N3CCCC3)cc2)c(=O)n(C)c1=O ZINC000735152200 1073482803 /nfs/dbraw/zinc/48/28/03/1073482803.db2.gz YLGRKJMXLOPNGS-UHFFFAOYSA-N 0 0 428.445 -0.207 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)c2cn(C)c(=O)n(C)c2=O)C1=O ZINC000735152356 1073482763 /nfs/dbraw/zinc/48/27/63/1073482763.db2.gz AIULKZZIFCMIIY-FQEVSTJZSA-N 0 0 443.416 -0.871 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)c2cn(C)c(=O)n(C)c2=O)C1=O ZINC000735152357 1073482707 /nfs/dbraw/zinc/48/27/07/1073482707.db2.gz AIULKZZIFCMIIY-HXUWFJFHSA-N 0 0 443.416 -0.871 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)c2cn(C)c(=O)n(C)c2=O)cc1S(=O)(=O)N(C)C ZINC000735152381 1073482689 /nfs/dbraw/zinc/48/26/89/1073482689.db2.gz BGTRHLYXHIDDSS-UHFFFAOYSA-N 0 0 438.462 -0.562 20 0 IBADRN CCS(=O)(=O)N1CCc2cc(C(=O)COC(=O)c3cn(C)c(=O)n(C)c3=O)ccc21 ZINC000735152388 1073482771 /nfs/dbraw/zinc/48/27/71/1073482771.db2.gz BPWHZUXQLCWKRS-UHFFFAOYSA-N 0 0 435.458 -0.164 20 0 IBADRN C[C@@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000735152684 1073482670 /nfs/dbraw/zinc/48/26/70/1073482670.db2.gz FWRFJGYBFLBJER-LLVKDONJSA-N 0 0 438.462 -0.482 20 0 IBADRN C[C@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000735152686 1073482634 /nfs/dbraw/zinc/48/26/34/1073482634.db2.gz FWRFJGYBFLBJER-NSHDSACASA-N 0 0 438.462 -0.482 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000735152764 1073482595 /nfs/dbraw/zinc/48/25/95/1073482595.db2.gz HZNWMVRYXBPYAJ-CYBMUJFWSA-N 0 0 427.479 -0.801 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000735152765 1073482643 /nfs/dbraw/zinc/48/26/43/1073482643.db2.gz HZNWMVRYXBPYAJ-ZDUSSCGKSA-N 0 0 427.479 -0.801 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)c(=O)n(C)c1=O ZINC000735152866 1073482037 /nfs/dbraw/zinc/48/20/37/1073482037.db2.gz FZTMWPNVBCHADA-UHFFFAOYSA-N 0 0 442.472 -0.480 20 0 IBADRN CCCCn1c2nc(COC(=O)c3cn(C)c(=O)n(C)c3=O)n(CC)c2c(=O)[nH]c1=O ZINC000735152958 1073482083 /nfs/dbraw/zinc/48/20/83/1073482083.db2.gz KZDRYUJPEVVFPH-UHFFFAOYSA-N 0 0 432.437 -0.127 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cn(C)c(=O)n(C)c2=O)NC(=O)N[C@H]1c1ccco1 ZINC000735153198 1073483403 /nfs/dbraw/zinc/48/34/03/1073483403.db2.gz OHYMQYWCLSYQCU-AWEZNQCLSA-N 0 0 432.389 -0.295 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cn(C)c(=O)n(C)c2=O)NC(=O)N[C@@H]1c1ccco1 ZINC000735153200 1073483470 /nfs/dbraw/zinc/48/34/70/1073483470.db2.gz OHYMQYWCLSYQCU-CQSZACIVSA-N 0 0 432.389 -0.295 20 0 IBADRN Cn1cc(C(=O)OCC(=O)c2ccc3c(c2)CCCN3S(C)(=O)=O)c(=O)n(C)c1=O ZINC000735153345 1073483487 /nfs/dbraw/zinc/48/34/87/1073483487.db2.gz NMFYNQSBIMQDHN-UHFFFAOYSA-N 0 0 435.458 -0.164 20 0 IBADRN Cn1cc(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)c(=O)n(C)c1=O ZINC000735153674 1073483435 /nfs/dbraw/zinc/48/34/35/1073483435.db2.gz FIQPXXSFOJYFDS-UHFFFAOYSA-N 0 0 431.373 -0.124 20 0 IBADRN Cc1ccccc1C(=O)NC1CCN(C(=O)COC(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000735153749 1073482657 /nfs/dbraw/zinc/48/26/57/1073482657.db2.gz GUOKABGTESKXCD-UHFFFAOYSA-N 0 0 442.472 -0.030 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N2CCC(C(=O)N3CCCCCC3)CC2)c(=O)n(C)c1=O ZINC000735153769 1073482798 /nfs/dbraw/zinc/48/27/98/1073482798.db2.gz HEWYUTNBHVYEBG-UHFFFAOYSA-N 0 0 434.493 -0.118 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)COC(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000735153797 1073483427 /nfs/dbraw/zinc/48/34/27/1073483427.db2.gz RGQQDOIDCGGZOY-AWEZNQCLSA-N 0 0 434.493 -0.262 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)COC(=O)c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000735153799 1073483512 /nfs/dbraw/zinc/48/35/12/1073483512.db2.gz RGQQDOIDCGGZOY-CQSZACIVSA-N 0 0 434.493 -0.262 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@H](C)OC(=O)c2cn(C)c(=O)n(C)c2=O)cc(C(=O)OC)c1 ZINC000735153929 1073482713 /nfs/dbraw/zinc/48/27/13/1073482713.db2.gz SXWOWUFHHMWWAL-JTQLQIEISA-N 0 0 447.400 -0.159 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@@H](C)OC(=O)c2cn(C)c(=O)n(C)c2=O)cc(C(=O)OC)c1 ZINC000735153931 1073482648 /nfs/dbraw/zinc/48/26/48/1073482648.db2.gz SXWOWUFHHMWWAL-SNVBAGLBSA-N 0 0 447.400 -0.159 20 0 IBADRN Cn1cc(C(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000735153937 1073482676 /nfs/dbraw/zinc/48/26/76/1073482676.db2.gz TVTZBMWQEGAOPD-UHFFFAOYSA-N 0 0 444.444 -0.970 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N(c2ccccc2)[C@H]2C=CS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000735153959 1073482611 /nfs/dbraw/zinc/48/26/11/1073482611.db2.gz UCSQXHNXMIIYOI-AWEZNQCLSA-N 0 0 433.442 -0.415 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N(c2ccccc2)[C@@H]2C=CS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000735153960 1073482778 /nfs/dbraw/zinc/48/27/78/1073482778.db2.gz UCSQXHNXMIIYOI-CQSZACIVSA-N 0 0 433.442 -0.415 20 0 IBADRN COC(=O)c1cc(NC(=O)COC(=O)c2cn(C)c(=O)n(C)c2=O)cc(C(=O)OC)c1 ZINC000735154001 1073482786 /nfs/dbraw/zinc/48/27/86/1073482786.db2.gz URZFDPFKJCGYPJ-UHFFFAOYSA-N 0 0 433.373 -0.547 20 0 IBADRN Cc1cc(N2CCN(C(=O)COC(=O)c3cn(C)c(=O)n(C)c3=O)CC2)nc(C(C)C)n1 ZINC000735154035 1073483344 /nfs/dbraw/zinc/48/33/44/1073483344.db2.gz VLBQFIMFBIJIAZ-UHFFFAOYSA-N 0 0 444.492 -0.189 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N([C@H]2CCS(=O)(=O)C2)C2CCCCC2)c(=O)n(C)c1=O ZINC000735154193 1073483393 /nfs/dbraw/zinc/48/33/93/1073483393.db2.gz IPCUBZSRFDNPCV-AWEZNQCLSA-N 0 0 441.506 -0.411 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N([C@@H]2CCS(=O)(=O)C2)C2CCCCC2)c(=O)n(C)c1=O ZINC000735154198 1073483411 /nfs/dbraw/zinc/48/34/11/1073483411.db2.gz IPCUBZSRFDNPCV-CQSZACIVSA-N 0 0 441.506 -0.411 20 0 IBADRN Cn1cc(C(=O)OCC(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)c(=O)n(C)c1=O ZINC000735154415 1073483506 /nfs/dbraw/zinc/48/35/06/1073483506.db2.gz XAOUWUUBCOBNSI-UHFFFAOYSA-N 0 0 435.458 -0.092 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N2CCC(NC(=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000735154435 1073483374 /nfs/dbraw/zinc/48/33/74/1073483374.db2.gz XFMOOJPXHGXNJB-UHFFFAOYSA-N 0 0 428.445 -0.338 20 0 IBADRN Cc1cc(NC(=O)COC(=O)c2cn(C)c(=O)n(C)c2=O)n(-c2nc(C)cc(C)n2)n1 ZINC000735154579 1073483367 /nfs/dbraw/zinc/48/33/67/1073483367.db2.gz WLZFNYDREMTVSI-UHFFFAOYSA-N 0 0 427.421 -0.220 20 0 IBADRN CC(C)[C@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000735155125 1073483383 /nfs/dbraw/zinc/48/33/83/1073483383.db2.gz QHIDCZKQRJFIHJ-HNNXBMFYSA-N 0 0 430.465 -0.596 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000735155129 1073483453 /nfs/dbraw/zinc/48/34/53/1073483453.db2.gz QHIDCZKQRJFIHJ-OAHLLOKOSA-N 0 0 430.465 -0.596 20 0 IBADRN Cn1cc(C(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)c(=O)n(C)c1=O ZINC000735155324 1073483536 /nfs/dbraw/zinc/48/35/36/1073483536.db2.gz SIHHQGCFWGPVIJ-UHFFFAOYSA-N 0 0 429.838 -0.064 20 0 IBADRN C[C@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000735155700 1073483418 /nfs/dbraw/zinc/48/34/18/1073483418.db2.gz VWBJGZSEKSFUGM-JTQLQIEISA-N 0 0 432.389 -0.251 20 0 IBADRN C[C@@H](OC(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000735155703 1073483496 /nfs/dbraw/zinc/48/34/96/1073483496.db2.gz VWBJGZSEKSFUGM-SNVBAGLBSA-N 0 0 432.389 -0.251 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000735189623 1073483461 /nfs/dbraw/zinc/48/34/61/1073483461.db2.gz DVUCAYGUXVZVAG-UHFFFAOYSA-N 0 0 432.568 -0.191 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000735190560 1073483478 /nfs/dbraw/zinc/48/34/78/1073483478.db2.gz DEUWLSWQDORJHY-HHHXNRCGSA-N 0 0 431.536 -0.376 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000735190581 1073484191 /nfs/dbraw/zinc/48/41/91/1073484191.db2.gz DEUWLSWQDORJHY-MHZLTWQESA-N 0 0 431.536 -0.376 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000735191521 1073484238 /nfs/dbraw/zinc/48/42/38/1073484238.db2.gz IYISKGYNCSYZSU-PMERELPUSA-N 0 0 438.550 -0.200 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)c1 ZINC000735191523 1073484089 /nfs/dbraw/zinc/48/40/89/1073484089.db2.gz IYISKGYNCSYZSU-SSEXGKCCSA-N 0 0 438.550 -0.200 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(C[S@@](=O)CCOC)c2)CC1 ZINC000735191932 1073484167 /nfs/dbraw/zinc/48/41/67/1073484167.db2.gz LTHRFMOPICJCDU-PMERELPUSA-N 0 0 438.550 -0.200 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(C[S@](=O)CCOC)c2)CC1 ZINC000735191934 1073484215 /nfs/dbraw/zinc/48/42/15/1073484215.db2.gz LTHRFMOPICJCDU-SSEXGKCCSA-N 0 0 438.550 -0.200 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)c1 ZINC000735193091 1073484250 /nfs/dbraw/zinc/48/42/50/1073484250.db2.gz TXJTWRXKRXZADM-GDLZYMKVSA-N 0 0 425.507 -0.163 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)COC)CC2)c1 ZINC000735193092 1073484106 /nfs/dbraw/zinc/48/41/06/1073484106.db2.gz TXJTWRXKRXZADM-LJAQVGFWSA-N 0 0 425.507 -0.163 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000735195160 1073484255 /nfs/dbraw/zinc/48/42/55/1073484255.db2.gz OPYUSNNRUREYQN-HHHXNRCGSA-N 0 0 433.552 -0.036 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)NCC(C)(C)NS(C)(=O)=O)c1 ZINC000735195162 1073484162 /nfs/dbraw/zinc/48/41/62/1073484162.db2.gz OPYUSNNRUREYQN-MHZLTWQESA-N 0 0 433.552 -0.036 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000735197434 1073484261 /nfs/dbraw/zinc/48/42/61/1073484261.db2.gz QLKFTNNDCDNPMR-HHHXNRCGSA-N 0 0 430.552 -0.554 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000735197435 1073484115 /nfs/dbraw/zinc/48/41/15/1073484115.db2.gz QLKFTNNDCDNPMR-MHZLTWQESA-N 0 0 430.552 -0.554 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000735198307 1073484223 /nfs/dbraw/zinc/48/42/23/1073484223.db2.gz FDXFYUURKFBOIY-HHHXNRCGSA-N 0 0 430.552 -0.554 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000735198308 1073484198 /nfs/dbraw/zinc/48/41/98/1073484198.db2.gz FDXFYUURKFBOIY-MHZLTWQESA-N 0 0 430.552 -0.554 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC[S@](=O)Cc3ccccc3)cnc2n(C)c1=O ZINC000735198819 1073484230 /nfs/dbraw/zinc/48/42/30/1073484230.db2.gz ODVLOHQDIYXBKR-HKBQPEDESA-N 0 0 443.485 -0.364 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC[S@@](=O)Cc3ccccc3)cnc2n(C)c1=O ZINC000735198822 1073484157 /nfs/dbraw/zinc/48/41/57/1073484157.db2.gz ODVLOHQDIYXBKR-WJOKGBTCSA-N 0 0 443.485 -0.364 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000735198918 1073484148 /nfs/dbraw/zinc/48/41/48/1073484148.db2.gz GMTIDADIQPQBEJ-MUUNZHRXSA-N 0 0 447.535 -0.461 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000735198921 1073484208 /nfs/dbraw/zinc/48/42/08/1073484208.db2.gz GMTIDADIQPQBEJ-NDEPHWFRSA-N 0 0 447.535 -0.461 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000735199082 1073484937 /nfs/dbraw/zinc/48/49/37/1073484937.db2.gz DGCDRGIALABKRF-KUNJGFBQSA-N 0 0 431.536 -0.330 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000735199093 1073484884 /nfs/dbraw/zinc/48/48/84/1073484884.db2.gz DGCDRGIALABKRF-QZXCRCNTSA-N 0 0 431.536 -0.330 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000735199098 1073484928 /nfs/dbraw/zinc/48/49/28/1073484928.db2.gz DGCDRGIALABKRF-RXAIFQJESA-N 0 0 431.536 -0.330 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000735199104 1073484832 /nfs/dbraw/zinc/48/48/32/1073484832.db2.gz DGCDRGIALABKRF-YVORESIASA-N 0 0 431.536 -0.330 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)C1 ZINC000735199568 1073484125 /nfs/dbraw/zinc/48/41/25/1073484125.db2.gz HEJYUHNBXYVMMU-SECBINFHSA-N 0 0 425.435 -0.229 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)C1 ZINC000735199586 1073484879 /nfs/dbraw/zinc/48/48/79/1073484879.db2.gz HEJYUHNBXYVMMU-VIFPVBQESA-N 0 0 425.435 -0.229 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000735199894 1073484175 /nfs/dbraw/zinc/48/41/75/1073484175.db2.gz HZKDXXLTQLKVMI-GFCCVEGCSA-N 0 0 443.913 -0.635 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000735199897 1073484186 /nfs/dbraw/zinc/48/41/86/1073484186.db2.gz HZKDXXLTQLKVMI-LBPRGKRZSA-N 0 0 443.913 -0.635 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC[S@](=O)CC)c2)CC1 ZINC000735200155 1073484808 /nfs/dbraw/zinc/48/48/08/1073484808.db2.gz KRYSGLPBFUDHEC-MUUNZHRXSA-N 0 0 444.579 -0.164 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC[S@@](=O)CC)c2)CC1 ZINC000735200158 1073484920 /nfs/dbraw/zinc/48/49/20/1073484920.db2.gz KRYSGLPBFUDHEC-NDEPHWFRSA-N 0 0 444.579 -0.164 20 0 IBADRN COCCOC(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000735200334 1073484819 /nfs/dbraw/zinc/48/48/19/1073484819.db2.gz KPDCMBIXHPABRX-AWEZNQCLSA-N 0 0 428.467 -0.030 20 0 IBADRN COCCOC(=O)Nc1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000735200335 1073484895 /nfs/dbraw/zinc/48/48/95/1073484895.db2.gz KPDCMBIXHPABRX-CQSZACIVSA-N 0 0 428.467 -0.030 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C1 ZINC000735200998 1073484873 /nfs/dbraw/zinc/48/48/73/1073484873.db2.gz NJFWQDXZGOBPCJ-JTQLQIEISA-N 0 0 425.435 -0.229 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C1 ZINC000735201000 1073484792 /nfs/dbraw/zinc/48/47/92/1073484792.db2.gz NJFWQDXZGOBPCJ-SNVBAGLBSA-N 0 0 425.435 -0.229 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000735201024 1073484945 /nfs/dbraw/zinc/48/49/45/1073484945.db2.gz OSOFVWZYLYRYOF-MUUNZHRXSA-N 0 0 444.579 -0.164 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000735201025 1073484750 /nfs/dbraw/zinc/48/47/50/1073484750.db2.gz OSOFVWZYLYRYOF-NDEPHWFRSA-N 0 0 444.579 -0.164 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000735201459 1073484892 /nfs/dbraw/zinc/48/48/92/1073484892.db2.gz PCVKTVIOPGIAQG-HHHXNRCGSA-N 0 0 431.536 -0.161 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000735201460 1073484856 /nfs/dbraw/zinc/48/48/56/1073484856.db2.gz PCVKTVIOPGIAQG-MHZLTWQESA-N 0 0 431.536 -0.161 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c1 ZINC000735201488 1073484797 /nfs/dbraw/zinc/48/47/97/1073484797.db2.gz PVFKNZMIHIUKAK-CYBMUJFWSA-N 0 0 433.508 -0.801 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c1 ZINC000735201510 1073484903 /nfs/dbraw/zinc/48/49/03/1073484903.db2.gz PVFKNZMIHIUKAK-ZDUSSCGKSA-N 0 0 433.508 -0.801 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000735202409 1073484912 /nfs/dbraw/zinc/48/49/12/1073484912.db2.gz WHDXDVUSLZECON-HHHXNRCGSA-N 0 0 435.499 -0.330 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000735202410 1073484865 /nfs/dbraw/zinc/48/48/65/1073484865.db2.gz WHDXDVUSLZECON-MHZLTWQESA-N 0 0 435.499 -0.330 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CCCn3ccccc3=O)CC2)ccc1=O ZINC000735210189 1073485658 /nfs/dbraw/zinc/48/56/58/1073485658.db2.gz ASWDACRSAHJIDX-UHFFFAOYSA-N 0 0 429.477 -0.277 20 0 IBADRN CN(CCOC(=O)c1cc(C(N)=O)n(-c2ccccc2)n1)S(=O)(=O)N1CCOCC1 ZINC000735217835 1073485775 /nfs/dbraw/zinc/48/57/75/1073485775.db2.gz LZZNJWGDJHGZJR-UHFFFAOYSA-N 0 0 437.478 -0.363 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)Nc2ccn(CC(=O)N3CCOCC3)n2)cc1 ZINC000735242536 1073485798 /nfs/dbraw/zinc/48/57/98/1073485798.db2.gz MGZXJFVBGKZZPC-UHFFFAOYSA-N 0 0 438.535 -0.124 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)=NN1c1ccc(F)cc1 ZINC000735256973 1073485720 /nfs/dbraw/zinc/48/57/20/1073485720.db2.gz FIATVFJGBPQTBO-INIZCTEOSA-N 0 0 443.439 -0.060 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)=NN1c1ccc(F)cc1 ZINC000735256974 1073485739 /nfs/dbraw/zinc/48/57/39/1073485739.db2.gz FIATVFJGBPQTBO-MRXNPFEDSA-N 0 0 443.439 -0.060 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)=NN1c1ccccc1 ZINC000735257391 1073485675 /nfs/dbraw/zinc/48/56/75/1073485675.db2.gz RVOKARUXYJLRKK-INIZCTEOSA-N 0 0 425.449 -0.199 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)=NN1c1ccccc1 ZINC000735257392 1073485599 /nfs/dbraw/zinc/48/55/99/1073485599.db2.gz RVOKARUXYJLRKK-MRXNPFEDSA-N 0 0 425.449 -0.199 20 0 IBADRN CN(CC(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C1=NS(=O)(=O)c2ccccc21 ZINC000735257505 1073486263 /nfs/dbraw/zinc/48/62/63/1073486263.db2.gz VWIGWUBSQRQZMN-UHFFFAOYSA-N 0 0 446.489 -0.239 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c1=O ZINC000735257626 1073485687 /nfs/dbraw/zinc/48/56/87/1073485687.db2.gz WOVQTHCUWAMKGH-UHFFFAOYSA-N 0 0 440.460 -0.123 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000735273778 1073485578 /nfs/dbraw/zinc/48/55/78/1073485578.db2.gz SQNVKWJPOWAFSO-UHFFFAOYSA-N 0 0 433.446 -0.475 20 0 IBADRN O=c1c2ccccc2ncn1CCN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000735308711 1073486244 /nfs/dbraw/zinc/48/62/44/1073486244.db2.gz QEEMMANFSLBRMD-HNNXBMFYSA-N 0 0 440.547 -0.469 20 0 IBADRN O=c1c2ccccc2ncn1CCN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000735308712 1073486466 /nfs/dbraw/zinc/48/64/66/1073486466.db2.gz QEEMMANFSLBRMD-OAHLLOKOSA-N 0 0 440.547 -0.469 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cnc1Cl ZINC000735313306 1073486182 /nfs/dbraw/zinc/48/61/82/1073486182.db2.gz KZHNVOBUXSRMRY-UHFFFAOYSA-N 0 0 437.975 -0.158 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)COC2CCOCC2)CC1 ZINC000735365031 1073486276 /nfs/dbraw/zinc/48/62/76/1073486276.db2.gz YLOAWLYORFNQEJ-UHFFFAOYSA-N 0 0 445.494 -0.137 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000735389181 1073486436 /nfs/dbraw/zinc/48/64/36/1073486436.db2.gz GEOXUWOSVAFHGC-UHFFFAOYSA-N 0 0 431.449 -0.570 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000735389214 1073486358 /nfs/dbraw/zinc/48/63/58/1073486358.db2.gz HGSHPUPUHQFKRL-UHFFFAOYSA-N 0 0 447.492 -0.516 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000735389462 1073486157 /nfs/dbraw/zinc/48/61/57/1073486157.db2.gz KLGDBVMJCJAONK-UHFFFAOYSA-N 0 0 433.465 -0.324 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000735389501 1073486396 /nfs/dbraw/zinc/48/63/96/1073486396.db2.gz LALXVQBXFXJAOZ-UHFFFAOYSA-N 0 0 445.476 -0.226 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)NCC3)CC1 ZINC000735389937 1073486170 /nfs/dbraw/zinc/48/61/70/1073486170.db2.gz NKXUHLDYWMRETD-UHFFFAOYSA-N 0 0 437.522 -0.358 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000735390549 1073486310 /nfs/dbraw/zinc/48/63/10/1073486310.db2.gz VDVQRGOVKGNTQW-UHFFFAOYSA-N 0 0 427.483 -0.568 20 0 IBADRN COC(=O)N(C)Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000735390563 1073486125 /nfs/dbraw/zinc/48/61/25/1073486125.db2.gz VSQFSYJBCGRNGY-UHFFFAOYSA-N 0 0 441.510 -0.226 20 0 IBADRN COC(=O)N(C)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000735390752 1073486486 /nfs/dbraw/zinc/48/64/86/1073486486.db2.gz WYYIEBILWBWLSD-UHFFFAOYSA-N 0 0 445.476 -0.227 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000735391027 1073486380 /nfs/dbraw/zinc/48/63/80/1073486380.db2.gz BMZDHVPZNGBRCH-UHFFFAOYSA-N 0 0 433.465 -0.322 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000735391834 1073486147 /nfs/dbraw/zinc/48/61/47/1073486147.db2.gz LGWJTFLUQBFBLR-UHFFFAOYSA-N 0 0 444.535 -0.024 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000735393701 1073486223 /nfs/dbraw/zinc/48/62/23/1073486223.db2.gz FHKHIIFJJNZIDG-UHFFFAOYSA-N 0 0 428.467 -0.741 20 0 IBADRN COC(=O)N(C)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000735395036 1073486478 /nfs/dbraw/zinc/48/64/78/1073486478.db2.gz YKHCQDZKKGYANW-UHFFFAOYSA-N 0 0 442.494 -0.399 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O ZINC000735414435 1073486471 /nfs/dbraw/zinc/48/64/71/1073486471.db2.gz XHDQRIXHUXLGKV-GOSISDBHSA-N 0 0 441.437 -0.228 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O ZINC000735414438 1073486328 /nfs/dbraw/zinc/48/63/28/1073486328.db2.gz XHDQRIXHUXLGKV-SFHVURJKSA-N 0 0 441.437 -0.228 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1C(C(=O)OC)=NC2=NS(=O)(=O)c3ccccc3N21 ZINC000735416790 1073486418 /nfs/dbraw/zinc/48/64/18/1073486418.db2.gz IHYRUBCWAMITBW-UHFFFAOYSA-N 0 0 437.434 -0.625 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NC1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000735417420 1073487154 /nfs/dbraw/zinc/48/71/54/1073487154.db2.gz AOAVJKFSMKHZAM-UHFFFAOYSA-N 0 0 434.609 -0.006 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)cc2S1(=O)=O ZINC000735449136 1073487528 /nfs/dbraw/zinc/48/75/28/1073487528.db2.gz MXJOFMIASCHQFC-HNNXBMFYSA-N 0 0 447.473 -0.254 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)cc2S1(=O)=O ZINC000735449140 1073487721 /nfs/dbraw/zinc/48/77/21/1073487721.db2.gz MXJOFMIASCHQFC-OAHLLOKOSA-N 0 0 447.473 -0.254 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)Cn3cnc4c(c(Br)nn4C)c3=O)C2=O)cn1 ZINC000735450667 1073487787 /nfs/dbraw/zinc/48/77/87/1073487787.db2.gz YUMRQMCHLUZYDV-JTQLQIEISA-N 0 0 449.269 -0.452 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)Cn3cnc4c(c(Br)nn4C)c3=O)C2=O)cn1 ZINC000735450671 1073487755 /nfs/dbraw/zinc/48/77/55/1073487755.db2.gz YUMRQMCHLUZYDV-SNVBAGLBSA-N 0 0 449.269 -0.452 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CCO1 ZINC000735473217 1073487627 /nfs/dbraw/zinc/48/76/27/1073487627.db2.gz ORZOWUYJGGGJDT-AWEZNQCLSA-N 0 0 429.470 -0.176 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CCO1 ZINC000735473218 1073487731 /nfs/dbraw/zinc/48/77/31/1073487731.db2.gz ORZOWUYJGGGJDT-CQSZACIVSA-N 0 0 429.470 -0.176 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCO1 ZINC000735475563 1073487572 /nfs/dbraw/zinc/48/75/72/1073487572.db2.gz LMTPYGNDTGMHHC-JTQLQIEISA-N 0 0 427.259 -0.744 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCO1 ZINC000735475570 1073487742 /nfs/dbraw/zinc/48/77/42/1073487742.db2.gz LMTPYGNDTGMHHC-SNVBAGLBSA-N 0 0 427.259 -0.744 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c1 ZINC000735478480 1073487679 /nfs/dbraw/zinc/48/76/79/1073487679.db2.gz OFYWFFFBELAGLT-BQYQJAHWSA-N 0 0 436.490 -0.662 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c1 ZINC000735478483 1073487764 /nfs/dbraw/zinc/48/77/64/1073487764.db2.gz OFYWFFFBELAGLT-FPLPWBNLSA-N 0 0 436.490 -0.662 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)cc1 ZINC000735481428 1073487772 /nfs/dbraw/zinc/48/77/72/1073487772.db2.gz WKGWUWRJDHWTAE-INIZCTEOSA-N 0 0 447.517 -0.400 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)cc1 ZINC000735481429 1073487482 /nfs/dbraw/zinc/48/74/82/1073487482.db2.gz WKGWUWRJDHWTAE-MRXNPFEDSA-N 0 0 447.517 -0.400 20 0 IBADRN CC(=O)NC[C@@H]1CN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CCO1 ZINC000735486155 1073487711 /nfs/dbraw/zinc/48/77/11/1073487711.db2.gz PFDMEKAVHMTPTL-BEFAXECRSA-N 0 0 438.506 -0.349 20 0 IBADRN CC(=O)NC[C@@H]1CN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CCO1 ZINC000735486156 1073487652 /nfs/dbraw/zinc/48/76/52/1073487652.db2.gz PFDMEKAVHMTPTL-DNVCBOLYSA-N 0 0 438.506 -0.349 20 0 IBADRN CC(=O)NC[C@H]1CN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CCO1 ZINC000735486157 1073487782 /nfs/dbraw/zinc/48/77/82/1073487782.db2.gz PFDMEKAVHMTPTL-HNAYVOBHSA-N 0 0 438.506 -0.349 20 0 IBADRN CC(=O)NC[C@H]1CN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CCO1 ZINC000735486158 1073488559 /nfs/dbraw/zinc/48/85/59/1073488559.db2.gz PFDMEKAVHMTPTL-KXBFYZLASA-N 0 0 438.506 -0.349 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCO[C@@H](CNC(C)=O)C2)c1=O ZINC000735486572 1073488462 /nfs/dbraw/zinc/48/84/62/1073488462.db2.gz VPBHVBARDWIKNX-HNNXBMFYSA-N 0 0 439.538 -0.132 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCO[C@H](CNC(C)=O)C2)c1=O ZINC000735486573 1073488428 /nfs/dbraw/zinc/48/84/28/1073488428.db2.gz VPBHVBARDWIKNX-OAHLLOKOSA-N 0 0 439.538 -0.132 20 0 IBADRN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000735492071 1073488369 /nfs/dbraw/zinc/48/83/69/1073488369.db2.gz UJONPXJLKJXPKR-HNNXBMFYSA-N 0 0 426.543 -0.591 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000735492077 1073488413 /nfs/dbraw/zinc/48/84/13/1073488413.db2.gz UJONPXJLKJXPKR-OAHLLOKOSA-N 0 0 426.543 -0.591 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000735493008 1073488496 /nfs/dbraw/zinc/48/84/96/1073488496.db2.gz NGZWXUINWLZPSO-MOPGFXCFSA-N 0 0 447.561 -0.312 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000735493010 1073489184 /nfs/dbraw/zinc/48/91/84/1073489184.db2.gz NGZWXUINWLZPSO-OALUTQOASA-N 0 0 447.561 -0.312 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000735493011 1073489111 /nfs/dbraw/zinc/48/91/11/1073489111.db2.gz NGZWXUINWLZPSO-RBUKOAKNSA-N 0 0 447.561 -0.312 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000735493012 1073489059 /nfs/dbraw/zinc/48/90/59/1073489059.db2.gz NGZWXUINWLZPSO-RTBURBONSA-N 0 0 447.561 -0.312 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCO[C@@H](CNC(C)=O)C3)C2=O)cc1 ZINC000735493547 1073489212 /nfs/dbraw/zinc/48/92/12/1073489212.db2.gz HAYZXORZOFJABJ-JXFKEZNVSA-N 0 0 433.465 -0.670 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCO[C@@H](CNC(C)=O)C3)C2=O)cc1 ZINC000735493556 1073489149 /nfs/dbraw/zinc/48/91/49/1073489149.db2.gz HAYZXORZOFJABJ-OXJNMPFZSA-N 0 0 433.465 -0.670 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCO[C@H](CNC(C)=O)C3)C2=O)cc1 ZINC000735493558 1073489069 /nfs/dbraw/zinc/48/90/69/1073489069.db2.gz HAYZXORZOFJABJ-OXQOHEQNSA-N 0 0 433.465 -0.670 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCO[C@H](CNC(C)=O)C3)C2=O)cc1 ZINC000735493561 1073489194 /nfs/dbraw/zinc/48/91/94/1073489194.db2.gz HAYZXORZOFJABJ-UZLBHIALSA-N 0 0 433.465 -0.670 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCO[C@@H](CNC(C)=O)C3)C2=O)c1 ZINC000735494002 1073489119 /nfs/dbraw/zinc/48/91/19/1073489119.db2.gz MQVMYSBCTNGQIP-JXFKEZNVSA-N 0 0 433.465 -0.670 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCO[C@@H](CNC(C)=O)C3)C2=O)c1 ZINC000735494003 1073489205 /nfs/dbraw/zinc/48/92/05/1073489205.db2.gz MQVMYSBCTNGQIP-OXJNMPFZSA-N 0 0 433.465 -0.670 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCO[C@H](CNC(C)=O)C3)C2=O)c1 ZINC000735494004 1073489155 /nfs/dbraw/zinc/48/91/55/1073489155.db2.gz MQVMYSBCTNGQIP-OXQOHEQNSA-N 0 0 433.465 -0.670 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCO[C@H](CNC(C)=O)C3)C2=O)c1 ZINC000735494005 1073489084 /nfs/dbraw/zinc/48/90/84/1073489084.db2.gz MQVMYSBCTNGQIP-UZLBHIALSA-N 0 0 433.465 -0.670 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CCO1 ZINC000735494052 1073489164 /nfs/dbraw/zinc/48/91/64/1073489164.db2.gz PKSLVMGVNXRGOA-DYESRHJHSA-N 0 0 431.493 -0.202 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CCO1 ZINC000735494053 1073489179 /nfs/dbraw/zinc/48/91/79/1073489179.db2.gz PKSLVMGVNXRGOA-LAUBAEHRSA-N 0 0 431.493 -0.202 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CCO1 ZINC000735494054 1073489189 /nfs/dbraw/zinc/48/91/89/1073489189.db2.gz PKSLVMGVNXRGOA-UTKZUKDTSA-N 0 0 431.493 -0.202 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CCO1 ZINC000735494055 1073489126 /nfs/dbraw/zinc/48/91/26/1073489126.db2.gz PKSLVMGVNXRGOA-UWJYYQICSA-N 0 0 431.493 -0.202 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC000735496300 1073489099 /nfs/dbraw/zinc/48/90/99/1073489099.db2.gz PGVREWDTVUQTPU-HNNXBMFYSA-N 0 0 425.463 -0.299 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC000735496301 1073489200 /nfs/dbraw/zinc/48/92/00/1073489200.db2.gz PGVREWDTVUQTPU-OAHLLOKOSA-N 0 0 425.463 -0.299 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)C2CN(C(=O)OCc3ccccc3)C2)CC1 ZINC000735499000 1073489160 /nfs/dbraw/zinc/48/91/60/1073489160.db2.gz PYTQIVKMEAYHGX-UHFFFAOYSA-N 0 0 446.551 -0.239 20 0 IBADRN O=C(OCc1ccccc1)N1CC(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000735499056 1073489134 /nfs/dbraw/zinc/48/91/34/1073489134.db2.gz WUQXHWJTYIZEHL-UHFFFAOYSA-N 0 0 447.535 -0.411 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc(F)c(S(C)(=O)=O)c1 ZINC000735500628 1073488422 /nfs/dbraw/zinc/48/84/22/1073488422.db2.gz CHNTVGGZVTVRER-UHFFFAOYSA-N 0 0 438.499 -0.275 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC000735500665 1073488399 /nfs/dbraw/zinc/48/83/99/1073488399.db2.gz FBGDZRSXAAJQMZ-INIZCTEOSA-N 0 0 438.506 -0.336 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC000735500666 1073488570 /nfs/dbraw/zinc/48/85/70/1073488570.db2.gz FBGDZRSXAAJQMZ-MRXNPFEDSA-N 0 0 438.506 -0.336 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(C3N=NC(=S)N3C3CC3)CC2)c(=O)n(C)c1=O ZINC000735501380 1073488545 /nfs/dbraw/zinc/48/85/45/1073488545.db2.gz LJBJLVMJIAXSGU-UHFFFAOYSA-N 0 0 426.524 -0.133 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@H](C3N=NC(=S)N3C3CC3)C2)c(=O)n(C)c1=O ZINC000735502746 1073488564 /nfs/dbraw/zinc/48/85/64/1073488564.db2.gz MVHVOLVWEHILSK-JTQLQIEISA-N 0 0 426.524 -0.133 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@@H](C3N=NC(=S)N3C3CC3)C2)c(=O)n(C)c1=O ZINC000735502747 1073488537 /nfs/dbraw/zinc/48/85/37/1073488537.db2.gz MVHVOLVWEHILSK-SNVBAGLBSA-N 0 0 426.524 -0.133 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)CC1 ZINC000735506228 1073488470 /nfs/dbraw/zinc/48/84/70/1073488470.db2.gz QYXOEMDOYVDRCM-UHFFFAOYSA-N 0 0 425.511 -0.074 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCC(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2=O)cn1 ZINC000735512834 1073488484 /nfs/dbraw/zinc/48/84/84/1073488484.db2.gz OHLIUNSFOZKIAS-HNNXBMFYSA-N 0 0 449.512 -0.096 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCC(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2=O)cn1 ZINC000735512835 1073488575 /nfs/dbraw/zinc/48/85/75/1073488575.db2.gz OHLIUNSFOZKIAS-OAHLLOKOSA-N 0 0 449.512 -0.096 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000735513321 1073488343 /nfs/dbraw/zinc/48/83/43/1073488343.db2.gz RCCODUXNSNYEDO-UHFFFAOYSA-N 0 0 425.511 -0.721 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000735517689 1073488527 /nfs/dbraw/zinc/48/85/27/1073488527.db2.gz FEDKXRMTCWPSFU-KRWDZBQOSA-N 0 0 440.570 -0.447 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000735517690 1073488439 /nfs/dbraw/zinc/48/84/39/1073488439.db2.gz FEDKXRMTCWPSFU-QGZVFWFLSA-N 0 0 440.570 -0.447 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000735576074 1073489794 /nfs/dbraw/zinc/48/97/94/1073489794.db2.gz AJBHTETWCCRXMN-UHFFFAOYSA-N 0 0 433.556 -0.566 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000735576775 1073489920 /nfs/dbraw/zinc/48/99/20/1073489920.db2.gz FATWYRAUMNRWPN-UHFFFAOYSA-N 0 0 439.538 -0.256 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000735581490 1073489863 /nfs/dbraw/zinc/48/98/63/1073489863.db2.gz IVFQSPLLMJBIHV-HNNXBMFYSA-N 0 0 427.502 -0.388 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000735581494 1073489762 /nfs/dbraw/zinc/48/97/62/1073489762.db2.gz IVFQSPLLMJBIHV-OAHLLOKOSA-N 0 0 427.502 -0.388 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000735584263 1073489725 /nfs/dbraw/zinc/48/97/25/1073489725.db2.gz QNKUZYWWWKKEFQ-HNNXBMFYSA-N 0 0 427.531 -0.561 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000735584264 1073489843 /nfs/dbraw/zinc/48/98/43/1073489843.db2.gz QNKUZYWWWKKEFQ-OAHLLOKOSA-N 0 0 427.531 -0.561 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2nc3c(s2)COCC3)CC1 ZINC000735584755 1073489837 /nfs/dbraw/zinc/48/98/37/1073489837.db2.gz RDOQNUOCPQDIEU-UHFFFAOYSA-N 0 0 431.540 -0.115 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(F)cc1F ZINC000735590091 1073489905 /nfs/dbraw/zinc/48/99/05/1073489905.db2.gz BGOGUTQOKVWRLH-UHFFFAOYSA-N 0 0 447.464 -0.445 20 0 IBADRN CCOC(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000735590446 1073490510 /nfs/dbraw/zinc/49/05/10/1073490510.db2.gz FMEPWVNPTAJFQU-UHFFFAOYSA-N 0 0 441.510 -0.113 20 0 IBADRN COC(=O)Nc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000735590455 1073490627 /nfs/dbraw/zinc/49/06/27/1073490627.db2.gz FTJYKQDQPXANLW-UHFFFAOYSA-N 0 0 445.473 -0.364 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000735590457 1073490731 /nfs/dbraw/zinc/49/07/31/1073490731.db2.gz FTRKUWHDCDXHQQ-UHFFFAOYSA-N 0 0 427.483 -0.503 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000735590472 1073490691 /nfs/dbraw/zinc/49/06/91/1073490691.db2.gz GAOIAQWNQGRZMG-UHFFFAOYSA-N 0 0 427.483 -0.503 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000735590476 1073490723 /nfs/dbraw/zinc/49/07/23/1073490723.db2.gz GEEXRRCFUSUWFF-UHFFFAOYSA-N 0 0 441.510 -0.826 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)CC1 ZINC000735590478 1073490522 /nfs/dbraw/zinc/49/05/22/1073490522.db2.gz GHPJEOSBNDKOCX-UHFFFAOYSA-N 0 0 439.494 -0.725 20 0 IBADRN Cc1nc(-c2ccccc2NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)no1 ZINC000735590538 1073490714 /nfs/dbraw/zinc/49/07/14/1073490714.db2.gz HOAPMAJRGCPXDC-UHFFFAOYSA-N 0 0 436.494 -0.323 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1C ZINC000735590625 1073491346 /nfs/dbraw/zinc/49/13/46/1073491346.db2.gz IIEFBSAAXMVAQK-UHFFFAOYSA-N 0 0 425.511 -0.415 20 0 IBADRN CCCOC(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000735590889 1073490532 /nfs/dbraw/zinc/49/05/32/1073490532.db2.gz OCGXAJRVKWXIFF-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)CC1 ZINC000735590905 1073490737 /nfs/dbraw/zinc/49/07/37/1073490737.db2.gz ORBIKCDYDVOGHM-UHFFFAOYSA-N 0 0 439.494 -0.725 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC000735590906 1073490489 /nfs/dbraw/zinc/49/04/89/1073490489.db2.gz ORHNIKRNJZHZDZ-UHFFFAOYSA-N 0 0 439.538 -0.845 20 0 IBADRN COC(=O)N(C)c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000735590923 1073490698 /nfs/dbraw/zinc/49/06/98/1073490698.db2.gz PCFJRMBEFKVHMP-UHFFFAOYSA-N 0 0 441.510 -0.479 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2c(F)cccc2-n2cccn2)CC1 ZINC000735591073 1073490558 /nfs/dbraw/zinc/49/05/58/1073490558.db2.gz REMZTPYBADCUNS-UHFFFAOYSA-N 0 0 438.485 -0.357 20 0 IBADRN Cc1nccn1-c1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000735591394 1073490606 /nfs/dbraw/zinc/49/06/06/1073490606.db2.gz VXMTWSVXENVMID-UHFFFAOYSA-N 0 0 435.510 -0.792 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CCO1 ZINC000735591586 1073491380 /nfs/dbraw/zinc/49/13/80/1073491380.db2.gz FXYZHNOYSKYEQF-AWEZNQCLSA-N 0 0 437.884 -0.422 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CCO1 ZINC000735591587 1073491261 /nfs/dbraw/zinc/49/12/61/1073491261.db2.gz FXYZHNOYSKYEQF-CQSZACIVSA-N 0 0 437.884 -0.422 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000735591694 1073491323 /nfs/dbraw/zinc/49/13/23/1073491323.db2.gz IUGFQCCFNPYGIL-AWEZNQCLSA-N 0 0 428.467 -0.830 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000735591695 1073491310 /nfs/dbraw/zinc/49/13/10/1073491310.db2.gz IUGFQCCFNPYGIL-CQSZACIVSA-N 0 0 428.467 -0.830 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CCO1 ZINC000735591867 1073491328 /nfs/dbraw/zinc/49/13/28/1073491328.db2.gz OLNOOIFJDQGQNL-HNNXBMFYSA-N 0 0 438.506 -0.811 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CCO1 ZINC000735591868 1073491331 /nfs/dbraw/zinc/49/13/31/1073491331.db2.gz OLNOOIFJDQGQNL-OAHLLOKOSA-N 0 0 438.506 -0.811 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2=O)cn1 ZINC000735594129 1073492054 /nfs/dbraw/zinc/49/20/54/1073492054.db2.gz JCCNQXFSJDVKGF-INIZCTEOSA-N 0 0 425.449 -0.784 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2=O)cn1 ZINC000735594130 1073492120 /nfs/dbraw/zinc/49/21/20/1073492120.db2.gz JCCNQXFSJDVKGF-MRXNPFEDSA-N 0 0 425.449 -0.784 20 0 IBADRN CCN1CCN(CC(=O)OCCNC(=O)c2cncc(Br)c2)C(=O)C1=O ZINC000735609318 1073489803 /nfs/dbraw/zinc/48/98/03/1073489803.db2.gz PLOKLPCPINBYTQ-UHFFFAOYSA-N 0 0 427.255 -0.192 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NCCS(=O)(=O)N3CCOCC3)ccc21 ZINC000735633671 1073492252 /nfs/dbraw/zinc/49/22/52/1073492252.db2.gz KYFLALRVSNQBCZ-UHFFFAOYSA-N 0 0 438.506 -0.896 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CSCC(N)=O)CC1 ZINC000735650336 1073492145 /nfs/dbraw/zinc/49/21/45/1073492145.db2.gz OKFHBXOSEKZVHR-UHFFFAOYSA-N 0 0 449.576 -0.550 20 0 IBADRN CCS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000735669954 1073492329 /nfs/dbraw/zinc/49/23/29/1073492329.db2.gz RUICEXSVTHEBAA-UHFFFAOYSA-N 0 0 425.554 -0.925 20 0 IBADRN COc1cc(OC)cc([C@@H](O)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000735683527 1073492184 /nfs/dbraw/zinc/49/21/84/1073492184.db2.gz UMEODMVFNVMSAM-KRWDZBQOSA-N 0 0 430.527 -0.386 20 0 IBADRN COc1cc(OC)cc([C@H](O)CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000735683528 1073492795 /nfs/dbraw/zinc/49/27/95/1073492795.db2.gz UMEODMVFNVMSAM-QGZVFWFLSA-N 0 0 430.527 -0.386 20 0 IBADRN COc1cc(OC)cc([C@@H](O)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000735695360 1073492814 /nfs/dbraw/zinc/49/28/14/1073492814.db2.gz VQGDJNFLLCWMJO-HNNXBMFYSA-N 0 0 431.449 -0.309 20 0 IBADRN COc1cc(OC)cc([C@H](O)CNC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000735695361 1073492730 /nfs/dbraw/zinc/49/27/30/1073492730.db2.gz VQGDJNFLLCWMJO-OAHLLOKOSA-N 0 0 431.449 -0.309 20 0 IBADRN COc1cc(OC)cc([C@H](O)CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000735696470 1073492825 /nfs/dbraw/zinc/49/28/25/1073492825.db2.gz JEDAYQBMYASIDY-CKEIUWERSA-N 0 0 426.491 -0.111 20 0 IBADRN COc1cc(OC)cc([C@H](O)CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000735696471 1073492780 /nfs/dbraw/zinc/49/27/80/1073492780.db2.gz JEDAYQBMYASIDY-GRDNDAEWSA-N 0 0 426.491 -0.111 20 0 IBADRN COc1cc(OC)cc([C@H](O)CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000735696473 1073492859 /nfs/dbraw/zinc/49/28/59/1073492859.db2.gz JEDAYQBMYASIDY-JJRVBVJISA-N 0 0 426.491 -0.111 20 0 IBADRN COc1cc(OC)cc([C@H](O)CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000735696474 1073492866 /nfs/dbraw/zinc/49/28/66/1073492866.db2.gz JEDAYQBMYASIDY-JKIFEVAISA-N 0 0 426.491 -0.111 20 0 IBADRN O=C(O[C@@H]1CCN(CC(F)(F)F)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000735704758 1073492804 /nfs/dbraw/zinc/49/28/04/1073492804.db2.gz GPAHGPJCHWRYLY-GXSJLCMTSA-N 0 0 425.385 -0.142 20 0 IBADRN O=C(O[C@H]1CCN(CC(F)(F)F)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000735704759 1073492769 /nfs/dbraw/zinc/49/27/69/1073492769.db2.gz GPAHGPJCHWRYLY-KOLCDFICSA-N 0 0 425.385 -0.142 20 0 IBADRN O=C(O[C@@H]1CCN(CC(F)(F)F)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000735704760 1073492703 /nfs/dbraw/zinc/49/27/03/1073492703.db2.gz GPAHGPJCHWRYLY-MWLCHTKSSA-N 0 0 425.385 -0.142 20 0 IBADRN O=C(O[C@H]1CCN(CC(F)(F)F)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000735704761 1073492765 /nfs/dbraw/zinc/49/27/65/1073492765.db2.gz GPAHGPJCHWRYLY-ONGXEEELSA-N 0 0 425.385 -0.142 20 0 IBADRN CCn1nc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c(=O)c2ccccc21 ZINC000735722513 1073492834 /nfs/dbraw/zinc/49/28/34/1073492834.db2.gz UPDYLQQZWGQAOM-UHFFFAOYSA-N 0 0 429.477 -0.194 20 0 IBADRN COc1cc(OC)cc([C@@H](O)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c1 ZINC000735765454 1073492740 /nfs/dbraw/zinc/49/27/40/1073492740.db2.gz PPVXPIUOSUIODQ-KRWDZBQOSA-N 0 0 443.460 -0.177 20 0 IBADRN COc1cc(OC)cc([C@H](O)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c1 ZINC000735765457 1073492873 /nfs/dbraw/zinc/49/28/73/1073492873.db2.gz PPVXPIUOSUIODQ-QGZVFWFLSA-N 0 0 443.460 -0.177 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000735766025 1073492774 /nfs/dbraw/zinc/49/27/74/1073492774.db2.gz ZUWRVOLLZLHARZ-HNNXBMFYSA-N 0 0 438.448 -0.244 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000735766029 1073492758 /nfs/dbraw/zinc/49/27/58/1073492758.db2.gz ZUWRVOLLZLHARZ-OAHLLOKOSA-N 0 0 438.448 -0.244 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000735771182 1073493617 /nfs/dbraw/zinc/49/36/17/1073493617.db2.gz LOHMUGMPUYELEO-KRWDZBQOSA-N 0 0 438.510 -0.183 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000735771183 1073493538 /nfs/dbraw/zinc/49/35/38/1073493538.db2.gz LOHMUGMPUYELEO-QGZVFWFLSA-N 0 0 438.510 -0.183 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)OCCN(C)S(=O)(=O)N(C)C)ccc21 ZINC000735772778 1073494144 /nfs/dbraw/zinc/49/41/44/1073494144.db2.gz WNDXSRDCTBZZDD-UHFFFAOYSA-N 0 0 426.495 -0.393 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000735795941 1073495433 /nfs/dbraw/zinc/49/54/33/1073495433.db2.gz WHWPRVMGICSCFX-CABCVRRESA-N 0 0 436.939 -0.029 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000735795944 1073495355 /nfs/dbraw/zinc/49/53/55/1073495355.db2.gz WHWPRVMGICSCFX-GJZGRUSLSA-N 0 0 436.939 -0.029 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000735795948 1073495386 /nfs/dbraw/zinc/49/53/86/1073495386.db2.gz WHWPRVMGICSCFX-HUUCEWRRSA-N 0 0 436.939 -0.029 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000735795951 1073495448 /nfs/dbraw/zinc/49/54/48/1073495448.db2.gz WHWPRVMGICSCFX-LSDHHAIUSA-N 0 0 436.939 -0.029 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000735801616 1073495324 /nfs/dbraw/zinc/49/53/24/1073495324.db2.gz JRZPYFKQSOABPO-BXUZGUMPSA-N 0 0 425.488 -0.203 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)c2ccccc21)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000735801617 1073495423 /nfs/dbraw/zinc/49/54/23/1073495423.db2.gz JRZPYFKQSOABPO-FZMZJTMJSA-N 0 0 425.488 -0.203 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)c2ccccc21)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000735801618 1073495316 /nfs/dbraw/zinc/49/53/16/1073495316.db2.gz JRZPYFKQSOABPO-RISCZKNCSA-N 0 0 425.488 -0.203 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000735801619 1073495279 /nfs/dbraw/zinc/49/52/79/1073495279.db2.gz JRZPYFKQSOABPO-SMDDNHRTSA-N 0 0 425.488 -0.203 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC000735814495 1073495391 /nfs/dbraw/zinc/49/53/91/1073495391.db2.gz AIXCMNYWDZDJEP-UHFFFAOYSA-N 0 0 435.481 -0.506 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000735814744 1073496136 /nfs/dbraw/zinc/49/61/36/1073496136.db2.gz DRBTVHQSMINUJF-NRFANRHFSA-N 0 0 446.460 -0.304 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000735814747 1073496082 /nfs/dbraw/zinc/49/60/82/1073496082.db2.gz DRBTVHQSMINUJF-OAQYLSRUSA-N 0 0 446.460 -0.304 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000735814760 1073496175 /nfs/dbraw/zinc/49/61/75/1073496175.db2.gz GYIVZPJNQYQEIG-GOSISDBHSA-N 0 0 442.472 -0.304 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000735814762 1073496077 /nfs/dbraw/zinc/49/60/77/1073496077.db2.gz FALNOKZAYSRIGB-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000735814763 1073496068 /nfs/dbraw/zinc/49/60/68/1073496068.db2.gz GYIVZPJNQYQEIG-SFHVURJKSA-N 0 0 442.472 -0.304 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CC1 ZINC000735815175 1073495329 /nfs/dbraw/zinc/49/53/29/1073495329.db2.gz JQHMRXRXTLGQKL-UHFFFAOYSA-N 0 0 435.458 -0.159 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000735815177 1073495370 /nfs/dbraw/zinc/49/53/70/1073495370.db2.gz JUHCRKKQLDEZJS-FQEVSTJZSA-N 0 0 434.424 -0.173 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000735815178 1073495366 /nfs/dbraw/zinc/49/53/66/1073495366.db2.gz JUHCRKKQLDEZJS-HXUWFJFHSA-N 0 0 434.424 -0.173 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000735815776 1073496106 /nfs/dbraw/zinc/49/61/06/1073496106.db2.gz PHRNGVBXIBDDBU-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000735815872 1073496115 /nfs/dbraw/zinc/49/61/15/1073496115.db2.gz RHIHQDDPQWZENW-UHFFFAOYSA-N 0 0 439.490 -0.017 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000735815876 1073496042 /nfs/dbraw/zinc/49/60/42/1073496042.db2.gz RNMDAWMCMZRSFK-UHFFFAOYSA-N 0 0 444.535 -0.400 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000735816330 1073496147 /nfs/dbraw/zinc/49/61/47/1073496147.db2.gz XHQOZDBKNSAZAK-UHFFFAOYSA-N 0 0 445.519 -0.384 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000735817111 1073496089 /nfs/dbraw/zinc/49/60/89/1073496089.db2.gz CZKPRXQSHVAQAJ-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)CC1 ZINC000735817326 1073496168 /nfs/dbraw/zinc/49/61/68/1073496168.db2.gz IIRKZKZBQNHJKS-UHFFFAOYSA-N 0 0 448.295 -0.056 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC000735817759 1073496122 /nfs/dbraw/zinc/49/61/22/1073496122.db2.gz LKMZZKPTYVVNNX-NRFANRHFSA-N 0 0 430.461 -0.004 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC000735817766 1073496188 /nfs/dbraw/zinc/49/61/88/1073496188.db2.gz LKMZZKPTYVVNNX-OAQYLSRUSA-N 0 0 430.461 -0.004 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC000735817767 1073496171 /nfs/dbraw/zinc/49/61/71/1073496171.db2.gz LLCMLHOWUKPKKZ-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)CC1 ZINC000735817888 1073496140 /nfs/dbraw/zinc/49/61/40/1073496140.db2.gz NNWODJKMZPZVRT-UHFFFAOYSA-N 0 0 436.490 -0.185 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000735818873 1073496152 /nfs/dbraw/zinc/49/61/52/1073496152.db2.gz VKQFHCTXAPXSLJ-NRFANRHFSA-N 0 0 428.445 -0.386 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000735818877 1073496181 /nfs/dbraw/zinc/49/61/81/1073496181.db2.gz VKQFHCTXAPXSLJ-OAQYLSRUSA-N 0 0 428.445 -0.386 20 0 IBADRN CC(C)(C)OC(=O)NCCCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000735821814 1073497852 /nfs/dbraw/zinc/49/78/52/1073497852.db2.gz BTBPECRHRFKNBB-HNNXBMFYSA-N 0 0 446.570 -0.261 20 0 IBADRN CC(C)(C)OC(=O)NCCCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000735821815 1073497780 /nfs/dbraw/zinc/49/77/80/1073497780.db2.gz BTBPECRHRFKNBB-OAHLLOKOSA-N 0 0 446.570 -0.261 20 0 IBADRN COC(=O)Nc1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000735821839 1073497428 /nfs/dbraw/zinc/49/74/28/1073497428.db2.gz CNTRDOBSYMYYFY-INIZCTEOSA-N 0 0 438.506 -0.074 20 0 IBADRN COC(=O)Nc1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000735821840 1073497366 /nfs/dbraw/zinc/49/73/66/1073497366.db2.gz CNTRDOBSYMYYFY-MRXNPFEDSA-N 0 0 438.506 -0.074 20 0 IBADRN O=C(CN1CCN(C(=O)C2=NO[C@H](c3ccccc3)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735821853 1073497372 /nfs/dbraw/zinc/49/73/72/1073497372.db2.gz DGOLLKVSPITECC-AEFFLSMTSA-N 0 0 434.518 -0.049 20 0 IBADRN O=C(CN1CCN(C(=O)C2=NO[C@@H](c3ccccc3)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735821854 1073497414 /nfs/dbraw/zinc/49/74/14/1073497414.db2.gz DGOLLKVSPITECC-FUHWJXTLSA-N 0 0 434.518 -0.049 20 0 IBADRN O=C(CN1CCN(C(=O)C2=NO[C@@H](c3ccccc3)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735821855 1073497405 /nfs/dbraw/zinc/49/74/05/1073497405.db2.gz DGOLLKVSPITECC-SJLPKXTDSA-N 0 0 434.518 -0.049 20 0 IBADRN O=C(CN1CCN(C(=O)C2=NO[C@H](c3ccccc3)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735821856 1073497425 /nfs/dbraw/zinc/49/74/25/1073497425.db2.gz DGOLLKVSPITECC-WMZOPIPTSA-N 0 0 434.518 -0.049 20 0 IBADRN O=C(CN1CCN(C(=O)/C=C/c2ccc3c(c2)OCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735821859 1073497390 /nfs/dbraw/zinc/49/73/90/1073497390.db2.gz DNRDOIBFRKYZKW-ICTCPBKTSA-N 0 0 435.502 -0.124 20 0 IBADRN O=C(CN1CCN(C(=O)/C=C\c2ccc3c(c2)OCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735821860 1073497416 /nfs/dbraw/zinc/49/74/16/1073497416.db2.gz DNRDOIBFRKYZKW-OUMXJLHTSA-N 0 0 435.502 -0.124 20 0 IBADRN O=C(CN1CCN(C(=O)/C=C/c2ccc3c(c2)OCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735821861 1073497430 /nfs/dbraw/zinc/49/74/30/1073497430.db2.gz DNRDOIBFRKYZKW-WPTTZPOMSA-N 0 0 435.502 -0.124 20 0 IBADRN O=C(CN1CCN(C(=O)/C=C\c2ccc3c(c2)OCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735821862 1073497418 /nfs/dbraw/zinc/49/74/18/1073497418.db2.gz DNRDOIBFRKYZKW-ZENLRNHISA-N 0 0 435.502 -0.124 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2Cc3ccccc3C(=O)O2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735821869 1073497400 /nfs/dbraw/zinc/49/74/00/1073497400.db2.gz DOYGBIZPLVRHOX-DOTOQJQBSA-N 0 0 435.502 -0.784 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2Cc3ccccc3C(=O)O2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735821870 1073497412 /nfs/dbraw/zinc/49/74/12/1073497412.db2.gz DOYGBIZPLVRHOX-NVXWUHKLSA-N 0 0 435.502 -0.784 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2Cc3ccccc3C(=O)O2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735821871 1073497354 /nfs/dbraw/zinc/49/73/54/1073497354.db2.gz DOYGBIZPLVRHOX-RDJZCZTQSA-N 0 0 435.502 -0.784 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2Cc3ccccc3C(=O)O2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735821872 1073497806 /nfs/dbraw/zinc/49/78/06/1073497806.db2.gz DOYGBIZPLVRHOX-WBVHZDCISA-N 0 0 435.502 -0.784 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3ccccc3oc2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735822016 1073497419 /nfs/dbraw/zinc/49/74/19/1073497419.db2.gz LTZBYZVULOOACE-HNNXBMFYSA-N 0 0 433.486 -0.146 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3ccccc3oc2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735822017 1073497387 /nfs/dbraw/zinc/49/73/87/1073497387.db2.gz LTZBYZVULOOACE-OAHLLOKOSA-N 0 0 433.486 -0.146 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(c3ccccc3)=NO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735822024 1073497408 /nfs/dbraw/zinc/49/74/08/1073497408.db2.gz MGESFQIOYHWCRA-AEFFLSMTSA-N 0 0 434.518 -0.373 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(c3ccccc3)=NO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735822025 1073497421 /nfs/dbraw/zinc/49/74/21/1073497421.db2.gz MGESFQIOYHWCRA-FUHWJXTLSA-N 0 0 434.518 -0.373 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(c3ccccc3)=NO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735822026 1073497378 /nfs/dbraw/zinc/49/73/78/1073497378.db2.gz MGESFQIOYHWCRA-SJLPKXTDSA-N 0 0 434.518 -0.373 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(c3ccccc3)=NO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735822027 1073497411 /nfs/dbraw/zinc/49/74/11/1073497411.db2.gz MGESFQIOYHWCRA-WMZOPIPTSA-N 0 0 434.518 -0.373 20 0 IBADRN CCOC(=O)N[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000735822128 1073496627 /nfs/dbraw/zinc/49/66/27/1073496627.db2.gz REPHCVAVJLDFII-GDBMZVCRSA-N 0 0 432.543 -0.795 20 0 IBADRN CCOC(=O)N[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000735822129 1073496641 /nfs/dbraw/zinc/49/66/41/1073496641.db2.gz REPHCVAVJLDFII-GOEBONIOSA-N 0 0 432.543 -0.795 20 0 IBADRN CCOC(=O)N[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000735822130 1073496596 /nfs/dbraw/zinc/49/65/96/1073496596.db2.gz REPHCVAVJLDFII-HOCLYGCPSA-N 0 0 432.543 -0.795 20 0 IBADRN CCOC(=O)N[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000735822131 1073496572 /nfs/dbraw/zinc/49/65/72/1073496572.db2.gz REPHCVAVJLDFII-ZBFHGGJFSA-N 0 0 432.543 -0.795 20 0 IBADRN CC(C)(C)OC(=O)NC(C)(C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000735822153 1073496650 /nfs/dbraw/zinc/49/66/50/1073496650.db2.gz SAZSYWAGNVQKLQ-AWEZNQCLSA-N 0 0 446.570 -0.263 20 0 IBADRN CC(C)(C)OC(=O)NC(C)(C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000735822154 1073496622 /nfs/dbraw/zinc/49/66/22/1073496622.db2.gz SAZSYWAGNVQKLQ-CQSZACIVSA-N 0 0 446.570 -0.263 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000735822187 1073496681 /nfs/dbraw/zinc/49/66/81/1073496681.db2.gz SQWWDZNSOYQTKG-KBPBESRZSA-N 0 0 432.543 -0.653 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000735822188 1073496585 /nfs/dbraw/zinc/49/65/85/1073496585.db2.gz SQWWDZNSOYQTKG-KGLIPLIRSA-N 0 0 432.543 -0.653 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000735822189 1073496589 /nfs/dbraw/zinc/49/65/89/1073496589.db2.gz SQWWDZNSOYQTKG-UONOGXRCSA-N 0 0 432.543 -0.653 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000735822190 1073496606 /nfs/dbraw/zinc/49/66/06/1073496606.db2.gz SQWWDZNSOYQTKG-ZIAGYGMSSA-N 0 0 432.543 -0.653 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(=O)c3ccccc3o2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000735822237 1073496602 /nfs/dbraw/zinc/49/66/02/1073496602.db2.gz VHZLDTWCRKMIQC-AWEZNQCLSA-N 0 0 433.486 -0.146 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(=O)c3ccccc3o2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000735822238 1073497384 /nfs/dbraw/zinc/49/73/84/1073497384.db2.gz VHZLDTWCRKMIQC-CQSZACIVSA-N 0 0 433.486 -0.146 20 0 IBADRN C[C@]1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)Cc2ccccc2C(=O)O1 ZINC000735822318 1073496577 /nfs/dbraw/zinc/49/65/77/1073496577.db2.gz YXOYEOBZFTXUAK-HRAATJIYSA-N 0 0 449.529 -0.394 20 0 IBADRN C[C@@]1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)Cc2ccccc2C(=O)O1 ZINC000735822319 1073496674 /nfs/dbraw/zinc/49/66/74/1073496674.db2.gz YXOYEOBZFTXUAK-IERDGZPVSA-N 0 0 449.529 -0.394 20 0 IBADRN C[C@]1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)Cc2ccccc2C(=O)O1 ZINC000735822320 1073496685 /nfs/dbraw/zinc/49/66/85/1073496685.db2.gz YXOYEOBZFTXUAK-IIBYNOLFSA-N 0 0 449.529 -0.394 20 0 IBADRN C[C@@]1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)Cc2ccccc2C(=O)O1 ZINC000735822321 1073496644 /nfs/dbraw/zinc/49/66/44/1073496644.db2.gz YXOYEOBZFTXUAK-KKSFZXQISA-N 0 0 449.529 -0.394 20 0 IBADRN C[C@H]1NC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)=NN(c2ccccc2)C1=O ZINC000735822561 1073496160 /nfs/dbraw/zinc/49/61/60/1073496160.db2.gz CHAVFEZHXLMMAB-CVEARBPZSA-N 0 0 428.493 -0.626 20 0 IBADRN C[C@@H]1NC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)=NN(c2ccccc2)C1=O ZINC000735822562 1073496612 /nfs/dbraw/zinc/49/66/12/1073496612.db2.gz CHAVFEZHXLMMAB-HOTGVXAUSA-N 0 0 428.493 -0.626 20 0 IBADRN C[C@H]1NC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)=NN(c2ccccc2)C1=O ZINC000735822564 1073496654 /nfs/dbraw/zinc/49/66/54/1073496654.db2.gz CHAVFEZHXLMMAB-HZPDHXFCSA-N 0 0 428.493 -0.626 20 0 IBADRN C[C@@H]1NC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)=NN(c2ccccc2)C1=O ZINC000735822566 1073496665 /nfs/dbraw/zinc/49/66/65/1073496665.db2.gz CHAVFEZHXLMMAB-JKSUJKDBSA-N 0 0 428.493 -0.626 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)=NN1c1ccccc1 ZINC000735822891 1073497920 /nfs/dbraw/zinc/49/79/20/1073497920.db2.gz JCWDULBVNQTUQZ-CRAIPNDOSA-N 0 0 428.493 -0.844 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)=NN1c1ccccc1 ZINC000735822892 1073498021 /nfs/dbraw/zinc/49/80/21/1073498021.db2.gz JCWDULBVNQTUQZ-MAUKXSAKSA-N 0 0 428.493 -0.844 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)=NN1c1ccccc1 ZINC000735822893 1073497879 /nfs/dbraw/zinc/49/78/79/1073497879.db2.gz JCWDULBVNQTUQZ-QAPCUYQASA-N 0 0 428.493 -0.844 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)=NN1c1ccccc1 ZINC000735822894 1073498012 /nfs/dbraw/zinc/49/80/12/1073498012.db2.gz JCWDULBVNQTUQZ-YJBOKZPZSA-N 0 0 428.493 -0.844 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000735822895 1073497993 /nfs/dbraw/zinc/49/79/93/1073497993.db2.gz JIPYBRYQEQZODV-AWEZNQCLSA-N 0 0 442.513 -0.213 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000735822896 1073497958 /nfs/dbraw/zinc/49/79/58/1073497958.db2.gz JIPYBRYQEQZODV-CQSZACIVSA-N 0 0 442.513 -0.213 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)=NN1c1ccc(F)cc1 ZINC000735823311 1073497860 /nfs/dbraw/zinc/49/78/60/1073497860.db2.gz PDYXDGWOUUTLKY-CRAIPNDOSA-N 0 0 446.483 -0.705 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)=NN1c1ccc(F)cc1 ZINC000735823312 1073498001 /nfs/dbraw/zinc/49/80/01/1073498001.db2.gz PDYXDGWOUUTLKY-MAUKXSAKSA-N 0 0 446.483 -0.705 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)=NN1c1ccc(F)cc1 ZINC000735823313 1073497813 /nfs/dbraw/zinc/49/78/13/1073497813.db2.gz PDYXDGWOUUTLKY-QAPCUYQASA-N 0 0 446.483 -0.705 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)=NN1c1ccc(F)cc1 ZINC000735823314 1073497934 /nfs/dbraw/zinc/49/79/34/1073497934.db2.gz PDYXDGWOUUTLKY-YJBOKZPZSA-N 0 0 446.483 -0.705 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000735836587 1073496055 /nfs/dbraw/zinc/49/60/55/1073496055.db2.gz KDTPEXXPDJERAO-UHFFFAOYSA-N 0 0 441.481 -0.238 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CN2C(=O)NC(=O)C2(C)C)CC1 ZINC000735849209 1073498685 /nfs/dbraw/zinc/49/86/85/1073498685.db2.gz YGJYKZXRFARJHU-UHFFFAOYSA-N 0 0 425.486 -0.050 20 0 IBADRN CNC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000735859634 1073499317 /nfs/dbraw/zinc/49/93/17/1073499317.db2.gz LNHSBHXSSRCOTO-HNNXBMFYSA-N 0 0 444.535 -0.287 20 0 IBADRN CNC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000735859636 1073499188 /nfs/dbraw/zinc/49/91/88/1073499188.db2.gz LNHSBHXSSRCOTO-OAHLLOKOSA-N 0 0 444.535 -0.287 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000735859893 1073499286 /nfs/dbraw/zinc/49/92/86/1073499286.db2.gz REMAJNGDRBIGJB-UHFFFAOYSA-N 0 0 443.526 -0.219 20 0 IBADRN NC(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC000735861627 1073499365 /nfs/dbraw/zinc/49/93/65/1073499365.db2.gz LCKYKZOBXLYAFP-GFCCVEGCSA-N 0 0 448.498 -0.409 20 0 IBADRN NC(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC000735861628 1073499297 /nfs/dbraw/zinc/49/92/97/1073499297.db2.gz LCKYKZOBXLYAFP-LBPRGKRZSA-N 0 0 448.498 -0.409 20 0 IBADRN CN(C)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000735862101 1073499372 /nfs/dbraw/zinc/49/93/72/1073499372.db2.gz ZGUOYANQGSUTLD-AWEZNQCLSA-N 0 0 431.540 -0.186 20 0 IBADRN CN(C)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000735862107 1073499356 /nfs/dbraw/zinc/49/93/56/1073499356.db2.gz ZGUOYANQGSUTLD-CQSZACIVSA-N 0 0 431.540 -0.186 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000735863541 1073499392 /nfs/dbraw/zinc/49/93/92/1073499392.db2.gz ZFBQWTRQZXXEER-GFCCVEGCSA-N 0 0 448.498 -0.409 20 0 IBADRN NC(=O)c1ccc(F)c(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000735863543 1073499174 /nfs/dbraw/zinc/49/91/74/1073499174.db2.gz ZFBQWTRQZXXEER-LBPRGKRZSA-N 0 0 448.498 -0.409 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000735865127 1073497951 /nfs/dbraw/zinc/49/79/51/1073497951.db2.gz KEFBTHBEEFACII-AWEZNQCLSA-N 0 0 444.535 -0.240 20 0 IBADRN Cc1ccc(C(N)=O)cc1NC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000735865128 1073497902 /nfs/dbraw/zinc/49/79/02/1073497902.db2.gz KEFBTHBEEFACII-CQSZACIVSA-N 0 0 444.535 -0.240 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1C(N)=O ZINC000735866778 1073498608 /nfs/dbraw/zinc/49/86/08/1073498608.db2.gz YQRVSXKTLOTWTG-AWEZNQCLSA-N 0 0 444.535 -0.240 20 0 IBADRN Cc1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1C(N)=O ZINC000735866780 1073498558 /nfs/dbraw/zinc/49/85/58/1073498558.db2.gz YQRVSXKTLOTWTG-CQSZACIVSA-N 0 0 444.535 -0.240 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ccc1C(N)=O ZINC000735867101 1073498666 /nfs/dbraw/zinc/49/86/66/1073498666.db2.gz PNGOWBZZGMVHHU-AWEZNQCLSA-N 0 0 444.535 -0.240 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ccc1C(N)=O ZINC000735867102 1073498552 /nfs/dbraw/zinc/49/85/52/1073498552.db2.gz PNGOWBZZGMVHHU-CQSZACIVSA-N 0 0 444.535 -0.240 20 0 IBADRN NC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000735867450 1073498592 /nfs/dbraw/zinc/49/85/92/1073498592.db2.gz ZWCSIASLTVDDQA-GFCCVEGCSA-N 0 0 448.498 -0.409 20 0 IBADRN NC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000735867452 1073498670 /nfs/dbraw/zinc/49/86/70/1073498670.db2.gz ZWCSIASLTVDDQA-LBPRGKRZSA-N 0 0 448.498 -0.409 20 0 IBADRN CCN(CC(=O)NC)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000735868254 1073498648 /nfs/dbraw/zinc/49/86/48/1073498648.db2.gz OQXZKFFHXAVPHR-UHFFFAOYSA-N 0 0 440.522 -0.641 20 0 IBADRN COCCN(CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O)CC(=O)N(C)C ZINC000735868831 1073498583 /nfs/dbraw/zinc/49/85/83/1073498583.db2.gz AWKNNLGUCGBZAT-FQEVSTJZSA-N 0 0 435.481 -0.470 20 0 IBADRN COCCN(CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O)CC(=O)N(C)C ZINC000735868838 1073498656 /nfs/dbraw/zinc/49/86/56/1073498656.db2.gz AWKNNLGUCGBZAT-HXUWFJFHSA-N 0 0 435.481 -0.470 20 0 IBADRN COCCN(CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O)CC(=O)N(C)C ZINC000735868915 1073498700 /nfs/dbraw/zinc/49/87/00/1073498700.db2.gz CABNYHCNIDPNTD-FQEVSTJZSA-N 0 0 435.481 -0.470 20 0 IBADRN COCCN(CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O)CC(=O)N(C)C ZINC000735868921 1073498631 /nfs/dbraw/zinc/49/86/31/1073498631.db2.gz CABNYHCNIDPNTD-HXUWFJFHSA-N 0 0 435.481 -0.470 20 0 IBADRN CCN(CC(=O)NC)CC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000735869788 1073498567 /nfs/dbraw/zinc/49/85/67/1073498567.db2.gz MWUIQXXSJBERPP-UHFFFAOYSA-N 0 0 442.538 -0.395 20 0 IBADRN C[C@H](C(=O)Nc1sccc1C(N)=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000735870775 1073498614 /nfs/dbraw/zinc/49/86/14/1073498614.db2.gz ZNQHOFLNDYDNJG-CYBMUJFWSA-N 0 0 444.583 -0.716 20 0 IBADRN C[C@@H](C(=O)Nc1sccc1C(N)=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000735870776 1073498658 /nfs/dbraw/zinc/49/86/58/1073498658.db2.gz ZNQHOFLNDYDNJG-ZDUSSCGKSA-N 0 0 444.583 -0.716 20 0 IBADRN O=C(NCCOC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1cccnc1 ZINC000735907883 1073499241 /nfs/dbraw/zinc/49/92/41/1073499241.db2.gz NBYZFENHEPRBOO-UHFFFAOYSA-N 0 0 432.458 -0.211 20 0 IBADRN O=C(NCCOC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cccnc1 ZINC000735907955 1073499205 /nfs/dbraw/zinc/49/92/05/1073499205.db2.gz HDTBZNJBOZSVFU-UHFFFAOYSA-N 0 0 432.458 -0.211 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(N4CCCC4)nn3)CC2)ccc1=O ZINC000735915662 1073499161 /nfs/dbraw/zinc/49/91/61/1073499161.db2.gz QSHLHAOJZVETPU-UHFFFAOYSA-N 0 0 441.492 -0.215 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000735919143 1073499406 /nfs/dbraw/zinc/49/94/06/1073499406.db2.gz BABQPTGRKJEREP-KBPBESRZSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000735919144 1073499306 /nfs/dbraw/zinc/49/93/06/1073499306.db2.gz BABQPTGRKJEREP-KGLIPLIRSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000735919145 1073499803 /nfs/dbraw/zinc/49/98/03/1073499803.db2.gz BABQPTGRKJEREP-UONOGXRCSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000735919146 1073499902 /nfs/dbraw/zinc/49/99/02/1073499902.db2.gz BABQPTGRKJEREP-ZIAGYGMSSA-N 0 0 436.483 -0.612 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCNC(N)=O)CC2)c1 ZINC000735923763 1073499790 /nfs/dbraw/zinc/49/97/90/1073499790.db2.gz JWCOIQNBTRQCHV-UHFFFAOYSA-N 0 0 439.538 -0.299 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCCNC(N)=O)CC2=O)cc1 ZINC000735926228 1073499847 /nfs/dbraw/zinc/49/98/47/1073499847.db2.gz AOLPAHWHFWGHBB-CYBMUJFWSA-N 0 0 425.511 -0.146 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCCNC(N)=O)CC2=O)cc1 ZINC000735926229 1073499917 /nfs/dbraw/zinc/49/99/17/1073499917.db2.gz AOLPAHWHFWGHBB-ZDUSSCGKSA-N 0 0 425.511 -0.146 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000735926975 1073499920 /nfs/dbraw/zinc/49/99/20/1073499920.db2.gz CETIPWXQTKQRJR-UHFFFAOYSA-N 0 0 439.490 -0.209 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)ccc2C)CC1 ZINC000735927419 1073499859 /nfs/dbraw/zinc/49/98/59/1073499859.db2.gz GGKPTRREDROCDK-UHFFFAOYSA-N 0 0 441.506 -0.233 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCc2c(C)nc3c(C(N)=O)cnn3c2C)CC1 ZINC000735927992 1073500516 /nfs/dbraw/zinc/50/05/16/1073500516.db2.gz KOHIGJWKSLGBOQ-UHFFFAOYSA-N 0 0 430.465 -0.388 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000735928015 1073500477 /nfs/dbraw/zinc/50/04/77/1073500477.db2.gz LWPDNNIAKUWSMU-ZDUSSCGKSA-N 0 0 427.479 -0.404 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000735928160 1073499813 /nfs/dbraw/zinc/49/98/13/1073499813.db2.gz JEBBXOYQXFFXQD-CXAGYDPISA-N 0 0 433.431 -0.391 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000735928166 1073499878 /nfs/dbraw/zinc/49/98/78/1073499878.db2.gz JEBBXOYQXFFXQD-DYVFJYSZSA-N 0 0 433.431 -0.391 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000735928169 1073499926 /nfs/dbraw/zinc/49/99/26/1073499926.db2.gz JEBBXOYQXFFXQD-GUYCJALGSA-N 0 0 433.431 -0.391 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000735928171 1073500252 /nfs/dbraw/zinc/50/02/52/1073500252.db2.gz JEBBXOYQXFFXQD-SUMWQHHRSA-N 0 0 433.431 -0.391 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000735928293 1073499829 /nfs/dbraw/zinc/49/98/29/1073499829.db2.gz ONEJOPYUDPIPHR-UHFFFAOYSA-N 0 0 427.479 -0.207 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)CC1 ZINC000735928583 1073499870 /nfs/dbraw/zinc/49/98/70/1073499870.db2.gz QTNQUYFTLBLVCS-UHFFFAOYSA-N 0 0 437.449 -0.324 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000735929035 1073500527 /nfs/dbraw/zinc/50/05/27/1073500527.db2.gz UJJVWZFCPJJCNZ-INIZCTEOSA-N 0 0 440.522 -0.469 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000735929036 1073500574 /nfs/dbraw/zinc/50/05/74/1073500574.db2.gz UJJVWZFCPJJCNZ-MRXNPFEDSA-N 0 0 440.522 -0.469 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000735929238 1073500365 /nfs/dbraw/zinc/50/03/65/1073500365.db2.gz XFFMHAZWMAOCPX-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(OCC)cc2)CC1 ZINC000735929303 1073500333 /nfs/dbraw/zinc/50/03/33/1073500333.db2.gz YOAWDNWRWPPHTO-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000735929322 1073500563 /nfs/dbraw/zinc/50/05/63/1073500563.db2.gz ZAVCYMGNHGUYLY-UHFFFAOYSA-N 0 0 439.490 -0.209 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000735930105 1073500414 /nfs/dbraw/zinc/50/04/14/1073500414.db2.gz FFKLRBSFXFXOGR-AWEZNQCLSA-N 0 0 432.543 -0.651 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000735930106 1073500443 /nfs/dbraw/zinc/50/04/43/1073500443.db2.gz FFKLRBSFXFXOGR-CQSZACIVSA-N 0 0 432.543 -0.651 20 0 IBADRN COc1ccc(C2N=NC(=S)N2CCC(=O)N2CCN(S(N)(=O)=O)CC2)cc1 ZINC000735930599 1073500549 /nfs/dbraw/zinc/50/05/49/1073500549.db2.gz JPVQBJBZTIQBFE-UHFFFAOYSA-N 0 0 426.524 -0.020 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H](O)c2ccc(Br)cc2)c(=O)n(C)c1=O ZINC000735941388 1073500278 /nfs/dbraw/zinc/50/02/78/1073500278.db2.gz CKAREISLOCPRCH-GFCCVEGCSA-N 0 0 426.223 -0.112 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H](O)c2ccc(Br)cc2)c(=O)n(C)c1=O ZINC000735941393 1073500494 /nfs/dbraw/zinc/50/04/94/1073500494.db2.gz CKAREISLOCPRCH-LBPRGKRZSA-N 0 0 426.223 -0.112 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000735945026 1073500296 /nfs/dbraw/zinc/50/02/96/1073500296.db2.gz PUOKVOWBNOREFL-CYBMUJFWSA-N 0 0 429.495 -0.182 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000735945028 1073501012 /nfs/dbraw/zinc/50/10/12/1073501012.db2.gz PUOKVOWBNOREFL-ZDUSSCGKSA-N 0 0 429.495 -0.182 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)c1ccc(CCNS(C)(=O)=O)s1 ZINC000735945196 1073500460 /nfs/dbraw/zinc/50/04/60/1073500460.db2.gz SAPALTACHRSBIP-UHFFFAOYSA-N 0 0 431.492 -0.104 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000735945413 1073500507 /nfs/dbraw/zinc/50/05/07/1073500507.db2.gz OPSZIHDNSKRIBH-GOSISDBHSA-N 0 0 426.491 -0.150 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000735945414 1073500393 /nfs/dbraw/zinc/50/03/93/1073500393.db2.gz OPSZIHDNSKRIBH-SFHVURJKSA-N 0 0 426.491 -0.150 20 0 IBADRN Cn1c2ncn(CCOC(=O)CNC(=O)N3CCc4ccccc4C3)c2c(=O)n(C)c1=O ZINC000735946088 1073500313 /nfs/dbraw/zinc/50/03/13/1073500313.db2.gz JGLOWNQXHHPMFZ-UHFFFAOYSA-N 0 0 440.460 -0.255 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)N2CCc3ccccc3C2)c(=O)n(C)c1=O ZINC000735947323 1073501138 /nfs/dbraw/zinc/50/11/38/1073501138.db2.gz YEZLUPUDXOGYKX-UHFFFAOYSA-N 0 0 429.433 -0.840 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000735947456 1073500987 /nfs/dbraw/zinc/50/09/87/1073500987.db2.gz KDDWEERMIHFVAS-GOSISDBHSA-N 0 0 442.490 -0.023 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000735947458 1073501151 /nfs/dbraw/zinc/50/11/51/1073501151.db2.gz KDDWEERMIHFVAS-SFHVURJKSA-N 0 0 442.490 -0.023 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000735947617 1073501161 /nfs/dbraw/zinc/50/11/61/1073501161.db2.gz LUBBZJJBRWENEQ-IBGZPJMESA-N 0 0 440.518 -0.009 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000735947618 1073501032 /nfs/dbraw/zinc/50/10/32/1073501032.db2.gz LUBBZJJBRWENEQ-LJQANCHMSA-N 0 0 440.518 -0.009 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000735949285 1073501064 /nfs/dbraw/zinc/50/10/64/1073501064.db2.gz XTIFBYIQACIWFR-GOSISDBHSA-N 0 0 442.490 -0.023 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000735949288 1073501185 /nfs/dbraw/zinc/50/11/85/1073501185.db2.gz XTIFBYIQACIWFR-SFHVURJKSA-N 0 0 442.490 -0.023 20 0 IBADRN C[C@H](C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000735949910 1073501206 /nfs/dbraw/zinc/50/12/06/1073501206.db2.gz LAYMITUYLCJLCA-CYBMUJFWSA-N 0 0 447.467 -0.258 20 0 IBADRN C[C@@H](C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000735949911 1073500959 /nfs/dbraw/zinc/50/09/59/1073500959.db2.gz LAYMITUYLCJLCA-ZDUSSCGKSA-N 0 0 447.467 -0.258 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCNC(=O)N2CCc3ccccc3C2)c(=O)n(C)c1=O ZINC000735954857 1073501084 /nfs/dbraw/zinc/50/10/84/1073501084.db2.gz ZQJNMMZJYUQVNC-UHFFFAOYSA-N 0 0 443.460 -0.450 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000735959934 1073501097 /nfs/dbraw/zinc/50/10/97/1073501097.db2.gz LNLUIKYGZFSWFB-UHFFFAOYSA-N 0 0 436.508 -0.188 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)OCCCS(N)(=O)=O)n2C ZINC000735960807 1073501110 /nfs/dbraw/zinc/50/11/10/1073501110.db2.gz OJPAHNRTYYKYKH-UHFFFAOYSA-N 0 0 432.524 -0.022 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000735964285 1073500974 /nfs/dbraw/zinc/50/09/74/1073500974.db2.gz FUFOQSDNHKVKPW-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000735966044 1073501051 /nfs/dbraw/zinc/50/10/51/1073501051.db2.gz HCGKPKUDYKWXDP-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCCCS(N)(=O)=O)cc2)CC1 ZINC000735966098 1073501194 /nfs/dbraw/zinc/50/11/94/1073501194.db2.gz ZKIJKXXPJZUTNH-UHFFFAOYSA-N 0 0 433.508 -0.625 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCCCS(N)(=O)=O)c2)CC1 ZINC000735966676 1073501002 /nfs/dbraw/zinc/50/10/02/1073501002.db2.gz OIOCHQQPQIKFRK-UHFFFAOYSA-N 0 0 433.508 -0.625 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000735967718 1073501122 /nfs/dbraw/zinc/50/11/22/1073501122.db2.gz JBRWGINDMPOXMU-UHFFFAOYSA-N 0 0 449.551 -0.130 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000735967742 1073501019 /nfs/dbraw/zinc/50/10/19/1073501019.db2.gz IAHXROCRGAJWAC-UHFFFAOYSA-N 0 0 436.508 -0.001 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCCCS(N)(=O)=O)c1 ZINC000735967909 1073501174 /nfs/dbraw/zinc/50/11/74/1073501174.db2.gz MLEWGJYIECCKGH-UHFFFAOYSA-N 0 0 435.524 -0.391 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000736015272 1073501856 /nfs/dbraw/zinc/50/18/56/1073501856.db2.gz GFLBLEYTPOMWNY-UHFFFAOYSA-N 0 0 428.442 -0.482 20 0 IBADRN O=C(c1cc(-n2cccn2)ccn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000736071993 1073501775 /nfs/dbraw/zinc/50/17/75/1073501775.db2.gz SELNDAPNADLRQJ-HNNXBMFYSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cc(-n2cccn2)ccn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000736071995 1073501910 /nfs/dbraw/zinc/50/19/10/1073501910.db2.gz SELNDAPNADLRQJ-OAHLLOKOSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(CN1CC(=O)NC1=O)Nc1ccc2nc(NC(=O)CN3CC(=O)NC3=O)ccc2c1 ZINC000736074374 1073501711 /nfs/dbraw/zinc/50/17/11/1073501711.db2.gz SDZHXHFJBALLPI-UHFFFAOYSA-N 0 0 439.388 -0.785 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000736097803 1073502508 /nfs/dbraw/zinc/50/25/08/1073502508.db2.gz DNBYTVOUWMOOOY-UHFFFAOYSA-N 0 0 426.451 -0.023 20 0 IBADRN NC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000736104159 1073502516 /nfs/dbraw/zinc/50/25/16/1073502516.db2.gz GUQCSDOAWOEHFN-UHFFFAOYSA-N 0 0 445.929 -0.262 20 0 IBADRN NC(=O)NCCNC(=O)c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1 ZINC000736107856 1073502470 /nfs/dbraw/zinc/50/24/70/1073502470.db2.gz OQVLMBBZYHRTTQ-UHFFFAOYSA-N 0 0 435.300 -0.132 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(I)c(C(=O)NCCNC(N)=O)c1 ZINC000736108014 1073502496 /nfs/dbraw/zinc/50/24/96/1073502496.db2.gz RUXJDXGBXZEQQR-UHFFFAOYSA-N 0 0 440.263 -0.060 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NCCNC(N)=O)cc(-c3ccc4c(c3)OCO4)nc21 ZINC000736108197 1073502329 /nfs/dbraw/zinc/50/23/29/1073502329.db2.gz WDGFUDHCNUWHCN-UHFFFAOYSA-N 0 0 426.389 -0.172 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCNC(N)=O)c1 ZINC000736109485 1073502554 /nfs/dbraw/zinc/50/25/54/1073502554.db2.gz UQQVMPYBJOXSAC-UHFFFAOYSA-N 0 0 427.527 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCNC(N)=O)CC2)cc1 ZINC000736110550 1073502430 /nfs/dbraw/zinc/50/24/30/1073502430.db2.gz OQBNINXDABLAAL-UHFFFAOYSA-N 0 0 425.511 -0.607 20 0 IBADRN NC(=O)NCCNC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC000736111254 1073502314 /nfs/dbraw/zinc/50/23/14/1073502314.db2.gz XLFQJBDWGGJPMF-UHFFFAOYSA-N 0 0 425.467 -0.446 20 0 IBADRN COC(=O)Cc1nc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)n[nH]1 ZINC000736112301 1073502378 /nfs/dbraw/zinc/50/23/78/1073502378.db2.gz VUTDEVPQOOBMPZ-UHFFFAOYSA-N 0 0 427.414 -0.068 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000736126377 1073501890 /nfs/dbraw/zinc/50/18/90/1073501890.db2.gz GNZFOEXLHOXDLX-UHFFFAOYSA-N 0 0 429.451 -0.852 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000736127478 1073501784 /nfs/dbraw/zinc/50/17/84/1073501784.db2.gz PMLPBWKRCNPUSA-UHFFFAOYSA-N 0 0 428.445 -0.708 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc3c(c2)CCN3C(=O)CNC(C)=O)CC1 ZINC000736127535 1073501874 /nfs/dbraw/zinc/50/18/74/1073501874.db2.gz QMNYMGPCDMEKBL-UHFFFAOYSA-N 0 0 430.461 -0.441 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC000736128063 1073501845 /nfs/dbraw/zinc/50/18/45/1073501845.db2.gz VSNABJMAGFWIQQ-UHFFFAOYSA-N 0 0 437.518 -0.236 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)C2CCC(NC(=O)COCCOC)CC2)CC1 ZINC000736130226 1073501901 /nfs/dbraw/zinc/50/19/01/1073501901.db2.gz DKYNLERTVRPULN-UHFFFAOYSA-N 0 0 427.498 -0.442 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)CC1 ZINC000736131254 1073501730 /nfs/dbraw/zinc/50/17/30/1073501730.db2.gz OBWGYQSEQMKGDA-UHFFFAOYSA-N 0 0 431.442 -0.742 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000736132170 1073501811 /nfs/dbraw/zinc/50/18/11/1073501811.db2.gz UOZNFPXALHZQKS-UHFFFAOYSA-N 0 0 440.474 -0.145 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000736132537 1073501763 /nfs/dbraw/zinc/50/17/63/1073501763.db2.gz WGIPJJYYICLJGA-UHFFFAOYSA-N 0 0 433.433 -0.133 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000736138050 1073503724 /nfs/dbraw/zinc/50/37/24/1073503724.db2.gz FORWQWRPYMHXGL-UHFFFAOYSA-N 0 0 438.506 -0.284 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)CC1 ZINC000736138533 1073503479 /nfs/dbraw/zinc/50/34/79/1073503479.db2.gz JFXCLVZCGDOZGQ-UHFFFAOYSA-N 0 0 429.433 -0.241 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)Cn3c(cc4ccccc43)C2=O)CC1 ZINC000736138589 1073503621 /nfs/dbraw/zinc/50/36/21/1073503621.db2.gz JTJGWOHREHWWOI-UHFFFAOYSA-N 0 0 426.429 -0.142 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(CC)CC)cn2C)CC1 ZINC000736139452 1073503432 /nfs/dbraw/zinc/50/34/32/1073503432.db2.gz SXTXEVUQLFLHGK-UHFFFAOYSA-N 0 0 428.511 -0.097 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000736139760 1073503564 /nfs/dbraw/zinc/50/35/64/1073503564.db2.gz VUPPNJNQJIASIM-UHFFFAOYSA-N 0 0 440.416 -0.064 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(Cc4nnc(C)[nH]4)CC3)cc2S1(=O)=O ZINC000736148498 1073503495 /nfs/dbraw/zinc/50/34/95/1073503495.db2.gz DVYBHQUDNIWBSX-UHFFFAOYSA-N 0 0 448.505 -0.138 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000736150818 1073503409 /nfs/dbraw/zinc/50/34/09/1073503409.db2.gz BBTGHAVCKHCCLL-INIZCTEOSA-N 0 0 444.536 -0.140 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000736150820 1073503544 /nfs/dbraw/zinc/50/35/44/1073503544.db2.gz BBTGHAVCKHCCLL-MRXNPFEDSA-N 0 0 444.536 -0.140 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1=O ZINC000736150870 1073503692 /nfs/dbraw/zinc/50/36/92/1073503692.db2.gz CBAIUVXJHKPMJU-INIZCTEOSA-N 0 0 443.504 -0.768 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1=O ZINC000736150871 1073503817 /nfs/dbraw/zinc/50/38/17/1073503817.db2.gz CBAIUVXJHKPMJU-MRXNPFEDSA-N 0 0 443.504 -0.768 20 0 IBADRN CN(CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000736151316 1073503763 /nfs/dbraw/zinc/50/37/63/1073503763.db2.gz CYPXLTSCKUEVPH-HNNXBMFYSA-N 0 0 449.533 -0.883 20 0 IBADRN CN(CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000736151320 1073503446 /nfs/dbraw/zinc/50/34/46/1073503446.db2.gz CYPXLTSCKUEVPH-OAHLLOKOSA-N 0 0 449.533 -0.883 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000736152185 1073503643 /nfs/dbraw/zinc/50/36/43/1073503643.db2.gz JHMCTRHRUCLOQI-AWEZNQCLSA-N 0 0 442.513 -0.261 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000736152186 1073503520 /nfs/dbraw/zinc/50/35/20/1073503520.db2.gz JHMCTRHRUCLOQI-CQSZACIVSA-N 0 0 442.513 -0.261 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000736152198 1073503576 /nfs/dbraw/zinc/50/35/76/1073503576.db2.gz JQMNUNLRRXHYSP-CYBMUJFWSA-N 0 0 444.941 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000736152201 1073503600 /nfs/dbraw/zinc/50/36/00/1073503600.db2.gz JQMNUNLRRXHYSP-ZDUSSCGKSA-N 0 0 444.941 -0.089 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000736153541 1073504284 /nfs/dbraw/zinc/50/42/84/1073504284.db2.gz QEECUDCDIXOFGJ-HNNXBMFYSA-N 0 0 430.509 -0.530 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000736153544 1073504232 /nfs/dbraw/zinc/50/42/32/1073504232.db2.gz QEECUDCDIXOFGJ-OAHLLOKOSA-N 0 0 430.509 -0.530 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000736153875 1073503667 /nfs/dbraw/zinc/50/36/67/1073503667.db2.gz SMWZREJITJFZAA-INIZCTEOSA-N 0 0 440.522 -0.469 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000736153876 1073504410 /nfs/dbraw/zinc/50/44/10/1073504410.db2.gz SMWZREJITJFZAA-MRXNPFEDSA-N 0 0 440.522 -0.469 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000736153888 1073504172 /nfs/dbraw/zinc/50/41/72/1073504172.db2.gz SWUUNUZJTUZNFM-HTAPYJJXSA-N 0 0 443.504 -0.497 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000736153890 1073504208 /nfs/dbraw/zinc/50/42/08/1073504208.db2.gz SWUUNUZJTUZNFM-JTSKRJEESA-N 0 0 443.504 -0.497 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000736153893 1073504378 /nfs/dbraw/zinc/50/43/78/1073504378.db2.gz SWUUNUZJTUZNFM-VGOFRKELSA-N 0 0 443.504 -0.497 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000736153895 1073504302 /nfs/dbraw/zinc/50/43/02/1073504302.db2.gz SWUUNUZJTUZNFM-VGSWGCGISA-N 0 0 443.504 -0.497 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)C1CCN(C(=O)c2cccnc2)CC1 ZINC000736154030 1073504438 /nfs/dbraw/zinc/50/44/38/1073504438.db2.gz VCCLTFFMRJLFSB-IBGZPJMESA-N 0 0 429.521 -0.017 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C1CCN(C(=O)c2cccnc2)CC1 ZINC000736154032 1073504353 /nfs/dbraw/zinc/50/43/53/1073504353.db2.gz VCCLTFFMRJLFSB-LJQANCHMSA-N 0 0 429.521 -0.017 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000736154293 1073504320 /nfs/dbraw/zinc/50/43/20/1073504320.db2.gz WOQYEVRPPICCMA-HNNXBMFYSA-N 0 0 440.522 -0.273 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000736154294 1073504253 /nfs/dbraw/zinc/50/42/53/1073504253.db2.gz WOQYEVRPPICCMA-OAHLLOKOSA-N 0 0 440.522 -0.273 20 0 IBADRN CC(=O)N/C(=C/c1ccccc1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000736165239 1073505007 /nfs/dbraw/zinc/50/50/07/1073505007.db2.gz AQAKBZZDTVBQGR-DYGKOUCMSA-N 0 0 448.545 -0.389 20 0 IBADRN CC(=O)N/C(=C/c1ccccc1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000736165240 1073505056 /nfs/dbraw/zinc/50/50/56/1073505056.db2.gz AQAKBZZDTVBQGR-UIMCMNAESA-N 0 0 448.545 -0.389 20 0 IBADRN CC(=O)N/C(=C\c1ccccc1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000736165241 1073504965 /nfs/dbraw/zinc/50/49/65/1073504965.db2.gz AQAKBZZDTVBQGR-UZNZLNSGSA-N 0 0 448.545 -0.389 20 0 IBADRN CC(=O)N/C(=C\c1ccccc1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000736165242 1073504868 /nfs/dbraw/zinc/50/48/68/1073504868.db2.gz AQAKBZZDTVBQGR-ZJYZBMPFSA-N 0 0 448.545 -0.389 20 0 IBADRN CCOC(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000736165288 1073504881 /nfs/dbraw/zinc/50/48/81/1073504881.db2.gz BYQKOEOEGHWBKH-CVEARBPZSA-N 0 0 444.554 -0.698 20 0 IBADRN CCOC(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000736165289 1073504915 /nfs/dbraw/zinc/50/49/15/1073504915.db2.gz BYQKOEOEGHWBKH-HOTGVXAUSA-N 0 0 444.554 -0.698 20 0 IBADRN CCOC(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000736165290 1073505036 /nfs/dbraw/zinc/50/50/36/1073505036.db2.gz BYQKOEOEGHWBKH-HZPDHXFCSA-N 0 0 444.554 -0.698 20 0 IBADRN CCOC(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000736165291 1073504956 /nfs/dbraw/zinc/50/49/56/1073504956.db2.gz BYQKOEOEGHWBKH-JKSUJKDBSA-N 0 0 444.554 -0.698 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N1CCc2ccccc21 ZINC000736165567 1073504390 /nfs/dbraw/zinc/50/43/90/1073504390.db2.gz CSDFXKSREHPPSZ-AEFFLSMTSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N1CCc2ccccc21 ZINC000736165569 1073504425 /nfs/dbraw/zinc/50/44/25/1073504425.db2.gz CSDFXKSREHPPSZ-FUHWJXTLSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N1CCc2ccccc21 ZINC000736165572 1073504191 /nfs/dbraw/zinc/50/41/91/1073504191.db2.gz CSDFXKSREHPPSZ-SJLPKXTDSA-N 0 0 434.562 -0.115 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N1CCc2ccccc21 ZINC000736165575 1073504462 /nfs/dbraw/zinc/50/44/62/1073504462.db2.gz CSDFXKSREHPPSZ-WMZOPIPTSA-N 0 0 434.562 -0.115 20 0 IBADRN CNc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc(Cl)n1 ZINC000736165629 1073504397 /nfs/dbraw/zinc/50/43/97/1073504397.db2.gz DFCKDEKBHIKUFB-CYBMUJFWSA-N 0 0 429.930 -0.162 20 0 IBADRN CNc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc(Cl)n1 ZINC000736165631 1073504198 /nfs/dbraw/zinc/50/41/98/1073504198.db2.gz DFCKDEKBHIKUFB-ZDUSSCGKSA-N 0 0 429.930 -0.162 20 0 IBADRN CCN(CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000736165691 1073504343 /nfs/dbraw/zinc/50/43/43/1073504343.db2.gz FQDTVUNLHRSIQW-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CCN(CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000736165692 1073504186 /nfs/dbraw/zinc/50/41/86/1073504186.db2.gz FQDTVUNLHRSIQW-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN COC(=O)Nc1ccccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000736166608 1073502441 /nfs/dbraw/zinc/50/24/41/1073502441.db2.gz GQTFAQKFIUFMGB-AWEZNQCLSA-N 0 0 438.506 -0.074 20 0 IBADRN COC(=O)Nc1ccccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000736166609 1073502449 /nfs/dbraw/zinc/50/24/49/1073502449.db2.gz GQTFAQKFIUFMGB-CQSZACIVSA-N 0 0 438.506 -0.074 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cnn(-c3ccccc3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000736166618 1073502366 /nfs/dbraw/zinc/50/23/66/1073502366.db2.gz HCMGQXWXRDUVIE-INIZCTEOSA-N 0 0 446.533 -0.743 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cnn(-c3ccccc3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000736166619 1073502529 /nfs/dbraw/zinc/50/25/29/1073502529.db2.gz HCMGQXWXRDUVIE-MRXNPFEDSA-N 0 0 446.533 -0.743 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000736166622 1073502562 /nfs/dbraw/zinc/50/25/62/1073502562.db2.gz HCWFTOZHTKGGQN-AWEZNQCLSA-N 0 0 432.543 -0.699 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000736166623 1073503026 /nfs/dbraw/zinc/50/30/26/1073503026.db2.gz HCWFTOZHTKGGQN-CQSZACIVSA-N 0 0 432.543 -0.699 20 0 IBADRN CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000736166657 1073502894 /nfs/dbraw/zinc/50/28/94/1073502894.db2.gz ILWSWUQSDGKEBB-CABCVRRESA-N 0 0 446.570 -0.263 20 0 IBADRN CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000736166658 1073502934 /nfs/dbraw/zinc/50/29/34/1073502934.db2.gz ILWSWUQSDGKEBB-GJZGRUSLSA-N 0 0 446.570 -0.263 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(-c3ccccc3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000736166683 1073502459 /nfs/dbraw/zinc/50/24/59/1073502459.db2.gz JSGJAAOAJKJJNS-HNNXBMFYSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(-c3ccccc3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000736166684 1073502345 /nfs/dbraw/zinc/50/23/45/1073502345.db2.gz JSGJAAOAJKJJNS-OAHLLOKOSA-N 0 0 432.506 -0.672 20 0 IBADRN COC(=O)Nc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000736166693 1073502541 /nfs/dbraw/zinc/50/25/41/1073502541.db2.gz KDJVTJPTEOSDHS-INIZCTEOSA-N 0 0 438.506 -0.074 20 0 IBADRN COC(=O)Nc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000736166694 1073502418 /nfs/dbraw/zinc/50/24/18/1073502418.db2.gz KDJVTJPTEOSDHS-MRXNPFEDSA-N 0 0 438.506 -0.074 20 0 IBADRN Cc1n[nH]c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC000736166999 1073502398 /nfs/dbraw/zinc/50/23/98/1073502398.db2.gz KXXUTROCUZCLHE-LLVKDONJSA-N 0 0 448.343 -0.458 20 0 IBADRN Cc1n[nH]c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC000736167011 1073502479 /nfs/dbraw/zinc/50/24/79/1073502479.db2.gz KXXUTROCUZCLHE-NSHDSACASA-N 0 0 448.343 -0.458 20 0 IBADRN O=Cc1cccc(OCCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000736167029 1073503037 /nfs/dbraw/zinc/50/30/37/1073503037.db2.gz LIVNFHZSWSHCQP-KRWDZBQOSA-N 0 0 437.518 -0.285 20 0 IBADRN O=Cc1cccc(OCCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000736167030 1073503108 /nfs/dbraw/zinc/50/31/08/1073503108.db2.gz LIVNFHZSWSHCQP-QGZVFWFLSA-N 0 0 437.518 -0.285 20 0 IBADRN C=C1c2ccccc2C(=O)N1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000736167047 1073502908 /nfs/dbraw/zinc/50/29/08/1073502908.db2.gz MAUDIRWHDSITKN-INIZCTEOSA-N 0 0 446.529 -0.439 20 0 IBADRN C=C1c2ccccc2C(=O)N1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000736167048 1073502878 /nfs/dbraw/zinc/50/28/78/1073502878.db2.gz MAUDIRWHDSITKN-MRXNPFEDSA-N 0 0 446.529 -0.439 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H]2OC(=O)c3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000736167459 1073503091 /nfs/dbraw/zinc/50/30/91/1073503091.db2.gz QXUWHNFNWJWVJC-PBHICJAKSA-N 0 0 435.502 -0.264 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H]2OC(=O)c3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000736167460 1073502947 /nfs/dbraw/zinc/50/29/47/1073502947.db2.gz QXUWHNFNWJWVJC-RHSMWYFYSA-N 0 0 435.502 -0.264 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H]2OC(=O)c3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000736167461 1073502989 /nfs/dbraw/zinc/50/29/89/1073502989.db2.gz QXUWHNFNWJWVJC-WMLDXEAASA-N 0 0 435.502 -0.264 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H]2OC(=O)c3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000736167462 1073503004 /nfs/dbraw/zinc/50/30/04/1073503004.db2.gz QXUWHNFNWJWVJC-YOEHRIQHSA-N 0 0 435.502 -0.264 20 0 IBADRN CN(C)c1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc(Cl)n1 ZINC000736167725 1073503068 /nfs/dbraw/zinc/50/30/68/1073503068.db2.gz SCCFXUPFTKJDQZ-AWEZNQCLSA-N 0 0 443.957 -0.138 20 0 IBADRN CN(C)c1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc(Cl)n1 ZINC000736167728 1073502918 /nfs/dbraw/zinc/50/29/18/1073502918.db2.gz SCCFXUPFTKJDQZ-CQSZACIVSA-N 0 0 443.957 -0.138 20 0 IBADRN Cc1[nH]c(=S)sc1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000736167972 1073503100 /nfs/dbraw/zinc/50/31/00/1073503100.db2.gz WGJRDDTTWDADNU-GFCCVEGCSA-N 0 0 432.593 -0.270 20 0 IBADRN Cc1[nH]c(=S)sc1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000736167976 1073503049 /nfs/dbraw/zinc/50/30/49/1073503049.db2.gz WGJRDDTTWDADNU-LBPRGKRZSA-N 0 0 432.593 -0.270 20 0 IBADRN O=Cc1ccc(OCCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000736168547 1073503016 /nfs/dbraw/zinc/50/30/16/1073503016.db2.gz WTDMSFTYUOOFLA-KRWDZBQOSA-N 0 0 437.518 -0.285 20 0 IBADRN O=Cc1ccc(OCCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000736168549 1073503785 /nfs/dbraw/zinc/50/37/85/1073503785.db2.gz WTDMSFTYUOOFLA-QGZVFWFLSA-N 0 0 437.518 -0.285 20 0 IBADRN Cc1nc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nn1-c1ccccc1 ZINC000736168646 1073502997 /nfs/dbraw/zinc/50/29/97/1073502997.db2.gz YYNYOQYXGAIHRU-INIZCTEOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1nc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nn1-c1ccccc1 ZINC000736168647 1073502857 /nfs/dbraw/zinc/50/28/57/1073502857.db2.gz YYNYOQYXGAIHRU-MRXNPFEDSA-N 0 0 446.533 -0.363 20 0 IBADRN C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000736272743 1073505078 /nfs/dbraw/zinc/50/50/78/1073505078.db2.gz UVOXCNXDIHDQMC-BDAKNGLRSA-N 0 0 432.300 -0.074 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS1(=O)=O ZINC000736272746 1073504970 /nfs/dbraw/zinc/50/49/70/1073504970.db2.gz UVOXCNXDIHDQMC-DTWKUNHWSA-N 0 0 432.300 -0.074 20 0 IBADRN C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000736272747 1073505046 /nfs/dbraw/zinc/50/50/46/1073505046.db2.gz UVOXCNXDIHDQMC-IUCAKERBSA-N 0 0 432.300 -0.074 20 0 IBADRN C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000736272749 1073504929 /nfs/dbraw/zinc/50/49/29/1073504929.db2.gz UVOXCNXDIHDQMC-RKDXNWHRSA-N 0 0 432.300 -0.074 20 0 IBADRN CCN1C(=O)CN(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1=O ZINC000736280005 1073504893 /nfs/dbraw/zinc/50/48/93/1073504893.db2.gz LIAUQVBLRAXFJJ-UHFFFAOYSA-N 0 0 437.478 -0.435 20 0 IBADRN CCNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000736325746 1073505570 /nfs/dbraw/zinc/50/55/70/1073505570.db2.gz LWOBGUWZJOKEDZ-UHFFFAOYSA-N 0 0 425.554 -0.441 20 0 IBADRN O=S(=O)(NCCNc1ncccn1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000736330685 1073505501 /nfs/dbraw/zinc/50/55/01/1073505501.db2.gz ZJIJSHCQOHBFRE-UHFFFAOYSA-N 0 0 427.508 -0.112 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)cc21 ZINC000736331664 1073505743 /nfs/dbraw/zinc/50/57/43/1073505743.db2.gz ISYNHONOJTVAHD-UHFFFAOYSA-N 0 0 431.536 -0.074 20 0 IBADRN O=C(NCCNc1ncccn1)c1cc(S(=O)(=O)NCCNc2ncccn2)c[nH]1 ZINC000736333041 1073505715 /nfs/dbraw/zinc/50/57/15/1073505715.db2.gz HGOVBRDAWTWBQK-UHFFFAOYSA-N 0 0 431.482 -0.173 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)C2CCN(C(=O)OCc3ccccc3)CC2)CC1 ZINC000736336791 1073505546 /nfs/dbraw/zinc/50/55/46/1073505546.db2.gz CPWVXWDXYFJRDY-UHFFFAOYSA-N 0 0 446.551 -0.062 20 0 IBADRN COC(=O)c1ccc(Br)c(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC000736338298 1073505532 /nfs/dbraw/zinc/50/55/32/1073505532.db2.gz SKPJJMQUUDNMSX-UHFFFAOYSA-N 0 0 442.313 -0.255 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c(C)c1NS(C)(=O)=O ZINC000736338489 1073505484 /nfs/dbraw/zinc/50/54/84/1073505484.db2.gz XOIIRXDNZXUPBF-UHFFFAOYSA-N 0 0 440.569 -0.507 20 0 IBADRN O=C(OCCCn1nc2ccccn2c1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000736415587 1073505756 /nfs/dbraw/zinc/50/57/56/1073505756.db2.gz FSMIZVGPRNKKFV-CYBMUJFWSA-N 0 0 435.462 -0.405 20 0 IBADRN O=C(OCCCn1nc2ccccn2c1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000736415588 1073505625 /nfs/dbraw/zinc/50/56/25/1073505625.db2.gz FSMIZVGPRNKKFV-ZDUSSCGKSA-N 0 0 435.462 -0.405 20 0 IBADRN C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)COCCOCC(=O)N1CC[S@](=O)[C@H](C)[C@@H]1C ZINC000736529001 1073505678 /nfs/dbraw/zinc/50/56/78/1073505678.db2.gz VOIAZJFSCJKLKF-DOIBNQPCSA-N 0 0 436.596 -0.245 20 0 IBADRN C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)COCCOCC(=O)N1CC[S@](=O)[C@@H](C)[C@H]1C ZINC000736529002 1073505703 /nfs/dbraw/zinc/50/57/03/1073505703.db2.gz VOIAZJFSCJKLKF-LYMIKGQNSA-N 0 0 436.596 -0.245 20 0 IBADRN C[C@@H]1[C@@H](C)[S@@](=O)CCN1C(=O)COCCOCC(=O)N1CC[S@](=O)[C@@H](C)[C@H]1C ZINC000736529005 1073505583 /nfs/dbraw/zinc/50/55/83/1073505583.db2.gz VOIAZJFSCJKLKF-LVTUKGISSA-N 0 0 436.596 -0.245 20 0 IBADRN C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)COCCOCC(=O)N1CC[S@](=O)[C@@H](C)[C@@H]1C ZINC000736529008 1073505466 /nfs/dbraw/zinc/50/54/66/1073505466.db2.gz VOIAZJFSCJKLKF-ULGIYFLASA-N 0 0 436.596 -0.245 20 0 IBADRN O=C(c1cccc(-n2cccn2)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000736548523 1073505643 /nfs/dbraw/zinc/50/56/43/1073505643.db2.gz KXZXZLSLIJPWQU-AWEZNQCLSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cccc(-n2cccn2)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000736548524 1073505692 /nfs/dbraw/zinc/50/56/92/1073505692.db2.gz KXZXZLSLIJPWQU-CQSZACIVSA-N 0 0 439.519 -0.458 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(CC(N)=O)CC(N)=O)c(Br)cc1Cl ZINC000736631100 1073505520 /nfs/dbraw/zinc/50/55/20/1073505520.db2.gz AKHOIEIEOYOHFU-UHFFFAOYSA-N 0 0 442.675 -0.150 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N(CC(N)=O)CC(N)=O)c1 ZINC000736631789 1073506404 /nfs/dbraw/zinc/50/64/04/1073506404.db2.gz GWWNZACOXZPEPL-UHFFFAOYSA-N 0 0 440.931 -0.668 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(CC(N)=O)CC(N)=O)c(Br)cc1F ZINC000736631812 1073506365 /nfs/dbraw/zinc/50/63/65/1073506365.db2.gz HJMOMGFQDIICQY-UHFFFAOYSA-N 0 0 426.220 -0.664 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]cn2)CC1 ZINC000736632030 1073505657 /nfs/dbraw/zinc/50/56/57/1073505657.db2.gz ZMDBYFGRANLUJG-UHFFFAOYSA-N 0 0 448.548 -0.183 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(CC(N)=O)CC(N)=O)c(F)cc1Br ZINC000736632337 1073505442 /nfs/dbraw/zinc/50/54/42/1073505442.db2.gz PBRBOOSDCHYYGX-UHFFFAOYSA-N 0 0 426.220 -0.664 20 0 IBADRN CC(COC(=O)CN1C(=O)NC(C)(C)C1=O)COC(=O)CN1C(=O)NC(C)(C)C1=O ZINC000736730735 1073506064 /nfs/dbraw/zinc/50/60/64/1073506064.db2.gz RRQCXBHKVDKDCB-UHFFFAOYSA-N 0 0 426.426 -0.630 20 0 IBADRN CC(COC(=O)[C@@H]1CCCN1S(C)(=O)=O)COC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000736761293 1073506214 /nfs/dbraw/zinc/50/62/14/1073506214.db2.gz KYCAWZGCANPZBF-KBPBESRZSA-N 0 0 440.540 -0.443 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000736831335 1073506416 /nfs/dbraw/zinc/50/64/16/1073506416.db2.gz HUYUCRATKDGBNS-APWZRJJASA-N 0 0 439.557 -0.018 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000736831336 1073506385 /nfs/dbraw/zinc/50/63/85/1073506385.db2.gz HUYUCRATKDGBNS-LPHOPBHVSA-N 0 0 439.557 -0.018 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000736979586 1073506167 /nfs/dbraw/zinc/50/61/67/1073506167.db2.gz FTYBUFMRTSLELJ-UHFFFAOYSA-N 0 0 433.465 -0.145 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000737008442 1073506287 /nfs/dbraw/zinc/50/62/87/1073506287.db2.gz BFDFZPJPRLEORK-UHFFFAOYSA-N 0 0 442.542 -0.628 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)C(=O)Nc1ccc(CN2C(=O)CSC2=O)cc1 ZINC000737096565 1073506154 /nfs/dbraw/zinc/50/61/54/1073506154.db2.gz ISPRWCOTDZIQTE-UHFFFAOYSA-N 0 0 428.492 -0.124 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CN2C(=O)CSC2=O)cc1 ZINC000737098566 1073506139 /nfs/dbraw/zinc/50/61/39/1073506139.db2.gz YTOYLICKLGUTDW-UHFFFAOYSA-N 0 0 428.492 -0.124 20 0 IBADRN COC(=O)c1cc(Cl)cc(NC(=O)C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000737102467 1073506186 /nfs/dbraw/zinc/50/61/86/1073506186.db2.gz DZVALWUGJCMVAE-GFCCVEGCSA-N 0 0 445.881 -0.125 20 0 IBADRN COC(=O)c1cc(Cl)cc(NC(=O)C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000737102468 1073506236 /nfs/dbraw/zinc/50/62/36/1073506236.db2.gz DZVALWUGJCMVAE-LBPRGKRZSA-N 0 0 445.881 -0.125 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1F ZINC000737106784 1073506108 /nfs/dbraw/zinc/50/61/08/1073506108.db2.gz SBQIKFVFSACOSG-UHFFFAOYSA-N 0 0 434.424 -0.156 20 0 IBADRN O=C(Nc1ccccc1N1CCOC1=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000737107104 1073506343 /nfs/dbraw/zinc/50/63/43/1073506343.db2.gz WBFCGTXANPAOKW-UHFFFAOYSA-N 0 0 429.433 -0.469 20 0 IBADRN O=C(Nc1ccccc1N1CCOC1=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000737107109 1073506096 /nfs/dbraw/zinc/50/60/96/1073506096.db2.gz WHNOEKVLEDMNAH-UHFFFAOYSA-N 0 0 443.460 -0.125 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2)CCO1 ZINC000737107117 1073507083 /nfs/dbraw/zinc/50/70/83/1073507083.db2.gz WOAHIWFQQGYVMT-HNNXBMFYSA-N 0 0 443.504 -0.200 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2)CCO1 ZINC000737107119 1073507096 /nfs/dbraw/zinc/50/70/96/1073507096.db2.gz WOAHIWFQQGYVMT-OAHLLOKOSA-N 0 0 443.504 -0.200 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)CC1 ZINC000737118047 1073506808 /nfs/dbraw/zinc/50/68/08/1073506808.db2.gz PSZXWNHHUFAEAF-UHFFFAOYSA-N 0 0 440.503 -0.076 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCOC2=O)CC1 ZINC000737118068 1073506977 /nfs/dbraw/zinc/50/69/77/1073506977.db2.gz QBLAWSNBMXXHEA-UHFFFAOYSA-N 0 0 431.449 -0.223 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCOC2=O)CC1 ZINC000737118546 1073506894 /nfs/dbraw/zinc/50/68/94/1073506894.db2.gz MSDQEWHGDYCESW-UHFFFAOYSA-N 0 0 445.476 -0.415 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000737118959 1073506926 /nfs/dbraw/zinc/50/69/26/1073506926.db2.gz RTPOHONXORTAPS-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ncn(Cc3ccccc3)n2)CC1 ZINC000737119584 1073506963 /nfs/dbraw/zinc/50/69/63/1073506963.db2.gz LANWJNKZKRVHIF-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN CC(C)Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n(C)n1 ZINC000737119624 1073506825 /nfs/dbraw/zinc/50/68/25/1073506825.db2.gz MZWNCDGNMJVFSD-UHFFFAOYSA-N 0 0 434.497 -0.913 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000737119988 1073507007 /nfs/dbraw/zinc/50/70/07/1073507007.db2.gz SCQOGYFCAFYJHT-UHFFFAOYSA-N 0 0 447.539 -0.997 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cncn2)CC1 ZINC000737120217 1073506991 /nfs/dbraw/zinc/50/69/91/1073506991.db2.gz UZNLYUKMXBMMPL-UHFFFAOYSA-N 0 0 436.498 -0.668 20 0 IBADRN O=C(Nc1cccc(-n2cccc2)c1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000737120271 1073507056 /nfs/dbraw/zinc/50/70/56/1073507056.db2.gz XEYICVIEGLAHCP-UHFFFAOYSA-N 0 0 439.472 -0.055 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2N2CCOC2=O)CC1 ZINC000737121944 1073507023 /nfs/dbraw/zinc/50/70/23/1073507023.db2.gz NPISBCXZHAPCOZ-UHFFFAOYSA-N 0 0 425.467 -0.468 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc2)CCO1 ZINC000737123209 1073506850 /nfs/dbraw/zinc/50/68/50/1073506850.db2.gz XYBVBAYDDWCANR-HNNXBMFYSA-N 0 0 439.538 -0.199 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc2)CCO1 ZINC000737123211 1073506878 /nfs/dbraw/zinc/50/68/78/1073506878.db2.gz XYBVBAYDDWCANR-OAHLLOKOSA-N 0 0 439.538 -0.199 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(Cl)nc3)CC2)CC1 ZINC000737126869 1073506907 /nfs/dbraw/zinc/50/69/07/1073506907.db2.gz OCYCYNTYHAYQED-UHFFFAOYSA-N 0 0 430.918 -0.690 20 0 IBADRN CC(C)Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n(C)n1 ZINC000737129693 1073506324 /nfs/dbraw/zinc/50/63/24/1073506324.db2.gz ZNOISWPPEHHLMG-UHFFFAOYSA-N 0 0 442.542 -0.722 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(Cl)nc3)CC2)o1 ZINC000737133841 1073506078 /nfs/dbraw/zinc/50/60/78/1073506078.db2.gz FRFMCFCOOSFNFN-UHFFFAOYSA-N 0 0 441.853 -0.102 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccccc1N1CCOC1=O ZINC000737165969 1073506946 /nfs/dbraw/zinc/50/69/46/1073506946.db2.gz DUCOLPFQTAJORF-UHFFFAOYSA-N 0 0 426.451 -0.640 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(CN2C(=O)CSC2=O)cc1 ZINC000737166221 1073506765 /nfs/dbraw/zinc/50/67/65/1073506765.db2.gz ATHUBXNULBMJLW-UHFFFAOYSA-N 0 0 440.503 -0.028 20 0 IBADRN COC(=O)c1cc(Cl)cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000737167042 1073507071 /nfs/dbraw/zinc/50/70/71/1073507071.db2.gz IZXFWRHMPLEZQJ-UHFFFAOYSA-N 0 0 433.870 -0.157 20 0 IBADRN NC(=O)CCSc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000737167590 1073506783 /nfs/dbraw/zinc/50/67/83/1073506783.db2.gz JPVDCKJYVNDKHK-UHFFFAOYSA-N 0 0 444.535 -0.629 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(-n2cncn2)c(F)c1 ZINC000737167758 1073507469 /nfs/dbraw/zinc/50/74/69/1073507469.db2.gz MFTFGXARACHOPY-UHFFFAOYSA-N 0 0 426.430 -0.877 20 0 IBADRN Cc1c[nH]c(=O)n1-c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000737167789 1073507439 /nfs/dbraw/zinc/50/74/39/1073507439.db2.gz MSSFTYSTYRMUMI-UHFFFAOYSA-N 0 0 437.478 -0.397 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000737168340 1073507511 /nfs/dbraw/zinc/50/75/11/1073507511.db2.gz OAJAPHHLRVKRGL-UHFFFAOYSA-N 0 0 427.483 -0.455 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000737168349 1073507454 /nfs/dbraw/zinc/50/74/54/1073507454.db2.gz ODKXTCFHVGSIJQ-HNNXBMFYSA-N 0 0 440.522 -0.372 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000737168352 1073507552 /nfs/dbraw/zinc/50/75/52/1073507552.db2.gz ODKXTCFHVGSIJQ-OAHLLOKOSA-N 0 0 440.522 -0.372 20 0 IBADRN CC(C)(C)NC(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000737168505 1073507523 /nfs/dbraw/zinc/50/75/23/1073507523.db2.gz UYAKYNXGYASLBH-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN COCCn1ncc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)cc21 ZINC000737168988 1073507538 /nfs/dbraw/zinc/50/75/38/1073507538.db2.gz VAWKBVOILIRKED-UHFFFAOYSA-N 0 0 439.494 -0.601 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)N3CCCNC(=O)C3)ccc21)N1CCOCC1 ZINC000737175196 1073507629 /nfs/dbraw/zinc/50/76/29/1073507629.db2.gz VNSNECJYOPWIMO-UHFFFAOYSA-N 0 0 427.461 -0.213 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)C(=O)Nc1ccc(CN2C(=O)CSC2=O)cc1 ZINC000737191707 1073507614 /nfs/dbraw/zinc/50/76/14/1073507614.db2.gz UNGPAJXJEHRPCK-UHFFFAOYSA-N 0 0 433.446 -0.121 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CN2C(=O)CSC2=O)cc1 ZINC000737194823 1073507499 /nfs/dbraw/zinc/50/74/99/1073507499.db2.gz BNOHMFQBRJHPLX-UHFFFAOYSA-N 0 0 428.492 -0.124 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)N1CCCCC1 ZINC000737194840 1073507567 /nfs/dbraw/zinc/50/75/67/1073507567.db2.gz CHEAZHHRVWHVHS-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NS(=O)(=O)CC)c(OC)c1 ZINC000737195339 1073507398 /nfs/dbraw/zinc/50/73/98/1073507398.db2.gz FLCDBBLUNOIWFG-UHFFFAOYSA-N 0 0 436.512 -0.549 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@@H]2CCCO2)cc1 ZINC000737195986 1073507647 /nfs/dbraw/zinc/50/76/47/1073507647.db2.gz OASXAJMSCWHGBT-HNNXBMFYSA-N 0 0 441.510 -0.019 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NC(=O)NC[C@H]2CCCO2)cc1 ZINC000737195987 1073507423 /nfs/dbraw/zinc/50/74/23/1073507423.db2.gz OASXAJMSCWHGBT-OAHLLOKOSA-N 0 0 441.510 -0.019 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(=O)N2CCOCC2)ccc1C ZINC000737196076 1073507482 /nfs/dbraw/zinc/50/74/82/1073507482.db2.gz RBTFWSCHUFKVKV-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)C1 ZINC000737214152 1073507586 /nfs/dbraw/zinc/50/75/86/1073507586.db2.gz BHIFBSCKERNTQH-CYBMUJFWSA-N 0 0 440.503 -0.029 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)C1 ZINC000737214153 1073507690 /nfs/dbraw/zinc/50/76/90/1073507690.db2.gz BHIFBSCKERNTQH-ZDUSSCGKSA-N 0 0 440.503 -0.029 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccccc2N2CCOC2=O)CC1 ZINC000737215546 1073507665 /nfs/dbraw/zinc/50/76/65/1073507665.db2.gz ABRPMISBZSDEQW-UHFFFAOYSA-N 0 0 439.494 -0.725 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000737217992 1073507383 /nfs/dbraw/zinc/50/73/83/1073507383.db2.gz OQPLZXVJSMXEKK-UHFFFAOYSA-N 0 0 430.458 -0.756 20 0 IBADRN COC(=O)c1cc(Cl)cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000737219280 1073508174 /nfs/dbraw/zinc/50/81/74/1073508174.db2.gz WMUUOSWSHLYGNC-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN CN(CCCNC(=O)OC(C)(C)C)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000737219323 1073508275 /nfs/dbraw/zinc/50/82/75/1073508275.db2.gz UVEABBKPNIZFEH-UHFFFAOYSA-N 0 0 448.480 -0.056 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)NCCS(C)(=O)=O)c1 ZINC000737237422 1073508626 /nfs/dbraw/zinc/50/86/26/1073508626.db2.gz AQKSTATXEKNNAR-HNNXBMFYSA-N 0 0 439.490 -0.046 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)C(=O)NCCS(C)(=O)=O)c1 ZINC000737237424 1073508574 /nfs/dbraw/zinc/50/85/74/1073508574.db2.gz AQKSTATXEKNNAR-OAHLLOKOSA-N 0 0 439.490 -0.046 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1 ZINC000737237481 1073508778 /nfs/dbraw/zinc/50/87/78/1073508778.db2.gz BRAOZCHACIHJGH-UHFFFAOYSA-N 0 0 436.490 -0.401 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCNc3cnccn3)cc2)CC1 ZINC000737238034 1073508587 /nfs/dbraw/zinc/50/85/87/1073508587.db2.gz WCQREZBXMZCXNX-UHFFFAOYSA-N 0 0 441.492 -0.062 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCS(C)(=O)=O)cc2)CC1 ZINC000737238138 1073508244 /nfs/dbraw/zinc/50/82/44/1073508244.db2.gz YGXLVLQOWBZWTF-UHFFFAOYSA-N 0 0 426.495 -0.919 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)C(=O)NCCS(C)(=O)=O ZINC000737238289 1073508079 /nfs/dbraw/zinc/50/80/79/1073508079.db2.gz KMQPRXMORDQYQD-UHFFFAOYSA-N 0 0 447.535 -0.031 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000737238315 1073508347 /nfs/dbraw/zinc/50/83/47/1073508347.db2.gz LRLYMCSAADXJRX-UHFFFAOYSA-N 0 0 443.547 -0.089 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCS(C)(=O)=O ZINC000737238366 1073508292 /nfs/dbraw/zinc/50/82/92/1073508292.db2.gz NBBYFBJYEJZBBL-UHFFFAOYSA-N 0 0 431.536 -0.233 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(C)(=O)=O)cc1S(=O)(=O)N1CCCCC1 ZINC000737238444 1073508063 /nfs/dbraw/zinc/50/80/63/1073508063.db2.gz PAUWDOZNVANYIG-UHFFFAOYSA-N 0 0 447.535 -0.031 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCS(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000737238660 1073508309 /nfs/dbraw/zinc/50/83/09/1073508309.db2.gz QULYEVJYBBJWOZ-UHFFFAOYSA-N 0 0 447.535 -0.031 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(C)(=O)=O)cc2)C[C@H](C)O1 ZINC000737238923 1073508641 /nfs/dbraw/zinc/50/86/41/1073508641.db2.gz ZITKYYLTFVSHCV-BETUJISGSA-N 0 0 447.535 -0.416 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(C)(=O)=O)cc2)C[C@@H](C)O1 ZINC000737238924 1073508815 /nfs/dbraw/zinc/50/88/15/1073508815.db2.gz ZITKYYLTFVSHCV-CHWSQXEVSA-N 0 0 447.535 -0.416 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCS(C)(=O)=O)cc2)C[C@H](C)O1 ZINC000737238925 1073508690 /nfs/dbraw/zinc/50/86/90/1073508690.db2.gz ZITKYYLTFVSHCV-STQMWFEESA-N 0 0 447.535 -0.416 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)C(=O)NCCS(C)(=O)=O)c1 ZINC000737239332 1073508158 /nfs/dbraw/zinc/50/81/58/1073508158.db2.gz IIUIHNPHOGUEPJ-UHFFFAOYSA-N 0 0 448.567 -0.118 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NCCS(C)(=O)=O)c1 ZINC000737239829 1073508340 /nfs/dbraw/zinc/50/83/40/1073508340.db2.gz OJRDPZCNAVQNQD-UHFFFAOYSA-N 0 0 435.524 -0.175 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCS(C)(=O)=O)c2)CC1 ZINC000737239834 1073508205 /nfs/dbraw/zinc/50/82/05/1073508205.db2.gz OKNCTFCSKUXXBH-UHFFFAOYSA-N 0 0 446.551 -0.888 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)OC(C)(C)C ZINC000737242801 1073508139 /nfs/dbraw/zinc/50/81/39/1073508139.db2.gz VKYPOKVTGREZKY-UHFFFAOYSA-N 0 0 448.480 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C(=O)Nc2cnn(CC(N)=O)c2)CC1 ZINC000737247422 1073508098 /nfs/dbraw/zinc/50/80/98/1073508098.db2.gz MFVUBSDPPUOXHO-UHFFFAOYSA-N 0 0 437.501 -0.634 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCCS(C)(=O)=O)cc2)CC1 ZINC000737250920 1073508317 /nfs/dbraw/zinc/50/83/17/1073508317.db2.gz TYBVBSYJZZDANG-UHFFFAOYSA-N 0 0 440.522 -0.529 20 0 IBADRN COCCn1cc(NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000737251002 1073508042 /nfs/dbraw/zinc/50/80/42/1073508042.db2.gz FJYQWFVNCSACKH-UHFFFAOYSA-N 0 0 438.485 -0.337 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)Nc2cccc(C(N)=O)c2)CC1 ZINC000737252165 1073508561 /nfs/dbraw/zinc/50/85/61/1073508561.db2.gz QDQCRKJWKKQSFZ-UHFFFAOYSA-N 0 0 433.465 -0.081 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000737252404 1073508798 /nfs/dbraw/zinc/50/87/98/1073508798.db2.gz SDBJBRZJXCHVIM-UHFFFAOYSA-N 0 0 447.492 -0.152 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NCCCS(N)(=O)=O ZINC000737254078 1073508655 /nfs/dbraw/zinc/50/86/55/1073508655.db2.gz ISXHYSCBUOLMCF-UHFFFAOYSA-N 0 0 447.413 -0.278 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3nc(Cc4ccccc4)no3)cnc2n(C)c1=O ZINC000737260570 1073508735 /nfs/dbraw/zinc/50/87/35/1073508735.db2.gz KUZLFZNGFAZEOF-UHFFFAOYSA-N 0 0 449.427 -0.139 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCC3(O)CCOCC3)cc2)CC1 ZINC000737268400 1073510620 /nfs/dbraw/zinc/51/06/20/1073510620.db2.gz FNQLNZICXDLMAA-UHFFFAOYSA-N 0 0 434.493 -0.422 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCc3nc(C)no3)cc2)CC1 ZINC000737268435 1073510787 /nfs/dbraw/zinc/51/07/87/1073510787.db2.gz GSXQHROEMBTVBN-UHFFFAOYSA-N 0 0 430.465 -0.030 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3nnc(-c4ccccc4)[nH]3)cnc2n(C)c1=O ZINC000737269180 1073510643 /nfs/dbraw/zinc/51/06/43/1073510643.db2.gz QPIATBOOVXWZPD-UHFFFAOYSA-N 0 0 434.416 -0.328 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(-n2nccn2)nc1)N1CCN(c2ccccn2)CC1 ZINC000737269974 1073510839 /nfs/dbraw/zinc/51/08/39/1073510839.db2.gz HWNAJLFYHXAJBE-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)OC(C)(C)C ZINC000737272472 1073508755 /nfs/dbraw/zinc/50/87/55/1073508755.db2.gz LVAPPLXGHQERDJ-UHFFFAOYSA-N 0 0 434.453 -0.446 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(-n2nccn2)cc1)N1CCN(c2ncccn2)CC1 ZINC000737274710 1073509121 /nfs/dbraw/zinc/50/91/21/1073509121.db2.gz DFJHTBDXUNLPRO-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000737274808 1073509258 /nfs/dbraw/zinc/50/92/58/1073509258.db2.gz GMENBCPEPRIMHA-UHFFFAOYSA-N 0 0 438.488 -0.226 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC(=O)Nc1cccnc1 ZINC000737276225 1073509238 /nfs/dbraw/zinc/50/92/38/1073509238.db2.gz PNHTWPLEERAPBE-UHFFFAOYSA-N 0 0 431.474 -0.024 20 0 IBADRN CCN(CCNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)OC(C)(C)C ZINC000737276379 1073509112 /nfs/dbraw/zinc/50/91/12/1073509112.db2.gz FTBWYCOIXJCUOD-UHFFFAOYSA-N 0 0 448.480 -0.056 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000737283582 1073509205 /nfs/dbraw/zinc/50/92/05/1073509205.db2.gz CKDWPMCZFIOUGK-UHFFFAOYSA-N 0 0 440.522 -0.529 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000737283682 1073509131 /nfs/dbraw/zinc/50/91/31/1073509131.db2.gz DXEBNLXEKDXMKD-GFCCVEGCSA-N 0 0 435.524 -0.511 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000737283685 1073509224 /nfs/dbraw/zinc/50/92/24/1073509224.db2.gz DXEBNLXEKDXMKD-LBPRGKRZSA-N 0 0 435.524 -0.511 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000737284796 1073509168 /nfs/dbraw/zinc/50/91/68/1073509168.db2.gz SDSFHHZNHDTMOW-UHFFFAOYSA-N 0 0 446.551 -0.888 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(=O)N2CCN(C)CC2)ccc1OC ZINC000737286191 1073509094 /nfs/dbraw/zinc/50/90/94/1073509094.db2.gz BIFPNHDUSDAVMU-UHFFFAOYSA-N 0 0 440.522 -0.428 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000737286215 1073509282 /nfs/dbraw/zinc/50/92/82/1073509282.db2.gz BTBSVAGEEARAAW-UHFFFAOYSA-N 0 0 447.535 -0.495 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000737286432 1073509274 /nfs/dbraw/zinc/50/92/74/1073509274.db2.gz GPNQXJDOONOQNH-INIZCTEOSA-N 0 0 439.490 -0.810 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000737286433 1073509157 /nfs/dbraw/zinc/50/91/57/1073509157.db2.gz GPNQXJDOONOQNH-MRXNPFEDSA-N 0 0 439.490 -0.810 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NCCS(=O)(=O)CC)cc1S(=O)(=O)N(C)C ZINC000737286647 1073509182 /nfs/dbraw/zinc/50/91/82/1073509182.db2.gz RFYBNMJJEHJJEP-UHFFFAOYSA-N 0 0 435.524 -0.175 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000737286692 1073509249 /nfs/dbraw/zinc/50/92/49/1073509249.db2.gz TWLQLZVCRXNVKQ-UHFFFAOYSA-N 0 0 434.540 -0.508 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000737286698 1073509309 /nfs/dbraw/zinc/50/93/09/1073509309.db2.gz UFFIXNFPHRCDCH-UHFFFAOYSA-N 0 0 446.551 -0.888 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000737286788 1073509191 /nfs/dbraw/zinc/50/91/91/1073509191.db2.gz XPQNNKOGQKDIQK-UHFFFAOYSA-N 0 0 433.508 -0.375 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(CN2CCOC2=O)c1 ZINC000737287238 1073509723 /nfs/dbraw/zinc/50/97/23/1073509723.db2.gz ABWLYSWSJRSXOA-HNNXBMFYSA-N 0 0 431.449 -0.570 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(CN2CCOC2=O)c1 ZINC000737287239 1073509640 /nfs/dbraw/zinc/50/96/40/1073509640.db2.gz ABWLYSWSJRSXOA-OAHLLOKOSA-N 0 0 431.449 -0.570 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(N2CCCC2=O)ccc1F ZINC000737287288 1073509740 /nfs/dbraw/zinc/50/97/40/1073509740.db2.gz CRESEOOXQLKMLO-HNNXBMFYSA-N 0 0 433.440 -0.256 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(N2CCCC2=O)ccc1F ZINC000737287289 1073509651 /nfs/dbraw/zinc/50/96/51/1073509651.db2.gz CRESEOOXQLKMLO-OAHLLOKOSA-N 0 0 433.440 -0.256 20 0 IBADRN CCN(CC)C(=O)c1ccccc1NC(=O)C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC ZINC000737287336 1073509843 /nfs/dbraw/zinc/50/98/43/1073509843.db2.gz FLCJHKLGYBINOM-INIZCTEOSA-N 0 0 431.493 -0.040 20 0 IBADRN CCN(CC)C(=O)c1ccccc1NC(=O)C(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC ZINC000737287337 1073509792 /nfs/dbraw/zinc/50/97/92/1073509792.db2.gz FLCJHKLGYBINOM-MRXNPFEDSA-N 0 0 431.493 -0.040 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCO[C@H](C)C2)cc1 ZINC000737287743 1073509761 /nfs/dbraw/zinc/50/97/61/1073509761.db2.gz FXMJENWEPZGXOP-PBHICJAKSA-N 0 0 431.493 -0.297 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCO[C@H](C)C2)cc1 ZINC000737287744 1073509660 /nfs/dbraw/zinc/50/96/60/1073509660.db2.gz FXMJENWEPZGXOP-RHSMWYFYSA-N 0 0 431.493 -0.297 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000737287745 1073509705 /nfs/dbraw/zinc/50/97/05/1073509705.db2.gz FXMJENWEPZGXOP-WMLDXEAASA-N 0 0 431.493 -0.297 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000737287746 1073509717 /nfs/dbraw/zinc/50/97/17/1073509717.db2.gz FXMJENWEPZGXOP-YOEHRIQHSA-N 0 0 431.493 -0.297 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000737287930 1073509745 /nfs/dbraw/zinc/50/97/45/1073509745.db2.gz IRGUTMGALKXYLD-GFCCVEGCSA-N 0 0 446.432 -0.525 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000737287931 1073509756 /nfs/dbraw/zinc/50/97/56/1073509756.db2.gz IRGUTMGALKXYLD-LBPRGKRZSA-N 0 0 446.432 -0.525 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)c(F)c1 ZINC000737288475 1073509711 /nfs/dbraw/zinc/50/97/11/1073509711.db2.gz KZIBYFWPPCJKLF-INIZCTEOSA-N 0 0 435.456 -0.546 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)c(F)c1 ZINC000737288482 1073509678 /nfs/dbraw/zinc/50/96/78/1073509678.db2.gz KZIBYFWPPCJKLF-MRXNPFEDSA-N 0 0 435.456 -0.546 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(=O)n(Cc2ccccc2)c1 ZINC000737288587 1073509803 /nfs/dbraw/zinc/50/98/03/1073509803.db2.gz LMNOBVDRDKSLES-KRWDZBQOSA-N 0 0 439.472 -0.312 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(=O)n(Cc2ccccc2)c1 ZINC000737288591 1073509683 /nfs/dbraw/zinc/50/96/83/1073509683.db2.gz LMNOBVDRDKSLES-QGZVFWFLSA-N 0 0 439.472 -0.312 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000737289430 1073509625 /nfs/dbraw/zinc/50/96/25/1073509625.db2.gz JPMYVRDCAWGZLR-UHFFFAOYSA-N 0 0 435.868 -0.130 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(-n2cncn2)c(Cl)c1 ZINC000737290021 1073510323 /nfs/dbraw/zinc/51/03/23/1073510323.db2.gz RBMVUZUVWOHMJN-AWEZNQCLSA-N 0 0 433.856 -0.288 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(-n2cncn2)c(Cl)c1 ZINC000737290024 1073510371 /nfs/dbraw/zinc/51/03/71/1073510371.db2.gz RBMVUZUVWOHMJN-CQSZACIVSA-N 0 0 433.856 -0.288 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CN2CCOC2=O)cc1 ZINC000737290711 1073510242 /nfs/dbraw/zinc/51/02/42/1073510242.db2.gz WWQUWVRHOUKUCZ-HNNXBMFYSA-N 0 0 431.449 -0.570 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CN2CCOC2=O)cc1 ZINC000737290714 1073510269 /nfs/dbraw/zinc/51/02/69/1073510269.db2.gz WWQUWVRHOUKUCZ-OAHLLOKOSA-N 0 0 431.449 -0.570 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC000737290818 1073510279 /nfs/dbraw/zinc/51/02/79/1073510279.db2.gz XXTDMHPJPJFNPS-LLVKDONJSA-N 0 0 446.432 -0.525 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC000737290884 1073510360 /nfs/dbraw/zinc/51/03/60/1073510360.db2.gz XXTDMHPJPJFNPS-NSHDSACASA-N 0 0 446.432 -0.525 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(NC(=O)OCCOC)c1 ZINC000737290919 1073510333 /nfs/dbraw/zinc/51/03/33/1073510333.db2.gz YSGONBZTRHZNDM-HNNXBMFYSA-N 0 0 449.464 -0.327 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(NC(=O)OCCOC)c1 ZINC000737290923 1073510200 /nfs/dbraw/zinc/51/02/00/1073510200.db2.gz YSGONBZTRHZNDM-OAHLLOKOSA-N 0 0 449.464 -0.327 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CN(C)C(=O)OC)cc1 ZINC000737290936 1073510343 /nfs/dbraw/zinc/51/03/43/1073510343.db2.gz YWRMEYXVJOHHDH-HNNXBMFYSA-N 0 0 433.465 -0.324 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(CN(C)C(=O)OC)cc1 ZINC000737290939 1073510376 /nfs/dbraw/zinc/51/03/76/1073510376.db2.gz YWRMEYXVJOHHDH-OAHLLOKOSA-N 0 0 433.465 -0.324 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCCN1C(=O)CCC1=O ZINC000737291091 1073510128 /nfs/dbraw/zinc/51/01/28/1073510128.db2.gz TUIXLGQPHUQUTI-UHFFFAOYSA-N 0 0 436.490 -0.519 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC[S@@](C)=O ZINC000737293920 1073510257 /nfs/dbraw/zinc/51/02/57/1073510257.db2.gz QHRLVZXHFGTOOC-MUUNZHRXSA-N 0 0 447.535 -0.461 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC[S@](C)=O ZINC000737293921 1073510286 /nfs/dbraw/zinc/51/02/86/1073510286.db2.gz QHRLVZXHFGTOOC-NDEPHWFRSA-N 0 0 447.535 -0.461 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC[S@](C)=O)cc2)C[C@@H](C)O1 ZINC000737294837 1073510173 /nfs/dbraw/zinc/51/01/73/1073510173.db2.gz ZHBNUNQNFCFXCF-BOABCZMQSA-N 0 0 431.536 -0.082 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC[S@@](C)=O)cc2)C[C@H](C)O1 ZINC000737294841 1073510212 /nfs/dbraw/zinc/51/02/12/1073510212.db2.gz ZHBNUNQNFCFXCF-MAOKOLTRSA-N 0 0 431.536 -0.082 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC[S@](C)=O)cc2)C[C@H](C)O1 ZINC000737294843 1073510315 /nfs/dbraw/zinc/51/03/15/1073510315.db2.gz ZHBNUNQNFCFXCF-MYXHWSLCSA-N 0 0 431.536 -0.082 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC[S@@](C)=O)cc2)C[C@@H](C)O1 ZINC000737294845 1073510949 /nfs/dbraw/zinc/51/09/49/1073510949.db2.gz ZHBNUNQNFCFXCF-NTFYDNOESA-N 0 0 431.536 -0.082 20 0 IBADRN CCCOC(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000737294972 1073510350 /nfs/dbraw/zinc/51/03/50/1073510350.db2.gz OJXZRRPUMDFKLR-UHFFFAOYSA-N 0 0 440.478 -0.795 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC[S@@](C)=O)c2)CC1 ZINC000737296864 1073510723 /nfs/dbraw/zinc/51/07/23/1073510723.db2.gz NMXDTQQKLVECEV-HHHXNRCGSA-N 0 0 430.552 -0.554 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC[S@](C)=O)c2)CC1 ZINC000737296865 1073510937 /nfs/dbraw/zinc/51/09/37/1073510937.db2.gz NMXDTQQKLVECEV-MHZLTWQESA-N 0 0 430.552 -0.554 20 0 IBADRN CCCOC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000737297171 1073510916 /nfs/dbraw/zinc/51/09/16/1073510916.db2.gz RBVRKASMEJSLSZ-UHFFFAOYSA-N 0 0 441.510 -0.439 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC[S@@](C)=O ZINC000737297797 1073510927 /nfs/dbraw/zinc/51/09/27/1073510927.db2.gz XBTIHJJFJPRPPT-MUUNZHRXSA-N 0 0 446.551 -0.936 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCC[S@](C)=O ZINC000737297798 1073510738 /nfs/dbraw/zinc/51/07/38/1073510738.db2.gz XBTIHJJFJPRPPT-NDEPHWFRSA-N 0 0 446.551 -0.936 20 0 IBADRN CCc1cc(CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)[nH]n1 ZINC000737298142 1073510754 /nfs/dbraw/zinc/51/07/54/1073510754.db2.gz BOMGEHFUNBSWQI-UHFFFAOYSA-N 0 0 435.510 -0.296 20 0 IBADRN CCc1cc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)[nH]n1 ZINC000737298869 1073510866 /nfs/dbraw/zinc/51/08/66/1073510866.db2.gz LNZQYGFWLZPLRN-UHFFFAOYSA-N 0 0 434.478 -0.653 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)C(C)(C)C)cc1 ZINC000737305204 1073510685 /nfs/dbraw/zinc/51/06/85/1073510685.db2.gz IHTQXGSHTKHFSS-UHFFFAOYSA-N 0 0 427.479 -0.143 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCN3C(=O)CCC3=O)cc2)CC1 ZINC000737306850 1073510893 /nfs/dbraw/zinc/51/08/93/1073510893.db2.gz UWXKMVHXOIAFIT-UHFFFAOYSA-N 0 0 445.476 -0.815 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@H](O)C3CCOCC3)cc2)CC1 ZINC000737313379 1073511443 /nfs/dbraw/zinc/51/14/43/1073511443.db2.gz NVRGBGURYWIOPQ-IBGZPJMESA-N 0 0 448.520 -0.176 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](O)C3CCOCC3)cc2)CC1 ZINC000737313380 1073511343 /nfs/dbraw/zinc/51/13/43/1073511343.db2.gz NVRGBGURYWIOPQ-LJQANCHMSA-N 0 0 448.520 -0.176 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H](O)C1CCOCC1 ZINC000737314248 1073511537 /nfs/dbraw/zinc/51/15/37/1073511537.db2.gz JZDLYCLAMSXGBN-KRWDZBQOSA-N 0 0 425.507 -0.270 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H](O)C1CCOCC1 ZINC000737314252 1073511328 /nfs/dbraw/zinc/51/13/28/1073511328.db2.gz JZDLYCLAMSXGBN-QGZVFWFLSA-N 0 0 425.507 -0.270 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cccc(-n4cccn4)n3)CC2)ccc1=O ZINC000737329000 1073511521 /nfs/dbraw/zinc/51/15/21/1073511521.db2.gz BCBVFPYLKGWCLS-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCCn3nc4ccccn4c3=O)c2c(=O)n(C)c1=O ZINC000737336412 1073511804 /nfs/dbraw/zinc/51/18/04/1073511804.db2.gz AUWXOHNBOYNETE-UHFFFAOYSA-N 0 0 427.421 -0.733 20 0 IBADRN Cc1cc(C(=O)NCCNS(=O)(=O)Cc2ccccc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000737505478 1073548861 /nfs/dbraw/zinc/54/88/61/1073548861.db2.gz PZRFMMOTKKZEDH-UHFFFAOYSA-N 0 0 445.501 -0.210 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000737772917 1073511498 /nfs/dbraw/zinc/51/14/98/1073511498.db2.gz NAPWGRLBCHFPGP-UHFFFAOYSA-N 0 0 430.509 -0.385 20 0 IBADRN Cc1cc(C(=O)NCC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000737788153 1073511358 /nfs/dbraw/zinc/51/13/58/1073511358.db2.gz NYIRNHKKMZLEHQ-UHFFFAOYSA-N 0 0 437.416 -0.109 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnn(-c4ccc(F)cc4)n3)CC2)CC1 ZINC000737791772 1073511393 /nfs/dbraw/zinc/51/13/93/1073511393.db2.gz DHYGLLRGGRRJQO-UHFFFAOYSA-N 0 0 437.501 -0.344 20 0 IBADRN Cc1cc(C(=O)Nc2ccccc2C(=O)NCCC(N)=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000737835744 1073511951 /nfs/dbraw/zinc/51/19/51/1073511951.db2.gz KJPWZSAMSRORTM-UHFFFAOYSA-N 0 0 438.444 -0.202 20 0 IBADRN Cc1cc(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000737847712 1073512153 /nfs/dbraw/zinc/51/21/53/1073512153.db2.gz SCQHMOCPTQGKRX-UHFFFAOYSA-N 0 0 437.522 -0.821 20 0 IBADRN C[C@H](CNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)CN1CCOCC1 ZINC000737981142 1073511820 /nfs/dbraw/zinc/51/18/20/1073511820.db2.gz RTYPFIYCCAEUNN-LLVKDONJSA-N 0 0 427.303 -0.023 20 0 IBADRN C[C@@H](CNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)CN1CCOCC1 ZINC000737981145 1073512122 /nfs/dbraw/zinc/51/21/22/1073512122.db2.gz RTYPFIYCCAEUNN-NSHDSACASA-N 0 0 427.303 -0.023 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c(=O)n(C)c1=O ZINC000737999205 1073511772 /nfs/dbraw/zinc/51/17/72/1073511772.db2.gz LASRYISCFUYQCO-ARJAWSKDSA-N 0 0 441.492 -0.927 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)c(=O)n(C)c1=O ZINC000737999207 1073512235 /nfs/dbraw/zinc/51/22/35/1073512235.db2.gz LASRYISCFUYQCO-ONEGZZNKSA-N 0 0 441.492 -0.927 20 0 IBADRN O=C(CS[C@H]1CCS(=O)(=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000737999697 1073512184 /nfs/dbraw/zinc/51/21/84/1073512184.db2.gz NHYLKNHILIEDCC-HNNXBMFYSA-N 0 0 441.579 -0.118 20 0 IBADRN O=C(CS[C@@H]1CCS(=O)(=O)C1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000737999698 1073512008 /nfs/dbraw/zinc/51/20/08/1073512008.db2.gz NHYLKNHILIEDCC-OAHLLOKOSA-N 0 0 441.579 -0.118 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(Cn2cc(I)cn2)CC1 ZINC000738018511 1073512100 /nfs/dbraw/zinc/51/21/00/1073512100.db2.gz FYYGRRQDMNTUAH-UHFFFAOYSA-N 0 0 441.295 -0.360 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(Cn2cc(S(=O)(=O)N(C)C)cn2)CC1 ZINC000738020188 1073511965 /nfs/dbraw/zinc/51/19/65/1073511965.db2.gz DQASHUPTOUDEMA-UHFFFAOYSA-N 0 0 432.528 -0.298 20 0 IBADRN O=C(C(=O)N1CCN(Cn2cc(I)cn2)CC1)N1CCOCC1 ZINC000738021261 1073511861 /nfs/dbraw/zinc/51/18/61/1073511861.db2.gz HFAFPNGZPPHQAW-UHFFFAOYSA-N 0 0 433.250 -0.552 20 0 IBADRN COCCCn1cnn(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1=S ZINC000738024305 1073512046 /nfs/dbraw/zinc/51/20/46/1073512046.db2.gz UCJLCLUCCRSYPX-UHFFFAOYSA-N 0 0 433.604 -0.482 20 0 IBADRN CC[C@]1(C(C)C)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000738024434 1073511921 /nfs/dbraw/zinc/51/19/21/1073511921.db2.gz UUFKIWBATSKCJT-GOSISDBHSA-N 0 0 430.575 -0.590 20 0 IBADRN CC[C@@]1(C(C)C)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000738024439 1073511880 /nfs/dbraw/zinc/51/18/80/1073511880.db2.gz UUFKIWBATSKCJT-SFHVURJKSA-N 0 0 430.575 -0.590 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c1 ZINC000738026918 1073512873 /nfs/dbraw/zinc/51/28/73/1073512873.db2.gz AJGPXKYUFNDYCB-UHFFFAOYSA-N 0 0 428.540 -0.440 20 0 IBADRN CC[C@]1(C(C)C)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000738027410 1073512784 /nfs/dbraw/zinc/51/27/84/1073512784.db2.gz HWKAPGLYFGMHIS-GOSISDBHSA-N 0 0 441.510 -0.001 20 0 IBADRN CC[C@@]1(C(C)C)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000738027411 1073512681 /nfs/dbraw/zinc/51/26/81/1073512681.db2.gz HWKAPGLYFGMHIS-SFHVURJKSA-N 0 0 441.510 -0.001 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CN2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c1 ZINC000738028644 1073512827 /nfs/dbraw/zinc/51/28/27/1073512827.db2.gz YRODROAQZWSWSJ-UHFFFAOYSA-N 0 0 438.535 -0.142 20 0 IBADRN CC(C)[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000738028911 1073512631 /nfs/dbraw/zinc/51/26/31/1073512631.db2.gz KANSXVZFJYIPSL-BBRMVZONSA-N 0 0 436.556 -0.955 20 0 IBADRN CC(C)[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000738028922 1073512861 /nfs/dbraw/zinc/51/28/61/1073512861.db2.gz KANSXVZFJYIPSL-CJNGLKHVSA-N 0 0 436.556 -0.955 20 0 IBADRN CC(C)[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000738028941 1073441607 /nfs/dbraw/zinc/44/16/07/1073441607.db2.gz KANSXVZFJYIPSL-CZUORRHYSA-N 0 0 436.556 -0.955 20 0 IBADRN CC(C)[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000738028946 1073441454 /nfs/dbraw/zinc/44/14/54/1073441454.db2.gz KANSXVZFJYIPSL-XJKSGUPXSA-N 0 0 436.556 -0.955 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(Cn2cc(I)cn2)CC1 ZINC000738029783 1073512574 /nfs/dbraw/zinc/51/25/74/1073512574.db2.gz QTJWQIRBOCKRCD-UHFFFAOYSA-N 0 0 431.234 -0.262 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(Cn3cc(S(=O)(=O)N(C)C)cn3)CC2)cs1 ZINC000738037782 1073512665 /nfs/dbraw/zinc/51/26/65/1073512665.db2.gz AGRSYMRHVZONGW-UHFFFAOYSA-N 0 0 434.569 -0.138 20 0 IBADRN CCCCn1c(N)c(N(CCOC)Cn2cc(S(=O)(=O)N(C)C)cn2)c(=O)[nH]c1=O ZINC000738042314 1073512523 /nfs/dbraw/zinc/51/25/23/1073512523.db2.gz RBIDIYHZTITZNW-UHFFFAOYSA-N 0 0 443.530 -0.112 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(Cn2cc(S(=O)(=O)N(C)C)cn2)CC1 ZINC000738042654 1073512767 /nfs/dbraw/zinc/51/27/67/1073512767.db2.gz VLTOARUXFSDYTN-UHFFFAOYSA-N 0 0 442.567 -0.179 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(Cn2nc(C)n(CC(=O)N(C)C)c2=S)CC1 ZINC000738161786 1073512703 /nfs/dbraw/zinc/51/27/03/1073512703.db2.gz VLWOJDJCRIGGMA-HNNXBMFYSA-N 0 0 441.602 -0.073 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(Cn2nc(C)n(CC(=O)N(C)C)c2=S)CC1 ZINC000738161789 1073512459 /nfs/dbraw/zinc/51/24/59/1073512459.db2.gz VLWOJDJCRIGGMA-OAHLLOKOSA-N 0 0 441.602 -0.073 20 0 IBADRN CC(C)CN1C(=O)C(=O)N(CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1=O ZINC000738287950 1073512795 /nfs/dbraw/zinc/51/27/95/1073512795.db2.gz AHVQHDWPZGIIJX-UHFFFAOYSA-N 0 0 431.497 -0.161 20 0 IBADRN O=C1NC2(CCOCC2)C(=O)N1CN1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000738288728 1073512730 /nfs/dbraw/zinc/51/27/30/1073512730.db2.gz KHMJBYGLKRYFMA-UHFFFAOYSA-N 0 0 431.497 -0.506 20 0 IBADRN CN(C)S(=O)(=O)c1cnn(CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)c1 ZINC000738289723 1073512542 /nfs/dbraw/zinc/51/25/42/1073512542.db2.gz QUHJLUAZIOMARH-UHFFFAOYSA-N 0 0 436.542 -0.460 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)Cc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000738327211 1073512596 /nfs/dbraw/zinc/51/25/96/1073512596.db2.gz XELUCZAPLYDYCN-UHFFFAOYSA-N 0 0 435.529 -0.055 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000738329283 1073512846 /nfs/dbraw/zinc/51/28/46/1073512846.db2.gz OTBCEQWOUCTDCJ-UHFFFAOYSA-N 0 0 438.529 -0.667 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC000738342449 1073513129 /nfs/dbraw/zinc/51/31/29/1073513129.db2.gz CXEXMHOKKGKCDV-UHFFFAOYSA-N 0 0 447.540 -0.264 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)NC(=O)N[C@H]1C ZINC000738343981 1073513503 /nfs/dbraw/zinc/51/35/03/1073513503.db2.gz UZGMBITZFUJPRP-HNNXBMFYSA-N 0 0 445.524 -0.046 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)NC(=O)N[C@@H]1C ZINC000738343982 1073513571 /nfs/dbraw/zinc/51/35/71/1073513571.db2.gz UZGMBITZFUJPRP-OAHLLOKOSA-N 0 0 445.524 -0.046 20 0 IBADRN O=C(CN1CCN(c2cc(N3CCOCC3)ncn2)CC1)N1CCC2(CC1)OCCO2 ZINC000738344065 1073513476 /nfs/dbraw/zinc/51/34/76/1073513476.db2.gz WTSHGUHPFWSTMU-UHFFFAOYSA-N 0 0 432.525 -0.199 20 0 IBADRN O=S(=O)(c1cccnc1Cl)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000738360495 1073513558 /nfs/dbraw/zinc/51/35/58/1073513558.db2.gz JADUCJKSOZXHCL-LLVKDONJSA-N 0 0 443.956 -0.442 20 0 IBADRN O=S(=O)(c1cccnc1Cl)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000738360499 1073513312 /nfs/dbraw/zinc/51/33/12/1073513312.db2.gz JADUCJKSOZXHCL-NSHDSACASA-N 0 0 443.956 -0.442 20 0 IBADRN O=C(NCCNc1nccc(C(F)(F)F)n1)NCCS(=O)(=O)N1CCOCC1 ZINC000738468983 1073513155 /nfs/dbraw/zinc/51/31/55/1073513155.db2.gz RGWVAFHEMNYSBS-UHFFFAOYSA-N 0 0 426.421 -0.132 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000738472512 1073513411 /nfs/dbraw/zinc/51/34/11/1073513411.db2.gz LASKKNGTNWQYLH-UHFFFAOYSA-N 0 0 427.531 -0.916 20 0 IBADRN CS(=O)(=O)c1cccc(OCCOC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)c1 ZINC000738569086 1073513590 /nfs/dbraw/zinc/51/35/90/1073513590.db2.gz QTZUQWKPBINAIT-UHFFFAOYSA-N 0 0 427.460 -0.018 20 0 IBADRN Cn1c2ncn(CC(=O)OCCOc3cccc(S(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000738578555 1073513382 /nfs/dbraw/zinc/51/33/82/1073513382.db2.gz FLKFIKUMUYCZKQ-UHFFFAOYSA-N 0 0 436.446 -0.541 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCOc1cccc(S(C)(=O)=O)c1)c(=O)n2C ZINC000738595182 1073513207 /nfs/dbraw/zinc/51/32/07/1073513207.db2.gz NDQRCPAJOWBYOI-UHFFFAOYSA-N 0 0 436.446 -0.541 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccnc(-n3cncn3)c2)CC1 ZINC000738624267 1073513531 /nfs/dbraw/zinc/51/35/31/1073513531.db2.gz WQAWCYCSIXNXOC-UHFFFAOYSA-N 0 0 448.447 -0.155 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(N3CCNC3=O)ccc2F)CC1 ZINC000738690767 1073514090 /nfs/dbraw/zinc/51/40/90/1073514090.db2.gz PPUFWNNBMGDTLZ-UHFFFAOYSA-N 0 0 435.456 -0.256 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cc(N2CCNC2=O)ccc1F)S(C)(=O)=O ZINC000738697944 1073513906 /nfs/dbraw/zinc/51/39/06/1073513906.db2.gz AICWKBKXVXPYQB-UHFFFAOYSA-N 0 0 430.458 -0.090 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cc(N4CCNC4=O)ccc3F)c2c(=O)n(C)c1=O ZINC000738698503 1073514055 /nfs/dbraw/zinc/51/40/55/1073514055.db2.gz GNXZJEQVFHSVJC-UHFFFAOYSA-N 0 0 430.396 -0.041 20 0 IBADRN Cc1cc(C(=O)O[C@H](C)C(=O)NC(=O)NCC(F)(F)F)nc2c1c(=O)n(C)c(=O)n2C ZINC000738853782 1073513963 /nfs/dbraw/zinc/51/39/63/1073513963.db2.gz AUJJEBUIHQEKSX-MRVPVSSYSA-N 0 0 445.354 -0.126 20 0 IBADRN Cc1cc(C(=O)O[C@@H](C)C(=O)NC(=O)NCC(F)(F)F)nc2c1c(=O)n(C)c(=O)n2C ZINC000738853786 1073514077 /nfs/dbraw/zinc/51/40/77/1073514077.db2.gz AUJJEBUIHQEKSX-QMMMGPOBSA-N 0 0 445.354 -0.126 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)nc2c1c(=O)n(C)c(=O)n2C ZINC000738853856 1073513941 /nfs/dbraw/zinc/51/39/41/1073513941.db2.gz CSXBXVJSOWHZJY-UHFFFAOYSA-N 0 0 431.327 -0.514 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NC(=O)NCc2ccco2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738853874 1073513980 /nfs/dbraw/zinc/51/39/80/1073513980.db2.gz DHWNCZAVNKFZIV-UHFFFAOYSA-N 0 0 429.389 -0.284 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NCCCN2CCCC2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000738854485 1073514030 /nfs/dbraw/zinc/51/40/30/1073514030.db2.gz GDCBIVMJZQVYLO-UHFFFAOYSA-N 0 0 431.449 -0.774 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000738855659 1073514012 /nfs/dbraw/zinc/51/40/12/1073514012.db2.gz OFVDYEIPCIMPEI-UHFFFAOYSA-N 0 0 432.433 -0.491 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000738856098 1073514124 /nfs/dbraw/zinc/51/41/24/1073514124.db2.gz QMADMIUURBMFED-UHFFFAOYSA-N 0 0 446.460 -0.101 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NC2CCC3(CC2)OCCO3)nc2c1c(=O)n(C)c(=O)n2C ZINC000738856234 1073514113 /nfs/dbraw/zinc/51/41/13/1073514113.db2.gz RZKLSDRARUPOPU-UHFFFAOYSA-N 0 0 446.460 -0.101 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N[C@H](C)C(=O)N2CCCCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738856497 1073513893 /nfs/dbraw/zinc/51/38/93/1073513893.db2.gz UYAVQXHTWNZDQY-CYBMUJFWSA-N 0 0 445.476 -0.385 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N[C@@H](C)C(=O)N2CCCCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738856500 1073513872 /nfs/dbraw/zinc/51/38/72/1073513872.db2.gz UYAVQXHTWNZDQY-ZDUSSCGKSA-N 0 0 445.476 -0.385 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000738857931 1073514138 /nfs/dbraw/zinc/51/41/38/1073514138.db2.gz AREHNUDZBOAGAW-GFCCVEGCSA-N 0 0 431.449 -0.823 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000738857932 1073513920 /nfs/dbraw/zinc/51/39/20/1073513920.db2.gz AREHNUDZBOAGAW-LBPRGKRZSA-N 0 0 431.449 -0.823 20 0 IBADRN Cc1cc(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738858908 1073514429 /nfs/dbraw/zinc/51/44/29/1073514429.db2.gz DZAMJKNXGJWPJB-NEPJUHHUSA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1cc(C(=O)O[C@H](C)C(=O)Nc2ncnc3[nH]cnc32)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859205 1073514554 /nfs/dbraw/zinc/51/45/54/1073514554.db2.gz GBDVJWWCFFMZNU-SECBINFHSA-N 0 0 438.404 -0.209 20 0 IBADRN Cc1cc(C(=O)O[C@@H](C)C(=O)Nc2ncnc3[nH]cnc32)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859209 1073514458 /nfs/dbraw/zinc/51/44/58/1073514458.db2.gz GBDVJWWCFFMZNU-VIFPVBQESA-N 0 0 438.404 -0.209 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000738859220 1073514417 /nfs/dbraw/zinc/51/44/17/1073514417.db2.gz GDJXUXZYFJMSBV-GFCCVEGCSA-N 0 0 446.460 -0.102 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000738859223 1073514468 /nfs/dbraw/zinc/51/44/68/1073514468.db2.gz GDJXUXZYFJMSBV-LBPRGKRZSA-N 0 0 446.460 -0.102 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000738859250 1073514604 /nfs/dbraw/zinc/51/46/04/1073514604.db2.gz GKIVMJGXOFVPGW-UHFFFAOYSA-N 0 0 447.448 -0.602 20 0 IBADRN Cc1cc(C(=O)OCC(=O)Nc2nnc([C@H]3CCCO3)o2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859357 1073514529 /nfs/dbraw/zinc/51/45/29/1073514529.db2.gz HRSXBFWVPXCAEE-LLVKDONJSA-N 0 0 444.404 -0.029 20 0 IBADRN Cc1cc(C(=O)OCC(=O)Nc2nnc([C@@H]3CCCO3)o2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859360 1073514563 /nfs/dbraw/zinc/51/45/63/1073514563.db2.gz HRSXBFWVPXCAEE-NSHDSACASA-N 0 0 444.404 -0.029 20 0 IBADRN Cc1cc(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859519 1073514478 /nfs/dbraw/zinc/51/44/78/1073514478.db2.gz DZAMJKNXGJWPJB-NWDGAFQWSA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1cc(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859521 1073514438 /nfs/dbraw/zinc/51/44/38/1073514438.db2.gz DZAMJKNXGJWPJB-RYUDHWBXSA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1cc(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859524 1073514516 /nfs/dbraw/zinc/51/45/16/1073514516.db2.gz DZAMJKNXGJWPJB-VXGBXAGGSA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859620 1073514580 /nfs/dbraw/zinc/51/45/80/1073514580.db2.gz GQYLHOJELMAKOI-UHFFFAOYSA-N 0 0 443.460 -0.822 20 0 IBADRN Cc1cc(C(=O)OCC(=O)c2ccc(S(N)(=O)=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738859663 1073514404 /nfs/dbraw/zinc/51/44/04/1073514404.db2.gz GYYSHJCKWYQSHN-UHFFFAOYSA-N 0 0 446.441 -0.372 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)nc2c1c(=O)n(C)c(=O)n2C ZINC000738860094 1073514450 /nfs/dbraw/zinc/51/44/50/1073514450.db2.gz JSPCASHLVFUHBR-UHFFFAOYSA-N 0 0 432.433 -0.537 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)COC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000738860379 1073514627 /nfs/dbraw/zinc/51/46/27/1073514627.db2.gz KZFKNSBYMYVYAC-GFCCVEGCSA-N 0 0 434.449 -0.199 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000738860380 1073514490 /nfs/dbraw/zinc/51/44/90/1073514490.db2.gz KZFKNSBYMYVYAC-LBPRGKRZSA-N 0 0 434.449 -0.199 20 0 IBADRN Cc1cc(C(=O)OCCOc2ccc(S(N)(=O)=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738860731 1073514544 /nfs/dbraw/zinc/51/45/44/1073514544.db2.gz OBSKVJZKVSBNNA-UHFFFAOYSA-N 0 0 448.457 -0.176 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)COC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1)C(=O)OC ZINC000738860763 1073514504 /nfs/dbraw/zinc/51/45/04/1073514504.db2.gz OBHJLQPAZAWNMH-BMIGLBTASA-N 0 0 434.449 -0.199 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1)C(=O)OC ZINC000738860765 1073514614 /nfs/dbraw/zinc/51/46/14/1073514614.db2.gz OBHJLQPAZAWNMH-BONVTDFDSA-N 0 0 434.449 -0.199 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)COC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1)C(=O)OC ZINC000738860766 1073514942 /nfs/dbraw/zinc/51/49/42/1073514942.db2.gz OBHJLQPAZAWNMH-MEBBXXQBSA-N 0 0 434.449 -0.199 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)COC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1)C(=O)OC ZINC000738860768 1073515161 /nfs/dbraw/zinc/51/51/61/1073515161.db2.gz OBHJLQPAZAWNMH-ZUZCIYMTSA-N 0 0 434.449 -0.199 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000738860815 1073515205 /nfs/dbraw/zinc/51/52/05/1073515205.db2.gz PUOYEKRGEZDAAB-CYBMUJFWSA-N 0 0 431.449 -0.775 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000738860817 1073515078 /nfs/dbraw/zinc/51/50/78/1073515078.db2.gz PUOYEKRGEZDAAB-ZDUSSCGKSA-N 0 0 431.449 -0.775 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000738861275 1073514592 /nfs/dbraw/zinc/51/45/92/1073514592.db2.gz UUILWWSOAARMOJ-UHFFFAOYSA-N 0 0 433.465 -0.529 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000738861842 1073514930 /nfs/dbraw/zinc/51/49/30/1073514930.db2.gz URWSXOHNBNFGFK-CYBMUJFWSA-N 0 0 446.460 -0.101 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000738861844 1073515089 /nfs/dbraw/zinc/51/50/89/1073515089.db2.gz URWSXOHNBNFGFK-ZDUSSCGKSA-N 0 0 446.460 -0.101 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)NC(=O)N[C@H]1C ZINC000738861859 1073514907 /nfs/dbraw/zinc/51/49/07/1073514907.db2.gz VDJOCKOYDXTJSH-JTQLQIEISA-N 0 0 445.432 -0.384 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)NC(=O)N[C@@H]1C ZINC000738861862 1073514993 /nfs/dbraw/zinc/51/49/93/1073514993.db2.gz VDJOCKOYDXTJSH-SNVBAGLBSA-N 0 0 445.432 -0.384 20 0 IBADRN Cc1cc(C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738861899 1073515188 /nfs/dbraw/zinc/51/51/88/1073515188.db2.gz YRBPHIOFTSSULI-LLVKDONJSA-N 0 0 431.449 -0.777 20 0 IBADRN Cc1cc(C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000738861901 1073515175 /nfs/dbraw/zinc/51/51/75/1073515175.db2.gz YRBPHIOFTSSULI-NSHDSACASA-N 0 0 431.449 -0.777 20 0 IBADRN COCC[N@@H+]1CC[C@@H](NC(=O)NCCN=c2ncnc3n(C)[n-]c(Br)c2-3)C1 ZINC000739003728 1073515050 /nfs/dbraw/zinc/51/50/50/1073515050.db2.gz HPAGLORMQNIMJT-LLVKDONJSA-N 0 0 441.334 -0.069 20 0 IBADRN COCC[N@@H+]1CC[C@H](NC(=O)NCCN=c2ncnc3n(C)[n-]c(Br)c2-3)C1 ZINC000739003734 1073515012 /nfs/dbraw/zinc/51/50/12/1073515012.db2.gz HPAGLORMQNIMJT-NSHDSACASA-N 0 0 441.334 -0.069 20 0 IBADRN C[C@H]1COCCN1c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000739070525 1073515132 /nfs/dbraw/zinc/51/51/32/1073515132.db2.gz WKEJZVDFALYPKK-HNNXBMFYSA-N 0 0 443.504 -0.200 20 0 IBADRN C[C@@H]1COCCN1c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000739070528 1073515213 /nfs/dbraw/zinc/51/52/13/1073515213.db2.gz WKEJZVDFALYPKK-OAHLLOKOSA-N 0 0 443.504 -0.200 20 0 IBADRN C[C@H]1COCCN1c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000739072716 1073515149 /nfs/dbraw/zinc/51/51/49/1073515149.db2.gz UWCSHDZWQUKJMY-HNNXBMFYSA-N 0 0 439.538 -0.199 20 0 IBADRN C[C@@H]1COCCN1c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000739072718 1073514958 /nfs/dbraw/zinc/51/49/58/1073514958.db2.gz UWCSHDZWQUKJMY-OAHLLOKOSA-N 0 0 439.538 -0.199 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(C)CCS(C)(=O)=O ZINC000739081075 1073515616 /nfs/dbraw/zinc/51/56/16/1073515616.db2.gz OVHVASKTVZDMEE-UHFFFAOYSA-N 0 0 435.524 -0.223 20 0 IBADRN CCOC(=O)Cc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000739081726 1073515745 /nfs/dbraw/zinc/51/57/45/1073515745.db2.gz RIUOOOCUDJDGLP-UHFFFAOYSA-N 0 0 427.479 -0.491 20 0 IBADRN C[C@H]1COCCN1c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000739082209 1073515557 /nfs/dbraw/zinc/51/55/57/1073515557.db2.gz UFILUGFOHQXVSN-HNNXBMFYSA-N 0 0 440.522 -0.372 20 0 IBADRN C[C@@H]1COCCN1c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000739082211 1073515732 /nfs/dbraw/zinc/51/57/32/1073515732.db2.gz UFILUGFOHQXVSN-OAHLLOKOSA-N 0 0 440.522 -0.372 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOC[C@H]2C)cc1 ZINC000739085643 1073515527 /nfs/dbraw/zinc/51/55/27/1073515527.db2.gz HWJMTYJMARYGNK-PBHICJAKSA-N 0 0 431.493 -0.297 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOC[C@H]2C)cc1 ZINC000739085649 1073515506 /nfs/dbraw/zinc/51/55/06/1073515506.db2.gz HWJMTYJMARYGNK-RHSMWYFYSA-N 0 0 431.493 -0.297 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOC[C@@H]2C)cc1 ZINC000739085653 1073515666 /nfs/dbraw/zinc/51/56/66/1073515666.db2.gz HWJMTYJMARYGNK-WMLDXEAASA-N 0 0 431.493 -0.297 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOC[C@@H]2C)cc1 ZINC000739085661 1073515541 /nfs/dbraw/zinc/51/55/41/1073515541.db2.gz HWJMTYJMARYGNK-YOEHRIQHSA-N 0 0 431.493 -0.297 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCS(=O)(=O)CC ZINC000739091268 1073515590 /nfs/dbraw/zinc/51/55/90/1073515590.db2.gz VKMVONBKAJXJMI-UHFFFAOYSA-N 0 0 435.524 -0.175 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CCC(=O)N(C)C3)cc2)CC1 ZINC000739119224 1073515575 /nfs/dbraw/zinc/51/55/75/1073515575.db2.gz SGZGAVCZZMNEPP-INIZCTEOSA-N 0 0 431.493 -0.343 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CCC(=O)N(C)C3)cc2)CC1 ZINC000739119226 1073515469 /nfs/dbraw/zinc/51/54/69/1073515469.db2.gz SGZGAVCZZMNEPP-MRXNPFEDSA-N 0 0 431.493 -0.343 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21)CN1CCOCC1 ZINC000739127941 1073515678 /nfs/dbraw/zinc/51/56/78/1073515678.db2.gz VMUZXIQZGGDUQH-HNNXBMFYSA-N 0 0 438.550 -0.090 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21)CN1CCOCC1 ZINC000739127942 1073516032 /nfs/dbraw/zinc/51/60/32/1073516032.db2.gz VMUZXIQZGGDUQH-OAHLLOKOSA-N 0 0 438.550 -0.090 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](C)CN2CCOCC2)cc1 ZINC000739128338 1073516268 /nfs/dbraw/zinc/51/62/68/1073516268.db2.gz ZHDCAAMKFDAMOC-AWEZNQCLSA-N 0 0 428.511 -0.109 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H](C)CN2CCOCC2)cc1 ZINC000739128340 1073516241 /nfs/dbraw/zinc/51/62/41/1073516241.db2.gz ZHDCAAMKFDAMOC-CQSZACIVSA-N 0 0 428.511 -0.109 20 0 IBADRN Cc1cc(C(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000739188482 1073515630 /nfs/dbraw/zinc/51/56/30/1073515630.db2.gz ADGGFZOZHCXVRB-UHFFFAOYSA-N 0 0 425.401 -0.625 20 0 IBADRN Cc1cc(C(=O)Oc2cccc(C(=O)NCC(N)=O)c2)nc2c1c(=O)n(C)c(=O)n2C ZINC000739194484 1073516358 /nfs/dbraw/zinc/51/63/58/1073516358.db2.gz YRIWGNVSSDJNAL-UHFFFAOYSA-N 0 0 425.401 -0.625 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCn3cnc4ccsc4c3=O)c2c(=O)n(C)c1=O ZINC000739353709 1073515995 /nfs/dbraw/zinc/51/59/95/1073515995.db2.gz CHQMBTXLGWGNIE-UHFFFAOYSA-N 0 0 430.446 -0.161 20 0 IBADRN NC(=O)COc1ccc(OC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)cc1 ZINC000739636538 1073516112 /nfs/dbraw/zinc/51/61/12/1073516112.db2.gz ATGZGBCIKSKESR-UHFFFAOYSA-N 0 0 433.442 -0.110 20 0 IBADRN NC(=O)COc1ccc(OC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cc1 ZINC000739643272 1073516322 /nfs/dbraw/zinc/51/63/22/1073516322.db2.gz APTXQQRRXNOMTE-UHFFFAOYSA-N 0 0 433.442 -0.110 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)n(C)c1 ZINC000739696235 1073516057 /nfs/dbraw/zinc/51/60/57/1073516057.db2.gz DVGINIFXLXRRCN-CYBMUJFWSA-N 0 0 435.572 -0.299 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)n(C)c1 ZINC000739696237 1073516078 /nfs/dbraw/zinc/51/60/78/1073516078.db2.gz DVGINIFXLXRRCN-ZDUSSCGKSA-N 0 0 435.572 -0.299 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000739697372 1073516155 /nfs/dbraw/zinc/51/61/55/1073516155.db2.gz AAHDKVXFTPEBBH-LLVKDONJSA-N 0 0 434.515 -0.088 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000739697377 1073516296 /nfs/dbraw/zinc/51/62/96/1073516296.db2.gz AAHDKVXFTPEBBH-NSHDSACASA-N 0 0 434.515 -0.088 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCC[C@H](CNS(N)(=O)=O)C3)cc2S1(=O)=O ZINC000739697478 1073516227 /nfs/dbraw/zinc/51/62/27/1073516227.db2.gz CGLAMSSIZXGXLD-GFCCVEGCSA-N 0 0 444.535 -0.115 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCC[C@@H](CNS(N)(=O)=O)C3)cc2S1(=O)=O ZINC000739697482 1073516093 /nfs/dbraw/zinc/51/60/93/1073516093.db2.gz CGLAMSSIZXGXLD-LBPRGKRZSA-N 0 0 444.535 -0.115 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)=NN1c1ccc(F)cc1 ZINC000739697542 1073516840 /nfs/dbraw/zinc/51/68/40/1073516840.db2.gz DOWIAUVNMNFYNP-ABAIWWIYSA-N 0 0 426.474 -0.723 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)=NN1c1ccc(F)cc1 ZINC000739697552 1073516704 /nfs/dbraw/zinc/51/67/04/1073516704.db2.gz DOWIAUVNMNFYNP-IAQYHMDHSA-N 0 0 426.474 -0.723 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)=NN1c1ccc(F)cc1 ZINC000739697556 1073516868 /nfs/dbraw/zinc/51/68/68/1073516868.db2.gz DOWIAUVNMNFYNP-NHYWBVRUSA-N 0 0 426.474 -0.723 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)=NN1c1ccc(F)cc1 ZINC000739697562 1073516688 /nfs/dbraw/zinc/51/66/88/1073516688.db2.gz DOWIAUVNMNFYNP-XHDPSFHLSA-N 0 0 426.474 -0.723 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)C1 ZINC000739697579 1073516608 /nfs/dbraw/zinc/51/66/08/1073516608.db2.gz DTZFVJAALFQDCB-LLVKDONJSA-N 0 0 442.519 -0.361 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)C1 ZINC000739697593 1073516716 /nfs/dbraw/zinc/51/67/16/1073516716.db2.gz DTZFVJAALFQDCB-NSHDSACASA-N 0 0 442.519 -0.361 20 0 IBADRN CN(CC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000739697611 1073516662 /nfs/dbraw/zinc/51/66/62/1073516662.db2.gz FJLRWAHHABVIEY-GFCCVEGCSA-N 0 0 429.524 -0.901 20 0 IBADRN CN(CC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000739697821 1073516789 /nfs/dbraw/zinc/51/67/89/1073516789.db2.gz FJLRWAHHABVIEY-LBPRGKRZSA-N 0 0 429.524 -0.901 20 0 IBADRN O=C(c1ccnc(-n2cccn2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000739698954 1073516746 /nfs/dbraw/zinc/51/67/46/1073516746.db2.gz AMXPGBMAMADSSJ-HNNXBMFYSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1ccnc(-n2cccn2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000739698955 1073516731 /nfs/dbraw/zinc/51/67/31/1073516731.db2.gz AMXPGBMAMADSSJ-OAHLLOKOSA-N 0 0 439.519 -0.458 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC[C@H](CNS(N)(=O)=O)C3)C2=O)cc1 ZINC000739699108 1073516772 /nfs/dbraw/zinc/51/67/72/1073516772.db2.gz PIRCTLZRSXMWTJ-AUUYWEPGSA-N 0 0 437.522 -0.206 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC[C@@H](CNS(N)(=O)=O)C3)C2=O)cc1 ZINC000739699109 1073516853 /nfs/dbraw/zinc/51/68/53/1073516853.db2.gz PIRCTLZRSXMWTJ-IFXJQAMLSA-N 0 0 437.522 -0.206 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC[C@H](CNS(N)(=O)=O)C3)C2=O)cc1 ZINC000739699110 1073516675 /nfs/dbraw/zinc/51/66/75/1073516675.db2.gz PIRCTLZRSXMWTJ-KUHUBIRLSA-N 0 0 437.522 -0.206 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC[C@@H](CNS(N)(=O)=O)C3)C2=O)cc1 ZINC000739699111 1073516594 /nfs/dbraw/zinc/51/65/94/1073516594.db2.gz PIRCTLZRSXMWTJ-LIRRHRJNSA-N 0 0 437.522 -0.206 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCC[C@H](CNS(N)(=O)=O)C3)cc2S1(=O)=O ZINC000739699577 1073517212 /nfs/dbraw/zinc/51/72/12/1073517212.db2.gz UNXUYKSKGXCUBK-LLVKDONJSA-N 0 0 430.508 -0.504 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCC[C@@H](CNS(N)(=O)=O)C3)cc2S1(=O)=O ZINC000739699690 1073517401 /nfs/dbraw/zinc/51/74/01/1073517401.db2.gz UNXUYKSKGXCUBK-NSHDSACASA-N 0 0 430.508 -0.504 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)C1=O ZINC000739699787 1073516567 /nfs/dbraw/zinc/51/65/67/1073516567.db2.gz VGBBZUUCECIHQE-KPZWWZAWSA-N 0 0 441.485 -0.376 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)C1=O ZINC000739699794 1073516582 /nfs/dbraw/zinc/51/65/82/1073516582.db2.gz VGBBZUUCECIHQE-KZULUSFZSA-N 0 0 441.485 -0.376 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)C1=O ZINC000739699798 1073517389 /nfs/dbraw/zinc/51/73/89/1073517389.db2.gz VGBBZUUCECIHQE-SGTLLEGYSA-N 0 0 441.485 -0.376 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)C1=O ZINC000739699800 1073517202 /nfs/dbraw/zinc/51/72/02/1073517202.db2.gz VGBBZUUCECIHQE-XIKOKIGWSA-N 0 0 441.485 -0.376 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)C1 ZINC000739699878 1073517380 /nfs/dbraw/zinc/51/73/80/1073517380.db2.gz WRGILTDYCDBCTO-BFUOFWGJSA-N 0 0 435.506 -0.588 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)C1 ZINC000739699884 1073517159 /nfs/dbraw/zinc/51/71/59/1073517159.db2.gz WRGILTDYCDBCTO-DJJJIMSYSA-N 0 0 435.506 -0.588 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)C1 ZINC000739699887 1073516624 /nfs/dbraw/zinc/51/66/24/1073516624.db2.gz WRGILTDYCDBCTO-ORAYPTAESA-N 0 0 435.506 -0.588 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)C1 ZINC000739699892 1073516823 /nfs/dbraw/zinc/51/68/23/1073516823.db2.gz WRGILTDYCDBCTO-YJYMSZOUSA-N 0 0 435.506 -0.588 20 0 IBADRN COC(=O)c1ccc(=O)n(CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)n1 ZINC000739841148 1073517852 /nfs/dbraw/zinc/51/78/52/1073517852.db2.gz QLBYVQBKSKWGEU-UHFFFAOYSA-N 0 0 432.462 -0.130 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000739862437 1073517183 /nfs/dbraw/zinc/51/71/83/1073517183.db2.gz YEYPKTXHYUFYLA-PXAZEXFGSA-N 0 0 429.520 -0.067 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000739862440 1073517368 /nfs/dbraw/zinc/51/73/68/1073517368.db2.gz YEYPKTXHYUFYLA-SJCJKPOMSA-N 0 0 429.520 -0.067 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000739862443 1073517170 /nfs/dbraw/zinc/51/71/70/1073517170.db2.gz YEYPKTXHYUFYLA-SJKOYZFVSA-N 0 0 429.520 -0.067 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000739862444 1073517191 /nfs/dbraw/zinc/51/71/91/1073517191.db2.gz YEYPKTXHYUFYLA-YVEFUNNKSA-N 0 0 429.520 -0.067 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C3CCOCC3)CC2)C[C@H](C)O1 ZINC000739936393 1073517755 /nfs/dbraw/zinc/51/77/55/1073517755.db2.gz AOYYFOHKTKDYDI-GASCZTMLSA-N 0 0 440.588 -0.686 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C3CCOCC3)CC2)C[C@H](C)O1 ZINC000739936394 1073517701 /nfs/dbraw/zinc/51/77/01/1073517701.db2.gz AOYYFOHKTKDYDI-GJZGRUSLSA-N 0 0 440.588 -0.686 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C3CCOCC3)CC2)C[C@@H](C)O1 ZINC000739936395 1073517973 /nfs/dbraw/zinc/51/79/73/1073517973.db2.gz AOYYFOHKTKDYDI-HUUCEWRRSA-N 0 0 440.588 -0.686 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000739938879 1073517792 /nfs/dbraw/zinc/51/77/92/1073517792.db2.gz BYTXVBVXJPPQIU-UHFFFAOYSA-N 0 0 447.583 -0.521 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000739941629 1073517713 /nfs/dbraw/zinc/51/77/13/1073517713.db2.gz SAJONXCWLHCDBR-KRWDZBQOSA-N 0 0 439.600 -0.447 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000739941633 1073517879 /nfs/dbraw/zinc/51/78/79/1073517879.db2.gz SAJONXCWLHCDBR-QGZVFWFLSA-N 0 0 439.600 -0.447 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCCS(=O)(=O)Cc3ccccc3)cnc21 ZINC000739948856 1073517673 /nfs/dbraw/zinc/51/76/73/1073517673.db2.gz CTHWGRCPXOTYQZ-UHFFFAOYSA-N 0 0 438.487 -0.073 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)N(C)C2CCOCC2)c1 ZINC000739958400 1073517780 /nfs/dbraw/zinc/51/77/80/1073517780.db2.gz QNRGOBAECWGDFV-GFCCVEGCSA-N 0 0 434.540 -0.133 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)N(C)C2CCOCC2)c1 ZINC000739958403 1073517727 /nfs/dbraw/zinc/51/77/27/1073517727.db2.gz QNRGOBAECWGDFV-LBPRGKRZSA-N 0 0 434.540 -0.133 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000739963622 1073517902 /nfs/dbraw/zinc/51/79/02/1073517902.db2.gz MWXXYHMRLIWZNW-UHFFFAOYSA-N 0 0 426.543 -0.209 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@@H](O)c2cc(Cl)c3c(c2)OCCO3)cn1 ZINC000740149794 1073517827 /nfs/dbraw/zinc/51/78/27/1073517827.db2.gz SUAXANMUYXNBTM-CYBMUJFWSA-N 0 0 430.870 -0.027 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@H](O)c2cc(Cl)c3c(c2)OCCO3)cn1 ZINC000740149795 1073517655 /nfs/dbraw/zinc/51/76/55/1073517655.db2.gz SUAXANMUYXNBTM-ZDUSSCGKSA-N 0 0 430.870 -0.027 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H](O)c3cc(Cl)c4c(c3)OCCO4)c2c(=O)n(C)c1=O ZINC000740156864 1073517818 /nfs/dbraw/zinc/51/78/18/1073517818.db2.gz WCGAETFGUWKADW-GFCCVEGCSA-N 0 0 449.851 -0.292 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H](O)c3cc(Cl)c4c(c3)OCCO4)c2c(=O)n(C)c1=O ZINC000740156867 1073517745 /nfs/dbraw/zinc/51/77/45/1073517745.db2.gz WCGAETFGUWKADW-LBPRGKRZSA-N 0 0 449.851 -0.292 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H](O)c1cc(Cl)c3c(c1)OCCO3)c(=O)n2C ZINC000740157932 1073518473 /nfs/dbraw/zinc/51/84/73/1073518473.db2.gz VRBDFBBMDXGKKP-GFCCVEGCSA-N 0 0 449.851 -0.292 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H](O)c1cc(Cl)c3c(c1)OCCO3)c(=O)n2C ZINC000740157938 1073518580 /nfs/dbraw/zinc/51/85/80/1073518580.db2.gz VRBDFBBMDXGKKP-LBPRGKRZSA-N 0 0 449.851 -0.292 20 0 IBADRN O=C(OCCOCCO)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000740204083 1073518527 /nfs/dbraw/zinc/51/85/27/1073518527.db2.gz JEERJVWALPVOLG-UHFFFAOYSA-N 0 0 444.506 -0.290 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(O)CCC3)CC2)cc1OC ZINC000740225246 1073518418 /nfs/dbraw/zinc/51/84/18/1073518418.db2.gz IVUSJMPIDUCZEN-UHFFFAOYSA-N 0 0 442.490 -0.005 20 0 IBADRN O=C(COC(=O)C1(O)CCC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000740225925 1073518392 /nfs/dbraw/zinc/51/83/92/1073518392.db2.gz GSCWDCHEKSOIEE-UHFFFAOYSA-N 0 0 440.474 -0.251 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(O)CCC3)CC2)c1 ZINC000740228208 1073518301 /nfs/dbraw/zinc/51/83/01/1073518301.db2.gz OPZGUMAXRWUBAG-UHFFFAOYSA-N 0 0 442.490 -0.005 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CNC(=O)c2ccccn2)CC1 ZINC000740327520 1073518364 /nfs/dbraw/zinc/51/83/64/1073518364.db2.gz MMNCZQOYENSGKO-UHFFFAOYSA-N 0 0 438.448 -0.620 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)c1ccccn1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000740336474 1073518546 /nfs/dbraw/zinc/51/85/46/1073518546.db2.gz BBNOFBLXKBVMES-CYBMUJFWSA-N 0 0 434.474 -0.251 20 0 IBADRN C[C@H](OC(=O)CNC(=O)c1ccccn1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000740336477 1073518595 /nfs/dbraw/zinc/51/85/95/1073518595.db2.gz BBNOFBLXKBVMES-ZDUSSCGKSA-N 0 0 434.474 -0.251 20 0 IBADRN O=C(COC(=O)c1ccnc(-n2cccn2)c1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000740336581 1073518342 /nfs/dbraw/zinc/51/83/42/1073518342.db2.gz DBUCOYDINNMQPY-INIZCTEOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1ccnc(-n2cccn2)c1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000740336583 1073518905 /nfs/dbraw/zinc/51/89/05/1073518905.db2.gz DBUCOYDINNMQPY-MRXNPFEDSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(CNC(=O)c1ccccn1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000740337404 1073518884 /nfs/dbraw/zinc/51/88/84/1073518884.db2.gz VIXSRJWULJGVEH-UHFFFAOYSA-N 0 0 446.485 -0.112 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CNC(=O)c1ccccn1 ZINC000740337512 1073518936 /nfs/dbraw/zinc/51/89/36/1073518936.db2.gz WJUVWWCVFFZJOI-GFCCVEGCSA-N 0 0 432.458 -0.020 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CNC(=O)c1ccccn1 ZINC000740337516 1073518990 /nfs/dbraw/zinc/51/89/90/1073518990.db2.gz WJUVWWCVFFZJOI-LBPRGKRZSA-N 0 0 432.458 -0.020 20 0 IBADRN O=C(CNC(=O)c1ccccn1)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000740338855 1073518877 /nfs/dbraw/zinc/51/88/77/1073518877.db2.gz WBCBIJPCOMRQTJ-CABCVRRESA-N 0 0 439.490 -0.451 20 0 IBADRN O=C(CNC(=O)c1ccccn1)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000740338856 1073518868 /nfs/dbraw/zinc/51/88/68/1073518868.db2.gz WBCBIJPCOMRQTJ-GJZGRUSLSA-N 0 0 439.490 -0.451 20 0 IBADRN O=C(CNC(=O)c1ccccn1)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000740338857 1073518914 /nfs/dbraw/zinc/51/89/14/1073518914.db2.gz WBCBIJPCOMRQTJ-HUUCEWRRSA-N 0 0 439.490 -0.451 20 0 IBADRN O=C(CNC(=O)c1ccccn1)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000740338858 1073519023 /nfs/dbraw/zinc/51/90/23/1073519023.db2.gz WBCBIJPCOMRQTJ-LSDHHAIUSA-N 0 0 439.490 -0.451 20 0 IBADRN O=C(C[C@@H]1CCOC1)OCC(=O)N1CCN(C(=O)COC(=O)C[C@@H]2CCOC2)CC1 ZINC000740386827 1073518964 /nfs/dbraw/zinc/51/89/64/1073518964.db2.gz MEYDHYBXAPRMOJ-HOTGVXAUSA-N 0 0 426.466 -0.403 20 0 IBADRN O=C(C[C@H]1CCOC1)OCC(=O)N1CCN(C(=O)COC(=O)C[C@H]2CCOC2)CC1 ZINC000740386828 1073518926 /nfs/dbraw/zinc/51/89/26/1073518926.db2.gz MEYDHYBXAPRMOJ-HZPDHXFCSA-N 0 0 426.466 -0.403 20 0 IBADRN O=C(C[C@H]1CCOC1)OCC(=O)N1CCN(C(=O)COC(=O)C[C@@H]2CCOC2)CC1 ZINC000740386829 1073518979 /nfs/dbraw/zinc/51/89/79/1073518979.db2.gz MEYDHYBXAPRMOJ-IYBDPMFKSA-N 0 0 426.466 -0.403 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000740491282 1073518943 /nfs/dbraw/zinc/51/89/43/1073518943.db2.gz KZLHDLDSNFIULG-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCS(=O)(=O)CC3)cc2)CC1 ZINC000740494404 1073519557 /nfs/dbraw/zinc/51/95/57/1073519557.db2.gz SNEIGLXBIZLPPW-UHFFFAOYSA-N 0 0 438.506 -0.823 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)NCCS(C)(=O)=O ZINC000740494440 1073519473 /nfs/dbraw/zinc/51/94/73/1073519473.db2.gz UFNFQHWQGAKTGC-UHFFFAOYSA-N 0 0 435.524 -0.129 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(O[C@H]2CCOC2)cc1 ZINC000740494801 1073519604 /nfs/dbraw/zinc/51/96/04/1073519604.db2.gz IIGWNTWKJWJYHD-INIZCTEOSA-N 0 0 427.479 -0.429 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 ZINC000740494804 1073519460 /nfs/dbraw/zinc/51/94/60/1073519460.db2.gz IIGWNTWKJWJYHD-MRXNPFEDSA-N 0 0 427.479 -0.429 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000740495045 1073519613 /nfs/dbraw/zinc/51/96/13/1073519613.db2.gz KEYMTDIMQZCDJS-UHFFFAOYSA-N 0 0 448.523 -0.870 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccnc(-n4cccn4)c3)CC2)ccc1=O ZINC000740544273 1073519533 /nfs/dbraw/zinc/51/95/33/1073519533.db2.gz BSJMGCZFVXXINO-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN CCOC(=O)CN1CCC(COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000740550098 1073519544 /nfs/dbraw/zinc/51/95/44/1073519544.db2.gz DDPLNXOTIDGRTD-CVEARBPZSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)CN1CCC(COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000740550100 1073519593 /nfs/dbraw/zinc/51/95/93/1073519593.db2.gz DDPLNXOTIDGRTD-HOTGVXAUSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)CN1CCC(COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000740550102 1073519638 /nfs/dbraw/zinc/51/96/38/1073519638.db2.gz DDPLNXOTIDGRTD-HZPDHXFCSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)CN1CCC(COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000740550104 1073519583 /nfs/dbraw/zinc/51/95/83/1073519583.db2.gz DDPLNXOTIDGRTD-JKSUJKDBSA-N 0 0 430.523 -0.160 20 0 IBADRN CCOC(=O)CN1CCC(COC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000740550436 1073519519 /nfs/dbraw/zinc/51/95/19/1073519519.db2.gz KOYXJRSPVGPIRL-HNNXBMFYSA-N 0 0 443.522 -0.030 20 0 IBADRN CCOC(=O)CN1CCC(COC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000740550438 1073519668 /nfs/dbraw/zinc/51/96/68/1073519668.db2.gz KOYXJRSPVGPIRL-OAHLLOKOSA-N 0 0 443.522 -0.030 20 0 IBADRN CCOC(=O)CN1CCC(COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000740552347 1073519565 /nfs/dbraw/zinc/51/95/65/1073519565.db2.gz BGGKHEVCBNSVQM-UHFFFAOYSA-N 0 0 435.481 -0.358 20 0 IBADRN Cn1cc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)c(=O)n(C)c1=O ZINC000740685130 1073520258 /nfs/dbraw/zinc/52/02/58/1073520258.db2.gz DGALLRYNOLYLNP-UHFFFAOYSA-N 0 0 426.426 -0.504 20 0 IBADRN Cn1cc(NC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c(=O)n(C)c1=O ZINC000740685159 1073520127 /nfs/dbraw/zinc/52/01/27/1073520127.db2.gz DKSQBSUHGSEKTD-UHFFFAOYSA-N 0 0 431.474 -0.116 20 0 IBADRN Cn1cc(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)c(=O)n(C)c1=O ZINC000740686612 1073520190 /nfs/dbraw/zinc/52/01/90/1073520190.db2.gz VWUTVBMJWUQEAM-UHFFFAOYSA-N 0 0 426.426 -0.504 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000740708939 1073519621 /nfs/dbraw/zinc/51/96/21/1073519621.db2.gz MYTFLRGIOAJXKY-SECBINFHSA-N 0 0 426.314 -0.010 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000740708940 1073519576 /nfs/dbraw/zinc/51/95/76/1073519576.db2.gz MYTFLRGIOAJXKY-VIFPVBQESA-N 0 0 426.314 -0.010 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000740708942 1073519630 /nfs/dbraw/zinc/51/96/30/1073519630.db2.gz NAALRLPWQAIBBX-CYBMUJFWSA-N 0 0 435.499 -0.260 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000740708944 1073519657 /nfs/dbraw/zinc/51/96/57/1073519657.db2.gz NAALRLPWQAIBBX-ZDUSSCGKSA-N 0 0 435.499 -0.260 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(CCn4cncn4)CC3)C2=O)cc1 ZINC000740739167 1073520080 /nfs/dbraw/zinc/52/00/80/1073520080.db2.gz XWTIVKOYJKRCKE-NRFANRHFSA-N 0 0 441.492 -0.102 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(CCn4cncn4)CC3)C2=O)cc1 ZINC000740739168 1073520173 /nfs/dbraw/zinc/52/01/73/1073520173.db2.gz XWTIVKOYJKRCKE-OAQYLSRUSA-N 0 0 441.492 -0.102 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCCN1C(=O)S/C(=C\c2ccc3c(c2)OCO3)C1=O)C(N)=O ZINC000740739366 1073520107 /nfs/dbraw/zinc/52/01/07/1073520107.db2.gz HIWRXVBZFHCYRM-CUTJPZLCSA-N 0 0 448.457 -0.052 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCCN1C(=O)S/C(=C/c2ccc3c(c2)OCO3)C1=O)C(N)=O ZINC000740739367 1073520156 /nfs/dbraw/zinc/52/01/56/1073520156.db2.gz HIWRXVBZFHCYRM-QNKXHIPISA-N 0 0 448.457 -0.052 20 0 IBADRN O=C(CC[S@@](=O)c1ccc2c(c1)OCCO2)NCCS(=O)(=O)N1CCOCC1 ZINC000740742380 1073520162 /nfs/dbraw/zinc/52/01/62/1073520162.db2.gz GMQMLTVLBATSIE-HHHXNRCGSA-N 0 0 432.520 -0.266 20 0 IBADRN O=C(CC[S@](=O)c1ccc2c(c1)OCCO2)NCCS(=O)(=O)N1CCOCC1 ZINC000740742381 1073520247 /nfs/dbraw/zinc/52/02/47/1073520247.db2.gz GMQMLTVLBATSIE-MHZLTWQESA-N 0 0 432.520 -0.266 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1)C(N)=O ZINC000740750945 1073520222 /nfs/dbraw/zinc/52/02/22/1073520222.db2.gz RAULTNITESLPJC-KBPBESRZSA-N 0 0 427.502 -0.754 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1)C(N)=O ZINC000740750947 1073520046 /nfs/dbraw/zinc/52/00/46/1073520046.db2.gz RAULTNITESLPJC-KGLIPLIRSA-N 0 0 427.502 -0.754 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)Nc3ccc(N4CCNC(=O)C4)cc3)cnc21 ZINC000740758558 1073520135 /nfs/dbraw/zinc/52/01/35/1073520135.db2.gz YWTSUFIZVXXVCM-UHFFFAOYSA-N 0 0 430.446 -0.229 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cnc3ccccn23)c(=O)n1CC(=O)N1CCOCC1 ZINC000740763700 1073520065 /nfs/dbraw/zinc/52/00/65/1073520065.db2.gz GEPLWKFRDLGKPK-UHFFFAOYSA-N 0 0 442.497 -0.411 20 0 IBADRN O=C([C@H]1Cc2cc(F)ccc2O1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000740817289 1073520713 /nfs/dbraw/zinc/52/07/13/1073520713.db2.gz CXUAUNXXEJDIMB-GDBMZVCRSA-N 0 0 432.495 -0.210 20 0 IBADRN O=C([C@H]1Cc2cc(F)ccc2O1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000740817293 1073520663 /nfs/dbraw/zinc/52/06/63/1073520663.db2.gz CXUAUNXXEJDIMB-GOEBONIOSA-N 0 0 432.495 -0.210 20 0 IBADRN O=C([C@@H]1Cc2cc(F)ccc2O1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000740817295 1073520612 /nfs/dbraw/zinc/52/06/12/1073520612.db2.gz CXUAUNXXEJDIMB-HOCLYGCPSA-N 0 0 432.495 -0.210 20 0 IBADRN O=C([C@@H]1Cc2cc(F)ccc2O1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000740817296 1073520680 /nfs/dbraw/zinc/52/06/80/1073520680.db2.gz CXUAUNXXEJDIMB-ZBFHGGJFSA-N 0 0 432.495 -0.210 20 0 IBADRN CC(C)CCn1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nn1 ZINC000740818123 1073520100 /nfs/dbraw/zinc/52/01/00/1073520100.db2.gz SAZZUXDZRJTXOK-AWEZNQCLSA-N 0 0 433.556 -0.401 20 0 IBADRN CC(C)CCn1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nn1 ZINC000740818125 1073520036 /nfs/dbraw/zinc/52/00/36/1073520036.db2.gz SAZZUXDZRJTXOK-CQSZACIVSA-N 0 0 433.556 -0.401 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Cc2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC000740819121 1073520231 /nfs/dbraw/zinc/52/02/31/1073520231.db2.gz IOELBRMGISEMND-UHFFFAOYSA-N 0 0 439.506 -0.081 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)C(F)F)cc1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000740821186 1073520116 /nfs/dbraw/zinc/52/01/16/1073520116.db2.gz GQNGNNCHDUPUIT-UHFFFAOYSA-N 0 0 428.421 -0.417 20 0 IBADRN C[C@@H](NC(=O)Cc1ccc(S(=O)(=O)C(F)F)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000740822253 1073520543 /nfs/dbraw/zinc/52/05/43/1073520543.db2.gz CXCBDOPBKXQVHA-LLVKDONJSA-N 0 0 438.474 -0.013 20 0 IBADRN C[C@H](NC(=O)Cc1ccc(S(=O)(=O)C(F)F)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC000740822254 1073520600 /nfs/dbraw/zinc/52/06/00/1073520600.db2.gz CXCBDOPBKXQVHA-NSHDSACASA-N 0 0 438.474 -0.013 20 0 IBADRN CN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)CC1=O ZINC000740836584 1073520498 /nfs/dbraw/zinc/52/04/98/1073520498.db2.gz VDHFIRIGQWFYKH-LPDYGMJQSA-N 0 0 443.547 -0.319 20 0 IBADRN CN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)CC1=O ZINC000740836585 1073520705 /nfs/dbraw/zinc/52/07/05/1073520705.db2.gz VDHFIRIGQWFYKH-OIQJVACTSA-N 0 0 443.547 -0.319 20 0 IBADRN CN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)CC1=O ZINC000740836586 1073520587 /nfs/dbraw/zinc/52/05/87/1073520587.db2.gz VDHFIRIGQWFYKH-RQPMMQJISA-N 0 0 443.547 -0.319 20 0 IBADRN CN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)CC1=O ZINC000740836587 1073520688 /nfs/dbraw/zinc/52/06/88/1073520688.db2.gz VDHFIRIGQWFYKH-WDBUWWRISA-N 0 0 443.547 -0.319 20 0 IBADRN CC(C)C[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000740853911 1073520513 /nfs/dbraw/zinc/52/05/13/1073520513.db2.gz IKAKWMIDJHFWNZ-CRAIPNDOSA-N 0 0 449.595 -0.110 20 0 IBADRN CC(C)C[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000740853912 1073520716 /nfs/dbraw/zinc/52/07/16/1073520716.db2.gz IKAKWMIDJHFWNZ-MAUKXSAKSA-N 0 0 449.595 -0.110 20 0 IBADRN CC(C)C[C@@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000740853913 1073520673 /nfs/dbraw/zinc/52/06/73/1073520673.db2.gz IKAKWMIDJHFWNZ-QAPCUYQASA-N 0 0 449.595 -0.110 20 0 IBADRN CC(C)C[C@@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000740853914 1073521213 /nfs/dbraw/zinc/52/12/13/1073521213.db2.gz IKAKWMIDJHFWNZ-YJBOKZPZSA-N 0 0 449.595 -0.110 20 0 IBADRN CC(C)C[C@@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000740854395 1073520562 /nfs/dbraw/zinc/52/05/62/1073520562.db2.gz VZWFXWIDRVCIHI-IBGZPJMESA-N 0 0 429.587 -0.135 20 0 IBADRN CC(C)C[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000740854396 1073520637 /nfs/dbraw/zinc/52/06/37/1073520637.db2.gz VZWFXWIDRVCIHI-LJQANCHMSA-N 0 0 429.587 -0.135 20 0 IBADRN CC(C)CN(C(=O)CN(C)CCS(N)(=O)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000740873479 1073521079 /nfs/dbraw/zinc/52/10/79/1073521079.db2.gz DNOWDZKOVBAATC-UHFFFAOYSA-N 0 0 432.547 -0.604 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000740874514 1073521169 /nfs/dbraw/zinc/52/11/69/1073521169.db2.gz LXMHFIQXZKDEPF-UHFFFAOYSA-N 0 0 438.915 -0.734 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3ccc4[nH]c(=O)[nH]c4c3)CC2)CC1 ZINC000740877954 1073521226 /nfs/dbraw/zinc/52/12/26/1073521226.db2.gz JJZVZGRYYVCZPC-UHFFFAOYSA-N 0 0 437.526 -0.683 20 0 IBADRN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000740878221 1073520978 /nfs/dbraw/zinc/52/09/78/1073520978.db2.gz UZRGSIJUJXKSOP-INIZCTEOSA-N 0 0 439.586 -0.676 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000740878222 1073521108 /nfs/dbraw/zinc/52/11/08/1073521108.db2.gz UZRGSIJUJXKSOP-MRXNPFEDSA-N 0 0 439.586 -0.676 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCC(=O)Nc3sccc3C(N)=O)CC2)CC1 ZINC000740878276 1073521133 /nfs/dbraw/zinc/52/11/33/1073521133.db2.gz WZBCODNYNOQAPU-UHFFFAOYSA-N 0 0 444.583 -0.715 20 0 IBADRN COc1ccc(C(=O)NC(=O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000740878313 1073521027 /nfs/dbraw/zinc/52/10/27/1073521027.db2.gz YJVNVOJLDZHMNH-UHFFFAOYSA-N 0 0 439.538 -0.939 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000740889332 1073521260 /nfs/dbraw/zinc/52/12/60/1073521260.db2.gz HODVTYURFNURHB-UHFFFAOYSA-N 0 0 427.522 -0.149 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(N2CCOC2=O)cc1 ZINC000740889547 1073521202 /nfs/dbraw/zinc/52/12/02/1073521202.db2.gz YEDSXDPKHRIFOR-CYBMUJFWSA-N 0 0 445.519 -0.043 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(N2CCOC2=O)cc1 ZINC000740889548 1073521092 /nfs/dbraw/zinc/52/10/92/1073521092.db2.gz YEDSXDPKHRIFOR-ZDUSSCGKSA-N 0 0 445.519 -0.043 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2ccc(N3CCOC3=O)cc2)CC1 ZINC000740889648 1073521287 /nfs/dbraw/zinc/52/12/87/1073521287.db2.gz DXCSZEADOWGISR-UHFFFAOYSA-N 0 0 438.506 -0.192 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc(N3CCOC3=O)cc2)CC1)N1CCOCC1 ZINC000740890067 1073521248 /nfs/dbraw/zinc/52/12/48/1073521248.db2.gz KRVIEVQTLDUWAZ-UHFFFAOYSA-N 0 0 438.506 -0.192 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000740890528 1073521275 /nfs/dbraw/zinc/52/12/75/1073521275.db2.gz AEIZSBBTXHOEMA-UHFFFAOYSA-N 0 0 435.549 -0.482 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNS(=O)(=O)CCCS(C)(=O)=O)CC1 ZINC000740890856 1073521188 /nfs/dbraw/zinc/52/11/88/1073521188.db2.gz AIHZBDOEMRXDNY-UHFFFAOYSA-N 0 0 427.545 -0.580 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2ccc(N3CCOC3=O)cc2)CC1 ZINC000740890995 1073521845 /nfs/dbraw/zinc/52/18/45/1073521845.db2.gz DYRCQBKTVMJHKZ-UHFFFAOYSA-N 0 0 432.524 -0.501 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)CCCS(C)(=O)=O ZINC000740891384 1073521321 /nfs/dbraw/zinc/52/13/21/1073521321.db2.gz MYLOGJIVNAJHAB-UHFFFAOYSA-N 0 0 441.572 -0.238 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000740892351 1073521742 /nfs/dbraw/zinc/52/17/42/1073521742.db2.gz OTQILWGFRUSOBQ-UHFFFAOYSA-N 0 0 430.492 -0.098 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](O)C3CCOCC3)C2=O)cc1 ZINC000740971614 1073521561 /nfs/dbraw/zinc/52/15/61/1073521561.db2.gz HEURJBFSBVYZCC-JXFKEZNVSA-N 0 0 435.433 -0.176 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](O)C3CCOCC3)C2=O)cc1 ZINC000740971615 1073521882 /nfs/dbraw/zinc/52/18/82/1073521882.db2.gz HEURJBFSBVYZCC-OXJNMPFZSA-N 0 0 435.433 -0.176 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](O)C3CCOCC3)C2=O)cc1 ZINC000740971616 1073521619 /nfs/dbraw/zinc/52/16/19/1073521619.db2.gz HEURJBFSBVYZCC-OXQOHEQNSA-N 0 0 435.433 -0.176 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](O)C3CCOCC3)C2=O)cc1 ZINC000740971617 1073521913 /nfs/dbraw/zinc/52/19/13/1073521913.db2.gz HEURJBFSBVYZCC-UZLBHIALSA-N 0 0 435.433 -0.176 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@@H](O)C2CCOCC2)c(N)n(Cc2ccccc2)c1=O ZINC000740971877 1073521889 /nfs/dbraw/zinc/52/18/89/1073521889.db2.gz GWMURSZGJWZVMA-KRWDZBQOSA-N 0 0 431.445 -0.309 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@H](O)C2CCOCC2)c(N)n(Cc2ccccc2)c1=O ZINC000740971878 1073521923 /nfs/dbraw/zinc/52/19/23/1073521923.db2.gz GWMURSZGJWZVMA-QGZVFWFLSA-N 0 0 431.445 -0.309 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000740973143 1073522410 /nfs/dbraw/zinc/52/24/10/1073522410.db2.gz PPNAHAOMZTUXOI-GOSISDBHSA-N 0 0 444.481 -0.011 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000740973144 1073522312 /nfs/dbraw/zinc/52/23/12/1073522312.db2.gz PPNAHAOMZTUXOI-SFHVURJKSA-N 0 0 444.481 -0.011 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000740973433 1073521945 /nfs/dbraw/zinc/52/19/45/1073521945.db2.gz QMZBLFGLUWLTIG-AZUAARDMSA-N 0 0 448.472 -0.172 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000740973435 1073521606 /nfs/dbraw/zinc/52/16/06/1073521606.db2.gz QMZBLFGLUWLTIG-ICSRJNTNSA-N 0 0 448.472 -0.172 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000740973437 1073522291 /nfs/dbraw/zinc/52/22/91/1073522291.db2.gz QMZBLFGLUWLTIG-QUCCMNQESA-N 0 0 448.472 -0.172 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000740973440 1073522436 /nfs/dbraw/zinc/52/24/36/1073522436.db2.gz QMZBLFGLUWLTIG-UYAOXDASSA-N 0 0 448.472 -0.172 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H](O)C2CCOCC2)CC1 ZINC000740973781 1073521642 /nfs/dbraw/zinc/52/16/42/1073521642.db2.gz SMSDYVRVGMBCQC-INIZCTEOSA-N 0 0 445.494 -0.545 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H](O)C2CCOCC2)CC1 ZINC000740973782 1073521831 /nfs/dbraw/zinc/52/18/31/1073521831.db2.gz SMSDYVRVGMBCQC-MRXNPFEDSA-N 0 0 445.494 -0.545 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000740974264 1073522267 /nfs/dbraw/zinc/52/22/67/1073522267.db2.gz VIXQYDAVWQRWHH-GOSISDBHSA-N 0 0 444.481 -0.011 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000740974266 1073522326 /nfs/dbraw/zinc/52/23/26/1073522326.db2.gz VIXQYDAVWQRWHH-SFHVURJKSA-N 0 0 444.481 -0.011 20 0 IBADRN O=C(COC(=O)[C@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000740974555 1073522350 /nfs/dbraw/zinc/52/23/50/1073522350.db2.gz GEXNGJWLNNWLMC-GOSISDBHSA-N 0 0 444.481 -0.011 20 0 IBADRN O=C(COC(=O)[C@@H](O)C1CCOCC1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000740974556 1073522400 /nfs/dbraw/zinc/52/24/00/1073522400.db2.gz GEXNGJWLNNWLMC-SFHVURJKSA-N 0 0 444.481 -0.011 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CNC(=O)N2CCc3ccccc3C2)CC1 ZINC000740975765 1073522382 /nfs/dbraw/zinc/52/23/82/1073522382.db2.gz VZDSMEVTXCVURJ-UHFFFAOYSA-N 0 0 445.520 -0.470 20 0 IBADRN CN(CCCNC(=O)COC(=O)CNC(=O)N1CCc2ccccc2C1)S(C)(=O)=O ZINC000740976774 1073522302 /nfs/dbraw/zinc/52/23/02/1073522302.db2.gz GCYUOJHNQLGFCQ-UHFFFAOYSA-N 0 0 440.522 -0.305 20 0 IBADRN CC(C)CCn1cc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nn1 ZINC000740990127 1073521936 /nfs/dbraw/zinc/52/19/36/1073521936.db2.gz SFQIVEWMJUPXGI-HNNXBMFYSA-N 0 0 427.527 -0.188 20 0 IBADRN CC(C)CCn1cc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nn1 ZINC000740990129 1073521723 /nfs/dbraw/zinc/52/17/23/1073521723.db2.gz SFQIVEWMJUPXGI-OAHLLOKOSA-N 0 0 427.527 -0.188 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)Cc2ccc(S(=O)(=O)C(F)F)cc2)c(=O)n(C)c1=O ZINC000740998402 1073522359 /nfs/dbraw/zinc/52/23/59/1073522359.db2.gz KUQWGAHYGBCYOL-UHFFFAOYSA-N 0 0 445.400 -0.369 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)OCCCN3C(=O)CNC3=O)CC2)cc1 ZINC000741006982 1073522824 /nfs/dbraw/zinc/52/28/24/1073522824.db2.gz JHXAIEWXPRUXEY-UHFFFAOYSA-N 0 0 438.506 -0.214 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741007013 1073522756 /nfs/dbraw/zinc/52/27/56/1073522756.db2.gz AXTKVKFBUMWXJO-UHFFFAOYSA-N 0 0 441.506 -0.476 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)O[C@@H](C)C(=O)N3CCNC3=O)CC2)cc1 ZINC000741007042 1073522998 /nfs/dbraw/zinc/52/29/98/1073522998.db2.gz BMZLYRJCEXHFBM-HNNXBMFYSA-N 0 0 438.506 -0.215 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)O[C@H](C)C(=O)N3CCNC3=O)CC2)cc1 ZINC000741007043 1073522788 /nfs/dbraw/zinc/52/27/88/1073522788.db2.gz BMZLYRJCEXHFBM-OAHLLOKOSA-N 0 0 438.506 -0.215 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)OCC(=O)NC(=O)NC3CC3)CC2)cc1 ZINC000741007064 1073522925 /nfs/dbraw/zinc/52/29/25/1073522925.db2.gz CERIWWYBCWJWAW-UHFFFAOYSA-N 0 0 438.506 -0.167 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741007084 1073523024 /nfs/dbraw/zinc/52/30/24/1073523024.db2.gz CLZJMVFNHQCKQJ-UHFFFAOYSA-N 0 0 427.479 -0.866 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741007100 1073522853 /nfs/dbraw/zinc/52/28/53/1073522853.db2.gz CNRSHNPAMNKYQH-UHFFFAOYSA-N 0 0 426.495 -0.310 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741007298 1073522863 /nfs/dbraw/zinc/52/28/63/1073522863.db2.gz DMFWDILDLVOVKV-HNNXBMFYSA-N 0 0 441.506 -0.478 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741007299 1073522992 /nfs/dbraw/zinc/52/29/92/1073522992.db2.gz DMFWDILDLVOVKV-OAHLLOKOSA-N 0 0 441.506 -0.478 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)OCc3nc(N)nc(N(C)C)n3)CC2)cc1 ZINC000741007315 1073522775 /nfs/dbraw/zinc/52/27/75/1073522775.db2.gz FBKDJLYXHUQAHP-UHFFFAOYSA-N 0 0 449.537 -0.122 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741007337 1073522882 /nfs/dbraw/zinc/52/28/82/1073522882.db2.gz FXWKFMJAWQUDRS-UHFFFAOYSA-N 0 0 433.552 -0.216 20 0 IBADRN COCCCNC(=O)COC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741007598 1073522949 /nfs/dbraw/zinc/52/29/49/1073522949.db2.gz IRHVNLUNKQFOPC-UHFFFAOYSA-N 0 0 427.523 -0.003 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741008356 1073522839 /nfs/dbraw/zinc/52/28/39/1073522839.db2.gz VVWQUERUXSWKAU-AWEZNQCLSA-N 0 0 426.495 -0.311 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000741008360 1073522936 /nfs/dbraw/zinc/52/29/36/1073522936.db2.gz VVWQUERUXSWKAU-CQSZACIVSA-N 0 0 426.495 -0.311 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)OCC(=O)N3CCOCC3)CC2)cc1 ZINC000741008477 1073522962 /nfs/dbraw/zinc/52/29/62/1073522962.db2.gz XCTIBFZHRZHCQT-UHFFFAOYSA-N 0 0 425.507 -0.297 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000741020843 1073523322 /nfs/dbraw/zinc/52/33/22/1073523322.db2.gz MIRQZTXDXCMCOX-FUHIMQAGSA-N 0 0 426.558 -0.104 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000741020844 1073523375 /nfs/dbraw/zinc/52/33/75/1073523375.db2.gz MIRQZTXDXCMCOX-HLIPFELVSA-N 0 0 426.558 -0.104 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000741020845 1073523383 /nfs/dbraw/zinc/52/33/83/1073523383.db2.gz MIRQZTXDXCMCOX-MBOZVWFJSA-N 0 0 426.558 -0.104 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000741020846 1073523477 /nfs/dbraw/zinc/52/34/77/1073523477.db2.gz MIRQZTXDXCMCOX-QKLQHJQFSA-N 0 0 426.558 -0.104 20 0 IBADRN O=C(COC(=O)c1ncccn1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000741035494 1073522805 /nfs/dbraw/zinc/52/28/05/1073522805.db2.gz CACRRZQCINPSSJ-UHFFFAOYSA-N 0 0 448.457 -0.062 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1CCN(c3ccccn3)CC1)c(=O)n2C ZINC000741037485 1073523010 /nfs/dbraw/zinc/52/30/10/1073523010.db2.gz BIECDHMHYDOLEL-UHFFFAOYSA-N 0 0 441.492 -0.416 20 0 IBADRN Cn1c2ncn(CCOC(=O)CN3CCN(c4ccccn4)CC3)c2c(=O)n(C)c1=O ZINC000741037738 1073522912 /nfs/dbraw/zinc/52/29/12/1073522912.db2.gz LMZHZMZLRWVCNR-UHFFFAOYSA-N 0 0 427.465 -0.806 20 0 IBADRN CN(CCCNC(=O)COC(=O)CN1CCN(c2ccccn2)CC1)S(C)(=O)=O ZINC000741038769 1073522974 /nfs/dbraw/zinc/52/29/74/1073522974.db2.gz FKQFWWAWLVUDQC-UHFFFAOYSA-N 0 0 427.527 -0.856 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)Nc3cnn(CCN4CCOCC4)c3)cnc2n(C)c1=O ZINC000741059183 1073523353 /nfs/dbraw/zinc/52/33/53/1073523353.db2.gz QBWJXTIGWJQZHV-UHFFFAOYSA-N 0 0 428.453 -0.195 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NCC3(O)CC[NH+](C)CC3)ncnc1-2 ZINC000741087501 1073523342 /nfs/dbraw/zinc/52/33/42/1073523342.db2.gz YPPJHTOTGLBQMM-UHFFFAOYSA-N 0 0 441.334 -0.333 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1C(=O)N(C)C ZINC000741095355 1073523445 /nfs/dbraw/zinc/52/34/45/1073523445.db2.gz AXUIZKURIAARAQ-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C)c(N2CCCS2(=O)=O)c1 ZINC000741095434 1073523291 /nfs/dbraw/zinc/52/32/91/1073523291.db2.gz DDUNYBQNLILSNP-UHFFFAOYSA-N 0 0 432.524 -0.471 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1 ZINC000741095719 1073523393 /nfs/dbraw/zinc/52/33/93/1073523393.db2.gz KUXSFHOQFHYIKX-UHFFFAOYSA-N 0 0 432.524 -0.570 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2CC2)c1C ZINC000741095885 1073523434 /nfs/dbraw/zinc/52/34/34/1073523434.db2.gz MPSLFUJXTWHDOZ-UHFFFAOYSA-N 0 0 432.524 -0.570 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000741095926 1073523468 /nfs/dbraw/zinc/52/34/68/1073523468.db2.gz NXIKUWWHCQPNGQ-UHFFFAOYSA-N 0 0 436.487 -0.740 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C)c(S(=O)(=O)NC2CC2)c1C ZINC000741096363 1073523412 /nfs/dbraw/zinc/52/34/12/1073523412.db2.gz ZNXFWDHPUWFNBF-UHFFFAOYSA-N 0 0 446.551 -0.262 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCS(C)(=O)=O ZINC000741098983 1073524041 /nfs/dbraw/zinc/52/40/41/1073524041.db2.gz VETIIKVGSCMDBD-UHFFFAOYSA-N 0 0 435.524 -0.177 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H](O)c3c(F)cccc3F)cnc2n(C)c1=O ZINC000741103027 1073524088 /nfs/dbraw/zinc/52/40/88/1073524088.db2.gz BUXLCRVZTGGNFQ-CYBMUJFWSA-N 0 0 433.371 -0.301 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H](O)c3c(F)cccc3F)cnc2n(C)c1=O ZINC000741103028 1073523923 /nfs/dbraw/zinc/52/39/23/1073523923.db2.gz BUXLCRVZTGGNFQ-ZDUSSCGKSA-N 0 0 433.371 -0.301 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(CC[S@@](C)=O)CC2)cc1 ZINC000741139845 1073523957 /nfs/dbraw/zinc/52/39/57/1073523957.db2.gz FYTUSKDQYXDWCJ-MUUNZHRXSA-N 0 0 444.579 -0.212 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(CC[S@](C)=O)CC2)cc1 ZINC000741139846 1073524010 /nfs/dbraw/zinc/52/40/10/1073524010.db2.gz FYTUSKDQYXDWCJ-NDEPHWFRSA-N 0 0 444.579 -0.212 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000741140609 1073524110 /nfs/dbraw/zinc/52/41/10/1073524110.db2.gz LTIJUXQNWSOLHW-HHHXNRCGSA-N 0 0 429.564 -0.156 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000741140610 1073523971 /nfs/dbraw/zinc/52/39/71/1073523971.db2.gz LTIJUXQNWSOLHW-MHZLTWQESA-N 0 0 429.564 -0.156 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN(CC[S@@](C)=O)CC2)cc(OC)c1OC ZINC000741141101 1073523865 /nfs/dbraw/zinc/52/38/65/1073523865.db2.gz QVLPSWGYYZAXFQ-GDLZYMKVSA-N 0 0 427.523 -0.035 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN(CC[S@](C)=O)CC2)cc(OC)c1OC ZINC000741141102 1073523987 /nfs/dbraw/zinc/52/39/87/1073523987.db2.gz QVLPSWGYYZAXFQ-LJAQVGFWSA-N 0 0 427.523 -0.035 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000741141519 1073524025 /nfs/dbraw/zinc/52/40/25/1073524025.db2.gz ASNOSAIMXWHWLQ-AREMUKBSSA-N 0 0 434.609 -0.112 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000741141520 1073524129 /nfs/dbraw/zinc/52/41/29/1073524129.db2.gz ASNOSAIMXWHWLQ-SANMLTNESA-N 0 0 434.609 -0.112 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CC[S@@](C)=O)CC2)cc1OC ZINC000741144080 1073524059 /nfs/dbraw/zinc/52/40/59/1073524059.db2.gz UONTVEFSNZOWKH-MUUNZHRXSA-N 0 0 447.579 -0.105 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CC[S@](C)=O)CC2)cc1OC ZINC000741144081 1073524101 /nfs/dbraw/zinc/52/41/01/1073524101.db2.gz UONTVEFSNZOWKH-NDEPHWFRSA-N 0 0 447.579 -0.105 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(CC[S@](C)=O)CC3)C2=O)cc1 ZINC000741144384 1073524685 /nfs/dbraw/zinc/52/46/85/1073524685.db2.gz WWFFNPDJAQBDLR-KEEVHDRGSA-N 0 0 436.534 -0.015 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(CC[S@@](C)=O)CC3)C2=O)cc1 ZINC000741144385 1073524567 /nfs/dbraw/zinc/52/45/67/1073524567.db2.gz WWFFNPDJAQBDLR-PRAQEBQASA-N 0 0 436.534 -0.015 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(CC[S@@](C)=O)CC3)C2=O)cc1 ZINC000741144386 1073524594 /nfs/dbraw/zinc/52/45/94/1073524594.db2.gz WWFFNPDJAQBDLR-WENCNXQZSA-N 0 0 436.534 -0.015 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(CC[S@](C)=O)CC3)C2=O)cc1 ZINC000741144387 1073524430 /nfs/dbraw/zinc/52/44/30/1073524430.db2.gz WWFFNPDJAQBDLR-WRGVRERRSA-N 0 0 436.534 -0.015 20 0 IBADRN CC(C)COC(=O)N1CCCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)CC1 ZINC000741144875 1073523823 /nfs/dbraw/zinc/52/38/23/1073523823.db2.gz FWCWCYHPYREMLX-UHFFFAOYSA-N 0 0 430.483 -0.016 20 0 IBADRN Cc1nnnn1-c1cc(N)ccc1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000741152026 1073524660 /nfs/dbraw/zinc/52/46/60/1073524660.db2.gz LACAYSKCPFZGTM-UHFFFAOYSA-N 0 0 425.409 -0.843 20 0 IBADRN Cc1nnnn1-c1cc(N)ccc1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000741152577 1073523939 /nfs/dbraw/zinc/52/39/39/1073523939.db2.gz RPRNJHFQDVGYPP-UHFFFAOYSA-N 0 0 439.436 -0.453 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(C[C@H]4CC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000741152659 1073524074 /nfs/dbraw/zinc/52/40/74/1073524074.db2.gz LHJKEUBDASPYIG-AWEZNQCLSA-N 0 0 439.428 -0.504 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(C[C@@H]4CC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000741152660 1073524121 /nfs/dbraw/zinc/52/41/21/1073524121.db2.gz LHJKEUBDASPYIG-CQSZACIVSA-N 0 0 439.428 -0.504 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=S)NCCCN3CCOCC3)CC2)cn1 ZINC000741169937 1073525100 /nfs/dbraw/zinc/52/51/00/1073525100.db2.gz JZGPCNUTGODTLS-UHFFFAOYSA-N 0 0 430.600 -0.240 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CN3CCN(C(=O)OC(C)(C)C)CC3=O)cnc2n(C)c1=O ZINC000741176390 1073524580 /nfs/dbraw/zinc/52/45/80/1073524580.db2.gz ROHGLCSAHWMMMH-UHFFFAOYSA-N 0 0 446.464 -0.350 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741176658 1073524458 /nfs/dbraw/zinc/52/44/58/1073524458.db2.gz UCMYTSIFWPUTOE-UHFFFAOYSA-N 0 0 425.530 -0.266 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)[C@H]1CCS(=O)(=O)C1 ZINC000741176676 1073524706 /nfs/dbraw/zinc/52/47/06/1073524706.db2.gz UWIXFMKWOGXBNH-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000741176677 1073524526 /nfs/dbraw/zinc/52/45/26/1073524526.db2.gz UWIXFMKWOGXBNH-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741177195 1073524443 /nfs/dbraw/zinc/52/44/43/1073524443.db2.gz DZIMSPXEAMHWPH-UHFFFAOYSA-N 0 0 433.531 -0.984 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C(=O)C1 ZINC000741178254 1073524537 /nfs/dbraw/zinc/52/45/37/1073524537.db2.gz CKTPOQGSDGGKMS-UHFFFAOYSA-N 0 0 433.465 -0.081 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCCC[C@H]2CNS(C)(=O)=O)C(=O)C1 ZINC000741179261 1073524488 /nfs/dbraw/zinc/52/44/88/1073524488.db2.gz LZDBJHCPDWXSAW-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)C(=O)C1 ZINC000741179262 1073524695 /nfs/dbraw/zinc/52/46/95/1073524695.db2.gz LZDBJHCPDWXSAW-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C(=O)C1 ZINC000741180397 1073524720 /nfs/dbraw/zinc/52/47/20/1073524720.db2.gz RNNAYPAGMHBRAJ-UHFFFAOYSA-N 0 0 437.497 -0.985 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCC2CCN(S(C)(=O)=O)CC2)C(=O)C1 ZINC000741183697 1073524632 /nfs/dbraw/zinc/52/46/32/1073524632.db2.gz XUZPQZWLRWOASH-UHFFFAOYSA-N 0 0 432.543 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)Nc2ccc(C(=O)NCC(N)=O)cc2)C(=O)C1 ZINC000741184122 1073525064 /nfs/dbraw/zinc/52/50/64/1073525064.db2.gz UJXCYPRLXVDNIZ-UHFFFAOYSA-N 0 0 433.465 -0.081 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)n1 ZINC000741199800 1073526433 /nfs/dbraw/zinc/52/64/33/1073526433.db2.gz AKCADNIDEQIUQL-HNNXBMFYSA-N 0 0 427.527 -0.421 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)n1 ZINC000741199801 1073526327 /nfs/dbraw/zinc/52/63/27/1073526327.db2.gz AKCADNIDEQIUQL-OAHLLOKOSA-N 0 0 427.527 -0.421 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)n1 ZINC000741200281 1073526278 /nfs/dbraw/zinc/52/62/78/1073526278.db2.gz KJJXYLXFFSXBHW-UHFFFAOYSA-N 0 0 431.474 -0.062 20 0 IBADRN CN(CC(=O)Nc1ccn(CCS(C)(=O)=O)n1)C1=NS(=O)(=O)c2ccccc21 ZINC000741201620 1073526266 /nfs/dbraw/zinc/52/62/66/1073526266.db2.gz DTPYJFDVOXRZBG-UHFFFAOYSA-N 0 0 425.492 -0.053 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)Nc2ccn(CCS(C)(=O)=O)n2)C(=O)C1 ZINC000741203612 1073526311 /nfs/dbraw/zinc/52/63/11/1073526311.db2.gz YYJRMWLWXKZKMG-UHFFFAOYSA-N 0 0 429.499 -0.055 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(CC(=O)N3CCCC3)CC2)C(=O)C1 ZINC000741207883 1073526388 /nfs/dbraw/zinc/52/63/88/1073526388.db2.gz LGQUGWUNXZHFIK-UHFFFAOYSA-N 0 0 437.541 -0.168 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C(=O)C1 ZINC000741208373 1073525139 /nfs/dbraw/zinc/52/51/39/1073525139.db2.gz ZJDGLFLZTACFSY-HNNXBMFYSA-N 0 0 444.554 -0.603 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C(=O)C1 ZINC000741208374 1073525237 /nfs/dbraw/zinc/52/52/37/1073525237.db2.gz ZJDGLFLZTACFSY-OAHLLOKOSA-N 0 0 444.554 -0.603 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C(=O)C1 ZINC000741208521 1073525130 /nfs/dbraw/zinc/52/51/30/1073525130.db2.gz CLGPMPIBEZVWBM-AWEZNQCLSA-N 0 0 432.543 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C(=O)C1 ZINC000741208522 1073525072 /nfs/dbraw/zinc/52/50/72/1073525072.db2.gz CLGPMPIBEZVWBM-CQSZACIVSA-N 0 0 432.543 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(c3ncccc3C(N)=O)CC2)C(=O)C1 ZINC000741208895 1073525052 /nfs/dbraw/zinc/52/50/52/1073525052.db2.gz BSKLMBLMCKJRNB-UHFFFAOYSA-N 0 0 446.508 -0.092 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741209314 1073525151 /nfs/dbraw/zinc/52/51/51/1073525151.db2.gz LEHBUEOMXZELOD-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741210034 1073525122 /nfs/dbraw/zinc/52/51/22/1073525122.db2.gz YQZXLZAUAGTGMF-UHFFFAOYSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)C(=O)C1 ZINC000741212151 1073525263 /nfs/dbraw/zinc/52/52/63/1073525263.db2.gz HJSPCYVXCBGZOV-CYBMUJFWSA-N 0 0 433.531 -0.903 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)C(=O)C1 ZINC000741212154 1073525249 /nfs/dbraw/zinc/52/52/49/1073525249.db2.gz HJSPCYVXCBGZOV-ZDUSSCGKSA-N 0 0 433.531 -0.903 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741212444 1073525025 /nfs/dbraw/zinc/52/50/25/1073525025.db2.gz PMCUDTODGGXTRL-HNNXBMFYSA-N 0 0 437.541 -0.123 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741212446 1073525091 /nfs/dbraw/zinc/52/50/91/1073525091.db2.gz PMCUDTODGGXTRL-OAHLLOKOSA-N 0 0 437.541 -0.123 20 0 IBADRN Cc1nc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c2ccccn12 ZINC000741212671 1073525275 /nfs/dbraw/zinc/52/52/75/1073525275.db2.gz JYRYQTPTXVNLIJ-AWEZNQCLSA-N 0 0 426.520 -0.083 20 0 IBADRN Cc1nc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c2ccccn12 ZINC000741212673 1073525013 /nfs/dbraw/zinc/52/50/13/1073525013.db2.gz JYRYQTPTXVNLIJ-CQSZACIVSA-N 0 0 426.520 -0.083 20 0 IBADRN CN(C1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1)S(C)(=O)=O ZINC000741212909 1073525082 /nfs/dbraw/zinc/52/50/82/1073525082.db2.gz TZDGKMPJOIKDSE-UHFFFAOYSA-N 0 0 432.543 -0.052 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCN2CCN(c3ncccn3)CC2)C(=O)C1 ZINC000741213302 1073525929 /nfs/dbraw/zinc/52/59/29/1073525929.db2.gz NYYLLRLESYMYGG-UHFFFAOYSA-N 0 0 447.540 -0.206 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741213333 1073525202 /nfs/dbraw/zinc/52/52/02/1073525202.db2.gz YKSFFIWTKNJOOY-UHFFFAOYSA-N 0 0 439.513 -0.739 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741213436 1073525734 /nfs/dbraw/zinc/52/57/34/1073525734.db2.gz PFQNXIBOCXJAAQ-UHFFFAOYSA-N 0 0 432.543 -0.004 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741213784 1073525632 /nfs/dbraw/zinc/52/56/32/1073525632.db2.gz FSLRJJJMKKUNIY-UHFFFAOYSA-N 0 0 425.530 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C(=O)C1 ZINC000741213896 1073525844 /nfs/dbraw/zinc/52/58/44/1073525844.db2.gz JBQDAZROCWCMLR-UHFFFAOYSA-N 0 0 446.570 -0.309 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCCn2nc3n(c2=O)CCCC3)C(=O)C1 ZINC000741213983 1073525828 /nfs/dbraw/zinc/52/58/28/1073525828.db2.gz VLJMKQOSGIHFLT-UHFFFAOYSA-N 0 0 436.513 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCS(=O)(=O)NCC2CCC2)C(=O)C1 ZINC000741214176 1073525869 /nfs/dbraw/zinc/52/58/69/1073525869.db2.gz LZUWCOUCCCJDLJ-UHFFFAOYSA-N 0 0 432.543 -0.099 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)C(=O)C1 ZINC000741214331 1073525654 /nfs/dbraw/zinc/52/56/54/1073525654.db2.gz XVVKTUFRHNFSGW-UHFFFAOYSA-N 0 0 447.492 -0.403 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000741214678 1073525687 /nfs/dbraw/zinc/52/56/87/1073525687.db2.gz QDWCBGQCYXCIMD-UHFFFAOYSA-N 0 0 442.513 -0.601 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741214988 1073525551 /nfs/dbraw/zinc/52/55/51/1073525551.db2.gz VSYUCUOZHKNMAA-UHFFFAOYSA-N 0 0 439.513 -0.737 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741217347 1073525523 /nfs/dbraw/zinc/52/55/23/1073525523.db2.gz SSJNXFJCFBOYFM-UHFFFAOYSA-N 0 0 426.470 -0.700 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000741221799 1073525717 /nfs/dbraw/zinc/52/57/17/1073525717.db2.gz DALVHMKGSJESDW-HNNXBMFYSA-N 0 0 425.463 -0.789 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000741221800 1073525816 /nfs/dbraw/zinc/52/58/16/1073525816.db2.gz DALVHMKGSJESDW-OAHLLOKOSA-N 0 0 425.463 -0.789 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000741222856 1073526291 /nfs/dbraw/zinc/52/62/91/1073526291.db2.gz BSNYUKQOJZIWAA-HNNXBMFYSA-N 0 0 425.463 -0.789 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000741222857 1073526407 /nfs/dbraw/zinc/52/64/07/1073526407.db2.gz BSNYUKQOJZIWAA-OAHLLOKOSA-N 0 0 425.463 -0.789 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)CCO1 ZINC000741224237 1073526251 /nfs/dbraw/zinc/52/62/51/1073526251.db2.gz CXFRBKCBOUDYRY-AWEZNQCLSA-N 0 0 426.447 -0.118 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)CCO1 ZINC000741224243 1073526515 /nfs/dbraw/zinc/52/65/15/1073526515.db2.gz CXFRBKCBOUDYRY-CQSZACIVSA-N 0 0 426.447 -0.118 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)CSCC(=O)N2CCO[C@H](C(=O)OCC)C2)CCO1 ZINC000741227345 1073526505 /nfs/dbraw/zinc/52/65/05/1073526505.db2.gz XRKXQQFALVOGED-KBPBESRZSA-N 0 0 432.495 -0.699 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)CSCC(=O)N2CCO[C@H](C(=O)OCC)C2)CCO1 ZINC000741227346 1073526347 /nfs/dbraw/zinc/52/63/47/1073526347.db2.gz XRKXQQFALVOGED-OKILXGFUSA-N 0 0 432.495 -0.699 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)CSCC(=O)N2CCO[C@@H](C(=O)OCC)C2)CCO1 ZINC000741227347 1073526997 /nfs/dbraw/zinc/52/69/97/1073526997.db2.gz XRKXQQFALVOGED-ZIAGYGMSSA-N 0 0 432.495 -0.699 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000741229042 1073526986 /nfs/dbraw/zinc/52/69/86/1073526986.db2.gz WEHIQHOOEOONJC-FPCVCCKLSA-N 0 0 430.523 -0.113 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000741229045 1073527015 /nfs/dbraw/zinc/52/70/15/1073527015.db2.gz WEHIQHOOEOONJC-JJXSEGSLSA-N 0 0 430.523 -0.113 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000741229047 1073526869 /nfs/dbraw/zinc/52/68/69/1073526869.db2.gz WEHIQHOOEOONJC-JONQDZQNSA-N 0 0 430.523 -0.113 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000741229049 1073526933 /nfs/dbraw/zinc/52/69/33/1073526933.db2.gz WEHIQHOOEOONJC-QXSJWSMHSA-N 0 0 430.523 -0.113 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)CCO1 ZINC000741229329 1073526842 /nfs/dbraw/zinc/52/68/42/1073526842.db2.gz ZNOHWPLDPDCIGZ-HNNXBMFYSA-N 0 0 429.495 -0.331 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)CCO1 ZINC000741229332 1073526831 /nfs/dbraw/zinc/52/68/31/1073526831.db2.gz ZNOHWPLDPDCIGZ-OAHLLOKOSA-N 0 0 429.495 -0.331 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC000741230638 1073526894 /nfs/dbraw/zinc/52/68/94/1073526894.db2.gz NTQJUOCDOUTEGC-INIZCTEOSA-N 0 0 427.479 -0.425 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC000741230645 1073526945 /nfs/dbraw/zinc/52/69/45/1073526945.db2.gz NTQJUOCDOUTEGC-MRXNPFEDSA-N 0 0 427.479 -0.425 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCO1 ZINC000741230662 1073526918 /nfs/dbraw/zinc/52/69/18/1073526918.db2.gz NUAUHPHJCRAEGM-HNNXBMFYSA-N 0 0 428.511 -0.080 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCO1 ZINC000741230668 1073527058 /nfs/dbraw/zinc/52/70/58/1073527058.db2.gz NUAUHPHJCRAEGM-OAHLLOKOSA-N 0 0 428.511 -0.080 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CNC(=O)OCC(F)(F)F)CC2)cn1 ZINC000741231984 1073526819 /nfs/dbraw/zinc/52/68/19/1073526819.db2.gz FVNAMRHCNPIJAS-UHFFFAOYSA-N 0 0 427.405 -0.022 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)c3ccc(F)c(S(N)(=O)=O)c3)CC2)cn1 ZINC000741234101 1073526855 /nfs/dbraw/zinc/52/68/55/1073526855.db2.gz RBJACUCERXXKOW-UHFFFAOYSA-N 0 0 445.498 -0.210 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCO1 ZINC000741235430 1073526882 /nfs/dbraw/zinc/52/68/82/1073526882.db2.gz ZRBMZXQTVNWPJT-SECBINFHSA-N 0 0 428.243 -0.317 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCO1 ZINC000741235432 1073526958 /nfs/dbraw/zinc/52/69/58/1073526958.db2.gz ZRBMZXQTVNWPJT-VIFPVBQESA-N 0 0 428.243 -0.317 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCO1 ZINC000741244997 1073527504 /nfs/dbraw/zinc/52/75/04/1073527504.db2.gz CWCNCVJNYFGINV-MOPGFXCFSA-N 0 0 447.488 -0.239 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCO1 ZINC000741244999 1073527375 /nfs/dbraw/zinc/52/73/75/1073527375.db2.gz CWCNCVJNYFGINV-OALUTQOASA-N 0 0 447.488 -0.239 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCO1 ZINC000741245002 1073527458 /nfs/dbraw/zinc/52/74/58/1073527458.db2.gz CWCNCVJNYFGINV-RBUKOAKNSA-N 0 0 447.488 -0.239 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCO1 ZINC000741245007 1073527363 /nfs/dbraw/zinc/52/73/63/1073527363.db2.gz CWCNCVJNYFGINV-RTBURBONSA-N 0 0 447.488 -0.239 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CCO1 ZINC000741245062 1073526972 /nfs/dbraw/zinc/52/69/72/1073526972.db2.gz DKIDVLSULQLMLP-FOIQADDNSA-N 0 0 434.449 -0.243 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CCO1 ZINC000741245064 1073527045 /nfs/dbraw/zinc/52/70/45/1073527045.db2.gz DKIDVLSULQLMLP-MGPUTAFESA-N 0 0 434.449 -0.243 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CCO1 ZINC000741245066 1073526909 /nfs/dbraw/zinc/52/69/09/1073526909.db2.gz DKIDVLSULQLMLP-QRWLVFNGSA-N 0 0 434.449 -0.243 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CCO1 ZINC000741245068 1073526807 /nfs/dbraw/zinc/52/68/07/1073526807.db2.gz DKIDVLSULQLMLP-YWZLYKJASA-N 0 0 434.449 -0.243 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCO1 ZINC000741246151 1073527388 /nfs/dbraw/zinc/52/73/88/1073527388.db2.gz DCCISETXPYGUNF-GOSISDBHSA-N 0 0 439.534 -0.076 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CCO1 ZINC000741246153 1073527347 /nfs/dbraw/zinc/52/73/47/1073527347.db2.gz DCCISETXPYGUNF-SFHVURJKSA-N 0 0 439.534 -0.076 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000741246465 1073527337 /nfs/dbraw/zinc/52/73/37/1073527337.db2.gz DTGCVNCVDUAJLL-INIZCTEOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCO1 ZINC000741246467 1073527381 /nfs/dbraw/zinc/52/73/81/1073527381.db2.gz DTGCVNCVDUAJLL-MRXNPFEDSA-N 0 0 443.497 -0.078 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000741246485 1073527415 /nfs/dbraw/zinc/52/74/15/1073527415.db2.gz DVZWXMNSOCGFCL-KRWDZBQOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCO1 ZINC000741246487 1073527449 /nfs/dbraw/zinc/52/74/49/1073527449.db2.gz DVZWXMNSOCGFCL-QGZVFWFLSA-N 0 0 443.497 -0.078 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCO1 ZINC000741247819 1073527517 /nfs/dbraw/zinc/52/75/17/1073527517.db2.gz PIVIVSSVWGVXAY-KRWDZBQOSA-N 0 0 425.507 -0.217 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCO1 ZINC000741247820 1073527478 /nfs/dbraw/zinc/52/74/78/1073527478.db2.gz PIVIVSSVWGVXAY-QGZVFWFLSA-N 0 0 425.507 -0.217 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000741247877 1073527324 /nfs/dbraw/zinc/52/73/24/1073527324.db2.gz QLTCISNULDAXAY-KRWDZBQOSA-N 0 0 441.506 -0.090 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000741247878 1073527330 /nfs/dbraw/zinc/52/73/30/1073527330.db2.gz QLTCISNULDAXAY-QGZVFWFLSA-N 0 0 441.506 -0.090 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCO[C@@H](C(=O)OCC)C2)c(=O)[nH]c1=O ZINC000741249878 1073527439 /nfs/dbraw/zinc/52/74/39/1073527439.db2.gz ZSMYSOSSGOTXPV-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCO[C@H](C(=O)OCC)C2)c(=O)[nH]c1=O ZINC000741249880 1073527355 /nfs/dbraw/zinc/52/73/55/1073527355.db2.gz ZSMYSOSSGOTXPV-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCO1 ZINC000741251181 1073526495 /nfs/dbraw/zinc/52/64/95/1073526495.db2.gz ZPFSMOAPBVWFME-FOIQADDNSA-N 0 0 434.449 -0.243 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCO1 ZINC000741251187 1073526372 /nfs/dbraw/zinc/52/63/72/1073526372.db2.gz ZPFSMOAPBVWFME-MGPUTAFESA-N 0 0 434.449 -0.243 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCO1 ZINC000741251192 1073526420 /nfs/dbraw/zinc/52/64/20/1073526420.db2.gz ZPFSMOAPBVWFME-QRWLVFNGSA-N 0 0 434.449 -0.243 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCO1 ZINC000741251199 1073526396 /nfs/dbraw/zinc/52/63/96/1073526396.db2.gz ZPFSMOAPBVWFME-YWZLYKJASA-N 0 0 434.449 -0.243 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCO1 ZINC000741253167 1073528080 /nfs/dbraw/zinc/52/80/80/1073528080.db2.gz ZRBJCVXWTNFPAA-INIZCTEOSA-N 0 0 430.461 -0.376 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CCO1 ZINC000741253169 1073528010 /nfs/dbraw/zinc/52/80/10/1073528010.db2.gz ZRBJCVXWTNFPAA-MRXNPFEDSA-N 0 0 430.461 -0.376 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000741253194 1073528045 /nfs/dbraw/zinc/52/80/45/1073528045.db2.gz ZSWXJFPZNFCDFN-KRWDZBQOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCO1 ZINC000741253198 1073527942 /nfs/dbraw/zinc/52/79/42/1073527942.db2.gz ZSWXJFPZNFCDFN-QGZVFWFLSA-N 0 0 443.497 -0.078 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000741254113 1073528069 /nfs/dbraw/zinc/52/80/69/1073528069.db2.gz LWNLYPKSLGNCAX-KRWDZBQOSA-N 0 0 441.506 -0.090 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000741254114 1073528154 /nfs/dbraw/zinc/52/81/54/1073528154.db2.gz LWNLYPKSLGNCAX-QGZVFWFLSA-N 0 0 441.506 -0.090 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CCO1 ZINC000741254661 1073528090 /nfs/dbraw/zinc/52/80/90/1073528090.db2.gz NYLYMRBQYMALMJ-HNNXBMFYSA-N 0 0 444.510 -0.612 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CCO1 ZINC000741254664 1073527967 /nfs/dbraw/zinc/52/79/67/1073527967.db2.gz NYLYMRBQYMALMJ-OAHLLOKOSA-N 0 0 444.510 -0.612 20 0 IBADRN CCOC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000741254921 1073528036 /nfs/dbraw/zinc/52/80/36/1073528036.db2.gz OWJZHNIQXATROA-KBXCAEBGSA-N 0 0 441.462 -0.298 20 0 IBADRN CCOC(=O)[C@H]1CN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000741254922 1073528052 /nfs/dbraw/zinc/52/80/52/1073528052.db2.gz OWJZHNIQXATROA-KDOFPFPSSA-N 0 0 441.462 -0.298 20 0 IBADRN CCOC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000741254923 1073527855 /nfs/dbraw/zinc/52/78/55/1073527855.db2.gz OWJZHNIQXATROA-KSSFIOAISA-N 0 0 441.462 -0.298 20 0 IBADRN CCOC(=O)[C@H]1CN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CCO1 ZINC000741254924 1073528096 /nfs/dbraw/zinc/52/80/96/1073528096.db2.gz OWJZHNIQXATROA-RDTXWAMCSA-N 0 0 441.462 -0.298 20 0 IBADRN CCOC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000741258843 1073528615 /nfs/dbraw/zinc/52/86/15/1073528615.db2.gz YZVCSTJGBUMEHQ-INIZCTEOSA-N 0 0 448.519 -0.340 20 0 IBADRN CCOC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000741258849 1073528607 /nfs/dbraw/zinc/52/86/07/1073528607.db2.gz YZVCSTJGBUMEHQ-MRXNPFEDSA-N 0 0 448.519 -0.340 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CCO1 ZINC000741277924 1073528057 /nfs/dbraw/zinc/52/80/57/1073528057.db2.gz CXYIDJKSABDPCZ-INIZCTEOSA-N 0 0 433.527 -0.067 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CCO1 ZINC000741277925 1073528025 /nfs/dbraw/zinc/52/80/25/1073528025.db2.gz CXYIDJKSABDPCZ-MRXNPFEDSA-N 0 0 433.527 -0.067 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC000741278030 1073527956 /nfs/dbraw/zinc/52/79/56/1073527956.db2.gz QLUKCHRNSILEQV-HNNXBMFYSA-N 0 0 429.499 -0.453 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC000741278031 1073528142 /nfs/dbraw/zinc/52/81/42/1073528142.db2.gz QLUKCHRNSILEQV-OAHLLOKOSA-N 0 0 429.499 -0.453 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C(=O)C1 ZINC000741282370 1073528629 /nfs/dbraw/zinc/52/86/29/1073528629.db2.gz OPOUGVAIBGXUJC-UHFFFAOYSA-N 0 0 446.508 -0.092 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000741288200 1073528556 /nfs/dbraw/zinc/52/85/56/1073528556.db2.gz GDDJIAWEUFKXHR-ADSBAMQRSA-N 0 0 432.546 -0.015 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000741288203 1073528539 /nfs/dbraw/zinc/52/85/39/1073528539.db2.gz GDDJIAWEUFKXHR-HZAQMHFWSA-N 0 0 432.546 -0.015 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000741288206 1073528549 /nfs/dbraw/zinc/52/85/49/1073528549.db2.gz GDDJIAWEUFKXHR-QTEAWJPNSA-N 0 0 432.546 -0.015 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)CNC(=O)[C@@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000741288210 1073528512 /nfs/dbraw/zinc/52/85/12/1073528512.db2.gz GDDJIAWEUFKXHR-WWOZWPLTSA-N 0 0 432.546 -0.015 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC[S@@](C)=O)CC2)C1 ZINC000741289200 1073528491 /nfs/dbraw/zinc/52/84/91/1073528491.db2.gz AFSPICXIFSIYQU-DEXQXJORSA-N 0 0 445.611 -0.050 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC[S@](C)=O)CC2)C1 ZINC000741289203 1073528580 /nfs/dbraw/zinc/52/85/80/1073528580.db2.gz AFSPICXIFSIYQU-HJWYETAXSA-N 0 0 445.611 -0.050 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC[S@](C)=O)CC2)C1 ZINC000741289206 1073528576 /nfs/dbraw/zinc/52/85/76/1073528576.db2.gz AFSPICXIFSIYQU-OLRZCDJHSA-N 0 0 445.611 -0.050 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC[S@@](C)=O)CC2)C1 ZINC000741289209 1073528593 /nfs/dbraw/zinc/52/85/93/1073528593.db2.gz AFSPICXIFSIYQU-WVDZOPJMSA-N 0 0 445.611 -0.050 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000741289362 1073528847 /nfs/dbraw/zinc/52/88/47/1073528847.db2.gz CWJDDEKOXHJCJX-HNNXBMFYSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000741289364 1073528962 /nfs/dbraw/zinc/52/89/62/1073528962.db2.gz CWJDDEKOXHJCJX-OAHLLOKOSA-N 0 0 427.479 -0.543 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(CC[S@@](C)=O)CC2)c1 ZINC000741289460 1073528976 /nfs/dbraw/zinc/52/89/76/1073528976.db2.gz LPTCFOCOUSOIIT-MUUNZHRXSA-N 0 0 446.595 -0.599 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(CC[S@](C)=O)CC2)c1 ZINC000741289461 1073529089 /nfs/dbraw/zinc/52/90/89/1073529089.db2.gz LPTCFOCOUSOIIT-NDEPHWFRSA-N 0 0 446.595 -0.599 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CC[S@@](C)=O)CC2)cc1 ZINC000741289792 1073528589 /nfs/dbraw/zinc/52/85/89/1073528589.db2.gz DCRYEFKEFCCQHM-MUUNZHRXSA-N 0 0 444.579 -0.164 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(CC[S@](C)=O)CC2)cc1 ZINC000741289793 1073528935 /nfs/dbraw/zinc/52/89/35/1073528935.db2.gz DCRYEFKEFCCQHM-NDEPHWFRSA-N 0 0 444.579 -0.164 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(CC[S@@](C)=O)CC3)cc2S1(=O)=O ZINC000741290411 1073528948 /nfs/dbraw/zinc/52/89/48/1073528948.db2.gz FQYKJDIEFJFCNN-MUUNZHRXSA-N 0 0 443.547 -0.386 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(CC[S@](C)=O)CC3)cc2S1(=O)=O ZINC000741290414 1073529066 /nfs/dbraw/zinc/52/90/66/1073529066.db2.gz FQYKJDIEFJFCNN-NDEPHWFRSA-N 0 0 443.547 -0.386 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000741291234 1073529013 /nfs/dbraw/zinc/52/90/13/1073529013.db2.gz MQTIKYLGHZUXBP-INIZCTEOSA-N 0 0 428.463 -0.083 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCO1 ZINC000741291235 1073528862 /nfs/dbraw/zinc/52/88/62/1073528862.db2.gz MQTIKYLGHZUXBP-MRXNPFEDSA-N 0 0 428.463 -0.083 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@H](C(=O)OCC)C3)n2)CCO1 ZINC000741292161 1073528920 /nfs/dbraw/zinc/52/89/20/1073528920.db2.gz PEFKQBYTZHXRKZ-CALCHBBNSA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@@H](C(=O)OCC)C3)n2)CCO1 ZINC000741292164 1073529101 /nfs/dbraw/zinc/52/91/01/1073529101.db2.gz PEFKQBYTZHXRKZ-IAGOWNOFSA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@H](C(=O)OCC)C3)n2)CCO1 ZINC000741292168 1073529001 /nfs/dbraw/zinc/52/90/01/1073529001.db2.gz PEFKQBYTZHXRKZ-IRXDYDNUSA-N 0 0 449.460 -0.110 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CC[S@@](C)=O)CC2)c1 ZINC000741292589 1073529044 /nfs/dbraw/zinc/52/90/44/1073529044.db2.gz LLBQPLYFZUVCIY-MUUNZHRXSA-N 0 0 444.579 -0.811 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CC[S@](C)=O)CC2)c1 ZINC000741292591 1073528905 /nfs/dbraw/zinc/52/89/05/1073528905.db2.gz LLBQPLYFZUVCIY-NDEPHWFRSA-N 0 0 444.579 -0.811 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000741294636 1073528990 /nfs/dbraw/zinc/52/89/90/1073528990.db2.gz OGFZXELHMKISQA-MUUNZHRXSA-N 0 0 445.563 -0.351 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000741294637 1073528874 /nfs/dbraw/zinc/52/88/74/1073528874.db2.gz OGFZXELHMKISQA-NDEPHWFRSA-N 0 0 445.563 -0.351 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2cncc(C(=O)N3CCO[C@H](C(=O)OCC)C3)c2)CCO1 ZINC000741296691 1073528618 /nfs/dbraw/zinc/52/86/18/1073528618.db2.gz MZTHLCKKSHEOHB-CALCHBBNSA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2cncc(C(=O)N3CCO[C@@H](C(=O)OCC)C3)c2)CCO1 ZINC000741296694 1073528525 /nfs/dbraw/zinc/52/85/25/1073528525.db2.gz MZTHLCKKSHEOHB-IAGOWNOFSA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2cncc(C(=O)N3CCO[C@H](C(=O)OCC)C3)c2)CCO1 ZINC000741296699 1073528585 /nfs/dbraw/zinc/52/85/85/1073528585.db2.gz MZTHLCKKSHEOHB-IRXDYDNUSA-N 0 0 449.460 -0.110 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000741297105 1073528597 /nfs/dbraw/zinc/52/85/97/1073528597.db2.gz KJWVCPZFILUUKU-AREMUKBSSA-N 0 0 435.593 -0.095 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000741297107 1073528603 /nfs/dbraw/zinc/52/86/03/1073528603.db2.gz KJWVCPZFILUUKU-SANMLTNESA-N 0 0 435.593 -0.095 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000741304193 1073529782 /nfs/dbraw/zinc/52/97/82/1073529782.db2.gz VUGRZVSLMHNTNK-HHHXNRCGSA-N 0 0 429.564 -0.156 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000741304197 1073529413 /nfs/dbraw/zinc/52/94/13/1073529413.db2.gz VUGRZVSLMHNTNK-MHZLTWQESA-N 0 0 429.564 -0.156 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN(CC[S@@](C)=O)CC1)c2=O ZINC000741304216 1073529582 /nfs/dbraw/zinc/52/95/82/1073529582.db2.gz VXJYWBGABXREJL-AREMUKBSSA-N 0 0 445.343 -0.585 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN(CC[S@](C)=O)CC1)c2=O ZINC000741304218 1073529717 /nfs/dbraw/zinc/52/97/17/1073529717.db2.gz VXJYWBGABXREJL-SANMLTNESA-N 0 0 445.343 -0.585 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cccc(CN3CCOCC3)c2)CC1 ZINC000741337380 1073530383 /nfs/dbraw/zinc/53/03/83/1073530383.db2.gz IHONNTNLCOJFFW-UHFFFAOYSA-N 0 0 432.521 -0.092 20 0 IBADRN CCN1CCN(c2ccc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)cc2F)CC1 ZINC000741343313 1073530449 /nfs/dbraw/zinc/53/04/49/1073530449.db2.gz BRATZXPEWFQWRP-UHFFFAOYSA-N 0 0 447.467 -0.013 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C[C@H]2CN(Cc3ccccc3)CCO2)c(=O)n(C)c1=O ZINC000741350593 1073530308 /nfs/dbraw/zinc/53/03/08/1073530308.db2.gz DMGKBFYOLHGDKP-HNNXBMFYSA-N 0 0 430.461 -0.317 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C[C@@H]2CN(Cc3ccccc3)CCO2)c(=O)n(C)c1=O ZINC000741350597 1073530342 /nfs/dbraw/zinc/53/03/42/1073530342.db2.gz DMGKBFYOLHGDKP-OAHLLOKOSA-N 0 0 430.461 -0.317 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)C1 ZINC000741360225 1073530471 /nfs/dbraw/zinc/53/04/71/1073530471.db2.gz VSLBNWHYIHWVIF-KRWDZBQOSA-N 0 0 439.557 -0.160 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)C1 ZINC000741360228 1073530323 /nfs/dbraw/zinc/53/03/23/1073530323.db2.gz VSLBNWHYIHWVIF-QGZVFWFLSA-N 0 0 439.557 -0.160 20 0 IBADRN COCC(=O)N[C@H](C(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O)C(C)C ZINC000741362622 1073530108 /nfs/dbraw/zinc/53/01/08/1073530108.db2.gz GEDSXXAXGJISMX-IHBYNMNLSA-N 0 0 426.470 -0.151 20 0 IBADRN COCC(=O)N[C@H](C(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C)C(C)C ZINC000741362817 1073530365 /nfs/dbraw/zinc/53/03/65/1073530365.db2.gz IHPORPMCPFGSPJ-SGTLLEGYSA-N 0 0 441.506 -0.058 20 0 IBADRN COCC(=O)N[C@H](C(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C)C(C)C ZINC000741362819 1073530375 /nfs/dbraw/zinc/53/03/75/1073530375.db2.gz IHPORPMCPFGSPJ-XIKOKIGWSA-N 0 0 441.506 -0.058 20 0 IBADRN COCC(=O)N[C@H](C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21)C(C)C ZINC000741362883 1073530254 /nfs/dbraw/zinc/53/02/54/1073530254.db2.gz JSEJTZXUTGFVSS-KRWDZBQOSA-N 0 0 427.479 -0.447 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCCO2)OCC(=O)N1CCNC(=O)C1 ZINC000741368446 1073530298 /nfs/dbraw/zinc/53/02/98/1073530298.db2.gz SSZRBAOBKGAGJM-UHFFFAOYSA-N 0 0 426.447 -0.487 20 0 IBADRN COCC(=O)N[C@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)C(C)C ZINC000741368591 1073530221 /nfs/dbraw/zinc/53/02/21/1073530221.db2.gz VHWYYBDKFKHJEW-KRWDZBQOSA-N 0 0 447.496 -0.658 20 0 IBADRN COCC(=O)N[C@H](C(=O)OCc1nnnn1-c1ccc(S(C)(=O)=O)cc1)C(C)C ZINC000741368622 1073530440 /nfs/dbraw/zinc/53/04/40/1073530440.db2.gz VWNYGAPXMFSERB-INIZCTEOSA-N 0 0 425.467 -0.104 20 0 IBADRN C[C@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000741370803 1073530397 /nfs/dbraw/zinc/53/03/97/1073530397.db2.gz BDZXLCSSEJXXDK-KBPBESRZSA-N 0 0 440.497 -0.279 20 0 IBADRN C[C@@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000741370810 1073530354 /nfs/dbraw/zinc/53/03/54/1073530354.db2.gz BDZXLCSSEJXXDK-KGLIPLIRSA-N 0 0 440.497 -0.279 20 0 IBADRN C[C@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000741370817 1073530271 /nfs/dbraw/zinc/53/02/71/1073530271.db2.gz BDZXLCSSEJXXDK-UONOGXRCSA-N 0 0 440.497 -0.279 20 0 IBADRN C[C@@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000741370821 1073530407 /nfs/dbraw/zinc/53/04/07/1073530407.db2.gz BDZXLCSSEJXXDK-ZIAGYGMSSA-N 0 0 440.497 -0.279 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)OCC(=O)NC(=O)NCC(F)(F)F)C(=O)C1 ZINC000741371544 1073530955 /nfs/dbraw/zinc/53/09/55/1073530955.db2.gz AMEPWUJOMCCBSU-UHFFFAOYSA-N 0 0 440.375 -0.003 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)OCC(=O)NCC(=O)N2CCCC2)C(=O)C1 ZINC000741371776 1073530788 /nfs/dbraw/zinc/53/07/88/1073530788.db2.gz DAZDKRXZAAVJNE-UHFFFAOYSA-N 0 0 426.470 -0.653 20 0 IBADRN C[C@@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N(C)CC(=O)NC1CC1 ZINC000741372019 1073530711 /nfs/dbraw/zinc/53/07/11/1073530711.db2.gz HAOHRFNLCGMOMA-CYBMUJFWSA-N 0 0 440.497 -0.266 20 0 IBADRN C[C@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N(C)CC(=O)NC1CC1 ZINC000741372023 1073530978 /nfs/dbraw/zinc/53/09/78/1073530978.db2.gz HAOHRFNLCGMOMA-ZDUSSCGKSA-N 0 0 440.497 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)C(=O)C1 ZINC000741372030 1073530944 /nfs/dbraw/zinc/53/09/44/1073530944.db2.gz HEIKXKGETWTRAK-CYBMUJFWSA-N 0 0 426.470 -0.525 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)C(=O)C1 ZINC000741372032 1073530744 /nfs/dbraw/zinc/53/07/44/1073530744.db2.gz HEIKXKGETWTRAK-ZDUSSCGKSA-N 0 0 426.470 -0.525 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000741372349 1073530988 /nfs/dbraw/zinc/53/09/88/1073530988.db2.gz JUWRCKZOMZRPES-GFCCVEGCSA-N 0 0 430.458 -0.530 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000741372360 1073530916 /nfs/dbraw/zinc/53/09/16/1073530916.db2.gz JUWRCKZOMZRPES-LBPRGKRZSA-N 0 0 430.458 -0.530 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741372852 1073530815 /nfs/dbraw/zinc/53/08/15/1073530815.db2.gz KNTWKIYMSSEUTP-UHFFFAOYSA-N 0 0 440.497 -0.264 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741374046 1073530822 /nfs/dbraw/zinc/53/08/22/1073530822.db2.gz NIZLXPWZUSMKTD-UHFFFAOYSA-N 0 0 426.470 -0.700 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000741374069 1073530733 /nfs/dbraw/zinc/53/07/33/1073530733.db2.gz QZLDOVOJKALETK-HNNXBMFYSA-N 0 0 440.497 -0.264 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000741374071 1073530967 /nfs/dbraw/zinc/53/09/67/1073530967.db2.gz QZLDOVOJKALETK-OAHLLOKOSA-N 0 0 440.497 -0.264 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741374208 1073530800 /nfs/dbraw/zinc/53/08/00/1073530800.db2.gz RCEPNCQLPWDJAI-AWEZNQCLSA-N 0 0 440.497 -0.312 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000741374210 1073530880 /nfs/dbraw/zinc/53/08/80/1073530880.db2.gz RCEPNCQLPWDJAI-CQSZACIVSA-N 0 0 440.497 -0.312 20 0 IBADRN C[C@@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N1CCC(C(N)=O)CC1 ZINC000741375414 1073530832 /nfs/dbraw/zinc/53/08/32/1073530832.db2.gz UVTILMQJHWLMAU-CYBMUJFWSA-N 0 0 440.497 -0.279 20 0 IBADRN C[C@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N1CCC(C(N)=O)CC1 ZINC000741375416 1073530904 /nfs/dbraw/zinc/53/09/04/1073530904.db2.gz UVTILMQJHWLMAU-ZDUSSCGKSA-N 0 0 440.497 -0.279 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000741375421 1073530893 /nfs/dbraw/zinc/53/08/93/1073530893.db2.gz UYLCVFBSVYCHFQ-UHFFFAOYSA-N 0 0 428.486 -0.360 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)OCC(=O)N2CCC3(CC2)OCCO3)C(=O)C1 ZINC000741376347 1073530930 /nfs/dbraw/zinc/53/09/30/1073530930.db2.gz ZLVOASUFEHRKED-UHFFFAOYSA-N 0 0 441.481 -0.026 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CN(Cc4ccccc4)CCO3)c2c(=O)n(C)c1=O ZINC000741376721 1073530757 /nfs/dbraw/zinc/53/07/57/1073530757.db2.gz DAQLFMQTMYAVAQ-INIZCTEOSA-N 0 0 427.461 -0.122 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CN(Cc4ccccc4)CCO3)c2c(=O)n(C)c1=O ZINC000741376722 1073530847 /nfs/dbraw/zinc/53/08/47/1073530847.db2.gz DAQLFMQTMYAVAQ-MRXNPFEDSA-N 0 0 427.461 -0.122 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000741377467 1073531612 /nfs/dbraw/zinc/53/16/12/1073531612.db2.gz HZNXBNGRPAINJK-IBGZPJMESA-N 0 0 432.521 -0.337 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CN(Cc3ccccc3)CCO2)CC1 ZINC000741377468 1073531440 /nfs/dbraw/zinc/53/14/40/1073531440.db2.gz HZNXBNGRPAINJK-LJQANCHMSA-N 0 0 432.521 -0.337 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](CC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)C1 ZINC000741377973 1073531572 /nfs/dbraw/zinc/53/15/72/1073531572.db2.gz AUOVWFMLGPXUEL-CYBMUJFWSA-N 0 0 437.497 -0.140 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](CC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)C1 ZINC000741377974 1073531422 /nfs/dbraw/zinc/53/14/22/1073531422.db2.gz AUOVWFMLGPXUEL-ZDUSSCGKSA-N 0 0 437.497 -0.140 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CN(Cc2ccccc2)CCO1)S(C)(=O)=O ZINC000741388152 1073530176 /nfs/dbraw/zinc/53/01/76/1073530176.db2.gz RFPXHCRFUHYOJA-KRWDZBQOSA-N 0 0 427.523 -0.172 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CN(Cc2ccccc2)CCO1)S(C)(=O)=O ZINC000741388153 1073530123 /nfs/dbraw/zinc/53/01/23/1073530123.db2.gz RFPXHCRFUHYOJA-QGZVFWFLSA-N 0 0 427.523 -0.172 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000741393943 1073532173 /nfs/dbraw/zinc/53/21/73/1073532173.db2.gz KGHBYCZCRASPKF-HNNXBMFYSA-N 0 0 441.510 -0.041 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCO1 ZINC000741393944 1073532240 /nfs/dbraw/zinc/53/22/40/1073532240.db2.gz KGHBYCZCRASPKF-OAHLLOKOSA-N 0 0 441.510 -0.041 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCC2(O)CCS(=O)(=O)CC2)CC1 ZINC000741403639 1073531374 /nfs/dbraw/zinc/53/13/74/1073531374.db2.gz HQFPISVYQHLIPF-UHFFFAOYSA-N 0 0 448.542 -0.695 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCO1 ZINC000741405515 1073531632 /nfs/dbraw/zinc/53/16/32/1073531632.db2.gz BKYGANMFNUKUHX-HNNXBMFYSA-N 0 0 429.495 -0.227 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCO1 ZINC000741405518 1073531407 /nfs/dbraw/zinc/53/14/07/1073531407.db2.gz BKYGANMFNUKUHX-OAHLLOKOSA-N 0 0 429.495 -0.227 20 0 IBADRN O=C(NCc1nnc(-c2ccccc2)[nH]1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000741409112 1073531639 /nfs/dbraw/zinc/53/16/39/1073531639.db2.gz GJGNJJTYXVYGSQ-UHFFFAOYSA-N 0 0 435.510 -0.124 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)NCC2(O)CCS(=O)(=O)CC2)CC1 ZINC000741410581 1073531602 /nfs/dbraw/zinc/53/16/02/1073531602.db2.gz KNWPEEMSMOVOLJ-UHFFFAOYSA-N 0 0 434.559 -0.222 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3nnc(-c4ccccc4)[nH]3)CC2)CC1 ZINC000741411926 1073531317 /nfs/dbraw/zinc/53/13/17/1073531317.db2.gz BEQUSCVXTYXECZ-UHFFFAOYSA-N 0 0 448.553 -0.209 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2nnc(-c3ccccc3)[nH]2)CC1 ZINC000741412041 1073531580 /nfs/dbraw/zinc/53/15/80/1073531580.db2.gz CKUODGSMKSYQOB-UHFFFAOYSA-N 0 0 425.449 -0.026 20 0 IBADRN O=C(NCc1nnc(-c2ccccc2)[nH]1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000741415895 1073531491 /nfs/dbraw/zinc/53/14/91/1073531491.db2.gz JCEIEXHBTDISCO-UHFFFAOYSA-N 0 0 427.465 -0.316 20 0 IBADRN CC[S@@](=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000741417645 1073532218 /nfs/dbraw/zinc/53/22/18/1073532218.db2.gz LSQMLVFGQFXEOR-HHHXNRCGSA-N 0 0 428.536 -0.034 20 0 IBADRN CC[S@](=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000741417647 1073532155 /nfs/dbraw/zinc/53/21/55/1073532155.db2.gz LSQMLVFGQFXEOR-MHZLTWQESA-N 0 0 428.536 -0.034 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCC[S@](=O)Cc2ccccc2)CC1 ZINC000741419617 1073531560 /nfs/dbraw/zinc/53/15/60/1073531560.db2.gz LNGPDLWBUPRZKM-PMERELPUSA-N 0 0 434.518 -0.062 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCC[S@@](=O)Cc2ccccc2)CC1 ZINC000741419618 1073531355 /nfs/dbraw/zinc/53/13/55/1073531355.db2.gz LNGPDLWBUPRZKM-SSEXGKCCSA-N 0 0 434.518 -0.062 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)C(=O)C1 ZINC000741437938 1073532054 /nfs/dbraw/zinc/53/20/54/1073532054.db2.gz BUOIUAPBVVGUGR-UHFFFAOYSA-N 0 0 434.449 -0.114 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)Oc2cccc(C(=O)NCC(N)=O)c2)C(=O)C1 ZINC000741437971 1073531957 /nfs/dbraw/zinc/53/19/57/1073531957.db2.gz CUXUKFLNFKYOGG-UHFFFAOYSA-N 0 0 434.449 -0.114 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)c1ccnc(C(=O)NCCC2N=NC(=S)N2C)c1 ZINC000741446713 1073532035 /nfs/dbraw/zinc/53/20/35/1073532035.db2.gz BWYLPIVMGFDOQJ-UHFFFAOYSA-N 0 0 447.550 -0.139 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cn(C)nn2)c(=O)n1CC(=O)Nc1cccc(F)c1 ZINC000741473051 1073532202 /nfs/dbraw/zinc/53/22/02/1073532202.db2.gz ZBBGLYQKLRPYHZ-UHFFFAOYSA-N 0 0 431.449 -0.012 20 0 IBADRN CC(C)OC(=O)CSCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000741476176 1073532875 /nfs/dbraw/zinc/53/28/75/1073532875.db2.gz JCTPURSBTTXSFM-UHFFFAOYSA-N 0 0 447.535 -0.013 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)Nc2cnn(CC(=O)N3CCOCC3)c2)cc1 ZINC000741482819 1073532780 /nfs/dbraw/zinc/53/27/80/1073532780.db2.gz DBPAIEJKXYJMLS-UHFFFAOYSA-N 0 0 438.535 -0.124 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000741549819 1073532835 /nfs/dbraw/zinc/53/28/35/1073532835.db2.gz MYGUQXYNHTYRHX-UHFFFAOYSA-N 0 0 431.536 -0.073 20 0 IBADRN CN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000741597002 1073532652 /nfs/dbraw/zinc/53/26/52/1073532652.db2.gz QSMAIDRDDKEQAB-BPLDGKMQSA-N 0 0 433.552 -0.034 20 0 IBADRN CN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000741597003 1073532916 /nfs/dbraw/zinc/53/29/16/1073532916.db2.gz QSMAIDRDDKEQAB-SNPRPXQTSA-N 0 0 433.552 -0.034 20 0 IBADRN CN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000741597004 1073532906 /nfs/dbraw/zinc/53/29/06/1073532906.db2.gz QSMAIDRDDKEQAB-VHDGCEQUSA-N 0 0 433.552 -0.034 20 0 IBADRN CN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000741597005 1073532700 /nfs/dbraw/zinc/53/27/00/1073532700.db2.gz QSMAIDRDDKEQAB-YUELXQCFSA-N 0 0 433.552 -0.034 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCOCCS(C)(=O)=O)CC2)c1 ZINC000741618183 1073532766 /nfs/dbraw/zinc/53/27/66/1073532766.db2.gz DXTZOZODEHKOMS-UHFFFAOYSA-N 0 0 447.579 -0.376 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCOCCS(C)(=O)=O)C2=O)cc1 ZINC000741618333 1073532792 /nfs/dbraw/zinc/53/27/92/1073532792.db2.gz HOGLAVSIYNMHCV-GOSISDBHSA-N 0 0 427.479 -0.360 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCOCCS(C)(=O)=O)C2=O)cc1 ZINC000741618335 1073532668 /nfs/dbraw/zinc/53/26/68/1073532668.db2.gz HOGLAVSIYNMHCV-SFHVURJKSA-N 0 0 427.479 -0.360 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCOCCS(C)(=O)=O)cc1 ZINC000741618420 1073532755 /nfs/dbraw/zinc/53/27/55/1073532755.db2.gz IWAVZOCJJPCJGR-UHFFFAOYSA-N 0 0 435.524 -0.557 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCOCCS(C)(=O)=O)CC2)cc1 ZINC000741618717 1073533419 /nfs/dbraw/zinc/53/34/19/1073533419.db2.gz JOJSCOYCEGMMOT-UHFFFAOYSA-N 0 0 447.579 -0.521 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000741618833 1073532850 /nfs/dbraw/zinc/53/28/50/1073532850.db2.gz MGPTVWGLTQIVAP-UHFFFAOYSA-N 0 0 438.499 -0.362 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000741618840 1073532631 /nfs/dbraw/zinc/53/26/31/1073532631.db2.gz MGRYTBZPTWDIMY-UHFFFAOYSA-N 0 0 438.499 -0.362 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCOCCS(C)(=O)=O ZINC000741618900 1073533437 /nfs/dbraw/zinc/53/34/37/1073533437.db2.gz NGISSLJONONXNO-UHFFFAOYSA-N 0 0 434.536 -0.193 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCN1C(=O)/C=C/c1ccc(C(N)=O)cc1 ZINC000741619341 1073532744 /nfs/dbraw/zinc/53/27/44/1073532744.db2.gz RJGFESYZJNAQIH-NADMHLTPSA-N 0 0 437.518 -0.033 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@H]1CCCN1C(=O)/C=C/c1ccc(C(N)=O)cc1 ZINC000741619343 1073532893 /nfs/dbraw/zinc/53/28/93/1073532893.db2.gz RJGFESYZJNAQIH-RCMYXZNBSA-N 0 0 437.518 -0.033 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCN1C(=O)/C=C\c1ccc(C(N)=O)cc1 ZINC000741619345 1073532801 /nfs/dbraw/zinc/53/28/01/1073532801.db2.gz RJGFESYZJNAQIH-SKHCZCESSA-N 0 0 437.518 -0.033 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@H]1CCCN1C(=O)/C=C\c1ccc(C(N)=O)cc1 ZINC000741619347 1073532687 /nfs/dbraw/zinc/53/26/87/1073532687.db2.gz RJGFESYZJNAQIH-UXHZXRBQSA-N 0 0 437.518 -0.033 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000741620401 1073533303 /nfs/dbraw/zinc/53/33/03/1073533303.db2.gz ZGLMDWIPDLNIGU-UHFFFAOYSA-N 0 0 448.563 -0.183 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCOCCS(C)(=O)=O)cc2S1(=O)=O ZINC000741622701 1073533332 /nfs/dbraw/zinc/53/33/32/1073533332.db2.gz RKLLWSKORGFAMH-UHFFFAOYSA-N 0 0 434.492 -0.732 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000741623142 1073533374 /nfs/dbraw/zinc/53/33/74/1073533374.db2.gz IOEWJQSVOIMZAK-UHFFFAOYSA-N 0 0 426.538 -0.440 20 0 IBADRN Cc1ccc(C(=O)NCCOCCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000741623152 1073533455 /nfs/dbraw/zinc/53/34/55/1073533455.db2.gz URZOZOQZJCYXRI-UHFFFAOYSA-N 0 0 434.536 -0.193 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCOCCS(C)(=O)=O)C1 ZINC000741623268 1073533402 /nfs/dbraw/zinc/53/34/02/1073533402.db2.gz XOHHXFAMAWTQSO-AWEZNQCLSA-N 0 0 437.540 -0.130 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCOCCS(C)(=O)=O)C1 ZINC000741623270 1073533464 /nfs/dbraw/zinc/53/34/64/1073533464.db2.gz XOHHXFAMAWTQSO-CQSZACIVSA-N 0 0 437.540 -0.130 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)Nc2cnn(CC(=O)NCCOC)c2)c1=O ZINC000741634022 1073533945 /nfs/dbraw/zinc/53/39/45/1073533945.db2.gz AWWPRRKXMUGLGA-UHFFFAOYSA-N 0 0 428.449 -0.219 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)cn1 ZINC000741635241 1073533783 /nfs/dbraw/zinc/53/37/83/1073533783.db2.gz OKSBHFWYWPUZBT-INIZCTEOSA-N 0 0 431.428 -0.157 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)cn1 ZINC000741635245 1073533917 /nfs/dbraw/zinc/53/39/17/1073533917.db2.gz OKSBHFWYWPUZBT-MRXNPFEDSA-N 0 0 431.428 -0.157 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)cn1 ZINC000741635308 1073533814 /nfs/dbraw/zinc/53/38/14/1073533814.db2.gz PPIQNGTVLMHSQS-NRFANRHFSA-N 0 0 440.460 -0.022 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)cn1 ZINC000741635309 1073533894 /nfs/dbraw/zinc/53/38/94/1073533894.db2.gz PPIQNGTVLMHSQS-OAQYLSRUSA-N 0 0 440.460 -0.022 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)cn1 ZINC000741635567 1073533879 /nfs/dbraw/zinc/53/38/79/1073533879.db2.gz IEYMSWDAHAGJPV-UHFFFAOYSA-N 0 0 434.478 -0.335 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)cn1 ZINC000741636492 1073533771 /nfs/dbraw/zinc/53/37/71/1073533771.db2.gz PMGVRRWLOAKFGK-UHFFFAOYSA-N 0 0 438.485 -0.337 20 0 IBADRN COc1ccc(NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1-n1cnnn1 ZINC000741637324 1073533928 /nfs/dbraw/zinc/53/39/28/1073533928.db2.gz LNXYTUVRFGDCOW-GFCCVEGCSA-N 0 0 433.450 -0.225 20 0 IBADRN COc1ccc(NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1-n1cnnn1 ZINC000741637340 1073533957 /nfs/dbraw/zinc/53/39/57/1073533957.db2.gz LNXYTUVRFGDCOW-LBPRGKRZSA-N 0 0 433.450 -0.225 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]c1cnn(CC(=O)NCC[NH+](C)C)c1 ZINC000741653861 1073533849 /nfs/dbraw/zinc/53/38/49/1073533849.db2.gz KCTQAVDVYLMKIP-UHFFFAOYSA-N 0 0 427.487 -0.430 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2cc(S(C)(=O)=O)ccc2F)cn1 ZINC000741654214 1073533826 /nfs/dbraw/zinc/53/38/26/1073533826.db2.gz MGRXQXKUFSDQHD-UHFFFAOYSA-N 0 0 447.514 -0.096 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccc(F)c(S(C)(=O)=O)c2)cn1 ZINC000741655206 1073534003 /nfs/dbraw/zinc/53/40/03/1073534003.db2.gz VLLWRKSCHSQPDF-UHFFFAOYSA-N 0 0 447.514 -0.096 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cc(N3CCOC3=O)ccc2F)CC1)N1CCOCC1 ZINC000741664786 1073534339 /nfs/dbraw/zinc/53/43/39/1073534339.db2.gz KIONHDLUXMHFIH-UHFFFAOYSA-N 0 0 434.424 -0.075 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)c3cc(S(C)(=O)=O)ccc3C)CC2)nnn1C ZINC000741670155 1073533754 /nfs/dbraw/zinc/53/37/54/1073533754.db2.gz BBTAQHOVJKITFD-UHFFFAOYSA-N 0 0 441.535 -0.018 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)=NN1c1ccccc1 ZINC000741701951 1073535150 /nfs/dbraw/zinc/53/51/50/1073535150.db2.gz FOWYXSJFQUJHDS-KRWDZBQOSA-N 0 0 425.449 -0.199 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)=NN1c1ccccc1 ZINC000741701955 1073535200 /nfs/dbraw/zinc/53/52/00/1073535200.db2.gz FOWYXSJFQUJHDS-QGZVFWFLSA-N 0 0 425.449 -0.199 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000741702714 1073535138 /nfs/dbraw/zinc/53/51/38/1073535138.db2.gz KCMRMVFHJSSJDA-UHFFFAOYSA-N 0 0 433.446 -0.475 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c1=O ZINC000741703208 1073535133 /nfs/dbraw/zinc/53/51/33/1073535133.db2.gz MGKKGPNVLOBKCS-UHFFFAOYSA-N 0 0 440.460 -0.123 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)=NN1c1ccc(F)cc1 ZINC000741703346 1073535143 /nfs/dbraw/zinc/53/51/43/1073535143.db2.gz NZTSSOQWDOABJJ-KRWDZBQOSA-N 0 0 443.439 -0.060 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)=NN1c1ccc(F)cc1 ZINC000741703351 1073535194 /nfs/dbraw/zinc/53/51/94/1073535194.db2.gz NZTSSOQWDOABJJ-QGZVFWFLSA-N 0 0 443.439 -0.060 20 0 IBADRN CN(CC(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C1=NS(=O)(=O)c2ccccc21 ZINC000741704856 1073535096 /nfs/dbraw/zinc/53/50/96/1073535096.db2.gz ZUWVLJLYJZNHHX-UHFFFAOYSA-N 0 0 446.489 -0.239 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000741718501 1073534452 /nfs/dbraw/zinc/53/44/52/1073534452.db2.gz JFPYANLGZMWWKV-UHFFFAOYSA-N 0 0 425.554 -0.457 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000741719894 1073535179 /nfs/dbraw/zinc/53/51/79/1073535179.db2.gz XDBJGHFRPWYNAZ-UHFFFAOYSA-N 0 0 449.370 -0.034 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCOCCS(C)(=O)=O)C1 ZINC000741720041 1073534426 /nfs/dbraw/zinc/53/44/26/1073534426.db2.gz ZCTZBFHBNSAWSP-AWEZNQCLSA-N 0 0 436.556 -0.395 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCOCCS(C)(=O)=O)C1 ZINC000741720042 1073534582 /nfs/dbraw/zinc/53/45/82/1073534582.db2.gz ZCTZBFHBNSAWSP-CQSZACIVSA-N 0 0 436.556 -0.395 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000741720053 1073535189 /nfs/dbraw/zinc/53/51/89/1073535189.db2.gz ZWHLNOVPBRRSGO-HNNXBMFYSA-N 0 0 434.536 -0.065 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000741720055 1073535223 /nfs/dbraw/zinc/53/52/23/1073535223.db2.gz ZWHLNOVPBRRSGO-OAHLLOKOSA-N 0 0 434.536 -0.065 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)cn2)C[C@H](C)O1 ZINC000741727326 1073535119 /nfs/dbraw/zinc/53/51/19/1073535119.db2.gz QPHOICJRHBQFJA-KBPBESRZSA-N 0 0 448.505 -0.045 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)cn2)C[C@H](C)O1 ZINC000741727329 1073535103 /nfs/dbraw/zinc/53/51/03/1073535103.db2.gz QPHOICJRHBQFJA-OKILXGFUSA-N 0 0 448.505 -0.045 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)cn2)C[C@@H](C)O1 ZINC000741727331 1073535808 /nfs/dbraw/zinc/53/58/08/1073535808.db2.gz QPHOICJRHBQFJA-ZIAGYGMSSA-N 0 0 448.505 -0.045 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)cn2)C[C@H](C)O1 ZINC000741729034 1073535583 /nfs/dbraw/zinc/53/55/83/1073535583.db2.gz DTHUHYGCWOJRES-DLPZHFSESA-N 0 0 430.465 -0.432 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)cn2)C[C@@H](C)O1 ZINC000741729035 1073535661 /nfs/dbraw/zinc/53/56/61/1073535661.db2.gz DTHUHYGCWOJRES-HLMASZERSA-N 0 0 430.465 -0.432 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)cn2)C[C@@H](C)O1 ZINC000741729036 1073535766 /nfs/dbraw/zinc/53/57/66/1073535766.db2.gz DTHUHYGCWOJRES-QAMKJQMISA-N 0 0 430.465 -0.432 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)cn2)C[C@H](C)O1 ZINC000741729037 1073535510 /nfs/dbraw/zinc/53/55/10/1073535510.db2.gz DTHUHYGCWOJRES-YOQOKRQFSA-N 0 0 430.465 -0.432 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)cn2)C[C@H](C)O1 ZINC000741731478 1073535728 /nfs/dbraw/zinc/53/57/28/1073535728.db2.gz OKORMTVEUFLQJQ-KBPBESRZSA-N 0 0 448.505 -0.045 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)cn2)C[C@H](C)O1 ZINC000741731479 1073535782 /nfs/dbraw/zinc/53/57/82/1073535782.db2.gz OKORMTVEUFLQJQ-OKILXGFUSA-N 0 0 448.505 -0.045 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)cn2)C[C@@H](C)O1 ZINC000741731480 1073535671 /nfs/dbraw/zinc/53/56/71/1073535671.db2.gz OKORMTVEUFLQJQ-ZIAGYGMSSA-N 0 0 448.505 -0.045 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1F ZINC000741735584 1073535794 /nfs/dbraw/zinc/53/57/94/1073535794.db2.gz IGMDJJBOHAIVSZ-CABCVRRESA-N 0 0 449.526 -0.696 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1F ZINC000741735587 1073535757 /nfs/dbraw/zinc/53/57/57/1073535757.db2.gz IGMDJJBOHAIVSZ-GJZGRUSLSA-N 0 0 449.526 -0.696 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1F ZINC000741735590 1073535602 /nfs/dbraw/zinc/53/56/02/1073535602.db2.gz IGMDJJBOHAIVSZ-HUUCEWRRSA-N 0 0 449.526 -0.696 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1F ZINC000741735593 1073535822 /nfs/dbraw/zinc/53/58/22/1073535822.db2.gz IGMDJJBOHAIVSZ-LSDHHAIUSA-N 0 0 449.526 -0.696 20 0 IBADRN Cn1c2ncn(CC(=O)N(c3ccc(F)cc3)[C@H]3C=CS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC000741736597 1073535569 /nfs/dbraw/zinc/53/55/69/1073535569.db2.gz MPPGETMKHMOQIR-AWEZNQCLSA-N 0 0 447.448 -0.083 20 0 IBADRN Cn1c2ncn(CC(=O)N(c3ccc(F)cc3)[C@@H]3C=CS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC000741736599 1073535524 /nfs/dbraw/zinc/53/55/24/1073535524.db2.gz MPPGETMKHMOQIR-CQSZACIVSA-N 0 0 447.448 -0.083 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC000741741559 1073535171 /nfs/dbraw/zinc/53/51/71/1073535171.db2.gz YMAFMEUWDXGKAD-UHFFFAOYSA-N 0 0 432.524 -0.037 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000741744419 1073535115 /nfs/dbraw/zinc/53/51/15/1073535115.db2.gz XITREECKTZQMJC-UHFFFAOYSA-N 0 0 446.580 -0.079 20 0 IBADRN Cc1ccc(N(C(=O)CN2CCN(S(N)(=O)=O)CC2)[C@H]2C=CS(=O)(=O)C2)cc1C ZINC000741752617 1073535591 /nfs/dbraw/zinc/53/55/91/1073535591.db2.gz CSTLQGZZBBYLHH-KRWDZBQOSA-N 0 0 442.563 -0.232 20 0 IBADRN Cc1ccc(N(C(=O)CN2CCN(S(N)(=O)=O)CC2)[C@@H]2C=CS(=O)(=O)C2)cc1C ZINC000741752620 1073536203 /nfs/dbraw/zinc/53/62/03/1073536203.db2.gz CSTLQGZZBBYLHH-QGZVFWFLSA-N 0 0 442.563 -0.232 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCS(C)(=O)=O)CC2)cc1 ZINC000741754244 1073536420 /nfs/dbraw/zinc/53/64/20/1073536420.db2.gz FGUGAGCJMWZHCN-UHFFFAOYSA-N 0 0 426.538 -0.624 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000741754350 1073536318 /nfs/dbraw/zinc/53/63/18/1073536318.db2.gz GYRNFWDVNKOKEI-UHFFFAOYSA-N 0 0 428.529 -0.104 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000741776345 1073536236 /nfs/dbraw/zinc/53/62/36/1073536236.db2.gz CMYCFAFCNKLSKN-KRWDZBQOSA-N 0 0 433.534 -0.165 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000741776346 1073536503 /nfs/dbraw/zinc/53/65/03/1073536503.db2.gz CMYCFAFCNKLSKN-QGZVFWFLSA-N 0 0 433.534 -0.165 20 0 IBADRN Cn1cc(N2CCC[C@H](N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)C2=O)cn1 ZINC000741778786 1073536257 /nfs/dbraw/zinc/53/62/57/1073536257.db2.gz ZCGIAEWKSIIVQN-CVEARBPZSA-N 0 0 445.567 -0.950 20 0 IBADRN Cn1cc(N2CCC[C@H](N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)C2=O)cn1 ZINC000741778811 1073536308 /nfs/dbraw/zinc/53/63/08/1073536308.db2.gz ZCGIAEWKSIIVQN-HOTGVXAUSA-N 0 0 445.567 -0.950 20 0 IBADRN Cn1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)C2=O)cn1 ZINC000741778819 1073536442 /nfs/dbraw/zinc/53/64/42/1073536442.db2.gz ZCGIAEWKSIIVQN-HZPDHXFCSA-N 0 0 445.567 -0.950 20 0 IBADRN Cn1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)C2=O)cn1 ZINC000741778826 1073536390 /nfs/dbraw/zinc/53/63/90/1073536390.db2.gz ZCGIAEWKSIIVQN-JKSUJKDBSA-N 0 0 445.567 -0.950 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCC3(C2)OCCO3)c(=O)[nH]c1=O ZINC000741778875 1073536210 /nfs/dbraw/zinc/53/62/10/1073536210.db2.gz LBSYMMCLMSOUKH-UHFFFAOYSA-N 0 0 425.486 -0.241 20 0 IBADRN O=C(CN1CCC2(C1)OCCO2)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000741779589 1073536191 /nfs/dbraw/zinc/53/61/91/1073536191.db2.gz YGTUFLPDBLMTGX-UHFFFAOYSA-N 0 0 425.507 -0.228 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC4(C3)OCCO4)CC2)cc1 ZINC000741780307 1073536156 /nfs/dbraw/zinc/53/61/56/1073536156.db2.gz UCCVRBMGQGYJOH-UHFFFAOYSA-N 0 0 425.507 -0.023 20 0 IBADRN CCN(CC(=O)NC)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000741786658 1073536268 /nfs/dbraw/zinc/53/62/68/1073536268.db2.gz INMGMFASOYCSHX-UHFFFAOYSA-N 0 0 442.538 -0.395 20 0 IBADRN CCN(CC(=O)NC)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000741786665 1073536291 /nfs/dbraw/zinc/53/62/91/1073536291.db2.gz IRZGFQNTNMDXFW-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN O=C(CN1CCN(Cc2cc(=O)oc3cc(O)ccc23)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000741791660 1073536815 /nfs/dbraw/zinc/53/68/15/1073536815.db2.gz VDHWWMQDHAKDGM-HNNXBMFYSA-N 0 0 435.502 -0.081 20 0 IBADRN O=C(CN1CCN(Cc2cc(=O)oc3cc(O)ccc23)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000741791661 1073537168 /nfs/dbraw/zinc/53/71/68/1073537168.db2.gz VDHWWMQDHAKDGM-OAHLLOKOSA-N 0 0 435.502 -0.081 20 0 IBADRN COc1ccc(CC(=O)OCCCS(N)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000741814666 1073537053 /nfs/dbraw/zinc/53/70/53/1073537053.db2.gz UUPFARQMLKYTKO-UHFFFAOYSA-N 0 0 436.508 -0.520 20 0 IBADRN NS(=O)(=O)CCCOC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000741818647 1073536838 /nfs/dbraw/zinc/53/68/38/1073536838.db2.gz KFLURQQUNMMTQE-UHFFFAOYSA-N 0 0 447.535 -0.479 20 0 IBADRN NS(=O)(=O)CCCOC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000741821988 1073537154 /nfs/dbraw/zinc/53/71/54/1073537154.db2.gz KGWODIKWVNEMKJ-LLVKDONJSA-N 0 0 445.313 -0.690 20 0 IBADRN NS(=O)(=O)CCCOC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000741821991 1073536801 /nfs/dbraw/zinc/53/68/01/1073536801.db2.gz KGWODIKWVNEMKJ-NSHDSACASA-N 0 0 445.313 -0.690 20 0 IBADRN NS(=O)(=O)CCCOC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000741822796 1073537069 /nfs/dbraw/zinc/53/70/69/1073537069.db2.gz PGUHVLVYZZOTPT-AAEUAGOBSA-N 0 0 426.900 -0.314 20 0 IBADRN NS(=O)(=O)CCCOC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000741822810 1073536991 /nfs/dbraw/zinc/53/69/91/1073536991.db2.gz PGUHVLVYZZOTPT-YPMHNXCESA-N 0 0 426.900 -0.314 20 0 IBADRN Cn1ccc(N2CCC[C@@H](OC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n1 ZINC000741833302 1073536862 /nfs/dbraw/zinc/53/68/62/1073536862.db2.gz ZLWJIRWPUXSYGO-GXTWGEPZSA-N 0 0 437.478 -0.376 20 0 IBADRN Cn1ccc(N2CCC[C@H](OC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n1 ZINC000741833305 1073536757 /nfs/dbraw/zinc/53/67/57/1073536757.db2.gz ZLWJIRWPUXSYGO-JSGCOSHPSA-N 0 0 437.478 -0.376 20 0 IBADRN Cn1ccc(N2CCC[C@H](OC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n1 ZINC000741833306 1073536973 /nfs/dbraw/zinc/53/69/73/1073536973.db2.gz ZLWJIRWPUXSYGO-OCCSQVGLSA-N 0 0 437.478 -0.376 20 0 IBADRN Cn1ccc(N2CCC[C@@H](OC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n1 ZINC000741833308 1073537037 /nfs/dbraw/zinc/53/70/37/1073537037.db2.gz ZLWJIRWPUXSYGO-TZMCWYRMSA-N 0 0 437.478 -0.376 20 0 IBADRN Cn1cc(N2CCC[C@H](OC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)cn1 ZINC000741833321 1073537189 /nfs/dbraw/zinc/53/71/89/1073537189.db2.gz ZRHIOOAWWCPXDE-DOMZBBRYSA-N 0 0 437.478 -0.376 20 0 IBADRN Cn1cc(N2CCC[C@@H](OC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)cn1 ZINC000741833323 1073536788 /nfs/dbraw/zinc/53/67/88/1073536788.db2.gz ZRHIOOAWWCPXDE-IUODEOHRSA-N 0 0 437.478 -0.376 20 0 IBADRN Cn1cc(N2CCC[C@@H](OC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)cn1 ZINC000741833325 1073537594 /nfs/dbraw/zinc/53/75/94/1073537594.db2.gz ZRHIOOAWWCPXDE-SWLSCSKDSA-N 0 0 437.478 -0.376 20 0 IBADRN Cn1cc(N2CCC[C@H](OC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)cn1 ZINC000741833327 1073621767 /nfs/dbraw/zinc/62/17/67/1073621767.db2.gz ZRHIOOAWWCPXDE-WFASDCNBSA-N 0 0 437.478 -0.376 20 0 IBADRN O=C(OCCN1C(=O)NC2(CCCC2)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000741833333 1073537704 /nfs/dbraw/zinc/53/77/04/1073537704.db2.gz ZXUQNUJFBRATEP-GFCCVEGCSA-N 0 0 440.478 -0.440 20 0 IBADRN O=C(OCCN1C(=O)NC2(CCCC2)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000741833334 1073537657 /nfs/dbraw/zinc/53/76/57/1073537657.db2.gz ZXUQNUJFBRATEP-LBPRGKRZSA-N 0 0 440.478 -0.440 20 0 IBADRN CN(CCCC(=O)N1CCN(S(C)(=O)=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000741897959 1073537715 /nfs/dbraw/zinc/53/77/15/1073537715.db2.gz USDVNRKLMNPWAC-UHFFFAOYSA-N 0 0 428.536 -0.049 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000741917190 1073537634 /nfs/dbraw/zinc/53/76/34/1073537634.db2.gz QIMAMWWZFYCPEJ-CVEARBPZSA-N 0 0 434.511 -0.153 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000741917191 1073537680 /nfs/dbraw/zinc/53/76/80/1073537680.db2.gz QIMAMWWZFYCPEJ-HOTGVXAUSA-N 0 0 434.511 -0.153 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000741917192 1073537561 /nfs/dbraw/zinc/53/75/61/1073537561.db2.gz QIMAMWWZFYCPEJ-HZPDHXFCSA-N 0 0 434.511 -0.153 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000741917193 1073537668 /nfs/dbraw/zinc/53/76/68/1073537668.db2.gz QIMAMWWZFYCPEJ-JKSUJKDBSA-N 0 0 434.511 -0.153 20 0 IBADRN Cn1cc(NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)c(=O)n(C)c1=O ZINC000741944688 1073537582 /nfs/dbraw/zinc/53/75/82/1073537582.db2.gz SLTIJHCZRROHCP-GFCCVEGCSA-N 0 0 448.457 -0.340 20 0 IBADRN Cn1cc(NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)c(=O)n(C)c1=O ZINC000741944690 1073537645 /nfs/dbraw/zinc/53/76/45/1073537645.db2.gz SLTIJHCZRROHCP-LBPRGKRZSA-N 0 0 448.457 -0.340 20 0 IBADRN Cn1cc(NC(=O)CCCCCCC(=O)Nc2cn(C)c(=O)n(C)c2=O)c(=O)n(C)c1=O ZINC000741945067 1073537608 /nfs/dbraw/zinc/53/76/08/1073537608.db2.gz YESJURGSTMPWNM-UHFFFAOYSA-N 0 0 448.480 -0.601 20 0 IBADRN Cn1cc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2F)c(=O)n(C)c1=O ZINC000741953063 1073537689 /nfs/dbraw/zinc/53/76/89/1073537689.db2.gz WFDZYEMBFJLOGY-UHFFFAOYSA-N 0 0 426.426 -0.504 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)n1 ZINC000741971849 1073537727 /nfs/dbraw/zinc/53/77/27/1073537727.db2.gz VWXKAGRZOCJRGB-UHFFFAOYSA-N 0 0 440.457 -0.221 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000741971873 1073538120 /nfs/dbraw/zinc/53/81/20/1073538120.db2.gz WWOCSXRZMVIEIG-UHFFFAOYSA-N 0 0 440.457 -0.221 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)Nc3ncn(CC(=O)N(C)C)n3)C2=O)cc1 ZINC000741972145 1073537540 /nfs/dbraw/zinc/53/75/40/1073537540.db2.gz ZLSHKUYTXHUFAA-IBGZPJMESA-N 0 0 429.437 -0.219 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)Nc3ncn(CC(=O)N(C)C)n3)C2=O)cc1 ZINC000741972151 1073537624 /nfs/dbraw/zinc/53/76/24/1073537624.db2.gz ZLSHKUYTXHUFAA-LJQANCHMSA-N 0 0 429.437 -0.219 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)n1 ZINC000741996471 1073538132 /nfs/dbraw/zinc/53/81/32/1073538132.db2.gz GCFBUDFLGOEHAZ-AJHXJQRKSA-N 0 0 425.449 -0.234 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)n1 ZINC000741996472 1073537979 /nfs/dbraw/zinc/53/79/79/1073537979.db2.gz GCFBUDFLGOEHAZ-PPYMECGQSA-N 0 0 425.449 -0.234 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)n1 ZINC000741996473 1073538062 /nfs/dbraw/zinc/53/80/62/1073538062.db2.gz GCFBUDFLGOEHAZ-RZIFZGNASA-N 0 0 425.449 -0.234 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)n1 ZINC000741996474 1073538141 /nfs/dbraw/zinc/53/81/41/1073538141.db2.gz GCFBUDFLGOEHAZ-WUKHSURWSA-N 0 0 425.449 -0.234 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)c2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000741997292 1073538018 /nfs/dbraw/zinc/53/80/18/1073538018.db2.gz MUFXBRUCTKJWRQ-UHFFFAOYSA-N 0 0 442.885 -0.049 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000741998137 1073538043 /nfs/dbraw/zinc/53/80/43/1073538043.db2.gz VHLDJEBXRHUSAC-UHFFFAOYSA-N 0 0 426.430 -0.564 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000742000906 1073538109 /nfs/dbraw/zinc/53/81/09/1073538109.db2.gz PMIDJKKAUHKJAH-UHFFFAOYSA-N 0 0 431.478 -0.176 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n1 ZINC000742017911 1073537962 /nfs/dbraw/zinc/53/79/62/1073537962.db2.gz GKZCEQUVENMGFA-GXTWGEPZSA-N 0 0 436.494 -0.803 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n1 ZINC000742017916 1073537993 /nfs/dbraw/zinc/53/79/93/1073537993.db2.gz GKZCEQUVENMGFA-JSGCOSHPSA-N 0 0 436.494 -0.803 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n1 ZINC000742017921 1073538185 /nfs/dbraw/zinc/53/81/85/1073538185.db2.gz GKZCEQUVENMGFA-OCCSQVGLSA-N 0 0 436.494 -0.803 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n1 ZINC000742017926 1073538068 /nfs/dbraw/zinc/53/80/68/1073538068.db2.gz GKZCEQUVENMGFA-TZMCWYRMSA-N 0 0 436.494 -0.803 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000742038752 1073538753 /nfs/dbraw/zinc/53/87/53/1073538753.db2.gz RNSQIIYGTFJHEU-HNNXBMFYSA-N 0 0 442.586 -0.236 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000742038754 1073538773 /nfs/dbraw/zinc/53/87/73/1073538773.db2.gz RNSQIIYGTFJHEU-OAHLLOKOSA-N 0 0 442.586 -0.236 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000742044745 1073625513 /nfs/dbraw/zinc/62/55/13/1073625513.db2.gz RABAAIUBOOVNAN-AWEZNQCLSA-N 0 0 436.490 -0.262 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000742044746 1073625679 /nfs/dbraw/zinc/62/56/79/1073625679.db2.gz RABAAIUBOOVNAN-CQSZACIVSA-N 0 0 436.490 -0.262 20 0 IBADRN O=C(NCc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742047010 1073538153 /nfs/dbraw/zinc/53/81/53/1073538153.db2.gz FGYZUQYHPUKGBZ-HNNXBMFYSA-N 0 0 447.510 -0.897 20 0 IBADRN O=C(NCc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742047013 1073538164 /nfs/dbraw/zinc/53/81/64/1073538164.db2.gz FGYZUQYHPUKGBZ-OAHLLOKOSA-N 0 0 447.510 -0.897 20 0 IBADRN CNC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000742047765 1073538078 /nfs/dbraw/zinc/53/80/78/1073538078.db2.gz KTDJUYIWMOTJEA-HNNXBMFYSA-N 0 0 429.520 -0.679 20 0 IBADRN CNC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000742047766 1073538096 /nfs/dbraw/zinc/53/80/96/1073538096.db2.gz KTDJUYIWMOTJEA-OAHLLOKOSA-N 0 0 429.520 -0.679 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742048170 1073538030 /nfs/dbraw/zinc/53/80/30/1073538030.db2.gz PHBBAWZOGAGJMU-BBRMVZONSA-N 0 0 446.595 -0.123 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742048171 1073538004 /nfs/dbraw/zinc/53/80/04/1073538004.db2.gz PHBBAWZOGAGJMU-CJNGLKHVSA-N 0 0 446.595 -0.123 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742048172 1073538174 /nfs/dbraw/zinc/53/81/74/1073538174.db2.gz PHBBAWZOGAGJMU-CZUORRHYSA-N 0 0 446.595 -0.123 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742048173 1073538198 /nfs/dbraw/zinc/53/81/98/1073538198.db2.gz PHBBAWZOGAGJMU-XJKSGUPXSA-N 0 0 446.595 -0.123 20 0 IBADRN CN(CCCC(=O)NCCN1CCS(=O)(=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000742055351 1073538508 /nfs/dbraw/zinc/53/85/08/1073538508.db2.gz OZVRGSZPJBNERJ-UHFFFAOYSA-N 0 0 442.563 -0.306 20 0 IBADRN CN(CCCC(=O)NCCN1CCCS1(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000742059075 1073538684 /nfs/dbraw/zinc/53/86/84/1073538684.db2.gz SBJKECLGJAWCTK-UHFFFAOYSA-N 0 0 428.536 -0.001 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)NCCS(=O)(=O)N3CCOCC3)cc12 ZINC000742059887 1073538671 /nfs/dbraw/zinc/53/86/71/1073538671.db2.gz PJVGEPLCDSCKIT-GFCCVEGCSA-N 0 0 443.551 -0.160 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)NCCS(=O)(=O)N3CCOCC3)cc12 ZINC000742059888 1073538583 /nfs/dbraw/zinc/53/85/83/1073538583.db2.gz PJVGEPLCDSCKIT-LBPRGKRZSA-N 0 0 443.551 -0.160 20 0 IBADRN CN(CCCC(=O)N1CCN(S(N)(=O)=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000742062520 1073538526 /nfs/dbraw/zinc/53/85/26/1073538526.db2.gz RYGOKKDKTPZJDI-UHFFFAOYSA-N 0 0 429.524 -0.805 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C[C@@H]1CCCO1 ZINC000742064384 1073538476 /nfs/dbraw/zinc/53/84/76/1073538476.db2.gz NEWZIOSQTGXSDM-HNNXBMFYSA-N 0 0 437.501 -0.240 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C[C@H]1CCCO1 ZINC000742064385 1073538623 /nfs/dbraw/zinc/53/86/23/1073538623.db2.gz NEWZIOSQTGXSDM-OAHLLOKOSA-N 0 0 437.501 -0.240 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000742065378 1073626914 /nfs/dbraw/zinc/62/69/14/1073626914.db2.gz BLHOCGWNOUEDTL-HNNXBMFYSA-N 0 0 449.533 -0.299 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000742065379 1073626902 /nfs/dbraw/zinc/62/69/02/1073626902.db2.gz BLHOCGWNOUEDTL-OAHLLOKOSA-N 0 0 449.533 -0.299 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC000742076423 1073538637 /nfs/dbraw/zinc/53/86/37/1073538637.db2.gz JRMDHRNDHRMRLL-UHFFFAOYSA-N 0 0 439.490 -0.604 20 0 IBADRN CN(CCCC(=O)N1CC[C@@H](NS(C)(=O)=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000742076817 1073626846 /nfs/dbraw/zinc/62/68/46/1073626846.db2.gz MSJCLMIXCUAQFI-CYBMUJFWSA-N 0 0 428.536 -0.002 20 0 IBADRN CN(CCCC(=O)N1CC[C@H](NS(C)(=O)=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000742076818 1073538545 /nfs/dbraw/zinc/53/85/45/1073538545.db2.gz MSJCLMIXCUAQFI-ZDUSSCGKSA-N 0 0 428.536 -0.002 20 0 IBADRN CCn1nc(C)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1Cl ZINC000742078514 1073538792 /nfs/dbraw/zinc/53/87/92/1073538792.db2.gz AWBLTXMULCRKKK-CYBMUJFWSA-N 0 0 431.946 -0.074 20 0 IBADRN CCn1nc(C)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1Cl ZINC000742078517 1073538451 /nfs/dbraw/zinc/53/84/51/1073538451.db2.gz AWBLTXMULCRKKK-ZDUSSCGKSA-N 0 0 431.946 -0.074 20 0 IBADRN O=C(CN1CC(=O)NC1=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000742089545 1073626817 /nfs/dbraw/zinc/62/68/17/1073626817.db2.gz FPRGCLRMCKLUFE-UHFFFAOYSA-N 0 0 431.421 -0.149 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000742091326 1073626918 /nfs/dbraw/zinc/62/69/18/1073626918.db2.gz YYFKCRTWQTWTGM-UHFFFAOYSA-N 0 0 447.464 -0.448 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)NC(=O)N(CN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000742145872 1073539174 /nfs/dbraw/zinc/53/91/74/1073539174.db2.gz SSUSJIJUSZUEHG-UHFFFAOYSA-N 0 0 445.542 -0.157 20 0 IBADRN COC(=O)CN(CC(=O)OC)CN1C(=O)NC2(CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000742147394 1073539196 /nfs/dbraw/zinc/53/91/96/1073539196.db2.gz BMNNKYSLXMMTQM-UHFFFAOYSA-N 0 0 442.469 -0.087 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)NC(=O)N(CN1CC[C@@H](NS(C)(=O)=O)C1)C2=O ZINC000742150394 1073539219 /nfs/dbraw/zinc/53/92/19/1073539219.db2.gz YGILOKMWRBZHNW-CYBMUJFWSA-N 0 0 445.542 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)NC(=O)N(CN1CC[C@H](NS(C)(=O)=O)C1)C2=O ZINC000742150399 1073539262 /nfs/dbraw/zinc/53/92/62/1073539262.db2.gz YGILOKMWRBZHNW-ZDUSSCGKSA-N 0 0 445.542 -0.111 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000742179575 1073539750 /nfs/dbraw/zinc/53/97/50/1073539750.db2.gz RJEPOQAXPJRPPZ-HNNXBMFYSA-N 0 0 447.560 -0.633 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000742179577 1073539616 /nfs/dbraw/zinc/53/96/16/1073539616.db2.gz RJEPOQAXPJRPPZ-OAHLLOKOSA-N 0 0 447.560 -0.633 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000742181853 1073539691 /nfs/dbraw/zinc/53/96/91/1073539691.db2.gz NHWSGXYREORVBP-UHFFFAOYSA-N 0 0 426.524 -0.211 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000742183625 1073539230 /nfs/dbraw/zinc/53/92/30/1073539230.db2.gz QCHUWEVBQFSOSD-MUUNZHRXSA-N 0 0 447.554 -0.017 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000742183628 1073539033 /nfs/dbraw/zinc/53/90/33/1073539033.db2.gz QCHUWEVBQFSOSD-NDEPHWFRSA-N 0 0 447.554 -0.017 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000742184657 1073539208 /nfs/dbraw/zinc/53/92/08/1073539208.db2.gz XSNZEUQOYMNRSM-HHHXNRCGSA-N 0 0 429.564 -0.156 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000742184658 1073539086 /nfs/dbraw/zinc/53/90/86/1073539086.db2.gz XSNZEUQOYMNRSM-MHZLTWQESA-N 0 0 429.564 -0.156 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCn3c(CCc4ccccc4)nnc3C1)c(=O)n2C ZINC000742184742 1073539010 /nfs/dbraw/zinc/53/90/10/1073539010.db2.gz ANDDJYKBCRCOIT-UHFFFAOYSA-N 0 0 448.487 -0.147 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000742187184 1073539162 /nfs/dbraw/zinc/53/91/62/1073539162.db2.gz ADCHQHMXOWVDEO-UHFFFAOYSA-N 0 0 438.535 -0.320 20 0 IBADRN C[C@@H]1CN=C(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)S1 ZINC000742191530 1073539108 /nfs/dbraw/zinc/53/91/08/1073539108.db2.gz HXUHKMKTGIPXSU-CHWSQXEVSA-N 0 0 427.552 -0.213 20 0 IBADRN C[C@@H]1CN=C(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)S1 ZINC000742191531 1073539721 /nfs/dbraw/zinc/53/97/21/1073539721.db2.gz HXUHKMKTGIPXSU-OLZOCXBDSA-N 0 0 427.552 -0.213 20 0 IBADRN C[C@H]1CN=C(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)S1 ZINC000742191532 1073539491 /nfs/dbraw/zinc/53/94/91/1073539491.db2.gz HXUHKMKTGIPXSU-QWHCGFSZSA-N 0 0 427.552 -0.213 20 0 IBADRN C[C@H]1CN=C(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)S1 ZINC000742191533 1073539710 /nfs/dbraw/zinc/53/97/10/1073539710.db2.gz HXUHKMKTGIPXSU-STQMWFEESA-N 0 0 427.552 -0.213 20 0 IBADRN Cc1nsc(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000742191765 1073539046 /nfs/dbraw/zinc/53/90/46/1073539046.db2.gz KEHGXJOGXUVFOA-GFCCVEGCSA-N 0 0 426.524 -0.339 20 0 IBADRN Cc1nsc(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000742191769 1073539239 /nfs/dbraw/zinc/53/92/39/1073539239.db2.gz KEHGXJOGXUVFOA-LBPRGKRZSA-N 0 0 426.524 -0.339 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCC1CCN(C(=O)C(F)(F)F)CC1)c(=O)n2C ZINC000742201517 1073539523 /nfs/dbraw/zinc/53/95/23/1073539523.db2.gz RFYZNENEIYDAIE-UHFFFAOYSA-N 0 0 430.387 -0.649 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000742235844 1073539733 /nfs/dbraw/zinc/53/97/33/1073539733.db2.gz SKNQYHBBRWGYQZ-UHFFFAOYSA-N 0 0 441.491 -0.854 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)=NN1c1ccccc1 ZINC000742235951 1073539760 /nfs/dbraw/zinc/53/97/60/1073539760.db2.gz YUCMMSZCANPNQA-KRWDZBQOSA-N 0 0 446.489 -0.231 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)=NN1c1ccccc1 ZINC000742235952 1073539629 /nfs/dbraw/zinc/53/96/29/1073539629.db2.gz YUCMMSZCANPNQA-QGZVFWFLSA-N 0 0 446.489 -0.231 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)=NN(c2ccccc2)C1=O ZINC000742235972 1073539599 /nfs/dbraw/zinc/53/95/99/1073539599.db2.gz ZLJTYXYXICQELW-AWEZNQCLSA-N 0 0 446.489 -0.013 20 0 IBADRN C[C@H]1NC(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)=NN(c2ccccc2)C1=O ZINC000742235973 1073539669 /nfs/dbraw/zinc/53/96/69/1073539669.db2.gz ZLJTYXYXICQELW-CQSZACIVSA-N 0 0 446.489 -0.013 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000742245026 1073539583 /nfs/dbraw/zinc/53/95/83/1073539583.db2.gz DEUDSNBVGAABKI-UHFFFAOYSA-N 0 0 429.499 -0.092 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000742245368 1073539643 /nfs/dbraw/zinc/53/96/43/1073539643.db2.gz KIFDZAPBWSUJAT-UHFFFAOYSA-N 0 0 429.499 -0.092 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCN2C(=O)CSC2=O)cc1 ZINC000742245408 1073539540 /nfs/dbraw/zinc/53/95/40/1073539540.db2.gz GYSOLCBLRHXKMH-UHFFFAOYSA-N 0 0 429.476 -0.047 20 0 IBADRN CC(C)COC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000742249650 1073540253 /nfs/dbraw/zinc/54/02/53/1073540253.db2.gz VHIOEAVUEJDWJM-UHFFFAOYSA-N 0 0 429.499 -0.281 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)c1ccc(F)c(S(=O)(=O)Cc2ncon2)c1 ZINC000742249979 1073540003 /nfs/dbraw/zinc/54/00/03/1073540003.db2.gz FKDDFDSDCMLZQQ-UHFFFAOYSA-N 0 0 429.382 -0.029 20 0 IBADRN CCN1C(=S)N=NC1C1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000742253620 1073540822 /nfs/dbraw/zinc/54/08/22/1073540822.db2.gz UJHBWMSYIUXDFM-UHFFFAOYSA-N 0 0 441.539 -0.302 20 0 IBADRN COCCN(CCC(=O)OC)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000742254590 1073540701 /nfs/dbraw/zinc/54/07/01/1073540701.db2.gz BOLIQCLKIFTSGM-CYBMUJFWSA-N 0 0 427.479 -0.275 20 0 IBADRN COCCN(CCC(=O)OC)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000742254593 1073540486 /nfs/dbraw/zinc/54/04/86/1073540486.db2.gz BOLIQCLKIFTSGM-ZDUSSCGKSA-N 0 0 427.479 -0.275 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)Oc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000742265869 1073541355 /nfs/dbraw/zinc/54/13/55/1073541355.db2.gz GQKXDNCSSJMPIS-UHFFFAOYSA-N 0 0 445.475 -0.155 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000742269647 1073540033 /nfs/dbraw/zinc/54/00/33/1073540033.db2.gz AIAVRJWYZGLMNC-UHFFFAOYSA-N 0 0 432.492 -0.355 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742269738 1073540067 /nfs/dbraw/zinc/54/00/67/1073540067.db2.gz DYDOIKRUYULZNO-CYBMUJFWSA-N 0 0 439.556 -0.438 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742269739 1073540054 /nfs/dbraw/zinc/54/00/54/1073540054.db2.gz DYDOIKRUYULZNO-ZDUSSCGKSA-N 0 0 439.556 -0.438 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCS(C)(=O)=O)CC2)cc1 ZINC000742270413 1073540125 /nfs/dbraw/zinc/54/01/25/1073540125.db2.gz RSWXFEPIVHMNIZ-UHFFFAOYSA-N 0 0 438.549 -0.430 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000742271002 1073540019 /nfs/dbraw/zinc/54/00/19/1073540019.db2.gz AGEIPMGSZWIRHE-UHFFFAOYSA-N 0 0 436.577 -0.144 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000742271150 1073540042 /nfs/dbraw/zinc/54/00/42/1073540042.db2.gz CLZJZKMDHNCBRF-UHFFFAOYSA-N 0 0 432.543 -0.587 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)Oc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000742271172 1073540092 /nfs/dbraw/zinc/54/00/92/1073540092.db2.gz SQLVNRMTBHFKBH-UHFFFAOYSA-N 0 0 440.521 -0.677 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000742271495 1073540103 /nfs/dbraw/zinc/54/01/03/1073540103.db2.gz MGWBEFNLBXMDAW-UHFFFAOYSA-N 0 0 432.492 -0.355 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000742272226 1073540079 /nfs/dbraw/zinc/54/00/79/1073540079.db2.gz UTBWEEXOZNVDDE-UHFFFAOYSA-N 0 0 426.538 -0.829 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC000742272569 1073629825 /nfs/dbraw/zinc/62/98/25/1073629825.db2.gz DGHYLIRMXQGDOR-UHFFFAOYSA-N 0 0 429.542 -0.638 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000742272809 1073540208 /nfs/dbraw/zinc/54/02/08/1073540208.db2.gz KYEMLSXZAJPCLL-UHFFFAOYSA-N 0 0 440.565 -0.687 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2cccc(-c3nnnn3C)c2)CC1 ZINC000742272865 1073540149 /nfs/dbraw/zinc/54/01/49/1073540149.db2.gz OSBXYHQSKLYEJY-UHFFFAOYSA-N 0 0 443.555 -0.230 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)[C@H]1CCCN(C(=O)OCc2ccccc2)C1 ZINC000742272879 1073540162 /nfs/dbraw/zinc/54/01/62/1073540162.db2.gz PMOGKZZNZZSEFY-HNNXBMFYSA-N 0 0 427.479 -0.004 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)[C@@H]1CCCN(C(=O)OCc2ccccc2)C1 ZINC000742272880 1073540135 /nfs/dbraw/zinc/54/01/35/1073540135.db2.gz PMOGKZZNZZSEFY-OAHLLOKOSA-N 0 0 427.479 -0.004 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000742273180 1073540232 /nfs/dbraw/zinc/54/02/32/1073540232.db2.gz VODHFWOOQRRDHH-UHFFFAOYSA-N 0 0 432.543 -0.587 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC000742274863 1073540276 /nfs/dbraw/zinc/54/02/76/1073540276.db2.gz UJQITCDGDASSSM-UHFFFAOYSA-N 0 0 431.602 -0.512 20 0 IBADRN O=C(NCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)OCc1ccccc1 ZINC000742275428 1073540191 /nfs/dbraw/zinc/54/01/91/1073540191.db2.gz DAHWLJYRASIRAH-UHFFFAOYSA-N 0 0 426.495 -0.366 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNS(=O)(=O)CCS(C)(=O)=O)CC1 ZINC000742276380 1073540554 /nfs/dbraw/zinc/54/05/54/1073540554.db2.gz BVKKJLCRTNUPRI-UHFFFAOYSA-N 0 0 427.545 -0.532 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000742277047 1073540175 /nfs/dbraw/zinc/54/01/75/1073540175.db2.gz QSDZWMZAEODZCL-UHFFFAOYSA-N 0 0 428.529 -0.057 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000742277635 1073540736 /nfs/dbraw/zinc/54/07/36/1073540736.db2.gz DIAGOGJUJLNMJV-UHFFFAOYSA-N 0 0 444.510 -0.770 20 0 IBADRN Cc1c(F)cccc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742278163 1073540792 /nfs/dbraw/zinc/54/07/92/1073540792.db2.gz AZAAKSHKQDZXDY-CYBMUJFWSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1c(F)cccc1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742278171 1073540854 /nfs/dbraw/zinc/54/08/54/1073540854.db2.gz AZAAKSHKQDZXDY-ZDUSSCGKSA-N 0 0 440.540 -0.043 20 0 IBADRN CC(C)n1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000742278181 1073540679 /nfs/dbraw/zinc/54/06/79/1073540679.db2.gz BKLABYODOSTVLD-CYBMUJFWSA-N 0 0 440.569 -0.713 20 0 IBADRN CC(C)n1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000742278184 1073540838 /nfs/dbraw/zinc/54/08/38/1073540838.db2.gz BKLABYODOSTVLD-ZDUSSCGKSA-N 0 0 440.569 -0.713 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000742278563 1073540804 /nfs/dbraw/zinc/54/08/04/1073540804.db2.gz GHLFPHQCLABZJS-UHFFFAOYSA-N 0 0 431.515 -0.113 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCc3ccccc3N2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC000742278825 1073540587 /nfs/dbraw/zinc/54/05/87/1073540587.db2.gz BZKKLMYFOWEDGJ-KRWDZBQOSA-N 0 0 429.564 -0.044 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCc3ccccc3N2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC000742278833 1073540616 /nfs/dbraw/zinc/54/06/16/1073540616.db2.gz BZKKLMYFOWEDGJ-QGZVFWFLSA-N 0 0 429.564 -0.044 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000742279251 1073540497 /nfs/dbraw/zinc/54/04/97/1073540497.db2.gz SNIGGKVOGZBIBI-UHFFFAOYSA-N 0 0 433.552 -0.189 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000742279377 1073540866 /nfs/dbraw/zinc/54/08/66/1073540866.db2.gz IWJBPABNPHHOQY-UHFFFAOYSA-N 0 0 445.498 -0.586 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000742279563 1073540563 /nfs/dbraw/zinc/54/05/63/1073540563.db2.gz ICAWWXSVWTWHKM-AWEZNQCLSA-N 0 0 440.565 -0.392 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000742279569 1073540714 /nfs/dbraw/zinc/54/07/14/1073540714.db2.gz ICAWWXSVWTWHKM-CQSZACIVSA-N 0 0 440.565 -0.392 20 0 IBADRN Cc1ccsc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742280513 1073540528 /nfs/dbraw/zinc/54/05/28/1073540528.db2.gz ZGZDQIOXNCLSEA-GFCCVEGCSA-N 0 0 428.579 -0.120 20 0 IBADRN Cc1ccsc1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742280514 1073540777 /nfs/dbraw/zinc/54/07/77/1073540777.db2.gz ZGZDQIOXNCLSEA-LBPRGKRZSA-N 0 0 428.579 -0.120 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000742280557 1073540661 /nfs/dbraw/zinc/54/06/61/1073540661.db2.gz RICYEIFKBDFLLI-UHFFFAOYSA-N 0 0 430.527 -0.296 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)CCS(C)(=O)=O ZINC000742281305 1073541338 /nfs/dbraw/zinc/54/13/38/1073541338.db2.gz CKSHSIIISNJPPG-UHFFFAOYSA-N 0 0 427.545 -0.628 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000742283110 1073541291 /nfs/dbraw/zinc/54/12/91/1073541291.db2.gz OKZVXJMOFUCMNT-UHFFFAOYSA-N 0 0 426.561 -0.896 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NCCS(C)(=O)=O)cc2n(C)c1=O ZINC000742283477 1073541113 /nfs/dbraw/zinc/54/11/13/1073541113.db2.gz CYBRKAMONLOUTO-UHFFFAOYSA-N 0 0 426.314 -0.038 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000742283920 1073541228 /nfs/dbraw/zinc/54/12/28/1073541228.db2.gz XFUZQNPXZNCBEW-UHFFFAOYSA-N 0 0 436.537 -0.756 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(S(=O)(=O)CCS(C)(=O)=O)C1 ZINC000742285821 1073541190 /nfs/dbraw/zinc/54/11/90/1073541190.db2.gz KUBDBZRYYOJYGZ-CYBMUJFWSA-N 0 0 441.572 -0.286 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(S(=O)(=O)CCS(C)(=O)=O)C1 ZINC000742285822 1073541308 /nfs/dbraw/zinc/54/13/08/1073541308.db2.gz KUBDBZRYYOJYGZ-ZDUSSCGKSA-N 0 0 441.572 -0.286 20 0 IBADRN COc1ccccc1-n1cc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cn1 ZINC000742286955 1073541221 /nfs/dbraw/zinc/54/12/21/1073541221.db2.gz UCXCLWHUQUGIPB-UHFFFAOYSA-N 0 0 430.508 -0.179 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CCCN2C(=O)CN(C)C2=O)c(=O)n(C)c1=O ZINC000742288938 1073541320 /nfs/dbraw/zinc/54/13/20/1073541320.db2.gz CWBLVQCVMFHKNT-UHFFFAOYSA-N 0 0 437.453 -0.815 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC000742290932 1073541176 /nfs/dbraw/zinc/54/11/76/1073541176.db2.gz IMEWOQQTNUWPFE-UHFFFAOYSA-N 0 0 425.554 -0.909 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000742291626 1073541802 /nfs/dbraw/zinc/54/18/02/1073541802.db2.gz FPAZQWSNJHIHQL-UHFFFAOYSA-N 0 0 429.586 -0.095 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CCCN1C(=O)CN(C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000742291969 1073541088 /nfs/dbraw/zinc/54/10/88/1073541088.db2.gz FEZTUUKXOQOZJA-AWEZNQCLSA-N 0 0 431.511 -0.125 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CCCN1C(=O)CN(C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000742291970 1073541769 /nfs/dbraw/zinc/54/17/69/1073541769.db2.gz FEZTUUKXOQOZJA-CQSZACIVSA-N 0 0 431.511 -0.125 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CCCN1C(=O)CN(C)C1=O)C2=O ZINC000742293165 1073541822 /nfs/dbraw/zinc/54/18/22/1073541822.db2.gz UTSPAUJLWMEHCZ-UHFFFAOYSA-N 0 0 437.453 -0.264 20 0 IBADRN C[C@@H](OC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000742293365 1073541791 /nfs/dbraw/zinc/54/17/91/1073541791.db2.gz YMBCKYNOAWNMIT-LLVKDONJSA-N 0 0 426.451 -0.122 20 0 IBADRN C[C@H](OC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000742293366 1073541703 /nfs/dbraw/zinc/54/17/03/1073541703.db2.gz YMBCKYNOAWNMIT-NSHDSACASA-N 0 0 426.451 -0.122 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000742293481 1073541746 /nfs/dbraw/zinc/54/17/46/1073541746.db2.gz IUFMKYXUMLVKHV-UHFFFAOYSA-N 0 0 429.564 -0.042 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000742293765 1073541725 /nfs/dbraw/zinc/54/17/25/1073541725.db2.gz SHQBMNFZIVFDPJ-CYBMUJFWSA-N 0 0 428.529 -0.057 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000742293766 1073541715 /nfs/dbraw/zinc/54/17/15/1073541715.db2.gz SHQBMNFZIVFDPJ-ZDUSSCGKSA-N 0 0 428.529 -0.057 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC000742294058 1073541778 /nfs/dbraw/zinc/54/17/78/1073541778.db2.gz VKNPCKTVWWGWEH-UHFFFAOYSA-N 0 0 428.540 -0.336 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)cn1 ZINC000742295618 1073541851 /nfs/dbraw/zinc/54/18/51/1073541851.db2.gz KEJXXZUEXNKVBS-UHFFFAOYSA-N 0 0 448.445 -0.104 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)cn1 ZINC000742296630 1073541923 /nfs/dbraw/zinc/54/19/23/1073541923.db2.gz YPKNMYLHSWTBLV-UHFFFAOYSA-N 0 0 430.455 -0.401 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000742296706 1073541874 /nfs/dbraw/zinc/54/18/74/1073541874.db2.gz ZXLOOARDEQHGOR-AWEZNQCLSA-N 0 0 433.556 -0.754 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000742296707 1073541673 /nfs/dbraw/zinc/54/16/73/1073541673.db2.gz ZXLOOARDEQHGOR-CQSZACIVSA-N 0 0 433.556 -0.754 20 0 IBADRN NC(=O)CN(CC(N)=O)S(=O)(=O)C[C@H]1CCCN(C(=O)OCc2ccccc2)C1 ZINC000742297194 1073541756 /nfs/dbraw/zinc/54/17/56/1073541756.db2.gz QLHFTWRSESFFMQ-HNNXBMFYSA-N 0 0 426.495 -0.362 20 0 IBADRN NC(=O)CN(CC(N)=O)S(=O)(=O)C[C@@H]1CCCN(C(=O)OCc2ccccc2)C1 ZINC000742297195 1073541837 /nfs/dbraw/zinc/54/18/37/1073541837.db2.gz QLHFTWRSESFFMQ-OAHLLOKOSA-N 0 0 426.495 -0.362 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCCN(C(=O)OCc3ccccc3)C2)CC1 ZINC000742297243 1073541911 /nfs/dbraw/zinc/54/19/11/1073541911.db2.gz MKQNCVBJDOSUPP-INIZCTEOSA-N 0 0 446.551 -0.062 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCCN(C(=O)OCc3ccccc3)C2)CC1 ZINC000742297244 1073541901 /nfs/dbraw/zinc/54/19/01/1073541901.db2.gz MKQNCVBJDOSUPP-MRXNPFEDSA-N 0 0 446.551 -0.062 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNS(=O)(=O)CCS(C)(=O)=O)CC1 ZINC000742299356 1073541735 /nfs/dbraw/zinc/54/17/35/1073541735.db2.gz KXINJFHIKUTFNZ-UHFFFAOYSA-N 0 0 439.581 -0.913 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CCCN2C(=O)CN(C)C2=O)cc1S(N)(=O)=O ZINC000742302888 1073541205 /nfs/dbraw/zinc/54/12/05/1073541205.db2.gz YGCGYSUQVWCULR-UHFFFAOYSA-N 0 0 426.451 -0.202 20 0 IBADRN CS(=O)(=O)CCOCCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000742307924 1073541370 /nfs/dbraw/zinc/54/13/70/1073541370.db2.gz BIHMMELRTQPIOL-UHFFFAOYSA-N 0 0 440.565 -0.189 20 0 IBADRN CN(CCS(=O)(=O)NCCOCCS(C)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000742308298 1073541246 /nfs/dbraw/zinc/54/12/46/1073541246.db2.gz GYELABBTCSVXHE-UHFFFAOYSA-N 0 0 446.544 -0.573 20 0 IBADRN CS(=O)(=O)CCOCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000742309230 1073541134 /nfs/dbraw/zinc/54/11/34/1073541134.db2.gz NFHYPBFQCFSUGM-UHFFFAOYSA-N 0 0 426.538 -0.533 20 0 IBADRN COc1ccc(S(=O)(=O)NCCOCCS(C)(=O)=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000742309808 1073541151 /nfs/dbraw/zinc/54/11/51/1073541151.db2.gz QFYBDDRYEYVVDA-INIZCTEOSA-N 0 0 449.507 -0.911 20 0 IBADRN COc1ccc(S(=O)(=O)NCCOCCS(C)(=O)=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000742309809 1073541164 /nfs/dbraw/zinc/54/11/64/1073541164.db2.gz QFYBDDRYEYVVDA-MRXNPFEDSA-N 0 0 449.507 -0.911 20 0 IBADRN CS(=O)(=O)CCOCCNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000742310297 1073542411 /nfs/dbraw/zinc/54/24/11/1073542411.db2.gz UPNNJZQKSMAJRM-UHFFFAOYSA-N 0 0 426.538 -0.434 20 0 IBADRN CS(=O)(=O)CCOCCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC000742310668 1073542391 /nfs/dbraw/zinc/54/23/91/1073542391.db2.gz XLESKWDVPLPLOD-UHFFFAOYSA-N 0 0 426.538 -0.533 20 0 IBADRN CS(=O)(=O)CCOCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000742310794 1073542302 /nfs/dbraw/zinc/54/23/02/1073542302.db2.gz ZXYAXAIXPIRJGS-UHFFFAOYSA-N 0 0 440.565 -0.189 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CC1 ZINC000742317928 1073542244 /nfs/dbraw/zinc/54/22/44/1073542244.db2.gz ACYBNPKWPZQALM-UHFFFAOYSA-N 0 0 437.471 -0.407 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC000742317949 1073542420 /nfs/dbraw/zinc/54/24/20/1073542420.db2.gz AOGBGJXXMOZWAI-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cc(C(=O)OC)c2)CC1 ZINC000742317986 1073542400 /nfs/dbraw/zinc/54/24/00/1073542400.db2.gz BNWSGYFHNNYWQA-UHFFFAOYSA-N 0 0 442.446 -0.344 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000742318108 1073542367 /nfs/dbraw/zinc/54/23/67/1073542367.db2.gz DWJOKUAPFVMXDT-UHFFFAOYSA-N 0 0 445.519 -0.378 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(CNC(C)=O)ccc2OC)CC1 ZINC000742319124 1073542292 /nfs/dbraw/zinc/54/22/92/1073542292.db2.gz RUQCXVRQTPYLRS-UHFFFAOYSA-N 0 0 427.479 -0.273 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000742319602 1073542310 /nfs/dbraw/zinc/54/23/10/1073542310.db2.gz WABJMBHMAFVCBD-UHFFFAOYSA-N 0 0 445.519 -0.477 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000742319603 1073542375 /nfs/dbraw/zinc/54/23/75/1073542375.db2.gz WDRLBCXVODDQFU-UHFFFAOYSA-N 0 0 445.519 -0.477 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000742320482 1073542383 /nfs/dbraw/zinc/54/23/83/1073542383.db2.gz GADCBPCMICYUMR-UHFFFAOYSA-N 0 0 433.508 -0.667 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000742323047 1073542318 /nfs/dbraw/zinc/54/23/18/1073542318.db2.gz VZPMAGGFCBGURO-UHFFFAOYSA-N 0 0 433.508 -0.667 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(C(=O)N(C)C)c2)CC1 ZINC000742323055 1073542265 /nfs/dbraw/zinc/54/22/65/1073542265.db2.gz WEQUSKRIVRJAHM-UHFFFAOYSA-N 0 0 427.479 -0.207 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742341070 1073542336 /nfs/dbraw/zinc/54/23/36/1073542336.db2.gz IVPSQIMRNYPUJG-CHWSQXEVSA-N 0 0 439.556 -0.439 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742341071 1073542273 /nfs/dbraw/zinc/54/22/73/1073542273.db2.gz IVPSQIMRNYPUJG-OLZOCXBDSA-N 0 0 439.556 -0.439 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742341072 1073542342 /nfs/dbraw/zinc/54/23/42/1073542342.db2.gz IVPSQIMRNYPUJG-QWHCGFSZSA-N 0 0 439.556 -0.439 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742341073 1073542349 /nfs/dbraw/zinc/54/23/49/1073542349.db2.gz IVPSQIMRNYPUJG-STQMWFEESA-N 0 0 439.556 -0.439 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742341137 1073542328 /nfs/dbraw/zinc/54/23/28/1073542328.db2.gz NGAVAMCYBDBJEI-GFCCVEGCSA-N 0 0 425.529 -0.828 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742341141 1073542281 /nfs/dbraw/zinc/54/22/81/1073542281.db2.gz NGAVAMCYBDBJEI-LBPRGKRZSA-N 0 0 425.529 -0.828 20 0 IBADRN O=C(c1cccc2c1OCCO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742341807 1073542727 /nfs/dbraw/zinc/54/27/27/1073542727.db2.gz QRQVLMJJCBTHOR-CYBMUJFWSA-N 0 0 430.504 -0.268 20 0 IBADRN O=C(c1cccc2c1OCCO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742341808 1073542741 /nfs/dbraw/zinc/54/27/41/1073542741.db2.gz QRQVLMJJCBTHOR-ZDUSSCGKSA-N 0 0 430.504 -0.268 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCc4nn(C)c(=O)cc4C3)C2=O)cc1 ZINC000742428504 1073542928 /nfs/dbraw/zinc/54/29/28/1073542928.db2.gz UZYICNLLXVKLLV-NRFANRHFSA-N 0 0 440.460 -0.355 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCc4nn(C)c(=O)cc4C3)C2=O)cc1 ZINC000742428505 1073542757 /nfs/dbraw/zinc/54/27/57/1073542757.db2.gz UZYICNLLXVKLLV-OAQYLSRUSA-N 0 0 440.460 -0.355 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCc4nn(C)c(=O)cc4C3)C2=O)c1 ZINC000742428640 1073542901 /nfs/dbraw/zinc/54/29/01/1073542901.db2.gz GABVCSBOXSUPKN-NRFANRHFSA-N 0 0 440.460 -0.355 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCc4nn(C)c(=O)cc4C3)C2=O)c1 ZINC000742428641 1073542862 /nfs/dbraw/zinc/54/28/62/1073542862.db2.gz GABVCSBOXSUPKN-OAQYLSRUSA-N 0 0 440.460 -0.355 20 0 IBADRN CCN(CC)C(=O)CSc1nnc(SCCNS(=O)(=O)c2cnn(C)c2)n1N ZINC000742449808 1073542709 /nfs/dbraw/zinc/54/27/09/1073542709.db2.gz YNOJLHUQGRLWSM-UHFFFAOYSA-N 0 0 448.600 -0.244 20 0 IBADRN COCCc1nnc(SCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)n1N ZINC000742459357 1073542747 /nfs/dbraw/zinc/54/27/47/1073542747.db2.gz RZRZFLSPLYXKJC-GOSISDBHSA-N 0 0 449.493 -0.220 20 0 IBADRN COCCc1nnc(SCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)n1N ZINC000742459360 1073542907 /nfs/dbraw/zinc/54/29/07/1073542907.db2.gz RZRZFLSPLYXKJC-SFHVURJKSA-N 0 0 449.493 -0.220 20 0 IBADRN COCCc1nnc(SCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1N ZINC000742459779 1073542875 /nfs/dbraw/zinc/54/28/75/1073542875.db2.gz VZVBRNOIXJIDOI-UHFFFAOYSA-N 0 0 440.551 -0.194 20 0 IBADRN COCCc1nnc(SCC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)n1N ZINC000742461152 1073542885 /nfs/dbraw/zinc/54/28/85/1073542885.db2.gz MTDOXTLCBCDSSN-JTQLQIEISA-N 0 0 426.524 -0.102 20 0 IBADRN COCCc1nnc(SCC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)n1N ZINC000742461153 1073542692 /nfs/dbraw/zinc/54/26/92/1073542692.db2.gz MTDOXTLCBCDSSN-SNVBAGLBSA-N 0 0 426.524 -0.102 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccccc3C(F)(F)F)CC2)nn1 ZINC000742474500 1073542892 /nfs/dbraw/zinc/54/28/92/1073542892.db2.gz QRPVWVVXACOTJC-UHFFFAOYSA-N 0 0 446.411 -0.071 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CC3SC(=N)NC3=O)CC2)c1 ZINC000742499669 1073543390 /nfs/dbraw/zinc/54/33/90/1073543390.db2.gz VLNGZCVESDXYKO-JTQLQIEISA-N 0 0 446.532 -0.076 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CC3SC(=N)NC3=O)CC2)c1 ZINC000742499670 1073543475 /nfs/dbraw/zinc/54/34/75/1073543475.db2.gz VLNGZCVESDXYKO-SNVBAGLBSA-N 0 0 446.532 -0.076 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000742501829 1073543377 /nfs/dbraw/zinc/54/33/77/1073543377.db2.gz TXFVXOFGMILPHC-UHFFFAOYSA-N 0 0 446.551 -0.710 20 0 IBADRN NC(=O)Cn1cc(C(=O)NCCN2C(=O)S/C(=C/c3ccc4c(c3)OCO4)C2=O)nn1 ZINC000742514415 1073543826 /nfs/dbraw/zinc/54/38/26/1073543826.db2.gz LFZOHJMBKHSFIF-MKMNVTDBSA-N 0 0 444.429 -0.042 20 0 IBADRN NC(=O)Cn1cc(C(=O)NCCN2C(=O)S/C(=C\c3ccc4c(c3)OCO4)C2=O)nn1 ZINC000742514416 1073543970 /nfs/dbraw/zinc/54/39/70/1073543970.db2.gz LFZOHJMBKHSFIF-NSIKDUERSA-N 0 0 444.429 -0.042 20 0 IBADRN C[C@@H](CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)NC(=O)OC(C)(C)C ZINC000742532317 1073543320 /nfs/dbraw/zinc/54/33/20/1073543320.db2.gz KHWGUNQCTUUHRW-HNNXBMFYSA-N 0 0 433.575 -0.074 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)Cc2ccccc2)cc1 ZINC000742538514 1073544012 /nfs/dbraw/zinc/54/40/12/1073544012.db2.gz FKCSLXSMFGHHDP-UHFFFAOYSA-N 0 0 439.515 -0.205 20 0 IBADRN N=C1NC(=O)C(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)S1 ZINC000742539890 1073543844 /nfs/dbraw/zinc/54/38/44/1073543844.db2.gz FWBRSDMZXCGMAY-GFCCVEGCSA-N 0 0 426.524 -0.794 20 0 IBADRN N=C1NC(=O)C(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)S1 ZINC000742539892 1073543977 /nfs/dbraw/zinc/54/39/77/1073543977.db2.gz FWBRSDMZXCGMAY-LBPRGKRZSA-N 0 0 426.524 -0.794 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)CN1CCOCC1 ZINC000742542453 1073543814 /nfs/dbraw/zinc/54/38/14/1073543814.db2.gz UCWUPCYYJTWRFT-KBPBESRZSA-N 0 0 442.454 -0.069 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)CN1CCOCC1 ZINC000742542454 1073543868 /nfs/dbraw/zinc/54/38/68/1073543868.db2.gz UCWUPCYYJTWRFT-OKILXGFUSA-N 0 0 442.454 -0.069 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@H](C)CN1CCOCC1 ZINC000742542455 1073543803 /nfs/dbraw/zinc/54/38/03/1073543803.db2.gz UCWUPCYYJTWRFT-ZIAGYGMSSA-N 0 0 442.454 -0.069 20 0 IBADRN O=C(CCCOc1cccnc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000742553297 1073543990 /nfs/dbraw/zinc/54/39/90/1073543990.db2.gz KXHSJPGRMMXLEL-INIZCTEOSA-N 0 0 431.536 -0.098 20 0 IBADRN O=C(CCCOc1cccnc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000742553299 1073543905 /nfs/dbraw/zinc/54/39/05/1073543905.db2.gz KXHSJPGRMMXLEL-MRXNPFEDSA-N 0 0 431.536 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)c3cn(CC(N)=O)nn3)C2)CC1 ZINC000742570358 1073544401 /nfs/dbraw/zinc/54/44/01/1073544401.db2.gz XMQLVONCWUFPHR-AWEZNQCLSA-N 0 0 449.512 -0.305 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)c3cn(CC(N)=O)nn3)C2)CC1 ZINC000742570359 1073544361 /nfs/dbraw/zinc/54/43/61/1073544361.db2.gz XMQLVONCWUFPHR-CQSZACIVSA-N 0 0 449.512 -0.305 20 0 IBADRN O=S(=O)(CCN1CCN(c2cnccn2)CC1)CCN1CCN(c2cnccn2)CC1 ZINC000742588671 1073544392 /nfs/dbraw/zinc/54/43/92/1073544392.db2.gz WIYVCZWXNDGBFO-UHFFFAOYSA-N 0 0 446.581 -0.374 20 0 IBADRN O=C(NC1CC1)[C@H]1CCCN1CCS(=O)(=O)CCN1CCC[C@H]1C(=O)NC1CC1 ZINC000742588748 1073544372 /nfs/dbraw/zinc/54/43/72/1073544372.db2.gz YPGCLULNDFLSKP-HDICACEKSA-N 0 0 426.583 -0.113 20 0 IBADRN O=C(NC1CC1)[C@H]1CCCN1CCS(=O)(=O)CCN1CCC[C@@H]1C(=O)NC1CC1 ZINC000742588750 1073544272 /nfs/dbraw/zinc/54/42/72/1073544272.db2.gz YPGCLULNDFLSKP-QZTJIDSGSA-N 0 0 426.583 -0.113 20 0 IBADRN O=C(NC1CC1)[C@@H]1CCCN1CCS(=O)(=O)CCN1CCC[C@H]1C(=O)NC1CC1 ZINC000742588752 1073544338 /nfs/dbraw/zinc/54/43/38/1073544338.db2.gz YPGCLULNDFLSKP-ROUUACIJSA-N 0 0 426.583 -0.113 20 0 IBADRN COc1cc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc2c1OCCO2 ZINC000742589457 1073544301 /nfs/dbraw/zinc/54/43/01/1073544301.db2.gz LGHCEZXFBWATOW-LLVKDONJSA-N 0 0 428.419 -0.364 20 0 IBADRN COc1cc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc2c1OCCO2 ZINC000742589458 1073544914 /nfs/dbraw/zinc/54/49/14/1073544914.db2.gz LGHCEZXFBWATOW-NSHDSACASA-N 0 0 428.419 -0.364 20 0 IBADRN O=S(=O)(CCN1CCN(c2ncccn2)CC1)CCN1CCN(c2ncccn2)CC1 ZINC000742589753 1073544382 /nfs/dbraw/zinc/54/43/82/1073544382.db2.gz UMQRVCPQQWJEIJ-UHFFFAOYSA-N 0 0 446.581 -0.374 20 0 IBADRN C[C@H]1CN(CCNC(=O)C(F)(F)C(F)(F)C(=O)NCCN2CCO[C@@H](C)C2)CCO1 ZINC000742609702 1073544756 /nfs/dbraw/zinc/54/47/56/1073544756.db2.gz WSEPLDLMERNUTK-KBPBESRZSA-N 0 0 442.454 -0.069 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(F)(F)C(F)(F)C(=O)NCCN2CCO[C@@H](C)C2)CCO1 ZINC000742609703 1073544685 /nfs/dbraw/zinc/54/46/85/1073544685.db2.gz WSEPLDLMERNUTK-OKILXGFUSA-N 0 0 442.454 -0.069 20 0 IBADRN C[C@@H]1CN(CCNC(=O)C(F)(F)C(F)(F)C(=O)NCCN2CCO[C@H](C)C2)CCO1 ZINC000742609704 1073544865 /nfs/dbraw/zinc/54/48/65/1073544865.db2.gz WSEPLDLMERNUTK-ZIAGYGMSSA-N 0 0 442.454 -0.069 20 0 IBADRN NC(=O)N1CCCN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCCN(C(N)=O)CC2)CC1 ZINC000742617624 1073544957 /nfs/dbraw/zinc/54/49/57/1073544957.db2.gz NUVLBLKCAVUHDX-UHFFFAOYSA-N 0 0 440.398 -0.517 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(F)(F)C(F)(F)C(=O)N(C)CCN1CCOCC1 ZINC000742618247 1073544744 /nfs/dbraw/zinc/54/47/44/1073544744.db2.gz BTTPMZDEHWUKDP-UHFFFAOYSA-N 0 0 442.454 -0.162 20 0 IBADRN O=Cc1ccc(OCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(Br)c1 ZINC000742621654 1073544900 /nfs/dbraw/zinc/54/49/00/1073544900.db2.gz RSCFERBUECGSSW-UHFFFAOYSA-N 0 0 437.254 -0.002 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(F)(F)C(F)(F)C(=O)N(C)CCS(C)(=O)=O ZINC000742631358 1073544791 /nfs/dbraw/zinc/54/47/91/1073544791.db2.gz OUTZSWZMLVOWAH-UHFFFAOYSA-N 0 0 428.426 -0.737 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000742633446 1073544941 /nfs/dbraw/zinc/54/49/41/1073544941.db2.gz CNNLNOIORDWWCN-AOOOYVTPSA-N 0 0 444.334 -0.942 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCO[C@@H](C(=O)OC)C2)CCO1 ZINC000742633447 1073545587 /nfs/dbraw/zinc/54/55/87/1073545587.db2.gz CNNLNOIORDWWCN-NXEZZACHSA-N 0 0 444.334 -0.942 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCO[C@H](C(=O)OC)C2)CCO1 ZINC000742633448 1073545356 /nfs/dbraw/zinc/54/53/56/1073545356.db2.gz CNNLNOIORDWWCN-UWVGGRQHSA-N 0 0 444.334 -0.942 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(F)(F)C(F)(F)C(=O)NCCN1CCOC[C@@H]1C ZINC000742636093 1073545283 /nfs/dbraw/zinc/54/52/83/1073545283.db2.gz JQQSATAEINLXOH-KBPBESRZSA-N 0 0 442.454 -0.069 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(F)(F)C(F)(F)C(=O)NCCN1CCOC[C@@H]1C ZINC000742636094 1073545340 /nfs/dbraw/zinc/54/53/40/1073545340.db2.gz JQQSATAEINLXOH-OKILXGFUSA-N 0 0 442.454 -0.069 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(F)(F)C(F)(F)C(=O)NCCN1CCOC[C@H]1C ZINC000742636095 1073545453 /nfs/dbraw/zinc/54/54/53/1073545453.db2.gz JQQSATAEINLXOH-ZIAGYGMSSA-N 0 0 442.454 -0.069 20 0 IBADRN CN1C(=S)N=NC1CNC(=O)C(F)(F)C(F)(F)C(=O)NCC1N=NC(=S)N1C ZINC000742638381 1073545573 /nfs/dbraw/zinc/54/55/73/1073545573.db2.gz CQSMXYIFSNKHDV-UHFFFAOYSA-N 0 0 442.424 -0.276 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCN(C[C@H](C)O)CC2)CC1 ZINC000742643415 1073545349 /nfs/dbraw/zinc/54/53/49/1073545349.db2.gz BBXSHLQLAUUPRI-KBPBESRZSA-N 0 0 442.454 -0.693 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCN(C[C@H](C)O)CC2)CC1 ZINC000742643416 1073545561 /nfs/dbraw/zinc/54/55/61/1073545561.db2.gz BBXSHLQLAUUPRI-OKILXGFUSA-N 0 0 442.454 -0.693 20 0 IBADRN C[C@@H](O)CN1CCN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCN(C[C@@H](C)O)CC2)CC1 ZINC000742643417 1073545294 /nfs/dbraw/zinc/54/52/94/1073545294.db2.gz BBXSHLQLAUUPRI-ZIAGYGMSSA-N 0 0 442.454 -0.693 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CC3SC(=N)NC3=O)CC2)cc1 ZINC000742647735 1073544807 /nfs/dbraw/zinc/54/48/07/1073544807.db2.gz HMOMFMCXDWEUEA-HNNXBMFYSA-N 0 0 439.563 -0.026 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CC3SC(=N)NC3=O)CC2)cc1 ZINC000742647736 1073544771 /nfs/dbraw/zinc/54/47/71/1073544771.db2.gz HMOMFMCXDWEUEA-OAHLLOKOSA-N 0 0 439.563 -0.026 20 0 IBADRN COCCN1CCN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCN(CCOC)CC2)CC1 ZINC000742669714 1073545871 /nfs/dbraw/zinc/54/58/71/1073545871.db2.gz OHTVNMVNMBKAAU-UHFFFAOYSA-N 0 0 442.454 -0.162 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@H](C)CS(C)(=O)=O ZINC000742675110 1073545981 /nfs/dbraw/zinc/54/59/81/1073545981.db2.gz KLHJEQTUBDVCCA-HTQZYQBOSA-N 0 0 428.426 -0.644 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000742675111 1073545887 /nfs/dbraw/zinc/54/58/87/1073545887.db2.gz KLHJEQTUBDVCCA-OCAPTIKFSA-N 0 0 428.426 -0.644 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000742675112 1073545932 /nfs/dbraw/zinc/54/59/32/1073545932.db2.gz KLHJEQTUBDVCCA-YUMQZZPRSA-N 0 0 428.426 -0.644 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CC2SC(=N)NC2=O)CC1 ZINC000742683810 1073546480 /nfs/dbraw/zinc/54/64/80/1073546480.db2.gz BNFYBCLNMCVUHH-CYBMUJFWSA-N 0 0 425.536 -0.205 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)CC2SC(=N)NC2=O)CC1 ZINC000742683811 1073546421 /nfs/dbraw/zinc/54/64/21/1073546421.db2.gz BNFYBCLNMCVUHH-ZDUSSCGKSA-N 0 0 425.536 -0.205 20 0 IBADRN NC(=O)Cn1cc(C(=O)Nc2ccc(N3CCN(S(=O)(=O)C(F)F)CC3)cc2)nn1 ZINC000742705829 1073546494 /nfs/dbraw/zinc/54/64/94/1073546494.db2.gz FEUWEZUJSWJVID-UHFFFAOYSA-N 0 0 443.436 -0.310 20 0 IBADRN CCS(=O)(=O)c1ccccc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000742709358 1073546394 /nfs/dbraw/zinc/54/63/94/1073546394.db2.gz ICGCNPSYCOCUAZ-LLVKDONJSA-N 0 0 432.476 -0.350 20 0 IBADRN CCS(=O)(=O)c1ccccc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000742709359 1073546548 /nfs/dbraw/zinc/54/65/48/1073546548.db2.gz ICGCNPSYCOCUAZ-NSHDSACASA-N 0 0 432.476 -0.350 20 0 IBADRN CCS(=O)(=O)c1ccccc1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000742710667 1073546342 /nfs/dbraw/zinc/54/63/42/1073546342.db2.gz NIRHJCOFJBNLFX-UHFFFAOYSA-N 0 0 425.507 -0.131 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC000742793567 1073546777 /nfs/dbraw/zinc/54/67/77/1073546777.db2.gz NKOKGQBOJRTKGO-AFNCTOJWSA-N 0 0 432.481 -0.078 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC000742793568 1073546959 /nfs/dbraw/zinc/54/69/59/1073546959.db2.gz NKOKGQBOJRTKGO-LMVHVUTASA-N 0 0 432.481 -0.078 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC000742793569 1073547164 /nfs/dbraw/zinc/54/71/64/1073547164.db2.gz NKOKGQBOJRTKGO-PSKZRQQASA-N 0 0 432.481 -0.078 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC000742793570 1073547113 /nfs/dbraw/zinc/54/71/13/1073547113.db2.gz NKOKGQBOJRTKGO-UZYOAWRESA-N 0 0 432.481 -0.078 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCc3c(cccc3N3CCOC3=O)C1)c(=O)n2C ZINC000742795437 1073546997 /nfs/dbraw/zinc/54/69/97/1073546997.db2.gz OJSKDYCHEDYUSH-UHFFFAOYSA-N 0 0 438.444 -0.025 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCc4c(cccc4N4CCOC4=O)C3)c2c(=O)n(C)c1=O ZINC000742796806 1073546814 /nfs/dbraw/zinc/54/68/14/1073546814.db2.gz FYCUOYYBFJNTED-UHFFFAOYSA-N 0 0 438.444 -0.025 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000742804597 1073546908 /nfs/dbraw/zinc/54/69/08/1073546908.db2.gz GMVRFJXHDFGIIE-UHFFFAOYSA-N 0 0 441.491 -0.854 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)ccc1F ZINC000742805436 1073546865 /nfs/dbraw/zinc/54/68/65/1073546865.db2.gz HXPKWAMCBXPDDB-UHFFFAOYSA-N 0 0 432.455 -0.251 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)nn1 ZINC000742807588 1073547154 /nfs/dbraw/zinc/54/71/54/1073547154.db2.gz HMOJZWHGUZSZOC-UHFFFAOYSA-N 0 0 431.478 -0.727 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N(C)CC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)nn1 ZINC000742814849 1073546977 /nfs/dbraw/zinc/54/69/77/1073546977.db2.gz ITRRPKXYFGVBSI-UHFFFAOYSA-N 0 0 437.501 -0.482 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000742906685 1073547102 /nfs/dbraw/zinc/54/71/02/1073547102.db2.gz KKBKFNODVGPBDK-GFCCVEGCSA-N 0 0 440.551 -0.085 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000742906688 1073546849 /nfs/dbraw/zinc/54/68/49/1073546849.db2.gz KKBKFNODVGPBDK-LBPRGKRZSA-N 0 0 440.551 -0.085 20 0 IBADRN COC(=O)CCN(C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C1CCOCC1 ZINC000742961427 1073547011 /nfs/dbraw/zinc/54/70/11/1073547011.db2.gz CCFNRSRFFLMRCS-UHFFFAOYSA-N 0 0 441.462 -0.187 20 0 IBADRN COC(=O)CCN(C1CCOCC1)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000743050829 1073546893 /nfs/dbraw/zinc/54/68/93/1073546893.db2.gz BZJTXFKANXGDLN-UHFFFAOYSA-N 0 0 426.451 -0.233 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000743059703 1073546796 /nfs/dbraw/zinc/54/67/96/1073546796.db2.gz OIYODXVEZFBBGO-UHFFFAOYSA-N 0 0 435.524 -0.110 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000743083851 1073547677 /nfs/dbraw/zinc/54/76/77/1073547677.db2.gz HFCMXDXONCXFRE-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000743110365 1073547459 /nfs/dbraw/zinc/54/74/59/1073547459.db2.gz HFCMXDXONCXFRE-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCOCCS(C)(=O)=O)c2)CC1 ZINC000743122724 1073547755 /nfs/dbraw/zinc/54/77/55/1073547755.db2.gz BTYHJYXQQPQUIA-UHFFFAOYSA-N 0 0 448.567 -0.195 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000743154714 1073547696 /nfs/dbraw/zinc/54/76/96/1073547696.db2.gz LAZXIGJBMKVJOM-UHFFFAOYSA-N 0 0 449.555 -0.654 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000743169503 1073547538 /nfs/dbraw/zinc/54/75/38/1073547538.db2.gz UFNZOWAEDILFDF-UHFFFAOYSA-N 0 0 428.559 -0.154 20 0 IBADRN O=C(Nc1ccn(Cc2ccccn2)n1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000743169679 1073547645 /nfs/dbraw/zinc/54/76/45/1073547645.db2.gz HGDQRSFLYHIQKL-UHFFFAOYSA-N 0 0 427.465 -0.139 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000743182616 1073547590 /nfs/dbraw/zinc/54/75/90/1073547590.db2.gz XGPYGLIGWJLLQZ-UHFFFAOYSA-N 0 0 438.503 -0.141 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000743204274 1073547740 /nfs/dbraw/zinc/54/77/40/1073547740.db2.gz OENKGKAPWFBYSR-UHFFFAOYSA-N 0 0 433.498 -0.042 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)NCCS(=O)(=O)N2CCSCC2)n1 ZINC000743206727 1073547761 /nfs/dbraw/zinc/54/77/61/1073547761.db2.gz REBYOQQWOFAJHL-UHFFFAOYSA-N 0 0 425.558 -0.572 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000743206953 1073547610 /nfs/dbraw/zinc/54/76/10/1073547610.db2.gz XESCOGWCAODPGD-HNNXBMFYSA-N 0 0 444.558 -0.382 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000743206954 1073547689 /nfs/dbraw/zinc/54/76/89/1073547689.db2.gz XESCOGWCAODPGD-OAHLLOKOSA-N 0 0 444.558 -0.382 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1 ZINC000743207254 1073547717 /nfs/dbraw/zinc/54/77/17/1073547717.db2.gz HERIOJBPFOVODZ-UHFFFAOYSA-N 0 0 426.499 -0.774 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)n1 ZINC000743207737 1073547734 /nfs/dbraw/zinc/54/77/34/1073547734.db2.gz QTKKSQVEZVWZTA-CYBMUJFWSA-N 0 0 437.544 -0.462 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)n1 ZINC000743207738 1073547483 /nfs/dbraw/zinc/54/74/83/1073547483.db2.gz QTKKSQVEZVWZTA-ZDUSSCGKSA-N 0 0 437.544 -0.462 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2ccnc(N3CCN(CC(=O)NC(C)C)CC3)n2)CC1 ZINC000743208413 1073547636 /nfs/dbraw/zinc/54/76/36/1073547636.db2.gz LLDYBNFXBNFKMW-UHFFFAOYSA-N 0 0 446.600 -0.230 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)n1 ZINC000743208427 1073547507 /nfs/dbraw/zinc/54/75/07/1073547507.db2.gz LZLNGEWSOKEAMU-UHFFFAOYSA-N 0 0 425.471 -0.373 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000743208606 1073547706 /nfs/dbraw/zinc/54/77/06/1073547706.db2.gz OVMFNHICIPTHJN-UHFFFAOYSA-N 0 0 446.511 -0.405 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1 ZINC000743210249 1073548023 /nfs/dbraw/zinc/54/80/23/1073548023.db2.gz IONVAGXWCXSRCN-UHFFFAOYSA-N 0 0 448.505 -0.179 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000743210677 1073547725 /nfs/dbraw/zinc/54/77/25/1073547725.db2.gz LYQZHOAIHYJXPV-UHFFFAOYSA-N 0 0 436.560 -0.336 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC(C)C)c[nH]2)cn1 ZINC000743252866 1073548342 /nfs/dbraw/zinc/54/83/42/1073548342.db2.gz HTZUKYHJBVWNCG-UHFFFAOYSA-N 0 0 432.484 -0.557 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC(C)(C)C)c[nH]2)cn1 ZINC000743253289 1073548181 /nfs/dbraw/zinc/54/81/81/1073548181.db2.gz LZRKPAJWPGTQLT-UHFFFAOYSA-N 0 0 446.511 -0.167 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC3CC3)c[nH]2)cn1 ZINC000743254164 1073548358 /nfs/dbraw/zinc/54/83/58/1073548358.db2.gz ZETQXKWUIOPEBY-UHFFFAOYSA-N 0 0 430.468 -0.803 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000743284593 1073548241 /nfs/dbraw/zinc/54/82/41/1073548241.db2.gz DNUCLUVTDGYLQT-LLVKDONJSA-N 0 0 426.499 -0.010 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000743284594 1073548127 /nfs/dbraw/zinc/54/81/27/1073548127.db2.gz DNUCLUVTDGYLQT-NSHDSACASA-N 0 0 426.499 -0.010 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NN2CC(=O)NC2=O)c1 ZINC000743290048 1073548310 /nfs/dbraw/zinc/54/83/10/1073548310.db2.gz IZYUCZGRRFVBGD-UHFFFAOYSA-N 0 0 435.256 -0.430 20 0 IBADRN O=C(CC(CC(=O)NN1CC(=O)NC1=O)c1ccc(Cl)cc1)NN1CC(=O)NC1=O ZINC000743290333 1073548063 /nfs/dbraw/zinc/54/80/63/1073548063.db2.gz LTLBZCGJQITTAO-UHFFFAOYSA-N 0 0 436.812 -0.630 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)cc2S1(=O)=O ZINC000743321421 1073548286 /nfs/dbraw/zinc/54/82/86/1073548286.db2.gz GRHAQOZRSIRDAW-GFCCVEGCSA-N 0 0 431.492 -0.629 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@H](S(N)(=O)=O)C3)cc2S1(=O)=O ZINC000743321422 1073548156 /nfs/dbraw/zinc/54/81/56/1073548156.db2.gz GRHAQOZRSIRDAW-LBPRGKRZSA-N 0 0 431.492 -0.629 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H](S(N)(=O)=O)C1)c2=O ZINC000743325069 1073548297 /nfs/dbraw/zinc/54/82/97/1073548297.db2.gz SCPKDIOSXWHOKU-MRVPVSSYSA-N 0 0 433.288 -0.828 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H](S(N)(=O)=O)C1)c2=O ZINC000743325070 1073548106 /nfs/dbraw/zinc/54/81/06/1073548106.db2.gz SCPKDIOSXWHOKU-QMMMGPOBSA-N 0 0 433.288 -0.828 20 0 IBADRN Cc1nn(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)c(=S)n1CC(=O)N(C)C ZINC000743379709 1073548931 /nfs/dbraw/zinc/54/89/31/1073548931.db2.gz BFMAINSAOUYBEL-UHFFFAOYSA-N 0 0 443.555 -0.096 20 0 IBADRN O=C1C(=O)N(C2CCCC2)C(=O)N1CN1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000743380167 1073548143 /nfs/dbraw/zinc/54/81/43/1073548143.db2.gz GTCDTPFWBINOJT-UHFFFAOYSA-N 0 0 425.467 -0.187 20 0 IBADRN Cn1c(N2CCOCC2)nn(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)c1=S ZINC000743380578 1073548171 /nfs/dbraw/zinc/54/81/71/1073548171.db2.gz KRJXGHGCIYMQBI-UHFFFAOYSA-N 0 0 443.555 -0.119 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(F)cc1F ZINC000743380852 1073548255 /nfs/dbraw/zinc/54/82/55/1073548255.db2.gz KPMZOMDIBJXLOZ-UHFFFAOYSA-N 0 0 437.403 -0.189 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OC)nc2OC)CC1 ZINC000743388678 1073548003 /nfs/dbraw/zinc/54/80/03/1073548003.db2.gz VYVRJOUGAQOMKI-UHFFFAOYSA-N 0 0 429.499 -0.232 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c(F)cc1F ZINC000743389181 1073548197 /nfs/dbraw/zinc/54/81/97/1073548197.db2.gz ZLTMTFUMAZDCED-UHFFFAOYSA-N 0 0 433.437 -0.188 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)CC1 ZINC000743391552 1073548036 /nfs/dbraw/zinc/54/80/36/1073548036.db2.gz RKXQTQXSQMHEOB-UHFFFAOYSA-N 0 0 447.492 -0.068 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000743417607 1073548687 /nfs/dbraw/zinc/54/86/87/1073548687.db2.gz JSNKRJZXHQSZSS-GFCCVEGCSA-N 0 0 430.914 -0.350 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000743417612 1073548908 /nfs/dbraw/zinc/54/89/08/1073548908.db2.gz JSNKRJZXHQSZSS-LBPRGKRZSA-N 0 0 430.914 -0.350 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000743417836 1073548671 /nfs/dbraw/zinc/54/86/71/1073548671.db2.gz DSUZUVROOKLMPJ-UHFFFAOYSA-N 0 0 425.463 -0.599 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC000743420041 1073549016 /nfs/dbraw/zinc/54/90/16/1073549016.db2.gz TVLKZIVBHYEWGQ-SJORKVTESA-N 0 0 433.461 -0.008 20 0 IBADRN C[C@@]1(c2ccc3ccccc3c2)NC(=O)N(CC(=O)N2CCN(S(N)(=O)=O)CC2)C1=O ZINC000743459376 1073548984 /nfs/dbraw/zinc/54/89/84/1073548984.db2.gz FVVTUQGIGHIZMI-FQEVSTJZSA-N 0 0 445.501 -0.046 20 0 IBADRN C[C@]1(c2ccc3ccccc3c2)NC(=O)N(CC(=O)N2CCN(S(N)(=O)=O)CC2)C1=O ZINC000743459377 1073549027 /nfs/dbraw/zinc/54/90/27/1073549027.db2.gz FVVTUQGIGHIZMI-HXUWFJFHSA-N 0 0 445.501 -0.046 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)SC2=NS(=O)(=O)CCN32)CC1 ZINC000743461630 1073548881 /nfs/dbraw/zinc/54/88/81/1073548881.db2.gz YHZFZINKXPYXPV-UHFFFAOYSA-N 0 0 431.521 -0.741 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000743468452 1073548793 /nfs/dbraw/zinc/54/87/93/1073548793.db2.gz LAKZWJRYCRJGBB-UHFFFAOYSA-N 0 0 435.286 -0.025 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000743480844 1073549001 /nfs/dbraw/zinc/54/90/01/1073549001.db2.gz QNCDVFJJJCHRQB-UHFFFAOYSA-N 0 0 442.270 -0.191 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000743498245 1073548894 /nfs/dbraw/zinc/54/88/94/1073548894.db2.gz NGDCWQNDXGBCKX-UHFFFAOYSA-N 0 0 426.524 -0.328 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000743500594 1073549498 /nfs/dbraw/zinc/54/94/98/1073549498.db2.gz KAVJMVXWFHCDBM-UHFFFAOYSA-N 0 0 427.288 -0.102 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCN2C(=S)N=NC2C)c1 ZINC000743500926 1073548713 /nfs/dbraw/zinc/54/87/13/1073548713.db2.gz ZZDJLRBFCBOZCR-UHFFFAOYSA-N 0 0 428.540 -0.116 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000743509079 1073549523 /nfs/dbraw/zinc/54/95/23/1073549523.db2.gz XRUXIDVVAMPCPU-UHFFFAOYSA-N 0 0 449.551 -0.432 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000743530541 1073549365 /nfs/dbraw/zinc/54/93/65/1073549365.db2.gz MRAZHIOWKHUJJV-UHFFFAOYSA-N 0 0 427.932 -0.021 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)NCCOCCS(C)(=O)=O)cc2)CC1 ZINC000743550708 1073549315 /nfs/dbraw/zinc/54/93/15/1073549315.db2.gz OMSBGOXWWANRBI-UHFFFAOYSA-N 0 0 426.539 -0.065 20 0 IBADRN Cn1cc([C@@H](O)CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000743552438 1073549396 /nfs/dbraw/zinc/54/93/96/1073549396.db2.gz ZTRGZCDXDCHYML-INIZCTEOSA-N 0 0 437.526 -0.700 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000743552448 1073640130 /nfs/dbraw/zinc/64/01/30/1073640130.db2.gz ZTRGZCDXDCHYML-MRXNPFEDSA-N 0 0 437.526 -0.700 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(Cc2cccc(F)c2)C(=O)C1 ZINC000743575276 1073549266 /nfs/dbraw/zinc/54/92/66/1073549266.db2.gz FGCLZEQGEASASV-UHFFFAOYSA-N 0 0 428.486 -0.159 20 0 IBADRN CCc1nsc(N2CCCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)n1 ZINC000743575556 1073549261 /nfs/dbraw/zinc/54/92/61/1073549261.db2.gz IOCNMYCZYSPWMQ-UHFFFAOYSA-N 0 0 432.572 -0.016 20 0 IBADRN O=C(NCCCN1C(=O)COc2ccccc21)NCCS(=O)(=O)N1CCOCC1 ZINC000743575940 1073549512 /nfs/dbraw/zinc/54/95/12/1073549512.db2.gz NEOBLCAHVYDHCG-UHFFFAOYSA-N 0 0 426.495 -0.237 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000743576462 1073549482 /nfs/dbraw/zinc/54/94/82/1073549482.db2.gz ZIWRUVDFPLLGKV-INIZCTEOSA-N 0 0 440.522 -0.373 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@@H]1CC(=O)N(CCOc2ccccc2)C1 ZINC000743576463 1073549448 /nfs/dbraw/zinc/54/94/48/1073549448.db2.gz ZIWRUVDFPLLGKV-MRXNPFEDSA-N 0 0 440.522 -0.373 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCOCCS(C)(=O)=O)CC1 ZINC000743577955 1073549354 /nfs/dbraw/zinc/54/93/54/1073549354.db2.gz LOHOKPLVFJAXSA-UHFFFAOYSA-N 0 0 436.531 -0.574 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)NC3CCN(CCS(C)(=O)=O)CC3)C2=O)n1 ZINC000743603285 1073640108 /nfs/dbraw/zinc/64/01/08/1073640108.db2.gz YIWRUXRVUKBUCO-HNNXBMFYSA-N 0 0 426.543 -0.276 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)NC3CCN(CCS(C)(=O)=O)CC3)C2=O)n1 ZINC000743603286 1073549422 /nfs/dbraw/zinc/54/94/22/1073549422.db2.gz YIWRUXRVUKBUCO-OAHLLOKOSA-N 0 0 426.543 -0.276 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000743606670 1073549466 /nfs/dbraw/zinc/54/94/66/1073549466.db2.gz KGLBMZOXFHTHFT-UHFFFAOYSA-N 0 0 439.478 -0.092 20 0 IBADRN Cn1cc([C@@H](O)CNC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000743614900 1073549339 /nfs/dbraw/zinc/54/93/39/1073549339.db2.gz BTTJDVCATSSOKS-KRWDZBQOSA-N 0 0 436.538 -0.111 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000743614917 1073549375 /nfs/dbraw/zinc/54/93/75/1073549375.db2.gz BTTJDVCATSSOKS-QGZVFWFLSA-N 0 0 436.538 -0.111 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000743633855 1073549995 /nfs/dbraw/zinc/54/99/95/1073549995.db2.gz QZPXQRDVULPGEF-HNNXBMFYSA-N 0 0 434.536 -0.065 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000743633856 1073549865 /nfs/dbraw/zinc/54/98/65/1073549865.db2.gz QZPXQRDVULPGEF-OAHLLOKOSA-N 0 0 434.536 -0.065 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000743633906 1073549805 /nfs/dbraw/zinc/54/98/05/1073549805.db2.gz SFNJPZQUDBRQAB-UHFFFAOYSA-N 0 0 436.508 -0.696 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCOCCS(C)(=O)=O)CC2)cc1 ZINC000743636114 1073549914 /nfs/dbraw/zinc/54/99/14/1073549914.db2.gz FRBXBPXAWYGPKV-UHFFFAOYSA-N 0 0 449.551 -0.228 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000743636491 1073549892 /nfs/dbraw/zinc/54/98/92/1073549892.db2.gz HWQDPRYEBGDRAV-UHFFFAOYSA-N 0 0 444.535 -0.476 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCOCCS(C)(=O)=O)CC1 ZINC000743638296 1073549883 /nfs/dbraw/zinc/54/98/83/1073549883.db2.gz QPGPVJFPROXJLF-UHFFFAOYSA-N 0 0 448.567 -0.513 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000743639220 1073550042 /nfs/dbraw/zinc/55/00/42/1073550042.db2.gz BZFHVDPVXBJPBD-UHFFFAOYSA-N 0 0 434.540 -0.773 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCOCCS(C)(=O)=O)CC2)cs1 ZINC000743639643 1073550030 /nfs/dbraw/zinc/55/00/30/1073550030.db2.gz DVZFLQBRKLCACO-UHFFFAOYSA-N 0 0 440.569 -0.471 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCOCCS(C)(=O)=O)CC1 ZINC000743639670 1073549941 /nfs/dbraw/zinc/54/99/41/1073549941.db2.gz FUZDTAFQJMVFJX-UHFFFAOYSA-N 0 0 438.528 -0.631 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000743639728 1073550489 /nfs/dbraw/zinc/55/04/89/1073550489.db2.gz HGICBDQVWHAIDB-UHFFFAOYSA-N 0 0 438.550 -0.036 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000743639974 1073549901 /nfs/dbraw/zinc/54/99/01/1073549901.db2.gz KHSCOXWHZUHETO-UHFFFAOYSA-N 0 0 443.551 -0.356 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000743640200 1073550626 /nfs/dbraw/zinc/55/06/26/1073550626.db2.gz QWLVWLBKXVMGSU-UHFFFAOYSA-N 0 0 437.515 -0.097 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000743640349 1073550608 /nfs/dbraw/zinc/55/06/08/1073550608.db2.gz SGZMEYYSWQBWOJ-UHFFFAOYSA-N 0 0 426.539 -0.309 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000743640357 1073550566 /nfs/dbraw/zinc/55/05/66/1073550566.db2.gz SPXYHYARDOFKAO-UHFFFAOYSA-N 0 0 442.542 -0.794 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NCCOCCS(C)(=O)=O)CC2)C1 ZINC000743640547 1073550458 /nfs/dbraw/zinc/55/04/58/1073550458.db2.gz WVPUFMQWURANCA-CALCHBBNSA-N 0 0 432.587 -0.121 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)NCCOCCS(C)(=O)=O)CC2)C1 ZINC000743640548 1073550666 /nfs/dbraw/zinc/55/06/66/1073550666.db2.gz WVPUFMQWURANCA-IAGOWNOFSA-N 0 0 432.587 -0.121 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)NCCOCCS(C)(=O)=O)CC2)C1 ZINC000743640549 1073550529 /nfs/dbraw/zinc/55/05/29/1073550529.db2.gz WVPUFMQWURANCA-IRXDYDNUSA-N 0 0 432.587 -0.121 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000743640588 1073550420 /nfs/dbraw/zinc/55/04/20/1073550420.db2.gz YRXDAMIQWTYQPG-UHFFFAOYSA-N 0 0 433.552 -0.095 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H](O)c3cnn(C)c3)cc2)CC1 ZINC000743644443 1073549274 /nfs/dbraw/zinc/54/92/74/1073549274.db2.gz OITPAXBHQRSREL-GOSISDBHSA-N 0 0 435.506 -0.264 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H](O)c3cnn(C)c3)cc2)CC1 ZINC000743644445 1073549537 /nfs/dbraw/zinc/54/95/37/1073549537.db2.gz OITPAXBHQRSREL-SFHVURJKSA-N 0 0 435.506 -0.264 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000743646839 1073549925 /nfs/dbraw/zinc/54/99/25/1073549925.db2.gz OUYDQYCSMUWEAI-GOSISDBHSA-N 0 0 449.533 -0.117 20 0 IBADRN Cn1cc([C@@H](O)CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000743646843 1073550057 /nfs/dbraw/zinc/55/00/57/1073550057.db2.gz OUYDQYCSMUWEAI-SFHVURJKSA-N 0 0 449.533 -0.117 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H](O)c2cnn(C)c2)c1 ZINC000743646909 1073549820 /nfs/dbraw/zinc/54/98/20/1073549820.db2.gz POVQUZVOEQSEIY-GOSISDBHSA-N 0 0 437.522 -0.030 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H](O)c2cnn(C)c2)c1 ZINC000743646913 1073549873 /nfs/dbraw/zinc/54/98/73/1073549873.db2.gz POVQUZVOEQSEIY-SFHVURJKSA-N 0 0 437.522 -0.030 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H](O)c3cnn(C)c3)c2)CC1 ZINC000743648318 1073549838 /nfs/dbraw/zinc/54/98/38/1073549838.db2.gz YLLMTKDPNIKBHH-GOSISDBHSA-N 0 0 435.506 -0.264 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H](O)c3cnn(C)c3)c2)CC1 ZINC000743648319 1073549853 /nfs/dbraw/zinc/54/98/53/1073549853.db2.gz YLLMTKDPNIKBHH-SFHVURJKSA-N 0 0 435.506 -0.264 20 0 IBADRN Cn1cc([C@@H](O)CNC(=O)C(F)(F)C(F)(F)C(=O)NC[C@H](O)c2cnn(C)c2)cn1 ZINC000743648946 1073549949 /nfs/dbraw/zinc/54/99/49/1073549949.db2.gz PCVHNYHPZBOCLQ-RYUDHWBXSA-N 0 0 436.366 -0.576 20 0 IBADRN Cn1cc([C@@H](O)CNC(=O)C(F)(F)C(F)(F)C(=O)NC[C@@H](O)c2cnn(C)c2)cn1 ZINC000743648947 1073550007 /nfs/dbraw/zinc/55/00/07/1073550007.db2.gz PCVHNYHPZBOCLQ-TXEJJXNPSA-N 0 0 436.366 -0.576 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)C(F)(F)C(F)(F)C(=O)NC[C@@H](O)c2cnn(C)c2)cn1 ZINC000743648948 1073549972 /nfs/dbraw/zinc/54/99/72/1073549972.db2.gz PCVHNYHPZBOCLQ-VXGBXAGGSA-N 0 0 436.366 -0.576 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000743661453 1073550502 /nfs/dbraw/zinc/55/05/02/1073550502.db2.gz KROKHEQCIWHGPG-UHFFFAOYSA-N 0 0 438.535 -0.320 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000743662774 1073550477 /nfs/dbraw/zinc/55/04/77/1073550477.db2.gz JCUVSZSYMJKEOY-UHFFFAOYSA-N 0 0 440.551 -0.074 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000743669133 1073550467 /nfs/dbraw/zinc/55/04/67/1073550467.db2.gz WWUCWDWLZOZOKC-UHFFFAOYSA-N 0 0 428.540 -0.081 20 0 IBADRN O=S(=O)(NN=c1ccc(S(=O)(=O)NC2CC2)c[nH]1)c1cccc(-n2cnnn2)c1 ZINC000743729568 1073551969 /nfs/dbraw/zinc/55/19/69/1073551969.db2.gz PYKQWIFLXUWVDC-UHFFFAOYSA-N 0 0 436.479 -0.197 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1ccc(Br)c(S(N)(=O)=O)c1 ZINC000743824550 1073552453 /nfs/dbraw/zinc/55/24/53/1073552453.db2.gz PBZHCUJZYMVZBM-UHFFFAOYSA-N 0 0 429.314 -0.113 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC000743835041 1073552561 /nfs/dbraw/zinc/55/25/61/1073552561.db2.gz GFKPTPVDRMNSKJ-UHFFFAOYSA-N 0 0 432.455 -0.251 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000743857272 1073552391 /nfs/dbraw/zinc/55/23/91/1073552391.db2.gz FDVMWTVMQSFCCB-UHFFFAOYSA-N 0 0 443.526 -0.141 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)NC(=O)N[C@@H]1C ZINC000743857314 1073552527 /nfs/dbraw/zinc/55/25/27/1073552527.db2.gz GQQBSRTYGMKWHT-GFCCVEGCSA-N 0 0 427.483 -0.360 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)NC(=O)N[C@H]1C ZINC000743857320 1073552484 /nfs/dbraw/zinc/55/24/84/1073552484.db2.gz GQQBSRTYGMKWHT-LBPRGKRZSA-N 0 0 427.483 -0.360 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000743858333 1073552576 /nfs/dbraw/zinc/55/25/76/1073552576.db2.gz RCZJHYKZGNMRMF-HNNXBMFYSA-N 0 0 443.526 -0.189 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000743858334 1073552441 /nfs/dbraw/zinc/55/24/41/1073552441.db2.gz RCZJHYKZGNMRMF-OAHLLOKOSA-N 0 0 443.526 -0.189 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)Cc2ccon2)CC1)NC1CCC2(CC1)OCCO2 ZINC000743858448 1073552423 /nfs/dbraw/zinc/55/24/23/1073552423.db2.gz XUUBVEDMWCSYFI-UHFFFAOYSA-N 0 0 428.511 -0.076 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC000743859724 1073644155 /nfs/dbraw/zinc/64/41/55/1073644155.db2.gz PAGYZZZNWVZKMG-UHFFFAOYSA-N 0 0 429.499 -0.577 20 0 IBADRN CC(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743877605 1073552471 /nfs/dbraw/zinc/55/24/71/1073552471.db2.gz QHNBGJXHIZLBFS-UHFFFAOYSA-N 0 0 447.464 -0.448 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743879718 1073552547 /nfs/dbraw/zinc/55/25/47/1073552547.db2.gz GBSPXRJGUYNTHS-UHFFFAOYSA-N 0 0 447.464 -0.448 20 0 IBADRN CNC(=O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743880483 1073552364 /nfs/dbraw/zinc/55/23/64/1073552364.db2.gz PVAZCWLJGFGOOU-UHFFFAOYSA-N 0 0 433.437 -0.838 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)NCCNS(C)(=O)=O ZINC000743880802 1073552450 /nfs/dbraw/zinc/55/24/50/1073552450.db2.gz GWROKDPBVHQEMI-UHFFFAOYSA-N 0 0 441.915 -0.633 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743881044 1073552380 /nfs/dbraw/zinc/55/23/80/1073552380.db2.gz UBTUBCJYLXRHSW-UHFFFAOYSA-N 0 0 447.464 -0.496 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCc1ccc(-n3cncn3)nc1)CC2 ZINC000743881776 1073552433 /nfs/dbraw/zinc/55/24/33/1073552433.db2.gz XLFOTJATOGSVIR-UHFFFAOYSA-N 0 0 441.473 -0.224 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1N1CCOC1=O ZINC000743882593 1073552537 /nfs/dbraw/zinc/55/25/37/1073552537.db2.gz AYYXAUSDAUZWNA-UHFFFAOYSA-N 0 0 428.467 -0.012 20 0 IBADRN NC(=O)CCCNC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743886324 1073553070 /nfs/dbraw/zinc/55/30/70/1073553070.db2.gz NWURVJUTVMMRGT-UHFFFAOYSA-N 0 0 447.464 -0.319 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCC(=O)NCc1ccccc1)CC2 ZINC000743886536 1073553044 /nfs/dbraw/zinc/55/30/44/1073553044.db2.gz ULCCPPQSZHHUMF-UHFFFAOYSA-N 0 0 430.486 -0.084 20 0 IBADRN Cc1ccc(N2CCOC2=O)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000743887044 1073553141 /nfs/dbraw/zinc/55/31/41/1073553141.db2.gz GRAZTAXZABGIBA-UHFFFAOYSA-N 0 0 443.460 -0.160 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cccc1N1CCOC1=O ZINC000743887089 1073553028 /nfs/dbraw/zinc/55/30/28/1073553028.db2.gz IIGCEVTYPSYYJP-UHFFFAOYSA-N 0 0 443.460 -0.160 20 0 IBADRN O=C(Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1)C(=O)N1CCNC(=O)C1 ZINC000743887646 1073553196 /nfs/dbraw/zinc/55/31/96/1073553196.db2.gz NYPCVOIPZFOSTQ-UHFFFAOYSA-N 0 0 445.448 -0.742 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(OC)c(N3CCOC3=O)c2)CC1 ZINC000743888948 1073553109 /nfs/dbraw/zinc/55/31/09/1073553109.db2.gz BIOXJLQWQIUIFX-UHFFFAOYSA-N 0 0 433.465 -0.129 20 0 IBADRN Cc1ccc(N2CCOC2=O)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000743890118 1073553524 /nfs/dbraw/zinc/55/35/24/1073553524.db2.gz VQQRLYICPLNEIT-UHFFFAOYSA-N 0 0 439.494 -0.159 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)cc1N1CCOC1=O ZINC000743890314 1073552412 /nfs/dbraw/zinc/55/24/12/1073552412.db2.gz JFWMUJJDBKRVCL-UHFFFAOYSA-N 0 0 426.451 -0.306 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cccc1N1CCOC1=O ZINC000743890450 1073553188 /nfs/dbraw/zinc/55/31/88/1073553188.db2.gz YNMIEJCHLRMZPL-UHFFFAOYSA-N 0 0 439.494 -0.159 20 0 IBADRN O=C(Nc1ccnn1C1CCCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000743890568 1073552497 /nfs/dbraw/zinc/55/24/97/1073552497.db2.gz NOXNHTWWVVBTNY-UHFFFAOYSA-N 0 0 446.508 -0.144 20 0 IBADRN CNC(=O)CN(C)C(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743891604 1073553664 /nfs/dbraw/zinc/55/36/64/1073553664.db2.gz KMYVCGUJZKRWQJ-UHFFFAOYSA-N 0 0 447.464 -0.496 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1N1CCOC1=O ZINC000743891827 1073553497 /nfs/dbraw/zinc/55/34/97/1073553497.db2.gz QAHUZYCPDHGCEF-UHFFFAOYSA-N 0 0 433.465 -0.129 20 0 IBADRN CN(CC(N)=O)C(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743892615 1073553618 /nfs/dbraw/zinc/55/36/18/1073553618.db2.gz KRNGTWINBITGCQ-UHFFFAOYSA-N 0 0 433.437 -0.757 20 0 IBADRN CNC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743893199 1073553748 /nfs/dbraw/zinc/55/37/48/1073553748.db2.gz QBJNZGIOTDNWPQ-UHFFFAOYSA-N 0 0 447.464 -0.448 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(N2CCSCC2)cc1 ZINC000743901669 1073553696 /nfs/dbraw/zinc/55/36/96/1073553696.db2.gz NBCNRZTWGKBZPX-UHFFFAOYSA-N 0 0 442.563 -0.044 20 0 IBADRN COC(=O)C(C)(C)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000743901769 1073553537 /nfs/dbraw/zinc/55/35/37/1073553537.db2.gz OQZOUSRNHUBXPU-UHFFFAOYSA-N 0 0 441.506 -0.146 20 0 IBADRN COC(=O)c1c(C)c(C)sc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000743901813 1073553741 /nfs/dbraw/zinc/55/37/41/1073553741.db2.gz PPBYNQGDEXEUEP-UHFFFAOYSA-N 0 0 433.508 -0.132 20 0 IBADRN CCOC(=O)c1c(C)csc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000743902112 1073554099 /nfs/dbraw/zinc/55/40/99/1073554099.db2.gz UFIMKDSFOSFSSW-UHFFFAOYSA-N 0 0 433.508 -0.050 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(N2CCCNC2=O)c1 ZINC000743902119 1073554326 /nfs/dbraw/zinc/55/43/26/1073554326.db2.gz UOHISUMPZNMDFL-UHFFFAOYSA-N 0 0 439.494 -0.677 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000743902159 1073554238 /nfs/dbraw/zinc/55/42/38/1073554238.db2.gz WBRIWOSTBQQSJU-CYBMUJFWSA-N 0 0 433.870 -0.585 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000743902160 1073554129 /nfs/dbraw/zinc/55/41/29/1073554129.db2.gz WBRIWOSTBQQSJU-ZDUSSCGKSA-N 0 0 433.870 -0.585 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cccc1N1CCOC1=O ZINC000743902163 1073554220 /nfs/dbraw/zinc/55/42/20/1073554220.db2.gz WETSLZWXLZTZCW-UHFFFAOYSA-N 0 0 440.478 -0.332 20 0 IBADRN Cc1ccc(N2CCOC2=O)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000743902342 1073554182 /nfs/dbraw/zinc/55/41/82/1073554182.db2.gz ZIPAQACPFBAQFS-UHFFFAOYSA-N 0 0 440.478 -0.332 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N(C)CCS(C)(=O)=O)cc1F ZINC000743902974 1073554193 /nfs/dbraw/zinc/55/41/93/1073554193.db2.gz CGCCMBNJLATCRP-LLVKDONJSA-N 0 0 445.469 -0.051 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N(C)CCS(C)(=O)=O)cc1F ZINC000743902982 1073554205 /nfs/dbraw/zinc/55/42/05/1073554205.db2.gz CGCCMBNJLATCRP-NSHDSACASA-N 0 0 445.469 -0.051 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N(C)CCS(C)(=O)=O)cc1 ZINC000743903744 1073554396 /nfs/dbraw/zinc/55/43/96/1073554396.db2.gz MYRJAFDDDNXRAF-GFCCVEGCSA-N 0 0 427.479 -0.191 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N(C)CCS(C)(=O)=O)cc1 ZINC000743903746 1073554169 /nfs/dbraw/zinc/55/41/69/1073554169.db2.gz MYRJAFDDDNXRAF-LBPRGKRZSA-N 0 0 427.479 -0.191 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(F)c(-n2nnnc2C2CC2)c1 ZINC000743905047 1073554410 /nfs/dbraw/zinc/55/44/10/1073554410.db2.gz ITLYNFQBOOZVBL-UHFFFAOYSA-N 0 0 425.446 -0.327 20 0 IBADRN COC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000743912528 1073553056 /nfs/dbraw/zinc/55/30/56/1073553056.db2.gz WJEUKGCNKJYNMF-UHFFFAOYSA-N 0 0 448.448 -0.021 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)cc1N1CCOC1=O ZINC000743914487 1073553015 /nfs/dbraw/zinc/55/30/15/1073553015.db2.gz OAUQTFXHUONMPT-GFCCVEGCSA-N 0 0 426.451 -0.260 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@H](NS(C)(=O)=O)C2)cc1N1CCOC1=O ZINC000743914490 1073553675 /nfs/dbraw/zinc/55/36/75/1073553675.db2.gz OAUQTFXHUONMPT-LBPRGKRZSA-N 0 0 426.451 -0.260 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(N2CCOC2=O)ccc1C ZINC000743916205 1073553723 /nfs/dbraw/zinc/55/37/23/1073553723.db2.gz VKKJMUCAMJXSFQ-HNNXBMFYSA-N 0 0 431.449 -0.257 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(N2CCOC2=O)ccc1C ZINC000743916206 1073553731 /nfs/dbraw/zinc/55/37/31/1073553731.db2.gz VKKJMUCAMJXSFQ-OAHLLOKOSA-N 0 0 431.449 -0.257 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(N2CCOC2=O)c1C ZINC000743916304 1073553649 /nfs/dbraw/zinc/55/36/49/1073553649.db2.gz YYEKFMTVSFSXTO-HNNXBMFYSA-N 0 0 431.449 -0.257 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cccc(N2CCOC2=O)c1C ZINC000743916306 1073553714 /nfs/dbraw/zinc/55/37/14/1073553714.db2.gz YYEKFMTVSFSXTO-OAHLLOKOSA-N 0 0 431.449 -0.257 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)NCCN1C(=O)CCC1=O ZINC000743917615 1073553476 /nfs/dbraw/zinc/55/34/76/1073553476.db2.gz JMJVKJWLLJWIPE-UHFFFAOYSA-N 0 0 445.881 -0.033 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCS(=O)(=O)C(C)(C)C)CC2 ZINC000743918258 1073553586 /nfs/dbraw/zinc/55/35/86/1073553586.db2.gz PPDCLGXBMXGTHK-UHFFFAOYSA-N 0 0 431.536 -0.187 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000743919059 1073554745 /nfs/dbraw/zinc/55/47/45/1073554745.db2.gz ALPSCSINNVCVAM-UHFFFAOYSA-N 0 0 438.911 -0.041 20 0 IBADRN Cc1cc([C@@](C)(O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c(C)o1 ZINC000743923023 1073554714 /nfs/dbraw/zinc/55/47/14/1073554714.db2.gz TYNCLFGZOTXAPT-FQEVSTJZSA-N 0 0 429.433 -0.196 20 0 IBADRN Cc1cc([C@](C)(O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)c(C)o1 ZINC000743923024 1073554980 /nfs/dbraw/zinc/55/49/80/1073554980.db2.gz TYNCLFGZOTXAPT-HXUWFJFHSA-N 0 0 429.433 -0.196 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)CCO1 ZINC000743927474 1073554826 /nfs/dbraw/zinc/55/48/26/1073554826.db2.gz FVAOWVLEWPMKHJ-GOSISDBHSA-N 0 0 434.493 -0.206 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)CCO1 ZINC000743927475 1073554773 /nfs/dbraw/zinc/55/47/73/1073554773.db2.gz FVAOWVLEWPMKHJ-SFHVURJKSA-N 0 0 434.493 -0.206 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCO[C@@H](COC)C1 ZINC000743927544 1073554901 /nfs/dbraw/zinc/55/49/01/1073554901.db2.gz HIEHFYUEUGQSLM-LLVKDONJSA-N 0 0 443.478 -0.229 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCO[C@H](COC)C1 ZINC000743927545 1073554833 /nfs/dbraw/zinc/55/48/33/1073554833.db2.gz HIEHFYUEUGQSLM-NSHDSACASA-N 0 0 443.478 -0.229 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)C(=O)Nc1ccc2c(c1)C(=O)N(CC1CC1)C2=O ZINC000743927937 1073555002 /nfs/dbraw/zinc/55/50/02/1073555002.db2.gz IJJNJSBYBQFKAU-UHFFFAOYSA-N 0 0 437.474 -0.192 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000743928295 1073555010 /nfs/dbraw/zinc/55/50/10/1073555010.db2.gz NGLXOCXBCIKBIF-UHFFFAOYSA-N 0 0 447.535 -0.413 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000743928599 1073554990 /nfs/dbraw/zinc/55/49/90/1073554990.db2.gz OPZPVOPYTCDSSJ-UHFFFAOYSA-N 0 0 446.913 -0.608 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCOCCS(C)(=O)=O)CC2 ZINC000743929231 1073554913 /nfs/dbraw/zinc/55/49/13/1073554913.db2.gz VDJRUTMBQCEAKX-UHFFFAOYSA-N 0 0 447.535 -0.949 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCOCCS(C)(=O)=O)cccc1S(=O)(=O)NC1CC1 ZINC000743931695 1073555027 /nfs/dbraw/zinc/55/50/27/1073555027.db2.gz FXNPORNYOWSADN-UHFFFAOYSA-N 0 0 447.535 -0.448 20 0 IBADRN CCCNC(=O)[C@@H]1CN(C(=O)C(=O)NCCOCCS(C)(=O)=O)c2ccccc2O1 ZINC000743931818 1073554766 /nfs/dbraw/zinc/55/47/66/1073554766.db2.gz GWZASHRNVDZFNS-INIZCTEOSA-N 0 0 441.506 -0.516 20 0 IBADRN CCCNC(=O)[C@H]1CN(C(=O)C(=O)NCCOCCS(C)(=O)=O)c2ccccc2O1 ZINC000743931819 1073554725 /nfs/dbraw/zinc/55/47/25/1073554725.db2.gz GWZASHRNVDZFNS-MRXNPFEDSA-N 0 0 441.506 -0.516 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCOCCS(C)(=O)=O)ccc1N1CCCC1=O ZINC000743931843 1073554934 /nfs/dbraw/zinc/55/49/34/1073554934.db2.gz IAOGWBOIRXUCSA-UHFFFAOYSA-N 0 0 427.479 -0.062 20 0 IBADRN CN(C1CC1)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCOCCS(C)(=O)=O)cc1 ZINC000743931853 1073555521 /nfs/dbraw/zinc/55/55/21/1073555521.db2.gz IGFXWJBETJTYLP-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](O)c1cnn(C)c1 ZINC000743932127 1073555434 /nfs/dbraw/zinc/55/54/34/1073555434.db2.gz ATZXYKUXYAGQPF-HNNXBMFYSA-N 0 0 439.494 -0.143 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@@H](O)c1cnn(C)c1 ZINC000743932128 1073555390 /nfs/dbraw/zinc/55/53/90/1073555390.db2.gz ATZXYKUXYAGQPF-OAHLLOKOSA-N 0 0 439.494 -0.143 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCOCCS(C)(=O)=O ZINC000743932391 1073555453 /nfs/dbraw/zinc/55/54/53/1073555453.db2.gz MQKMLNOUOIPDQK-UHFFFAOYSA-N 0 0 447.535 -0.997 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](O)c3cnn(C)c3)cc2)CC1 ZINC000743933330 1073555473 /nfs/dbraw/zinc/55/54/73/1073555473.db2.gz JSWVOJMLAMMVIR-GOSISDBHSA-N 0 0 444.492 -0.497 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@H](O)c3cnn(C)c3)cc2)CC1 ZINC000743933336 1073555356 /nfs/dbraw/zinc/55/53/56/1073555356.db2.gz JSWVOJMLAMMVIR-SFHVURJKSA-N 0 0 444.492 -0.497 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCOCCS(C)(=O)=O)cc1 ZINC000743933393 1073555340 /nfs/dbraw/zinc/55/53/40/1073555340.db2.gz RYLILTLVCNUIRI-UHFFFAOYSA-N 0 0 449.551 -0.169 20 0 IBADRN Cn1cc([C@@H](O)CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)cn1 ZINC000743933490 1073555493 /nfs/dbraw/zinc/55/54/93/1073555493.db2.gz LTHGOSZCCXOCOS-AWEZNQCLSA-N 0 0 425.442 -0.212 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)cn1 ZINC000743933491 1073555350 /nfs/dbraw/zinc/55/53/50/1073555350.db2.gz LTHGOSZCCXOCOS-CQSZACIVSA-N 0 0 425.442 -0.212 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cccc(NC(=O)C(=O)NCCOCCS(C)(=O)=O)c1 ZINC000743933804 1073554310 /nfs/dbraw/zinc/55/43/10/1073554310.db2.gz TXBSJMDOMOPTSH-UHFFFAOYSA-N 0 0 449.551 -0.121 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCOCCS(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC000743933843 1073554135 /nfs/dbraw/zinc/55/41/35/1073554135.db2.gz UZQMESJRBYTSCO-UHFFFAOYSA-N 0 0 447.535 -0.448 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000743933855 1073554083 /nfs/dbraw/zinc/55/40/83/1073554083.db2.gz VCXFHWKVZBKSSN-UHFFFAOYSA-N 0 0 447.535 -0.413 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000743934524 1073555508 /nfs/dbraw/zinc/55/55/08/1073555508.db2.gz RNBGDDABQZJKRC-AEFFLSMTSA-N 0 0 443.460 -0.777 20 0 IBADRN Cn1cc([C@@H](O)CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000743934525 1073555380 /nfs/dbraw/zinc/55/53/80/1073555380.db2.gz RNBGDDABQZJKRC-FUHWJXTLSA-N 0 0 443.460 -0.777 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000743934526 1073555530 /nfs/dbraw/zinc/55/55/30/1073555530.db2.gz RNBGDDABQZJKRC-SJLPKXTDSA-N 0 0 443.460 -0.777 20 0 IBADRN Cn1cc([C@@H](O)CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)cn1 ZINC000743934527 1073555484 /nfs/dbraw/zinc/55/54/84/1073555484.db2.gz RNBGDDABQZJKRC-WMZOPIPTSA-N 0 0 443.460 -0.777 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2=O)n1 ZINC000743961828 1073555465 /nfs/dbraw/zinc/55/54/65/1073555465.db2.gz NLLJLNTUCQNASH-INIZCTEOSA-N 0 0 439.476 -0.393 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2=O)n1 ZINC000743961829 1073555363 /nfs/dbraw/zinc/55/53/63/1073555363.db2.gz NLLJLNTUCQNASH-MRXNPFEDSA-N 0 0 439.476 -0.393 20 0 IBADRN NC(=O)COc1cccc(CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)c1 ZINC000743966422 1073555371 /nfs/dbraw/zinc/55/53/71/1073555371.db2.gz CIZPWFVFGAQSDI-UHFFFAOYSA-N 0 0 425.445 -0.258 20 0 IBADRN O=C(Nc1ccc(-n2ccnc2)nc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000743973699 1073644705 /nfs/dbraw/zinc/64/47/05/1073644705.db2.gz BFRKSDLGIUADBX-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000743973777 1073555400 /nfs/dbraw/zinc/55/54/00/1073555400.db2.gz FHXCRAXBXKTCHC-UHFFFAOYSA-N 0 0 446.449 -0.737 20 0 IBADRN CN(C)C(=O)c1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000743974224 1073644639 /nfs/dbraw/zinc/64/46/39/1073644639.db2.gz NNYSHQPOABXTII-UHFFFAOYSA-N 0 0 449.489 -0.011 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)cc1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000743974404 1073555957 /nfs/dbraw/zinc/55/59/57/1073555957.db2.gz SFYUGZMRHOCSOU-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2=O)n(C)n1 ZINC000743974668 1073555922 /nfs/dbraw/zinc/55/59/22/1073555922.db2.gz CQHBYEDLNLVJIP-INIZCTEOSA-N 0 0 439.476 -0.475 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2=O)n(C)n1 ZINC000743974669 1073555908 /nfs/dbraw/zinc/55/59/08/1073555908.db2.gz CQHBYEDLNLVJIP-MRXNPFEDSA-N 0 0 439.476 -0.475 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCOC3CCC3)cn2)CC1 ZINC000743976492 1073555890 /nfs/dbraw/zinc/55/58/90/1073555890.db2.gz GNYGZQUPYQZXIY-UHFFFAOYSA-N 0 0 425.511 -0.213 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCc3nnnn3C3CC3)cc2)CC1 ZINC000743984882 1073555877 /nfs/dbraw/zinc/55/58/77/1073555877.db2.gz ZWKMOBUVNKEGLF-UHFFFAOYSA-N 0 0 442.480 -0.442 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C(=O)N1c1cnn(C)c1 ZINC000743992658 1073555443 /nfs/dbraw/zinc/55/54/43/1073555443.db2.gz KJXYKFURJWDBCH-CXAGYDPISA-N 0 0 439.476 -0.395 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C(=O)N1c1cnn(C)c1 ZINC000743992660 1073555422 /nfs/dbraw/zinc/55/54/22/1073555422.db2.gz KJXYKFURJWDBCH-DYVFJYSZSA-N 0 0 439.476 -0.395 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C(=O)N1c1cnn(C)c1 ZINC000743992661 1073555897 /nfs/dbraw/zinc/55/58/97/1073555897.db2.gz KJXYKFURJWDBCH-GUYCJALGSA-N 0 0 439.476 -0.395 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C(=O)N1c1cnn(C)c1 ZINC000743992663 1073555965 /nfs/dbraw/zinc/55/59/65/1073555965.db2.gz KJXYKFURJWDBCH-SUMWQHHRSA-N 0 0 439.476 -0.395 20 0 IBADRN COc1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1N1CCNC1=O ZINC000744017877 1073556026 /nfs/dbraw/zinc/55/60/26/1073556026.db2.gz AASLLXHFHPMXAS-UHFFFAOYSA-N 0 0 442.432 -0.171 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CCCN(C(=O)c4ccncc4)C3)c2c(=O)n(C)c1=O ZINC000744019073 1073556009 /nfs/dbraw/zinc/55/60/09/1073556009.db2.gz POUQECJLSOAUDM-HNNXBMFYSA-N 0 0 440.460 -0.076 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CCCN(C(=O)c4ccncc4)C3)c2c(=O)n(C)c1=O ZINC000744019074 1073556076 /nfs/dbraw/zinc/55/60/76/1073556076.db2.gz POUQECJLSOAUDM-OAHLLOKOSA-N 0 0 440.460 -0.076 20 0 IBADRN CNC(=O)c1cccc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c1 ZINC000744101675 1073557574 /nfs/dbraw/zinc/55/75/74/1073557574.db2.gz TXRZVDNPQFPXLY-UHFFFAOYSA-N 0 0 427.461 -0.245 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)C2CCN(c3ccc4nnnn4n3)CC2)c1N ZINC000744109022 1073557074 /nfs/dbraw/zinc/55/70/74/1073557074.db2.gz BCXWHRVFVKJGLF-UHFFFAOYSA-N 0 0 429.441 -0.066 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)c1N ZINC000744109730 1073557043 /nfs/dbraw/zinc/55/70/43/1073557043.db2.gz OHXGVNDJQPQMLU-LLVKDONJSA-N 0 0 441.466 -0.649 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)c1N ZINC000744109731 1073557038 /nfs/dbraw/zinc/55/70/38/1073557038.db2.gz OHXGVNDJQPQMLU-NSHDSACASA-N 0 0 441.466 -0.649 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1N ZINC000744109737 1073557061 /nfs/dbraw/zinc/55/70/61/1073557061.db2.gz OLXXAOHEZCFMLT-NEPJUHHUSA-N 0 0 428.467 -0.779 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1N ZINC000744109738 1073557083 /nfs/dbraw/zinc/55/70/83/1073557083.db2.gz OLXXAOHEZCFMLT-NWDGAFQWSA-N 0 0 428.467 -0.779 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1N ZINC000744109739 1073557017 /nfs/dbraw/zinc/55/70/17/1073557017.db2.gz OLXXAOHEZCFMLT-RYUDHWBXSA-N 0 0 428.467 -0.779 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1N ZINC000744109740 1073557032 /nfs/dbraw/zinc/55/70/32/1073557032.db2.gz OLXXAOHEZCFMLT-VXGBXAGGSA-N 0 0 428.467 -0.779 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1N ZINC000744109980 1073557556 /nfs/dbraw/zinc/55/75/56/1073557556.db2.gz VMJTTYXJJAVQMP-UHFFFAOYSA-N 0 0 433.425 -0.977 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)c2cc(C)c3c(n2)n(C)c(=O)n(C)c3=O)c1N ZINC000744109992 1073557530 /nfs/dbraw/zinc/55/75/30/1073557530.db2.gz WEWRHMSGXZMKPX-UHFFFAOYSA-N 0 0 430.421 -0.247 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCc2nc3cc(S(=O)(=O)N(C)C)ccc3[nH]2)nn1 ZINC000744110029 1073557537 /nfs/dbraw/zinc/55/75/37/1073557537.db2.gz AVULUBRPDSYRBG-UHFFFAOYSA-N 0 0 435.466 -0.150 20 0 IBADRN CCN1CCN(CC(=O)OCc2nc3cc(S(=O)(=O)N(C)C)ccc3[nH]2)C(=O)C1=O ZINC000744111556 1073557484 /nfs/dbraw/zinc/55/74/84/1073557484.db2.gz WMEMINISQUWVRJ-UHFFFAOYSA-N 0 0 437.478 -0.453 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)OCCN(C)S(=O)(=O)N(C)C)cc1 ZINC000744120496 1073557451 /nfs/dbraw/zinc/55/74/51/1073557451.db2.gz JLTXRXVISGOAGQ-UHFFFAOYSA-N 0 0 435.524 -0.557 20 0 IBADRN CN(CCOC(=O)[C@H]1CCCN(C(=O)c2ccncc2)C1)S(=O)(=O)N1CCOCC1 ZINC000744121161 1073557400 /nfs/dbraw/zinc/55/74/00/1073557400.db2.gz GPGUAPAXAGAMRE-KRWDZBQOSA-N 0 0 440.522 -0.014 20 0 IBADRN CN(CCOC(=O)[C@@H]1CCCN(C(=O)c2ccncc2)C1)S(=O)(=O)N1CCOCC1 ZINC000744121162 1073557564 /nfs/dbraw/zinc/55/75/64/1073557564.db2.gz GPGUAPAXAGAMRE-QGZVFWFLSA-N 0 0 440.522 -0.014 20 0 IBADRN COc1ccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1N1CCNC1=O ZINC000744121247 1073557519 /nfs/dbraw/zinc/55/75/19/1073557519.db2.gz JAPRVHLQNGXKKM-UHFFFAOYSA-N 0 0 442.494 -0.110 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cn3nnn(-c4ccccc4)c3=O)CC2)C[C@H](C)O1 ZINC000744129291 1073558029 /nfs/dbraw/zinc/55/80/29/1073558029.db2.gz LPOKWFBMVUIBDO-HOTGVXAUSA-N 0 0 437.526 -0.642 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cn3nnn(-c4ccccc4)c3=O)CC2)C[C@@H](C)O1 ZINC000744129292 1073557910 /nfs/dbraw/zinc/55/79/10/1073557910.db2.gz LPOKWFBMVUIBDO-HZPDHXFCSA-N 0 0 437.526 -0.642 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cn3nnn(-c4ccccc4)c3=O)CC2)C[C@H](C)O1 ZINC000744129293 1073557965 /nfs/dbraw/zinc/55/79/65/1073557965.db2.gz LPOKWFBMVUIBDO-IYBDPMFKSA-N 0 0 437.526 -0.642 20 0 IBADRN C[C@@]1(c2ccccc2F)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000744130425 1073557876 /nfs/dbraw/zinc/55/78/76/1073557876.db2.gz LGHGEKGSLTYCKE-NRFANRHFSA-N 0 0 447.467 -0.447 20 0 IBADRN C[C@]1(c2ccccc2F)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000744130431 1073558118 /nfs/dbraw/zinc/55/81/18/1073558118.db2.gz LGHGEKGSLTYCKE-OAQYLSRUSA-N 0 0 447.467 -0.447 20 0 IBADRN CN(C(=O)c1cn(CC(N)=O)nn1)S(=O)(=O)c1ccc(I)cc1 ZINC000744131165 1073558077 /nfs/dbraw/zinc/55/80/77/1073558077.db2.gz CQTORFQZWQYEJX-UHFFFAOYSA-N 0 0 449.230 -0.171 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(Cn3nnn(-c4ccccc4)c3=O)CC2)o1 ZINC000744137022 1073558016 /nfs/dbraw/zinc/55/80/16/1073558016.db2.gz IARKIPREJBWKRV-UHFFFAOYSA-N 0 0 433.450 -0.915 20 0 IBADRN C[C@@]1(c2ccccc2F)NC(=O)N(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC000744141506 1073557946 /nfs/dbraw/zinc/55/79/46/1073557946.db2.gz NYMMIPNKMHMYIQ-NRFANRHFSA-N 0 0 445.451 -0.157 20 0 IBADRN C[C@]1(c2ccccc2F)NC(=O)N(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC000744141507 1073557979 /nfs/dbraw/zinc/55/79/79/1073557979.db2.gz NYMMIPNKMHMYIQ-OAQYLSRUSA-N 0 0 445.451 -0.157 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)cc1 ZINC000744145643 1073558054 /nfs/dbraw/zinc/55/80/54/1073558054.db2.gz AIWNPOMEDLFEME-UHFFFAOYSA-N 0 0 447.469 -0.017 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)Oc2cccc(C(=O)NCC(N)=O)c2)cc1 ZINC000744146192 1073558431 /nfs/dbraw/zinc/55/84/31/1073558431.db2.gz WWNTUGINAALKLQ-UHFFFAOYSA-N 0 0 447.469 -0.017 20 0 IBADRN NC(=O)NCCCOC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000744146255 1073558100 /nfs/dbraw/zinc/55/81/00/1073558100.db2.gz ZGBYZPXYGNCYLF-UHFFFAOYSA-N 0 0 426.495 -0.099 20 0 IBADRN CCOC(=O)c1cn(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc1C ZINC000744147058 1073558481 /nfs/dbraw/zinc/55/84/81/1073558481.db2.gz NITARWJGFXLLLP-AWEZNQCLSA-N 0 0 434.540 -0.540 20 0 IBADRN CCOC(=O)c1cn(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc1C ZINC000744147059 1073558460 /nfs/dbraw/zinc/55/84/60/1073558460.db2.gz NITARWJGFXLLLP-CQSZACIVSA-N 0 0 434.540 -0.540 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCCN3CCOC3=O)CC2)cc1 ZINC000744193369 1073558442 /nfs/dbraw/zinc/55/84/42/1073558442.db2.gz NNEPXXQBFFQLHX-UHFFFAOYSA-N 0 0 432.524 -0.517 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CCCC(=O)NC(N)=O)CC1 ZINC000744195298 1073558471 /nfs/dbraw/zinc/55/84/71/1073558471.db2.gz AJZZAYRAJCLASK-UHFFFAOYSA-N 0 0 446.551 -0.320 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCN1C(=O)c2ccncc2C1=O ZINC000744219292 1073559005 /nfs/dbraw/zinc/55/90/05/1073559005.db2.gz AHNZFZLBQJZPFA-UHFFFAOYSA-N 0 0 448.457 -0.106 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCN3C(=O)c4ccncc4C3=O)c2c(=O)n(C)c1=O ZINC000744220708 1073558925 /nfs/dbraw/zinc/55/89/25/1073558925.db2.gz DXHOTSULYOZUMR-UHFFFAOYSA-N 0 0 426.389 -0.942 20 0 IBADRN O=C(OCCN1C(=O)c2ccncc2C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000744223709 1073558829 /nfs/dbraw/zinc/55/88/29/1073558829.db2.gz ZUHYFVDSUPKOAX-LLVKDONJSA-N 0 0 434.430 -0.614 20 0 IBADRN O=C(OCCN1C(=O)c2ccncc2C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000744223710 1073559089 /nfs/dbraw/zinc/55/90/89/1073559089.db2.gz ZUHYFVDSUPKOAX-NSHDSACASA-N 0 0 434.430 -0.614 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cncc(Br)c2)CC1 ZINC000744243656 1073559553 /nfs/dbraw/zinc/55/95/53/1073559553.db2.gz JLHCIJMHWJGDJV-UHFFFAOYSA-N 0 0 427.346 -0.300 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000744261853 1073559587 /nfs/dbraw/zinc/55/95/87/1073559587.db2.gz LRQYIJYBUQJMPF-UHFFFAOYSA-N 0 0 439.538 -0.056 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1)NC1CC1 ZINC000744314017 1073559944 /nfs/dbraw/zinc/55/99/44/1073559944.db2.gz YYNUTNVBEPSYAD-JOCHJYFZSA-N 0 0 425.489 -0.197 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1)NC1CC1 ZINC000744314021 1073560098 /nfs/dbraw/zinc/56/00/98/1073560098.db2.gz YYNUTNVBEPSYAD-QFIPXVFZSA-N 0 0 425.489 -0.197 20 0 IBADRN CN(C)[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000744325561 1073559930 /nfs/dbraw/zinc/55/99/30/1073559930.db2.gz MCIFGZHFRBDVBF-DLBZAZTESA-N 0 0 429.564 -0.050 20 0 IBADRN CN(C)[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000744325570 1073560133 /nfs/dbraw/zinc/56/01/33/1073560133.db2.gz MCIFGZHFRBDVBF-IAGOWNOFSA-N 0 0 429.564 -0.050 20 0 IBADRN CN(C)[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000744325575 1073560159 /nfs/dbraw/zinc/56/01/59/1073560159.db2.gz MCIFGZHFRBDVBF-IRXDYDNUSA-N 0 0 429.564 -0.050 20 0 IBADRN CN(C)[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000744325579 1073559891 /nfs/dbraw/zinc/55/98/91/1073559891.db2.gz MCIFGZHFRBDVBF-SJORKVTESA-N 0 0 429.564 -0.050 20 0 IBADRN NC(=O)[C@H](CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)Cc1ccc(F)cc1 ZINC000744339635 1073559998 /nfs/dbraw/zinc/55/99/98/1073559998.db2.gz CKJGJPYLTJFTTC-DZGCQCFKSA-N 0 0 438.481 -0.249 20 0 IBADRN NC(=O)[C@@H](CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)Cc1ccc(F)cc1 ZINC000744339636 1073560086 /nfs/dbraw/zinc/56/00/86/1073560086.db2.gz CKJGJPYLTJFTTC-HIFRSBDPSA-N 0 0 438.481 -0.249 20 0 IBADRN NC(=O)[C@@H](CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)Cc1ccc(F)cc1 ZINC000744339637 1073560568 /nfs/dbraw/zinc/56/05/68/1073560568.db2.gz CKJGJPYLTJFTTC-UKRRQHHQSA-N 0 0 438.481 -0.249 20 0 IBADRN NC(=O)[C@H](CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)Cc1ccc(F)cc1 ZINC000744339638 1073560450 /nfs/dbraw/zinc/56/04/50/1073560450.db2.gz CKJGJPYLTJFTTC-ZFWWWQNUSA-N 0 0 438.481 -0.249 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000744377152 1073561123 /nfs/dbraw/zinc/56/11/23/1073561123.db2.gz KABVDTJTEFEYPD-UHFFFAOYSA-N 0 0 440.522 -0.515 20 0 IBADRN CN(CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000744377240 1073560976 /nfs/dbraw/zinc/56/09/76/1073560976.db2.gz MIELPTODJVYJRL-UHFFFAOYSA-N 0 0 449.533 -0.930 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn1 ZINC000744386450 1073560927 /nfs/dbraw/zinc/56/09/27/1073560927.db2.gz FJVXSKZGXGPNHG-UHFFFAOYSA-N 0 0 438.535 -0.535 20 0 IBADRN Cn1ccc(N2CCC[C@H](N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)C2=O)n1 ZINC000744386567 1073560949 /nfs/dbraw/zinc/56/09/49/1073560949.db2.gz IENIFZYTABFJPE-CABCVRRESA-N 0 0 445.567 -0.950 20 0 IBADRN Cn1ccc(N2CCC[C@H](N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)C2=O)n1 ZINC000744386570 1073560989 /nfs/dbraw/zinc/56/09/89/1073560989.db2.gz IENIFZYTABFJPE-GJZGRUSLSA-N 0 0 445.567 -0.950 20 0 IBADRN Cn1ccc(N2CCC[C@@H](N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)C2=O)n1 ZINC000744386573 1073561008 /nfs/dbraw/zinc/56/10/08/1073561008.db2.gz IENIFZYTABFJPE-HUUCEWRRSA-N 0 0 445.567 -0.950 20 0 IBADRN Cn1ccc(N2CCC[C@@H](N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)C2=O)n1 ZINC000744386575 1073560938 /nfs/dbraw/zinc/56/09/38/1073560938.db2.gz IENIFZYTABFJPE-LSDHHAIUSA-N 0 0 445.567 -0.950 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nc(CC(F)(F)F)no2)CC1 ZINC000744387708 1073561164 /nfs/dbraw/zinc/56/11/64/1073561164.db2.gz WOFLAYJURGUQCM-JTQLQIEISA-N 0 0 432.446 -0.191 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nc(CC(F)(F)F)no2)CC1 ZINC000744387711 1073560966 /nfs/dbraw/zinc/56/09/66/1073560966.db2.gz WOFLAYJURGUQCM-SNVBAGLBSA-N 0 0 432.446 -0.191 20 0 IBADRN CS(=O)(=O)Cc1noc(CN2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000744387942 1073561020 /nfs/dbraw/zinc/56/10/20/1073561020.db2.gz ZOMCEBZFPGLZSO-UHFFFAOYSA-N 0 0 425.492 -0.119 20 0 IBADRN CN(CCNS(=O)(=O)c1cnn(C)c1)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000744389291 1073560584 /nfs/dbraw/zinc/56/05/84/1073560584.db2.gz CYKXVUPUWDDBPT-UHFFFAOYSA-N 0 0 444.558 -0.291 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000744414323 1073561109 /nfs/dbraw/zinc/56/11/09/1073561109.db2.gz OASSHAYRZYVKHC-AWEZNQCLSA-N 0 0 440.547 -0.601 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000744414334 1073560997 /nfs/dbraw/zinc/56/09/97/1073560997.db2.gz OASSHAYRZYVKHC-CQSZACIVSA-N 0 0 440.547 -0.601 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CN2CC3(CN(C(=O)OC(C)(C)C)C3)CC2=O)CC1 ZINC000744431117 1073561679 /nfs/dbraw/zinc/56/16/79/1073561679.db2.gz UEQHYZFNBRMQRH-UHFFFAOYSA-N 0 0 431.559 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N(CN1CCN(C(=O)C(=O)NC3CC3)CC1)C2 ZINC000744432250 1073561668 /nfs/dbraw/zinc/56/16/68/1073561668.db2.gz IWVPBOPTAWQDGR-UHFFFAOYSA-N 0 0 435.525 -0.164 20 0 IBADRN Cn1ccnc(N2CCN(CN3C(=O)C(=O)N(CCc4cccs4)C3=O)CC2)c1=O ZINC000744439432 1073561660 /nfs/dbraw/zinc/56/16/60/1073561660.db2.gz BUEZZCVYDHOTBT-UHFFFAOYSA-N 0 0 430.490 -0.045 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CN1CCN(c2nccn(C)c2=O)CC1 ZINC000744439506 1073561567 /nfs/dbraw/zinc/56/15/67/1073561567.db2.gz DATDDIUXWAUHME-UHFFFAOYSA-N 0 0 448.505 -0.282 20 0 IBADRN COc1ccc(CN2C(=O)C(=O)N(CN3CCN(c4nccn(C)c4=O)CC3)C2=O)cc1 ZINC000744440047 1073561692 /nfs/dbraw/zinc/56/16/92/1073561692.db2.gz GJRXQQFVTVPGQM-UHFFFAOYSA-N 0 0 440.460 -0.141 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)cn1 ZINC000744452871 1073561626 /nfs/dbraw/zinc/56/16/26/1073561626.db2.gz FRAFJUSMPGQVRR-HNNXBMFYSA-N 0 0 438.441 -0.499 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)cn1 ZINC000744452874 1073561611 /nfs/dbraw/zinc/56/16/11/1073561611.db2.gz FRAFJUSMPGQVRR-OAHLLOKOSA-N 0 0 438.441 -0.499 20 0 IBADRN CS(=O)(=O)Cc1noc(COC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)n1 ZINC000744453784 1073561603 /nfs/dbraw/zinc/56/16/03/1073561603.db2.gz MODZXMCBGKWRFS-GFCCVEGCSA-N 0 0 425.398 -0.083 20 0 IBADRN CS(=O)(=O)Cc1noc(COC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)n1 ZINC000744453791 1073561550 /nfs/dbraw/zinc/56/15/50/1073561550.db2.gz MODZXMCBGKWRFS-LBPRGKRZSA-N 0 0 425.398 -0.083 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744453818 1073561578 /nfs/dbraw/zinc/56/15/78/1073561578.db2.gz WAPKSXQZNXHZAY-UHFFFAOYSA-N 0 0 430.508 -0.081 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1C ZINC000744454535 1073561718 /nfs/dbraw/zinc/56/17/18/1073561718.db2.gz BBAGEBVPQADJRG-UHFFFAOYSA-N 0 0 430.508 -0.163 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n(C)n1 ZINC000744457510 1073562095 /nfs/dbraw/zinc/56/20/95/1073562095.db2.gz XCFHAYJBJPZYPH-GXTWGEPZSA-N 0 0 437.478 -0.457 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n(C)n1 ZINC000744457514 1073562186 /nfs/dbraw/zinc/56/21/86/1073562186.db2.gz XCFHAYJBJPZYPH-JSGCOSHPSA-N 0 0 437.478 -0.457 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n(C)n1 ZINC000744457516 1073562112 /nfs/dbraw/zinc/56/21/12/1073562112.db2.gz XCFHAYJBJPZYPH-OCCSQVGLSA-N 0 0 437.478 -0.457 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n(C)n1 ZINC000744457518 1073562156 /nfs/dbraw/zinc/56/21/56/1073562156.db2.gz XCFHAYJBJPZYPH-TZMCWYRMSA-N 0 0 437.478 -0.457 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000744458507 1073562320 /nfs/dbraw/zinc/56/23/20/1073562320.db2.gz OATLEDRSPXMQDZ-UHFFFAOYSA-N 0 0 427.483 -0.258 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744459919 1073562259 /nfs/dbraw/zinc/56/22/59/1073562259.db2.gz NLSNBQNBTLYFGX-UHFFFAOYSA-N 0 0 444.491 -0.577 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744460342 1073561737 /nfs/dbraw/zinc/56/17/37/1073561737.db2.gz FCEDQYCPRBHKLW-LBPRGKRZSA-N 0 0 446.507 -0.383 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744460350 1073561702 /nfs/dbraw/zinc/56/17/02/1073561702.db2.gz FOAQUYUTAGVWLV-ZDUSSCGKSA-N 0 0 430.508 -0.083 20 0 IBADRN COc1ccc(C(=O)NCC(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000744464940 1073562268 /nfs/dbraw/zinc/56/22/68/1073562268.db2.gz FXOWGASHNRCHMZ-UHFFFAOYSA-N 0 0 426.451 -0.311 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000744468477 1073562166 /nfs/dbraw/zinc/56/21/66/1073562166.db2.gz RSTDOTVFSRNCSM-UHFFFAOYSA-N 0 0 438.422 -0.952 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000744488888 1073562237 /nfs/dbraw/zinc/56/22/37/1073562237.db2.gz GKCPHDFXJIHGGZ-LLVKDONJSA-N 0 0 429.437 -0.795 20 0 IBADRN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000744488892 1073562195 /nfs/dbraw/zinc/56/21/95/1073562195.db2.gz GKCPHDFXJIHGGZ-NSHDSACASA-N 0 0 429.437 -0.795 20 0 IBADRN Cn1nc(C(C)(C)C)cc1NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000744488931 1073562305 /nfs/dbraw/zinc/56/23/05/1073562305.db2.gz GWKAHWHQCDIKMB-UHFFFAOYSA-N 0 0 431.453 -0.353 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3ccc(N4CCOC4=O)cc3)c2c(=O)n(C)c1=O ZINC000744488943 1073562126 /nfs/dbraw/zinc/56/21/26/1073562126.db2.gz GXEGMDZGPBALIK-UHFFFAOYSA-N 0 0 441.400 -0.184 20 0 IBADRN Cn1c2ncn(CC(=O)O[C@@H]3CN(C(=O)OC(C)(C)C)CCC3=O)c2c(=O)n(C)c1=O ZINC000744489172 1073562075 /nfs/dbraw/zinc/56/20/75/1073562075.db2.gz IKTPEXSDLQCJLZ-GFCCVEGCSA-N 0 0 435.437 -0.445 20 0 IBADRN Cn1c2ncn(CC(=O)O[C@H]3CN(C(=O)OC(C)(C)C)CCC3=O)c2c(=O)n(C)c1=O ZINC000744489173 1073562295 /nfs/dbraw/zinc/56/22/95/1073562295.db2.gz IKTPEXSDLQCJLZ-LBPRGKRZSA-N 0 0 435.437 -0.445 20 0 IBADRN Cc1cc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)n(C(C)(C)C)n1 ZINC000744490262 1073562250 /nfs/dbraw/zinc/56/22/50/1073562250.db2.gz VDFBHXFVMQCGHU-UHFFFAOYSA-N 0 0 431.453 -0.124 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2cccc(S(=O)(=O)NC3CC3)c2)cn1 ZINC000744506019 1073562885 /nfs/dbraw/zinc/56/28/85/1073562885.db2.gz KQBKXGBBEKWYKY-UHFFFAOYSA-N 0 0 428.492 -0.004 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CN2C(=O)N(C)C3(CCCCC3)C2=O)cn1 ZINC000744510775 1073562924 /nfs/dbraw/zinc/56/29/24/1073562924.db2.gz NSLJICRLWFADEC-UHFFFAOYSA-N 0 0 427.483 -0.162 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000744512767 1073562728 /nfs/dbraw/zinc/56/27/28/1073562728.db2.gz MFIIPACCQQIGEG-UHFFFAOYSA-N 0 0 437.434 -0.424 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CN2C(=O)c3ccccc3S2(=O)=O)cn1 ZINC000744515576 1073562906 /nfs/dbraw/zinc/56/29/06/1073562906.db2.gz DTKABWJYWFZMOE-UHFFFAOYSA-N 0 0 428.448 -0.914 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)cn1 ZINC000744517935 1073562598 /nfs/dbraw/zinc/56/25/98/1073562598.db2.gz UZWNMJXVTDYEDV-UHFFFAOYSA-N 0 0 428.492 -0.004 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000744536599 1073562622 /nfs/dbraw/zinc/56/26/22/1073562622.db2.gz JWRBATIQWCMDRP-CABCVRRESA-N 0 0 426.495 -0.174 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000744536600 1073562848 /nfs/dbraw/zinc/56/28/48/1073562848.db2.gz JWRBATIQWCMDRP-GJZGRUSLSA-N 0 0 426.495 -0.174 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000744551964 1073563296 /nfs/dbraw/zinc/56/32/96/1073563296.db2.gz QXFIJQVURFZLSC-PXAZEXFGSA-N 0 0 427.483 -0.258 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000744551970 1073563321 /nfs/dbraw/zinc/56/33/21/1073563321.db2.gz QXFIJQVURFZLSC-SJCJKPOMSA-N 0 0 427.483 -0.258 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000744551972 1073563309 /nfs/dbraw/zinc/56/33/09/1073563309.db2.gz QXFIJQVURFZLSC-SJKOYZFVSA-N 0 0 427.483 -0.258 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000744551974 1073563332 /nfs/dbraw/zinc/56/33/32/1073563332.db2.gz QXFIJQVURFZLSC-YVEFUNNKSA-N 0 0 427.483 -0.258 20 0 IBADRN COc1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1S(=O)(=O)N(C)C ZINC000744553201 1073563379 /nfs/dbraw/zinc/56/33/79/1073563379.db2.gz DKJWRZMBWHKKIX-UHFFFAOYSA-N 0 0 446.507 -0.186 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)cn1 ZINC000744553658 1073563267 /nfs/dbraw/zinc/56/32/67/1073563267.db2.gz QHHCQKPXINMRFE-IBGZPJMESA-N 0 0 447.473 -0.365 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)cn1 ZINC000744553659 1073563507 /nfs/dbraw/zinc/56/35/07/1073563507.db2.gz QHHCQKPXINMRFE-LJQANCHMSA-N 0 0 447.473 -0.365 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)ccc1OC ZINC000744554987 1073563456 /nfs/dbraw/zinc/56/34/56/1073563456.db2.gz RZCFSBFZRYXJFE-UHFFFAOYSA-N 0 0 432.480 -0.528 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)c1 ZINC000744556166 1073563481 /nfs/dbraw/zinc/56/34/81/1073563481.db2.gz KSVILWREVYJBGV-UHFFFAOYSA-N 0 0 434.471 -0.055 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CNS(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC000744561519 1073563396 /nfs/dbraw/zinc/56/33/96/1073563396.db2.gz POXQCYXMENUVRR-UHFFFAOYSA-N 0 0 436.899 -0.127 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CNS(=O)(=O)/C=C/c2ccccc2)cn1 ZINC000744561651 1073563473 /nfs/dbraw/zinc/56/34/73/1073563473.db2.gz RZIBINZARMZAQC-JXMROGBWSA-N 0 0 428.492 -0.168 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CNS(=O)(=O)/C=C\c2ccccc2)cn1 ZINC000744561666 1073563363 /nfs/dbraw/zinc/56/33/63/1073563363.db2.gz RZIBINZARMZAQC-YFHOEESVSA-N 0 0 428.492 -0.168 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1ccc(N3CCOC3=O)cc1)c(=O)n2C ZINC000744564244 1073563436 /nfs/dbraw/zinc/56/34/36/1073563436.db2.gz MFWYQRKEDILXFP-UHFFFAOYSA-N 0 0 441.400 -0.184 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)OCCNS(=O)(=O)c2cnn(C)c2)c1 ZINC000744564513 1073562651 /nfs/dbraw/zinc/56/26/51/1073562651.db2.gz DYFDTFPMYSUBRZ-UHFFFAOYSA-N 0 0 430.508 -0.163 20 0 IBADRN Cc1cc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)n(C(C)(C)C)n1 ZINC000744565158 1073563409 /nfs/dbraw/zinc/56/34/09/1073563409.db2.gz RXLSIBPOWNNDIP-UHFFFAOYSA-N 0 0 431.453 -0.124 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1cc(C(C)(C)C)nn1C)c(=O)n2C ZINC000744565603 1073562810 /nfs/dbraw/zinc/56/28/10/1073562810.db2.gz VNWJJXIDYGMWIM-UHFFFAOYSA-N 0 0 431.453 -0.353 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)O[C@@H]1CN(C(=O)OC(C)(C)C)CCC1=O)c(=O)n2C ZINC000744565623 1073562939 /nfs/dbraw/zinc/56/29/39/1073562939.db2.gz VZVFNAWIZWRMDS-GFCCVEGCSA-N 0 0 435.437 -0.445 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)O[C@H]1CN(C(=O)OC(C)(C)C)CCC1=O)c(=O)n2C ZINC000744565624 1073562859 /nfs/dbraw/zinc/56/28/59/1073562859.db2.gz VZVFNAWIZWRMDS-LBPRGKRZSA-N 0 0 435.437 -0.445 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000744565796 1073562783 /nfs/dbraw/zinc/56/27/83/1073562783.db2.gz XWIXANRGGSSIHT-LLVKDONJSA-N 0 0 429.437 -0.795 20 0 IBADRN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000744565797 1073562950 /nfs/dbraw/zinc/56/29/50/1073562950.db2.gz XWIXANRGGSSIHT-NSHDSACASA-N 0 0 429.437 -0.795 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)OCCNS(=O)(=O)c2cnn(C)c2)c1 ZINC000744566737 1073562829 /nfs/dbraw/zinc/56/28/29/1073562829.db2.gz CBYONWOJWANWTH-UHFFFAOYSA-N 0 0 426.451 -0.311 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)OCCNS(=O)(=O)c1cnn(C)c1 ZINC000744570957 1073563817 /nfs/dbraw/zinc/56/38/17/1073563817.db2.gz JZZLQNPTDYCPFJ-NSHDSACASA-N 0 0 434.471 -0.252 20 0 IBADRN C[C@H](OC(=O)[C@]12CCC(=O)N1CCS2)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000744573450 1073564065 /nfs/dbraw/zinc/56/40/65/1073564065.db2.gz KKFQHCVELUZBEM-KPZWWZAWSA-N 0 0 441.531 -0.010 20 0 IBADRN C[C@@H](OC(=O)[C@]12CCC(=O)N1CCS2)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000744573451 1073563804 /nfs/dbraw/zinc/56/38/04/1073563804.db2.gz KKFQHCVELUZBEM-KZULUSFZSA-N 0 0 441.531 -0.010 20 0 IBADRN C[C@H](OC(=O)[C@@]12CCC(=O)N1CCS2)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000744573452 1073563976 /nfs/dbraw/zinc/56/39/76/1073563976.db2.gz KKFQHCVELUZBEM-SGTLLEGYSA-N 0 0 441.531 -0.010 20 0 IBADRN C[C@@H](OC(=O)[C@@]12CCC(=O)N1CCS2)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000744573453 1073563792 /nfs/dbraw/zinc/56/37/92/1073563792.db2.gz KKFQHCVELUZBEM-XIKOKIGWSA-N 0 0 441.531 -0.010 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744580619 1073563925 /nfs/dbraw/zinc/56/39/25/1073563925.db2.gz DFENPSNATFBJJB-UHFFFAOYSA-N 0 0 430.508 -0.218 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2ccc3c(c2)CCN3S(C)(=O)=O)cn1 ZINC000744586325 1073563343 /nfs/dbraw/zinc/56/33/43/1073563343.db2.gz KGQSFUTVVQWYEX-UHFFFAOYSA-N 0 0 428.492 -0.123 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)c1 ZINC000744587170 1073563423 /nfs/dbraw/zinc/56/34/23/1073563423.db2.gz OEUHEVIYCUWDAJ-UHFFFAOYSA-N 0 0 432.480 -0.263 20 0 IBADRN CN(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C1=NS(=O)(=O)c2ccccc21 ZINC000744588333 1073563249 /nfs/dbraw/zinc/56/32/49/1073563249.db2.gz ZAOTVJPPRKTPON-UHFFFAOYSA-N 0 0 441.491 -0.678 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@@]12CCC(=O)N1c1ccccc1C(=O)N2CCOC ZINC000744588706 1073564013 /nfs/dbraw/zinc/56/40/13/1073564013.db2.gz DEKJPKFZLFUJLU-FKIZINRSSA-N 0 0 432.433 -0.001 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@]12CCC(=O)N1c1ccccc1C(=O)N2CCOC ZINC000744588707 1073564049 /nfs/dbraw/zinc/56/40/49/1073564049.db2.gz DEKJPKFZLFUJLU-MPBGBICISA-N 0 0 432.433 -0.001 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@]12CCC(=O)N1c1ccccc1C(=O)N2CCOC ZINC000744588708 1073563938 /nfs/dbraw/zinc/56/39/38/1073563938.db2.gz DEKJPKFZLFUJLU-ODXCJYRJSA-N 0 0 432.433 -0.001 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@]12CCC(=O)N1c1ccccc1C(=O)N2CCOC ZINC000744588709 1073563988 /nfs/dbraw/zinc/56/39/88/1073563988.db2.gz DEKJPKFZLFUJLU-YUNKPMOVSA-N 0 0 432.433 -0.001 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)O[C@H](C)C(=O)NCC(=O)OC ZINC000744589143 1073563913 /nfs/dbraw/zinc/56/39/13/1073563913.db2.gz MBGYGMWZUDXWOU-ASSNKEHSSA-N 0 0 447.444 -0.167 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)O[C@H](C)C(=O)NCC(=O)OC ZINC000744589144 1073563827 /nfs/dbraw/zinc/56/38/27/1073563827.db2.gz MBGYGMWZUDXWOU-LRTDBIEQSA-N 0 0 447.444 -0.167 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)O[C@@H](C)C(=O)NCC(=O)OC ZINC000744589145 1073563958 /nfs/dbraw/zinc/56/39/58/1073563958.db2.gz MBGYGMWZUDXWOU-YEJXKQKISA-N 0 0 447.444 -0.167 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)O[C@@H](C)C(=O)NCC(=O)OC ZINC000744589147 1073564032 /nfs/dbraw/zinc/56/40/32/1073564032.db2.gz MBGYGMWZUDXWOU-ZSEKCTLFSA-N 0 0 447.444 -0.167 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)Cn2nc(-c3ccc(F)cc3)oc2=O)cn1 ZINC000744589238 1073563998 /nfs/dbraw/zinc/56/39/98/1073563998.db2.gz AVNUOPXKKGHHIF-UHFFFAOYSA-N 0 0 425.398 -0.102 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)OCCCS(N)(=O)=O ZINC000744589495 1073563896 /nfs/dbraw/zinc/56/38/96/1073563896.db2.gz QHXVLTIYNLOGEH-GOSISDBHSA-N 0 0 425.463 -0.166 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)OCCCS(N)(=O)=O ZINC000744589496 1073563861 /nfs/dbraw/zinc/56/38/61/1073563861.db2.gz QHXVLTIYNLOGEH-SFHVURJKSA-N 0 0 425.463 -0.166 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)cn1 ZINC000744592743 1073563778 /nfs/dbraw/zinc/56/37/78/1073563778.db2.gz FHWKJCXWGLZALC-UHFFFAOYSA-N 0 0 447.473 -0.687 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744596996 1073564577 /nfs/dbraw/zinc/56/45/77/1073564577.db2.gz WGMUJRPYKHUNIQ-UHFFFAOYSA-N 0 0 432.480 -0.263 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000744602151 1073564696 /nfs/dbraw/zinc/56/46/96/1073564696.db2.gz JJCZISWKFRURQO-GFCCVEGCSA-N 0 0 429.437 -0.786 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000744602154 1073564513 /nfs/dbraw/zinc/56/45/13/1073564513.db2.gz JJCZISWKFRURQO-LBPRGKRZSA-N 0 0 429.437 -0.786 20 0 IBADRN Cn1cc(N2CCC[C@@H](OC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2=O)cn1 ZINC000744602259 1073564629 /nfs/dbraw/zinc/56/46/29/1073564629.db2.gz LXKCNOJQCUQJHC-CYBMUJFWSA-N 0 0 429.437 -0.704 20 0 IBADRN Cn1cc(N2CCC[C@H](OC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2=O)cn1 ZINC000744602260 1073564684 /nfs/dbraw/zinc/56/46/84/1073564684.db2.gz LXKCNOJQCUQJHC-ZDUSSCGKSA-N 0 0 429.437 -0.704 20 0 IBADRN Cn1c2ncn(CCC(=O)O[C@@H]3CN(C(=O)OC(C)(C)C)CCC3=O)c2c(=O)n(C)c1=O ZINC000744602267 1073564669 /nfs/dbraw/zinc/56/46/69/1073564669.db2.gz MDDQWKNGJNHXMF-CYBMUJFWSA-N 0 0 449.464 -0.055 20 0 IBADRN Cn1c2ncn(CCC(=O)O[C@H]3CN(C(=O)OC(C)(C)C)CCC3=O)c2c(=O)n(C)c1=O ZINC000744602268 1073564476 /nfs/dbraw/zinc/56/44/76/1073564476.db2.gz MDDQWKNGJNHXMF-ZDUSSCGKSA-N 0 0 449.464 -0.055 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2ccc(CCNS(C)(=O)=O)cc2)cn1 ZINC000744602544 1073565186 /nfs/dbraw/zinc/56/51/86/1073565186.db2.gz TZTPFELOSKOFQI-UHFFFAOYSA-N 0 0 430.508 -0.353 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000744602799 1073564536 /nfs/dbraw/zinc/56/45/36/1073564536.db2.gz WSNUXTOKRGFXJB-GFCCVEGCSA-N 0 0 443.464 -0.405 20 0 IBADRN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000744602800 1073564589 /nfs/dbraw/zinc/56/45/89/1073564589.db2.gz WSNUXTOKRGFXJB-LBPRGKRZSA-N 0 0 443.464 -0.405 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCN3C(=O)NC4(CCCC4)C3=O)c2c(=O)n(C)c1=O ZINC000744602821 1073564564 /nfs/dbraw/zinc/56/45/64/1073564564.db2.gz XSAKVFJDJAKHAE-UHFFFAOYSA-N 0 0 432.437 -0.768 20 0 IBADRN Cn1ccc(N2CCC[C@@H](OC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2=O)n1 ZINC000744602866 1073564644 /nfs/dbraw/zinc/56/46/44/1073564644.db2.gz YWBWJPZOWJFCGG-GFCCVEGCSA-N 0 0 429.437 -0.704 20 0 IBADRN Cn1ccc(N2CCC[C@H](OC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2=O)n1 ZINC000744602869 1073565047 /nfs/dbraw/zinc/56/50/47/1073565047.db2.gz YWBWJPZOWJFCGG-LBPRGKRZSA-N 0 0 429.437 -0.704 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCn4c(CCc5ccccc5)nnc4C3)c2c(=O)n(C)c1=O ZINC000744603805 1073565133 /nfs/dbraw/zinc/56/51/33/1073565133.db2.gz QNEOKKNXNDLOJW-UHFFFAOYSA-N 0 0 448.487 -0.147 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2ccc(CCNS(C)(=O)=O)s2)cn1 ZINC000744604532 1073565264 /nfs/dbraw/zinc/56/52/64/1073565264.db2.gz WPFZZXXHXJQPGL-UHFFFAOYSA-N 0 0 436.537 -0.291 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)OCCNS(=O)(=O)c2cnn(C)c2)c1=O ZINC000744604650 1073565199 /nfs/dbraw/zinc/56/51/99/1073565199.db2.gz WSLWERMETBTZEO-UHFFFAOYSA-N 0 0 435.462 -0.562 20 0 IBADRN C[C@@H]1CN=C(N2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)S1 ZINC000744605421 1073565116 /nfs/dbraw/zinc/56/51/16/1073565116.db2.gz UTZDSFYCHVEVDG-LLVKDONJSA-N 0 0 439.519 -0.123 20 0 IBADRN C[C@H]1CN=C(N2CCN(C(=O)Cn3c4ccc(S(N)(=O)=O)cc4oc3=O)CC2)S1 ZINC000744605422 1073565161 /nfs/dbraw/zinc/56/51/61/1073565161.db2.gz UTZDSFYCHVEVDG-NSHDSACASA-N 0 0 439.519 -0.123 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)n(C)c1 ZINC000744609216 1073564496 /nfs/dbraw/zinc/56/44/96/1073564496.db2.gz KBRLCNYJFZLWKF-UHFFFAOYSA-N 0 0 447.539 -0.076 20 0 IBADRN O=C(COCCOCC(=O)N1CC(=O)Nc2cnccc21)N1CC(=O)Nc2cnccc21 ZINC000744613726 1073564414 /nfs/dbraw/zinc/56/44/14/1073564414.db2.gz HGBHCKOOPIJHCD-UHFFFAOYSA-N 0 0 440.416 -0.220 20 0 IBADRN CN1C[C@H](C(=O)NCC(CNC(=O)[C@@H]2CC(=O)N(C)C2)NC(=O)OC(C)(C)C)CC1=O ZINC000744616055 1073564659 /nfs/dbraw/zinc/56/46/59/1073564659.db2.gz GGJJJDLCDJNRLG-CHWSQXEVSA-N 0 0 439.513 -0.931 20 0 IBADRN CN1C[C@@H](C(=O)NCC(CNC(=O)[C@H]2CC(=O)N(C)C2)NC(=O)OC(C)(C)C)CC1=O ZINC000744616056 1073564458 /nfs/dbraw/zinc/56/44/58/1073564458.db2.gz GGJJJDLCDJNRLG-STQMWFEESA-N 0 0 439.513 -0.931 20 0 IBADRN CN1C[C@H](C(=O)NC[C@@H](CNC(=O)[C@H]2CC(=O)N(C)C2)NC(=O)OC(C)(C)C)CC1=O ZINC000744616057 1073564634 /nfs/dbraw/zinc/56/46/34/1073564634.db2.gz GGJJJDLCDJNRLG-WDNDVIMCSA-N 0 0 439.513 -0.931 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCc4nn(C)c(=O)cc4C3)cc2S1(=O)=O ZINC000744623381 1073565233 /nfs/dbraw/zinc/56/52/33/1073565233.db2.gz UKIZURIWCNBTGG-UHFFFAOYSA-N 0 0 432.458 -0.230 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@H]3CCC[C@@]34NC(=O)NC4=O)C2=O)cc1 ZINC000744627220 1073565081 /nfs/dbraw/zinc/56/50/81/1073565081.db2.gz WOTSRFSFHYSEHQ-HBUDHLSFSA-N 0 0 443.460 -0.043 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@@H]3CCC[C@@]34NC(=O)NC4=O)C2=O)cc1 ZINC000744627223 1073565213 /nfs/dbraw/zinc/56/52/13/1073565213.db2.gz WOTSRFSFHYSEHQ-SKOKVVANSA-N 0 0 443.460 -0.043 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@H]3CCC[C@]34NC(=O)NC4=O)C2=O)cc1 ZINC000744627224 1073565150 /nfs/dbraw/zinc/56/51/50/1073565150.db2.gz WOTSRFSFHYSEHQ-UBWHGVKJSA-N 0 0 443.460 -0.043 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@@H]3CCC[C@]34NC(=O)NC4=O)C2=O)cc1 ZINC000744627227 1073564983 /nfs/dbraw/zinc/56/49/83/1073564983.db2.gz WOTSRFSFHYSEHQ-ZEWGMFERSA-N 0 0 443.460 -0.043 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC000744628487 1073564996 /nfs/dbraw/zinc/56/49/96/1073564996.db2.gz VVJZQFKCKHXBKV-DYXDTQHNSA-N 0 0 425.445 -0.126 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC000744628488 1073565033 /nfs/dbraw/zinc/56/50/33/1073565033.db2.gz VVJZQFKCKHXBKV-PZVFOTJXSA-N 0 0 425.445 -0.126 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC000744628489 1073565721 /nfs/dbraw/zinc/56/57/21/1073565721.db2.gz VVJZQFKCKHXBKV-UBWHGVKJSA-N 0 0 425.445 -0.126 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC000744628490 1073565647 /nfs/dbraw/zinc/56/56/47/1073565647.db2.gz VVJZQFKCKHXBKV-ZEWGMFERSA-N 0 0 425.445 -0.126 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744633697 1073565017 /nfs/dbraw/zinc/56/50/17/1073565017.db2.gz UKULULVSKBTBHS-UHFFFAOYSA-N 0 0 446.507 -0.520 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)c1 ZINC000744671473 1073565801 /nfs/dbraw/zinc/56/58/01/1073565801.db2.gz HXYHHXOTIYWASE-UHFFFAOYSA-N 0 0 446.507 -0.520 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000744677460 1073565627 /nfs/dbraw/zinc/56/56/27/1073565627.db2.gz KHVZFWVHOQFVRF-UHFFFAOYSA-N 0 0 430.508 -0.339 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)cc1)S(C)(=O)=O ZINC000744677879 1073566194 /nfs/dbraw/zinc/56/61/94/1073566194.db2.gz QZMQWCGEXDOPDG-UHFFFAOYSA-N 0 0 433.508 -0.276 20 0 IBADRN CN(CC(=O)N1CCN(c2nccn(C)c2=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000744679447 1073566239 /nfs/dbraw/zinc/56/62/39/1073566239.db2.gz VJRMOJUMQCZOPZ-UHFFFAOYSA-N 0 0 430.490 -0.490 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCN(c4nccn(C)c4=O)CC3)cc2S1(=O)=O ZINC000744680081 1073565773 /nfs/dbraw/zinc/56/57/73/1073565773.db2.gz IMESYRZHKFXPPR-UHFFFAOYSA-N 0 0 431.474 -0.093 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000744680236 1073565709 /nfs/dbraw/zinc/56/57/09/1073565709.db2.gz OBJOPOIPAUOKIA-UHFFFAOYSA-N 0 0 444.404 -0.662 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CN3C(=O)N[C@@]4(CCc5ccccc54)C3=O)CC2)c1=O ZINC000744681176 1073565658 /nfs/dbraw/zinc/56/56/58/1073565658.db2.gz FLVVUKKHDNIIOQ-JOCHJYFZSA-N 0 0 436.472 -0.178 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CN3C(=O)N[C@]4(CCc5ccccc54)C3=O)CC2)c1=O ZINC000744681183 1073565613 /nfs/dbraw/zinc/56/56/13/1073565613.db2.gz FLVVUKKHDNIIOQ-QFIPXVFZSA-N 0 0 436.472 -0.178 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CN3CCN(C(=O)OC(C)(C)C)CC3=O)CC2)c1=O ZINC000744682037 1073565695 /nfs/dbraw/zinc/56/56/95/1073565695.db2.gz NKBQUZTXGVXUHP-UHFFFAOYSA-N 0 0 434.497 -0.492 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C3=NN(c4ccc(F)cc4)[C@H](C(N)=O)C3)CC2)c1=O ZINC000744683193 1073565763 /nfs/dbraw/zinc/56/57/63/1073565763.db2.gz XTOFNFAGQQIULW-INIZCTEOSA-N 0 0 427.440 -0.312 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C3=NN(c4ccc(F)cc4)[C@@H](C(N)=O)C3)CC2)c1=O ZINC000744683349 1073565810 /nfs/dbraw/zinc/56/58/10/1073565810.db2.gz XTOFNFAGQQIULW-MRXNPFEDSA-N 0 0 427.440 -0.312 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)cn1 ZINC000744684421 1073565744 /nfs/dbraw/zinc/56/57/44/1073565744.db2.gz XYRAPYIDFCWOAL-UHFFFAOYSA-N 0 0 448.461 -0.220 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000744684719 1073565731 /nfs/dbraw/zinc/56/57/31/1073565731.db2.gz BWUUTJABBIABFZ-BPLDGKMQSA-N 0 0 438.506 -0.207 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000744684720 1073565787 /nfs/dbraw/zinc/56/57/87/1073565787.db2.gz BWUUTJABBIABFZ-SNPRPXQTSA-N 0 0 438.506 -0.207 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000744684721 1073566127 /nfs/dbraw/zinc/56/61/27/1073566127.db2.gz BWUUTJABBIABFZ-VHDGCEQUSA-N 0 0 438.506 -0.207 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000744684722 1073566270 /nfs/dbraw/zinc/56/62/70/1073566270.db2.gz BWUUTJABBIABFZ-YUELXQCFSA-N 0 0 438.506 -0.207 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(S(N)(=O)=O)c(Br)s1)C(=O)N(C)C ZINC000744685722 1073566140 /nfs/dbraw/zinc/56/61/40/1073566140.db2.gz XLOWROQZKPEBFM-LURJTMIESA-N 0 0 442.313 -0.092 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(S(N)(=O)=O)c(Br)s1)C(=O)N(C)C ZINC000744685723 1073566179 /nfs/dbraw/zinc/56/61/79/1073566179.db2.gz XLOWROQZKPEBFM-ZCFIWIBFSA-N 0 0 442.313 -0.092 20 0 IBADRN O=C(OCCN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000744686136 1073566166 /nfs/dbraw/zinc/56/61/66/1073566166.db2.gz ORSTZKRLNCHSGI-CHWSQXEVSA-N 0 0 427.479 -0.570 20 0 IBADRN O=C(OCCN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000744686137 1073566292 /nfs/dbraw/zinc/56/62/92/1073566292.db2.gz ORSTZKRLNCHSGI-OLZOCXBDSA-N 0 0 427.479 -0.570 20 0 IBADRN O=C(OCCN1C(=O)NC2(CCCC2)C1=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000744686138 1073566155 /nfs/dbraw/zinc/56/61/55/1073566155.db2.gz ORSTZKRLNCHSGI-QWHCGFSZSA-N 0 0 427.479 -0.570 20 0 IBADRN O=C(OCCN1C(=O)NC2(CCCC2)C1=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000744686139 1073566325 /nfs/dbraw/zinc/56/63/25/1073566325.db2.gz ORSTZKRLNCHSGI-STQMWFEESA-N 0 0 427.479 -0.570 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000744687812 1073566312 /nfs/dbraw/zinc/56/63/12/1073566312.db2.gz YWNUWCBVZKFFLC-UHFFFAOYSA-N 0 0 429.270 -0.006 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000744693177 1073566224 /nfs/dbraw/zinc/56/62/24/1073566224.db2.gz CMOYDXWKUOCOCH-RXMQYKEDSA-N 0 0 429.270 -0.008 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cn1 ZINC000744704763 1073566207 /nfs/dbraw/zinc/56/62/07/1073566207.db2.gz HAVTZRIPBSRSOO-UHFFFAOYSA-N 0 0 448.479 -0.831 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)cn1 ZINC000744705924 1073566676 /nfs/dbraw/zinc/56/66/76/1073566676.db2.gz BUMBQTBSVSBWPF-UHFFFAOYSA-N 0 0 432.480 -0.067 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1 ZINC000744719241 1073566107 /nfs/dbraw/zinc/56/61/07/1073566107.db2.gz LJVQADHYIANBOW-UHFFFAOYSA-N 0 0 427.439 -0.029 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)O[C@H](C)C(=O)NC(=O)NC)o1 ZINC000744723863 1073566253 /nfs/dbraw/zinc/56/62/53/1073566253.db2.gz BQUXLQPSHGILMK-GHMZBOCLSA-N 0 0 445.450 -0.003 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)O[C@H](C)C(=O)NC(=O)NC)o1 ZINC000744723865 1073566303 /nfs/dbraw/zinc/56/63/03/1073566303.db2.gz BQUXLQPSHGILMK-MNOVXSKESA-N 0 0 445.450 -0.003 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)O[C@@H](C)C(=O)NC(=O)NC)o1 ZINC000744723867 1073566352 /nfs/dbraw/zinc/56/63/52/1073566352.db2.gz BQUXLQPSHGILMK-QWRGUYRKSA-N 0 0 445.450 -0.003 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)O[C@@H](C)C(=O)NC(=O)NC)o1 ZINC000744723868 1073566694 /nfs/dbraw/zinc/56/66/94/1073566694.db2.gz BQUXLQPSHGILMK-WDEREUQCSA-N 0 0 445.450 -0.003 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)OCCCS(N)(=O)=O)o1 ZINC000744726627 1073566771 /nfs/dbraw/zinc/56/67/71/1073566771.db2.gz SXHUWSIDUYGPTQ-LLVKDONJSA-N 0 0 438.480 -0.169 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)OCCCS(N)(=O)=O)o1 ZINC000744726628 1073566865 /nfs/dbraw/zinc/56/68/65/1073566865.db2.gz SXHUWSIDUYGPTQ-NSHDSACASA-N 0 0 438.480 -0.169 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744733705 1073566727 /nfs/dbraw/zinc/56/67/27/1073566727.db2.gz FEXJNOLBQNQJQP-UHFFFAOYSA-N 0 0 446.507 -0.832 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744733966 1073566842 /nfs/dbraw/zinc/56/68/42/1073566842.db2.gz APFHJYXKJLYRGI-GFCCVEGCSA-N 0 0 446.507 -0.786 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744733967 1073566657 /nfs/dbraw/zinc/56/66/57/1073566657.db2.gz APFHJYXKJLYRGI-LBPRGKRZSA-N 0 0 446.507 -0.786 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)c1 ZINC000744734151 1073566813 /nfs/dbraw/zinc/56/68/13/1073566813.db2.gz JJXXVEFHSHQQPF-UHFFFAOYSA-N 0 0 446.489 -0.145 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744735078 1073566756 /nfs/dbraw/zinc/56/67/56/1073566756.db2.gz QLAFNTPQGUQNQB-GFCCVEGCSA-N 0 0 446.507 -0.786 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744735079 1073566577 /nfs/dbraw/zinc/56/65/77/1073566577.db2.gz QLAFNTPQGUQNQB-LBPRGKRZSA-N 0 0 446.507 -0.786 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](OC(=O)CNS(=O)(=O)c2cnn(C)c2)C1=O ZINC000744735625 1073567222 /nfs/dbraw/zinc/56/72/22/1073567222.db2.gz WJMFQVFOONGCBI-GFCCVEGCSA-N 0 0 442.475 -0.105 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](OC(=O)CNS(=O)(=O)c2cnn(C)c2)C1=O ZINC000744735626 1073567297 /nfs/dbraw/zinc/56/72/97/1073567297.db2.gz WJMFQVFOONGCBI-LBPRGKRZSA-N 0 0 442.475 -0.105 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N=c2cc[nH]n2Cc2cccc(C)c2)C(=O)C1=O ZINC000744744151 1073566706 /nfs/dbraw/zinc/56/67/06/1073566706.db2.gz AALVMSFMPDBQOQ-UHFFFAOYSA-N 0 0 427.461 -0.166 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC000744758244 1073566854 /nfs/dbraw/zinc/56/68/54/1073566854.db2.gz BWYGJLNBRRVEJK-FNHZYXHNSA-N 0 0 449.479 -0.048 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC000744758246 1073566803 /nfs/dbraw/zinc/56/68/03/1073566803.db2.gz BWYGJLNBRRVEJK-POZUXBRTSA-N 0 0 449.479 -0.048 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC000744758248 1073566627 /nfs/dbraw/zinc/56/66/27/1073566627.db2.gz BWYGJLNBRRVEJK-UCLAIMLFSA-N 0 0 449.479 -0.048 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC000744758250 1073566642 /nfs/dbraw/zinc/56/66/42/1073566642.db2.gz BWYGJLNBRRVEJK-YJLNNSPDSA-N 0 0 449.479 -0.048 20 0 IBADRN C[C@H](OC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N1CCC(C(N)=O)CC1 ZINC000744758309 1073566614 /nfs/dbraw/zinc/56/66/14/1073566614.db2.gz CMNXGIBYTAYWCS-FDQGKXFDSA-N 0 0 449.479 -0.014 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N1CCC(C(N)=O)CC1 ZINC000744758317 1073566597 /nfs/dbraw/zinc/56/65/97/1073566597.db2.gz CMNXGIBYTAYWCS-OWQGQXMQSA-N 0 0 449.479 -0.014 20 0 IBADRN C[C@H](OC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N1CCC(C(N)=O)CC1 ZINC000744758319 1073566742 /nfs/dbraw/zinc/56/67/42/1073566742.db2.gz CMNXGIBYTAYWCS-QANKJYHBSA-N 0 0 449.479 -0.014 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N1CCC(C(N)=O)CC1 ZINC000744758632 1073566785 /nfs/dbraw/zinc/56/67/85/1073566785.db2.gz CMNXGIBYTAYWCS-XCRHUMRWSA-N 0 0 449.479 -0.014 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000744760458 1073566829 /nfs/dbraw/zinc/56/68/29/1073566829.db2.gz UWFROGZYMQDYIE-DNMXQMFDSA-N 0 0 449.479 -0.014 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000744760461 1073567340 /nfs/dbraw/zinc/56/73/40/1073567340.db2.gz UWFROGZYMQDYIE-MBGYTDRXSA-N 0 0 449.479 -0.014 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000744760462 1073567129 /nfs/dbraw/zinc/56/71/29/1073567129.db2.gz UWFROGZYMQDYIE-SCNOPHJPSA-N 0 0 449.479 -0.014 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000744760464 1073567210 /nfs/dbraw/zinc/56/72/10/1073567210.db2.gz UWFROGZYMQDYIE-ZDRJDWQYSA-N 0 0 449.479 -0.014 20 0 IBADRN C[C@H](OC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N(C)CC(=O)NC1CC1 ZINC000744760906 1073567176 /nfs/dbraw/zinc/56/71/76/1073567176.db2.gz XCNFIGBFFZHLBJ-FDQGKXFDSA-N 0 0 449.479 -0.001 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N(C)CC(=O)NC1CC1 ZINC000744760907 1073567184 /nfs/dbraw/zinc/56/71/84/1073567184.db2.gz XCNFIGBFFZHLBJ-OWQGQXMQSA-N 0 0 449.479 -0.001 20 0 IBADRN C[C@H](OC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N(C)CC(=O)NC1CC1 ZINC000744760908 1073567110 /nfs/dbraw/zinc/56/71/10/1073567110.db2.gz XCNFIGBFFZHLBJ-QANKJYHBSA-N 0 0 449.479 -0.001 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)C(=O)N(C)CC(=O)NC1CC1 ZINC000744760910 1073567119 /nfs/dbraw/zinc/56/71/19/1073567119.db2.gz XCNFIGBFFZHLBJ-XCRHUMRWSA-N 0 0 449.479 -0.001 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCCN1S(C)(=O)=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000744772195 1073567095 /nfs/dbraw/zinc/56/70/95/1073567095.db2.gz AJDRWCAUAKQEFX-CABCVRRESA-N 0 0 425.511 -0.129 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCCN1S(C)(=O)=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000744772196 1073567166 /nfs/dbraw/zinc/56/71/66/1073567166.db2.gz AJDRWCAUAKQEFX-GJZGRUSLSA-N 0 0 425.511 -0.129 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CCCCN1S(C)(=O)=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000744772197 1073567306 /nfs/dbraw/zinc/56/73/06/1073567306.db2.gz AJDRWCAUAKQEFX-HUUCEWRRSA-N 0 0 425.511 -0.129 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCCCN1S(C)(=O)=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000744772198 1073567287 /nfs/dbraw/zinc/56/72/87/1073567287.db2.gz AJDRWCAUAKQEFX-LSDHHAIUSA-N 0 0 425.511 -0.129 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)OCCNS(=O)(=O)c1cnn(C)c1 ZINC000744789110 1073567248 /nfs/dbraw/zinc/56/72/48/1073567248.db2.gz CTGIGYUKEPAPQB-UHFFFAOYSA-N 0 0 449.493 -0.367 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)c1 ZINC000744808924 1073567262 /nfs/dbraw/zinc/56/72/62/1073567262.db2.gz CXHLBKOUZXORRX-UHFFFAOYSA-N 0 0 434.471 -0.007 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)ccc1F ZINC000744809763 1073567314 /nfs/dbraw/zinc/56/73/14/1073567314.db2.gz GHQXRZCYBXTRAF-UHFFFAOYSA-N 0 0 434.471 -0.055 20 0 IBADRN C[C@H](OC(=O)[C@@H](CO)NC(=O)c1ccccc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000744826760 1073567144 /nfs/dbraw/zinc/56/71/44/1073567144.db2.gz CCSUUZMBIORUCE-DOTOQJQBSA-N 0 0 427.461 -0.152 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CO)NC(=O)c1ccccc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000744826761 1073567681 /nfs/dbraw/zinc/56/76/81/1073567681.db2.gz CCSUUZMBIORUCE-NVXWUHKLSA-N 0 0 427.461 -0.152 20 0 IBADRN C[C@H](OC(=O)[C@H](CO)NC(=O)c1ccccc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000744826762 1073567747 /nfs/dbraw/zinc/56/77/47/1073567747.db2.gz CCSUUZMBIORUCE-RDJZCZTQSA-N 0 0 427.461 -0.152 20 0 IBADRN C[C@@H](OC(=O)[C@H](CO)NC(=O)c1ccccc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000744826763 1073567706 /nfs/dbraw/zinc/56/77/06/1073567706.db2.gz CCSUUZMBIORUCE-WBVHZDCISA-N 0 0 427.461 -0.152 20 0 IBADRN C[C@H](OC(=O)[C@@H](CO)NC(=O)c1ccccc1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000744828574 1073567713 /nfs/dbraw/zinc/56/77/13/1073567713.db2.gz JOBKPMZVNTVAJV-BLLLJJGKSA-N 0 0 435.458 -0.005 20 0 IBADRN C[C@H](OC(=O)[C@H](CO)NC(=O)c1ccccc1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000744828579 1073567685 /nfs/dbraw/zinc/56/76/85/1073567685.db2.gz JOBKPMZVNTVAJV-LRDDRELGSA-N 0 0 435.458 -0.005 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CO)NC(=O)c1ccccc1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000744828581 1073567737 /nfs/dbraw/zinc/56/77/37/1073567737.db2.gz JOBKPMZVNTVAJV-MLGOLLRUSA-N 0 0 435.458 -0.005 20 0 IBADRN C[C@@H](OC(=O)[C@H](CO)NC(=O)c1ccccc1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000744828583 1073567730 /nfs/dbraw/zinc/56/77/30/1073567730.db2.gz JOBKPMZVNTVAJV-WBMJQRKESA-N 0 0 435.458 -0.005 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@H](CO)NC(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000744830213 1073567762 /nfs/dbraw/zinc/56/77/62/1073567762.db2.gz QJLFJKIROGTWLO-BPUTZDHNSA-N 0 0 426.491 -0.255 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@H](CO)NC(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000744830224 1073567649 /nfs/dbraw/zinc/56/76/49/1073567649.db2.gz QJLFJKIROGTWLO-CWRNSKLLSA-N 0 0 426.491 -0.255 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@H](CO)NC(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000744830225 1073567697 /nfs/dbraw/zinc/56/76/97/1073567697.db2.gz QJLFJKIROGTWLO-IMJJTQAJSA-N 0 0 426.491 -0.255 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@H](CO)NC(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000744830228 1073567666 /nfs/dbraw/zinc/56/76/66/1073567666.db2.gz QJLFJKIROGTWLO-NUEKZKHPSA-N 0 0 426.491 -0.255 20 0 IBADRN C[C@H](OC(=O)[C@@H](CO)NC(=O)c1ccccc1)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000744830709 1073567724 /nfs/dbraw/zinc/56/77/24/1073567724.db2.gz SIUXKUHLFYIJKK-BLLLJJGKSA-N 0 0 435.458 -0.005 20 0 IBADRN C[C@H](OC(=O)[C@H](CO)NC(=O)c1ccccc1)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000744830710 1073567657 /nfs/dbraw/zinc/56/76/57/1073567657.db2.gz SIUXKUHLFYIJKK-LRDDRELGSA-N 0 0 435.458 -0.005 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CO)NC(=O)c1ccccc1)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000744830711 1073567786 /nfs/dbraw/zinc/56/77/86/1073567786.db2.gz SIUXKUHLFYIJKK-MLGOLLRUSA-N 0 0 435.458 -0.005 20 0 IBADRN C[C@@H](OC(=O)[C@H](CO)NC(=O)c1ccccc1)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000744830712 1073567753 /nfs/dbraw/zinc/56/77/53/1073567753.db2.gz SIUXKUHLFYIJKK-WBMJQRKESA-N 0 0 435.458 -0.005 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)OCCNS(=O)(=O)c3cnn(C)c3)cc2S1(=O)=O ZINC000744831241 1073567641 /nfs/dbraw/zinc/56/76/41/1073567641.db2.gz NHTXKIUJLBPDSH-UHFFFAOYSA-N 0 0 442.475 -0.280 20 0 IBADRN NS(=O)(=O)CCCOC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000744843557 1073567237 /nfs/dbraw/zinc/56/72/37/1073567237.db2.gz MBMQEBSRLXISTH-GFCCVEGCSA-N 0 0 432.476 -0.155 20 0 IBADRN NS(=O)(=O)CCCOC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000744843558 1073567196 /nfs/dbraw/zinc/56/71/96/1073567196.db2.gz MBMQEBSRLXISTH-LBPRGKRZSA-N 0 0 432.476 -0.155 20 0 IBADRN CNC(=O)COc1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000744846937 1073568160 /nfs/dbraw/zinc/56/81/60/1073568160.db2.gz PMCQZTGFMCDLCM-UHFFFAOYSA-N 0 0 426.451 -0.311 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000744848856 1073567775 /nfs/dbraw/zinc/56/77/75/1073567775.db2.gz GUJFEBQALGGLDE-LLVKDONJSA-N 0 0 428.449 -0.039 20 0 IBADRN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000744849055 1073567630 /nfs/dbraw/zinc/56/76/30/1073567630.db2.gz GUJFEBQALGGLDE-NSHDSACASA-N 0 0 428.449 -0.039 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000744849111 1073567616 /nfs/dbraw/zinc/56/76/16/1073567616.db2.gz HEBBZXBNFWBOOU-UHFFFAOYSA-N 0 0 446.420 -0.719 20 0 IBADRN Cn1c(C(=O)OCc2csc(CS(C)(=O)=O)n2)cc2c1n(C)c(=O)n(C)c2=O ZINC000744851365 1073567673 /nfs/dbraw/zinc/56/76/73/1073567673.db2.gz QESLKOPAGBDQCV-UHFFFAOYSA-N 0 0 426.476 -0.066 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2nc(S(C)(=O)=O)n3ccccc23)cn1 ZINC000744857392 1073568104 /nfs/dbraw/zinc/56/81/04/1073568104.db2.gz XYNWUMOFOZXIHQ-UHFFFAOYSA-N 0 0 427.464 -0.393 20 0 IBADRN Nc1nonc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000744865242 1073568275 /nfs/dbraw/zinc/56/82/75/1073568275.db2.gz DUHCXOSPBVFAAH-UHFFFAOYSA-N 0 0 435.462 -0.170 20 0 IBADRN Nc1nonc1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000744866445 1073568197 /nfs/dbraw/zinc/56/81/97/1073568197.db2.gz MYBKNXVBFQZLEK-UHFFFAOYSA-N 0 0 425.423 -0.854 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3nonc3N)CC2)c1 ZINC000744866511 1073568304 /nfs/dbraw/zinc/56/83/04/1073568304.db2.gz PCVYJILSDKUPHQ-UHFFFAOYSA-N 0 0 437.434 -0.456 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3nonc3N)CC2)cc1 ZINC000744870069 1073568347 /nfs/dbraw/zinc/56/83/47/1073568347.db2.gz QREGWKGRBOVQPQ-UHFFFAOYSA-N 0 0 439.450 -0.260 20 0 IBADRN C[C@H](OC(=O)c1nonc1N)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000744870362 1073568242 /nfs/dbraw/zinc/56/82/42/1073568242.db2.gz VSQJTUMNGQDLMO-JTQLQIEISA-N 0 0 425.423 -0.143 20 0 IBADRN C[C@@H](OC(=O)c1nonc1N)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000744870364 1073568150 /nfs/dbraw/zinc/56/81/50/1073568150.db2.gz VSQJTUMNGQDLMO-SNVBAGLBSA-N 0 0 425.423 -0.143 20 0 IBADRN C[C@H](OC(=O)c1nonc1N)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000744870456 1073568337 /nfs/dbraw/zinc/56/83/37/1073568337.db2.gz WKWHMRUNSRHEMY-JTQLQIEISA-N 0 0 425.423 -0.143 20 0 IBADRN C[C@@H](OC(=O)c1nonc1N)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000744870459 1073568120 /nfs/dbraw/zinc/56/81/20/1073568120.db2.gz WKWHMRUNSRHEMY-SNVBAGLBSA-N 0 0 425.423 -0.143 20 0 IBADRN Nc1nonc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000744870571 1073568292 /nfs/dbraw/zinc/56/82/92/1073568292.db2.gz XFUHJHFBVAQXBP-UHFFFAOYSA-N 0 0 431.377 -0.380 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3nonc3N)CC2)cc1 ZINC000744870635 1073568327 /nfs/dbraw/zinc/56/83/27/1073568327.db2.gz YNPDIWUBVRNTMB-UHFFFAOYSA-N 0 0 437.434 -0.456 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3nonc3N)CC2)cc1 ZINC000744871309 1073568227 /nfs/dbraw/zinc/56/82/27/1073568227.db2.gz TXTQTVUDLYMASP-UHFFFAOYSA-N 0 0 425.423 -0.650 20 0 IBADRN Nc1nonc1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000744871447 1073568315 /nfs/dbraw/zinc/56/83/15/1073568315.db2.gz ZKEKBQNMKFYQCJ-UHFFFAOYSA-N 0 0 425.423 -0.854 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2ccccc2NC(=O)Cn2cnnn2)cn1 ZINC000744872485 1073568214 /nfs/dbraw/zinc/56/82/14/1073568214.db2.gz HWCFFSKJFZBBPN-UHFFFAOYSA-N 0 0 434.438 -0.819 20 0 IBADRN C[C@@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N1CCC(C(N)=O)CC1 ZINC000744873185 1073568286 /nfs/dbraw/zinc/56/82/86/1073568286.db2.gz CTYLLQUCDWHLCI-GFCCVEGCSA-N 0 0 429.437 -0.419 20 0 IBADRN C[C@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N1CCC(C(N)=O)CC1 ZINC000744873186 1073568249 /nfs/dbraw/zinc/56/82/49/1073568249.db2.gz CTYLLQUCDWHLCI-LBPRGKRZSA-N 0 0 429.437 -0.419 20 0 IBADRN C[C@@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N(C)CC(=O)NC1CC1 ZINC000744873573 1073568185 /nfs/dbraw/zinc/56/81/85/1073568185.db2.gz DMGOMOCOQCWUIV-GFCCVEGCSA-N 0 0 429.437 -0.406 20 0 IBADRN C[C@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N(C)CC(=O)NC1CC1 ZINC000744873577 1073568137 /nfs/dbraw/zinc/56/81/37/1073568137.db2.gz DMGOMOCOQCWUIV-LBPRGKRZSA-N 0 0 429.437 -0.406 20 0 IBADRN NS(=O)(=O)CCCOC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC000744873918 1073568818 /nfs/dbraw/zinc/56/88/18/1073568818.db2.gz HDROCBZFKXBBEP-UHFFFAOYSA-N 0 0 426.538 -0.024 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccccc2NC(=O)Cn2cnnn2)CC1 ZINC000744874551 1073568652 /nfs/dbraw/zinc/56/86/52/1073568652.db2.gz JVLSFVTUFWLKKJ-CYBMUJFWSA-N 0 0 429.437 -0.452 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccccc2NC(=O)Cn2cnnn2)CC1 ZINC000744874552 1073568825 /nfs/dbraw/zinc/56/88/25/1073568825.db2.gz JVLSFVTUFWLKKJ-ZDUSSCGKSA-N 0 0 429.437 -0.452 20 0 IBADRN C[C@@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000744875575 1073568679 /nfs/dbraw/zinc/56/86/79/1073568679.db2.gz PILPAAXEWSMRRG-CHWSQXEVSA-N 0 0 429.437 -0.419 20 0 IBADRN C[C@@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000744875576 1073568601 /nfs/dbraw/zinc/56/86/01/1073568601.db2.gz PILPAAXEWSMRRG-OLZOCXBDSA-N 0 0 429.437 -0.419 20 0 IBADRN C[C@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000744875577 1073568708 /nfs/dbraw/zinc/56/87/08/1073568708.db2.gz PILPAAXEWSMRRG-QWHCGFSZSA-N 0 0 429.437 -0.419 20 0 IBADRN C[C@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000744875578 1073568641 /nfs/dbraw/zinc/56/86/41/1073568641.db2.gz PILPAAXEWSMRRG-STQMWFEESA-N 0 0 429.437 -0.419 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC000744875605 1073568849 /nfs/dbraw/zinc/56/88/49/1073568849.db2.gz PUHQQLHLXFJTBL-LLVKDONJSA-N 0 0 448.519 -0.024 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC000744875607 1073568777 /nfs/dbraw/zinc/56/87/77/1073568777.db2.gz PUHQQLHLXFJTBL-NSHDSACASA-N 0 0 448.519 -0.024 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CSc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000744892120 1073568664 /nfs/dbraw/zinc/56/86/64/1073568664.db2.gz LQSPBHZBAJUEGG-UHFFFAOYSA-N 0 0 434.521 -0.319 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000744895252 1073568738 /nfs/dbraw/zinc/56/87/38/1073568738.db2.gz JNNDWLPLZIJOKO-UHFFFAOYSA-N 0 0 448.590 -0.327 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)c1 ZINC000744902137 1073568721 /nfs/dbraw/zinc/56/87/21/1073568721.db2.gz OLFGRTWZNJLQKJ-UHFFFAOYSA-N 0 0 432.462 -0.312 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](OC(=O)Cn2cc(S(N)(=O)=O)cn2)C1=O ZINC000744903549 1073568696 /nfs/dbraw/zinc/56/86/96/1073568696.db2.gz ZVYJKLSIBZPVRF-LLVKDONJSA-N 0 0 428.448 -0.273 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](OC(=O)Cn2cc(S(N)(=O)=O)cn2)C1=O ZINC000744903550 1073568751 /nfs/dbraw/zinc/56/87/51/1073568751.db2.gz ZVYJKLSIBZPVRF-NSHDSACASA-N 0 0 428.448 -0.273 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000744904354 1073568762 /nfs/dbraw/zinc/56/87/62/1073568762.db2.gz DBUBBGNEYWOIEN-UHFFFAOYSA-N 0 0 431.492 -0.025 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CNS(=O)(=O)c2ccc(Cl)s2)cn1 ZINC000744911646 1073568619 /nfs/dbraw/zinc/56/86/19/1073568619.db2.gz VYQSGTLGJFEBHZ-UHFFFAOYSA-N 0 0 442.928 -0.065 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)[C@H]2C[C@@H](O)CN2C(=O)c2ccc(F)cc2)cn1 ZINC000744912816 1073568865 /nfs/dbraw/zinc/56/88/65/1073568865.db2.gz QZKFFUNFGWOOQA-GDBMZVCRSA-N 0 0 440.453 -0.344 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)[C@H]2C[C@H](O)CN2C(=O)c2ccc(F)cc2)cn1 ZINC000744912817 1073568838 /nfs/dbraw/zinc/56/88/38/1073568838.db2.gz QZKFFUNFGWOOQA-GOEBONIOSA-N 0 0 440.453 -0.344 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)[C@@H]2C[C@H](O)CN2C(=O)c2ccc(F)cc2)cn1 ZINC000744912818 1073569250 /nfs/dbraw/zinc/56/92/50/1073569250.db2.gz QZKFFUNFGWOOQA-HOCLYGCPSA-N 0 0 440.453 -0.344 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)[C@@H]2C[C@@H](O)CN2C(=O)c2ccc(F)cc2)cn1 ZINC000744912819 1073569126 /nfs/dbraw/zinc/56/91/26/1073569126.db2.gz QZKFFUNFGWOOQA-ZBFHGGJFSA-N 0 0 440.453 -0.344 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCCN2C(=O)NC3(CCCC3)C2=O)cn1C ZINC000744913568 1073569193 /nfs/dbraw/zinc/56/91/93/1073569193.db2.gz BRLOKZCVNDEUEV-UHFFFAOYSA-N 0 0 427.483 -0.195 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000744914584 1073569218 /nfs/dbraw/zinc/56/92/18/1073569218.db2.gz MTUQJOTWIBUOCW-UHFFFAOYSA-N 0 0 442.454 -0.901 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CCOc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000744922429 1073569291 /nfs/dbraw/zinc/56/92/91/1073569291.db2.gz PVPOWPMPQJYVIU-UHFFFAOYSA-N 0 0 432.480 -0.642 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)cn1 ZINC000744928722 1073568855 /nfs/dbraw/zinc/56/88/55/1073568855.db2.gz WCHAJGAXOFEIMO-UHFFFAOYSA-N 0 0 444.491 -0.750 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CSc2nnnn2CC(F)(F)F)cn1 ZINC000744965755 1073569131 /nfs/dbraw/zinc/56/91/31/1073569131.db2.gz GUWOHMGGLJURQH-UHFFFAOYSA-N 0 0 429.406 -0.417 20 0 IBADRN COc1ccccc1NCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000745011767 1073569181 /nfs/dbraw/zinc/56/91/81/1073569181.db2.gz GVJPDYNWNIUYDL-HNNXBMFYSA-N 0 0 425.507 -0.018 20 0 IBADRN COc1ccccc1NCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000745011769 1073569302 /nfs/dbraw/zinc/56/93/02/1073569302.db2.gz GVJPDYNWNIUYDL-OAHLLOKOSA-N 0 0 425.507 -0.018 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)CN2C(=O)NC(=O)C2(C)C)c1 ZINC000745043563 1073569744 /nfs/dbraw/zinc/56/97/44/1073569744.db2.gz CNHJKUXQMIIGAW-UHFFFAOYSA-N 0 0 426.451 -0.251 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2C(=O)NC(=O)C2(C)C)C1=O ZINC000745043874 1073569662 /nfs/dbraw/zinc/56/96/62/1073569662.db2.gz GMYRZQRNRDQTHN-FQEVSTJZSA-N 0 0 445.432 -0.252 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2C(=O)NC(=O)C2(C)C)C1=O ZINC000745043876 1073569679 /nfs/dbraw/zinc/56/96/79/1073569679.db2.gz GMYRZQRNRDQTHN-HXUWFJFHSA-N 0 0 445.432 -0.252 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC(=O)C1(C)C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000745044908 1073569784 /nfs/dbraw/zinc/56/97/84/1073569784.db2.gz LQCBYXRPOAGIPR-LLVKDONJSA-N 0 0 440.478 -0.745 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)NC(=O)C1(C)C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000745044909 1073569593 /nfs/dbraw/zinc/56/95/93/1073569593.db2.gz LQCBYXRPOAGIPR-NSHDSACASA-N 0 0 440.478 -0.745 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)CN2C(=O)NC(=O)C2(C)C)ccc1C ZINC000745045077 1073569643 /nfs/dbraw/zinc/56/96/43/1073569643.db2.gz NLOYSBWROBOTAI-UHFFFAOYSA-N 0 0 426.451 -0.285 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000745045481 1073569764 /nfs/dbraw/zinc/56/97/64/1073569764.db2.gz PKYJUFGOBFQMIT-CHWSQXEVSA-N 0 0 445.494 -0.945 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000745045482 1073569615 /nfs/dbraw/zinc/56/96/15/1073569615.db2.gz PKYJUFGOBFQMIT-OLZOCXBDSA-N 0 0 445.494 -0.945 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000745045483 1073569773 /nfs/dbraw/zinc/56/97/73/1073569773.db2.gz PKYJUFGOBFQMIT-QWHCGFSZSA-N 0 0 445.494 -0.945 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000745045484 1073569722 /nfs/dbraw/zinc/56/97/22/1073569722.db2.gz PKYJUFGOBFQMIT-STQMWFEESA-N 0 0 445.494 -0.945 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000745045914 1073569687 /nfs/dbraw/zinc/56/96/87/1073569687.db2.gz SDYDMQAQKTUNAG-UHFFFAOYSA-N 0 0 446.460 -0.351 20 0 IBADRN CC(COC(=O)CN1C(=O)NC(=O)C1(C)C)COC(=O)CN1C(=O)NC(=O)C1(C)C ZINC000745048829 1073569274 /nfs/dbraw/zinc/56/92/74/1073569274.db2.gz DDPUCRSFZCWQSC-UHFFFAOYSA-N 0 0 426.426 -0.630 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CN1C(=O)NC(=O)C1(C)C ZINC000745049913 1073569088 /nfs/dbraw/zinc/56/90/88/1073569088.db2.gz OSPRYSJRQTXVNW-JTQLQIEISA-N 0 0 438.462 -0.515 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CN1C(=O)NC(=O)C1(C)C ZINC000745049914 1073569711 /nfs/dbraw/zinc/56/97/11/1073569711.db2.gz OSPRYSJRQTXVNW-SNVBAGLBSA-N 0 0 438.462 -0.515 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)CN2C(=O)NC(=O)C2(C)C)c1C ZINC000745050583 1073569625 /nfs/dbraw/zinc/56/96/25/1073569625.db2.gz MEMOZXFHKMRTLX-UHFFFAOYSA-N 0 0 426.451 -0.237 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)OC(=O)CN1C(=O)NC(=O)C1(C)C)c1ccc(S(N)(=O)=O)cc1 ZINC000745051291 1073569636 /nfs/dbraw/zinc/56/96/36/1073569636.db2.gz YVELCTZOEGZUNT-GHMZBOCLSA-N 0 0 440.478 -0.227 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CN1C(=O)NC(=O)C1(C)C)c1ccc(S(N)(=O)=O)cc1 ZINC000745051292 1073569671 /nfs/dbraw/zinc/56/96/71/1073569671.db2.gz YVELCTZOEGZUNT-MNOVXSKESA-N 0 0 440.478 -0.227 20 0 IBADRN C[C@H](NC(=O)[C@H](C)OC(=O)CN1C(=O)NC(=O)C1(C)C)c1ccc(S(N)(=O)=O)cc1 ZINC000745051293 1073569756 /nfs/dbraw/zinc/56/97/56/1073569756.db2.gz YVELCTZOEGZUNT-QWRGUYRKSA-N 0 0 440.478 -0.227 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC(=O)C1(C)C)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000745051294 1073569733 /nfs/dbraw/zinc/56/97/33/1073569733.db2.gz YVELCTZOEGZUNT-WDEREUQCSA-N 0 0 440.478 -0.227 20 0 IBADRN Nc1ccccc1-n1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000745060724 1073570291 /nfs/dbraw/zinc/57/02/91/1073570291.db2.gz KFBYLZOTYZATRZ-HNNXBMFYSA-N 0 0 447.517 -0.057 20 0 IBADRN Nc1ccccc1-n1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000745060728 1073570151 /nfs/dbraw/zinc/57/01/51/1073570151.db2.gz KFBYLZOTYZATRZ-OAHLLOKOSA-N 0 0 447.517 -0.057 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)C2=O)n(C)n1 ZINC000745069781 1073569604 /nfs/dbraw/zinc/56/96/04/1073569604.db2.gz HREPPSIOQUJBPO-CYBMUJFWSA-N 0 0 426.433 -0.055 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)c3cc(C)c4c(=O)n(C)c(=O)n(C)c4n3)C2=O)n(C)n1 ZINC000745069782 1073569655 /nfs/dbraw/zinc/56/96/55/1073569655.db2.gz HREPPSIOQUJBPO-ZDUSSCGKSA-N 0 0 426.433 -0.055 20 0 IBADRN Cc1cc(C(=O)OCCN2C(=O)NC3(CCCC3)C2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000745070696 1073570084 /nfs/dbraw/zinc/57/00/84/1073570084.db2.gz WSLHCERJYDGXHK-UHFFFAOYSA-N 0 0 429.433 -0.038 20 0 IBADRN Cc1nnnn1-c1cc(N)ccc1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000745102947 1073570208 /nfs/dbraw/zinc/57/02/08/1073570208.db2.gz USKRTLITOAKKBU-UHFFFAOYSA-N 0 0 425.471 -0.893 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(C[C@H]2CC(=O)NC2=O)cc1)S(C)(=O)=O ZINC000745106325 1073570280 /nfs/dbraw/zinc/57/02/80/1073570280.db2.gz HFKMZYHLYKFEAH-HNNXBMFYSA-N 0 0 439.490 -0.554 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1)S(C)(=O)=O ZINC000745106326 1073570140 /nfs/dbraw/zinc/57/01/40/1073570140.db2.gz HFKMZYHLYKFEAH-OAHLLOKOSA-N 0 0 439.490 -0.554 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC000745106611 1073570115 /nfs/dbraw/zinc/57/01/15/1073570115.db2.gz LASBKSQZASIMTB-KRWDZBQOSA-N 0 0 444.488 -0.719 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC000745106612 1073570167 /nfs/dbraw/zinc/57/01/67/1073570167.db2.gz LASBKSQZASIMTB-QGZVFWFLSA-N 0 0 444.488 -0.719 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)OCc2nc(CS(C)(=O)=O)no2)C(=O)C1 ZINC000745110426 1073570101 /nfs/dbraw/zinc/57/01/01/1073570101.db2.gz JBXHPDMVLMKMLH-UHFFFAOYSA-N 0 0 432.455 -0.263 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)cn1 ZINC000745112584 1073570065 /nfs/dbraw/zinc/57/00/65/1073570065.db2.gz VIKSIDOBSOULGY-UHFFFAOYSA-N 0 0 445.498 -0.679 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000745138652 1073570052 /nfs/dbraw/zinc/57/00/52/1073570052.db2.gz LXPDQESNPGWRDS-UHFFFAOYSA-N 0 0 449.489 -0.270 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000745140726 1073570949 /nfs/dbraw/zinc/57/09/49/1073570949.db2.gz IEEJXWMDNZPROO-UHFFFAOYSA-N 0 0 430.508 -0.005 20 0 IBADRN CC(=O)N[C@H](CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1ccc(F)cc1F ZINC000745140824 1073570034 /nfs/dbraw/zinc/57/00/34/1073570034.db2.gz JCXDZENIOJHYEC-CYBMUJFWSA-N 0 0 438.387 -0.062 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c1ccc(F)cc1F ZINC000745140825 1073570130 /nfs/dbraw/zinc/57/01/30/1073570130.db2.gz JCXDZENIOJHYEC-ZDUSSCGKSA-N 0 0 438.387 -0.062 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000745141345 1073570559 /nfs/dbraw/zinc/57/05/59/1073570559.db2.gz RCLCGVGRVFEPPW-UHFFFAOYSA-N 0 0 438.462 -0.855 20 0 IBADRN Nc1ncnc2c1ncn2CCCOC(=O)c1ccc(S(=O)(=O)NCCO)s1 ZINC000745144422 1073570792 /nfs/dbraw/zinc/57/07/92/1073570792.db2.gz AGPBXOPATLZFIV-UHFFFAOYSA-N 0 0 426.480 -0.012 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)NC(=O)NCC(F)(F)F ZINC000745145279 1073570658 /nfs/dbraw/zinc/57/06/58/1073570658.db2.gz JJXSLHWIPZAMGL-SSDOTTSWSA-N 0 0 447.413 -0.048 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)NC(=O)NCC(F)(F)F ZINC000745145281 1073570959 /nfs/dbraw/zinc/57/09/59/1073570959.db2.gz JJXSLHWIPZAMGL-ZETCQYMHSA-N 0 0 447.413 -0.048 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)NCCO)s1)NC(=O)NCc1ccco1 ZINC000745145313 1073570975 /nfs/dbraw/zinc/57/09/75/1073570975.db2.gz KBQXZURLJAFXPK-UHFFFAOYSA-N 0 0 431.448 -0.206 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)C1 ZINC000745145458 1073570531 /nfs/dbraw/zinc/57/05/31/1073570531.db2.gz LWSRWFKNARNSDC-GFCCVEGCSA-N 0 0 433.508 -0.697 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)C1 ZINC000745145464 1073570502 /nfs/dbraw/zinc/57/05/02/1073570502.db2.gz LWSRWFKNARNSDC-LBPRGKRZSA-N 0 0 433.508 -0.697 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)C1 ZINC000745145761 1073570686 /nfs/dbraw/zinc/57/06/86/1073570686.db2.gz NBFUOSPFEWMZRC-GFCCVEGCSA-N 0 0 448.519 -0.023 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)C1 ZINC000745145762 1073570928 /nfs/dbraw/zinc/57/09/28/1073570928.db2.gz NBFUOSPFEWMZRC-LBPRGKRZSA-N 0 0 448.519 -0.023 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2ccc(S(=O)(=O)NCCO)s2)NC(=O)N[C@@H]1C ZINC000745146923 1073570744 /nfs/dbraw/zinc/57/07/44/1073570744.db2.gz VGHBYKUHFXGWTG-SECBINFHSA-N 0 0 447.491 -0.306 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2ccc(S(=O)(=O)NCCO)s2)NC(=O)N[C@H]1C ZINC000745146924 1073570820 /nfs/dbraw/zinc/57/08/20/1073570820.db2.gz VGHBYKUHFXGWTG-VIFPVBQESA-N 0 0 447.491 -0.306 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)NCCO)s2)CC1 ZINC000745148333 1073570768 /nfs/dbraw/zinc/57/07/68/1073570768.db2.gz GLJGEHAYRZFZJC-LLVKDONJSA-N 0 0 448.519 -0.024 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)NCCO)s2)CC1 ZINC000745148334 1073570715 /nfs/dbraw/zinc/57/07/15/1073570715.db2.gz GLJGEHAYRZFZJC-NSHDSACASA-N 0 0 448.519 -0.024 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)N1CCCCC1 ZINC000745150988 1073570577 /nfs/dbraw/zinc/57/05/77/1073570577.db2.gz BITPOMDVWNGQOT-GFCCVEGCSA-N 0 0 447.535 -0.307 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)N1CCCCC1 ZINC000745150989 1073570905 /nfs/dbraw/zinc/57/09/05/1073570905.db2.gz BITPOMDVWNGQOT-LBPRGKRZSA-N 0 0 447.535 -0.307 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)CC1 ZINC000745150996 1073571512 /nfs/dbraw/zinc/57/15/12/1073571512.db2.gz BPJLVCWKHORCQY-UHFFFAOYSA-N 0 0 449.507 -0.524 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)NCCO)s2)CC1 ZINC000745151094 1073571464 /nfs/dbraw/zinc/57/14/64/1073571464.db2.gz DEQIFXKGUZKYRI-LLVKDONJSA-N 0 0 433.508 -0.745 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)NCCO)s2)CC1 ZINC000745151097 1073571576 /nfs/dbraw/zinc/57/15/76/1073571576.db2.gz DEQIFXKGUZKYRI-NSHDSACASA-N 0 0 433.508 -0.745 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)NCCO)s1)NC(=O)NCC(F)(F)F ZINC000745151356 1073571415 /nfs/dbraw/zinc/57/14/15/1073571415.db2.gz HKZRWQSUNDBQAJ-UHFFFAOYSA-N 0 0 433.386 -0.436 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)NCCO)s1)NC1CCC2(CC1)OCCO2 ZINC000745151444 1073571302 /nfs/dbraw/zinc/57/13/02/1073571302.db2.gz KMVXSCFQZVDVHD-UHFFFAOYSA-N 0 0 448.519 -0.023 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)NCCO)s1)N1CCN(C(=O)C2CC2)CC1 ZINC000745151798 1073570871 /nfs/dbraw/zinc/57/08/71/1073570871.db2.gz PVZPBKHPJFUAEJ-UHFFFAOYSA-N 0 0 445.519 -0.744 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)cc1 ZINC000745151978 1073570838 /nfs/dbraw/zinc/57/08/38/1073570838.db2.gz SVWZXSIJULPBPG-UHFFFAOYSA-N 0 0 448.500 -0.294 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)CC1 ZINC000745151992 1073571495 /nfs/dbraw/zinc/57/14/95/1073571495.db2.gz UOUMCLOSERCVHR-UHFFFAOYSA-N 0 0 448.519 -0.023 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)CC1 ZINC000745152051 1073571603 /nfs/dbraw/zinc/57/16/03/1073571603.db2.gz WNMUZZDJIAGDMB-UHFFFAOYSA-N 0 0 434.492 -0.413 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000745152158 1073571552 /nfs/dbraw/zinc/57/15/52/1073571552.db2.gz WSVVYILBVTVBTP-GHMZBOCLSA-N 0 0 433.508 -0.712 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000745152159 1073571241 /nfs/dbraw/zinc/57/12/41/1073571241.db2.gz WSVVYILBVTVBTP-MNOVXSKESA-N 0 0 433.508 -0.712 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000745152160 1073571281 /nfs/dbraw/zinc/57/12/81/1073571281.db2.gz WSVVYILBVTVBTP-QWRGUYRKSA-N 0 0 433.508 -0.712 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000745152161 1073571435 /nfs/dbraw/zinc/57/14/35/1073571435.db2.gz WSVVYILBVTVBTP-WDEREUQCSA-N 0 0 433.508 -0.712 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)N(C)CC(=O)NC1CC1 ZINC000745152165 1073571360 /nfs/dbraw/zinc/57/13/60/1073571360.db2.gz WXWJTYJGPSRMBA-JTQLQIEISA-N 0 0 433.508 -0.699 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NCCO)s1)C(=O)N(C)CC(=O)NC1CC1 ZINC000745152166 1073571320 /nfs/dbraw/zinc/57/13/20/1073571320.db2.gz WXWJTYJGPSRMBA-SNVBAGLBSA-N 0 0 433.508 -0.699 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)NCCO)s1)N1CCC2(CC1)OCCO2 ZINC000745152199 1073571341 /nfs/dbraw/zinc/57/13/41/1073571341.db2.gz ZAKMOPYLNOALQM-UHFFFAOYSA-N 0 0 434.492 -0.459 20 0 IBADRN Cc1c(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)nnn1C ZINC000745161587 1073571383 /nfs/dbraw/zinc/57/13/83/1073571383.db2.gz CEJCKTJLWDLYHP-UHFFFAOYSA-N 0 0 437.478 -0.382 20 0 IBADRN Cc1c(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)nnn1C ZINC000745162925 1073571456 /nfs/dbraw/zinc/57/14/56/1073571456.db2.gz ITHWHRVUDWTTFE-UHFFFAOYSA-N 0 0 437.478 -0.382 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3nnn(C)c3C)CC2)cc1 ZINC000745163158 1073571481 /nfs/dbraw/zinc/57/14/81/1073571481.db2.gz JDHPRCOYUZAYNA-UHFFFAOYSA-N 0 0 437.478 -0.178 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)nnn1C ZINC000745163729 1073571402 /nfs/dbraw/zinc/57/14/02/1073571402.db2.gz NQJNRVHRCSSHTG-UHFFFAOYSA-N 0 0 425.442 -0.047 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)nnn1C ZINC000745166798 1073572008 /nfs/dbraw/zinc/57/20/08/1073572008.db2.gz CPLXIJJLACFREI-UHFFFAOYSA-N 0 0 425.442 -0.047 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)nnn1C ZINC000745166816 1073572017 /nfs/dbraw/zinc/57/20/17/1073572017.db2.gz DEVSIFZQBFFSRQ-INIZCTEOSA-N 0 0 429.433 -0.209 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)nnn1C ZINC000745166817 1073571897 /nfs/dbraw/zinc/57/18/97/1073571897.db2.gz DEVSIFZQBFFSRQ-MRXNPFEDSA-N 0 0 429.433 -0.209 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2nnn(C)c2C)CC1 ZINC000745166819 1073571873 /nfs/dbraw/zinc/57/18/73/1073571873.db2.gz DHXCWMAHLHLUHM-UHFFFAOYSA-N 0 0 426.455 -0.582 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)nnn1C ZINC000745167825 1073571539 /nfs/dbraw/zinc/57/15/39/1073571539.db2.gz BRLWJHCHRKPXKK-UHFFFAOYSA-N 0 0 425.442 -0.047 20 0 IBADRN COCCN(C(=O)COC(=O)c1nnn(C)c1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000745168176 1073572052 /nfs/dbraw/zinc/57/20/52/1073572052.db2.gz GZPATRXOJKAXHL-UHFFFAOYSA-N 0 0 437.457 -0.540 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)c2ccc(S(N)(=O)=O)s2)cc1 ZINC000745241897 1073572074 /nfs/dbraw/zinc/57/20/74/1073572074.db2.gz DRVSZISTBOQOIB-UHFFFAOYSA-N 0 0 427.460 -0.267 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1ccc(S(N)(=O)=O)s1)S(C)(=O)=O ZINC000745241910 1073571940 /nfs/dbraw/zinc/57/19/40/1073571940.db2.gz FRZPGQQGPJWDPF-UHFFFAOYSA-N 0 0 441.553 -0.271 20 0 IBADRN CCc1nc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nn1-c1ccccc1F ZINC000745242801 1073571995 /nfs/dbraw/zinc/57/19/95/1073571995.db2.gz HSLCAQSRRCTRIW-UHFFFAOYSA-N 0 0 430.396 -0.012 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCc2nc(N)nc(N(C)C)n2)s1 ZINC000745244245 1073572489 /nfs/dbraw/zinc/57/24/89/1073572489.db2.gz FBOYYZJWOIOBRT-UHFFFAOYSA-N 0 0 443.511 -0.761 20 0 IBADRN CCS(=O)(=O)NCCOC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000745244464 1073572417 /nfs/dbraw/zinc/57/24/17/1073572417.db2.gz IPOGYTQKQURYJJ-UHFFFAOYSA-N 0 0 427.526 -0.855 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000745244579 1073572526 /nfs/dbraw/zinc/57/25/26/1073572526.db2.gz LGGOSOKJYXBHFC-SECBINFHSA-N 0 0 434.496 -0.560 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000745244580 1073572407 /nfs/dbraw/zinc/57/24/07/1073572407.db2.gz LGGOSOKJYXBHFC-VIFPVBQESA-N 0 0 434.496 -0.560 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCNC2=O)s1 ZINC000745244731 1073571950 /nfs/dbraw/zinc/57/19/50/1073571950.db2.gz MIGFOJSHYNBEEU-SECBINFHSA-N 0 0 432.480 -0.854 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCNC2=O)s1 ZINC000745244734 1073571986 /nfs/dbraw/zinc/57/19/86/1073571986.db2.gz MIGFOJSHYNBEEU-VIFPVBQESA-N 0 0 432.480 -0.854 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2C[C@H](C)O[C@@H](C)C2)s1 ZINC000745244803 1073572573 /nfs/dbraw/zinc/57/25/73/1073572573.db2.gz NZCPMVVPQKIGTO-RYUDHWBXSA-N 0 0 447.535 -0.159 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)s1 ZINC000745244805 1073572437 /nfs/dbraw/zinc/57/24/37/1073572437.db2.gz NZCPMVVPQKIGTO-TXEJJXNPSA-N 0 0 447.535 -0.159 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2C[C@@H](C)O[C@H](C)C2)s1 ZINC000745244806 1073572358 /nfs/dbraw/zinc/57/23/58/1073572358.db2.gz NZCPMVVPQKIGTO-VXGBXAGGSA-N 0 0 447.535 -0.159 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000745244897 1073572036 /nfs/dbraw/zinc/57/20/36/1073572036.db2.gz QPOYOAZAKDDLMO-UHFFFAOYSA-N 0 0 434.496 -0.559 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(F)(F)F)s1 ZINC000745245034 1073572078 /nfs/dbraw/zinc/57/20/78/1073572078.db2.gz SJQVFERAJLYGNX-UHFFFAOYSA-N 0 0 431.414 -0.116 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC[C@@H]2CCCO2)s1 ZINC000745245537 1073572455 /nfs/dbraw/zinc/57/24/55/1073572455.db2.gz XENFSRCSZCKDSC-AAEUAGOBSA-N 0 0 447.535 -0.111 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC[C@H]2CCCO2)s1 ZINC000745245541 1073572512 /nfs/dbraw/zinc/57/25/12/1073572512.db2.gz XENFSRCSZCKDSC-DGCLKSJQSA-N 0 0 447.535 -0.111 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC[C@H]2CCCO2)s1 ZINC000745245543 1073572587 /nfs/dbraw/zinc/57/25/87/1073572587.db2.gz XENFSRCSZCKDSC-WCQYABFASA-N 0 0 447.535 -0.111 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC[C@@H]2CCCO2)s1 ZINC000745245544 1073572503 /nfs/dbraw/zinc/57/25/03/1073572503.db2.gz XENFSRCSZCKDSC-YPMHNXCESA-N 0 0 447.535 -0.111 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@H](C)C2)s1 ZINC000745247700 1073572562 /nfs/dbraw/zinc/57/25/62/1073572562.db2.gz AECKHNUTSQQUES-LLVKDONJSA-N 0 0 433.508 -0.547 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@@H](C)C2)s1 ZINC000745247701 1073572551 /nfs/dbraw/zinc/57/25/51/1073572551.db2.gz AECKHNUTSQQUES-NSHDSACASA-N 0 0 433.508 -0.547 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@H]2CCCO2)s1 ZINC000745247709 1073572540 /nfs/dbraw/zinc/57/25/40/1073572540.db2.gz APVNKUAZNFBCHF-GFCCVEGCSA-N 0 0 433.508 -0.499 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@@H]2CCCO2)s1 ZINC000745247710 1073572344 /nfs/dbraw/zinc/57/23/44/1073572344.db2.gz APVNKUAZNFBCHF-LBPRGKRZSA-N 0 0 433.508 -0.499 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cc(C)nn2C)s1 ZINC000745247894 1073572444 /nfs/dbraw/zinc/57/24/44/1073572444.db2.gz CPNUNTGCZMXSAB-UHFFFAOYSA-N 0 0 443.507 -0.114 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NC(C)C)s1 ZINC000745248143 1073572374 /nfs/dbraw/zinc/57/23/74/1073572374.db2.gz GIELSSRFCXKIKG-JTQLQIEISA-N 0 0 448.523 -0.172 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NC(C)C)s1 ZINC000745248144 1073572396 /nfs/dbraw/zinc/57/23/96/1073572396.db2.gz GIELSSRFCXKIKG-SNVBAGLBSA-N 0 0 448.523 -0.172 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCCN2C(=O)NC(C)(C)C2=O)s1 ZINC000745248766 1073572386 /nfs/dbraw/zinc/57/23/86/1073572386.db2.gz JGUUBBMZSJWPAX-UHFFFAOYSA-N 0 0 446.507 -0.464 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)NCC(C)C)s1 ZINC000745250125 1073572468 /nfs/dbraw/zinc/57/24/68/1073572468.db2.gz PBGHAHROTZYWTF-UHFFFAOYSA-N 0 0 448.523 -0.313 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C2CCN(C(=O)c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000745250573 1073573064 /nfs/dbraw/zinc/57/30/64/1073573064.db2.gz VSHMZDPRVMETAX-UHFFFAOYSA-N 0 0 428.445 -0.056 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)NC2CC2)s1 ZINC000745251183 1073573156 /nfs/dbraw/zinc/57/31/56/1073573156.db2.gz SVPXDOGXKXLXDF-UHFFFAOYSA-N 0 0 432.480 -0.806 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NC2CC2)s1 ZINC000745251705 1073572950 /nfs/dbraw/zinc/57/29/50/1073572950.db2.gz XCXDSLWZOBNBOS-SECBINFHSA-N 0 0 446.507 -0.418 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NC2CC2)s1 ZINC000745251707 1073573107 /nfs/dbraw/zinc/57/31/07/1073573107.db2.gz XCXDSLWZOBNBOS-VIFPVBQESA-N 0 0 446.507 -0.418 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)NC(C)(C)C)s1 ZINC000745251993 1073572923 /nfs/dbraw/zinc/57/29/23/1073572923.db2.gz YNNATPDGNWLKGZ-UHFFFAOYSA-N 0 0 448.523 -0.170 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1ccc(S(N)(=O)=O)s1)c(=O)n2C ZINC000745252492 1073571884 /nfs/dbraw/zinc/57/18/84/1073571884.db2.gz IWFRSMVEYBZJJW-UHFFFAOYSA-N 0 0 427.464 -0.610 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)s1 ZINC000745259425 1073573141 /nfs/dbraw/zinc/57/31/41/1073573141.db2.gz NUAAIXZKFJFNSY-UHFFFAOYSA-N 0 0 445.500 -0.211 20 0 IBADRN CN(CCCC(=O)OCc1cc(=O)n(C)c(=O)n1C)C1=NS(=O)(=O)c2ccccc21 ZINC000745308760 1073573045 /nfs/dbraw/zinc/57/30/45/1073573045.db2.gz XAQUALYAJPEXGY-UHFFFAOYSA-N 0 0 434.474 -0.012 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N(C)C ZINC000745308937 1073572980 /nfs/dbraw/zinc/57/29/80/1073572980.db2.gz LUEOZLGKXDMRNX-CYBMUJFWSA-N 0 0 438.506 -0.016 20 0 IBADRN C[C@H](NC(=O)COC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N(C)C ZINC000745308939 1073572970 /nfs/dbraw/zinc/57/29/70/1073572970.db2.gz LUEOZLGKXDMRNX-ZDUSSCGKSA-N 0 0 438.506 -0.016 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000745314563 1073573096 /nfs/dbraw/zinc/57/30/96/1073573096.db2.gz AKRFVKIEXYURKC-GOSISDBHSA-N 0 0 430.479 -0.531 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000745314566 1073572910 /nfs/dbraw/zinc/57/29/10/1073572910.db2.gz AKRFVKIEXYURKC-SFHVURJKSA-N 0 0 430.479 -0.531 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000745315943 1073573015 /nfs/dbraw/zinc/57/30/15/1073573015.db2.gz AEGBVOQCOZQSMU-IBGZPJMESA-N 0 0 442.490 -0.337 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000745315944 1073573079 /nfs/dbraw/zinc/57/30/79/1073573079.db2.gz AEGBVOQCOZQSMU-LJQANCHMSA-N 0 0 442.490 -0.337 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000745316670 1073573603 /nfs/dbraw/zinc/57/36/03/1073573603.db2.gz QIHASDQBKBQLOQ-GOSISDBHSA-N 0 0 430.479 -0.105 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000745316675 1073573476 /nfs/dbraw/zinc/57/34/76/1073573476.db2.gz QIHASDQBKBQLOQ-SFHVURJKSA-N 0 0 430.479 -0.105 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000745317505 1073573572 /nfs/dbraw/zinc/57/35/72/1073573572.db2.gz VGIMYRJXPQLDFN-GOSISDBHSA-N 0 0 430.479 -0.736 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000745317506 1073573551 /nfs/dbraw/zinc/57/35/51/1073573551.db2.gz VGIMYRJXPQLDFN-SFHVURJKSA-N 0 0 430.479 -0.736 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000745317877 1073573501 /nfs/dbraw/zinc/57/35/01/1073573501.db2.gz LSDMNPWDDSYTFN-GOSISDBHSA-N 0 0 430.479 -0.736 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000745317879 1073573542 /nfs/dbraw/zinc/57/35/42/1073573542.db2.gz LSDMNPWDDSYTFN-SFHVURJKSA-N 0 0 430.479 -0.736 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000745318337 1073573510 /nfs/dbraw/zinc/57/35/10/1073573510.db2.gz PAEOVMBMXWNRRH-GOSISDBHSA-N 0 0 446.478 -0.405 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000745318338 1073573486 /nfs/dbraw/zinc/57/34/86/1073573486.db2.gz PAEOVMBMXWNRRH-SFHVURJKSA-N 0 0 446.478 -0.405 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000745318576 1073573536 /nfs/dbraw/zinc/57/35/36/1073573536.db2.gz PTBFLRYPKRTCBG-FQEVSTJZSA-N 0 0 440.518 -0.051 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000745318577 1073573518 /nfs/dbraw/zinc/57/35/18/1073573518.db2.gz PTBFLRYPKRTCBG-HXUWFJFHSA-N 0 0 440.518 -0.051 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@](C)(O)COC)CC2)cc1 ZINC000745319455 1073573595 /nfs/dbraw/zinc/57/35/95/1073573595.db2.gz RSINDHIYCDMWNM-IBGZPJMESA-N 0 0 444.506 -0.141 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@](C)(O)COC)CC2)cc1 ZINC000745319456 1073573564 /nfs/dbraw/zinc/57/35/64/1073573564.db2.gz RSINDHIYCDMWNM-LJQANCHMSA-N 0 0 444.506 -0.141 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000745320333 1073573469 /nfs/dbraw/zinc/57/34/69/1073573469.db2.gz BVDAQAIHLWAABR-KRWDZBQOSA-N 0 0 436.433 -0.262 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000745320336 1073573527 /nfs/dbraw/zinc/57/35/27/1073573527.db2.gz BVDAQAIHLWAABR-QGZVFWFLSA-N 0 0 436.433 -0.262 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000745320556 1073573491 /nfs/dbraw/zinc/57/34/91/1073573491.db2.gz DQJUYQMKYJYQAG-IBGZPJMESA-N 0 0 442.490 -0.337 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000745320557 1073573634 /nfs/dbraw/zinc/57/36/34/1073573634.db2.gz DQJUYQMKYJYQAG-LJQANCHMSA-N 0 0 442.490 -0.337 20 0 IBADRN COC[C@](C)(O)C(=O)O[C@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000745321257 1073574166 /nfs/dbraw/zinc/57/41/66/1073574166.db2.gz IPMQPMJVSYZIPV-ACJLOTCBSA-N 0 0 430.479 -0.025 20 0 IBADRN COC[C@@](C)(O)C(=O)O[C@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000745321259 1073574092 /nfs/dbraw/zinc/57/40/92/1073574092.db2.gz IPMQPMJVSYZIPV-FZKQIMNGSA-N 0 0 430.479 -0.025 20 0 IBADRN COC[C@@](C)(O)C(=O)O[C@@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000745321261 1073574132 /nfs/dbraw/zinc/57/41/32/1073574132.db2.gz IPMQPMJVSYZIPV-SCLBCKFNSA-N 0 0 430.479 -0.025 20 0 IBADRN COC[C@](C)(O)C(=O)O[C@@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000745321263 1073574018 /nfs/dbraw/zinc/57/40/18/1073574018.db2.gz IPMQPMJVSYZIPV-UGSOOPFHSA-N 0 0 430.479 -0.025 20 0 IBADRN CCN(C(=O)COC(=O)[C@@](C)(O)COC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000745321592 1073574080 /nfs/dbraw/zinc/57/40/80/1073574080.db2.gz KKIYTVVBWFFRHX-FQEVSTJZSA-N 0 0 434.449 -0.127 20 0 IBADRN CCN(C(=O)COC(=O)[C@](C)(O)COC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000745321593 1073574027 /nfs/dbraw/zinc/57/40/27/1073574027.db2.gz KKIYTVVBWFFRHX-HXUWFJFHSA-N 0 0 434.449 -0.127 20 0 IBADRN COC[C@@](C)(O)C(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000745321820 1073574201 /nfs/dbraw/zinc/57/42/01/1073574201.db2.gz NVCOEXSGQPSSLH-GOSISDBHSA-N 0 0 446.478 -0.405 20 0 IBADRN COC[C@](C)(O)C(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000745321822 1073574148 /nfs/dbraw/zinc/57/41/48/1073574148.db2.gz NVCOEXSGQPSSLH-SFHVURJKSA-N 0 0 446.478 -0.405 20 0 IBADRN COC[C@](C)(O)C(=O)O[C@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000745322355 1073574215 /nfs/dbraw/zinc/57/42/15/1073574215.db2.gz UHOYLEUAXBKOBP-ACJLOTCBSA-N 0 0 430.479 -0.025 20 0 IBADRN COC[C@@](C)(O)C(=O)O[C@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000745322356 1073574178 /nfs/dbraw/zinc/57/41/78/1073574178.db2.gz UHOYLEUAXBKOBP-FZKQIMNGSA-N 0 0 430.479 -0.025 20 0 IBADRN COC[C@@](C)(O)C(=O)O[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000745322357 1073574186 /nfs/dbraw/zinc/57/41/86/1073574186.db2.gz UHOYLEUAXBKOBP-SCLBCKFNSA-N 0 0 430.479 -0.025 20 0 IBADRN COC[C@](C)(O)C(=O)O[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000745322358 1073574118 /nfs/dbraw/zinc/57/41/18/1073574118.db2.gz UHOYLEUAXBKOBP-UGSOOPFHSA-N 0 0 430.479 -0.025 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC[C@H]1O)N1CCN(C(=O)COC(=O)[C@@H]2CCC[C@@H]2O)CC1 ZINC000745327235 1073574058 /nfs/dbraw/zinc/57/40/58/1073574058.db2.gz GBIDWIXSEGSACT-FPCVCCKLSA-N 0 0 426.466 -0.934 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC[C@@H]1O)N1CCN(C(=O)COC(=O)[C@H]2CCC[C@@H]2O)CC1 ZINC000745327239 1073574103 /nfs/dbraw/zinc/57/41/03/1073574103.db2.gz GBIDWIXSEGSACT-FZKCQIBNSA-N 0 0 426.466 -0.934 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC[C@@H]1O)N1CCN(C(=O)COC(=O)[C@H]2CCC[C@H]2O)CC1 ZINC000745327240 1073574070 /nfs/dbraw/zinc/57/40/70/1073574070.db2.gz GBIDWIXSEGSACT-SYMSYNOKSA-N 0 0 426.466 -0.934 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC[C@@H]1O)N1CCN(C(=O)COC(=O)[C@@H]2CCC[C@@H]2O)CC1 ZINC000745327241 1073574037 /nfs/dbraw/zinc/57/40/37/1073574037.db2.gz GBIDWIXSEGSACT-WCVJEAGWSA-N 0 0 426.466 -0.934 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1CCC[C@H]1O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000745335752 1073573162 /nfs/dbraw/zinc/57/31/62/1073573162.db2.gz FIMLRWNXXPQHRW-CHWSQXEVSA-N 0 0 426.470 -0.129 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1CCC[C@@H]1O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000745335753 1073572891 /nfs/dbraw/zinc/57/28/91/1073572891.db2.gz FIMLRWNXXPQHRW-OLZOCXBDSA-N 0 0 426.470 -0.129 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1CCC[C@H]1O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000745335754 1073572993 /nfs/dbraw/zinc/57/29/93/1073572993.db2.gz FIMLRWNXXPQHRW-QWHCGFSZSA-N 0 0 426.470 -0.129 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1CCC[C@@H]1O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000745335755 1073573035 /nfs/dbraw/zinc/57/30/35/1073573035.db2.gz FIMLRWNXXPQHRW-STQMWFEESA-N 0 0 426.470 -0.129 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000745353227 1073574656 /nfs/dbraw/zinc/57/46/56/1073574656.db2.gz GXOAOLKTPKYTST-DOMZBBRYSA-N 0 0 443.526 -0.626 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000745353228 1073574689 /nfs/dbraw/zinc/57/46/89/1073574689.db2.gz GXOAOLKTPKYTST-IUODEOHRSA-N 0 0 443.526 -0.626 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000745353229 1073574547 /nfs/dbraw/zinc/57/45/47/1073574547.db2.gz GXOAOLKTPKYTST-SWLSCSKDSA-N 0 0 443.526 -0.626 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC000745353230 1073574708 /nfs/dbraw/zinc/57/47/08/1073574708.db2.gz GXOAOLKTPKYTST-WFASDCNBSA-N 0 0 443.526 -0.626 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000745353684 1073574717 /nfs/dbraw/zinc/57/47/17/1073574717.db2.gz OLDLSOFQIBHEPS-GXSJLCMTSA-N 0 0 433.487 -0.892 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000745353689 1073574564 /nfs/dbraw/zinc/57/45/64/1073574564.db2.gz OLDLSOFQIBHEPS-KOLCDFICSA-N 0 0 433.487 -0.892 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000745353691 1073574699 /nfs/dbraw/zinc/57/46/99/1073574699.db2.gz OLDLSOFQIBHEPS-MWLCHTKSSA-N 0 0 433.487 -0.892 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000745353693 1073574523 /nfs/dbraw/zinc/57/45/23/1073574523.db2.gz OLDLSOFQIBHEPS-ONGXEEELSA-N 0 0 433.487 -0.892 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)NC(=O)NCc1ccco1 ZINC000745353788 1073574142 /nfs/dbraw/zinc/57/41/42/1073574142.db2.gz PSZXWAIUURVXIZ-LLVKDONJSA-N 0 0 441.466 -0.134 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NC(=O)NCc1ccco1 ZINC000745353790 1073574046 /nfs/dbraw/zinc/57/40/46/1073574046.db2.gz PSZXWAIUURVXIZ-NSHDSACASA-N 0 0 441.466 -0.134 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N1CCCC[C@H]1C(N)=O ZINC000745355938 1073574638 /nfs/dbraw/zinc/57/46/38/1073574638.db2.gz FOOMXJUDKSJOJQ-AAEUAGOBSA-N 0 0 429.499 -0.887 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)N1CCCC[C@@H]1C(N)=O ZINC000745355939 1073574533 /nfs/dbraw/zinc/57/45/33/1073574533.db2.gz FOOMXJUDKSJOJQ-DGCLKSJQSA-N 0 0 429.499 -0.887 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N1CCCC[C@@H]1C(N)=O ZINC000745355940 1073574555 /nfs/dbraw/zinc/57/45/55/1073574555.db2.gz FOOMXJUDKSJOJQ-WCQYABFASA-N 0 0 429.499 -0.887 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)N1CCCC[C@H]1C(N)=O ZINC000745355941 1073574667 /nfs/dbraw/zinc/57/46/67/1073574667.db2.gz FOOMXJUDKSJOJQ-YPMHNXCESA-N 0 0 429.499 -0.887 20 0 IBADRN COC(=O)CCc1nc(COC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)no1 ZINC000745355980 1073574646 /nfs/dbraw/zinc/57/46/46/1073574646.db2.gz GFXZVIJTWVUJCI-JTQLQIEISA-N 0 0 429.455 -0.064 20 0 IBADRN COC(=O)CCc1nc(COC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)no1 ZINC000745355981 1073574627 /nfs/dbraw/zinc/57/46/27/1073574627.db2.gz GFXZVIJTWVUJCI-SNVBAGLBSA-N 0 0 429.455 -0.064 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)NCC(=O)NC(C)(C)C ZINC000745356202 1073574606 /nfs/dbraw/zinc/57/46/06/1073574606.db2.gz GRRBOMGIJMMNQM-LLVKDONJSA-N 0 0 431.515 -0.722 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NCC(=O)NC(C)(C)C ZINC000745356203 1073574591 /nfs/dbraw/zinc/57/45/91/1073574591.db2.gz GRRBOMGIJMMNQM-NSHDSACASA-N 0 0 431.515 -0.722 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000745356221 1073574582 /nfs/dbraw/zinc/57/45/82/1073574582.db2.gz GWVZLSPSOPBAAG-CYBMUJFWSA-N 0 0 444.510 -0.388 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000745356222 1073574616 /nfs/dbraw/zinc/57/46/16/1073574616.db2.gz GWVZLSPSOPBAAG-ZDUSSCGKSA-N 0 0 444.510 -0.388 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCCCN1C(=O)NC(C)(C)C1=O ZINC000745356316 1073575045 /nfs/dbraw/zinc/57/50/45/1073575045.db2.gz HWNPVNKDSOBBCQ-LLVKDONJSA-N 0 0 429.499 -0.033 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCCCN1C(=O)NC(C)(C)C1=O ZINC000745356319 1073575076 /nfs/dbraw/zinc/57/50/76/1073575076.db2.gz HWNPVNKDSOBBCQ-NSHDSACASA-N 0 0 429.499 -0.033 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000745356472 1073575054 /nfs/dbraw/zinc/57/50/54/1073575054.db2.gz IZOCYKSESJHWQO-GXTWGEPZSA-N 0 0 443.526 -0.674 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000745356473 1073575032 /nfs/dbraw/zinc/57/50/32/1073575032.db2.gz IZOCYKSESJHWQO-JSGCOSHPSA-N 0 0 443.526 -0.674 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000745356474 1073574955 /nfs/dbraw/zinc/57/49/55/1073574955.db2.gz IZOCYKSESJHWQO-OCCSQVGLSA-N 0 0 443.526 -0.674 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000745356475 1073575011 /nfs/dbraw/zinc/57/50/11/1073575011.db2.gz IZOCYKSESJHWQO-TZMCWYRMSA-N 0 0 443.526 -0.674 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)NCCCn1cccn1 ZINC000745356520 1073575119 /nfs/dbraw/zinc/57/51/19/1073575119.db2.gz KUXUSQAUEPUBPW-CYBMUJFWSA-N 0 0 426.499 -0.350 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NCCCn1cccn1 ZINC000745356521 1073575109 /nfs/dbraw/zinc/57/51/09/1073575109.db2.gz KUXUSQAUEPUBPW-ZDUSSCGKSA-N 0 0 426.499 -0.350 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000745356891 1073574574 /nfs/dbraw/zinc/57/45/74/1073574574.db2.gz NOEDIENJBZDLBS-CYBMUJFWSA-N 0 0 445.542 -0.380 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000745356896 1073574678 /nfs/dbraw/zinc/57/46/78/1073574678.db2.gz NOEDIENJBZDLBS-ZDUSSCGKSA-N 0 0 445.542 -0.380 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)N(C)Cc1cnn(C)c1 ZINC000745356975 1073575000 /nfs/dbraw/zinc/57/50/00/1073575000.db2.gz OVHQJYGCFVHMQE-GFCCVEGCSA-N 0 0 426.499 -0.361 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N(C)Cc1cnn(C)c1 ZINC000745356979 1073575092 /nfs/dbraw/zinc/57/50/92/1073575092.db2.gz OVHQJYGCFVHMQE-LBPRGKRZSA-N 0 0 426.499 -0.361 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)NC(=O)NCC(F)(F)F ZINC000745357223 1073575083 /nfs/dbraw/zinc/57/50/83/1073575083.db2.gz QHWPIDFVFWNOGX-MRVPVSSYSA-N 0 0 443.404 -0.365 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NC(=O)NCC(F)(F)F ZINC000745357226 1073575021 /nfs/dbraw/zinc/57/50/21/1073575021.db2.gz QHWPIDFVFWNOGX-QMMMGPOBSA-N 0 0 443.404 -0.365 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000745357282 1073574987 /nfs/dbraw/zinc/57/49/87/1073574987.db2.gz RMVWIEVIVBQOFN-BNOWGMLFSA-N 0 0 443.526 -0.641 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)O[C@@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000745357283 1073574968 /nfs/dbraw/zinc/57/49/68/1073574968.db2.gz RMVWIEVIVBQOFN-KWCYVHTRSA-N 0 0 443.526 -0.641 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000745357284 1073574944 /nfs/dbraw/zinc/57/49/44/1073574944.db2.gz RMVWIEVIVBQOFN-MRVWCRGKSA-N 0 0 443.526 -0.641 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)O[C@@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000745357285 1073574978 /nfs/dbraw/zinc/57/49/78/1073574978.db2.gz RMVWIEVIVBQOFN-XBFCOCLRSA-N 0 0 443.526 -0.641 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000745357867 1073575549 /nfs/dbraw/zinc/57/55/49/1073575549.db2.gz WNZHLVCFEVJWTG-GFCCVEGCSA-N 0 0 444.510 -0.341 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000745357869 1073575542 /nfs/dbraw/zinc/57/55/42/1073575542.db2.gz WNZHLVCFEVJWTG-LBPRGKRZSA-N 0 0 444.510 -0.341 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000745358004 1073575036 /nfs/dbraw/zinc/57/50/36/1073575036.db2.gz XIIHDYFWGWWLIC-AAEUAGOBSA-N 0 0 443.526 -0.628 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000745358011 1073575063 /nfs/dbraw/zinc/57/50/63/1073575063.db2.gz XIIHDYFWGWWLIC-DGCLKSJQSA-N 0 0 443.526 -0.628 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000745358013 1073575105 /nfs/dbraw/zinc/57/51/05/1073575105.db2.gz XIIHDYFWGWWLIC-WCQYABFASA-N 0 0 443.526 -0.628 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000745358015 1073575069 /nfs/dbraw/zinc/57/50/69/1073575069.db2.gz XIIHDYFWGWWLIC-YPMHNXCESA-N 0 0 443.526 -0.628 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)NCCCN1CCCC1=O ZINC000745358220 1073575355 /nfs/dbraw/zinc/57/53/55/1073575355.db2.gz ZQFZWWDJEBEDEL-CYBMUJFWSA-N 0 0 443.526 -0.624 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NCCCN1CCCC1=O ZINC000745358221 1073575470 /nfs/dbraw/zinc/57/54/70/1073575470.db2.gz ZQFZWWDJEBEDEL-ZDUSSCGKSA-N 0 0 443.526 -0.624 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1=O ZINC000745386274 1073575421 /nfs/dbraw/zinc/57/54/21/1073575421.db2.gz DRTFYXIDUNFJHK-CYBMUJFWSA-N 0 0 430.417 -0.210 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1=O ZINC000745386276 1073575371 /nfs/dbraw/zinc/57/53/71/1073575371.db2.gz DRTFYXIDUNFJHK-ZDUSSCGKSA-N 0 0 430.417 -0.210 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000745386353 1073575919 /nfs/dbraw/zinc/57/59/19/1073575919.db2.gz FYDZEJCUDPWJFL-AWEZNQCLSA-N 0 0 432.433 -0.058 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000745386359 1073575872 /nfs/dbraw/zinc/57/58/72/1073575872.db2.gz FYDZEJCUDPWJFL-CQSZACIVSA-N 0 0 432.433 -0.058 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000745387137 1073575407 /nfs/dbraw/zinc/57/54/07/1073575407.db2.gz KPTCQXBJGSNSGY-INIZCTEOSA-N 0 0 441.444 -0.148 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000745387138 1073575587 /nfs/dbraw/zinc/57/55/87/1073575587.db2.gz KPTCQXBJGSNSGY-MRXNPFEDSA-N 0 0 441.444 -0.148 20 0 IBADRN C[C@H](OC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)C(=O)NC(=O)NC1CC1 ZINC000745387153 1073575458 /nfs/dbraw/zinc/57/54/58/1073575458.db2.gz KVNKJUOGJRQHOI-HZMBPMFUSA-N 0 0 430.417 -0.163 20 0 IBADRN C[C@H](OC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)C(=O)NC(=O)NC1CC1 ZINC000745387154 1073575598 /nfs/dbraw/zinc/57/55/98/1073575598.db2.gz KVNKJUOGJRQHOI-IINYFYTJSA-N 0 0 430.417 -0.163 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)C(=O)NC(=O)NC1CC1 ZINC000745387156 1073575318 /nfs/dbraw/zinc/57/53/18/1073575318.db2.gz KVNKJUOGJRQHOI-QMTHXVAHSA-N 0 0 430.417 -0.163 20 0 IBADRN C[C@@H](OC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O)C(=O)NC(=O)NC1CC1 ZINC000745387158 1073575620 /nfs/dbraw/zinc/57/56/20/1073575620.db2.gz KVNKJUOGJRQHOI-YGRLFVJLSA-N 0 0 430.417 -0.163 20 0 IBADRN NC(=O)CC[C@@H](C(=O)OCCn1nc2ccccn2c1=O)N1C(=O)c2ccccc2C1=O ZINC000745387161 1073575441 /nfs/dbraw/zinc/57/54/41/1073575441.db2.gz KWRIQGOLIUCEAV-HNNXBMFYSA-N 0 0 437.412 -0.031 20 0 IBADRN NC(=O)CC[C@H](C(=O)OCCn1nc2ccccn2c1=O)N1C(=O)c2ccccc2C1=O ZINC000745387163 1073575393 /nfs/dbraw/zinc/57/53/93/1073575393.db2.gz KWRIQGOLIUCEAV-OAHLLOKOSA-N 0 0 437.412 -0.031 20 0 IBADRN NC(=O)CC[C@@H](C(=O)OCC(=O)NCC(=O)N1CCCC1)N1C(=O)c2ccccc2C1=O ZINC000745387792 1073576141 /nfs/dbraw/zinc/57/61/41/1073576141.db2.gz RFPBXPIUNUUSQU-HNNXBMFYSA-N 0 0 444.444 -0.802 20 0 IBADRN NC(=O)CC[C@H](C(=O)OCC(=O)NCC(=O)N1CCCC1)N1C(=O)c2ccccc2C1=O ZINC000745387793 1073575950 /nfs/dbraw/zinc/57/59/50/1073575950.db2.gz RFPBXPIUNUUSQU-OAHLLOKOSA-N 0 0 444.444 -0.802 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H](c2cccc(F)c2)N2CCOCC2)c(=O)n(C)c1=O ZINC000745422705 1073575856 /nfs/dbraw/zinc/57/58/56/1073575856.db2.gz CESFFIXUHMUZAB-INIZCTEOSA-N 0 0 434.424 -0.395 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H](c2cccc(F)c2)N2CCOCC2)c(=O)n(C)c1=O ZINC000745422706 1073576039 /nfs/dbraw/zinc/57/60/39/1073576039.db2.gz CESFFIXUHMUZAB-MRXNPFEDSA-N 0 0 434.424 -0.395 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000745433027 1073576162 /nfs/dbraw/zinc/57/61/62/1073576162.db2.gz HCIWJAKVNDFUQS-UHFFFAOYSA-N 0 0 441.506 -0.264 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC000745433388 1073575885 /nfs/dbraw/zinc/57/58/85/1073575885.db2.gz RITJOKSUNNVWQG-UHFFFAOYSA-N 0 0 439.490 -0.604 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000745433461 1073575997 /nfs/dbraw/zinc/57/59/97/1073575997.db2.gz JFFPHSYTFUUGJT-UHFFFAOYSA-N 0 0 439.490 -0.556 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000745433467 1073576105 /nfs/dbraw/zinc/57/61/05/1073576105.db2.gz JUOBFAFSQAVQCR-HNNXBMFYSA-N 0 0 439.490 -0.428 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000745433468 1073576150 /nfs/dbraw/zinc/57/61/50/1073576150.db2.gz JUOBFAFSQAVQCR-OAHLLOKOSA-N 0 0 439.490 -0.428 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)C(=O)N(C)C ZINC000745433485 1073575985 /nfs/dbraw/zinc/57/59/85/1073575985.db2.gz KVAWZARGTUYOPM-GFCCVEGCSA-N 0 0 427.479 -0.702 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)C(=O)N(C)C ZINC000745433486 1073576074 /nfs/dbraw/zinc/57/60/74/1073576074.db2.gz KVAWZARGTUYOPM-LBPRGKRZSA-N 0 0 427.479 -0.702 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000745434309 1073576352 /nfs/dbraw/zinc/57/63/52/1073576352.db2.gz XTWNKHBSWLKYAF-GFCCVEGCSA-N 0 0 443.478 -0.434 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC000745434311 1073576340 /nfs/dbraw/zinc/57/63/40/1073576340.db2.gz XTWNKHBSWLKYAF-LBPRGKRZSA-N 0 0 443.478 -0.434 20 0 IBADRN COc1cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc(NC(C)=O)c1OC ZINC000745439864 1073576639 /nfs/dbraw/zinc/57/66/39/1073576639.db2.gz VHBDLIOMHULSLB-UHFFFAOYSA-N 0 0 434.405 -0.319 20 0 IBADRN O=C(COC(=O)C(F)(F)C(F)(F)C(=O)OCC(=O)N1CCOCC1)N1CCOCC1 ZINC000745452683 1073576666 /nfs/dbraw/zinc/57/66/66/1073576666.db2.gz OUZAITVZEQMIFU-UHFFFAOYSA-N 0 0 444.334 -0.939 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)C(F)(F)C(F)(F)C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000745453393 1073576567 /nfs/dbraw/zinc/57/65/67/1073576567.db2.gz WDWHBMADIWNGEN-OLQVQODUSA-N 0 0 446.310 -0.968 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)C(F)(F)C(F)(F)C(=O)O[C@H](C)C(=O)NC(=O)NC ZINC000745453398 1073576702 /nfs/dbraw/zinc/57/67/02/1073576702.db2.gz WDWHBMADIWNGEN-PHDIDXHHSA-N 0 0 446.310 -0.968 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)C(F)(F)C(F)(F)C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000745453400 1073576608 /nfs/dbraw/zinc/57/66/08/1073576608.db2.gz WDWHBMADIWNGEN-WDSKDSINSA-N 0 0 446.310 -0.968 20 0 IBADRN O=C(COC(=O)C(F)(F)C(F)(F)C(=O)OCC(=O)N1CCCC1=O)N1CCCC1=O ZINC000745453795 1073576653 /nfs/dbraw/zinc/57/66/53/1073576653.db2.gz YMBZRKRJVACJPQ-UHFFFAOYSA-N 0 0 440.302 -0.359 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000745455737 1073576618 /nfs/dbraw/zinc/57/66/18/1073576618.db2.gz IIVSPXDSRWLMNL-AQTBWJFISA-N 0 0 426.539 -0.557 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000745456104 1073576680 /nfs/dbraw/zinc/57/66/80/1073576680.db2.gz QNXZBYDNVNIXKF-AQTBWJFISA-N 0 0 426.539 -0.557 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000745456105 1073576579 /nfs/dbraw/zinc/57/65/79/1073576579.db2.gz QNXZBYDNVNIXKF-QGOAFFKASA-N 0 0 426.539 -0.557 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cn(CC(N)=O)nn2)NC(=O)N[C@H]1c1ccccc1 ZINC000745467092 1073577008 /nfs/dbraw/zinc/57/70/08/1073577008.db2.gz HKINXDHSHPHDNT-INIZCTEOSA-N 0 0 428.405 -0.209 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cn(CC(N)=O)nn2)NC(=O)N[C@@H]1c1ccccc1 ZINC000745467094 1073576975 /nfs/dbraw/zinc/57/69/75/1073576975.db2.gz HKINXDHSHPHDNT-MRXNPFEDSA-N 0 0 428.405 -0.209 20 0 IBADRN CCn1c(COC(=O)c2cn(CC(N)=O)nn2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000745467100 1073577021 /nfs/dbraw/zinc/57/70/21/1073577021.db2.gz HMKJMTOSCHEKRQ-UHFFFAOYSA-N 0 0 435.466 -0.260 20 0 IBADRN COC(=O)C1=C(COC(=O)c2cn(CC(N)=O)nn2)N(C)C(=O)N[C@H]1c1ccccc1 ZINC000745467310 1073577545 /nfs/dbraw/zinc/57/75/45/1073577545.db2.gz FKKVEMZAGSQVQU-INIZCTEOSA-N 0 0 428.405 -0.256 20 0 IBADRN COC(=O)C1=C(COC(=O)c2cn(CC(N)=O)nn2)N(C)C(=O)N[C@@H]1c1ccccc1 ZINC000745467314 1073577512 /nfs/dbraw/zinc/57/75/12/1073577512.db2.gz FKKVEMZAGSQVQU-MRXNPFEDSA-N 0 0 428.405 -0.256 20 0 IBADRN NC(=O)Cn1cc(C(=O)OCC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)nn1 ZINC000745468185 1073577442 /nfs/dbraw/zinc/57/74/42/1073577442.db2.gz OVVPCUINZXLAKO-UHFFFAOYSA-N 0 0 428.449 -0.801 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)COC(=O)c3cn(CC(N)=O)nn3)CC2)c(F)c1 ZINC000745468968 1073577369 /nfs/dbraw/zinc/57/73/69/1073577369.db2.gz RNFCVVIPTXGHNW-UHFFFAOYSA-N 0 0 432.412 -0.389 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)COC(=O)c2cn(CC(N)=O)nn2)cc1 ZINC000745468998 1073577427 /nfs/dbraw/zinc/57/74/27/1073577427.db2.gz RREAZLRJRZUISS-UHFFFAOYSA-N 0 0 438.466 -0.411 20 0 IBADRN NC(=O)Cn1cc(C(=O)OCC(=O)Nc2cccc(C(=O)N3CCSCC3)c2)nn1 ZINC000745469046 1073577335 /nfs/dbraw/zinc/57/73/35/1073577335.db2.gz SDWSJRCEIARVAG-UHFFFAOYSA-N 0 0 432.462 -0.252 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)COC(=O)c2cn(CC(N)=O)nn2)ccc1Cl ZINC000745469580 1073577281 /nfs/dbraw/zinc/57/72/81/1073577281.db2.gz BTDYPDKCKAJEQC-UHFFFAOYSA-N 0 0 444.857 -0.537 20 0 IBADRN C[C@@H](OC(=O)c1cn(CC(N)=O)nn1)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000745469657 1073577404 /nfs/dbraw/zinc/57/74/04/1073577404.db2.gz XBIQFHLQTRNIRX-SSDOTTSWSA-N 0 0 428.327 -0.519 20 0 IBADRN C[C@H](OC(=O)c1cn(CC(N)=O)nn1)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000745469664 1073577503 /nfs/dbraw/zinc/57/75/03/1073577503.db2.gz XBIQFHLQTRNIRX-ZETCQYMHSA-N 0 0 428.327 -0.519 20 0 IBADRN NC(=O)Cn1cc(C(=O)OCC(=O)NCC(=O)Nc2ccccc2Br)nn1 ZINC000745470103 1073577353 /nfs/dbraw/zinc/57/73/53/1073577353.db2.gz YSZVGUZGKFFXQP-UHFFFAOYSA-N 0 0 439.226 -0.562 20 0 IBADRN C[C@H](OC(=O)c1cn(CC(N)=O)nn1)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000745470269 1073577557 /nfs/dbraw/zinc/57/75/57/1073577557.db2.gz FMHAPLGBYVXFTK-AWEZNQCLSA-N 0 0 430.465 -0.543 20 0 IBADRN C[C@@H](OC(=O)c1cn(CC(N)=O)nn1)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000745470270 1073577576 /nfs/dbraw/zinc/57/75/76/1073577576.db2.gz FMHAPLGBYVXFTK-CQSZACIVSA-N 0 0 430.465 -0.543 20 0 IBADRN NC(=O)Cn1cc(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)nn1 ZINC000745470358 1073577262 /nfs/dbraw/zinc/57/72/62/1073577262.db2.gz GPQPAUVHTMZDBO-UHFFFAOYSA-N 0 0 436.450 -0.657 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)COC(=O)c2cn(CC(N)=O)nn2)c1 ZINC000745470709 1073577551 /nfs/dbraw/zinc/57/75/51/1073577551.db2.gz ILLBWFASCJOTKB-UHFFFAOYSA-N 0 0 438.466 -0.411 20 0 IBADRN Cc1cc(N2CCN(C(=O)COC(=O)c3cn(CC(N)=O)nn3)CC2)nc(C(C)C)n1 ZINC000745470902 1073577482 /nfs/dbraw/zinc/57/74/82/1073577482.db2.gz LTGABGQQYCSGKJ-UHFFFAOYSA-N 0 0 430.469 -0.509 20 0 IBADRN NC(=O)Cn1cc(C(=O)OCC(=O)N([C@@H]2CCS(=O)(=O)C2)C2CCCCC2)nn1 ZINC000745471073 1073577318 /nfs/dbraw/zinc/57/73/18/1073577318.db2.gz RDTFJCJWYSQFHS-CYBMUJFWSA-N 0 0 427.483 -0.731 20 0 IBADRN NC(=O)Cn1cc(C(=O)OCC(=O)N([C@H]2CCS(=O)(=O)C2)C2CCCCC2)nn1 ZINC000745471074 1073577388 /nfs/dbraw/zinc/57/73/88/1073577388.db2.gz RDTFJCJWYSQFHS-ZDUSSCGKSA-N 0 0 427.483 -0.731 20 0 IBADRN NC(=O)Cn1cc(C(=O)OCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1 ZINC000745471133 1073577568 /nfs/dbraw/zinc/57/75/68/1073577568.db2.gz UUNFSENKPQCUCS-UHFFFAOYSA-N 0 0 439.450 -0.980 20 0 IBADRN NC(=O)Cn1cc(C(=O)OCC(=O)N2CCN(c3ncc(Cl)cc3Cl)CC2)nn1 ZINC000745471166 1073577301 /nfs/dbraw/zinc/57/73/01/1073577301.db2.gz VZSMVBLTRFYXEB-UHFFFAOYSA-N 0 0 442.263 -0.029 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H](c2ccccc2F)N2CCOCC2)c(=O)n(C)c1=O ZINC000745496326 1073578142 /nfs/dbraw/zinc/57/81/42/1073578142.db2.gz LGTJDQYNHQOWAQ-INIZCTEOSA-N 0 0 434.424 -0.395 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H](c2ccccc2F)N2CCOCC2)c(=O)n(C)c1=O ZINC000745496328 1073578077 /nfs/dbraw/zinc/57/80/77/1073578077.db2.gz LGTJDQYNHQOWAQ-MRXNPFEDSA-N 0 0 434.424 -0.395 20 0 IBADRN O=C(COC(=O)c1ccccc1NC(=O)Cn1cnnn1)NC(=O)NCC(F)(F)F ZINC000745503586 1073578249 /nfs/dbraw/zinc/57/82/49/1073578249.db2.gz RPTPDVMYNCPKPN-UHFFFAOYSA-N 0 0 429.315 -0.143 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2ccccc2NC(=O)Cn2cnnn2)CC1 ZINC000745503853 1073578290 /nfs/dbraw/zinc/57/82/90/1073578290.db2.gz VHSVLUZFYYZRSK-UHFFFAOYSA-N 0 0 445.436 -0.231 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCC2(C1)OCCO2 ZINC000745517591 1073578737 /nfs/dbraw/zinc/57/87/37/1073578737.db2.gz ADTPJWIHWZRECA-UHFFFAOYSA-N 0 0 425.511 -0.308 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1cn(CC(=O)C(C)(C)C)nn1)c(=O)n2C ZINC000745517631 1073578796 /nfs/dbraw/zinc/57/87/96/1073578796.db2.gz DJQBSSVYQMEGGV-UHFFFAOYSA-N 0 0 431.453 -0.113 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCCNS(=O)(=O)Cc3ccccc3)CC2)c1=O ZINC000745521590 1073578110 /nfs/dbraw/zinc/57/81/10/1073578110.db2.gz LIEMVJAVZGSIQS-UHFFFAOYSA-N 0 0 434.522 -0.269 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000745522564 1073578153 /nfs/dbraw/zinc/57/81/53/1073578153.db2.gz UZNZGHDBSCWWFF-UHFFFAOYSA-N 0 0 441.554 -0.804 20 0 IBADRN O=C(COC(=O)c1ccccc1Cn1cncn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000745523323 1073578397 /nfs/dbraw/zinc/57/83/97/1073578397.db2.gz AOGTZBHEPREIDS-KRWDZBQOSA-N 0 0 447.517 -0.186 20 0 IBADRN O=C(COC(=O)c1ccccc1Cn1cncn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000745523324 1073578303 /nfs/dbraw/zinc/57/83/03/1073578303.db2.gz AOGTZBHEPREIDS-QGZVFWFLSA-N 0 0 447.517 -0.186 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2nccnc2NC(=O)C(C)(C)C)CC1 ZINC000745561214 1073578777 /nfs/dbraw/zinc/57/87/77/1073578777.db2.gz DNAFEXCIMCWSBO-UHFFFAOYSA-N 0 0 434.497 -0.150 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2cn(Cc3ccccn3)nn2)cc1 ZINC000745579217 1073578839 /nfs/dbraw/zinc/57/88/39/1073578839.db2.gz NVVDMMQIVPBCFI-UHFFFAOYSA-N 0 0 444.473 -0.116 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cn(Cc3ccccn3)nn2)c(=O)n(C)c1=O ZINC000745579435 1073578825 /nfs/dbraw/zinc/57/88/25/1073578825.db2.gz DBNCBDXBVPWOEN-UHFFFAOYSA-N 0 0 441.448 -0.140 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000745581880 1073578762 /nfs/dbraw/zinc/57/87/62/1073578762.db2.gz XKMUPMUTKQCSFJ-KBPBESRZSA-N 0 0 446.551 -0.052 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000745581881 1073578686 /nfs/dbraw/zinc/57/86/86/1073578686.db2.gz XKMUPMUTKQCSFJ-KGLIPLIRSA-N 0 0 446.551 -0.052 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000745581882 1073578632 /nfs/dbraw/zinc/57/86/32/1073578632.db2.gz XKMUPMUTKQCSFJ-UONOGXRCSA-N 0 0 446.551 -0.052 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000745581883 1073578810 /nfs/dbraw/zinc/57/88/10/1073578810.db2.gz XKMUPMUTKQCSFJ-ZIAGYGMSSA-N 0 0 446.551 -0.052 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)c1ccccc1 ZINC000745585886 1073578643 /nfs/dbraw/zinc/57/86/43/1073578643.db2.gz ICGLACQPPIVGOO-GOSISDBHSA-N 0 0 447.469 -0.294 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)c1ccccc1 ZINC000745585887 1073578785 /nfs/dbraw/zinc/57/87/85/1073578785.db2.gz ICGLACQPPIVGOO-SFHVURJKSA-N 0 0 447.469 -0.294 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000745585909 1073578725 /nfs/dbraw/zinc/57/87/25/1073578725.db2.gz IVXBAENUFGEASI-UHFFFAOYSA-N 0 0 441.462 -0.597 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(=O)NC(=O)NCC(F)(F)F ZINC000745586264 1073578652 /nfs/dbraw/zinc/57/86/52/1073578652.db2.gz KNZYZUQCFWYRNT-MRVPVSSYSA-N 0 0 439.368 -0.121 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(=O)NC(=O)NCC(F)(F)F ZINC000745586265 1073578936 /nfs/dbraw/zinc/57/89/36/1073578936.db2.gz KNZYZUQCFWYRNT-QMMMGPOBSA-N 0 0 439.368 -0.121 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(=O)N(C)CC(=O)NC1CC1 ZINC000745586688 1073579229 /nfs/dbraw/zinc/57/92/29/1073579229.db2.gz OTKIKFBKYKABKK-LLVKDONJSA-N 0 0 425.463 -0.772 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(=O)N(C)CC(=O)NC1CC1 ZINC000745586690 1073579388 /nfs/dbraw/zinc/57/93/88/1073579388.db2.gz OTKIKFBKYKABKK-NSHDSACASA-N 0 0 425.463 -0.772 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)NC2CCC3(CC2)OCCO3)cc1 ZINC000745586711 1073579212 /nfs/dbraw/zinc/57/92/12/1073579212.db2.gz OZJLNYFWNXYZEI-UHFFFAOYSA-N 0 0 440.474 -0.096 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1 ZINC000745586825 1073578696 /nfs/dbraw/zinc/57/86/96/1073578696.db2.gz TWRMPLHRXOXNAK-AWEZNQCLSA-N 0 0 440.474 -0.096 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1 ZINC000745586827 1073579187 /nfs/dbraw/zinc/57/91/87/1073579187.db2.gz TWRMPLHRXOXNAK-CQSZACIVSA-N 0 0 440.474 -0.096 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)cc1 ZINC000745587331 1073578920 /nfs/dbraw/zinc/57/89/20/1073578920.db2.gz SFXKJRQXEQEQHP-UHFFFAOYSA-N 0 0 425.341 -0.510 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC000745587653 1073578880 /nfs/dbraw/zinc/57/88/80/1073578880.db2.gz XPXUHSBIGQWUGK-UHFFFAOYSA-N 0 0 440.474 -0.096 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000745589143 1073579283 /nfs/dbraw/zinc/57/92/83/1073579283.db2.gz IKUUDHXXKUYHTR-UHFFFAOYSA-N 0 0 437.474 -0.817 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(=O)N1CCCCC1 ZINC000745589766 1073579294 /nfs/dbraw/zinc/57/92/94/1073579294.db2.gz QGNLTBBNFGZGBF-CYBMUJFWSA-N 0 0 439.490 -0.380 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(=O)N1CCCCC1 ZINC000745589770 1073579366 /nfs/dbraw/zinc/57/93/66/1073579366.db2.gz QGNLTBBNFGZGBF-ZDUSSCGKSA-N 0 0 439.490 -0.380 20 0 IBADRN Cc1ccc(CN2C(=O)CC[C@H]2C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000745592682 1073579240 /nfs/dbraw/zinc/57/92/40/1073579240.db2.gz MZSWGEOWQXBHEV-AWEZNQCLSA-N 0 0 428.445 -0.108 20 0 IBADRN Cc1ccc(CN2C(=O)CC[C@@H]2C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000745592683 1073579176 /nfs/dbraw/zinc/57/91/76/1073579176.db2.gz MZSWGEOWQXBHEV-CQSZACIVSA-N 0 0 428.445 -0.108 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CNC(=O)c2cc3ccccc3[nH]2)CC1 ZINC000745640083 1073579199 /nfs/dbraw/zinc/57/91/99/1073579199.db2.gz CULHWOXTMUTLPZ-UHFFFAOYSA-N 0 0 429.477 -0.327 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)n1nnc(-c2ccccc2)n1 ZINC000745684353 1073579624 /nfs/dbraw/zinc/57/96/24/1073579624.db2.gz ZYPQMLPGTLCJSH-HNNXBMFYSA-N 0 0 429.481 -0.323 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)n1nnc(-c2ccccc2)n1 ZINC000745684355 1073579605 /nfs/dbraw/zinc/57/96/05/1073579605.db2.gz ZYPQMLPGTLCJSH-OAHLLOKOSA-N 0 0 429.481 -0.323 20 0 IBADRN CC[C@@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1CCCC1=O ZINC000745690870 1073579126 /nfs/dbraw/zinc/57/91/26/1073579126.db2.gz FVOHZIRBNYRBGR-HNNXBMFYSA-N 0 0 429.481 -0.044 20 0 IBADRN CC[C@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1CCCC1=O ZINC000745690871 1073579154 /nfs/dbraw/zinc/57/91/54/1073579154.db2.gz FVOHZIRBNYRBGR-OAHLLOKOSA-N 0 0 429.481 -0.044 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000745693409 1073579342 /nfs/dbraw/zinc/57/93/42/1073579342.db2.gz CLDWXJAXHOMITJ-FFSVDETISA-N 0 0 440.497 -0.027 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000745693410 1073579265 /nfs/dbraw/zinc/57/92/65/1073579265.db2.gz CLDWXJAXHOMITJ-MYXKJRMBSA-N 0 0 440.497 -0.027 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000745693411 1073579305 /nfs/dbraw/zinc/57/93/05/1073579305.db2.gz CLDWXJAXHOMITJ-RDRNSCTPSA-N 0 0 440.497 -0.027 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000745693412 1073579378 /nfs/dbraw/zinc/57/93/78/1073579378.db2.gz CLDWXJAXHOMITJ-VSWJAKFMSA-N 0 0 440.497 -0.027 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H](NC(=O)C(C)(C)C)[C@@H](C)O)c(=O)n(C)c1=O ZINC000745697860 1073579320 /nfs/dbraw/zinc/57/93/20/1073579320.db2.gz BPLWLBQSRZAFQK-BXUZGUMPSA-N 0 0 440.497 -0.577 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H](NC(=O)C(C)(C)C)[C@H](C)O)c(=O)n(C)c1=O ZINC000745697861 1073579252 /nfs/dbraw/zinc/57/92/52/1073579252.db2.gz BPLWLBQSRZAFQK-FZMZJTMJSA-N 0 0 440.497 -0.577 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H](NC(=O)C(C)(C)C)[C@@H](C)O)c(=O)n(C)c1=O ZINC000745697862 1073579355 /nfs/dbraw/zinc/57/93/55/1073579355.db2.gz BPLWLBQSRZAFQK-RISCZKNCSA-N 0 0 440.497 -0.577 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H](NC(=O)C(C)(C)C)[C@H](C)O)c(=O)n(C)c1=O ZINC000745697864 1073579333 /nfs/dbraw/zinc/57/93/33/1073579333.db2.gz BPLWLBQSRZAFQK-SMDDNHRTSA-N 0 0 440.497 -0.577 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000745704687 1073579853 /nfs/dbraw/zinc/57/98/53/1073579853.db2.gz RXWYMIPFPFKLKV-BDJLRTHQSA-N 0 0 441.506 -0.322 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000745704689 1073579613 /nfs/dbraw/zinc/57/96/13/1073579613.db2.gz RXWYMIPFPFKLKV-BZNIZROVSA-N 0 0 441.506 -0.322 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000745704690 1073579866 /nfs/dbraw/zinc/57/98/66/1073579866.db2.gz RXWYMIPFPFKLKV-MEDUHNTESA-N 0 0 441.506 -0.322 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000745704691 1073579652 /nfs/dbraw/zinc/57/96/52/1073579652.db2.gz RXWYMIPFPFKLKV-ZBEGNZNMSA-N 0 0 441.506 -0.322 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)CC2 ZINC000745722168 1073579666 /nfs/dbraw/zinc/57/96/66/1073579666.db2.gz ZWBBEEGFZPSWPS-UHFFFAOYSA-N 0 0 434.497 -0.073 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)CC1 ZINC000745735424 1073580263 /nfs/dbraw/zinc/58/02/63/1073580263.db2.gz CCLJSHWRJPULME-UHFFFAOYSA-N 0 0 434.493 -0.163 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)OCC(=O)NCC(=O)N1CCCC1 ZINC000745735714 1073580318 /nfs/dbraw/zinc/58/03/18/1073580318.db2.gz JJOBPAJREFYBCO-UHFFFAOYSA-N 0 0 434.493 -0.115 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)ccc1C ZINC000745737239 1073580277 /nfs/dbraw/zinc/58/02/77/1073580277.db2.gz BMMSGVFNUBGMTN-BDJLRTHQSA-N 0 0 427.479 -0.340 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@@H](NC(=O)C2CC2)[C@@H](C)O)ccc1C ZINC000745737248 1073580173 /nfs/dbraw/zinc/58/01/73/1073580173.db2.gz BMMSGVFNUBGMTN-BZNIZROVSA-N 0 0 427.479 -0.340 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)ccc1C ZINC000745737252 1073580134 /nfs/dbraw/zinc/58/01/34/1073580134.db2.gz BMMSGVFNUBGMTN-MEDUHNTESA-N 0 0 427.479 -0.340 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@@H](NC(=O)C2CC2)[C@H](C)O)ccc1C ZINC000745737255 1073580250 /nfs/dbraw/zinc/58/02/50/1073580250.db2.gz BMMSGVFNUBGMTN-ZBEGNZNMSA-N 0 0 427.479 -0.340 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000745737551 1073580148 /nfs/dbraw/zinc/58/01/48/1073580148.db2.gz FNPJDAGODZOGOX-BDJLRTHQSA-N 0 0 427.479 -0.306 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000745737554 1073580096 /nfs/dbraw/zinc/58/00/96/1073580096.db2.gz FNPJDAGODZOGOX-BZNIZROVSA-N 0 0 427.479 -0.306 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000745737555 1073580238 /nfs/dbraw/zinc/58/02/38/1073580238.db2.gz FNPJDAGODZOGOX-MEDUHNTESA-N 0 0 427.479 -0.306 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000745737556 1073580307 /nfs/dbraw/zinc/58/03/07/1073580307.db2.gz FNPJDAGODZOGOX-ZBEGNZNMSA-N 0 0 427.479 -0.306 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000745737657 1073580195 /nfs/dbraw/zinc/58/01/95/1073580195.db2.gz CQAIUEPOCYLVLZ-MJEQTWJJSA-N 0 0 430.523 -0.237 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000745737663 1073580160 /nfs/dbraw/zinc/58/01/60/1073580160.db2.gz CQAIUEPOCYLVLZ-NUTKFTJISA-N 0 0 430.523 -0.237 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000745737667 1073580217 /nfs/dbraw/zinc/58/02/17/1073580217.db2.gz CQAIUEPOCYLVLZ-XGWLTEMNSA-N 0 0 430.523 -0.237 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000745737669 1073580293 /nfs/dbraw/zinc/58/02/93/1073580293.db2.gz CQAIUEPOCYLVLZ-YLQAJVPDSA-N 0 0 430.523 -0.237 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)C1=O ZINC000745740182 1073579731 /nfs/dbraw/zinc/57/97/31/1073579731.db2.gz QVUWOTKOSJTXHB-CFTTWAEZSA-N 0 0 446.460 -0.306 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H](NC(=O)C2CC2)[C@H](C)O)C1=O ZINC000745740186 1073579679 /nfs/dbraw/zinc/57/96/79/1073579679.db2.gz QVUWOTKOSJTXHB-LBJGKRIESA-N 0 0 446.460 -0.306 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H](NC(=O)C2CC2)[C@@H](C)O)C1=O ZINC000745740189 1073579594 /nfs/dbraw/zinc/57/95/94/1073579594.db2.gz QVUWOTKOSJTXHB-PTPDTQQLSA-N 0 0 446.460 -0.306 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)C1=O ZINC000745740191 1073579824 /nfs/dbraw/zinc/57/98/24/1073579824.db2.gz QVUWOTKOSJTXHB-YDZGXFTCSA-N 0 0 446.460 -0.306 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000745740249 1073580331 /nfs/dbraw/zinc/58/03/31/1073580331.db2.gz RKDCMEBIXVALKH-CRAIPNDOSA-N 0 0 425.526 -0.044 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000745740250 1073579783 /nfs/dbraw/zinc/57/97/83/1073579783.db2.gz RKDCMEBIXVALKH-MAUKXSAKSA-N 0 0 425.526 -0.044 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000745740251 1073579758 /nfs/dbraw/zinc/57/97/58/1073579758.db2.gz RKDCMEBIXVALKH-QAPCUYQASA-N 0 0 425.526 -0.044 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000745740252 1073579627 /nfs/dbraw/zinc/57/96/27/1073579627.db2.gz RKDCMEBIXVALKH-YJBOKZPZSA-N 0 0 425.526 -0.044 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](NC(=O)C1CC1)[C@@H](C)O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000745741037 1073580353 /nfs/dbraw/zinc/58/03/53/1073580353.db2.gz XTPTVEXGKMYQIZ-OIISXLGYSA-N 0 0 433.509 -0.031 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H](NC(=O)C1CC1)[C@@H](C)O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000745741038 1073580118 /nfs/dbraw/zinc/58/01/18/1073580118.db2.gz XTPTVEXGKMYQIZ-PVAVHDDUSA-N 0 0 433.509 -0.031 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](NC(=O)C1CC1)[C@H](C)O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000745741039 1073580186 /nfs/dbraw/zinc/58/01/86/1073580186.db2.gz XTPTVEXGKMYQIZ-UAGQMJEPSA-N 0 0 433.509 -0.031 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H](NC(=O)C1CC1)[C@H](C)O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000745741040 1073580205 /nfs/dbraw/zinc/58/02/05/1073580205.db2.gz XTPTVEXGKMYQIZ-XIRDDKMYSA-N 0 0 433.509 -0.031 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC000745742049 1073580227 /nfs/dbraw/zinc/58/02/27/1073580227.db2.gz DWBXVZWJGSMHCY-DINDLPBHSA-N 0 0 439.490 -0.570 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC000745742050 1073580794 /nfs/dbraw/zinc/58/07/94/1073580794.db2.gz DWBXVZWJGSMHCY-PSVSLLHZSA-N 0 0 439.490 -0.570 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC000745742051 1073580829 /nfs/dbraw/zinc/58/08/29/1073580829.db2.gz DWBXVZWJGSMHCY-RLFDGXBXSA-N 0 0 439.490 -0.570 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC000745742053 1073580641 /nfs/dbraw/zinc/58/06/41/1073580641.db2.gz DWBXVZWJGSMHCY-VGTOOOLASA-N 0 0 439.490 -0.570 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)[C@H](NC(=O)C2CC2)[C@@H](C)O)c1C ZINC000745745024 1073580682 /nfs/dbraw/zinc/58/06/82/1073580682.db2.gz JBZGMUDFWVYQQE-BDJLRTHQSA-N 0 0 427.479 -0.292 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)[C@@H](NC(=O)C2CC2)[C@@H](C)O)c1C ZINC000745745028 1073580720 /nfs/dbraw/zinc/58/07/20/1073580720.db2.gz JBZGMUDFWVYQQE-BZNIZROVSA-N 0 0 427.479 -0.292 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)[C@H](NC(=O)C2CC2)[C@H](C)O)c1C ZINC000745745030 1073580698 /nfs/dbraw/zinc/58/06/98/1073580698.db2.gz JBZGMUDFWVYQQE-MEDUHNTESA-N 0 0 427.479 -0.292 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)[C@@H](NC(=O)C2CC2)[C@H](C)O)c1C ZINC000745745032 1073580765 /nfs/dbraw/zinc/58/07/65/1073580765.db2.gz JBZGMUDFWVYQQE-ZBEGNZNMSA-N 0 0 427.479 -0.292 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)O[C@@H](C)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000745745714 1073580822 /nfs/dbraw/zinc/58/08/22/1073580822.db2.gz LDPFFYUBMOOKMQ-CENBSLRLSA-N 0 0 441.506 -0.282 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)[C@H](NC(=O)C1CC1)[C@H](C)O)c1ccc(S(N)(=O)=O)cc1 ZINC000745745717 1073580630 /nfs/dbraw/zinc/58/06/30/1073580630.db2.gz LDPFFYUBMOOKMQ-KZTGVZKYSA-N 0 0 441.506 -0.282 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)O[C@@H](C)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000745745719 1073580755 /nfs/dbraw/zinc/58/07/55/1073580755.db2.gz LDPFFYUBMOOKMQ-LSSIXWDNSA-N 0 0 441.506 -0.282 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)O[C@@H](C)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000745745721 1073580776 /nfs/dbraw/zinc/58/07/76/1073580776.db2.gz LDPFFYUBMOOKMQ-MEQWQQMJSA-N 0 0 441.506 -0.282 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000745779850 1073580707 /nfs/dbraw/zinc/58/07/07/1073580707.db2.gz UFAIWVLFBZRKLV-UHFFFAOYSA-N 0 0 426.539 -0.309 20 0 IBADRN COc1cc(NC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c(C(N)=O)cc1OC ZINC000745788059 1073580665 /nfs/dbraw/zinc/58/06/65/1073580665.db2.gz QHWCZXDZFBELMO-UHFFFAOYSA-N 0 0 430.421 -0.421 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000745789599 1073580801 /nfs/dbraw/zinc/58/08/01/1073580801.db2.gz NYAVZBFVDHHFMO-UHFFFAOYSA-N 0 0 427.527 -0.519 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000745789803 1073580612 /nfs/dbraw/zinc/58/06/12/1073580612.db2.gz QFCOQIWKKKADRQ-KRWDZBQOSA-N 0 0 437.522 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000745789880 1073580839 /nfs/dbraw/zinc/58/08/39/1073580839.db2.gz RSFQKGBXJYIYLN-UHFFFAOYSA-N 0 0 448.567 -0.423 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1O ZINC000745790259 1073580651 /nfs/dbraw/zinc/58/06/51/1073580651.db2.gz XSNRFYXXYDPUAH-HNNXBMFYSA-N 0 0 431.511 -0.487 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1O ZINC000745790263 1073580591 /nfs/dbraw/zinc/58/05/91/1073580591.db2.gz XSNRFYXXYDPUAH-OAHLLOKOSA-N 0 0 431.511 -0.487 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000745812490 1073581146 /nfs/dbraw/zinc/58/11/46/1073581146.db2.gz RTLDINBHCKZECN-UHFFFAOYSA-N 0 0 431.603 -0.309 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)CC2)c1=O ZINC000745817507 1073581101 /nfs/dbraw/zinc/58/11/01/1073581101.db2.gz NMUULJNGMFVIRU-UHFFFAOYSA-N 0 0 448.496 -0.124 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000745853236 1073581266 /nfs/dbraw/zinc/58/12/66/1073581266.db2.gz GLZTWSWMZPMWCL-GOSISDBHSA-N 0 0 443.504 -0.187 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000745853237 1073581116 /nfs/dbraw/zinc/58/11/16/1073581116.db2.gz GLZTWSWMZPMWCL-SFHVURJKSA-N 0 0 443.504 -0.187 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000745854735 1073581190 /nfs/dbraw/zinc/58/11/90/1073581190.db2.gz LDPVPNBNVKHTGG-UHFFFAOYSA-N 0 0 444.529 -0.056 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000745855412 1073581363 /nfs/dbraw/zinc/58/13/63/1073581363.db2.gz SEKDUDSFYIFCCR-UHFFFAOYSA-N 0 0 427.479 -0.056 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000745882624 1073581232 /nfs/dbraw/zinc/58/12/32/1073581232.db2.gz ILIUPTLKAYLSOW-HNNXBMFYSA-N 0 0 441.558 -0.171 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000745882625 1073581132 /nfs/dbraw/zinc/58/11/32/1073581132.db2.gz ILIUPTLKAYLSOW-OAHLLOKOSA-N 0 0 441.558 -0.171 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCc2cccc(OCC(N)=O)c2)CC1 ZINC000745900356 1073581254 /nfs/dbraw/zinc/58/12/54/1073581254.db2.gz VPSZNNDIWZAZTR-UHFFFAOYSA-N 0 0 427.527 -0.036 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000745905018 1073581243 /nfs/dbraw/zinc/58/12/43/1073581243.db2.gz GMKWSXJOVFSEBI-HNNXBMFYSA-N 0 0 441.558 -0.252 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000745905020 1073581173 /nfs/dbraw/zinc/58/11/73/1073581173.db2.gz GMKWSXJOVFSEBI-OAHLLOKOSA-N 0 0 441.558 -0.252 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCOC3CCC3)CC2)cc1 ZINC000745906566 1073581285 /nfs/dbraw/zinc/58/12/85/1073581285.db2.gz LJANDGPOUCVTTM-UHFFFAOYSA-N 0 0 446.551 -0.081 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000745914756 1073581717 /nfs/dbraw/zinc/58/17/17/1073581717.db2.gz CEZISWQFHIBYQE-GDBMZVCRSA-N 0 0 441.558 -0.172 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000745914757 1073581765 /nfs/dbraw/zinc/58/17/65/1073581765.db2.gz CEZISWQFHIBYQE-GOEBONIOSA-N 0 0 441.558 -0.172 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000745914758 1073581775 /nfs/dbraw/zinc/58/17/75/1073581775.db2.gz CEZISWQFHIBYQE-HOCLYGCPSA-N 0 0 441.558 -0.172 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000745914759 1073581920 /nfs/dbraw/zinc/58/19/20/1073581920.db2.gz CEZISWQFHIBYQE-ZBFHGGJFSA-N 0 0 441.558 -0.172 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)CNC(=O)c1cccc(S(=O)(=O)N(CC)CC)c1 ZINC000745929520 1073581671 /nfs/dbraw/zinc/58/16/71/1073581671.db2.gz QQDJZMPNQFOMEA-UHFFFAOYSA-N 0 0 442.494 -0.164 20 0 IBADRN Cn1ccnc(N2CCN(S(=O)(=O)c3ccc(F)c(S(C)(=O)=O)c3)CC2)c1=O ZINC000745940545 1073581730 /nfs/dbraw/zinc/58/17/30/1073581730.db2.gz BUMUPVWWGPBGJP-UHFFFAOYSA-N 0 0 430.483 -0.166 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(c2ccc(C(N)=O)nn2)CC1 ZINC000745971752 1073581831 /nfs/dbraw/zinc/58/18/31/1073581831.db2.gz MOSDMAWNWCAPBC-UHFFFAOYSA-N 0 0 439.519 -0.202 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC3CC3)c[nH]2)cn1C ZINC000745976982 1073581908 /nfs/dbraw/zinc/58/19/08/1073581908.db2.gz LRFXWEHNRYPAHR-UHFFFAOYSA-N 0 0 429.480 -0.047 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCN(c3nccn(C)c3=O)CC1)C2=O ZINC000745990459 1073581608 /nfs/dbraw/zinc/58/16/08/1073581608.db2.gz FYKXWPCQUKMMLH-UHFFFAOYSA-N 0 0 431.497 -0.566 20 0 IBADRN Cn1ccnc(N2CCN(CCC(=O)NN3C(=O)NC4(CCCCC4)C3=O)CC2)c1=O ZINC000745991161 1073581870 /nfs/dbraw/zinc/58/18/70/1073581870.db2.gz MZRRPUXTUDZSHM-UHFFFAOYSA-N 0 0 431.497 -0.422 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2S1(=O)=O ZINC000746006639 1073581790 /nfs/dbraw/zinc/58/17/90/1073581790.db2.gz DSQRUKSGAWVKEP-UHFFFAOYSA-N 0 0 444.535 -0.714 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCN(CCS(N)(=O)=O)CC2)C1=O ZINC000746006877 1073581742 /nfs/dbraw/zinc/58/17/42/1073581742.db2.gz HBPBITNWWBUXMX-GOSISDBHSA-N 0 0 441.485 -0.975 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCN(CCS(N)(=O)=O)CC2)C1=O ZINC000746006878 1073581754 /nfs/dbraw/zinc/58/17/54/1073581754.db2.gz HBPBITNWWBUXMX-SFHVURJKSA-N 0 0 441.485 -0.975 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2=O)cc1 ZINC000746006933 1073581696 /nfs/dbraw/zinc/58/16/96/1073581696.db2.gz ZNDRNXFQZMPBTH-NRFANRHFSA-N 0 0 431.493 -0.130 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(C(C)(C)C(N)=O)CC3)C2=O)cc1 ZINC000746006934 1073581818 /nfs/dbraw/zinc/58/18/18/1073581818.db2.gz ZNDRNXFQZMPBTH-OAQYLSRUSA-N 0 0 431.493 -0.130 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(CCS(N)(=O)=O)CC3)C2=O)cc1 ZINC000746007145 1073581803 /nfs/dbraw/zinc/58/18/03/1073581803.db2.gz LCHMMFPOISVRHP-IBGZPJMESA-N 0 0 437.522 -0.805 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(CCS(N)(=O)=O)CC3)C2=O)cc1 ZINC000746007146 1073581896 /nfs/dbraw/zinc/58/18/96/1073581896.db2.gz LCHMMFPOISVRHP-LJQANCHMSA-N 0 0 437.522 -0.805 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000746007356 1073581656 /nfs/dbraw/zinc/58/16/56/1073581656.db2.gz PLJQTYMEAWLRIA-UHFFFAOYSA-N 0 0 438.959 -0.363 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000746011712 1073582200 /nfs/dbraw/zinc/58/22/00/1073582200.db2.gz ZKQGKMJRJVFZTE-UHFFFAOYSA-N 0 0 442.513 -0.132 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CN3CSCC3=O)C2)CC1 ZINC000746013861 1073582319 /nfs/dbraw/zinc/58/23/19/1073582319.db2.gz LXIBGOWHFWESAQ-UHFFFAOYSA-N 0 0 448.567 -0.387 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3nccnc3N)C2)CC1 ZINC000746013883 1073582294 /nfs/dbraw/zinc/58/22/94/1073582294.db2.gz MKWVVJHLGVLKDI-UHFFFAOYSA-N 0 0 426.499 -0.234 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CSCC(N)=O)C2)CC1 ZINC000746014095 1073582185 /nfs/dbraw/zinc/58/21/85/1073582185.db2.gz QGUJGJKNEIPHAQ-UHFFFAOYSA-N 0 0 436.556 -0.702 20 0 IBADRN C[C@H](C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1)n1cncn1 ZINC000746014163 1073582255 /nfs/dbraw/zinc/58/22/55/1073582255.db2.gz UFWZENPIJZVYCZ-CYBMUJFWSA-N 0 0 428.515 -0.068 20 0 IBADRN C[C@@H](C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1)n1cncn1 ZINC000746014164 1073582306 /nfs/dbraw/zinc/58/23/06/1073582306.db2.gz UFWZENPIJZVYCZ-ZDUSSCGKSA-N 0 0 428.515 -0.068 20 0 IBADRN Cn1nc(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)ccc1=O ZINC000746014178 1073582282 /nfs/dbraw/zinc/58/22/82/1073582282.db2.gz VGWVYINLDKFHMK-UHFFFAOYSA-N 0 0 441.510 -0.513 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CCn3cncn3)C2)CC1 ZINC000746014199 1073582386 /nfs/dbraw/zinc/58/23/86/1073582386.db2.gz WJCRTTFMBYMRSZ-UHFFFAOYSA-N 0 0 428.515 -0.239 20 0 IBADRN COC(=O)NCCC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746014200 1073582229 /nfs/dbraw/zinc/58/22/29/1073582229.db2.gz WJXXSOUJQLAHQV-UHFFFAOYSA-N 0 0 434.515 -0.174 20 0 IBADRN C[C@H](C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1)S(C)(=O)=O ZINC000746014215 1073582423 /nfs/dbraw/zinc/58/24/23/1073582423.db2.gz XJEFFUYIVPHTPO-GFCCVEGCSA-N 0 0 439.556 -0.487 20 0 IBADRN C[C@@H](C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1)S(C)(=O)=O ZINC000746014216 1073582398 /nfs/dbraw/zinc/58/23/98/1073582398.db2.gz XJEFFUYIVPHTPO-LBPRGKRZSA-N 0 0 439.556 -0.487 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CCCCNC(N)=O)C2)CC1 ZINC000746014254 1073582241 /nfs/dbraw/zinc/58/22/41/1073582241.db2.gz ZTQPGCMUJDRUOM-UHFFFAOYSA-N 0 0 447.558 -0.082 20 0 IBADRN CN(C)C(=O)CNC(=O)C[C@@]1(C(=O)NCC(=O)N(C)C)CCN(C(=O)OC(C)(C)C)C1 ZINC000746014840 1073582429 /nfs/dbraw/zinc/58/24/29/1073582429.db2.gz LKPIKFPCFJSRHY-FQEVSTJZSA-N 0 0 441.529 -0.588 20 0 IBADRN CN(C)C(=O)CNC(=O)C[C@]1(C(=O)NCC(=O)N(C)C)CCN(C(=O)OC(C)(C)C)C1 ZINC000746014841 1073582409 /nfs/dbraw/zinc/58/24/09/1073582409.db2.gz LKPIKFPCFJSRHY-HXUWFJFHSA-N 0 0 441.529 -0.588 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000746018662 1073582152 /nfs/dbraw/zinc/58/21/52/1073582152.db2.gz KPOJTWUNFVOIDX-UHFFFAOYSA-N 0 0 442.538 -0.618 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000746019598 1073582268 /nfs/dbraw/zinc/58/22/68/1073582268.db2.gz SZDGRBIKKAKJSB-LLVKDONJSA-N 0 0 429.499 -0.140 20 0 IBADRN C[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000746019600 1073582212 /nfs/dbraw/zinc/58/22/12/1073582212.db2.gz SZDGRBIKKAKJSB-NSHDSACASA-N 0 0 429.499 -0.140 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)C(C)(C)C)s1 ZINC000746021779 1073582167 /nfs/dbraw/zinc/58/21/67/1073582167.db2.gz VKFNTUXMSZLNBR-UHFFFAOYSA-N 0 0 439.581 -0.008 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCc2nn(C)c(=O)cc2C1 ZINC000746040679 1073582335 /nfs/dbraw/zinc/58/23/35/1073582335.db2.gz AYBITUFTAAHYDX-UHFFFAOYSA-N 0 0 445.501 -0.495 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC000746041518 1073582867 /nfs/dbraw/zinc/58/28/67/1073582867.db2.gz UWLSVCRKTAPQQR-UHFFFAOYSA-N 0 0 435.462 -0.514 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(N3CCNC(=O)C3)c(Cl)c1)CC2 ZINC000746041730 1073582887 /nfs/dbraw/zinc/58/28/87/1073582887.db2.gz YWDUTQHVEYNBSJ-UHFFFAOYSA-N 0 0 444.879 -0.107 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2)c1=O ZINC000746041781 1073582628 /nfs/dbraw/zinc/58/26/28/1073582628.db2.gz HGRXUDDQKZUIPC-UHFFFAOYSA-N 0 0 439.476 -0.996 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000746041868 1073582937 /nfs/dbraw/zinc/58/29/37/1073582937.db2.gz LKPMQXTUDYZKNF-UHFFFAOYSA-N 0 0 428.449 -0.348 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCOCC4)cc3)CC2)c1=O ZINC000746042145 1073582744 /nfs/dbraw/zinc/58/27/44/1073582744.db2.gz VDVVTAHWENENDZ-UHFFFAOYSA-N 0 0 426.477 -0.096 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000746042364 1073582640 /nfs/dbraw/zinc/58/26/40/1073582640.db2.gz ZKBRDVKVNYAYAO-UHFFFAOYSA-N 0 0 428.449 -0.076 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC(C)(C)NC(=O)OC(C)(C)C)cnc2n(C)c1=O ZINC000746042497 1073582820 /nfs/dbraw/zinc/58/28/20/1073582820.db2.gz QENZPLSWUSJCRS-UHFFFAOYSA-N 0 0 448.480 -0.010 20 0 IBADRN CCN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000746053272 1073582898 /nfs/dbraw/zinc/58/28/98/1073582898.db2.gz LPGZEHMPWWLVIT-UHFFFAOYSA-N 0 0 439.581 -0.099 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000746098818 1073582661 /nfs/dbraw/zinc/58/26/61/1073582661.db2.gz JHKDKPSYUORMHX-UHFFFAOYSA-N 0 0 429.543 -0.387 20 0 IBADRN CNC(=O)CN(C)C(=O)C[C@@]1(C(=O)N(C)CC(=O)NC)CCN(C(=O)OC(C)(C)C)C1 ZINC000746099203 1073582761 /nfs/dbraw/zinc/58/27/61/1073582761.db2.gz NLOZPHDIJYRUPV-FQEVSTJZSA-N 0 0 441.529 -0.588 20 0 IBADRN CNC(=O)CN(C)C(=O)C[C@]1(C(=O)N(C)CC(=O)NC)CCN(C(=O)OC(C)(C)C)C1 ZINC000746099205 1073582852 /nfs/dbraw/zinc/58/28/52/1073582852.db2.gz NLOZPHDIJYRUPV-HXUWFJFHSA-N 0 0 441.529 -0.588 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC000746104463 1073582616 /nfs/dbraw/zinc/58/26/16/1073582616.db2.gz REWXDLDIEWPJNU-MOPGFXCFSA-N 0 0 448.520 -0.335 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)NC2CCN(CC(N)=O)CC2)CCCC1 ZINC000746111999 1073583453 /nfs/dbraw/zinc/58/34/53/1073583453.db2.gz GKVKXBSEDNPEPO-UHFFFAOYSA-N 0 0 427.527 -0.052 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCc2ccc(C(=O)N3CCCC3)cc2)c[nH]1 ZINC000746112639 1073583497 /nfs/dbraw/zinc/58/34/97/1073583497.db2.gz SCQJMLFJNPKLJO-UHFFFAOYSA-N 0 0 433.490 -0.056 20 0 IBADRN CNC(=O)c1ccc(CN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C2CC2)cc1 ZINC000746116428 1073583214 /nfs/dbraw/zinc/58/32/14/1073583214.db2.gz WAPAAIRKFKZOJG-UHFFFAOYSA-N 0 0 433.490 -0.057 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000746116986 1073583290 /nfs/dbraw/zinc/58/32/90/1073583290.db2.gz PRHCFLIOUJGDIE-UHFFFAOYSA-N 0 0 432.543 -0.035 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000746117220 1073583186 /nfs/dbraw/zinc/58/31/86/1073583186.db2.gz WJSYCJXRJGFEMB-UHFFFAOYSA-N 0 0 449.526 -0.046 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CC3CN(C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC000746122602 1073583271 /nfs/dbraw/zinc/58/32/71/1073583271.db2.gz RPBHBPCYNXLVFR-UHFFFAOYSA-N 0 0 445.586 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCCNS(=O)(=O)Cc2ccccc2)c1 ZINC000746125055 1073583309 /nfs/dbraw/zinc/58/33/09/1073583309.db2.gz JBHRFOFHKIRTEP-UHFFFAOYSA-N 0 0 444.535 -0.211 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCc3ccc(-n4cncn4)nc3)CC2=O)c1 ZINC000746127886 1073583551 /nfs/dbraw/zinc/58/35/51/1073583551.db2.gz IETAAMVLRHCXQQ-AWEZNQCLSA-N 0 0 441.473 -0.021 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCc3ccc(-n4cncn4)nc3)CC2=O)c1 ZINC000746127888 1073583324 /nfs/dbraw/zinc/58/33/24/1073583324.db2.gz IETAAMVLRHCXQQ-CQSZACIVSA-N 0 0 441.473 -0.021 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)C1 ZINC000746130640 1073583540 /nfs/dbraw/zinc/58/35/40/1073583540.db2.gz VSUWMZJAPYYJRW-BBWFWOEESA-N 0 0 440.522 -0.210 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)C1 ZINC000746130641 1073583228 /nfs/dbraw/zinc/58/32/28/1073583228.db2.gz VSUWMZJAPYYJRW-ZACQAIPSSA-N 0 0 440.522 -0.210 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000746131112 1073583527 /nfs/dbraw/zinc/58/35/27/1073583527.db2.gz PXCYGRDONUZRII-UHFFFAOYSA-N 0 0 428.536 -0.049 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CN(C)CCO2)CC1 ZINC000746131430 1073583373 /nfs/dbraw/zinc/58/33/73/1073583373.db2.gz WRJRJERZAIPPBZ-INIZCTEOSA-N 0 0 445.563 -0.438 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CN(C)CCO2)CC1 ZINC000746131432 1073583333 /nfs/dbraw/zinc/58/33/33/1073583333.db2.gz WRJRJERZAIPPBZ-MRXNPFEDSA-N 0 0 445.563 -0.438 20 0 IBADRN C[C@](O)(Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746132078 1073583852 /nfs/dbraw/zinc/58/38/52/1073583852.db2.gz LDZUCQWGNOGSAJ-AEFFLSMTSA-N 0 0 430.548 -0.359 20 0 IBADRN C[C@@](O)(Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746132079 1073583909 /nfs/dbraw/zinc/58/39/09/1073583909.db2.gz LDZUCQWGNOGSAJ-FUHWJXTLSA-N 0 0 430.548 -0.359 20 0 IBADRN C[C@@](O)(Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746132080 1073583815 /nfs/dbraw/zinc/58/38/15/1073583815.db2.gz LDZUCQWGNOGSAJ-SJLPKXTDSA-N 0 0 430.548 -0.359 20 0 IBADRN C[C@](O)(Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746132081 1073583839 /nfs/dbraw/zinc/58/38/39/1073583839.db2.gz LDZUCQWGNOGSAJ-WMZOPIPTSA-N 0 0 430.548 -0.359 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000746132196 1073583199 /nfs/dbraw/zinc/58/31/99/1073583199.db2.gz QOCJCLGORUCSKN-KFWWJZLASA-N 0 0 436.552 -0.373 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000746132197 1073583362 /nfs/dbraw/zinc/58/33/62/1073583362.db2.gz QOCJCLGORUCSKN-RBSFLKMASA-N 0 0 436.552 -0.373 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000746132198 1073583408 /nfs/dbraw/zinc/58/34/08/1073583408.db2.gz QOCJCLGORUCSKN-RRFJBIMHSA-N 0 0 436.552 -0.373 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000746132199 1073583441 /nfs/dbraw/zinc/58/34/41/1073583441.db2.gz QOCJCLGORUCSKN-ZNMIVQPWSA-N 0 0 436.552 -0.373 20 0 IBADRN CNC(=O)Cc1nc(Cn2c(Br)nc3c2n(C)c(=O)n(C)c3=O)cs1 ZINC000746142139 1073583895 /nfs/dbraw/zinc/58/38/95/1073583895.db2.gz QITKWRVSELQYBZ-UHFFFAOYSA-N 0 0 427.284 -0.011 20 0 IBADRN CC(C)(C)OC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000746148465 1073583923 /nfs/dbraw/zinc/58/39/23/1073583923.db2.gz BEGNAGSWLABLPY-UHFFFAOYSA-N 0 0 429.499 -0.139 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CN1C(=O)NC(=O)C1(C)C ZINC000746149268 1073583762 /nfs/dbraw/zinc/58/37/62/1073583762.db2.gz LUGNULIRESNDBO-UHFFFAOYSA-N 0 0 425.486 -0.146 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000746149291 1073583825 /nfs/dbraw/zinc/58/38/25/1073583825.db2.gz KKGNHUNGCOLZHL-LLVKDONJSA-N 0 0 429.499 -0.092 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C[C@]1(C(=O)N[C@@H](C)C(=O)NC)CCN(C(=O)OC(C)(C)C)C1 ZINC000746150017 1073671183 /nfs/dbraw/zinc/67/11/83/1073671183.db2.gz WCULBMRDGFNRHP-KQHSUYLTSA-N 0 0 441.529 -0.495 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@@]1(CC(=O)N[C@@H](C)C(=O)NC)CCN(C(=O)OC(C)(C)C)C1 ZINC000746150018 1073671202 /nfs/dbraw/zinc/67/12/02/1073671202.db2.gz WCULBMRDGFNRHP-MRRFBWAASA-N 0 0 441.529 -0.495 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C[C@@]1(C(=O)N[C@@H](C)C(=O)NC)CCN(C(=O)OC(C)(C)C)C1 ZINC000746150019 1073584419 /nfs/dbraw/zinc/58/44/19/1073584419.db2.gz WCULBMRDGFNRHP-QAJFTPDKSA-N 0 0 441.529 -0.495 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@]1(CC(=O)N[C@@H](C)C(=O)NC)CCN(C(=O)OC(C)(C)C)C1 ZINC000746150020 1073584300 /nfs/dbraw/zinc/58/43/00/1073584300.db2.gz WCULBMRDGFNRHP-RDXCRGQUSA-N 0 0 441.529 -0.495 20 0 IBADRN Nc1nc(N)nc(COC(=O)c2cc(I)ccc2-n2cnnn2)n1 ZINC000746157917 1073584332 /nfs/dbraw/zinc/58/43/32/1073584332.db2.gz XSKIYYLPIVJXRP-UHFFFAOYSA-N 0 0 439.177 -0.027 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000746163748 1073584228 /nfs/dbraw/zinc/58/42/28/1073584228.db2.gz KWLYBZWVJXHXEZ-CABCVRRESA-N 0 0 436.552 -0.088 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000746163749 1073584238 /nfs/dbraw/zinc/58/42/38/1073584238.db2.gz KWLYBZWVJXHXEZ-GJZGRUSLSA-N 0 0 436.552 -0.088 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000746163750 1073584311 /nfs/dbraw/zinc/58/43/11/1073584311.db2.gz KWLYBZWVJXHXEZ-HUUCEWRRSA-N 0 0 436.552 -0.088 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000746163751 1073584189 /nfs/dbraw/zinc/58/41/89/1073584189.db2.gz KWLYBZWVJXHXEZ-LSDHHAIUSA-N 0 0 436.552 -0.088 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)Cc2ccccc2)c[nH]1 ZINC000746165512 1073584174 /nfs/dbraw/zinc/58/41/74/1073584174.db2.gz CUPMGPPELZFSSW-UHFFFAOYSA-N 0 0 428.492 -0.877 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)Cc2ccccc2)o1 ZINC000746167321 1073584392 /nfs/dbraw/zinc/58/43/92/1073584392.db2.gz VCMSHHJZHYAMLM-UHFFFAOYSA-N 0 0 429.476 -0.612 20 0 IBADRN O=C(COC(=O)Cn1nc(-c2ccccc2)oc1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000746188875 1073584767 /nfs/dbraw/zinc/58/47/67/1073584767.db2.gz DSVXIJDRGWNPKT-GFCCVEGCSA-N 0 0 438.418 -0.941 20 0 IBADRN O=C(COC(=O)Cn1nc(-c2ccccc2)oc1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000746188883 1073584698 /nfs/dbraw/zinc/58/46/98/1073584698.db2.gz DSVXIJDRGWNPKT-LBPRGKRZSA-N 0 0 438.418 -0.941 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cn1nc(-c3ccccc3)oc1=O)c(=O)n2C ZINC000746189044 1073584832 /nfs/dbraw/zinc/58/48/32/1073584832.db2.gz ICLMFYKWCMIHCS-UHFFFAOYSA-N 0 0 440.416 -0.116 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cn3nc(-c4ccccc4)oc3=O)c2c(=O)n(C)c1=O ZINC000746189420 1073584778 /nfs/dbraw/zinc/58/47/78/1073584778.db2.gz PZISTRPMXUKABB-UHFFFAOYSA-N 0 0 426.389 -0.506 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000746193162 1073584431 /nfs/dbraw/zinc/58/44/31/1073584431.db2.gz DXGKNFPYZPTRNR-LLVKDONJSA-N 0 0 429.499 -0.235 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000746193165 1073584347 /nfs/dbraw/zinc/58/43/47/1073584347.db2.gz DXGKNFPYZPTRNR-NSHDSACASA-N 0 0 429.499 -0.235 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000746198574 1073584289 /nfs/dbraw/zinc/58/42/89/1073584289.db2.gz CEAQQSJZNWFSMP-UHFFFAOYSA-N 0 0 429.433 -0.242 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000746199150 1073584368 /nfs/dbraw/zinc/58/43/68/1073584368.db2.gz RRJIEURILNQTII-GFCCVEGCSA-N 0 0 441.462 -0.677 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000746199151 1073584687 /nfs/dbraw/zinc/58/46/87/1073584687.db2.gz RRJIEURILNQTII-LBPRGKRZSA-N 0 0 441.462 -0.677 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1=O ZINC000746201910 1073584676 /nfs/dbraw/zinc/58/46/76/1073584676.db2.gz QIBUCKUUYBJZIW-UHFFFAOYSA-N 0 0 443.504 -0.814 20 0 IBADRN CCN(CC)C(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000746202076 1073584732 /nfs/dbraw/zinc/58/47/32/1073584732.db2.gz JVYSDZBNYJPNMS-KRWDZBQOSA-N 0 0 449.533 -0.012 20 0 IBADRN CCN(CC)C(=O)[C@@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000746202080 1073584820 /nfs/dbraw/zinc/58/48/20/1073584820.db2.gz JVYSDZBNYJPNMS-QGZVFWFLSA-N 0 0 449.533 -0.012 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1cc(F)ccc1F ZINC000746202714 1073584875 /nfs/dbraw/zinc/58/48/75/1073584875.db2.gz QZVUWUXEWCXBSI-AWEZNQCLSA-N 0 0 431.373 -0.035 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)c1cc(F)ccc1F ZINC000746202715 1073584746 /nfs/dbraw/zinc/58/47/46/1073584746.db2.gz QZVUWUXEWCXBSI-CQSZACIVSA-N 0 0 431.373 -0.035 20 0 IBADRN COC(=O)[C@@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1cc(F)ccc1F ZINC000746202808 1073584654 /nfs/dbraw/zinc/58/46/54/1073584654.db2.gz UUYLUVVMMJBAGQ-AWEZNQCLSA-N 0 0 430.389 -0.299 20 0 IBADRN COC(=O)[C@H](NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1cc(F)ccc1F ZINC000746202809 1073584624 /nfs/dbraw/zinc/58/46/24/1073584624.db2.gz UUYLUVVMMJBAGQ-CQSZACIVSA-N 0 0 430.389 -0.299 20 0 IBADRN CC(C)(C)OC(=O)N(CCNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C1CC1 ZINC000746208426 1073584707 /nfs/dbraw/zinc/58/47/07/1073584707.db2.gz JRHJWZAYPDVSHO-UHFFFAOYSA-N 0 0 429.499 -0.092 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(CC(=O)NCCOC)CC2)c1=O ZINC000746216816 1073585404 /nfs/dbraw/zinc/58/54/04/1073585404.db2.gz XJKHIXQVJDKBKJ-UHFFFAOYSA-N 0 0 431.493 -0.910 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CCCN(CC(N)=O)CC2)CCCC1 ZINC000746217793 1073585115 /nfs/dbraw/zinc/58/51/15/1073585115.db2.gz BNUPDNNDUOEGHD-UHFFFAOYSA-N 0 0 427.527 -0.098 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCCN(CC(N)=O)CC2)cc1 ZINC000746219452 1073585354 /nfs/dbraw/zinc/58/53/54/1073585354.db2.gz SMFHEUNICGACDS-UHFFFAOYSA-N 0 0 426.495 -0.839 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000746219784 1073585309 /nfs/dbraw/zinc/58/53/09/1073585309.db2.gz ZESXLRWJBKDEJN-KRWDZBQOSA-N 0 0 428.493 -0.444 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC000746219788 1073585253 /nfs/dbraw/zinc/58/52/53/1073585253.db2.gz ZESXLRWJBKDEJN-QGZVFWFLSA-N 0 0 428.493 -0.444 20 0 IBADRN CC[NH+]1CCN(CC(=O)NCCN=c2ncnc3n(C)[n-]c(Br)c2-3)CC1 ZINC000746223279 1073585285 /nfs/dbraw/zinc/58/52/85/1073585285.db2.gz IEKFSPGDXDKGAY-UHFFFAOYSA-N 0 0 425.335 -0.335 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)NCC(=O)NCCO)c2)CC1 ZINC000746226270 1073585141 /nfs/dbraw/zinc/58/51/41/1073585141.db2.gz LGRWOZUDHAHNBD-UHFFFAOYSA-N 0 0 432.930 -0.496 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCCC2N=NC(=S)N2C)cc1 ZINC000746226462 1073585239 /nfs/dbraw/zinc/58/52/39/1073585239.db2.gz XBYUBVPAJGDXHY-UHFFFAOYSA-N 0 0 427.508 -0.082 20 0 IBADRN O=C(CNC(=O)c1cccc(S(=O)(=O)NCc2ccc3c(c2)OCO3)c1)NCCO ZINC000746226902 1073584640 /nfs/dbraw/zinc/58/46/40/1073584640.db2.gz PYPJDJCVVWLFHB-UHFFFAOYSA-N 0 0 435.458 -0.268 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCC(=O)NCCO)C1=O ZINC000746227152 1073584666 /nfs/dbraw/zinc/58/46/66/1073584666.db2.gz UOBGECRXIRPXTF-INIZCTEOSA-N 0 0 427.255 -0.559 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCC(=O)NCCO)C1=O ZINC000746227155 1073584853 /nfs/dbraw/zinc/58/48/53/1073584853.db2.gz UOBGECRXIRPXTF-MRXNPFEDSA-N 0 0 427.255 -0.559 20 0 IBADRN CCN1C(=S)N=NC1[C@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000746230287 1073584795 /nfs/dbraw/zinc/58/47/95/1073584795.db2.gz DRYIYMNXPTZOSF-JTQLQIEISA-N 0 0 441.539 -0.302 20 0 IBADRN CCN1C(=S)N=NC1[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000746230288 1073584718 /nfs/dbraw/zinc/58/47/18/1073584718.db2.gz DRYIYMNXPTZOSF-SNVBAGLBSA-N 0 0 441.539 -0.302 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NN3C(=O)N[C@@](C)(c4ccccc4)C3=O)nc2c(=O)[nH]c1=O ZINC000746231218 1073585392 /nfs/dbraw/zinc/58/53/92/1073585392.db2.gz BFPMRKJYOZSTQW-IBGZPJMESA-N 0 0 425.405 -0.207 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NN3C(=O)N[C@](C)(c4ccccc4)C3=O)nc2c(=O)[nH]c1=O ZINC000746231223 1073585436 /nfs/dbraw/zinc/58/54/36/1073585436.db2.gz BFPMRKJYOZSTQW-LJQANCHMSA-N 0 0 425.405 -0.207 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCCNS(=O)(=O)Cc3ccccc3)nc2c(=O)[nH]c1=O ZINC000746233015 1073585228 /nfs/dbraw/zinc/58/52/28/1073585228.db2.gz KMCYZVADAPCHLU-UHFFFAOYSA-N 0 0 434.478 -0.469 20 0 IBADRN Cn1c2[nH]c(CCC(=O)NCCN3CCN(C(=O)OC(C)(C)C)CC3)nc2c(=O)[nH]c1=O ZINC000746236270 1073585813 /nfs/dbraw/zinc/58/58/13/1073585813.db2.gz VNHJTHZSHSDREA-UHFFFAOYSA-N 0 0 449.512 -0.036 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000746236419 1073585449 /nfs/dbraw/zinc/58/54/49/1073585449.db2.gz IHHBYGWLJTVKML-LLVKDONJSA-N 0 0 429.499 -0.092 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000746236420 1073585888 /nfs/dbraw/zinc/58/58/88/1073585888.db2.gz IHHBYGWLJTVKML-NSHDSACASA-N 0 0 429.499 -0.092 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000746238521 1073585795 /nfs/dbraw/zinc/58/57/95/1073585795.db2.gz MIIMHIWQWCYRSK-LLVKDONJSA-N 0 0 438.535 -0.054 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000746238522 1073585908 /nfs/dbraw/zinc/58/59/08/1073585908.db2.gz MIIMHIWQWCYRSK-NSHDSACASA-N 0 0 438.535 -0.054 20 0 IBADRN O=C([C@H]1CSC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000746239008 1073585785 /nfs/dbraw/zinc/58/57/85/1073585785.db2.gz ZEXLJDCBVZHWPQ-GFCCVEGCSA-N 0 0 426.476 -0.325 20 0 IBADRN O=C([C@@H]1CSC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000746239009 1073585855 /nfs/dbraw/zinc/58/58/55/1073585855.db2.gz ZEXLJDCBVZHWPQ-LBPRGKRZSA-N 0 0 426.476 -0.325 20 0 IBADRN O=C(CNC(=O)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1)NCCN1CCOCC1 ZINC000746247422 1073585771 /nfs/dbraw/zinc/58/57/71/1073585771.db2.gz AUGMBTCKWWDKDE-MSOLQXFVSA-N 0 0 434.493 -0.677 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCN3C(=O)COc4ccccc43)c2c(=O)n(C)c1=O ZINC000746250772 1073585929 /nfs/dbraw/zinc/58/59/29/1073585929.db2.gz HUEVPLNOTXYJFO-UHFFFAOYSA-N 0 0 427.417 -0.207 20 0 IBADRN O=C(COC(=O)CCN1C(=O)COc2ccccc21)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000746250774 1073585754 /nfs/dbraw/zinc/58/57/54/1073585754.db2.gz HWRFYLSHOHYXMA-GFCCVEGCSA-N 0 0 439.446 -0.642 20 0 IBADRN O=C(COC(=O)CCN1C(=O)COc2ccccc21)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000746250778 1073585924 /nfs/dbraw/zinc/58/59/24/1073585924.db2.gz HWRFYLSHOHYXMA-LBPRGKRZSA-N 0 0 439.446 -0.642 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000746252911 1073585882 /nfs/dbraw/zinc/58/58/82/1073585882.db2.gz IHKFRXUARFFKJM-JTQLQIEISA-N 0 0 425.389 -0.987 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000746252919 1073585740 /nfs/dbraw/zinc/58/57/40/1073585740.db2.gz IHKFRXUARFFKJM-SNVBAGLBSA-N 0 0 425.389 -0.987 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(=O)OCc2ccccc2Cl)c[nH]1 ZINC000746258797 1073585864 /nfs/dbraw/zinc/58/58/64/1073585864.db2.gz COPYOWGDQYBAFO-UHFFFAOYSA-N 0 0 428.854 -0.095 20 0 IBADRN CN(CC(=O)OCC(=O)NCCCN1CCCC1=O)C1=NS(=O)(=O)c2ccccc21 ZINC000746262911 1073585156 /nfs/dbraw/zinc/58/51/56/1073585156.db2.gz UILFPPMKHLTJDH-UHFFFAOYSA-N 0 0 436.490 -0.261 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000746266793 1073586376 /nfs/dbraw/zinc/58/63/76/1073586376.db2.gz SFQVNEITTBIXOF-LLVKDONJSA-N 0 0 426.451 -0.529 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000746266794 1073586458 /nfs/dbraw/zinc/58/64/58/1073586458.db2.gz SFQVNEITTBIXOF-NSHDSACASA-N 0 0 426.451 -0.529 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000746267011 1073586392 /nfs/dbraw/zinc/58/63/92/1073586392.db2.gz JQEMODSQBMUKSS-LLVKDONJSA-N 0 0 429.499 -0.092 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000746267013 1073586439 /nfs/dbraw/zinc/58/64/39/1073586439.db2.gz JQEMODSQBMUKSS-NSHDSACASA-N 0 0 429.499 -0.092 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)NCCNS(=O)(=O)c3cccnc3)cc2S1(=O)=O ZINC000746270129 1073586221 /nfs/dbraw/zinc/58/62/21/1073586221.db2.gz DHQXQIIKUWKDKY-UHFFFAOYSA-N 0 0 438.487 -0.046 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCNS(=O)(=O)c2cccnc2)=NN1c1ccc(F)cc1 ZINC000746270697 1073586337 /nfs/dbraw/zinc/58/63/37/1073586337.db2.gz JNVZCCDIULRIKD-INIZCTEOSA-N 0 0 434.453 -0.265 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCNS(=O)(=O)c2cccnc2)=NN1c1ccc(F)cc1 ZINC000746270698 1073586255 /nfs/dbraw/zinc/58/62/55/1073586255.db2.gz JNVZCCDIULRIKD-MRXNPFEDSA-N 0 0 434.453 -0.265 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(Cc3ccc(Cl)nc3)CC2)c[nH]1 ZINC000746273070 1073586359 /nfs/dbraw/zinc/58/63/59/1073586359.db2.gz QJVLFKDLMLJKSH-UHFFFAOYSA-N 0 0 440.913 -0.215 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)c1cccnc1)C1=NS(=O)(=O)c2ccccc21 ZINC000746275076 1073586318 /nfs/dbraw/zinc/58/63/18/1073586318.db2.gz KMEHGNPJPJLJFA-UHFFFAOYSA-N 0 0 437.503 -0.443 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000746277171 1073586347 /nfs/dbraw/zinc/58/63/47/1073586347.db2.gz GDNCJBYAXVRTCK-HNNXBMFYSA-N 0 0 444.444 -0.849 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000746277172 1073586280 /nfs/dbraw/zinc/58/62/80/1073586280.db2.gz GDNCJBYAXVRTCK-OAHLLOKOSA-N 0 0 444.444 -0.849 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)CC1 ZINC000746277625 1073586464 /nfs/dbraw/zinc/58/64/64/1073586464.db2.gz PDOGYJSRKFNCBK-UHFFFAOYSA-N 0 0 434.493 -0.163 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000746290456 1073585834 /nfs/dbraw/zinc/58/58/34/1073585834.db2.gz JQAVMUKKNMCKJK-DOMZBBRYSA-N 0 0 425.463 -0.523 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000746290469 1073585845 /nfs/dbraw/zinc/58/58/45/1073585845.db2.gz JQAVMUKKNMCKJK-IUODEOHRSA-N 0 0 425.463 -0.523 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000746290471 1073585875 /nfs/dbraw/zinc/58/58/75/1073585875.db2.gz JQAVMUKKNMCKJK-SWLSCSKDSA-N 0 0 425.463 -0.523 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCO1 ZINC000746290473 1073585901 /nfs/dbraw/zinc/58/59/01/1073585901.db2.gz JQAVMUKKNMCKJK-WFASDCNBSA-N 0 0 425.463 -0.523 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2cc(C(=O)N3CCO[C@H](C(=O)OCC)C3)ccn2)CCO1 ZINC000746291222 1073586958 /nfs/dbraw/zinc/58/69/58/1073586958.db2.gz PGGVSBSXDATSIX-DLBZAZTESA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccnc(C(=O)N3CCO[C@@H](C(=O)OCC)C3)c2)CCO1 ZINC000746291223 1073586918 /nfs/dbraw/zinc/58/69/18/1073586918.db2.gz PGGVSBSXDATSIX-IAGOWNOFSA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2ccnc(C(=O)N3CCO[C@H](C(=O)OCC)C3)c2)CCO1 ZINC000746291224 1073586882 /nfs/dbraw/zinc/58/68/82/1073586882.db2.gz PGGVSBSXDATSIX-IRXDYDNUSA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccnc(C(=O)N3CCO[C@H](C(=O)OCC)C3)c2)CCO1 ZINC000746291225 1073586929 /nfs/dbraw/zinc/58/69/29/1073586929.db2.gz PGGVSBSXDATSIX-SJORKVTESA-N 0 0 449.460 -0.110 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCN2C(=S)N=NC2C)s1 ZINC000746291467 1073586902 /nfs/dbraw/zinc/58/69/02/1073586902.db2.gz AUWNWRYBGHBWKO-UHFFFAOYSA-N 0 0 432.553 -0.332 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCO1 ZINC000746291896 1073586431 /nfs/dbraw/zinc/58/64/31/1073586431.db2.gz UCZSQRVEOQKOLS-CYBMUJFWSA-N 0 0 433.508 -0.547 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCO1 ZINC000746291897 1073586267 /nfs/dbraw/zinc/58/62/67/1073586267.db2.gz UCZSQRVEOQKOLS-ZDUSSCGKSA-N 0 0 433.508 -0.547 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](C(=O)OCC)C2)cc1 ZINC000746291903 1073586479 /nfs/dbraw/zinc/58/64/79/1073586479.db2.gz UIEQZQATONHJCZ-HNNXBMFYSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](C(=O)OCC)C2)cc1 ZINC000746291904 1073586236 /nfs/dbraw/zinc/58/62/36/1073586236.db2.gz UIEQZQATONHJCZ-OAHLLOKOSA-N 0 0 428.463 -0.068 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)CSCC(=O)NCCN1C(=S)N=NC1C ZINC000746296494 1073586809 /nfs/dbraw/zinc/58/68/09/1073586809.db2.gz QUHDEKBECAEHRS-UHFFFAOYSA-N 0 0 430.585 -0.270 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000746300800 1073586985 /nfs/dbraw/zinc/58/69/85/1073586985.db2.gz ABWNIVNRMZBQSN-LLVKDONJSA-N 0 0 438.535 -0.054 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000746300803 1073586789 /nfs/dbraw/zinc/58/67/89/1073586789.db2.gz ABWNIVNRMZBQSN-NSHDSACASA-N 0 0 438.535 -0.054 20 0 IBADRN CC(=O)N[C@@H](CC(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1)C(N)=O ZINC000746301189 1073587058 /nfs/dbraw/zinc/58/70/58/1073587058.db2.gz CAPBBWVZMHNQLU-AWEZNQCLSA-N 0 0 447.464 -0.320 20 0 IBADRN C[C@@H]1CN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CCN1C(=O)OC(C)(C)C ZINC000746302137 1073587089 /nfs/dbraw/zinc/58/70/89/1073587089.db2.gz JXLQDARYNXJYJA-LLVKDONJSA-N 0 0 429.499 -0.140 20 0 IBADRN C[C@H]1CN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CCN1C(=O)OC(C)(C)C ZINC000746302139 1073586944 /nfs/dbraw/zinc/58/69/44/1073586944.db2.gz JXLQDARYNXJYJA-NSHDSACASA-N 0 0 429.499 -0.140 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000746302443 1073587016 /nfs/dbraw/zinc/58/70/16/1073587016.db2.gz OTYYZBKDRWBIPU-UHFFFAOYSA-N 0 0 426.480 -0.499 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000746302624 1073587030 /nfs/dbraw/zinc/58/70/30/1073587030.db2.gz RVCHWEWNAIRHBJ-UHFFFAOYSA-N 0 0 446.580 -0.079 20 0 IBADRN O=C(CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000746308506 1073587072 /nfs/dbraw/zinc/58/70/72/1073587072.db2.gz XRFBYKBXFVLFLW-CYBMUJFWSA-N 0 0 430.465 -0.496 20 0 IBADRN O=C(CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000746308507 1073586852 /nfs/dbraw/zinc/58/68/52/1073586852.db2.gz XRFBYKBXFVLFLW-ZDUSSCGKSA-N 0 0 430.465 -0.496 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)NCCNS(=O)(=O)c1cccnc1 ZINC000746309092 1073587101 /nfs/dbraw/zinc/58/71/01/1073587101.db2.gz FRHZNWDZLXHBHN-UHFFFAOYSA-N 0 0 441.535 -0.221 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC2CCN(C(=O)C(F)(F)F)CC2)cn1C ZINC000746311540 1073586831 /nfs/dbraw/zinc/58/68/31/1073586831.db2.gz QACBKIXLMGSWKU-UHFFFAOYSA-N 0 0 425.433 -0.076 20 0 IBADRN Cn1c2ncn(CCC(=O)NCC3CCN(C(=O)C(F)(F)F)CC3)c2c(=O)n(C)c1=O ZINC000746311904 1073586970 /nfs/dbraw/zinc/58/69/70/1073586970.db2.gz JEOLIFSRPZWSIH-UHFFFAOYSA-N 0 0 444.414 -0.259 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCc3c(cccc3N3CCOC3=O)C2)c[nH]1 ZINC000746314772 1073586996 /nfs/dbraw/zinc/58/69/96/1073586996.db2.gz YQCVUHYWFHCUGJ-UHFFFAOYSA-N 0 0 447.473 -0.067 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000746326551 1073587475 /nfs/dbraw/zinc/58/74/75/1073587475.db2.gz ROEAZUYXYHSJTG-UHFFFAOYSA-N 0 0 448.571 -0.726 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000746331050 1073587604 /nfs/dbraw/zinc/58/76/04/1073587604.db2.gz DNWUYUNHZFYXOB-LLVKDONJSA-N 0 0 429.499 -0.140 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000746331052 1073587461 /nfs/dbraw/zinc/58/74/61/1073587461.db2.gz DNWUYUNHZFYXOB-NSHDSACASA-N 0 0 429.499 -0.140 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@](O)(c2ccccc2)C(F)(F)F)c[nH]1 ZINC000746331562 1073587514 /nfs/dbraw/zinc/58/75/14/1073587514.db2.gz CZRZZICNIIAWMS-HNNXBMFYSA-N 0 0 434.396 -0.042 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@](O)(c2ccccc2)C(F)(F)F)c[nH]1 ZINC000746331565 1073587616 /nfs/dbraw/zinc/58/76/16/1073587616.db2.gz CZRZZICNIIAWMS-OAHLLOKOSA-N 0 0 434.396 -0.042 20 0 IBADRN Cn1cc(N2CCN(C(=O)Cn3cnc4c(c(Br)nn4C)c3=O)CC2)cn1 ZINC000746350952 1073587695 /nfs/dbraw/zinc/58/76/95/1073587695.db2.gz UYAGQTBKTBYHCZ-UHFFFAOYSA-N 0 0 435.286 -0.025 20 0 IBADRN O=C(NC[C@H](O)COc1cccc(F)c1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000746364873 1073587793 /nfs/dbraw/zinc/58/77/93/1073587793.db2.gz NJLAOFRHQFJBRK-KRWDZBQOSA-N 0 0 435.456 -0.151 20 0 IBADRN O=C(NC[C@@H](O)COc1cccc(F)c1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000746364875 1073587643 /nfs/dbraw/zinc/58/76/43/1073587643.db2.gz NJLAOFRHQFJBRK-QGZVFWFLSA-N 0 0 435.456 -0.151 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(Cc3ccccn3)c2)CC1 ZINC000746364994 1073588294 /nfs/dbraw/zinc/58/82/94/1073588294.db2.gz GOSCAYOMQLCOMQ-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(Cc3ccncc3)c2)CC1 ZINC000746365517 1073588263 /nfs/dbraw/zinc/58/82/63/1073588263.db2.gz LLNIDVUNYUVGLX-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnn2Cc2ccccn2)CC1 ZINC000746367017 1073588379 /nfs/dbraw/zinc/58/83/79/1073588379.db2.gz ZZPDYICCPIXJJL-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN Cn1cc(C[C@H]2CC(=O)N(CN3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)C2=O)cn1 ZINC000746373005 1073588215 /nfs/dbraw/zinc/58/82/15/1073588215.db2.gz KHOQVLWTHSPNGO-INIZCTEOSA-N 0 0 446.533 -0.235 20 0 IBADRN Cn1cc(C[C@@H]2CC(=O)N(CN3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)C2=O)cn1 ZINC000746373007 1073588434 /nfs/dbraw/zinc/58/84/34/1073588434.db2.gz KHOQVLWTHSPNGO-MRXNPFEDSA-N 0 0 446.533 -0.235 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CN3C(=O)C[C@H](Cc4cnn(C)c4)C3=O)CC2)no1 ZINC000746373761 1073588452 /nfs/dbraw/zinc/58/84/52/1073588452.db2.gz ABRLCIHGKSSISH-INIZCTEOSA-N 0 0 429.481 -0.152 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CN3C(=O)C[C@@H](Cc4cnn(C)c4)C3=O)CC2)no1 ZINC000746373762 1073588422 /nfs/dbraw/zinc/58/84/22/1073588422.db2.gz ABRLCIHGKSSISH-MRXNPFEDSA-N 0 0 429.481 -0.152 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000746373880 1073588240 /nfs/dbraw/zinc/58/82/40/1073588240.db2.gz CRHOOJGITADHHJ-INIZCTEOSA-N 0 0 426.543 -0.501 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000746373882 1073588342 /nfs/dbraw/zinc/58/83/42/1073588342.db2.gz CRHOOJGITADHHJ-MRXNPFEDSA-N 0 0 426.543 -0.501 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCS(=O)(=O)NC(C)C)C2=O)cc1 ZINC000746374721 1073588798 /nfs/dbraw/zinc/58/87/98/1073588798.db2.gz IXTWPQLVSCPHDZ-GOSISDBHSA-N 0 0 426.495 -0.094 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCS(=O)(=O)NC(C)C)C2=O)cc1 ZINC000746374724 1073588813 /nfs/dbraw/zinc/58/88/13/1073588813.db2.gz IXTWPQLVSCPHDZ-SFHVURJKSA-N 0 0 426.495 -0.094 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000746375470 1073588845 /nfs/dbraw/zinc/58/88/45/1073588845.db2.gz QQFREJMVRLDDCC-UHFFFAOYSA-N 0 0 437.515 -0.096 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCS(=O)(=O)NC(C)C)cc2S1(=O)=O ZINC000746376143 1073589030 /nfs/dbraw/zinc/58/90/30/1073589030.db2.gz TUDPUJJZJQYCAG-UHFFFAOYSA-N 0 0 433.508 -0.465 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000746376578 1073588883 /nfs/dbraw/zinc/58/88/83/1073588883.db2.gz ZMGACAJREQMMCE-UHFFFAOYSA-N 0 0 437.515 -0.096 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000746380210 1073588826 /nfs/dbraw/zinc/58/88/26/1073588826.db2.gz MZFKLXGNNCGNBL-AEFFLSMTSA-N 0 0 434.541 -0.546 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000746380211 1073588928 /nfs/dbraw/zinc/58/89/28/1073588928.db2.gz MZFKLXGNNCGNBL-FUHWJXTLSA-N 0 0 434.541 -0.546 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000746380212 1073588976 /nfs/dbraw/zinc/58/89/76/1073588976.db2.gz MZFKLXGNNCGNBL-SJLPKXTDSA-N 0 0 434.541 -0.546 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000746380213 1073588990 /nfs/dbraw/zinc/58/89/90/1073588990.db2.gz MZFKLXGNNCGNBL-WMZOPIPTSA-N 0 0 434.541 -0.546 20 0 IBADRN Cn1cc(C[C@H]2CC(=O)N(CN3CCN(S(=O)(=O)Cc4ccon4)CC3)C2=O)cn1 ZINC000746380429 1073673201 /nfs/dbraw/zinc/67/32/01/1073673201.db2.gz SLNDCZLRQYIGAL-HNNXBMFYSA-N 0 0 436.494 -0.569 20 0 IBADRN Cn1cc(C[C@@H]2CC(=O)N(CN3CCN(S(=O)(=O)Cc4ccon4)CC3)C2=O)cn1 ZINC000746380430 1073673074 /nfs/dbraw/zinc/67/30/74/1073673074.db2.gz SLNDCZLRQYIGAL-OAHLLOKOSA-N 0 0 436.494 -0.569 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)Nc1ccn(CCN2CCOCC2)n1 ZINC000746381043 1073589043 /nfs/dbraw/zinc/58/90/43/1073589043.db2.gz IKLDYYKMEMVEOX-UHFFFAOYSA-N 0 0 449.533 -0.115 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC000746382092 1073588940 /nfs/dbraw/zinc/58/89/40/1073588940.db2.gz OKFBEHKODAKAPZ-UHFFFAOYSA-N 0 0 449.533 -0.115 20 0 IBADRN Cc1nnc(-c2cccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)c2)n1C ZINC000746384618 1073588963 /nfs/dbraw/zinc/58/89/63/1073588963.db2.gz MZAYBXVUXRSVLZ-UHFFFAOYSA-N 0 0 436.494 -0.493 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccnn1CCc1ccccc1 ZINC000746384884 1073588781 /nfs/dbraw/zinc/58/87/81/1073588781.db2.gz QSANXELFXDOBTR-UHFFFAOYSA-N 0 0 435.506 -0.158 20 0 IBADRN Cc1ccc(Cn2nccc2NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)o1 ZINC000746385144 1073588764 /nfs/dbraw/zinc/58/87/64/1073588764.db2.gz VBTVAUYFEDFANH-UHFFFAOYSA-N 0 0 425.467 -0.450 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000746386805 1073589000 /nfs/dbraw/zinc/58/90/00/1073589000.db2.gz UXCVREXYGOAPLY-BTYIYWSLSA-N 0 0 429.477 -0.335 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000746386806 1073588732 /nfs/dbraw/zinc/58/87/32/1073588732.db2.gz UXCVREXYGOAPLY-QVKFZJNVSA-N 0 0 429.477 -0.335 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000746386807 1073588894 /nfs/dbraw/zinc/58/88/94/1073588894.db2.gz UXCVREXYGOAPLY-VFNWGFHPSA-N 0 0 429.477 -0.335 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1=O ZINC000746386808 1073589578 /nfs/dbraw/zinc/58/95/78/1073589578.db2.gz UXCVREXYGOAPLY-YCRPNKLZSA-N 0 0 429.477 -0.335 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC(=O)CSc1cnccn1 ZINC000746390077 1073589791 /nfs/dbraw/zinc/58/97/91/1073589791.db2.gz QSNMRSZPHHTALS-UHFFFAOYSA-N 0 0 425.492 -0.115 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000746390269 1073589693 /nfs/dbraw/zinc/58/96/93/1073589693.db2.gz BJRAYFYNBDGODH-UHFFFAOYSA-N 0 0 437.501 -0.764 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000746395104 1073589652 /nfs/dbraw/zinc/58/96/52/1073589652.db2.gz SRPSDSISAHHSNP-SFHVURJKSA-N 0 0 438.550 -0.597 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000746400154 1073589442 /nfs/dbraw/zinc/58/94/42/1073589442.db2.gz IRUJANRGTRTUMH-UHFFFAOYSA-N 0 0 445.972 -0.662 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(NC(=O)C(F)(F)F)cc2)CC1 ZINC000746400593 1073589398 /nfs/dbraw/zinc/58/93/98/1073589398.db2.gz RUGYVYGZJWSAMR-UHFFFAOYSA-N 0 0 444.457 -0.218 20 0 IBADRN COC(=O)c1ccc(Cl)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000746400865 1073589540 /nfs/dbraw/zinc/58/95/40/1073589540.db2.gz XGVKOYAQDWUACY-UHFFFAOYSA-N 0 0 425.916 -0.279 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccnn1CC1CC1)N1CCN(c2ncccn2)CC1 ZINC000746411937 1073589427 /nfs/dbraw/zinc/58/94/27/1073589427.db2.gz ONAYGBAAPDVVJE-UHFFFAOYSA-N 0 0 426.481 -0.123 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](O)c2cc(Cl)c3c(c2)OCCO3)cn1 ZINC000746414853 1073590237 /nfs/dbraw/zinc/59/02/37/1073590237.db2.gz MWALFOYYLIPGQH-CYBMUJFWSA-N 0 0 437.840 -0.158 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](O)c2cc(Cl)c3c(c2)OCCO3)cn1 ZINC000746414856 1073590297 /nfs/dbraw/zinc/59/02/97/1073590297.db2.gz MWALFOYYLIPGQH-ZDUSSCGKSA-N 0 0 437.840 -0.158 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C1=O ZINC000746433998 1073591196 /nfs/dbraw/zinc/59/11/96/1073591196.db2.gz FCFUTERLUFVUGC-AWEZNQCLSA-N 0 0 436.490 -0.522 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C1=O ZINC000746433999 1073591083 /nfs/dbraw/zinc/59/10/83/1073591083.db2.gz FCFUTERLUFVUGC-CQSZACIVSA-N 0 0 436.490 -0.522 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C2)cn1 ZINC000746435037 1073591302 /nfs/dbraw/zinc/59/13/02/1073591302.db2.gz NHHLUYRIEAGKNW-GXTWGEPZSA-N 0 0 438.485 -0.292 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C2)cn1 ZINC000746435040 1073591098 /nfs/dbraw/zinc/59/10/98/1073591098.db2.gz NHHLUYRIEAGKNW-JSGCOSHPSA-N 0 0 438.485 -0.292 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C2)cn1 ZINC000746435042 1073591315 /nfs/dbraw/zinc/59/13/15/1073591315.db2.gz NHHLUYRIEAGKNW-OCCSQVGLSA-N 0 0 438.485 -0.292 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C2)cn1 ZINC000746435045 1073591137 /nfs/dbraw/zinc/59/11/37/1073591137.db2.gz NHHLUYRIEAGKNW-TZMCWYRMSA-N 0 0 438.485 -0.292 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccnn3CC3CC3)CC2)cn1C ZINC000746446425 1073591855 /nfs/dbraw/zinc/59/18/55/1073591855.db2.gz IFSQKEJHSFMQLD-UHFFFAOYSA-N 0 0 435.510 -0.193 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccn(Cc2ccccn2)n1 ZINC000746448304 1073592362 /nfs/dbraw/zinc/59/23/62/1073592362.db2.gz BQCGXFXGOJXIRO-UHFFFAOYSA-N 0 0 429.462 -0.245 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H](S(N)(=O)=O)C2)C1=O ZINC000746449073 1073592402 /nfs/dbraw/zinc/59/24/02/1073592402.db2.gz CTBCUENLKVWBIK-BEFAXECRSA-N 0 0 437.522 -0.286 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H](S(N)(=O)=O)C2)C1=O ZINC000746449080 1073592443 /nfs/dbraw/zinc/59/24/43/1073592443.db2.gz CTBCUENLKVWBIK-DNVCBOLYSA-N 0 0 437.522 -0.286 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H](S(N)(=O)=O)C2)C1=O ZINC000746449089 1073592294 /nfs/dbraw/zinc/59/22/94/1073592294.db2.gz CTBCUENLKVWBIK-HNAYVOBHSA-N 0 0 437.522 -0.286 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H](S(N)(=O)=O)C2)C1=O ZINC000746449102 1073592310 /nfs/dbraw/zinc/59/23/10/1073592310.db2.gz CTBCUENLKVWBIK-KXBFYZLASA-N 0 0 437.522 -0.286 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000746449517 1073591736 /nfs/dbraw/zinc/59/17/36/1073591736.db2.gz DNILMECGPHFNHM-UHFFFAOYSA-N 0 0 433.490 -0.049 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H](S(N)(=O)=O)C3)C2=O)cc1 ZINC000746452406 1073591610 /nfs/dbraw/zinc/59/16/10/1073591610.db2.gz UOAOUSBPHMRXFB-KBXCAEBGSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H](S(N)(=O)=O)C3)C2=O)cc1 ZINC000746452408 1073591676 /nfs/dbraw/zinc/59/16/76/1073591676.db2.gz UOAOUSBPHMRXFB-KDOFPFPSSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H](S(N)(=O)=O)C3)C2=O)cc1 ZINC000746452410 1073591835 /nfs/dbraw/zinc/59/18/35/1073591835.db2.gz UOAOUSBPHMRXFB-KSSFIOAISA-N 0 0 439.494 -0.754 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H](S(N)(=O)=O)C3)C2=O)cc1 ZINC000746452412 1073591636 /nfs/dbraw/zinc/59/16/36/1073591636.db2.gz UOAOUSBPHMRXFB-RDTXWAMCSA-N 0 0 439.494 -0.754 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000746452634 1073591601 /nfs/dbraw/zinc/59/16/01/1073591601.db2.gz KYHAXDSFHSGALZ-UHFFFAOYSA-N 0 0 433.509 -0.192 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000746452733 1073591662 /nfs/dbraw/zinc/59/16/62/1073591662.db2.gz IPUJKOCFMWYDAX-UHFFFAOYSA-N 0 0 435.462 -0.252 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000746453437 1073591695 /nfs/dbraw/zinc/59/16/95/1073591695.db2.gz LFRIMHDAIPCENK-UHFFFAOYSA-N 0 0 435.462 -0.300 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000746453473 1073591706 /nfs/dbraw/zinc/59/17/06/1073591706.db2.gz LLYXNVBDDYMDSB-UHFFFAOYSA-N 0 0 446.489 -0.949 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000746454799 1073592428 /nfs/dbraw/zinc/59/24/28/1073592428.db2.gz QMSDGXCLGAMXAD-UHFFFAOYSA-N 0 0 435.462 -0.029 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H](S(N)(=O)=O)C3)C2=O)c1 ZINC000746455085 1073591797 /nfs/dbraw/zinc/59/17/97/1073591797.db2.gz JRUYIYHJHRKHCN-KBXCAEBGSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H](S(N)(=O)=O)C3)C2=O)c1 ZINC000746455086 1073591718 /nfs/dbraw/zinc/59/17/18/1073591718.db2.gz JRUYIYHJHRKHCN-KDOFPFPSSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H](S(N)(=O)=O)C3)C2=O)c1 ZINC000746455088 1073592176 /nfs/dbraw/zinc/59/21/76/1073592176.db2.gz JRUYIYHJHRKHCN-KSSFIOAISA-N 0 0 439.494 -0.754 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H](S(N)(=O)=O)C3)C2=O)c1 ZINC000746455090 1073592321 /nfs/dbraw/zinc/59/23/21/1073592321.db2.gz JRUYIYHJHRKHCN-RDTXWAMCSA-N 0 0 439.494 -0.754 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000746462553 1073592239 /nfs/dbraw/zinc/59/22/39/1073592239.db2.gz FXCVXBNPMHBFMF-FQEVSTJZSA-N 0 0 448.476 -0.117 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000746462555 1073592220 /nfs/dbraw/zinc/59/22/20/1073592220.db2.gz FXCVXBNPMHBFMF-HXUWFJFHSA-N 0 0 448.476 -0.117 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000746463622 1073592914 /nfs/dbraw/zinc/59/29/14/1073592914.db2.gz TUVUYWZEGUDONF-FQEVSTJZSA-N 0 0 448.476 -0.117 20 0 IBADRN CCOC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000746463629 1073592953 /nfs/dbraw/zinc/59/29/53/1073592953.db2.gz TUVUYWZEGUDONF-HXUWFJFHSA-N 0 0 448.476 -0.117 20 0 IBADRN NC(=O)c1cn(CC(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000746466298 1073592900 /nfs/dbraw/zinc/59/29/00/1073592900.db2.gz MQLKMDQXGIGMIG-UHFFFAOYSA-N 0 0 428.858 -0.310 20 0 IBADRN NC(=O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCCC4)CC2)nn1 ZINC000746468277 1073592851 /nfs/dbraw/zinc/59/28/51/1073592851.db2.gz KDFTXNVIQXLXKW-UHFFFAOYSA-N 0 0 432.506 -0.211 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(CC[S@](C)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000746471576 1073593250 /nfs/dbraw/zinc/59/32/50/1073593250.db2.gz XOKRSXFCCIQCMF-HKBQPEDESA-N 0 0 447.561 -0.644 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(CC[S@@](C)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000746471577 1073593516 /nfs/dbraw/zinc/59/35/16/1073593516.db2.gz XOKRSXFCCIQCMF-WJOKGBTCSA-N 0 0 447.561 -0.644 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(CC[S@](C)=O)CC2)C1=O ZINC000746476966 1073593422 /nfs/dbraw/zinc/59/34/22/1073593422.db2.gz YLYUKKNMVNKCPS-KEEVHDRGSA-N 0 0 435.550 -0.129 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(CC[S@@](C)=O)CC2)C1=O ZINC000746476968 1073593508 /nfs/dbraw/zinc/59/35/08/1073593508.db2.gz YLYUKKNMVNKCPS-PRAQEBQASA-N 0 0 435.550 -0.129 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(CC[S@@](C)=O)CC2)C1=O ZINC000746476970 1073593289 /nfs/dbraw/zinc/59/32/89/1073593289.db2.gz YLYUKKNMVNKCPS-WENCNXQZSA-N 0 0 435.550 -0.129 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(CC[S@](C)=O)CC2)C1=O ZINC000746476972 1073593485 /nfs/dbraw/zinc/59/34/85/1073593485.db2.gz YLYUKKNMVNKCPS-WRGVRERRSA-N 0 0 435.550 -0.129 20 0 IBADRN C[S@](=O)CCN1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000746477256 1073593471 /nfs/dbraw/zinc/59/34/71/1073593471.db2.gz ZIYPITHBSGPYFX-BGOLNKOXSA-N 0 0 449.577 -0.043 20 0 IBADRN C[S@@](=O)CCN1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000746477268 1073674428 /nfs/dbraw/zinc/67/44/28/1073674428.db2.gz ZIYPITHBSGPYFX-JCOAXYOVSA-N 0 0 449.577 -0.043 20 0 IBADRN C[S@@](=O)CCN1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000746477271 1073674467 /nfs/dbraw/zinc/67/44/67/1073674467.db2.gz ZIYPITHBSGPYFX-ROTAYESASA-N 0 0 449.577 -0.043 20 0 IBADRN C[S@](=O)CCN1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000746477274 1073593495 /nfs/dbraw/zinc/59/34/95/1073593495.db2.gz ZIYPITHBSGPYFX-UKPGIYTDSA-N 0 0 449.577 -0.043 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN(CCCCO)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000746487506 1073628016 /nfs/dbraw/zinc/62/80/16/1073628016.db2.gz GFEDWKGLINWQEC-UHFFFAOYSA-N 0 0 429.521 -0.250 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(CCS(N)(=O)=O)CC2)NC(=O)N[C@H]1c1ccco1 ZINC000746487853 1073628033 /nfs/dbraw/zinc/62/80/33/1073628033.db2.gz NLUREKCAMKFYOF-INIZCTEOSA-N 0 0 441.510 -0.643 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(CCS(N)(=O)=O)CC2)NC(=O)N[C@@H]1c1ccco1 ZINC000746487855 1073628130 /nfs/dbraw/zinc/62/81/30/1073628130.db2.gz NLUREKCAMKFYOF-MRXNPFEDSA-N 0 0 441.510 -0.643 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN(CCS(N)(=O)=O)CC1)CCCC2 ZINC000746487870 1073628112 /nfs/dbraw/zinc/62/81/12/1073628112.db2.gz OGERKICKPJNKEK-UHFFFAOYSA-N 0 0 429.568 -0.430 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC000746488297 1073628101 /nfs/dbraw/zinc/62/81/01/1073628101.db2.gz PTCCBBAMVVMFSH-UHFFFAOYSA-N 0 0 440.494 -0.473 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)Nc2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000746488608 1073627947 /nfs/dbraw/zinc/62/79/47/1073627947.db2.gz WMIROTYSLVCQMV-UHFFFAOYSA-N 0 0 440.494 -0.473 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CCN1CCN(CCS(N)(=O)=O)CC1)CCCC2 ZINC000746488615 1073628007 /nfs/dbraw/zinc/62/80/07/1073628007.db2.gz WRZCGGAYQGABGH-UHFFFAOYSA-N 0 0 443.595 -0.040 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(N2CCOCC2)cc1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000746488678 1073627986 /nfs/dbraw/zinc/62/79/86/1073627986.db2.gz YBXMPWIYTAPZIZ-INIZCTEOSA-N 0 0 425.555 -0.244 20 0 IBADRN C[C@H](C(=O)Nc1ccc(N2CCOCC2)cc1)N1CCN(CCS(N)(=O)=O)CC1 ZINC000746488679 1073627965 /nfs/dbraw/zinc/62/79/65/1073627965.db2.gz YBXMPWIYTAPZIZ-MRXNPFEDSA-N 0 0 425.555 -0.244 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(C(C)(C)C(N)=O)CC2)C1=O ZINC000746489432 1073628738 /nfs/dbraw/zinc/62/87/38/1073628738.db2.gz IWGAZCMLIBAICO-NRFANRHFSA-N 0 0 430.509 -0.244 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(C(C)(C)C(N)=O)CC2)C1=O ZINC000746489436 1073628660 /nfs/dbraw/zinc/62/86/60/1073628660.db2.gz IWGAZCMLIBAICO-OAQYLSRUSA-N 0 0 430.509 -0.244 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(C(C)(C)C(N)=O)CC3)C2=O)c1 ZINC000746489442 1073628674 /nfs/dbraw/zinc/62/86/74/1073628674.db2.gz JHQMPGQFDGSDKS-NRFANRHFSA-N 0 0 446.508 -0.625 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(C(C)(C)C(N)=O)CC3)C2=O)c1 ZINC000746489450 1073628714 /nfs/dbraw/zinc/62/87/14/1073628714.db2.gz JHQMPGQFDGSDKS-OAQYLSRUSA-N 0 0 446.508 -0.625 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000746489680 1073628640 /nfs/dbraw/zinc/62/86/40/1073628640.db2.gz KFKBBQLBSICBAX-JOCHJYFZSA-N 0 0 444.536 -0.158 20 0 IBADRN CC(C)CNC(=O)c1ccccc1NC(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC000746489686 1073628675 /nfs/dbraw/zinc/62/86/75/1073628675.db2.gz NBBKTIRVXKTYDK-UHFFFAOYSA-N 0 0 425.555 -0.083 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000746489703 1073628695 /nfs/dbraw/zinc/62/86/95/1073628695.db2.gz KFKBBQLBSICBAX-QFIPXVFZSA-N 0 0 444.536 -0.158 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(C(C)(C)C(N)=O)CC3)C2=O)cc1 ZINC000746489918 1073628743 /nfs/dbraw/zinc/62/87/43/1073628743.db2.gz RFVYOKTWZFVAFP-NRFANRHFSA-N 0 0 446.508 -0.625 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(C(C)(C)C(N)=O)CC3)C2=O)cc1 ZINC000746489919 1073628720 /nfs/dbraw/zinc/62/87/20/1073628720.db2.gz RFVYOKTWZFVAFP-OAQYLSRUSA-N 0 0 446.508 -0.625 20 0 IBADRN O=C(CN1CCn2c(CO)nnc2C1)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000746490283 1073628651 /nfs/dbraw/zinc/62/86/51/1073628651.db2.gz TZMDFGPDVQXYEA-AWNIVKPZSA-N 0 0 446.533 -0.269 20 0 IBADRN O=C(CN1CCn2c(CO)nnc2C1)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000746490284 1073628657 /nfs/dbraw/zinc/62/86/57/1073628657.db2.gz TZMDFGPDVQXYEA-MLPAPPSSSA-N 0 0 446.533 -0.269 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCn3c(CO)nnc3C2)C1=O ZINC000746490866 1073628647 /nfs/dbraw/zinc/62/86/47/1073628647.db2.gz ZYXUHUASZXOFQE-FQEVSTJZSA-N 0 0 427.465 -0.440 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCn3c(CO)nnc3C2)C1=O ZINC000746490869 1073628629 /nfs/dbraw/zinc/62/86/29/1073628629.db2.gz ZYXUHUASZXOFQE-HXUWFJFHSA-N 0 0 427.465 -0.440 20 0 IBADRN COC(=O)CNC(=O)CCN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746491615 1073629414 /nfs/dbraw/zinc/62/94/14/1073629414.db2.gz CVCLTIXYAWUVAC-UHFFFAOYSA-N 0 0 448.542 -0.768 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCN3C(=O)CCC3=O)C2)CC1 ZINC000746491617 1073629289 /nfs/dbraw/zinc/62/92/89/1073629289.db2.gz CVZQUNBTAKCVPX-UHFFFAOYSA-N 0 0 430.527 -0.298 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCNS(C)(=O)=O)C2)CC1 ZINC000746491622 1073629354 /nfs/dbraw/zinc/62/93/54/1073629354.db2.gz DJVUCZNRQCTTTM-UHFFFAOYSA-N 0 0 426.561 -0.898 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCC(=O)N3CCOCC3)C2)CC1 ZINC000746491683 1073629417 /nfs/dbraw/zinc/62/94/17/1073629417.db2.gz FIKZKFKTSCBNBN-UHFFFAOYSA-N 0 0 446.570 -0.198 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC000746492319 1073628752 /nfs/dbraw/zinc/62/87/52/1073628752.db2.gz IKJSVDSNNBDIQW-HNNXBMFYSA-N 0 0 434.518 -0.486 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC000746492321 1073628703 /nfs/dbraw/zinc/62/87/03/1073628703.db2.gz IKJSVDSNNBDIQW-OAHLLOKOSA-N 0 0 434.518 -0.486 20 0 IBADRN CNC(=O)NC(=O)CCN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746492374 1073629405 /nfs/dbraw/zinc/62/94/05/1073629405.db2.gz KRLZEPNQEHSRMX-UHFFFAOYSA-N 0 0 433.531 -0.601 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746493209 1073629428 /nfs/dbraw/zinc/62/94/28/1073629428.db2.gz QCQFXXUUAOOPFC-GFCCVEGCSA-N 0 0 433.531 -0.603 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746493211 1073629323 /nfs/dbraw/zinc/62/93/23/1073629323.db2.gz QCQFXXUUAOOPFC-LBPRGKRZSA-N 0 0 433.531 -0.603 20 0 IBADRN C[C@H]1CN(C(=O)CN2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CCO1 ZINC000746493225 1073629296 /nfs/dbraw/zinc/62/92/96/1073629296.db2.gz QOOFIOPMBKANIV-HNNXBMFYSA-N 0 0 446.570 -0.200 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CCO1 ZINC000746493226 1073629282 /nfs/dbraw/zinc/62/92/82/1073629282.db2.gz QOOFIOPMBKANIV-OAHLLOKOSA-N 0 0 446.570 -0.200 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746493240 1073629341 /nfs/dbraw/zinc/62/93/41/1073629341.db2.gz RLJVXCBMGMCWAG-CYBMUJFWSA-N 0 0 447.558 -0.213 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746493241 1073629348 /nfs/dbraw/zinc/62/93/48/1073629348.db2.gz RLJVXCBMGMCWAG-ZDUSSCGKSA-N 0 0 447.558 -0.213 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CC(=O)NC3CCOCC3)C2)CC1 ZINC000746493273 1073629232 /nfs/dbraw/zinc/62/92/32/1073629232.db2.gz SKNCWLRFKJGLIB-UHFFFAOYSA-N 0 0 446.570 -0.152 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCCN3C(=O)CNC3=O)C2)CC1 ZINC000746493938 1073635063 /nfs/dbraw/zinc/63/50/63/1073635063.db2.gz URXKLGLMIJKLKJ-UHFFFAOYSA-N 0 0 445.542 -0.505 20 0 IBADRN CCCNC(=O)NC(=O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746494032 1073635093 /nfs/dbraw/zinc/63/50/93/1073635093.db2.gz XBFULYZMNXTKMC-UHFFFAOYSA-N 0 0 447.558 -0.211 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CC(=O)NC(=O)NC3CC3)C2)CC1 ZINC000746494093 1073635086 /nfs/dbraw/zinc/63/50/86/1073635086.db2.gz YMACWLBEPRKYLA-UHFFFAOYSA-N 0 0 445.542 -0.459 20 0 IBADRN CCNC(=O)NC(=O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000746494111 1073634846 /nfs/dbraw/zinc/63/48/46/1073634846.db2.gz YXMBUMDZBPYRMS-UHFFFAOYSA-N 0 0 433.531 -0.601 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC([C@]3(C)NC(=O)NC3=O)CC1)C2=O ZINC000746497246 1073643530 /nfs/dbraw/zinc/64/35/30/1073643530.db2.gz LNMYOWUQZGCBOT-GSBZXEOGSA-N 0 0 434.497 -0.172 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC([C@@]3(C)NC(=O)NC3=O)CC1)C2=O ZINC000746497250 1073643542 /nfs/dbraw/zinc/64/35/42/1073643542.db2.gz LNMYOWUQZGCBOT-JLDPNGGESA-N 0 0 434.497 -0.172 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CN3C(=O)C[C@@]4(CCSC4)C3=O)CC2)o1 ZINC000746500904 1073661300 /nfs/dbraw/zinc/66/13/00/1073661300.db2.gz BVARRGCFULHBJG-QGZVFWFLSA-N 0 0 442.519 -0.476 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)C[C@@]4(CCSC4)C3=O)CC2)C[C@@H](C)O1 ZINC000746503049 1073662165 /nfs/dbraw/zinc/66/21/65/1073662165.db2.gz TVCZTNINCCJVCA-IIDMSEBBSA-N 0 0 446.595 -0.202 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)C[C@]4(CCSC4)C3=O)CC2)C[C@@H](C)O1 ZINC000746503066 1073662119 /nfs/dbraw/zinc/66/21/19/1073662119.db2.gz TVCZTNINCCJVCA-RKVPGOIHSA-N 0 0 446.595 -0.202 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)C[C@@]4(CCSC4)C3=O)CC2)C[C@H](C)O1 ZINC000746503069 1073662339 /nfs/dbraw/zinc/66/23/39/1073662339.db2.gz TVCZTNINCCJVCA-RVKKMQEKSA-N 0 0 446.595 -0.202 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC000746507213 1073675777 /nfs/dbraw/zinc/67/57/77/1073675777.db2.gz GCIRLBSGDVSGKM-UHFFFAOYSA-N 0 0 434.536 -0.021 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NC2CCN(CC(=O)NC)CC2)cc1 ZINC000746507295 1073677174 /nfs/dbraw/zinc/67/71/74/1073677174.db2.gz IYHPIOBNAATUOB-UHFFFAOYSA-N 0 0 447.579 -0.011 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NC2CCN(CC(N)=O)CC2)cc1 ZINC000746507296 1073677151 /nfs/dbraw/zinc/67/71/51/1073677151.db2.gz IQDYVVDKVRPKBD-UHFFFAOYSA-N 0 0 433.552 -0.272 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCS(=O)(=O)NC2CC2)cc1 ZINC000746507823 1073680044 /nfs/dbraw/zinc/68/00/44/1073680044.db2.gz LJUKWLSURYISBU-UHFFFAOYSA-N 0 0 440.565 -0.140 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000746507863 1073680925 /nfs/dbraw/zinc/68/09/25/1073680925.db2.gz MAJLFHOEOLYUNV-UHFFFAOYSA-N 0 0 440.565 -0.234 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000746512456 1073684594 /nfs/dbraw/zinc/68/45/94/1073684594.db2.gz NFLFJLRLSTYALM-UHFFFAOYSA-N 0 0 433.556 -0.566 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCS(C)(=O)=O)CC2)cc1 ZINC000746516214 1073686641 /nfs/dbraw/zinc/68/66/41/1073686641.db2.gz DSPMCHOOGNQOHI-UHFFFAOYSA-N 0 0 447.535 -0.076 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)NCCS(C)(=O)=O)CC2)c1 ZINC000746516224 1073686662 /nfs/dbraw/zinc/68/66/62/1073686662.db2.gz FGBDOKYLWIUNRB-UHFFFAOYSA-N 0 0 435.524 -0.236 20 0 IBADRN O=S(=O)(c1ccc(NC(=S)NC[C@H]2CN3CCN2CC3)cc1)N1CCOCC1 ZINC000746546107 1073697569 /nfs/dbraw/zinc/69/75/69/1073697569.db2.gz ZHXSYOGOIVIGMC-INIZCTEOSA-N 0 0 425.580 -0.006 20 0 IBADRN O=S(=O)(c1ccc(NC(=S)NC[C@@H]2CN3CCN2CC3)cc1)N1CCOCC1 ZINC000746546108 1073697615 /nfs/dbraw/zinc/69/76/15/1073697615.db2.gz ZHXSYOGOIVIGMC-MRXNPFEDSA-N 0 0 425.580 -0.006 20 0 IBADRN O=C(Cn1cc(C(=O)NCCS(=O)(=O)N2CCOCC2)cn1)NC1CCCCC1 ZINC000746594982 1073725439 /nfs/dbraw/zinc/72/54/39/1073725439.db2.gz KFZJPLFZVIGCIP-UHFFFAOYSA-N 0 0 427.527 -0.276 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Br)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000746604450 1073728966 /nfs/dbraw/zinc/72/89/66/1073728966.db2.gz NPWJQAUVSIWCHV-CYBMUJFWSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Br)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000746604629 1073729638 /nfs/dbraw/zinc/72/96/38/1073729638.db2.gz NPWJQAUVSIWCHV-ZDUSSCGKSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(C[C@H]1C(=O)Nc2ccccc21)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746609120 1073729675 /nfs/dbraw/zinc/72/96/75/1073729675.db2.gz PQGGQYRXOXZULO-GDBMZVCRSA-N 0 0 435.502 -0.013 20 0 IBADRN O=C(C[C@H]1C(=O)Nc2ccccc21)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000746609121 1073729695 /nfs/dbraw/zinc/72/96/95/1073729695.db2.gz PQGGQYRXOXZULO-GOEBONIOSA-N 0 0 435.502 -0.013 20 0 IBADRN O=C(C[C@@H]1C(=O)Nc2ccccc21)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000746609122 1073729549 /nfs/dbraw/zinc/72/95/49/1073729549.db2.gz PQGGQYRXOXZULO-HOCLYGCPSA-N 0 0 435.502 -0.013 20 0 IBADRN O=C(C[C@@H]1C(=O)Nc2ccccc21)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746609123 1073729606 /nfs/dbraw/zinc/72/96/06/1073729606.db2.gz PQGGQYRXOXZULO-ZBFHGGJFSA-N 0 0 435.502 -0.013 20 0 IBADRN Cn1c(=O)ccn(CC(=O)Nc2nc(N3CCN(C(=O)OC(C)(C)C)CC3)n[nH]2)c1=O ZINC000746612215 1073730864 /nfs/dbraw/zinc/73/08/64/1073730864.db2.gz CIOVJKLPKWCTGQ-UHFFFAOYSA-N 0 0 434.457 -0.639 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2nc(N3CCN(C(=O)OC(C)(C)C)CC3)n[nH]2)nn1 ZINC000746614827 1073731360 /nfs/dbraw/zinc/73/13/60/1073731360.db2.gz KPKVCFIFODFLFE-UHFFFAOYSA-N 0 0 448.488 -0.206 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2n[nH]c(NC(=O)Cn3ncn4nccc4c3=O)n2)CC1 ZINC000746615002 1073731343 /nfs/dbraw/zinc/73/13/43/1073731343.db2.gz MGWAKYCPERFOSD-UHFFFAOYSA-N 0 0 444.456 -0.295 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000746615447 1073730834 /nfs/dbraw/zinc/73/08/34/1073730834.db2.gz CYGMCBCIGUOYSK-KBPBESRZSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000746615449 1073730845 /nfs/dbraw/zinc/73/08/45/1073730845.db2.gz CYGMCBCIGUOYSK-KGLIPLIRSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000746615451 1073730811 /nfs/dbraw/zinc/73/08/11/1073730811.db2.gz CYGMCBCIGUOYSK-UONOGXRCSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000746615453 1073731283 /nfs/dbraw/zinc/73/12/83/1073731283.db2.gz CYGMCBCIGUOYSK-ZIAGYGMSSA-N 0 0 428.511 -0.752 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000746619756 1073733770 /nfs/dbraw/zinc/73/37/70/1073733770.db2.gz NPLLTGAEJCJLAE-UHFFFAOYSA-N 0 0 441.491 -0.302 20 0 IBADRN Cc1cc(S(N)(=O)=O)sc1C(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000746619828 1073731762 /nfs/dbraw/zinc/73/17/62/1073731762.db2.gz GDVCVNMTPNWBGL-UHFFFAOYSA-N 0 0 425.492 0.000 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2n[nH]c(NC(=O)CN3CCCS3(=O)=O)n2)CC1 ZINC000746623877 1073734421 /nfs/dbraw/zinc/73/44/21/1073734421.db2.gz LUCKKRGAWJRKBJ-UHFFFAOYSA-N 0 0 429.503 -0.164 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CCO1 ZINC000746625473 1073734308 /nfs/dbraw/zinc/73/43/08/1073734308.db2.gz PUHGHAWXVBPERV-GXTWGEPZSA-N 0 0 429.495 -0.279 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CCO1 ZINC000746625496 1073734481 /nfs/dbraw/zinc/73/44/81/1073734481.db2.gz PUHGHAWXVBPERV-JSGCOSHPSA-N 0 0 429.495 -0.279 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CCO1 ZINC000746625498 1073734507 /nfs/dbraw/zinc/73/45/07/1073734507.db2.gz PUHGHAWXVBPERV-OCCSQVGLSA-N 0 0 429.495 -0.279 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CCO1 ZINC000746625499 1073734297 /nfs/dbraw/zinc/73/42/97/1073734297.db2.gz PUHGHAWXVBPERV-TZMCWYRMSA-N 0 0 429.495 -0.279 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1ccc(CS(N)(=O)=O)cc1)c(=O)n2C ZINC000746628892 1073734475 /nfs/dbraw/zinc/73/44/75/1073734475.db2.gz SDAKYLVRYXNTDB-UHFFFAOYSA-N 0 0 435.462 -0.531 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(CS(N)(=O)=O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000746629449 1073734447 /nfs/dbraw/zinc/73/44/47/1073734447.db2.gz XRZKDAGYJSWKHW-CABCVRRESA-N 0 0 432.520 -0.040 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(CS(N)(=O)=O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000746629674 1073737195 /nfs/dbraw/zinc/73/71/95/1073737195.db2.gz XRZKDAGYJSWKHW-GJZGRUSLSA-N 0 0 432.520 -0.040 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(CS(N)(=O)=O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000746629675 1073737277 /nfs/dbraw/zinc/73/72/77/1073737277.db2.gz XRZKDAGYJSWKHW-HUUCEWRRSA-N 0 0 432.520 -0.040 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(CS(N)(=O)=O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000746629676 1073737151 /nfs/dbraw/zinc/73/71/51/1073737151.db2.gz XRZKDAGYJSWKHW-LSDHHAIUSA-N 0 0 432.520 -0.040 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)C1=O ZINC000746641675 1073738774 /nfs/dbraw/zinc/73/87/74/1073738774.db2.gz RWAQUXVDZJZQFY-DSYXLKISSA-N 0 0 432.458 -0.133 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)C1=O ZINC000746641678 1073738937 /nfs/dbraw/zinc/73/89/37/1073738937.db2.gz RWAQUXVDZJZQFY-IHVVCDCBSA-N 0 0 432.458 -0.133 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)C1=O ZINC000746641681 1073738869 /nfs/dbraw/zinc/73/88/69/1073738869.db2.gz RWAQUXVDZJZQFY-MUBLQREKSA-N 0 0 432.458 -0.133 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)C1=O ZINC000746641683 1073738864 /nfs/dbraw/zinc/73/88/64/1073738864.db2.gz RWAQUXVDZJZQFY-ZFDPJTLLSA-N 0 0 432.458 -0.133 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCOc2ccc(S(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000746643833 1073738828 /nfs/dbraw/zinc/73/88/28/1073738828.db2.gz JFVONXBCSGIRRA-UHFFFAOYSA-N 0 0 439.446 -0.735 20 0 IBADRN Cn1ncnc1COc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000746652871 1073739427 /nfs/dbraw/zinc/73/94/27/1073739427.db2.gz AGTRUQMPWPVERF-UHFFFAOYSA-N 0 0 445.523 -0.666 20 0 IBADRN CN(Cc1cccs1)C(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746659449 1073739390 /nfs/dbraw/zinc/73/93/90/1073739390.db2.gz UPETWUXFVXFVNC-HNNXBMFYSA-N 0 0 435.593 -0.159 20 0 IBADRN CN(Cc1cccs1)C(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746659450 1073739418 /nfs/dbraw/zinc/73/94/18/1073739418.db2.gz UPETWUXFVXFVNC-OAHLLOKOSA-N 0 0 435.593 -0.159 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OCc3ncnn3C)cc2)CC1 ZINC000746673890 1073742314 /nfs/dbraw/zinc/74/23/14/1073742314.db2.gz YHYQYLACVJPYQI-UHFFFAOYSA-N 0 0 437.478 -0.210 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000746685320 1073745036 /nfs/dbraw/zinc/74/50/36/1073745036.db2.gz MGOSXSZUPWGTFI-UHFFFAOYSA-N 0 0 426.542 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)OCc3ccccc3)CC2)CC1 ZINC000746686132 1073745728 /nfs/dbraw/zinc/74/57/28/1073745728.db2.gz VXCJSOQIIUSJGR-UHFFFAOYSA-N 0 0 446.551 -0.237 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2C(=O)N[C@]3(CCOc4ccccc43)C2=O)CC1 ZINC000746689329 1073748232 /nfs/dbraw/zinc/74/82/32/1073748232.db2.gz KAQIPYRKDKLUHI-IBGZPJMESA-N 0 0 436.490 -0.244 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2C(=O)N[C@@]3(CCOc4ccccc43)C2=O)CC1 ZINC000746689330 1073748257 /nfs/dbraw/zinc/74/82/57/1073748257.db2.gz KAQIPYRKDKLUHI-LJQANCHMSA-N 0 0 436.490 -0.244 20 0 IBADRN Cc1cc(C(N)=O)ccc1NC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746717708 1073757608 /nfs/dbraw/zinc/75/76/08/1073757608.db2.gz JPPKKFGWEWACBE-AWEZNQCLSA-N 0 0 444.535 -0.240 20 0 IBADRN Cc1cc(C(N)=O)ccc1NC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746717710 1073757486 /nfs/dbraw/zinc/75/74/86/1073757486.db2.gz JPPKKFGWEWACBE-CQSZACIVSA-N 0 0 444.535 -0.240 20 0 IBADRN CNC(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000746717918 1073757464 /nfs/dbraw/zinc/75/74/64/1073757464.db2.gz BTIYVTWZVCUYLB-HNNXBMFYSA-N 0 0 444.535 -0.287 20 0 IBADRN CNC(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000746717919 1073757606 /nfs/dbraw/zinc/75/76/06/1073757606.db2.gz BTIYVTWZVCUYLB-OAHLLOKOSA-N 0 0 444.535 -0.287 20 0 IBADRN COc1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(OC)n1 ZINC000746721710 1073757503 /nfs/dbraw/zinc/75/75/03/1073757503.db2.gz MZRCMFKZZMMHSW-GFCCVEGCSA-N 0 0 448.523 -0.235 20 0 IBADRN COc1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(OC)n1 ZINC000746721714 1073757595 /nfs/dbraw/zinc/75/75/95/1073757595.db2.gz MZRCMFKZZMMHSW-LBPRGKRZSA-N 0 0 448.523 -0.235 20 0 IBADRN COCCn1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000746722807 1073757990 /nfs/dbraw/zinc/75/79/90/1073757990.db2.gz MVUKBWDBGNDBTL-CYBMUJFWSA-N 0 0 435.528 -0.804 20 0 IBADRN COCCn1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000746722810 1073757914 /nfs/dbraw/zinc/75/79/14/1073757914.db2.gz MVUKBWDBGNDBTL-ZDUSSCGKSA-N 0 0 435.528 -0.804 20 0 IBADRN O=C(Nc1nnc2ccccn21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746724037 1073759637 /nfs/dbraw/zinc/75/96/37/1073759637.db2.gz LHCDOXCLPLFZSR-GFCCVEGCSA-N 0 0 428.496 -0.604 20 0 IBADRN O=C(Nc1nnc2ccccn21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746724038 1073759674 /nfs/dbraw/zinc/75/96/74/1073759674.db2.gz LHCDOXCLPLFZSR-LBPRGKRZSA-N 0 0 428.496 -0.604 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000746725454 1073761161 /nfs/dbraw/zinc/76/11/61/1073761161.db2.gz JIWURKBNVDOQQW-UHFFFAOYSA-N 0 0 438.597 -0.165 20 0 IBADRN O=C(Nc1cnn(CC(F)F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746726266 1073761796 /nfs/dbraw/zinc/76/17/96/1073761796.db2.gz QHFGDSOXMZGMTH-GFCCVEGCSA-N 0 0 441.482 -0.185 20 0 IBADRN O=C(Nc1cnn(CC(F)F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746726267 1073761664 /nfs/dbraw/zinc/76/16/64/1073761664.db2.gz QHFGDSOXMZGMTH-LBPRGKRZSA-N 0 0 441.482 -0.185 20 0 IBADRN COCCCn1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000746726639 1073764534 /nfs/dbraw/zinc/76/45/34/1073764534.db2.gz RPRNEYJDKYSNRP-AWEZNQCLSA-N 0 0 449.555 -0.414 20 0 IBADRN COCCCn1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000746726641 1073764607 /nfs/dbraw/zinc/76/46/07/1073764607.db2.gz RPRNEYJDKYSNRP-CQSZACIVSA-N 0 0 449.555 -0.414 20 0 IBADRN O=C(Nc1cnn(CC2CC2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746728246 1073764618 /nfs/dbraw/zinc/76/46/18/1073764618.db2.gz GEHFRVLAQXDFAY-HNNXBMFYSA-N 0 0 431.540 -0.041 20 0 IBADRN O=C(Nc1cnn(CC2CC2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746728247 1073764596 /nfs/dbraw/zinc/76/45/96/1073764596.db2.gz GEHFRVLAQXDFAY-OAHLLOKOSA-N 0 0 431.540 -0.041 20 0 IBADRN COCc1csc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000746728479 1073764669 /nfs/dbraw/zinc/76/46/69/1073764669.db2.gz PCJZEGRWMHYXBD-GFCCVEGCSA-N 0 0 438.553 -0.044 20 0 IBADRN COCc1csc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000746728481 1073764686 /nfs/dbraw/zinc/76/46/86/1073764686.db2.gz PCJZEGRWMHYXBD-LBPRGKRZSA-N 0 0 438.553 -0.044 20 0 IBADRN CCOCCOCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000746735546 1073767016 /nfs/dbraw/zinc/76/70/16/1073767016.db2.gz NVQBDYSNEVIZAB-UHFFFAOYSA-N 0 0 433.483 -0.279 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000746740500 1073768185 /nfs/dbraw/zinc/76/81/85/1073768185.db2.gz AJYIZFHIWYBWHE-APWZRJJASA-N 0 0 442.472 -0.128 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000746740501 1073768172 /nfs/dbraw/zinc/76/81/72/1073768172.db2.gz AJYIZFHIWYBWHE-LPHOPBHVSA-N 0 0 442.472 -0.128 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000746740502 1073768191 /nfs/dbraw/zinc/76/81/91/1073768191.db2.gz AJYIZFHIWYBWHE-QFBILLFUSA-N 0 0 442.472 -0.128 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000746740503 1073768179 /nfs/dbraw/zinc/76/81/79/1073768179.db2.gz AJYIZFHIWYBWHE-VQIMIIECSA-N 0 0 442.472 -0.128 20 0 IBADRN C[C@H](NC(=O)COC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)C(=O)N(C)C ZINC000746745327 1073769923 /nfs/dbraw/zinc/76/99/23/1073769923.db2.gz NVPRREOEXSZRDO-KPZWWZAWSA-N 0 0 430.461 -0.402 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)C(=O)N(C)C ZINC000746745328 1073769876 /nfs/dbraw/zinc/76/98/76/1073769876.db2.gz NVPRREOEXSZRDO-KZULUSFZSA-N 0 0 430.461 -0.402 20 0 IBADRN C[C@H](NC(=O)COC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)C(=O)N(C)C ZINC000746745329 1073769900 /nfs/dbraw/zinc/76/99/00/1073769900.db2.gz NVPRREOEXSZRDO-SGTLLEGYSA-N 0 0 430.461 -0.402 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)C(=O)N(C)C ZINC000746745330 1073769786 /nfs/dbraw/zinc/76/97/86/1073769786.db2.gz NVPRREOEXSZRDO-XIKOKIGWSA-N 0 0 430.461 -0.402 20 0 IBADRN O=C(COC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)NCC(=O)N1CCCC1 ZINC000746748436 1073771471 /nfs/dbraw/zinc/77/14/71/1073771471.db2.gz HVIUJWXXMHDPEX-HXUWFJFHSA-N 0 0 442.472 -0.256 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000746748486 1073771492 /nfs/dbraw/zinc/77/14/92/1073771492.db2.gz IBOQPRUWVONNDQ-KPZWWZAWSA-N 0 0 446.460 -0.134 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1 ZINC000746748719 1073772086 /nfs/dbraw/zinc/77/20/86/1073772086.db2.gz IBOQPRUWVONNDQ-KZULUSFZSA-N 0 0 446.460 -0.134 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1 ZINC000746748720 1073772003 /nfs/dbraw/zinc/77/20/03/1073772003.db2.gz IBOQPRUWVONNDQ-SGTLLEGYSA-N 0 0 446.460 -0.134 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)CC1 ZINC000746748760 1073771995 /nfs/dbraw/zinc/77/19/95/1073771995.db2.gz WQLMTGUBNHRRBU-HXUWFJFHSA-N 0 0 442.472 -0.304 20 0 IBADRN Cn1c(COC(=O)CNC(=O)[C@H]2c3ccccc3C(=O)N2C2CC2)cc(=O)n(C)c1=O ZINC000746749379 1073771987 /nfs/dbraw/zinc/77/19/87/1073771987.db2.gz KQYYJOSNHPQAQH-GOSISDBHSA-N 0 0 426.429 -0.397 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1N1CCOC1=O ZINC000746782391 1073775514 /nfs/dbraw/zinc/77/55/14/1073775514.db2.gz JCIWEQTUJSZDSH-UHFFFAOYSA-N 0 0 443.460 -0.160 20 0 IBADRN O=C(Nc1ccnn1C1CCCC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000746783537 1073776024 /nfs/dbraw/zinc/77/60/24/1073776024.db2.gz NCOPMQLGXFGMCT-UHFFFAOYSA-N 0 0 432.481 -0.534 20 0 IBADRN O=C(Nc1ccnn1C1CCCC1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000746786765 1073776169 /nfs/dbraw/zinc/77/61/69/1073776169.db2.gz TUQUSSUSTRMOOA-UHFFFAOYSA-N 0 0 440.526 -0.342 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1N1CCOC1=O ZINC000746787150 1073778087 /nfs/dbraw/zinc/77/80/87/1073778087.db2.gz ZGJHGWWGQFEXBC-UHFFFAOYSA-N 0 0 439.494 -0.159 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnc3)nc2)CC1 ZINC000746787361 1073778100 /nfs/dbraw/zinc/77/81/00/1073778100.db2.gz BUSSXOOTRLCHSN-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN CC(C)Cc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)n(C)n1 ZINC000746787725 1073777192 /nfs/dbraw/zinc/77/71/92/1073777192.db2.gz HPGHDHKEXGCARI-UHFFFAOYSA-N 0 0 432.481 -0.623 20 0 IBADRN CN(C)C(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000746787776 1073777709 /nfs/dbraw/zinc/77/77/09/1073777709.db2.gz ITAKNAOZMQCGCJ-UHFFFAOYSA-N 0 0 443.460 -0.853 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000746787778 1073777682 /nfs/dbraw/zinc/77/76/82/1073777682.db2.gz IUPIVSXOKOJNKK-UHFFFAOYSA-N 0 0 445.432 -0.377 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1C ZINC000746787811 1073777645 /nfs/dbraw/zinc/77/76/45/1073777645.db2.gz JJBFKAZOJYGPDZ-UHFFFAOYSA-N 0 0 443.460 -0.288 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000746788060 1073776631 /nfs/dbraw/zinc/77/66/31/1073776631.db2.gz KZWDALGUZUICJC-UHFFFAOYSA-N 0 0 448.407 -0.629 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)cc2)CC1 ZINC000746788344 1073776150 /nfs/dbraw/zinc/77/61/50/1073776150.db2.gz OXTBQFQOLMCUQZ-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1N(C)C ZINC000746788348 1073775955 /nfs/dbraw/zinc/77/59/55/1073775955.db2.gz OZTPRADKPCXDJJ-UHFFFAOYSA-N 0 0 429.477 -0.181 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000746788666 1073777657 /nfs/dbraw/zinc/77/76/57/1073777657.db2.gz UDHVUJUJQZMQGA-UHFFFAOYSA-N 0 0 445.432 -0.377 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccnn2C2CCCC2)CC1 ZINC000746788761 1073778200 /nfs/dbraw/zinc/77/82/00/1073778200.db2.gz XNFYQIPOBQGBDW-UHFFFAOYSA-N 0 0 430.465 -0.244 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1N1CCOC1=O ZINC000746792756 1073778191 /nfs/dbraw/zinc/77/81/91/1073778191.db2.gz HRXPCSPRIFJOAQ-UHFFFAOYSA-N 0 0 440.478 -0.332 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)c(N2CCOC2=O)c1 ZINC000746797662 1073778863 /nfs/dbraw/zinc/77/88/63/1073778863.db2.gz KZJGXZXHNADZRL-AWEZNQCLSA-N 0 0 431.449 -0.257 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)c(N2CCOC2=O)c1 ZINC000746797663 1073778802 /nfs/dbraw/zinc/77/88/02/1073778802.db2.gz KZJGXZXHNADZRL-CQSZACIVSA-N 0 0 431.449 -0.257 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)cc1 ZINC000746808391 1073780350 /nfs/dbraw/zinc/78/03/50/1073780350.db2.gz UOCDTKBHALAALM-UHFFFAOYSA-N 0 0 436.494 -0.111 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN(c2cnn(C)c2)CC1 ZINC000746808904 1073780030 /nfs/dbraw/zinc/78/00/30/1073780030.db2.gz VHFRCEAVVVKVPX-UHFFFAOYSA-N 0 0 446.533 -0.092 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000746809295 1073779950 /nfs/dbraw/zinc/77/99/50/1073779950.db2.gz CTJGMQZFNHYHHQ-HNNXBMFYSA-N 0 0 431.493 -0.343 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000746809297 1073779848 /nfs/dbraw/zinc/77/98/48/1073779848.db2.gz CTJGMQZFNHYHHQ-OAHLLOKOSA-N 0 0 431.493 -0.343 20 0 IBADRN O=C(Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1)C(=O)N1CC[C@@H](O)C1 ZINC000746809920 1073779871 /nfs/dbraw/zinc/77/98/71/1073779871.db2.gz AOFGLACPENFJKT-CQSZACIVSA-N 0 0 432.449 -0.107 20 0 IBADRN O=C(COCCc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746834280 1073782720 /nfs/dbraw/zinc/78/27/20/1073782720.db2.gz HXFRUIBXLHSKDL-QGZVFWFLSA-N 0 0 430.548 -0.093 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746835111 1073782614 /nfs/dbraw/zinc/78/26/14/1073782614.db2.gz MDJTZHXIBVPNQA-DOMZBBRYSA-N 0 0 432.568 -0.198 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746835112 1073782744 /nfs/dbraw/zinc/78/27/44/1073782744.db2.gz MDJTZHXIBVPNQA-IUODEOHRSA-N 0 0 432.568 -0.198 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746835113 1073782751 /nfs/dbraw/zinc/78/27/51/1073782751.db2.gz MDJTZHXIBVPNQA-SWLSCSKDSA-N 0 0 432.568 -0.198 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746835114 1073782790 /nfs/dbraw/zinc/78/27/90/1073782790.db2.gz MDJTZHXIBVPNQA-WFASDCNBSA-N 0 0 432.568 -0.198 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000746870650 1073788388 /nfs/dbraw/zinc/78/83/88/1073788388.db2.gz ADKBLDJABCOTPW-UHFFFAOYSA-N 0 0 446.551 -0.617 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CCc2nc3c(cnn3C)c(=O)[nH]2)CC1 ZINC000746870679 1073788223 /nfs/dbraw/zinc/78/82/23/1073788223.db2.gz BVUFMDMZLWUZAV-UHFFFAOYSA-N 0 0 440.526 -0.100 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2ccc(F)c(S(N)(=O)=O)c2)CC1 ZINC000746870726 1073789471 /nfs/dbraw/zinc/78/94/71/1073789471.db2.gz FSKBTYMYCZTCRO-UHFFFAOYSA-N 0 0 437.515 -0.014 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CS[C@H]2CCS(=O)(=O)C2)CC1 ZINC000746871292 1073788398 /nfs/dbraw/zinc/78/83/98/1073788398.db2.gz JVTUFOOLTVSPFH-AWEZNQCLSA-N 0 0 428.598 -0.194 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CS[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000746871294 1073788328 /nfs/dbraw/zinc/78/83/28/1073788328.db2.gz JVTUFOOLTVSPFH-CQSZACIVSA-N 0 0 428.598 -0.194 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000746872747 1073790067 /nfs/dbraw/zinc/79/00/67/1073790067.db2.gz SRZQEJHKAKIFKT-UHFFFAOYSA-N 0 0 446.551 -0.617 20 0 IBADRN Cc1csc([C@@](O)(CC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C(F)(F)F)n1 ZINC000746873242 1073789921 /nfs/dbraw/zinc/78/99/21/1073789921.db2.gz PLEGXSRIZTULNZ-AWEZNQCLSA-N 0 0 433.416 -0.051 20 0 IBADRN Cc1csc([C@](O)(CC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C(F)(F)F)n1 ZINC000746873244 1073789994 /nfs/dbraw/zinc/78/99/94/1073789994.db2.gz PLEGXSRIZTULNZ-CQSZACIVSA-N 0 0 433.416 -0.051 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000746887135 1073791844 /nfs/dbraw/zinc/79/18/44/1073791844.db2.gz GATUGPMAJOAOIW-LLVKDONJSA-N 0 0 448.548 -0.692 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000746887156 1073791721 /nfs/dbraw/zinc/79/17/21/1073791721.db2.gz GATUGPMAJOAOIW-NSHDSACASA-N 0 0 448.548 -0.692 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCCNS(=O)(=O)c1cccs1 ZINC000746887226 1073791825 /nfs/dbraw/zinc/79/18/25/1073791825.db2.gz HEPVVSDBHUILDF-UHFFFAOYSA-N 0 0 429.501 -0.013 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000746908857 1073798696 /nfs/dbraw/zinc/79/86/96/1073798696.db2.gz LJYFOPZYWVZPLX-UHFFFAOYSA-N 0 0 430.377 -0.260 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000746909318 1073798624 /nfs/dbraw/zinc/79/86/24/1073798624.db2.gz CMUZUPARBPTRLN-LLVKDONJSA-N 0 0 433.421 -0.120 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000746909323 1073798857 /nfs/dbraw/zinc/79/88/57/1073798857.db2.gz CMUZUPARBPTRLN-NSHDSACASA-N 0 0 433.421 -0.120 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)OCC(=O)N3CCN(C(=O)c4ccco4)CC3)cnc21 ZINC000746910025 1073798665 /nfs/dbraw/zinc/79/86/65/1073798665.db2.gz FXVAMZQEAWBLAD-UHFFFAOYSA-N 0 0 441.400 -0.232 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000746913135 1073799304 /nfs/dbraw/zinc/79/93/04/1073799304.db2.gz TWKWSXMFKFRLNF-BXUZGUMPSA-N 0 0 431.449 -0.061 20 0 IBADRN CC(C)[C@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000746913139 1073799285 /nfs/dbraw/zinc/79/92/85/1073799285.db2.gz TWKWSXMFKFRLNF-FZMZJTMJSA-N 0 0 431.449 -0.061 20 0 IBADRN CC(C)[C@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000746913143 1073799234 /nfs/dbraw/zinc/79/92/34/1073799234.db2.gz TWKWSXMFKFRLNF-RISCZKNCSA-N 0 0 431.449 -0.061 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000746913146 1073799348 /nfs/dbraw/zinc/79/93/48/1073799348.db2.gz TWKWSXMFKFRLNF-SMDDNHRTSA-N 0 0 431.449 -0.061 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)[C@@H]1CCS(=O)(=O)C1 ZINC000746914433 1073799210 /nfs/dbraw/zinc/79/92/10/1073799210.db2.gz ZFNGIJQXZKADRH-CMPLNLGQSA-N 0 0 438.462 -0.385 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)[C@H]1CCS(=O)(=O)C1 ZINC000746914439 1073799315 /nfs/dbraw/zinc/79/93/15/1073799315.db2.gz ZFNGIJQXZKADRH-JQWIXIFHSA-N 0 0 438.462 -0.385 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)[C@H]1CCS(=O)(=O)C1 ZINC000746914443 1073799197 /nfs/dbraw/zinc/79/91/97/1073799197.db2.gz ZFNGIJQXZKADRH-PWSUYJOCSA-N 0 0 438.462 -0.385 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)[C@@H]1CCS(=O)(=O)C1 ZINC000746914447 1073799297 /nfs/dbraw/zinc/79/92/97/1073799297.db2.gz ZFNGIJQXZKADRH-ZYHUDNBSSA-N 0 0 438.462 -0.385 20 0 IBADRN CC(C)[C@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000746914466 1073799916 /nfs/dbraw/zinc/79/99/16/1073799916.db2.gz ZHOFSFXYQZYDOB-AAEUAGOBSA-N 0 0 438.462 -0.481 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000746914473 1073799771 /nfs/dbraw/zinc/79/97/71/1073799771.db2.gz ZHOFSFXYQZYDOB-DGCLKSJQSA-N 0 0 438.462 -0.481 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000746914478 1073799884 /nfs/dbraw/zinc/79/98/84/1073799884.db2.gz ZHOFSFXYQZYDOB-WCQYABFASA-N 0 0 438.462 -0.481 20 0 IBADRN CC(C)[C@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000746914481 1073799894 /nfs/dbraw/zinc/79/98/94/1073799894.db2.gz ZHOFSFXYQZYDOB-YPMHNXCESA-N 0 0 438.462 -0.481 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)c1ccccc1 ZINC000746914562 1073799156 /nfs/dbraw/zinc/79/91/56/1073799156.db2.gz ZWQHBARHGGDHQQ-HNNXBMFYSA-N 0 0 425.401 -0.206 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)c1ccccc1 ZINC000746914567 1073799355 /nfs/dbraw/zinc/79/93/55/1073799355.db2.gz ZWQHBARHGGDHQQ-OAHLLOKOSA-N 0 0 425.401 -0.206 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C(C)C)CC1 ZINC000746915156 1073799262 /nfs/dbraw/zinc/79/92/62/1073799262.db2.gz LALZBFOAIMXQFF-HNNXBMFYSA-N 0 0 431.449 -0.094 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)C(C)C)CC1 ZINC000746915160 1073799173 /nfs/dbraw/zinc/79/91/73/1073799173.db2.gz LALZBFOAIMXQFF-OAHLLOKOSA-N 0 0 431.449 -0.094 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1cnc3c(c1)c(=O)[nH]c(=O)n3C)c(=O)n2C ZINC000746915872 1073799342 /nfs/dbraw/zinc/79/93/42/1073799342.db2.gz LJHGLEFMMHNFIZ-UHFFFAOYSA-N 0 0 441.404 -0.972 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)OCC(=O)NCc3ccc(S(C)(=O)=O)cc3)cnc21 ZINC000746915930 1073799222 /nfs/dbraw/zinc/79/92/22/1073799222.db2.gz RWZKLXWZHRWRQR-UHFFFAOYSA-N 0 0 446.441 -0.089 20 0 IBADRN C[C@@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000746917337 1073799363 /nfs/dbraw/zinc/79/93/63/1073799363.db2.gz ZEMWWYDBUARJFY-GFCCVEGCSA-N 0 0 439.432 -0.282 20 0 IBADRN C[C@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000746917338 1073799185 /nfs/dbraw/zinc/79/91/85/1073799185.db2.gz ZEMWWYDBUARJFY-LBPRGKRZSA-N 0 0 439.432 -0.282 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C)CC1 ZINC000746917343 1073799369 /nfs/dbraw/zinc/79/93/69/1073799369.db2.gz ZFWACWVRRSFVPC-UHFFFAOYSA-N 0 0 433.421 -0.072 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC000746921702 1073799823 /nfs/dbraw/zinc/79/98/23/1073799823.db2.gz CSKRFPZEKXMEBX-UHFFFAOYSA-N 0 0 440.416 -0.064 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21)C(=O)N(C)C ZINC000746922499 1073799795 /nfs/dbraw/zinc/79/97/95/1073799795.db2.gz DWUXJKKLZYQBPI-JTQLQIEISA-N 0 0 428.405 -0.162 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(-c2ccco2)nc2c(C(N)=O)ncn21)C(=O)N(C)C ZINC000746922500 1073799908 /nfs/dbraw/zinc/79/99/08/1073799908.db2.gz DWUXJKKLZYQBPI-SNVBAGLBSA-N 0 0 428.405 -0.162 20 0 IBADRN Cc1cc2ncc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)c(C)n2n1 ZINC000746925931 1073799785 /nfs/dbraw/zinc/79/97/85/1073799785.db2.gz NUBCPHOSYHSHQR-HNNXBMFYSA-N 0 0 435.506 -0.166 20 0 IBADRN Cc1cc2ncc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)c(C)n2n1 ZINC000746925959 1073799932 /nfs/dbraw/zinc/79/99/32/1073799932.db2.gz NUBCPHOSYHSHQR-OAHLLOKOSA-N 0 0 435.506 -0.166 20 0 IBADRN NC(=O)c1ncn2c1nc(-c1ccco1)cc2C(=O)OCC(=O)NCC(=O)N1CCCC1 ZINC000746928515 1073799870 /nfs/dbraw/zinc/79/98/70/1073799870.db2.gz XRQAQQIRDNIGAQ-UHFFFAOYSA-N 0 0 440.416 -0.016 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000746934675 1073799759 /nfs/dbraw/zinc/79/97/59/1073799759.db2.gz JOBCGKSRDXBBJH-IBGZPJMESA-N 0 0 439.490 -0.121 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000746934677 1073799967 /nfs/dbraw/zinc/79/99/67/1073799967.db2.gz JOBCGKSRDXBBJH-LJQANCHMSA-N 0 0 439.490 -0.121 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000746936441 1073800180 /nfs/dbraw/zinc/80/01/80/1073800180.db2.gz HJIOSOPMBDVNRG-UHFFFAOYSA-N 0 0 433.508 -0.434 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)O[C@H]1CCN(C2CCOCC2)C1=O ZINC000746939668 1073800964 /nfs/dbraw/zinc/80/09/64/1073800964.db2.gz GPMDSZSPBSAHAW-KRWDZBQOSA-N 0 0 441.506 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)O[C@@H]1CCN(C2CCOCC2)C1=O ZINC000746939669 1073800887 /nfs/dbraw/zinc/80/08/87/1073800887.db2.gz GPMDSZSPBSAHAW-QGZVFWFLSA-N 0 0 441.506 -0.017 20 0 IBADRN Cn1c2nnc(COC(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)n2c2ccccc2c1=O ZINC000746940702 1073800837 /nfs/dbraw/zinc/80/08/37/1073800837.db2.gz ABCTZRRJISXQGO-UHFFFAOYSA-N 0 0 447.411 -0.116 20 0 IBADRN Cn1c2ncc(C(=O)OCC(=O)Nc3cccc(S(N)(=O)=O)c3)cc2c(=O)n(C)c1=O ZINC000746940718 1073800813 /nfs/dbraw/zinc/80/08/13/1073800813.db2.gz APEXZAHWMLXLSW-UHFFFAOYSA-N 0 0 447.429 -0.925 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)NC3CCC4(CC3)OCCO4)cnc2n(C)c1=O ZINC000746940826 1073802390 /nfs/dbraw/zinc/80/23/90/1073802390.db2.gz CEYIYWFKBIVZEN-UHFFFAOYSA-N 0 0 432.433 -0.409 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000746940980 1073802420 /nfs/dbraw/zinc/80/24/20/1073802420.db2.gz DQNYPSSPCHPXKP-GFCCVEGCSA-N 0 0 432.433 -0.409 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000746941030 1073802351 /nfs/dbraw/zinc/80/23/51/1073802351.db2.gz DQNYPSSPCHPXKP-LBPRGKRZSA-N 0 0 432.433 -0.409 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)NCc3ccc4c(c3)OCO4)cnc2n(C)c1=O ZINC000746941218 1073802238 /nfs/dbraw/zinc/80/22/38/1073802238.db2.gz HJADNRFALNQURL-UHFFFAOYSA-N 0 0 426.385 -0.166 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000746941454 1073801983 /nfs/dbraw/zinc/80/19/83/1073801983.db2.gz AGYREVUMVNYYBB-AWEZNQCLSA-N 0 0 432.433 -0.267 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000746941458 1073801993 /nfs/dbraw/zinc/80/19/93/1073801993.db2.gz AGYREVUMVNYYBB-CQSZACIVSA-N 0 0 432.433 -0.267 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)Nc3ccc(S(C)(=O)=O)cc3)cnc2n(C)c1=O ZINC000746941519 1073802379 /nfs/dbraw/zinc/80/23/79/1073802379.db2.gz BHNFFDPOLQKDJD-UHFFFAOYSA-N 0 0 446.441 -0.169 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)NC(=O)NCc3cccs3)cnc2n(C)c1=O ZINC000746941636 1073801824 /nfs/dbraw/zinc/80/18/24/1073801824.db2.gz CIWYAICWNAMBRW-UHFFFAOYSA-N 0 0 431.430 -0.124 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)NC(=O)N[C@@H]1C ZINC000746941689 1073801951 /nfs/dbraw/zinc/80/19/51/1073801951.db2.gz CYNJGHHAWQIOTD-SECBINFHSA-N 0 0 431.405 -0.693 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)NC(=O)N[C@H]1C ZINC000746941698 1073801759 /nfs/dbraw/zinc/80/17/59/1073801759.db2.gz CYNJGHHAWQIOTD-VIFPVBQESA-N 0 0 431.405 -0.693 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746942241 1073802413 /nfs/dbraw/zinc/80/24/13/1073802413.db2.gz FLUFXLKJDDHTEI-GFCCVEGCSA-N 0 0 447.448 -0.522 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746942247 1073802365 /nfs/dbraw/zinc/80/23/65/1073802365.db2.gz FLUFXLKJDDHTEI-LBPRGKRZSA-N 0 0 447.448 -0.522 20 0 IBADRN CC(C)[C@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000746942485 1073802258 /nfs/dbraw/zinc/80/22/58/1073802258.db2.gz MRQDGGLTXDZCFY-DOMZBBRYSA-N 0 0 445.476 -0.463 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000746942487 1073802427 /nfs/dbraw/zinc/80/24/27/1073802427.db2.gz MRQDGGLTXDZCFY-IUODEOHRSA-N 0 0 445.476 -0.463 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000746942489 1073802435 /nfs/dbraw/zinc/80/24/35/1073802435.db2.gz MRQDGGLTXDZCFY-SWLSCSKDSA-N 0 0 445.476 -0.463 20 0 IBADRN CC(C)[C@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000746942491 1073802313 /nfs/dbraw/zinc/80/23/13/1073802313.db2.gz MRQDGGLTXDZCFY-WFASDCNBSA-N 0 0 445.476 -0.463 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCCN3C(=O)c4ccccc4S3(=O)=O)cnc2n(C)c1=O ZINC000746942534 1073802329 /nfs/dbraw/zinc/80/23/29/1073802329.db2.gz NFMTUFWGYNIWJW-UHFFFAOYSA-N 0 0 444.425 -0.366 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CCCCC1 ZINC000746942544 1073802180 /nfs/dbraw/zinc/80/21/80/1073802180.db2.gz NGJBNWFXBWRUNF-UHFFFAOYSA-N 0 0 432.433 -0.219 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)NCCCN3CCCCCC3=O)cnc2n(C)c1=O ZINC000746942564 1073802297 /nfs/dbraw/zinc/80/22/97/1073802297.db2.gz NJKKHILRBLSZRW-UHFFFAOYSA-N 0 0 445.476 -0.302 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccccc1 ZINC000746942674 1073802276 /nfs/dbraw/zinc/80/22/76/1073802276.db2.gz OUCLHXMPAHAXPR-INIZCTEOSA-N 0 0 439.428 -0.608 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)c1ccccc1 ZINC000746942676 1073802338 /nfs/dbraw/zinc/80/23/38/1073802338.db2.gz OUCLHXMPAHAXPR-MRXNPFEDSA-N 0 0 439.428 -0.608 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746942795 1073801404 /nfs/dbraw/zinc/80/14/04/1073801404.db2.gz HPKIJYXHSKWDNP-UHFFFAOYSA-N 0 0 447.448 -0.474 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000746943292 1073801438 /nfs/dbraw/zinc/80/14/38/1073801438.db2.gz UABOAMCWPHCCMU-UHFFFAOYSA-N 0 0 444.404 -0.662 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)N3CCN(Cc4ccco4)CC3)cnc2n(C)c1=O ZINC000746943319 1073801188 /nfs/dbraw/zinc/80/11/88/1073801188.db2.gz UJFMXDTYSXLKTP-UHFFFAOYSA-N 0 0 441.444 -0.274 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)NC(=O)NCc3ccccc3)cnc2n(C)c1=O ZINC000746943348 1073802791 /nfs/dbraw/zinc/80/27/91/1073802791.db2.gz LRWCBCYZIJXMEY-UHFFFAOYSA-N 0 0 425.401 -0.185 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCCCC1 ZINC000746943412 1073802924 /nfs/dbraw/zinc/80/29/24/1073802924.db2.gz OHLAOYMHVIHVIN-GFCCVEGCSA-N 0 0 431.449 -0.694 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)N1CCCCC1 ZINC000746943413 1073802858 /nfs/dbraw/zinc/80/28/58/1073802858.db2.gz OHLAOYMHVIHVIN-LBPRGKRZSA-N 0 0 431.449 -0.694 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)NC[C@@H]3COc4ccccc4O3)cnc2n(C)c1=O ZINC000746943422 1073802981 /nfs/dbraw/zinc/80/29/81/1073802981.db2.gz OOYCNRBDLPCUAF-CYBMUJFWSA-N 0 0 440.412 -0.255 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)NC[C@H]3COc4ccccc4O3)cnc2n(C)c1=O ZINC000746943423 1073803021 /nfs/dbraw/zinc/80/30/21/1073803021.db2.gz OOYCNRBDLPCUAF-ZDUSSCGKSA-N 0 0 440.412 -0.255 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C(C)C)CC1 ZINC000746943548 1073802999 /nfs/dbraw/zinc/80/29/99/1073802999.db2.gz AYRGIUBUBSTRQR-INIZCTEOSA-N 0 0 445.476 -0.496 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C(C)C)CC1 ZINC000746943553 1073803034 /nfs/dbraw/zinc/80/30/34/1073803034.db2.gz AYRGIUBUBSTRQR-MRXNPFEDSA-N 0 0 445.476 -0.496 20 0 IBADRN Cn1c2ncc(C(=O)OCC(=O)Nc3ccccc3S(N)(=O)=O)cc2c(=O)n(C)c1=O ZINC000746943644 1073802159 /nfs/dbraw/zinc/80/21/59/1073802159.db2.gz BWVOUROOXPEYGI-UHFFFAOYSA-N 0 0 447.429 -0.925 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)NCCN3CCc4ccccc43)cnc2n(C)c1=O ZINC000746943679 1073802444 /nfs/dbraw/zinc/80/24/44/1073802444.db2.gz CGTUTROWZQPIRT-UHFFFAOYSA-N 0 0 437.456 -0.032 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000746943742 1073802201 /nfs/dbraw/zinc/80/22/01/1073802201.db2.gz VVJADFSXENZUCM-UHFFFAOYSA-N 0 0 426.385 -0.246 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000746943922 1073801747 /nfs/dbraw/zinc/80/17/47/1073801747.db2.gz QHIYABJZTMRTOV-RIYZIHGNSA-N 0 0 448.457 -0.231 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000746943923 1073801912 /nfs/dbraw/zinc/80/19/12/1073801912.db2.gz QHIYABJZTMRTOV-ZSOIEALJSA-N 0 0 448.457 -0.231 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746943957 1073801812 /nfs/dbraw/zinc/80/18/12/1073801812.db2.gz RSJDSGDWEOAXEE-UHFFFAOYSA-N 0 0 432.433 -0.409 20 0 IBADRN Cn1c2ncc(C(=O)OCC(=O)c3ccc(S(N)(=O)=O)cc3)cc2c(=O)n(C)c1=O ZINC000746943965 1073801963 /nfs/dbraw/zinc/80/19/63/1073801963.db2.gz RVFIYIZWUVENRF-UHFFFAOYSA-N 0 0 432.414 -0.681 20 0 IBADRN Cn1c2ncc(C(=O)OCCOc3ccc(S(N)(=O)=O)cc3)cc2c(=O)n(C)c1=O ZINC000746944165 1073803565 /nfs/dbraw/zinc/80/35/65/1073803565.db2.gz YBJPNPMXNXHYAX-UHFFFAOYSA-N 0 0 434.430 -0.485 20 0 IBADRN C[C@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000746944167 1073803554 /nfs/dbraw/zinc/80/35/54/1073803554.db2.gz YDNYLJVTVPLMNK-JTQLQIEISA-N 0 0 425.401 -0.085 20 0 IBADRN C[C@@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000746944169 1073803504 /nfs/dbraw/zinc/80/35/04/1073803504.db2.gz YDNYLJVTVPLMNK-SNVBAGLBSA-N 0 0 425.401 -0.085 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746944219 1073803884 /nfs/dbraw/zinc/80/38/84/1073803884.db2.gz GTLGMKOFPLAZLQ-LLVKDONJSA-N 0 0 432.433 -0.411 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746944224 1073803844 /nfs/dbraw/zinc/80/38/44/1073803844.db2.gz GTLGMKOFPLAZLQ-NSHDSACASA-N 0 0 432.433 -0.411 20 0 IBADRN C[C@@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)Nc1sccc1C(N)=O ZINC000746944265 1073804009 /nfs/dbraw/zinc/80/40/09/1073804009.db2.gz HLQGDLVFUQSKKA-MRVPVSSYSA-N 0 0 431.430 -0.023 20 0 IBADRN C[C@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)Nc1sccc1C(N)=O ZINC000746944268 1073803830 /nfs/dbraw/zinc/80/38/30/1073803830.db2.gz HLQGDLVFUQSKKA-QMMMGPOBSA-N 0 0 431.430 -0.023 20 0 IBADRN C[C@@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NCC(F)(F)F ZINC000746944391 1073803516 /nfs/dbraw/zinc/80/35/16/1073803516.db2.gz WJKIFJMIKGAFJE-SSDOTTSWSA-N 0 0 431.327 -0.434 20 0 IBADRN C[C@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)NC(=O)NCC(F)(F)F ZINC000746944392 1073803385 /nfs/dbraw/zinc/80/33/85/1073803385.db2.gz WJKIFJMIKGAFJE-ZETCQYMHSA-N 0 0 431.327 -0.434 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746944459 1073803414 /nfs/dbraw/zinc/80/34/14/1073803414.db2.gz JQEFASTVVRKWOB-UHFFFAOYSA-N 0 0 433.421 -0.910 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746944645 1073805103 /nfs/dbraw/zinc/80/51/03/1073805103.db2.gz MXAXBFBEYCIYAK-GFCCVEGCSA-N 0 0 446.460 -0.021 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000746944646 1073804964 /nfs/dbraw/zinc/80/49/64/1073804964.db2.gz MXAXBFBEYCIYAK-LBPRGKRZSA-N 0 0 446.460 -0.021 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)Nc3cccc(S(C)(=O)=O)c3)cnc2n(C)c1=O ZINC000746944665 1073804952 /nfs/dbraw/zinc/80/49/52/1073804952.db2.gz NKQIBEKPXWSKMX-UHFFFAOYSA-N 0 0 446.441 -0.169 20 0 IBADRN Cn1c2ncc(C(=O)OCC(=O)Nc3ccc(S(N)(=O)=O)cc3)cc2c(=O)n(C)c1=O ZINC000746944680 1073805032 /nfs/dbraw/zinc/80/50/32/1073805032.db2.gz NYYRDWXDFLNYEW-UHFFFAOYSA-N 0 0 447.429 -0.925 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000746944807 1073804945 /nfs/dbraw/zinc/80/49/45/1073804945.db2.gz SWQSVGOQDGWCJZ-CHWSQXEVSA-N 0 0 446.460 -0.021 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000746944808 1073805266 /nfs/dbraw/zinc/80/52/66/1073805266.db2.gz SWQSVGOQDGWCJZ-OLZOCXBDSA-N 0 0 446.460 -0.021 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000746944809 1073805022 /nfs/dbraw/zinc/80/50/22/1073805022.db2.gz SWQSVGOQDGWCJZ-QWHCGFSZSA-N 0 0 446.460 -0.021 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000746944810 1073805179 /nfs/dbraw/zinc/80/51/79/1073805179.db2.gz SWQSVGOQDGWCJZ-STQMWFEESA-N 0 0 446.460 -0.021 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000746954011 1073806242 /nfs/dbraw/zinc/80/62/42/1073806242.db2.gz FQMLKPIZKGSSJY-UHFFFAOYSA-N 0 0 440.496 -0.587 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000746955176 1073806322 /nfs/dbraw/zinc/80/63/22/1073806322.db2.gz NJGBPBKVMFSJNH-UHFFFAOYSA-N 0 0 449.551 -0.019 20 0 IBADRN Cn1c2ncn(CC(=O)OCc3cc(=O)n4cc(Cl)ccc4n3)c2c(=O)n(C)c1=O ZINC000746961436 1073806796 /nfs/dbraw/zinc/80/67/96/1073806796.db2.gz MSPUSFFPEOFVOJ-UHFFFAOYSA-N 0 0 430.808 -0.162 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(F)cc1 ZINC000746971849 1073806721 /nfs/dbraw/zinc/80/67/21/1073806721.db2.gz IIKRGPSJNKBSSG-CVEARBPZSA-N 0 0 432.470 -0.100 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1ccc(F)cc1 ZINC000746971852 1073807428 /nfs/dbraw/zinc/80/74/28/1073807428.db2.gz IIKRGPSJNKBSSG-HOTGVXAUSA-N 0 0 432.470 -0.100 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)[C@H]1C[C@@H](O)CN1C(=O)c1ccc(F)cc1 ZINC000746971855 1073807286 /nfs/dbraw/zinc/80/72/86/1073807286.db2.gz IIKRGPSJNKBSSG-HZPDHXFCSA-N 0 0 432.470 -0.100 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)[C@H]1C[C@H](O)CN1C(=O)c1ccc(F)cc1 ZINC000746971857 1073807438 /nfs/dbraw/zinc/80/74/38/1073807438.db2.gz IIKRGPSJNKBSSG-JKSUJKDBSA-N 0 0 432.470 -0.100 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000746972197 1073807302 /nfs/dbraw/zinc/80/73/02/1073807302.db2.gz JKFBBSZINYGDMN-UHFFFAOYSA-N 0 0 435.547 -0.307 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000746973516 1073807336 /nfs/dbraw/zinc/80/73/36/1073807336.db2.gz WAZMQDVOGWIVRW-UHFFFAOYSA-N 0 0 443.551 -0.210 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)OCCNS(=O)(=O)CCCOC)cc2S1(=O)=O ZINC000746974990 1073806750 /nfs/dbraw/zinc/80/67/50/1073806750.db2.gz XPRDHPUSIUOHBZ-UHFFFAOYSA-N 0 0 434.492 -0.036 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000746985958 1073807914 /nfs/dbraw/zinc/80/79/14/1073807914.db2.gz UCIYPPVFNBRCNH-UHFFFAOYSA-N 0 0 428.467 -0.947 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000746991481 1073807992 /nfs/dbraw/zinc/80/79/92/1073807992.db2.gz BMVMYFVJSMTFDG-UHFFFAOYSA-N 0 0 426.451 -0.055 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)cn1 ZINC000746995125 1073808409 /nfs/dbraw/zinc/80/84/09/1073808409.db2.gz RTTJBZQKAOGQCY-UHFFFAOYSA-N 0 0 434.434 -0.299 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC(C)C)cc1 ZINC000747007864 1073809683 /nfs/dbraw/zinc/80/96/83/1073809683.db2.gz LHTUHUDXAFJBCA-UHFFFAOYSA-N 0 0 436.512 -0.749 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000747008043 1073809711 /nfs/dbraw/zinc/80/97/11/1073809711.db2.gz OXHSRZHTDHNDDR-UHFFFAOYSA-N 0 0 446.551 -0.730 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000747008603 1073809630 /nfs/dbraw/zinc/80/96/30/1073809630.db2.gz RNHGHINIEKCLML-UHFFFAOYSA-N 0 0 445.929 -0.341 20 0 IBADRN CC(C)C[C@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000747016187 1073810245 /nfs/dbraw/zinc/81/02/45/1073810245.db2.gz HADLDDHAOQSUNJ-AWEZNQCLSA-N 0 0 426.495 -0.731 20 0 IBADRN CC(C)C[C@@H](O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000747016188 1073810231 /nfs/dbraw/zinc/81/02/31/1073810231.db2.gz HADLDDHAOQSUNJ-CQSZACIVSA-N 0 0 426.495 -0.731 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cnn(CC(=O)NC3CCCCC3)c2)c(=O)n(C)c1=O ZINC000747016838 1073810218 /nfs/dbraw/zinc/81/02/18/1073810218.db2.gz MJUWFGDNNFXMMQ-UHFFFAOYSA-N 0 0 446.464 -0.649 20 0 IBADRN CC(C)c1nnnn1CC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000747025416 1073811073 /nfs/dbraw/zinc/81/10/73/1073811073.db2.gz HDMSDNABRYILFE-UHFFFAOYSA-N 0 0 428.457 -0.635 20 0 IBADRN CC(C)c1nnnn1CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000747026595 1073811364 /nfs/dbraw/zinc/81/13/64/1073811364.db2.gz VXXCVGGKNCKWQT-KBPBESRZSA-N 0 0 429.499 -0.466 20 0 IBADRN CC(C)c1nnnn1CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000747026600 1073811281 /nfs/dbraw/zinc/81/12/81/1073811281.db2.gz VXXCVGGKNCKWQT-KGLIPLIRSA-N 0 0 429.499 -0.466 20 0 IBADRN CC(C)c1nnnn1CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000747026602 1073811379 /nfs/dbraw/zinc/81/13/79/1073811379.db2.gz VXXCVGGKNCKWQT-UONOGXRCSA-N 0 0 429.499 -0.466 20 0 IBADRN CC(C)c1nnnn1CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000747026604 1073811270 /nfs/dbraw/zinc/81/12/70/1073811270.db2.gz VXXCVGGKNCKWQT-ZIAGYGMSSA-N 0 0 429.499 -0.466 20 0 IBADRN CC(C)c1nnnn1CC(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000747027237 1073811212 /nfs/dbraw/zinc/81/12/12/1073811212.db2.gz QYXLJTUFYQZZQG-UHFFFAOYSA-N 0 0 441.448 -0.287 20 0 IBADRN CC(C)c1nnnn1CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000747029607 1073811317 /nfs/dbraw/zinc/81/13/17/1073811317.db2.gz LDQALOLARQFEGU-UHFFFAOYSA-N 0 0 436.494 -0.127 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)Cn3nnnc3C(C)C)C2=O)cc1 ZINC000747032139 1073811348 /nfs/dbraw/zinc/81/13/48/1073811348.db2.gz YNDGQRONAVTBJE-IBGZPJMESA-N 0 0 445.436 -0.153 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)Cn3nnnc3C(C)C)C2=O)cc1 ZINC000747032171 1073811192 /nfs/dbraw/zinc/81/11/92/1073811192.db2.gz YNDGQRONAVTBJE-LJQANCHMSA-N 0 0 445.436 -0.153 20 0 IBADRN CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000747043524 1073811761 /nfs/dbraw/zinc/81/17/61/1073811761.db2.gz LWAVYINEETVOSN-KRWDZBQOSA-N 0 0 427.546 -0.116 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCNC(=O)C2)cc(Br)cc1S(N)(=O)=O ZINC000747044405 1073811782 /nfs/dbraw/zinc/81/17/82/1073811782.db2.gz HIFSUNKGHODLJU-UHFFFAOYSA-N 0 0 434.268 -0.480 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CC2(CS(C)(=O)=O)CC2)c(=O)n(C)c1=O ZINC000747059439 1073814728 /nfs/dbraw/zinc/81/47/28/1073814728.db2.gz QMIKNPVVWAUUJH-UHFFFAOYSA-N 0 0 429.495 -0.274 20 0 IBADRN CS(=O)(=O)CC1(CC(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC000747068033 1073815687 /nfs/dbraw/zinc/81/56/87/1073815687.db2.gz WHSSVMFQQLBJQT-UHFFFAOYSA-N 0 0 430.504 -0.019 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000747072489 1073816254 /nfs/dbraw/zinc/81/62/54/1073816254.db2.gz TWKVJCJVZKECDX-CYBMUJFWSA-N 0 0 448.519 -0.130 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000747072490 1073816095 /nfs/dbraw/zinc/81/60/95/1073816095.db2.gz TWKVJCJVZKECDX-ZDUSSCGKSA-N 0 0 448.519 -0.130 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000747073609 1073816281 /nfs/dbraw/zinc/81/62/81/1073816281.db2.gz AMLQNJUWSKOJHM-GFCCVEGCSA-N 0 0 437.474 -0.416 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000747073610 1073816271 /nfs/dbraw/zinc/81/62/71/1073816271.db2.gz AMLQNJUWSKOJHM-LBPRGKRZSA-N 0 0 437.474 -0.416 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000747075808 1073816304 /nfs/dbraw/zinc/81/63/04/1073816304.db2.gz NFLHZEYMPDCEDZ-HZSPNIEDSA-N 0 0 425.525 -0.595 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000747075812 1073816243 /nfs/dbraw/zinc/81/62/43/1073816243.db2.gz NFLHZEYMPDCEDZ-MCIONIFRSA-N 0 0 425.525 -0.595 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000747075814 1073816181 /nfs/dbraw/zinc/81/61/81/1073816181.db2.gz NFLHZEYMPDCEDZ-MGPQQGTHSA-N 0 0 425.525 -0.595 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000747075816 1073816292 /nfs/dbraw/zinc/81/62/92/1073816292.db2.gz NFLHZEYMPDCEDZ-RDBSUJKOSA-N 0 0 425.525 -0.595 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000747075886 1073816318 /nfs/dbraw/zinc/81/63/18/1073816318.db2.gz ODOZBQVFKBGWIU-HNNXBMFYSA-N 0 0 446.547 -0.116 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000747075890 1073816342 /nfs/dbraw/zinc/81/63/42/1073816342.db2.gz ODOZBQVFKBGWIU-OAHLLOKOSA-N 0 0 446.547 -0.116 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000747077982 1073817173 /nfs/dbraw/zinc/81/71/73/1073817173.db2.gz FWNVBUOXWDNFLT-ADLMAVQZSA-N 0 0 441.462 -0.283 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000747077986 1073817375 /nfs/dbraw/zinc/81/73/75/1073817375.db2.gz FWNVBUOXWDNFLT-BBATYDOGSA-N 0 0 441.462 -0.283 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000747077988 1073817227 /nfs/dbraw/zinc/81/72/27/1073817227.db2.gz FWNVBUOXWDNFLT-VOJFVSQTSA-N 0 0 441.462 -0.283 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](C)CS(C)(=O)=O)C2=O)cc1 ZINC000747077991 1073817327 /nfs/dbraw/zinc/81/73/27/1073817327.db2.gz FWNVBUOXWDNFLT-ZMZPIMSZSA-N 0 0 441.462 -0.283 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000747078000 1073817454 /nfs/dbraw/zinc/81/74/54/1073817454.db2.gz GCINCDXGPSVDIS-AWEZNQCLSA-N 0 0 426.491 -0.001 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000747078003 1073817150 /nfs/dbraw/zinc/81/71/50/1073817150.db2.gz GCINCDXGPSVDIS-CQSZACIVSA-N 0 0 426.491 -0.001 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000747078010 1073817736 /nfs/dbraw/zinc/81/77/36/1073817736.db2.gz GIQCMEXPZWEZOA-AWEZNQCLSA-N 0 0 432.520 -0.257 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000747078012 1073817771 /nfs/dbraw/zinc/81/77/71/1073817771.db2.gz GIQCMEXPZWEZOA-CQSZACIVSA-N 0 0 432.520 -0.257 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000747078362 1073817243 /nfs/dbraw/zinc/81/72/43/1073817243.db2.gz WMOMAOBUQDUJMP-CYBMUJFWSA-N 0 0 448.519 -0.130 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000747078364 1073817388 /nfs/dbraw/zinc/81/73/88/1073817388.db2.gz WMOMAOBUQDUJMP-ZDUSSCGKSA-N 0 0 448.519 -0.130 20 0 IBADRN CC(=O)N1CC[C@](C)(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000747085559 1073820501 /nfs/dbraw/zinc/82/05/01/1073820501.db2.gz IIVCUKWKIYLBJB-FQEVSTJZSA-N 0 0 429.481 -0.186 20 0 IBADRN CC(=O)N1CC[C@@](C)(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000747085560 1073820360 /nfs/dbraw/zinc/82/03/60/1073820360.db2.gz IIVCUKWKIYLBJB-HXUWFJFHSA-N 0 0 429.481 -0.186 20 0 IBADRN CC(=O)N1CC[C@](C)(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000747088405 1073820846 /nfs/dbraw/zinc/82/08/46/1073820846.db2.gz ZAGPCJWOKKBUKB-BXWFABGCSA-N 0 0 430.523 -0.017 20 0 IBADRN CC(=O)N1CC[C@](C)(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000747088406 1073821009 /nfs/dbraw/zinc/82/10/09/1073821009.db2.gz ZAGPCJWOKKBUKB-FCEWJHQRSA-N 0 0 430.523 -0.017 20 0 IBADRN CC(=O)N1CC[C@](C)(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000747088407 1073821529 /nfs/dbraw/zinc/82/15/29/1073821529.db2.gz ZAGPCJWOKKBUKB-GJYPPUQNSA-N 0 0 430.523 -0.017 20 0 IBADRN CC(=O)N1CC[C@](C)(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000747088408 1073821730 /nfs/dbraw/zinc/82/17/30/1073821730.db2.gz ZAGPCJWOKKBUKB-MDZRGWNJSA-N 0 0 430.523 -0.017 20 0 IBADRN C[C@@H](NC(=O)CN1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1)C(=O)N(C)C ZINC000747092219 1073821646 /nfs/dbraw/zinc/82/16/46/1073821646.db2.gz AJYFYRSUMZNSRY-CABCVRRESA-N 0 0 427.546 -0.068 20 0 IBADRN C[C@H](NC(=O)CN1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1)C(=O)N(C)C ZINC000747092222 1073821554 /nfs/dbraw/zinc/82/15/54/1073821554.db2.gz AJYFYRSUMZNSRY-GJZGRUSLSA-N 0 0 427.546 -0.068 20 0 IBADRN C[C@@H](NC(=O)CN1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1)C(=O)N(C)C ZINC000747092224 1073821498 /nfs/dbraw/zinc/82/14/98/1073821498.db2.gz AJYFYRSUMZNSRY-HUUCEWRRSA-N 0 0 427.546 -0.068 20 0 IBADRN C[C@H](NC(=O)CN1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1)C(=O)N(C)C ZINC000747092225 1073821431 /nfs/dbraw/zinc/82/14/31/1073821431.db2.gz AJYFYRSUMZNSRY-LSDHHAIUSA-N 0 0 427.546 -0.068 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000747097999 1073823116 /nfs/dbraw/zinc/82/31/16/1073823116.db2.gz VGXSBKXTXPRCLE-UHFFFAOYSA-N 0 0 444.945 -0.286 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000747098121 1073822615 /nfs/dbraw/zinc/82/26/15/1073822615.db2.gz LURULGCCYRORRT-UHFFFAOYSA-N 0 0 434.540 -0.452 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCN2CCCS2(=O)=O)CC1 ZINC000747110542 1073823802 /nfs/dbraw/zinc/82/38/02/1073823802.db2.gz GVEMKNDFGJXFAO-UHFFFAOYSA-N 0 0 433.531 -0.600 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000747110834 1073823825 /nfs/dbraw/zinc/82/38/25/1073823825.db2.gz YJPFBLOXHFGSGS-UHFFFAOYSA-N 0 0 441.535 -0.502 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C(=O)OCc3ccccc3)CC1)c(=O)n2C ZINC000747143104 1073827956 /nfs/dbraw/zinc/82/79/56/1073827956.db2.gz ARKPSRQDJGMBHN-UHFFFAOYSA-N 0 0 440.460 -0.085 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)OCc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000747146120 1073834256 /nfs/dbraw/zinc/83/42/56/1073834256.db2.gz RBCDWHOTVXZHAO-UHFFFAOYSA-N 0 0 440.460 -0.085 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(F)(F)F ZINC000747163273 1073842498 /nfs/dbraw/zinc/84/24/98/1073842498.db2.gz JGSCVQZQMKULTF-MRXNPFEDSA-N 0 0 443.386 -0.876 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(F)(F)F ZINC000747166036 1073842386 /nfs/dbraw/zinc/84/23/86/1073842386.db2.gz WCWZJZDAHCPCAS-KRWDZBQOSA-N 0 0 442.398 -0.121 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(F)(F)F ZINC000747166037 1073842493 /nfs/dbraw/zinc/84/24/93/1073842493.db2.gz WCWZJZDAHCPCAS-QGZVFWFLSA-N 0 0 442.398 -0.121 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(F)(F)F ZINC000747166437 1073842946 /nfs/dbraw/zinc/84/29/46/1073842946.db2.gz RBEFSTLWAIPOCL-INIZCTEOSA-N 0 0 443.386 -0.876 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(F)(F)F ZINC000747166441 1073843131 /nfs/dbraw/zinc/84/31/31/1073843131.db2.gz RBEFSTLWAIPOCL-MRXNPFEDSA-N 0 0 443.386 -0.876 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(F)(F)F ZINC000747167375 1073843197 /nfs/dbraw/zinc/84/31/97/1073843197.db2.gz PHBQGTONXFLAEK-KRWDZBQOSA-N 0 0 440.382 -0.064 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(F)(F)F ZINC000747167377 1073843108 /nfs/dbraw/zinc/84/31/08/1073843108.db2.gz PHBQGTONXFLAEK-QGZVFWFLSA-N 0 0 440.382 -0.064 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(F)(F)F ZINC000747169079 1073842929 /nfs/dbraw/zinc/84/29/29/1073842929.db2.gz WFOQFEVBQBRVCQ-KRWDZBQOSA-N 0 0 440.382 -0.064 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(F)(F)F ZINC000747169080 1073842993 /nfs/dbraw/zinc/84/29/93/1073842993.db2.gz WFOQFEVBQBRVCQ-QGZVFWFLSA-N 0 0 440.382 -0.064 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2ccc(C(N)=O)o2)CC1 ZINC000747174246 1073842959 /nfs/dbraw/zinc/84/29/59/1073842959.db2.gz INPWANVUTWPQPJ-UHFFFAOYSA-N 0 0 440.434 -0.327 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)c2ccc(C(N)=O)o2)c(N)n(Cc2ccccc2)c1=O ZINC000747179903 1073843752 /nfs/dbraw/zinc/84/37/52/1073843752.db2.gz XIPNSGFJZUADKX-UHFFFAOYSA-N 0 0 426.385 -0.091 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000747200404 1073851180 /nfs/dbraw/zinc/85/11/80/1073851180.db2.gz HQCIGLPFQUJFLD-RCMYXZNBSA-N 0 0 434.518 -0.059 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000747200449 1073850671 /nfs/dbraw/zinc/85/06/71/1073850671.db2.gz PGFDIYRAFVBREA-UHFFFAOYSA-N 0 0 435.499 -0.388 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@H]2C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000747200755 1073850642 /nfs/dbraw/zinc/85/06/42/1073850642.db2.gz HQCIGLPFQUJFLD-SKHCZCESSA-N 0 0 434.518 -0.059 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000747200756 1073850502 /nfs/dbraw/zinc/85/05/02/1073850502.db2.gz HQCIGLPFQUJFLD-UXHZXRBQSA-N 0 0 434.518 -0.059 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000747202294 1073851645 /nfs/dbraw/zinc/85/16/45/1073851645.db2.gz KQUIOGBRVZVKRP-UHFFFAOYSA-N 0 0 426.314 -0.138 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)CN2CCCS2(=O)=O)C1 ZINC000747202797 1073851373 /nfs/dbraw/zinc/85/13/73/1073851373.db2.gz DLNLIMSSBHQIQY-CQSZACIVSA-N 0 0 432.543 -0.099 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)CN2CCS(=O)(=O)CC2)C1 ZINC000747202820 1073851941 /nfs/dbraw/zinc/85/19/41/1073851941.db2.gz FCUBJMAHXJPOBW-HNNXBMFYSA-N 0 0 446.570 -0.404 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000747203208 1073852830 /nfs/dbraw/zinc/85/28/30/1073852830.db2.gz LTKAMPCRYVJIQI-AWEZNQCLSA-N 0 0 427.502 -0.882 20 0 IBADRN CN1CC(=O)N(CC(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)C1=O ZINC000747208258 1073853666 /nfs/dbraw/zinc/85/36/66/1073853666.db2.gz PMEPTLNVSMBVFP-CYBMUJFWSA-N 0 0 425.486 -0.240 20 0 IBADRN CN1CC(=O)N(CC(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)C1=O ZINC000747208261 1073853744 /nfs/dbraw/zinc/85/37/44/1073853744.db2.gz PMEPTLNVSMBVFP-ZDUSSCGKSA-N 0 0 425.486 -0.240 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000747213966 1073856965 /nfs/dbraw/zinc/85/69/65/1073856965.db2.gz KBEWKNIZFOPFOH-KBXCAEBGSA-N 0 0 447.458 -0.143 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000747213967 1073857004 /nfs/dbraw/zinc/85/70/04/1073857004.db2.gz KBEWKNIZFOPFOH-KDOFPFPSSA-N 0 0 447.458 -0.143 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000747213968 1073856913 /nfs/dbraw/zinc/85/69/13/1073856913.db2.gz KBEWKNIZFOPFOH-KSSFIOAISA-N 0 0 447.458 -0.143 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(F)(F)F ZINC000747213969 1073856863 /nfs/dbraw/zinc/85/68/63/1073856863.db2.gz KBEWKNIZFOPFOH-RDTXWAMCSA-N 0 0 447.458 -0.143 20 0 IBADRN Cc1nc(C(=O)NCCS(=O)(=O)N2CCOCC2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC000747216087 1073857383 /nfs/dbraw/zinc/85/73/83/1073857383.db2.gz XLCSAAYKPLDZKN-UHFFFAOYSA-N 0 0 438.392 -0.896 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)CN2CCN(C(=O)OCc3ccccc3)CC2)CC1 ZINC000747216231 1073857480 /nfs/dbraw/zinc/85/74/80/1073857480.db2.gz GZXHZUYFFWVSIA-UHFFFAOYSA-N 0 0 425.511 -0.712 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(c2ncc(Br)cn2)CC1 ZINC000747226043 1073863603 /nfs/dbraw/zinc/86/36/03/1073863603.db2.gz LOPGKLDNJCVFFL-LLVKDONJSA-N 0 0 425.330 -0.122 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(c2ncc(Br)cn2)CC1 ZINC000747226047 1073863614 /nfs/dbraw/zinc/86/36/14/1073863614.db2.gz LOPGKLDNJCVFFL-NSHDSACASA-N 0 0 425.330 -0.122 20 0 IBADRN CC(C)c1cc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n2ncnc2n1 ZINC000747226099 1073866639 /nfs/dbraw/zinc/86/66/39/1073866639.db2.gz MTBWXUYGVLHUEW-CYBMUJFWSA-N 0 0 428.540 -0.113 20 0 IBADRN CC(C)c1cc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n2ncnc2n1 ZINC000747226102 1073866400 /nfs/dbraw/zinc/86/64/00/1073866400.db2.gz MTBWXUYGVLHUEW-ZDUSSCGKSA-N 0 0 428.540 -0.113 20 0 IBADRN CCCc1cc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n2ncnc2n1 ZINC000747227138 1073869181 /nfs/dbraw/zinc/86/91/81/1073869181.db2.gz RXQDMYHHRRPFQA-AWEZNQCLSA-N 0 0 428.540 -0.284 20 0 IBADRN CCCc1cc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n2ncnc2n1 ZINC000747227140 1073869191 /nfs/dbraw/zinc/86/91/91/1073869191.db2.gz RXQDMYHHRRPFQA-CQSZACIVSA-N 0 0 428.540 -0.284 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)c(C(=O)OC)c1 ZINC000747235712 1073871436 /nfs/dbraw/zinc/87/14/36/1073871436.db2.gz SXYIVZQFYNYXRD-LLVKDONJSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)c(C(=O)OC)c1 ZINC000747235714 1073871355 /nfs/dbraw/zinc/87/13/55/1073871355.db2.gz SXYIVZQFYNYXRD-NSHDSACASA-N 0 0 436.483 -0.074 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000747236628 1073871457 /nfs/dbraw/zinc/87/14/57/1073871457.db2.gz SIQCNEPSAIERKO-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1F ZINC000747236877 1073871303 /nfs/dbraw/zinc/87/13/03/1073871303.db2.gz DDPXODKDQFSFGL-UHFFFAOYSA-N 0 0 443.453 -0.696 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCCN2CCCS2(=O)=O)ccc1Br ZINC000747236944 1073871412 /nfs/dbraw/zinc/87/14/12/1073871412.db2.gz PIGTYHPQFGTJPT-UHFFFAOYSA-N 0 0 426.314 -0.138 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000747237284 1073872047 /nfs/dbraw/zinc/87/20/47/1073872047.db2.gz YEYBPWBOTMXEPX-UHFFFAOYSA-N 0 0 435.499 -0.388 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCCN2CCCS2(=O)=O)sc1Br ZINC000747237301 1073871858 /nfs/dbraw/zinc/87/18/58/1073871858.db2.gz ZQVMOYOOWFJMHA-UHFFFAOYSA-N 0 0 432.343 -0.077 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000747239945 1073873221 /nfs/dbraw/zinc/87/32/21/1073873221.db2.gz GFSHLPXTAXTEBX-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000747246447 1073876874 /nfs/dbraw/zinc/87/68/74/1073876874.db2.gz VMBNQHOORBUDCF-BMWLXYDHSA-N 0 0 430.504 -0.203 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000747246448 1073876942 /nfs/dbraw/zinc/87/69/42/1073876942.db2.gz VMBNQHOORBUDCF-GPAKFWEMSA-N 0 0 430.504 -0.203 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000747246449 1073876951 /nfs/dbraw/zinc/87/69/51/1073876951.db2.gz VMBNQHOORBUDCF-LHRNQBEKSA-N 0 0 430.504 -0.203 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000747246450 1073876859 /nfs/dbraw/zinc/87/68/59/1073876859.db2.gz VMBNQHOORBUDCF-PODYEWJSSA-N 0 0 430.504 -0.203 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000747246936 1073876976 /nfs/dbraw/zinc/87/69/76/1073876976.db2.gz YQMAYHRLOGCIHQ-BTKRWWFXSA-N 0 0 430.504 -0.156 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000747246940 1073877089 /nfs/dbraw/zinc/87/70/89/1073877089.db2.gz YQMAYHRLOGCIHQ-GBXSZLQWSA-N 0 0 430.504 -0.156 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000747246942 1073876912 /nfs/dbraw/zinc/87/69/12/1073876912.db2.gz YQMAYHRLOGCIHQ-HJHHMULASA-N 0 0 430.504 -0.156 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000747246944 1073876881 /nfs/dbraw/zinc/87/68/81/1073876881.db2.gz YQMAYHRLOGCIHQ-ZBKLQPJUSA-N 0 0 430.504 -0.156 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000747247708 1073876461 /nfs/dbraw/zinc/87/64/61/1073876461.db2.gz JNHRQYXCNIACDJ-RUDMXATFSA-N 0 0 449.485 -0.145 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000747247710 1073876409 /nfs/dbraw/zinc/87/64/09/1073876409.db2.gz JNHRQYXCNIACDJ-WTKPLQERSA-N 0 0 449.485 -0.145 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000747248212 1073876903 /nfs/dbraw/zinc/87/69/03/1073876903.db2.gz LNBASTHCJJMTNK-UHFFFAOYSA-N 0 0 441.437 -0.406 20 0 IBADRN Cc1cc(F)cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000747248871 1073876931 /nfs/dbraw/zinc/87/69/31/1073876931.db2.gz QCFCQYXUFNZDAU-AWEZNQCLSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1cc(F)cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000747248883 1073877065 /nfs/dbraw/zinc/87/70/65/1073877065.db2.gz QCFCQYXUFNZDAU-CQSZACIVSA-N 0 0 440.540 -0.043 20 0 IBADRN CC(C)c1nc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c[nH]1 ZINC000747249030 1073876921 /nfs/dbraw/zinc/87/69/21/1073876921.db2.gz SKIRWLZENMBBET-GFCCVEGCSA-N 0 0 440.569 -0.644 20 0 IBADRN CC(C)c1nc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c[nH]1 ZINC000747249031 1073876894 /nfs/dbraw/zinc/87/68/94/1073876894.db2.gz SKIRWLZENMBBET-LBPRGKRZSA-N 0 0 440.569 -0.644 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000747251724 1073876958 /nfs/dbraw/zinc/87/69/58/1073876958.db2.gz DEYKKJNYLWHZQP-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000747253550 1073878113 /nfs/dbraw/zinc/87/81/13/1073878113.db2.gz LYUMBASKKHTFQG-VMPITWQZSA-N 0 0 431.536 -0.272 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000747253552 1073878187 /nfs/dbraw/zinc/87/81/87/1073878187.db2.gz LYUMBASKKHTFQG-YVMONPNESA-N 0 0 431.536 -0.272 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1c(F)ccc(S(N)(=O)=O)c1F)S(C)(=O)=O ZINC000747269906 1073879806 /nfs/dbraw/zinc/87/98/06/1073879806.db2.gz HVKJQTMSQIWZSN-UHFFFAOYSA-N 0 0 443.450 -0.833 20 0 IBADRN CCN(C(=O)COC(=O)c1c(F)ccc(S(N)(=O)=O)c1F)[C@@H]1CCS(=O)(=O)C1 ZINC000747272492 1073880778 /nfs/dbraw/zinc/88/07/78/1073880778.db2.gz RYRPROYFNONYDJ-SECBINFHSA-N 0 0 440.446 -0.195 20 0 IBADRN CCN(C(=O)COC(=O)c1c(F)ccc(S(N)(=O)=O)c1F)[C@H]1CCS(=O)(=O)C1 ZINC000747272494 1073880657 /nfs/dbraw/zinc/88/06/57/1073880657.db2.gz RYRPROYFNONYDJ-VIFPVBQESA-N 0 0 440.446 -0.195 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)c1F ZINC000747279174 1073881855 /nfs/dbraw/zinc/88/18/55/1073881855.db2.gz VUVRIFBDKKLBKN-UHFFFAOYSA-N 0 0 441.416 -0.092 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)C(=O)N(C)C ZINC000747284626 1073882217 /nfs/dbraw/zinc/88/22/17/1073882217.db2.gz BGNJQPAPDYNRIZ-AWEZNQCLSA-N 0 0 425.507 -0.333 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)C(=O)N(C)C ZINC000747284636 1073882297 /nfs/dbraw/zinc/88/22/97/1073882297.db2.gz BGNJQPAPDYNRIZ-CQSZACIVSA-N 0 0 425.507 -0.333 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000747290669 1073884293 /nfs/dbraw/zinc/88/42/93/1073884293.db2.gz CGZIUPXXFQVZAO-AWEZNQCLSA-N 0 0 441.506 -0.066 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000747291147 1073884041 /nfs/dbraw/zinc/88/40/41/1073884041.db2.gz HQHWSNKLJCWFAI-KRWDZBQOSA-N 0 0 437.518 -0.060 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000747291148 1073884319 /nfs/dbraw/zinc/88/43/19/1073884319.db2.gz HQHWSNKLJCWFAI-QGZVFWFLSA-N 0 0 437.518 -0.060 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000747297733 1073883992 /nfs/dbraw/zinc/88/39/92/1073883992.db2.gz PNQFTEDFCOQBNM-AWEZNQCLSA-N 0 0 449.574 -0.012 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)NCCNS(C)(=O)=O)C1 ZINC000747299175 1073887135 /nfs/dbraw/zinc/88/71/35/1073887135.db2.gz LYLXUEUHCAQMRH-ZDUSSCGKSA-N 0 0 435.547 -0.402 20 0 IBADRN O=C(NC[C@@H]1CN2CCN1CC2)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000747314279 1073890864 /nfs/dbraw/zinc/89/08/64/1073890864.db2.gz HQCRVXFXXPKDGZ-CVEARBPZSA-N 0 0 434.518 -0.260 20 0 IBADRN O=C(NC[C@H]1CN2CCN1CC2)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000747314282 1073890643 /nfs/dbraw/zinc/89/06/43/1073890643.db2.gz HQCRVXFXXPKDGZ-HOTGVXAUSA-N 0 0 434.518 -0.260 20 0 IBADRN O=C(NC[C@@H]1CN2CCN1CC2)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000747314284 1073890618 /nfs/dbraw/zinc/89/06/18/1073890618.db2.gz HQCRVXFXXPKDGZ-HZPDHXFCSA-N 0 0 434.518 -0.260 20 0 IBADRN O=C(NC[C@H]1CN2CCN1CC2)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000747314285 1073890724 /nfs/dbraw/zinc/89/07/24/1073890724.db2.gz HQCRVXFXXPKDGZ-JKSUJKDBSA-N 0 0 434.518 -0.260 20 0 IBADRN COCCOC(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCCS2(=O)=O)c1 ZINC000747315895 1073891315 /nfs/dbraw/zinc/89/13/15/1073891315.db2.gz BJUDITDTIUCTFB-UHFFFAOYSA-N 0 0 428.467 -0.028 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC000747316557 1073891392 /nfs/dbraw/zinc/89/13/92/1073891392.db2.gz LCERMTQEOHRRPU-UHFFFAOYSA-N 0 0 425.435 -0.227 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)C(=O)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000747317784 1073891543 /nfs/dbraw/zinc/89/15/43/1073891543.db2.gz ZHKBHCXFAWQQOS-UHFFFAOYSA-N 0 0 425.435 -0.227 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)c(OC)c1OC ZINC000747318922 1073892098 /nfs/dbraw/zinc/89/20/98/1073892098.db2.gz GHCVEEBQZKRJPI-UHFFFAOYSA-N 0 0 446.460 -0.292 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCN(c3ncccc3C(N)=O)CC1)C2=O ZINC000747328847 1073892467 /nfs/dbraw/zinc/89/24/67/1073892467.db2.gz MYNXZQRYWDJOSW-UHFFFAOYSA-N 0 0 443.508 -0.166 20 0 IBADRN NS(=O)(=O)c1ccc(O)c(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)c1 ZINC000747342432 1073896286 /nfs/dbraw/zinc/89/62/86/1073896286.db2.gz FJRNEFORUHIJFZ-UHFFFAOYSA-N 0 0 437.430 -0.226 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2O)CC1 ZINC000747342523 1073897520 /nfs/dbraw/zinc/89/75/20/1073897520.db2.gz IRLCQAWDFFADJB-UHFFFAOYSA-N 0 0 429.451 -0.067 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1O)[C@@H]1CCS(=O)(=O)C1 ZINC000747344032 1073896449 /nfs/dbraw/zinc/89/64/49/1073896449.db2.gz REEJUJLKMVJQHY-GHMZBOCLSA-N 0 0 434.492 -0.380 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1O)[C@H]1CCS(=O)(=O)C1 ZINC000747344033 1073896347 /nfs/dbraw/zinc/89/63/47/1073896347.db2.gz REEJUJLKMVJQHY-MNOVXSKESA-N 0 0 434.492 -0.380 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1O)[C@H]1CCS(=O)(=O)C1 ZINC000747344034 1073896376 /nfs/dbraw/zinc/89/63/76/1073896376.db2.gz REEJUJLKMVJQHY-QWRGUYRKSA-N 0 0 434.492 -0.380 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1O)[C@@H]1CCS(=O)(=O)C1 ZINC000747344035 1073896370 /nfs/dbraw/zinc/89/63/70/1073896370.db2.gz REEJUJLKMVJQHY-WDEREUQCSA-N 0 0 434.492 -0.380 20 0 IBADRN O=C([C@@H]1CCCN1c1ncccn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000747358874 1073903130 /nfs/dbraw/zinc/90/31/30/1073903130.db2.gz DFTYLSZLXWOVSY-CABCVRRESA-N 0 0 443.551 -0.894 20 0 IBADRN O=C([C@@H]1CCCN1c1ncccn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000747358877 1073903201 /nfs/dbraw/zinc/90/32/01/1073903201.db2.gz DFTYLSZLXWOVSY-GJZGRUSLSA-N 0 0 443.551 -0.894 20 0 IBADRN O=C([C@H]1CCCN1c1ncccn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000747358879 1073903030 /nfs/dbraw/zinc/90/30/30/1073903030.db2.gz DFTYLSZLXWOVSY-HUUCEWRRSA-N 0 0 443.551 -0.894 20 0 IBADRN O=C([C@H]1CCCN1c1ncccn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000747358880 1073903163 /nfs/dbraw/zinc/90/31/63/1073903163.db2.gz DFTYLSZLXWOVSY-LSDHHAIUSA-N 0 0 443.551 -0.894 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC000747360211 1073903011 /nfs/dbraw/zinc/90/30/11/1073903011.db2.gz PXOVUEANONLWMB-KRWDZBQOSA-N 0 0 432.477 -0.169 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC000747360212 1073903142 /nfs/dbraw/zinc/90/31/42/1073903142.db2.gz PXOVUEANONLWMB-QGZVFWFLSA-N 0 0 432.477 -0.169 20 0 IBADRN Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000747360680 1073904331 /nfs/dbraw/zinc/90/43/31/1073904331.db2.gz ZSINLQZZNOOYQB-UHFFFAOYSA-N 0 0 426.543 -0.136 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)NCCN1CCS(=O)(=O)CC1 ZINC000747365252 1073907585 /nfs/dbraw/zinc/90/75/85/1073907585.db2.gz IVQAUXYEUBZLLN-UHFFFAOYSA-N 0 0 438.550 -0.213 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)nn1 ZINC000747370131 1073909293 /nfs/dbraw/zinc/90/92/93/1073909293.db2.gz DLLLEZKQEPRUOF-CYBMUJFWSA-N 0 0 438.485 -0.056 20 0 IBADRN COC(=O)c1cn(CC(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)nn1 ZINC000747370135 1073909947 /nfs/dbraw/zinc/90/99/47/1073909947.db2.gz DLLLEZKQEPRUOF-ZDUSSCGKSA-N 0 0 438.485 -0.056 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000747377662 1073913926 /nfs/dbraw/zinc/91/39/26/1073913926.db2.gz YTNLIGZCVLUKHJ-HNNXBMFYSA-N 0 0 427.552 -0.429 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000747377665 1073913981 /nfs/dbraw/zinc/91/39/81/1073913981.db2.gz YTNLIGZCVLUKHJ-OAHLLOKOSA-N 0 0 427.552 -0.429 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC000747382574 1073913971 /nfs/dbraw/zinc/91/39/71/1073913971.db2.gz YHZFTMPBQQBUJQ-GOSISDBHSA-N 0 0 445.520 -0.206 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC000747382575 1073913827 /nfs/dbraw/zinc/91/38/27/1073913827.db2.gz YHZFTMPBQQBUJQ-SFHVURJKSA-N 0 0 445.520 -0.206 20 0 IBADRN O=C(CNC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1)NCCN1CCOCC1 ZINC000747388946 1073914629 /nfs/dbraw/zinc/91/46/29/1073914629.db2.gz HBGIXAQBCLYEDY-UHFFFAOYSA-N 0 0 447.536 -0.495 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2nc(C)n(-c3nc(C(F)(F)F)n[nH]3)n2)CC1 ZINC000747393041 1073916436 /nfs/dbraw/zinc/91/64/36/1073916436.db2.gz ODTMJVFOUJVOKX-UHFFFAOYSA-N 0 0 430.347 -0.440 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)NCC1(O)CCS(=O)(=O)CC1 ZINC000747405343 1073920498 /nfs/dbraw/zinc/92/04/98/1073920498.db2.gz MRMAZFMPDWJFSR-UHFFFAOYSA-N 0 0 439.534 -0.003 20 0 IBADRN O=S(=O)(NN=c1ccc(S(=O)(=O)NC2CC2)c[nH]1)c1ccc(-n2cnnn2)cc1 ZINC000747407904 1073920583 /nfs/dbraw/zinc/92/05/83/1073920583.db2.gz YQBXERWJGOXUKN-UHFFFAOYSA-N 0 0 436.479 -0.197 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CCn3cc[nH]c(=O)c3=O)CC2)c(OC)c1OC ZINC000747429501 1073932929 /nfs/dbraw/zinc/93/29/29/1073932929.db2.gz NWYQACGUJNEZRP-UHFFFAOYSA-N 0 0 446.460 -0.063 20 0 IBADRN CCOC(=O)[C@H](CC)S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000747439168 1073934052 /nfs/dbraw/zinc/93/40/52/1073934052.db2.gz FMMLTHGELAIPFM-ILXRZTDVSA-N 0 0 439.552 -0.062 20 0 IBADRN CCOC(=O)[C@H](CC)S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000747439171 1073933892 /nfs/dbraw/zinc/93/38/92/1073933892.db2.gz FMMLTHGELAIPFM-KFWWJZLASA-N 0 0 439.552 -0.062 20 0 IBADRN CCOC(=O)[C@H](CC)S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000747439173 1073934042 /nfs/dbraw/zinc/93/40/42/1073934042.db2.gz FMMLTHGELAIPFM-KKUMJFAQSA-N 0 0 439.552 -0.062 20 0 IBADRN CCOC(=O)[C@H](CC)S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000747439175 1073933964 /nfs/dbraw/zinc/93/39/64/1073933964.db2.gz FMMLTHGELAIPFM-ZNMIVQPWSA-N 0 0 439.552 -0.062 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000747440343 1073933940 /nfs/dbraw/zinc/93/39/40/1073933940.db2.gz BSULWRYGYBQZST-ZDUSSCGKSA-N 0 0 428.561 -0.039 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)OCc3ccccc3)CC2)c[nH]1 ZINC000747448458 1073936898 /nfs/dbraw/zinc/93/68/98/1073936898.db2.gz HMZOGMHFVVGHJZ-UHFFFAOYSA-N 0 0 449.489 -0.127 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000747456330 1073938620 /nfs/dbraw/zinc/93/86/20/1073938620.db2.gz CYRMXRYFJQGZCK-UHFFFAOYSA-N 0 0 429.528 -0.445 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000747469607 1073941727 /nfs/dbraw/zinc/94/17/27/1073941727.db2.gz PAILRTNRZXOBDA-KRWDZBQOSA-N 0 0 441.554 -0.332 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000747469608 1073941690 /nfs/dbraw/zinc/94/16/90/1073941690.db2.gz PAILRTNRZXOBDA-QGZVFWFLSA-N 0 0 441.554 -0.332 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(Cn2nc(CCC(N)=O)n(C)c2=S)CC1 ZINC000747469613 1073941596 /nfs/dbraw/zinc/94/15/96/1073941596.db2.gz PGEHMVFROLGRPN-UHFFFAOYSA-N 0 0 434.588 -0.301 20 0 IBADRN Cn1ncc2cc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)cnc21 ZINC000747478504 1073944341 /nfs/dbraw/zinc/94/43/41/1073944341.db2.gz JLNOCRDLRSSFCJ-UHFFFAOYSA-N 0 0 425.449 -0.323 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC([C@H](O)C(=O)OC)CC3)cc2S1(=O)=O ZINC000747518262 1073947571 /nfs/dbraw/zinc/94/75/71/1073947571.db2.gz NYJJWEMWDSLHQE-INIZCTEOSA-N 0 0 440.474 -0.136 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC([C@@H](O)C(=O)OC)CC3)cc2S1(=O)=O ZINC000747518264 1073947520 /nfs/dbraw/zinc/94/75/20/1073947520.db2.gz NYJJWEMWDSLHQE-MRXNPFEDSA-N 0 0 440.474 -0.136 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000747519640 1073948174 /nfs/dbraw/zinc/94/81/74/1073948174.db2.gz ZUWKLUXAKFHZDC-GFCCVEGCSA-N 0 0 442.270 -0.335 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000747519641 1073948097 /nfs/dbraw/zinc/94/80/97/1073948097.db2.gz ZUWKLUXAKFHZDC-LBPRGKRZSA-N 0 0 442.270 -0.335 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)NC[C@@H]1CN2CCN1CC2 ZINC000747525294 1073948753 /nfs/dbraw/zinc/94/87/53/1073948753.db2.gz JLSUPFZBMIUTNP-MSOLQXFVSA-N 0 0 438.550 -0.168 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)NC[C@@H]1CN2CCN1CC2 ZINC000747525296 1073948734 /nfs/dbraw/zinc/94/87/34/1073948734.db2.gz JLSUPFZBMIUTNP-QZTJIDSGSA-N 0 0 438.550 -0.168 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)NC[C@H]1CN2CCN1CC2 ZINC000747525297 1073948623 /nfs/dbraw/zinc/94/86/23/1073948623.db2.gz JLSUPFZBMIUTNP-ROUUACIJSA-N 0 0 438.550 -0.168 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)NC[C@H]1CN2CCN1CC2 ZINC000747525299 1073948672 /nfs/dbraw/zinc/94/86/72/1073948672.db2.gz JLSUPFZBMIUTNP-ZWKOTPCHSA-N 0 0 438.550 -0.168 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000747525380 1073948646 /nfs/dbraw/zinc/94/86/46/1073948646.db2.gz JBBZRHDHSANRFX-INIZCTEOSA-N 0 0 442.490 -0.179 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000747525388 1073948636 /nfs/dbraw/zinc/94/86/36/1073948636.db2.gz JBBZRHDHSANRFX-MRXNPFEDSA-N 0 0 442.490 -0.179 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000747525455 1073948705 /nfs/dbraw/zinc/94/87/05/1073948705.db2.gz JHPOGTQDZZRXEO-HNNXBMFYSA-N 0 0 443.478 -0.421 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000747525466 1073948784 /nfs/dbraw/zinc/94/87/84/1073948784.db2.gz JHPOGTQDZZRXEO-OAHLLOKOSA-N 0 0 443.478 -0.421 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)CCOC(C)C)CC2)CC1 ZINC000747531537 1073950396 /nfs/dbraw/zinc/95/03/96/1073950396.db2.gz BPXMOIZPYDYYMU-UHFFFAOYSA-N 0 0 434.559 -0.341 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)CCOC(C)C)CC2)NC(=O)N[C@H]1C ZINC000747533343 1073950385 /nfs/dbraw/zinc/95/03/85/1073950385.db2.gz YHQWFLZSCQVLIM-AWEZNQCLSA-N 0 0 432.543 -0.123 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)CCOC(C)C)CC2)NC(=O)N[C@@H]1C ZINC000747533344 1073950401 /nfs/dbraw/zinc/95/04/01/1073950401.db2.gz YHQWFLZSCQVLIM-CQSZACIVSA-N 0 0 432.543 -0.123 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)n1 ZINC000747543900 1073954666 /nfs/dbraw/zinc/95/46/66/1073954666.db2.gz DMLBAOYCTVBCOZ-UHFFFAOYSA-N 0 0 438.489 -0.433 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000747543980 1073955333 /nfs/dbraw/zinc/95/53/33/1073955333.db2.gz FVRWSFHVJXCUGG-UHFFFAOYSA-N 0 0 446.493 -0.335 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cn1)NC1CCCC1 ZINC000747545878 1073955231 /nfs/dbraw/zinc/95/52/31/1073955231.db2.gz DJQRZAVINSVMNT-UHFFFAOYSA-N 0 0 428.515 -0.275 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000747545907 1073955195 /nfs/dbraw/zinc/95/51/95/1073955195.db2.gz JSVQRMPFRGIMEV-UHFFFAOYSA-N 0 0 432.524 -0.089 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)NC3CC3)c2)CC1 ZINC000747548136 1073955327 /nfs/dbraw/zinc/95/53/27/1073955327.db2.gz UCWAAAXGQKFFSS-UHFFFAOYSA-N 0 0 427.531 -0.102 20 0 IBADRN NC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000747550822 1073957374 /nfs/dbraw/zinc/95/73/74/1073957374.db2.gz OTHYUMDAIQYRPZ-GFCCVEGCSA-N 0 0 448.498 -0.409 20 0 IBADRN NC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000747550823 1073957135 /nfs/dbraw/zinc/95/71/35/1073957135.db2.gz OTHYUMDAIQYRPZ-LBPRGKRZSA-N 0 0 448.498 -0.409 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000747561112 1073961512 /nfs/dbraw/zinc/96/15/12/1073961512.db2.gz FRFVMQHTHXWWRA-UHFFFAOYSA-N 0 0 428.559 -0.154 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000747563895 1073962984 /nfs/dbraw/zinc/96/29/84/1073962984.db2.gz ZTMZTXMSVDDKKX-UHFFFAOYSA-N 0 0 443.574 -0.049 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000747569650 1073965577 /nfs/dbraw/zinc/96/55/77/1073965577.db2.gz FELYNOBVYYAZQN-UHFFFAOYSA-N 0 0 442.542 -0.628 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)CCO)cc1)N1CCN(c2cnccn2)CC1 ZINC000747570028 1073965118 /nfs/dbraw/zinc/96/51/18/1073965118.db2.gz FBNKZLKXKTVNHR-UHFFFAOYSA-N 0 0 434.474 -0.252 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC000747570762 1073965549 /nfs/dbraw/zinc/96/55/49/1073965549.db2.gz KJLJEUAJGFPYRO-UHFFFAOYSA-N 0 0 445.546 -0.618 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)cn1 ZINC000747570829 1073965504 /nfs/dbraw/zinc/96/55/04/1073965504.db2.gz KXOZOKLBVJSNRT-UHFFFAOYSA-N 0 0 430.531 -0.724 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(S(=O)(=O)CCO)cc3)c2c(=O)n(C)c1=O ZINC000747571099 1073965626 /nfs/dbraw/zinc/96/56/26/1073965626.db2.gz QEZRKLATSJRCCN-UHFFFAOYSA-N 0 0 436.446 -0.943 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC000747571356 1073965544 /nfs/dbraw/zinc/96/55/44/1073965544.db2.gz AZKYREYDAHYKIE-UHFFFAOYSA-N 0 0 428.463 -0.090 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)COC(=O)c2ccc(S(=O)(=O)CCO)cc2)c1 ZINC000747571602 1073966244 /nfs/dbraw/zinc/96/62/44/1073966244.db2.gz UIGGKOOUOKHQSW-UHFFFAOYSA-N 0 0 442.471 -0.105 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(S(=O)(=O)CCO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000747572141 1073966145 /nfs/dbraw/zinc/96/61/45/1073966145.db2.gz XESXYVSBOKYBAM-AWEZNQCLSA-N 0 0 433.504 -0.355 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(S(=O)(=O)CCO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000747572142 1073966266 /nfs/dbraw/zinc/96/62/66/1073966266.db2.gz XESXYVSBOKYBAM-CQSZACIVSA-N 0 0 433.504 -0.355 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)NCCOCCS(C)(=O)=O)cn2)C[C@H](C)O1 ZINC000747572264 1073966202 /nfs/dbraw/zinc/96/62/02/1073966202.db2.gz SAFUGIFJBFIANI-KBPBESRZSA-N 0 0 431.515 -0.298 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCOCCS(C)(=O)=O)cn2)C[C@H](C)O1 ZINC000747572285 1073966218 /nfs/dbraw/zinc/96/62/18/1073966218.db2.gz SAFUGIFJBFIANI-OKILXGFUSA-N 0 0 431.515 -0.298 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)NCCOCCS(C)(=O)=O)cn2)C[C@@H](C)O1 ZINC000747572288 1073966188 /nfs/dbraw/zinc/96/61/88/1073966188.db2.gz SAFUGIFJBFIANI-ZIAGYGMSSA-N 0 0 431.515 -0.298 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cc(OC)cc(OC)c1 ZINC000747572903 1073966751 /nfs/dbraw/zinc/96/67/51/1073966751.db2.gz FCFSNEWXDILWLF-AWEZNQCLSA-N 0 0 441.506 -0.415 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1cc(OC)cc(OC)c1 ZINC000747572905 1073966689 /nfs/dbraw/zinc/96/66/89/1073966689.db2.gz FCFSNEWXDILWLF-CQSZACIVSA-N 0 0 441.506 -0.415 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(=O)(=O)CCO)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000747574184 1073967315 /nfs/dbraw/zinc/96/73/15/1073967315.db2.gz DKWFNVGUQKMLII-GDBMZVCRSA-N 0 0 447.531 -0.063 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(=O)(=O)CCO)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000747574186 1073967201 /nfs/dbraw/zinc/96/72/01/1073967201.db2.gz DKWFNVGUQKMLII-GOEBONIOSA-N 0 0 447.531 -0.063 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(=O)(=O)CCO)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000747574187 1073967190 /nfs/dbraw/zinc/96/71/90/1073967190.db2.gz DKWFNVGUQKMLII-HOCLYGCPSA-N 0 0 447.531 -0.063 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(=O)(=O)CCO)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000747574189 1073967266 /nfs/dbraw/zinc/96/72/66/1073967266.db2.gz DKWFNVGUQKMLII-ZBFHGGJFSA-N 0 0 447.531 -0.063 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)c2ccc(S(=O)(=O)CCO)cc2)cc1 ZINC000747574902 1073967181 /nfs/dbraw/zinc/96/71/81/1073967181.db2.gz VQRXZDISIUTBHK-UHFFFAOYSA-N 0 0 442.471 -0.105 20 0 IBADRN COc1cc(C[C@H](CO)CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc(OC)c1 ZINC000747585003 1073968847 /nfs/dbraw/zinc/96/88/47/1073968847.db2.gz NLZJPWAVEMNUBE-INIZCTEOSA-N 0 0 445.538 -0.184 20 0 IBADRN COc1cc(C[C@@H](CO)CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc(OC)c1 ZINC000747585007 1073968751 /nfs/dbraw/zinc/96/87/51/1073968751.db2.gz NLZJPWAVEMNUBE-MRXNPFEDSA-N 0 0 445.538 -0.184 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCOCCS(C)(=O)=O)CC1 ZINC000747587606 1073968895 /nfs/dbraw/zinc/96/88/95/1073968895.db2.gz ZPTFEQXXKQFJLR-UHFFFAOYSA-N 0 0 429.561 -0.870 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000747593445 1073970567 /nfs/dbraw/zinc/97/05/67/1073970567.db2.gz BJHHARNFYMPGAO-INIZCTEOSA-N 0 0 431.559 -0.320 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC000747593446 1073970749 /nfs/dbraw/zinc/97/07/49/1073970749.db2.gz BJHHARNFYMPGAO-MRXNPFEDSA-N 0 0 431.559 -0.320 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCc3ccon3)CC2)cc1 ZINC000747593907 1073970195 /nfs/dbraw/zinc/97/01/95/1073970195.db2.gz GOBFPCPPVNGTGU-UHFFFAOYSA-N 0 0 429.480 -0.462 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cccnc2S(=O)(=O)C(F)(F)F)CC1 ZINC000747631979 1073978689 /nfs/dbraw/zinc/97/86/89/1073978689.db2.gz NEJSVJIRPRYNFT-UHFFFAOYSA-N 0 0 430.430 -0.061 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000747668690 1073979495 /nfs/dbraw/zinc/97/94/95/1073979495.db2.gz HXZFPEYIBDUTIK-CABCVRRESA-N 0 0 446.570 -0.311 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000747668691 1073979324 /nfs/dbraw/zinc/97/93/24/1073979324.db2.gz HXZFPEYIBDUTIK-GJZGRUSLSA-N 0 0 446.570 -0.311 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000747668692 1073979507 /nfs/dbraw/zinc/97/95/07/1073979507.db2.gz HXZFPEYIBDUTIK-HUUCEWRRSA-N 0 0 446.570 -0.311 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000747668693 1073979466 /nfs/dbraw/zinc/97/94/66/1073979466.db2.gz HXZFPEYIBDUTIK-LSDHHAIUSA-N 0 0 446.570 -0.311 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000747669081 1073980191 /nfs/dbraw/zinc/98/01/91/1073980191.db2.gz IVOGTIYFIKVPRL-UHFFFAOYSA-N 0 0 439.557 -0.458 20 0 IBADRN O=C(CN1C(=O)c2ccccc2C1=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000747670259 1073980624 /nfs/dbraw/zinc/98/06/24/1073980624.db2.gz MMGCLSSANRIYAH-AWEZNQCLSA-N 0 0 449.485 -0.843 20 0 IBADRN O=C(CN1C(=O)c2ccccc2C1=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000747670261 1073980661 /nfs/dbraw/zinc/98/06/61/1073980661.db2.gz MMGCLSSANRIYAH-CQSZACIVSA-N 0 0 449.485 -0.843 20 0 IBADRN C[C@@H]1CN(C(=S)NCCN2CCOCC2)CCN1C(=S)NCCN1CCOCC1 ZINC000747673303 1073982402 /nfs/dbraw/zinc/98/24/02/1073982402.db2.gz OGCUZTMAVCGOIJ-QGZVFWFLSA-N 0 0 444.671 -0.594 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)COc2ccccc2F)CC1 ZINC000747675094 1073981760 /nfs/dbraw/zinc/98/17/60/1073981760.db2.gz LTIRMFHVLWBAJV-CABCVRRESA-N 0 0 436.527 -0.300 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)COc2ccccc2F)CC1 ZINC000747675097 1073981828 /nfs/dbraw/zinc/98/18/28/1073981828.db2.gz LTIRMFHVLWBAJV-GJZGRUSLSA-N 0 0 436.527 -0.300 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)COc2ccccc2F)CC1 ZINC000747675099 1073981822 /nfs/dbraw/zinc/98/18/22/1073981822.db2.gz LTIRMFHVLWBAJV-HUUCEWRRSA-N 0 0 436.527 -0.300 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)COc2ccccc2F)CC1 ZINC000747675101 1073981847 /nfs/dbraw/zinc/98/18/47/1073981847.db2.gz LTIRMFHVLWBAJV-LSDHHAIUSA-N 0 0 436.527 -0.300 20 0 IBADRN Cc1cccc(OC[C@H](O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000747675105 1073981808 /nfs/dbraw/zinc/98/18/08/1073981808.db2.gz LZLKJNDDVGQCEW-AEFFLSMTSA-N 0 0 432.564 -0.131 20 0 IBADRN Cc1cccc(OC[C@@H](O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000747675109 1073981796 /nfs/dbraw/zinc/98/17/96/1073981796.db2.gz LZLKJNDDVGQCEW-FUHWJXTLSA-N 0 0 432.564 -0.131 20 0 IBADRN Cc1cccc(OC[C@H](O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000747675112 1073981851 /nfs/dbraw/zinc/98/18/51/1073981851.db2.gz LZLKJNDDVGQCEW-SJLPKXTDSA-N 0 0 432.564 -0.131 20 0 IBADRN Cc1cccc(OC[C@@H](O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000747675114 1073981811 /nfs/dbraw/zinc/98/18/11/1073981811.db2.gz LZLKJNDDVGQCEW-WMZOPIPTSA-N 0 0 432.564 -0.131 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)COCc2cccs2)CC1 ZINC000747675446 1073981789 /nfs/dbraw/zinc/98/17/89/1073981789.db2.gz NPCCEQAITYCRFI-GDBMZVCRSA-N 0 0 438.593 -0.240 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)COCc2cccs2)CC1 ZINC000747675454 1073981842 /nfs/dbraw/zinc/98/18/42/1073981842.db2.gz NPCCEQAITYCRFI-GOEBONIOSA-N 0 0 438.593 -0.240 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)COCc2cccs2)CC1 ZINC000747675455 1073981738 /nfs/dbraw/zinc/98/17/38/1073981738.db2.gz NPCCEQAITYCRFI-HOCLYGCPSA-N 0 0 438.593 -0.240 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)COCc2cccs2)CC1 ZINC000747675458 1073981743 /nfs/dbraw/zinc/98/17/43/1073981743.db2.gz NPCCEQAITYCRFI-ZBFHGGJFSA-N 0 0 438.593 -0.240 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCOCC1 ZINC000747682356 1073982228 /nfs/dbraw/zinc/98/22/28/1073982228.db2.gz BEJLXQWNLUSHCE-INIZCTEOSA-N 0 0 434.536 -0.356 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCOCC1 ZINC000747682359 1073982382 /nfs/dbraw/zinc/98/23/82/1073982382.db2.gz BEJLXQWNLUSHCE-MRXNPFEDSA-N 0 0 434.536 -0.356 20 0 IBADRN O=C(Cc1[nH]nc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000747705915 1073986099 /nfs/dbraw/zinc/98/60/99/1073986099.db2.gz IOCUDWQBTRFUGH-CYBMUJFWSA-N 0 0 426.520 -0.234 20 0 IBADRN O=C(Cc1[nH]nc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000747705920 1073986264 /nfs/dbraw/zinc/98/62/64/1073986264.db2.gz IOCUDWQBTRFUGH-ZDUSSCGKSA-N 0 0 426.520 -0.234 20 0 IBADRN COc1ccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1N1CCNC1=O ZINC000747713054 1073986107 /nfs/dbraw/zinc/98/61/07/1073986107.db2.gz CLCVJTNKXXLROW-UHFFFAOYSA-N 0 0 442.494 -0.221 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cc(N3CCNC3=O)ccc2Cl)c(=O)n(C)c1=O ZINC000747714073 1073986312 /nfs/dbraw/zinc/98/63/12/1073986312.db2.gz DELWOHJOBSYVNV-UHFFFAOYSA-N 0 0 435.824 -0.111 20 0 IBADRN COc1ccc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1N1CCNC1=O ZINC000747716078 1073986784 /nfs/dbraw/zinc/98/67/84/1073986784.db2.gz LEQJGTIISUVUKW-UHFFFAOYSA-N 0 0 431.405 -0.756 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1N1CCNC1=O ZINC000747718258 1073986175 /nfs/dbraw/zinc/98/61/75/1073986175.db2.gz WZFAKAYBXRJFRI-UHFFFAOYSA-N 0 0 447.492 -0.386 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(Cl)c(N3CCNC3=O)c2)c(=O)n(C)c1=O ZINC000747721872 1073986823 /nfs/dbraw/zinc/98/68/23/1073986823.db2.gz GMJYVEDJCNPKSU-UHFFFAOYSA-N 0 0 435.824 -0.111 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1cc(N)cc(S(C)(=O)=O)c1)c(=O)n2C ZINC000747722506 1073986885 /nfs/dbraw/zinc/98/68/85/1073986885.db2.gz GJJBZQPENDHCDF-UHFFFAOYSA-N 0 0 435.462 -0.334 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000747725469 1073987375 /nfs/dbraw/zinc/98/73/75/1073987375.db2.gz KVXKIODURVFFOE-UHFFFAOYSA-N 0 0 430.509 -0.385 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(N)cc(S(C)(=O)=O)c2)CC1 ZINC000747729093 1073987455 /nfs/dbraw/zinc/98/74/55/1073987455.db2.gz XVXYLTQEFRPPDS-UHFFFAOYSA-N 0 0 426.495 -0.939 20 0 IBADRN Cc1c(C(=O)NCC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000747770142 1073993331 /nfs/dbraw/zinc/99/33/31/1073993331.db2.gz UEAWQHNURLFADR-UHFFFAOYSA-N 0 0 437.416 -0.109 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N3CCO[C@@H](C(N)=O)C3)c2)CC1 ZINC000747775998 1073993821 /nfs/dbraw/zinc/99/38/21/1073993821.db2.gz PHWUEMPUHHEQTL-MRXNPFEDSA-N 0 0 444.941 -0.008 20 0 IBADRN Cc1c(C(=O)NCCNS(=O)(=O)Cc2ccccc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000747794987 1073996964 /nfs/dbraw/zinc/99/69/64/1073996964.db2.gz RLAUWTYZGSGFME-UHFFFAOYSA-N 0 0 445.501 -0.210 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@]3(O)CCSC3)cc2)CC1 ZINC000747796224 1073996930 /nfs/dbraw/zinc/99/69/30/1073996930.db2.gz CMZFEYGALYBPHR-FQEVSTJZSA-N 0 0 436.534 -0.096 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@]3(O)CCSC3)cc2)CC1 ZINC000747796226 1073996902 /nfs/dbraw/zinc/99/69/02/1073996902.db2.gz CMZFEYGALYBPHR-HXUWFJFHSA-N 0 0 436.534 -0.096 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCc1ccon1 ZINC000747802172 1073998040 /nfs/dbraw/zinc/99/80/40/1073998040.db2.gz OFCPWUDBUNCKIQ-UHFFFAOYSA-N 0 0 437.478 -0.126 20 0 IBADRN COCCCS(=O)(=O)NCCn1c(Br)nc2c1n(C)c(=O)n(C)c2=O ZINC000747804999 1073997941 /nfs/dbraw/zinc/99/79/41/1073997941.db2.gz RZWPDFNPHLSQPB-UHFFFAOYSA-N 0 0 438.304 -0.848 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)Cn3c(=O)onc3-c3cnccn3)C2=O)c1 ZINC000747809584 1073998055 /nfs/dbraw/zinc/99/80/55/1073998055.db2.gz XJZAYOVQLRIGBL-IBGZPJMESA-N 0 0 439.388 -0.200 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)Cn3c(=O)onc3-c3cnccn3)C2=O)c1 ZINC000747809585 1073997965 /nfs/dbraw/zinc/99/79/65/1073997965.db2.gz XJZAYOVQLRIGBL-LJQANCHMSA-N 0 0 439.388 -0.200 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)Cn3c(=O)onc3-c3ncccn3)C2=O)c1 ZINC000747809590 1073998050 /nfs/dbraw/zinc/99/80/50/1073998050.db2.gz XRHAABZELFWHNF-IBGZPJMESA-N 0 0 439.388 -0.200 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)Cn3c(=O)onc3-c3ncccn3)C2=O)c1 ZINC000747809593 1073997879 /nfs/dbraw/zinc/99/78/79/1073997879.db2.gz XRHAABZELFWHNF-LJQANCHMSA-N 0 0 439.388 -0.200 20 0 IBADRN COCCc1nnc(SCC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)n1N ZINC000747810462 1073997989 /nfs/dbraw/zinc/99/79/89/1073997989.db2.gz NPKJMQHPEKPDSS-GOSISDBHSA-N 0 0 449.493 -0.220 20 0 IBADRN COCCc1nnc(SCC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)n1N ZINC000747810463 1073998061 /nfs/dbraw/zinc/99/80/61/1073998061.db2.gz NPKJMQHPEKPDSS-SFHVURJKSA-N 0 0 449.493 -0.220 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000747811285 1073998459 /nfs/dbraw/zinc/99/84/59/1073998459.db2.gz GNPPJEFVEIVQMA-SSZFMOIBSA-N 0 0 448.567 -0.839 20 0 IBADRN CCN(CCCN1C(=O)CS/C1=C\C(=O)OC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000747812135 1073998418 /nfs/dbraw/zinc/99/84/18/1073998418.db2.gz UPAJWORGHCSGDA-LWLPBDFMSA-N 0 0 433.552 -0.409 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CON=C(N)Cn2cccn2)CC1 ZINC000747835393 1074002172 /nfs/dbraw/zinc/00/21/72/1074002172.db2.gz CVJBIFCOEJZVCO-UHFFFAOYSA-N 0 0 425.471 -0.480 20 0 IBADRN CC(=O)NC(C)(C)C(N)=NOCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000747836445 1074002579 /nfs/dbraw/zinc/00/25/79/1074002579.db2.gz YWTCZZUTMOAPPP-UHFFFAOYSA-N 0 0 444.514 -0.462 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000747839562 1074002408 /nfs/dbraw/zinc/00/24/08/1074002408.db2.gz IFJVDSJERVVJNS-UHFFFAOYSA-N 0 0 430.552 -0.291 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCN(S(N)(=O)=O)CC1)NC(=O)OCc1ccccc1 ZINC000747846760 1074003339 /nfs/dbraw/zinc/00/33/39/1074003339.db2.gz QQRSHFZGNNWMHO-HNNXBMFYSA-N 0 0 442.494 -0.418 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000747850951 1074003352 /nfs/dbraw/zinc/00/33/52/1074003352.db2.gz LCUFIQJMKPEUHG-JTQLQIEISA-N 0 0 427.298 -0.273 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000747850952 1074003316 /nfs/dbraw/zinc/00/33/16/1074003316.db2.gz LCUFIQJMKPEUHG-SNVBAGLBSA-N 0 0 427.298 -0.273 20 0 IBADRN Cc1c(C(=O)Nc2ccccc2C(=O)NCCC(N)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000747856746 1074004299 /nfs/dbraw/zinc/00/42/99/1074004299.db2.gz YSGUAODHUXURFM-UHFFFAOYSA-N 0 0 438.444 -0.202 20 0 IBADRN CC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000747860387 1074005196 /nfs/dbraw/zinc/00/51/96/1074005196.db2.gz AFKZVNRNJTZROJ-UHFFFAOYSA-N 0 0 435.861 -0.009 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CNC(C)=O)CC2)cc1 ZINC000747860809 1074005523 /nfs/dbraw/zinc/00/55/23/1074005523.db2.gz HEVYCVFAGZKASD-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN CC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000747860982 1074005609 /nfs/dbraw/zinc/00/56/09/1074005609.db2.gz STUXRUNMKZQHIU-UHFFFAOYSA-N 0 0 425.463 -0.599 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CNC(C)=O)CC2)cc1OC ZINC000747861062 1074005514 /nfs/dbraw/zinc/00/55/14/1074005514.db2.gz XSZSNGVSSHLEKW-UHFFFAOYSA-N 0 0 443.478 -0.784 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)COC(=O)CNC(C)=O)CC2)c1 ZINC000747861133 1074005379 /nfs/dbraw/zinc/00/53/79/1074005379.db2.gz YDCPAUJFIYNJQT-UHFFFAOYSA-N 0 0 443.478 -0.784 20 0 IBADRN COCCn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000747865651 1074005454 /nfs/dbraw/zinc/00/54/54/1074005454.db2.gz KVKTXJNUHIIAKI-UHFFFAOYSA-N 0 0 431.515 -0.113 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn1 ZINC000747865959 1074005971 /nfs/dbraw/zinc/00/59/71/1074005971.db2.gz IGVOOGISGRQKPF-UHFFFAOYSA-N 0 0 439.519 -0.015 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)c(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000747870864 1074006365 /nfs/dbraw/zinc/00/63/65/1074006365.db2.gz NYFMYDYIEBOWHI-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN O=C(CNC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000747872534 1074006212 /nfs/dbraw/zinc/00/62/12/1074006212.db2.gz DULXJLDBVZYLGU-UHFFFAOYSA-N 0 0 444.429 -0.407 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000747881998 1074006614 /nfs/dbraw/zinc/00/66/14/1074006614.db2.gz YOMQAPXPWRFVIW-INIZCTEOSA-N 0 0 439.490 -0.269 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000747882000 1074006705 /nfs/dbraw/zinc/00/67/05/1074006705.db2.gz YOMQAPXPWRFVIW-MRXNPFEDSA-N 0 0 439.490 -0.269 20 0 IBADRN Cc1c(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000747882259 1074006745 /nfs/dbraw/zinc/00/67/45/1074006745.db2.gz MUVKGGMDKPJEKI-UHFFFAOYSA-N 0 0 437.522 -0.821 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000747883791 1074006619 /nfs/dbraw/zinc/00/66/19/1074006619.db2.gz WNOKCEYKQDIWGE-UHFFFAOYSA-N 0 0 438.959 -0.363 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000747888479 1074010058 /nfs/dbraw/zinc/01/00/58/1074010058.db2.gz IMVZOOFCLDIMKA-CYBMUJFWSA-N 0 0 428.579 -0.286 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1S(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC000747888480 1074010090 /nfs/dbraw/zinc/01/00/90/1074010090.db2.gz IMVZOOFCLDIMKA-ZDUSSCGKSA-N 0 0 428.579 -0.286 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000747901115 1074012476 /nfs/dbraw/zinc/01/24/76/1074012476.db2.gz PUTHVJCCHJXWQE-SFHVURJKSA-N 0 0 426.495 -0.092 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)CCOC(C)C)CC1 ZINC000747906217 1074012609 /nfs/dbraw/zinc/01/26/09/1074012609.db2.gz MWUOSUTXTGGYDK-UHFFFAOYSA-N 0 0 428.577 -0.938 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000747909030 1074012797 /nfs/dbraw/zinc/01/27/97/1074012797.db2.gz SGNPZWHXBKBYRO-UHFFFAOYSA-N 0 0 435.515 -0.289 20 0 IBADRN COCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000747916177 1074012926 /nfs/dbraw/zinc/01/29/26/1074012926.db2.gz CTXKONICQUUADL-UHFFFAOYSA-N 0 0 428.463 -0.130 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C2(C(N)=O)CCC2)CC1 ZINC000747919152 1074012908 /nfs/dbraw/zinc/01/29/08/1074012908.db2.gz ZPIFIDNZFOXZSU-UHFFFAOYSA-N 0 0 443.547 -0.113 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000747921579 1074012786 /nfs/dbraw/zinc/01/27/86/1074012786.db2.gz XJJLSWHXVXHNMV-INIZCTEOSA-N 0 0 433.509 -0.045 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(C(C)(C)C(N)=O)CC3)cc2S1(=O)=O ZINC000747922062 1074012896 /nfs/dbraw/zinc/01/28/96/1074012896.db2.gz OANNLBBJAJIFLR-UHFFFAOYSA-N 0 0 438.506 -0.501 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN(C(C)(C)C(N)=O)CC1)c2=O ZINC000747923154 1074012853 /nfs/dbraw/zinc/01/28/53/1074012853.db2.gz WHYWCRCUKOQSMX-UHFFFAOYSA-N 0 0 440.302 -0.699 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCOc3ccc(Cl)cc3)c2c(=O)n(C)c1=O ZINC000747927639 1074012885 /nfs/dbraw/zinc/01/28/85/1074012885.db2.gz HITAQNTZMPVGDB-UHFFFAOYSA-N 0 0 449.851 -0.175 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1ccccc1 ZINC000747928558 1074013226 /nfs/dbraw/zinc/01/32/26/1074013226.db2.gz SOKGTANSQDWSFM-UHFFFAOYSA-N 0 0 442.476 -0.380 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)N[C@@H]3CCOc4ccccc43)c2c(=O)n(C)c1=O ZINC000747930253 1074013543 /nfs/dbraw/zinc/01/35/43/1074013543.db2.gz HEEJTWQBMNEOPA-CYBMUJFWSA-N 0 0 427.417 -0.383 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)N[C@H]3CCOc4ccccc43)c2c(=O)n(C)c1=O ZINC000747930254 1074013595 /nfs/dbraw/zinc/01/35/95/1074013595.db2.gz HEEJTWQBMNEOPA-ZDUSSCGKSA-N 0 0 427.417 -0.383 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000747964889 1074017199 /nfs/dbraw/zinc/01/71/99/1074017199.db2.gz WFVVWTKEEWCSLT-UHFFFAOYSA-N 0 0 429.499 -0.140 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000747981199 1074017742 /nfs/dbraw/zinc/01/77/42/1074017742.db2.gz KDMPXEHYBKIDJI-INIZCTEOSA-N 0 0 439.490 -0.269 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000747981201 1074017720 /nfs/dbraw/zinc/01/77/20/1074017720.db2.gz KDMPXEHYBKIDJI-MRXNPFEDSA-N 0 0 439.490 -0.269 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000747984024 1074017730 /nfs/dbraw/zinc/01/77/30/1074017730.db2.gz LWHXCEFQXFBFQL-UHFFFAOYSA-N 0 0 435.547 -0.306 20 0 IBADRN COc1ccc(C2N=NC(=S)N2CC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000747991800 1074018606 /nfs/dbraw/zinc/01/86/06/1074018606.db2.gz KMUYWMRKGVLYCC-UHFFFAOYSA-N 0 0 440.551 -0.325 20 0 IBADRN O=C(COC(=O)c1cc[n+]([O-])cc1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000747991909 1074018931 /nfs/dbraw/zinc/01/89/31/1074018931.db2.gz KTYBTPZTHVFJQB-UHFFFAOYSA-N 0 0 435.458 -0.186 20 0 IBADRN CCCC(=O)NCC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000747992545 1074018950 /nfs/dbraw/zinc/01/89/50/1074018950.db2.gz GBUYEKQAIIEXLW-UHFFFAOYSA-N 0 0 432.543 -0.004 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@H](C(=O)N2CCN(CCS(N)(=O)=O)CC2)C1 ZINC000747992595 1074019145 /nfs/dbraw/zinc/01/91/45/1074019145.db2.gz SWDQIRXCWNQJHM-KRWDZBQOSA-N 0 0 437.522 -0.383 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(=O)N2CCN(CCS(N)(=O)=O)CC2)C1 ZINC000747992596 1074019284 /nfs/dbraw/zinc/01/92/84/1074019284.db2.gz SWDQIRXCWNQJHM-QGZVFWFLSA-N 0 0 437.522 -0.383 20 0 IBADRN CN1N=C(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CCC1=O ZINC000747992685 1074018983 /nfs/dbraw/zinc/01/89/83/1074018983.db2.gz HHEUQRDDCNXRMT-UHFFFAOYSA-N 0 0 443.526 -0.312 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CN3CCCNC3=O)C2)CC1 ZINC000747992704 1074018940 /nfs/dbraw/zinc/01/89/40/1074018940.db2.gz IFMHWAYLICAEPK-UHFFFAOYSA-N 0 0 445.542 -0.505 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3ccc(=O)[nH]n3)C2)CC1 ZINC000747992707 1074018977 /nfs/dbraw/zinc/01/89/77/1074018977.db2.gz IOBUDWMXJOJICA-UHFFFAOYSA-N 0 0 427.483 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C(=O)C1 ZINC000747992829 1074019169 /nfs/dbraw/zinc/01/91/69/1074019169.db2.gz LUQIWUUPVJIQDD-FQEVSTJZSA-N 0 0 437.497 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C(=O)C1 ZINC000747992837 1074019347 /nfs/dbraw/zinc/01/93/47/1074019347.db2.gz LUQIWUUPVJIQDD-HXUWFJFHSA-N 0 0 437.497 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)Cn3ccccc3=O)C2)CC1 ZINC000747993071 1074019315 /nfs/dbraw/zinc/01/93/15/1074019315.db2.gz WRTRWYWOTGXYOH-UHFFFAOYSA-N 0 0 440.522 -0.058 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000747995810 1074022773 /nfs/dbraw/zinc/02/27/73/1074022773.db2.gz NRFDJTUUFQHHLL-UHFFFAOYSA-N 0 0 446.551 -0.728 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000748015529 1074029484 /nfs/dbraw/zinc/02/94/84/1074029484.db2.gz VEWDXUQDSCKOMN-UHFFFAOYSA-N 0 0 440.497 -0.848 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000748022296 1074030752 /nfs/dbraw/zinc/03/07/52/1074030752.db2.gz MHZVZPYKNOASLB-UHFFFAOYSA-N 0 0 426.514 -0.375 20 0 IBADRN COc1cccc(/C=C(\C(=O)NCCS(=O)(=O)N2CCOCC2)n2nnnc2C)c1 ZINC000748044932 1074034134 /nfs/dbraw/zinc/03/41/34/1074034134.db2.gz BGVIKZDXLBFDIB-GHRIWEEISA-N 0 0 436.494 -0.234 20 0 IBADRN COc1cccc(/C=C(/C(=O)NCCS(=O)(=O)N2CCOCC2)n2nnnc2C)c1 ZINC000748044935 1074033975 /nfs/dbraw/zinc/03/39/75/1074033975.db2.gz BGVIKZDXLBFDIB-LGMDPLHJSA-N 0 0 436.494 -0.234 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000748045077 1074034052 /nfs/dbraw/zinc/03/40/52/1074034052.db2.gz ITDPEKGURMCCBR-UHFFFAOYSA-N 0 0 447.535 -0.901 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748045154 1074034027 /nfs/dbraw/zinc/03/40/27/1074034027.db2.gz KTXPKCPZVKGUHA-IBGZPJMESA-N 0 0 436.490 -0.842 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748045155 1074033997 /nfs/dbraw/zinc/03/39/97/1074033997.db2.gz KTXPKCPZVKGUHA-LJQANCHMSA-N 0 0 436.490 -0.842 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)NCCS(=O)(=O)N3CCOCC3)c(C)c12 ZINC000748045159 1074034006 /nfs/dbraw/zinc/03/40/06/1074034006.db2.gz LESKTDMGMMYERZ-UHFFFAOYSA-N 0 0 425.511 -0.411 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000748045162 1074033933 /nfs/dbraw/zinc/03/39/33/1074033933.db2.gz LNRSOTGMEFFAED-UHFFFAOYSA-N 0 0 446.551 -0.740 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000748045174 1074034061 /nfs/dbraw/zinc/03/40/61/1074034061.db2.gz NSOFMHKRSRPVBD-UHFFFAOYSA-N 0 0 435.499 -0.342 20 0 IBADRN O=C(CSCc1cc(=O)n2ccsc2n1)NCCS(=O)(=O)N1CCOCC1 ZINC000748045205 1074034013 /nfs/dbraw/zinc/03/40/13/1074034013.db2.gz POURBMAVDWTHIU-UHFFFAOYSA-N 0 0 432.549 -0.233 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=S)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748045406 1074034281 /nfs/dbraw/zinc/03/42/81/1074034281.db2.gz CZFYCVIUTIZKNU-UHFFFAOYSA-N 0 0 436.581 -0.115 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)N1CCOCC1)C(=O)c1ccc(Br)o1 ZINC000748045641 1074034362 /nfs/dbraw/zinc/03/43/62/1074034362.db2.gz JRIXVDRVLZNLFQ-UHFFFAOYSA-N 0 0 438.300 -0.108 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)NCCS(=O)(=O)N3CCOCC3)cc2S1(=O)=O ZINC000748045667 1074034081 /nfs/dbraw/zinc/03/40/81/1074034081.db2.gz LVAKPBFEPSPLGG-UHFFFAOYSA-N 0 0 431.492 -0.757 20 0 IBADRN COc1cccc(C[C@@H](C(=O)NCCS(=O)(=O)N2CCOCC2)n2nnnc2C)c1 ZINC000748045863 1074033707 /nfs/dbraw/zinc/03/37/07/1074033707.db2.gz ADPNZTZOOUPTHB-KRWDZBQOSA-N 0 0 438.510 -0.448 20 0 IBADRN COc1cccc(C[C@H](C(=O)NCCS(=O)(=O)N2CCOCC2)n2nnnc2C)c1 ZINC000748045866 1074033678 /nfs/dbraw/zinc/03/36/78/1074033678.db2.gz ADPNZTZOOUPTHB-QGZVFWFLSA-N 0 0 438.510 -0.448 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1F ZINC000748046028 1074034289 /nfs/dbraw/zinc/03/42/89/1074034289.db2.gz FIAGRFHASLEERU-UHFFFAOYSA-N 0 0 437.515 -0.096 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1cccnc1S(=O)(=O)C(F)(F)F ZINC000748046082 1074034374 /nfs/dbraw/zinc/03/43/74/1074034374.db2.gz GMSNDYOZUSXARS-UHFFFAOYSA-N 0 0 431.414 -0.233 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748046264 1074034495 /nfs/dbraw/zinc/03/44/95/1074034495.db2.gz JISRYDARRZPZDU-INIZCTEOSA-N 0 0 426.474 -0.317 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748046267 1074034311 /nfs/dbraw/zinc/03/43/11/1074034311.db2.gz JISRYDARRZPZDU-MRXNPFEDSA-N 0 0 426.474 -0.317 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748046678 1074034329 /nfs/dbraw/zinc/03/43/29/1074034329.db2.gz SOPADLYEVIVLCL-INIZCTEOSA-N 0 0 426.474 -0.317 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748046679 1074034457 /nfs/dbraw/zinc/03/44/57/1074034457.db2.gz SOPADLYEVIVLCL-MRXNPFEDSA-N 0 0 426.474 -0.317 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748046685 1074034442 /nfs/dbraw/zinc/03/44/42/1074034442.db2.gz UGFQZVPJBYEKHH-UHFFFAOYSA-N 0 0 437.515 -0.096 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)N1CCOCC1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000748047027 1074034104 /nfs/dbraw/zinc/03/41/04/1074034104.db2.gz BKKQYLTVNTUIGY-UHFFFAOYSA-N 0 0 439.943 -0.261 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)NCCS(=O)(=O)N1CCOCC1 ZINC000748047046 1074034352 /nfs/dbraw/zinc/03/43/52/1074034352.db2.gz DROZFRXPURCVHP-UHFFFAOYSA-N 0 0 448.519 -0.600 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)c(OC)c1 ZINC000748047051 1074034449 /nfs/dbraw/zinc/03/44/49/1074034449.db2.gz DWMVMEXIVDKNGT-AWEZNQCLSA-N 0 0 441.506 -0.165 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)c(OC)c1 ZINC000748047053 1074034465 /nfs/dbraw/zinc/03/44/65/1074034465.db2.gz DWMVMEXIVDKNGT-CQSZACIVSA-N 0 0 441.506 -0.165 20 0 IBADRN COCCNC(=O)CSc1ccccc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748047273 1074034341 /nfs/dbraw/zinc/03/43/41/1074034341.db2.gz JJAWSKBSZIALTM-UHFFFAOYSA-N 0 0 445.563 -0.067 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC000748047573 1074034272 /nfs/dbraw/zinc/03/42/72/1074034272.db2.gz PSISMFVNFGUKEM-UHFFFAOYSA-N 0 0 443.503 -0.615 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCS(=O)(=O)N2CCOCC2)s1 ZINC000748048242 1074034814 /nfs/dbraw/zinc/03/48/14/1074034814.db2.gz CIPHMIZPQPXQIU-UHFFFAOYSA-N 0 0 425.554 -0.768 20 0 IBADRN CSC[C@H](C(=O)NCCS(=O)(=O)N1CCOCC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000748048361 1074034736 /nfs/dbraw/zinc/03/47/36/1074034736.db2.gz LDIFSHQBWTXALL-QLFBSQMISA-N 0 0 447.579 -0.329 20 0 IBADRN CSC[C@H](C(=O)NCCS(=O)(=O)N1CCOCC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000748048362 1074034685 /nfs/dbraw/zinc/03/46/85/1074034685.db2.gz LDIFSHQBWTXALL-RBSFLKMASA-N 0 0 447.579 -0.329 20 0 IBADRN CSC[C@H](C(=O)NCCS(=O)(=O)N1CCOCC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000748048364 1074034780 /nfs/dbraw/zinc/03/47/80/1074034780.db2.gz LDIFSHQBWTXALL-SOUVJXGZSA-N 0 0 447.579 -0.329 20 0 IBADRN CSC[C@@H](C(=O)NCCS(=O)(=O)N1CCOCC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000748048365 1074034678 /nfs/dbraw/zinc/03/46/78/1074034678.db2.gz LDIFSHQBWTXALL-ZNMIVQPWSA-N 0 0 447.579 -0.329 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)NCCS(=O)(=O)N3CCOCC3)ccc21 ZINC000748048555 1074034824 /nfs/dbraw/zinc/03/48/24/1074034824.db2.gz OBYHHSMXBWMCLG-UHFFFAOYSA-N 0 0 431.536 -0.209 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748048591 1074034506 /nfs/dbraw/zinc/03/45/06/1074034506.db2.gz UVWPUTYOYUTOSO-UHFFFAOYSA-N 0 0 444.535 -0.641 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1Cl ZINC000748048626 1074034402 /nfs/dbraw/zinc/03/44/02/1074034402.db2.gz YOETUGPPVZKPCZ-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC000748055525 1070797086 /nfs/dbraw/zinc/79/70/86/1070797086.db2.gz AMEJIBSRVDFBNG-CVEARBPZSA-N 0 0 445.538 -0.253 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC000748055527 1070797188 /nfs/dbraw/zinc/79/71/88/1070797188.db2.gz AMEJIBSRVDFBNG-HOTGVXAUSA-N 0 0 445.538 -0.253 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC000748055528 1070797207 /nfs/dbraw/zinc/79/72/07/1070797207.db2.gz AMEJIBSRVDFBNG-HZPDHXFCSA-N 0 0 445.538 -0.253 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC000748055529 1070796947 /nfs/dbraw/zinc/79/69/47/1070796947.db2.gz AMEJIBSRVDFBNG-JKSUJKDBSA-N 0 0 445.538 -0.253 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000748055549 1070797355 /nfs/dbraw/zinc/79/73/55/1070797355.db2.gz HBSMGSDFYBCHKQ-UHFFFAOYSA-N 0 0 434.540 -0.847 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000748055660 1070797318 /nfs/dbraw/zinc/79/73/18/1070797318.db2.gz UXMXOVJESLLNTB-IBGZPJMESA-N 0 0 438.506 -0.044 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000748055661 1070797109 /nfs/dbraw/zinc/79/71/09/1070797109.db2.gz UXMXOVJESLLNTB-LJQANCHMSA-N 0 0 438.506 -0.044 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)NCCS(=O)(=O)N3CCOCC3)cc(C3CC3)nc21 ZINC000748056005 1070797873 /nfs/dbraw/zinc/79/78/73/1070797873.db2.gz PJZJYNPCBISUAI-UHFFFAOYSA-N 0 0 437.478 -0.697 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)CC1 ZINC000748056448 1070797808 /nfs/dbraw/zinc/79/78/08/1070797808.db2.gz QWBVPSAHNBMEQB-UHFFFAOYSA-N 0 0 445.519 -0.415 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000748056475 1070797169 /nfs/dbraw/zinc/79/71/69/1070797169.db2.gz WPVCVQHNKLQOAW-UHFFFAOYSA-N 0 0 449.526 -0.046 20 0 IBADRN O=C(CCCNC(=O)OCc1ccccc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000748057176 1070798616 /nfs/dbraw/zinc/79/86/16/1070798616.db2.gz LJEOOYGBRGSPID-MSOLQXFVSA-N 0 0 439.534 -0.005 20 0 IBADRN O=C(CCCNC(=O)OCc1ccccc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000748057177 1070798695 /nfs/dbraw/zinc/79/86/95/1070798695.db2.gz LJEOOYGBRGSPID-QZTJIDSGSA-N 0 0 439.534 -0.005 20 0 IBADRN O=C(CCCNC(=O)OCc1ccccc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000748057178 1070798352 /nfs/dbraw/zinc/79/83/52/1070798352.db2.gz LJEOOYGBRGSPID-ROUUACIJSA-N 0 0 439.534 -0.005 20 0 IBADRN O=C(CCCNC(=O)OCc1ccccc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000748057179 1070798468 /nfs/dbraw/zinc/79/84/68/1070798468.db2.gz LJEOOYGBRGSPID-ZWKOTPCHSA-N 0 0 439.534 -0.005 20 0 IBADRN CCc1ccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1 ZINC000748057524 1070798679 /nfs/dbraw/zinc/79/86/79/1070798679.db2.gz MUKNMMXUOZVXKK-BHIYHBOVSA-N 0 0 435.546 -0.096 20 0 IBADRN CCc1ccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1 ZINC000748057525 1070798673 /nfs/dbraw/zinc/79/86/73/1070798673.db2.gz MUKNMMXUOZVXKK-NEWSRXKRSA-N 0 0 435.546 -0.096 20 0 IBADRN CCc1ccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1 ZINC000748057526 1070798648 /nfs/dbraw/zinc/79/86/48/1070798648.db2.gz MUKNMMXUOZVXKK-NZSAHSFTSA-N 0 0 435.546 -0.096 20 0 IBADRN CCc1ccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1 ZINC000748057527 1070798633 /nfs/dbraw/zinc/79/86/33/1070798633.db2.gz MUKNMMXUOZVXKK-QRQLOZEOSA-N 0 0 435.546 -0.096 20 0 IBADRN CC(C)COC(=O)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC000748057710 1070797990 /nfs/dbraw/zinc/79/79/90/1070797990.db2.gz PSPLJLHQVREPPZ-DLBZAZTESA-N 0 0 431.555 -0.207 20 0 IBADRN CC(C)COC(=O)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC000748057711 1070797658 /nfs/dbraw/zinc/79/76/58/1070797658.db2.gz PSPLJLHQVREPPZ-IAGOWNOFSA-N 0 0 431.555 -0.207 20 0 IBADRN CC(C)COC(=O)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC000748057712 1070797911 /nfs/dbraw/zinc/79/79/11/1070797911.db2.gz PSPLJLHQVREPPZ-IRXDYDNUSA-N 0 0 431.555 -0.207 20 0 IBADRN CC(C)COC(=O)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC000748057713 1070797787 /nfs/dbraw/zinc/79/77/87/1070797787.db2.gz PSPLJLHQVREPPZ-SJORKVTESA-N 0 0 431.555 -0.207 20 0 IBADRN NC(=O)c1ncn2c1nc(-c1ccco1)cc2C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748057812 1070797744 /nfs/dbraw/zinc/79/77/44/1070797744.db2.gz MHHSEYIKCFZLPV-UHFFFAOYSA-N 0 0 448.461 -0.520 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748057890 1070797616 /nfs/dbraw/zinc/79/76/16/1070797616.db2.gz XALVREZDFWEGOF-LBPRGKRZSA-N 0 0 439.943 -0.215 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)=NN1c1ccccc1 ZINC000748057948 1070798564 /nfs/dbraw/zinc/79/85/64/1070798564.db2.gz WFVCOCUCQOYMIX-FHWLQOOXSA-N 0 0 434.518 -0.488 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)=NN1c1ccccc1 ZINC000748057949 1070798602 /nfs/dbraw/zinc/79/86/02/1070798602.db2.gz WFVCOCUCQOYMIX-GBESFXJTSA-N 0 0 434.518 -0.488 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)=NN1c1ccccc1 ZINC000748057950 1070798542 /nfs/dbraw/zinc/79/85/42/1070798542.db2.gz WFVCOCUCQOYMIX-IPMKNSEASA-N 0 0 434.518 -0.488 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)=NN1c1ccccc1 ZINC000748057951 1070798660 /nfs/dbraw/zinc/79/86/60/1070798660.db2.gz WFVCOCUCQOYMIX-OTWHNJEPSA-N 0 0 434.518 -0.488 20 0 IBADRN CC(C)Oc1ccc(NS(=O)(=O)CC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748061182 1070800267 /nfs/dbraw/zinc/80/02/67/1070800267.db2.gz UMXXZQMMVPJQOX-UHFFFAOYSA-N 0 0 449.551 -0.006 20 0 IBADRN O=C(CN1C(=O)Cn2c(cc3ccccc32)C1=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748061187 1070800134 /nfs/dbraw/zinc/80/01/34/1070800134.db2.gz UZZYOIWDYRNXGU-UHFFFAOYSA-N 0 0 434.474 -0.598 20 0 IBADRN CCS(=O)(=O)N1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)CC1 ZINC000748077929 1070822245 /nfs/dbraw/zinc/82/22/45/1070822245.db2.gz GPQSHQNBPNRDEP-AWEZNQCLSA-N 0 0 446.599 -0.064 20 0 IBADRN CCS(=O)(=O)N1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)CC1 ZINC000748077930 1070821788 /nfs/dbraw/zinc/82/17/88/1070821788.db2.gz GPQSHQNBPNRDEP-CQSZACIVSA-N 0 0 446.599 -0.064 20 0 IBADRN CN1C(=O)C(=O)N(CN2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1=O ZINC000748078797 1070821215 /nfs/dbraw/zinc/82/12/15/1070821215.db2.gz KBBNALNNJSALHE-UHFFFAOYSA-N 0 0 438.462 -0.468 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NCCS(=O)(=O)N3CCOCC3)nc2)CC1 ZINC000748083643 1070825956 /nfs/dbraw/zinc/82/59/56/1070825956.db2.gz WRVMZSWTINCMFV-UHFFFAOYSA-N 0 0 433.556 -0.908 20 0 IBADRN CS(=O)(=O)c1ccc(NCCS(=O)(=O)N2CCOCC2)c(S(C)(=O)=O)c1 ZINC000748083720 1070826124 /nfs/dbraw/zinc/82/61/24/1070826124.db2.gz ZELOPZNSJDDPTL-UHFFFAOYSA-N 0 0 426.538 -0.433 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC000748084935 1070829295 /nfs/dbraw/zinc/82/92/95/1070829295.db2.gz IOOXLDIDHVSOIS-UHFFFAOYSA-N 0 0 429.314 -0.113 20 0 IBADRN COC(=O)c1nn(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c2ccccc21 ZINC000748087541 1070830478 /nfs/dbraw/zinc/83/04/78/1070830478.db2.gz QXBMFTPFDYCOFG-UHFFFAOYSA-N 0 0 436.538 -0.110 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748088735 1070831579 /nfs/dbraw/zinc/83/15/79/1070831579.db2.gz ASKSPDBYPWXRNT-UHFFFAOYSA-N 0 0 436.508 -0.431 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)ccc21 ZINC000748088755 1070831300 /nfs/dbraw/zinc/83/13/00/1070831300.db2.gz BQOIGHXOTAXQHD-UHFFFAOYSA-N 0 0 431.536 -0.074 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748088800 1070831430 /nfs/dbraw/zinc/83/14/30/1070831430.db2.gz CGGICXPMIYANCZ-UHFFFAOYSA-N 0 0 426.538 -0.661 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC000748088919 1070831271 /nfs/dbraw/zinc/83/12/71/1070831271.db2.gz GXJVPGAUKPFLRM-UHFFFAOYSA-N 0 0 447.535 -0.901 20 0 IBADRN CC(=O)N[C@H](C)c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)s1 ZINC000748089540 1070834580 /nfs/dbraw/zinc/83/45/80/1070834580.db2.gz MNMOIYDPIPXNMP-LLVKDONJSA-N 0 0 425.554 -0.115 20 0 IBADRN CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)s1 ZINC000748089542 1070834610 /nfs/dbraw/zinc/83/46/10/1070834610.db2.gz MNMOIYDPIPXNMP-NSHDSACASA-N 0 0 425.554 -0.115 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)ccc1F ZINC000748089577 1070834718 /nfs/dbraw/zinc/83/47/18/1070834718.db2.gz NBDFGWXJJIJBLD-UHFFFAOYSA-N 0 0 430.501 -0.831 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748089629 1070833795 /nfs/dbraw/zinc/83/37/95/1070833795.db2.gz OXZJRUAUBZNGAE-UHFFFAOYSA-N 0 0 435.524 -0.728 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)cc2C[C@H]1C ZINC000748089669 1070833978 /nfs/dbraw/zinc/83/39/78/1070833978.db2.gz ROWSUADONFODJY-CYBMUJFWSA-N 0 0 431.536 -0.076 20 0 IBADRN CC(=O)N1c2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)cc2C[C@@H]1C ZINC000748089670 1070833860 /nfs/dbraw/zinc/83/38/60/1070833860.db2.gz ROWSUADONFODJY-ZDUSSCGKSA-N 0 0 431.536 -0.076 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)s1 ZINC000748089682 1070835045 /nfs/dbraw/zinc/83/50/45/1070835045.db2.gz SMEQNCGAGFTQRI-UHFFFAOYSA-N 0 0 425.554 -0.633 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748089684 1070834553 /nfs/dbraw/zinc/83/45/53/1070834553.db2.gz SSTJDHHHCQYGKO-UHFFFAOYSA-N 0 0 446.956 -0.316 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748092641 1070845940 /nfs/dbraw/zinc/84/59/40/1070845940.db2.gz GQSSGOTZPXJADU-CYBMUJFWSA-N 0 0 434.540 -0.383 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748092642 1070846311 /nfs/dbraw/zinc/84/63/11/1070846311.db2.gz GQSSGOTZPXJADU-ZDUSSCGKSA-N 0 0 434.540 -0.383 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1cccc(C(=O)N2CCOCC2)c1 ZINC000748092646 1070845910 /nfs/dbraw/zinc/84/59/10/1070845910.db2.gz GWZXHNPZQUOHIS-UHFFFAOYSA-N 0 0 440.522 -0.380 20 0 IBADRN O=C(NCCCS(=O)(=O)Cc1ccccc1)NCCS(=O)(=O)N1CCOCC1 ZINC000748092657 1070846368 /nfs/dbraw/zinc/84/63/68/1070846368.db2.gz HRMJZWUGRMEDIT-UHFFFAOYSA-N 0 0 433.552 -0.047 20 0 IBADRN O=C(NCCN1CCN(c2ccc(F)cc2)CC1)NCCS(=O)(=O)N1CCOCC1 ZINC000748092994 1070847674 /nfs/dbraw/zinc/84/76/74/1070847674.db2.gz NWVWMSRUBWHJJP-UHFFFAOYSA-N 0 0 443.545 -0.091 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)NCCS(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC000748093027 1070847845 /nfs/dbraw/zinc/84/78/45/1070847845.db2.gz OXBRVHHBSNFMDT-HNNXBMFYSA-N 0 0 427.527 -0.232 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)NCCS(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC000748093031 1070847507 /nfs/dbraw/zinc/84/75/07/1070847507.db2.gz OXBRVHHBSNFMDT-OAHLLOKOSA-N 0 0 427.527 -0.232 20 0 IBADRN CS(=O)(=O)CCNC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000748093093 1070847900 /nfs/dbraw/zinc/84/79/00/1070847900.db2.gz RBXGRNZPZRLYQW-UHFFFAOYSA-N 0 0 447.535 -0.092 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)NCCS(=O)(=O)N1CCOCC1)C(F)(F)F ZINC000748093445 1070847259 /nfs/dbraw/zinc/84/72/59/1070847259.db2.gz WKFLDUIGBQIYOY-AWEZNQCLSA-N 0 0 443.448 -0.479 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)NCCS(=O)(=O)N1CCOCC1)C(F)(F)F ZINC000748093449 1070847160 /nfs/dbraw/zinc/84/71/60/1070847160.db2.gz WKFLDUIGBQIYOY-CQSZACIVSA-N 0 0 443.448 -0.479 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)NCCS(=O)(=O)N1CCOCC1 ZINC000748093553 1070847013 /nfs/dbraw/zinc/84/70/13/1070847013.db2.gz YHAOBXPBKMTHAW-UHFFFAOYSA-N 0 0 425.555 -0.230 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000748094535 1070848929 /nfs/dbraw/zinc/84/89/29/1070848929.db2.gz ASCSDIYNEWYHDL-UHFFFAOYSA-N 0 0 440.522 -0.380 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748094661 1070848554 /nfs/dbraw/zinc/84/85/54/1070848554.db2.gz GQZKAQQZPHZPOH-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748094671 1070848689 /nfs/dbraw/zinc/84/86/89/1070848689.db2.gz GWVKDRVVMFQEBY-UHFFFAOYSA-N 0 0 434.540 -0.765 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748094677 1070848194 /nfs/dbraw/zinc/84/81/94/1070848194.db2.gz GXPCXWUNFHHZQZ-UHFFFAOYSA-N 0 0 428.511 -0.385 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748094749 1070848315 /nfs/dbraw/zinc/84/83/15/1070848315.db2.gz LJDZHPKQHYFTNC-UHFFFAOYSA-N 0 0 426.561 -0.848 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748094752 1070848343 /nfs/dbraw/zinc/84/83/43/1070848343.db2.gz LKNCOWQMWJDSSR-UHFFFAOYSA-N 0 0 428.511 -0.337 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748095264 1070849790 /nfs/dbraw/zinc/84/97/90/1070849790.db2.gz RMBQAWQOBYJYDN-UHFFFAOYSA-N 0 0 434.540 -0.602 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1ccccc1CN1C(=O)CNC1=O ZINC000748095319 1070849881 /nfs/dbraw/zinc/84/98/81/1070849881.db2.gz AFXLYZSITKXLMO-UHFFFAOYSA-N 0 0 425.467 -0.478 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748095696 1070849489 /nfs/dbraw/zinc/84/94/89/1070849489.db2.gz XAOBCLOSVFRLRL-UHFFFAOYSA-N 0 0 434.540 -0.602 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748095727 1070849265 /nfs/dbraw/zinc/84/92/65/1070849265.db2.gz YCRVYIBSZDVCQN-UHFFFAOYSA-N 0 0 434.540 -0.803 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748095732 1070849323 /nfs/dbraw/zinc/84/93/23/1070849323.db2.gz YMFCQHREAQXBLG-UHFFFAOYSA-N 0 0 431.515 -0.798 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748095765 1070848867 /nfs/dbraw/zinc/84/88/67/1070848867.db2.gz ZPLJDFBJTKZRNH-UHFFFAOYSA-N 0 0 445.542 -0.408 20 0 IBADRN NC(=O)[C@@H]1CCCN1Cc1cccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748096512 1070849904 /nfs/dbraw/zinc/84/99/04/1070849904.db2.gz VKVATZNHTTYKHL-KRWDZBQOSA-N 0 0 439.538 -0.080 20 0 IBADRN NC(=O)[C@H]1CCCN1Cc1cccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748096515 1070850094 /nfs/dbraw/zinc/85/00/94/1070850094.db2.gz VKVATZNHTTYKHL-QGZVFWFLSA-N 0 0 439.538 -0.080 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000748096634 1070849697 /nfs/dbraw/zinc/84/96/97/1070849697.db2.gz YOIFBEWMLYHGNO-UHFFFAOYSA-N 0 0 425.467 -0.478 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000748096682 1070849759 /nfs/dbraw/zinc/84/97/59/1070849759.db2.gz ZOSQNRZSJAKTHW-UHFFFAOYSA-N 0 0 425.467 -0.478 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc(F)cc1)NCCS(=O)(=O)N1CCOCC1 ZINC000748097841 1070850256 /nfs/dbraw/zinc/85/02/56/1070850256.db2.gz LUDXMKNTPTYYRM-UHFFFAOYSA-N 0 0 437.515 -0.338 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748098500 1070850998 /nfs/dbraw/zinc/85/09/98/1070850998.db2.gz ZOGHQCQHKWCWOL-UHFFFAOYSA-N 0 0 447.535 -0.664 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1cccc(N2CCS(=O)(=O)CC2)c1 ZINC000748104315 1070857526 /nfs/dbraw/zinc/85/75/26/1070857526.db2.gz AEBCCRMMFTYQSX-UHFFFAOYSA-N 0 0 446.551 -0.295 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1ccc(C(=O)N2CCOCC2)cc1 ZINC000748104386 1070857503 /nfs/dbraw/zinc/85/75/03/1070857503.db2.gz GKOIOMKLJYXVCJ-UHFFFAOYSA-N 0 0 426.495 -0.058 20 0 IBADRN O=C(Cc1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC000748104544 1070857452 /nfs/dbraw/zinc/85/74/52/1070857452.db2.gz MZJFAIOEZADCCM-UHFFFAOYSA-N 0 0 440.522 -0.129 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)NCCS(=O)(=O)N3CCOCC3)c2)C1=O ZINC000748104680 1070856744 /nfs/dbraw/zinc/85/67/44/1070856744.db2.gz RLOWTLKNCVXORH-UHFFFAOYSA-N 0 0 425.467 -0.132 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1cccc(C(=O)N2CCOCC2)c1 ZINC000748104707 1070856522 /nfs/dbraw/zinc/85/65/22/1070856522.db2.gz UWOAOPBDDYJXJZ-UHFFFAOYSA-N 0 0 426.495 -0.058 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748104742 1070856856 /nfs/dbraw/zinc/85/68/56/1070856856.db2.gz XFPBEEIYGUFEFX-UHFFFAOYSA-N 0 0 442.494 -0.698 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000748105088 1070859167 /nfs/dbraw/zinc/85/91/67/1070859167.db2.gz FGAGNLDVEXDJOL-UHFFFAOYSA-N 0 0 447.558 -0.257 20 0 IBADRN CS(=O)(=O)Nc1cccc2c1CCN(C(=O)NCCS(=O)(=O)N1CCOCC1)C2 ZINC000748105116 1070859602 /nfs/dbraw/zinc/85/96/02/1070859602.db2.gz HPGZDBFBYLOVCP-UHFFFAOYSA-N 0 0 446.551 -0.212 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748105163 1070860296 /nfs/dbraw/zinc/86/02/96/1070860296.db2.gz NCSFUOVSMRIPLP-UHFFFAOYSA-N 0 0 449.574 -0.500 20 0 IBADRN COc1cc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)ccc1OCC(N)=O ZINC000748105361 1070859235 /nfs/dbraw/zinc/85/92/35/1070859235.db2.gz VUTDYIMOWSTWID-UHFFFAOYSA-N 0 0 430.483 -0.980 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1)N1CCCCCC1 ZINC000748105891 1070858050 /nfs/dbraw/zinc/85/80/50/1070858050.db2.gz DTLCLDKARZJHLN-UHFFFAOYSA-N 0 0 445.586 -0.622 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748105948 1070860185 /nfs/dbraw/zinc/86/01/85/1070860185.db2.gz FTQLZSCBYVLCJQ-KRWDZBQOSA-N 0 0 445.586 -0.623 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748105950 1070860270 /nfs/dbraw/zinc/86/02/70/1070860270.db2.gz FTQLZSCBYVLCJQ-QGZVFWFLSA-N 0 0 445.586 -0.623 20 0 IBADRN Cc1ccc([C@H](CNC(=O)NCCS(=O)(=O)N2CCOCC2)N2CCOCC2)o1 ZINC000748105964 1070862015 /nfs/dbraw/zinc/86/20/15/1070862015.db2.gz GBTBIIBVCCMRIQ-INIZCTEOSA-N 0 0 430.527 -0.077 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)NCCS(=O)(=O)N2CCOCC2)N2CCOCC2)o1 ZINC000748105967 1070861452 /nfs/dbraw/zinc/86/14/52/1070861452.db2.gz GBTBIIBVCCMRIQ-MRXNPFEDSA-N 0 0 430.527 -0.077 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)NCCS(=O)(=O)N1CCOCC1)C[C@@H]2O ZINC000748106205 1070860680 /nfs/dbraw/zinc/86/06/80/1070860680.db2.gz IMBCVUFVDIOCML-AWEZNQCLSA-N 0 0 429.495 -0.076 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)NCCS(=O)(=O)N1CCOCC1)C[C@H]2O ZINC000748106207 1070861031 /nfs/dbraw/zinc/86/10/31/1070861031.db2.gz IMBCVUFVDIOCML-CQSZACIVSA-N 0 0 429.495 -0.076 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748106231 1070861616 /nfs/dbraw/zinc/86/16/16/1070861616.db2.gz JNDJLRXJASZZDK-KRWDZBQOSA-N 0 0 439.538 -0.798 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748106232 1070861540 /nfs/dbraw/zinc/86/15/40/1070861540.db2.gz JNDJLRXJASZZDK-QGZVFWFLSA-N 0 0 439.538 -0.798 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)NCCS(=O)(=O)N1CCOCC1 ZINC000748106244 1070861961 /nfs/dbraw/zinc/86/19/61/1070861961.db2.gz KLBUMQSHQWBRCK-UHFFFAOYSA-N 0 0 434.540 -0.933 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748106289 1070861114 /nfs/dbraw/zinc/86/11/14/1070861114.db2.gz NCJPUSLAOFGSEE-UHFFFAOYSA-N 0 0 440.522 -0.175 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748106300 1070861294 /nfs/dbraw/zinc/86/12/94/1070861294.db2.gz OGINFXOLKFLUDC-UHFFFAOYSA-N 0 0 434.559 -0.387 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748106653 1070860597 /nfs/dbraw/zinc/86/05/97/1070860597.db2.gz PYCDJCKCVKNBHO-UHFFFAOYSA-N 0 0 433.575 -0.766 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(Cc2cn3ccccc3n2)CC1 ZINC000748106658 1070861062 /nfs/dbraw/zinc/86/10/62/1070861062.db2.gz QLJLSCKMALGAMI-UHFFFAOYSA-N 0 0 436.538 -0.177 20 0 IBADRN Cc1cc(NC(=O)NCCS(=O)(=O)N2CCOCC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC000748106679 1070860663 /nfs/dbraw/zinc/86/06/63/1070860663.db2.gz BDKMYCXYXOFRQK-CYBMUJFWSA-N 0 0 435.528 -0.665 20 0 IBADRN Cc1cc(NC(=O)NCCS(=O)(=O)N2CCOCC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC000748106685 1070860839 /nfs/dbraw/zinc/86/08/39/1070860839.db2.gz BDKMYCXYXOFRQK-ZDUSSCGKSA-N 0 0 435.528 -0.665 20 0 IBADRN COc1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1NS(C)(=O)=O ZINC000748106755 1070860728 /nfs/dbraw/zinc/86/07/28/1070860728.db2.gz SUYVZXRKEMRJIS-UHFFFAOYSA-N 0 0 436.512 -0.150 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(C(=O)c2cccc(F)c2)CC1 ZINC000748106795 1070860568 /nfs/dbraw/zinc/86/05/68/1070860568.db2.gz TZABJTJQLCQCPV-UHFFFAOYSA-N 0 0 428.486 -0.045 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1ccccc1C(=O)N1CCOCC1 ZINC000748106927 1070859763 /nfs/dbraw/zinc/85/97/63/1070859763.db2.gz KACFOXLSJHYXQF-UHFFFAOYSA-N 0 0 426.495 -0.058 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748107004 1070862257 /nfs/dbraw/zinc/86/22/57/1070862257.db2.gz WQJKRRGQGBEPCP-CYBMUJFWSA-N 0 0 434.540 -0.302 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748107006 1070862593 /nfs/dbraw/zinc/86/25/93/1070862593.db2.gz WQJKRRGQGBEPCP-ZDUSSCGKSA-N 0 0 434.540 -0.302 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(F)cc2)CC1 ZINC000748107066 1070862313 /nfs/dbraw/zinc/86/23/13/1070862313.db2.gz YWBLXSOWFKXIPS-UHFFFAOYSA-N 0 0 428.486 -0.045 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(C(=O)c2ccccc2O)CC1 ZINC000748107147 1070861831 /nfs/dbraw/zinc/86/18/31/1070861831.db2.gz ASGVKXIGNLUUNF-UHFFFAOYSA-N 0 0 426.495 -0.478 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)NCCS(=O)(=O)N2CCOCC2)CCCCC1 ZINC000748107158 1070861694 /nfs/dbraw/zinc/86/16/94/1070861694.db2.gz BVBFGAWIGWZPPO-UHFFFAOYSA-N 0 0 426.561 -0.800 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(CCCOCC(F)(F)F)CC1 ZINC000748107163 1070861907 /nfs/dbraw/zinc/86/19/07/1070861907.db2.gz BYNJLIKJCYTWTI-UHFFFAOYSA-N 0 0 446.492 -0.055 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)c1 ZINC000748107164 1070861724 /nfs/dbraw/zinc/86/17/24/1070861724.db2.gz CECZJKLPBHWLEN-UHFFFAOYSA-N 0 0 440.522 -0.175 20 0 IBADRN O=C(Cc1ccccc1F)N1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748107180 1070862335 /nfs/dbraw/zinc/86/23/35/1070862335.db2.gz DGYXWDJCSQCPOA-UHFFFAOYSA-N 0 0 442.513 -0.116 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000748107185 1070862542 /nfs/dbraw/zinc/86/25/42/1070862542.db2.gz DIHBALQLODRUEX-CYBMUJFWSA-N 0 0 431.467 -0.884 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC000748107191 1070862494 /nfs/dbraw/zinc/86/24/94/1070862494.db2.gz DIHBALQLODRUEX-ZDUSSCGKSA-N 0 0 431.467 -0.884 20 0 IBADRN O=C(Nc1nncs1)C1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748107210 1070862373 /nfs/dbraw/zinc/86/23/73/1070862373.db2.gz DPAHMNDWOWZRRP-UHFFFAOYSA-N 0 0 432.528 -0.440 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1cccc(S(=O)(=O)NC2CC2)c1 ZINC000748107244 1070862626 /nfs/dbraw/zinc/86/26/26/1070862626.db2.gz OJUALZQYTYCFBM-UHFFFAOYSA-N 0 0 432.524 -0.089 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748107267 1070862451 /nfs/dbraw/zinc/86/24/51/1070862451.db2.gz PIICNYVMEPILPR-UHFFFAOYSA-N 0 0 442.494 -0.237 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748107293 1070861476 /nfs/dbraw/zinc/86/14/76/1070861476.db2.gz PYUVJWJSUUGIRH-UHFFFAOYSA-N 0 0 439.538 -0.142 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748107328 1070861638 /nfs/dbraw/zinc/86/16/38/1070861638.db2.gz RUCAWEXGIGRJFO-UHFFFAOYSA-N 0 0 428.467 -0.627 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748107354 1070861753 /nfs/dbraw/zinc/86/17/53/1070861753.db2.gz SSEIOLLTMFGQDO-UHFFFAOYSA-N 0 0 436.512 -0.348 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748107697 1070861884 /nfs/dbraw/zinc/86/18/84/1070861884.db2.gz JHZOFOQVTGKOTO-AWEZNQCLSA-N 0 0 426.561 -0.848 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748107698 1070861809 /nfs/dbraw/zinc/86/18/09/1070861809.db2.gz JHZOFOQVTGKOTO-CQSZACIVSA-N 0 0 426.561 -0.848 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000748107710 1070861568 /nfs/dbraw/zinc/86/15/68/1070861568.db2.gz JYXZFTXCEXIDJH-UHFFFAOYSA-N 0 0 426.495 -0.478 20 0 IBADRN C[C@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000748107766 1070861990 /nfs/dbraw/zinc/86/19/90/1070861990.db2.gz NBVVRIGGSORBJQ-AWEZNQCLSA-N 0 0 448.567 -0.041 20 0 IBADRN C[C@@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000748107767 1070861668 /nfs/dbraw/zinc/86/16/68/1070861668.db2.gz NBVVRIGGSORBJQ-CQSZACIVSA-N 0 0 448.567 -0.041 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000748107885 1070861521 /nfs/dbraw/zinc/86/15/21/1070861521.db2.gz NXRSKQDTCYLLRZ-UHFFFAOYSA-N 0 0 440.522 -0.175 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)NCCS(=O)(=O)N1CCOCC1 ZINC000748107997 1070862202 /nfs/dbraw/zinc/86/22/02/1070862202.db2.gz QVOBLGZEEZKECM-UHFFFAOYSA-N 0 0 434.540 -0.933 20 0 IBADRN O=C(NCC(F)(F)F)C1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000748108265 1070862178 /nfs/dbraw/zinc/86/21/78/1070862178.db2.gz WBTFULYVNWTSAM-UHFFFAOYSA-N 0 0 430.449 -0.252 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)s1 ZINC000748108339 1070862566 /nfs/dbraw/zinc/86/25/66/1070862566.db2.gz YWHUCFHNVLRSEU-UHFFFAOYSA-N 0 0 426.542 -0.883 20 0 IBADRN CN1CCN([C@@H](CNC(=O)NCCS(=O)(=O)N2CCOCC2)c2cccs2)CC1 ZINC000748111851 1070862834 /nfs/dbraw/zinc/86/28/34/1070862834.db2.gz OCUHPBJBFFOSAW-INIZCTEOSA-N 0 0 445.611 -0.002 20 0 IBADRN CN1CCN([C@H](CNC(=O)NCCS(=O)(=O)N2CCOCC2)c2cccs2)CC1 ZINC000748111852 1070863414 /nfs/dbraw/zinc/86/34/14/1070863414.db2.gz OCUHPBJBFFOSAW-MRXNPFEDSA-N 0 0 445.611 -0.002 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000748111930 1070862948 /nfs/dbraw/zinc/86/29/48/1070862948.db2.gz UOOPUQMNANGNMC-GOSISDBHSA-N 0 0 426.539 -0.151 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC000748111931 1070863730 /nfs/dbraw/zinc/86/37/30/1070863730.db2.gz UOOPUQMNANGNMC-SFHVURJKSA-N 0 0 426.539 -0.151 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(OC[C@@H]2CCCO2)cc1 ZINC000748113467 1070869323 /nfs/dbraw/zinc/86/93/23/1070869323.db2.gz APGNYQVWWVTMGZ-KRWDZBQOSA-N 0 0 441.506 -0.039 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(OC[C@H]2CCCO2)cc1 ZINC000748113468 1070869542 /nfs/dbraw/zinc/86/95/42/1070869542.db2.gz APGNYQVWWVTMGZ-QGZVFWFLSA-N 0 0 441.506 -0.039 20 0 IBADRN CNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1Cl ZINC000748113489 1070870159 /nfs/dbraw/zinc/87/01/59/1070870159.db2.gz COSGCJMHMGIECZ-UHFFFAOYSA-N 0 0 432.886 -0.584 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(-n2cccn2)c(F)c1 ZINC000748113490 1070870252 /nfs/dbraw/zinc/87/02/52/1070870252.db2.gz CPAJEVHTUISPBT-UHFFFAOYSA-N 0 0 425.442 -0.272 20 0 IBADRN CCS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748113498 1070870629 /nfs/dbraw/zinc/87/06/29/1070870629.db2.gz DFKFDTKEFPOXPA-UHFFFAOYSA-N 0 0 433.508 -0.803 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(N2CCOC2=O)cc1 ZINC000748113508 1070870931 /nfs/dbraw/zinc/87/09/31/1070870931.db2.gz FAZZMBDLYPXMEY-UHFFFAOYSA-N 0 0 426.451 -0.640 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(N2CCOCC2)c1 ZINC000748113520 1070870544 /nfs/dbraw/zinc/87/05/44/1070870544.db2.gz FUWIOTVRRKQQIS-UHFFFAOYSA-N 0 0 426.495 -0.760 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(OC[C@@H]2CCCO2)c1 ZINC000748113548 1070870763 /nfs/dbraw/zinc/87/07/63/1070870763.db2.gz HTIABJYBICIYHZ-KRWDZBQOSA-N 0 0 441.506 -0.039 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(OC[C@H]2CCCO2)c1 ZINC000748113549 1070870710 /nfs/dbraw/zinc/87/07/10/1070870710.db2.gz HTIABJYBICIYHZ-QGZVFWFLSA-N 0 0 441.506 -0.039 20 0 IBADRN CCCNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748113556 1070865687 /nfs/dbraw/zinc/86/56/87/1070865687.db2.gz IGBLMULNLQHVTJ-UHFFFAOYSA-N 0 0 426.495 -0.457 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000748113596 1070866765 /nfs/dbraw/zinc/86/67/65/1070866765.db2.gz MOKDJVBUHJOHKF-UHFFFAOYSA-N 0 0 431.467 -0.571 20 0 IBADRN Cn1ccnc1C(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748113662 1070866450 /nfs/dbraw/zinc/86/64/50/1070866450.db2.gz AEYRNJILFQPKAA-UHFFFAOYSA-N 0 0 449.489 -0.632 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(-c2nnnn2C2CC2)c1 ZINC000748113670 1070866298 /nfs/dbraw/zinc/86/62/98/1070866298.db2.gz AQHGIYZQZVUXHY-UHFFFAOYSA-N 0 0 449.493 -0.608 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cc2c(cc1Cl)OCCO2 ZINC000748113679 1070867206 /nfs/dbraw/zinc/86/72/06/1070867206.db2.gz BFUBFMOOBJKITA-UHFFFAOYSA-N 0 0 433.870 -0.172 20 0 IBADRN Cc1cc(C)n(-c2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)cn2)n1 ZINC000748113684 1070866924 /nfs/dbraw/zinc/86/69/24/1070866924.db2.gz BRBLEYLSVOHXDW-UHFFFAOYSA-N 0 0 436.494 -0.399 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(CN2CCCC2=O)c1 ZINC000748113705 1070865320 /nfs/dbraw/zinc/86/53/20/1070865320.db2.gz CSPKHNUUAATHOZ-UHFFFAOYSA-N 0 0 438.506 -0.474 20 0 IBADRN COCCOCc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748113708 1070865538 /nfs/dbraw/zinc/86/55/38/1070865538.db2.gz CYXRWAKGXQNECO-UHFFFAOYSA-N 0 0 429.495 -0.434 20 0 IBADRN COC(=O)c1cc2cc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)ccc2o1 ZINC000748113717 1070865048 /nfs/dbraw/zinc/86/50/48/1070865048.db2.gz JEOCZAHYKZYYHJ-UHFFFAOYSA-N 0 0 439.446 -0.064 20 0 IBADRN CO[C@H](C)C(=O)Nc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748113724 1070865262 /nfs/dbraw/zinc/86/52/62/1070865262.db2.gz JNAFLQLPHGTLAT-CYBMUJFWSA-N 0 0 442.494 -0.623 20 0 IBADRN CO[C@@H](C)C(=O)Nc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748113725 1070865514 /nfs/dbraw/zinc/86/55/14/1070865514.db2.gz JNAFLQLPHGTLAT-ZDUSSCGKSA-N 0 0 442.494 -0.623 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(=O)n(Cc2ccccc2)c1 ZINC000748113751 1070865397 /nfs/dbraw/zinc/86/53/97/1070865397.db2.gz DDFAJCQENRPUIB-UHFFFAOYSA-N 0 0 448.501 -0.387 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(C)c1 ZINC000748113769 1070865420 /nfs/dbraw/zinc/86/54/20/1070865420.db2.gz KRABUVLZRMHDSN-UHFFFAOYSA-N 0 0 429.495 -0.263 20 0 IBADRN CCN(CC)C(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748113783 1070865159 /nfs/dbraw/zinc/86/51/59/1070865159.db2.gz DRVKDNIAEGTVCV-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN C[C@H]1Oc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)cc2NC1=O ZINC000748113787 1070865445 /nfs/dbraw/zinc/86/54/45/1070865445.db2.gz FBINHENWRZACEZ-LLVKDONJSA-N 0 0 426.451 -0.877 20 0 IBADRN C[C@@H]1Oc2ccc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)cc2NC1=O ZINC000748113789 1070865134 /nfs/dbraw/zinc/86/51/34/1070865134.db2.gz FBINHENWRZACEZ-NSHDSACASA-N 0 0 426.451 -0.877 20 0 IBADRN CN(C)C(=O)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748113815 1070866079 /nfs/dbraw/zinc/86/60/79/1070866079.db2.gz FFPFZQOTDLSSSE-UHFFFAOYSA-N 0 0 426.495 -0.966 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(CN2CCOC2=O)cc1 ZINC000748113850 1070864716 /nfs/dbraw/zinc/86/47/16/1070864716.db2.gz MFLNQAYZXPWKLR-UHFFFAOYSA-N 0 0 440.478 -0.645 20 0 IBADRN COCCOc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1F ZINC000748113861 1070864826 /nfs/dbraw/zinc/86/48/26/1070864826.db2.gz MLJOWYFIVQEIIW-UHFFFAOYSA-N 0 0 433.458 -0.432 20 0 IBADRN CCCOC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748113887 1070864884 /nfs/dbraw/zinc/86/48/84/1070864884.db2.gz GKWCACBALTYPFB-UHFFFAOYSA-N 0 0 427.479 -0.030 20 0 IBADRN COC(=O)N(C)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748113892 1070864909 /nfs/dbraw/zinc/86/49/09/1070864909.db2.gz MRLGSNXYGYPVRZ-UHFFFAOYSA-N 0 0 428.467 -0.394 20 0 IBADRN COCCOc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1OC ZINC000748113909 1070864739 /nfs/dbraw/zinc/86/47/39/1070864739.db2.gz GUIMDWTYDDUTRA-UHFFFAOYSA-N 0 0 445.494 -0.563 20 0 IBADRN CCNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(C)c1 ZINC000748113936 1070865187 /nfs/dbraw/zinc/86/51/87/1070865187.db2.gz OFJGAAMNCPHKTE-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccnc1N1CCCCC1 ZINC000748113941 1070865073 /nfs/dbraw/zinc/86/50/73/1070865073.db2.gz OQWUDXKCWMECRW-UHFFFAOYSA-N 0 0 425.511 -0.211 20 0 IBADRN CCn1cnnc1-c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748113957 1070877871 /nfs/dbraw/zinc/87/78/71/1070877871.db2.gz HQHVSENDPMXDKP-UHFFFAOYSA-N 0 0 436.494 -0.318 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748113958 1070878671 /nfs/dbraw/zinc/87/86/71/1070878671.db2.gz HTMHVOAJMUDHEE-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(C(=O)N2CCCC2)cc1 ZINC000748113972 1070878519 /nfs/dbraw/zinc/87/85/19/1070878519.db2.gz IKICXJCJUYCYJZ-UHFFFAOYSA-N 0 0 438.506 -0.361 20 0 IBADRN CC(C)(C)NC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748113975 1070878692 /nfs/dbraw/zinc/87/86/92/1070878692.db2.gz INNJQHAAPLEWSL-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN CCOC(=O)N(C)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748113976 1070878763 /nfs/dbraw/zinc/87/87/63/1070878763.db2.gz PCXPNJGRZWPEIQ-UHFFFAOYSA-N 0 0 442.494 -0.004 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cc(N2CCCC2=O)ccc1F ZINC000748113978 1070878404 /nfs/dbraw/zinc/87/84/04/1070878404.db2.gz PDRORGFTIQOABR-UHFFFAOYSA-N 0 0 442.469 -0.331 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccccc1CN1CCOCC1 ZINC000748113980 1070878550 /nfs/dbraw/zinc/87/85/50/1070878550.db2.gz PFUGDKJBYOJLNV-UHFFFAOYSA-N 0 0 440.522 -0.765 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748113989 1070877197 /nfs/dbraw/zinc/87/71/97/1070877197.db2.gz IVLQFSMNXSKJIJ-UHFFFAOYSA-N 0 0 428.467 -0.630 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(CN2CCCC2=O)cc1 ZINC000748113996 1070877145 /nfs/dbraw/zinc/87/71/45/1070877145.db2.gz JWIHWYUVSPGJLZ-UHFFFAOYSA-N 0 0 438.506 -0.474 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748113997 1070877921 /nfs/dbraw/zinc/87/79/21/1070877921.db2.gz JXAZEOWODGICJW-UHFFFAOYSA-N 0 0 433.508 -0.885 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(F)cc1F ZINC000748114005 1070878112 /nfs/dbraw/zinc/87/81/12/1070878112.db2.gz PGKRZWTXCHMMLX-UHFFFAOYSA-N 0 0 434.421 -0.360 20 0 IBADRN CNC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1Cl ZINC000748114064 1070877792 /nfs/dbraw/zinc/87/77/92/1070877792.db2.gz QMBPIOIUIYQHIL-UHFFFAOYSA-N 0 0 432.886 -0.584 20 0 IBADRN CC(C)C(=O)Nc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748114068 1070877997 /nfs/dbraw/zinc/87/79/97/1070877997.db2.gz LJTUROTZJUWFPF-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CCOC(=O)COc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748114076 1070877615 /nfs/dbraw/zinc/87/76/15/1070877615.db2.gz LNHMLLGNRXNLRL-UHFFFAOYSA-N 0 0 443.478 -0.655 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(N2CCCCC2=O)c1 ZINC000748114099 1070877660 /nfs/dbraw/zinc/87/76/60/1070877660.db2.gz MMDLOYZFUGEWIY-UHFFFAOYSA-N 0 0 438.506 -0.080 20 0 IBADRN COCCCOc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748114115 1070877738 /nfs/dbraw/zinc/87/77/38/1070877738.db2.gz RDRYWHNDIKLASI-UHFFFAOYSA-N 0 0 429.495 -0.181 20 0 IBADRN CCOC(=O)Nc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114145 1070877118 /nfs/dbraw/zinc/87/71/18/1070877118.db2.gz NGEWKOIFXBNHMX-UHFFFAOYSA-N 0 0 428.467 -0.028 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000748114152 1070877450 /nfs/dbraw/zinc/87/74/50/1070877450.db2.gz NJAIOOUTJIQZEO-UHFFFAOYSA-N 0 0 426.495 -0.760 20 0 IBADRN COC(=O)CCOc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114163 1070877363 /nfs/dbraw/zinc/87/73/63/1070877363.db2.gz SBAUSHUPXKMTHK-UHFFFAOYSA-N 0 0 443.478 -0.655 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc2c(c1)NC(=O)CS2 ZINC000748114175 1070877317 /nfs/dbraw/zinc/87/73/17/1070877317.db2.gz NWBUWAHMQRYJDT-UHFFFAOYSA-N 0 0 428.492 -0.553 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(Cl)c1-n1cncn1 ZINC000748114188 1070877339 /nfs/dbraw/zinc/87/73/39/1070877339.db2.gz OGMSVYGNWRXEGP-UHFFFAOYSA-N 0 0 442.885 -0.363 20 0 IBADRN CC[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114242 1070876994 /nfs/dbraw/zinc/87/69/94/1070876994.db2.gz VDLKNGBXIBGGTE-AWEZNQCLSA-N 0 0 440.522 -0.068 20 0 IBADRN CC[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114243 1070877406 /nfs/dbraw/zinc/87/74/06/1070877406.db2.gz VDLKNGBXIBGGTE-CQSZACIVSA-N 0 0 440.522 -0.068 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1Cl ZINC000748114299 1070876344 /nfs/dbraw/zinc/87/63/44/1070876344.db2.gz VNWITLFFMQSSQE-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN CCN(CC)C(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748114337 1070876823 /nfs/dbraw/zinc/87/68/23/1070876823.db2.gz WFXYHEFLYNAODH-UHFFFAOYSA-N 0 0 440.522 -0.115 20 0 IBADRN COCCOc1cc(C)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748114353 1070876792 /nfs/dbraw/zinc/87/67/92/1070876792.db2.gz WSPCZZNMHWERHJ-UHFFFAOYSA-N 0 0 429.495 -0.263 20 0 IBADRN Cc1cc(C(=O)NC(C)C)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748114359 1070876592 /nfs/dbraw/zinc/87/65/92/1070876592.db2.gz XAGNIQBNKJONHJ-UHFFFAOYSA-N 0 0 440.522 -0.150 20 0 IBADRN COC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(C)c1 ZINC000748114370 1070876692 /nfs/dbraw/zinc/87/66/92/1070876692.db2.gz XPQAWFLQVYIJLR-UHFFFAOYSA-N 0 0 443.478 -0.736 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(-c2csnn2)cc1 ZINC000748114381 1070877385 /nfs/dbraw/zinc/87/73/85/1070877385.db2.gz XVNDHICFFMUFKG-UHFFFAOYSA-N 0 0 425.492 -0.078 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccccc1C(=O)N1CCCC1 ZINC000748114394 1070877225 /nfs/dbraw/zinc/87/72/25/1070877225.db2.gz OLCFPTWBSWTZRY-UHFFFAOYSA-N 0 0 438.506 -0.361 20 0 IBADRN CC(C)NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114403 1070877299 /nfs/dbraw/zinc/87/72/99/1070877299.db2.gz OODNYNNODRWWNX-UHFFFAOYSA-N 0 0 426.495 -0.459 20 0 IBADRN CC(C)(C)NC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114408 1070877041 /nfs/dbraw/zinc/87/70/41/1070877041.db2.gz OSRLYENQTAVIPI-UHFFFAOYSA-N 0 0 440.522 -0.068 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(Oc2cnccn2)c1 ZINC000748114415 1070877065 /nfs/dbraw/zinc/87/70/65/1070877065.db2.gz OXSJONWXPSMNTN-UHFFFAOYSA-N 0 0 435.462 -0.014 20 0 IBADRN Cc1ccc(C(=O)N(C)C)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748114426 1070877094 /nfs/dbraw/zinc/87/70/94/1070877094.db2.gz PIQXBGDPWUBYDN-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN Cc1ccc(C(=O)NC2CC2)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748114432 1070876099 /nfs/dbraw/zinc/87/60/99/1070876099.db2.gz PLGWIFGPRSDZSX-UHFFFAOYSA-N 0 0 438.506 -0.396 20 0 IBADRN CCCNC(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748114436 1070876079 /nfs/dbraw/zinc/87/60/79/1070876079.db2.gz PSUHGTJENMAHTP-UHFFFAOYSA-N 0 0 426.495 -0.457 20 0 IBADRN COC(=O)c1ccc(Cl)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748114450 1070875739 /nfs/dbraw/zinc/87/57/39/1070875739.db2.gz QGQPQHHUYZDXCX-UHFFFAOYSA-N 0 0 433.870 -0.157 20 0 IBADRN CCN(Cc1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1)C(C)=O ZINC000748114494 1070875791 /nfs/dbraw/zinc/87/57/91/1070875791.db2.gz RWIGYHXLKMPLNR-UHFFFAOYSA-N 0 0 440.522 -0.228 20 0 IBADRN CN(C)C(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1Cl ZINC000748114565 1070876621 /nfs/dbraw/zinc/87/66/21/1070876621.db2.gz UKSCEAACFRRHCB-UHFFFAOYSA-N 0 0 446.913 -0.242 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cc2c(cc1F)CCC(=O)N2 ZINC000748114575 1070875436 /nfs/dbraw/zinc/87/54/36/1070875436.db2.gz UTYNGURRECCPMG-UHFFFAOYSA-N 0 0 428.442 -0.573 20 0 IBADRN CCOC(=O)/C=C/c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114577 1070875120 /nfs/dbraw/zinc/87/51/20/1070875120.db2.gz UWLZSRZXGVXUQY-VMPITWQZSA-N 0 0 439.490 -0.020 20 0 IBADRN CCOC(=O)/C=C\c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114578 1070874897 /nfs/dbraw/zinc/87/48/97/1070874897.db2.gz UWLZSRZXGVXUQY-YVMONPNESA-N 0 0 439.490 -0.020 20 0 IBADRN CNC(=O)c1ccc(Cl)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748114589 1070875520 /nfs/dbraw/zinc/87/55/20/1070875520.db2.gz VLWPLRXEASEFFQ-UHFFFAOYSA-N 0 0 432.886 -0.584 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1Cl ZINC000748114619 1070875549 /nfs/dbraw/zinc/87/55/49/1070875549.db2.gz WRSMQAZIRTYBSY-UHFFFAOYSA-N 0 0 433.870 -0.157 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(N2CCOC2=O)c1 ZINC000748114622 1070874948 /nfs/dbraw/zinc/87/49/48/1070874948.db2.gz WVLBILNUZTZRGI-UHFFFAOYSA-N 0 0 426.451 -0.640 20 0 IBADRN CCNC(=O)c1ccc(C)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000748114624 1070875176 /nfs/dbraw/zinc/87/51/76/1070875176.db2.gz XCKJJPIRTBBXPB-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccc(CN2CCOC2=O)c1 ZINC000748114627 1070876152 /nfs/dbraw/zinc/87/61/52/1070876152.db2.gz XDKVOSZEMZGGNC-UHFFFAOYSA-N 0 0 440.478 -0.645 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000748114651 1070875946 /nfs/dbraw/zinc/87/59/46/1070875946.db2.gz YRRUYPKLSJXHMQ-UHFFFAOYSA-N 0 0 440.522 -0.452 20 0 IBADRN CC(C)CNC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000748114657 1070876029 /nfs/dbraw/zinc/87/60/29/1070876029.db2.gz ZEVPCMUSTVVFCV-UHFFFAOYSA-N 0 0 440.522 -0.211 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc2nc3n(c(=O)c2c1)CCC3 ZINC000748114661 1070875764 /nfs/dbraw/zinc/87/57/64/1070875764.db2.gz ZQWWRMSRDUNSPL-UHFFFAOYSA-N 0 0 449.489 -0.941 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(F)cc1F ZINC000748114666 1070876128 /nfs/dbraw/zinc/87/61/28/1070876128.db2.gz ZXYLTYVNTKIFSB-UHFFFAOYSA-N 0 0 435.405 -0.532 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)[C@H](C)NC(C)=O ZINC000748120214 1070889065 /nfs/dbraw/zinc/88/90/65/1070889065.db2.gz AVSZBLBYECADET-LBPRGKRZSA-N 0 0 443.478 -0.278 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H](C)NC(C)=O)CC2)cc1 ZINC000748120803 1070887721 /nfs/dbraw/zinc/88/77/21/1070887721.db2.gz DYKNUPWJNYGVTM-ZDUSSCGKSA-N 0 0 427.479 -0.404 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000748121167 1070890880 /nfs/dbraw/zinc/89/08/80/1070890880.db2.gz GCWGVBABOZELAW-NSHDSACASA-N 0 0 433.433 -0.135 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@H](C)NC(C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000748122402 1070891451 /nfs/dbraw/zinc/89/14/51/1070891451.db2.gz OIKCDJAPZYEJLY-LBPRGKRZSA-N 0 0 443.478 -0.278 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H](C)NC(C)=O)CC2)cc1 ZINC000748123042 1070896702 /nfs/dbraw/zinc/89/67/02/1070896702.db2.gz TWSUXRMLKLMYJD-AWEZNQCLSA-N 0 0 441.506 -0.014 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000748123070 1070896681 /nfs/dbraw/zinc/89/66/81/1070896681.db2.gz UZZXKPNCZVUYQL-ZDUSSCGKSA-N 0 0 427.479 -0.609 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000748123970 1073698242 /nfs/dbraw/zinc/69/82/42/1073698242.db2.gz ZNKBXZYDHUYVAF-ZDUSSCGKSA-N 0 0 439.490 -0.210 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCOCC1 ZINC000748124993 1070899931 /nfs/dbraw/zinc/89/99/31/1070899931.db2.gz AEAAZTXJAWBODC-HIFRSBDPSA-N 0 0 432.882 -0.134 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCOCC1 ZINC000748124994 1070900163 /nfs/dbraw/zinc/90/01/63/1070900163.db2.gz AEAAZTXJAWBODC-ZFWWWQNUSA-N 0 0 432.882 -0.134 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000748125474 1070899324 /nfs/dbraw/zinc/89/93/24/1070899324.db2.gz HAHFHHMHCMWALP-CDMKHQONSA-N 0 0 433.870 -0.149 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000748125475 1070899273 /nfs/dbraw/zinc/89/92/73/1070899273.db2.gz HAHFHHMHCMWALP-GAFUQQFSSA-N 0 0 433.870 -0.149 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000748125476 1070899287 /nfs/dbraw/zinc/89/92/87/1070899287.db2.gz HAHFHHMHCMWALP-NDMJEZRESA-N 0 0 433.870 -0.149 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000748125477 1070900067 /nfs/dbraw/zinc/90/00/67/1070900067.db2.gz HAHFHHMHCMWALP-XWIASGKRSA-N 0 0 433.870 -0.149 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)NC(=O)NC1CC1 ZINC000748125740 1070898149 /nfs/dbraw/zinc/89/81/49/1070898149.db2.gz JIPBMWLPTKXLKC-JSGCOSHPSA-N 0 0 445.881 -0.005 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)NC(=O)NC1CC1 ZINC000748125743 1070898261 /nfs/dbraw/zinc/89/82/61/1070898261.db2.gz JIPBMWLPTKXLKC-OCCSQVGLSA-N 0 0 445.881 -0.005 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000748126739 1070901336 /nfs/dbraw/zinc/90/13/36/1070901336.db2.gz PZLFHHATEGOJMU-AAEUAGOBSA-N 0 0 433.870 -0.147 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000748126741 1070901325 /nfs/dbraw/zinc/90/13/25/1070901325.db2.gz PZLFHHATEGOJMU-YPMHNXCESA-N 0 0 433.870 -0.147 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000748126854 1070900894 /nfs/dbraw/zinc/90/08/94/1070900894.db2.gz RWWTWPYWOZNZCD-JSGCOSHPSA-N 0 0 448.881 -0.314 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000748126859 1070900831 /nfs/dbraw/zinc/90/08/31/1070900831.db2.gz RWWTWPYWOZNZCD-OCCSQVGLSA-N 0 0 448.881 -0.314 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCNC1=O ZINC000748126899 1070900690 /nfs/dbraw/zinc/90/06/90/1070900690.db2.gz SKUGSOGMRIVNFW-AAEUAGOBSA-N 0 0 431.854 -0.441 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCNC1=O ZINC000748126905 1070900943 /nfs/dbraw/zinc/90/09/43/1070900943.db2.gz SKUGSOGMRIVNFW-YPMHNXCESA-N 0 0 431.854 -0.441 20 0 IBADRN CN(CCCNC(=O)COC(=O)C1(S(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000748132575 1070905961 /nfs/dbraw/zinc/90/59/61/1070905961.db2.gz RJILUMYQSOSDMX-UHFFFAOYSA-N 0 0 432.520 -0.066 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)C2(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000748137033 1070907702 /nfs/dbraw/zinc/90/77/02/1070907702.db2.gz TZITVLQYGUUILR-UHFFFAOYSA-N 0 0 437.518 -0.232 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)OCc1nnc2n1c1ccccc1c(=O)n2C ZINC000748145274 1070910972 /nfs/dbraw/zinc/91/09/72/1070910972.db2.gz IJELJNZIWZHKNS-UHFFFAOYSA-N 0 0 437.478 -0.031 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)OCC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000748146326 1070911725 /nfs/dbraw/zinc/91/17/25/1070911725.db2.gz QTPPWSIJJWFGSL-UHFFFAOYSA-N 0 0 441.462 -0.262 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000748146347 1070912022 /nfs/dbraw/zinc/91/20/22/1070912022.db2.gz RPSSXAYYJKEBID-UHFFFAOYSA-N 0 0 445.494 -0.548 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000748149374 1070914982 /nfs/dbraw/zinc/91/49/82/1070914982.db2.gz PDAXAPCPDZSTBH-HNNXBMFYSA-N 0 0 443.526 -0.599 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)O[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000748149376 1070914931 /nfs/dbraw/zinc/91/49/31/1070914931.db2.gz PDAXAPCPDZSTBH-OAHLLOKOSA-N 0 0 443.526 -0.599 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)OCC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000748149976 1070913954 /nfs/dbraw/zinc/91/39/54/1070913954.db2.gz WTDNPRUAMWHEQG-UHFFFAOYSA-N 0 0 445.450 -0.049 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)CNS(=O)(=O)CCOC(C)C)C(C)C)CC1 ZINC000748150104 1070915616 /nfs/dbraw/zinc/91/56/16/1070915616.db2.gz YWAPTHKORBYFDU-KRWDZBQOSA-N 0 0 435.543 -0.411 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)CNS(=O)(=O)CCOC(C)C)C(C)C)CC1 ZINC000748150107 1070915759 /nfs/dbraw/zinc/91/57/59/1070915759.db2.gz YWAPTHKORBYFDU-QGZVFWFLSA-N 0 0 435.543 -0.411 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)OCCN1C(=O)c2ccccc2S1(=O)=O ZINC000748153317 1070916629 /nfs/dbraw/zinc/91/66/29/1070916629.db2.gz UOVSLEXEWBSMKC-UHFFFAOYSA-N 0 0 434.492 -0.281 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@]2(F)CCN(C(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000748208113 1072712828 /nfs/dbraw/zinc/71/28/28/1072712828.db2.gz CEIVBSLGADVGFM-GOSISDBHSA-N 0 0 428.417 -0.259 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@]2(F)CCN(C(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000748208114 1072712955 /nfs/dbraw/zinc/71/29/55/1072712955.db2.gz CEIVBSLGADVGFM-SFHVURJKSA-N 0 0 428.417 -0.259 20 0 IBADRN COc1cc(C(=O)NCC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc(OC)c1OC ZINC000748211370 1072712860 /nfs/dbraw/zinc/71/28/60/1072712860.db2.gz KOLOPWPPWUJIBW-CYBMUJFWSA-N 0 0 437.449 -0.148 20 0 IBADRN COc1cc(C(=O)NCC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc(OC)c1OC ZINC000748211371 1072712806 /nfs/dbraw/zinc/71/28/06/1072712806.db2.gz KOLOPWPPWUJIBW-ZDUSSCGKSA-N 0 0 437.449 -0.148 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000748222518 1072712782 /nfs/dbraw/zinc/71/27/82/1072712782.db2.gz KRZYNXFYMIEOGN-UHFFFAOYSA-N 0 0 426.451 -0.067 20 0 IBADRN Cn1cc(/C=C\C(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)c(=O)n(C)c1=O ZINC000748233820 1072713308 /nfs/dbraw/zinc/71/33/08/1072713308.db2.gz DMRZPBMSWYXCNL-HJWRWDBZSA-N 0 0 425.489 -0.048 20 0 IBADRN O=C(CCNC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(c2ccccn2)CC1 ZINC000748241866 1072713470 /nfs/dbraw/zinc/71/34/70/1072713470.db2.gz LOMFWKSDFYGAPR-UHFFFAOYSA-N 0 0 444.517 -0.266 20 0 IBADRN Cn1cc(/C=C\C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)c(=O)n(C)c1=O ZINC000748242262 1072713554 /nfs/dbraw/zinc/71/35/54/1072713554.db2.gz QLJZWULQCTTXTO-SREVYHEPSA-N 0 0 426.477 -0.653 20 0 IBADRN Cn1cc(/C=C/C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)c(=O)n(C)c1=O ZINC000748242264 1072713412 /nfs/dbraw/zinc/71/34/12/1072713412.db2.gz QLJZWULQCTTXTO-VOTSOKGWSA-N 0 0 426.477 -0.653 20 0 IBADRN O=C(CCNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCN(c2ccccn2)CC1 ZINC000748242604 1072713450 /nfs/dbraw/zinc/71/34/50/1072713450.db2.gz RZBOGEUFIIDNKQ-UHFFFAOYSA-N 0 0 444.517 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](F)(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000748286943 1072713513 /nfs/dbraw/zinc/71/35/13/1072713513.db2.gz DRKQQVKKWPSDGT-FQEVSTJZSA-N 0 0 442.488 -0.135 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000748286944 1072713362 /nfs/dbraw/zinc/71/33/62/1072713362.db2.gz DRKQQVKKWPSDGT-HXUWFJFHSA-N 0 0 442.488 -0.135 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CN3C(=O)C(=O)N(C)C3=O)CC2)c1 ZINC000748295728 1072713524 /nfs/dbraw/zinc/71/35/24/1072713524.db2.gz HBLRDLGRAUFMQI-UHFFFAOYSA-N 0 0 430.464 -0.781 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000748304308 1072713376 /nfs/dbraw/zinc/71/33/76/1072713376.db2.gz QTWAVHGCZMDTLT-HBUWYVDXSA-N 0 0 435.518 -0.051 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000748304310 1072713387 /nfs/dbraw/zinc/71/33/87/1072713387.db2.gz QTWAVHGCZMDTLT-PMUMKWKESA-N 0 0 435.518 -0.051 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000748304313 1072713324 /nfs/dbraw/zinc/71/33/24/1072713324.db2.gz QTWAVHGCZMDTLT-QWQRMKEZSA-N 0 0 435.518 -0.051 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000748304315 1072714040 /nfs/dbraw/zinc/71/40/40/1072714040.db2.gz QTWAVHGCZMDTLT-SUNYJGFJSA-N 0 0 435.518 -0.051 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1Br ZINC000748317852 1072714011 /nfs/dbraw/zinc/71/40/11/1072714011.db2.gz HFMDILMKLHMPHW-UHFFFAOYSA-N 0 0 448.295 -0.008 20 0 IBADRN Cn1c(COC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)cc(=O)n(C)c1=O ZINC000748318078 1072714029 /nfs/dbraw/zinc/71/40/29/1072714029.db2.gz NCDIAEOPERBNMS-UHFFFAOYSA-N 0 0 432.252 -0.149 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CC1 ZINC000748319162 1072713859 /nfs/dbraw/zinc/71/38/59/1072713859.db2.gz YJPJGSKYVIDODT-UHFFFAOYSA-N 0 0 448.295 -0.056 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(S(N)(=O)=O)c(Br)c1)C(=O)N(C)C ZINC000748332057 1072713952 /nfs/dbraw/zinc/71/39/52/1072713952.db2.gz JBSQWXWCDKTYLB-MRVPVSSYSA-N 0 0 436.284 -0.154 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(S(N)(=O)=O)c(Br)c1)C(=O)N(C)C ZINC000748332058 1072713939 /nfs/dbraw/zinc/71/39/39/1072713939.db2.gz JBSQWXWCDKTYLB-QMMMGPOBSA-N 0 0 436.284 -0.154 20 0 IBADRN O=C(NCC1(O)CCC2(CC1)OCCO2)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000748355174 1072713899 /nfs/dbraw/zinc/71/38/99/1072713899.db2.gz AIEPJPAUTKELQD-UHFFFAOYSA-N 0 0 435.462 -0.124 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)CN2C(=O)NC(C)(C)C2=O)ccc1C ZINC000748357878 1072713876 /nfs/dbraw/zinc/71/38/76/1072713876.db2.gz JZGIIWVQFWUHPA-UHFFFAOYSA-N 0 0 426.451 -0.285 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2C(=O)NC(C)(C)C2=O)C1=O ZINC000748358570 1072713887 /nfs/dbraw/zinc/71/38/87/1072713887.db2.gz XGHPSZYZZZRVHK-FQEVSTJZSA-N 0 0 445.432 -0.252 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2C(=O)NC(C)(C)C2=O)C1=O ZINC000748358571 1072713893 /nfs/dbraw/zinc/71/38/93/1072713893.db2.gz XGHPSZYZZZRVHK-HXUWFJFHSA-N 0 0 445.432 -0.252 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3=NNC(=O)CC3)CC2)cc1 ZINC000748360060 1072713930 /nfs/dbraw/zinc/71/39/30/1072713930.db2.gz LBVBMCBONMOCEW-UHFFFAOYSA-N 0 0 438.462 -0.663 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3=NNC(=O)CC3)CC2)cc1C ZINC000748360158 1072713910 /nfs/dbraw/zinc/71/39/10/1072713910.db2.gz IPXBYSSCLMSDRR-UHFFFAOYSA-N 0 0 436.490 -0.054 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC000748360228 1072714550 /nfs/dbraw/zinc/71/45/50/1072714550.db2.gz FMSIVZHXHWPYET-CYBMUJFWSA-N 0 0 430.527 -0.132 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC000748360230 1072714334 /nfs/dbraw/zinc/71/43/34/1072714334.db2.gz FMSIVZHXHWPYET-ZDUSSCGKSA-N 0 0 430.527 -0.132 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000748360403 1072714326 /nfs/dbraw/zinc/71/43/26/1072714326.db2.gz LNMGEBSWSXCYQT-UHFFFAOYSA-N 0 0 444.416 -0.393 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000748360552 1072714527 /nfs/dbraw/zinc/71/45/27/1072714527.db2.gz RRQJYDCMSNRASO-UHFFFAOYSA-N 0 0 426.426 -0.532 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000748360869 1072714438 /nfs/dbraw/zinc/71/44/38/1072714438.db2.gz VUEJPLPDRSABCA-UHFFFAOYSA-N 0 0 426.426 -0.532 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000748360911 1072713848 /nfs/dbraw/zinc/71/38/48/1072713848.db2.gz YDYIRERDPHMUCS-UHFFFAOYSA-N 0 0 438.462 -0.867 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000748361009 1072713867 /nfs/dbraw/zinc/71/38/67/1072713867.db2.gz ZJLIMTNZXXBEND-JYRVWZFOSA-N 0 0 434.474 -0.060 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000748361010 1072713980 /nfs/dbraw/zinc/71/39/80/1072713980.db2.gz ZJLIMTNZXXBEND-MDWZMJQESA-N 0 0 434.474 -0.060 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC000748365068 1072714347 /nfs/dbraw/zinc/71/43/47/1072714347.db2.gz PYHXSGJEEDRHAA-UHFFFAOYSA-N 0 0 443.522 -0.176 20 0 IBADRN NC(=O)NC1CCN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCC(NC(N)=O)CC2)CC1 ZINC000748365926 1072714478 /nfs/dbraw/zinc/71/44/78/1072714478.db2.gz FHMSCBFVJYZTSS-UHFFFAOYSA-N 0 0 440.398 -0.424 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)c2cccc(CN3C(=O)CSC3=O)c2)CC1 ZINC000748370372 1072714537 /nfs/dbraw/zinc/71/45/37/1072714537.db2.gz IUUAYDKRUHTUNZ-UHFFFAOYSA-N 0 0 426.520 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)n(C)c1 ZINC000748371813 1072714427 /nfs/dbraw/zinc/71/44/27/1072714427.db2.gz PGAHUDNXBQXHAQ-UHFFFAOYSA-N 0 0 435.572 -0.898 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C[C@@H]1CCCO1 ZINC000748372249 1072714414 /nfs/dbraw/zinc/71/44/14/1072714414.db2.gz QSIDFRRGKGPKTI-HNNXBMFYSA-N 0 0 434.559 -0.165 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)N1CCN(CCS(N)(=O)=O)CC1)C[C@H]1CCCO1 ZINC000748372251 1072714448 /nfs/dbraw/zinc/71/44/48/1072714448.db2.gz QSIDFRRGKGPKTI-OAHLLOKOSA-N 0 0 434.559 -0.165 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CCNS(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000748373677 1072714367 /nfs/dbraw/zinc/71/43/67/1072714367.db2.gz ZMNCAJXHTNYWHS-AUWJEWJLSA-N 0 0 430.552 -0.601 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CCNS(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000748373680 1072714385 /nfs/dbraw/zinc/71/43/85/1072714385.db2.gz ZMNCAJXHTNYWHS-VGOFMYFVSA-N 0 0 430.552 -0.601 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CS(C)(=O)=O)C2)CC1 ZINC000748374076 1072714378 /nfs/dbraw/zinc/71/43/78/1072714378.db2.gz CVPDOPAFPPURHN-UHFFFAOYSA-N 0 0 425.529 -0.876 20 0 IBADRN CN1CC[C@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC000748374796 1072714561 /nfs/dbraw/zinc/71/45/61/1072714561.db2.gz GQJCSYQBPYXYLC-AWEZNQCLSA-N 0 0 444.554 -0.052 20 0 IBADRN CN1CC[C@@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC000748374800 1072714868 /nfs/dbraw/zinc/71/48/68/1072714868.db2.gz GQJCSYQBPYXYLC-CQSZACIVSA-N 0 0 444.554 -0.052 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CN3CCCC3=O)C2)CC1 ZINC000748374812 1072714902 /nfs/dbraw/zinc/71/49/02/1072714902.db2.gz GVKQCMNUWQVPBG-UHFFFAOYSA-N 0 0 430.527 -0.298 20 0 IBADRN Cn1cc(C(=O)C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)cn1 ZINC000748374823 1072714979 /nfs/dbraw/zinc/71/49/79/1072714979.db2.gz GZPMBTAVYJGVJK-UHFFFAOYSA-N 0 0 441.510 -0.304 20 0 IBADRN CCOC(=O)NCC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748374831 1072714919 /nfs/dbraw/zinc/71/49/19/1072714919.db2.gz HJARNCVGDZQGAD-UHFFFAOYSA-N 0 0 434.515 -0.174 20 0 IBADRN CN1C[C@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC000748375254 1072714893 /nfs/dbraw/zinc/71/48/93/1072714893.db2.gz HNVGQLKPZJXKNK-CYBMUJFWSA-N 0 0 430.527 -0.442 20 0 IBADRN CN1C[C@@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC000748375260 1072714879 /nfs/dbraw/zinc/71/48/79/1072714879.db2.gz HNVGQLKPZJXKNK-ZDUSSCGKSA-N 0 0 430.527 -0.442 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748375418 1072714928 /nfs/dbraw/zinc/71/49/28/1072714928.db2.gz JMKOLQJFWCRNRP-CYBMUJFWSA-N 0 0 432.543 -0.006 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748375420 1072714958 /nfs/dbraw/zinc/71/49/58/1072714958.db2.gz JMKOLQJFWCRNRP-ZDUSSCGKSA-N 0 0 432.543 -0.006 20 0 IBADRN CNC(=O)C1(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1 ZINC000748375421 1072714871 /nfs/dbraw/zinc/71/48/71/1072714871.db2.gz JNMNPCPNRGTZGZ-UHFFFAOYSA-N 0 0 430.527 -0.394 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CCS(C)(=O)=O)C2)CC1 ZINC000748375431 1072714998 /nfs/dbraw/zinc/71/49/98/1072714998.db2.gz JQDSPOHKMIEXKV-UHFFFAOYSA-N 0 0 439.556 -0.486 20 0 IBADRN Cc1c(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)nnn1C ZINC000748375456 1072714949 /nfs/dbraw/zinc/71/49/49/1072714949.db2.gz JXPDQPGJRFVILP-UHFFFAOYSA-N 0 0 428.515 -0.170 20 0 IBADRN CN1CCN(CC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1 ZINC000748375459 1072714984 /nfs/dbraw/zinc/71/49/84/1072714984.db2.gz KAHLMKONDXVRTE-UHFFFAOYSA-N 0 0 445.586 -0.673 20 0 IBADRN CCS(=O)(=O)CC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748376100 1072715014 /nfs/dbraw/zinc/71/50/14/1072715014.db2.gz LMLULJRJSLKDIG-UHFFFAOYSA-N 0 0 439.556 -0.486 20 0 IBADRN CCN1C[C@@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC000748376819 1072715022 /nfs/dbraw/zinc/71/50/22/1072715022.db2.gz OVMBOJOKCKHRSU-AWEZNQCLSA-N 0 0 444.554 -0.052 20 0 IBADRN CCN1C[C@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC000748376821 1072715006 /nfs/dbraw/zinc/71/50/06/1072715006.db2.gz OVMBOJOKCKHRSU-CQSZACIVSA-N 0 0 444.554 -0.052 20 0 IBADRN COC(=O)N(C)CC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748376829 1072714938 /nfs/dbraw/zinc/71/49/38/1072714938.db2.gz PBJCPHSHTDKCRI-UHFFFAOYSA-N 0 0 434.515 -0.222 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CCN3CCOCC3)C2)CC1 ZINC000748376863 1072714966 /nfs/dbraw/zinc/71/49/66/1072714966.db2.gz QIVNFPJPTXEXBH-UHFFFAOYSA-N 0 0 446.570 -0.198 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3c[nH]c(C(N)=O)c3)C2)CC1 ZINC000748377039 1072714953 /nfs/dbraw/zinc/71/49/53/1072714953.db2.gz RZFICAQEBSJXOP-UHFFFAOYSA-N 0 0 441.510 -0.180 20 0 IBADRN CN(CC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1)C(=O)C1CC1 ZINC000748377043 1072714885 /nfs/dbraw/zinc/71/48/85/1072714885.db2.gz SEBDCNFWPNKHMF-UHFFFAOYSA-N 0 0 444.554 -0.052 20 0 IBADRN CNC(=O)C1(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CCC1 ZINC000748377066 1072714910 /nfs/dbraw/zinc/71/49/10/1072714910.db2.gz TUCVMDRCDUSZET-UHFFFAOYSA-N 0 0 444.554 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CNC(=O)C3CC3)C2)CC1 ZINC000748377367 1072715383 /nfs/dbraw/zinc/71/53/83/1072715383.db2.gz VWKFBJUNCWQVLY-UHFFFAOYSA-N 0 0 430.527 -0.394 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@H]3CCC(=O)NC3)C2)CC1 ZINC000748378048 1072715396 /nfs/dbraw/zinc/71/53/96/1072715396.db2.gz ZLOFCHBQIKOQJB-CYBMUJFWSA-N 0 0 430.527 -0.394 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CCC(=O)NC3)C2)CC1 ZINC000748378049 1072715334 /nfs/dbraw/zinc/71/53/34/1072715334.db2.gz ZLOFCHBQIKOQJB-ZDUSSCGKSA-N 0 0 430.527 -0.394 20 0 IBADRN CNC(=O)N1CCN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCN(C(=O)NC)CC2)CC1 ZINC000748397983 1072715318 /nfs/dbraw/zinc/71/53/18/1072715318.db2.gz FBXPGOGHXNKFSM-UHFFFAOYSA-N 0 0 440.398 -0.776 20 0 IBADRN Cc1c(C(=O)OCC(=O)N[C@H](C)C(=O)N2CCCCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748414964 1072715390 /nfs/dbraw/zinc/71/53/90/1072715390.db2.gz CNUIKRBUCYHNQQ-CYBMUJFWSA-N 0 0 445.476 -0.385 20 0 IBADRN Cc1c(C(=O)OCC(=O)N[C@@H](C)C(=O)N2CCCCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748414965 1072715327 /nfs/dbraw/zinc/71/53/27/1072715327.db2.gz CNUIKRBUCYHNQQ-ZDUSSCGKSA-N 0 0 445.476 -0.385 20 0 IBADRN Cc1c(C(=O)OCC(=O)c2ccc(S(N)(=O)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748415305 1072715355 /nfs/dbraw/zinc/71/53/55/1072715355.db2.gz JYTXWCUAXPJDBU-UHFFFAOYSA-N 0 0 446.441 -0.372 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000748415872 1072715455 /nfs/dbraw/zinc/71/54/55/1072715455.db2.gz CQHWSLDLIMPVSG-UHFFFAOYSA-N 0 0 433.465 -0.529 20 0 IBADRN Cc1c(C(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748415967 1072715370 /nfs/dbraw/zinc/71/53/70/1072715370.db2.gz FQWJWRIKQKDWOI-LLVKDONJSA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1c(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748415970 1072715414 /nfs/dbraw/zinc/71/54/14/1072715414.db2.gz FQWJWRIKQKDWOI-NSHDSACASA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1c(C(=O)OCC(=O)NCCCN2CCCC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748416181 1072715423 /nfs/dbraw/zinc/71/54/23/1072715423.db2.gz QEJVZHWNISRGJJ-UHFFFAOYSA-N 0 0 431.449 -0.774 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000748416947 1072715376 /nfs/dbraw/zinc/71/53/76/1072715376.db2.gz IJLMUOULDAHPRK-UHFFFAOYSA-N 0 0 432.433 -0.491 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)NC(=O)N[C@H]1C ZINC000748416995 1072715350 /nfs/dbraw/zinc/71/53/50/1072715350.db2.gz KHQIAZUSUDHRTB-JTQLQIEISA-N 0 0 445.432 -0.384 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)NC(=O)N[C@@H]1C ZINC000748417006 1072715450 /nfs/dbraw/zinc/71/54/50/1072715450.db2.gz KHQIAZUSUDHRTB-SNVBAGLBSA-N 0 0 445.432 -0.384 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000748418088 1072715291 /nfs/dbraw/zinc/71/52/91/1072715291.db2.gz NUNPHFIVBBOQGC-CYBMUJFWSA-N 0 0 446.460 -0.101 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000748418090 1072715838 /nfs/dbraw/zinc/71/58/38/1072715838.db2.gz NUNPHFIVBBOQGC-ZDUSSCGKSA-N 0 0 446.460 -0.101 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000748418098 1072715811 /nfs/dbraw/zinc/71/58/11/1072715811.db2.gz OFKJUVNHLNVKIG-GFCCVEGCSA-N 0 0 446.460 -0.102 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000748418102 1072715895 /nfs/dbraw/zinc/71/58/95/1072715895.db2.gz OFKJUVNHLNVKIG-LBPRGKRZSA-N 0 0 446.460 -0.102 20 0 IBADRN Cc1c(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748418104 1072715772 /nfs/dbraw/zinc/71/57/72/1072715772.db2.gz XUYWCZXBJUDZSI-UHFFFAOYSA-N 0 0 431.327 -0.514 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000748418863 1072715977 /nfs/dbraw/zinc/71/59/77/1072715977.db2.gz RJGLXIRXDRQFNS-CYBMUJFWSA-N 0 0 431.449 -0.775 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)C1 ZINC000748418920 1072715800 /nfs/dbraw/zinc/71/58/00/1072715800.db2.gz RJGLXIRXDRQFNS-ZDUSSCGKSA-N 0 0 431.449 -0.775 20 0 IBADRN Cc1c(C(=O)OCC(=O)NC(=O)NCc2ccco2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748418924 1072715791 /nfs/dbraw/zinc/71/57/91/1072715791.db2.gz RJGSORKEYQYOMV-UHFFFAOYSA-N 0 0 429.389 -0.284 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000748419438 1072715833 /nfs/dbraw/zinc/71/58/33/1072715833.db2.gz UPOHKFBBRDPEHU-CYBMUJFWSA-N 0 0 426.433 -0.055 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)c3cnc4c(c3C)c(=O)n(C)c(=O)n4C)C2=O)n(C)n1 ZINC000748419442 1072715783 /nfs/dbraw/zinc/71/57/83/1072715783.db2.gz UPOHKFBBRDPEHU-ZDUSSCGKSA-N 0 0 426.433 -0.055 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000748419638 1072715842 /nfs/dbraw/zinc/71/58/42/1072715842.db2.gz YNGXQOKBNVUQQD-UHFFFAOYSA-N 0 0 446.460 -0.101 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748420456 1072715961 /nfs/dbraw/zinc/71/59/61/1072715961.db2.gz PWDSULLKTUHRIZ-UHFFFAOYSA-N 0 0 443.460 -0.822 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)Nc2ccc(CN3CCOCC3)cc2)c1 ZINC000748420921 1072715819 /nfs/dbraw/zinc/71/58/19/1072715819.db2.gz CFSJNSPZAPDRQN-UHFFFAOYSA-N 0 0 437.478 -0.455 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NCC2(N3CCOCC3)CCCCC2)c1 ZINC000748421426 1072715864 /nfs/dbraw/zinc/71/58/64/1072715864.db2.gz GHMNYMWBAFFIKS-UHFFFAOYSA-N 0 0 443.526 -0.775 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)N2CCN(Cc3ccsc3)CC2)c1 ZINC000748421503 1072715907 /nfs/dbraw/zinc/71/59/07/1072715907.db2.gz HAMLMIXMTTZPPX-UHFFFAOYSA-N 0 0 427.508 -0.520 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)N2CCO[C@H](c3ccc(F)cc3)C2)c1 ZINC000748422308 1072715886 /nfs/dbraw/zinc/71/58/86/1072715886.db2.gz MUBHNADZPJXDBU-HNNXBMFYSA-N 0 0 426.426 -0.187 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)N2CCO[C@@H](c3ccc(F)cc3)C2)c1 ZINC000748422312 1072715937 /nfs/dbraw/zinc/71/59/37/1072715937.db2.gz MUBHNADZPJXDBU-OAHLLOKOSA-N 0 0 426.426 -0.187 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000748422412 1072716356 /nfs/dbraw/zinc/71/63/56/1072716356.db2.gz OYKFGXRGBFGPTF-CYBMUJFWSA-N 0 0 437.478 -0.063 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000748422413 1072716347 /nfs/dbraw/zinc/71/63/47/1072716347.db2.gz OYKFGXRGBFGPTF-ZDUSSCGKSA-N 0 0 437.478 -0.063 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)c1 ZINC000748422439 1072716325 /nfs/dbraw/zinc/71/63/25/1072716325.db2.gz PVFSDNOAVFGFSS-UHFFFAOYSA-N 0 0 435.462 -0.718 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)N2CCN(c3ccc(F)cc3)CC2)c1 ZINC000748422683 1072716393 /nfs/dbraw/zinc/71/63/93/1072716393.db2.gz RNWUPWRAGXBZGG-UHFFFAOYSA-N 0 0 425.442 -0.438 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(COC(=O)Cn3cc(S(N)(=O)=O)cn3)n12 ZINC000748422759 1072716336 /nfs/dbraw/zinc/71/63/36/1072716336.db2.gz SMMMSDUVCJYQTH-UHFFFAOYSA-N 0 0 431.434 -0.523 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NCC2(c3ccccc3)CCOCC2)c1 ZINC000748422794 1072716396 /nfs/dbraw/zinc/71/63/96/1072716396.db2.gz SVQVIIPQGKYMOB-UHFFFAOYSA-N 0 0 436.490 -0.062 20 0 IBADRN C[C@@H](c1ccccc1)N1CCN(C(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000748423139 1072715850 /nfs/dbraw/zinc/71/58/50/1072715850.db2.gz XNGIEDSVTGJNLN-HNNXBMFYSA-N 0 0 435.506 -0.021 20 0 IBADRN C[C@H](c1ccccc1)N1CCN(C(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000748423143 1072715923 /nfs/dbraw/zinc/71/59/23/1072715923.db2.gz XNGIEDSVTGJNLN-OAHLLOKOSA-N 0 0 435.506 -0.021 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCc2nnnn2-c2ccc(OC(F)F)cc2)c1 ZINC000748423165 1072716372 /nfs/dbraw/zinc/71/63/72/1072716372.db2.gz YHFNFUMWDAMSPV-UHFFFAOYSA-N 0 0 429.365 -0.149 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000748423307 1072716365 /nfs/dbraw/zinc/71/63/65/1072716365.db2.gz YVGLVKWDVLTINK-UHFFFAOYSA-N 0 0 435.506 -0.273 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)c1 ZINC000748423322 1072716344 /nfs/dbraw/zinc/71/63/44/1072716344.db2.gz ZSJWRQZDSDDLQW-UHFFFAOYSA-N 0 0 435.462 -0.483 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)N2CCC(C(=O)Nc3ccccc3)CC2)c1 ZINC000748425666 1072716388 /nfs/dbraw/zinc/71/63/88/1072716388.db2.gz DMJLRYDVJAVFGH-UHFFFAOYSA-N 0 0 449.489 -0.049 20 0 IBADRN CCn1c2nnc(COC(=O)Cn3cc(S(N)(=O)=O)cn3)n2c2ccccc2c1=O ZINC000748425733 1072716352 /nfs/dbraw/zinc/71/63/52/1072716352.db2.gz FFHHEXGHBOFLPW-UHFFFAOYSA-N 0 0 431.434 -0.349 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](OC(=O)Cn2cc(S(N)(=O)=O)cn2)C(C)C)CC1 ZINC000748425835 1072716359 /nfs/dbraw/zinc/71/63/59/1072716359.db2.gz GJTGZEODALQDFA-HNNXBMFYSA-N 0 0 430.483 -0.490 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](OC(=O)Cn2cc(S(N)(=O)=O)cn2)C(C)C)CC1 ZINC000748425836 1072716330 /nfs/dbraw/zinc/71/63/30/1072716330.db2.gz GJTGZEODALQDFA-OAHLLOKOSA-N 0 0 430.483 -0.490 20 0 IBADRN NC(=O)CCN(C(=O)COC(=O)Cn1cc(S(N)(=O)=O)cn1)c1ccc(F)cc1 ZINC000748426067 1072716400 /nfs/dbraw/zinc/71/64/00/1072716400.db2.gz HOCSAFZWTDVGSS-UHFFFAOYSA-N 0 0 427.414 -0.879 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000748426240 1072716375 /nfs/dbraw/zinc/71/63/75/1072716375.db2.gz JMNYANFUBYBLDA-JTQLQIEISA-N 0 0 430.464 -0.496 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000748426242 1072716312 /nfs/dbraw/zinc/71/63/12/1072716312.db2.gz JMNYANFUBYBLDA-SNVBAGLBSA-N 0 0 430.464 -0.496 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000748426532 1072716642 /nfs/dbraw/zinc/71/66/42/1072716642.db2.gz AMFUCSZLBSPGJG-UHFFFAOYSA-N 0 0 447.448 -0.602 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748426563 1072716828 /nfs/dbraw/zinc/71/68/28/1072716828.db2.gz BLWOVHRKAHSEBM-UHFFFAOYSA-N 0 0 432.433 -0.537 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000748426595 1072716757 /nfs/dbraw/zinc/71/67/57/1072716757.db2.gz JXFKISJJNPACSO-GFCCVEGCSA-N 0 0 439.450 -0.960 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000748426607 1072716766 /nfs/dbraw/zinc/71/67/66/1072716766.db2.gz JXFKISJJNPACSO-LBPRGKRZSA-N 0 0 439.450 -0.960 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000748426680 1072716735 /nfs/dbraw/zinc/71/67/35/1072716735.db2.gz KKKNJQGJFJFRKM-CYBMUJFWSA-N 0 0 439.469 -0.050 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000748426684 1072716673 /nfs/dbraw/zinc/71/66/73/1072716673.db2.gz KKKNJQGJFJFRKM-ZDUSSCGKSA-N 0 0 439.469 -0.050 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NCc2ccccc2Cn2cccn2)c1 ZINC000748426928 1072716703 /nfs/dbraw/zinc/71/67/03/1072716703.db2.gz DHUYOIPDOOKQDX-UHFFFAOYSA-N 0 0 432.462 -0.365 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NC(=O)NC23CC4CC(CC(C4)C2)C3)c1 ZINC000748426953 1072716723 /nfs/dbraw/zinc/71/67/23/1072716723.db2.gz FNUCMEUMEQFHJG-UHFFFAOYSA-N 0 0 439.494 -0.132 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1OC ZINC000748426977 1072716776 /nfs/dbraw/zinc/71/67/76/1072716776.db2.gz FZNVOXXILPRWKL-UHFFFAOYSA-N 0 0 426.451 -0.251 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)c1 ZINC000748427024 1072716810 /nfs/dbraw/zinc/71/68/10/1072716810.db2.gz GXDPEWLTJXVOHO-UHFFFAOYSA-N 0 0 431.377 -0.893 20 0 IBADRN COc1ccc(CNC(=O)[C@@H](C)OC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1OC ZINC000748427048 1072716685 /nfs/dbraw/zinc/71/66/85/1072716685.db2.gz HKGWYKMZJZZWBX-LLVKDONJSA-N 0 0 426.451 -0.204 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)OC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1OC ZINC000748427050 1072716785 /nfs/dbraw/zinc/71/67/85/1072716785.db2.gz HKGWYKMZJZZWBX-NSHDSACASA-N 0 0 426.451 -0.204 20 0 IBADRN Cc1c(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748427249 1072716716 /nfs/dbraw/zinc/71/67/16/1072716716.db2.gz JAPYFMXSSKXAFR-NEPJUHHUSA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1c(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748427252 1072716659 /nfs/dbraw/zinc/71/66/59/1072716659.db2.gz JAPYFMXSSKXAFR-NWDGAFQWSA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1c(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748427255 1072716805 /nfs/dbraw/zinc/71/68/05/1072716805.db2.gz JAPYFMXSSKXAFR-RYUDHWBXSA-N 0 0 431.449 -0.790 20 0 IBADRN Cc1c(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748427259 1072716792 /nfs/dbraw/zinc/71/67/92/1072716792.db2.gz JAPYFMXSSKXAFR-VXGBXAGGSA-N 0 0 431.449 -0.790 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NC(=O)c2ccc(OC(F)F)cc2)c1 ZINC000748427327 1072717391 /nfs/dbraw/zinc/71/73/91/1072717391.db2.gz NWZQQQMPDSRDKE-UHFFFAOYSA-N 0 0 432.361 -0.368 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)N2CCN(c3ccccc3F)CC2)c1 ZINC000748427525 1072717246 /nfs/dbraw/zinc/71/72/46/1072717246.db2.gz HVOPTEUBXKWZTL-UHFFFAOYSA-N 0 0 425.442 -0.438 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)COC(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)c1 ZINC000748427581 1072717350 /nfs/dbraw/zinc/71/73/50/1072717350.db2.gz JJYLEGRBBOVKMG-UHFFFAOYSA-N 0 0 435.506 -0.273 20 0 IBADRN Cc1c(C(=O)O[C@H](C)C(=O)NC(=O)NCC(F)(F)F)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748427797 1072717189 /nfs/dbraw/zinc/71/71/89/1072717189.db2.gz LTYZTCNKFYBUPI-MRVPVSSYSA-N 0 0 445.354 -0.126 20 0 IBADRN Cc1c(C(=O)O[C@@H](C)C(=O)NC(=O)NCC(F)(F)F)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748427799 1072717134 /nfs/dbraw/zinc/71/71/34/1072717134.db2.gz LTYZTCNKFYBUPI-QMMMGPOBSA-N 0 0 445.354 -0.126 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)Nc2cc(Cl)ccc2-n2cncn2)c1 ZINC000748427843 1072717343 /nfs/dbraw/zinc/71/73/43/1072717343.db2.gz PJZMFSUPXRWXSH-UHFFFAOYSA-N 0 0 439.841 -0.054 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000748427844 1072717319 /nfs/dbraw/zinc/71/73/19/1072717319.db2.gz PLJKZEVLPVFKJZ-CYBMUJFWSA-N 0 0 437.478 -0.483 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000748427846 1072717146 /nfs/dbraw/zinc/71/71/46/1072717146.db2.gz PLJKZEVLPVFKJZ-ZDUSSCGKSA-N 0 0 437.478 -0.483 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000748427968 1072716747 /nfs/dbraw/zinc/71/67/47/1072716747.db2.gz OCNSXDNBRLBSLK-UHFFFAOYSA-N 0 0 428.467 -0.947 20 0 IBADRN Cc1c(Cl)cccc1NC(=O)CNC(=O)COC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000748428012 1072716820 /nfs/dbraw/zinc/71/68/20/1072716820.db2.gz RKUHILQJQBLVKF-UHFFFAOYSA-N 0 0 443.869 -0.210 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000748428028 1072717376 /nfs/dbraw/zinc/71/73/76/1072717376.db2.gz RLWDULCZSTUZDG-GFCCVEGCSA-N 0 0 438.462 -0.408 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000748428031 1072717411 /nfs/dbraw/zinc/71/74/11/1072717411.db2.gz RLWDULCZSTUZDG-LBPRGKRZSA-N 0 0 438.462 -0.408 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)c1 ZINC000748428326 1072717731 /nfs/dbraw/zinc/71/77/31/1072717731.db2.gz LJUBLPOTVSZHOR-UHFFFAOYSA-N 0 0 439.450 -0.821 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1)S(C)(=O)=O ZINC000748428459 1072717886 /nfs/dbraw/zinc/71/78/86/1072717886.db2.gz OEJWDSKVGFVHGB-UHFFFAOYSA-N 0 0 430.464 -0.648 20 0 IBADRN CN(CC(=O)Nc1ccccc1Cl)C(=O)COC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000748428751 1072717760 /nfs/dbraw/zinc/71/77/60/1072717760.db2.gz UATPHAPWSLRICX-UHFFFAOYSA-N 0 0 443.869 -0.176 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000748428818 1072717297 /nfs/dbraw/zinc/71/72/97/1072717297.db2.gz UTZCNHGNICDELB-JTQLQIEISA-N 0 0 430.464 -0.283 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000748428820 1072717237 /nfs/dbraw/zinc/71/72/37/1072717237.db2.gz UTZCNHGNICDELB-SNVBAGLBSA-N 0 0 430.464 -0.283 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)COC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000748428897 1072717195 /nfs/dbraw/zinc/71/71/95/1072717195.db2.gz SPVGRSFRLFPWFU-CYBMUJFWSA-N 0 0 434.449 -0.199 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000748428900 1072717156 /nfs/dbraw/zinc/71/71/56/1072717156.db2.gz SPVGRSFRLFPWFU-ZDUSSCGKSA-N 0 0 434.449 -0.199 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NCCc2cnn(-c3ccccc3)c2)c1 ZINC000748429249 1072717383 /nfs/dbraw/zinc/71/73/83/1072717383.db2.gz QICWPTKSHMFMFI-UHFFFAOYSA-N 0 0 432.462 -0.382 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)N2CCN(Cc3cccs3)CC2)c1 ZINC000748429250 1072717168 /nfs/dbraw/zinc/71/71/68/1072717168.db2.gz QIEPCAHJUILJDL-UHFFFAOYSA-N 0 0 427.508 -0.520 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)NC(=O)CCSc2ccccc2)c1 ZINC000748429314 1072718369 /nfs/dbraw/zinc/71/83/69/1072718369.db2.gz SXYZGFBQUJDMQJ-UHFFFAOYSA-N 0 0 426.476 -0.101 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)[C@@H](C)OC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000748429330 1072718351 /nfs/dbraw/zinc/71/83/51/1072718351.db2.gz RSZSLWUZTUKNKG-CYBMUJFWSA-N 0 0 437.478 -0.166 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)[C@H](C)OC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000748429335 1072718125 /nfs/dbraw/zinc/71/81/25/1072718125.db2.gz RSZSLWUZTUKNKG-ZDUSSCGKSA-N 0 0 437.478 -0.166 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1 ZINC000748429363 1072715949 /nfs/dbraw/zinc/71/59/49/1072715949.db2.gz RXIVNCINUWADOS-UHFFFAOYSA-N 0 0 432.480 -0.597 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N(C)C[C@@H]1COc2ccccc2O1 ZINC000748429377 1072716341 /nfs/dbraw/zinc/71/63/41/1072716341.db2.gz XJMJXTJDUXBOBP-CHWSQXEVSA-N 0 0 438.462 -0.239 20 0 IBADRN C[C@@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N(C)C[C@H]1COc2ccccc2O1 ZINC000748429399 1072716377 /nfs/dbraw/zinc/71/63/77/1072716377.db2.gz XJMJXTJDUXBOBP-OLZOCXBDSA-N 0 0 438.462 -0.239 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N(C)C[C@@H]1COc2ccccc2O1 ZINC000748429400 1072716694 /nfs/dbraw/zinc/71/66/94/1072716694.db2.gz XJMJXTJDUXBOBP-QWHCGFSZSA-N 0 0 438.462 -0.239 20 0 IBADRN C[C@H](OC(=O)Cn1cc(S(N)(=O)=O)cn1)C(=O)N(C)C[C@H]1COc2ccccc2O1 ZINC000748429403 1072716708 /nfs/dbraw/zinc/71/67/08/1072716708.db2.gz XJMJXTJDUXBOBP-STQMWFEESA-N 0 0 438.462 -0.239 20 0 IBADRN Cc1cc(C)c(NC(=O)CNC(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)c(C)c1 ZINC000748429501 1072718176 /nfs/dbraw/zinc/71/81/76/1072718176.db2.gz YFLGVLILIFIBKQ-UHFFFAOYSA-N 0 0 437.478 -0.246 20 0 IBADRN Cc1c(C(=O)OCCOc2ccc(S(N)(=O)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748430136 1072717862 /nfs/dbraw/zinc/71/78/62/1072717862.db2.gz ZRYHECGYNQYRTA-UHFFFAOYSA-N 0 0 448.457 -0.176 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)COC(=O)Cn1cc(S(N)(=O)=O)cn1)CC2 ZINC000748430180 1072717847 /nfs/dbraw/zinc/71/78/47/1072717847.db2.gz UGTDWUGDZOQGMN-UHFFFAOYSA-N 0 0 438.462 -0.324 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)c1 ZINC000748430220 1072717911 /nfs/dbraw/zinc/71/79/11/1072717911.db2.gz VDJLQIFQBOWHCW-UHFFFAOYSA-N 0 0 441.491 -0.880 20 0 IBADRN COc1cc(NC(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)cc(OC)c1OC ZINC000748430357 1072718360 /nfs/dbraw/zinc/71/83/60/1072718360.db2.gz YJIAJFXNVIDWHH-UHFFFAOYSA-N 0 0 428.423 -0.262 20 0 IBADRN COc1ccc(OC)c([C@@H](C)NC(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)c1 ZINC000748430812 1072717897 /nfs/dbraw/zinc/71/78/97/1072717897.db2.gz XIAMFKABWAULPL-LLVKDONJSA-N 0 0 426.451 -0.032 20 0 IBADRN COc1ccc(OC)c([C@H](C)NC(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)c1 ZINC000748430815 1072717750 /nfs/dbraw/zinc/71/77/50/1072717750.db2.gz XIAMFKABWAULPL-NSHDSACASA-N 0 0 426.451 -0.032 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C(=O)c2cnn(C)c2)CC1 ZINC000748430919 1072717722 /nfs/dbraw/zinc/71/77/22/1072717722.db2.gz PAEKLBNEURDOBY-UHFFFAOYSA-N 0 0 439.450 -0.716 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)cn1 ZINC000748432372 1072717891 /nfs/dbraw/zinc/71/78/91/1072717891.db2.gz FJXOIOLUKDSZBO-UHFFFAOYSA-N 0 0 438.437 -0.182 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)cn1 ZINC000748432578 1072717904 /nfs/dbraw/zinc/71/79/04/1072717904.db2.gz IAEINIAVYJPWSN-UHFFFAOYSA-N 0 0 438.437 -0.182 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)cn1 ZINC000748432691 1072717808 /nfs/dbraw/zinc/71/78/08/1072717808.db2.gz ITYZGWRBYYIGLP-UHFFFAOYSA-N 0 0 436.446 -0.194 20 0 IBADRN Cc1c(C(=O)O[C@H](C)C(=O)Nc2ncnc3[nH]cnc32)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748432698 1072717870 /nfs/dbraw/zinc/71/78/70/1072717870.db2.gz CTRKEIHKBRBISP-SECBINFHSA-N 0 0 438.404 -0.209 20 0 IBADRN Cc1c(C(=O)O[C@@H](C)C(=O)Nc2ncnc3[nH]cnc32)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748432706 1072717786 /nfs/dbraw/zinc/71/77/86/1072717786.db2.gz CTRKEIHKBRBISP-VIFPVBQESA-N 0 0 438.404 -0.209 20 0 IBADRN Cc1c(C(=O)OCC(=O)NC2CCC3(CC2)OCCO3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748433399 1072717834 /nfs/dbraw/zinc/71/78/34/1072717834.db2.gz FYOKSGFDDQJTEQ-UHFFFAOYSA-N 0 0 446.460 -0.101 20 0 IBADRN Cc1c(C(=O)OCCN2C(=O)NC3(CCCC3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748434225 1072717876 /nfs/dbraw/zinc/71/78/76/1072717876.db2.gz JRHZUCKUVIGIJP-UHFFFAOYSA-N 0 0 429.433 -0.038 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000748434274 1072717815 /nfs/dbraw/zinc/71/78/15/1072717815.db2.gz KTMCZABSJQQXHE-GFCCVEGCSA-N 0 0 431.449 -0.823 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC000748434278 1072717796 /nfs/dbraw/zinc/71/77/96/1072717796.db2.gz KTMCZABSJQQXHE-LBPRGKRZSA-N 0 0 431.449 -0.823 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)cn1 ZINC000748434581 1072718341 /nfs/dbraw/zinc/71/83/41/1072718341.db2.gz USUIBVAFWDYAMJ-UHFFFAOYSA-N 0 0 438.437 -0.182 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)C(=O)c3cnn(C)c3)C2=O)cc1 ZINC000748434611 1072718320 /nfs/dbraw/zinc/71/83/20/1072718320.db2.gz VGVOYHIEZLDQNL-IBGZPJMESA-N 0 0 429.389 -0.347 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)C(=O)c3cnn(C)c3)C2=O)cc1 ZINC000748434613 1072718201 /nfs/dbraw/zinc/71/82/01/1072718201.db2.gz VGVOYHIEZLDQNL-LJQANCHMSA-N 0 0 429.389 -0.347 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000748435185 1072718189 /nfs/dbraw/zinc/71/81/89/1072718189.db2.gz XIRCGNKNRBRKJT-UHFFFAOYSA-N 0 0 434.474 -0.180 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000748435216 1072717769 /nfs/dbraw/zinc/71/77/69/1072717769.db2.gz XPDFGPIBHGXWSU-UHFFFAOYSA-N 0 0 436.446 -0.194 20 0 IBADRN Cn1cc(C(=O)C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)cn1 ZINC000748435633 1072718276 /nfs/dbraw/zinc/71/82/76/1072718276.db2.gz JPRKERJMRKJFCH-UHFFFAOYSA-N 0 0 425.401 -0.480 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)COC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C)C(=O)OC ZINC000748435680 1072718160 /nfs/dbraw/zinc/71/81/60/1072718160.db2.gz QRNITLTUMSFKTN-BMIGLBTASA-N 0 0 434.449 -0.199 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C)C(=O)OC ZINC000748435682 1072718285 /nfs/dbraw/zinc/71/82/85/1072718285.db2.gz QRNITLTUMSFKTN-BONVTDFDSA-N 0 0 434.449 -0.199 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)COC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C)C(=O)OC ZINC000748435683 1072718296 /nfs/dbraw/zinc/71/82/96/1072718296.db2.gz QRNITLTUMSFKTN-MEBBXXQBSA-N 0 0 434.449 -0.199 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)COC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C)C(=O)OC ZINC000748435685 1072718263 /nfs/dbraw/zinc/71/82/63/1072718263.db2.gz QRNITLTUMSFKTN-ZUZCIYMTSA-N 0 0 434.449 -0.199 20 0 IBADRN Cc1c(C(=O)OCC(=O)Nc2nnc([C@H]3CCCO3)o2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748435776 1072718246 /nfs/dbraw/zinc/71/82/46/1072718246.db2.gz RNVUBZUOHGAWAY-LLVKDONJSA-N 0 0 444.404 -0.029 20 0 IBADRN Cc1c(C(=O)OCC(=O)Nc2nnc([C@@H]3CCCO3)o2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748435777 1072718106 /nfs/dbraw/zinc/71/81/06/1072718106.db2.gz RNVUBZUOHGAWAY-NSHDSACASA-N 0 0 444.404 -0.029 20 0 IBADRN Cc1c(C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748436372 1072718331 /nfs/dbraw/zinc/71/83/31/1072718331.db2.gz VASSRPYMCRNOLJ-LLVKDONJSA-N 0 0 431.449 -0.777 20 0 IBADRN Cc1c(C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748436381 1072718311 /nfs/dbraw/zinc/71/83/11/1072718311.db2.gz VASSRPYMCRNOLJ-NSHDSACASA-N 0 0 431.449 -0.777 20 0 IBADRN O=C(COC(=O)c1ccc(C(=O)OCC(=O)N2CCOCC2)s1)N1CCOCC1 ZINC000748448892 1072718220 /nfs/dbraw/zinc/71/82/20/1072718220.db2.gz WDLNHDUCIVRVCH-UHFFFAOYSA-N 0 0 426.447 -0.221 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000748457227 1072719185 /nfs/dbraw/zinc/71/91/85/1072719185.db2.gz KXJRMGCDCHLRRZ-GOSISDBHSA-N 0 0 439.534 -0.076 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC000748457228 1072719422 /nfs/dbraw/zinc/71/94/22/1072719422.db2.gz KXJRMGCDCHLRRZ-SFHVURJKSA-N 0 0 439.534 -0.076 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000748461056 1072719281 /nfs/dbraw/zinc/71/92/81/1072719281.db2.gz UWPSFXFKPLJRKG-KRWDZBQOSA-N 0 0 441.506 -0.090 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000748461057 1072719365 /nfs/dbraw/zinc/71/93/65/1072719365.db2.gz UWPSFXFKPLJRKG-QGZVFWFLSA-N 0 0 441.506 -0.090 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000748461578 1072719107 /nfs/dbraw/zinc/71/91/07/1072719107.db2.gz VXUKXXILHJMZON-KRWDZBQOSA-N 0 0 425.507 -0.217 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000748461579 1072719340 /nfs/dbraw/zinc/71/93/40/1072719340.db2.gz VXUKXXILHJMZON-QGZVFWFLSA-N 0 0 425.507 -0.217 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000748461642 1072719448 /nfs/dbraw/zinc/71/94/48/1072719448.db2.gz VJBCBOKIUBYVMS-KRWDZBQOSA-N 0 0 441.506 -0.090 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000748461644 1072719390 /nfs/dbraw/zinc/71/93/90/1072719390.db2.gz VJBCBOKIUBYVMS-QGZVFWFLSA-N 0 0 441.506 -0.090 20 0 IBADRN COc1ccccc1CN(C)C(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000748465000 1072718135 /nfs/dbraw/zinc/71/81/35/1072718135.db2.gz DOQJVOOHKLYPHS-UHFFFAOYSA-N 0 0 438.462 -0.398 20 0 IBADRN CN(Cc1ccccc1F)C(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000748465021 1072718703 /nfs/dbraw/zinc/71/87/03/1072718703.db2.gz DVPLDHBKWCPYAS-UHFFFAOYSA-N 0 0 426.426 -0.267 20 0 IBADRN COc1ccc(C(=O)COC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c(OC)c1 ZINC000748465390 1072718712 /nfs/dbraw/zinc/71/87/12/1072718712.db2.gz FNFHGJCOOFYXFL-UHFFFAOYSA-N 0 0 425.419 -0.165 20 0 IBADRN Cc1csc2nc(COC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)cc(=O)n12 ZINC000748465415 1072718669 /nfs/dbraw/zinc/71/86/69/1072718669.db2.gz GGNVOEFKJWCASM-UHFFFAOYSA-N 0 0 425.448 -0.487 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000748465471 1072718777 /nfs/dbraw/zinc/71/87/77/1072718777.db2.gz HFRQOCDVFWIFMU-UHFFFAOYSA-N 0 0 438.462 -0.398 20 0 IBADRN COc1ccccc1CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000748465514 1072718821 /nfs/dbraw/zinc/71/88/21/1072718821.db2.gz HQSHBTDJLOTNMN-LLVKDONJSA-N 0 0 438.462 -0.351 20 0 IBADRN COc1ccccc1CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000748465516 1072718828 /nfs/dbraw/zinc/71/88/28/1072718828.db2.gz HQSHBTDJLOTNMN-NSHDSACASA-N 0 0 438.462 -0.351 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCCCN2C(=O)c3ccccc3C2=O)c[nH]1 ZINC000748465980 1072718692 /nfs/dbraw/zinc/71/86/92/1072718692.db2.gz IYMJDRJCISAMPB-UHFFFAOYSA-N 0 0 434.430 -0.379 20 0 IBADRN COc1ccccc1[C@@H](C)NC(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000748466742 1072718806 /nfs/dbraw/zinc/71/88/06/1072718806.db2.gz PMOSBDQQWSHOAX-LLVKDONJSA-N 0 0 438.462 -0.179 20 0 IBADRN COc1ccccc1[C@H](C)NC(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000748466744 1072718792 /nfs/dbraw/zinc/71/87/92/1072718792.db2.gz PMOSBDQQWSHOAX-NSHDSACASA-N 0 0 438.462 -0.179 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)N1CCc2sccc2C1 ZINC000748466783 1072718786 /nfs/dbraw/zinc/71/87/86/1072718786.db2.gz QOZUYQLCFCLAOW-JTQLQIEISA-N 0 0 440.503 -0.030 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)N1CCc2sccc2C1 ZINC000748466788 1072718743 /nfs/dbraw/zinc/71/87/43/1072718743.db2.gz QOZUYQLCFCLAOW-SNVBAGLBSA-N 0 0 440.503 -0.030 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)c1 ZINC000748466792 1072718813 /nfs/dbraw/zinc/71/88/13/1072718813.db2.gz LVBOPQSVOFDBKE-INIZCTEOSA-N 0 0 439.490 -0.033 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)c1 ZINC000748466793 1072718802 /nfs/dbraw/zinc/71/88/02/1072718802.db2.gz LVBOPQSVOFDBKE-MRXNPFEDSA-N 0 0 439.490 -0.033 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCC(c3ccccc3)=N2)c[nH]1 ZINC000748467075 1072718768 /nfs/dbraw/zinc/71/87/68/1072718768.db2.gz CHANERTYTAQTFJ-UHFFFAOYSA-N 0 0 433.446 -0.428 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NCc2ccc(Cl)cc2)c[nH]1 ZINC000748467159 1072718724 /nfs/dbraw/zinc/71/87/24/1072718724.db2.gz RIJHRMCIWOMZAK-UHFFFAOYSA-N 0 0 428.854 -0.095 20 0 IBADRN Cc1ccc(CNC(=O)COC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1F ZINC000748467312 1072718754 /nfs/dbraw/zinc/71/87/54/1072718754.db2.gz UFFBQJKMADOWBO-UHFFFAOYSA-N 0 0 426.426 -0.301 20 0 IBADRN COc1ccc(C(=O)COC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1OC ZINC000748467680 1072719262 /nfs/dbraw/zinc/71/92/62/1072719262.db2.gz VQLIRUKTKCTUKR-UHFFFAOYSA-N 0 0 425.419 -0.165 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NCC2(c3ccccc3)CC2)c[nH]1 ZINC000748467714 1072719327 /nfs/dbraw/zinc/71/93/27/1072719327.db2.gz VVYOXEFAZIPJSF-UHFFFAOYSA-N 0 0 434.474 -0.217 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCc2cc(=O)n3cc(Cl)ccc3n2)c[nH]1 ZINC000748467846 1072719461 /nfs/dbraw/zinc/71/94/61/1072719461.db2.gz FQFFCPDHZTXWRP-UHFFFAOYSA-N 0 0 439.837 -0.203 20 0 IBADRN CN(Cc1ccc(F)cc1)C(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000748468061 1072719441 /nfs/dbraw/zinc/71/94/41/1072719441.db2.gz XSDNGCSEFUEGND-UHFFFAOYSA-N 0 0 426.426 -0.267 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NCc2cccc(Cl)c2)c[nH]1 ZINC000748468084 1072719414 /nfs/dbraw/zinc/71/94/14/1072719414.db2.gz YJCBMIMMFPAKPK-UHFFFAOYSA-N 0 0 428.854 -0.095 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cc(F)cc(N2CCOCC2)c1 ZINC000748469420 1072719319 /nfs/dbraw/zinc/71/93/19/1072719319.db2.gz HMRACSVSDIBBHU-UHFFFAOYSA-N 0 0 444.485 -0.621 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000748471122 1072719215 /nfs/dbraw/zinc/71/92/15/1072719215.db2.gz LAKPPWIHSZVSMU-UHFFFAOYSA-N 0 0 432.437 -0.739 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(COC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000748472693 1072719155 /nfs/dbraw/zinc/71/91/55/1072719155.db2.gz SITRILIRJTZSBX-UHFFFAOYSA-N 0 0 444.491 -0.614 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)Cc2nn(CCO)c(=O)c3ccccc23)CC1 ZINC000748488284 1072719234 /nfs/dbraw/zinc/71/92/34/1072719234.db2.gz SAEBDTSNHFGGFE-UHFFFAOYSA-N 0 0 446.460 -0.225 20 0 IBADRN O=C(COC(=O)Cc1nn(CCO)c(=O)c2ccccc12)NC(=O)NCC(F)(F)F ZINC000748488319 1072719167 /nfs/dbraw/zinc/71/91/67/1072719167.db2.gz SPGDNSFNAIGAAD-UHFFFAOYSA-N 0 0 430.339 -0.137 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc([C@@]2(C)NC(=O)NC2=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000748489129 1072719912 /nfs/dbraw/zinc/71/99/12/1072719912.db2.gz AFKWKSKWPFODKJ-AUUYWEPGSA-N 0 0 437.474 -0.066 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc([C@@]2(C)NC(=O)NC2=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000748489131 1072719812 /nfs/dbraw/zinc/71/98/12/1072719812.db2.gz AFKWKSKWPFODKJ-IFXJQAMLSA-N 0 0 437.474 -0.066 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc([C@]2(C)NC(=O)NC2=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000748489133 1072719896 /nfs/dbraw/zinc/71/98/96/1072719896.db2.gz AFKWKSKWPFODKJ-KUHUBIRLSA-N 0 0 437.474 -0.066 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc([C@]2(C)NC(=O)NC2=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000748489135 1072719731 /nfs/dbraw/zinc/71/97/31/1072719731.db2.gz AFKWKSKWPFODKJ-LIRRHRJNSA-N 0 0 437.474 -0.066 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc([C@]4(C)NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000748494800 1072719718 /nfs/dbraw/zinc/71/97/18/1072719718.db2.gz ZZTHZOBNGBBWIB-FQEVSTJZSA-N 0 0 440.416 -0.655 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc([C@@]4(C)NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000748494801 1072719903 /nfs/dbraw/zinc/71/99/03/1072719903.db2.gz ZZTHZOBNGBBWIB-HXUWFJFHSA-N 0 0 440.416 -0.655 20 0 IBADRN O=C(CN1C(=O)c2ccccc2CS1(=O)=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000748510401 1072719840 /nfs/dbraw/zinc/71/98/40/1072719840.db2.gz ZXKYGFQWEQTHCN-HNNXBMFYSA-N 0 0 441.531 -0.697 20 0 IBADRN O=C(CN1C(=O)c2ccccc2CS1(=O)=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000748510404 1072719869 /nfs/dbraw/zinc/71/98/69/1072719869.db2.gz ZXKYGFQWEQTHCN-OAHLLOKOSA-N 0 0 441.531 -0.697 20 0 IBADRN CN(CC(=O)Nc1ccc(C(=O)NCC(N)=O)cc1)C1=NS(=O)(=O)c2ccccc21 ZINC000748513763 1072719797 /nfs/dbraw/zinc/71/97/97/1072719797.db2.gz TUUJFHSZEMOYHY-UHFFFAOYSA-N 0 0 429.458 -0.079 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000748515856 1072719761 /nfs/dbraw/zinc/71/97/61/1072719761.db2.gz VQMSYILESJDZCF-UHFFFAOYSA-N 0 0 426.514 -0.375 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)COC1CN(C(=O)OC(C)(C)C)C1 ZINC000748518364 1072719832 /nfs/dbraw/zinc/71/98/32/1072719832.db2.gz AVQSVKNXSFEUAB-CYBMUJFWSA-N 0 0 433.527 -0.226 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)COC1CN(C(=O)OC(C)(C)C)C1 ZINC000748518367 1072719806 /nfs/dbraw/zinc/71/98/06/1072719806.db2.gz AVQSVKNXSFEUAB-ZDUSSCGKSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000748519546 1072719746 /nfs/dbraw/zinc/71/97/46/1072719746.db2.gz FATLKUJKMDDPTD-UHFFFAOYSA-N 0 0 448.542 -0.657 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000748524619 1072719859 /nfs/dbraw/zinc/71/98/59/1072719859.db2.gz NLTXAWZWKYVVEJ-UHFFFAOYSA-N 0 0 438.481 -0.558 20 0 IBADRN O=C(Cn1cc2ccccc2n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000748525486 1072719847 /nfs/dbraw/zinc/71/98/47/1072719847.db2.gz URKZNZBOXQNCPC-HNNXBMFYSA-N 0 0 426.520 -0.303 20 0 IBADRN O=C(Cn1cc2ccccc2n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000748525487 1072719928 /nfs/dbraw/zinc/71/99/28/1072719928.db2.gz URKZNZBOXQNCPC-OAHLLOKOSA-N 0 0 426.520 -0.303 20 0 IBADRN CCOC(Cn1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)nn1)OCC ZINC000748533967 1072720368 /nfs/dbraw/zinc/72/03/68/1072720368.db2.gz HAVDFLPAYVQPAY-UHFFFAOYSA-N 0 0 446.530 -0.988 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000748534136 1072719888 /nfs/dbraw/zinc/71/98/88/1072719888.db2.gz ASKSXAIVBXHQQT-CABCVRRESA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000748534137 1072719874 /nfs/dbraw/zinc/71/98/74/1072719874.db2.gz ASKSXAIVBXHQQT-GJZGRUSLSA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000748534138 1072720406 /nfs/dbraw/zinc/72/04/06/1072720406.db2.gz ASKSXAIVBXHQQT-HUUCEWRRSA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000748534140 1072720289 /nfs/dbraw/zinc/72/02/89/1072720289.db2.gz ASKSXAIVBXHQQT-LSDHHAIUSA-N 0 0 433.527 -0.764 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000748537452 1072720319 /nfs/dbraw/zinc/72/03/19/1072720319.db2.gz SJIHGWKFWVEDGL-AWEZNQCLSA-N 0 0 426.561 -0.537 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000748537456 1072720377 /nfs/dbraw/zinc/72/03/77/1072720377.db2.gz SJIHGWKFWVEDGL-CQSZACIVSA-N 0 0 426.561 -0.537 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000748540275 1072720330 /nfs/dbraw/zinc/72/03/30/1072720330.db2.gz GMEUHOQJHTYLRP-HNNXBMFYSA-N 0 0 426.514 -0.328 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000748540276 1072720305 /nfs/dbraw/zinc/72/03/05/1072720305.db2.gz GMEUHOQJHTYLRP-OAHLLOKOSA-N 0 0 426.514 -0.328 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000748547361 1072720747 /nfs/dbraw/zinc/72/07/47/1072720747.db2.gz BTXJKLQYHNKUQJ-UHFFFAOYSA-N 0 0 447.539 -0.997 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccccc2)cc1 ZINC000748547837 1072720295 /nfs/dbraw/zinc/72/02/95/1072720295.db2.gz KJNJXPOYKPJKGU-UHFFFAOYSA-N 0 0 440.503 -0.492 20 0 IBADRN CN(CC(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000748548526 1072720410 /nfs/dbraw/zinc/72/04/10/1072720410.db2.gz BJTPWOBJPWNJJL-IBGZPJMESA-N 0 0 433.490 -0.096 20 0 IBADRN CN(CC(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000748548527 1072720383 /nfs/dbraw/zinc/72/03/83/1072720383.db2.gz BJTPWOBJPWNJJL-LJQANCHMSA-N 0 0 433.490 -0.096 20 0 IBADRN CN(CC(=O)Nc1ccc(N2CCOCC2)cc1)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000748552036 1072720349 /nfs/dbraw/zinc/72/03/49/1072720349.db2.gz BCVXPOZLRPUOTB-UHFFFAOYSA-N 0 0 436.494 -0.536 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000748557087 1072720425 /nfs/dbraw/zinc/72/04/25/1072720425.db2.gz AWATWYGNHYXVAE-UHFFFAOYSA-N 0 0 425.511 -0.667 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000748557848 1072720339 /nfs/dbraw/zinc/72/03/39/1072720339.db2.gz CDAZPLHWEPYNML-HNNXBMFYSA-N 0 0 440.478 -0.667 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000748557849 1072720324 /nfs/dbraw/zinc/72/03/24/1072720324.db2.gz CDAZPLHWEPYNML-OAHLLOKOSA-N 0 0 440.478 -0.667 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCN(Cc3nc(-c4ccccc4)no3)CC2)c1 ZINC000748559371 1072720416 /nfs/dbraw/zinc/72/04/16/1072720416.db2.gz KVPTUWAOADKRJE-UHFFFAOYSA-N 0 0 431.478 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC000748564155 1072720355 /nfs/dbraw/zinc/72/03/55/1072720355.db2.gz OSWJUBBDNMCJCE-UHFFFAOYSA-N 0 0 430.531 -0.411 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000748565189 1072720772 /nfs/dbraw/zinc/72/07/72/1072720772.db2.gz WHSCPWZAMUEYDM-GDBMZVCRSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000748565190 1072720728 /nfs/dbraw/zinc/72/07/28/1072720728.db2.gz WHSCPWZAMUEYDM-GOEBONIOSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000748565191 1072720737 /nfs/dbraw/zinc/72/07/37/1072720737.db2.gz WHSCPWZAMUEYDM-HOCLYGCPSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCCC1=O ZINC000748565192 1072720900 /nfs/dbraw/zinc/72/09/00/1072720900.db2.gz WHSCPWZAMUEYDM-ZBFHGGJFSA-N 0 0 435.568 -0.706 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000748565505 1072720809 /nfs/dbraw/zinc/72/08/09/1072720809.db2.gz WCUFJVDVLDDGFI-UHFFFAOYSA-N 0 0 444.514 -0.932 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)CCc2nc(-c3nc[nH]n3)no2)CC1 ZINC000748565702 1072720882 /nfs/dbraw/zinc/72/08/82/1072720882.db2.gz ZQLBYLMFSILFOZ-UHFFFAOYSA-N 0 0 434.457 -0.017 20 0 IBADRN Cn1cc(/C=C/C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000748567840 1072720756 /nfs/dbraw/zinc/72/07/56/1072720756.db2.gz BHHGGOBCIYVKIW-BQYQJAHWSA-N 0 0 428.445 -0.027 20 0 IBADRN Cn1cc(/C=C\C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000748567841 1072720831 /nfs/dbraw/zinc/72/08/31/1072720831.db2.gz BHHGGOBCIYVKIW-FPLPWBNLSA-N 0 0 428.445 -0.027 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000748575982 1072720800 /nfs/dbraw/zinc/72/08/00/1072720800.db2.gz PVKAEFODJYHKOZ-UHFFFAOYSA-N 0 0 440.478 -0.236 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000748576416 1072720824 /nfs/dbraw/zinc/72/08/24/1072720824.db2.gz SCJCWBFIXMLXKW-AWEZNQCLSA-N 0 0 425.463 -0.029 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000748576417 1072720871 /nfs/dbraw/zinc/72/08/71/1072720871.db2.gz SCJCWBFIXMLXKW-CQSZACIVSA-N 0 0 425.463 -0.029 20 0 IBADRN CCc1cc(N2CCC(NC(=O)NCCS(=O)(=O)N3CCOCC3)CC2)ncn1 ZINC000748623661 1072720781 /nfs/dbraw/zinc/72/07/81/1072720781.db2.gz KRSYKKCCGWAACM-UHFFFAOYSA-N 0 0 426.543 -0.031 20 0 IBADRN Cn1c2c(nc(Br)n2C[C@@H]2CCCN(S(C)(=O)=O)C2)c(=O)n(C)c1=O ZINC000748651420 1072721226 /nfs/dbraw/zinc/72/12/26/1072721226.db2.gz ITXVOWMBBHHWJG-SECBINFHSA-N 0 0 434.316 -0.132 20 0 IBADRN Cn1c2c(nc(Br)n2C[C@H]2CCCN(S(C)(=O)=O)C2)c(=O)n(C)c1=O ZINC000748651422 1072721305 /nfs/dbraw/zinc/72/13/05/1072721305.db2.gz ITXVOWMBBHHWJG-VIFPVBQESA-N 0 0 434.316 -0.132 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748652918 1072721237 /nfs/dbraw/zinc/72/12/37/1072721237.db2.gz SLHANLVILZMJNB-HNNXBMFYSA-N 0 0 438.481 -0.234 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748652920 1072721197 /nfs/dbraw/zinc/72/11/97/1072721197.db2.gz SLHANLVILZMJNB-OAHLLOKOSA-N 0 0 438.481 -0.234 20 0 IBADRN CN(CC(=O)NCC1CCN(S(C)(=O)=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000748668338 1072721252 /nfs/dbraw/zinc/72/12/52/1072721252.db2.gz XNCXXKXWOWSLFD-UHFFFAOYSA-N 0 0 428.536 -0.145 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCc3cc(S(=O)(=O)NC)ccc32)CC1 ZINC000748671730 1072721333 /nfs/dbraw/zinc/72/13/33/1072721333.db2.gz SCROZEZVRBZXGR-UHFFFAOYSA-N 0 0 432.524 -0.024 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000748674460 1072721202 /nfs/dbraw/zinc/72/12/02/1072721202.db2.gz APOWAMTZUPPFHH-UHFFFAOYSA-N 0 0 426.561 -0.203 20 0 IBADRN CCN1C(=S)N=NC1C1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000748674533 1072721212 /nfs/dbraw/zinc/72/12/12/1072721212.db2.gz DOWRZRSXVRLNGE-UHFFFAOYSA-N 0 0 438.601 -0.412 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000748675015 1072721292 /nfs/dbraw/zinc/72/12/92/1072721292.db2.gz LWMITOUOHBKRNI-UHFFFAOYSA-N 0 0 426.561 -0.203 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000748681496 1072721860 /nfs/dbraw/zinc/72/18/60/1072721860.db2.gz RBNRXFSRHFEQSB-UHFFFAOYSA-N 0 0 437.268 -0.050 20 0 IBADRN CC(=O)c1ccc(N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)c(F)c1 ZINC000748685505 1072721881 /nfs/dbraw/zinc/72/18/81/1072721881.db2.gz UZTGMDLQTWZSLM-UHFFFAOYSA-N 0 0 448.542 -0.028 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccc(N4CCCC4=O)cc3)C(=O)[C@@H]2C1 ZINC000748692875 1072721852 /nfs/dbraw/zinc/72/18/52/1072721852.db2.gz BODVHNOZSWYLLF-HNNXBMFYSA-N 0 0 428.449 -0.543 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccc(N4CCCC4=O)cc3)C(=O)[C@H]2C1 ZINC000748692879 1072721711 /nfs/dbraw/zinc/72/17/11/1072721711.db2.gz BODVHNOZSWYLLF-OAHLLOKOSA-N 0 0 428.449 -0.543 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)Nc3ccc(S(=O)(=O)C(F)F)cc3)C(=O)[C@H]2C1 ZINC000748693117 1072721696 /nfs/dbraw/zinc/72/16/96/1072721696.db2.gz FGHBODQSWIQBFO-LLVKDONJSA-N 0 0 445.404 -0.352 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)Nc3ccc(S(=O)(=O)C(F)F)cc3)C(=O)[C@@H]2C1 ZINC000748693131 1072721842 /nfs/dbraw/zinc/72/18/42/1072721842.db2.gz FGHBODQSWIQBFO-NSHDSACASA-N 0 0 445.404 -0.352 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1C(=O)[C@@H]3CN(C(N)=O)CCN3C1=O)CCCC2 ZINC000748695327 1072721828 /nfs/dbraw/zinc/72/18/28/1072721828.db2.gz ROJGBFLUWHWICT-JTQLQIEISA-N 0 0 434.478 -0.309 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1C(=O)[C@H]3CN(C(N)=O)CCN3C1=O)CCCC2 ZINC000748695329 1072721817 /nfs/dbraw/zinc/72/18/17/1072721817.db2.gz ROJGBFLUWHWICT-SNVBAGLBSA-N 0 0 434.478 -0.309 20 0 IBADRN CCOC(=O)C1=C(CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)NC(=O)N[C@@H]1c1ccco1 ZINC000748695396 1072721794 /nfs/dbraw/zinc/72/17/94/1072721794.db2.gz SUNRLKDUGSXHBZ-BXUZGUMPSA-N 0 0 446.420 -0.522 20 0 IBADRN CCOC(=O)C1=C(CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)NC(=O)N[C@H]1c1ccco1 ZINC000748695399 1072721784 /nfs/dbraw/zinc/72/17/84/1072721784.db2.gz SUNRLKDUGSXHBZ-FZMZJTMJSA-N 0 0 446.420 -0.522 20 0 IBADRN CCOC(=O)C1=C(CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)NC(=O)N[C@H]1c1ccco1 ZINC000748695401 1072721720 /nfs/dbraw/zinc/72/17/20/1072721720.db2.gz SUNRLKDUGSXHBZ-RISCZKNCSA-N 0 0 446.420 -0.522 20 0 IBADRN CCOC(=O)C1=C(CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)NC(=O)N[C@@H]1c1ccco1 ZINC000748695404 1072721888 /nfs/dbraw/zinc/72/18/88/1072721888.db2.gz SUNRLKDUGSXHBZ-SMDDNHRTSA-N 0 0 446.420 -0.522 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)c1 ZINC000748696246 1072721875 /nfs/dbraw/zinc/72/18/75/1072721875.db2.gz SKAPVUMPWMIRAM-HNNXBMFYSA-N 0 0 425.449 -0.373 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)c1 ZINC000748696247 1072721678 /nfs/dbraw/zinc/72/16/78/1072721678.db2.gz SKAPVUMPWMIRAM-OAHLLOKOSA-N 0 0 425.449 -0.373 20 0 IBADRN O=C(CNC(=O)c1cc(S(=O)(=O)N2CCC2)ccc1Cl)NCCN1CCOCC1 ZINC000748712076 1072722250 /nfs/dbraw/zinc/72/22/50/1072722250.db2.gz QUCSBTQSMCUOMI-UHFFFAOYSA-N 0 0 444.941 -0.087 20 0 IBADRN O=C(C[C@H]1C(=O)Nc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000748715328 1072722278 /nfs/dbraw/zinc/72/22/78/1072722278.db2.gz SDAPOJRVFHFWIM-DZGCQCFKSA-N 0 0 441.531 -0.227 20 0 IBADRN O=C(C[C@@H]1C(=O)Nc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000748715334 1072722236 /nfs/dbraw/zinc/72/22/36/1072722236.db2.gz SDAPOJRVFHFWIM-HIFRSBDPSA-N 0 0 441.531 -0.227 20 0 IBADRN O=C(C[C@H]1C(=O)Nc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000748715336 1072722271 /nfs/dbraw/zinc/72/22/71/1072722271.db2.gz SDAPOJRVFHFWIM-UKRRQHHQSA-N 0 0 441.531 -0.227 20 0 IBADRN O=C(C[C@@H]1C(=O)Nc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000748715338 1072722387 /nfs/dbraw/zinc/72/23/87/1072722387.db2.gz SDAPOJRVFHFWIM-ZFWWWQNUSA-N 0 0 441.531 -0.227 20 0 IBADRN O=C(CC1CCCCC1)NCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000748715735 1072722395 /nfs/dbraw/zinc/72/23/95/1072722395.db2.gz ZQEKXXJHHJRNCV-INIZCTEOSA-N 0 0 449.595 -0.266 20 0 IBADRN O=C(CC1CCCCC1)NCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000748715736 1072722344 /nfs/dbraw/zinc/72/23/44/1072722344.db2.gz ZQEKXXJHHJRNCV-MRXNPFEDSA-N 0 0 449.595 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)Cn3cccnc3=O)C2)CC1 ZINC000748717323 1072722259 /nfs/dbraw/zinc/72/22/59/1072722259.db2.gz CEISNNOQMBARON-UHFFFAOYSA-N 0 0 441.510 -0.663 20 0 IBADRN CC(=O)NC(C)(C)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748717419 1072722369 /nfs/dbraw/zinc/72/23/69/1072722369.db2.gz FYCZECOKVMJBDM-UHFFFAOYSA-N 0 0 432.543 -0.006 20 0 IBADRN CN1CCO[C@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1 ZINC000748718001 1072722205 /nfs/dbraw/zinc/72/22/05/1072722205.db2.gz HZYFUGZPSMLZPE-HNNXBMFYSA-N 0 0 432.543 -0.590 20 0 IBADRN CN1CCO[C@@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1 ZINC000748718003 1072722403 /nfs/dbraw/zinc/72/24/03/1072722403.db2.gz HZYFUGZPSMLZPE-OAHLLOKOSA-N 0 0 432.543 -0.590 20 0 IBADRN CCN1CCO[C@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1 ZINC000748718792 1072722286 /nfs/dbraw/zinc/72/22/86/1072722286.db2.gz PLDKJLVUZXCVKI-INIZCTEOSA-N 0 0 446.570 -0.200 20 0 IBADRN CCN1CCO[C@@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1 ZINC000748718793 1072722348 /nfs/dbraw/zinc/72/23/48/1072722348.db2.gz PLDKJLVUZXCVKI-MRXNPFEDSA-N 0 0 446.570 -0.200 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@H]3CCCN3C(N)=O)C2)CC1 ZINC000748719163 1072722337 /nfs/dbraw/zinc/72/23/37/1072722337.db2.gz SMXKNGVBRPVRPJ-AWEZNQCLSA-N 0 0 445.542 -0.377 20 0 IBADRN CCNC(=O)CCC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748719218 1072722327 /nfs/dbraw/zinc/72/23/27/1072722327.db2.gz UQHADESVRUPKRJ-UHFFFAOYSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@H](O)C3CCOCC3)C2)CC1 ZINC000748719490 1072722192 /nfs/dbraw/zinc/72/21/92/1072722192.db2.gz YVCXXGPWXLJFDT-INIZCTEOSA-N 0 0 447.554 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H](O)C3CCOCC3)C2)CC1 ZINC000748719491 1072722158 /nfs/dbraw/zinc/72/21/58/1072722158.db2.gz YVCXXGPWXLJFDT-MRXNPFEDSA-N 0 0 447.554 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CCn3ccnn3)C2)CC1 ZINC000748719504 1072722376 /nfs/dbraw/zinc/72/23/76/1072722376.db2.gz ZPRAWLUDZHVLES-UHFFFAOYSA-N 0 0 428.515 -0.239 20 0 IBADRN CC(C)N(C)C(=O)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748739120 1072722319 /nfs/dbraw/zinc/72/23/19/1072722319.db2.gz ZMDMZIHDTGYIQC-GXTWGEPZSA-N 0 0 430.552 -0.080 20 0 IBADRN CC(C)N(C)C(=O)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748739124 1072722178 /nfs/dbraw/zinc/72/21/78/1072722178.db2.gz ZMDMZIHDTGYIQC-JSGCOSHPSA-N 0 0 430.552 -0.080 20 0 IBADRN CC(C)N(C)C(=O)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748739127 1072722706 /nfs/dbraw/zinc/72/27/06/1072722706.db2.gz ZMDMZIHDTGYIQC-OCCSQVGLSA-N 0 0 430.552 -0.080 20 0 IBADRN CC(C)N(C)C(=O)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748739129 1072722725 /nfs/dbraw/zinc/72/27/25/1072722725.db2.gz ZMDMZIHDTGYIQC-TZMCWYRMSA-N 0 0 430.552 -0.080 20 0 IBADRN CCN(CC)C(=O)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748742907 1072722698 /nfs/dbraw/zinc/72/26/98/1072722698.db2.gz JWJOAUCOHWFFNN-GXTWGEPZSA-N 0 0 430.552 -0.078 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748742912 1072722733 /nfs/dbraw/zinc/72/27/33/1072722733.db2.gz JWJOAUCOHWFFNN-JSGCOSHPSA-N 0 0 430.552 -0.078 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748742914 1072722743 /nfs/dbraw/zinc/72/27/43/1072722743.db2.gz JWJOAUCOHWFFNN-OCCSQVGLSA-N 0 0 430.552 -0.078 20 0 IBADRN CCN(CC)C(=O)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748742916 1072722736 /nfs/dbraw/zinc/72/27/36/1072722736.db2.gz JWJOAUCOHWFFNN-TZMCWYRMSA-N 0 0 430.552 -0.078 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC000748744913 1072722764 /nfs/dbraw/zinc/72/27/64/1072722764.db2.gz YGSHDRBLCIHVAF-GXTWGEPZSA-N 0 0 428.536 -0.324 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CSC[C@H]1C(=O)N1CCCC1 ZINC000748744915 1072722703 /nfs/dbraw/zinc/72/27/03/1072722703.db2.gz YGSHDRBLCIHVAF-JSGCOSHPSA-N 0 0 428.536 -0.324 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CSC[C@H]1C(=O)N1CCCC1 ZINC000748744917 1072722730 /nfs/dbraw/zinc/72/27/30/1072722730.db2.gz YGSHDRBLCIHVAF-OCCSQVGLSA-N 0 0 428.536 -0.324 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC000748744919 1072722747 /nfs/dbraw/zinc/72/27/47/1072722747.db2.gz YGSHDRBLCIHVAF-TZMCWYRMSA-N 0 0 428.536 -0.324 20 0 IBADRN CN(C(=O)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CC1 ZINC000748745557 1072722712 /nfs/dbraw/zinc/72/27/12/1072722712.db2.gz QAOGIEJJPWXMPR-GXTWGEPZSA-N 0 0 428.536 -0.326 20 0 IBADRN CN(C(=O)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CC1 ZINC000748745558 1072722752 /nfs/dbraw/zinc/72/27/52/1072722752.db2.gz QAOGIEJJPWXMPR-JSGCOSHPSA-N 0 0 428.536 -0.326 20 0 IBADRN CN(C(=O)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CC1 ZINC000748745559 1072722716 /nfs/dbraw/zinc/72/27/16/1072722716.db2.gz QAOGIEJJPWXMPR-OCCSQVGLSA-N 0 0 428.536 -0.326 20 0 IBADRN CN(C(=O)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CC1 ZINC000748745560 1072722690 /nfs/dbraw/zinc/72/26/90/1072722690.db2.gz QAOGIEJJPWXMPR-TZMCWYRMSA-N 0 0 428.536 -0.326 20 0 IBADRN CC(C)CNC(=O)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748749407 1072722720 /nfs/dbraw/zinc/72/27/20/1072722720.db2.gz ITXDMKWZURPWDB-GXTWGEPZSA-N 0 0 430.552 -0.174 20 0 IBADRN CC(C)CNC(=O)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748749409 1072723283 /nfs/dbraw/zinc/72/32/83/1072723283.db2.gz ITXDMKWZURPWDB-JSGCOSHPSA-N 0 0 430.552 -0.174 20 0 IBADRN CC(C)CNC(=O)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748749410 1072723248 /nfs/dbraw/zinc/72/32/48/1072723248.db2.gz ITXDMKWZURPWDB-OCCSQVGLSA-N 0 0 430.552 -0.174 20 0 IBADRN CC(C)CNC(=O)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748749413 1072723292 /nfs/dbraw/zinc/72/32/92/1072723292.db2.gz ITXDMKWZURPWDB-TZMCWYRMSA-N 0 0 430.552 -0.174 20 0 IBADRN CC[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748751563 1072723200 /nfs/dbraw/zinc/72/32/00/1072723200.db2.gz LQJDOXCTLZIXIJ-OBJOEFQTSA-N 0 0 430.552 -0.032 20 0 IBADRN CC[C@H](C)NC(=O)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748751565 1072723268 /nfs/dbraw/zinc/72/32/68/1072723268.db2.gz LQJDOXCTLZIXIJ-OUCADQQQSA-N 0 0 430.552 -0.032 20 0 IBADRN CC[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748751567 1072723128 /nfs/dbraw/zinc/72/31/28/1072723128.db2.gz LQJDOXCTLZIXIJ-SCRDCRAPSA-N 0 0 430.552 -0.032 20 0 IBADRN CC[C@H](C)NC(=O)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748751569 1072723165 /nfs/dbraw/zinc/72/31/65/1072723165.db2.gz LQJDOXCTLZIXIJ-SGMGOOAPSA-N 0 0 430.552 -0.032 20 0 IBADRN CCCCNC(=O)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748753548 1072723212 /nfs/dbraw/zinc/72/32/12/1072723212.db2.gz YGCWAXIEDORCFS-GXTWGEPZSA-N 0 0 430.552 -0.030 20 0 IBADRN CCCCNC(=O)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748753549 1072723151 /nfs/dbraw/zinc/72/31/51/1072723151.db2.gz YGCWAXIEDORCFS-JSGCOSHPSA-N 0 0 430.552 -0.030 20 0 IBADRN CCCCNC(=O)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748753550 1072723081 /nfs/dbraw/zinc/72/30/81/1072723081.db2.gz YGCWAXIEDORCFS-OCCSQVGLSA-N 0 0 430.552 -0.030 20 0 IBADRN CCCCNC(=O)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748753551 1072723240 /nfs/dbraw/zinc/72/32/40/1072723240.db2.gz YGCWAXIEDORCFS-TZMCWYRMSA-N 0 0 430.552 -0.030 20 0 IBADRN O=C(NCC1CC1)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748754588 1072723221 /nfs/dbraw/zinc/72/32/21/1072723221.db2.gz RIRYOSAQVIAWKN-GXTWGEPZSA-N 0 0 428.536 -0.420 20 0 IBADRN O=C(NCC1CC1)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748754589 1072723261 /nfs/dbraw/zinc/72/32/61/1072723261.db2.gz RIRYOSAQVIAWKN-JSGCOSHPSA-N 0 0 428.536 -0.420 20 0 IBADRN O=C(NCC1CC1)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748754590 1072723253 /nfs/dbraw/zinc/72/32/53/1072723253.db2.gz RIRYOSAQVIAWKN-OCCSQVGLSA-N 0 0 428.536 -0.420 20 0 IBADRN O=C(NCC1CC1)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748754591 1072723110 /nfs/dbraw/zinc/72/31/10/1072723110.db2.gz RIRYOSAQVIAWKN-TZMCWYRMSA-N 0 0 428.536 -0.420 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(N4CCC(C(N)=O)CC4)cc3)c2c(=O)n(C)c1=O ZINC000748760720 1072723191 /nfs/dbraw/zinc/72/31/91/1072723191.db2.gz CAVYZNFKSNVQOY-UHFFFAOYSA-N 0 0 439.476 -0.226 20 0 IBADRN COCCONC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000748767712 1072723173 /nfs/dbraw/zinc/72/31/73/1072723173.db2.gz MQBCNDCNSAKRJG-UHFFFAOYSA-N 0 0 429.495 -0.148 20 0 IBADRN Cc1cscc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000748776131 1072723233 /nfs/dbraw/zinc/72/32/33/1072723233.db2.gz NZRHWDFIYDOEBD-GFCCVEGCSA-N 0 0 435.549 -0.344 20 0 IBADRN Cc1cscc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000748776132 1072723142 /nfs/dbraw/zinc/72/31/42/1072723142.db2.gz NZRHWDFIYDOEBD-LBPRGKRZSA-N 0 0 435.549 -0.344 20 0 IBADRN CNC(=O)C=C(N)NOCC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000748777170 1072723790 /nfs/dbraw/zinc/72/37/90/1072723790.db2.gz IIWVVFHIBUWCJV-UHFFFAOYSA-N 0 0 447.901 -0.066 20 0 IBADRN CNC(=O)C=C(N)NOCC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000748778879 1072723694 /nfs/dbraw/zinc/72/36/94/1072723694.db2.gz XBZDARQNMJBVQR-UHFFFAOYSA-N 0 0 427.483 -0.411 20 0 IBADRN CNC(=O)C=C(N)NOCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000748780167 1072723851 /nfs/dbraw/zinc/72/38/51/1072723851.db2.gz DXERXNAUNXJNIH-UHFFFAOYSA-N 0 0 443.482 -0.711 20 0 IBADRN CNC(=O)C=C(N)NOCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000748781403 1072723668 /nfs/dbraw/zinc/72/36/68/1072723668.db2.gz SIEKGJRFJCHBLP-UHFFFAOYSA-N 0 0 447.901 -0.066 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCC(N2CCCNC2=O)CC1 ZINC000748785819 1072723717 /nfs/dbraw/zinc/72/37/17/1072723717.db2.gz UPPUOBQNNSOHQH-UHFFFAOYSA-N 0 0 428.493 -0.032 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC1(CO)CCOCC1 ZINC000748795216 1072723700 /nfs/dbraw/zinc/72/37/00/1072723700.db2.gz BEMTYJBIXVZJLJ-UHFFFAOYSA-N 0 0 425.507 -0.269 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCC3(CO)CCOCC3)cc2)CC1 ZINC000748795798 1072723733 /nfs/dbraw/zinc/72/37/33/1072723733.db2.gz ORGLUPHTXMQRBL-UHFFFAOYSA-N 0 0 448.520 -0.175 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CC(=O)N3CCNC3=O)C2)CC1 ZINC000748822793 1072724336 /nfs/dbraw/zinc/72/43/36/1072724336.db2.gz HKVMQMKFXXKWBG-UHFFFAOYSA-N 0 0 431.515 -0.895 20 0 IBADRN CNS(=O)(=O)CCCN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748823438 1072724282 /nfs/dbraw/zinc/72/42/82/1072724282.db2.gz UPOARNCKUQRXHG-UHFFFAOYSA-N 0 0 440.588 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(Cc3nc(N)nc(N)n3)C2)CC1 ZINC000748823456 1072724303 /nfs/dbraw/zinc/72/43/03/1072724303.db2.gz UZDBDASFRSQFSP-UHFFFAOYSA-N 0 0 428.519 -0.897 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CC(=O)N3CCOCC3)C2)CC1 ZINC000748823679 1072724247 /nfs/dbraw/zinc/72/42/47/1072724247.db2.gz BWAAQPJZMRGIBJ-UHFFFAOYSA-N 0 0 432.543 -0.588 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCN3C(=O)CNC3=O)C2)CC1 ZINC000748824038 1072724352 /nfs/dbraw/zinc/72/43/52/1072724352.db2.gz FBXKTLBMGBPFAC-UHFFFAOYSA-N 0 0 431.515 -0.895 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C[C@H](O)Cn3cccn3)C2)CC1 ZINC000748824049 1072724363 /nfs/dbraw/zinc/72/43/63/1072724363.db2.gz FNIOXXUVCMZRCH-HNNXBMFYSA-N 0 0 429.543 -0.189 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C[C@@H](O)Cn3cccn3)C2)CC1 ZINC000748824051 1072724206 /nfs/dbraw/zinc/72/42/06/1072724206.db2.gz FNIOXXUVCMZRCH-OAHLLOKOSA-N 0 0 429.543 -0.189 20 0 IBADRN CCS(=O)(=O)NCCN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748824052 1072724256 /nfs/dbraw/zinc/72/42/56/1072724256.db2.gz FNQKWRZDWLZIDQ-UHFFFAOYSA-N 0 0 440.588 -0.508 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748824089 1072724358 /nfs/dbraw/zinc/72/43/58/1072724358.db2.gz GHCJQDNEZGWDNY-CYBMUJFWSA-N 0 0 448.542 -0.769 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748824090 1072724325 /nfs/dbraw/zinc/72/43/25/1072724325.db2.gz GHCJQDNEZGWDNY-ZDUSSCGKSA-N 0 0 448.542 -0.769 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCCS(C)(=O)=O)C2)CC1 ZINC000748824095 1072724230 /nfs/dbraw/zinc/72/42/30/1072724230.db2.gz GUBQHLTYFVOENI-UHFFFAOYSA-N 0 0 425.573 -0.012 20 0 IBADRN CCS(=O)(=O)CCN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748824114 1072724279 /nfs/dbraw/zinc/72/42/79/1072724279.db2.gz HIBCWLFHKUWIOP-UHFFFAOYSA-N 0 0 425.573 -0.012 20 0 IBADRN COC(=O)CCNC(=O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000748824243 1072724344 /nfs/dbraw/zinc/72/43/44/1072724344.db2.gz IUOCSEGARJYPEA-UHFFFAOYSA-N 0 0 448.542 -0.768 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCCS(N)(=O)=O)C2)CC1 ZINC000748824863 1072724293 /nfs/dbraw/zinc/72/42/93/1072724293.db2.gz PJHSAYGMXDNLSP-UHFFFAOYSA-N 0 0 426.561 -0.768 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCCC(=O)NC(N)=O)C2)CC1 ZINC000748825489 1072724219 /nfs/dbraw/zinc/72/42/19/1072724219.db2.gz WXVGOPGVNOKMTN-UHFFFAOYSA-N 0 0 433.531 -0.472 20 0 IBADRN O=C(NCc1cccc(F)n1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000748827096 1072724263 /nfs/dbraw/zinc/72/42/63/1072724263.db2.gz FYFOSXDQHXLIBS-UHFFFAOYSA-N 0 0 435.437 -0.404 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000748828003 1072724330 /nfs/dbraw/zinc/72/43/30/1072724330.db2.gz XNKVFOUCGZYTRU-UHFFFAOYSA-N 0 0 439.604 -0.428 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000748836402 1072724749 /nfs/dbraw/zinc/72/47/49/1072724749.db2.gz QGJVXZHEUDMBAO-UHFFFAOYSA-N 0 0 437.268 -0.050 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000748843209 1072724766 /nfs/dbraw/zinc/72/47/66/1072724766.db2.gz DMWQOKZXJDIMEK-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN Cc1c(C(=O)Oc2cccc(C(=O)NCC(N)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000748844871 1072724680 /nfs/dbraw/zinc/72/46/80/1072724680.db2.gz AILWEQQZSQRTQL-UHFFFAOYSA-N 0 0 425.401 -0.625 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)OC ZINC000748850509 1072724759 /nfs/dbraw/zinc/72/47/59/1072724759.db2.gz BICXVUFZONOKGD-HWWQOWPSSA-N 0 0 445.494 -0.601 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)OC ZINC000748850514 1072724733 /nfs/dbraw/zinc/72/47/33/1072724733.db2.gz BICXVUFZONOKGD-MKBNYLNASA-N 0 0 445.494 -0.601 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)OC ZINC000748850516 1072724725 /nfs/dbraw/zinc/72/47/25/1072724725.db2.gz BICXVUFZONOKGD-MQIPJXDCSA-N 0 0 445.494 -0.601 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)OC ZINC000748850518 1072725180 /nfs/dbraw/zinc/72/51/80/1072725180.db2.gz BICXVUFZONOKGD-OZVIIMIRSA-N 0 0 445.494 -0.601 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748850582 1072724690 /nfs/dbraw/zinc/72/46/90/1072724690.db2.gz FAEMDGCHOXDKKV-GXTWGEPZSA-N 0 0 445.494 -0.601 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748850583 1072724800 /nfs/dbraw/zinc/72/48/00/1072724800.db2.gz FAEMDGCHOXDKKV-JSGCOSHPSA-N 0 0 445.494 -0.601 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748850584 1072724744 /nfs/dbraw/zinc/72/47/44/1072724744.db2.gz FAEMDGCHOXDKKV-OCCSQVGLSA-N 0 0 445.494 -0.601 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000748850585 1072724738 /nfs/dbraw/zinc/72/47/38/1072724738.db2.gz FAEMDGCHOXDKKV-TZMCWYRMSA-N 0 0 445.494 -0.601 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)[C@@H]3CC(=O)N(c4cn[nH]c4)C3)cn2)CC1 ZINC000748851112 1072725212 /nfs/dbraw/zinc/72/52/12/1072725212.db2.gz DYHJKSTXQGUVME-CYBMUJFWSA-N 0 0 433.494 -0.122 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)[C@H]3CC(=O)N(c4cn[nH]c4)C3)cn2)CC1 ZINC000748851121 1072725226 /nfs/dbraw/zinc/72/52/26/1072725226.db2.gz DYHJKSTXQGUVME-ZDUSSCGKSA-N 0 0 433.494 -0.122 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000748854004 1072725210 /nfs/dbraw/zinc/72/52/10/1072725210.db2.gz IZMBTUKRBZUPRB-FMIVXFBMSA-N 0 0 442.475 -0.521 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000748854008 1072725203 /nfs/dbraw/zinc/72/52/03/1072725203.db2.gz IZMBTUKRBZUPRB-XFXZXTDPSA-N 0 0 442.475 -0.521 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCCn3cnc4c3ncnc4N)c2c(=O)n(C)c1=O ZINC000748863524 1072725175 /nfs/dbraw/zinc/72/51/75/1072725175.db2.gz QWXWSDDAUGTBMX-UHFFFAOYSA-N 0 0 427.425 -0.821 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000748865279 1072725191 /nfs/dbraw/zinc/72/51/91/1072725191.db2.gz OYFLOTFIIRKECF-NTEUORMPSA-N 0 0 448.457 -0.231 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000748865283 1072725219 /nfs/dbraw/zinc/72/52/19/1072725219.db2.gz OYFLOTFIIRKECF-ZROIWOOFSA-N 0 0 448.457 -0.231 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000748872038 1072725189 /nfs/dbraw/zinc/72/51/89/1072725189.db2.gz LPLHIOIEJZUJEG-AWNIVKPZSA-N 0 0 432.480 -0.779 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000748872039 1072725167 /nfs/dbraw/zinc/72/51/67/1072725167.db2.gz LPLHIOIEJZUJEG-MLPAPPSSSA-N 0 0 432.480 -0.779 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)COC(=O)Cn3ccc(=O)n(C)c3=O)ccc2N1S(C)(=O)=O ZINC000748878873 1072725151 /nfs/dbraw/zinc/72/51/51/1072725151.db2.gz OIGUMLAWLDHGAN-GFCCVEGCSA-N 0 0 435.458 -0.316 20 0 IBADRN C[C@H]1Cc2cc(C(=O)COC(=O)Cn3ccc(=O)n(C)c3=O)ccc2N1S(C)(=O)=O ZINC000748878876 1072725173 /nfs/dbraw/zinc/72/51/73/1072725173.db2.gz OIGUMLAWLDHGAN-LBPRGKRZSA-N 0 0 435.458 -0.316 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)COC(=O)CN3CCS(=O)(=O)CC3)ccc2N1S(C)(=O)=O ZINC000748878891 1072725197 /nfs/dbraw/zinc/72/51/97/1072725197.db2.gz OJVDXNFJRCVTHM-CYBMUJFWSA-N 0 0 444.531 -0.147 20 0 IBADRN C[C@H]1Cc2cc(C(=O)COC(=O)CN3CCS(=O)(=O)CC3)ccc2N1S(C)(=O)=O ZINC000748878893 1072725207 /nfs/dbraw/zinc/72/52/07/1072725207.db2.gz OJVDXNFJRCVTHM-ZDUSSCGKSA-N 0 0 444.531 -0.147 20 0 IBADRN O=C(COC(=O)c1sccc1S(=O)(=O)N1CCSCC1)N1CCNC(=O)C1 ZINC000748880601 1072725160 /nfs/dbraw/zinc/72/51/60/1072725160.db2.gz PDAUZAMJYORXRT-UHFFFAOYSA-N 0 0 433.533 -0.399 20 0 IBADRN NC(=O)c1ccc(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)nc1 ZINC000748888374 1072725193 /nfs/dbraw/zinc/72/51/93/1072725193.db2.gz BRYZKWKYJNOIEA-UHFFFAOYSA-N 0 0 448.457 -0.003 20 0 IBADRN NC(=O)c1ccc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)nc1 ZINC000748890260 1072725199 /nfs/dbraw/zinc/72/51/99/1072725199.db2.gz UFPPXHDEBFINDQ-UHFFFAOYSA-N 0 0 432.458 -0.130 20 0 IBADRN NC(=O)c1ccc(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)nc1 ZINC000748890486 1072725215 /nfs/dbraw/zinc/72/52/15/1072725215.db2.gz YQLROQRTEJSQPZ-UHFFFAOYSA-N 0 0 448.457 -0.003 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCc1cn(CC(=O)OC(C)(C)C)nn1 ZINC000748890919 1072725223 /nfs/dbraw/zinc/72/52/23/1072725223.db2.gz OZIBSMSGZIIUIP-LLVKDONJSA-N 0 0 428.471 -0.237 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCc1cn(CC(=O)OC(C)(C)C)nn1 ZINC000748890920 1072725183 /nfs/dbraw/zinc/72/51/83/1072725183.db2.gz OZIBSMSGZIIUIP-NSHDSACASA-N 0 0 428.471 -0.237 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)COC(=O)c3cn(C)c(=O)n(C)c3=O)ccc2N1S(C)(=O)=O ZINC000748893476 1072725516 /nfs/dbraw/zinc/72/55/16/1072725516.db2.gz PAJZCUHXNBVDLE-LLVKDONJSA-N 0 0 435.458 -0.166 20 0 IBADRN C[C@H]1Cc2cc(C(=O)COC(=O)c3cn(C)c(=O)n(C)c3=O)ccc2N1S(C)(=O)=O ZINC000748893489 1072725662 /nfs/dbraw/zinc/72/56/62/1072725662.db2.gz PAJZCUHXNBVDLE-NSHDSACASA-N 0 0 435.458 -0.166 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)c2ccc(C(N)=O)cn2)c(N)n(Cc2ccccc2)c1=O ZINC000748895004 1072725619 /nfs/dbraw/zinc/72/56/19/1072725619.db2.gz ZVCXXBIUSWWVNN-UHFFFAOYSA-N 0 0 437.412 -0.289 20 0 IBADRN C[C@H]1Cc2cc(C(=O)COC(=O)CN3C(=O)C(=O)N(C)C3=O)ccc2N1S(C)(=O)=O ZINC000748895529 1072725677 /nfs/dbraw/zinc/72/56/77/1072725677.db2.gz ZQEFMZJKLGCSJY-JTQLQIEISA-N 0 0 437.430 -0.456 20 0 IBADRN C[C@@H]1Cc2cc(C(=O)COC(=O)CN3C(=O)C(=O)N(C)C3=O)ccc2N1S(C)(=O)=O ZINC000748895531 1072725532 /nfs/dbraw/zinc/72/55/32/1072725532.db2.gz ZQEFMZJKLGCSJY-SNVBAGLBSA-N 0 0 437.430 -0.456 20 0 IBADRN Cn1c2ncn(CCC(=O)OCc3cn(CC(=O)OC(C)(C)C)nn3)c2c(=O)n(C)c1=O ZINC000748895747 1072725502 /nfs/dbraw/zinc/72/55/02/1072725502.db2.gz LBEXVQGQODTIJA-UHFFFAOYSA-N 0 0 447.452 -0.500 20 0 IBADRN Cn1c2ncn(CC(=O)OCc3cn(CC(=O)OC(C)(C)C)nn3)c2c(=O)n(C)c1=O ZINC000748896595 1072725472 /nfs/dbraw/zinc/72/54/72/1072725472.db2.gz UIKJMXMRLYTDQD-UHFFFAOYSA-N 0 0 433.425 -0.890 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCc1cn(CC(=O)OC(C)(C)C)nn1)c(=O)n2C ZINC000748899556 1072725589 /nfs/dbraw/zinc/72/55/89/1072725589.db2.gz WMEDXGATLRVWIQ-UHFFFAOYSA-N 0 0 433.425 -0.890 20 0 IBADRN CC(C)(C)OC(=O)Cn1cc(COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)nn1 ZINC000748908419 1072725580 /nfs/dbraw/zinc/72/55/80/1072725580.db2.gz LUCXDTCBDJOHKW-GXTWGEPZSA-N 0 0 442.494 -0.301 20 0 IBADRN CC(C)(C)OC(=O)Cn1cc(COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)nn1 ZINC000748908422 1072725710 /nfs/dbraw/zinc/72/57/10/1072725710.db2.gz LUCXDTCBDJOHKW-JSGCOSHPSA-N 0 0 442.494 -0.301 20 0 IBADRN CC(C)(C)OC(=O)Cn1cc(COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)nn1 ZINC000748908425 1072725685 /nfs/dbraw/zinc/72/56/85/1072725685.db2.gz LUCXDTCBDJOHKW-OCCSQVGLSA-N 0 0 442.494 -0.301 20 0 IBADRN CC(C)(C)OC(=O)Cn1cc(COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)nn1 ZINC000748908429 1072725559 /nfs/dbraw/zinc/72/55/59/1072725559.db2.gz LUCXDTCBDJOHKW-TZMCWYRMSA-N 0 0 442.494 -0.301 20 0 IBADRN Cn1c2[nH]c(CCC(=O)OCc3cn(CC(=O)OC(C)(C)C)nn3)nc2c(=O)[nH]c1=O ZINC000748909051 1072725639 /nfs/dbraw/zinc/72/56/39/1072725639.db2.gz RXWRTZBXSKIMLS-UHFFFAOYSA-N 0 0 433.425 -0.029 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCc3cn(CC(=O)OC(C)(C)C)nn3)nc2n(C)c1=O ZINC000748909053 1072725601 /nfs/dbraw/zinc/72/56/01/1072725601.db2.gz RZGNKIQVCOMANP-UHFFFAOYSA-N 0 0 430.421 -0.078 20 0 IBADRN O=C(CC1CCCCC1)NCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000748910105 1072725569 /nfs/dbraw/zinc/72/55/69/1072725569.db2.gz JDZQRMFONXGWOL-KRWDZBQOSA-N 0 0 443.566 -0.053 20 0 IBADRN O=C(CC1CCCCC1)NCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000748910108 1072725541 /nfs/dbraw/zinc/72/55/41/1072725541.db2.gz JDZQRMFONXGWOL-QGZVFWFLSA-N 0 0 443.566 -0.053 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)c1 ZINC000748910565 1072725716 /nfs/dbraw/zinc/72/57/16/1072725716.db2.gz QFHXKUOKCJRTPU-UHFFFAOYSA-N 0 0 441.487 -0.007 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000748911236 1072725698 /nfs/dbraw/zinc/72/56/98/1072725698.db2.gz VTWNWUCCXRJLTC-UHFFFAOYSA-N 0 0 432.524 -0.955 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000748913393 1072726046 /nfs/dbraw/zinc/72/60/46/1072726046.db2.gz MHCHXQLBXXCHBE-UHFFFAOYSA-N 0 0 441.491 -0.350 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000748914498 1072726183 /nfs/dbraw/zinc/72/61/83/1072726183.db2.gz REDDRQWBUKVNDD-UHFFFAOYSA-N 0 0 427.464 -0.740 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000748914780 1072726111 /nfs/dbraw/zinc/72/61/11/1072726111.db2.gz XXHDVEOEITUKSQ-UHFFFAOYSA-N 0 0 427.526 -0.789 20 0 IBADRN O=C(Nc1ccn(CC(F)F)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000748950834 1072726166 /nfs/dbraw/zinc/72/61/66/1072726166.db2.gz FYNQZJWOIGTOPC-LLVKDONJSA-N 0 0 441.482 -0.185 20 0 IBADRN O=C(Nc1ccn(CC(F)F)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000748950835 1072726078 /nfs/dbraw/zinc/72/60/78/1072726078.db2.gz FYNQZJWOIGTOPC-NSHDSACASA-N 0 0 441.482 -0.185 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1cccc(CS(N)(=O)=O)c1)c(=O)n2C ZINC000748965782 1072726152 /nfs/dbraw/zinc/72/61/52/1072726152.db2.gz AAHZIXXCSGDLDB-UHFFFAOYSA-N 0 0 435.462 -0.531 20 0 IBADRN CC(C)[C@H](OC(=O)c1cccc(CS(N)(=O)=O)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000748965882 1072726201 /nfs/dbraw/zinc/72/62/01/1072726201.db2.gz AJUQHWXDFRMRDJ-CABCVRRESA-N 0 0 432.520 -0.040 20 0 IBADRN CC(C)[C@H](OC(=O)c1cccc(CS(N)(=O)=O)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000748965902 1072726142 /nfs/dbraw/zinc/72/61/42/1072726142.db2.gz AJUQHWXDFRMRDJ-GJZGRUSLSA-N 0 0 432.520 -0.040 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cccc(CS(N)(=O)=O)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000748965910 1072726135 /nfs/dbraw/zinc/72/61/35/1072726135.db2.gz AJUQHWXDFRMRDJ-HUUCEWRRSA-N 0 0 432.520 -0.040 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cccc(CS(N)(=O)=O)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000748965916 1072726094 /nfs/dbraw/zinc/72/60/94/1072726094.db2.gz AJUQHWXDFRMRDJ-LSDHHAIUSA-N 0 0 432.520 -0.040 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC000748979735 1072726599 /nfs/dbraw/zinc/72/65/99/1072726599.db2.gz CZBYORXICNECEF-UHFFFAOYSA-N 0 0 434.449 -0.227 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CCCN(C)C3=O)cc2)CC1 ZINC000748981036 1072726579 /nfs/dbraw/zinc/72/65/79/1072726579.db2.gz FCHMUPDLVJNXQN-KRWDZBQOSA-N 0 0 431.493 -0.343 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(C)C3=O)cc2)CC1 ZINC000748981039 1072726547 /nfs/dbraw/zinc/72/65/47/1072726547.db2.gz FCHMUPDLVJNXQN-QGZVFWFLSA-N 0 0 431.493 -0.343 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)NCCCN1CCOC1=O)N1CCOCC1 ZINC000748981314 1072726518 /nfs/dbraw/zinc/72/65/18/1072726518.db2.gz LDFOPOFWJQTMKR-UHFFFAOYSA-N 0 0 434.449 -0.179 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC(C)(C)S(C)(=O)=O ZINC000748986811 1072726029 /nfs/dbraw/zinc/72/60/29/1072726029.db2.gz BGLORAGYQMVNRP-UHFFFAOYSA-N 0 0 431.536 -0.235 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)cc2)CC1 ZINC000748988161 1072726125 /nfs/dbraw/zinc/72/61/25/1072726125.db2.gz SYMKSSCVLCNJMS-UHFFFAOYSA-N 0 0 443.504 -0.247 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(Cl)nc2)c(=O)n1CC(=O)NCCOC ZINC000748998003 1072727068 /nfs/dbraw/zinc/72/70/68/1072727068.db2.gz IFNGPNCNKLGGNH-UHFFFAOYSA-N 0 0 425.894 -0.107 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(Cl)nc2)c(=O)n1CC(=O)N1CCOCC1 ZINC000748999264 1072727110 /nfs/dbraw/zinc/72/71/10/1072727110.db2.gz QLMKVSYRJYPNCP-UHFFFAOYSA-N 0 0 437.905 -0.011 20 0 IBADRN COC(=O)CCNC(=O)CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O ZINC000749009206 1072727146 /nfs/dbraw/zinc/72/71/46/1072727146.db2.gz DFXWRPTWGVRABN-KRWDZBQOSA-N 0 0 427.410 -0.571 20 0 IBADRN COC(=O)CCNC(=O)CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O ZINC000749009207 1072726989 /nfs/dbraw/zinc/72/69/89/1072726989.db2.gz DFXWRPTWGVRABN-QGZVFWFLSA-N 0 0 427.410 -0.571 20 0 IBADRN O=C1c2ccc(F)cc2C(=O)N1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749016135 1072727052 /nfs/dbraw/zinc/72/70/52/1072727052.db2.gz HSLNZCDRAVYTNS-CYBMUJFWSA-N 0 0 445.494 -0.486 20 0 IBADRN O=C1c2ccc(F)cc2C(=O)N1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749016137 1072727104 /nfs/dbraw/zinc/72/71/04/1072727104.db2.gz HSLNZCDRAVYTNS-ZDUSSCGKSA-N 0 0 445.494 -0.486 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)c4ccc(F)cc4C3=O)CC2)CC1 ZINC000749017106 1072727449 /nfs/dbraw/zinc/72/74/49/1072727449.db2.gz TXXDVEYLLCBTHH-UHFFFAOYSA-N 0 0 425.486 -0.511 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)C1=O ZINC000749024572 1072727024 /nfs/dbraw/zinc/72/70/24/1072727024.db2.gz DEZLBVWNELZEGN-JLTOFOAXSA-N 0 0 428.449 -0.803 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)C1=O ZINC000749024573 1072727100 /nfs/dbraw/zinc/72/71/00/1072727100.db2.gz DEZLBVWNELZEGN-VBKZILBWSA-N 0 0 428.449 -0.803 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)C1=O ZINC000749024574 1072727133 /nfs/dbraw/zinc/72/71/33/1072727133.db2.gz DEZLBVWNELZEGN-VLIAUNLRSA-N 0 0 428.449 -0.803 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)C1=O ZINC000749024575 1072727134 /nfs/dbraw/zinc/72/71/34/1072727134.db2.gz DEZLBVWNELZEGN-XOBRGWDASA-N 0 0 428.449 -0.803 20 0 IBADRN COCCOC(=O)c1sc2[nH]c(CN3CCN4C(=O)NC(=O)[C@@H]4C3)nc(=O)c2c1C ZINC000749024847 1072727140 /nfs/dbraw/zinc/72/71/40/1072727140.db2.gz IGDKJSFCGVWHGJ-JTQLQIEISA-N 0 0 435.462 -0.168 20 0 IBADRN COCCOC(=O)c1sc2[nH]c(CN3CCN4C(=O)NC(=O)[C@H]4C3)nc(=O)c2c1C ZINC000749024851 1072727124 /nfs/dbraw/zinc/72/71/24/1072727124.db2.gz IGDKJSFCGVWHGJ-SNVBAGLBSA-N 0 0 435.462 -0.168 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN(CCO)CCN3CCOCC3)C2=O)cc1 ZINC000749026545 1072727004 /nfs/dbraw/zinc/72/70/04/1072727004.db2.gz PMUGNQWKPPDBAB-NRFANRHFSA-N 0 0 449.508 -0.880 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN(CCO)CCN3CCOCC3)C2=O)cc1 ZINC000749026547 1072727033 /nfs/dbraw/zinc/72/70/33/1072727033.db2.gz PMUGNQWKPPDBAB-OAQYLSRUSA-N 0 0 449.508 -0.880 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000749028932 1072726998 /nfs/dbraw/zinc/72/69/98/1072726998.db2.gz YVMIXHCAZLJDQL-UHFFFAOYSA-N 0 0 437.522 -0.569 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000749031422 1072727150 /nfs/dbraw/zinc/72/71/50/1072727150.db2.gz WZQHMMMRBZJMGE-UHFFFAOYSA-N 0 0 431.493 -0.356 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000749031615 1072727084 /nfs/dbraw/zinc/72/70/84/1072727084.db2.gz ZHFRHKAFECQSCD-UHFFFAOYSA-N 0 0 444.536 -0.393 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H](O)COCC1CC1 ZINC000749042816 1072727568 /nfs/dbraw/zinc/72/75/68/1072727568.db2.gz IKYRFQVHTIOOSO-HNNXBMFYSA-N 0 0 425.507 -0.270 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H](O)COCC1CC1 ZINC000749042817 1072727499 /nfs/dbraw/zinc/72/74/99/1072727499.db2.gz IKYRFQVHTIOOSO-OAHLLOKOSA-N 0 0 425.507 -0.270 20 0 IBADRN Cn1c2ncn(CC(=O)N(CCCO)S(=O)(=O)c3cccs3)c2c(=O)n(C)c1=O ZINC000749064261 1072727535 /nfs/dbraw/zinc/72/75/35/1072727535.db2.gz BHYCRMBATSHXOZ-UHFFFAOYSA-N 0 0 441.491 -0.905 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(CCCO)S(=O)(=O)c1cccs1)c(=O)n2C ZINC000749065418 1072727578 /nfs/dbraw/zinc/72/75/78/1072727578.db2.gz HIGQWQRVKBUFKD-UHFFFAOYSA-N 0 0 441.491 -0.905 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(CCCO)S(=O)(=O)c3cccs3)cnc2n(C)c1=O ZINC000749066821 1072727616 /nfs/dbraw/zinc/72/76/16/1072727616.db2.gz OAKDENYERIGSHI-UHFFFAOYSA-N 0 0 438.487 -0.093 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(CCCO)S(=O)(=O)c3cccs3)nc2n(C)c1=O ZINC000749067255 1072727586 /nfs/dbraw/zinc/72/75/86/1072727586.db2.gz RRLRFZNZFRVPNM-UHFFFAOYSA-N 0 0 438.487 -0.093 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)s1 ZINC000749103987 1072727946 /nfs/dbraw/zinc/72/79/46/1072727946.db2.gz AKDXCVKZPQNDLX-UHFFFAOYSA-N 0 0 428.510 -0.516 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2C(=O)CCC2=O)C1=O ZINC000749111964 1072727921 /nfs/dbraw/zinc/72/79/21/1072727921.db2.gz CJUPYZMKWNTWMO-FQEVSTJZSA-N 0 0 430.417 -0.347 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2C(=O)CCC2=O)C1=O ZINC000749111966 1072727983 /nfs/dbraw/zinc/72/79/83/1072727983.db2.gz CJUPYZMKWNTWMO-HXUWFJFHSA-N 0 0 430.417 -0.347 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CN2C(=O)CCC2=O)cc1S(=O)(=O)N(C)C ZINC000749111981 1072727964 /nfs/dbraw/zinc/72/79/64/1072727964.db2.gz CMOCMDFZCBTFQU-UHFFFAOYSA-N 0 0 427.435 -0.424 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000749112018 1072727974 /nfs/dbraw/zinc/72/79/74/1072727974.db2.gz DNDVEOUZODGUBU-UHFFFAOYSA-N 0 0 441.437 -0.649 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000749113177 1072727978 /nfs/dbraw/zinc/72/79/78/1072727978.db2.gz MCPYOCVBAZIPEC-JYRVWZFOSA-N 0 0 449.485 -0.177 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000749113178 1072727939 /nfs/dbraw/zinc/72/79/39/1072727939.db2.gz MCPYOCVBAZIPEC-MDWZMJQESA-N 0 0 449.485 -0.177 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000749113781 1072727989 /nfs/dbraw/zinc/72/79/89/1072727989.db2.gz RMAHBQIVQLQHDC-UHFFFAOYSA-N 0 0 437.474 -0.647 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000749114243 1072727904 /nfs/dbraw/zinc/72/79/04/1072727904.db2.gz TZHAZNSCZUQRNW-UHFFFAOYSA-N 0 0 441.437 -0.649 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(c2nccn3nnnc23)CC1 ZINC000749145248 1072727931 /nfs/dbraw/zinc/72/79/31/1072727931.db2.gz WFRFWRSPFABMAS-UHFFFAOYSA-N 0 0 437.507 -0.258 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1F ZINC000749167261 1072727914 /nfs/dbraw/zinc/72/79/14/1072727914.db2.gz BYEMIEWGEXXOIV-UHFFFAOYSA-N 0 0 427.451 -0.136 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1F ZINC000749168390 1072727955 /nfs/dbraw/zinc/72/79/55/1072727955.db2.gz SIDFLOCALXUSBE-UHFFFAOYSA-N 0 0 447.460 -0.084 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1F ZINC000749168738 1072728001 /nfs/dbraw/zinc/72/80/01/1072728001.db2.gz KUDKZWWBZBFQCH-UHFFFAOYSA-N 0 0 447.460 -0.084 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1F ZINC000749172154 1072728861 /nfs/dbraw/zinc/72/88/61/1072728861.db2.gz LGBGBDOSFFWCIW-UHFFFAOYSA-N 0 0 441.478 -0.393 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1F ZINC000749175229 1072729407 /nfs/dbraw/zinc/72/94/07/1072729407.db2.gz SVACIIHVLLDNLI-UHFFFAOYSA-N 0 0 431.417 -0.137 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1F ZINC000749175316 1072729392 /nfs/dbraw/zinc/72/93/92/1072729392.db2.gz WPFPXYSILJVGOI-SECBINFHSA-N 0 0 440.446 -0.277 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1F ZINC000749175318 1072729533 /nfs/dbraw/zinc/72/95/33/1072729533.db2.gz WPFPXYSILJVGOI-VIFPVBQESA-N 0 0 440.446 -0.277 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1F ZINC000749176938 1072729379 /nfs/dbraw/zinc/72/93/79/1072729379.db2.gz HWLMMSALSOVTGF-UHFFFAOYSA-N 0 0 428.435 -0.308 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)c1 ZINC000749186191 1072736016 /nfs/dbraw/zinc/73/60/16/1072736016.db2.gz SIRPKVRDFMSLMT-INIZCTEOSA-N 0 0 441.506 -0.057 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)c1 ZINC000749186195 1072736035 /nfs/dbraw/zinc/73/60/35/1072736035.db2.gz SIRPKVRDFMSLMT-MRXNPFEDSA-N 0 0 441.506 -0.057 20 0 IBADRN O=C(NCc1ccnc(-n2ccnc2)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000749198530 1072843325 /nfs/dbraw/zinc/84/33/25/1072843325.db2.gz BXYUGRARWARCBS-UHFFFAOYSA-N 0 0 427.465 -0.520 20 0 IBADRN O=C(NCc1ccnc(-n2ccnc2)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000749206646 1072843015 /nfs/dbraw/zinc/84/30/15/1072843015.db2.gz GUXCEGIEGFFENN-UHFFFAOYSA-N 0 0 435.510 -0.329 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccnc(-n4ccnc4)c3)CC2)CC1 ZINC000749208667 1072843293 /nfs/dbraw/zinc/84/32/93/1072843293.db2.gz TXPRDRUSVISAQT-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000749212328 1072843282 /nfs/dbraw/zinc/84/32/82/1072843282.db2.gz HQUQTPWLXRFHKJ-UHFFFAOYSA-N 0 0 430.435 -0.651 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1S(=O)(=O)N(C)C ZINC000749212748 1072843146 /nfs/dbraw/zinc/84/31/46/1072843146.db2.gz QAXKLODNJITCKL-CYBMUJFWSA-N 0 0 427.479 -0.031 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1S(=O)(=O)N(C)C ZINC000749212749 1072843201 /nfs/dbraw/zinc/84/32/01/1072843201.db2.gz QAXKLODNJITCKL-ZDUSSCGKSA-N 0 0 427.479 -0.031 20 0 IBADRN O=C(COC(=O)Cn1ccccc1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000749213224 1072843119 /nfs/dbraw/zinc/84/31/19/1072843119.db2.gz FWQZOKKKFHVNSK-UHFFFAOYSA-N 0 0 449.485 -0.271 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)Cn3ccccc3=O)CC2)cc1 ZINC000749214442 1072842989 /nfs/dbraw/zinc/84/29/89/1072842989.db2.gz WVMURLHHHVUDOY-UHFFFAOYSA-N 0 0 449.485 -0.067 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)CN3C(=O)N[C@]4(CCc5ccccc54)C3=O)CC2)C1=O ZINC000749239083 1072843694 /nfs/dbraw/zinc/84/36/94/1072843694.db2.gz FTFGNBNHDHZMJM-NRFANRHFSA-N 0 0 425.445 -0.077 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)CN3C(=O)N[C@@]4(CCc5ccccc54)C3=O)CC2)C1=O ZINC000749239100 1072843710 /nfs/dbraw/zinc/84/37/10/1072843710.db2.gz FTFGNBNHDHZMJM-OAQYLSRUSA-N 0 0 425.445 -0.077 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000749251482 1072843773 /nfs/dbraw/zinc/84/37/73/1072843773.db2.gz BHILXGLGCWUCQT-AWEZNQCLSA-N 0 0 426.426 -0.777 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000749251483 1072843652 /nfs/dbraw/zinc/84/36/52/1072843652.db2.gz BHILXGLGCWUCQT-CQSZACIVSA-N 0 0 426.426 -0.777 20 0 IBADRN CC(C)COC(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000749251953 1072843551 /nfs/dbraw/zinc/84/35/51/1072843551.db2.gz NGHBRHKSDFNDSZ-KRWDZBQOSA-N 0 0 430.571 -0.272 20 0 IBADRN CC(C)COC(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000749251960 1072843586 /nfs/dbraw/zinc/84/35/86/1072843586.db2.gz NGHBRHKSDFNDSZ-QGZVFWFLSA-N 0 0 430.571 -0.272 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)NC1(C(=O)NCCS(=O)(=O)N2CCOCC2)CCCC1 ZINC000749254137 1072843746 /nfs/dbraw/zinc/84/37/46/1072843746.db2.gz MTZAYWOKMSOAIC-UHFFFAOYSA-N 0 0 441.554 -0.142 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CN1C(=O)N[C@@](C)(c2ccc3c(c2)OCCO3)C1=O ZINC000749261003 1072843723 /nfs/dbraw/zinc/84/37/23/1072843723.db2.gz FUOUOTPJGZTTNE-NRFANRHFSA-N 0 0 446.460 -0.458 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CN1C(=O)N[C@](C)(c2ccc3c(c2)OCCO3)C1=O ZINC000749261017 1072843785 /nfs/dbraw/zinc/84/37/85/1072843785.db2.gz FUOUOTPJGZTTNE-OAQYLSRUSA-N 0 0 446.460 -0.458 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000749271381 1072843682 /nfs/dbraw/zinc/84/36/82/1072843682.db2.gz GZYCIWRFNRUCHN-BTYIYWSLSA-N 0 0 428.445 -0.211 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000749271382 1072843735 /nfs/dbraw/zinc/84/37/35/1072843735.db2.gz GZYCIWRFNRUCHN-QVKFZJNVSA-N 0 0 428.445 -0.211 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000749271383 1072843704 /nfs/dbraw/zinc/84/37/04/1072843704.db2.gz GZYCIWRFNRUCHN-VFNWGFHPSA-N 0 0 428.445 -0.211 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000749271385 1072843574 /nfs/dbraw/zinc/84/35/74/1072843574.db2.gz GZYCIWRFNRUCHN-YCRPNKLZSA-N 0 0 428.445 -0.211 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CN1C(=O)N[C@@](C)(c2ccc3c(c2)OCO3)C1=O ZINC000749272815 1072843798 /nfs/dbraw/zinc/84/37/98/1072843798.db2.gz PLTQYQBDEIKJRG-FQEVSTJZSA-N 0 0 432.433 -0.501 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CN1C(=O)N[C@](C)(c2ccc3c(c2)OCO3)C1=O ZINC000749272817 1072843671 /nfs/dbraw/zinc/84/36/71/1072843671.db2.gz PLTQYQBDEIKJRG-HXUWFJFHSA-N 0 0 432.433 -0.501 20 0 IBADRN O=C(Cn1cnnn1)Nc1ccccc1C(=O)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000749278450 1072843760 /nfs/dbraw/zinc/84/37/60/1072843760.db2.gz MHGVBGOBRXRREG-UHFFFAOYSA-N 0 0 441.448 -0.451 20 0 IBADRN O=C(Cn1cnnn1)Nc1ccccc1C(=O)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000749278476 1072843619 /nfs/dbraw/zinc/84/36/19/1072843619.db2.gz MWDOIRXLYZKDFD-UHFFFAOYSA-N 0 0 430.421 -0.166 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N1CCCCC1 ZINC000749278523 1072843640 /nfs/dbraw/zinc/84/36/40/1072843640.db2.gz NNPYBUOVVXOKAP-AWEZNQCLSA-N 0 0 443.464 -0.014 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N1CCCCC1 ZINC000749278524 1072844047 /nfs/dbraw/zinc/84/40/47/1072844047.db2.gz NNPYBUOVVXOKAP-CQSZACIVSA-N 0 0 443.464 -0.014 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2ccccc2NC(=O)Cn2cnnn2)C1 ZINC000749280111 1072844107 /nfs/dbraw/zinc/84/41/07/1072844107.db2.gz XZMONCKCZAKJAR-AWEZNQCLSA-N 0 0 429.437 -0.404 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2ccccc2NC(=O)Cn2cnnn2)C1 ZINC000749280113 1072844352 /nfs/dbraw/zinc/84/43/52/1072844352.db2.gz XZMONCKCZAKJAR-CQSZACIVSA-N 0 0 429.437 -0.404 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000749284849 1072844375 /nfs/dbraw/zinc/84/43/75/1072844375.db2.gz BFJIZBPOVAWHOV-UHFFFAOYSA-N 0 0 436.531 -0.263 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000749285102 1072844209 /nfs/dbraw/zinc/84/42/09/1072844209.db2.gz IRWKKJDAGAFOEL-UHFFFAOYSA-N 0 0 430.508 -0.507 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2cc(/C=C/C(=O)OC)cc(OC)c2OC)CC1 ZINC000749285542 1072844231 /nfs/dbraw/zinc/84/42/31/1072844231.db2.gz JTLZNFNTXGHFMU-AATRIKPKSA-N 0 0 441.506 -0.058 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2cc(/C=C\C(=O)OC)cc(OC)c2OC)CC1 ZINC000749285543 1072844095 /nfs/dbraw/zinc/84/40/95/1072844095.db2.gz JTLZNFNTXGHFMU-WAYWQWQTSA-N 0 0 441.506 -0.058 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(CC(=O)NC)CC2)cc1 ZINC000749286008 1072844262 /nfs/dbraw/zinc/84/42/62/1072844262.db2.gz SCKBIMWEMVYQCG-UHFFFAOYSA-N 0 0 433.552 -0.448 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000749288132 1073588406 /nfs/dbraw/zinc/58/84/06/1073588406.db2.gz UQSWQNVEAZGYBN-UHFFFAOYSA-N 0 0 425.451 -0.123 20 0 IBADRN Cc1ccc(CCC(=O)NCC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000749305145 1072844055 /nfs/dbraw/zinc/84/40/55/1072844055.db2.gz FVLULORKRDUUJI-UHFFFAOYSA-N 0 0 432.521 -0.181 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)COC(=O)CCn2cc(S(N)(=O)=O)cn2)cc1 ZINC000749323799 1072844247 /nfs/dbraw/zinc/84/42/47/1072844247.db2.gz HLXQNGUHVVUIRV-UHFFFAOYSA-N 0 0 430.464 -0.494 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)c2cc(-c3ccccc3)on2)c(=O)n(C)c1=O ZINC000749323824 1072844080 /nfs/dbraw/zinc/84/40/80/1072844080.db2.gz IPXMSMOAZDZEQZ-UHFFFAOYSA-N 0 0 441.400 -0.523 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCCN2C(=O)c3ccccc3S2(=O)=O)c1 ZINC000749325013 1072844325 /nfs/dbraw/zinc/84/43/25/1072844325.db2.gz BUFWBCTZNQRAOJ-UHFFFAOYSA-N 0 0 428.448 -0.692 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)Nc2ccc(N3CCOCC3)cc2)c1 ZINC000749325106 1072844068 /nfs/dbraw/zinc/84/40/68/1072844068.db2.gz CPWNYYKNTSIFSO-UHFFFAOYSA-N 0 0 437.478 -0.061 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)NCc2cnn(-c3ccccc3)c2)c1 ZINC000749325181 1072844279 /nfs/dbraw/zinc/84/42/79/1072844279.db2.gz BFCAVBQDMNJZCL-UHFFFAOYSA-N 0 0 432.462 -0.034 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(COC(=O)CCn3cc(S(N)(=O)=O)cn3)n12 ZINC000749325246 1072844297 /nfs/dbraw/zinc/84/42/97/1072844297.db2.gz NWGYUIGWBRCMNQ-UHFFFAOYSA-N 0 0 445.461 -0.133 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)COC(=O)CCn2cc(S(N)(=O)=O)cn2)cc1 ZINC000749325366 1072844610 /nfs/dbraw/zinc/84/46/10/1072844610.db2.gz QUUIHSYYLXMKNR-UHFFFAOYSA-N 0 0 437.478 -0.131 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000749325394 1072844623 /nfs/dbraw/zinc/84/46/23/1072844623.db2.gz CVHFAUDRNQBODJ-UHFFFAOYSA-N 0 0 431.471 -0.799 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)N2CCN(Cc3ccccc3)CC2)c1 ZINC000749325705 1072844770 /nfs/dbraw/zinc/84/47/70/1072844770.db2.gz HEMQITASSNQUGB-UHFFFAOYSA-N 0 0 435.506 -0.192 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000749325861 1072844798 /nfs/dbraw/zinc/84/47/98/1072844798.db2.gz FIPYJLQEPAJHQO-GFCCVEGCSA-N 0 0 431.471 -0.847 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000749325862 1072844808 /nfs/dbraw/zinc/84/48/08/1072844808.db2.gz FIPYJLQEPAJHQO-LBPRGKRZSA-N 0 0 431.471 -0.847 20 0 IBADRN C[C@@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000749325880 1072844603 /nfs/dbraw/zinc/84/46/03/1072844603.db2.gz FVUNYZLBHJZRQK-LLVKDONJSA-N 0 0 444.491 -0.106 20 0 IBADRN C[C@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000749325881 1072844755 /nfs/dbraw/zinc/84/47/55/1072844755.db2.gz FVUNYZLBHJZRQK-NSHDSACASA-N 0 0 444.491 -0.106 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)CCn2cc(S(N)(=O)=O)cn2)C1 ZINC000749325899 1072844788 /nfs/dbraw/zinc/84/47/88/1072844788.db2.gz GDEIJDDURKAJNG-CHWSQXEVSA-N 0 0 430.483 -0.346 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)CCn2cc(S(N)(=O)=O)cn2)C1 ZINC000749325900 1072844746 /nfs/dbraw/zinc/84/47/46/1072844746.db2.gz GDEIJDDURKAJNG-OLZOCXBDSA-N 0 0 430.483 -0.346 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)CCn2cc(S(N)(=O)=O)cn2)C1 ZINC000749325901 1072844592 /nfs/dbraw/zinc/84/45/92/1072844592.db2.gz GDEIJDDURKAJNG-QWHCGFSZSA-N 0 0 430.483 -0.346 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)CCn2cc(S(N)(=O)=O)cn2)C1 ZINC000749325902 1072844816 /nfs/dbraw/zinc/84/48/16/1072844816.db2.gz GDEIJDDURKAJNG-STQMWFEESA-N 0 0 430.483 -0.346 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)Nc2cccc(C(=O)NC3CC3)c2)c1 ZINC000749325916 1072844638 /nfs/dbraw/zinc/84/46/38/1072844638.db2.gz QXQBBCMGQYQIST-UHFFFAOYSA-N 0 0 435.462 -0.005 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)c1 ZINC000749326025 1072844314 /nfs/dbraw/zinc/84/43/14/1072844314.db2.gz IZQBDYXTVDMRBP-UHFFFAOYSA-N 0 0 445.404 -0.503 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)N2CCN(c3ccc(F)cc3)CC2)c1 ZINC000749326035 1072844732 /nfs/dbraw/zinc/84/47/32/1072844732.db2.gz JASCZODPDBMNJP-UHFFFAOYSA-N 0 0 439.469 -0.048 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)N2CCN(c3ccccc3F)CC2)c1 ZINC000749326524 1072845264 /nfs/dbraw/zinc/84/52/64/1072845264.db2.gz MWGNOEKKSCDCAG-UHFFFAOYSA-N 0 0 439.469 -0.048 20 0 IBADRN CC(C)[C@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000749326573 1072844718 /nfs/dbraw/zinc/84/47/18/1072844718.db2.gz NGRBMCMVIJNYSU-DOMZBBRYSA-N 0 0 429.499 -0.788 20 0 IBADRN CC(C)[C@@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000749326576 1072844762 /nfs/dbraw/zinc/84/47/62/1072844762.db2.gz NGRBMCMVIJNYSU-IUODEOHRSA-N 0 0 429.499 -0.788 20 0 IBADRN CC(C)[C@@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000749326579 1072844821 /nfs/dbraw/zinc/84/48/21/1072844821.db2.gz NGRBMCMVIJNYSU-SWLSCSKDSA-N 0 0 429.499 -0.788 20 0 IBADRN CC(C)[C@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000749326582 1072844698 /nfs/dbraw/zinc/84/46/98/1072844698.db2.gz NGRBMCMVIJNYSU-WFASDCNBSA-N 0 0 429.499 -0.788 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](OC(=O)CCn2cc(S(N)(=O)=O)cn2)C(C)C)CC1 ZINC000749326588 1072845217 /nfs/dbraw/zinc/84/52/17/1072845217.db2.gz NJHHIZMPANRZAN-INIZCTEOSA-N 0 0 444.510 -0.100 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](OC(=O)CCn2cc(S(N)(=O)=O)cn2)C(C)C)CC1 ZINC000749326591 1072845162 /nfs/dbraw/zinc/84/51/62/1072845162.db2.gz NJHHIZMPANRZAN-MRXNPFEDSA-N 0 0 444.510 -0.100 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)N2CCN(Cc3cccs3)CC2)c1 ZINC000749326696 1072845182 /nfs/dbraw/zinc/84/51/82/1072845182.db2.gz OBZSKCUZKTVGKS-UHFFFAOYSA-N 0 0 441.535 -0.130 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)N2CCN(Cc3ccco3)CC2)c1 ZINC000749326703 1072845098 /nfs/dbraw/zinc/84/50/98/1072845098.db2.gz YIALFZXXLXPNOL-UHFFFAOYSA-N 0 0 425.467 -0.599 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)c1 ZINC000749326966 1072844646 /nfs/dbraw/zinc/84/46/46/1072844646.db2.gz ZDBFAHAFQTXJIS-UHFFFAOYSA-N 0 0 449.489 -0.093 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)N2CCN(Cc3ccsc3)CC2)c1 ZINC000749327000 1072844663 /nfs/dbraw/zinc/84/46/63/1072844663.db2.gz ZRILMHPHNAAHCS-UHFFFAOYSA-N 0 0 441.535 -0.130 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)COC(=O)CCn2cc(S(N)(=O)=O)cn2)c1 ZINC000749327156 1072844684 /nfs/dbraw/zinc/84/46/84/1072844684.db2.gz PNRZYBPSXWWGCP-UHFFFAOYSA-N 0 0 430.464 -0.494 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)CCn2cc(S(N)(=O)=O)cn2)cc1)S(C)(=O)=O ZINC000749327302 1072845148 /nfs/dbraw/zinc/84/51/48/1072845148.db2.gz RPQLKVGBDRXTPR-UHFFFAOYSA-N 0 0 444.491 -0.258 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)CCn2cc(S(N)(=O)=O)cn2)C(C)C)CC1 ZINC000749327583 1072845178 /nfs/dbraw/zinc/84/51/78/1072845178.db2.gz SLNGNKJRLVSSHH-INIZCTEOSA-N 0 0 429.499 -0.821 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)CCn2cc(S(N)(=O)=O)cn2)C(C)C)CC1 ZINC000749327592 1072845347 /nfs/dbraw/zinc/84/53/47/1072845347.db2.gz SLNGNKJRLVSSHH-MRXNPFEDSA-N 0 0 429.499 -0.821 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)Nc2ccccc2C(=O)NC2CC2)c1 ZINC000749327833 1072845316 /nfs/dbraw/zinc/84/53/16/1072845316.db2.gz OJIOFTLKPBDDDH-UHFFFAOYSA-N 0 0 435.462 -0.005 20 0 IBADRN CS(=O)(=O)[C@@H]1CC[C@H](OC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000749328932 1072845293 /nfs/dbraw/zinc/84/52/93/1072845293.db2.gz FASHBIPIIQTOTJ-DZGCQCFKSA-N 0 0 430.504 -0.070 20 0 IBADRN CS(=O)(=O)[C@H]1CC[C@@H](OC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000749328933 1072845199 /nfs/dbraw/zinc/84/51/99/1072845199.db2.gz FASHBIPIIQTOTJ-HIFRSBDPSA-N 0 0 430.504 -0.070 20 0 IBADRN CS(=O)(=O)[C@@H]1CC[C@@H](OC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000749328935 1072845252 /nfs/dbraw/zinc/84/52/52/1072845252.db2.gz FASHBIPIIQTOTJ-UKRRQHHQSA-N 0 0 430.504 -0.070 20 0 IBADRN CS(=O)(=O)[C@H]1CC[C@H](OC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000749328937 1072845075 /nfs/dbraw/zinc/84/50/75/1072845075.db2.gz FASHBIPIIQTOTJ-ZFWWWQNUSA-N 0 0 430.504 -0.070 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)c1 ZINC000749329095 1072845133 /nfs/dbraw/zinc/84/51/33/1072845133.db2.gz VTACYLVFHPIALE-UHFFFAOYSA-N 0 0 439.450 -0.959 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)CCn2cc(S(N)(=O)=O)cn2)cc1 ZINC000749329155 1072845332 /nfs/dbraw/zinc/84/53/32/1072845332.db2.gz WRDXYASVSYWWAX-UHFFFAOYSA-N 0 0 446.507 -0.207 20 0 IBADRN C[C@@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000749329170 1072845235 /nfs/dbraw/zinc/84/52/35/1072845235.db2.gz XEJDWQKBZSDSRZ-CHWSQXEVSA-N 0 0 438.462 -0.192 20 0 IBADRN C[C@@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000749329171 1072845278 /nfs/dbraw/zinc/84/52/78/1072845278.db2.gz XEJDWQKBZSDSRZ-OLZOCXBDSA-N 0 0 438.462 -0.192 20 0 IBADRN C[C@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000749329172 1072845088 /nfs/dbraw/zinc/84/50/88/1072845088.db2.gz XEJDWQKBZSDSRZ-QWHCGFSZSA-N 0 0 438.462 -0.192 20 0 IBADRN C[C@H](OC(=O)CCn1cc(S(N)(=O)=O)cn1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000749329173 1072845303 /nfs/dbraw/zinc/84/53/03/1072845303.db2.gz XEJDWQKBZSDSRZ-STQMWFEESA-N 0 0 438.462 -0.192 20 0 IBADRN CS(=O)(=O)[C@H]1CC[C@H](OC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000749331587 1072845113 /nfs/dbraw/zinc/84/51/13/1072845113.db2.gz SKHKZKNZSHTRER-KBPBESRZSA-N 0 0 430.504 -0.070 20 0 IBADRN CS(=O)(=O)[C@H]1CC[C@@H](OC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000749331588 1072845730 /nfs/dbraw/zinc/84/57/30/1072845730.db2.gz SKHKZKNZSHTRER-KGLIPLIRSA-N 0 0 430.504 -0.070 20 0 IBADRN CS(=O)(=O)[C@@H]1CC[C@H](OC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000749331589 1072845690 /nfs/dbraw/zinc/84/56/90/1072845690.db2.gz SKHKZKNZSHTRER-UONOGXRCSA-N 0 0 430.504 -0.070 20 0 IBADRN CS(=O)(=O)[C@@H]1CC[C@@H](OC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000749331590 1072845836 /nfs/dbraw/zinc/84/58/36/1072845836.db2.gz SKHKZKNZSHTRER-ZIAGYGMSSA-N 0 0 430.504 -0.070 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000749343781 1072845737 /nfs/dbraw/zinc/84/57/37/1072845737.db2.gz HZIDSXPEOLBMJA-UHFFFAOYSA-N 0 0 445.498 -0.081 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCNC(=O)OC(C)(C)C)CC2)CC1 ZINC000749345096 1072845645 /nfs/dbraw/zinc/84/56/45/1072845645.db2.gz UBIWRHOANWALFO-UHFFFAOYSA-N 0 0 434.563 -0.670 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C[C@@H]2O ZINC000749352862 1072845674 /nfs/dbraw/zinc/84/56/74/1072845674.db2.gz LJSIOTQLNICQSF-HNNXBMFYSA-N 0 0 442.538 -0.160 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C[C@H]2O ZINC000749352863 1072845803 /nfs/dbraw/zinc/84/58/03/1072845803.db2.gz LJSIOTQLNICQSF-OAHLLOKOSA-N 0 0 442.538 -0.160 20 0 IBADRN C[C@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(NS(C)(=O)=O)c1 ZINC000749353355 1072845809 /nfs/dbraw/zinc/84/58/09/1072845809.db2.gz NQIQFHYMSZCBLK-AWEZNQCLSA-N 0 0 447.583 -0.005 20 0 IBADRN C[C@@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1cccc(NS(C)(=O)=O)c1 ZINC000749353361 1072845852 /nfs/dbraw/zinc/84/58/52/1072845852.db2.gz NQIQFHYMSZCBLK-CQSZACIVSA-N 0 0 447.583 -0.005 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc(-n2cc(Br)cn2)nn1 ZINC000749354984 1072845823 /nfs/dbraw/zinc/84/58/23/1072845823.db2.gz LJOAPXSLTREECV-UHFFFAOYSA-N 0 0 429.300 -0.115 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000749356773 1072845722 /nfs/dbraw/zinc/84/57/22/1072845722.db2.gz XGEORPCPHXQDBD-UHFFFAOYSA-N 0 0 432.568 -0.522 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)COC(=O)CN1C(=O)CN(C)C1=O ZINC000749361622 1072845656 /nfs/dbraw/zinc/84/56/56/1072845656.db2.gz ORNGWLZDFDEERQ-UHFFFAOYSA-N 0 0 440.434 -0.292 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)N([C@H]2CCS(=O)(=O)C2)C2CCCCC2)C1=O ZINC000749363981 1072845859 /nfs/dbraw/zinc/84/58/59/1072845859.db2.gz CTVXJVBIGNALDP-AWEZNQCLSA-N 0 0 429.495 -0.228 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)N([C@@H]2CCS(=O)(=O)C2)C2CCCCC2)C1=O ZINC000749363991 1072845844 /nfs/dbraw/zinc/84/58/44/1072845844.db2.gz CTVXJVBIGNALDP-CQSZACIVSA-N 0 0 429.495 -0.228 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc(-n2cc(Br)cn2)nn1 ZINC000749365335 1072845761 /nfs/dbraw/zinc/84/57/61/1072845761.db2.gz ZDLSRBJPTWQXSQ-UHFFFAOYSA-N 0 0 445.299 -0.183 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000749365389 1072846026 /nfs/dbraw/zinc/84/60/26/1072846026.db2.gz NYRFMCKTJLDJBT-LLVKDONJSA-N 0 0 426.451 -0.299 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000749365390 1072846347 /nfs/dbraw/zinc/84/63/47/1072846347.db2.gz NYRFMCKTJLDJBT-NSHDSACASA-N 0 0 426.451 -0.299 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)CN2C(=O)CN(C)C2=O)c(=O)[nH]c1=O ZINC000749365416 1072845707 /nfs/dbraw/zinc/84/57/07/1072845707.db2.gz PBGZRAZVWSJNSA-UHFFFAOYSA-N 0 0 438.441 -0.879 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CC(=O)NC[C@@H]3CCCO3)C2)CC1 ZINC000749366247 1072846124 /nfs/dbraw/zinc/84/61/24/1072846124.db2.gz IDNYUXJHAFXRHO-HNNXBMFYSA-N 0 0 446.570 -0.152 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CC(=O)NC[C@H]3CCCO3)C2)CC1 ZINC000749366248 1072846110 /nfs/dbraw/zinc/84/61/10/1072846110.db2.gz IDNYUXJHAFXRHO-OAHLLOKOSA-N 0 0 446.570 -0.152 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C[C@@H](O)CN3CCOCC3)C2)CC1 ZINC000749366555 1072846244 /nfs/dbraw/zinc/84/62/44/1072846244.db2.gz MDORAVSKPUEWFZ-INIZCTEOSA-N 0 0 448.586 -0.754 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C[C@H](O)CN3CCOCC3)C2)CC1 ZINC000749366556 1072846174 /nfs/dbraw/zinc/84/61/74/1072846174.db2.gz MDORAVSKPUEWFZ-MRXNPFEDSA-N 0 0 448.586 -0.754 20 0 IBADRN COCCCNC(=O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000749366774 1072846330 /nfs/dbraw/zinc/84/63/30/1072846330.db2.gz SBIUWYLIFPGEMO-UHFFFAOYSA-N 0 0 434.559 -0.294 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)C1=O ZINC000749367567 1072846229 /nfs/dbraw/zinc/84/62/29/1072846229.db2.gz HLVCTSTZSIJFPG-FQEVSTJZSA-N 0 0 445.432 -0.602 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)C1=O ZINC000749367568 1072846207 /nfs/dbraw/zinc/84/62/07/1072846207.db2.gz HLVCTSTZSIJFPG-HXUWFJFHSA-N 0 0 445.432 -0.602 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2C(=O)CN(C)C2=O)C1=O ZINC000749368174 1072846042 /nfs/dbraw/zinc/84/60/42/1072846042.db2.gz RTOVCBPGGPZERB-IBGZPJMESA-N 0 0 431.405 -0.688 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2C(=O)CN(C)C2=O)C1=O ZINC000749368176 1072846006 /nfs/dbraw/zinc/84/60/06/1072846006.db2.gz RTOVCBPGGPZERB-LJQANCHMSA-N 0 0 431.405 -0.688 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc(-n3cc(Br)cn3)nn2)CC1 ZINC000749368416 1072846301 /nfs/dbraw/zinc/84/63/01/1072846301.db2.gz REZBBCMQQNRNBS-UHFFFAOYSA-N 0 0 447.257 -0.604 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2ccc3ncc(I)n3c2)CC1 ZINC000749372142 1072846287 /nfs/dbraw/zinc/84/62/87/1072846287.db2.gz RIWVWERDQRHNFP-UHFFFAOYSA-N 0 0 435.247 -0.100 20 0 IBADRN Cn1cc(/C=C/C(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000749372874 1072846061 /nfs/dbraw/zinc/84/60/61/1072846061.db2.gz BXKRBSYEACZSEX-CMDGGOBGSA-N 0 0 425.489 -0.442 20 0 IBADRN Cn1cc(/C=C\C(=O)NCC(=O)N2CCN(Cc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000749372875 1072846152 /nfs/dbraw/zinc/84/61/52/1072846152.db2.gz BXKRBSYEACZSEX-HJWRWDBZSA-N 0 0 425.489 -0.442 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NC[C@H]1CCCN1C(=O)CCC(=O)N1CCOCC1 ZINC000749373129 1072846138 /nfs/dbraw/zinc/84/61/38/1072846138.db2.gz BXIWNQRDWBKVMR-QGZVFWFLSA-N 0 0 438.525 -0.628 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc(-n2cc(Br)cn2)nn1 ZINC000749375926 1072846318 /nfs/dbraw/zinc/84/63/18/1072846318.db2.gz FRZYAYUBEBSWMX-GFCCVEGCSA-N 0 0 436.270 -0.108 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc(-n2cc(Br)cn2)nn1 ZINC000749375927 1072846094 /nfs/dbraw/zinc/84/60/94/1072846094.db2.gz FRZYAYUBEBSWMX-LBPRGKRZSA-N 0 0 436.270 -0.108 20 0 IBADRN CCN(C(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)[C@@H]1CC(=O)NC1=O ZINC000749380764 1072846811 /nfs/dbraw/zinc/84/68/11/1072846811.db2.gz AONDFPIUVYJXMI-GXTWGEPZSA-N 0 0 435.458 -0.113 20 0 IBADRN CCN(C(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)[C@H]1CC(=O)NC1=O ZINC000749380766 1072846789 /nfs/dbraw/zinc/84/67/89/1072846789.db2.gz AONDFPIUVYJXMI-JSGCOSHPSA-N 0 0 435.458 -0.113 20 0 IBADRN CCN(C(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)[C@H]1CC(=O)NC1=O ZINC000749380768 1072846746 /nfs/dbraw/zinc/84/67/46/1072846746.db2.gz AONDFPIUVYJXMI-OCCSQVGLSA-N 0 0 435.458 -0.113 20 0 IBADRN CCN(C(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)[C@@H]1CC(=O)NC1=O ZINC000749380770 1072846698 /nfs/dbraw/zinc/84/66/98/1072846698.db2.gz AONDFPIUVYJXMI-TZMCWYRMSA-N 0 0 435.458 -0.113 20 0 IBADRN O=C(CNC(=O)c1ccc(-n2cc(Br)cn2)nn1)NCCN1CCOCC1 ZINC000749387441 1072846766 /nfs/dbraw/zinc/84/67/66/1072846766.db2.gz GPOWPXNEXZETGY-UHFFFAOYSA-N 0 0 438.286 -0.397 20 0 IBADRN C[C@H](CNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)N(C)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000749388677 1072846623 /nfs/dbraw/zinc/84/66/23/1072846623.db2.gz VNPCVOPUKFXIIU-HZSPNIEDSA-N 0 0 442.480 -0.498 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)N(C)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000749388678 1072846776 /nfs/dbraw/zinc/84/67/76/1072846776.db2.gz VNPCVOPUKFXIIU-MCIONIFRSA-N 0 0 442.480 -0.498 20 0 IBADRN C[C@H](CNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)N(C)C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000749388679 1072846728 /nfs/dbraw/zinc/84/67/28/1072846728.db2.gz VNPCVOPUKFXIIU-MGPQQGTHSA-N 0 0 442.480 -0.498 20 0 IBADRN C[C@H](CNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)N(C)C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000749388680 1072846667 /nfs/dbraw/zinc/84/66/67/1072846667.db2.gz VNPCVOPUKFXIIU-RDBSUJKOSA-N 0 0 442.480 -0.498 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(CCn4cncn4)CC3)cc2S1(=O)=O ZINC000749397339 1072846649 /nfs/dbraw/zinc/84/66/49/1072846649.db2.gz PRWLXRNGJOVDMW-UHFFFAOYSA-N 0 0 448.505 -0.473 20 0 IBADRN O=C(CNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCN(Cc2ccccc2)CC1 ZINC000749398749 1072846560 /nfs/dbraw/zinc/84/65/60/1072846560.db2.gz JCTZVNFVOZZXKO-UHFFFAOYSA-N 0 0 443.529 -0.055 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)NCCNS(=O)(=O)Cc2ccccc2)cc1 ZINC000749402070 1072846800 /nfs/dbraw/zinc/84/68/00/1072846800.db2.gz CUZYMRPFBZZKAQ-UHFFFAOYSA-N 0 0 427.504 -0.051 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)CC2)nn1 ZINC000749408222 1072846735 /nfs/dbraw/zinc/84/67/35/1072846735.db2.gz FJMUYVJVRZJSKN-UHFFFAOYSA-N 0 0 432.462 -0.591 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3cc(C(N)=O)c[nH]3)C2)CC1 ZINC000749414330 1072847056 /nfs/dbraw/zinc/84/70/56/1072847056.db2.gz SEBLJGDLKVAMML-UHFFFAOYSA-N 0 0 441.510 -0.180 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000749420013 1072847206 /nfs/dbraw/zinc/84/72/06/1072847206.db2.gz OOGVTBCAHATVNN-INIZCTEOSA-N 0 0 439.490 -0.033 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000749420016 1072847151 /nfs/dbraw/zinc/84/71/51/1072847151.db2.gz OOGVTBCAHATVNN-MRXNPFEDSA-N 0 0 439.490 -0.033 20 0 IBADRN COC[C@](C)(CC(=O)OC)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000749426635 1072847093 /nfs/dbraw/zinc/84/70/93/1072847093.db2.gz HDLVSUNNFUEETJ-HNNXBMFYSA-N 0 0 430.259 -0.023 20 0 IBADRN COC[C@@](C)(CC(=O)OC)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000749426638 1072847130 /nfs/dbraw/zinc/84/71/30/1072847130.db2.gz HDLVSUNNFUEETJ-OAHLLOKOSA-N 0 0 430.259 -0.023 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000749440220 1072847000 /nfs/dbraw/zinc/84/70/00/1072847000.db2.gz AMZHBSOYNQVDBB-HNNXBMFYSA-N 0 0 425.463 -0.423 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000749440221 1072847043 /nfs/dbraw/zinc/84/70/43/1072847043.db2.gz AMZHBSOYNQVDBB-OAHLLOKOSA-N 0 0 425.463 -0.423 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000749497634 1072847258 /nfs/dbraw/zinc/84/72/58/1072847258.db2.gz WETLKOTXJKYHQY-LLVKDONJSA-N 0 0 429.499 -0.140 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H]1CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000749497635 1072847289 /nfs/dbraw/zinc/84/72/89/1072847289.db2.gz WETLKOTXJKYHQY-NSHDSACASA-N 0 0 429.499 -0.140 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000749513534 1072847074 /nfs/dbraw/zinc/84/70/74/1072847074.db2.gz OEWMRLPDHUJCRZ-HNNXBMFYSA-N 0 0 439.490 -0.202 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000749513537 1072847239 /nfs/dbraw/zinc/84/72/39/1072847239.db2.gz OEWMRLPDHUJCRZ-OAHLLOKOSA-N 0 0 439.490 -0.202 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000749517456 1072847017 /nfs/dbraw/zinc/84/70/17/1072847017.db2.gz VWALYVXYGQMALC-SECBINFHSA-N 0 0 447.315 -0.567 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000749517460 1072847111 /nfs/dbraw/zinc/84/71/11/1072847111.db2.gz VWALYVXYGQMALC-VIFPVBQESA-N 0 0 447.315 -0.567 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000749518679 1072847171 /nfs/dbraw/zinc/84/71/71/1072847171.db2.gz KCOYAPVCVJZOID-CYBMUJFWSA-N 0 0 445.519 -0.369 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000749518689 1072847188 /nfs/dbraw/zinc/84/71/88/1072847188.db2.gz KCOYAPVCVJZOID-ZDUSSCGKSA-N 0 0 445.519 -0.369 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)Cn2cncn2)[C@@H](CNC(=O)Cn2cncn2)C1 ZINC000749522293 1072847275 /nfs/dbraw/zinc/84/72/75/1072847275.db2.gz CJZYQTSKJILLSB-AWEZNQCLSA-N 0 0 433.473 -0.866 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)Cn2cncn2)[C@H](CNC(=O)Cn2cncn2)C1 ZINC000749522295 1072847840 /nfs/dbraw/zinc/84/78/40/1072847840.db2.gz CJZYQTSKJILLSB-CQSZACIVSA-N 0 0 433.473 -0.866 20 0 IBADRN COC(=O)NCC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CNC(=O)OC ZINC000749523878 1072847707 /nfs/dbraw/zinc/84/77/07/1072847707.db2.gz QXFMGAHSKDZJRJ-GFCCVEGCSA-N 0 0 445.473 -0.738 20 0 IBADRN COC(=O)NCC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CNC(=O)OC ZINC000749523879 1072847646 /nfs/dbraw/zinc/84/76/46/1072847646.db2.gz QXFMGAHSKDZJRJ-LBPRGKRZSA-N 0 0 445.473 -0.738 20 0 IBADRN CC(=O)N[C@H](C)C(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@H](C)NC(C)=O ZINC000749524180 1072847543 /nfs/dbraw/zinc/84/75/43/1072847543.db2.gz VAQQPHLFVVNSFT-DVOMOZLQSA-N 0 0 441.529 -0.400 20 0 IBADRN CC(=O)N[C@H](C)C(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@@H](C)NC(C)=O ZINC000749524199 1072847695 /nfs/dbraw/zinc/84/76/95/1072847695.db2.gz VAQQPHLFVVNSFT-IOASZLSFSA-N 0 0 441.529 -0.400 20 0 IBADRN CC(=O)N[C@H](C)C(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@H](C)NC(C)=O ZINC000749524200 1072847582 /nfs/dbraw/zinc/84/75/82/1072847582.db2.gz VAQQPHLFVVNSFT-WWGRRREGSA-N 0 0 441.529 -0.400 20 0 IBADRN CC(=O)N[C@H](C)C(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@@H](C)NC(C)=O ZINC000749524203 1072847884 /nfs/dbraw/zinc/84/78/84/1072847884.db2.gz VAQQPHLFVVNSFT-XJKCOSOUSA-N 0 0 441.529 -0.400 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000749530382 1072847559 /nfs/dbraw/zinc/84/75/59/1072847559.db2.gz GZLIDCBBPLAVEA-INIZCTEOSA-N 0 0 438.506 -0.046 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000749530385 1072847600 /nfs/dbraw/zinc/84/76/00/1072847600.db2.gz GZLIDCBBPLAVEA-MRXNPFEDSA-N 0 0 438.506 -0.046 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000749534844 1072847749 /nfs/dbraw/zinc/84/77/49/1072847749.db2.gz FGFYEBVONOOVHA-DYESRHJHSA-N 0 0 448.476 -0.261 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000749534847 1072847611 /nfs/dbraw/zinc/84/76/11/1072847611.db2.gz FGFYEBVONOOVHA-LAUBAEHRSA-N 0 0 448.476 -0.261 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000749534849 1072847785 /nfs/dbraw/zinc/84/77/85/1072847785.db2.gz FGFYEBVONOOVHA-UTKZUKDTSA-N 0 0 448.476 -0.261 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000749534850 1072847473 /nfs/dbraw/zinc/84/74/73/1072847473.db2.gz FGFYEBVONOOVHA-UWJYYQICSA-N 0 0 448.476 -0.261 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000749535584 1072847513 /nfs/dbraw/zinc/84/75/13/1072847513.db2.gz RBZTVONKEUQLPJ-DYESRHJHSA-N 0 0 448.476 -0.261 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000749535587 1072847720 /nfs/dbraw/zinc/84/77/20/1072847720.db2.gz RBZTVONKEUQLPJ-LAUBAEHRSA-N 0 0 448.476 -0.261 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000749535589 1072847862 /nfs/dbraw/zinc/84/78/62/1072847862.db2.gz RBZTVONKEUQLPJ-UTKZUKDTSA-N 0 0 448.476 -0.261 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000749535591 1072847661 /nfs/dbraw/zinc/84/76/61/1072847661.db2.gz RBZTVONKEUQLPJ-UWJYYQICSA-N 0 0 448.476 -0.261 20 0 IBADRN O=C(COC(=O)CN1CCCC1=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000749554496 1072848046 /nfs/dbraw/zinc/84/80/46/1072848046.db2.gz IFLCYGOBNIVFKW-UHFFFAOYSA-N 0 0 439.490 -0.511 20 0 IBADRN O=C(CN1CCCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000749554504 1072848172 /nfs/dbraw/zinc/84/81/72/1072848172.db2.gz IKESVYSDLJYGSK-UHFFFAOYSA-N 0 0 427.454 -0.176 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CN3CCCC3=O)CC2)cc1 ZINC000749554931 1072847850 /nfs/dbraw/zinc/84/78/50/1072847850.db2.gz MJNRFIZFADEGEG-UHFFFAOYSA-N 0 0 439.490 -0.306 20 0 IBADRN O=C(CN1CCCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000749554983 1072847819 /nfs/dbraw/zinc/84/78/19/1072847819.db2.gz NILYGNPJSIJNRX-UHFFFAOYSA-N 0 0 445.444 -0.037 20 0 IBADRN O=C(CN1CCCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000749555440 1072848287 /nfs/dbraw/zinc/84/82/87/1072848287.db2.gz UGTSWYBHSSMVEV-UHFFFAOYSA-N 0 0 427.454 -0.176 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)N[C@@H]1CCOc3ccccc31)c(=O)n2C ZINC000749556767 1072848213 /nfs/dbraw/zinc/84/82/13/1072848213.db2.gz BDTYJLOEOQPZRJ-CYBMUJFWSA-N 0 0 427.417 -0.383 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)N[C@H]1CCOc3ccccc31)c(=O)n2C ZINC000749556771 1072848271 /nfs/dbraw/zinc/84/82/71/1072848271.db2.gz BDTYJLOEOQPZRJ-ZDUSSCGKSA-N 0 0 427.417 -0.383 20 0 IBADRN CCN(CC)C(=O)CSc1nnc(SCC(=O)N2CCCC[C@@H]2C(N)=O)n1N ZINC000749558098 1072848069 /nfs/dbraw/zinc/84/80/69/1072848069.db2.gz OXDOXCLNDZMRKU-LLVKDONJSA-N 0 0 429.572 -0.089 20 0 IBADRN CCN(CC)C(=O)CSc1nnc(SCC(=O)N2CCCC[C@H]2C(N)=O)n1N ZINC000749558149 1072848343 /nfs/dbraw/zinc/84/83/43/1072848343.db2.gz OXDOXCLNDZMRKU-NSHDSACASA-N 0 0 429.572 -0.089 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCOc1ccc(Cl)cc1)c(=O)n2C ZINC000749558731 1072848140 /nfs/dbraw/zinc/84/81/40/1072848140.db2.gz RUTBFFNKAYUJRD-UHFFFAOYSA-N 0 0 449.851 -0.175 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccccc1 ZINC000749559124 1072848057 /nfs/dbraw/zinc/84/80/57/1072848057.db2.gz YTMYKFRZKMMJHO-UHFFFAOYSA-N 0 0 442.476 -0.380 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000749563126 1072848124 /nfs/dbraw/zinc/84/81/24/1072848124.db2.gz IISDFRKEPQAWIR-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000749563127 1072848253 /nfs/dbraw/zinc/84/82/53/1072848253.db2.gz IISDFRKEPQAWIR-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)=NN(c2ccccc2)C1=O ZINC000749570824 1072848111 /nfs/dbraw/zinc/84/81/11/1072848111.db2.gz BJQKQMBGOLXPJD-AWEZNQCLSA-N 0 0 440.460 -0.855 20 0 IBADRN C[C@H]1NC(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)=NN(c2ccccc2)C1=O ZINC000749570826 1072848202 /nfs/dbraw/zinc/84/82/02/1072848202.db2.gz BJQKQMBGOLXPJD-CQSZACIVSA-N 0 0 440.460 -0.855 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C\C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000749571726 1072848099 /nfs/dbraw/zinc/84/80/99/1072848099.db2.gz LFHBDLGVLHJJQX-DAXSKMNVSA-N 0 0 448.501 -0.109 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C/C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000749571729 1072848232 /nfs/dbraw/zinc/84/82/32/1072848232.db2.gz LFHBDLGVLHJJQX-QPJJXVBHSA-N 0 0 448.501 -0.109 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000749573325 1072848154 /nfs/dbraw/zinc/84/81/54/1072848154.db2.gz WZFCRDHKWQAODQ-GFCCVEGCSA-N 0 0 432.515 -0.026 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000749573326 1072848307 /nfs/dbraw/zinc/84/83/07/1072848307.db2.gz WZFCRDHKWQAODQ-LBPRGKRZSA-N 0 0 432.515 -0.026 20 0 IBADRN O=C(C1CC1)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000749574401 1072848327 /nfs/dbraw/zinc/84/83/27/1072848327.db2.gz WJYYUTCKHCLKCM-CVEARBPZSA-N 0 0 447.579 -0.704 20 0 IBADRN O=C(C1CC1)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000749574403 1072848187 /nfs/dbraw/zinc/84/81/87/1072848187.db2.gz WJYYUTCKHCLKCM-HOTGVXAUSA-N 0 0 447.579 -0.704 20 0 IBADRN O=C(C1CC1)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000749574405 1072848086 /nfs/dbraw/zinc/84/80/86/1072848086.db2.gz WJYYUTCKHCLKCM-HZPDHXFCSA-N 0 0 447.579 -0.704 20 0 IBADRN O=C(C1CC1)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000749574408 1072848645 /nfs/dbraw/zinc/84/86/45/1072848645.db2.gz WJYYUTCKHCLKCM-JKSUJKDBSA-N 0 0 447.579 -0.704 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CC[C@@H]2NC(=O)NC2=O)C1=O ZINC000749576665 1072848678 /nfs/dbraw/zinc/84/86/78/1072848678.db2.gz KNLIAFYNQIOIAD-RBZFPXEDSA-N 0 0 445.432 -0.508 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CC[C@@H]2NC(=O)NC2=O)C1=O ZINC000749576676 1072848797 /nfs/dbraw/zinc/84/87/97/1072848797.db2.gz KNLIAFYNQIOIAD-RNODOKPDSA-N 0 0 445.432 -0.508 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CC[C@H]2NC(=O)NC2=O)C1=O ZINC000749576681 1072848785 /nfs/dbraw/zinc/84/87/85/1072848785.db2.gz KNLIAFYNQIOIAD-XCLFUZPHSA-N 0 0 445.432 -0.508 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CC[C@H]2NC(=O)NC2=O)C1=O ZINC000749576687 1072848739 /nfs/dbraw/zinc/84/87/39/1072848739.db2.gz KNLIAFYNQIOIAD-ZUOKHONESA-N 0 0 445.432 -0.508 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CC[C@H]2NC(=O)NC2=O)C1=O ZINC000749577854 1072848704 /nfs/dbraw/zinc/84/87/04/1072848704.db2.gz UMWMGVQJJHDRJI-BLVKFPJESA-N 0 0 431.405 -0.594 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CC[C@@H]2NC(=O)NC2=O)C1=O ZINC000749577860 1072848713 /nfs/dbraw/zinc/84/87/13/1072848713.db2.gz UMWMGVQJJHDRJI-BUXKBTBVSA-N 0 0 431.405 -0.594 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CC[C@H]2NC(=O)NC2=O)C1=O ZINC000749577864 1072848552 /nfs/dbraw/zinc/84/85/52/1072848552.db2.gz UMWMGVQJJHDRJI-CWTRNNRKSA-N 0 0 431.405 -0.594 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CC[C@@H]2NC(=O)NC2=O)C1=O ZINC000749577868 1072848689 /nfs/dbraw/zinc/84/86/89/1072848689.db2.gz UMWMGVQJJHDRJI-HXPMCKFVSA-N 0 0 431.405 -0.594 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000749585646 1072848775 /nfs/dbraw/zinc/84/87/75/1072848775.db2.gz CZQZWBIFCURPBY-GFCCVEGCSA-N 0 0 426.451 -0.557 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000749585660 1072848625 /nfs/dbraw/zinc/84/86/25/1072848625.db2.gz CZQZWBIFCURPBY-LBPRGKRZSA-N 0 0 426.451 -0.557 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000749586291 1072848720 /nfs/dbraw/zinc/84/87/20/1072848720.db2.gz JQPFLZXQNGFCMR-UHFFFAOYSA-N 0 0 431.442 -0.663 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000749587386 1072848750 /nfs/dbraw/zinc/84/87/50/1072848750.db2.gz QLHUJUFBDZRJIU-UHFFFAOYSA-N 0 0 436.490 -0.276 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)N1CCN(c2ccccc2F)CC1 ZINC000749589960 1072848729 /nfs/dbraw/zinc/84/87/29/1072848729.db2.gz ZVWBAJBWRNLLOK-UHFFFAOYSA-N 0 0 449.464 -0.013 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000749593788 1072848639 /nfs/dbraw/zinc/84/86/39/1072848639.db2.gz DPPIJBGIMAWGBN-UHFFFAOYSA-N 0 0 447.473 -0.183 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000749594133 1072848696 /nfs/dbraw/zinc/84/86/96/1072848696.db2.gz FXDHDORCHVLCKU-UHFFFAOYSA-N 0 0 428.448 -0.774 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000749595373 1072848580 /nfs/dbraw/zinc/84/85/80/1072848580.db2.gz NMSKAMABGAPTCF-UHFFFAOYSA-N 0 0 441.466 -0.764 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)c1 ZINC000749595865 1072848764 /nfs/dbraw/zinc/84/87/64/1072848764.db2.gz RFXNLEYAWYXMNE-UHFFFAOYSA-N 0 0 433.446 -0.112 20 0 IBADRN O=C(CNC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(Cc2ccccc2)CC1 ZINC000749614610 1072848595 /nfs/dbraw/zinc/84/85/95/1072848595.db2.gz ANBTZJKYWZTCJR-UHFFFAOYSA-N 0 0 443.529 -0.055 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC000749626962 1072848659 /nfs/dbraw/zinc/84/86/59/1072848659.db2.gz VSXKKSNRWGNTHO-UHFFFAOYSA-N 0 0 442.494 -0.150 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000749627717 1072849196 /nfs/dbraw/zinc/84/91/96/1072849196.db2.gz CRVOBEHFWWFPBZ-UHFFFAOYSA-N 0 0 433.465 -0.546 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCc2ccccc2)cc1 ZINC000749627901 1072848974 /nfs/dbraw/zinc/84/89/74/1072848974.db2.gz HXNUZWSGRJSZEE-UHFFFAOYSA-N 0 0 448.501 -0.018 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000749627904 1072849033 /nfs/dbraw/zinc/84/90/33/1072849033.db2.gz HXXSECMONRYNQD-UHFFFAOYSA-N 0 0 431.449 -0.792 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000749628239 1072849244 /nfs/dbraw/zinc/84/92/44/1072849244.db2.gz GXYQZQQTQSYOOM-UHFFFAOYSA-N 0 0 447.492 -0.739 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC3(CC2)OCCO3)cc1 ZINC000749629331 1072849100 /nfs/dbraw/zinc/84/91/00/1072849100.db2.gz OUBGTDMMPMBCIP-UHFFFAOYSA-N 0 0 427.479 -0.085 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000749630268 1072849193 /nfs/dbraw/zinc/84/91/93/1072849193.db2.gz XQPOUTXAHDRRAU-UHFFFAOYSA-N 0 0 445.476 -0.448 20 0 IBADRN CCCN(C)C(=O)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000749633504 1072849077 /nfs/dbraw/zinc/84/90/77/1072849077.db2.gz PWZHGTGUSMLVCO-GXTWGEPZSA-N 0 0 430.552 -0.078 20 0 IBADRN CCCN(C)C(=O)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000749633509 1072849213 /nfs/dbraw/zinc/84/92/13/1072849213.db2.gz PWZHGTGUSMLVCO-JSGCOSHPSA-N 0 0 430.552 -0.078 20 0 IBADRN CCCN(C)C(=O)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000749633511 1072849127 /nfs/dbraw/zinc/84/91/27/1072849127.db2.gz PWZHGTGUSMLVCO-OCCSQVGLSA-N 0 0 430.552 -0.078 20 0 IBADRN CCCN(C)C(=O)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000749633513 1072849138 /nfs/dbraw/zinc/84/91/38/1072849138.db2.gz PWZHGTGUSMLVCO-TZMCWYRMSA-N 0 0 430.552 -0.078 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)OC)CC2)cc1 ZINC000749633754 1072849006 /nfs/dbraw/zinc/84/90/06/1072849006.db2.gz QMDWEBDJNGQAFX-UHFFFAOYSA-N 0 0 428.467 -0.540 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000749633801 1072849043 /nfs/dbraw/zinc/84/90/43/1072849043.db2.gz KOHPPAXIHFRPPB-UHFFFAOYSA-N 0 0 427.483 -0.791 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NC2CCN(C(=O)OC)CC2)cc1 ZINC000749634982 1072849089 /nfs/dbraw/zinc/84/90/89/1072849089.db2.gz GPGJWDZSQCACLN-UHFFFAOYSA-N 0 0 442.494 -0.103 20 0 IBADRN CCS(=O)(=O)Nc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000749637414 1071352669 /nfs/dbraw/zinc/35/26/69/1071352669.db2.gz BFQGGROARZUUMI-UHFFFAOYSA-N 0 0 448.523 -0.835 20 0 IBADRN CC(C)S(=O)(=O)c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000749637599 1072849225 /nfs/dbraw/zinc/84/92/25/1072849225.db2.gz FHROUYSBYWGUGR-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000749639130 1072849148 /nfs/dbraw/zinc/84/91/48/1072849148.db2.gz RUALDPLCPPABJV-UHFFFAOYSA-N 0 0 437.482 -0.923 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)cc1 ZINC000749639800 1072849021 /nfs/dbraw/zinc/84/90/21/1072849021.db2.gz VDZWCFYWBSRYBN-AWEZNQCLSA-N 0 0 442.494 -0.103 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)cc1 ZINC000749639801 1072848990 /nfs/dbraw/zinc/84/89/90/1072848990.db2.gz VDZWCFYWBSRYBN-CQSZACIVSA-N 0 0 442.494 -0.103 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000749639869 1072849115 /nfs/dbraw/zinc/84/91/15/1072849115.db2.gz XXJJNOHAQVHPDR-UHFFFAOYSA-N 0 0 428.467 -0.963 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)cc1 ZINC000749641596 1072849059 /nfs/dbraw/zinc/84/90/59/1072849059.db2.gz BWYNKFUHHJGTSP-UHFFFAOYSA-N 0 0 444.535 -0.247 20 0 IBADRN CCn1nnnc1-c1cccc(NC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC000749642000 1072849166 /nfs/dbraw/zinc/84/91/66/1072849166.db2.gz CQDLVIRRVYUYJD-UHFFFAOYSA-N 0 0 449.537 -0.076 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000749643278 1072849845 /nfs/dbraw/zinc/84/98/45/1072849845.db2.gz KUFIBCXSEVZOEB-UHFFFAOYSA-N 0 0 433.465 -0.545 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CCS(C)(=O)=O)ccc1S(=O)(=O)N1CCCC1 ZINC000749644279 1072849682 /nfs/dbraw/zinc/84/96/82/1072849682.db2.gz UAGMSPZRHBUZLR-UHFFFAOYSA-N 0 0 447.535 -0.079 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN2CCOC2=O)cc1 ZINC000749645029 1072849861 /nfs/dbraw/zinc/84/98/61/1072849861.db2.gz WIWZNKRBJMTALT-UHFFFAOYSA-N 0 0 428.467 -0.492 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCCC2)c(OC)c1 ZINC000749650383 1072849833 /nfs/dbraw/zinc/84/98/33/1072849833.db2.gz TUBRSVOFVSIWFJ-UHFFFAOYSA-N 0 0 447.535 -0.031 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)C(C)(C)C)cc1 ZINC000749654257 1072849657 /nfs/dbraw/zinc/84/96/57/1072849657.db2.gz GVFYXFQMEQBKRW-UHFFFAOYSA-N 0 0 449.551 -0.121 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cc1 ZINC000749659864 1072849668 /nfs/dbraw/zinc/84/96/68/1072849668.db2.gz CRWHWTWTYJTEDQ-UHFFFAOYSA-N 0 0 428.449 -0.299 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000749664428 1072850287 /nfs/dbraw/zinc/85/02/87/1072850287.db2.gz QDIJCSDRDDFYQF-HNNXBMFYSA-N 0 0 442.520 -0.627 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000749664429 1072849575 /nfs/dbraw/zinc/84/95/75/1072849575.db2.gz QDIJCSDRDDFYQF-OAHLLOKOSA-N 0 0 442.520 -0.627 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000749664435 1072849740 /nfs/dbraw/zinc/84/97/40/1072849740.db2.gz QHLLQIQRIAJZFD-INIZCTEOSA-N 0 0 443.504 -0.341 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000749664437 1072850443 /nfs/dbraw/zinc/85/04/43/1072850443.db2.gz QHLLQIQRIAJZFD-MRXNPFEDSA-N 0 0 443.504 -0.341 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(CN3CCOC3=O)c2)CC1 ZINC000749665393 1072850220 /nfs/dbraw/zinc/85/02/20/1072850220.db2.gz AJPMJNHDKQAWKD-UHFFFAOYSA-N 0 0 447.492 -0.516 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN(C)C(=O)OC)cc2)CC1 ZINC000749665410 1072850469 /nfs/dbraw/zinc/85/04/69/1072850469.db2.gz ARRUZLPTBFHCFW-UHFFFAOYSA-N 0 0 449.508 -0.270 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=S)NC[C@H]3CCOC3)CC2)cc1 ZINC000749665642 1072850093 /nfs/dbraw/zinc/85/00/93/1072850093.db2.gz NRPIKZMGPVWGHU-CYBMUJFWSA-N 0 0 448.592 -0.449 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=S)NC[C@@H]3CCOC3)CC2)cc1 ZINC000749665643 1072850346 /nfs/dbraw/zinc/85/03/46/1072850346.db2.gz NRPIKZMGPVWGHU-ZDUSSCGKSA-N 0 0 448.592 -0.449 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CN3CCOC3=O)cc2)CC1 ZINC000749666208 1072850457 /nfs/dbraw/zinc/85/04/57/1072850457.db2.gz FVMUQKQZKTYICS-UHFFFAOYSA-N 0 0 447.492 -0.516 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2c(F)cccc2-n2cccn2)CC1 ZINC000749666323 1072850120 /nfs/dbraw/zinc/85/01/20/1072850120.db2.gz HVJDEHFRDAVVCR-UHFFFAOYSA-N 0 0 432.456 -0.143 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(NC(C)=O)c(F)cc2F)CC1 ZINC000749666346 1072850273 /nfs/dbraw/zinc/85/02/73/1072850273.db2.gz IXCWXDMRIAJCBI-UHFFFAOYSA-N 0 0 441.435 -0.232 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3ccnc3C)nc2)CC1 ZINC000749666413 1072850170 /nfs/dbraw/zinc/85/01/70/1072850170.db2.gz JWJQANNMOCLEML-UHFFFAOYSA-N 0 0 429.481 -0.579 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(Cl)cc(C(=O)OC)c2)CC1 ZINC000749666419 1072850362 /nfs/dbraw/zinc/85/03/62/1072850362.db2.gz KDVHKDKSMKKKKI-UHFFFAOYSA-N 0 0 440.884 -0.028 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2-c2noc(C)n2)CC1 ZINC000749666428 1072850311 /nfs/dbraw/zinc/85/03/11/1072850311.db2.gz KOVIZCMQNTZLNE-UHFFFAOYSA-N 0 0 430.465 -0.110 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(N3CCOC3=O)c2)CC1 ZINC000749666758 1072850758 /nfs/dbraw/zinc/85/07/58/1072850758.db2.gz LIZAZRKXKHPMEB-UHFFFAOYSA-N 0 0 447.492 -0.203 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000749666788 1072850718 /nfs/dbraw/zinc/85/07/18/1072850718.db2.gz LPZFCJVNTISCEC-UHFFFAOYSA-N 0 0 444.536 -0.426 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N(C)C(=O)OC)cc2)CC1 ZINC000749666813 1072850685 /nfs/dbraw/zinc/85/06/85/1072850685.db2.gz LVPPIGXEVVXYCM-UHFFFAOYSA-N 0 0 435.481 -0.266 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(=O)N(C)OC)cc2)CC1 ZINC000749666837 1072850704 /nfs/dbraw/zinc/85/07/04/1072850704.db2.gz MLNHWRPHLHIFBS-UHFFFAOYSA-N 0 0 435.481 -0.835 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)CC1 ZINC000749666843 1072850747 /nfs/dbraw/zinc/85/07/47/1072850747.db2.gz MNHSAIJRFFIABQ-UHFFFAOYSA-N 0 0 433.465 -0.512 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(CNC(=O)OC)cc2)CC1 ZINC000749666971 1072850697 /nfs/dbraw/zinc/85/06/97/1072850697.db2.gz OPZOVERXMRGDTR-UHFFFAOYSA-N 0 0 435.481 -0.612 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCOC3=O)c2C)CC1 ZINC000749667234 1072850154 /nfs/dbraw/zinc/85/01/54/1072850154.db2.gz PYSPSXJYEOVVKE-UHFFFAOYSA-N 0 0 447.492 -0.203 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)c(NC(=O)OC)c2)CC1 ZINC000749667246 1072850141 /nfs/dbraw/zinc/85/01/41/1072850141.db2.gz QMUFHBHIZTXISZ-UHFFFAOYSA-N 0 0 439.444 -0.151 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)CC1 ZINC000749667425 1072850202 /nfs/dbraw/zinc/85/02/02/1072850202.db2.gz RRSYZRIYXLOMQH-UHFFFAOYSA-N 0 0 433.465 -0.512 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCO[C@@H](C)C3)cc2)CC1 ZINC000749667528 1072850871 /nfs/dbraw/zinc/85/08/71/1072850871.db2.gz UVKZHGHSOVWEJM-KRWDZBQOSA-N 0 0 447.536 -0.243 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCO[C@H](C)C3)cc2)CC1 ZINC000749667529 1072850840 /nfs/dbraw/zinc/85/08/40/1072850840.db2.gz UVKZHGHSOVWEJM-QGZVFWFLSA-N 0 0 447.536 -0.243 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC000749667614 1072850725 /nfs/dbraw/zinc/85/07/25/1072850725.db2.gz VFEWAEORHCYETO-UHFFFAOYSA-N 0 0 433.509 -0.632 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C(C)(C)C(=O)OC)cc2)CC1 ZINC000749667718 1072850886 /nfs/dbraw/zinc/85/08/86/1072850886.db2.gz WRYDREWNKLKTGK-UHFFFAOYSA-N 0 0 448.520 -0.018 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(N3CCCC3=O)ccc2F)CC1 ZINC000749667791 1072850821 /nfs/dbraw/zinc/85/08/21/1072850821.db2.gz YDIWQDZDQUBDEW-UHFFFAOYSA-N 0 0 449.483 -0.202 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(N3CCOC3=O)ccc2C)CC1 ZINC000749667796 1072850666 /nfs/dbraw/zinc/85/06/66/1072850666.db2.gz YDYLCTSUTXJZJY-UHFFFAOYSA-N 0 0 447.492 -0.203 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(Cl)c2)CC1 ZINC000749667802 1072850781 /nfs/dbraw/zinc/85/07/81/1072850781.db2.gz YGMQAOSRNAQFAG-UHFFFAOYSA-N 0 0 449.899 -0.234 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)COC)cc2)CC1 ZINC000749667808 1072850857 /nfs/dbraw/zinc/85/08/57/1072850857.db2.gz YJIVWEQCALXCIT-UHFFFAOYSA-N 0 0 435.481 -0.883 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOC[C@@H]3C)cc2)CC1 ZINC000749667811 1072850832 /nfs/dbraw/zinc/85/08/32/1072850832.db2.gz YQLSQUUYIWWDQA-KRWDZBQOSA-N 0 0 447.536 -0.243 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOC[C@H]3C)cc2)CC1 ZINC000749667812 1072850807 /nfs/dbraw/zinc/85/08/07/1072850807.db2.gz YQLSQUUYIWWDQA-QGZVFWFLSA-N 0 0 447.536 -0.243 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2N2CCOC2=O)CC1 ZINC000749667814 1072850764 /nfs/dbraw/zinc/85/07/64/1072850764.db2.gz YSEYRDXUDMSFIM-UHFFFAOYSA-N 0 0 433.465 -0.512 20 0 IBADRN O=C(Nc1cccc(Cl)c1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749669117 1072851117 /nfs/dbraw/zinc/85/11/17/1072851117.db2.gz AUHLDGMTRQBBJB-AWEZNQCLSA-N 0 0 449.938 -0.060 20 0 IBADRN O=C(Nc1cccc(Cl)c1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749669119 1072851313 /nfs/dbraw/zinc/85/13/13/1072851313.db2.gz AUHLDGMTRQBBJB-CQSZACIVSA-N 0 0 449.938 -0.060 20 0 IBADRN O=C(Nc1ccccc1F)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749669121 1072851284 /nfs/dbraw/zinc/85/12/84/1072851284.db2.gz AUKADWWUFMXANE-GFCCVEGCSA-N 0 0 433.483 -0.575 20 0 IBADRN O=C(Nc1ccccc1F)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749669154 1072851219 /nfs/dbraw/zinc/85/12/19/1072851219.db2.gz AUKADWWUFMXANE-LBPRGKRZSA-N 0 0 433.483 -0.575 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC000749669222 1072851299 /nfs/dbraw/zinc/85/12/99/1072851299.db2.gz BIJFJARJBRHJRV-AWEZNQCLSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC000749669224 1072851054 /nfs/dbraw/zinc/85/10/54/1072851054.db2.gz BIJFJARJBRHJRV-CQSZACIVSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1N1CCOC1=O ZINC000749669457 1072850736 /nfs/dbraw/zinc/85/07/36/1072850736.db2.gz ARQBTIPXNCWGTI-UHFFFAOYSA-N 0 0 442.436 -0.752 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2)n1 ZINC000749669572 1072851236 /nfs/dbraw/zinc/85/12/36/1072851236.db2.gz DODAHOJTEZENOP-UHFFFAOYSA-N 0 0 439.476 -0.291 20 0 IBADRN CC(C)(C)NC(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000749669591 1072851753 /nfs/dbraw/zinc/85/17/53/1072851753.db2.gz DWVLYSLCMQNZOJ-UHFFFAOYSA-N 0 0 442.480 -0.489 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000749669628 1072851815 /nfs/dbraw/zinc/85/18/15/1072851815.db2.gz KFWKTRWJMNQHNJ-UHFFFAOYSA-N 0 0 428.511 -0.012 20 0 IBADRN Cc1ccc(F)c(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749669890 1072851355 /nfs/dbraw/zinc/85/13/55/1072851355.db2.gz FFOHYHAAHKULMP-CYBMUJFWSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1ccc(F)c(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749669892 1072851268 /nfs/dbraw/zinc/85/12/68/1072851268.db2.gz FFOHYHAAHKULMP-ZDUSSCGKSA-N 0 0 447.510 -0.266 20 0 IBADRN COC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749669979 1072851151 /nfs/dbraw/zinc/85/11/51/1072851151.db2.gz GHFTZFKGQQBSAK-UHFFFAOYSA-N 0 0 430.425 -0.815 20 0 IBADRN CCCCOC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749669990 1072851095 /nfs/dbraw/zinc/85/10/95/1072851095.db2.gz GTYZHZAWVYJKSJ-UHFFFAOYSA-N 0 0 443.464 -0.061 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(F)cc1F ZINC000749669993 1072851252 /nfs/dbraw/zinc/85/12/52/1072851252.db2.gz GUKMIAUDCREHFU-UHFFFAOYSA-N 0 0 436.379 -0.781 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000749670017 1072851184 /nfs/dbraw/zinc/85/11/84/1072851184.db2.gz HWIOYXCILUPUSV-UHFFFAOYSA-N 0 0 448.871 -0.662 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(Cl)c2)CC1 ZINC000749670031 1072851199 /nfs/dbraw/zinc/85/11/99/1072851199.db2.gz IHOZZIGRIABCRY-UHFFFAOYSA-N 0 0 444.843 -0.783 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000749670381 1072851135 /nfs/dbraw/zinc/85/11/35/1072851135.db2.gz GODFSBAMDITXMO-HNNXBMFYSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000749670382 1072851342 /nfs/dbraw/zinc/85/13/42/1072851342.db2.gz GODFSBAMDITXMO-OAHLLOKOSA-N 0 0 443.547 -0.097 20 0 IBADRN COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749670399 1072851210 /nfs/dbraw/zinc/85/12/10/1072851210.db2.gz GWUKQIJFIBJIRA-HNNXBMFYSA-N 0 0 445.519 -0.705 20 0 IBADRN COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749670400 1072851327 /nfs/dbraw/zinc/85/13/27/1072851327.db2.gz GWUKQIJFIBJIRA-OAHLLOKOSA-N 0 0 445.519 -0.705 20 0 IBADRN O=C(Nc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749670403 1072851170 /nfs/dbraw/zinc/85/11/70/1072851170.db2.gz GYBMEWRKDMDEIJ-AWEZNQCLSA-N 0 0 433.483 -0.575 20 0 IBADRN O=C(Nc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749670404 1072851077 /nfs/dbraw/zinc/85/10/77/1072851077.db2.gz GYBMEWRKDMDEIJ-CQSZACIVSA-N 0 0 433.483 -0.575 20 0 IBADRN CCN(CC)C(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000749670645 1072851833 /nfs/dbraw/zinc/85/18/33/1072851833.db2.gz IRRWBTIBUMRNBH-UHFFFAOYSA-N 0 0 442.480 -0.535 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)Cn3cncn3)cc2)CC1 ZINC000749670944 1072851615 /nfs/dbraw/zinc/85/16/15/1072851615.db2.gz QJPCZSDYFGYXIE-HNNXBMFYSA-N 0 0 429.481 -0.284 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)Cn3cncn3)cc2)CC1 ZINC000749670950 1072851573 /nfs/dbraw/zinc/85/15/73/1072851573.db2.gz QJPCZSDYFGYXIE-OAHLLOKOSA-N 0 0 429.481 -0.284 20 0 IBADRN CC(C)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1F ZINC000749670966 1072851717 /nfs/dbraw/zinc/85/17/17/1072851717.db2.gz LLOUOTBDKLKSGZ-UHFFFAOYSA-N 0 0 432.460 -0.034 20 0 IBADRN Cc1cccc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749671043 1072851564 /nfs/dbraw/zinc/85/15/64/1072851564.db2.gz IGRNDEVUDOBYJA-HNNXBMFYSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1cccc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749671045 1072851517 /nfs/dbraw/zinc/85/15/17/1072851517.db2.gz IGRNDEVUDOBYJA-OAHLLOKOSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749671051 1072851792 /nfs/dbraw/zinc/85/17/92/1072851792.db2.gz IIIKQOBMRKUWGB-HNNXBMFYSA-N 0 0 429.520 -0.405 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749671058 1072851635 /nfs/dbraw/zinc/85/16/35/1072851635.db2.gz IIIKQOBMRKUWGB-OAHLLOKOSA-N 0 0 429.520 -0.405 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2c(F)cccc2-n2cccn2)CC1 ZINC000749671544 1072851772 /nfs/dbraw/zinc/85/17/72/1072851772.db2.gz NCVUDEOEUNVRGJ-UHFFFAOYSA-N 0 0 427.400 -0.693 20 0 IBADRN CCOC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749671552 1072851695 /nfs/dbraw/zinc/85/16/95/1072851695.db2.gz NRCSISCWQMUWOX-UHFFFAOYSA-N 0 0 444.452 -0.425 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCSCC3)cc2)CC1 ZINC000749671561 1072851678 /nfs/dbraw/zinc/85/16/78/1072851678.db2.gz NYWAOXSQIVHMLY-UHFFFAOYSA-N 0 0 444.521 -0.464 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2)CCO1 ZINC000749671594 1072851595 /nfs/dbraw/zinc/85/15/95/1072851595.db2.gz OXMPXPHQOPRJPK-HNNXBMFYSA-N 0 0 442.480 -0.792 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2)CCO1 ZINC000749671599 1072852085 /nfs/dbraw/zinc/85/20/85/1072852085.db2.gz OXMPXPHQOPRJPK-OAHLLOKOSA-N 0 0 442.480 -0.792 20 0 IBADRN C[C@H]1COCCN1c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749671635 1072852054 /nfs/dbraw/zinc/85/20/54/1072852054.db2.gz PJHTZWYCSQRGFL-HNNXBMFYSA-N 0 0 442.480 -0.792 20 0 IBADRN C[C@@H]1COCCN1c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749671637 1072851962 /nfs/dbraw/zinc/85/19/62/1072851962.db2.gz PJHTZWYCSQRGFL-OAHLLOKOSA-N 0 0 442.480 -0.792 20 0 IBADRN CCOC(=O)/C=C/c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749671710 1072852266 /nfs/dbraw/zinc/85/22/66/1072852266.db2.gz QEFAZJFJODQIBA-VMPITWQZSA-N 0 0 441.448 -0.441 20 0 IBADRN CCOC(=O)/C=C\c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749671713 1072852194 /nfs/dbraw/zinc/85/21/94/1072852194.db2.gz QEFAZJFJODQIBA-YVMONPNESA-N 0 0 441.448 -0.441 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC(N2CCNC2=O)CC1 ZINC000749672124 1072851505 /nfs/dbraw/zinc/85/15/05/1072851505.db2.gz LSOIYPPMZUYKFB-UHFFFAOYSA-N 0 0 449.533 -0.158 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCCCC3)c2)CC1 ZINC000749672416 1072851738 /nfs/dbraw/zinc/85/17/38/1072851738.db2.gz RBTPCRJWFHPHRM-UHFFFAOYSA-N 0 0 426.481 -0.027 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3)c(F)c2)CC1 ZINC000749672439 1072851544 /nfs/dbraw/zinc/85/15/44/1072851544.db2.gz RLYCSDJMBNKBKQ-UHFFFAOYSA-N 0 0 430.444 -0.278 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(N3CCCC3=O)ccc2F)CC1 ZINC000749672443 1072851526 /nfs/dbraw/zinc/85/15/26/1072851526.db2.gz RMEBQZWXDIWTRS-UHFFFAOYSA-N 0 0 444.427 -0.752 20 0 IBADRN CCOC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749672451 1072851803 /nfs/dbraw/zinc/85/18/03/1072851803.db2.gz RSOLSQPRZLRLPZ-UHFFFAOYSA-N 0 0 430.425 -0.449 20 0 IBADRN Cc1ccc(N2CCOC2=O)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000749672466 1072851656 /nfs/dbraw/zinc/85/16/56/1072851656.db2.gz SGWJGYRAKMOAMX-UHFFFAOYSA-N 0 0 442.436 -0.752 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCCCC3)cc2)CC1 ZINC000749672506 1072851944 /nfs/dbraw/zinc/85/19/44/1072851944.db2.gz UCEKFYCYPDFMPZ-UHFFFAOYSA-N 0 0 426.481 -0.027 20 0 IBADRN COC(=O)C(C)(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749672514 1072852101 /nfs/dbraw/zinc/85/21/01/1072852101.db2.gz UQMWFCIJFNEZMH-UHFFFAOYSA-N 0 0 443.464 -0.567 20 0 IBADRN COC(=O)Nc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1F ZINC000749672558 1072852251 /nfs/dbraw/zinc/85/22/51/1072852251.db2.gz VHKLVIRNYGUBDH-UHFFFAOYSA-N 0 0 434.388 -0.700 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000749672767 1072851980 /nfs/dbraw/zinc/85/19/80/1072851980.db2.gz OOWJEIRJLJCCIU-INIZCTEOSA-N 0 0 443.547 -0.151 20 0 IBADRN CCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000749672771 1072852176 /nfs/dbraw/zinc/85/21/76/1072852176.db2.gz OOWJEIRJLJCCIU-MRXNPFEDSA-N 0 0 443.547 -0.151 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cccc(-n4cnnn4)c3)C2=O)CC1 ZINC000749673170 1072852018 /nfs/dbraw/zinc/85/20/18/1072852018.db2.gz QFRXPDGHWHMOMM-IBGZPJMESA-N 0 0 426.437 -0.880 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3cccc(-n4cnnn4)c3)C2=O)CC1 ZINC000749673171 1072852138 /nfs/dbraw/zinc/85/21/38/1072852138.db2.gz QFRXPDGHWHMOMM-LJQANCHMSA-N 0 0 426.437 -0.880 20 0 IBADRN COC(=O)N(C)Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749673412 1072852279 /nfs/dbraw/zinc/85/22/79/1072852279.db2.gz WHLUVOUXSHINBR-UHFFFAOYSA-N 0 0 444.452 -0.819 20 0 IBADRN COC(=O)c1cc(Cl)cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000749673437 1072852069 /nfs/dbraw/zinc/85/20/69/1072852069.db2.gz WVMZEKGLFIVYCM-UHFFFAOYSA-N 0 0 435.828 -0.577 20 0 IBADRN CCCOC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000749673470 1072852000 /nfs/dbraw/zinc/85/20/00/1072852000.db2.gz XILUISOFTZOMLM-UHFFFAOYSA-N 0 0 429.437 -0.451 20 0 IBADRN Cc1nc(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)no1 ZINC000749673502 1072852036 /nfs/dbraw/zinc/85/20/36/1072852036.db2.gz XVHOYFHFQWXVMN-UHFFFAOYSA-N 0 0 425.409 -0.659 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cccc1N1CCOC1=O ZINC000749673529 1072852232 /nfs/dbraw/zinc/85/22/32/1072852232.db2.gz YJSFKSOIMDVTTB-UHFFFAOYSA-N 0 0 442.436 -0.752 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2c(F)c(F)c(F)c(F)c2F)CC1 ZINC000749673540 1072852215 /nfs/dbraw/zinc/85/22/15/1072852215.db2.gz YQJKPTSEFMRNTF-UHFFFAOYSA-N 0 0 433.297 -0.322 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCCC3)c(F)c2)CC1 ZINC000749673617 1072852157 /nfs/dbraw/zinc/85/21/57/1072852157.db2.gz ZSDGLJWXLWNMLP-UHFFFAOYSA-N 0 0 448.434 -0.139 20 0 IBADRN CCc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749673706 1072852653 /nfs/dbraw/zinc/85/26/53/1072852653.db2.gz PRSCPEYQERRJOU-HNNXBMFYSA-N 0 0 443.547 -0.151 20 0 IBADRN CCc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749673709 1072852519 /nfs/dbraw/zinc/85/25/19/1072852519.db2.gz PRSCPEYQERRJOU-OAHLLOKOSA-N 0 0 443.547 -0.151 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000749673725 1072852731 /nfs/dbraw/zinc/85/27/31/1072852731.db2.gz PUCVXFHOQWJZMP-CYBMUJFWSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000749673728 1072852461 /nfs/dbraw/zinc/85/24/61/1072852461.db2.gz PUCVXFHOQWJZMP-ZDUSSCGKSA-N 0 0 447.510 -0.266 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1C(=O)N[C@@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000749674038 1072852117 /nfs/dbraw/zinc/85/21/17/1072852117.db2.gz SFYDPHNVJRITJD-FQEVSTJZSA-N 0 0 442.480 -0.198 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1C(=O)N[C@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000749674039 1072852608 /nfs/dbraw/zinc/85/26/08/1072852608.db2.gz SFYDPHNVJRITJD-HXUWFJFHSA-N 0 0 442.480 -0.198 20 0 IBADRN O=C(Nc1ccccc1Cl)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749674775 1072852539 /nfs/dbraw/zinc/85/25/39/1072852539.db2.gz SKCSWSWLSOIATG-GFCCVEGCSA-N 0 0 449.938 -0.060 20 0 IBADRN O=C(Nc1ccccc1Cl)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749674778 1072852445 /nfs/dbraw/zinc/85/24/45/1072852445.db2.gz SKCSWSWLSOIATG-LBPRGKRZSA-N 0 0 449.938 -0.060 20 0 IBADRN Cc1ccc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749674780 1072852668 /nfs/dbraw/zinc/85/26/68/1072852668.db2.gz SKDJXMBZUMEXSR-HNNXBMFYSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1ccc(C)c(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749674782 1072852474 /nfs/dbraw/zinc/85/24/74/1072852474.db2.gz SKDJXMBZUMEXSR-OAHLLOKOSA-N 0 0 443.547 -0.097 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC(C(=O)NCCO)CC2)C1=O ZINC000749674787 1072852699 /nfs/dbraw/zinc/85/26/99/1072852699.db2.gz RCPQSVAIROHQJF-NRFANRHFSA-N 0 0 431.493 -0.305 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC(C(=O)NCCO)CC2)C1=O ZINC000749674789 1072852619 /nfs/dbraw/zinc/85/26/19/1072852619.db2.gz RCPQSVAIROHQJF-OAQYLSRUSA-N 0 0 431.493 -0.305 20 0 IBADRN CCc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749674820 1072852403 /nfs/dbraw/zinc/85/24/03/1072852403.db2.gz SYZGPHAGOHFRGZ-INIZCTEOSA-N 0 0 443.547 -0.151 20 0 IBADRN CCc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749674821 1072852684 /nfs/dbraw/zinc/85/26/84/1072852684.db2.gz SYZGPHAGOHFRGZ-MRXNPFEDSA-N 0 0 443.547 -0.151 20 0 IBADRN COc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749674822 1072852388 /nfs/dbraw/zinc/85/23/88/1072852388.db2.gz SZNJNSJBVYFMTI-CYBMUJFWSA-N 0 0 445.519 -0.705 20 0 IBADRN COc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749674823 1072852428 /nfs/dbraw/zinc/85/24/28/1072852428.db2.gz SZNJNSJBVYFMTI-ZDUSSCGKSA-N 0 0 445.519 -0.705 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000749674882 1072852594 /nfs/dbraw/zinc/85/25/94/1072852594.db2.gz UKGJYIJRODLRBN-AWEZNQCLSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000749674884 1072852552 /nfs/dbraw/zinc/85/25/52/1072852552.db2.gz UKGJYIJRODLRBN-CQSZACIVSA-N 0 0 447.510 -0.266 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC(C(=O)NCCO)CC3)C2=O)cc1 ZINC000749675596 1072852888 /nfs/dbraw/zinc/85/28/88/1072852888.db2.gz UWJSAKJTYYNIID-NRFANRHFSA-N 0 0 447.492 -0.686 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC(C(=O)NCCO)CC3)C2=O)cc1 ZINC000749675598 1072852856 /nfs/dbraw/zinc/85/28/56/1072852856.db2.gz UWJSAKJTYYNIID-OAQYLSRUSA-N 0 0 447.492 -0.686 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC(C(=O)NCCO)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000749675667 1072853062 /nfs/dbraw/zinc/85/30/62/1072853062.db2.gz WGVJUXYVHVACMZ-UHFFFAOYSA-N 0 0 443.504 -0.819 20 0 IBADRN Cc1cc(F)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749675761 1072853146 /nfs/dbraw/zinc/85/31/46/1072853146.db2.gz VHUOGYBLEOSZOG-AWEZNQCLSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1cc(F)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749675763 1072853093 /nfs/dbraw/zinc/85/30/93/1072853093.db2.gz VHUOGYBLEOSZOG-CQSZACIVSA-N 0 0 447.510 -0.266 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000749675796 1072852875 /nfs/dbraw/zinc/85/28/75/1072852875.db2.gz VQUPTUJATVTHIK-GFCCVEGCSA-N 0 0 448.523 -0.602 20 0 IBADRN CC(C)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC000749675800 1072853187 /nfs/dbraw/zinc/85/31/87/1072853187.db2.gz VQUPTUJATVTHIK-LBPRGKRZSA-N 0 0 448.523 -0.602 20 0 IBADRN Cc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749675802 1072852941 /nfs/dbraw/zinc/85/29/41/1072852941.db2.gz VVVCSAFHZZECDL-AWEZNQCLSA-N 0 0 429.520 -0.405 20 0 IBADRN Cc1ccccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749675803 1072852839 /nfs/dbraw/zinc/85/28/39/1072852839.db2.gz VVVCSAFHZZECDL-CQSZACIVSA-N 0 0 429.520 -0.405 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC000749675809 1072853021 /nfs/dbraw/zinc/85/30/21/1072853021.db2.gz WFPYUGCCMKGXMM-INIZCTEOSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC000749675810 1072853164 /nfs/dbraw/zinc/85/31/64/1072853164.db2.gz WFPYUGCCMKGXMM-MRXNPFEDSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1c(F)cccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749675816 1072853041 /nfs/dbraw/zinc/85/30/41/1072853041.db2.gz WHKLJGZGIZTNBM-CYBMUJFWSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1c(F)cccc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749675818 1072852411 /nfs/dbraw/zinc/85/24/11/1072852411.db2.gz WHKLJGZGIZTNBM-ZDUSSCGKSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1ccc(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749675899 1072852979 /nfs/dbraw/zinc/85/29/79/1072852979.db2.gz XQLXLGCNJRFDFD-AWEZNQCLSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1ccc(F)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749675901 1072852959 /nfs/dbraw/zinc/85/29/59/1072852959.db2.gz XQLXLGCNJRFDFD-CQSZACIVSA-N 0 0 447.510 -0.266 20 0 IBADRN O=C(Nc1cccc(F)c1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000749676420 1072852576 /nfs/dbraw/zinc/85/25/76/1072852576.db2.gz YDTFAYAXTPKWGU-AWEZNQCLSA-N 0 0 433.483 -0.575 20 0 IBADRN O=C(Nc1cccc(F)c1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000749676423 1072852495 /nfs/dbraw/zinc/85/24/95/1072852495.db2.gz YDTFAYAXTPKWGU-CQSZACIVSA-N 0 0 433.483 -0.575 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C)c1 ZINC000749676512 1072853127 /nfs/dbraw/zinc/85/31/27/1072853127.db2.gz YTWDIISEHMDEQP-HNNXBMFYSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C)c1 ZINC000749676516 1072852824 /nfs/dbraw/zinc/85/28/24/1072852824.db2.gz YTWDIISEHMDEQP-OAHLLOKOSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1cc(C)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749676525 1072853722 /nfs/dbraw/zinc/85/37/22/1072853722.db2.gz YVYSXXNFYYFDJU-INIZCTEOSA-N 0 0 443.547 -0.097 20 0 IBADRN Cc1cc(C)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000749676528 1072853623 /nfs/dbraw/zinc/85/36/23/1072853623.db2.gz YVYSXXNFYYFDJU-MRXNPFEDSA-N 0 0 443.547 -0.097 20 0 IBADRN CN(C1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1)S(C)(=O)=O ZINC000749680361 1072853446 /nfs/dbraw/zinc/85/34/46/1072853446.db2.gz QKFCROIZJXBDKZ-UHFFFAOYSA-N 0 0 437.522 -0.556 20 0 IBADRN O=C(COC(=O)CN1c2ccccc2OCCC1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000749686869 1072853347 /nfs/dbraw/zinc/85/33/47/1072853347.db2.gz KGJXVMGNXRMKGU-GFCCVEGCSA-N 0 0 439.446 -0.642 20 0 IBADRN O=C(COC(=O)CN1c2ccccc2OCCC1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000749686876 1072853757 /nfs/dbraw/zinc/85/37/57/1072853757.db2.gz KGJXVMGNXRMKGU-LBPRGKRZSA-N 0 0 439.446 -0.642 20 0 IBADRN Cn1c2ncn(CCOC(=O)CN3c4ccccc4OCCC3=O)c2c(=O)n(C)c1=O ZINC000749687476 1072853530 /nfs/dbraw/zinc/85/35/30/1072853530.db2.gz VTFBGNPHXVSIED-UHFFFAOYSA-N 0 0 427.417 -0.207 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N[C@@H]1CC(=O)N(C)C1 ZINC000749689981 1072853469 /nfs/dbraw/zinc/85/34/69/1072853469.db2.gz WFYDNKKQIDREPR-SECBINFHSA-N 0 0 426.451 -0.756 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N[C@H]1CC(=O)N(C)C1 ZINC000749689988 1072853363 /nfs/dbraw/zinc/85/33/63/1072853363.db2.gz WFYDNKKQIDREPR-VIFPVBQESA-N 0 0 426.451 -0.756 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000749691241 1072853384 /nfs/dbraw/zinc/85/33/84/1072853384.db2.gz JKKFGMIXMWQXBO-UHFFFAOYSA-N 0 0 427.523 -0.036 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)cc1 ZINC000749696254 1072853680 /nfs/dbraw/zinc/85/36/80/1072853680.db2.gz ZVGYSUASPLCAEP-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)cc2)CC1 ZINC000749701028 1072853741 /nfs/dbraw/zinc/85/37/41/1072853741.db2.gz AFRZVMSEEZGQJP-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)cc2)CC1 ZINC000749701030 1072853663 /nfs/dbraw/zinc/85/36/63/1072853663.db2.gz AFRZVMSEEZGQJP-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnc3)nc2)CC1 ZINC000749701206 1072853486 /nfs/dbraw/zinc/85/34/86/1072853486.db2.gz CEDLLUSUKAPUJY-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnc3)nc2)CC1 ZINC000749701210 1072853640 /nfs/dbraw/zinc/85/36/40/1072853640.db2.gz CEDLLUSUKAPUJY-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000749703671 1072853548 /nfs/dbraw/zinc/85/35/48/1072853548.db2.gz LAPAMMGTZXLFCY-HNNXBMFYSA-N 0 0 444.496 -0.714 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000749703674 1072853509 /nfs/dbraw/zinc/85/35/09/1072853509.db2.gz LAPAMMGTZXLFCY-OAHLLOKOSA-N 0 0 444.496 -0.714 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CCn2cc(S(N)(=O)=O)cn2)C1=O ZINC000749719605 1072854109 /nfs/dbraw/zinc/85/41/09/1072854109.db2.gz RBVXFJHWMGFJFT-GOSISDBHSA-N 0 0 434.478 -0.105 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CCn2cc(S(N)(=O)=O)cn2)C1=O ZINC000749719620 1072853926 /nfs/dbraw/zinc/85/39/26/1072853926.db2.gz RBVXFJHWMGFJFT-SFHVURJKSA-N 0 0 434.478 -0.105 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)Cn2nc(-c3ccc(F)cc3)oc2=O)c(=O)n(C)c1=O ZINC000749725237 1072854213 /nfs/dbraw/zinc/85/42/13/1072854213.db2.gz KYSUSXDLLPQLCO-UHFFFAOYSA-N 0 0 433.352 -0.952 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000749729671 1072854178 /nfs/dbraw/zinc/85/41/78/1072854178.db2.gz GROXGJZPBWJGCO-CYBMUJFWSA-N 0 0 429.520 -0.481 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000749729672 1072854248 /nfs/dbraw/zinc/85/42/48/1072854248.db2.gz GROXGJZPBWJGCO-ZDUSSCGKSA-N 0 0 429.520 -0.481 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000749732615 1072854012 /nfs/dbraw/zinc/85/40/12/1072854012.db2.gz GXVRDSQXAOKSJY-UHFFFAOYSA-N 0 0 430.531 -0.411 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000749733481 1072853947 /nfs/dbraw/zinc/85/39/47/1072853947.db2.gz ZQLLCJNASIBLJI-GFCCVEGCSA-N 0 0 428.898 -0.092 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000749733482 1072853895 /nfs/dbraw/zinc/85/38/95/1072853895.db2.gz ZQLLCJNASIBLJI-LBPRGKRZSA-N 0 0 428.898 -0.092 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC000749735891 1072853853 /nfs/dbraw/zinc/85/38/53/1072853853.db2.gz QEYQBNBIUMPMPH-UHFFFAOYSA-N 0 0 444.514 -0.884 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000749737410 1072853969 /nfs/dbraw/zinc/85/39/69/1072853969.db2.gz IOJZSGZAOGNQKV-UHFFFAOYSA-N 0 0 427.414 -0.207 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCN(C)C(=O)C1 ZINC000749737895 1072854232 /nfs/dbraw/zinc/85/42/32/1072854232.db2.gz NGXHUWUEJHYDPA-UHFFFAOYSA-N 0 0 426.451 -0.802 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC000749738097 1072854049 /nfs/dbraw/zinc/85/40/49/1072854049.db2.gz RYJBVDZLVJDCGH-UHFFFAOYSA-N 0 0 436.494 -0.041 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H](CCO)C3CC3)cn2)CC1 ZINC000749739291 1072854198 /nfs/dbraw/zinc/85/41/98/1072854198.db2.gz KFQYEVYWCVGMJR-HNNXBMFYSA-N 0 0 425.511 -0.621 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H](CCO)C3CC3)cn2)CC1 ZINC000749739292 1072853992 /nfs/dbraw/zinc/85/39/92/1072853992.db2.gz KFQYEVYWCVGMJR-OAHLLOKOSA-N 0 0 425.511 -0.621 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCc1nonc1C ZINC000749739417 1072854030 /nfs/dbraw/zinc/85/40/30/1072854030.db2.gz ARBQEEAGUZDTLH-UHFFFAOYSA-N 0 0 439.450 -0.338 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@H](CCO)C1CC1 ZINC000749739702 1072853863 /nfs/dbraw/zinc/85/38/63/1072853863.db2.gz LMUNNJVJQNNQJB-HNNXBMFYSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@H](CCO)C1CC1 ZINC000749739705 1072854161 /nfs/dbraw/zinc/85/41/61/1072854161.db2.gz LMUNNJVJQNNQJB-OAHLLOKOSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](CCO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000749739955 1072853912 /nfs/dbraw/zinc/85/39/12/1072853912.db2.gz FHCUXDNFXCDVSU-HNNXBMFYSA-N 0 0 441.506 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@H](CCO)C2CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000749739960 1072853878 /nfs/dbraw/zinc/85/38/78/1072853878.db2.gz FHCUXDNFXCDVSU-OAHLLOKOSA-N 0 0 441.506 -0.068 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000749740720 1072854719 /nfs/dbraw/zinc/85/47/19/1072854719.db2.gz GNJDHCMCEHRPHA-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3nonc3C)c2)CC1 ZINC000749741005 1072854138 /nfs/dbraw/zinc/85/41/38/1072854138.db2.gz HMCVPGBJWWVDCL-UHFFFAOYSA-N 0 0 436.494 -0.041 20 0 IBADRN CCOC(=O)C1(O)CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000749742290 1072854522 /nfs/dbraw/zinc/85/45/22/1072854522.db2.gz LEFBXCVAZTUQSM-UHFFFAOYSA-N 0 0 442.490 -0.035 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=S)NCCNS(C)(=O)=O)CC1 ZINC000749746529 1072854417 /nfs/dbraw/zinc/85/44/17/1072854417.db2.gz QOLJGUDZSNOBIS-UHFFFAOYSA-N 0 0 429.568 -0.325 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)cc2)CC1 ZINC000749746665 1072854559 /nfs/dbraw/zinc/85/45/59/1072854559.db2.gz ZXXQEUHRLKOFCQ-INIZCTEOSA-N 0 0 443.504 -0.200 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)cc2)CC1 ZINC000749746666 1072854460 /nfs/dbraw/zinc/85/44/60/1072854460.db2.gz ZXXQEUHRLKOFCQ-MRXNPFEDSA-N 0 0 443.504 -0.200 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000749749919 1072854480 /nfs/dbraw/zinc/85/44/80/1072854480.db2.gz GMSUBGVFDVNVNT-LLVKDONJSA-N 0 0 447.464 -0.320 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000749749921 1072854638 /nfs/dbraw/zinc/85/46/38/1072854638.db2.gz GMSUBGVFDVNVNT-NSHDSACASA-N 0 0 447.464 -0.320 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000749758089 1072854662 /nfs/dbraw/zinc/85/46/62/1072854662.db2.gz AOLJTOCZEUUOCR-HNNXBMFYSA-N 0 0 428.511 -0.278 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000749758090 1072854608 /nfs/dbraw/zinc/85/46/08/1072854608.db2.gz AOLJTOCZEUUOCR-OAHLLOKOSA-N 0 0 428.511 -0.278 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccccc2N2CCOC2=O)CC1 ZINC000749758800 1072854863 /nfs/dbraw/zinc/85/48/63/1072854863.db2.gz FQKSRSKDTLIJQM-UHFFFAOYSA-N 0 0 425.467 -0.986 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc(F)c(N3CCCC3)c(F)c2)CC1 ZINC000749758821 1072854945 /nfs/dbraw/zinc/85/49/45/1072854945.db2.gz FXTCCROEFDAMAN-UHFFFAOYSA-N 0 0 445.492 -0.064 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2)CCO1 ZINC000749758944 1072854398 /nfs/dbraw/zinc/85/43/98/1072854398.db2.gz GQFPYWBHGUEBDQ-HNNXBMFYSA-N 0 0 439.538 -0.717 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2)CCO1 ZINC000749758958 1072854850 /nfs/dbraw/zinc/85/48/50/1072854850.db2.gz GQFPYWBHGUEBDQ-OAHLLOKOSA-N 0 0 439.538 -0.717 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(Cl)c2)CC1 ZINC000749759029 1072854929 /nfs/dbraw/zinc/85/49/29/1072854929.db2.gz HHTNYOXNLXLREH-UHFFFAOYSA-N 0 0 441.901 -0.708 20 0 IBADRN C[C@H]1COCCN1c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749759063 1072854349 /nfs/dbraw/zinc/85/43/49/1072854349.db2.gz HJUXYFCNIJOFCH-HNNXBMFYSA-N 0 0 439.538 -0.717 20 0 IBADRN C[C@@H]1COCCN1c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749759065 1072854592 /nfs/dbraw/zinc/85/45/92/1072854592.db2.gz HJUXYFCNIJOFCH-OAHLLOKOSA-N 0 0 439.538 -0.717 20 0 IBADRN COC(=O)c1cc(Cl)cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000749759666 1072854837 /nfs/dbraw/zinc/85/48/37/1072854837.db2.gz KMISDABABNYYSX-UHFFFAOYSA-N 0 0 432.886 -0.502 20 0 IBADRN COC(=O)Nc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1F ZINC000749759667 1072855127 /nfs/dbraw/zinc/85/51/27/1072855127.db2.gz KNVCJWLZAIVLCZ-UHFFFAOYSA-N 0 0 431.446 -0.625 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(=O)n(Cc3ccccc3)c2)CC1 ZINC000749759710 1072854916 /nfs/dbraw/zinc/85/49/16/1072854916.db2.gz LKUGAVPUSPCPPK-UHFFFAOYSA-N 0 0 447.517 -0.732 20 0 IBADRN CC(C)(C)NC(=O)c1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000749759803 1072854876 /nfs/dbraw/zinc/85/48/76/1072854876.db2.gz MZWBWLLPNUUCEP-UHFFFAOYSA-N 0 0 439.538 -0.414 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1N1CCOC1=O ZINC000749760337 1072854964 /nfs/dbraw/zinc/85/49/64/1072854964.db2.gz NRRZJMLTSCFVTI-UHFFFAOYSA-N 0 0 439.494 -0.677 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c(F)c2)CC1 ZINC000749760360 1072854983 /nfs/dbraw/zinc/85/49/83/1072854983.db2.gz OCASTWHTYQYKLI-UHFFFAOYSA-N 0 0 443.501 -0.967 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)CC1 ZINC000749760411 1072855001 /nfs/dbraw/zinc/85/50/01/1072855001.db2.gz OONQOBUNCSGJGH-UHFFFAOYSA-N 0 0 425.467 -0.986 20 0 IBADRN CCOC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749760446 1072855111 /nfs/dbraw/zinc/85/51/11/1072855111.db2.gz OXQVKSWXHFOQLD-UHFFFAOYSA-N 0 0 441.510 -0.350 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCSCC3)cc2)CC1 ZINC000749760472 1072855074 /nfs/dbraw/zinc/85/50/74/1072855074.db2.gz PITMRKQRRIJAEY-UHFFFAOYSA-N 0 0 441.579 -0.389 20 0 IBADRN CCCOC(=O)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749760498 1072855042 /nfs/dbraw/zinc/85/50/42/1072855042.db2.gz POYRIFVUCOASIN-UHFFFAOYSA-N 0 0 426.495 -0.375 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(CN3CCOC3=O)c2)CC1 ZINC000749760554 1072855021 /nfs/dbraw/zinc/85/50/21/1072855021.db2.gz PXMNSVQQWZXEIE-UHFFFAOYSA-N 0 0 439.494 -0.990 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2c(F)c(F)c(F)c(F)c2F)CC1 ZINC000749760562 1072855161 /nfs/dbraw/zinc/85/51/61/1072855161.db2.gz QAEZKWIXBGLDSP-UHFFFAOYSA-N 0 0 430.355 -0.247 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000749761212 1072855359 /nfs/dbraw/zinc/85/53/59/1072855359.db2.gz QKAKPXGDRSARLS-UHFFFAOYSA-N 0 0 445.929 -0.587 20 0 IBADRN COC(=O)N(C)Cc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749761258 1072855143 /nfs/dbraw/zinc/85/51/43/1072855143.db2.gz RJXHUQUXYKNFCL-UHFFFAOYSA-N 0 0 441.510 -0.744 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cc(N3CCCC3=O)ccc2F)CC1 ZINC000749761288 1072855092 /nfs/dbraw/zinc/85/50/92/1072855092.db2.gz SCYSPGJRANEIRB-UHFFFAOYSA-N 0 0 441.485 -0.676 20 0 IBADRN CCOC(=O)/C=C/c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749761328 1072855057 /nfs/dbraw/zinc/85/50/57/1072855057.db2.gz SPBWAJWUGAZQDB-VMPITWQZSA-N 0 0 438.506 -0.366 20 0 IBADRN CCOC(=O)/C=C\c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749761329 1072854893 /nfs/dbraw/zinc/85/48/93/1072854893.db2.gz SPBWAJWUGAZQDB-YVMONPNESA-N 0 0 438.506 -0.366 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)CC1 ZINC000749761344 1072855476 /nfs/dbraw/zinc/85/54/76/1072855476.db2.gz SUMZYFUEKFQMKS-UHFFFAOYSA-N 0 0 425.467 -0.986 20 0 IBADRN COC(=O)C(C)(C)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749761428 1072855299 /nfs/dbraw/zinc/85/52/99/1072855299.db2.gz UIXDYVYXAYRIFX-UHFFFAOYSA-N 0 0 440.522 -0.492 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000749761443 1072855319 /nfs/dbraw/zinc/85/53/19/1072855319.db2.gz UMDHDBQQFLVOFD-INIZCTEOSA-N 0 0 427.523 -0.036 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000749761444 1072855687 /nfs/dbraw/zinc/85/56/87/1072855687.db2.gz UMDHDBQQFLVOFD-MRXNPFEDSA-N 0 0 427.523 -0.036 20 0 IBADRN COC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749761872 1072855612 /nfs/dbraw/zinc/85/56/12/1072855612.db2.gz WTBLLSRFOHGIGG-UHFFFAOYSA-N 0 0 427.483 -0.740 20 0 IBADRN CC(=O)Nc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(F)cc1F ZINC000749761940 1072855572 /nfs/dbraw/zinc/85/55/72/1072855572.db2.gz XIDIYLMDUVTTST-UHFFFAOYSA-N 0 0 433.437 -0.706 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCCC3)c(F)c2)CC1 ZINC000749761947 1072855435 /nfs/dbraw/zinc/85/54/35/1072855435.db2.gz XIGJGUZAMFEKLA-UHFFFAOYSA-N 0 0 427.502 -0.203 20 0 IBADRN CCN(CC)C(=O)c1ccccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000749761992 1072855636 /nfs/dbraw/zinc/85/56/36/1072855636.db2.gz YIGGGTVMUMUTPN-UHFFFAOYSA-N 0 0 439.538 -0.460 20 0 IBADRN CCOC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000749762006 1072855377 /nfs/dbraw/zinc/85/53/77/1072855377.db2.gz YNZHNOJZNVPSOO-UHFFFAOYSA-N 0 0 427.483 -0.374 20 0 IBADRN Cc1ccc(N2CCOC2=O)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000749762014 1072855459 /nfs/dbraw/zinc/85/54/59/1072855459.db2.gz YQJNXFGWMFYBDF-UHFFFAOYSA-N 0 0 439.494 -0.677 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cccc1N1CCOC1=O ZINC000749762203 1072855592 /nfs/dbraw/zinc/85/55/92/1072855592.db2.gz ZNZLCQJTXIJHHS-UHFFFAOYSA-N 0 0 439.494 -0.677 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(CN3CCOC3=O)cc2)CC1 ZINC000749762214 1072855493 /nfs/dbraw/zinc/85/54/93/1072855493.db2.gz ZVURAFWPRJWWNI-UHFFFAOYSA-N 0 0 439.494 -0.990 20 0 IBADRN COCCn1c(=O)cc(O)nc1SCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000749778675 1072855651 /nfs/dbraw/zinc/85/56/51/1072855651.db2.gz LAJCKFLHDGVTCZ-UHFFFAOYSA-N 0 0 441.554 -0.254 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000749782070 1072855552 /nfs/dbraw/zinc/85/55/52/1072855552.db2.gz KRZDHJCJZVSFHT-CYBMUJFWSA-N 0 0 448.523 -0.653 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000749782071 1072855728 /nfs/dbraw/zinc/85/57/28/1072855728.db2.gz KRZDHJCJZVSFHT-ZDUSSCGKSA-N 0 0 448.523 -0.653 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000749782486 1072855781 /nfs/dbraw/zinc/85/57/81/1072855781.db2.gz OGRCWCBLVPVXOB-AWEZNQCLSA-N 0 0 447.535 -0.411 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000749782489 1072855754 /nfs/dbraw/zinc/85/57/54/1072855754.db2.gz OGRCWCBLVPVXOB-CQSZACIVSA-N 0 0 447.535 -0.411 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1 ZINC000749783065 1072855400 /nfs/dbraw/zinc/85/54/00/1072855400.db2.gz PNNQLIXWXMWDQQ-HNNXBMFYSA-N 0 0 440.522 -0.012 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1 ZINC000749783066 1072855513 /nfs/dbraw/zinc/85/55/13/1072855513.db2.gz PNNQLIXWXMWDQQ-OAHLLOKOSA-N 0 0 440.522 -0.012 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)C1 ZINC000749789337 1072855969 /nfs/dbraw/zinc/85/59/69/1072855969.db2.gz DNQNLDWEBDGZGO-PBHICJAKSA-N 0 0 444.535 -0.562 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)C1 ZINC000749789339 1072855986 /nfs/dbraw/zinc/85/59/86/1072855986.db2.gz DNQNLDWEBDGZGO-RHSMWYFYSA-N 0 0 444.535 -0.562 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)C1 ZINC000749789341 1072856173 /nfs/dbraw/zinc/85/61/73/1072856173.db2.gz DNQNLDWEBDGZGO-WMLDXEAASA-N 0 0 444.535 -0.562 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)C1 ZINC000749789343 1072855862 /nfs/dbraw/zinc/85/58/62/1072855862.db2.gz DNQNLDWEBDGZGO-YOEHRIQHSA-N 0 0 444.535 -0.562 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)CC1 ZINC000749791320 1072856110 /nfs/dbraw/zinc/85/61/10/1072856110.db2.gz OIBCHRSAAKGYRC-RBZFPXEDSA-N 0 0 440.464 -0.492 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)CC1 ZINC000749791326 1072856219 /nfs/dbraw/zinc/85/62/19/1072856219.db2.gz OIBCHRSAAKGYRC-RNODOKPDSA-N 0 0 440.464 -0.492 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)CC1 ZINC000749791329 1072856055 /nfs/dbraw/zinc/85/60/55/1072856055.db2.gz OIBCHRSAAKGYRC-XCLFUZPHSA-N 0 0 440.464 -0.492 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)CC1 ZINC000749791332 1072856252 /nfs/dbraw/zinc/85/62/52/1072856252.db2.gz OIBCHRSAAKGYRC-ZUOKHONESA-N 0 0 440.464 -0.492 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CC[C@H](S(=O)(=O)NC)C2)c1=O ZINC000749795014 1072856192 /nfs/dbraw/zinc/85/61/92/1072856192.db2.gz XVJIICWZTYFVFZ-AWEZNQCLSA-N 0 0 445.567 -0.346 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CC[C@@H](S(=O)(=O)NC)C2)c1=O ZINC000749795139 1072855878 /nfs/dbraw/zinc/85/58/78/1072855878.db2.gz XVJIICWZTYFVFZ-CQSZACIVSA-N 0 0 445.567 -0.346 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CN2C(=O)NC3(CCN(C(=O)OC(C)(C)C)CC3)C2=O)C1 ZINC000749795418 1072856140 /nfs/dbraw/zinc/85/61/40/1072856140.db2.gz ZANFOPFULJWJJW-CYBMUJFWSA-N 0 0 445.542 -0.111 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CN2C(=O)NC3(CCN(C(=O)OC(C)(C)C)CC3)C2=O)C1 ZINC000749795420 1072855894 /nfs/dbraw/zinc/85/58/94/1072855894.db2.gz ZANFOPFULJWJJW-ZDUSSCGKSA-N 0 0 445.542 -0.111 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000749807108 1072856076 /nfs/dbraw/zinc/85/60/76/1072856076.db2.gz MJDHEAHOOYWHGD-CYBMUJFWSA-N 0 0 433.531 -0.601 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000749807109 1072856158 /nfs/dbraw/zinc/85/61/58/1072856158.db2.gz MJDHEAHOOYWHGD-ZDUSSCGKSA-N 0 0 433.531 -0.601 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000749817303 1072856572 /nfs/dbraw/zinc/85/65/72/1072856572.db2.gz ARBSCKXKDXJZNB-UHFFFAOYSA-N 0 0 429.451 -0.993 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(CC(=O)Nc2ccccc2OC)CC1 ZINC000749822236 1072856538 /nfs/dbraw/zinc/85/65/38/1072856538.db2.gz GNMJNXUXGXLUDX-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000749823877 1072856746 /nfs/dbraw/zinc/85/67/46/1072856746.db2.gz SUQIZEJNIPAGEC-LLVKDONJSA-N 0 0 436.478 -0.119 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000749823884 1072856431 /nfs/dbraw/zinc/85/64/31/1072856431.db2.gz SUQIZEJNIPAGEC-NSHDSACASA-N 0 0 436.478 -0.119 20 0 IBADRN CC(C)S(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000749825868 1072856589 /nfs/dbraw/zinc/85/65/89/1072856589.db2.gz BBRJCGILUFNLCQ-UHFFFAOYSA-N 0 0 439.581 -0.537 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC000749849143 1072856606 /nfs/dbraw/zinc/85/66/06/1072856606.db2.gz VIINGIQGRLMJQQ-CYBMUJFWSA-N 0 0 442.581 -0.995 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC000749849144 1072856697 /nfs/dbraw/zinc/85/66/97/1072856697.db2.gz VIINGIQGRLMJQQ-ZDUSSCGKSA-N 0 0 442.581 -0.995 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H]2CS(=O)(=O)NC)C1=O ZINC000749850063 1072856384 /nfs/dbraw/zinc/85/63/84/1072856384.db2.gz JBHWTOLIYQCUQO-BEFAXECRSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H]2CS(=O)(=O)NC)C1=O ZINC000749850071 1072856448 /nfs/dbraw/zinc/85/64/48/1072856448.db2.gz JBHWTOLIYQCUQO-DNVCBOLYSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H]2CS(=O)(=O)NC)C1=O ZINC000749850074 1072856705 /nfs/dbraw/zinc/85/67/05/1072856705.db2.gz JBHWTOLIYQCUQO-HNAYVOBHSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H]2CS(=O)(=O)NC)C1=O ZINC000749850080 1072856619 /nfs/dbraw/zinc/85/66/19/1072856619.db2.gz JBHWTOLIYQCUQO-KXBFYZLASA-N 0 0 437.522 -0.112 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)s1)NCCS(=O)(=O)N1CCOCC1 ZINC000749850095 1072856720 /nfs/dbraw/zinc/85/67/20/1072856720.db2.gz LELHTYSGXFDUSX-UHFFFAOYSA-N 0 0 431.945 -0.542 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCSC[C@@H]1S(C)(=O)=O ZINC000749856383 1072856677 /nfs/dbraw/zinc/85/66/77/1072856677.db2.gz HBDWVCVOYMJXMB-INIZCTEOSA-N 0 0 436.523 -0.190 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCSC[C@H]1S(C)(=O)=O ZINC000749856384 1072856764 /nfs/dbraw/zinc/85/67/64/1072856764.db2.gz HBDWVCVOYMJXMB-MRXNPFEDSA-N 0 0 436.523 -0.190 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000749872299 1072857139 /nfs/dbraw/zinc/85/71/39/1072857139.db2.gz XDTYNPOSPVUTFQ-HNNXBMFYSA-N 0 0 441.529 -0.140 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000749872300 1072857087 /nfs/dbraw/zinc/85/70/87/1072857087.db2.gz XDTYNPOSPVUTFQ-OAHLLOKOSA-N 0 0 441.529 -0.140 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@H]3Nc4ccccc4S(=O)(=O)N3)CC2)n2ncnc2n1 ZINC000749902319 1072857592 /nfs/dbraw/zinc/85/75/92/1072857592.db2.gz DDNWJSUAOZCFHV-INIZCTEOSA-N 0 0 428.478 -0.189 20 0 IBADRN Cc1cc(N2CCN(C(=O)[C@@H]3Nc4ccccc4S(=O)(=O)N3)CC2)n2ncnc2n1 ZINC000749902320 1072857743 /nfs/dbraw/zinc/85/77/43/1072857743.db2.gz DDNWJSUAOZCFHV-MRXNPFEDSA-N 0 0 428.478 -0.189 20 0 IBADRN O=C([C@@H]1Nc2ccccc2S(=O)(=O)N1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000749927995 1072857681 /nfs/dbraw/zinc/85/76/81/1072857681.db2.gz ZASLGIUTIAMLIM-DVOMOZLQSA-N 0 0 429.520 -0.089 20 0 IBADRN O=C([C@@H]1Nc2ccccc2S(=O)(=O)N1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000749927996 1072857501 /nfs/dbraw/zinc/85/75/01/1072857501.db2.gz ZASLGIUTIAMLIM-HEHGZKQESA-N 0 0 429.520 -0.089 20 0 IBADRN O=C([C@@H]1Nc2ccccc2S(=O)(=O)N1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000749927997 1072857641 /nfs/dbraw/zinc/85/76/41/1072857641.db2.gz ZASLGIUTIAMLIM-WOSRLPQWSA-N 0 0 429.520 -0.089 20 0 IBADRN O=C([C@@H]1Nc2ccccc2S(=O)(=O)N1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000749927998 1072857813 /nfs/dbraw/zinc/85/78/13/1072857813.db2.gz ZASLGIUTIAMLIM-XJKCOSOUSA-N 0 0 429.520 -0.089 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000749929828 1072857567 /nfs/dbraw/zinc/85/75/67/1072857567.db2.gz FZNJKFWRUNWTLH-CYBMUJFWSA-N 0 0 444.535 -0.688 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000749929831 1072857581 /nfs/dbraw/zinc/85/75/81/1072857581.db2.gz FZNJKFWRUNWTLH-ZDUSSCGKSA-N 0 0 444.535 -0.688 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000749930758 1072857549 /nfs/dbraw/zinc/85/75/49/1072857549.db2.gz MYMUGNKUVNTOKZ-UHFFFAOYSA-N 0 0 432.506 -0.253 20 0 IBADRN O=C(CCNC(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1)N1CCN(c2ccccn2)CC1 ZINC000749940408 1072857775 /nfs/dbraw/zinc/85/77/75/1072857775.db2.gz YHBZYJKJSGVQIB-IBGZPJMESA-N 0 0 444.517 -0.033 20 0 IBADRN O=C(CCNC(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1)N1CCN(c2ccccn2)CC1 ZINC000749940409 1072857521 /nfs/dbraw/zinc/85/75/21/1072857521.db2.gz YHBZYJKJSGVQIB-LJQANCHMSA-N 0 0 444.517 -0.033 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000749941823 1072857690 /nfs/dbraw/zinc/85/76/90/1072857690.db2.gz OVONWBDYWYQEKW-AWEZNQCLSA-N 0 0 425.463 -0.029 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000749941826 1072858240 /nfs/dbraw/zinc/85/82/40/1072858240.db2.gz OVONWBDYWYQEKW-CQSZACIVSA-N 0 0 425.463 -0.029 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cn3c(=O)scc3C(F)(F)F)CC2)CC1 ZINC000749941953 1072858006 /nfs/dbraw/zinc/85/80/06/1072858006.db2.gz RKWBMHPYWLFFHZ-UHFFFAOYSA-N 0 0 429.490 -0.004 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)C1 ZINC000749955919 1072858147 /nfs/dbraw/zinc/85/81/47/1072858147.db2.gz VDVSPVDRSDQGRC-CXAGYDPISA-N 0 0 438.506 -0.023 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)C1 ZINC000749955921 1072858079 /nfs/dbraw/zinc/85/80/79/1072858079.db2.gz VDVSPVDRSDQGRC-DYVFJYSZSA-N 0 0 438.506 -0.023 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)C1 ZINC000749955923 1072858020 /nfs/dbraw/zinc/85/80/20/1072858020.db2.gz VDVSPVDRSDQGRC-GUYCJALGSA-N 0 0 438.506 -0.023 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)C1 ZINC000749955925 1072858214 /nfs/dbraw/zinc/85/82/14/1072858214.db2.gz VDVSPVDRSDQGRC-SUMWQHHRSA-N 0 0 438.506 -0.023 20 0 IBADRN O=C(CCNC(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1)N1CCN(c2ncccn2)CC1 ZINC000749961235 1072857965 /nfs/dbraw/zinc/85/79/65/1072857965.db2.gz QQCQSFDETMHWBA-KRWDZBQOSA-N 0 0 445.505 -0.638 20 0 IBADRN O=C(CCNC(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1)N1CCN(c2ncccn2)CC1 ZINC000749961236 1072858228 /nfs/dbraw/zinc/85/82/28/1072858228.db2.gz QQCQSFDETMHWBA-QGZVFWFLSA-N 0 0 445.505 -0.638 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000749962474 1072858183 /nfs/dbraw/zinc/85/81/83/1072858183.db2.gz WXYOKFQIURKRCE-KRWDZBQOSA-N 0 0 438.506 -0.023 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000749962476 1072857945 /nfs/dbraw/zinc/85/79/45/1072857945.db2.gz WXYOKFQIURKRCE-QGZVFWFLSA-N 0 0 438.506 -0.023 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1 ZINC000749962719 1072858038 /nfs/dbraw/zinc/85/80/38/1072858038.db2.gz HXOUGZVGIJPIFW-HNNXBMFYSA-N 0 0 440.565 -0.188 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC000749962721 1072858094 /nfs/dbraw/zinc/85/80/94/1072858094.db2.gz HXOUGZVGIJPIFW-OAHLLOKOSA-N 0 0 440.565 -0.188 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CN1C(=O)C(=O)N(CCc2ccc(OC)cc2)C1=O ZINC000749967759 1072857995 /nfs/dbraw/zinc/85/79/95/1072857995.db2.gz CBAKQJKOSPANDH-HNNXBMFYSA-N 0 0 438.506 0.000 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CN1C(=O)C(=O)N(CCc2ccc(OC)cc2)C1=O ZINC000749967772 1072858130 /nfs/dbraw/zinc/85/81/30/1072858130.db2.gz CBAKQJKOSPANDH-OAHLLOKOSA-N 0 0 438.506 0.000 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1Cn1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O ZINC000749968420 1072857977 /nfs/dbraw/zinc/85/79/77/1072857977.db2.gz JLCCHASXYOSTAU-GFCCVEGCSA-N 0 0 432.524 -0.184 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1Cn1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O ZINC000749968422 1072858198 /nfs/dbraw/zinc/85/81/98/1072858198.db2.gz JLCCHASXYOSTAU-LBPRGKRZSA-N 0 0 432.524 -0.184 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000749969485 1072858055 /nfs/dbraw/zinc/85/80/55/1072858055.db2.gz FRKAFCQULANHOR-UHFFFAOYSA-N 0 0 441.510 -0.571 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000749970222 1072858245 /nfs/dbraw/zinc/85/82/45/1072858245.db2.gz VYARUHZTSQSVDD-UHFFFAOYSA-N 0 0 427.483 -0.961 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CN1CCN(c2cnn(C)c2)C(=O)C1 ZINC000749978796 1072858164 /nfs/dbraw/zinc/85/81/64/1072858164.db2.gz ZGBFQYCVMUUJGR-UHFFFAOYSA-N 0 0 434.478 -0.115 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1OC ZINC000749980368 1072858610 /nfs/dbraw/zinc/85/86/10/1072858610.db2.gz AVVYUBRLGPNECA-UHFFFAOYSA-N 0 0 443.478 -0.784 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000749981874 1072858722 /nfs/dbraw/zinc/85/87/22/1072858722.db2.gz WFFMOKPCSSCJHN-PBHICJAKSA-N 0 0 439.538 -0.598 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000749981875 1072858545 /nfs/dbraw/zinc/85/85/45/1072858545.db2.gz WFFMOKPCSSCJHN-RHSMWYFYSA-N 0 0 439.538 -0.598 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000749981876 1072858624 /nfs/dbraw/zinc/85/86/24/1072858624.db2.gz WFFMOKPCSSCJHN-WMLDXEAASA-N 0 0 439.538 -0.598 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000749981877 1072858467 /nfs/dbraw/zinc/85/84/67/1072858467.db2.gz WFFMOKPCSSCJHN-YOEHRIQHSA-N 0 0 439.538 -0.598 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1OC ZINC000749982142 1072858583 /nfs/dbraw/zinc/85/85/83/1072858583.db2.gz YSKVZNGROKDGQQ-CYBMUJFWSA-N 0 0 443.478 -0.608 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1OC ZINC000749982143 1072858637 /nfs/dbraw/zinc/85/86/37/1072858637.db2.gz YSKVZNGROKDGQQ-ZDUSSCGKSA-N 0 0 443.478 -0.608 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cc3csc(N4CCCC4=O)n3)c2c(=O)n(C)c1=O ZINC000749985094 1072858514 /nfs/dbraw/zinc/85/85/14/1072858514.db2.gz KYZBSXOZMFSTAU-UHFFFAOYSA-N 0 0 432.462 -0.197 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1)Nc1nncs1 ZINC000749988886 1072858500 /nfs/dbraw/zinc/85/85/00/1072858500.db2.gz JRXSPGLJPFCCRX-AWEZNQCLSA-N 0 0 437.507 -0.649 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1)Nc1nncs1 ZINC000749988888 1072858556 /nfs/dbraw/zinc/85/85/56/1072858556.db2.gz JRXSPGLJPFCCRX-CQSZACIVSA-N 0 0 437.507 -0.649 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000749990951 1072858456 /nfs/dbraw/zinc/85/84/56/1072858456.db2.gz WGQPYHJDDFHJQS-DOMZBBRYSA-N 0 0 432.524 -0.679 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000749990952 1072858526 /nfs/dbraw/zinc/85/85/26/1072858526.db2.gz WGQPYHJDDFHJQS-IUODEOHRSA-N 0 0 432.524 -0.679 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000749990953 1072858653 /nfs/dbraw/zinc/85/86/53/1072858653.db2.gz WGQPYHJDDFHJQS-SWLSCSKDSA-N 0 0 432.524 -0.679 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000749990954 1072858594 /nfs/dbraw/zinc/85/85/94/1072858594.db2.gz WGQPYHJDDFHJQS-WFASDCNBSA-N 0 0 432.524 -0.679 20 0 IBADRN O=C(CN1C(=O)Nc2ccccc2S1(=O)=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000750006283 1072858489 /nfs/dbraw/zinc/85/84/89/1072858489.db2.gz GUQBCMKSSGWXFN-CYBMUJFWSA-N 0 0 442.519 -0.446 20 0 IBADRN O=C(CN1C(=O)Nc2ccccc2S1(=O)=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000750006286 1072858669 /nfs/dbraw/zinc/85/86/69/1072858669.db2.gz GUQBCMKSSGWXFN-ZDUSSCGKSA-N 0 0 442.519 -0.446 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2F)C(=O)C1=O ZINC000750008569 1072858570 /nfs/dbraw/zinc/85/85/70/1072858570.db2.gz VDXVIUTXNQEHRG-UHFFFAOYSA-N 0 0 429.426 -0.598 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2F)c(=O)n(C)c1=O ZINC000750010688 1072858404 /nfs/dbraw/zinc/85/84/04/1072858404.db2.gz NPYAQSIMMFWIOP-DAXSKMNVSA-N 0 0 439.421 -0.178 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2F)c(=O)n(C)c1=O ZINC000750010690 1072858927 /nfs/dbraw/zinc/85/89/27/1072858927.db2.gz NPYAQSIMMFWIOP-QPJJXVBHSA-N 0 0 439.421 -0.178 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)c1 ZINC000750010871 1072858998 /nfs/dbraw/zinc/85/89/98/1072858998.db2.gz AZOVNABLZSJEAS-UHFFFAOYSA-N 0 0 437.471 -0.374 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2F)cn1 ZINC000750012900 1073091304 /nfs/dbraw/zinc/09/13/04/1073091304.db2.gz QNPSWXBQFCXPPR-UHFFFAOYSA-N 0 0 448.454 -0.577 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCCOc1ccc(S(N)(=O)=O)cc1 ZINC000750018189 1072859011 /nfs/dbraw/zinc/85/90/11/1072859011.db2.gz FMSQQOLRUFYRKY-UHFFFAOYSA-N 0 0 433.464 -0.161 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000750019689 1072859070 /nfs/dbraw/zinc/85/90/70/1072859070.db2.gz MVMSUDMIPGEGHV-UHFFFAOYSA-N 0 0 446.482 -0.150 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2F)c1 ZINC000750039263 1072858934 /nfs/dbraw/zinc/85/89/34/1072858934.db2.gz YGXLRNOOCTYQPH-UHFFFAOYSA-N 0 0 448.454 -0.577 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2ccc(-n3ccnc3)nc2)CC1 ZINC000750039505 1072858956 /nfs/dbraw/zinc/85/89/56/1072858956.db2.gz PHFJAVGZXYYDAI-INIZCTEOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2ccc(-n3ccnc3)nc2)CC1 ZINC000750039506 1072859157 /nfs/dbraw/zinc/85/91/57/1072859157.db2.gz PHFJAVGZXYYDAI-MRXNPFEDSA-N 0 0 425.536 -0.098 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000750051443 1072859189 /nfs/dbraw/zinc/85/91/89/1072859189.db2.gz CAHYXYMIVFDTJM-MRVPVSSYSA-N 0 0 433.288 -0.957 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000750051444 1072859048 /nfs/dbraw/zinc/85/90/48/1072859048.db2.gz CAHYXYMIVFDTJM-QMMMGPOBSA-N 0 0 433.288 -0.957 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CN2C(=O)N[C@](C)(c3cc(C)ccc3OC)C2=O)C1 ZINC000750053875 1072859031 /nfs/dbraw/zinc/85/90/31/1072859031.db2.gz LOICACWDSHOFSS-BFUOFWGJSA-N 0 0 438.506 -0.079 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cc(C)ccc3OC)C2=O)C1 ZINC000750053882 1072858966 /nfs/dbraw/zinc/85/89/66/1072858966.db2.gz LOICACWDSHOFSS-DJJJIMSYSA-N 0 0 438.506 -0.079 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CN2C(=O)N[C@](C)(c3cc(C)ccc3OC)C2=O)C1 ZINC000750053886 1072858988 /nfs/dbraw/zinc/85/89/88/1072858988.db2.gz LOICACWDSHOFSS-ORAYPTAESA-N 0 0 438.506 -0.079 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cc(C)ccc3OC)C2=O)C1 ZINC000750053892 1072859119 /nfs/dbraw/zinc/85/91/19/1072859119.db2.gz LOICACWDSHOFSS-YJYMSZOUSA-N 0 0 438.506 -0.079 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(c3cnn(C)c3)C(=O)C2)C1=O ZINC000750054949 1072858975 /nfs/dbraw/zinc/85/89/75/1072858975.db2.gz FCXUZIFMTNXFPH-NRFANRHFSA-N 0 0 439.476 -0.043 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(c3cnn(C)c3)C(=O)C2)C1=O ZINC000750054983 1072859101 /nfs/dbraw/zinc/85/91/01/1072859101.db2.gz FCXUZIFMTNXFPH-OAQYLSRUSA-N 0 0 439.476 -0.043 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC000750056089 1072859135 /nfs/dbraw/zinc/85/91/35/1072859135.db2.gz XZBYCJLCLODXOT-BRBWODKKSA-N 0 0 434.518 -0.060 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC000750056100 1072858908 /nfs/dbraw/zinc/85/89/08/1072858908.db2.gz XZBYCJLCLODXOT-NWTSWCFDSA-N 0 0 434.518 -0.060 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC000750056104 1072858946 /nfs/dbraw/zinc/85/89/46/1072858946.db2.gz XZBYCJLCLODXOT-QOCAVEGASA-N 0 0 434.518 -0.060 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC000750056109 1072859678 /nfs/dbraw/zinc/85/96/78/1072859678.db2.gz XZBYCJLCLODXOT-REEAJDFCSA-N 0 0 434.518 -0.060 20 0 IBADRN O=C([C@H]1Nc2ccccc2S(=O)(=O)N1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000750059156 1072859696 /nfs/dbraw/zinc/85/96/96/1072859696.db2.gz CKYYXWLGHPJIJQ-HNNXBMFYSA-N 0 0 441.491 -0.621 20 0 IBADRN O=C([C@@H]1Nc2ccccc2S(=O)(=O)N1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000750059158 1072859566 /nfs/dbraw/zinc/85/95/66/1072859566.db2.gz CKYYXWLGHPJIJQ-OAHLLOKOSA-N 0 0 441.491 -0.621 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000750074890 1072859516 /nfs/dbraw/zinc/85/95/16/1072859516.db2.gz CJHLVDRQGHZRCL-UHFFFAOYSA-N 0 0 434.471 -0.039 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000750074953 1072859630 /nfs/dbraw/zinc/85/96/30/1072859630.db2.gz DKSGSYWSUJLSNF-UHFFFAOYSA-N 0 0 428.448 -0.945 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCCNS(=O)(=O)Cc2ccon2)n(C)c1 ZINC000750075424 1072859379 /nfs/dbraw/zinc/85/93/79/1072859379.db2.gz CKIGWQRBNTWNFY-UHFFFAOYSA-N 0 0 447.539 -0.107 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc2ccon2)C1=O ZINC000750075946 1072859534 /nfs/dbraw/zinc/85/95/34/1072859534.db2.gz JVXAZXFSZXKNNL-GOSISDBHSA-N 0 0 435.462 -0.323 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc2ccon2)C1=O ZINC000750075952 1072859361 /nfs/dbraw/zinc/85/93/61/1072859361.db2.gz JVXAZXFSZXKNNL-SFHVURJKSA-N 0 0 435.462 -0.323 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NCCNS(=O)(=O)Cc2ccon2)c1=O ZINC000750076440 1072859646 /nfs/dbraw/zinc/85/96/46/1072859646.db2.gz LYTBTUMULDLTLE-UHFFFAOYSA-N 0 0 435.462 -0.593 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(c4cnn(C)c4)C(=O)C3)cc2S1(=O)=O ZINC000750076502 1072859461 /nfs/dbraw/zinc/85/94/61/1072859461.db2.gz MXBPTRXXNUKMEG-UHFFFAOYSA-N 0 0 447.473 -0.300 20 0 IBADRN Cn1cc(N2CCN(C(=O)Cn3cnc4c(c(Br)nn4C)c3=O)CC2=O)cn1 ZINC000750076661 1072859550 /nfs/dbraw/zinc/85/95/50/1072859550.db2.gz OWAZEQRLCXSYTB-UHFFFAOYSA-N 0 0 449.269 -0.499 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000750077979 1072859482 /nfs/dbraw/zinc/85/94/82/1072859482.db2.gz WGMNQPBZDYFAJH-UHFFFAOYSA-N 0 0 434.471 -0.087 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000750079040 1072860158 /nfs/dbraw/zinc/86/01/58/1072860158.db2.gz HRWRMYBRSMZJEL-UHFFFAOYSA-N 0 0 432.480 -0.099 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc3ccon3)C2=O)cc1 ZINC000750079640 1072860073 /nfs/dbraw/zinc/86/00/73/1072860073.db2.gz KIGDBMLYWRMPNC-IBGZPJMESA-N 0 0 449.489 -0.014 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCNS(=O)(=O)Cc3ccon3)C2=O)cc1 ZINC000750079641 1072860039 /nfs/dbraw/zinc/86/00/39/1072860039.db2.gz KIGDBMLYWRMPNC-LJQANCHMSA-N 0 0 449.489 -0.014 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000750079675 1072859400 /nfs/dbraw/zinc/85/94/00/1072859400.db2.gz LFYQTCLRCDMHAC-IBGZPJMESA-N 0 0 447.473 -0.396 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000750079676 1072859616 /nfs/dbraw/zinc/85/96/16/1072859616.db2.gz LFYQTCLRCDMHAC-LJQANCHMSA-N 0 0 447.473 -0.396 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000750079698 1072860023 /nfs/dbraw/zinc/86/00/23/1072860023.db2.gz LUQSMEIFRMAQDL-UHFFFAOYSA-N 0 0 432.480 -0.294 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000750079795 1072860104 /nfs/dbraw/zinc/86/01/04/1072860104.db2.gz LTQBLBCHUPTAQU-INIZCTEOSA-N 0 0 446.551 -0.385 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000750079798 1072860189 /nfs/dbraw/zinc/86/01/89/1072860189.db2.gz LTQBLBCHUPTAQU-MRXNPFEDSA-N 0 0 446.551 -0.385 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCNS(=O)(=O)Cc2ccon2)C(=O)C1 ZINC000750080381 1072860049 /nfs/dbraw/zinc/86/00/49/1072860049.db2.gz NYNTXEVGACSKGV-UHFFFAOYSA-N 0 0 445.498 -0.711 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCNS(=O)(=O)Cc2ccon2)=NN1c1ccc(F)cc1 ZINC000750080401 1072860144 /nfs/dbraw/zinc/86/01/44/1072860144.db2.gz OMXDVCBZYPVOTO-HNNXBMFYSA-N 0 0 438.441 -0.531 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCNS(=O)(=O)Cc2ccon2)=NN1c1ccc(F)cc1 ZINC000750080402 1072860093 /nfs/dbraw/zinc/86/00/93/1072860093.db2.gz OMXDVCBZYPVOTO-OAHLLOKOSA-N 0 0 438.441 -0.531 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)NCCNS(=O)(=O)Cc3ccon3)cc2S1(=O)=O ZINC000750081024 1072859998 /nfs/dbraw/zinc/85/99/98/1072859998.db2.gz QMLJPHVLYDALSP-UHFFFAOYSA-N 0 0 442.475 -0.312 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C1=NS(=O)(=O)c2ccccc21 ZINC000750082143 1072860113 /nfs/dbraw/zinc/86/01/13/1072860113.db2.gz XMPDACMDCXPDBK-UHFFFAOYSA-N 0 0 441.491 -0.709 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)Cc2ccon2)cc1 ZINC000750082249 1072860172 /nfs/dbraw/zinc/86/01/72/1072860172.db2.gz XTNQKMWDLWIGSI-UHFFFAOYSA-N 0 0 432.480 -0.294 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(C(=O)C2CC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000750120744 1072860079 /nfs/dbraw/zinc/86/00/79/1072860079.db2.gz OYDNKOSQVKTYKO-DLBZAZTESA-N 0 0 441.550 -0.491 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000750120747 1072860135 /nfs/dbraw/zinc/86/01/35/1072860135.db2.gz OYDNKOSQVKTYKO-IAGOWNOFSA-N 0 0 441.550 -0.491 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(C(=O)C2CC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000750120749 1072859974 /nfs/dbraw/zinc/85/99/74/1072859974.db2.gz OYDNKOSQVKTYKO-IRXDYDNUSA-N 0 0 441.550 -0.491 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000750120752 1072860606 /nfs/dbraw/zinc/86/06/06/1072860606.db2.gz OYDNKOSQVKTYKO-SJORKVTESA-N 0 0 441.550 -0.491 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000750149207 1072860458 /nfs/dbraw/zinc/86/04/58/1072860458.db2.gz IQHQGBRJCMGLRN-UHFFFAOYSA-N 0 0 439.446 -0.874 20 0 IBADRN CCOC(=O)C1=C(COC(=O)COc2ccc(S(N)(=O)=O)cc2)NC(=O)N[C@H]1C ZINC000750169820 1072860582 /nfs/dbraw/zinc/86/05/82/1072860582.db2.gz HUXSVYBLGXNESA-JTQLQIEISA-N 0 0 427.435 -0.226 20 0 IBADRN CCOC(=O)C1=C(COC(=O)COc2ccc(S(N)(=O)=O)cc2)NC(=O)N[C@@H]1C ZINC000750169821 1072860548 /nfs/dbraw/zinc/86/05/48/1072860548.db2.gz HUXSVYBLGXNESA-SNVBAGLBSA-N 0 0 427.435 -0.226 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)COc1ccc(S(N)(=O)=O)cc1)c1ccccc1 ZINC000750171549 1072860642 /nfs/dbraw/zinc/86/06/42/1072860642.db2.gz RSXIOYZIJDHKTL-GOSISDBHSA-N 0 0 435.458 -0.141 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)COc1ccc(S(N)(=O)=O)cc1)c1ccccc1 ZINC000750171554 1072860571 /nfs/dbraw/zinc/86/05/71/1072860571.db2.gz RSXIOYZIJDHKTL-SFHVURJKSA-N 0 0 435.458 -0.141 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000750172152 1072860521 /nfs/dbraw/zinc/86/05/21/1072860521.db2.gz XFEAZIHZFUWSNK-UHFFFAOYSA-N 0 0 443.478 -0.007 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)COc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000750172202 1072860388 /nfs/dbraw/zinc/86/03/88/1072860388.db2.gz YYUPUEXWGADWBE-UHFFFAOYSA-N 0 0 430.460 -0.018 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)cc1 ZINC000750172208 1072860478 /nfs/dbraw/zinc/86/04/78/1072860478.db2.gz ZEWVWBTYENLZAJ-UHFFFAOYSA-N 0 0 425.463 -0.663 20 0 IBADRN C[C@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000750172413 1072860533 /nfs/dbraw/zinc/86/05/33/1072860533.db2.gz ORHBXYVGNDTNBY-AWEZNQCLSA-N 0 0 449.489 -0.217 20 0 IBADRN C[C@@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000750172436 1072860624 /nfs/dbraw/zinc/86/06/24/1072860624.db2.gz ORHBXYVGNDTNBY-CQSZACIVSA-N 0 0 449.489 -0.217 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000750172869 1072860415 /nfs/dbraw/zinc/86/04/15/1072860415.db2.gz UHTPGYMHTJYUJU-CYBMUJFWSA-N 0 0 443.478 -0.055 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000750172870 1072860564 /nfs/dbraw/zinc/86/05/64/1072860564.db2.gz UHTPGYMHTJYUJU-ZDUSSCGKSA-N 0 0 443.478 -0.055 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000750173204 1072860429 /nfs/dbraw/zinc/86/04/29/1072860429.db2.gz YVDVVRJLXLVFLX-UHFFFAOYSA-N 0 0 429.451 -0.443 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)COc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000750174090 1072860402 /nfs/dbraw/zinc/86/04/02/1072860402.db2.gz NOWLOTFRQRXHKE-CHWSQXEVSA-N 0 0 448.519 -0.320 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)COc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000750174094 1072860509 /nfs/dbraw/zinc/86/05/09/1072860509.db2.gz NOWLOTFRQRXHKE-OLZOCXBDSA-N 0 0 448.519 -0.320 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)COc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000750174096 1072860450 /nfs/dbraw/zinc/86/04/50/1072860450.db2.gz NOWLOTFRQRXHKE-QWHCGFSZSA-N 0 0 448.519 -0.320 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)COc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000750174097 1072860591 /nfs/dbraw/zinc/86/05/91/1072860591.db2.gz NOWLOTFRQRXHKE-STQMWFEESA-N 0 0 448.519 -0.320 20 0 IBADRN CC(C)[C@@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000750174682 1072861034 /nfs/dbraw/zinc/86/10/34/1072861034.db2.gz KYGFYEGCXBAQMI-BLLLJJGKSA-N 0 0 448.519 -0.416 20 0 IBADRN CC(C)[C@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000750174684 1072860895 /nfs/dbraw/zinc/86/08/95/1072860895.db2.gz KYGFYEGCXBAQMI-LRDDRELGSA-N 0 0 448.519 -0.416 20 0 IBADRN CC(C)[C@@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000750174688 1072860950 /nfs/dbraw/zinc/86/09/50/1072860950.db2.gz KYGFYEGCXBAQMI-MLGOLLRUSA-N 0 0 448.519 -0.416 20 0 IBADRN CC(C)[C@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000750174689 1072861033 /nfs/dbraw/zinc/86/10/33/1072861033.db2.gz KYGFYEGCXBAQMI-WBMJQRKESA-N 0 0 448.519 -0.416 20 0 IBADRN C[C@@H](NC(=O)COC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCCCC1 ZINC000750174971 1072860494 /nfs/dbraw/zinc/86/04/94/1072860494.db2.gz VKPRQKRREAJRMY-CYBMUJFWSA-N 0 0 427.479 -0.227 20 0 IBADRN C[C@H](NC(=O)COC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N1CCCCC1 ZINC000750174973 1072860557 /nfs/dbraw/zinc/86/05/57/1072860557.db2.gz VKPRQKRREAJRMY-ZDUSSCGKSA-N 0 0 427.479 -0.227 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)OCC(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000750175470 1072860907 /nfs/dbraw/zinc/86/09/07/1072860907.db2.gz YQRZLDIRPQMCCO-UHFFFAOYSA-N 0 0 435.462 -0.605 20 0 IBADRN C[C@@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000750175472 1072861038 /nfs/dbraw/zinc/86/10/38/1072861038.db2.gz YSENRKKACNNUDM-NEPJUHHUSA-N 0 0 434.492 -0.710 20 0 IBADRN C[C@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000750175473 1072860915 /nfs/dbraw/zinc/86/09/15/1072860915.db2.gz YSENRKKACNNUDM-NWDGAFQWSA-N 0 0 434.492 -0.710 20 0 IBADRN C[C@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000750175474 1072861018 /nfs/dbraw/zinc/86/10/18/1072861018.db2.gz YSENRKKACNNUDM-RYUDHWBXSA-N 0 0 434.492 -0.710 20 0 IBADRN C[C@@H](OC(=O)COc1ccc(S(N)(=O)=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000750175475 1072861027 /nfs/dbraw/zinc/86/10/27/1072861027.db2.gz YSENRKKACNNUDM-VXGBXAGGSA-N 0 0 434.492 -0.710 20 0 IBADRN CCN(C(=O)COC(=O)COc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000750175511 1072860939 /nfs/dbraw/zinc/86/09/39/1072860939.db2.gz ZXKAWLFCNXEZPK-GFCCVEGCSA-N 0 0 434.492 -0.708 20 0 IBADRN CCN(C(=O)COC(=O)COc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000750175514 1072860886 /nfs/dbraw/zinc/86/08/86/1072860886.db2.gz ZXKAWLFCNXEZPK-LBPRGKRZSA-N 0 0 434.492 -0.708 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)COc2ccc(S(N)(=O)=O)cc2)C(C)C)CC1 ZINC000750179647 1072861043 /nfs/dbraw/zinc/86/10/43/1072861043.db2.gz ULLFAXZMROOFKZ-GOSISDBHSA-N 0 0 441.506 -0.029 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)COc2ccc(S(N)(=O)=O)cc2)C(C)C)CC1 ZINC000750179648 1072860874 /nfs/dbraw/zinc/86/08/74/1072860874.db2.gz ULLFAXZMROOFKZ-SFHVURJKSA-N 0 0 441.506 -0.029 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000750186608 1072860924 /nfs/dbraw/zinc/86/09/24/1072860924.db2.gz CKEMGQWVBJFDFO-ZDUSSCGKSA-N 0 0 427.479 -0.404 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000750194525 1072861054 /nfs/dbraw/zinc/86/10/54/1072861054.db2.gz LOBHJEJWYQRVCA-NEPJUHHUSA-N 0 0 431.467 -0.234 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000750194526 1072860852 /nfs/dbraw/zinc/86/08/52/1072860852.db2.gz LOBHJEJWYQRVCA-RYUDHWBXSA-N 0 0 431.467 -0.234 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cccn3c(I)cnc23)CC1 ZINC000750196638 1072861340 /nfs/dbraw/zinc/86/13/40/1072861340.db2.gz ZMUZDJNFJSLVQZ-UHFFFAOYSA-N 0 0 435.247 -0.100 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000750197695 1072861403 /nfs/dbraw/zinc/86/14/03/1072861403.db2.gz BMVRHROEFGXSGB-SWLSCSKDSA-N 0 0 427.479 -0.228 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000750197698 1072861392 /nfs/dbraw/zinc/86/13/92/1072861392.db2.gz BMVRHROEFGXSGB-WFASDCNBSA-N 0 0 427.479 -0.228 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)C1 ZINC000750204763 1072861280 /nfs/dbraw/zinc/86/12/80/1072861280.db2.gz XOCHFFMNBYNGHG-KBXCAEBGSA-N 0 0 439.494 -0.883 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)C1 ZINC000750204765 1072861455 /nfs/dbraw/zinc/86/14/55/1072861455.db2.gz XOCHFFMNBYNGHG-KDOFPFPSSA-N 0 0 439.494 -0.883 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)C1 ZINC000750204766 1072861472 /nfs/dbraw/zinc/86/14/72/1072861472.db2.gz XOCHFFMNBYNGHG-KSSFIOAISA-N 0 0 439.494 -0.883 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)C1 ZINC000750204767 1072861263 /nfs/dbraw/zinc/86/12/63/1072861263.db2.gz XOCHFFMNBYNGHG-RDTXWAMCSA-N 0 0 439.494 -0.883 20 0 IBADRN Cc1cc2[nH]c(=O)c(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)cn2n1 ZINC000750207811 1072861439 /nfs/dbraw/zinc/86/14/39/1072861439.db2.gz HDTIPMFPWBDADF-UHFFFAOYSA-N 0 0 427.483 -0.336 20 0 IBADRN Cc1cc2[nH]c(=O)c(C(=O)OCC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)cn2n1 ZINC000750211146 1072861313 /nfs/dbraw/zinc/86/13/13/1072861313.db2.gz BNMJICAOVHUIIE-UHFFFAOYSA-N 0 0 430.421 -0.531 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000750212992 1072861384 /nfs/dbraw/zinc/86/13/84/1072861384.db2.gz BOKPFNNFVUJKCO-ZDUSSCGKSA-N 0 0 449.489 -0.348 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC000750215047 1072861297 /nfs/dbraw/zinc/86/12/97/1072861297.db2.gz IWZMVMMQEMSPCN-KBXCAEBGSA-N 0 0 439.494 -0.883 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC000750215050 1072861353 /nfs/dbraw/zinc/86/13/53/1072861353.db2.gz IWZMVMMQEMSPCN-KDOFPFPSSA-N 0 0 439.494 -0.883 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC000750215052 1072861449 /nfs/dbraw/zinc/86/14/49/1072861449.db2.gz IWZMVMMQEMSPCN-KSSFIOAISA-N 0 0 439.494 -0.883 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC000750215054 1072861416 /nfs/dbraw/zinc/86/14/16/1072861416.db2.gz IWZMVMMQEMSPCN-RDTXWAMCSA-N 0 0 439.494 -0.883 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)C1 ZINC000750217384 1072861428 /nfs/dbraw/zinc/86/14/28/1072861428.db2.gz PBWAFROEQADVQN-BEFAXECRSA-N 0 0 437.522 -0.416 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)C1 ZINC000750217388 1072861421 /nfs/dbraw/zinc/86/14/21/1072861421.db2.gz PBWAFROEQADVQN-DNVCBOLYSA-N 0 0 437.522 -0.416 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)C1 ZINC000750217390 1072861463 /nfs/dbraw/zinc/86/14/63/1072861463.db2.gz PBWAFROEQADVQN-HNAYVOBHSA-N 0 0 437.522 -0.416 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)C1 ZINC000750217392 1072861329 /nfs/dbraw/zinc/86/13/29/1072861329.db2.gz PBWAFROEQADVQN-KXBFYZLASA-N 0 0 437.522 -0.416 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(N(C)C)n1 ZINC000750220118 1072861243 /nfs/dbraw/zinc/86/12/43/1072861243.db2.gz SSMHIKUYQWRZTC-UHFFFAOYSA-N 0 0 430.465 -0.786 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(N(C)C)n1 ZINC000750222032 1072861364 /nfs/dbraw/zinc/86/13/64/1072861364.db2.gz UKQSNJKZJBRHAF-UHFFFAOYSA-N 0 0 440.526 -0.884 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC000750225733 1072861977 /nfs/dbraw/zinc/86/19/77/1072861977.db2.gz SEYQVBPWBSSKJP-UHFFFAOYSA-N 0 0 429.270 -0.379 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)OCC(=O)N1CCNC(=O)C1 ZINC000750226262 1072862024 /nfs/dbraw/zinc/86/20/24/1072862024.db2.gz VNDHYAZAVAYGQB-UHFFFAOYSA-N 0 0 440.297 -0.710 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)OCC(=O)N1CCNC1=O ZINC000750228793 1072861933 /nfs/dbraw/zinc/86/19/33/1072861933.db2.gz FZLYBUCCIMHBFX-UHFFFAOYSA-N 0 0 426.270 -0.116 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)OCCN1C(=O)CNC1=O ZINC000750233299 1072861764 /nfs/dbraw/zinc/86/17/64/1072861764.db2.gz XYHWQNAZTMDVNF-UHFFFAOYSA-N 0 0 426.270 -0.116 20 0 IBADRN O=C([C@@H]1OCCc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000750234429 1072861991 /nfs/dbraw/zinc/86/19/91/1072861991.db2.gz YODLYUBBAIRYJZ-DOTOQJQBSA-N 0 0 428.532 -0.039 20 0 IBADRN O=C([C@@H]1OCCc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000750234433 1072861744 /nfs/dbraw/zinc/86/17/44/1072861744.db2.gz YODLYUBBAIRYJZ-NVXWUHKLSA-N 0 0 428.532 -0.039 20 0 IBADRN O=C([C@H]1OCCc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000750234437 1072861839 /nfs/dbraw/zinc/86/18/39/1072861839.db2.gz YODLYUBBAIRYJZ-RDJZCZTQSA-N 0 0 428.532 -0.039 20 0 IBADRN O=C([C@H]1OCCc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000750234442 1072862012 /nfs/dbraw/zinc/86/20/12/1072862012.db2.gz YODLYUBBAIRYJZ-WBVHZDCISA-N 0 0 428.532 -0.039 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c(N(C)C)n1 ZINC000750248664 1072861908 /nfs/dbraw/zinc/86/19/08/1072861908.db2.gz VSNOQVCJKWPHRQ-AWEZNQCLSA-N 0 0 427.527 -0.001 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c(N(C)C)n1 ZINC000750248666 1072862000 /nfs/dbraw/zinc/86/20/00/1072862000.db2.gz VSNOQVCJKWPHRQ-CQSZACIVSA-N 0 0 427.527 -0.001 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3cccc(OCCS(C)(=O)=O)c3)CC2=O)cn1 ZINC000750255849 1072861888 /nfs/dbraw/zinc/86/18/88/1072861888.db2.gz GWXPVGHURFSBQD-UHFFFAOYSA-N 0 0 449.489 -0.343 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2=O)cn1 ZINC000750257418 1072861824 /nfs/dbraw/zinc/86/18/24/1072861824.db2.gz PTGFPIJIXVYPQT-UHFFFAOYSA-N 0 0 425.449 -0.830 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(C)nc3N(C)C)CC2)cn1C ZINC000750260665 1072861950 /nfs/dbraw/zinc/86/19/50/1072861950.db2.gz GASJYRVECCPSJZ-UHFFFAOYSA-N 0 0 449.537 -0.030 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C)nc2N(C)C)CC1 ZINC000750261913 1072861791 /nfs/dbraw/zinc/86/17/91/1072861791.db2.gz NUWKHXRSPBZAFR-UHFFFAOYSA-N 0 0 427.527 -0.095 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CC[C@H](S(=O)(=O)NC)C2)CC1 ZINC000750263621 1072861730 /nfs/dbraw/zinc/86/17/30/1072861730.db2.gz YSIYLGWYKJZNCS-HNNXBMFYSA-N 0 0 447.558 -0.163 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CC[C@@H](S(=O)(=O)NC)C2)CC1 ZINC000750263622 1072861709 /nfs/dbraw/zinc/86/17/09/1072861709.db2.gz YSIYLGWYKJZNCS-OAHLLOKOSA-N 0 0 447.558 -0.163 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)C2(S(C)(=O)=O)CCCC2)c(=O)n(C)c1=O ZINC000750268181 1072861967 /nfs/dbraw/zinc/86/19/67/1072861967.db2.gz FASKZCIXRVUENW-UHFFFAOYSA-N 0 0 429.495 -0.132 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CCCC1 ZINC000750269278 1072862212 /nfs/dbraw/zinc/86/22/12/1072862212.db2.gz KRYLJVBQNYBYND-UHFFFAOYSA-N 0 0 432.520 -0.107 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCC(=O)N3CCN(C(=O)OC(C)(C)C)CC3)CC2=O)cn1 ZINC000750275408 1072862301 /nfs/dbraw/zinc/86/23/01/1072862301.db2.gz JXTILJVUYGNINE-UHFFFAOYSA-N 0 0 449.512 -0.142 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(C[S@](=O)CCOC)c2)C1 ZINC000750275683 1072862244 /nfs/dbraw/zinc/86/22/44/1072862244.db2.gz GESWVRWXDOEWNK-KUNJGFBQSA-N 0 0 431.536 -0.330 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(C[S@@](=O)CCOC)c2)C1 ZINC000750275684 1072862281 /nfs/dbraw/zinc/86/22/81/1072862281.db2.gz GESWVRWXDOEWNK-QZXCRCNTSA-N 0 0 431.536 -0.330 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C[S@@](=O)CCOC)c2)C1 ZINC000750275685 1072862203 /nfs/dbraw/zinc/86/22/03/1072862203.db2.gz GESWVRWXDOEWNK-RXAIFQJESA-N 0 0 431.536 -0.330 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(C[S@](=O)CCOC)c2)C1 ZINC000750275686 1072862228 /nfs/dbraw/zinc/86/22/28/1072862228.db2.gz GESWVRWXDOEWNK-YVORESIASA-N 0 0 431.536 -0.330 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(NC(=O)OCCOC)c2)C1 ZINC000750276150 1072862328 /nfs/dbraw/zinc/86/23/28/1072862328.db2.gz HQLKGQLIOWWZEI-AWEZNQCLSA-N 0 0 428.467 -0.030 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(NC(=O)OCCOC)c2)C1 ZINC000750276151 1072862363 /nfs/dbraw/zinc/86/23/63/1072862363.db2.gz HQLKGQLIOWWZEI-CQSZACIVSA-N 0 0 428.467 -0.030 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000750277292 1072862410 /nfs/dbraw/zinc/86/24/10/1072862410.db2.gz PPTJNVMQGFSFGL-GFCCVEGCSA-N 0 0 443.913 -0.635 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000750277295 1072862467 /nfs/dbraw/zinc/86/24/67/1072862467.db2.gz PPTJNVMQGFSFGL-LBPRGKRZSA-N 0 0 443.913 -0.635 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C1 ZINC000750278040 1072862174 /nfs/dbraw/zinc/86/21/74/1072862174.db2.gz UJVVATUTMRWAAI-LLVKDONJSA-N 0 0 425.435 -0.229 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C1 ZINC000750278051 1072862293 /nfs/dbraw/zinc/86/22/93/1072862293.db2.gz UJVVATUTMRWAAI-NSHDSACASA-N 0 0 425.435 -0.229 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000750278157 1072862380 /nfs/dbraw/zinc/86/23/80/1072862380.db2.gz UZVIXHIIRSTQON-AWEZNQCLSA-N 0 0 433.508 -0.801 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000750278160 1072862425 /nfs/dbraw/zinc/86/24/25/1072862425.db2.gz UZVIXHIIRSTQON-CQSZACIVSA-N 0 0 433.508 -0.801 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(N3CCOC3=O)c2)C1 ZINC000750278640 1072862440 /nfs/dbraw/zinc/86/24/40/1072862440.db2.gz YFAVVLSIBRFDLF-GFCCVEGCSA-N 0 0 426.451 -0.260 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(OC)c(N3CCOC3=O)c2)C1 ZINC000750278642 1072862345 /nfs/dbraw/zinc/86/23/45/1072862345.db2.gz YFAVVLSIBRFDLF-LBPRGKRZSA-N 0 0 426.451 -0.260 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)C1 ZINC000750278743 1072862187 /nfs/dbraw/zinc/86/21/87/1072862187.db2.gz ZKPFELFNRPRNAE-CYBMUJFWSA-N 0 0 440.503 -0.029 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)C1 ZINC000750278745 1072862456 /nfs/dbraw/zinc/86/24/56/1072862456.db2.gz ZKPFELFNRPRNAE-ZDUSSCGKSA-N 0 0 440.503 -0.029 20 0 IBADRN C[C@@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000750280698 1072862258 /nfs/dbraw/zinc/86/22/58/1072862258.db2.gz SKDFYDOYQRRFNC-BFHYXJOUSA-N 0 0 445.476 -0.069 20 0 IBADRN C[C@@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000750280699 1072862394 /nfs/dbraw/zinc/86/23/94/1072862394.db2.gz SKDFYDOYQRRFNC-IHRRRGAJSA-N 0 0 445.476 -0.069 20 0 IBADRN C[C@@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000750280700 1072862903 /nfs/dbraw/zinc/86/29/03/1072862903.db2.gz SKDFYDOYQRRFNC-MELADBBJSA-N 0 0 445.476 -0.069 20 0 IBADRN C[C@@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000750281959 1072862876 /nfs/dbraw/zinc/86/28/76/1072862876.db2.gz INCGWUNLVQBQIM-AVGNSLFASA-N 0 0 431.449 -0.459 20 0 IBADRN C[C@@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000750281960 1072862756 /nfs/dbraw/zinc/86/27/56/1072862756.db2.gz INCGWUNLVQBQIM-RWMBFGLXSA-N 0 0 431.449 -0.459 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)C1 ZINC000750285222 1072862802 /nfs/dbraw/zinc/86/28/02/1072862802.db2.gz UCVFJYLRDZYHRV-SECBINFHSA-N 0 0 425.435 -0.229 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)C1 ZINC000750285223 1072862691 /nfs/dbraw/zinc/86/26/91/1072862691.db2.gz UCVFJYLRDZYHRV-VIFPVBQESA-N 0 0 425.435 -0.229 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000750285305 1072862888 /nfs/dbraw/zinc/86/28/88/1072862888.db2.gz VHDDSLZKMUGEES-LLVKDONJSA-N 0 0 448.480 -0.152 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000750285314 1072862946 /nfs/dbraw/zinc/86/29/46/1072862946.db2.gz VHDDSLZKMUGEES-NSHDSACASA-N 0 0 448.480 -0.152 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](c2noc(C3CC3)n2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000750287119 1072862726 /nfs/dbraw/zinc/86/27/26/1072862726.db2.gz HPSMMQNEHBJVSD-CABCVRRESA-N 0 0 428.511 -0.018 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](c2noc(C3CC3)n2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000750287120 1072862743 /nfs/dbraw/zinc/86/27/43/1072862743.db2.gz HPSMMQNEHBJVSD-GJZGRUSLSA-N 0 0 428.511 -0.018 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](c2noc(C3CC3)n2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000750287121 1072862677 /nfs/dbraw/zinc/86/26/77/1072862677.db2.gz HPSMMQNEHBJVSD-HUUCEWRRSA-N 0 0 428.511 -0.018 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](c2noc(C3CC3)n2)C1)[C@H]1CCS(=O)(=O)C1 ZINC000750287122 1072862658 /nfs/dbraw/zinc/86/26/58/1072862658.db2.gz HPSMMQNEHBJVSD-LSDHHAIUSA-N 0 0 428.511 -0.018 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCCNS(=O)(=O)Cc1ccccc1 ZINC000750288938 1072862931 /nfs/dbraw/zinc/86/29/31/1072862931.db2.gz NEENMBODGYBYHS-UHFFFAOYSA-N 0 0 445.523 -0.487 20 0 IBADRN CNC(=O)Cc1noc(COC(=O)c2cc(S(=O)(=O)N(C)C)ccc2NCCO)n1 ZINC000750310424 1072862841 /nfs/dbraw/zinc/86/28/41/1072862841.db2.gz DALQDSKPDLTVRA-UHFFFAOYSA-N 0 0 441.466 -0.630 20 0 IBADRN CNC(=O)Cc1noc(COC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000750311035 1072862633 /nfs/dbraw/zinc/86/26/33/1072862633.db2.gz BIGUYQPWFYGITB-UHFFFAOYSA-N 0 0 442.425 -0.125 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC000750317121 1072862707 /nfs/dbraw/zinc/86/27/07/1072862707.db2.gz XJAAQRLEZOWZML-UHFFFAOYSA-N 0 0 441.452 -0.902 20 0 IBADRN CNC(=O)Cc1noc(COC(=O)c2cc(S(=O)(=O)NCCOC)ccc2C)n1 ZINC000750318232 1072862782 /nfs/dbraw/zinc/86/27/82/1072862782.db2.gz FXJFBXBWLXCIJS-UHFFFAOYSA-N 0 0 426.451 -0.052 20 0 IBADRN O=C(CNC(=O)NCCS(=O)(=O)N1CCOCC1)N1CCN(c2ccccc2)CC1 ZINC000750323852 1072862860 /nfs/dbraw/zinc/86/28/60/1072862860.db2.gz YLCYNDPJTACCMJ-UHFFFAOYSA-N 0 0 439.538 -0.704 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccnc(-n3cncn3)c2)CC1 ZINC000750332879 1072863403 /nfs/dbraw/zinc/86/34/03/1072863403.db2.gz GOGJYCKKLJEEAL-UHFFFAOYSA-N 0 0 429.481 -0.122 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)OCCN(C)S(=O)(=O)N(C)C ZINC000750333163 1072863351 /nfs/dbraw/zinc/86/33/51/1072863351.db2.gz QMJAZXZHTROYIG-UHFFFAOYSA-N 0 0 437.486 -0.854 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)OCCn1nc2ccccn2c1=O ZINC000750333552 1072863288 /nfs/dbraw/zinc/86/32/88/1072863288.db2.gz VHIFCBLYHRYHLQ-UHFFFAOYSA-N 0 0 434.420 -0.083 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000750336305 1072863245 /nfs/dbraw/zinc/86/32/45/1072863245.db2.gz VLCOACRHVZPQOZ-UHFFFAOYSA-N 0 0 446.551 -0.106 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)C2(S(C)(=O)=O)CCCC2)CC1 ZINC000750336771 1072863384 /nfs/dbraw/zinc/86/33/84/1072863384.db2.gz AOVGXOMYVVEMEC-UHFFFAOYSA-N 0 0 431.555 -0.054 20 0 IBADRN Cc1cc2[nH]c(=O)c(C(=O)OCC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)cn2n1 ZINC000750336803 1072863318 /nfs/dbraw/zinc/86/33/18/1072863318.db2.gz BYUOFRRPIGOENQ-UHFFFAOYSA-N 0 0 432.481 -0.453 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc(S(N)(=O)=O)s2)CC1 ZINC000750338182 1072863268 /nfs/dbraw/zinc/86/32/68/1072863268.db2.gz CIKLEZWGLIHYAU-UHFFFAOYSA-N 0 0 446.551 -0.389 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CNC(=O)Cc2ccc(O)cc2)CC1 ZINC000750338692 1072863257 /nfs/dbraw/zinc/86/32/57/1072863257.db2.gz BVEFSWWGTWCLNE-UHFFFAOYSA-N 0 0 448.520 -0.347 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000750341182 1072863312 /nfs/dbraw/zinc/86/33/12/1072863312.db2.gz SVTSGYQFRRFXCQ-UHFFFAOYSA-N 0 0 427.479 -0.477 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CNC(=O)c2ccc(O)cc2)CC1 ZINC000750341218 1072863197 /nfs/dbraw/zinc/86/31/97/1072863197.db2.gz OSJMENCYSWFSRU-UHFFFAOYSA-N 0 0 434.493 -0.276 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc3c(c2)C(=O)NC3=O)CC1 ZINC000750344509 1072863150 /nfs/dbraw/zinc/86/31/50/1072863150.db2.gz KJSCGCLUZFWVOV-UHFFFAOYSA-N 0 0 430.461 -0.214 20 0 IBADRN O=C(Nc1ccc(-n2cncn2)c(Cl)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000750344859 1072863180 /nfs/dbraw/zinc/86/31/80/1072863180.db2.gz PUFSGHXGLYWRIV-UHFFFAOYSA-N 0 0 445.867 -0.191 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)C1 ZINC000750345427 1072863420 /nfs/dbraw/zinc/86/34/20/1072863420.db2.gz LSFWCNFWJWQGIB-HNNXBMFYSA-N 0 0 447.535 -0.393 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)C1 ZINC000750345429 1072863168 /nfs/dbraw/zinc/86/31/68/1072863168.db2.gz LSFWCNFWJWQGIB-OAHLLOKOSA-N 0 0 447.535 -0.393 20 0 IBADRN CCC(=O)N1CSC[C@H]1C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000750346520 1072863142 /nfs/dbraw/zinc/86/31/42/1072863142.db2.gz UIVMOPOHXXPBLZ-AWEZNQCLSA-N 0 0 428.555 -0.100 20 0 IBADRN CCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000750346522 1072863436 /nfs/dbraw/zinc/86/34/36/1072863436.db2.gz UIVMOPOHXXPBLZ-CQSZACIVSA-N 0 0 428.555 -0.100 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CS(=O)(=O)NC(C)(C)C)CC1 ZINC000750347414 1072863695 /nfs/dbraw/zinc/86/36/95/1072863695.db2.gz LEVBZIIMRMUMLA-UHFFFAOYSA-N 0 0 434.559 -0.694 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000750348413 1072863861 /nfs/dbraw/zinc/86/38/61/1072863861.db2.gz OXRSEHKVUCRPAH-UHFFFAOYSA-N 0 0 446.508 -0.524 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc(S(C)(=O)=O)o2)CC1 ZINC000750348690 1072863741 /nfs/dbraw/zinc/86/37/41/1072863741.db2.gz QUIIJCCQOSXMQR-UHFFFAOYSA-N 0 0 429.495 -0.101 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)c(Cl)c2)CC1 ZINC000750351848 1072863666 /nfs/dbraw/zinc/86/36/66/1072863666.db2.gz OLJHQVNDOAFNTM-UHFFFAOYSA-N 0 0 441.901 -0.190 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)nn1 ZINC000750353297 1072863916 /nfs/dbraw/zinc/86/39/16/1072863916.db2.gz KIXRJEWQPXRLEL-UHFFFAOYSA-N 0 0 440.424 -0.585 20 0 IBADRN COC(=O)Cn1cc([C@@H](C)OC(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)nn1 ZINC000750355659 1072863785 /nfs/dbraw/zinc/86/37/85/1072863785.db2.gz YNOWZATYSUBPBU-GFCCVEGCSA-N 0 0 440.424 -0.067 20 0 IBADRN COC(=O)Cn1cc([C@H](C)OC(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)nn1 ZINC000750355686 1072863955 /nfs/dbraw/zinc/86/39/55/1072863955.db2.gz YNOWZATYSUBPBU-LBPRGKRZSA-N 0 0 440.424 -0.067 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000750356775 1072863828 /nfs/dbraw/zinc/86/38/28/1072863828.db2.gz SEDSBUOVTKXHBK-GFCCVEGCSA-N 0 0 431.515 -0.768 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000750356778 1072863813 /nfs/dbraw/zinc/86/38/13/1072863813.db2.gz SEDSBUOVTKXHBK-LBPRGKRZSA-N 0 0 431.515 -0.768 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)CC1 ZINC000750357938 1072863720 /nfs/dbraw/zinc/86/37/20/1072863720.db2.gz GEXSQZXFPMKAIK-UHFFFAOYSA-N 0 0 444.492 -0.011 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(-n2cncn2)c(Cl)c1 ZINC000750360668 1072863892 /nfs/dbraw/zinc/86/38/92/1072863892.db2.gz AXJMOWZXLSLWLE-UHFFFAOYSA-N 0 0 442.885 -0.363 20 0 IBADRN CC(=O)N1CCCc2cc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)ccc21 ZINC000750362428 1072863771 /nfs/dbraw/zinc/86/37/71/1072863771.db2.gz OVLVOPMLGIEVPB-UHFFFAOYSA-N 0 0 438.506 -0.298 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000750363510 1072863734 /nfs/dbraw/zinc/86/37/34/1072863734.db2.gz RGZKBSHZJOXONB-PBHICJAKSA-N 0 0 442.557 -0.500 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000750363513 1072863940 /nfs/dbraw/zinc/86/39/40/1072863940.db2.gz RGZKBSHZJOXONB-RHSMWYFYSA-N 0 0 442.557 -0.500 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000750363516 1072863756 /nfs/dbraw/zinc/86/37/56/1072863756.db2.gz RGZKBSHZJOXONB-WMLDXEAASA-N 0 0 442.557 -0.500 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C(C)(C)C)C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000750363519 1072863928 /nfs/dbraw/zinc/86/39/28/1072863928.db2.gz RGZKBSHZJOXONB-YOEHRIQHSA-N 0 0 442.557 -0.500 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cn(Cc3ccccn3)nn2)CC1 ZINC000750363974 1072863708 /nfs/dbraw/zinc/86/37/08/1072863708.db2.gz UFEIXILPKGIAKY-UHFFFAOYSA-N 0 0 443.508 -0.063 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000750364972 1072863681 /nfs/dbraw/zinc/86/36/81/1072863681.db2.gz BJCHISLIEUQOIU-UHFFFAOYSA-N 0 0 433.465 -0.223 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000750366537 1072864171 /nfs/dbraw/zinc/86/41/71/1072864171.db2.gz NABKXBHANCSHLS-UHFFFAOYSA-N 0 0 432.481 -0.107 20 0 IBADRN C[C@H](NC(=O)COC(=O)Cc1nn(CCO)c(=O)c2ccccc12)C(=O)N1CCCCC1 ZINC000750366955 1072864319 /nfs/dbraw/zinc/86/43/19/1072864319.db2.gz PNYPLPXLGOKXHS-HNNXBMFYSA-N 0 0 444.488 -0.008 20 0 IBADRN C[C@@H](NC(=O)COC(=O)Cc1nn(CCO)c(=O)c2ccccc12)C(=O)N1CCCCC1 ZINC000750366957 1072864335 /nfs/dbraw/zinc/86/43/35/1072864335.db2.gz PNYPLPXLGOKXHS-OAHLLOKOSA-N 0 0 444.488 -0.008 20 0 IBADRN CCOC(=O)C1=C(COC(=O)Cc2nn(CCO)c(=O)c3ccccc23)NC(=O)N[C@@H]1C ZINC000750366987 1072864215 /nfs/dbraw/zinc/86/42/15/1072864215.db2.gz PSSMANMJYOOZAZ-GFCCVEGCSA-N 0 0 444.444 -0.007 20 0 IBADRN CCOC(=O)C1=C(COC(=O)Cc2nn(CCO)c(=O)c3ccccc23)NC(=O)N[C@H]1C ZINC000750366988 1072864274 /nfs/dbraw/zinc/86/42/74/1072864274.db2.gz PSSMANMJYOOZAZ-LBPRGKRZSA-N 0 0 444.444 -0.007 20 0 IBADRN C[C@@H](OC(=O)CCC(C)(C)C(=O)O[C@@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000750367687 1072864255 /nfs/dbraw/zinc/86/42/55/1072864255.db2.gz MDAVZMLYXZPPBZ-NEPJUHHUSA-N 0 0 440.453 -0.240 20 0 IBADRN C[C@@H](OC(=O)C(C)(C)CCC(=O)O[C@@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000750367690 1072864248 /nfs/dbraw/zinc/86/42/48/1072864248.db2.gz MDAVZMLYXZPPBZ-NWDGAFQWSA-N 0 0 440.453 -0.240 20 0 IBADRN C[C@H](OC(=O)CCC(C)(C)C(=O)O[C@@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000750367692 1072864161 /nfs/dbraw/zinc/86/41/61/1072864161.db2.gz MDAVZMLYXZPPBZ-RYUDHWBXSA-N 0 0 440.453 -0.240 20 0 IBADRN C[C@@H](OC(=O)CCC(C)(C)C(=O)O[C@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000750367694 1072864285 /nfs/dbraw/zinc/86/42/85/1072864285.db2.gz MDAVZMLYXZPPBZ-VXGBXAGGSA-N 0 0 440.453 -0.240 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000750367860 1072864301 /nfs/dbraw/zinc/86/43/01/1072864301.db2.gz YXHNVYFQAHEURT-UHFFFAOYSA-N 0 0 446.464 -0.493 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CC2(CS(C)(=O)=O)CC2)CC1 ZINC000750368568 1072864341 /nfs/dbraw/zinc/86/43/41/1072864341.db2.gz TUKGJMOQEPTETO-UHFFFAOYSA-N 0 0 431.555 -0.197 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)C[C@@H](O)C(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000750368865 1072864145 /nfs/dbraw/zinc/86/41/45/1072864145.db2.gz XGVVTBAAMDFBEM-DGADGQDISA-N 0 0 444.481 -0.905 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)C[C@@H](O)C(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000750368869 1072864291 /nfs/dbraw/zinc/86/42/91/1072864291.db2.gz XGVVTBAAMDFBEM-DGXTUMSLSA-N 0 0 444.481 -0.905 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)C[C@@H](O)C(=O)OCC(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000750368871 1072864199 /nfs/dbraw/zinc/86/41/99/1072864199.db2.gz XGVVTBAAMDFBEM-RBGFHDKUSA-N 0 0 444.481 -0.905 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)C[C@@H](O)C(=O)OCC(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000750368882 1072864326 /nfs/dbraw/zinc/86/43/26/1072864326.db2.gz XGVVTBAAMDFBEM-XFIYOXNOSA-N 0 0 444.481 -0.905 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)C[C@H](O)C(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000750373331 1072864261 /nfs/dbraw/zinc/86/42/61/1072864261.db2.gz XGVVTBAAMDFBEM-NPJQDHAYSA-N 0 0 444.481 -0.905 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)C[C@H](O)C(=O)OCC(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000750373334 1072864240 /nfs/dbraw/zinc/86/42/40/1072864240.db2.gz XGVVTBAAMDFBEM-OWYFMNJBSA-N 0 0 444.481 -0.905 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)C[C@H](O)C(=O)OCC(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000750373338 1072864311 /nfs/dbraw/zinc/86/43/11/1072864311.db2.gz XGVVTBAAMDFBEM-QRJUGERDSA-N 0 0 444.481 -0.905 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)C[C@H](O)C(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000750373340 1072864231 /nfs/dbraw/zinc/86/42/31/1072864231.db2.gz XGVVTBAAMDFBEM-SUJAAXHWSA-N 0 0 444.481 -0.905 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(S(C)(=O)=O)ccc2N)CC1 ZINC000750408327 1072864693 /nfs/dbraw/zinc/86/46/93/1072864693.db2.gz JZFSSYCTTYIPDC-UHFFFAOYSA-N 0 0 426.495 -0.939 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1cc(S(C)(=O)=O)ccc1N)c(=O)n2C ZINC000750411688 1072864576 /nfs/dbraw/zinc/86/45/76/1072864576.db2.gz KQXPTVQHGDLOCY-UHFFFAOYSA-N 0 0 435.462 -0.334 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)c2cc(C(N)=O)c[nH]2)c(N)n(Cc2ccccc2)c1=O ZINC000750415870 1072864652 /nfs/dbraw/zinc/86/46/52/1072864652.db2.gz CEFPTGDYYFXTDH-UHFFFAOYSA-N 0 0 425.401 -0.356 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000750417093 1072864533 /nfs/dbraw/zinc/86/45/33/1072864533.db2.gz UONLWEGJTKUOQT-HNNXBMFYSA-N 0 0 428.445 -0.086 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)c1 ZINC000750417850 1072864520 /nfs/dbraw/zinc/86/45/20/1072864520.db2.gz QTODXLQVMMBKAA-UHFFFAOYSA-N 0 0 438.437 -0.057 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c1 ZINC000750418478 1072864617 /nfs/dbraw/zinc/86/46/17/1072864617.db2.gz YUKFLWOZOAYOTL-UHFFFAOYSA-N 0 0 436.446 -0.070 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC000750419551 1072864592 /nfs/dbraw/zinc/86/45/92/1072864592.db2.gz FSYQNKGZCBAXRV-UHFFFAOYSA-N 0 0 439.450 -0.592 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1 ZINC000750419925 1072864485 /nfs/dbraw/zinc/86/44/85/1072864485.db2.gz KJNWYTRUIFXNNH-UHFFFAOYSA-N 0 0 436.446 -0.070 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c1 ZINC000750420487 1072864638 /nfs/dbraw/zinc/86/46/38/1072864638.db2.gz LQOFVNSQPSXGDW-UHFFFAOYSA-N 0 0 438.437 -0.057 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)c1 ZINC000750420516 1072865223 /nfs/dbraw/zinc/86/52/23/1072865223.db2.gz AACGHYIKHFJUDX-UHFFFAOYSA-N 0 0 438.437 -0.057 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c1 ZINC000750421071 1072865075 /nfs/dbraw/zinc/86/50/75/1072865075.db2.gz ISLNXCFVNPPSOB-UHFFFAOYSA-N 0 0 434.474 -0.055 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)c1 ZINC000750421150 1072864988 /nfs/dbraw/zinc/86/49/88/1072864988.db2.gz VQLZIENHYCTBKW-KRWDZBQOSA-N 0 0 442.428 -0.219 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)c1 ZINC000750421151 1072865030 /nfs/dbraw/zinc/86/50/30/1072865030.db2.gz VQLZIENHYCTBKW-QGZVFWFLSA-N 0 0 442.428 -0.219 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCCC[C@@H]1CS(N)(=O)=O ZINC000750422903 1072865013 /nfs/dbraw/zinc/86/50/13/1072865013.db2.gz BHHHXSJHBWSLCQ-CYBMUJFWSA-N 0 0 433.498 -0.466 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCCC[C@H]1CS(N)(=O)=O ZINC000750422905 1072864957 /nfs/dbraw/zinc/86/49/57/1072864957.db2.gz BHHHXSJHBWSLCQ-ZDUSSCGKSA-N 0 0 433.498 -0.466 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCc1cc(=O)n3cc(Cl)ccc3n1)c(=O)n2C ZINC000750439922 1072865158 /nfs/dbraw/zinc/86/51/58/1072865158.db2.gz QHTRVNSMVVGFBV-UHFFFAOYSA-N 0 0 430.808 -0.162 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@@H]2CCCN2S(C)(=O)=O)ccc1C ZINC000750452964 1072865102 /nfs/dbraw/zinc/86/51/02/1072865102.db2.gz GVEKAEHPTHGCGV-ZDUSSCGKSA-N 0 0 433.508 -0.191 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)[C@@H]2CCCN2S(C)(=O)=O)c1C ZINC000750453440 1072865019 /nfs/dbraw/zinc/86/50/19/1072865019.db2.gz IYDKPTKVWAMRFZ-AWEZNQCLSA-N 0 0 433.508 -0.143 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000750454080 1072865087 /nfs/dbraw/zinc/86/50/87/1072865087.db2.gz QIILDZAKTSIJKT-ZDUSSCGKSA-N 0 0 429.520 0.000 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)Cn2cnc3c2ncnc3N)c1 ZINC000750504056 1072864978 /nfs/dbraw/zinc/86/49/78/1072864978.db2.gz WNIGNRPVIFXSGI-UHFFFAOYSA-N 0 0 433.450 -0.159 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)Cn1cnc2c1ncnc2N ZINC000750506474 1072865138 /nfs/dbraw/zinc/86/51/38/1072865138.db2.gz PXOLEEHYHCGAKE-UHFFFAOYSA-N 0 0 427.421 -0.131 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1ncnc2N)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000750506543 1072865660 /nfs/dbraw/zinc/86/56/60/1072865660.db2.gz SDSWCBGFMLUMTH-GFCCVEGCSA-N 0 0 427.421 -0.082 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1ncnc2N)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000750506544 1072865578 /nfs/dbraw/zinc/86/55/78/1072865578.db2.gz SDSWCBGFMLUMTH-LBPRGKRZSA-N 0 0 427.421 -0.082 20 0 IBADRN Nc1ncnc2c1ncn2CC(=O)OCC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000750506554 1072865649 /nfs/dbraw/zinc/86/56/49/1072865649.db2.gz SQMZEDYANFXKMS-UHFFFAOYSA-N 0 0 429.462 -0.002 20 0 IBADRN Nc1ncnc2c1ncn2CC(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000750506789 1072865039 /nfs/dbraw/zinc/86/50/39/1072865039.db2.gz UAQARKGLTWVJCY-CYBMUJFWSA-N 0 0 436.494 -0.090 20 0 IBADRN Nc1ncnc2c1ncn2CC(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000750506790 1072865204 /nfs/dbraw/zinc/86/52/04/1072865204.db2.gz UAQARKGLTWVJCY-ZDUSSCGKSA-N 0 0 436.494 -0.090 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)Cn1cnc2c1ncnc2N)S(C)(=O)=O ZINC000750506923 1072865185 /nfs/dbraw/zinc/86/51/85/1072865185.db2.gz ZSTVDAQHAVCUHV-UHFFFAOYSA-N 0 0 427.487 -0.872 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)N1CCCC1=O ZINC000750507680 1072865571 /nfs/dbraw/zinc/86/55/71/1072865571.db2.gz SDCVZADZQXBYPY-AWEZNQCLSA-N 0 0 446.503 -0.540 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)N1CCCC1=O ZINC000750507681 1072865510 /nfs/dbraw/zinc/86/55/10/1072865510.db2.gz SDCVZADZQXBYPY-CQSZACIVSA-N 0 0 446.503 -0.540 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)Cn2cnc3c2ncnc3N)CC1 ZINC000750508672 1072865587 /nfs/dbraw/zinc/86/55/87/1072865587.db2.gz GPOKSJRROOVDEZ-UHFFFAOYSA-N 0 0 432.485 -0.989 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)Cn2cnc3c2ncnc3N)s1 ZINC000750509718 1072865613 /nfs/dbraw/zinc/86/56/13/1072865613.db2.gz OBMAWFMPYRXQFZ-UHFFFAOYSA-N 0 0 438.491 -0.012 20 0 IBADRN CCC(CC)[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC000750511113 1072865671 /nfs/dbraw/zinc/86/56/71/1072865671.db2.gz UTYHSAQRYIEFOZ-FQEVSTJZSA-N 0 0 426.558 -0.101 20 0 IBADRN CCC(CC)[C@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC000750511114 1072865633 /nfs/dbraw/zinc/86/56/33/1072865633.db2.gz UTYHSAQRYIEFOZ-HXUWFJFHSA-N 0 0 426.558 -0.101 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC000750514685 1072865621 /nfs/dbraw/zinc/86/56/21/1072865621.db2.gz CMQDVKLZBWQXAV-LURJTMIESA-N 0 0 432.343 -0.078 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC000750514693 1072865496 /nfs/dbraw/zinc/86/54/96/1072865496.db2.gz CMQDVKLZBWQXAV-ZCFIWIBFSA-N 0 0 432.343 -0.078 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000750515894 1072865549 /nfs/dbraw/zinc/86/55/49/1072865549.db2.gz JUSOJCHQOYQWSG-CABCVRRESA-N 0 0 426.495 -0.303 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000750515899 1072865641 /nfs/dbraw/zinc/86/56/41/1072865641.db2.gz JUSOJCHQOYQWSG-GJZGRUSLSA-N 0 0 426.495 -0.303 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000750516758 1072865514 /nfs/dbraw/zinc/86/55/14/1072865514.db2.gz NTJWSSASBBACFB-CYBMUJFWSA-N 0 0 435.499 -0.390 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000750516761 1072865602 /nfs/dbraw/zinc/86/56/02/1072865602.db2.gz NTJWSSASBBACFB-ZDUSSCGKSA-N 0 0 435.499 -0.390 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)CCCN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000750517351 1072865996 /nfs/dbraw/zinc/86/59/96/1072865996.db2.gz QHNRZKCULGDWGR-CYBMUJFWSA-N 0 0 428.536 -0.002 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)CCCN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000750517352 1072865841 /nfs/dbraw/zinc/86/58/41/1072865841.db2.gz QHNRZKCULGDWGR-ZDUSSCGKSA-N 0 0 428.536 -0.002 20 0 IBADRN Cc1oc2ncn(C)c(=O)c2c1C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000750517517 1072865523 /nfs/dbraw/zinc/86/55/23/1072865523.db2.gz JGALOGMEMKFXCS-UHFFFAOYSA-N 0 0 448.457 -0.002 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)C1 ZINC000750518897 1072865781 /nfs/dbraw/zinc/86/57/81/1072865781.db2.gz UZUCKSJUZGRSMJ-GFCCVEGCSA-N 0 0 431.492 -0.759 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)C1 ZINC000750518913 1072865803 /nfs/dbraw/zinc/86/58/03/1072865803.db2.gz UZUCKSJUZGRSMJ-LBPRGKRZSA-N 0 0 431.492 -0.759 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000750519330 1072865896 /nfs/dbraw/zinc/86/58/96/1072865896.db2.gz XGYHGEJOCUAZAF-AWEZNQCLSA-N 0 0 440.547 -0.002 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000750519331 1072865878 /nfs/dbraw/zinc/86/58/78/1072865878.db2.gz XGYHGEJOCUAZAF-CQSZACIVSA-N 0 0 440.547 -0.002 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)C1 ZINC000750519344 1072865986 /nfs/dbraw/zinc/86/59/86/1072865986.db2.gz XYSFYVQJJMYALF-SECBINFHSA-N 0 0 426.314 -0.140 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)C1 ZINC000750519345 1072866007 /nfs/dbraw/zinc/86/60/07/1072866007.db2.gz XYSFYVQJJMYALF-VIFPVBQESA-N 0 0 426.314 -0.140 20 0 IBADRN Cc1oc2ncn(C)c(=O)c2c1C(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000750522648 1072865975 /nfs/dbraw/zinc/86/59/75/1072865975.db2.gz QHTRMWDABVEAPE-UHFFFAOYSA-N 0 0 445.432 -0.027 20 0 IBADRN Cc1oc2ncn(C)c(=O)c2c1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000750522687 1072865962 /nfs/dbraw/zinc/86/59/62/1072865962.db2.gz UAHVIYUELLIJGQ-UHFFFAOYSA-N 0 0 428.405 -0.171 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000750523396 1072865946 /nfs/dbraw/zinc/86/59/46/1072865946.db2.gz HTFSNBQNIRMYKA-UHFFFAOYSA-N 0 0 428.445 -0.708 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000750527283 1072865823 /nfs/dbraw/zinc/86/58/23/1072865823.db2.gz WVAPGVDBSRCHRD-INIZCTEOSA-N 0 0 428.445 -0.532 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000750527285 1072866031 /nfs/dbraw/zinc/86/60/31/1072866031.db2.gz WVAPGVDBSRCHRD-MRXNPFEDSA-N 0 0 428.445 -0.532 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCOc1ccccc1C(N)=O)c(=O)n2C ZINC000750546717 1072865862 /nfs/dbraw/zinc/86/58/62/1072865862.db2.gz RZGCMIAKGPSOCD-UHFFFAOYSA-N 0 0 429.433 -0.065 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000750550371 1072865758 /nfs/dbraw/zinc/86/57/58/1072865758.db2.gz ADPBEPYWEJVVSG-CVEARBPZSA-N 0 0 447.535 -0.904 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC000750550403 1072866012 /nfs/dbraw/zinc/86/60/12/1072866012.db2.gz ADPBEPYWEJVVSG-HOTGVXAUSA-N 0 0 447.535 -0.904 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000750550406 1072865931 /nfs/dbraw/zinc/86/59/31/1072865931.db2.gz ADPBEPYWEJVVSG-HZPDHXFCSA-N 0 0 447.535 -0.904 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC000750550410 1072866020 /nfs/dbraw/zinc/86/60/20/1072866020.db2.gz ADPBEPYWEJVVSG-JKSUJKDBSA-N 0 0 447.535 -0.904 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(=O)(=O)C(F)F)cc1 ZINC000750551445 1072866463 /nfs/dbraw/zinc/86/64/63/1072866463.db2.gz GLTLNAFUTYVYAZ-KBPBESRZSA-N 0 0 438.474 -0.090 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(=O)(=O)C(F)F)cc1 ZINC000750551446 1072866497 /nfs/dbraw/zinc/86/64/97/1072866497.db2.gz GLTLNAFUTYVYAZ-KGLIPLIRSA-N 0 0 438.474 -0.090 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(=O)(=O)C(F)F)cc1 ZINC000750551447 1072866370 /nfs/dbraw/zinc/86/63/70/1072866370.db2.gz GLTLNAFUTYVYAZ-UONOGXRCSA-N 0 0 438.474 -0.090 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(=O)(=O)C(F)F)cc1 ZINC000750551448 1072866266 /nfs/dbraw/zinc/86/62/66/1072866266.db2.gz GLTLNAFUTYVYAZ-ZIAGYGMSSA-N 0 0 438.474 -0.090 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1Cl ZINC000750551557 1072866397 /nfs/dbraw/zinc/86/63/97/1072866397.db2.gz IBVKROXQVLRDTR-KBPBESRZSA-N 0 0 436.939 -0.029 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1Cl ZINC000750551561 1072866295 /nfs/dbraw/zinc/86/62/95/1072866295.db2.gz IBVKROXQVLRDTR-KGLIPLIRSA-N 0 0 436.939 -0.029 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1Cl ZINC000750551562 1072866253 /nfs/dbraw/zinc/86/62/53/1072866253.db2.gz IBVKROXQVLRDTR-UONOGXRCSA-N 0 0 436.939 -0.029 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1Cl ZINC000750551563 1072866535 /nfs/dbraw/zinc/86/65/35/1072866535.db2.gz IBVKROXQVLRDTR-ZIAGYGMSSA-N 0 0 436.939 -0.029 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000750552041 1072866311 /nfs/dbraw/zinc/86/63/11/1072866311.db2.gz JEYCLTHJFLTRKB-CABCVRRESA-N 0 0 443.522 -0.338 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1C ZINC000750552064 1072706409 /nfs/dbraw/zinc/70/64/09/1072706409.db2.gz JEYCLTHJFLTRKB-GJZGRUSLSA-N 0 0 443.522 -0.338 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000750552066 1072706428 /nfs/dbraw/zinc/70/64/28/1072706428.db2.gz JEYCLTHJFLTRKB-HUUCEWRRSA-N 0 0 443.522 -0.338 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1C ZINC000750552068 1072706508 /nfs/dbraw/zinc/70/65/08/1072706508.db2.gz JEYCLTHJFLTRKB-LSDHHAIUSA-N 0 0 443.522 -0.338 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000750552888 1072866482 /nfs/dbraw/zinc/86/64/82/1072866482.db2.gz LZDNYIVUGPSDIV-CVEARBPZSA-N 0 0 447.535 -0.904 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000750552889 1072866505 /nfs/dbraw/zinc/86/65/05/1072866505.db2.gz LZDNYIVUGPSDIV-HOTGVXAUSA-N 0 0 447.535 -0.904 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000750552890 1072866384 /nfs/dbraw/zinc/86/63/84/1072866384.db2.gz LZDNYIVUGPSDIV-HZPDHXFCSA-N 0 0 447.535 -0.904 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000750552891 1072866282 /nfs/dbraw/zinc/86/62/82/1072866282.db2.gz LZDNYIVUGPSDIV-JKSUJKDBSA-N 0 0 447.535 -0.904 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cccc(OCCS(C)(=O)=O)c1)c(=O)n2C ZINC000750563389 1072866517 /nfs/dbraw/zinc/86/65/17/1072866517.db2.gz DEKXRJRBNSRGEJ-UHFFFAOYSA-N 0 0 435.462 -0.504 20 0 IBADRN C[C@H]1C(=O)Nc2cc(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)ccc2N1C ZINC000750578215 1072866473 /nfs/dbraw/zinc/86/64/73/1072866473.db2.gz YONXWBMNYSQCFP-AWEZNQCLSA-N 0 0 431.493 -0.147 20 0 IBADRN C[C@@H]1C(=O)Nc2cc(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)ccc2N1C ZINC000750578216 1072866426 /nfs/dbraw/zinc/86/64/26/1072866426.db2.gz YONXWBMNYSQCFP-CQSZACIVSA-N 0 0 431.493 -0.147 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)Nc2cnn(CC(=O)NCCO)c2)cc1 ZINC000750584425 1072866415 /nfs/dbraw/zinc/86/64/15/1072866415.db2.gz LLWOUJUTSQJWRF-UHFFFAOYSA-N 0 0 426.455 -0.183 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000750587581 1072866781 /nfs/dbraw/zinc/86/67/81/1072866781.db2.gz XZKPNKWZTMOXPP-NEPJUHHUSA-N 0 0 443.576 -0.091 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000750587582 1072866893 /nfs/dbraw/zinc/86/68/93/1072866893.db2.gz XZKPNKWZTMOXPP-NWDGAFQWSA-N 0 0 443.576 -0.091 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000750587583 1072866876 /nfs/dbraw/zinc/86/68/76/1072866876.db2.gz XZKPNKWZTMOXPP-RYUDHWBXSA-N 0 0 443.576 -0.091 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000750587584 1072866857 /nfs/dbraw/zinc/86/68/57/1072866857.db2.gz XZKPNKWZTMOXPP-VXGBXAGGSA-N 0 0 443.576 -0.091 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C\C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000750587621 1072866921 /nfs/dbraw/zinc/86/69/21/1072866921.db2.gz YRHGMYBHNWVURC-GGVHLCNSSA-N 0 0 443.547 -0.315 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C/C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000750587622 1072866826 /nfs/dbraw/zinc/86/68/26/1072866826.db2.gz YRHGMYBHNWVURC-MWRSBEEJSA-N 0 0 443.547 -0.315 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C\C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000750587623 1072866909 /nfs/dbraw/zinc/86/69/09/1072866909.db2.gz YRHGMYBHNWVURC-RRWQFYAYSA-N 0 0 443.547 -0.315 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C/C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000750587624 1072866935 /nfs/dbraw/zinc/86/69/35/1072866935.db2.gz YRHGMYBHNWVURC-YQIFSTGGSA-N 0 0 443.547 -0.315 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)cn1C ZINC000750599776 1072866791 /nfs/dbraw/zinc/86/67/91/1072866791.db2.gz QRAPQWJYRXVWKT-UHFFFAOYSA-N 0 0 435.466 -0.266 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)cn1C ZINC000750603159 1072866800 /nfs/dbraw/zinc/86/68/00/1072866800.db2.gz NBNUWHINWIIOPH-AWEZNQCLSA-N 0 0 438.432 -0.303 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)cn1C ZINC000750603165 1072866847 /nfs/dbraw/zinc/86/68/47/1072866847.db2.gz NBNUWHINWIIOPH-CQSZACIVSA-N 0 0 438.432 -0.303 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@H]2C1)Nc1ccccc1C(=O)NCc1ccco1 ZINC000750606594 1072866757 /nfs/dbraw/zinc/86/67/57/1072866757.db2.gz NSLWGRGHHSKFMI-AWEZNQCLSA-N 0 0 425.445 -0.209 20 0 IBADRN O=C(CN1CCN2C(=O)C(=O)NC[C@@H]2C1)Nc1ccccc1C(=O)NCc1ccco1 ZINC000750606595 1072867027 /nfs/dbraw/zinc/86/70/27/1072867027.db2.gz NSLWGRGHHSKFMI-CQSZACIVSA-N 0 0 425.445 -0.209 20 0 IBADRN CCOC(=O)C1C(CN2CCN3C(=O)C(=O)NC[C@@H]3C2)=NC(=O)N[C@H]1c1ccccc1 ZINC000750607234 1072866973 /nfs/dbraw/zinc/86/69/73/1072866973.db2.gz SRRVXJAIOQDFNF-PBHICJAKSA-N 0 0 427.461 -0.500 20 0 IBADRN CCOC(=O)C1C(CN2CCN3C(=O)C(=O)NC[C@@H]3C2)=NC(=O)N[C@@H]1c1ccccc1 ZINC000750607236 1072866808 /nfs/dbraw/zinc/86/68/08/1072866808.db2.gz SRRVXJAIOQDFNF-RHSMWYFYSA-N 0 0 427.461 -0.500 20 0 IBADRN CCOC(=O)C1C(CN2CCN3C(=O)C(=O)NC[C@H]3C2)=NC(=O)N[C@@H]1c1ccccc1 ZINC000750607238 1072866766 /nfs/dbraw/zinc/86/67/66/1072866766.db2.gz SRRVXJAIOQDFNF-WMLDXEAASA-N 0 0 427.461 -0.500 20 0 IBADRN CCOC(=O)C1C(CN2CCN3C(=O)C(=O)NC[C@H]3C2)=NC(=O)N[C@H]1c1ccccc1 ZINC000750607241 1072866950 /nfs/dbraw/zinc/86/69/50/1072866950.db2.gz SRRVXJAIOQDFNF-YOEHRIQHSA-N 0 0 427.461 -0.500 20 0 IBADRN COCCOC(=O)c1sc2[nH]c(CN3CCN4C(=O)C(=O)NC[C@@H]4C3)nc(=O)c2c1C ZINC000750607752 1072867391 /nfs/dbraw/zinc/86/73/91/1072867391.db2.gz YCSAFFILSWBICA-LLVKDONJSA-N 0 0 449.489 -0.761 20 0 IBADRN COCCOC(=O)c1sc2[nH]c(CN3CCN4C(=O)C(=O)NC[C@H]4C3)nc(=O)c2c1C ZINC000750607753 1072867460 /nfs/dbraw/zinc/86/74/60/1072867460.db2.gz YCSAFFILSWBICA-NSHDSACASA-N 0 0 449.489 -0.761 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2o1 ZINC000750614470 1072867441 /nfs/dbraw/zinc/86/74/41/1072867441.db2.gz DPPRKIBQTRKFKA-CABCVRRESA-N 0 0 428.488 -0.431 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2o1 ZINC000750614471 1072867451 /nfs/dbraw/zinc/86/74/51/1072867451.db2.gz DPPRKIBQTRKFKA-GJZGRUSLSA-N 0 0 428.488 -0.431 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2o1 ZINC000750614472 1072867510 /nfs/dbraw/zinc/86/75/10/1072867510.db2.gz DPPRKIBQTRKFKA-HUUCEWRRSA-N 0 0 428.488 -0.431 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2o1 ZINC000750614473 1072867289 /nfs/dbraw/zinc/86/72/89/1072867289.db2.gz DPPRKIBQTRKFKA-LSDHHAIUSA-N 0 0 428.488 -0.431 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CCc3ccc(S(N)(=O)=O)cc3)CC2)o1 ZINC000750616143 1072867249 /nfs/dbraw/zinc/86/72/49/1072867249.db2.gz RFBBRSNOJXFNKP-UHFFFAOYSA-N 0 0 442.519 -0.425 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)cn1C ZINC000750617805 1072867492 /nfs/dbraw/zinc/86/74/92/1072867492.db2.gz AOOROFTWFKVJEI-UHFFFAOYSA-N 0 0 449.445 -0.585 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000750617966 1072867349 /nfs/dbraw/zinc/86/73/49/1072867349.db2.gz BNJIKLUGVZPRCH-GFCCVEGCSA-N 0 0 429.499 -0.981 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000750617975 1072867412 /nfs/dbraw/zinc/86/74/12/1072867412.db2.gz BNJIKLUGVZPRCH-LBPRGKRZSA-N 0 0 429.499 -0.981 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)NC(=O)N[C@@H]1C ZINC000750618111 1072867368 /nfs/dbraw/zinc/86/73/68/1072867368.db2.gz CXOGPGOZZHIRRV-SECBINFHSA-N 0 0 429.455 -0.931 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)NC(=O)N[C@H]1C ZINC000750618113 1072867337 /nfs/dbraw/zinc/86/73/37/1072867337.db2.gz CXOGPGOZZHIRRV-VIFPVBQESA-N 0 0 429.455 -0.931 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000750618128 1072867357 /nfs/dbraw/zinc/86/73/57/1072867357.db2.gz DMMACHABQMNXGK-UHFFFAOYSA-N 0 0 430.483 -0.648 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000750618444 1072867298 /nfs/dbraw/zinc/86/72/98/1072867298.db2.gz AWWVLCMOBWUTLY-UHFFFAOYSA-N 0 0 445.498 -0.713 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@@H]2COc3ccccc3O2)cn1C ZINC000750619075 1072867429 /nfs/dbraw/zinc/86/74/29/1072867429.db2.gz JQMLUJSCUPJTIV-CYBMUJFWSA-N 0 0 438.462 -0.494 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@H]2COc3ccccc3O2)cn1C ZINC000750619080 1072867896 /nfs/dbraw/zinc/86/78/96/1072867896.db2.gz JQMLUJSCUPJTIV-ZDUSSCGKSA-N 0 0 438.462 -0.494 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1OC ZINC000750619194 1072867322 /nfs/dbraw/zinc/86/73/22/1072867322.db2.gz KJYHWXADOBDNHD-UHFFFAOYSA-N 0 0 440.478 -0.116 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc(C(=O)N(C)C)cc2)cn1C ZINC000750619741 1072867762 /nfs/dbraw/zinc/86/77/62/1072867762.db2.gz LNWPZNQBSCCUHU-UHFFFAOYSA-N 0 0 437.478 -0.109 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)Nc2sccc2C(N)=O)cn1C ZINC000750620001 1072867824 /nfs/dbraw/zinc/86/78/24/1072867824.db2.gz ODSAQGOZKGRQJY-MRVPVSSYSA-N 0 0 429.480 -0.262 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)Nc2sccc2C(N)=O)cn1C ZINC000750620013 1072867879 /nfs/dbraw/zinc/86/78/79/1072867879.db2.gz ODSAQGOZKGRQJY-QMMMGPOBSA-N 0 0 429.480 -0.262 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)c1 ZINC000750620110 1072867889 /nfs/dbraw/zinc/86/78/89/1072867889.db2.gz KVIYGJPFKRPOSO-UHFFFAOYSA-N 0 0 437.478 -0.062 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCCOc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000750620755 1072867868 /nfs/dbraw/zinc/86/78/68/1072867868.db2.gz JBDKLHBQPFYOQC-UHFFFAOYSA-N 0 0 446.507 -0.333 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)NC(=O)NCC(F)(F)F)cn1C ZINC000750620844 1072867839 /nfs/dbraw/zinc/86/78/39/1072867839.db2.gz LBENMIYVHQTVLK-UHFFFAOYSA-N 0 0 429.377 -0.672 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NCc2ccccc2)cn1C ZINC000750620940 1072867853 /nfs/dbraw/zinc/86/78/53/1072867853.db2.gz QHLPEOAULUNSBP-GFCCVEGCSA-N 0 0 437.478 -0.035 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NCc2ccccc2)cn1C ZINC000750620941 1072867654 /nfs/dbraw/zinc/86/76/54/1072867654.db2.gz QHLPEOAULUNSBP-LBPRGKRZSA-N 0 0 437.478 -0.035 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000750621017 1072867740 /nfs/dbraw/zinc/86/77/40/1072867740.db2.gz NBIVKPXEQNTKJI-UHFFFAOYSA-N 0 0 444.510 -0.258 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCc2nnc3n2c2ccccc2c(=O)n3C)cn1C ZINC000750621067 1072867801 /nfs/dbraw/zinc/86/78/01/1072867801.db2.gz RRWPVIOUOYEBHD-UHFFFAOYSA-N 0 0 445.461 -0.355 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCCOc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000750622187 1072867776 /nfs/dbraw/zinc/86/77/76/1072867776.db2.gz WDNFMSBDTCOTSD-UHFFFAOYSA-N 0 0 432.480 -0.724 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)NC(=O)NCc2ccco2)cn1C ZINC000750622282 1072867906 /nfs/dbraw/zinc/86/79/06/1072867906.db2.gz WFNGQKGDNZMQGS-UHFFFAOYSA-N 0 0 427.439 -0.441 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)N[C@H]2CCCC[C@@H]2C)cn1C ZINC000750622301 1072867812 /nfs/dbraw/zinc/86/78/12/1072867812.db2.gz XPUQTSFVOAYSQC-AAEUAGOBSA-N 0 0 429.499 -0.045 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)N[C@@H]2CCCC[C@H]2C)cn1C ZINC000750622309 1072867673 /nfs/dbraw/zinc/86/76/73/1072867673.db2.gz XPUQTSFVOAYSQC-DGCLKSJQSA-N 0 0 429.499 -0.045 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)N[C@@H]2CCCC[C@@H]2C)cn1C ZINC000750622310 1072867874 /nfs/dbraw/zinc/86/78/74/1072867874.db2.gz XPUQTSFVOAYSQC-WCQYABFASA-N 0 0 429.499 -0.045 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)N[C@H]2CCCC[C@H]2C)cn1C ZINC000750622311 1072867634 /nfs/dbraw/zinc/86/76/34/1072867634.db2.gz XPUQTSFVOAYSQC-YPMHNXCESA-N 0 0 429.499 -0.045 20 0 IBADRN COc1ccc(NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)cc1 ZINC000750622312 1072867789 /nfs/dbraw/zinc/86/77/89/1072867789.db2.gz XREFYZXYSHTBRK-UHFFFAOYSA-N 0 0 439.450 -0.093 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)NCc2cccs2)cn1C ZINC000750622341 1072867857 /nfs/dbraw/zinc/86/78/57/1072867857.db2.gz XWLCPELMNXMZGP-UHFFFAOYSA-N 0 0 429.480 -0.362 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CCc3nnc[nH]3)C2)CC1 ZINC000750624095 1072867704 /nfs/dbraw/zinc/86/77/04/1072867704.db2.gz OJWFWXLDKLEZTD-UHFFFAOYSA-N 0 0 428.515 -0.169 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC000750645685 1072868387 /nfs/dbraw/zinc/86/83/87/1072868387.db2.gz BFZHCKDDSPBIAX-CVEARBPZSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC000750645689 1072868139 /nfs/dbraw/zinc/86/81/39/1072868139.db2.gz BFZHCKDDSPBIAX-HOTGVXAUSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC000750645692 1072868158 /nfs/dbraw/zinc/86/81/58/1072868158.db2.gz BFZHCKDDSPBIAX-HZPDHXFCSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC000750645695 1072868419 /nfs/dbraw/zinc/86/84/19/1072868419.db2.gz BFZHCKDDSPBIAX-JKSUJKDBSA-N 0 0 431.555 -0.064 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1C ZINC000750646850 1072868185 /nfs/dbraw/zinc/86/81/85/1072868185.db2.gz LLXHVZISQQTDIY-CABCVRRESA-N 0 0 443.522 -0.650 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1C ZINC000750646858 1072868439 /nfs/dbraw/zinc/86/84/39/1072868439.db2.gz LLXHVZISQQTDIY-GJZGRUSLSA-N 0 0 443.522 -0.650 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1C ZINC000750646860 1072868394 /nfs/dbraw/zinc/86/83/94/1072868394.db2.gz LLXHVZISQQTDIY-HUUCEWRRSA-N 0 0 443.522 -0.650 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1C ZINC000750646861 1072868287 /nfs/dbraw/zinc/86/82/87/1072868287.db2.gz LLXHVZISQQTDIY-LSDHHAIUSA-N 0 0 443.522 -0.650 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000750647142 1072868109 /nfs/dbraw/zinc/86/81/09/1072868109.db2.gz OLJWDUISGXHKQS-NEPJUHHUSA-N 0 0 443.576 -0.403 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000750647155 1072868429 /nfs/dbraw/zinc/86/84/29/1072868429.db2.gz OLJWDUISGXHKQS-NWDGAFQWSA-N 0 0 443.576 -0.403 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000750647157 1072868125 /nfs/dbraw/zinc/86/81/25/1072868125.db2.gz OLJWDUISGXHKQS-RYUDHWBXSA-N 0 0 443.576 -0.403 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000750647160 1072868172 /nfs/dbraw/zinc/86/81/72/1072868172.db2.gz OLJWDUISGXHKQS-VXGBXAGGSA-N 0 0 443.576 -0.403 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C(F)F)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000750648179 1072868660 /nfs/dbraw/zinc/86/86/60/1072868660.db2.gz SIFHKBLANATMDB-KBPBESRZSA-N 0 0 438.474 -0.401 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C(F)F)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000750648185 1072868899 /nfs/dbraw/zinc/86/88/99/1072868899.db2.gz SIFHKBLANATMDB-KGLIPLIRSA-N 0 0 438.474 -0.401 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C(F)F)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000750648188 1072868682 /nfs/dbraw/zinc/86/86/82/1072868682.db2.gz SIFHKBLANATMDB-UONOGXRCSA-N 0 0 438.474 -0.401 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C(F)F)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000750648192 1072868732 /nfs/dbraw/zinc/86/87/32/1072868732.db2.gz SIFHKBLANATMDB-ZIAGYGMSSA-N 0 0 438.474 -0.401 20 0 IBADRN CC(C)OC(=O)CNC(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000750648367 1072868845 /nfs/dbraw/zinc/86/88/45/1072868845.db2.gz AIKAYKBQQUEZCU-UHFFFAOYSA-N 0 0 427.483 -0.831 20 0 IBADRN NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(-c2csc(N3CCOCC3)n2)cc1 ZINC000750649029 1072868827 /nfs/dbraw/zinc/86/88/27/1072868827.db2.gz HXHCSWFDNKVWPV-UHFFFAOYSA-N 0 0 439.519 -0.010 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000750649407 1072868876 /nfs/dbraw/zinc/86/88/76/1072868876.db2.gz YFFKWRBYDDJKJU-HOJAQTOUSA-N 0 0 447.557 -0.170 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000750649413 1072868697 /nfs/dbraw/zinc/86/86/97/1072868697.db2.gz YFFKWRBYDDJKJU-MISYRCLQSA-N 0 0 447.557 -0.170 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000750649415 1072868713 /nfs/dbraw/zinc/86/87/13/1072868713.db2.gz YFFKWRBYDDJKJU-RLLQIKCJSA-N 0 0 447.557 -0.170 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000750649417 1072868936 /nfs/dbraw/zinc/86/89/36/1072868936.db2.gz YFFKWRBYDDJKJU-YZGWKJHDSA-N 0 0 447.557 -0.170 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NCCS(N)(=O)=O ZINC000750649978 1072868927 /nfs/dbraw/zinc/86/89/27/1072868927.db2.gz OHWAJPXAXJQOCO-UHFFFAOYSA-N 0 0 433.386 -0.668 20 0 IBADRN CC(C)OC(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000750650121 1072868890 /nfs/dbraw/zinc/86/88/90/1072868890.db2.gz FNIMDLGYYUFCBS-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC(=O)OC(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000750650731 1072868947 /nfs/dbraw/zinc/86/89/47/1072868947.db2.gz TWYKEVCELHGTAR-UHFFFAOYSA-N 0 0 443.478 -0.278 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC(=O)OC(C)C ZINC000750650861 1072868766 /nfs/dbraw/zinc/86/87/66/1072868766.db2.gz PHUGPFOPJKPTNA-UHFFFAOYSA-N 0 0 443.478 -0.278 20 0 IBADRN CC(C)OC(=O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000750651036 1072868750 /nfs/dbraw/zinc/86/87/50/1072868750.db2.gz WUXCVUFHBMPBQB-UHFFFAOYSA-N 0 0 431.442 -0.147 20 0 IBADRN CC(C)OC(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000750651123 1072868962 /nfs/dbraw/zinc/86/89/62/1072868962.db2.gz XKBDKCNJNOQRHV-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN CN(C(=O)NCCNS(=O)(=O)Cc1ccon1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000750659086 1072868910 /nfs/dbraw/zinc/86/89/10/1072868910.db2.gz BSAKRJTZKNWJCT-LLVKDONJSA-N 0 0 427.405 -0.101 20 0 IBADRN CN(C(=O)NCCNS(=O)(=O)Cc1ccon1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000750659090 1072868974 /nfs/dbraw/zinc/86/89/74/1072868974.db2.gz BSAKRJTZKNWJCT-NSHDSACASA-N 0 0 427.405 -0.101 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000750659558 1072869345 /nfs/dbraw/zinc/86/93/45/1072869345.db2.gz PRKDDPHXTGYXHX-BZUAXINKSA-N 0 0 440.522 -0.113 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000750659560 1072869266 /nfs/dbraw/zinc/86/92/66/1072869266.db2.gz PRKDDPHXTGYXHX-OAGGEKHMSA-N 0 0 440.522 -0.113 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000750659561 1072869493 /nfs/dbraw/zinc/86/94/93/1072869493.db2.gz PRKDDPHXTGYXHX-OWCLPIDISA-N 0 0 440.522 -0.113 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000750659562 1072869481 /nfs/dbraw/zinc/86/94/81/1072869481.db2.gz PRKDDPHXTGYXHX-PMPSAXMXSA-N 0 0 440.522 -0.113 20 0 IBADRN O=C(NCCCNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)c1cccc(O)c1 ZINC000750664620 1072869239 /nfs/dbraw/zinc/86/92/39/1072869239.db2.gz YIAWHLWMGIQPPR-AWEZNQCLSA-N 0 0 436.490 -0.206 20 0 IBADRN O=C(NCCCNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)c1cccc(O)c1 ZINC000750664621 1072869253 /nfs/dbraw/zinc/86/92/53/1072869253.db2.gz YIAWHLWMGIQPPR-CQSZACIVSA-N 0 0 436.490 -0.206 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCNS(=O)(=O)Cc2ccccc2)cn1C ZINC000750665636 1072869384 /nfs/dbraw/zinc/86/93/84/1072869384.db2.gz BJHIJQYLBYHOFQ-UHFFFAOYSA-N 0 0 443.551 -0.367 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCNS(=O)(=O)Cc2ccccc2)cn1C ZINC000750666299 1072869284 /nfs/dbraw/zinc/86/92/84/1072869284.db2.gz TVGLTANMQLQHTF-UHFFFAOYSA-N 0 0 429.524 -0.757 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)OCC(=O)N1CCN(c3ncnc4c3cnn4C)CC1)CC2 ZINC000750681603 1072869423 /nfs/dbraw/zinc/86/94/23/1072869423.db2.gz ZQWLSKQKVURIID-AWEZNQCLSA-N 0 0 439.480 -0.282 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)OCC(=O)N1CCN(c3ncnc4c3cnn4C)CC1)CC2 ZINC000750681607 1072869307 /nfs/dbraw/zinc/86/93/07/1072869307.db2.gz ZQWLSKQKVURIID-CQSZACIVSA-N 0 0 439.480 -0.282 20 0 IBADRN CN(C(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O)S(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000750682994 1072869507 /nfs/dbraw/zinc/86/95/07/1072869507.db2.gz OGAPPESDLGJNFK-UHFFFAOYSA-N 0 0 449.445 -0.087 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N(CCCO)S(=O)(=O)c3cccs3)nc2c(=O)[nH]c1=O ZINC000750695005 1072869520 /nfs/dbraw/zinc/86/95/20/1072869520.db2.gz WCVNEDSBGLUBJK-UHFFFAOYSA-N 0 0 441.491 -0.044 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000750710040 1072869403 /nfs/dbraw/zinc/86/94/03/1072869403.db2.gz IDJZQGRJDIIJTQ-UHFFFAOYSA-N 0 0 435.524 -0.107 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCCN3CCN(C(=O)C4CCC4)CC3)cnc2n(C)c1=O ZINC000750732984 1072870245 /nfs/dbraw/zinc/87/02/45/1072870245.db2.gz BDLJIZVOJQZZMH-UHFFFAOYSA-N 0 0 429.477 -0.267 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCN3CCN(C(=O)C4CCC4)CC3)c2c(=O)n(C)c1=O ZINC000750733373 1072870289 /nfs/dbraw/zinc/87/02/89/1072870289.db2.gz MEVNOZSCOIEDLE-UHFFFAOYSA-N 0 0 446.508 -0.689 20 0 IBADRN O=C(OCCN1CCN(C(=O)C2CCC2)CC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000750733388 1072870505 /nfs/dbraw/zinc/87/05/05/1072870505.db2.gz NBKMRSHFZHJRBD-DLBZAZTESA-N 0 0 441.550 -0.491 20 0 IBADRN O=C(OCCN1CCN(C(=O)C2CCC2)CC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000750733389 1072870213 /nfs/dbraw/zinc/87/02/13/1072870213.db2.gz NBKMRSHFZHJRBD-IAGOWNOFSA-N 0 0 441.550 -0.491 20 0 IBADRN O=C(OCCN1CCN(C(=O)C2CCC2)CC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000750733390 1072870178 /nfs/dbraw/zinc/87/01/78/1072870178.db2.gz NBKMRSHFZHJRBD-IRXDYDNUSA-N 0 0 441.550 -0.491 20 0 IBADRN O=C(OCCN1CCN(C(=O)C2CCC2)CC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000750733391 1072870203 /nfs/dbraw/zinc/87/02/03/1072870203.db2.gz NBKMRSHFZHJRBD-SJORKVTESA-N 0 0 441.550 -0.491 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000750734184 1072870816 /nfs/dbraw/zinc/87/08/16/1072870816.db2.gz QUKACCYFXSDEBT-NRFANRHFSA-N 0 0 431.493 -0.258 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000750734185 1072871045 /nfs/dbraw/zinc/87/10/45/1072871045.db2.gz QUKACCYFXSDEBT-OAQYLSRUSA-N 0 0 431.493 -0.258 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCN1CCN(Cc3ccsc3)CC1)c(=O)n2C ZINC000750735464 1072870838 /nfs/dbraw/zinc/87/08/38/1072870838.db2.gz AWMJSDRIPUJESA-UHFFFAOYSA-N 0 0 446.533 -0.144 20 0 IBADRN Cn1c2[nH]c(CCC(=O)OCCN3CCN(C(=O)C4CCC4)CC3)nc2c(=O)[nH]c1=O ZINC000750737045 1072870804 /nfs/dbraw/zinc/87/08/04/1072870804.db2.gz INWIDAHMEBSXBZ-UHFFFAOYSA-N 0 0 432.481 -0.218 20 0 IBADRN Cn1c2ncn(CC(=O)OCCN3CCN(Cc4ccsc4)CC3)c2c(=O)n(C)c1=O ZINC000750737599 1072870920 /nfs/dbraw/zinc/87/09/20/1072870920.db2.gz REAASWXLBXAHRV-UHFFFAOYSA-N 0 0 446.533 -0.144 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCCN3CCN(C(=O)C4CCC4)CC3)nc2n(C)c1=O ZINC000750737842 1072870769 /nfs/dbraw/zinc/87/07/69/1072870769.db2.gz WRHGLTJXZYNLGO-UHFFFAOYSA-N 0 0 429.477 -0.267 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3cccc(OCCS(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000750767314 1072870906 /nfs/dbraw/zinc/87/09/06/1072870906.db2.gz XPSPPJXSDCCVGY-UHFFFAOYSA-N 0 0 449.489 -0.114 20 0 IBADRN Cn1c2[nH]c(COC(=O)CN3C(=O)N[C@]4(CCCC(C)(C)C4)C3=O)nc2c(=O)n(C)c1=O ZINC000750807036 1072870893 /nfs/dbraw/zinc/87/08/93/1072870893.db2.gz AQIRKKHXOOYLAJ-FQEVSTJZSA-N 0 0 446.464 -0.106 20 0 IBADRN Cn1c2[nH]c(COC(=O)CN3C(=O)N[C@@]4(CCCC(C)(C)C4)C3=O)nc2c(=O)n(C)c1=O ZINC000750807046 1072870953 /nfs/dbraw/zinc/87/09/53/1072870953.db2.gz AQIRKKHXOOYLAJ-HXUWFJFHSA-N 0 0 446.464 -0.106 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cccc([C@]4(C)NC(=O)NC4=O)c3)nc2c(=O)n(C)c1=O ZINC000750807505 1072870783 /nfs/dbraw/zinc/87/07/83/1072870783.db2.gz QYBLLFWQUDILCM-IBGZPJMESA-N 0 0 426.389 -0.628 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cccc([C@@]4(C)NC(=O)NC4=O)c3)nc2c(=O)n(C)c1=O ZINC000750807515 1072871118 /nfs/dbraw/zinc/87/11/18/1072871118.db2.gz QYBLLFWQUDILCM-LJQANCHMSA-N 0 0 426.389 -0.628 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cnn(CC(=O)NC4CCCC4)c3)nc2c(=O)n(C)c1=O ZINC000750808941 1072870870 /nfs/dbraw/zinc/87/08/70/1072870870.db2.gz BHTGAHWARZWGHS-UHFFFAOYSA-N 0 0 429.437 -0.427 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cc(S(N)(=O)=O)cc(Cl)c3F)nc2c(=O)n(C)c1=O ZINC000750814082 1072871153 /nfs/dbraw/zinc/87/11/53/1072871153.db2.gz UUYBNEUVBIVWOP-UHFFFAOYSA-N 0 0 445.816 -0.243 20 0 IBADRN C[C@@H]1CN=C(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)S1 ZINC000750828867 1072871596 /nfs/dbraw/zinc/87/15/96/1072871596.db2.gz ADLBOHZRAPZDTJ-CVEARBPZSA-N 0 0 429.612 -0.573 20 0 IBADRN C[C@H]1CN=C(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)S1 ZINC000750828870 1072871436 /nfs/dbraw/zinc/87/14/36/1072871436.db2.gz ADLBOHZRAPZDTJ-HOTGVXAUSA-N 0 0 429.612 -0.573 20 0 IBADRN C[C@@H]1CN=C(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)S1 ZINC000750828871 1072871342 /nfs/dbraw/zinc/87/13/42/1072871342.db2.gz ADLBOHZRAPZDTJ-HZPDHXFCSA-N 0 0 429.612 -0.573 20 0 IBADRN C[C@H]1CN=C(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)S1 ZINC000750828872 1072871319 /nfs/dbraw/zinc/87/13/19/1072871319.db2.gz ADLBOHZRAPZDTJ-JKSUJKDBSA-N 0 0 429.612 -0.573 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N1CCSCC1 ZINC000750841728 1072871441 /nfs/dbraw/zinc/87/14/41/1072871441.db2.gz NNHFYIPKBREKPE-KBPBESRZSA-N 0 0 425.598 -0.915 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N1CCSCC1 ZINC000750841734 1072871372 /nfs/dbraw/zinc/87/13/72/1072871372.db2.gz NNHFYIPKBREKPE-KGLIPLIRSA-N 0 0 425.598 -0.915 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCSCC1 ZINC000750841735 1072871560 /nfs/dbraw/zinc/87/15/60/1072871560.db2.gz NNHFYIPKBREKPE-UONOGXRCSA-N 0 0 425.598 -0.915 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCSCC1 ZINC000750841736 1072871465 /nfs/dbraw/zinc/87/14/65/1072871465.db2.gz NNHFYIPKBREKPE-ZIAGYGMSSA-N 0 0 425.598 -0.915 20 0 IBADRN CC(C)(C)NC(=O)[C@@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000750844125 1072871491 /nfs/dbraw/zinc/87/14/91/1072871491.db2.gz OSNYNUDPCITOOF-AAEUAGOBSA-N 0 0 430.552 -0.032 20 0 IBADRN CC(C)(C)NC(=O)[C@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000750844129 1072871409 /nfs/dbraw/zinc/87/14/09/1072871409.db2.gz OSNYNUDPCITOOF-DGCLKSJQSA-N 0 0 430.552 -0.032 20 0 IBADRN CC(C)(C)NC(=O)[C@H]1CSCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000750844131 1072871305 /nfs/dbraw/zinc/87/13/05/1072871305.db2.gz OSNYNUDPCITOOF-WCQYABFASA-N 0 0 430.552 -0.032 20 0 IBADRN CC(C)(C)NC(=O)[C@@H]1CSCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000750844133 1072871362 /nfs/dbraw/zinc/87/13/62/1072871362.db2.gz OSNYNUDPCITOOF-YPMHNXCESA-N 0 0 430.552 -0.032 20 0 IBADRN COc1ccc(NC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c(N2CCN(C(C)=O)CC2)n1 ZINC000750844496 1072871393 /nfs/dbraw/zinc/87/13/93/1072871393.db2.gz SIGSKXDWQMMHNZ-ALCCZGGFSA-N 0 0 442.476 -0.192 20 0 IBADRN COc1ccc(NC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c(N2CCN(C(C)=O)CC2)n1 ZINC000750844503 1072871579 /nfs/dbraw/zinc/87/15/79/1072871579.db2.gz SIGSKXDWQMMHNZ-FNORWQNLSA-N 0 0 442.476 -0.192 20 0 IBADRN COc1nc(N2CCN(C(=O)CCn3cc[nH]c(=O)c3=O)CC2)ncc1Br ZINC000750846814 1072871516 /nfs/dbraw/zinc/87/15/16/1072871516.db2.gz CKYISDLGKNXVKL-UHFFFAOYSA-N 0 0 439.270 -0.163 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(c2ncc(Br)c(OC)n2)CC1 ZINC000750847057 1072871332 /nfs/dbraw/zinc/87/13/32/1072871332.db2.gz DQBQKQIGGOGDBB-UHFFFAOYSA-N 0 0 437.316 -0.043 20 0 IBADRN COc1nc(N2CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)ncc1Br ZINC000750848018 1072871422 /nfs/dbraw/zinc/87/14/22/1072871422.db2.gz NQQXYTMVFDDUJX-UHFFFAOYSA-N 0 0 439.270 -0.393 20 0 IBADRN CC(C)(C)OC(=O)N1CSC[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000750852518 1072871872 /nfs/dbraw/zinc/87/18/72/1072871872.db2.gz NPRLBUAISNKGMD-AWEZNQCLSA-N 0 0 442.538 -0.174 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)CC2)cn1 ZINC000750861037 1072871804 /nfs/dbraw/zinc/87/18/04/1072871804.db2.gz JMQLJMZMEZKUCK-UHFFFAOYSA-N 0 0 432.506 -0.114 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000750872828 1072871915 /nfs/dbraw/zinc/87/19/15/1072871915.db2.gz SPKAZBDKEDOXMC-UHFFFAOYSA-N 0 0 440.522 -0.515 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000750931330 1072871833 /nfs/dbraw/zinc/87/18/33/1072871833.db2.gz ZGXLWPROZABULN-BZUAXINKSA-N 0 0 430.523 -0.160 20 0 IBADRN COC(=O)[C@@H]1CCC[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000750931331 1072871948 /nfs/dbraw/zinc/87/19/48/1072871948.db2.gz ZGXLWPROZABULN-OAGGEKHMSA-N 0 0 430.523 -0.160 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000750931332 1072872038 /nfs/dbraw/zinc/87/20/38/1072872038.db2.gz ZGXLWPROZABULN-OWCLPIDISA-N 0 0 430.523 -0.160 20 0 IBADRN COC(=O)[C@@H]1CCC[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000750931333 1072871939 /nfs/dbraw/zinc/87/19/39/1072871939.db2.gz ZGXLWPROZABULN-PMPSAXMXSA-N 0 0 430.523 -0.160 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)Cn3c(=O)onc3-c3ncccn3)C2=O)cc1 ZINC000750949852 1072871817 /nfs/dbraw/zinc/87/18/17/1072871817.db2.gz OXONWQUBLCAMQI-IBGZPJMESA-N 0 0 439.388 -0.200 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)Cn3c(=O)onc3-c3ncccn3)C2=O)cc1 ZINC000750949853 1072872025 /nfs/dbraw/zinc/87/20/25/1072872025.db2.gz OXONWQUBLCAMQI-LJQANCHMSA-N 0 0 439.388 -0.200 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)COC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000750956178 1072872050 /nfs/dbraw/zinc/87/20/50/1072872050.db2.gz WLZQHBWGACOLRN-UHFFFAOYSA-N 0 0 442.513 -0.602 20 0 IBADRN Cn1c2ncn(CCOC(=O)COC3CN(C(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000750957151 1072871848 /nfs/dbraw/zinc/87/18/48/1072871848.db2.gz GFJFTCTXZSZCNW-UHFFFAOYSA-N 0 0 437.453 -0.387 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750962350 1072872073 /nfs/dbraw/zinc/87/20/73/1072872073.db2.gz ANGQPQUBGLDLMI-IBGZPJMESA-N 0 0 428.453 -0.540 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750962364 1072871927 /nfs/dbraw/zinc/87/19/27/1072871927.db2.gz ANGQPQUBGLDLMI-LJQANCHMSA-N 0 0 428.453 -0.540 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)C1 ZINC000750963213 1072872341 /nfs/dbraw/zinc/87/23/41/1072872341.db2.gz CRSHSILQKKIASP-FOIQADDNSA-N 0 0 440.464 -0.444 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)C1 ZINC000750963219 1072872409 /nfs/dbraw/zinc/87/24/09/1072872409.db2.gz CRSHSILQKKIASP-MGPUTAFESA-N 0 0 440.464 -0.444 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)C1 ZINC000750963224 1072872300 /nfs/dbraw/zinc/87/23/00/1072872300.db2.gz CRSHSILQKKIASP-QRWLVFNGSA-N 0 0 440.464 -0.444 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)C1 ZINC000750963226 1072872447 /nfs/dbraw/zinc/87/24/47/1072872447.db2.gz CRSHSILQKKIASP-YWZLYKJASA-N 0 0 440.464 -0.444 20 0 IBADRN C[C@@]1(c2ccc(-n3cnnn3)cc2)NC(=O)N(CC(=O)NC(=O)NCC(F)(F)F)C1=O ZINC000750963338 1072872348 /nfs/dbraw/zinc/87/23/48/1072872348.db2.gz BNEPEHULIPWVAM-HNNXBMFYSA-N 0 0 440.342 -0.183 20 0 IBADRN C[C@]1(c2ccc(-n3cnnn3)cc2)NC(=O)N(CC(=O)NC(=O)NCC(F)(F)F)C1=O ZINC000750963355 1072872359 /nfs/dbraw/zinc/87/23/59/1072872359.db2.gz BNEPEHULIPWVAM-OAHLLOKOSA-N 0 0 440.342 -0.183 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)CC1 ZINC000750963374 1072872370 /nfs/dbraw/zinc/87/23/70/1072872370.db2.gz BNUMRTDKIWELPL-IBGZPJMESA-N 0 0 426.437 -0.880 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)CC1 ZINC000750963390 1072872428 /nfs/dbraw/zinc/87/24/28/1072872428.db2.gz BNUMRTDKIWELPL-LJQANCHMSA-N 0 0 426.437 -0.880 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1C(=O)N[C@@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750964066 1072872396 /nfs/dbraw/zinc/87/23/96/1072872396.db2.gz CITAHTZPLUEZRB-FQEVSTJZSA-N 0 0 442.480 -0.198 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)CN1C(=O)N[C@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750964084 1072872329 /nfs/dbraw/zinc/87/23/29/1072872329.db2.gz CITAHTZPLUEZRB-HXUWFJFHSA-N 0 0 442.480 -0.198 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1C(=O)N[C@@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750964361 1072872444 /nfs/dbraw/zinc/87/24/44/1072872444.db2.gz DQFBVTSRSDXXBN-IBGZPJMESA-N 0 0 428.453 -0.586 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1C(=O)N[C@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750964368 1072872416 /nfs/dbraw/zinc/87/24/16/1072872416.db2.gz DQFBVTSRSDXXBN-LJQANCHMSA-N 0 0 428.453 -0.586 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)COC2CN(C(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000750964719 1072872316 /nfs/dbraw/zinc/87/23/16/1072872316.db2.gz XSSGFJDERLDABB-UHFFFAOYSA-N 0 0 426.426 -0.972 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(-n4cnnn4)cc3)C2=O)CC1 ZINC000750966191 1072872422 /nfs/dbraw/zinc/87/24/22/1072872422.db2.gz HGMHEHJBJHXDJQ-FQEVSTJZSA-N 0 0 441.448 -0.159 20 0 IBADRN COc1cc2c(cc1OC)S(=O)(=O)N(CC(=O)N1CCC[C@@H](NC(C)=O)C1)C2=O ZINC000750966192 1072872432 /nfs/dbraw/zinc/87/24/32/1072872432.db2.gz JSEQKVOZTMROHB-GFCCVEGCSA-N 0 0 425.463 -0.025 20 0 IBADRN COc1cc2c(cc1OC)S(=O)(=O)N(CC(=O)N1CCC[C@H](NC(C)=O)C1)C2=O ZINC000750966200 1072872280 /nfs/dbraw/zinc/87/22/80/1072872280.db2.gz JSEQKVOZTMROHB-LBPRGKRZSA-N 0 0 425.463 -0.025 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(-n4cnnn4)cc3)C2=O)CC1 ZINC000750966221 1072872402 /nfs/dbraw/zinc/87/24/02/1072872402.db2.gz HGMHEHJBJHXDJQ-HXUWFJFHSA-N 0 0 441.448 -0.159 20 0 IBADRN C[C@@]1(c2ccc(-n3cnnn3)cc2)NC(=O)N(CC(=O)NCC(=O)N2CCCC2)C1=O ZINC000750966498 1072872387 /nfs/dbraw/zinc/87/23/87/1072872387.db2.gz ILTBGYKALGBYBQ-IBGZPJMESA-N 0 0 426.437 -0.832 20 0 IBADRN C[C@]1(c2ccc(-n3cnnn3)cc2)NC(=O)N(CC(=O)NCC(=O)N2CCCC2)C1=O ZINC000750966504 1072872438 /nfs/dbraw/zinc/87/24/38/1072872438.db2.gz ILTBGYKALGBYBQ-LJQANCHMSA-N 0 0 426.437 -0.832 20 0 IBADRN C[C@@]1(c2ccc(-n3cnnn3)cc2)NC(=O)N(CC(=O)N2CCC3(CC2)OCCO3)C1=O ZINC000750967154 1072872786 /nfs/dbraw/zinc/87/27/86/1072872786.db2.gz KOLWFDOKKZMAKV-IBGZPJMESA-N 0 0 441.448 -0.205 20 0 IBADRN C[C@]1(c2ccc(-n3cnnn3)cc2)NC(=O)N(CC(=O)N2CCC3(CC2)OCCO3)C1=O ZINC000750967168 1072872874 /nfs/dbraw/zinc/87/28/74/1072872874.db2.gz KOLWFDOKKZMAKV-LJQANCHMSA-N 0 0 441.448 -0.205 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1C(=O)N[C@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750967790 1072872380 /nfs/dbraw/zinc/87/23/80/1072872380.db2.gz NGPBKEBTYSBPNZ-ADLMAVQZSA-N 0 0 430.425 -0.710 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1C(=O)N[C@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750967796 1072872891 /nfs/dbraw/zinc/87/28/91/1072872891.db2.gz NGPBKEBTYSBPNZ-BBATYDOGSA-N 0 0 430.425 -0.710 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1C(=O)N[C@@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750967797 1072872924 /nfs/dbraw/zinc/87/29/24/1072872924.db2.gz NGPBKEBTYSBPNZ-VOJFVSQTSA-N 0 0 430.425 -0.710 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1C(=O)N[C@@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750967798 1072872993 /nfs/dbraw/zinc/87/29/93/1072872993.db2.gz NGPBKEBTYSBPNZ-ZMZPIMSZSA-N 0 0 430.425 -0.710 20 0 IBADRN COc1cc2c(cc1OC)S(=O)(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000750968723 1072872681 /nfs/dbraw/zinc/87/26/81/1072872681.db2.gz ZWZQESAHMMYVNQ-UHFFFAOYSA-N 0 0 449.507 -0.754 20 0 IBADRN COc1cc2c(cc1OC)S(=O)(=O)N(CC(=O)N(C)CC(=O)N1CCOCC1)C2=O ZINC000750969083 1072872755 /nfs/dbraw/zinc/87/27/55/1072872755.db2.gz FNBYRIQXTFNUPA-UHFFFAOYSA-N 0 0 441.462 -0.835 20 0 IBADRN CCOC(=O)CCCNC(=O)CN1C(=O)N[C@@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750969532 1072872769 /nfs/dbraw/zinc/87/27/69/1072872769.db2.gz SYEABSOIBWDUNM-IBGZPJMESA-N 0 0 429.437 -0.111 20 0 IBADRN CCOC(=O)CCCNC(=O)CN1C(=O)N[C@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000750969537 1072872839 /nfs/dbraw/zinc/87/28/39/1072872839.db2.gz SYEABSOIBWDUNM-LJQANCHMSA-N 0 0 429.437 -0.111 20 0 IBADRN CCOCCNC(=S)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000750989140 1072872736 /nfs/dbraw/zinc/87/27/36/1072872736.db2.gz YXPXXYPKRWCDMS-UHFFFAOYSA-N 0 0 436.581 -0.449 20 0 IBADRN O=Cc1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000751012913 1072872857 /nfs/dbraw/zinc/87/28/57/1072872857.db2.gz BUQIDGBKDQARID-AWEZNQCLSA-N 0 0 436.533 -0.678 20 0 IBADRN O=Cc1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751012914 1072872716 /nfs/dbraw/zinc/87/27/16/1072872716.db2.gz BUQIDGBKDQARID-CQSZACIVSA-N 0 0 436.533 -0.678 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N(C)CCS(C)(=O)=O)cc2)CC1 ZINC000751015890 1072872966 /nfs/dbraw/zinc/87/29/66/1072872966.db2.gz MAAQCNXXHADENB-UHFFFAOYSA-N 0 0 438.550 -0.165 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@H](O)c3cnn(C)c3)cc2)CC1 ZINC000751016783 1072872935 /nfs/dbraw/zinc/87/29/35/1072872935.db2.gz HVZNCSUGRSNAOQ-IBGZPJMESA-N 0 0 442.520 -0.085 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC[C@@H](O)c3cnn(C)c3)cc2)CC1 ZINC000751016785 1072872635 /nfs/dbraw/zinc/87/26/35/1072872635.db2.gz HVZNCSUGRSNAOQ-LJQANCHMSA-N 0 0 442.520 -0.085 20 0 IBADRN COCCCOc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000751017302 1072872954 /nfs/dbraw/zinc/87/29/54/1072872954.db2.gz SRXMRGMZCMWRAK-UHFFFAOYSA-N 0 0 429.495 -0.181 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NCCS(=O)(=O)CC)cc2)CC1 ZINC000751018307 1072872805 /nfs/dbraw/zinc/87/28/05/1072872805.db2.gz ZIPLXBLBPGBFNO-UHFFFAOYSA-N 0 0 438.550 -0.117 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000751031256 1072872660 /nfs/dbraw/zinc/87/26/60/1072872660.db2.gz DQFNSTJJJOZXNG-UHFFFAOYSA-N 0 0 440.931 -0.623 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cnnn2C)c(=O)n1CC(=O)Nc1cccc(F)c1 ZINC000751032065 1072873498 /nfs/dbraw/zinc/87/34/98/1072873498.db2.gz DSASFLFFUDIKFC-UHFFFAOYSA-N 0 0 431.449 -0.012 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc3c(c2)nnn3C)c(=O)n1CC(=O)NCCOC ZINC000751032999 1072873383 /nfs/dbraw/zinc/87/33/83/1072873383.db2.gz MKRYTWNQIYPYKW-UHFFFAOYSA-N 0 0 445.501 -0.874 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000751064635 1072873589 /nfs/dbraw/zinc/87/35/89/1072873589.db2.gz SYLMRCCKNSVNCF-UHFFFAOYSA-N 0 0 437.482 -0.688 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000751066067 1072873243 /nfs/dbraw/zinc/87/32/43/1072873243.db2.gz OSJAZQHHTKJUEV-UHFFFAOYSA-N 0 0 427.888 -0.538 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000751066773 1072873316 /nfs/dbraw/zinc/87/33/16/1072873316.db2.gz WJVNMCNMSLOAIB-UHFFFAOYSA-N 0 0 436.494 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCN(C(C)(C)C(N)=O)CC2)CC1 ZINC000751081258 1072873366 /nfs/dbraw/zinc/87/33/66/1072873366.db2.gz JOZUFLSCHJAJKT-UHFFFAOYSA-N 0 0 440.545 -0.343 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000751089369 1072873285 /nfs/dbraw/zinc/87/32/85/1072873285.db2.gz YBNBTIAZGBTDCU-UHFFFAOYSA-N 0 0 432.886 -0.119 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000751096202 1072873484 /nfs/dbraw/zinc/87/34/84/1072873484.db2.gz OJRURILBPAYHIS-UHFFFAOYSA-N 0 0 425.502 -0.158 20 0 IBADRN COc1nc(N2CCN(S(=O)(=O)CCS(C)(=O)=O)CC2)ncc1Br ZINC000751126419 1072873534 /nfs/dbraw/zinc/87/35/34/1072873534.db2.gz SFRIDEHOYYGIME-UHFFFAOYSA-N 0 0 443.345 -0.256 20 0 IBADRN COc1ccc(OC)c2c1CN(S(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C[C@H]2O ZINC000751132534 1072873561 /nfs/dbraw/zinc/87/35/61/1072873561.db2.gz FJPQIAQPJWKIJJ-CYBMUJFWSA-N 0 0 435.524 -0.625 20 0 IBADRN COc1ccc(OC)c2c1CN(S(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C[C@@H]2O ZINC000751132536 1072873603 /nfs/dbraw/zinc/87/36/03/1072873603.db2.gz FJPQIAQPJWKIJJ-ZDUSSCGKSA-N 0 0 435.524 -0.625 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cccc(OCCS(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000751148125 1072873405 /nfs/dbraw/zinc/87/34/05/1072873405.db2.gz RPYWDFSXOMEBAP-UHFFFAOYSA-N 0 0 435.462 -0.504 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)cn1 ZINC000751173851 1072873355 /nfs/dbraw/zinc/87/33/55/1072873355.db2.gz VELRROOSFAYIBO-CYBMUJFWSA-N 0 0 425.389 -0.092 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)cn1 ZINC000751173863 1072873181 /nfs/dbraw/zinc/87/31/81/1072873181.db2.gz VELRROOSFAYIBO-ZDUSSCGKSA-N 0 0 425.389 -0.092 20 0 IBADRN O=S(=O)(C1Cc2ccccc2C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000751242002 1072874002 /nfs/dbraw/zinc/87/40/02/1072874002.db2.gz FDAPUECMMSCWMJ-INIZCTEOSA-N 0 0 448.588 -0.382 20 0 IBADRN O=S(=O)(C1Cc2ccccc2C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751242003 1072873868 /nfs/dbraw/zinc/87/38/68/1072873868.db2.gz FDAPUECMMSCWMJ-MRXNPFEDSA-N 0 0 448.588 -0.382 20 0 IBADRN Cc1nc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000751242224 1072874029 /nfs/dbraw/zinc/87/40/29/1072874029.db2.gz JSYMVEONHNLWOD-GFCCVEGCSA-N 0 0 443.594 -0.417 20 0 IBADRN Cc1nc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000751242226 1072874104 /nfs/dbraw/zinc/87/41/04/1072874104.db2.gz JSYMVEONHNLWOD-LBPRGKRZSA-N 0 0 443.594 -0.417 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000751263738 1072873793 /nfs/dbraw/zinc/87/37/93/1072873793.db2.gz LQUSMCSLVHEDTR-CYBMUJFWSA-N 0 0 431.467 -0.237 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@H](O)COc2ccc3c(c2)OCO3)CC1 ZINC000751263744 1072873949 /nfs/dbraw/zinc/87/39/49/1072873949.db2.gz LQUSMCSLVHEDTR-ZDUSSCGKSA-N 0 0 431.467 -0.237 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC000751301270 1072873980 /nfs/dbraw/zinc/87/39/80/1072873980.db2.gz RWHWRUCEBRFECG-CYBMUJFWSA-N 0 0 431.458 -0.152 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC000751301273 1072873838 /nfs/dbraw/zinc/87/38/38/1072873838.db2.gz RWHWRUCEBRFECG-ZDUSSCGKSA-N 0 0 431.458 -0.152 20 0 IBADRN O=C(CCNC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000751332706 1072874060 /nfs/dbraw/zinc/87/40/60/1072874060.db2.gz NIQHOXCRIQNOME-CYBMUJFWSA-N 0 0 447.510 -0.310 20 0 IBADRN O=C(CCNC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000751332712 1072874114 /nfs/dbraw/zinc/87/41/14/1072874114.db2.gz NIQHOXCRIQNOME-ZDUSSCGKSA-N 0 0 447.510 -0.310 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000751332832 1072873909 /nfs/dbraw/zinc/87/39/09/1072873909.db2.gz PGXRKPVRXADGRN-CYBMUJFWSA-N 0 0 447.510 -0.358 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000751332835 1072874080 /nfs/dbraw/zinc/87/40/80/1072874080.db2.gz PGXRKPVRXADGRN-ZDUSSCGKSA-N 0 0 447.510 -0.358 20 0 IBADRN O=C(CC1CCCC1)NCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000751342611 1072874043 /nfs/dbraw/zinc/87/40/43/1072874043.db2.gz AIZYYUQFHNTCMI-HNNXBMFYSA-N 0 0 435.568 -0.656 20 0 IBADRN O=C(CC1CCCC1)NCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751342623 1072874094 /nfs/dbraw/zinc/87/40/94/1072874094.db2.gz AIZYYUQFHNTCMI-OAHLLOKOSA-N 0 0 435.568 -0.656 20 0 IBADRN O=C(CCNC(=O)C1CCCCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000751344434 1072873819 /nfs/dbraw/zinc/87/38/19/1072873819.db2.gz QZWZMJYVEOHFFW-INIZCTEOSA-N 0 0 449.595 -0.266 20 0 IBADRN O=C(CCNC(=O)C1CCCCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751344441 1072873966 /nfs/dbraw/zinc/87/39/66/1072873966.db2.gz QZWZMJYVEOHFFW-MRXNPFEDSA-N 0 0 449.595 -0.266 20 0 IBADRN Cc1noc(Cl)c1CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751346350 1072874478 /nfs/dbraw/zinc/87/44/78/1072874478.db2.gz YRYKNWOPOYZXDX-LLVKDONJSA-N 0 0 425.916 -0.160 20 0 IBADRN Cc1noc(Cl)c1CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000751346354 1072874686 /nfs/dbraw/zinc/87/46/86/1072874686.db2.gz YRYKNWOPOYZXDX-NSHDSACASA-N 0 0 425.916 -0.160 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000751364845 1072874517 /nfs/dbraw/zinc/87/45/17/1072874517.db2.gz VEYRPCJGVSKMML-UHFFFAOYSA-N 0 0 448.542 -0.427 20 0 IBADRN CN(CC(=O)N1CCN(c2ncccc2C(N)=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000751387897 1072874611 /nfs/dbraw/zinc/87/46/11/1072874611.db2.gz HXDLAQDQSBXKDI-UHFFFAOYSA-N 0 0 442.501 -0.090 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000751388433 1072874435 /nfs/dbraw/zinc/87/44/35/1072874435.db2.gz VRJPYVIFVKWVIX-UHFFFAOYSA-N 0 0 429.458 -0.326 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1=O ZINC000751400704 1072874670 /nfs/dbraw/zinc/87/46/70/1072874670.db2.gz YENPSMDZUTZEGD-MRVPVSSYSA-N 0 0 425.243 -0.455 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1=O ZINC000751400732 1072874620 /nfs/dbraw/zinc/87/46/20/1072874620.db2.gz YENPSMDZUTZEGD-QMMMGPOBSA-N 0 0 425.243 -0.455 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000751409423 1072874554 /nfs/dbraw/zinc/87/45/54/1072874554.db2.gz NEKHUQWDHBKHLN-UHFFFAOYSA-N 0 0 445.563 -0.691 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000751409461 1072874635 /nfs/dbraw/zinc/87/46/35/1072874635.db2.gz QEIBDETVTBMZJA-UHFFFAOYSA-N 0 0 441.506 -0.448 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000751430265 1072874339 /nfs/dbraw/zinc/87/43/39/1072874339.db2.gz HRJKKOSRYVMZGD-LLVKDONJSA-N 0 0 443.302 -0.956 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000751430266 1072874742 /nfs/dbraw/zinc/87/47/42/1072874742.db2.gz HRJKKOSRYVMZGD-NSHDSACASA-N 0 0 443.302 -0.956 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H]1CCCN1C(=O)/C=C\c1ccc(C(N)=O)cc1 ZINC000751430363 1072874449 /nfs/dbraw/zinc/87/44/49/1072874449.db2.gz KFLMIVWACVQVAP-AWPWWVNJSA-N 0 0 444.532 -0.059 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H]1CCCN1C(=O)/C=C/c1ccc(C(N)=O)cc1 ZINC000751430364 1072874755 /nfs/dbraw/zinc/87/47/55/1072874755.db2.gz KFLMIVWACVQVAP-IECUYZFFSA-N 0 0 444.532 -0.059 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@H]1CCCN1C(=O)/C=C\c1ccc(C(N)=O)cc1 ZINC000751430365 1072874578 /nfs/dbraw/zinc/87/45/78/1072874578.db2.gz KFLMIVWACVQVAP-JRDMDSSDSA-N 0 0 444.532 -0.059 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@H]1CCCN1C(=O)/C=C/c1ccc(C(N)=O)cc1 ZINC000751430366 1072874720 /nfs/dbraw/zinc/87/47/20/1072874720.db2.gz KFLMIVWACVQVAP-XCBOUCJHSA-N 0 0 444.532 -0.059 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000751430930 1072874769 /nfs/dbraw/zinc/87/47/69/1072874769.db2.gz MNQHNHUPFVPRQW-SECBINFHSA-N 0 0 442.357 -0.077 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000751430931 1072874646 /nfs/dbraw/zinc/87/46/46/1072874646.db2.gz MNQHNHUPFVPRQW-VIFPVBQESA-N 0 0 442.357 -0.077 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(C)C[C@H](O)CN3CCOCC3)cc2S1(=O)=O ZINC000751431383 1072874980 /nfs/dbraw/zinc/87/49/80/1072874980.db2.gz OHSHLHPGRGFKAG-HNNXBMFYSA-N 0 0 441.506 -0.757 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(C)C[C@@H](O)CN3CCOCC3)cc2S1(=O)=O ZINC000751431391 1072875212 /nfs/dbraw/zinc/87/52/12/1072875212.db2.gz OHSHLHPGRGFKAG-OAHLLOKOSA-N 0 0 441.506 -0.757 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000751431601 1072874699 /nfs/dbraw/zinc/87/46/99/1072874699.db2.gz JHKQKBKVQOVGIB-LLVKDONJSA-N 0 0 436.328 -0.138 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000751431602 1072875303 /nfs/dbraw/zinc/87/53/03/1072875303.db2.gz JHKQKBKVQOVGIB-NSHDSACASA-N 0 0 436.328 -0.138 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000751432416 1072875104 /nfs/dbraw/zinc/87/51/04/1072875104.db2.gz XFAVXKBMLFKRGA-INIZCTEOSA-N 0 0 438.550 -0.001 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000751432417 1072875023 /nfs/dbraw/zinc/87/50/23/1072875023.db2.gz XFAVXKBMLFKRGA-MRXNPFEDSA-N 0 0 438.550 -0.001 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000751433115 1072875056 /nfs/dbraw/zinc/87/50/56/1072875056.db2.gz SHNRMBHSWWWKNV-HRAATJIYSA-N 0 0 434.493 -0.386 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000751433116 1072874998 /nfs/dbraw/zinc/87/49/98/1072874998.db2.gz SHNRMBHSWWWKNV-IERDGZPVSA-N 0 0 434.493 -0.386 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000751433117 1072875079 /nfs/dbraw/zinc/87/50/79/1072875079.db2.gz SHNRMBHSWWWKNV-IIBYNOLFSA-N 0 0 434.493 -0.386 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000751433118 1072875285 /nfs/dbraw/zinc/87/52/85/1072875285.db2.gz SHNRMBHSWWWKNV-KKSFZXQISA-N 0 0 434.493 -0.386 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000751433292 1072875161 /nfs/dbraw/zinc/87/51/61/1072875161.db2.gz VHQCCWZMCUGDEO-HNNXBMFYSA-N 0 0 445.513 -0.388 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000751433293 1072875127 /nfs/dbraw/zinc/87/51/27/1072875127.db2.gz VHQCCWZMCUGDEO-OAHLLOKOSA-N 0 0 445.513 -0.388 20 0 IBADRN CCc1c(C(=O)OCC(=O)NCC(=O)OC(C)(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000751440344 1072875191 /nfs/dbraw/zinc/87/51/91/1072875191.db2.gz UKGRIVXHHSEPSB-UHFFFAOYSA-N 0 0 434.449 -0.191 20 0 IBADRN O=C(OCc1ccccc1)N1CC(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)C1 ZINC000751444910 1072874951 /nfs/dbraw/zinc/87/49/51/1072874951.db2.gz CVFIBCPWASSKJG-UHFFFAOYSA-N 0 0 432.520 -0.134 20 0 IBADRN Cn1cc([C@@H](O)CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000751453232 1072874924 /nfs/dbraw/zinc/87/49/24/1072874924.db2.gz JEHFOUQCJIUJMX-INIZCTEOSA-N 0 0 430.508 -0.547 20 0 IBADRN Cn1cc([C@H](O)CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000751453234 1072875323 /nfs/dbraw/zinc/87/53/23/1072875323.db2.gz JEHFOUQCJIUJMX-MRXNPFEDSA-N 0 0 430.508 -0.547 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)ccc2C(=O)OC)CC1 ZINC000751455436 1072875241 /nfs/dbraw/zinc/87/52/41/1072875241.db2.gz PHAICRITVTXRGV-UHFFFAOYSA-N 0 0 442.446 -0.344 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)sc2C(=O)OC)CC1 ZINC000751455848 1072875274 /nfs/dbraw/zinc/87/52/74/1072875274.db2.gz ZIIKPGHVFVSLNZ-UHFFFAOYSA-N 0 0 448.475 -0.283 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000751468481 1072875267 /nfs/dbraw/zinc/87/52/67/1072875267.db2.gz FEWHRPVNSXQZOT-GHMZBOCLSA-N 0 0 446.464 -0.250 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000751468496 1072875595 /nfs/dbraw/zinc/87/55/95/1072875595.db2.gz FEWHRPVNSXQZOT-QWRGUYRKSA-N 0 0 446.464 -0.250 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000751468500 1072875696 /nfs/dbraw/zinc/87/56/96/1072875696.db2.gz FEWHRPVNSXQZOT-ZJJZBOPKSA-N 0 0 446.464 -0.250 20 0 IBADRN Nc1ccc(F)c(NC(=O)CCN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000751468607 1072875202 /nfs/dbraw/zinc/87/52/02/1072875202.db2.gz LHVQIKMOCWPJNX-AWEZNQCLSA-N 0 0 448.542 -0.129 20 0 IBADRN Nc1ccc(F)c(NC(=O)CCN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000751468612 1072874966 /nfs/dbraw/zinc/87/49/66/1072874966.db2.gz LHVQIKMOCWPJNX-CQSZACIVSA-N 0 0 448.542 -0.129 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000751484910 1072875755 /nfs/dbraw/zinc/87/57/55/1072875755.db2.gz IPYPTLQFARVHQJ-DYESRHJHSA-N 0 0 433.509 -0.500 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000751484927 1072875818 /nfs/dbraw/zinc/87/58/18/1072875818.db2.gz IPYPTLQFARVHQJ-LAUBAEHRSA-N 0 0 433.509 -0.500 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000751484930 1072875867 /nfs/dbraw/zinc/87/58/67/1072875867.db2.gz IPYPTLQFARVHQJ-UTKZUKDTSA-N 0 0 433.509 -0.500 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000751484932 1072875611 /nfs/dbraw/zinc/87/56/11/1072875611.db2.gz IPYPTLQFARVHQJ-UWJYYQICSA-N 0 0 433.509 -0.500 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000751485471 1072875727 /nfs/dbraw/zinc/87/57/27/1072875727.db2.gz MNMKMPXOOKRYAI-HRAATJIYSA-N 0 0 449.508 -0.882 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000751485481 1072875561 /nfs/dbraw/zinc/87/55/61/1072875561.db2.gz MNMKMPXOOKRYAI-IERDGZPVSA-N 0 0 449.508 -0.882 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000751485485 1072875846 /nfs/dbraw/zinc/87/58/46/1072875846.db2.gz MNMKMPXOOKRYAI-IIBYNOLFSA-N 0 0 449.508 -0.882 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000751485488 1072875789 /nfs/dbraw/zinc/87/57/89/1072875789.db2.gz MNMKMPXOOKRYAI-KKSFZXQISA-N 0 0 449.508 -0.882 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)C[C@H](O)CN3CCOCC3)C2=O)c1 ZINC000751486514 1072876333 /nfs/dbraw/zinc/87/63/33/1072876333.db2.gz WVQXORGJYNLQDB-HRAATJIYSA-N 0 0 449.508 -0.882 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN(C)C[C@@H](O)CN3CCOCC3)C2=O)c1 ZINC000751486515 1072876304 /nfs/dbraw/zinc/87/63/04/1072876304.db2.gz WVQXORGJYNLQDB-IERDGZPVSA-N 0 0 449.508 -0.882 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)C[C@@H](O)CN3CCOCC3)C2=O)c1 ZINC000751486516 1072876130 /nfs/dbraw/zinc/87/61/30/1072876130.db2.gz WVQXORGJYNLQDB-IIBYNOLFSA-N 0 0 449.508 -0.882 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN(C)C[C@H](O)CN3CCOCC3)C2=O)c1 ZINC000751486517 1072876356 /nfs/dbraw/zinc/87/63/56/1072876356.db2.gz WVQXORGJYNLQDB-KKSFZXQISA-N 0 0 449.508 -0.882 20 0 IBADRN CN(CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O)C[C@H](O)CN1CCOCC1 ZINC000751486623 1072875806 /nfs/dbraw/zinc/87/58/06/1072875806.db2.gz YHRHZTSZNSIINI-AVRDEDQJSA-N 0 0 447.536 -0.414 20 0 IBADRN CN(CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O)C[C@@H](O)CN1CCOCC1 ZINC000751486627 1072875649 /nfs/dbraw/zinc/87/56/49/1072875649.db2.gz YHRHZTSZNSIINI-GCJKJVERSA-N 0 0 447.536 -0.414 20 0 IBADRN CN(CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O)C[C@H](O)CN1CCOCC1 ZINC000751486629 1072876254 /nfs/dbraw/zinc/87/62/54/1072876254.db2.gz YHRHZTSZNSIINI-PGRDOPGGSA-N 0 0 447.536 -0.414 20 0 IBADRN CN(CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O)C[C@@H](O)CN1CCOCC1 ZINC000751486631 1072876379 /nfs/dbraw/zinc/87/63/79/1072876379.db2.gz YHRHZTSZNSIINI-XMSQKQJNSA-N 0 0 447.536 -0.414 20 0 IBADRN O=C(CN1CCC(OC[C@@H]2CCCO2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000751487156 1072876350 /nfs/dbraw/zinc/87/63/50/1072876350.db2.gz DPUQMVVGSPAOSF-HKUYNNGSSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC(OC[C@H]2CCCO2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751487157 1072876110 /nfs/dbraw/zinc/87/61/10/1072876110.db2.gz DPUQMVVGSPAOSF-IEBWSBKVSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC(OC[C@@H]2CCCO2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751487159 1072876164 /nfs/dbraw/zinc/87/61/64/1072876164.db2.gz DPUQMVVGSPAOSF-MJGOQNOKSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCC(OC[C@H]2CCCO2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000751487161 1072876206 /nfs/dbraw/zinc/87/62/06/1072876206.db2.gz DPUQMVVGSPAOSF-PKOBYXMFSA-N 0 0 429.583 -0.022 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC000751488260 1072876364 /nfs/dbraw/zinc/87/63/64/1072876364.db2.gz OUJMUISWKRPLSU-UHFFFAOYSA-N 0 0 438.871 -0.093 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@@H]2C[C@H](O)c2cccs2)CC1 ZINC000751491162 1072876286 /nfs/dbraw/zinc/87/62/86/1072876286.db2.gz AXJGREKDXCYOCW-KBPBESRZSA-N 0 0 439.581 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@H]2C[C@H](O)c2cccs2)CC1 ZINC000751491176 1072876324 /nfs/dbraw/zinc/87/63/24/1072876324.db2.gz AXJGREKDXCYOCW-KGLIPLIRSA-N 0 0 439.581 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@@H]2C[C@@H](O)c2cccs2)CC1 ZINC000751491179 1072876185 /nfs/dbraw/zinc/87/61/85/1072876185.db2.gz AXJGREKDXCYOCW-UONOGXRCSA-N 0 0 439.581 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@H]2C[C@@H](O)c2cccs2)CC1 ZINC000751491183 1072876223 /nfs/dbraw/zinc/87/62/23/1072876223.db2.gz AXJGREKDXCYOCW-ZIAGYGMSSA-N 0 0 439.581 -0.306 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC000751495018 1072876238 /nfs/dbraw/zinc/87/62/38/1072876238.db2.gz WEIRRFGDOXVAJM-KRWDZBQOSA-N 0 0 427.523 -0.038 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC000751495023 1072876316 /nfs/dbraw/zinc/87/63/16/1072876316.db2.gz WEIRRFGDOXVAJM-QGZVFWFLSA-N 0 0 427.523 -0.038 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccccc2)CC1 ZINC000751509931 1072876275 /nfs/dbraw/zinc/87/62/75/1072876275.db2.gz UIZORYGAZRSTFJ-DLBZAZTESA-N 0 0 433.552 -0.367 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@H]2C[C@@H](O)c2ccccc2)CC1 ZINC000751509932 1072876295 /nfs/dbraw/zinc/87/62/95/1072876295.db2.gz UIZORYGAZRSTFJ-IAGOWNOFSA-N 0 0 433.552 -0.367 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@@H]2C[C@H](O)c2ccccc2)CC1 ZINC000751509933 1072876084 /nfs/dbraw/zinc/87/60/84/1072876084.db2.gz UIZORYGAZRSTFJ-IRXDYDNUSA-N 0 0 433.552 -0.367 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCOC[C@H]2C[C@H](O)c2ccccc2)CC1 ZINC000751509934 1072876870 /nfs/dbraw/zinc/87/68/70/1072876870.db2.gz UIZORYGAZRSTFJ-SJORKVTESA-N 0 0 433.552 -0.367 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CN3C(=O)N[C@@](C)(c4ccc(OC)cc4)C3=O)CCN2C1=O ZINC000751531590 1072876631 /nfs/dbraw/zinc/87/66/31/1072876631.db2.gz QCRLQZVHEMCPHA-BTYIYWSLSA-N 0 0 443.460 -0.043 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CN3C(=O)N[C@](C)(c4ccc(OC)cc4)C3=O)CCN2C1=O ZINC000751531591 1072876797 /nfs/dbraw/zinc/87/67/97/1072876797.db2.gz QCRLQZVHEMCPHA-QVKFZJNVSA-N 0 0 443.460 -0.043 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CN3C(=O)N[C@@](C)(c4ccc(OC)cc4)C3=O)CCN2C1=O ZINC000751531592 1072876886 /nfs/dbraw/zinc/87/68/86/1072876886.db2.gz QCRLQZVHEMCPHA-VFNWGFHPSA-N 0 0 443.460 -0.043 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CN3C(=O)N[C@](C)(c4ccc(OC)cc4)C3=O)CCN2C1=O ZINC000751531714 1072876713 /nfs/dbraw/zinc/87/67/13/1072876713.db2.gz QCRLQZVHEMCPHA-YCRPNKLZSA-N 0 0 443.460 -0.043 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3nccc4c3cccc4S(N)(=O)=O)nc2c(=O)n(C)c1=O ZINC000751536097 1072876817 /nfs/dbraw/zinc/87/68/17/1072876817.db2.gz BFYPBAPNJUKLEI-UHFFFAOYSA-N 0 0 444.429 -0.487 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000751536664 1072876853 /nfs/dbraw/zinc/87/68/53/1072876853.db2.gz FKFOWDCEGWBSDW-UHFFFAOYSA-N 0 0 427.377 -0.463 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCc3[nH]nc(C(F)(F)F)c3C2)c(=O)n(C)c1=O ZINC000751539898 1072876697 /nfs/dbraw/zinc/87/66/97/1072876697.db2.gz FUDYVFNEKYRECZ-SSDOTTSWSA-N 0 0 429.355 -0.061 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCc3[nH]nc(C(F)(F)F)c3C2)c(=O)n(C)c1=O ZINC000751539905 1072876596 /nfs/dbraw/zinc/87/65/96/1072876596.db2.gz FUDYVFNEKYRECZ-ZETCQYMHSA-N 0 0 429.355 -0.061 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NN=c3ccc(S(N)(=O)=O)c[nH]3)ccc2O1 ZINC000751541387 1072876671 /nfs/dbraw/zinc/87/66/71/1072876671.db2.gz VXPPXDRQRXLKBN-AWEZNQCLSA-N 0 0 442.475 -0.099 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NN=c3ccc(S(N)(=O)=O)c[nH]3)ccc2O1 ZINC000751541388 1072876576 /nfs/dbraw/zinc/87/65/76/1072876576.db2.gz VXPPXDRQRXLKBN-CQSZACIVSA-N 0 0 442.475 -0.099 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000751560153 1072876768 /nfs/dbraw/zinc/87/67/68/1072876768.db2.gz AXZSUWWGJFGXMR-AWEZNQCLSA-N 0 0 432.543 -0.147 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000751560154 1072876785 /nfs/dbraw/zinc/87/67/85/1072876785.db2.gz AXZSUWWGJFGXMR-CQSZACIVSA-N 0 0 432.543 -0.147 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)cc1 ZINC000751563318 1072876730 /nfs/dbraw/zinc/87/67/30/1072876730.db2.gz DDQQRRDZRIDMRS-ZDUSSCGKSA-N 0 0 426.451 -0.203 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)CC1 ZINC000751564690 1072876616 /nfs/dbraw/zinc/87/66/16/1072876616.db2.gz IXEKBVVCWRGVQQ-HNNXBMFYSA-N 0 0 445.476 -0.473 20 0 IBADRN O=C(COC(=O)C[C@@H]1NC(=O)NC1=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC000751565966 1072876653 /nfs/dbraw/zinc/87/66/53/1072876653.db2.gz NLRLWLHMFUEBFU-AWEZNQCLSA-N 0 0 438.462 -0.059 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)COC(=O)C[C@@H]1NC(=O)NC1=O ZINC000751568290 1072876833 /nfs/dbraw/zinc/87/68/33/1072876833.db2.gz WGSOMFKKZHXEIW-ZDUSSCGKSA-N 0 0 438.462 -0.643 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)C1=O ZINC000751568691 1072877119 /nfs/dbraw/zinc/87/71/19/1072877119.db2.gz NIRZKUPVUAAHBU-BUXKBTBVSA-N 0 0 431.405 -0.898 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)C1=O ZINC000751568700 1072877283 /nfs/dbraw/zinc/87/72/83/1072877283.db2.gz NIRZKUPVUAAHBU-HXPMCKFVSA-N 0 0 431.405 -0.898 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)CC1 ZINC000751569496 1072877110 /nfs/dbraw/zinc/87/71/10/1072877110.db2.gz WAWUGDVTJGRWOC-INIZCTEOSA-N 0 0 445.476 -0.527 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc(CS(=O)(=O)C(F)F)cc2)CC1 ZINC000751572020 1072877077 /nfs/dbraw/zinc/87/70/77/1072877077.db2.gz JBGLRGOYFAOJRF-UHFFFAOYSA-N 0 0 428.421 -0.205 20 0 IBADRN COc1cc(C)c(CN2CCN(C(=O)COC(=O)C[C@@H]3NC(=O)NC3=O)CC2)cc1OC ZINC000751575100 1072877349 /nfs/dbraw/zinc/87/73/49/1072877349.db2.gz CCBGKLIWIHWHDS-HNNXBMFYSA-N 0 0 448.476 -0.202 20 0 IBADRN COC(=O)c1sc(NC(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)c(C(=O)OC)c1C ZINC000751576706 1072877305 /nfs/dbraw/zinc/87/73/05/1072877305.db2.gz OMNFSWJICLIQHF-ZETCQYMHSA-N 0 0 427.391 -0.290 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)CC1)Nc1ccc(F)cc1 ZINC000751579407 1072877182 /nfs/dbraw/zinc/87/71/82/1072877182.db2.gz XGCGQDMWGFXOHM-AWEZNQCLSA-N 0 0 435.412 -0.950 20 0 IBADRN CNS(=O)(=O)CC(=O)Nc1ccc2nc(NC(=O)CS(=O)(=O)NC)ccc2c1 ZINC000751580957 1072877333 /nfs/dbraw/zinc/87/73/33/1072877333.db2.gz WTMCHYGFLWXUTL-UHFFFAOYSA-N 0 0 429.480 -0.790 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(N)(=O)=O)cc(C)c1C ZINC000751584111 1072877239 /nfs/dbraw/zinc/87/72/39/1072877239.db2.gz GKIODSGZIASIFN-CYBMUJFWSA-N 0 0 431.536 -0.284 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(N)(=O)=O)cc(C)c1C ZINC000751584114 1072877094 /nfs/dbraw/zinc/87/70/94/1072877094.db2.gz GKIODSGZIASIFN-ZDUSSCGKSA-N 0 0 431.536 -0.284 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CN2C[C@@H]3CN(C(=O)OC(C)(C)C)CCN3C2=O)CC1 ZINC000751590870 1072877162 /nfs/dbraw/zinc/87/71/62/1072877162.db2.gz HUSSLLKWDRHIME-HNNXBMFYSA-N 0 0 446.574 -0.275 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CN2C[C@H]3CN(C(=O)OC(C)(C)C)CCN3C2=O)CC1 ZINC000751590872 1072877256 /nfs/dbraw/zinc/87/72/56/1072877256.db2.gz HUSSLLKWDRHIME-OAHLLOKOSA-N 0 0 446.574 -0.275 20 0 IBADRN CNS(=O)(=O)CC(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000751591647 1072877224 /nfs/dbraw/zinc/87/72/24/1072877224.db2.gz UYAYIFQBHOKWAN-UHFFFAOYSA-N 0 0 426.467 -0.151 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)NC1CCC2(CC1)OCCO2 ZINC000751615963 1072877321 /nfs/dbraw/zinc/87/73/21/1072877321.db2.gz DDGREDXHSXVSPT-UHFFFAOYSA-N 0 0 425.463 -0.171 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000751616069 1072877372 /nfs/dbraw/zinc/87/73/72/1072877372.db2.gz FGMAELYFOWMTQH-DZGCQCFKSA-N 0 0 438.506 -0.224 20 0 IBADRN CC(C)[C@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000751616073 1072877270 /nfs/dbraw/zinc/87/72/70/1072877270.db2.gz FGMAELYFOWMTQH-HIFRSBDPSA-N 0 0 438.506 -0.224 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000751616076 1072877299 /nfs/dbraw/zinc/87/72/99/1072877299.db2.gz FGMAELYFOWMTQH-UKRRQHHQSA-N 0 0 438.506 -0.224 20 0 IBADRN CC(C)[C@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000751616079 1072877385 /nfs/dbraw/zinc/87/73/85/1072877385.db2.gz FGMAELYFOWMTQH-ZFWWWQNUSA-N 0 0 438.506 -0.224 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000751618628 1072877701 /nfs/dbraw/zinc/87/77/01/1072877701.db2.gz BERRBPROQZIASR-UHFFFAOYSA-N 0 0 437.434 -0.424 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000751618785 1072877142 /nfs/dbraw/zinc/87/71/42/1072877142.db2.gz RNTHGBJFINBATM-GXTWGEPZSA-N 0 0 445.519 -0.645 20 0 IBADRN CC(C)[C@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000751618792 1072877206 /nfs/dbraw/zinc/87/72/06/1072877206.db2.gz RNTHGBJFINBATM-JSGCOSHPSA-N 0 0 445.519 -0.645 20 0 IBADRN CC(C)[C@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000751618795 1072877769 /nfs/dbraw/zinc/87/77/69/1072877769.db2.gz RNTHGBJFINBATM-OCCSQVGLSA-N 0 0 445.519 -0.645 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000751618798 1072877804 /nfs/dbraw/zinc/87/78/04/1072877804.db2.gz RNTHGBJFINBATM-TZMCWYRMSA-N 0 0 445.519 -0.645 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000751618830 1072877900 /nfs/dbraw/zinc/87/79/00/1072877900.db2.gz CTOHLVDYTXBZAX-UHFFFAOYSA-N 0 0 448.457 -0.792 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000751619871 1072877671 /nfs/dbraw/zinc/87/76/71/1072877671.db2.gz HFBOFYYLYXCLKH-UHFFFAOYSA-N 0 0 427.460 -0.246 20 0 IBADRN NS(=O)(=O)c1ccccc1NC(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000751619946 1072877648 /nfs/dbraw/zinc/87/76/48/1072877648.db2.gz HHPJATUWRIVNEJ-UHFFFAOYSA-N 0 0 440.459 -0.687 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)c1ccccc1 ZINC000751620068 1072877739 /nfs/dbraw/zinc/87/77/39/1072877739.db2.gz HXGNFINSNZGQRT-INIZCTEOSA-N 0 0 432.458 -0.369 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)c1ccccc1 ZINC000751620075 1072877714 /nfs/dbraw/zinc/87/77/14/1072877714.db2.gz HXGNFINSNZGQRT-MRXNPFEDSA-N 0 0 432.458 -0.369 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C(C)C)CC1 ZINC000751620949 1072877753 /nfs/dbraw/zinc/87/77/53/1072877753.db2.gz LYVRQHWRCQNMFX-INIZCTEOSA-N 0 0 438.506 -0.258 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C(C)C)CC1 ZINC000751621167 1072877660 /nfs/dbraw/zinc/87/76/60/1072877660.db2.gz LYVRQHWRCQNMFX-MRXNPFEDSA-N 0 0 438.506 -0.258 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)NCCCN1CCCCCC1=O ZINC000751621243 1072877614 /nfs/dbraw/zinc/87/76/14/1072877614.db2.gz OGSJQMCQBXGPDW-UHFFFAOYSA-N 0 0 438.506 -0.064 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(Cc2ccco2)CC1 ZINC000751621251 1072877723 /nfs/dbraw/zinc/87/77/23/1072877723.db2.gz OOYCAESWQOKUOR-UHFFFAOYSA-N 0 0 434.474 -0.035 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000751621852 1072877635 /nfs/dbraw/zinc/87/76/35/1072877635.db2.gz TWXQJGDEOQWUTO-UHFFFAOYSA-N 0 0 425.444 -0.443 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)NCCOc1ccc2c(c1)OCO2 ZINC000751621937 1072877884 /nfs/dbraw/zinc/87/78/84/1072877884.db2.gz WJEZERLYMRLYEP-UHFFFAOYSA-N 0 0 449.441 -0.049 20 0 IBADRN CCOC(=O)NCCCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751638182 1072878174 /nfs/dbraw/zinc/87/81/74/1072878174.db2.gz ADADDKPQJMOKDA-CYBMUJFWSA-N 0 0 425.529 -0.826 20 0 IBADRN CCOC(=O)NCCCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000751638183 1072878113 /nfs/dbraw/zinc/87/81/13/1072878113.db2.gz ADADDKPQJMOKDA-ZDUSSCGKSA-N 0 0 425.529 -0.826 20 0 IBADRN O=C(C[C@@H]1CSCCS1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751638312 1072877846 /nfs/dbraw/zinc/87/78/46/1072877846.db2.gz CZQYQIHADBXJAK-CHWSQXEVSA-N 0 0 428.623 -0.114 20 0 IBADRN O=C(C[C@@H]1CSCCS1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000751638314 1072877782 /nfs/dbraw/zinc/87/77/82/1072877782.db2.gz CZQYQIHADBXJAK-OLZOCXBDSA-N 0 0 428.623 -0.114 20 0 IBADRN O=C(C[C@H]1CSCCS1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000751638316 1072878349 /nfs/dbraw/zinc/87/83/49/1072878349.db2.gz CZQYQIHADBXJAK-QWHCGFSZSA-N 0 0 428.623 -0.114 20 0 IBADRN O=C(C[C@H]1CSCCS1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000751638317 1072878221 /nfs/dbraw/zinc/87/82/21/1072878221.db2.gz CZQYQIHADBXJAK-STQMWFEESA-N 0 0 428.623 -0.114 20 0 IBADRN Cn1c2cc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)ccc2[nH]c1=O ZINC000751640596 1072878162 /nfs/dbraw/zinc/87/81/62/1072878162.db2.gz VRGSLUKWOLKUIS-CYBMUJFWSA-N 0 0 442.519 -0.446 20 0 IBADRN Cn1c2cc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)ccc2[nH]c1=O ZINC000751640597 1072878305 /nfs/dbraw/zinc/87/83/05/1072878305.db2.gz VRGSLUKWOLKUIS-ZDUSSCGKSA-N 0 0 442.519 -0.446 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)cc1 ZINC000751641406 1072878249 /nfs/dbraw/zinc/87/82/49/1072878249.db2.gz PKGYRYSGHUDBKQ-CYBMUJFWSA-N 0 0 435.462 -0.688 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)cc1 ZINC000751641407 1072878266 /nfs/dbraw/zinc/87/82/66/1072878266.db2.gz PKGYRYSGHUDBKQ-ZDUSSCGKSA-N 0 0 435.462 -0.688 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000751648412 1072878138 /nfs/dbraw/zinc/87/81/38/1072878138.db2.gz BWFANOSBQVNFTC-GXTWGEPZSA-N 0 0 449.489 -0.299 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000751648418 1072878327 /nfs/dbraw/zinc/87/83/27/1072878327.db2.gz BWFANOSBQVNFTC-JSGCOSHPSA-N 0 0 449.489 -0.299 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000751648420 1072878318 /nfs/dbraw/zinc/87/83/18/1072878318.db2.gz BWFANOSBQVNFTC-OCCSQVGLSA-N 0 0 449.489 -0.299 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000751648421 1072878183 /nfs/dbraw/zinc/87/81/83/1072878183.db2.gz BWFANOSBQVNFTC-TZMCWYRMSA-N 0 0 449.489 -0.299 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)CC1 ZINC000751652991 1072878124 /nfs/dbraw/zinc/87/81/24/1072878124.db2.gz UEVJDONFUXCGQE-AWEZNQCLSA-N 0 0 434.497 -0.635 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)CC1 ZINC000751653001 1072878336 /nfs/dbraw/zinc/87/83/36/1072878336.db2.gz UEVJDONFUXCGQE-CQSZACIVSA-N 0 0 434.497 -0.635 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)c(=O)n(C)c1=O ZINC000751653506 1072878235 /nfs/dbraw/zinc/87/82/35/1072878235.db2.gz VSAUTMFKPXRARU-LLVKDONJSA-N 0 0 432.437 -0.713 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)c(=O)n(C)c1=O ZINC000751653519 1072878196 /nfs/dbraw/zinc/87/81/96/1072878196.db2.gz VSAUTMFKPXRARU-NSHDSACASA-N 0 0 432.437 -0.713 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@@H]1CC(=O)N(c3cn[nH]c3)C1)C2=O ZINC000751664968 1072878290 /nfs/dbraw/zinc/87/82/90/1072878290.db2.gz CMVZDQXAYITNQQ-DKXWOSEQSA-N 0 0 432.437 -0.162 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@H]1CC(=O)N(c3cn[nH]c3)C1)C2=O ZINC000751664969 1072878361 /nfs/dbraw/zinc/87/83/61/1072878361.db2.gz CMVZDQXAYITNQQ-WZEQGRABSA-N 0 0 432.437 -0.162 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000751674546 1072878620 /nfs/dbraw/zinc/87/86/20/1072878620.db2.gz VMGABOMTPOASME-GFCCVEGCSA-N 0 0 433.446 -0.458 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000751674551 1072878689 /nfs/dbraw/zinc/87/86/89/1072878689.db2.gz VMGABOMTPOASME-LBPRGKRZSA-N 0 0 433.446 -0.458 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000751675561 1072878642 /nfs/dbraw/zinc/87/86/42/1072878642.db2.gz YPHAWQZIPYDJFO-AAEUAGOBSA-N 0 0 447.473 -0.069 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000751675562 1072878734 /nfs/dbraw/zinc/87/87/34/1072878734.db2.gz YPHAWQZIPYDJFO-DGCLKSJQSA-N 0 0 447.473 -0.069 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000751675563 1072878723 /nfs/dbraw/zinc/87/87/23/1072878723.db2.gz YPHAWQZIPYDJFO-WCQYABFASA-N 0 0 447.473 -0.069 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000751675564 1072878760 /nfs/dbraw/zinc/87/87/60/1072878760.db2.gz YPHAWQZIPYDJFO-YPMHNXCESA-N 0 0 447.473 -0.069 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)S(C)(=O)=O ZINC000751676036 1072878809 /nfs/dbraw/zinc/87/88/09/1072878809.db2.gz ZBRASSXVKOCPBD-CYBMUJFWSA-N 0 0 429.499 -0.518 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)S(C)(=O)=O ZINC000751676043 1072878775 /nfs/dbraw/zinc/87/87/75/1072878775.db2.gz ZBRASSXVKOCPBD-ZDUSSCGKSA-N 0 0 429.499 -0.518 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)cc1 ZINC000751679258 1072878665 /nfs/dbraw/zinc/87/86/65/1072878665.db2.gz PVUZCJDKTJLMCB-LLVKDONJSA-N 0 0 431.434 -0.115 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)cc1 ZINC000751679336 1072878702 /nfs/dbraw/zinc/87/87/02/1072878702.db2.gz PVUZCJDKTJLMCB-NSHDSACASA-N 0 0 431.434 -0.115 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCOc1cccc(C(N)=O)c1)c(=O)n2C ZINC000751685958 1072878654 /nfs/dbraw/zinc/87/86/54/1072878654.db2.gz YVCYWQONPJOLGL-UHFFFAOYSA-N 0 0 429.433 -0.065 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000751693003 1072878597 /nfs/dbraw/zinc/87/85/97/1072878597.db2.gz QNBVMAKPXDYLPT-CHWSQXEVSA-N 0 0 440.540 -0.948 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000751693014 1072878583 /nfs/dbraw/zinc/87/85/83/1072878583.db2.gz QNBVMAKPXDYLPT-OLZOCXBDSA-N 0 0 440.540 -0.948 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000751693016 1072878712 /nfs/dbraw/zinc/87/87/12/1072878712.db2.gz QNBVMAKPXDYLPT-QWHCGFSZSA-N 0 0 440.540 -0.948 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000751693019 1072878826 /nfs/dbraw/zinc/87/88/26/1072878826.db2.gz QNBVMAKPXDYLPT-STQMWFEESA-N 0 0 440.540 -0.948 20 0 IBADRN C[C@@H](OC(=O)C(C)(C)NS(C)(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000751694803 1072878746 /nfs/dbraw/zinc/87/87/46/1072878746.db2.gz FMHWGXHOSQQDBS-LLVKDONJSA-N 0 0 435.524 -0.748 20 0 IBADRN C[C@H](OC(=O)C(C)(C)NS(C)(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000751694808 1072878679 /nfs/dbraw/zinc/87/86/79/1072878679.db2.gz FMHWGXHOSQQDBS-NSHDSACASA-N 0 0 435.524 -0.748 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)C(C)(C)NS(C)(=O)=O ZINC000751694835 1072879269 /nfs/dbraw/zinc/87/92/69/1072879269.db2.gz FWOOCOJBJXYWGX-JTQLQIEISA-N 0 0 433.508 -0.517 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)C(C)(C)NS(C)(=O)=O ZINC000751694851 1072879131 /nfs/dbraw/zinc/87/91/31/1072879131.db2.gz FWOOCOJBJXYWGX-SNVBAGLBSA-N 0 0 433.508 -0.517 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)C(C)(C)NS(C)(=O)=O)C1=O ZINC000751694936 1072878630 /nfs/dbraw/zinc/87/86/30/1072878630.db2.gz GYCLNXUOBWEZHM-GOSISDBHSA-N 0 0 440.478 -0.254 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)C(C)(C)NS(C)(=O)=O)C1=O ZINC000751694945 1072878608 /nfs/dbraw/zinc/87/86/08/1072878608.db2.gz GYCLNXUOBWEZHM-SFHVURJKSA-N 0 0 440.478 -0.254 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000751695496 1072878793 /nfs/dbraw/zinc/87/87/93/1072878793.db2.gz JDDDWCYOCPFGAF-UHFFFAOYSA-N 0 0 441.506 -0.354 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)OC(=O)C(C)(C)NS(C)(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000751696160 1072879317 /nfs/dbraw/zinc/87/93/17/1072879317.db2.gz OHJJAUOYYSBJNG-GHMZBOCLSA-N 0 0 435.524 -0.229 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)C(C)(C)NS(C)(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000751696162 1072879120 /nfs/dbraw/zinc/87/91/20/1072879120.db2.gz OHJJAUOYYSBJNG-MNOVXSKESA-N 0 0 435.524 -0.229 20 0 IBADRN C[C@H](NC(=O)[C@H](C)OC(=O)C(C)(C)NS(C)(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000751696163 1072879259 /nfs/dbraw/zinc/87/92/59/1072879259.db2.gz OHJJAUOYYSBJNG-QWRGUYRKSA-N 0 0 435.524 -0.229 20 0 IBADRN C[C@@H](OC(=O)C(C)(C)NS(C)(=O)=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000751696165 1072879359 /nfs/dbraw/zinc/87/93/59/1072879359.db2.gz OHJJAUOYYSBJNG-WDEREUQCSA-N 0 0 435.524 -0.229 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000751696246 1072879226 /nfs/dbraw/zinc/87/92/26/1072879226.db2.gz QDLHUIPIPBOPOO-UHFFFAOYSA-N 0 0 447.535 -0.610 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)C(C)(C)NS(C)(=O)=O)c(=O)[nH]c1=O ZINC000751696446 1072879180 /nfs/dbraw/zinc/87/91/80/1072879180.db2.gz XJTWQKVTLRVSEB-UHFFFAOYSA-N 0 0 447.514 -0.445 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)c1ccc(CCNS(C)(=O)=O)s1 ZINC000751697439 1072879211 /nfs/dbraw/zinc/87/92/11/1072879211.db2.gz WGXFULWYBBTWPI-UHFFFAOYSA-N 0 0 426.538 -0.107 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c(C)o1 ZINC000751738812 1072879191 /nfs/dbraw/zinc/87/91/91/1072879191.db2.gz GFVDAZAWBDCPPZ-UHFFFAOYSA-N 0 0 425.485 -0.949 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c(C)o1 ZINC000751742917 1072879393 /nfs/dbraw/zinc/87/93/93/1072879393.db2.gz DWCPGEWTDIFAPH-UHFFFAOYSA-N 0 0 425.423 -0.900 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)c(C)o1 ZINC000751743819 1072879343 /nfs/dbraw/zinc/87/93/43/1072879343.db2.gz YCFWHIQKBTUARY-UHFFFAOYSA-N 0 0 439.446 -0.167 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c(C)o1 ZINC000751744506 1072879146 /nfs/dbraw/zinc/87/91/46/1072879146.db2.gz LYFNGESBDKXSQU-UHFFFAOYSA-N 0 0 439.450 -0.510 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)c(C)o1 ZINC000751744699 1072879278 /nfs/dbraw/zinc/87/92/78/1072879278.db2.gz NCSXEXSYXNSSCN-BXUZGUMPSA-N 0 0 436.508 -0.019 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)c(C)o1 ZINC000751744710 1072879326 /nfs/dbraw/zinc/87/93/26/1072879326.db2.gz NCSXEXSYXNSSCN-FZMZJTMJSA-N 0 0 436.508 -0.019 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)c(C)o1 ZINC000751744714 1072879301 /nfs/dbraw/zinc/87/93/01/1072879301.db2.gz NCSXEXSYXNSSCN-RISCZKNCSA-N 0 0 436.508 -0.019 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)c(C)o1 ZINC000751744719 1072879237 /nfs/dbraw/zinc/87/92/37/1072879237.db2.gz NCSXEXSYXNSSCN-SMDDNHRTSA-N 0 0 436.508 -0.019 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(=O)n(-c3cccc(F)c3)c2)c(=O)n(C)c1=O ZINC000751771124 1072879294 /nfs/dbraw/zinc/87/92/94/1072879294.db2.gz CBJVIDLPULUWRU-UHFFFAOYSA-N 0 0 428.376 -0.004 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000751786593 1072879080 /nfs/dbraw/zinc/87/90/80/1072879080.db2.gz JLMOTMWTASBNOG-INIZCTEOSA-N 0 0 443.545 -0.600 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000751786625 1072879368 /nfs/dbraw/zinc/87/93/68/1072879368.db2.gz JLMOTMWTASBNOG-MRXNPFEDSA-N 0 0 443.545 -0.600 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000751789103 1072879873 /nfs/dbraw/zinc/87/98/73/1072879873.db2.gz DKFMNVHMIYKSGM-KRWDZBQOSA-N 0 0 429.562 -0.126 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000751789111 1072879796 /nfs/dbraw/zinc/87/97/96/1072879796.db2.gz DKFMNVHMIYKSGM-QGZVFWFLSA-N 0 0 429.562 -0.126 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000751793074 1072879637 /nfs/dbraw/zinc/87/96/37/1072879637.db2.gz KGFFMSHXNGWBOO-AWEZNQCLSA-N 0 0 446.492 -0.118 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000751793079 1072879707 /nfs/dbraw/zinc/87/97/07/1072879707.db2.gz KGFFMSHXNGWBOO-CQSZACIVSA-N 0 0 446.492 -0.118 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000751793121 1072879947 /nfs/dbraw/zinc/87/99/47/1072879947.db2.gz KVFHYLCGBWJCOP-AWEZNQCLSA-N 0 0 428.502 -0.415 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000751793129 1072879995 /nfs/dbraw/zinc/87/99/95/1072879995.db2.gz KVFHYLCGBWJCOP-CQSZACIVSA-N 0 0 428.502 -0.415 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000751795335 1072879696 /nfs/dbraw/zinc/87/96/96/1072879696.db2.gz OVEVJOPOHKIZMG-UHFFFAOYSA-N 0 0 433.531 -0.490 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC3CN(C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC000751802978 1072879863 /nfs/dbraw/zinc/87/98/63/1072879863.db2.gz DWWGTAGFJOLCLI-UHFFFAOYSA-N 0 0 446.574 -0.575 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000751804957 1072879761 /nfs/dbraw/zinc/87/97/61/1072879761.db2.gz STESTUQYTCQMBX-UHFFFAOYSA-N 0 0 425.486 -0.682 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)cn1 ZINC000751807377 1072879899 /nfs/dbraw/zinc/87/98/99/1072879899.db2.gz IHAZZJCIYUECAC-UHFFFAOYSA-N 0 0 440.862 -0.005 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC(OC[C@@H]4CCCO4)CC3)cnc2n(C)c1=O ZINC000751816011 1072879983 /nfs/dbraw/zinc/87/99/83/1072879983.db2.gz AOMKEPKYEJIZRY-HNNXBMFYSA-N 0 0 445.476 -0.243 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC(OC[C@H]4CCCO4)CC3)cnc2n(C)c1=O ZINC000751816014 1072879818 /nfs/dbraw/zinc/87/98/18/1072879818.db2.gz AOMKEPKYEJIZRY-OAHLLOKOSA-N 0 0 445.476 -0.243 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1C(=O)N(C)C ZINC000751816638 1072879660 /nfs/dbraw/zinc/87/96/60/1072879660.db2.gz BMHYBXBDPUXVSQ-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000751837529 1072879841 /nfs/dbraw/zinc/87/98/41/1072879841.db2.gz RAGXMQORIPLNFK-UHFFFAOYSA-N 0 0 435.462 -0.445 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1C ZINC000751837795 1072880365 /nfs/dbraw/zinc/88/03/65/1072880365.db2.gz UJYVDSGNMLHAIT-UHFFFAOYSA-N 0 0 440.522 -0.660 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)c1N ZINC000751838523 1072879726 /nfs/dbraw/zinc/87/97/26/1072879726.db2.gz IEZIDGOOEHPHIF-LLVKDONJSA-N 0 0 442.498 -0.097 20 0 IBADRN CCOC(=O)c1cnn(CCOC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)c1N ZINC000751838558 1072879749 /nfs/dbraw/zinc/87/97/49/1072879749.db2.gz IEZIDGOOEHPHIF-NSHDSACASA-N 0 0 442.498 -0.097 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1C ZINC000751839090 1072880372 /nfs/dbraw/zinc/88/03/72/1072880372.db2.gz ZWIVSCGQHUDOHK-UHFFFAOYSA-N 0 0 435.524 -0.495 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000751839393 1072880327 /nfs/dbraw/zinc/88/03/27/1072880327.db2.gz LCLYMAYATUNXKC-GFCCVEGCSA-N 0 0 441.553 -0.533 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000751839399 1072880321 /nfs/dbraw/zinc/88/03/21/1072880321.db2.gz LCLYMAYATUNXKC-LBPRGKRZSA-N 0 0 441.553 -0.533 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000751840017 1072880433 /nfs/dbraw/zinc/88/04/33/1072880433.db2.gz QDNZECBVHSFTQX-UHFFFAOYSA-N 0 0 449.489 -0.055 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCCN1C(=O)c2ccncc2C1=O ZINC000751848240 1072880385 /nfs/dbraw/zinc/88/03/85/1072880385.db2.gz LAXJSXIEIYVRKX-LLVKDONJSA-N 0 0 435.462 -0.062 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCCN1C(=O)c2ccncc2C1=O ZINC000751848241 1072880353 /nfs/dbraw/zinc/88/03/53/1072880353.db2.gz LAXJSXIEIYVRKX-NSHDSACASA-N 0 0 435.462 -0.062 20 0 IBADRN Cn1c2cc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)ccc2[nH]c1=O ZINC000751860750 1072880409 /nfs/dbraw/zinc/88/04/09/1072880409.db2.gz ACAYTAREAZDJGE-AWEZNQCLSA-N 0 0 436.490 -0.233 20 0 IBADRN Cn1c2cc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)ccc2[nH]c1=O ZINC000751860793 1072880333 /nfs/dbraw/zinc/88/03/33/1072880333.db2.gz ACAYTAREAZDJGE-CQSZACIVSA-N 0 0 436.490 -0.233 20 0 IBADRN Cc1nc(N2CCN(CC[S@@](C)=O)CC2)cc(N2CCN(CC[S@@](C)=O)CC2)n1 ZINC000751894503 1072880286 /nfs/dbraw/zinc/88/02/86/1072880286.db2.gz QJWKUBGMFRUYLM-FQLXRVMXSA-N 0 0 442.655 -0.214 20 0 IBADRN Cc1nc(N2CCN(CC[S@](C)=O)CC2)cc(N2CCN(CC[S@@](C)=O)CC2)n1 ZINC000751894512 1072880885 /nfs/dbraw/zinc/88/08/85/1072880885.db2.gz QJWKUBGMFRUYLM-ISILISOKSA-N 0 0 442.655 -0.214 20 0 IBADRN Cc1nc(N2CCN(CC[S@](C)=O)CC2)cc(N2CCN(CC[S@](C)=O)CC2)n1 ZINC000751894513 1072880811 /nfs/dbraw/zinc/88/08/11/1072880811.db2.gz QJWKUBGMFRUYLM-VMPREFPWSA-N 0 0 442.655 -0.214 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=S)NCCNS(C)(=O)=O)c1 ZINC000751917599 1072880798 /nfs/dbraw/zinc/88/07/98/1072880798.db2.gz KZIHOBMIUYKPTI-UHFFFAOYSA-N 0 0 429.528 -0.465 20 0 IBADRN CN(CCN1CCCS1(=O)=O)Cn1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O ZINC000751933769 1072880744 /nfs/dbraw/zinc/88/07/44/1072880744.db2.gz CAQZJSGWONVHJU-UHFFFAOYSA-N 0 0 432.524 -0.230 20 0 IBADRN COc1ccc(CCN2C(=O)C(=O)N(CN(C)CCN3CCCS3(=O)=O)C2=O)cc1 ZINC000751934468 1072880669 /nfs/dbraw/zinc/88/06/69/1072880669.db2.gz OEVUQFYRWFLRRQ-UHFFFAOYSA-N 0 0 438.506 -0.047 20 0 IBADRN CN(C)C(=O)COC1CN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)C1 ZINC000751943731 1072880710 /nfs/dbraw/zinc/88/07/10/1072880710.db2.gz KNTHFTMGBAZQNP-IBGZPJMESA-N 0 0 438.506 -0.396 20 0 IBADRN CN(C)C(=O)COC1CN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)C1 ZINC000751943733 1072880820 /nfs/dbraw/zinc/88/08/20/1072880820.db2.gz KNTHFTMGBAZQNP-LJQANCHMSA-N 0 0 438.506 -0.396 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CC(OCC(=O)N(C)C)C2)c1=O ZINC000751944574 1072880689 /nfs/dbraw/zinc/88/06/89/1072880689.db2.gz VFRBADQAERLQAT-UHFFFAOYSA-N 0 0 439.538 -0.180 20 0 IBADRN COCCOCCS(=O)(=O)Oc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000751967727 1072880750 /nfs/dbraw/zinc/88/07/50/1072880750.db2.gz JUUNZPYQSBLCAM-UHFFFAOYSA-N 0 0 436.508 -0.058 20 0 IBADRN COC(=O)[C@]1(F)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000751976889 1072880763 /nfs/dbraw/zinc/88/07/63/1072880763.db2.gz JXTDBDMWRGLKLR-INIZCTEOSA-N 0 0 436.483 -0.017 20 0 IBADRN COC(=O)[C@@]1(F)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000751976955 1072880721 /nfs/dbraw/zinc/88/07/21/1072880721.db2.gz JXTDBDMWRGLKLR-MRXNPFEDSA-N 0 0 436.483 -0.017 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)ccc1OC ZINC000751982298 1072880699 /nfs/dbraw/zinc/88/06/99/1072880699.db2.gz KMILDTZDROBVII-UHFFFAOYSA-N 0 0 449.551 -0.338 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)ccc2O1 ZINC000751982585 1072880776 /nfs/dbraw/zinc/88/07/76/1072880776.db2.gz OZZNZZFEOCATTO-INIZCTEOSA-N 0 0 448.519 -0.507 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)ccc2O1 ZINC000751982589 1072880654 /nfs/dbraw/zinc/88/06/54/1072880654.db2.gz OZZNZZFEOCATTO-MRXNPFEDSA-N 0 0 448.519 -0.507 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C[C@@H](C(=O)OCC)O3)CC1 ZINC000751998259 1072881201 /nfs/dbraw/zinc/88/12/01/1072881201.db2.gz OISCPBCHXCWYDK-INIZCTEOSA-N 0 0 440.474 -0.051 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C[C@H](C(=O)OCC)O3)CC1 ZINC000751998280 1072881140 /nfs/dbraw/zinc/88/11/40/1072881140.db2.gz OISCPBCHXCWYDK-MRXNPFEDSA-N 0 0 440.474 -0.051 20 0 IBADRN COc1cc(NC(=O)CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc(OC)c1 ZINC000752008878 1072881288 /nfs/dbraw/zinc/88/12/88/1072881288.db2.gz AGMHXAXZMQEOLJ-UHFFFAOYSA-N 0 0 430.483 -0.397 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000752009167 1072881232 /nfs/dbraw/zinc/88/12/32/1072881232.db2.gz DYIIGFMVERLCAB-UHFFFAOYSA-N 0 0 447.558 -0.257 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N(C)Cc1nnnn1-c1cc(OC)cc(OC)c1 ZINC000752010523 1072881346 /nfs/dbraw/zinc/88/13/46/1072881346.db2.gz QHAJIASMPCKXFJ-UHFFFAOYSA-N 0 0 427.487 -0.240 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000752011212 1072881309 /nfs/dbraw/zinc/88/13/09/1072881309.db2.gz FPIMWAYMJXGTEK-HNNXBMFYSA-N 0 0 425.463 -0.190 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1CCC(=O)N1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000752011809 1072881297 /nfs/dbraw/zinc/88/12/97/1072881297.db2.gz CORSBXHVGJNZTL-LBPRGKRZSA-N 0 0 439.469 -0.670 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000752013693 1072881219 /nfs/dbraw/zinc/88/12/19/1072881219.db2.gz GNXUUTKOSAXGBG-UHFFFAOYSA-N 0 0 432.515 -0.072 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000752014406 1072881263 /nfs/dbraw/zinc/88/12/63/1072881263.db2.gz SKPQOVFFNPAFEW-HNNXBMFYSA-N 0 0 425.463 -0.190 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000752015379 1072881250 /nfs/dbraw/zinc/88/12/50/1072881250.db2.gz KKGJYWNRCWACNW-AWEZNQCLSA-N 0 0 445.444 -0.038 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000752016790 1072881210 /nfs/dbraw/zinc/88/12/10/1072881210.db2.gz RGGPZUFUVCAORB-ZDUSSCGKSA-N 0 0 428.467 -0.712 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC000752024617 1072881240 /nfs/dbraw/zinc/88/12/40/1072881240.db2.gz UHRZPZZXFWUWHS-KBXCAEBGSA-N 0 0 444.558 -0.379 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC000752024624 1072881276 /nfs/dbraw/zinc/88/12/76/1072881276.db2.gz UHRZPZZXFWUWHS-KDOFPFPSSA-N 0 0 444.558 -0.379 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC000752024625 1072881169 /nfs/dbraw/zinc/88/11/69/1072881169.db2.gz UHRZPZZXFWUWHS-KSSFIOAISA-N 0 0 444.558 -0.379 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC000752024626 1072881333 /nfs/dbraw/zinc/88/13/33/1072881333.db2.gz UHRZPZZXFWUWHS-RDTXWAMCSA-N 0 0 444.558 -0.379 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCCOC)CC1 ZINC000752025889 1072881352 /nfs/dbraw/zinc/88/13/52/1072881352.db2.gz IRSHNCQMBZVNIQ-KRWDZBQOSA-N 0 0 442.538 -0.031 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000752027928 1072881659 /nfs/dbraw/zinc/88/16/59/1072881659.db2.gz FXNFMHKCYVQDHA-HNNXBMFYSA-N 0 0 427.454 -0.177 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000752028719 1072881801 /nfs/dbraw/zinc/88/18/01/1072881801.db2.gz IHNQQMLSHUGGQR-HNNXBMFYSA-N 0 0 439.490 -0.512 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000752028840 1072881323 /nfs/dbraw/zinc/88/13/23/1072881323.db2.gz JVFBHKPQEFYGIO-INIZCTEOSA-N 0 0 439.490 -0.512 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3CCC(=O)N3C)CC2)cc1 ZINC000752030175 1072881777 /nfs/dbraw/zinc/88/17/77/1072881777.db2.gz OTWBMTKMACUNOX-INIZCTEOSA-N 0 0 439.490 -0.308 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000752030400 1072881648 /nfs/dbraw/zinc/88/16/48/1072881648.db2.gz HCGCQLOVKWAFAA-HNNXBMFYSA-N 0 0 427.454 -0.177 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000752031109 1072881530 /nfs/dbraw/zinc/88/15/30/1072881530.db2.gz VCOHWCXCWRDVJF-AWEZNQCLSA-N 0 0 427.454 -0.177 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000752031811 1072881621 /nfs/dbraw/zinc/88/16/21/1072881621.db2.gz YZUJUAQDDPNDEU-WMLDXEAASA-N 0 0 431.445 -0.339 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000752031813 1072881735 /nfs/dbraw/zinc/88/17/35/1072881735.db2.gz YZUJUAQDDPNDEU-YOEHRIQHSA-N 0 0 431.445 -0.339 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000752037095 1072881674 /nfs/dbraw/zinc/88/16/74/1072881674.db2.gz YLLBUODEYCEMTK-GFCCVEGCSA-N 0 0 441.553 -0.509 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC000752037098 1072881698 /nfs/dbraw/zinc/88/16/98/1072881698.db2.gz YLLBUODEYCEMTK-LBPRGKRZSA-N 0 0 441.553 -0.509 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1=O ZINC000752041140 1072881726 /nfs/dbraw/zinc/88/17/26/1072881726.db2.gz FILYBAOICGQDGT-RBZFPXEDSA-N 0 0 437.497 -0.098 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1=O ZINC000752041142 1072881746 /nfs/dbraw/zinc/88/17/46/1072881746.db2.gz FILYBAOICGQDGT-RNODOKPDSA-N 0 0 437.497 -0.098 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1=O ZINC000752041144 1072881549 /nfs/dbraw/zinc/88/15/49/1072881549.db2.gz FILYBAOICGQDGT-XCLFUZPHSA-N 0 0 437.497 -0.098 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1=O ZINC000752041145 1072881768 /nfs/dbraw/zinc/88/17/68/1072881768.db2.gz FILYBAOICGQDGT-ZUOKHONESA-N 0 0 437.497 -0.098 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CN3C(=O)N[C@]4(CCc5ccccc54)C3=O)CCN2C1=O ZINC000752062108 1072881635 /nfs/dbraw/zinc/88/16/35/1072881635.db2.gz NMEVYWXTMGBUAG-BTYIYWSLSA-N 0 0 425.445 -0.125 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CN3C(=O)N[C@@]4(CCc5ccccc54)C3=O)CCN2C1=O ZINC000752062127 1072881757 /nfs/dbraw/zinc/88/17/57/1072881757.db2.gz NMEVYWXTMGBUAG-QVKFZJNVSA-N 0 0 425.445 -0.125 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CN3C(=O)N[C@]4(CCc5ccccc54)C3=O)CCN2C1=O ZINC000752062128 1072881565 /nfs/dbraw/zinc/88/15/65/1072881565.db2.gz NMEVYWXTMGBUAG-VFNWGFHPSA-N 0 0 425.445 -0.125 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CN3C(=O)N[C@@]4(CCc5ccccc54)C3=O)CCN2C1=O ZINC000752062129 1072882039 /nfs/dbraw/zinc/88/20/39/1072882039.db2.gz NMEVYWXTMGBUAG-YCRPNKLZSA-N 0 0 425.445 -0.125 20 0 IBADRN COC(=O)[C@]1(F)CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000752082769 1072882054 /nfs/dbraw/zinc/88/20/54/1072882054.db2.gz IERBOXSNJCULOU-KRWDZBQOSA-N 0 0 432.474 -0.289 20 0 IBADRN COC(=O)[C@@]1(F)CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000752082778 1072882219 /nfs/dbraw/zinc/88/22/19/1072882219.db2.gz IERBOXSNJCULOU-QGZVFWFLSA-N 0 0 432.474 -0.289 20 0 IBADRN COCCN(C(=O)CN1CC[C@@](F)(C(=O)OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000752088753 1072882016 /nfs/dbraw/zinc/88/20/16/1072882016.db2.gz MBYPCYJFEAWZCS-IBGZPJMESA-N 0 0 443.476 -0.247 20 0 IBADRN COCCN(C(=O)CN1CC[C@](F)(C(=O)OC)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000752088760 1072882030 /nfs/dbraw/zinc/88/20/30/1072882030.db2.gz MBYPCYJFEAWZCS-LJQANCHMSA-N 0 0 443.476 -0.247 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000752112931 1072882265 /nfs/dbraw/zinc/88/22/65/1072882265.db2.gz FXDRLLXQFWIKBQ-GOSISDBHSA-N 0 0 443.453 -0.240 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000752112963 1072882154 /nfs/dbraw/zinc/88/21/54/1072882154.db2.gz FXDRLLXQFWIKBQ-SFHVURJKSA-N 0 0 443.453 -0.240 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000752113076 1072882207 /nfs/dbraw/zinc/88/22/07/1072882207.db2.gz GJBBVWSWZGSFPC-UHFFFAOYSA-N 0 0 447.535 -0.458 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CCN2CCCS2(=O)=O)cc1 ZINC000752113888 1072882144 /nfs/dbraw/zinc/88/21/44/1072882144.db2.gz JAMSFRFKAQTKOL-UHFFFAOYSA-N 0 0 448.523 -0.699 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1 ZINC000752115528 1072882254 /nfs/dbraw/zinc/88/22/54/1072882254.db2.gz JQZVTGUWEIVVIS-GOSISDBHSA-N 0 0 427.454 -0.027 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)C1 ZINC000752115529 1072882133 /nfs/dbraw/zinc/88/21/33/1072882133.db2.gz JQZVTGUWEIVVIS-SFHVURJKSA-N 0 0 427.454 -0.027 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C1 ZINC000752115725 1072882246 /nfs/dbraw/zinc/88/22/46/1072882246.db2.gz KVKQGSVTBRLEQO-GOSISDBHSA-N 0 0 427.454 -0.060 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C1 ZINC000752115729 1072882167 /nfs/dbraw/zinc/88/21/67/1072882167.db2.gz KVKQGSVTBRLEQO-SFHVURJKSA-N 0 0 427.454 -0.060 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000752116359 1072882655 /nfs/dbraw/zinc/88/26/55/1072882655.db2.gz MRXGROHHKLHFEO-KRWDZBQOSA-N 0 0 431.442 -0.002 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)C1 ZINC000752116361 1072882704 /nfs/dbraw/zinc/88/27/04/1072882704.db2.gz MRXGROHHKLHFEO-QGZVFWFLSA-N 0 0 431.442 -0.002 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000752116450 1072882454 /nfs/dbraw/zinc/88/24/54/1072882454.db2.gz NJLJCWIXSZBOKG-GOSISDBHSA-N 0 0 443.453 -0.240 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000752116452 1072882440 /nfs/dbraw/zinc/88/24/40/1072882440.db2.gz NJLJCWIXSZBOKG-SFHVURJKSA-N 0 0 443.453 -0.240 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@](F)(C(=O)OC)C1)CC2 ZINC000752116663 1072882087 /nfs/dbraw/zinc/88/20/87/1072882087.db2.gz SWKLQVJUIBBUOM-GOSISDBHSA-N 0 0 427.454 -0.013 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@](F)(C(=O)OC)C1)CC2 ZINC000752116665 1072882274 /nfs/dbraw/zinc/88/22/74/1072882274.db2.gz SWKLQVJUIBBUOM-SFHVURJKSA-N 0 0 427.454 -0.013 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@](F)(C(=O)OC)C2)cc1 ZINC000752116675 1072882101 /nfs/dbraw/zinc/88/21/01/1072882101.db2.gz SYWCGHKXOHTAFR-KRWDZBQOSA-N 0 0 431.442 -0.337 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@](F)(C(=O)OC)C2)cc1 ZINC000752116677 1072882591 /nfs/dbraw/zinc/88/25/91/1072882591.db2.gz SYWCGHKXOHTAFR-QGZVFWFLSA-N 0 0 431.442 -0.337 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000752117294 1072882695 /nfs/dbraw/zinc/88/26/95/1072882695.db2.gz QBHMGCORAGZKGF-FQEVSTJZSA-N 0 0 437.424 -0.024 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)C1 ZINC000752117295 1072882572 /nfs/dbraw/zinc/88/25/72/1072882572.db2.gz QBHMGCORAGZKGF-HXUWFJFHSA-N 0 0 437.424 -0.024 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC2(CNC(=O)C2)CC1 ZINC000752118781 1072882464 /nfs/dbraw/zinc/88/24/64/1072882464.db2.gz UGYQNFLZZONJJN-UHFFFAOYSA-N 0 0 434.518 -0.045 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000752119163 1072882493 /nfs/dbraw/zinc/88/24/93/1072882493.db2.gz IGSLOFMPHLRIGZ-DTORHVGOSA-N 0 0 426.369 -0.119 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000752119725 1072882554 /nfs/dbraw/zinc/88/25/54/1072882554.db2.gz ZBQTZDOXDOCYNC-HRAATJIYSA-N 0 0 449.435 -0.247 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000752119726 1072882686 /nfs/dbraw/zinc/88/26/86/1072882686.db2.gz ZBQTZDOXDOCYNC-IERDGZPVSA-N 0 0 449.435 -0.247 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000752119727 1072882668 /nfs/dbraw/zinc/88/26/68/1072882668.db2.gz ZBQTZDOXDOCYNC-IIBYNOLFSA-N 0 0 449.435 -0.247 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000752119728 1072882520 /nfs/dbraw/zinc/88/25/20/1072882520.db2.gz ZBQTZDOXDOCYNC-KKSFZXQISA-N 0 0 449.435 -0.247 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCc3ccc(C(N)=O)o3)cc2)CC1 ZINC000752120602 1072882424 /nfs/dbraw/zinc/88/24/24/1072882424.db2.gz NVTOQLLVXZAEKA-UHFFFAOYSA-N 0 0 443.460 -0.072 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000752121346 1072882507 /nfs/dbraw/zinc/88/25/07/1072882507.db2.gz TXHYABYYORNXRF-GASCZTMLSA-N 0 0 438.506 -0.170 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1)C(=O)N1C[C@H](O)C[C@H](O)C1 ZINC000752122807 1072882532 /nfs/dbraw/zinc/88/25/32/1072882532.db2.gz ZDUJQJWUJYQUQA-GASCZTMLSA-N 0 0 438.506 -0.170 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC1(CS(C)(=O)=O)CC1 ZINC000752127474 1072882479 /nfs/dbraw/zinc/88/24/79/1072882479.db2.gz DOUWMMPFRJMWRK-UHFFFAOYSA-N 0 0 443.547 -0.233 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2c[nH]nc2-c2ccc3c(c2)OCO3)c(=O)n(C)c1=O ZINC000752134193 1072882625 /nfs/dbraw/zinc/88/26/25/1072882625.db2.gz NGZVVVHDLKWBBW-UHFFFAOYSA-N 0 0 427.373 -0.175 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000752135549 1072882970 /nfs/dbraw/zinc/88/29/70/1072882970.db2.gz BDLMHVLZZYOPGS-LLVKDONJSA-N 0 0 447.464 -0.450 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CCO1 ZINC000752135811 1072882957 /nfs/dbraw/zinc/88/29/57/1072882957.db2.gz QCIULLDSZRVLFZ-HNNXBMFYSA-N 0 0 428.463 -0.161 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CCO1 ZINC000752135812 1072883175 /nfs/dbraw/zinc/88/31/75/1072883175.db2.gz QCIULLDSZRVLFZ-OAHLLOKOSA-N 0 0 428.463 -0.161 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CCO1 ZINC000752135855 1072882638 /nfs/dbraw/zinc/88/26/38/1072882638.db2.gz QUDHRBNEHCVJRH-CYBMUJFWSA-N 0 0 429.451 -0.403 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CCO1 ZINC000752135857 1072882602 /nfs/dbraw/zinc/88/26/02/1072882602.db2.gz QUDHRBNEHCVJRH-ZDUSSCGKSA-N 0 0 429.451 -0.403 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CCO1 ZINC000752136566 1072882929 /nfs/dbraw/zinc/88/29/29/1072882929.db2.gz SICMLLYXPLODNA-AWEZNQCLSA-N 0 0 439.490 -0.384 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CCO1 ZINC000752136570 1072882941 /nfs/dbraw/zinc/88/29/41/1072882941.db2.gz SICMLLYXPLODNA-CQSZACIVSA-N 0 0 439.490 -0.384 20 0 IBADRN CCn1nc2c(cc1=O)CN(C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1)CC2 ZINC000752136843 1072883186 /nfs/dbraw/zinc/88/31/86/1072883186.db2.gz OUIVIVPNRHCPGX-UHFFFAOYSA-N 0 0 449.489 -0.032 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000752141537 1072883022 /nfs/dbraw/zinc/88/30/22/1072883022.db2.gz KAYJKQZFLDSQBU-GFCCVEGCSA-N 0 0 444.897 -0.062 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000752141538 1072883147 /nfs/dbraw/zinc/88/31/47/1072883147.db2.gz KAYJKQZFLDSQBU-LBPRGKRZSA-N 0 0 444.897 -0.062 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H](O)c1cccc(F)c1 ZINC000752143942 1072882917 /nfs/dbraw/zinc/88/29/17/1072882917.db2.gz SCSVLTRNCDIPNP-BMIGLBTASA-N 0 0 429.408 -0.052 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@H](O)c1cccc(F)c1 ZINC000752143974 1072882984 /nfs/dbraw/zinc/88/29/84/1072882984.db2.gz SCSVLTRNCDIPNP-BONVTDFDSA-N 0 0 429.408 -0.052 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H](O)c1cccc(F)c1 ZINC000752143976 1072883075 /nfs/dbraw/zinc/88/30/75/1072883075.db2.gz SCSVLTRNCDIPNP-MEBBXXQBSA-N 0 0 429.408 -0.052 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)[C@@H](O)c1cccc(F)c1 ZINC000752143978 1072882902 /nfs/dbraw/zinc/88/29/02/1072882902.db2.gz SCSVLTRNCDIPNP-ZUZCIYMTSA-N 0 0 429.408 -0.052 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@H]4C3)cc2)CC1 ZINC000752144198 1072883004 /nfs/dbraw/zinc/88/30/04/1072883004.db2.gz XHHWZRJZPFADIL-KRWDZBQOSA-N 0 0 444.492 -0.844 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@@H]4C3)cc2)CC1 ZINC000752144210 1072883047 /nfs/dbraw/zinc/88/30/47/1072883047.db2.gz XHHWZRJZPFADIL-QGZVFWFLSA-N 0 0 444.492 -0.844 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000752246189 1072883483 /nfs/dbraw/zinc/88/34/83/1072883483.db2.gz XDJIGNNIHIGZGX-HNNXBMFYSA-N 0 0 445.538 -0.272 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000752246192 1072883540 /nfs/dbraw/zinc/88/35/40/1072883540.db2.gz XDJIGNNIHIGZGX-OAHLLOKOSA-N 0 0 445.538 -0.272 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)n1 ZINC000752252849 1072883566 /nfs/dbraw/zinc/88/35/66/1072883566.db2.gz GAFRWDIYVDKFBO-LLVKDONJSA-N 0 0 436.537 -0.055 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)n1 ZINC000752252851 1072883549 /nfs/dbraw/zinc/88/35/49/1072883549.db2.gz GAFRWDIYVDKFBO-NSHDSACASA-N 0 0 436.537 -0.055 20 0 IBADRN Cn1cc(/C=C/C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)c(=O)n(C)c1=O ZINC000752258366 1072883530 /nfs/dbraw/zinc/88/35/30/1072883530.db2.gz ZKLYWXZWOAOSPI-HWKANZROSA-N 0 0 432.911 -0.093 20 0 IBADRN Cn1cc(/C=C\C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)c(=O)n(C)c1=O ZINC000752258367 1072883432 /nfs/dbraw/zinc/88/34/32/1072883432.db2.gz ZKLYWXZWOAOSPI-HYXAFXHYSA-N 0 0 432.911 -0.093 20 0 IBADRN NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000752258459 1072883392 /nfs/dbraw/zinc/88/33/92/1072883392.db2.gz DMYACCXVDPTTHB-UHFFFAOYSA-N 0 0 448.904 -0.257 20 0 IBADRN NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000752286275 1072883560 /nfs/dbraw/zinc/88/35/60/1072883560.db2.gz MZUCZBKBJDPCRY-UHFFFAOYSA-N 0 0 432.861 -0.311 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000752287235 1072883462 /nfs/dbraw/zinc/88/34/62/1072883462.db2.gz GGAKJWQDFLKVRV-KRWDZBQOSA-N 0 0 432.502 -0.026 20 0 IBADRN C[C@H](C(=O)NCCS(=O)(=O)N1CCOCC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000752296528 1072883354 /nfs/dbraw/zinc/88/33/54/1072883354.db2.gz LZVTWXNAZYENSI-AWEZNQCLSA-N 0 0 433.552 -0.181 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)N1CCOCC1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000752296552 1072883444 /nfs/dbraw/zinc/88/34/44/1072883444.db2.gz LZVTWXNAZYENSI-CQSZACIVSA-N 0 0 433.552 -0.181 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)c1 ZINC000752297629 1073590287 /nfs/dbraw/zinc/59/02/87/1073590287.db2.gz UKABYRNEXAGXEX-AWEZNQCLSA-N 0 0 441.506 -0.165 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)c1 ZINC000752297630 1073590121 /nfs/dbraw/zinc/59/01/21/1073590121.db2.gz UKABYRNEXAGXEX-CQSZACIVSA-N 0 0 441.506 -0.165 20 0 IBADRN O=C(COC(=O)c1ccccc1-n1ccnn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000752304343 1072883376 /nfs/dbraw/zinc/88/33/76/1072883376.db2.gz QWDRRBCETFGAOL-HNNXBMFYSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1ccccc1-n1ccnn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000752304353 1072883476 /nfs/dbraw/zinc/88/34/76/1072883476.db2.gz QWDRRBCETFGAOL-OAHLLOKOSA-N 0 0 433.490 -0.245 20 0 IBADRN COC(=O)[C@]1(F)CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000752306366 1072884014 /nfs/dbraw/zinc/88/40/14/1072884014.db2.gz NGPZLTFFOXUQCG-IBGZPJMESA-N 0 0 443.497 -0.089 20 0 IBADRN COC(=O)[C@@]1(F)CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000752306367 1072883937 /nfs/dbraw/zinc/88/39/37/1072883937.db2.gz NGPZLTFFOXUQCG-LJQANCHMSA-N 0 0 443.497 -0.089 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)CCN2CCCS2(=O)=O)C1=O ZINC000752306602 1072883962 /nfs/dbraw/zinc/88/39/62/1072883962.db2.gz SOAPXCBRCNWIKG-IBGZPJMESA-N 0 0 437.522 -0.158 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)CCN2CCCS2(=O)=O)C1=O ZINC000752306613 1072883788 /nfs/dbraw/zinc/88/37/88/1072883788.db2.gz SOAPXCBRCNWIKG-LJQANCHMSA-N 0 0 437.522 -0.158 20 0 IBADRN COC(=O)[C@]1(F)CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000752307598 1072883880 /nfs/dbraw/zinc/88/38/80/1072883880.db2.gz CFDHCYCOSRASTL-IBGZPJMESA-N 0 0 443.497 -0.089 20 0 IBADRN COC(=O)[C@@]1(F)CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000752307603 1072883756 /nfs/dbraw/zinc/88/37/56/1072883756.db2.gz CFDHCYCOSRASTL-LJQANCHMSA-N 0 0 443.497 -0.089 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000752331626 1072883894 /nfs/dbraw/zinc/88/38/94/1072883894.db2.gz HEXLPGRFSYZANA-BXIWPEDZSA-N 0 0 433.534 -0.159 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000752331631 1072883987 /nfs/dbraw/zinc/88/39/87/1072883987.db2.gz HEXLPGRFSYZANA-LGWVBRRBSA-N 0 0 433.534 -0.159 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000752331634 1072883918 /nfs/dbraw/zinc/88/39/18/1072883918.db2.gz HEXLPGRFSYZANA-QPEODSHDSA-N 0 0 433.534 -0.159 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000752331637 1072883928 /nfs/dbraw/zinc/88/39/28/1072883928.db2.gz HEXLPGRFSYZANA-UNIWTGHVSA-N 0 0 433.534 -0.159 20 0 IBADRN CN(C)c1ncc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000752331799 1072883976 /nfs/dbraw/zinc/88/39/76/1072883976.db2.gz BOSIVCHKEUWXQF-CYBMUJFWSA-N 0 0 432.528 -0.791 20 0 IBADRN CN(C)c1ncc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000752331804 1072884004 /nfs/dbraw/zinc/88/40/04/1072884004.db2.gz BOSIVCHKEUWXQF-ZDUSSCGKSA-N 0 0 432.528 -0.791 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000752332315 1072883830 /nfs/dbraw/zinc/88/38/30/1072883830.db2.gz OIGCCUAGXZBINY-GFKDLEGOSA-N 0 0 430.490 -0.057 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@H]2C1)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000752332318 1072883771 /nfs/dbraw/zinc/88/37/71/1072883771.db2.gz OIGCCUAGXZBINY-QDECMSLZSA-N 0 0 430.490 -0.057 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000752332321 1072883949 /nfs/dbraw/zinc/88/39/49/1072883949.db2.gz OIGCCUAGXZBINY-QVTJDRNWSA-N 0 0 430.490 -0.057 20 0 IBADRN O=C(CN1CCN2C(=O)NC[C@@H]2C1)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000752332324 1072883867 /nfs/dbraw/zinc/88/38/67/1072883867.db2.gz OIGCCUAGXZBINY-VLHYRRCOSA-N 0 0 430.490 -0.057 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCO1 ZINC000752335309 1072883854 /nfs/dbraw/zinc/88/38/54/1072883854.db2.gz DOFGXWMNAPLNGH-FOIQADDNSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCO1 ZINC000752335310 1072883817 /nfs/dbraw/zinc/88/38/17/1072883817.db2.gz DOFGXWMNAPLNGH-MGPUTAFESA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCO1 ZINC000752335311 1072883906 /nfs/dbraw/zinc/88/39/06/1072883906.db2.gz DOFGXWMNAPLNGH-QRWLVFNGSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCO1 ZINC000752335312 1072883842 /nfs/dbraw/zinc/88/38/42/1072883842.db2.gz DOFGXWMNAPLNGH-YWZLYKJASA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CCO1 ZINC000752337946 1072884344 /nfs/dbraw/zinc/88/43/44/1072884344.db2.gz MPJZIUHBFUTSEI-FOIQADDNSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CCO1 ZINC000752337947 1072884425 /nfs/dbraw/zinc/88/44/25/1072884425.db2.gz MPJZIUHBFUTSEI-MGPUTAFESA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CCO1 ZINC000752337949 1072884404 /nfs/dbraw/zinc/88/44/04/1072884404.db2.gz MPJZIUHBFUTSEI-QRWLVFNGSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CCO1 ZINC000752337951 1072884479 /nfs/dbraw/zinc/88/44/79/1072884479.db2.gz MPJZIUHBFUTSEI-YWZLYKJASA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)NN3C(=O)N[C@@](CC)(c4ccccc4)C3=O)CCN2C1=O ZINC000752339617 1072884452 /nfs/dbraw/zinc/88/44/52/1072884452.db2.gz XSJPRPQOTAYZNI-BTYIYWSLSA-N 0 0 442.476 -0.157 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)NN3C(=O)N[C@](CC)(c4ccccc4)C3=O)CCN2C1=O ZINC000752339618 1072884471 /nfs/dbraw/zinc/88/44/71/1072884471.db2.gz XSJPRPQOTAYZNI-QVKFZJNVSA-N 0 0 442.476 -0.157 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)NN3C(=O)N[C@@](CC)(c4ccccc4)C3=O)CCN2C1=O ZINC000752339621 1072884486 /nfs/dbraw/zinc/88/44/86/1072884486.db2.gz XSJPRPQOTAYZNI-VFNWGFHPSA-N 0 0 442.476 -0.157 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)NN3C(=O)N[C@](CC)(c4ccccc4)C3=O)CCN2C1=O ZINC000752339624 1072884255 /nfs/dbraw/zinc/88/42/55/1072884255.db2.gz XSJPRPQOTAYZNI-YCRPNKLZSA-N 0 0 442.476 -0.157 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000752339636 1072884361 /nfs/dbraw/zinc/88/43/61/1072884361.db2.gz HEXQFQAPFNYDAK-GFCCVEGCSA-N 0 0 431.517 -0.504 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000752339645 1072884462 /nfs/dbraw/zinc/88/44/62/1072884462.db2.gz HEXQFQAPFNYDAK-LBPRGKRZSA-N 0 0 431.517 -0.504 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC000752340349 1072884435 /nfs/dbraw/zinc/88/44/35/1072884435.db2.gz NXCXNPCMUPYUIB-LLVKDONJSA-N 0 0 444.512 -0.156 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC000752340350 1072884416 /nfs/dbraw/zinc/88/44/16/1072884416.db2.gz NXCXNPCMUPYUIB-NSHDSACASA-N 0 0 444.512 -0.156 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000752340372 1072884395 /nfs/dbraw/zinc/88/43/95/1072884395.db2.gz ONBMVYWKJXFAKI-LLVKDONJSA-N 0 0 431.517 -0.504 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000752340373 1072884236 /nfs/dbraw/zinc/88/42/36/1072884236.db2.gz ONBMVYWKJXFAKI-NSHDSACASA-N 0 0 431.517 -0.504 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N(C)CCN3CCCS3(=O)=O)C2=O)cc1 ZINC000752341098 1072884330 /nfs/dbraw/zinc/88/43/30/1072884330.db2.gz JSAYALZMCMKCKV-IBGZPJMESA-N 0 0 438.506 -0.044 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N(C)CCN3CCCS3(=O)=O)C2=O)cc1 ZINC000752341099 1072884380 /nfs/dbraw/zinc/88/43/80/1072884380.db2.gz JSAYALZMCMKCKV-LJQANCHMSA-N 0 0 438.506 -0.044 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000752341587 1073675131 /nfs/dbraw/zinc/67/51/31/1073675131.db2.gz QGQKRHPJULJVQZ-UHFFFAOYSA-N 0 0 449.526 -0.046 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000752341671 1072884389 /nfs/dbraw/zinc/88/43/89/1072884389.db2.gz HUBXSPKVPNYHAH-HZMBPMFUSA-N 0 0 431.517 -0.271 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000752341672 1072884275 /nfs/dbraw/zinc/88/42/75/1072884275.db2.gz HUBXSPKVPNYHAH-IINYFYTJSA-N 0 0 431.517 -0.271 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000752341673 1072885041 /nfs/dbraw/zinc/88/50/41/1072885041.db2.gz HUBXSPKVPNYHAH-QMTHXVAHSA-N 0 0 431.517 -0.271 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000752341674 1072884693 /nfs/dbraw/zinc/88/46/93/1072884693.db2.gz HUBXSPKVPNYHAH-YGRLFVJLSA-N 0 0 431.517 -0.271 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000752343548 1072884978 /nfs/dbraw/zinc/88/49/78/1072884978.db2.gz BRUJDSXWZHONMI-UHFFFAOYSA-N 0 0 447.315 -0.614 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000752344852 1072884967 /nfs/dbraw/zinc/88/49/67/1072884967.db2.gz IUUFEGHMHFYHLL-UHFFFAOYSA-N 0 0 449.526 -0.046 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)C(F)(F)C(F)(F)C(=O)N1CCOC[C@H]1C(=O)OC ZINC000752345087 1072884759 /nfs/dbraw/zinc/88/47/59/1072884759.db2.gz HWCPGTBDQWSZQK-AOOOYVTPSA-N 0 0 444.334 -0.942 20 0 IBADRN COC(=O)[C@H]1COCCN1C(=O)C(F)(F)C(F)(F)C(=O)N1CCOC[C@@H]1C(=O)OC ZINC000752345096 1072884779 /nfs/dbraw/zinc/88/47/79/1072884779.db2.gz HWCPGTBDQWSZQK-NXEZZACHSA-N 0 0 444.334 -0.942 20 0 IBADRN COC(=O)[C@@H]1COCCN1C(=O)C(F)(F)C(F)(F)C(=O)N1CCOC[C@H]1C(=O)OC ZINC000752345098 1072884790 /nfs/dbraw/zinc/88/47/90/1072884790.db2.gz HWCPGTBDQWSZQK-UWVGGRQHSA-N 0 0 444.334 -0.942 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(C)CCN3CCCS3(=O)=O)cc2S1(=O)=O ZINC000752348424 1072884742 /nfs/dbraw/zinc/88/47/42/1072884742.db2.gz SHWHQQWRKLRXEW-UHFFFAOYSA-N 0 0 445.519 -0.415 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000752369701 1072884943 /nfs/dbraw/zinc/88/49/43/1072884943.db2.gz BHCWKLUUZOJNOG-HNNXBMFYSA-N 0 0 428.555 -0.031 20 0 IBADRN CN(CC(C)(C)CN1CCOCC1)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000752369703 1072885002 /nfs/dbraw/zinc/88/50/02/1072885002.db2.gz BHCWKLUUZOJNOG-OAHLLOKOSA-N 0 0 428.555 -0.031 20 0 IBADRN COc1c2cnn(C)c2ncc1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000752370281 1072884847 /nfs/dbraw/zinc/88/48/47/1072884847.db2.gz BPMXSBKVVZRFPO-UHFFFAOYSA-N 0 0 427.421 -0.029 20 0 IBADRN CN(C)c1ncc(NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000752396402 1072884990 /nfs/dbraw/zinc/88/49/90/1072884990.db2.gz LAULIJQQVJDRBD-UHFFFAOYSA-N 0 0 435.485 -0.323 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000752398351 1072884669 /nfs/dbraw/zinc/88/46/69/1072884669.db2.gz HGZVMZCBIJSZOQ-UHFFFAOYSA-N 0 0 428.486 -0.650 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000752398926 1072884708 /nfs/dbraw/zinc/88/47/08/1072884708.db2.gz MLCSQTQRAHTNAW-UHFFFAOYSA-N 0 0 428.486 -0.650 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c(S(=O)(=O)NC)c1 ZINC000752401174 1072884804 /nfs/dbraw/zinc/88/48/04/1072884804.db2.gz YNRVFHWKRXYLBH-GFCCVEGCSA-N 0 0 435.499 -0.501 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c(S(=O)(=O)NC)c1 ZINC000752401176 1072884889 /nfs/dbraw/zinc/88/48/89/1072884889.db2.gz YNRVFHWKRXYLBH-LBPRGKRZSA-N 0 0 435.499 -0.501 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000752404652 1072885015 /nfs/dbraw/zinc/88/50/15/1072885015.db2.gz KXESJNSURIUDRY-UHFFFAOYSA-N 0 0 440.453 -0.833 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1F ZINC000752405656 1072884918 /nfs/dbraw/zinc/88/49/18/1072884918.db2.gz QSZWCCSXAJOZCO-UHFFFAOYSA-N 0 0 426.470 -0.359 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000752412574 1072885199 /nfs/dbraw/zinc/88/51/99/1072885199.db2.gz VIVLZXZJBGUUEC-HNNXBMFYSA-N 0 0 444.554 -0.699 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000752412577 1072885399 /nfs/dbraw/zinc/88/53/99/1072885399.db2.gz VIVLZXZJBGUUEC-OAHLLOKOSA-N 0 0 444.554 -0.699 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCSCC2)ccc1F ZINC000752414761 1072885442 /nfs/dbraw/zinc/88/54/42/1072885442.db2.gz WGIIDPSZBUWWHJ-UHFFFAOYSA-N 0 0 425.529 -0.158 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@]2(O)CCc3ccccc32)cn1)N1CCOCC1 ZINC000752466655 1072885431 /nfs/dbraw/zinc/88/54/31/1072885431.db2.gz LWGZEKYYXUHNSU-NRFANRHFSA-N 0 0 427.461 -0.369 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@]2(O)CCc3ccccc32)cn1)N1CCOCC1 ZINC000752466661 1072885343 /nfs/dbraw/zinc/88/53/43/1072885343.db2.gz LWGZEKYYXUHNSU-OAQYLSRUSA-N 0 0 427.461 -0.369 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@]2(O)CCc3ccccc32)n1)N1CCOCC1 ZINC000752467882 1072885301 /nfs/dbraw/zinc/88/53/01/1072885301.db2.gz RVHYUDMWSAJQIW-NRFANRHFSA-N 0 0 427.461 -0.369 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@]2(O)CCc3ccccc32)n1)N1CCOCC1 ZINC000752467884 1072885697 /nfs/dbraw/zinc/88/56/97/1072885697.db2.gz RVHYUDMWSAJQIW-OAQYLSRUSA-N 0 0 427.461 -0.369 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC1(CS(C)(=O)=O)CC1)c2=O ZINC000752472441 1072885870 /nfs/dbraw/zinc/88/58/70/1072885870.db2.gz SFPHFMJVUIVMQG-UHFFFAOYSA-N 0 0 432.300 -0.167 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000752476184 1072885709 /nfs/dbraw/zinc/88/57/09/1072885709.db2.gz OGXKWFRRJRMZMI-UHFFFAOYSA-N 0 0 427.259 -0.792 20 0 IBADRN CC[C@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)N1CCOCC1 ZINC000752482068 1072885988 /nfs/dbraw/zinc/88/59/88/1072885988.db2.gz MLGMYCQWVJJHKY-JTQLQIEISA-N 0 0 441.286 -0.354 20 0 IBADRN CC[C@@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)N1CCOCC1 ZINC000752482073 1072885915 /nfs/dbraw/zinc/88/59/15/1072885915.db2.gz MLGMYCQWVJJHKY-SNVBAGLBSA-N 0 0 441.286 -0.354 20 0 IBADRN CC[C@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O)C(=O)N1CCOCC1 ZINC000752483969 1072885838 /nfs/dbraw/zinc/88/58/38/1072885838.db2.gz RGPWRWVINWAWMJ-HNNXBMFYSA-N 0 0 439.490 -0.155 20 0 IBADRN CC[C@@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O)C(=O)N1CCOCC1 ZINC000752483987 1072885671 /nfs/dbraw/zinc/88/56/71/1072885671.db2.gz RGPWRWVINWAWMJ-OAHLLOKOSA-N 0 0 439.490 -0.155 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCO1 ZINC000752487456 1072885858 /nfs/dbraw/zinc/88/58/58/1072885858.db2.gz FKSLOSLKVMFNBU-SECBINFHSA-N 0 0 428.243 -0.317 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCO1 ZINC000752487457 1072885807 /nfs/dbraw/zinc/88/58/07/1072885807.db2.gz FKSLOSLKVMFNBU-VIFPVBQESA-N 0 0 428.243 -0.317 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000752488925 1072885787 /nfs/dbraw/zinc/88/57/87/1072885787.db2.gz ISNCYGWTHSZAIQ-AWEZNQCLSA-N 0 0 430.527 -0.300 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)NCC(CNC(=O)[C@@H]1CCC(=O)N1C)NC(=O)OC(C)(C)C ZINC000752490845 1072885683 /nfs/dbraw/zinc/88/56/83/1072885683.db2.gz UWJZUHUXBQVPTF-KBPBESRZSA-N 0 0 439.513 -0.646 20 0 IBADRN Cn1c2cc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)ccc2[nH]c1=O ZINC000752493420 1072885929 /nfs/dbraw/zinc/88/59/29/1072885929.db2.gz QVEDBXPQYWEJRE-UHFFFAOYSA-N 0 0 440.460 -0.013 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c1 ZINC000752503944 1072885977 /nfs/dbraw/zinc/88/59/77/1072885977.db2.gz RRERVEHZFNWYPD-SREVYHEPSA-N 0 0 441.448 -0.251 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c1 ZINC000752503945 1072885964 /nfs/dbraw/zinc/88/59/64/1072885964.db2.gz RRERVEHZFNWYPD-VOTSOKGWSA-N 0 0 441.448 -0.251 20 0 IBADRN Cc1cccc(-n2cc(C(=O)COC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)nn2)c1 ZINC000752531652 1072885768 /nfs/dbraw/zinc/88/57/68/1072885768.db2.gz KNGNMQVWQYOGOG-UHFFFAOYSA-N 0 0 437.416 -0.251 20 0 IBADRN Cc1cccc(-n2cc(C(=O)COC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)nn2)c1 ZINC000752533591 1072885745 /nfs/dbraw/zinc/88/57/45/1072885745.db2.gz CQUYSVKCXFKPNW-UHFFFAOYSA-N 0 0 437.416 -0.251 20 0 IBADRN COC(=O)COc1c(C)cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1C ZINC000752566305 1072885652 /nfs/dbraw/zinc/88/56/52/1072885652.db2.gz BXYLWWYCBVVQHY-UHFFFAOYSA-N 0 0 433.417 -0.125 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)ccc1F ZINC000752580052 1072885723 /nfs/dbraw/zinc/88/57/23/1072885723.db2.gz OENQGABAELXDET-UHFFFAOYSA-N 0 0 444.485 -0.877 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)ccc1F ZINC000752584946 1072885892 /nfs/dbraw/zinc/88/58/92/1072885892.db2.gz VRRDIVTUBYPYFN-UHFFFAOYSA-N 0 0 427.454 -0.029 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1F ZINC000752585279 1072885940 /nfs/dbraw/zinc/88/59/40/1072885940.db2.gz XYGJTMGGRBFRHK-UHFFFAOYSA-N 0 0 439.425 -0.662 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000752586246 1072886248 /nfs/dbraw/zinc/88/62/48/1072886248.db2.gz TUWQSPVRYSXHSJ-CMPLNLGQSA-N 0 0 436.483 -0.075 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000752586250 1072886310 /nfs/dbraw/zinc/88/63/10/1072886310.db2.gz TUWQSPVRYSXHSJ-JQWIXIFHSA-N 0 0 436.483 -0.075 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000752586252 1072886485 /nfs/dbraw/zinc/88/64/85/1072886485.db2.gz TUWQSPVRYSXHSJ-PWSUYJOCSA-N 0 0 436.483 -0.075 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000752586253 1072886380 /nfs/dbraw/zinc/88/63/80/1072886380.db2.gz TUWQSPVRYSXHSJ-ZYHUDNBSSA-N 0 0 436.483 -0.075 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(F)c(S(=O)(=O)NC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000752586259 1072886418 /nfs/dbraw/zinc/88/64/18/1072886418.db2.gz UAMADUZKKRSLHA-GFCCVEGCSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(F)c(S(=O)(=O)NC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000752586262 1072886281 /nfs/dbraw/zinc/88/62/81/1072886281.db2.gz UAMADUZKKRSLHA-LBPRGKRZSA-N 0 0 436.483 -0.074 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)ccc1F ZINC000752587086 1072886342 /nfs/dbraw/zinc/88/63/42/1072886342.db2.gz QJDRQFFQROFNID-UHFFFAOYSA-N 0 0 439.487 -0.712 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)ccc1F ZINC000752625763 1072886466 /nfs/dbraw/zinc/88/64/66/1072886466.db2.gz HAOWZLIUXCFVBS-CYBMUJFWSA-N 0 0 437.515 -0.048 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)ccc1F ZINC000752625765 1072886214 /nfs/dbraw/zinc/88/62/14/1072886214.db2.gz HAOWZLIUXCFVBS-ZDUSSCGKSA-N 0 0 437.515 -0.048 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)ccc1F ZINC000752626263 1072886516 /nfs/dbraw/zinc/88/65/16/1072886516.db2.gz KNJDELDIYSAIPW-UHFFFAOYSA-N 0 0 442.498 -0.018 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC000752630545 1072886432 /nfs/dbraw/zinc/88/64/32/1072886432.db2.gz SLZXVXMRRPVFJD-AWEZNQCLSA-N 0 0 428.486 -0.603 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC000752630596 1072886724 /nfs/dbraw/zinc/88/67/24/1072886724.db2.gz SLZXVXMRRPVFJD-CQSZACIVSA-N 0 0 428.486 -0.603 20 0 IBADRN O=C(Cn1cnc2ccccc2c1=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000752634188 1072886794 /nfs/dbraw/zinc/88/67/94/1072886794.db2.gz CFVAWKJQFKKURM-HNNXBMFYSA-N 0 0 448.501 -0.729 20 0 IBADRN O=C(Cn1cnc2ccccc2c1=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000752634191 1072886843 /nfs/dbraw/zinc/88/68/43/1072886843.db2.gz CFVAWKJQFKKURM-OAHLLOKOSA-N 0 0 448.501 -0.729 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cnnn2)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000752634964 1072886900 /nfs/dbraw/zinc/88/69/00/1072886900.db2.gz KCFLEJCACLNUID-INIZCTEOSA-N 0 0 434.478 -0.850 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cnnn2)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000752634971 1072886779 /nfs/dbraw/zinc/88/67/79/1072886779.db2.gz KCFLEJCACLNUID-MRXNPFEDSA-N 0 0 434.478 -0.850 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000752661881 1072886916 /nfs/dbraw/zinc/88/69/16/1072886916.db2.gz VWEXHGTZCSFKFI-KRWDZBQOSA-N 0 0 442.538 -0.679 20 0 IBADRN CC(C)[C@@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1nc(-c2nc[nH]n2)no1 ZINC000752662207 1072886959 /nfs/dbraw/zinc/88/69/59/1072886959.db2.gz YXTXMOINFWGXNF-LLVKDONJSA-N 0 0 428.475 -0.487 20 0 IBADRN CC(C)[C@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1nc(-c2nc[nH]n2)no1 ZINC000752662211 1072886971 /nfs/dbraw/zinc/88/69/71/1072886971.db2.gz YXTXMOINFWGXNF-NSHDSACASA-N 0 0 428.475 -0.487 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NC[C@](C)(O)C[NH+](C)C)ncnc1-2 ZINC000752666736 1072886932 /nfs/dbraw/zinc/88/69/32/1072886932.db2.gz JEILNTMFGCQDGL-HNNXBMFYSA-N 0 0 429.323 -0.477 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NC[C@@](C)(O)C[NH+](C)C)ncnc1-2 ZINC000752666737 1072886946 /nfs/dbraw/zinc/88/69/46/1072886946.db2.gz JEILNTMFGCQDGL-OAHLLOKOSA-N 0 0 429.323 -0.477 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H](CC(N)=O)C3CCOCC3)cc2S1(=O)=O ZINC000752706600 1072886979 /nfs/dbraw/zinc/88/69/79/1072886979.db2.gz FXWJMFVDSRVAIO-HNNXBMFYSA-N 0 0 439.490 -0.122 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H](CC(N)=O)C3CCOCC3)cc2S1(=O)=O ZINC000752706603 1072886883 /nfs/dbraw/zinc/88/68/83/1072886883.db2.gz FXWJMFVDSRVAIO-OAHLLOKOSA-N 0 0 439.490 -0.122 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000752710444 1072886991 /nfs/dbraw/zinc/88/69/91/1072886991.db2.gz XKXMHIZLWOXEBF-GFCCVEGCSA-N 0 0 448.523 -0.224 20 0 IBADRN COC(=O)c1[nH]c(C)cc1NC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000752710450 1072887026 /nfs/dbraw/zinc/88/70/26/1072887026.db2.gz XKXMHIZLWOXEBF-LBPRGKRZSA-N 0 0 448.523 -0.224 20 0 IBADRN CN(CC(=O)NC[C@H]1CCC[C@]12NC(=O)N(C)C2=O)C1=NS(=O)(=O)c2ccccc21 ZINC000752720421 1072887370 /nfs/dbraw/zinc/88/73/70/1072887370.db2.gz AZJOUOCUHZUYGT-BLVKFPJESA-N 0 0 433.490 -0.096 20 0 IBADRN CN(CC(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O)C1=NS(=O)(=O)c2ccccc21 ZINC000752720426 1072887407 /nfs/dbraw/zinc/88/74/07/1072887407.db2.gz AZJOUOCUHZUYGT-BUXKBTBVSA-N 0 0 433.490 -0.096 20 0 IBADRN CN(CC(=O)NC[C@H]1CCC[C@@]12NC(=O)N(C)C2=O)C1=NS(=O)(=O)c2ccccc21 ZINC000752720430 1072887244 /nfs/dbraw/zinc/88/72/44/1072887244.db2.gz AZJOUOCUHZUYGT-CWTRNNRKSA-N 0 0 433.490 -0.096 20 0 IBADRN CN(CC(=O)NC[C@@H]1CCC[C@@]12NC(=O)N(C)C2=O)C1=NS(=O)(=O)c2ccccc21 ZINC000752720433 1072886863 /nfs/dbraw/zinc/88/68/63/1072886863.db2.gz AZJOUOCUHZUYGT-HXPMCKFVSA-N 0 0 433.490 -0.096 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000752728806 1072887457 /nfs/dbraw/zinc/88/74/57/1072887457.db2.gz DBZOHMSQFSPFAX-INIZCTEOSA-N 0 0 441.506 -0.198 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1)C(=O)N1CCOCC1 ZINC000752728807 1072887492 /nfs/dbraw/zinc/88/74/92/1072887492.db2.gz DBZOHMSQFSPFAX-MRXNPFEDSA-N 0 0 441.506 -0.198 20 0 IBADRN CC[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1)C(=O)N1CCOCC1 ZINC000752729078 1072887466 /nfs/dbraw/zinc/88/74/66/1072887466.db2.gz LMIXJFFWMVSHJA-HNNXBMFYSA-N 0 0 442.494 -0.439 20 0 IBADRN CC[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1)C(=O)N1CCOCC1 ZINC000752729079 1072887446 /nfs/dbraw/zinc/88/74/46/1072887446.db2.gz LMIXJFFWMVSHJA-OAHLLOKOSA-N 0 0 442.494 -0.439 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000752733545 1072887381 /nfs/dbraw/zinc/88/73/81/1072887381.db2.gz ZUPNUEFSXYPPGB-UHFFFAOYSA-N 0 0 429.470 -0.224 20 0 IBADRN CSCCNC(=S)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000752733685 1072887357 /nfs/dbraw/zinc/88/73/57/1072887357.db2.gz YYFIAQGMYCPLJT-UHFFFAOYSA-N 0 0 438.622 -0.122 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC(OCC(=O)N(C)C)C3)cc2S1(=O)=O ZINC000752740654 1072887279 /nfs/dbraw/zinc/88/72/79/1072887279.db2.gz PTTCATZPCAATLH-UHFFFAOYSA-N 0 0 425.463 -0.593 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCO[C@H](CC(=O)OC)C3)cc2S1(=O)=O ZINC000752748882 1072887429 /nfs/dbraw/zinc/88/74/29/1072887429.db2.gz MYYDJLSMUIYKFF-CYBMUJFWSA-N 0 0 426.447 -0.118 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCO[C@@H](CC(=O)OC)C3)cc2S1(=O)=O ZINC000752748883 1072887348 /nfs/dbraw/zinc/88/73/48/1072887348.db2.gz MYYDJLSMUIYKFF-ZDUSSCGKSA-N 0 0 426.447 -0.118 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C[C@@]1(C(=O)N[C@H](C)C(=O)NC)CCN(C(=O)OC(C)(C)C)C1 ZINC000752753144 1072887878 /nfs/dbraw/zinc/88/78/78/1072887878.db2.gz WCULBMRDGFNRHP-IZDJOXEWSA-N 0 0 441.529 -0.495 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C[C@]1(C(=O)N[C@H](C)C(=O)NC)CCN(C(=O)OC(C)(C)C)C1 ZINC000752753168 1072887949 /nfs/dbraw/zinc/88/79/49/1072887949.db2.gz WCULBMRDGFNRHP-VFGMZZLHSA-N 0 0 441.529 -0.495 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000752753473 1072887259 /nfs/dbraw/zinc/88/72/59/1072887259.db2.gz RJZBNQGUCSFYSW-KBPBESRZSA-N 0 0 434.474 -0.140 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000752753475 1072887771 /nfs/dbraw/zinc/88/77/71/1072887771.db2.gz RJZBNQGUCSFYSW-KGLIPLIRSA-N 0 0 434.474 -0.140 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000752753477 1072887979 /nfs/dbraw/zinc/88/79/79/1072887979.db2.gz RJZBNQGUCSFYSW-UONOGXRCSA-N 0 0 434.474 -0.140 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000752753479 1072887897 /nfs/dbraw/zinc/88/78/97/1072887897.db2.gz RJZBNQGUCSFYSW-ZIAGYGMSSA-N 0 0 434.474 -0.140 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)C2=O)c1 ZINC000752759764 1072888009 /nfs/dbraw/zinc/88/80/09/1072888009.db2.gz NYFBFTTVBMRZNE-IBGZPJMESA-N 0 0 445.457 -0.009 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)C2=O)c1 ZINC000752759769 1072887817 /nfs/dbraw/zinc/88/78/17/1072887817.db2.gz NYFBFTTVBMRZNE-LJQANCHMSA-N 0 0 445.457 -0.009 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)Cn3cnc4c(cnn4C)c3=O)C2=O)c1 ZINC000752849479 1072888017 /nfs/dbraw/zinc/88/80/17/1072888017.db2.gz VQZDNUJKOJQBES-IBGZPJMESA-N 0 0 425.405 -0.363 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)Cn3cnc4c(cnn4C)c3=O)C2=O)c1 ZINC000752849480 1072887966 /nfs/dbraw/zinc/88/79/66/1072887966.db2.gz VQZDNUJKOJQBES-LJQANCHMSA-N 0 0 425.405 -0.363 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCCCO1 ZINC000752850150 1072887908 /nfs/dbraw/zinc/88/79/08/1072887908.db2.gz HHSHUEXYAXWMCB-CVEARBPZSA-N 0 0 447.583 -0.205 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCCCO1 ZINC000752850151 1072887835 /nfs/dbraw/zinc/88/78/35/1072887835.db2.gz HHSHUEXYAXWMCB-HOTGVXAUSA-N 0 0 447.583 -0.205 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCCCO1 ZINC000752850152 1072887961 /nfs/dbraw/zinc/88/79/61/1072887961.db2.gz HHSHUEXYAXWMCB-HZPDHXFCSA-N 0 0 447.583 -0.205 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCCCO1 ZINC000752850153 1072887920 /nfs/dbraw/zinc/88/79/20/1072887920.db2.gz HHSHUEXYAXWMCB-JKSUJKDBSA-N 0 0 447.583 -0.205 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000752858060 1072887990 /nfs/dbraw/zinc/88/79/90/1072887990.db2.gz DROWDONZUNNXMR-KRWDZBQOSA-N 0 0 432.502 -0.026 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)NCC(=O)Nc1ccccc1Br ZINC000752877915 1072887974 /nfs/dbraw/zinc/88/79/74/1072887974.db2.gz FUGIOXWCDPXIDT-SECBINFHSA-N 0 0 433.284 -0.089 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)NCC(=O)Nc1ccccc1Br ZINC000752877928 1072887935 /nfs/dbraw/zinc/88/79/35/1072887935.db2.gz FUGIOXWCDPXIDT-VIFPVBQESA-N 0 0 433.284 -0.089 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000752879535 1072888385 /nfs/dbraw/zinc/88/83/85/1072888385.db2.gz KBHABTCTLDNQCZ-GFCCVEGCSA-N 0 0 430.508 -0.183 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000752879548 1072888498 /nfs/dbraw/zinc/88/84/98/1072888498.db2.gz KBHABTCTLDNQCZ-LBPRGKRZSA-N 0 0 430.508 -0.183 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000752879616 1072888260 /nfs/dbraw/zinc/88/82/60/1072888260.db2.gz KMYPDLJDBBKOEJ-GFCCVEGCSA-N 0 0 448.498 -0.934 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000752879633 1072888506 /nfs/dbraw/zinc/88/85/06/1072888506.db2.gz KMYPDLJDBBKOEJ-LBPRGKRZSA-N 0 0 448.498 -0.934 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000752880975 1072888521 /nfs/dbraw/zinc/88/85/21/1072888521.db2.gz LDBVDCZQLWHAPT-GFCCVEGCSA-N 0 0 446.507 -0.947 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000752880988 1072888238 /nfs/dbraw/zinc/88/82/38/1072888238.db2.gz LDBVDCZQLWHAPT-LBPRGKRZSA-N 0 0 446.507 -0.947 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1[C@H](C)C(=O)N(C)S1(=O)=O ZINC000752884369 1072888299 /nfs/dbraw/zinc/88/82/99/1072888299.db2.gz RXRRPTXEEMCPIL-SECBINFHSA-N 0 0 446.507 -0.061 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)CN1[C@@H](C)C(=O)N(C)S1(=O)=O ZINC000752884388 1072888538 /nfs/dbraw/zinc/88/85/38/1072888538.db2.gz RXRRPTXEEMCPIL-VIFPVBQESA-N 0 0 446.507 -0.061 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000752884676 1072888394 /nfs/dbraw/zinc/88/83/94/1072888394.db2.gz STLRQQMOOZLROD-GFCCVEGCSA-N 0 0 446.507 -0.947 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000752884688 1072888282 /nfs/dbraw/zinc/88/82/82/1072888282.db2.gz STLRQQMOOZLROD-LBPRGKRZSA-N 0 0 446.507 -0.947 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000752884808 1072888328 /nfs/dbraw/zinc/88/83/28/1072888328.db2.gz SXJSSHFZZKSOFK-CYBMUJFWSA-N 0 0 433.508 -0.506 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000752884821 1072888313 /nfs/dbraw/zinc/88/83/13/1072888313.db2.gz SXJSSHFZZKSOFK-ZDUSSCGKSA-N 0 0 433.508 -0.506 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000752887886 1072888345 /nfs/dbraw/zinc/88/83/45/1072888345.db2.gz WPULSDIUCUTNHX-AWEZNQCLSA-N 0 0 444.535 -0.932 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000752887912 1072888490 /nfs/dbraw/zinc/88/84/90/1072888490.db2.gz WPULSDIUCUTNHX-CQSZACIVSA-N 0 0 444.535 -0.932 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000752995718 1072888360 /nfs/dbraw/zinc/88/83/60/1072888360.db2.gz CUDBAGRHPCPUNX-GFCCVEGCSA-N 0 0 434.544 -0.301 20 0 IBADRN CC(C)(C)n1cnc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000752995732 1072888223 /nfs/dbraw/zinc/88/82/23/1072888223.db2.gz CUDBAGRHPCPUNX-LBPRGKRZSA-N 0 0 434.544 -0.301 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2COC(=O)NC2CC2)o1 ZINC000753003138 1072888461 /nfs/dbraw/zinc/88/84/61/1072888461.db2.gz NZQKFTRQWDITCS-GFCCVEGCSA-N 0 0 428.467 -0.074 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2COC(=O)NC2CC2)o1 ZINC000753003211 1072888425 /nfs/dbraw/zinc/88/84/25/1072888425.db2.gz NZQKFTRQWDITCS-LBPRGKRZSA-N 0 0 428.467 -0.074 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2COC(=O)NC2CC2)c[nH]1 ZINC000753011617 1072888374 /nfs/dbraw/zinc/88/83/74/1072888374.db2.gz ZUSIFMAQXGOFMU-GFCCVEGCSA-N 0 0 427.483 -0.338 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2COC(=O)NC2CC2)c[nH]1 ZINC000753011618 1072888410 /nfs/dbraw/zinc/88/84/10/1072888410.db2.gz ZUSIFMAQXGOFMU-LBPRGKRZSA-N 0 0 427.483 -0.338 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000753012144 1072888863 /nfs/dbraw/zinc/88/88/63/1072888863.db2.gz LLROKHJBAGHDBC-UHFFFAOYSA-N 0 0 427.458 -0.250 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000753036456 1072888918 /nfs/dbraw/zinc/88/89/18/1072888918.db2.gz FMSJCWDDMZRRTI-UHFFFAOYSA-N 0 0 447.583 -0.391 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000753037755 1072888847 /nfs/dbraw/zinc/88/88/47/1072888847.db2.gz BMSABUUAYYUCLJ-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000753040660 1072888979 /nfs/dbraw/zinc/88/89/79/1072888979.db2.gz LIXYMQGUHNKQDH-AWEZNQCLSA-N 0 0 447.558 -0.354 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000753040698 1072888771 /nfs/dbraw/zinc/88/87/71/1072888771.db2.gz LIXYMQGUHNKQDH-CQSZACIVSA-N 0 0 447.558 -0.354 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)NC3(CCN(C(=O)OC(C)(C)C)CC3)C2=O)CC1 ZINC000753061652 1072888966 /nfs/dbraw/zinc/88/89/66/1072888966.db2.gz NGCTUYPKQLUHHR-UHFFFAOYSA-N 0 0 437.497 -0.001 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)NC2(CCN(C(=O)OC(C)(C)C)CC2)C1=O)C(=O)N(C)C ZINC000753063198 1072888835 /nfs/dbraw/zinc/88/88/35/1072888835.db2.gz YGMSVUIPQFKWCY-GFCCVEGCSA-N 0 0 425.486 -0.099 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)NC2(CCN(C(=O)OC(C)(C)C)CC2)C1=O)C(=O)N(C)C ZINC000753063200 1072888993 /nfs/dbraw/zinc/88/89/93/1072888993.db2.gz YGMSVUIPQFKWCY-LBPRGKRZSA-N 0 0 425.486 -0.099 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)C2=O)cc1 ZINC000753065239 1072889036 /nfs/dbraw/zinc/88/90/36/1072889036.db2.gz CFMWOFJRLZMPFM-IBGZPJMESA-N 0 0 445.457 -0.009 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)C2=O)cc1 ZINC000753065240 1072889004 /nfs/dbraw/zinc/88/90/04/1072889004.db2.gz CFMWOFJRLZMPFM-LJQANCHMSA-N 0 0 445.457 -0.009 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000753066377 1072888779 /nfs/dbraw/zinc/88/87/79/1072888779.db2.gz GYWGRLIGWDJTIR-GFCCVEGCSA-N 0 0 432.515 -0.169 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000753066380 1072888756 /nfs/dbraw/zinc/88/87/56/1072888756.db2.gz GYWGRLIGWDJTIR-LBPRGKRZSA-N 0 0 432.515 -0.169 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000753066961 1072888949 /nfs/dbraw/zinc/88/89/49/1072888949.db2.gz KJSQIYTZKFSWLK-GFCCVEGCSA-N 0 0 432.515 -0.026 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CN3CC4(CC3=O)CCOCC4)CC2)o1 ZINC000753098727 1072888889 /nfs/dbraw/zinc/88/88/89/1072888889.db2.gz AWZGLFKRGPRNDS-UHFFFAOYSA-N 0 0 426.495 -0.329 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3CC4(CC3=O)CCOCC4)CC2)C[C@H](C)O1 ZINC000753100127 1072888904 /nfs/dbraw/zinc/88/89/04/1072888904.db2.gz WVUTZHXBUVRLTE-CALCHBBNSA-N 0 0 430.571 -0.055 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3CC4(CC3=O)CCOCC4)CC2)C[C@@H](C)O1 ZINC000753100129 1072888789 /nfs/dbraw/zinc/88/87/89/1072888789.db2.gz WVUTZHXBUVRLTE-IAGOWNOFSA-N 0 0 430.571 -0.055 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CN3CC4(CC3=O)CCOCC4)CC2)C[C@H](C)O1 ZINC000753100130 1072889022 /nfs/dbraw/zinc/88/90/22/1072889022.db2.gz WVUTZHXBUVRLTE-IRXDYDNUSA-N 0 0 430.571 -0.055 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000753104335 1072888819 /nfs/dbraw/zinc/88/88/19/1072888819.db2.gz KFTKGGBVIAIVSC-AWEZNQCLSA-N 0 0 425.577 -0.818 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000753104339 1072888877 /nfs/dbraw/zinc/88/88/77/1072888877.db2.gz KFTKGGBVIAIVSC-CQSZACIVSA-N 0 0 425.577 -0.818 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000753105459 1072888806 /nfs/dbraw/zinc/88/88/06/1072888806.db2.gz NKZILQCUVKWELX-JTQLQIEISA-N 0 0 436.478 -0.119 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000753105463 1072889467 /nfs/dbraw/zinc/88/94/67/1072889467.db2.gz NKZILQCUVKWELX-SNVBAGLBSA-N 0 0 436.478 -0.119 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000753116659 1072889402 /nfs/dbraw/zinc/88/94/02/1072889402.db2.gz KWXOWBRYKXUUKQ-CQSZACIVSA-N 0 0 425.577 -0.676 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)NCCS(=O)(=O)N2CCOCC2)cn1 ZINC000753121493 1072889358 /nfs/dbraw/zinc/88/93/58/1072889358.db2.gz RNNCUJZZUNTBGB-KUNJGFBQSA-N 0 0 433.556 -0.620 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)NCCS(=O)(=O)N2CCOCC2)cn1 ZINC000753121499 1072889508 /nfs/dbraw/zinc/88/95/08/1072889508.db2.gz RNNCUJZZUNTBGB-QZXCRCNTSA-N 0 0 433.556 -0.620 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)NCCS(=O)(=O)N2CCOCC2)cn1 ZINC000753121501 1072889266 /nfs/dbraw/zinc/88/92/66/1072889266.db2.gz RNNCUJZZUNTBGB-RXAIFQJESA-N 0 0 433.556 -0.620 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)NCCS(=O)(=O)N2CCOCC2)cn1 ZINC000753121502 1072889454 /nfs/dbraw/zinc/88/94/54/1072889454.db2.gz RNNCUJZZUNTBGB-YVORESIASA-N 0 0 433.556 -0.620 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NCC)CC1 ZINC000753124148 1072889384 /nfs/dbraw/zinc/88/93/84/1072889384.db2.gz AITSXLVLSOQCKG-UHFFFAOYSA-N 0 0 427.593 -0.380 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000753126980 1072889330 /nfs/dbraw/zinc/88/93/30/1072889330.db2.gz GTAOMXAUQWSVDY-KPZWWZAWSA-N 0 0 426.470 -0.010 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000753126984 1072889313 /nfs/dbraw/zinc/88/93/13/1072889313.db2.gz GTAOMXAUQWSVDY-KZULUSFZSA-N 0 0 426.470 -0.010 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000753126988 1072889480 /nfs/dbraw/zinc/88/94/80/1072889480.db2.gz GTAOMXAUQWSVDY-SGTLLEGYSA-N 0 0 426.470 -0.010 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000753126990 1072889278 /nfs/dbraw/zinc/88/92/78/1072889278.db2.gz GTAOMXAUQWSVDY-XIKOKIGWSA-N 0 0 426.470 -0.010 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000753128925 1072889431 /nfs/dbraw/zinc/88/94/31/1072889431.db2.gz KXSVLFBDMXSZHC-BFUOFWGJSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000753128934 1072889248 /nfs/dbraw/zinc/88/92/48/1072889248.db2.gz KXSVLFBDMXSZHC-DJJJIMSYSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000753128938 1072889419 /nfs/dbraw/zinc/88/94/19/1072889419.db2.gz KXSVLFBDMXSZHC-ORAYPTAESA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000753128943 1072889368 /nfs/dbraw/zinc/88/93/68/1072889368.db2.gz KXSVLFBDMXSZHC-YJYMSZOUSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000753135299 1072889292 /nfs/dbraw/zinc/88/92/92/1072889292.db2.gz VSALPVMWFJOJPG-BFUOFWGJSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000753135300 1072889522 /nfs/dbraw/zinc/88/95/22/1072889522.db2.gz VSALPVMWFJOJPG-DJJJIMSYSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000753135301 1072889492 /nfs/dbraw/zinc/88/94/92/1072889492.db2.gz VSALPVMWFJOJPG-ORAYPTAESA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000753135302 1072889961 /nfs/dbraw/zinc/88/99/61/1072889961.db2.gz VSALPVMWFJOJPG-YJYMSZOUSA-N 0 0 438.506 -0.140 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000753140509 1072890045 /nfs/dbraw/zinc/89/00/45/1072890045.db2.gz BLRDDUNTYABOOQ-UHFFFAOYSA-N 0 0 449.485 -0.061 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2c[nH]c(C(=O)OC)c2)c(=O)n1CC(=O)N1CCOCC1 ZINC000753143178 1072890067 /nfs/dbraw/zinc/89/00/67/1072890067.db2.gz VBLNMSQPXKGXBC-UHFFFAOYSA-N 0 0 449.485 -0.944 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@H]1CCCN1S(C)(=O)=O)c2=O ZINC000753144760 1072889739 /nfs/dbraw/zinc/88/97/39/1072889739.db2.gz SKBFMNYIOXBHQB-SECBINFHSA-N 0 0 447.315 -0.567 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000753144840 1072890025 /nfs/dbraw/zinc/89/00/25/1072890025.db2.gz QAERCBYNNPUTNJ-UHFFFAOYSA-N 0 0 437.474 -0.198 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)NCCS(=O)(=O)N3CCOCC3)C2)nc1 ZINC000753153817 1072889839 /nfs/dbraw/zinc/88/98/39/1072889839.db2.gz VRQAVNSTAGIADE-UHFFFAOYSA-N 0 0 441.510 -0.592 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCCOCCS(C)(=O)=O)CC1 ZINC000753154813 1072890055 /nfs/dbraw/zinc/89/00/55/1072890055.db2.gz NBCIEJIEVKHVFN-UHFFFAOYSA-N 0 0 442.604 -0.258 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)CCN3CCOCC3)CCN2C(=O)CCN2CCOCC2)cn1 ZINC000753193302 1072889982 /nfs/dbraw/zinc/88/99/82/1072889982.db2.gz ARNQMYYCIHIIAY-FQEVSTJZSA-N 0 0 448.568 -0.423 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)CCN3CCOCC3)CCN2C(=O)CCN2CCOCC2)cn1 ZINC000753193306 1072889872 /nfs/dbraw/zinc/88/98/72/1072889872.db2.gz ARNQMYYCIHIIAY-HXUWFJFHSA-N 0 0 448.568 -0.423 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)C3=NN(C)C(=O)CC3)CCN2C(=O)C2=NN(C)C(=O)CC2)cn1 ZINC000753193525 1072889825 /nfs/dbraw/zinc/88/98/25/1072889825.db2.gz COMAOSQHXDDUMY-INIZCTEOSA-N 0 0 442.480 -0.652 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)C3=NN(C)C(=O)CC3)CCN2C(=O)C2=NN(C)C(=O)CC2)cn1 ZINC000753193526 1072890035 /nfs/dbraw/zinc/89/00/35/1072890035.db2.gz COMAOSQHXDDUMY-MRXNPFEDSA-N 0 0 442.480 -0.652 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)CCN3CCOC3=O)CCN2C(=O)CCN2CCOC2=O)cn1 ZINC000753197671 1072890015 /nfs/dbraw/zinc/89/00/15/1072890015.db2.gz PMGZAXLCEQEPEB-INIZCTEOSA-N 0 0 448.480 -0.183 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)CCN3CCOC3=O)CCN2C(=O)CCN2CCOC2=O)cn1 ZINC000753197672 1072890077 /nfs/dbraw/zinc/89/00/77/1072890077.db2.gz PMGZAXLCEQEPEB-MRXNPFEDSA-N 0 0 448.480 -0.183 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000753201662 1072889767 /nfs/dbraw/zinc/88/97/67/1072889767.db2.gz NZCGPAQIMFRGPM-GOSISDBHSA-N 0 0 426.495 -0.140 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000753201663 1072889857 /nfs/dbraw/zinc/88/98/57/1072889857.db2.gz NZCGPAQIMFRGPM-SFHVURJKSA-N 0 0 426.495 -0.140 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000753202000 1072889792 /nfs/dbraw/zinc/88/97/92/1072889792.db2.gz PCETWYVMMCWBJU-UHFFFAOYSA-N 0 0 447.579 -0.105 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000753202330 1072890402 /nfs/dbraw/zinc/89/04/02/1072890402.db2.gz SIMROBXWOTZZNR-UHFFFAOYSA-N 0 0 447.579 -0.310 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000753202395 1072890301 /nfs/dbraw/zinc/89/03/01/1072890301.db2.gz FVJJZVZZJNSUSR-GOSISDBHSA-N 0 0 426.495 -0.140 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000753202397 1072890324 /nfs/dbraw/zinc/89/03/24/1072890324.db2.gz FVJJZVZZJNSUSR-SFHVURJKSA-N 0 0 426.495 -0.140 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000753202464 1072890428 /nfs/dbraw/zinc/89/04/28/1072890428.db2.gz GPPVEFRFKRJQCL-GOSISDBHSA-N 0 0 439.534 -0.136 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000753202469 1072890289 /nfs/dbraw/zinc/89/02/89/1072890289.db2.gz GPPVEFRFKRJQCL-SFHVURJKSA-N 0 0 439.534 -0.136 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000753204211 1072890448 /nfs/dbraw/zinc/89/04/48/1072890448.db2.gz ROPBKIDFKIMCSD-UHFFFAOYSA-N 0 0 436.556 -0.509 20 0 IBADRN CCN(CCS(C)(=O)=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000753204254 1072890259 /nfs/dbraw/zinc/89/02/59/1072890259.db2.gz VUCVXWPFFIACDQ-UHFFFAOYSA-N 0 0 447.579 -0.310 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)COC(=O)CN1C(=O)CCC1=O ZINC000753205679 1072890376 /nfs/dbraw/zinc/89/03/76/1072890376.db2.gz NZLIABRUYNDFST-UHFFFAOYSA-N 0 0 437.474 -0.092 20 0 IBADRN CS(=O)(=O)CCN(C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CC1 ZINC000753216650 1072890383 /nfs/dbraw/zinc/89/03/83/1072890383.db2.gz MLXOJOMWJWBUGR-UHFFFAOYSA-N 0 0 429.520 -0.544 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N(CCS(C)(=O)=O)C2CC2)c1 ZINC000753216863 1072890366 /nfs/dbraw/zinc/89/03/66/1072890366.db2.gz PUSXQZHXULQYAX-UHFFFAOYSA-N 0 0 433.552 -0.086 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N(CCS(C)(=O)=O)C1CC1)c2=O ZINC000753222875 1072890420 /nfs/dbraw/zinc/89/04/20/1072890420.db2.gz KIYMSBUCRJXBNO-UHFFFAOYSA-N 0 0 432.300 -0.072 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN(CCS(C)(=O)=O)C2CC2)CC1 ZINC000753245237 1072890311 /nfs/dbraw/zinc/89/03/11/1072890311.db2.gz HSKMQWNQMCCKIS-UHFFFAOYSA-N 0 0 448.567 -0.367 20 0 IBADRN Cn1c(=O)c(C(=O)CN(CCS(C)(=O)=O)C2CC2)c(N)n(Cc2ccccc2)c1=O ZINC000753248252 1072890342 /nfs/dbraw/zinc/89/03/42/1072890342.db2.gz DLYQUHHIOXDADV-UHFFFAOYSA-N 0 0 434.518 -0.131 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000753252166 1072890331 /nfs/dbraw/zinc/89/03/31/1072890331.db2.gz RWKKTVAXPGZKNG-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000753269826 1072890393 /nfs/dbraw/zinc/89/03/93/1072890393.db2.gz GMBIMGODNOGXDW-CYBMUJFWSA-N 0 0 449.526 -0.142 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000753269839 1072890276 /nfs/dbraw/zinc/89/02/76/1072890276.db2.gz GMBIMGODNOGXDW-ZDUSSCGKSA-N 0 0 449.526 -0.142 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000753271840 1072890411 /nfs/dbraw/zinc/89/04/11/1072890411.db2.gz CNNUIUDAVXTOEH-CYBMUJFWSA-N 0 0 449.526 -0.142 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000753271842 1072890457 /nfs/dbraw/zinc/89/04/57/1072890457.db2.gz CNNUIUDAVXTOEH-ZDUSSCGKSA-N 0 0 449.526 -0.142 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC000753274690 1072890355 /nfs/dbraw/zinc/89/03/55/1072890355.db2.gz MUPFQHJBHKJKRU-GFCCVEGCSA-N 0 0 445.519 -0.511 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC000753274691 1072890911 /nfs/dbraw/zinc/89/09/11/1072890911.db2.gz MUPFQHJBHKJKRU-LBPRGKRZSA-N 0 0 445.519 -0.511 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@H]3CCCN3S(C)(=O)=O)cc2S1(=O)=O ZINC000753295196 1072890863 /nfs/dbraw/zinc/89/08/63/1072890863.db2.gz ZNKARDWQSGDHAY-CYBMUJFWSA-N 0 0 445.519 -0.369 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cccc3)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000753307818 1072890722 /nfs/dbraw/zinc/89/07/22/1072890722.db2.gz DAGPAZNQVHXZES-KRWDZBQOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cccc3)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000753307819 1072890738 /nfs/dbraw/zinc/89/07/38/1072890738.db2.gz DAGPAZNQVHXZES-QGZVFWFLSA-N 0 0 431.518 -0.067 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3ccc4c(c3)CCC(=O)N4C)c2c(=O)n(C)c1=O ZINC000753323075 1072890881 /nfs/dbraw/zinc/89/08/81/1072890881.db2.gz QFSKUNLHWOXLPZ-UHFFFAOYSA-N 0 0 439.428 -0.231 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000753328304 1072890822 /nfs/dbraw/zinc/89/08/22/1072890822.db2.gz ATIUHRBIFBEQSL-UHFFFAOYSA-N 0 0 436.508 -0.001 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000753328320 1072890751 /nfs/dbraw/zinc/89/07/51/1072890751.db2.gz YQLFZQNKRLDDDG-UHFFFAOYSA-N 0 0 435.524 -0.343 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000753329538 1072890836 /nfs/dbraw/zinc/89/08/36/1072890836.db2.gz KLPLYBCHZDGCAU-UHFFFAOYSA-N 0 0 435.524 -0.461 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1ccc3c(c1)CCC(=O)N3C)c(=O)n2C ZINC000753331881 1072890937 /nfs/dbraw/zinc/89/09/37/1072890937.db2.gz UMPDYYRERXNXQZ-UHFFFAOYSA-N 0 0 439.428 -0.231 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000753331951 1072890805 /nfs/dbraw/zinc/89/08/05/1072890805.db2.gz YHDVTKDDANNTJM-UHFFFAOYSA-N 0 0 447.535 -0.036 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000753341745 1072890791 /nfs/dbraw/zinc/89/07/91/1072890791.db2.gz HCDIVZUOZSXODT-CYBMUJFWSA-N 0 0 449.551 -0.072 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000753341747 1072890894 /nfs/dbraw/zinc/89/08/94/1072890894.db2.gz HCDIVZUOZSXODT-ZDUSSCGKSA-N 0 0 449.551 -0.072 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000753343705 1072890693 /nfs/dbraw/zinc/89/06/93/1072890693.db2.gz MJJUHEVDYUHQTG-UHFFFAOYSA-N 0 0 433.508 -0.707 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000753347484 1072890851 /nfs/dbraw/zinc/89/08/51/1072890851.db2.gz ZELMWNFBOXBHCX-UHFFFAOYSA-N 0 0 434.492 -0.036 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(=O)OCc2ccc(Cl)cc2)c[nH]1 ZINC000753349385 1072890965 /nfs/dbraw/zinc/89/09/65/1072890965.db2.gz VNQMBVRMBJGQCI-UHFFFAOYSA-N 0 0 428.854 -0.095 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000753349443 1072890921 /nfs/dbraw/zinc/89/09/21/1072890921.db2.gz ZUNCZCSFYKZGHO-UHFFFAOYSA-N 0 0 433.508 -0.707 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000753353802 1072891177 /nfs/dbraw/zinc/89/11/77/1072891177.db2.gz QKCMQRZPXGNTHJ-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000753363396 1072891406 /nfs/dbraw/zinc/89/14/06/1072891406.db2.gz JOGMYGMQWOBNLA-UHFFFAOYSA-N 0 0 436.288 -0.235 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000753369881 1072891206 /nfs/dbraw/zinc/89/12/06/1072891206.db2.gz QHJOWZFVKPZNFS-GFCCVEGCSA-N 0 0 433.508 -0.441 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000753369886 1072891243 /nfs/dbraw/zinc/89/12/43/1072891243.db2.gz QHJOWZFVKPZNFS-LBPRGKRZSA-N 0 0 433.508 -0.441 20 0 IBADRN CN1C(=O)CCc2cc(C(=O)COC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)ccc21 ZINC000753371340 1072891379 /nfs/dbraw/zinc/89/13/79/1072891379.db2.gz AWLBKYQQHHPXSE-UHFFFAOYSA-N 0 0 448.457 -0.273 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2oc(=O)n3C)CC1 ZINC000753381209 1072891125 /nfs/dbraw/zinc/89/11/25/1072891125.db2.gz OXJIDNKAGRIYTA-UHFFFAOYSA-N 0 0 439.494 -0.199 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000753382247 1072891361 /nfs/dbraw/zinc/89/13/61/1072891361.db2.gz HURYTZMPRLVNHH-CYBMUJFWSA-N 0 0 428.916 -0.148 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000753382250 1072891762 /nfs/dbraw/zinc/89/17/62/1072891762.db2.gz HURYTZMPRLVNHH-ZDUSSCGKSA-N 0 0 428.916 -0.148 20 0 IBADRN CCOCCNC(=O)CN1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000753389393 1072891894 /nfs/dbraw/zinc/89/18/94/1072891894.db2.gz WSJXIMPHSDWVHD-UHFFFAOYSA-N 0 0 447.579 -0.142 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000753393472 1072891772 /nfs/dbraw/zinc/89/17/72/1072891772.db2.gz HGQBCVOVLQSLRT-UHFFFAOYSA-N 0 0 439.450 -0.558 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000753411344 1072891651 /nfs/dbraw/zinc/89/16/51/1072891651.db2.gz AYMWRMQZZLTHPD-UHFFFAOYSA-N 0 0 439.512 -0.496 20 0 IBADRN CCOCCNC(=O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000753434517 1072891692 /nfs/dbraw/zinc/89/16/92/1072891692.db2.gz WQLZCGLPAQHCDB-UHFFFAOYSA-N 0 0 434.559 -0.294 20 0 IBADRN C[C@H](Oc1cccc(CO)c1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000753435961 1072891819 /nfs/dbraw/zinc/89/18/19/1072891819.db2.gz RADVLYFIQYKDTG-DOTOQJQBSA-N 0 0 440.518 -0.179 20 0 IBADRN C[C@@H](Oc1cccc(CO)c1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000753435963 1072891735 /nfs/dbraw/zinc/89/17/35/1072891735.db2.gz RADVLYFIQYKDTG-NVXWUHKLSA-N 0 0 440.518 -0.179 20 0 IBADRN C[C@H](Oc1cccc(CO)c1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000753435965 1072891784 /nfs/dbraw/zinc/89/17/84/1072891784.db2.gz RADVLYFIQYKDTG-RDJZCZTQSA-N 0 0 440.518 -0.179 20 0 IBADRN C[C@@H](Oc1cccc(CO)c1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000753435966 1072891931 /nfs/dbraw/zinc/89/19/31/1072891931.db2.gz RADVLYFIQYKDTG-WBVHZDCISA-N 0 0 440.518 -0.179 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000753440920 1072891867 /nfs/dbraw/zinc/89/18/67/1072891867.db2.gz OIKMMYZIVSGCPD-CQSZACIVSA-N 0 0 447.535 -0.411 20 0 IBADRN CCOCCNC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000753441272 1072891707 /nfs/dbraw/zinc/89/17/07/1072891707.db2.gz UNJNKVGDWLWJOF-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CCOCCNC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000753441325 1072891722 /nfs/dbraw/zinc/89/17/22/1072891722.db2.gz XEFZDKHSBKZELM-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000753442106 1072891849 /nfs/dbraw/zinc/89/18/49/1072891849.db2.gz XGGKDVDSARYYQK-CYBMUJFWSA-N 0 0 448.523 -0.653 20 0 IBADRN CCOCCNC(=O)COC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000753467724 1072891906 /nfs/dbraw/zinc/89/19/06/1072891906.db2.gz VUHOXZQOWIMVSC-UHFFFAOYSA-N 0 0 427.523 -0.003 20 0 IBADRN Cn1cnc2cc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC000753469426 1072891879 /nfs/dbraw/zinc/89/18/79/1072891879.db2.gz OAASNPNCRSZNNV-AWEZNQCLSA-N 0 0 426.520 -0.152 20 0 IBADRN Cn1cnc2cc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC000753469441 1072891920 /nfs/dbraw/zinc/89/19/20/1072891920.db2.gz OAASNPNCRSZNNV-CQSZACIVSA-N 0 0 426.520 -0.152 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000753473831 1072892228 /nfs/dbraw/zinc/89/22/28/1072892228.db2.gz CZEPRTRHAQSYCQ-JTQLQIEISA-N 0 0 447.473 -0.054 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000753473838 1072892362 /nfs/dbraw/zinc/89/23/62/1072892362.db2.gz CZEPRTRHAQSYCQ-SNVBAGLBSA-N 0 0 447.473 -0.054 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000753476043 1072892093 /nfs/dbraw/zinc/89/20/93/1072892093.db2.gz LXXNMLKBCYXWCB-AWEZNQCLSA-N 0 0 427.479 -0.129 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000753479384 1072892279 /nfs/dbraw/zinc/89/22/79/1072892279.db2.gz XINRZZDMVZEYGJ-HNNXBMFYSA-N 0 0 439.534 -0.031 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000753479391 1072892312 /nfs/dbraw/zinc/89/23/12/1072892312.db2.gz XINRZZDMVZEYGJ-OAHLLOKOSA-N 0 0 439.534 -0.031 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)Cc2ccc(C(N)=O)cc2)CC1 ZINC000753485018 1072892198 /nfs/dbraw/zinc/89/21/98/1072892198.db2.gz XSMATTDEPGXCFJ-UHFFFAOYSA-N 0 0 437.460 -0.103 20 0 IBADRN CN1CC(=O)N(CCCC(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1=O ZINC000753504318 1072892400 /nfs/dbraw/zinc/89/24/00/1072892400.db2.gz TVEFFQJAPSOQLV-UHFFFAOYSA-N 0 0 438.462 -0.560 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000753510429 1072892248 /nfs/dbraw/zinc/89/22/48/1072892248.db2.gz GKYNLMGHRNZOOA-UHFFFAOYSA-N 0 0 439.512 -0.607 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000753513147 1072892127 /nfs/dbraw/zinc/89/21/27/1072892127.db2.gz AYGXHGNIICPBOP-UHFFFAOYSA-N 0 0 444.510 -0.772 20 0 IBADRN Cc1nnccc1C(=O)OCC(=O)N1CCN(C(=O)COC(=O)c2ccnnc2C)CC1 ZINC000753525054 1072892372 /nfs/dbraw/zinc/89/23/72/1072892372.db2.gz MAGGMQYNWNFXAL-UHFFFAOYSA-N 0 0 442.432 -0.432 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCOCCS(C)(=O)=O)C1 ZINC000753528483 1072892143 /nfs/dbraw/zinc/89/21/43/1072892143.db2.gz BQXPTZAPVKUPHU-AWEZNQCLSA-N 0 0 429.561 -0.870 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCOCCS(C)(=O)=O)C1 ZINC000753528484 1072892112 /nfs/dbraw/zinc/89/21/12/1072892112.db2.gz BQXPTZAPVKUPHU-CQSZACIVSA-N 0 0 429.561 -0.870 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCNC2=O)cc(C(=O)OCC(=O)N2CCNC2=O)c1 ZINC000753542294 1072892415 /nfs/dbraw/zinc/89/24/15/1072892415.db2.gz QBOBBNTYOFAZIR-UHFFFAOYSA-N 0 0 432.389 -0.588 20 0 IBADRN Cc1cc(C(=O)OCCN2C(=O)CNC2=O)cc(C(=O)OCCN2C(=O)CNC2=O)c1 ZINC000753542915 1072892386 /nfs/dbraw/zinc/89/23/86/1072892386.db2.gz XRMPJQNPJLMKDF-UHFFFAOYSA-N 0 0 432.389 -0.588 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(C)cc(C(=O)O[C@H](C)C(=O)NC(=O)NC)c1 ZINC000753542919 1072892638 /nfs/dbraw/zinc/89/26/38/1072892638.db2.gz XWVHKGAKFQFTBN-GHMZBOCLSA-N 0 0 436.421 -0.003 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(C)cc(C(=O)O[C@@H](C)C(=O)NC(=O)NC)c1 ZINC000753542924 1072892812 /nfs/dbraw/zinc/89/28/12/1072892812.db2.gz XWVHKGAKFQFTBN-PHIMTYICSA-N 0 0 436.421 -0.003 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)c1cc(C)cc(C(=O)O[C@@H](C)C(=O)NC(=O)NC)c1 ZINC000753542926 1072892859 /nfs/dbraw/zinc/89/28/59/1072892859.db2.gz XWVHKGAKFQFTBN-QWRGUYRKSA-N 0 0 436.421 -0.003 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(=O)OCC)cc(S(C)(=O)=O)c1 ZINC000753559702 1072892832 /nfs/dbraw/zinc/89/28/32/1072892832.db2.gz PDNCESFBEZIESU-UHFFFAOYSA-N 0 0 449.507 -0.739 20 0 IBADRN O=C(OCC1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000753574952 1072892663 /nfs/dbraw/zinc/89/26/63/1072892663.db2.gz HLOZYIAVIJVSDV-UHFFFAOYSA-N 0 0 430.504 -0.211 20 0 IBADRN NC(=O)c1ccccc1OCC(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000753577170 1072892675 /nfs/dbraw/zinc/89/26/75/1072892675.db2.gz RUSQHTQYBWCWSI-UHFFFAOYSA-N 0 0 433.442 -0.056 20 0 IBADRN O=C(OCC1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000753581825 1072892797 /nfs/dbraw/zinc/89/27/97/1072892797.db2.gz NDYRDIYHJCLZRH-UHFFFAOYSA-N 0 0 430.504 -0.211 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCCCN2C(=O)c3ccccc3C2=O)c(=O)n(C)c1=O ZINC000753589354 1072892648 /nfs/dbraw/zinc/89/26/48/1072892648.db2.gz IEKICELBOYCZTQ-UHFFFAOYSA-N 0 0 442.428 -0.141 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCS(=O)(=O)CC2CC2)C1 ZINC000753592639 1072892704 /nfs/dbraw/zinc/89/27/04/1072892704.db2.gz XTUPWTZBVIDZEL-HNNXBMFYSA-N 0 0 425.573 -0.107 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCS(=O)(=O)CC2CC2)C1 ZINC000753592661 1072892895 /nfs/dbraw/zinc/89/28/95/1072892895.db2.gz XTUPWTZBVIDZEL-OAHLLOKOSA-N 0 0 425.573 -0.107 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000753614484 1072892882 /nfs/dbraw/zinc/89/28/82/1072892882.db2.gz ZGNYCLBGFWYSGT-CABCVRRESA-N 0 0 441.506 -0.042 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000753614494 1072892846 /nfs/dbraw/zinc/89/28/46/1072892846.db2.gz ZGNYCLBGFWYSGT-GJZGRUSLSA-N 0 0 441.506 -0.042 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000753614498 1072892869 /nfs/dbraw/zinc/89/28/69/1072892869.db2.gz ZGNYCLBGFWYSGT-HUUCEWRRSA-N 0 0 441.506 -0.042 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000753614500 1072892691 /nfs/dbraw/zinc/89/26/91/1072892691.db2.gz ZGNYCLBGFWYSGT-LSDHHAIUSA-N 0 0 441.506 -0.042 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1 ZINC000753614665 1072892735 /nfs/dbraw/zinc/89/27/35/1072892735.db2.gz DGDAQGORWXUIMT-CVEARBPZSA-N 0 0 435.543 -0.010 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@H]2COC3(CCOCC3)O2)C1 ZINC000753614666 1072892723 /nfs/dbraw/zinc/89/27/23/1072892723.db2.gz DGDAQGORWXUIMT-HOTGVXAUSA-N 0 0 435.543 -0.010 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1 ZINC000753614667 1072892747 /nfs/dbraw/zinc/89/27/47/1072892747.db2.gz DGDAQGORWXUIMT-HZPDHXFCSA-N 0 0 435.543 -0.010 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@H]2COC3(CCOCC3)O2)C1 ZINC000753614668 1072892817 /nfs/dbraw/zinc/89/28/17/1072892817.db2.gz DGDAQGORWXUIMT-JKSUJKDBSA-N 0 0 435.543 -0.010 20 0 IBADRN COC(=O)CCN(CC(OC)OC)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000753634917 1072892758 /nfs/dbraw/zinc/89/27/58/1072892758.db2.gz RQQGFKDIJZELRM-GFCCVEGCSA-N 0 0 433.483 -0.838 20 0 IBADRN COC(=O)CCN(CC(OC)OC)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000753634920 1072893183 /nfs/dbraw/zinc/89/31/83/1072893183.db2.gz RQQGFKDIJZELRM-LBPRGKRZSA-N 0 0 433.483 -0.838 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC(=O)Nc2ccccc21 ZINC000753639734 1072893211 /nfs/dbraw/zinc/89/32/11/1072893211.db2.gz AUZKXOHOKZDTON-GFCCVEGCSA-N 0 0 448.457 -0.320 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC(=O)Nc2ccccc21 ZINC000753639735 1072893220 /nfs/dbraw/zinc/89/32/20/1072893220.db2.gz AUZKXOHOKZDTON-LBPRGKRZSA-N 0 0 448.457 -0.320 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)NC1CCCCC1 ZINC000753645943 1072893193 /nfs/dbraw/zinc/89/31/93/1072893193.db2.gz LZEFHDAGDQEDBN-CYBMUJFWSA-N 0 0 442.494 -0.146 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)NC1CCCCC1 ZINC000753645950 1072893141 /nfs/dbraw/zinc/89/31/41/1072893141.db2.gz LZEFHDAGDQEDBN-ZDUSSCGKSA-N 0 0 442.494 -0.146 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)NC1CCCC1 ZINC000753653623 1072893257 /nfs/dbraw/zinc/89/32/57/1072893257.db2.gz BTTYSCGEPDAOMX-GFCCVEGCSA-N 0 0 428.467 -0.537 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)NC1CCCC1 ZINC000753653632 1072893227 /nfs/dbraw/zinc/89/32/27/1072893227.db2.gz BTTYSCGEPDAOMX-LBPRGKRZSA-N 0 0 428.467 -0.537 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)NCc1ccco1 ZINC000753655031 1072893089 /nfs/dbraw/zinc/89/30/89/1072893089.db2.gz PHINHTIEVJPBME-LLVKDONJSA-N 0 0 440.434 -0.686 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)NCc1ccco1 ZINC000753655033 1072893079 /nfs/dbraw/zinc/89/30/79/1072893079.db2.gz PHINHTIEVJPBME-NSHDSACASA-N 0 0 440.434 -0.686 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)Cn2cc([C@H](C)O)nn2)CCN1C(=O)Cn1cc([C@@H](C)O)nn1 ZINC000753660311 1072893248 /nfs/dbraw/zinc/89/32/48/1072893248.db2.gz IRJDCOVQPRKRJM-AFIMGQEJSA-N 0 0 448.528 -0.238 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)Cn2cc([C@@H](C)O)nn2)CCN1C(=O)Cn1cc([C@@H](C)O)nn1 ZINC000753660312 1072893097 /nfs/dbraw/zinc/89/30/97/1072893097.db2.gz IRJDCOVQPRKRJM-BORJPKMPSA-N 0 0 448.528 -0.238 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)Cn2cc([C@@H](C)O)nn2)CCN1C(=O)Cn1cc([C@H](C)O)nn1 ZINC000753660313 1072893129 /nfs/dbraw/zinc/89/31/29/1072893129.db2.gz IRJDCOVQPRKRJM-KRXQYRFLSA-N 0 0 448.528 -0.238 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)Cn2cc([C@H](C)O)nn2)CCN1C(=O)Cn1cc([C@H](C)O)nn1 ZINC000753660314 1072893206 /nfs/dbraw/zinc/89/32/06/1072893206.db2.gz IRJDCOVQPRKRJM-XSWJXKHESA-N 0 0 448.528 -0.238 20 0 IBADRN O=C(OCCN1C(=O)c2ccccc2C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000753661604 1072893170 /nfs/dbraw/zinc/89/31/70/1072893170.db2.gz CCRATARVEVILLJ-GFCCVEGCSA-N 0 0 433.442 -0.009 20 0 IBADRN O=C(OCCN1C(=O)c2ccccc2C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000753661605 1072893065 /nfs/dbraw/zinc/89/30/65/1072893065.db2.gz CCRATARVEVILLJ-LBPRGKRZSA-N 0 0 433.442 -0.009 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000753661618 1072893535 /nfs/dbraw/zinc/89/35/35/1072893535.db2.gz CPQFOZICLKRSJA-CYBMUJFWSA-N 0 0 444.510 -0.932 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000753661648 1072893692 /nfs/dbraw/zinc/89/36/92/1072893692.db2.gz CPQFOZICLKRSJA-ZDUSSCGKSA-N 0 0 444.510 -0.932 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)c1ccc2c(c1)NC(=O)CO2 ZINC000753669886 1072893735 /nfs/dbraw/zinc/89/37/35/1072893735.db2.gz OFCCTSZBXFGCMO-GFCCVEGCSA-N 0 0 449.441 -0.091 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)c1ccc2c(c1)NC(=O)CO2 ZINC000753669892 1072893716 /nfs/dbraw/zinc/89/37/16/1072893716.db2.gz OFCCTSZBXFGCMO-LBPRGKRZSA-N 0 0 449.441 -0.091 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)C(F)F)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753675584 1072893656 /nfs/dbraw/zinc/89/36/56/1072893656.db2.gz ARBIBMVYRCTGRV-UHFFFAOYSA-N 0 0 449.461 -0.123 20 0 IBADRN CC(C)(C)OC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753675633 1072893755 /nfs/dbraw/zinc/89/37/55/1072893755.db2.gz CESAEPAPSJTJRA-LLVKDONJSA-N 0 0 432.528 -0.052 20 0 IBADRN O=C(OCC(F)(F)F)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753676584 1072893582 /nfs/dbraw/zinc/89/35/82/1072893582.db2.gz IIAVIQWXZGFWGE-JTQLQIEISA-N 0 0 440.404 -0.199 20 0 IBADRN O=C(OCC(F)(F)F)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753676585 1072893685 /nfs/dbraw/zinc/89/36/85/1072893685.db2.gz IIAVIQWXZGFWGE-SNVBAGLBSA-N 0 0 440.404 -0.199 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000753677381 1072893704 /nfs/dbraw/zinc/89/37/04/1072893704.db2.gz LIBUGKJMXCTTIK-UHFFFAOYSA-N 0 0 448.914 -0.487 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000753677622 1072893741 /nfs/dbraw/zinc/89/37/41/1072893741.db2.gz MOCMNYDBWLSLJL-UHFFFAOYSA-N 0 0 446.486 -0.611 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1C ZINC000753677700 1072893766 /nfs/dbraw/zinc/89/37/66/1072893766.db2.gz MSNRABZXHCFIAA-UHFFFAOYSA-N 0 0 440.482 -0.300 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000753678304 1072893490 /nfs/dbraw/zinc/89/34/90/1072893490.db2.gz BBRUOTRFZMLAKF-CABCVRRESA-N 0 0 426.495 -0.446 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000753678307 1072893552 /nfs/dbraw/zinc/89/35/52/1072893552.db2.gz BBRUOTRFZMLAKF-GJZGRUSLSA-N 0 0 426.495 -0.446 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)=NN1c1ccccc1 ZINC000753678769 1072893608 /nfs/dbraw/zinc/89/36/08/1072893608.db2.gz POLDICQFDKLNKF-INIZCTEOSA-N 0 0 431.478 -0.139 20 0 IBADRN CC(=O)[C@H]1CC(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)=NN1c1ccccc1 ZINC000753678772 1072893510 /nfs/dbraw/zinc/89/35/10/1072893510.db2.gz POLDICQFDKLNKF-MRXNPFEDSA-N 0 0 431.478 -0.139 20 0 IBADRN O=C(C1=NN(Cc2ccc(F)cc2)C(=O)CC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753678777 1072893672 /nfs/dbraw/zinc/89/36/72/1072893672.db2.gz PQZQFUBYXAUKRP-UHFFFAOYSA-N 0 0 449.468 -0.045 20 0 IBADRN O=C(C1=NN(Cc2ccccc2)C(=O)CC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753679756 1072893520 /nfs/dbraw/zinc/89/35/20/1072893520.db2.gz SKXDRTPBZXMERT-UHFFFAOYSA-N 0 0 431.478 -0.184 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C(F)F)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753680775 1072894168 /nfs/dbraw/zinc/89/41/68/1072894168.db2.gz YEKPMJCOBPQUIA-UHFFFAOYSA-N 0 0 435.434 -0.052 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2C[C@@H](O)c2cccs2)c[nH]1 ZINC000753694361 1072894073 /nfs/dbraw/zinc/89/40/73/1072894073.db2.gz MXAVWKMVASZXIR-BXUZGUMPSA-N 0 0 442.519 -0.196 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2C[C@H](O)c2cccs2)c[nH]1 ZINC000753694387 1072894081 /nfs/dbraw/zinc/89/40/81/1072894081.db2.gz MXAVWKMVASZXIR-FZMZJTMJSA-N 0 0 442.519 -0.196 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2C[C@H](O)c2cccs2)c[nH]1 ZINC000753694390 1072894244 /nfs/dbraw/zinc/89/42/44/1072894244.db2.gz MXAVWKMVASZXIR-RISCZKNCSA-N 0 0 442.519 -0.196 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2C[C@@H](O)c2cccs2)c[nH]1 ZINC000753694393 1072894113 /nfs/dbraw/zinc/89/41/13/1072894113.db2.gz MXAVWKMVASZXIR-SMDDNHRTSA-N 0 0 442.519 -0.196 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@H]3C[C@@H](O)c3cccs3)c2c(=O)n(C)c1=O ZINC000753694928 1072894124 /nfs/dbraw/zinc/89/41/24/1072894124.db2.gz CASKKVXCTVRYBF-CHWSQXEVSA-N 0 0 433.490 -0.154 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@H]3C[C@H](O)c3cccs3)c2c(=O)n(C)c1=O ZINC000753694953 1072894050 /nfs/dbraw/zinc/89/40/50/1072894050.db2.gz CASKKVXCTVRYBF-OLZOCXBDSA-N 0 0 433.490 -0.154 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@@H]3C[C@@H](O)c3cccs3)c2c(=O)n(C)c1=O ZINC000753694957 1072894064 /nfs/dbraw/zinc/89/40/64/1072894064.db2.gz CASKKVXCTVRYBF-QWHCGFSZSA-N 0 0 433.490 -0.154 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@@H]3C[C@H](O)c3cccs3)c2c(=O)n(C)c1=O ZINC000753694960 1072894180 /nfs/dbraw/zinc/89/41/80/1072894180.db2.gz CASKKVXCTVRYBF-STQMWFEESA-N 0 0 433.490 -0.154 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@H]1C[C@@H](O)c1cccs1)c(=O)n2C ZINC000753695032 1072894226 /nfs/dbraw/zinc/89/42/26/1072894226.db2.gz CFMGIYCDBQPPGC-CHWSQXEVSA-N 0 0 433.490 -0.154 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@H]1C[C@H](O)c1cccs1)c(=O)n2C ZINC000753695036 1072894215 /nfs/dbraw/zinc/89/42/15/1072894215.db2.gz CFMGIYCDBQPPGC-OLZOCXBDSA-N 0 0 433.490 -0.154 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@@H]1C[C@@H](O)c1cccs1)c(=O)n2C ZINC000753695041 1072894235 /nfs/dbraw/zinc/89/42/35/1072894235.db2.gz CFMGIYCDBQPPGC-QWHCGFSZSA-N 0 0 433.490 -0.154 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@@H]1C[C@H](O)c1cccs1)c(=O)n2C ZINC000753695046 1072894027 /nfs/dbraw/zinc/89/40/27/1072894027.db2.gz CFMGIYCDBQPPGC-STQMWFEESA-N 0 0 433.490 -0.154 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)[C@@H]2CCCC[C@H]21 ZINC000753704136 1072894094 /nfs/dbraw/zinc/89/40/94/1072894094.db2.gz KRWGTSFHANRMIS-BPLDGKMQSA-N 0 0 431.536 -0.280 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)[C@H]2CCCC[C@@H]21 ZINC000753704145 1072894146 /nfs/dbraw/zinc/89/41/46/1072894146.db2.gz KRWGTSFHANRMIS-SNPRPXQTSA-N 0 0 431.536 -0.280 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)[C@@H]2CCCC[C@@H]21 ZINC000753704149 1072894138 /nfs/dbraw/zinc/89/41/38/1072894138.db2.gz KRWGTSFHANRMIS-VHDGCEQUSA-N 0 0 431.536 -0.280 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)[C@H]2CCCC[C@H]21 ZINC000753704151 1072894158 /nfs/dbraw/zinc/89/41/58/1072894158.db2.gz KRWGTSFHANRMIS-YUELXQCFSA-N 0 0 431.536 -0.280 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@H](CC(N)=O)NC(=O)OCc1ccccc1 ZINC000753713231 1072894200 /nfs/dbraw/zinc/89/42/00/1072894200.db2.gz XFMRAJYOXBFRBX-CABCVRRESA-N 0 0 426.495 -0.303 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000753735179 1072894498 /nfs/dbraw/zinc/89/44/98/1072894498.db2.gz SPDFDXZGOZDKDK-UHFFFAOYSA-N 0 0 425.554 -0.444 20 0 IBADRN CS(=O)(=O)CCN(C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C1CC1 ZINC000753746952 1072894673 /nfs/dbraw/zinc/89/46/73/1072894673.db2.gz BWQRQZMZTUKTKE-UHFFFAOYSA-N 0 0 429.520 -0.544 20 0 IBADRN CS(=O)(=O)CCN(C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CC1 ZINC000753747053 1072894451 /nfs/dbraw/zinc/89/44/51/1072894451.db2.gz FIYIRIYVSQOPMA-GFCCVEGCSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)CCN(C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C1CC1 ZINC000753747076 1072894738 /nfs/dbraw/zinc/89/47/38/1072894738.db2.gz FIYIRIYVSQOPMA-LBPRGKRZSA-N 0 0 429.520 -0.278 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(CCS(C)(=O)=O)C2CC2)s1 ZINC000753747892 1072894608 /nfs/dbraw/zinc/89/46/08/1072894608.db2.gz IWPDTBFCFMLGIQ-UHFFFAOYSA-N 0 0 437.565 -0.302 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000753754883 1072894628 /nfs/dbraw/zinc/89/46/28/1072894628.db2.gz HKKAIAUJLSTCHQ-CYBMUJFWSA-N 0 0 431.536 -0.032 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000753754909 1072894657 /nfs/dbraw/zinc/89/46/57/1072894657.db2.gz HKKAIAUJLSTCHQ-ZDUSSCGKSA-N 0 0 431.536 -0.032 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(CCS(C)(=O)=O)C(C)C)s1 ZINC000753755511 1072894762 /nfs/dbraw/zinc/89/47/62/1072894762.db2.gz JVUPWZMORUIZCU-UHFFFAOYSA-N 0 0 439.581 -0.056 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)NC(=O)N[C@H]1C ZINC000753786320 1072894595 /nfs/dbraw/zinc/89/45/95/1072894595.db2.gz QODFACCNNSNBPK-KBPBESRZSA-N 0 0 432.543 -0.123 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)NC(=O)N[C@@H]1C ZINC000753786324 1072894467 /nfs/dbraw/zinc/89/44/67/1072894467.db2.gz QODFACCNNSNBPK-KGLIPLIRSA-N 0 0 432.543 -0.123 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)NC(=O)N[C@H]1C ZINC000753786326 1072894727 /nfs/dbraw/zinc/89/47/27/1072894727.db2.gz QODFACCNNSNBPK-UONOGXRCSA-N 0 0 432.543 -0.123 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)NC(=O)N[C@@H]1C ZINC000753786327 1072894689 /nfs/dbraw/zinc/89/46/89/1072894689.db2.gz QODFACCNNSNBPK-ZIAGYGMSSA-N 0 0 432.543 -0.123 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC000753786818 1072894778 /nfs/dbraw/zinc/89/47/78/1072894778.db2.gz PNQMCQBNNOMNIU-UHFFFAOYSA-N 0 0 427.504 -0.096 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC000753788525 1072894517 /nfs/dbraw/zinc/89/45/17/1072894517.db2.gz FGXJOMJOQRKZMB-INIZCTEOSA-N 0 0 434.559 -0.341 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC000753788529 1072894789 /nfs/dbraw/zinc/89/47/89/1072894789.db2.gz FGXJOMJOQRKZMB-MRXNPFEDSA-N 0 0 434.559 -0.341 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)N1CCN(S(=O)(=O)/C=C\c3ccccc3)CC1)c2=O ZINC000753795046 1072895224 /nfs/dbraw/zinc/89/52/24/1072895224.db2.gz BTNUBXRQMYQAIN-GHXNOFRVSA-N 0 0 443.489 -0.330 20 0 IBADRN Cn1ncc2c1nnn(CC(=O)N1CCN(S(=O)(=O)/C=C/c3ccccc3)CC1)c2=O ZINC000753795049 1072895315 /nfs/dbraw/zinc/89/53/15/1072895315.db2.gz BTNUBXRQMYQAIN-KPKJPENVSA-N 0 0 443.489 -0.330 20 0 IBADRN O=C(c1cc2n[nH]nc2cc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000753798472 1072895336 /nfs/dbraw/zinc/89/53/36/1072895336.db2.gz BHGDFMMWCYYALY-JTQLQIEISA-N 0 0 431.471 -0.628 20 0 IBADRN O=C(c1cc2n[nH]nc2cc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000753798495 1072895091 /nfs/dbraw/zinc/89/50/91/1072895091.db2.gz BHGDFMMWCYYALY-SNVBAGLBSA-N 0 0 431.471 -0.628 20 0 IBADRN Cc1oc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1S(=O)(=O)N(C)C ZINC000753799063 1072895062 /nfs/dbraw/zinc/89/50/62/1072895062.db2.gz PPYCWQZLIGRZCM-UHFFFAOYSA-N 0 0 439.450 -0.558 20 0 IBADRN Cc1oc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000753808336 1072895364 /nfs/dbraw/zinc/89/53/64/1072895364.db2.gz YEJZGARYFDSGSO-UHFFFAOYSA-N 0 0 439.512 -0.496 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)cc(Br)c2Cl)CC1 ZINC000753837698 1072895243 /nfs/dbraw/zinc/89/52/43/1072895243.db2.gz YQRHSOKDOPMTHU-UHFFFAOYSA-N 0 0 439.719 -0.007 20 0 IBADRN CCN(CCS(C)(=O)=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000753840445 1072895349 /nfs/dbraw/zinc/89/53/49/1072895349.db2.gz AMHXVUFBSXYFCE-UHFFFAOYSA-N 0 0 440.565 -0.237 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCn3nnc4ccccc4c3=O)c2c(=O)n(C)c1=O ZINC000753841633 1072895329 /nfs/dbraw/zinc/89/53/29/1072895329.db2.gz BTMIPUOPZDBOPY-UHFFFAOYSA-N 0 0 425.405 -0.828 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCn1nnc3ccccc3c1=O)c(=O)n2C ZINC000753842717 1072895637 /nfs/dbraw/zinc/89/56/37/1072895637.db2.gz ZGQAYCHAOWMOGO-UHFFFAOYSA-N 0 0 439.432 -0.438 20 0 IBADRN COc1ccc(S(=O)(=O)N(CCS(C)(=O)=O)C2CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000753845614 1072895522 /nfs/dbraw/zinc/89/55/22/1072895522.db2.gz RQZRQDAKGNOWIU-KRWDZBQOSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N(CCS(C)(=O)=O)C2CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000753845616 1072895675 /nfs/dbraw/zinc/89/56/75/1072895675.db2.gz RQZRQDAKGNOWIU-QGZVFWFLSA-N 0 0 445.519 -0.053 20 0 IBADRN CCN(CCS(C)(=O)=O)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000753846855 1072895742 /nfs/dbraw/zinc/89/57/42/1072895742.db2.gz RHLXQESXJWXZFX-INIZCTEOSA-N 0 0 433.508 -0.195 20 0 IBADRN CCN(CCS(C)(=O)=O)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000753846867 1072895570 /nfs/dbraw/zinc/89/55/70/1072895570.db2.gz RHLXQESXJWXZFX-MRXNPFEDSA-N 0 0 433.508 -0.195 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(CCS(C)(=O)=O)C2CC2)c1 ZINC000753848574 1072895721 /nfs/dbraw/zinc/89/57/21/1072895721.db2.gz HYOKFXXRJOUGQH-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CCNS(C)(=O)=O)s1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000753850661 1072895534 /nfs/dbraw/zinc/89/55/34/1072895534.db2.gz HFIAPQOJINFQNZ-GHMZBOCLSA-N 0 0 438.549 -0.312 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CCNS(C)(=O)=O)s1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000753850662 1072895664 /nfs/dbraw/zinc/89/56/64/1072895664.db2.gz HFIAPQOJINFQNZ-MNOVXSKESA-N 0 0 438.549 -0.312 20 0 IBADRN C[C@H](OC(=O)c1ccc(CCNS(C)(=O)=O)s1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000753850663 1072895658 /nfs/dbraw/zinc/89/56/58/1072895658.db2.gz HFIAPQOJINFQNZ-QWRGUYRKSA-N 0 0 438.549 -0.312 20 0 IBADRN C[C@H](OC(=O)c1ccc(CCNS(C)(=O)=O)s1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000753850664 1072895557 /nfs/dbraw/zinc/89/55/57/1072895557.db2.gz HFIAPQOJINFQNZ-WDEREUQCSA-N 0 0 438.549 -0.312 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@@H](O)c1cccs1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000753856073 1072895589 /nfs/dbraw/zinc/89/55/89/1072895589.db2.gz NNDHNFWEXVTYKE-HZSPNIEDSA-N 0 0 431.536 -0.115 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@H](O)c1cccs1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000753856074 1072895782 /nfs/dbraw/zinc/89/57/82/1072895782.db2.gz NNDHNFWEXVTYKE-IHRRRGAJSA-N 0 0 431.536 -0.115 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@@H](O)c1cccs1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000753856075 1072895705 /nfs/dbraw/zinc/89/57/05/1072895705.db2.gz NNDHNFWEXVTYKE-MELADBBJSA-N 0 0 431.536 -0.115 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@H](O)c1cccs1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000753856076 1072895691 /nfs/dbraw/zinc/89/56/91/1072895691.db2.gz NNDHNFWEXVTYKE-RDBSUJKOSA-N 0 0 431.536 -0.115 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(CCNS(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000753857852 1072895758 /nfs/dbraw/zinc/89/57/58/1072895758.db2.gz AJYWBSCQERJFOP-UHFFFAOYSA-N 0 0 449.489 -0.618 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000753859176 1072896148 /nfs/dbraw/zinc/89/61/48/1072896148.db2.gz NJUMHMWKZHSIFD-DOMZBBRYSA-N 0 0 432.520 -0.373 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000753859180 1072896132 /nfs/dbraw/zinc/89/61/32/1072896132.db2.gz NJUMHMWKZHSIFD-IUODEOHRSA-N 0 0 432.520 -0.373 20 0 IBADRN C[C@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000753859183 1072896230 /nfs/dbraw/zinc/89/62/30/1072896230.db2.gz NJUMHMWKZHSIFD-SWLSCSKDSA-N 0 0 432.520 -0.373 20 0 IBADRN C[C@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000753859186 1072896123 /nfs/dbraw/zinc/89/61/23/1072896123.db2.gz NJUMHMWKZHSIFD-WFASDCNBSA-N 0 0 432.520 -0.373 20 0 IBADRN C[C@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000753859508 1072895619 /nfs/dbraw/zinc/89/56/19/1072895619.db2.gz NPJYGCGPTBGXBI-BBRMVZONSA-N 0 0 446.547 -0.031 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000753859512 1072895650 /nfs/dbraw/zinc/89/56/50/1072895650.db2.gz NPJYGCGPTBGXBI-CJNGLKHVSA-N 0 0 446.547 -0.031 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000753859514 1072895797 /nfs/dbraw/zinc/89/57/97/1072895797.db2.gz NPJYGCGPTBGXBI-CZUORRHYSA-N 0 0 446.547 -0.031 20 0 IBADRN C[C@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000753859516 1072896253 /nfs/dbraw/zinc/89/62/53/1072896253.db2.gz NPJYGCGPTBGXBI-XJKSGUPXSA-N 0 0 446.547 -0.031 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(CCNS(C)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000753860445 1072896043 /nfs/dbraw/zinc/89/60/43/1072896043.db2.gz UXWPTVLNAMBLBP-INIZCTEOSA-N 0 0 446.547 -0.029 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(CCNS(C)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000753860453 1072896204 /nfs/dbraw/zinc/89/62/04/1072896204.db2.gz UXWPTVLNAMBLBP-MRXNPFEDSA-N 0 0 446.547 -0.029 20 0 IBADRN NC(=O)COc1ccccc1C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000753861128 1072896139 /nfs/dbraw/zinc/89/61/39/1072896139.db2.gz YKAHZWJUUUWSRF-UHFFFAOYSA-N 0 0 433.442 -0.056 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000753876456 1072896179 /nfs/dbraw/zinc/89/61/79/1072896179.db2.gz VMIPPCWZHWQGCT-UHFFFAOYSA-N 0 0 447.535 -0.656 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)c1ccc(CCNS(C)(=O)=O)s1 ZINC000753880025 1072896110 /nfs/dbraw/zinc/89/61/10/1072896110.db2.gz WIKAQLCJHHBFQX-UHFFFAOYSA-N 0 0 426.538 -0.153 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000753884668 1072896160 /nfs/dbraw/zinc/89/61/60/1072896160.db2.gz ZDXKUJCHFXTQTL-UHFFFAOYSA-N 0 0 425.401 -0.088 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cn1nnc3ccccc3c1=O)c(=O)n2C ZINC000753886684 1072896216 /nfs/dbraw/zinc/89/62/16/1072896216.db2.gz HKUIHBPEJJNILV-UHFFFAOYSA-N 0 0 425.405 -0.828 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)c2cnc3c(c2CC)c(=O)n(C)c(=O)n3C)CC1 ZINC000753896977 1072896089 /nfs/dbraw/zinc/89/60/89/1072896089.db2.gz HKGZOLDNNWJVSU-UHFFFAOYSA-N 0 0 444.536 -0.131 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)=NN1c1ccccc1 ZINC000753941033 1072896100 /nfs/dbraw/zinc/89/61/00/1072896100.db2.gz STOWMGAEWBZUNW-GOSISDBHSA-N 0 0 449.533 -0.240 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)=NN1c1ccccc1 ZINC000753941039 1072896021 /nfs/dbraw/zinc/89/60/21/1072896021.db2.gz STOWMGAEWBZUNW-SFHVURJKSA-N 0 0 449.533 -0.240 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)C1=O ZINC000753958258 1072896711 /nfs/dbraw/zinc/89/67/11/1072896711.db2.gz AKRZQBRZYQXAEX-UHFFFAOYSA-N 0 0 434.453 -0.522 20 0 IBADRN O=C(CN1C(=S)N=NC1c1cccs1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753970387 1072896745 /nfs/dbraw/zinc/89/67/45/1072896745.db2.gz GCISAUALAANNRC-UHFFFAOYSA-N 0 0 440.536 -0.054 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753970413 1072896734 /nfs/dbraw/zinc/89/67/34/1072896734.db2.gz HZUUJPTVWATDGK-TWEXNZEWSA-N 0 0 428.540 -0.105 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753970437 1072896640 /nfs/dbraw/zinc/89/66/40/1072896640.db2.gz HZUUJPTVWATDGK-VEIWZVCTSA-N 0 0 428.540 -0.105 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753970441 1072896522 /nfs/dbraw/zinc/89/65/22/1072896522.db2.gz HZUUJPTVWATDGK-VFJIKVCNSA-N 0 0 428.540 -0.105 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000753970444 1072896548 /nfs/dbraw/zinc/89/65/48/1072896548.db2.gz HZUUJPTVWATDGK-YKAQBRKTSA-N 0 0 428.540 -0.105 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)C(=O)OC(C)(C)C ZINC000753971258 1072896589 /nfs/dbraw/zinc/89/65/89/1072896589.db2.gz OERSCDKQTLWEEF-UHFFFAOYSA-N 0 0 432.503 -0.479 20 0 IBADRN COc1ccc(NCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1-n1nnnc1C1CC1 ZINC000753975898 1072896654 /nfs/dbraw/zinc/89/66/54/1072896654.db2.gz NVDBQKYOVFNOOQ-CYBMUJFWSA-N 0 0 449.493 -0.027 20 0 IBADRN COc1ccc(NCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1-n1nnnc1C1CC1 ZINC000753975911 1072896721 /nfs/dbraw/zinc/89/67/21/1072896721.db2.gz NVDBQKYOVFNOOQ-ZDUSSCGKSA-N 0 0 449.493 -0.027 20 0 IBADRN CN1N=C(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCC1=O ZINC000753982684 1072896680 /nfs/dbraw/zinc/89/66/80/1072896680.db2.gz JBHTTWSVWYGYMY-UHFFFAOYSA-N 0 0 440.453 -0.190 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C(=O)c4cccc(F)c43)CC2)CC1 ZINC000753991275 1072896514 /nfs/dbraw/zinc/89/65/14/1072896514.db2.gz VHYQPCDCEGWUCD-UHFFFAOYSA-N 0 0 425.486 -0.578 20 0 IBADRN Cn1ncc2c1nnn(CN1CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC1)c2=O ZINC000753992136 1072896696 /nfs/dbraw/zinc/89/66/96/1072896696.db2.gz HPVKMQVDLSNOSL-UHFFFAOYSA-N 0 0 429.506 -0.022 20 0 IBADRN Cn1ncc2c1nnn(CN1CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC1)c2=O ZINC000753992319 1072896485 /nfs/dbraw/zinc/89/64/85/1072896485.db2.gz CZAXDJIXVVDXDK-UHFFFAOYSA-N 0 0 429.466 -0.635 20 0 IBADRN Cn1ncc2c1nnn(CN1CCN(S(=O)(=O)c3c(F)cccc3F)CC1)c2=O ZINC000753992482 1072896557 /nfs/dbraw/zinc/89/65/57/1072896557.db2.gz NPKNYCDUPGLABI-UHFFFAOYSA-N 0 0 425.421 -0.233 20 0 IBADRN CC1(C)SC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000753993492 1072896502 /nfs/dbraw/zinc/89/65/02/1072896502.db2.gz PRDPCAJUKJXITK-LLVKDONJSA-N 0 0 425.554 -0.448 20 0 IBADRN CC1(C)SC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000753993568 1072896570 /nfs/dbraw/zinc/89/65/70/1072896570.db2.gz PRDPCAJUKJXITK-NSHDSACASA-N 0 0 425.554 -0.448 20 0 IBADRN Cn1ncc2c1nnn(CN1CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC1)c2=O ZINC000753994119 1072897113 /nfs/dbraw/zinc/89/71/13/1072897113.db2.gz YGXVSLOZEHTHMG-UHFFFAOYSA-N 0 0 443.411 -0.094 20 0 IBADRN Cn1ncc2c1nnn(CN1CCN(S(=O)(=O)c3cccc4nsnc43)CC1)c2=O ZINC000753994175 1072897018 /nfs/dbraw/zinc/89/70/18/1072897018.db2.gz ZSLDJQNVFSMWHY-UHFFFAOYSA-N 0 0 447.506 -0.506 20 0 IBADRN O=C1c2cccc(F)c2N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000753994393 1072897043 /nfs/dbraw/zinc/89/70/43/1072897043.db2.gz UAIZTFMNHAOPBC-GFCCVEGCSA-N 0 0 445.494 -0.553 20 0 IBADRN O=C1c2cccc(F)c2N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000753994397 1072897145 /nfs/dbraw/zinc/89/71/45/1072897145.db2.gz UAIZTFMNHAOPBC-LBPRGKRZSA-N 0 0 445.494 -0.553 20 0 IBADRN Cn1ncc2c1nnn(CN1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1)c2=O ZINC000753996654 1072897117 /nfs/dbraw/zinc/89/71/17/1072897117.db2.gz YWOIYKITGPVORL-CYBMUJFWSA-N 0 0 434.501 -0.165 20 0 IBADRN Cn1ncc2c1nnn(CN1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1)c2=O ZINC000753996655 1072897154 /nfs/dbraw/zinc/89/71/54/1072897154.db2.gz YWOIYKITGPVORL-ZDUSSCGKSA-N 0 0 434.501 -0.165 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(Cn3nnc4c(cnn4C)c3=O)CC2)c1 ZINC000753996662 1072897030 /nfs/dbraw/zinc/89/70/30/1072897030.db2.gz VOZJAGJBYADMLB-UHFFFAOYSA-N 0 0 449.493 -0.494 20 0 IBADRN Cn1ncc2c1nnn(CN1CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC1)c2=O ZINC000753998274 1072897182 /nfs/dbraw/zinc/89/71/82/1072897182.db2.gz FRPFFNGHLCTGHH-UHFFFAOYSA-N 0 0 431.478 -0.656 20 0 IBADRN CC(C)n1cnc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000754002331 1072897065 /nfs/dbraw/zinc/89/70/65/1072897065.db2.gz OMSSWGDNIOMHNW-CYBMUJFWSA-N 0 0 440.569 -0.713 20 0 IBADRN CC(C)n1cnc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000754002367 1072897055 /nfs/dbraw/zinc/89/70/55/1072897055.db2.gz OMSSWGDNIOMHNW-ZDUSSCGKSA-N 0 0 440.569 -0.713 20 0 IBADRN COc1ccc(C(=O)N2CCN(Cn3nnc4c(cnn4C)c3=O)CC2)c(OC)c1OC ZINC000754003186 1072897099 /nfs/dbraw/zinc/89/70/99/1072897099.db2.gz AUDKFAQUCLQPPT-UHFFFAOYSA-N 0 0 443.464 -0.034 20 0 IBADRN COc1nc(N2CCN(Cn3nnc4c(cnn4C)c3=O)CC2)ncc1Br ZINC000754004859 1072897165 /nfs/dbraw/zinc/89/71/65/1072897165.db2.gz OKANUZJJAVQMPK-UHFFFAOYSA-N 0 0 436.274 -0.134 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3C[C@@H](OC)[C@@H](OC)C3)cc2)CC1 ZINC000754007869 1072897073 /nfs/dbraw/zinc/89/70/73/1072897073.db2.gz AYCDMLWIAVFLBX-HDICACEKSA-N 0 0 434.493 -0.208 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@@H](OC)[C@@H](OC)C1 ZINC000754011945 1072897646 /nfs/dbraw/zinc/89/76/46/1072897646.db2.gz XAEQGEHHZXFTFA-PHIMTYICSA-N 0 0 443.478 -0.231 20 0 IBADRN CO[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)C[C@@H]1OC ZINC000754012931 1072897598 /nfs/dbraw/zinc/89/75/98/1072897598.db2.gz IPHACCYDPRNWLG-GASCZTMLSA-N 0 0 448.519 -0.684 20 0 IBADRN CC1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)OCCCO1 ZINC000754025701 1072897515 /nfs/dbraw/zinc/89/75/15/1072897515.db2.gz REGLCWMUUIMJAD-UHFFFAOYSA-N 0 0 427.479 -0.085 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC3(C)OCCCO3)cc2)CC1 ZINC000754031384 1072897616 /nfs/dbraw/zinc/89/76/16/1072897616.db2.gz OZQZESXYKWWLHI-UHFFFAOYSA-N 0 0 440.522 -0.170 20 0 IBADRN CC1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)OCCCO1 ZINC000754032159 1072897447 /nfs/dbraw/zinc/89/74/47/1072897447.db2.gz VJKZXNGMSREDHC-UHFFFAOYSA-N 0 0 440.478 -0.985 20 0 IBADRN CC1(CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)OCCCO1 ZINC000754032870 1072897774 /nfs/dbraw/zinc/89/77/74/1072897774.db2.gz AKSRBKVATDZPIV-KRWDZBQOSA-N 0 0 433.461 -0.091 20 0 IBADRN CC1(CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)OCCCO1 ZINC000754032871 1072897460 /nfs/dbraw/zinc/89/74/60/1072897460.db2.gz AKSRBKVATDZPIV-QGZVFWFLSA-N 0 0 433.461 -0.091 20 0 IBADRN CC1(CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)OCCCO1 ZINC000754032880 1072897532 /nfs/dbraw/zinc/89/75/32/1072897532.db2.gz ARZUFYDVHXRUOF-UHFFFAOYSA-N 0 0 434.493 -0.044 20 0 IBADRN CC1(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)OCCCO1 ZINC000754037935 1072897782 /nfs/dbraw/zinc/89/77/82/1072897782.db2.gz ZAVPJEXWJGKBRS-UHFFFAOYSA-N 0 0 427.479 -0.085 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC3(C)OCCCO3)c2)CC1 ZINC000754039414 1072897485 /nfs/dbraw/zinc/89/74/85/1072897485.db2.gz QZFDQRPEGUOKPW-UHFFFAOYSA-N 0 0 440.522 -0.170 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2cnc3c(c2CC)c(=O)n(C)c(=O)n3C)CC1 ZINC000754041270 1072898185 /nfs/dbraw/zinc/89/81/85/1072898185.db2.gz MALPDBBTASTBKM-UHFFFAOYSA-N 0 0 431.449 -0.958 20 0 IBADRN CCc1c(C(=O)N2CCSC[C@H]2S(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754050259 1072898401 /nfs/dbraw/zinc/89/84/01/1072898401.db2.gz AQNJYMZICLEEHJ-GFCCVEGCSA-N 0 0 426.520 -0.246 20 0 IBADRN CCc1c(C(=O)N2CCSC[C@@H]2S(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754050263 1072898261 /nfs/dbraw/zinc/89/82/61/1072898261.db2.gz AQNJYMZICLEEHJ-LBPRGKRZSA-N 0 0 426.520 -0.246 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)cc1 ZINC000754050518 1072898250 /nfs/dbraw/zinc/89/82/50/1072898250.db2.gz VGUXNVAXUDHMGY-UHFFFAOYSA-N 0 0 438.384 -0.002 20 0 IBADRN CCc1c(C(=O)Nc2ccn(CCS(C)(=O)=O)n2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754056069 1072898293 /nfs/dbraw/zinc/89/82/93/1072898293.db2.gz OOFVGPURQBWOLV-UHFFFAOYSA-N 0 0 434.478 -0.312 20 0 IBADRN CCc1c(C(=O)NCC2(O)CCC3(CC2)OCCO3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754060308 1072898487 /nfs/dbraw/zinc/89/84/87/1072898487.db2.gz PAIAEQIQOWBDKZ-UHFFFAOYSA-N 0 0 432.477 -0.027 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(Cc2nc(=O)n(C)[nH]2)CC1 ZINC000754061304 1072898423 /nfs/dbraw/zinc/89/84/23/1072898423.db2.gz SGUDRBQVJDSOBI-UHFFFAOYSA-N 0 0 429.524 -0.673 20 0 IBADRN CC1(C)CN=C(N2CCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)S1 ZINC000754105892 1072898378 /nfs/dbraw/zinc/89/83/78/1072898378.db2.gz ZSKNHQIKKBPARH-UHFFFAOYSA-N 0 0 432.616 -0.228 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000754113268 1072898510 /nfs/dbraw/zinc/89/85/10/1072898510.db2.gz SFTDNTNWJMNSOI-INIZCTEOSA-N 0 0 440.522 -0.055 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(CNS(C)(=O)=O)o1)[C@H]1CCS(=O)(=O)C1 ZINC000754135416 1072898232 /nfs/dbraw/zinc/89/82/32/1072898232.db2.gz JWGJDQOOUDQVIA-NEPJUHHUSA-N 0 0 436.508 -0.090 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(CNS(C)(=O)=O)o1)[C@@H]1CCS(=O)(=O)C1 ZINC000754135428 1072898278 /nfs/dbraw/zinc/89/82/78/1072898278.db2.gz JWGJDQOOUDQVIA-NWDGAFQWSA-N 0 0 436.508 -0.090 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(CNS(C)(=O)=O)o1)[C@H]1CCS(=O)(=O)C1 ZINC000754135433 1072898196 /nfs/dbraw/zinc/89/81/96/1072898196.db2.gz JWGJDQOOUDQVIA-RYUDHWBXSA-N 0 0 436.508 -0.090 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(CNS(C)(=O)=O)o1)[C@@H]1CCS(=O)(=O)C1 ZINC000754135436 1072898168 /nfs/dbraw/zinc/89/81/68/1072898168.db2.gz JWGJDQOOUDQVIA-VXGBXAGGSA-N 0 0 436.508 -0.090 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1ccc(CNS(C)(=O)=O)o1)c(=O)n2C ZINC000754136479 1072898240 /nfs/dbraw/zinc/89/82/40/1072898240.db2.gz QEHYKOMOMVWJHR-UHFFFAOYSA-N 0 0 439.450 -0.677 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000754142450 1072898882 /nfs/dbraw/zinc/89/88/82/1072898882.db2.gz CFKNQHXHIPDSOE-UHFFFAOYSA-N 0 0 425.423 -0.770 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O ZINC000754147485 1072898903 /nfs/dbraw/zinc/89/89/03/1072898903.db2.gz FHZWBHLQNNJMRY-UHFFFAOYSA-N 0 0 439.512 -0.431 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000754148717 1072898953 /nfs/dbraw/zinc/89/89/53/1072898953.db2.gz JKMBPRCZELORCP-UHFFFAOYSA-N 0 0 444.510 -0.549 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000754151869 1072898973 /nfs/dbraw/zinc/89/89/73/1072898973.db2.gz WGLZEZOCFIJRCO-UHFFFAOYSA-N 0 0 425.419 -0.030 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000754161534 1072898812 /nfs/dbraw/zinc/89/88/12/1072898812.db2.gz JISUBXKSANDLOE-CYBMUJFWSA-N 0 0 446.485 -0.094 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000754161541 1072898833 /nfs/dbraw/zinc/89/88/33/1072898833.db2.gz JISUBXKSANDLOE-ZDUSSCGKSA-N 0 0 446.485 -0.094 20 0 IBADRN Cc1oc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1S(=O)(=O)N(C)C ZINC000754164521 1072898910 /nfs/dbraw/zinc/89/89/10/1072898910.db2.gz MMJBUNKUHYJTBW-UHFFFAOYSA-N 0 0 444.510 -0.772 20 0 IBADRN COc1cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)sc1S(N)(=O)=O ZINC000754170926 1072898800 /nfs/dbraw/zinc/89/88/00/1072898800.db2.gz WGUPFLWRHCEWKD-UHFFFAOYSA-N 0 0 443.463 -0.992 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)sc1S(N)(=O)=O ZINC000754171735 1072898965 /nfs/dbraw/zinc/89/89/65/1072898965.db2.gz KSSLJIVJKGHUBZ-UHFFFAOYSA-N 0 0 431.492 -0.358 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2cc(OC)c(S(N)(=O)=O)s2)CC1 ZINC000754172678 1072898940 /nfs/dbraw/zinc/89/89/40/1072898940.db2.gz PZMWZTTWCUFFCD-UHFFFAOYSA-N 0 0 435.480 -0.138 20 0 IBADRN Cc1oc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000754175631 1072898857 /nfs/dbraw/zinc/89/88/57/1072898857.db2.gz CSXUEXDXYSFFMH-UHFFFAOYSA-N 0 0 439.512 -0.607 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000754176864 1072899007 /nfs/dbraw/zinc/89/90/07/1072899007.db2.gz PLJBJJAMLPRCPY-UHFFFAOYSA-N 0 0 426.561 -0.991 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(-n2nnnc2C2CC2)c1 ZINC000754188229 1072898984 /nfs/dbraw/zinc/89/89/84/1072898984.db2.gz CREIZZRPNIPNFU-UHFFFAOYSA-N 0 0 437.482 -0.458 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCc1ccc(C(N)=O)nc1 ZINC000754204764 1072898826 /nfs/dbraw/zinc/89/88/26/1072898826.db2.gz PCQGWTCERANXDZ-UHFFFAOYSA-N 0 0 431.474 -0.364 20 0 IBADRN COCCCS(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000754238300 1072898996 /nfs/dbraw/zinc/89/89/96/1072898996.db2.gz JLTKJGVNTUSGEQ-UHFFFAOYSA-N 0 0 441.572 -0.081 20 0 IBADRN CCOCCS(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000754238376 1072898871 /nfs/dbraw/zinc/89/88/71/1072898871.db2.gz KBBNXNKNBWLZQM-UHFFFAOYSA-N 0 0 441.572 -0.081 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(S(=O)(=O)N3CCCC3)C2)CC1 ZINC000754238432 1072898892 /nfs/dbraw/zinc/89/88/92/1072898892.db2.gz KVRRQDJMVKUPLF-UHFFFAOYSA-N 0 0 438.572 -0.106 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(S(=O)(=O)c3c[nH]cn3)C2)CC1 ZINC000754239456 1072898843 /nfs/dbraw/zinc/89/88/43/1072898843.db2.gz OVVBBSIJWKJQHZ-UHFFFAOYSA-N 0 0 435.528 -0.335 20 0 IBADRN C[C@H](CNC(=O)C(F)(F)C(F)(F)C(=O)NC[C@@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000754240326 1072898922 /nfs/dbraw/zinc/89/89/22/1072898922.db2.gz UGPWZJSMGWXFKW-HTQZYQBOSA-N 0 0 428.426 -0.644 20 0 IBADRN C[C@H](CNC(=O)C(F)(F)C(F)(F)C(=O)NC[C@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000754240328 1072899021 /nfs/dbraw/zinc/89/90/21/1072899021.db2.gz UGPWZJSMGWXFKW-OCAPTIKFSA-N 0 0 428.426 -0.644 20 0 IBADRN C[C@@H](CNC(=O)C(F)(F)C(F)(F)C(=O)NC[C@H](C)S(C)(=O)=O)S(C)(=O)=O ZINC000754240329 1072899334 /nfs/dbraw/zinc/89/93/34/1072899334.db2.gz UGPWZJSMGWXFKW-YUMQZZPRSA-N 0 0 428.426 -0.644 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(c3nc(N)ns3)CC2)C(=O)C1 ZINC000754242158 1072899395 /nfs/dbraw/zinc/89/93/95/1072899395.db2.gz SYOMTLPGSOTQRX-UHFFFAOYSA-N 0 0 425.515 -0.152 20 0 IBADRN CN1CCCc2ccc(S(=O)(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc21 ZINC000754244415 1072899371 /nfs/dbraw/zinc/89/93/71/1072899371.db2.gz WARJJAXVXKUJID-UHFFFAOYSA-N 0 0 436.534 -0.239 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@@H]1CC=CC[C@@H]1C(=O)OCC(=O)NC(=O)NCC ZINC000754259079 1072899511 /nfs/dbraw/zinc/89/95/11/1072899511.db2.gz JPVQJFCEPNNXRX-TXEJJXNPSA-N 0 0 426.426 -0.653 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1O ZINC000754259501 1072899276 /nfs/dbraw/zinc/89/92/76/1072899276.db2.gz LGMADQQYCJYQLN-AWEZNQCLSA-N 0 0 442.490 -0.103 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1O ZINC000754259517 1072899409 /nfs/dbraw/zinc/89/94/09/1072899409.db2.gz LGMADQQYCJYQLN-CQSZACIVSA-N 0 0 442.490 -0.103 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1CC=CC[C@@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000754259577 1072899433 /nfs/dbraw/zinc/89/94/33/1072899433.db2.gz LLBWFSRCYVVUHP-BKUVIOGVSA-N 0 0 426.426 -0.657 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1CC=CC[C@@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000754259582 1072899312 /nfs/dbraw/zinc/89/93/12/1072899312.db2.gz LLBWFSRCYVVUHP-FIQHERPVSA-N 0 0 426.426 -0.657 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@H]1CC=CC[C@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000754259586 1072899340 /nfs/dbraw/zinc/89/93/40/1072899340.db2.gz LLBWFSRCYVVUHP-IWDIQUIJSA-N 0 0 426.426 -0.657 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1CC=CC[C@@H]1C(=O)O[C@H](C)C(=O)NC(=O)NC ZINC000754259592 1072899305 /nfs/dbraw/zinc/89/93/05/1072899305.db2.gz LLBWFSRCYVVUHP-KKOKHZNYSA-N 0 0 426.426 -0.657 20 0 IBADRN CCN(C(=O)CON=C(N)C1=C(N)C2(CCCC2)N(C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000754276975 1072899447 /nfs/dbraw/zinc/89/94/47/1072899447.db2.gz IEXCSHRXUAFFHP-GFCCVEGCSA-N 0 0 427.527 -0.482 20 0 IBADRN CCN(C(=O)CON=C(N)C1=C(N)C2(CCCC2)N(C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000754276978 1072899320 /nfs/dbraw/zinc/89/93/20/1072899320.db2.gz IEXCSHRXUAFFHP-LBPRGKRZSA-N 0 0 427.527 -0.482 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCN(C4=NCC(C)(C)S4)CC3)c2c(=O)n(C)c1=O ZINC000754285802 1072899345 /nfs/dbraw/zinc/89/93/45/1072899345.db2.gz PNEZVJWQTXUTSU-UHFFFAOYSA-N 0 0 433.538 -0.151 20 0 IBADRN CC1(C)CN=C(N2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)S1 ZINC000754287254 1072900022 /nfs/dbraw/zinc/90/00/22/1072900022.db2.gz IVHCKBBEEAVSHD-UHFFFAOYSA-N 0 0 428.540 -0.583 20 0 IBADRN CC1(C)CN=C(N2CCN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)CC2)S1 ZINC000754294067 1072899952 /nfs/dbraw/zinc/89/99/52/1072899952.db2.gz YSIOWJGDOXFVDV-UHFFFAOYSA-N 0 0 429.524 -0.318 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000754298574 1072900012 /nfs/dbraw/zinc/90/00/12/1072900012.db2.gz LVWBOUPYNYMTAT-FZADKHRPSA-N 0 0 447.623 -0.381 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000754298577 1072900118 /nfs/dbraw/zinc/90/01/18/1072900118.db2.gz LVWBOUPYNYMTAT-OHIOEBFPSA-N 0 0 447.623 -0.381 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000754298579 1072899912 /nfs/dbraw/zinc/89/99/12/1072899912.db2.gz LVWBOUPYNYMTAT-QNNYTVFASA-N 0 0 447.623 -0.381 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000754298581 1072900054 /nfs/dbraw/zinc/90/00/54/1072900054.db2.gz LVWBOUPYNYMTAT-TYGBVEFZSA-N 0 0 447.623 -0.381 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000754298670 1072900087 /nfs/dbraw/zinc/90/00/87/1072900087.db2.gz MPGCANVDKHMVMR-GMYLUUGSSA-N 0 0 442.563 -0.790 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000754298682 1072900074 /nfs/dbraw/zinc/90/00/74/1072900074.db2.gz MPGCANVDKHMVMR-HJFSHJIFSA-N 0 0 442.563 -0.790 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000754298685 1072900123 /nfs/dbraw/zinc/90/01/23/1072900123.db2.gz MPGCANVDKHMVMR-POXGOYDTSA-N 0 0 442.563 -0.790 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000754298688 1072900097 /nfs/dbraw/zinc/90/00/97/1072900097.db2.gz MPGCANVDKHMVMR-SUMNFNSASA-N 0 0 442.563 -0.790 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(CC[S@@](C)=O)CC2)cc1 ZINC000754301379 1072899982 /nfs/dbraw/zinc/89/99/82/1072899982.db2.gz DQZBMJLEKMCXAX-MUUNZHRXSA-N 0 0 445.563 -0.336 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(CC[S@](C)=O)CC2)cc1 ZINC000754301382 1072900001 /nfs/dbraw/zinc/90/00/01/1072900001.db2.gz DQZBMJLEKMCXAX-NDEPHWFRSA-N 0 0 445.563 -0.336 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000754302440 1072900111 /nfs/dbraw/zinc/90/01/11/1072900111.db2.gz IVUXEMYSOJXYCR-HHHXNRCGSA-N 0 0 449.620 -0.166 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC000754302441 1072900103 /nfs/dbraw/zinc/90/01/03/1072900103.db2.gz IVUXEMYSOJXYCR-MHZLTWQESA-N 0 0 449.620 -0.166 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000754303077 1072899994 /nfs/dbraw/zinc/89/99/94/1072899994.db2.gz ZQQJDMKFJUVQJH-BEIWTESXSA-N 0 0 434.518 -0.561 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cccnc3)C2=O)CC1 ZINC000754303084 1072900065 /nfs/dbraw/zinc/90/00/65/1072900065.db2.gz OUGHYGWHIZSAOO-NRFANRHFSA-N 0 0 430.509 -0.093 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000754303086 1072899969 /nfs/dbraw/zinc/89/99/69/1072899969.db2.gz ZQQJDMKFJUVQJH-DFYVNMARSA-N 0 0 434.518 -0.561 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000754303090 1072900476 /nfs/dbraw/zinc/90/04/76/1072900476.db2.gz ZQQJDMKFJUVQJH-JTBPQFFMSA-N 0 0 434.518 -0.561 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC000754303095 1072900310 /nfs/dbraw/zinc/90/03/10/1072900310.db2.gz ZQQJDMKFJUVQJH-XHDOVSQSSA-N 0 0 434.518 -0.561 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3cccnc3)C2=O)CC1 ZINC000754303122 1072900284 /nfs/dbraw/zinc/90/02/84/1072900284.db2.gz OUGHYGWHIZSAOO-OAQYLSRUSA-N 0 0 430.509 -0.093 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(CC[S@@](C)=O)CC2)CC1 ZINC000754304519 1072900494 /nfs/dbraw/zinc/90/04/94/1072900494.db2.gz RFPVWSYFYIFBNA-MUUNZHRXSA-N 0 0 445.611 -0.050 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(CC[S@](C)=O)CC2)CC1 ZINC000754304523 1072900429 /nfs/dbraw/zinc/90/04/29/1072900429.db2.gz RFPVWSYFYIFBNA-NDEPHWFRSA-N 0 0 445.611 -0.050 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000754304944 1072900296 /nfs/dbraw/zinc/90/02/96/1072900296.db2.gz SREKYUOJWVECRJ-HHHXNRCGSA-N 0 0 449.620 -0.031 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000754304948 1072900420 /nfs/dbraw/zinc/90/04/20/1072900420.db2.gz SREKYUOJWVECRJ-MHZLTWQESA-N 0 0 449.620 -0.031 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cccc1S(N)(=O)=O ZINC000754309175 1072900380 /nfs/dbraw/zinc/90/03/80/1072900380.db2.gz DUVHNMOJJLZGPJ-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000754313642 1072900358 /nfs/dbraw/zinc/90/03/58/1072900358.db2.gz DZFUQRURAUOSSU-UHFFFAOYSA-N 0 0 425.554 -0.987 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@H](C(=O)Nc3ccccn3)C2)CC1 ZINC000754314307 1072900328 /nfs/dbraw/zinc/90/03/28/1072900328.db2.gz IQDGUKWXQMZNFK-AWEZNQCLSA-N 0 0 431.540 -0.446 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](C(=O)Nc3ccccn3)C2)CC1 ZINC000754314311 1072900453 /nfs/dbraw/zinc/90/04/53/1072900453.db2.gz IQDGUKWXQMZNFK-CQSZACIVSA-N 0 0 431.540 -0.446 20 0 IBADRN CC(C)(C)OC(=O)N1CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000754316520 1072900503 /nfs/dbraw/zinc/90/05/03/1072900503.db2.gz SMKBFDUPALIFBB-UHFFFAOYSA-N 0 0 426.561 -0.249 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754316585 1072900338 /nfs/dbraw/zinc/90/03/38/1072900338.db2.gz TZFLIRGQCVTYLW-CYBMUJFWSA-N 0 0 426.561 -0.203 20 0 IBADRN CCN(CC)C(=O)[C@H](Cc1ccccc1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000754317264 1072900823 /nfs/dbraw/zinc/90/08/23/1072900823.db2.gz ACGPJIIKBUSGFD-KRWDZBQOSA-N 0 0 446.595 -0.122 20 0 IBADRN CCN(CC)C(=O)[C@@H](Cc1ccccc1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000754317265 1072900937 /nfs/dbraw/zinc/90/09/37/1072900937.db2.gz ACGPJIIKBUSGFD-QGZVFWFLSA-N 0 0 446.595 -0.122 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000754317861 1072900867 /nfs/dbraw/zinc/90/08/67/1072900867.db2.gz JQRODKFCYVCZTM-UHFFFAOYSA-N 0 0 430.552 -0.166 20 0 IBADRN COc1cccc([C@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c2nccn2C)c1 ZINC000754318608 1072900810 /nfs/dbraw/zinc/90/08/10/1072900810.db2.gz TXXNAECZEXVHNR-INIZCTEOSA-N 0 0 443.551 -0.070 20 0 IBADRN COc1cccc([C@@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c2nccn2C)c1 ZINC000754318609 1072900904 /nfs/dbraw/zinc/90/09/04/1072900904.db2.gz TXXNAECZEXVHNR-MRXNPFEDSA-N 0 0 443.551 -0.070 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754318713 1072900922 /nfs/dbraw/zinc/90/09/22/1072900922.db2.gz BZIUSIGZMWSFRL-CYBMUJFWSA-N 0 0 426.561 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754318715 1072900981 /nfs/dbraw/zinc/90/09/81/1072900981.db2.gz BZIUSIGZMWSFRL-ZDUSSCGKSA-N 0 0 426.561 -0.203 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)C2CN(C(=O)OCc3ccccc3)C2)CC1 ZINC000754318787 1072900836 /nfs/dbraw/zinc/90/08/36/1072900836.db2.gz DTOOFPOPKWRIPE-UHFFFAOYSA-N 0 0 446.551 -0.757 20 0 IBADRN CNC(=O)c1ccc(CN(C2CC2)S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000754319208 1072901013 /nfs/dbraw/zinc/90/10/13/1072901013.db2.gz XBAXUBJLWJEYPV-UHFFFAOYSA-N 0 0 430.552 -0.167 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCC2CCN(C(=O)C(F)(F)F)CC2)CC1 ZINC000754319418 1072900890 /nfs/dbraw/zinc/90/08/90/1072900890.db2.gz KILRPZZACJEVKF-UHFFFAOYSA-N 0 0 436.478 -0.801 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CCN1C(=O)OC(C)(C)C ZINC000754319437 1072900968 /nfs/dbraw/zinc/90/09/68/1072900968.db2.gz KOWYBUZRLREZKK-CYBMUJFWSA-N 0 0 426.561 -0.250 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CCN1C(=O)OC(C)(C)C ZINC000754319440 1072901039 /nfs/dbraw/zinc/90/10/39/1072901039.db2.gz KOWYBUZRLREZKK-ZDUSSCGKSA-N 0 0 426.561 -0.250 20 0 IBADRN CN(C[C@@H]1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1)C(=O)OC(C)(C)C ZINC000754319610 1072900854 /nfs/dbraw/zinc/90/08/54/1072900854.db2.gz DQBCOIQJCCRMMJ-AWEZNQCLSA-N 0 0 440.588 -0.003 20 0 IBADRN CN(C[C@H]1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1)C(=O)OC(C)(C)C ZINC000754319612 1072900951 /nfs/dbraw/zinc/90/09/51/1072900951.db2.gz DQBCOIQJCCRMMJ-CQSZACIVSA-N 0 0 440.588 -0.003 20 0 IBADRN CC(C)COC(=O)N1CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000754319616 1072900847 /nfs/dbraw/zinc/90/08/47/1072900847.db2.gz DSWKNTFSULMAEC-UHFFFAOYSA-N 0 0 426.561 -0.391 20 0 IBADRN CN([C@@H]1CCN(C(=O)OC(C)(C)C)C1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000754319905 1072901029 /nfs/dbraw/zinc/90/10/29/1072901029.db2.gz NAEQQMDRBIXRQS-CYBMUJFWSA-N 0 0 426.561 -0.250 20 0 IBADRN CN([C@H]1CCN(C(=O)OC(C)(C)C)C1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000754319907 1072900996 /nfs/dbraw/zinc/90/09/96/1072900996.db2.gz NAEQQMDRBIXRQS-ZDUSSCGKSA-N 0 0 426.561 -0.250 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000754320246 1072901573 /nfs/dbraw/zinc/90/15/73/1072901573.db2.gz IVAPJPHGLZFQOL-CYBMUJFWSA-N 0 0 426.561 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000754320247 1072901411 /nfs/dbraw/zinc/90/14/11/1072901411.db2.gz IVAPJPHGLZFQOL-ZDUSSCGKSA-N 0 0 426.561 -0.203 20 0 IBADRN CC(C)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)NC(=O)OC(C)(C)C ZINC000754320470 1072901418 /nfs/dbraw/zinc/90/14/18/1072901418.db2.gz UFARJCAQEFSFFV-CYBMUJFWSA-N 0 0 428.577 -0.053 20 0 IBADRN CC(C)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)NC(=O)OC(C)(C)C ZINC000754320471 1072901402 /nfs/dbraw/zinc/90/14/02/1072901402.db2.gz UFARJCAQEFSFFV-ZDUSSCGKSA-N 0 0 428.577 -0.053 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754320677 1072901516 /nfs/dbraw/zinc/90/15/16/1072901516.db2.gz KWDONZOYNOFKQO-CYBMUJFWSA-N 0 0 426.561 -0.345 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754320682 1072901541 /nfs/dbraw/zinc/90/15/41/1072901541.db2.gz KWDONZOYNOFKQO-ZDUSSCGKSA-N 0 0 426.561 -0.345 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000754320701 1072901552 /nfs/dbraw/zinc/90/15/52/1072901552.db2.gz VOUKYOIRSDOCLW-CYBMUJFWSA-N 0 0 426.561 -0.299 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000754320702 1072901387 /nfs/dbraw/zinc/90/13/87/1072901387.db2.gz VOUKYOIRSDOCLW-ZDUSSCGKSA-N 0 0 426.561 -0.299 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCc3c(cccc3N3CCOC3=O)C2)CC1 ZINC000754320800 1072901369 /nfs/dbraw/zinc/90/13/69/1072901369.db2.gz WLBNXPMXUALBIC-UHFFFAOYSA-N 0 0 444.535 -0.177 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1cc(F)ccc1F ZINC000754320833 1072901425 /nfs/dbraw/zinc/90/14/25/1072901425.db2.gz MXIFNULGWXSSAG-CYBMUJFWSA-N 0 0 427.451 -0.410 20 0 IBADRN COC(=O)[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1cc(F)ccc1F ZINC000754320834 1072901469 /nfs/dbraw/zinc/90/14/69/1072901469.db2.gz MXIFNULGWXSSAG-ZDUSSCGKSA-N 0 0 427.451 -0.410 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000754321117 1072901498 /nfs/dbraw/zinc/90/14/98/1072901498.db2.gz ONEDLRHWXQZTEY-CYBMUJFWSA-N 0 0 426.561 -0.250 20 0 IBADRN C[C@H]1CN(C(=O)OC(C)(C)C)CCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000754321127 1072901407 /nfs/dbraw/zinc/90/14/07/1072901407.db2.gz ONEDLRHWXQZTEY-ZDUSSCGKSA-N 0 0 426.561 -0.250 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1F ZINC000754321283 1072901486 /nfs/dbraw/zinc/90/14/86/1072901486.db2.gz XWCSFPWARLFOOY-UHFFFAOYSA-N 0 0 427.451 -0.654 20 0 IBADRN CCN1C(=S)N=NC1[C@@H]1CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754321300 1072901459 /nfs/dbraw/zinc/90/14/59/1072901459.db2.gz XXJZNTNIKHXVEG-GFCCVEGCSA-N 0 0 438.601 -0.412 20 0 IBADRN CCN1C(=S)N=NC1[C@H]1CCCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754321305 1072901380 /nfs/dbraw/zinc/90/13/80/1072901380.db2.gz XXJZNTNIKHXVEG-LBPRGKRZSA-N 0 0 438.601 -0.412 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(Cc3ccc(Cl)nc3)CC2)CC1 ZINC000754321318 1072901525 /nfs/dbraw/zinc/90/15/25/1072901525.db2.gz YFXLQBSCIVYRML-UHFFFAOYSA-N 0 0 437.975 -0.325 20 0 IBADRN Cc1ccc(N2CCC[C@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000754321460 1072901450 /nfs/dbraw/zinc/90/14/50/1072901450.db2.gz YXHREEWTGCKLPF-INIZCTEOSA-N 0 0 430.552 -0.098 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000754321464 1072901394 /nfs/dbraw/zinc/90/13/94/1072901394.db2.gz YXHREEWTGCKLPF-MRXNPFEDSA-N 0 0 430.552 -0.098 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H]1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754322234 1072902088 /nfs/dbraw/zinc/90/20/88/1072902088.db2.gz JHCPNXLRPYHUKD-CYBMUJFWSA-N 0 0 426.561 -0.250 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H]1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000754322236 1072902140 /nfs/dbraw/zinc/90/21/40/1072902140.db2.gz JHCPNXLRPYHUKD-ZDUSSCGKSA-N 0 0 426.561 -0.250 20 0 IBADRN CC(C)(C)OC(=O)N(CCNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000754322345 1072901999 /nfs/dbraw/zinc/90/19/99/1072901999.db2.gz XKSYTFMWKPVOKG-UHFFFAOYSA-N 0 0 426.561 -0.203 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000754322987 1072901563 /nfs/dbraw/zinc/90/15/63/1072901563.db2.gz PTRJPKDVEVNOIF-UHFFFAOYSA-N 0 0 434.536 -0.022 20 0 IBADRN Cc1c(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)cccc1S(N)(=O)=O ZINC000754324919 1072902024 /nfs/dbraw/zinc/90/20/24/1072902024.db2.gz IGUMYXCCHSIWJV-UHFFFAOYSA-N 0 0 449.551 -0.024 20 0 IBADRN Cc1c(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)cccc1S(N)(=O)=O ZINC000754325295 1072902101 /nfs/dbraw/zinc/90/21/01/1072902101.db2.gz IQEYRGKGOSEGLQ-UHFFFAOYSA-N 0 0 435.458 -0.020 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCC1(O)CCC2(CC1)OCCO2 ZINC000754326455 1072901951 /nfs/dbraw/zinc/90/19/51/1072901951.db2.gz GRTCISULSAOBRV-KRWDZBQOSA-N 0 0 425.526 -0.136 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCC1(O)CCC2(CC1)OCCO2 ZINC000754326458 1072901931 /nfs/dbraw/zinc/90/19/31/1072901931.db2.gz GRTCISULSAOBRV-QGZVFWFLSA-N 0 0 425.526 -0.136 20 0 IBADRN Cc1c(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cccc1S(N)(=O)=O ZINC000754331479 1072902051 /nfs/dbraw/zinc/90/20/51/1072902051.db2.gz OLVQHKNMZBNBRK-UHFFFAOYSA-N 0 0 435.462 -0.363 20 0 IBADRN CCc1c(C(=O)OCc2nc(CS(C)(=O)=O)no2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754341097 1072902158 /nfs/dbraw/zinc/90/21/58/1072902158.db2.gz BFMMJAUMYYRLTB-UHFFFAOYSA-N 0 0 437.434 -0.521 20 0 IBADRN CCc1c(C(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754341210 1072902080 /nfs/dbraw/zinc/90/20/80/1072902080.db2.gz CVANDJWTBDNLHR-LLVKDONJSA-N 0 0 445.476 -0.536 20 0 IBADRN CCc1c(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754341212 1072902120 /nfs/dbraw/zinc/90/21/20/1072902120.db2.gz CVANDJWTBDNLHR-NSHDSACASA-N 0 0 445.476 -0.536 20 0 IBADRN CCc1c(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754341228 1072901969 /nfs/dbraw/zinc/90/19/69/1072901969.db2.gz DCEJOEDACOKKBS-UHFFFAOYSA-N 0 0 445.354 -0.261 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754341784 1072901917 /nfs/dbraw/zinc/90/19/17/1072901917.db2.gz GAFGJDBJCVHUQT-UHFFFAOYSA-N 0 0 446.460 -0.283 20 0 IBADRN CCc1c(C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754342181 1072902154 /nfs/dbraw/zinc/90/21/54/1072902154.db2.gz HFCDTJGSVAPLFE-LLVKDONJSA-N 0 0 445.476 -0.523 20 0 IBADRN CCc1c(C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754342186 1072901983 /nfs/dbraw/zinc/90/19/83/1072901983.db2.gz HFCDTJGSVAPLFE-NSHDSACASA-N 0 0 445.476 -0.523 20 0 IBADRN CCc1c(C(=O)OCCNS(=O)(=O)CCCOC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754344177 1072902370 /nfs/dbraw/zinc/90/23/70/1072902370.db2.gz COXNYAOWQHOKOH-UHFFFAOYSA-N 0 0 442.494 -0.693 20 0 IBADRN CCc1c(C(=O)OCC(=O)NC2CCN(C(C)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754344278 1072902508 /nfs/dbraw/zinc/90/25/08/1072902508.db2.gz QOHDWHJAUGVXDT-UHFFFAOYSA-N 0 0 445.476 -0.522 20 0 IBADRN CCc1c(C(=O)OCCCS(=O)(=O)CCOC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754344822 1072902453 /nfs/dbraw/zinc/90/24/53/1072902453.db2.gz DUBZZNQTKMKVDW-UHFFFAOYSA-N 0 0 427.479 -0.197 20 0 IBADRN CCc1c(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754344932 1072902009 /nfs/dbraw/zinc/90/20/09/1072902009.db2.gz SXQNHIVOLZLSHY-NEPJUHHUSA-N 0 0 445.476 -0.536 20 0 IBADRN CCc1c(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754344935 1072902039 /nfs/dbraw/zinc/90/20/39/1072902039.db2.gz SXQNHIVOLZLSHY-NWDGAFQWSA-N 0 0 445.476 -0.536 20 0 IBADRN CCc1c(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754344937 1072902034 /nfs/dbraw/zinc/90/20/34/1072902034.db2.gz SXQNHIVOLZLSHY-RYUDHWBXSA-N 0 0 445.476 -0.536 20 0 IBADRN CCc1c(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754344939 1072902145 /nfs/dbraw/zinc/90/21/45/1072902145.db2.gz SXQNHIVOLZLSHY-VXGBXAGGSA-N 0 0 445.476 -0.536 20 0 IBADRN CCc1c(C(=O)OCC(=O)NC(=O)NCc2ccco2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754346143 1072902550 /nfs/dbraw/zinc/90/25/50/1072902550.db2.gz KYFVITWQSQMWGF-UHFFFAOYSA-N 0 0 443.416 -0.030 20 0 IBADRN CCc1c(C(=O)OCc2nc3c(cnn3C)c(=O)[nH]2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754346210 1072902527 /nfs/dbraw/zinc/90/25/27/1072902527.db2.gz LJYWHMFEJPHSAV-UHFFFAOYSA-N 0 0 425.405 -0.066 20 0 IBADRN CCc1c(C(=O)OCCc2cn(CC(=O)OC)nn2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754347110 1072902422 /nfs/dbraw/zinc/90/24/22/1072902422.db2.gz PMPPKSWXVUIXNM-UHFFFAOYSA-N 0 0 430.421 -0.641 20 0 IBADRN CCc1c(C(=O)O[C@H]2CCN(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754347666 1072902355 /nfs/dbraw/zinc/90/23/55/1072902355.db2.gz UDVLTKCREXBUKY-AWEZNQCLSA-N 0 0 426.433 -0.110 20 0 IBADRN CCc1c(C(=O)O[C@@H]2CCN(c3cnn(C)c3)C2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754347669 1072902611 /nfs/dbraw/zinc/90/26/11/1072902611.db2.gz UDVLTKCREXBUKY-CQSZACIVSA-N 0 0 426.433 -0.110 20 0 IBADRN CCc1c(C(=O)OCC(=O)NC(=O)N[C@@H](C)COC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754347759 1072902518 /nfs/dbraw/zinc/90/25/18/1072902518.db2.gz VEIQFVDBOHMHBS-JTQLQIEISA-N 0 0 435.437 -0.788 20 0 IBADRN CCc1c(C(=O)OCC(=O)NC(=O)N[C@H](C)COC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754347760 1072902480 /nfs/dbraw/zinc/90/24/80/1072902480.db2.gz VEIQFVDBOHMHBS-SNVBAGLBSA-N 0 0 435.437 -0.788 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCC[C@@H](NC(C)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754349116 1072902564 /nfs/dbraw/zinc/90/25/64/1072902564.db2.gz ZMKFNAUQPPMTKJ-CYBMUJFWSA-N 0 0 445.476 -0.522 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCC[C@H](NC(C)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754349120 1072902463 /nfs/dbraw/zinc/90/24/63/1072902463.db2.gz ZMKFNAUQPPMTKJ-ZDUSSCGKSA-N 0 0 445.476 -0.522 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCC(C(=O)OC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754349646 1072902440 /nfs/dbraw/zinc/90/24/40/1072902440.db2.gz AAFSVACAIZUYEU-UHFFFAOYSA-N 0 0 446.460 -0.237 20 0 IBADRN CCc1c(C(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754349707 1072902622 /nfs/dbraw/zinc/90/26/22/1072902622.db2.gz AUIQKIAAYUHBRV-GFCCVEGCSA-N 0 0 445.476 -0.569 20 0 IBADRN CCc1c(C(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754349708 1072902386 /nfs/dbraw/zinc/90/23/86/1072902386.db2.gz AUIQKIAAYUHBRV-LBPRGKRZSA-N 0 0 445.476 -0.569 20 0 IBADRN CCc1c(C(=O)OCC(=O)N(C)Cc2cnn(C)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754349725 1072902491 /nfs/dbraw/zinc/90/24/91/1072902491.db2.gz BAOBQROGTWNQOZ-UHFFFAOYSA-N 0 0 428.449 -0.257 20 0 IBADRN CCc1c(C(=O)OCC(=O)NCCCn2cccn2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754349966 1072902407 /nfs/dbraw/zinc/90/24/07/1072902407.db2.gz DHYHUKRJENTPFA-UHFFFAOYSA-N 0 0 428.449 -0.246 20 0 IBADRN CCc1c(C(=O)OCC(=O)N(CC)CC(=O)NC(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754350098 1072902498 /nfs/dbraw/zinc/90/24/98/1072902498.db2.gz FZMBXACRTWMSDI-UHFFFAOYSA-N 0 0 447.492 -0.276 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754350562 1072902595 /nfs/dbraw/zinc/90/25/95/1072902595.db2.gz KDKMITHELPWOAS-CYBMUJFWSA-N 0 0 431.449 -0.782 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754350564 1072902578 /nfs/dbraw/zinc/90/25/78/1072902578.db2.gz KDKMITHELPWOAS-ZDUSSCGKSA-N 0 0 431.449 -0.782 20 0 IBADRN CCc1c(C(=O)OCC(=O)NCC(=O)NC(C)(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754350567 1072902924 /nfs/dbraw/zinc/90/29/24/1072902924.db2.gz KGPYGGRDVWRQJH-UHFFFAOYSA-N 0 0 433.465 -0.618 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754351515 1072903086 /nfs/dbraw/zinc/90/30/86/1072903086.db2.gz RIFHDTOECHWLAY-UHFFFAOYSA-N 0 0 431.449 -0.958 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1cnc2c(c1CC)c(=O)n(C)c(=O)n2C ZINC000754352080 1072902938 /nfs/dbraw/zinc/90/29/38/1072902938.db2.gz XJRCRNMNNFOPLY-UHFFFAOYSA-N 0 0 433.465 -0.664 20 0 IBADRN CCc1c(C(=O)OCC(=O)NCCCN2CCCC2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754352175 1072903077 /nfs/dbraw/zinc/90/30/77/1072903077.db2.gz ZKTJVBHBTBIQCJ-UHFFFAOYSA-N 0 0 445.476 -0.520 20 0 IBADRN CCc1c(C(=O)OCC(=O)NCC(=O)N2CCCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754352184 1072902982 /nfs/dbraw/zinc/90/29/82/1072902982.db2.gz ZPODGQVWZVTJRM-UHFFFAOYSA-N 0 0 431.449 -0.910 20 0 IBADRN NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000754393353 1072902877 /nfs/dbraw/zinc/90/28/77/1072902877.db2.gz YTGZDQDQVVQCLN-UHFFFAOYSA-N 0 0 448.904 -0.257 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)CC1 ZINC000754402733 1072902852 /nfs/dbraw/zinc/90/28/52/1072902852.db2.gz ITPIDVRMAGZBAE-UHFFFAOYSA-N 0 0 434.877 -0.065 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C1 ZINC000754422209 1072903139 /nfs/dbraw/zinc/90/31/39/1072903139.db2.gz RCLXQIVDUHTOFH-CQSZACIVSA-N 0 0 446.551 -0.617 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)CC1 ZINC000754424056 1072903626 /nfs/dbraw/zinc/90/36/26/1072903626.db2.gz XQYWLHXRVNAPRS-UHFFFAOYSA-N 0 0 434.877 -0.063 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C1 ZINC000754424138 1072902998 /nfs/dbraw/zinc/90/29/98/1072902998.db2.gz ZVWOSTWXEPRFCK-HNNXBMFYSA-N 0 0 446.551 -0.617 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C1 ZINC000754424140 1072903536 /nfs/dbraw/zinc/90/35/36/1072903536.db2.gz ZVWOSTWXEPRFCK-OAHLLOKOSA-N 0 0 446.551 -0.617 20 0 IBADRN C[C@@H](NC(=O)c1cc(S(N)(=O)=O)cc(Cl)c1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000754426228 1072903764 /nfs/dbraw/zinc/90/37/64/1072903764.db2.gz XRDUSOOXVIEHOU-MRVPVSSYSA-N 0 0 441.890 -0.498 20 0 IBADRN C[C@H](NC(=O)c1cc(S(N)(=O)=O)cc(Cl)c1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000754426231 1072903799 /nfs/dbraw/zinc/90/37/99/1072903799.db2.gz XRDUSOOXVIEHOU-QMMMGPOBSA-N 0 0 441.890 -0.498 20 0 IBADRN C[S@@](=O)CCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000754430184 1072903552 /nfs/dbraw/zinc/90/35/52/1072903552.db2.gz AOVRZRNWBXTYOI-RUZDIDTESA-N 0 0 435.593 -0.188 20 0 IBADRN C[S@](=O)CCN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000754430185 1072903741 /nfs/dbraw/zinc/90/37/41/1072903741.db2.gz AOVRZRNWBXTYOI-VWLOTQADSA-N 0 0 435.593 -0.188 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(CC[S@@](C)=O)CC2)c1 ZINC000754431049 1072903695 /nfs/dbraw/zinc/90/36/95/1072903695.db2.gz HKNNBPNAYVYYLL-HHHXNRCGSA-N 0 0 432.520 -0.055 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(CC[S@](C)=O)CC2)c1 ZINC000754431051 1072903574 /nfs/dbraw/zinc/90/35/74/1072903574.db2.gz HKNNBPNAYVYYLL-MHZLTWQESA-N 0 0 432.520 -0.055 20 0 IBADRN CN1C(=S)N=NC1CNC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000754440493 1072903728 /nfs/dbraw/zinc/90/37/28/1072903728.db2.gz NAFFYKLVACJDNV-UHFFFAOYSA-N 0 0 426.528 -0.098 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2c(C)nn(CCOC)c2C)CC1 ZINC000754481590 1072903668 /nfs/dbraw/zinc/90/36/68/1072903668.db2.gz GICORTQQSXQRPW-UHFFFAOYSA-N 0 0 444.558 -0.184 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(C(=O)OC)ccc1-n1cc(C)cn1 ZINC000754491507 1072903611 /nfs/dbraw/zinc/90/36/11/1072903611.db2.gz YSDXGPIXRMTMCL-UHFFFAOYSA-N 0 0 437.478 -0.039 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H](CO)C[C@@H](O)c3ccccc3)cnc2n(C)c1=O ZINC000754507117 1072904203 /nfs/dbraw/zinc/90/42/03/1072904203.db2.gz GTQGMLSZEQQQFX-GDBMZVCRSA-N 0 0 441.444 -0.829 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H](CO)C[C@@H](O)c3ccccc3)cnc2n(C)c1=O ZINC000754507119 1072904339 /nfs/dbraw/zinc/90/43/39/1072904339.db2.gz GTQGMLSZEQQQFX-GOEBONIOSA-N 0 0 441.444 -0.829 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H](CO)C[C@H](O)c3ccccc3)cnc2n(C)c1=O ZINC000754507121 1072904328 /nfs/dbraw/zinc/90/43/28/1072904328.db2.gz GTQGMLSZEQQQFX-HOCLYGCPSA-N 0 0 441.444 -0.829 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H](CO)C[C@H](O)c3ccccc3)cnc2n(C)c1=O ZINC000754507124 1072904399 /nfs/dbraw/zinc/90/43/99/1072904399.db2.gz GTQGMLSZEQQQFX-ZBFHGGJFSA-N 0 0 441.444 -0.829 20 0 IBADRN CC1(C)CN=C(N2CCN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CC2)S1 ZINC000754507554 1072904240 /nfs/dbraw/zinc/90/42/40/1072904240.db2.gz CYVXSDSLFZRLMG-UHFFFAOYSA-N 0 0 442.567 -0.108 20 0 IBADRN CC1(C)CN=C(N2CCN(C(=O)C(=O)Nc3cccc(C(=O)NCC(N)=O)c3)CC2)S1 ZINC000754507641 1072904417 /nfs/dbraw/zinc/90/44/17/1072904417.db2.gz LZTGUIHHQUXBPA-UHFFFAOYSA-N 0 0 446.533 -0.134 20 0 IBADRN CC1(C)CN=C(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2)S1 ZINC000754507994 1072904409 /nfs/dbraw/zinc/90/44/09/1072904409.db2.gz JLROLHNKPUQUHB-UHFFFAOYSA-N 0 0 445.549 -0.018 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@H](C)S(C)(=O)=O)cc2)CC1 ZINC000754508093 1072904132 /nfs/dbraw/zinc/90/41/32/1072904132.db2.gz QKUAEZANVOBWFS-AWEZNQCLSA-N 0 0 440.522 -0.531 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](C)S(C)(=O)=O)cc2)CC1 ZINC000754508094 1072904365 /nfs/dbraw/zinc/90/43/65/1072904365.db2.gz QKUAEZANVOBWFS-CQSZACIVSA-N 0 0 440.522 -0.531 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@H](CO)C[C@H](O)c1ccccc1 ZINC000754510726 1072904293 /nfs/dbraw/zinc/90/42/93/1072904293.db2.gz RMUAGKDGMSOBBB-HKUYNNGSSA-N 0 0 431.493 -0.245 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@@H](CO)C[C@@H](O)c1ccccc1 ZINC000754510732 1072904179 /nfs/dbraw/zinc/90/41/79/1072904179.db2.gz RMUAGKDGMSOBBB-IEBWSBKVSA-N 0 0 431.493 -0.245 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@@H](CO)C[C@H](O)c1ccccc1 ZINC000754510735 1072904269 /nfs/dbraw/zinc/90/42/69/1072904269.db2.gz RMUAGKDGMSOBBB-MJGOQNOKSA-N 0 0 431.493 -0.245 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C(=O)N[C@H](CO)C[C@@H](O)c1ccccc1 ZINC000754510737 1072904799 /nfs/dbraw/zinc/90/47/99/1072904799.db2.gz RMUAGKDGMSOBBB-PKOBYXMFSA-N 0 0 431.493 -0.245 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)C[C@@H]1C ZINC000754512813 1072904649 /nfs/dbraw/zinc/90/46/49/1072904649.db2.gz SPTTWWKHDMTHJD-AWEZNQCLSA-N 0 0 445.563 -0.004 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)C[C@H]1C ZINC000754512816 1072904967 /nfs/dbraw/zinc/90/49/67/1072904967.db2.gz SPTTWWKHDMTHJD-CQSZACIVSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000754512821 1072904733 /nfs/dbraw/zinc/90/47/33/1072904733.db2.gz BSZFABURFZVQEW-NRFANRHFSA-N 0 0 445.476 -0.870 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC000754512827 1072904783 /nfs/dbraw/zinc/90/47/83/1072904783.db2.gz BSZFABURFZVQEW-OAQYLSRUSA-N 0 0 445.476 -0.870 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000754518222 1072904831 /nfs/dbraw/zinc/90/48/31/1072904831.db2.gz NLJDMKNRRTUQJH-CRAIPNDOSA-N 0 0 434.566 -0.129 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000754518223 1072904987 /nfs/dbraw/zinc/90/49/87/1072904987.db2.gz NLJDMKNRRTUQJH-MAUKXSAKSA-N 0 0 434.566 -0.129 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000754518224 1072904865 /nfs/dbraw/zinc/90/48/65/1072904865.db2.gz NLJDMKNRRTUQJH-QAPCUYQASA-N 0 0 434.566 -0.129 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC000754518225 1072904887 /nfs/dbraw/zinc/90/48/87/1072904887.db2.gz NLJDMKNRRTUQJH-YJBOKZPZSA-N 0 0 434.566 -0.129 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC000754518823 1072904686 /nfs/dbraw/zinc/90/46/86/1072904686.db2.gz KETCKGVHGCTXQE-UHFFFAOYSA-N 0 0 440.478 -0.411 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc([C@@]2(C)NC(=O)NC2=O)cc1)S(C)(=O)=O ZINC000754519116 1072904770 /nfs/dbraw/zinc/90/47/70/1072904770.db2.gz DRTITDKVAZALQA-GOSISDBHSA-N 0 0 440.478 -0.704 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc([C@]2(C)NC(=O)NC2=O)cc1)S(C)(=O)=O ZINC000754519135 1072904901 /nfs/dbraw/zinc/90/49/01/1072904901.db2.gz DRTITDKVAZALQA-SFHVURJKSA-N 0 0 440.478 -0.704 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)CN3C(=O)c4ccccc4S3(=O)=O)cnc2n(C)c1=O ZINC000754519525 1072904926 /nfs/dbraw/zinc/90/49/26/1072904926.db2.gz QZSXDKLBBLDCNI-UHFFFAOYSA-N 0 0 429.414 -0.585 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H](CO)C[C@H](O)c1ccccc1 ZINC000754520823 1072904951 /nfs/dbraw/zinc/90/49/51/1072904951.db2.gz OSQDAEDJXCKSFC-MSOLQXFVSA-N 0 0 431.493 -0.245 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@@H](CO)C[C@@H](O)c1ccccc1 ZINC000754520827 1072904917 /nfs/dbraw/zinc/90/49/17/1072904917.db2.gz OSQDAEDJXCKSFC-QZTJIDSGSA-N 0 0 431.493 -0.245 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H](CO)C[C@H](O)c1ccccc1 ZINC000754520831 1072904936 /nfs/dbraw/zinc/90/49/36/1072904936.db2.gz OSQDAEDJXCKSFC-ROUUACIJSA-N 0 0 431.493 -0.245 20 0 IBADRN O=C(Nc1ccn(CCN2CCOCC2)n1)C(=O)N[C@H](CO)C[C@@H](O)c1ccccc1 ZINC000754520836 1072904701 /nfs/dbraw/zinc/90/47/01/1072904701.db2.gz OSQDAEDJXCKSFC-ZWKOTPCHSA-N 0 0 431.493 -0.245 20 0 IBADRN C[C@@]1(c2ccc(C(=O)OCC(=O)N3CCN(C(=O)C4CC4)CC3)cc2)NC(=O)NC1=O ZINC000754525462 1072905339 /nfs/dbraw/zinc/90/53/39/1072905339.db2.gz RYJKSMCWYFPZAE-NRFANRHFSA-N 0 0 428.445 -0.021 20 0 IBADRN C[C@]1(c2ccc(C(=O)OCC(=O)N3CCN(C(=O)C4CC4)CC3)cc2)NC(=O)NC1=O ZINC000754525463 1072905364 /nfs/dbraw/zinc/90/53/64/1072905364.db2.gz RYJKSMCWYFPZAE-OAQYLSRUSA-N 0 0 428.445 -0.021 20 0 IBADRN CN(CC(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C1=NS(=O)(=O)c2ccccc21 ZINC000754525650 1072905403 /nfs/dbraw/zinc/90/54/03/1072905403.db2.gz HEFCNZFKQGLZQP-UHFFFAOYSA-N 0 0 442.457 -0.348 20 0 IBADRN C[C@H](OC(=O)c1ccc([C@@]2(C)NC(=O)NC2=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000754526304 1072904759 /nfs/dbraw/zinc/90/47/59/1072904759.db2.gz OXMZKXRPQXCLOD-GEDNVKPRSA-N 0 0 437.474 -0.068 20 0 IBADRN C[C@H](OC(=O)c1ccc([C@]2(C)NC(=O)NC2=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000754526305 1072904854 /nfs/dbraw/zinc/90/48/54/1072904854.db2.gz OXMZKXRPQXCLOD-KLRAXDNASA-N 0 0 437.474 -0.068 20 0 IBADRN C[C@H](OC(=O)c1ccc([C@@]2(C)NC(=O)NC2=O)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000754526306 1072904744 /nfs/dbraw/zinc/90/47/44/1072904744.db2.gz OXMZKXRPQXCLOD-NXPJVHOHSA-N 0 0 437.474 -0.068 20 0 IBADRN C[C@H](OC(=O)c1ccc([C@]2(C)NC(=O)NC2=O)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000754526307 1072905323 /nfs/dbraw/zinc/90/53/23/1072905323.db2.gz OXMZKXRPQXCLOD-SZEPBZDZSA-N 0 0 437.474 -0.068 20 0 IBADRN CCc1c(C(=O)OCCN(C)S(=O)(=O)N(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754533218 1072905493 /nfs/dbraw/zinc/90/54/93/1072905493.db2.gz DZQVGKSYEHFBJP-UHFFFAOYSA-N 0 0 427.483 -0.910 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnn(C)c3N)CC2)cc1 ZINC000754533401 1072905428 /nfs/dbraw/zinc/90/54/28/1072905428.db2.gz CEOFUIIMTPPEFZ-UHFFFAOYSA-N 0 0 437.478 -0.299 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)c1N ZINC000754534031 1072905461 /nfs/dbraw/zinc/90/54/61/1072905461.db2.gz IXUHFBVTOGIIKY-UHFFFAOYSA-N 0 0 425.442 -0.169 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c1N ZINC000754535518 1072905503 /nfs/dbraw/zinc/90/55/03/1072905503.db2.gz KSYSNLPIDLAPLQ-UHFFFAOYSA-N 0 0 425.442 -0.169 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)c1N ZINC000754536608 1072905372 /nfs/dbraw/zinc/90/53/72/1072905372.db2.gz LRBIZFYZHMCELO-UHFFFAOYSA-N 0 0 437.478 -0.504 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnn(C)c3N)CC2)c1 ZINC000754537682 1072905309 /nfs/dbraw/zinc/90/53/09/1072905309.db2.gz RCEVQLDLNOOWHK-UHFFFAOYSA-N 0 0 449.489 -0.105 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnn(C)c3N)CC2)cc1 ZINC000754538007 1072905484 /nfs/dbraw/zinc/90/54/84/1072905484.db2.gz RPPNQQQCXYBJOV-UHFFFAOYSA-N 0 0 449.489 -0.105 20 0 IBADRN CCc1c(C(=O)Oc2cccc(C(=O)NCC(N)=O)c2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754539301 1072905349 /nfs/dbraw/zinc/90/53/49/1072905349.db2.gz GPLKTUFNJAHKLZ-UHFFFAOYSA-N 0 0 439.428 -0.371 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1N ZINC000754540204 1072905395 /nfs/dbraw/zinc/90/53/95/1072905395.db2.gz COJDOBVWRVZDBE-UHFFFAOYSA-N 0 0 437.478 -0.504 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2cnn(C)c2N)CC1 ZINC000754545123 1072905389 /nfs/dbraw/zinc/90/53/89/1072905389.db2.gz UFQXKWIPGNHRDH-UHFFFAOYSA-N 0 0 426.455 -0.703 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)c1N ZINC000754545587 1072905852 /nfs/dbraw/zinc/90/58/52/1072905852.db2.gz UTEIFNCUCYOIKV-UHFFFAOYSA-N 0 0 443.432 -0.030 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)c1N ZINC000754545659 1072905380 /nfs/dbraw/zinc/90/53/80/1072905380.db2.gz VKJLPSZWCMLQJI-INIZCTEOSA-N 0 0 429.433 -0.330 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)c1N ZINC000754545663 1072905976 /nfs/dbraw/zinc/90/59/76/1072905976.db2.gz VKJLPSZWCMLQJI-MRXNPFEDSA-N 0 0 429.433 -0.330 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)c1N ZINC000754545908 1072905412 /nfs/dbraw/zinc/90/54/12/1072905412.db2.gz WYLUUAVIAIPUEC-UHFFFAOYSA-N 0 0 425.442 -0.169 20 0 IBADRN CCc1c(C(=O)O[C@@H](C)c2cn(CC(=O)OC)nn2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754553779 1072905988 /nfs/dbraw/zinc/90/59/88/1072905988.db2.gz OOVJEYIBGQYPJJ-JTQLQIEISA-N 0 0 430.421 -0.123 20 0 IBADRN CCc1c(C(=O)O[C@H](C)c2cn(CC(=O)OC)nn2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000754553781 1072906013 /nfs/dbraw/zinc/90/60/13/1072906013.db2.gz OOVJEYIBGQYPJJ-SNVBAGLBSA-N 0 0 430.421 -0.123 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CCC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000754554005 1072905942 /nfs/dbraw/zinc/90/59/42/1072905942.db2.gz WFYCGNBCKBFFJJ-UHFFFAOYSA-N 0 0 444.448 -0.371 20 0 IBADRN O=C(COC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCNC(=O)C1 ZINC000754604025 1072905875 /nfs/dbraw/zinc/90/58/75/1072905875.db2.gz DHIHLQVEUUVIQL-HNNXBMFYSA-N 0 0 429.433 -0.962 20 0 IBADRN O=C(COC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCNC(=O)C1 ZINC000754604026 1072905982 /nfs/dbraw/zinc/90/59/82/1072905982.db2.gz DHIHLQVEUUVIQL-OAHLLOKOSA-N 0 0 429.433 -0.962 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000754610478 1072905908 /nfs/dbraw/zinc/90/59/08/1072905908.db2.gz AOODILARNISGCE-DOMZBBRYSA-N 0 0 432.433 -0.243 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000754610496 1072905865 /nfs/dbraw/zinc/90/58/65/1072905865.db2.gz AOODILARNISGCE-IUODEOHRSA-N 0 0 432.433 -0.243 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000754610499 1072906006 /nfs/dbraw/zinc/90/60/06/1072906006.db2.gz AOODILARNISGCE-SWLSCSKDSA-N 0 0 432.433 -0.243 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000754610502 1072905913 /nfs/dbraw/zinc/90/59/13/1072905913.db2.gz AOODILARNISGCE-WFASDCNBSA-N 0 0 432.433 -0.243 20 0 IBADRN Cn1c(COC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)cc(=O)n(C)c1=O ZINC000754611904 1072906067 /nfs/dbraw/zinc/90/60/67/1072906067.db2.gz FTGNFGJYZHELFA-INIZCTEOSA-N 0 0 441.444 -0.323 20 0 IBADRN Cn1c(COC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)cc(=O)n(C)c1=O ZINC000754611910 1072905963 /nfs/dbraw/zinc/90/59/63/1072905963.db2.gz FTGNFGJYZHELFA-MRXNPFEDSA-N 0 0 441.444 -0.323 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)N(C)C ZINC000754616682 1072905885 /nfs/dbraw/zinc/90/58/85/1072905885.db2.gz QRFKUBXPPHLFNC-BBRMVZONSA-N 0 0 445.476 -0.328 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)N(C)C ZINC000754616683 1072905898 /nfs/dbraw/zinc/90/58/98/1072905898.db2.gz QRFKUBXPPHLFNC-CJNGLKHVSA-N 0 0 445.476 -0.328 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)N(C)C ZINC000754616684 1072906042 /nfs/dbraw/zinc/90/60/42/1072906042.db2.gz QRFKUBXPPHLFNC-CZUORRHYSA-N 0 0 445.476 -0.328 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)C(=O)N(C)C ZINC000754616685 1072906637 /nfs/dbraw/zinc/90/66/37/1072906637.db2.gz QRFKUBXPPHLFNC-XJKSGUPXSA-N 0 0 445.476 -0.328 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000754617346 1072905837 /nfs/dbraw/zinc/90/58/37/1072905837.db2.gz RYEXDHJNLKKVFR-HNNXBMFYSA-N 0 0 432.433 -0.241 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC000754617349 1072905919 /nfs/dbraw/zinc/90/59/19/1072905919.db2.gz RYEXDHJNLKKVFR-OAHLLOKOSA-N 0 0 432.433 -0.241 20 0 IBADRN CC1(C)CN=C(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2)S1 ZINC000754651540 1072906594 /nfs/dbraw/zinc/90/65/94/1072906594.db2.gz UYTPCEMEMOVXGB-INIZCTEOSA-N 0 0 443.639 -0.183 20 0 IBADRN CC1(C)CN=C(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2)S1 ZINC000754651550 1072906445 /nfs/dbraw/zinc/90/64/45/1072906445.db2.gz UYTPCEMEMOVXGB-MRXNPFEDSA-N 0 0 443.639 -0.183 20 0 IBADRN O=C(CN1CCn2c(CCc3ccccc3)nnc2C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000754663032 1072906544 /nfs/dbraw/zinc/90/65/44/1072906544.db2.gz GFTRDQYXUYZFIT-INIZCTEOSA-N 0 0 446.533 -0.108 20 0 IBADRN O=C(CN1CCn2c(CCc3ccccc3)nnc2C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000754663035 1072906923 /nfs/dbraw/zinc/90/69/23/1072906923.db2.gz GFTRDQYXUYZFIT-MRXNPFEDSA-N 0 0 446.533 -0.108 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3[nH]2)C1 ZINC000754706012 1072907133 /nfs/dbraw/zinc/90/71/33/1072907133.db2.gz AOOJJXVKMOUYOF-COXVUDFISA-N 0 0 440.522 -0.144 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3[nH]2)C1 ZINC000754706013 1072907207 /nfs/dbraw/zinc/90/72/07/1072907207.db2.gz AOOJJXVKMOUYOF-KBRIMQKVSA-N 0 0 440.522 -0.144 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3[nH]2)C1 ZINC000754706014 1072907121 /nfs/dbraw/zinc/90/71/21/1072907121.db2.gz AOOJJXVKMOUYOF-XOKHGSTOSA-N 0 0 440.522 -0.144 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3[nH]2)C1 ZINC000754706015 1072906995 /nfs/dbraw/zinc/90/69/95/1072906995.db2.gz AOOJJXVKMOUYOF-XYPHTWIQSA-N 0 0 440.522 -0.144 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)C1 ZINC000754707536 1072906932 /nfs/dbraw/zinc/90/69/32/1072906932.db2.gz RWTNDTXGMIUXLK-BBRMVZONSA-N 0 0 446.551 -0.385 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)C1 ZINC000754707537 1072907012 /nfs/dbraw/zinc/90/70/12/1072907012.db2.gz RWTNDTXGMIUXLK-CJNGLKHVSA-N 0 0 446.551 -0.385 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)C1 ZINC000754707538 1072907039 /nfs/dbraw/zinc/90/70/39/1072907039.db2.gz RWTNDTXGMIUXLK-CZUORRHYSA-N 0 0 446.551 -0.385 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)C1 ZINC000754707539 1072907144 /nfs/dbraw/zinc/90/71/44/1072907144.db2.gz RWTNDTXGMIUXLK-XJKSGUPXSA-N 0 0 446.551 -0.385 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CS[C@H]2CCS(=O)(=O)C2)C1 ZINC000754713532 1072907198 /nfs/dbraw/zinc/90/71/98/1072907198.db2.gz SXYMYQGVWGSXOD-KBPBESRZSA-N 0 0 428.598 -0.194 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CS[C@@H]2CCS(=O)(=O)C2)C1 ZINC000754713534 1072906908 /nfs/dbraw/zinc/90/69/08/1072906908.db2.gz SXYMYQGVWGSXOD-KGLIPLIRSA-N 0 0 428.598 -0.194 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CS[C@H]2CCS(=O)(=O)C2)C1 ZINC000754713536 1072906984 /nfs/dbraw/zinc/90/69/84/1072906984.db2.gz SXYMYQGVWGSXOD-UONOGXRCSA-N 0 0 428.598 -0.194 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CS[C@@H]2CCS(=O)(=O)C2)C1 ZINC000754713538 1072907029 /nfs/dbraw/zinc/90/70/29/1072907029.db2.gz SXYMYQGVWGSXOD-ZIAGYGMSSA-N 0 0 428.598 -0.194 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000754721454 1072907157 /nfs/dbraw/zinc/90/71/57/1072907157.db2.gz WQUSFYANGXHEBG-BQYQJAHWSA-N 0 0 441.554 -0.392 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000754721463 1072907213 /nfs/dbraw/zinc/90/72/13/1072907213.db2.gz WQUSFYANGXHEBG-FPLPWBNLSA-N 0 0 441.554 -0.392 20 0 IBADRN Cc1ncc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000754790971 1072907558 /nfs/dbraw/zinc/90/75/58/1072907558.db2.gz DRZBYPFLQGLVMC-LLVKDONJSA-N 0 0 429.567 -0.725 20 0 IBADRN Cc1ncc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000754790979 1072907539 /nfs/dbraw/zinc/90/75/39/1072907539.db2.gz DRZBYPFLQGLVMC-NSHDSACASA-N 0 0 429.567 -0.725 20 0 IBADRN O=S(=O)(c1cncc(Cl)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000754792721 1072907566 /nfs/dbraw/zinc/90/75/66/1072907566.db2.gz QLHJYJBYMUTXKG-GFCCVEGCSA-N 0 0 443.956 -0.442 20 0 IBADRN O=S(=O)(c1cncc(Cl)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000754792723 1072907625 /nfs/dbraw/zinc/90/76/25/1072907625.db2.gz QLHJYJBYMUTXKG-LBPRGKRZSA-N 0 0 443.956 -0.442 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000754802978 1072908132 /nfs/dbraw/zinc/90/81/32/1072908132.db2.gz BGOQUHWQFFDVRG-UHFFFAOYSA-N 0 0 429.433 -0.356 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000754803026 1072908105 /nfs/dbraw/zinc/90/81/05/1072908105.db2.gz CKVJILBXFPDLED-UHFFFAOYSA-N 0 0 435.462 -0.573 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000754803216 1072907441 /nfs/dbraw/zinc/90/74/41/1072907441.db2.gz GMGFPAAWZAJMGH-LLVKDONJSA-N 0 0 445.432 -0.020 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000754803229 1072907598 /nfs/dbraw/zinc/90/75/98/1072907598.db2.gz GMGFPAAWZAJMGH-NSHDSACASA-N 0 0 445.432 -0.020 20 0 IBADRN COc1cccc(CN(C)C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000754803373 1072907613 /nfs/dbraw/zinc/90/76/13/1072907613.db2.gz KYNOESARMHJQJQ-UHFFFAOYSA-N 0 0 429.433 -0.356 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1C ZINC000754803385 1072907516 /nfs/dbraw/zinc/90/75/16/1072907516.db2.gz MTMDKGBTVGROQC-UHFFFAOYSA-N 0 0 431.405 -0.409 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000754804078 1072908180 /nfs/dbraw/zinc/90/81/80/1072908180.db2.gz WCWSODWUWFQZPE-GFCCVEGCSA-N 0 0 449.464 -0.833 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000754804082 1072908083 /nfs/dbraw/zinc/90/80/83/1072908083.db2.gz WCWSODWUWFQZPE-LBPRGKRZSA-N 0 0 449.464 -0.833 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000754804146 1072908191 /nfs/dbraw/zinc/90/81/91/1072908191.db2.gz XARNIXHUFWXONR-JTQLQIEISA-N 0 0 428.405 -0.897 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000754804158 1072908160 /nfs/dbraw/zinc/90/81/60/1072908160.db2.gz XARNIXHUFWXONR-SNVBAGLBSA-N 0 0 428.405 -0.897 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000754809156 1072908123 /nfs/dbraw/zinc/90/81/23/1072908123.db2.gz BJMWTHNQBKNCSC-UHFFFAOYSA-N 0 0 443.416 -0.289 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3ccc4[nH]c(=O)[nH]c4c3)c2c(=O)n(C)c1=O ZINC000754809177 1072908092 /nfs/dbraw/zinc/90/80/92/1072908092.db2.gz DIJGLDMUQWSRIL-UHFFFAOYSA-N 0 0 427.377 -0.803 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3ccc4c(c3)OCCCO4)c2c(=O)n(C)c1=O ZINC000754809877 1072908201 /nfs/dbraw/zinc/90/82/01/1072908201.db2.gz SEQHADSNDIXLAO-UHFFFAOYSA-N 0 0 443.416 -0.223 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3ccnn3C3CCCC3)c2c(=O)n(C)c1=O ZINC000754809879 1072908066 /nfs/dbraw/zinc/90/80/66/1072908066.db2.gz SJXBGFLLFFZFAZ-UHFFFAOYSA-N 0 0 429.437 -0.073 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000754809883 1072908039 /nfs/dbraw/zinc/90/80/39/1072908039.db2.gz SRXHLSHDGNGPFD-UHFFFAOYSA-N 0 0 429.389 -0.598 20 0 IBADRN Cc1ccc(NC(=O)NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(C)c1 ZINC000754809889 1072908059 /nfs/dbraw/zinc/90/80/59/1072908059.db2.gz UAYPNHWOWGBBAX-UHFFFAOYSA-N 0 0 442.432 -0.058 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NC3C4CC5CC(C4)CC3C5)c2c(=O)n(C)c1=O ZINC000754809953 1072908075 /nfs/dbraw/zinc/90/80/75/1072908075.db2.gz WJUVOROOJDZRIY-UHFFFAOYSA-N 0 0 429.477 -0.082 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000754809956 1072908212 /nfs/dbraw/zinc/90/82/12/1072908212.db2.gz WZCRWJGLABTQIC-UHFFFAOYSA-N 0 0 428.405 -0.426 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000754822149 1072908828 /nfs/dbraw/zinc/90/88/28/1072908828.db2.gz ATISLOFTMVRPHP-HNNXBMFYSA-N 0 0 441.444 -0.707 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000754822150 1072908608 /nfs/dbraw/zinc/90/86/08/1072908608.db2.gz ATISLOFTMVRPHP-OAHLLOKOSA-N 0 0 441.444 -0.707 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000754822168 1072908621 /nfs/dbraw/zinc/90/86/21/1072908621.db2.gz BXIICUDOEMXIEB-UHFFFAOYSA-N 0 0 442.432 -0.683 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000754822214 1072908749 /nfs/dbraw/zinc/90/87/49/1072908749.db2.gz HRCCOWPRDKSSRQ-UHFFFAOYSA-N 0 0 443.416 -0.208 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000754822351 1072908805 /nfs/dbraw/zinc/90/88/05/1072908805.db2.gz MSYUAJKGKADQLS-UHFFFAOYSA-N 0 0 443.416 -0.208 20 0 IBADRN COC(=O)c1ccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000754822607 1072908588 /nfs/dbraw/zinc/90/85/88/1072908588.db2.gz WVZBDYXARLMTQM-UHFFFAOYSA-N 0 0 429.389 -0.598 20 0 IBADRN COc1ccc(NC(=O)NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000754822632 1072907979 /nfs/dbraw/zinc/90/79/79/1072907979.db2.gz ZSLJSZDQYQNOHA-UHFFFAOYSA-N 0 0 444.404 -0.666 20 0 IBADRN C[C@@H](OC(=O)C(C)(CO)CO)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000754853038 1072908543 /nfs/dbraw/zinc/90/85/43/1072908543.db2.gz NKHTUDNZKNQOML-CYBMUJFWSA-N 0 0 430.479 -0.431 20 0 IBADRN C[C@H](OC(=O)C(C)(CO)CO)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000754853041 1072908839 /nfs/dbraw/zinc/90/88/39/1072908839.db2.gz NKHTUDNZKNQOML-ZDUSSCGKSA-N 0 0 430.479 -0.431 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)C(C)(CO)CO ZINC000754854944 1072908597 /nfs/dbraw/zinc/90/85/97/1072908597.db2.gz UOHPVGGPJIEMPX-UHFFFAOYSA-N 0 0 446.478 -0.811 20 0 IBADRN CC(CO)(CO)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000754862559 1072908645 /nfs/dbraw/zinc/90/86/45/1072908645.db2.gz GSWVMZLVZOBLDX-UHFFFAOYSA-N 0 0 440.518 -0.458 20 0 IBADRN CCN(C(=O)COC(=O)C(C)(CO)CO)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000754863159 1072908663 /nfs/dbraw/zinc/90/86/63/1072908663.db2.gz JXRBOEMAFNFMFD-UHFFFAOYSA-N 0 0 434.449 -0.534 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(CO)CO)CC2)cc1 ZINC000754863192 1072908721 /nfs/dbraw/zinc/90/87/21/1072908721.db2.gz KFLWWOFYDYTXME-UHFFFAOYSA-N 0 0 442.490 -0.744 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)C(C)(CO)CO)cc2)C[C@H](C)O1 ZINC000754863275 1072908705 /nfs/dbraw/zinc/90/87/05/1072908705.db2.gz LGPCSXJBWRMIDG-KBPBESRZSA-N 0 0 444.506 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)C(C)(CO)CO)cc2)C[C@H](C)O1 ZINC000754863280 1072908634 /nfs/dbraw/zinc/90/86/34/1072908634.db2.gz LGPCSXJBWRMIDG-OKILXGFUSA-N 0 0 444.506 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)C(C)(CO)CO)cc2)C[C@@H](C)O1 ZINC000754863282 1072908694 /nfs/dbraw/zinc/90/86/94/1072908694.db2.gz LGPCSXJBWRMIDG-ZIAGYGMSSA-N 0 0 444.506 -0.043 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)OC(=O)C(C)(CO)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000754863795 1072908575 /nfs/dbraw/zinc/90/85/75/1072908575.db2.gz CRJKQIGAWABMSG-AWEZNQCLSA-N 0 0 444.506 -0.123 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)OC(=O)C(C)(CO)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000754863798 1072908848 /nfs/dbraw/zinc/90/88/48/1072908848.db2.gz CRJKQIGAWABMSG-CQSZACIVSA-N 0 0 444.506 -0.123 20 0 IBADRN C[C@@H](OC(=O)C(C)(CO)CO)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000754867152 1072908678 /nfs/dbraw/zinc/90/86/78/1072908678.db2.gz ZCDSVBATOFVUTB-CYBMUJFWSA-N 0 0 430.479 -0.431 20 0 IBADRN C[C@H](OC(=O)C(C)(CO)CO)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000754867156 1072908736 /nfs/dbraw/zinc/90/87/36/1072908736.db2.gz ZCDSVBATOFVUTB-ZDUSSCGKSA-N 0 0 430.479 -0.431 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN(CCO)CCN3CCOCC3)C2=O)c1 ZINC000754872599 1072908762 /nfs/dbraw/zinc/90/87/62/1072908762.db2.gz FAEHLGIBLHLUKB-NRFANRHFSA-N 0 0 449.508 -0.880 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN(CCO)CCN3CCOCC3)C2=O)c1 ZINC000754872604 1072909107 /nfs/dbraw/zinc/90/91/07/1072909107.db2.gz FAEHLGIBLHLUKB-OAQYLSRUSA-N 0 0 449.508 -0.880 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN(CCO)CCN2CCOCC2)C1=O ZINC000754875491 1072909125 /nfs/dbraw/zinc/90/91/25/1072909125.db2.gz MZCDJNJPOISQNL-NRFANRHFSA-N 0 0 433.509 -0.499 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN(CCO)CCN2CCOCC2)C1=O ZINC000754875492 1072909295 /nfs/dbraw/zinc/90/92/95/1072909295.db2.gz MZCDJNJPOISQNL-OAQYLSRUSA-N 0 0 433.509 -0.499 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000754879868 1072909192 /nfs/dbraw/zinc/90/91/92/1072909192.db2.gz SWKWYURGJBRRKM-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000754879869 1072909285 /nfs/dbraw/zinc/90/92/85/1072909285.db2.gz SWKWYURGJBRRKM-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000754882074 1072909396 /nfs/dbraw/zinc/90/93/96/1072909396.db2.gz INRZRAMTBOAASO-INIZCTEOSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000754882079 1072909231 /nfs/dbraw/zinc/90/92/31/1072909231.db2.gz INRZRAMTBOAASO-MRXNPFEDSA-N 0 0 437.541 -0.075 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2cnc3c(c2)nnn3C)CC1 ZINC000754885871 1072909166 /nfs/dbraw/zinc/90/91/66/1072909166.db2.gz HRZHFYMNWHVMCV-UHFFFAOYSA-N 0 0 436.436 -0.454 20 0 IBADRN C[C@@H](OC(=O)c1cnc2c(c1)nnn2C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000754897307 1072909326 /nfs/dbraw/zinc/90/93/26/1072909326.db2.gz JXJFAHBSWUMHRU-LLVKDONJSA-N 0 0 432.462 -0.085 20 0 IBADRN C[C@H](OC(=O)c1cnc2c(c1)nnn2C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000754897311 1072909373 /nfs/dbraw/zinc/90/93/73/1072909373.db2.gz JXJFAHBSWUMHRU-NSHDSACASA-N 0 0 432.462 -0.085 20 0 IBADRN Cn1nnc2cc(C(=O)OCC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)cnc21 ZINC000754898864 1072909145 /nfs/dbraw/zinc/90/91/45/1072909145.db2.gz GSTBSZSILIHQKZ-KBPBESRZSA-N 0 0 437.478 -0.285 20 0 IBADRN Cn1nnc2cc(C(=O)OCC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)cnc21 ZINC000754898871 1072909186 /nfs/dbraw/zinc/90/91/86/1072909186.db2.gz GSTBSZSILIHQKZ-KGLIPLIRSA-N 0 0 437.478 -0.285 20 0 IBADRN Cn1nnc2cc(C(=O)OCC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)cnc21 ZINC000754898879 1072909276 /nfs/dbraw/zinc/90/92/76/1072909276.db2.gz GSTBSZSILIHQKZ-UONOGXRCSA-N 0 0 437.478 -0.285 20 0 IBADRN Cn1nnc2cc(C(=O)OCC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)cnc21 ZINC000754898887 1072909389 /nfs/dbraw/zinc/90/93/89/1072909389.db2.gz GSTBSZSILIHQKZ-ZIAGYGMSSA-N 0 0 437.478 -0.285 20 0 IBADRN Cn1nnc2cc(C(=O)OCC(=O)c3c(N)n(Cc4ccccc4)c(=O)n(C)c3=O)cnc21 ZINC000754901942 1072909355 /nfs/dbraw/zinc/90/93/55/1072909355.db2.gz OSEUWSYECAHBFB-UHFFFAOYSA-N 0 0 449.427 -0.106 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)c1ccc2c(c1)CC(=O)N2C ZINC000754910355 1072909404 /nfs/dbraw/zinc/90/94/04/1072909404.db2.gz MCSKWXACBOARBZ-LLVKDONJSA-N 0 0 439.428 -0.233 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)c1ccc2c(c1)CC(=O)N2C ZINC000754910360 1072909858 /nfs/dbraw/zinc/90/98/58/1072909858.db2.gz MCSKWXACBOARBZ-NSHDSACASA-N 0 0 439.428 -0.233 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)c1ccc2c(c1)CC(=O)N2C ZINC000754910516 1072909263 /nfs/dbraw/zinc/90/92/63/1072909263.db2.gz WYOJTUODLNQLJK-LLVKDONJSA-N 0 0 439.428 -0.233 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)c1ccc2c(c1)CC(=O)N2C ZINC000754910517 1072909156 /nfs/dbraw/zinc/90/91/56/1072909156.db2.gz WYOJTUODLNQLJK-NSHDSACASA-N 0 0 439.428 -0.233 20 0 IBADRN CSc1ccccc1NC(=O)CN(C)C(=O)COC(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000754917718 1072909766 /nfs/dbraw/zinc/90/97/66/1072909766.db2.gz FZGUNDOUYOCLGN-UHFFFAOYSA-N 0 0 436.446 -0.231 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCN(C(=O)c3ccccc3)CC2)n1 ZINC000754923957 1072909934 /nfs/dbraw/zinc/90/99/34/1072909934.db2.gz UPNQHXYTTHMLPA-UHFFFAOYSA-N 0 0 433.490 -0.149 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)NCCN2CCN(c3ccccc3)CC2)n1 ZINC000754924907 1072909747 /nfs/dbraw/zinc/90/97/47/1072909747.db2.gz ZTHHOYJBRNGFKJ-UHFFFAOYSA-N 0 0 448.549 -0.195 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)c1ccc2c(c1)CC(=O)N2C ZINC000754926059 1072909982 /nfs/dbraw/zinc/90/99/82/1072909982.db2.gz BKPFSYJYHPEFDQ-JTQLQIEISA-N 0 0 448.457 -0.275 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)c1ccc2c(c1)CC(=O)N2C ZINC000754926077 1072909891 /nfs/dbraw/zinc/90/98/91/1072909891.db2.gz BKPFSYJYHPEFDQ-SNVBAGLBSA-N 0 0 448.457 -0.275 20 0 IBADRN CCN(CC)C(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000754926618 1072909951 /nfs/dbraw/zinc/90/99/51/1072909951.db2.gz BHPAZDIGBWDHKM-UHFFFAOYSA-N 0 0 428.515 -0.528 20 0 IBADRN CCC(CC)C(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000754927737 1072909964 /nfs/dbraw/zinc/90/99/64/1072909964.db2.gz GYFDXDMGPNWIGZ-UHFFFAOYSA-N 0 0 427.527 -0.027 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnc(C(=O)N(C)C)c2)CC1 ZINC000754927762 1072909922 /nfs/dbraw/zinc/90/99/22/1072909922.db2.gz HSFXMZGTLZKAQX-UHFFFAOYSA-N 0 0 440.526 -0.547 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCN(C(=O)Cc3ccccc3)CC2)n1 ZINC000754927783 1072909904 /nfs/dbraw/zinc/90/99/04/1072909904.db2.gz ILVRUBMPBFZFEJ-UHFFFAOYSA-N 0 0 447.517 -0.220 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000754928438 1072909878 /nfs/dbraw/zinc/90/98/78/1072909878.db2.gz JLJLZBCLQVUTDC-UHFFFAOYSA-N 0 0 446.489 -0.239 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCC3)CC2)n1 ZINC000754928521 1072909866 /nfs/dbraw/zinc/90/98/66/1072909866.db2.gz JWYLHDGARFIFIV-UHFFFAOYSA-N 0 0 426.499 -0.774 20 0 IBADRN Cn1cccc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c1=O ZINC000754928740 1072909728 /nfs/dbraw/zinc/90/97/28/1072909728.db2.gz MEXUNEZYRJLJAR-UHFFFAOYSA-N 0 0 429.458 -0.383 20 0 IBADRN Cc1nc(CN2CCN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CC2)cs1 ZINC000754929590 1072909846 /nfs/dbraw/zinc/90/98/46/1072909846.db2.gz NUGICEZNKABIGV-UHFFFAOYSA-N 0 0 440.551 -0.024 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000754929667 1072909835 /nfs/dbraw/zinc/90/98/35/1072909835.db2.gz QFEBJDHSVQBAPK-UHFFFAOYSA-N 0 0 429.499 -0.055 20 0 IBADRN Cc1ccc(C(=O)NCCNC(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)cc1F ZINC000754930465 1072909786 /nfs/dbraw/zinc/90/97/86/1072909786.db2.gz GCPGKLUJMZJBAM-UHFFFAOYSA-N 0 0 439.469 -0.140 20 0 IBADRN CC(C)(C)CC(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000754930503 1072909973 /nfs/dbraw/zinc/90/99/73/1072909973.db2.gz SNAMBCZWJDZYLU-UHFFFAOYSA-N 0 0 427.527 -0.027 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CC2)n1 ZINC000754930505 1072910438 /nfs/dbraw/zinc/91/04/38/1072910438.db2.gz SPKDEKFZPZWKFV-UHFFFAOYSA-N 0 0 426.524 -0.020 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ncccn3)c2)CC1 ZINC000754930545 1072909823 /nfs/dbraw/zinc/90/98/23/1072909823.db2.gz UHWKLSREGSSSMK-UHFFFAOYSA-N 0 0 436.498 -0.668 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCN(C(=O)N3CCCCC3)CC2)n1 ZINC000754930620 1072909992 /nfs/dbraw/zinc/90/99/92/1072909992.db2.gz WPUQDMQDIBQPGS-UHFFFAOYSA-N 0 0 440.526 -0.384 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccn3)c2)CC1 ZINC000754931288 1072910557 /nfs/dbraw/zinc/91/05/57/1072910557.db2.gz YQIBRUNGMCFDJD-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C[C@H](C)O1 ZINC000754931366 1072910395 /nfs/dbraw/zinc/91/03/95/1072910395.db2.gz KSMOTEIXQMKPQR-KBPBESRZSA-N 0 0 429.543 -0.130 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C[C@H](C)O1 ZINC000754931370 1072910515 /nfs/dbraw/zinc/91/05/15/1072910515.db2.gz KSMOTEIXQMKPQR-OKILXGFUSA-N 0 0 429.543 -0.130 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C[C@@H](C)O1 ZINC000754931374 1072910377 /nfs/dbraw/zinc/91/03/77/1072910377.db2.gz KSMOTEIXQMKPQR-ZIAGYGMSSA-N 0 0 429.543 -0.130 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCCOC)n2)CC1 ZINC000754931381 1072910445 /nfs/dbraw/zinc/91/04/45/1072910445.db2.gz ZAHLFQBAIPZDQK-UHFFFAOYSA-N 0 0 430.531 -0.411 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)NC[C@H]2CN(Cc3ccccc3)CCO2)n1 ZINC000754933302 1072910583 /nfs/dbraw/zinc/91/05/83/1072910583.db2.gz RSSCEISTKLLZSG-KRWDZBQOSA-N 0 0 449.533 -0.117 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)NC[C@@H]2CN(Cc3ccccc3)CCO2)n1 ZINC000754933304 1072910485 /nfs/dbraw/zinc/91/04/85/1072910485.db2.gz RSSCEISTKLLZSG-QGZVFWFLSA-N 0 0 449.533 -0.117 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C1 ZINC000754937812 1072910367 /nfs/dbraw/zinc/91/03/67/1072910367.db2.gz QQDHZRSACXOMFQ-GFCCVEGCSA-N 0 0 429.499 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C1 ZINC000754937815 1072910329 /nfs/dbraw/zinc/91/03/29/1072910329.db2.gz QQDHZRSACXOMFQ-LBPRGKRZSA-N 0 0 429.499 -0.008 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000754938984 1072910539 /nfs/dbraw/zinc/91/05/39/1072910539.db2.gz ZEBWTFKTUPJAEF-UHFFFAOYSA-N 0 0 429.499 -0.197 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCN(CC(F)(F)C(F)F)CC2)n1 ZINC000754939008 1072910564 /nfs/dbraw/zinc/91/05/64/1072910564.db2.gz RUVWKOXODSHLRL-UHFFFAOYSA-N 0 0 443.423 -0.089 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CC2)n1 ZINC000754940954 1072910458 /nfs/dbraw/zinc/91/04/58/1072910458.db2.gz BTEVQCAWBRJWRG-UHFFFAOYSA-N 0 0 437.482 -0.986 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cccnc2I)c(=O)n(C)c1=O ZINC000754941086 1072910315 /nfs/dbraw/zinc/91/03/15/1072910315.db2.gz GIAOZLMVYUQUML-UHFFFAOYSA-N 0 0 444.185 -0.295 20 0 IBADRN COCCn1ccc(NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)n1 ZINC000754944934 1072910299 /nfs/dbraw/zinc/91/02/99/1072910299.db2.gz HYRCPKYNXHQRKA-UHFFFAOYSA-N 0 0 438.485 -0.337 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CC2)n1 ZINC000754947066 1072911092 /nfs/dbraw/zinc/91/10/92/1072911092.db2.gz QMFHGVZRDLKZDP-UHFFFAOYSA-N 0 0 441.539 -0.371 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)NCC(=O)N2CCCc3ccccc32)n1 ZINC000754947316 1072911191 /nfs/dbraw/zinc/91/11/91/1072911191.db2.gz QKLLVKWRLSFQNI-UHFFFAOYSA-N 0 0 433.490 -0.038 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CCO1 ZINC000754947585 1072911064 /nfs/dbraw/zinc/91/10/64/1072911064.db2.gz UBUUDFPYWGBROE-GFCCVEGCSA-N 0 0 430.483 -0.565 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CCO1 ZINC000754947587 1072911119 /nfs/dbraw/zinc/91/11/19/1072911119.db2.gz UBUUDFPYWGBROE-LBPRGKRZSA-N 0 0 430.483 -0.565 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1)C(F)(F)F ZINC000754948492 1072911084 /nfs/dbraw/zinc/91/10/84/1072911084.db2.gz GVELHARLFDDGOE-LLVKDONJSA-N 0 0 425.433 -0.039 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1)C(F)(F)F ZINC000754948493 1072911075 /nfs/dbraw/zinc/91/10/75/1072911075.db2.gz GVELHARLFDDGOE-NSHDSACASA-N 0 0 425.433 -0.039 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000754957126 1072911194 /nfs/dbraw/zinc/91/11/94/1072911194.db2.gz PALPBOYMLXOLHV-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC000754957127 1072911174 /nfs/dbraw/zinc/91/11/74/1072911174.db2.gz PALPBOYMLXOLHV-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000754963538 1072911163 /nfs/dbraw/zinc/91/11/63/1072911163.db2.gz FNZJFNYLGGRWGU-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000754963542 1072911184 /nfs/dbraw/zinc/91/11/84/1072911184.db2.gz FNZJFNYLGGRWGU-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)n1nnnc1C(C)(C)C ZINC000754984795 1072911158 /nfs/dbraw/zinc/91/11/58/1072911158.db2.gz STKIEKBZMBIDMD-KBPBESRZSA-N 0 0 442.542 -0.594 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)n1nnnc1C(C)(C)C ZINC000754985596 1072911144 /nfs/dbraw/zinc/91/11/44/1072911144.db2.gz STKIEKBZMBIDMD-KGLIPLIRSA-N 0 0 442.542 -0.594 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)n1nnnc1C(C)(C)C ZINC000754985599 1072911036 /nfs/dbraw/zinc/91/10/36/1072911036.db2.gz STKIEKBZMBIDMD-UONOGXRCSA-N 0 0 442.542 -0.594 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)n1nnnc1C(C)(C)C ZINC000754985601 1072911052 /nfs/dbraw/zinc/91/10/52/1072911052.db2.gz STKIEKBZMBIDMD-ZIAGYGMSSA-N 0 0 442.542 -0.594 20 0 IBADRN COC[C@](C)(O)C(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000754987382 1072911123 /nfs/dbraw/zinc/91/11/23/1072911123.db2.gz LULIKZJHPDOVFG-KRWDZBQOSA-N 0 0 434.536 -0.371 20 0 IBADRN COC[C@@](C)(O)C(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000754987396 1072911188 /nfs/dbraw/zinc/91/11/88/1072911188.db2.gz LULIKZJHPDOVFG-QGZVFWFLSA-N 0 0 434.536 -0.371 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755009081 1072911598 /nfs/dbraw/zinc/91/15/98/1072911598.db2.gz JARQOAAJXYKDGT-AWEZNQCLSA-N 0 0 447.558 -0.547 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755009082 1072911479 /nfs/dbraw/zinc/91/14/79/1072911479.db2.gz JARQOAAJXYKDGT-CQSZACIVSA-N 0 0 447.558 -0.547 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000755010124 1072911550 /nfs/dbraw/zinc/91/15/50/1072911550.db2.gz GDFFOPPTLDHSHZ-CHWSQXEVSA-N 0 0 426.470 -0.608 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000755010135 1072911684 /nfs/dbraw/zinc/91/16/84/1072911684.db2.gz GDFFOPPTLDHSHZ-OLZOCXBDSA-N 0 0 426.470 -0.608 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000755010140 1072911536 /nfs/dbraw/zinc/91/15/36/1072911536.db2.gz GDFFOPPTLDHSHZ-QWHCGFSZSA-N 0 0 426.470 -0.608 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000755010146 1072911620 /nfs/dbraw/zinc/91/16/20/1072911620.db2.gz GDFFOPPTLDHSHZ-STQMWFEESA-N 0 0 426.470 -0.608 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755017165 1072911659 /nfs/dbraw/zinc/91/16/59/1072911659.db2.gz YLCYWYWMUFXQQJ-HNNXBMFYSA-N 0 0 425.530 -0.171 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755017169 1072911636 /nfs/dbraw/zinc/91/16/36/1072911636.db2.gz YLCYWYWMUFXQQJ-OAHLLOKOSA-N 0 0 425.530 -0.171 20 0 IBADRN O=C(COC(=O)c1ccnc(O[C@@H]2CCOC2)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000755028026 1072911445 /nfs/dbraw/zinc/91/14/45/1072911445.db2.gz KXGYINIJGRQPCC-CHWSQXEVSA-N 0 0 427.435 -0.581 20 0 IBADRN O=C(COC(=O)c1ccnc(O[C@H]2CCOC2)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000755028033 1072911629 /nfs/dbraw/zinc/91/16/29/1072911629.db2.gz KXGYINIJGRQPCC-OLZOCXBDSA-N 0 0 427.435 -0.581 20 0 IBADRN O=C(COC(=O)c1ccnc(O[C@@H]2CCOC2)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000755028034 1072911605 /nfs/dbraw/zinc/91/16/05/1072911605.db2.gz KXGYINIJGRQPCC-QWHCGFSZSA-N 0 0 427.435 -0.581 20 0 IBADRN O=C(COC(=O)c1ccnc(O[C@H]2CCOC2)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000755028035 1072911488 /nfs/dbraw/zinc/91/14/88/1072911488.db2.gz KXGYINIJGRQPCC-STQMWFEESA-N 0 0 427.435 -0.581 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000755042344 1072911708 /nfs/dbraw/zinc/91/17/08/1072911708.db2.gz VPFNIPKSTAXHQB-KBPBESRZSA-N 0 0 447.558 -0.466 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000755042350 1072911613 /nfs/dbraw/zinc/91/16/13/1072911613.db2.gz VPFNIPKSTAXHQB-KGLIPLIRSA-N 0 0 447.558 -0.466 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000755042351 1072911573 /nfs/dbraw/zinc/91/15/73/1072911573.db2.gz VPFNIPKSTAXHQB-UONOGXRCSA-N 0 0 447.558 -0.466 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000755042352 1072911676 /nfs/dbraw/zinc/91/16/76/1072911676.db2.gz VPFNIPKSTAXHQB-ZIAGYGMSSA-N 0 0 447.558 -0.466 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NCCS(C)(=O)=O)c1 ZINC000755046725 1072911588 /nfs/dbraw/zinc/91/15/88/1072911588.db2.gz VAVORMRWWKMSGY-UHFFFAOYSA-N 0 0 434.540 -0.060 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755047913 1072911561 /nfs/dbraw/zinc/91/15/61/1072911561.db2.gz XBYVIOOWCMKFHA-INIZCTEOSA-N 0 0 425.530 -0.218 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755047915 1072912217 /nfs/dbraw/zinc/91/22/17/1072912217.db2.gz XBYVIOOWCMKFHA-MRXNPFEDSA-N 0 0 425.530 -0.218 20 0 IBADRN CCc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000755061381 1072912049 /nfs/dbraw/zinc/91/20/49/1072912049.db2.gz DAYHVTDSQBRGML-GFCCVEGCSA-N 0 0 448.523 -0.855 20 0 IBADRN CCc1noc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000755061390 1072912209 /nfs/dbraw/zinc/91/22/09/1072912209.db2.gz DAYHVTDSQBRGML-LBPRGKRZSA-N 0 0 448.523 -0.855 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N[C@@H](CO)C2CC2)c1 ZINC000755062555 1072912061 /nfs/dbraw/zinc/91/20/61/1072912061.db2.gz HBQHGZXZTUKLHL-INIZCTEOSA-N 0 0 440.522 -0.401 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)C(=O)N[C@H](CO)C2CC2)c1 ZINC000755062556 1072912134 /nfs/dbraw/zinc/91/21/34/1072912134.db2.gz HBQHGZXZTUKLHL-MRXNPFEDSA-N 0 0 440.522 -0.401 20 0 IBADRN CO[C@@H]1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC000755063885 1072912085 /nfs/dbraw/zinc/91/20/85/1072912085.db2.gz OMKOMQSGWIRILZ-CQSZACIVSA-N 0 0 440.522 -0.041 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)C2COC2)CC1 ZINC000755072175 1072912160 /nfs/dbraw/zinc/91/21/60/1072912160.db2.gz XCQLMSCMLMWIJV-UHFFFAOYSA-N 0 0 438.549 -0.567 20 0 IBADRN O=C(Cc1ccc2c(c1)COC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000755073624 1072912202 /nfs/dbraw/zinc/91/22/02/1072912202.db2.gz LBFHQTMAOGFPMD-KRWDZBQOSA-N 0 0 428.532 -0.080 20 0 IBADRN O=C(Cc1ccc2c(c1)COC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000755073627 1072912001 /nfs/dbraw/zinc/91/20/01/1072912001.db2.gz LBFHQTMAOGFPMD-QGZVFWFLSA-N 0 0 428.532 -0.080 20 0 IBADRN Cn1nnc2ccc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc21 ZINC000755075743 1072912119 /nfs/dbraw/zinc/91/21/19/1072912119.db2.gz UEELSJANUCBOJS-CYBMUJFWSA-N 0 0 427.508 -0.757 20 0 IBADRN Cn1nnc2ccc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc21 ZINC000755075753 1072912182 /nfs/dbraw/zinc/91/21/82/1072912182.db2.gz UEELSJANUCBOJS-ZDUSSCGKSA-N 0 0 427.508 -0.757 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000755092619 1072912156 /nfs/dbraw/zinc/91/21/56/1072912156.db2.gz WVCMBTDUMFUCPE-GFCCVEGCSA-N 0 0 441.535 -0.074 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000755092636 1072912142 /nfs/dbraw/zinc/91/21/42/1072912142.db2.gz WVCMBTDUMFUCPE-LBPRGKRZSA-N 0 0 441.535 -0.074 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000755104051 1072912189 /nfs/dbraw/zinc/91/21/89/1072912189.db2.gz RLGNSDLHCKQXNX-UHFFFAOYSA-N 0 0 429.465 -0.190 20 0 IBADRN C[C@@]1(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@@]3(C)CCOC3)CC2)CCOC1 ZINC000755106159 1072912174 /nfs/dbraw/zinc/91/21/74/1072912174.db2.gz BWFDFRQILATGSZ-BGYRXZFFSA-N 0 0 426.466 -0.403 20 0 IBADRN C[C@]1(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@@]3(C)CCOC3)CC2)CCOC1 ZINC000755106165 1072912223 /nfs/dbraw/zinc/91/22/23/1072912223.db2.gz BWFDFRQILATGSZ-PMACEKPBSA-N 0 0 426.466 -0.403 20 0 IBADRN C[C@@]1(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@]3(C)CCOC3)CC2)CCOC1 ZINC000755106169 1072912234 /nfs/dbraw/zinc/91/22/34/1072912234.db2.gz BWFDFRQILATGSZ-WOJBJXKFSA-N 0 0 426.466 -0.403 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H]1C ZINC000755116383 1074009010 /nfs/dbraw/zinc/00/90/10/1074009010.db2.gz LNSWKROWJPBLGH-HZPDHXFCSA-N 0 0 439.538 -0.016 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(S(=O)(=O)C3COC3)C2)CC1 ZINC000755118718 1072912073 /nfs/dbraw/zinc/91/20/73/1072912073.db2.gz AEJOOXRURWAHAX-UHFFFAOYSA-N 0 0 425.529 -0.718 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNS(=O)(=O)C2COC2)cc1)S(C)(=O)=O ZINC000755127398 1072912635 /nfs/dbraw/zinc/91/26/35/1072912635.db2.gz WPYINOOXRUSVSH-UHFFFAOYSA-N 0 0 428.510 -0.891 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(CC(=O)OC)CC2)cc1 ZINC000755136640 1072912622 /nfs/dbraw/zinc/91/26/22/1072912622.db2.gz UNQYHGULPUVFAB-UHFFFAOYSA-N 0 0 434.536 -0.021 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NCCN1CCS(=O)(=O)CC1 ZINC000755152814 1072912680 /nfs/dbraw/zinc/91/26/80/1072912680.db2.gz UEUWKOAVLGZOSP-AWEZNQCLSA-N 0 0 432.543 -0.651 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NCCN1CCS(=O)(=O)CC1 ZINC000755152822 1072912690 /nfs/dbraw/zinc/91/26/90/1072912690.db2.gz UEUWKOAVLGZOSP-CQSZACIVSA-N 0 0 432.543 -0.651 20 0 IBADRN COCCS(=O)(=O)CC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000755156064 1072912594 /nfs/dbraw/zinc/91/25/94/1072912594.db2.gz DNXLDKDNWOSVPD-UHFFFAOYSA-N 0 0 449.551 -0.227 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000755156653 1072912720 /nfs/dbraw/zinc/91/27/20/1072912720.db2.gz HZIDNYKANAZKFB-KRWDZBQOSA-N 0 0 443.614 -0.167 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000755156656 1072912660 /nfs/dbraw/zinc/91/26/60/1072912660.db2.gz HZIDNYKANAZKFB-QGZVFWFLSA-N 0 0 443.614 -0.167 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCNS(=O)(=O)Cc1ccccc1 ZINC000755166043 1072912696 /nfs/dbraw/zinc/91/26/96/1072912696.db2.gz NSZGCIOEFHITES-CYBMUJFWSA-N 0 0 429.524 -0.677 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCNS(=O)(=O)Cc1ccccc1 ZINC000755166044 1072912706 /nfs/dbraw/zinc/91/27/06/1072912706.db2.gz NSZGCIOEFHITES-ZDUSSCGKSA-N 0 0 429.524 -0.677 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000755180404 1072912628 /nfs/dbraw/zinc/91/26/28/1072912628.db2.gz XLUOYQCSVHWNRF-UHFFFAOYSA-N 0 0 425.451 -0.339 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)OCC(=O)NCC(=O)N1CCCC1 ZINC000755184412 1072912738 /nfs/dbraw/zinc/91/27/38/1072912738.db2.gz BTXULJKKSDDVMH-AWEZNQCLSA-N 0 0 440.497 -0.216 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)OCC(=O)NCC(=O)N1CCCC1 ZINC000755184421 1072912653 /nfs/dbraw/zinc/91/26/53/1072912653.db2.gz BTXULJKKSDDVMH-CQSZACIVSA-N 0 0 440.497 -0.216 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755184874 1072912616 /nfs/dbraw/zinc/91/26/16/1072912616.db2.gz CQQQUPOHWRRFLX-HNNXBMFYSA-N 0 0 440.497 -0.264 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755184875 1072912688 /nfs/dbraw/zinc/91/26/88/1072912688.db2.gz CQQQUPOHWRRFLX-OAHLLOKOSA-N 0 0 440.497 -0.264 20 0 IBADRN CNC(=O)Cc1noc(COC(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)n1 ZINC000755187302 1072912673 /nfs/dbraw/zinc/91/26/73/1072912673.db2.gz VQIFRCCEECJHFD-LLVKDONJSA-N 0 0 425.442 -0.083 20 0 IBADRN CNC(=O)Cc1noc(COC(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)n1 ZINC000755187303 1072912712 /nfs/dbraw/zinc/91/27/12/1072912712.db2.gz VQIFRCCEECJHFD-NSHDSACASA-N 0 0 425.442 -0.083 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C)C(=O)N(C)C ZINC000755188109 1072913124 /nfs/dbraw/zinc/91/31/24/1072913124.db2.gz ZIVCTKCYNQMGMN-CHWSQXEVSA-N 0 0 428.486 -0.362 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C)C(=O)N(C)C ZINC000755188110 1072913107 /nfs/dbraw/zinc/91/31/07/1072913107.db2.gz ZIVCTKCYNQMGMN-OLZOCXBDSA-N 0 0 428.486 -0.362 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)OCC(=O)N1CCCC[C@H]1C(N)=O ZINC000755188315 1072912645 /nfs/dbraw/zinc/91/26/45/1072912645.db2.gz VZDWBFPVRUGSII-KBPBESRZSA-N 0 0 440.497 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)OCC(=O)N1CCCC[C@@H]1C(N)=O ZINC000755188319 1072913204 /nfs/dbraw/zinc/91/32/04/1072913204.db2.gz VZDWBFPVRUGSII-KGLIPLIRSA-N 0 0 440.497 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)OCC(=O)N1CCCC[C@H]1C(N)=O ZINC000755188321 1072913213 /nfs/dbraw/zinc/91/32/13/1072913213.db2.gz VZDWBFPVRUGSII-UONOGXRCSA-N 0 0 440.497 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)OCC(=O)N1CCCC[C@@H]1C(N)=O ZINC000755188323 1072913071 /nfs/dbraw/zinc/91/30/71/1072913071.db2.gz VZDWBFPVRUGSII-ZIAGYGMSSA-N 0 0 440.497 -0.088 20 0 IBADRN C[C@H](NC(=O)COC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C)C(=O)N(C)C ZINC000755188361 1072913187 /nfs/dbraw/zinc/91/31/87/1072913187.db2.gz ZIVCTKCYNQMGMN-QWHCGFSZSA-N 0 0 428.486 -0.362 20 0 IBADRN C[C@H](NC(=O)COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C)C(=O)N(C)C ZINC000755188362 1072913139 /nfs/dbraw/zinc/91/31/39/1072913139.db2.gz ZIVCTKCYNQMGMN-STQMWFEESA-N 0 0 428.486 -0.362 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2)n1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000755191272 1072913085 /nfs/dbraw/zinc/91/30/85/1072913085.db2.gz FQAFVBJIOCVZAA-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)n2)CC1 ZINC000755199550 1072913152 /nfs/dbraw/zinc/91/31/52/1072913152.db2.gz VGJSFXSVWWUSOY-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)n2)CC1 ZINC000755200112 1072913176 /nfs/dbraw/zinc/91/31/76/1072913176.db2.gz YSOWRKRLXBGRSH-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000755202526 1072913196 /nfs/dbraw/zinc/91/31/96/1072913196.db2.gz BNCBLXFBWFPKKP-AWEZNQCLSA-N 0 0 444.558 -0.244 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000755202527 1072913208 /nfs/dbraw/zinc/91/32/08/1072913208.db2.gz BNCBLXFBWFPKKP-CQSZACIVSA-N 0 0 444.558 -0.244 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)n2)CC1 ZINC000755205910 1072913228 /nfs/dbraw/zinc/91/32/28/1072913228.db2.gz MBXVQGUGUHTCBL-UHFFFAOYSA-N 0 0 439.432 -0.974 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)NN1CCCNC1=O ZINC000755212695 1072913131 /nfs/dbraw/zinc/91/31/31/1072913131.db2.gz RYJHKNXCFDKPGL-GFCCVEGCSA-N 0 0 431.496 -0.396 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)NN1CCCNC1=O ZINC000755212699 1072913160 /nfs/dbraw/zinc/91/31/60/1072913160.db2.gz RYJHKNXCFDKPGL-LBPRGKRZSA-N 0 0 431.496 -0.396 20 0 IBADRN O=C(NCc1cn(-c2ccccc2)nn1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000755218904 1072913096 /nfs/dbraw/zinc/91/30/96/1072913096.db2.gz MANCQFGXQGPRQM-UHFFFAOYSA-N 0 0 435.510 -0.329 20 0 IBADRN O=C(NCc1cn(-c2ccccc2)nn1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000755219468 1072913165 /nfs/dbraw/zinc/91/31/65/1072913165.db2.gz FJFUMRORVUECFP-UHFFFAOYSA-N 0 0 427.465 -0.520 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3cn(-c4ccccc4)nn3)CC2)CC1 ZINC000755220447 1072913144 /nfs/dbraw/zinc/91/31/44/1072913144.db2.gz MFYAJRAJLQBAGS-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000755224529 1072913116 /nfs/dbraw/zinc/91/31/16/1072913116.db2.gz CKZPZPLXKCVPFM-HZMBPMFUSA-N 0 0 438.432 -0.223 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000755224530 1072913220 /nfs/dbraw/zinc/91/32/20/1072913220.db2.gz CKZPZPLXKCVPFM-IINYFYTJSA-N 0 0 438.432 -0.223 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000755224531 1072913680 /nfs/dbraw/zinc/91/36/80/1072913680.db2.gz CKZPZPLXKCVPFM-QMTHXVAHSA-N 0 0 438.432 -0.223 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000755224532 1072913530 /nfs/dbraw/zinc/91/35/30/1072913530.db2.gz CKZPZPLXKCVPFM-YGRLFVJLSA-N 0 0 438.432 -0.223 20 0 IBADRN CCn1cnnc1-c1ccccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000755227451 1072913669 /nfs/dbraw/zinc/91/36/69/1072913669.db2.gz KUGOFYVDRMHEJM-UHFFFAOYSA-N 0 0 436.494 -0.318 20 0 IBADRN O=C(CN(CC(=O)N1CC(n2cncn2)C1)Cc1ccccc1)N1CC(n2cncn2)C1 ZINC000755236661 1072913688 /nfs/dbraw/zinc/91/36/88/1072913688.db2.gz XRCBENPGYOJYJO-UHFFFAOYSA-N 0 0 435.492 -0.161 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000755239233 1072913593 /nfs/dbraw/zinc/91/35/93/1072913593.db2.gz OEOCZNXZSWDJDS-BETUJISGSA-N 0 0 428.463 -0.070 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1cccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000755239260 1072913609 /nfs/dbraw/zinc/91/36/09/1072913609.db2.gz OEOCZNXZSWDJDS-CHWSQXEVSA-N 0 0 428.463 -0.070 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1cccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000755239267 1072913540 /nfs/dbraw/zinc/91/35/40/1072913540.db2.gz OEOCZNXZSWDJDS-STQMWFEESA-N 0 0 428.463 -0.070 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cnn(-c2ccccc2)n1 ZINC000755241092 1072913570 /nfs/dbraw/zinc/91/35/70/1072913570.db2.gz HPDXYTAZOVUVEA-HNNXBMFYSA-N 0 0 436.494 -0.190 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cnn(-c2ccccc2)n1 ZINC000755241101 1072913514 /nfs/dbraw/zinc/91/35/14/1072913514.db2.gz HPDXYTAZOVUVEA-OAHLLOKOSA-N 0 0 436.494 -0.190 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000755241470 1072913751 /nfs/dbraw/zinc/91/37/51/1072913751.db2.gz PBRYZEOIYZBSHC-KBPBESRZSA-N 0 0 439.513 -0.645 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000755241481 1072913565 /nfs/dbraw/zinc/91/35/65/1072913565.db2.gz PBRYZEOIYZBSHC-KGLIPLIRSA-N 0 0 439.513 -0.645 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000755241483 1072913557 /nfs/dbraw/zinc/91/35/57/1072913557.db2.gz PBRYZEOIYZBSHC-UONOGXRCSA-N 0 0 439.513 -0.645 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000755241485 1072913737 /nfs/dbraw/zinc/91/37/37/1072913737.db2.gz PBRYZEOIYZBSHC-ZIAGYGMSSA-N 0 0 439.513 -0.645 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(-c2ccccc2)n1)N1CCN(c2ncccn2)CC1 ZINC000755245634 1072913588 /nfs/dbraw/zinc/91/35/88/1072913588.db2.gz QXVNYCPABHWJPB-UHFFFAOYSA-N 0 0 449.475 -0.149 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2)n1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000755253706 1072914266 /nfs/dbraw/zinc/91/42/66/1072914266.db2.gz GLMSBFJRGDIWIA-UHFFFAOYSA-N 0 0 445.461 -0.132 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)n2)CC1 ZINC000755274842 1072914085 /nfs/dbraw/zinc/91/40/85/1072914085.db2.gz CRBYQSROLJPSSL-INIZCTEOSA-N 0 0 443.508 -0.109 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)n2)CC1 ZINC000755274846 1072914238 /nfs/dbraw/zinc/91/42/38/1072914238.db2.gz CRBYQSROLJPSSL-MRXNPFEDSA-N 0 0 443.508 -0.109 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000755277985 1072914179 /nfs/dbraw/zinc/91/41/79/1072914179.db2.gz VXPBIROEYGHVHM-AWEZNQCLSA-N 0 0 427.502 -0.388 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000755289285 1072914215 /nfs/dbraw/zinc/91/42/15/1072914215.db2.gz GUWGWNDKMUXQGP-HNNXBMFYSA-N 0 0 448.590 -0.281 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)C(=O)NCCS(=O)(=O)CC1CC1 ZINC000755296687 1072914252 /nfs/dbraw/zinc/91/42/52/1072914252.db2.gz QLWNZZFKFHTMBD-UHFFFAOYSA-N 0 0 429.520 -0.112 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000755297548 1072914143 /nfs/dbraw/zinc/91/41/43/1072914143.db2.gz OYVPUJYRRVQZRS-LBPRGKRZSA-N 0 0 425.490 -0.429 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)n2)CC1 ZINC000755298394 1072914118 /nfs/dbraw/zinc/91/41/18/1072914118.db2.gz ZPBAEOWNDAJIOQ-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000755299601 1072914067 /nfs/dbraw/zinc/91/40/67/1072914067.db2.gz YTMZLPZYGGQVGZ-AWEZNQCLSA-N 0 0 435.547 -0.196 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000755301010 1072914277 /nfs/dbraw/zinc/91/42/77/1072914277.db2.gz HYSJJLVLGOYMCX-UHFFFAOYSA-N 0 0 436.478 -0.023 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000755302057 1072914207 /nfs/dbraw/zinc/91/42/07/1072914207.db2.gz CGWNJNNONONNGP-UHFFFAOYSA-N 0 0 429.465 -0.236 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000755305280 1072914228 /nfs/dbraw/zinc/91/42/28/1072914228.db2.gz XNTYGIBJCOPPFJ-UHFFFAOYSA-N 0 0 436.478 -0.023 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NCC(=O)NCCN1CCOCC1 ZINC000755309065 1072914245 /nfs/dbraw/zinc/91/42/45/1072914245.db2.gz FIQBLNMMLHYAEV-HNNXBMFYSA-N 0 0 441.529 -0.933 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NCC(=O)NCCN1CCOCC1 ZINC000755309066 1072914191 /nfs/dbraw/zinc/91/41/91/1072914191.db2.gz FIQBLNMMLHYAEV-OAHLLOKOSA-N 0 0 441.529 -0.933 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000755309147 1072914719 /nfs/dbraw/zinc/91/47/19/1072914719.db2.gz HYKGJPACMQTQSY-UHFFFAOYSA-N 0 0 442.494 -0.966 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(CCO)CC3)cc2)CC1 ZINC000755310369 1072914674 /nfs/dbraw/zinc/91/46/74/1072914674.db2.gz RPCVMZQRICJRDG-UHFFFAOYSA-N 0 0 433.509 -0.943 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCN(CCO)CC1 ZINC000755311168 1072914783 /nfs/dbraw/zinc/91/47/83/1072914783.db2.gz YOCIMWDEDDDMCN-UHFFFAOYSA-N 0 0 433.914 -0.162 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000755314432 1072914830 /nfs/dbraw/zinc/91/48/30/1072914830.db2.gz ANJHECHQGMPPAR-UHFFFAOYSA-N 0 0 447.492 -0.516 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c(Cl)n1 ZINC000755314446 1072914777 /nfs/dbraw/zinc/91/47/77/1072914777.db2.gz ATAUYIVBOAQRHK-UHFFFAOYSA-N 0 0 425.873 -0.315 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3)n2)CC1)N1CCOCC1 ZINC000755314467 1072914756 /nfs/dbraw/zinc/91/47/56/1072914756.db2.gz BGWXPWSFBCTHIF-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN Cc1nc(-c2ccccc2NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)no1 ZINC000755314507 1072914807 /nfs/dbraw/zinc/91/48/07/1072914807.db2.gz CDSFCWTURXUHAA-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2c(F)cccc2-n2cccn2)CC1)N1CCOCC1 ZINC000755314546 1072914802 /nfs/dbraw/zinc/91/48/02/1072914802.db2.gz DRVAZPOHYXNOOL-UHFFFAOYSA-N 0 0 444.467 -0.047 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(Br)nc2)CC1)N1CCOCC1 ZINC000755315156 1072914621 /nfs/dbraw/zinc/91/46/21/1072914621.db2.gz HNNDDFQVRUDOMC-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)CC1)N1CCOCC1 ZINC000755315439 1072914843 /nfs/dbraw/zinc/91/48/43/1072914843.db2.gz HYDAFPSQTQJMLL-UHFFFAOYSA-N 0 0 445.476 -0.415 20 0 IBADRN CO[C@H]1Cc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2C1 ZINC000755315482 1072914852 /nfs/dbraw/zinc/91/48/52/1072914852.db2.gz IENZPCILWBYEFL-IBGZPJMESA-N 0 0 430.505 -0.258 20 0 IBADRN CO[C@@H]1Cc2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2C1 ZINC000755315484 1072914609 /nfs/dbraw/zinc/91/46/09/1072914609.db2.gz IENZPCILWBYEFL-LJQANCHMSA-N 0 0 430.505 -0.258 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000755315493 1072914746 /nfs/dbraw/zinc/91/47/46/1072914746.db2.gz IGLOEHRXYFDFHB-UHFFFAOYSA-N 0 0 433.465 -0.194 20 0 IBADRN Cc1nccn1-c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cn1 ZINC000755315532 1072914685 /nfs/dbraw/zinc/91/46/85/1072914685.db2.gz IWJNRXFBKZRRGT-UHFFFAOYSA-N 0 0 441.492 -0.483 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3ccnc3)nc2)CC1)N1CCOCC1 ZINC000755315584 1072914709 /nfs/dbraw/zinc/91/47/09/1072914709.db2.gz KMMZJYDKXCGKND-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCC1N=NC(=S)N1C ZINC000755315719 1072914766 /nfs/dbraw/zinc/91/47/66/1072914766.db2.gz JGRWOKGCCNZCEX-UHFFFAOYSA-N 0 0 440.507 -0.192 20 0 IBADRN CN1C(=S)N=NC1CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000755316242 1072915217 /nfs/dbraw/zinc/91/52/17/1072915217.db2.gz GNRKNELMEWNXAB-UHFFFAOYSA-N 0 0 428.471 -0.062 20 0 IBADRN Cc1nnc(-c2ccc(NC(=O)C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)cc2)o1 ZINC000755316324 1072915143 /nfs/dbraw/zinc/91/51/43/1072915143.db2.gz MNXPYXBQIHWQQS-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)CC1)N1CCOCC1 ZINC000755316406 1072915231 /nfs/dbraw/zinc/91/52/31/1072915231.db2.gz NFVVCISYNPUCSS-UHFFFAOYSA-N 0 0 445.520 -0.535 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)cc2)CC1)N1CCOCC1 ZINC000755316426 1072915151 /nfs/dbraw/zinc/91/51/51/1072915151.db2.gz NMFGEXKLJGHQQH-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1C ZINC000755316470 1072915157 /nfs/dbraw/zinc/91/51/57/1072915157.db2.gz OADJBGPHWCNVGF-UHFFFAOYSA-N 0 0 431.493 -0.105 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000755316563 1072915166 /nfs/dbraw/zinc/91/51/66/1072915166.db2.gz PCGBMCZQPHGGHK-UHFFFAOYSA-N 0 0 436.440 -0.446 20 0 IBADRN COC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000755316568 1072915164 /nfs/dbraw/zinc/91/51/64/1072915164.db2.gz PFDIUARWTULWFR-UHFFFAOYSA-N 0 0 447.492 -0.169 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccnc2Br)CC1)N1CCOCC1 ZINC000755316572 1072915170 /nfs/dbraw/zinc/91/51/70/1072915170.db2.gz PIZBYLIEFYQVPE-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000755316602 1072915207 /nfs/dbraw/zinc/91/52/07/1072915207.db2.gz QLOLCFMRDLOPRE-UHFFFAOYSA-N 0 0 433.465 -0.194 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCC1N=NC(=S)N1C ZINC000755317129 1072915212 /nfs/dbraw/zinc/91/52/12/1072915212.db2.gz JUAZJOZKJIHRRU-UHFFFAOYSA-N 0 0 434.478 -0.018 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccccc2N2CCOC2=O)CC1)N1CCOCC1 ZINC000755317204 1072915228 /nfs/dbraw/zinc/91/52/28/1072915228.db2.gz QXPVVRUMJBCSTM-UHFFFAOYSA-N 0 0 445.476 -0.415 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755317236 1072915182 /nfs/dbraw/zinc/91/51/82/1072915182.db2.gz SLDYEGBHJBEPKU-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755317238 1072915175 /nfs/dbraw/zinc/91/51/75/1072915175.db2.gz SLDYEGBHJBEPKU-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000755317403 1072915235 /nfs/dbraw/zinc/91/52/35/1072915235.db2.gz UGTUEXJBTPGABD-UHFFFAOYSA-N 0 0 447.492 -0.787 20 0 IBADRN CN(C)C(=O)c1ccccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000755317465 1072915246 /nfs/dbraw/zinc/91/52/46/1072915246.db2.gz UPAYSTKTAZHMDK-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000755318431 1072915223 /nfs/dbraw/zinc/91/52/23/1072915223.db2.gz XEHDHCIUKPKEEB-UHFFFAOYSA-N 0 0 447.492 -0.739 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCC1N=NC(=S)N1C ZINC000755319250 1072915188 /nfs/dbraw/zinc/91/51/88/1072915188.db2.gz TYDWCTXATFSPEK-UHFFFAOYSA-N 0 0 441.539 -0.325 20 0 IBADRN CN1C(=S)N=NC1CNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000755319406 1072915239 /nfs/dbraw/zinc/91/52/39/1072915239.db2.gz WCBAJRASPOJWIY-UHFFFAOYSA-N 0 0 431.522 -0.325 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C(=O)Nc3ccon3)C2)CC1 ZINC000755325623 1072915659 /nfs/dbraw/zinc/91/56/59/1072915659.db2.gz SQQBKABMXZBERN-UHFFFAOYSA-N 0 0 443.482 -0.294 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2)n1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000755330336 1072915680 /nfs/dbraw/zinc/91/56/80/1072915680.db2.gz MDDYYJIOLGXZBA-INIZCTEOSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2)n1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000755330340 1072915708 /nfs/dbraw/zinc/91/57/08/1072915708.db2.gz MDDYYJIOLGXZBA-MRXNPFEDSA-N 0 0 448.505 -0.141 20 0 IBADRN Cc1c(C(=O)Oc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000755332300 1072915714 /nfs/dbraw/zinc/91/57/14/1072915714.db2.gz FAFZDHIWTRYJFM-AWEZNQCLSA-N 0 0 437.412 -0.090 20 0 IBADRN Cc1c(C(=O)Oc2ccc(C[C@H]3NC(=O)NC3=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000755332303 1072915668 /nfs/dbraw/zinc/91/56/68/1072915668.db2.gz FAFZDHIWTRYJFM-CQSZACIVSA-N 0 0 437.412 -0.090 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)Oc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)cc1 ZINC000755338364 1072915639 /nfs/dbraw/zinc/91/56/39/1072915639.db2.gz GMHMROQOZADRLL-HNNXBMFYSA-N 0 0 431.426 -0.085 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)Oc2ccc(C[C@H]3NC(=O)NC3=O)cc2)cc1 ZINC000755338368 1072915693 /nfs/dbraw/zinc/91/56/93/1072915693.db2.gz GMHMROQOZADRLL-OAHLLOKOSA-N 0 0 431.426 -0.085 20 0 IBADRN Cn1c2ncn(CCC(=O)Oc3ccc(C[C@H]4NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000755341029 1072915746 /nfs/dbraw/zinc/91/57/46/1072915746.db2.gz JZUMJCRLTSEEDI-CYBMUJFWSA-N 0 0 440.416 -0.820 20 0 IBADRN Cn1c2ncn(CCC(=O)Oc3ccc(C[C@@H]4NC(=O)NC4=O)cc3)c2c(=O)n(C)c1=O ZINC000755341031 1072915722 /nfs/dbraw/zinc/91/57/22/1072915722.db2.gz JZUMJCRLTSEEDI-ZDUSSCGKSA-N 0 0 440.416 -0.820 20 0 IBADRN Cc1cc(C(=O)Oc2ccc(C[C@H]3NC(=O)NC3=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000755344142 1072915740 /nfs/dbraw/zinc/91/57/40/1072915740.db2.gz BONGJYCEKWUEBE-CYBMUJFWSA-N 0 0 437.412 -0.090 20 0 IBADRN Cc1cc(C(=O)Oc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000755344144 1072915698 /nfs/dbraw/zinc/91/56/98/1072915698.db2.gz BONGJYCEKWUEBE-ZDUSSCGKSA-N 0 0 437.412 -0.090 20 0 IBADRN CC[C@@]1(c2ccc(OC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cc2)NC(=O)NC1=O ZINC000755351430 1072915619 /nfs/dbraw/zinc/91/56/19/1072915619.db2.gz BOCUJYGLPORQSM-FQEVSTJZSA-N 0 0 440.416 -0.516 20 0 IBADRN CC[C@]1(c2ccc(OC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)cc2)NC(=O)NC1=O ZINC000755351431 1072915651 /nfs/dbraw/zinc/91/56/51/1072915651.db2.gz BOCUJYGLPORQSM-HXUWFJFHSA-N 0 0 440.416 -0.516 20 0 IBADRN CC[C@@]1(c2ccc(OC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cc2)NC(=O)NC1=O ZINC000755353160 1072915752 /nfs/dbraw/zinc/91/57/52/1072915752.db2.gz FZTRLRBKPFJTHO-FQEVSTJZSA-N 0 0 440.416 -0.516 20 0 IBADRN CC[C@]1(c2ccc(OC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cc2)NC(=O)NC1=O ZINC000755353164 1072915761 /nfs/dbraw/zinc/91/57/61/1072915761.db2.gz FZTRLRBKPFJTHO-HXUWFJFHSA-N 0 0 440.416 -0.516 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755353487 1072915733 /nfs/dbraw/zinc/91/57/33/1072915733.db2.gz INCWMQKDVZKONT-AWEZNQCLSA-N 0 0 440.497 -0.264 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000755353488 1072916283 /nfs/dbraw/zinc/91/62/83/1072916283.db2.gz INCWMQKDVZKONT-CQSZACIVSA-N 0 0 440.497 -0.264 20 0 IBADRN NC(=O)CONC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000755366429 1072916234 /nfs/dbraw/zinc/91/62/34/1072916234.db2.gz JRVYPJFMUWNHRZ-UHFFFAOYSA-N 0 0 443.482 -0.918 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000755370094 1072916271 /nfs/dbraw/zinc/91/62/71/1072916271.db2.gz RZYYROPHCFNYMN-JTQLQIEISA-N 0 0 443.498 -0.533 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000755370154 1072916295 /nfs/dbraw/zinc/91/62/95/1072916295.db2.gz RZYYROPHCFNYMN-SNVBAGLBSA-N 0 0 443.498 -0.533 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCN(CCn2cncn2)CC1 ZINC000755390792 1072916258 /nfs/dbraw/zinc/91/62/58/1072916258.db2.gz GCHXBCBXFCWLKJ-INIZCTEOSA-N 0 0 435.529 -0.062 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCN(CCn2cncn2)CC1 ZINC000755390795 1072916178 /nfs/dbraw/zinc/91/61/78/1072916178.db2.gz GCHXBCBXFCWLKJ-MRXNPFEDSA-N 0 0 435.529 -0.062 20 0 IBADRN NC(=O)CONC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000755393150 1072916191 /nfs/dbraw/zinc/91/61/91/1072916191.db2.gz SYPFJNQVYLJCCS-UHFFFAOYSA-N 0 0 427.483 -0.154 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000755431174 1072916169 /nfs/dbraw/zinc/91/61/69/1072916169.db2.gz HPFAXATUGSSZCY-AWEZNQCLSA-N 0 0 425.530 -0.090 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000755431176 1072916111 /nfs/dbraw/zinc/91/61/11/1072916111.db2.gz HPFAXATUGSSZCY-CQSZACIVSA-N 0 0 425.530 -0.090 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CNC(=O)[C@@H]3CCCN3C(=O)OC(C)(C)C)CC2)c1=O ZINC000755433306 1072916617 /nfs/dbraw/zinc/91/66/17/1072916617.db2.gz CWJZSXZXIMQNRW-HNNXBMFYSA-N 0 0 448.524 -0.055 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)CNC(=O)[C@H]3CCCN3C(=O)OC(C)(C)C)CC2)c1=O ZINC000755433308 1072916599 /nfs/dbraw/zinc/91/65/99/1072916599.db2.gz CWJZSXZXIMQNRW-OAHLLOKOSA-N 0 0 448.524 -0.055 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2C[C@H](O)C[C@H](O)C2)c1 ZINC000755434126 1072916611 /nfs/dbraw/zinc/91/66/11/1072916611.db2.gz ZZEGXWGOYAIWFF-PHIMTYICSA-N 0 0 437.312 -0.059 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC000755434227 1072916208 /nfs/dbraw/zinc/91/62/08/1072916208.db2.gz KVERAELLADTAFJ-IZDJOXEWSA-N 0 0 437.497 -0.003 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC000755434228 1072916130 /nfs/dbraw/zinc/91/61/30/1072916130.db2.gz KVERAELLADTAFJ-MRRFBWAASA-N 0 0 437.497 -0.003 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC000755434229 1072916147 /nfs/dbraw/zinc/91/61/47/1072916147.db2.gz KVERAELLADTAFJ-RDXCRGQUSA-N 0 0 437.497 -0.003 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC000755434231 1072916625 /nfs/dbraw/zinc/91/66/25/1072916625.db2.gz KVERAELLADTAFJ-VFGMZZLHSA-N 0 0 437.497 -0.003 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000755437217 1072916648 /nfs/dbraw/zinc/91/66/48/1072916648.db2.gz ZJJLVFYQTYEQPR-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CN(CCN1CCCS1(=O)=O)C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000755437219 1072916565 /nfs/dbraw/zinc/91/65/65/1072916565.db2.gz ZJJLVFYQTYEQPR-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@H](O)C[C@H](O)C1 ZINC000755441707 1072916630 /nfs/dbraw/zinc/91/66/30/1072916630.db2.gz LCVVFOUNSXDJCO-GASCZTMLSA-N 0 0 426.491 -0.187 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000755452589 1072916660 /nfs/dbraw/zinc/91/66/60/1072916660.db2.gz IQPFSKVZNFEEGO-UHFFFAOYSA-N 0 0 435.499 -0.436 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CNC(=O)[C@@H]3CCCN3C(=O)OC(C)(C)C)CCN2C1=O ZINC000755468732 1072916638 /nfs/dbraw/zinc/91/66/38/1072916638.db2.gz BEPORISEZDOBKB-KBPBESRZSA-N 0 0 437.497 -0.003 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CNC(=O)[C@H]3CCCN3C(=O)OC(C)(C)C)CCN2C1=O ZINC000755468733 1072916607 /nfs/dbraw/zinc/91/66/07/1072916607.db2.gz BEPORISEZDOBKB-KGLIPLIRSA-N 0 0 437.497 -0.003 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CNC(=O)[C@@H]3CCCN3C(=O)OC(C)(C)C)CCN2C1=O ZINC000755468734 1072916515 /nfs/dbraw/zinc/91/65/15/1072916515.db2.gz BEPORISEZDOBKB-UONOGXRCSA-N 0 0 437.497 -0.003 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CNC(=O)[C@H]3CCCN3C(=O)OC(C)(C)C)CCN2C1=O ZINC000755468735 1072916557 /nfs/dbraw/zinc/91/65/57/1072916557.db2.gz BEPORISEZDOBKB-ZIAGYGMSSA-N 0 0 437.497 -0.003 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000755474033 1072916576 /nfs/dbraw/zinc/91/65/76/1072916576.db2.gz WRTVODRAOGNPGJ-CVEARBPZSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000755474037 1072916587 /nfs/dbraw/zinc/91/65/87/1072916587.db2.gz WRTVODRAOGNPGJ-HOTGVXAUSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000755474039 1072916536 /nfs/dbraw/zinc/91/65/36/1072916536.db2.gz WRTVODRAOGNPGJ-HZPDHXFCSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000755474041 1072917101 /nfs/dbraw/zinc/91/71/01/1072917101.db2.gz WRTVODRAOGNPGJ-JKSUJKDBSA-N 0 0 428.530 -0.346 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cc(S(C)(=O)=O)ccc3F)CC2)CC1 ZINC000755491158 1072917109 /nfs/dbraw/zinc/91/71/09/1072917109.db2.gz NGRIVFYLBCFHEM-UHFFFAOYSA-N 0 0 448.542 -0.521 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)C2=O)c1 ZINC000755494353 1072917135 /nfs/dbraw/zinc/91/71/35/1072917135.db2.gz VPAZUWANMPPCLW-IBGZPJMESA-N 0 0 445.457 -0.009 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)C2=O)c1 ZINC000755494354 1072917088 /nfs/dbraw/zinc/91/70/88/1072917088.db2.gz VPAZUWANMPPCLW-LJQANCHMSA-N 0 0 445.457 -0.009 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CO1 ZINC000755495022 1072917125 /nfs/dbraw/zinc/91/71/25/1072917125.db2.gz JZXZLNYWKANPMQ-UHFFFAOYSA-N 0 0 440.478 -0.987 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CO1 ZINC000755499418 1072917028 /nfs/dbraw/zinc/91/70/28/1072917028.db2.gz DBQREDQTEPQXTA-UHFFFAOYSA-N 0 0 441.510 -0.631 20 0 IBADRN COC(=O)C(=O)[C@H](C)OC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000755507926 1072917070 /nfs/dbraw/zinc/91/70/70/1072917070.db2.gz QRSORFHNUUSBDI-GZMMTYOYSA-N 0 0 438.252 -0.238 20 0 IBADRN COC(=O)C(=O)[C@@H](C)OC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000755507947 1072917017 /nfs/dbraw/zinc/91/70/17/1072917017.db2.gz QRSORFHNUUSBDI-KCJUWKMLSA-N 0 0 438.252 -0.238 20 0 IBADRN COC(=O)C(=O)[C@H](C)OC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000755508330 1072917064 /nfs/dbraw/zinc/91/70/64/1072917064.db2.gz QRSORFHNUUSBDI-KWQFWETISA-N 0 0 438.252 -0.238 20 0 IBADRN COC(=O)C(=O)[C@@H](C)OC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000755508331 1072917080 /nfs/dbraw/zinc/91/70/80/1072917080.db2.gz QRSORFHNUUSBDI-LDYMZIIASA-N 0 0 438.252 -0.238 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CO1 ZINC000755512616 1072917047 /nfs/dbraw/zinc/91/70/47/1072917047.db2.gz OUEYUMYZPCIUSA-KRWDZBQOSA-N 0 0 433.461 -0.093 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CO1 ZINC000755512617 1072917604 /nfs/dbraw/zinc/91/76/04/1072917604.db2.gz OUEYUMYZPCIUSA-QGZVFWFLSA-N 0 0 433.461 -0.093 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CO1 ZINC000755513336 1072917057 /nfs/dbraw/zinc/91/70/57/1072917057.db2.gz GJEYRXPMNMUOIZ-UHFFFAOYSA-N 0 0 427.479 -0.086 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CO1 ZINC000755513340 1072917118 /nfs/dbraw/zinc/91/71/18/1072917118.db2.gz GKAKKSVFDNGKJI-UHFFFAOYSA-N 0 0 427.479 -0.086 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2sc(C(N)=O)c(C)c2C(=O)OCCOC)CC1=O ZINC000755513713 1072917628 /nfs/dbraw/zinc/91/76/28/1072917628.db2.gz CPUMSRXLQGYADZ-UHFFFAOYSA-N 0 0 440.478 -0.412 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC3COC(C)(C)OC3)c2)CC1 ZINC000755513739 1072917506 /nfs/dbraw/zinc/91/75/06/1072917506.db2.gz NPSPWPVSSRWIKJ-UHFFFAOYSA-N 0 0 440.522 -0.171 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)CC1=O ZINC000755513748 1072917589 /nfs/dbraw/zinc/91/75/89/1072917589.db2.gz DGGYZKADVAGNTR-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC3COC(C)(C)OC3)cc2)CC1 ZINC000755514389 1072917578 /nfs/dbraw/zinc/91/75/78/1072917578.db2.gz PMZODNARNIPNIV-UHFFFAOYSA-N 0 0 440.522 -0.171 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CO1 ZINC000755514546 1072917597 /nfs/dbraw/zinc/91/75/97/1072917597.db2.gz RNJHFYCGXZDSQG-UHFFFAOYSA-N 0 0 434.493 -0.045 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CC1=O ZINC000755519734 1072917612 /nfs/dbraw/zinc/91/76/12/1072917612.db2.gz JQYXYLYFKBFWRT-UHFFFAOYSA-N 0 0 445.519 -0.866 20 0 IBADRN COC(=O)N1CC(NC(=O)CN(CC(=O)NC2CN(C(=O)OC)C2)Cc2ccccc2)C1 ZINC000755530497 1072917538 /nfs/dbraw/zinc/91/75/38/1072917538.db2.gz GHBHIRJVJXPXTE-UHFFFAOYSA-N 0 0 447.492 -0.378 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N(CCO)CCN1CCOCC1 ZINC000755530623 1072917633 /nfs/dbraw/zinc/91/76/33/1072917633.db2.gz HSEUDTMVGPFIOJ-INIZCTEOSA-N 0 0 428.530 -0.345 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N(CCO)CCN1CCOCC1 ZINC000755530625 1072917621 /nfs/dbraw/zinc/91/76/21/1072917621.db2.gz HSEUDTMVGPFIOJ-MRXNPFEDSA-N 0 0 428.530 -0.345 20 0 IBADRN O=C(c1cccc(-n2ccnn2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000755565835 1072918068 /nfs/dbraw/zinc/91/80/68/1072918068.db2.gz HLFQZSPGIBHBPQ-INIZCTEOSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cccc(-n2ccnn2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000755565836 1072918044 /nfs/dbraw/zinc/91/80/44/1072918044.db2.gz HLFQZSPGIBHBPQ-MRXNPFEDSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NC1CCCC1 ZINC000755566572 1072918083 /nfs/dbraw/zinc/91/80/83/1072918083.db2.gz NNSPIXDJLVTPSS-HNNXBMFYSA-N 0 0 435.568 -0.514 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NC1CCCC1 ZINC000755566575 1072918096 /nfs/dbraw/zinc/91/80/96/1072918096.db2.gz NNSPIXDJLVTPSS-OAHLLOKOSA-N 0 0 435.568 -0.514 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000755573326 1072918038 /nfs/dbraw/zinc/91/80/38/1072918038.db2.gz FYJOSQUWEHXTMG-GFCCVEGCSA-N 0 0 428.433 -0.456 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000755573346 1072917965 /nfs/dbraw/zinc/91/79/65/1072917965.db2.gz FYJOSQUWEHXTMG-LBPRGKRZSA-N 0 0 428.433 -0.456 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)cn1C ZINC000755575430 1072918007 /nfs/dbraw/zinc/91/80/07/1072918007.db2.gz GNKVNGCAMTVGOP-UHFFFAOYSA-N 0 0 447.461 -0.563 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000755578364 1072918057 /nfs/dbraw/zinc/91/80/57/1072918057.db2.gz CXNTYVSASMKEGJ-UHFFFAOYSA-N 0 0 439.478 -0.239 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000755578608 1072918088 /nfs/dbraw/zinc/91/80/88/1072918088.db2.gz WBNVTVSVZCUXJG-UHFFFAOYSA-N 0 0 442.441 -0.086 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000755579999 1072918546 /nfs/dbraw/zinc/91/85/46/1072918546.db2.gz TWMYXCWUDKKWOQ-SECBINFHSA-N 0 0 447.461 -0.483 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000755580006 1072918100 /nfs/dbraw/zinc/91/81/00/1072918100.db2.gz TWMYXCWUDKKWOQ-VIFPVBQESA-N 0 0 447.461 -0.483 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000755590967 1072918361 /nfs/dbraw/zinc/91/83/61/1072918361.db2.gz QVNSJFOUGXGMQH-UHFFFAOYSA-N 0 0 425.438 -0.337 20 0 IBADRN CN1CCN(C(=O)COCCOCC(=O)N2CCN(C)C(=O)C2(C)C)C(C)(C)C1=O ZINC000755596321 1072918400 /nfs/dbraw/zinc/91/84/00/1072918400.db2.gz MRFIYPJOASFPBR-UHFFFAOYSA-N 0 0 426.514 -0.822 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000755601312 1072918316 /nfs/dbraw/zinc/91/83/16/1072918316.db2.gz ZVENMSNDUGTIJL-KBPBESRZSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000755601314 1072918527 /nfs/dbraw/zinc/91/85/27/1072918527.db2.gz ZVENMSNDUGTIJL-KGLIPLIRSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000755601316 1072918334 /nfs/dbraw/zinc/91/83/34/1072918334.db2.gz ZVENMSNDUGTIJL-UONOGXRCSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000755601321 1072918537 /nfs/dbraw/zinc/91/85/37/1072918537.db2.gz ZVENMSNDUGTIJL-ZIAGYGMSSA-N 0 0 432.543 -0.100 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)co1 ZINC000755621438 1072918417 /nfs/dbraw/zinc/91/84/17/1072918417.db2.gz RKGBVSROYAJDSD-UHFFFAOYSA-N 0 0 430.483 -0.003 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000755651531 1072918497 /nfs/dbraw/zinc/91/84/97/1072918497.db2.gz NRKAASPUMSHRIP-UHFFFAOYSA-N 0 0 440.588 -0.460 20 0 IBADRN Cn1nnc2ccc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)cc21 ZINC000755656987 1072918557 /nfs/dbraw/zinc/91/85/57/1072918557.db2.gz MUNYAAGMYYOIAN-UHFFFAOYSA-N 0 0 425.449 -0.323 20 0 IBADRN C[C@@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)n1nnnc1C(C)(C)C ZINC000755658646 1072918482 /nfs/dbraw/zinc/91/84/82/1072918482.db2.gz UPOFRWJCNYEAOR-AWEZNQCLSA-N 0 0 446.512 -0.374 20 0 IBADRN C[C@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)n1nnnc1C(C)(C)C ZINC000755658649 1072918530 /nfs/dbraw/zinc/91/85/30/1072918530.db2.gz UPOFRWJCNYEAOR-CQSZACIVSA-N 0 0 446.512 -0.374 20 0 IBADRN CN(CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)OCc1ccccc1 ZINC000755676685 1072919016 /nfs/dbraw/zinc/91/90/16/1072919016.db2.gz LOKMOXUVPOLTFF-AWEZNQCLSA-N 0 0 441.462 -0.189 20 0 IBADRN CN(CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)OCc1ccccc1 ZINC000755676697 1072919031 /nfs/dbraw/zinc/91/90/31/1072919031.db2.gz LOKMOXUVPOLTFF-CQSZACIVSA-N 0 0 441.462 -0.189 20 0 IBADRN O=C(NC(CO)CO)c1cc(S(=O)(=O)NC[C@H]2CCCO2)ccc1Br ZINC000755684488 1072919041 /nfs/dbraw/zinc/91/90/41/1072919041.db2.gz QUZXCJWGVJBXJZ-LLVKDONJSA-N 0 0 437.312 -0.011 20 0 IBADRN O=C(NC(CO)CO)c1cc(S(=O)(=O)NC[C@@H]2CCCO2)ccc1Br ZINC000755684496 1072919068 /nfs/dbraw/zinc/91/90/68/1072919068.db2.gz QUZXCJWGVJBXJZ-NSHDSACASA-N 0 0 437.312 -0.011 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(I)c(C(=O)NC(CO)CO)c1 ZINC000755686166 1072919102 /nfs/dbraw/zinc/91/91/02/1072919102.db2.gz GCNMAGWUEXTWEG-UHFFFAOYSA-N 0 0 428.248 -0.376 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)cn1 ZINC000755688193 1072918965 /nfs/dbraw/zinc/91/89/65/1072918965.db2.gz ZZPWIIYQWMHGIT-UHFFFAOYSA-N 0 0 433.434 -0.871 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NC3CCN(S(=O)(=O)C(F)(F)F)CC3)nc2n(C)c1=O ZINC000755688213 1072919025 /nfs/dbraw/zinc/91/90/25/1072919025.db2.gz ATCUXRJEMRXBRP-UHFFFAOYSA-N 0 0 449.411 -0.324 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000755690589 1072919081 /nfs/dbraw/zinc/91/90/81/1072919081.db2.gz NNRMRBSZXMNVJM-UHFFFAOYSA-N 0 0 442.441 -0.086 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC3CCN(S(=O)(=O)C(F)(F)F)CC3)cnc2n(C)c1=O ZINC000755692361 1072918993 /nfs/dbraw/zinc/91/89/93/1072918993.db2.gz ZOQGKMMQALWGRF-UHFFFAOYSA-N 0 0 449.411 -0.324 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CN2C(=O)N[C@](CC)(c3cnn(C)c3)C2=O)CC1 ZINC000755706171 1072919010 /nfs/dbraw/zinc/91/90/10/1072919010.db2.gz MJIKVNGKKWVAIZ-GOSISDBHSA-N 0 0 441.558 -0.261 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CN2C(=O)N[C@@](CC)(c3cnn(C)c3)C2=O)CC1 ZINC000755706203 1072919003 /nfs/dbraw/zinc/91/90/03/1072919003.db2.gz MJIKVNGKKWVAIZ-SFHVURJKSA-N 0 0 441.558 -0.261 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000755712168 1072919093 /nfs/dbraw/zinc/91/90/93/1072919093.db2.gz JKXXBEPSVJDZOT-HNNXBMFYSA-N 0 0 434.518 -0.014 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000755712197 1072919125 /nfs/dbraw/zinc/91/91/25/1072919125.db2.gz JKXXBEPSVJDZOT-OAHLLOKOSA-N 0 0 434.518 -0.014 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CN2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000755719190 1072918952 /nfs/dbraw/zinc/91/89/52/1072918952.db2.gz BJOQVIRSFAGVBI-FQEVSTJZSA-N 0 0 426.481 -0.149 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CN2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000755719196 1072919115 /nfs/dbraw/zinc/91/91/15/1072919115.db2.gz BJOQVIRSFAGVBI-HXUWFJFHSA-N 0 0 426.481 -0.149 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000755728929 1072919120 /nfs/dbraw/zinc/91/91/20/1072919120.db2.gz YAXANVZBCIXHLC-FQEVSTJZSA-N 0 0 431.497 -0.699 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000755728930 1072919051 /nfs/dbraw/zinc/91/90/51/1072919051.db2.gz YAXANVZBCIXHLC-HXUWFJFHSA-N 0 0 431.497 -0.699 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CN2CCN([C@@H](C)C(=O)NCCCOC)CC2)C1=O ZINC000755745939 1072918939 /nfs/dbraw/zinc/91/89/39/1072918939.db2.gz PRNIQHHAMVOJAR-HRAATJIYSA-N 0 0 449.556 -0.307 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CN2CCN([C@H](C)C(=O)NCCCOC)CC2)C1=O ZINC000755745940 1072919672 /nfs/dbraw/zinc/91/96/72/1072919672.db2.gz PRNIQHHAMVOJAR-IERDGZPVSA-N 0 0 449.556 -0.307 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CN2CCN([C@H](C)C(=O)NCCCOC)CC2)C1=O ZINC000755745941 1072919610 /nfs/dbraw/zinc/91/96/10/1072919610.db2.gz PRNIQHHAMVOJAR-IIBYNOLFSA-N 0 0 449.556 -0.307 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CN2CCN([C@@H](C)C(=O)NCCCOC)CC2)C1=O ZINC000755745942 1072919580 /nfs/dbraw/zinc/91/95/80/1072919580.db2.gz PRNIQHHAMVOJAR-KKSFZXQISA-N 0 0 449.556 -0.307 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(CN2C(=O)N[C@@](CC)(c3cnn(C)c3)C2=O)CC1 ZINC000755752845 1072919711 /nfs/dbraw/zinc/91/97/11/1072919711.db2.gz ISCJLLDBQPXYMW-FQEVSTJZSA-N 0 0 434.497 -0.074 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(CN2C(=O)N[C@](CC)(c3cnn(C)c3)C2=O)CC1 ZINC000755752846 1072919464 /nfs/dbraw/zinc/91/94/64/1072919464.db2.gz ISCJLLDBQPXYMW-HXUWFJFHSA-N 0 0 434.497 -0.074 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CN2CCN(S(=O)(=O)CCCOC)CC2)C1=O ZINC000755753982 1072919708 /nfs/dbraw/zinc/91/97/08/1072919708.db2.gz TXGDYMLHVBXQSI-GOSISDBHSA-N 0 0 442.542 -0.481 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CN2CCN(S(=O)(=O)CCCOC)CC2)C1=O ZINC000755753990 1072919688 /nfs/dbraw/zinc/91/96/88/1072919688.db2.gz TXGDYMLHVBXQSI-SFHVURJKSA-N 0 0 442.542 -0.481 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)n1 ZINC000755815737 1072919701 /nfs/dbraw/zinc/91/97/01/1072919701.db2.gz QERPUYFYUGOXFI-UHFFFAOYSA-N 0 0 427.409 -0.198 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C1=O ZINC000755839801 1072920123 /nfs/dbraw/zinc/92/01/23/1072920123.db2.gz HJHCUCLCNOINRR-GOSISDBHSA-N 0 0 442.542 -0.247 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C1=O ZINC000755839803 1072920114 /nfs/dbraw/zinc/92/01/14/1072920114.db2.gz HJHCUCLCNOINRR-SFHVURJKSA-N 0 0 442.542 -0.247 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1=O ZINC000755839848 1072919985 /nfs/dbraw/zinc/91/99/85/1072919985.db2.gz HSIVTTYYPOSWNV-NRFANRHFSA-N 0 0 447.540 -0.364 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1=O ZINC000755839853 1072920178 /nfs/dbraw/zinc/92/01/78/1072920178.db2.gz HSIVTTYYPOSWNV-OAQYLSRUSA-N 0 0 447.540 -0.364 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000755841017 1072920038 /nfs/dbraw/zinc/92/00/38/1072920038.db2.gz MKLNZMXXKZKZDL-KBXCAEBGSA-N 0 0 441.510 -0.761 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000755841019 1072920200 /nfs/dbraw/zinc/92/02/00/1072920200.db2.gz MKLNZMXXKZKZDL-KDOFPFPSSA-N 0 0 441.510 -0.761 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000755841020 1072920128 /nfs/dbraw/zinc/92/01/28/1072920128.db2.gz MKLNZMXXKZKZDL-KSSFIOAISA-N 0 0 441.510 -0.761 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000755841022 1072920139 /nfs/dbraw/zinc/92/01/39/1072920139.db2.gz MKLNZMXXKZKZDL-RDTXWAMCSA-N 0 0 441.510 -0.761 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000755850586 1072920079 /nfs/dbraw/zinc/92/00/79/1072920079.db2.gz CLADDVUXXURJKY-FRECNVADSA-N 0 0 448.501 -0.158 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000755850587 1072920166 /nfs/dbraw/zinc/92/01/66/1072920166.db2.gz CLADDVUXXURJKY-JCRIEJSWSA-N 0 0 448.501 -0.158 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000755850588 1072920191 /nfs/dbraw/zinc/92/01/91/1072920191.db2.gz CLADDVUXXURJKY-QARGNGGGSA-N 0 0 448.501 -0.158 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000755850589 1072920215 /nfs/dbraw/zinc/92/02/15/1072920215.db2.gz CLADDVUXXURJKY-ZTIWFVSMSA-N 0 0 448.501 -0.158 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CC(=O)NCc2ccc(OCC(N)=O)cc2)C1=O ZINC000755857024 1072920050 /nfs/dbraw/zinc/92/00/50/1072920050.db2.gz SDOOGEYLPGLMMO-FQEVSTJZSA-N 0 0 428.449 -0.242 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CC(=O)NCc2ccc(OCC(N)=O)cc2)C1=O ZINC000755857025 1072920158 /nfs/dbraw/zinc/92/01/58/1072920158.db2.gz SDOOGEYLPGLMMO-HXUWFJFHSA-N 0 0 428.449 -0.242 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)CN1C(=O)N[C@](C)(c2ccc(Br)cc2)C1=O ZINC000755865571 1072920066 /nfs/dbraw/zinc/92/00/66/1072920066.db2.gz AFQMMPOOIJVNDA-MEDUHNTESA-N 0 0 428.239 -0.134 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)CN1C(=O)N[C@@](C)(c2ccc(Br)cc2)C1=O ZINC000755865573 1072920207 /nfs/dbraw/zinc/92/02/07/1072920207.db2.gz AFQMMPOOIJVNDA-ZBEGNZNMSA-N 0 0 428.239 -0.134 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000755874778 1072920096 /nfs/dbraw/zinc/92/00/96/1072920096.db2.gz QYGCSVWJLDTTJG-UHFFFAOYSA-N 0 0 429.465 -0.236 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)Nc1cnn(CC(=O)NCCO)c1 ZINC000755888068 1072920605 /nfs/dbraw/zinc/92/06/05/1072920605.db2.gz GAIBDZBNBCVAOP-AWEZNQCLSA-N 0 0 438.485 -0.554 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)Nc1cnn(CC(=O)NCCO)c1 ZINC000755888076 1072920662 /nfs/dbraw/zinc/92/06/62/1072920662.db2.gz GAIBDZBNBCVAOP-CQSZACIVSA-N 0 0 438.485 -0.554 20 0 IBADRN C[C@H]1CN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CCN1S(C)(=O)=O ZINC000755895469 1072920686 /nfs/dbraw/zinc/92/06/86/1072920686.db2.gz VPMSKHBVHNHFHF-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H]1CN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CCN1S(C)(=O)=O ZINC000755895471 1072920579 /nfs/dbraw/zinc/92/05/79/1072920579.db2.gz VPMSKHBVHNHFHF-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](CC(=O)N[C@@H]2CNC(=O)C2)(C(=O)N[C@@H]2CNC(=O)C2)C1 ZINC000755926923 1072920682 /nfs/dbraw/zinc/92/06/82/1072920682.db2.gz LQNXAECHMGJMLY-KQHSUYLTSA-N 0 0 437.497 -0.987 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](CC(=O)N[C@@H]2CNC(=O)C2)(C(=O)N[C@H]2CNC(=O)C2)C1 ZINC000755926924 1072920745 /nfs/dbraw/zinc/92/07/45/1072920745.db2.gz LQNXAECHMGJMLY-MRRFBWAASA-N 0 0 437.497 -0.987 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](CC(=O)N[C@H]2CNC(=O)C2)(C(=O)N[C@@H]2CNC(=O)C2)C1 ZINC000755926925 1072920637 /nfs/dbraw/zinc/92/06/37/1072920637.db2.gz LQNXAECHMGJMLY-MTJIALIYSA-N 0 0 437.497 -0.987 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](CC(=O)N[C@H]2CNC(=O)C2)(C(=O)N[C@H]2CNC(=O)C2)C1 ZINC000755926926 1072920710 /nfs/dbraw/zinc/92/07/10/1072920710.db2.gz LQNXAECHMGJMLY-VFGMZZLHSA-N 0 0 437.497 -0.987 20 0 IBADRN C[S@@](=O)CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000755948500 1072920562 /nfs/dbraw/zinc/92/05/62/1072920562.db2.gz BKUWWHUYPCFZSN-MUUNZHRXSA-N 0 0 442.607 -0.484 20 0 IBADRN C[S@](=O)CCN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000755948501 1072920739 /nfs/dbraw/zinc/92/07/39/1072920739.db2.gz BKUWWHUYPCFZSN-NDEPHWFRSA-N 0 0 442.607 -0.484 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC000755956708 1072920591 /nfs/dbraw/zinc/92/05/91/1072920591.db2.gz DGZNHMOOVQJQSR-UHFFFAOYSA-N 0 0 442.494 -0.051 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC3CC3)c[nH]2)CC1 ZINC000755961548 1072920723 /nfs/dbraw/zinc/92/07/23/1072920723.db2.gz KZKZTAXHNQCODS-UHFFFAOYSA-N 0 0 448.527 -0.542 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000755968329 1072920644 /nfs/dbraw/zinc/92/06/44/1072920644.db2.gz JSFWZHKPZUTJDU-UHFFFAOYSA-N 0 0 430.487 -0.827 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)CC1 ZINC000755972128 1072920669 /nfs/dbraw/zinc/92/06/69/1072920669.db2.gz GLFJHTUOLFXIRN-UHFFFAOYSA-N 0 0 432.524 -0.024 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2cccc(C(=O)NCCC(N)=O)c2)CC1 ZINC000755974489 1072920676 /nfs/dbraw/zinc/92/06/76/1072920676.db2.gz PNQQKRCFLQDRAA-UHFFFAOYSA-N 0 0 427.483 -0.277 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000755974993 1072920714 /nfs/dbraw/zinc/92/07/14/1072920714.db2.gz YPIDXYSSXRRGJH-UHFFFAOYSA-N 0 0 430.487 -0.827 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2cc(C(=O)NCCC(N)=O)ccc2F)CC1 ZINC000755976338 1072920730 /nfs/dbraw/zinc/92/07/30/1072920730.db2.gz XMCYCZGIXRHTJC-UHFFFAOYSA-N 0 0 445.473 -0.138 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000756004671 1072921102 /nfs/dbraw/zinc/92/11/02/1072921102.db2.gz VMYGSAIPVGQRRC-ZDUSSCGKSA-N 0 0 425.486 -0.098 20 0 IBADRN CN1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000756026362 1072921117 /nfs/dbraw/zinc/92/11/17/1072921117.db2.gz AYMCFOZNBCSAIG-CYBMUJFWSA-N 0 0 446.508 -0.087 20 0 IBADRN CN1CCN(C(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000756026364 1072921173 /nfs/dbraw/zinc/92/11/73/1072921173.db2.gz AYMCFOZNBCSAIG-ZDUSSCGKSA-N 0 0 446.508 -0.087 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)c(=O)n2C ZINC000756027552 1072921313 /nfs/dbraw/zinc/92/13/13/1072921313.db2.gz IFJBHWQBLVWRMJ-CYBMUJFWSA-N 0 0 449.512 -0.899 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)c(=O)n2C ZINC000756027555 1072921292 /nfs/dbraw/zinc/92/12/92/1072921292.db2.gz IFJBHWQBLVWRMJ-ZDUSSCGKSA-N 0 0 449.512 -0.899 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)c1 ZINC000756036951 1072921144 /nfs/dbraw/zinc/92/11/44/1072921144.db2.gz ACTMGVAAXUVMMO-UHFFFAOYSA-N 0 0 426.886 -0.073 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2cn(-c3ccccc3)nn2)CC1 ZINC000756071171 1072921047 /nfs/dbraw/zinc/92/10/47/1072921047.db2.gz ITXGLTBVGYWZJU-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cc(OC)c(S(N)(=O)=O)s2)CC1 ZINC000756079595 1072921160 /nfs/dbraw/zinc/92/11/60/1072921160.db2.gz GXJNBWXIAWCTQD-UHFFFAOYSA-N 0 0 440.569 -0.252 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000756081277 1072921332 /nfs/dbraw/zinc/92/13/32/1072921332.db2.gz NNMLHYUYRAWUDV-UHFFFAOYSA-N 0 0 427.508 -0.462 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)c1 ZINC000756096936 1072921266 /nfs/dbraw/zinc/92/12/66/1072921266.db2.gz BCYLFZIZZSXAFK-UHFFFAOYSA-N 0 0 447.461 -0.624 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)c1 ZINC000756098610 1072921279 /nfs/dbraw/zinc/92/12/79/1072921279.db2.gz OXSQHDKFAUEXMS-UHFFFAOYSA-N 0 0 430.531 -0.633 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000756100213 1072921678 /nfs/dbraw/zinc/92/16/78/1072921678.db2.gz KKQVRJVLIYMZGV-UHFFFAOYSA-N 0 0 444.558 -0.291 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)c1 ZINC000756102947 1072921646 /nfs/dbraw/zinc/92/16/46/1072921646.db2.gz QVNWZYBLNQXWNY-UHFFFAOYSA-N 0 0 429.471 -0.921 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)c1 ZINC000756112665 1072921590 /nfs/dbraw/zinc/92/15/90/1072921590.db2.gz FSUOGCSHWLRMCW-UHFFFAOYSA-N 0 0 433.434 -0.871 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000756141046 1072921740 /nfs/dbraw/zinc/92/17/40/1072921740.db2.gz CHJDGNIPIJDYAV-UHFFFAOYSA-N 0 0 430.483 -0.350 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)O[C@H](C)C(=O)N2CCNC2=O)CC1 ZINC000756143091 1072921701 /nfs/dbraw/zinc/92/17/01/1072921701.db2.gz KSCZWCHIADUUJT-GFCCVEGCSA-N 0 0 427.483 -0.089 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)CC1 ZINC000756143099 1072921735 /nfs/dbraw/zinc/92/17/35/1072921735.db2.gz KSCZWCHIADUUJT-LBPRGKRZSA-N 0 0 427.483 -0.089 20 0 IBADRN O=C(CN1CCN(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1)NC1CC1 ZINC000756146161 1072921751 /nfs/dbraw/zinc/92/17/51/1072921751.db2.gz PKXRVMGEAUYBRL-UHFFFAOYSA-N 0 0 441.476 -0.094 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000756146640 1072921717 /nfs/dbraw/zinc/92/17/17/1072921717.db2.gz TVALRDVTLBGALM-UHFFFAOYSA-N 0 0 436.478 -0.023 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000756150093 1072921656 /nfs/dbraw/zinc/92/16/56/1072921656.db2.gz FKKURORTRGEWDN-UHFFFAOYSA-N 0 0 432.886 -0.121 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000756150800 1072921695 /nfs/dbraw/zinc/92/16/95/1072921695.db2.gz PEUCYNZDJSJBSI-UHFFFAOYSA-N 0 0 429.465 -0.109 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc(F)c1F ZINC000756151279 1072921758 /nfs/dbraw/zinc/92/17/58/1072921758.db2.gz MPHYMCQIYWCUEC-UHFFFAOYSA-N 0 0 447.460 -0.084 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000756151664 1072921724 /nfs/dbraw/zinc/92/17/24/1072921724.db2.gz FTGBZPJNSRQPDK-UHFFFAOYSA-N 0 0 448.567 -0.337 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000756152198 1072922237 /nfs/dbraw/zinc/92/22/37/1072922237.db2.gz YPDULBRIWRZNGY-UHFFFAOYSA-N 0 0 442.537 -0.645 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2csnc2C(=O)OC)CC1 ZINC000756152275 1072922212 /nfs/dbraw/zinc/92/22/12/1072922212.db2.gz SCRHQRZTDXFKJW-UHFFFAOYSA-N 0 0 426.542 -0.177 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000756153267 1072922144 /nfs/dbraw/zinc/92/21/44/1072922144.db2.gz YULNHLCVLWKJME-UHFFFAOYSA-N 0 0 446.913 -0.313 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc(F)c1F ZINC000756153848 1072922253 /nfs/dbraw/zinc/92/22/53/1072922253.db2.gz VIBFZPCDAPGDKZ-UHFFFAOYSA-N 0 0 447.460 -0.084 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000756153854 1072922221 /nfs/dbraw/zinc/92/22/21/1072922221.db2.gz VNYHIHCJFWBLKE-UHFFFAOYSA-N 0 0 425.554 -0.432 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000756153915 1072922115 /nfs/dbraw/zinc/92/21/15/1072922115.db2.gz XGYWBEXXDOGZTM-UHFFFAOYSA-N 0 0 446.913 -0.313 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000756154795 1072922055 /nfs/dbraw/zinc/92/20/55/1072922055.db2.gz FNQHQDXDDZCEMK-UHFFFAOYSA-N 0 0 430.870 -0.367 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)Oc1cccc(NC(=O)CN2CCCC2=O)c1 ZINC000756156332 1072922130 /nfs/dbraw/zinc/92/21/30/1072922130.db2.gz PPWJRRSAZQMIFR-UHFFFAOYSA-N 0 0 433.508 -0.105 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc(F)c1F ZINC000756156342 1072922205 /nfs/dbraw/zinc/92/22/05/1072922205.db2.gz PTCQRLASSXZYNJ-UHFFFAOYSA-N 0 0 431.417 -0.137 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000756156344 1072922169 /nfs/dbraw/zinc/92/21/69/1072922169.db2.gz PWXOGEBQKRBBTB-UHFFFAOYSA-N 0 0 444.897 -0.023 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(F)c1F ZINC000756157011 1072922154 /nfs/dbraw/zinc/92/21/54/1072922154.db2.gz AJDLZCKHABRIEA-SECBINFHSA-N 0 0 440.446 -0.277 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc(F)c1F ZINC000756157013 1072922260 /nfs/dbraw/zinc/92/22/60/1072922260.db2.gz AJDLZCKHABRIEA-VIFPVBQESA-N 0 0 440.446 -0.277 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc(F)c1F ZINC000756160518 1072922077 /nfs/dbraw/zinc/92/20/77/1072922077.db2.gz PYSKNPCJAWQJTK-UHFFFAOYSA-N 0 0 427.451 -0.136 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000756161856 1072922244 /nfs/dbraw/zinc/92/22/44/1072922244.db2.gz RGEMVKLTZYHZMQ-UHFFFAOYSA-N 0 0 428.577 -0.602 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000756162137 1072922276 /nfs/dbraw/zinc/92/22/76/1072922276.db2.gz ZBKDZEIUFIRKPL-UHFFFAOYSA-N 0 0 426.904 -0.366 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(F)c1F ZINC000756162172 1072922188 /nfs/dbraw/zinc/92/21/88/1072922188.db2.gz ZKIHFENXIHOBAV-SECBINFHSA-N 0 0 440.446 -0.325 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc(F)c1F ZINC000756162174 1072922230 /nfs/dbraw/zinc/92/22/30/1072922230.db2.gz ZKIHFENXIHOBAV-VIFPVBQESA-N 0 0 440.446 -0.325 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc(F)c1F ZINC000756164357 1072922267 /nfs/dbraw/zinc/92/22/67/1072922267.db2.gz YZPZJXLHBVFBGF-UHFFFAOYSA-N 0 0 441.478 -0.393 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000756168694 1072922699 /nfs/dbraw/zinc/92/26/99/1072922699.db2.gz AYPBWNUFOHHWLN-UHFFFAOYSA-N 0 0 427.517 -0.891 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000756169243 1072922575 /nfs/dbraw/zinc/92/25/75/1072922575.db2.gz HBCKIRDWNMRORQ-UHFFFAOYSA-N 0 0 445.507 -0.593 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cccn(Cc2ccccc2)c1=O ZINC000756171096 1072922729 /nfs/dbraw/zinc/92/27/29/1072922729.db2.gz YGVHZMPKBXAKOV-UHFFFAOYSA-N 0 0 448.501 -0.387 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000756171161 1072922649 /nfs/dbraw/zinc/92/26/49/1072922649.db2.gz ZBCXNRCFJZGMJK-UHFFFAOYSA-N 0 0 442.604 -0.260 20 0 IBADRN O=C(CN(CC(=O)N1CC(n2ccnn2)C1)Cc1ccccc1)N1CC(n2ccnn2)C1 ZINC000756172522 1072922593 /nfs/dbraw/zinc/92/25/93/1072922593.db2.gz DZYSYXHPLYLYIE-UHFFFAOYSA-N 0 0 435.492 -0.161 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CNC(=O)[C@@H]3CCCN3C(=O)OC(C)(C)C)C2)nn1 ZINC000756172540 1072922605 /nfs/dbraw/zinc/92/26/05/1072922605.db2.gz FSLMUWTZOFFSLD-AWEZNQCLSA-N 0 0 436.469 -0.036 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CNC(=O)[C@H]3CCCN3C(=O)OC(C)(C)C)C2)nn1 ZINC000756172541 1072922614 /nfs/dbraw/zinc/92/26/14/1072922614.db2.gz FSLMUWTZOFFSLD-CQSZACIVSA-N 0 0 436.469 -0.036 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc(F)c1F ZINC000756176562 1072922718 /nfs/dbraw/zinc/92/27/18/1072922718.db2.gz XVGHHPJLSQZQNL-UHFFFAOYSA-N 0 0 428.435 -0.308 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc(F)c1F ZINC000756180306 1072922686 /nfs/dbraw/zinc/92/26/86/1072922686.db2.gz UUPYEDJCZWGDAH-UHFFFAOYSA-N 0 0 427.451 -0.654 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C)n1 ZINC000756197933 1072922668 /nfs/dbraw/zinc/92/26/68/1072922668.db2.gz SFRSPBIYFGIUPY-AWEZNQCLSA-N 0 0 444.535 -0.702 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C)n1 ZINC000756198017 1072922714 /nfs/dbraw/zinc/92/27/14/1072922714.db2.gz SFRSPBIYFGIUPY-CQSZACIVSA-N 0 0 444.535 -0.702 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCc3cn(-c4ccccc4)nn3)cnc2n(C)c1=O ZINC000756201655 1072922692 /nfs/dbraw/zinc/92/26/92/1072922692.db2.gz APFCPLUCJAROPG-UHFFFAOYSA-N 0 0 434.416 -0.532 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)cn1C ZINC000756203408 1072922709 /nfs/dbraw/zinc/92/27/09/1072922709.db2.gz FCPISVWOKDRTJD-UHFFFAOYSA-N 0 0 432.446 -0.023 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000756205438 1072922705 /nfs/dbraw/zinc/92/27/05/1072922705.db2.gz OCYATDUYIPSMAT-UHFFFAOYSA-N 0 0 431.480 -0.841 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)cn1 ZINC000756205544 1072922679 /nfs/dbraw/zinc/92/26/79/1072922679.db2.gz PYTSXKLNOIJTMA-UHFFFAOYSA-N 0 0 434.418 -0.352 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000756207688 1072923185 /nfs/dbraw/zinc/92/31/85/1072923185.db2.gz YNWPAVOXWMYRTC-UHFFFAOYSA-N 0 0 427.467 -0.270 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)CC1 ZINC000756210542 1072923152 /nfs/dbraw/zinc/92/31/52/1072923152.db2.gz LMANUOVZLUKGSU-INIZCTEOSA-N 0 0 431.559 -0.366 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)CC1 ZINC000756210551 1072923105 /nfs/dbraw/zinc/92/31/05/1072923105.db2.gz LMANUOVZLUKGSU-MRXNPFEDSA-N 0 0 431.559 -0.366 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000756217502 1072923156 /nfs/dbraw/zinc/92/31/56/1072923156.db2.gz GCFWGKDRNINTRB-NRFANRHFSA-N 0 0 445.476 -0.870 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000756217508 1072923218 /nfs/dbraw/zinc/92/32/18/1072923218.db2.gz GCFWGKDRNINTRB-OAQYLSRUSA-N 0 0 445.476 -0.870 20 0 IBADRN C[C@H](OC(=O)c1cccc([C@@]2(C)NC(=O)NC2=O)c1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000756218818 1072923040 /nfs/dbraw/zinc/92/30/40/1072923040.db2.gz KDTXCZFFCOIOMM-GEDNVKPRSA-N 0 0 437.474 -0.068 20 0 IBADRN C[C@H](OC(=O)c1cccc([C@]2(C)NC(=O)NC2=O)c1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000756218823 1072923114 /nfs/dbraw/zinc/92/31/14/1072923114.db2.gz KDTXCZFFCOIOMM-KLRAXDNASA-N 0 0 437.474 -0.068 20 0 IBADRN C[C@H](OC(=O)c1cccc([C@@]2(C)NC(=O)NC2=O)c1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000756218830 1072923053 /nfs/dbraw/zinc/92/30/53/1072923053.db2.gz KDTXCZFFCOIOMM-NXPJVHOHSA-N 0 0 437.474 -0.068 20 0 IBADRN C[C@H](OC(=O)c1cccc([C@]2(C)NC(=O)NC2=O)c1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000756218837 1072923201 /nfs/dbraw/zinc/92/32/01/1072923201.db2.gz KDTXCZFFCOIOMM-SZEPBZDZSA-N 0 0 437.474 -0.068 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cccc([C@@]2(C)NC(=O)NC2=O)c1)S(C)(=O)=O ZINC000756220175 1072923098 /nfs/dbraw/zinc/92/30/98/1072923098.db2.gz NXAYDFWMYONDIV-GOSISDBHSA-N 0 0 440.478 -0.704 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cccc([C@]2(C)NC(=O)NC2=O)c1)S(C)(=O)=O ZINC000756220209 1072923194 /nfs/dbraw/zinc/92/31/94/1072923194.db2.gz NXAYDFWMYONDIV-SFHVURJKSA-N 0 0 440.478 -0.704 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1)C(=O)NN1CCCNC1=O ZINC000756221074 1072923168 /nfs/dbraw/zinc/92/31/68/1072923168.db2.gz BYXIFSYDTGTTBG-UHFFFAOYSA-N 0 0 445.885 -0.254 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CCCNC3=O)cc2)C[C@H](C)O1 ZINC000756223094 1072923143 /nfs/dbraw/zinc/92/31/43/1072923143.db2.gz IIQZCICVVRKZIZ-BETUJISGSA-N 0 0 439.494 -0.131 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CCCNC3=O)cc2)C[C@@H](C)O1 ZINC000756223098 1072923164 /nfs/dbraw/zinc/92/31/64/1072923164.db2.gz IIQZCICVVRKZIZ-CHWSQXEVSA-N 0 0 439.494 -0.131 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CCCNC3=O)cc2)C[C@H](C)O1 ZINC000756223103 1072923177 /nfs/dbraw/zinc/92/31/77/1072923177.db2.gz IIQZCICVVRKZIZ-STQMWFEESA-N 0 0 439.494 -0.131 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NN3CCCNC3=O)c2)CC1 ZINC000756223425 1072923065 /nfs/dbraw/zinc/92/30/65/1072923065.db2.gz JSQRGPRMMGLRJU-UHFFFAOYSA-N 0 0 438.510 -0.602 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NN1CCCNC1=O ZINC000756225108 1072923136 /nfs/dbraw/zinc/92/31/36/1072923136.db2.gz LQFOJYSOEARMGT-UHFFFAOYSA-N 0 0 425.467 -0.599 20 0 IBADRN C[C@@]1(c2cccc(C(=O)OCC(=O)N3CCN(C(=O)C4CC4)CC3)c2)NC(=O)NC1=O ZINC000756227999 1072923121 /nfs/dbraw/zinc/92/31/21/1072923121.db2.gz JFZGLYWDQCXQAF-NRFANRHFSA-N 0 0 428.445 -0.021 20 0 IBADRN C[C@]1(c2cccc(C(=O)OCC(=O)N3CCN(C(=O)C4CC4)CC3)c2)NC(=O)NC1=O ZINC000756228000 1072923128 /nfs/dbraw/zinc/92/31/28/1072923128.db2.gz JFZGLYWDQCXQAF-OAQYLSRUSA-N 0 0 428.445 -0.021 20 0 IBADRN CC(=O)N1CCCC[C@H]1C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000756231052 1072923204 /nfs/dbraw/zinc/92/32/04/1072923204.db2.gz YWAZURJFCVPEPZ-INIZCTEOSA-N 0 0 429.481 -0.044 20 0 IBADRN CC(=O)N1CCCC[C@@H]1C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000756231054 1072923784 /nfs/dbraw/zinc/92/37/84/1072923784.db2.gz YWAZURJFCVPEPZ-MRXNPFEDSA-N 0 0 429.481 -0.044 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000756232346 1072923688 /nfs/dbraw/zinc/92/36/88/1072923688.db2.gz VYFVAMWAWOHNCQ-HIFRSBDPSA-N 0 0 430.527 -0.555 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000756232368 1072923619 /nfs/dbraw/zinc/92/36/19/1072923619.db2.gz VYFVAMWAWOHNCQ-ZFWWWQNUSA-N 0 0 430.527 -0.555 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NN3CCCNC3=O)c2)CC1 ZINC000756238614 1072923640 /nfs/dbraw/zinc/92/36/40/1072923640.db2.gz BQQHRULNTUKMQV-UHFFFAOYSA-N 0 0 438.510 -0.602 20 0 IBADRN COc1cc(NC(=O)C(=O)NN2CCCNC2=O)ccc1S(=O)(=O)N1CCCC1 ZINC000756238658 1072923664 /nfs/dbraw/zinc/92/36/64/1072923664.db2.gz CKPMWLLZSDZTSZ-UHFFFAOYSA-N 0 0 425.467 -0.135 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NN3CCCNC3=O)cn2)CC1 ZINC000756239347 1072923769 /nfs/dbraw/zinc/92/37/69/1072923769.db2.gz HXIMJDLDONRVOO-UHFFFAOYSA-N 0 0 448.484 -0.081 20 0 IBADRN O=C(Cn1ccc2cc(NC(=O)C(=O)NN3CCCNC3=O)ccc21)N1CCOCC1 ZINC000756241128 1072923682 /nfs/dbraw/zinc/92/36/82/1072923682.db2.gz SUCUCIRROIDGTA-UHFFFAOYSA-N 0 0 428.449 -0.115 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)C2=O)cc1 ZINC000756245226 1072923806 /nfs/dbraw/zinc/92/38/06/1072923806.db2.gz JMUWKXVUZZKVJM-IBGZPJMESA-N 0 0 445.457 -0.009 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)C2=O)cc1 ZINC000756245228 1072923776 /nfs/dbraw/zinc/92/37/76/1072923776.db2.gz JMUWKXVUZZKVJM-LJQANCHMSA-N 0 0 445.457 -0.009 20 0 IBADRN O=C(N1CCN2CCOC[C@@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000756247395 1072923763 /nfs/dbraw/zinc/92/37/63/1072923763.db2.gz KKTUKZRIMIXXOX-KBPBESRZSA-N 0 0 438.422 -0.657 20 0 IBADRN O=C(N1CCN2CCOC[C@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000756247396 1072923809 /nfs/dbraw/zinc/92/38/09/1072923809.db2.gz KKTUKZRIMIXXOX-OKILXGFUSA-N 0 0 438.422 -0.657 20 0 IBADRN O=C(N1CCN2CCOC[C@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000756247397 1072923695 /nfs/dbraw/zinc/92/36/95/1072923695.db2.gz KKTUKZRIMIXXOX-ZIAGYGMSSA-N 0 0 438.422 -0.657 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000756256990 1072923794 /nfs/dbraw/zinc/92/37/94/1072923794.db2.gz DRTLSRBONYUGBA-JTQLQIEISA-N 0 0 434.453 -0.400 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000756257514 1072923713 /nfs/dbraw/zinc/92/37/13/1072923713.db2.gz HDSVQEBNEHAOGD-ZDUSSCGKSA-N 0 0 438.485 -0.290 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000756258933 1072923601 /nfs/dbraw/zinc/92/36/01/1072923601.db2.gz LMUDUUAWAJHJHM-ZDUSSCGKSA-N 0 0 438.485 -0.290 20 0 IBADRN O=C(COC(=O)c1cccc(-n2ccnn2)c1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000756270151 1072923739 /nfs/dbraw/zinc/92/37/39/1072923739.db2.gz SYDHBYVKUQGXAV-KRWDZBQOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1cccc(-n2ccnn2)c1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000756270154 1072923703 /nfs/dbraw/zinc/92/37/03/1072923703.db2.gz SYDHBYVKUQGXAV-QGZVFWFLSA-N 0 0 433.490 -0.245 20 0 IBADRN Cc1ccnc2nc(C(=O)OCC(=O)c3c(N)n(Cc4ccccc4)c(=O)n(C)c3=O)nn21 ZINC000756275262 1072923672 /nfs/dbraw/zinc/92/36/72/1072923672.db2.gz SLMSHPVZSLFPQD-UHFFFAOYSA-N 0 0 449.427 -0.037 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)co1 ZINC000756285275 1072923727 /nfs/dbraw/zinc/92/37/27/1072923727.db2.gz REJNAELORJCDBK-UHFFFAOYSA-N 0 0 439.446 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)co1 ZINC000756288074 1072923749 /nfs/dbraw/zinc/92/37/49/1072923749.db2.gz LKBKNIKPBNWKTR-UHFFFAOYSA-N 0 0 431.448 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)co1 ZINC000756288836 1072924393 /nfs/dbraw/zinc/92/43/93/1072924393.db2.gz OHHZVHXJEKHRRB-UHFFFAOYSA-N 0 0 431.448 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)co1 ZINC000756291052 1072924380 /nfs/dbraw/zinc/92/43/80/1072924380.db2.gz GCUUWMNIDPPFCC-UHFFFAOYSA-N 0 0 425.485 -0.916 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)co1 ZINC000756292333 1072924241 /nfs/dbraw/zinc/92/42/41/1072924241.db2.gz MBRZPCRNLRHZPY-UHFFFAOYSA-N 0 0 439.450 -0.476 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)co1 ZINC000756293909 1072924315 /nfs/dbraw/zinc/92/43/15/1072924315.db2.gz RWSGWTIKKFLYFG-UHFFFAOYSA-N 0 0 431.448 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)co1 ZINC000756293994 1072924404 /nfs/dbraw/zinc/92/44/04/1072924404.db2.gz ULRSIRFXHDWYRH-UHFFFAOYSA-N 0 0 425.423 -0.866 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCCN1CCN(C(=O)C2CCC2)CC1 ZINC000756295886 1072924301 /nfs/dbraw/zinc/92/43/01/1072924301.db2.gz SPMOUGKHPUISFS-AWEZNQCLSA-N 0 0 427.527 -0.426 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCCN1CCN(C(=O)C2CCC2)CC1 ZINC000756295889 1072924194 /nfs/dbraw/zinc/92/41/94/1072924194.db2.gz SPMOUGKHPUISFS-CQSZACIVSA-N 0 0 427.527 -0.426 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000756318140 1072924461 /nfs/dbraw/zinc/92/44/61/1072924461.db2.gz VOPBTFJQCSRBOM-HNNXBMFYSA-N 0 0 426.481 -0.244 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000756318141 1072924448 /nfs/dbraw/zinc/92/44/48/1072924448.db2.gz VOPBTFJQCSRBOM-OAHLLOKOSA-N 0 0 426.481 -0.244 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)co1 ZINC000756390728 1072924269 /nfs/dbraw/zinc/92/42/69/1072924269.db2.gz QSASJMNDUXBSGC-UHFFFAOYSA-N 0 0 425.419 -0.475 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)co1 ZINC000756399534 1072924226 /nfs/dbraw/zinc/92/42/26/1072924226.db2.gz DDOTVMCFCLUZBC-UHFFFAOYSA-N 0 0 442.450 -0.674 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)co1 ZINC000756403195 1072924210 /nfs/dbraw/zinc/92/42/10/1072924210.db2.gz ICRSHDFVXIAVGV-UHFFFAOYSA-N 0 0 444.510 -0.597 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)co1 ZINC000756403223 1072924305 /nfs/dbraw/zinc/92/43/05/1072924305.db2.gz JSDBLJDQWLCIFF-UHFFFAOYSA-N 0 0 442.450 -0.124 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)co1 ZINC000756404773 1072924253 /nfs/dbraw/zinc/92/42/53/1072924253.db2.gz OBAVFVGDYGHNFB-UHFFFAOYSA-N 0 0 439.512 -0.479 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)co1 ZINC000756405547 1072924433 /nfs/dbraw/zinc/92/44/33/1072924433.db2.gz QAUJRDRBXMXHCK-UHFFFAOYSA-N 0 0 441.447 -0.076 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)co1 ZINC000756405570 1072924409 /nfs/dbraw/zinc/92/44/09/1072924409.db2.gz QPAIDSCSQFVKJM-UHFFFAOYSA-N 0 0 443.459 -0.419 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)co1 ZINC000756406668 1072924365 /nfs/dbraw/zinc/92/43/65/1072924365.db2.gz YAHMBWMWNDUTSA-UHFFFAOYSA-N 0 0 425.419 -0.078 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)co1 ZINC000756406738 1072924285 /nfs/dbraw/zinc/92/42/85/1072924285.db2.gz VYGWHGIECHTKTP-UHFFFAOYSA-N 0 0 425.423 -0.818 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(=O)OCc1ccccc1 ZINC000756408372 1072924913 /nfs/dbraw/zinc/92/49/13/1072924913.db2.gz SMPLLGRUNFJWGL-UHFFFAOYSA-N 0 0 432.477 -0.215 20 0 IBADRN Cc1oc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc1S(N)(=O)=O ZINC000756424459 1072924809 /nfs/dbraw/zinc/92/48/09/1072924809.db2.gz DJQLRTLUOMSREX-UHFFFAOYSA-N 0 0 425.423 -0.770 20 0 IBADRN Cc1oc(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)cc1S(N)(=O)=O ZINC000756438909 1072924775 /nfs/dbraw/zinc/92/47/75/1072924775.db2.gz SNDARLNHELFZIL-UHFFFAOYSA-N 0 0 425.419 -0.030 20 0 IBADRN Cc1oc(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)cc1S(N)(=O)=O ZINC000756439782 1072924702 /nfs/dbraw/zinc/92/47/02/1072924702.db2.gz XOWGDTAJGGMSJP-UHFFFAOYSA-N 0 0 439.512 -0.431 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000756442138 1072924872 /nfs/dbraw/zinc/92/48/72/1072924872.db2.gz DFHSIAJBDLUSPT-AWNIVKPZSA-N 0 0 432.480 -0.779 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000756442139 1072924729 /nfs/dbraw/zinc/92/47/29/1072924729.db2.gz DFHSIAJBDLUSPT-MLPAPPSSSA-N 0 0 432.480 -0.779 20 0 IBADRN COCCN(C(C)=O)c1nc(COC(=O)CNS(=O)(=O)c2cn(C)cn2)cs1 ZINC000756442791 1072924766 /nfs/dbraw/zinc/92/47/66/1072924766.db2.gz FGQQLWXKUOXQND-UHFFFAOYSA-N 0 0 431.496 -0.102 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)c1 ZINC000756444549 1072924755 /nfs/dbraw/zinc/92/47/55/1072924755.db2.gz JIVLFZDMLUCDRF-UHFFFAOYSA-N 0 0 449.489 -0.550 20 0 IBADRN Cc1oc(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)cc1S(N)(=O)=O ZINC000756445623 1072924819 /nfs/dbraw/zinc/92/48/19/1072924819.db2.gz GDVDQBAELLIIAU-UHFFFAOYSA-N 0 0 444.510 -0.549 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)c1 ZINC000756448970 1072924738 /nfs/dbraw/zinc/92/47/38/1072924738.db2.gz ZWDGVWXEHRCTFA-UHFFFAOYSA-N 0 0 446.489 -0.145 20 0 IBADRN CCn1c2nnc(COC(=O)CNS(=O)(=O)c3cn(C)cn3)n2c2ccccc2c1=O ZINC000756449670 1072924882 /nfs/dbraw/zinc/92/48/82/1072924882.db2.gz AEJBMMAEHWQRTO-UHFFFAOYSA-N 0 0 445.461 -0.181 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NCc2ccccc2Cn2cccn2)c1 ZINC000756449984 1072924795 /nfs/dbraw/zinc/92/47/95/1072924795.db2.gz AKVVJPCMVQEMMB-UHFFFAOYSA-N 0 0 446.489 -0.197 20 0 IBADRN CC(=O)NCCc1ccc(C(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)s1 ZINC000756450045 1072924803 /nfs/dbraw/zinc/92/48/03/1072924803.db2.gz AZOOYGKTTQEKQY-UHFFFAOYSA-N 0 0 428.492 -0.135 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000756450149 1072924903 /nfs/dbraw/zinc/92/49/03/1072924903.db2.gz BFUWJRXWPPSZNV-GFCCVEGCSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000756450151 1072924717 /nfs/dbraw/zinc/92/47/17/1072924717.db2.gz BFUWJRXWPPSZNV-LBPRGKRZSA-N 0 0 430.483 -0.568 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)c2ccc(NS(C)(=O)=O)cc2)c1 ZINC000756450344 1072925263 /nfs/dbraw/zinc/92/52/63/1072925263.db2.gz CBSJNALSDPPVDC-UHFFFAOYSA-N 0 0 430.464 -0.504 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC000756450605 1072925365 /nfs/dbraw/zinc/92/53/65/1072925365.db2.gz BSZAXDGKDIICKR-UHFFFAOYSA-N 0 0 440.478 -0.083 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3ccccc3)CC2)c1 ZINC000756450724 1072924853 /nfs/dbraw/zinc/92/48/53/1072924853.db2.gz DAMUTVRGZYBRKB-UHFFFAOYSA-N 0 0 435.506 -0.414 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000756450745 1072924786 /nfs/dbraw/zinc/92/47/86/1072924786.db2.gz DLZAMGDMLHOLIJ-GFCCVEGCSA-N 0 0 438.462 -0.267 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000756450749 1071352864 /nfs/dbraw/zinc/35/28/64/1071352864.db2.gz DLZAMGDMLHOLIJ-LBPRGKRZSA-N 0 0 438.462 -0.267 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCc2nnc3n2c2ccccc2c(=O)n3C)c1 ZINC000756451139 1072924832 /nfs/dbraw/zinc/92/48/32/1072924832.db2.gz GLPLWHIPFNFVPA-UHFFFAOYSA-N 0 0 431.434 -0.664 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1)S(C)(=O)=O ZINC000756451149 1072924894 /nfs/dbraw/zinc/92/48/94/1072924894.db2.gz GPTLIPJMWRRFGQ-UHFFFAOYSA-N 0 0 444.491 -0.480 20 0 IBADRN COc1cc(NC(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)cc(OC)c1OC ZINC000756451292 1072925273 /nfs/dbraw/zinc/92/52/73/1072925273.db2.gz HDQUTYGYJXXKGT-UHFFFAOYSA-N 0 0 442.450 -0.094 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(c3ccc(F)cc3)CC2)c1 ZINC000756451297 1072925281 /nfs/dbraw/zinc/92/52/81/1072925281.db2.gz HHSINFOPVHFLOF-UHFFFAOYSA-N 0 0 439.469 -0.271 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc(N3CCOCC3)cc2)c1 ZINC000756451664 1072925300 /nfs/dbraw/zinc/92/53/00/1072925300.db2.gz JCAAJXHHIMQYDD-UHFFFAOYSA-N 0 0 437.478 -0.283 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@@H]2CCN(c3ccccc3)C2)c1 ZINC000756451748 1072925290 /nfs/dbraw/zinc/92/52/90/1072925290.db2.gz ISUMWKMKWHTBKR-HNNXBMFYSA-N 0 0 435.506 -0.116 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@H]2CCN(c3ccccc3)C2)c1 ZINC000756451749 1072925430 /nfs/dbraw/zinc/92/54/30/1072925430.db2.gz ISUMWKMKWHTBKR-OAHLLOKOSA-N 0 0 435.506 -0.116 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cccc(S(C)(=O)=O)c2)c1 ZINC000756451790 1072925394 /nfs/dbraw/zinc/92/53/94/1072925394.db2.gz JEKXIMVCYIXGLU-UHFFFAOYSA-N 0 0 430.464 -0.716 20 0 IBADRN Cc1cccc(N2CCN(C(=O)COC(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)c1 ZINC000756451806 1072925308 /nfs/dbraw/zinc/92/53/08/1072925308.db2.gz LSJGIHWPORUTAH-UHFFFAOYSA-N 0 0 435.506 -0.101 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000756452080 1072925324 /nfs/dbraw/zinc/92/53/24/1072925324.db2.gz MYKKOBPFKNYOPS-HNNXBMFYSA-N 0 0 435.506 -0.021 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000756452081 1072925317 /nfs/dbraw/zinc/92/53/17/1072925317.db2.gz MYKKOBPFKNYOPS-OAHLLOKOSA-N 0 0 435.506 -0.021 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)c2ccc(OC(F)F)cc2)c1 ZINC000756452094 1072925337 /nfs/dbraw/zinc/92/53/37/1072925337.db2.gz NMBSWLRFJKGRCJ-UHFFFAOYSA-N 0 0 446.388 -0.200 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@H](c3ccc(F)cc3)C2)c1 ZINC000756452153 1072925355 /nfs/dbraw/zinc/92/53/55/1072925355.db2.gz KSHMDEQFJCIRGZ-HNNXBMFYSA-N 0 0 440.453 -0.019 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@@H](c3ccc(F)cc3)C2)c1 ZINC000756452154 1072925390 /nfs/dbraw/zinc/92/53/90/1072925390.db2.gz KSHMDEQFJCIRGZ-OAHLLOKOSA-N 0 0 440.453 -0.019 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)c1 ZINC000756452217 1072925348 /nfs/dbraw/zinc/92/53/48/1072925348.db2.gz MQTNCPCJGSLVIX-UHFFFAOYSA-N 0 0 445.404 -0.725 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)COC(=O)CNS(=O)(=O)c3cn(C)cn3)CC2)c1 ZINC000756452469 1072925883 /nfs/dbraw/zinc/92/58/83/1072925883.db2.gz QOZDLBMHQTZRIR-UHFFFAOYSA-N 0 0 449.533 -0.106 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3ccsc3)CC2)c1 ZINC000756452798 1072925403 /nfs/dbraw/zinc/92/54/03/1072925403.db2.gz QGRJKZACAKGOQF-UHFFFAOYSA-N 0 0 441.535 -0.353 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000756452812 1072925376 /nfs/dbraw/zinc/92/53/76/1072925376.db2.gz RBEGSVZTCUFNQT-UHFFFAOYSA-N 0 0 437.478 -0.441 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)COC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000756452816 1072925384 /nfs/dbraw/zinc/92/53/84/1072925384.db2.gz RJGAFLVQKYVTCL-UHFFFAOYSA-N 0 0 428.442 -0.082 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2sc3c(c2C(N)=O)CCC3)c1 ZINC000756452834 1072925417 /nfs/dbraw/zinc/92/54/17/1072925417.db2.gz SBRXANCFKPVWJL-UHFFFAOYSA-N 0 0 441.491 -0.471 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccccc2C(=O)NC2CC2)c1 ZINC000756452997 1072925332 /nfs/dbraw/zinc/92/53/32/1072925332.db2.gz WGTVZODEFQPOIA-UHFFFAOYSA-N 0 0 435.462 -0.228 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)c1 ZINC000756453131 1072925769 /nfs/dbraw/zinc/92/57/69/1072925769.db2.gz XAOLWGCQGPJYDE-UHFFFAOYSA-N 0 0 449.489 -0.315 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCCN2C(=O)c3ccccc3S2(=O)=O)c1 ZINC000756453394 1072925791 /nfs/dbraw/zinc/92/57/91/1072925791.db2.gz VRFZBZXDLVKWMF-UHFFFAOYSA-N 0 0 428.448 -0.914 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000756453455 1072925819 /nfs/dbraw/zinc/92/58/19/1072925819.db2.gz XGYAIESMNCTIRN-CHWSQXEVSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000756453464 1072925868 /nfs/dbraw/zinc/92/58/68/1072925868.db2.gz XGYAIESMNCTIRN-OLZOCXBDSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000756453466 1072925880 /nfs/dbraw/zinc/92/58/80/1072925880.db2.gz XGYAIESMNCTIRN-QWHCGFSZSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000756453468 1072925862 /nfs/dbraw/zinc/92/58/62/1072925862.db2.gz XGYAIESMNCTIRN-STQMWFEESA-N 0 0 438.462 -0.414 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N(CCC(N)=O)c2ccc(F)cc2)c1 ZINC000756453612 1072925856 /nfs/dbraw/zinc/92/58/56/1072925856.db2.gz ZKGDMGRVQYFBKZ-UHFFFAOYSA-N 0 0 441.441 -0.711 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)C1 ZINC000756453614 1072925759 /nfs/dbraw/zinc/92/57/59/1072925759.db2.gz ZMLNVQDLFWTENX-CHWSQXEVSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)C1 ZINC000756453615 1072925803 /nfs/dbraw/zinc/92/58/03/1072925803.db2.gz ZMLNVQDLFWTENX-OLZOCXBDSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)C1 ZINC000756453616 1072925796 /nfs/dbraw/zinc/92/57/96/1072925796.db2.gz ZMLNVQDLFWTENX-QWHCGFSZSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)C1 ZINC000756453617 1072925873 /nfs/dbraw/zinc/92/58/73/1072925873.db2.gz ZMLNVQDLFWTENX-STQMWFEESA-N 0 0 430.483 -0.568 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)c1 ZINC000756454032 1072925850 /nfs/dbraw/zinc/92/58/50/1072925850.db2.gz XFLDCHQUHGPEJA-UHFFFAOYSA-N 0 0 435.418 -0.894 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)c1 ZINC000756454043 1072925778 /nfs/dbraw/zinc/92/57/78/1072925778.db2.gz XSKJHJXRWWYQTD-UHFFFAOYSA-N 0 0 439.406 -0.681 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC000756454085 1072925744 /nfs/dbraw/zinc/92/57/44/1072925744.db2.gz ZAIMRUZHBHVGKV-UHFFFAOYSA-N 0 0 426.451 -0.425 20 0 IBADRN CCOC(=O)CCCNC(=O)CN1C(=O)N[C@@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000756455772 1072925810 /nfs/dbraw/zinc/92/58/10/1072925810.db2.gz DBAGEBUWZGULHF-IBGZPJMESA-N 0 0 429.437 -0.111 20 0 IBADRN CCOC(=O)CCCNC(=O)CN1C(=O)N[C@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000756455779 1072925826 /nfs/dbraw/zinc/92/58/26/1072925826.db2.gz DBAGEBUWZGULHF-LJQANCHMSA-N 0 0 429.437 -0.111 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3cccs3)CC2)c1 ZINC000756456298 1072926392 /nfs/dbraw/zinc/92/63/92/1072926392.db2.gz BPUWILYVPPEWBO-UHFFFAOYSA-N 0 0 441.535 -0.353 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000756456544 1072925876 /nfs/dbraw/zinc/92/58/76/1072925876.db2.gz DVEPGXDJPMMARK-LLVKDONJSA-N 0 0 444.491 -0.328 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000756456548 1072925837 /nfs/dbraw/zinc/92/58/37/1072925837.db2.gz DVEPGXDJPMMARK-NSHDSACASA-N 0 0 444.491 -0.328 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000756458667 1072926244 /nfs/dbraw/zinc/92/62/44/1072926244.db2.gz IYXXDGLZYONJMB-UHFFFAOYSA-N 0 0 437.478 -0.353 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000756458758 1072926357 /nfs/dbraw/zinc/92/63/57/1072926357.db2.gz JTPLWKFWDOZGMR-UHFFFAOYSA-N 0 0 443.526 -0.653 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000756458824 1072926312 /nfs/dbraw/zinc/92/63/12/1072926312.db2.gz KDGVXCJYZQEMEU-UHFFFAOYSA-N 0 0 439.450 -0.264 20 0 IBADRN COC(=O)c1sc(N)c(C(=O)OC)c1COC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000756458843 1072926304 /nfs/dbraw/zinc/92/63/04/1072926304.db2.gz KJDILLVYAYEAAG-UHFFFAOYSA-N 0 0 446.463 -0.341 20 0 IBADRN C[C@@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)NCCCN2CCCC2=O)C1=O ZINC000756458915 1072926387 /nfs/dbraw/zinc/92/63/87/1072926387.db2.gz MJYZTTMCFRGASY-FQEVSTJZSA-N 0 0 440.464 -0.442 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc(S(C)(=O)=O)cc2)c1 ZINC000756458918 1072926279 /nfs/dbraw/zinc/92/62/79/1072926279.db2.gz KKZKDEPOJOKCFW-UHFFFAOYSA-N 0 0 430.464 -0.716 20 0 IBADRN C[C@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)NCCCN2CCCC2=O)C1=O ZINC000756458922 1072926321 /nfs/dbraw/zinc/92/63/21/1072926321.db2.gz MJYZTTMCFRGASY-HXUWFJFHSA-N 0 0 440.464 -0.442 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(c3ccccc3F)CC2)c1 ZINC000756459043 1072926329 /nfs/dbraw/zinc/92/63/29/1072926329.db2.gz LBVPZQOSHAKZRY-UHFFFAOYSA-N 0 0 439.469 -0.271 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](OC(=O)CNS(=O)(=O)c2cn(C)cn2)C1=O ZINC000756459073 1072926371 /nfs/dbraw/zinc/92/63/71/1072926371.db2.gz LOMHOCREIWNVIB-LLVKDONJSA-N 0 0 442.475 -0.105 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](OC(=O)CNS(=O)(=O)c2cn(C)cn2)C1=O ZINC000756459075 1072926290 /nfs/dbraw/zinc/92/62/90/1072926290.db2.gz LOMHOCREIWNVIB-NSHDSACASA-N 0 0 442.475 -0.105 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](OC(=O)CNS(=O)(=O)c2cn(C)cn2)C(C)C)CC1 ZINC000756460310 1072926217 /nfs/dbraw/zinc/92/62/17/1072926217.db2.gz NESYIESUHZVWBN-INIZCTEOSA-N 0 0 444.510 -0.322 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](OC(=O)CNS(=O)(=O)c2cn(C)cn2)C(C)C)CC1 ZINC000756460328 1072926338 /nfs/dbraw/zinc/92/63/38/1072926338.db2.gz NESYIESUHZVWBN-MRXNPFEDSA-N 0 0 444.510 -0.322 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(COC(=O)CNS(=O)(=O)c3cn(C)cn3)n12 ZINC000756460611 1072926232 /nfs/dbraw/zinc/92/62/32/1072926232.db2.gz ODTRRJMCARKESR-UHFFFAOYSA-N 0 0 445.461 -0.355 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000756460647 1072926261 /nfs/dbraw/zinc/92/62/61/1072926261.db2.gz OGXKEPAGWDVARB-UHFFFAOYSA-N 0 0 449.533 -0.106 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NCCCN2CCCCCC2=O)c1 ZINC000756460731 1072926406 /nfs/dbraw/zinc/92/64/06/1072926406.db2.gz OXJVFTHSWNXETP-UHFFFAOYSA-N 0 0 429.499 -0.850 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cccc(-n4cnnn4)c3)C2=O)CC1 ZINC000756461033 1072926379 /nfs/dbraw/zinc/92/63/79/1072926379.db2.gz MRVWPOFFYOAPKO-FQEVSTJZSA-N 0 0 441.448 -0.159 20 0 IBADRN COC(=O)C1CCN(C(=O)CN2C(=O)N[C@](C)(c3cccc(-n4cnnn4)c3)C2=O)CC1 ZINC000756461034 1072926416 /nfs/dbraw/zinc/92/64/16/1072926416.db2.gz MRVWPOFFYOAPKO-HXUWFJFHSA-N 0 0 441.448 -0.159 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccccc2SCC(N)=O)c1 ZINC000756461822 1072926344 /nfs/dbraw/zinc/92/63/44/1072926344.db2.gz DSYNHPQOGIERET-UHFFFAOYSA-N 0 0 441.491 -0.542 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)c1 ZINC000756462284 1072926368 /nfs/dbraw/zinc/92/63/68/1072926368.db2.gz RGOMANFTGWCXRE-UHFFFAOYSA-N 0 0 440.434 -0.835 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NCc2cnn(-c3ccccc3)c2)c1 ZINC000756462739 1072926714 /nfs/dbraw/zinc/92/67/14/1072926714.db2.gz SLOHNCFYSFSXCL-UHFFFAOYSA-N 0 0 432.462 -0.256 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCc2nnc3n2c2ccsc2c(=O)n3C)c1 ZINC000756463993 1072926723 /nfs/dbraw/zinc/92/67/23/1072926723.db2.gz USYSZXQTRKGKCE-UHFFFAOYSA-N 0 0 437.463 -0.602 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)NCCc2cnn(-c3ccccc3)c2)c1 ZINC000756464083 1072926770 /nfs/dbraw/zinc/92/67/70/1072926770.db2.gz VXXSRZUMPPDPNU-UHFFFAOYSA-N 0 0 446.489 -0.214 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000756464085 1072926835 /nfs/dbraw/zinc/92/68/35/1072926835.db2.gz VYMZNYLESCURSI-UHFFFAOYSA-N 0 0 446.507 -0.429 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000756464210 1072926846 /nfs/dbraw/zinc/92/68/46/1072926846.db2.gz WTJWCGSIXKFDLB-LLVKDONJSA-N 0 0 444.491 -0.116 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1C(=O)N[C@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000756464583 1072926826 /nfs/dbraw/zinc/92/68/26/1072926826.db2.gz YKTIYSYXTOLEJY-ADLMAVQZSA-N 0 0 430.425 -0.710 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1C(=O)N[C@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000756464585 1072926696 /nfs/dbraw/zinc/92/66/96/1072926696.db2.gz YKTIYSYXTOLEJY-BBATYDOGSA-N 0 0 430.425 -0.710 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1C(=O)N[C@@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000756464586 1072926707 /nfs/dbraw/zinc/92/67/07/1072926707.db2.gz YKTIYSYXTOLEJY-VOJFVSQTSA-N 0 0 430.425 -0.710 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1C(=O)N[C@@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000756464587 1072926734 /nfs/dbraw/zinc/92/67/34/1072926734.db2.gz YKTIYSYXTOLEJY-ZMZPIMSZSA-N 0 0 430.425 -0.710 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cn(C)cn1)C(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000756465270 1072926758 /nfs/dbraw/zinc/92/67/58/1072926758.db2.gz WTJWCGSIXKFDLB-NSHDSACASA-N 0 0 444.491 -0.116 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCc2ccc(S(=O)(=O)N3CCCC3)o2)c1 ZINC000756465357 1072926802 /nfs/dbraw/zinc/92/68/02/1072926802.db2.gz YRFSDUKVFPBJTJ-UHFFFAOYSA-N 0 0 432.480 -0.181 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3ccco3)CC2)c1 ZINC000756465397 1072926781 /nfs/dbraw/zinc/92/67/81/1072926781.db2.gz ZTJJGQQVWGSWTP-UHFFFAOYSA-N 0 0 425.467 -0.821 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cccc(C(=O)NC3CC3)c2)c1 ZINC000756465406 1072926819 /nfs/dbraw/zinc/92/68/19/1072926819.db2.gz ZXGHTRGNLSRLFQ-UHFFFAOYSA-N 0 0 435.462 -0.228 20 0 IBADRN COc1ccc(CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC000756466347 1072926830 /nfs/dbraw/zinc/92/68/30/1072926830.db2.gz YYRVSWQTRPYXNG-GFCCVEGCSA-N 0 0 440.478 -0.036 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC000756466349 1072926811 /nfs/dbraw/zinc/92/68/11/1072926811.db2.gz YYRVSWQTRPYXNG-LBPRGKRZSA-N 0 0 440.478 -0.036 20 0 IBADRN COc1ccc(NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1 ZINC000756466448 1072926683 /nfs/dbraw/zinc/92/66/83/1072926683.db2.gz ZTXOYDPTSHOMDB-UHFFFAOYSA-N 0 0 425.423 -0.402 20 0 IBADRN C[C@@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)NC(=O)NCC(F)(F)F)C1=O ZINC000756480013 1072926792 /nfs/dbraw/zinc/92/67/92/1072926792.db2.gz LFYSJKFKEOHIMP-HNNXBMFYSA-N 0 0 440.342 -0.183 20 0 IBADRN C[C@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)NC(=O)NCC(F)(F)F)C1=O ZINC000756480014 1072926838 /nfs/dbraw/zinc/92/68/38/1072926838.db2.gz LFYSJKFKEOHIMP-OAHLLOKOSA-N 0 0 440.342 -0.183 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1C(=O)N[C@@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000756482635 1072926741 /nfs/dbraw/zinc/92/67/41/1072926741.db2.gz MXLBBNJQISPDLM-IBGZPJMESA-N 0 0 428.453 -0.586 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1C(=O)N[C@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000756482639 1072926842 /nfs/dbraw/zinc/92/68/42/1072926842.db2.gz MXLBBNJQISPDLM-LJQANCHMSA-N 0 0 428.453 -0.586 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)C(=O)OCc1ccccc1 ZINC000756487787 1072927131 /nfs/dbraw/zinc/92/71/31/1072927131.db2.gz YNSFBFYSQIKZBW-UHFFFAOYSA-N 0 0 440.522 -0.024 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1=O ZINC000756530322 1072927106 /nfs/dbraw/zinc/92/71/06/1072927106.db2.gz UQIRNPZXACDBGB-CHWSQXEVSA-N 0 0 437.474 -0.582 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1=O ZINC000756530337 1072927308 /nfs/dbraw/zinc/92/73/08/1072927308.db2.gz UQIRNPZXACDBGB-OLZOCXBDSA-N 0 0 437.474 -0.582 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1=O ZINC000756530339 1072927350 /nfs/dbraw/zinc/92/73/50/1072927350.db2.gz UQIRNPZXACDBGB-QWHCGFSZSA-N 0 0 437.474 -0.582 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1=O ZINC000756530340 1072927119 /nfs/dbraw/zinc/92/71/19/1072927119.db2.gz UQIRNPZXACDBGB-STQMWFEESA-N 0 0 437.474 -0.582 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cccc(-n4ccnn4)c3)CC2)ccc1=O ZINC000756538084 1072927087 /nfs/dbraw/zinc/92/70/87/1072927087.db2.gz GGTHWMAGNPOKRX-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN(C)C(=O)OCc1ccccc1)C2 ZINC000756542095 1072927240 /nfs/dbraw/zinc/92/72/40/1072927240.db2.gz IEOLXBZZSKTDAL-UHFFFAOYSA-N 0 0 430.465 -0.256 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)co1 ZINC000756555030 1072927169 /nfs/dbraw/zinc/92/71/69/1072927169.db2.gz XDJLYENPDTXYKQ-UHFFFAOYSA-N 0 0 425.485 -0.805 20 0 IBADRN C[C@]1(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@]3(C)CCCO3)CC2)CCCO1 ZINC000756564588 1072927300 /nfs/dbraw/zinc/92/73/00/1072927300.db2.gz NVCQWDUVTBEZMC-BGYRXZFFSA-N 0 0 426.466 -0.118 20 0 IBADRN C[C@@]1(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@]3(C)CCCO3)CC2)CCCO1 ZINC000756564594 1072927185 /nfs/dbraw/zinc/92/71/85/1072927185.db2.gz NVCQWDUVTBEZMC-PMACEKPBSA-N 0 0 426.466 -0.118 20 0 IBADRN C[C@]1(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@@]3(C)CCCO3)CC2)CCCO1 ZINC000756564599 1072927072 /nfs/dbraw/zinc/92/70/72/1072927072.db2.gz NVCQWDUVTBEZMC-WOJBJXKFSA-N 0 0 426.466 -0.118 20 0 IBADRN CCC1(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)C3(CC)COC3)CC2)COC1 ZINC000756568303 1072927228 /nfs/dbraw/zinc/92/72/28/1072927228.db2.gz SKXALLIZQZRKGF-UHFFFAOYSA-N 0 0 426.466 -0.403 20 0 IBADRN COC[C@@H](Cn1cncn1)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000756621979 1072928011 /nfs/dbraw/zinc/92/80/11/1072928011.db2.gz PPHGQMWLZSPADT-SECBINFHSA-N 0 0 426.231 -0.258 20 0 IBADRN COC[C@H](Cn1cncn1)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000756621980 1072927996 /nfs/dbraw/zinc/92/79/96/1072927996.db2.gz PPHGQMWLZSPADT-VIFPVBQESA-N 0 0 426.231 -0.258 20 0 IBADRN Cc1c(C(=O)OCC2(CS(C)(=O)=O)CCOCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000756625602 1072927856 /nfs/dbraw/zinc/92/78/56/1072927856.db2.gz QODWLLDHTBSDNB-UHFFFAOYSA-N 0 0 439.490 -0.061 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC3(CS(C)(=O)=O)CCOCC3)cnc2n(C)c1=O ZINC000756631612 1072927976 /nfs/dbraw/zinc/92/79/76/1072927976.db2.gz FFFSGUSSTWUUHU-UHFFFAOYSA-N 0 0 425.463 -0.370 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC3(CS(C)(=O)=O)CCOCC3)nc2n(C)c1=O ZINC000756636437 1072927921 /nfs/dbraw/zinc/92/79/21/1072927921.db2.gz QKUHTWYQBPBZHH-UHFFFAOYSA-N 0 0 425.463 -0.370 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC2(CS(C)(=O)=O)CCOCC2)c1 ZINC000756637227 1072927942 /nfs/dbraw/zinc/92/79/42/1072927942.db2.gz PQCVNVRQUHERRG-UHFFFAOYSA-N 0 0 438.524 -0.062 20 0 IBADRN Cc1cc(C(=O)OCC2(CS(C)(=O)=O)CCOCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000756638452 1072927778 /nfs/dbraw/zinc/92/77/78/1072927778.db2.gz XGRGPCCJLVSVSI-UHFFFAOYSA-N 0 0 439.490 -0.061 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC2(CS(C)(=O)=O)CCOCC2)cn1C ZINC000756639728 1072927900 /nfs/dbraw/zinc/92/79/00/1072927900.db2.gz MUMIUIWMVQEVCR-UHFFFAOYSA-N 0 0 437.540 -0.218 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000756676522 1072927871 /nfs/dbraw/zinc/92/78/71/1072927871.db2.gz KSRAKJBCCFPHRI-UHFFFAOYSA-N 0 0 426.437 -0.694 20 0 IBADRN CN(CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)OCc1ccccc1 ZINC000756680359 1072927969 /nfs/dbraw/zinc/92/79/69/1072927969.db2.gz VVHPLUOKWLSECH-DLBZAZTESA-N 0 0 425.507 -0.131 20 0 IBADRN CN(CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(=O)OCc1ccccc1 ZINC000756680360 1072927890 /nfs/dbraw/zinc/92/78/90/1072927890.db2.gz VVHPLUOKWLSECH-IAGOWNOFSA-N 0 0 425.507 -0.131 20 0 IBADRN CN(CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)OCc1ccccc1 ZINC000756680361 1072927817 /nfs/dbraw/zinc/92/78/17/1072927817.db2.gz VVHPLUOKWLSECH-IRXDYDNUSA-N 0 0 425.507 -0.131 20 0 IBADRN CN(CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(=O)OCc1ccccc1 ZINC000756680362 1072927826 /nfs/dbraw/zinc/92/78/26/1072927826.db2.gz VVHPLUOKWLSECH-SJORKVTESA-N 0 0 425.507 -0.131 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000756687622 1072927842 /nfs/dbraw/zinc/92/78/42/1072927842.db2.gz ANDRBUHCXAFHAX-KBPBESRZSA-N 0 0 427.479 -0.525 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000756687627 1072927962 /nfs/dbraw/zinc/92/79/62/1072927962.db2.gz ANDRBUHCXAFHAX-KGLIPLIRSA-N 0 0 427.479 -0.525 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000756687630 1072928005 /nfs/dbraw/zinc/92/80/05/1072928005.db2.gz ANDRBUHCXAFHAX-UONOGXRCSA-N 0 0 427.479 -0.525 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000756687632 1072927934 /nfs/dbraw/zinc/92/79/34/1072927934.db2.gz ANDRBUHCXAFHAX-ZIAGYGMSSA-N 0 0 427.479 -0.525 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000756688798 1072928409 /nfs/dbraw/zinc/92/84/09/1072928409.db2.gz PVIUCDIIEDBAKQ-UHFFFAOYSA-N 0 0 434.474 -0.186 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000756688899 1072927903 /nfs/dbraw/zinc/92/79/03/1072927903.db2.gz DEMLOQKWMQNCFX-UHFFFAOYSA-N 0 0 439.428 -0.345 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)c3cc(C(N)=O)cn3C)C2=O)cc1 ZINC000756689251 1072927953 /nfs/dbraw/zinc/92/79/53/1072927953.db2.gz IKNPBKOQMAXVDJ-FQEVSTJZSA-N 0 0 443.416 -0.212 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)c3cc(C(N)=O)cn3C)C2=O)cc1 ZINC000756689255 1072927792 /nfs/dbraw/zinc/92/77/92/1072927792.db2.gz IKNPBKOQMAXVDJ-HXUWFJFHSA-N 0 0 443.416 -0.212 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000756690325 1072928324 /nfs/dbraw/zinc/92/83/24/1072928324.db2.gz KDUPNBONYLUGEX-UHFFFAOYSA-N 0 0 448.501 -0.045 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCOC[C@H]2C[C@H](O)c2ccco2)CC1 ZINC000756695618 1072928433 /nfs/dbraw/zinc/92/84/33/1072928433.db2.gz SQHBGOYXWMWWTK-CVEARBPZSA-N 0 0 430.527 -0.309 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCOC[C@@H]2C[C@H](O)c2ccco2)CC1 ZINC000756695633 1072928601 /nfs/dbraw/zinc/92/86/01/1072928601.db2.gz SQHBGOYXWMWWTK-HOTGVXAUSA-N 0 0 430.527 -0.309 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCOC[C@H]2C[C@@H](O)c2ccco2)CC1 ZINC000756695636 1072928343 /nfs/dbraw/zinc/92/83/43/1072928343.db2.gz SQHBGOYXWMWWTK-HZPDHXFCSA-N 0 0 430.527 -0.309 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccco2)CC1 ZINC000756695638 1072928360 /nfs/dbraw/zinc/92/83/60/1072928360.db2.gz SQHBGOYXWMWWTK-JKSUJKDBSA-N 0 0 430.527 -0.309 20 0 IBADRN Cc1cc(CC(=O)OCC(=O)N2CCN(C(=O)COC(=O)Cc3cc(C)n[nH]3)CC2)[nH]n1 ZINC000756703194 1072928374 /nfs/dbraw/zinc/92/83/74/1072928374.db2.gz QRDVEWKRAGPOFD-UHFFFAOYSA-N 0 0 446.464 -0.708 20 0 IBADRN Cc1cc(CC(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)[nH]n1 ZINC000756710004 1072928485 /nfs/dbraw/zinc/92/84/85/1072928485.db2.gz VPUZFGAMUAUXRJ-UHFFFAOYSA-N 0 0 425.467 -0.058 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000756712797 1072928472 /nfs/dbraw/zinc/92/84/72/1072928472.db2.gz PZHATIRYLZKJTO-JTQLQIEISA-N 0 0 441.437 -0.359 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000756712798 1072928420 /nfs/dbraw/zinc/92/84/20/1072928420.db2.gz PZHATIRYLZKJTO-SNVBAGLBSA-N 0 0 441.437 -0.359 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)c2ccccc2C(F)(F)F)c(=O)n(C)c1=O ZINC000756727835 1072928516 /nfs/dbraw/zinc/92/85/16/1072928516.db2.gz RFNWEKLYYHZNGF-UHFFFAOYSA-N 0 0 442.350 -0.159 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCCC1 ZINC000756742756 1072928313 /nfs/dbraw/zinc/92/83/13/1072928313.db2.gz BSKJVGXCFRMQME-CHWSQXEVSA-N 0 0 429.499 -0.853 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCCC1 ZINC000756742757 1072928467 /nfs/dbraw/zinc/92/84/67/1072928467.db2.gz BSKJVGXCFRMQME-OLZOCXBDSA-N 0 0 429.499 -0.853 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000756742758 1072928530 /nfs/dbraw/zinc/92/85/30/1072928530.db2.gz BSKJVGXCFRMQME-QWHCGFSZSA-N 0 0 429.499 -0.853 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCCC1 ZINC000756742759 1072928545 /nfs/dbraw/zinc/92/85/45/1072928545.db2.gz BSKJVGXCFRMQME-STQMWFEESA-N 0 0 429.499 -0.853 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000756743510 1072928569 /nfs/dbraw/zinc/92/85/69/1072928569.db2.gz CUGFIZUAFYKRBV-GUTXKFCHSA-N 0 0 443.526 -0.621 20 0 IBADRN CC(C)[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000756743511 1072928298 /nfs/dbraw/zinc/92/82/98/1072928298.db2.gz CUGFIZUAFYKRBV-GZBFAFLISA-N 0 0 443.526 -0.621 20 0 IBADRN CC(C)[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000756743512 1072928557 /nfs/dbraw/zinc/92/85/57/1072928557.db2.gz CUGFIZUAFYKRBV-KCQAQPDRSA-N 0 0 443.526 -0.621 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000756743513 1072928587 /nfs/dbraw/zinc/92/85/87/1072928587.db2.gz CUGFIZUAFYKRBV-YDHLFZDLSA-N 0 0 443.526 -0.621 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)C(C)C)CC1 ZINC000756744008 1072929126 /nfs/dbraw/zinc/92/91/26/1072929126.db2.gz ATDMKCFBWFXWDX-BBRMVZONSA-N 0 0 443.526 -0.655 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)C(C)C)CC1 ZINC000756744022 1072929109 /nfs/dbraw/zinc/92/91/09/1072929109.db2.gz ATDMKCFBWFXWDX-CJNGLKHVSA-N 0 0 443.526 -0.655 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)C(C)C)CC1 ZINC000756744023 1072929027 /nfs/dbraw/zinc/92/90/27/1072929027.db2.gz ATDMKCFBWFXWDX-CZUORRHYSA-N 0 0 443.526 -0.655 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)C(C)C)CC1 ZINC000756744024 1072929214 /nfs/dbraw/zinc/92/92/14/1072929214.db2.gz ATDMKCFBWFXWDX-XJKSGUPXSA-N 0 0 443.526 -0.655 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756744142 1072929193 /nfs/dbraw/zinc/92/91/93/1072929193.db2.gz BKHHDVYIXUTTAV-CXAGYDPISA-N 0 0 436.490 -0.053 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756744144 1072929231 /nfs/dbraw/zinc/92/92/31/1072929231.db2.gz BKHHDVYIXUTTAV-DYVFJYSZSA-N 0 0 436.490 -0.053 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756744146 1072929178 /nfs/dbraw/zinc/92/91/78/1072929178.db2.gz BKHHDVYIXUTTAV-GUYCJALGSA-N 0 0 436.490 -0.053 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756744150 1072928972 /nfs/dbraw/zinc/92/89/72/1072928972.db2.gz BKHHDVYIXUTTAV-SUMWQHHRSA-N 0 0 436.490 -0.053 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000756744830 1072928957 /nfs/dbraw/zinc/92/89/57/1072928957.db2.gz GKERXSATRIGUJK-BFHYXJOUSA-N 0 0 444.510 -0.180 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000756744834 1072928966 /nfs/dbraw/zinc/92/89/66/1072928966.db2.gz GKERXSATRIGUJK-HZSPNIEDSA-N 0 0 444.510 -0.180 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000756744836 1072929149 /nfs/dbraw/zinc/92/91/49/1072929149.db2.gz GKERXSATRIGUJK-MELADBBJSA-N 0 0 444.510 -0.180 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000756744838 1072929206 /nfs/dbraw/zinc/92/92/06/1072929206.db2.gz GKERXSATRIGUJK-MGPQQGTHSA-N 0 0 444.510 -0.180 20 0 IBADRN COc1ccc(NC(=O)NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000756745117 1072928933 /nfs/dbraw/zinc/92/89/33/1072928933.db2.gz BZXFMTIICQSFTH-LLVKDONJSA-N 0 0 439.450 -0.013 20 0 IBADRN COc1ccc(NC(=O)NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1 ZINC000756745118 1072929091 /nfs/dbraw/zinc/92/90/91/1072929091.db2.gz BZXFMTIICQSFTH-NSHDSACASA-N 0 0 439.450 -0.013 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756745878 1072929164 /nfs/dbraw/zinc/92/91/64/1072929164.db2.gz ICRLPBPBNZXOSZ-CHWSQXEVSA-N 0 0 445.498 -0.681 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756745879 1072929075 /nfs/dbraw/zinc/92/90/75/1072929075.db2.gz ICRLPBPBNZXOSZ-OLZOCXBDSA-N 0 0 445.498 -0.681 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756745880 1072929008 /nfs/dbraw/zinc/92/90/08/1072929008.db2.gz ICRLPBPBNZXOSZ-QWHCGFSZSA-N 0 0 445.498 -0.681 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756745881 1072928989 /nfs/dbraw/zinc/92/89/89/1072928989.db2.gz ICRLPBPBNZXOSZ-STQMWFEESA-N 0 0 445.498 -0.681 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)c1ccccc1 ZINC000756746607 1072929657 /nfs/dbraw/zinc/92/96/57/1072929657.db2.gz FTDJIHBDYJDXHO-BLLLJJGKSA-N 0 0 437.478 -0.766 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)c1ccccc1 ZINC000756746610 1072929615 /nfs/dbraw/zinc/92/96/15/1072929615.db2.gz FTDJIHBDYJDXHO-LRDDRELGSA-N 0 0 437.478 -0.766 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)c1ccccc1 ZINC000756746614 1072929573 /nfs/dbraw/zinc/92/95/73/1072929573.db2.gz FTDJIHBDYJDXHO-MLGOLLRUSA-N 0 0 437.478 -0.766 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1)c1ccccc1 ZINC000756746616 1072929550 /nfs/dbraw/zinc/92/95/50/1072929550.db2.gz FTDJIHBDYJDXHO-WBMJQRKESA-N 0 0 437.478 -0.766 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000756746808 1072929635 /nfs/dbraw/zinc/92/96/35/1072929635.db2.gz JABJHNKGGQQCIE-INIZCTEOSA-N 0 0 449.533 -0.026 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000756746824 1072929482 /nfs/dbraw/zinc/92/94/82/1072929482.db2.gz JABJHNKGGQQCIE-MRXNPFEDSA-N 0 0 449.533 -0.026 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756746857 1072929524 /nfs/dbraw/zinc/92/95/24/1072929524.db2.gz JEDBWZZDXRYJPW-CHWSQXEVSA-N 0 0 444.510 -0.180 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756746860 1072929456 /nfs/dbraw/zinc/92/94/56/1072929456.db2.gz JEDBWZZDXRYJPW-OLZOCXBDSA-N 0 0 444.510 -0.180 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756746864 1072929604 /nfs/dbraw/zinc/92/96/04/1072929604.db2.gz JEDBWZZDXRYJPW-QWHCGFSZSA-N 0 0 444.510 -0.180 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756746867 1072929507 /nfs/dbraw/zinc/92/95/07/1072929507.db2.gz JEDBWZZDXRYJPW-STQMWFEESA-N 0 0 444.510 -0.180 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000756747432 1072929648 /nfs/dbraw/zinc/92/96/48/1072929648.db2.gz HKKIEZJLSBTBCO-CHWSQXEVSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NC[C@H]1COc2ccccc2O1 ZINC000756747435 1072929468 /nfs/dbraw/zinc/92/94/68/1072929468.db2.gz HKKIEZJLSBTBCO-OLZOCXBDSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000756747438 1072929623 /nfs/dbraw/zinc/92/96/23/1072929623.db2.gz HKKIEZJLSBTBCO-QWHCGFSZSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NC[C@H]1COc2ccccc2O1 ZINC000756747445 1072929590 /nfs/dbraw/zinc/92/95/90/1072929590.db2.gz HKKIEZJLSBTBCO-STQMWFEESA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NC(=O)NCc1cccs1 ZINC000756747449 1072929582 /nfs/dbraw/zinc/92/95/82/1072929582.db2.gz HNZDOGQHIGDXNS-JTQLQIEISA-N 0 0 429.480 -0.282 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NC(=O)NCc1cccs1 ZINC000756747452 1072929538 /nfs/dbraw/zinc/92/95/38/1072929538.db2.gz HNZDOGQHIGDXNS-SNVBAGLBSA-N 0 0 429.480 -0.282 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NC1CCC2(CC1)OCCO2 ZINC000756747717 1072930115 /nfs/dbraw/zinc/93/01/15/1072930115.db2.gz LXEJZCQBIZSFSZ-GFCCVEGCSA-N 0 0 430.483 -0.568 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NC1CCC2(CC1)OCCO2 ZINC000756747718 1072930023 /nfs/dbraw/zinc/93/00/23/1072930023.db2.gz LXEJZCQBIZSFSZ-LBPRGKRZSA-N 0 0 430.483 -0.568 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000756747733 1072930102 /nfs/dbraw/zinc/93/01/02/1072930102.db2.gz LZVOCUNCSIJQSK-LLVKDONJSA-N 0 0 444.491 -0.116 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000756747734 1072930041 /nfs/dbraw/zinc/93/00/41/1072930041.db2.gz LZVOCUNCSIJQSK-NSHDSACASA-N 0 0 444.491 -0.116 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCCOc1ccc(S(N)(=O)=O)cc1 ZINC000756748178 1072929567 /nfs/dbraw/zinc/92/95/67/1072929567.db2.gz IOAUJQMOEMARHL-LLVKDONJSA-N 0 0 432.480 -0.644 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCCOc1ccc(S(N)(=O)=O)cc1 ZINC000756748179 1072929444 /nfs/dbraw/zinc/92/94/44/1072929444.db2.gz IOAUJQMOEMARHL-NSHDSACASA-N 0 0 432.480 -0.644 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)NC(=O)N[C@@H]1C ZINC000756748199 1072929954 /nfs/dbraw/zinc/92/99/54/1072929954.db2.gz JADRRDJEVBUPDW-NXEZZACHSA-N 0 0 429.455 -0.851 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)NC(=O)N[C@H]1C ZINC000756748204 1072930137 /nfs/dbraw/zinc/93/01/37/1072930137.db2.gz JADRRDJEVBUPDW-UWVGGRQHSA-N 0 0 429.455 -0.851 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)NC(=O)N[C@H]1C ZINC000756748205 1072929964 /nfs/dbraw/zinc/92/99/64/1072929964.db2.gz JADRRDJEVBUPDW-VHSXEESVSA-N 0 0 429.455 -0.851 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)NC(=O)N[C@@H]1C ZINC000756748206 1072930131 /nfs/dbraw/zinc/93/01/31/1072930131.db2.gz JADRRDJEVBUPDW-ZJUUUORDSA-N 0 0 429.455 -0.851 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756748518 1072929412 /nfs/dbraw/zinc/92/94/12/1072929412.db2.gz NIJNRRVNJCWEEA-GFCCVEGCSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756748520 1072929435 /nfs/dbraw/zinc/92/94/35/1072929435.db2.gz NIJNRRVNJCWEEA-LBPRGKRZSA-N 0 0 430.483 -0.568 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCc1nnc2n1c1ccccc1c(=O)n2C ZINC000756748615 1072930014 /nfs/dbraw/zinc/93/00/14/1072930014.db2.gz OGHYSZZLDCJYPG-LLVKDONJSA-N 0 0 445.461 -0.275 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCc1nnc2n1c1ccccc1c(=O)n2C ZINC000756748617 1072929934 /nfs/dbraw/zinc/92/99/34/1072929934.db2.gz OGHYSZZLDCJYPG-NSHDSACASA-N 0 0 445.461 -0.275 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756749095 1072930123 /nfs/dbraw/zinc/93/01/23/1072930123.db2.gz PYPAPVHYWSYTDV-GFCCVEGCSA-N 0 0 445.498 -0.633 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756749099 1072930050 /nfs/dbraw/zinc/93/00/50/1072930050.db2.gz PYPAPVHYWSYTDV-LBPRGKRZSA-N 0 0 445.498 -0.633 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@@H](C)C(=O)Nc1sccc1C(N)=O ZINC000756749807 1072930001 /nfs/dbraw/zinc/93/00/01/1072930001.db2.gz QWUPUOFRNYRPNH-BDAKNGLRSA-N 0 0 429.480 -0.182 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1sccc1C(N)=O ZINC000756749809 1072930071 /nfs/dbraw/zinc/93/00/71/1072930071.db2.gz QWUPUOFRNYRPNH-DTWKUNHWSA-N 0 0 429.480 -0.182 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@@H](C)C(=O)Nc1sccc1C(N)=O ZINC000756749811 1072930064 /nfs/dbraw/zinc/93/00/64/1072930064.db2.gz QWUPUOFRNYRPNH-IUCAKERBSA-N 0 0 429.480 -0.182 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@H](C)C(=O)Nc1sccc1C(N)=O ZINC000756749813 1072930031 /nfs/dbraw/zinc/93/00/31/1072930031.db2.gz QWUPUOFRNYRPNH-RKDXNWHRSA-N 0 0 429.480 -0.182 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NCCOc1ccc(F)cc1 ZINC000756749827 1072930085 /nfs/dbraw/zinc/93/00/85/1072930085.db2.gz QYXFHMROPKKLBL-GFCCVEGCSA-N 0 0 428.442 -0.036 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NCCOc1ccc(F)cc1 ZINC000756749830 1072930095 /nfs/dbraw/zinc/93/00/95/1072930095.db2.gz QYXFHMROPKKLBL-LBPRGKRZSA-N 0 0 428.442 -0.036 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000756750419 1072929972 /nfs/dbraw/zinc/92/99/72/1072929972.db2.gz BYHBCWPPGICXJV-LLVKDONJSA-N 0 0 444.491 -0.328 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000756750422 1072929992 /nfs/dbraw/zinc/92/99/92/1072929992.db2.gz BYHBCWPPGICXJV-NSHDSACASA-N 0 0 444.491 -0.328 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000756750528 1072930591 /nfs/dbraw/zinc/93/05/91/1072930591.db2.gz CRUYIBHLGHKQEI-JTQLQIEISA-N 0 0 430.464 -0.840 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)c1ccc(S(N)(=O)=O)cc1 ZINC000756750530 1072930598 /nfs/dbraw/zinc/93/05/98/1072930598.db2.gz CRUYIBHLGHKQEI-SNVBAGLBSA-N 0 0 430.464 -0.840 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CCCCC1 ZINC000756750793 1072930583 /nfs/dbraw/zinc/93/05/83/1072930583.db2.gz OAZVRNRPJBYWRP-GFCCVEGCSA-N 0 0 430.483 -0.378 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CCCCC1 ZINC000756750797 1072930614 /nfs/dbraw/zinc/93/06/14/1072930614.db2.gz OAZVRNRPJBYWRP-LBPRGKRZSA-N 0 0 430.483 -0.378 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000756751116 1072930604 /nfs/dbraw/zinc/93/06/04/1072930604.db2.gz VKPGAYOTDYUZRM-HTQZYQBOSA-N 0 0 429.377 -0.593 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1)C(=O)NC(=O)NCC(F)(F)F ZINC000756751128 1072930564 /nfs/dbraw/zinc/93/05/64/1072930564.db2.gz VKPGAYOTDYUZRM-JGVFFNPUSA-N 0 0 429.377 -0.593 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000756751130 1072930579 /nfs/dbraw/zinc/93/05/79/1072930579.db2.gz VKPGAYOTDYUZRM-SFYZADRCSA-N 0 0 429.377 -0.593 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000756751132 1072930642 /nfs/dbraw/zinc/93/06/42/1072930642.db2.gz VKPGAYOTDYUZRM-YUMQZZPRSA-N 0 0 429.377 -0.593 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000756751186 1072930506 /nfs/dbraw/zinc/93/05/06/1072930506.db2.gz FQHYJAJNCYDXLW-LLVKDONJSA-N 0 0 444.491 -0.328 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1ccc(S(C)(=O)=O)cc1 ZINC000756751187 1072930568 /nfs/dbraw/zinc/93/05/68/1072930568.db2.gz FQHYJAJNCYDXLW-NSHDSACASA-N 0 0 444.491 -0.328 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756751318 1072930450 /nfs/dbraw/zinc/93/04/50/1072930450.db2.gz PYNFYIZINSGPDX-GXTWGEPZSA-N 0 0 430.483 -0.426 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756751350 1072930436 /nfs/dbraw/zinc/93/04/36/1072930436.db2.gz PYNFYIZINSGPDX-JSGCOSHPSA-N 0 0 430.483 -0.426 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756751355 1072930557 /nfs/dbraw/zinc/93/05/57/1072930557.db2.gz PYNFYIZINSGPDX-OCCSQVGLSA-N 0 0 430.483 -0.426 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756751361 1072930526 /nfs/dbraw/zinc/93/05/26/1072930526.db2.gz PYNFYIZINSGPDX-TZMCWYRMSA-N 0 0 430.483 -0.426 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756751880 1072930931 /nfs/dbraw/zinc/93/09/31/1072930931.db2.gz YDTUVPCZAGJDSF-DKTFCQPKSA-N 0 0 446.507 -0.390 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756751883 1072930971 /nfs/dbraw/zinc/93/09/71/1072930971.db2.gz YDTUVPCZAGJDSF-LLUUXILJSA-N 0 0 446.507 -0.390 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756751886 1072931006 /nfs/dbraw/zinc/93/10/06/1072931006.db2.gz YDTUVPCZAGJDSF-NGPAHMQLSA-N 0 0 446.507 -0.390 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756751890 1072930947 /nfs/dbraw/zinc/93/09/47/1072930947.db2.gz YDTUVPCZAGJDSF-ODYDIQAQSA-N 0 0 446.507 -0.390 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NCCCN1CCCCCC1=O ZINC000756751941 1072930516 /nfs/dbraw/zinc/93/05/16/1072930516.db2.gz RKJZQWBNOCZCPQ-AWEZNQCLSA-N 0 0 443.526 -0.461 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NCCCN1CCCCCC1=O ZINC000756751946 1072930574 /nfs/dbraw/zinc/93/05/74/1072930574.db2.gz RKJZQWBNOCZCPQ-CQSZACIVSA-N 0 0 443.526 -0.461 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NCCOc1cccc(F)c1 ZINC000756752613 1072930937 /nfs/dbraw/zinc/93/09/37/1072930937.db2.gz ZYQDNBSMDPFKNC-GFCCVEGCSA-N 0 0 428.442 -0.036 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NCCOc1cccc(F)c1 ZINC000756752614 1072930921 /nfs/dbraw/zinc/93/09/21/1072930921.db2.gz ZYQDNBSMDPFKNC-LBPRGKRZSA-N 0 0 428.442 -0.036 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000756753841 1072931009 /nfs/dbraw/zinc/93/10/09/1072931009.db2.gz XGPWTSHIEFSEHW-GFCCVEGCSA-N 0 0 437.478 -0.029 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1ccc(C(=O)N(C)C)cc1 ZINC000756753843 1072931036 /nfs/dbraw/zinc/93/10/36/1072931036.db2.gz XGPWTSHIEFSEHW-LBPRGKRZSA-N 0 0 437.478 -0.029 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000756754446 1072931001 /nfs/dbraw/zinc/93/10/01/1072931001.db2.gz XZYSDEGAXIQYLK-CHWSQXEVSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000756754447 1072931042 /nfs/dbraw/zinc/93/10/42/1072931042.db2.gz XZYSDEGAXIQYLK-OLZOCXBDSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000756754448 1072930960 /nfs/dbraw/zinc/93/09/60/1072930960.db2.gz XZYSDEGAXIQYLK-QWHCGFSZSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)C1 ZINC000756754449 1072930979 /nfs/dbraw/zinc/93/09/79/1072930979.db2.gz XZYSDEGAXIQYLK-STQMWFEESA-N 0 0 430.483 -0.568 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NCCN1CCc2ccccc21 ZINC000756754951 1072931040 /nfs/dbraw/zinc/93/10/40/1072931040.db2.gz MEFPMFUWTXLZFC-AWEZNQCLSA-N 0 0 435.506 -0.191 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)NCCN1CCc2ccccc21 ZINC000756754953 1072931024 /nfs/dbraw/zinc/93/10/24/1072931024.db2.gz MEFPMFUWTXLZFC-CQSZACIVSA-N 0 0 435.506 -0.191 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000756756016 1072931029 /nfs/dbraw/zinc/93/10/29/1072931029.db2.gz PHZSIIDAGFAIPL-GFCCVEGCSA-N 0 0 440.478 -0.036 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000756756019 1072931014 /nfs/dbraw/zinc/93/10/14/1072931014.db2.gz PHZSIIDAGFAIPL-LBPRGKRZSA-N 0 0 440.478 -0.036 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756756551 1072930986 /nfs/dbraw/zinc/93/09/86/1072930986.db2.gz POXYGXDMRJKTMY-NEPJUHHUSA-N 0 0 430.483 -0.570 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756756556 1072931045 /nfs/dbraw/zinc/93/10/45/1072931045.db2.gz POXYGXDMRJKTMY-NWDGAFQWSA-N 0 0 430.483 -0.570 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756756559 1072931019 /nfs/dbraw/zinc/93/10/19/1072931019.db2.gz POXYGXDMRJKTMY-RYUDHWBXSA-N 0 0 430.483 -0.570 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC000756756568 1072930998 /nfs/dbraw/zinc/93/09/98/1072930998.db2.gz POXYGXDMRJKTMY-VXGBXAGGSA-N 0 0 430.483 -0.570 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)N1CCN(Cc2ccco2)CC1 ZINC000756757099 1072931541 /nfs/dbraw/zinc/93/15/41/1072931541.db2.gz QRIKBSZXFHANOF-AWEZNQCLSA-N 0 0 439.494 -0.433 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)N1CCN(Cc2ccco2)CC1 ZINC000756757124 1072931586 /nfs/dbraw/zinc/93/15/86/1072931586.db2.gz QRIKBSZXFHANOF-CQSZACIVSA-N 0 0 439.494 -0.433 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCCN1C(=O)c2ccccc2S1(=O)=O ZINC000756758730 1072931609 /nfs/dbraw/zinc/93/16/09/1072931609.db2.gz SKWJPKWDWIUCMN-LLVKDONJSA-N 0 0 442.475 -0.525 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCCN1C(=O)c2ccccc2S1(=O)=O ZINC000756758747 1072931487 /nfs/dbraw/zinc/93/14/87/1072931487.db2.gz SKWJPKWDWIUCMN-NSHDSACASA-N 0 0 442.475 -0.525 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000756762172 1072931551 /nfs/dbraw/zinc/93/15/51/1072931551.db2.gz WQZVRMRPWFDOFI-JTQLQIEISA-N 0 0 449.445 -0.505 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000756762199 1072931595 /nfs/dbraw/zinc/93/15/95/1072931595.db2.gz WQZVRMRPWFDOFI-SNVBAGLBSA-N 0 0 449.445 -0.505 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000756763300 1072931574 /nfs/dbraw/zinc/93/15/74/1072931574.db2.gz YKKBBUUXVYWRBM-GFCCVEGCSA-N 0 0 437.478 -0.029 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)Nc1cccc(C(=O)N(C)C)c1 ZINC000756763303 1072931621 /nfs/dbraw/zinc/93/16/21/1072931621.db2.gz YKKBBUUXVYWRBM-LBPRGKRZSA-N 0 0 437.478 -0.029 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756763423 1072931628 /nfs/dbraw/zinc/93/16/28/1072931628.db2.gz ZQALIBKJPJXYAK-JTQLQIEISA-N 0 0 442.454 -0.821 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000756763424 1072931564 /nfs/dbraw/zinc/93/15/64/1072931564.db2.gz ZQALIBKJPJXYAK-SNVBAGLBSA-N 0 0 442.454 -0.821 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)c1 ZINC000756765330 1072931442 /nfs/dbraw/zinc/93/14/42/1072931442.db2.gz MQTZRGCRGDTGNV-GOSISDBHSA-N 0 0 434.478 -0.327 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)c1 ZINC000756765334 1072931467 /nfs/dbraw/zinc/93/14/67/1072931467.db2.gz MQTZRGCRGDTGNV-SFHVURJKSA-N 0 0 434.478 -0.327 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)n(Cc2ccccc2)n1 ZINC000756768439 1072931457 /nfs/dbraw/zinc/93/14/57/1072931457.db2.gz NVRHXWVQVWONIR-UHFFFAOYSA-N 0 0 435.506 -0.043 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccnn1Cc1ccccc1F ZINC000756769092 1072931507 /nfs/dbraw/zinc/93/15/07/1072931507.db2.gz PJDXCUHOGJRKQM-UHFFFAOYSA-N 0 0 439.469 -0.213 20 0 IBADRN Cc1cccc(Cn2nccc2NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000756769729 1072931602 /nfs/dbraw/zinc/93/16/02/1072931602.db2.gz WWEFUYZUJSGACS-UHFFFAOYSA-N 0 0 435.506 -0.043 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccnn1Cc1cccc(OC)c1OC ZINC000756777766 1072931474 /nfs/dbraw/zinc/93/14/74/1072931474.db2.gz GGOYYBGDOQSDBZ-UHFFFAOYSA-N 0 0 439.494 -0.057 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000756778715 1072932031 /nfs/dbraw/zinc/93/20/31/1072932031.db2.gz XUOHORQTDDVTRT-INIZCTEOSA-N 0 0 447.492 -0.648 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000756778862 1072931988 /nfs/dbraw/zinc/93/19/88/1072931988.db2.gz YLECTOHZTWFVES-CABCVRRESA-N 0 0 426.495 -0.303 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000756778868 1072931953 /nfs/dbraw/zinc/93/19/53/1072931953.db2.gz YLECTOHZTWFVES-GJZGRUSLSA-N 0 0 426.495 -0.303 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccnn1Cc1ccc(OC)cc1OC ZINC000756779087 1072931496 /nfs/dbraw/zinc/93/14/96/1072931496.db2.gz OCJHFWOJZWFKIX-UHFFFAOYSA-N 0 0 439.494 -0.057 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000756779516 1072931520 /nfs/dbraw/zinc/93/15/20/1072931520.db2.gz XWJOICSGJCGVJU-HNNXBMFYSA-N 0 0 426.495 -0.349 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000756780762 1072932021 /nfs/dbraw/zinc/93/20/21/1072932021.db2.gz ZLUKMDWDUFKMDC-KRWDZBQOSA-N 0 0 447.492 -0.646 20 0 IBADRN NC(=O)C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000756784387 1072932071 /nfs/dbraw/zinc/93/20/71/1072932071.db2.gz SYRKEEQFLWHXBI-KRWDZBQOSA-N 0 0 431.493 -0.420 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000756788478 1072932090 /nfs/dbraw/zinc/93/20/90/1072932090.db2.gz WDTORTWZZLZUIC-HNNXBMFYSA-N 0 0 426.495 -0.349 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(F)(F)c1nccs1 ZINC000756813349 1072931967 /nfs/dbraw/zinc/93/19/67/1072931967.db2.gz QEDGZBFQJWKOTD-JTQLQIEISA-N 0 0 429.492 -0.104 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(F)(F)c1nccs1 ZINC000756813350 1072932062 /nfs/dbraw/zinc/93/20/62/1072932062.db2.gz QEDGZBFQJWKOTD-SNVBAGLBSA-N 0 0 429.492 -0.104 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(N3CCOCC3)cc1C(N)=O)c(=O)n2C ZINC000756835705 1072932123 /nfs/dbraw/zinc/93/21/23/1072932123.db2.gz MZCPJEVOROARBY-UHFFFAOYSA-N 0 0 441.448 -0.992 20 0 IBADRN Cn1c2nc(C(=O)Nc3ccc(N4CCOCC4)cc3C(N)=O)ccc2c(=O)n(C)c1=O ZINC000756836959 1072932147 /nfs/dbraw/zinc/93/21/47/1072932147.db2.gz AITWKHJGYYQZLD-UHFFFAOYSA-N 0 0 438.444 -0.180 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(N4CCOCC4)cc3C(N)=O)c2c(=O)n(C)c1=O ZINC000756839091 1072932002 /nfs/dbraw/zinc/93/20/02/1072932002.db2.gz XNDONSFDZIXQEO-UHFFFAOYSA-N 0 0 441.448 -0.992 20 0 IBADRN CC1(C)CN(CCS(=O)(=O)CCN2CCS(=O)(=O)C(C)(C)C2)CCS1(=O)=O ZINC000756907587 1072932107 /nfs/dbraw/zinc/93/21/07/1072932107.db2.gz GWDBAOQUXUADCG-UHFFFAOYSA-N 0 0 444.641 -0.581 20 0 IBADRN COc1cccc(OC)c1CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000756916719 1072932009 /nfs/dbraw/zinc/93/20/09/1072932009.db2.gz HQLHZGXWGZAFKP-AWEZNQCLSA-N 0 0 446.547 -0.093 20 0 IBADRN COc1cccc(OC)c1CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000756916721 1072932041 /nfs/dbraw/zinc/93/20/41/1072932041.db2.gz HQLHZGXWGZAFKP-CQSZACIVSA-N 0 0 446.547 -0.093 20 0 IBADRN O=C(Cc1c[nH]c2ncccc12)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000756917160 1072932050 /nfs/dbraw/zinc/93/20/50/1072932050.db2.gz PJMYCTQNXOATGO-AWEZNQCLSA-N 0 0 426.520 -0.234 20 0 IBADRN O=C(Cc1c[nH]c2ncccc12)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000756917165 1072932133 /nfs/dbraw/zinc/93/21/33/1072932133.db2.gz PJMYCTQNXOATGO-CQSZACIVSA-N 0 0 426.520 -0.234 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)NCCS(=O)(=O)N2CCOCC2)C1=O ZINC000756929618 1072932614 /nfs/dbraw/zinc/93/26/14/1072932614.db2.gz DUVNMVOGELMJCN-AWEZNQCLSA-N 0 0 426.495 -0.238 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)NCCS(=O)(=O)N2CCOCC2)C1=O ZINC000756929623 1072932474 /nfs/dbraw/zinc/93/24/74/1072932474.db2.gz DUVNMVOGELMJCN-CQSZACIVSA-N 0 0 426.495 -0.238 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000756943549 1072932595 /nfs/dbraw/zinc/93/25/95/1072932595.db2.gz BCYFNQJYECOQPE-ABAIWWIYSA-N 0 0 447.560 -0.367 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000756943550 1072932482 /nfs/dbraw/zinc/93/24/82/1072932482.db2.gz BCYFNQJYECOQPE-IAQYHMDHSA-N 0 0 447.560 -0.367 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000756943551 1072932523 /nfs/dbraw/zinc/93/25/23/1072932523.db2.gz BCYFNQJYECOQPE-NHYWBVRUSA-N 0 0 447.560 -0.367 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000756943552 1072932463 /nfs/dbraw/zinc/93/24/63/1072932463.db2.gz BCYFNQJYECOQPE-XHDPSFHLSA-N 0 0 447.560 -0.367 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCSC[C@H]2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000756945981 1072932513 /nfs/dbraw/zinc/93/25/13/1072932513.db2.gz WIAAXWFYIXVDIO-CYBMUJFWSA-N 0 0 426.513 -0.030 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCSC[C@@H]2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000756945982 1072932631 /nfs/dbraw/zinc/93/26/31/1072932631.db2.gz WIAAXWFYIXVDIO-ZDUSSCGKSA-N 0 0 426.513 -0.030 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000756946327 1072932490 /nfs/dbraw/zinc/93/24/90/1072932490.db2.gz UYYHVCCCZYDUPN-CYBMUJFWSA-N 0 0 435.505 -0.812 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000756946330 1072932619 /nfs/dbraw/zinc/93/26/19/1072932619.db2.gz UYYHVCCCZYDUPN-ZDUSSCGKSA-N 0 0 435.505 -0.812 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000756948275 1072932529 /nfs/dbraw/zinc/93/25/29/1072932529.db2.gz SBHGLPUEJVHBNQ-HNNXBMFYSA-N 0 0 447.560 -0.633 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000756948277 1072932584 /nfs/dbraw/zinc/93/25/84/1072932584.db2.gz SBHGLPUEJVHBNQ-OAHLLOKOSA-N 0 0 447.560 -0.633 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000756948734 1072932556 /nfs/dbraw/zinc/93/25/56/1072932556.db2.gz RSUIUAXEECWFIH-GFCCVEGCSA-N 0 0 429.505 -0.343 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000756948737 1072932571 /nfs/dbraw/zinc/93/25/71/1072932571.db2.gz RSUIUAXEECWFIH-LBPRGKRZSA-N 0 0 429.505 -0.343 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCSC[C@@H]1S(C)(=O)=O ZINC000756948809 1072932546 /nfs/dbraw/zinc/93/25/46/1072932546.db2.gz YQZSPUHITWAPDD-AWEZNQCLSA-N 0 0 437.565 -0.394 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCSC[C@H]1S(C)(=O)=O ZINC000756948810 1072932577 /nfs/dbraw/zinc/93/25/77/1072932577.db2.gz YQZSPUHITWAPDD-CQSZACIVSA-N 0 0 437.565 -0.394 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000756959136 1072933063 /nfs/dbraw/zinc/93/30/63/1072933063.db2.gz HTMSIKYKVLVOAG-KBPBESRZSA-N 0 0 430.527 -0.489 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000756959147 1072932604 /nfs/dbraw/zinc/93/26/04/1072932604.db2.gz HTMSIKYKVLVOAG-ZIAGYGMSSA-N 0 0 430.527 -0.489 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000756959148 1072932456 /nfs/dbraw/zinc/93/24/56/1072932456.db2.gz HTMSIKYKVLVOAG-ZSBFGKGUSA-N 0 0 430.527 -0.489 20 0 IBADRN CCN(CC(=O)NC)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000756993496 1072933168 /nfs/dbraw/zinc/93/31/68/1072933168.db2.gz CPJDTJFMBAKMQG-DDKJEQMHSA-N 0 0 445.563 -0.026 20 0 IBADRN CCN(CC(=O)NC)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000756993497 1072932987 /nfs/dbraw/zinc/93/29/87/1072932987.db2.gz CPJDTJFMBAKMQG-ISBHARSQSA-N 0 0 445.563 -0.026 20 0 IBADRN CCN(CC(=O)NC)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000756993498 1072933179 /nfs/dbraw/zinc/93/31/79/1072933179.db2.gz CPJDTJFMBAKMQG-IVOILVROSA-N 0 0 445.563 -0.026 20 0 IBADRN CCN(CC(=O)NC)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000756993499 1072933159 /nfs/dbraw/zinc/93/31/59/1072933159.db2.gz CPJDTJFMBAKMQG-QSOAKEGCSA-N 0 0 445.563 -0.026 20 0 IBADRN CCN(CC(=O)NC)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000756993708 1072933145 /nfs/dbraw/zinc/93/31/45/1072933145.db2.gz FBIVSRIMIRJHBW-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN COc1ccccc1N1CC[C@H](OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000757022729 1072933124 /nfs/dbraw/zinc/93/31/24/1072933124.db2.gz WDMPPCFCWZEVLE-AWEZNQCLSA-N 0 0 427.417 -0.209 20 0 IBADRN COc1ccccc1N1CC[C@@H](OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000757022731 1072933026 /nfs/dbraw/zinc/93/30/26/1072933026.db2.gz WDMPPCFCWZEVLE-CQSZACIVSA-N 0 0 427.417 -0.209 20 0 IBADRN COC(=O)C1(NC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CCS(=O)(=O)CC1 ZINC000757030904 1072933073 /nfs/dbraw/zinc/93/30/73/1072933073.db2.gz IDFXQTDTXGUVPS-UHFFFAOYSA-N 0 0 447.510 -0.698 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)n(C)c1 ZINC000757032523 1072933012 /nfs/dbraw/zinc/93/30/12/1072933012.db2.gz LGYAHGBPYJWLCJ-UHFFFAOYSA-N 0 0 449.551 -0.094 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)c1=O ZINC000757032575 1072932914 /nfs/dbraw/zinc/93/29/14/1072932914.db2.gz RJXQDQYIDOLSPZ-UHFFFAOYSA-N 0 0 437.474 -0.580 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@](C)(c3ccccc3)C2=O)CCS(=O)(=O)CC1 ZINC000757033823 1072933089 /nfs/dbraw/zinc/93/30/89/1072933089.db2.gz VCNUGLYLLLMENE-GOSISDBHSA-N 0 0 437.474 -0.310 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)CCS(=O)(=O)CC1 ZINC000757033838 1072932942 /nfs/dbraw/zinc/93/29/42/1072932942.db2.gz VCNUGLYLLLMENE-SFHVURJKSA-N 0 0 437.474 -0.310 20 0 IBADRN COc1ccccc1N1CC[C@H](OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1=O ZINC000757033860 1072933119 /nfs/dbraw/zinc/93/31/19/1072933119.db2.gz OJJYNRMHBZQIME-AWEZNQCLSA-N 0 0 427.417 -0.209 20 0 IBADRN COc1ccccc1N1CC[C@@H](OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1=O ZINC000757033863 1072932962 /nfs/dbraw/zinc/93/29/62/1072932962.db2.gz OJJYNRMHBZQIME-CQSZACIVSA-N 0 0 427.417 -0.209 20 0 IBADRN COC(=O)C1(NC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CCS(=O)(=O)CC1 ZINC000757037607 1072932972 /nfs/dbraw/zinc/93/29/72/1072932972.db2.gz PSSKYTYVJBMCIP-AWEZNQCLSA-N 0 0 440.453 -0.518 20 0 IBADRN COC(=O)C1(NC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CCS(=O)(=O)CC1 ZINC000757037608 1072933006 /nfs/dbraw/zinc/93/30/06/1072933006.db2.gz PSSKYTYVJBMCIP-CQSZACIVSA-N 0 0 440.453 -0.518 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCS(=O)(=O)C(C)(C)C1)c2=O ZINC000757052369 1072933048 /nfs/dbraw/zinc/93/30/48/1072933048.db2.gz PABRBTHLENHNHI-UHFFFAOYSA-N 0 0 432.300 -0.072 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)C(=O)C1 ZINC000757055136 1072933128 /nfs/dbraw/zinc/93/31/28/1072933128.db2.gz ZIHPOMRCHBVWIO-KBPBESRZSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)C(=O)C1 ZINC000757055137 1072933152 /nfs/dbraw/zinc/93/31/52/1072933152.db2.gz ZIHPOMRCHBVWIO-KGLIPLIRSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)C(=O)C1 ZINC000757055138 1072933549 /nfs/dbraw/zinc/93/35/49/1072933549.db2.gz ZIHPOMRCHBVWIO-UONOGXRCSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)C(=O)C1 ZINC000757055139 1072933644 /nfs/dbraw/zinc/93/36/44/1072933644.db2.gz ZIHPOMRCHBVWIO-ZIAGYGMSSA-N 0 0 432.543 -0.100 20 0 IBADRN CN(CC(=O)NC[C@@H]1CCC[C@H]1NS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000757067945 1072933649 /nfs/dbraw/zinc/93/36/49/1072933649.db2.gz YPWCGQGSZIILIL-GXTWGEPZSA-N 0 0 428.536 -0.099 20 0 IBADRN CN(CC(=O)NC[C@@H]1CCC[C@@H]1NS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000757067946 1072933656 /nfs/dbraw/zinc/93/36/56/1072933656.db2.gz YPWCGQGSZIILIL-JSGCOSHPSA-N 0 0 428.536 -0.099 20 0 IBADRN CN(CC(=O)NC[C@H]1CCC[C@@H]1NS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000757067947 1072933558 /nfs/dbraw/zinc/93/35/58/1072933558.db2.gz YPWCGQGSZIILIL-OCCSQVGLSA-N 0 0 428.536 -0.099 20 0 IBADRN CN(CC(=O)NC[C@H]1CCC[C@H]1NS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000757067948 1072933577 /nfs/dbraw/zinc/93/35/77/1072933577.db2.gz YPWCGQGSZIILIL-TZMCWYRMSA-N 0 0 428.536 -0.099 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N(CCO)CCN1CCOCC1)c2=O ZINC000757104180 1072933622 /nfs/dbraw/zinc/93/36/22/1072933622.db2.gz GIDRMAJRCMGCKT-UHFFFAOYSA-N 0 0 443.302 -0.954 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N(CCO)CCN1CCOCC1 ZINC000757105942 1072933520 /nfs/dbraw/zinc/93/35/20/1072933520.db2.gz NGGRBLQAVVUJFW-UHFFFAOYSA-N 0 0 445.513 -0.387 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N(CCO)CCN2CCOCC2)C1=O ZINC000757106733 1072933726 /nfs/dbraw/zinc/93/37/26/1072933726.db2.gz RPUAOHUZLMCKRV-JOCHJYFZSA-N 0 0 448.520 -0.076 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N(CCO)CCN2CCOCC2)C1=O ZINC000757107034 1072933476 /nfs/dbraw/zinc/93/34/76/1072933476.db2.gz RPUAOHUZLMCKRV-QFIPXVFZSA-N 0 0 448.520 -0.076 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(CCO)CCN3CCOCC3)cc2S1(=O)=O ZINC000757107086 1072933598 /nfs/dbraw/zinc/93/35/98/1072933598.db2.gz SOZNXWGLUJPSGG-UHFFFAOYSA-N 0 0 441.506 -0.756 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N(CCO)CCN2CCOCC2)C1=O ZINC000757108309 1072933505 /nfs/dbraw/zinc/93/35/05/1072933505.db2.gz XHIHQXIIPRSKOS-NRFANRHFSA-N 0 0 434.493 -0.385 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N(CCO)CCN2CCOCC2)C1=O ZINC000757108320 1072933607 /nfs/dbraw/zinc/93/36/07/1072933607.db2.gz XHIHQXIIPRSKOS-OAQYLSRUSA-N 0 0 434.493 -0.385 20 0 IBADRN C[C@@H](CC(=O)N(CCO)CCN1CCOCC1)NS(=O)(=O)c1cccnc1Cl ZINC000757110801 1072933706 /nfs/dbraw/zinc/93/37/06/1072933706.db2.gz STBNYLPYPQYWRC-AWEZNQCLSA-N 0 0 434.946 -0.055 20 0 IBADRN C[C@H](CC(=O)N(CCO)CCN1CCOCC1)NS(=O)(=O)c1cccnc1Cl ZINC000757110805 1072933681 /nfs/dbraw/zinc/93/36/81/1072933681.db2.gz STBNYLPYPQYWRC-CQSZACIVSA-N 0 0 434.946 -0.055 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1 ZINC000757161715 1072934267 /nfs/dbraw/zinc/93/42/67/1072934267.db2.gz WCTVUANGFLYWOT-UHFFFAOYSA-N 0 0 428.467 -0.205 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCCN2CCOC2=O)ccc1S(=O)(=O)N(C)C ZINC000757165246 1072934347 /nfs/dbraw/zinc/93/43/47/1072934347.db2.gz MFJIDUREFPOWAA-UHFFFAOYSA-N 0 0 428.467 -0.158 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000757182304 1072934185 /nfs/dbraw/zinc/93/41/85/1072934185.db2.gz OGFMECHYWIJMSS-KRWDZBQOSA-N 0 0 431.442 -0.002 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)C1 ZINC000757182305 1072934315 /nfs/dbraw/zinc/93/43/15/1072934315.db2.gz OGFMECHYWIJMSS-QGZVFWFLSA-N 0 0 431.442 -0.002 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)CC2CC2)ccc1S(=O)(=O)N(C)C ZINC000757198764 1072934376 /nfs/dbraw/zinc/93/43/76/1072934376.db2.gz LFSPMHGMMPYQJA-UHFFFAOYSA-N 0 0 447.535 -0.175 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000757200676 1072934204 /nfs/dbraw/zinc/93/42/04/1072934204.db2.gz WBAGAJLTWKEETB-GFCCVEGCSA-N 0 0 426.451 -0.453 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000757200681 1072934236 /nfs/dbraw/zinc/93/42/36/1072934236.db2.gz WBAGAJLTWKEETB-LBPRGKRZSA-N 0 0 426.451 -0.453 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](CO)c3cnn(C)c3)cc2)CC1 ZINC000757228499 1072934149 /nfs/dbraw/zinc/93/41/49/1072934149.db2.gz DXSANVHKIFRCRG-GOSISDBHSA-N 0 0 444.492 -0.497 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](CO)c3cnn(C)c3)cc2)CC1 ZINC000757228505 1072934117 /nfs/dbraw/zinc/93/41/17/1072934117.db2.gz DXSANVHKIFRCRG-SFHVURJKSA-N 0 0 444.492 -0.497 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC(OCCO)CC1 ZINC000757261569 1072934254 /nfs/dbraw/zinc/93/42/54/1072934254.db2.gz VPRBDYSRQFECEP-UHFFFAOYSA-N 0 0 425.507 -0.174 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCC(OCCO)CC3)cc2)CC1 ZINC000757261615 1072934060 /nfs/dbraw/zinc/93/40/60/1072934060.db2.gz WOAFJHBRYWRBFG-UHFFFAOYSA-N 0 0 448.520 -0.080 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)cn1 ZINC000757270305 1072934361 /nfs/dbraw/zinc/93/43/61/1072934361.db2.gz DJFNHXBLWPKEIS-UHFFFAOYSA-N 0 0 448.505 -0.251 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)Nc3cnn(CCO)c3)cc2)CC1 ZINC000757273368 1072934907 /nfs/dbraw/zinc/93/49/07/1072934907.db2.gz KVAKBHNWHGRIPK-UHFFFAOYSA-N 0 0 430.465 -0.252 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C(C)(C)C2)cc1 ZINC000757298428 1072934845 /nfs/dbraw/zinc/93/48/45/1072934845.db2.gz MUPGVBSCFLCYKU-UHFFFAOYSA-N 0 0 433.508 -0.157 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000757300061 1072934805 /nfs/dbraw/zinc/93/48/05/1072934805.db2.gz QUJGEBCFILFWEV-BBRMVZONSA-N 0 0 437.522 -0.605 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000757300063 1072934812 /nfs/dbraw/zinc/93/48/12/1072934812.db2.gz QUJGEBCFILFWEV-CJNGLKHVSA-N 0 0 437.522 -0.605 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000757300065 1072934771 /nfs/dbraw/zinc/93/47/71/1072934771.db2.gz QUJGEBCFILFWEV-CZUORRHYSA-N 0 0 437.522 -0.605 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000757300066 1072934821 /nfs/dbraw/zinc/93/48/21/1072934821.db2.gz QUJGEBCFILFWEV-XJKSGUPXSA-N 0 0 437.522 -0.605 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCS(=O)(=O)C(C)(C)C1 ZINC000757300170 1072934834 /nfs/dbraw/zinc/93/48/34/1072934834.db2.gz UDDQIHNPSDEJHF-UHFFFAOYSA-N 0 0 443.547 -0.138 20 0 IBADRN COC(=O)[C@H](C)CS(=O)(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000757344721 1072935724 /nfs/dbraw/zinc/93/57/24/1072935724.db2.gz WMYMIRHNYJOAPQ-CYBMUJFWSA-N 0 0 448.519 -0.078 20 0 IBADRN COC(=O)[C@@H](C)CS(=O)(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000757344722 1072935690 /nfs/dbraw/zinc/93/56/90/1072935690.db2.gz WMYMIRHNYJOAPQ-ZDUSSCGKSA-N 0 0 448.519 -0.078 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000757373334 1072935660 /nfs/dbraw/zinc/93/56/60/1072935660.db2.gz ICOPYQAAOQUNKD-BXUZGUMPSA-N 0 0 425.554 -0.409 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000757373336 1072935808 /nfs/dbraw/zinc/93/58/08/1072935808.db2.gz ICOPYQAAOQUNKD-FZMZJTMJSA-N 0 0 425.554 -0.409 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000757373339 1072935794 /nfs/dbraw/zinc/93/57/94/1072935794.db2.gz ICOPYQAAOQUNKD-RISCZKNCSA-N 0 0 425.554 -0.409 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cc1 ZINC000757373342 1072935911 /nfs/dbraw/zinc/93/59/11/1072935911.db2.gz ICOPYQAAOQUNKD-SMDDNHRTSA-N 0 0 425.554 -0.409 20 0 IBADRN Cn1c2cccc(C(=O)OCCN3CCN(C(=O)c4ccc(=O)n(C)n4)CC3)c2oc1=O ZINC000757428664 1072935943 /nfs/dbraw/zinc/93/59/43/1072935943.db2.gz AYYWVSDDEGOWSZ-UHFFFAOYSA-N 0 0 441.444 -0.160 20 0 IBADRN NC(=O)C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000757441477 1072935711 /nfs/dbraw/zinc/93/57/11/1072935711.db2.gz UQCFDXKANUMOES-SFHVURJKSA-N 0 0 445.520 -0.077 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000757441551 1072935831 /nfs/dbraw/zinc/93/58/31/1072935831.db2.gz VDKPSTVOOGXAEU-QAPCUYQASA-N 0 0 445.520 -0.032 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000757441552 1072935672 /nfs/dbraw/zinc/93/56/72/1072935672.db2.gz VDKPSTVOOGXAEU-YJBOKZPZSA-N 0 0 445.520 -0.032 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000757444526 1072935751 /nfs/dbraw/zinc/93/57/51/1072935751.db2.gz CIVNRKHESIMMHD-KRWDZBQOSA-N 0 0 433.509 -0.173 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@H](CC(N)=O)NC(=O)OCc3ccccc3)C2=O)cn1 ZINC000757445150 1072935682 /nfs/dbraw/zinc/93/56/82/1072935682.db2.gz ZWSUEXRAYPFWOR-CVEARBPZSA-N 0 0 428.449 -0.188 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@H](CC(N)=O)NC(=O)OCc3ccccc3)C2=O)cn1 ZINC000757445152 1072935927 /nfs/dbraw/zinc/93/59/27/1072935927.db2.gz ZWSUEXRAYPFWOR-HOTGVXAUSA-N 0 0 428.449 -0.188 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1Cl ZINC000757487840 1072936267 /nfs/dbraw/zinc/93/62/67/1072936267.db2.gz SGXLDMJTVUZEKF-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Cl ZINC000757497195 1072936362 /nfs/dbraw/zinc/93/63/62/1072936362.db2.gz SISQITUZRMEFAU-UHFFFAOYSA-N 0 0 425.916 -0.279 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)c2coc(S(N)(=O)=O)c2)cc1 ZINC000757646217 1072936857 /nfs/dbraw/zinc/93/68/57/1072936857.db2.gz CBIWAJUXKCGUCR-UHFFFAOYSA-N 0 0 430.460 -0.055 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)C(=O)OCc1ccccc1 ZINC000757666445 1072936986 /nfs/dbraw/zinc/93/69/86/1072936986.db2.gz SEUYUWIGHMQBJU-DLBZAZTESA-N 0 0 425.507 -0.443 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)C(=O)OCc1ccccc1 ZINC000757666448 1072936947 /nfs/dbraw/zinc/93/69/47/1072936947.db2.gz SEUYUWIGHMQBJU-IAGOWNOFSA-N 0 0 425.507 -0.443 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)C(=O)OCc1ccccc1 ZINC000757666449 1072936915 /nfs/dbraw/zinc/93/69/15/1072936915.db2.gz SEUYUWIGHMQBJU-IRXDYDNUSA-N 0 0 425.507 -0.443 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)C(=O)OCc1ccccc1 ZINC000757666452 1072936759 /nfs/dbraw/zinc/93/67/59/1072936759.db2.gz SEUYUWIGHMQBJU-SJORKVTESA-N 0 0 425.507 -0.443 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)CCN2C1=O ZINC000757693933 1072937005 /nfs/dbraw/zinc/93/70/05/1072937005.db2.gz KORFHIDKKIHJGC-GFCCVEGCSA-N 0 0 437.469 -0.071 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)CCN2C1=O ZINC000757693935 1072936742 /nfs/dbraw/zinc/93/67/42/1072936742.db2.gz KORFHIDKKIHJGC-LBPRGKRZSA-N 0 0 437.469 -0.071 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NC3CCN(S(=O)(=O)C(F)(F)F)CC3)CCN2C1=O ZINC000757727378 1072936905 /nfs/dbraw/zinc/93/69/05/1072936905.db2.gz GCFPPPZZHLAUBM-LLVKDONJSA-N 0 0 441.432 -0.022 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NC3CCN(S(=O)(=O)C(F)(F)F)CC3)CCN2C1=O ZINC000757727383 1072936819 /nfs/dbraw/zinc/93/68/19/1072936819.db2.gz GCFPPPZZHLAUBM-NSHDSACASA-N 0 0 441.432 -0.022 20 0 IBADRN Cn1c(=O)cccc1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000757738492 1072936712 /nfs/dbraw/zinc/93/67/12/1072936712.db2.gz WAIRGXKTSMUDPN-UHFFFAOYSA-N 0 0 449.485 -0.121 20 0 IBADRN O=C(NC[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[nH]1)c1nc2ccccc2c(=O)[nH]1 ZINC000757741883 1072936772 /nfs/dbraw/zinc/93/67/72/1072936772.db2.gz FTCPSYUSBCZOFT-LLVKDONJSA-N 0 0 434.412 -0.320 20 0 IBADRN Cn1c(=O)cccc1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000757744202 1072937395 /nfs/dbraw/zinc/93/73/95/1072937395.db2.gz UBVNURQSNZEWFH-UHFFFAOYSA-N 0 0 449.485 -0.121 20 0 IBADRN COCCN(C(=O)COC(=O)c1cccc(=O)n1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000757744850 1072937373 /nfs/dbraw/zinc/93/73/73/1072937373.db2.gz ZCJIUGDJVOLYQL-UHFFFAOYSA-N 0 0 449.464 -0.278 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2cccc(=O)n2C)CC1 ZINC000757746919 1072937349 /nfs/dbraw/zinc/93/73/49/1072937349.db2.gz AQEUIQWRLNUZET-UHFFFAOYSA-N 0 0 438.462 -0.320 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cccnc3)C2=O)CC1 ZINC000757751304 1072937287 /nfs/dbraw/zinc/93/72/87/1072937287.db2.gz ZVRPTRUFXRLULI-NRFANRHFSA-N 0 0 449.475 -0.126 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)N[C@](C)(c3cccnc3)C2=O)CC1 ZINC000757751305 1072937408 /nfs/dbraw/zinc/93/74/08/1072937408.db2.gz ZVRPTRUFXRLULI-OAQYLSRUSA-N 0 0 449.475 -0.126 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1coc(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000757758994 1072937420 /nfs/dbraw/zinc/93/74/20/1072937420.db2.gz BIWJUWCLTRZZEU-UHFFFAOYSA-N 0 0 425.485 -0.740 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2coc(S(N)(=O)=O)c2)CC1 ZINC000757761746 1072937231 /nfs/dbraw/zinc/93/72/31/1072937231.db2.gz LAZVLSWFZQXUFD-UHFFFAOYSA-N 0 0 449.449 -0.891 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCc3nc(COC)nn3C2)CC1 ZINC000757772099 1072937438 /nfs/dbraw/zinc/93/74/38/1072937438.db2.gz LHFLIWFZCNEBPM-AWEZNQCLSA-N 0 0 429.547 -0.347 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCc3nc(COC)nn3C2)CC1 ZINC000757772109 1072937209 /nfs/dbraw/zinc/93/72/09/1072937209.db2.gz LHFLIWFZCNEBPM-CQSZACIVSA-N 0 0 429.547 -0.347 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)c2coc(S(N)(=O)=O)c2)c1 ZINC000757774037 1072937325 /nfs/dbraw/zinc/93/73/25/1072937325.db2.gz XSSPMGAGILLBNT-UHFFFAOYSA-N 0 0 431.448 -0.027 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2coc(S(N)(=O)=O)c2)CC1 ZINC000757774411 1072937312 /nfs/dbraw/zinc/93/73/12/1072937312.db2.gz HAKPUJLPAHKDSM-UHFFFAOYSA-N 0 0 430.483 -0.857 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCC[C@H]1C(=O)OCC(=O)N1CCOCC1)N1CCOCC1 ZINC000757777694 1072937443 /nfs/dbraw/zinc/93/74/43/1072937443.db2.gz HPTUNNAYHQZRSV-HZPDHXFCSA-N 0 0 426.466 -0.403 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1CCCC[C@H]1C(=O)O[C@H](C)C(=O)NC(=O)NC ZINC000757777960 1072937430 /nfs/dbraw/zinc/93/74/30/1072937430.db2.gz KRCBVENIWSJZPI-DDHJBXDOSA-N 0 0 428.442 -0.433 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1CCCC[C@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000757777961 1072937249 /nfs/dbraw/zinc/93/72/49/1072937249.db2.gz KRCBVENIWSJZPI-NNYUYHANSA-N 0 0 428.442 -0.433 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1CCCC[C@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000757777962 1072937363 /nfs/dbraw/zinc/93/73/63/1072937363.db2.gz KRCBVENIWSJZPI-WRWGMCAJSA-N 0 0 428.442 -0.433 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@@H]1CCCC[C@H]1C(=O)OCC(=O)NC(=O)NCC ZINC000757778687 1072937385 /nfs/dbraw/zinc/93/73/85/1072937385.db2.gz PYDZTXXKRJEQHM-VXGBXAGGSA-N 0 0 428.442 -0.429 20 0 IBADRN CCS(=O)(=O)c1ccc(CCC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000757783352 1072937219 /nfs/dbraw/zinc/93/72/19/1072937219.db2.gz MDAYGFJFMLVXCR-UHFFFAOYSA-N 0 0 437.474 -0.182 20 0 IBADRN Cn1c2cccc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)c2oc1=O ZINC000757801765 1072937271 /nfs/dbraw/zinc/93/72/71/1072937271.db2.gz GGPSAHFPHGJVCT-CYBMUJFWSA-N 0 0 437.474 -0.380 20 0 IBADRN Cn1c2cccc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)c2oc1=O ZINC000757801769 1072937455 /nfs/dbraw/zinc/93/74/55/1072937455.db2.gz GGPSAHFPHGJVCT-ZDUSSCGKSA-N 0 0 437.474 -0.380 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cccnc2I)CC1 ZINC000757807059 1072937854 /nfs/dbraw/zinc/93/78/54/1072937854.db2.gz YNYFIJXFNVLFEU-UHFFFAOYSA-N 0 0 427.206 -0.343 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2=O ZINC000757833752 1072937845 /nfs/dbraw/zinc/93/78/45/1072937845.db2.gz CQZRBDXTUFXNCR-GHMZBOCLSA-N 0 0 449.464 -0.861 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2=O ZINC000757833753 1072937838 /nfs/dbraw/zinc/93/78/38/1072937838.db2.gz CQZRBDXTUFXNCR-QWRGUYRKSA-N 0 0 449.464 -0.861 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)C2=O ZINC000757833754 1072937955 /nfs/dbraw/zinc/93/79/55/1072937955.db2.gz CQZRBDXTUFXNCR-ZJJZBOPKSA-N 0 0 449.464 -0.861 20 0 IBADRN NC(=O)CN1CCCN(c2nc3ccccc3c(N3CCCN(CC(N)=O)CC3)n2)CC1 ZINC000757836899 1072937983 /nfs/dbraw/zinc/93/79/83/1072937983.db2.gz FZIGHAHCTJGUTB-UHFFFAOYSA-N 0 0 440.552 -0.375 20 0 IBADRN NC(=O)CN1CCCN(c2ncc(C3CC3)c(N3CCCN(CC(N)=O)CC3)n2)CC1 ZINC000757840690 1072938141 /nfs/dbraw/zinc/93/81/41/1072938141.db2.gz FCJCCOGTOMTMAV-UHFFFAOYSA-N 0 0 430.557 -0.651 20 0 IBADRN CC(C)OC(=O)CNC(=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC000757840707 1072937866 /nfs/dbraw/zinc/93/78/66/1072937866.db2.gz QCKQHUCZVXISIL-UHFFFAOYSA-N 0 0 433.509 -0.097 20 0 IBADRN CC(C)c1cc(N2CCCN(CC(N)=O)CC2)nc(N2CCCN(CC(N)=O)CC2)n1 ZINC000757842013 1072938114 /nfs/dbraw/zinc/93/81/14/1072938114.db2.gz JLEVJLJVJKAGPH-UHFFFAOYSA-N 0 0 432.573 -0.405 20 0 IBADRN NC(=O)CN1CCCN(c2nc3cccnc3nc2N2CCCN(CC(N)=O)CC2)CC1 ZINC000757845050 1072938122 /nfs/dbraw/zinc/93/81/22/1072938122.db2.gz YXNABGZUBNNFTE-UHFFFAOYSA-N 0 0 441.540 -0.980 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000757845256 1072937830 /nfs/dbraw/zinc/93/78/30/1072937830.db2.gz VHINZPGFOZNNNS-CHWSQXEVSA-N 0 0 441.510 -0.012 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000757845257 1072938138 /nfs/dbraw/zinc/93/81/38/1072938138.db2.gz VHINZPGFOZNNNS-IVWMJMPLSA-N 0 0 441.510 -0.012 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)OCCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000757845258 1072937939 /nfs/dbraw/zinc/93/79/39/1072937939.db2.gz VHINZPGFOZNNNS-STQMWFEESA-N 0 0 441.510 -0.012 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)c2cnn(C)c2N)CC1 ZINC000757856909 1072938509 /nfs/dbraw/zinc/93/85/09/1072938509.db2.gz HRJDLNQLYFLOIW-UHFFFAOYSA-N 0 0 441.535 -0.139 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)n1nnnc1C(C)(C)C ZINC000757857044 1072938132 /nfs/dbraw/zinc/93/81/32/1072938132.db2.gz LLQKQEFGTRBIAB-CHWSQXEVSA-N 0 0 448.571 -0.807 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)n1nnnc1C(C)(C)C ZINC000757857052 1072937967 /nfs/dbraw/zinc/93/79/67/1072937967.db2.gz LLQKQEFGTRBIAB-OLZOCXBDSA-N 0 0 448.571 -0.807 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)n1nnnc1C(C)(C)C ZINC000757857053 1072937822 /nfs/dbraw/zinc/93/78/22/1072937822.db2.gz LLQKQEFGTRBIAB-QWHCGFSZSA-N 0 0 448.571 -0.807 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)n1nnnc1C(C)(C)C ZINC000757857054 1072938151 /nfs/dbraw/zinc/93/81/51/1072938151.db2.gz LLQKQEFGTRBIAB-STQMWFEESA-N 0 0 448.571 -0.807 20 0 IBADRN CN1CCCc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc21 ZINC000757868286 1072938430 /nfs/dbraw/zinc/93/84/30/1072938430.db2.gz LZSLHZVTHGBPDX-UHFFFAOYSA-N 0 0 444.579 -0.048 20 0 IBADRN Cn1cc(S(=O)(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC000757871339 1072938552 /nfs/dbraw/zinc/93/85/52/1072938552.db2.gz XUCXWYNEVLBMCF-UHFFFAOYSA-N 0 0 445.542 -0.695 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000757871737 1072938414 /nfs/dbraw/zinc/93/84/14/1072938414.db2.gz YABGISWQMNOEKY-UHFFFAOYSA-N 0 0 442.604 -0.212 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cccnc2I)CC1 ZINC000757881724 1072938655 /nfs/dbraw/zinc/93/86/55/1072938655.db2.gz HVVFAUNAUSQQDY-UHFFFAOYSA-N 0 0 438.291 -0.007 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)c1 ZINC000757902256 1072938485 /nfs/dbraw/zinc/93/84/85/1072938485.db2.gz AYHGSSUOADFHDZ-UHFFFAOYSA-N 0 0 449.555 -0.325 20 0 IBADRN COCCS(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000757902777 1072938518 /nfs/dbraw/zinc/93/85/18/1072938518.db2.gz JNCTUHXJJHJPKE-UHFFFAOYSA-N 0 0 427.545 -0.471 20 0 IBADRN CN(C1CC1)S(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000757903249 1072938603 /nfs/dbraw/zinc/93/86/03/1072938603.db2.gz NRGVPWHTCUXPQK-UHFFFAOYSA-N 0 0 438.572 -0.108 20 0 IBADRN CCN(C)S(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000757903331 1072938641 /nfs/dbraw/zinc/93/86/41/1072938641.db2.gz PBHABQLEZWBIOK-UHFFFAOYSA-N 0 0 426.561 -0.250 20 0 IBADRN Cc1n[nH]cc1S(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000757903726 1072938690 /nfs/dbraw/zinc/93/86/90/1072938690.db2.gz QYLVAJFBWNSBAV-UHFFFAOYSA-N 0 0 449.555 -0.026 20 0 IBADRN COC[C@@H](C)S(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000757903746 1072938445 /nfs/dbraw/zinc/93/84/45/1072938445.db2.gz RWLIZPGHWSAHRO-CYBMUJFWSA-N 0 0 441.572 -0.082 20 0 IBADRN COC[C@H](C)S(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000757903747 1072938595 /nfs/dbraw/zinc/93/85/95/1072938595.db2.gz RWLIZPGHWSAHRO-ZDUSSCGKSA-N 0 0 441.572 -0.082 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(S(=O)(=O)[C@@H]3CCOC3)C2)CC1 ZINC000757903762 1072938618 /nfs/dbraw/zinc/93/86/18/1072938618.db2.gz UANMMVIFQBODTH-CYBMUJFWSA-N 0 0 439.556 -0.328 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(S(=O)(=O)[C@H]3CCOC3)C2)CC1 ZINC000757903763 1072938578 /nfs/dbraw/zinc/93/85/78/1072938578.db2.gz UANMMVIFQBODTH-ZDUSSCGKSA-N 0 0 439.556 -0.328 20 0 IBADRN Cn1c2ncc(C(=O)Nc3ccc(N4CCOCC4)cc3C(N)=O)cc2c(=O)n(C)c1=O ZINC000757963453 1072939113 /nfs/dbraw/zinc/93/91/13/1072939113.db2.gz BORADFRPTDNHLY-UHFFFAOYSA-N 0 0 438.444 -0.180 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)Nc1ccc(NC(=O)CCN2C(=O)CNC2=O)cc1 ZINC000758040097 1072939032 /nfs/dbraw/zinc/93/90/32/1072939032.db2.gz NXXAFBHGJANVAE-UHFFFAOYSA-N 0 0 440.482 -0.372 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OC[C@H](C)CS(C)(=O)=O)s1 ZINC000758042123 1072939024 /nfs/dbraw/zinc/93/90/24/1072939024.db2.gz XFDNGKIDXYYWIG-JTQLQIEISA-N 0 0 426.538 -0.114 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OC[C@@H](C)CS(C)(=O)=O)s1 ZINC000758042131 1072938946 /nfs/dbraw/zinc/93/89/46/1072938946.db2.gz XFDNGKIDXYYWIG-SNVBAGLBSA-N 0 0 426.538 -0.114 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000758087826 1072941559 /nfs/dbraw/zinc/94/15/59/1072941559.db2.gz IOWWEMPXZFCMAF-HNNXBMFYSA-N 0 0 444.897 -0.086 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000758087828 1072941504 /nfs/dbraw/zinc/94/15/04/1072941504.db2.gz IOWWEMPXZFCMAF-OAHLLOKOSA-N 0 0 444.897 -0.086 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)n1 ZINC000758088303 1072941593 /nfs/dbraw/zinc/94/15/93/1072941593.db2.gz JEGQJCIKWDVSCN-LLVKDONJSA-N 0 0 430.874 -0.444 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)n1 ZINC000758088316 1072941570 /nfs/dbraw/zinc/94/15/70/1072941570.db2.gz JEGQJCIKWDVSCN-NSHDSACASA-N 0 0 430.874 -0.444 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000758090421 1072942076 /nfs/dbraw/zinc/94/20/76/1072942076.db2.gz MZAPKSNMKOPANM-CYBMUJFWSA-N 0 0 449.913 -0.447 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000758090424 1072942053 /nfs/dbraw/zinc/94/20/53/1072942053.db2.gz MZAPKSNMKOPANM-ZDUSSCGKSA-N 0 0 449.913 -0.447 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N(C)C ZINC000758090639 1072942013 /nfs/dbraw/zinc/94/20/13/1072942013.db2.gz OXIAQOPVEXESEB-GWCFXTLKSA-N 0 0 435.886 -0.885 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N(C)C ZINC000758090642 1072942030 /nfs/dbraw/zinc/94/20/30/1072942030.db2.gz OXIAQOPVEXESEB-GXFFZTMASA-N 0 0 435.886 -0.885 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N(C)C ZINC000758090643 1072942062 /nfs/dbraw/zinc/94/20/62/1072942062.db2.gz OXIAQOPVEXESEB-MFKMUULPSA-N 0 0 435.886 -0.885 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N(C)C ZINC000758090644 1072942065 /nfs/dbraw/zinc/94/20/65/1072942065.db2.gz OXIAQOPVEXESEB-ZWNOBZJWSA-N 0 0 435.886 -0.885 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NC(=O)NC1CC1 ZINC000758092041 1072941995 /nfs/dbraw/zinc/94/19/95/1072941995.db2.gz QHRMYPVWCPPQIJ-NOZJJQNGSA-N 0 0 433.870 -0.101 20 0 IBADRN C[C@@H](OC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NC(=O)NC1CC1 ZINC000758092060 1072942058 /nfs/dbraw/zinc/94/20/58/1072942058.db2.gz QHRMYPVWCPPQIJ-RNCFNFMXSA-N 0 0 433.870 -0.101 20 0 IBADRN C[C@H](OC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NC(=O)NC1CC1 ZINC000758092062 1072941989 /nfs/dbraw/zinc/94/19/89/1072941989.db2.gz QHRMYPVWCPPQIJ-TVQRCGJNSA-N 0 0 433.870 -0.101 20 0 IBADRN C[C@H](OC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NC(=O)NC1CC1 ZINC000758092065 1072942005 /nfs/dbraw/zinc/94/20/05/1072942005.db2.gz QHRMYPVWCPPQIJ-ZANVPECISA-N 0 0 433.870 -0.101 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000758092068 1072942033 /nfs/dbraw/zinc/94/20/33/1072942033.db2.gz QHTNSAZDLVHELC-HNNXBMFYSA-N 0 0 447.897 -0.787 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000758092070 1072941999 /nfs/dbraw/zinc/94/19/99/1072941999.db2.gz QHTNSAZDLVHELC-OAHLLOKOSA-N 0 0 447.897 -0.787 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)n(C)c1=O ZINC000758092864 1072942038 /nfs/dbraw/zinc/94/20/38/1072942038.db2.gz UKBPTWVWBGRVRE-CYBMUJFWSA-N 0 0 431.854 -0.880 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)n(C)c1=O ZINC000758092886 1072942047 /nfs/dbraw/zinc/94/20/47/1072942047.db2.gz UKBPTWVWBGRVRE-ZDUSSCGKSA-N 0 0 431.854 -0.880 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000758093992 1072942565 /nfs/dbraw/zinc/94/25/65/1072942565.db2.gz UGAMWHZOZAQVIB-KBPBESRZSA-N 0 0 447.897 -0.611 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000758093993 1072942553 /nfs/dbraw/zinc/94/25/53/1072942553.db2.gz UGAMWHZOZAQVIB-KGLIPLIRSA-N 0 0 447.897 -0.611 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000758093994 1072942511 /nfs/dbraw/zinc/94/25/11/1072942511.db2.gz UGAMWHZOZAQVIB-UONOGXRCSA-N 0 0 447.897 -0.611 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000758093995 1072942532 /nfs/dbraw/zinc/94/25/32/1072942532.db2.gz UGAMWHZOZAQVIB-ZIAGYGMSSA-N 0 0 447.897 -0.611 20 0 IBADRN O=C(COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)NCC(=O)N1CCCC1 ZINC000758094041 1072942463 /nfs/dbraw/zinc/94/24/63/1072942463.db2.gz URNYYXVYUMGEOM-AWEZNQCLSA-N 0 0 447.897 -0.739 20 0 IBADRN O=C(COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)NCC(=O)N1CCCC1 ZINC000758094042 1072942488 /nfs/dbraw/zinc/94/24/88/1072942488.db2.gz URNYYXVYUMGEOM-CQSZACIVSA-N 0 0 447.897 -0.739 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1=O ZINC000758095693 1072942403 /nfs/dbraw/zinc/94/24/03/1072942403.db2.gz ZSOYMECTXSZMBD-GFCCVEGCSA-N 0 0 433.870 -0.147 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1=O ZINC000758095695 1072942390 /nfs/dbraw/zinc/94/23/90/1072942390.db2.gz ZSOYMECTXSZMBD-LBPRGKRZSA-N 0 0 433.870 -0.147 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(Br)c(S(N)(=O)=O)c1 ZINC000758152879 1072942375 /nfs/dbraw/zinc/94/23/75/1072942375.db2.gz CYAKAIQMTVHPOJ-UHFFFAOYSA-N 0 0 443.345 -0.341 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC000758153137 1072942975 /nfs/dbraw/zinc/94/29/75/1072942975.db2.gz GPDIGMFVJCKFFB-KRWDZBQOSA-N 0 0 429.474 -0.459 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC000758153138 1072942882 /nfs/dbraw/zinc/94/28/82/1072942882.db2.gz GPDIGMFVJCKFFB-QGZVFWFLSA-N 0 0 429.474 -0.459 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCNS(=O)(=O)NC(C)C)C2=O)cc1 ZINC000758154056 1072942866 /nfs/dbraw/zinc/94/28/66/1072942866.db2.gz OQTGUZKIVKWDEE-GOSISDBHSA-N 0 0 425.511 -0.289 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCNS(=O)(=O)NC(C)C)C2=O)cc1 ZINC000758154057 1072942921 /nfs/dbraw/zinc/94/29/21/1072942921.db2.gz OQTGUZKIVKWDEE-SFHVURJKSA-N 0 0 425.511 -0.289 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N(C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000758156698 1072943001 /nfs/dbraw/zinc/94/30/01/1072943001.db2.gz BNMYIRHXHWPQRY-UHFFFAOYSA-N 0 0 442.538 -0.124 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCNS(=O)(=O)NC(C)C)C2=O)cc1 ZINC000758172892 1072943049 /nfs/dbraw/zinc/94/30/49/1072943049.db2.gz JKUAANYXPRPPIY-GOSISDBHSA-N 0 0 441.510 -0.589 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCNS(=O)(=O)NC(C)C)C2=O)cc1 ZINC000758172896 1072942854 /nfs/dbraw/zinc/94/28/54/1072942854.db2.gz JKUAANYXPRPPIY-SFHVURJKSA-N 0 0 441.510 -0.589 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000758173061 1072943064 /nfs/dbraw/zinc/94/30/64/1072943064.db2.gz LMZFVXLYJYSACU-AWEZNQCLSA-N 0 0 449.574 -0.159 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000758173071 1072942984 /nfs/dbraw/zinc/94/29/84/1072942984.db2.gz LMZFVXLYJYSACU-CQSZACIVSA-N 0 0 449.574 -0.159 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000758173702 1072942943 /nfs/dbraw/zinc/94/29/43/1072942943.db2.gz OKJBBWYVZLOYCR-UHFFFAOYSA-N 0 0 449.374 -0.280 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000758173746 1072942892 /nfs/dbraw/zinc/94/28/92/1072942892.db2.gz QMJNBTAZDFMBME-CYBMUJFWSA-N 0 0 435.547 -0.549 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000758173747 1072942934 /nfs/dbraw/zinc/94/29/34/1072942934.db2.gz QMJNBTAZDFMBME-ZDUSSCGKSA-N 0 0 435.547 -0.549 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000758173763 1072942927 /nfs/dbraw/zinc/94/29/27/1072942927.db2.gz RQDWCNLJSBEHFY-UHFFFAOYSA-N 0 0 445.567 -0.204 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(-n2cc(Br)cn2)nn1 ZINC000758175178 1072943015 /nfs/dbraw/zinc/94/30/15/1072943015.db2.gz PSTQPONBHVUUCT-UHFFFAOYSA-N 0 0 432.304 -0.013 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000758175852 1072943039 /nfs/dbraw/zinc/94/30/39/1072943039.db2.gz MYBRIWJESASPLJ-UHFFFAOYSA-N 0 0 444.535 -0.764 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCNS(=O)(=O)NC(C)C)C1=O ZINC000758175878 1072942994 /nfs/dbraw/zinc/94/29/94/1072942994.db2.gz ULLPNPYLIUNQNU-GOSISDBHSA-N 0 0 441.510 -0.589 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCNS(=O)(=O)NC(C)C)C1=O ZINC000758175880 1072943056 /nfs/dbraw/zinc/94/30/56/1072943056.db2.gz ULLPNPYLIUNQNU-SFHVURJKSA-N 0 0 441.510 -0.589 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000758175997 1072942908 /nfs/dbraw/zinc/94/29/08/1072942908.db2.gz NPXHVBQLMWEPKC-UHFFFAOYSA-N 0 0 436.531 -0.862 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC000758190329 1072943518 /nfs/dbraw/zinc/94/35/18/1072943518.db2.gz FIVDZXWGSLQZSQ-UHFFFAOYSA-N 0 0 434.540 -0.241 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)s1 ZINC000758190339 1072943403 /nfs/dbraw/zinc/94/34/03/1072943403.db2.gz FVZQFDGWNDASPY-UHFFFAOYSA-N 0 0 428.558 -0.243 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1cc(S(C)(=O)=O)sc1Cl ZINC000758191209 1072943574 /nfs/dbraw/zinc/94/35/74/1072943574.db2.gz KLXIIEYCMIKVJS-UHFFFAOYSA-N 0 0 439.990 -0.084 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)c(Br)o1 ZINC000758192255 1072943388 /nfs/dbraw/zinc/94/33/88/1072943388.db2.gz NWTNXCNXHDFEEZ-UHFFFAOYSA-N 0 0 448.317 -0.061 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1Cl ZINC000758194381 1072943589 /nfs/dbraw/zinc/94/35/89/1072943589.db2.gz VRSXBAOFGVUQFU-UHFFFAOYSA-N 0 0 433.961 -0.146 20 0 IBADRN CC[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000758199809 1072943557 /nfs/dbraw/zinc/94/35/57/1072943557.db2.gz ULNJRRYTNAVMBA-PBHICJAKSA-N 0 0 448.567 -0.811 20 0 IBADRN CC[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000758199820 1072943584 /nfs/dbraw/zinc/94/35/84/1072943584.db2.gz ULNJRRYTNAVMBA-RHSMWYFYSA-N 0 0 448.567 -0.811 20 0 IBADRN CC[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000758199823 1072943492 /nfs/dbraw/zinc/94/34/92/1072943492.db2.gz ULNJRRYTNAVMBA-WMLDXEAASA-N 0 0 448.567 -0.811 20 0 IBADRN CC[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000758199825 1072943504 /nfs/dbraw/zinc/94/35/04/1072943504.db2.gz ULNJRRYTNAVMBA-YOEHRIQHSA-N 0 0 448.567 -0.811 20 0 IBADRN CC[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000758204327 1072943435 /nfs/dbraw/zinc/94/34/35/1072943435.db2.gz HKBGLOOQUUGMMO-GOSISDBHSA-N 0 0 439.494 -0.247 20 0 IBADRN CC[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000758204333 1072943523 /nfs/dbraw/zinc/94/35/23/1072943523.db2.gz HKBGLOOQUUGMMO-SFHVURJKSA-N 0 0 439.494 -0.247 20 0 IBADRN CC[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000758205452 1072943528 /nfs/dbraw/zinc/94/35/28/1072943528.db2.gz MGEJPAFNXDTWPX-GOSISDBHSA-N 0 0 428.559 -0.836 20 0 IBADRN CC[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000758205464 1072943544 /nfs/dbraw/zinc/94/35/44/1072943544.db2.gz MGEJPAFNXDTWPX-SFHVURJKSA-N 0 0 428.559 -0.836 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C[C@@](C)(c4ccccn4)CC3=O)CC2)CC1 ZINC000758208324 1072944091 /nfs/dbraw/zinc/94/40/91/1072944091.db2.gz WKTVJUWOTGMOJZ-FQEVSTJZSA-N 0 0 436.582 -0.361 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C[C@](C)(c4ccccn4)CC3=O)CC2)CC1 ZINC000758208343 1072943945 /nfs/dbraw/zinc/94/39/45/1072943945.db2.gz WKTVJUWOTGMOJZ-HXUWFJFHSA-N 0 0 436.582 -0.361 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C(=S)NC[C@@H]2CCCCO2)CC1 ZINC000758208923 1072943469 /nfs/dbraw/zinc/94/34/69/1072943469.db2.gz ICUWYEAVCYEGNZ-KBPBESRZSA-N 0 0 425.598 -0.436 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C(=S)NC[C@H]2CCCCO2)CC1 ZINC000758208924 1072943567 /nfs/dbraw/zinc/94/35/67/1072943567.db2.gz ICUWYEAVCYEGNZ-KGLIPLIRSA-N 0 0 425.598 -0.436 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C(=S)NC[C@@H]2CCCCO2)CC1 ZINC000758208925 1072944062 /nfs/dbraw/zinc/94/40/62/1072944062.db2.gz ICUWYEAVCYEGNZ-UONOGXRCSA-N 0 0 425.598 -0.436 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C(=S)NC[C@H]2CCCCO2)CC1 ZINC000758208926 1072944004 /nfs/dbraw/zinc/94/40/04/1072944004.db2.gz ICUWYEAVCYEGNZ-ZIAGYGMSSA-N 0 0 425.598 -0.436 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)NCCNS(=O)(=O)NC(C)C)c(=O)[nH]c1=O ZINC000758214897 1072944101 /nfs/dbraw/zinc/94/41/01/1072944101.db2.gz PLKXMLNFXMJFDI-UHFFFAOYSA-N 0 0 439.560 -0.360 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000758224584 1072943960 /nfs/dbraw/zinc/94/39/60/1072943960.db2.gz DPIDUGMWXVLGKT-UHFFFAOYSA-N 0 0 439.478 -0.380 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccnc2Br)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000758238782 1072943938 /nfs/dbraw/zinc/94/39/38/1072943938.db2.gz DLXZDMLHJUZFCX-GFCCVEGCSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccnc2Br)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000758238785 1072943928 /nfs/dbraw/zinc/94/39/28/1072943928.db2.gz DLXZDMLHJUZFCX-LBPRGKRZSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(Br)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000758242581 1072944054 /nfs/dbraw/zinc/94/40/54/1072944054.db2.gz ZNSSGILXWDMPDH-CYBMUJFWSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(Br)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000758242582 1072944128 /nfs/dbraw/zinc/94/41/28/1072944128.db2.gz ZNSSGILXWDMPDH-ZDUSSCGKSA-N 0 0 445.339 -0.095 20 0 IBADRN CN(C)CCN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)[C@H]1CCS(=O)(=O)C1 ZINC000758273097 1072943986 /nfs/dbraw/zinc/94/39/86/1072943986.db2.gz WAJJPDZYFGBOGX-HNNXBMFYSA-N 0 0 446.570 -0.357 20 0 IBADRN CN(C)CCN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000758273100 1072944076 /nfs/dbraw/zinc/94/40/76/1072944076.db2.gz WAJJPDZYFGBOGX-OAHLLOKOSA-N 0 0 446.570 -0.357 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)Cn1c(=O)c3c(ncn3C)n(C)c1=O)C[C@H]2O ZINC000758278096 1072943909 /nfs/dbraw/zinc/94/39/09/1072943909.db2.gz XKVIICYFTNKKEU-GFCCVEGCSA-N 0 0 429.433 -0.473 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)Cn1c(=O)c3c(ncn3C)n(C)c1=O)C[C@@H]2O ZINC000758278099 1072944120 /nfs/dbraw/zinc/94/41/20/1072944120.db2.gz XKVIICYFTNKKEU-LBPRGKRZSA-N 0 0 429.433 -0.473 20 0 IBADRN Cc1ccc([C@@]2(C)CC(=O)N(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000758288181 1072944588 /nfs/dbraw/zinc/94/45/88/1072944588.db2.gz CCNDMDSXJLZRSS-HSZRJFAPSA-N 0 0 442.516 -0.028 20 0 IBADRN Cc1ccc([C@]2(C)CC(=O)N(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000758288182 1072944614 /nfs/dbraw/zinc/94/46/14/1072944614.db2.gz CCNDMDSXJLZRSS-QHCPKHFHSA-N 0 0 442.516 -0.028 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000758288207 1072944478 /nfs/dbraw/zinc/94/44/78/1072944478.db2.gz DGLJEXBCNYPLAT-HOTGVXAUSA-N 0 0 435.525 -0.306 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000758288208 1072944522 /nfs/dbraw/zinc/94/45/22/1072944522.db2.gz DGLJEXBCNYPLAT-HZPDHXFCSA-N 0 0 435.525 -0.306 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000758288209 1072944542 /nfs/dbraw/zinc/94/45/42/1072944542.db2.gz DGLJEXBCNYPLAT-VPTLXERWSA-N 0 0 435.525 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)CC1 ZINC000758289303 1072944567 /nfs/dbraw/zinc/94/45/67/1072944567.db2.gz XEKJYYKSTBCMMO-UHFFFAOYSA-N 0 0 443.473 -0.557 20 0 IBADRN O=c1n(CN2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)nc2cnccn21 ZINC000758289982 1072944640 /nfs/dbraw/zinc/94/46/40/1072944640.db2.gz JZZIVRRKWHWDEI-UHFFFAOYSA-N 0 0 432.491 -0.140 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)C[C@@H]1CCCN1C(=O)OCc1ccccc1 ZINC000758290149 1072944626 /nfs/dbraw/zinc/94/46/26/1072944626.db2.gz VMVYPJKHIBATFC-HNNXBMFYSA-N 0 0 427.479 -0.004 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)C[C@H]1CCCN1C(=O)OCc1ccccc1 ZINC000758290226 1072944578 /nfs/dbraw/zinc/94/45/78/1072944578.db2.gz VMVYPJKHIBATFC-OAHLLOKOSA-N 0 0 427.479 -0.004 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000758291920 1072944513 /nfs/dbraw/zinc/94/45/13/1072944513.db2.gz NDNMSMJGDUTPSB-UHFFFAOYSA-N 0 0 425.451 -0.628 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C2=O ZINC000758293717 1072944488 /nfs/dbraw/zinc/94/44/88/1072944488.db2.gz XGKGNSKVZVMJNZ-HGKCTWBKSA-N 0 0 443.570 -0.115 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C2=O ZINC000758293730 1072944605 /nfs/dbraw/zinc/94/46/05/1072944605.db2.gz XGKGNSKVZVMJNZ-HOTGVXAUSA-N 0 0 443.570 -0.115 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C2=O ZINC000758293734 1072944681 /nfs/dbraw/zinc/94/46/81/1072944681.db2.gz XGKGNSKVZVMJNZ-HZPDHXFCSA-N 0 0 443.570 -0.115 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(Cn3nc4cnccn4c3=O)CC2)c1 ZINC000758294887 1072944530 /nfs/dbraw/zinc/94/45/30/1072944530.db2.gz NOFRGKHXIAMPPU-UHFFFAOYSA-N 0 0 438.491 -0.297 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(Cn3nc4cnccn4c3=O)CC2)c1 ZINC000758294941 1072944649 /nfs/dbraw/zinc/94/46/49/1072944649.db2.gz PHCPAEOUZFNSTR-UHFFFAOYSA-N 0 0 434.478 -0.128 20 0 IBADRN Cn1ncc(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)c1N ZINC000758297118 1072945009 /nfs/dbraw/zinc/94/50/09/1072945009.db2.gz YQFIFAPFOZVQQQ-UHFFFAOYSA-N 0 0 428.515 -0.291 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)NCCC(=O)NC(N)=O)c2)CC1 ZINC000758298122 1072945163 /nfs/dbraw/zinc/94/51/63/1072945163.db2.gz LWWKSNJILZWPFD-UHFFFAOYSA-N 0 0 445.929 -0.019 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cn3nc(CO)n(C4CC4)c3=S)CC2)CC1 ZINC000758301348 1072945037 /nfs/dbraw/zinc/94/50/37/1072945037.db2.gz UPSJZYLLUPGXLG-UHFFFAOYSA-N 0 0 431.588 -0.691 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000758301798 1072945057 /nfs/dbraw/zinc/94/50/57/1072945057.db2.gz XMDHWFJVCMDMAO-UHFFFAOYSA-N 0 0 432.524 -0.208 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000758305839 1072945148 /nfs/dbraw/zinc/94/51/48/1072945148.db2.gz KISVDEUWYBNRGY-GJZGRUSLSA-N 0 0 433.509 -0.016 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000758305841 1072945096 /nfs/dbraw/zinc/94/50/96/1072945096.db2.gz KISVDEUWYBNRGY-HUUCEWRRSA-N 0 0 433.509 -0.016 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000758305846 1072945107 /nfs/dbraw/zinc/94/51/07/1072945107.db2.gz KISVDEUWYBNRGY-USGNIVHTSA-N 0 0 433.509 -0.016 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(Cn2ncn(C)c2=O)CC1 ZINC000758306299 1072945152 /nfs/dbraw/zinc/94/51/52/1072945152.db2.gz MXOIRSOYRUZDMJ-UHFFFAOYSA-N 0 0 429.524 -0.742 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000758314416 1072945124 /nfs/dbraw/zinc/94/51/24/1072945124.db2.gz OEQWOHVIGAFDJV-CYBMUJFWSA-N 0 0 430.453 -0.308 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000758314422 1072945022 /nfs/dbraw/zinc/94/50/22/1072945022.db2.gz OEQWOHVIGAFDJV-ZDUSSCGKSA-N 0 0 430.453 -0.308 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H]2CCCN2C(=O)OCc2ccccc2)CC1 ZINC000758318698 1072945069 /nfs/dbraw/zinc/94/50/69/1072945069.db2.gz SGRZNYOFMFLSNZ-INIZCTEOSA-N 0 0 446.551 -0.062 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)C[C@H]2CCCN2C(=O)OCc2ccccc2)CC1 ZINC000758318716 1072944973 /nfs/dbraw/zinc/94/49/73/1072944973.db2.gz SGRZNYOFMFLSNZ-MRXNPFEDSA-N 0 0 446.551 -0.062 20 0 IBADRN O=c1n(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nc2n1CCCC2 ZINC000758325289 1072945140 /nfs/dbraw/zinc/94/51/40/1072945140.db2.gz OIZJMKNVDFHNEI-UHFFFAOYSA-N 0 0 448.505 -0.324 20 0 IBADRN O=c1n(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nc2cnccn21 ZINC000758327235 1072944964 /nfs/dbraw/zinc/94/49/64/1072944964.db2.gz ZXGACDGTJLOJKV-UHFFFAOYSA-N 0 0 445.461 -0.814 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H]3CCc4nc(COC)nn4C3)cc2S1(=O)=O ZINC000758328177 1072945159 /nfs/dbraw/zinc/94/51/59/1072945159.db2.gz OSKWSUDLLPTDMZ-CYBMUJFWSA-N 0 0 449.489 -0.040 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H]3CCc4nc(COC)nn4C3)cc2S1(=O)=O ZINC000758328178 1072945048 /nfs/dbraw/zinc/94/50/48/1072945048.db2.gz OSKWSUDLLPTDMZ-ZDUSSCGKSA-N 0 0 449.489 -0.040 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(c4nc(F)c(F)cc4F)CC3)c2c(=O)n(C)c1=O ZINC000758339488 1072945116 /nfs/dbraw/zinc/94/51/16/1072945116.db2.gz WLWDLIIVPVOHKU-UHFFFAOYSA-N 0 0 437.382 -0.405 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000758342063 1072945083 /nfs/dbraw/zinc/94/50/83/1072945083.db2.gz GFXIFHPKQKALEP-GOSISDBHSA-N 0 0 426.495 -0.083 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000758342065 1072945130 /nfs/dbraw/zinc/94/51/30/1072945130.db2.gz GFXIFHPKQKALEP-SFHVURJKSA-N 0 0 426.495 -0.083 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)NCCC(=O)NC(N)=O)c1 ZINC000758345784 1072945653 /nfs/dbraw/zinc/94/56/53/1072945653.db2.gz CLJPEURMIRCCOV-UHFFFAOYSA-N 0 0 428.286 -0.284 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCN(c3nc(F)c(F)cc3F)CC1)c(=O)n2C ZINC000758347189 1072945561 /nfs/dbraw/zinc/94/55/61/1072945561.db2.gz MHYNUAVGSZRLLJ-UHFFFAOYSA-N 0 0 437.382 -0.405 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000758351254 1072945664 /nfs/dbraw/zinc/94/56/64/1072945664.db2.gz NYWQRGXXTNTPNZ-AWEZNQCLSA-N 0 0 429.499 -0.987 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)O[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000758351259 1072945533 /nfs/dbraw/zinc/94/55/33/1072945533.db2.gz NYWQRGXXTNTPNZ-CQSZACIVSA-N 0 0 429.499 -0.987 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000758351750 1072945641 /nfs/dbraw/zinc/94/56/41/1072945641.db2.gz RZXCSXAOGZZVFI-UHFFFAOYSA-N 0 0 431.486 -0.027 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000758352031 1072945496 /nfs/dbraw/zinc/94/54/96/1072945496.db2.gz WOSACJIDKHQKSN-UHFFFAOYSA-N 0 0 427.435 -0.650 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000758352388 1072945443 /nfs/dbraw/zinc/94/54/43/1072945443.db2.gz ZPBKAIJHQWVNPU-UHFFFAOYSA-N 0 0 445.450 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCOC[C@@H]1CCO ZINC000758353246 1072945460 /nfs/dbraw/zinc/94/54/60/1072945460.db2.gz ATJFMLQZXDBJBQ-INIZCTEOSA-N 0 0 427.527 -0.674 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCOC[C@H]1CCO ZINC000758353247 1072945672 /nfs/dbraw/zinc/94/56/72/1072945672.db2.gz ATJFMLQZXDBJBQ-MRXNPFEDSA-N 0 0 427.527 -0.674 20 0 IBADRN CC1(C)OCC(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CO1 ZINC000758353873 1072945693 /nfs/dbraw/zinc/94/56/93/1072945693.db2.gz KZQWTSGSAMVZQF-UHFFFAOYSA-N 0 0 427.527 -0.016 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCc1nnnn1CC(F)(F)F ZINC000758383193 1072945686 /nfs/dbraw/zinc/94/56/86/1072945686.db2.gz OUXUZFSSMCKKFL-UHFFFAOYSA-N 0 0 438.388 -0.048 20 0 IBADRN CC(C)C[C@H]1CCC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000758388430 1072945593 /nfs/dbraw/zinc/94/55/93/1072945593.db2.gz CYLNSAFENGSOEC-CVEARBPZSA-N 0 0 449.595 -0.110 20 0 IBADRN CC(C)C[C@@H]1CCC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000758388443 1072945628 /nfs/dbraw/zinc/94/56/28/1072945628.db2.gz CYLNSAFENGSOEC-HOTGVXAUSA-N 0 0 449.595 -0.110 20 0 IBADRN CC(C)C[C@H]1CCC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000758388446 1072945657 /nfs/dbraw/zinc/94/56/57/1072945657.db2.gz CYLNSAFENGSOEC-HZPDHXFCSA-N 0 0 449.595 -0.110 20 0 IBADRN CC(C)C[C@@H]1CCC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000758388449 1072945425 /nfs/dbraw/zinc/94/54/25/1072945425.db2.gz CYLNSAFENGSOEC-JKSUJKDBSA-N 0 0 449.595 -0.110 20 0 IBADRN CC(C)C[C@@H]1CCC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000758391901 1072945619 /nfs/dbraw/zinc/94/56/19/1072945619.db2.gz SQEMEUWDKLMBMB-KRWDZBQOSA-N 0 0 429.587 -0.135 20 0 IBADRN CC(C)C[C@H]1CCC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000758391903 1072945603 /nfs/dbraw/zinc/94/56/03/1072945603.db2.gz SQEMEUWDKLMBMB-QGZVFWFLSA-N 0 0 429.587 -0.135 20 0 IBADRN O=C(OCc1nnnn1CC(F)(F)F)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000758396955 1072945515 /nfs/dbraw/zinc/94/55/15/1072945515.db2.gz IMBLJEKNDUYGNZ-UHFFFAOYSA-N 0 0 448.383 -0.287 20 0 IBADRN O=C(OCc1nnnn1CC(F)(F)F)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000758400456 1072946092 /nfs/dbraw/zinc/94/60/92/1072946092.db2.gz WCQQTONTSGWTED-UHFFFAOYSA-N 0 0 448.383 -0.287 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC(=O)CSc1ncnn1C ZINC000758414251 1072946040 /nfs/dbraw/zinc/94/60/40/1072946040.db2.gz GCUJPKVXWZIJAI-UHFFFAOYSA-N 0 0 428.496 -0.777 20 0 IBADRN CC(C)(C)OC(=O)NCc1nnnn1CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000758423160 1072946079 /nfs/dbraw/zinc/94/60/79/1072946079.db2.gz UBMOAFYTAMETDC-UHFFFAOYSA-N 0 0 437.482 -0.066 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000758423880 1072946163 /nfs/dbraw/zinc/94/61/63/1072946163.db2.gz RTPIHNNPTJSLLO-AWEZNQCLSA-N 0 0 434.540 -0.923 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000758423883 1072946131 /nfs/dbraw/zinc/94/61/31/1072946131.db2.gz RTPIHNNPTJSLLO-CQSZACIVSA-N 0 0 434.540 -0.923 20 0 IBADRN Cn1c2nc(Cl)n(Cn3nnc4c(cnn4-c4ccccc4)c3=O)c2c(=O)n(C)c1=O ZINC000758429405 1072946177 /nfs/dbraw/zinc/94/61/77/1072946177.db2.gz JZOWVRCVEBSTCH-UHFFFAOYSA-N 0 0 439.823 -0.117 20 0 IBADRN COCCN(C(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000758433954 1072946073 /nfs/dbraw/zinc/94/60/73/1072946073.db2.gz XVISPCAQRGTJMP-CYBMUJFWSA-N 0 0 429.520 -0.271 20 0 IBADRN COCCN(C(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000758433960 1072946147 /nfs/dbraw/zinc/94/61/47/1072946147.db2.gz XVISPCAQRGTJMP-ZDUSSCGKSA-N 0 0 429.520 -0.271 20 0 IBADRN CC1(C)CN=C(N2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)S1 ZINC000758444112 1072946119 /nfs/dbraw/zinc/94/61/19/1072946119.db2.gz CNARMLQPAMSXHF-UHFFFAOYSA-N 0 0 425.602 -0.693 20 0 IBADRN CN(C)CCN(C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000758445964 1072946584 /nfs/dbraw/zinc/94/65/84/1072946584.db2.gz RBWOQCYSQWUYMG-HRAATJIYSA-N 0 0 448.545 -0.043 20 0 IBADRN CN(C)CCN(C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000758445976 1072946684 /nfs/dbraw/zinc/94/66/84/1072946684.db2.gz RBWOQCYSQWUYMG-IERDGZPVSA-N 0 0 448.545 -0.043 20 0 IBADRN CN(C)CCN(C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000758445982 1072946627 /nfs/dbraw/zinc/94/66/27/1072946627.db2.gz RBWOQCYSQWUYMG-IIBYNOLFSA-N 0 0 448.545 -0.043 20 0 IBADRN CN(C)CCN(C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000758445987 1072946556 /nfs/dbraw/zinc/94/65/56/1072946556.db2.gz RBWOQCYSQWUYMG-KKSFZXQISA-N 0 0 448.545 -0.043 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)cc3c2N1CCC3 ZINC000758448798 1072946690 /nfs/dbraw/zinc/94/66/90/1072946690.db2.gz BDVHYAADZAQIEO-UHFFFAOYSA-N 0 0 443.547 -0.148 20 0 IBADRN CN(C)CCN(C(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)[C@H]1CCS(=O)(=O)C1 ZINC000758449834 1072946695 /nfs/dbraw/zinc/94/66/95/1072946695.db2.gz JTFPILRPSUPKGN-AWEZNQCLSA-N 0 0 442.563 -0.355 20 0 IBADRN CN(C)CCN(C(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000758449836 1072946664 /nfs/dbraw/zinc/94/66/64/1072946664.db2.gz JTFPILRPSUPKGN-CQSZACIVSA-N 0 0 442.563 -0.355 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)c1=O ZINC000758453090 1072946651 /nfs/dbraw/zinc/94/66/51/1072946651.db2.gz WDRZXGMWQVECCV-HNNXBMFYSA-N 0 0 436.534 -0.240 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)c1=O ZINC000758453093 1072946641 /nfs/dbraw/zinc/94/66/41/1072946641.db2.gz WDRZXGMWQVECCV-OAHLLOKOSA-N 0 0 436.534 -0.240 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)OCc3nnnn3CC(F)(F)F)CC2=O)c1 ZINC000758456625 1072946670 /nfs/dbraw/zinc/94/66/70/1072946670.db2.gz AJYSPYSAIHEJEY-SECBINFHSA-N 0 0 448.383 -0.021 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)OCc3nnnn3CC(F)(F)F)CC2=O)c1 ZINC000758456626 1072946635 /nfs/dbraw/zinc/94/66/35/1072946635.db2.gz AJYSPYSAIHEJEY-VIFPVBQESA-N 0 0 448.383 -0.021 20 0 IBADRN Cn1ncc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)c1N ZINC000758458494 1072946597 /nfs/dbraw/zinc/94/65/97/1072946597.db2.gz TZHBOQZPIKADHX-UHFFFAOYSA-N 0 0 431.496 -0.463 20 0 IBADRN CCS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000758469288 1072946623 /nfs/dbraw/zinc/94/66/23/1072946623.db2.gz HLJMAXYJGNYXNY-UHFFFAOYSA-N 0 0 440.565 -0.237 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccccc2CN2CCOCC2)CC1 ZINC000758469634 1072946672 /nfs/dbraw/zinc/94/66/72/1072946672.db2.gz HGAPTFQYJRMTKO-UHFFFAOYSA-N 0 0 432.521 -0.092 20 0 IBADRN CCS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(OC)c([C@]2(C)NC(=O)NC2=O)c1 ZINC000758471165 1072946607 /nfs/dbraw/zinc/94/66/07/1072946607.db2.gz RXHGJPXCIVGSHS-INIZCTEOSA-N 0 0 433.508 -0.195 20 0 IBADRN CCS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(OC)c([C@@]2(C)NC(=O)NC2=O)c1 ZINC000758471167 1072946667 /nfs/dbraw/zinc/94/66/67/1072946667.db2.gz RXHGJPXCIVGSHS-MRXNPFEDSA-N 0 0 433.508 -0.195 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000758472100 1072947160 /nfs/dbraw/zinc/94/71/60/1072947160.db2.gz KAZXFAICOUQJPK-GFCCVEGCSA-N 0 0 449.507 -0.873 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000758472101 1072947044 /nfs/dbraw/zinc/94/70/44/1072947044.db2.gz KAZXFAICOUQJPK-LBPRGKRZSA-N 0 0 449.507 -0.873 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000758475053 1072947114 /nfs/dbraw/zinc/94/71/14/1072947114.db2.gz WHCJYQNCPSQABY-CYBMUJFWSA-N 0 0 433.508 -1.000 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000758475064 1072947023 /nfs/dbraw/zinc/94/70/23/1072947023.db2.gz WHCJYQNCPSQABY-ZDUSSCGKSA-N 0 0 433.508 -1.000 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCc1ccc(COC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000758475668 1072947142 /nfs/dbraw/zinc/94/71/42/1072947142.db2.gz CMFYOYPOUWVERB-RYUDHWBXSA-N 0 0 436.508 -0.352 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCc1ccc(COC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000758475689 1072947205 /nfs/dbraw/zinc/94/72/05/1072947205.db2.gz CMFYOYPOUWVERB-TXEJJXNPSA-N 0 0 436.508 -0.352 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCc1ccc(COC(=O)[C@@H](C)NS(C)(=O)=O)cc1 ZINC000758475692 1072947125 /nfs/dbraw/zinc/94/71/25/1072947125.db2.gz CMFYOYPOUWVERB-VXGBXAGGSA-N 0 0 436.508 -0.352 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)NCCOC)CC2)n(C)c1 ZINC000758475770 1072946972 /nfs/dbraw/zinc/94/69/72/1072946972.db2.gz VJHSYWVDHLOHEH-UHFFFAOYSA-N 0 0 443.570 -0.424 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000758476187 1072947107 /nfs/dbraw/zinc/94/71/07/1072947107.db2.gz GAXVWQCZKOYARL-CYBMUJFWSA-N 0 0 427.479 -0.744 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000758476188 1072947096 /nfs/dbraw/zinc/94/70/96/1072947096.db2.gz GAXVWQCZKOYARL-ZDUSSCGKSA-N 0 0 427.479 -0.744 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000758476812 1072947173 /nfs/dbraw/zinc/94/71/73/1072947173.db2.gz LLDBBKLFLRTURI-KPZWWZAWSA-N 0 0 440.478 -0.558 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000758476813 1072947150 /nfs/dbraw/zinc/94/71/50/1072947150.db2.gz LLDBBKLFLRTURI-KZULUSFZSA-N 0 0 440.478 -0.558 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000758476814 1072947199 /nfs/dbraw/zinc/94/71/99/1072947199.db2.gz LLDBBKLFLRTURI-SGTLLEGYSA-N 0 0 440.478 -0.558 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000758476815 1072947070 /nfs/dbraw/zinc/94/70/70/1072947070.db2.gz LLDBBKLFLRTURI-XIKOKIGWSA-N 0 0 440.478 -0.558 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000758476906 1072947213 /nfs/dbraw/zinc/94/72/13/1072947213.db2.gz MIQFCJYOJMTBOQ-CYBMUJFWSA-N 0 0 444.485 -0.611 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000758476921 1072947181 /nfs/dbraw/zinc/94/71/81/1072947181.db2.gz MIQFCJYOJMTBOQ-ZDUSSCGKSA-N 0 0 444.485 -0.611 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)[C@H](C)NS(C)(=O)=O)c(=O)[nH]c1=O ZINC000758476937 1072947642 /nfs/dbraw/zinc/94/76/42/1072947642.db2.gz MLPQYIZPGMBBIJ-JTQLQIEISA-N 0 0 433.487 -0.835 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)[C@@H](C)NS(C)(=O)=O)c(=O)[nH]c1=O ZINC000758476952 1072947630 /nfs/dbraw/zinc/94/76/30/1072947630.db2.gz MLPQYIZPGMBBIJ-SNVBAGLBSA-N 0 0 433.487 -0.835 20 0 IBADRN O=C(COC(=O)c1ccccc1CN1CCOCC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000758478056 1072947821 /nfs/dbraw/zinc/94/78/21/1072947821.db2.gz DUBWJXWPAJQLFW-HNNXBMFYSA-N 0 0 439.490 -0.312 20 0 IBADRN O=C(COC(=O)c1ccccc1CN1CCOCC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000758478057 1072947650 /nfs/dbraw/zinc/94/76/50/1072947650.db2.gz DUBWJXWPAJQLFW-OAHLLOKOSA-N 0 0 439.490 -0.312 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000758479020 1072947580 /nfs/dbraw/zinc/94/75/80/1072947580.db2.gz BQSRWGHGUKTRHR-CYBMUJFWSA-N 0 0 436.508 -0.433 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000758479024 1072947828 /nfs/dbraw/zinc/94/78/28/1072947828.db2.gz BQSRWGHGUKTRHR-ZDUSSCGKSA-N 0 0 436.508 -0.433 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000758479506 1072947714 /nfs/dbraw/zinc/94/77/14/1072947714.db2.gz VGAFSIYAFIAGPY-AWEZNQCLSA-N 0 0 425.507 -0.253 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCc1ccccc1COC(=O)[C@H](C)NS(C)(=O)=O ZINC000758479518 1072947852 /nfs/dbraw/zinc/94/78/52/1072947852.db2.gz BADHOVIAROLRIB-RYUDHWBXSA-N 0 0 436.508 -0.352 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCc1ccccc1COC(=O)[C@H](C)NS(C)(=O)=O ZINC000758479523 1072947605 /nfs/dbraw/zinc/94/76/05/1072947605.db2.gz BADHOVIAROLRIB-TXEJJXNPSA-N 0 0 436.508 -0.352 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCc1ccccc1COC(=O)[C@@H](C)NS(C)(=O)=O ZINC000758479528 1072947620 /nfs/dbraw/zinc/94/76/20/1072947620.db2.gz BADHOVIAROLRIB-VXGBXAGGSA-N 0 0 436.508 -0.352 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000758479595 1072947756 /nfs/dbraw/zinc/94/77/56/1072947756.db2.gz VGAFSIYAFIAGPY-CQSZACIVSA-N 0 0 425.507 -0.253 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000758479989 1072947806 /nfs/dbraw/zinc/94/78/06/1072947806.db2.gz WKMKSENBQFJGMJ-NEPJUHHUSA-N 0 0 438.549 -0.255 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000758479997 1072947668 /nfs/dbraw/zinc/94/76/68/1072947668.db2.gz WKMKSENBQFJGMJ-NWDGAFQWSA-N 0 0 438.549 -0.255 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000758480004 1072947837 /nfs/dbraw/zinc/94/78/37/1072947837.db2.gz WKMKSENBQFJGMJ-RYUDHWBXSA-N 0 0 438.549 -0.255 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000758480012 1072947738 /nfs/dbraw/zinc/94/77/38/1072947738.db2.gz WKMKSENBQFJGMJ-VXGBXAGGSA-N 0 0 438.549 -0.255 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)NCC(=O)Nc1ccccc1Br ZINC000758481034 1072947684 /nfs/dbraw/zinc/94/76/84/1072947684.db2.gz DTWASBOONPLWBX-SECBINFHSA-N 0 0 436.284 -0.015 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)NCC(=O)Nc1ccccc1Br ZINC000758481039 1072947780 /nfs/dbraw/zinc/94/77/80/1072947780.db2.gz DTWASBOONPLWBX-VIFPVBQESA-N 0 0 436.284 -0.015 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000758483030 1072947814 /nfs/dbraw/zinc/94/78/14/1072947814.db2.gz IPPYEIGPJIFAQY-GFCCVEGCSA-N 0 0 433.508 -0.110 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000758483033 1072947695 /nfs/dbraw/zinc/94/76/95/1072947695.db2.gz IPPYEIGPJIFAQY-LBPRGKRZSA-N 0 0 433.508 -0.110 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@H](C)NS(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000758484907 1072947791 /nfs/dbraw/zinc/94/77/91/1072947791.db2.gz LNBSGFDPKVWTAW-JTQLQIEISA-N 0 0 437.496 -0.635 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@@H](C)NS(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000758484912 1072948270 /nfs/dbraw/zinc/94/82/70/1072948270.db2.gz LNBSGFDPKVWTAW-SNVBAGLBSA-N 0 0 437.496 -0.635 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCc1nnnn1CC(F)(F)F ZINC000758486049 1072948282 /nfs/dbraw/zinc/94/82/82/1072948282.db2.gz RQBHVVMUEBMXFK-MRVPVSSYSA-N 0 0 425.393 -0.004 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCc1nnnn1CC(F)(F)F ZINC000758486050 1072948155 /nfs/dbraw/zinc/94/81/55/1072948155.db2.gz RQBHVVMUEBMXFK-QMMMGPOBSA-N 0 0 425.393 -0.004 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCc1cccc(COC(=O)[C@H](C)NS(C)(=O)=O)c1 ZINC000758486697 1072948305 /nfs/dbraw/zinc/94/83/05/1072948305.db2.gz UAADYRFTYYCSSA-RYUDHWBXSA-N 0 0 436.508 -0.352 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCc1cccc(COC(=O)[C@H](C)NS(C)(=O)=O)c1 ZINC000758486699 1072948219 /nfs/dbraw/zinc/94/82/19/1072948219.db2.gz UAADYRFTYYCSSA-TXEJJXNPSA-N 0 0 436.508 -0.352 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCc1cccc(COC(=O)[C@@H](C)NS(C)(=O)=O)c1 ZINC000758486701 1072948230 /nfs/dbraw/zinc/94/82/30/1072948230.db2.gz UAADYRFTYYCSSA-VXGBXAGGSA-N 0 0 436.508 -0.352 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000758488568 1072948185 /nfs/dbraw/zinc/94/81/85/1072948185.db2.gz UDXOPBCSWRDPLU-GFCCVEGCSA-N 0 0 447.535 -0.303 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000758488569 1072948294 /nfs/dbraw/zinc/94/82/94/1072948294.db2.gz UDXOPBCSWRDPLU-LBPRGKRZSA-N 0 0 447.535 -0.303 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000758489074 1072948234 /nfs/dbraw/zinc/94/82/34/1072948234.db2.gz MYDJOVSTKOFXFQ-GFCCVEGCSA-N 0 0 449.507 -0.873 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000758489075 1072948169 /nfs/dbraw/zinc/94/81/69/1072948169.db2.gz MYDJOVSTKOFXFQ-LBPRGKRZSA-N 0 0 449.507 -0.873 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000758489261 1072948251 /nfs/dbraw/zinc/94/82/51/1072948251.db2.gz OVDAGJVFXBRZCQ-AWEZNQCLSA-N 0 0 447.535 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000758489262 1072948180 /nfs/dbraw/zinc/94/81/80/1072948180.db2.gz OVDAGJVFXBRZCQ-CQSZACIVSA-N 0 0 447.535 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000758490252 1072948262 /nfs/dbraw/zinc/94/82/62/1072948262.db2.gz XJPCPJKSLMZGGR-BXUZGUMPSA-N 0 0 434.467 -0.050 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC000758490255 1072948317 /nfs/dbraw/zinc/94/83/17/1072948317.db2.gz XJPCPJKSLMZGGR-FZMZJTMJSA-N 0 0 434.467 -0.050 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC000758490257 1072948165 /nfs/dbraw/zinc/94/81/65/1072948165.db2.gz XJPCPJKSLMZGGR-RISCZKNCSA-N 0 0 434.467 -0.050 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000758490259 1072948176 /nfs/dbraw/zinc/94/81/76/1072948176.db2.gz XJPCPJKSLMZGGR-SMDDNHRTSA-N 0 0 434.467 -0.050 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@@H](O)[C@@H](O)C2)ccc1I ZINC000758492417 1072948193 /nfs/dbraw/zinc/94/81/93/1072948193.db2.gz IPOOHOZIVZYSPI-PHIMTYICSA-N 0 0 427.216 -0.196 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)CCNC(=O)OCc2ccccc2)C1 ZINC000758492812 1072948241 /nfs/dbraw/zinc/94/82/41/1072948241.db2.gz IEZMINVSOVQCJA-HNNXBMFYSA-N 0 0 434.540 -0.252 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)CCNC(=O)OCc2ccccc2)C1 ZINC000758492813 1072948203 /nfs/dbraw/zinc/94/82/03/1072948203.db2.gz IEZMINVSOVQCJA-OAHLLOKOSA-N 0 0 434.540 -0.252 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H](C)NS(C)(=O)=O)C1=O ZINC000758494634 1072948748 /nfs/dbraw/zinc/94/87/48/1072948748.db2.gz PWROAVAKFPCYLN-APPDUMDISA-N 0 0 426.451 -0.644 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H](C)NS(C)(=O)=O)C1=O ZINC000758494675 1072948224 /nfs/dbraw/zinc/94/82/24/1072948224.db2.gz PWROAVAKFPCYLN-DIFFPNOSSA-N 0 0 426.451 -0.644 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H](C)NS(C)(=O)=O)C1=O ZINC000758494683 1072948752 /nfs/dbraw/zinc/94/87/52/1072948752.db2.gz PWROAVAKFPCYLN-GTNSWQLSSA-N 0 0 426.451 -0.644 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H](C)NS(C)(=O)=O)C1=O ZINC000758494688 1072948787 /nfs/dbraw/zinc/94/87/87/1072948787.db2.gz PWROAVAKFPCYLN-PIGZYNQJSA-N 0 0 426.451 -0.644 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)C2CN(C(=O)OCc3ccccc3)C2)C1 ZINC000758496995 1072948720 /nfs/dbraw/zinc/94/87/20/1072948720.db2.gz WVCZDNXCDMMZEG-HNNXBMFYSA-N 0 0 446.551 -0.158 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)C2CN(C(=O)OCc3ccccc3)C2)C1 ZINC000758496998 1072948766 /nfs/dbraw/zinc/94/87/66/1072948766.db2.gz WVCZDNXCDMMZEG-OAHLLOKOSA-N 0 0 446.551 -0.158 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)C(=O)OC)ccc1I ZINC000758499924 1072948819 /nfs/dbraw/zinc/94/88/19/1072948819.db2.gz QGUWLZAFOCTCRR-JTQLQIEISA-N 0 0 443.215 -0.110 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)cc1C(=O)NCC(F)(F)F ZINC000758501014 1071613143 /nfs/dbraw/zinc/61/31/43/1071613143.db2.gz AXRGIVFAPXVJDK-UHFFFAOYSA-N 0 0 440.444 -0.174 20 0 IBADRN O=C(NCCO)C1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC000758501837 1072948831 /nfs/dbraw/zinc/94/88/31/1072948831.db2.gz HXGLWBMQYHPXOZ-UHFFFAOYSA-N 0 0 431.536 -0.264 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCOC[C@@H]2CCO)CC1 ZINC000758502138 1072948826 /nfs/dbraw/zinc/94/88/26/1072948826.db2.gz JJZKNNWTIUQOKS-KRWDZBQOSA-N 0 0 426.539 -0.085 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCOC[C@H]2CCO)CC1 ZINC000758502139 1072948810 /nfs/dbraw/zinc/94/88/10/1072948810.db2.gz JJZKNNWTIUQOKS-QGZVFWFLSA-N 0 0 426.539 -0.085 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H](CO)C(=O)OC)ccc1I ZINC000758504219 1072948774 /nfs/dbraw/zinc/94/87/74/1072948774.db2.gz QGUWLZAFOCTCRR-SNVBAGLBSA-N 0 0 443.215 -0.110 20 0 IBADRN COC(=O)c1cccc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000758509163 1072948897 /nfs/dbraw/zinc/94/88/97/1072948897.db2.gz XMTFPSOCYOTLEK-INIZCTEOSA-N 0 0 444.531 -0.323 20 0 IBADRN COC(=O)c1cccc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000758509172 1072948783 /nfs/dbraw/zinc/94/87/83/1072948783.db2.gz XMTFPSOCYOTLEK-MRXNPFEDSA-N 0 0 444.531 -0.323 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCc1nnnn1CC(F)(F)F ZINC000758512017 1072948791 /nfs/dbraw/zinc/94/87/91/1072948791.db2.gz CRMWECICVKKWBT-MRVPVSSYSA-N 0 0 436.372 -0.256 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCc1nnnn1CC(F)(F)F ZINC000758512340 1072948758 /nfs/dbraw/zinc/94/87/58/1072948758.db2.gz CRMWECICVKKWBT-QMMMGPOBSA-N 0 0 436.372 -0.256 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000758526490 1072948728 /nfs/dbraw/zinc/94/87/28/1072948728.db2.gz UGHAFHUMFZPLJG-UHFFFAOYSA-N 0 0 436.579 -0.329 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000758529130 1072948878 /nfs/dbraw/zinc/94/88/78/1072948878.db2.gz CFZMNUMOXXAYGG-UHFFFAOYSA-N 0 0 432.481 -0.489 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000758558316 1072949313 /nfs/dbraw/zinc/94/93/13/1072949313.db2.gz GDZNMQRYEAFQMW-UHFFFAOYSA-N 0 0 449.551 -0.432 20 0 IBADRN NC(=O)c1cncc(OS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)c1 ZINC000758562925 1072949297 /nfs/dbraw/zinc/94/92/97/1072949297.db2.gz YJFWSMRIJZNRHY-UHFFFAOYSA-N 0 0 427.460 -0.031 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000758563615 1072949237 /nfs/dbraw/zinc/94/92/37/1072949237.db2.gz XWRBOVRVKHXKJR-UHFFFAOYSA-N 0 0 437.444 -0.008 20 0 IBADRN NC(=O)C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000758596356 1072949833 /nfs/dbraw/zinc/94/98/33/1072949833.db2.gz RUVRKNMKXKNHQH-ZDUSSCGKSA-N 0 0 433.494 -0.061 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)n1 ZINC000758611580 1072949820 /nfs/dbraw/zinc/94/98/20/1072949820.db2.gz SSDIORIUNIMJJV-UHFFFAOYSA-N 0 0 425.471 -0.373 20 0 IBADRN Cc1c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cnn1CCO[C@H]1CCCCO1 ZINC000758623936 1072949801 /nfs/dbraw/zinc/94/98/01/1072949801.db2.gz NVDMNURKSZSLIT-HNNXBMFYSA-N 0 0 449.464 -0.246 20 0 IBADRN Cc1c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cnn1CCO[C@@H]1CCCCO1 ZINC000758623940 1072949977 /nfs/dbraw/zinc/94/99/77/1072949977.db2.gz NVDMNURKSZSLIT-OAHLLOKOSA-N 0 0 449.464 -0.246 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000758628368 1072949921 /nfs/dbraw/zinc/94/99/21/1072949921.db2.gz QTGLWLRZIQWPSE-CYBMUJFWSA-N 0 0 432.524 -0.640 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000758628369 1072949951 /nfs/dbraw/zinc/94/99/51/1072949951.db2.gz QTGLWLRZIQWPSE-ZDUSSCGKSA-N 0 0 432.524 -0.640 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCC2CCN(S(=O)(=O)CC)CC2)CC1 ZINC000758637575 1072949770 /nfs/dbraw/zinc/94/97/70/1072949770.db2.gz ZONPIYDCAHABHJ-UHFFFAOYSA-N 0 0 426.561 -0.343 20 0 IBADRN COC(=O)c1cc(NC(=O)NCCS(=O)(=O)N2CCOCC2)cc(S(C)(=O)=O)c1 ZINC000758643224 1072949868 /nfs/dbraw/zinc/94/98/68/1072949868.db2.gz JICCMETWEQUAIQ-UHFFFAOYSA-N 0 0 449.507 -0.340 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000758709998 1072950433 /nfs/dbraw/zinc/95/04/33/1072950433.db2.gz SLBCDSLIGSXJID-UHFFFAOYSA-N 0 0 447.583 -0.391 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000758731908 1072950379 /nfs/dbraw/zinc/95/03/79/1072950379.db2.gz HQUPKXCIIIQMHN-UHFFFAOYSA-N 0 0 435.519 -0.618 20 0 IBADRN O=C(CN1c2ccccc2OCCC1=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000758741764 1072950527 /nfs/dbraw/zinc/95/05/27/1072950527.db2.gz GDDTZOTZHUIKKG-UHFFFAOYSA-N 0 0 430.461 -0.668 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1Cl ZINC000758743737 1072950476 /nfs/dbraw/zinc/95/04/76/1072950476.db2.gz MYIAYCNVSYQAIW-UHFFFAOYSA-N 0 0 443.909 -0.113 20 0 IBADRN Cc1ccc(N2C[C@@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2=O)cc1 ZINC000758744433 1072950543 /nfs/dbraw/zinc/95/05/43/1072950543.db2.gz QSTUKWGLSIHKRQ-KRWDZBQOSA-N 0 0 428.489 -0.122 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)CC2=O)cc1 ZINC000758744436 1072950563 /nfs/dbraw/zinc/95/05/63/1072950563.db2.gz QSTUKWGLSIHKRQ-QGZVFWFLSA-N 0 0 428.489 -0.122 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000758746584 1072950587 /nfs/dbraw/zinc/95/05/87/1072950587.db2.gz WMWLDMXEDWMYKH-UHFFFAOYSA-N 0 0 443.909 -0.113 20 0 IBADRN O=C1CN(CCS(=O)(=O)CCN2CC(=O)N[C@@H]3CCCC[C@@H]32)[C@H]2CCCC[C@H]2N1 ZINC000758783873 1072951016 /nfs/dbraw/zinc/95/10/16/1072951016.db2.gz AJFXRKNVFCMYKD-BDXSIMOUSA-N 0 0 426.583 -0.113 20 0 IBADRN O=C1CN(CCS(=O)(=O)CCN2CC(=O)N[C@H]3CCCC[C@H]32)[C@H]2CCCC[C@H]2N1 ZINC000758783877 1072950973 /nfs/dbraw/zinc/95/09/73/1072950973.db2.gz AJFXRKNVFCMYKD-FZDBZEDMSA-N 0 0 426.583 -0.113 20 0 IBADRN O=C1CN(CCS(=O)(=O)CCN2CC(=O)N[C@H]3CCCC[C@@H]32)[C@H]2CCCC[C@H]2N1 ZINC000758783881 1072950904 /nfs/dbraw/zinc/95/09/04/1072950904.db2.gz AJFXRKNVFCMYKD-MHORFTMASA-N 0 0 426.583 -0.113 20 0 IBADRN O=C1CN(CCS(=O)(=O)CCN2CC(=O)N[C@@H]3CCCC[C@@H]32)[C@@H]2CCCC[C@H]2N1 ZINC000758783883 1072951061 /nfs/dbraw/zinc/95/10/61/1072951061.db2.gz AJFXRKNVFCMYKD-TVFCKZIOSA-N 0 0 426.583 -0.113 20 0 IBADRN Cc1nc(COc2cccc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c2)no1 ZINC000758784073 1072950913 /nfs/dbraw/zinc/95/09/13/1072950913.db2.gz DDGRQEIEOSWFPH-UHFFFAOYSA-N 0 0 429.389 -0.024 20 0 IBADRN Cn1nc(Br)cc1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000758784519 1072950882 /nfs/dbraw/zinc/95/08/82/1072950882.db2.gz AWLHVOMOKYEXAQ-LLVKDONJSA-N 0 0 449.327 -0.329 20 0 IBADRN Cn1nc(Br)cc1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000758784522 1072951040 /nfs/dbraw/zinc/95/10/40/1072951040.db2.gz AWLHVOMOKYEXAQ-NSHDSACASA-N 0 0 449.327 -0.329 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000758785439 1072950965 /nfs/dbraw/zinc/95/09/65/1072950965.db2.gz KEERQEMWUNHLMK-UHFFFAOYSA-N 0 0 432.433 -0.992 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000758786548 1072950939 /nfs/dbraw/zinc/95/09/39/1072950939.db2.gz OIQHIYQVLNNPHG-UHFFFAOYSA-N 0 0 427.479 -0.833 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000758786643 1072950981 /nfs/dbraw/zinc/95/09/81/1072950981.db2.gz OZRGTTJBEZDTFN-UHFFFAOYSA-N 0 0 443.478 -0.706 20 0 IBADRN COCC(=O)N(C)CC(=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000758795505 1072951006 /nfs/dbraw/zinc/95/10/06/1072951006.db2.gz IFEPTFPKYDSVHM-UHFFFAOYSA-N 0 0 430.479 -0.266 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000758795899 1072951415 /nfs/dbraw/zinc/95/14/15/1072951415.db2.gz NNCIXOFWANPZJS-UHFFFAOYSA-N 0 0 438.456 -0.444 20 0 IBADRN COCC(=O)N(C)CC(=O)O[C@H](C)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000758796521 1072951086 /nfs/dbraw/zinc/95/10/86/1072951086.db2.gz ABSFBDWORXQSHS-GFCCVEGCSA-N 0 0 445.494 -0.080 20 0 IBADRN COCC(=O)N(C)CC(=O)O[C@@H](C)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000758796523 1072951049 /nfs/dbraw/zinc/95/10/49/1072951049.db2.gz ABSFBDWORXQSHS-LBPRGKRZSA-N 0 0 445.494 -0.080 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000758797071 1072951430 /nfs/dbraw/zinc/95/14/30/1072951430.db2.gz AWUMICMFVAAZPO-UHFFFAOYSA-N 0 0 441.506 -0.691 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC000758797439 1072951514 /nfs/dbraw/zinc/95/15/14/1072951514.db2.gz AXJGVBVJHVLPLC-UHFFFAOYSA-N 0 0 440.478 -0.256 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000758799206 1072951596 /nfs/dbraw/zinc/95/15/96/1072951596.db2.gz LHQRAWGMMIHJRC-IBGZPJMESA-N 0 0 436.421 -0.859 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000758799215 1072951527 /nfs/dbraw/zinc/95/15/27/1072951527.db2.gz LHQRAWGMMIHJRC-LJQANCHMSA-N 0 0 436.421 -0.859 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000758799361 1072951651 /nfs/dbraw/zinc/95/16/51/1072951651.db2.gz WJRDZQPVTYLGBT-UHFFFAOYSA-N 0 0 445.469 -0.693 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000758799945 1072951423 /nfs/dbraw/zinc/95/14/23/1072951423.db2.gz YDINNSKCZOJLDX-UHFFFAOYSA-N 0 0 431.467 -0.468 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000758799982 1072951439 /nfs/dbraw/zinc/95/14/39/1072951439.db2.gz YULXCARSXJLTLW-UHFFFAOYSA-N 0 0 441.506 -0.136 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000758800067 1072951658 /nfs/dbraw/zinc/95/16/58/1072951658.db2.gz TVWHUXDRMNERPE-CYBMUJFWSA-N 0 0 432.520 -0.088 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000758800069 1072951635 /nfs/dbraw/zinc/95/16/35/1072951635.db2.gz TVWHUXDRMNERPE-ZDUSSCGKSA-N 0 0 432.520 -0.088 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000758800089 1072951583 /nfs/dbraw/zinc/95/15/83/1072951583.db2.gz UCBUDTFBCVFXQB-KRWDZBQOSA-N 0 0 449.460 -0.855 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000758800090 1072951544 /nfs/dbraw/zinc/95/15/44/1072951544.db2.gz UCBUDTFBCVFXQB-QGZVFWFLSA-N 0 0 449.460 -0.855 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000758800962 1072951444 /nfs/dbraw/zinc/95/14/44/1072951444.db2.gz PMEHRYAGOPGQHD-UHFFFAOYSA-N 0 0 445.469 -0.693 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000758801143 1072951487 /nfs/dbraw/zinc/95/14/87/1072951487.db2.gz SQWDHFRBKLOHGI-UHFFFAOYSA-N 0 0 445.469 -0.693 20 0 IBADRN COCC(=O)N(C)CC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000758801180 1072951609 /nfs/dbraw/zinc/95/16/09/1072951609.db2.gz VUIDIVZFYFEVFV-UHFFFAOYSA-N 0 0 443.478 -0.706 20 0 IBADRN CNC(=O)c1cccc(OCC(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c1 ZINC000758836257 1072951644 /nfs/dbraw/zinc/95/16/44/1072951644.db2.gz CRKMNTAYHDJVOG-UHFFFAOYSA-N 0 0 446.460 -0.050 20 0 IBADRN CNC(=O)c1cccc(OCC(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000758840121 1072951616 /nfs/dbraw/zinc/95/16/16/1072951616.db2.gz QNSPIYWBINXNST-UHFFFAOYSA-N 0 0 429.433 -0.194 20 0 IBADRN CCn1cc(C(=O)C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000758859381 1072951498 /nfs/dbraw/zinc/95/14/98/1072951498.db2.gz INPVPCMOILSRME-CABCVRRESA-N 0 0 427.479 -0.176 20 0 IBADRN CCn1cc(C(=O)C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000758859382 1072951559 /nfs/dbraw/zinc/95/15/59/1072951559.db2.gz INPVPCMOILSRME-GJZGRUSLSA-N 0 0 427.479 -0.176 20 0 IBADRN CCn1cc(C(=O)C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000758859383 1072951572 /nfs/dbraw/zinc/95/15/72/1072951572.db2.gz INPVPCMOILSRME-HUUCEWRRSA-N 0 0 427.479 -0.176 20 0 IBADRN CCn1cc(C(=O)C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000758859385 1072952230 /nfs/dbraw/zinc/95/22/30/1072952230.db2.gz INPVPCMOILSRME-LSDHHAIUSA-N 0 0 427.479 -0.176 20 0 IBADRN CCn1cc(C(=O)C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1 ZINC000758860025 1072952157 /nfs/dbraw/zinc/95/21/57/1072952157.db2.gz RTASIAJWRCNOFC-UHFFFAOYSA-N 0 0 426.437 -0.346 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)CC1(C)OCCO1 ZINC000758865228 1072951934 /nfs/dbraw/zinc/95/19/34/1072951934.db2.gz WEMBETOAPCYORA-INIZCTEOSA-N 0 0 433.575 -0.405 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)CC1(C)OCCO1 ZINC000758865249 1072952240 /nfs/dbraw/zinc/95/22/40/1072952240.db2.gz WEMBETOAPCYORA-MRXNPFEDSA-N 0 0 433.575 -0.405 20 0 IBADRN COC(=O)c1cccc(CC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000758901500 1072951960 /nfs/dbraw/zinc/95/19/60/1072951960.db2.gz GGPSKUMEEHAGFQ-KRWDZBQOSA-N 0 0 438.502 -0.110 20 0 IBADRN COC(=O)c1cccc(CC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000758901501 1072951999 /nfs/dbraw/zinc/95/19/99/1072951999.db2.gz GGPSKUMEEHAGFQ-QGZVFWFLSA-N 0 0 438.502 -0.110 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000758955512 1072951979 /nfs/dbraw/zinc/95/19/79/1072951979.db2.gz DUUAWJLGIRUWJR-UHFFFAOYSA-N 0 0 439.482 -0.568 20 0 IBADRN COC(=O)c1cn(C2CN(CN3C(=O)C(=O)N(CCc4ccc(OC)cc4)C3=O)C2)nn1 ZINC000758968743 1072952094 /nfs/dbraw/zinc/95/20/94/1072952094.db2.gz FRLPHCWJKGRHOK-UHFFFAOYSA-N 0 0 442.432 -0.079 20 0 IBADRN COC(=O)c1cn(C2CN(Cn3c(=O)oc4cc(S(=O)(=O)N(C)C)ccc43)C2)nn1 ZINC000758970001 1072951916 /nfs/dbraw/zinc/95/19/16/1072951916.db2.gz MQICXBLISGFLIP-UHFFFAOYSA-N 0 0 436.450 -0.263 20 0 IBADRN COC(=O)c1cn(C2CN(CN3C(=O)C(=O)N(Cc4ccc(OC)cc4)C3=O)C2)nn1 ZINC000758970578 1072951890 /nfs/dbraw/zinc/95/18/90/1072951890.db2.gz QJHRJNLAOCFOJX-UHFFFAOYSA-N 0 0 428.405 -0.122 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000758985169 1072952011 /nfs/dbraw/zinc/95/20/11/1072952011.db2.gz BDUUDNGSKGWWNZ-HNNXBMFYSA-N 0 0 428.511 -0.710 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000758985170 1072952220 /nfs/dbraw/zinc/95/22/20/1072952220.db2.gz BDUUDNGSKGWWNZ-OAHLLOKOSA-N 0 0 428.511 -0.710 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1S(C)(=O)=O ZINC000758986956 1072952110 /nfs/dbraw/zinc/95/21/10/1072952110.db2.gz FTRLVCGJXFQUMW-UHFFFAOYSA-N 0 0 433.508 -0.885 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccn(-c2ncccc2F)n1 ZINC000758989780 1072952033 /nfs/dbraw/zinc/95/20/33/1072952033.db2.gz WHQUHGLGDCWZEA-UHFFFAOYSA-N 0 0 426.430 -0.877 20 0 IBADRN Cc1nn(C)c(C)c1OCC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000758992253 1072952575 /nfs/dbraw/zinc/95/25/75/1072952575.db2.gz YQSZBRNGINLODU-UHFFFAOYSA-N 0 0 442.480 -0.016 20 0 IBADRN COC(=O)C[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000759001140 1072952824 /nfs/dbraw/zinc/95/28/24/1072952824.db2.gz FHXLBJZLECTKIC-LLVKDONJSA-N 0 0 431.467 -0.133 20 0 IBADRN COC(=O)C[C@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000759001141 1072952769 /nfs/dbraw/zinc/95/27/69/1072952769.db2.gz FHXLBJZLECTKIC-NSHDSACASA-N 0 0 431.467 -0.133 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3(CNC(=O)OC(C)(C)C)CC3)CC2)CC1 ZINC000759010626 1072952632 /nfs/dbraw/zinc/95/26/32/1072952632.db2.gz NPXOMLYGEXUPOL-UHFFFAOYSA-N 0 0 445.586 -0.072 20 0 IBADRN COCCOc1ccc(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000759015737 1072952744 /nfs/dbraw/zinc/95/27/44/1072952744.db2.gz BODTVRZNRBPYCR-AWEZNQCLSA-N 0 0 428.463 -0.190 20 0 IBADRN COCCOc1ccc(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000759015740 1072952866 /nfs/dbraw/zinc/95/28/66/1072952866.db2.gz BODTVRZNRBPYCR-CQSZACIVSA-N 0 0 428.463 -0.190 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccccn3)n2)CC1 ZINC000759022278 1072952756 /nfs/dbraw/zinc/95/27/56/1072952756.db2.gz KGQIHEDOLGRUAP-UHFFFAOYSA-N 0 0 427.465 -0.540 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccccn3)n2)CC1 ZINC000759023412 1072952798 /nfs/dbraw/zinc/95/27/98/1072952798.db2.gz WCBGKPVTRHSZOU-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN O=C(Nc1ccn(Cc2ccccn2)n1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000759023556 1072952783 /nfs/dbraw/zinc/95/27/83/1072952783.db2.gz ZHECWECPASJINP-UHFFFAOYSA-N 0 0 425.449 -0.786 20 0 IBADRN O=C(Nc1ccn(Cc2ccccn2)n1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000759023824 1072952727 /nfs/dbraw/zinc/95/27/27/1072952727.db2.gz ZRZMMFSRVXPWDW-UHFFFAOYSA-N 0 0 439.476 -0.442 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H](O)COc2cccc(F)c2)n1)N1CCOCC1 ZINC000759026908 1072952704 /nfs/dbraw/zinc/95/27/04/1072952704.db2.gz LQQHAHWTXHPQPL-HNNXBMFYSA-N 0 0 449.439 -0.624 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H](O)COc2cccc(F)c2)n1)N1CCOCC1 ZINC000759026920 1072952812 /nfs/dbraw/zinc/95/28/12/1072952812.db2.gz LQQHAHWTXHPQPL-OAHLLOKOSA-N 0 0 449.439 -0.624 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000759028794 1072952857 /nfs/dbraw/zinc/95/28/57/1072952857.db2.gz RPCOBILSDJDDJQ-AWEZNQCLSA-N 0 0 436.469 -0.583 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000759028797 1072952674 /nfs/dbraw/zinc/95/26/74/1072952674.db2.gz RPCOBILSDJDDJQ-CQSZACIVSA-N 0 0 436.469 -0.583 20 0 IBADRN Cc1cc([C@@](C)(O)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c(C)o1 ZINC000759029178 1072952614 /nfs/dbraw/zinc/95/26/14/1072952614.db2.gz CWOYRUVXLCVHEF-FQEVSTJZSA-N 0 0 433.465 -0.086 20 0 IBADRN Cc1cc([C@](C)(O)CNC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)c(C)o1 ZINC000759029199 1072952597 /nfs/dbraw/zinc/95/25/97/1072952597.db2.gz CWOYRUVXLCVHEF-HXUWFJFHSA-N 0 0 433.465 -0.086 20 0 IBADRN CCc1onc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000759029689 1072952846 /nfs/dbraw/zinc/95/28/46/1072952846.db2.gz XBJZIGVRMRIMTO-GFCCVEGCSA-N 0 0 448.523 -0.855 20 0 IBADRN CCc1onc(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000759029690 1072952832 /nfs/dbraw/zinc/95/28/32/1072952832.db2.gz XBJZIGVRMRIMTO-LBPRGKRZSA-N 0 0 448.523 -0.855 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccccn3)n2)CC1 ZINC000759030297 1072953291 /nfs/dbraw/zinc/95/32/91/1072953291.db2.gz ZTNOBCQOHKBROW-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCCC2=O)n1)N1CCOCC1 ZINC000759030707 1072953268 /nfs/dbraw/zinc/95/32/68/1072953268.db2.gz GPVJKFXXQXQNJG-INIZCTEOSA-N 0 0 446.508 -0.316 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCCC2=O)n1)N1CCOCC1 ZINC000759030709 1072953386 /nfs/dbraw/zinc/95/33/86/1072953386.db2.gz GPVJKFXXQXQNJG-MRXNPFEDSA-N 0 0 446.508 -0.316 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H](O)c2c(F)cccc2F)n1)N1CCOCC1 ZINC000759030868 1072953302 /nfs/dbraw/zinc/95/33/02/1072953302.db2.gz JBSRQSRKCBRWOX-AWEZNQCLSA-N 0 0 437.403 -0.192 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H](O)c2c(F)cccc2F)n1)N1CCOCC1 ZINC000759030876 1072953216 /nfs/dbraw/zinc/95/32/16/1072953216.db2.gz JBSRQSRKCBRWOX-CQSZACIVSA-N 0 0 437.403 -0.192 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)Nc1ccn(Cc2ccccn2)n1 ZINC000759031300 1072953359 /nfs/dbraw/zinc/95/33/59/1072953359.db2.gz KLBIRKKLKZRXMA-UHFFFAOYSA-N 0 0 438.535 -0.240 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC000759031721 1072953379 /nfs/dbraw/zinc/95/33/79/1072953379.db2.gz OGTLEOHYFUGLBT-UHFFFAOYSA-N 0 0 436.469 -0.629 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCCCC3=O)C2)n1)N1CCOCC1 ZINC000759031940 1072953284 /nfs/dbraw/zinc/95/32/84/1072953284.db2.gz OFIURTFVAVDXGE-INIZCTEOSA-N 0 0 446.508 -0.316 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCCCC3=O)C2)n1)N1CCOCC1 ZINC000759031942 1072953403 /nfs/dbraw/zinc/95/34/03/1072953403.db2.gz OFIURTFVAVDXGE-MRXNPFEDSA-N 0 0 446.508 -0.316 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NCc2nnc(-c3ccccc3)[nH]2)n1)N1CCOCC1 ZINC000759032437 1072953390 /nfs/dbraw/zinc/95/33/90/1072953390.db2.gz ASCVYKGLVRJTME-UHFFFAOYSA-N 0 0 438.448 -0.218 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)OC(C)(C)C ZINC000759032466 1072953263 /nfs/dbraw/zinc/95/32/63/1072953263.db2.gz AZAITVXOIVOHSN-UHFFFAOYSA-N 0 0 438.485 -0.337 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000759034391 1072953405 /nfs/dbraw/zinc/95/34/05/1072953405.db2.gz YTXHYEGSIZCJFW-UHFFFAOYSA-N 0 0 438.485 -0.289 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccn(Cc3ccccn3)n2)CC1 ZINC000759034839 1072953369 /nfs/dbraw/zinc/95/33/69/1072953369.db2.gz HHXCIVNEZYKSSA-UHFFFAOYSA-N 0 0 429.481 -0.828 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2nc(Cl)cs2)c(=O)n1CC(=O)NCCOC ZINC000759074958 1072953321 /nfs/dbraw/zinc/95/33/21/1072953321.db2.gz JAYUWTYFJGSKLX-UHFFFAOYSA-N 0 0 431.923 -0.045 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000759080692 1072953309 /nfs/dbraw/zinc/95/33/09/1072953309.db2.gz VINQEEBGEODILZ-AWEZNQCLSA-N 0 0 431.492 -0.575 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000759080693 1072953400 /nfs/dbraw/zinc/95/34/00/1072953400.db2.gz VINQEEBGEODILZ-CQSZACIVSA-N 0 0 431.492 -0.575 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(n3cc(C(=O)OC)nn3)CC2)CC1 ZINC000759100433 1072953276 /nfs/dbraw/zinc/95/32/76/1072953276.db2.gz BBWVRMUXGCSAAA-UHFFFAOYSA-N 0 0 430.487 -0.280 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)c1ccc(F)cc1 ZINC000759124645 1072953827 /nfs/dbraw/zinc/95/38/27/1072953827.db2.gz HGTNIQGYMAANKO-FQEVSTJZSA-N 0 0 433.440 -0.157 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)c1ccc(F)cc1 ZINC000759124646 1072953803 /nfs/dbraw/zinc/95/38/03/1072953803.db2.gz HGTNIQGYMAANKO-HXUWFJFHSA-N 0 0 433.440 -0.157 20 0 IBADRN C[C@](O)(CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccc(F)cc1 ZINC000759129645 1072953928 /nfs/dbraw/zinc/95/39/28/1072953928.db2.gz LWWJGHKRDBXKOM-FQEVSTJZSA-N 0 0 433.440 -0.157 20 0 IBADRN C[C@@](O)(CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)c1ccc(F)cc1 ZINC000759129646 1072953911 /nfs/dbraw/zinc/95/39/11/1072953911.db2.gz LWWJGHKRDBXKOM-HXUWFJFHSA-N 0 0 433.440 -0.157 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@](C)(O)c3ccc(F)cc3)cnc2n(C)c1=O ZINC000759131519 1072953949 /nfs/dbraw/zinc/95/39/49/1072953949.db2.gz ZRMJNRZTHBNTDY-FQEVSTJZSA-N 0 0 429.408 -0.266 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@](C)(O)c3ccc(F)cc3)cnc2n(C)c1=O ZINC000759131523 1072953888 /nfs/dbraw/zinc/95/38/88/1072953888.db2.gz ZRMJNRZTHBNTDY-HXUWFJFHSA-N 0 0 429.408 -0.266 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000759149534 1072953939 /nfs/dbraw/zinc/95/39/39/1072953939.db2.gz VAKBIFFLGUSARZ-UHFFFAOYSA-N 0 0 429.930 -0.208 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000759154804 1072953745 /nfs/dbraw/zinc/95/37/45/1072953745.db2.gz CRXGPIWAYZLPJG-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000759155407 1072953790 /nfs/dbraw/zinc/95/37/90/1072953790.db2.gz GFXRUTNIOOCQNE-UHFFFAOYSA-N 0 0 440.522 -0.211 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000759155908 1072953850 /nfs/dbraw/zinc/95/38/50/1072953850.db2.gz FARMQJRVRVEKGX-UHFFFAOYSA-N 0 0 441.506 -0.244 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000759155910 1072953817 /nfs/dbraw/zinc/95/38/17/1072953817.db2.gz IQMYBHYTPQYEEA-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000759156460 1072953854 /nfs/dbraw/zinc/95/38/54/1072953854.db2.gz JUPACAIRVMMKKL-UHFFFAOYSA-N 0 0 435.524 -0.557 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000759156776 1072953756 /nfs/dbraw/zinc/95/37/56/1072953756.db2.gz NKCPUUXTZMNONU-UHFFFAOYSA-N 0 0 425.507 -0.081 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000759157376 1072953899 /nfs/dbraw/zinc/95/38/99/1072953899.db2.gz MKJQFSYINWIQAR-UHFFFAOYSA-N 0 0 447.535 -0.033 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000759158590 1072954513 /nfs/dbraw/zinc/95/45/13/1072954513.db2.gz WOYGTSHFJJDMHY-UHFFFAOYSA-N 0 0 435.524 -0.223 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000759158762 1072954423 /nfs/dbraw/zinc/95/44/23/1072954423.db2.gz BAXVNLVPEQOXTB-UHFFFAOYSA-N 0 0 431.536 -0.281 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000759158776 1072954436 /nfs/dbraw/zinc/95/44/36/1072954436.db2.gz BDIVWCYKTFSXAL-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000759158916 1072954311 /nfs/dbraw/zinc/95/43/11/1072954311.db2.gz DFMMFQBKSQVGNA-UHFFFAOYSA-N 0 0 447.535 -0.033 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000759159593 1072954381 /nfs/dbraw/zinc/95/43/81/1072954381.db2.gz HEDYULWNFDQYMI-CYBMUJFWSA-N 0 0 449.551 -0.169 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000759159596 1072954449 /nfs/dbraw/zinc/95/44/49/1072954449.db2.gz HEDYULWNFDQYMI-ZDUSSCGKSA-N 0 0 449.551 -0.169 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000759160338 1072954272 /nfs/dbraw/zinc/95/42/72/1072954272.db2.gz LPDNTAFMXSGQQA-UHFFFAOYSA-N 0 0 438.550 -0.165 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)CCS(=O)(=O)CC)CC2 ZINC000759160770 1072954394 /nfs/dbraw/zinc/95/43/94/1072954394.db2.gz NWABMHGVXKOZEX-UHFFFAOYSA-N 0 0 431.536 -0.233 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2coc(C(N)=O)c2)cc1)S(C)(=O)=O ZINC000759170559 1072954589 /nfs/dbraw/zinc/95/45/89/1072954589.db2.gz WEFRWNHMCBNPBJ-UHFFFAOYSA-N 0 0 445.475 -0.087 20 0 IBADRN CC1(CCNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)OCCO1 ZINC000759222340 1072954549 /nfs/dbraw/zinc/95/45/49/1072954549.db2.gz KOPDZMCQHJTDDO-UHFFFAOYSA-N 0 0 427.527 -0.014 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000759226031 1072954496 /nfs/dbraw/zinc/95/44/96/1072954496.db2.gz PCYOZNFRKPQAKF-UHFFFAOYSA-N 0 0 448.542 -0.816 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)nn1 ZINC000759243177 1072954478 /nfs/dbraw/zinc/95/44/78/1072954478.db2.gz BTXWPXXAZREGQX-UHFFFAOYSA-N 0 0 430.487 -0.927 20 0 IBADRN CCNc1nc(C)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000759249208 1072954459 /nfs/dbraw/zinc/95/44/59/1072954459.db2.gz ZMHUWNNIKGGRIG-CYBMUJFWSA-N 0 0 429.568 -0.056 20 0 IBADRN CCNc1nc(C)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000759249219 1072954524 /nfs/dbraw/zinc/95/45/24/1072954524.db2.gz ZMHUWNNIKGGRIG-ZDUSSCGKSA-N 0 0 429.568 -0.056 20 0 IBADRN COC(=O)C12CCC(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)(CC1)C2 ZINC000759263751 1072954411 /nfs/dbraw/zinc/95/44/11/1072954411.db2.gz ORCOCCMOJAPCRE-APMMTJHUSA-N 0 0 442.534 -0.016 20 0 IBADRN COC(=O)C12CCC(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)(CC1)C2 ZINC000759263752 1072954370 /nfs/dbraw/zinc/95/43/70/1072954370.db2.gz ORCOCCMOJAPCRE-VMEOHVCESA-N 0 0 442.534 -0.016 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000759290166 1072954355 /nfs/dbraw/zinc/95/43/55/1072954355.db2.gz CTXGZKHTXINBKS-UHFFFAOYSA-N 0 0 430.552 -0.597 20 0 IBADRN COc1cc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)c(C(=O)N(C)C)cc1OC ZINC000759322356 1072954961 /nfs/dbraw/zinc/95/49/61/1072954961.db2.gz QQOYSYDARLLPEJ-UHFFFAOYSA-N 0 0 428.511 -0.079 20 0 IBADRN CN(CCOC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)S(=O)(=O)N1CCOCC1 ZINC000759326166 1072955044 /nfs/dbraw/zinc/95/50/44/1072955044.db2.gz RBXOUQXSJLGSSX-UHFFFAOYSA-N 0 0 447.535 -0.207 20 0 IBADRN CN(CCOC(=O)c1ccc(F)c(NS(C)(=O)=O)c1)S(=O)(=O)N1CCOCC1 ZINC000759327611 1072955070 /nfs/dbraw/zinc/95/50/70/1072955070.db2.gz YXVQGMPUBSKNFW-UHFFFAOYSA-N 0 0 439.487 -0.137 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000759365631 1072955098 /nfs/dbraw/zinc/95/50/98/1072955098.db2.gz INRRUUFUVBWFOZ-GOSISDBHSA-N 0 0 426.495 -0.140 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000759365632 1072955016 /nfs/dbraw/zinc/95/50/16/1072955016.db2.gz INRRUUFUVBWFOZ-SFHVURJKSA-N 0 0 426.495 -0.140 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000759367921 1072955091 /nfs/dbraw/zinc/95/50/91/1072955091.db2.gz FDCUSDHJAOJOQM-UHFFFAOYSA-N 0 0 447.579 -0.310 20 0 IBADRN COCC(=O)N(C)CC(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000759369582 1072954947 /nfs/dbraw/zinc/95/49/47/1072954947.db2.gz XETLURHSVCFTOI-UHFFFAOYSA-N 0 0 427.479 -0.586 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C)[nH]c2C(=O)OC)CC1 ZINC000759371563 1072954992 /nfs/dbraw/zinc/95/49/92/1072954992.db2.gz ZJLPXLJFPIREIY-UHFFFAOYSA-N 0 0 429.499 -0.221 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000759373206 1072955105 /nfs/dbraw/zinc/95/51/05/1072955105.db2.gz ITWGICJEUAIOJI-UHFFFAOYSA-N 0 0 447.579 -0.105 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000759374402 1072954975 /nfs/dbraw/zinc/95/49/75/1072954975.db2.gz OMFJMAHAZKXSGW-UHFFFAOYSA-N 0 0 447.579 -0.310 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000759375150 1072955102 /nfs/dbraw/zinc/95/51/02/1072955102.db2.gz YXGGFNJZTGCHFH-GOSISDBHSA-N 0 0 439.534 -0.136 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000759375155 1072955008 /nfs/dbraw/zinc/95/50/08/1072955008.db2.gz YXGGFNJZTGCHFH-SFHVURJKSA-N 0 0 439.534 -0.136 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000759375597 1072954904 /nfs/dbraw/zinc/95/49/04/1072954904.db2.gz WTSKDFNXCGGMOC-GOSISDBHSA-N 0 0 426.495 -0.140 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000759375599 1072955033 /nfs/dbraw/zinc/95/50/33/1072955033.db2.gz WTSKDFNXCGGMOC-SFHVURJKSA-N 0 0 426.495 -0.140 20 0 IBADRN CCN1C(=O)CCc2cc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)ccc21 ZINC000759378400 1072955061 /nfs/dbraw/zinc/95/50/61/1072955061.db2.gz FTLBOUBLLHIQPG-UHFFFAOYSA-N 0 0 438.506 -0.298 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(CS(=O)(=O)C(F)F)cc2)c(=O)n(C)c1=O ZINC000759379077 1072954927 /nfs/dbraw/zinc/95/49/27/1072954927.db2.gz IVZQMENJQKPDKN-UHFFFAOYSA-N 0 0 445.400 -0.157 20 0 IBADRN COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000759379481 1072955035 /nfs/dbraw/zinc/95/50/35/1072955035.db2.gz LQYVHOACCCNYQU-AWEZNQCLSA-N 0 0 441.506 -0.245 20 0 IBADRN COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000759379484 1072955565 /nfs/dbraw/zinc/95/55/65/1072955565.db2.gz LQYVHOACCCNYQU-CQSZACIVSA-N 0 0 441.506 -0.245 20 0 IBADRN CCS(=O)(=O)CCN(C)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000759382926 1072955432 /nfs/dbraw/zinc/95/54/32/1072955432.db2.gz BMVQETGXTUXHSH-UHFFFAOYSA-N 0 0 436.556 -0.509 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)C(=O)Nc3ccc(-n4nccn4)nc3)CC2)nn1 ZINC000759409724 1072955479 /nfs/dbraw/zinc/95/54/79/1072955479.db2.gz UIGDSYRWRQBGGH-UHFFFAOYSA-N 0 0 425.409 -0.157 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@H](N3CC(=O)Nc4ccccc43)C2)cn1 ZINC000759411531 1072955411 /nfs/dbraw/zinc/95/54/11/1072955411.db2.gz CHVUDFYRPVRPLA-AWEZNQCLSA-N 0 0 425.449 -0.373 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@@H](N3CC(=O)Nc4ccccc43)C2)cn1 ZINC000759411532 1072955588 /nfs/dbraw/zinc/95/55/88/1072955588.db2.gz CHVUDFYRPVRPLA-CQSZACIVSA-N 0 0 425.449 -0.373 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CC[C@H](N3CC(=O)Nc4ccccc43)C2)cn1 ZINC000759412228 1072955522 /nfs/dbraw/zinc/95/55/22/1072955522.db2.gz DXHRLASQWXSZPP-HNNXBMFYSA-N 0 0 439.476 -0.031 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CC[C@@H](N3CC(=O)Nc4ccccc43)C2)cn1 ZINC000759412232 1072955381 /nfs/dbraw/zinc/95/53/81/1072955381.db2.gz DXHRLASQWXSZPP-OAHLLOKOSA-N 0 0 439.476 -0.031 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@H](N3CC(=O)Nc4ccccc43)C2)cc1C ZINC000759413193 1072955491 /nfs/dbraw/zinc/95/54/91/1072955491.db2.gz HHXBALAMLSZLGE-AWEZNQCLSA-N 0 0 439.476 -0.064 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CC[C@@H](N3CC(=O)Nc4ccccc43)C2)cc1C ZINC000759413205 1072955571 /nfs/dbraw/zinc/95/55/71/1072955571.db2.gz HHXBALAMLSZLGE-CQSZACIVSA-N 0 0 439.476 -0.064 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CC[C@H](N3CC(=O)Nc4ccccc43)C2)n1 ZINC000759415193 1072955399 /nfs/dbraw/zinc/95/53/99/1072955399.db2.gz NJUIJZCXBTVJBL-AWEZNQCLSA-N 0 0 439.476 -0.031 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CC[C@@H](N3CC(=O)Nc4ccccc43)C2)n1 ZINC000759415194 1072955550 /nfs/dbraw/zinc/95/55/50/1072955550.db2.gz NJUIJZCXBTVJBL-CQSZACIVSA-N 0 0 439.476 -0.031 20 0 IBADRN CC1(CCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)OCCO1 ZINC000759427174 1072955583 /nfs/dbraw/zinc/95/55/83/1072955583.db2.gz OYCCURNMQUXTIZ-KRWDZBQOSA-N 0 0 433.461 -0.091 20 0 IBADRN CC1(CCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)OCCO1 ZINC000759427176 1072955534 /nfs/dbraw/zinc/95/55/34/1072955534.db2.gz OYCCURNMQUXTIZ-QGZVFWFLSA-N 0 0 433.461 -0.091 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCCC3(C)OCCO3)c2)CC1 ZINC000759428049 1072955578 /nfs/dbraw/zinc/95/55/78/1072955578.db2.gz YWWIGDHZJAGLHQ-UHFFFAOYSA-N 0 0 440.522 -0.170 20 0 IBADRN CC1(CCNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)OCCO1 ZINC000759432548 1072955823 /nfs/dbraw/zinc/95/58/23/1072955823.db2.gz AOOMOWZONBXKRL-UHFFFAOYSA-N 0 0 434.493 -0.044 20 0 IBADRN CC1(CCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)OCCO1 ZINC000759434077 1072956042 /nfs/dbraw/zinc/95/60/42/1072956042.db2.gz NMJQPZIIOMYSAE-UHFFFAOYSA-N 0 0 427.479 -0.085 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCCC3(C)OCCO3)cc2)CC1 ZINC000759434803 1072955877 /nfs/dbraw/zinc/95/58/77/1072955877.db2.gz QZZWZYUHTKMPKN-UHFFFAOYSA-N 0 0 440.522 -0.170 20 0 IBADRN CC1(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)OCCO1 ZINC000759435686 1072955972 /nfs/dbraw/zinc/95/59/72/1072955972.db2.gz YKWSAUDWVDLBDW-UHFFFAOYSA-N 0 0 427.479 -0.085 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cn(Cc3cccc(F)c3)nn2)CC1 ZINC000759437483 1072955960 /nfs/dbraw/zinc/95/59/60/1072955960.db2.gz JTTGOGAHKBCSNU-UHFFFAOYSA-N 0 0 432.456 -0.145 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000759455980 1072955816 /nfs/dbraw/zinc/95/58/16/1072955816.db2.gz PEZCSBQFCFJQSV-UHFFFAOYSA-N 0 0 427.440 -0.161 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C1CCN(S(C)(=O)=O)CC1 ZINC000759465873 1072955842 /nfs/dbraw/zinc/95/58/42/1072955842.db2.gz PURCHHZYZLXIHR-UHFFFAOYSA-N 0 0 432.543 -0.052 20 0 IBADRN CN(CC(=O)N(C)C1CCN(S(C)(=O)=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000759468708 1072955802 /nfs/dbraw/zinc/95/58/02/1072955802.db2.gz MHTKQUQHTKXPLQ-UHFFFAOYSA-N 0 0 428.536 -0.050 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)[C@H](CCC(N)=O)NC(=O)OCc1ccccc1 ZINC000759469165 1072955920 /nfs/dbraw/zinc/95/59/20/1072955920.db2.gz KHFBQPYVDLNRGE-KRWDZBQOSA-N 0 0 433.509 -0.221 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000759478534 1072955779 /nfs/dbraw/zinc/95/57/79/1072955779.db2.gz AUIBWUDSOWPCCN-GDBMZVCRSA-N 0 0 436.490 -0.163 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000759478535 1072955792 /nfs/dbraw/zinc/95/57/92/1072955792.db2.gz AUIBWUDSOWPCCN-GOEBONIOSA-N 0 0 436.490 -0.163 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccn1)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000759478536 1072955766 /nfs/dbraw/zinc/95/57/66/1072955766.db2.gz AUIBWUDSOWPCCN-HOCLYGCPSA-N 0 0 436.490 -0.163 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccn1)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000759478537 1072955871 /nfs/dbraw/zinc/95/58/71/1072955871.db2.gz AUIBWUDSOWPCCN-ZBFHGGJFSA-N 0 0 436.490 -0.163 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)CCO1 ZINC000759506158 1072956343 /nfs/dbraw/zinc/95/63/43/1072956343.db2.gz YNJKDXZLZXGIGW-HNNXBMFYSA-N 0 0 447.448 -0.025 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)Nc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)CCO1 ZINC000759506159 1072956471 /nfs/dbraw/zinc/95/64/71/1072956471.db2.gz YNJKDXZLZXGIGW-OAHLLOKOSA-N 0 0 447.448 -0.025 20 0 IBADRN COC(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC000759514103 1072957036 /nfs/dbraw/zinc/95/70/36/1072957036.db2.gz NUCYLXQCMNXIJW-CYBMUJFWSA-N 0 0 448.523 -0.522 20 0 IBADRN COC(=O)c1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC000759514106 1072957028 /nfs/dbraw/zinc/95/70/28/1072957028.db2.gz NUCYLXQCMNXIJW-ZDUSSCGKSA-N 0 0 448.523 -0.522 20 0 IBADRN COc1cc2c(cc1C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)OCO2 ZINC000759530202 1072957434 /nfs/dbraw/zinc/95/74/34/1072957434.db2.gz NMSRSVWGSUFRIW-GFCCVEGCSA-N 0 0 446.503 -0.301 20 0 IBADRN COc1cc2c(cc1C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)OCO2 ZINC000759530209 1072957313 /nfs/dbraw/zinc/95/73/13/1072957313.db2.gz NMSRSVWGSUFRIW-LBPRGKRZSA-N 0 0 446.503 -0.301 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000759537129 1072957545 /nfs/dbraw/zinc/95/75/45/1072957545.db2.gz DGLFOGCHMUJAJD-UHFFFAOYSA-N 0 0 428.515 -0.434 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)OCCC(N)=O)CC2)cc1 ZINC000759537925 1072957402 /nfs/dbraw/zinc/95/74/02/1072957402.db2.gz DUMZPNYNDDUITG-UHFFFAOYSA-N 0 0 427.479 -0.273 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000759548662 1072957337 /nfs/dbraw/zinc/95/73/37/1072957337.db2.gz GSLYJNXZCINRPT-AWEZNQCLSA-N 0 0 436.579 -0.331 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000759548668 1072957487 /nfs/dbraw/zinc/95/74/87/1072957487.db2.gz GSLYJNXZCINRPT-CQSZACIVSA-N 0 0 436.579 -0.331 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC1(COC(=O)C(C)(C)NS(C)(=O)=O)CC1 ZINC000759619162 1072957389 /nfs/dbraw/zinc/95/73/89/1072957389.db2.gz XEIDFZSEYMBZOD-UHFFFAOYSA-N 0 0 428.529 -0.491 20 0 IBADRN O=C(C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000759620605 1072957507 /nfs/dbraw/zinc/95/75/07/1072957507.db2.gz ARCXNLVLRFNLQB-AWEZNQCLSA-N 0 0 431.474 -0.390 20 0 IBADRN O=C(C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000759620609 1072957466 /nfs/dbraw/zinc/95/74/66/1072957466.db2.gz ARCXNLVLRFNLQB-CQSZACIVSA-N 0 0 431.474 -0.390 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)CN1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC000759623335 1072957560 /nfs/dbraw/zinc/95/75/60/1072957560.db2.gz YHZIEMKCKUIEJR-AWEZNQCLSA-N 0 0 427.449 -0.747 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)CN1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC000759623336 1072957358 /nfs/dbraw/zinc/95/73/58/1072957358.db2.gz YHZIEMKCKUIEJR-CQSZACIVSA-N 0 0 427.449 -0.747 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000759629574 1072957304 /nfs/dbraw/zinc/95/73/04/1072957304.db2.gz YYYBUHJDSSSZPE-CYBMUJFWSA-N 0 0 430.870 -0.359 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000759629575 1072957553 /nfs/dbraw/zinc/95/75/53/1072957553.db2.gz YYYBUHJDSSSZPE-ZDUSSCGKSA-N 0 0 430.870 -0.359 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC2CCC(C(=O)NC(N)=O)CC2)C(=O)C1 ZINC000759634994 1072958123 /nfs/dbraw/zinc/95/81/23/1072958123.db2.gz XUASOHLYOGIZDW-UHFFFAOYSA-N 0 0 425.486 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000759636290 1072958056 /nfs/dbraw/zinc/95/80/56/1072958056.db2.gz IRDUEAMUFQPWPW-UHFFFAOYSA-N 0 0 447.602 -0.377 20 0 IBADRN O=C(COCCOCC(=O)N1CCCC2(C1)OCCO2)N1CCCC2(C1)OCCO2 ZINC000759642451 1072958074 /nfs/dbraw/zinc/95/80/74/1072958074.db2.gz ZZKIVYGJXVQHTF-UHFFFAOYSA-N 0 0 428.482 -0.249 20 0 IBADRN CC(C)(C)OC(=O)NCC1(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000759645574 1072957964 /nfs/dbraw/zinc/95/79/64/1072957964.db2.gz UFIRHUYKTWBBPL-LLVKDONJSA-N 0 0 433.483 -0.153 20 0 IBADRN CC(C)(C)OC(=O)NCC1(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000759645576 1072958016 /nfs/dbraw/zinc/95/80/16/1072958016.db2.gz UFIRHUYKTWBBPL-NSHDSACASA-N 0 0 433.483 -0.153 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=S)NCCNS(C)(=O)=O)cc1 ZINC000759670478 1072957987 /nfs/dbraw/zinc/95/79/87/1072957987.db2.gz REEJBCMWLCVNFN-UHFFFAOYSA-N 0 0 437.565 -0.712 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000759688116 1072958036 /nfs/dbraw/zinc/95/80/36/1072958036.db2.gz MLKYCNYMGDAPIU-UHFFFAOYSA-N 0 0 430.508 -0.218 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2C)CC1 ZINC000759688180 1072958131 /nfs/dbraw/zinc/95/81/31/1072958131.db2.gz FMBFZXZYAKFCJZ-UHFFFAOYSA-N 0 0 447.583 -0.215 20 0 IBADRN CS(=O)(=O)CCCCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000759688540 1072958104 /nfs/dbraw/zinc/95/81/04/1072958104.db2.gz IQVXPSHWNJMOMO-UHFFFAOYSA-N 0 0 439.581 -0.535 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000759688810 1072957939 /nfs/dbraw/zinc/95/79/39/1072957939.db2.gz APMNJUSYDBBTSB-UHFFFAOYSA-N 0 0 449.489 -0.482 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000759690272 1072958080 /nfs/dbraw/zinc/95/80/80/1072958080.db2.gz XPHWLNQOTUNFHA-UHFFFAOYSA-N 0 0 435.462 -0.456 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nn2cnc3sc4c(c3c2=O)CCCC4)CC1 ZINC000759694980 1072958114 /nfs/dbraw/zinc/95/81/14/1072958114.db2.gz UUQXCQJKMFWUJB-UHFFFAOYSA-N 0 0 425.536 -0.016 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)Cn1c(Cl)nc2c1c(=O)n(C)c(=O)n2C ZINC000759699610 1072957907 /nfs/dbraw/zinc/95/79/07/1072957907.db2.gz AACUHOCMCIMJJP-UHFFFAOYSA-N 0 0 440.869 -0.318 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000759716624 1072958139 /nfs/dbraw/zinc/95/81/39/1072958139.db2.gz DBZYAPHRKCHUBS-UHFFFAOYSA-N 0 0 433.575 -0.768 20 0 IBADRN COCc1nn(CN2CCN(S(=O)(=O)c3cc(C(=O)OC)cs3)CC2)c(=O)o1 ZINC000759722869 1072958475 /nfs/dbraw/zinc/95/84/75/1072958475.db2.gz CLMGIJBYVKCBFD-UHFFFAOYSA-N 0 0 432.480 -0.205 20 0 IBADRN COCc1nn(CN2CCN(S(=O)(=O)c3cc(OC)ccc3OC)CC2)c(=O)o1 ZINC000759724877 1072958671 /nfs/dbraw/zinc/95/86/71/1072958671.db2.gz PCYCZIXFAUBHMN-UHFFFAOYSA-N 0 0 428.467 -0.036 20 0 IBADRN COC(=O)c1cccc(N2CC(=O)N(CN3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)c1 ZINC000759725345 1072958661 /nfs/dbraw/zinc/95/86/61/1072958661.db2.gz FIILNAZTDNAEPP-UHFFFAOYSA-N 0 0 443.460 -0.378 20 0 IBADRN COC(=O)c1cccc(N2CC(=O)N(CN3CCN(C(=O)C(=O)NC(C)C)CC3)C2=O)c1 ZINC000759725711 1072958603 /nfs/dbraw/zinc/95/86/03/1072958603.db2.gz UZAYFZRNTHABIG-UHFFFAOYSA-N 0 0 445.476 -0.132 20 0 IBADRN COCc1nn(CN2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)c(=O)o1 ZINC000759726016 1072958641 /nfs/dbraw/zinc/95/86/41/1072958641.db2.gz XHOXJBZWIWOPAU-UHFFFAOYSA-N 0 0 426.480 -0.049 20 0 IBADRN COC(=O)c1cccc(N2CC(=O)N(CN3CCN(S(=O)(=O)N(C)C)CC3)C2=O)c1 ZINC000759726023 1072958537 /nfs/dbraw/zinc/95/85/37/1072958537.db2.gz KQEPDOALIGHUJQ-UHFFFAOYSA-N 0 0 439.494 -0.377 20 0 IBADRN COCc1nn(CN2CCN(S(=O)(=O)c3c(C)oc(C)c3C(=O)OC)CC2)c(=O)o1 ZINC000759727850 1072958652 /nfs/dbraw/zinc/95/86/52/1072958652.db2.gz ZDICZJLVGALQIH-UHFFFAOYSA-N 0 0 444.466 -0.057 20 0 IBADRN COCc1nn(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)c(=O)o1 ZINC000759732747 1072958627 /nfs/dbraw/zinc/95/86/27/1072958627.db2.gz RRYJMWJFSNJRQH-UHFFFAOYSA-N 0 0 439.450 -0.722 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCN(Cc3nc(-c4ccccc4)no3)CC2)CC1 ZINC000759760778 1072958526 /nfs/dbraw/zinc/95/85/26/1072958526.db2.gz DROWNBQONMRCHW-UHFFFAOYSA-N 0 0 448.549 -0.042 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000759760876 1072958510 /nfs/dbraw/zinc/95/85/10/1072958510.db2.gz NXDHRZYTRIULAC-AWEZNQCLSA-N 0 0 426.538 -0.448 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000759760880 1072958620 /nfs/dbraw/zinc/95/86/20/1072958620.db2.gz NXDHRZYTRIULAC-CQSZACIVSA-N 0 0 426.538 -0.448 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000759761211 1072958595 /nfs/dbraw/zinc/95/85/95/1072958595.db2.gz WBCAXVLXGNTWML-ITKZLYELSA-N 0 0 434.492 -0.059 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000759761212 1072958586 /nfs/dbraw/zinc/95/85/86/1072958586.db2.gz WBCAXVLXGNTWML-QMAVJUDZSA-N 0 0 434.492 -0.059 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000759761214 1072958501 /nfs/dbraw/zinc/95/85/01/1072958501.db2.gz WBCAXVLXGNTWML-RXNFCKPNSA-N 0 0 434.492 -0.059 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000759761216 1072958468 /nfs/dbraw/zinc/95/84/68/1072958468.db2.gz WBCAXVLXGNTWML-ZYOFXKKJSA-N 0 0 434.492 -0.059 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC000759761362 1072958453 /nfs/dbraw/zinc/95/84/53/1072958453.db2.gz YIXXLMSAKDVAOR-SECBINFHSA-N 0 0 447.354 -0.096 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC000759761365 1072958484 /nfs/dbraw/zinc/95/84/84/1072958484.db2.gz YIXXLMSAKDVAOR-VIFPVBQESA-N 0 0 447.354 -0.096 20 0 IBADRN CC1(Cn2cc(C(=O)OCC(=O)NCCc3ccc(S(N)(=O)=O)cc3)nn2)COC1 ZINC000759764708 1072958491 /nfs/dbraw/zinc/95/84/91/1072958491.db2.gz DELMZPATONJQFP-UHFFFAOYSA-N 0 0 437.478 -0.522 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cn(CC3(C)COC3)nn2)c(=O)n(C)c1=O ZINC000759764755 1072958549 /nfs/dbraw/zinc/95/85/49/1072958549.db2.gz FKZMVEDOSDJYPT-UHFFFAOYSA-N 0 0 434.453 -0.547 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)c2coc(C(N)=O)c2)c(N)n(Cc2ccccc2)c1=O ZINC000759767256 1072959132 /nfs/dbraw/zinc/95/91/32/1072959132.db2.gz JOPBCFXWSHGTBC-UHFFFAOYSA-N 0 0 426.385 -0.091 20 0 IBADRN CC1(Cn2cc(C(=O)OCC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)nn2)COC1 ZINC000759767453 1072959021 /nfs/dbraw/zinc/95/90/21/1072959021.db2.gz CKNLZIAIKPOKSK-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cn(CC3(C)COC3)nn2)CC1 ZINC000759768393 1072959145 /nfs/dbraw/zinc/95/91/45/1072959145.db2.gz HUMGALNMMRWLQE-UHFFFAOYSA-N 0 0 436.513 -0.470 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)N3CCC4(CC3)OCCO4)CC2)no1 ZINC000759771923 1072959125 /nfs/dbraw/zinc/95/91/25/1072959125.db2.gz JJYFKDXKRGSAOA-UHFFFAOYSA-N 0 0 429.499 -0.377 20 0 IBADRN O=S(=O)(NCCCN1CCN(c2ncccn2)CC1)N1CCC2(CC1)OCCO2 ZINC000759771936 1072958988 /nfs/dbraw/zinc/95/89/88/1072958988.db2.gz JUFFUDVHWHYNSK-UHFFFAOYSA-N 0 0 426.543 -0.338 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000759775035 1072958965 /nfs/dbraw/zinc/95/89/65/1072958965.db2.gz SHKQTAPWIFEZDX-CABCVRRESA-N 0 0 427.502 -0.164 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000759775059 1072958937 /nfs/dbraw/zinc/95/89/37/1072958937.db2.gz SHKQTAPWIFEZDX-GJZGRUSLSA-N 0 0 427.502 -0.164 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000759775065 1072959080 /nfs/dbraw/zinc/95/90/80/1072959080.db2.gz SHKQTAPWIFEZDX-HUUCEWRRSA-N 0 0 427.502 -0.164 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)CC1 ZINC000759775070 1072959061 /nfs/dbraw/zinc/95/90/61/1072959061.db2.gz SHKQTAPWIFEZDX-LSDHHAIUSA-N 0 0 427.502 -0.164 20 0 IBADRN COc1cc2c(cc1C(=O)OCC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)OCO2 ZINC000759780830 1071371864 /nfs/dbraw/zinc/37/18/64/1071371864.db2.gz PKUTVTKJPHGOQF-CYBMUJFWSA-N 0 0 440.474 -0.088 20 0 IBADRN COc1cc2c(cc1C(=O)OCC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)OCO2 ZINC000759780831 1071372105 /nfs/dbraw/zinc/37/21/05/1071372105.db2.gz PKUTVTKJPHGOQF-ZDUSSCGKSA-N 0 0 440.474 -0.088 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2coc(C(N)=O)c2)CC1 ZINC000759782092 1071372018 /nfs/dbraw/zinc/37/20/18/1071372018.db2.gz XOROGLGQXHRAEV-UHFFFAOYSA-N 0 0 440.434 -0.327 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC000759782829 1071371944 /nfs/dbraw/zinc/37/19/44/1071371944.db2.gz CSPULDCNZJJCBH-UHFFFAOYSA-N 0 0 447.602 -0.425 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000759786942 1071372659 /nfs/dbraw/zinc/37/26/59/1071372659.db2.gz MCZQGDDJLMXSHV-UHFFFAOYSA-N 0 0 447.579 -0.105 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC000759794808 1071373372 /nfs/dbraw/zinc/37/33/72/1071373372.db2.gz RKLKZYRUMRQZSI-UHFFFAOYSA-N 0 0 425.463 -0.771 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNS(=O)(=O)c2cc(C(N)=O)n(C)c2)cc1 ZINC000759796441 1071373466 /nfs/dbraw/zinc/37/34/66/1071373466.db2.gz BOKQVUBNOLOJCG-UHFFFAOYSA-N 0 0 443.503 -0.310 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCn3c(CCc4ccccc4)nnc3C2)CC1 ZINC000759807583 1071375728 /nfs/dbraw/zinc/37/57/28/1071375728.db2.gz KXYLYZUXHLVAKO-UHFFFAOYSA-N 0 0 432.550 -0.017 20 0 IBADRN CCC[C@@H](NC(N)=O)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000759810982 1071377678 /nfs/dbraw/zinc/37/76/78/1071377678.db2.gz QEORBEMZIQKVRO-CQSZACIVSA-N 0 0 447.558 -0.083 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000759817351 1071379069 /nfs/dbraw/zinc/37/90/69/1071379069.db2.gz CZSIJAOTXFJLST-UHFFFAOYSA-N 0 0 435.462 -0.363 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000759823281 1071381320 /nfs/dbraw/zinc/38/13/20/1071381320.db2.gz HOCWIYKWXBPIPT-CMDGGOBGSA-N 0 0 449.485 -0.499 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000759823283 1071381464 /nfs/dbraw/zinc/38/14/64/1071381464.db2.gz HOCWIYKWXBPIPT-HJWRWDBZSA-N 0 0 449.485 -0.499 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)c2cc(S(N)(=O)=O)cn2C)cc1 ZINC000759825354 1071382729 /nfs/dbraw/zinc/38/27/29/1071382729.db2.gz URCYNXJMODWCAE-UHFFFAOYSA-N 0 0 443.503 -0.310 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)CN2C(=O)NC(C)(C)C2=O)cc1 ZINC000759827049 1071383425 /nfs/dbraw/zinc/38/34/25/1071383425.db2.gz FZXNYKIUZCCDMK-UHFFFAOYSA-N 0 0 425.463 -0.279 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)c2ccc(S(N)(=O)=O)o2)cc1 ZINC000759827560 1071383718 /nfs/dbraw/zinc/38/37/18/1071383718.db2.gz LBPWVJGQKLZPBB-UHFFFAOYSA-N 0 0 430.460 -0.055 20 0 IBADRN COc1ccc(NC(=O)COC(=O)C(C)(CO)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000759834120 1071386869 /nfs/dbraw/zinc/38/68/69/1071386869.db2.gz AKWCQKZDXXOKGF-UHFFFAOYSA-N 0 0 446.478 -0.811 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)C(C)(CO)CO)cc1S(=O)(=O)N1CCOCC1 ZINC000759838077 1071387583 /nfs/dbraw/zinc/38/75/83/1071387583.db2.gz UXCLGEYEAVFSDK-UHFFFAOYSA-N 0 0 430.479 -0.511 20 0 IBADRN CC(CO)(CO)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000759840471 1071387970 /nfs/dbraw/zinc/38/79/70/1071387970.db2.gz LQFJAVCVQZRJJC-UHFFFAOYSA-N 0 0 434.898 -0.293 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2C)C1=O ZINC000759857908 1071390117 /nfs/dbraw/zinc/39/01/17/1071390117.db2.gz SUHLPWFXEXDPNS-KRWDZBQOSA-N 0 0 426.451 -0.155 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2C)C1=O ZINC000759857912 1071390013 /nfs/dbraw/zinc/39/00/13/1071390013.db2.gz SUHLPWFXEXDPNS-QGZVFWFLSA-N 0 0 426.451 -0.155 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)Cn1nnc2ccccc2c1=O ZINC000759859231 1071391554 /nfs/dbraw/zinc/39/15/54/1071391554.db2.gz ARSIAJNRABJIHX-UHFFFAOYSA-N 0 0 431.430 -0.071 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O ZINC000759860350 1071390673 /nfs/dbraw/zinc/39/06/73/1071390673.db2.gz GYCBVHUEOPFSDN-GOSISDBHSA-N 0 0 438.462 -0.155 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O ZINC000759860352 1071391478 /nfs/dbraw/zinc/39/14/78/1071391478.db2.gz GYCBVHUEOPFSDN-SFHVURJKSA-N 0 0 438.462 -0.155 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)Cn1nnc2ccccc2c1=O ZINC000759860803 1071392213 /nfs/dbraw/zinc/39/22/13/1071392213.db2.gz NPNLIAXPKIFMLG-UHFFFAOYSA-N 0 0 447.429 -0.371 20 0 IBADRN COC(CN(CCCO)CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)OC ZINC000759868501 1071393043 /nfs/dbraw/zinc/39/30/43/1071393043.db2.gz DGNVQGFCNRLFCS-UHFFFAOYSA-N 0 0 429.539 -0.177 20 0 IBADRN COC(CN(CCCO)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)OC ZINC000759869738 1071393578 /nfs/dbraw/zinc/39/35/78/1071393578.db2.gz OADAROPEGQYVLM-UHFFFAOYSA-N 0 0 447.529 -0.038 20 0 IBADRN COC(CN(CCCO)CC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1)OC ZINC000759869866 1071393618 /nfs/dbraw/zinc/39/36/18/1071393618.db2.gz SQTKHTNQDOOKAC-UHFFFAOYSA-N 0 0 448.542 -0.572 20 0 IBADRN COC(CN(CCCO)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)OC ZINC000759871299 1071393372 /nfs/dbraw/zinc/39/33/72/1071393372.db2.gz YVOGWSVXMRCLGC-UHFFFAOYSA-N 0 0 447.529 -0.038 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC000759872590 1071393907 /nfs/dbraw/zinc/39/39/07/1071393907.db2.gz WOTYNYGXVONNIP-UHFFFAOYSA-N 0 0 438.462 -0.011 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@@]12CCC(=O)N1CCS2 ZINC000759875236 1071394132 /nfs/dbraw/zinc/39/41/32/1071394132.db2.gz BGVLGAGZXWOKNX-INIZCTEOSA-N 0 0 429.476 -0.110 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@]12CCC(=O)N1CCS2 ZINC000759875238 1071393867 /nfs/dbraw/zinc/39/38/67/1071393867.db2.gz BGVLGAGZXWOKNX-MRXNPFEDSA-N 0 0 429.476 -0.110 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000759878954 1071395411 /nfs/dbraw/zinc/39/54/11/1071395411.db2.gz WQXHCMFUKGNKKR-LBPRGKRZSA-N 0 0 435.480 -0.752 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)CCN1C(=O)NC(C)(C)C1=O ZINC000759879127 1071395328 /nfs/dbraw/zinc/39/53/28/1071395328.db2.gz XONNXOUFTMPLEL-UHFFFAOYSA-N 0 0 426.451 -0.155 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@H]1CCCCN1S(C)(=O)=O ZINC000759882584 1071396313 /nfs/dbraw/zinc/39/63/13/1071396313.db2.gz FRIXZNJPCFNCFM-CYBMUJFWSA-N 0 0 449.507 -0.362 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@@H]1CCCCN1S(C)(=O)=O ZINC000759882587 1071396168 /nfs/dbraw/zinc/39/61/68/1071396168.db2.gz FRIXZNJPCFNCFM-ZDUSSCGKSA-N 0 0 449.507 -0.362 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@@H]1CCCCN1S(C)(=O)=O ZINC000759885360 1071396258 /nfs/dbraw/zinc/39/62/58/1071396258.db2.gz VBVUSSUEKDHVEM-AWEZNQCLSA-N 0 0 433.508 -0.062 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@H]1CCCCN1S(C)(=O)=O ZINC000759885368 1071395875 /nfs/dbraw/zinc/39/58/75/1071395875.db2.gz VBVUSSUEKDHVEM-CQSZACIVSA-N 0 0 433.508 -0.062 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@H](CO)NC(=O)c1ccccc1 ZINC000759888658 1071396396 /nfs/dbraw/zinc/39/63/96/1071396396.db2.gz ZEOYCHYKXUPRQT-INIZCTEOSA-N 0 0 435.458 -0.085 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@@H](CO)NC(=O)c1ccccc1 ZINC000759888719 1071396074 /nfs/dbraw/zinc/39/60/74/1071396074.db2.gz ZEOYCHYKXUPRQT-MRXNPFEDSA-N 0 0 435.458 -0.085 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)c1c(OC)ncnc1OC ZINC000759888854 1071396410 /nfs/dbraw/zinc/39/64/10/1071396410.db2.gz FWHOKNWPKMHDSV-UHFFFAOYSA-N 0 0 426.407 -0.055 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CC[C@@H](N3CC(=O)Nc4ccccc43)C2)c(=O)[nH]c1=O ZINC000759892045 1071396206 /nfs/dbraw/zinc/39/62/06/1071396206.db2.gz FIDWJDGDUAYCEL-CYBMUJFWSA-N 0 0 442.476 -0.107 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CC[C@H](N3CC(=O)Nc4ccccc43)C2)c(=O)[nH]c1=O ZINC000759892046 1071396275 /nfs/dbraw/zinc/39/62/75/1071396275.db2.gz FIDWJDGDUAYCEL-ZDUSSCGKSA-N 0 0 442.476 -0.107 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759899545 1071395995 /nfs/dbraw/zinc/39/59/95/1071395995.db2.gz LDOWGNICEZRFHI-CHWSQXEVSA-N 0 0 426.477 -0.230 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759899547 1071396120 /nfs/dbraw/zinc/39/61/20/1071396120.db2.gz LDOWGNICEZRFHI-OLZOCXBDSA-N 0 0 426.477 -0.230 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759899550 1071396361 /nfs/dbraw/zinc/39/63/61/1071396361.db2.gz LDOWGNICEZRFHI-QWHCGFSZSA-N 0 0 426.477 -0.230 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@H](N2CC(=O)Nc3ccccc32)C1 ZINC000759899553 1071395937 /nfs/dbraw/zinc/39/59/37/1071395937.db2.gz LDOWGNICEZRFHI-STQMWFEESA-N 0 0 426.477 -0.230 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CC[C@H](N3CC(=O)Nc4ccccc43)C2)CC1 ZINC000759899928 1071395906 /nfs/dbraw/zinc/39/59/06/1071395906.db2.gz QOPDQKBNIYPLSE-KRWDZBQOSA-N 0 0 428.537 -0.248 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CC[C@@H](N3CC(=O)Nc4ccccc43)C2)CC1 ZINC000759899930 1071396882 /nfs/dbraw/zinc/39/68/82/1071396882.db2.gz QOPDQKBNIYPLSE-QGZVFWFLSA-N 0 0 428.537 -0.248 20 0 IBADRN O=C(CN1CC[C@H](N2CC(=O)Nc3ccccc32)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000759904668 1071396909 /nfs/dbraw/zinc/39/69/09/1071396909.db2.gz JJDBXSGJBIKUSD-KBPBESRZSA-N 0 0 435.506 -0.468 20 0 IBADRN O=C(CN1CC[C@H](N2CC(=O)Nc3ccccc32)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000759904675 1071396856 /nfs/dbraw/zinc/39/68/56/1071396856.db2.gz JJDBXSGJBIKUSD-KGLIPLIRSA-N 0 0 435.506 -0.468 20 0 IBADRN O=C(CN1CC[C@@H](N2CC(=O)Nc3ccccc32)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000759904679 1071396950 /nfs/dbraw/zinc/39/69/50/1071396950.db2.gz JJDBXSGJBIKUSD-UONOGXRCSA-N 0 0 435.506 -0.468 20 0 IBADRN O=C(CN1CC[C@@H](N2CC(=O)Nc3ccccc32)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000759904682 1071397124 /nfs/dbraw/zinc/39/71/24/1071397124.db2.gz JJDBXSGJBIKUSD-ZIAGYGMSSA-N 0 0 435.506 -0.468 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)Cn1c(C)cc(O)cc1=O ZINC000759905104 1071397086 /nfs/dbraw/zinc/39/70/86/1071397086.db2.gz BOWKKOTWEMIHPD-UHFFFAOYSA-N 0 0 425.419 -0.300 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000759905633 1071397141 /nfs/dbraw/zinc/39/71/41/1071397141.db2.gz UHOLBPPXHRDFNA-UHFFFAOYSA-N 0 0 441.448 -0.569 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)O[C@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000759908606 1071396834 /nfs/dbraw/zinc/39/68/34/1071396834.db2.gz QMSQYDWYNOHIOE-CYBMUJFWSA-N 0 0 437.474 -0.200 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)O[C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000759908623 1071397070 /nfs/dbraw/zinc/39/70/70/1071397070.db2.gz QMSQYDWYNOHIOE-ZDUSSCGKSA-N 0 0 437.474 -0.200 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000759918792 1071408389 /nfs/dbraw/zinc/40/83/89/1071408389.db2.gz ONAYZWOUGYOFFU-GDBMZVCRSA-N 0 0 442.490 -0.400 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000759918795 1071408566 /nfs/dbraw/zinc/40/85/66/1071408566.db2.gz ONAYZWOUGYOFFU-GOEBONIOSA-N 0 0 442.490 -0.400 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000759918799 1071408437 /nfs/dbraw/zinc/40/84/37/1071408437.db2.gz ONAYZWOUGYOFFU-HOCLYGCPSA-N 0 0 442.490 -0.400 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000759918802 1071408637 /nfs/dbraw/zinc/40/86/37/1071408637.db2.gz ONAYZWOUGYOFFU-ZBFHGGJFSA-N 0 0 442.490 -0.400 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CCOCC1 ZINC000759945279 1071450883 /nfs/dbraw/zinc/45/08/83/1071450883.db2.gz DQQUBCYJIVISPB-BBRMVZONSA-N 0 0 429.495 -0.374 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CCOCC1 ZINC000759945313 1071450935 /nfs/dbraw/zinc/45/09/35/1071450935.db2.gz DQQUBCYJIVISPB-CJNGLKHVSA-N 0 0 429.495 -0.374 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CCOCC1 ZINC000759945318 1071450703 /nfs/dbraw/zinc/45/07/03/1071450703.db2.gz DQQUBCYJIVISPB-CZUORRHYSA-N 0 0 429.495 -0.374 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CCOCC1 ZINC000759945323 1071450890 /nfs/dbraw/zinc/45/08/90/1071450890.db2.gz DQQUBCYJIVISPB-XJKSGUPXSA-N 0 0 429.495 -0.374 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCN(c3nc(F)c(F)cc3F)CC2)c(=O)[nH]c1=O ZINC000759967284 1071461882 /nfs/dbraw/zinc/46/18/82/1071461882.db2.gz AMCXCCGXCMQPEW-UHFFFAOYSA-N 0 0 442.398 -0.005 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCN(c3nc(F)c(F)cc3F)CC2)CC1 ZINC000759969122 1071462079 /nfs/dbraw/zinc/46/20/79/1071462079.db2.gz LZFCYAXSNODBCX-UHFFFAOYSA-N 0 0 428.459 -0.147 20 0 IBADRN O=C(CN1CCN(c2nc(F)c(F)cc2F)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000759969551 1071462756 /nfs/dbraw/zinc/46/27/56/1071462756.db2.gz KAYZVGIPAJBZQN-JTQLQIEISA-N 0 0 435.428 -0.366 20 0 IBADRN O=C(CN1CCN(c2nc(F)c(F)cc2F)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000759969554 1071462873 /nfs/dbraw/zinc/46/28/73/1071462873.db2.gz KAYZVGIPAJBZQN-SNVBAGLBSA-N 0 0 435.428 -0.366 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000759986644 1071553344 /nfs/dbraw/zinc/55/33/44/1071553344.db2.gz ANTCAIAATFSLPE-SECBINFHSA-N 0 0 426.399 -0.128 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000759986654 1071553930 /nfs/dbraw/zinc/55/39/30/1071553930.db2.gz ANTCAIAATFSLPE-VIFPVBQESA-N 0 0 426.399 -0.128 20 0 IBADRN CN(C[C@H](O)CO)C(=O)c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1 ZINC000760012997 1071614209 /nfs/dbraw/zinc/61/42/09/1071614209.db2.gz DVHZQTWSYAAAIL-LBPRGKRZSA-N 0 0 437.312 -0.105 20 0 IBADRN CN(C[C@H](O)CO)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Br ZINC000760013137 1071620113 /nfs/dbraw/zinc/62/01/13/1071620113.db2.gz FNEHNIBXUOJREK-NSHDSACASA-N 0 0 437.312 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N(C)C[C@H](O)CO)c1 ZINC000760013140 1071619971 /nfs/dbraw/zinc/61/99/71/1071619971.db2.gz FPXZQCBWOVFRAH-JTQLQIEISA-N 0 0 425.301 -0.201 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000760013727 1071613197 /nfs/dbraw/zinc/61/31/97/1071613197.db2.gz GBOUDSHSNWNKKH-CFZZFRRISA-N 0 0 434.536 -0.808 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000760013733 1071613169 /nfs/dbraw/zinc/61/31/69/1071613169.db2.gz GBOUDSHSNWNKKH-RBYQPQOCSA-N 0 0 434.536 -0.808 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000760013735 1071613097 /nfs/dbraw/zinc/61/30/97/1071613097.db2.gz GBOUDSHSNWNKKH-RYRVOACTSA-N 0 0 434.536 -0.808 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000760013740 1071613051 /nfs/dbraw/zinc/61/30/51/1071613051.db2.gz GBOUDSHSNWNKKH-UGTRGGQESA-N 0 0 434.536 -0.808 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(I)c(C(=O)N(C)C[C@H](O)CO)c1 ZINC000760015419 1071630372 /nfs/dbraw/zinc/63/03/72/1071630372.db2.gz UPOVRVXHMYLARS-VIFPVBQESA-N 0 0 442.275 -0.033 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)CCN2CCCS2(=O)=O)cc1 ZINC000760017692 1071714571 /nfs/dbraw/zinc/71/45/71/1071714571.db2.gz RHJAVIVBZZMLPC-UHFFFAOYSA-N 0 0 432.520 -0.184 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)[C@@H]2CCCN2S(C)(=O)=O)cc1 ZINC000760017808 1071714690 /nfs/dbraw/zinc/71/46/90/1071714690.db2.gz AHNGEDRNTQMVAZ-HNNXBMFYSA-N 0 0 432.520 -0.185 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)[C@H]2CCCN2S(C)(=O)=O)cc1 ZINC000760017816 1071714699 /nfs/dbraw/zinc/71/46/99/1071714699.db2.gz AHNGEDRNTQMVAZ-OAHLLOKOSA-N 0 0 432.520 -0.185 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)CN2C(=O)NC(=O)C2(C)C)cc1 ZINC000760020911 1072072300 /nfs/dbraw/zinc/07/23/00/1072072300.db2.gz JZZQAEDZCVUHDR-UHFFFAOYSA-N 0 0 425.463 -0.279 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000760020991 1072083352 /nfs/dbraw/zinc/08/33/52/1072083352.db2.gz MTYQLPVGRJMXNL-UHFFFAOYSA-N 0 0 447.535 -0.694 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)Cn2nc3ccccn3c2=O)cc1 ZINC000760021356 1072041469 /nfs/dbraw/zinc/04/14/69/1072041469.db2.gz OQZJOOORXLPSTC-UHFFFAOYSA-N 0 0 432.458 -0.100 20 0 IBADRN CCN1CCN(CC(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)C(=O)C1=O ZINC000760021770 1072015004 /nfs/dbraw/zinc/01/50/04/1072015004.db2.gz DMTHBMMLXDVKME-UHFFFAOYSA-N 0 0 439.490 -0.919 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)O1 ZINC000760034065 1072289587 /nfs/dbraw/zinc/28/95/87/1072289587.db2.gz URQWTOMQPGNCNB-GUTXKFCHSA-N 0 0 437.474 -0.227 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)O1 ZINC000760034066 1072289874 /nfs/dbraw/zinc/28/98/74/1072289874.db2.gz URQWTOMQPGNCNB-IPYPFGDCSA-N 0 0 437.474 -0.227 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)O1 ZINC000760034067 1072289887 /nfs/dbraw/zinc/28/98/87/1072289887.db2.gz URQWTOMQPGNCNB-NFAWXSAZSA-N 0 0 437.474 -0.227 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)O1 ZINC000760034068 1072290001 /nfs/dbraw/zinc/29/00/01/1072290001.db2.gz URQWTOMQPGNCNB-YDHLFZDLSA-N 0 0 437.474 -0.227 20 0 IBADRN O=C(Nc1nc2ccccn2n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760034748 1072287804 /nfs/dbraw/zinc/28/78/04/1072287804.db2.gz OTIUEGGTIPPLSZ-GFCCVEGCSA-N 0 0 428.496 -0.604 20 0 IBADRN O=C(Nc1nc2ccccn2n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000760034754 1072287856 /nfs/dbraw/zinc/28/78/56/1072287856.db2.gz OTIUEGGTIPPLSZ-LBPRGKRZSA-N 0 0 428.496 -0.604 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1ccncc1I ZINC000760035479 1072291030 /nfs/dbraw/zinc/29/10/30/1072291030.db2.gz YIELHVOPGPSUFO-UHFFFAOYSA-N 0 0 440.263 -0.108 20 0 IBADRN COC[C@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1)C(N)=O ZINC000760038209 1072296356 /nfs/dbraw/zinc/29/63/56/1072296356.db2.gz DBEZATFVSRFRHB-HNNXBMFYSA-N 0 0 441.506 -0.135 20 0 IBADRN COC[C@@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1)C(N)=O ZINC000760038210 1072296346 /nfs/dbraw/zinc/29/63/46/1072296346.db2.gz DBEZATFVSRFRHB-OAHLLOKOSA-N 0 0 441.506 -0.135 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000760039277 1072299446 /nfs/dbraw/zinc/29/94/46/1072299446.db2.gz WFCBAMJKXFFIDD-UHFFFAOYSA-N 0 0 425.554 -0.444 20 0 IBADRN COC[C@@H](NC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O)C(N)=O ZINC000760044009 1072312369 /nfs/dbraw/zinc/31/23/69/1072312369.db2.gz LCCPCXDZNDDQMX-CYBMUJFWSA-N 0 0 440.478 -0.614 20 0 IBADRN COC[C@H](NC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O)C(N)=O ZINC000760044013 1072312216 /nfs/dbraw/zinc/31/22/16/1072312216.db2.gz LCCPCXDZNDDQMX-ZDUSSCGKSA-N 0 0 440.478 -0.614 20 0 IBADRN COC[C@@H](NC(=O)CN1C(=O)N[C@](C)(c2ccc(Br)cc2)C1=O)C(N)=O ZINC000760044923 1072315123 /nfs/dbraw/zinc/31/51/23/1072315123.db2.gz RQLZGGRBSLWANM-BDJLRTHQSA-N 0 0 427.255 -0.167 20 0 IBADRN COC[C@@H](NC(=O)CN1C(=O)N[C@@](C)(c2ccc(Br)cc2)C1=O)C(N)=O ZINC000760044924 1072315114 /nfs/dbraw/zinc/31/51/14/1072315114.db2.gz RQLZGGRBSLWANM-BZNIZROVSA-N 0 0 427.255 -0.167 20 0 IBADRN COC[C@H](NC(=O)CN1C(=O)N[C@](C)(c2ccc(Br)cc2)C1=O)C(N)=O ZINC000760044925 1072315177 /nfs/dbraw/zinc/31/51/77/1072315177.db2.gz RQLZGGRBSLWANM-MEDUHNTESA-N 0 0 427.255 -0.167 20 0 IBADRN COC[C@H](NC(=O)CN1C(=O)N[C@@](C)(c2ccc(Br)cc2)C1=O)C(N)=O ZINC000760044926 1072315187 /nfs/dbraw/zinc/31/51/87/1072315187.db2.gz RQLZGGRBSLWANM-ZBEGNZNMSA-N 0 0 427.255 -0.167 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760049644 1072320098 /nfs/dbraw/zinc/32/00/98/1072320098.db2.gz XFHOWURAENVXDH-CYBMUJFWSA-N 0 0 432.524 -0.640 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000760049649 1072319932 /nfs/dbraw/zinc/31/99/32/1072319932.db2.gz XFHOWURAENVXDH-ZDUSSCGKSA-N 0 0 432.524 -0.640 20 0 IBADRN COC[C@H](NC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1)C(N)=O ZINC000760055974 1072486005 /nfs/dbraw/zinc/48/60/05/1072486005.db2.gz LNUMILHCYFJINE-AWEZNQCLSA-N 0 0 429.495 -0.279 20 0 IBADRN COC[C@@H](NC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1)C(N)=O ZINC000760055975 1072485973 /nfs/dbraw/zinc/48/59/73/1072485973.db2.gz LNUMILHCYFJINE-CQSZACIVSA-N 0 0 429.495 -0.279 20 0 IBADRN COC[C@H](NC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21)C(N)=O ZINC000760056064 1072486611 /nfs/dbraw/zinc/48/66/11/1072486611.db2.gz NJMNCKDCHWPHHE-HNNXBMFYSA-N 0 0 438.462 -0.809 20 0 IBADRN COC[C@@H](NC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21)C(N)=O ZINC000760056067 1072486513 /nfs/dbraw/zinc/48/65/13/1072486513.db2.gz NJMNCKDCHWPHHE-OAHLLOKOSA-N 0 0 438.462 -0.809 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](COC)C(N)=O)CC2)cc1 ZINC000760059062 1072500243 /nfs/dbraw/zinc/50/02/43/1072500243.db2.gz BITIFISBHVFYKS-INIZCTEOSA-N 0 0 441.506 -0.120 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H](COC)C(N)=O)CC2)cc1 ZINC000760059063 1072500226 /nfs/dbraw/zinc/50/02/26/1072500226.db2.gz BITIFISBHVFYKS-MRXNPFEDSA-N 0 0 441.506 -0.120 20 0 IBADRN CCn1c(CCC(=O)N[C@@H](COC)C(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000760059485 1072499905 /nfs/dbraw/zinc/49/99/05/1072499905.db2.gz GQQJEXUJKMMFEG-AWEZNQCLSA-N 0 0 425.511 -0.144 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N[C@@H](COC)C(N)=O)c2)CC1 ZINC000760059486 1072499658 /nfs/dbraw/zinc/49/96/58/1072499658.db2.gz VRBHDIMIQLAZCX-HNNXBMFYSA-N 0 0 432.930 -0.104 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N[C@H](COC)C(N)=O)c2)CC1 ZINC000760059487 1072499955 /nfs/dbraw/zinc/49/99/55/1072499955.db2.gz VRBHDIMIQLAZCX-OAHLLOKOSA-N 0 0 432.930 -0.104 20 0 IBADRN CCn1c(CCC(=O)N[C@H](COC)C(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000760059488 1072499948 /nfs/dbraw/zinc/49/99/48/1072499948.db2.gz GQQJEXUJKMMFEG-CQSZACIVSA-N 0 0 425.511 -0.144 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@@H](COC)C(N)=O)c1 ZINC000760060506 1072500430 /nfs/dbraw/zinc/50/04/30/1072500430.db2.gz SALSLAPIUZMSOP-INIZCTEOSA-N 0 0 442.538 -0.216 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@H](COC)C(N)=O)c1 ZINC000760060507 1072500319 /nfs/dbraw/zinc/50/03/19/1072500319.db2.gz SALSLAPIUZMSOP-MRXNPFEDSA-N 0 0 442.538 -0.216 20 0 IBADRN COC[C@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(N)=O ZINC000760060897 1072501523 /nfs/dbraw/zinc/50/15/23/1072501523.db2.gz QUILPSFTSQERTI-AWEZNQCLSA-N 0 0 427.479 -0.525 20 0 IBADRN COC[C@@H](NC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(N)=O ZINC000760060901 1072501889 /nfs/dbraw/zinc/50/18/89/1072501889.db2.gz QUILPSFTSQERTI-CQSZACIVSA-N 0 0 427.479 -0.525 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@H](COC)C(N)=O)CC2)o1 ZINC000760061874 1072501470 /nfs/dbraw/zinc/50/14/70/1072501470.db2.gz HBWPVPCHXNPWLX-GFCCVEGCSA-N 0 0 431.467 -0.527 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H](COC)C(N)=O)CC2)o1 ZINC000760061888 1072512887 /nfs/dbraw/zinc/51/28/87/1072512887.db2.gz HBWPVPCHXNPWLX-LBPRGKRZSA-N 0 0 431.467 -0.527 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N[C@@H](COC)C(N)=O)CC2=O)cc1 ZINC000760064792 1072520600 /nfs/dbraw/zinc/52/06/00/1072520600.db2.gz VSJJGPOWRXTEQX-BBRMVZONSA-N 0 0 440.522 -0.314 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N[C@@H](COC)C(N)=O)CC2=O)cc1 ZINC000760064795 1072520766 /nfs/dbraw/zinc/52/07/66/1072520766.db2.gz VSJJGPOWRXTEQX-CJNGLKHVSA-N 0 0 440.522 -0.314 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N[C@H](COC)C(N)=O)CC2=O)cc1 ZINC000760064798 1072520810 /nfs/dbraw/zinc/52/08/10/1072520810.db2.gz VSJJGPOWRXTEQX-CZUORRHYSA-N 0 0 440.522 -0.314 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N[C@H](COC)C(N)=O)CC2=O)cc1 ZINC000760064802 1072520510 /nfs/dbraw/zinc/52/05/10/1072520510.db2.gz VSJJGPOWRXTEQX-XJKSGUPXSA-N 0 0 440.522 -0.314 20 0 IBADRN COC[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(N)=O ZINC000760074908 1072535811 /nfs/dbraw/zinc/53/58/11/1072535811.db2.gz AYYYZYBDFNLBHT-INIZCTEOSA-N 0 0 440.522 -0.775 20 0 IBADRN COC[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(N)=O ZINC000760074909 1072535931 /nfs/dbraw/zinc/53/59/31/1072535931.db2.gz AYYYZYBDFNLBHT-MRXNPFEDSA-N 0 0 440.522 -0.775 20 0 IBADRN COC[C@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C)C(N)=O ZINC000760075045 1072536461 /nfs/dbraw/zinc/53/64/61/1072536461.db2.gz DTLWEUWHQITINE-BBRMVZONSA-N 0 0 429.495 -0.233 20 0 IBADRN COC[C@@H](NC(=O)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C)C(N)=O ZINC000760075046 1072536510 /nfs/dbraw/zinc/53/65/10/1072536510.db2.gz DTLWEUWHQITINE-CJNGLKHVSA-N 0 0 429.495 -0.233 20 0 IBADRN COC[C@@H](NC(=O)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C)C(N)=O ZINC000760075047 1072536478 /nfs/dbraw/zinc/53/64/78/1072536478.db2.gz DTLWEUWHQITINE-CZUORRHYSA-N 0 0 429.495 -0.233 20 0 IBADRN COC[C@H](NC(=O)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(C)C)C(N)=O ZINC000760075048 1072536521 /nfs/dbraw/zinc/53/65/21/1072536521.db2.gz DTLWEUWHQITINE-XJKSGUPXSA-N 0 0 429.495 -0.233 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@H](COC)C(N)=O)c1 ZINC000760076756 1072536957 /nfs/dbraw/zinc/53/69/57/1072536957.db2.gz BJTBTEOYIRVCLM-GFCCVEGCSA-N 0 0 438.300 -0.396 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H](COC)C(N)=O)c1 ZINC000760076890 1072536290 /nfs/dbraw/zinc/53/62/90/1072536290.db2.gz BJTBTEOYIRVCLM-LBPRGKRZSA-N 0 0 438.300 -0.396 20 0 IBADRN COC[C@@H](NC(=O)c1cc(-c2ccc3c(c2)OCO3)nc2c1c(=O)[nH]c(=O)n2C)C(N)=O ZINC000760082034 1072543564 /nfs/dbraw/zinc/54/35/64/1072543564.db2.gz KENIPTZHOBREFZ-GFCCVEGCSA-N 0 0 441.400 -0.340 20 0 IBADRN COC[C@H](NC(=O)c1cc(-c2ccc3c(c2)OCO3)nc2c1c(=O)[nH]c(=O)n2C)C(N)=O ZINC000760082035 1072543327 /nfs/dbraw/zinc/54/33/27/1072543327.db2.gz KENIPTZHOBREFZ-LBPRGKRZSA-N 0 0 441.400 -0.340 20 0 IBADRN COC[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)C(N)=O ZINC000760084437 1072545150 /nfs/dbraw/zinc/54/51/50/1072545150.db2.gz SBKAPVZMRZQSHN-HNNXBMFYSA-N 0 0 444.485 -0.945 20 0 IBADRN COC[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)C(N)=O ZINC000760084445 1072545064 /nfs/dbraw/zinc/54/50/64/1072545064.db2.gz SBKAPVZMRZQSHN-OAHLLOKOSA-N 0 0 444.485 -0.945 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cnc1Cl ZINC000760092556 1072561681 /nfs/dbraw/zinc/56/16/81/1072561681.db2.gz ROEHKQXRVDQKGP-UHFFFAOYSA-N 0 0 431.902 -0.297 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cnc1Cl ZINC000760092903 1072561730 /nfs/dbraw/zinc/56/17/30/1072561730.db2.gz ZYPYEZQEYHZHIX-UHFFFAOYSA-N 0 0 444.945 -0.382 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)CC1 ZINC000760096161 1072564026 /nfs/dbraw/zinc/56/40/26/1072564026.db2.gz ZYJUKZJKLWCBNG-AWEZNQCLSA-N 0 0 438.485 -0.551 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)CC1 ZINC000760096163 1072564081 /nfs/dbraw/zinc/56/40/81/1072564081.db2.gz ZYJUKZJKLWCBNG-CQSZACIVSA-N 0 0 438.485 -0.551 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccccc1O[C@H]1CCOC1 ZINC000760098460 1072578785 /nfs/dbraw/zinc/57/87/85/1072578785.db2.gz VNIUHTDPRFFRGW-AWEZNQCLSA-N 0 0 427.479 -0.429 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccccc1O[C@@H]1CCOC1 ZINC000760098463 1072578709 /nfs/dbraw/zinc/57/87/09/1072578709.db2.gz VNIUHTDPRFFRGW-CQSZACIVSA-N 0 0 427.479 -0.429 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000760103043 1072590533 /nfs/dbraw/zinc/59/05/33/1072590533.db2.gz GOHIOCAILCMRHX-KRWDZBQOSA-N 0 0 433.509 -0.221 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000760103046 1072590353 /nfs/dbraw/zinc/59/03/53/1072590353.db2.gz GOHIOCAILCMRHX-QGZVFWFLSA-N 0 0 433.509 -0.221 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)o1 ZINC000760104020 1072591649 /nfs/dbraw/zinc/59/16/49/1072591649.db2.gz LNVNWKOBDZEQNH-JTQLQIEISA-N 0 0 431.405 -0.523 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)o1 ZINC000760104023 1072591662 /nfs/dbraw/zinc/59/16/62/1072591662.db2.gz LNVNWKOBDZEQNH-SNVBAGLBSA-N 0 0 431.405 -0.523 20 0 IBADRN Cn1nc(Br)cc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000760113312 1072612592 /nfs/dbraw/zinc/61/25/92/1072612592.db2.gz MQJBSHARHFBSKX-UHFFFAOYSA-N 0 0 435.348 -0.567 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000760117333 1072659810 /nfs/dbraw/zinc/65/98/10/1072659810.db2.gz IHIUPIFVLKVMDY-JTQLQIEISA-N 0 0 427.405 -0.506 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000760117347 1072659838 /nfs/dbraw/zinc/65/98/38/1072659838.db2.gz IHIUPIFVLKVMDY-SNVBAGLBSA-N 0 0 427.405 -0.506 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000760119419 1072724107 /nfs/dbraw/zinc/72/41/07/1072724107.db2.gz UKHUNOIWQYTVHV-HNNXBMFYSA-N 0 0 426.495 -0.349 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000760119421 1072723980 /nfs/dbraw/zinc/72/39/80/1072723980.db2.gz UKHUNOIWQYTVHV-OAHLLOKOSA-N 0 0 426.495 -0.349 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000760120666 1072729191 /nfs/dbraw/zinc/72/91/91/1072729191.db2.gz JNHIALNHARBMJR-DLBZAZTESA-N 0 0 447.492 -0.931 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)cc2)CC1 ZINC000760120679 1072729145 /nfs/dbraw/zinc/72/91/45/1072729145.db2.gz JNHIALNHARBMJR-IAGOWNOFSA-N 0 0 447.492 -0.931 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000760120682 1072729125 /nfs/dbraw/zinc/72/91/25/1072729125.db2.gz JNHIALNHARBMJR-IRXDYDNUSA-N 0 0 447.492 -0.931 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC000760120683 1072729136 /nfs/dbraw/zinc/72/91/36/1072729136.db2.gz JNHIALNHARBMJR-SJORKVTESA-N 0 0 447.492 -0.931 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000760122140 1072761174 /nfs/dbraw/zinc/76/11/74/1072761174.db2.gz YXSRZKIYTNEYGG-BXUZGUMPSA-N 0 0 447.897 -0.149 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000760122141 1072761285 /nfs/dbraw/zinc/76/12/85/1072761285.db2.gz YXSRZKIYTNEYGG-FZMZJTMJSA-N 0 0 447.897 -0.149 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000760122142 1072761249 /nfs/dbraw/zinc/76/12/49/1072761249.db2.gz YXSRZKIYTNEYGG-RISCZKNCSA-N 0 0 447.897 -0.149 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000760122143 1072761436 /nfs/dbraw/zinc/76/14/36/1072761436.db2.gz YXSRZKIYTNEYGG-SMDDNHRTSA-N 0 0 447.897 -0.149 20 0 IBADRN CN(C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1)C1CC(O)C1 ZINC000760128110 1072811456 /nfs/dbraw/zinc/81/14/56/1072811456.db2.gz WJQRJBWPLMVCLO-UHFFFAOYSA-N 0 0 435.524 -0.044 20 0 IBADRN COC(=O)C12CCC(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)(CC1)C2 ZINC000760141511 1072826397 /nfs/dbraw/zinc/82/63/97/1072826397.db2.gz AUKHQCAICZIETG-NNGSBXSVSA-N 0 0 448.563 -0.229 20 0 IBADRN COC(=O)C12CCC(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)(CC1)C2 ZINC000760141512 1072826330 /nfs/dbraw/zinc/82/63/30/1072826330.db2.gz AUKHQCAICZIETG-RWBZWWBESA-N 0 0 448.563 -0.229 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760144316 1072857034 /nfs/dbraw/zinc/85/70/34/1072857034.db2.gz BMNUUAHCJAPHHB-AAEUAGOBSA-N 0 0 443.478 -0.562 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760144318 1072856908 /nfs/dbraw/zinc/85/69/08/1072856908.db2.gz BMNUUAHCJAPHHB-DGCLKSJQSA-N 0 0 443.478 -0.562 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760144320 1072857043 /nfs/dbraw/zinc/85/70/43/1072857043.db2.gz BMNUUAHCJAPHHB-WCQYABFASA-N 0 0 443.478 -0.562 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760144321 1072857053 /nfs/dbraw/zinc/85/70/53/1072857053.db2.gz BMNUUAHCJAPHHB-YPMHNXCESA-N 0 0 443.478 -0.562 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CC(=O)N(Cc4ccccn4)C3)c2c(=O)n(C)c1=O ZINC000760147837 1072863908 /nfs/dbraw/zinc/86/39/08/1072863908.db2.gz FIOMIFQNQJXIFU-CYBMUJFWSA-N 0 0 426.433 -0.579 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CC(=O)N(Cc4ccccn4)C3)c2c(=O)n(C)c1=O ZINC000760147838 1072863981 /nfs/dbraw/zinc/86/39/81/1072863981.db2.gz FIOMIFQNQJXIFU-ZDUSSCGKSA-N 0 0 426.433 -0.579 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000760154660 1072865086 /nfs/dbraw/zinc/86/50/86/1072865086.db2.gz RKDNWNGODAJXPO-UHFFFAOYSA-N 0 0 441.506 -0.178 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000760154771 1072865218 /nfs/dbraw/zinc/86/52/18/1072865218.db2.gz STHPOCWXHGHMPU-HUUCEWRRSA-N 0 0 426.495 -0.303 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000760154772 1072865354 /nfs/dbraw/zinc/86/53/54/1072865354.db2.gz STHPOCWXHGHMPU-LSDHHAIUSA-N 0 0 426.495 -0.303 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCC(C)(C)NS(C)(=O)=O)cc1 ZINC000760165752 1072865659 /nfs/dbraw/zinc/86/56/59/1072865659.db2.gz BJASUEZSYBRAPI-UHFFFAOYSA-N 0 0 449.551 -0.099 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCCN2CCCS2(=O)=O)cc1 ZINC000760166958 1072866532 /nfs/dbraw/zinc/86/65/32/1072866532.db2.gz TVKQAKFRXCQJGG-UHFFFAOYSA-N 0 0 447.535 -0.391 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCCN2C(=O)CCC2=O)cc1 ZINC000760171156 1072867410 /nfs/dbraw/zinc/86/74/10/1072867410.db2.gz QIHYANPLZSMKSR-UHFFFAOYSA-N 0 0 425.463 -0.277 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000760171209 1072867569 /nfs/dbraw/zinc/86/75/69/1072867569.db2.gz XJJLSWHXVXHNMV-MRXNPFEDSA-N 0 0 433.509 -0.045 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1Br ZINC000760176546 1072867742 /nfs/dbraw/zinc/86/77/42/1072867742.db2.gz DXJIRKRIOANAME-UHFFFAOYSA-N 0 0 435.348 -0.567 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000760177620 1072867731 /nfs/dbraw/zinc/86/77/31/1072867731.db2.gz JUSOJCHQOYQWSG-HUUCEWRRSA-N 0 0 426.495 -0.303 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000760177622 1072867551 /nfs/dbraw/zinc/86/75/51/1072867551.db2.gz JUSOJCHQOYQWSG-LSDHHAIUSA-N 0 0 426.495 -0.303 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000760180824 1072867596 /nfs/dbraw/zinc/86/75/96/1072867596.db2.gz JDURCQZEWJQLMW-INIZCTEOSA-N 0 0 445.501 -0.797 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000760180826 1072867434 /nfs/dbraw/zinc/86/74/34/1072867434.db2.gz JDURCQZEWJQLMW-MRXNPFEDSA-N 0 0 445.501 -0.797 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000760193119 1072868006 /nfs/dbraw/zinc/86/80/06/1072868006.db2.gz CHAWZHKWBCXWEW-KRWDZBQOSA-N 0 0 441.506 -0.179 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000760193122 1072868395 /nfs/dbraw/zinc/86/83/95/1072868395.db2.gz CHAWZHKWBCXWEW-QGZVFWFLSA-N 0 0 441.506 -0.179 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCO[C@H](C(=O)OC)C2)cc1 ZINC000760196925 1072867972 /nfs/dbraw/zinc/86/79/72/1072867972.db2.gz VSRSYVWDURXKPF-HNNXBMFYSA-N 0 0 428.463 -0.142 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCO[C@@H](C(=O)OC)C2)cc1 ZINC000760196927 1072867952 /nfs/dbraw/zinc/86/79/52/1072867952.db2.gz VSRSYVWDURXKPF-OAHLLOKOSA-N 0 0 428.463 -0.142 20 0 IBADRN COCC(=O)N1CCN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)CC1 ZINC000760198883 1072868384 /nfs/dbraw/zinc/86/83/84/1072868384.db2.gz HRFXHTUMIYGEES-UHFFFAOYSA-N 0 0 441.506 -0.225 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000760198994 1072867991 /nfs/dbraw/zinc/86/79/91/1072867991.db2.gz JVHAXKOYDTXZPJ-UHFFFAOYSA-N 0 0 447.535 -0.439 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)cc1 ZINC000760199639 1072868642 /nfs/dbraw/zinc/86/86/42/1072868642.db2.gz RONFOORDRHHLNR-CABCVRRESA-N 0 0 427.479 -0.394 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)cc1 ZINC000760199647 1072868701 /nfs/dbraw/zinc/86/87/01/1072868701.db2.gz RONFOORDRHHLNR-GJZGRUSLSA-N 0 0 427.479 -0.394 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NC[C@H]2CC[C@H](C(N)=O)O2)cc1 ZINC000760199648 1072868657 /nfs/dbraw/zinc/86/86/57/1072868657.db2.gz RONFOORDRHHLNR-HUUCEWRRSA-N 0 0 427.479 -0.394 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)cc1 ZINC000760199651 1072868961 /nfs/dbraw/zinc/86/89/61/1072868961.db2.gz RONFOORDRHHLNR-LSDHHAIUSA-N 0 0 427.479 -0.394 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000760200510 1072868903 /nfs/dbraw/zinc/86/89/03/1072868903.db2.gz YWPQIDYKGOLISO-UHFFFAOYSA-N 0 0 441.506 -0.225 20 0 IBADRN COC(=O)c1cncc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c1 ZINC000760200997 1072868769 /nfs/dbraw/zinc/86/87/69/1072868769.db2.gz SXIGCPIPERELMI-UHFFFAOYSA-N 0 0 429.433 -0.423 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CO)CC2)ccc1Br ZINC000760207660 1072868793 /nfs/dbraw/zinc/86/87/93/1072868793.db2.gz LUTNHCTWAQPFJT-UHFFFAOYSA-N 0 0 434.312 -0.024 20 0 IBADRN C[C@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000760208886 1072870016 /nfs/dbraw/zinc/87/00/16/1072870016.db2.gz RPEFXEOQCDEFIT-FQEVSTJZSA-N 0 0 439.534 -0.160 20 0 IBADRN C[C@@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000760208888 1072869930 /nfs/dbraw/zinc/86/99/30/1072869930.db2.gz RPEFXEOQCDEFIT-HXUWFJFHSA-N 0 0 439.534 -0.160 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)C2)cc1 ZINC000760211467 1072870030 /nfs/dbraw/zinc/87/00/30/1072870030.db2.gz XLCGCZQPJRTFDG-RUDMXATFSA-N 0 0 434.474 -0.705 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)C2)cc1 ZINC000760211474 1072870062 /nfs/dbraw/zinc/87/00/62/1072870062.db2.gz XLCGCZQPJRTFDG-WTKPLQERSA-N 0 0 434.474 -0.705 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(N)(=O)=O)CC2)nc1I ZINC000760215456 1072870268 /nfs/dbraw/zinc/87/02/68/1072870268.db2.gz SGQPJUVSOQJRLA-UHFFFAOYSA-N 0 0 426.236 -0.344 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccnn1Cc1ccc(F)cc1 ZINC000760220399 1072870908 /nfs/dbraw/zinc/87/09/08/1072870908.db2.gz XORNGCSUZHONDD-UHFFFAOYSA-N 0 0 439.469 -0.213 20 0 IBADRN CC(C)OC(=O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760261954 1072877665 /nfs/dbraw/zinc/87/76/65/1072877665.db2.gz YTJACEUJPUATQY-CYBMUJFWSA-N 0 0 443.478 -0.562 20 0 IBADRN CC(C)OC(=O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760261956 1072877690 /nfs/dbraw/zinc/87/76/90/1072877690.db2.gz YTJACEUJPUATQY-ZDUSSCGKSA-N 0 0 443.478 -0.562 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)c1 ZINC000760267052 1072899642 /nfs/dbraw/zinc/89/96/42/1072899642.db2.gz AEQJBBDRLAEMOK-UHFFFAOYSA-N 0 0 438.491 -0.116 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)cc1 ZINC000760267509 1072899722 /nfs/dbraw/zinc/89/97/22/1072899722.db2.gz GDKRODQLKOYNND-UHFFFAOYSA-N 0 0 449.532 -0.398 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)c1 ZINC000760267525 1072899443 /nfs/dbraw/zinc/89/94/43/1072899443.db2.gz GZKUSCSQLYBKBH-UHFFFAOYSA-N 0 0 449.532 -0.398 20 0 IBADRN CS(=O)(=O)CCn1cccc(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)c1=O ZINC000760267598 1072899461 /nfs/dbraw/zinc/89/94/61/1072899461.db2.gz JPPNVEZCRGDJBI-UHFFFAOYSA-N 0 0 425.488 -0.020 20 0 IBADRN Cn1c2ccc(S(=O)(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)cc2n(C)c1=O ZINC000760268103 1072899708 /nfs/dbraw/zinc/89/97/08/1072899708.db2.gz ODDARZBQIITXGY-UHFFFAOYSA-N 0 0 440.503 -0.116 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)cc2C1=O ZINC000760268113 1072899428 /nfs/dbraw/zinc/89/94/28/1072899428.db2.gz PAEIGVHUSWXYFD-UHFFFAOYSA-N 0 0 439.471 -0.081 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760269438 1072900223 /nfs/dbraw/zinc/90/02/23/1072900223.db2.gz SPZRPURPUHKZOM-UHFFFAOYSA-N 0 0 436.512 -0.676 20 0 IBADRN CS(=O)(=O)CCn1cccc(NS(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)c1=O ZINC000760269871 1072899488 /nfs/dbraw/zinc/89/94/88/1072899488.db2.gz WXVFQOFGIVVLIL-UHFFFAOYSA-N 0 0 425.444 -0.423 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)N[C@H]1CCSC1=O ZINC000760297918 1072988421 /nfs/dbraw/zinc/98/84/21/1072988421.db2.gz ZNYRVNOMDDJZPI-APPDUMDISA-N 0 0 441.462 -0.102 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)N[C@@H]1CCSC1=O ZINC000760297922 1072988468 /nfs/dbraw/zinc/98/84/68/1072988468.db2.gz ZNYRVNOMDDJZPI-DIFFPNOSSA-N 0 0 441.462 -0.102 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)N[C@H]1CCSC1=O ZINC000760297926 1072988475 /nfs/dbraw/zinc/98/84/75/1072988475.db2.gz ZNYRVNOMDDJZPI-GTNSWQLSSA-N 0 0 441.462 -0.102 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)N[C@@H]1CCSC1=O ZINC000760297928 1072988426 /nfs/dbraw/zinc/98/84/26/1072988426.db2.gz ZNYRVNOMDDJZPI-PIGZYNQJSA-N 0 0 441.462 -0.102 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000760300972 1072989754 /nfs/dbraw/zinc/98/97/54/1072989754.db2.gz GUFLFFODCUKCND-HNNXBMFYSA-N 0 0 448.567 -0.729 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000760301061 1072988969 /nfs/dbraw/zinc/98/89/69/1072988969.db2.gz GUFLFFODCUKCND-OAHLLOKOSA-N 0 0 448.567 -0.729 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N[C@@H]1CCSC1=O ZINC000760314288 1072991344 /nfs/dbraw/zinc/99/13/44/1072991344.db2.gz IFTRMFIGTIPRIF-SECBINFHSA-N 0 0 437.459 -0.498 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N[C@H]1CCSC1=O ZINC000760314294 1072991386 /nfs/dbraw/zinc/99/13/86/1072991386.db2.gz IFTRMFIGTIPRIF-VIFPVBQESA-N 0 0 437.459 -0.498 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760363368 1072992821 /nfs/dbraw/zinc/99/28/21/1072992821.db2.gz WEOFNFMNTICSMD-GHMZBOCLSA-N 0 0 432.886 -0.318 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760363385 1072992874 /nfs/dbraw/zinc/99/28/74/1072992874.db2.gz WEOFNFMNTICSMD-MNOVXSKESA-N 0 0 432.886 -0.318 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760363392 1072992737 /nfs/dbraw/zinc/99/27/37/1072992737.db2.gz WEOFNFMNTICSMD-QWRGUYRKSA-N 0 0 432.886 -0.318 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760363395 1072992836 /nfs/dbraw/zinc/99/28/36/1072992836.db2.gz WEOFNFMNTICSMD-WDEREUQCSA-N 0 0 432.886 -0.318 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3(NC(=O)OC(C)(C)C)CC3)CC2)CC1 ZINC000760365718 1072992728 /nfs/dbraw/zinc/99/27/28/1072992728.db2.gz OSHVPYGCVVSRPT-UHFFFAOYSA-N 0 0 431.559 -0.320 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cccc1N1CCOC1=O ZINC000760366278 1072992773 /nfs/dbraw/zinc/99/27/73/1072992773.db2.gz CMJQADHVMSNEMV-UHFFFAOYSA-N 0 0 427.483 -0.161 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cccc(CN2CCOC2=O)c1 ZINC000760366570 1072992762 /nfs/dbraw/zinc/99/27/62/1072992762.db2.gz DKTKZIDCMLFOFA-UHFFFAOYSA-N 0 0 427.483 -0.474 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(Cl)ccc1C(=O)N(C)C ZINC000760366611 1072992804 /nfs/dbraw/zinc/99/28/04/1072992804.db2.gz FABKHQZMLAXHAP-UHFFFAOYSA-N 0 0 433.918 -0.071 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(=O)n(Cc2ccccc2)c1 ZINC000760366654 1072992767 /nfs/dbraw/zinc/99/27/67/1072992767.db2.gz FLGCANWBQOFHOX-UHFFFAOYSA-N 0 0 435.506 -0.216 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000760366726 1072992850 /nfs/dbraw/zinc/99/28/50/1072992850.db2.gz FXPIZJYJCSBOSU-UHFFFAOYSA-N 0 0 442.466 -0.430 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2C(=O)CCCC2=O)cc1 ZINC000760367183 1072992856 /nfs/dbraw/zinc/99/28/56/1072992856.db2.gz HMTWBJIZUNZZPH-UHFFFAOYSA-N 0 0 439.494 -0.383 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1cc(N2CCCC2=O)ccc1F ZINC000760367200 1072991838 /nfs/dbraw/zinc/99/18/38/1072991838.db2.gz IJZRRGJYPODFDG-UHFFFAOYSA-N 0 0 429.474 -0.160 20 0 IBADRN COC(=O)N(C)Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1 ZINC000760367289 1072991756 /nfs/dbraw/zinc/99/17/56/1072991756.db2.gz JLUCKNQXPVKGKM-UHFFFAOYSA-N 0 0 429.499 -0.228 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000760367748 1072991818 /nfs/dbraw/zinc/99/18/18/1072991818.db2.gz LMBMBWDXUXFVKZ-AWEZNQCLSA-N 0 0 427.527 -0.201 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCO[C@H](C)C2)cc1 ZINC000760367751 1072991848 /nfs/dbraw/zinc/99/18/48/1072991848.db2.gz LMBMBWDXUXFVKZ-CQSZACIVSA-N 0 0 427.527 -0.201 20 0 IBADRN Cc1ccc(N2CCOC2=O)cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000760367796 1072992754 /nfs/dbraw/zinc/99/27/54/1072992754.db2.gz MEQWDXDKYNVYPI-UHFFFAOYSA-N 0 0 427.483 -0.161 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCOCC2)c(F)c1 ZINC000760368292 1072992229 /nfs/dbraw/zinc/99/22/29/1072992229.db2.gz OQMFCXCAYXQVTM-UHFFFAOYSA-N 0 0 431.490 -0.451 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)ccc1N1CCOCC1 ZINC000760368310 1072992259 /nfs/dbraw/zinc/99/22/59/1072992259.db2.gz OWBCOAFRNUBPRL-UHFFFAOYSA-N 0 0 427.527 -0.281 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(CN2CCOC2=O)cc1 ZINC000760368390 1072992349 /nfs/dbraw/zinc/99/23/49/1072992349.db2.gz PYDHSJHOKFJMIT-UHFFFAOYSA-N 0 0 427.483 -0.474 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1N1CCOC1=O ZINC000760369723 1072992392 /nfs/dbraw/zinc/99/23/92/1072992392.db2.gz XDWUFTLJABZSQM-UHFFFAOYSA-N 0 0 427.483 -0.161 20 0 IBADRN COc1nc(Br)ccc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000760369729 1072992192 /nfs/dbraw/zinc/99/21/92/1072992192.db2.gz XEOBKZDHICXSHY-UHFFFAOYSA-N 0 0 438.304 -0.260 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(-n2cncn2)c(Cl)c1 ZINC000760369763 1072992147 /nfs/dbraw/zinc/99/21/47/1072992147.db2.gz XMFFYKBTROAVCA-UHFFFAOYSA-N 0 0 429.890 -0.192 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC000760369830 1072992400 /nfs/dbraw/zinc/99/24/00/1072992400.db2.gz XWYCIHRQTVQLDF-UHFFFAOYSA-N 0 0 442.466 -0.430 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCOC[C@@H]2C)cc1 ZINC000760369938 1072992247 /nfs/dbraw/zinc/99/22/47/1072992247.db2.gz YIZYPPDITANQLF-AWEZNQCLSA-N 0 0 427.527 -0.201 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(N2CCOC[C@H]2C)cc1 ZINC000760369939 1072992304 /nfs/dbraw/zinc/99/23/04/1072992304.db2.gz YIZYPPDITANQLF-CQSZACIVSA-N 0 0 427.527 -0.201 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C)cc1N1CCOC1=O ZINC000760369944 1072992373 /nfs/dbraw/zinc/99/23/73/1072992373.db2.gz YRMXORMWXCWEPB-UHFFFAOYSA-N 0 0 443.482 -0.461 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCN1C ZINC000760373712 1072992272 /nfs/dbraw/zinc/99/22/72/1072992272.db2.gz MNXCOZHWCVEEOP-DZGCQCFKSA-N 0 0 440.522 -0.467 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCN1C ZINC000760373714 1072992431 /nfs/dbraw/zinc/99/24/31/1072992431.db2.gz MNXCOZHWCVEEOP-HIFRSBDPSA-N 0 0 440.522 -0.467 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCN1C ZINC000760373716 1072992308 /nfs/dbraw/zinc/99/23/08/1072992308.db2.gz MNXCOZHWCVEEOP-UKRRQHHQSA-N 0 0 440.522 -0.467 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)CCN1C ZINC000760373718 1072992162 /nfs/dbraw/zinc/99/21/62/1072992162.db2.gz MNXCOZHWCVEEOP-ZFWWWQNUSA-N 0 0 440.522 -0.467 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)Nc3cc(-n4cnnn4)ccc3F)c2c(=O)n(C)c1=O ZINC000760374931 1072992358 /nfs/dbraw/zinc/99/23/58/1072992358.db2.gz BZDODIFBZSARTD-UHFFFAOYSA-N 0 0 433.791 -0.159 20 0 IBADRN O=C(c1ccc(-n2ccnn2)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000760376390 1072992779 /nfs/dbraw/zinc/99/27/79/1072992779.db2.gz NAOIKXZXCHIBRB-INIZCTEOSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1ccc(-n2ccnn2)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760376392 1072992794 /nfs/dbraw/zinc/99/27/94/1072992794.db2.gz NAOIKXZXCHIBRB-MRXNPFEDSA-N 0 0 439.519 -0.458 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC000760385469 1072993244 /nfs/dbraw/zinc/99/32/44/1072993244.db2.gz YWIKJCAEMLTDIO-AWEZNQCLSA-N 0 0 443.547 -0.242 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC000760385475 1072993274 /nfs/dbraw/zinc/99/32/74/1072993274.db2.gz YWIKJCAEMLTDIO-CQSZACIVSA-N 0 0 443.547 -0.242 20 0 IBADRN CN(CC(C)(C)O)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760394471 1072993358 /nfs/dbraw/zinc/99/33/58/1072993358.db2.gz KGSQANUDJQHEPT-CYBMUJFWSA-N 0 0 429.495 -0.401 20 0 IBADRN CN(CC(C)(C)O)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760394480 1072993257 /nfs/dbraw/zinc/99/32/57/1072993257.db2.gz KGSQANUDJQHEPT-ZDUSSCGKSA-N 0 0 429.495 -0.401 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)cn1 ZINC000760413368 1072993745 /nfs/dbraw/zinc/99/37/45/1072993745.db2.gz HWUXYGOQICFDQM-UHFFFAOYSA-N 0 0 425.371 -0.271 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)n1 ZINC000760426252 1072993712 /nfs/dbraw/zinc/99/37/12/1072993712.db2.gz PEPVAOZRAFKJGL-UHFFFAOYSA-N 0 0 440.386 -0.534 20 0 IBADRN C[C@H](OC(=O)CCN1C(=O)NC(C)(C)C1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000760427634 1072993793 /nfs/dbraw/zinc/99/37/93/1072993793.db2.gz PUFMPRBGAJEGQO-JTQLQIEISA-N 0 0 426.451 -0.075 20 0 IBADRN C[C@@H](OC(=O)CCN1C(=O)NC(C)(C)C1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000760427637 1072993720 /nfs/dbraw/zinc/99/37/20/1072993720.db2.gz PUFMPRBGAJEGQO-SNVBAGLBSA-N 0 0 426.451 -0.075 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C)S(=O)(=O)CC2)cc1 ZINC000760430642 1072993715 /nfs/dbraw/zinc/99/37/15/1072993715.db2.gz BMEOHZUFOKKQOG-GFCCVEGCSA-N 0 0 433.508 -0.157 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C)S(=O)(=O)CC2)cc1 ZINC000760430643 1072993732 /nfs/dbraw/zinc/99/37/32/1072993732.db2.gz BMEOHZUFOKKQOG-LBPRGKRZSA-N 0 0 433.508 -0.157 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)c1=O ZINC000760436998 1072993734 /nfs/dbraw/zinc/99/37/34/1072993734.db2.gz XQOBVCYUDFZABY-UHFFFAOYSA-N 0 0 448.545 -0.096 20 0 IBADRN CC(C)C[C@H](O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760445323 1072994205 /nfs/dbraw/zinc/99/42/05/1072994205.db2.gz SMDAEERAJCKQID-DZGCQCFKSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)C[C@@H](O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760445324 1072994252 /nfs/dbraw/zinc/99/42/52/1072994252.db2.gz SMDAEERAJCKQID-HIFRSBDPSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)C[C@@H](O)CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760445325 1072994296 /nfs/dbraw/zinc/99/42/96/1072994296.db2.gz SMDAEERAJCKQID-UKRRQHHQSA-N 0 0 443.522 -0.107 20 0 IBADRN CC(C)C[C@H](O)CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000760445327 1072994161 /nfs/dbraw/zinc/99/41/61/1072994161.db2.gz SMDAEERAJCKQID-ZFWWWQNUSA-N 0 0 443.522 -0.107 20 0 IBADRN C[C@H](CC(=O)NCCN1CCS(=O)(=O)CC1)NS(=O)(=O)c1cccnc1Cl ZINC000760445709 1072994182 /nfs/dbraw/zinc/99/41/82/1072994182.db2.gz XEICJMBCNGGTOT-GFCCVEGCSA-N 0 0 438.959 -0.362 20 0 IBADRN C[C@@H](CC(=O)NCCN1CCS(=O)(=O)CC1)NS(=O)(=O)c1cccnc1Cl ZINC000760445716 1072994256 /nfs/dbraw/zinc/99/42/56/1072994256.db2.gz XEICJMBCNGGTOT-LBPRGKRZSA-N 0 0 438.959 -0.362 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1C ZINC000760447852 1072994195 /nfs/dbraw/zinc/99/41/95/1072994195.db2.gz ICYHOXOEKMWSBM-GDBMZVCRSA-N 0 0 438.506 -0.121 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1C ZINC000760447855 1072994281 /nfs/dbraw/zinc/99/42/81/1072994281.db2.gz ICYHOXOEKMWSBM-GOEBONIOSA-N 0 0 438.506 -0.121 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1C ZINC000760447858 1072994263 /nfs/dbraw/zinc/99/42/63/1072994263.db2.gz ICYHOXOEKMWSBM-HOCLYGCPSA-N 0 0 438.506 -0.121 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1C ZINC000760447861 1072994199 /nfs/dbraw/zinc/99/41/99/1072994199.db2.gz ICYHOXOEKMWSBM-ZBFHGGJFSA-N 0 0 438.506 -0.121 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H](CCO)C1CC1 ZINC000760448684 1072994174 /nfs/dbraw/zinc/99/41/74/1072994174.db2.gz NBISABRDDLICTM-CABCVRRESA-N 0 0 441.506 -0.353 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H](CCO)C1CC1 ZINC000760448685 1072994276 /nfs/dbraw/zinc/99/42/76/1072994276.db2.gz NBISABRDDLICTM-GJZGRUSLSA-N 0 0 441.506 -0.353 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H](CCO)C1CC1 ZINC000760448686 1072994217 /nfs/dbraw/zinc/99/42/17/1072994217.db2.gz NBISABRDDLICTM-HUUCEWRRSA-N 0 0 441.506 -0.353 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H](CCO)C1CC1 ZINC000760448687 1072994222 /nfs/dbraw/zinc/99/42/22/1072994222.db2.gz NBISABRDDLICTM-LSDHHAIUSA-N 0 0 441.506 -0.353 20 0 IBADRN COC(=O)N1CC(NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000760465384 1072994630 /nfs/dbraw/zinc/99/46/30/1072994630.db2.gz WQAFJYYSUSUAIU-HNNXBMFYSA-N 0 0 426.495 -0.217 20 0 IBADRN COC(=O)N1CC(NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc(C)c(C)c2)C1 ZINC000760465385 1072994747 /nfs/dbraw/zinc/99/47/47/1072994747.db2.gz WQAFJYYSUSUAIU-OAHLLOKOSA-N 0 0 426.495 -0.217 20 0 IBADRN CC1(CNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)OCCO1 ZINC000760469635 1072994837 /nfs/dbraw/zinc/99/48/37/1072994837.db2.gz TUXKPTOPLVWELI-CYBMUJFWSA-N 0 0 443.478 -0.751 20 0 IBADRN CC1(CNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)OCCO1 ZINC000760469636 1072994693 /nfs/dbraw/zinc/99/46/93/1072994693.db2.gz TUXKPTOPLVWELI-ZDUSSCGKSA-N 0 0 443.478 -0.751 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NN2CC(=O)N(C)C2=O)cc1C ZINC000760482508 1072995040 /nfs/dbraw/zinc/99/50/40/1072995040.db2.gz FLQBLVHYMAIWCV-CYBMUJFWSA-N 0 0 425.467 -0.859 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NN2CC(=O)N(C)C2=O)cc1C ZINC000760482530 1072995226 /nfs/dbraw/zinc/99/52/26/1072995226.db2.gz FLQBLVHYMAIWCV-ZDUSSCGKSA-N 0 0 425.467 -0.859 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H](CO)C1CC1 ZINC000760485478 1072995057 /nfs/dbraw/zinc/99/50/57/1072995057.db2.gz NOZBPMJIKRZWJX-KBPBESRZSA-N 0 0 427.479 -0.743 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@@H](CO)C1CC1 ZINC000760485492 1072995323 /nfs/dbraw/zinc/99/53/23/1072995323.db2.gz NOZBPMJIKRZWJX-KGLIPLIRSA-N 0 0 427.479 -0.743 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H](CO)C1CC1 ZINC000760485493 1072995074 /nfs/dbraw/zinc/99/50/74/1072995074.db2.gz NOZBPMJIKRZWJX-UONOGXRCSA-N 0 0 427.479 -0.743 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N[C@H](CO)C1CC1 ZINC000760485494 1072995292 /nfs/dbraw/zinc/99/52/92/1072995292.db2.gz NOZBPMJIKRZWJX-ZIAGYGMSSA-N 0 0 427.479 -0.743 20 0 IBADRN C[C@@H](CC(=O)N1CCN(S(N)(=O)=O)CC1)NS(=O)(=O)c1cccnc1Cl ZINC000760501879 1072999664 /nfs/dbraw/zinc/99/96/64/1072999664.db2.gz JKTCVVDETSAJRX-JTQLQIEISA-N 0 0 425.920 -0.860 20 0 IBADRN C[C@H](CC(=O)N1CCN(S(N)(=O)=O)CC1)NS(=O)(=O)c1cccnc1Cl ZINC000760501880 1072999708 /nfs/dbraw/zinc/99/97/08/1072999708.db2.gz JKTCVVDETSAJRX-SNVBAGLBSA-N 0 0 425.920 -0.860 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)oc1Br ZINC000760519408 1073010762 /nfs/dbraw/zinc/01/07/62/1073010762.db2.gz IRRKBFNUOBVYQT-SSDOTTSWSA-N 0 0 439.240 -0.119 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)oc1Br ZINC000760519410 1073010871 /nfs/dbraw/zinc/01/08/71/1073010871.db2.gz IRRKBFNUOBVYQT-ZETCQYMHSA-N 0 0 439.240 -0.119 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760522708 1073027495 /nfs/dbraw/zinc/02/74/95/1073027495.db2.gz KUIBAGAXQKCAQE-NEPJUHHUSA-N 0 0 445.929 -0.355 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760522709 1073027486 /nfs/dbraw/zinc/02/74/86/1073027486.db2.gz KUIBAGAXQKCAQE-NWDGAFQWSA-N 0 0 445.929 -0.355 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760522910 1073025286 /nfs/dbraw/zinc/02/52/86/1073025286.db2.gz KUIBAGAXQKCAQE-RYUDHWBXSA-N 0 0 445.929 -0.355 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760522911 1073025893 /nfs/dbraw/zinc/02/58/93/1073025893.db2.gz KUIBAGAXQKCAQE-VXGBXAGGSA-N 0 0 445.929 -0.355 20 0 IBADRN COc1cccc([C@@H]2CC(=O)N(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)c1 ZINC000760532971 1073071545 /nfs/dbraw/zinc/07/15/45/1073071545.db2.gz RITKNXNRJZPIRZ-GOSISDBHSA-N 0 0 430.505 -0.028 20 0 IBADRN COc1cccc([C@H]2CC(=O)N(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)c1 ZINC000760532980 1073071566 /nfs/dbraw/zinc/07/15/66/1073071566.db2.gz RITKNXNRJZPIRZ-SFHVURJKSA-N 0 0 430.505 -0.028 20 0 IBADRN COc1ccsc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760536736 1073071676 /nfs/dbraw/zinc/07/16/76/1073071676.db2.gz IJCGWNMXPUUTLR-LLVKDONJSA-N 0 0 444.578 -0.420 20 0 IBADRN COc1ccsc1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000760536741 1073071497 /nfs/dbraw/zinc/07/14/97/1073071497.db2.gz IJCGWNMXPUUTLR-NSHDSACASA-N 0 0 444.578 -0.420 20 0 IBADRN O=S(=O)(CC[C@@H]1CCCO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000760538272 1073071554 /nfs/dbraw/zinc/07/15/54/1073071554.db2.gz SUCNZTKPUWYXBH-KBPBESRZSA-N 0 0 430.570 -0.980 20 0 IBADRN O=S(=O)(CC[C@H]1CCCO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000760538278 1073071704 /nfs/dbraw/zinc/07/17/04/1073071704.db2.gz SUCNZTKPUWYXBH-KGLIPLIRSA-N 0 0 430.570 -0.980 20 0 IBADRN O=S(=O)(CC[C@@H]1CCCO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760538281 1073071536 /nfs/dbraw/zinc/07/15/36/1073071536.db2.gz SUCNZTKPUWYXBH-UONOGXRCSA-N 0 0 430.570 -0.980 20 0 IBADRN O=S(=O)(CC[C@H]1CCCO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760538285 1073071661 /nfs/dbraw/zinc/07/16/61/1073071661.db2.gz SUCNZTKPUWYXBH-ZIAGYGMSSA-N 0 0 430.570 -0.980 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000760555085 1073072000 /nfs/dbraw/zinc/07/20/00/1073072000.db2.gz FTZUUUYDCGFNTK-UHFFFAOYSA-N 0 0 426.538 -0.580 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc1F ZINC000760555737 1073072099 /nfs/dbraw/zinc/07/20/99/1073072099.db2.gz JZPNBHHCUKJCAZ-UHFFFAOYSA-N 0 0 430.501 -0.831 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)c(Br)o1 ZINC000760572483 1073090938 /nfs/dbraw/zinc/09/09/38/1073090938.db2.gz AMRFKIDUQNXQCV-UHFFFAOYSA-N 0 0 432.274 -0.662 20 0 IBADRN C[C@H](CC(=O)NCC(=O)NCCN1CCOCC1)NS(=O)(=O)c1cccnc1Cl ZINC000760573973 1073091342 /nfs/dbraw/zinc/09/13/42/1073091342.db2.gz MHIWNLVKSZGASI-CYBMUJFWSA-N 0 0 447.945 -0.644 20 0 IBADRN C[C@@H](CC(=O)NCC(=O)NCCN1CCOCC1)NS(=O)(=O)c1cccnc1Cl ZINC000760573983 1073091213 /nfs/dbraw/zinc/09/12/13/1073091213.db2.gz MHIWNLVKSZGASI-ZDUSSCGKSA-N 0 0 447.945 -0.644 20 0 IBADRN Cc1nc2ccnn2c(C)c1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000760583739 1073303802 /nfs/dbraw/zinc/30/38/02/1073303802.db2.gz MHPGXKNGTLVJOX-HNNXBMFYSA-N 0 0 435.506 -0.166 20 0 IBADRN Cc1nc2ccnn2c(C)c1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760583741 1073303846 /nfs/dbraw/zinc/30/38/46/1073303846.db2.gz MHPGXKNGTLVJOX-OAHLLOKOSA-N 0 0 435.506 -0.166 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(/C=C\C(=O)OC)cc1 ZINC000760595957 1073446804 /nfs/dbraw/zinc/44/68/04/1073446804.db2.gz BMVGUYXHCBDIFZ-CXOKCQFOSA-N 0 0 440.474 -0.058 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(/C=C/C(=O)OC)cc1 ZINC000760595959 1073446766 /nfs/dbraw/zinc/44/67/66/1073446766.db2.gz BMVGUYXHCBDIFZ-FSNWXROXSA-N 0 0 440.474 -0.058 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(/C=C\C(=O)OC)cc1 ZINC000760595961 1073446863 /nfs/dbraw/zinc/44/68/63/1073446863.db2.gz BMVGUYXHCBDIFZ-HWYHOQROSA-N 0 0 440.474 -0.058 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(/C=C/C(=O)OC)cc1 ZINC000760595962 1073446963 /nfs/dbraw/zinc/44/69/63/1073446963.db2.gz BMVGUYXHCBDIFZ-YXMGTMDOSA-N 0 0 440.474 -0.058 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(F)c(C(=O)OC)c1 ZINC000760596069 1073445374 /nfs/dbraw/zinc/44/53/74/1073445374.db2.gz DGHWJXDTQZRWRK-AWEZNQCLSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(F)c(C(=O)OC)c1 ZINC000760596072 1073445364 /nfs/dbraw/zinc/44/53/64/1073445364.db2.gz DGHWJXDTQZRWRK-CQSZACIVSA-N 0 0 432.426 -0.319 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)NCC3(O)CCC4(CC3)OCCO4)cnc21 ZINC000760596246 1073447555 /nfs/dbraw/zinc/44/75/55/1073447555.db2.gz LRRDFRHKWMNNSS-UHFFFAOYSA-N 0 0 426.451 -0.639 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)C1CCN(C(=O)OCC)CC1 ZINC000760597944 1073453375 /nfs/dbraw/zinc/45/33/75/1073453375.db2.gz LMLUIIIYLBXHIK-AWEZNQCLSA-N 0 0 435.499 -0.683 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)C1CCN(C(=O)OCC)CC1 ZINC000760597945 1073453412 /nfs/dbraw/zinc/45/34/12/1073453412.db2.gz LMLUIIIYLBXHIK-CQSZACIVSA-N 0 0 435.499 -0.683 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(N2CCOC2=O)cc1 ZINC000760599088 1073454218 /nfs/dbraw/zinc/45/42/18/1073454218.db2.gz PWSCASUFRGLYJR-HNNXBMFYSA-N 0 0 441.462 -0.288 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(N2CCOC2=O)cc1 ZINC000760599094 1073453951 /nfs/dbraw/zinc/45/39/51/1073453951.db2.gz PWSCASUFRGLYJR-OAHLLOKOSA-N 0 0 441.462 -0.288 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(Cl)nc1C(=O)OC ZINC000760600241 1073459171 /nfs/dbraw/zinc/45/91/71/1073459171.db2.gz WXDYMPVGRDWZKC-JTQLQIEISA-N 0 0 449.869 -0.410 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(Cl)nc1C(=O)OC ZINC000760600244 1073458994 /nfs/dbraw/zinc/45/89/94/1073458994.db2.gz WXDYMPVGRDWZKC-SNVBAGLBSA-N 0 0 449.869 -0.410 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000760612215 1073564808 /nfs/dbraw/zinc/56/48/08/1073564808.db2.gz KJJSCYGJTPFAPQ-AWEZNQCLSA-N 0 0 444.554 -0.509 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000760612216 1073564749 /nfs/dbraw/zinc/56/47/49/1073564749.db2.gz KJJSCYGJTPFAPQ-CQSZACIVSA-N 0 0 444.554 -0.509 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000760622507 1073584061 /nfs/dbraw/zinc/58/40/61/1073584061.db2.gz DJQSSUQWQZKOHT-UHFFFAOYSA-N 0 0 443.345 -0.341 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C(C)C)C2=O ZINC000760623068 1073583470 /nfs/dbraw/zinc/58/34/70/1073583470.db2.gz JAJXBZPTKNFUOY-UHFFFAOYSA-N 0 0 432.524 -0.198 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCNS(=O)(=O)NC(C)C)cc2S1(=O)=O ZINC000760623964 1073583873 /nfs/dbraw/zinc/58/38/73/1073583873.db2.gz TXYKDLDBYGAOSX-UHFFFAOYSA-N 0 0 448.523 -0.960 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc(F)c(S(=O)(=O)Cc2ncon2)c1 ZINC000760624352 1073583921 /nfs/dbraw/zinc/58/39/21/1073583921.db2.gz YAGLHVRVOSGXRX-UHFFFAOYSA-N 0 0 449.486 -0.255 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC000760624364 1073584185 /nfs/dbraw/zinc/58/41/85/1073584185.db2.gz YFWHFBUGOGYJSJ-UHFFFAOYSA-N 0 0 441.554 -0.111 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1csc(S(N)(=O)=O)c1)c(=O)n2C ZINC000760636906 1073675198 /nfs/dbraw/zinc/67/51/98/1073675198.db2.gz WSHRJOACGVHIBK-UHFFFAOYSA-N 0 0 427.464 -0.610 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC000760638499 1073675900 /nfs/dbraw/zinc/67/59/00/1073675900.db2.gz BJKPFBNLADPACA-UHFFFAOYSA-N 0 0 430.508 -0.444 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC000760640247 1073675800 /nfs/dbraw/zinc/67/58/00/1073675800.db2.gz HYXFZIHPKGHQJO-CVEARBPZSA-N 0 0 428.511 -0.293 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F ZINC000760641227 1073677135 /nfs/dbraw/zinc/67/71/35/1073677135.db2.gz NKBHMXMKLSDDPD-UHFFFAOYSA-N 0 0 440.494 -0.032 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760641246 1073677089 /nfs/dbraw/zinc/67/70/89/1073677089.db2.gz NVZIQSLXBVPYMP-LLVKDONJSA-N 0 0 441.963 -0.260 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC000760641247 1073677025 /nfs/dbraw/zinc/67/70/25/1073677025.db2.gz NVZIQSLXBVPYMP-NSHDSACASA-N 0 0 441.963 -0.260 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000760642828 1073676280 /nfs/dbraw/zinc/67/62/80/1073676280.db2.gz UTMTZPPHHSEYOJ-CHWSQXEVSA-N 0 0 429.561 -0.131 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000760642832 1073676209 /nfs/dbraw/zinc/67/62/09/1073676209.db2.gz UTMTZPPHHSEYOJ-OLZOCXBDSA-N 0 0 429.561 -0.131 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000760642836 1073676229 /nfs/dbraw/zinc/67/62/29/1073676229.db2.gz UTMTZPPHHSEYOJ-QWHCGFSZSA-N 0 0 429.561 -0.131 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000760642839 1073676225 /nfs/dbraw/zinc/67/62/25/1073676225.db2.gz UTMTZPPHHSEYOJ-STQMWFEESA-N 0 0 429.561 -0.131 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000760647409 1073676253 /nfs/dbraw/zinc/67/62/53/1073676253.db2.gz OWWNZWYBOHQTAB-NEPJUHHUSA-N 0 0 432.499 -0.613 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000760647418 1073676276 /nfs/dbraw/zinc/67/62/76/1073676276.db2.gz OWWNZWYBOHQTAB-NWDGAFQWSA-N 0 0 432.499 -0.613 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000760647419 1073676245 /nfs/dbraw/zinc/67/62/45/1073676245.db2.gz OWWNZWYBOHQTAB-RYUDHWBXSA-N 0 0 432.499 -0.613 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000760647814 1073677499 /nfs/dbraw/zinc/67/74/99/1073677499.db2.gz OWWNZWYBOHQTAB-VXGBXAGGSA-N 0 0 432.499 -0.613 20 0 IBADRN C[C@H](CC(=O)NCCOCCS(C)(=O)=O)NS(=O)(=O)c1cccnc1Cl ZINC000760658779 1073679032 /nfs/dbraw/zinc/67/90/32/1073679032.db2.gz VCIXZWGXQRDRFP-LLVKDONJSA-N 0 0 427.932 -0.031 20 0 IBADRN C[C@@H](CC(=O)NCCOCCS(C)(=O)=O)NS(=O)(=O)c1cccnc1Cl ZINC000760658780 1073678997 /nfs/dbraw/zinc/67/89/97/1073678997.db2.gz VCIXZWGXQRDRFP-NSHDSACASA-N 0 0 427.932 -0.031 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@H]3CCNC(=O)C3)C2)CC1 ZINC000760683017 1072172159 /nfs/dbraw/zinc/17/21/59/1072172159.db2.gz UOQGYSHTRVFXLF-CYBMUJFWSA-N 0 0 430.527 -0.394 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CCNC(=O)C3)C2)CC1 ZINC000760683029 1072172048 /nfs/dbraw/zinc/17/20/48/1072172048.db2.gz UOQGYSHTRVFXLF-ZDUSSCGKSA-N 0 0 430.527 -0.394 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CN(C)C3=NS(=O)(=O)c4ccccc43)C2)nn1 ZINC000760688675 1072176319 /nfs/dbraw/zinc/17/63/19/1072176319.db2.gz YPHYXSSAUTVICN-GFCCVEGCSA-N 0 0 432.462 -0.081 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CN(C)C3=NS(=O)(=O)c4ccccc43)C2)nn1 ZINC000760688684 1072176200 /nfs/dbraw/zinc/17/62/00/1072176200.db2.gz YPHYXSSAUTVICN-LBPRGKRZSA-N 0 0 432.462 -0.081 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)CN3CCN(C(=O)OC(C)(C)C)CC3=O)C2)nn1 ZINC000760688723 1072176324 /nfs/dbraw/zinc/17/63/24/1072176324.db2.gz ZCXIVAWXGMKJQZ-CYBMUJFWSA-N 0 0 436.469 -0.083 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)CN3CCN(C(=O)OC(C)(C)C)CC3=O)C2)nn1 ZINC000760688725 1072176174 /nfs/dbraw/zinc/17/61/74/1072176174.db2.gz ZCXIVAWXGMKJQZ-ZDUSSCGKSA-N 0 0 436.469 -0.083 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)O[C@@H](C(=O)N[C@]1(C)CCS(=O)(=O)C1)C(C)C ZINC000760689114 1072176248 /nfs/dbraw/zinc/17/62/48/1072176248.db2.gz AOGSHIMIUNQCJB-GDBMZVCRSA-N 0 0 426.557 -0.033 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)O[C@H](C(=O)N[C@]1(C)CCS(=O)(=O)C1)C(C)C ZINC000760689118 1072176254 /nfs/dbraw/zinc/17/62/54/1072176254.db2.gz AOGSHIMIUNQCJB-GOEBONIOSA-N 0 0 426.557 -0.033 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)O[C@H](C(=O)N[C@@]1(C)CCS(=O)(=O)C1)C(C)C ZINC000760689121 1072176218 /nfs/dbraw/zinc/17/62/18/1072176218.db2.gz AOGSHIMIUNQCJB-HOCLYGCPSA-N 0 0 426.557 -0.033 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)O[C@@H](C(=O)N[C@@]1(C)CCS(=O)(=O)C1)C(C)C ZINC000760689123 1072176342 /nfs/dbraw/zinc/17/63/42/1072176342.db2.gz AOGSHIMIUNQCJB-ZBFHGGJFSA-N 0 0 426.557 -0.033 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000760690273 1072176191 /nfs/dbraw/zinc/17/61/91/1072176191.db2.gz PDOQSVBAEWWRAN-UHFFFAOYSA-N 0 0 432.499 -0.219 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)OCc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC000760691874 1072178794 /nfs/dbraw/zinc/17/87/94/1072178794.db2.gz SEYTZVMOUSMMLY-UHFFFAOYSA-N 0 0 431.496 -0.172 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000760692038 1072178186 /nfs/dbraw/zinc/17/81/86/1072178186.db2.gz HYNNYILQVBPGFZ-UHFFFAOYSA-N 0 0 433.508 -0.514 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC000760693752 1072179380 /nfs/dbraw/zinc/17/93/80/1072179380.db2.gz SKZJZGCJLYAJSG-GFCCVEGCSA-N 0 0 447.535 -0.126 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC000760693753 1072179197 /nfs/dbraw/zinc/17/91/97/1072179197.db2.gz SKZJZGCJLYAJSG-LBPRGKRZSA-N 0 0 447.535 -0.126 20 0 IBADRN O=C(COC(=O)c1ccc(-n2ccnn2)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000760705497 1072183601 /nfs/dbraw/zinc/18/36/01/1072183601.db2.gz HWAGSCDPKABVNJ-KRWDZBQOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1ccc(-n2ccnn2)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760705498 1072184509 /nfs/dbraw/zinc/18/45/09/1072184509.db2.gz HWAGSCDPKABVNJ-QGZVFWFLSA-N 0 0 433.490 -0.245 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C[C@H](C)O1 ZINC000760715008 1072188745 /nfs/dbraw/zinc/18/87/45/1072188745.db2.gz OBBRMBUASZASHJ-KBPBESRZSA-N 0 0 429.495 -0.161 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C[C@H](C)O1 ZINC000760715025 1072188754 /nfs/dbraw/zinc/18/87/54/1072188754.db2.gz OBBRMBUASZASHJ-OKILXGFUSA-N 0 0 429.495 -0.161 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C[C@@H](C)O1 ZINC000760715029 1072187977 /nfs/dbraw/zinc/18/79/77/1072187977.db2.gz OBBRMBUASZASHJ-ZIAGYGMSSA-N 0 0 429.495 -0.161 20 0 IBADRN C[C@@H](CC(=O)N(C)C[C@H](O)CN1CCOCC1)NS(=O)(=O)c1cccnc1Cl ZINC000760716338 1072188720 /nfs/dbraw/zinc/18/87/20/1072188720.db2.gz JMPQSBFISXHCFL-KBPBESRZSA-N 0 0 434.946 -0.057 20 0 IBADRN C[C@H](CC(=O)N(C)C[C@H](O)CN1CCOCC1)NS(=O)(=O)c1cccnc1Cl ZINC000760716352 1072188150 /nfs/dbraw/zinc/18/81/50/1072188150.db2.gz JMPQSBFISXHCFL-KGLIPLIRSA-N 0 0 434.946 -0.057 20 0 IBADRN C[C@@H](CC(=O)N(C)C[C@@H](O)CN1CCOCC1)NS(=O)(=O)c1cccnc1Cl ZINC000760716355 1072188737 /nfs/dbraw/zinc/18/87/37/1072188737.db2.gz JMPQSBFISXHCFL-UONOGXRCSA-N 0 0 434.946 -0.057 20 0 IBADRN C[C@H](CC(=O)N(C)C[C@@H](O)CN1CCOCC1)NS(=O)(=O)c1cccnc1Cl ZINC000760716356 1072188763 /nfs/dbraw/zinc/18/87/63/1072188763.db2.gz JMPQSBFISXHCFL-ZIAGYGMSSA-N 0 0 434.946 -0.057 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000760738035 1072199772 /nfs/dbraw/zinc/19/97/72/1072199772.db2.gz MSSUKIOHLFQWOW-GFCCVEGCSA-N 0 0 446.482 -0.937 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000760738037 1072199683 /nfs/dbraw/zinc/19/96/83/1072199683.db2.gz MSSUKIOHLFQWOW-LBPRGKRZSA-N 0 0 446.482 -0.937 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@H](NC(=O)NC3CC3)C1)c2=O ZINC000760743764 1072201883 /nfs/dbraw/zinc/20/18/83/1072201883.db2.gz KNZNTYSQMWFFNM-JTQLQIEISA-N 0 0 438.286 -0.045 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@@H](NC(=O)NC3CC3)C1)c2=O ZINC000760743766 1072202015 /nfs/dbraw/zinc/20/20/15/1072202015.db2.gz KNZNTYSQMWFFNM-SNVBAGLBSA-N 0 0 438.286 -0.045 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000760744529 1072201239 /nfs/dbraw/zinc/20/12/39/1072201239.db2.gz NUNGFSLSMHEEIB-UHFFFAOYSA-N 0 0 444.510 -0.813 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N1CCCC[C@H]1C(N)=O ZINC000760751171 1072204251 /nfs/dbraw/zinc/20/42/51/1072204251.db2.gz YIFFNDHJLCETNV-AWEZNQCLSA-N 0 0 442.494 -0.931 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N1CCCC[C@@H]1C(N)=O ZINC000760751174 1072204164 /nfs/dbraw/zinc/20/41/64/1072204164.db2.gz YIFFNDHJLCETNV-CQSZACIVSA-N 0 0 442.494 -0.931 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000760753851 1072205317 /nfs/dbraw/zinc/20/53/17/1072205317.db2.gz LEQYFCGUQBPELJ-SECBINFHSA-N 0 0 426.275 -0.188 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000760753858 1072205346 /nfs/dbraw/zinc/20/53/46/1072205346.db2.gz LEQYFCGUQBPELJ-VIFPVBQESA-N 0 0 426.275 -0.188 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)c[nH]1 ZINC000760756623 1072205947 /nfs/dbraw/zinc/20/59/47/1072205947.db2.gz GSTZVZRRSVNOAR-UHFFFAOYSA-N 0 0 446.411 -0.447 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)o1 ZINC000760758067 1072205842 /nfs/dbraw/zinc/20/58/42/1072205842.db2.gz MYHPFXNCSRGGGU-UHFFFAOYSA-N 0 0 447.395 -0.182 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)c1=O ZINC000760770369 1072209865 /nfs/dbraw/zinc/20/98/65/1072209865.db2.gz YPXUPGAWTCLAOW-INIZCTEOSA-N 0 0 431.474 -0.048 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)c1=O ZINC000760770372 1072209728 /nfs/dbraw/zinc/20/97/28/1072209728.db2.gz YPXUPGAWTCLAOW-MRXNPFEDSA-N 0 0 431.474 -0.048 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)c1=O ZINC000760770621 1072209840 /nfs/dbraw/zinc/20/98/40/1072209840.db2.gz ZEZKYWRPJSQYFI-UHFFFAOYSA-N 0 0 426.476 -0.671 20 0 IBADRN Cc1cc(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000760772751 1072210509 /nfs/dbraw/zinc/21/05/09/1072210509.db2.gz KGLOHUXPTYJAJZ-UHFFFAOYSA-N 0 0 447.473 -0.601 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)nc2n(C)c1=O ZINC000760774716 1072210980 /nfs/dbraw/zinc/21/09/80/1072210980.db2.gz ZSAIZZNJWVEANJ-UHFFFAOYSA-N 0 0 433.446 -0.909 20 0 IBADRN CCCCNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000760775959 1072211955 /nfs/dbraw/zinc/21/19/55/1072211955.db2.gz VRDMPBHQSWZRKQ-UHFFFAOYSA-N 0 0 430.483 -0.171 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1=O ZINC000760784641 1072216217 /nfs/dbraw/zinc/21/62/17/1072216217.db2.gz FKNRMAUAQAJESB-KPZWWZAWSA-N 0 0 439.494 -0.265 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1=O ZINC000760784661 1072216192 /nfs/dbraw/zinc/21/61/92/1072216192.db2.gz FKNRMAUAQAJESB-KZULUSFZSA-N 0 0 439.494 -0.265 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1=O ZINC000760784663 1072216144 /nfs/dbraw/zinc/21/61/44/1072216144.db2.gz FKNRMAUAQAJESB-SGTLLEGYSA-N 0 0 439.494 -0.265 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1=O ZINC000760784665 1072216265 /nfs/dbraw/zinc/21/62/65/1072216265.db2.gz FKNRMAUAQAJESB-XIKOKIGWSA-N 0 0 439.494 -0.265 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)c1=O ZINC000760785058 1072215729 /nfs/dbraw/zinc/21/57/29/1072215729.db2.gz KBVIRHNCDUTLGI-UHFFFAOYSA-N 0 0 448.505 -0.259 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)c1=O ZINC000760788069 1072217459 /nfs/dbraw/zinc/21/74/59/1072217459.db2.gz FNVOYVUSMIWMCT-CYBMUJFWSA-N 0 0 434.540 -0.172 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCCC[C@H]2CNS(C)(=O)=O)c1=O ZINC000760788070 1072217441 /nfs/dbraw/zinc/21/74/41/1072217441.db2.gz FNVOYVUSMIWMCT-ZDUSSCGKSA-N 0 0 434.540 -0.172 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(c3nc(N)ns3)CC2)c1=O ZINC000760788167 1072217478 /nfs/dbraw/zinc/21/74/78/1072217478.db2.gz FTSHTLVGWDBETF-UHFFFAOYSA-N 0 0 427.512 -0.319 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)CCN2C1=O ZINC000760788269 1072216737 /nfs/dbraw/zinc/21/67/37/1072216737.db2.gz HFTPJZHZTDWTKF-CYBMUJFWSA-N 0 0 425.467 -0.607 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)CCN2C1=O ZINC000760788270 1072216814 /nfs/dbraw/zinc/21/68/14/1072216814.db2.gz HFTPJZHZTDWTKF-ZDUSSCGKSA-N 0 0 425.467 -0.607 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760788674 1072218325 /nfs/dbraw/zinc/21/83/25/1072218325.db2.gz JPFDZBOBJVPOLG-UHFFFAOYSA-N 0 0 441.510 -0.906 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCCNS(=O)(=O)c2ccccc2)c1=O ZINC000760788935 1072218403 /nfs/dbraw/zinc/21/84/03/1072218403.db2.gz LGIZOXOATSPERM-UHFFFAOYSA-N 0 0 442.519 -0.007 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCc2cccc(S(N)(=O)=O)c2)c1=O ZINC000760789485 1072218503 /nfs/dbraw/zinc/21/85/03/1072218503.db2.gz MHFWMFTWJHWRMM-UHFFFAOYSA-N 0 0 428.492 -0.138 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCc2ccc(S(N)(=O)=O)s2)c1=O ZINC000760791187 1072218896 /nfs/dbraw/zinc/21/88/96/1072218896.db2.gz UBVCJXZFSHZOBU-UHFFFAOYSA-N 0 0 434.521 -0.077 20 0 IBADRN CC(C)(CNC(=O)Nc1cccn(CCS(C)(=O)=O)c1=O)N1CCS(=O)CC1 ZINC000760792176 1072219542 /nfs/dbraw/zinc/21/95/42/1072219542.db2.gz ZFGKNADGDKLVOK-UHFFFAOYSA-N 0 0 432.568 -0.143 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(c3ncccc3C(N)=O)CC2)c1=O ZINC000760793297 1072220022 /nfs/dbraw/zinc/22/00/22/1072220022.db2.gz CLPGEMKMJZDCQJ-UHFFFAOYSA-N 0 0 448.505 -0.259 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCC2CCN(S(C)(=O)=O)CC2)c1=O ZINC000760793540 1072219413 /nfs/dbraw/zinc/21/94/13/1072219413.db2.gz FFOOSQQODFOVMD-UHFFFAOYSA-N 0 0 434.540 -0.314 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1=O ZINC000760793585 1072218887 /nfs/dbraw/zinc/21/88/87/1072218887.db2.gz GELZGTIPNAOFTI-UHFFFAOYSA-N 0 0 442.519 -0.096 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1=O ZINC000760794112 1072219534 /nfs/dbraw/zinc/21/95/34/1072219534.db2.gz DUIKLXOEBSQINH-UHFFFAOYSA-N 0 0 439.538 -0.335 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)c1=O ZINC000760794118 1072219525 /nfs/dbraw/zinc/21/95/25/1072219525.db2.gz DYSGESCXZUIZBI-CHWSQXEVSA-N 0 0 434.540 -0.268 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)c1=O ZINC000760794119 1072219516 /nfs/dbraw/zinc/21/95/16/1072219516.db2.gz DYSGESCXZUIZBI-OLZOCXBDSA-N 0 0 434.540 -0.268 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)c1=O ZINC000760794120 1072220030 /nfs/dbraw/zinc/22/00/30/1072220030.db2.gz DYSGESCXZUIZBI-QWHCGFSZSA-N 0 0 434.540 -0.268 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)c1=O ZINC000760794121 1072220000 /nfs/dbraw/zinc/22/00/00/1072220000.db2.gz DYSGESCXZUIZBI-STQMWFEESA-N 0 0 434.540 -0.268 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760794333 1072219428 /nfs/dbraw/zinc/21/94/28/1072219428.db2.gz JSCWEEKIUIIXRW-AWEZNQCLSA-N 0 0 428.511 -0.006 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760794337 1072219376 /nfs/dbraw/zinc/21/93/76/1072219376.db2.gz JSCWEEKIUIIXRW-CQSZACIVSA-N 0 0 428.511 -0.006 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760794348 1072219419 /nfs/dbraw/zinc/21/94/19/1072219419.db2.gz JLGFNHLESLRCMZ-UHFFFAOYSA-N 0 0 427.527 -0.433 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCc2ccc(S(N)(=O)=O)cc2)c1=O ZINC000760794468 1072219457 /nfs/dbraw/zinc/21/94/57/1072219457.db2.gz KZUSGSOOIAQCAZ-UHFFFAOYSA-N 0 0 428.492 -0.138 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760794896 1072219992 /nfs/dbraw/zinc/21/99/92/1072219992.db2.gz MRULQDDECSKWLQ-UHFFFAOYSA-N 0 0 427.527 -0.433 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760796005 1072220491 /nfs/dbraw/zinc/22/04/91/1072220491.db2.gz LGOMIHMCUUYROU-AWEZNQCLSA-N 0 0 439.538 -0.291 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760796006 1072220526 /nfs/dbraw/zinc/22/05/26/1072220526.db2.gz LGOMIHMCUUYROU-CQSZACIVSA-N 0 0 439.538 -0.291 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)cn1 ZINC000760796053 1072220457 /nfs/dbraw/zinc/22/04/57/1072220457.db2.gz LZCQGHONUVWAOM-UHFFFAOYSA-N 0 0 440.482 -0.504 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)C2=O)n(C)n1 ZINC000760796186 1072220504 /nfs/dbraw/zinc/22/05/04/1072220504.db2.gz URHMEJAHYKNLGZ-AWEZNQCLSA-N 0 0 436.494 -0.138 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)C2=O)n(C)n1 ZINC000760796187 1072220462 /nfs/dbraw/zinc/22/04/62/1072220462.db2.gz URHMEJAHYKNLGZ-CQSZACIVSA-N 0 0 436.494 -0.138 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCCCn2nc3n(c2=O)CCCC3)c1=O ZINC000760796188 1072220564 /nfs/dbraw/zinc/22/05/64/1072220564.db2.gz UVIVONRCDPXQAP-UHFFFAOYSA-N 0 0 438.510 -0.201 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1=O ZINC000760796203 1072220516 /nfs/dbraw/zinc/22/05/16/1072220516.db2.gz VLEBVKWLJFUKOH-HNNXBMFYSA-N 0 0 426.495 -0.252 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1=O ZINC000760796205 1072220501 /nfs/dbraw/zinc/22/05/01/1072220501.db2.gz VLEBVKWLJFUKOH-OAHLLOKOSA-N 0 0 426.495 -0.252 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)C2=O)n1 ZINC000760796391 1072220543 /nfs/dbraw/zinc/22/05/43/1072220543.db2.gz VDZIPNSARJADPC-AWEZNQCLSA-N 0 0 436.494 -0.057 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)C2=O)n1 ZINC000760796393 1072220458 /nfs/dbraw/zinc/22/04/58/1072220458.db2.gz VDZIPNSARJADPC-CQSZACIVSA-N 0 0 436.494 -0.057 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C(=O)N1c1cnn(C)c1 ZINC000760796486 1072220494 /nfs/dbraw/zinc/22/04/94/1072220494.db2.gz OXSBYWLWCBPYCC-DOMZBBRYSA-N 0 0 436.494 -0.058 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C(=O)N1c1cnn(C)c1 ZINC000760796487 1072220499 /nfs/dbraw/zinc/22/04/99/1072220499.db2.gz OXSBYWLWCBPYCC-IUODEOHRSA-N 0 0 436.494 -0.058 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C(=O)N1c1cnn(C)c1 ZINC000760796488 1072220464 /nfs/dbraw/zinc/22/04/64/1072220464.db2.gz OXSBYWLWCBPYCC-SWLSCSKDSA-N 0 0 436.494 -0.058 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C(=O)N1c1cnn(C)c1 ZINC000760796489 1072220536 /nfs/dbraw/zinc/22/05/36/1072220536.db2.gz OXSBYWLWCBPYCC-WFASDCNBSA-N 0 0 436.494 -0.058 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCC[C@H](C(=O)N3CCOCC3)C2)c1=O ZINC000760796795 1072221071 /nfs/dbraw/zinc/22/10/71/1072221071.db2.gz ZWSIEGLFILEVQO-HNNXBMFYSA-N 0 0 440.522 -0.004 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCC[C@@H](C(=O)N3CCOCC3)C2)c1=O ZINC000760796796 1072221068 /nfs/dbraw/zinc/22/10/68/1072221068.db2.gz ZWSIEGLFILEVQO-OAHLLOKOSA-N 0 0 440.522 -0.004 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCCS(=O)(=O)NCC2CCC2)c1=O ZINC000760796804 1072221010 /nfs/dbraw/zinc/22/10/10/1072221010.db2.gz QVMAKFYIVBIARI-UHFFFAOYSA-N 0 0 434.540 -0.266 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCCNS(=O)(=O)c2cccnc2)c1=O ZINC000760796879 1072221021 /nfs/dbraw/zinc/22/10/21/1072221021.db2.gz SXONYANATVPCPU-UHFFFAOYSA-N 0 0 443.507 -0.612 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NC1CCN(CC(N)=O)CC1 ZINC000760800892 1072221561 /nfs/dbraw/zinc/22/15/61/1072221561.db2.gz RHZYONVUJCIWJK-HNNXBMFYSA-N 0 0 425.530 -0.042 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)NC1CCN(CC(N)=O)CC1 ZINC000760800897 1072221534 /nfs/dbraw/zinc/22/15/34/1072221534.db2.gz RHZYONVUJCIWJK-OAHLLOKOSA-N 0 0 425.530 -0.042 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760801783 1072221420 /nfs/dbraw/zinc/22/14/20/1072221420.db2.gz LHQCEKVZMLZUPQ-HNNXBMFYSA-N 0 0 441.554 -0.043 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760802247 1072221504 /nfs/dbraw/zinc/22/15/04/1072221504.db2.gz LHQCEKVZMLZUPQ-OAHLLOKOSA-N 0 0 441.554 -0.043 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)c1=O ZINC000760802890 1072221976 /nfs/dbraw/zinc/22/19/76/1072221976.db2.gz PZZABZDIYXUGMB-UHFFFAOYSA-N 0 0 446.551 -0.029 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760803996 1072222042 /nfs/dbraw/zinc/22/20/42/1072222042.db2.gz XOEYFDXJKQDAJB-UHFFFAOYSA-N 0 0 441.554 -0.089 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(CC(=O)NC3CC3)CC2)c1=O ZINC000760804261 1072222006 /nfs/dbraw/zinc/22/20/06/1072222006.db2.gz ZSNPWIOCWGWCEK-UHFFFAOYSA-N 0 0 425.511 -0.679 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760804956 1072222459 /nfs/dbraw/zinc/22/24/59/1072222459.db2.gz CSZBEUSRKMUPEK-UHFFFAOYSA-N 0 0 427.527 -0.479 20 0 IBADRN O=C(COC(=O)c1cncnc1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000760805853 1072224045 /nfs/dbraw/zinc/22/40/45/1072224045.db2.gz URBACZRFWPMDOQ-UHFFFAOYSA-N 0 0 448.457 -0.062 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000760806862 1072223915 /nfs/dbraw/zinc/22/39/15/1072223915.db2.gz PBFALKUEPVBLHM-UHFFFAOYSA-N 0 0 440.505 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000760826020 1072229124 /nfs/dbraw/zinc/22/91/24/1072229124.db2.gz VGTPNHYKZKAFQU-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000760826022 1072229117 /nfs/dbraw/zinc/22/91/17/1072229117.db2.gz VGTPNHYKZKAFQU-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)n(C)c1 ZINC000760826807 1072229196 /nfs/dbraw/zinc/22/91/96/1072229196.db2.gz LUDSFAJONYEACC-UHFFFAOYSA-N 0 0 428.511 -0.097 20 0 IBADRN Cn1cc(-n2cc(C(=O)OCCCn3c(=O)c4c(ncn4C)n(C)c3=O)ccc2=O)cn1 ZINC000760833109 1072230879 /nfs/dbraw/zinc/23/08/79/1072230879.db2.gz JYKQDRBUELLALF-UHFFFAOYSA-N 0 0 439.432 -0.435 20 0 IBADRN Cn1cc(-n2cc(C(=O)OCCn3cnc4c3c(=O)n(C)c(=O)n4C)ccc2=O)cn1 ZINC000760836852 1072232101 /nfs/dbraw/zinc/23/21/01/1072232101.db2.gz LBHACYIQWMKXMC-UHFFFAOYSA-N 0 0 425.405 -0.825 20 0 IBADRN Cn1cc(-n2cc(C(=O)OCC(=O)NCc3ccc(OCC(N)=O)cc3)ccc2=O)cn1 ZINC000760842436 1072233019 /nfs/dbraw/zinc/23/30/19/1072233019.db2.gz ZEOQBOWHOGZVHP-UHFFFAOYSA-N 0 0 439.428 -0.092 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(=O)n(-c2cnn(C)c2)c1)S(C)(=O)=O ZINC000760842611 1072232466 /nfs/dbraw/zinc/23/24/66/1072232466.db2.gz IHCNBEPMTWMGFP-UHFFFAOYSA-N 0 0 425.467 -0.875 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)n(C)c1 ZINC000760847186 1072234273 /nfs/dbraw/zinc/23/42/73/1072234273.db2.gz VOOIALKPUJLWRC-CHWSQXEVSA-N 0 0 449.551 -0.096 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)n(C)c1 ZINC000760847189 1072234252 /nfs/dbraw/zinc/23/42/52/1072234252.db2.gz VOOIALKPUJLWRC-OLZOCXBDSA-N 0 0 449.551 -0.096 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)n(C)c1 ZINC000760847192 1072234244 /nfs/dbraw/zinc/23/42/44/1072234244.db2.gz VOOIALKPUJLWRC-QWHCGFSZSA-N 0 0 449.551 -0.096 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)n(C)c1 ZINC000760847195 1072234325 /nfs/dbraw/zinc/23/43/25/1072234325.db2.gz VOOIALKPUJLWRC-STQMWFEESA-N 0 0 449.551 -0.096 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000760847882 1072234318 /nfs/dbraw/zinc/23/43/18/1072234318.db2.gz IUUSSFCGGMGATE-KBPBESRZSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CCNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000760847884 1072234179 /nfs/dbraw/zinc/23/41/79/1072234179.db2.gz IUUSSFCGGMGATE-KGLIPLIRSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000760847886 1072234158 /nfs/dbraw/zinc/23/41/58/1072234158.db2.gz IUUSSFCGGMGATE-UONOGXRCSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000760847887 1072234236 /nfs/dbraw/zinc/23/42/36/1072234236.db2.gz IUUSSFCGGMGATE-ZIAGYGMSSA-N 0 0 440.497 -0.218 20 0 IBADRN COc1ccccc1C(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000760850134 1072234289 /nfs/dbraw/zinc/23/42/89/1072234289.db2.gz QGYRWZGYICTJPZ-CYBMUJFWSA-N 0 0 430.504 -0.461 20 0 IBADRN COc1ccccc1C(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000760850135 1072234295 /nfs/dbraw/zinc/23/42/95/1072234295.db2.gz QGYRWZGYICTJPZ-ZDUSSCGKSA-N 0 0 430.504 -0.461 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)OCC(=O)N1CCNC(=O)C1 ZINC000760851086 1072234279 /nfs/dbraw/zinc/23/42/79/1072234279.db2.gz ZSOVYBULTGNBHZ-CYBMUJFWSA-N 0 0 426.470 -0.606 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)OCC(=O)N1CCNC(=O)C1 ZINC000760851123 1072234169 /nfs/dbraw/zinc/23/41/69/1072234169.db2.gz ZSOVYBULTGNBHZ-ZDUSSCGKSA-N 0 0 426.470 -0.606 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NCCN1CCS(=O)(=O)CC1 ZINC000760852039 1072234831 /nfs/dbraw/zinc/23/48/31/1072234831.db2.gz FLFAKCQAWMNWPD-HNNXBMFYSA-N 0 0 446.570 -0.261 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)NCCN1CCS(=O)(=O)CC1 ZINC000760852045 1072234699 /nfs/dbraw/zinc/23/46/99/1072234699.db2.gz FLFAKCQAWMNWPD-OAHLLOKOSA-N 0 0 446.570 -0.261 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)cnc12 ZINC000760853405 1072234822 /nfs/dbraw/zinc/23/48/22/1072234822.db2.gz JFAFCCORMTVZNY-UHFFFAOYSA-N 0 0 429.477 -0.519 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)cnc12 ZINC000760854859 1072234805 /nfs/dbraw/zinc/23/48/05/1072234805.db2.gz RGUBODASBSEDDT-GFCCVEGCSA-N 0 0 436.446 -0.739 20 0 IBADRN Cc1cccc2c(=O)n(CC(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)cnc12 ZINC000760854886 1072234815 /nfs/dbraw/zinc/23/48/15/1072234815.db2.gz RGUBODASBSEDDT-LBPRGKRZSA-N 0 0 436.446 -0.739 20 0 IBADRN COc1ccc2nc(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)[nH]c2n1 ZINC000760859117 1072235480 /nfs/dbraw/zinc/23/54/80/1072235480.db2.gz NFKVQXGWAFJIBQ-GFCCVEGCSA-N 0 0 429.524 -0.399 20 0 IBADRN COc1ccc2nc(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)[nH]c2n1 ZINC000760859119 1072235308 /nfs/dbraw/zinc/23/53/08/1072235308.db2.gz NFKVQXGWAFJIBQ-LBPRGKRZSA-N 0 0 429.524 -0.399 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CCCN(CC(N)=O)CC1 ZINC000760864737 1072234785 /nfs/dbraw/zinc/23/47/85/1072234785.db2.gz YXVCKLNDYOALLJ-HNNXBMFYSA-N 0 0 425.530 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCCN(CC(N)=O)CC1 ZINC000760864738 1072234661 /nfs/dbraw/zinc/23/46/61/1072234661.db2.gz YXVCKLNDYOALLJ-OAHLLOKOSA-N 0 0 425.530 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000760869865 1072236044 /nfs/dbraw/zinc/23/60/44/1072236044.db2.gz PHBJXEWLPUYYDR-CYBMUJFWSA-N 0 0 433.531 -0.760 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000760869875 1072235903 /nfs/dbraw/zinc/23/59/03/1072235903.db2.gz PHBJXEWLPUYYDR-ZDUSSCGKSA-N 0 0 433.531 -0.760 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(CC(=O)NN3C(=O)NC4(CCC(C)CC4)C3=O)C2)nn1 ZINC000760871941 1072235922 /nfs/dbraw/zinc/23/59/22/1072235922.db2.gz XEHZYZRDWZEWIE-FWHMADFJSA-N 0 0 433.469 -0.157 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(CC(=O)NN3C(=O)NC4(CCC(C)CC4)C3=O)C2)nn1 ZINC000760871945 1072235952 /nfs/dbraw/zinc/23/59/52/1072235952.db2.gz XEHZYZRDWZEWIE-MLZJXEJWSA-N 0 0 433.469 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)NCCCN1C(=O)CNC1=O ZINC000760879088 1072235855 /nfs/dbraw/zinc/23/58/55/1072235855.db2.gz QSKCCMMASJHNMN-CYBMUJFWSA-N 0 0 425.486 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NCCCN1C(=O)CNC1=O ZINC000760879090 1072235914 /nfs/dbraw/zinc/23/59/14/1072235914.db2.gz QSKCCMMASJHNMN-ZDUSSCGKSA-N 0 0 425.486 -0.050 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N2CCN(Cc3ccco3)CC2)c1=O ZINC000760887530 1072236300 /nfs/dbraw/zinc/23/63/00/1072236300.db2.gz IPLRYVFIANSBRS-UHFFFAOYSA-N 0 0 436.490 -0.231 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760887653 1072237454 /nfs/dbraw/zinc/23/74/54/1072237454.db2.gz KEESBDCQTFWTFI-UHFFFAOYSA-N 0 0 428.467 -0.868 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)NC(=O)NC3=O)C1=O ZINC000760889271 1072237397 /nfs/dbraw/zinc/23/73/97/1072237397.db2.gz AWJCLBHPDMNPER-FQEVSTJZSA-N 0 0 428.449 -0.451 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)NC(=O)NC3=O)C1=O ZINC000760889274 1072237491 /nfs/dbraw/zinc/23/74/91/1072237491.db2.gz AWJCLBHPDMNPER-HXUWFJFHSA-N 0 0 428.449 -0.451 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(Oc2cccnc2)nc1 ZINC000760889305 1072237464 /nfs/dbraw/zinc/23/74/64/1072237464.db2.gz BKRQYJDQRKPPQE-UHFFFAOYSA-N 0 0 435.462 -0.014 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N2CCN(c3ccccc3O)CC2)c1=O ZINC000760889678 1072238550 /nfs/dbraw/zinc/23/85/50/1072238550.db2.gz TUTFPPNKOONJDW-UHFFFAOYSA-N 0 0 448.501 -0.114 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3)C2)c1=O ZINC000760889964 1072237931 /nfs/dbraw/zinc/23/79/31/1072237931.db2.gz DLJZJMARAUBUPW-AWEZNQCLSA-N 0 0 446.485 -0.247 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3)C2)c1=O ZINC000760889965 1072238017 /nfs/dbraw/zinc/23/80/17/1072238017.db2.gz DLJZJMARAUBUPW-CQSZACIVSA-N 0 0 446.485 -0.247 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N2CCN(c3ccc(O)cc3)CC2)c1=O ZINC000760890069 1072238083 /nfs/dbraw/zinc/23/80/83/1072238083.db2.gz VPZJLTUXZREAMI-UHFFFAOYSA-N 0 0 448.501 -0.114 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1 ZINC000760890148 1072238010 /nfs/dbraw/zinc/23/80/10/1072238010.db2.gz WKNSUZKGXIMIGD-CYBMUJFWSA-N 0 0 427.479 -0.367 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1 ZINC000760890149 1072237917 /nfs/dbraw/zinc/23/79/17/1072237917.db2.gz WKNSUZKGXIMIGD-ZDUSSCGKSA-N 0 0 427.479 -0.367 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2)c1=O ZINC000760892747 1072239063 /nfs/dbraw/zinc/23/90/63/1072239063.db2.gz XPGFECWCKIHUMQ-INIZCTEOSA-N 0 0 446.485 -0.469 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2)c1=O ZINC000760892748 1072239410 /nfs/dbraw/zinc/23/94/10/1072239410.db2.gz XPGFECWCKIHUMQ-MRXNPFEDSA-N 0 0 446.485 -0.469 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CS(=O)(=O)N[C@H](C)CC)c1 ZINC000760896646 1072240644 /nfs/dbraw/zinc/24/06/44/1072240644.db2.gz MFQZMWZMRYLGHC-CYBMUJFWSA-N 0 0 448.567 -0.102 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CS(=O)(=O)N[C@@H](C)CC)c1 ZINC000760896648 1072240750 /nfs/dbraw/zinc/24/07/50/1072240750.db2.gz MFQZMWZMRYLGHC-ZDUSSCGKSA-N 0 0 448.567 -0.102 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O)C2 ZINC000760898589 1072240624 /nfs/dbraw/zinc/24/06/24/1072240624.db2.gz XHWXAIULKLNRPH-AWEZNQCLSA-N 0 0 449.485 -0.040 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O)C2 ZINC000760899042 1072240735 /nfs/dbraw/zinc/24/07/35/1072240735.db2.gz XHWXAIULKLNRPH-CQSZACIVSA-N 0 0 449.485 -0.040 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000760903464 1072240657 /nfs/dbraw/zinc/24/06/57/1072240657.db2.gz RZAKXMZYORDSJR-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000760903466 1072240520 /nfs/dbraw/zinc/24/05/20/1072240520.db2.gz RZAKXMZYORDSJR-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1(C)C ZINC000760904988 1072241210 /nfs/dbraw/zinc/24/12/10/1072241210.db2.gz GOMKZOSERAJSPH-UHFFFAOYSA-N 0 0 440.522 -0.311 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N2CCN(c3cccc(O)c3)CC2)c1=O ZINC000760912653 1072241172 /nfs/dbraw/zinc/24/11/72/1072241172.db2.gz VDFHQIBDDLSUKK-UHFFFAOYSA-N 0 0 448.501 -0.114 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000760920018 1072242360 /nfs/dbraw/zinc/24/23/60/1072242360.db2.gz HOKGBSGLJSKUBT-UHFFFAOYSA-N 0 0 442.538 -0.599 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)NCC(=O)OC2CCCCC2)c1=O ZINC000760921427 1072242214 /nfs/dbraw/zinc/24/22/14/1072242214.db2.gz ZGWTVTDEKSXPSV-UHFFFAOYSA-N 0 0 427.479 -0.177 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC000760921770 1072242270 /nfs/dbraw/zinc/24/22/70/1072242270.db2.gz PRCQESRFAPCNDV-UHFFFAOYSA-N 0 0 447.564 -0.140 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NCCCN1CCNC(=O)C1 ZINC000760922951 1072242383 /nfs/dbraw/zinc/24/23/83/1072242383.db2.gz FRCFCGHSZPEVLN-HNNXBMFYSA-N 0 0 425.530 -0.170 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)NCCCN1CCNC(=O)C1 ZINC000760922952 1072242293 /nfs/dbraw/zinc/24/22/93/1072242293.db2.gz FRCFCGHSZPEVLN-OAHLLOKOSA-N 0 0 425.530 -0.170 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)CC2)c1 ZINC000760923881 1072242333 /nfs/dbraw/zinc/24/23/33/1072242333.db2.gz CHCBQIKTODFLET-UHFFFAOYSA-N 0 0 447.517 -0.116 20 0 IBADRN CCN(CC)C(=O)[C@@H]1CCCN1C(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000760927245 1072243984 /nfs/dbraw/zinc/24/39/84/1072243984.db2.gz NTQFNPWZDWURKA-HNNXBMFYSA-N 0 0 440.522 -0.309 20 0 IBADRN CCN(CC)C(=O)[C@H]1CCCN1C(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000760927252 1072242720 /nfs/dbraw/zinc/24/27/20/1072242720.db2.gz NTQFNPWZDWURKA-OAHLLOKOSA-N 0 0 440.522 -0.309 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CCNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000760931959 1072244585 /nfs/dbraw/zinc/24/45/85/1072244585.db2.gz ZGOXHTPKDNQDTM-CABCVRRESA-N 0 0 426.514 -0.056 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CCNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000760931961 1072244527 /nfs/dbraw/zinc/24/45/27/1072244527.db2.gz ZGOXHTPKDNQDTM-GJZGRUSLSA-N 0 0 426.514 -0.056 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)CCNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000760931964 1072244593 /nfs/dbraw/zinc/24/45/93/1072244593.db2.gz ZGOXHTPKDNQDTM-HUUCEWRRSA-N 0 0 426.514 -0.056 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)CCNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000760931967 1072244387 /nfs/dbraw/zinc/24/43/87/1072244387.db2.gz ZGOXHTPKDNQDTM-LSDHHAIUSA-N 0 0 426.514 -0.056 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)Nc3ccc4[nH]c(=O)[nH]c4c3)c2c(=O)n(C)c1=O ZINC000760935567 1072244461 /nfs/dbraw/zinc/24/44/61/1072244461.db2.gz DRLSRCMIPBLFIH-UHFFFAOYSA-N 0 0 441.404 -0.412 20 0 IBADRN COc1cccc(CNC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000760936945 1072244637 /nfs/dbraw/zinc/24/46/37/1072244637.db2.gz OZWUJRGSDNKYIJ-UHFFFAOYSA-N 0 0 429.433 -0.308 20 0 IBADRN C[C@@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000760945229 1072244606 /nfs/dbraw/zinc/24/46/06/1072244606.db2.gz VLKLOAKXZKULOC-LLVKDONJSA-N 0 0 442.432 -0.507 20 0 IBADRN C[C@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000760945232 1072244572 /nfs/dbraw/zinc/24/45/72/1072244572.db2.gz VLKLOAKXZKULOC-NSHDSACASA-N 0 0 442.432 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000760945242 1072244498 /nfs/dbraw/zinc/24/44/98/1072244498.db2.gz VZVJHUNPWVFIHN-AWEZNQCLSA-N 0 0 435.485 -0.334 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000760945243 1072245068 /nfs/dbraw/zinc/24/50/68/1072245068.db2.gz VZVJHUNPWVFIHN-CQSZACIVSA-N 0 0 435.485 -0.334 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000760948441 1072245147 /nfs/dbraw/zinc/24/51/47/1072245147.db2.gz LYGBOEKUUASMFZ-UHFFFAOYSA-N 0 0 443.416 -0.208 20 0 IBADRN CN1C(=O)Cc2cc(C(=O)COC(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)ccc21 ZINC000760954033 1072244937 /nfs/dbraw/zinc/24/49/37/1072244937.db2.gz HTWCCOMCXDLZDK-UHFFFAOYSA-N 0 0 439.428 -0.231 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CCO1 ZINC000760972664 1072247735 /nfs/dbraw/zinc/24/77/35/1072247735.db2.gz AFVOSUZKIGUOIB-DLBZAZTESA-N 0 0 441.573 -0.167 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CCO1 ZINC000760972665 1072247833 /nfs/dbraw/zinc/24/78/33/1072247833.db2.gz AFVOSUZKIGUOIB-IAGOWNOFSA-N 0 0 441.573 -0.167 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CCO1 ZINC000760972666 1072247777 /nfs/dbraw/zinc/24/77/77/1072247777.db2.gz AFVOSUZKIGUOIB-IRXDYDNUSA-N 0 0 441.573 -0.167 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)CCO1 ZINC000760972667 1072247825 /nfs/dbraw/zinc/24/78/25/1072247825.db2.gz AFVOSUZKIGUOIB-SJORKVTESA-N 0 0 441.573 -0.167 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)NCCCN(C)S(C)(=O)=O)CCO1 ZINC000760976406 1072248350 /nfs/dbraw/zinc/24/83/50/1072248350.db2.gz DNYUMMYQHACXIB-CABCVRRESA-N 0 0 436.575 -0.002 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)NCCCN(C)S(C)(=O)=O)CCO1 ZINC000760976407 1072248316 /nfs/dbraw/zinc/24/83/16/1072248316.db2.gz DNYUMMYQHACXIB-GJZGRUSLSA-N 0 0 436.575 -0.002 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)NCCCN(C)S(C)(=O)=O)CCO1 ZINC000760976408 1072248228 /nfs/dbraw/zinc/24/82/28/1072248228.db2.gz DNYUMMYQHACXIB-HUUCEWRRSA-N 0 0 436.575 -0.002 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)NCCCN(C)S(C)(=O)=O)CCO1 ZINC000760976409 1072248402 /nfs/dbraw/zinc/24/84/02/1072248402.db2.gz DNYUMMYQHACXIB-LSDHHAIUSA-N 0 0 436.575 -0.002 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000760980599 1072248854 /nfs/dbraw/zinc/24/88/54/1072248854.db2.gz LHVUXRBVGWEGNN-BFHYXJOUSA-N 0 0 448.542 -0.387 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000760980603 1072248931 /nfs/dbraw/zinc/24/89/31/1072248931.db2.gz LHVUXRBVGWEGNN-IHRRRGAJSA-N 0 0 448.542 -0.387 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000760980608 1072248925 /nfs/dbraw/zinc/24/89/25/1072248925.db2.gz LHVUXRBVGWEGNN-MELADBBJSA-N 0 0 448.542 -0.387 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000760980613 1072248864 /nfs/dbraw/zinc/24/88/64/1072248864.db2.gz LHVUXRBVGWEGNN-MJBXVCDLSA-N 0 0 448.542 -0.387 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000760981965 1072249296 /nfs/dbraw/zinc/24/92/96/1072249296.db2.gz GTFWSPJYYREYRB-CYBMUJFWSA-N 0 0 431.467 -0.085 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000760981968 1072249445 /nfs/dbraw/zinc/24/94/45/1072249445.db2.gz GTFWSPJYYREYRB-ZDUSSCGKSA-N 0 0 431.467 -0.085 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN([C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CCO1 ZINC000760984463 1072248920 /nfs/dbraw/zinc/24/89/20/1072248920.db2.gz WPRVPLOWWXEONP-AVGNSLFASA-N 0 0 439.513 -0.149 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN([C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)CCO1 ZINC000760984464 1072248819 /nfs/dbraw/zinc/24/88/19/1072248819.db2.gz WPRVPLOWWXEONP-RWMBFGLXSA-N 0 0 439.513 -0.149 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000760984465 1072248841 /nfs/dbraw/zinc/24/88/41/1072248841.db2.gz WPRVPLOWWXEONP-XQQFMLRXSA-N 0 0 439.513 -0.149 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000760984467 1072248885 /nfs/dbraw/zinc/24/88/85/1072248885.db2.gz WPRVPLOWWXEONP-YNEHKIRRSA-N 0 0 439.513 -0.149 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CCO1 ZINC000760985431 1072248845 /nfs/dbraw/zinc/24/88/45/1072248845.db2.gz UXSJKHLRBUPRNY-AAEUAGOBSA-N 0 0 425.486 -0.537 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CCO1 ZINC000760985432 1072248772 /nfs/dbraw/zinc/24/87/72/1072248772.db2.gz UXSJKHLRBUPRNY-DGCLKSJQSA-N 0 0 425.486 -0.537 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CCO1 ZINC000760985433 1072248858 /nfs/dbraw/zinc/24/88/58/1072248858.db2.gz UXSJKHLRBUPRNY-WCQYABFASA-N 0 0 425.486 -0.537 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CCO1 ZINC000760985435 1072248911 /nfs/dbraw/zinc/24/89/11/1072248911.db2.gz UXSJKHLRBUPRNY-YPMHNXCESA-N 0 0 425.486 -0.537 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(-n4ccnn4)cc3)CC2)ccc1=O ZINC000760985454 1072248891 /nfs/dbraw/zinc/24/88/91/1072248891.db2.gz VWUYMTOLPVETLQ-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)Nc1cc(-n2cnnn2)ccc1F ZINC000760990617 1072249360 /nfs/dbraw/zinc/24/93/60/1072249360.db2.gz CFZCELIQWYCKGS-UHFFFAOYSA-N 0 0 447.408 -0.219 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)C1=O ZINC000760992481 1072249383 /nfs/dbraw/zinc/24/93/83/1072249383.db2.gz WCWMRNNLIUZGNQ-UHFFFAOYSA-N 0 0 432.842 -0.201 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)Nc1cc(-n2cnnn2)ccc1F ZINC000761016906 1072253469 /nfs/dbraw/zinc/25/34/69/1072253469.db2.gz ZFLSARZFHVXJIV-UHFFFAOYSA-N 0 0 448.396 -0.747 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC(S(N)(=O)=O)CC3)C2=O)c1 ZINC000761023143 1072253866 /nfs/dbraw/zinc/25/38/66/1072253866.db2.gz SHDXBVUOFPLENZ-GOSISDBHSA-N 0 0 439.494 -0.754 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC(S(N)(=O)=O)CC3)C2=O)c1 ZINC000761023144 1072253872 /nfs/dbraw/zinc/25/38/72/1072253872.db2.gz SHDXBVUOFPLENZ-SFHVURJKSA-N 0 0 439.494 -0.754 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)Nc1cc(-n2cnnn2)ccc1F ZINC000761027046 1072254430 /nfs/dbraw/zinc/25/44/30/1072254430.db2.gz ZSAHBBRKDDUXQC-UHFFFAOYSA-N 0 0 447.408 -0.219 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC(CS(N)(=O)=O)CC2)C1=O ZINC000761030576 1072254890 /nfs/dbraw/zinc/25/48/90/1072254890.db2.gz XYZOZDWTQHNNJC-IBGZPJMESA-N 0 0 437.522 -0.125 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC(CS(N)(=O)=O)CC2)C1=O ZINC000761030579 1072254818 /nfs/dbraw/zinc/25/48/18/1072254818.db2.gz XYZOZDWTQHNNJC-LJQANCHMSA-N 0 0 437.522 -0.125 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)OCC(=O)Nc3cc(-n4cnnn4)ccc3F)cnc21 ZINC000761034755 1072255812 /nfs/dbraw/zinc/25/58/12/1072255812.db2.gz CHKWJZKUIVAXAY-UHFFFAOYSA-N 0 0 440.351 -0.056 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000761036682 1072256409 /nfs/dbraw/zinc/25/64/09/1072256409.db2.gz KJBAZKHRKUBJEQ-UHFFFAOYSA-N 0 0 426.430 -0.045 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000761038061 1072258640 /nfs/dbraw/zinc/25/86/40/1072258640.db2.gz KFBAHDZVCFVNTC-UHFFFAOYSA-N 0 0 437.413 -0.109 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OCC(=O)Nc1cc(S(N)(=O)=O)ccc1Cl ZINC000761048194 1072260787 /nfs/dbraw/zinc/26/07/87/1072260787.db2.gz NBULBTQLDUDZTH-LBPRGKRZSA-N 0 0 439.899 -0.107 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000761057840 1072262480 /nfs/dbraw/zinc/26/24/80/1072262480.db2.gz MKMIJDPUQZBPGX-UHFFFAOYSA-N 0 0 435.485 -0.323 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c1 ZINC000761059980 1072262841 /nfs/dbraw/zinc/26/28/41/1072262841.db2.gz KJILCRHWTPTNIA-DAXSKMNVSA-N 0 0 443.395 -0.298 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c1 ZINC000761059983 1072263001 /nfs/dbraw/zinc/26/30/01/1072263001.db2.gz KJILCRHWTPTNIA-QPJJXVBHSA-N 0 0 443.395 -0.298 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2F)c(=O)n(C)c1=O ZINC000761060345 1072263095 /nfs/dbraw/zinc/26/30/95/1072263095.db2.gz LDHJQAMIJMBCDS-UTCJRWHESA-N 0 0 429.368 -0.606 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2F)c(=O)n(C)c1=O ZINC000761060346 1072263103 /nfs/dbraw/zinc/26/31/03/1072263103.db2.gz LDHJQAMIJMBCDS-ZZXKWVIFSA-N 0 0 429.368 -0.606 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2Cl)c(=O)n(C)c1=O ZINC000761060353 1072263123 /nfs/dbraw/zinc/26/31/23/1072263123.db2.gz LFJBARLMMVYXIV-UTCJRWHESA-N 0 0 445.823 -0.092 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2Cl)c(=O)n(C)c1=O ZINC000761060354 1072262933 /nfs/dbraw/zinc/26/29/33/1072262933.db2.gz LFJBARLMMVYXIV-ZZXKWVIFSA-N 0 0 445.823 -0.092 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)Nc1cc(-n3cnnn3)ccc1F)c2=O ZINC000761060447 1072263012 /nfs/dbraw/zinc/26/30/12/1072263012.db2.gz MZLIOGTXLOVEPE-UHFFFAOYSA-N 0 0 427.356 -0.573 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)Nc1cc(-n3cnnn3)ccc1Cl)c2=O ZINC000761060482 1072262982 /nfs/dbraw/zinc/26/29/82/1072262982.db2.gz NNVWWORWFVILOS-UHFFFAOYSA-N 0 0 443.811 -0.059 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)Cn2cnc3c(cnn3C)c2=O)c1 ZINC000761061324 1072263563 /nfs/dbraw/zinc/26/35/63/1072263563.db2.gz VDJMQMYWGNKYGX-UHFFFAOYSA-N 0 0 441.383 -0.265 20 0 IBADRN CN(C)c1ncncc1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000761062751 1072263588 /nfs/dbraw/zinc/26/35/88/1072263588.db2.gz ZEUQEFZJQVKUCY-UHFFFAOYSA-N 0 0 443.489 -0.226 20 0 IBADRN O=C1N[C@]2(CCSC2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000761063513 1072263546 /nfs/dbraw/zinc/26/35/46/1072263546.db2.gz VUOPDMSRLDYAIK-KRWDZBQOSA-N 0 0 425.536 -0.039 20 0 IBADRN O=C1N[C@@]2(CCSC2)C(=O)N1CCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000761063515 1072263521 /nfs/dbraw/zinc/26/35/21/1072263521.db2.gz VUOPDMSRLDYAIK-QGZVFWFLSA-N 0 0 425.536 -0.039 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000761067489 1072263917 /nfs/dbraw/zinc/26/39/17/1072263917.db2.gz JFCBNNMFMVEZEE-UHFFFAOYSA-N 0 0 427.418 -0.801 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2Cl)CC1 ZINC000761068457 1072264158 /nfs/dbraw/zinc/26/41/58/1072264158.db2.gz QMBOTBKDIVHGTF-UHFFFAOYSA-N 0 0 443.873 -0.287 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)c1 ZINC000761068854 1072264132 /nfs/dbraw/zinc/26/41/32/1072264132.db2.gz QWTOZYWVQUYIHN-UHFFFAOYSA-N 0 0 441.445 -0.493 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)c1=O ZINC000761072057 1072264573 /nfs/dbraw/zinc/26/45/73/1072264573.db2.gz ACRAWNNXNNOQRC-UHFFFAOYSA-N 0 0 430.826 -0.970 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)Nc2cc(-n3nnnc3C3CC3)ccc2F)c1=O ZINC000761073115 1072264549 /nfs/dbraw/zinc/26/45/49/1072264549.db2.gz GHHUANFIVNSOLW-UHFFFAOYSA-N 0 0 443.395 -0.279 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2)nn1 ZINC000761079000 1072265608 /nfs/dbraw/zinc/26/56/08/1072265608.db2.gz RZDAYCQNROAIFO-HNNXBMFYSA-N 0 0 441.448 -0.587 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2)nn1 ZINC000761079002 1072265762 /nfs/dbraw/zinc/26/57/62/1072265762.db2.gz RZDAYCQNROAIFO-OAHLLOKOSA-N 0 0 441.448 -0.587 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2cc(-n3nnnc3C)ccc2F)C(=O)C1=O ZINC000761083197 1072266419 /nfs/dbraw/zinc/26/64/19/1072266419.db2.gz AMXNEYQMBVFRCQ-UHFFFAOYSA-N 0 0 433.400 -0.718 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)CN2CCS(=O)(=O)CC2)c1 ZINC000761083218 1072266285 /nfs/dbraw/zinc/26/62/85/1072266285.db2.gz BPTOGUYESYDTIS-UHFFFAOYSA-N 0 0 426.430 -0.678 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2Cl)C(=O)C1=O ZINC000761083251 1072266186 /nfs/dbraw/zinc/26/61/86/1072266186.db2.gz DGODBWMNBUYVBL-UHFFFAOYSA-N 0 0 435.828 -0.512 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)C(=O)C1=O ZINC000761085206 1072266852 /nfs/dbraw/zinc/26/68/52/1072266852.db2.gz MJILJPAXSGWVGX-UHFFFAOYSA-N 0 0 446.869 -0.840 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)Nc1cc(-n2cnnn2)ccc1Cl ZINC000761086137 1072266939 /nfs/dbraw/zinc/26/69/39/1072266939.db2.gz OICPHALXAUQAGR-UHFFFAOYSA-N 0 0 428.858 -0.472 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000761094863 1072267403 /nfs/dbraw/zinc/26/74/03/1072267403.db2.gz FHUWRDGOWIYVMN-HNNXBMFYSA-N 0 0 449.533 -0.112 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000761094864 1072267363 /nfs/dbraw/zinc/26/73/63/1072267363.db2.gz FHUWRDGOWIYVMN-OAHLLOKOSA-N 0 0 449.533 -0.112 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)cc1 ZINC000761096520 1072267322 /nfs/dbraw/zinc/26/73/22/1072267322.db2.gz NTDWBCMAFYQUKB-AWEZNQCLSA-N 0 0 439.494 -0.131 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)cc1 ZINC000761096522 1072267294 /nfs/dbraw/zinc/26/72/94/1072267294.db2.gz NTDWBCMAFYQUKB-CQSZACIVSA-N 0 0 439.494 -0.131 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)COC(=O)CCN2CCCS2(=O)=O)c1 ZINC000761096523 1072267352 /nfs/dbraw/zinc/26/73/52/1072267352.db2.gz IENKDYFGWLEGDC-UHFFFAOYSA-N 0 0 439.899 -0.105 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)COC(=O)CN2CCCS2(=O)=O)c1 ZINC000761103518 1072267381 /nfs/dbraw/zinc/26/73/81/1072267381.db2.gz LXIWLXMGNVGMEO-UHFFFAOYSA-N 0 0 425.872 -0.495 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)Nc1cc(-n2nnnc2C2CC2)ccc1F ZINC000761105188 1072267979 /nfs/dbraw/zinc/26/79/79/1072267979.db2.gz MIFLOVHBKLVXQH-UHFFFAOYSA-N 0 0 433.400 -0.587 20 0 IBADRN CN(CCOC(=O)c1ccc(=O)n(-c2cnn(C)c2)c1)S(=O)(=O)N1CCOCC1 ZINC000761105437 1072267394 /nfs/dbraw/zinc/26/73/94/1072267394.db2.gz UPBFROOQQSFUDT-UHFFFAOYSA-N 0 0 425.467 -0.763 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)NC(=O)N(C)C3=O)C1=O ZINC000761105468 1072267865 /nfs/dbraw/zinc/26/78/65/1072267865.db2.gz NIFGEHLXGMGJDN-NRFANRHFSA-N 0 0 442.476 -0.109 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)NC(=O)N(C)C3=O)C1=O ZINC000761105471 1072268055 /nfs/dbraw/zinc/26/80/55/1072268055.db2.gz NIFGEHLXGMGJDN-OAQYLSRUSA-N 0 0 442.476 -0.109 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2Cl)nn1 ZINC000761108112 1072268595 /nfs/dbraw/zinc/26/85/95/1072268595.db2.gz AUBDFYMAHLAHBO-UHFFFAOYSA-N 0 0 433.816 -0.209 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)c2cn(CC(=O)N(C)C)nn2)c1 ZINC000761109869 1072269219 /nfs/dbraw/zinc/26/92/19/1072269219.db2.gz LVVISPZOEAEHFM-UHFFFAOYSA-N 0 0 431.388 -0.415 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000761134684 1072271500 /nfs/dbraw/zinc/27/15/00/1072271500.db2.gz CKTMZACMIOWKQV-UHFFFAOYSA-N 0 0 428.536 -0.001 20 0 IBADRN CNC(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000761135247 1072271354 /nfs/dbraw/zinc/27/13/54/1072271354.db2.gz KADRLWSGGZCVKP-UHFFFAOYSA-N 0 0 432.524 -0.840 20 0 IBADRN Cc1nc2ccnn2c(C)c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000761145500 1072271250 /nfs/dbraw/zinc/27/12/50/1072271250.db2.gz KNCWGGGUHKLYSR-AWEZNQCLSA-N 0 0 441.535 -0.379 20 0 IBADRN Cc1nc2ccnn2c(C)c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000761145504 1072271339 /nfs/dbraw/zinc/27/13/39/1072271339.db2.gz KNCWGGGUHKLYSR-CQSZACIVSA-N 0 0 441.535 -0.379 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)Nc1cc(S(N)(=O)=O)ccc1Cl ZINC000761153226 1072271802 /nfs/dbraw/zinc/27/18/02/1072271802.db2.gz BIOMMXAMRDNNBG-UHFFFAOYSA-N 0 0 432.842 -0.201 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCN2CCOCC2)nc1I ZINC000761160239 1072272497 /nfs/dbraw/zinc/27/24/97/1072272497.db2.gz UEOITYPCFZLSFV-UHFFFAOYSA-N 0 0 448.261 -0.127 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)Nc2cc(-n3nnnc3C3CC3)ccc2F)C1=O ZINC000761160526 1072272370 /nfs/dbraw/zinc/27/23/70/1072272370.db2.gz MPJLIIHRXFXFMG-UHFFFAOYSA-N 0 0 445.367 -0.419 20 0 IBADRN Cn1cc(C(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)c(=O)n(C)c1=O ZINC000761160632 1072272420 /nfs/dbraw/zinc/27/24/20/1072272420.db2.gz VVQFQOKPHBAXMI-UHFFFAOYSA-N 0 0 430.826 -0.820 20 0 IBADRN Cn1cc(C(=O)OCC(=O)Nc2cc(-n3nnnc3C3CC3)ccc2F)c(=O)n(C)c1=O ZINC000761161186 1072273132 /nfs/dbraw/zinc/27/31/32/1072273132.db2.gz YFETZJOGZWYRGG-UHFFFAOYSA-N 0 0 443.395 -0.128 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1 ZINC000761170633 1072273026 /nfs/dbraw/zinc/27/30/26/1072273026.db2.gz FVRZXOSHMNUQNF-UHFFFAOYSA-N 0 0 427.526 -0.678 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c(C)o1 ZINC000761171032 1072273412 /nfs/dbraw/zinc/27/34/12/1072273412.db2.gz KISRCNPQXJABOY-UHFFFAOYSA-N 0 0 425.485 -0.838 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1 ZINC000761171394 1072273400 /nfs/dbraw/zinc/27/34/00/1072273400.db2.gz OJIPKFLNKUBCBQ-UHFFFAOYSA-N 0 0 435.524 -0.431 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)OCCN(C)S(=O)(=O)N(C)C)c1 ZINC000761171583 1072272923 /nfs/dbraw/zinc/27/29/23/1072272923.db2.gz QSXDEYQSMCYLMW-UHFFFAOYSA-N 0 0 437.540 -0.185 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)Nc1cc(S(N)(=O)=O)ccc1Cl ZINC000761187962 1072273966 /nfs/dbraw/zinc/27/39/66/1072273966.db2.gz ATOLNNBYTKGBKK-UHFFFAOYSA-N 0 0 427.888 -0.203 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000761206949 1072274513 /nfs/dbraw/zinc/27/45/13/1072274513.db2.gz LZVDSOPWOYPAOJ-CYBMUJFWSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000761206951 1072274470 /nfs/dbraw/zinc/27/44/70/1072274470.db2.gz LZVDSOPWOYPAOJ-ZDUSSCGKSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000761208246 1072274435 /nfs/dbraw/zinc/27/44/35/1072274435.db2.gz RHFDBBLEAMPNLH-AWEZNQCLSA-N 0 0 439.490 -0.607 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000761208249 1072274960 /nfs/dbraw/zinc/27/49/60/1072274960.db2.gz RHFDBBLEAMPNLH-CQSZACIVSA-N 0 0 439.490 -0.607 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@H]2CCNC(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000761211852 1072274986 /nfs/dbraw/zinc/27/49/86/1072274986.db2.gz JZFDLYKUYYQAEJ-AWEZNQCLSA-N 0 0 428.445 -0.088 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@@H]2CCNC(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000761211853 1072275036 /nfs/dbraw/zinc/27/50/36/1072275036.db2.gz JZFDLYKUYYQAEJ-CQSZACIVSA-N 0 0 428.445 -0.088 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000761212128 1072276016 /nfs/dbraw/zinc/27/60/16/1072276016.db2.gz ISRXGHAGXBZDLM-CYBMUJFWSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000761212129 1072276067 /nfs/dbraw/zinc/27/60/67/1072276067.db2.gz ISRXGHAGXBZDLM-ZDUSSCGKSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000761212208 1072275936 /nfs/dbraw/zinc/27/59/36/1072275936.db2.gz QSLCZUKQSRUYKV-GFCCVEGCSA-N 0 0 445.444 -0.133 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000761212211 1072275928 /nfs/dbraw/zinc/27/59/28/1072275928.db2.gz QSLCZUKQSRUYKV-LBPRGKRZSA-N 0 0 445.444 -0.133 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000761213615 1072275993 /nfs/dbraw/zinc/27/59/93/1072275993.db2.gz QVTPIAWZGPYCKS-PBHICJAKSA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000761213624 1072275971 /nfs/dbraw/zinc/27/59/71/1072275971.db2.gz QVTPIAWZGPYCKS-RHSMWYFYSA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000761213629 1072276825 /nfs/dbraw/zinc/27/68/25/1072276825.db2.gz QVTPIAWZGPYCKS-WMLDXEAASA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000761213633 1072276842 /nfs/dbraw/zinc/27/68/42/1072276842.db2.gz QVTPIAWZGPYCKS-YOEHRIQHSA-N 0 0 431.445 -0.433 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000761217422 1072277859 /nfs/dbraw/zinc/27/78/59/1072277859.db2.gz WQCJXZFDPXHPDB-CYBMUJFWSA-N 0 0 425.463 -0.285 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000761217425 1072277742 /nfs/dbraw/zinc/27/77/42/1072277742.db2.gz WQCJXZFDPXHPDB-ZDUSSCGKSA-N 0 0 425.463 -0.285 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000761217441 1072277912 /nfs/dbraw/zinc/27/79/12/1072277912.db2.gz WUXOFHWJBHKDKO-HNNXBMFYSA-N 0 0 439.490 -0.607 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000761217444 1072277658 /nfs/dbraw/zinc/27/76/58/1072277658.db2.gz WUXOFHWJBHKDKO-OAHLLOKOSA-N 0 0 439.490 -0.607 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000761218058 1072277901 /nfs/dbraw/zinc/27/79/01/1072277901.db2.gz IPMMHHQKYPEDBN-CYBMUJFWSA-N 0 0 425.463 -0.285 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000761218059 1072277673 /nfs/dbraw/zinc/27/76/73/1072277673.db2.gz IPMMHHQKYPEDBN-ZDUSSCGKSA-N 0 0 425.463 -0.285 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3CCNC(=O)C3)CC2)cc1 ZINC000761218171 1072277869 /nfs/dbraw/zinc/27/78/69/1072277869.db2.gz LBRXIZVDXLUAQL-AWEZNQCLSA-N 0 0 439.490 -0.403 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3CCNC(=O)C3)CC2)cc1 ZINC000761218172 1072277706 /nfs/dbraw/zinc/27/77/06/1072277706.db2.gz LBRXIZVDXLUAQL-CQSZACIVSA-N 0 0 439.490 -0.403 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC000761218950 1072277695 /nfs/dbraw/zinc/27/76/95/1072277695.db2.gz PTDUTTJDPNCTDB-CYBMUJFWSA-N 0 0 428.467 -0.806 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC000761218952 1072277821 /nfs/dbraw/zinc/27/78/21/1072277821.db2.gz PTDUTTJDPNCTDB-ZDUSSCGKSA-N 0 0 428.467 -0.806 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000761219640 1072277852 /nfs/dbraw/zinc/27/78/52/1072277852.db2.gz RJBVUPUFDZEJKA-CYBMUJFWSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000761219641 1072277765 /nfs/dbraw/zinc/27/77/65/1072277765.db2.gz RJBVUPUFDZEJKA-ZDUSSCGKSA-N 0 0 427.454 -0.272 20 0 IBADRN CCOC(=O)CN1CCC[C@H](OC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000761236525 1072283208 /nfs/dbraw/zinc/28/32/08/1072283208.db2.gz PZLKIBIXDKFWCK-KBPBESRZSA-N 0 0 429.495 -0.277 20 0 IBADRN CCOC(=O)CN1CCC[C@H](OC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000761236543 1072283182 /nfs/dbraw/zinc/28/31/82/1072283182.db2.gz PZLKIBIXDKFWCK-KGLIPLIRSA-N 0 0 429.495 -0.277 20 0 IBADRN CCOC(=O)CN1CCC[C@@H](OC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000761236546 1072282959 /nfs/dbraw/zinc/28/29/59/1072282959.db2.gz PZLKIBIXDKFWCK-UONOGXRCSA-N 0 0 429.495 -0.277 20 0 IBADRN CCOC(=O)CN1CCC[C@@H](OC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000761236548 1072283163 /nfs/dbraw/zinc/28/31/63/1072283163.db2.gz PZLKIBIXDKFWCK-ZIAGYGMSSA-N 0 0 429.495 -0.277 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c(N)c1 ZINC000761237414 1072283578 /nfs/dbraw/zinc/28/35/78/1072283578.db2.gz AWIPZLNNKJEQJT-UHFFFAOYSA-N 0 0 440.522 -0.548 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c(N)c1 ZINC000761245038 1072285377 /nfs/dbraw/zinc/28/53/77/1072285377.db2.gz FLWPSVVWBPTJQX-UHFFFAOYSA-N 0 0 435.462 -0.334 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC2CCN(CC(N)=O)CC2)c(OC)c1 ZINC000761248952 1072286049 /nfs/dbraw/zinc/28/60/49/1072286049.db2.gz IYBSUZHAUGLUFO-UHFFFAOYSA-N 0 0 434.540 -0.315 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c(N)c1 ZINC000761250406 1072286010 /nfs/dbraw/zinc/28/60/10/1072286010.db2.gz HLFLXVBNUDXOOA-UHFFFAOYSA-N 0 0 435.524 -0.383 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1ccc(NS(=O)(=O)CC)cc1OC ZINC000761269380 1072287693 /nfs/dbraw/zinc/28/76/93/1072287693.db2.gz AIRUMCNLAVXKSW-UHFFFAOYSA-N 0 0 429.542 -0.326 20 0 IBADRN COc1cc(NC(=O)C2CC2)ccc1S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000761272689 1072288282 /nfs/dbraw/zinc/28/82/82/1072288282.db2.gz MRFBWWIPMQNOCY-UHFFFAOYSA-N 0 0 447.535 -0.016 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCOCCS(C)(=O)=O)c(OC)c1 ZINC000761292612 1072291225 /nfs/dbraw/zinc/29/12/25/1072291225.db2.gz LZMQNWVLMIKRNH-UHFFFAOYSA-N 0 0 444.553 -0.204 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2nnn(OCC(=O)N3CCC4(CC3)OCCO4)c2c1 ZINC000761312643 1072292539 /nfs/dbraw/zinc/29/25/39/1072292539.db2.gz JGCHQBVYHSAAOT-UHFFFAOYSA-N 0 0 425.467 -0.524 20 0 IBADRN COC(=O)CC[C@H]1NC(=O)N(Cc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1=O ZINC000761314462 1072292966 /nfs/dbraw/zinc/29/29/66/1072292966.db2.gz NNHLOOVNCGHQGB-OAHLLOKOSA-N 0 0 438.506 -0.004 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)C1 ZINC000761315823 1072292947 /nfs/dbraw/zinc/29/29/47/1072292947.db2.gz UOLQTDOATIIFCJ-CYBMUJFWSA-N 0 0 427.483 -0.273 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)C1 ZINC000761315824 1072293142 /nfs/dbraw/zinc/29/31/42/1072293142.db2.gz UOLQTDOATIIFCJ-ZDUSSCGKSA-N 0 0 427.483 -0.273 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C1 ZINC000761318612 1072295015 /nfs/dbraw/zinc/29/50/15/1072295015.db2.gz RXNWIOIYSXOUGV-AWEZNQCLSA-N 0 0 437.522 -0.254 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C1 ZINC000761318614 1072294975 /nfs/dbraw/zinc/29/49/75/1072294975.db2.gz RXNWIOIYSXOUGV-CQSZACIVSA-N 0 0 437.522 -0.254 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000761320392 1072295444 /nfs/dbraw/zinc/29/54/44/1072295444.db2.gz XOQUILLUMTZSQE-AWEZNQCLSA-N 0 0 426.495 -0.032 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000761320395 1072295412 /nfs/dbraw/zinc/29/54/12/1072295412.db2.gz XOQUILLUMTZSQE-CQSZACIVSA-N 0 0 426.495 -0.032 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000761323953 1072296375 /nfs/dbraw/zinc/29/63/75/1072296375.db2.gz AGGQLQCCWULFGJ-CABCVRRESA-N 0 0 434.559 -0.296 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000761323954 1072296308 /nfs/dbraw/zinc/29/63/08/1072296308.db2.gz AGGQLQCCWULFGJ-GJZGRUSLSA-N 0 0 434.559 -0.296 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000761323955 1072296332 /nfs/dbraw/zinc/29/63/32/1072296332.db2.gz AGGQLQCCWULFGJ-HUUCEWRRSA-N 0 0 434.559 -0.296 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000761323956 1072296265 /nfs/dbraw/zinc/29/62/65/1072296265.db2.gz AGGQLQCCWULFGJ-LSDHHAIUSA-N 0 0 434.559 -0.296 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000761336317 1072300589 /nfs/dbraw/zinc/30/05/89/1072300589.db2.gz YYLKASYLWFOCGN-INIZCTEOSA-N 0 0 430.552 -0.411 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000761336319 1072300551 /nfs/dbraw/zinc/30/05/51/1072300551.db2.gz YYLKASYLWFOCGN-MRXNPFEDSA-N 0 0 430.552 -0.411 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CCO1 ZINC000761336740 1072300699 /nfs/dbraw/zinc/30/06/99/1072300699.db2.gz IJKAXBMYQBUSPN-CHWSQXEVSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CCO1 ZINC000761336750 1072300606 /nfs/dbraw/zinc/30/06/06/1072300606.db2.gz IJKAXBMYQBUSPN-OLZOCXBDSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CCO1 ZINC000761336759 1072300729 /nfs/dbraw/zinc/30/07/29/1072300729.db2.gz IJKAXBMYQBUSPN-QWHCGFSZSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CCO1 ZINC000761336765 1072300741 /nfs/dbraw/zinc/30/07/41/1072300741.db2.gz IJKAXBMYQBUSPN-STQMWFEESA-N 0 0 431.515 -0.161 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000761340917 1072302356 /nfs/dbraw/zinc/30/23/56/1072302356.db2.gz DMSKIHHQYBQIMA-ZDUSSCGKSA-N 0 0 447.535 -0.024 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CCO1 ZINC000761348304 1072304984 /nfs/dbraw/zinc/30/49/84/1072304984.db2.gz ILSRKUVBCZKVTE-GXTWGEPZSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CCO1 ZINC000761348687 1072305068 /nfs/dbraw/zinc/30/50/68/1072305068.db2.gz ILSRKUVBCZKVTE-JSGCOSHPSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CCO1 ZINC000761348692 1072304970 /nfs/dbraw/zinc/30/49/70/1072304970.db2.gz ILSRKUVBCZKVTE-OCCSQVGLSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)CNS(=O)(=O)c2cnn(C)c2)CCO1 ZINC000761348701 1072304958 /nfs/dbraw/zinc/30/49/58/1072304958.db2.gz ILSRKUVBCZKVTE-TZMCWYRMSA-N 0 0 431.515 -0.161 20 0 IBADRN Cn1cc(/C=C/c2nc(CN3C(=O)c4ccccc4S3(=O)=O)no2)c(=O)n(C)c1=O ZINC000761367995 1072319954 /nfs/dbraw/zinc/31/99/54/1072319954.db2.gz RFCLYONTUJMZNQ-BQYQJAHWSA-N 0 0 429.414 -0.018 20 0 IBADRN Cn1cc(/C=C\c2nc(CN3C(=O)c4ccccc4S3(=O)=O)no2)c(=O)n(C)c1=O ZINC000761367997 1072319867 /nfs/dbraw/zinc/31/98/67/1072319867.db2.gz RFCLYONTUJMZNQ-FPLPWBNLSA-N 0 0 429.414 -0.018 20 0 IBADRN CCOCCS(=O)(=O)NCc1nc(CN2C(=O)c3ccccc3S2(=O)=O)no1 ZINC000761369422 1072344266 /nfs/dbraw/zinc/34/42/66/1072344266.db2.gz WWYGEZTWVUWVIX-UHFFFAOYSA-N 0 0 430.464 -0.130 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC2(CC1)OCCO2 ZINC000761402577 1072460317 /nfs/dbraw/zinc/46/03/17/1072460317.db2.gz KNCOYSHFTLGKBC-UHFFFAOYSA-N 0 0 438.506 -0.416 20 0 IBADRN Cn1cc(-c2nc(-c3ccccc3S(=O)(=O)N3CCOCC3)no2)c(=O)n(C)c1=O ZINC000761434782 1072502324 /nfs/dbraw/zinc/50/23/24/1072502324.db2.gz GRCOFLIHIMYGLS-UHFFFAOYSA-N 0 0 433.446 -0.178 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000761436940 1072509700 /nfs/dbraw/zinc/50/97/00/1072509700.db2.gz UOBKYBNSYZHEGY-NSHDSACASA-N 0 0 426.451 -0.405 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000761519460 1072603799 /nfs/dbraw/zinc/60/37/99/1072603799.db2.gz XLECPMSTZGYAHO-LBPRGKRZSA-N 0 0 431.442 -0.054 20 0 IBADRN Cn1cc(-c2nc(-c3ccc(S(=O)(=O)N4CCOCC4)cc3)no2)c(=O)n(C)c1=O ZINC000761521823 1072603976 /nfs/dbraw/zinc/60/39/76/1072603976.db2.gz LIGQDOSZUGQZGY-UHFFFAOYSA-N 0 0 433.446 -0.178 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000761522701 1072603805 /nfs/dbraw/zinc/60/38/05/1072603805.db2.gz ILERDBDYMLAEJS-AWEZNQCLSA-N 0 0 427.479 -0.052 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000761523357 1072603822 /nfs/dbraw/zinc/60/38/22/1072603822.db2.gz NDHZYEOGHOYYRX-UHFFFAOYSA-N 0 0 430.509 -0.816 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000761523509 1072603856 /nfs/dbraw/zinc/60/38/56/1072603856.db2.gz MAXJPMLDCDPWRN-NSHDSACASA-N 0 0 432.455 -0.588 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000761524287 1072603878 /nfs/dbraw/zinc/60/38/78/1072603878.db2.gz BUWAVOFPUYVLHA-LBPRGKRZSA-N 0 0 431.442 -0.054 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000761525096 1072603748 /nfs/dbraw/zinc/60/37/48/1072603748.db2.gz UDXYSDLCEFPNBC-LBPRGKRZSA-N 0 0 431.442 -0.054 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000761525105 1072604645 /nfs/dbraw/zinc/60/46/45/1072604645.db2.gz UFXHDEZRCWBHTN-LBPRGKRZSA-N 0 0 429.451 -0.066 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000761526213 1072604587 /nfs/dbraw/zinc/60/45/87/1072604587.db2.gz YHHSBMGOHWBCJG-ZDUSSCGKSA-N 0 0 443.478 -0.184 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000761526577 1072603866 /nfs/dbraw/zinc/60/38/66/1072603866.db2.gz TXYKDGIBOCVRIS-AWEZNQCLSA-N 0 0 445.538 -0.082 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000761526578 1072603769 /nfs/dbraw/zinc/60/37/69/1072603769.db2.gz TXYKDGIBOCVRIS-CQSZACIVSA-N 0 0 445.538 -0.082 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000761529778 1072603941 /nfs/dbraw/zinc/60/39/41/1072603941.db2.gz MHRNSECJSWHQNY-ZDUSSCGKSA-N 0 0 443.478 -0.389 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000761531235 1072603843 /nfs/dbraw/zinc/60/38/43/1072603843.db2.gz HCVIBFHROWEUEV-BBRMVZONSA-N 0 0 435.433 -0.215 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000761531236 1072604032 /nfs/dbraw/zinc/60/40/32/1072604032.db2.gz HCVIBFHROWEUEV-XJKSGUPXSA-N 0 0 435.433 -0.215 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000761534737 1072604600 /nfs/dbraw/zinc/60/46/00/1072604600.db2.gz OQIPSKXIZNBZQC-LBPRGKRZSA-N 0 0 429.451 -0.066 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000761536938 1072604576 /nfs/dbraw/zinc/60/45/76/1072604576.db2.gz ZRGMSEXZGWTJIH-ZDUSSCGKSA-N 0 0 443.478 -0.389 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000761538324 1072604552 /nfs/dbraw/zinc/60/45/52/1072604552.db2.gz MUBFKRLHYHOLNY-KRWDZBQOSA-N 0 0 433.509 -0.221 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000761552581 1072604727 /nfs/dbraw/zinc/60/47/27/1072604727.db2.gz RRUHDZZVXCUHPA-UHFFFAOYSA-N 0 0 425.530 -0.312 20 0 IBADRN O=C(Cn1cnc(-c2ccccc2)n1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000761573224 1072604650 /nfs/dbraw/zinc/60/46/50/1072604650.db2.gz ZAVOPEGOCFMMIZ-KRWDZBQOSA-N 0 0 447.517 -0.180 20 0 IBADRN O=C(Cn1cnc(-c2ccccc2)n1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000761573233 1072604610 /nfs/dbraw/zinc/60/46/10/1072604610.db2.gz ZAVOPEGOCFMMIZ-QGZVFWFLSA-N 0 0 447.517 -0.180 20 0 IBADRN CC(=O)N(CCc1ccccc1)CC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000761590573 1072623273 /nfs/dbraw/zinc/62/32/73/1072623273.db2.gz FGRVHRXKFSCHRM-UHFFFAOYSA-N 0 0 432.521 -0.147 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)CC1 ZINC000761638999 1072637329 /nfs/dbraw/zinc/63/73/29/1072637329.db2.gz ZMERIXVQIBQGMX-UHFFFAOYSA-N 0 0 432.525 -0.123 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCCC3(C2)OCCO3)CC1 ZINC000761686621 1072644161 /nfs/dbraw/zinc/64/41/61/1072644161.db2.gz ZZIAVDVDQHKFQF-UHFFFAOYSA-N 0 0 428.511 -0.037 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NCCOCC(N)=O)c1 ZINC000761702731 1072645490 /nfs/dbraw/zinc/64/54/90/1072645490.db2.gz QQGYUAQXEVUETM-UHFFFAOYSA-N 0 0 438.300 -0.395 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NCCn2ncnn2)c1 ZINC000761704339 1072646157 /nfs/dbraw/zinc/64/61/57/1072646157.db2.gz AOFUXHHDMZHHII-UHFFFAOYSA-N 0 0 433.288 -0.210 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000761705716 1072646188 /nfs/dbraw/zinc/64/61/88/1072646188.db2.gz IPEVWHTYFMFXOZ-CVEARBPZSA-N 0 0 442.538 -0.898 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000761705721 1072646097 /nfs/dbraw/zinc/64/60/97/1072646097.db2.gz IPEVWHTYFMFXOZ-HOTGVXAUSA-N 0 0 442.538 -0.898 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000761705724 1072646109 /nfs/dbraw/zinc/64/61/09/1072646109.db2.gz IPEVWHTYFMFXOZ-HZPDHXFCSA-N 0 0 442.538 -0.898 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000761705726 1072645928 /nfs/dbraw/zinc/64/59/28/1072645928.db2.gz IPEVWHTYFMFXOZ-JKSUJKDBSA-N 0 0 442.538 -0.898 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)NC(=O)NCc1cccs1 ZINC000761771700 1072651016 /nfs/dbraw/zinc/65/10/16/1072651016.db2.gz CFYHKKMYPPABEJ-UHFFFAOYSA-N 0 0 425.448 -0.413 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000761772686 1072651105 /nfs/dbraw/zinc/65/11/05/1072651105.db2.gz KOXZMJKZLZPDNK-UHFFFAOYSA-N 0 0 449.401 -0.424 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000761789187 1072653196 /nfs/dbraw/zinc/65/31/96/1072653196.db2.gz BXROSGAKWAPHMX-KRWDZBQOSA-N 0 0 426.481 -0.282 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000761789191 1072653356 /nfs/dbraw/zinc/65/33/56/1072653356.db2.gz BXROSGAKWAPHMX-QGZVFWFLSA-N 0 0 426.481 -0.282 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000761814464 1072662854 /nfs/dbraw/zinc/66/28/54/1072662854.db2.gz RFMRLPGDJZRKQY-LBPRGKRZSA-N 0 0 434.515 -0.176 20 0 IBADRN COCCN1C(=O)c2ccc(-c3nc(CS(=O)(=O)N(C)C)no3)cc2S1(=O)=O ZINC000761878744 1072668302 /nfs/dbraw/zinc/66/83/02/1072668302.db2.gz QWLFUSIPRKFMLQ-UHFFFAOYSA-N 0 0 430.464 -0.081 20 0 IBADRN CC(C)S(=O)(=O)CCN1CCN(CCS(=O)(=O)C(C)C)[C@H](C(=O)N(C)C)C1 ZINC000761915939 1072669111 /nfs/dbraw/zinc/66/91/11/1072669111.db2.gz PZFRTGUHSNKREB-INIZCTEOSA-N 0 0 425.617 -0.293 20 0 IBADRN CC(C)S(=O)(=O)CCN1CCN(CCS(=O)(=O)C(C)C)[C@@H](C(=O)N(C)C)C1 ZINC000761915942 1072668913 /nfs/dbraw/zinc/66/89/13/1072668913.db2.gz PZFRTGUHSNKREB-MRXNPFEDSA-N 0 0 425.617 -0.293 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNCc2cnc(N3CCN(C)CC3)nc2)c1 ZINC000761964241 1072670072 /nfs/dbraw/zinc/67/00/72/1072670072.db2.gz FAZFWSSVDUIXIB-UHFFFAOYSA-N 0 0 433.538 -0.135 20 0 IBADRN O=C(C(=O)N1CCN(Cn2nc(CO)n(-c3ccccc3)c2=S)CC1)N1CCOCC1 ZINC000761999889 1072673158 /nfs/dbraw/zinc/67/31/58/1072673158.db2.gz CMEJOTHGDWEXIQ-UHFFFAOYSA-N 0 0 446.533 -0.144 20 0 IBADRN O=C(Cn1cc(-c2ccccc2)nn1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000762000663 1072673110 /nfs/dbraw/zinc/67/31/10/1072673110.db2.gz INJVSELKCVKKHT-KRWDZBQOSA-N 0 0 447.517 -0.180 20 0 IBADRN O=C(Cn1cc(-c2ccccc2)nn1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000762000698 1072673191 /nfs/dbraw/zinc/67/31/91/1072673191.db2.gz INJVSELKCVKKHT-QGZVFWFLSA-N 0 0 447.517 -0.180 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000762177466 1072680148 /nfs/dbraw/zinc/68/01/48/1072680148.db2.gz FDSGDADLDWASBK-UHFFFAOYSA-N 0 0 433.328 -0.058 20 0 IBADRN CN(C)C(=O)N1CCN(c2nc(NC3CC3)nc(N3CCN(C(=O)N(C)C)CC3)n2)CC1 ZINC000762194815 1072680833 /nfs/dbraw/zinc/68/08/33/1072680833.db2.gz DWFOJRBAQWSFIS-UHFFFAOYSA-N 0 0 446.560 -0.525 20 0 IBADRN O=C(C1CC1)N1CCN(c2nc(NC3CC3)nc(N3CCN(C(=O)C4CC4)CC3)n2)CC1 ZINC000762197405 1072680869 /nfs/dbraw/zinc/68/08/69/1072680869.db2.gz ZRMASMPSCQVUQI-UHFFFAOYSA-N 0 0 440.552 -0.015 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC[NH+](C)C)cc1C(=O)N1CCO[C@H](c2nnn[n-]2)C1 ZINC000762205876 1072681427 /nfs/dbraw/zinc/68/14/27/1072681427.db2.gz CXFHRGQUUYNBND-INIZCTEOSA-N 0 0 437.526 -0.048 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC[NH+](C)C)cc1C(=O)N1CCO[C@@H](c2nnn[n-]2)C1 ZINC000762205877 1072681446 /nfs/dbraw/zinc/68/14/46/1072681446.db2.gz CXFHRGQUUYNBND-MRXNPFEDSA-N 0 0 437.526 -0.048 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)C2(C(=O)N3CCO[C@H](C(=O)OCC)C3)CCC2)CCO1 ZINC000762224561 1072682821 /nfs/dbraw/zinc/68/28/21/1072682821.db2.gz ZQIXXICEQAJEJY-GASCZTMLSA-N 0 0 426.466 -0.262 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)C2(C(=O)N3CCO[C@H](C(=O)OCC)C3)CCC2)CCO1 ZINC000762224567 1072682800 /nfs/dbraw/zinc/68/28/00/1072682800.db2.gz ZQIXXICEQAJEJY-GJZGRUSLSA-N 0 0 426.466 -0.262 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)C2(C(=O)N3CCO[C@@H](C(=O)OCC)C3)CCC2)CCO1 ZINC000762224572 1072682832 /nfs/dbraw/zinc/68/28/32/1072682832.db2.gz ZQIXXICEQAJEJY-HUUCEWRRSA-N 0 0 426.466 -0.262 20 0 IBADRN CN(C)C(=O)CNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000762264812 1072683169 /nfs/dbraw/zinc/68/31/69/1072683169.db2.gz BJNVCANTVVATPR-UHFFFAOYSA-N 0 0 433.531 -0.649 20 0 IBADRN CC(=O)NCCNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000762266923 1072683118 /nfs/dbraw/zinc/68/31/18/1072683118.db2.gz XHSKAMSMSOJFPI-UHFFFAOYSA-N 0 0 433.531 -0.601 20 0 IBADRN C[C@@H](NC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1)C(=O)N(C)C ZINC000762268216 1072683910 /nfs/dbraw/zinc/68/39/10/1072683910.db2.gz FTPNEXCLHQLUIB-CYBMUJFWSA-N 0 0 447.558 -0.261 20 0 IBADRN C[C@H](NC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1)C(=O)N(C)C ZINC000762268219 1072683832 /nfs/dbraw/zinc/68/38/32/1072683832.db2.gz FTPNEXCLHQLUIB-ZDUSSCGKSA-N 0 0 447.558 -0.261 20 0 IBADRN CNC(=O)CCCNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000762269195 1072683870 /nfs/dbraw/zinc/68/38/70/1072683870.db2.gz AKDHSBJWWIMEPP-UHFFFAOYSA-N 0 0 447.558 -0.211 20 0 IBADRN CC(=O)NCCCNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000762269330 1072683813 /nfs/dbraw/zinc/68/38/13/1072683813.db2.gz MSSJZAXQSBYUKC-UHFFFAOYSA-N 0 0 447.558 -0.211 20 0 IBADRN CN(C)C(=O)CCNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000762271477 1072683876 /nfs/dbraw/zinc/68/38/76/1072683876.db2.gz LGIUQZNZZVNILJ-UHFFFAOYSA-N 0 0 447.558 -0.259 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)NC[C@H]3COCCO3)C2)CC1 ZINC000762272784 1072683192 /nfs/dbraw/zinc/68/31/92/1072683192.db2.gz RZJQEKLTOBJBTO-AWEZNQCLSA-N 0 0 448.542 -0.322 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)NC[C@@H]3COCCO3)C2)CC1 ZINC000762272788 1072683249 /nfs/dbraw/zinc/68/32/49/1072683249.db2.gz RZJQEKLTOBJBTO-CQSZACIVSA-N 0 0 448.542 -0.322 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)NCCn3cncn3)C2)CC1 ZINC000762281252 1072683899 /nfs/dbraw/zinc/68/38/99/1072683899.db2.gz LJVKOYKSQDHPBH-UHFFFAOYSA-N 0 0 443.530 -0.446 20 0 IBADRN COC[C@H]1NC(=O)N(CCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000762290653 1072684347 /nfs/dbraw/zinc/68/43/47/1072684347.db2.gz HWTNDVHENZKLFY-CYBMUJFWSA-N 0 0 435.480 -0.642 20 0 IBADRN COC[C@@H]1NC(=O)N(CCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000762290654 1072684301 /nfs/dbraw/zinc/68/43/01/1072684301.db2.gz HWTNDVHENZKLFY-ZDUSSCGKSA-N 0 0 435.480 -0.642 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCN2C(=O)[C@@H]3C[C@@H](O)CN3C2=O)cc1)S(C)(=O)=O ZINC000762291110 1072684234 /nfs/dbraw/zinc/68/42/34/1072684234.db2.gz KMOFYABRMXTBKU-OCCSQVGLSA-N 0 0 447.491 -0.812 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCN2C(=O)N[C@]3(CCOC3)C2=O)cc1)S(C)(=O)=O ZINC000762291153 1072684296 /nfs/dbraw/zinc/68/42/96/1072684296.db2.gz KYSVJQJPBMAJEE-INIZCTEOSA-N 0 0 447.491 -0.498 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCN2C(=O)N[C@@]3(CCOC3)C2=O)cc1)S(C)(=O)=O ZINC000762291155 1072684423 /nfs/dbraw/zinc/68/44/23/1072684423.db2.gz KYSVJQJPBMAJEE-MRXNPFEDSA-N 0 0 447.491 -0.498 20 0 IBADRN COC[C@]1(C)NC(=O)N(CCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000762291254 1072684277 /nfs/dbraw/zinc/68/42/77/1072684277.db2.gz MLOOSCOSEDGASL-INIZCTEOSA-N 0 0 449.507 -0.252 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000762291257 1072684266 /nfs/dbraw/zinc/68/42/66/1072684266.db2.gz MLOOSCOSEDGASL-MRXNPFEDSA-N 0 0 449.507 -0.252 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NC[C@@H](O)COc1cccc(F)c1 ZINC000762302086 1072684964 /nfs/dbraw/zinc/68/49/64/1072684964.db2.gz WBCQWJQOZKXMKM-GFCCVEGCSA-N 0 0 439.421 -0.063 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NC[C@H](O)COc1cccc(F)c1 ZINC000762302096 1072684947 /nfs/dbraw/zinc/68/49/47/1072684947.db2.gz WBCQWJQOZKXMKM-LBPRGKRZSA-N 0 0 439.421 -0.063 20 0 IBADRN C[S@@](=O)CCNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000762302377 1072685209 /nfs/dbraw/zinc/68/52/09/1072685209.db2.gz CBRBWAGUHMPZTQ-HHHXNRCGSA-N 0 0 438.572 -0.359 20 0 IBADRN C[S@](=O)CCNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000762302378 1072685189 /nfs/dbraw/zinc/68/51/89/1072685189.db2.gz CBRBWAGUHMPZTQ-MHZLTWQESA-N 0 0 438.572 -0.359 20 0 IBADRN CN1CC[C@H](NC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1=O ZINC000762304446 1072685197 /nfs/dbraw/zinc/68/51/97/1072685197.db2.gz LDNDOOFZQUBJRQ-AWEZNQCLSA-N 0 0 445.542 -0.507 20 0 IBADRN CN1CC[C@@H](NC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1=O ZINC000762304448 1072685144 /nfs/dbraw/zinc/68/51/44/1072685144.db2.gz LDNDOOFZQUBJRQ-CQSZACIVSA-N 0 0 445.542 -0.507 20 0 IBADRN CN1C[C@H](NC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC000762321995 1072685768 /nfs/dbraw/zinc/68/57/68/1072685768.db2.gz YJCRLZNZRDGSGO-CYBMUJFWSA-N 0 0 445.542 -0.507 20 0 IBADRN CN1C[C@@H](NC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC000762321996 1072685683 /nfs/dbraw/zinc/68/56/83/1072685683.db2.gz YJCRLZNZRDGSGO-ZDUSSCGKSA-N 0 0 445.542 -0.507 20 0 IBADRN Cn1cc(/C=C\C(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)c(=O)n(C)c1=O ZINC000762366244 1072687114 /nfs/dbraw/zinc/68/71/14/1072687114.db2.gz AGVNNSZTFDQVOA-IJVDHGTGSA-N 0 0 428.445 -0.462 20 0 IBADRN Cn1cc(/C=C/C(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)c(=O)n(C)c1=O ZINC000762366251 1072686945 /nfs/dbraw/zinc/68/69/45/1072686945.db2.gz AGVNNSZTFDQVOA-NFBGWVBBSA-N 0 0 428.445 -0.462 20 0 IBADRN Cn1cc(/C=C\C(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)c(=O)n(C)c1=O ZINC000762366258 1072687710 /nfs/dbraw/zinc/68/77/10/1072687710.db2.gz AGVNNSZTFDQVOA-QZDDGCDVSA-N 0 0 428.445 -0.462 20 0 IBADRN Cn1cc(/C=C/C(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)c(=O)n(C)c1=O ZINC000762366266 1072687513 /nfs/dbraw/zinc/68/75/13/1072687513.db2.gz AGVNNSZTFDQVOA-SZTZYQKNSA-N 0 0 428.445 -0.462 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NCC(=O)NCC(=O)N2CCCC2)c1 ZINC000762375551 1072687545 /nfs/dbraw/zinc/68/75/45/1072687545.db2.gz BGKISSBIKWYMKX-UHFFFAOYSA-N 0 0 427.527 -0.197 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)c1 ZINC000762381476 1072687629 /nfs/dbraw/zinc/68/76/29/1072687629.db2.gz ATXZIOHBGAOSCQ-UHFFFAOYSA-N 0 0 440.459 -0.687 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000762382433 1072688048 /nfs/dbraw/zinc/68/80/48/1072688048.db2.gz HFFMZBFTPNNOQS-UHFFFAOYSA-N 0 0 440.459 -0.687 20 0 IBADRN O=C(OCCN1C(=O)c2ccccc2S1(=O)=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000762384721 1072688095 /nfs/dbraw/zinc/68/80/95/1072688095.db2.gz OFXVHABDSKIPLM-UHFFFAOYSA-N 0 0 437.455 -0.128 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000762391793 1072688211 /nfs/dbraw/zinc/68/82/11/1072688211.db2.gz WQVQGQFCRJAGOO-UHFFFAOYSA-N 0 0 426.451 -0.672 20 0 IBADRN CCN(C(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)[C@@H]1CCS(=O)(=O)C1 ZINC000762392468 1072688177 /nfs/dbraw/zinc/68/81/77/1072688177.db2.gz SFNMSOKQVZAEGA-GFCCVEGCSA-N 0 0 431.492 -0.937 20 0 IBADRN CCN(C(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)[C@H]1CCS(=O)(=O)C1 ZINC000762392474 1072688217 /nfs/dbraw/zinc/68/82/17/1072688217.db2.gz SFNMSOKQVZAEGA-LBPRGKRZSA-N 0 0 431.492 -0.937 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000762393828 1072688114 /nfs/dbraw/zinc/68/81/14/1072688114.db2.gz OLQOEBRFUDFREF-UHFFFAOYSA-N 0 0 425.463 -0.171 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(C(=O)c2ccco2)CC1 ZINC000762393890 1072688205 /nfs/dbraw/zinc/68/82/05/1072688205.db2.gz RHZSOIADKLJSKJ-UHFFFAOYSA-N 0 0 448.457 -0.395 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)o1 ZINC000762399298 1072688735 /nfs/dbraw/zinc/68/87/35/1072688735.db2.gz DHKJZCGMVLNGML-AWEZNQCLSA-N 0 0 434.474 -0.036 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)o1 ZINC000762399299 1072688762 /nfs/dbraw/zinc/68/87/62/1072688762.db2.gz DHKJZCGMVLNGML-CQSZACIVSA-N 0 0 434.474 -0.036 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)c[nH]1 ZINC000762400133 1072688648 /nfs/dbraw/zinc/68/86/48/1072688648.db2.gz HOBRVGJPIRBTJS-HNNXBMFYSA-N 0 0 433.490 -0.301 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)c[nH]1 ZINC000762400139 1072688757 /nfs/dbraw/zinc/68/87/57/1072688757.db2.gz HOBRVGJPIRBTJS-OAHLLOKOSA-N 0 0 433.490 -0.301 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NCC(=O)Nc2ccc(F)c(F)c2)cn1 ZINC000762407145 1072688564 /nfs/dbraw/zinc/68/85/64/1072688564.db2.gz GCODSULPFCXTNL-UHFFFAOYSA-N 0 0 438.391 -0.383 20 0 IBADRN CCCn1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC000762411617 1072689816 /nfs/dbraw/zinc/68/98/16/1072689816.db2.gz BYUQETOUOZLNHJ-UHFFFAOYSA-N 0 0 449.537 -0.105 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](O)COc2cccc(F)c2)cn1)N1CCOCC1 ZINC000762415013 1072688675 /nfs/dbraw/zinc/68/86/75/1072688675.db2.gz CHBRUCUXBRIAEZ-INIZCTEOSA-N 0 0 449.439 -0.624 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](O)COc2cccc(F)c2)cn1)N1CCOCC1 ZINC000762415014 1072688593 /nfs/dbraw/zinc/68/85/93/1072688593.db2.gz CHBRUCUXBRIAEZ-MRXNPFEDSA-N 0 0 449.439 -0.624 20 0 IBADRN CCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000762415067 1072688580 /nfs/dbraw/zinc/68/85/80/1072688580.db2.gz AMVLLWDMGMUUOG-GASCZTMLSA-N 0 0 442.542 -0.270 20 0 IBADRN CCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000762415068 1072688745 /nfs/dbraw/zinc/68/87/45/1072688745.db2.gz AMVLLWDMGMUUOG-GJZGRUSLSA-N 0 0 442.542 -0.270 20 0 IBADRN CCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cn1 ZINC000762415069 1072688668 /nfs/dbraw/zinc/68/86/68/1072688668.db2.gz AMVLLWDMGMUUOG-HUUCEWRRSA-N 0 0 442.542 -0.270 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000762415392 1072689376 /nfs/dbraw/zinc/68/93/76/1072689376.db2.gz FEBHNIZUAWUMON-UHFFFAOYSA-N 0 0 438.485 -0.337 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC4(CC3)OCCO4)cn2)C[C@H](C)O1 ZINC000762415642 1072689295 /nfs/dbraw/zinc/68/92/95/1072689295.db2.gz KJKIICIRYJHAGA-GASCZTMLSA-N 0 0 435.481 -0.177 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC4(CC3)OCCO4)cn2)C[C@H](C)O1 ZINC000762415644 1072689230 /nfs/dbraw/zinc/68/92/30/1072689230.db2.gz KJKIICIRYJHAGA-GJZGRUSLSA-N 0 0 435.481 -0.177 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC4(CC3)OCCO4)cn2)C[C@@H](C)O1 ZINC000762415646 1072689270 /nfs/dbraw/zinc/68/92/70/1072689270.db2.gz KJKIICIRYJHAGA-HUUCEWRRSA-N 0 0 435.481 -0.177 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(C[C@@H]3CCCO3)c2)CC1 ZINC000762416112 1072689262 /nfs/dbraw/zinc/68/92/62/1072689262.db2.gz NSDQBFKOZMQHMP-INIZCTEOSA-N 0 0 442.542 -0.269 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(C[C@H]3CCCO3)c2)CC1 ZINC000762416113 1072689276 /nfs/dbraw/zinc/68/92/76/1072689276.db2.gz NSDQBFKOZMQHMP-MRXNPFEDSA-N 0 0 442.542 -0.269 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)Cc2cnn(-c3ccccc3)n2)cn1 ZINC000762416414 1072689902 /nfs/dbraw/zinc/68/99/02/1072689902.db2.gz SLMXLTQQVQAGCK-UHFFFAOYSA-N 0 0 440.464 -0.177 20 0 IBADRN CCCn1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cn1 ZINC000762416931 1072689206 /nfs/dbraw/zinc/68/92/06/1072689206.db2.gz XTLJYYYBMMUBTA-UHFFFAOYSA-N 0 0 438.466 -0.543 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000762425521 1072689838 /nfs/dbraw/zinc/68/98/38/1072689838.db2.gz XDWRGEOTPMANBF-AWEZNQCLSA-N 0 0 436.469 -0.583 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000762425529 1072689854 /nfs/dbraw/zinc/68/98/54/1072689854.db2.gz XDWRGEOTPMANBF-CQSZACIVSA-N 0 0 436.469 -0.583 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC000762427078 1072689785 /nfs/dbraw/zinc/68/97/85/1072689785.db2.gz SKQNEYSFYUUSCM-KBPBESRZSA-N 0 0 436.469 -0.632 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC000762427080 1072690388 /nfs/dbraw/zinc/69/03/88/1072690388.db2.gz SKQNEYSFYUUSCM-OKILXGFUSA-N 0 0 436.469 -0.632 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC000762427082 1072690385 /nfs/dbraw/zinc/69/03/85/1072690385.db2.gz SKQNEYSFYUUSCM-ZIAGYGMSSA-N 0 0 436.469 -0.632 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCOC3=O)cn2)C[C@H](C)O1 ZINC000762439564 1072690776 /nfs/dbraw/zinc/69/07/76/1072690776.db2.gz JVLSDDAYCYBXCI-KBPBESRZSA-N 0 0 436.469 -0.584 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCOC3=O)cn2)C[C@H](C)O1 ZINC000762439565 1072690822 /nfs/dbraw/zinc/69/08/22/1072690822.db2.gz JVLSDDAYCYBXCI-OKILXGFUSA-N 0 0 436.469 -0.584 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)NCCCN3CCOC3=O)cn2)C[C@@H](C)O1 ZINC000762439566 1072690750 /nfs/dbraw/zinc/69/07/50/1072690750.db2.gz JVLSDDAYCYBXCI-ZIAGYGMSSA-N 0 0 436.469 -0.584 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000762439754 1072690810 /nfs/dbraw/zinc/69/08/10/1072690810.db2.gz MGTAQWIJNGPPGC-UHFFFAOYSA-N 0 0 438.485 -0.289 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@]2(O)CCCc3ccccc32)cn1 ZINC000762439813 1072690815 /nfs/dbraw/zinc/69/08/15/1072690815.db2.gz NCGRKEQGRXKKQS-NRFANRHFSA-N 0 0 429.477 -0.076 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NC[C@]2(O)CCCc3ccccc32)cn1 ZINC000762439824 1072690800 /nfs/dbraw/zinc/69/08/00/1072690800.db2.gz NCGRKEQGRXKKQS-OAQYLSRUSA-N 0 0 429.477 -0.076 20 0 IBADRN CCOC(=O)N(C)C1CCN(C(=O)C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC000762440013 1072690723 /nfs/dbraw/zinc/69/07/23/1072690723.db2.gz GAHBANJJLNIOST-UHFFFAOYSA-N 0 0 438.485 -0.337 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@@H](NC(=O)OC(C)(C)C)C2)cn1 ZINC000762441310 1072690764 /nfs/dbraw/zinc/69/07/64/1072690764.db2.gz SWXYUOAHXJJGQJ-CYBMUJFWSA-N 0 0 438.485 -0.290 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@H](NC(=O)OC(C)(C)C)C2)cn1 ZINC000762441311 1072690808 /nfs/dbraw/zinc/69/08/08/1072690808.db2.gz SWXYUOAHXJJGQJ-ZDUSSCGKSA-N 0 0 438.485 -0.290 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)CC1 ZINC000762441839 1072690785 /nfs/dbraw/zinc/69/07/85/1072690785.db2.gz DRSNMXXDLZSGPT-UHFFFAOYSA-N 0 0 436.469 -0.629 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C(=O)OCC(C)C)CC2)cn1 ZINC000762444412 1072690409 /nfs/dbraw/zinc/69/04/09/1072690409.db2.gz PXPHRUDMRMXNPQ-UHFFFAOYSA-N 0 0 438.485 -0.479 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCCC2=O)cn1 ZINC000762444452 1072690378 /nfs/dbraw/zinc/69/03/78/1072690378.db2.gz GFSSQPFPFZOLLS-INIZCTEOSA-N 0 0 434.497 -0.412 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCCC2=O)cn1 ZINC000762444456 1072690391 /nfs/dbraw/zinc/69/03/91/1072690391.db2.gz GFSSQPFPFZOLLS-MRXNPFEDSA-N 0 0 434.497 -0.412 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@H](N3CCCCC3=O)C2)cn1 ZINC000762445103 1072690404 /nfs/dbraw/zinc/69/04/04/1072690404.db2.gz KFUARHSJQGKOJP-INIZCTEOSA-N 0 0 434.497 -0.412 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCC[C@@H](N3CCCCC3=O)C2)cn1 ZINC000762445104 1072690465 /nfs/dbraw/zinc/69/04/65/1072690465.db2.gz KFUARHSJQGKOJP-MRXNPFEDSA-N 0 0 434.497 -0.412 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@H](N3CCCCC3=O)C2)cn1)N1CCOCC1 ZINC000762446598 1072690422 /nfs/dbraw/zinc/69/04/22/1072690422.db2.gz RGXQRHYANXWJBX-KRWDZBQOSA-N 0 0 446.508 -0.316 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCC[C@@H](N3CCCCC3=O)C2)cn1)N1CCOCC1 ZINC000762446600 1072690441 /nfs/dbraw/zinc/69/04/41/1072690441.db2.gz RGXQRHYANXWJBX-QGZVFWFLSA-N 0 0 446.508 -0.316 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NC[C@](C)(O)c2ccc(F)cc2F)cn1 ZINC000762446799 1072690418 /nfs/dbraw/zinc/69/04/18/1072690418.db2.gz DBEIXWPIMQIVBT-IBGZPJMESA-N 0 0 439.419 -0.114 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@](C)(O)c2ccc(F)cc2F)cn1 ZINC000762446801 1072690332 /nfs/dbraw/zinc/69/03/32/1072690332.db2.gz DBEIXWPIMQIVBT-LJQANCHMSA-N 0 0 439.419 -0.114 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCCC2=O)cn1)N1CCOCC1 ZINC000762448201 1072690414 /nfs/dbraw/zinc/69/04/14/1072690414.db2.gz ZYWAUENWWGQYJH-KRWDZBQOSA-N 0 0 446.508 -0.316 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCCC2=O)cn1)N1CCOCC1 ZINC000762448202 1072690400 /nfs/dbraw/zinc/69/04/00/1072690400.db2.gz ZYWAUENWWGQYJH-QGZVFWFLSA-N 0 0 446.508 -0.316 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NCc2nnc(-c3ccccc3)[nH]2)cn1)N1CCOCC1 ZINC000762460261 1072691341 /nfs/dbraw/zinc/69/13/41/1072691341.db2.gz QTBQCKLNYCCWHR-UHFFFAOYSA-N 0 0 438.448 -0.218 20 0 IBADRN CN(CCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)OC(C)(C)C ZINC000762463252 1072691426 /nfs/dbraw/zinc/69/14/26/1072691426.db2.gz CGCIVJNEHJLBSB-UHFFFAOYSA-N 0 0 438.485 -0.337 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1 ZINC000762469408 1072691965 /nfs/dbraw/zinc/69/19/65/1072691965.db2.gz ZSEWKBYGICOYMI-JMERFSKESA-N 0 0 439.444 -0.429 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000762469414 1072691999 /nfs/dbraw/zinc/69/19/99/1072691999.db2.gz ZSEWKBYGICOYMI-QHRIQVFBSA-N 0 0 439.444 -0.429 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1 ZINC000762469419 1072691906 /nfs/dbraw/zinc/69/19/06/1072691906.db2.gz ZSEWKBYGICOYMI-QUJCMNEKSA-N 0 0 439.444 -0.429 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1 ZINC000762469424 1072691951 /nfs/dbraw/zinc/69/19/51/1072691951.db2.gz ZSEWKBYGICOYMI-VPZZIHKRSA-N 0 0 439.444 -0.429 20 0 IBADRN Cc1cc([C@@](C)(O)CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c(C)o1 ZINC000762470018 1072691973 /nfs/dbraw/zinc/69/19/73/1072691973.db2.gz HBKUQPKMVSKHSU-FQEVSTJZSA-N 0 0 433.465 -0.086 20 0 IBADRN Cc1cc([C@](C)(O)CNC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)c(C)o1 ZINC000762470022 1072691990 /nfs/dbraw/zinc/69/19/90/1072691990.db2.gz HBKUQPKMVSKHSU-HXUWFJFHSA-N 0 0 433.465 -0.086 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@H]2CCN(c3ccc(C)cc3)C2=O)cn1 ZINC000762471278 1072691936 /nfs/dbraw/zinc/69/19/36/1072691936.db2.gz NKFYVMXSWBLCAQ-KRWDZBQOSA-N 0 0 442.476 -0.186 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H]2CCN(c3ccc(C)cc3)C2=O)cn1 ZINC000762471280 1072692027 /nfs/dbraw/zinc/69/20/27/1072692027.db2.gz NKFYVMXSWBLCAQ-QGZVFWFLSA-N 0 0 442.476 -0.186 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NC[C@H](O)c2c(F)cccc2F)cn1 ZINC000762472281 1072691897 /nfs/dbraw/zinc/69/18/97/1072691897.db2.gz SHAWHQVRCKEUFD-AWEZNQCLSA-N 0 0 425.392 -0.288 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)NC[C@@H](O)c2c(F)cccc2F)cn1 ZINC000762472290 1072691916 /nfs/dbraw/zinc/69/19/16/1072691916.db2.gz SHAWHQVRCKEUFD-CQSZACIVSA-N 0 0 425.392 -0.288 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H](O)c2c(F)cccc2F)cn1)N1CCOCC1 ZINC000762472534 1072692564 /nfs/dbraw/zinc/69/25/64/1072692564.db2.gz TWDHHOTVUULLEX-HNNXBMFYSA-N 0 0 437.403 -0.192 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H](O)c2c(F)cccc2F)cn1)N1CCOCC1 ZINC000762472535 1072692646 /nfs/dbraw/zinc/69/26/46/1072692646.db2.gz TWDHHOTVUULLEX-OAHLLOKOSA-N 0 0 437.403 -0.192 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCNC(=O)C1)C2=O ZINC000762482880 1072692589 /nfs/dbraw/zinc/69/25/89/1072692589.db2.gz ZXJFWVPKORXRDG-RBZFPXEDSA-N 0 0 437.497 -0.050 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCNC(=O)C1)C2=O ZINC000762482881 1072692475 /nfs/dbraw/zinc/69/24/75/1072692475.db2.gz ZXJFWVPKORXRDG-RNODOKPDSA-N 0 0 437.497 -0.050 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCNC(=O)C1)C2=O ZINC000762482882 1072692609 /nfs/dbraw/zinc/69/26/09/1072692609.db2.gz ZXJFWVPKORXRDG-XCLFUZPHSA-N 0 0 437.497 -0.050 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCNC(=O)C1)C2=O ZINC000762482883 1072692635 /nfs/dbraw/zinc/69/26/35/1072692635.db2.gz ZXJFWVPKORXRDG-ZUOKHONESA-N 0 0 437.497 -0.050 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cn2cnc(-c3ccccn3)n2)CC1 ZINC000762486264 1072692889 /nfs/dbraw/zinc/69/28/89/1072692889.db2.gz JJNWFZZUZPMMTP-AWEZNQCLSA-N 0 0 426.524 -0.568 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cn2cnc(-c3ccccn3)n2)CC1 ZINC000762486266 1072693013 /nfs/dbraw/zinc/69/30/13/1072693013.db2.gz JJNWFZZUZPMMTP-CQSZACIVSA-N 0 0 426.524 -0.568 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)C(=O)N1CCCS(=O)(=O)CC1 ZINC000762493258 1072692937 /nfs/dbraw/zinc/69/29/37/1072692937.db2.gz IXKPSBWJKCPBHQ-UHFFFAOYSA-N 0 0 428.898 -0.138 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@H](O)c2cc(Cl)c3c(c2)OCCO3)CC1 ZINC000762495345 1072692872 /nfs/dbraw/zinc/69/28/72/1072692872.db2.gz RTEBHCLGPBIFOS-AWEZNQCLSA-N 0 0 433.914 -0.162 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NC[C@@H](O)c2cc(Cl)c3c(c2)OCCO3)CC1 ZINC000762495422 1072693027 /nfs/dbraw/zinc/69/30/27/1072693027.db2.gz RTEBHCLGPBIFOS-CQSZACIVSA-N 0 0 433.914 -0.162 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@@H](O)c2cc(Cl)c3c(c2)OCCO3)c1 ZINC000762503655 1072692895 /nfs/dbraw/zinc/69/28/95/1072692895.db2.gz VSQNCPDZLDLQRS-GFCCVEGCSA-N 0 0 430.870 -0.027 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@H](O)c2cc(Cl)c3c(c2)OCCO3)c1 ZINC000762503656 1072692995 /nfs/dbraw/zinc/69/29/95/1072692995.db2.gz VSQNCPDZLDLQRS-LBPRGKRZSA-N 0 0 430.870 -0.027 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CCN2C1=O ZINC000762525107 1072693658 /nfs/dbraw/zinc/69/36/58/1072693658.db2.gz NSXVCOIBGHDUJU-HNNXBMFYSA-N 0 0 428.449 -0.944 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CCN2C1=O ZINC000762525108 1072693645 /nfs/dbraw/zinc/69/36/45/1072693645.db2.gz NSXVCOIBGHDUJU-OAHLLOKOSA-N 0 0 428.449 -0.944 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000762551138 1072693433 /nfs/dbraw/zinc/69/34/33/1072693433.db2.gz VUQPNCZLUXGSSU-GFCCVEGCSA-N 0 0 431.536 -0.235 20 0 IBADRN C[C@@H](CCS(C)(=O)=O)NC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000762551141 1072693694 /nfs/dbraw/zinc/69/36/94/1072693694.db2.gz VUQPNCZLUXGSSU-LBPRGKRZSA-N 0 0 431.536 -0.235 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](n3cc(C(=O)OC)nn3)C2)CC1 ZINC000762557835 1072694252 /nfs/dbraw/zinc/69/42/52/1072694252.db2.gz UMHZLOHQUBJEQO-CYBMUJFWSA-N 0 0 430.487 -0.280 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC[C@H](n3cc(C(=O)OC)nn3)C2)CC1 ZINC000762557847 1072694118 /nfs/dbraw/zinc/69/41/18/1072694118.db2.gz UMHZLOHQUBJEQO-ZDUSSCGKSA-N 0 0 430.487 -0.280 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)NCCS(=O)(=O)N3CCOCC3)C2)nn1 ZINC000762571946 1072694156 /nfs/dbraw/zinc/69/41/56/1072694156.db2.gz RJOJVKCIHXVQSX-CYBMUJFWSA-N 0 0 430.487 -0.927 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)NCCS(=O)(=O)N3CCOCC3)C2)nn1 ZINC000762571949 1072694250 /nfs/dbraw/zinc/69/42/50/1072694250.db2.gz RJOJVKCIHXVQSX-ZDUSSCGKSA-N 0 0 430.487 -0.927 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)C1=O ZINC000762578724 1072694687 /nfs/dbraw/zinc/69/46/87/1072694687.db2.gz ASOHEQRKMJLHMG-INIZCTEOSA-N 0 0 429.477 -0.021 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)C1=O ZINC000762578730 1072694670 /nfs/dbraw/zinc/69/46/70/1072694670.db2.gz ASOHEQRKMJLHMG-MRXNPFEDSA-N 0 0 429.477 -0.021 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000762579515 1072694800 /nfs/dbraw/zinc/69/48/00/1072694800.db2.gz ITIOPDQBSBJNBP-CYBMUJFWSA-N 0 0 426.451 -0.541 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)cc1 ZINC000762579516 1072694791 /nfs/dbraw/zinc/69/47/91/1072694791.db2.gz ITIOPDQBSBJNBP-ZDUSSCGKSA-N 0 0 426.451 -0.541 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1=O ZINC000762580234 1072694722 /nfs/dbraw/zinc/69/47/22/1072694722.db2.gz USHIVWZWBSBUNX-CYBMUJFWSA-N 0 0 435.868 -0.133 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1=O ZINC000762580235 1072694817 /nfs/dbraw/zinc/69/48/17/1072694817.db2.gz USHIVWZWBSBUNX-ZDUSSCGKSA-N 0 0 435.868 -0.133 20 0 IBADRN CCCn1cc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC000762580878 1072694731 /nfs/dbraw/zinc/69/47/31/1072694731.db2.gz UJDDFSWUBMMMRX-UHFFFAOYSA-N 0 0 434.478 -0.009 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1=O ZINC000762584445 1072695224 /nfs/dbraw/zinc/69/52/24/1072695224.db2.gz WVPJFXYDUAVLHS-AWEZNQCLSA-N 0 0 425.463 -0.299 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1=O ZINC000762584446 1072695235 /nfs/dbraw/zinc/69/52/35/1072695235.db2.gz WVPJFXYDUAVLHS-CQSZACIVSA-N 0 0 425.463 -0.299 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)c(C(=O)N(C)C)cc1OC ZINC000762584556 1072695323 /nfs/dbraw/zinc/69/53/23/1072695323.db2.gz ZCSFUIZJIGOSJM-CYBMUJFWSA-N 0 0 434.449 -0.004 20 0 IBADRN COc1cc(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)c(C(=O)N(C)C)cc1OC ZINC000762584557 1072695238 /nfs/dbraw/zinc/69/52/38/1072695238.db2.gz ZCSFUIZJIGOSJM-ZDUSSCGKSA-N 0 0 434.449 -0.004 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@H]2CCCN(C(N)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000762587758 1072695379 /nfs/dbraw/zinc/69/53/79/1072695379.db2.gz KSLNKUWTEWWKMC-AWEZNQCLSA-N 0 0 443.460 -0.306 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@@H]2CCCN(C(N)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000762587763 1072695341 /nfs/dbraw/zinc/69/53/41/1072695341.db2.gz KSLNKUWTEWWKMC-CQSZACIVSA-N 0 0 443.460 -0.306 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)C[C@H]2O ZINC000762602880 1072696755 /nfs/dbraw/zinc/69/67/55/1072696755.db2.gz JULWMSGFIARGBR-LLVKDONJSA-N 0 0 439.446 -0.250 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)C[C@@H]2O ZINC000762602883 1072696736 /nfs/dbraw/zinc/69/67/36/1072696736.db2.gz JULWMSGFIARGBR-NSHDSACASA-N 0 0 439.446 -0.250 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000762613285 1072697180 /nfs/dbraw/zinc/69/71/80/1072697180.db2.gz ICKUGDVKLICTRW-UHFFFAOYSA-N 0 0 439.513 -0.434 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C[C@H]2O ZINC000762615467 1072697219 /nfs/dbraw/zinc/69/72/19/1072697219.db2.gz KYXDTOTUUPQXEH-CYBMUJFWSA-N 0 0 438.462 -0.515 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C[C@@H]2O ZINC000762615470 1072697230 /nfs/dbraw/zinc/69/72/30/1072697230.db2.gz KYXDTOTUUPQXEH-ZDUSSCGKSA-N 0 0 438.462 -0.515 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000762615611 1072697244 /nfs/dbraw/zinc/69/72/44/1072697244.db2.gz POUWIBWYEVDEPD-UHFFFAOYSA-N 0 0 447.558 -0.243 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCNS(=O)(=O)Cc2ccon2)ccc1F ZINC000762616648 1072697209 /nfs/dbraw/zinc/69/72/09/1072697209.db2.gz UCKZMWXVUAFOTG-UHFFFAOYSA-N 0 0 434.471 -0.087 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1csc(-c2ccccn2)n1 ZINC000762645423 1072699470 /nfs/dbraw/zinc/69/94/70/1072699470.db2.gz LGQRJIPDISKOGW-UHFFFAOYSA-N 0 0 425.492 -0.078 20 0 IBADRN CC1(C)NC(=O)N(CC[S@@](=O)CC(=O)NCCOc2ccc3c(c2)OCO3)C1=O ZINC000762648048 1072699945 /nfs/dbraw/zinc/69/99/45/1072699945.db2.gz FXNRWFMGPGPULT-GDLZYMKVSA-N 0 0 425.463 -0.011 20 0 IBADRN CC1(C)NC(=O)N(CC[S@](=O)CC(=O)NCCOc2ccc3c(c2)OCO3)C1=O ZINC000762648051 1072700021 /nfs/dbraw/zinc/70/00/21/1072700021.db2.gz FXNRWFMGPGPULT-LJAQVGFWSA-N 0 0 425.463 -0.011 20 0 IBADRN CC(C)N(CCCNC(=O)C[S@](=O)CCN1C(=O)NC(C)(C)C1=O)S(C)(=O)=O ZINC000762648915 1072699911 /nfs/dbraw/zinc/69/99/11/1072699911.db2.gz MMVCEQDZNDIQGT-HHHXNRCGSA-N 0 0 438.572 -0.758 20 0 IBADRN CC(C)N(CCCNC(=O)C[S@@](=O)CCN1C(=O)NC(C)(C)C1=O)S(C)(=O)=O ZINC000762648917 1072699863 /nfs/dbraw/zinc/69/98/63/1072699863.db2.gz MMVCEQDZNDIQGT-MHZLTWQESA-N 0 0 438.572 -0.758 20 0 IBADRN Cc1cc(=O)c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nn1-c1ccccc1F ZINC000762650545 1072700043 /nfs/dbraw/zinc/70/00/43/1072700043.db2.gz QMHTWPDTRLOOII-UHFFFAOYSA-N 0 0 443.391 -0.301 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)COc2cccc(N3CCCC3=O)c2)c(=O)n(C)c1=O ZINC000762664364 1072701011 /nfs/dbraw/zinc/70/10/11/1072701011.db2.gz CQWIAINOLKEHLD-UHFFFAOYSA-N 0 0 430.417 -0.402 20 0 IBADRN CN(CCCNC(=O)C[S@](=O)CCN1C(=O)c2ccccc2C1=O)S(C)(=O)=O ZINC000762664742 1072700355 /nfs/dbraw/zinc/70/03/55/1072700355.db2.gz PEGYHEBGGGTJBV-HHHXNRCGSA-N 0 0 429.520 -0.571 20 0 IBADRN CN(CCCNC(=O)C[S@@](=O)CCN1C(=O)c2ccccc2C1=O)S(C)(=O)=O ZINC000762664745 1072700532 /nfs/dbraw/zinc/70/05/32/1072700532.db2.gz PEGYHEBGGGTJBV-MHZLTWQESA-N 0 0 429.520 -0.571 20 0 IBADRN Cc1nc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nn1-c1ccc(F)cc1 ZINC000762681606 1072700867 /nfs/dbraw/zinc/70/08/67/1072700867.db2.gz OUVLUXOBXMOXEA-GFCCVEGCSA-N 0 0 439.425 -0.115 20 0 IBADRN Cc1nc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nn1-c1ccc(F)cc1 ZINC000762681609 1072701053 /nfs/dbraw/zinc/70/10/53/1072701053.db2.gz OUVLUXOBXMOXEA-LBPRGKRZSA-N 0 0 439.425 -0.115 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000762691002 1072701420 /nfs/dbraw/zinc/70/14/20/1072701420.db2.gz CYAWZZDBSFQUAN-GOSISDBHSA-N 0 0 427.461 -0.268 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000762691006 1072701622 /nfs/dbraw/zinc/70/16/22/1072701622.db2.gz CYAWZZDBSFQUAN-SFHVURJKSA-N 0 0 427.461 -0.268 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000762693865 1072702154 /nfs/dbraw/zinc/70/21/54/1072702154.db2.gz VJJXRNXIJYALRS-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN Cc1cc(=O)c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nn1-c1ccccc1 ZINC000762697355 1072702119 /nfs/dbraw/zinc/70/21/19/1072702119.db2.gz JGAVQYKEMRNDSV-CYBMUJFWSA-N 0 0 448.457 -0.289 20 0 IBADRN Cc1cc(=O)c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nn1-c1ccccc1 ZINC000762697357 1072701935 /nfs/dbraw/zinc/70/19/35/1072701935.db2.gz JGAVQYKEMRNDSV-ZDUSSCGKSA-N 0 0 448.457 -0.289 20 0 IBADRN Cc1cc(=O)c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nn1-c1ccccc1 ZINC000762698416 1072701373 /nfs/dbraw/zinc/70/13/73/1072701373.db2.gz XQOXKEGKVBPPLF-UHFFFAOYSA-N 0 0 425.401 -0.440 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000762699159 1072701475 /nfs/dbraw/zinc/70/14/75/1072701475.db2.gz NGVZDKQZWIQHKY-UHFFFAOYSA-N 0 0 434.522 -0.346 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000762699789 1072701952 /nfs/dbraw/zinc/70/19/52/1072701952.db2.gz SSLRKOIVPQDLQI-UHFFFAOYSA-N 0 0 439.469 -0.123 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)C(=O)Nc3ccc(-n4nccn4)nc3)C2)nn1 ZINC000762706929 1072702976 /nfs/dbraw/zinc/70/29/76/1072702976.db2.gz NPEFBBZQFJONPQ-CYBMUJFWSA-N 0 0 425.409 -0.157 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)C(=O)Nc3ccc(-n4nccn4)nc3)C2)nn1 ZINC000762706952 1072703040 /nfs/dbraw/zinc/70/30/40/1072703040.db2.gz NPEFBBZQFJONPQ-ZDUSSCGKSA-N 0 0 425.409 -0.157 20 0 IBADRN CN(CCCNC(=O)CON=C(N)CN1CCN(c2ccccc2)CC1)S(C)(=O)=O ZINC000762707156 1072703065 /nfs/dbraw/zinc/70/30/65/1072703065.db2.gz UGOFHIGVTLHOBL-UHFFFAOYSA-N 0 0 440.570 -0.295 20 0 IBADRN CN(CCCNC(=O)CON=C(N)c1cccc(CN2CCOCC2)c1)S(C)(=O)=O ZINC000762718858 1072704364 /nfs/dbraw/zinc/70/43/64/1072704364.db2.gz QTFJBTBODFGCGK-UHFFFAOYSA-N 0 0 441.554 -0.237 20 0 IBADRN CC(=O)N1CCc2ccccc2[C@@H]1CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000762724037 1072703877 /nfs/dbraw/zinc/70/38/77/1072703877.db2.gz KQGUMYRLSQHHFJ-HNNXBMFYSA-N 0 0 428.445 -0.072 20 0 IBADRN CC(=O)N1CCc2ccccc2[C@H]1CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000762724040 1072703904 /nfs/dbraw/zinc/70/39/04/1072703904.db2.gz KQGUMYRLSQHHFJ-OAHLLOKOSA-N 0 0 428.445 -0.072 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC000762741329 1072704808 /nfs/dbraw/zinc/70/48/08/1072704808.db2.gz DDPOBVMJVAKEQV-UHFFFAOYSA-N 0 0 427.483 -0.462 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC000762742530 1072704734 /nfs/dbraw/zinc/70/47/34/1072704734.db2.gz LMPIKULOZSLAMV-UHFFFAOYSA-N 0 0 441.510 -0.024 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)c2ccc(S(N)(=O)=O)nc2)cc1 ZINC000762744345 1072704800 /nfs/dbraw/zinc/70/48/00/1072704800.db2.gz LBTARNPCQKSOMN-UHFFFAOYSA-N 0 0 441.487 -0.253 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@@H]2CCS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000762748518 1072704764 /nfs/dbraw/zinc/70/47/64/1072704764.db2.gz AUWYMNTWUQCXTN-CYBMUJFWSA-N 0 0 435.458 -0.662 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@H]2CCS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000762748526 1072704792 /nfs/dbraw/zinc/70/47/92/1072704792.db2.gz AUWYMNTWUQCXTN-ZDUSSCGKSA-N 0 0 435.458 -0.662 20 0 IBADRN COc1ccc(NCC(=O)N[C@H](C)C(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000762775314 1072705192 /nfs/dbraw/zinc/70/51/92/1072705192.db2.gz DAPXCKDJTWNESZ-CYBMUJFWSA-N 0 0 428.511 -0.279 20 0 IBADRN COc1ccc(NCC(=O)N[C@@H](C)C(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000762775317 1072705151 /nfs/dbraw/zinc/70/51/51/1072705151.db2.gz DAPXCKDJTWNESZ-ZDUSSCGKSA-N 0 0 428.511 -0.279 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)Nc3nnc(-c4ccco4)o3)c2c(=O)n(C)c1=O ZINC000762823127 1072705143 /nfs/dbraw/zinc/70/51/43/1072705143.db2.gz IJJBTLPHOODXHI-UHFFFAOYSA-N 0 0 429.349 -0.741 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1nnc(-c3ccco3)o1)c(=O)n2C ZINC000762834235 1072705168 /nfs/dbraw/zinc/70/51/68/1072705168.db2.gz WFTARKWJZBXYTK-UHFFFAOYSA-N 0 0 429.349 -0.741 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)Nc3nnc(-c4ccco4)o3)c2c(=O)n(C)c1=O ZINC000762845395 1072705299 /nfs/dbraw/zinc/70/52/99/1072705299.db2.gz SRZINWPIXIGLTH-UHFFFAOYSA-N 0 0 443.376 -0.351 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000762872851 1072705670 /nfs/dbraw/zinc/70/56/70/1072705670.db2.gz CZCRCGBDEBJYRC-CYBMUJFWSA-N 0 0 433.508 -0.298 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000762872856 1072705587 /nfs/dbraw/zinc/70/55/87/1072705587.db2.gz CZCRCGBDEBJYRC-ZDUSSCGKSA-N 0 0 433.508 -0.298 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)c2ccc(S(N)(=O)=O)nc2)c1 ZINC000762874445 1072705185 /nfs/dbraw/zinc/70/51/85/1072705185.db2.gz NHWIGGGQSAKKDY-UHFFFAOYSA-N 0 0 442.475 -0.225 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000762874463 1072705683 /nfs/dbraw/zinc/70/56/83/1072705683.db2.gz OGXFNBDZWOOIKW-CYBMUJFWSA-N 0 0 433.508 -0.443 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000762874464 1072705697 /nfs/dbraw/zinc/70/56/97/1072705697.db2.gz OGXFNBDZWOOIKW-ZDUSSCGKSA-N 0 0 433.508 -0.443 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)c2ccc(S(N)(=O)=O)nc2)s1 ZINC000762874469 1072705612 /nfs/dbraw/zinc/70/56/12/1072705612.db2.gz OMKGZBYTEHWOLK-UHFFFAOYSA-N 0 0 447.516 -0.078 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)cn1 ZINC000762875224 1072705675 /nfs/dbraw/zinc/70/56/75/1072705675.db2.gz QOLUFPVDKVCQPD-UHFFFAOYSA-N 0 0 428.373 -0.081 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1ccc(S(N)(=O)=O)nc1)S(C)(=O)=O ZINC000762875248 1072705654 /nfs/dbraw/zinc/70/56/54/1072705654.db2.gz PHMMBTOFYJJSJC-UHFFFAOYSA-N 0 0 436.512 -0.938 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccc(S(N)(=O)=O)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000762876022 1072705650 /nfs/dbraw/zinc/70/56/50/1072705650.db2.gz WITJNXCHVZSVHV-AAEUAGOBSA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(N)(=O)=O)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000762876024 1072705701 /nfs/dbraw/zinc/70/57/01/1072705701.db2.gz WITJNXCHVZSVHV-DGCLKSJQSA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccc(S(N)(=O)=O)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000762876026 1072705686 /nfs/dbraw/zinc/70/56/86/1072705686.db2.gz WITJNXCHVZSVHV-WCQYABFASA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(N)(=O)=O)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000762876028 1072705693 /nfs/dbraw/zinc/70/56/93/1072705693.db2.gz WITJNXCHVZSVHV-YPMHNXCESA-N 0 0 433.508 -0.300 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000762876235 1072705663 /nfs/dbraw/zinc/70/56/63/1072705663.db2.gz XLAZPGNVROXQCY-AWEZNQCLSA-N 0 0 445.519 -0.156 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000762876256 1072705642 /nfs/dbraw/zinc/70/56/42/1072705642.db2.gz XLAZPGNVROXQCY-CQSZACIVSA-N 0 0 445.519 -0.156 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000762876791 1072706029 /nfs/dbraw/zinc/70/60/29/1072706029.db2.gz CCVBWWHDLFGZAR-BBRMVZONSA-N 0 0 433.508 -0.396 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000762876792 1072706112 /nfs/dbraw/zinc/70/61/12/1072706112.db2.gz CCVBWWHDLFGZAR-CJNGLKHVSA-N 0 0 433.508 -0.396 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000762876793 1072706066 /nfs/dbraw/zinc/70/60/66/1072706066.db2.gz CCVBWWHDLFGZAR-CZUORRHYSA-N 0 0 433.508 -0.396 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000762876794 1072706135 /nfs/dbraw/zinc/70/61/35/1072706135.db2.gz CCVBWWHDLFGZAR-XJKSGUPXSA-N 0 0 433.508 -0.396 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)c1ccc(S(N)(=O)=O)nc1 ZINC000762876965 1072706041 /nfs/dbraw/zinc/70/60/41/1072706041.db2.gz RQFCUJAMSFHQTQ-UHFFFAOYSA-N 0 0 436.446 -0.042 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)c2ccc(S(N)(=O)=O)nc2)c1 ZINC000762877080 1072705714 /nfs/dbraw/zinc/70/57/14/1072705714.db2.gz YQWNIIBRZSXBPQ-UHFFFAOYSA-N 0 0 436.446 -0.009 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)cn1 ZINC000762877560 1072705633 /nfs/dbraw/zinc/70/56/33/1072705633.db2.gz JFDCCJZAPFEHFA-UHFFFAOYSA-N 0 0 438.487 -0.068 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000762878466 1072706171 /nfs/dbraw/zinc/70/61/71/1072706171.db2.gz ZXIPMJIFTWAMSS-GFCCVEGCSA-N 0 0 436.446 -0.148 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(N)(=O)=O)nc1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000762878467 1072706090 /nfs/dbraw/zinc/70/60/90/1072706090.db2.gz ZXIPMJIFTWAMSS-LBPRGKRZSA-N 0 0 436.446 -0.148 20 0 IBADRN O=C(COc1cn(-c2ccccc2)nc1C(=O)O[C@H]1CCNC1=O)O[C@H]1CCNC1=O ZINC000762879818 1072706017 /nfs/dbraw/zinc/70/60/17/1072706017.db2.gz SFUASQRKYJIHDX-KBPBESRZSA-N 0 0 428.401 -0.272 20 0 IBADRN O=C(COc1cn(-c2ccccc2)nc1C(=O)O[C@H]1CCNC1=O)O[C@@H]1CCNC1=O ZINC000762879820 1072706120 /nfs/dbraw/zinc/70/61/20/1072706120.db2.gz SFUASQRKYJIHDX-KGLIPLIRSA-N 0 0 428.401 -0.272 20 0 IBADRN O=C(COc1cn(-c2ccccc2)nc1C(=O)O[C@@H]1CCNC1=O)O[C@H]1CCNC1=O ZINC000762879822 1072706149 /nfs/dbraw/zinc/70/61/49/1072706149.db2.gz SFUASQRKYJIHDX-UONOGXRCSA-N 0 0 428.401 -0.272 20 0 IBADRN O=C(COc1cn(-c2ccccc2)nc1C(=O)O[C@@H]1CCNC1=O)O[C@@H]1CCNC1=O ZINC000762879825 1072706006 /nfs/dbraw/zinc/70/60/06/1072706006.db2.gz SFUASQRKYJIHDX-ZIAGYGMSSA-N 0 0 428.401 -0.272 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2c[nH]c(C)cc2=O)CC1 ZINC000762896766 1072706177 /nfs/dbraw/zinc/70/61/77/1072706177.db2.gz CKSHTZCRBBASCM-UHFFFAOYSA-N 0 0 438.462 -0.022 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc3c(c2)NC(=O)CCN3C)CC1 ZINC000762900269 1072706078 /nfs/dbraw/zinc/70/60/78/1072706078.db2.gz PVBBXFJRPXQFQG-UHFFFAOYSA-N 0 0 431.493 -0.146 20 0 IBADRN CN1CCC(=O)Nc2cc(C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc21 ZINC000762902653 1072706052 /nfs/dbraw/zinc/70/60/52/1072706052.db2.gz GGYALSVZMVXGEA-GFCCVEGCSA-N 0 0 438.462 -0.365 20 0 IBADRN CN1CCC(=O)Nc2cc(C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)ccc21 ZINC000762902654 1072705971 /nfs/dbraw/zinc/70/59/71/1072705971.db2.gz GGYALSVZMVXGEA-LBPRGKRZSA-N 0 0 438.462 -0.365 20 0 IBADRN CCS(=O)(=O)c1cccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000762905690 1072706158 /nfs/dbraw/zinc/70/61/58/1072706158.db2.gz HZMHOXPPTHWZFO-UHFFFAOYSA-N 0 0 425.507 -0.131 20 0 IBADRN COc1ccccc1N1CC[C@H](OC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1=O ZINC000762911970 1072705994 /nfs/dbraw/zinc/70/59/94/1072705994.db2.gz ATDHXFDUYUESKF-HNNXBMFYSA-N 0 0 436.446 -0.251 20 0 IBADRN COc1ccccc1N1CC[C@@H](OC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1=O ZINC000762911971 1072706103 /nfs/dbraw/zinc/70/61/03/1072706103.db2.gz ATDHXFDUYUESKF-OAHLLOKOSA-N 0 0 436.446 -0.251 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)NCc1ccccc1F ZINC000762911999 1072706553 /nfs/dbraw/zinc/70/65/53/1072706553.db2.gz BZFLXLJSCMDRMC-JTQLQIEISA-N 0 0 426.426 -0.221 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)NCc1ccccc1F ZINC000762912000 1072706968 /nfs/dbraw/zinc/70/69/68/1072706968.db2.gz BZFLXLJSCMDRMC-SNVBAGLBSA-N 0 0 426.426 -0.221 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NCCc2c(F)cccc2F)c[nH]1 ZINC000762912162 1072706123 /nfs/dbraw/zinc/70/61/23/1072706123.db2.gz AIYZKSCLKNUBDQ-UHFFFAOYSA-N 0 0 444.416 -0.428 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCCCS(=O)(=O)c2ccccc2)c[nH]1 ZINC000762912312 1072706439 /nfs/dbraw/zinc/70/64/39/1072706439.db2.gz GQXSPILYHFWWGH-UHFFFAOYSA-N 0 0 429.476 -0.201 20 0 IBADRN Cc1ccccc1CCNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000762912314 1072706565 /nfs/dbraw/zinc/70/65/65/1072706565.db2.gz GSIZEOVSXAGDLK-CYBMUJFWSA-N 0 0 436.490 -0.009 20 0 IBADRN Cc1ccccc1CCNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000762912318 1072706610 /nfs/dbraw/zinc/70/66/10/1072706610.db2.gz GSIZEOVSXAGDLK-ZDUSSCGKSA-N 0 0 436.490 -0.009 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NCc2cc(F)cc(F)c2)c[nH]1 ZINC000762912428 1072706543 /nfs/dbraw/zinc/70/65/43/1072706543.db2.gz IZYKQMZFXTZQOV-UHFFFAOYSA-N 0 0 430.389 -0.470 20 0 IBADRN COc1cccc(CN(C)C(=O)COC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000762912434 1072706583 /nfs/dbraw/zinc/70/65/83/1072706583.db2.gz JJXDSCCIUGWVBF-UHFFFAOYSA-N 0 0 438.462 -0.398 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@H]3CCCC[C@@H]32)c[nH]1 ZINC000762912690 1072706502 /nfs/dbraw/zinc/70/65/02/1072706502.db2.gz CZQXIIIHCWSNCW-KBPBESRZSA-N 0 0 428.467 -0.895 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@H]3CCCC[C@H]32)c[nH]1 ZINC000762912692 1072706539 /nfs/dbraw/zinc/70/65/39/1072706539.db2.gz CZQXIIIHCWSNCW-KGLIPLIRSA-N 0 0 428.467 -0.895 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@@H]3CCCC[C@@H]32)c[nH]1 ZINC000762912694 1072706466 /nfs/dbraw/zinc/70/64/66/1072706466.db2.gz CZQXIIIHCWSNCW-UONOGXRCSA-N 0 0 428.467 -0.895 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@@H]3CCCC[C@H]32)c[nH]1 ZINC000762912696 1072706486 /nfs/dbraw/zinc/70/64/86/1072706486.db2.gz CZQXIIIHCWSNCW-ZIAGYGMSSA-N 0 0 428.467 -0.895 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)NCc1ccc(F)cc1 ZINC000762912808 1072707079 /nfs/dbraw/zinc/70/70/79/1072707079.db2.gz LXDNQWQXSGXWGH-JTQLQIEISA-N 0 0 426.426 -0.221 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)NCc1ccc(F)cc1 ZINC000762912810 1072706941 /nfs/dbraw/zinc/70/69/41/1072706941.db2.gz LXDNQWQXSGXWGH-SNVBAGLBSA-N 0 0 426.426 -0.221 20 0 IBADRN Cc1ccccc1C[C@@H](C)NC(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000762913472 1072707035 /nfs/dbraw/zinc/70/70/35/1072707035.db2.gz PKAIUMHJKQHHRC-CYBMUJFWSA-N 0 0 436.490 -0.009 20 0 IBADRN Cc1ccccc1C[C@H](C)NC(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000762913474 1072706921 /nfs/dbraw/zinc/70/69/21/1072706921.db2.gz PKAIUMHJKQHHRC-ZDUSSCGKSA-N 0 0 436.490 -0.009 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCCCS(=O)(=O)c2ccc(F)cc2)c[nH]1 ZINC000762913627 1072707007 /nfs/dbraw/zinc/70/70/07/1072707007.db2.gz USISHLSCEMYJPB-UHFFFAOYSA-N 0 0 447.466 -0.062 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N[C@@H]2CCOc3ccccc32)c[nH]1 ZINC000762913802 1072706476 /nfs/dbraw/zinc/70/64/76/1072706476.db2.gz CCCLLUCEHXXSGX-CYBMUJFWSA-N 0 0 436.446 -0.425 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N[C@H]2CCOc3ccccc32)c[nH]1 ZINC000762913814 1072706528 /nfs/dbraw/zinc/70/65/28/1072706528.db2.gz CCCLLUCEHXXSGX-ZDUSSCGKSA-N 0 0 436.446 -0.425 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NCCN2CCc3ccccc32)c[nH]1 ZINC000762913866 1072706602 /nfs/dbraw/zinc/70/66/02/1072706602.db2.gz CJXWJHSHLHVCCW-UHFFFAOYSA-N 0 0 449.489 -0.886 20 0 IBADRN C[C@H](NC(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000762914060 1072706574 /nfs/dbraw/zinc/70/65/74/1072706574.db2.gz DRGVHHQPYVTKIS-JTQLQIEISA-N 0 0 426.426 -0.048 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)c1ccc(F)cc1 ZINC000762914065 1072707116 /nfs/dbraw/zinc/70/71/16/1072707116.db2.gz DRGVHHQPYVTKIS-SNVBAGLBSA-N 0 0 426.426 -0.048 20 0 IBADRN COc1cccc(CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000762914450 1072707122 /nfs/dbraw/zinc/70/71/22/1072707122.db2.gz PBNBPTCVCMHJEH-LLVKDONJSA-N 0 0 438.462 -0.351 20 0 IBADRN COc1cccc(CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000762914452 1072707097 /nfs/dbraw/zinc/70/70/97/1072707097.db2.gz PBNBPTCVCMHJEH-NSHDSACASA-N 0 0 438.462 -0.351 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NC2C3CC4CC(C3)CC2C4)c[nH]1 ZINC000762914489 1072707067 /nfs/dbraw/zinc/70/70/67/1072707067.db2.gz VCLOTTAUNIHQOW-UHFFFAOYSA-N 0 0 438.506 -0.124 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)c2ccc3c(c2)CCN3C=O)c[nH]1 ZINC000762914517 1072706592 /nfs/dbraw/zinc/70/65/92/1072706592.db2.gz VNFYITJDSACSRO-UHFFFAOYSA-N 0 0 434.430 -0.663 20 0 IBADRN CCc1ccc(CNC(=O)COC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)s1 ZINC000762914753 1072707522 /nfs/dbraw/zinc/70/75/22/1072707522.db2.gz JNYGKWXEFLBCQT-UHFFFAOYSA-N 0 0 428.492 -0.125 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)NCCc1ccc(F)cc1 ZINC000762914808 1072707441 /nfs/dbraw/zinc/70/74/41/1072707441.db2.gz GYSKVGNDPYMNKR-LLVKDONJSA-N 0 0 440.453 -0.178 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)NCCc1ccc(F)cc1 ZINC000762914812 1072707450 /nfs/dbraw/zinc/70/74/50/1072707450.db2.gz GYSKVGNDPYMNKR-NSHDSACASA-N 0 0 440.453 -0.178 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NCCc2ccc(F)cc2)c[nH]1 ZINC000762914963 1072707428 /nfs/dbraw/zinc/70/74/28/1072707428.db2.gz HYCZDCWHZIBBPF-UHFFFAOYSA-N 0 0 426.426 -0.567 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NCCc2ccc(Cl)cc2)c[nH]1 ZINC000762915000 1072707383 /nfs/dbraw/zinc/70/73/83/1072707383.db2.gz IESKGRJPOJNBTQ-UHFFFAOYSA-N 0 0 442.881 -0.053 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C[C@@H]2C=CS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000762915743 1072707043 /nfs/dbraw/zinc/70/70/43/1072707043.db2.gz FBWXQHRTLKMZIA-AWEZNQCLSA-N 0 0 447.469 -0.148 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C[C@H]2C=CS(=O)(=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000762915745 1072707542 /nfs/dbraw/zinc/70/75/42/1072707542.db2.gz FBWXQHRTLKMZIA-CQSZACIVSA-N 0 0 447.469 -0.148 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCC[C@@H](C(F)(F)F)C2)c[nH]1 ZINC000762915841 1072707026 /nfs/dbraw/zinc/70/70/26/1072707026.db2.gz KVSWMYYYIJWTEM-SECBINFHSA-N 0 0 440.400 -0.264 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCC[C@H](C(F)(F)F)C2)c[nH]1 ZINC000762915845 1072707017 /nfs/dbraw/zinc/70/70/17/1072707017.db2.gz KVSWMYYYIJWTEM-VIFPVBQESA-N 0 0 440.400 -0.264 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)N1CCc2ccccc2C1 ZINC000762915902 1072707052 /nfs/dbraw/zinc/70/70/52/1072707052.db2.gz YWTGGENFXCMWER-GFCCVEGCSA-N 0 0 434.474 -0.092 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C(=O)N1CCc2ccccc2C1 ZINC000762915906 1072706994 /nfs/dbraw/zinc/70/69/94/1072706994.db2.gz YWTGGENFXCMWER-LBPRGKRZSA-N 0 0 434.474 -0.092 20 0 IBADRN CC(C)N(CC(F)(F)F)C(=O)COC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000762916023 1072707394 /nfs/dbraw/zinc/70/73/94/1072707394.db2.gz OQDPPXMZRNAWNR-UHFFFAOYSA-N 0 0 428.389 -0.266 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)c2cccc(N3CCCC3=O)c2)c[nH]1 ZINC000762916044 1072707417 /nfs/dbraw/zinc/70/74/17/1072707417.db2.gz OOMGIKHADRDJMX-UHFFFAOYSA-N 0 0 448.457 -0.055 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@H]2Cc3ccccc3O2)c[nH]1 ZINC000762916410 1072707479 /nfs/dbraw/zinc/70/74/79/1072707479.db2.gz PUWWJBGTKCTBKX-GFCCVEGCSA-N 0 0 436.446 -0.945 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@@H]2Cc3ccccc3O2)c[nH]1 ZINC000762916465 1072707488 /nfs/dbraw/zinc/70/74/88/1072707488.db2.gz PUWWJBGTKCTBKX-LBPRGKRZSA-N 0 0 436.446 -0.945 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCc3sccc3C2)c[nH]1 ZINC000762916707 1072707468 /nfs/dbraw/zinc/70/74/68/1072707468.db2.gz QPMOJGMEPBJLNL-UHFFFAOYSA-N 0 0 426.476 -0.419 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)OCC(=O)c2ccc(N3CCCC3=O)cc2)c[nH]1 ZINC000762917489 1072707507 /nfs/dbraw/zinc/70/75/07/1072707507.db2.gz WEHNVIBRLAVVSV-UHFFFAOYSA-N 0 0 448.457 -0.055 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCn1nc2ccccn2c1=O ZINC000762934707 1072708070 /nfs/dbraw/zinc/70/80/70/1072708070.db2.gz CJLXASDGEKGKCW-GFCCVEGCSA-N 0 0 433.446 -0.495 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCn1nc2ccccn2c1=O ZINC000762934708 1072707935 /nfs/dbraw/zinc/70/79/35/1072707935.db2.gz CJLXASDGEKGKCW-LBPRGKRZSA-N 0 0 433.446 -0.495 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCCN1C(=O)NC(C)(C)C1=O ZINC000762936246 1072708112 /nfs/dbraw/zinc/70/81/12/1072708112.db2.gz HTZTXUWPOSDHEH-LLVKDONJSA-N 0 0 440.478 -0.284 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCCN1C(=O)NC(C)(C)C1=O ZINC000762936249 1072708091 /nfs/dbraw/zinc/70/80/91/1072708091.db2.gz HTZTXUWPOSDHEH-NSHDSACASA-N 0 0 440.478 -0.284 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)Cc1nnnn1-c1ccc(OC(F)F)cc1)C(=O)N(C)C ZINC000762936452 1072707902 /nfs/dbraw/zinc/70/79/02/1072707902.db2.gz BGEWBJFFJDVDFG-JTQLQIEISA-N 0 0 446.436 -0.229 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)Cc1nnnn1-c1ccc(OC(F)F)cc1)C(=O)N(C)C ZINC000762936454 1072707888 /nfs/dbraw/zinc/70/78/88/1072707888.db2.gz BGEWBJFFJDVDFG-SNVBAGLBSA-N 0 0 446.436 -0.229 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)Cc1ccc(S(=O)(=O)N(C)C)o1)C(=O)N1CCCCC1 ZINC000762937266 1072708480 /nfs/dbraw/zinc/70/84/80/1072708480.db2.gz HAXABIBLFIKKSF-CYBMUJFWSA-N 0 0 449.551 -0.038 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)Cc1ccc(S(=O)(=O)N(C)C)o1)C(=O)N1CCCCC1 ZINC000762937267 1072708460 /nfs/dbraw/zinc/70/84/60/1072708460.db2.gz HAXABIBLFIKKSF-ZDUSSCGKSA-N 0 0 449.551 -0.038 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000762938200 1072709104 /nfs/dbraw/zinc/70/91/04/1072709104.db2.gz AMKADDCYIQYVOH-BBRMVZONSA-N 0 0 441.506 -0.121 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000762938201 1072708958 /nfs/dbraw/zinc/70/89/58/1072708958.db2.gz AMKADDCYIQYVOH-CJNGLKHVSA-N 0 0 441.506 -0.121 20 0 IBADRN CC(C)[C@@H](OC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000762938202 1072709036 /nfs/dbraw/zinc/70/90/36/1072709036.db2.gz AMKADDCYIQYVOH-CZUORRHYSA-N 0 0 441.506 -0.121 20 0 IBADRN CC(C)[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCOCC1 ZINC000762938203 1072709095 /nfs/dbraw/zinc/70/90/95/1072709095.db2.gz AMKADDCYIQYVOH-XJKSGUPXSA-N 0 0 441.506 -0.121 20 0 IBADRN CC1(C)NC(=O)N(CCS(=O)(=O)CC(=O)NCCOc2ccc3c(c2)OCO3)C1=O ZINC000762938279 1072709470 /nfs/dbraw/zinc/70/94/70/1072709470.db2.gz NEBWNJSWJLPCRA-UHFFFAOYSA-N 0 0 441.462 -0.345 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H](C)C(=O)NC[C@@H]1CCCO1 ZINC000762939638 1072709540 /nfs/dbraw/zinc/70/95/40/1072709540.db2.gz OBSBDNBKCFHVHC-OBJOEFQTSA-N 0 0 427.479 -0.321 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1CCCO1 ZINC000762939643 1072709412 /nfs/dbraw/zinc/70/94/12/1072709412.db2.gz OBSBDNBKCFHVHC-OUCADQQQSA-N 0 0 427.479 -0.321 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1CCCO1 ZINC000762939647 1072709399 /nfs/dbraw/zinc/70/93/99/1072709399.db2.gz OBSBDNBKCFHVHC-SCRDCRAPSA-N 0 0 427.479 -0.321 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H](C)C(=O)NC[C@H]1CCCO1 ZINC000762939649 1072709382 /nfs/dbraw/zinc/70/93/82/1072709382.db2.gz OBSBDNBKCFHVHC-SGMGOOAPSA-N 0 0 427.479 -0.321 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000762939757 1072709422 /nfs/dbraw/zinc/70/94/22/1072709422.db2.gz OSYQVDIMIRKNDT-FRRDWIJNSA-N 0 0 427.479 -0.369 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N1C[C@@H](C)O[C@H](C)C1 ZINC000762939761 1072710530 /nfs/dbraw/zinc/71/05/30/1072710530.db2.gz OSYQVDIMIRKNDT-JHJVBQTASA-N 0 0 427.479 -0.369 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N1C[C@@H](C)O[C@H](C)C1 ZINC000762939767 1072709890 /nfs/dbraw/zinc/70/98/90/1072709890.db2.gz OSYQVDIMIRKNDT-UPJWGTAASA-N 0 0 427.479 -0.369 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000762939774 1072709876 /nfs/dbraw/zinc/70/98/76/1072709876.db2.gz OSYQVDIMIRKNDT-XQQFMLRXSA-N 0 0 427.479 -0.369 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)CCN1C(=O)c2ccccc2C1=O)S(C)(=O)=O ZINC000762941096 1072710524 /nfs/dbraw/zinc/71/05/24/1072710524.db2.gz ZKZXYNLXGJCFFZ-UHFFFAOYSA-N 0 0 445.519 -0.905 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C2=O)n(C)n1 ZINC000762941412 1072709995 /nfs/dbraw/zinc/70/99/95/1072709995.db2.gz KCVZTUZYQHOJSL-DOMZBBRYSA-N 0 0 449.489 -0.157 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C2=O)n(C)n1 ZINC000762941417 1072710451 /nfs/dbraw/zinc/71/04/51/1072710451.db2.gz KCVZTUZYQHOJSL-IUODEOHRSA-N 0 0 449.489 -0.157 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C2=O)n(C)n1 ZINC000762941419 1072710396 /nfs/dbraw/zinc/71/03/96/1072710396.db2.gz KCVZTUZYQHOJSL-SWLSCSKDSA-N 0 0 449.489 -0.157 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)C2=O)n(C)n1 ZINC000762941421 1072710496 /nfs/dbraw/zinc/71/04/96/1072710496.db2.gz KCVZTUZYQHOJSL-WFASDCNBSA-N 0 0 449.489 -0.157 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCN1C(=O)NC(C)(C)C1=O ZINC000762941977 1072711504 /nfs/dbraw/zinc/71/15/04/1072711504.db2.gz KUTGSEWTXLYYMQ-JTQLQIEISA-N 0 0 426.451 -0.674 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCN1C(=O)NC(C)(C)C1=O ZINC000762941985 1072711429 /nfs/dbraw/zinc/71/14/29/1072711429.db2.gz KUTGSEWTXLYYMQ-SNVBAGLBSA-N 0 0 426.451 -0.674 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000762943494 1072711381 /nfs/dbraw/zinc/71/13/81/1072711381.db2.gz ZEPABWVVAVZHPG-DOMZBBRYSA-N 0 0 449.489 -0.075 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000762943495 1072711242 /nfs/dbraw/zinc/71/12/42/1072711242.db2.gz ZEPABWVVAVZHPG-IUODEOHRSA-N 0 0 449.489 -0.075 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000762943496 1072711229 /nfs/dbraw/zinc/71/12/29/1072711229.db2.gz ZEPABWVVAVZHPG-SWLSCSKDSA-N 0 0 449.489 -0.075 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000762943497 1072711466 /nfs/dbraw/zinc/71/14/66/1072711466.db2.gz ZEPABWVVAVZHPG-WFASDCNBSA-N 0 0 449.489 -0.075 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)NCC(=O)c1ccccc1 ZINC000762945031 1072711808 /nfs/dbraw/zinc/71/18/08/1072711808.db2.gz RMLAVUIYGCAKDM-CYBMUJFWSA-N 0 0 447.469 -0.005 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)NCC(=O)c1ccccc1 ZINC000762945032 1072711869 /nfs/dbraw/zinc/71/18/69/1072711869.db2.gz RMLAVUIYGCAKDM-ZDUSSCGKSA-N 0 0 447.469 -0.005 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H]1CCCN(c2cnn(C)c2)C1=O ZINC000762945561 1072711732 /nfs/dbraw/zinc/71/17/32/1072711732.db2.gz UBDREMNKQMRTJF-BLLLJJGKSA-N 0 0 449.489 -0.075 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@H]1CCCN(c2cnn(C)c2)C1=O ZINC000762945562 1072711818 /nfs/dbraw/zinc/71/18/18/1072711818.db2.gz UBDREMNKQMRTJF-LRDDRELGSA-N 0 0 449.489 -0.075 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H]1CCCN(c2cnn(C)c2)C1=O ZINC000762945565 1072711848 /nfs/dbraw/zinc/71/18/48/1072711848.db2.gz UBDREMNKQMRTJF-MLGOLLRUSA-N 0 0 449.489 -0.075 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@H]1CCCN(c2cnn(C)c2)C1=O ZINC000762945568 1072711828 /nfs/dbraw/zinc/71/18/28/1072711828.db2.gz UBDREMNKQMRTJF-WBMJQRKESA-N 0 0 449.489 -0.075 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H]1CCN(C2CCOCC2)C1=O ZINC000762945621 1072711924 /nfs/dbraw/zinc/71/19/24/1072711924.db2.gz UKXLXTVHNVNCJD-BLLLJJGKSA-N 0 0 439.490 -0.225 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@H]1CCN(C2CCOCC2)C1=O ZINC000762945625 1072711796 /nfs/dbraw/zinc/71/17/96/1072711796.db2.gz UKXLXTVHNVNCJD-LRDDRELGSA-N 0 0 439.490 -0.225 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H]1CCN(C2CCOCC2)C1=O ZINC000762945627 1072711741 /nfs/dbraw/zinc/71/17/41/1072711741.db2.gz UKXLXTVHNVNCJD-MLGOLLRUSA-N 0 0 439.490 -0.225 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@H]1CCN(C2CCOCC2)C1=O ZINC000762945629 1072711786 /nfs/dbraw/zinc/71/17/86/1072711786.db2.gz UKXLXTVHNVNCJD-WBMJQRKESA-N 0 0 439.490 -0.225 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000762946080 1072711840 /nfs/dbraw/zinc/71/18/40/1072711840.db2.gz WROJTAMBOIEAAQ-ABAIWWIYSA-N 0 0 435.462 -0.466 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000762946082 1072712606 /nfs/dbraw/zinc/71/26/06/1072712606.db2.gz WROJTAMBOIEAAQ-IAQYHMDHSA-N 0 0 435.462 -0.466 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000762946086 1072712591 /nfs/dbraw/zinc/71/25/91/1072712591.db2.gz WROJTAMBOIEAAQ-NHYWBVRUSA-N 0 0 435.462 -0.466 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)O[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000762946089 1072712584 /nfs/dbraw/zinc/71/25/84/1072712584.db2.gz WROJTAMBOIEAAQ-XHDPSFHLSA-N 0 0 435.462 -0.466 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N(C)Cc1cnn(C)c1 ZINC000762946750 1072711939 /nfs/dbraw/zinc/71/19/39/1072711939.db2.gz YOXFBHLUKMHKPP-GFCCVEGCSA-N 0 0 437.478 -0.612 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N(C)Cc1cnn(C)c1 ZINC000762946752 1072711963 /nfs/dbraw/zinc/71/19/63/1072711963.db2.gz YOXFBHLUKMHKPP-LBPRGKRZSA-N 0 0 437.478 -0.612 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)NC(=O)c1ccccc1 ZINC000762947005 1072712432 /nfs/dbraw/zinc/71/24/32/1072712432.db2.gz ZRPOFZFOAINOOL-GFCCVEGCSA-N 0 0 433.442 -0.048 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)NC(=O)c1ccccc1 ZINC000762947008 1072712570 /nfs/dbraw/zinc/71/25/70/1072712570.db2.gz ZRPOFZFOAINOOL-LBPRGKRZSA-N 0 0 433.442 -0.048 20 0 IBADRN CSc1nsc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000762952573 1072713097 /nfs/dbraw/zinc/71/30/97/1072713097.db2.gz IAMMTXNZQLKJJO-SECBINFHSA-N 0 0 441.582 -0.074 20 0 IBADRN CSc1nsc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000762952576 1072713004 /nfs/dbraw/zinc/71/30/04/1072713004.db2.gz IAMMTXNZQLKJJO-VIFPVBQESA-N 0 0 441.582 -0.074 20 0 IBADRN NS(=O)(=O)c1scnc1C(=O)OCn1nnc2c(cnn2-c2ccccc2)c1=O ZINC000762952950 1072713067 /nfs/dbraw/zinc/71/30/67/1072713067.db2.gz HFXCDPKLKSALSX-UHFFFAOYSA-N 0 0 433.431 -0.104 20 0 IBADRN NS(=O)(=O)c1scnc1C(=O)OCC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000762956544 1072713090 /nfs/dbraw/zinc/71/30/90/1072713090.db2.gz ULPHSGMMSVXBHH-UHFFFAOYSA-N 0 0 429.432 -0.129 20 0 IBADRN NS(=O)(=O)c1scnc1C(=O)OCC(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000762957251 1072712900 /nfs/dbraw/zinc/71/29/00/1072712900.db2.gz AGJAXWWMVPHTKP-UHFFFAOYSA-N 0 0 434.402 -0.020 20 0 IBADRN C[C@H](O)c1cn(CC(=O)OCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1 ZINC000762959960 1072713039 /nfs/dbraw/zinc/71/30/39/1072713039.db2.gz ZFXQLTXQVMSQJZ-AWEZNQCLSA-N 0 0 440.478 -0.026 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)OCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1 ZINC000762959963 1072713561 /nfs/dbraw/zinc/71/35/61/1072713561.db2.gz ZFXQLTXQVMSQJZ-CQSZACIVSA-N 0 0 440.478 -0.026 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(N3CCCS3(=O)=O)cc2)c(=O)n(C)c1=O ZINC000762962601 1072713445 /nfs/dbraw/zinc/71/34/45/1072713445.db2.gz XLRCBTOSVOZKMG-UHFFFAOYSA-N 0 0 436.446 -0.754 20 0 IBADRN C[C@H](O)c1cn(CC(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)nn1 ZINC000762962891 1072713519 /nfs/dbraw/zinc/71/35/19/1072713519.db2.gz UGPTXVIQBMRMOJ-AWEZNQCLSA-N 0 0 437.478 -0.592 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)nn1 ZINC000762962895 1072713536 /nfs/dbraw/zinc/71/35/36/1072713536.db2.gz UGPTXVIQBMRMOJ-CQSZACIVSA-N 0 0 437.478 -0.592 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)Cn3cc([C@@H](C)O)nn3)CC2)c1 ZINC000762964565 1072713668 /nfs/dbraw/zinc/71/36/68/1072713668.db2.gz PSIKEWNTLLXSQF-CYBMUJFWSA-N 0 0 439.494 -0.118 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)Cn3cc([C@H](C)O)nn3)CC2)c1 ZINC000762964566 1072713606 /nfs/dbraw/zinc/71/36/06/1072713606.db2.gz PSIKEWNTLLXSQF-ZDUSSCGKSA-N 0 0 439.494 -0.118 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)nn1 ZINC000762965035 1072713649 /nfs/dbraw/zinc/71/36/49/1072713649.db2.gz VAUJBDKRFTZIGI-LLVKDONJSA-N 0 0 437.507 -0.131 20 0 IBADRN C[C@H](O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)nn1 ZINC000762965038 1072713659 /nfs/dbraw/zinc/71/36/59/1072713659.db2.gz VAUJBDKRFTZIGI-NSHDSACASA-N 0 0 437.507 -0.131 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000762965287 1072713635 /nfs/dbraw/zinc/71/36/35/1072713635.db2.gz VMJGBQLSIDSJJO-LLVKDONJSA-N 0 0 437.478 -0.262 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000762965293 1072713686 /nfs/dbraw/zinc/71/36/86/1072713686.db2.gz VMJGBQLSIDSJJO-NSHDSACASA-N 0 0 437.478 -0.262 20 0 IBADRN COc1ccc(NC(=O)Cn2cc([C@@H](C)O)nn2)cc1S(=O)(=O)N1CCOCC1 ZINC000762971259 1072714764 /nfs/dbraw/zinc/71/47/64/1072714764.db2.gz GJIHKWKECNUSMM-GFCCVEGCSA-N 0 0 425.467 0.000 20 0 IBADRN COc1ccc(NC(=O)Cn2cc([C@H](C)O)nn2)cc1S(=O)(=O)N1CCOCC1 ZINC000762971260 1072714719 /nfs/dbraw/zinc/71/47/19/1072714719.db2.gz GJIHKWKECNUSMM-LBPRGKRZSA-N 0 0 425.467 0.000 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000762977664 1072715575 /nfs/dbraw/zinc/71/55/75/1072715575.db2.gz ADGZTZBSQVJNAC-JTQLQIEISA-N 0 0 426.524 -0.289 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000762977666 1072715473 /nfs/dbraw/zinc/71/54/73/1072715473.db2.gz ADGZTZBSQVJNAC-SNVBAGLBSA-N 0 0 426.524 -0.289 20 0 IBADRN O=C(CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1)NCCO ZINC000762983094 1072715666 /nfs/dbraw/zinc/71/56/66/1072715666.db2.gz PBURODWRJQCHAL-HNNXBMFYSA-N 0 0 447.517 -0.053 20 0 IBADRN O=C(CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1)NCCO ZINC000762983095 1072715687 /nfs/dbraw/zinc/71/56/87/1072715687.db2.gz PBURODWRJQCHAL-OAHLLOKOSA-N 0 0 447.517 -0.053 20 0 IBADRN C[C@H](O)c1cn(CC(=O)NC[C@H](NC(=O)Cn2cc([C@H](C)O)nn2)C2CCCCC2)nn1 ZINC000763016724 1072716259 /nfs/dbraw/zinc/71/62/59/1072716259.db2.gz QLQNVOQGMHGMJK-DZKIICNBSA-N 0 0 448.528 -0.142 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)N[C@@H](CNC(=O)Cn2cc([C@H](C)O)nn2)C2CCCCC2)nn1 ZINC000763016727 1072716160 /nfs/dbraw/zinc/71/61/60/1072716160.db2.gz QLQNVOQGMHGMJK-LZWOXQAQSA-N 0 0 448.528 -0.142 20 0 IBADRN C[C@H](O)c1cn(CC(=O)NC[C@@H](NC(=O)Cn2cc([C@H](C)O)nn2)C2CCCCC2)nn1 ZINC000763016730 1072716240 /nfs/dbraw/zinc/71/62/40/1072716240.db2.gz QLQNVOQGMHGMJK-OFQRWUPVSA-N 0 0 448.528 -0.142 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)N[C@H](CNC(=O)Cn2cc([C@H](C)O)nn2)C2CCCCC2)nn1 ZINC000763016733 1072716270 /nfs/dbraw/zinc/71/62/70/1072716270.db2.gz QLQNVOQGMHGMJK-SQWLQELKSA-N 0 0 448.528 -0.142 20 0 IBADRN CCOC(=O)[C@H]1CSCCN1C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000763018073 1072716644 /nfs/dbraw/zinc/71/66/44/1072716644.db2.gz CDDLPKCCRIDXSG-BXUZGUMPSA-N 0 0 429.520 -0.041 20 0 IBADRN CCOC(=O)[C@@H]1CSCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000763018074 1072716511 /nfs/dbraw/zinc/71/65/11/1072716511.db2.gz CDDLPKCCRIDXSG-FZMZJTMJSA-N 0 0 429.520 -0.041 20 0 IBADRN CCOC(=O)[C@@H]1CSCCN1C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000763018075 1072716539 /nfs/dbraw/zinc/71/65/39/1072716539.db2.gz CDDLPKCCRIDXSG-RISCZKNCSA-N 0 0 429.520 -0.041 20 0 IBADRN CCOC(=O)[C@H]1CSCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000763018076 1072716668 /nfs/dbraw/zinc/71/66/68/1072716668.db2.gz CDDLPKCCRIDXSG-SMDDNHRTSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)nn1 ZINC000763026595 1072716684 /nfs/dbraw/zinc/71/66/84/1072716684.db2.gz XASLCZMEXQSXQX-LLVKDONJSA-N 0 0 435.466 -0.554 20 0 IBADRN C[C@H](O)c1cn(CC(=O)N2CCN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c4c3)CC2)nn1 ZINC000763026596 1072716577 /nfs/dbraw/zinc/71/65/77/1072716577.db2.gz XASLCZMEXQSXQX-NSHDSACASA-N 0 0 435.466 -0.554 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)Cn3cc([C@@H](C)O)nn3)CC2)c1 ZINC000763026867 1072716528 /nfs/dbraw/zinc/71/65/28/1072716528.db2.gz ZRTBNYQMHWZSNR-LLVKDONJSA-N 0 0 443.507 -0.287 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)Cn3cc([C@H](C)O)nn3)CC2)c1 ZINC000763026869 1072716591 /nfs/dbraw/zinc/71/65/91/1072716591.db2.gz ZRTBNYQMHWZSNR-NSHDSACASA-N 0 0 443.507 -0.287 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000763041594 1072717017 /nfs/dbraw/zinc/71/70/17/1072717017.db2.gz OJIYELLYMGHVGA-UHFFFAOYSA-N 0 0 437.497 -0.144 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)O[C@@H]1C[C@@H](OC(=O)C(C)(C)NS(C)(=O)=O)C1(C)C ZINC000763042481 1072717079 /nfs/dbraw/zinc/71/70/79/1072717079.db2.gz ZCUVKYJRZGVZSQ-GHMZBOCLSA-N 0 0 442.556 -0.105 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)O[C@@H]1C[C@H](OC(=O)C(C)(C)NS(C)(=O)=O)C1(C)C ZINC000763042483 1072717008 /nfs/dbraw/zinc/71/70/08/1072717008.db2.gz ZCUVKYJRZGVZSQ-PHIMTYICSA-N 0 0 442.556 -0.105 20 0 IBADRN CC(C)(NS(C)(=O)=O)C(=O)O[C@H]1C[C@H](OC(=O)C(C)(C)NS(C)(=O)=O)C1(C)C ZINC000763042485 1072716995 /nfs/dbraw/zinc/71/69/95/1072716995.db2.gz ZCUVKYJRZGVZSQ-QWRGUYRKSA-N 0 0 442.556 -0.105 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)Cn3cc([C@H](C)O)nn3)CC2)cc1 ZINC000763044090 1072717118 /nfs/dbraw/zinc/71/71/18/1072717118.db2.gz VXPVVUQIVMJOHL-INIZCTEOSA-N 0 0 436.538 -0.237 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)Cn3cc([C@@H](C)O)nn3)CC2)cc1 ZINC000763044091 1072717063 /nfs/dbraw/zinc/71/70/63/1072717063.db2.gz VXPVVUQIVMJOHL-MRXNPFEDSA-N 0 0 436.538 -0.237 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NC[C@@]3(O)CC[N@H+](C)C3)ncnc1-2 ZINC000763045829 1072717090 /nfs/dbraw/zinc/71/70/90/1072717090.db2.gz DQMAMYLNSURIQQ-HNNXBMFYSA-N 0 0 427.307 -0.723 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NC[C@]3(O)CC[N@H+](C)C3)ncnc1-2 ZINC000763045832 1072717152 /nfs/dbraw/zinc/71/71/52/1072717152.db2.gz DQMAMYLNSURIQQ-OAHLLOKOSA-N 0 0 427.307 -0.723 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000763051292 1072716977 /nfs/dbraw/zinc/71/69/77/1072716977.db2.gz GFTHZLWIYAMTRW-JTQLQIEISA-N 0 0 426.524 -0.289 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000763051297 1072717053 /nfs/dbraw/zinc/71/70/53/1072717053.db2.gz GFTHZLWIYAMTRW-SNVBAGLBSA-N 0 0 426.524 -0.289 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC000763055501 1072717637 /nfs/dbraw/zinc/71/76/37/1072717637.db2.gz IPKODIXXUQMUMB-CYBMUJFWSA-N 0 0 441.506 -0.383 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC000763055506 1072717564 /nfs/dbraw/zinc/71/75/64/1072717564.db2.gz IPKODIXXUQMUMB-ZDUSSCGKSA-N 0 0 441.506 -0.383 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCSC[C@@H]1S(C)(=O)=O ZINC000763055907 1072717177 /nfs/dbraw/zinc/71/71/77/1072717177.db2.gz RJFNUOAPZCNOLB-GWCFXTLKSA-N 0 0 435.549 -0.602 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCSC[C@H]1S(C)(=O)=O ZINC000763055915 1072717136 /nfs/dbraw/zinc/71/71/36/1072717136.db2.gz RJFNUOAPZCNOLB-GXFFZTMASA-N 0 0 435.549 -0.602 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCSC[C@@H]1S(C)(=O)=O ZINC000763055917 1072717084 /nfs/dbraw/zinc/71/70/84/1072717084.db2.gz RJFNUOAPZCNOLB-MFKMUULPSA-N 0 0 435.549 -0.602 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCSC[C@H]1S(C)(=O)=O ZINC000763055919 1072717042 /nfs/dbraw/zinc/71/70/42/1072717042.db2.gz RJFNUOAPZCNOLB-ZWNOBZJWSA-N 0 0 435.549 -0.602 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)NC2C(C)(C)C(NC(=O)Cn3cc([C@H](C)O)nn3)C2(C)C)nn1 ZINC000763064224 1072717657 /nfs/dbraw/zinc/71/76/57/1072717657.db2.gz QPSPMNDIBUXKMI-CCHVVGMOSA-N 0 0 448.528 -0.288 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)NC2C(C)(C)C(NC(=O)Cn3cc([C@@H](C)O)nn3)C2(C)C)nn1 ZINC000763064662 1072717610 /nfs/dbraw/zinc/71/76/10/1072717610.db2.gz QPSPMNDIBUXKMI-SKLAPFLYSA-N 0 0 448.528 -0.288 20 0 IBADRN C[C@H](O)c1cn(CC(=O)NC2C(C)(C)C(NC(=O)Cn3cc([C@H](C)O)nn3)C2(C)C)nn1 ZINC000763064664 1072717684 /nfs/dbraw/zinc/71/76/84/1072717684.db2.gz QPSPMNDIBUXKMI-VCKYCXPWSA-N 0 0 448.528 -0.288 20 0 IBADRN CCC[C@@H]1CN(C(=O)Cn2cc([C@@H](C)O)nn2)CCN1C(=O)Cn1cc([C@H](C)O)nn1 ZINC000763071203 1072717594 /nfs/dbraw/zinc/71/75/94/1072717594.db2.gz VHEFZOGQRZIINJ-QLFBSQMISA-N 0 0 434.501 -0.484 20 0 IBADRN CCC[C@@H]1CN(C(=O)Cn2cc([C@@H](C)O)nn2)CCN1C(=O)Cn1cc([C@@H](C)O)nn1 ZINC000763071204 1072717571 /nfs/dbraw/zinc/71/75/71/1072717571.db2.gz VHEFZOGQRZIINJ-RBSFLKMASA-N 0 0 434.501 -0.484 20 0 IBADRN CCC[C@@H]1CN(C(=O)Cn2cc([C@H](C)O)nn2)CCN1C(=O)Cn1cc([C@@H](C)O)nn1 ZINC000763071205 1072717644 /nfs/dbraw/zinc/71/76/44/1072717644.db2.gz VHEFZOGQRZIINJ-RRFJBIMHSA-N 0 0 434.501 -0.484 20 0 IBADRN CCC[C@@H]1CN(C(=O)Cn2cc([C@H](C)O)nn2)CCN1C(=O)Cn1cc([C@H](C)O)nn1 ZINC000763071206 1072717673 /nfs/dbraw/zinc/71/76/73/1072717673.db2.gz VHEFZOGQRZIINJ-SOUVJXGZSA-N 0 0 434.501 -0.484 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000763092809 1072718022 /nfs/dbraw/zinc/71/80/22/1072718022.db2.gz DWJUPFLMODNWGY-UHFFFAOYSA-N 0 0 425.467 -0.697 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)COc2ccc(N3CCCC3=O)cc2)c(=O)n(C)c1=O ZINC000763111743 1072718495 /nfs/dbraw/zinc/71/84/95/1072718495.db2.gz NCNAJDPIORMTJG-UHFFFAOYSA-N 0 0 430.417 -0.402 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000763129067 1072719483 /nfs/dbraw/zinc/71/94/83/1072719483.db2.gz HKLAEXLPICSIMU-UHFFFAOYSA-N 0 0 433.465 -0.177 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC3CN(C(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000763146549 1072719894 /nfs/dbraw/zinc/71/98/94/1072719894.db2.gz KJMWCLWUFMHVEU-UHFFFAOYSA-N 0 0 446.464 -0.446 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000763151059 1072720028 /nfs/dbraw/zinc/72/00/28/1072720028.db2.gz WRAPDNOALODSRA-UHFFFAOYSA-N 0 0 432.481 -0.061 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000763157320 1072719814 /nfs/dbraw/zinc/71/98/14/1072719814.db2.gz HNTXJWGICPRZPM-LLVKDONJSA-N 0 0 432.886 -0.029 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CC[C@H](NC(N)=O)C1 ZINC000763157324 1072719800 /nfs/dbraw/zinc/71/98/00/1072719800.db2.gz HNTXJWGICPRZPM-NSHDSACASA-N 0 0 432.886 -0.029 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CCN1CC(F)(F)F ZINC000763172838 1072720453 /nfs/dbraw/zinc/72/04/53/1072720453.db2.gz BPHBMCYULCSGNY-LLVKDONJSA-N 0 0 425.433 -0.039 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CCN1CC(F)(F)F ZINC000763172839 1072720428 /nfs/dbraw/zinc/72/04/28/1072720428.db2.gz BPHBMCYULCSGNY-NSHDSACASA-N 0 0 425.433 -0.039 20 0 IBADRN O=C(OCc1ccc(Br)cc1COC(=O)[C@@H]1CNC(=O)N1)[C@H]1CNC(=O)N1 ZINC000763197798 1072721836 /nfs/dbraw/zinc/72/18/36/1072721836.db2.gz QNOHMAPTIGTHCZ-NEPJUHHUSA-N 0 0 441.238 -0.102 20 0 IBADRN O=C(OCc1cc(Br)ccc1COC(=O)[C@@H]1CNC(=O)N1)[C@H]1CNC(=O)N1 ZINC000763197799 1072721895 /nfs/dbraw/zinc/72/18/95/1072721895.db2.gz QNOHMAPTIGTHCZ-NWDGAFQWSA-N 0 0 441.238 -0.102 20 0 IBADRN O=C(OCc1ccc(Br)cc1COC(=O)[C@@H]1CNC(=O)N1)[C@@H]1CNC(=O)N1 ZINC000763197800 1072721855 /nfs/dbraw/zinc/72/18/55/1072721855.db2.gz QNOHMAPTIGTHCZ-RYUDHWBXSA-N 0 0 441.238 -0.102 20 0 IBADRN O=C(OCc1ccc(Br)cc1COC(=O)[C@H]1CNC(=O)N1)[C@H]1CNC(=O)N1 ZINC000763197801 1072721809 /nfs/dbraw/zinc/72/18/09/1072721809.db2.gz QNOHMAPTIGTHCZ-VXGBXAGGSA-N 0 0 441.238 -0.102 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCN2C(=O)CSC2=O)c1 ZINC000763214991 1072722365 /nfs/dbraw/zinc/72/23/65/1072722365.db2.gz FCGQXCOSHZIPKK-UHFFFAOYSA-N 0 0 430.508 -0.310 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC(C(=O)N3CCN(S(N)(=O)=O)CC3)=NO2)CC1 ZINC000763249931 1072722322 /nfs/dbraw/zinc/72/23/22/1072722322.db2.gz IWXRKGZNYJGMMI-UHFFFAOYSA-N 0 0 431.515 -0.120 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)o1 ZINC000763263070 1072722848 /nfs/dbraw/zinc/72/28/48/1072722848.db2.gz QDALEAPYLYMOAG-UHFFFAOYSA-N 0 0 428.467 -0.457 20 0 IBADRN CN1CCN(CC(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)CC1=O ZINC000763282325 1072722840 /nfs/dbraw/zinc/72/28/40/1072722840.db2.gz AUUFOWZSSPNTOM-HNNXBMFYSA-N 0 0 425.530 -0.360 20 0 IBADRN CN1CCN(CC(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)CC1=O ZINC000763282326 1072722891 /nfs/dbraw/zinc/72/28/91/1072722891.db2.gz AUUFOWZSSPNTOM-OAHLLOKOSA-N 0 0 425.530 -0.360 20 0 IBADRN C[C@]1(c2ccccc2)CC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000763305618 1072723553 /nfs/dbraw/zinc/72/35/53/1072723553.db2.gz JVTWREZQILEQJN-JOCHJYFZSA-N 0 0 428.489 -0.336 20 0 IBADRN C[C@@]1(c2ccccc2)CC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000763305625 1072723533 /nfs/dbraw/zinc/72/35/33/1072723533.db2.gz JVTWREZQILEQJN-QFIPXVFZSA-N 0 0 428.489 -0.336 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C[C@@](C)(c4ccccc4)C3=O)CC2)CC1 ZINC000763309003 1072723503 /nfs/dbraw/zinc/72/35/03/1072723503.db2.gz WXZAEIYUGFKFGL-NRFANRHFSA-N 0 0 449.577 -0.230 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C[C@](C)(c4ccccc4)C3=O)CC2)CC1 ZINC000763309005 1072723481 /nfs/dbraw/zinc/72/34/81/1072723481.db2.gz WXZAEIYUGFKFGL-OAQYLSRUSA-N 0 0 449.577 -0.230 20 0 IBADRN C[C@@]1(c2ccccc2)CC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000763309626 1072723437 /nfs/dbraw/zinc/72/34/37/1072723437.db2.gz ZZDIZIOFFVZJAS-FQEVSTJZSA-N 0 0 436.534 -0.145 20 0 IBADRN C[C@]1(c2ccccc2)CC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000763309627 1072723467 /nfs/dbraw/zinc/72/34/67/1072723467.db2.gz ZZDIZIOFFVZJAS-HXUWFJFHSA-N 0 0 436.534 -0.145 20 0 IBADRN CCN1CCN(CCc2noc(CN(C)C3=NS(=O)(=O)c4ccccc43)n2)C(=O)C1=O ZINC000763311991 1072723563 /nfs/dbraw/zinc/72/35/63/1072723563.db2.gz OGPIZIOSOYOKBY-UHFFFAOYSA-N 0 0 446.489 -0.116 20 0 IBADRN CCN1CCN(CCc2noc(-c3ccc4c(c3)[nH]c(=O)c(=O)n4CC)n2)C(=O)C1=O ZINC000763312513 1072723394 /nfs/dbraw/zinc/72/33/94/1072723394.db2.gz QRILLLFROFYQDT-UHFFFAOYSA-N 0 0 426.433 -0.007 20 0 IBADRN C[C@]1(c2ccccc2)CC(=O)N(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC000763316225 1072723374 /nfs/dbraw/zinc/72/33/74/1072723374.db2.gz DSNFGHLIQKYCAT-JOCHJYFZSA-N 0 0 426.473 -0.046 20 0 IBADRN C[C@@]1(c2ccccc2)CC(=O)N(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC000763316226 1072723525 /nfs/dbraw/zinc/72/35/25/1072723525.db2.gz DSNFGHLIQKYCAT-QFIPXVFZSA-N 0 0 426.473 -0.046 20 0 IBADRN CCCCNC(=O)CN1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000763319034 1072723568 /nfs/dbraw/zinc/72/35/68/1072723568.db2.gz UJJPZDFMNZRCIX-UHFFFAOYSA-N 0 0 446.595 -0.171 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cn(CCOc3ccc(C=O)cc3Cl)nn2)CC1 ZINC000763324817 1072723875 /nfs/dbraw/zinc/72/38/75/1072723875.db2.gz LOLADRTYTYTTFN-UHFFFAOYSA-N 0 0 442.885 -0.216 20 0 IBADRN CS(=O)(=O)CCN1CCC(NS(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)CC1 ZINC000763324970 1072723779 /nfs/dbraw/zinc/72/37/79/1072723779.db2.gz NYVUDSVWIYCGRY-UHFFFAOYSA-N 0 0 436.577 -0.196 20 0 IBADRN NC(=O)CNC(=O)c1cccc(OS(=O)(=O)CCNS(=O)(=O)c2ccccc2)c1 ZINC000763326848 1072723914 /nfs/dbraw/zinc/72/39/14/1072723914.db2.gz GGWDEVWKWUWDLV-UHFFFAOYSA-N 0 0 441.487 -0.411 20 0 IBADRN NC(=O)CNC(=O)c1ccc(OS(=O)(=O)CCNS(=O)(=O)c2ccccc2)cc1 ZINC000763326927 1072723491 /nfs/dbraw/zinc/72/34/91/1072723491.db2.gz HWIBXQINYMVSAB-UHFFFAOYSA-N 0 0 441.487 -0.411 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)NCC1(O)CCC2(CC1)OCCO2 ZINC000763328499 1072723988 /nfs/dbraw/zinc/72/39/88/1072723988.db2.gz CWYDBIHJWXNHQC-UHFFFAOYSA-N 0 0 434.536 -0.068 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000763328700 1072723858 /nfs/dbraw/zinc/72/38/58/1072723858.db2.gz REKJMGQOAHZBSS-UHFFFAOYSA-N 0 0 433.508 -0.998 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000763329905 1072723809 /nfs/dbraw/zinc/72/38/09/1072723809.db2.gz NUAUGDGFTIXPGH-CYBMUJFWSA-N 0 0 428.577 -0.604 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000763329907 1072723984 /nfs/dbraw/zinc/72/39/84/1072723984.db2.gz NUAUGDGFTIXPGH-ZDUSSCGKSA-N 0 0 428.577 -0.604 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)cn1 ZINC000763331179 1072723901 /nfs/dbraw/zinc/72/39/01/1072723901.db2.gz YHDXSPZVHAMHLL-CYBMUJFWSA-N 0 0 431.515 -0.115 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)cn1 ZINC000763331180 1072724023 /nfs/dbraw/zinc/72/40/23/1072724023.db2.gz YHDXSPZVHAMHLL-ZDUSSCGKSA-N 0 0 431.515 -0.115 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCc1noc(CN2CCN(C(=O)OC(C)(C)C)CC2=O)n1 ZINC000763352983 1072726522 /nfs/dbraw/zinc/72/65/22/1072726522.db2.gz VKHIWEBYIXSKPO-UHFFFAOYSA-N 0 0 446.530 -0.070 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2cc3n(n2)CCCO3)CC1 ZINC000763368799 1072733167 /nfs/dbraw/zinc/73/31/67/1072733167.db2.gz FWVHITIJEFLXRF-UHFFFAOYSA-N 0 0 426.437 -0.152 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN3C(=O)OC[C@@H]3C2)c[nH]1)N1CCN2C(=O)OC[C@H]2C1 ZINC000763373509 1072737434 /nfs/dbraw/zinc/73/74/34/1072737434.db2.gz DHWWHOJDJUXNIP-NEPJUHHUSA-N 0 0 439.450 -0.884 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN3C(=O)OC[C@H]3C2)c[nH]1)N1CCN2C(=O)OC[C@@H]2C1 ZINC000763373513 1072737451 /nfs/dbraw/zinc/73/74/51/1072737451.db2.gz DHWWHOJDJUXNIP-NWDGAFQWSA-N 0 0 439.450 -0.884 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN3C(=O)OC[C@@H]3C2)c[nH]1)N1CCN2C(=O)OC[C@@H]2C1 ZINC000763373515 1072737471 /nfs/dbraw/zinc/73/74/71/1072737471.db2.gz DHWWHOJDJUXNIP-RYUDHWBXSA-N 0 0 439.450 -0.884 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN3C(=O)OC[C@H]3C2)c[nH]1)N1CCN2C(=O)OC[C@H]2C1 ZINC000763373517 1072737501 /nfs/dbraw/zinc/73/75/01/1072737501.db2.gz DHWWHOJDJUXNIP-VXGBXAGGSA-N 0 0 439.450 -0.884 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)ncn1 ZINC000763373912 1072737479 /nfs/dbraw/zinc/73/74/79/1072737479.db2.gz OIHMIJBBEOCCKD-UHFFFAOYSA-N 0 0 430.446 -0.283 20 0 IBADRN O=C1OC[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000763374822 1072737373 /nfs/dbraw/zinc/73/73/73/1072737373.db2.gz LIENQWXLWXVVHR-CYBMUJFWSA-N 0 0 431.492 -0.467 20 0 IBADRN O=C1OC[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000763374826 1072738043 /nfs/dbraw/zinc/73/80/43/1072738043.db2.gz LIENQWXLWXVVHR-ZDUSSCGKSA-N 0 0 431.492 -0.467 20 0 IBADRN Cn1c2ncn(CCCCCCn3cnc4c3c(=O)n(C)c(=O)n4C)c2c(=O)n(C)c1=O ZINC000763382847 1072741229 /nfs/dbraw/zinc/74/12/29/1072741229.db2.gz LZSKIJIBFGKLEL-UHFFFAOYSA-N 0 0 442.480 -0.559 20 0 IBADRN Cc1cccc(F)c1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000763407204 1072741370 /nfs/dbraw/zinc/74/13/70/1072741370.db2.gz VFBMQMHVCNGAKE-CYBMUJFWSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1cccc(F)c1NC(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000763407221 1072741387 /nfs/dbraw/zinc/74/13/87/1072741387.db2.gz VFBMQMHVCNGAKE-ZDUSSCGKSA-N 0 0 447.510 -0.266 20 0 IBADRN O=C1OCC[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000763413846 1072741753 /nfs/dbraw/zinc/74/17/53/1072741753.db2.gz KPTAVTXTEJHIAH-AWEZNQCLSA-N 0 0 445.519 -0.077 20 0 IBADRN O=C1OCC[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CCN12 ZINC000763413851 1072741863 /nfs/dbraw/zinc/74/18/63/1072741863.db2.gz KPTAVTXTEJHIAH-CQSZACIVSA-N 0 0 445.519 -0.077 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN3C(=O)OCC[C@@H]3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000763414975 1072741900 /nfs/dbraw/zinc/74/19/00/1072741900.db2.gz UAIJHHHRENXCDS-ADLMAVQZSA-N 0 0 438.462 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN3C(=O)OCC[C@H]3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000763414976 1072741767 /nfs/dbraw/zinc/74/17/67/1072741767.db2.gz UAIJHHHRENXCDS-BBATYDOGSA-N 0 0 438.462 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN3C(=O)OCC[C@H]3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000763414977 1072741814 /nfs/dbraw/zinc/74/18/14/1072741814.db2.gz UAIJHHHRENXCDS-VOJFVSQTSA-N 0 0 438.462 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN3C(=O)OCC[C@@H]3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000763414978 1072741891 /nfs/dbraw/zinc/74/18/91/1072741891.db2.gz UAIJHHHRENXCDS-ZMZPIMSZSA-N 0 0 438.462 -0.035 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@H](O)c1ccccc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000763430955 1072833821 /nfs/dbraw/zinc/83/38/21/1072833821.db2.gz VYPWUAWBKLAILF-IKGGRYGDSA-N 0 0 425.507 -0.176 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@@H](O)c1ccccc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000763430957 1072834337 /nfs/dbraw/zinc/83/43/37/1072834337.db2.gz VYPWUAWBKLAILF-IXDOHACOSA-N 0 0 425.507 -0.176 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@H](O)c1ccccc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000763430959 1072834110 /nfs/dbraw/zinc/83/41/10/1072834110.db2.gz VYPWUAWBKLAILF-ULQDDVLXSA-N 0 0 425.507 -0.176 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@@H](O)c1ccccc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000763430961 1072834082 /nfs/dbraw/zinc/83/40/82/1072834082.db2.gz VYPWUAWBKLAILF-YESZJQIVSA-N 0 0 425.507 -0.176 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000763436604 1072891058 /nfs/dbraw/zinc/89/10/58/1072891058.db2.gz VZPBLDGVJPOGFI-CYBMUJFWSA-N 0 0 426.561 -0.299 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000763436606 1072891738 /nfs/dbraw/zinc/89/17/38/1072891738.db2.gz VZPBLDGVJPOGFI-ZDUSSCGKSA-N 0 0 426.561 -0.299 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@H](O)c1ccco1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000763437945 1072896138 /nfs/dbraw/zinc/89/61/38/1072896138.db2.gz QBVYPQVIJMATDS-BZSNNMDCSA-N 0 0 441.550 -0.265 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@@H](O)c1ccco1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000763437946 1072896067 /nfs/dbraw/zinc/89/60/67/1072896067.db2.gz QBVYPQVIJMATDS-FGTMMUONSA-N 0 0 441.550 -0.265 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@@H](O)c1ccco1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000763437947 1072896145 /nfs/dbraw/zinc/89/61/45/1072896145.db2.gz QBVYPQVIJMATDS-OKZBNKHCSA-N 0 0 441.550 -0.265 20 0 IBADRN O=C(CN1CCOC[C@@H]1C[C@H](O)c1ccco1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000763437948 1072896187 /nfs/dbraw/zinc/89/61/87/1072896187.db2.gz QBVYPQVIJMATDS-SQNIBIBYSA-N 0 0 441.550 -0.265 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000763454410 1072967203 /nfs/dbraw/zinc/96/72/03/1072967203.db2.gz BMFZVZJQBDQHRU-CRAIPNDOSA-N 0 0 430.461 -0.366 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@@H]2C1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000763454414 1072967414 /nfs/dbraw/zinc/96/74/14/1072967414.db2.gz BMFZVZJQBDQHRU-MAUKXSAKSA-N 0 0 430.461 -0.366 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000763454416 1072967372 /nfs/dbraw/zinc/96/73/72/1072967372.db2.gz BMFZVZJQBDQHRU-QAPCUYQASA-N 0 0 430.461 -0.366 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@@H]2C1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000763454419 1072967288 /nfs/dbraw/zinc/96/72/88/1072967288.db2.gz BMFZVZJQBDQHRU-YJBOKZPZSA-N 0 0 430.461 -0.366 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)OC[C@@H]4C3)CC2)cc1 ZINC000763455721 1072968332 /nfs/dbraw/zinc/96/83/32/1072968332.db2.gz JQEWACUZDVUIBU-HNNXBMFYSA-N 0 0 438.506 -0.336 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN4C(=O)OC[C@H]4C3)CC2)cc1 ZINC000763455725 1072968378 /nfs/dbraw/zinc/96/83/78/1072968378.db2.gz JQEWACUZDVUIBU-OAHLLOKOSA-N 0 0 438.506 -0.336 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@@H]2C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000763455730 1072968232 /nfs/dbraw/zinc/96/82/32/1072968232.db2.gz JXIKBDJBTDILEO-HNNXBMFYSA-N 0 0 426.470 -0.205 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@H]2C1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000763455733 1072968269 /nfs/dbraw/zinc/96/82/69/1072968269.db2.gz JXIKBDJBTDILEO-OAHLLOKOSA-N 0 0 426.470 -0.205 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000763458128 1072972068 /nfs/dbraw/zinc/97/20/68/1072972068.db2.gz CKLGUMPLPFEKGR-HNNXBMFYSA-N 0 0 426.470 -0.205 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@H]2C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000763458129 1072972108 /nfs/dbraw/zinc/97/21/08/1072972108.db2.gz CKLGUMPLPFEKGR-OAHLLOKOSA-N 0 0 426.470 -0.205 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN3C(=O)OC[C@@H]3C2)CC1 ZINC000763459432 1072982306 /nfs/dbraw/zinc/98/23/06/1072982306.db2.gz NYRJIKDAKMZWLO-AWEZNQCLSA-N 0 0 427.483 -0.739 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN3C(=O)OC[C@H]3C2)CC1 ZINC000763459441 1072982471 /nfs/dbraw/zinc/98/24/71/1072982471.db2.gz NYRJIKDAKMZWLO-CQSZACIVSA-N 0 0 427.483 -0.739 20 0 IBADRN COCCN(C(=O)CN1CCN2C(=O)OC[C@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000763459445 1072982235 /nfs/dbraw/zinc/98/22/35/1072982235.db2.gz OFICCBGCDONKBH-CYBMUJFWSA-N 0 0 438.485 -0.697 20 0 IBADRN COCCN(C(=O)CN1CCN2C(=O)OC[C@@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000763459447 1072982464 /nfs/dbraw/zinc/98/24/64/1072982464.db2.gz OFICCBGCDONKBH-ZDUSSCGKSA-N 0 0 438.485 -0.697 20 0 IBADRN CN1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000763470120 1072992833 /nfs/dbraw/zinc/99/28/33/1072992833.db2.gz UHSOGUNZVGOHQF-AWEZNQCLSA-N 0 0 446.508 -0.087 20 0 IBADRN CN1CCN(C(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000763470122 1072992947 /nfs/dbraw/zinc/99/29/47/1072992947.db2.gz UHSOGUNZVGOHQF-CQSZACIVSA-N 0 0 446.508 -0.087 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000763471292 1072995039 /nfs/dbraw/zinc/99/50/39/1072995039.db2.gz XAYBVZKMYBUJHG-UHFFFAOYSA-N 0 0 438.466 -0.695 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000763472333 1072995718 /nfs/dbraw/zinc/99/57/18/1072995718.db2.gz IRYQTPLTUCNIMA-KBPBESRZSA-N 0 0 444.558 -0.246 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000763472337 1072995834 /nfs/dbraw/zinc/99/58/34/1072995834.db2.gz IRYQTPLTUCNIMA-KGLIPLIRSA-N 0 0 444.558 -0.246 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000763472340 1072995811 /nfs/dbraw/zinc/99/58/11/1072995811.db2.gz IRYQTPLTUCNIMA-UONOGXRCSA-N 0 0 444.558 -0.246 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000763472344 1072995926 /nfs/dbraw/zinc/99/59/26/1072995926.db2.gz IRYQTPLTUCNIMA-ZIAGYGMSSA-N 0 0 444.558 -0.246 20 0 IBADRN CN1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000763472347 1072995875 /nfs/dbraw/zinc/99/58/75/1072995875.db2.gz GQKANQNJEYTZKG-HNNXBMFYSA-N 0 0 433.575 -0.769 20 0 IBADRN CN1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000763472364 1072995852 /nfs/dbraw/zinc/99/58/52/1072995852.db2.gz GQKANQNJEYTZKG-OAHLLOKOSA-N 0 0 433.575 -0.769 20 0 IBADRN CN1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000763473285 1072995303 /nfs/dbraw/zinc/99/53/03/1072995303.db2.gz OOHFZXOKTCFTBC-GFCCVEGCSA-N 0 0 449.512 -0.038 20 0 IBADRN CN1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000763473289 1072995141 /nfs/dbraw/zinc/99/51/41/1072995141.db2.gz OOHFZXOKTCFTBC-LBPRGKRZSA-N 0 0 449.512 -0.038 20 0 IBADRN CN1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000763473663 1072996500 /nfs/dbraw/zinc/99/65/00/1072996500.db2.gz OIVJTDKPOASMHK-CYBMUJFWSA-N 0 0 449.512 -0.899 20 0 IBADRN CN1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000763473664 1072996279 /nfs/dbraw/zinc/99/62/79/1072996279.db2.gz OIVJTDKPOASMHK-ZDUSSCGKSA-N 0 0 449.512 -0.899 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)cn1C ZINC000763474086 1072995954 /nfs/dbraw/zinc/99/59/54/1072995954.db2.gz VMFLDFZKCWPJEF-AWEZNQCLSA-N 0 0 444.558 -0.326 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)cn1C ZINC000763474090 1072995772 /nfs/dbraw/zinc/99/57/72/1072995772.db2.gz VMFLDFZKCWPJEF-CQSZACIVSA-N 0 0 444.558 -0.326 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000763475232 1072996291 /nfs/dbraw/zinc/99/62/91/1072996291.db2.gz GDWNGOFUVJQYOI-HNNXBMFYSA-N 0 0 436.575 -0.002 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000763475236 1072996464 /nfs/dbraw/zinc/99/64/64/1072996464.db2.gz GDWNGOFUVJQYOI-OAHLLOKOSA-N 0 0 436.575 -0.002 20 0 IBADRN Cn1c(C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)cc2c1n(C)c(=O)n(C)c2=O ZINC000763476193 1072997514 /nfs/dbraw/zinc/99/75/14/1072997514.db2.gz MFGSXPUTPLJOPM-CYBMUJFWSA-N 0 0 448.524 -0.143 20 0 IBADRN Cn1c(C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)cc2c1n(C)c(=O)n(C)c2=O ZINC000763476196 1072997386 /nfs/dbraw/zinc/99/73/86/1072997386.db2.gz MFGSXPUTPLJOPM-ZDUSSCGKSA-N 0 0 448.524 -0.143 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)cn1 ZINC000763478892 1073003116 /nfs/dbraw/zinc/00/31/16/1073003116.db2.gz QPCUKPPUSQALFY-CYBMUJFWSA-N 0 0 430.531 -0.634 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)cn1 ZINC000763478920 1073002814 /nfs/dbraw/zinc/00/28/14/1073002814.db2.gz QPCUKPPUSQALFY-ZDUSSCGKSA-N 0 0 430.531 -0.634 20 0 IBADRN CN1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000763478952 1073003248 /nfs/dbraw/zinc/00/32/48/1073003248.db2.gz RSWNQGYHODVGFL-CYBMUJFWSA-N 0 0 430.531 -0.412 20 0 IBADRN CN1CCN(C(=O)CCn2cc(S(N)(=O)=O)cn2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000763478955 1073003312 /nfs/dbraw/zinc/00/33/12/1073003312.db2.gz RSWNQGYHODVGFL-ZDUSSCGKSA-N 0 0 430.531 -0.412 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)c1 ZINC000763479554 1073004169 /nfs/dbraw/zinc/00/41/69/1073004169.db2.gz WCBXXAYUZRHKLH-CYBMUJFWSA-N 0 0 430.531 -0.634 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)c1 ZINC000763479558 1073004103 /nfs/dbraw/zinc/00/41/03/1073004103.db2.gz WCBXXAYUZRHKLH-ZDUSSCGKSA-N 0 0 430.531 -0.634 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)Cc2ccccc21 ZINC000763488498 1073012992 /nfs/dbraw/zinc/01/29/92/1073012992.db2.gz UHUQMZRCDIWAJX-UHFFFAOYSA-N 0 0 446.551 -0.360 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1 ZINC000763509330 1073020477 /nfs/dbraw/zinc/02/04/77/1073020477.db2.gz BUFDVPSBVUGBPJ-UHFFFAOYSA-N 0 0 438.528 -0.633 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN4C(=O)OCC[C@H]4C3)CC2)cn1 ZINC000763510227 1073020634 /nfs/dbraw/zinc/02/06/34/1073020634.db2.gz RBZVGOYXHXACED-AWEZNQCLSA-N 0 0 426.499 -0.191 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN4C(=O)OCC[C@@H]4C3)CC2)cn1 ZINC000763510231 1073020532 /nfs/dbraw/zinc/02/05/32/1073020532.db2.gz RBZVGOYXHXACED-CQSZACIVSA-N 0 0 426.499 -0.191 20 0 IBADRN CCc1ncc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000763577724 1073024518 /nfs/dbraw/zinc/02/45/18/1073024518.db2.gz KJTTYUXUUWKZCN-LLVKDONJSA-N 0 0 443.594 -0.471 20 0 IBADRN CCc1ncc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000763577727 1073024454 /nfs/dbraw/zinc/02/44/54/1073024454.db2.gz KJTTYUXUUWKZCN-NSHDSACASA-N 0 0 443.594 -0.471 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)OCC1(CO)COC1 ZINC000763606630 1073031611 /nfs/dbraw/zinc/03/16/11/1073031611.db2.gz JIUBXVSKROIDAX-UHFFFAOYSA-N 0 0 426.491 -0.148 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OCCCCOC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000763631221 1073037337 /nfs/dbraw/zinc/03/73/37/1073037337.db2.gz MXGDZXMQCIJMLK-KBPBESRZSA-N 0 0 440.540 -0.299 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)OCCOC(=O)Cn1nnc2ccccc2c1=O ZINC000763636998 1073038705 /nfs/dbraw/zinc/03/87/05/1073038705.db2.gz OFJTYCVMIUYHTL-UHFFFAOYSA-N 0 0 436.384 -0.317 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000763649863 1073040464 /nfs/dbraw/zinc/04/04/64/1073040464.db2.gz ZHZAWTHIWMQQRD-UHFFFAOYSA-N 0 0 446.551 -0.312 20 0 IBADRN CN(CC(C)(C)S(C)(=O)=O)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000763650524 1073040965 /nfs/dbraw/zinc/04/09/65/1073040965.db2.gz NOBUFPTWFNUVLS-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000763654293 1073040749 /nfs/dbraw/zinc/04/07/49/1073040749.db2.gz FWXJNPKPLBWJPZ-UHFFFAOYSA-N 0 0 434.540 -0.554 20 0 IBADRN CN(CC(C)(C)S(C)(=O)=O)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000763654791 1073040957 /nfs/dbraw/zinc/04/09/57/1073040957.db2.gz ODPMSSKBUXSHGY-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)OCC2(CO)COC2)c1OC ZINC000763655146 1073040906 /nfs/dbraw/zinc/04/09/06/1073040906.db2.gz QJOGHJMPGMMOSG-UHFFFAOYSA-N 0 0 431.463 -0.110 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)OCCOC(=O)[C@@H]1CCCCN1S(C)(=O)=O ZINC000763656388 1073040725 /nfs/dbraw/zinc/04/07/25/1073040725.db2.gz ZUFCZPRHLAGJFT-KBPBESRZSA-N 0 0 440.540 -0.299 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)OCCOC(=O)[C@@H]1CCCCN1S(C)(=O)=O ZINC000763656391 1073040975 /nfs/dbraw/zinc/04/09/75/1073040975.db2.gz ZUFCZPRHLAGJFT-OKILXGFUSA-N 0 0 440.540 -0.299 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)OCCOC(=O)[C@H]1CCCCN1S(C)(=O)=O ZINC000763656396 1073040736 /nfs/dbraw/zinc/04/07/36/1073040736.db2.gz ZUFCZPRHLAGJFT-ZIAGYGMSSA-N 0 0 440.540 -0.299 20 0 IBADRN COc1cc(N2CCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2)ncn1 ZINC000763660961 1073040840 /nfs/dbraw/zinc/04/08/40/1073040840.db2.gz JKSKRRWCOUKGNO-UHFFFAOYSA-N 0 0 426.543 -0.174 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C)CC(C)(C)S(C)(=O)=O)c1 ZINC000763664554 1073040918 /nfs/dbraw/zinc/04/09/18/1073040918.db2.gz YUSGSIBWYRYNDO-UHFFFAOYSA-N 0 0 433.552 -0.052 20 0 IBADRN CCS(=O)(=O)N1CCc2cc(C(=O)COC(=O)CNC(=O)CNC(C)=O)ccc21 ZINC000763668724 1073041309 /nfs/dbraw/zinc/04/13/09/1073041309.db2.gz FDIRACVVYQGUPF-UHFFFAOYSA-N 0 0 425.463 -0.623 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](CO)NC(=O)c1ccccc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000763669102 1073041349 /nfs/dbraw/zinc/04/13/49/1073041349.db2.gz HONIIPCXDUHCMT-JYJNAYRXSA-N 0 0 426.491 -0.352 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H](CO)NC(=O)c1ccccc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000763669106 1073041245 /nfs/dbraw/zinc/04/12/45/1073041245.db2.gz HONIIPCXDUHCMT-OAGGEKHMSA-N 0 0 426.491 -0.352 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](CO)NC(=O)c1ccccc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000763669110 1073041189 /nfs/dbraw/zinc/04/11/89/1073041189.db2.gz HONIIPCXDUHCMT-PMPSAXMXSA-N 0 0 426.491 -0.352 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H](CO)NC(=O)c1ccccc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000763669114 1073041317 /nfs/dbraw/zinc/04/13/17/1073041317.db2.gz HONIIPCXDUHCMT-XHSDSOJGSA-N 0 0 426.491 -0.352 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)c1ccc2c(c1)CCCN2S(C)(=O)=O ZINC000763671395 1073041273 /nfs/dbraw/zinc/04/12/73/1073041273.db2.gz REQKEZCPYWGNQC-UHFFFAOYSA-N 0 0 425.463 -0.623 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)c1ccc2c(c1)C[C@@H](C)N2S(C)(=O)=O ZINC000763671423 1073041323 /nfs/dbraw/zinc/04/13/23/1073041323.db2.gz RUICICFORGREOQ-LLVKDONJSA-N 0 0 425.463 -0.625 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)c1ccc2c(c1)C[C@H](C)N2S(C)(=O)=O ZINC000763671446 1073041303 /nfs/dbraw/zinc/04/13/03/1073041303.db2.gz RUICICFORGREOQ-NSHDSACASA-N 0 0 425.463 -0.625 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000763671921 1073041508 /nfs/dbraw/zinc/04/15/08/1073041508.db2.gz VQVXVITZDAUOCL-UHFFFAOYSA-N 0 0 425.463 -0.551 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)CC1 ZINC000763675252 1073042175 /nfs/dbraw/zinc/04/21/75/1073042175.db2.gz AQYMMELTCVBVOT-UHFFFAOYSA-N 0 0 428.895 -0.309 20 0 IBADRN CC(=O)N1CCN(C(=O)COCCOCC(=O)N2CCN(C(C)=O)C[C@@H]2C)[C@@H](C)C1 ZINC000763683895 1073043081 /nfs/dbraw/zinc/04/30/81/1073043081.db2.gz NHMHWDJWXJJHCM-HOTGVXAUSA-N 0 0 426.514 -0.822 20 0 IBADRN CC(=O)N1CCN(C(=O)COCCOCC(=O)N2CCN(C(C)=O)C[C@H]2C)[C@H](C)C1 ZINC000763683903 1073043171 /nfs/dbraw/zinc/04/31/71/1073043171.db2.gz NHMHWDJWXJJHCM-HZPDHXFCSA-N 0 0 426.514 -0.822 20 0 IBADRN CC(=O)N1CCN(C(=O)COCCOCC(=O)N2CCN(C(C)=O)C[C@@H]2C)[C@H](C)C1 ZINC000763683905 1073043134 /nfs/dbraw/zinc/04/31/34/1073043134.db2.gz NHMHWDJWXJJHCM-IYBDPMFKSA-N 0 0 426.514 -0.822 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)ccc1F ZINC000763688117 1073043176 /nfs/dbraw/zinc/04/31/76/1073043176.db2.gz HOAFQWURLOOYST-UHFFFAOYSA-N 0 0 431.471 -0.396 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000763689685 1073043609 /nfs/dbraw/zinc/04/36/09/1073043609.db2.gz XBSGCNJOSUIPSD-UHFFFAOYSA-N 0 0 445.498 -0.006 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)=NN1c1ccc(F)cc1 ZINC000763690372 1073043116 /nfs/dbraw/zinc/04/31/16/1073043116.db2.gz JIRCREAZIJSSPL-HNNXBMFYSA-N 0 0 449.468 -0.498 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)=NN1c1ccc(F)cc1 ZINC000763690373 1073043140 /nfs/dbraw/zinc/04/31/40/1073043140.db2.gz JIRCREAZIJSSPL-OAHLLOKOSA-N 0 0 449.468 -0.498 20 0 IBADRN C[C@H]1NC(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)=NN(c2ccccc2)C1=O ZINC000763690400 1073043109 /nfs/dbraw/zinc/04/31/09/1073043109.db2.gz KAJCNKSBNWDGBN-CYBMUJFWSA-N 0 0 431.478 -0.419 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)=NN(c2ccccc2)C1=O ZINC000763690401 1073042985 /nfs/dbraw/zinc/04/29/85/1073042985.db2.gz KAJCNKSBNWDGBN-ZDUSSCGKSA-N 0 0 431.478 -0.419 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)=NN1c1ccccc1 ZINC000763690411 1073043126 /nfs/dbraw/zinc/04/31/26/1073043126.db2.gz KISWELXCILUNFX-HNNXBMFYSA-N 0 0 431.478 -0.637 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)=NN1c1ccccc1 ZINC000763690412 1073043038 /nfs/dbraw/zinc/04/30/38/1073043038.db2.gz KISWELXCILUNFX-OAHLLOKOSA-N 0 0 431.478 -0.637 20 0 IBADRN O=C([C@@H](O)[C@@H](O)c1nc2ccccc2s1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000763691013 1073043506 /nfs/dbraw/zinc/04/35/06/1073043506.db2.gz NJACTEBVWUXREM-CABCVRRESA-N 0 0 437.503 -0.053 20 0 IBADRN O=C([C@@H](O)[C@H](O)c1nc2ccccc2s1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000763691014 1073043575 /nfs/dbraw/zinc/04/35/75/1073043575.db2.gz NJACTEBVWUXREM-GJZGRUSLSA-N 0 0 437.503 -0.053 20 0 IBADRN O=C([C@H](O)[C@@H](O)c1nc2ccccc2s1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000763691015 1073043690 /nfs/dbraw/zinc/04/36/90/1073043690.db2.gz NJACTEBVWUXREM-HUUCEWRRSA-N 0 0 437.503 -0.053 20 0 IBADRN O=C([C@H](O)[C@H](O)c1nc2ccccc2s1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000763691017 1073043649 /nfs/dbraw/zinc/04/36/49/1073043649.db2.gz NJACTEBVWUXREM-LSDHHAIUSA-N 0 0 437.503 -0.053 20 0 IBADRN NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000763691081 1073043697 /nfs/dbraw/zinc/04/36/97/1073043697.db2.gz HAIFTSRPXJFQHW-UHFFFAOYSA-N 0 0 431.837 -0.902 20 0 IBADRN CCn1c(CCC(=O)NC[C@H](O)C(=O)NC)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000763696528 1073043559 /nfs/dbraw/zinc/04/35/59/1073043559.db2.gz ADTQAFYVWMTFET-HNNXBMFYSA-N 0 0 425.511 -0.538 20 0 IBADRN CCn1c(CCC(=O)NC[C@@H](O)C(=O)NC)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000763696530 1073043623 /nfs/dbraw/zinc/04/36/23/1073043623.db2.gz ADTQAFYVWMTFET-OAHLLOKOSA-N 0 0 425.511 -0.538 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@H](O)C(=O)NC)CC2)cc1 ZINC000763700308 1073043375 /nfs/dbraw/zinc/04/33/75/1073043375.db2.gz NXWUMZAKGGMLOR-INIZCTEOSA-N 0 0 441.506 -0.513 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@@H](O)C(=O)NC)CC2)cc1 ZINC000763700311 1073043523 /nfs/dbraw/zinc/04/35/23/1073043523.db2.gz NXWUMZAKGGMLOR-MRXNPFEDSA-N 0 0 441.506 -0.513 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1 ZINC000763703399 1073043400 /nfs/dbraw/zinc/04/34/00/1073043400.db2.gz XKOYKEVDTBWXKG-CABCVRRESA-N 0 0 447.517 -0.054 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1 ZINC000763703414 1073043660 /nfs/dbraw/zinc/04/36/60/1073043660.db2.gz XKOYKEVDTBWXKG-GJZGRUSLSA-N 0 0 447.517 -0.054 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1 ZINC000763703437 1073044160 /nfs/dbraw/zinc/04/41/60/1073044160.db2.gz XKOYKEVDTBWXKG-HUUCEWRRSA-N 0 0 447.517 -0.054 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1 ZINC000763703458 1073044100 /nfs/dbraw/zinc/04/41/00/1073044100.db2.gz XKOYKEVDTBWXKG-LSDHHAIUSA-N 0 0 447.517 -0.054 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@@H]2C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000763704771 1073044147 /nfs/dbraw/zinc/04/41/47/1073044147.db2.gz NYWXYKJDAPZRSL-AWEZNQCLSA-N 0 0 426.470 -0.205 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@H]2C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000763704772 1073043992 /nfs/dbraw/zinc/04/39/92/1073043992.db2.gz NYWXYKJDAPZRSL-CQSZACIVSA-N 0 0 426.470 -0.205 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@@H]2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000763706812 1073044015 /nfs/dbraw/zinc/04/40/15/1073044015.db2.gz BWGURVNSOJALIQ-INIZCTEOSA-N 0 0 438.506 -0.540 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@H]2C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000763706813 1073043965 /nfs/dbraw/zinc/04/39/65/1073043965.db2.gz BWGURVNSOJALIQ-MRXNPFEDSA-N 0 0 438.506 -0.540 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)OCC1(CO)COC1 ZINC000763707194 1073044092 /nfs/dbraw/zinc/04/40/92/1073044092.db2.gz BDAXYQVLQVPINV-UHFFFAOYSA-N 0 0 444.481 -0.009 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3C(=O)OC[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000763711552 1073044057 /nfs/dbraw/zinc/04/40/57/1073044057.db2.gz XWTGERYOPPBMJL-HNNXBMFYSA-N 0 0 427.461 -0.021 20 0 IBADRN CCn1c(=O)c(C(=O)CN2CCN3C(=O)OC[C@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000763711554 1073043957 /nfs/dbraw/zinc/04/39/57/1073043957.db2.gz XWTGERYOPPBMJL-OAHLLOKOSA-N 0 0 427.461 -0.021 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@H]2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000763713392 1073044127 /nfs/dbraw/zinc/04/41/27/1073044127.db2.gz DKALFSNOMKDZOB-CYBMUJFWSA-N 0 0 444.460 -0.066 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@@H]2C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000763713393 1073043903 /nfs/dbraw/zinc/04/39/03/1073043903.db2.gz DKALFSNOMKDZOB-ZDUSSCGKSA-N 0 0 444.460 -0.066 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@@H]2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000763713407 1073044005 /nfs/dbraw/zinc/04/40/05/1073044005.db2.gz FYQKDDLJJKJVJE-INIZCTEOSA-N 0 0 438.506 -0.540 20 0 IBADRN O=C(CN1CCN2C(=O)OC[C@H]2C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000763713408 1073044778 /nfs/dbraw/zinc/04/47/78/1073044778.db2.gz FYQKDDLJJKJVJE-MRXNPFEDSA-N 0 0 438.506 -0.540 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3C(=O)OC[C@H]3C2)c(=O)[nH]c1=O ZINC000763713433 1073044567 /nfs/dbraw/zinc/04/45/67/1073044567.db2.gz HEPNRCQKYXMJKG-CYBMUJFWSA-N 0 0 438.485 -0.553 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN3C(=O)OC[C@@H]3C2)c(=O)[nH]c1=O ZINC000763713438 1073044571 /nfs/dbraw/zinc/04/45/71/1073044571.db2.gz HEPNRCQKYXMJKG-ZDUSSCGKSA-N 0 0 438.485 -0.553 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000763717456 1073044387 /nfs/dbraw/zinc/04/43/87/1073044387.db2.gz UELVSTZLUNZKOU-BFYDXBDKSA-N 0 0 426.539 -0.089 20 0 IBADRN O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000763717458 1073044621 /nfs/dbraw/zinc/04/46/21/1073044621.db2.gz UELVSTZLUNZKOU-INMHGKMJSA-N 0 0 426.539 -0.089 20 0 IBADRN O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000763717461 1073044366 /nfs/dbraw/zinc/04/43/66/1073044366.db2.gz UELVSTZLUNZKOU-UXLLHSPISA-N 0 0 426.539 -0.089 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000763717464 1073044579 /nfs/dbraw/zinc/04/45/79/1073044579.db2.gz UELVSTZLUNZKOU-ZMSDIMECSA-N 0 0 426.539 -0.089 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@H]1C[C@H](O)c1ccccc1)c(=O)n2C ZINC000763723661 1073045266 /nfs/dbraw/zinc/04/52/66/1073045266.db2.gz KWDSIBGBGZDSRX-CVEARBPZSA-N 0 0 427.461 -0.215 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@@H]1C[C@H](O)c1ccccc1)c(=O)n2C ZINC000763723662 1073045278 /nfs/dbraw/zinc/04/52/78/1073045278.db2.gz KWDSIBGBGZDSRX-HOTGVXAUSA-N 0 0 427.461 -0.215 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@H]1C[C@@H](O)c1ccccc1)c(=O)n2C ZINC000763723663 1073045127 /nfs/dbraw/zinc/04/51/27/1073045127.db2.gz KWDSIBGBGZDSRX-HZPDHXFCSA-N 0 0 427.461 -0.215 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccccc1)c(=O)n2C ZINC000763723664 1073045081 /nfs/dbraw/zinc/04/50/81/1073045081.db2.gz KWDSIBGBGZDSRX-JKSUJKDBSA-N 0 0 427.461 -0.215 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@H]3CC4(CC4)C(=O)O3)CC2)cc1 ZINC000763724520 1073045222 /nfs/dbraw/zinc/04/52/22/1073045222.db2.gz NFQFDXVWRFOCIL-CYBMUJFWSA-N 0 0 429.520 -0.264 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3CC4(CC4)C(=O)O3)CC2)cc1 ZINC000763724524 1073045151 /nfs/dbraw/zinc/04/51/51/1073045151.db2.gz NFQFDXVWRFOCIL-ZDUSSCGKSA-N 0 0 429.520 -0.264 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@H](O)C(=O)NC)n2C ZINC000763727721 1073045604 /nfs/dbraw/zinc/04/56/04/1073045604.db2.gz VUAZFCIYPBBQBF-INIZCTEOSA-N 0 0 439.538 -0.241 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@@H](O)C(=O)NC)n2C ZINC000763727722 1073045775 /nfs/dbraw/zinc/04/57/75/1073045775.db2.gz VUAZFCIYPBBQBF-MRXNPFEDSA-N 0 0 439.538 -0.241 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)Nc3nc(C)n(C)c3C(=O)NCCO)cc2S1(=O)=O ZINC000763730298 1073045506 /nfs/dbraw/zinc/04/55/06/1073045506.db2.gz OSXXPMQVBNJLDH-UHFFFAOYSA-N 0 0 435.462 -0.133 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2C[C@H](O)c2ccccc2)c[nH]1 ZINC000763731200 1073045560 /nfs/dbraw/zinc/04/55/60/1073045560.db2.gz WAMKNGBNWNUEAO-PBHICJAKSA-N 0 0 436.490 -0.257 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2C[C@@H](O)c2ccccc2)c[nH]1 ZINC000763731241 1073045590 /nfs/dbraw/zinc/04/55/90/1073045590.db2.gz WAMKNGBNWNUEAO-RHSMWYFYSA-N 0 0 436.490 -0.257 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccccc2)c[nH]1 ZINC000763731257 1073045762 /nfs/dbraw/zinc/04/57/62/1073045762.db2.gz WAMKNGBNWNUEAO-WMLDXEAASA-N 0 0 436.490 -0.257 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2C[C@H](O)c2ccccc2)c[nH]1 ZINC000763731267 1073045690 /nfs/dbraw/zinc/04/56/90/1073045690.db2.gz WAMKNGBNWNUEAO-YOEHRIQHSA-N 0 0 436.490 -0.257 20 0 IBADRN Cc1nc(NC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)c(C(=O)NCCO)n1C ZINC000763734943 1073046263 /nfs/dbraw/zinc/04/62/63/1073046263.db2.gz NMSJZUJCVOPYRK-NRFANRHFSA-N 0 0 440.460 -0.217 20 0 IBADRN Cc1nc(NC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)c(C(=O)NCCO)n1C ZINC000763735009 1073046306 /nfs/dbraw/zinc/04/63/06/1073046306.db2.gz NMSJZUJCVOPYRK-OAQYLSRUSA-N 0 0 440.460 -0.217 20 0 IBADRN Cc1nc(NC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)c(C(=O)NCCO)n1C ZINC000763738478 1073046121 /nfs/dbraw/zinc/04/61/21/1073046121.db2.gz SCOZJSGHKHIQPU-AWEZNQCLSA-N 0 0 431.428 -0.352 20 0 IBADRN Cc1nc(NC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)c(C(=O)NCCO)n1C ZINC000763738499 1073046242 /nfs/dbraw/zinc/04/62/42/1073046242.db2.gz SCOZJSGHKHIQPU-CQSZACIVSA-N 0 0 431.428 -0.352 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@H]3C[C@H](O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000763743795 1073046280 /nfs/dbraw/zinc/04/62/80/1073046280.db2.gz PWCPVBHWLWRRJU-CVEARBPZSA-N 0 0 427.461 -0.215 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@@H]3C[C@H](O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000763743797 1073046217 /nfs/dbraw/zinc/04/62/17/1073046217.db2.gz PWCPVBHWLWRRJU-HOTGVXAUSA-N 0 0 427.461 -0.215 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@H]3C[C@@H](O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000763743799 1073046210 /nfs/dbraw/zinc/04/62/10/1073046210.db2.gz PWCPVBHWLWRRJU-HZPDHXFCSA-N 0 0 427.461 -0.215 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC[C@@H]3C[C@@H](O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000763743802 1073046223 /nfs/dbraw/zinc/04/62/23/1073046223.db2.gz PWCPVBHWLWRRJU-JKSUJKDBSA-N 0 0 427.461 -0.215 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2C[C@@H](O)c2ccco2)c[nH]1 ZINC000763764927 1073046622 /nfs/dbraw/zinc/04/66/22/1073046622.db2.gz BAZDWTFIVQGKEL-BXUZGUMPSA-N 0 0 426.451 -0.664 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2C[C@H](O)c2ccco2)c[nH]1 ZINC000763764928 1073046679 /nfs/dbraw/zinc/04/66/79/1073046679.db2.gz BAZDWTFIVQGKEL-FZMZJTMJSA-N 0 0 426.451 -0.664 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2C[C@H](O)c2ccco2)c[nH]1 ZINC000763764929 1073046705 /nfs/dbraw/zinc/04/67/05/1073046705.db2.gz BAZDWTFIVQGKEL-RISCZKNCSA-N 0 0 426.451 -0.664 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccco2)c[nH]1 ZINC000763764930 1073046525 /nfs/dbraw/zinc/04/65/25/1073046525.db2.gz BAZDWTFIVQGKEL-SMDDNHRTSA-N 0 0 426.451 -0.664 20 0 IBADRN COc1cc(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)ncn1 ZINC000763771050 1073046546 /nfs/dbraw/zinc/04/65/46/1073046546.db2.gz FIXHLWNJNSWFDJ-CYBMUJFWSA-N 0 0 436.494 -0.701 20 0 IBADRN COc1cc(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)ncn1 ZINC000763771078 1073046717 /nfs/dbraw/zinc/04/67/17/1073046717.db2.gz FIXHLWNJNSWFDJ-ZDUSSCGKSA-N 0 0 436.494 -0.701 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000763773853 1073046728 /nfs/dbraw/zinc/04/67/28/1073046728.db2.gz JBHCXTXPLYVTFM-FZKCQIBNSA-N 0 0 426.491 -0.034 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000763773854 1073046537 /nfs/dbraw/zinc/04/65/37/1073046537.db2.gz JBHCXTXPLYVTFM-VGWMRTNUSA-N 0 0 426.491 -0.034 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000763773855 1073046647 /nfs/dbraw/zinc/04/66/47/1073046647.db2.gz JBHCXTXPLYVTFM-XUWVNRHRSA-N 0 0 426.491 -0.034 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000763773856 1073046490 /nfs/dbraw/zinc/04/64/90/1073046490.db2.gz JBHCXTXPLYVTFM-YHUYYLMFSA-N 0 0 426.491 -0.034 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCOC[C@@H]3C[C@H](O)c3ccco3)c2c(=O)n(C)c1=O ZINC000763778510 1073047144 /nfs/dbraw/zinc/04/71/44/1073047144.db2.gz HPVVXVJQMORBGY-KBPBESRZSA-N 0 0 431.449 -0.232 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCOC[C@H]3C[C@H](O)c3ccco3)c2c(=O)n(C)c1=O ZINC000763778511 1073047100 /nfs/dbraw/zinc/04/71/00/1073047100.db2.gz HPVVXVJQMORBGY-KGLIPLIRSA-N 0 0 431.449 -0.232 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCOC[C@@H]3C[C@@H](O)c3ccco3)c2c(=O)n(C)c1=O ZINC000763778512 1073047087 /nfs/dbraw/zinc/04/70/87/1073047087.db2.gz HPVVXVJQMORBGY-UONOGXRCSA-N 0 0 431.449 -0.232 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCOC[C@H]3C[C@@H](O)c3ccco3)c2c(=O)n(C)c1=O ZINC000763778513 1073047056 /nfs/dbraw/zinc/04/70/56/1073047056.db2.gz HPVVXVJQMORBGY-ZIAGYGMSSA-N 0 0 431.449 -0.232 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)nn1-c1nc(C)cc(C)n1 ZINC000763780598 1073047228 /nfs/dbraw/zinc/04/72/28/1073047228.db2.gz UREGINXNCZXZAR-UHFFFAOYSA-N 0 0 443.508 -0.023 20 0 IBADRN Cc1cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nn1-c1nc(C)cc(C)n1 ZINC000763792842 1073047138 /nfs/dbraw/zinc/04/71/38/1073047138.db2.gz FCNRWJRSIMTILA-UHFFFAOYSA-N 0 0 427.421 -0.393 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)c2nc(S(C)(=O)=O)n3ccccc23)(C(N)=O)C1 ZINC000763817731 1073049879 /nfs/dbraw/zinc/04/98/79/1073049879.db2.gz BXXTWXHHVUFALF-UHFFFAOYSA-N 0 0 437.478 -0.058 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)ccc1OC ZINC000763818202 1073049731 /nfs/dbraw/zinc/04/97/31/1073049731.db2.gz GHRQEAHKHRFFOC-UHFFFAOYSA-N 0 0 442.494 -0.192 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)(C(N)=O)C1 ZINC000763819954 1073049860 /nfs/dbraw/zinc/04/98/60/1073049860.db2.gz WYEFSXCXQLCEEB-AWEZNQCLSA-N 0 0 430.465 -0.302 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)(C(N)=O)C1 ZINC000763819956 1073049776 /nfs/dbraw/zinc/04/97/76/1073049776.db2.gz WYEFSXCXQLCEEB-CQSZACIVSA-N 0 0 430.465 -0.302 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)c2ccc(CN3C(=O)CNC3=O)cc2)(C(N)=O)C1 ZINC000763820784 1073049830 /nfs/dbraw/zinc/04/98/30/1073049830.db2.gz AZSIGDGUSMYTBS-UHFFFAOYSA-N 0 0 431.449 -0.057 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C2CCN(c3ccc4nnnn4n3)CC2)(C(N)=O)C1 ZINC000763820825 1073049763 /nfs/dbraw/zinc/04/97/63/1073049763.db2.gz BOKXDJSKEMXYEV-UHFFFAOYSA-N 0 0 445.484 -0.673 20 0 IBADRN CN(CC(=O)NC1(C(N)=O)CN(C(=O)OC(C)(C)C)C1)S(=O)(=O)c1ccccc1 ZINC000763821216 1073049647 /nfs/dbraw/zinc/04/96/47/1073049647.db2.gz AEXAPCMWPMGMMP-UHFFFAOYSA-N 0 0 426.495 -0.102 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)(C(N)=O)C1 ZINC000763821766 1073049706 /nfs/dbraw/zinc/04/97/06/1073049706.db2.gz HGAWOBRMKDEUFR-AWEZNQCLSA-N 0 0 448.455 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)(C(N)=O)C1 ZINC000763821774 1073049869 /nfs/dbraw/zinc/04/98/69/1073049869.db2.gz HGAWOBRMKDEUFR-CQSZACIVSA-N 0 0 448.455 -0.163 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)ccc1F ZINC000763824759 1073049660 /nfs/dbraw/zinc/04/96/60/1073049660.db2.gz SLWLJYFLISBQAQ-UHFFFAOYSA-N 0 0 430.458 -0.062 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)(C(N)=O)C1 ZINC000763825744 1073049573 /nfs/dbraw/zinc/04/95/73/1073049573.db2.gz WIDYILHEKMUTPH-UHFFFAOYSA-N 0 0 446.258 -0.698 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)CNC(=O)c2cnc3ccccc3c2O)(C(N)=O)C1 ZINC000763825885 1073049843 /nfs/dbraw/zinc/04/98/43/1073049843.db2.gz XRWACCJHCAWGPE-UHFFFAOYSA-N 0 0 443.460 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)CCNS(=O)(=O)c2ccccc2)(C(N)=O)C1 ZINC000763827393 1073049800 /nfs/dbraw/zinc/04/98/00/1073049800.db2.gz WNZGVXUJJLRUBM-UHFFFAOYSA-N 0 0 426.495 -0.054 20 0 IBADRN C[C@H]1NC(C(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)=NN(c2ccccc2)C1=O ZINC000763828007 1073049721 /nfs/dbraw/zinc/04/97/21/1073049721.db2.gz NTCPHBYMXXVBBW-GFCCVEGCSA-N 0 0 430.465 -0.084 20 0 IBADRN C[C@@H]1NC(C(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)=NN(c2ccccc2)C1=O ZINC000763828008 1073049602 /nfs/dbraw/zinc/04/96/02/1073049602.db2.gz NTCPHBYMXXVBBW-LBPRGKRZSA-N 0 0 430.465 -0.084 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N2CC(=O)N(Cc3ccccc3)C2)c1=O ZINC000763834277 1073050226 /nfs/dbraw/zinc/05/02/26/1073050226.db2.gz WHFGCRRRSODGBB-UHFFFAOYSA-N 0 0 446.485 -0.340 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)OCC[C@H]2C1)N1CCOCC1 ZINC000763840887 1073050239 /nfs/dbraw/zinc/05/02/39/1073050239.db2.gz DHOPBCRBCIETCM-HNNXBMFYSA-N 0 0 446.460 -0.084 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)OCC[C@@H]2C1)N1CCOCC1 ZINC000763840888 1073050083 /nfs/dbraw/zinc/05/00/83/1073050083.db2.gz DHOPBCRBCIETCM-OAHLLOKOSA-N 0 0 446.460 -0.084 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCN2C(=O)OCC[C@H]2C1 ZINC000763840934 1073050353 /nfs/dbraw/zinc/05/03/53/1073050353.db2.gz DQFWYQFHPFGESL-INIZCTEOSA-N 0 0 436.490 -0.087 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000763840938 1073050360 /nfs/dbraw/zinc/05/03/60/1073050360.db2.gz DQFWYQFHPFGESL-MRXNPFEDSA-N 0 0 436.490 -0.087 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)cc1 ZINC000763841032 1073050371 /nfs/dbraw/zinc/05/03/71/1073050371.db2.gz FPEPYMVFEQKTNE-HNNXBMFYSA-N 0 0 432.433 -0.029 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)cc1 ZINC000763841037 1073050304 /nfs/dbraw/zinc/05/03/04/1073050304.db2.gz FPEPYMVFEQKTNE-OAHLLOKOSA-N 0 0 432.433 -0.029 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)cc1 ZINC000763846285 1073050215 /nfs/dbraw/zinc/05/02/15/1073050215.db2.gz PJEDVZULDSBZGA-AWEZNQCLSA-N 0 0 440.478 -0.397 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)cc1 ZINC000763846293 1073050185 /nfs/dbraw/zinc/05/01/85/1073050185.db2.gz PJEDVZULDSBZGA-CQSZACIVSA-N 0 0 440.478 -0.397 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000763846296 1073050199 /nfs/dbraw/zinc/05/01/99/1073050199.db2.gz PNNKTRQRMDFKDR-GFCCVEGCSA-N 0 0 440.478 -0.063 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)OCC[C@H]2C1 ZINC000763846300 1073050151 /nfs/dbraw/zinc/05/01/51/1073050151.db2.gz PNNKTRQRMDFKDR-LBPRGKRZSA-N 0 0 440.478 -0.063 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000763846306 1073050730 /nfs/dbraw/zinc/05/07/30/1073050730.db2.gz QNTBPQKBKIAKOL-CYBMUJFWSA-N 0 0 440.478 -0.063 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000763846309 1073050649 /nfs/dbraw/zinc/05/06/49/1073050649.db2.gz QNTBPQKBKIAKOL-ZDUSSCGKSA-N 0 0 440.478 -0.063 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN2C(=O)OCC[C@H]2C1 ZINC000763847085 1073050788 /nfs/dbraw/zinc/05/07/88/1073050788.db2.gz AZUWHCYFITZKLX-AWEZNQCLSA-N 0 0 436.490 -0.121 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000763847088 1073050665 /nfs/dbraw/zinc/05/06/65/1073050665.db2.gz AZUWHCYFITZKLX-CQSZACIVSA-N 0 0 436.490 -0.121 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)OCC[C@H]4C3)cn2)C[C@@H](C)O1 ZINC000763850612 1073050704 /nfs/dbraw/zinc/05/07/04/1073050704.db2.gz JOQSLQYKUMJTTP-FMKPAKJESA-N 0 0 448.480 -0.490 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)OCC[C@@H]4C3)cn2)C[C@@H](C)O1 ZINC000763850617 1073050607 /nfs/dbraw/zinc/05/06/07/1073050607.db2.gz JOQSLQYKUMJTTP-IIAWOOMASA-N 0 0 448.480 -0.490 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)OCC[C@@H]4C3)cn2)C[C@H](C)O1 ZINC000763850620 1073050760 /nfs/dbraw/zinc/05/07/60/1073050760.db2.gz JOQSLQYKUMJTTP-IJEWVQPXSA-N 0 0 448.480 -0.490 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)OCC[C@H]4C3)cn2)C[C@H](C)O1 ZINC000763850624 1073050799 /nfs/dbraw/zinc/05/07/99/1073050799.db2.gz JOQSLQYKUMJTTP-LZWOXQAQSA-N 0 0 448.480 -0.490 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)cc1 ZINC000763851458 1073050716 /nfs/dbraw/zinc/05/07/16/1073050716.db2.gz PGWKQWBVVREDTF-HNNXBMFYSA-N 0 0 432.433 -0.490 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)cc1 ZINC000763851461 1073050538 /nfs/dbraw/zinc/05/05/38/1073050538.db2.gz PGWKQWBVVREDTF-OAHLLOKOSA-N 0 0 432.433 -0.490 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)cc1 ZINC000763852875 1073050322 /nfs/dbraw/zinc/05/03/22/1073050322.db2.gz MWLJWYZZJJHCNU-CYBMUJFWSA-N 0 0 426.451 -0.140 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)cc1 ZINC000763852889 1073050125 /nfs/dbraw/zinc/05/01/25/1073050125.db2.gz MWLJWYZZJJHCNU-ZDUSSCGKSA-N 0 0 426.451 -0.140 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)OCC[C@@H]4C3)cc2)CC1 ZINC000763853009 1073050675 /nfs/dbraw/zinc/05/06/75/1073050675.db2.gz NHXYMQUVMWJDLE-GOSISDBHSA-N 0 0 443.504 -0.005 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)OCC[C@H]4C3)cc2)CC1 ZINC000763853018 1073050622 /nfs/dbraw/zinc/05/06/22/1073050622.db2.gz NHXYMQUVMWJDLE-SFHVURJKSA-N 0 0 443.504 -0.005 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)cc1 ZINC000763857742 1073050745 /nfs/dbraw/zinc/05/07/45/1073050745.db2.gz UDVSFBINNFBHAH-KRWDZBQOSA-N 0 0 445.476 -0.051 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)cc1 ZINC000763857745 1073050773 /nfs/dbraw/zinc/05/07/73/1073050773.db2.gz UDVSFBINNFBHAH-QGZVFWFLSA-N 0 0 445.476 -0.051 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN3C(=O)OCC[C@H]3C1)CC2 ZINC000763862781 1073051783 /nfs/dbraw/zinc/05/17/83/1073051783.db2.gz UOGQFDVPEQOIDL-AWEZNQCLSA-N 0 0 436.490 -0.073 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCN3C(=O)OCC[C@@H]3C1)CC2 ZINC000763862782 1073051715 /nfs/dbraw/zinc/05/17/15/1073051715.db2.gz UOGQFDVPEQOIDL-CQSZACIVSA-N 0 0 436.490 -0.073 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(C)CC(C)(C)S(C)(=O)=O)CC2 ZINC000763879667 1073052360 /nfs/dbraw/zinc/05/23/60/1073052360.db2.gz KOWFXHLUWMHEMR-UHFFFAOYSA-N 0 0 431.536 -0.235 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N(C)CC(C)(C)S(C)(=O)=O)cc1 ZINC000763879856 1073052170 /nfs/dbraw/zinc/05/21/70/1073052170.db2.gz NHRYVRTZPGFLSV-UHFFFAOYSA-N 0 0 441.506 -0.262 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N(C)CC(C)(C)S(C)(=O)=O)cc1 ZINC000763885279 1073052258 /nfs/dbraw/zinc/05/22/58/1073052258.db2.gz VHRAMWYYYAAVGZ-UHFFFAOYSA-N 0 0 427.479 -0.191 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(C)(C)S(C)(=O)=O)cc1 ZINC000763885319 1073052237 /nfs/dbraw/zinc/05/22/37/1073052237.db2.gz WRUKZGLFTWAXHB-UHFFFAOYSA-N 0 0 449.551 -0.169 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)C[C@H](C)C3)C2=O)cc1 ZINC000763898881 1073052185 /nfs/dbraw/zinc/05/21/85/1073052185.db2.gz LXBYVSHZFLFIBE-BFUOFWGJSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)C[C@@H](C)C3)C2=O)cc1 ZINC000763898885 1073052373 /nfs/dbraw/zinc/05/23/73/1073052373.db2.gz LXBYVSHZFLFIBE-DJJJIMSYSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)C[C@@H](C)C3)C2=O)cc1 ZINC000763898888 1073052386 /nfs/dbraw/zinc/05/23/86/1073052386.db2.gz LXBYVSHZFLFIBE-ORAYPTAESA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)C[C@H](C)C3)C2=O)cc1 ZINC000763898891 1073052335 /nfs/dbraw/zinc/05/23/35/1073052335.db2.gz LXBYVSHZFLFIBE-YJYMSZOUSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)C[C@H](C)C3)C2=O)c1 ZINC000763899151 1073052249 /nfs/dbraw/zinc/05/22/49/1073052249.db2.gz NYZIPQRIRVOUDK-BFUOFWGJSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)C[C@@H](C)C3)C2=O)c1 ZINC000763899152 1073052263 /nfs/dbraw/zinc/05/22/63/1073052263.db2.gz NYZIPQRIRVOUDK-DJJJIMSYSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)C[C@@H](C)C3)C2=O)c1 ZINC000763899153 1073052218 /nfs/dbraw/zinc/05/22/18/1073052218.db2.gz NYZIPQRIRVOUDK-ORAYPTAESA-N 0 0 438.506 -0.140 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)C[C@H](C)C3)C2=O)c1 ZINC000763899154 1073052273 /nfs/dbraw/zinc/05/22/73/1073052273.db2.gz NYZIPQRIRVOUDK-YJYMSZOUSA-N 0 0 438.506 -0.140 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000763903260 1073052345 /nfs/dbraw/zinc/05/23/45/1073052345.db2.gz XNMSFEQALFSNTA-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn1 ZINC000763913483 1073052314 /nfs/dbraw/zinc/05/23/14/1073052314.db2.gz AXFIAGHEYDNJDF-UHFFFAOYSA-N 0 0 435.487 -0.364 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000763914217 1073052701 /nfs/dbraw/zinc/05/27/01/1073052701.db2.gz GRGWPRTZSBFFSW-UHFFFAOYSA-N 0 0 439.457 -0.265 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(CCS(=O)(=O)CCN2CCC[C@H](S(C)(=O)=O)C2)C1 ZINC000763921105 1073052762 /nfs/dbraw/zinc/05/27/62/1073052762.db2.gz HYPDDKFUHZXRLK-HOTGVXAUSA-N 0 0 444.641 -0.581 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(CCS(=O)(=O)CCN2CCC[C@@H](S(C)(=O)=O)C2)C1 ZINC000763921109 1073052782 /nfs/dbraw/zinc/05/27/82/1073052782.db2.gz HYPDDKFUHZXRLK-HZPDHXFCSA-N 0 0 444.641 -0.581 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(CCS(=O)(=O)CCN2CCC[C@H](S(C)(=O)=O)C2)C1 ZINC000763921113 1073052710 /nfs/dbraw/zinc/05/27/10/1073052710.db2.gz HYPDDKFUHZXRLK-IYBDPMFKSA-N 0 0 444.641 -0.581 20 0 IBADRN C[C@@H](Oc1cccc(CO)c1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000763926463 1073052638 /nfs/dbraw/zinc/05/26/38/1073052638.db2.gz QOXOYLFPDAZNKD-PBHICJAKSA-N 0 0 446.547 -0.393 20 0 IBADRN C[C@@H](Oc1cccc(CO)c1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000763926464 1073052667 /nfs/dbraw/zinc/05/26/67/1073052667.db2.gz QOXOYLFPDAZNKD-RHSMWYFYSA-N 0 0 446.547 -0.393 20 0 IBADRN C[C@H](Oc1cccc(CO)c1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000763926466 1073052676 /nfs/dbraw/zinc/05/26/76/1073052676.db2.gz QOXOYLFPDAZNKD-WMLDXEAASA-N 0 0 446.547 -0.393 20 0 IBADRN C[C@H](Oc1cccc(CO)c1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000763926468 1073052595 /nfs/dbraw/zinc/05/25/95/1073052595.db2.gz QOXOYLFPDAZNKD-YOEHRIQHSA-N 0 0 446.547 -0.393 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)sc2nccn12 ZINC000763927237 1073052633 /nfs/dbraw/zinc/05/26/33/1073052633.db2.gz XOBVAGYQMMLUGR-GFCCVEGCSA-N 0 0 432.549 -0.021 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)sc2nccn12 ZINC000763927238 1073052647 /nfs/dbraw/zinc/05/26/47/1073052647.db2.gz XOBVAGYQMMLUGR-LBPRGKRZSA-N 0 0 432.549 -0.021 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1O ZINC000763927276 1073052654 /nfs/dbraw/zinc/05/26/54/1073052654.db2.gz YYXUJJAWJWOOEN-CYBMUJFWSA-N 0 0 448.519 -0.316 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1O ZINC000763927277 1073052718 /nfs/dbraw/zinc/05/27/18/1073052718.db2.gz YYXUJJAWJWOOEN-ZDUSSCGKSA-N 0 0 448.519 -0.316 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)c1ccc(S(N)(=O)=O)nc1 ZINC000763958773 1073053832 /nfs/dbraw/zinc/05/38/32/1073053832.db2.gz COTAECBVOQQBCF-UHFFFAOYSA-N 0 0 441.510 -0.120 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)c1ccnc2c(C(N)=O)cnn21 ZINC000763959611 1073053749 /nfs/dbraw/zinc/05/37/49/1073053749.db2.gz LKNIOQKKWVVJGT-UHFFFAOYSA-N 0 0 445.480 -0.021 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)c2ccnc3c(C(N)=O)cnn32)CC1 ZINC000763969754 1073054692 /nfs/dbraw/zinc/05/46/92/1073054692.db2.gz HROCSCLYPVBNRD-UHFFFAOYSA-N 0 0 431.453 -0.363 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)C[C@@H]3NC(=O)NC3=O)C2)CC1 ZINC000763971673 1073063905 /nfs/dbraw/zinc/06/39/05/1073063905.db2.gz NNNHBYUMTRCLCV-KBPBESRZSA-N 0 0 437.497 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)C[C@@H]3NC(=O)NC3=O)C2)CC1 ZINC000763971674 1073063815 /nfs/dbraw/zinc/06/38/15/1073063815.db2.gz NNNHBYUMTRCLCV-KGLIPLIRSA-N 0 0 437.497 -0.098 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccccc2OCC(N)=O)c(=O)n(C)c1=O ZINC000763997472 1073066687 /nfs/dbraw/zinc/06/66/87/1073066687.db2.gz VXIAFBJMYPMPOT-UHFFFAOYSA-N 0 0 432.433 -0.311 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)CC1 ZINC000763998188 1073066489 /nfs/dbraw/zinc/06/64/89/1073066489.db2.gz MUTOAKWYRZHYGE-UHFFFAOYSA-N 0 0 442.922 -0.567 20 0 IBADRN O=C(CCc1nnc[nH]1)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000764002550 1073067119 /nfs/dbraw/zinc/06/71/19/1073067119.db2.gz LYIQGUQDUURXMB-UHFFFAOYSA-N 0 0 425.442 -0.047 20 0 IBADRN O=C(CCc1nnc[nH]1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000764002956 1073067351 /nfs/dbraw/zinc/06/73/51/1073067351.db2.gz PIFSGMUFSBXJLR-UHFFFAOYSA-N 0 0 425.442 -0.047 20 0 IBADRN O=C(CCc1nnc[nH]1)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000764003551 1073067406 /nfs/dbraw/zinc/06/74/06/1073067406.db2.gz DBQMLANNYXWQFF-INIZCTEOSA-N 0 0 429.433 -0.209 20 0 IBADRN O=C(CCc1nnc[nH]1)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000764003552 1073067303 /nfs/dbraw/zinc/06/73/03/1073067303.db2.gz DBQMLANNYXWQFF-MRXNPFEDSA-N 0 0 429.433 -0.209 20 0 IBADRN O=C(CCc1nnc[nH]1)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000764004886 1073067147 /nfs/dbraw/zinc/06/71/47/1073067147.db2.gz LIBNAVHUAJDSIT-UHFFFAOYSA-N 0 0 425.442 -0.047 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CCc2nnc[nH]2)CC1 ZINC000764009989 1073067751 /nfs/dbraw/zinc/06/77/51/1073067751.db2.gz CGORTRXTQQRYJD-UHFFFAOYSA-N 0 0 426.455 -0.582 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CCc3nnc[nH]3)CC2)cc1 ZINC000764011092 1073067715 /nfs/dbraw/zinc/06/77/15/1073067715.db2.gz GVUAHFNPANXFMB-UHFFFAOYSA-N 0 0 437.478 -0.178 20 0 IBADRN O=C(COC(=O)CCc1nnc[nH]1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000764012977 1073067589 /nfs/dbraw/zinc/06/75/89/1073067589.db2.gz NAIMTENIPWQYHI-UHFFFAOYSA-N 0 0 437.478 -0.382 20 0 IBADRN Cc1cc(O)cc(=O)n1[C@H](C)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000764015480 1073067804 /nfs/dbraw/zinc/06/78/04/1073067804.db2.gz HOAOBYSMIHBGKC-CABCVRRESA-N 0 0 441.506 -0.702 20 0 IBADRN Cc1cc(O)cc(=O)n1[C@@H](C)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000764015487 1073067795 /nfs/dbraw/zinc/06/77/95/1073067795.db2.gz HOAOBYSMIHBGKC-GJZGRUSLSA-N 0 0 441.506 -0.702 20 0 IBADRN Cc1cc(O)cc(=O)n1[C@H](C)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000764015491 1073067659 /nfs/dbraw/zinc/06/76/59/1073067659.db2.gz HOAOBYSMIHBGKC-HUUCEWRRSA-N 0 0 441.506 -0.702 20 0 IBADRN Cc1cc(O)cc(=O)n1[C@@H](C)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000764015494 1073067638 /nfs/dbraw/zinc/06/76/38/1073067638.db2.gz HOAOBYSMIHBGKC-LSDHHAIUSA-N 0 0 441.506 -0.702 20 0 IBADRN O=C(COC(=O)CCc1nnc[nH]1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000764015591 1073067645 /nfs/dbraw/zinc/06/76/45/1073067645.db2.gz YCTVAKIPCRNLTO-UHFFFAOYSA-N 0 0 437.478 -0.382 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N[C@H](C)C(=O)N2CCCCC2)c1 ZINC000764023141 1073067680 /nfs/dbraw/zinc/06/76/80/1073067680.db2.gz ABVMIWUJCFJAKK-CYBMUJFWSA-N 0 0 444.510 -0.387 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N[C@@H](C)C(=O)N2CCCCC2)c1 ZINC000764023143 1073067631 /nfs/dbraw/zinc/06/76/31/1073067631.db2.gz ABVMIWUJCFJAKK-ZDUSSCGKSA-N 0 0 444.510 -0.387 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC000764023209 1073068113 /nfs/dbraw/zinc/06/81/13/1073068113.db2.gz AYNRDYMCQKEPDA-UHFFFAOYSA-N 0 0 446.482 -0.603 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)c1 ZINC000764023443 1073067842 /nfs/dbraw/zinc/06/78/42/1073067842.db2.gz CJFDBTKSVVDXTP-UHFFFAOYSA-N 0 0 431.467 -0.538 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000764023494 1073067783 /nfs/dbraw/zinc/06/77/83/1073067783.db2.gz CQADZIBDZVWQLB-AWEZNQCLSA-N 0 0 425.467 -0.056 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H]2CCN(c3cc(C)nn3C)C2=O)c1 ZINC000764023500 1073068150 /nfs/dbraw/zinc/06/81/50/1073068150.db2.gz CQADZIBDZVWQLB-CQSZACIVSA-N 0 0 425.467 -0.056 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C)C(=O)NC(=O)NCC(F)(F)F)c1 ZINC000764024841 1073067851 /nfs/dbraw/zinc/06/78/51/1073067851.db2.gz HZACDJBDBMHVLC-MRVPVSSYSA-N 0 0 444.388 -0.127 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C)C(=O)NC(=O)NCC(F)(F)F)c1 ZINC000764024843 1073067688 /nfs/dbraw/zinc/06/76/88/1073067688.db2.gz HZACDJBDBMHVLC-QMMMGPOBSA-N 0 0 444.388 -0.127 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)NC(=O)NCc2ccco2)c1 ZINC000764024969 1073068180 /nfs/dbraw/zinc/06/81/80/1073068180.db2.gz KESGVYDDZUBBFC-UHFFFAOYSA-N 0 0 428.423 -0.285 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000764026524 1073068249 /nfs/dbraw/zinc/06/82/49/1073068249.db2.gz PBILABGGTWSKFC-NEPJUHHUSA-N 0 0 430.483 -0.791 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000764026547 1073068166 /nfs/dbraw/zinc/06/81/66/1073068166.db2.gz PBILABGGTWSKFC-NWDGAFQWSA-N 0 0 430.483 -0.791 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000764026552 1073068196 /nfs/dbraw/zinc/06/81/96/1073068196.db2.gz PBILABGGTWSKFC-RYUDHWBXSA-N 0 0 430.483 -0.791 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000764026556 1073068174 /nfs/dbraw/zinc/06/81/74/1073068174.db2.gz PBILABGGTWSKFC-VXGBXAGGSA-N 0 0 430.483 -0.791 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)c1 ZINC000764027702 1073068082 /nfs/dbraw/zinc/06/80/82/1073068082.db2.gz UALINWMOMXMZEQ-UHFFFAOYSA-N 0 0 442.494 -0.823 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCC[C@@H](NC(C)=O)C2)c1 ZINC000764028229 1073068096 /nfs/dbraw/zinc/06/80/96/1073068096.db2.gz DWCIFABNQZLWJI-CYBMUJFWSA-N 0 0 430.483 -0.777 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCC[C@H](NC(C)=O)C2)c1 ZINC000764028234 1073068157 /nfs/dbraw/zinc/06/81/57/1073068157.db2.gz DWCIFABNQZLWJI-ZDUSSCGKSA-N 0 0 430.483 -0.777 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCC(C(=O)OC)CC2)c1 ZINC000764029090 1073068241 /nfs/dbraw/zinc/06/82/41/1073068241.db2.gz ZMPGDVDGSYQKCN-UHFFFAOYSA-N 0 0 431.467 -0.492 20 0 IBADRN Cc1cc(O)cc(=O)n1[C@H](C)C(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000764030263 1073068074 /nfs/dbraw/zinc/06/80/74/1073068074.db2.gz KTSLBCMALWNTBW-GFCCVEGCSA-N 0 0 434.449 -0.052 20 0 IBADRN Cc1cc(O)cc(=O)n1[C@@H](C)C(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000764030266 1073068108 /nfs/dbraw/zinc/06/81/08/1073068108.db2.gz KTSLBCMALWNTBW-LBPRGKRZSA-N 0 0 434.449 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)NC2CCC3(CC2)OCCO3)c1 ZINC000764030436 1073068225 /nfs/dbraw/zinc/06/82/25/1073068225.db2.gz QPSNRZKSEDJSSO-UHFFFAOYSA-N 0 0 445.494 -0.102 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H](S(C)(=O)=O)C1)c2=O ZINC000764030660 1073068189 /nfs/dbraw/zinc/06/81/89/1073068189.db2.gz FAPBBQTYQSJARH-SECBINFHSA-N 0 0 432.300 -0.072 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H](S(C)(=O)=O)C1)c2=O ZINC000764030663 1073068063 /nfs/dbraw/zinc/06/80/63/1073068063.db2.gz FAPBBQTYQSJARH-VIFPVBQESA-N 0 0 432.300 -0.072 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCCN2C(=O)NC3(CCCC3)C2=O)c1 ZINC000764031703 1073068218 /nfs/dbraw/zinc/06/82/18/1073068218.db2.gz WHAZJHWHGOBFEL-UHFFFAOYSA-N 0 0 428.467 -0.039 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)c1 ZINC000764031705 1073068235 /nfs/dbraw/zinc/06/82/35/1073068235.db2.gz WIEWDKROMGDWCE-UHFFFAOYSA-N 0 0 430.361 -0.516 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C)C(=O)N2CCC(C(=O)OC)CC2)c1 ZINC000764031710 1073068205 /nfs/dbraw/zinc/06/82/05/1073068205.db2.gz WKVZULKXNQBCAN-GFCCVEGCSA-N 0 0 445.494 -0.104 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C)C(=O)N2CCC(C(=O)OC)CC2)c1 ZINC000764031714 1073068589 /nfs/dbraw/zinc/06/85/89/1073068589.db2.gz WKVZULKXNQBCAN-LBPRGKRZSA-N 0 0 445.494 -0.104 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)c1 ZINC000764031769 1073068504 /nfs/dbraw/zinc/06/85/04/1073068504.db2.gz GXDRCSMACOCORQ-GFCCVEGCSA-N 0 0 430.483 -0.825 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)c1 ZINC000764031770 1073068612 /nfs/dbraw/zinc/06/86/12/1073068612.db2.gz GXDRCSMACOCORQ-LBPRGKRZSA-N 0 0 430.483 -0.825 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000764033408 1073068560 /nfs/dbraw/zinc/06/85/60/1073068560.db2.gz MSKJOGYLKFYCNY-UHFFFAOYSA-N 0 0 438.528 -0.744 20 0 IBADRN Cc1cc(O)cc(=O)n1[C@H](C)C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000764034593 1073068569 /nfs/dbraw/zinc/06/85/69/1073068569.db2.gz VPZCAPXPRDXNTL-CYBMUJFWSA-N 0 0 437.474 -0.027 20 0 IBADRN Cc1cc(O)cc(=O)n1[C@@H](C)C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000764034597 1073068541 /nfs/dbraw/zinc/06/85/41/1073068541.db2.gz VPZCAPXPRDXNTL-ZDUSSCGKSA-N 0 0 437.474 -0.027 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000764036456 1073068533 /nfs/dbraw/zinc/06/85/33/1073068533.db2.gz HFQUDVQVVGVQSI-NEPJUHHUSA-N 0 0 435.524 -0.108 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000764036477 1073068474 /nfs/dbraw/zinc/06/84/74/1073068474.db2.gz HFQUDVQVVGVQSI-NWDGAFQWSA-N 0 0 435.524 -0.108 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000764036483 1073068494 /nfs/dbraw/zinc/06/84/94/1073068494.db2.gz HFQUDVQVVGVQSI-RYUDHWBXSA-N 0 0 435.524 -0.108 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000764036487 1073068458 /nfs/dbraw/zinc/06/84/58/1073068458.db2.gz HFQUDVQVVGVQSI-VXGBXAGGSA-N 0 0 435.524 -0.108 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000764036747 1073068583 /nfs/dbraw/zinc/06/85/83/1073068583.db2.gz JIDADOJZAWFAKQ-UHFFFAOYSA-N 0 0 443.526 -0.909 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)c1 ZINC000764038814 1073068441 /nfs/dbraw/zinc/06/84/41/1073068441.db2.gz XDGXPAABKYZHPZ-UHFFFAOYSA-N 0 0 426.495 -0.061 20 0 IBADRN CCN(C(=O)COC(=O)c1cc(S(=O)(=O)NC(C)C)c[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000764038899 1073068578 /nfs/dbraw/zinc/06/85/78/1073068578.db2.gz YKYLYHHILGZOAZ-GFCCVEGCSA-N 0 0 435.524 -0.106 20 0 IBADRN CCN(C(=O)COC(=O)c1cc(S(=O)(=O)NC(C)C)c[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000764038900 1073068420 /nfs/dbraw/zinc/06/84/20/1073068420.db2.gz YKYLYHHILGZOAZ-LBPRGKRZSA-N 0 0 435.524 -0.106 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000764052740 1073068597 /nfs/dbraw/zinc/06/85/97/1073068597.db2.gz BGPSIPCJZFWBTR-CYBMUJFWSA-N 0 0 443.478 -0.275 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000764052902 1073068619 /nfs/dbraw/zinc/06/86/19/1073068619.db2.gz BGPSIPCJZFWBTR-ZDUSSCGKSA-N 0 0 443.478 -0.275 20 0 IBADRN NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000764076245 1073068548 /nfs/dbraw/zinc/06/85/48/1073068548.db2.gz JJZXOGBTJGDOSJ-UHFFFAOYSA-N 0 0 429.879 -0.482 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)OC[C@@H]4C3)cc2)CC1 ZINC000764136190 1073068512 /nfs/dbraw/zinc/06/85/12/1073068512.db2.gz SHLWIDOYBBDKPH-INIZCTEOSA-N 0 0 436.490 -0.184 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN4C(=O)OC[C@H]4C3)cc2)CC1 ZINC000764136191 1073068605 /nfs/dbraw/zinc/06/86/05/1073068605.db2.gz SHLWIDOYBBDKPH-MRXNPFEDSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(CCSCCC(=O)N1CCN2C(=O)OC[C@@H]2C1)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764137043 1073068721 /nfs/dbraw/zinc/06/87/21/1073068721.db2.gz VXISJWQSZWGMRP-KBPBESRZSA-N 0 0 426.495 -0.174 20 0 IBADRN O=C(CCSCCC(=O)N1CCN2C(=O)OC[C@@H]2C1)N1CCN2C(=O)OC[C@H]2C1 ZINC000764137044 1073068782 /nfs/dbraw/zinc/06/87/82/1073068782.db2.gz VXISJWQSZWGMRP-OKILXGFUSA-N 0 0 426.495 -0.174 20 0 IBADRN O=C(CCSCCC(=O)N1CCN2C(=O)OC[C@H]2C1)N1CCN2C(=O)OC[C@H]2C1 ZINC000764137045 1073068799 /nfs/dbraw/zinc/06/87/99/1073068799.db2.gz VXISJWQSZWGMRP-ZIAGYGMSSA-N 0 0 426.495 -0.174 20 0 IBADRN COc1ccc(CC(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000764137445 1073068810 /nfs/dbraw/zinc/06/88/10/1073068810.db2.gz WSXUIXRVUMHXGW-HNNXBMFYSA-N 0 0 439.490 -0.078 20 0 IBADRN COc1ccc(CC(=O)N2CCN3C(=O)OC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000764137446 1073068904 /nfs/dbraw/zinc/06/89/04/1073068904.db2.gz WSXUIXRVUMHXGW-OAHLLOKOSA-N 0 0 439.490 -0.078 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764137673 1073068766 /nfs/dbraw/zinc/06/87/66/1073068766.db2.gz YOZVSRJPTDDUTJ-CYBMUJFWSA-N 0 0 425.463 -0.007 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764137675 1073068953 /nfs/dbraw/zinc/06/89/53/1073068953.db2.gz YOZVSRJPTDDUTJ-ZDUSSCGKSA-N 0 0 425.463 -0.007 20 0 IBADRN COc1ccc(C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000764139609 1073068706 /nfs/dbraw/zinc/06/87/06/1073068706.db2.gz AIGCMQOLOHPODN-AWEZNQCLSA-N 0 0 425.463 -0.007 20 0 IBADRN COc1ccc(C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000764139612 1073068846 /nfs/dbraw/zinc/06/88/46/1073068846.db2.gz AIGCMQOLOHPODN-CQSZACIVSA-N 0 0 425.463 -0.007 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)OC[C@@H]4C3)c2)CC1 ZINC000764141242 1073068875 /nfs/dbraw/zinc/06/88/75/1073068875.db2.gz VLCYDJDIEFUQAN-INIZCTEOSA-N 0 0 436.490 -0.184 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN4C(=O)OC[C@H]4C3)c2)CC1 ZINC000764141243 1073068931 /nfs/dbraw/zinc/06/89/31/1073068931.db2.gz VLCYDJDIEFUQAN-MRXNPFEDSA-N 0 0 436.490 -0.184 20 0 IBADRN O=C(N1CCN2C(=O)OC[C@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764150217 1073068831 /nfs/dbraw/zinc/06/88/31/1073068831.db2.gz BNZVJYLGKDYUEM-AOOOYVTPSA-N 0 0 438.334 -0.417 20 0 IBADRN O=C(N1CCN2C(=O)OC[C@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764150229 1073068692 /nfs/dbraw/zinc/06/86/92/1073068692.db2.gz BNZVJYLGKDYUEM-NXEZZACHSA-N 0 0 438.334 -0.417 20 0 IBADRN O=C(N1CCN2C(=O)OC[C@@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764150239 1073068962 /nfs/dbraw/zinc/06/89/62/1073068962.db2.gz BNZVJYLGKDYUEM-UWVGGRQHSA-N 0 0 438.334 -0.417 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000764157992 1073068890 /nfs/dbraw/zinc/06/88/90/1073068890.db2.gz WNKSOWWJOLHEOO-CABCVRRESA-N 0 0 432.543 -0.699 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000764157996 1073068941 /nfs/dbraw/zinc/06/89/41/1073068941.db2.gz WNKSOWWJOLHEOO-GJZGRUSLSA-N 0 0 432.543 -0.699 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000764157999 1073068745 /nfs/dbraw/zinc/06/87/45/1073068745.db2.gz WNKSOWWJOLHEOO-HUUCEWRRSA-N 0 0 432.543 -0.699 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000764158001 1073069193 /nfs/dbraw/zinc/06/91/93/1073069193.db2.gz WNKSOWWJOLHEOO-LSDHHAIUSA-N 0 0 432.543 -0.699 20 0 IBADRN O=Cc1ccc(OCCn2cc(C(=O)OCC(=O)N3CCNC(=O)C3)nn2)c(Cl)c1 ZINC000764181744 1073069384 /nfs/dbraw/zinc/06/93/84/1073069384.db2.gz MQHNCZWQTLGBSD-UHFFFAOYSA-N 0 0 435.824 -0.062 20 0 IBADRN C[C@H](ON=C(N)[C@@H]1COCCN1C(=O)OC(C)(C)C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000764211436 1073069322 /nfs/dbraw/zinc/06/93/22/1073069322.db2.gz MHQZDABILHCBAI-AVGNSLFASA-N 0 0 434.515 -0.187 20 0 IBADRN C[C@H](ON=C(N)[C@H]1COCCN1C(=O)OC(C)(C)C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000764211439 1073069299 /nfs/dbraw/zinc/06/92/99/1073069299.db2.gz MHQZDABILHCBAI-RWMBFGLXSA-N 0 0 434.515 -0.187 20 0 IBADRN C[C@H](ON=C(N)[C@@H]1COCCN1C(=O)OC(C)(C)C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000764211444 1073069170 /nfs/dbraw/zinc/06/91/70/1073069170.db2.gz MHQZDABILHCBAI-XQQFMLRXSA-N 0 0 434.515 -0.187 20 0 IBADRN C[C@H](ON=C(N)[C@H]1COCCN1C(=O)OC(C)(C)C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000764211448 1073069397 /nfs/dbraw/zinc/06/93/97/1073069397.db2.gz MHQZDABILHCBAI-YNEHKIRRSA-N 0 0 434.515 -0.187 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@H](C)n2ncnc2N1C ZINC000764222996 1073069407 /nfs/dbraw/zinc/06/94/07/1073069407.db2.gz KSARTWATSMTQGC-HNNXBMFYSA-N 0 0 438.558 -0.801 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@H](C)n2ncnc2N1C ZINC000764222997 1073069144 /nfs/dbraw/zinc/06/91/44/1073069144.db2.gz KSARTWATSMTQGC-OAHLLOKOSA-N 0 0 438.558 -0.801 20 0 IBADRN Cc1nc(C)n(-c2ccccc2NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)n1 ZINC000764254919 1073069741 /nfs/dbraw/zinc/06/97/41/1073069741.db2.gz USDAKEBVGJGMII-UHFFFAOYSA-N 0 0 436.494 -0.399 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N[C@H](C)CC)c1 ZINC000764258493 1073069860 /nfs/dbraw/zinc/06/98/60/1073069860.db2.gz BRPDEXGATQGLQV-GFCCVEGCSA-N 0 0 434.540 -0.243 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N[C@@H](C)CC)c1 ZINC000764258496 1073069639 /nfs/dbraw/zinc/06/96/39/1073069639.db2.gz BRPDEXGATQGLQV-LBPRGKRZSA-N 0 0 434.540 -0.243 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN3C(=O)OCC[C@@H]3C1)c2=O ZINC000764258679 1073069730 /nfs/dbraw/zinc/06/97/30/1073069730.db2.gz CCACQJCNBAPJHB-SECBINFHSA-N 0 0 425.243 -0.054 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN3C(=O)OCC[C@H]3C1)c2=O ZINC000764258680 1073069869 /nfs/dbraw/zinc/06/98/69/1073069869.db2.gz CCACQJCNBAPJHB-VIFPVBQESA-N 0 0 425.243 -0.054 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN3C(=O)OCC[C@H]3C2)c1 ZINC000764265823 1073069624 /nfs/dbraw/zinc/06/96/24/1073069624.db2.gz YDYDXFNTYHOCQJ-AWEZNQCLSA-N 0 0 426.495 -0.068 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN3C(=O)OCC[C@@H]3C2)c1 ZINC000764265824 1073069876 /nfs/dbraw/zinc/06/98/76/1073069876.db2.gz YDYDXFNTYHOCQJ-CQSZACIVSA-N 0 0 426.495 -0.068 20 0 IBADRN NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000764282065 1073069837 /nfs/dbraw/zinc/06/98/37/1073069837.db2.gz DBWIWJOXXPPIEG-LLVKDONJSA-N 0 0 448.904 -0.211 20 0 IBADRN NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000764282066 1073069698 /nfs/dbraw/zinc/06/96/98/1073069698.db2.gz DBWIWJOXXPPIEG-NSHDSACASA-N 0 0 448.904 -0.211 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764291490 1073069885 /nfs/dbraw/zinc/06/98/85/1073069885.db2.gz ATMWBUNHXJPYNQ-LLVKDONJSA-N 0 0 426.451 -0.453 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764291493 1073069763 /nfs/dbraw/zinc/06/97/63/1073069763.db2.gz ATMWBUNHXJPYNQ-NSHDSACASA-N 0 0 426.451 -0.453 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764291509 1073069801 /nfs/dbraw/zinc/06/98/01/1073069801.db2.gz BGWVKRIVZCLIMT-AWEZNQCLSA-N 0 0 438.462 -0.691 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764291511 1073069686 /nfs/dbraw/zinc/06/96/86/1073069686.db2.gz BGWVKRIVZCLIMT-CQSZACIVSA-N 0 0 438.462 -0.691 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764291634 1073069721 /nfs/dbraw/zinc/06/97/21/1073069721.db2.gz DDSNCFNOJXMZED-AWEZNQCLSA-N 0 0 432.433 -0.231 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764291636 1073069650 /nfs/dbraw/zinc/06/96/50/1073069650.db2.gz DDSNCFNOJXMZED-CQSZACIVSA-N 0 0 432.433 -0.231 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764292247 1073070147 /nfs/dbraw/zinc/07/01/47/1073070147.db2.gz FCLODMAIEPNAIH-KRWDZBQOSA-N 0 0 445.476 -0.650 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764292248 1073070136 /nfs/dbraw/zinc/07/01/36/1073070136.db2.gz FCLODMAIEPNAIH-QGZVFWFLSA-N 0 0 445.476 -0.650 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000764292335 1073070229 /nfs/dbraw/zinc/07/02/29/1073070229.db2.gz HNYVDACUFGDOHL-CYBMUJFWSA-N 0 0 438.462 -0.263 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1S(=O)(=O)NC1CC1 ZINC000764292337 1073070223 /nfs/dbraw/zinc/07/02/23/1073070223.db2.gz HNYVDACUFGDOHL-ZDUSSCGKSA-N 0 0 438.462 -0.263 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000764292356 1073070195 /nfs/dbraw/zinc/07/01/95/1073070195.db2.gz HXJYHXVUSKZQFH-INIZCTEOSA-N 0 0 431.449 -0.441 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000764292360 1073070219 /nfs/dbraw/zinc/07/02/19/1073070219.db2.gz HXJYHXVUSKZQFH-MRXNPFEDSA-N 0 0 431.449 -0.441 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1OCC(=O)NC1CC1 ZINC000764292910 1073070116 /nfs/dbraw/zinc/07/01/16/1073070116.db2.gz JAHVSXUTLGPLOH-AWEZNQCLSA-N 0 0 432.433 -0.046 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1OCC(=O)NC1CC1 ZINC000764292913 1073070109 /nfs/dbraw/zinc/07/01/09/1073070109.db2.gz JAHVSXUTLGPLOH-CQSZACIVSA-N 0 0 432.433 -0.046 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764292926 1073070172 /nfs/dbraw/zinc/07/01/72/1073070172.db2.gz JGBCGOQJZCKEJD-GFCCVEGCSA-N 0 0 426.426 -0.132 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764292930 1073070073 /nfs/dbraw/zinc/07/00/73/1073070073.db2.gz JGBCGOQJZCKEJD-LBPRGKRZSA-N 0 0 426.426 -0.132 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000764293279 1073070207 /nfs/dbraw/zinc/07/02/07/1073070207.db2.gz AWLXPAUPEHDZCV-DOMZBBRYSA-N 0 0 432.433 -0.031 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000764293281 1073070078 /nfs/dbraw/zinc/07/00/78/1073070078.db2.gz AWLXPAUPEHDZCV-IUODEOHRSA-N 0 0 432.433 -0.031 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000764293284 1073070104 /nfs/dbraw/zinc/07/01/04/1073070104.db2.gz AWLXPAUPEHDZCV-SWLSCSKDSA-N 0 0 432.433 -0.031 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000764293287 1073070235 /nfs/dbraw/zinc/07/02/35/1073070235.db2.gz AWLXPAUPEHDZCV-WFASDCNBSA-N 0 0 432.433 -0.031 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764293355 1073070126 /nfs/dbraw/zinc/07/01/26/1073070126.db2.gz BVVYKBJWVTWZPX-INIZCTEOSA-N 0 0 442.476 -0.258 20 0 IBADRN O=C(Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764293359 1073070214 /nfs/dbraw/zinc/07/02/14/1073070214.db2.gz BVVYKBJWVTWZPX-MRXNPFEDSA-N 0 0 442.476 -0.258 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764293362 1073070086 /nfs/dbraw/zinc/07/00/86/1073070086.db2.gz BWHFZFOPRUEAJM-GFCCVEGCSA-N 0 0 438.462 -0.263 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764293365 1073070240 /nfs/dbraw/zinc/07/02/40/1073070240.db2.gz BWHFZFOPRUEAJM-LBPRGKRZSA-N 0 0 438.462 -0.263 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN2C(=O)OC[C@H]2C1 ZINC000764294471 1073070702 /nfs/dbraw/zinc/07/07/02/1073070702.db2.gz IIRYXGLTJPIRAM-PBHICJAKSA-N 0 0 444.444 -0.698 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN2C(=O)OC[C@H]2C1 ZINC000764294473 1073070535 /nfs/dbraw/zinc/07/05/35/1073070535.db2.gz IIRYXGLTJPIRAM-RHSMWYFYSA-N 0 0 444.444 -0.698 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764294474 1073070716 /nfs/dbraw/zinc/07/07/16/1073070716.db2.gz IIRYXGLTJPIRAM-WMLDXEAASA-N 0 0 444.444 -0.698 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764294476 1073070688 /nfs/dbraw/zinc/07/06/88/1073070688.db2.gz IIRYXGLTJPIRAM-YOEHRIQHSA-N 0 0 444.444 -0.698 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)cn2)C[C@H](C)O1 ZINC000764294924 1073070625 /nfs/dbraw/zinc/07/06/25/1073070625.db2.gz RGIYRUJDSGNUOA-GUTXKFCHSA-N 0 0 434.453 -0.880 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)cn2)C[C@@H](C)O1 ZINC000764294925 1073070564 /nfs/dbraw/zinc/07/05/64/1073070564.db2.gz RGIYRUJDSGNUOA-NFAWXSAZSA-N 0 0 434.453 -0.880 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)cn2)C[C@@H](C)O1 ZINC000764294926 1073070553 /nfs/dbraw/zinc/07/05/53/1073070553.db2.gz RGIYRUJDSGNUOA-UMVBOHGHSA-N 0 0 434.453 -0.880 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)cn2)C[C@H](C)O1 ZINC000764294927 1073070549 /nfs/dbraw/zinc/07/05/49/1073070549.db2.gz RGIYRUJDSGNUOA-VNHYZAJKSA-N 0 0 434.453 -0.880 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)cc2)CC1 ZINC000764295025 1073070177 /nfs/dbraw/zinc/07/01/77/1073070177.db2.gz SRGIWRLQYJUUPD-GOSISDBHSA-N 0 0 443.504 -0.005 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)cc2)CC1 ZINC000764295027 1073070154 /nfs/dbraw/zinc/07/01/54/1073070154.db2.gz SRGIWRLQYJUUPD-SFHVURJKSA-N 0 0 443.504 -0.005 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764295150 1073070465 /nfs/dbraw/zinc/07/04/65/1073070465.db2.gz JXZOTYRLIPZSHR-CYBMUJFWSA-N 0 0 435.462 -0.241 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764295152 1073070640 /nfs/dbraw/zinc/07/06/40/1073070640.db2.gz JXZOTYRLIPZSHR-ZDUSSCGKSA-N 0 0 435.462 -0.241 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000764295321 1073070522 /nfs/dbraw/zinc/07/05/22/1073070522.db2.gz LBZMTYVDFDNBMB-GXTWGEPZSA-N 0 0 440.478 -0.399 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000764295323 1073070580 /nfs/dbraw/zinc/07/05/80/1073070580.db2.gz LBZMTYVDFDNBMB-JSGCOSHPSA-N 0 0 440.478 -0.399 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000764295325 1073070652 /nfs/dbraw/zinc/07/06/52/1073070652.db2.gz LBZMTYVDFDNBMB-OCCSQVGLSA-N 0 0 440.478 -0.399 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000764295328 1073070665 /nfs/dbraw/zinc/07/06/65/1073070665.db2.gz LBZMTYVDFDNBMB-TZMCWYRMSA-N 0 0 440.478 -0.399 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1 ZINC000764295755 1073070678 /nfs/dbraw/zinc/07/06/78/1073070678.db2.gz YLLUBTBVDKILRF-CYBMUJFWSA-N 0 0 426.451 -0.787 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1 ZINC000764295760 1073070727 /nfs/dbraw/zinc/07/07/27/1073070727.db2.gz YLLUBTBVDKILRF-ZDUSSCGKSA-N 0 0 426.451 -0.787 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764296294 1073070963 /nfs/dbraw/zinc/07/09/63/1073070963.db2.gz FYDFRGMHVGMJGD-GFCCVEGCSA-N 0 0 440.478 -0.063 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764296298 1073071006 /nfs/dbraw/zinc/07/10/06/1073071006.db2.gz FYDFRGMHVGMJGD-LBPRGKRZSA-N 0 0 440.478 -0.063 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1)N1CCOCC1 ZINC000764296708 1073071077 /nfs/dbraw/zinc/07/10/77/1073071077.db2.gz SMZXCJRWWSYFSR-AWEZNQCLSA-N 0 0 432.433 -0.474 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1)N1CCOCC1 ZINC000764296709 1073071012 /nfs/dbraw/zinc/07/10/12/1073071012.db2.gz SMZXCJRWWSYFSR-CQSZACIVSA-N 0 0 432.433 -0.474 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764296720 1073071029 /nfs/dbraw/zinc/07/10/29/1073071029.db2.gz TVLDTBLFGSRIHG-HNNXBMFYSA-N 0 0 445.476 -0.316 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764296721 1073071045 /nfs/dbraw/zinc/07/10/45/1073071045.db2.gz TVLDTBLFGSRIHG-OAHLLOKOSA-N 0 0 445.476 -0.316 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764296802 1073071040 /nfs/dbraw/zinc/07/10/40/1073071040.db2.gz JVMQQLWCZNUCHU-GFCCVEGCSA-N 0 0 439.494 -0.396 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764296803 1073070979 /nfs/dbraw/zinc/07/09/79/1073070979.db2.gz JVMQQLWCZNUCHU-LBPRGKRZSA-N 0 0 439.494 -0.396 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)cc2)CC1 ZINC000764297030 1073070986 /nfs/dbraw/zinc/07/09/86/1073070986.db2.gz AXYMQJWOSJFIRO-KRWDZBQOSA-N 0 0 429.477 -0.395 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)cc2)CC1 ZINC000764297031 1073070925 /nfs/dbraw/zinc/07/09/25/1073070925.db2.gz AXYMQJWOSJFIRO-QGZVFWFLSA-N 0 0 429.477 -0.395 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)cc2)CC1 ZINC000764297149 1073070973 /nfs/dbraw/zinc/07/09/73/1073070973.db2.gz DJVSKSQNGKYSFP-KRWDZBQOSA-N 0 0 445.476 -0.417 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)cc2)CC1 ZINC000764297152 1073071059 /nfs/dbraw/zinc/07/10/59/1073071059.db2.gz DJVSKSQNGKYSFP-QGZVFWFLSA-N 0 0 445.476 -0.417 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc1S(=O)(=O)N(C)C ZINC000764297665 1073070944 /nfs/dbraw/zinc/07/09/44/1073070944.db2.gz HFMLFFDBBMMHEQ-CYBMUJFWSA-N 0 0 440.478 -0.063 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1S(=O)(=O)N(C)C ZINC000764297666 1073071054 /nfs/dbraw/zinc/07/10/54/1073071054.db2.gz HFMLFFDBBMMHEQ-ZDUSSCGKSA-N 0 0 440.478 -0.063 20 0 IBADRN CN(CC(=O)Nc1sc2c(c1C(N)=O)CCC2)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000764298275 1073071084 /nfs/dbraw/zinc/07/10/84/1073071084.db2.gz AZKUGTCUZPISBK-JTQLQIEISA-N 0 0 428.536 -0.491 20 0 IBADRN CN(CC(=O)Nc1sc2c(c1C(N)=O)CCC2)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000764298276 1073070996 /nfs/dbraw/zinc/07/09/96/1073070996.db2.gz AZKUGTCUZPISBK-SNVBAGLBSA-N 0 0 428.536 -0.491 20 0 IBADRN O=C(Nc1ccc(F)c(N2CCCS2(=O)=O)c1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764299056 1073071420 /nfs/dbraw/zinc/07/14/20/1073071420.db2.gz TVKZMSWBLOQSNC-GFCCVEGCSA-N 0 0 426.426 -0.033 20 0 IBADRN O=C(Nc1ccc(F)c(N2CCCS2(=O)=O)c1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764299058 1073071484 /nfs/dbraw/zinc/07/14/84/1073071484.db2.gz TVKZMSWBLOQSNC-LBPRGKRZSA-N 0 0 426.426 -0.033 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764299175 1073071501 /nfs/dbraw/zinc/07/15/01/1073071501.db2.gz VYHXOQOZCQVZMN-AWEZNQCLSA-N 0 0 438.462 -0.691 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764299178 1073071333 /nfs/dbraw/zinc/07/13/33/1073071333.db2.gz VYHXOQOZCQVZMN-CQSZACIVSA-N 0 0 438.462 -0.691 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000764299215 1073071347 /nfs/dbraw/zinc/07/13/47/1073071347.db2.gz WPBONDQJFLZLJS-GFCCVEGCSA-N 0 0 426.426 -0.033 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000764299220 1073071431 /nfs/dbraw/zinc/07/14/31/1073071431.db2.gz WPBONDQJFLZLJS-LBPRGKRZSA-N 0 0 426.426 -0.033 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc(S(C)(=O)=O)c1 ZINC000764299756 1073071276 /nfs/dbraw/zinc/07/12/76/1073071276.db2.gz WQBSWCBTOVOQQC-CYBMUJFWSA-N 0 0 439.446 -0.132 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc(S(C)(=O)=O)c1 ZINC000764299760 1073071291 /nfs/dbraw/zinc/07/12/91/1073071291.db2.gz WQBSWCBTOVOQQC-ZDUSSCGKSA-N 0 0 439.446 -0.132 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N(CCO)CCN2CCOCC2)CC1 ZINC000764362804 1073071382 /nfs/dbraw/zinc/07/13/82/1073071382.db2.gz SNJYXMOBFQIYHQ-UHFFFAOYSA-N 0 0 443.545 -0.598 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(F)(F)C1(O)CCCCC1 ZINC000764370672 1073071319 /nfs/dbraw/zinc/07/13/19/1073071319.db2.gz FXWODZFLUUSLQJ-CYBMUJFWSA-N 0 0 444.522 -0.022 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(F)(F)C1(O)CCCCC1 ZINC000764370674 1073071370 /nfs/dbraw/zinc/07/13/70/1073071370.db2.gz FXWODZFLUUSLQJ-ZDUSSCGKSA-N 0 0 444.522 -0.022 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000764372563 1073071490 /nfs/dbraw/zinc/07/14/90/1073071490.db2.gz LQPSJJGDLMSPMO-NEPJUHHUSA-N 0 0 435.490 -0.470 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000764372566 1073071405 /nfs/dbraw/zinc/07/14/05/1073071405.db2.gz LQPSJJGDLMSPMO-NWDGAFQWSA-N 0 0 435.490 -0.470 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000764372567 1073071412 /nfs/dbraw/zinc/07/14/12/1073071412.db2.gz LQPSJJGDLMSPMO-RYUDHWBXSA-N 0 0 435.490 -0.470 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000764372570 1073071303 /nfs/dbraw/zinc/07/13/03/1073071303.db2.gz LQPSJJGDLMSPMO-VXGBXAGGSA-N 0 0 435.490 -0.470 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)N(CCO)CCN2CCOCC2)CC1 ZINC000764373651 1073071394 /nfs/dbraw/zinc/07/13/94/1073071394.db2.gz UELVZDUFWWBIDA-UHFFFAOYSA-N 0 0 429.562 -0.125 20 0 IBADRN CN1CCOc2ccc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc21 ZINC000764375606 1073071513 /nfs/dbraw/zinc/07/15/13/1073071513.db2.gz WFMORFVZTKCWAR-HNNXBMFYSA-N 0 0 443.547 -0.210 20 0 IBADRN CN1CCOc2ccc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc21 ZINC000764375612 1073071823 /nfs/dbraw/zinc/07/18/23/1073071823.db2.gz WFMORFVZTKCWAR-OAHLLOKOSA-N 0 0 443.547 -0.210 20 0 IBADRN O=C(c1cc(F)cc2n[nH]nc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000764375622 1073071863 /nfs/dbraw/zinc/07/18/63/1073071863.db2.gz WPISQJIBEBYKQL-LLVKDONJSA-N 0 0 431.471 -0.628 20 0 IBADRN O=C(c1cc(F)cc2n[nH]nc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000764375623 1073071771 /nfs/dbraw/zinc/07/17/71/1073071771.db2.gz WPISQJIBEBYKQL-NSHDSACASA-N 0 0 431.471 -0.628 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000764380861 1073071357 /nfs/dbraw/zinc/07/13/57/1073071357.db2.gz LOCORCIEFKWXKT-LBPRGKRZSA-N 0 0 431.421 -0.102 20 0 IBADRN CN(CC(C)(C)S(C)(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000764383338 1073071793 /nfs/dbraw/zinc/07/17/93/1073071793.db2.gz LRZKFPWNNWVKRX-UHFFFAOYSA-N 0 0 426.543 -0.020 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)N(CCO)CCN1CCOCC1 ZINC000764408519 1073071746 /nfs/dbraw/zinc/07/17/46/1073071746.db2.gz JKNOARCFGDGXKJ-UHFFFAOYSA-N 0 0 446.492 -0.116 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)N(CCO)CCN1CCOCC1 ZINC000764409101 1073071853 /nfs/dbraw/zinc/07/18/53/1073071853.db2.gz OBGVJLFDEBRWQL-UHFFFAOYSA-N 0 0 428.502 -0.413 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN3C(=O)OCC[C@H]3C2)CC1 ZINC000764423699 1073071891 /nfs/dbraw/zinc/07/18/91/1073071891.db2.gz HDYIXCNKYAMPCX-HNNXBMFYSA-N 0 0 441.510 -0.349 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCN3C(=O)OCC[C@@H]3C2)CC1 ZINC000764423700 1073071686 /nfs/dbraw/zinc/07/16/86/1073071686.db2.gz HDYIXCNKYAMPCX-OAHLLOKOSA-N 0 0 441.510 -0.349 20 0 IBADRN O=C1OCC[C@H]2CN(CCS(=O)(=O)CCN3CCN4C(=O)OCC[C@H]4C3)CCN12 ZINC000764429411 1073071903 /nfs/dbraw/zinc/07/19/03/1073071903.db2.gz XHNGMBWYGNCMGS-HOTGVXAUSA-N 0 0 430.527 -0.546 20 0 IBADRN O=C1OCC[C@@H]2CN(CCS(=O)(=O)CCN3CCN4C(=O)OCC[C@@H]4C3)CCN12 ZINC000764429416 1073071882 /nfs/dbraw/zinc/07/18/82/1073071882.db2.gz XHNGMBWYGNCMGS-HZPDHXFCSA-N 0 0 430.527 -0.546 20 0 IBADRN O=C1OCC[C@@H]2CN(CCS(=O)(=O)CCN3CCN4C(=O)OCC[C@H]4C3)CCN12 ZINC000764429420 1073071898 /nfs/dbraw/zinc/07/18/98/1073071898.db2.gz XHNGMBWYGNCMGS-IYBDPMFKSA-N 0 0 430.527 -0.546 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN3C(=O)OCC[C@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000764436266 1073071875 /nfs/dbraw/zinc/07/18/75/1073071875.db2.gz DFPLFBZIZWNUNC-HNNXBMFYSA-N 0 0 427.461 -0.113 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCN3C(=O)OCC[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000764436269 1073071836 /nfs/dbraw/zinc/07/18/36/1073071836.db2.gz DFPLFBZIZWNUNC-OAHLLOKOSA-N 0 0 427.461 -0.113 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)cc1 ZINC000764439588 1073071919 /nfs/dbraw/zinc/07/19/19/1073071919.db2.gz LOIBVAVAKJKAGD-AWEZNQCLSA-N 0 0 433.508 -0.157 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)cc1 ZINC000764439595 1073071731 /nfs/dbraw/zinc/07/17/31/1073071731.db2.gz LOIBVAVAKJKAGD-CQSZACIVSA-N 0 0 433.508 -0.157 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC[C@H](S(C)(=O)=O)C1 ZINC000764441950 1073071812 /nfs/dbraw/zinc/07/18/12/1073071812.db2.gz VTVYOXOFOPEWOX-HNNXBMFYSA-N 0 0 443.547 -0.138 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC000764441954 1073072408 /nfs/dbraw/zinc/07/24/08/1073072408.db2.gz VTVYOXOFOPEWOX-OAHLLOKOSA-N 0 0 443.547 -0.138 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N(CCO)CCN1CCOCC1 ZINC000764447500 1073072258 /nfs/dbraw/zinc/07/22/58/1073072258.db2.gz RBMFMKHUUXYURX-UHFFFAOYSA-N 0 0 432.465 -0.364 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2)ncn1 ZINC000764447721 1073072298 /nfs/dbraw/zinc/07/22/98/1073072298.db2.gz CJYJCNDFAOYIJX-UHFFFAOYSA-N 0 0 439.476 -0.291 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CC2)ncn1 ZINC000764449956 1073072179 /nfs/dbraw/zinc/07/21/79/1073072179.db2.gz YWAFYPRELITUMC-UHFFFAOYSA-N 0 0 437.482 -0.986 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=S)NCc3ccon3)CC2)cc1 ZINC000764451094 1073072116 /nfs/dbraw/zinc/07/21/16/1073072116.db2.gz AUJBHFLZTIXVFC-UHFFFAOYSA-N 0 0 445.548 -0.297 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@]3(OC)CCOC3)cc2)CC1 ZINC000764451963 1073072102 /nfs/dbraw/zinc/07/21/02/1073072102.db2.gz NEVXCSSIHVIABJ-NRFANRHFSA-N 0 0 434.493 -0.158 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@]3(OC)CCOC3)cc2)CC1 ZINC000764451965 1073072272 /nfs/dbraw/zinc/07/22/72/1073072272.db2.gz NEVXCSSIHVIABJ-OAQYLSRUSA-N 0 0 434.493 -0.158 20 0 IBADRN O=C(CSCC(=O)N1CCN2C(=O)OCC[C@H]2C1)N1CCN2C(=O)OCC[C@H]2C1 ZINC000764483067 1073072244 /nfs/dbraw/zinc/07/22/44/1073072244.db2.gz KOQWNMRZNSCBAW-KBPBESRZSA-N 0 0 426.495 -0.174 20 0 IBADRN O=C(CSCC(=O)N1CCN2C(=O)OCC[C@H]2C1)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000764483068 1073072163 /nfs/dbraw/zinc/07/21/63/1073072163.db2.gz KOQWNMRZNSCBAW-OKILXGFUSA-N 0 0 426.495 -0.174 20 0 IBADRN O=C(CSCC(=O)N1CCN2C(=O)OCC[C@@H]2C1)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000764483069 1073072392 /nfs/dbraw/zinc/07/23/92/1073072392.db2.gz KOQWNMRZNSCBAW-ZIAGYGMSSA-N 0 0 426.495 -0.174 20 0 IBADRN CN1CCC[C@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC000764502822 1073072218 /nfs/dbraw/zinc/07/22/18/1073072218.db2.gz GCROPWSKNCEEBZ-CABCVRRESA-N 0 0 432.520 -0.068 20 0 IBADRN CN1CCC[C@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC000764502826 1073072355 /nfs/dbraw/zinc/07/23/55/1073072355.db2.gz GCROPWSKNCEEBZ-GJZGRUSLSA-N 0 0 432.520 -0.068 20 0 IBADRN CN1CCC[C@@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC000764502829 1073072365 /nfs/dbraw/zinc/07/23/65/1073072365.db2.gz GCROPWSKNCEEBZ-HUUCEWRRSA-N 0 0 432.520 -0.068 20 0 IBADRN CN1CCC[C@@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC000764502833 1073072189 /nfs/dbraw/zinc/07/21/89/1073072189.db2.gz GCROPWSKNCEEBZ-LSDHHAIUSA-N 0 0 432.520 -0.068 20 0 IBADRN CN(CC(C)(C)S(C)(=O)=O)C(=O)COCC(=O)N(C)CC(C)(C)S(C)(=O)=O ZINC000764504472 1073072230 /nfs/dbraw/zinc/07/22/30/1073072230.db2.gz VJZUNWABULOURY-UHFFFAOYSA-N 0 0 428.573 -0.434 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000764510125 1073072204 /nfs/dbraw/zinc/07/22/04/1073072204.db2.gz UDENKGSGGFRJKQ-BJYXUANLSA-N 0 0 425.445 -0.448 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000764510129 1073072280 /nfs/dbraw/zinc/07/22/80/1073072280.db2.gz UDENKGSGGFRJKQ-IDSJHHPBSA-N 0 0 425.445 -0.448 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000764510134 1073072379 /nfs/dbraw/zinc/07/23/79/1073072379.db2.gz UDENKGSGGFRJKQ-RQTGCPSESA-N 0 0 425.445 -0.448 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000764510139 1073072736 /nfs/dbraw/zinc/07/27/36/1073072736.db2.gz UDENKGSGGFRJKQ-RTWZZBQXSA-N 0 0 425.445 -0.448 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)C1=O ZINC000764510677 1073072777 /nfs/dbraw/zinc/07/27/77/1073072777.db2.gz BZEFPXDBZIGNJT-RBZFPXEDSA-N 0 0 429.433 -0.467 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)C1=O ZINC000764510683 1073072796 /nfs/dbraw/zinc/07/27/96/1073072796.db2.gz BZEFPXDBZIGNJT-RNODOKPDSA-N 0 0 429.433 -0.467 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)C1=O ZINC000764510689 1073072545 /nfs/dbraw/zinc/07/25/45/1073072545.db2.gz BZEFPXDBZIGNJT-XCLFUZPHSA-N 0 0 429.433 -0.467 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)C1=O ZINC000764510697 1073072719 /nfs/dbraw/zinc/07/27/19/1073072719.db2.gz BZEFPXDBZIGNJT-ZUOKHONESA-N 0 0 429.433 -0.467 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000764512006 1073072703 /nfs/dbraw/zinc/07/27/03/1073072703.db2.gz JFSSMOXLUMVTJL-AWEZNQCLSA-N 0 0 442.881 -0.263 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000764512007 1073072681 /nfs/dbraw/zinc/07/26/81/1073072681.db2.gz JFSSMOXLUMVTJL-CQSZACIVSA-N 0 0 442.881 -0.263 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000764512331 1073072561 /nfs/dbraw/zinc/07/25/61/1073072561.db2.gz MTTIQEMNVQZXSW-GXTWGEPZSA-N 0 0 448.457 -0.614 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000764512332 1073072764 /nfs/dbraw/zinc/07/27/64/1073072764.db2.gz MTTIQEMNVQZXSW-JSGCOSHPSA-N 0 0 448.457 -0.614 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000764512333 1073072669 /nfs/dbraw/zinc/07/26/69/1073072669.db2.gz MTTIQEMNVQZXSW-OCCSQVGLSA-N 0 0 448.457 -0.614 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000764512334 1073072655 /nfs/dbraw/zinc/07/26/55/1073072655.db2.gz MTTIQEMNVQZXSW-TZMCWYRMSA-N 0 0 448.457 -0.614 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1)NC(=O)OCc1ccccc1 ZINC000764515186 1073072615 /nfs/dbraw/zinc/07/26/15/1073072615.db2.gz RGTCCCBSNDHRKA-GJZGRUSLSA-N 0 0 432.433 -0.003 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1)NC(=O)OCc1ccccc1 ZINC000764515190 1073072518 /nfs/dbraw/zinc/07/25/18/1073072518.db2.gz RGTCCCBSNDHRKA-LSDHHAIUSA-N 0 0 432.433 -0.003 20 0 IBADRN NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)NCCNS(=O)(=O)Cc2ccon2)c1 ZINC000764517335 1073072749 /nfs/dbraw/zinc/07/27/49/1073072749.db2.gz LJBFDZWHBVKWKI-UHFFFAOYSA-N 0 0 440.862 -0.036 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=S)NCCCN3CCOCC3)CC2)cn1C ZINC000764527986 1073072628 /nfs/dbraw/zinc/07/26/28/1073072628.db2.gz VRGWTTHSKFFVRG-UHFFFAOYSA-N 0 0 430.600 -0.368 20 0 IBADRN O=C(CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000764571884 1073072531 /nfs/dbraw/zinc/07/25/31/1073072531.db2.gz BRONCCLITBQSRE-NEPJUHHUSA-N 0 0 444.428 -0.207 20 0 IBADRN O=C(CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000764571886 1073072602 /nfs/dbraw/zinc/07/26/02/1073072602.db2.gz BRONCCLITBQSRE-NWDGAFQWSA-N 0 0 444.428 -0.207 20 0 IBADRN O=C(CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000764571890 1073072693 /nfs/dbraw/zinc/07/26/93/1073072693.db2.gz BRONCCLITBQSRE-RYUDHWBXSA-N 0 0 444.428 -0.207 20 0 IBADRN O=C(CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)NCC(F)(F)F ZINC000764571894 1073072574 /nfs/dbraw/zinc/07/25/74/1073072574.db2.gz BRONCCLITBQSRE-VXGBXAGGSA-N 0 0 444.428 -0.207 20 0 IBADRN O=C(Cc1ccc(CC(=O)OCC(=O)N2CCOCC2)cc1)OCC(=O)N1CCOCC1 ZINC000764573659 1073072588 /nfs/dbraw/zinc/07/25/88/1073072588.db2.gz FGSAYDGPGASLGV-UHFFFAOYSA-N 0 0 448.472 -0.424 20 0 IBADRN O=C(/C=C(/Br)C(=O)OCC(=O)N1CCOCC1)OCC(=O)N1CCOCC1 ZINC000764575698 1073073099 /nfs/dbraw/zinc/07/30/99/1073073099.db2.gz KMYXAZAYTZJQJN-FMIVXFBMSA-N 0 0 449.254 -0.931 20 0 IBADRN O=C(/C=C(\Br)C(=O)OCC(=O)N1CCOCC1)OCC(=O)N1CCOCC1 ZINC000764575704 1073073181 /nfs/dbraw/zinc/07/31/81/1073073181.db2.gz KMYXAZAYTZJQJN-XFXZXTDPSA-N 0 0 449.254 -0.931 20 0 IBADRN O=C(/C=C(/Br)C(=O)OCC(=O)N1CCCC1=O)OCC(=O)N1CCCC1=O ZINC000764576746 1073073058 /nfs/dbraw/zinc/07/30/58/1073073058.db2.gz MPQWFQKERCSTSM-JXMROGBWSA-N 0 0 445.222 -0.350 20 0 IBADRN O=C(/C=C(\Br)C(=O)OCC(=O)N1CCCC1=O)OCC(=O)N1CCCC1=O ZINC000764576749 1073073211 /nfs/dbraw/zinc/07/32/11/1073073211.db2.gz MPQWFQKERCSTSM-YFHOEESVSA-N 0 0 445.222 -0.350 20 0 IBADRN O=C(/C=C(\Br)C(=O)OCCN1C(=O)CCC1=O)OCCN1C(=O)CCC1=O ZINC000764576846 1073072969 /nfs/dbraw/zinc/07/29/69/1073072969.db2.gz MWTCNTKNLZWION-KTKRTIGZSA-N 0 0 445.222 -0.350 20 0 IBADRN O=C(/C=C(/Br)C(=O)OCCN1C(=O)CCC1=O)OCCN1C(=O)CCC1=O ZINC000764576852 1073073150 /nfs/dbraw/zinc/07/31/50/1073073150.db2.gz MWTCNTKNLZWION-MDZDMXLPSA-N 0 0 445.222 -0.350 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CC(=O)NCC(F)(F)F)CC1 ZINC000764576970 1073072903 /nfs/dbraw/zinc/07/29/03/1073072903.db2.gz FQFCMBXBRJQLPK-UHFFFAOYSA-N 0 0 443.386 -0.376 20 0 IBADRN CN(CCCNC(=O)CN1C(=O)N[C@@](C)(c2cccnc2Cl)C1=O)S(C)(=O)=O ZINC000764587506 1073073139 /nfs/dbraw/zinc/07/31/39/1073073139.db2.gz FUXDMBJSXXRSQV-INIZCTEOSA-N 0 0 431.902 -0.100 20 0 IBADRN CN(CCCNC(=O)CN1C(=O)N[C@](C)(c2cccnc2Cl)C1=O)S(C)(=O)=O ZINC000764587508 1073073223 /nfs/dbraw/zinc/07/32/23/1073073223.db2.gz FUXDMBJSXXRSQV-MRXNPFEDSA-N 0 0 431.902 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000764594645 1073072995 /nfs/dbraw/zinc/07/29/95/1073072995.db2.gz RISGXRLODVUWFY-INIZCTEOSA-N 0 0 426.514 -0.375 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000764594647 1073072955 /nfs/dbraw/zinc/07/29/55/1073072955.db2.gz RISGXRLODVUWFY-MRXNPFEDSA-N 0 0 426.514 -0.375 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000764594668 1073073467 /nfs/dbraw/zinc/07/34/67/1073073467.db2.gz RKTDGPXHVPXGLL-UHFFFAOYSA-N 0 0 445.568 -0.034 20 0 IBADRN CO[C@@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000764598071 1073073194 /nfs/dbraw/zinc/07/31/94/1073073194.db2.gz IDCLNPOFENMVOI-CRAIPNDOSA-N 0 0 426.491 -0.046 20 0 IBADRN CO[C@@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000764598076 1073073129 /nfs/dbraw/zinc/07/31/29/1073073129.db2.gz IDCLNPOFENMVOI-MAUKXSAKSA-N 0 0 426.491 -0.046 20 0 IBADRN CO[C@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000764598079 1073072981 /nfs/dbraw/zinc/07/29/81/1073072981.db2.gz IDCLNPOFENMVOI-QAPCUYQASA-N 0 0 426.491 -0.046 20 0 IBADRN CO[C@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000764598082 1073073115 /nfs/dbraw/zinc/07/31/15/1073073115.db2.gz IDCLNPOFENMVOI-YJBOKZPZSA-N 0 0 426.491 -0.046 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)c2ccnc3c(C(N)=O)cnn32)cc1 ZINC000764608348 1073073528 /nfs/dbraw/zinc/07/35/28/1073073528.db2.gz LQHLMJYIJPHUDF-UHFFFAOYSA-N 0 0 445.457 -0.154 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC000764619126 1073073347 /nfs/dbraw/zinc/07/33/47/1073073347.db2.gz AAUUZILBCYSETE-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC000764619128 1073073432 /nfs/dbraw/zinc/07/34/32/1073073432.db2.gz AAUUZILBCYSETE-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000764621015 1073073444 /nfs/dbraw/zinc/07/34/44/1073073444.db2.gz PJYYQMAJADBJIB-UHFFFAOYSA-N 0 0 439.534 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000764629075 1073073515 /nfs/dbraw/zinc/07/35/15/1073073515.db2.gz SJRKDZPAAVKOHG-HNNXBMFYSA-N 0 0 440.497 -0.848 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000764629079 1073073552 /nfs/dbraw/zinc/07/35/52/1073073552.db2.gz SJRKDZPAAVKOHG-OAHLLOKOSA-N 0 0 440.497 -0.848 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)Nc3n[nH]c(SCCO)n3)cc2S1(=O)=O ZINC000764632837 1073073564 /nfs/dbraw/zinc/07/35/64/1073073564.db2.gz CPDSOZGQNWPRIJ-UHFFFAOYSA-N 0 0 427.464 -0.068 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000764637630 1073073493 /nfs/dbraw/zinc/07/34/93/1073073493.db2.gz ZUWCDPPVOOWEPO-AWEZNQCLSA-N 0 0 425.573 -0.301 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC000764637631 1073073392 /nfs/dbraw/zinc/07/33/92/1073073392.db2.gz ZUWCDPPVOOWEPO-CQSZACIVSA-N 0 0 425.573 -0.301 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)Nc1n[nH]c(SCCO)n1)c2=O ZINC000764637662 1073073583 /nfs/dbraw/zinc/07/35/83/1073073583.db2.gz SHDWQWVRHMAXBY-UHFFFAOYSA-N 0 0 429.260 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000764642252 1073073501 /nfs/dbraw/zinc/07/35/01/1073073501.db2.gz NBBGTAPOUCTDKI-INIZCTEOSA-N 0 0 426.514 -0.375 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000764642255 1073073539 /nfs/dbraw/zinc/07/35/39/1073073539.db2.gz NBBGTAPOUCTDKI-MRXNPFEDSA-N 0 0 426.514 -0.375 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@H](C)C2)cc1 ZINC000764689786 1073073477 /nfs/dbraw/zinc/07/34/77/1073073477.db2.gz VMWLQLABEPMXAT-GFCCVEGCSA-N 0 0 433.508 -0.300 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCS(=O)(=O)C[C@@H](C)C2)cc1 ZINC000764689788 1073073406 /nfs/dbraw/zinc/07/34/06/1073073406.db2.gz VMWLQLABEPMXAT-LBPRGKRZSA-N 0 0 433.508 -0.300 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000764720574 1073073416 /nfs/dbraw/zinc/07/34/16/1073073416.db2.gz KGJSQHBRJBXFPM-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000764720575 1073073459 /nfs/dbraw/zinc/07/34/59/1073073459.db2.gz KGJSQHBRJBXFPM-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000764720576 1073073877 /nfs/dbraw/zinc/07/38/77/1073073877.db2.gz KGJSQHBRJBXFPM-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000764720577 1073073807 /nfs/dbraw/zinc/07/38/07/1073073807.db2.gz KGJSQHBRJBXFPM-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(C)c1cccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000764725613 1073073757 /nfs/dbraw/zinc/07/37/57/1073073757.db2.gz NTIMXJUZOYZXRL-CYBMUJFWSA-N 0 0 431.540 -0.186 20 0 IBADRN CN(C)c1cccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000764725679 1073073747 /nfs/dbraw/zinc/07/37/47/1073073747.db2.gz NTIMXJUZOYZXRL-ZDUSSCGKSA-N 0 0 431.540 -0.186 20 0 IBADRN COCC(=O)N(C)CC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000764748157 1073073735 /nfs/dbraw/zinc/07/37/35/1073073735.db2.gz HVWLCEOFFFJAAH-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000764748773 1073073825 /nfs/dbraw/zinc/07/38/25/1073073825.db2.gz JXYVLFWWBZYTRV-UHFFFAOYSA-N 0 0 447.535 -0.317 20 0 IBADRN CN(CC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCCC2)c1)S(C)(=O)=O ZINC000764749484 1073073814 /nfs/dbraw/zinc/07/38/14/1073073814.db2.gz ODXNTYXKLSTMAN-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN Cn1cc(CC(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)cn1 ZINC000764749799 1073073837 /nfs/dbraw/zinc/07/38/37/1073073837.db2.gz BSWYEOBZHXZLEV-UHFFFAOYSA-N 0 0 436.490 -0.043 20 0 IBADRN O=C(NCCOC(=O)[C@H]1CCNC(=O)C1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764749852 1073073860 /nfs/dbraw/zinc/07/38/60/1073073860.db2.gz CILPIEOLFJIBPO-HNNXBMFYSA-N 0 0 439.490 -0.493 20 0 IBADRN O=C(NCCOC(=O)[C@@H]1CCNC(=O)C1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764749856 1073073778 /nfs/dbraw/zinc/07/37/78/1073073778.db2.gz CILPIEOLFJIBPO-OAHLLOKOSA-N 0 0 439.490 -0.493 20 0 IBADRN O=C(Cn1ccccc1=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764750343 1073073870 /nfs/dbraw/zinc/07/38/70/1073073870.db2.gz GBUNMPCZAWWCMR-UHFFFAOYSA-N 0 0 449.485 -0.158 20 0 IBADRN O=C(NCCOC(=O)[C@@H]1COCCO1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764750394 1073073768 /nfs/dbraw/zinc/07/37/68/1073073768.db2.gz HBGJNFANUUDSJA-INIZCTEOSA-N 0 0 428.463 -0.604 20 0 IBADRN O=C(NCCOC(=O)[C@H]1COCCO1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764750396 1073073716 /nfs/dbraw/zinc/07/37/16/1073073716.db2.gz HBGJNFANUUDSJA-MRXNPFEDSA-N 0 0 428.463 -0.604 20 0 IBADRN O=C(CCc1nnc[nH]1)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764750436 1073073883 /nfs/dbraw/zinc/07/38/83/1073073883.db2.gz HLSCXCOBJQZMDO-UHFFFAOYSA-N 0 0 437.478 -0.269 20 0 IBADRN CC(=O)N[C@H](C)C(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764750483 1073073703 /nfs/dbraw/zinc/07/37/03/1073073703.db2.gz HYPLCJKZHVSRAQ-CYBMUJFWSA-N 0 0 427.479 -0.495 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764750488 1073073849 /nfs/dbraw/zinc/07/38/49/1073073849.db2.gz HYPLCJKZHVSRAQ-ZDUSSCGKSA-N 0 0 427.479 -0.495 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764751214 1073074106 /nfs/dbraw/zinc/07/41/06/1073074106.db2.gz KDUFNODEBSWLFP-ZDUSSCGKSA-N 0 0 443.478 -0.275 20 0 IBADRN COC(=O)N(C)CC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764751312 1073073789 /nfs/dbraw/zinc/07/37/89/1073073789.db2.gz MVAQVCNVQDWAEK-UHFFFAOYSA-N 0 0 443.478 -0.321 20 0 IBADRN COC(=O)NCC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764751353 1073073895 /nfs/dbraw/zinc/07/38/95/1073073895.db2.gz OACDEKAYUSRIRV-UHFFFAOYSA-N 0 0 429.451 -0.664 20 0 IBADRN O=C(C[C@@H]1COC(=O)C1)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764751942 1073074086 /nfs/dbraw/zinc/07/40/86/1073074086.db2.gz PKWDFYYSBAXWHC-AWEZNQCLSA-N 0 0 440.474 -0.066 20 0 IBADRN O=C(C[C@H]1COC(=O)C1)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764751943 1073074182 /nfs/dbraw/zinc/07/41/82/1073074182.db2.gz PKWDFYYSBAXWHC-CQSZACIVSA-N 0 0 440.474 -0.066 20 0 IBADRN CS(=O)(=O)CC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764752044 1073074140 /nfs/dbraw/zinc/07/41/40/1073074140.db2.gz QWVDXGMDWCNKFM-UHFFFAOYSA-N 0 0 434.492 -0.975 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764752096 1073074110 /nfs/dbraw/zinc/07/41/10/1073074110.db2.gz RPAVJWGGNXFWCA-AWEZNQCLSA-N 0 0 441.506 -0.105 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764752098 1073074169 /nfs/dbraw/zinc/07/41/69/1073074169.db2.gz RPAVJWGGNXFWCA-CQSZACIVSA-N 0 0 441.506 -0.105 20 0 IBADRN CC(=O)N(C)CC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764752137 1073074152 /nfs/dbraw/zinc/07/41/52/1073074152.db2.gz SBQVCMFIVDLTSW-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN Cc1c(C(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)nnn1C ZINC000764752774 1073074145 /nfs/dbraw/zinc/07/41/45/1073074145.db2.gz XCMHTILFMNNCMY-UHFFFAOYSA-N 0 0 437.478 -0.269 20 0 IBADRN Cn1cccc(C(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)c1=O ZINC000764752911 1073074095 /nfs/dbraw/zinc/07/40/95/1073074095.db2.gz XUGCSJGDTVHCFB-UHFFFAOYSA-N 0 0 449.485 -0.007 20 0 IBADRN Cn1cc(C(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)ccc1=O ZINC000764752942 1073074118 /nfs/dbraw/zinc/07/41/18/1073074118.db2.gz ZDTBEJAGZIGCRB-UHFFFAOYSA-N 0 0 449.485 -0.007 20 0 IBADRN COC(=O)NCCC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764752957 1073074158 /nfs/dbraw/zinc/07/41/58/1073074158.db2.gz ZTVIPJHSFYTKQI-UHFFFAOYSA-N 0 0 443.478 -0.273 20 0 IBADRN CCCC(=O)NCC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000764752963 1073074133 /nfs/dbraw/zinc/07/41/33/1073074133.db2.gz ZZGJYLQSDMEEHU-UHFFFAOYSA-N 0 0 441.506 -0.103 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000764754325 1073074067 /nfs/dbraw/zinc/07/40/67/1073074067.db2.gz AOZOWPMLKQPNCB-HNNXBMFYSA-N 0 0 448.542 -0.657 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000764754330 1073074163 /nfs/dbraw/zinc/07/41/63/1073074163.db2.gz AOZOWPMLKQPNCB-OAHLLOKOSA-N 0 0 448.542 -0.657 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CNC(=O)c3ccc(F)cc3)CC2)ccc1=O ZINC000764763405 1073074490 /nfs/dbraw/zinc/07/44/90/1073074490.db2.gz JZJYXMBFWHCXRO-UHFFFAOYSA-N 0 0 445.451 -0.350 20 0 IBADRN CN(CCOC(=O)CNC(=O)c1ccc2c(c1)OCO2)S(=O)(=O)N1CCOCC1 ZINC000764767518 1073074193 /nfs/dbraw/zinc/07/41/93/1073074193.db2.gz LJAGSFOFVUGIHT-UHFFFAOYSA-N 0 0 429.451 -0.803 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1 ZINC000764769900 1073074478 /nfs/dbraw/zinc/07/44/78/1073074478.db2.gz UHIHONYVGDMSJW-UHFFFAOYSA-N 0 0 445.494 -0.514 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)OCCN3CCOCC3=O)CC2)cc1 ZINC000764811624 1073074830 /nfs/dbraw/zinc/07/48/30/1073074830.db2.gz SRLARRLYZQNLQM-UHFFFAOYSA-N 0 0 425.507 -0.297 20 0 IBADRN COc1cccc([C@H](O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000764854934 1073074784 /nfs/dbraw/zinc/07/47/84/1073074784.db2.gz KMCWEGSUUMINMG-CVEARBPZSA-N 0 0 432.520 -0.610 20 0 IBADRN COc1cccc([C@H](O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000764854937 1073074650 /nfs/dbraw/zinc/07/46/50/1073074650.db2.gz KMCWEGSUUMINMG-HOTGVXAUSA-N 0 0 432.520 -0.610 20 0 IBADRN COc1cccc([C@@H](O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000764854939 1073075002 /nfs/dbraw/zinc/07/50/02/1073075002.db2.gz KMCWEGSUUMINMG-HZPDHXFCSA-N 0 0 432.520 -0.610 20 0 IBADRN COc1cccc([C@@H](O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000764854942 1073075097 /nfs/dbraw/zinc/07/50/97/1073075097.db2.gz KMCWEGSUUMINMG-JKSUJKDBSA-N 0 0 432.520 -0.610 20 0 IBADRN O=C([C@H](O)CCc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000764855679 1073075008 /nfs/dbraw/zinc/07/50/08/1073075008.db2.gz OVGCZWUJTSSFIH-DLBZAZTESA-N 0 0 430.548 -0.359 20 0 IBADRN O=C([C@H](O)CCc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000764855683 1073075014 /nfs/dbraw/zinc/07/50/14/1073075014.db2.gz OVGCZWUJTSSFIH-IAGOWNOFSA-N 0 0 430.548 -0.359 20 0 IBADRN O=C([C@@H](O)CCc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000764855686 1073075073 /nfs/dbraw/zinc/07/50/73/1073075073.db2.gz OVGCZWUJTSSFIH-IRXDYDNUSA-N 0 0 430.548 -0.359 20 0 IBADRN O=C([C@@H](O)CCc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000764855688 1073075131 /nfs/dbraw/zinc/07/51/31/1073075131.db2.gz OVGCZWUJTSSFIH-SJORKVTESA-N 0 0 430.548 -0.359 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000764858515 1073075120 /nfs/dbraw/zinc/07/51/20/1073075120.db2.gz UYWFCIOXMNTAQS-KBPBESRZSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000764858517 1073074981 /nfs/dbraw/zinc/07/49/81/1073074981.db2.gz UYWFCIOXMNTAQS-KGLIPLIRSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000764858518 1073075145 /nfs/dbraw/zinc/07/51/45/1073075145.db2.gz UYWFCIOXMNTAQS-UONOGXRCSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000764858520 1073074984 /nfs/dbraw/zinc/07/49/84/1073074984.db2.gz UYWFCIOXMNTAQS-ZIAGYGMSSA-N 0 0 433.527 -0.178 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000764858997 1073074680 /nfs/dbraw/zinc/07/46/80/1073074680.db2.gz YHALPWGRQUJFPE-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000764858999 1073074765 /nfs/dbraw/zinc/07/47/65/1073074765.db2.gz YHALPWGRQUJFPE-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000764859001 1073074777 /nfs/dbraw/zinc/07/47/77/1073074777.db2.gz YHALPWGRQUJFPE-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC000764859003 1073074754 /nfs/dbraw/zinc/07/47/54/1073074754.db2.gz YHALPWGRQUJFPE-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000764863803 1073074709 /nfs/dbraw/zinc/07/47/09/1073074709.db2.gz LMSVUEUTDZVQLP-UHFFFAOYSA-N 0 0 433.508 -0.491 20 0 IBADRN Cn1c(-c2cnccn2)nn(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1=S ZINC000764863998 1073074796 /nfs/dbraw/zinc/07/47/96/1073074796.db2.gz FNSSPGJPQLYAHS-UHFFFAOYSA-N 0 0 432.510 -0.631 20 0 IBADRN CCn1c(-c2cnccn2)nn(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1=S ZINC000764865673 1073074814 /nfs/dbraw/zinc/07/48/14/1073074814.db2.gz PDTXFRVOKAEFCV-UHFFFAOYSA-N 0 0 446.537 -0.149 20 0 IBADRN Cn1c(-c2ccccn2)nn(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1=S ZINC000764866858 1073075029 /nfs/dbraw/zinc/07/50/29/1073075029.db2.gz WZOCOEAHPJIEMO-UHFFFAOYSA-N 0 0 431.522 -0.026 20 0 IBADRN Cn1c(-c2cnccn2)nn(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c1=S ZINC000764878063 1073075085 /nfs/dbraw/zinc/07/50/85/1073075085.db2.gz XQCKSFXZYRAOJH-UHFFFAOYSA-N 0 0 440.555 -0.440 20 0 IBADRN CS(=O)(=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000764896027 1073075049 /nfs/dbraw/zinc/07/50/49/1073075049.db2.gz CYCPYVIPETUJKF-UHFFFAOYSA-N 0 0 449.526 -0.400 20 0 IBADRN CC1(C)C[C@@]2(NC(=O)N(CN3CCN(C(=O)C(=O)N4CCCC4)CC3)C2=O)C(C)(C)O1 ZINC000764902957 1073074988 /nfs/dbraw/zinc/07/49/88/1073074988.db2.gz UOXBEAHDPBYJFS-NRFANRHFSA-N 0 0 435.525 -0.021 20 0 IBADRN CC1(C)C[C@]2(NC(=O)N(CN3CCN(C(=O)C(=O)N4CCCC4)CC3)C2=O)C(C)(C)O1 ZINC000764902960 1073074972 /nfs/dbraw/zinc/07/49/72/1073074972.db2.gz UOXBEAHDPBYJFS-OAQYLSRUSA-N 0 0 435.525 -0.021 20 0 IBADRN CC1(C)C[C@@]2(NC(=O)N(CN3CCN(C(=O)CN4C(=O)CCC4=O)CC3)C2=O)C(C)(C)O1 ZINC000764904309 1073075022 /nfs/dbraw/zinc/07/50/22/1073075022.db2.gz KPDTYBMJDVGEJJ-NRFANRHFSA-N 0 0 449.508 -0.495 20 0 IBADRN CC1(C)C[C@]2(NC(=O)N(CN3CCN(C(=O)CN4C(=O)CCC4=O)CC3)C2=O)C(C)(C)O1 ZINC000764904312 1073074993 /nfs/dbraw/zinc/07/49/93/1073074993.db2.gz KPDTYBMJDVGEJJ-OAQYLSRUSA-N 0 0 449.508 -0.495 20 0 IBADRN Cn1c(-c2cnccn2)nn(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1=S ZINC000764906216 1073074977 /nfs/dbraw/zinc/07/49/77/1073074977.db2.gz YVGXEELMIBLJRS-UHFFFAOYSA-N 0 0 430.494 -0.341 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)CCCC2 ZINC000764920543 1073075408 /nfs/dbraw/zinc/07/54/08/1073075408.db2.gz MZMGJRKBKRBWSW-CYBMUJFWSA-N 0 0 430.552 -0.426 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)CCCC2 ZINC000764920547 1073075348 /nfs/dbraw/zinc/07/53/48/1073075348.db2.gz MZMGJRKBKRBWSW-ZDUSSCGKSA-N 0 0 430.552 -0.426 20 0 IBADRN O=C(Cc1csc2nccn12)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000764920823 1073075109 /nfs/dbraw/zinc/07/51/09/1073075109.db2.gz PIEAKXJSNITXKZ-CYBMUJFWSA-N 0 0 432.549 -0.401 20 0 IBADRN O=C(Cc1csc2nccn12)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000764920832 1073075060 /nfs/dbraw/zinc/07/50/60/1073075060.db2.gz PIEAKXJSNITXKZ-ZDUSSCGKSA-N 0 0 432.549 -0.401 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000764938911 1073075314 /nfs/dbraw/zinc/07/53/14/1073075314.db2.gz GHFMRJGUFQODEA-AWEZNQCLSA-N 0 0 438.481 -0.558 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000764939062 1073075378 /nfs/dbraw/zinc/07/53/78/1073075378.db2.gz GHFMRJGUFQODEA-CQSZACIVSA-N 0 0 438.481 -0.558 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000765036418 1073075487 /nfs/dbraw/zinc/07/54/87/1073075487.db2.gz HBFQLKJDLMFCDZ-HNNXBMFYSA-N 0 0 434.559 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000765036423 1073075357 /nfs/dbraw/zinc/07/53/57/1073075357.db2.gz HBFQLKJDLMFCDZ-OAHLLOKOSA-N 0 0 434.559 -0.294 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)CC2)nn1 ZINC000765043764 1073075655 /nfs/dbraw/zinc/07/56/55/1073075655.db2.gz YLKYWEHWZPERID-UHFFFAOYSA-N 0 0 432.462 -0.591 20 0 IBADRN COc1cccc([C@@H](O)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000765051453 1073075386 /nfs/dbraw/zinc/07/53/86/1073075386.db2.gz PBLOVGILNFDJOP-CRAIPNDOSA-N 0 0 425.507 -0.824 20 0 IBADRN COc1cccc([C@@H](O)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000765051454 1073075465 /nfs/dbraw/zinc/07/54/65/1073075465.db2.gz PBLOVGILNFDJOP-MAUKXSAKSA-N 0 0 425.507 -0.824 20 0 IBADRN COc1cccc([C@H](O)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000765051455 1073075474 /nfs/dbraw/zinc/07/54/74/1073075474.db2.gz PBLOVGILNFDJOP-QAPCUYQASA-N 0 0 425.507 -0.824 20 0 IBADRN COc1cccc([C@H](O)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000765051456 1073075295 /nfs/dbraw/zinc/07/52/95/1073075295.db2.gz PBLOVGILNFDJOP-YJBOKZPZSA-N 0 0 425.507 -0.824 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)c2ccccc2Cl)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000765052638 1073075397 /nfs/dbraw/zinc/07/53/97/1073075397.db2.gz VNBDCCRBTLRAMP-CXAGYDPISA-N 0 0 429.926 -0.179 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)c2ccccc2Cl)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000765052642 1073075419 /nfs/dbraw/zinc/07/54/19/1073075419.db2.gz VNBDCCRBTLRAMP-SUMWQHHRSA-N 0 0 429.926 -0.179 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2scnc2C(=O)OC)CC1 ZINC000765092488 1073075734 /nfs/dbraw/zinc/07/57/34/1073075734.db2.gz HXWVGKFKCDADEM-UHFFFAOYSA-N 0 0 426.542 -0.177 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)N1CCC2(CC1)OCCO2 ZINC000765093888 1073075777 /nfs/dbraw/zinc/07/57/77/1073075777.db2.gz REXBTSPMHOKAOU-INIZCTEOSA-N 0 0 439.600 -0.099 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)N1CCC2(CC1)OCCO2 ZINC000765093890 1073075750 /nfs/dbraw/zinc/07/57/50/1073075750.db2.gz REXBTSPMHOKAOU-MRXNPFEDSA-N 0 0 439.600 -0.099 20 0 IBADRN Cn1nccc1S(=O)(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000765112336 1073075875 /nfs/dbraw/zinc/07/58/75/1073075875.db2.gz NZQCKWWDTTXJRW-UHFFFAOYSA-N 0 0 428.492 -0.095 20 0 IBADRN O=C1Cc2cc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)cc3c2N1CCC3 ZINC000765112623 1073075724 /nfs/dbraw/zinc/07/57/24/1073075724.db2.gz GJFQPSOWUHAMLO-UHFFFAOYSA-N 0 0 429.520 -0.538 20 0 IBADRN COc1ccc(NC(=O)NN2CC(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000765113352 1073075662 /nfs/dbraw/zinc/07/56/62/1073075662.db2.gz KRHFAMUMDDWFJD-UHFFFAOYSA-N 0 0 427.439 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NN1CC(=O)N(C)C1=O ZINC000765114664 1073075667 /nfs/dbraw/zinc/07/56/67/1073075667.db2.gz UCKBJNIGCIEJNO-UHFFFAOYSA-N 0 0 427.439 -0.353 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC1(N3CCOCC3)CC1)c2=O ZINC000765115612 1073075899 /nfs/dbraw/zinc/07/58/99/1073075899.db2.gz JQEZSPIFVBYEAR-UHFFFAOYSA-N 0 0 425.287 -0.127 20 0 IBADRN Cn1nccc1S(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000765134917 1073075645 /nfs/dbraw/zinc/07/56/45/1073075645.db2.gz OVJVQFRJDSADSA-UHFFFAOYSA-N 0 0 449.555 -0.325 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCCCN2CCNC(=O)C2)C1=O ZINC000765142735 1073075827 /nfs/dbraw/zinc/07/58/27/1073075827.db2.gz KFZDTWDPKLYBDJ-NRFANRHFSA-N 0 0 431.493 -0.291 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCCCN2CCNC(=O)C2)C1=O ZINC000765142736 1073075864 /nfs/dbraw/zinc/07/58/64/1073075864.db2.gz KFZDTWDPKLYBDJ-OAQYLSRUSA-N 0 0 431.493 -0.291 20 0 IBADRN O=C(NCCCN1CCNC(=O)C1)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000765144225 1073075700 /nfs/dbraw/zinc/07/57/00/1073075700.db2.gz ZEHJEJIZLUXXPY-UHFFFAOYSA-N 0 0 433.534 -0.214 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(Cn3nnc4c(cnn4C)c3=O)CC2)cc1 ZINC000765145947 1073075886 /nfs/dbraw/zinc/07/58/86/1073075886.db2.gz UWCHWXOULPGKRV-UHFFFAOYSA-N 0 0 433.494 -0.112 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)[C@@H](O)c2cccnc2)CCN1C(=O)[C@@H](O)c1cccnc1 ZINC000765159203 1073076174 /nfs/dbraw/zinc/07/61/74/1073076174.db2.gz OVOWOJKJWMBLOG-BZSNNMDCSA-N 0 0 427.461 -0.629 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)[C@H](O)c2cccnc2)CCN1C(=O)[C@@H](O)c1cccnc1 ZINC000765159204 1073076286 /nfs/dbraw/zinc/07/62/86/1073076286.db2.gz OVOWOJKJWMBLOG-KSZLIROESA-N 0 0 427.461 -0.629 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)[C@@H](O)c2cccnc2)CCN1C(=O)[C@H](O)c1cccnc1 ZINC000765159205 1073076673 /nfs/dbraw/zinc/07/66/73/1073076673.db2.gz OVOWOJKJWMBLOG-OKZBNKHCSA-N 0 0 427.461 -0.629 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)[C@H](O)c2cccnc2)CCN1C(=O)[C@H](O)c1cccnc1 ZINC000765159206 1073076538 /nfs/dbraw/zinc/07/65/38/1073076538.db2.gz OVOWOJKJWMBLOG-RCCFBDPRSA-N 0 0 427.461 -0.629 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4nonc43)CC2)CC1 ZINC000765165522 1073076602 /nfs/dbraw/zinc/07/66/02/1073076602.db2.gz FAVYEAVRSMUFKH-UHFFFAOYSA-N 0 0 430.512 -0.979 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4nonc43)CC2)C[C@H](C)O1 ZINC000765166934 1073076512 /nfs/dbraw/zinc/07/65/12/1073076512.db2.gz MTUDEFMQCZUNMF-BETUJISGSA-N 0 0 445.523 -0.117 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4nonc43)CC2)C[C@@H](C)O1 ZINC000765166942 1073076637 /nfs/dbraw/zinc/07/66/37/1073076637.db2.gz MTUDEFMQCZUNMF-CHWSQXEVSA-N 0 0 445.523 -0.117 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4nonc43)CC2)C[C@H](C)O1 ZINC000765166948 1073076557 /nfs/dbraw/zinc/07/65/57/1073076557.db2.gz MTUDEFMQCZUNMF-STQMWFEESA-N 0 0 445.523 -0.117 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4nonc43)CC2)o1 ZINC000765167957 1073076525 /nfs/dbraw/zinc/07/65/25/1073076525.db2.gz WFWJENHMFNAOBJ-UHFFFAOYSA-N 0 0 441.447 -0.390 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000765215598 1073076586 /nfs/dbraw/zinc/07/65/86/1073076586.db2.gz QFFYOERYXWCEGH-NSHDSACASA-N 0 0 431.496 -0.546 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)CC2CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC000765229574 1073076570 /nfs/dbraw/zinc/07/65/70/1073076570.db2.gz JCOHJPSOGPLDAI-KRWDZBQOSA-N 0 0 445.519 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)CC2CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000765229575 1073076595 /nfs/dbraw/zinc/07/65/95/1073076595.db2.gz JCOHJPSOGPLDAI-QGZVFWFLSA-N 0 0 445.519 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(S(=O)(=O)CCS(C)(=O)=O)CC2)cc1 ZINC000765229760 1073076660 /nfs/dbraw/zinc/07/66/60/1073076660.db2.gz RGCXGFLVYDBWTA-UHFFFAOYSA-N 0 0 440.565 -0.234 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000765230000 1073076616 /nfs/dbraw/zinc/07/66/16/1073076616.db2.gz MNWCEMNBWVHCQD-UHFFFAOYSA-N 0 0 440.482 -0.296 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4nonc43)CC2)cn1C ZINC000765237455 1073076681 /nfs/dbraw/zinc/07/66/81/1073076681.db2.gz SQCMAMDNWUSPTH-UHFFFAOYSA-N 0 0 426.480 -0.040 20 0 IBADRN O=S(=O)(NCCCCCCCNS(=O)(=O)N1CCOCC1)N1CCOCC1 ZINC000765237644 1073076647 /nfs/dbraw/zinc/07/66/47/1073076647.db2.gz WPUSGZQJZXHWPI-UHFFFAOYSA-N 0 0 428.577 -0.730 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)Nc2nc(CS(C)(=O)=O)no2)CC1 ZINC000765242152 1073077020 /nfs/dbraw/zinc/07/70/20/1073077020.db2.gz OGVFGWWQKRKKSG-UHFFFAOYSA-N 0 0 446.486 -0.185 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)OC)cc2S1(=O)=O ZINC000765243182 1073076950 /nfs/dbraw/zinc/07/69/50/1073076950.db2.gz VEGVVBQFXZSAJC-AWEZNQCLSA-N 0 0 436.446 -0.285 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000765245565 1073076913 /nfs/dbraw/zinc/07/69/13/1073076913.db2.gz IOTRGVLUXFHRPK-UHFFFAOYSA-N 0 0 446.551 -0.312 20 0 IBADRN O=C(CO)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)CC1 ZINC000765261117 1073077035 /nfs/dbraw/zinc/07/70/35/1073077035.db2.gz DMFLBLZZCIZKJK-AWEZNQCLSA-N 0 0 437.474 -0.713 20 0 IBADRN O=C(CO)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)CC1 ZINC000765261121 1073076932 /nfs/dbraw/zinc/07/69/32/1073076932.db2.gz DMFLBLZZCIZKJK-CQSZACIVSA-N 0 0 437.474 -0.713 20 0 IBADRN O=C(CO)N1CCN(C(=O)CCCCCCCC(=O)N2CCN(C(=O)CO)CC2)CC1 ZINC000765261566 1073076979 /nfs/dbraw/zinc/07/69/79/1073076979.db2.gz MFHAXRHEBQWSPK-UHFFFAOYSA-N 0 0 440.541 -0.957 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(C(=O)CO)CC1 ZINC000765261605 1073077109 /nfs/dbraw/zinc/07/71/09/1073077109.db2.gz MMKRRDXJCSNMHM-INIZCTEOSA-N 0 0 441.506 -0.621 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(C(=O)CO)CC1 ZINC000765261607 1073076989 /nfs/dbraw/zinc/07/69/89/1073076989.db2.gz MMKRRDXJCSNMHM-MRXNPFEDSA-N 0 0 441.506 -0.621 20 0 IBADRN O=C(CO)N1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3Cl)CC2)CC1 ZINC000765262135 1073077072 /nfs/dbraw/zinc/07/70/72/1073077072.db2.gz SIYCEZKWXPWBBS-UHFFFAOYSA-N 0 0 430.914 -0.201 20 0 IBADRN O=C(CO)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)CC1 ZINC000765263780 1073077063 /nfs/dbraw/zinc/07/70/63/1073077063.db2.gz RDBKHMFXAIMCCF-UHFFFAOYSA-N 0 0 431.898 -0.362 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN3C(=O)OCC[C@@H]3C2)s1 ZINC000765271540 1073077086 /nfs/dbraw/zinc/07/70/86/1073077086.db2.gz IFWLNHUKLRZFRM-GFCCVEGCSA-N 0 0 430.508 -0.284 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN3C(=O)OCC[C@H]3C2)s1 ZINC000765271545 1073077044 /nfs/dbraw/zinc/07/70/44/1073077044.db2.gz IFWLNHUKLRZFRM-LBPRGKRZSA-N 0 0 430.508 -0.284 20 0 IBADRN CN(CC(C)(C)S(C)(=O)=O)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000765278461 1073076998 /nfs/dbraw/zinc/07/69/98/1073076998.db2.gz CIIYKBCOOQRETQ-GFCCVEGCSA-N 0 0 431.536 -0.032 20 0 IBADRN CN(CC(C)(C)S(C)(=O)=O)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000765278462 1073077118 /nfs/dbraw/zinc/07/71/18/1073077118.db2.gz CIIYKBCOOQRETQ-LBPRGKRZSA-N 0 0 431.536 -0.032 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)CC(C)(C)S(C)(=O)=O)s1 ZINC000765278493 1073076920 /nfs/dbraw/zinc/07/69/20/1073076920.db2.gz CZMDMIMGZXGQCG-UHFFFAOYSA-N 0 0 439.581 -0.056 20 0 IBADRN CN1CN(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000765293977 1073076940 /nfs/dbraw/zinc/07/69/40/1073076940.db2.gz LWHPBNJXBCDOCT-UHFFFAOYSA-N 0 0 434.496 -0.768 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccc2)CC1)NCCS(=O)(=O)N1CCOCC1 ZINC000765348294 1073077530 /nfs/dbraw/zinc/07/75/30/1073077530.db2.gz JRIWQXWODWSXEW-UHFFFAOYSA-N 0 0 438.550 -0.106 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccn2)CC1)NCCS(=O)(=O)N1CCOCC1 ZINC000765349502 1073077483 /nfs/dbraw/zinc/07/74/83/1073077483.db2.gz NDLMDYCIZPLVKD-UHFFFAOYSA-N 0 0 439.538 -0.711 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000765359212 1073077384 /nfs/dbraw/zinc/07/73/84/1073077384.db2.gz LREUCKQGDHPBBV-ILXRZTDVSA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000765359213 1073077466 /nfs/dbraw/zinc/07/74/66/1073077466.db2.gz LREUCKQGDHPBBV-KFWWJZLASA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000765359214 1073077443 /nfs/dbraw/zinc/07/74/43/1073077443.db2.gz LREUCKQGDHPBBV-KKUMJFAQSA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000765359215 1073077363 /nfs/dbraw/zinc/07/73/63/1073077363.db2.gz LREUCKQGDHPBBV-ZNMIVQPWSA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000765361036 1073077421 /nfs/dbraw/zinc/07/74/21/1073077421.db2.gz XYBUDRHOLVCNDL-BZUAXINKSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000765361039 1073077458 /nfs/dbraw/zinc/07/74/58/1073077458.db2.gz XYBUDRHOLVCNDL-OAGGEKHMSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000765361042 1073077320 /nfs/dbraw/zinc/07/73/20/1073077320.db2.gz XYBUDRHOLVCNDL-OWCLPIDISA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000765361045 1073077331 /nfs/dbraw/zinc/07/73/31/1073077331.db2.gz XYBUDRHOLVCNDL-PMPSAXMXSA-N 0 0 447.554 -0.374 20 0 IBADRN COc1ccc(CC(=O)OCc2nc(=O)n(C)[nH]2)cc1S(=O)(=O)N1CCOCC1 ZINC000765377260 1073077344 /nfs/dbraw/zinc/07/73/44/1073077344.db2.gz WULKJGUKILBFKT-UHFFFAOYSA-N 0 0 426.451 -0.576 20 0 IBADRN Cn1[nH]c(COC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Br)cc2)nc1=O ZINC000765385483 1073077957 /nfs/dbraw/zinc/07/79/57/1073077957.db2.gz IUIVVOVECHUUES-JTQLQIEISA-N 0 0 435.256 -0.747 20 0 IBADRN Cn1[nH]c(COC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Br)cc2)nc1=O ZINC000765385485 1073077822 /nfs/dbraw/zinc/07/78/22/1073077822.db2.gz IUIVVOVECHUUES-SNVBAGLBSA-N 0 0 435.256 -0.747 20 0 IBADRN O=C(CCC(=O)N1CCCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000765386135 1073077810 /nfs/dbraw/zinc/07/78/10/1073077810.db2.gz RKBAUQIIGZNHAD-UHFFFAOYSA-N 0 0 440.497 -0.213 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)OCc2nc(=O)n(C)[nH]2)cc1 ZINC000765387598 1073077994 /nfs/dbraw/zinc/07/79/94/1073077994.db2.gz WOLUUKKRZWZOLU-UHFFFAOYSA-N 0 0 425.467 -0.388 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NC[C@H](O)C(=O)OC)c1 ZINC000765392409 1073941535 /nfs/dbraw/zinc/94/15/35/1073941535.db2.gz DGNSUMDYOLMYON-LBPRGKRZSA-N 0 0 439.284 -0.363 20 0 IBADRN Cn1[nH]c(COC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)nc1=O ZINC000765394325 1073077505 /nfs/dbraw/zinc/07/75/05/1073077505.db2.gz ZCDUAUPTQYNPTR-UHFFFAOYSA-N 0 0 437.478 -0.535 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)NC[C@H](O)C(=O)OC)c2)CC1 ZINC000765396889 1073077498 /nfs/dbraw/zinc/07/74/98/1073077498.db2.gz UVVROSYKRPNBRQ-HNNXBMFYSA-N 0 0 433.914 -0.070 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(Br)cc2)C1=O ZINC000765396956 1073077411 /nfs/dbraw/zinc/07/74/11/1073077411.db2.gz WARIBIMRRUZFMZ-MEDUHNTESA-N 0 0 428.239 -0.134 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(Br)cc2)C1=O ZINC000765396958 1073077450 /nfs/dbraw/zinc/07/74/50/1073077450.db2.gz WARIBIMRRUZFMZ-ZBEGNZNMSA-N 0 0 428.239 -0.134 20 0 IBADRN CN1CN(C(=O)C(=O)Nc2ccc(N3CCN(S(=O)(=O)C(F)F)CC3)cc2)CC1=O ZINC000765407888 1073077720 /nfs/dbraw/zinc/07/77/20/1073077720.db2.gz CYXMQGRMQILSDU-UHFFFAOYSA-N 0 0 445.448 -0.442 20 0 IBADRN C[C@H](NC(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1)C(=O)N1CCS(=O)(=O)CC1 ZINC000765411527 1073077796 /nfs/dbraw/zinc/07/77/96/1073077796.db2.gz ZADUQXHBVMEPCO-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@@H](NC(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1)C(=O)N1CCS(=O)(=O)CC1 ZINC000765411542 1073077927 /nfs/dbraw/zinc/07/79/27/1073077927.db2.gz ZADUQXHBVMEPCO-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@H](NC(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)C(=O)N1CCS(=O)(=O)CC1 ZINC000765411543 1073077776 /nfs/dbraw/zinc/07/77/76/1073077776.db2.gz ZADUQXHBVMEPCO-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@@H](NC(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)C(=O)N1CCS(=O)(=O)CC1 ZINC000765411545 1073077788 /nfs/dbraw/zinc/07/77/88/1073077788.db2.gz ZADUQXHBVMEPCO-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@]3(CCN(C(=O)OC(C)(C)C)C3)C2)n1 ZINC000765413064 1073077733 /nfs/dbraw/zinc/07/77/33/1073077733.db2.gz HQDTWAJHPHWFBO-IBGZPJMESA-N 0 0 435.485 -0.178 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@@]3(CCN(C(=O)OC(C)(C)C)C3)C2)n1 ZINC000765413065 1073077843 /nfs/dbraw/zinc/07/78/43/1073077843.db2.gz HQDTWAJHPHWFBO-LJQANCHMSA-N 0 0 435.485 -0.178 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCc2nc(=O)n(C)[nH]2)c1 ZINC000765414569 1073077902 /nfs/dbraw/zinc/07/79/02/1073077902.db2.gz YTUPVWFHDFSCSA-UHFFFAOYSA-N 0 0 425.467 -0.388 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCc2nc(=O)n(C)[nH]2)c1 ZINC000765418431 1073078211 /nfs/dbraw/zinc/07/82/11/1073078211.db2.gz FVRIKNCTZQUTGF-UHFFFAOYSA-N 0 0 427.483 -0.100 20 0 IBADRN COc1ccc(CC(=O)N2CCOC[C@@H]2CCO)cc1S(=O)(=O)N1CCOCC1 ZINC000765429818 1073078197 /nfs/dbraw/zinc/07/81/97/1073078197.db2.gz PUXHLOWXBSHHPC-INIZCTEOSA-N 0 0 428.507 -0.132 20 0 IBADRN COc1ccc(CC(=O)N2CCOC[C@H]2CCO)cc1S(=O)(=O)N1CCOCC1 ZINC000765429826 1073078384 /nfs/dbraw/zinc/07/83/84/1073078384.db2.gz PUXHLOWXBSHHPC-MRXNPFEDSA-N 0 0 428.507 -0.132 20 0 IBADRN O=C(CCC(=O)N1CCOC[C@@H]1CCO)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000765431985 1073078139 /nfs/dbraw/zinc/07/81/39/1073078139.db2.gz ZYZDMEOJMAASQI-KRWDZBQOSA-N 0 0 439.534 -0.091 20 0 IBADRN O=C(CCC(=O)N1CCOC[C@H]1CCO)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000765431988 1073078448 /nfs/dbraw/zinc/07/84/48/1073078448.db2.gz ZYZDMEOJMAASQI-QGZVFWFLSA-N 0 0 439.534 -0.091 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCc2nc(=O)n(C)[nH]2)c1 ZINC000765433182 1073078229 /nfs/dbraw/zinc/07/82/29/1073078229.db2.gz IBNZLXQSQZIBLK-UHFFFAOYSA-N 0 0 425.467 -0.448 20 0 IBADRN C[S@@](=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000765436043 1073078302 /nfs/dbraw/zinc/07/83/02/1073078302.db2.gz BTKKVJQITDIWAX-HHHXNRCGSA-N 0 0 433.527 -0.067 20 0 IBADRN C[S@](=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000765436045 1073078358 /nfs/dbraw/zinc/07/83/58/1073078358.db2.gz BTKKVJQITDIWAX-MHZLTWQESA-N 0 0 433.527 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCC[S@@](C)=O)CC2)cc1 ZINC000765436527 1073078157 /nfs/dbraw/zinc/07/81/57/1073078157.db2.gz HEFOBSLWPCFZPX-MUUNZHRXSA-N 0 0 445.563 -0.197 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCC[S@](C)=O)CC2)cc1 ZINC000765436528 1073078374 /nfs/dbraw/zinc/07/83/74/1073078374.db2.gz HEFOBSLWPCFZPX-NDEPHWFRSA-N 0 0 445.563 -0.197 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)OCc2nc(=O)n(C)[nH]2)c1OC ZINC000765437904 1073078178 /nfs/dbraw/zinc/07/81/78/1073078178.db2.gz OGYPTSLJPQBRCT-UHFFFAOYSA-N 0 0 442.450 -0.497 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)OCc2nc(=O)n(C)[nH]2)o1 ZINC000765438739 1073078245 /nfs/dbraw/zinc/07/82/45/1073078245.db2.gz AXYYHJIBDNJSBW-JTQLQIEISA-N 0 0 428.423 -0.225 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)OCc2nc(=O)n(C)[nH]2)o1 ZINC000765438745 1073078419 /nfs/dbraw/zinc/07/84/19/1073078419.db2.gz AXYYHJIBDNJSBW-SNVBAGLBSA-N 0 0 428.423 -0.225 20 0 IBADRN Cn1[nH]c(COC(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)nc1=O ZINC000765439975 1073078563 /nfs/dbraw/zinc/07/85/63/1073078563.db2.gz ZLDRSQACZXHAQX-UHFFFAOYSA-N 0 0 447.267 -0.032 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CN3C(=O)C(=O)N(CC(C)C)C3=O)CC2)cn1C ZINC000765442465 1073078828 /nfs/dbraw/zinc/07/88/28/1073078828.db2.gz BCVYAOGAASVSAK-UHFFFAOYSA-N 0 0 426.499 -0.561 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(Cn3cc(S(=O)(=O)N(C)C)cn3)CC2)cn1C ZINC000765443749 1073078790 /nfs/dbraw/zinc/07/87/90/1073078790.db2.gz HZYAOVNREADQMK-UHFFFAOYSA-N 0 0 431.544 -0.861 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CN3C(=O)C[C@]4(CCSC4)C3=O)CC2)cn1C ZINC000765444983 1073078393 /nfs/dbraw/zinc/07/83/93/1073078393.db2.gz KLBGSEIPVXJBOG-KRWDZBQOSA-N 0 0 427.552 -0.125 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CN3C(=O)C[C@@]4(CCSC4)C3=O)CC2)cn1C ZINC000765444991 1073078780 /nfs/dbraw/zinc/07/87/80/1073078780.db2.gz KLBGSEIPVXJBOG-QGZVFWFLSA-N 0 0 427.552 -0.125 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CN3C(=O)C(=O)N(C4CCCC4)C3=O)CC2)cn1C ZINC000765445974 1073078680 /nfs/dbraw/zinc/07/86/80/1073078680.db2.gz OYCMJFDNSZFKHU-UHFFFAOYSA-N 0 0 438.510 -0.274 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CN3C(=O)C[C@H](Cc4cnn(C)c4)C3=O)CC2)cn1C ZINC000765446806 1073078834 /nfs/dbraw/zinc/07/88/34/1073078834.db2.gz SZMFMQPJCVLLSW-INIZCTEOSA-N 0 0 449.537 -0.656 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CN3C(=O)C[C@@H](Cc4cnn(C)c4)C3=O)CC2)cn1C ZINC000765446813 1073078816 /nfs/dbraw/zinc/07/88/16/1073078816.db2.gz SZMFMQPJCVLLSW-MRXNPFEDSA-N 0 0 449.537 -0.656 20 0 IBADRN CN(CC(=O)NCc1ccc(N(C)C(=O)CN(C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000765456899 1073078622 /nfs/dbraw/zinc/07/86/22/1073078622.db2.gz JNKOAHZXNSNWLX-UHFFFAOYSA-N 0 0 434.540 -0.952 20 0 IBADRN O=C(C1=NN(CCO)C(=O)CC1)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000765466391 1073078722 /nfs/dbraw/zinc/07/87/22/1073078722.db2.gz UELGTEDXCUICIC-UHFFFAOYSA-N 0 0 430.433 -0.232 20 0 IBADRN C=CC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000765467002 1073078608 /nfs/dbraw/zinc/07/86/08/1073078608.db2.gz SEJAIHDQAVKKNW-UHFFFAOYSA-N 0 0 440.497 -0.143 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C1=NN(CCO)C(=O)CC1 ZINC000765467233 1073078846 /nfs/dbraw/zinc/07/88/46/1073078846.db2.gz CZTZUBUYHHAVQV-UHFFFAOYSA-N 0 0 428.442 -0.244 20 0 IBADRN COc1ccc(NC(=O)C2=NN(CCO)C(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000765468817 1073078841 /nfs/dbraw/zinc/07/88/41/1073078841.db2.gz HERSDDASAFKFKC-UHFFFAOYSA-N 0 0 440.478 -0.375 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C3=NN(CCO)C(=O)CC3)c2)CC1 ZINC000765469296 1073078592 /nfs/dbraw/zinc/07/85/92/1073078592.db2.gz IWKNNBAQWYMXGM-UHFFFAOYSA-N 0 0 437.522 -0.078 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C2=NN(CCO)C(=O)CC2)CC1 ZINC000765471458 1073079021 /nfs/dbraw/zinc/07/90/21/1073079021.db2.gz SMMCYFQUFFRXJZ-UHFFFAOYSA-N 0 0 429.543 -0.389 20 0 IBADRN O=C(COc1ccccc1CNC(=O)C1=NN(CCO)C(=O)CC1)NC[C@@H]1CCCO1 ZINC000765472215 1073079076 /nfs/dbraw/zinc/07/90/76/1073079076.db2.gz MGZSVPDMLVKPLG-INIZCTEOSA-N 0 0 432.477 -0.053 20 0 IBADRN O=C(COc1ccccc1CNC(=O)C1=NN(CCO)C(=O)CC1)NC[C@H]1CCCO1 ZINC000765472216 1073079038 /nfs/dbraw/zinc/07/90/38/1073079038.db2.gz MGZSVPDMLVKPLG-MRXNPFEDSA-N 0 0 432.477 -0.053 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCN(c3cccc(F)c3)C(=O)C2)n1 ZINC000765473442 1073079108 /nfs/dbraw/zinc/07/91/08/1073079108.db2.gz HKNNHLHYYHVUQY-UHFFFAOYSA-N 0 0 437.453 -0.119 20 0 IBADRN O=C(C1=NN(CCO)C(=O)CC1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000765473968 1073079137 /nfs/dbraw/zinc/07/91/37/1073079137.db2.gz CGVLHQYUQAOMGT-UHFFFAOYSA-N 0 0 434.478 -0.634 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)NCc1ccc(N(C)C(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000765476713 1073079053 /nfs/dbraw/zinc/07/90/53/1073079053.db2.gz QTOCYRHWWJMRIX-NEPJUHHUSA-N 0 0 434.540 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N(C)c1ccc(CNC(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000765476714 1073079009 /nfs/dbraw/zinc/07/90/09/1073079009.db2.gz QTOCYRHWWJMRIX-NWDGAFQWSA-N 0 0 434.540 -0.859 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)NCc1ccc(N(C)C(=O)[C@H](C)NS(C)(=O)=O)cc1 ZINC000765476715 1073079000 /nfs/dbraw/zinc/07/90/00/1073079000.db2.gz QTOCYRHWWJMRIX-RYUDHWBXSA-N 0 0 434.540 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)NCc1ccc(N(C)C(=O)[C@@H](C)NS(C)(=O)=O)cc1 ZINC000765476716 1073079161 /nfs/dbraw/zinc/07/91/61/1073079161.db2.gz QTOCYRHWWJMRIX-VXGBXAGGSA-N 0 0 434.540 -0.859 20 0 IBADRN CCS(=O)(=O)NCC(=O)NCc1ccc(N(C)C(=O)CNS(=O)(=O)CC)cc1 ZINC000765477534 1073079014 /nfs/dbraw/zinc/07/90/14/1073079014.db2.gz DLWHCNJVZPPFNG-UHFFFAOYSA-N 0 0 434.540 -0.856 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)NCc1ccc(N(C)C(=O)CS(=O)(=O)N(C)C)cc1 ZINC000765479044 1073079127 /nfs/dbraw/zinc/07/91/27/1073079127.db2.gz JJJDIODUCLBYCX-UHFFFAOYSA-N 0 0 434.540 -0.952 20 0 IBADRN CN(C(=O)CCNS(C)(=O)=O)c1ccc(CNC(=O)CCNS(C)(=O)=O)cc1 ZINC000765483274 1073079154 /nfs/dbraw/zinc/07/91/54/1073079154.db2.gz UVPFGHMCWUVISF-UHFFFAOYSA-N 0 0 434.540 -0.856 20 0 IBADRN CN(C(=O)CN1C(=O)CN(C)C1=O)c1ccc(CNC(=O)CN2C(=O)CN(C)C2=O)cc1 ZINC000765484015 1073079143 /nfs/dbraw/zinc/07/91/43/1073079143.db2.gz WMTWWWLRRYDESQ-UHFFFAOYSA-N 0 0 444.448 -0.946 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N2CCN(c3ccccc3)C(=O)C2)c1=O ZINC000765493773 1073079404 /nfs/dbraw/zinc/07/94/04/1073079404.db2.gz BMOFZFICCOHZTL-UHFFFAOYSA-N 0 0 446.485 -0.293 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(c2ncncc2Br)CC1 ZINC000765511831 1073080089 /nfs/dbraw/zinc/08/00/89/1073080089.db2.gz FHASMERLBHHKJR-JTQLQIEISA-N 0 0 425.330 -0.122 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(c2ncncc2Br)CC1 ZINC000765511833 1073079985 /nfs/dbraw/zinc/07/99/85/1073079985.db2.gz FHASMERLBHHKJR-SNVBAGLBSA-N 0 0 425.330 -0.122 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=S)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000765518193 1073080386 /nfs/dbraw/zinc/08/03/86/1073080386.db2.gz UYRGLSTYYAEUPX-AWEZNQCLSA-N 0 0 442.563 -0.071 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=S)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000765518196 1073080399 /nfs/dbraw/zinc/08/03/99/1073080399.db2.gz UYRGLSTYYAEUPX-CQSZACIVSA-N 0 0 442.563 -0.071 20 0 IBADRN NC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000765560167 1073082682 /nfs/dbraw/zinc/08/26/82/1073082682.db2.gz QZMPOEMSIZDCAZ-UHFFFAOYSA-N 0 0 429.474 -0.777 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCNC(N)=O)CC2)cc1 ZINC000765560581 1073082726 /nfs/dbraw/zinc/08/27/26/1073082726.db2.gz VEXRUXJKRRULOV-UHFFFAOYSA-N 0 0 441.510 -0.907 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000765568870 1073083486 /nfs/dbraw/zinc/08/34/86/1073083486.db2.gz TYCHRSFEFNVJHI-UHFFFAOYSA-N 0 0 432.481 -0.861 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000765569296 1073083565 /nfs/dbraw/zinc/08/35/65/1073083565.db2.gz YOKLQGMFZRMCHT-UHFFFAOYSA-N 0 0 431.493 -0.256 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000765574651 1073084238 /nfs/dbraw/zinc/08/42/38/1073084238.db2.gz CCWAUBAOVLKCOV-CVEARBPZSA-N 0 0 426.514 -0.328 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000765574654 1073084343 /nfs/dbraw/zinc/08/43/43/1073084343.db2.gz CCWAUBAOVLKCOV-HOTGVXAUSA-N 0 0 426.514 -0.328 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000765574655 1073084482 /nfs/dbraw/zinc/08/44/82/1073084482.db2.gz CCWAUBAOVLKCOV-HZPDHXFCSA-N 0 0 426.514 -0.328 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000765574656 1073084374 /nfs/dbraw/zinc/08/43/74/1073084374.db2.gz CCWAUBAOVLKCOV-JKSUJKDBSA-N 0 0 426.514 -0.328 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC(O)(C(C)C)C2)c(=O)[nH]c1=O ZINC000765587912 1073085575 /nfs/dbraw/zinc/08/55/75/1073085575.db2.gz BOYQIDCDAUBFIN-UHFFFAOYSA-N 0 0 439.513 -0.070 20 0 IBADRN CC(C)C1(O)CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000765596623 1073086253 /nfs/dbraw/zinc/08/62/53/1073086253.db2.gz MMXZWLOQGFRWGX-AWEZNQCLSA-N 0 0 441.506 -0.401 20 0 IBADRN CC(C)C1(O)CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000765596628 1073086203 /nfs/dbraw/zinc/08/62/03/1073086203.db2.gz MMXZWLOQGFRWGX-CQSZACIVSA-N 0 0 441.506 -0.401 20 0 IBADRN Cn1cnn(CC(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)c1=O ZINC000765609993 1073087240 /nfs/dbraw/zinc/08/72/40/1073087240.db2.gz ORAZYFSEKCXAKO-UHFFFAOYSA-N 0 0 437.478 -0.661 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)N2CCOC[C@H]2C2CC2)c(=O)n(C)c1=O ZINC000765621760 1073088843 /nfs/dbraw/zinc/08/88/43/1073088843.db2.gz QKMXNTVQTIRTBL-JTQLQIEISA-N 0 0 426.271 -0.166 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)N2CCOC[C@@H]2C2CC2)c(=O)n(C)c1=O ZINC000765621770 1073088939 /nfs/dbraw/zinc/08/89/39/1073088939.db2.gz QKMXNTVQTIRTBL-SNVBAGLBSA-N 0 0 426.271 -0.166 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N1CCOC[C@H]1C1CC1 ZINC000765622422 1073088901 /nfs/dbraw/zinc/08/89/01/1073088901.db2.gz BKKMAPOUCHHLSF-AWEZNQCLSA-N 0 0 447.473 -0.009 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CC(=O)N1CCOC[C@@H]1C1CC1 ZINC000765622423 1073088961 /nfs/dbraw/zinc/08/89/61/1073088961.db2.gz BKKMAPOUCHHLSF-CQSZACIVSA-N 0 0 447.473 -0.009 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000765642177 1073089895 /nfs/dbraw/zinc/08/98/95/1073089895.db2.gz JCVQXQIJJBRIKK-UHFFFAOYSA-N 0 0 429.477 -0.121 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000765643802 1073090048 /nfs/dbraw/zinc/09/00/48/1073090048.db2.gz WUIHCTGQIHYSLV-INIZCTEOSA-N 0 0 427.552 -0.149 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000765643804 1073089812 /nfs/dbraw/zinc/08/98/12/1073089812.db2.gz WUIHCTGQIHYSLV-MRXNPFEDSA-N 0 0 427.552 -0.149 20 0 IBADRN COC(=O)CCN(CC(OC)OC)C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000765671493 1073090269 /nfs/dbraw/zinc/09/02/69/1073090269.db2.gz FDRJYLORTYLRMM-UHFFFAOYSA-N 0 0 447.510 -0.330 20 0 IBADRN CCc1c(C(=O)N(CCC(=O)OC)CC(OC)OC)cnc2c1c(=O)n(C)c(=O)n2C ZINC000765673351 1073090163 /nfs/dbraw/zinc/09/01/63/1073090163.db2.gz VVYRWQRLRBMMNH-UHFFFAOYSA-N 0 0 436.465 -0.181 20 0 IBADRN COC(=O)CCN(CC(OC)OC)C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000765673499 1073090412 /nfs/dbraw/zinc/09/04/12/1073090412.db2.gz XGDRRTQQPPQHMK-UHFFFAOYSA-N 0 0 439.450 -0.278 20 0 IBADRN COC(=O)c1cc(C(=O)OC)n(CN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000765674074 1073090354 /nfs/dbraw/zinc/09/03/54/1073090354.db2.gz LUIWWBQQJGYBIC-UHFFFAOYSA-N 0 0 437.478 -0.117 20 0 IBADRN O=C1C[C@H](c2cccnc2)CN1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000765674640 1073090398 /nfs/dbraw/zinc/09/03/98/1073090398.db2.gz FVEDLXBRISZNFF-DLBZAZTESA-N 0 0 442.563 -0.511 20 0 IBADRN O=C1C[C@@H](c2cccnc2)CN1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000765674641 1073090433 /nfs/dbraw/zinc/09/04/33/1073090433.db2.gz FVEDLXBRISZNFF-IAGOWNOFSA-N 0 0 442.563 -0.511 20 0 IBADRN O=C1C[C@H](c2cccnc2)CN1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000765674644 1073090449 /nfs/dbraw/zinc/09/04/49/1073090449.db2.gz FVEDLXBRISZNFF-IRXDYDNUSA-N 0 0 442.563 -0.511 20 0 IBADRN O=C1C[C@@H](c2cccnc2)CN1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000765674645 1073090283 /nfs/dbraw/zinc/09/02/83/1073090283.db2.gz FVEDLXBRISZNFF-SJORKVTESA-N 0 0 442.563 -0.511 20 0 IBADRN COC(=O)CCN(CC(OC)OC)C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000765682056 1073090250 /nfs/dbraw/zinc/09/02/50/1073090250.db2.gz MSRCCBKJINCJCK-UHFFFAOYSA-N 0 0 434.467 -0.075 20 0 IBADRN COC(=O)c1cc(C(=O)OC)n(CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1 ZINC000765695460 1073090189 /nfs/dbraw/zinc/09/01/89/1073090189.db2.gz SJFMQFWVYKBWBQ-UHFFFAOYSA-N 0 0 445.480 -0.137 20 0 IBADRN COC(=O)c1cc(C(=O)OC)n(CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1 ZINC000765703794 1073090670 /nfs/dbraw/zinc/09/06/70/1073090670.db2.gz FQWIINIHQBZJNK-UHFFFAOYSA-N 0 0 440.482 -0.538 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCS(=O)(=O)CC2CC2)c1 ZINC000765703976 1073090879 /nfs/dbraw/zinc/09/08/79/1073090879.db2.gz BCYJRDYGTYWMKX-UHFFFAOYSA-N 0 0 433.552 -0.180 20 0 IBADRN COC(=O)c1cc(C(=O)OC)n(CN2CCN(S(=O)(=O)CCOC(C)C)CC2)n1 ZINC000765704686 1073090597 /nfs/dbraw/zinc/09/05/97/1073090597.db2.gz OLJUBPYIPDNIDC-UHFFFAOYSA-N 0 0 432.499 -0.214 20 0 IBADRN COC(=O)c1cc(C(=O)OC)n(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)n1 ZINC000765708438 1073090612 /nfs/dbraw/zinc/09/06/12/1073090612.db2.gz UDGZFCMALDKUIW-UHFFFAOYSA-N 0 0 427.439 -0.451 20 0 IBADRN O=C(NCCS(=O)(=O)CC1CC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000765714025 1073090571 /nfs/dbraw/zinc/09/05/71/1073090571.db2.gz BSHKCEIVYOJICY-UHFFFAOYSA-N 0 0 429.520 -0.638 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCS(=O)(=O)CC2CC2)c1 ZINC000765717304 1073090750 /nfs/dbraw/zinc/09/07/50/1073090750.db2.gz GBGLAUCAECAKJP-UHFFFAOYSA-N 0 0 431.536 -0.392 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCS(=O)(=O)CC1CC1)c2=O ZINC000765717508 1073090626 /nfs/dbraw/zinc/09/06/26/1073090626.db2.gz SWJVQPPLTLWRRS-UHFFFAOYSA-N 0 0 432.300 -0.167 20 0 IBADRN O=C(NCCS(=O)(=O)CC1CC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000765718186 1073091266 /nfs/dbraw/zinc/09/12/66/1073091266.db2.gz UOMKYSKPLCIMKZ-UHFFFAOYSA-N 0 0 429.520 -0.638 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000765738499 1073091399 /nfs/dbraw/zinc/09/13/99/1073091399.db2.gz FVJHCEZJTHSNKX-AWEZNQCLSA-N 0 0 428.515 -0.025 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000765738500 1073091653 /nfs/dbraw/zinc/09/16/53/1073091653.db2.gz FVJHCEZJTHSNKX-CQSZACIVSA-N 0 0 428.515 -0.025 20 0 IBADRN COC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000765739025 1073091775 /nfs/dbraw/zinc/09/17/75/1073091775.db2.gz LRSVFCOVZRENBJ-UHFFFAOYSA-N 0 0 428.515 -0.025 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000765748364 1073092103 /nfs/dbraw/zinc/09/21/03/1073092103.db2.gz LDBANTLOQGRRQO-AWEZNQCLSA-N 0 0 434.536 -0.286 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000765748367 1073091900 /nfs/dbraw/zinc/09/19/00/1073091900.db2.gz LDBANTLOQGRRQO-CQSZACIVSA-N 0 0 434.536 -0.286 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000765749348 1073092089 /nfs/dbraw/zinc/09/20/89/1073092089.db2.gz XBYREPVUOGZUFU-ZDUSSCGKSA-N 0 0 425.577 -0.996 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000765758195 1073106308 /nfs/dbraw/zinc/10/63/08/1073106308.db2.gz KFAAOGMGJUAQRA-LBPRGKRZSA-N 0 0 428.602 -0.194 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000765776564 1073119966 /nfs/dbraw/zinc/11/99/66/1073119966.db2.gz REJULMHVFCATMM-UHFFFAOYSA-N 0 0 436.490 -0.371 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cc(S(N)(=O)=O)ccc3F)CC2)cn1C ZINC000765780669 1073120923 /nfs/dbraw/zinc/12/09/23/1073120923.db2.gz SMSNWGCPZUJJNA-UHFFFAOYSA-N 0 0 445.498 -0.338 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)C(C)(C)C)CC2)cn1C ZINC000765781597 1073120976 /nfs/dbraw/zinc/12/09/76/1073120976.db2.gz VWWAAESCSUBTSN-UHFFFAOYSA-N 0 0 435.572 -0.042 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(F)c(S(N)(=O)=O)c3)CC2)cn1C ZINC000765781973 1073120872 /nfs/dbraw/zinc/12/08/72/1073120872.db2.gz DNQFKEPWKQQNRT-UHFFFAOYSA-N 0 0 445.498 -0.338 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCS(=O)(=O)CC3CC3)CC2)cn1 ZINC000765797065 1073120902 /nfs/dbraw/zinc/12/09/02/1073120902.db2.gz CFCXVZZWQBNLHV-UHFFFAOYSA-N 0 0 433.556 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@]3(O)CCSC3)C2)CC1 ZINC000765837106 1073134732 /nfs/dbraw/zinc/13/47/32/1073134732.db2.gz CCZRIQFUCATDCP-KRWDZBQOSA-N 0 0 435.568 -0.052 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@]3(O)CCSC3)C2)CC1 ZINC000765837107 1073134716 /nfs/dbraw/zinc/13/47/16/1073134716.db2.gz CCZRIQFUCATDCP-QGZVFWFLSA-N 0 0 435.568 -0.052 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CNC(=O)[C@]1(O)CCSC1)CNC(=O)[C@@]1(O)CCSC1 ZINC000765841132 1073135464 /nfs/dbraw/zinc/13/54/64/1073135464.db2.gz PNIHURGEGSPHQX-KDUIPTSRSA-N 0 0 449.595 -0.152 20 0 IBADRN CC(C)(C)OC(=O)NC(CNC(=O)[C@@]1(O)CCSC1)CNC(=O)[C@@]1(O)CCSC1 ZINC000765841133 1073135469 /nfs/dbraw/zinc/13/54/69/1073135469.db2.gz PNIHURGEGSPHQX-QZTJIDSGSA-N 0 0 449.595 -0.152 20 0 IBADRN CC(C)(C)OC(=O)NC(CNC(=O)[C@]1(O)CCSC1)CNC(=O)[C@]1(O)CCSC1 ZINC000765841134 1073135420 /nfs/dbraw/zinc/13/54/20/1073135420.db2.gz PNIHURGEGSPHQX-ROUUACIJSA-N 0 0 449.595 -0.152 20 0 IBADRN Cn1cc(/C=C/C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000765841870 1073136003 /nfs/dbraw/zinc/13/60/03/1073136003.db2.gz RPNOUAYMVGGFHP-BLGFXRMMSA-N 0 0 426.473 -0.317 20 0 IBADRN Cn1cc(/C=C\C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000765841871 1073135823 /nfs/dbraw/zinc/13/58/23/1073135823.db2.gz RPNOUAYMVGGFHP-CNZFZVQHSA-N 0 0 426.473 -0.317 20 0 IBADRN Cn1cc(/C=C/C(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000765841872 1073135863 /nfs/dbraw/zinc/13/58/63/1073135863.db2.gz RPNOUAYMVGGFHP-GFOMBABLSA-N 0 0 426.473 -0.317 20 0 IBADRN Cn1cc(/C=C\C(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000765841873 1073135994 /nfs/dbraw/zinc/13/59/94/1073135994.db2.gz RPNOUAYMVGGFHP-GIFJBRJJSA-N 0 0 426.473 -0.317 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000765871098 1073142144 /nfs/dbraw/zinc/14/21/44/1073142144.db2.gz JEZLJPIBTJTNHR-AWEZNQCLSA-N 0 0 429.543 -0.396 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000765871287 1073142021 /nfs/dbraw/zinc/14/20/21/1073142021.db2.gz LPSRPWCVYYYLTQ-HNNXBMFYSA-N 0 0 431.559 -0.686 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000765873013 1073142191 /nfs/dbraw/zinc/14/21/91/1073142191.db2.gz TZLUUGOMRXGIPO-HNNXBMFYSA-N 0 0 431.559 -0.686 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000765882476 1073142673 /nfs/dbraw/zinc/14/26/73/1073142673.db2.gz UPAIGBXQAVBVCI-AWEZNQCLSA-N 0 0 449.555 -0.027 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000765882793 1073143347 /nfs/dbraw/zinc/14/33/47/1073143347.db2.gz SULCOHIRSUMKGT-UHFFFAOYSA-N 0 0 431.490 -0.482 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000765887466 1073142479 /nfs/dbraw/zinc/14/24/79/1073142479.db2.gz OHIZOVLEWSJDMT-KRWDZBQOSA-N 0 0 427.523 -0.183 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC000765887467 1073142460 /nfs/dbraw/zinc/14/24/60/1073142460.db2.gz OHIZOVLEWSJDMT-QGZVFWFLSA-N 0 0 427.523 -0.183 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC1(N2CCOCC2)CC1 ZINC000765894883 1073143798 /nfs/dbraw/zinc/14/37/98/1073143798.db2.gz LJXNQIWFYMPTMP-UHFFFAOYSA-N 0 0 436.534 -0.193 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC2(N3CCOCC3)CC2)cc1 ZINC000765896841 1073144395 /nfs/dbraw/zinc/14/43/95/1073144395.db2.gz QDAOYIOIRCOMBH-UHFFFAOYSA-N 0 0 426.495 -0.212 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000765897952 1073144437 /nfs/dbraw/zinc/14/44/37/1073144437.db2.gz OUDNWRBVYVLCOK-MSOLQXFVSA-N 0 0 445.520 -0.049 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000765897967 1073144344 /nfs/dbraw/zinc/14/43/44/1073144344.db2.gz OUDNWRBVYVLCOK-QZTJIDSGSA-N 0 0 445.520 -0.049 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000765897969 1073144349 /nfs/dbraw/zinc/14/43/49/1073144349.db2.gz OUDNWRBVYVLCOK-ROUUACIJSA-N 0 0 445.520 -0.049 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000765897972 1073144495 /nfs/dbraw/zinc/14/44/95/1073144495.db2.gz OUDNWRBVYVLCOK-ZWKOTPCHSA-N 0 0 445.520 -0.049 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000765902901 1073145535 /nfs/dbraw/zinc/14/55/35/1073145535.db2.gz HNQKTBRVSAGBHH-IZPCHGGPSA-N 0 0 425.507 -0.428 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000765902903 1073145477 /nfs/dbraw/zinc/14/54/77/1073145477.db2.gz HNQKTBRVSAGBHH-MLNJSSBLSA-N 0 0 425.507 -0.428 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000765902904 1073145469 /nfs/dbraw/zinc/14/54/69/1073145469.db2.gz HNQKTBRVSAGBHH-MMEWPQADSA-N 0 0 425.507 -0.428 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000765902906 1073145376 /nfs/dbraw/zinc/14/53/76/1073145376.db2.gz HNQKTBRVSAGBHH-ONSXPEOJSA-N 0 0 425.507 -0.428 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)CC1 ZINC000765903506 1073144954 /nfs/dbraw/zinc/14/49/54/1073144954.db2.gz JDBVZMRMFPBNDS-GFCCVEGCSA-N 0 0 434.474 -0.128 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)CC1 ZINC000765903507 1073144938 /nfs/dbraw/zinc/14/49/38/1073144938.db2.gz JDBVZMRMFPBNDS-LBPRGKRZSA-N 0 0 434.474 -0.128 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000765903518 1073144975 /nfs/dbraw/zinc/14/49/75/1073144975.db2.gz JJKNUVJRFANGMF-GFCCVEGCSA-N 0 0 437.884 -0.734 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000765903527 1073145010 /nfs/dbraw/zinc/14/50/10/1073145010.db2.gz JJKNUVJRFANGMF-LBPRGKRZSA-N 0 0 437.884 -0.734 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000765904293 1073146120 /nfs/dbraw/zinc/14/61/20/1073146120.db2.gz MVSQSMYSGDWZQN-CYBMUJFWSA-N 0 0 427.479 -0.900 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000765904300 1073146103 /nfs/dbraw/zinc/14/61/03/1073146103.db2.gz MVSQSMYSGDWZQN-ZDUSSCGKSA-N 0 0 427.479 -0.900 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000765907837 1073146914 /nfs/dbraw/zinc/14/69/14/1073146914.db2.gz ZAPQQXFCRKKPRL-AWEZNQCLSA-N 0 0 431.493 -0.622 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000765907839 1073146985 /nfs/dbraw/zinc/14/69/85/1073146985.db2.gz ZAPQQXFCRKKPRL-CQSZACIVSA-N 0 0 431.493 -0.622 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)cc2)CC1 ZINC000765915273 1073149505 /nfs/dbraw/zinc/14/95/05/1073149505.db2.gz SPHJPEAEOALJSD-GOSISDBHSA-N 0 0 443.504 -0.247 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)cc2)CC1 ZINC000765915274 1073149470 /nfs/dbraw/zinc/14/94/70/1073149470.db2.gz SPHJPEAEOALJSD-SFHVURJKSA-N 0 0 443.504 -0.247 20 0 IBADRN COC(=O)CCN(CC(OC)OC)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC000765945937 1073154649 /nfs/dbraw/zinc/15/46/49/1073154649.db2.gz RPKSBODEQSJCBN-UHFFFAOYSA-N 0 0 430.439 -0.793 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000765946891 1073157471 /nfs/dbraw/zinc/15/74/71/1073157471.db2.gz MVYVSMZBYGLYEN-UHFFFAOYSA-N 0 0 430.435 -0.651 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)CC3CC3)CC2)o1 ZINC000765955743 1073164051 /nfs/dbraw/zinc/16/40/51/1073164051.db2.gz BVSQBVUTJKQSCR-UHFFFAOYSA-N 0 0 448.523 -0.781 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OC[C@H]1CCCN1CC(=O)OC(C)(C)C)c(=O)n2C ZINC000765959557 1073165162 /nfs/dbraw/zinc/16/51/62/1073165162.db2.gz GKSNCBOYGQVYKJ-CYBMUJFWSA-N 0 0 435.481 -0.217 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OC[C@@H]1CCCN1CC(=O)OC(C)(C)C)c(=O)n2C ZINC000765959560 1073165153 /nfs/dbraw/zinc/16/51/53/1073165153.db2.gz GKSNCBOYGQVYKJ-ZDUSSCGKSA-N 0 0 435.481 -0.217 20 0 IBADRN Cn1c2ncn(CC(=O)OC[C@H]3CCCN3CC(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC000765959599 1073165158 /nfs/dbraw/zinc/16/51/58/1073165158.db2.gz GQNSAJAATPVLRT-CYBMUJFWSA-N 0 0 435.481 -0.217 20 0 IBADRN Cn1c2ncn(CC(=O)OC[C@@H]3CCCN3CC(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC000765959604 1073165186 /nfs/dbraw/zinc/16/51/86/1073165186.db2.gz GQNSAJAATPVLRT-ZDUSSCGKSA-N 0 0 435.481 -0.217 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)CC3CC3)CC2)cn1C ZINC000765959967 1073165646 /nfs/dbraw/zinc/16/56/46/1073165646.db2.gz VUSMUPNTALFXAU-UHFFFAOYSA-N 0 0 433.556 -0.431 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NCCS(=O)(=O)CC2CC2)c1 ZINC000765961474 1073166829 /nfs/dbraw/zinc/16/68/29/1073166829.db2.gz NDGWTOMKSDRTLW-UHFFFAOYSA-N 0 0 432.524 -0.343 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NCCS(=O)(=O)CC3CC3)CC2)cc1 ZINC000765962708 1073168087 /nfs/dbraw/zinc/16/80/87/1073168087.db2.gz BGDHWTQFGNRSHW-UHFFFAOYSA-N 0 0 430.552 -0.010 20 0 IBADRN O=C(NCCS(=O)(=O)CC1CC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000765968839 1073184765 /nfs/dbraw/zinc/18/47/65/1073184765.db2.gz JGJVNMOSPYEPOU-UHFFFAOYSA-N 0 0 438.554 -0.030 20 0 IBADRN CO[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000766001824 1073235669 /nfs/dbraw/zinc/23/56/69/1073235669.db2.gz ULPGBVQOZBDSBA-CVEARBPZSA-N 0 0 432.520 -0.259 20 0 IBADRN CO[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000766001828 1073235737 /nfs/dbraw/zinc/23/57/37/1073235737.db2.gz ULPGBVQOZBDSBA-HOTGVXAUSA-N 0 0 432.520 -0.259 20 0 IBADRN CO[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000766001830 1073235715 /nfs/dbraw/zinc/23/57/15/1073235715.db2.gz ULPGBVQOZBDSBA-HZPDHXFCSA-N 0 0 432.520 -0.259 20 0 IBADRN CO[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(O)cc1 ZINC000766001832 1073235580 /nfs/dbraw/zinc/23/55/80/1073235580.db2.gz ULPGBVQOZBDSBA-JKSUJKDBSA-N 0 0 432.520 -0.259 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c1 ZINC000766014913 1073239961 /nfs/dbraw/zinc/23/99/61/1073239961.db2.gz FKNVKWIRUFHVST-GFCCVEGCSA-N 0 0 433.914 -0.070 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c1 ZINC000766014916 1073239824 /nfs/dbraw/zinc/23/98/24/1073239824.db2.gz FKNVKWIRUFHVST-LBPRGKRZSA-N 0 0 433.914 -0.070 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)CC1 ZINC000766017252 1073240740 /nfs/dbraw/zinc/24/07/40/1073240740.db2.gz ZSFXTMUCXUNXGH-SSDOTTSWSA-N 0 0 426.314 -0.177 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)CC1 ZINC000766017256 1073240902 /nfs/dbraw/zinc/24/09/02/1073240902.db2.gz ZSFXTMUCXUNXGH-ZETCQYMHSA-N 0 0 426.314 -0.177 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000766022218 1073242969 /nfs/dbraw/zinc/24/29/69/1073242969.db2.gz AWKMTWIHDGAAEO-CYBMUJFWSA-N 0 0 429.470 -0.488 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000766022237 1073242852 /nfs/dbraw/zinc/24/28/52/1073242852.db2.gz AWKMTWIHDGAAEO-ZDUSSCGKSA-N 0 0 429.470 -0.488 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(C(=O)[C@H](C)O)CC2)C1=O ZINC000766023840 1073242981 /nfs/dbraw/zinc/24/29/81/1073242981.db2.gz RZICYNGTUPSQJU-LHSJRXKWSA-N 0 0 432.477 -0.178 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(C(=O)[C@H](C)O)CC2)C1=O ZINC000766023842 1073242396 /nfs/dbraw/zinc/24/23/96/1073242396.db2.gz RZICYNGTUPSQJU-QKKBWIMNSA-N 0 0 432.477 -0.178 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)C1=O ZINC000766023844 1073242306 /nfs/dbraw/zinc/24/23/06/1073242306.db2.gz RZICYNGTUPSQJU-SPLOXXLWSA-N 0 0 432.477 -0.178 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(C(=O)[C@@H](C)O)CC2)C1=O ZINC000766023846 1073242294 /nfs/dbraw/zinc/24/22/94/1073242294.db2.gz RZICYNGTUPSQJU-SZNDQCEHSA-N 0 0 432.477 -0.178 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)cc2S1(=O)=O ZINC000766024119 1073243323 /nfs/dbraw/zinc/24/33/23/1073243323.db2.gz UTLOJOAYIMRXDO-GFCCVEGCSA-N 0 0 425.463 -0.857 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(C(=O)[C@H](C)O)CC3)cc2S1(=O)=O ZINC000766024120 1073243309 /nfs/dbraw/zinc/24/33/09/1073243309.db2.gz UTLOJOAYIMRXDO-LBPRGKRZSA-N 0 0 425.463 -0.857 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000766025673 1073243443 /nfs/dbraw/zinc/24/34/43/1073243443.db2.gz KOXLVHVHCDAPDS-AVECDBLKSA-N 0 0 428.489 -0.159 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000766025676 1073243467 /nfs/dbraw/zinc/24/34/67/1073243467.db2.gz KOXLVHVHCDAPDS-BJSLICBWSA-N 0 0 428.489 -0.159 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000766025678 1073243381 /nfs/dbraw/zinc/24/33/81/1073243381.db2.gz KOXLVHVHCDAPDS-GXVAWNGXSA-N 0 0 428.489 -0.159 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000766025679 1073243486 /nfs/dbraw/zinc/24/34/86/1073243486.db2.gz KOXLVHVHCDAPDS-XXZBLLBMSA-N 0 0 428.489 -0.159 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000766026421 1073243206 /nfs/dbraw/zinc/24/32/06/1073243206.db2.gz PAFNQYHPRHYAMF-AWEZNQCLSA-N 0 0 434.518 -0.101 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000766026426 1073243433 /nfs/dbraw/zinc/24/34/33/1073243433.db2.gz PAFNQYHPRHYAMF-CQSZACIVSA-N 0 0 434.518 -0.101 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000766033173 1073245575 /nfs/dbraw/zinc/24/55/75/1073245575.db2.gz BEVPJCDIILIAQD-UHFFFAOYSA-N 0 0 442.563 -0.195 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNS(=O)(=O)CCCN2CCOCC2)CC1 ZINC000766038088 1073247246 /nfs/dbraw/zinc/24/72/46/1073247246.db2.gz GKPKPUFSNJBGSS-UHFFFAOYSA-N 0 0 434.559 -0.293 20 0 IBADRN CCN(CC1CC1)S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000766038192 1073247241 /nfs/dbraw/zinc/24/72/41/1073247241.db2.gz WKNAZVWGAQSCHE-AWEZNQCLSA-N 0 0 429.586 -0.902 20 0 IBADRN CCN(CC1CC1)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000766038202 1073247172 /nfs/dbraw/zinc/24/71/72/1073247172.db2.gz WKNAZVWGAQSCHE-CQSZACIVSA-N 0 0 429.586 -0.902 20 0 IBADRN O=C(N1CCN2C(=O)CC[C@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000766046820 1073252639 /nfs/dbraw/zinc/25/26/39/1073252639.db2.gz KIEKCXOYJSZTMZ-RYUDHWBXSA-N 0 0 434.390 -0.077 20 0 IBADRN O=C(N1CCN2C(=O)CC[C@@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000766046823 1073252426 /nfs/dbraw/zinc/25/24/26/1073252426.db2.gz KIEKCXOYJSZTMZ-TXEJJXNPSA-N 0 0 434.390 -0.077 20 0 IBADRN O=C(N1CCN2C(=O)CC[C@@H]2C1)C(F)(F)C(F)(F)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000766046826 1073252440 /nfs/dbraw/zinc/25/24/40/1073252440.db2.gz KIEKCXOYJSZTMZ-VXGBXAGGSA-N 0 0 434.390 -0.077 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000766056711 1073258678 /nfs/dbraw/zinc/25/86/78/1073258678.db2.gz DPUFHECBIKSBRT-UHFFFAOYSA-N 0 0 435.462 -0.573 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000766057176 1073259017 /nfs/dbraw/zinc/25/90/17/1073259017.db2.gz XIBFMIULZPAPSP-UHFFFAOYSA-N 0 0 426.476 -0.050 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCOC[C@@H]1C1CC1 ZINC000766059282 1073259598 /nfs/dbraw/zinc/25/95/98/1073259598.db2.gz VLCZJCFKKZADGB-DZGCQCFKSA-N 0 0 427.479 -0.668 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCOC[C@H]1C1CC1 ZINC000766059286 1073259553 /nfs/dbraw/zinc/25/95/53/1073259553.db2.gz VLCZJCFKKZADGB-HIFRSBDPSA-N 0 0 427.479 -0.668 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCOC[C@@H]1C1CC1 ZINC000766059291 1073259468 /nfs/dbraw/zinc/25/94/68/1073259468.db2.gz VLCZJCFKKZADGB-UKRRQHHQSA-N 0 0 427.479 -0.668 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCOC[C@H]1C1CC1 ZINC000766059296 1073259474 /nfs/dbraw/zinc/25/94/74/1073259474.db2.gz VLCZJCFKKZADGB-ZFWWWQNUSA-N 0 0 427.479 -0.668 20 0 IBADRN Cn1c2c(nc(Br)n2Cc2nnnn2CC2CCOCC2)c(=O)n(C)c1=O ZINC000766068090 1073273169 /nfs/dbraw/zinc/27/31/69/1073273169.db2.gz OCQOJTVHLXCMIN-UHFFFAOYSA-N 0 0 439.274 -0.342 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000766072060 1073273677 /nfs/dbraw/zinc/27/36/77/1073273677.db2.gz HYPLQYJYNFPWIG-UHFFFAOYSA-N 0 0 435.462 -0.573 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000766074653 1073273767 /nfs/dbraw/zinc/27/37/67/1073273767.db2.gz JGDLGYFAEGWAAK-UHFFFAOYSA-N 0 0 449.489 -0.183 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N1CCOC[C@H]1C1CC1 ZINC000766074761 1073273702 /nfs/dbraw/zinc/27/37/02/1073273702.db2.gz KOZOPGXOGWXCEU-KRWDZBQOSA-N 0 0 441.506 -0.160 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N1CCOC[C@@H]1C1CC1 ZINC000766074762 1073273803 /nfs/dbraw/zinc/27/38/03/1073273803.db2.gz KOZOPGXOGWXCEU-QGZVFWFLSA-N 0 0 441.506 -0.160 20 0 IBADRN C[S@](=O)CCN1CCN(c2nccnc2N2CCN(CC[S@@](C)=O)CC2)CC1 ZINC000766080081 1073274139 /nfs/dbraw/zinc/27/41/39/1073274139.db2.gz JQIPZORHPVGHKZ-HNRBIFIRSA-N 0 0 428.628 -0.522 20 0 IBADRN C[S@](=O)CCN1CCN(c2nccnc2N2CCN(CC[S@](C)=O)CC2)CC1 ZINC000766080089 1073274077 /nfs/dbraw/zinc/27/40/77/1073274077.db2.gz JQIPZORHPVGHKZ-NSOVKSMOSA-N 0 0 428.628 -0.522 20 0 IBADRN C[S@@](=O)CCN1CCN(c2nccnc2N2CCN(CC[S@@](C)=O)CC2)CC1 ZINC000766080090 1073274121 /nfs/dbraw/zinc/27/41/21/1073274121.db2.gz JQIPZORHPVGHKZ-VSGBNLITSA-N 0 0 428.628 -0.522 20 0 IBADRN COC(=O)C1=C(CN2CCN3C(=O)C(=O)NC[C@@H]3C2)N(C)C(=O)N[C@H]1c1ccccc1 ZINC000766094767 1073276300 /nfs/dbraw/zinc/27/63/00/1073276300.db2.gz NRWZLCKJPPCZAU-PBHICJAKSA-N 0 0 427.461 -0.548 20 0 IBADRN COC(=O)C1=C(CN2CCN3C(=O)C(=O)NC[C@@H]3C2)N(C)C(=O)N[C@@H]1c1ccccc1 ZINC000766094769 1073276402 /nfs/dbraw/zinc/27/64/02/1073276402.db2.gz NRWZLCKJPPCZAU-RHSMWYFYSA-N 0 0 427.461 -0.548 20 0 IBADRN COC(=O)C1=C(CN2CCN3C(=O)C(=O)NC[C@H]3C2)N(C)C(=O)N[C@@H]1c1ccccc1 ZINC000766094774 1073276409 /nfs/dbraw/zinc/27/64/09/1073276409.db2.gz NRWZLCKJPPCZAU-WMLDXEAASA-N 0 0 427.461 -0.548 20 0 IBADRN COC(=O)C1=C(CN2CCN3C(=O)C(=O)NC[C@H]3C2)N(C)C(=O)N[C@H]1c1ccccc1 ZINC000766094780 1073276249 /nfs/dbraw/zinc/27/62/49/1073276249.db2.gz NRWZLCKJPPCZAU-YOEHRIQHSA-N 0 0 427.461 -0.548 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)CN3CCN4C(=O)C(=O)NC[C@H]4C3)CC2)c(F)c1 ZINC000766096176 1073276359 /nfs/dbraw/zinc/27/63/59/1073276359.db2.gz XYVZNNNRZZSDBM-INIZCTEOSA-N 0 0 431.468 -0.681 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)CN3CCN4C(=O)C(=O)NC[C@@H]4C3)CC2)c(F)c1 ZINC000766096177 1073276374 /nfs/dbraw/zinc/27/63/74/1073276374.db2.gz XYVZNNNRZZSDBM-MRXNPFEDSA-N 0 0 431.468 -0.681 20 0 IBADRN CN(C(=O)CN1CCN2C(=O)C(=O)NC[C@H]2C1)c1ccccc1C(=O)NCc1ccco1 ZINC000766096225 1073276395 /nfs/dbraw/zinc/27/63/95/1073276395.db2.gz ZSUKORULYPFHGA-HNNXBMFYSA-N 0 0 439.472 -0.185 20 0 IBADRN CN(C(=O)CN1CCN2C(=O)C(=O)NC[C@@H]2C1)c1ccccc1C(=O)NCc1ccco1 ZINC000766096227 1073276348 /nfs/dbraw/zinc/27/63/48/1073276348.db2.gz ZSUKORULYPFHGA-OAHLLOKOSA-N 0 0 439.472 -0.185 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)N1CCOC[C@H]1C1CC1 ZINC000766111681 1073278180 /nfs/dbraw/zinc/27/81/80/1073278180.db2.gz FSTREHITEJOYEO-DOMZBBRYSA-N 0 0 428.511 -0.116 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)N1CCOC[C@@H]1C1CC1 ZINC000766111682 1073278016 /nfs/dbraw/zinc/27/80/16/1073278016.db2.gz FSTREHITEJOYEO-IUODEOHRSA-N 0 0 428.511 -0.116 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N1CCOC[C@@H]1C1CC1 ZINC000766111683 1073278001 /nfs/dbraw/zinc/27/80/01/1073278001.db2.gz FSTREHITEJOYEO-SWLSCSKDSA-N 0 0 428.511 -0.116 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)N1CCOC[C@H]1C1CC1 ZINC000766111684 1073278114 /nfs/dbraw/zinc/27/81/14/1073278114.db2.gz FSTREHITEJOYEO-WFASDCNBSA-N 0 0 428.511 -0.116 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)cc1 ZINC000766112196 1073278574 /nfs/dbraw/zinc/27/85/74/1073278574.db2.gz IYBFDXZYLVWXOT-UHFFFAOYSA-N 0 0 444.491 -0.614 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N1CCOC[C@@H]1C1CC1 ZINC000766126667 1073279047 /nfs/dbraw/zinc/27/90/47/1073279047.db2.gz IZIKOQRJQYVWRL-BLLLJJGKSA-N 0 0 439.490 -0.367 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N1CCOC[C@H]1C1CC1 ZINC000766126693 1073279004 /nfs/dbraw/zinc/27/90/04/1073279004.db2.gz IZIKOQRJQYVWRL-LRDDRELGSA-N 0 0 439.490 -0.367 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N1CCOC[C@@H]1C1CC1 ZINC000766126698 1073279044 /nfs/dbraw/zinc/27/90/44/1073279044.db2.gz IZIKOQRJQYVWRL-MLGOLLRUSA-N 0 0 439.490 -0.367 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCC(=O)N1CCOC[C@H]1C1CC1 ZINC000766126703 1073278994 /nfs/dbraw/zinc/27/89/94/1073278994.db2.gz IZIKOQRJQYVWRL-WBMJQRKESA-N 0 0 439.490 -0.367 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCOC[C@H]2C2CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000766129738 1073279543 /nfs/dbraw/zinc/27/95/43/1073279543.db2.gz QEEJOPSZWFYRNY-HNNXBMFYSA-N 0 0 430.461 -0.011 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCOC[C@@H]2C2CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000766129751 1073279536 /nfs/dbraw/zinc/27/95/36/1073279536.db2.gz QEEJOPSZWFYRNY-OAHLLOKOSA-N 0 0 430.461 -0.011 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000766135751 1073279486 /nfs/dbraw/zinc/27/94/86/1073279486.db2.gz IRARXVUCKMTFFL-AWEZNQCLSA-N 0 0 438.861 -0.138 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000766135757 1073279438 /nfs/dbraw/zinc/27/94/38/1073279438.db2.gz IRARXVUCKMTFFL-CQSZACIVSA-N 0 0 438.861 -0.138 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000766141322 1073279493 /nfs/dbraw/zinc/27/94/93/1073279493.db2.gz YKDDQSKJCGMKAH-CYBMUJFWSA-N 0 0 432.451 -0.795 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000766141331 1073279943 /nfs/dbraw/zinc/27/99/43/1073279943.db2.gz YKDDQSKJCGMKAH-ZDUSSCGKSA-N 0 0 432.451 -0.795 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000766142781 1073279952 /nfs/dbraw/zinc/27/99/52/1073279952.db2.gz BALKDVLGOZVYRP-AWEZNQCLSA-N 0 0 436.870 -0.150 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000766142782 1073279864 /nfs/dbraw/zinc/27/98/64/1073279864.db2.gz BALKDVLGOZVYRP-CQSZACIVSA-N 0 0 436.870 -0.150 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000766144637 1073279810 /nfs/dbraw/zinc/27/98/10/1073279810.db2.gz JUFKXBKINDEOEP-CYBMUJFWSA-N 0 0 436.870 -0.150 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000766144643 1073279828 /nfs/dbraw/zinc/27/98/28/1073279828.db2.gz JUFKXBKINDEOEP-ZDUSSCGKSA-N 0 0 436.870 -0.150 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000766144982 1073279885 /nfs/dbraw/zinc/27/98/85/1073279885.db2.gz LKBRQVIVRSDJRW-AWEZNQCLSA-N 0 0 446.478 -0.913 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000766145001 1073279846 /nfs/dbraw/zinc/27/98/46/1073279846.db2.gz LKBRQVIVRSDJRW-CQSZACIVSA-N 0 0 446.478 -0.913 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000766145732 1073279955 /nfs/dbraw/zinc/27/99/55/1073279955.db2.gz GBLTWMDIPFLNNH-GOSISDBHSA-N 0 0 440.518 -0.051 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC000766145766 1073279854 /nfs/dbraw/zinc/27/98/54/1073279854.db2.gz GBLTWMDIPFLNNH-SFHVURJKSA-N 0 0 440.518 -0.051 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000766146081 1073279891 /nfs/dbraw/zinc/27/98/91/1073279891.db2.gz GYDAQQLYPQMTAQ-KRWDZBQOSA-N 0 0 426.491 -0.441 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000766146082 1073279874 /nfs/dbraw/zinc/27/98/74/1073279874.db2.gz GYDAQQLYPQMTAQ-QGZVFWFLSA-N 0 0 426.491 -0.441 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000766146261 1073280346 /nfs/dbraw/zinc/28/03/46/1073280346.db2.gz IBJXSRFLAMJKRR-DVOMOZLQSA-N 0 0 430.479 -0.027 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000766146263 1073280283 /nfs/dbraw/zinc/28/02/83/1073280283.db2.gz IBJXSRFLAMJKRR-IOASZLSFSA-N 0 0 430.479 -0.027 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)cc1 ZINC000766146265 1073280212 /nfs/dbraw/zinc/28/02/12/1073280212.db2.gz IBJXSRFLAMJKRR-XEZPLFJOSA-N 0 0 430.479 -0.027 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000766146270 1073280305 /nfs/dbraw/zinc/28/03/05/1073280305.db2.gz IBJXSRFLAMJKRR-ZENOOKHLSA-N 0 0 430.479 -0.027 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000766146324 1073280331 /nfs/dbraw/zinc/28/03/31/1073280331.db2.gz QRRSLVGCIGTXQX-INIZCTEOSA-N 0 0 428.463 -0.727 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000766146327 1073280379 /nfs/dbraw/zinc/28/03/79/1073280379.db2.gz QRRSLVGCIGTXQX-MRXNPFEDSA-N 0 0 428.463 -0.727 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000766146435 1073279953 /nfs/dbraw/zinc/27/99/53/1073279953.db2.gz RMQCBNNPIHGKTP-INIZCTEOSA-N 0 0 428.463 -0.727 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000766146436 1073279911 /nfs/dbraw/zinc/27/99/11/1073279911.db2.gz RMQCBNNPIHGKTP-MRXNPFEDSA-N 0 0 428.463 -0.727 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000766146455 1073279948 /nfs/dbraw/zinc/27/99/48/1073279948.db2.gz FBWMLDBMYRNKTH-JTQLQIEISA-N 0 0 426.900 -0.215 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000766146456 1073280226 /nfs/dbraw/zinc/28/02/26/1073280226.db2.gz FBWMLDBMYRNKTH-SNVBAGLBSA-N 0 0 426.900 -0.215 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H](O)COC)CC2)cc1 ZINC000766147298 1073279897 /nfs/dbraw/zinc/27/98/97/1073279897.db2.gz JLNVUSOWPDTYDZ-INIZCTEOSA-N 0 0 430.479 -0.531 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H](O)COC)CC2)cc1 ZINC000766147299 1073279834 /nfs/dbraw/zinc/27/98/34/1073279834.db2.gz JLNVUSOWPDTYDZ-MRXNPFEDSA-N 0 0 430.479 -0.531 20 0 IBADRN COC[C@@H](O)C(=O)O[C@@H](C)C(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000766148989 1073280400 /nfs/dbraw/zinc/28/04/00/1073280400.db2.gz VBGKRBVGIXGFRM-DZGCQCFKSA-N 0 0 430.479 -0.107 20 0 IBADRN COC[C@H](O)C(=O)O[C@H](C)C(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000766148991 1073280313 /nfs/dbraw/zinc/28/03/13/1073280313.db2.gz VBGKRBVGIXGFRM-HIFRSBDPSA-N 0 0 430.479 -0.107 20 0 IBADRN COC[C@@H](O)C(=O)O[C@H](C)C(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000766148993 1073280238 /nfs/dbraw/zinc/28/02/38/1073280238.db2.gz VBGKRBVGIXGFRM-UKRRQHHQSA-N 0 0 430.479 -0.107 20 0 IBADRN COC[C@H](O)C(=O)O[C@@H](C)C(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000766148995 1073280373 /nfs/dbraw/zinc/28/03/73/1073280373.db2.gz VBGKRBVGIXGFRM-ZFWWWQNUSA-N 0 0 430.479 -0.107 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000766150167 1073280393 /nfs/dbraw/zinc/28/03/93/1073280393.db2.gz WTUGILHYBKXIAC-AWEZNQCLSA-N 0 0 446.478 -0.913 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000766150168 1073280383 /nfs/dbraw/zinc/28/03/83/1073280383.db2.gz WTUGILHYBKXIAC-CQSZACIVSA-N 0 0 446.478 -0.913 20 0 IBADRN COC[C@H](O)C(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000766152644 1073302380 /nfs/dbraw/zinc/30/23/80/1073302380.db2.gz ZGKIRRHKSKETDY-AWEZNQCLSA-N 0 0 432.451 -0.795 20 0 IBADRN COC[C@@H](O)C(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000766152648 1073302264 /nfs/dbraw/zinc/30/22/64/1073302264.db2.gz ZGKIRRHKSKETDY-CQSZACIVSA-N 0 0 432.451 -0.795 20 0 IBADRN O=Cc1n[nH]c2cc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)ccc12 ZINC000766175299 1073355618 /nfs/dbraw/zinc/35/56/18/1073355618.db2.gz RHSNCZKBEUBMCA-AWEZNQCLSA-N 0 0 434.474 -0.137 20 0 IBADRN O=Cc1n[nH]c2cc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)ccc12 ZINC000766175300 1073355548 /nfs/dbraw/zinc/35/55/48/1073355548.db2.gz RHSNCZKBEUBMCA-CQSZACIVSA-N 0 0 434.474 -0.137 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)NC3CCC4(CC3)OCCO4)CC2)cn1C ZINC000766190216 1073440976 /nfs/dbraw/zinc/44/09/76/1073440976.db2.gz CCAVYDYLEWFMPH-UHFFFAOYSA-N 0 0 441.554 -0.163 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC000766190244 1073441466 /nfs/dbraw/zinc/44/14/66/1073441466.db2.gz CVKNHWNLOQCZBT-UHFFFAOYSA-N 0 0 442.542 -0.664 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)NC(=O)N[C@@H]1C ZINC000766191451 1073461705 /nfs/dbraw/zinc/46/17/05/1073461705.db2.gz JWHLAYYICUWLPB-GFCCVEGCSA-N 0 0 440.526 -0.447 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)NC(=O)N[C@H]1C ZINC000766191452 1073461731 /nfs/dbraw/zinc/46/17/31/1073461731.db2.gz JWHLAYYICUWLPB-LBPRGKRZSA-N 0 0 440.526 -0.447 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(=O)n(C)c1 ZINC000766191462 1073461728 /nfs/dbraw/zinc/46/17/28/1073461728.db2.gz JYGWJOHBLOXAPI-CYBMUJFWSA-N 0 0 432.524 -0.640 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(=O)n(C)c1 ZINC000766191463 1073461699 /nfs/dbraw/zinc/46/16/99/1073461699.db2.gz JYGWJOHBLOXAPI-ZDUSSCGKSA-N 0 0 432.524 -0.640 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(=O)n(-c3ccccc3F)n2)c(=O)n(C)c1=O ZINC000766196046 1073461652 /nfs/dbraw/zinc/46/16/52/1073461652.db2.gz HXPBEJDYZOIZGG-UHFFFAOYSA-N 0 0 429.364 -0.609 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(=O)n(-c3ccccc3F)n2)CC1 ZINC000766201990 1073462341 /nfs/dbraw/zinc/46/23/41/1073462341.db2.gz CDUHTSBVKKTRDO-UHFFFAOYSA-N 0 0 445.451 -0.239 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(=O)n(-c4ccccc4F)n3)c2c(=O)n(C)c1=O ZINC000766202963 1073462241 /nfs/dbraw/zinc/46/22/41/1073462241.db2.gz MPLNYDPNQRAYBI-UHFFFAOYSA-N 0 0 440.391 -0.024 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(=O)n(-c2ccccc2F)n1)S(C)(=O)=O ZINC000766204238 1073462357 /nfs/dbraw/zinc/46/23/57/1073462357.db2.gz LTQWJFZFPCGAHO-UHFFFAOYSA-N 0 0 440.453 -0.074 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H](CC(F)F)NC(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC000766228407 1073511486 /nfs/dbraw/zinc/51/14/86/1073511486.db2.gz YVVBYCWWLFMZTH-MRVPVSSYSA-N 0 0 434.396 -0.059 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H](CC(F)F)NC(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC000766228408 1073511513 /nfs/dbraw/zinc/51/15/13/1073511513.db2.gz YVVBYCWWLFMZTH-QMMMGPOBSA-N 0 0 434.396 -0.059 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CN(C(=O)OC(C)(C)C)CCO3)c2c(=O)n(C)c1=O ZINC000766236581 1073514004 /nfs/dbraw/zinc/51/40/04/1073514004.db2.gz GVUBTBPZRQODGK-GFCCVEGCSA-N 0 0 437.453 -0.387 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CN(C(=O)OC(C)(C)C)CCO3)c2c(=O)n(C)c1=O ZINC000766236588 1073513991 /nfs/dbraw/zinc/51/39/91/1073513991.db2.gz GVUBTBPZRQODGK-LBPRGKRZSA-N 0 0 437.453 -0.387 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000766246667 1073518331 /nfs/dbraw/zinc/51/83/31/1073518331.db2.gz KDVDTYJXEJEGFZ-MOPGFXCFSA-N 0 0 447.561 -0.624 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000766246670 1073518316 /nfs/dbraw/zinc/51/83/16/1073518316.db2.gz KDVDTYJXEJEGFZ-OALUTQOASA-N 0 0 447.561 -0.624 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000766246673 1073518512 /nfs/dbraw/zinc/51/85/12/1073518512.db2.gz KDVDTYJXEJEGFZ-RBUKOAKNSA-N 0 0 447.561 -0.624 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000766246675 1073518444 /nfs/dbraw/zinc/51/84/44/1073518444.db2.gz KDVDTYJXEJEGFZ-RTBURBONSA-N 0 0 447.561 -0.624 20 0 IBADRN O=S1(=O)C[C@H](O)[C@H](N2CCN(Cc3nnnn3-c3ccc(OC(F)F)cc3)CC2)C1 ZINC000766247499 1073518269 /nfs/dbraw/zinc/51/82/69/1073518269.db2.gz LPXFUTXXWLZGKD-CABCVRRESA-N 0 0 444.464 -0.461 20 0 IBADRN O=S1(=O)C[C@H](O)[C@@H](N2CCN(Cc3nnnn3-c3ccc(OC(F)F)cc3)CC2)C1 ZINC000766247506 1073518562 /nfs/dbraw/zinc/51/85/62/1073518562.db2.gz LPXFUTXXWLZGKD-GJZGRUSLSA-N 0 0 444.464 -0.461 20 0 IBADRN O=S1(=O)C[C@@H](O)[C@H](N2CCN(Cc3nnnn3-c3ccc(OC(F)F)cc3)CC2)C1 ZINC000766247509 1073518459 /nfs/dbraw/zinc/51/84/59/1073518459.db2.gz LPXFUTXXWLZGKD-HUUCEWRRSA-N 0 0 444.464 -0.461 20 0 IBADRN O=S1(=O)C[C@H](N2CCN(Cc3nnnn3-c3ccc(OC(F)F)cc3)CC2)[C@H](O)C1 ZINC000766247513 1073518404 /nfs/dbraw/zinc/51/84/04/1073518404.db2.gz LPXFUTXXWLZGKD-LSDHHAIUSA-N 0 0 444.464 -0.461 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000766262569 1073522427 /nfs/dbraw/zinc/52/24/27/1073522427.db2.gz FTPDSXDSDAVDQX-FZMZJTMJSA-N 0 0 446.551 -0.290 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)[C@@H](C)NS(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000766262570 1073522419 /nfs/dbraw/zinc/52/24/19/1073522419.db2.gz FTPDSXDSDAVDQX-RISCZKNCSA-N 0 0 446.551 -0.290 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000766262602 1073522281 /nfs/dbraw/zinc/52/22/81/1073522281.db2.gz GEDOPJVVZXWUSQ-AAEUAGOBSA-N 0 0 434.540 -0.590 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000766262603 1073522249 /nfs/dbraw/zinc/52/22/49/1073522249.db2.gz GEDOPJVVZXWUSQ-YPMHNXCESA-N 0 0 434.540 -0.590 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000766262933 1073522235 /nfs/dbraw/zinc/52/22/35/1073522235.db2.gz NZSXEALTXUKAJG-NSHDSACASA-N 0 0 426.499 -0.919 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)CC1 ZINC000766262961 1073522335 /nfs/dbraw/zinc/52/23/35/1073522335.db2.gz AHQLGTXFSBUBSL-DSQDWWTGSA-N 0 0 447.517 -0.241 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)CC1 ZINC000766262962 1073522343 /nfs/dbraw/zinc/52/23/43/1073522343.db2.gz AHQLGTXFSBUBSL-HNDQUVLASA-N 0 0 447.517 -0.241 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)CC1 ZINC000766262963 1073522374 /nfs/dbraw/zinc/52/23/74/1073522374.db2.gz AHQLGTXFSBUBSL-LUYJPIOASA-N 0 0 447.517 -0.241 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)CC1 ZINC000766262964 1073522394 /nfs/dbraw/zinc/52/23/94/1073522394.db2.gz AHQLGTXFSBUBSL-VQCBNXJZSA-N 0 0 447.517 -0.241 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)[C@H](C)O)CC3)C2=O)c1 ZINC000766263523 1073523404 /nfs/dbraw/zinc/52/34/04/1073523404.db2.gz GJAQZOXSOZMJNI-RBZFPXEDSA-N 0 0 433.465 -0.982 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)[C@H](C)O)CC3)C2=O)c1 ZINC000766263524 1073523423 /nfs/dbraw/zinc/52/34/23/1073523423.db2.gz GJAQZOXSOZMJNI-RNODOKPDSA-N 0 0 433.465 -0.982 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)[C@@H](C)O)CC3)C2=O)c1 ZINC000766263525 1073523333 /nfs/dbraw/zinc/52/33/33/1073523333.db2.gz GJAQZOXSOZMJNI-XCLFUZPHSA-N 0 0 433.465 -0.982 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)[C@@H](C)O)CC3)C2=O)c1 ZINC000766263526 1073523303 /nfs/dbraw/zinc/52/33/03/1073523303.db2.gz GJAQZOXSOZMJNI-ZUOKHONESA-N 0 0 433.465 -0.982 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000766263869 1073523458 /nfs/dbraw/zinc/52/34/58/1073523458.db2.gz WGTKNKGTTDNFJH-NSHDSACASA-N 0 0 446.507 -0.500 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000766264304 1073523499 /nfs/dbraw/zinc/52/34/99/1073523499.db2.gz LHVCAIXCAPTXFG-AWEZNQCLSA-N 0 0 448.567 -0.083 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1 ZINC000766266964 1073527492 /nfs/dbraw/zinc/52/74/92/1073527492.db2.gz HYEAQOJRNNKLPO-AWEZNQCLSA-N 0 0 437.522 -0.379 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1 ZINC000766266965 1073527424 /nfs/dbraw/zinc/52/74/24/1073527424.db2.gz HYEAQOJRNNKLPO-CQSZACIVSA-N 0 0 437.522 -0.379 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000766268357 1073529767 /nfs/dbraw/zinc/52/97/67/1073529767.db2.gz RBWVSRSSNPPRNI-BTYIYWSLSA-N 0 0 431.493 -0.514 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000766268446 1073529726 /nfs/dbraw/zinc/52/97/26/1073529726.db2.gz RBWVSRSSNPPRNI-QVKFZJNVSA-N 0 0 431.493 -0.514 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000766268450 1073529823 /nfs/dbraw/zinc/52/98/23/1073529823.db2.gz RBWVSRSSNPPRNI-VFNWGFHPSA-N 0 0 431.493 -0.514 20 0 IBADRN C[C@H](O)C(=O)N1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000766268459 1073529394 /nfs/dbraw/zinc/52/93/94/1073529394.db2.gz RBWVSRSSNPPRNI-YCRPNKLZSA-N 0 0 431.493 -0.514 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)[C@H](C)O)CC3)C2=O)cc1 ZINC000766269482 1073529030 /nfs/dbraw/zinc/52/90/30/1073529030.db2.gz ZZGPPNBXIMGLGX-RBZFPXEDSA-N 0 0 433.465 -0.982 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)[C@H](C)O)CC3)C2=O)cc1 ZINC000766269486 1073529056 /nfs/dbraw/zinc/52/90/56/1073529056.db2.gz ZZGPPNBXIMGLGX-RNODOKPDSA-N 0 0 433.465 -0.982 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)[C@@H](C)O)CC3)C2=O)cc1 ZINC000766269491 1073529079 /nfs/dbraw/zinc/52/90/79/1073529079.db2.gz ZZGPPNBXIMGLGX-XCLFUZPHSA-N 0 0 433.465 -0.982 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)[C@@H](C)O)CC3)C2=O)cc1 ZINC000766269495 1073529489 /nfs/dbraw/zinc/52/94/89/1073529489.db2.gz ZZGPPNBXIMGLGX-ZUOKHONESA-N 0 0 433.465 -0.982 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000766270998 1073529674 /nfs/dbraw/zinc/52/96/74/1073529674.db2.gz PIRSKYQNSJHJIM-NSHDSACASA-N 0 0 440.507 -0.031 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000766271729 1073532858 /nfs/dbraw/zinc/53/28/58/1073532858.db2.gz UINCOKPOMUSUHF-HOTGVXAUSA-N 0 0 430.571 -0.043 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000766271731 1073532811 /nfs/dbraw/zinc/53/28/11/1073532811.db2.gz UINCOKPOMUSUHF-JKSUJKDBSA-N 0 0 430.571 -0.043 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000766274137 1073533906 /nfs/dbraw/zinc/53/39/06/1073533906.db2.gz UNYGQQCDBMJCGJ-AAEUAGOBSA-N 0 0 435.572 -0.039 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C ZINC000766274145 1073533799 /nfs/dbraw/zinc/53/37/99/1073533799.db2.gz UNYGQQCDBMJCGJ-YPMHNXCESA-N 0 0 435.572 -0.039 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)CCC(=O)OCC(N)=O)CC1 ZINC000766286101 1073547059 /nfs/dbraw/zinc/54/70/59/1073547059.db2.gz MJKJDHMCTFSULK-UHFFFAOYSA-N 0 0 447.897 -0.010 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)CNC(=O)CNC(=O)OC(C)(C)C)cc1 ZINC000766321856 1073562872 /nfs/dbraw/zinc/56/28/72/1073562872.db2.gz VEQTYHVCDLTIAO-UHFFFAOYSA-N 0 0 428.511 -0.007 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)Nc1ccc(F)nc1 ZINC000766321909 1073562686 /nfs/dbraw/zinc/56/26/86/1073562686.db2.gz PCCQUCRQSKCSSG-UHFFFAOYSA-N 0 0 436.469 -0.178 20 0 IBADRN CS(=O)(=O)CC(=O)O[C@@H]1CN(Cc2ccccc2)C[C@@H]1OC(=O)CS(C)(=O)=O ZINC000766322125 1073562570 /nfs/dbraw/zinc/56/25/70/1073562570.db2.gz WGSMUQDNTKSZMX-GASCZTMLSA-N 0 0 433.504 -0.585 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC000766322920 1073569145 /nfs/dbraw/zinc/56/91/45/1073569145.db2.gz ZSTBUVULHOJKBR-UHFFFAOYSA-N 0 0 435.547 -0.642 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000766323420 1073570894 /nfs/dbraw/zinc/57/08/94/1073570894.db2.gz VJJQRJVASJBBFZ-UHFFFAOYSA-N 0 0 425.486 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)OCC(=O)N(C)C)CC2)cc1 ZINC000766326532 1073572044 /nfs/dbraw/zinc/57/20/44/1073572044.db2.gz RBSHUYNXSIPFOA-UHFFFAOYSA-N 0 0 441.506 -0.060 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC000766331077 1073575482 /nfs/dbraw/zinc/57/54/82/1073575482.db2.gz FSIYEWOWRYSZJT-UHFFFAOYSA-N 0 0 425.530 -0.216 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000766338810 1073585916 /nfs/dbraw/zinc/58/59/16/1073585916.db2.gz LIOIVZKMGQOMGX-UHFFFAOYSA-N 0 0 425.530 -0.216 20 0 IBADRN CNC(=O)COC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000766343826 1073587678 /nfs/dbraw/zinc/58/76/78/1073587678.db2.gz HQONNRZCMOEQET-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(Br)nc2)CC1 ZINC000766344162 1073587537 /nfs/dbraw/zinc/58/75/37/1073587537.db2.gz QCLTZWLXMDCDPU-UHFFFAOYSA-N 0 0 426.271 -0.022 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(Br)nc2)CC1 ZINC000766345589 1073588253 /nfs/dbraw/zinc/58/82/53/1073588253.db2.gz RWHHGQWMAAFCRA-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000766351524 1073589458 /nfs/dbraw/zinc/58/94/58/1073589458.db2.gz AIWYWENURRTEIF-UHFFFAOYSA-N 0 0 428.515 -0.813 20 0 IBADRN CC(C)CN1N=C(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCC1=O ZINC000766352030 1073589625 /nfs/dbraw/zinc/58/96/25/1073589625.db2.gz RODZHUSBYCJAAW-UHFFFAOYSA-N 0 0 428.559 -0.743 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2)CC1 ZINC000766353862 1073589472 /nfs/dbraw/zinc/58/94/72/1073589472.db2.gz MKDJDPKQXQFMGE-UHFFFAOYSA-N 0 0 425.530 -0.360 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)OCCn1nc2ccccn2c1=O ZINC000766354259 1073590184 /nfs/dbraw/zinc/59/01/84/1073590184.db2.gz UHGUVBOOUIWEHR-UHFFFAOYSA-N 0 0 425.449 -0.042 20 0 IBADRN CN(CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)S(=O)(=O)N1CCOCC1 ZINC000766360520 1073590248 /nfs/dbraw/zinc/59/02/48/1073590248.db2.gz DGULINJWDBCMOB-AWEZNQCLSA-N 0 0 437.515 -0.326 20 0 IBADRN CN(CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1)S(=O)(=O)N1CCOCC1 ZINC000766360521 1073590307 /nfs/dbraw/zinc/59/03/07/1073590307.db2.gz DGULINJWDBCMOB-CQSZACIVSA-N 0 0 437.515 -0.326 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c(=O)n(C)c1 ZINC000766360748 1073590098 /nfs/dbraw/zinc/59/00/98/1073590098.db2.gz NPZPSOLDWPAHTB-UHFFFAOYSA-N 0 0 443.485 -0.074 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000766361482 1073590160 /nfs/dbraw/zinc/59/01/60/1073590160.db2.gz SQEXGLGDYIKETO-UHFFFAOYSA-N 0 0 447.492 -0.484 20 0 IBADRN Cc1cc(Cl)ncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000766361538 1073590078 /nfs/dbraw/zinc/59/00/78/1073590078.db2.gz ITTLKELXNMGXRO-UHFFFAOYSA-N 0 0 444.945 -0.382 20 0 IBADRN Cc1cc(Cl)ncc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000766361823 1073590264 /nfs/dbraw/zinc/59/02/64/1073590264.db2.gz QCXWIBOTRLWCCK-UHFFFAOYSA-N 0 0 431.902 -0.297 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)nc3)CC2)C[C@H](C)O1 ZINC000766362215 1073590614 /nfs/dbraw/zinc/59/06/14/1073590614.db2.gz QUKQVRIYKRTRAP-BETUJISGSA-N 0 0 429.474 -0.343 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)nc3)CC2)C[C@@H](C)O1 ZINC000766362217 1073590657 /nfs/dbraw/zinc/59/06/57/1073590657.db2.gz QUKQVRIYKRTRAP-CHWSQXEVSA-N 0 0 429.474 -0.343 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)nc3)CC2)C[C@H](C)O1 ZINC000766362220 1073590152 /nfs/dbraw/zinc/59/01/52/1073590152.db2.gz QUKQVRIYKRTRAP-STQMWFEESA-N 0 0 429.474 -0.343 20 0 IBADRN NC(=O)CNC(=O)c1ccc(OC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000766365002 1073590682 /nfs/dbraw/zinc/59/06/82/1073590682.db2.gz DWNVQVHDCKFYLK-UHFFFAOYSA-N 0 0 440.460 -0.274 20 0 IBADRN NC(=O)CNC(=O)c1cccc(OC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000766366903 1073590169 /nfs/dbraw/zinc/59/01/69/1073590169.db2.gz RCRKPSZIYOCHLZ-UHFFFAOYSA-N 0 0 440.460 -0.274 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc(F)nc3)CC2)o1 ZINC000766367588 1073590315 /nfs/dbraw/zinc/59/03/15/1073590315.db2.gz ZKPWILDEYUGALX-UHFFFAOYSA-N 0 0 425.398 -0.616 20 0 IBADRN CNC(=O)CCOC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000766385333 1073590801 /nfs/dbraw/zinc/59/08/01/1073590801.db2.gz VZIJZPGZNHFAMY-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000766401839 1073591179 /nfs/dbraw/zinc/59/11/79/1073591179.db2.gz JSYHDGWHNVRVKU-FQEVSTJZSA-N 0 0 433.465 -0.002 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000766401852 1073591359 /nfs/dbraw/zinc/59/13/59/1073591359.db2.gz JSYHDGWHNVRVKU-HXUWFJFHSA-N 0 0 433.465 -0.002 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000766403012 1073591208 /nfs/dbraw/zinc/59/12/08/1073591208.db2.gz TZEHGOWPDMMOBM-UHFFFAOYSA-N 0 0 449.512 -0.728 20 0 IBADRN COc1cc(OC)c(OC)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000766409043 1073591150 /nfs/dbraw/zinc/59/11/50/1073591150.db2.gz JOSWUPNIHBTECE-UHFFFAOYSA-N 0 0 431.467 -0.571 20 0 IBADRN CN(C)c1nc2cc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)ccc2o1 ZINC000766409142 1073591112 /nfs/dbraw/zinc/59/11/12/1073591112.db2.gz MAGGOWZSLOOARY-UHFFFAOYSA-N 0 0 425.467 -0.390 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000766412144 1073591768 /nfs/dbraw/zinc/59/17/68/1073591768.db2.gz SHCXNFMOGLIMGO-UHFFFAOYSA-N 0 0 448.524 -0.123 20 0 IBADRN CC(C)CN1N=C(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CCC1=O ZINC000766413083 1073591749 /nfs/dbraw/zinc/59/17/49/1073591749.db2.gz MSELDHBWLQQECN-UHFFFAOYSA-N 0 0 439.494 -0.154 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000766424751 1073591686 /nfs/dbraw/zinc/59/16/86/1073591686.db2.gz ZXWOCWGESQUWRL-GOSISDBHSA-N 0 0 433.509 -0.002 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000766424753 1073591647 /nfs/dbraw/zinc/59/16/47/1073591647.db2.gz ZXWOCWGESQUWRL-SFHVURJKSA-N 0 0 433.509 -0.002 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(Br)nc2)CC1 ZINC000766437112 1073592349 /nfs/dbraw/zinc/59/23/49/1073592349.db2.gz GKMOXQNUYGPNCN-UHFFFAOYSA-N 0 0 426.271 -0.020 20 0 IBADRN COC(=O)C1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1 ZINC000766444845 1073592331 /nfs/dbraw/zinc/59/23/31/1073592331.db2.gz SVLSJTSAYZGBIW-UHFFFAOYSA-N 0 0 434.492 -0.140 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(Br)nc2)CC1 ZINC000766458343 1073592886 /nfs/dbraw/zinc/59/28/86/1073592886.db2.gz YLONPKUSLAEIGP-UHFFFAOYSA-N 0 0 434.316 -0.524 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000766459206 1073592872 /nfs/dbraw/zinc/59/28/72/1073592872.db2.gz OCEJYNHCAYFJJS-UHFFFAOYSA-N 0 0 445.498 -0.711 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c(=O)n(C)c1 ZINC000766462801 1073592906 /nfs/dbraw/zinc/59/29/06/1073592906.db2.gz CWEOXTXUADOSQV-UHFFFAOYSA-N 0 0 435.481 -0.172 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC000766465558 1073592921 /nfs/dbraw/zinc/59/29/21/1073592921.db2.gz ATGOARDLAVVTSD-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC000766465560 1073592937 /nfs/dbraw/zinc/59/29/37/1073592937.db2.gz ATGOARDLAVVTSD-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@@H]1CN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CCN1c1nccn2cnnc12 ZINC000766466962 1073592793 /nfs/dbraw/zinc/59/27/93/1073592793.db2.gz CLOYKQUANPPIHQ-CYBMUJFWSA-N 0 0 432.485 -0.198 20 0 IBADRN C[C@H]1CN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CCN1c1nccn2cnnc12 ZINC000766466963 1073592931 /nfs/dbraw/zinc/59/29/31/1073592931.db2.gz CLOYKQUANPPIHQ-ZDUSSCGKSA-N 0 0 432.485 -0.198 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Br)nc2)CC1 ZINC000766503623 1073593405 /nfs/dbraw/zinc/59/34/05/1073593405.db2.gz GLLBODVCBYVYFJ-UHFFFAOYSA-N 0 0 428.287 -0.311 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCOC1 ZINC000766542387 1073593823 /nfs/dbraw/zinc/59/38/23/1073593823.db2.gz CPXBUYTUAVMZFY-HNNXBMFYSA-N 0 0 428.243 -0.269 20 0 IBADRN COC(=O)C[C@]1(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCOC1 ZINC000766542562 1073594081 /nfs/dbraw/zinc/59/40/81/1073594081.db2.gz CPXBUYTUAVMZFY-OAHLLOKOSA-N 0 0 428.243 -0.269 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000766564004 1073594513 /nfs/dbraw/zinc/59/45/13/1073594513.db2.gz OOBMTTJMVJQVFJ-GXSJLCMTSA-N 0 0 443.478 -0.495 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000766564012 1073594399 /nfs/dbraw/zinc/59/43/99/1073594399.db2.gz OOBMTTJMVJQVFJ-KOLCDFICSA-N 0 0 443.478 -0.495 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000766564018 1073594381 /nfs/dbraw/zinc/59/43/81/1073594381.db2.gz OOBMTTJMVJQVFJ-MWLCHTKSSA-N 0 0 443.478 -0.495 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000766564021 1073594481 /nfs/dbraw/zinc/59/44/81/1073594481.db2.gz OOBMTTJMVJQVFJ-ONGXEEELSA-N 0 0 443.478 -0.495 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)OCc1ccccc1 ZINC000766569468 1073594674 /nfs/dbraw/zinc/59/46/74/1073594674.db2.gz BJGDHLJCIIGTJY-UHFFFAOYSA-N 0 0 443.460 -0.070 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCC(=O)OCc3ccccc3)cnc2n(C)c1=O ZINC000766570469 1073594546 /nfs/dbraw/zinc/59/45/46/1073594546.db2.gz HIIKVXRCDBNLGS-UHFFFAOYSA-N 0 0 439.428 -0.180 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)OCc1ccccc1 ZINC000766575341 1073595218 /nfs/dbraw/zinc/59/52/18/1073595218.db2.gz JFFBPKDGUKBYFZ-UHFFFAOYSA-N 0 0 443.460 -0.070 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CCN1CCOC ZINC000766588963 1073595275 /nfs/dbraw/zinc/59/52/75/1073595275.db2.gz FYLJBNGFEHMXJJ-HNNXBMFYSA-N 0 0 429.543 -0.175 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CCN1CCOC ZINC000766588965 1073595055 /nfs/dbraw/zinc/59/50/55/1073595055.db2.gz FYLJBNGFEHMXJJ-OAHLLOKOSA-N 0 0 429.543 -0.175 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCCOc2ccc(S(=O)(=O)C(F)(F)F)cc2)C1=O ZINC000766600777 1073595302 /nfs/dbraw/zinc/59/53/02/1073595302.db2.gz MZPDBHBUMQYUER-UHFFFAOYSA-N 0 0 437.352 -0.104 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H]1CCCS1(=O)=O ZINC000766607993 1073595820 /nfs/dbraw/zinc/59/58/20/1073595820.db2.gz RGOGRNYPNKRFIM-AWEZNQCLSA-N 0 0 429.520 -0.481 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H]1CCCS1(=O)=O ZINC000766607999 1073595931 /nfs/dbraw/zinc/59/59/31/1073595931.db2.gz RGOGRNYPNKRFIM-CQSZACIVSA-N 0 0 429.520 -0.481 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000766609263 1073595806 /nfs/dbraw/zinc/59/58/06/1073595806.db2.gz WSIQFJUHDTZZNO-GFCCVEGCSA-N 0 0 428.898 -0.092 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000766609264 1073595742 /nfs/dbraw/zinc/59/57/42/1073595742.db2.gz WSIQFJUHDTZZNO-LBPRGKRZSA-N 0 0 428.898 -0.092 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCS(=O)(=O)CC2CC2)c1 ZINC000766609942 1073595378 /nfs/dbraw/zinc/59/53/78/1073595378.db2.gz AVUMWIZWFVNUKZ-UHFFFAOYSA-N 0 0 431.536 -0.275 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCS(=O)(=O)CC3CC3)CC2=O)c1 ZINC000766610897 1073595312 /nfs/dbraw/zinc/59/53/12/1073595312.db2.gz BHMHGMJINNLULM-CYBMUJFWSA-N 0 0 429.520 -0.372 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCS(=O)(=O)CC3CC3)CC2=O)c1 ZINC000766610898 1073595393 /nfs/dbraw/zinc/59/53/93/1073595393.db2.gz BHMHGMJINNLULM-ZDUSSCGKSA-N 0 0 429.520 -0.372 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)CC2CC2)s1 ZINC000766614426 1073595972 /nfs/dbraw/zinc/59/59/72/1073595972.db2.gz NMYUKBIBVCHDQB-UHFFFAOYSA-N 0 0 437.565 -0.397 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCS(=O)(=O)CC1CC1 ZINC000766625013 1073597666 /nfs/dbraw/zinc/59/76/66/1073597666.db2.gz ACRXLEQJECNVDP-UHFFFAOYSA-N 0 0 447.535 -0.175 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCS(=O)(=O)CC1CC1 ZINC000766625085 1073597616 /nfs/dbraw/zinc/59/76/16/1073597616.db2.gz BQIAJSKEZXSSNE-UHFFFAOYSA-N 0 0 443.547 -0.233 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)NCCS(=O)(=O)CC2CC2)c1 ZINC000766625127 1073597747 /nfs/dbraw/zinc/59/77/47/1073597747.db2.gz BLDUKVZSQSORSJ-UHFFFAOYSA-N 0 0 435.499 -0.045 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)NCCS(=O)(=O)CC2CC2)CC1 ZINC000766625150 1073597478 /nfs/dbraw/zinc/59/74/78/1073597478.db2.gz BSEGJXUZKYCNHQ-UHFFFAOYSA-N 0 0 436.534 -0.046 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)NCCS(=O)(=O)CC3CC3)cc2)CC1 ZINC000766625861 1073597321 /nfs/dbraw/zinc/59/73/21/1073597321.db2.gz GLWXIGSCMBTDBP-UHFFFAOYSA-N 0 0 436.534 -0.046 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCS(=O)(=O)CC1CC1)CC2 ZINC000766625899 1073597598 /nfs/dbraw/zinc/59/75/98/1073597598.db2.gz IDABQSNLSVONLA-UHFFFAOYSA-N 0 0 443.547 -0.185 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCS(=O)(=O)CC1CC1)CC2 ZINC000766625934 1073598309 /nfs/dbraw/zinc/59/83/09/1073598309.db2.gz JABPHTHJOAOGLE-UHFFFAOYSA-N 0 0 429.520 -0.575 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)CC2CC2)cc1)N1CCOCC1 ZINC000766627660 1073598287 /nfs/dbraw/zinc/59/82/87/1073598287.db2.gz WLUZEMLZBJASNL-UHFFFAOYSA-N 0 0 437.518 -0.033 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)CC2CC2)cc1 ZINC000766627708 1073598262 /nfs/dbraw/zinc/59/82/62/1073598262.db2.gz WPSAPFTZDFZGJT-UHFFFAOYSA-N 0 0 433.508 -0.252 20 0 IBADRN CN(CCOC(=O)[C@H]1CC(=O)N(Cc2ccccn2)C1)S(=O)(=O)N1CCOCC1 ZINC000766638961 1073598124 /nfs/dbraw/zinc/59/81/24/1073598124.db2.gz MSWCDUIESLQVGP-HNNXBMFYSA-N 0 0 426.495 -0.518 20 0 IBADRN CN(CCOC(=O)[C@@H]1CC(=O)N(Cc2ccccn2)C1)S(=O)(=O)N1CCOCC1 ZINC000766638970 1073598215 /nfs/dbraw/zinc/59/82/15/1073598215.db2.gz MSWCDUIESLQVGP-OAHLLOKOSA-N 0 0 426.495 -0.518 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2cccc(C(F)(F)F)c2)CC1 ZINC000766690207 1073600799 /nfs/dbraw/zinc/60/07/99/1073600799.db2.gz BWEVSVQCWYVMPQ-UHFFFAOYSA-N 0 0 434.440 -0.030 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000766708637 1073601346 /nfs/dbraw/zinc/60/13/46/1073601346.db2.gz PLQFQIKDANCLDC-UHFFFAOYSA-N 0 0 434.536 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)CCn3cc[nH]c(=O)c3=O)CC2)cc1 ZINC000766709122 1073601578 /nfs/dbraw/zinc/60/15/78/1073601578.db2.gz SFTUDRHVXREYOK-UHFFFAOYSA-N 0 0 436.490 -0.142 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CNC(=O)OCC(F)(F)F)CC2)cn1C ZINC000766744061 1073602140 /nfs/dbraw/zinc/60/21/40/1073602140.db2.gz PFKYADSKFRXNSQ-UHFFFAOYSA-N 0 0 427.405 -0.150 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000766757936 1073602938 /nfs/dbraw/zinc/60/29/38/1073602938.db2.gz PMMPJJQCJCZLJR-UHFFFAOYSA-N 0 0 426.561 -0.726 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)N3CCC4(CC3)OCCO4)CC2)cc1 ZINC000766763896 1073602892 /nfs/dbraw/zinc/60/28/92/1073602892.db2.gz LWKXKPAKZIMINJ-UHFFFAOYSA-N 0 0 446.551 -0.460 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2)no1 ZINC000766765174 1073602845 /nfs/dbraw/zinc/60/28/45/1073602845.db2.gz QTVCJQPOSZPTGA-UHFFFAOYSA-N 0 0 438.485 -0.293 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)N2CCC3(CC2)OCCO3)cc1 ZINC000766771273 1073602946 /nfs/dbraw/zinc/60/29/46/1073602946.db2.gz AKBQBCBJDDDJSD-UHFFFAOYSA-N 0 0 449.551 -0.215 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC000766773949 1073602914 /nfs/dbraw/zinc/60/29/14/1073602914.db2.gz ACTPYVIHPYJVHG-UHFFFAOYSA-N 0 0 432.485 -0.347 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNS(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000766775408 1073602937 /nfs/dbraw/zinc/60/29/37/1073602937.db2.gz MCTBRYIZWPKASB-UHFFFAOYSA-N 0 0 448.542 -0.261 20 0 IBADRN Cn1cc([C@H](O)C[C@@H]2CCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000766776579 1073602941 /nfs/dbraw/zinc/60/29/41/1073602941.db2.gz OPCNZPYGRWTVAE-DLBZAZTESA-N 0 0 428.559 -0.405 20 0 IBADRN Cn1cc([C@H](O)C[C@H]2CCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000766776582 1073602876 /nfs/dbraw/zinc/60/28/76/1073602876.db2.gz OPCNZPYGRWTVAE-IAGOWNOFSA-N 0 0 428.559 -0.405 20 0 IBADRN Cn1cc([C@@H](O)C[C@@H]2CCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000766776585 1073603535 /nfs/dbraw/zinc/60/35/35/1073603535.db2.gz OPCNZPYGRWTVAE-IRXDYDNUSA-N 0 0 428.559 -0.405 20 0 IBADRN Cn1cc([C@@H](O)C[C@H]2CCCN2C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cn1 ZINC000766776588 1073603639 /nfs/dbraw/zinc/60/36/39/1073603639.db2.gz OPCNZPYGRWTVAE-SJORKVTESA-N 0 0 428.559 -0.405 20 0 IBADRN O=C(c1ccc(CNS(=O)(=O)N2CCC3(CC2)OCCO3)cc1)N1CCNC(=O)C1 ZINC000766780257 1073603658 /nfs/dbraw/zinc/60/36/58/1073603658.db2.gz WPIZBXKJWMNZPH-UHFFFAOYSA-N 0 0 438.506 -0.568 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@]3(CC(=O)OC)CCOC3)cc2S1(=O)=O ZINC000766782972 1073603473 /nfs/dbraw/zinc/60/34/73/1073603473.db2.gz OUOZGYWSWSHGEN-GOSISDBHSA-N 0 0 426.447 -0.071 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@]3(CC(=O)OC)CCOC3)cc2S1(=O)=O ZINC000766782973 1073603644 /nfs/dbraw/zinc/60/36/44/1073603644.db2.gz OUOZGYWSWSHGEN-SFHVURJKSA-N 0 0 426.447 -0.071 20 0 IBADRN Cc1cc(N2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2)n2ncnc2n1 ZINC000766789128 1073604118 /nfs/dbraw/zinc/60/41/18/1073604118.db2.gz QVMBXCWWKDSUKV-UHFFFAOYSA-N 0 0 432.485 -0.278 20 0 IBADRN O=C(CCNS(=O)(=O)N1CCC2(CC1)OCCO2)N1CCN(c2ccccn2)CC1 ZINC000766792870 1073604188 /nfs/dbraw/zinc/60/41/88/1073604188.db2.gz LKFIOXXCAFDIEG-UHFFFAOYSA-N 0 0 439.538 -0.206 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000766793012 1073604163 /nfs/dbraw/zinc/60/41/63/1073604163.db2.gz IVANTIISLAWKDJ-UHFFFAOYSA-N 0 0 433.527 -0.196 20 0 IBADRN COC(=O)c1nccc2c1cccc2S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000766794071 1073604167 /nfs/dbraw/zinc/60/41/67/1073604167.db2.gz SYZRGTAZCSUFGV-UHFFFAOYSA-N 0 0 443.503 -0.038 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000766794275 1073604180 /nfs/dbraw/zinc/60/41/80/1073604180.db2.gz WRYRRYBZIHCMDM-UHFFFAOYSA-N 0 0 441.915 -0.856 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)N2CCC3(CC2)OCCO3)C1 ZINC000766794955 1073604220 /nfs/dbraw/zinc/60/42/20/1073604220.db2.gz VOEMNVJJFIKROJ-HNNXBMFYSA-N 0 0 433.527 -0.196 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)N2CCC3(CC2)OCCO3)C1 ZINC000766794958 1073604134 /nfs/dbraw/zinc/60/41/34/1073604134.db2.gz VOEMNVJJFIKROJ-OAHLLOKOSA-N 0 0 433.527 -0.196 20 0 IBADRN O=C(CCNS(=O)(=O)N1CCC2(CC1)OCCO2)N1CCN(c2ncccn2)CC1 ZINC000766795111 1073604198 /nfs/dbraw/zinc/60/41/98/1073604198.db2.gz XNQGPUBXFHTTPI-UHFFFAOYSA-N 0 0 440.526 -0.811 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000766799927 1073604877 /nfs/dbraw/zinc/60/48/77/1073604877.db2.gz HTKRKYQMKADJES-INIZCTEOSA-N 0 0 434.559 -0.771 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000766799928 1073604853 /nfs/dbraw/zinc/60/48/53/1073604853.db2.gz HTKRKYQMKADJES-MRXNPFEDSA-N 0 0 434.559 -0.771 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNS(=O)(=O)N2CCC3(CC2)OCCO3)c1 ZINC000766800155 1073604146 /nfs/dbraw/zinc/60/41/46/1073604146.db2.gz LGIRHPWVOWUETB-UHFFFAOYSA-N 0 0 448.523 -0.794 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNS(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000766800860 1073604971 /nfs/dbraw/zinc/60/49/71/1073604971.db2.gz SUKPYJHARNQVNR-UHFFFAOYSA-N 0 0 439.538 -0.043 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)Nc1ccc2c(c1)N(S(N)(=O)=O)CC2 ZINC000766804106 1073604994 /nfs/dbraw/zinc/60/49/94/1073604994.db2.gz JCZMWUTYRGRCTM-UHFFFAOYSA-N 0 0 427.483 -0.168 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)N1CCC2(CC1)OCCO2)NC[C@@H]1CCCCO1 ZINC000766805789 1073604986 /nfs/dbraw/zinc/60/49/86/1073604986.db2.gz MCNFTVCBQSXDLM-AWEZNQCLSA-N 0 0 427.545 -0.852 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)N1CCC2(CC1)OCCO2)NC[C@H]1CCCCO1 ZINC000766805792 1073605001 /nfs/dbraw/zinc/60/50/01/1073605001.db2.gz MCNFTVCBQSXDLM-CQSZACIVSA-N 0 0 427.545 -0.852 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)N2CCC3(CC2)OCCO3)c1 ZINC000766807097 1073604935 /nfs/dbraw/zinc/60/49/35/1073604935.db2.gz BENOSGVHOZKBMQ-UHFFFAOYSA-N 0 0 449.551 -0.215 20 0 IBADRN O=S(=O)(NCC1CCN(S(=O)(=O)C(F)F)CC1)N1CCC2(CC1)OCCO2 ZINC000766809120 1073604228 /nfs/dbraw/zinc/60/42/28/1073604228.db2.gz ZEXMYJYISDURJZ-UHFFFAOYSA-N 0 0 433.499 -0.076 20 0 IBADRN O=C1CCc2cc(OC[C@@H](O)CNS(=O)(=O)N3CCC4(CC3)OCCO4)ccc2N1 ZINC000766814146 1073605563 /nfs/dbraw/zinc/60/55/63/1073605563.db2.gz SCZZLXFMWJLGGU-HNNXBMFYSA-N 0 0 441.506 -0.016 20 0 IBADRN O=C1CCc2cc(OC[C@H](O)CNS(=O)(=O)N3CCC4(CC3)OCCO4)ccc2N1 ZINC000766814147 1073605447 /nfs/dbraw/zinc/60/54/47/1073605447.db2.gz SCZZLXFMWJLGGU-OAHLLOKOSA-N 0 0 441.506 -0.016 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)N1CCC2(CC1)OCCO2 ZINC000766816167 1073605536 /nfs/dbraw/zinc/60/55/36/1073605536.db2.gz DDCQOLQYIXCWRZ-UHFFFAOYSA-N 0 0 449.551 -0.527 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC000766821461 1073605504 /nfs/dbraw/zinc/60/55/04/1073605504.db2.gz RBAYNAPFRWBXAE-UHFFFAOYSA-N 0 0 433.870 -0.400 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000766822269 1073605514 /nfs/dbraw/zinc/60/55/14/1073605514.db2.gz ZQWSMUIKMYHROE-KBPBESRZSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000766822270 1073605477 /nfs/dbraw/zinc/60/54/77/1073605477.db2.gz ZQWSMUIKMYHROE-KGLIPLIRSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000766822271 1073605595 /nfs/dbraw/zinc/60/55/95/1073605595.db2.gz ZQWSMUIKMYHROE-UONOGXRCSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000766822272 1073605458 /nfs/dbraw/zinc/60/54/58/1073605458.db2.gz ZQWSMUIKMYHROE-ZIAGYGMSSA-N 0 0 433.527 -0.178 20 0 IBADRN COC(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000766827655 1073605524 /nfs/dbraw/zinc/60/55/24/1073605524.db2.gz PJKRIUUITKWEKG-INIZCTEOSA-N 0 0 432.429 -0.006 20 0 IBADRN COC(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000766827657 1073605556 /nfs/dbraw/zinc/60/55/56/1073605556.db2.gz PJKRIUUITKWEKG-MRXNPFEDSA-N 0 0 432.429 -0.006 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCC2(CC1)OCCO2 ZINC000766828356 1073605497 /nfs/dbraw/zinc/60/54/97/1073605497.db2.gz VSFFCCHDEHJOBV-UHFFFAOYSA-N 0 0 437.462 -0.026 20 0 IBADRN COC(=O)C1(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000766831064 1073605425 /nfs/dbraw/zinc/60/54/25/1073605425.db2.gz FYSNOWRJHGZVFZ-UHFFFAOYSA-N 0 0 440.474 -0.180 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCC4(CC3)OCCO4)CC2)cn1C ZINC000766831077 1073605579 /nfs/dbraw/zinc/60/55/79/1073605579.db2.gz CNWKESUZOXDKBV-UHFFFAOYSA-N 0 0 449.555 -0.881 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC000766831484 1073605468 /nfs/dbraw/zinc/60/54/68/1073605468.db2.gz DAYMWQHEXJMUJH-UHFFFAOYSA-N 0 0 436.531 -0.862 20 0 IBADRN COC(=O)C1(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000766831811 1073605571 /nfs/dbraw/zinc/60/55/71/1073605571.db2.gz JKGPRWFRCKXRAZ-UHFFFAOYSA-N 0 0 440.474 -0.180 20 0 IBADRN COC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000766833232 1073605583 /nfs/dbraw/zinc/60/55/83/1073605583.db2.gz GUHGMRNFLXDCLB-UHFFFAOYSA-N 0 0 429.451 -0.379 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000766835032 1073605482 /nfs/dbraw/zinc/60/54/82/1073605482.db2.gz QHNKKTWGQVRROC-UHFFFAOYSA-N 0 0 441.572 -0.558 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000766836016 1073606184 /nfs/dbraw/zinc/60/61/84/1073606184.db2.gz YXIAYSMWKDDLAA-UHFFFAOYSA-N 0 0 427.545 -0.946 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)N1CCC2(CC1)OCCO2 ZINC000766837768 1073606249 /nfs/dbraw/zinc/60/62/49/1073606249.db2.gz RWRGRRBOARNTKK-INIZCTEOSA-N 0 0 440.522 -0.737 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NS(=O)(=O)N1CCC2(CC1)OCCO2 ZINC000766837769 1073606231 /nfs/dbraw/zinc/60/62/31/1073606231.db2.gz RWRGRRBOARNTKK-MRXNPFEDSA-N 0 0 440.522 -0.737 20 0 IBADRN Cc1ccn2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c2c1 ZINC000766842784 1073606145 /nfs/dbraw/zinc/60/61/45/1073606145.db2.gz YNVWRYYMJPPEIN-AWEZNQCLSA-N 0 0 426.520 -0.083 20 0 IBADRN Cc1ccn2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c2c1 ZINC000766842786 1073606174 /nfs/dbraw/zinc/60/61/74/1073606174.db2.gz YNVWRYYMJPPEIN-CQSZACIVSA-N 0 0 426.520 -0.083 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCCOc1ccc(S(=O)(=O)C(F)(F)F)cc1 ZINC000766853222 1073606255 /nfs/dbraw/zinc/60/62/55/1073606255.db2.gz HOVQSSZVKSVZKZ-UHFFFAOYSA-N 0 0 425.385 -0.273 20 0 IBADRN Cn1nccc1CC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000766860487 1073606798 /nfs/dbraw/zinc/60/67/98/1073606798.db2.gz IVYCKEHNNNMCCU-UHFFFAOYSA-N 0 0 430.508 -0.117 20 0 IBADRN CC(C)CN1N=C(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)CCC1=O ZINC000766860877 1073606839 /nfs/dbraw/zinc/60/68/39/1073606839.db2.gz QNWLZRHYDAHZKD-UHFFFAOYSA-N 0 0 446.508 -0.284 20 0 IBADRN COC(=O)c1cc(COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc(C(=O)OC)c1 ZINC000766866455 1073606823 /nfs/dbraw/zinc/60/68/23/1073606823.db2.gz WEBGOASVEHEVLC-UHFFFAOYSA-N 0 0 444.400 -0.250 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCC(N3CCS(=O)(=O)CC3)CC2)CC1 ZINC000766867305 1073606643 /nfs/dbraw/zinc/60/66/43/1073606643.db2.gz OGZOVCXLGWXXPP-INIZCTEOSA-N 0 0 430.571 -0.130 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCC(N3CCS(=O)(=O)CC3)CC2)CC1 ZINC000766867307 1073606724 /nfs/dbraw/zinc/60/67/24/1073606724.db2.gz OGZOVCXLGWXXPP-MRXNPFEDSA-N 0 0 430.571 -0.130 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCC(N3CCS(=O)(=O)CC3)CC2)CC1 ZINC000766868119 1073606929 /nfs/dbraw/zinc/60/69/29/1073606929.db2.gz HNWOAOONNRUHDG-UHFFFAOYSA-N 0 0 430.571 -0.082 20 0 IBADRN COC(=O)c1cc(COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(C(=O)OC)c1 ZINC000766868393 1073606913 /nfs/dbraw/zinc/60/69/13/1073606913.db2.gz JOPOWCPWMHFIDE-UHFFFAOYSA-N 0 0 444.400 -0.250 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC000766886595 1073608156 /nfs/dbraw/zinc/60/81/56/1073608156.db2.gz GJAIEDQVEUYYAH-UHFFFAOYSA-N 0 0 441.514 -0.738 20 0 IBADRN COC(=O)C1(C(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000766889341 1073608917 /nfs/dbraw/zinc/60/89/17/1073608917.db2.gz NJOYCMMJPZYXMF-UHFFFAOYSA-N 0 0 440.474 -0.066 20 0 IBADRN Cc1ccc(N(C(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)[C@@H]2C=CS(=O)(=O)C2)cc1C ZINC000766913838 1073608957 /nfs/dbraw/zinc/60/89/57/1073608957.db2.gz OTFWIGCOKFAHFK-MSOLQXFVSA-N 0 0 446.529 -0.410 20 0 IBADRN Cc1ccc(N(C(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)[C@@H]2C=CS(=O)(=O)C2)cc1C ZINC000766913841 1073609066 /nfs/dbraw/zinc/60/90/66/1073609066.db2.gz OTFWIGCOKFAHFK-QZTJIDSGSA-N 0 0 446.529 -0.410 20 0 IBADRN Cc1ccc(N(C(=O)CN2CCN3C(=O)C(=O)NC[C@H]3C2)[C@H]2C=CS(=O)(=O)C2)cc1C ZINC000766913894 1073609018 /nfs/dbraw/zinc/60/90/18/1073609018.db2.gz OTFWIGCOKFAHFK-ROUUACIJSA-N 0 0 446.529 -0.410 20 0 IBADRN Cc1ccc(N(C(=O)CN2CCN3C(=O)C(=O)NC[C@@H]3C2)[C@H]2C=CS(=O)(=O)C2)cc1C ZINC000766913895 1073608652 /nfs/dbraw/zinc/60/86/52/1073608652.db2.gz OTFWIGCOKFAHFK-ZWKOTPCHSA-N 0 0 446.529 -0.410 20 0 IBADRN Cn1nc(Br)c2c1ncnc2N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000766919131 1073609622 /nfs/dbraw/zinc/60/96/22/1073609622.db2.gz CLLPZDBNTYKZLW-NXEZZACHSA-N 0 0 431.316 -0.594 20 0 IBADRN Cn1nc(Br)c2c1ncnc2N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000766919137 1073609570 /nfs/dbraw/zinc/60/95/70/1073609570.db2.gz CLLPZDBNTYKZLW-UWVGGRQHSA-N 0 0 431.316 -0.594 20 0 IBADRN Cn1nc(Br)c2c1ncnc2N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000766919140 1073609684 /nfs/dbraw/zinc/60/96/84/1073609684.db2.gz CLLPZDBNTYKZLW-VHSXEESVSA-N 0 0 431.316 -0.594 20 0 IBADRN Cn1nc(Br)c2c1ncnc2N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000766919143 1073609599 /nfs/dbraw/zinc/60/95/99/1073609599.db2.gz CLLPZDBNTYKZLW-ZJUUUORDSA-N 0 0 431.316 -0.594 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CC(=O)N(Cc3ccccn3)C2)CC1 ZINC000766924395 1073609561 /nfs/dbraw/zinc/60/95/61/1073609561.db2.gz FHSVKZMWTCBDSP-INIZCTEOSA-N 0 0 431.493 -0.794 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N(Cc3ccccn3)C2)CC1 ZINC000766924404 1073609658 /nfs/dbraw/zinc/60/96/58/1073609658.db2.gz FHSVKZMWTCBDSP-MRXNPFEDSA-N 0 0 431.493 -0.794 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H]1CC(=O)N(Cc3ccccn3)C1)c(=O)n2C ZINC000766928104 1073610407 /nfs/dbraw/zinc/61/04/07/1073610407.db2.gz YKBDNFCWTOOKGH-AWEZNQCLSA-N 0 0 440.460 -0.189 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H]1CC(=O)N(Cc3ccccn3)C1)c(=O)n2C ZINC000766928107 1073610427 /nfs/dbraw/zinc/61/04/27/1073610427.db2.gz YKBDNFCWTOOKGH-CQSZACIVSA-N 0 0 440.460 -0.189 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CC(=O)N(Cc2ccccn2)C1)S(C)(=O)=O ZINC000766928723 1073610189 /nfs/dbraw/zinc/61/01/89/1073610189.db2.gz ULQVEATVKJNWAA-AWEZNQCLSA-N 0 0 426.495 -0.629 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CC(=O)N(Cc2ccccn2)C1)S(C)(=O)=O ZINC000766928726 1073610285 /nfs/dbraw/zinc/61/02/85/1073610285.db2.gz ULQVEATVKJNWAA-CQSZACIVSA-N 0 0 426.495 -0.629 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H]1C[C@@H](O)c1cnn(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000766948461 1073610880 /nfs/dbraw/zinc/61/08/80/1073610880.db2.gz SSMQJQZTOQESGE-FGTMMUONSA-N 0 0 428.555 -0.030 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H]1C[C@H](O)c1cnn(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000766948462 1073611061 /nfs/dbraw/zinc/61/10/61/1073611061.db2.gz SSMQJQZTOQESGE-KURKYZTESA-N 0 0 428.555 -0.030 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H]1C[C@@H](O)c1cnn(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000766948463 1073611031 /nfs/dbraw/zinc/61/10/31/1073611031.db2.gz SSMQJQZTOQESGE-KZNAEPCWSA-N 0 0 428.555 -0.030 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@H]1C[C@H](O)c1cnn(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC000766948464 1073610978 /nfs/dbraw/zinc/61/09/78/1073610978.db2.gz SSMQJQZTOQESGE-SQNIBIBYSA-N 0 0 428.555 -0.030 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000766982850 1073611513 /nfs/dbraw/zinc/61/15/13/1073611513.db2.gz AWARFLYNXVQALN-KRWDZBQOSA-N 0 0 442.513 -0.114 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000766982851 1073611614 /nfs/dbraw/zinc/61/16/14/1073611614.db2.gz AWARFLYNXVQALN-QGZVFWFLSA-N 0 0 442.513 -0.114 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000766987848 1073611022 /nfs/dbraw/zinc/61/10/22/1073611022.db2.gz FXFSMFQUQKEQGT-UHFFFAOYSA-N 0 0 426.470 -0.749 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)OCC(=O)NC1CCC2(CC1)OCCO2 ZINC000766988259 1073611007 /nfs/dbraw/zinc/61/10/07/1073611007.db2.gz MITYAIQTEUBICP-UHFFFAOYSA-N 0 0 429.470 -0.028 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000766990508 1073611015 /nfs/dbraw/zinc/61/10/15/1073611015.db2.gz KIKWLMBELYPCSX-NEPJUHHUSA-N 0 0 435.499 -0.796 20 0 IBADRN C[C@H](OC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000766990515 1073611036 /nfs/dbraw/zinc/61/10/36/1073611036.db2.gz KIKWLMBELYPCSX-NWDGAFQWSA-N 0 0 435.499 -0.796 20 0 IBADRN C[C@H](OC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000766990516 1073610843 /nfs/dbraw/zinc/61/08/43/1073610843.db2.gz KIKWLMBELYPCSX-RYUDHWBXSA-N 0 0 435.499 -0.796 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000766990517 1073611109 /nfs/dbraw/zinc/61/11/09/1073611109.db2.gz KIKWLMBELYPCSX-VXGBXAGGSA-N 0 0 435.499 -0.796 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCS(=O)(=O)CC1CC1 ZINC000767003243 1073612448 /nfs/dbraw/zinc/61/24/48/1073612448.db2.gz OHYCFVXQTGODRH-UHFFFAOYSA-N 0 0 433.552 -0.077 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2[O-])CC1 ZINC000767010874 1073612246 /nfs/dbraw/zinc/61/22/46/1073612246.db2.gz AGFNZCIRMORUPV-UHFFFAOYSA-N 0 0 448.567 -0.013 20 0 IBADRN COC(=O)C[C@@]1([NH2+]CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CCOC1 ZINC000767031055 1073612372 /nfs/dbraw/zinc/61/23/72/1073612372.db2.gz LMERHJBREBQCHG-PMACEKPBSA-N 0 0 434.449 -0.195 20 0 IBADRN COC(=O)C[C@@]1([NH2+]CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CCOC1 ZINC000767031062 1073612349 /nfs/dbraw/zinc/61/23/49/1073612349.db2.gz LMERHJBREBQCHG-UXHICEINSA-N 0 0 434.449 -0.195 20 0 IBADRN COC(=O)C[C@]1([NH2+]CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CCOC1 ZINC000767031065 1073612474 /nfs/dbraw/zinc/61/24/74/1073612474.db2.gz LMERHJBREBQCHG-VQTJNVASSA-N 0 0 434.449 -0.195 20 0 IBADRN COC(=O)C[C@]1([NH2+]CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CCOC1 ZINC000767031067 1073612384 /nfs/dbraw/zinc/61/23/84/1073612384.db2.gz LMERHJBREBQCHG-WOJBJXKFSA-N 0 0 434.449 -0.195 20 0 IBADRN CC(C)CN1N=C(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCC1=O ZINC000767032634 1073612276 /nfs/dbraw/zinc/61/22/76/1073612276.db2.gz NPEULWWEFBHEJY-AWEZNQCLSA-N 0 0 448.567 -0.718 20 0 IBADRN CC(C)CN1N=C(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCC1=O ZINC000767032636 1073612236 /nfs/dbraw/zinc/61/22/36/1073612236.db2.gz NPEULWWEFBHEJY-CQSZACIVSA-N 0 0 448.567 -0.718 20 0 IBADRN COC(=O)C[C@@]1([NH2+]CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCOC1 ZINC000767035022 1073612457 /nfs/dbraw/zinc/61/24/57/1073612457.db2.gz ZCEVLUIFNZFMDH-PMACEKPBSA-N 0 0 434.449 -0.195 20 0 IBADRN COC(=O)C[C@@]1([NH2+]CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCOC1 ZINC000767035023 1073612327 /nfs/dbraw/zinc/61/23/27/1073612327.db2.gz ZCEVLUIFNZFMDH-UXHICEINSA-N 0 0 434.449 -0.195 20 0 IBADRN COC(=O)C[C@]1([NH2+]CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCOC1 ZINC000767035024 1073612412 /nfs/dbraw/zinc/61/24/12/1073612412.db2.gz ZCEVLUIFNZFMDH-VQTJNVASSA-N 0 0 434.449 -0.195 20 0 IBADRN COC(=O)C[C@]1([NH2+]CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCOC1 ZINC000767035025 1073612400 /nfs/dbraw/zinc/61/24/00/1073612400.db2.gz ZCEVLUIFNZFMDH-WOJBJXKFSA-N 0 0 434.449 -0.195 20 0 IBADRN CCCn1cc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1 ZINC000767037202 1073612308 /nfs/dbraw/zinc/61/23/08/1073612308.db2.gz MIXSSGYJJRFBEN-UHFFFAOYSA-N 0 0 440.482 -0.017 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CCc3ccc(S(N)(=O)=O)cc3)CC2)cn1C ZINC000767096244 1073613857 /nfs/dbraw/zinc/61/38/57/1073613857.db2.gz JGCXUQXTQDTLKL-UHFFFAOYSA-N 0 0 427.552 -0.075 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CC(=O)N3CCC4(CC3)OCCO4)CC2)cn1C ZINC000767096303 1073613107 /nfs/dbraw/zinc/61/31/07/1073613107.db2.gz KDMDXQDBCPYPGA-UHFFFAOYSA-N 0 0 427.527 -0.600 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(CO)CO)CC2)cc1 ZINC000767097434 1073613836 /nfs/dbraw/zinc/61/38/36/1073613836.db2.gz ASDQSRPUZWDEOK-UHFFFAOYSA-N 0 0 430.479 -0.938 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(CO)CO)CC2)cc1 ZINC000767098071 1073613789 /nfs/dbraw/zinc/61/37/89/1073613789.db2.gz KFGPMDIIGYVLMY-UHFFFAOYSA-N 0 0 444.506 -0.548 20 0 IBADRN CC(CO)(CO)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000767099112 1073613058 /nfs/dbraw/zinc/61/30/58/1073613058.db2.gz RINJGCAXPUOREL-UHFFFAOYSA-N 0 0 436.433 -0.668 20 0 IBADRN CC(CO)(CO)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000767099836 1073613849 /nfs/dbraw/zinc/61/38/49/1073613849.db2.gz VRXYWGRUODZBIR-UHFFFAOYSA-N 0 0 440.927 -0.232 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(CO)CO)CC2)cc1C ZINC000767100345 1073613014 /nfs/dbraw/zinc/61/30/14/1073613014.db2.gz YISKBYRWHQXGOD-UHFFFAOYSA-N 0 0 428.507 -0.330 20 0 IBADRN CC(CO)(CO)C(=O)OCC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000767101217 1073613157 /nfs/dbraw/zinc/61/31/57/1073613157.db2.gz JPFXXYKAAHWTJY-GHXNOFRVSA-N 0 0 426.491 -0.335 20 0 IBADRN CC(CO)(CO)C(=O)OCC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000767101224 1073613128 /nfs/dbraw/zinc/61/31/28/1073613128.db2.gz JPFXXYKAAHWTJY-KPKJPENVSA-N 0 0 426.491 -0.335 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(CO)CO)CC2)c1 ZINC000767102321 1073613004 /nfs/dbraw/zinc/61/30/04/1073613004.db2.gz OUKOWGGCCNMSBM-UHFFFAOYSA-N 0 0 442.490 -0.744 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000767103369 1073613027 /nfs/dbraw/zinc/61/30/27/1073613027.db2.gz HFJGJQPHAUWKJI-GFCCVEGCSA-N 0 0 438.549 -0.254 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000767103371 1073613164 /nfs/dbraw/zinc/61/31/64/1073613164.db2.gz HFJGJQPHAUWKJI-LBPRGKRZSA-N 0 0 438.549 -0.254 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)OC(=O)C(C)(CO)CO)CC2)cc1 ZINC000767103431 1073613150 /nfs/dbraw/zinc/61/31/50/1073613150.db2.gz VPGJMIRRAZAMFZ-HNNXBMFYSA-N 0 0 428.507 -0.249 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)OC(=O)C(C)(CO)CO)CC2)cc1 ZINC000767103432 1073613098 /nfs/dbraw/zinc/61/30/98/1073613098.db2.gz VPGJMIRRAZAMFZ-OAHLLOKOSA-N 0 0 428.507 -0.249 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000767105418 1073612962 /nfs/dbraw/zinc/61/29/62/1073612962.db2.gz ULQJOSZAXVXFGJ-UHFFFAOYSA-N 0 0 425.507 -0.252 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000767105419 1073613095 /nfs/dbraw/zinc/61/30/95/1073613095.db2.gz ULRMWBSFCGTETM-UHFFFAOYSA-N 0 0 447.535 -0.301 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCS(=O)(=O)NC)C1=O ZINC000767105587 1073613082 /nfs/dbraw/zinc/61/30/82/1073613082.db2.gz VWNVPKOFLOMGOF-KRWDZBQOSA-N 0 0 426.451 -0.643 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCS(=O)(=O)NC)C1=O ZINC000767105590 1073613120 /nfs/dbraw/zinc/61/31/20/1073613120.db2.gz VWNVPKOFLOMGOF-QGZVFWFLSA-N 0 0 426.451 -0.643 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@H]1CCSC1 ZINC000767108288 1073613070 /nfs/dbraw/zinc/61/30/70/1073613070.db2.gz BKOHTPXRMLSIFG-AWEZNQCLSA-N 0 0 433.538 -0.008 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)[C@@H]1CCSC1 ZINC000767108292 1073612983 /nfs/dbraw/zinc/61/29/83/1073612983.db2.gz BKOHTPXRMLSIFG-CQSZACIVSA-N 0 0 433.538 -0.008 20 0 IBADRN CCOC(=O)C[C@H](O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000767116715 1073613778 /nfs/dbraw/zinc/61/37/78/1073613778.db2.gz DGUVMOIWMQIZOV-AWEZNQCLSA-N 0 0 435.543 -0.133 20 0 IBADRN CCOC(=O)C[C@@H](O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000767116716 1073613796 /nfs/dbraw/zinc/61/37/96/1073613796.db2.gz DGUVMOIWMQIZOV-CQSZACIVSA-N 0 0 435.543 -0.133 20 0 IBADRN CC(=O)N[C@@H](CC(=O)NCCOc1ccc(S(=O)(=O)C(F)(F)F)cc1)C(N)=O ZINC000767123307 1073613909 /nfs/dbraw/zinc/61/39/09/1073613909.db2.gz ABSFJLDDEROQJD-LBPRGKRZSA-N 0 0 425.385 -0.145 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2)n(C)n1 ZINC000767131325 1073613899 /nfs/dbraw/zinc/61/38/99/1073613899.db2.gz QQOZRJHCQBIRRR-CABCVRRESA-N 0 0 436.538 -0.021 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2)n(C)n1 ZINC000767131327 1073613829 /nfs/dbraw/zinc/61/38/29/1073613829.db2.gz QQOZRJHCQBIRRR-GJZGRUSLSA-N 0 0 436.538 -0.021 20 0 IBADRN Cc1cc(N2CCC[C@@H](NC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2)n(C)n1 ZINC000767131329 1073613846 /nfs/dbraw/zinc/61/38/46/1073613846.db2.gz QQOZRJHCQBIRRR-HUUCEWRRSA-N 0 0 436.538 -0.021 20 0 IBADRN Cc1cc(N2CCC[C@H](NC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2)n(C)n1 ZINC000767131331 1073613734 /nfs/dbraw/zinc/61/37/34/1073613734.db2.gz QQOZRJHCQBIRRR-LSDHHAIUSA-N 0 0 436.538 -0.021 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCC(=O)Nc1ccc(-n2cncn2)cc1 ZINC000767143698 1073613870 /nfs/dbraw/zinc/61/38/70/1073613870.db2.gz AKWACYHLZKTYSZ-UHFFFAOYSA-N 0 0 431.453 -0.037 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2)nn1 ZINC000767148097 1073614435 /nfs/dbraw/zinc/61/44/35/1073614435.db2.gz IGDKONSLGLKOIF-UHFFFAOYSA-N 0 0 436.469 -0.447 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000767168923 1073613767 /nfs/dbraw/zinc/61/37/67/1073613767.db2.gz SWWLZHXTORGSIZ-ZDUSSCGKSA-N 0 0 443.526 -0.869 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000767195071 1073614519 /nfs/dbraw/zinc/61/45/19/1073614519.db2.gz XFJRJYNCBWVFMQ-KBPBESRZSA-N 0 0 433.527 -0.632 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000767195072 1073614526 /nfs/dbraw/zinc/61/45/26/1073614526.db2.gz XFJRJYNCBWVFMQ-KGLIPLIRSA-N 0 0 433.527 -0.632 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000767195073 1073614513 /nfs/dbraw/zinc/61/45/13/1073614513.db2.gz XFJRJYNCBWVFMQ-UONOGXRCSA-N 0 0 433.527 -0.632 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000767195074 1073614455 /nfs/dbraw/zinc/61/44/55/1073614455.db2.gz XFJRJYNCBWVFMQ-ZIAGYGMSSA-N 0 0 433.527 -0.632 20 0 IBADRN Cn1c2ncn(CCC(=O)N(CCO)CCNC(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC000767196060 1073614480 /nfs/dbraw/zinc/61/44/80/1073614480.db2.gz CJTYTUIACOJIOZ-UHFFFAOYSA-N 0 0 438.485 -0.831 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000767201640 1073614474 /nfs/dbraw/zinc/61/44/74/1073614474.db2.gz JKKCLZKJCHAQTD-CYBMUJFWSA-N 0 0 446.526 -0.503 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000767201641 1073614394 /nfs/dbraw/zinc/61/43/94/1073614394.db2.gz JKKCLZKJCHAQTD-ZDUSSCGKSA-N 0 0 446.526 -0.503 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NCCN3CCCS3(=O)=O)CC2)cc1 ZINC000767203742 1073614532 /nfs/dbraw/zinc/61/45/32/1073614532.db2.gz FEJPPBWQFWHORG-UHFFFAOYSA-N 0 0 445.567 -0.075 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767215993 1073614408 /nfs/dbraw/zinc/61/44/08/1073614408.db2.gz BWCLLQRAGCKPDL-UHFFFAOYSA-N 0 0 433.556 -0.171 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NCCCN3C(=O)CNC3=O)CC2)cc1 ZINC000767217162 1073614505 /nfs/dbraw/zinc/61/45/05/1073614505.db2.gz NFLUUUAEMJTDRH-UHFFFAOYSA-N 0 0 438.510 -0.168 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767217472 1073614542 /nfs/dbraw/zinc/61/45/42/1073614542.db2.gz YDHADTWWTIXQQP-UHFFFAOYSA-N 0 0 433.556 -0.171 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767229696 1073614423 /nfs/dbraw/zinc/61/44/23/1073614423.db2.gz HQXGFHMDZNGIIL-UHFFFAOYSA-N 0 0 448.567 -0.049 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)CC2CC2)CC1 ZINC000767235453 1073615098 /nfs/dbraw/zinc/61/50/98/1073615098.db2.gz ZEOCLYZRBBIGEO-UHFFFAOYSA-N 0 0 425.573 -0.107 20 0 IBADRN CCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc1C ZINC000767236575 1073615127 /nfs/dbraw/zinc/61/51/27/1073615127.db2.gz ISBYJDRADWBSIB-CYBMUJFWSA-N 0 0 440.569 -0.965 20 0 IBADRN CCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc1C ZINC000767236576 1073615165 /nfs/dbraw/zinc/61/51/65/1073615165.db2.gz ISBYJDRADWBSIB-ZDUSSCGKSA-N 0 0 440.569 -0.965 20 0 IBADRN O=C(CCS(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1)OCc1ccccc1 ZINC000767237071 1073615168 /nfs/dbraw/zinc/61/51/68/1073615168.db2.gz XJHSWPAVTRITNM-UHFFFAOYSA-N 0 0 439.534 -0.074 20 0 IBADRN O=C(CCS(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1)OCc1ccccc1 ZINC000767237948 1073615062 /nfs/dbraw/zinc/61/50/62/1073615062.db2.gz UMILECOYILRETM-UHFFFAOYSA-N 0 0 439.534 -0.074 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC000767238146 1073615176 /nfs/dbraw/zinc/61/51/76/1073615176.db2.gz ZWKIUVMVDQUJOU-CVEARBPZSA-N 0 0 425.511 -0.077 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC000767238147 1073615154 /nfs/dbraw/zinc/61/51/54/1073615154.db2.gz ZWKIUVMVDQUJOU-HOTGVXAUSA-N 0 0 425.511 -0.077 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC000767238149 1073615088 /nfs/dbraw/zinc/61/50/88/1073615088.db2.gz ZWKIUVMVDQUJOU-HZPDHXFCSA-N 0 0 425.511 -0.077 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC000767238150 1073615123 /nfs/dbraw/zinc/61/51/23/1073615123.db2.gz ZWKIUVMVDQUJOU-JKSUJKDBSA-N 0 0 425.511 -0.077 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)CN1C(=O)c2ccccc2C1=O ZINC000767239205 1073615157 /nfs/dbraw/zinc/61/51/57/1073615157.db2.gz XOEJSUKJRNIULX-UHFFFAOYSA-N 0 0 429.410 -0.108 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)CCC(=O)OCc2ccccc2)CC1 ZINC000767239512 1073615102 /nfs/dbraw/zinc/61/51/02/1073615102.db2.gz IHNWUGYRUOIYJB-UHFFFAOYSA-N 0 0 433.552 -0.384 20 0 IBADRN CC(C)c1nc(N(C)C)nc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000767242448 1073615075 /nfs/dbraw/zinc/61/50/75/1073615075.db2.gz UVELOFJAXPTCLV-CYBMUJFWSA-N 0 0 432.572 -0.300 20 0 IBADRN CC(C)c1nc(N(C)C)nc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000767242451 1073615174 /nfs/dbraw/zinc/61/51/74/1073615174.db2.gz UVELOFJAXPTCLV-ZDUSSCGKSA-N 0 0 432.572 -0.300 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCC(=O)OCc1ccccc1 ZINC000767242853 1073615147 /nfs/dbraw/zinc/61/51/47/1073615147.db2.gz HXUFOHWAOIZVGA-HNNXBMFYSA-N 0 0 432.520 -0.315 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCC(=O)OCc1ccccc1 ZINC000767242855 1073615084 /nfs/dbraw/zinc/61/50/84/1073615084.db2.gz HXUFOHWAOIZVGA-OAHLLOKOSA-N 0 0 432.520 -0.315 20 0 IBADRN O=C(CCN1C(=O)c2ccccc2C1=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000767242972 1073615114 /nfs/dbraw/zinc/61/51/14/1073615114.db2.gz AKUUICRPKJSAGB-UHFFFAOYSA-N 0 0 444.425 -0.245 20 0 IBADRN O=C(CCNS(=O)(=O)CCC(=O)OCc1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC000767243252 1073615150 /nfs/dbraw/zinc/61/51/50/1073615150.db2.gz PAVSFDNBYZXTBI-HNNXBMFYSA-N 0 0 432.520 -0.267 20 0 IBADRN O=C(CCNS(=O)(=O)CCC(=O)OCc1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000767243253 1073615117 /nfs/dbraw/zinc/61/51/17/1073615117.db2.gz PAVSFDNBYZXTBI-OAHLLOKOSA-N 0 0 432.520 -0.267 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)CN1C(=O)c2ccccc2C1=O ZINC000767243375 1073615107 /nfs/dbraw/zinc/61/51/07/1073615107.db2.gz FMRNOGSFQOKIAL-UHFFFAOYSA-N 0 0 430.398 -0.635 20 0 IBADRN COC(=O)[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cc(OC)cc(OC)c1 ZINC000767256268 1073615729 /nfs/dbraw/zinc/61/57/29/1073615729.db2.gz UFXZFUZDLIHHQT-INIZCTEOSA-N 0 0 446.416 -0.092 20 0 IBADRN COC(=O)[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cc(OC)cc(OC)c1 ZINC000767256270 1073615712 /nfs/dbraw/zinc/61/57/12/1073615712.db2.gz UFXZFUZDLIHHQT-MRXNPFEDSA-N 0 0 446.416 -0.092 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)CN1C(=O)c2ccccc2C1=O ZINC000767259486 1073615663 /nfs/dbraw/zinc/61/56/63/1073615663.db2.gz KAGGXQUAGVVAGD-UHFFFAOYSA-N 0 0 429.410 -0.108 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCC(=O)CN1C(=O)c2ccccc2C1=O ZINC000767264592 1073615629 /nfs/dbraw/zinc/61/56/29/1073615629.db2.gz SEMSLKPQLYFANM-UHFFFAOYSA-N 0 0 435.414 -0.022 20 0 IBADRN COC(=O)[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1cc(OC)cc(OC)c1 ZINC000767269805 1073615137 /nfs/dbraw/zinc/61/51/37/1073615137.db2.gz GMEVSCLADONOPR-INIZCTEOSA-N 0 0 446.416 -0.092 20 0 IBADRN COC(=O)[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1cc(OC)cc(OC)c1 ZINC000767269806 1073615753 /nfs/dbraw/zinc/61/57/53/1073615753.db2.gz GMEVSCLADONOPR-MRXNPFEDSA-N 0 0 446.416 -0.092 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)CCN2C(=O)c3ccccc3C2=O)c(=O)n(C)c1=O ZINC000767276705 1073615871 /nfs/dbraw/zinc/61/58/71/1073615871.db2.gz FOHMKGDQMSNXKC-BQYQJAHWSA-N 0 0 425.397 -0.104 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)CCN2C(=O)c3ccccc3C2=O)c(=O)n(C)c1=O ZINC000767276706 1073615738 /nfs/dbraw/zinc/61/57/38/1073615738.db2.gz FOHMKGDQMSNXKC-FPLPWBNLSA-N 0 0 425.397 -0.104 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)CN3C(=O)c4ccccc4C3=O)cnc2n(C)c1=O ZINC000767277755 1073615830 /nfs/dbraw/zinc/61/58/30/1073615830.db2.gz MTBXVWNTQJWPED-UHFFFAOYSA-N 0 0 436.380 -0.346 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)CN3C(=O)c4ccccc4C3=O)nc2n(C)c1=O ZINC000767278605 1073616488 /nfs/dbraw/zinc/61/64/88/1073616488.db2.gz HVLLOQDAMMRHBL-UHFFFAOYSA-N 0 0 436.380 -0.346 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)CN1C(=O)c2ccccc2C1=O ZINC000767278900 1073615705 /nfs/dbraw/zinc/61/57/05/1073615705.db2.gz MHALQFIZTDXWAN-CHWSQXEVSA-N 0 0 448.453 -0.570 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)CN1C(=O)c2ccccc2C1=O ZINC000767278901 1073615682 /nfs/dbraw/zinc/61/56/82/1073615682.db2.gz MHALQFIZTDXWAN-OLZOCXBDSA-N 0 0 448.453 -0.570 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)CN1C(=O)c2ccccc2C1=O ZINC000767278902 1073615698 /nfs/dbraw/zinc/61/56/98/1073615698.db2.gz MHALQFIZTDXWAN-QWHCGFSZSA-N 0 0 448.453 -0.570 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)CN1C(=O)c2ccccc2C1=O ZINC000767278903 1073616318 /nfs/dbraw/zinc/61/63/18/1073616318.db2.gz MHALQFIZTDXWAN-STQMWFEESA-N 0 0 448.453 -0.570 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)CCN2C(=O)c3ccccc3C2=O)cn1 ZINC000767283474 1073616364 /nfs/dbraw/zinc/61/63/64/1073616364.db2.gz JURQKTBPTYSXNI-UHFFFAOYSA-N 0 0 434.430 -0.503 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C2(NC(N)=O)CC2)CC1 ZINC000767289149 1073615856 /nfs/dbraw/zinc/61/58/56/1073615856.db2.gz KQMPPZVKLGWQTB-UHFFFAOYSA-N 0 0 444.535 -0.568 20 0 IBADRN CCc1nn(C)c(Cl)c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000767290228 1073615840 /nfs/dbraw/zinc/61/58/40/1073615840.db2.gz CVYOPVWFOIMSNM-LLVKDONJSA-N 0 0 438.959 -0.089 20 0 IBADRN CCc1nn(C)c(Cl)c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000767290250 1073615798 /nfs/dbraw/zinc/61/57/98/1073615798.db2.gz CVYOPVWFOIMSNM-NSHDSACASA-N 0 0 438.959 -0.089 20 0 IBADRN O=C(c1cccc(-c2nc[nH]n2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000767292808 1073615912 /nfs/dbraw/zinc/61/59/12/1073615912.db2.gz UORKLTFVSADYDE-HNNXBMFYSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(c1cccc(-c2nc[nH]n2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000767292816 1073615762 /nfs/dbraw/zinc/61/57/62/1073615762.db2.gz UORKLTFVSADYDE-OAHLLOKOSA-N 0 0 439.519 -0.254 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000767293129 1073615809 /nfs/dbraw/zinc/61/58/09/1073615809.db2.gz ALMIEAXMXFBEPJ-UHFFFAOYSA-N 0 0 444.491 -0.135 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NS(=O)(=O)N3CCC4(CC3)OCCO4)C2)cc1 ZINC000767295384 1073615893 /nfs/dbraw/zinc/61/58/93/1073615893.db2.gz KYHLQQSDIXNSGN-UHFFFAOYSA-N 0 0 447.535 -0.259 20 0 IBADRN Cn1c(C(=O)OCC(=O)CN2C(=O)c3ccccc3C2=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000767306503 1073615876 /nfs/dbraw/zinc/61/58/76/1073615876.db2.gz QDWQMIVTCKNZIJ-UHFFFAOYSA-N 0 0 438.396 -0.402 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)CCN2C(=O)c3ccccc3C2=O)c1 ZINC000767309281 1073615785 /nfs/dbraw/zinc/61/57/85/1073615785.db2.gz BNZQTUUXCCTWJS-UHFFFAOYSA-N 0 0 434.430 -0.281 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000767313273 1073616440 /nfs/dbraw/zinc/61/64/40/1073616440.db2.gz BXVZPJQVZJKDEO-UHFFFAOYSA-N 0 0 447.535 -0.224 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)CN2C(=O)c3ccccc3C2=O)cn1C ZINC000767315681 1073616475 /nfs/dbraw/zinc/61/64/75/1073616475.db2.gz AFXKWLJFMTYHAY-UHFFFAOYSA-N 0 0 434.430 -0.585 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767320404 1073616459 /nfs/dbraw/zinc/61/64/59/1073616459.db2.gz HLZNNRKMSXLTIE-UHFFFAOYSA-N 0 0 439.581 -0.055 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)CN2C(=O)c3ccccc3C2=O)cn1C ZINC000767321224 1073616453 /nfs/dbraw/zinc/61/64/53/1073616453.db2.gz SBJWGSMNEHQHKV-UHFFFAOYSA-N 0 0 448.457 -0.195 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)CCN2C(=O)c3ccccc3C2=O)cn1C ZINC000767323245 1073616350 /nfs/dbraw/zinc/61/63/50/1073616350.db2.gz XUIJUBMSXNMWEB-UHFFFAOYSA-N 0 0 448.457 -0.195 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767325489 1073616446 /nfs/dbraw/zinc/61/64/46/1073616446.db2.gz JBDJOAYOVJKRQL-UHFFFAOYSA-N 0 0 425.554 -0.446 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767326995 1073616467 /nfs/dbraw/zinc/61/64/67/1073616467.db2.gz WDYAUSNVQISLSN-UHFFFAOYSA-N 0 0 434.540 -0.080 20 0 IBADRN COCCN(CCNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC000767339231 1073616416 /nfs/dbraw/zinc/61/64/16/1073616416.db2.gz OICDBIJCFYXSPE-UHFFFAOYSA-N 0 0 444.576 -0.719 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)CN2C(=O)c3ccccc3C2=O)cc1 ZINC000767355339 1073617140 /nfs/dbraw/zinc/61/71/40/1073617140.db2.gz CSCMTUIFQOGPNS-UHFFFAOYSA-N 0 0 444.421 -0.032 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)CCN2C(=O)c3ccccc3C2=O)c1 ZINC000767369826 1073617048 /nfs/dbraw/zinc/61/70/48/1073617048.db2.gz USZBEXVFQAXQIG-UHFFFAOYSA-N 0 0 434.430 -0.503 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)CN1C(=O)c2ccccc2C1=O ZINC000767372077 1073616981 /nfs/dbraw/zinc/61/69/81/1073616981.db2.gz DVNCSQMPRXAHNL-LLVKDONJSA-N 0 0 434.430 -0.505 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)CN1C(=O)c2ccccc2C1=O ZINC000767372085 1073617073 /nfs/dbraw/zinc/61/70/73/1073617073.db2.gz DVNCSQMPRXAHNL-NSHDSACASA-N 0 0 434.430 -0.505 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000767374481 1073617869 /nfs/dbraw/zinc/61/78/69/1073617869.db2.gz OPJPLYMVTWMPBJ-UHFFFAOYSA-N 0 0 431.423 -0.438 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000767375018 1073617083 /nfs/dbraw/zinc/61/70/83/1073617083.db2.gz QTYGWYLVQSJDEC-AWEZNQCLSA-N 0 0 445.494 -0.548 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)O[C@H](C)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000767375019 1073617559 /nfs/dbraw/zinc/61/75/59/1073617559.db2.gz QTYGWYLVQSJDEC-CQSZACIVSA-N 0 0 445.494 -0.548 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)CCN1C(=O)c2ccccc2C1=O ZINC000767375079 1073617669 /nfs/dbraw/zinc/61/76/69/1073617669.db2.gz STIHIAJCHXBMPX-GFCCVEGCSA-N 0 0 448.457 -0.115 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)CCN1C(=O)c2ccccc2C1=O ZINC000767375083 1073617840 /nfs/dbraw/zinc/61/78/40/1073617840.db2.gz STIHIAJCHXBMPX-LBPRGKRZSA-N 0 0 448.457 -0.115 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCc1nnc2n1c1ccsc1c(=O)n2C ZINC000767375588 1073617782 /nfs/dbraw/zinc/61/77/82/1073617782.db2.gz GJZMHKYZMQXGAV-UHFFFAOYSA-N 0 0 429.480 -0.358 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000767375681 1073617543 /nfs/dbraw/zinc/61/75/43/1073617543.db2.gz UDUMSOWMQMOBMH-UHFFFAOYSA-N 0 0 431.467 -0.937 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(c2ccccc2F)CC1 ZINC000767377307 1073617641 /nfs/dbraw/zinc/61/76/41/1073617641.db2.gz MEVMCMHUWLNJOA-UHFFFAOYSA-N 0 0 431.486 -0.027 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000767379015 1073617574 /nfs/dbraw/zinc/61/75/74/1073617574.db2.gz QAVWLCKPKARLCA-UHFFFAOYSA-N 0 0 447.535 -0.468 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000767380344 1073617005 /nfs/dbraw/zinc/61/70/05/1073617005.db2.gz TUMMSKKGIQCABQ-HNNXBMFYSA-N 0 0 443.522 -0.072 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)O[C@H](C)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000767380351 1073617533 /nfs/dbraw/zinc/61/75/33/1073617533.db2.gz TUMMSKKGIQCABQ-OAHLLOKOSA-N 0 0 443.522 -0.072 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000767381171 1073616934 /nfs/dbraw/zinc/61/69/34/1073616934.db2.gz XCRYPCZNRVKFCF-UHFFFAOYSA-N 0 0 437.478 -0.111 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000767381238 1073617797 /nfs/dbraw/zinc/61/77/97/1073617797.db2.gz AVMDFYKNQXINBP-UHFFFAOYSA-N 0 0 433.552 -0.586 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000767385631 1073617620 /nfs/dbraw/zinc/61/76/20/1073617620.db2.gz FBYSEKNOYUSKQD-UHFFFAOYSA-N 0 0 425.507 -0.252 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000767386504 1073617525 /nfs/dbraw/zinc/61/75/25/1073617525.db2.gz GAYOWFIULVXATN-UHFFFAOYSA-N 0 0 437.496 -0.634 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000767386980 1073617722 /nfs/dbraw/zinc/61/77/22/1073617722.db2.gz MIGDPGWHABVZRP-UHFFFAOYSA-N 0 0 444.485 -0.609 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)CN2C(=O)c3ccccc3C2=O)c1 ZINC000767387554 1073618360 /nfs/dbraw/zinc/61/83/60/1073618360.db2.gz JYIBJQDYUDEUTH-UHFFFAOYSA-N 0 0 449.441 -0.039 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000767387798 1073617703 /nfs/dbraw/zinc/61/77/03/1073617703.db2.gz PFVBKOWTBLDHMC-UHFFFAOYSA-N 0 0 447.535 -0.857 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000767387806 1073617813 /nfs/dbraw/zinc/61/78/13/1073617813.db2.gz PGOGHOAKQNYWLL-UHFFFAOYSA-N 0 0 449.507 -0.872 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)NCC(=O)Nc1ccccc1Br ZINC000767389877 1073618510 /nfs/dbraw/zinc/61/85/10/1073618510.db2.gz OXOWCAFCACLGFC-UHFFFAOYSA-N 0 0 436.284 -0.014 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CNS(=O)(=O)CC)C1=O ZINC000767390726 1073618441 /nfs/dbraw/zinc/61/84/41/1073618441.db2.gz VFFGJGRYECAPMI-KRWDZBQOSA-N 0 0 426.451 -0.643 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CNS(=O)(=O)CC)C1=O ZINC000767390727 1073618571 /nfs/dbraw/zinc/61/85/71/1073618571.db2.gz VFFGJGRYECAPMI-QGZVFWFLSA-N 0 0 426.451 -0.643 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000767392946 1073618528 /nfs/dbraw/zinc/61/85/28/1073618528.db2.gz CJASKHCGIZBDKL-UHFFFAOYSA-N 0 0 427.479 -0.742 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(c3ccc(NS(C)(=O)=O)cc3)CC2)c(=O)n(C)c1=O ZINC000767393843 1073618577 /nfs/dbraw/zinc/61/85/77/1073618577.db2.gz GFOAKKDTCMRURS-RUDMXATFSA-N 0 0 447.517 -0.183 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(c3ccc(NS(C)(=O)=O)cc3)CC2)c(=O)n(C)c1=O ZINC000767393847 1073618555 /nfs/dbraw/zinc/61/85/55/1073618555.db2.gz GFOAKKDTCMRURS-WTKPLQERSA-N 0 0 447.517 -0.183 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000767394409 1073618472 /nfs/dbraw/zinc/61/84/72/1073618472.db2.gz BESZXFXRSFDYBC-UHFFFAOYSA-N 0 0 449.507 -0.872 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000767395127 1073618456 /nfs/dbraw/zinc/61/84/56/1073618456.db2.gz FAJWGVIYZCNDIP-UHFFFAOYSA-N 0 0 433.508 -0.108 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000767395456 1073618430 /nfs/dbraw/zinc/61/84/30/1073618430.db2.gz RKXHIAFWVCFWAA-UHFFFAOYSA-N 0 0 433.508 -0.998 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000767395952 1073618498 /nfs/dbraw/zinc/61/84/98/1073618498.db2.gz VQNCEYGEZRIIBH-GFCCVEGCSA-N 0 0 438.549 -0.254 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000767395955 1073618525 /nfs/dbraw/zinc/61/85/25/1073618525.db2.gz VQNCEYGEZRIIBH-LBPRGKRZSA-N 0 0 438.549 -0.254 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(c3ccc(NS(C)(=O)=O)cc3)CC1)c2=O ZINC000767397155 1073618487 /nfs/dbraw/zinc/61/84/87/1073618487.db2.gz WOQKCZOHJZXXCY-UHFFFAOYSA-N 0 0 445.505 -0.150 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000767397758 1073618373 /nfs/dbraw/zinc/61/83/73/1073618373.db2.gz JOTYJNSUBNRJHK-UHFFFAOYSA-N 0 0 447.535 -0.301 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000767398791 1073618409 /nfs/dbraw/zinc/61/84/09/1073618409.db2.gz QJMCXAOICRQUBQ-UHFFFAOYSA-N 0 0 436.508 -0.431 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000767399001 1073618548 /nfs/dbraw/zinc/61/85/48/1073618548.db2.gz XLOTXIGNPFPPAF-GOSISDBHSA-N 0 0 440.478 -0.557 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000767399003 1073618542 /nfs/dbraw/zinc/61/85/42/1073618542.db2.gz XLOTXIGNPFPPAF-SFHVURJKSA-N 0 0 440.478 -0.557 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767400185 1073618396 /nfs/dbraw/zinc/61/83/96/1073618396.db2.gz UIBCOHMWJOHXSL-UHFFFAOYSA-N 0 0 448.567 -0.337 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)cc1 ZINC000767401895 1073618562 /nfs/dbraw/zinc/61/85/62/1073618562.db2.gz JPSBQZBWJAGHET-UHFFFAOYSA-N 0 0 442.523 -0.749 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2cnc(C)nc2N)CC1 ZINC000767404552 1073617822 /nfs/dbraw/zinc/61/78/22/1073617822.db2.gz CGHKDRIMSAFKKT-UHFFFAOYSA-N 0 0 438.466 -0.338 20 0 IBADRN Cc1ncc(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)c(N)n1 ZINC000767408015 1073617763 /nfs/dbraw/zinc/61/77/63/1073617763.db2.gz PZDFBYYEUSXNFX-UHFFFAOYSA-N 0 0 449.489 -0.139 20 0 IBADRN Cc1ncc(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(N)n1 ZINC000767412436 1073617856 /nfs/dbraw/zinc/61/78/56/1073617856.db2.gz WCLTWDCJJUJAIQ-UHFFFAOYSA-N 0 0 449.489 -0.139 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC000767444923 1073619146 /nfs/dbraw/zinc/61/91/46/1073619146.db2.gz HGBBSUWRCMRQFV-HNNXBMFYSA-N 0 0 442.513 -0.602 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC000767444925 1073619163 /nfs/dbraw/zinc/61/91/63/1073619163.db2.gz HGBBSUWRCMRQFV-OAHLLOKOSA-N 0 0 442.513 -0.602 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CN(C(=O)OC(C)(C)C)CCO2)c(=O)n(C)c1=O ZINC000767445695 1073619205 /nfs/dbraw/zinc/61/92/05/1073619205.db2.gz JZZHOUGMDNEUHX-LLVKDONJSA-N 0 0 426.426 -0.972 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)c(=O)n(C)c1=O ZINC000767445697 1073619192 /nfs/dbraw/zinc/61/91/92/1073619192.db2.gz JZZHOUGMDNEUHX-NSHDSACASA-N 0 0 426.426 -0.972 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1)S(C)(=O)=O ZINC000767455073 1073619053 /nfs/dbraw/zinc/61/90/53/1073619053.db2.gz ZWFVGSHGGIGQCL-CYBMUJFWSA-N 0 0 437.515 -0.437 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)S(C)(=O)=O ZINC000767455074 1073619235 /nfs/dbraw/zinc/61/92/35/1073619235.db2.gz ZWFVGSHGGIGQCL-ZDUSSCGKSA-N 0 0 437.515 -0.437 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000767458915 1073619106 /nfs/dbraw/zinc/61/91/06/1073619106.db2.gz KZUPJZWECPMUPA-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000767458916 1073619247 /nfs/dbraw/zinc/61/92/47/1073619247.db2.gz KZUPJZWECPMUPA-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN O=S(=O)(CCc1cccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000767489470 1073619802 /nfs/dbraw/zinc/61/98/02/1073619802.db2.gz ANDNIXACVXUWIM-AWEZNQCLSA-N 0 0 442.606 -0.245 20 0 IBADRN O=S(=O)(CCc1cccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000767489479 1073619847 /nfs/dbraw/zinc/61/98/47/1073619847.db2.gz ANDNIXACVXUWIM-CQSZACIVSA-N 0 0 442.606 -0.245 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000767489759 1073619926 /nfs/dbraw/zinc/61/99/26/1073619926.db2.gz GLNWJCKJKSGGMA-HNNXBMFYSA-N 0 0 442.513 -0.554 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000767489766 1073619954 /nfs/dbraw/zinc/61/99/54/1073619954.db2.gz GLNWJCKJKSGGMA-OAHLLOKOSA-N 0 0 442.513 -0.554 20 0 IBADRN C[C@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)S(=O)(=O)C1CCOCC1 ZINC000767490216 1073619976 /nfs/dbraw/zinc/61/99/76/1073619976.db2.gz BAILGFOSACMZDH-GFCCVEGCSA-N 0 0 442.494 -0.651 20 0 IBADRN C[C@@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)S(=O)(=O)C1CCOCC1 ZINC000767490217 1073619961 /nfs/dbraw/zinc/61/99/61/1073619961.db2.gz BAILGFOSACMZDH-LBPRGKRZSA-N 0 0 442.494 -0.651 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000767491488 1073619219 /nfs/dbraw/zinc/61/92/19/1073619219.db2.gz OADFCJNTMGCQNH-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000767491489 1073619241 /nfs/dbraw/zinc/61/92/41/1073619241.db2.gz OADFCJNTMGCQNH-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)c(=O)n(C)c1=O ZINC000767493534 1073619088 /nfs/dbraw/zinc/61/90/88/1073619088.db2.gz QFLBLMQNMZESJT-LLVKDONJSA-N 0 0 440.453 -0.582 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)c(=O)n(C)c1=O ZINC000767493535 1073619122 /nfs/dbraw/zinc/61/91/22/1073619122.db2.gz QFLBLMQNMZESJT-NSHDSACASA-N 0 0 440.453 -0.582 20 0 IBADRN O=C(CN1CCN(C(=O)CO)CC1)NCCN1C(=O)S/C(=C\c2cccnc2)C1=O ZINC000767518899 1073619668 /nfs/dbraw/zinc/61/96/68/1073619668.db2.gz RQNWPTWUYAYMKD-GDNBJRDFSA-N 0 0 433.490 -0.629 20 0 IBADRN O=C(CN1CCN(C(=O)CO)CC1)NCCN1C(=O)S/C(=C/c2cccnc2)C1=O ZINC000767518900 1073619771 /nfs/dbraw/zinc/61/97/71/1073619771.db2.gz RQNWPTWUYAYMKD-XNTDXEJSSA-N 0 0 433.490 -0.629 20 0 IBADRN O=C(CO)N1CCN(CC(=O)N2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)CC1 ZINC000767521119 1073619749 /nfs/dbraw/zinc/61/97/49/1073619749.db2.gz JAXWHDWONXOIOW-GIDUJCDVSA-N 0 0 436.534 -0.732 20 0 IBADRN O=C(CO)N1CCN(CC(=O)N2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)CC1 ZINC000767521120 1073619900 /nfs/dbraw/zinc/61/99/00/1073619900.db2.gz JAXWHDWONXOIOW-UUASQNMZSA-N 0 0 436.534 -0.732 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000767534199 1073619854 /nfs/dbraw/zinc/61/98/54/1073619854.db2.gz LUEUSZBYWWACTF-INIZCTEOSA-N 0 0 432.524 -0.297 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000767534200 1073620394 /nfs/dbraw/zinc/62/03/94/1073620394.db2.gz LUEUSZBYWWACTF-MRXNPFEDSA-N 0 0 432.524 -0.297 20 0 IBADRN O=C(COC(=O)CCC(=O)N1CCN(c2ccccn2)CC1)NCC(=O)N1CCCC1 ZINC000767535163 1073620489 /nfs/dbraw/zinc/62/04/89/1073620489.db2.gz CNCVCGQWCWGDSC-UHFFFAOYSA-N 0 0 431.493 -0.208 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000767535641 1073620452 /nfs/dbraw/zinc/62/04/52/1073620452.db2.gz DFNAXNUOQMXDJE-HNNXBMFYSA-N 0 0 435.481 -0.086 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000767535642 1073620403 /nfs/dbraw/zinc/62/04/03/1073620403.db2.gz DFNAXNUOQMXDJE-OAHLLOKOSA-N 0 0 435.481 -0.086 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000767536165 1073620380 /nfs/dbraw/zinc/62/03/80/1073620380.db2.gz DUVBWQXSOMMXNM-INIZCTEOSA-N 0 0 431.493 -0.080 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC000767536166 1073620501 /nfs/dbraw/zinc/62/05/01/1073620501.db2.gz DUVBWQXSOMMXNM-MRXNPFEDSA-N 0 0 431.493 -0.080 20 0 IBADRN NC(=O)NC(=O)COC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000767537542 1073621120 /nfs/dbraw/zinc/62/11/20/1073621120.db2.gz KBGGUUIQESXJEN-UHFFFAOYSA-N 0 0 444.441 -0.823 20 0 IBADRN Cn1nnnc1COC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000767537543 1073621133 /nfs/dbraw/zinc/62/11/33/1073621133.db2.gz KCDMNGHIYHRWEY-UHFFFAOYSA-N 0 0 440.457 -0.294 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)OCCOCCO ZINC000767538880 1073621182 /nfs/dbraw/zinc/62/11/82/1073621182.db2.gz MRSMJAOSOSZOAR-UHFFFAOYSA-N 0 0 432.470 -0.009 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000767539218 1073621165 /nfs/dbraw/zinc/62/11/65/1073621165.db2.gz QFJXDXMHSCYKAQ-UHFFFAOYSA-N 0 0 431.493 -0.256 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)O[C@H](C)C(N)=O)CC2)cc1 ZINC000767539692 1073621206 /nfs/dbraw/zinc/62/12/06/1073621206.db2.gz RCLPXADBAFABPT-CYBMUJFWSA-N 0 0 427.479 -0.275 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)O[C@@H](C)C(N)=O)CC2)cc1 ZINC000767539693 1073621197 /nfs/dbraw/zinc/62/11/97/1073621197.db2.gz RCLPXADBAFABPT-ZDUSSCGKSA-N 0 0 427.479 -0.275 20 0 IBADRN CCNC(=O)COC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000767540819 1073621077 /nfs/dbraw/zinc/62/10/77/1073621077.db2.gz YOBIPANRYGBMKO-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN CCc1nn(C)c(Cl)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000767541891 1073621231 /nfs/dbraw/zinc/62/12/31/1073621231.db2.gz KKMVZIBADOAYQL-GFCCVEGCSA-N 0 0 431.946 -0.303 20 0 IBADRN CCc1nn(C)c(Cl)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000767541892 1073621113 /nfs/dbraw/zinc/62/11/13/1073621113.db2.gz KKMVZIBADOAYQL-LBPRGKRZSA-N 0 0 431.946 -0.303 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NN2C(=O)N[C@@](C)(c3ccccc3)C2=O)CC1 ZINC000767542326 1073621058 /nfs/dbraw/zinc/62/10/58/1073621058.db2.gz RSUCCXREZMPRNU-KRWDZBQOSA-N 0 0 425.467 -0.023 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NN2C(=O)N[C@](C)(c3ccccc3)C2=O)CC1 ZINC000767542329 1073621138 /nfs/dbraw/zinc/62/11/38/1073621138.db2.gz RSUCCXREZMPRNU-QGZVFWFLSA-N 0 0 425.467 -0.023 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccccc2S(=O)(=O)CCN(C)C)CC1 ZINC000767543749 1073621210 /nfs/dbraw/zinc/62/12/10/1073621210.db2.gz SDOFKPTWXWERHE-UHFFFAOYSA-N 0 0 425.507 -0.131 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)CC1 ZINC000767544657 1074380553 /nfs/dbraw/zinc/38/05/53/1074380553.db2.gz VVEQVDXJOISPFP-ZDUSSCGKSA-N 0 0 449.526 -0.452 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC000767546350 1073621159 /nfs/dbraw/zinc/62/11/59/1073621159.db2.gz GBHJJVDFCNHBAN-INIZCTEOSA-N 0 0 445.523 -0.830 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC000767546353 1073621093 /nfs/dbraw/zinc/62/10/93/1073621093.db2.gz GBHJJVDFCNHBAN-MRXNPFEDSA-N 0 0 445.523 -0.830 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000767548247 1073621190 /nfs/dbraw/zinc/62/11/90/1073621190.db2.gz AQVMHKZNRYKORJ-INIZCTEOSA-N 0 0 446.508 -0.472 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000767548296 1073621140 /nfs/dbraw/zinc/62/11/40/1073621140.db2.gz AQVMHKZNRYKORJ-MRXNPFEDSA-N 0 0 446.508 -0.472 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCC(=O)OCC(=O)N1CCNC(=O)C1)CC2 ZINC000767548393 1073621101 /nfs/dbraw/zinc/62/11/01/1073621101.db2.gz QXMYQJLRUBEBNK-UHFFFAOYSA-N 0 0 433.461 -0.130 20 0 IBADRN O=C(COC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)NCC(=O)N1CCCC1 ZINC000767548486 1073621790 /nfs/dbraw/zinc/62/17/90/1073621790.db2.gz BXXQPZFOIFTITJ-UHFFFAOYSA-N 0 0 432.481 -0.813 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000767549257 1073621224 /nfs/dbraw/zinc/62/12/24/1073621224.db2.gz DWEJPMOFDAPFJD-KRWDZBQOSA-N 0 0 446.508 -0.424 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000767549259 1073621124 /nfs/dbraw/zinc/62/11/24/1073621124.db2.gz DWEJPMOFDAPFJD-QGZVFWFLSA-N 0 0 446.508 -0.424 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCc3ccc(S(N)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000767550367 1073621748 /nfs/dbraw/zinc/62/17/48/1073621748.db2.gz XEKXCYQBMXQQTE-UHFFFAOYSA-N 0 0 435.462 -0.743 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000767550413 1073621762 /nfs/dbraw/zinc/62/17/62/1073621762.db2.gz LFCBBXQTRPSNNI-HNNXBMFYSA-N 0 0 432.481 -0.685 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000767550424 1073621713 /nfs/dbraw/zinc/62/17/13/1073621713.db2.gz LFCBBXQTRPSNNI-OAHLLOKOSA-N 0 0 432.481 -0.685 20 0 IBADRN C[C@@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000767551292 1073621672 /nfs/dbraw/zinc/62/16/72/1073621672.db2.gz NTNLCVGNUIBTPJ-CVEARBPZSA-N 0 0 446.508 -0.439 20 0 IBADRN C[C@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000767551295 1073621685 /nfs/dbraw/zinc/62/16/85/1073621685.db2.gz NTNLCVGNUIBTPJ-HOTGVXAUSA-N 0 0 446.508 -0.439 20 0 IBADRN C[C@@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000767551297 1073621772 /nfs/dbraw/zinc/62/17/72/1073621772.db2.gz NTNLCVGNUIBTPJ-HZPDHXFCSA-N 0 0 446.508 -0.439 20 0 IBADRN C[C@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000767551298 1073621785 /nfs/dbraw/zinc/62/17/85/1073621785.db2.gz NTNLCVGNUIBTPJ-JKSUJKDBSA-N 0 0 446.508 -0.439 20 0 IBADRN O=C(COC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)NC(=O)NCC(F)(F)F ZINC000767551422 1073621795 /nfs/dbraw/zinc/62/17/95/1073621795.db2.gz DFAJYLYCVXAIRZ-UHFFFAOYSA-N 0 0 446.386 -0.163 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000767551971 1073621757 /nfs/dbraw/zinc/62/17/57/1073621757.db2.gz QXZPGTQJEJUSPE-UHFFFAOYSA-N 0 0 447.492 -0.140 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000767552011 1073621720 /nfs/dbraw/zinc/62/17/20/1073621720.db2.gz GRIOPHFNAKGXRO-UHFFFAOYSA-N 0 0 429.481 -0.159 20 0 IBADRN C[C@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N(C)CC(=O)NC1CC1 ZINC000767552012 1073621778 /nfs/dbraw/zinc/62/17/78/1073621778.db2.gz RRHSNLYTHIOSGE-HNNXBMFYSA-N 0 0 446.508 -0.426 20 0 IBADRN C[C@@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N(C)CC(=O)NC1CC1 ZINC000767552014 1073621805 /nfs/dbraw/zinc/62/18/05/1073621805.db2.gz RRHSNLYTHIOSGE-OAHLLOKOSA-N 0 0 446.508 -0.426 20 0 IBADRN Cn1cc(N2CC[C@H](OC(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000767552037 1073621745 /nfs/dbraw/zinc/62/17/45/1073621745.db2.gz SJLFMMZFIKJIOD-INIZCTEOSA-N 0 0 427.465 -0.012 20 0 IBADRN Cn1cc(N2CC[C@@H](OC(=O)CCC(=O)N3CCN(c4ncccn4)CC3)C2=O)cn1 ZINC000767552039 1073621801 /nfs/dbraw/zinc/62/18/01/1073621801.db2.gz SJLFMMZFIKJIOD-MRXNPFEDSA-N 0 0 427.465 -0.012 20 0 IBADRN CS(=O)(=O)CC1(COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000767552381 1073620422 /nfs/dbraw/zinc/62/04/22/1073620422.db2.gz XWPSETVFSHAWMH-UHFFFAOYSA-N 0 0 430.504 -0.211 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000767552685 1073620462 /nfs/dbraw/zinc/62/04/62/1073620462.db2.gz LBZQPMYWUWOEKU-AWEZNQCLSA-N 0 0 436.469 -0.691 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000767552689 1073620510 /nfs/dbraw/zinc/62/05/10/1073620510.db2.gz LBZQPMYWUWOEKU-CQSZACIVSA-N 0 0 436.469 -0.691 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000767552948 1073620516 /nfs/dbraw/zinc/62/05/16/1073620516.db2.gz NAZUUDNMUFCGSK-UHFFFAOYSA-N 0 0 432.481 -0.861 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000767553047 1073621692 /nfs/dbraw/zinc/62/16/92/1073621692.db2.gz RDCPFVMXGQEBPW-UHFFFAOYSA-N 0 0 447.492 -0.186 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC000767553582 1073620444 /nfs/dbraw/zinc/62/04/44/1073620444.db2.gz ZVXOYXMTPVBOAW-UHFFFAOYSA-N 0 0 434.497 -0.521 20 0 IBADRN CS(=O)(=O)CC1(COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000767553997 1073620413 /nfs/dbraw/zinc/62/04/13/1073620413.db2.gz IDCOGEWICNDQPJ-UHFFFAOYSA-N 0 0 430.504 -0.211 20 0 IBADRN NS(=O)(=O)c1ccc(CCOC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000767556085 1073620474 /nfs/dbraw/zinc/62/04/74/1073620474.db2.gz YZDNFIYHINHWCB-KBPBESRZSA-N 0 0 430.504 -0.545 20 0 IBADRN NS(=O)(=O)c1ccc(CCOC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000767556088 1073620369 /nfs/dbraw/zinc/62/03/69/1073620369.db2.gz YZDNFIYHINHWCB-KGLIPLIRSA-N 0 0 430.504 -0.545 20 0 IBADRN NS(=O)(=O)c1ccc(CCOC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000767556089 1073620469 /nfs/dbraw/zinc/62/04/69/1073620469.db2.gz YZDNFIYHINHWCB-UONOGXRCSA-N 0 0 430.504 -0.545 20 0 IBADRN NS(=O)(=O)c1ccc(CCOC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000767556090 1073620439 /nfs/dbraw/zinc/62/04/39/1073620439.db2.gz YZDNFIYHINHWCB-ZIAGYGMSSA-N 0 0 430.504 -0.545 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@@H]3CCO)CC2)cc1 ZINC000767589168 1073622358 /nfs/dbraw/zinc/62/23/58/1073622358.db2.gz BVBIXTBLSSENSP-INIZCTEOSA-N 0 0 427.523 -0.389 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3CCO)CC2)cc1 ZINC000767589172 1073622323 /nfs/dbraw/zinc/62/23/23/1073622323.db2.gz BVBIXTBLSSENSP-MRXNPFEDSA-N 0 0 427.523 -0.389 20 0 IBADRN O=C(CN1CCOC[C@@H]1CCO)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000767591149 1073622417 /nfs/dbraw/zinc/62/24/17/1073622417.db2.gz UXPROFDVKBQCBL-KRWDZBQOSA-N 0 0 427.523 -0.593 20 0 IBADRN O=C(CN1CCOC[C@H]1CCO)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000767591152 1073622402 /nfs/dbraw/zinc/62/24/02/1073622402.db2.gz UXPROFDVKBQCBL-QGZVFWFLSA-N 0 0 427.523 -0.593 20 0 IBADRN O=C(CN1CCOC[C@@H]1CCO)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000767594063 1073622361 /nfs/dbraw/zinc/62/23/61/1073622361.db2.gz MKKBCSYVHRRSDH-AWEZNQCLSA-N 0 0 433.477 -0.119 20 0 IBADRN O=C(CN1CCOC[C@H]1CCO)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000767594067 1073622292 /nfs/dbraw/zinc/62/22/92/1073622292.db2.gz MKKBCSYVHRRSDH-CQSZACIVSA-N 0 0 433.477 -0.119 20 0 IBADRN O=C(CN1CCOC[C@@H]1CCO)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000767595379 1073623004 /nfs/dbraw/zinc/62/30/04/1073623004.db2.gz ZBUUUUAHQBOSMR-KRWDZBQOSA-N 0 0 427.523 -0.593 20 0 IBADRN O=C(CN1CCOC[C@H]1CCO)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000767595382 1073623035 /nfs/dbraw/zinc/62/30/35/1073623035.db2.gz ZBUUUUAHQBOSMR-QGZVFWFLSA-N 0 0 427.523 -0.593 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3CCO)CC2)c1 ZINC000767604613 1073623140 /nfs/dbraw/zinc/62/31/40/1073623140.db2.gz CLXALAUYBARUTR-GOSISDBHSA-N 0 0 439.534 -0.195 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@@H]3CCO)CC2)c1 ZINC000767604615 1073622966 /nfs/dbraw/zinc/62/29/66/1073622966.db2.gz CLXALAUYBARUTR-SFHVURJKSA-N 0 0 439.534 -0.195 20 0 IBADRN O=C(CNC(=O)c1cccnc1)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000767605008 1073623110 /nfs/dbraw/zinc/62/31/10/1073623110.db2.gz FIZHAIBUBXPGOF-CVEARBPZSA-N 0 0 439.490 -0.451 20 0 IBADRN O=C(CNC(=O)c1cccnc1)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000767605011 1073623023 /nfs/dbraw/zinc/62/30/23/1073623023.db2.gz FIZHAIBUBXPGOF-HOTGVXAUSA-N 0 0 439.490 -0.451 20 0 IBADRN O=C(CNC(=O)c1cccnc1)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000767605015 1073623132 /nfs/dbraw/zinc/62/31/32/1073623132.db2.gz FIZHAIBUBXPGOF-HZPDHXFCSA-N 0 0 439.490 -0.451 20 0 IBADRN O=C(CNC(=O)c1cccnc1)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000767605017 1073623086 /nfs/dbraw/zinc/62/30/86/1073623086.db2.gz FIZHAIBUBXPGOF-JKSUJKDBSA-N 0 0 439.490 -0.451 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@H]3CCO)CC2)cc1 ZINC000767605206 1073623125 /nfs/dbraw/zinc/62/31/25/1073623125.db2.gz COZCIPHDHOSNBR-GOSISDBHSA-N 0 0 439.534 -0.195 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOC[C@@H]3CCO)CC2)cc1 ZINC000767605207 1073623040 /nfs/dbraw/zinc/62/30/40/1073623040.db2.gz COZCIPHDHOSNBR-SFHVURJKSA-N 0 0 439.534 -0.195 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CNC(=O)c2cccnc2)CC1 ZINC000767606934 1073622940 /nfs/dbraw/zinc/62/29/40/1073622940.db2.gz PYWZGZOSRIABSA-UHFFFAOYSA-N 0 0 438.448 -0.620 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@@H]1CCO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000767607879 1073623138 /nfs/dbraw/zinc/62/31/38/1073623138.db2.gz CVUQWZBJOGBAJY-AWEZNQCLSA-N 0 0 427.502 -0.750 20 0 IBADRN COCCN(C(=O)CN1CCOC[C@H]1CCO)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000767607880 1073622996 /nfs/dbraw/zinc/62/29/96/1073622996.db2.gz CVUQWZBJOGBAJY-CQSZACIVSA-N 0 0 427.502 -0.750 20 0 IBADRN COc1ccc(NC(=O)CN2CCOC[C@@H]2CCO)cc1S(=O)(=O)N1CCOCC1 ZINC000767609332 1073622983 /nfs/dbraw/zinc/62/29/83/1073622983.db2.gz RETJDKJRIFVPEZ-INIZCTEOSA-N 0 0 443.522 -0.262 20 0 IBADRN COc1ccc(NC(=O)CN2CCOC[C@H]2CCO)cc1S(=O)(=O)N1CCOCC1 ZINC000767609336 1073623015 /nfs/dbraw/zinc/62/30/15/1073623015.db2.gz RETJDKJRIFVPEZ-MRXNPFEDSA-N 0 0 443.522 -0.262 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)N(CCO)CCNC(=O)OC(C)(C)C)cnc2n(C)c1=O ZINC000767610018 1073622394 /nfs/dbraw/zinc/62/23/94/1073622394.db2.gz HYSGVWMAQJAELB-UHFFFAOYSA-N 0 0 436.469 -0.017 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCC(=O)c2ccc3c(c2)CCC(=O)N3)c(=O)n(C)c1=O ZINC000767610823 1073622374 /nfs/dbraw/zinc/62/23/74/1073622374.db2.gz NEZYVCANPFOAOJ-UHFFFAOYSA-N 0 0 442.428 -0.060 20 0 IBADRN O=C(C[C@@H]1COC(=O)C1)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000767612939 1073622410 /nfs/dbraw/zinc/62/24/10/1073622410.db2.gz OFQBLDPFMPDKDI-PBHICJAKSA-N 0 0 432.429 -0.006 20 0 IBADRN O=C(C[C@@H]1COC(=O)C1)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000767613791 1073622343 /nfs/dbraw/zinc/62/23/43/1073622343.db2.gz OFQBLDPFMPDKDI-RHSMWYFYSA-N 0 0 432.429 -0.006 20 0 IBADRN O=C(C[C@H]1COC(=O)C1)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000767613794 1073622302 /nfs/dbraw/zinc/62/23/02/1073622302.db2.gz OFQBLDPFMPDKDI-WMLDXEAASA-N 0 0 432.429 -0.006 20 0 IBADRN O=C(C[C@H]1COC(=O)C1)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000767613807 1073622440 /nfs/dbraw/zinc/62/24/40/1073622440.db2.gz OFQBLDPFMPDKDI-YOEHRIQHSA-N 0 0 432.429 -0.006 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)c1cccnc1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000767616217 1073624236 /nfs/dbraw/zinc/62/42/36/1073624236.db2.gz WGWXHBOMOMESOD-CYBMUJFWSA-N 0 0 434.474 -0.251 20 0 IBADRN C[C@H](OC(=O)CNC(=O)c1cccnc1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000767616232 1073624253 /nfs/dbraw/zinc/62/42/53/1073624253.db2.gz WGWXHBOMOMESOD-ZDUSSCGKSA-N 0 0 434.474 -0.251 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCCc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000767617529 1073624257 /nfs/dbraw/zinc/62/42/57/1073624257.db2.gz WBLITDADZQQEIW-UHFFFAOYSA-N 0 0 430.508 -0.170 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCC2(N3CCOCC3)CC(OCC)C2)CC1 ZINC000767618797 1073624272 /nfs/dbraw/zinc/62/42/72/1073624272.db2.gz ASMFMGKOCGADOW-UHFFFAOYSA-N 0 0 434.559 -0.135 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CNC(=O)c1cccnc1 ZINC000767624382 1073624186 /nfs/dbraw/zinc/62/41/86/1073624186.db2.gz AYMLAZISVAWLTH-GFCCVEGCSA-N 0 0 432.458 -0.020 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CNC(=O)c1cccnc1 ZINC000767624383 1073624289 /nfs/dbraw/zinc/62/42/89/1073624289.db2.gz AYMLAZISVAWLTH-LBPRGKRZSA-N 0 0 432.458 -0.020 20 0 IBADRN O=C(CNC(=O)c1cccnc1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000767625029 1073624292 /nfs/dbraw/zinc/62/42/92/1073624292.db2.gz HLZNLCKXMNQLGC-UHFFFAOYSA-N 0 0 446.485 -0.112 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C3(NC(N)=O)CC3)C2)CC1 ZINC000767632335 1073623667 /nfs/dbraw/zinc/62/36/67/1073623667.db2.gz KZZKBOPBJVNMFS-UHFFFAOYSA-N 0 0 431.515 -0.719 20 0 IBADRN Cc1cc(C(=O)OCCc2ccc(S(N)(=O)=O)cc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000767646178 1073624963 /nfs/dbraw/zinc/62/49/63/1073624963.db2.gz RQUOBZHLXXLBJY-UHFFFAOYSA-N 0 0 432.458 -0.012 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)OCCc2ccc(S(N)(=O)=O)cc2)cc1 ZINC000767649384 1073624936 /nfs/dbraw/zinc/62/49/36/1073624936.db2.gz YYRWSQSURVIJMA-UHFFFAOYSA-N 0 0 426.472 -0.008 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC000767659629 1073625551 /nfs/dbraw/zinc/62/55/51/1073625551.db2.gz XUVFEVOOWWDPOF-UHFFFAOYSA-N 0 0 431.536 -0.257 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCCc2ccc(S(N)(=O)=O)cc2)c1 ZINC000767671358 1073624947 /nfs/dbraw/zinc/62/49/47/1073624947.db2.gz CPMKWSWKNOBOPE-UHFFFAOYSA-N 0 0 431.492 -0.014 20 0 IBADRN COc1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnc1Cl ZINC000767676403 1073625587 /nfs/dbraw/zinc/62/55/87/1073625587.db2.gz RYBWJRZSMZDNSF-UHFFFAOYSA-N 0 0 432.886 -0.565 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000767681312 1073626262 /nfs/dbraw/zinc/62/62/62/1073626262.db2.gz LXBHSWMDNGFXJG-AWEZNQCLSA-N 0 0 440.497 -0.264 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000767681347 1073626324 /nfs/dbraw/zinc/62/63/24/1073626324.db2.gz LXBHSWMDNGFXJG-CQSZACIVSA-N 0 0 440.497 -0.264 20 0 IBADRN Cc1c(C(=O)OCCc2ccc(S(N)(=O)=O)cc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000767693660 1073625642 /nfs/dbraw/zinc/62/56/42/1073625642.db2.gz YRRAQSCYHJOPEZ-UHFFFAOYSA-N 0 0 432.458 -0.012 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C2(C)OCCO2)cc1 ZINC000767696562 1073625492 /nfs/dbraw/zinc/62/54/92/1073625492.db2.gz FJNXXZRRCVWDFJ-AWEZNQCLSA-N 0 0 432.520 -0.170 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C2(C)OCCO2)cc1 ZINC000767696563 1073625630 /nfs/dbraw/zinc/62/56/30/1073625630.db2.gz FJNXXZRRCVWDFJ-CQSZACIVSA-N 0 0 432.520 -0.170 20 0 IBADRN CC1(c2ccc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc2)OCCO1 ZINC000767699142 1073625528 /nfs/dbraw/zinc/62/55/28/1073625528.db2.gz SVGQLXBQTFOJPR-AWEZNQCLSA-N 0 0 432.520 -0.122 20 0 IBADRN CC1(c2ccc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)OCCO1 ZINC000767699150 1073625672 /nfs/dbraw/zinc/62/56/72/1073625672.db2.gz SVGQLXBQTFOJPR-CQSZACIVSA-N 0 0 432.520 -0.122 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=S)NCCNS(C)(=O)=O)cc1Cl ZINC000767700104 1073625613 /nfs/dbraw/zinc/62/56/13/1073625613.db2.gz UFJLCPANGMPDLB-UHFFFAOYSA-N 0 0 435.959 -0.006 20 0 IBADRN COc1cc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cnc1Cl ZINC000767701860 1073625573 /nfs/dbraw/zinc/62/55/73/1073625573.db2.gz DNCXOUNNRFDINO-UHFFFAOYSA-N 0 0 440.931 -0.373 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)c1 ZINC000767707260 1073966153 /nfs/dbraw/zinc/96/61/53/1073966153.db2.gz JZFVVILNCNYULX-UHFFFAOYSA-N 0 0 449.551 -0.024 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000767710557 1073626878 /nfs/dbraw/zinc/62/68/78/1073626878.db2.gz WGCRZKJXQGHZLI-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN Cc1ccc(S(N)(=O)=O)c(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)c1 ZINC000767713111 1073626853 /nfs/dbraw/zinc/62/68/53/1073626853.db2.gz NFERFDKJRJQMMP-UHFFFAOYSA-N 0 0 435.458 -0.020 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1OC(F)F ZINC000767717091 1073626898 /nfs/dbraw/zinc/62/68/98/1073626898.db2.gz ZXIOHYLJMNSRRV-LLVKDONJSA-N 0 0 436.437 -0.490 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1OC(F)F ZINC000767717094 1073626867 /nfs/dbraw/zinc/62/68/67/1073626867.db2.gz ZXIOHYLJMNSRRV-NSHDSACASA-N 0 0 436.437 -0.490 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(F)cc1N ZINC000767722044 1073627549 /nfs/dbraw/zinc/62/75/49/1073627549.db2.gz SILFMUFPCMZWTK-GFCCVEGCSA-N 0 0 429.470 -0.095 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(F)cc1N ZINC000767722062 1073627405 /nfs/dbraw/zinc/62/74/05/1073627405.db2.gz SILFMUFPCMZWTK-LBPRGKRZSA-N 0 0 429.470 -0.095 20 0 IBADRN COc1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cnc1Cl ZINC000767722369 1073627518 /nfs/dbraw/zinc/62/75/18/1073627518.db2.gz MASOYDQXAGAREJ-UHFFFAOYSA-N 0 0 430.870 -0.275 20 0 IBADRN CC1(c2ccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2)OCCO1 ZINC000767725384 1073627552 /nfs/dbraw/zinc/62/75/52/1073627552.db2.gz UGOPUHUQBYYPHC-UHFFFAOYSA-N 0 0 433.552 -0.238 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccc3n[nH]c(=O)n3c2)CC1 ZINC000767729350 1073627529 /nfs/dbraw/zinc/62/75/29/1073627529.db2.gz GKWUSODHCYLGHH-UHFFFAOYSA-N 0 0 437.420 -0.383 20 0 IBADRN O=C(COC(=O)c1ccc2n[nH]c(=O)n2c1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000767742462 1073627420 /nfs/dbraw/zinc/62/74/20/1073627420.db2.gz TWKPURUERLILIS-KBPBESRZSA-N 0 0 438.462 -0.214 20 0 IBADRN O=C(COC(=O)c1ccc2n[nH]c(=O)n2c1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000767742464 1073627540 /nfs/dbraw/zinc/62/75/40/1073627540.db2.gz TWKPURUERLILIS-KGLIPLIRSA-N 0 0 438.462 -0.214 20 0 IBADRN O=C(COC(=O)c1ccc2n[nH]c(=O)n2c1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000767742465 1073627559 /nfs/dbraw/zinc/62/75/59/1073627559.db2.gz TWKPURUERLILIS-UONOGXRCSA-N 0 0 438.462 -0.214 20 0 IBADRN O=C(COC(=O)c1ccc2n[nH]c(=O)n2c1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000767742468 1073627544 /nfs/dbraw/zinc/62/75/44/1073627544.db2.gz TWKPURUERLILIS-ZIAGYGMSSA-N 0 0 438.462 -0.214 20 0 IBADRN C[C@@H](OC(=O)c1ccc2n[nH]c(=O)n2c1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000767743058 1073627477 /nfs/dbraw/zinc/62/74/77/1073627477.db2.gz USVSKUYRUZPSSG-LLVKDONJSA-N 0 0 433.446 -0.014 20 0 IBADRN C[C@H](OC(=O)c1ccc2n[nH]c(=O)n2c1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000767743059 1073627377 /nfs/dbraw/zinc/62/73/77/1073627377.db2.gz USVSKUYRUZPSSG-NSHDSACASA-N 0 0 433.446 -0.014 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N3CC(n4cc(C(N)=O)nn4)C3)cc2)CC1 ZINC000767746021 1073627429 /nfs/dbraw/zinc/62/74/29/1073627429.db2.gz ORQPCALIJDGOBC-UHFFFAOYSA-N 0 0 442.480 -0.239 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(c3ccc(NS(C)(=O)=O)cc3)CC2)cn1 ZINC000767746826 1073627468 /nfs/dbraw/zinc/62/74/68/1073627468.db2.gz RMCFADOWLDUPAZ-UHFFFAOYSA-N 0 0 442.567 -0.108 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCS(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000767752058 1073627951 /nfs/dbraw/zinc/62/79/51/1073627951.db2.gz RNMSNDAGVGLZPJ-UHFFFAOYSA-N 0 0 445.519 -0.746 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767755083 1073627482 /nfs/dbraw/zinc/62/74/82/1073627482.db2.gz WXIPYECZKFNZAG-GDBMZVCRSA-N 0 0 444.579 -0.128 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767755084 1073627449 /nfs/dbraw/zinc/62/74/49/1073627449.db2.gz WXIPYECZKFNZAG-GOEBONIOSA-N 0 0 444.579 -0.128 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767755086 1073627514 /nfs/dbraw/zinc/62/75/14/1073627514.db2.gz WXIPYECZKFNZAG-HOCLYGCPSA-N 0 0 444.579 -0.128 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767755092 1073627499 /nfs/dbraw/zinc/62/74/99/1073627499.db2.gz WXIPYECZKFNZAG-ZBFHGGJFSA-N 0 0 444.579 -0.128 20 0 IBADRN O=C(CCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)NC1CCCC1 ZINC000767762068 1073627563 /nfs/dbraw/zinc/62/75/63/1073627563.db2.gz UTNMDQMJVHQDRJ-INIZCTEOSA-N 0 0 429.539 -0.300 20 0 IBADRN O=C(CCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)NC1CCCC1 ZINC000767762071 1073627488 /nfs/dbraw/zinc/62/74/88/1073627488.db2.gz UTNMDQMJVHQDRJ-MRXNPFEDSA-N 0 0 429.539 -0.300 20 0 IBADRN COC(=O)c1ccccc1CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000767770139 1073628119 /nfs/dbraw/zinc/62/81/19/1073628119.db2.gz XCKUDNFGTHIRDJ-INIZCTEOSA-N 0 0 438.502 -0.110 20 0 IBADRN COC(=O)c1ccccc1CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000767770141 1073627974 /nfs/dbraw/zinc/62/79/74/1073627974.db2.gz XCKUDNFGTHIRDJ-MRXNPFEDSA-N 0 0 438.502 -0.110 20 0 IBADRN CCOC1CC(CNC(=O)NCCS(=O)(=O)N2CCOCC2)(N2CCOCC2)C1 ZINC000767782255 1073628665 /nfs/dbraw/zinc/62/86/65/1073628665.db2.gz ZEJNBOXAWLSJEL-UHFFFAOYSA-N 0 0 434.559 -0.783 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000767797733 1073629301 /nfs/dbraw/zinc/62/93/01/1073629301.db2.gz GKHZPPPRGRNKJA-UHFFFAOYSA-N 0 0 437.474 -0.290 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000767802403 1073629389 /nfs/dbraw/zinc/62/93/89/1073629389.db2.gz QHCNEIGFSLDQRT-KKUMJFAQSA-N 0 0 435.543 -0.470 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000767802404 1073629929 /nfs/dbraw/zinc/62/99/29/1073629929.db2.gz QHCNEIGFSLDQRT-RRFJBIMHSA-N 0 0 435.543 -0.470 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000767802406 1073629916 /nfs/dbraw/zinc/62/99/16/1073629916.db2.gz QHCNEIGFSLDQRT-SOUVJXGZSA-N 0 0 435.543 -0.470 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000767802407 1073629973 /nfs/dbraw/zinc/62/99/73/1073629973.db2.gz QHCNEIGFSLDQRT-ZNMIVQPWSA-N 0 0 435.543 -0.470 20 0 IBADRN O=C(COC(=O)c1cccc(-c2nc[nH]n2)c1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000767806051 1073628728 /nfs/dbraw/zinc/62/87/28/1073628728.db2.gz GMUSXPWCKXCPDP-INIZCTEOSA-N 0 0 433.490 -0.040 20 0 IBADRN O=C(COC(=O)c1cccc(-c2nc[nH]n2)c1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000767806054 1073628679 /nfs/dbraw/zinc/62/86/79/1073628679.db2.gz GMUSXPWCKXCPDP-MRXNPFEDSA-N 0 0 433.490 -0.040 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NN1CC(=O)NC1=O ZINC000767814005 1073629951 /nfs/dbraw/zinc/62/99/51/1073629951.db2.gz LYKGJSDYOGSEHG-UHFFFAOYSA-N 0 0 441.441 -0.978 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CS(=O)(=O)C(C)(C)C)CC1 ZINC000767816420 1073629860 /nfs/dbraw/zinc/62/98/60/1073629860.db2.gz YPOGPNDJEIXDFY-UHFFFAOYSA-N 0 0 438.510 -0.232 20 0 IBADRN CC(C)(C)S(=O)(=O)CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000767829056 1073629896 /nfs/dbraw/zinc/62/98/96/1073629896.db2.gz XXAWCTXTJNKBAT-KBPBESRZSA-N 0 0 439.552 -0.062 20 0 IBADRN CC(C)(C)S(=O)(=O)CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000767829057 1073629969 /nfs/dbraw/zinc/62/99/69/1073629969.db2.gz XXAWCTXTJNKBAT-KGLIPLIRSA-N 0 0 439.552 -0.062 20 0 IBADRN CC(C)(C)S(=O)(=O)CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000767829058 1073629942 /nfs/dbraw/zinc/62/99/42/1073629942.db2.gz XXAWCTXTJNKBAT-UONOGXRCSA-N 0 0 439.552 -0.062 20 0 IBADRN CC(C)(C)S(=O)(=O)CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000767829059 1073629979 /nfs/dbraw/zinc/62/99/79/1073629979.db2.gz XXAWCTXTJNKBAT-ZIAGYGMSSA-N 0 0 439.552 -0.062 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C1=O ZINC000767838524 1073629946 /nfs/dbraw/zinc/62/99/46/1073629946.db2.gz TYROARMARZTWSQ-GOSISDBHSA-N 0 0 426.495 -0.140 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C1=O ZINC000767838527 1073629921 /nfs/dbraw/zinc/62/99/21/1073629921.db2.gz TYROARMARZTWSQ-SFHVURJKSA-N 0 0 426.495 -0.140 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC000767838560 1073629911 /nfs/dbraw/zinc/62/99/11/1073629911.db2.gz VAKVLFYGUMAMEQ-IBGZPJMESA-N 0 0 438.506 -0.126 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC000767838561 1073629881 /nfs/dbraw/zinc/62/98/81/1073629881.db2.gz VAKVLFYGUMAMEQ-LJQANCHMSA-N 0 0 438.506 -0.126 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NN3CCOCC3)CC2)cc1 ZINC000767853792 1073630559 /nfs/dbraw/zinc/63/05/59/1073630559.db2.gz DITVCCNUPNWWDO-UHFFFAOYSA-N 0 0 440.522 -0.328 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NN1CCOCC1 ZINC000767854315 1073629834 /nfs/dbraw/zinc/62/98/34/1073629834.db2.gz NZLCLALVMQTWOJ-UHFFFAOYSA-N 0 0 428.486 -0.198 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](OCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000767862733 1073630753 /nfs/dbraw/zinc/63/07/53/1073630753.db2.gz FPQAPRKTOYLILI-HNNXBMFYSA-N 0 0 437.501 -0.240 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](OCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC000767862741 1073630785 /nfs/dbraw/zinc/63/07/85/1073630785.db2.gz FPQAPRKTOYLILI-OAHLLOKOSA-N 0 0 437.501 -0.240 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767920771 1073631334 /nfs/dbraw/zinc/63/13/34/1073631334.db2.gz WSGNCRPWPIPFJU-UHFFFAOYSA-N 0 0 435.597 -0.396 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000767923526 1073631256 /nfs/dbraw/zinc/63/12/56/1073631256.db2.gz IXIBXDRSKKHZAU-INIZCTEOSA-N 0 0 448.586 -0.863 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000767923528 1073631300 /nfs/dbraw/zinc/63/13/00/1073631300.db2.gz IXIBXDRSKKHZAU-MRXNPFEDSA-N 0 0 448.586 -0.863 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)n1 ZINC000767941311 1073632239 /nfs/dbraw/zinc/63/22/39/1073632239.db2.gz VRVKXKUMHJLYKO-UHFFFAOYSA-N 0 0 442.542 -0.241 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)CC(C)CC(=O)N2CCO[C@H](C(=O)OCC)C2)CCO1 ZINC000767951386 1073632003 /nfs/dbraw/zinc/63/20/03/1073632003.db2.gz YZSYIFFHPRDTKY-HOTGVXAUSA-N 0 0 428.482 -0.016 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)CC(C)CC(=O)N2CCO[C@@H](C(=O)OCC)C2)CCO1 ZINC000767951387 1073632020 /nfs/dbraw/zinc/63/20/20/1073632020.db2.gz YZSYIFFHPRDTKY-HZPDHXFCSA-N 0 0 428.482 -0.016 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)C[C@H](C)CC(=O)N2CCO[C@H](C(=O)OCC)C2)CCO1 ZINC000767951388 1073632187 /nfs/dbraw/zinc/63/21/87/1073632187.db2.gz YZSYIFFHPRDTKY-MUJYYYPQSA-N 0 0 428.482 -0.016 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC2CCN(C(=O)CCOC)CC2)CC1 ZINC000767960615 1073632084 /nfs/dbraw/zinc/63/20/84/1073632084.db2.gz KZECZOIHHUTDAR-UHFFFAOYSA-N 0 0 433.575 -0.072 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cn2ccc(Br)n2)CC1 ZINC000767966224 1073632160 /nfs/dbraw/zinc/63/21/60/1073632160.db2.gz UHGJXWDHNRQKDP-LLVKDONJSA-N 0 0 427.346 -0.262 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cn2ccc(Br)n2)CC1 ZINC000767966225 1073632196 /nfs/dbraw/zinc/63/21/96/1073632196.db2.gz UHGJXWDHNRQKDP-NSHDSACASA-N 0 0 427.346 -0.262 20 0 IBADRN Cn1nc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)cc1OC(F)F ZINC000767967776 1073632295 /nfs/dbraw/zinc/63/22/95/1073632295.db2.gz FJMJRPOECLGESA-UHFFFAOYSA-N 0 0 440.407 -0.270 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC000767988472 1073632028 /nfs/dbraw/zinc/63/20/28/1073632028.db2.gz FPIMEPLNZSNKPG-UHFFFAOYSA-N 0 0 430.552 -0.563 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767989387 1073632263 /nfs/dbraw/zinc/63/22/63/1073632263.db2.gz IRZDHEQIKMASDG-UHFFFAOYSA-N 0 0 439.538 -0.261 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000767991675 1073632144 /nfs/dbraw/zinc/63/21/44/1073632144.db2.gz SDJAZUUOEPRKSQ-UHFFFAOYSA-N 0 0 448.567 -0.337 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)cc1 ZINC000767993253 1073632109 /nfs/dbraw/zinc/63/21/09/1073632109.db2.gz BGLZHMIJUNCBKS-UHFFFAOYSA-N 0 0 445.567 -0.378 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)cc1C ZINC000767994943 1073632061 /nfs/dbraw/zinc/63/20/61/1073632061.db2.gz JLYAJGCKOZLAJR-UHFFFAOYSA-N 0 0 435.462 -0.019 20 0 IBADRN CCN1CCN(CC(=O)N2CCN(c3ccc(NS(C)(=O)=O)cc3)CC2)C(=O)C1=O ZINC000767995123 1073632129 /nfs/dbraw/zinc/63/21/29/1073632129.db2.gz MDBGLVNVJHAWTI-UHFFFAOYSA-N 0 0 437.522 -0.603 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)cc1C ZINC000767996104 1073632864 /nfs/dbraw/zinc/63/28/64/1073632864.db2.gz YRUBEBIHLIYRPV-UHFFFAOYSA-N 0 0 437.258 -0.218 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(c3ccc(NS(C)(=O)=O)cc3)CC2)nn1 ZINC000767996795 1073632204 /nfs/dbraw/zinc/63/22/04/1073632204.db2.gz HQRVWVLWEPSYGP-UHFFFAOYSA-N 0 0 435.510 -0.300 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000768000653 1073632841 /nfs/dbraw/zinc/63/28/41/1073632841.db2.gz GJPGOICCVRZHPN-CYBMUJFWSA-N 0 0 440.501 -0.595 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000768000655 1073632911 /nfs/dbraw/zinc/63/29/11/1073632911.db2.gz GJPGOICCVRZHPN-ZDUSSCGKSA-N 0 0 440.501 -0.595 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000768003844 1073632828 /nfs/dbraw/zinc/63/28/28/1073632828.db2.gz RHOSRMZZMVPYDV-UHFFFAOYSA-N 0 0 433.440 -0.585 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000768004189 1073632760 /nfs/dbraw/zinc/63/27/60/1073632760.db2.gz UDZPBUWTKHMGBR-UHFFFAOYSA-N 0 0 447.467 -0.241 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000768008932 1073632961 /nfs/dbraw/zinc/63/29/61/1073632961.db2.gz OMNOYYQYMFFXAT-UHFFFAOYSA-N 0 0 449.483 -0.531 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)cc2C(=O)N(C)C)CC1 ZINC000768011935 1073632900 /nfs/dbraw/zinc/63/29/00/1073632900.db2.gz JIGWUSAJWDQHRB-UHFFFAOYSA-N 0 0 435.456 -0.339 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000768019774 1073633585 /nfs/dbraw/zinc/63/35/85/1073633585.db2.gz ABQNTHPFZIHYRU-UHFFFAOYSA-N 0 0 429.474 -0.583 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2Cc3c(OC)ccc(OC)c3[C@H](O)C2)cc1C ZINC000768024411 1073633660 /nfs/dbraw/zinc/63/36/60/1073633660.db2.gz HXKQPGQLGNZNKF-CYBMUJFWSA-N 0 0 431.449 -0.031 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2Cc3c(OC)ccc(OC)c3[C@@H](O)C2)cc1C ZINC000768024414 1073633569 /nfs/dbraw/zinc/63/35/69/1073633569.db2.gz HXKQPGQLGNZNKF-ZDUSSCGKSA-N 0 0 431.449 -0.031 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn(Cc3ccncc3)n2)CC1 ZINC000768024455 1073633758 /nfs/dbraw/zinc/63/37/58/1073633758.db2.gz IZCJDQARQJYCLA-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN COCCN(CCNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)OC(C)(C)C ZINC000768034509 1073632741 /nfs/dbraw/zinc/63/27/41/1073632741.db2.gz LLZXEKPGHXBSCD-UHFFFAOYSA-N 0 0 438.485 -0.567 20 0 IBADRN COCCN(CCNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)OC(C)(C)C ZINC000768040499 1073632792 /nfs/dbraw/zinc/63/27/92/1073632792.db2.gz ORLAOKLYRXWVGG-UHFFFAOYSA-N 0 0 438.485 -0.567 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000768062641 1073633687 /nfs/dbraw/zinc/63/36/87/1073633687.db2.gz XWDCQAUSFFFEBL-UHFFFAOYSA-N 0 0 426.543 -0.020 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)cc1C ZINC000768071330 1073633440 /nfs/dbraw/zinc/63/34/40/1073633440.db2.gz SFDSELVYMQZESN-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN CN(Cc1cccc(NC(=O)C(=O)N2CCN(C(=O)CO)CC2)c1)C(=O)C(F)(F)F ZINC000768111194 1073634922 /nfs/dbraw/zinc/63/49/22/1073634922.db2.gz DAXCVBQAVYUDHD-UHFFFAOYSA-N 0 0 430.383 -0.191 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2cc3c(cc2Br)OCCO3)CC1 ZINC000768111982 1073635025 /nfs/dbraw/zinc/63/50/25/1073635025.db2.gz NZMAKSQOHYEFKN-UHFFFAOYSA-N 0 0 428.239 -0.178 20 0 IBADRN COCCN(CCNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(=O)OC(C)(C)C ZINC000768112625 1073634871 /nfs/dbraw/zinc/63/48/71/1073634871.db2.gz DVFPMSPUDDKZDO-UHFFFAOYSA-N 0 0 440.505 -0.590 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N1CCN(C(=O)CO)CC1 ZINC000768112721 1073635037 /nfs/dbraw/zinc/63/50/37/1073635037.db2.gz PDNSEBFYDROSBS-UHFFFAOYSA-N 0 0 447.897 -0.635 20 0 IBADRN O=C(CO)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)cc2C(F)(F)F)CC1 ZINC000768114090 1073634933 /nfs/dbraw/zinc/63/49/33/1073634933.db2.gz XOJLZBSVOUUAKB-UHFFFAOYSA-N 0 0 426.355 -0.112 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cc(C2CC2)nn1-c1ncccn1 ZINC000768115890 1073635080 /nfs/dbraw/zinc/63/50/80/1073635080.db2.gz AWKDVDQGLLWDRS-UHFFFAOYSA-N 0 0 449.493 -0.744 20 0 IBADRN COc1cc2c(cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1)NC(=O)CC2 ZINC000768117320 1073634207 /nfs/dbraw/zinc/63/42/07/1073634207.db2.gz QKJPZCKHAFLZJM-UHFFFAOYSA-N 0 0 440.478 -0.703 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](NC(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O)C2 ZINC000768120508 1073634067 /nfs/dbraw/zinc/63/40/67/1073634067.db2.gz WVADSVRJOYKTHW-AWEZNQCLSA-N 0 0 449.485 -0.040 20 0 IBADRN COc1ccc2c(c1)OC[C@H](NC(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O)C2 ZINC000768120510 1073635055 /nfs/dbraw/zinc/63/50/55/1073635055.db2.gz WVADSVRJOYKTHW-CQSZACIVSA-N 0 0 449.485 -0.040 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000768142139 1073634858 /nfs/dbraw/zinc/63/48/58/1073634858.db2.gz GETRLGABIVKQFO-UHFFFAOYSA-N 0 0 446.526 -0.039 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](OCC(=O)N2CCN(CCS(N)(=O)=O)CC2)C1 ZINC000768165182 1073635622 /nfs/dbraw/zinc/63/56/22/1073635622.db2.gz PFWXRILRFACASP-HNNXBMFYSA-N 0 0 434.559 -0.165 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](OCC(=O)N2CCN(CCS(N)(=O)=O)CC2)C1 ZINC000768165183 1073635640 /nfs/dbraw/zinc/63/56/40/1073635640.db2.gz PFWXRILRFACASP-OAHLLOKOSA-N 0 0 434.559 -0.165 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nc1Cl ZINC000768166650 1073635468 /nfs/dbraw/zinc/63/54/68/1073635468.db2.gz MTILGEANAPIXQD-GFCCVEGCSA-N 0 0 430.914 -0.195 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nc1Cl ZINC000768166651 1073635791 /nfs/dbraw/zinc/63/57/91/1073635791.db2.gz MTILGEANAPIXQD-LBPRGKRZSA-N 0 0 430.914 -0.195 20 0 IBADRN CC(C)CN1N=C(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CCC1=O ZINC000768167494 1073635740 /nfs/dbraw/zinc/63/57/40/1073635740.db2.gz PKCCURLFLHFXAF-HNNXBMFYSA-N 0 0 441.554 -0.932 20 0 IBADRN CC(C)CN1N=C(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CCC1=O ZINC000768167495 1073635451 /nfs/dbraw/zinc/63/54/51/1073635451.db2.gz PKCCURLFLHFXAF-OAHLLOKOSA-N 0 0 441.554 -0.932 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000768168516 1073635648 /nfs/dbraw/zinc/63/56/48/1073635648.db2.gz UUTRZXROXJVLGK-UHFFFAOYSA-N 0 0 443.501 -0.841 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000768174076 1073635533 /nfs/dbraw/zinc/63/55/33/1073635533.db2.gz DQSUUCRGNSMFKW-UHFFFAOYSA-N 0 0 430.440 -0.092 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C(=O)NCC(F)F)cc1)S(C)(=O)=O ZINC000768178721 1073635420 /nfs/dbraw/zinc/63/54/20/1073635420.db2.gz DYCAFOGTRGQTGI-UHFFFAOYSA-N 0 0 443.450 -0.712 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(F)cc2C(=O)N(C)C)CC1 ZINC000768180059 1073636135 /nfs/dbraw/zinc/63/61/35/1073636135.db2.gz JPZZDRDOIUBRGE-UHFFFAOYSA-N 0 0 437.472 -0.627 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000768197611 1073636207 /nfs/dbraw/zinc/63/62/07/1073636207.db2.gz IJENULUGGJSHID-UHFFFAOYSA-N 0 0 437.453 -0.044 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000768203385 1073636226 /nfs/dbraw/zinc/63/62/26/1073636226.db2.gz TYKDKZGAEHLEAY-GFCCVEGCSA-N 0 0 432.503 -0.433 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000768203387 1073636246 /nfs/dbraw/zinc/63/62/46/1073636246.db2.gz TYKDKZGAEHLEAY-LBPRGKRZSA-N 0 0 432.503 -0.433 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000768224252 1073636801 /nfs/dbraw/zinc/63/68/01/1073636801.db2.gz QVCWBIRYKXSXTG-UHFFFAOYSA-N 0 0 449.483 -0.531 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnc(N3CCCC3)nc2)CC1 ZINC000768249193 1073636827 /nfs/dbraw/zinc/63/68/27/1073636827.db2.gz BAURALLQFRUCMG-INIZCTEOSA-N 0 0 429.568 -0.289 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnc(N3CCCC3)nc2)CC1 ZINC000768249194 1073637034 /nfs/dbraw/zinc/63/70/34/1073637034.db2.gz BAURALLQFRUCMG-MRXNPFEDSA-N 0 0 429.568 -0.289 20 0 IBADRN CS(=O)(=O)c1ccc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000768249204 1073636938 /nfs/dbraw/zinc/63/69/38/1073636938.db2.gz BETFGKHQJXIEGP-INIZCTEOSA-N 0 0 436.577 -0.275 20 0 IBADRN CS(=O)(=O)c1ccc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000768249209 1073636762 /nfs/dbraw/zinc/63/67/62/1073636762.db2.gz BETFGKHQJXIEGP-MRXNPFEDSA-N 0 0 436.577 -0.275 20 0 IBADRN Cc1cc(C)n2c(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cnc2n1 ZINC000768249372 1073636816 /nfs/dbraw/zinc/63/68/16/1073636816.db2.gz DPGOCNGKLDXXJE-INIZCTEOSA-N 0 0 427.552 -0.019 20 0 IBADRN Cc1cc(C)n2c(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cnc2n1 ZINC000768249375 1073636882 /nfs/dbraw/zinc/63/68/82/1073636882.db2.gz DPGOCNGKLDXXJE-MRXNPFEDSA-N 0 0 427.552 -0.019 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2ccccc2-n2cncn2)CC1 ZINC000768250511 1073636784 /nfs/dbraw/zinc/63/67/84/1073636784.db2.gz RYMIEGJMXLSNSN-INIZCTEOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2ccccc2-n2cncn2)CC1 ZINC000768250512 1073637485 /nfs/dbraw/zinc/63/74/85/1073637485.db2.gz RYMIEGJMXLSNSN-MRXNPFEDSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2ccc(-n3cncn3)cc2)CC1 ZINC000768250521 1073637694 /nfs/dbraw/zinc/63/76/94/1073637694.db2.gz SFDLZSNBEUIFIV-KRWDZBQOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2ccc(-n3cncn3)cc2)CC1 ZINC000768250522 1073637579 /nfs/dbraw/zinc/63/75/79/1073637579.db2.gz SFDLZSNBEUIFIV-QGZVFWFLSA-N 0 0 425.536 -0.098 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)OCC(=O)NC(N)=O)c1 ZINC000768257231 1073637529 /nfs/dbraw/zinc/63/75/29/1073637529.db2.gz MVPAFLQGCTUQKG-UHFFFAOYSA-N 0 0 438.256 -0.275 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000768269671 1073637602 /nfs/dbraw/zinc/63/76/02/1073637602.db2.gz IVWAJOWFGOEWFO-KRWDZBQOSA-N 0 0 427.546 -0.116 20 0 IBADRN CCN(CC)C(=O)CNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000768278991 1073637536 /nfs/dbraw/zinc/63/75/36/1073637536.db2.gz RVNYOMUMXCCVEM-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCC2(CC1)NC(=O)NC2=O ZINC000768282357 1073637480 /nfs/dbraw/zinc/63/74/80/1073637480.db2.gz BXCQMTJXYLDMPL-ZDUSSCGKSA-N 0 0 425.486 -0.148 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)C3)CC2)cc1 ZINC000768292533 1073637590 /nfs/dbraw/zinc/63/75/90/1073637590.db2.gz SNNSMQAGAOTHKT-UHFFFAOYSA-N 0 0 438.506 -0.872 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000768317557 1073638365 /nfs/dbraw/zinc/63/83/65/1073638365.db2.gz HYBYFWPTLOOFMC-KRWDZBQOSA-N 0 0 427.546 -0.068 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000768318277 1073638140 /nfs/dbraw/zinc/63/81/40/1073638140.db2.gz IOYCOQITRSAJBS-HNNXBMFYSA-N 0 0 449.574 -0.398 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000768322704 1073637619 /nfs/dbraw/zinc/63/76/19/1073637619.db2.gz LONPOSCLWYJDKI-UHFFFAOYSA-N 0 0 437.563 -0.908 20 0 IBADRN O=C(NCCCN1CCOC1=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000768325144 1073637512 /nfs/dbraw/zinc/63/75/12/1073637512.db2.gz ZPIJIERLLMPNEC-UHFFFAOYSA-N 0 0 440.478 -0.028 20 0 IBADRN C[C@H]1CN(CCNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCO1 ZINC000768325588 1073637545 /nfs/dbraw/zinc/63/75/45/1073637545.db2.gz UJEZGZJIRWRREO-AWEZNQCLSA-N 0 0 440.522 -0.149 20 0 IBADRN C[C@@H]1CN(CCNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCO1 ZINC000768325590 1073637647 /nfs/dbraw/zinc/63/76/47/1073637647.db2.gz UJEZGZJIRWRREO-CQSZACIVSA-N 0 0 440.522 -0.149 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000768326918 1073637701 /nfs/dbraw/zinc/63/77/01/1073637701.db2.gz IKFLGSBUFSHLPA-KRWDZBQOSA-N 0 0 427.546 -0.022 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)NCCN1CCS(=O)(=O)CC1 ZINC000768329816 1073637565 /nfs/dbraw/zinc/63/75/65/1073637565.db2.gz HNABUWPUDDJNEG-HNNXBMFYSA-N 0 0 434.559 -0.502 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000768330354 1073637679 /nfs/dbraw/zinc/63/76/79/1073637679.db2.gz LEVKWVQMRMXEEM-UHFFFAOYSA-N 0 0 440.588 -0.106 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000768330435 1073637630 /nfs/dbraw/zinc/63/76/30/1073637630.db2.gz SDCDJHLHVZFTLI-KRWDZBQOSA-N 0 0 427.546 -0.068 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000768332707 1073637503 /nfs/dbraw/zinc/63/75/03/1073637503.db2.gz BGAVIDQGFNFXCY-UHFFFAOYSA-N 0 0 448.523 -0.931 20 0 IBADRN O=C(c1ncn(-c2ccccc2)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000768342209 1073638314 /nfs/dbraw/zinc/63/83/14/1073638314.db2.gz QVNLMYBAWNGZRX-HNNXBMFYSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1ncn(-c2ccccc2)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000768342211 1073638176 /nfs/dbraw/zinc/63/81/76/1073638176.db2.gz QVNLMYBAWNGZRX-OAHLLOKOSA-N 0 0 439.519 -0.458 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2sc(C(N)=O)c(C)c2C(=O)OCCOC)C1 ZINC000768346146 1073638156 /nfs/dbraw/zinc/63/81/56/1073638156.db2.gz IOFMSBRLXPTBQY-UHFFFAOYSA-N 0 0 426.451 -0.898 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N(CCO)CCNC(=O)OC(C)(C)C)CC1 ZINC000768364115 1073638334 /nfs/dbraw/zinc/63/83/34/1073638334.db2.gz XNSVTGTWGRMYBH-UHFFFAOYSA-N 0 0 429.518 -0.404 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NCCOCCS(C)(=O)=O)C2)cc1 ZINC000768369501 1073638224 /nfs/dbraw/zinc/63/82/24/1073638224.db2.gz CUHMXOMLVTTXLS-UHFFFAOYSA-N 0 0 435.524 -0.572 20 0 IBADRN Cc1nn(-c2ccc(S(N)(=O)=O)cc2)c(C)c1CCC(=O)NN1CC(=O)N(C)C1=O ZINC000768373703 1073638381 /nfs/dbraw/zinc/63/83/81/1073638381.db2.gz DNNDMKPTPDCVSJ-UHFFFAOYSA-N 0 0 434.478 -0.006 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC000768393863 1073638955 /nfs/dbraw/zinc/63/89/55/1073638955.db2.gz YDWQLIYOPUVYKR-BBRMVZONSA-N 0 0 441.529 -0.495 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC000768393867 1073638982 /nfs/dbraw/zinc/63/89/82/1073638982.db2.gz YDWQLIYOPUVYKR-CJNGLKHVSA-N 0 0 441.529 -0.495 20 0 IBADRN CCc1nnc(SCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1N ZINC000768398667 1073638870 /nfs/dbraw/zinc/63/88/70/1073638870.db2.gz PEVVYDQXKIRRLY-UHFFFAOYSA-N 0 0 440.551 -0.016 20 0 IBADRN CCc1nnc(SCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)n1N ZINC000768400187 1073638271 /nfs/dbraw/zinc/63/82/71/1073638271.db2.gz NHEUAGLEOUOEKS-UHFFFAOYSA-N 0 0 429.528 -0.216 20 0 IBADRN CCc1nnc(SCC(=O)N(CCOC)c2c(N)n(CC(C)C)c(=O)[nH]c2=O)n1N ZINC000768401307 1073638099 /nfs/dbraw/zinc/63/80/99/1073638099.db2.gz YSBJHCQPKIDFIB-UHFFFAOYSA-N 0 0 440.530 -0.174 20 0 IBADRN CCc1nnc(SCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)n1N ZINC000768403340 1073638205 /nfs/dbraw/zinc/63/82/05/1073638205.db2.gz CIOFXNXPNXWVHA-UHFFFAOYSA-N 0 0 440.551 -0.016 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000768407680 1073638320 /nfs/dbraw/zinc/63/83/20/1073638320.db2.gz QUVJRJVCOIBKBR-HNNXBMFYSA-N 0 0 442.513 -0.114 20 0 IBADRN COC(=O)N1CC(NC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC000768409674 1073638191 /nfs/dbraw/zinc/63/81/91/1073638191.db2.gz WLTDBHAOWZQIST-UHFFFAOYSA-N 0 0 426.451 -0.420 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)NCC(F)(F)CO)cc1)S(C)(=O)=O ZINC000768412269 1073638353 /nfs/dbraw/zinc/63/83/53/1073638353.db2.gz GVPNHYJZVABFJF-UHFFFAOYSA-N 0 0 445.466 -0.282 20 0 IBADRN Cn1cc([C@]2(C)NC(=O)N(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)C2=O)cn1 ZINC000768424075 1073638936 /nfs/dbraw/zinc/63/89/36/1073638936.db2.gz AWZOEJDUIJLTBI-FQEVSTJZSA-N 0 0 433.513 -0.754 20 0 IBADRN Cn1cc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)C2=O)cn1 ZINC000768424076 1073638984 /nfs/dbraw/zinc/63/89/84/1073638984.db2.gz AWZOEJDUIJLTBI-HXUWFJFHSA-N 0 0 433.513 -0.754 20 0 IBADRN CC(C)N(CCCNC(=O)CN1C(=O)N[C@@](C)(c2cnn(C)c2)C1=O)S(C)(=O)=O ZINC000768424531 1073638907 /nfs/dbraw/zinc/63/89/07/1073638907.db2.gz RMICLUTYAWBXQB-KRWDZBQOSA-N 0 0 428.515 -0.637 20 0 IBADRN CC(C)N(CCCNC(=O)CN1C(=O)N[C@](C)(c2cnn(C)c2)C1=O)S(C)(=O)=O ZINC000768424532 1073638943 /nfs/dbraw/zinc/63/89/43/1073638943.db2.gz RMICLUTYAWBXQB-QGZVFWFLSA-N 0 0 428.515 -0.637 20 0 IBADRN CC(C)CN(C(=O)CN1C(=O)N[C@](C)(c2cnn(C)c2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000768424564 1073638883 /nfs/dbraw/zinc/63/88/83/1073638883.db2.gz SEMNJALVVLUNIY-KBXCAEBGSA-N 0 0 425.511 -0.141 20 0 IBADRN CC(C)CN(C(=O)CN1C(=O)N[C@@](C)(c2cnn(C)c2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000768424565 1073638933 /nfs/dbraw/zinc/63/89/33/1073638933.db2.gz SEMNJALVVLUNIY-KDOFPFPSSA-N 0 0 425.511 -0.141 20 0 IBADRN CC(C)CN(C(=O)CN1C(=O)N[C@@](C)(c2cnn(C)c2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000768424566 1073638991 /nfs/dbraw/zinc/63/89/91/1073638991.db2.gz SEMNJALVVLUNIY-KSSFIOAISA-N 0 0 425.511 -0.141 20 0 IBADRN CC(C)CN(C(=O)CN1C(=O)N[C@](C)(c2cnn(C)c2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000768424567 1073638860 /nfs/dbraw/zinc/63/88/60/1073638860.db2.gz SEMNJALVVLUNIY-RDTXWAMCSA-N 0 0 425.511 -0.141 20 0 IBADRN CN(CCCNC(=O)CSc1nnc(-c2n[nH]c3c2CCC3)n1N)S(C)(=O)=O ZINC000768426853 1073638898 /nfs/dbraw/zinc/63/88/98/1073638898.db2.gz LGKYREKVDJSAKU-UHFFFAOYSA-N 0 0 428.544 -0.640 20 0 IBADRN Cn1c2ncn(CCSc3nnc(-c4n[nH]c5c4CCC5)n3N)c2c(=O)n(C)c1=O ZINC000768428224 1073638888 /nfs/dbraw/zinc/63/88/88/1073638888.db2.gz MRGUMAUAVNEFRR-UHFFFAOYSA-N 0 0 428.482 -0.590 20 0 IBADRN C[C@@H](Sc1nnc(-c2n[nH]c3c2CCC3)n1N)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000768429150 1073638847 /nfs/dbraw/zinc/63/88/47/1073638847.db2.gz ZCPLPHIXTQWUOQ-NXEZZACHSA-N 0 0 425.540 -0.003 20 0 IBADRN C[C@H](Sc1nnc(-c2n[nH]c3c2CCC3)n1N)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000768429201 1073638977 /nfs/dbraw/zinc/63/89/77/1073638977.db2.gz ZCPLPHIXTQWUOQ-UWVGGRQHSA-N 0 0 425.540 -0.003 20 0 IBADRN C[C@H](Sc1nnc(-c2n[nH]c3c2CCC3)n1N)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000768429203 1073638911 /nfs/dbraw/zinc/63/89/11/1073638911.db2.gz ZCPLPHIXTQWUOQ-VHSXEESVSA-N 0 0 425.540 -0.003 20 0 IBADRN C[C@@H](Sc1nnc(-c2n[nH]c3c2CCC3)n1N)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000768429205 1073638950 /nfs/dbraw/zinc/63/89/50/1073638950.db2.gz ZCPLPHIXTQWUOQ-ZJUUUORDSA-N 0 0 425.540 -0.003 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCSc1nnc(-c3n[nH]c4c3CCC4)n1N)c(=O)n2C ZINC000768432616 1073639629 /nfs/dbraw/zinc/63/96/29/1073639629.db2.gz DQEBLJJYTXIEGJ-UHFFFAOYSA-N 0 0 442.509 -0.200 20 0 IBADRN CCN(C(=O)CSc1nnc(-c2n[nH]c3c2CCC3)n1N)[C@H]1CCS(=O)(=O)C1 ZINC000768433696 1073639536 /nfs/dbraw/zinc/63/95/36/1073639536.db2.gz OCDUHXQVSAGFDK-JTQLQIEISA-N 0 0 425.540 -0.002 20 0 IBADRN CCN(C(=O)CSc1nnc(-c2n[nH]c3c2CCC3)n1N)[C@@H]1CCS(=O)(=O)C1 ZINC000768433699 1073639617 /nfs/dbraw/zinc/63/96/17/1073639617.db2.gz OCDUHXQVSAGFDK-SNVBAGLBSA-N 0 0 425.540 -0.002 20 0 IBADRN Cc1cc(-c2nnc(SCC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)n2N)n[nH]1 ZINC000768436559 1073639557 /nfs/dbraw/zinc/63/95/57/1073639557.db2.gz HJDADCZUXKPULB-UHFFFAOYSA-N 0 0 435.558 -0.159 20 0 IBADRN Cc1cc(-c2nnc(SCC(=O)NCCCN(C(C)C)S(C)(=O)=O)n2N)n[nH]1 ZINC000768437009 1073639474 /nfs/dbraw/zinc/63/94/74/1073639474.db2.gz PHZBCBOFHIZMPO-UHFFFAOYSA-N 0 0 430.560 -0.041 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCN(CCOC)C(=O)C2)c1=O ZINC000768468463 1073639481 /nfs/dbraw/zinc/63/94/81/1073639481.db2.gz QOQUHEPJTHOOGJ-UHFFFAOYSA-N 0 0 439.538 -0.179 20 0 IBADRN COCCN1CCN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CC1=O ZINC000768468494 1073639674 /nfs/dbraw/zinc/63/96/74/1073639674.db2.gz SAEOWKOQNNKXBU-IBGZPJMESA-N 0 0 438.506 -0.395 20 0 IBADRN COCCN1CCN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CC1=O ZINC000768468495 1073639573 /nfs/dbraw/zinc/63/95/73/1073639573.db2.gz SAEOWKOQNNKXBU-LJQANCHMSA-N 0 0 438.506 -0.395 20 0 IBADRN COCCN1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)CC1=O ZINC000768469134 1073639521 /nfs/dbraw/zinc/63/95/21/1073639521.db2.gz WRMMQYHJSHZAEE-AWEZNQCLSA-N 0 0 426.543 -0.241 20 0 IBADRN COCCN1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)CC1=O ZINC000768469135 1073639566 /nfs/dbraw/zinc/63/95/66/1073639566.db2.gz WRMMQYHJSHZAEE-CQSZACIVSA-N 0 0 426.543 -0.241 20 0 IBADRN Cn1nc(Br)c2c1ncnc2N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000768490252 1073639526 /nfs/dbraw/zinc/63/95/26/1073639526.db2.gz BHHHIIGXEOHJLL-UHFFFAOYSA-N 0 0 428.276 -0.245 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(Cl)n1 ZINC000768501652 1073639654 /nfs/dbraw/zinc/63/96/54/1073639654.db2.gz OKGYBQOKZVSQBK-UHFFFAOYSA-N 0 0 437.975 -0.158 20 0 IBADRN COCCN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000768520563 1073639611 /nfs/dbraw/zinc/63/96/11/1073639611.db2.gz BKZSTKLCAQYNQK-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COCCN1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1=O ZINC000768520602 1073639585 /nfs/dbraw/zinc/63/95/85/1073639585.db2.gz FFFBWUIWSLOURR-UHFFFAOYSA-N 0 0 427.259 -0.790 20 0 IBADRN COCCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1=O ZINC000768521522 1073639494 /nfs/dbraw/zinc/63/94/94/1073639494.db2.gz SJVLXJHPBSXHBX-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CN(CC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000768537149 1073640240 /nfs/dbraw/zinc/64/02/40/1073640240.db2.gz OLHXDIGLKGLYNW-KRWDZBQOSA-N 0 0 444.579 -0.174 20 0 IBADRN CN(CC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000768537150 1073640154 /nfs/dbraw/zinc/64/01/54/1073640154.db2.gz OLHXDIGLKGLYNW-QGZVFWFLSA-N 0 0 444.579 -0.174 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN(CCOC)C(=O)C2)cc1 ZINC000768564259 1073640212 /nfs/dbraw/zinc/64/02/12/1073640212.db2.gz MQBVWXNOADXQCS-UHFFFAOYSA-N 0 0 434.536 -0.021 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000768570634 1073640140 /nfs/dbraw/zinc/64/01/40/1073640140.db2.gz GVMKTFBZFSABKH-UHFFFAOYSA-N 0 0 435.499 -0.436 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000768570643 1073640227 /nfs/dbraw/zinc/64/02/27/1073640227.db2.gz HSLYAQMIOYJEHR-UHFFFAOYSA-N 0 0 435.499 -0.436 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccnc(Br)c2N)CC1 ZINC000768587475 1073640084 /nfs/dbraw/zinc/64/00/84/1073640084.db2.gz FZRZPECFGOHAMF-UHFFFAOYSA-N 0 0 428.287 -0.185 20 0 IBADRN C[C@@H](CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)S(N)(=O)=O ZINC000768594758 1073640070 /nfs/dbraw/zinc/64/00/70/1073640070.db2.gz ONMQNZXROUQVSS-CMPLNLGQSA-N 0 0 431.492 -0.583 20 0 IBADRN C[C@@H](CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)S(N)(=O)=O ZINC000768594770 1073640167 /nfs/dbraw/zinc/64/01/67/1073640167.db2.gz ONMQNZXROUQVSS-JQWIXIFHSA-N 0 0 431.492 -0.583 20 0 IBADRN C[C@H](CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)S(N)(=O)=O ZINC000768594771 1073640174 /nfs/dbraw/zinc/64/01/74/1073640174.db2.gz ONMQNZXROUQVSS-PWSUYJOCSA-N 0 0 431.492 -0.583 20 0 IBADRN C[C@H](CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)S(N)(=O)=O ZINC000768594772 1073640234 /nfs/dbraw/zinc/64/02/34/1073640234.db2.gz ONMQNZXROUQVSS-ZYHUDNBSSA-N 0 0 431.492 -0.583 20 0 IBADRN C[C@@H](CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)S(N)(=O)=O ZINC000768595196 1073640178 /nfs/dbraw/zinc/64/01/78/1073640178.db2.gz GUZXLTZGWOUDPC-JTQLQIEISA-N 0 0 425.916 -0.232 20 0 IBADRN C[C@H](CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)S(N)(=O)=O ZINC000768595288 1073640098 /nfs/dbraw/zinc/64/00/98/1073640098.db2.gz GUZXLTZGWOUDPC-SNVBAGLBSA-N 0 0 425.916 -0.232 20 0 IBADRN Cc1[nH]nc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC000768621426 1073640118 /nfs/dbraw/zinc/64/01/18/1073640118.db2.gz KNXGLCGFOKOCMO-LLVKDONJSA-N 0 0 449.327 -0.031 20 0 IBADRN Cc1[nH]nc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC000768621641 1073640200 /nfs/dbraw/zinc/64/02/00/1073640200.db2.gz KNXGLCGFOKOCMO-NSHDSACASA-N 0 0 449.327 -0.031 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2nccc3c2cccc3S(N)(=O)=O)cn1 ZINC000768621950 1073640079 /nfs/dbraw/zinc/64/00/79/1073640079.db2.gz JJJWAWCYIOKUAM-UHFFFAOYSA-N 0 0 439.475 -0.249 20 0 IBADRN COCCCS(=O)(=O)NCCOC(=O)c1nccc2c1cccc2S(N)(=O)=O ZINC000768622018 1073640061 /nfs/dbraw/zinc/64/00/61/1073640061.db2.gz LFCOQBGNLZCUKQ-UHFFFAOYSA-N 0 0 431.492 -0.005 20 0 IBADRN Cc1c(C(=O)N(CCO)CCNC(=O)OC(C)(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000768643239 1073640193 /nfs/dbraw/zinc/64/01/93/1073640193.db2.gz BUUUUXNPEOQNOR-UHFFFAOYSA-N 0 0 435.481 -0.100 20 0 IBADRN Cc1cc(C(=O)N(CCO)CCNC(=O)OC(C)(C)C)nc2c1c(=O)n(C)c(=O)n2C ZINC000768647712 1073640812 /nfs/dbraw/zinc/64/08/12/1073640812.db2.gz RQEUVDYWTPRJOE-UHFFFAOYSA-N 0 0 435.481 -0.100 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)OCc1cc(=O)n(C)c(=O)n1C ZINC000768652876 1073640725 /nfs/dbraw/zinc/64/07/25/1073640725.db2.gz RVZKZKHKLIIXFW-HNNXBMFYSA-N 0 0 426.470 -0.207 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000768653789 1073640832 /nfs/dbraw/zinc/64/08/32/1073640832.db2.gz YIBOBZZBEGCJKV-KRWDZBQOSA-N 0 0 442.513 -0.114 20 0 IBADRN CNC(=O)C1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)C1 ZINC000768654587 1073640805 /nfs/dbraw/zinc/64/08/05/1073640805.db2.gz ZQUNRBZTXDPNTP-CLOOOTJHSA-N 0 0 443.547 -0.416 20 0 IBADRN CNC(=O)C1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)C1 ZINC000768654594 1073640924 /nfs/dbraw/zinc/64/09/24/1073640924.db2.gz ZQUNRBZTXDPNTP-JXMNSVBMSA-N 0 0 443.547 -0.416 20 0 IBADRN CNC(=O)C1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)C1 ZINC000768654596 1073640774 /nfs/dbraw/zinc/64/07/74/1073640774.db2.gz ZQUNRBZTXDPNTP-KXKDPZRNSA-N 0 0 443.547 -0.416 20 0 IBADRN CNC(=O)C1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)C1 ZINC000768654599 1073640902 /nfs/dbraw/zinc/64/09/02/1073640902.db2.gz ZQUNRBZTXDPNTP-YCABEKBOSA-N 0 0 443.547 -0.416 20 0 IBADRN COC(=O)c1[nH]c(C)c(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000768664034 1073640715 /nfs/dbraw/zinc/64/07/15/1073640715.db2.gz HMADIVXUYUBMJB-AWEZNQCLSA-N 0 0 441.506 -0.094 20 0 IBADRN COC(=O)c1[nH]c(C)c(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000768664035 1073640760 /nfs/dbraw/zinc/64/07/60/1073640760.db2.gz HMADIVXUYUBMJB-CQSZACIVSA-N 0 0 441.506 -0.094 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCc2nnnn2CC2CCOCC2)cn1C ZINC000768674357 1073640878 /nfs/dbraw/zinc/64/08/78/1073640878.db2.gz SWDZWAGYYMDZSR-UHFFFAOYSA-N 0 0 427.487 -0.447 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CN2C(=O)N[C@]3(CCCC(C)(C)C3)C2=O)c(=O)n(C)c1=O ZINC000768709866 1073640747 /nfs/dbraw/zinc/64/07/47/1073640747.db2.gz KWCPDUICQJLWMS-FQEVSTJZSA-N 0 0 449.464 -0.717 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CN2C(=O)N[C@@]3(CCCC(C)(C)C3)C2=O)c(=O)n(C)c1=O ZINC000768709868 1073640799 /nfs/dbraw/zinc/64/07/99/1073640799.db2.gz KWCPDUICQJLWMS-HXUWFJFHSA-N 0 0 449.464 -0.717 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(CCOC)nn2C)CC1 ZINC000768714652 1073640909 /nfs/dbraw/zinc/64/09/09/1073640909.db2.gz QDYHMRULHUZJIQ-UHFFFAOYSA-N 0 0 430.531 -0.722 20 0 IBADRN COCCN1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC000768714778 1073640931 /nfs/dbraw/zinc/64/09/31/1073640931.db2.gz WEVGQRJQKIXOSW-UHFFFAOYSA-N 0 0 427.502 -0.434 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3ccnn3)c2)CC1 ZINC000768716766 1073641502 /nfs/dbraw/zinc/64/15/02/1073641502.db2.gz YZPKOKNKLLJKRN-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN Cc1cc(C(=O)OCc2nnnn2CC2CCOCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC000768717608 1073641487 /nfs/dbraw/zinc/64/14/87/1073641487.db2.gz XGZCVYARQRXJQC-UHFFFAOYSA-N 0 0 429.437 -0.289 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cnn(-c2ccccc2F)c1 ZINC000768720323 1073641384 /nfs/dbraw/zinc/64/13/84/1073641384.db2.gz JLLGPWZIFZYXJE-UHFFFAOYSA-N 0 0 425.442 -0.272 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cnn(-c2ccccc2S(C)(=O)=O)c1 ZINC000768721560 1073641569 /nfs/dbraw/zinc/64/15/69/1073641569.db2.gz LJBWAYWLJJWFQO-UHFFFAOYSA-N 0 0 443.507 -0.730 20 0 IBADRN O=C(OCc1nnnn1CC1CCOCC1)c1ccc(S(=O)(=O)NCCO)s1 ZINC000768723088 1073641362 /nfs/dbraw/zinc/64/13/62/1073641362.db2.gz UDCSLXRVUKCRIA-UHFFFAOYSA-N 0 0 431.496 -0.211 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](F)(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000768724709 1073642226 /nfs/dbraw/zinc/64/22/26/1073642226.db2.gz CYXOTPOOJUXZKY-INIZCTEOSA-N 0 0 432.478 -0.013 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000768724714 1073642221 /nfs/dbraw/zinc/64/22/21/1073642221.db2.gz CYXOTPOOJUXZKY-MRXNPFEDSA-N 0 0 432.478 -0.013 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000768724744 1073642193 /nfs/dbraw/zinc/64/21/93/1073642193.db2.gz DUOZZCOQQBLMQF-UHFFFAOYSA-N 0 0 434.478 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000768725971 1073642231 /nfs/dbraw/zinc/64/22/31/1073642231.db2.gz RNSQDOYGHYBFJM-UHFFFAOYSA-N 0 0 444.514 -0.336 20 0 IBADRN O=C(c1cccnc1I)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000768726154 1073642244 /nfs/dbraw/zinc/64/22/44/1073642244.db2.gz UTRTWTUKGMASRB-UHFFFAOYSA-N 0 0 448.246 -0.049 20 0 IBADRN O=C(Nc1cnn(-c2ccccc2Cl)c1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000768726696 1073642189 /nfs/dbraw/zinc/64/21/89/1073642189.db2.gz HEEKAUFXABFLKR-UHFFFAOYSA-N 0 0 443.855 -0.178 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCc1nnnn1CC1CCOCC1 ZINC000768726822 1073642268 /nfs/dbraw/zinc/64/22/68/1073642268.db2.gz AMMVTKUTLZYNMG-GFCCVEGCSA-N 0 0 441.514 -0.140 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCc1nnnn1CC1CCOCC1 ZINC000768726828 1073642289 /nfs/dbraw/zinc/64/22/89/1073642289.db2.gz AMMVTKUTLZYNMG-LBPRGKRZSA-N 0 0 441.514 -0.140 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000768728108 1073642260 /nfs/dbraw/zinc/64/22/60/1073642260.db2.gz BRONDAXTXFCIJE-UHFFFAOYSA-N 0 0 440.869 -0.283 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2cnn(-c3ccccc3Cl)c2)CC1 ZINC000768729069 1073642788 /nfs/dbraw/zinc/64/27/88/1073642788.db2.gz SZNBUXMWNUPJON-UHFFFAOYSA-N 0 0 440.913 -0.103 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000768729125 1073642808 /nfs/dbraw/zinc/64/28/08/1073642808.db2.gz JSABGFTXKNZCAV-UHFFFAOYSA-N 0 0 434.887 -0.748 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000768729195 1073642656 /nfs/dbraw/zinc/64/26/56/1073642656.db2.gz KXSARIYZTMRAMZ-GFCCVEGCSA-N 0 0 430.487 -0.727 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000768729210 1073642691 /nfs/dbraw/zinc/64/26/91/1073642691.db2.gz KXSARIYZTMRAMZ-LBPRGKRZSA-N 0 0 430.487 -0.727 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000768730992 1073642859 /nfs/dbraw/zinc/64/28/59/1073642859.db2.gz SDLZHJWWZXHJSJ-UHFFFAOYSA-N 0 0 430.487 -0.727 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000768731061 1073642819 /nfs/dbraw/zinc/64/28/19/1073642819.db2.gz TUNOGRNEINCXLT-CYBMUJFWSA-N 0 0 444.514 -0.336 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000768731065 1073642836 /nfs/dbraw/zinc/64/28/36/1073642836.db2.gz TUNOGRNEINCXLT-ZDUSSCGKSA-N 0 0 444.514 -0.336 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cn1 ZINC000768735385 1073642803 /nfs/dbraw/zinc/64/28/03/1073642803.db2.gz KOVUFQYTOFPERQ-CYBMUJFWSA-N 0 0 433.446 -0.123 20 0 IBADRN CS(=O)(=O)c1ccccc1-n1cc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cn1 ZINC000768735387 1073642729 /nfs/dbraw/zinc/64/27/29/1073642729.db2.gz KOVUFQYTOFPERQ-ZDUSSCGKSA-N 0 0 433.446 -0.123 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000768737860 1073642663 /nfs/dbraw/zinc/64/26/63/1073642663.db2.gz WBTXBYVHMZTYQF-UHFFFAOYSA-N 0 0 430.483 -0.382 20 0 IBADRN CCCNC(=O)NC(=O)CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O ZINC000768752131 1073642674 /nfs/dbraw/zinc/64/26/74/1073642674.db2.gz WCJBDNQWSQNSLP-KRWDZBQOSA-N 0 0 426.426 -0.014 20 0 IBADRN CCCNC(=O)NC(=O)CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O ZINC000768752159 1073642888 /nfs/dbraw/zinc/64/28/88/1073642888.db2.gz WCJBDNQWSQNSLP-QGZVFWFLSA-N 0 0 426.426 -0.014 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCc2nnnn2CC2CCOCC2)c1 ZINC000768768375 1073642250 /nfs/dbraw/zinc/64/22/50/1073642250.db2.gz JKUPIZHQHPZBAP-UHFFFAOYSA-N 0 0 428.471 -0.291 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000768776829 1073643445 /nfs/dbraw/zinc/64/34/45/1073643445.db2.gz IFXGUCYESFUPQO-UHFFFAOYSA-N 0 0 449.551 -0.209 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CNC(=O)c3ccccc3)CC2)ccc1=O ZINC000768779201 1073643512 /nfs/dbraw/zinc/64/35/12/1073643512.db2.gz RHPFHXCIZGCNOP-UHFFFAOYSA-N 0 0 427.461 -0.489 20 0 IBADRN COCCN1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1=O ZINC000768782259 1073643562 /nfs/dbraw/zinc/64/35/62/1073643562.db2.gz CGTJLHJQITYNFN-NRFANRHFSA-N 0 0 431.493 -0.249 20 0 IBADRN COCCN1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1=O ZINC000768782260 1073643457 /nfs/dbraw/zinc/64/34/57/1073643457.db2.gz CGTJLHJQITYNFN-OAQYLSRUSA-N 0 0 431.493 -0.249 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ncn(-c4ccccc4)n3)CC2)ccc1=O ZINC000768787595 1073643401 /nfs/dbraw/zinc/64/34/01/1073643401.db2.gz AVIRZMMCKNHLAV-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN COCCN1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1=O ZINC000768788266 1073643520 /nfs/dbraw/zinc/64/35/20/1073643520.db2.gz BINKFPGVHKBUCE-FQEVSTJZSA-N 0 0 433.465 -0.716 20 0 IBADRN COCCN1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1=O ZINC000768788271 1073643552 /nfs/dbraw/zinc/64/35/52/1073643552.db2.gz BINKFPGVHKBUCE-HXUWFJFHSA-N 0 0 433.465 -0.716 20 0 IBADRN COCCN1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1=O ZINC000768789647 1073644138 /nfs/dbraw/zinc/64/41/38/1073644138.db2.gz MTQNGEBBKFPJAJ-UHFFFAOYSA-N 0 0 437.522 -0.114 20 0 IBADRN COCCN1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1=O ZINC000768790520 1073643480 /nfs/dbraw/zinc/64/34/80/1073643480.db2.gz ZTEJNUIRGPXWHW-FQEVSTJZSA-N 0 0 433.465 -0.716 20 0 IBADRN COCCN1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1=O ZINC000768790524 1073643558 /nfs/dbraw/zinc/64/35/58/1073643558.db2.gz ZTEJNUIRGPXWHW-HXUWFJFHSA-N 0 0 433.465 -0.716 20 0 IBADRN CCc1c(C(=O)OCc2nnnn2CC2CCOCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000768790955 1073644116 /nfs/dbraw/zinc/64/41/16/1073644116.db2.gz JTTYVPTUJMJVKD-UHFFFAOYSA-N 0 0 443.464 -0.035 20 0 IBADRN Cc1c(C(=O)OCc2nnnn2CC2CCOCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000768795081 1073644003 /nfs/dbraw/zinc/64/40/03/1073644003.db2.gz XHNBHIZOFXTYAJ-UHFFFAOYSA-N 0 0 429.437 -0.289 20 0 IBADRN Cn1c2ncn(CCC(=O)OC[C@@H]3CNC(c4ccccc4)=NO3)c2c(=O)n(C)c1=O ZINC000768801532 1073642744 /nfs/dbraw/zinc/64/27/44/1073642744.db2.gz DVTRAKNGNKGDDJ-AWEZNQCLSA-N 0 0 426.433 -0.283 20 0 IBADRN Cn1c2ncn(CCC(=O)OC[C@H]3CNC(c4ccccc4)=NO3)c2c(=O)n(C)c1=O ZINC000768801535 1073643554 /nfs/dbraw/zinc/64/35/54/1073643554.db2.gz DVTRAKNGNKGDDJ-CQSZACIVSA-N 0 0 426.433 -0.283 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(CCOC)C(=O)C3)cc2S1(=O)=O ZINC000768882413 1073644178 /nfs/dbraw/zinc/64/41/78/1073644178.db2.gz RXMMMDKODUDVKZ-UHFFFAOYSA-N 0 0 425.463 -0.592 20 0 IBADRN O=C(NCCNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NC1CCCCC1 ZINC000768884951 1073644019 /nfs/dbraw/zinc/64/40/19/1073644019.db2.gz WLRDULKVLSMSEE-AWEZNQCLSA-N 0 0 427.527 -0.100 20 0 IBADRN O=C(NCCNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NC1CCCCC1 ZINC000768884952 1073643916 /nfs/dbraw/zinc/64/39/16/1073643916.db2.gz WLRDULKVLSMSEE-CQSZACIVSA-N 0 0 427.527 -0.100 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000768888514 1073643936 /nfs/dbraw/zinc/64/39/36/1073643936.db2.gz HLXAITSCJLPDLV-CMDGGOBGSA-N 0 0 441.488 -0.111 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000768888515 1073644103 /nfs/dbraw/zinc/64/41/03/1073644103.db2.gz HLXAITSCJLPDLV-HJWRWDBZSA-N 0 0 441.488 -0.111 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCc1nnnn1CC1CCOCC1 ZINC000768891686 1073643982 /nfs/dbraw/zinc/64/39/82/1073643982.db2.gz NVFOPBIUACKHIF-GOSISDBHSA-N 0 0 436.469 -0.242 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCc1nnnn1CC1CCOCC1 ZINC000768891687 1073644207 /nfs/dbraw/zinc/64/42/07/1073644207.db2.gz NVFOPBIUACKHIF-SFHVURJKSA-N 0 0 436.469 -0.242 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC000768928578 1073644096 /nfs/dbraw/zinc/64/40/96/1073644096.db2.gz BNYUPLBTCHRPIY-DOMZBBRYSA-N 0 0 428.486 -0.458 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC000768928586 1073643964 /nfs/dbraw/zinc/64/39/64/1073643964.db2.gz BNYUPLBTCHRPIY-WFASDCNBSA-N 0 0 428.486 -0.458 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000768931516 1073644068 /nfs/dbraw/zinc/64/40/68/1073644068.db2.gz MQNJMJGXEKMQNY-HIFRSBDPSA-N 0 0 449.574 -0.316 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000768931518 1073643890 /nfs/dbraw/zinc/64/38/90/1073643890.db2.gz MQNJMJGXEKMQNY-ZFWWWQNUSA-N 0 0 449.574 -0.316 20 0 IBADRN Cc1c(Br)cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c(=O)n1C ZINC000768936410 1073644033 /nfs/dbraw/zinc/64/40/33/1073644033.db2.gz TZBIAPUEXHMVHA-UHFFFAOYSA-N 0 0 441.238 -0.524 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C(C)(C)C)n1 ZINC000768942110 1073644132 /nfs/dbraw/zinc/64/41/32/1073644132.db2.gz LBAUUUZSFCBAHO-CYBMUJFWSA-N 0 0 432.568 -0.008 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C(C)(C)C)n1 ZINC000768942111 1073644052 /nfs/dbraw/zinc/64/40/52/1073644052.db2.gz LBAUUUZSFCBAHO-ZDUSSCGKSA-N 0 0 432.568 -0.008 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000768952275 1073644709 /nfs/dbraw/zinc/64/47/09/1073644709.db2.gz GUWGWNDKMUXQGP-OAHLLOKOSA-N 0 0 448.590 -0.281 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000768954458 1073644617 /nfs/dbraw/zinc/64/46/17/1073644617.db2.gz YTMZLPZYGGQVGZ-CQSZACIVSA-N 0 0 435.547 -0.196 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000768955284 1073644613 /nfs/dbraw/zinc/64/46/13/1073644613.db2.gz VXPBIROEYGHVHM-CQSZACIVSA-N 0 0 427.502 -0.388 20 0 IBADRN O=C(c1csc(NC2CC2)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000768957894 1073644687 /nfs/dbraw/zinc/64/46/87/1073644687.db2.gz UIZXVJYVBJAWFQ-GFCCVEGCSA-N 0 0 434.565 -0.008 20 0 IBADRN O=C(c1csc(NC2CC2)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000768957895 1073644630 /nfs/dbraw/zinc/64/46/30/1073644630.db2.gz UIZXVJYVBJAWFQ-LBPRGKRZSA-N 0 0 434.565 -0.008 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000768958666 1073644602 /nfs/dbraw/zinc/64/46/02/1073644602.db2.gz YPIJYDKUAIWHEX-CYBMUJFWSA-N 0 0 448.494 -0.113 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000768958728 1073644730 /nfs/dbraw/zinc/64/47/30/1073644730.db2.gz YPIJYDKUAIWHEX-ZDUSSCGKSA-N 0 0 448.494 -0.113 20 0 IBADRN COCCN1CCN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)CC1=O ZINC000768962260 1073644643 /nfs/dbraw/zinc/64/46/43/1073644643.db2.gz GDCVWGLSJCEBGB-UHFFFAOYSA-N 0 0 441.506 -0.225 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)NCCCN2CCNC(=O)C2)c1 ZINC000768966793 1073644677 /nfs/dbraw/zinc/64/46/77/1073644677.db2.gz DNNGZTRJOGHLSO-AATRIKPKSA-N 0 0 441.506 -0.010 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)NCCCN2CCNC(=O)C2)c1 ZINC000768966794 1073644609 /nfs/dbraw/zinc/64/46/09/1073644609.db2.gz DNNGZTRJOGHLSO-WAYWQWQTSA-N 0 0 441.506 -0.010 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2)CC1 ZINC000768980744 1073644655 /nfs/dbraw/zinc/64/46/55/1073644655.db2.gz LBMUJNZFJOLHEP-INIZCTEOSA-N 0 0 425.511 -0.124 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2)CC1 ZINC000768980747 1073644672 /nfs/dbraw/zinc/64/46/72/1073644672.db2.gz LBMUJNZFJOLHEP-MRXNPFEDSA-N 0 0 425.511 -0.124 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)NCCC(=O)N1CCN(C)CC1 ZINC000768983667 1073644623 /nfs/dbraw/zinc/64/46/23/1073644623.db2.gz XPMXSDSRUXSONT-KRWDZBQOSA-N 0 0 427.546 -0.068 20 0 IBADRN CN(CCN(C)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)OC(C)(C)C ZINC000768984737 1073644693 /nfs/dbraw/zinc/64/46/93/1073644693.db2.gz WAMJFUJOHNOXNR-UHFFFAOYSA-N 0 0 448.480 -0.104 20 0 IBADRN Cn1cnc(CNC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)n1 ZINC000768992806 1073644650 /nfs/dbraw/zinc/64/46/50/1073644650.db2.gz OBPLDLXUELXJFW-UHFFFAOYSA-N 0 0 443.530 -0.409 20 0 IBADRN COCCc1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n(C)n1 ZINC000768995873 1073645469 /nfs/dbraw/zinc/64/54/69/1073645469.db2.gz NXMPKBOMXODJSG-AWEZNQCLSA-N 0 0 449.555 -0.725 20 0 IBADRN COCCc1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n(C)n1 ZINC000768995874 1073645242 /nfs/dbraw/zinc/64/52/42/1073645242.db2.gz NXMPKBOMXODJSG-CQSZACIVSA-N 0 0 449.555 -0.725 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000769002381 1073645446 /nfs/dbraw/zinc/64/54/46/1073645446.db2.gz WNBXCIIMRMRMEG-GOSISDBHSA-N 0 0 430.527 -0.345 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)NCCS(=O)(=O)N1CCOCC1)C2=O ZINC000769002390 1073645455 /nfs/dbraw/zinc/64/54/55/1073645455.db2.gz WNBXCIIMRMRMEG-SFHVURJKSA-N 0 0 430.527 -0.345 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)N(C)C)CC1=O ZINC000769002685 1073645391 /nfs/dbraw/zinc/64/53/91/1073645391.db2.gz ACTKXJLJFOVPFV-UHFFFAOYSA-N 0 0 436.465 -0.339 20 0 IBADRN COCCN1CCN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CC1=O ZINC000769002703 1073645423 /nfs/dbraw/zinc/64/54/23/1073645423.db2.gz ASYCQVOQNDXGCD-UHFFFAOYSA-N 0 0 438.506 -0.857 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1=O ZINC000769002779 1073645221 /nfs/dbraw/zinc/64/52/21/1073645221.db2.gz CDMVQSIUMGFPEA-UHFFFAOYSA-N 0 0 437.884 -0.468 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1=O ZINC000769004799 1073645377 /nfs/dbraw/zinc/64/53/77/1073645377.db2.gz LRQXEWNHISNFKI-UHFFFAOYSA-N 0 0 428.467 -0.876 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(C[S@](=O)CCOC)c2)CC1=O ZINC000769005327 1073645328 /nfs/dbraw/zinc/64/53/28/1073645328.db2.gz BJWIXSKGWGPJBA-GDLZYMKVSA-N 0 0 425.507 -0.163 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(C[S@@](=O)CCOC)c2)CC1=O ZINC000769005330 1073645440 /nfs/dbraw/zinc/64/54/40/1073645440.db2.gz BJWIXSKGWGPJBA-LJAQVGFWSA-N 0 0 425.507 -0.163 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1=O ZINC000769006767 1073645317 /nfs/dbraw/zinc/64/53/17/1073645317.db2.gz QFSZSTJYQLPPCG-UHFFFAOYSA-N 0 0 427.479 -0.634 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)CC1=O ZINC000769007889 1073645399 /nfs/dbraw/zinc/64/53/99/1073645399.db2.gz XHZZKKKMJIXCHM-UHFFFAOYSA-N 0 0 431.493 -0.356 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCC(C(=O)NCCO)CC1 ZINC000769024182 1073644633 /nfs/dbraw/zinc/64/46/33/1073644633.db2.gz UXNGUAXJHPOIMI-INIZCTEOSA-N 0 0 428.530 -0.001 20 0 IBADRN CC(C)c1nnc(NC(=O)CCC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)s1 ZINC000769040039 1073645460 /nfs/dbraw/zinc/64/54/60/1073645460.db2.gz RFQGWEFVFJUWFD-UHFFFAOYSA-N 0 0 438.466 -0.214 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCOC[C@@H]3CCO)c2)CC1 ZINC000769041310 1073645430 /nfs/dbraw/zinc/64/54/30/1073645430.db2.gz ICBGQOODZAWGMI-KRWDZBQOSA-N 0 0 425.507 -0.237 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCOC[C@H]3CCO)c2)CC1 ZINC000769041312 1073645351 /nfs/dbraw/zinc/64/53/51/1073645351.db2.gz ICBGQOODZAWGMI-QGZVFWFLSA-N 0 0 425.507 -0.237 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N(C)CC(=O)N1CCN(C)CC1 ZINC000769054979 1073646018 /nfs/dbraw/zinc/64/60/18/1073646018.db2.gz JVMJNHHPCKIMRQ-KRWDZBQOSA-N 0 0 427.546 -0.116 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOC[C@@H]3CCO)cc2)CC1 ZINC000769058998 1073646030 /nfs/dbraw/zinc/64/60/30/1073646030.db2.gz NNOPMLBMKZNYSC-KRWDZBQOSA-N 0 0 425.507 -0.237 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOC[C@H]3CCO)cc2)CC1 ZINC000769059803 1073645973 /nfs/dbraw/zinc/64/59/73/1073645973.db2.gz NNOPMLBMKZNYSC-QGZVFWFLSA-N 0 0 425.507 -0.237 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000769072760 1073645898 /nfs/dbraw/zinc/64/58/98/1073645898.db2.gz AZLWBBGPBZMQPQ-GOSISDBHSA-N 0 0 439.534 -0.076 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000769072763 1073645929 /nfs/dbraw/zinc/64/59/29/1073645929.db2.gz AZLWBBGPBZMQPQ-SFHVURJKSA-N 0 0 439.534 -0.076 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000769077301 1073645875 /nfs/dbraw/zinc/64/58/75/1073645875.db2.gz KYQCOIYRSZUDRX-KRWDZBQOSA-N 0 0 441.506 -0.090 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000769077305 1073646004 /nfs/dbraw/zinc/64/60/04/1073646004.db2.gz KYQCOIYRSZUDRX-QGZVFWFLSA-N 0 0 441.506 -0.090 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000769077811 1073646000 /nfs/dbraw/zinc/64/60/00/1073646000.db2.gz IYHQKTWDFCVGCH-KRWDZBQOSA-N 0 0 425.507 -0.217 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000769077816 1073645917 /nfs/dbraw/zinc/64/59/17/1073645917.db2.gz IYHQKTWDFCVGCH-QGZVFWFLSA-N 0 0 425.507 -0.217 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000769080786 1073645988 /nfs/dbraw/zinc/64/59/88/1073645988.db2.gz ZRLSNFJOFLRGBM-KRWDZBQOSA-N 0 0 441.506 -0.090 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000769080789 1073645964 /nfs/dbraw/zinc/64/59/64/1073645964.db2.gz ZRLSNFJOFLRGBM-QGZVFWFLSA-N 0 0 441.506 -0.090 20 0 IBADRN CC(CC(=O)O[C@@H](C)C(=O)N1CCNC1=O)CC(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000769092216 1073645367 /nfs/dbraw/zinc/64/53/67/1073645367.db2.gz IFDIHDCGLYXJGB-RYUDHWBXSA-N 0 0 426.426 -0.630 20 0 IBADRN CC(CC(=O)O[C@H](C)C(=O)N1CCNC1=O)CC(=O)O[C@H](C)C(=O)N1CCNC1=O ZINC000769092245 1073645340 /nfs/dbraw/zinc/64/53/40/1073645340.db2.gz IFDIHDCGLYXJGB-VXGBXAGGSA-N 0 0 426.426 -0.630 20 0 IBADRN C[C@@H](CC(=O)O[C@H](C)C(=O)N1CCNC1=O)CC(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000769092250 1073645258 /nfs/dbraw/zinc/64/52/58/1073645258.db2.gz IFDIHDCGLYXJGB-ZSBIGDGJSA-N 0 0 426.426 -0.630 20 0 IBADRN CC(CC(=O)OCC(=O)N1CCO[C@@H](C)C1)CC(=O)OCC(=O)N1CCO[C@@H](C)C1 ZINC000769094759 1073645298 /nfs/dbraw/zinc/64/52/98/1073645298.db2.gz SSVUPOFNVSYFFI-HOTGVXAUSA-N 0 0 428.482 -0.016 20 0 IBADRN CC(CC(=O)OCC(=O)N1CCO[C@H](C)C1)CC(=O)OCC(=O)N1CCO[C@H](C)C1 ZINC000769094760 1073645437 /nfs/dbraw/zinc/64/54/37/1073645437.db2.gz SSVUPOFNVSYFFI-HZPDHXFCSA-N 0 0 428.482 -0.016 20 0 IBADRN C[C@@H](CC(=O)OCC(=O)N1CCO[C@H](C)C1)CC(=O)OCC(=O)N1CCO[C@@H](C)C1 ZINC000769094761 1073645948 /nfs/dbraw/zinc/64/59/48/1073645948.db2.gz SSVUPOFNVSYFFI-MUJYYYPQSA-N 0 0 428.482 -0.016 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CCC1 ZINC000769096508 1073646011 /nfs/dbraw/zinc/64/60/11/1073646011.db2.gz QQVSDLFQVXJVOV-UHFFFAOYSA-N 0 0 427.454 -0.143 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCC1 ZINC000769097017 1073645937 /nfs/dbraw/zinc/64/59/37/1073645937.db2.gz AWYLQVWVDSPBJH-UHFFFAOYSA-N 0 0 439.490 -0.478 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCC1 ZINC000769097484 1073645908 /nfs/dbraw/zinc/64/59/08/1073645908.db2.gz VWOZTKBENMMGAQ-UHFFFAOYSA-N 0 0 445.444 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(C(N)=O)CCC3)CC2)cc1 ZINC000769097951 1073645982 /nfs/dbraw/zinc/64/59/82/1073645982.db2.gz FSSMLNFYDVHKAM-UHFFFAOYSA-N 0 0 439.490 -0.273 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCC1 ZINC000769099033 1073645955 /nfs/dbraw/zinc/64/59/55/1073645955.db2.gz XDBTUNMCXCVGRI-INIZCTEOSA-N 0 0 431.445 -0.304 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCC1 ZINC000769099037 1073645889 /nfs/dbraw/zinc/64/58/89/1073645889.db2.gz XDBTUNMCXCVGRI-MRXNPFEDSA-N 0 0 431.445 -0.304 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCC1 ZINC000769099699 1073645994 /nfs/dbraw/zinc/64/59/94/1073645994.db2.gz MDPBHZXFSARVNS-UHFFFAOYSA-N 0 0 425.463 -0.155 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCC1 ZINC000769102329 1073646696 /nfs/dbraw/zinc/64/66/96/1073646696.db2.gz VOURPYDUHNOESC-UHFFFAOYSA-N 0 0 427.454 -0.143 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C2(C(N)=O)CCC2)CC1 ZINC000769118072 1073646562 /nfs/dbraw/zinc/64/65/62/1073646562.db2.gz DASFHIVSDZZKHV-UHFFFAOYSA-N 0 0 428.467 -0.677 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCC1 ZINC000769120067 1073646536 /nfs/dbraw/zinc/64/65/36/1073646536.db2.gz DVROJENULATNRY-UHFFFAOYSA-N 0 0 425.463 -0.155 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCC1 ZINC000769120468 1073646598 /nfs/dbraw/zinc/64/65/98/1073646598.db2.gz QABIOMCWDPZTRQ-UHFFFAOYSA-N 0 0 439.490 -0.478 20 0 IBADRN NC(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CCC1 ZINC000769123812 1073646683 /nfs/dbraw/zinc/64/66/83/1073646683.db2.gz XWCSYLZBPOCFQY-UHFFFAOYSA-N 0 0 427.454 -0.143 20 0 IBADRN COC(=O)c1[nH]c(C)c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000769135371 1073646755 /nfs/dbraw/zinc/64/67/55/1073646755.db2.gz SPMCCSJGVLFYLT-CYBMUJFWSA-N 0 0 447.535 -0.307 20 0 IBADRN COC(=O)c1[nH]c(C)c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000769135379 1073646723 /nfs/dbraw/zinc/64/67/23/1073646723.db2.gz SPMCCSJGVLFYLT-ZDUSSCGKSA-N 0 0 447.535 -0.307 20 0 IBADRN C[C@H](NC(=O)CN1CCC(NC(=O)OC(C)(C)C)CC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000769179642 1073646626 /nfs/dbraw/zinc/64/66/26/1073646626.db2.gz XOPFOXOQZFAHHQ-AWEZNQCLSA-N 0 0 446.570 -0.263 20 0 IBADRN C[C@@H](NC(=O)CN1CCC(NC(=O)OC(C)(C)C)CC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000769179643 1073646588 /nfs/dbraw/zinc/64/65/88/1073646588.db2.gz XOPFOXOQZFAHHQ-CQSZACIVSA-N 0 0 446.570 -0.263 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](OCC(=O)NCC(=O)NCCN2CCOCC2)C1 ZINC000769197931 1073646581 /nfs/dbraw/zinc/64/65/81/1073646581.db2.gz SHMXQZGSGVYWEP-INIZCTEOSA-N 0 0 428.530 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](OCC(=O)NCC(=O)NCCN2CCOCC2)C1 ZINC000769197932 1073646495 /nfs/dbraw/zinc/64/64/95/1073646495.db2.gz SHMXQZGSGVYWEP-MRXNPFEDSA-N 0 0 428.530 -0.033 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(=O)n(Cn2nnc3c(cnn3-c3ccccc3)c2=O)c1 ZINC000769208972 1073646517 /nfs/dbraw/zinc/64/65/17/1073646517.db2.gz FAIMTZPYTXTCHR-UHFFFAOYSA-N 0 0 427.446 -0.105 20 0 IBADRN Cn1cc(-c2cc(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)on2)cn1 ZINC000769215034 1073647319 /nfs/dbraw/zinc/64/73/19/1073647319.db2.gz YMSVRNAXXQXNRU-HNNXBMFYSA-N 0 0 429.524 -0.290 20 0 IBADRN Cn1cc(-c2cc(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)on2)cn1 ZINC000769215036 1073647351 /nfs/dbraw/zinc/64/73/51/1073647351.db2.gz YMSVRNAXXQXNRU-OAHLLOKOSA-N 0 0 429.524 -0.290 20 0 IBADRN CC(=O)N(C)CC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN(C)C(C)=O ZINC000769260083 1073647305 /nfs/dbraw/zinc/64/73/05/1073647305.db2.gz BGNUBWVUUZTWFE-INIZCTEOSA-N 0 0 441.529 -0.493 20 0 IBADRN CC(=O)N(C)CC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN(C)C(C)=O ZINC000769260085 1073647377 /nfs/dbraw/zinc/64/73/77/1073647377.db2.gz BGNUBWVUUZTWFE-MRXNPFEDSA-N 0 0 441.529 -0.493 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCC(=O)N2)[C@@H](CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC000769263322 1073647229 /nfs/dbraw/zinc/64/72/29/1073647229.db2.gz PFCKNUTWZDTMEK-BFHYXJOUSA-N 0 0 437.497 -0.892 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCC(=O)N2)[C@@H](CNC(=O)[C@@H]2CCC(=O)N2)C1 ZINC000769263324 1073647276 /nfs/dbraw/zinc/64/72/76/1073647276.db2.gz PFCKNUTWZDTMEK-IHRRRGAJSA-N 0 0 437.497 -0.892 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCC(=O)N2)[C@H](CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC000769263327 1073647332 /nfs/dbraw/zinc/64/73/32/1073647332.db2.gz PFCKNUTWZDTMEK-MCIONIFRSA-N 0 0 437.497 -0.892 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCC(=O)N2)[C@H](CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC000769263329 1073647211 /nfs/dbraw/zinc/64/72/11/1073647211.db2.gz PFCKNUTWZDTMEK-MGPQQGTHSA-N 0 0 437.497 -0.892 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCC(=O)N2)[C@@H](CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC000769263331 1073647369 /nfs/dbraw/zinc/64/73/69/1073647369.db2.gz PFCKNUTWZDTMEK-MJBXVCDLSA-N 0 0 437.497 -0.892 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCC(=O)N2)[C@H](CNC(=O)[C@@H]2CCC(=O)N2)C1 ZINC000769263334 1073647176 /nfs/dbraw/zinc/64/71/76/1073647176.db2.gz PFCKNUTWZDTMEK-RDBSUJKOSA-N 0 0 437.497 -0.892 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)cc2)CC1 ZINC000769266106 1073647261 /nfs/dbraw/zinc/64/72/61/1073647261.db2.gz CPAFKTKMHFWIBK-JOCHJYFZSA-N 0 0 446.504 -0.062 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)cc2)CC1 ZINC000769266112 1073647808 /nfs/dbraw/zinc/64/78/08/1073647808.db2.gz CPAFKTKMHFWIBK-QFIPXVFZSA-N 0 0 446.504 -0.062 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000769270496 1073647805 /nfs/dbraw/zinc/64/78/05/1073647805.db2.gz DRTLSRBONYUGBA-SNVBAGLBSA-N 0 0 434.453 -0.400 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC000769274513 1073647914 /nfs/dbraw/zinc/64/79/14/1073647914.db2.gz HDSVQEBNEHAOGD-CYBMUJFWSA-N 0 0 438.485 -0.290 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC000769275251 1073647796 /nfs/dbraw/zinc/64/77/96/1073647796.db2.gz LMUDUUAWAJHJHM-CYBMUJFWSA-N 0 0 438.485 -0.290 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000769281930 1073647940 /nfs/dbraw/zinc/64/79/40/1073647940.db2.gz NJMJAIUBSIOSDS-CRAIPNDOSA-N 0 0 445.501 -0.564 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000769281931 1073647905 /nfs/dbraw/zinc/64/79/05/1073647905.db2.gz NJMJAIUBSIOSDS-MAUKXSAKSA-N 0 0 445.501 -0.564 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000769281932 1073647885 /nfs/dbraw/zinc/64/78/85/1073647885.db2.gz NJMJAIUBSIOSDS-QAPCUYQASA-N 0 0 445.501 -0.564 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000769281933 1073647842 /nfs/dbraw/zinc/64/78/42/1073647842.db2.gz NJMJAIUBSIOSDS-YJBOKZPZSA-N 0 0 445.501 -0.564 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc(F)c(S(N)(=O)=O)c1 ZINC000769282032 1073647945 /nfs/dbraw/zinc/64/79/45/1073647945.db2.gz OVGYDCDCJQIHJH-HNNXBMFYSA-N 0 0 436.465 -0.194 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc(F)c(S(N)(=O)=O)c1 ZINC000769282033 1073647857 /nfs/dbraw/zinc/64/78/57/1073647857.db2.gz OVGYDCDCJQIHJH-OAHLLOKOSA-N 0 0 436.465 -0.194 20 0 IBADRN Cn1nccc1CC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000769316232 1073647829 /nfs/dbraw/zinc/64/78/29/1073647829.db2.gz SEUXETZIAYSATH-UHFFFAOYSA-N 0 0 436.490 -0.157 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)Cc2ccnn2C)CC1 ZINC000769328816 1073647798 /nfs/dbraw/zinc/64/77/98/1073647798.db2.gz NRIDFIKAAWQXPH-UHFFFAOYSA-N 0 0 425.467 -0.356 20 0 IBADRN Cn1nccc1CC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000769330570 1073647867 /nfs/dbraw/zinc/64/78/67/1073647867.db2.gz SXZQXFRBSPCRKN-UHFFFAOYSA-N 0 0 436.490 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC(C(=O)NCCCO)CC2)C(=O)C1 ZINC000769333462 1073647810 /nfs/dbraw/zinc/64/78/10/1073647810.db2.gz DTHNLNNKHXRUTK-UHFFFAOYSA-N 0 0 426.514 -0.197 20 0 IBADRN Cn1c(Cl)ncc1S(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000769387791 1073647815 /nfs/dbraw/zinc/64/78/15/1073647815.db2.gz GAPKFAZFEOOGGZ-CYBMUJFWSA-N 0 0 440.975 -0.026 20 0 IBADRN Cn1c(Cl)ncc1S(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000769387809 1073647928 /nfs/dbraw/zinc/64/79/28/1073647928.db2.gz GAPKFAZFEOOGGZ-ZDUSSCGKSA-N 0 0 440.975 -0.026 20 0 IBADRN Cn1c(Cl)ncc1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000769393766 1073648643 /nfs/dbraw/zinc/64/86/43/1073648643.db2.gz BZWWICXJTFIOID-UHFFFAOYSA-N 0 0 448.958 -0.101 20 0 IBADRN Cn1c(Cl)ncc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000769396933 1073649407 /nfs/dbraw/zinc/64/94/07/1073649407.db2.gz UFYGXPQAAYLADY-UHFFFAOYSA-N 0 0 437.887 -0.539 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc(Cl)n3C)CC2)C[C@H](C)O1 ZINC000769397203 1073648501 /nfs/dbraw/zinc/64/85/01/1073648501.db2.gz QNVRMHASTWTZKE-RYUDHWBXSA-N 0 0 441.963 -0.266 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc(Cl)n3C)CC2)C[C@H](C)O1 ZINC000769397205 1073648716 /nfs/dbraw/zinc/64/87/16/1073648716.db2.gz QNVRMHASTWTZKE-TXEJJXNPSA-N 0 0 441.963 -0.266 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc(Cl)n3C)CC2)C[C@@H](C)O1 ZINC000769397207 1073649466 /nfs/dbraw/zinc/64/94/66/1073649466.db2.gz QNVRMHASTWTZKE-VXGBXAGGSA-N 0 0 441.963 -0.266 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000769425669 1073647794 /nfs/dbraw/zinc/64/77/94/1073647794.db2.gz CMKAPMDFUNQUQH-HNNXBMFYSA-N 0 0 434.522 -0.092 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000769425679 1073648710 /nfs/dbraw/zinc/64/87/10/1073648710.db2.gz CMKAPMDFUNQUQH-OAHLLOKOSA-N 0 0 434.522 -0.092 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1Br ZINC000769429883 1073648674 /nfs/dbraw/zinc/64/86/74/1073648674.db2.gz AHKVDBCSHBIGIV-SECBINFHSA-N 0 0 446.279 -0.433 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1Br ZINC000769429884 1073648527 /nfs/dbraw/zinc/64/85/27/1073648527.db2.gz AHKVDBCSHBIGIV-VIFPVBQESA-N 0 0 446.279 -0.433 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(Br)o1 ZINC000769429928 1073648572 /nfs/dbraw/zinc/64/85/72/1073648572.db2.gz ARMRKJRJZYGQJS-SSDOTTSWSA-N 0 0 436.240 -0.840 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(Br)o1 ZINC000769429933 1073648558 /nfs/dbraw/zinc/64/85/58/1073648558.db2.gz ARMRKJRJZYGQJS-ZETCQYMHSA-N 0 0 436.240 -0.840 20 0 IBADRN COc1c(F)cc(Br)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000769430701 1073648683 /nfs/dbraw/zinc/64/86/83/1073648683.db2.gz NWBAXINYWXCULM-SECBINFHSA-N 0 0 436.259 -0.072 20 0 IBADRN COc1c(F)cc(Br)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000769430703 1073648731 /nfs/dbraw/zinc/64/87/31/1073648731.db2.gz NWBAXINYWXCULM-VIFPVBQESA-N 0 0 436.259 -0.072 20 0 IBADRN COC(=O)c1ccc(Br)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000769431044 1073648610 /nfs/dbraw/zinc/64/86/10/1073648610.db2.gz PRLPXKMGTRNPPV-JTQLQIEISA-N 0 0 446.279 -0.433 20 0 IBADRN COC(=O)c1ccc(Br)c(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000769431045 1073648634 /nfs/dbraw/zinc/64/86/34/1073648634.db2.gz PRLPXKMGTRNPPV-SNVBAGLBSA-N 0 0 446.279 -0.433 20 0 IBADRN CC[N@H+](CCO)CCNC(=O)NCCN=c1ncnc2n(C)[n-]c(Br)c1-2 ZINC000769506981 1073649517 /nfs/dbraw/zinc/64/95/17/1073649517.db2.gz BSVULCJJKJEMHU-UHFFFAOYSA-N 0 0 429.323 -0.475 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000769533784 1073649300 /nfs/dbraw/zinc/64/93/00/1073649300.db2.gz VMYGSAIPVGQRRC-CYBMUJFWSA-N 0 0 425.486 -0.098 20 0 IBADRN Cc1c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nnn1Cc1ccc(F)cc1 ZINC000769550504 1073649271 /nfs/dbraw/zinc/64/92/71/1073649271.db2.gz CXZBOZYAEKYOHA-UHFFFAOYSA-N 0 0 430.396 -0.207 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000769572251 1073649323 /nfs/dbraw/zinc/64/93/23/1073649323.db2.gz ASDLIBJCALORCN-HNNXBMFYSA-N 0 0 431.536 -0.009 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000769572254 1073649565 /nfs/dbraw/zinc/64/95/65/1073649565.db2.gz ASDLIBJCALORCN-OAHLLOKOSA-N 0 0 431.536 -0.009 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000769573811 1073649389 /nfs/dbraw/zinc/64/93/89/1073649389.db2.gz GJBYSJDRRAUWAA-HNNXBMFYSA-N 0 0 431.536 -0.009 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000769573812 1073649490 /nfs/dbraw/zinc/64/94/90/1073649490.db2.gz GJBYSJDRRAUWAA-OAHLLOKOSA-N 0 0 431.536 -0.009 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000769577104 1073649472 /nfs/dbraw/zinc/64/94/72/1073649472.db2.gz BXYPJKVBMBKVCB-AWEZNQCLSA-N 0 0 444.535 -0.910 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000769577118 1073649422 /nfs/dbraw/zinc/64/94/22/1073649422.db2.gz BXYPJKVBMBKVCB-CQSZACIVSA-N 0 0 444.535 -0.910 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000769578470 1073649509 /nfs/dbraw/zinc/64/95/09/1073649509.db2.gz PTUFEBOMLVQSMX-AWEZNQCLSA-N 0 0 444.535 -0.910 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000769578472 1073649500 /nfs/dbraw/zinc/64/95/00/1073649500.db2.gz PTUFEBOMLVQSMX-CQSZACIVSA-N 0 0 444.535 -0.910 20 0 IBADRN CN(C)C(=O)COc1ccc(C(F)(F)C(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000769578840 1073649557 /nfs/dbraw/zinc/64/95/57/1073649557.db2.gz OFAPQMARRHBQFK-UHFFFAOYSA-N 0 0 442.463 -0.190 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2CS(N)(=O)=O)cc1 ZINC000769579734 1073649441 /nfs/dbraw/zinc/64/94/41/1073649441.db2.gz GUGWIYYCIUVNLN-AWEZNQCLSA-N 0 0 447.535 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000769579769 1073649361 /nfs/dbraw/zinc/64/93/61/1073649361.db2.gz GUGWIYYCIUVNLN-CQSZACIVSA-N 0 0 447.535 -0.189 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000769580452 1073650103 /nfs/dbraw/zinc/65/01/03/1073650103.db2.gz PICFNUNLKJPPJY-GXTWGEPZSA-N 0 0 444.535 -0.644 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000769580461 1073649982 /nfs/dbraw/zinc/64/99/82/1073649982.db2.gz PICFNUNLKJPPJY-JSGCOSHPSA-N 0 0 444.535 -0.644 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000769580464 1073649942 /nfs/dbraw/zinc/64/99/42/1073649942.db2.gz PICFNUNLKJPPJY-OCCSQVGLSA-N 0 0 444.535 -0.644 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000769580467 1073650060 /nfs/dbraw/zinc/65/00/60/1073650060.db2.gz PICFNUNLKJPPJY-TZMCWYRMSA-N 0 0 444.535 -0.644 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2CS(N)(=O)=O)cc1 ZINC000769580801 1073650000 /nfs/dbraw/zinc/65/00/00/1073650000.db2.gz GSWRVTFNTXLEIJ-AWEZNQCLSA-N 0 0 431.536 -0.168 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000769580806 1073650086 /nfs/dbraw/zinc/65/00/86/1073650086.db2.gz GSWRVTFNTXLEIJ-CQSZACIVSA-N 0 0 431.536 -0.168 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCCC[C@@H]1CS(N)(=O)=O ZINC000769582379 1073650092 /nfs/dbraw/zinc/65/00/92/1073650092.db2.gz LMGXJDMGQHHSSX-CYBMUJFWSA-N 0 0 434.540 -0.671 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCCC[C@H]1CS(N)(=O)=O ZINC000769582458 1073650114 /nfs/dbraw/zinc/65/01/14/1073650114.db2.gz LMGXJDMGQHHSSX-ZDUSSCGKSA-N 0 0 434.540 -0.671 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCCC[C@H]2CS(N)(=O)=O)c1 ZINC000769587447 1073650123 /nfs/dbraw/zinc/65/01/23/1073650123.db2.gz SECOJMKWCCOAOO-AWEZNQCLSA-N 0 0 448.567 -0.452 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCCC[C@@H]2CS(N)(=O)=O)c1 ZINC000769587449 1073649958 /nfs/dbraw/zinc/64/99/58/1073649958.db2.gz SECOJMKWCCOAOO-CQSZACIVSA-N 0 0 448.567 -0.452 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCCC[C@@H]3CS(N)(=O)=O)cc2S1(=O)=O ZINC000769591422 1073650132 /nfs/dbraw/zinc/65/01/32/1073650132.db2.gz XYTYPGBRAXRFEV-CYBMUJFWSA-N 0 0 445.519 -0.239 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCCC[C@H]3CS(N)(=O)=O)cc2S1(=O)=O ZINC000769591424 1073649968 /nfs/dbraw/zinc/64/99/68/1073649968.db2.gz XYTYPGBRAXRFEV-ZDUSSCGKSA-N 0 0 445.519 -0.239 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000769591938 1073650020 /nfs/dbraw/zinc/65/00/20/1073650020.db2.gz ZNANSUIUQFHRKH-LLVKDONJSA-N 0 0 426.480 -0.619 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC000769591940 1073650069 /nfs/dbraw/zinc/65/00/69/1073650069.db2.gz ZNANSUIUQFHRKH-NSHDSACASA-N 0 0 426.480 -0.619 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@H]1CS(N)(=O)=O ZINC000769594240 1073650108 /nfs/dbraw/zinc/65/01/08/1073650108.db2.gz MHVOKIZBQSMJIU-AAEUAGOBSA-N 0 0 432.524 -0.878 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@@H]1CS(N)(=O)=O ZINC000769594249 1073649990 /nfs/dbraw/zinc/64/99/90/1073649990.db2.gz MHVOKIZBQSMJIU-DGCLKSJQSA-N 0 0 432.524 -0.878 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@@H]1CS(N)(=O)=O ZINC000769594252 1073650737 /nfs/dbraw/zinc/65/07/37/1073650737.db2.gz MHVOKIZBQSMJIU-WCQYABFASA-N 0 0 432.524 -0.878 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCCC[C@H]1CS(N)(=O)=O ZINC000769594255 1073650842 /nfs/dbraw/zinc/65/08/42/1073650842.db2.gz MHVOKIZBQSMJIU-YPMHNXCESA-N 0 0 432.524 -0.878 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCCC[C@H]2CS(N)(=O)=O)cc1 ZINC000769594734 1073650949 /nfs/dbraw/zinc/65/09/49/1073650949.db2.gz PXAGYLQXFRYEJR-HNNXBMFYSA-N 0 0 446.551 -0.065 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000769594736 1073650970 /nfs/dbraw/zinc/65/09/70/1073650970.db2.gz PXAGYLQXFRYEJR-OAHLLOKOSA-N 0 0 446.551 -0.065 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCCC[C@@H]1CS(N)(=O)=O)c2=O ZINC000769595037 1073650638 /nfs/dbraw/zinc/65/06/38/1073650638.db2.gz RQNRVJUQTVXGHE-SECBINFHSA-N 0 0 447.315 -0.438 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCCC[C@H]1CS(N)(=O)=O)c2=O ZINC000769595052 1073650707 /nfs/dbraw/zinc/65/07/07/1073650707.db2.gz RQNRVJUQTVXGHE-VIFPVBQESA-N 0 0 447.315 -0.438 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000769607938 1073650902 /nfs/dbraw/zinc/65/09/02/1073650902.db2.gz WIMLHWBYLSOYFX-JTQLQIEISA-N 0 0 425.442 -0.067 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000769607939 1073650747 /nfs/dbraw/zinc/65/07/47/1073650747.db2.gz WIMLHWBYLSOYFX-SNVBAGLBSA-N 0 0 425.442 -0.067 20 0 IBADRN Cn1cc(I)c(=O)n(CC(=O)NC2CCC3(CC2)OCCO3)c1=O ZINC000769614950 1073651517 /nfs/dbraw/zinc/65/15/17/1073651517.db2.gz MMBJNLOMRRGOBT-UHFFFAOYSA-N 0 0 449.245 -0.047 20 0 IBADRN Cn1cc(I)c(=O)n(CC(=O)N2CCC3(CC2)OCCO3)c1=O ZINC000769615163 1073651553 /nfs/dbraw/zinc/65/15/53/1073651553.db2.gz OPGKAFNNGGUSGU-UHFFFAOYSA-N 0 0 435.218 -0.483 20 0 IBADRN CCOC(=O)C1=C(Cn2c(=O)c(I)cn(C)c2=O)NC(=O)N[C@@H]1C ZINC000769615323 1073651462 /nfs/dbraw/zinc/65/14/62/1073651462.db2.gz QIBCCMIAHJONPQ-SSDOTTSWSA-N 0 0 448.217 -0.330 20 0 IBADRN CCOC(=O)C1=C(Cn2c(=O)c(I)cn(C)c2=O)NC(=O)N[C@H]1C ZINC000769615324 1073651500 /nfs/dbraw/zinc/65/15/00/1073651500.db2.gz QIBCCMIAHJONPQ-ZETCQYMHSA-N 0 0 448.217 -0.330 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(=O)OC)c(=O)[nH]c1=O ZINC000769624235 1073651568 /nfs/dbraw/zinc/65/15/68/1073651568.db2.gz BUOSDBGJBHIVAD-GFCCVEGCSA-N 0 0 430.483 -0.419 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)c(=O)[nH]c1=O ZINC000769624237 1073651558 /nfs/dbraw/zinc/65/15/58/1073651558.db2.gz BUOSDBGJBHIVAD-LBPRGKRZSA-N 0 0 430.483 -0.419 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)c(=O)[nH]c1=O ZINC000769625033 1073651495 /nfs/dbraw/zinc/65/14/95/1073651495.db2.gz PIBOUWXVTVYMEX-UHFFFAOYSA-N 0 0 427.483 -0.108 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCN(CC(=O)NCC)CC2)c(=O)[nH]c1=O ZINC000769625488 1073651482 /nfs/dbraw/zinc/65/14/82/1073651482.db2.gz RXLLMTNFGZMRMR-UHFFFAOYSA-N 0 0 429.543 -0.028 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)c(=O)[nH]c1=O ZINC000769626840 1073650772 /nfs/dbraw/zinc/65/07/72/1073650772.db2.gz PSYIBGUVJUVBTL-UHFFFAOYSA-N 0 0 429.543 -0.076 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)c(=O)[nH]c1=O ZINC000769633320 1073650853 /nfs/dbraw/zinc/65/08/53/1073650853.db2.gz HKAMOLNSQCKQRL-UHFFFAOYSA-N 0 0 429.543 -0.028 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC000769638933 1073650595 /nfs/dbraw/zinc/65/05/95/1073650595.db2.gz QHNXAQDHAKTMAO-AWEZNQCLSA-N 0 0 425.467 -0.132 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)CC1 ZINC000769638935 1073650719 /nfs/dbraw/zinc/65/07/19/1073650719.db2.gz QHNXAQDHAKTMAO-CQSZACIVSA-N 0 0 425.467 -0.132 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)c(=O)[nH]c1=O ZINC000769648672 1073651472 /nfs/dbraw/zinc/65/14/72/1073651472.db2.gz INFRGPGUFWKSFP-CYBMUJFWSA-N 0 0 443.526 -0.456 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)c(=O)[nH]c1=O ZINC000769648676 1073651565 /nfs/dbraw/zinc/65/15/65/1073651565.db2.gz INFRGPGUFWKSFP-ZDUSSCGKSA-N 0 0 443.526 -0.456 20 0 IBADRN CN(C)C(=O)COc1ccc(C(F)(F)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000769652235 1073651535 /nfs/dbraw/zinc/65/15/35/1073651535.db2.gz DICRJAUFXLJVED-UHFFFAOYSA-N 0 0 448.492 -0.322 20 0 IBADRN NC(=O)CN(CC(N)=O)S(=O)(=O)CC1CCN(C(=O)OCc2ccccc2)CC1 ZINC000769652611 1073651429 /nfs/dbraw/zinc/65/14/29/1073651429.db2.gz LUENSBOWQYWWNA-UHFFFAOYSA-N 0 0 426.495 -0.362 20 0 IBADRN COCCOC(=O)c1sc2[nH]c(CN3CC[C@H](S(N)(=O)=O)C3)nc(=O)c2c1C ZINC000769655407 1073652034 /nfs/dbraw/zinc/65/20/34/1073652034.db2.gz DBWLZMGMNCAIDC-JTQLQIEISA-N 0 0 430.508 -0.041 20 0 IBADRN COCCOC(=O)c1sc2[nH]c(CN3CC[C@@H](S(N)(=O)=O)C3)nc(=O)c2c1C ZINC000769655408 1073652103 /nfs/dbraw/zinc/65/21/03/1073652103.db2.gz DBWLZMGMNCAIDC-SNVBAGLBSA-N 0 0 430.508 -0.041 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)c(=O)[nH]c1=O ZINC000769658099 1073652151 /nfs/dbraw/zinc/65/21/51/1073652151.db2.gz QKCFMNBQSTUDPT-UHFFFAOYSA-N 0 0 437.540 -0.253 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCOCCS(C)(=O)=O)cc1F ZINC000769658435 1073652127 /nfs/dbraw/zinc/65/21/27/1073652127.db2.gz BYDGZUOEVAYQEX-UHFFFAOYSA-N 0 0 432.517 -0.073 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCN(C(=O)C(=O)OCC)CC2)c(=O)[nH]c1=O ZINC000769660615 1073652065 /nfs/dbraw/zinc/65/20/65/1073652065.db2.gz NWJDITXIIVIPLF-UHFFFAOYSA-N 0 0 444.510 -0.075 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N(C)C[C@H](O)CN2CCOCC2)c(=O)[nH]c1=O ZINC000769664642 1073651949 /nfs/dbraw/zinc/65/19/49/1073651949.db2.gz XCPTZHNNFYQSJG-HNNXBMFYSA-N 0 0 432.543 -0.157 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N(C)C[C@@H](O)CN2CCOCC2)c(=O)[nH]c1=O ZINC000769664645 1073651913 /nfs/dbraw/zinc/65/19/13/1073651913.db2.gz XCPTZHNNFYQSJG-OAHLLOKOSA-N 0 0 432.543 -0.157 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC2(C(=O)OC)CCC(C(=O)OC)CC2)CC1 ZINC000769674998 1073652112 /nfs/dbraw/zinc/65/21/12/1073652112.db2.gz USYYABZEDXKSRA-UHFFFAOYSA-N 0 0 435.499 -0.130 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)C(C)(C)C(N)=O ZINC000769675726 1073652844 /nfs/dbraw/zinc/65/28/44/1073652844.db2.gz BLLWILPVUZFSBH-UHFFFAOYSA-N 0 0 443.478 -0.291 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(C)C(N)=O)CC2)cc1 ZINC000769676456 1073652748 /nfs/dbraw/zinc/65/27/48/1073652748.db2.gz BDRWDSKRLPYXNW-UHFFFAOYSA-N 0 0 441.506 -0.027 20 0 IBADRN CC(C)(C(N)=O)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000769678638 1073652811 /nfs/dbraw/zinc/65/28/11/1073652811.db2.gz OGJVHPIJXANLFV-UHFFFAOYSA-N 0 0 433.433 -0.148 20 0 IBADRN CC(C)(C(N)=O)C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000769680944 1073652802 /nfs/dbraw/zinc/65/28/02/1073652802.db2.gz AIGGQKNMGSHWJE-UHFFFAOYSA-N 0 0 427.479 -0.622 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(C)C(N)=O)CC2)cc1 ZINC000769681026 1073652713 /nfs/dbraw/zinc/65/27/13/1073652713.db2.gz AYEVLDLQBCKEFV-UHFFFAOYSA-N 0 0 427.479 -0.417 20 0 IBADRN CCN(C(=O)COC(=O)C(C)(C)C(N)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000769689316 1073651504 /nfs/dbraw/zinc/65/15/04/1073651504.db2.gz DRAPGEILJMURSJ-UHFFFAOYSA-N 0 0 431.449 -0.013 20 0 IBADRN O=C(CCNC(=O)c1nccn2c(Br)cnc12)N[C@@H]1CCS(=O)(=O)C1 ZINC000769692008 1073652626 /nfs/dbraw/zinc/65/26/26/1073652626.db2.gz LOJCZMCIEMIOQP-SECBINFHSA-N 0 0 430.284 -0.085 20 0 IBADRN O=C(CCNC(=O)c1nccn2c(Br)cnc12)N[C@H]1CCS(=O)(=O)C1 ZINC000769692048 1073652636 /nfs/dbraw/zinc/65/26/36/1073652636.db2.gz LOJCZMCIEMIOQP-VIFPVBQESA-N 0 0 430.284 -0.085 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(C)C(N)=O)CC2)c1 ZINC000769693523 1073652739 /nfs/dbraw/zinc/65/27/39/1073652739.db2.gz OLNRIZHROAAJAK-UHFFFAOYSA-N 0 0 439.490 -0.223 20 0 IBADRN COc1ccc(NC(=O)COC(=O)C(C)(C)C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000769693534 1073652835 /nfs/dbraw/zinc/65/28/35/1073652835.db2.gz OLPNRSSHRCEWQI-UHFFFAOYSA-N 0 0 443.478 -0.291 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C(C)(C)C(N)=O)CC2)cc1 ZINC000769694701 1073652649 /nfs/dbraw/zinc/65/26/49/1073652649.db2.gz SRXUGJPUZHLEIO-UHFFFAOYSA-N 0 0 439.490 -0.223 20 0 IBADRN CC(C)(C(N)=O)C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000769701311 1073652824 /nfs/dbraw/zinc/65/28/24/1073652824.db2.gz WPBGCZDIEGDIIE-UHFFFAOYSA-N 0 0 427.479 -0.622 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2nccn3c(Br)cnc23)CC1 ZINC000769707333 1073652662 /nfs/dbraw/zinc/65/26/62/1073652662.db2.gz YSRFKJWCLXTXID-UHFFFAOYSA-N 0 0 449.265 -0.075 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2nccn3c(Br)cnc23)CC1 ZINC000769721881 1073652728 /nfs/dbraw/zinc/65/27/28/1073652728.db2.gz FPYOXMZKKJYYGY-UHFFFAOYSA-N 0 0 431.316 -0.201 20 0 IBADRN Cc1ccc(N(C)C(=O)CN(C)S(C)(=O)=O)cc1N(C)C(=O)CN(C)S(C)(=O)=O ZINC000769727484 1073652787 /nfs/dbraw/zinc/65/27/87/1073652787.db2.gz AYHAMULBAAUMIQ-UHFFFAOYSA-N 0 0 448.567 -0.297 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)c2ccc(S(N)(=O)=O)cn2)CC1 ZINC000769739141 1073652677 /nfs/dbraw/zinc/65/26/77/1073652677.db2.gz TVIOTWUOALJPRW-UHFFFAOYSA-N 0 0 441.510 -0.024 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1=O ZINC000769740210 1073653550 /nfs/dbraw/zinc/65/35/50/1073653550.db2.gz UHNGNYCMYZMUDT-UHFFFAOYSA-N 0 0 427.505 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)c2ccc(S(N)(=O)=O)cn2)CC1 ZINC000769740605 1073653613 /nfs/dbraw/zinc/65/36/13/1073653613.db2.gz DIQVCASEFQEIBN-UHFFFAOYSA-N 0 0 427.483 -0.462 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nccn2c(Br)cnc12 ZINC000769741724 1073653534 /nfs/dbraw/zinc/65/35/34/1073653534.db2.gz MYHVVPNBHKFFGQ-GHMZBOCLSA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nccn2c(Br)cnc12 ZINC000769741736 1073653473 /nfs/dbraw/zinc/65/34/73/1073653473.db2.gz MYHVVPNBHKFFGQ-MNOVXSKESA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1nccn2c(Br)cnc12 ZINC000769741740 1073653673 /nfs/dbraw/zinc/65/36/73/1073653673.db2.gz MYHVVPNBHKFFGQ-QWRGUYRKSA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1nccn2c(Br)cnc12 ZINC000769741745 1073653542 /nfs/dbraw/zinc/65/35/42/1073653542.db2.gz MYHVVPNBHKFFGQ-WDEREUQCSA-N 0 0 444.311 -0.281 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)c2ccc(S(N)(=O)=O)cn2)cc1 ZINC000769746326 1073653649 /nfs/dbraw/zinc/65/36/49/1073653649.db2.gz JRRCYVXJAMJNAS-UHFFFAOYSA-N 0 0 441.487 -0.253 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nncn2-c2ccccc2)CC1 ZINC000769747008 1073653667 /nfs/dbraw/zinc/65/36/67/1073653667.db2.gz NNOYBTXIKRVCSV-INIZCTEOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nncn2-c2ccccc2)CC1 ZINC000769747009 1073653517 /nfs/dbraw/zinc/65/35/17/1073653517.db2.gz NNOYBTXIKRVCSV-MRXNPFEDSA-N 0 0 425.536 -0.098 20 0 IBADRN C[C@@H](NC(=O)c1nccn2c(Br)cnc12)C(=O)N1CCS(=O)(=O)CC1 ZINC000769753286 1073652695 /nfs/dbraw/zinc/65/26/95/1073652695.db2.gz QKJKAJOCPZIFEX-SECBINFHSA-N 0 0 430.284 -0.133 20 0 IBADRN C[C@H](NC(=O)c1nccn2c(Br)cnc12)C(=O)N1CCS(=O)(=O)CC1 ZINC000769753287 1073652797 /nfs/dbraw/zinc/65/27/97/1073652797.db2.gz QKJKAJOCPZIFEX-VIFPVBQESA-N 0 0 430.284 -0.133 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000769783953 1073654284 /nfs/dbraw/zinc/65/42/84/1073654284.db2.gz IRTGAHLTPBKRID-GFCCVEGCSA-N 0 0 435.462 -0.276 20 0 IBADRN C[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000769783954 1073654198 /nfs/dbraw/zinc/65/41/98/1073654198.db2.gz IRTGAHLTPBKRID-LBPRGKRZSA-N 0 0 435.462 -0.276 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1O)[C@@H]1CCS(=O)(=O)C1 ZINC000769784299 1073654289 /nfs/dbraw/zinc/65/42/89/1073654289.db2.gz JAKWGDFHDYEOTH-GFCCVEGCSA-N 0 0 448.519 -0.132 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1O)[C@H]1CCS(=O)(=O)C1 ZINC000769784301 1073654278 /nfs/dbraw/zinc/65/42/78/1073654278.db2.gz JAKWGDFHDYEOTH-LBPRGKRZSA-N 0 0 448.519 -0.132 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1cc(S(N)(=O)=O)ccc1O)c(=O)n2C ZINC000769784911 1073654113 /nfs/dbraw/zinc/65/41/13/1073654113.db2.gz NRMIYOHPQCPKCG-UHFFFAOYSA-N 0 0 437.434 -0.966 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2cc(S(N)(=O)=O)ccc2O)CC1 ZINC000769785996 1073654229 /nfs/dbraw/zinc/65/42/29/1073654229.db2.gz XDCMZBBFHOKLMJ-LLVKDONJSA-N 0 0 429.451 -0.114 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2cc(S(N)(=O)=O)ccc2O)CC1 ZINC000769786010 1073654169 /nfs/dbraw/zinc/65/41/69/1073654169.db2.gz XDCMZBBFHOKLMJ-NSHDSACASA-N 0 0 429.451 -0.114 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000769790711 1073654161 /nfs/dbraw/zinc/65/41/61/1073654161.db2.gz PFRJRFMNTIWXPI-HZMBPMFUSA-N 0 0 434.492 -0.476 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000769790717 1073654214 /nfs/dbraw/zinc/65/42/14/1073654214.db2.gz PFRJRFMNTIWXPI-IINYFYTJSA-N 0 0 434.492 -0.476 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000769790718 1073654075 /nfs/dbraw/zinc/65/40/75/1073654075.db2.gz PFRJRFMNTIWXPI-QMTHXVAHSA-N 0 0 434.492 -0.476 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000769790719 1073654295 /nfs/dbraw/zinc/65/42/95/1073654295.db2.gz PFRJRFMNTIWXPI-YGRLFVJLSA-N 0 0 434.492 -0.476 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000769791895 1073654271 /nfs/dbraw/zinc/65/42/71/1073654271.db2.gz FNGHSKOAXGABCG-PBHICJAKSA-N 0 0 448.519 -0.086 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000769791899 1073654236 /nfs/dbraw/zinc/65/42/36/1073654236.db2.gz FNGHSKOAXGABCG-RHSMWYFYSA-N 0 0 448.519 -0.086 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000769791902 1073654148 /nfs/dbraw/zinc/65/41/48/1073654148.db2.gz FNGHSKOAXGABCG-WMLDXEAASA-N 0 0 448.519 -0.086 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000769791904 1073654266 /nfs/dbraw/zinc/65/42/66/1073654266.db2.gz FNGHSKOAXGABCG-YOEHRIQHSA-N 0 0 448.519 -0.086 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)c2cc(S(N)(=O)=O)ccc2O)C(C)C)CC1 ZINC000769792160 1073654257 /nfs/dbraw/zinc/65/42/57/1073654257.db2.gz QUUKVFVJKDRQMM-INIZCTEOSA-N 0 0 427.479 -0.088 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)c2cc(S(N)(=O)=O)ccc2O)C(C)C)CC1 ZINC000769792166 1073654182 /nfs/dbraw/zinc/65/41/82/1073654182.db2.gz QUUKVFVJKDRQMM-MRXNPFEDSA-N 0 0 427.479 -0.088 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2O)cc1 ZINC000769794503 1073654243 /nfs/dbraw/zinc/65/42/43/1073654243.db2.gz XUHVTNKCFKAXJB-UHFFFAOYSA-N 0 0 442.471 -0.084 20 0 IBADRN O=C(COC(=O)C1CC(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000769803558 1073654834 /nfs/dbraw/zinc/65/48/34/1073654834.db2.gz MTPAZNQMWYKOPM-UHFFFAOYSA-N 0 0 438.458 -0.187 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)C2(N3CCOCC3)CCC2)cc1 ZINC000769815434 1073654926 /nfs/dbraw/zinc/65/49/26/1073654926.db2.gz IYZGMHUORCTOBK-UHFFFAOYSA-N 0 0 425.507 -0.209 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C2(N3CCOCC3)CCC2)CC1 ZINC000769818959 1073653626 /nfs/dbraw/zinc/65/36/26/1073653626.db2.gz YREHIGCOSBXDFM-UHFFFAOYSA-N 0 0 443.508 -0.190 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C2(O)CCSCC2)CC1 ZINC000769819189 1073653677 /nfs/dbraw/zinc/65/36/77/1073653677.db2.gz YYHIDVJVQFMXMZ-UHFFFAOYSA-N 0 0 447.535 -0.074 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC000769822722 1073653564 /nfs/dbraw/zinc/65/35/64/1073653564.db2.gz QTSGOOQOFRVUGU-UHFFFAOYSA-N 0 0 433.556 -0.324 20 0 IBADRN O=C(COC(=O)C1(N2CCOCC2)CCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000769824894 1073654814 /nfs/dbraw/zinc/65/48/14/1073654814.db2.gz YUOVQOSPNNOFHK-INIZCTEOSA-N 0 0 429.539 -0.884 20 0 IBADRN O=C(COC(=O)C1(N2CCOCC2)CCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000769824895 1073654905 /nfs/dbraw/zinc/65/49/05/1073654905.db2.gz YUOVQOSPNNOFHK-MRXNPFEDSA-N 0 0 429.539 -0.884 20 0 IBADRN O=C(COC(=O)C1(N2CCOCC2)CCC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000769825263 1073654750 /nfs/dbraw/zinc/65/47/50/1073654750.db2.gz MYMAMNRURKLPER-DLBZAZTESA-N 0 0 444.550 -0.021 20 0 IBADRN O=C(COC(=O)C1(N2CCOCC2)CCC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000769825266 1073654847 /nfs/dbraw/zinc/65/48/47/1073654847.db2.gz MYMAMNRURKLPER-IAGOWNOFSA-N 0 0 444.550 -0.021 20 0 IBADRN O=C(COC(=O)C1(N2CCOCC2)CCC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000769825268 1073654804 /nfs/dbraw/zinc/65/48/04/1073654804.db2.gz MYMAMNRURKLPER-IRXDYDNUSA-N 0 0 444.550 -0.021 20 0 IBADRN O=C(COC(=O)C1(N2CCOCC2)CCC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000769825270 1073654827 /nfs/dbraw/zinc/65/48/27/1073654827.db2.gz MYMAMNRURKLPER-SJORKVTESA-N 0 0 444.550 -0.021 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)c2ccc(S(N)(=O)=O)cn2)c1 ZINC000769831515 1073655502 /nfs/dbraw/zinc/65/55/02/1073655502.db2.gz JMJXGBMMVQIJLG-UHFFFAOYSA-N 0 0 436.446 -0.009 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)c2ccc(S(N)(=O)=O)cn2)c1 ZINC000769831667 1073655542 /nfs/dbraw/zinc/65/55/42/1073655542.db2.gz MOEMSQYQDANOAB-UHFFFAOYSA-N 0 0 442.475 -0.225 20 0 IBADRN CCCn1c(CO)nn(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1=S ZINC000769833197 1073655522 /nfs/dbraw/zinc/65/55/22/1073655522.db2.gz BGKWKDVRLQWSGV-UHFFFAOYSA-N 0 0 444.539 -0.028 20 0 IBADRN CCCn1c(CO)nn(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1=S ZINC000769834677 1073655391 /nfs/dbraw/zinc/65/53/91/1073655391.db2.gz MGRJSEBEXUHDAZ-UHFFFAOYSA-N 0 0 433.604 -0.616 20 0 IBADRN CC[C@]1(C)NC(=O)N(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1=O ZINC000769836897 1073655452 /nfs/dbraw/zinc/65/54/52/1073655452.db2.gz WFBBCMJFMYURGU-AWEZNQCLSA-N 0 0 426.231 -0.356 20 0 IBADRN CC[C@@]1(C)NC(=O)N(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1=O ZINC000769836898 1073655483 /nfs/dbraw/zinc/65/54/83/1073655483.db2.gz WFBBCMJFMYURGU-CQSZACIVSA-N 0 0 426.231 -0.356 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)nc1 ZINC000769837738 1073655444 /nfs/dbraw/zinc/65/54/44/1073655444.db2.gz OLJDNUXNXGBTTH-UHFFFAOYSA-N 0 0 438.487 -0.068 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)c2ccc(S(N)(=O)=O)cn2)s1 ZINC000769838215 1073655434 /nfs/dbraw/zinc/65/54/34/1073655434.db2.gz UJXVWNAPJBOUGM-UHFFFAOYSA-N 0 0 447.516 -0.078 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000769838468 1073655498 /nfs/dbraw/zinc/65/54/98/1073655498.db2.gz XLJVCGZSMLEHTD-GFCCVEGCSA-N 0 0 433.508 -0.443 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000769838555 1073655487 /nfs/dbraw/zinc/65/54/87/1073655487.db2.gz XLJVCGZSMLEHTD-LBPRGKRZSA-N 0 0 433.508 -0.443 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1ccc(S(N)(=O)=O)cn1)S(C)(=O)=O ZINC000769839186 1073655516 /nfs/dbraw/zinc/65/55/16/1073655516.db2.gz NOSHIPHZTPTTGH-UHFFFAOYSA-N 0 0 436.512 -0.938 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)c1ccc(S(N)(=O)=O)cn1 ZINC000769839513 1073655529 /nfs/dbraw/zinc/65/55/29/1073655529.db2.gz QCTZCAFCBKJMMU-UHFFFAOYSA-N 0 0 436.446 -0.042 20 0 IBADRN CC[C@]1(C)NC(=O)N(NC(=O)CSCC(=O)NN2C(=O)N[C@@](C)(CC)C2=O)C1=O ZINC000769840076 1073655536 /nfs/dbraw/zinc/65/55/36/1073655536.db2.gz XFIFIHWNYKHYBG-HOTGVXAUSA-N 0 0 428.471 -0.777 20 0 IBADRN CC[C@@]1(C)NC(=O)N(NC(=O)CSCC(=O)NN2C(=O)N[C@](C)(CC)C2=O)C1=O ZINC000769840164 1073655513 /nfs/dbraw/zinc/65/55/13/1073655513.db2.gz XFIFIHWNYKHYBG-HZPDHXFCSA-N 0 0 428.471 -0.777 20 0 IBADRN CC[C@@]1(C)NC(=O)N(NC(=O)CSCC(=O)NN2C(=O)N[C@@](C)(CC)C2=O)C1=O ZINC000769840171 1073655412 /nfs/dbraw/zinc/65/54/12/1073655412.db2.gz XFIFIHWNYKHYBG-IYBDPMFKSA-N 0 0 428.471 -0.777 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000769841171 1073656090 /nfs/dbraw/zinc/65/60/90/1073656090.db2.gz ZDSAMEJJFKIEML-GFCCVEGCSA-N 0 0 433.508 -0.298 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000769841192 1073655492 /nfs/dbraw/zinc/65/54/92/1073655492.db2.gz ZDSAMEJJFKIEML-LBPRGKRZSA-N 0 0 433.508 -0.298 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)nc1 ZINC000769841254 1073655475 /nfs/dbraw/zinc/65/54/75/1073655475.db2.gz ZXIGHESDIFCDFP-CYBMUJFWSA-N 0 0 445.519 -0.156 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)nc1 ZINC000769841258 1073656142 /nfs/dbraw/zinc/65/61/42/1073656142.db2.gz ZXIGHESDIFCDFP-ZDUSSCGKSA-N 0 0 445.519 -0.156 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(N)(=O)=O)cn1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000769841603 1073655421 /nfs/dbraw/zinc/65/54/21/1073655421.db2.gz AIFSXYTZTXFNJG-GFCCVEGCSA-N 0 0 436.446 -0.148 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(N)(=O)=O)cn1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000769841607 1073655472 /nfs/dbraw/zinc/65/54/72/1073655472.db2.gz AIFSXYTZTXFNJG-LBPRGKRZSA-N 0 0 436.446 -0.148 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)nc1 ZINC000769843084 1073656111 /nfs/dbraw/zinc/65/61/11/1073656111.db2.gz XAJFKKNTYVDLPU-UHFFFAOYSA-N 0 0 428.373 -0.081 20 0 IBADRN COCCCS(=O)(=O)N1CCN(Cn2nc(N3CCOCC3)n(C)c2=S)CC1 ZINC000769843961 1073656233 /nfs/dbraw/zinc/65/62/33/1073656233.db2.gz BCBICWYLLUVOKS-UHFFFAOYSA-N 0 0 434.588 -0.271 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000769843999 1073656105 /nfs/dbraw/zinc/65/61/05/1073656105.db2.gz CPSXWIWAHDJFBO-INIZCTEOSA-N 0 0 427.527 -0.721 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)CC1 ZINC000769844000 1073656134 /nfs/dbraw/zinc/65/61/34/1073656134.db2.gz CPSXWIWAHDJFBO-MRXNPFEDSA-N 0 0 427.527 -0.721 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(N)(=O)=O)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000769844135 1073656034 /nfs/dbraw/zinc/65/60/34/1073656034.db2.gz UXAXEENBJMKOHR-NEPJUHHUSA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccc(S(N)(=O)=O)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000769844138 1073655971 /nfs/dbraw/zinc/65/59/71/1073655971.db2.gz UXAXEENBJMKOHR-NWDGAFQWSA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccc(S(N)(=O)=O)cn1)[C@H]1CCS(=O)(=O)C1 ZINC000769844139 1073655953 /nfs/dbraw/zinc/65/59/53/1073655953.db2.gz UXAXEENBJMKOHR-RYUDHWBXSA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(N)(=O)=O)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC000769844140 1073656098 /nfs/dbraw/zinc/65/60/98/1073656098.db2.gz UXAXEENBJMKOHR-VXGBXAGGSA-N 0 0 433.508 -0.300 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CN2C(=O)C(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000769844311 1073655979 /nfs/dbraw/zinc/65/59/79/1073655979.db2.gz HEEBFSWDGUDWEO-UHFFFAOYSA-N 0 0 438.506 -0.081 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CN2c3cc4c(cc3C(=O)C2=O)OCCO4)CC1 ZINC000769844318 1073656165 /nfs/dbraw/zinc/65/61/65/1073656165.db2.gz HLQAXDOBRQUSSU-UHFFFAOYSA-N 0 0 439.490 -0.072 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CN2C(=O)C(=O)N(Cc3cccs3)C2=O)CC1 ZINC000769844870 1073656215 /nfs/dbraw/zinc/65/62/15/1073656215.db2.gz JUGQUGNXNBDIJY-UHFFFAOYSA-N 0 0 444.535 -0.020 20 0 IBADRN CNC(=O)c1nn(CN2CCN(S(=O)(=O)CCCOC)CC2)c(=O)c2ccccc21 ZINC000769846374 1073656121 /nfs/dbraw/zinc/65/61/21/1073656121.db2.gz XQWGJYJRBZOHNS-UHFFFAOYSA-N 0 0 437.522 -0.303 20 0 IBADRN COCCCS(=O)(=O)N1CCN(Cn2nc(C)n(CC(=O)N(C)C)c2=S)CC1 ZINC000769846602 1073656173 /nfs/dbraw/zinc/65/61/73/1073656173.db2.gz YPMLGVSGUZUZHC-UHFFFAOYSA-N 0 0 434.588 -0.248 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000769849939 1073656151 /nfs/dbraw/zinc/65/61/51/1073656151.db2.gz BCNMIQVTMVLEGA-UHFFFAOYSA-N 0 0 441.404 -0.490 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000769852568 1073656077 /nfs/dbraw/zinc/65/60/77/1073656077.db2.gz UCGZGRKQLTURIL-UHFFFAOYSA-N 0 0 446.464 -0.705 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)Cn2nnnc2CNC(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC000769853153 1073656205 /nfs/dbraw/zinc/65/62/05/1073656205.db2.gz CIDIVKZXNUFAKW-UHFFFAOYSA-N 0 0 436.473 -0.321 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1ccc(S(N)(=O)=O)cc1F)c(=O)n2C ZINC000769856398 1073654891 /nfs/dbraw/zinc/65/48/91/1073654891.db2.gz XPTIFNFKEXAGPR-UHFFFAOYSA-N 0 0 439.425 -0.533 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(S(N)(=O)=O)cc3F)c2c(=O)n(C)c1=O ZINC000769858123 1073654995 /nfs/dbraw/zinc/65/49/95/1073654995.db2.gz OZEMSBOXAAGQQZ-UHFFFAOYSA-N 0 0 425.398 -0.923 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)c2ccc(S(N)(=O)=O)cc2F)cc1 ZINC000769860628 1073654978 /nfs/dbraw/zinc/65/49/78/1073654978.db2.gz WNZRWFQFUCIUBR-UHFFFAOYSA-N 0 0 439.421 -0.190 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(S(N)(=O)=O)cc1F)S(C)(=O)=O ZINC000769861236 1073654861 /nfs/dbraw/zinc/65/48/61/1073654861.db2.gz TXNJLDDFKXHZOS-UHFFFAOYSA-N 0 0 425.460 -0.972 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)Cn2nnnc2CNC(=O)OC(C)(C)C)CC1 ZINC000769861246 1073654990 /nfs/dbraw/zinc/65/49/90/1073654990.db2.gz KLAOVZJJLAIXMU-UHFFFAOYSA-N 0 0 438.533 -0.243 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(N)(=O)=O)cc1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000769861765 1073654935 /nfs/dbraw/zinc/65/49/35/1073654935.db2.gz WTWAUUHNNRLXQD-HZMBPMFUSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(N)(=O)=O)cc1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000769861766 1073654767 /nfs/dbraw/zinc/65/47/67/1073654767.db2.gz WTWAUUHNNRLXQD-IINYFYTJSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(N)(=O)=O)cc1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000769861767 1073654856 /nfs/dbraw/zinc/65/48/56/1073654856.db2.gz WTWAUUHNNRLXQD-QMTHXVAHSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(N)(=O)=O)cc1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000769861768 1073654915 /nfs/dbraw/zinc/65/49/15/1073654915.db2.gz WTWAUUHNNRLXQD-YGRLFVJLSA-N 0 0 436.483 -0.042 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2nccn3c(Br)cnc23)c(=O)n(C)c1=O ZINC000769883377 1073656727 /nfs/dbraw/zinc/65/67/27/1073656727.db2.gz YZAKNNNEOUWORA-UHFFFAOYSA-N 0 0 437.210 -0.489 20 0 IBADRN Cn1cc(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)nc1Cl ZINC000769888532 1073656745 /nfs/dbraw/zinc/65/67/45/1073656745.db2.gz ZXJNYXBDMHJVSS-CYBMUJFWSA-N 0 0 440.975 -0.026 20 0 IBADRN Cn1cc(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)nc1Cl ZINC000769888534 1073656801 /nfs/dbraw/zinc/65/68/01/1073656801.db2.gz ZXJNYXBDMHJVSS-ZDUSSCGKSA-N 0 0 440.975 -0.026 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(Cl)n3)CC2)C[C@H](C)O1 ZINC000769891396 1073656827 /nfs/dbraw/zinc/65/68/27/1073656827.db2.gz CFQPFURAFNTOJD-RYUDHWBXSA-N 0 0 441.963 -0.266 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(Cl)n3)CC2)C[C@H](C)O1 ZINC000769891399 1073656759 /nfs/dbraw/zinc/65/67/59/1073656759.db2.gz CFQPFURAFNTOJD-TXEJJXNPSA-N 0 0 441.963 -0.266 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(Cl)n3)CC2)C[C@@H](C)O1 ZINC000769891403 1073656733 /nfs/dbraw/zinc/65/67/33/1073656733.db2.gz CFQPFURAFNTOJD-VXGBXAGGSA-N 0 0 441.963 -0.266 20 0 IBADRN Cn1cc(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)nc1Cl ZINC000769893088 1073656039 /nfs/dbraw/zinc/65/60/39/1073656039.db2.gz MPZOQKKETDWLAK-UHFFFAOYSA-N 0 0 448.958 -0.101 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)nc1Cl ZINC000769894108 1073656768 /nfs/dbraw/zinc/65/67/68/1073656768.db2.gz VQWHVHLLJHHNER-UHFFFAOYSA-N 0 0 437.887 -0.539 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cnn3cc(Br)cnc23)c(=O)n(C)c1=O ZINC000769899619 1073656817 /nfs/dbraw/zinc/65/68/17/1073656817.db2.gz IWODDDSVIXZLRL-UHFFFAOYSA-N 0 0 437.210 -0.489 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000769921197 1073656811 /nfs/dbraw/zinc/65/68/11/1073656811.db2.gz NQRIQUYAMGKKAW-KKUMJFAQSA-N 0 0 435.543 -0.782 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000769921203 1073656796 /nfs/dbraw/zinc/65/67/96/1073656796.db2.gz NQRIQUYAMGKKAW-RRFJBIMHSA-N 0 0 435.543 -0.782 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000769921205 1073656764 /nfs/dbraw/zinc/65/67/64/1073656764.db2.gz NQRIQUYAMGKKAW-SOUVJXGZSA-N 0 0 435.543 -0.782 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000769921207 1073656719 /nfs/dbraw/zinc/65/67/19/1073656719.db2.gz NQRIQUYAMGKKAW-ZNMIVQPWSA-N 0 0 435.543 -0.782 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000769929966 1073657575 /nfs/dbraw/zinc/65/75/75/1073657575.db2.gz JUMITKNMCZKOJJ-UHFFFAOYSA-N 0 0 441.572 -0.079 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NCCN2C(=O)CNC2=O)C1=O ZINC000769938456 1073657552 /nfs/dbraw/zinc/65/75/52/1073657552.db2.gz XTEPFZCJQKULHF-KRWDZBQOSA-N 0 0 425.804 -0.086 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NCCN2C(=O)CNC2=O)C1=O ZINC000769938457 1073657471 /nfs/dbraw/zinc/65/74/71/1073657471.db2.gz XTEPFZCJQKULHF-QGZVFWFLSA-N 0 0 425.804 -0.086 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000769940066 1073657540 /nfs/dbraw/zinc/65/75/40/1073657540.db2.gz CTPKYMOAIZVJFZ-UHFFFAOYSA-N 0 0 434.559 -0.293 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000769944285 1073656824 /nfs/dbraw/zinc/65/68/24/1073656824.db2.gz YGLUSGFLJVMDQR-INIZCTEOSA-N 0 0 434.559 -0.341 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000769944286 1073656789 /nfs/dbraw/zinc/65/67/89/1073656789.db2.gz YGLUSGFLJVMDQR-MRXNPFEDSA-N 0 0 434.559 -0.341 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)O[C@H]1CCNC1=O)c(=O)n2Cc1ccccc1 ZINC000769955001 1073656831 /nfs/dbraw/zinc/65/68/31/1073656831.db2.gz XBLXWPSNNLFAHF-HNNXBMFYSA-N 0 0 441.444 -0.514 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)O[C@@H]1CCNC1=O)c(=O)n2Cc1ccccc1 ZINC000769955006 1073656805 /nfs/dbraw/zinc/65/68/05/1073656805.db2.gz XBLXWPSNNLFAHF-OAHLLOKOSA-N 0 0 441.444 -0.514 20 0 IBADRN O=C(O[C@H]1CCNC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000769964876 1073656752 /nfs/dbraw/zinc/65/67/52/1073656752.db2.gz KRXFQLNIVFFODU-KRWDZBQOSA-N 0 0 439.490 -0.411 20 0 IBADRN O=C(O[C@@H]1CCNC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000769964879 1073656798 /nfs/dbraw/zinc/65/67/98/1073656798.db2.gz KRXFQLNIVFFODU-QGZVFWFLSA-N 0 0 439.490 -0.411 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC000769967376 1073657493 /nfs/dbraw/zinc/65/74/93/1073657493.db2.gz BHFXOYUUNSPWEO-AWEZNQCLSA-N 0 0 437.405 -0.025 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000769967380 1073657563 /nfs/dbraw/zinc/65/75/63/1073657563.db2.gz BHFXOYUUNSPWEO-CQSZACIVSA-N 0 0 437.405 -0.025 20 0 IBADRN Cn1c(CCC(=O)O[C@H]2CCNC2=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000769967687 1073657560 /nfs/dbraw/zinc/65/75/60/1073657560.db2.gz QYHTXPUFKDVDAX-INIZCTEOSA-N 0 0 436.490 -0.042 20 0 IBADRN Cn1c(CCC(=O)O[C@@H]2CCNC2=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000769967688 1073657518 /nfs/dbraw/zinc/65/75/18/1073657518.db2.gz QYHTXPUFKDVDAX-MRXNPFEDSA-N 0 0 436.490 -0.042 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)N(c2ccc(F)cc2)[C@H]2C=CS(=O)(=O)C2)C1=O ZINC000769969598 1073657423 /nfs/dbraw/zinc/65/74/23/1073657423.db2.gz RAWJCFDSWXSZLS-AWEZNQCLSA-N 0 0 439.421 -0.093 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)N(c2ccc(F)cc2)[C@@H]2C=CS(=O)(=O)C2)C1=O ZINC000769969646 1073657568 /nfs/dbraw/zinc/65/75/68/1073657568.db2.gz RAWJCFDSWXSZLS-CQSZACIVSA-N 0 0 439.421 -0.093 20 0 IBADRN Cc1noc(CCC(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC000769969681 1073657547 /nfs/dbraw/zinc/65/75/47/1073657547.db2.gz BAZNOZPIOGHUKC-UHFFFAOYSA-N 0 0 441.466 -0.008 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)O[C@@H]1CCNC1=O ZINC000769970376 1073657528 /nfs/dbraw/zinc/65/75/28/1073657528.db2.gz KMATWVRMWVPLIA-CHWSQXEVSA-N 0 0 438.911 -0.147 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)O[C@H]1CCNC1=O ZINC000769970377 1073657435 /nfs/dbraw/zinc/65/74/35/1073657435.db2.gz KMATWVRMWVPLIA-OLZOCXBDSA-N 0 0 438.911 -0.147 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)O[C@@H]1CCNC1=O ZINC000769970379 1073657513 /nfs/dbraw/zinc/65/75/13/1073657513.db2.gz KMATWVRMWVPLIA-QWHCGFSZSA-N 0 0 438.911 -0.147 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)O[C@H]1CCNC1=O ZINC000769970381 1073657556 /nfs/dbraw/zinc/65/75/56/1073657556.db2.gz KMATWVRMWVPLIA-STQMWFEESA-N 0 0 438.911 -0.147 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000769970878 1073657530 /nfs/dbraw/zinc/65/75/30/1073657530.db2.gz HWBRBLKXFUCTOE-FQEVSTJZSA-N 0 0 432.477 -0.039 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000769970879 1073657522 /nfs/dbraw/zinc/65/75/22/1073657522.db2.gz HWBRBLKXFUCTOE-HXUWFJFHSA-N 0 0 432.477 -0.039 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000769971565 1073657571 /nfs/dbraw/zinc/65/75/71/1073657571.db2.gz SLPYXPIONCZDSL-IBGZPJMESA-N 0 0 434.449 -0.507 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000769971573 1073657534 /nfs/dbraw/zinc/65/75/34/1073657534.db2.gz SLPYXPIONCZDSL-LJQANCHMSA-N 0 0 434.449 -0.507 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000769972316 1073658071 /nfs/dbraw/zinc/65/80/71/1073658071.db2.gz YLWFOJJQGFHJRE-IBGZPJMESA-N 0 0 434.449 -0.507 20 0 IBADRN COC(=O)C1(O)CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000769972317 1073658157 /nfs/dbraw/zinc/65/81/57/1073658157.db2.gz YLWFOJJQGFHJRE-LJQANCHMSA-N 0 0 434.449 -0.507 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC000769983110 1073658101 /nfs/dbraw/zinc/65/81/01/1073658101.db2.gz YWVNVFWGKYZTAE-AWEZNQCLSA-N 0 0 434.467 -0.048 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000769983124 1073657921 /nfs/dbraw/zinc/65/79/21/1073657921.db2.gz YWVNVFWGKYZTAE-CQSZACIVSA-N 0 0 434.467 -0.048 20 0 IBADRN Cn1ccnc1[C@@H](O)[C@@H]1CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000769992000 1073658087 /nfs/dbraw/zinc/65/80/87/1073658087.db2.gz ABYNTWVJZUYKST-CVEARBPZSA-N 0 0 428.559 -0.548 20 0 IBADRN Cn1ccnc1[C@@H](O)[C@H]1CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000769992018 1073658108 /nfs/dbraw/zinc/65/81/08/1073658108.db2.gz ABYNTWVJZUYKST-HOTGVXAUSA-N 0 0 428.559 -0.548 20 0 IBADRN Cn1ccnc1[C@H](O)[C@@H]1CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000769992023 1073658095 /nfs/dbraw/zinc/65/80/95/1073658095.db2.gz ABYNTWVJZUYKST-HZPDHXFCSA-N 0 0 428.559 -0.548 20 0 IBADRN Cn1ccnc1[C@H](O)[C@H]1CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000769992024 1073657962 /nfs/dbraw/zinc/65/79/62/1073657962.db2.gz ABYNTWVJZUYKST-JKSUJKDBSA-N 0 0 428.559 -0.548 20 0 IBADRN CN(CC(=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1)S(C)(=O)=O ZINC000770001235 1073658019 /nfs/dbraw/zinc/65/80/19/1073658019.db2.gz VSQCEJINOOWWII-AWEZNQCLSA-N 0 0 434.467 -0.096 20 0 IBADRN CN(CC(=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1)S(C)(=O)=O ZINC000770001240 1073658009 /nfs/dbraw/zinc/65/80/09/1073658009.db2.gz VSQCEJINOOWWII-CQSZACIVSA-N 0 0 434.467 -0.096 20 0 IBADRN O=C(CN1CC(=O)NC1=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000770015544 1073658134 /nfs/dbraw/zinc/65/81/34/1073658134.db2.gz NFCQHDRCBMGDHT-CYBMUJFWSA-N 0 0 425.394 -0.436 20 0 IBADRN O=C(CN1CC(=O)NC1=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC000770015545 1073657992 /nfs/dbraw/zinc/65/79/92/1073657992.db2.gz NFCQHDRCBMGDHT-ZDUSSCGKSA-N 0 0 425.394 -0.436 20 0 IBADRN Cn1nnc(NC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)n1 ZINC000770021748 1073657945 /nfs/dbraw/zinc/65/79/45/1073657945.db2.gz KGPQSGXSFALSFV-UHFFFAOYSA-N 0 0 434.482 -0.311 20 0 IBADRN Cc1ccc(N(C(=O)COC(=O)c2cn(CC(N)=O)nn2)[C@H]2C=CS(=O)(=O)C2)cc1C ZINC000770033262 1073658140 /nfs/dbraw/zinc/65/81/40/1073658140.db2.gz XISQCBKXJRUHDP-HNNXBMFYSA-N 0 0 447.473 -0.119 20 0 IBADRN Cc1ccc(N(C(=O)COC(=O)c2cn(CC(N)=O)nn2)[C@@H]2C=CS(=O)(=O)C2)cc1C ZINC000770033263 1073657977 /nfs/dbraw/zinc/65/79/77/1073657977.db2.gz XISQCBKXJRUHDP-OAHLLOKOSA-N 0 0 447.473 -0.119 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)NN1CC(=O)NC1=O ZINC000770034067 1073658025 /nfs/dbraw/zinc/65/80/25/1073658025.db2.gz JBFJCXZHIUGYDY-UHFFFAOYSA-N 0 0 437.434 -0.648 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NN3CC(=O)NC3=O)CC2)cc1 ZINC000770034151 1073658117 /nfs/dbraw/zinc/65/81/17/1073658117.db2.gz MFRXDIIFMONOQO-UHFFFAOYSA-N 0 0 437.478 -0.809 20 0 IBADRN O=C(COC(=O)c1cc[n+]([O-])cc1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000770035062 1073658044 /nfs/dbraw/zinc/65/80/44/1073658044.db2.gz YSPFZMXKDYFLRL-GOSISDBHSA-N 0 0 427.413 -0.012 20 0 IBADRN O=C(COC(=O)c1cc[n+]([O-])cc1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000770035063 1073658146 /nfs/dbraw/zinc/65/81/46/1073658146.db2.gz YSPFZMXKDYFLRL-SFHVURJKSA-N 0 0 427.413 -0.012 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC000770054133 1073658796 /nfs/dbraw/zinc/65/87/96/1073658796.db2.gz XOWBQKZGKJZHQE-AWEZNQCLSA-N 0 0 434.467 -0.048 20 0 IBADRN CCS(=O)(=O)NCC(=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000770054134 1073658760 /nfs/dbraw/zinc/65/87/60/1073658760.db2.gz XOWBQKZGKJZHQE-CQSZACIVSA-N 0 0 434.467 -0.048 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)O[C@H]1CCNC1=O ZINC000770054849 1073658735 /nfs/dbraw/zinc/65/87/35/1073658735.db2.gz KAIGYMOIECSNTB-HNNXBMFYSA-N 0 0 427.454 -0.130 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)O[C@@H]1CCNC1=O ZINC000770054850 1073658867 /nfs/dbraw/zinc/65/88/67/1073658867.db2.gz KAIGYMOIECSNTB-OAHLLOKOSA-N 0 0 427.454 -0.130 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)O[C@H]3CCNC3=O)CC2)cc1 ZINC000770056211 1073658820 /nfs/dbraw/zinc/65/88/20/1073658820.db2.gz WNJPWFZJSYHJRV-INIZCTEOSA-N 0 0 439.490 -0.260 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)O[C@@H]3CCNC3=O)CC2)cc1 ZINC000770056229 1073658872 /nfs/dbraw/zinc/65/88/72/1073658872.db2.gz WNJPWFZJSYHJRV-MRXNPFEDSA-N 0 0 439.490 -0.260 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NN1CC(=O)NC1=O ZINC000770057885 1073658056 /nfs/dbraw/zinc/65/80/56/1073658056.db2.gz BTUPLWCKUMYFMU-UHFFFAOYSA-N 0 0 427.439 -0.306 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000770080397 1073658697 /nfs/dbraw/zinc/65/86/97/1073658697.db2.gz DWNOXEUYURDMLW-UHFFFAOYSA-N 0 0 429.451 -0.667 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CC1 ZINC000770081059 1073658726 /nfs/dbraw/zinc/65/87/26/1073658726.db2.gz IYNBOILNJJHEFM-UHFFFAOYSA-N 0 0 439.490 -0.648 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000770081279 1073658785 /nfs/dbraw/zinc/65/87/85/1073658785.db2.gz MCRQHZUFWMXWTC-UHFFFAOYSA-N 0 0 438.868 -0.259 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)CC1 ZINC000770102212 1073658881 /nfs/dbraw/zinc/65/88/81/1073658881.db2.gz GEQKTQUGZUMFRU-INIZCTEOSA-N 0 0 448.586 -0.448 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)CC1 ZINC000770102214 1073658705 /nfs/dbraw/zinc/65/87/05/1073658705.db2.gz GEQKTQUGZUMFRU-MRXNPFEDSA-N 0 0 448.586 -0.448 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000770103031 1073658839 /nfs/dbraw/zinc/65/88/39/1073658839.db2.gz YWUICHAWKQHYNY-HNNXBMFYSA-N 0 0 449.551 -0.500 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000770103037 1073658685 /nfs/dbraw/zinc/65/86/85/1073658685.db2.gz YWUICHAWKQHYNY-OAHLLOKOSA-N 0 0 449.551 -0.500 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)c(=O)n2C ZINC000770107896 1073658743 /nfs/dbraw/zinc/65/87/43/1073658743.db2.gz PCZYKGMOUFZPGG-GFCCVEGCSA-N 0 0 429.499 -0.669 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)c(=O)n2C ZINC000770107911 1073658805 /nfs/dbraw/zinc/65/88/05/1073658805.db2.gz PCZYKGMOUFZPGG-LBPRGKRZSA-N 0 0 429.499 -0.669 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000770108456 1073658851 /nfs/dbraw/zinc/65/88/51/1073658851.db2.gz TUXKHXPNTBXSEU-AVGNSLFASA-N 0 0 426.557 -0.082 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000770108461 1073658664 /nfs/dbraw/zinc/65/86/64/1073658664.db2.gz TUXKHXPNTBXSEU-RWMBFGLXSA-N 0 0 426.557 -0.082 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000770108722 1073658717 /nfs/dbraw/zinc/65/87/17/1073658717.db2.gz TUXKHXPNTBXSEU-XQQFMLRXSA-N 0 0 426.557 -0.082 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000770108723 1073658773 /nfs/dbraw/zinc/65/87/73/1073658773.db2.gz TUXKHXPNTBXSEU-YNEHKIRRSA-N 0 0 426.557 -0.082 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)c(=O)n(C)c1=O ZINC000770109058 1073658895 /nfs/dbraw/zinc/65/88/95/1073658895.db2.gz DJLCOKCWAFCDMV-CYBMUJFWSA-N 0 0 446.526 -0.525 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)c(=O)n(C)c1=O ZINC000770109059 1073659575 /nfs/dbraw/zinc/65/95/75/1073659575.db2.gz DJLCOKCWAFCDMV-ZDUSSCGKSA-N 0 0 446.526 -0.525 20 0 IBADRN CCOc1ccc(NC(=O)NN2CC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000770110138 1073659644 /nfs/dbraw/zinc/65/96/44/1073659644.db2.gz KPKJFQYVWVZSHV-UHFFFAOYSA-N 0 0 427.439 -0.306 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000770111544 1073659524 /nfs/dbraw/zinc/65/95/24/1073659524.db2.gz MNEAGXGLFBFTKP-HNNXBMFYSA-N 0 0 447.535 -0.270 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000770111549 1073659486 /nfs/dbraw/zinc/65/94/86/1073659486.db2.gz MNEAGXGLFBFTKP-OAHLLOKOSA-N 0 0 447.535 -0.270 20 0 IBADRN COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)NN3CC(=O)NC3=O)cc2)C1 ZINC000770111927 1073659669 /nfs/dbraw/zinc/65/96/69/1073659669.db2.gz WQUBZHSWJHEBMC-LLVKDONJSA-N 0 0 439.450 -0.151 20 0 IBADRN COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)NN3CC(=O)NC3=O)cc2)C1 ZINC000770111932 1073659610 /nfs/dbraw/zinc/65/96/10/1073659610.db2.gz WQUBZHSWJHEBMC-NSHDSACASA-N 0 0 439.450 -0.151 20 0 IBADRN COCCOc1ncccc1C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000770121076 1073659433 /nfs/dbraw/zinc/65/94/33/1073659433.db2.gz ILPGPHSXSUZHTJ-UHFFFAOYSA-N 0 0 445.476 -0.185 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000770155854 1073659441 /nfs/dbraw/zinc/65/94/41/1073659441.db2.gz GTGPUERSNZBMRR-UHFFFAOYSA-N 0 0 436.531 -0.862 20 0 IBADRN O=C(Cc1ccc(NC(=O)NN2CC(=O)NC2=O)cc1)N1CCN(c2ncccn2)CC1 ZINC000770157311 1073659396 /nfs/dbraw/zinc/65/93/96/1073659396.db2.gz QALVVIRNERCVSW-UHFFFAOYSA-N 0 0 438.448 -0.044 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCCOC1 ZINC000770163686 1073659629 /nfs/dbraw/zinc/65/96/29/1073659629.db2.gz SHQPXKRFNNJPRN-IBGZPJMESA-N 0 0 439.490 -0.351 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCCOC1 ZINC000770163687 1073660164 /nfs/dbraw/zinc/66/01/64/1073660164.db2.gz SHQPXKRFNNJPRN-LJQANCHMSA-N 0 0 439.490 -0.351 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000770163929 1073659450 /nfs/dbraw/zinc/65/94/50/1073659450.db2.gz CPXJRHBZLNHEQF-UHFFFAOYSA-N 0 0 431.467 -0.937 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000770165659 1073660227 /nfs/dbraw/zinc/66/02/27/1073660227.db2.gz NZSQCBOJANIORK-UHFFFAOYSA-N 0 0 447.535 -0.468 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCc1nnc2n1c1ccsc1c(=O)n2C ZINC000770166678 1073660140 /nfs/dbraw/zinc/66/01/40/1073660140.db2.gz LZHBPVBCDUQIGV-UHFFFAOYSA-N 0 0 429.480 -0.358 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCCOC1 ZINC000770166884 1073660259 /nfs/dbraw/zinc/66/02/59/1073660259.db2.gz KHUMBABEMWNJCY-IBGZPJMESA-N 0 0 439.490 -0.351 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCCOC1 ZINC000770166885 1073660235 /nfs/dbraw/zinc/66/02/35/1073660235.db2.gz KHUMBABEMWNJCY-LJQANCHMSA-N 0 0 439.490 -0.351 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCc1nnc2n1c1ccc(C)cc1c(=O)n2C ZINC000770166901 1073660221 /nfs/dbraw/zinc/66/02/21/1073660221.db2.gz PSCQRPWATLJHSO-UHFFFAOYSA-N 0 0 437.478 -0.111 20 0 IBADRN COC(=O)C[C@]1(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CCCOC1 ZINC000770166950 1073660122 /nfs/dbraw/zinc/66/01/22/1073660122.db2.gz WMBFEECCTUUPOP-GOSISDBHSA-N 0 0 429.495 -0.112 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CCCOC1 ZINC000770166957 1073660264 /nfs/dbraw/zinc/66/02/64/1073660264.db2.gz WMBFEECCTUUPOP-SFHVURJKSA-N 0 0 429.495 -0.112 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000770167134 1073660247 /nfs/dbraw/zinc/66/02/47/1073660247.db2.gz NWTNBTFDPOSQJZ-AWEZNQCLSA-N 0 0 445.494 -0.548 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)O[C@H](C)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000770167140 1073660205 /nfs/dbraw/zinc/66/02/05/1073660205.db2.gz NWTNBTFDPOSQJZ-CQSZACIVSA-N 0 0 445.494 -0.548 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000770167192 1073660109 /nfs/dbraw/zinc/66/01/09/1073660109.db2.gz PJFZVGZVVMLZOD-AWEZNQCLSA-N 0 0 429.499 -0.987 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)O[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000770167193 1073660137 /nfs/dbraw/zinc/66/01/37/1073660137.db2.gz PJFZVGZVVMLZOD-CQSZACIVSA-N 0 0 429.499 -0.987 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)NC(=O)Nc1ccc2c(c1)OCO2 ZINC000770167228 1073660212 /nfs/dbraw/zinc/66/02/12/1073660212.db2.gz NGFRRBMZMZURDH-UHFFFAOYSA-N 0 0 431.423 -0.438 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000770167311 1073660176 /nfs/dbraw/zinc/66/01/76/1073660176.db2.gz QKNTVUWEJXZZCY-UHFFFAOYSA-N 0 0 448.498 -0.983 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000770167835 1073660195 /nfs/dbraw/zinc/66/01/95/1073660195.db2.gz SZCSMWCDTGDKPJ-UHFFFAOYSA-N 0 0 445.450 -0.395 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000770168304 1073660084 /nfs/dbraw/zinc/66/00/84/1073660084.db2.gz SBYWXXOHQNLRLC-UHFFFAOYSA-N 0 0 431.486 -0.027 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000770168344 1073660253 /nfs/dbraw/zinc/66/02/53/1073660253.db2.gz SKTJSLBEPKHJAR-HNNXBMFYSA-N 0 0 443.522 -0.072 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)O[C@H](C)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000770168347 1073660104 /nfs/dbraw/zinc/66/01/04/1073660104.db2.gz SKTJSLBEPKHJAR-OAHLLOKOSA-N 0 0 443.522 -0.072 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(c2ccccc2F)CC1 ZINC000770168731 1073660185 /nfs/dbraw/zinc/66/01/85/1073660185.db2.gz VPOLDKHACQSABA-UHFFFAOYSA-N 0 0 431.486 -0.027 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)Nc1ccc2c(c1)C(=O)N(C)C2=O ZINC000770169047 1073660842 /nfs/dbraw/zinc/66/08/42/1073660842.db2.gz UZRDZCMTKMTHMG-UHFFFAOYSA-N 0 0 427.435 -0.650 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000770178425 1073659469 /nfs/dbraw/zinc/65/94/69/1073659469.db2.gz ZPLBYIJJAWECKZ-UHFFFAOYSA-N 0 0 439.478 -0.380 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)Nc1cnc3c(c1)c(=O)n(C)c(=O)n3C)CC2 ZINC000770185385 1073659591 /nfs/dbraw/zinc/65/95/91/1073659591.db2.gz BEYDGJBTGDSODU-UHFFFAOYSA-N 0 0 430.421 -0.052 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000770187495 1073659536 /nfs/dbraw/zinc/65/95/36/1073659536.db2.gz FKUMYMQDEMVHTG-UHFFFAOYSA-N 0 0 425.451 -0.628 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000770204664 1073660805 /nfs/dbraw/zinc/66/08/05/1073660805.db2.gz JHFSAEXPZMTJCQ-UHFFFAOYSA-N 0 0 439.513 -0.338 20 0 IBADRN COC(=O)N1CC(NC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000770213415 1073660958 /nfs/dbraw/zinc/66/09/58/1073660958.db2.gz ILYYDWFTBJSBIC-GFCCVEGCSA-N 0 0 446.507 -0.276 20 0 IBADRN COC(=O)N1CC(NC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC000770213417 1073660924 /nfs/dbraw/zinc/66/09/24/1073660924.db2.gz ILYYDWFTBJSBIC-LBPRGKRZSA-N 0 0 446.507 -0.276 20 0 IBADRN COC(=O)C1CCC(NC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)(C(=O)OC)CC1 ZINC000770216890 1073660936 /nfs/dbraw/zinc/66/09/36/1073660936.db2.gz IVLUWGXWXUSSDD-UHFFFAOYSA-N 0 0 425.463 -0.125 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000770217777 1073660882 /nfs/dbraw/zinc/66/08/82/1073660882.db2.gz LMGNPKDEGFSYIG-UHFFFAOYSA-N 0 0 447.558 -0.146 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000770220130 1073660965 /nfs/dbraw/zinc/66/09/65/1073660965.db2.gz CABDBFCQAOJFBA-UHFFFAOYSA-N 0 0 441.510 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000770229480 1073660948 /nfs/dbraw/zinc/66/09/48/1073660948.db2.gz GZTOQPFJDXUHRD-UHFFFAOYSA-N 0 0 437.497 -0.048 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc(C(=O)OC)cc(F)c1Br ZINC000770240611 1073660854 /nfs/dbraw/zinc/66/08/54/1073660854.db2.gz ZPLWHVGYMAKKPZ-UHFFFAOYSA-N 0 0 441.231 -0.058 20 0 IBADRN COC(=O)C1CCC(NC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)(C(=O)OC)CC1 ZINC000770242643 1073660901 /nfs/dbraw/zinc/66/09/01/1073660901.db2.gz DPJBJHYTPXTQCF-UHFFFAOYSA-N 0 0 425.463 -0.125 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)cn1 ZINC000770245133 1073660720 /nfs/dbraw/zinc/66/07/20/1073660720.db2.gz XTPURARJMZOBIQ-UHFFFAOYSA-N 0 0 426.467 -0.016 20 0 IBADRN COC(=O)c1cc(F)c(Br)c(S(=O)(=O)N(CC(N)=O)CC(N)=O)c1 ZINC000770246009 1073660780 /nfs/dbraw/zinc/66/07/80/1073660780.db2.gz PEQBDWFOQRGFEU-UHFFFAOYSA-N 0 0 426.220 -0.664 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000770249682 1073661600 /nfs/dbraw/zinc/66/16/00/1073661600.db2.gz AGUAOHMKVIYTHT-UHFFFAOYSA-N 0 0 430.454 -0.013 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000770252201 1073661423 /nfs/dbraw/zinc/66/14/23/1073661423.db2.gz HGMRYMVYTDNQNX-UHFFFAOYSA-N 0 0 437.544 -0.557 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CCCN2C(=O)CS/C2=C/C(=O)OC)CC1 ZINC000770252386 1073661547 /nfs/dbraw/zinc/66/15/47/1073661547.db2.gz JCUMDOJJKAYSAF-DTQAZKPQSA-N 0 0 435.568 -0.050 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CCCN2C(=O)CS/C2=C\C(=O)OC)CC1 ZINC000770252391 1073661287 /nfs/dbraw/zinc/66/12/87/1073661287.db2.gz JCUMDOJJKAYSAF-SSZFMOIBSA-N 0 0 435.568 -0.050 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CC[C@H](S(N)(=O)=O)C2)CC1 ZINC000770258493 1073660896 /nfs/dbraw/zinc/66/08/96/1073660896.db2.gz NSTRIFLMUZPLEN-AWEZNQCLSA-N 0 0 433.531 -0.424 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CC[C@@H](S(N)(=O)=O)C2)CC1 ZINC000770258509 1073660869 /nfs/dbraw/zinc/66/08/69/1073660869.db2.gz NSTRIFLMUZPLEN-CQSZACIVSA-N 0 0 433.531 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000770259387 1073660917 /nfs/dbraw/zinc/66/09/17/1073660917.db2.gz OHQIDTPXASJHNU-CABCVRRESA-N 0 0 432.543 -0.254 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000770259389 1073660899 /nfs/dbraw/zinc/66/08/99/1073660899.db2.gz OHQIDTPXASJHNU-GJZGRUSLSA-N 0 0 432.543 -0.254 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000770259391 1073660794 /nfs/dbraw/zinc/66/07/94/1073660794.db2.gz OHQIDTPXASJHNU-HUUCEWRRSA-N 0 0 432.543 -0.254 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000770259392 1073661414 /nfs/dbraw/zinc/66/14/14/1073661414.db2.gz OHQIDTPXASJHNU-LSDHHAIUSA-N 0 0 432.543 -0.254 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)cc2)CC1 ZINC000770301249 1073661658 /nfs/dbraw/zinc/66/16/58/1073661658.db2.gz HYFXBIKOZVHRLK-APWZRJJASA-N 0 0 447.536 -0.245 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)cc2)CC1 ZINC000770301251 1073661633 /nfs/dbraw/zinc/66/16/33/1073661633.db2.gz HYFXBIKOZVHRLK-LPHOPBHVSA-N 0 0 447.536 -0.245 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)cc2)CC1 ZINC000770301252 1073661611 /nfs/dbraw/zinc/66/16/11/1073661611.db2.gz HYFXBIKOZVHRLK-QFBILLFUSA-N 0 0 447.536 -0.245 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)cc2)CC1 ZINC000770301254 1073661376 /nfs/dbraw/zinc/66/13/76/1073661376.db2.gz HYFXBIKOZVHRLK-VQIMIIECSA-N 0 0 447.536 -0.245 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000770303972 1073661319 /nfs/dbraw/zinc/66/13/19/1073661319.db2.gz PLACZRINQHQUPW-UHFFFAOYSA-N 0 0 437.482 -0.889 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)cc2)CC1 ZINC000770307719 1073661513 /nfs/dbraw/zinc/66/15/13/1073661513.db2.gz BRDYSXAQUVURPF-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2C(=O)N(C)C)CC1 ZINC000770307738 1073661529 /nfs/dbraw/zinc/66/15/29/1073661529.db2.gz BTTDPJVFHDZBMD-UHFFFAOYSA-N 0 0 440.522 -0.163 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnc3)nc2)CC1 ZINC000770311863 1073661353 /nfs/dbraw/zinc/66/13/53/1073661353.db2.gz JSQDGLOWHGHALZ-UHFFFAOYSA-N 0 0 436.494 -0.284 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)ccc1F ZINC000770334013 1073661441 /nfs/dbraw/zinc/66/14/41/1073661441.db2.gz BGRWUGQDUHGCRN-UHFFFAOYSA-N 0 0 437.515 -0.142 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)N(C)OC)c2)CC1 ZINC000770340660 1073662099 /nfs/dbraw/zinc/66/20/99/1073662099.db2.gz YBYQNIUYWYFKBG-UHFFFAOYSA-N 0 0 449.551 -0.007 20 0 IBADRN COC(=O)N1CC(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000770342538 1073662003 /nfs/dbraw/zinc/66/20/03/1073662003.db2.gz SNXUPHMZZXTPNB-UHFFFAOYSA-N 0 0 426.499 -0.717 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC000770349286 1073662065 /nfs/dbraw/zinc/66/20/65/1073662065.db2.gz YGDUWOSIDOJBCY-UHFFFAOYSA-N 0 0 437.501 -0.379 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000770356736 1073662206 /nfs/dbraw/zinc/66/22/06/1073662206.db2.gz URGKSKZSJNMOKG-UHFFFAOYSA-N 0 0 440.545 -0.519 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000770365358 1073662347 /nfs/dbraw/zinc/66/23/47/1073662347.db2.gz ONDFOFPOSPCDAS-UHFFFAOYSA-N 0 0 426.562 -0.046 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000770393102 1073662179 /nfs/dbraw/zinc/66/21/79/1073662179.db2.gz AGSQGSYMJOAIBJ-UHFFFAOYSA-N 0 0 443.492 -0.037 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC000770394170 1073662043 /nfs/dbraw/zinc/66/20/43/1073662043.db2.gz HUBGKSSLMGWBLL-UHFFFAOYSA-N 0 0 425.502 -0.334 20 0 IBADRN COC(=O)N1CC(NC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC000770394442 1073662077 /nfs/dbraw/zinc/66/20/77/1073662077.db2.gz CAGNCUMORPWUIJ-UHFFFAOYSA-N 0 0 425.511 -0.128 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H](CCSC)C(=O)N(C)OC)CC1 ZINC000770410780 1073662288 /nfs/dbraw/zinc/66/22/88/1073662288.db2.gz DOWAEIOBAFRBAW-AWEZNQCLSA-N 0 0 440.588 -0.179 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000770413527 1073661983 /nfs/dbraw/zinc/66/19/83/1073661983.db2.gz TWLDFOOWNPNUKY-UHFFFAOYSA-N 0 0 429.465 -0.284 20 0 IBADRN COC(=O)N1CC(NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1 ZINC000770426179 1073662220 /nfs/dbraw/zinc/66/22/20/1073662220.db2.gz MFUAUUUYYNAICP-UHFFFAOYSA-N 0 0 437.478 -0.352 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000770440323 1073662751 /nfs/dbraw/zinc/66/27/51/1073662751.db2.gz JCOVRTVTLFMELP-CYBMUJFWSA-N 0 0 434.540 -0.302 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000770440328 1073662921 /nfs/dbraw/zinc/66/29/21/1073662921.db2.gz JCOVRTVTLFMELP-ZDUSSCGKSA-N 0 0 434.540 -0.302 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)n1 ZINC000770440526 1073662678 /nfs/dbraw/zinc/66/26/78/1073662678.db2.gz OPWZKLDXTNBRER-UHFFFAOYSA-N 0 0 444.514 -0.468 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1cnn(Cc2nnc3n2CCCC3)c1 ZINC000770441264 1073662775 /nfs/dbraw/zinc/66/27/75/1073662775.db2.gz WBIMWXZSFFNYTL-UHFFFAOYSA-N 0 0 438.514 -0.357 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000770441653 1073662903 /nfs/dbraw/zinc/66/29/03/1073662903.db2.gz FATFECLESJMBRQ-UHFFFAOYSA-N 0 0 442.475 -0.225 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)cn1 ZINC000770443529 1073662729 /nfs/dbraw/zinc/66/27/29/1073662729.db2.gz RROYSPZSYSBCMX-UHFFFAOYSA-N 0 0 442.475 -0.225 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000770452234 1073662765 /nfs/dbraw/zinc/66/27/65/1073662765.db2.gz JLRDEQMDUFHHPB-DZGCQCFKSA-N 0 0 447.535 -0.183 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000770452235 1073662811 /nfs/dbraw/zinc/66/28/11/1073662811.db2.gz JLRDEQMDUFHHPB-HIFRSBDPSA-N 0 0 447.535 -0.183 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000770452236 1073662712 /nfs/dbraw/zinc/66/27/12/1073662712.db2.gz JLRDEQMDUFHHPB-UKRRQHHQSA-N 0 0 447.535 -0.183 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000770452237 1073662803 /nfs/dbraw/zinc/66/28/03/1073662803.db2.gz JLRDEQMDUFHHPB-ZFWWWQNUSA-N 0 0 447.535 -0.183 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000770453021 1073662866 /nfs/dbraw/zinc/66/28/66/1073662866.db2.gz BMSYYRRKZYQWKY-GXTWGEPZSA-N 0 0 447.535 -0.087 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000770453022 1073662740 /nfs/dbraw/zinc/66/27/40/1073662740.db2.gz BMSYYRRKZYQWKY-JSGCOSHPSA-N 0 0 447.535 -0.087 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000770453023 1073662858 /nfs/dbraw/zinc/66/28/58/1073662858.db2.gz BMSYYRRKZYQWKY-OCCSQVGLSA-N 0 0 447.535 -0.087 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000770453024 1073662863 /nfs/dbraw/zinc/66/28/63/1073662863.db2.gz BMSYYRRKZYQWKY-TZMCWYRMSA-N 0 0 447.535 -0.087 20 0 IBADRN CN1C(=O)CN(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1=O ZINC000770454628 1073662840 /nfs/dbraw/zinc/66/28/40/1073662840.db2.gz IACPDOBEOCOECF-UHFFFAOYSA-N 0 0 437.478 -0.775 20 0 IBADRN COc1ccc(CC(=O)NN2CC(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000770455157 1073662786 /nfs/dbraw/zinc/66/27/86/1073662786.db2.gz XXSVBLVDACBKOQ-UHFFFAOYSA-N 0 0 426.451 -0.816 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC000770455236 1073662818 /nfs/dbraw/zinc/66/28/18/1073662818.db2.gz YXPIKNJYTBJLFI-UHFFFAOYSA-N 0 0 428.467 -0.211 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)cn1 ZINC000770455804 1073662828 /nfs/dbraw/zinc/66/28/28/1073662828.db2.gz VPLGCJWLISVRNS-UHFFFAOYSA-N 0 0 442.475 -0.225 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)cn1 ZINC000770456763 1073663547 /nfs/dbraw/zinc/66/35/47/1073663547.db2.gz GIRNHQZOHQVIKT-UHFFFAOYSA-N 0 0 441.487 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)cn1 ZINC000770456844 1073663506 /nfs/dbraw/zinc/66/35/06/1073663506.db2.gz AWPCKSWNLQCEGA-UHFFFAOYSA-N 0 0 436.446 -0.276 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)cn1 ZINC000770456858 1073663493 /nfs/dbraw/zinc/66/34/93/1073663493.db2.gz BFCOLQJUHITIDR-AWEZNQCLSA-N 0 0 447.535 -0.182 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000770456859 1073663544 /nfs/dbraw/zinc/66/35/44/1073663544.db2.gz BFCOLQJUHITIDR-CQSZACIVSA-N 0 0 447.535 -0.182 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C(=O)N2CCN(C(C)=O)CC2)C(C)C)cn1 ZINC000770457271 1073663458 /nfs/dbraw/zinc/66/34/58/1073663458.db2.gz IZVXLYQRHBQCLA-INIZCTEOSA-N 0 0 426.495 -0.138 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C(=O)N2CCN(C(C)=O)CC2)C(C)C)cn1 ZINC000770457274 1073663558 /nfs/dbraw/zinc/66/35/58/1073663558.db2.gz IZVXLYQRHBQCLA-MRXNPFEDSA-N 0 0 426.495 -0.138 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)cn1 ZINC000770457336 1073663484 /nfs/dbraw/zinc/66/34/84/1073663484.db2.gz JTARUKLCVABEBL-GXTWGEPZSA-N 0 0 433.508 -0.526 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)cn1 ZINC000770457338 1073663443 /nfs/dbraw/zinc/66/34/43/1073663443.db2.gz JTARUKLCVABEBL-JSGCOSHPSA-N 0 0 433.508 -0.526 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)cn1 ZINC000770457340 1073663551 /nfs/dbraw/zinc/66/35/51/1073663551.db2.gz JTARUKLCVABEBL-OCCSQVGLSA-N 0 0 433.508 -0.526 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)cn1 ZINC000770457342 1073663393 /nfs/dbraw/zinc/66/33/93/1073663393.db2.gz JTARUKLCVABEBL-TZMCWYRMSA-N 0 0 433.508 -0.526 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000770458539 1073663450 /nfs/dbraw/zinc/66/34/50/1073663450.db2.gz NZVKZUSFWMUVCN-AWEZNQCLSA-N 0 0 447.535 -0.038 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000770458541 1073663467 /nfs/dbraw/zinc/66/34/67/1073663467.db2.gz NZVKZUSFWMUVCN-CQSZACIVSA-N 0 0 447.535 -0.038 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000770458676 1073663479 /nfs/dbraw/zinc/66/34/79/1073663479.db2.gz KOEFOPGVTQWHBJ-AAEUAGOBSA-N 0 0 433.508 -0.429 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000770458678 1073663537 /nfs/dbraw/zinc/66/35/37/1073663537.db2.gz KOEFOPGVTQWHBJ-DGCLKSJQSA-N 0 0 433.508 -0.429 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000770458680 1073664062 /nfs/dbraw/zinc/66/40/62/1073664062.db2.gz KOEFOPGVTQWHBJ-WCQYABFASA-N 0 0 433.508 -0.429 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000770458682 1073663978 /nfs/dbraw/zinc/66/39/78/1073663978.db2.gz KOEFOPGVTQWHBJ-YPMHNXCESA-N 0 0 433.508 -0.429 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C(=O)N2CCC[C@H](C(N)=O)C2)C(C)C)cn1 ZINC000770458722 1073664047 /nfs/dbraw/zinc/66/40/47/1073664047.db2.gz FPNWDCZHWPSKSV-DZGCQCFKSA-N 0 0 426.495 -0.105 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C(=O)N2CCC[C@@H](C(N)=O)C2)C(C)C)cn1 ZINC000770458724 1073664025 /nfs/dbraw/zinc/66/40/25/1073664025.db2.gz FPNWDCZHWPSKSV-HIFRSBDPSA-N 0 0 426.495 -0.105 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C(=O)N2CCC[C@@H](C(N)=O)C2)C(C)C)cn1 ZINC000770458726 1073663960 /nfs/dbraw/zinc/66/39/60/1073663960.db2.gz FPNWDCZHWPSKSV-UKRRQHHQSA-N 0 0 426.495 -0.105 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C(=O)N2CCC[C@H](C(N)=O)C2)C(C)C)cn1 ZINC000770458729 1073664121 /nfs/dbraw/zinc/66/41/21/1073664121.db2.gz FPNWDCZHWPSKSV-ZFWWWQNUSA-N 0 0 426.495 -0.105 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)c2ccc(S(=O)(=O)NC)nc2)CC1 ZINC000770458730 1073663985 /nfs/dbraw/zinc/66/39/85/1073663985.db2.gz LLMYLAMHLDECOT-UHFFFAOYSA-N 0 0 428.467 -0.116 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)cn1 ZINC000770458849 1073663405 /nfs/dbraw/zinc/66/34/05/1073663405.db2.gz QCEQVIYEXOBPMF-UHFFFAOYSA-N 0 0 436.446 -0.673 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)NC)nc2)CC1 ZINC000770459085 1073663530 /nfs/dbraw/zinc/66/35/30/1073663530.db2.gz SHYGPEDKCWGVRD-GFCCVEGCSA-N 0 0 428.467 -0.164 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)NC)nc2)CC1 ZINC000770459086 1073663376 /nfs/dbraw/zinc/66/33/76/1073663376.db2.gz SHYGPEDKCWGVRD-LBPRGKRZSA-N 0 0 428.467 -0.164 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCCN2C(=O)c3ccccc3S2(=O)=O)cn1 ZINC000770459482 1073664015 /nfs/dbraw/zinc/66/40/15/1073664015.db2.gz TWXRDPXNAPVIBN-UHFFFAOYSA-N 0 0 425.444 -0.009 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000770460524 1073664157 /nfs/dbraw/zinc/66/41/57/1073664157.db2.gz RMWWMKSKVBTFQN-CYBMUJFWSA-N 0 0 434.478 -0.326 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC000770460525 1073664085 /nfs/dbraw/zinc/66/40/85/1073664085.db2.gz RMWWMKSKVBTFQN-ZDUSSCGKSA-N 0 0 434.478 -0.326 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)c1ccc(S(=O)(=O)NC)nc1 ZINC000770460874 1073663943 /nfs/dbraw/zinc/66/39/43/1073663943.db2.gz SXQDDGZVQJFMJQ-UHFFFAOYSA-N 0 0 425.423 -0.305 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000770463767 1073664100 /nfs/dbraw/zinc/66/41/00/1073664100.db2.gz JRHDAFPOEPGFSF-GXTWGEPZSA-N 0 0 447.535 -0.039 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000770463769 1073664075 /nfs/dbraw/zinc/66/40/75/1073664075.db2.gz JRHDAFPOEPGFSF-JSGCOSHPSA-N 0 0 447.535 -0.039 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@H]1CCS(=O)(=O)C1 ZINC000770463772 1073664110 /nfs/dbraw/zinc/66/41/10/1073664110.db2.gz JRHDAFPOEPGFSF-OCCSQVGLSA-N 0 0 447.535 -0.039 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)NC)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC000770463773 1073664129 /nfs/dbraw/zinc/66/41/29/1073664129.db2.gz JRHDAFPOEPGFSF-TZMCWYRMSA-N 0 0 447.535 -0.039 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C(=O)N[C@@]2(C)CCS(=O)(=O)C2)C(C)C)cn1 ZINC000770463890 1073663995 /nfs/dbraw/zinc/66/39/95/1073663995.db2.gz LZRCDLITROJGHY-PBHICJAKSA-N 0 0 447.535 -0.136 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C(=O)N[C@]2(C)CCS(=O)(=O)C2)C(C)C)cn1 ZINC000770463896 1073664037 /nfs/dbraw/zinc/66/40/37/1073664037.db2.gz LZRCDLITROJGHY-RHSMWYFYSA-N 0 0 447.535 -0.136 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C(=O)N[C@]2(C)CCS(=O)(=O)C2)C(C)C)cn1 ZINC000770463898 1073664176 /nfs/dbraw/zinc/66/41/76/1073664176.db2.gz LZRCDLITROJGHY-WMLDXEAASA-N 0 0 447.535 -0.136 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)O[C@H](C(=O)N[C@@]2(C)CCS(=O)(=O)C2)C(C)C)cn1 ZINC000770463899 1073664167 /nfs/dbraw/zinc/66/41/67/1073664167.db2.gz LZRCDLITROJGHY-YOEHRIQHSA-N 0 0 447.535 -0.136 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2F)cn1 ZINC000770464409 1073664140 /nfs/dbraw/zinc/66/41/40/1073664140.db2.gz OGOBVLNCPYTNAE-UHFFFAOYSA-N 0 0 435.397 -0.100 20 0 IBADRN CCN(C(=O)COC(=O)c1cccc([C@@]2(C)NC(=O)NC2=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000770501802 1073664569 /nfs/dbraw/zinc/66/45/69/1073664569.db2.gz FGUFSTIEACYKIC-AUUYWEPGSA-N 0 0 437.474 -0.066 20 0 IBADRN CCN(C(=O)COC(=O)c1cccc([C@@]2(C)NC(=O)NC2=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000770501806 1073664662 /nfs/dbraw/zinc/66/46/62/1073664662.db2.gz FGUFSTIEACYKIC-IFXJQAMLSA-N 0 0 437.474 -0.066 20 0 IBADRN CCN(C(=O)COC(=O)c1cccc([C@]2(C)NC(=O)NC2=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000770501808 1073664649 /nfs/dbraw/zinc/66/46/49/1073664649.db2.gz FGUFSTIEACYKIC-KUHUBIRLSA-N 0 0 437.474 -0.066 20 0 IBADRN CCN(C(=O)COC(=O)c1cccc([C@]2(C)NC(=O)NC2=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000770501813 1073664774 /nfs/dbraw/zinc/66/47/74/1073664774.db2.gz FGUFSTIEACYKIC-LIRRHRJNSA-N 0 0 437.474 -0.066 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cccc([C@]4(C)NC(=O)NC4=O)c3)c2c(=O)n(C)c1=O ZINC000770507686 1073664842 /nfs/dbraw/zinc/66/48/42/1073664842.db2.gz ULQODFGLRXHICR-FQEVSTJZSA-N 0 0 440.416 -0.655 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cccc([C@@]4(C)NC(=O)NC4=O)c3)c2c(=O)n(C)c1=O ZINC000770507691 1073664608 /nfs/dbraw/zinc/66/46/08/1073664608.db2.gz ULQODFGLRXHICR-HXUWFJFHSA-N 0 0 440.416 -0.655 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000770517191 1073664579 /nfs/dbraw/zinc/66/45/79/1073664579.db2.gz CDXGSRGNHLMUDU-HNNXBMFYSA-N 0 0 432.547 -0.963 20 0 IBADRN CCOC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000770517195 1073664731 /nfs/dbraw/zinc/66/47/31/1073664731.db2.gz CDXGSRGNHLMUDU-OAHLLOKOSA-N 0 0 432.547 -0.963 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN([C@H]4CCOC4)CC3)C2=O)cc1 ZINC000770524105 1073665375 /nfs/dbraw/zinc/66/53/75/1073665375.db2.gz NEGSTOPZLHETGF-HRAATJIYSA-N 0 0 431.493 -0.100 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN([C@@H]4CCOC4)CC3)C2=O)cc1 ZINC000770524109 1073665560 /nfs/dbraw/zinc/66/55/60/1073665560.db2.gz NEGSTOPZLHETGF-IERDGZPVSA-N 0 0 431.493 -0.100 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN([C@@H]4CCOC4)CC3)C2=O)cc1 ZINC000770524113 1073665467 /nfs/dbraw/zinc/66/54/67/1073665467.db2.gz NEGSTOPZLHETGF-IIBYNOLFSA-N 0 0 431.493 -0.100 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN([C@H]4CCOC4)CC3)C2=O)cc1 ZINC000770524117 1073665487 /nfs/dbraw/zinc/66/54/87/1073665487.db2.gz NEGSTOPZLHETGF-KKSFZXQISA-N 0 0 431.493 -0.100 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NN3CC(=O)N(C)C3=O)CC2)o1 ZINC000770531668 1073665511 /nfs/dbraw/zinc/66/55/11/1073665511.db2.gz ADTUYVHGEHMTCP-UHFFFAOYSA-N 0 0 442.450 -0.218 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000770536593 1073665588 /nfs/dbraw/zinc/66/55/88/1073665588.db2.gz KRUHSSDPTUBOSR-UHFFFAOYSA-N 0 0 425.467 -0.688 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000770537658 1073665315 /nfs/dbraw/zinc/66/53/15/1073665315.db2.gz LUOBNEYQZXMMDX-JYJNAYRXSA-N 0 0 447.558 -0.102 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000770537660 1073665583 /nfs/dbraw/zinc/66/55/83/1073665583.db2.gz LUOBNEYQZXMMDX-OAGGEKHMSA-N 0 0 447.558 -0.102 20 0 IBADRN CCOC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000770537663 1073665575 /nfs/dbraw/zinc/66/55/75/1073665575.db2.gz LUOBNEYQZXMMDX-OWCLPIDISA-N 0 0 447.558 -0.102 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000770537667 1073665344 /nfs/dbraw/zinc/66/53/44/1073665344.db2.gz LUOBNEYQZXMMDX-XHSDSOJGSA-N 0 0 447.558 -0.102 20 0 IBADRN CCOC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000770537831 1073665455 /nfs/dbraw/zinc/66/54/55/1073665455.db2.gz RKXYFTZKXYKIID-GFCCVEGCSA-N 0 0 443.482 -0.375 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000770537834 1073665401 /nfs/dbraw/zinc/66/54/01/1073665401.db2.gz RKXYFTZKXYKIID-LBPRGKRZSA-N 0 0 443.482 -0.375 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000770539127 1073665597 /nfs/dbraw/zinc/66/55/97/1073665597.db2.gz WHLNIRIAYHBKPG-AWEZNQCLSA-N 0 0 428.515 -0.025 20 0 IBADRN CCOC(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000770539132 1073665358 /nfs/dbraw/zinc/66/53/58/1073665358.db2.gz WHLNIRIAYHBKPG-CQSZACIVSA-N 0 0 428.515 -0.025 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000770540341 1073665612 /nfs/dbraw/zinc/66/56/12/1073665612.db2.gz ZWFRIDABJOUPHJ-UHFFFAOYSA-N 0 0 449.283 -0.087 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NN1CCCC1=O)c(=O)n2Cc1ccccc1 ZINC000770548035 1073665417 /nfs/dbraw/zinc/66/54/17/1073665417.db2.gz ZJAGXLFIOZHIOT-UHFFFAOYSA-N 0 0 440.460 -0.292 20 0 IBADRN CC(C)[C@@](C)(O)C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@@](C)(O)C(C)C)CC1 ZINC000770555061 1073664875 /nfs/dbraw/zinc/66/48/75/1073664875.db2.gz HOGAOPSOIBZPOP-BGYRXZFFSA-N 0 0 430.498 -0.442 20 0 IBADRN CC(C)[C@](C)(O)C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@@](C)(O)C(C)C)CC1 ZINC000770555065 1073664784 /nfs/dbraw/zinc/66/47/84/1073664784.db2.gz HOGAOPSOIBZPOP-PMACEKPBSA-N 0 0 430.498 -0.442 20 0 IBADRN CC(C)[C@@](C)(O)C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@](C)(O)C(C)C)CC1 ZINC000770555067 1073664802 /nfs/dbraw/zinc/66/48/02/1073664802.db2.gz HOGAOPSOIBZPOP-WOJBJXKFSA-N 0 0 430.498 -0.442 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cn(C)nc2CC)c(=O)n1CC(=O)N1CCOCC1 ZINC000770566673 1073665437 /nfs/dbraw/zinc/66/54/37/1073665437.db2.gz OWNHFWSUDWIQPG-UHFFFAOYSA-N 0 0 434.518 -0.763 20 0 IBADRN O=C(COC(=O)c1ccc(-c2nc[nH]n2)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000770576010 1073665603 /nfs/dbraw/zinc/66/56/03/1073665603.db2.gz WAUFQFOXVYASKV-INIZCTEOSA-N 0 0 433.490 -0.040 20 0 IBADRN O=C(COC(=O)c1ccc(-c2nc[nH]n2)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000770576013 1073665543 /nfs/dbraw/zinc/66/55/43/1073665543.db2.gz WAUFQFOXVYASKV-MRXNPFEDSA-N 0 0 433.490 -0.040 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)OCC(=O)N2CCNC(=O)C2)C1=O ZINC000770582990 1073666276 /nfs/dbraw/zinc/66/62/76/1073666276.db2.gz KVPLIOKRXFFNKI-GOSISDBHSA-N 0 0 440.815 -0.252 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)OCC(=O)N2CCNC(=O)C2)C1=O ZINC000770582993 1073666186 /nfs/dbraw/zinc/66/61/86/1073666186.db2.gz KVPLIOKRXFFNKI-SFHVURJKSA-N 0 0 440.815 -0.252 20 0 IBADRN Cc1cc(F)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000770603629 1073666218 /nfs/dbraw/zinc/66/62/18/1073666218.db2.gz UHJDUNOHDRELDN-HNNXBMFYSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1cc(F)cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000770603630 1073666140 /nfs/dbraw/zinc/66/61/40/1073666140.db2.gz UHJDUNOHDRELDN-OAHLLOKOSA-N 0 0 447.510 -0.266 20 0 IBADRN CCOC(=O)c1nn(C)cc1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000770612782 1073666227 /nfs/dbraw/zinc/66/62/27/1073666227.db2.gz OCDHYMXXGCNYRR-AWEZNQCLSA-N 0 0 434.540 -0.769 20 0 IBADRN CCOC(=O)c1nn(C)cc1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000770612788 1073666290 /nfs/dbraw/zinc/66/62/90/1073666290.db2.gz OCDHYMXXGCNYRR-CQSZACIVSA-N 0 0 434.540 -0.769 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)NN2CC(=O)NC2=O)c1 ZINC000770623331 1073666083 /nfs/dbraw/zinc/66/60/83/1073666083.db2.gz BHUNJEILEMSJTL-UHFFFAOYSA-N 0 0 426.455 -0.638 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(=O)NCCO)ccc2Cl)C[C@H](C)O1 ZINC000770624180 1073666294 /nfs/dbraw/zinc/66/62/94/1073666294.db2.gz GKWVTDHZARCTRZ-RYUDHWBXSA-N 0 0 433.914 -0.024 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(=O)NCCO)ccc2Cl)C[C@H](C)O1 ZINC000770624189 1073666237 /nfs/dbraw/zinc/66/62/37/1073666237.db2.gz GKWVTDHZARCTRZ-TXEJJXNPSA-N 0 0 433.914 -0.024 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(=O)NCCO)ccc2Cl)C[C@@H](C)O1 ZINC000770624191 1073666014 /nfs/dbraw/zinc/66/60/14/1073666014.db2.gz GKWVTDHZARCTRZ-VXGBXAGGSA-N 0 0 433.914 -0.024 20 0 IBADRN O=C(CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c(Cl)cc1Cl)NCCO ZINC000770625524 1073666244 /nfs/dbraw/zinc/66/62/44/1073666244.db2.gz QPOYHMVEGLMMRS-UHFFFAOYSA-N 0 0 440.305 -0.147 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NCC(=O)NCCO)c1 ZINC000770625618 1073666205 /nfs/dbraw/zinc/66/62/05/1073666205.db2.gz RDNTXMQEOFZENR-UHFFFAOYSA-N 0 0 438.300 -0.788 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)C(C)(C)N2C(=O)c3ccccc3C2=O)CC1 ZINC000770641400 1073666254 /nfs/dbraw/zinc/66/62/54/1073666254.db2.gz HMZGWWNKGTYMFP-UHFFFAOYSA-N 0 0 444.488 -0.163 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C(C)(C)N2C(=O)c3ccccc3C2=O)c(=O)n(C)c1=O ZINC000770641705 1073666104 /nfs/dbraw/zinc/66/61/04/1073666104.db2.gz JMTCHWALHQCXIS-UHFFFAOYSA-N 0 0 428.401 -0.533 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000770646869 1073666629 /nfs/dbraw/zinc/66/66/29/1073666629.db2.gz DOPZAYKGXIFKNM-GOSISDBHSA-N 0 0 426.495 -0.083 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000770646870 1073666858 /nfs/dbraw/zinc/66/68/58/1073666858.db2.gz DOPZAYKGXIFKNM-SFHVURJKSA-N 0 0 426.495 -0.083 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(S(C)(=O)=O)sc1Cl ZINC000770651756 1073666820 /nfs/dbraw/zinc/66/68/20/1073666820.db2.gz YGYMZSNNZOSNPG-SSDOTTSWSA-N 0 0 430.913 -0.143 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(S(C)(=O)=O)sc1Cl ZINC000770651757 1073666740 /nfs/dbraw/zinc/66/67/40/1073666740.db2.gz YGYMZSNNZOSNPG-ZETCQYMHSA-N 0 0 430.913 -0.143 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(Cn2c3ccc(S(=O)(=O)N(C)C)cc3oc2=O)C1 ZINC000770673635 1073666273 /nfs/dbraw/zinc/66/62/73/1073666273.db2.gz FLXHWJSYSLZGPZ-CYBMUJFWSA-N 0 0 432.524 -0.184 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(Cn2c3ccc(S(=O)(=O)N(C)C)cc3oc2=O)C1 ZINC000770673636 1073666122 /nfs/dbraw/zinc/66/61/22/1073666122.db2.gz FLXHWJSYSLZGPZ-ZDUSSCGKSA-N 0 0 432.524 -0.184 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CN2C(=O)C(=O)N(CCc3ccc(OC)cc3)C2=O)C1 ZINC000770678435 1073666282 /nfs/dbraw/zinc/66/62/82/1073666282.db2.gz WLZFXJGVFNRIIP-INIZCTEOSA-N 0 0 438.506 0.000 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CN2C(=O)C(=O)N(CCc3ccc(OC)cc3)C2=O)C1 ZINC000770678445 1073666793 /nfs/dbraw/zinc/66/67/93/1073666793.db2.gz WLZFXJGVFNRIIP-MRXNPFEDSA-N 0 0 438.506 0.000 20 0 IBADRN COC(=O)c1ccnc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000770683814 1073666674 /nfs/dbraw/zinc/66/66/74/1073666674.db2.gz VLKQMWGDLPJZKN-CYBMUJFWSA-N 0 0 446.507 -0.465 20 0 IBADRN COC(=O)c1ccnc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000770683815 1073666824 /nfs/dbraw/zinc/66/68/24/1073666824.db2.gz VLKQMWGDLPJZKN-ZDUSSCGKSA-N 0 0 446.507 -0.465 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(S(C)(=O)=O)sc1Cl ZINC000770688019 1073667239 /nfs/dbraw/zinc/66/72/39/1073667239.db2.gz UMOMUBCKWSQWGV-MRVPVSSYSA-N 0 0 443.956 -0.180 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(S(C)(=O)=O)sc1Cl ZINC000770688020 1073667297 /nfs/dbraw/zinc/66/72/97/1073667297.db2.gz UMOMUBCKWSQWGV-QMMMGPOBSA-N 0 0 443.956 -0.180 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(Cl)s1 ZINC000770693896 1073667448 /nfs/dbraw/zinc/66/74/48/1073667448.db2.gz ZOHZSAVQRBILDC-SSDOTTSWSA-N 0 0 427.913 -0.864 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(Cl)s1 ZINC000770693898 1073667414 /nfs/dbraw/zinc/66/74/14/1073667414.db2.gz ZOHZSAVQRBILDC-ZETCQYMHSA-N 0 0 427.913 -0.864 20 0 IBADRN COC(=O)Cn1cc([C@@H](C)OC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)nn1 ZINC000770708069 1073667380 /nfs/dbraw/zinc/66/73/80/1073667380.db2.gz SIWYSDZCVHBPRQ-LLVKDONJSA-N 0 0 428.423 -0.017 20 0 IBADRN COC(=O)Cn1cc([C@H](C)OC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)nn1 ZINC000770708070 1073667348 /nfs/dbraw/zinc/66/73/48/1073667348.db2.gz SIWYSDZCVHBPRQ-NSHDSACASA-N 0 0 428.423 -0.017 20 0 IBADRN COC(=O)Cn1cc([C@H](C)OC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)nn1 ZINC000770709519 1073667439 /nfs/dbraw/zinc/66/74/39/1073667439.db2.gz YSEKCFANXWUPSJ-AWEZNQCLSA-N 0 0 431.453 -0.026 20 0 IBADRN COC(=O)Cn1cc([C@@H](C)OC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)nn1 ZINC000770709521 1073667405 /nfs/dbraw/zinc/66/74/05/1073667405.db2.gz YSEKCFANXWUPSJ-CQSZACIVSA-N 0 0 431.453 -0.026 20 0 IBADRN COC(=O)Cn1cc([C@@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000770711781 1073667323 /nfs/dbraw/zinc/66/73/23/1073667323.db2.gz QRQZFBCTZQQPNK-CMPLNLGQSA-N 0 0 428.471 -0.227 20 0 IBADRN COC(=O)Cn1cc([C@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000770711790 1073667442 /nfs/dbraw/zinc/66/74/42/1073667442.db2.gz QRQZFBCTZQQPNK-JQWIXIFHSA-N 0 0 428.471 -0.227 20 0 IBADRN COC(=O)Cn1cc([C@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000770711792 1073667313 /nfs/dbraw/zinc/66/73/13/1073667313.db2.gz QRQZFBCTZQQPNK-PWSUYJOCSA-N 0 0 428.471 -0.227 20 0 IBADRN COC(=O)Cn1cc([C@@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000770711794 1073667387 /nfs/dbraw/zinc/66/73/87/1073667387.db2.gz QRQZFBCTZQQPNK-ZYHUDNBSSA-N 0 0 428.471 -0.227 20 0 IBADRN COC(=O)Cn1cc([C@@H](C)OC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)nn1 ZINC000770714039 1073667264 /nfs/dbraw/zinc/66/72/64/1073667264.db2.gz ZZAFMPGPWRIUDE-GFCCVEGCSA-N 0 0 441.466 -0.272 20 0 IBADRN COC(=O)Cn1cc([C@H](C)OC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)nn1 ZINC000770714042 1073667884 /nfs/dbraw/zinc/66/78/84/1073667884.db2.gz ZZAFMPGPWRIUDE-LBPRGKRZSA-N 0 0 441.466 -0.272 20 0 IBADRN COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1F ZINC000770723114 1073666772 /nfs/dbraw/zinc/66/67/72/1073666772.db2.gz FUYFGKKOZRKYSN-UHFFFAOYSA-N 0 0 430.458 -0.506 20 0 IBADRN COc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1F ZINC000770724063 1073666844 /nfs/dbraw/zinc/66/68/44/1073666844.db2.gz KBQXBLAAXWRSNU-UHFFFAOYSA-N 0 0 443.501 -0.591 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000770727270 1073666828 /nfs/dbraw/zinc/66/68/28/1073666828.db2.gz APYUKRQOTRDDNQ-UHFFFAOYSA-N 0 0 449.551 -0.007 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)CC1 ZINC000770728115 1073666868 /nfs/dbraw/zinc/66/68/68/1073666868.db2.gz KROBNXJHKYERGB-KRWDZBQOSA-N 0 0 437.522 -0.383 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)CC1 ZINC000770728118 1073666650 /nfs/dbraw/zinc/66/66/50/1073666650.db2.gz KROBNXJHKYERGB-QGZVFWFLSA-N 0 0 437.522 -0.383 20 0 IBADRN C[C@@H](NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1)[C@@H]1CN(C)CCO1 ZINC000770728383 1073666762 /nfs/dbraw/zinc/66/67/62/1073666762.db2.gz OUHDDRBHKVRJLT-CRWXNKLISA-N 0 0 442.454 -0.072 20 0 IBADRN C[C@@H](NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)[C@@H]1CN(C)CCO1)[C@H]1CN(C)CCO1 ZINC000770728384 1073666785 /nfs/dbraw/zinc/66/67/85/1073666785.db2.gz OUHDDRBHKVRJLT-KPWCQOOUSA-N 0 0 442.454 -0.072 20 0 IBADRN C[C@@H](NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1)[C@@H]1CN(C)CCO1 ZINC000770728385 1073666863 /nfs/dbraw/zinc/66/68/63/1073666863.db2.gz OUHDDRBHKVRJLT-LVEBTZEWSA-N 0 0 442.454 -0.072 20 0 IBADRN C[C@@H](NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)[C@H]1CN(C)CCO1)[C@H]1CN(C)CCO1 ZINC000770728386 1073666836 /nfs/dbraw/zinc/66/68/36/1073666836.db2.gz OUHDDRBHKVRJLT-XJFOESAGSA-N 0 0 442.454 -0.072 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccnn1-c1cccc(F)c1 ZINC000770731295 1073667392 /nfs/dbraw/zinc/66/73/92/1073667392.db2.gz ULGJQPMOJSPHSK-UHFFFAOYSA-N 0 0 425.442 -0.272 20 0 IBADRN Cn1ncc(NC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1C1CC1 ZINC000770747480 1073668580 /nfs/dbraw/zinc/66/85/80/1073668580.db2.gz MDQQEWPRXNOFJS-UHFFFAOYSA-N 0 0 426.481 -0.119 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N(C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000770750753 1073668451 /nfs/dbraw/zinc/66/84/51/1073668451.db2.gz YPWKNMBDJFLYOV-UHFFFAOYSA-N 0 0 442.538 -0.124 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnn(C)c3C3CC3)CC2)cn1C ZINC000770758690 1073667983 /nfs/dbraw/zinc/66/79/83/1073667983.db2.gz PXMDVQWXPKITBF-UHFFFAOYSA-N 0 0 435.510 -0.189 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1C1CC1 ZINC000770763491 1073668002 /nfs/dbraw/zinc/66/80/02/1073668002.db2.gz FQGUOAGJSRFKRR-AWEZNQCLSA-N 0 0 425.511 -0.111 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1C1CC1 ZINC000770763492 1073667951 /nfs/dbraw/zinc/66/79/51/1073667951.db2.gz FQGUOAGJSRFKRR-CQSZACIVSA-N 0 0 425.511 -0.111 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000770772677 1073667926 /nfs/dbraw/zinc/66/79/26/1073667926.db2.gz BLMKSHAHIRMPCK-GFCCVEGCSA-N 0 0 432.515 -0.026 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC000770772681 1073667892 /nfs/dbraw/zinc/66/78/92/1073667892.db2.gz BLMKSHAHIRMPCK-LBPRGKRZSA-N 0 0 432.515 -0.026 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000770776640 1073668563 /nfs/dbraw/zinc/66/85/63/1073668563.db2.gz VITRNEYDBNUGDQ-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000770776648 1073668616 /nfs/dbraw/zinc/66/86/16/1073668616.db2.gz VITRNEYDBNUGDQ-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN Cn1ncc(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)c1C1CC1 ZINC000770779707 1073668650 /nfs/dbraw/zinc/66/86/50/1073668650.db2.gz LSTNMDOMUJDAOD-UHFFFAOYSA-N 0 0 446.489 -0.005 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000770787568 1073668432 /nfs/dbraw/zinc/66/84/32/1073668432.db2.gz PDJVKGLXBHKWDZ-UHFFFAOYSA-N 0 0 432.872 -0.072 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCc1nnnn1CC1CC1 ZINC000770788154 1073668467 /nfs/dbraw/zinc/66/84/67/1073668467.db2.gz VSHQRMMPAFOKOG-UHFFFAOYSA-N 0 0 433.494 -0.461 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1 ZINC000770790850 1073668518 /nfs/dbraw/zinc/66/85/18/1073668518.db2.gz GVLWKZKRXPXXIX-AWEZNQCLSA-N 0 0 435.485 -0.034 20 0 IBADRN CCOC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1 ZINC000770790851 1073668627 /nfs/dbraw/zinc/66/86/27/1073668627.db2.gz GVLWKZKRXPXXIX-CQSZACIVSA-N 0 0 435.485 -0.034 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000770791793 1073668621 /nfs/dbraw/zinc/66/86/21/1073668621.db2.gz KCBDFOBIKWKHRN-AWEZNQCLSA-N 0 0 442.494 -0.103 20 0 IBADRN CCOC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000770791795 1073668478 /nfs/dbraw/zinc/66/84/78/1073668478.db2.gz KCBDFOBIKWKHRN-CQSZACIVSA-N 0 0 442.494 -0.103 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)C1 ZINC000770793007 1073668646 /nfs/dbraw/zinc/66/86/46/1073668646.db2.gz LGHOYAGHKPDAPF-HNNXBMFYSA-N 0 0 434.449 -0.196 20 0 IBADRN CCOC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)C1 ZINC000770793009 1073668605 /nfs/dbraw/zinc/66/86/05/1073668605.db2.gz LGHOYAGHKPDAPF-OAHLLOKOSA-N 0 0 434.449 -0.196 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)cc2)c1=O ZINC000770804520 1073669325 /nfs/dbraw/zinc/66/93/25/1073669325.db2.gz KMDWRLHFXXUFTJ-AWEZNQCLSA-N 0 0 432.462 -0.325 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)cc2)c1=O ZINC000770804523 1073669247 /nfs/dbraw/zinc/66/92/47/1073669247.db2.gz KMDWRLHFXXUFTJ-CQSZACIVSA-N 0 0 432.462 -0.325 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@H](CO)C[C@H](O)c1ccccc1 ZINC000770824037 1073669144 /nfs/dbraw/zinc/66/91/44/1073669144.db2.gz AJWNHEHDMOCHBK-BBRMVZONSA-N 0 0 435.458 -0.157 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@@H](CO)C[C@H](O)c1ccccc1 ZINC000770824039 1073669217 /nfs/dbraw/zinc/66/92/17/1073669217.db2.gz AJWNHEHDMOCHBK-CJNGLKHVSA-N 0 0 435.458 -0.157 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@@H](CO)C[C@@H](O)c1ccccc1 ZINC000770824042 1073669295 /nfs/dbraw/zinc/66/92/95/1073669295.db2.gz AJWNHEHDMOCHBK-CZUORRHYSA-N 0 0 435.458 -0.157 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N[C@H](CO)C[C@@H](O)c1ccccc1 ZINC000770824044 1073669273 /nfs/dbraw/zinc/66/92/73/1073669273.db2.gz AJWNHEHDMOCHBK-XJKSGUPXSA-N 0 0 435.458 -0.157 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000770852142 1073669042 /nfs/dbraw/zinc/66/90/42/1073669042.db2.gz IURJAOGYPDWKBI-UHFFFAOYSA-N 0 0 444.535 -0.764 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O)C1=NN(CCO)C(=O)CC1 ZINC000770855643 1073669119 /nfs/dbraw/zinc/66/91/19/1073669119.db2.gz PTLJAOCGSDIFRO-UHFFFAOYSA-N 0 0 426.451 -0.678 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000770898965 1073670538 /nfs/dbraw/zinc/67/05/38/1073670538.db2.gz WYSYYCLEVFMRIS-SECBINFHSA-N 0 0 447.315 -0.567 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C1 ZINC000770898967 1073670416 /nfs/dbraw/zinc/67/04/16/1073670416.db2.gz WYSYYCLEVFMRIS-VIFPVBQESA-N 0 0 447.315 -0.567 20 0 IBADRN CCN1CCN(CCc2noc(Cn3c4ccccc4c(=O)n(CC)c3=O)n2)C(=O)C1=O ZINC000770901703 1073670388 /nfs/dbraw/zinc/67/03/88/1073670388.db2.gz JRZNWCLNMXMCPT-UHFFFAOYSA-N 0 0 440.460 -0.152 20 0 IBADRN CCN1CCN(CCc2noc(CN3C(=O)c4ccccc4S3(=O)=O)n2)C(=O)C1=O ZINC000770902655 1073670524 /nfs/dbraw/zinc/67/05/24/1073670524.db2.gz ONVHNRICPFLENK-UHFFFAOYSA-N 0 0 433.446 -0.353 20 0 IBADRN CCn1c(=O)c2ccccc2n(Cc2nc(CCN(C)S(=O)(=O)N(C)C)no2)c1=O ZINC000770919563 1073671205 /nfs/dbraw/zinc/67/12/05/1073671205.db2.gz RAQNVMVRWWYQHU-UHFFFAOYSA-N 0 0 436.494 -0.105 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@@H](CO)C1CC1)c(=O)n2Cc1ccccc1 ZINC000771001137 1073671321 /nfs/dbraw/zinc/67/13/21/1073671321.db2.gz SNNWHUMYYKEMPE-KRWDZBQOSA-N 0 0 441.488 -0.059 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H](CO)C1CC1)c(=O)n2Cc1ccccc1 ZINC000771001138 1073671332 /nfs/dbraw/zinc/67/13/32/1073671332.db2.gz SNNWHUMYYKEMPE-QGZVFWFLSA-N 0 0 441.488 -0.059 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN([C@@H]3CCOC3)CC1)c2=O ZINC000771035244 1073671250 /nfs/dbraw/zinc/67/12/50/1073671250.db2.gz SHSXDRIHXOQQPX-LLVKDONJSA-N 0 0 425.287 -0.174 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN([C@H]3CCOC3)CC1)c2=O ZINC000771035245 1073671272 /nfs/dbraw/zinc/67/12/72/1073671272.db2.gz SHSXDRIHXOQQPX-NSHDSACASA-N 0 0 425.287 -0.174 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)NCCOCC(N)=O)c2)CC1 ZINC000771040490 1073671245 /nfs/dbraw/zinc/67/12/45/1073671245.db2.gz KFELRHSYXPAASJ-UHFFFAOYSA-N 0 0 432.930 -0.102 20 0 IBADRN COC(=O)N1CC(NC(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000771076329 1073671298 /nfs/dbraw/zinc/67/12/98/1073671298.db2.gz JOLRQYRORMKHJJ-UHFFFAOYSA-N 0 0 427.479 -0.175 20 0 IBADRN COC(=O)N1CC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cc(OC)c2OC)C1 ZINC000771077151 1073671306 /nfs/dbraw/zinc/67/13/06/1073671306.db2.gz QDEDMQXGBJIYET-UHFFFAOYSA-N 0 0 443.478 -0.095 20 0 IBADRN COC(=O)N1CC(NC(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC000771077172 1073671290 /nfs/dbraw/zinc/67/12/90/1073671290.db2.gz QNTCXUWPRAWONZ-UHFFFAOYSA-N 0 0 426.495 -0.046 20 0 IBADRN C[C@H]1OCC[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000771086326 1073671914 /nfs/dbraw/zinc/67/19/14/1073671914.db2.gz IAEVCDJKJBCQQK-FZKCQIBNSA-N 0 0 426.466 -0.406 20 0 IBADRN C[C@H]1OCC[C@H]1C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000771086328 1073671845 /nfs/dbraw/zinc/67/18/45/1073671845.db2.gz IAEVCDJKJBCQQK-GEEKYZPCSA-N 0 0 426.466 -0.406 20 0 IBADRN C[C@@H]1OCC[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000771086329 1073671857 /nfs/dbraw/zinc/67/18/57/1073671857.db2.gz IAEVCDJKJBCQQK-VGWMRTNUSA-N 0 0 426.466 -0.406 20 0 IBADRN C[C@@H]1OCC[C@H]1C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000771086330 1073671964 /nfs/dbraw/zinc/67/19/64/1073671964.db2.gz IAEVCDJKJBCQQK-YHUYYLMFSA-N 0 0 426.466 -0.406 20 0 IBADRN COC(=O)N1CC(NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000771089904 1073672046 /nfs/dbraw/zinc/67/20/46/1073672046.db2.gz RXOMQKAEKUMMQK-AWEZNQCLSA-N 0 0 433.508 -0.665 20 0 IBADRN COC(=O)N1CC(NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC000771089905 1073671892 /nfs/dbraw/zinc/67/18/92/1073671892.db2.gz RXOMQKAEKUMMQK-CQSZACIVSA-N 0 0 433.508 -0.665 20 0 IBADRN COC(=O)N1CC(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC000771090575 1073671759 /nfs/dbraw/zinc/67/17/59/1073671759.db2.gz YSMDDKZZHIRKEG-UHFFFAOYSA-N 0 0 438.506 -0.134 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Cc2c(C)[nH]c3nn(C)c(=O)c-3c2C)CC1 ZINC000771094706 1073671931 /nfs/dbraw/zinc/67/19/31/1073671931.db2.gz YXCFLKUBVOPBPF-UHFFFAOYSA-N 0 0 439.538 -0.069 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)N2CCN(CC(N)=O)CC2)C1=O ZINC000771095113 1073671871 /nfs/dbraw/zinc/67/18/71/1073671871.db2.gz MGYHDEUXWWJWOF-GOSISDBHSA-N 0 0 425.848 -0.124 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)N2CCN(CC(N)=O)CC2)C1=O ZINC000771095115 1073671923 /nfs/dbraw/zinc/67/19/23/1073671923.db2.gz MGYHDEUXWWJWOF-SFHVURJKSA-N 0 0 425.848 -0.124 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cnc3c(c2)NC(=O)CO3)c(=O)n(C)c1=O ZINC000771096730 1073671946 /nfs/dbraw/zinc/67/19/46/1073671946.db2.gz FNRFUOUQPHZRRP-UHFFFAOYSA-N 0 0 431.405 -0.449 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000771097146 1073671986 /nfs/dbraw/zinc/67/19/86/1073671986.db2.gz KJGLBYYKYWVLGC-UHFFFAOYSA-N 0 0 432.414 -0.194 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cnc3c(c2)NC(=O)CO3)CC1 ZINC000771098340 1073672010 /nfs/dbraw/zinc/67/20/10/1073672010.db2.gz KSWAHENCSQSMIG-UHFFFAOYSA-N 0 0 433.465 -0.372 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2cnc3c(c2)NC(=O)CO3)cc1 ZINC000771100924 1073671829 /nfs/dbraw/zinc/67/18/29/1073671829.db2.gz HTDDNXQPWVPBRC-UHFFFAOYSA-N 0 0 434.430 -0.424 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1cnc2c(c1)NC(=O)CO2)S(C)(=O)=O ZINC000771102171 1073671784 /nfs/dbraw/zinc/67/17/84/1073671784.db2.gz PGAVOXWKVDXFEW-UHFFFAOYSA-N 0 0 428.467 -0.254 20 0 IBADRN C[C@@H](OC(=O)c1cnc2c(c1)NC(=O)CO2)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000771102344 1073672021 /nfs/dbraw/zinc/67/20/21/1073672021.db2.gz YDXNOYGURIUHFG-LLVKDONJSA-N 0 0 448.457 -0.036 20 0 IBADRN C[C@H](OC(=O)c1cnc2c(c1)NC(=O)CO2)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000771102347 1073671904 /nfs/dbraw/zinc/67/19/04/1073671904.db2.gz YDXNOYGURIUHFG-NSHDSACASA-N 0 0 448.457 -0.036 20 0 IBADRN CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000771102662 1073671994 /nfs/dbraw/zinc/67/19/94/1073671994.db2.gz FDZZEISNPWNPJM-OAHLLOKOSA-N 0 0 433.575 -0.074 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000771114738 1073672032 /nfs/dbraw/zinc/67/20/32/1073672032.db2.gz SPICIIWHWSUABR-GFCCVEGCSA-N 0 0 426.520 -0.593 20 0 IBADRN CS(=O)(=O)NCCNC(=S)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000771114739 1073672530 /nfs/dbraw/zinc/67/25/30/1073672530.db2.gz SPICIIWHWSUABR-LBPRGKRZSA-N 0 0 426.520 -0.593 20 0 IBADRN Cc1cnc(N2CCN(CC[S@@](C)=O)CC2)nc1N1CCN(CC[S@@](C)=O)CC1 ZINC000771147250 1073672592 /nfs/dbraw/zinc/67/25/92/1073672592.db2.gz PTGFZNDJFCOBAN-FQLXRVMXSA-N 0 0 442.655 -0.214 20 0 IBADRN Cc1cnc(N2CCN(CC[S@@](C)=O)CC2)nc1N1CCN(CC[S@](C)=O)CC1 ZINC000771147257 1073672520 /nfs/dbraw/zinc/67/25/20/1073672520.db2.gz PTGFZNDJFCOBAN-URLMMPGGSA-N 0 0 442.655 -0.214 20 0 IBADRN Cc1cnc(N2CCN(CC[S@](C)=O)CC2)nc1N1CCN(CC[S@](C)=O)CC1 ZINC000771147259 1073672340 /nfs/dbraw/zinc/67/23/40/1073672340.db2.gz PTGFZNDJFCOBAN-VMPREFPWSA-N 0 0 442.655 -0.214 20 0 IBADRN Cc1cnc(N2CCN(CC[S@](C)=O)CC2)nc1N1CCN(CC[S@@](C)=O)CC1 ZINC000771147261 1073672636 /nfs/dbraw/zinc/67/26/36/1073672636.db2.gz PTGFZNDJFCOBAN-WDYNHAJCSA-N 0 0 442.655 -0.214 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CC(=O)N(c3c(F)cccc3F)C2)c(=O)n(C)c1=O ZINC000771147966 1073672376 /nfs/dbraw/zinc/67/23/76/1073672376.db2.gz GUYWSESCKZAPMH-SECBINFHSA-N 0 0 436.371 -0.277 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CC(=O)N(c3c(F)cccc3F)C2)c(=O)n(C)c1=O ZINC000771147972 1073672581 /nfs/dbraw/zinc/67/25/81/1073672581.db2.gz GUYWSESCKZAPMH-VIFPVBQESA-N 0 0 436.371 -0.277 20 0 IBADRN COc1ccc2c(c1)[C@]1(CC2)NC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C1=O ZINC000771149678 1073672614 /nfs/dbraw/zinc/67/26/14/1073672614.db2.gz HQFZSWRHVNYEOU-IBGZPJMESA-N 0 0 438.506 -0.214 20 0 IBADRN COc1ccc2c(c1)[C@@]1(CC2)NC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C1=O ZINC000771149684 1073672468 /nfs/dbraw/zinc/67/24/68/1073672468.db2.gz HQFZSWRHVNYEOU-LJQANCHMSA-N 0 0 438.506 -0.214 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)C3CC3)CC1)C2=O ZINC000771156150 1073672403 /nfs/dbraw/zinc/67/24/03/1073672403.db2.gz IFOQJZUYTUYOGM-NRFANRHFSA-N 0 0 449.508 -0.001 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C1)NC(=O)N(CC(=O)N1CCN(C(=O)C3CC3)CC1)C2=O ZINC000771156151 1073672361 /nfs/dbraw/zinc/67/23/61/1073672361.db2.gz IFOQJZUYTUYOGM-OAQYLSRUSA-N 0 0 449.508 -0.001 20 0 IBADRN COc1ccc2c(c1)[C@]1(CC2)NC(=O)N(CC(=O)N(C)CC(=O)N2CCOCC2)C1=O ZINC000771157404 1073672506 /nfs/dbraw/zinc/67/25/06/1073672506.db2.gz GLWUYIRQCFCAFL-NRFANRHFSA-N 0 0 430.461 -0.294 20 0 IBADRN COc1ccc2c(c1)[C@@]1(CC2)NC(=O)N(CC(=O)N(C)CC(=O)N2CCOCC2)C1=O ZINC000771157405 1073672321 /nfs/dbraw/zinc/67/23/21/1073672321.db2.gz GLWUYIRQCFCAFL-OAQYLSRUSA-N 0 0 430.461 -0.294 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1C(=O)N[C@]2(CCN(C(=O)OC(C)(C)C)C2)C1=O ZINC000771158751 1073672389 /nfs/dbraw/zinc/67/23/89/1073672389.db2.gz QUUQIWPEJRAYFR-IBGZPJMESA-N 0 0 425.486 -0.051 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1C(=O)N[C@@]2(CCN(C(=O)OC(C)(C)C)C2)C1=O ZINC000771158758 1073672445 /nfs/dbraw/zinc/67/24/45/1073672445.db2.gz QUUQIWPEJRAYFR-LJQANCHMSA-N 0 0 425.486 -0.051 20 0 IBADRN Cc1sc2nnn(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)c(=O)c2c1C ZINC000771160857 1073672491 /nfs/dbraw/zinc/67/24/91/1073672491.db2.gz BGYMAHUKBYJTPJ-UHFFFAOYSA-N 0 0 428.540 -0.378 20 0 IBADRN Cc1sc2nnn(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c(=O)c2c1C ZINC000771164347 1073672544 /nfs/dbraw/zinc/67/25/44/1073672544.db2.gz REFYTDJDUPMKBT-UHFFFAOYSA-N 0 0 441.583 -0.463 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc1F ZINC000771175668 1073673219 /nfs/dbraw/zinc/67/32/19/1073673219.db2.gz JCUNEGOUQRKEFZ-UHFFFAOYSA-N 0 0 445.516 -0.863 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CC1 ZINC000771182788 1073673158 /nfs/dbraw/zinc/67/31/58/1073673158.db2.gz NPAJXQUHAUVRGX-UHFFFAOYSA-N 0 0 437.471 -0.407 20 0 IBADRN CCN(C1CN(C(=O)OC(C)(C)C)C1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000771184428 1073673851 /nfs/dbraw/zinc/67/38/51/1073673851.db2.gz OJPSHYAUCVATJV-UHFFFAOYSA-N 0 0 426.561 -0.250 20 0 IBADRN COCCN1C(=O)c2ccc(-c3nc(-c4cn(C)c(=O)[nH]c4=O)no3)cc2S1(=O)=O ZINC000771187598 1073673813 /nfs/dbraw/zinc/67/38/13/1073673813.db2.gz MLXHUWYKVDITHV-UHFFFAOYSA-N 0 0 433.402 -0.006 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2C(=O)N[C@]3(CCN(C(=O)OC(C)(C)C)C3)C2=O)CC1 ZINC000771187835 1073673959 /nfs/dbraw/zinc/67/39/59/1073673959.db2.gz BHDUIPLGSPACKL-RBZFPXEDSA-N 0 0 437.497 -0.003 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2C(=O)N[C@@]3(CCN(C(=O)OC(C)(C)C)C3)C2=O)CC1 ZINC000771187836 1073673798 /nfs/dbraw/zinc/67/37/98/1073673798.db2.gz BHDUIPLGSPACKL-RNODOKPDSA-N 0 0 437.497 -0.003 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2C(=O)N[C@]3(CCN(C(=O)OC(C)(C)C)C3)C2=O)CC1 ZINC000771187837 1073673829 /nfs/dbraw/zinc/67/38/29/1073673829.db2.gz BHDUIPLGSPACKL-XCLFUZPHSA-N 0 0 437.497 -0.003 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2C(=O)N[C@@]3(CCN(C(=O)OC(C)(C)C)C3)C2=O)CC1 ZINC000771187838 1073673938 /nfs/dbraw/zinc/67/39/38/1073673938.db2.gz BHDUIPLGSPACKL-ZUOKHONESA-N 0 0 437.497 -0.003 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)CC1 ZINC000771190711 1073673784 /nfs/dbraw/zinc/67/37/84/1073673784.db2.gz GMFUTJHYKSNVPK-AWEZNQCLSA-N 0 0 438.514 -0.346 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)CC1 ZINC000771190728 1073673871 /nfs/dbraw/zinc/67/38/71/1073673871.db2.gz GMFUTJHYKSNVPK-CQSZACIVSA-N 0 0 438.514 -0.346 20 0 IBADRN COC(=O)CNC(=O)COC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000771191081 1073673880 /nfs/dbraw/zinc/67/38/80/1073673880.db2.gz OFMYFGATLOMQBM-AAEUAGOBSA-N 0 0 434.854 -0.704 20 0 IBADRN COC(=O)CNC(=O)COC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000771191149 1073673889 /nfs/dbraw/zinc/67/38/89/1073673889.db2.gz OFMYFGATLOMQBM-DGCLKSJQSA-N 0 0 434.854 -0.704 20 0 IBADRN COC(=O)CNC(=O)COC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000771191150 1073673863 /nfs/dbraw/zinc/67/38/63/1073673863.db2.gz OFMYFGATLOMQBM-WCQYABFASA-N 0 0 434.854 -0.704 20 0 IBADRN COC(=O)CNC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000771191151 1073673925 /nfs/dbraw/zinc/67/39/25/1073673925.db2.gz OFMYFGATLOMQBM-YPMHNXCESA-N 0 0 434.854 -0.704 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn1 ZINC000771192271 1073673096 /nfs/dbraw/zinc/67/30/96/1073673096.db2.gz DDBZSQDINJOUOI-UHFFFAOYSA-N 0 0 449.514 -0.103 20 0 IBADRN C[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCOCC2)CCN1c1nccn2cnnc12 ZINC000771206407 1073673054 /nfs/dbraw/zinc/67/30/54/1073673054.db2.gz FLFSAVCSAVXECP-AWEZNQCLSA-N 0 0 438.514 -0.994 20 0 IBADRN C[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCOCC2)CCN1c1nccn2cnnc12 ZINC000771206413 1073673091 /nfs/dbraw/zinc/67/30/91/1073673091.db2.gz FLFSAVCSAVXECP-CQSZACIVSA-N 0 0 438.514 -0.994 20 0 IBADRN O=C(c1ccc(-c2nc[nH]n2)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000771207220 1073673034 /nfs/dbraw/zinc/67/30/34/1073673034.db2.gz ATWNAODWSYDGPI-HNNXBMFYSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(c1ccc(-c2nc[nH]n2)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000771207223 1073673258 /nfs/dbraw/zinc/67/32/58/1073673258.db2.gz ATWNAODWSYDGPI-OAHLLOKOSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccccn1 ZINC000771209613 1073675187 /nfs/dbraw/zinc/67/51/87/1073675187.db2.gz JWWNJOBCNNRZLI-AWEZNQCLSA-N 0 0 429.520 -0.294 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccccn1 ZINC000771209614 1073675195 /nfs/dbraw/zinc/67/51/95/1073675195.db2.gz JWWNJOBCNNRZLI-CQSZACIVSA-N 0 0 429.520 -0.294 20 0 IBADRN Cn1ncc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C1CC1 ZINC000771210033 1073675136 /nfs/dbraw/zinc/67/51/36/1073675136.db2.gz DQVFIGOZKTUHAW-CYBMUJFWSA-N 0 0 431.540 -0.036 20 0 IBADRN Cn1ncc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C1CC1 ZINC000771210034 1073675204 /nfs/dbraw/zinc/67/52/04/1073675204.db2.gz DQVFIGOZKTUHAW-ZDUSSCGKSA-N 0 0 431.540 -0.036 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000771210983 1073675166 /nfs/dbraw/zinc/67/51/66/1073675166.db2.gz CTQMFWZVOSUEPA-AWEZNQCLSA-N 0 0 439.490 -0.246 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC000771210984 1073675201 /nfs/dbraw/zinc/67/52/01/1073675201.db2.gz CTQMFWZVOSUEPA-CQSZACIVSA-N 0 0 439.490 -0.246 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(SC(F)F)nc2)CC1 ZINC000771223219 1073675175 /nfs/dbraw/zinc/67/51/75/1073675175.db2.gz OOEIRXWJQNCKGM-UHFFFAOYSA-N 0 0 426.409 -0.308 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(CC(=O)NC3CC3)CC2)C1=O ZINC000771237271 1073673805 /nfs/dbraw/zinc/67/38/05/1073673805.db2.gz YGVZLSJMFRHQGB-JOCHJYFZSA-N 0 0 443.504 -0.115 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(CC(=O)NC3CC3)CC2)C1=O ZINC000771237275 1073673932 /nfs/dbraw/zinc/67/39/32/1073673932.db2.gz YGVZLSJMFRHQGB-QFIPXVFZSA-N 0 0 443.504 -0.115 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000771237715 1073673975 /nfs/dbraw/zinc/67/39/75/1073673975.db2.gz ZIMODMRMDWMVFH-NRFANRHFSA-N 0 0 431.493 -0.305 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000771237716 1073673839 /nfs/dbraw/zinc/67/38/39/1073673839.db2.gz ZIMODMRMDWMVFH-OAQYLSRUSA-N 0 0 431.493 -0.305 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CCN1c1nccn2cnnc12 ZINC000771240748 1073674471 /nfs/dbraw/zinc/67/44/71/1073674471.db2.gz QIZMOYFJLNDAHE-CYBMUJFWSA-N 0 0 433.436 -0.224 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CCN1c1nccn2cnnc12 ZINC000771240749 1073674423 /nfs/dbraw/zinc/67/44/23/1073674423.db2.gz QIZMOYFJLNDAHE-ZDUSSCGKSA-N 0 0 433.436 -0.224 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)C1 ZINC000771241487 1073674463 /nfs/dbraw/zinc/67/44/63/1073674463.db2.gz ACIZSLFCVNCION-AWEZNQCLSA-N 0 0 448.523 -0.653 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)C1 ZINC000771241488 1073674510 /nfs/dbraw/zinc/67/45/10/1073674510.db2.gz ACIZSLFCVNCION-CQSZACIVSA-N 0 0 448.523 -0.653 20 0 IBADRN COC(=O)C[C@H](NC(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O)C(=O)OC ZINC000771241718 1073674489 /nfs/dbraw/zinc/67/44/89/1073674489.db2.gz BNLXDTIGIVEDOO-RBZFPXEDSA-N 0 0 435.433 -0.008 20 0 IBADRN COC(=O)C[C@H](NC(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O)C(=O)OC ZINC000771241721 1073674492 /nfs/dbraw/zinc/67/44/92/1073674492.db2.gz BNLXDTIGIVEDOO-RNODOKPDSA-N 0 0 435.433 -0.008 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O)C(=O)OC ZINC000771241723 1073674478 /nfs/dbraw/zinc/67/44/78/1073674478.db2.gz BNLXDTIGIVEDOO-XCLFUZPHSA-N 0 0 435.433 -0.008 20 0 IBADRN COC(=O)C[C@@H](NC(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O)C(=O)OC ZINC000771241725 1073674485 /nfs/dbraw/zinc/67/44/85/1073674485.db2.gz BNLXDTIGIVEDOO-ZUOKHONESA-N 0 0 435.433 -0.008 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NC2CCN(CC(N)=O)CC2)C1=O ZINC000771242260 1073674438 /nfs/dbraw/zinc/67/44/38/1073674438.db2.gz FOYCWVNOLFNXSW-NRFANRHFSA-N 0 0 431.493 -0.163 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NC2CCN(CC(N)=O)CC2)C1=O ZINC000771242278 1073674455 /nfs/dbraw/zinc/67/44/55/1073674455.db2.gz FOYCWVNOLFNXSW-OAQYLSRUSA-N 0 0 431.493 -0.163 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000771243704 1073674449 /nfs/dbraw/zinc/67/44/49/1073674449.db2.gz INQSLRWGGJPOKC-HNNXBMFYSA-N 0 0 447.535 -0.411 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000771243705 1073674506 /nfs/dbraw/zinc/67/45/06/1073674506.db2.gz INQSLRWGGJPOKC-OAHLLOKOSA-N 0 0 447.535 -0.411 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCCN(S(C)(=O)=O)CC2)C1=O ZINC000771244963 1073674502 /nfs/dbraw/zinc/67/45/02/1073674502.db2.gz KBKZWUGNERWXMD-IBGZPJMESA-N 0 0 438.506 -0.044 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCCN(S(C)(=O)=O)CC2)C1=O ZINC000771244965 1073674433 /nfs/dbraw/zinc/67/44/33/1073674433.db2.gz KBKZWUGNERWXMD-LJQANCHMSA-N 0 0 438.506 -0.044 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCCNS(C)(=O)=O)C1=O ZINC000771245014 1073674481 /nfs/dbraw/zinc/67/44/81/1073674481.db2.gz MBGNLGRCZYLIQI-HNNXBMFYSA-N 0 0 447.311 -0.119 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCCNS(C)(=O)=O)C1=O ZINC000771245015 1073674514 /nfs/dbraw/zinc/67/45/14/1073674514.db2.gz MBGNLGRCZYLIQI-OAHLLOKOSA-N 0 0 447.311 -0.119 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCC3(CC2)NC(=O)NC3=O)C1=O ZINC000771246537 1073674402 /nfs/dbraw/zinc/67/44/02/1073674402.db2.gz UKCCZFUEJSNCES-FQEVSTJZSA-N 0 0 443.460 -0.029 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC3(CC2)NC(=O)NC3=O)C1=O ZINC000771246540 1073675183 /nfs/dbraw/zinc/67/51/83/1073675183.db2.gz UKCCZFUEJSNCES-HXUWFJFHSA-N 0 0 443.460 -0.029 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccc3OC)C2=O)CC1 ZINC000771248482 1073675162 /nfs/dbraw/zinc/67/51/62/1073675162.db2.gz DIALLQCNEOGVEI-NRFANRHFSA-N 0 0 431.493 -0.258 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccccc3OC)C2=O)CC1 ZINC000771248484 1073675083 /nfs/dbraw/zinc/67/50/83/1073675083.db2.gz DIALLQCNEOGVEI-OAQYLSRUSA-N 0 0 431.493 -0.258 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CN2C(=O)N[C@@](C)(c3ccccc3OC)C2=O)CC1 ZINC000771249974 1073675148 /nfs/dbraw/zinc/67/51/48/1073675148.db2.gz KDTRWZQGRMIHHF-NRFANRHFSA-N 0 0 431.493 -0.211 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CN2C(=O)N[C@](C)(c3ccccc3OC)C2=O)CC1 ZINC000771249975 1073675098 /nfs/dbraw/zinc/67/50/98/1073675098.db2.gz KDTRWZQGRMIHHF-OAQYLSRUSA-N 0 0 431.493 -0.211 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCCCNS(C)(=O)=O)C1=O ZINC000771253742 1073675871 /nfs/dbraw/zinc/67/58/71/1073675871.db2.gz ZJSKOKHBXWAVNG-GOSISDBHSA-N 0 0 426.495 -0.174 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCCCNS(C)(=O)=O)C1=O ZINC000771253747 1073675957 /nfs/dbraw/zinc/67/59/57/1073675957.db2.gz ZJSKOKHBXWAVNG-SFHVURJKSA-N 0 0 426.495 -0.174 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC000771256069 1073675928 /nfs/dbraw/zinc/67/59/28/1073675928.db2.gz NFLSFFSQDZWNGC-GOSISDBHSA-N 0 0 426.495 -0.174 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC000771256073 1073675972 /nfs/dbraw/zinc/67/59/72/1073675972.db2.gz NFLSFFSQDZWNGC-SFHVURJKSA-N 0 0 426.495 -0.174 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCCN2CCCS2(=O)=O)C1=O ZINC000771256896 1073675904 /nfs/dbraw/zinc/67/59/04/1073675904.db2.gz RFRYKEGMPKHABX-IBGZPJMESA-N 0 0 438.506 -0.078 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCCN2CCCS2(=O)=O)C1=O ZINC000771256898 1073675977 /nfs/dbraw/zinc/67/59/77/1073675977.db2.gz RFRYKEGMPKHABX-LJQANCHMSA-N 0 0 438.506 -0.078 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@@](C)(c2ccccc2OC)C1=O ZINC000771262252 1073675975 /nfs/dbraw/zinc/67/59/75/1073675975.db2.gz BTAHSPJSWTUYNI-RBZFPXEDSA-N 0 0 432.433 -0.648 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@](C)(c2ccccc2OC)C1=O ZINC000771262257 1073675964 /nfs/dbraw/zinc/67/59/64/1073675964.db2.gz BTAHSPJSWTUYNI-RNODOKPDSA-N 0 0 432.433 -0.648 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@@](C)(c2ccccc2OC)C1=O ZINC000771262260 1073675945 /nfs/dbraw/zinc/67/59/45/1073675945.db2.gz BTAHSPJSWTUYNI-XCLFUZPHSA-N 0 0 432.433 -0.648 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@](C)(c2ccccc2OC)C1=O ZINC000771262265 1073675938 /nfs/dbraw/zinc/67/59/38/1073675938.db2.gz BTAHSPJSWTUYNI-ZUOKHONESA-N 0 0 432.433 -0.648 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC000771265438 1073675797 /nfs/dbraw/zinc/67/57/97/1073675797.db2.gz HIIXRGHEVPWBAS-LHSJRXKWSA-N 0 0 446.460 -0.339 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC000771265442 1073675813 /nfs/dbraw/zinc/67/58/13/1073675813.db2.gz HIIXRGHEVPWBAS-QKKBWIMNSA-N 0 0 446.460 -0.339 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC000771265444 1073675968 /nfs/dbraw/zinc/67/59/68/1073675968.db2.gz HIIXRGHEVPWBAS-SPLOXXLWSA-N 0 0 446.460 -0.339 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC000771265447 1073675861 /nfs/dbraw/zinc/67/58/61/1073675861.db2.gz HIIXRGHEVPWBAS-SZNDQCEHSA-N 0 0 446.460 -0.339 20 0 IBADRN Cc1nn(CC(=O)N2CCC3(CC2)OCCO3)c(C)c1S(=O)(=O)N1CCOCC1 ZINC000771267662 1073676309 /nfs/dbraw/zinc/67/63/09/1073676309.db2.gz NZHGDAUZGXYTHH-UHFFFAOYSA-N 0 0 428.511 -0.114 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCOCCS(C)(=O)=O)C1=O ZINC000771267768 1073676467 /nfs/dbraw/zinc/67/64/67/1073676467.db2.gz MUKYZYQQGIGJLA-GOSISDBHSA-N 0 0 427.479 -0.360 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCOCCS(C)(=O)=O)C1=O ZINC000771267771 1073676398 /nfs/dbraw/zinc/67/63/98/1073676398.db2.gz MUKYZYQQGIGJLA-SFHVURJKSA-N 0 0 427.479 -0.360 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCC(C)(C)NS(C)(=O)=O)C1=O ZINC000771268726 1073676379 /nfs/dbraw/zinc/67/63/79/1073676379.db2.gz TXNBXXYAGLRWNW-GOSISDBHSA-N 0 0 426.495 -0.094 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCC(C)(C)NS(C)(=O)=O)C1=O ZINC000771268727 1073676453 /nfs/dbraw/zinc/67/64/53/1073676453.db2.gz TXNBXXYAGLRWNW-SFHVURJKSA-N 0 0 426.495 -0.094 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCCOCCS(C)(=O)=O)C1=O ZINC000771269686 1073676432 /nfs/dbraw/zinc/67/64/32/1073676432.db2.gz QYURBJCHRQPVBB-IBGZPJMESA-N 0 0 441.506 -0.052 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCCOCCS(C)(=O)=O)C1=O ZINC000771269690 1073676266 /nfs/dbraw/zinc/67/62/66/1073676266.db2.gz QYURBJCHRQPVBB-LJQANCHMSA-N 0 0 441.506 -0.052 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCN2CCN(C(C)=O)CC2)C1=O ZINC000771275620 1073676427 /nfs/dbraw/zinc/67/64/27/1073676427.db2.gz NWABNQNRTXOVCL-NRFANRHFSA-N 0 0 431.493 -0.258 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCN2CCN(C(C)=O)CC2)C1=O ZINC000771275621 1073676407 /nfs/dbraw/zinc/67/64/07/1073676407.db2.gz NWABNQNRTXOVCL-OAQYLSRUSA-N 0 0 431.493 -0.258 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CN3C(=O)N[C@](C)(c4ccccc4OC)C3=O)CCN2C1=O ZINC000771277965 1073676320 /nfs/dbraw/zinc/67/63/20/1073676320.db2.gz XBKHWONJOQGYIJ-LHSJRXKWSA-N 0 0 443.460 -0.043 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CN3C(=O)N[C@@](C)(c4ccccc4OC)C3=O)CCN2C1=O ZINC000771277968 1073676445 /nfs/dbraw/zinc/67/64/45/1073676445.db2.gz XBKHWONJOQGYIJ-QKKBWIMNSA-N 0 0 443.460 -0.043 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CN3C(=O)N[C@](C)(c4ccccc4OC)C3=O)CCN2C1=O ZINC000771277970 1073676293 /nfs/dbraw/zinc/67/62/93/1073676293.db2.gz XBKHWONJOQGYIJ-SPLOXXLWSA-N 0 0 443.460 -0.043 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CN3C(=O)N[C@@](C)(c4ccccc4OC)C3=O)CCN2C1=O ZINC000771277973 1073676439 /nfs/dbraw/zinc/67/64/39/1073676439.db2.gz XBKHWONJOQGYIJ-SZNDQCEHSA-N 0 0 443.460 -0.043 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1-c1nc(Cn2nc3ccccn3c2=O)no1 ZINC000771278718 1073676284 /nfs/dbraw/zinc/67/62/84/1073676284.db2.gz BNHDMKDJIJTTCE-UHFFFAOYSA-N 0 0 445.461 -0.046 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCNS(=O)(=O)/C=C\c2ccccc2)C1 ZINC000771288526 1073675109 /nfs/dbraw/zinc/67/51/09/1073675109.db2.gz ZGXKWHJYDDWNOR-CKDIWJPDSA-N 0 0 430.552 -0.002 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CCNS(=O)(=O)/C=C\c2ccccc2)C1 ZINC000771288527 1073675207 /nfs/dbraw/zinc/67/52/07/1073675207.db2.gz ZGXKWHJYDDWNOR-HMWXGYMHSA-N 0 0 430.552 -0.002 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)CCNS(=O)(=O)/C=C/c2ccccc2)C1 ZINC000771288528 1073675171 /nfs/dbraw/zinc/67/51/71/1073675171.db2.gz ZGXKWHJYDDWNOR-ONOODXEBSA-N 0 0 430.552 -0.002 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)CCNS(=O)(=O)/C=C/c2ccccc2)C1 ZINC000771288529 1073675143 /nfs/dbraw/zinc/67/51/43/1073675143.db2.gz ZGXKWHJYDDWNOR-YHVDPYDOSA-N 0 0 430.552 -0.002 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)cc1)NCCS(=O)(=O)N1CCOCC1 ZINC000771290947 1073675918 /nfs/dbraw/zinc/67/59/18/1073675918.db2.gz SJGQUYMXPRCKIL-UHFFFAOYSA-N 0 0 434.312 -0.043 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)c(=O)n(C)c1=O ZINC000771293460 1073676327 /nfs/dbraw/zinc/67/63/27/1073676327.db2.gz QBUSIXXXVIWHOV-KIUWMYQTSA-N 0 0 438.440 -0.379 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)c(=O)n(C)c1=O ZINC000771293461 1073676358 /nfs/dbraw/zinc/67/63/58/1073676358.db2.gz QBUSIXXXVIWHOV-LZNQSLFOSA-N 0 0 438.440 -0.379 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)c(=O)n(C)c1=O ZINC000771293462 1073677165 /nfs/dbraw/zinc/67/71/65/1073677165.db2.gz QBUSIXXXVIWHOV-MVGZEHJDSA-N 0 0 438.440 -0.379 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)c(=O)n(C)c1=O ZINC000771293463 1073677158 /nfs/dbraw/zinc/67/71/58/1073677158.db2.gz QBUSIXXXVIWHOV-ZPIQOJFGSA-N 0 0 438.440 -0.379 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)N2CCN(S(N)(=O)=O)CC2)C1=O ZINC000771304469 1073677169 /nfs/dbraw/zinc/67/71/69/1073677169.db2.gz IORSMROEZPEWSS-INIZCTEOSA-N 0 0 447.876 -0.406 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)N2CCN(S(N)(=O)=O)CC2)C1=O ZINC000771304485 1073677200 /nfs/dbraw/zinc/67/72/00/1073677200.db2.gz IORSMROEZPEWSS-MRXNPFEDSA-N 0 0 447.876 -0.406 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCN2CCS(=O)(=O)CC2)C1=O ZINC000771306243 1073677133 /nfs/dbraw/zinc/67/71/33/1073677133.db2.gz DQMSUQQUPOPQRC-IBGZPJMESA-N 0 0 438.506 -0.691 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCN2CCS(=O)(=O)CC2)C1=O ZINC000771306246 1073677153 /nfs/dbraw/zinc/67/71/53/1073677153.db2.gz DQMSUQQUPOPQRC-LJQANCHMSA-N 0 0 438.506 -0.691 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCS(=O)(=O)NC(C)C)C1=O ZINC000771311216 1073677178 /nfs/dbraw/zinc/67/71/78/1073677178.db2.gz GJEUVVNDQZTYKD-GOSISDBHSA-N 0 0 426.495 -0.094 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCS(=O)(=O)NC(C)C)C1=O ZINC000771311217 1073677199 /nfs/dbraw/zinc/67/71/99/1073677199.db2.gz GJEUVVNDQZTYKD-SFHVURJKSA-N 0 0 426.495 -0.094 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)CCNS(=O)(=O)c2ccc(F)cc2)cn1 ZINC000771311242 1073677202 /nfs/dbraw/zinc/67/72/02/1073677202.db2.gz HJMUXFKNDXXJFG-UHFFFAOYSA-N 0 0 434.471 -0.251 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC000771321228 1073677197 /nfs/dbraw/zinc/67/71/97/1073677197.db2.gz CEWGNLILPDPEBF-FQEVSTJZSA-N 0 0 435.433 -0.055 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC000771321229 1073677194 /nfs/dbraw/zinc/67/71/94/1073677194.db2.gz CEWGNLILPDPEBF-HXUWFJFHSA-N 0 0 435.433 -0.055 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC000771321820 1073677191 /nfs/dbraw/zinc/67/71/91/1073677191.db2.gz XKZKXZKAOITPMW-FQEVSTJZSA-N 0 0 434.449 -0.352 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC000771321862 1073677181 /nfs/dbraw/zinc/67/71/81/1073677181.db2.gz XKZKXZKAOITPMW-HXUWFJFHSA-N 0 0 434.449 -0.352 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCCCN2C(=O)CNC2=O)C1=O ZINC000771331894 1073677189 /nfs/dbraw/zinc/67/71/89/1073677189.db2.gz IZBGATHHHOOPOD-FQEVSTJZSA-N 0 0 431.449 -0.171 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCCCN2C(=O)CNC2=O)C1=O ZINC000771331895 1073677142 /nfs/dbraw/zinc/67/71/42/1073677142.db2.gz IZBGATHHHOOPOD-HXUWFJFHSA-N 0 0 431.449 -0.171 20 0 IBADRN O=C(NC1CCC(O)(c2cccnc2)CC1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000771360611 1073677185 /nfs/dbraw/zinc/67/71/85/1073677185.db2.gz YXPGVZVBHCEBMD-UHFFFAOYSA-N 0 0 445.520 -0.076 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)Nc2cn(C)c(=O)n(C)c2=O)C1=O ZINC000771361519 1073677650 /nfs/dbraw/zinc/67/76/50/1073677650.db2.gz JOSPTVBSQUVYLM-FQEVSTJZSA-N 0 0 429.433 -0.193 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)Nc2cn(C)c(=O)n(C)c2=O)C1=O ZINC000771361533 1073677683 /nfs/dbraw/zinc/67/76/83/1073677683.db2.gz JOSPTVBSQUVYLM-HXUWFJFHSA-N 0 0 429.433 -0.193 20 0 IBADRN CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000771368198 1073677672 /nfs/dbraw/zinc/67/76/72/1073677672.db2.gz ILWSWUQSDGKEBB-HUUCEWRRSA-N 0 0 446.570 -0.263 20 0 IBADRN CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000771368199 1073677688 /nfs/dbraw/zinc/67/76/88/1073677688.db2.gz ILWSWUQSDGKEBB-LSDHHAIUSA-N 0 0 446.570 -0.263 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCSC[C@@H]2S(C)(=O)=O)c1 ZINC000771374759 1073677599 /nfs/dbraw/zinc/67/75/99/1073677599.db2.gz OMPFPXHJEUAFIS-HNNXBMFYSA-N 0 0 449.576 -0.387 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCSC[C@H]2S(C)(=O)=O)c1 ZINC000771374760 1073677641 /nfs/dbraw/zinc/67/76/41/1073677641.db2.gz OMPFPXHJEUAFIS-OAHLLOKOSA-N 0 0 449.576 -0.387 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)Nc2ncn(CC(=O)N(C)C)n2)C1=O ZINC000771377981 1073677555 /nfs/dbraw/zinc/67/75/55/1073677555.db2.gz JTDPBXJEXDSDHX-IBGZPJMESA-N 0 0 429.437 -0.219 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)Nc2ncn(CC(=O)N(C)C)n2)C1=O ZINC000771378016 1073677618 /nfs/dbraw/zinc/67/76/18/1073677618.db2.gz JTDPBXJEXDSDHX-LJQANCHMSA-N 0 0 429.437 -0.219 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)CN2C(=O)N[C@@](C)(c3cc(C)ccc3OC)C2=O)n1 ZINC000771380393 1073677171 /nfs/dbraw/zinc/67/71/71/1073677171.db2.gz QGLVXJRUPSXIES-IBGZPJMESA-N 0 0 429.437 -0.253 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)CN2C(=O)N[C@](C)(c3cc(C)ccc3OC)C2=O)n1 ZINC000771380425 1073677107 /nfs/dbraw/zinc/67/71/07/1073677107.db2.gz QGLVXJRUPSXIES-LJQANCHMSA-N 0 0 429.437 -0.253 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N2CCO[C@@H](C(N)=O)C2)C1=O ZINC000771388329 1073678173 /nfs/dbraw/zinc/67/81/73/1073678173.db2.gz HFRLYSVPPZUNMS-PXAZEXFGSA-N 0 0 439.266 -0.071 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N2CCO[C@H](C(N)=O)C2)C1=O ZINC000771388330 1073678140 /nfs/dbraw/zinc/67/81/40/1073678140.db2.gz HFRLYSVPPZUNMS-SJCJKPOMSA-N 0 0 439.266 -0.071 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N2CCO[C@@H](C(N)=O)C2)C1=O ZINC000771388333 1073678307 /nfs/dbraw/zinc/67/83/07/1073678307.db2.gz HFRLYSVPPZUNMS-SJKOYZFVSA-N 0 0 439.266 -0.071 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N2CCO[C@H](C(N)=O)C2)C1=O ZINC000771388336 1073678264 /nfs/dbraw/zinc/67/82/64/1073678264.db2.gz HFRLYSVPPZUNMS-YVEFUNNKSA-N 0 0 439.266 -0.071 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccc3OC)C2=O)CC1 ZINC000771393014 1073678300 /nfs/dbraw/zinc/67/83/00/1073678300.db2.gz PZSJBUSUISJLIZ-IBGZPJMESA-N 0 0 438.506 -0.044 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccccc3OC)C2=O)CC1 ZINC000771393017 1073678278 /nfs/dbraw/zinc/67/82/78/1073678278.db2.gz PZSJBUSUISJLIZ-LJQANCHMSA-N 0 0 438.506 -0.044 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CN1C(=O)N[C@](C)(c2ccccc2OC)C1=O ZINC000771394344 1073678231 /nfs/dbraw/zinc/67/82/31/1073678231.db2.gz ZSILDXOSFMHODO-GOSISDBHSA-N 0 0 426.495 -0.092 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)CN1C(=O)N[C@@](C)(c2ccccc2OC)C1=O ZINC000771394351 1073678315 /nfs/dbraw/zinc/67/83/15/1073678315.db2.gz ZSILDXOSFMHODO-SFHVURJKSA-N 0 0 426.495 -0.092 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N(C)CCN2CCCS2(=O)=O)C1=O ZINC000771395590 1073678248 /nfs/dbraw/zinc/67/82/48/1073678248.db2.gz MUVSGAKVQKODHY-IBGZPJMESA-N 0 0 438.506 -0.044 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N(C)CCN2CCCS2(=O)=O)C1=O ZINC000771395594 1073678338 /nfs/dbraw/zinc/67/83/38/1073678338.db2.gz MUVSGAKVQKODHY-LJQANCHMSA-N 0 0 438.506 -0.044 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)C1 ZINC000771400983 1073678151 /nfs/dbraw/zinc/67/81/51/1073678151.db2.gz YCWNFJNWOIYVLQ-CYBMUJFWSA-N 0 0 445.519 -0.369 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)C1 ZINC000771400986 1073678162 /nfs/dbraw/zinc/67/81/62/1073678162.db2.gz YCWNFJNWOIYVLQ-ZDUSSCGKSA-N 0 0 445.519 -0.369 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000771406264 1073678355 /nfs/dbraw/zinc/67/83/55/1073678355.db2.gz YTYWMEUJEFVRCD-CABCVRRESA-N 0 0 426.495 -0.303 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000771406266 1073678289 /nfs/dbraw/zinc/67/82/89/1073678289.db2.gz YTYWMEUJEFVRCD-GJZGRUSLSA-N 0 0 426.495 -0.303 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)OCC(=O)NC(N)=O)C1=O ZINC000771408699 1073678345 /nfs/dbraw/zinc/67/83/45/1073678345.db2.gz ACFCYJMTGZBDBB-HNNXBMFYSA-N 0 0 427.211 -0.046 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)OCC(=O)NC(N)=O)C1=O ZINC000771408702 1073678181 /nfs/dbraw/zinc/67/81/81/1073678181.db2.gz ACFCYJMTGZBDBB-OAHLLOKOSA-N 0 0 427.211 -0.046 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCCC[C@H]2CS(N)(=O)=O)c1 ZINC000771418764 1073678199 /nfs/dbraw/zinc/67/81/99/1073678199.db2.gz RZKJLTRGBLWMRN-AWEZNQCLSA-N 0 0 446.551 -0.664 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCCC[C@@H]2CS(N)(=O)=O)c1 ZINC000771418766 1073678322 /nfs/dbraw/zinc/67/83/22/1073678322.db2.gz RZKJLTRGBLWMRN-CQSZACIVSA-N 0 0 446.551 -0.664 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(S(N)(=O)=O)CC2)C1=O ZINC000771426775 1073679611 /nfs/dbraw/zinc/67/96/11/1073679611.db2.gz LQRHMGQWFQAVTM-GOSISDBHSA-N 0 0 439.494 -0.882 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(S(N)(=O)=O)CC2)C1=O ZINC000771426790 1073679699 /nfs/dbraw/zinc/67/96/99/1073679699.db2.gz LQRHMGQWFQAVTM-SFHVURJKSA-N 0 0 439.494 -0.882 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)C1=O ZINC000771427004 1073679045 /nfs/dbraw/zinc/67/90/45/1073679045.db2.gz FXGHAMLGGPENMR-FKIZINRSSA-N 0 0 434.449 -0.402 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)C1=O ZINC000771427005 1073679085 /nfs/dbraw/zinc/67/90/85/1073679085.db2.gz FXGHAMLGGPENMR-MPBGBICISA-N 0 0 434.449 -0.402 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)C1=O ZINC000771427007 1073679077 /nfs/dbraw/zinc/67/90/77/1073679077.db2.gz FXGHAMLGGPENMR-ODXCJYRJSA-N 0 0 434.449 -0.402 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)C1=O ZINC000771427009 1073678886 /nfs/dbraw/zinc/67/88/86/1073678886.db2.gz FXGHAMLGGPENMR-YUNKPMOVSA-N 0 0 434.449 -0.402 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)OCc2cc(=O)n(C)c(=O)n2C)C1=O ZINC000771431819 1073679564 /nfs/dbraw/zinc/67/95/64/1073679564.db2.gz UFWSKDSPBOXAPL-FQEVSTJZSA-N 0 0 430.417 -0.397 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)OCc2cc(=O)n(C)c(=O)n2C)C1=O ZINC000771431821 1073679600 /nfs/dbraw/zinc/67/96/00/1073679600.db2.gz UFWSKDSPBOXAPL-HXUWFJFHSA-N 0 0 430.417 -0.397 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)C1=O ZINC000771431851 1073679581 /nfs/dbraw/zinc/67/95/81/1073679581.db2.gz URUMCGKXLVVTCU-LHSJRXKWSA-N 0 0 446.460 -0.128 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)C1=O ZINC000771431852 1073679591 /nfs/dbraw/zinc/67/95/91/1073679591.db2.gz URUMCGKXLVVTCU-QKKBWIMNSA-N 0 0 446.460 -0.128 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)C1=O ZINC000771431854 1073679717 /nfs/dbraw/zinc/67/97/17/1073679717.db2.gz URUMCGKXLVVTCU-SPLOXXLWSA-N 0 0 446.460 -0.128 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)C1=O ZINC000771431856 1073679530 /nfs/dbraw/zinc/67/95/30/1073679530.db2.gz URUMCGKXLVVTCU-SZNDQCEHSA-N 0 0 446.460 -0.128 20 0 IBADRN CNC(=O)Cc1noc(COC(=O)CN2C(=O)N[C@@](C)(c3ccccc3OC)C2=O)n1 ZINC000771432779 1073679660 /nfs/dbraw/zinc/67/96/60/1073679660.db2.gz ZOONHNRUTISCGS-IBGZPJMESA-N 0 0 431.405 -0.123 20 0 IBADRN CNC(=O)Cc1noc(COC(=O)CN2C(=O)N[C@](C)(c3ccccc3OC)C2=O)n1 ZINC000771432782 1073679724 /nfs/dbraw/zinc/67/97/24/1073679724.db2.gz ZOONHNRUTISCGS-LJQANCHMSA-N 0 0 431.405 -0.123 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC000771435295 1073679413 /nfs/dbraw/zinc/67/94/13/1073679413.db2.gz ASFZECIVMWCHMU-FKIZINRSSA-N 0 0 435.433 -0.008 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC000771435298 1073679638 /nfs/dbraw/zinc/67/96/38/1073679638.db2.gz ASFZECIVMWCHMU-MPBGBICISA-N 0 0 435.433 -0.008 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC000771435301 1073679648 /nfs/dbraw/zinc/67/96/48/1073679648.db2.gz ASFZECIVMWCHMU-ODXCJYRJSA-N 0 0 435.433 -0.008 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC000771435304 1073679708 /nfs/dbraw/zinc/67/97/08/1073679708.db2.gz ASFZECIVMWCHMU-YUNKPMOVSA-N 0 0 435.433 -0.008 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)N2CCN(C(=O)CO)CC2)C1=O ZINC000771435548 1073679621 /nfs/dbraw/zinc/67/96/21/1073679621.db2.gz CFBAYNIRIXWUNO-GOSISDBHSA-N 0 0 426.832 -0.091 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)N2CCN(C(=O)CO)CC2)C1=O ZINC000771435549 1073679684 /nfs/dbraw/zinc/67/96/84/1073679684.db2.gz CFBAYNIRIXWUNO-SFHVURJKSA-N 0 0 426.832 -0.091 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000771436877 1073679441 /nfs/dbraw/zinc/67/94/41/1073679441.db2.gz NHRSTWKYZMZQDC-UHFFFAOYSA-N 0 0 433.552 -0.052 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)NCC(=O)N2CCCC2)C1=O ZINC000771438751 1073679488 /nfs/dbraw/zinc/67/94/88/1073679488.db2.gz PISLZXIRCDSOKD-NRFANRHFSA-N 0 0 446.460 -0.256 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)NCC(=O)N2CCCC2)C1=O ZINC000771438755 1073680176 /nfs/dbraw/zinc/68/01/76/1073680176.db2.gz PISLZXIRCDSOKD-OAQYLSRUSA-N 0 0 446.460 -0.256 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCN(C(C)=O)CC2)C1=O ZINC000771439161 1073679462 /nfs/dbraw/zinc/67/94/62/1073679462.db2.gz QFWDKSKDBRURLY-NRFANRHFSA-N 0 0 446.460 -0.304 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCN(C(C)=O)CC2)C1=O ZINC000771439162 1073679690 /nfs/dbraw/zinc/67/96/90/1073679690.db2.gz QFWDKSKDBRURLY-OAQYLSRUSA-N 0 0 446.460 -0.304 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCNC(=O)C2)C1=O ZINC000771443387 1073680163 /nfs/dbraw/zinc/68/01/63/1073680163.db2.gz AWBMBCJJDJGTLI-FQEVSTJZSA-N 0 0 432.433 -0.728 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCNC(=O)C2)C1=O ZINC000771443392 1073680187 /nfs/dbraw/zinc/68/01/87/1073680187.db2.gz AWBMBCJJDJGTLI-HXUWFJFHSA-N 0 0 432.433 -0.728 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2ncn(-c3ccccc3)n2)CC1 ZINC000771445621 1073680219 /nfs/dbraw/zinc/68/02/19/1073680219.db2.gz APUPIJLVYAQBOG-INIZCTEOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2ncn(-c3ccccc3)n2)CC1 ZINC000771445625 1073680082 /nfs/dbraw/zinc/68/00/82/1073680082.db2.gz APUPIJLVYAQBOG-MRXNPFEDSA-N 0 0 425.536 -0.098 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N(CC(N)=O)CC(N)=O)C1=O ZINC000771445957 1073680207 /nfs/dbraw/zinc/68/02/07/1073680207.db2.gz JUIOAHDARXBOGO-INIZCTEOSA-N 0 0 440.254 -0.985 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N(CC(N)=O)CC(N)=O)C1=O ZINC000771445958 1073680147 /nfs/dbraw/zinc/68/01/47/1073680147.db2.gz JUIOAHDARXBOGO-MRXNPFEDSA-N 0 0 440.254 -0.985 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)C1=O ZINC000771446744 1073680101 /nfs/dbraw/zinc/68/01/01/1073680101.db2.gz NPXMJZQEPCWVIM-ASSNKEHSSA-N 0 0 448.476 -0.093 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)C1=O ZINC000771446748 1073680130 /nfs/dbraw/zinc/68/01/30/1073680130.db2.gz NPXMJZQEPCWVIM-LRTDBIEQSA-N 0 0 448.476 -0.093 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)C1=O ZINC000771446751 1073680213 /nfs/dbraw/zinc/68/02/13/1073680213.db2.gz NPXMJZQEPCWVIM-YEJXKQKISA-N 0 0 448.476 -0.093 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)C1=O ZINC000771446755 1073680140 /nfs/dbraw/zinc/68/01/40/1073680140.db2.gz NPXMJZQEPCWVIM-ZSEKCTLFSA-N 0 0 448.476 -0.093 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC(CS(N)(=O)=O)CC2)C1=O ZINC000771447656 1073678213 /nfs/dbraw/zinc/67/82/13/1073678213.db2.gz DMMNRORPIIKTHB-IBGZPJMESA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC(CS(N)(=O)=O)CC2)C1=O ZINC000771447657 1073678333 /nfs/dbraw/zinc/67/83/33/1073678333.db2.gz DMMNRORPIIKTHB-LJQANCHMSA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)OCc2cc(=O)n(C)c(=O)n2C)C1=O ZINC000771449596 1073678906 /nfs/dbraw/zinc/67/89/06/1073678906.db2.gz YDSKGAMFOUCHIW-NRFANRHFSA-N 0 0 444.444 -0.089 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)OCc2cc(=O)n(C)c(=O)n2C)C1=O ZINC000771449598 1073679000 /nfs/dbraw/zinc/67/90/00/1073679000.db2.gz YDSKGAMFOUCHIW-OAQYLSRUSA-N 0 0 444.444 -0.089 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NCCN2CCNC(=O)C2)C1=O ZINC000771453883 1073679007 /nfs/dbraw/zinc/67/90/07/1073679007.db2.gz RWJHFBNVHUMTHI-GOSISDBHSA-N 0 0 425.848 -0.206 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NCCN2CCNC(=O)C2)C1=O ZINC000771453886 1073679040 /nfs/dbraw/zinc/67/90/40/1073679040.db2.gz RWJHFBNVHUMTHI-SFHVURJKSA-N 0 0 425.848 -0.206 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccccc2OC)C1=O ZINC000771454620 1073679053 /nfs/dbraw/zinc/67/90/53/1073679053.db2.gz VLRWSUHPSVNVIL-LHSJRXKWSA-N 0 0 445.476 -0.685 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccccc2OC)C1=O ZINC000771454623 1073679080 /nfs/dbraw/zinc/67/90/80/1073679080.db2.gz VLRWSUHPSVNVIL-QKKBWIMNSA-N 0 0 445.476 -0.685 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccccc2OC)C1=O ZINC000771454624 1073679023 /nfs/dbraw/zinc/67/90/23/1073679023.db2.gz VLRWSUHPSVNVIL-SPLOXXLWSA-N 0 0 445.476 -0.685 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccccc2OC)C1=O ZINC000771454626 1073678871 /nfs/dbraw/zinc/67/88/71/1073678871.db2.gz VLRWSUHPSVNVIL-SZNDQCEHSA-N 0 0 445.476 -0.685 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)c1cc(C)c3c(=O)n(C)c(=O)n(C)c3n1)CC2 ZINC000771454948 1073678934 /nfs/dbraw/zinc/67/89/34/1073678934.db2.gz GJPIOZMBDGGSOL-UHFFFAOYSA-N 0 0 429.433 -0.135 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)c1cnc3c(c1C)c(=O)n(C)c(=O)n3C)CC2 ZINC000771455900 1073679056 /nfs/dbraw/zinc/67/90/56/1073679056.db2.gz AXNMPOZSTBFTAY-UHFFFAOYSA-N 0 0 429.433 -0.135 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)CCn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC000771456116 1073678922 /nfs/dbraw/zinc/67/89/22/1073678922.db2.gz UEYXQKLTKMYHTQ-UHFFFAOYSA-N 0 0 432.437 -0.866 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)CCNS(=O)(=O)c1cn(C)c(C)n1)CC2 ZINC000771459420 1073678853 /nfs/dbraw/zinc/67/88/53/1073678853.db2.gz VXRHLMPNDJJMPJ-UHFFFAOYSA-N 0 0 427.483 -0.293 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCCN(CC(N)=O)CC2)C1=O ZINC000771463981 1073679062 /nfs/dbraw/zinc/67/90/62/1073679062.db2.gz MQJQHKYATIKXFT-NRFANRHFSA-N 0 0 431.493 -0.210 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCCN(CC(N)=O)CC2)C1=O ZINC000771463986 1073678975 /nfs/dbraw/zinc/67/89/75/1073678975.db2.gz MQJQHKYATIKXFT-OAQYLSRUSA-N 0 0 431.493 -0.210 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)NN2C(=O)N[C@@](CC)(c3ccccc3)C2=O)C1 ZINC000771468051 1073680228 /nfs/dbraw/zinc/68/02/28/1073680228.db2.gz UDTSKUVPTTYLKM-BEFAXECRSA-N 0 0 437.522 -0.112 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)NN2C(=O)N[C@](CC)(c3ccccc3)C2=O)C1 ZINC000771468061 1073680244 /nfs/dbraw/zinc/68/02/44/1073680244.db2.gz UDTSKUVPTTYLKM-DNVCBOLYSA-N 0 0 437.522 -0.112 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)NN2C(=O)N[C@](CC)(c3ccccc3)C2=O)C1 ZINC000771468063 1073680238 /nfs/dbraw/zinc/68/02/38/1073680238.db2.gz UDTSKUVPTTYLKM-HNAYVOBHSA-N 0 0 437.522 -0.112 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)NN2C(=O)N[C@@](CC)(c3ccccc3)C2=O)C1 ZINC000771468066 1073680249 /nfs/dbraw/zinc/68/02/49/1073680249.db2.gz UDTSKUVPTTYLKM-KXBFYZLASA-N 0 0 437.522 -0.112 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)C1=O ZINC000771470092 1073680256 /nfs/dbraw/zinc/68/02/56/1073680256.db2.gz MRHRLXKTTHEOOI-BFUOFWGJSA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)C1=O ZINC000771470093 1073680198 /nfs/dbraw/zinc/68/01/98/1073680198.db2.gz MRHRLXKTTHEOOI-DJJJIMSYSA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC[C@H](CS(N)(=O)=O)C2)C1=O ZINC000771470094 1073680182 /nfs/dbraw/zinc/68/01/82/1073680182.db2.gz MRHRLXKTTHEOOI-ORAYPTAESA-N 0 0 438.506 -0.011 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)C1=O ZINC000771470095 1073680062 /nfs/dbraw/zinc/68/00/62/1073680062.db2.gz MRHRLXKTTHEOOI-YJYMSZOUSA-N 0 0 438.506 -0.011 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC000771481662 1073680903 /nfs/dbraw/zinc/68/09/03/1073680903.db2.gz AYFKMMSUSSDXRK-GOSISDBHSA-N 0 0 426.495 -0.174 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC000771481664 1073680780 /nfs/dbraw/zinc/68/07/80/1073680780.db2.gz AYFKMMSUSSDXRK-SFHVURJKSA-N 0 0 426.495 -0.174 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCC(=O)NCCN2CCOCC2)C1=O ZINC000771483049 1073680935 /nfs/dbraw/zinc/68/09/35/1073680935.db2.gz XCHQAEWYGLGCKZ-NRFANRHFSA-N 0 0 447.492 -0.973 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCC(=O)NCCN2CCOCC2)C1=O ZINC000771483055 1073680973 /nfs/dbraw/zinc/68/09/73/1073680973.db2.gz XCHQAEWYGLGCKZ-OAQYLSRUSA-N 0 0 447.492 -0.973 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCC(=O)N2CCN(C)CC2)C1=O ZINC000771490147 1073680796 /nfs/dbraw/zinc/68/07/96/1073680796.db2.gz BHFZGMOQOHNKQT-NRFANRHFSA-N 0 0 431.493 -0.258 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCC(=O)N2CCN(C)CC2)C1=O ZINC000771490149 1073680908 /nfs/dbraw/zinc/68/09/08/1073680908.db2.gz BHFZGMOQOHNKQT-OAQYLSRUSA-N 0 0 431.493 -0.258 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC000771495863 1073680844 /nfs/dbraw/zinc/68/08/44/1073680844.db2.gz GPYOZYGYGQTAKC-BFUOFWGJSA-N 0 0 438.506 -0.079 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1=O ZINC000771495870 1073680899 /nfs/dbraw/zinc/68/08/99/1073680899.db2.gz GPYOZYGYGQTAKC-DJJJIMSYSA-N 0 0 438.506 -0.079 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1=O ZINC000771495876 1073680833 /nfs/dbraw/zinc/68/08/33/1073680833.db2.gz GPYOZYGYGQTAKC-ORAYPTAESA-N 0 0 438.506 -0.079 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC000771495880 1073680884 /nfs/dbraw/zinc/68/08/84/1073680884.db2.gz GPYOZYGYGQTAKC-YJYMSZOUSA-N 0 0 438.506 -0.079 20 0 IBADRN C[C@@H]1CN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CCN1c1nccn2cnnc12 ZINC000771496743 1073680770 /nfs/dbraw/zinc/68/07/70/1073680770.db2.gz ZJTIZDPPCCSLQM-CYBMUJFWSA-N 0 0 428.478 -0.341 20 0 IBADRN C[C@H]1CN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CCN1c1nccn2cnnc12 ZINC000771496814 1073680849 /nfs/dbraw/zinc/68/08/49/1073680849.db2.gz ZJTIZDPPCCSLQM-ZDUSSCGKSA-N 0 0 428.478 -0.341 20 0 IBADRN C[C@@H]1CN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CCN1c1nccn2cnnc12 ZINC000771497045 1073680981 /nfs/dbraw/zinc/68/09/81/1073680981.db2.gz YWHOYJFDIOIMNR-CYBMUJFWSA-N 0 0 428.478 -0.341 20 0 IBADRN C[C@H]1CN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CCN1c1nccn2cnnc12 ZINC000771497052 1073680891 /nfs/dbraw/zinc/68/08/91/1073680891.db2.gz YWHOYJFDIOIMNR-ZDUSSCGKSA-N 0 0 428.478 -0.341 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccc3OC)C2=O)CC1 ZINC000771498219 1073680757 /nfs/dbraw/zinc/68/07/57/1073680757.db2.gz WEOGCKUFMWBIOD-NRFANRHFSA-N 0 0 446.460 -0.304 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccccc3OC)C2=O)CC1 ZINC000771498221 1073681546 /nfs/dbraw/zinc/68/15/46/1073681546.db2.gz WEOGCKUFMWBIOD-OAQYLSRUSA-N 0 0 446.460 -0.304 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(CC[S@](C)=O)CC2)C1=O ZINC000771516808 1073681410 /nfs/dbraw/zinc/68/14/10/1073681410.db2.gz SUGCJLBVXMYEHW-KEEVHDRGSA-N 0 0 436.534 -0.015 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(CC[S@@](C)=O)CC2)C1=O ZINC000771516809 1073681631 /nfs/dbraw/zinc/68/16/31/1073681631.db2.gz SUGCJLBVXMYEHW-PRAQEBQASA-N 0 0 436.534 -0.015 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(CC[S@@](C)=O)CC2)C1=O ZINC000771516812 1073681554 /nfs/dbraw/zinc/68/15/54/1073681554.db2.gz SUGCJLBVXMYEHW-WENCNXQZSA-N 0 0 436.534 -0.015 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(CC[S@](C)=O)CC2)C1=O ZINC000771516813 1073681560 /nfs/dbraw/zinc/68/15/60/1073681560.db2.gz SUGCJLBVXMYEHW-WRGVRERRSA-N 0 0 436.534 -0.015 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(CCn3cncn3)CC2)C1=O ZINC000771516816 1073681617 /nfs/dbraw/zinc/68/16/17/1073681617.db2.gz SVDRIYICQJXFKA-NRFANRHFSA-N 0 0 441.492 -0.102 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(CCn3cncn3)CC2)C1=O ZINC000771516837 1073681674 /nfs/dbraw/zinc/68/16/74/1073681674.db2.gz SVDRIYICQJXFKA-OAQYLSRUSA-N 0 0 441.492 -0.102 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1=O ZINC000771536693 1073681581 /nfs/dbraw/zinc/68/15/81/1073681581.db2.gz PXPOQRDALIASDY-NRFANRHFSA-N 0 0 431.493 -0.130 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1=O ZINC000771536696 1073681533 /nfs/dbraw/zinc/68/15/33/1073681533.db2.gz PXPOQRDALIASDY-OAQYLSRUSA-N 0 0 431.493 -0.130 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)C1=O ZINC000771539331 1073681452 /nfs/dbraw/zinc/68/14/52/1073681452.db2.gz PFHFEZFUTYSWCY-ALHNEKMOSA-N 0 0 443.460 -0.043 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)C1=O ZINC000771539332 1073682216 /nfs/dbraw/zinc/68/22/16/1073682216.db2.gz PFHFEZFUTYSWCY-IOSSYPJHSA-N 0 0 443.460 -0.043 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)C1=O ZINC000771539333 1073682171 /nfs/dbraw/zinc/68/21/71/1073682171.db2.gz PFHFEZFUTYSWCY-NSZCRDCNSA-N 0 0 443.460 -0.043 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)C1=O ZINC000771539334 1073682127 /nfs/dbraw/zinc/68/21/27/1073682127.db2.gz PFHFEZFUTYSWCY-YULWEGQASA-N 0 0 443.460 -0.043 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cc(C)ccc3OC)C2=O)CC1 ZINC000771543809 1073681608 /nfs/dbraw/zinc/68/16/08/1073681608.db2.gz DHZKUDDGABUYGF-NRFANRHFSA-N 0 0 431.493 -0.339 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3cc(C)ccc3OC)C2=O)CC1 ZINC000771543811 1073681637 /nfs/dbraw/zinc/68/16/37/1073681637.db2.gz DHZKUDDGABUYGF-OAQYLSRUSA-N 0 0 431.493 -0.339 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCCC(=O)NC(N)=O)C1=O ZINC000771546313 1073681669 /nfs/dbraw/zinc/68/16/69/1073681669.db2.gz MQDZUQGWQQCVSR-INIZCTEOSA-N 0 0 440.254 -0.083 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCCC(=O)NC(N)=O)C1=O ZINC000771546316 1073681516 /nfs/dbraw/zinc/68/15/16/1073681516.db2.gz MQDZUQGWQQCVSR-MRXNPFEDSA-N 0 0 440.254 -0.083 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000771546724 1073681656 /nfs/dbraw/zinc/68/16/56/1073681656.db2.gz PRHXSOGKVQVZSH-BTYIYWSLSA-N 0 0 434.493 -0.386 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000771546725 1073681472 /nfs/dbraw/zinc/68/14/72/1073681472.db2.gz PRHXSOGKVQVZSH-QVKFZJNVSA-N 0 0 434.493 -0.386 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000771546726 1073681498 /nfs/dbraw/zinc/68/14/98/1073681498.db2.gz PRHXSOGKVQVZSH-VFNWGFHPSA-N 0 0 434.493 -0.386 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000771546727 1073681569 /nfs/dbraw/zinc/68/15/69/1073681569.db2.gz PRHXSOGKVQVZSH-YCRPNKLZSA-N 0 0 434.493 -0.386 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000771548412 1073682048 /nfs/dbraw/zinc/68/20/48/1073682048.db2.gz XYWRHBKTHAOCSU-AOMKIAJQSA-N 0 0 448.520 -0.078 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N(C)C[C@H](O)CN2CCOCC2)C1=O ZINC000771548413 1073682080 /nfs/dbraw/zinc/68/20/80/1073682080.db2.gz XYWRHBKTHAOCSU-KSFYIVLOSA-N 0 0 448.520 -0.078 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000771548414 1073682058 /nfs/dbraw/zinc/68/20/58/1073682058.db2.gz XYWRHBKTHAOCSU-OPAMFIHVSA-N 0 0 448.520 -0.078 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N(C)C[C@@H](O)CN2CCOCC2)C1=O ZINC000771548415 1073682176 /nfs/dbraw/zinc/68/21/76/1073682176.db2.gz XYWRHBKTHAOCSU-ZHRRBRCNSA-N 0 0 448.520 -0.078 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCCS(N)(=O)=O)C1=O ZINC000771553518 1073682073 /nfs/dbraw/zinc/68/20/73/1073682073.db2.gz SAJZKKACMNWDDG-AWEZNQCLSA-N 0 0 433.284 -0.379 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCCS(N)(=O)=O)C1=O ZINC000771553520 1073682088 /nfs/dbraw/zinc/68/20/88/1073682088.db2.gz SAJZKKACMNWDDG-CQSZACIVSA-N 0 0 433.284 -0.379 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(S(=O)(=O)c1cnc3c(c1)c(=O)[nH]c(=O)n3C)CC2 ZINC000771555433 1073682098 /nfs/dbraw/zinc/68/20/98/1073682098.db2.gz FPPQJENHXBCOKW-UHFFFAOYSA-N 0 0 437.434 -0.493 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CN2CCSC2=O)CCN1C(=O)CN1CCSC1=O ZINC000771558118 1073682121 /nfs/dbraw/zinc/68/21/21/1073682121.db2.gz CBUMOPDJUYODTL-GFCCVEGCSA-N 0 0 443.551 -0.548 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CN2CCSC2=O)CCN1C(=O)CN1CCSC1=O ZINC000771558122 1073682111 /nfs/dbraw/zinc/68/21/11/1073682111.db2.gz CBUMOPDJUYODTL-LBPRGKRZSA-N 0 0 443.551 -0.548 20 0 IBADRN COc1ncc(C(=O)N2CCN(C(=O)c3cnc(OC)nc3)[C@H](C(=O)N(C)C)C2)cn1 ZINC000771559358 1073682208 /nfs/dbraw/zinc/68/22/08/1073682208.db2.gz JMJATVCZPXPKPZ-AWEZNQCLSA-N 0 0 429.437 -0.661 20 0 IBADRN COc1ncc(C(=O)N2CCN(C(=O)c3cnc(OC)nc3)[C@@H](C(=O)N(C)C)C2)cn1 ZINC000771559375 1073682064 /nfs/dbraw/zinc/68/20/64/1073682064.db2.gz JMJATVCZPXPKPZ-CQSZACIVSA-N 0 0 429.437 -0.661 20 0 IBADRN Cc1cc(OCC(=O)N2CCN(C(=O)COc3cc(C)on3)[C@H](C(=O)N(C)C)C2)no1 ZINC000771562856 1073682677 /nfs/dbraw/zinc/68/26/77/1073682677.db2.gz XLSNZAKKEWBJGH-AWEZNQCLSA-N 0 0 435.437 -0.135 20 0 IBADRN Cc1cc(OCC(=O)N2CCN(C(=O)COc3cc(C)on3)[C@@H](C(=O)N(C)C)C2)no1 ZINC000771562858 1073682760 /nfs/dbraw/zinc/68/27/60/1073682760.db2.gz XLSNZAKKEWBJGH-CQSZACIVSA-N 0 0 435.437 -0.135 20 0 IBADRN O=C(NCCn1ncnn1)c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1 ZINC000771581396 1073682028 /nfs/dbraw/zinc/68/20/28/1073682028.db2.gz BSDRKEVVBAKSKJ-UHFFFAOYSA-N 0 0 445.299 -0.114 20 0 IBADRN O=C(NCCn1ncnn1)c1cc(S(=O)(=O)N2CCOCC2)ccc1Br ZINC000771584345 1073682038 /nfs/dbraw/zinc/68/20/38/1073682038.db2.gz ZPSCFDVSBNUCOI-UHFFFAOYSA-N 0 0 445.299 -0.114 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N(C)C)C1=O ZINC000771599188 1073682769 /nfs/dbraw/zinc/68/27/69/1073682769.db2.gz ADTOWONLILJWLM-GOSISDBHSA-N 0 0 442.494 -0.256 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)OCCN(C)S(=O)(=O)N(C)C)C1=O ZINC000771599189 1073682688 /nfs/dbraw/zinc/68/26/88/1073682688.db2.gz ADTOWONLILJWLM-SFHVURJKSA-N 0 0 442.494 -0.256 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000771599235 1073682663 /nfs/dbraw/zinc/68/26/63/1073682663.db2.gz BEIKIBGCMPCMNK-CYBMUJFWSA-N 0 0 447.601 -0.901 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)OCCN(C)S(=O)(=O)N1CCOCC1 ZINC000771599236 1073682731 /nfs/dbraw/zinc/68/27/31/1073682731.db2.gz BEIKIBGCMPCMNK-ZDUSSCGKSA-N 0 0 447.601 -0.901 20 0 IBADRN CN(CCOC(=O)CCNS(=O)(=O)c1cccs1)S(=O)(=O)N1CCOCC1 ZINC000771599921 1073682694 /nfs/dbraw/zinc/68/26/94/1073682694.db2.gz JBACXQFAJSGDNA-UHFFFAOYSA-N 0 0 441.553 -0.532 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC000771600666 1073682724 /nfs/dbraw/zinc/68/27/24/1073682724.db2.gz WFWGAVQRRUCNDG-UHFFFAOYSA-N 0 0 429.467 -0.085 20 0 IBADRN CN(CCOC(=O)CCNS(=O)(=O)c1ccccc1)S(=O)(=O)N1CCOCC1 ZINC000771600872 1073682729 /nfs/dbraw/zinc/68/27/29/1073682729.db2.gz XNKVAJDXTWKDIF-UHFFFAOYSA-N 0 0 435.524 -0.593 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCCn1nc2ccccn2c1=O ZINC000771600877 1073682700 /nfs/dbraw/zinc/68/27/00/1073682700.db2.gz XVDOSHAFZBKWLV-CYBMUJFWSA-N 0 0 447.473 -0.105 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCCn1nc2ccccn2c1=O ZINC000771600878 1073682775 /nfs/dbraw/zinc/68/27/75/1073682775.db2.gz XVDOSHAFZBKWLV-ZDUSSCGKSA-N 0 0 447.473 -0.105 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000771611740 1073682756 /nfs/dbraw/zinc/68/27/56/1073682756.db2.gz QQUXXGVKODHPHW-CYBMUJFWSA-N 0 0 428.486 -0.362 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000771611743 1073682718 /nfs/dbraw/zinc/68/27/18/1073682718.db2.gz QQUXXGVKODHPHW-ZDUSSCGKSA-N 0 0 428.486 -0.362 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCN1C(=O)c2ccncc2C1=O ZINC000771613061 1073682708 /nfs/dbraw/zinc/68/27/08/1073682708.db2.gz CQGMUQITFRMPFN-LLVKDONJSA-N 0 0 446.441 -0.313 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCCN1C(=O)c2ccncc2C1=O ZINC000771613062 1073682774 /nfs/dbraw/zinc/68/27/74/1073682774.db2.gz CQGMUQITFRMPFN-NSHDSACASA-N 0 0 446.441 -0.313 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000771613805 1073683367 /nfs/dbraw/zinc/68/33/67/1073683367.db2.gz VCTBZRSGHYFFGA-INIZCTEOSA-N 0 0 442.513 -0.554 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000771613807 1073683190 /nfs/dbraw/zinc/68/31/90/1073683190.db2.gz VCTBZRSGHYFFGA-MRXNPFEDSA-N 0 0 442.513 -0.554 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCCN2CCN(C(=O)C3CCC3)CC2)cn1C ZINC000771617115 1073683211 /nfs/dbraw/zinc/68/32/11/1073683211.db2.gz JHDYQEQVZVNHGT-UHFFFAOYSA-N 0 0 441.554 -0.116 20 0 IBADRN O=C1c2cc(F)ccc2CN1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000771622799 1073683311 /nfs/dbraw/zinc/68/33/11/1073683311.db2.gz LRPOQDTZUPPFFL-HNNXBMFYSA-N 0 0 431.511 -0.127 20 0 IBADRN O=C1c2cc(F)ccc2CN1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000771622812 1073683291 /nfs/dbraw/zinc/68/32/91/1073683291.db2.gz LRPOQDTZUPPFFL-OAHLLOKOSA-N 0 0 431.511 -0.127 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000771626356 1073683393 /nfs/dbraw/zinc/68/33/93/1073683393.db2.gz SWAOAAKBOOTFRV-GFCCVEGCSA-N 0 0 439.556 -0.062 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000771626358 1073683200 /nfs/dbraw/zinc/68/32/00/1073683200.db2.gz SWAOAAKBOOTFRV-LBPRGKRZSA-N 0 0 439.556 -0.062 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000771627244 1073683283 /nfs/dbraw/zinc/68/32/83/1073683283.db2.gz YSMNOJTYOPXTAC-HNNXBMFYSA-N 0 0 428.486 -0.360 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC000771627246 1073683251 /nfs/dbraw/zinc/68/32/51/1073683251.db2.gz YSMNOJTYOPXTAC-OAHLLOKOSA-N 0 0 428.486 -0.360 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000771632114 1073684087 /nfs/dbraw/zinc/68/40/87/1073684087.db2.gz WXXFMYFCCWRJBU-NEPJUHHUSA-N 0 0 435.499 -0.796 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000771632116 1073684072 /nfs/dbraw/zinc/68/40/72/1073684072.db2.gz WXXFMYFCCWRJBU-NWDGAFQWSA-N 0 0 435.499 -0.796 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000771632117 1073683967 /nfs/dbraw/zinc/68/39/67/1073683967.db2.gz WXXFMYFCCWRJBU-RYUDHWBXSA-N 0 0 435.499 -0.796 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000771632119 1073683998 /nfs/dbraw/zinc/68/39/98/1073683998.db2.gz WXXFMYFCCWRJBU-VXGBXAGGSA-N 0 0 435.499 -0.796 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCCOCC(N)=O)C1=O ZINC000771634079 1073684591 /nfs/dbraw/zinc/68/45/91/1073684591.db2.gz SIGFPNJRCSUHDY-INIZCTEOSA-N 0 0 427.255 -0.166 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)NCCOCC(N)=O)C1=O ZINC000771634310 1073684047 /nfs/dbraw/zinc/68/40/47/1073684047.db2.gz SIGFPNJRCSUHDY-MRXNPFEDSA-N 0 0 427.255 -0.166 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N(C)CC(=O)N2CCN(C)CC2)C1=O ZINC000771646838 1073683378 /nfs/dbraw/zinc/68/33/78/1073683378.db2.gz AGMLKDJCNSYEQK-NRFANRHFSA-N 0 0 431.493 -0.305 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N(C)CC(=O)N2CCN(C)CC2)C1=O ZINC000771646843 1073683227 /nfs/dbraw/zinc/68/32/27/1073683227.db2.gz AGMLKDJCNSYEQK-OAQYLSRUSA-N 0 0 431.493 -0.305 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCCOC1 ZINC000771647034 1073683373 /nfs/dbraw/zinc/68/33/73/1073683373.db2.gz DPZGJLMJWLHIIV-KPZWWZAWSA-N 0 0 427.479 -0.319 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCCOC1 ZINC000771647036 1073683179 /nfs/dbraw/zinc/68/31/79/1073683179.db2.gz DPZGJLMJWLHIIV-KZULUSFZSA-N 0 0 427.479 -0.319 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCCOC1 ZINC000771647039 1073683263 /nfs/dbraw/zinc/68/32/63/1073683263.db2.gz DPZGJLMJWLHIIV-SGTLLEGYSA-N 0 0 427.479 -0.319 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CCCOC1 ZINC000771647042 1073683305 /nfs/dbraw/zinc/68/33/05/1073683305.db2.gz DPZGJLMJWLHIIV-XIKOKIGWSA-N 0 0 427.479 -0.319 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCCOC1 ZINC000771650754 1073684061 /nfs/dbraw/zinc/68/40/61/1073684061.db2.gz NAGWHNYIJCFQBZ-IBGZPJMESA-N 0 0 441.506 -0.105 20 0 IBADRN COC(=O)C[C@]1(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCCOC1 ZINC000771650757 1073684021 /nfs/dbraw/zinc/68/40/21/1073684021.db2.gz NAGWHNYIJCFQBZ-LJQANCHMSA-N 0 0 441.506 -0.105 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCCOC1 ZINC000771652235 1073683953 /nfs/dbraw/zinc/68/39/53/1073683953.db2.gz QZPYVBBRVAPFNX-BFUOFWGJSA-N 0 0 439.490 -0.085 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCCOC1 ZINC000771652241 1073683978 /nfs/dbraw/zinc/68/39/78/1073683978.db2.gz QZPYVBBRVAPFNX-DJJJIMSYSA-N 0 0 439.490 -0.085 20 0 IBADRN COC(=O)C[C@]1(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCCOC1 ZINC000771652244 1073684059 /nfs/dbraw/zinc/68/40/59/1073684059.db2.gz QZPYVBBRVAPFNX-ORAYPTAESA-N 0 0 439.490 -0.085 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CCCOC1 ZINC000771652248 1073684037 /nfs/dbraw/zinc/68/40/37/1073684037.db2.gz QZPYVBBRVAPFNX-YJYMSZOUSA-N 0 0 439.490 -0.085 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCCOC1 ZINC000771654345 1073683938 /nfs/dbraw/zinc/68/39/38/1073683938.db2.gz WJPQUCBEPONWRN-KRWDZBQOSA-N 0 0 447.535 -0.109 20 0 IBADRN COC(=O)C[C@]1(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCCOC1 ZINC000771654380 1073684076 /nfs/dbraw/zinc/68/40/76/1073684076.db2.gz WJPQUCBEPONWRN-QGZVFWFLSA-N 0 0 447.535 -0.109 20 0 IBADRN COC(=O)C[C@@]1(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CCCOC1 ZINC000771658241 1073684067 /nfs/dbraw/zinc/68/40/67/1073684067.db2.gz YVBVPIRKUIADLV-KRWDZBQOSA-N 0 0 427.435 -0.530 20 0 IBADRN COC(=O)C[C@]1(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CCCOC1 ZINC000771658258 1073684027 /nfs/dbraw/zinc/68/40/27/1073684027.db2.gz YVBVPIRKUIADLV-QGZVFWFLSA-N 0 0 427.435 -0.530 20 0 IBADRN COC(=O)c1c[nH]c(C(=O)OC)c1NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000771720355 1073684646 /nfs/dbraw/zinc/68/46/46/1073684646.db2.gz DWEUNFXDMNKXCL-SECBINFHSA-N 0 0 440.434 -0.308 20 0 IBADRN COC(=O)c1c[nH]c(C(=O)OC)c1NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000771720356 1073685342 /nfs/dbraw/zinc/68/53/42/1073685342.db2.gz DWEUNFXDMNKXCL-VIFPVBQESA-N 0 0 440.434 -0.308 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)CCNC(=O)OCc2ccccc2)CC1 ZINC000771722441 1073685243 /nfs/dbraw/zinc/68/52/43/1073685243.db2.gz NLVKPDUTHOSGTE-UHFFFAOYSA-N 0 0 440.522 -0.089 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cn4cc(Br)ncc4n3)c2c(=O)n(C)c1=O ZINC000771724680 1073685191 /nfs/dbraw/zinc/68/51/91/1073685191.db2.gz SEOUIZDEQSWYBQ-UHFFFAOYSA-N 0 0 433.226 -0.122 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)CCNC(=O)OCc2ccccc2)CC1 ZINC000771728766 1073684565 /nfs/dbraw/zinc/68/45/65/1073684565.db2.gz FCFYHIONKGKVFY-UHFFFAOYSA-N 0 0 434.540 -0.333 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)CCNC(=O)OCc2ccccc2)CC1 ZINC000771731456 1073684599 /nfs/dbraw/zinc/68/45/99/1073684599.db2.gz MRIKYMGLVUUNTN-UHFFFAOYSA-N 0 0 448.567 -0.591 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)OCc1ccccc1 ZINC000771733942 1073684610 /nfs/dbraw/zinc/68/46/10/1073684610.db2.gz JQUKLKITKJPPBS-UHFFFAOYSA-N 0 0 438.506 -0.335 20 0 IBADRN O=C(CCNS(=O)(=O)CCNC(=O)OCc1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC000771734094 1073684615 /nfs/dbraw/zinc/68/46/15/1073684615.db2.gz STOMWXSGFDTUFS-HNNXBMFYSA-N 0 0 447.535 -0.474 20 0 IBADRN O=C(CCNS(=O)(=O)CCNC(=O)OCc1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000771734105 1073684551 /nfs/dbraw/zinc/68/45/51/1073684551.db2.gz STOMWXSGFDTUFS-OAHLLOKOSA-N 0 0 447.535 -0.474 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCNC(=O)OCc1ccccc1 ZINC000771734297 1073684661 /nfs/dbraw/zinc/68/46/61/1073684661.db2.gz WCWDKTQTMTUDQU-HNNXBMFYSA-N 0 0 447.535 -0.522 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCNC(=O)OCc1ccccc1 ZINC000771734299 1073684626 /nfs/dbraw/zinc/68/46/26/1073684626.db2.gz WCWDKTQTMTUDQU-OAHLLOKOSA-N 0 0 447.535 -0.522 20 0 IBADRN O=C(NCCS(=O)(=O)NCCS(=O)(=O)N1CCOCC1)OCc1ccccc1 ZINC000771741530 1073684632 /nfs/dbraw/zinc/68/46/32/1073684632.db2.gz DOPADANZARYMTB-UHFFFAOYSA-N 0 0 435.524 -0.506 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)CCNC(=O)OCc1ccccc1 ZINC000771754415 1073684637 /nfs/dbraw/zinc/68/46/37/1073684637.db2.gz PZFFOPFXQPPKLT-HNNXBMFYSA-N 0 0 426.495 -0.431 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)CCNC(=O)OCc1ccccc1 ZINC000771754417 1073684656 /nfs/dbraw/zinc/68/46/56/1073684656.db2.gz PZFFOPFXQPPKLT-OAHLLOKOSA-N 0 0 426.495 -0.431 20 0 IBADRN COC(=O)N1CC(NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1 ZINC000771755284 1073684575 /nfs/dbraw/zinc/68/45/75/1073684575.db2.gz GDVDREKDANFKGN-AWEZNQCLSA-N 0 0 427.479 -0.205 20 0 IBADRN COC(=O)N1CC(NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1 ZINC000771755285 1073684663 /nfs/dbraw/zinc/68/46/63/1073684663.db2.gz GDVDREKDANFKGN-CQSZACIVSA-N 0 0 427.479 -0.205 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)CCNC(=O)OCc2ccccc2)CC1 ZINC000771757586 1073684604 /nfs/dbraw/zinc/68/46/04/1073684604.db2.gz LBARRGVTVMEVOF-UHFFFAOYSA-N 0 0 440.522 -0.087 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000771759153 1073685847 /nfs/dbraw/zinc/68/58/47/1073685847.db2.gz LSPDFQISMPSZBD-UHFFFAOYSA-N 0 0 445.519 -0.658 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C2=NN(c3ccccc3)C(=O)[C@H](C)N2)CC1 ZINC000771761093 1073686052 /nfs/dbraw/zinc/68/60/52/1073686052.db2.gz WJXWTKPRLCVLEW-HNNXBMFYSA-N 0 0 437.522 -0.165 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C2=NN(c3ccccc3)C(=O)[C@@H](C)N2)CC1 ZINC000771761096 1073685997 /nfs/dbraw/zinc/68/59/97/1073685997.db2.gz WJXWTKPRLCVLEW-OAHLLOKOSA-N 0 0 437.522 -0.165 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000771761343 1073686012 /nfs/dbraw/zinc/68/60/12/1073686012.db2.gz ZIKDFMNFQXGOOQ-HNNXBMFYSA-N 0 0 432.524 -0.773 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000771761344 1073686112 /nfs/dbraw/zinc/68/61/12/1073686112.db2.gz ZIKDFMNFQXGOOQ-OAHLLOKOSA-N 0 0 432.524 -0.773 20 0 IBADRN CCOC(=O)[C@](C)(O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000771762925 1073685966 /nfs/dbraw/zinc/68/59/66/1073685966.db2.gz PTBYCAKBOWRTMK-GOSISDBHSA-N 0 0 435.543 -0.133 20 0 IBADRN CCOC(=O)[C@@](C)(O)CN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000771762926 1073685892 /nfs/dbraw/zinc/68/58/92/1073685892.db2.gz PTBYCAKBOWRTMK-SFHVURJKSA-N 0 0 435.543 -0.133 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC(C(=O)NCCO)CC2)cc1 ZINC000771767672 1073685863 /nfs/dbraw/zinc/68/58/63/1073685863.db2.gz DMJKZBAEQNLHTF-UHFFFAOYSA-N 0 0 441.506 -0.511 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)CCNC(=O)OCc2ccccc2)CC1 ZINC000771771169 1073686676 /nfs/dbraw/zinc/68/66/76/1073686676.db2.gz MESNKXOUCIYLDX-UHFFFAOYSA-N 0 0 427.479 -0.050 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)CC1 ZINC000771772241 1073686487 /nfs/dbraw/zinc/68/64/87/1073686487.db2.gz UMTWYKNIZFIHOR-UHFFFAOYSA-N 0 0 444.462 -0.114 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)CCNC(=O)OCc2ccccc2)CC1 ZINC000771772550 1073686704 /nfs/dbraw/zinc/68/67/04/1073686704.db2.gz FIUMNYQQKKZVCM-UHFFFAOYSA-N 0 0 434.540 -0.851 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCC(C(=O)NCCO)CC2)c1 ZINC000771776892 1073685053 /nfs/dbraw/zinc/68/50/53/1073685053.db2.gz WPNZZXAAOLNMKZ-UHFFFAOYSA-N 0 0 427.523 -0.120 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC(C(=O)NCCO)CC2)C1=O ZINC000771777359 1073685164 /nfs/dbraw/zinc/68/51/64/1073685164.db2.gz RUAAPVYPPHPYTR-NRFANRHFSA-N 0 0 432.477 -0.191 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC(C(=O)NCCO)CC2)C1=O ZINC000771777361 1073685296 /nfs/dbraw/zinc/68/52/96/1073685296.db2.gz RUAAPVYPPHPYTR-OAQYLSRUSA-N 0 0 432.477 -0.191 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC(C(=O)NCCO)CC3)C2=O)cc1 ZINC000771779285 1073685177 /nfs/dbraw/zinc/68/51/77/1073685177.db2.gz ZTYYFADWXZMKQU-NRFANRHFSA-N 0 0 432.477 -0.191 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC(C(=O)NCCO)CC3)C2=O)cc1 ZINC000771779287 1073685213 /nfs/dbraw/zinc/68/52/13/1073685213.db2.gz ZTYYFADWXZMKQU-OAQYLSRUSA-N 0 0 432.477 -0.191 20 0 IBADRN COCCN(C(=O)CN1CCC2(CC(C(=O)OC)=NO2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000771780369 1073685153 /nfs/dbraw/zinc/68/51/53/1073685153.db2.gz LDRNEWNRKPILAQ-AWEZNQCLSA-N 0 0 431.511 -0.568 20 0 IBADRN COCCN(C(=O)CN1CCC2(CC(C(=O)OC)=NO2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000771780383 1073685220 /nfs/dbraw/zinc/68/52/20/1073685220.db2.gz LDRNEWNRKPILAQ-CQSZACIVSA-N 0 0 431.511 -0.568 20 0 IBADRN COC(=O)C1(NS(=O)(=O)CCNC(=O)OCc2ccccc2)CCS(=O)(=O)CC1 ZINC000771781963 1073685319 /nfs/dbraw/zinc/68/53/19/1073685319.db2.gz YRQMUSCXXHFLRR-UHFFFAOYSA-N 0 0 448.519 -0.047 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CCN1c1nccn2cnnc12 ZINC000771791009 1073685279 /nfs/dbraw/zinc/68/52/79/1073685279.db2.gz LRIJWKKIILDXQY-DOMZBBRYSA-N 0 0 428.478 -0.109 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CCN1c1nccn2cnnc12 ZINC000771791010 1073685309 /nfs/dbraw/zinc/68/53/09/1073685309.db2.gz LRIJWKKIILDXQY-IUODEOHRSA-N 0 0 428.478 -0.109 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CCN1c1nccn2cnnc12 ZINC000771791011 1073685133 /nfs/dbraw/zinc/68/51/33/1073685133.db2.gz LRIJWKKIILDXQY-SWLSCSKDSA-N 0 0 428.478 -0.109 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CCN1c1nccn2cnnc12 ZINC000771791012 1073686058 /nfs/dbraw/zinc/68/60/58/1073686058.db2.gz LRIJWKKIILDXQY-WFASDCNBSA-N 0 0 428.478 -0.109 20 0 IBADRN NC(=O)c1cc(OC2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)ccn1 ZINC000771794438 1073685948 /nfs/dbraw/zinc/68/59/48/1073685948.db2.gz OPCBYCRZDLWMSB-UHFFFAOYSA-N 0 0 445.457 -0.058 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N(CCO)CCOCC(F)(F)F ZINC000771813133 1073686561 /nfs/dbraw/zinc/68/65/61/1073686561.db2.gz CPPPDNFVNVLSSP-LLVKDONJSA-N 0 0 429.417 -0.448 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N(CCO)CCOCC(F)(F)F ZINC000771813136 1073686634 /nfs/dbraw/zinc/68/66/34/1073686634.db2.gz CPPPDNFVNVLSSP-NSHDSACASA-N 0 0 429.417 -0.448 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000771813756 1073686696 /nfs/dbraw/zinc/68/66/96/1073686696.db2.gz XBFQPPJQJDTJRC-AWNIVKPZSA-N 0 0 432.506 -0.056 20 0 IBADRN O=C(CN1CCN2C(=O)N=NC2C1)N1CCN(S(=O)(=O)C=Cc2ccccc2)CC1 ZINC000771813757 1073686576 /nfs/dbraw/zinc/68/65/76/1073686576.db2.gz XBFQPPJQJDTJRC-MLPAPPSSSA-N 0 0 432.506 -0.056 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N(CCO)CCN2CCOCC2)sc1Br ZINC000771814688 1073686718 /nfs/dbraw/zinc/68/67/18/1073686718.db2.gz HWNVJROVFWADIU-UHFFFAOYSA-N 0 0 442.357 -0.075 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N(CCO)CCN3CCOCC3)C2=O)cc1 ZINC000771814695 1073686653 /nfs/dbraw/zinc/68/66/53/1073686653.db2.gz IAYAHNQBBNEPMS-NRFANRHFSA-N 0 0 434.493 -0.385 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N(CCO)CCN3CCOCC3)C2=O)cc1 ZINC000771814700 1073686499 /nfs/dbraw/zinc/68/64/99/1073686499.db2.gz IAYAHNQBBNEPMS-OAQYLSRUSA-N 0 0 434.493 -0.385 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N(CCO)CCN2CCOCC2)c1 ZINC000771819429 1073686619 /nfs/dbraw/zinc/68/66/19/1073686619.db2.gz ZTHIHDILXOQMKJ-UHFFFAOYSA-N 0 0 436.328 -0.137 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)sc1C ZINC000771935707 1073689145 /nfs/dbraw/zinc/68/91/45/1073689145.db2.gz SPZUZDFGZTTXLP-GFCCVEGCSA-N 0 0 443.594 -0.417 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)sc1C ZINC000771935711 1073689034 /nfs/dbraw/zinc/68/90/34/1073689034.db2.gz SPZUZDFGZTTXLP-LBPRGKRZSA-N 0 0 443.594 -0.417 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)ccn1 ZINC000771953345 1073689115 /nfs/dbraw/zinc/68/91/15/1073689115.db2.gz VBSVIOXIYCQXRE-UHFFFAOYSA-N 0 0 441.510 -0.605 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCN(C)S(=O)(=O)c2cccs2)CC1 ZINC000771967899 1073689148 /nfs/dbraw/zinc/68/91/48/1073689148.db2.gz GAOJRKYUHXMNDE-UHFFFAOYSA-N 0 0 440.569 -0.023 20 0 IBADRN Cn1ccnc1[C@@H](O)C1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000771974239 1073689153 /nfs/dbraw/zinc/68/91/53/1073689153.db2.gz AJMFDAWHXMGQPF-INIZCTEOSA-N 0 0 428.559 -0.548 20 0 IBADRN Cn1ccnc1[C@H](O)C1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000771974240 1073689079 /nfs/dbraw/zinc/68/90/79/1073689079.db2.gz AJMFDAWHXMGQPF-MRXNPFEDSA-N 0 0 428.559 -0.548 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000771975940 1073689628 /nfs/dbraw/zinc/68/96/28/1073689628.db2.gz ACJNVAWQYVUUHU-UHFFFAOYSA-N 0 0 440.569 -0.057 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)CC1 ZINC000771976579 1073689558 /nfs/dbraw/zinc/68/95/58/1073689558.db2.gz JFRDDNGBKIDRNF-UHFFFAOYSA-N 0 0 446.508 -0.186 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)N2CCN(C(=O)OCC)CC2)CC1 ZINC000771977188 1073689606 /nfs/dbraw/zinc/68/96/06/1073689606.db2.gz IKMXZELFTCEOKO-UHFFFAOYSA-N 0 0 434.515 -0.603 20 0 IBADRN CN(CCNC(=O)NCCS(=O)(=O)N1CCOCC1)S(=O)(=O)c1cccs1 ZINC000771982069 1073688997 /nfs/dbraw/zinc/68/89/97/1073688997.db2.gz UDRXPGUONGSHRZ-UHFFFAOYSA-N 0 0 440.569 -0.670 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)NCCS(=O)(=O)N2CCOCC2)s1 ZINC000771982830 1073689653 /nfs/dbraw/zinc/68/96/53/1073689653.db2.gz ZJADNVDKBKPENO-UHFFFAOYSA-N 0 0 440.569 -0.704 20 0 IBADRN C[S@](=O)CCN1CCN(c2cc(N3CCN(CC[S@@](C)=O)CC3)ncn2)CC1 ZINC000772074857 1073689613 /nfs/dbraw/zinc/68/96/13/1073689613.db2.gz IAWIXDMPCDWQKQ-HNRBIFIRSA-N 0 0 428.628 -0.522 20 0 IBADRN C[S@](=O)CCN1CCN(c2cc(N3CCN(CC[S@](C)=O)CC3)ncn2)CC1 ZINC000772074858 1073689593 /nfs/dbraw/zinc/68/95/93/1073689593.db2.gz IAWIXDMPCDWQKQ-NSOVKSMOSA-N 0 0 428.628 -0.522 20 0 IBADRN C[S@@](=O)CCN1CCN(c2cc(N3CCN(CC[S@@](C)=O)CC3)ncn2)CC1 ZINC000772074859 1073689695 /nfs/dbraw/zinc/68/96/95/1073689695.db2.gz IAWIXDMPCDWQKQ-VSGBNLITSA-N 0 0 428.628 -0.522 20 0 IBADRN CN(CCNC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)S(=O)(=O)c1cccs1 ZINC000772144777 1073689598 /nfs/dbraw/zinc/68/95/98/1073689598.db2.gz XGKDRZBZFHVRHV-UHFFFAOYSA-N 0 0 430.533 -0.018 20 0 IBADRN NC(=O)NC(=O)OCCCN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000772173907 1073690288 /nfs/dbraw/zinc/69/02/88/1073690288.db2.gz GIHBNMGKHAOMIX-UHFFFAOYSA-N 0 0 426.455 -0.230 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NCCc2nnnn2C)c1 ZINC000772177245 1073689620 /nfs/dbraw/zinc/68/96/20/1073689620.db2.gz DZGJSOOHNFPBAN-UHFFFAOYSA-N 0 0 447.315 -0.130 20 0 IBADRN NC(=O)NC(=O)OCCCN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC000772202464 1073690913 /nfs/dbraw/zinc/69/09/13/1073690913.db2.gz SJACADFFCHQUSP-UHFFFAOYSA-N 0 0 441.466 -0.481 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CCCOC(=O)NC(N)=O)C2)CC1 ZINC000772208589 1073690389 /nfs/dbraw/zinc/69/03/89/1073690389.db2.gz JUCARDBVAOQHMC-UHFFFAOYSA-N 0 0 449.530 -0.252 20 0 IBADRN CN1CCN(C(=O)CN2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1 ZINC000772208591 1073690376 /nfs/dbraw/zinc/69/03/76/1073690376.db2.gz JWFVFTUZPJUJGZ-UHFFFAOYSA-N 0 0 445.586 -0.673 20 0 IBADRN COc1cc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)nn1C ZINC000772213491 1073690396 /nfs/dbraw/zinc/69/03/96/1073690396.db2.gz RDCYQYQURWLYTR-UHFFFAOYSA-N 0 0 446.507 -0.037 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)C1 ZINC000772220489 1073690296 /nfs/dbraw/zinc/69/02/96/1073690296.db2.gz BEAJEDKWVZHSNT-HRYODUOUSA-N 0 0 439.519 -0.403 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)C1 ZINC000772220494 1073690409 /nfs/dbraw/zinc/69/04/09/1073690409.db2.gz BEAJEDKWVZHSNT-IAOKNECISA-N 0 0 439.519 -0.403 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)C1 ZINC000772220499 1073690391 /nfs/dbraw/zinc/69/03/91/1073690391.db2.gz BEAJEDKWVZHSNT-JGWKKKPCSA-N 0 0 439.519 -0.403 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)C1 ZINC000772220504 1073690314 /nfs/dbraw/zinc/69/03/14/1073690314.db2.gz BEAJEDKWVZHSNT-SJWLEHQBSA-N 0 0 439.519 -0.403 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)C1 ZINC000772223229 1073690368 /nfs/dbraw/zinc/69/03/68/1073690368.db2.gz NVZZOAAYQLGXNH-BNXPMYBGSA-N 0 0 442.563 -0.506 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)C1 ZINC000772223231 1073690219 /nfs/dbraw/zinc/69/02/19/1073690219.db2.gz NVZZOAAYQLGXNH-FUIJVIPGSA-N 0 0 442.563 -0.506 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)C1 ZINC000772223233 1073690306 /nfs/dbraw/zinc/69/03/06/1073690306.db2.gz NVZZOAAYQLGXNH-KYTCBBFDSA-N 0 0 442.563 -0.506 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)C1 ZINC000772223234 1073690340 /nfs/dbraw/zinc/69/03/40/1073690340.db2.gz NVZZOAAYQLGXNH-SDSFWRRZSA-N 0 0 442.563 -0.506 20 0 IBADRN CN(CCNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)S(=O)(=O)c1cccs1 ZINC000772227368 1073690249 /nfs/dbraw/zinc/69/02/49/1073690249.db2.gz UWTOJTNYLGAAHA-UHFFFAOYSA-N 0 0 430.533 -0.018 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c(C)s1 ZINC000772234912 1073690770 /nfs/dbraw/zinc/69/07/70/1073690770.db2.gz FTOZLIRGFGDAOO-AATRIKPKSA-N 0 0 426.520 -0.130 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c(C)s1 ZINC000772235002 1073691451 /nfs/dbraw/zinc/69/14/51/1073691451.db2.gz FTOZLIRGFGDAOO-WAYWQWQTSA-N 0 0 426.520 -0.130 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC000772236813 1073691444 /nfs/dbraw/zinc/69/14/44/1073691444.db2.gz LWCHPKSYSWQSRO-HNNXBMFYSA-N 0 0 443.547 -0.290 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC000772236814 1073691370 /nfs/dbraw/zinc/69/13/70/1073691370.db2.gz LWCHPKSYSWQSRO-OAHLLOKOSA-N 0 0 443.547 -0.290 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C2(O)CCOCC2)CC1 ZINC000772237632 1073691454 /nfs/dbraw/zinc/69/14/54/1073691454.db2.gz OWRUUDUTSHRBMV-UHFFFAOYSA-N 0 0 446.547 -0.227 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000772248440 1073691480 /nfs/dbraw/zinc/69/14/80/1073691480.db2.gz XCVLPSNXFLDDKE-CYBMUJFWSA-N 0 0 448.494 -0.113 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC000772248441 1073691432 /nfs/dbraw/zinc/69/14/32/1073691432.db2.gz XCVLPSNXFLDDKE-ZDUSSCGKSA-N 0 0 448.494 -0.113 20 0 IBADRN O=C(c1ccc(OC(F)F)cn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000772248681 1073691448 /nfs/dbraw/zinc/69/14/48/1073691448.db2.gz ZZGZBKPXNRVWJZ-GFCCVEGCSA-N 0 0 439.462 -0.042 20 0 IBADRN O=C(c1ccc(OC(F)F)cn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000772248682 1073691437 /nfs/dbraw/zinc/69/14/37/1073691437.db2.gz ZZGZBKPXNRVWJZ-LBPRGKRZSA-N 0 0 439.462 -0.042 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2c[nH]cc(C)c2=O)CC1 ZINC000772252733 1073691386 /nfs/dbraw/zinc/69/13/86/1073691386.db2.gz BHSVYXAOWQQYTQ-UHFFFAOYSA-N 0 0 438.462 -0.022 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C3CCCCC3)C2)n1 ZINC000772256237 1073691394 /nfs/dbraw/zinc/69/13/94/1073691394.db2.gz OIYYXMBFUSWLSI-CYBMUJFWSA-N 0 0 425.511 -0.084 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C3CCCCC3)C2)n1 ZINC000772256240 1073691425 /nfs/dbraw/zinc/69/14/25/1073691425.db2.gz OIYYXMBFUSWLSI-ZDUSSCGKSA-N 0 0 425.511 -0.084 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)cn1 ZINC000772270403 1073690761 /nfs/dbraw/zinc/69/07/61/1073690761.db2.gz QXKXNBMQUHWWCS-UHFFFAOYSA-N 0 0 447.473 -0.013 20 0 IBADRN Cn1c2[nH]c(CN3CCN(c4ccc(NS(C)(=O)=O)cc4)CC3)nc2c(=O)n(C)c1=O ZINC000772272464 1073690870 /nfs/dbraw/zinc/69/08/70/1073690870.db2.gz MNYUWVOWCXUBDQ-UHFFFAOYSA-N 0 0 447.521 -0.346 20 0 IBADRN C[C@@H](OC(=O)CCS(=O)(=O)N(C)C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000772273594 1073690845 /nfs/dbraw/zinc/69/08/45/1073690845.db2.gz DATIFDLSWIRKPC-GFCCVEGCSA-N 0 0 435.524 -0.794 20 0 IBADRN C[C@H](OC(=O)CCS(=O)(=O)N(C)C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000772273600 1073690676 /nfs/dbraw/zinc/69/06/76/1073690676.db2.gz DATIFDLSWIRKPC-LBPRGKRZSA-N 0 0 435.524 -0.794 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)NCc1ccccc1CN1CCOCC1 ZINC000772274620 1073690817 /nfs/dbraw/zinc/69/08/17/1073690817.db2.gz IYOOQNFJKLQXIG-UHFFFAOYSA-N 0 0 427.523 -0.040 20 0 IBADRN CC(C)[C@H](OC(=O)CCS(=O)(=O)N(C)C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000772274962 1073690784 /nfs/dbraw/zinc/69/07/84/1073690784.db2.gz FHSOSJBUOMDJKJ-INIZCTEOSA-N 0 0 427.527 -0.026 20 0 IBADRN CC(C)[C@@H](OC(=O)CCS(=O)(=O)N(C)C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000772274964 1073690886 /nfs/dbraw/zinc/69/08/86/1073690886.db2.gz FHSOSJBUOMDJKJ-MRXNPFEDSA-N 0 0 427.527 -0.026 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CCS(=O)(=O)N(C)C ZINC000772275070 1073690811 /nfs/dbraw/zinc/69/08/11/1073690811.db2.gz GMNVYFQHUPVOMD-LLVKDONJSA-N 0 0 433.508 -0.564 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CCS(=O)(=O)N(C)C ZINC000772275071 1073690881 /nfs/dbraw/zinc/69/08/81/1073690881.db2.gz GMNVYFQHUPVOMD-NSHDSACASA-N 0 0 433.508 -0.564 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)Nc1cc(S(N)(=O)=O)ccc1Cl ZINC000772275458 1073690904 /nfs/dbraw/zinc/69/09/04/1073690904.db2.gz GTEBDBHWCOGVOD-UHFFFAOYSA-N 0 0 427.888 -0.249 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCS(=O)(=O)N(C)C)C1=O ZINC000772275759 1073690739 /nfs/dbraw/zinc/69/07/39/1073690739.db2.gz JKBDHCKNOJLMTR-GOSISDBHSA-N 0 0 440.478 -0.300 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCS(=O)(=O)N(C)C)C1=O ZINC000772275762 1073690853 /nfs/dbraw/zinc/69/08/53/1073690853.db2.gz JKBDHCKNOJLMTR-SFHVURJKSA-N 0 0 440.478 -0.300 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NCCc1nnnn1C ZINC000772284176 1073691469 /nfs/dbraw/zinc/69/14/69/1073691469.db2.gz KVGUHEDIPQTVAD-UHFFFAOYSA-N 0 0 436.372 -0.190 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CCS(=O)(=O)N(C)C)c1ccc(S(N)(=O)=O)cc1 ZINC000772286230 1073691403 /nfs/dbraw/zinc/69/14/03/1073691403.db2.gz NPPIFODSHRXRIH-NEPJUHHUSA-N 0 0 435.524 -0.276 20 0 IBADRN C[C@@H](OC(=O)CCS(=O)(=O)N(C)C)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000772286232 1073692026 /nfs/dbraw/zinc/69/20/26/1073692026.db2.gz NPPIFODSHRXRIH-NWDGAFQWSA-N 0 0 435.524 -0.276 20 0 IBADRN C[C@H](NC(=O)[C@H](C)OC(=O)CCS(=O)(=O)N(C)C)c1ccc(S(N)(=O)=O)cc1 ZINC000772286234 1073692019 /nfs/dbraw/zinc/69/20/19/1073692019.db2.gz NPPIFODSHRXRIH-RYUDHWBXSA-N 0 0 435.524 -0.276 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)OC(=O)CCS(=O)(=O)N(C)C)c1ccc(S(N)(=O)=O)cc1 ZINC000772286236 1073692002 /nfs/dbraw/zinc/69/20/02/1073692002.db2.gz NPPIFODSHRXRIH-VXGBXAGGSA-N 0 0 435.524 -0.276 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000772286783 1073691483 /nfs/dbraw/zinc/69/14/83/1073691483.db2.gz RCKPBNAYTWQEBU-UHFFFAOYSA-N 0 0 441.506 -0.400 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000772287166 1073691998 /nfs/dbraw/zinc/69/19/98/1073691998.db2.gz VRUCHIBPDWOULV-KBPBESRZSA-N 0 0 440.540 -0.994 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000772287168 1073691939 /nfs/dbraw/zinc/69/19/39/1073691939.db2.gz VRUCHIBPDWOULV-KGLIPLIRSA-N 0 0 440.540 -0.994 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000772287170 1073691932 /nfs/dbraw/zinc/69/19/32/1073691932.db2.gz VRUCHIBPDWOULV-UONOGXRCSA-N 0 0 440.540 -0.994 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000772287172 1073691985 /nfs/dbraw/zinc/69/19/85/1073691985.db2.gz VRUCHIBPDWOULV-ZIAGYGMSSA-N 0 0 440.540 -0.994 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000772299795 1073692004 /nfs/dbraw/zinc/69/20/04/1073692004.db2.gz HTZYSASOQPGPDT-CYBMUJFWSA-N 0 0 427.527 -0.196 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000772299803 1073692013 /nfs/dbraw/zinc/69/20/13/1073692013.db2.gz HTZYSASOQPGPDT-ZDUSSCGKSA-N 0 0 427.527 -0.196 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCO1)N1CCN(C(=O)COC(=O)[C@@H]2CCCCO2)CC1 ZINC000772309505 1073692471 /nfs/dbraw/zinc/69/24/71/1073692471.db2.gz IXVVTQKAUQOXRR-HOTGVXAUSA-N 0 0 426.466 -0.118 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCO1)N1CCN(C(=O)COC(=O)[C@H]2CCCCO2)CC1 ZINC000772309512 1073692502 /nfs/dbraw/zinc/69/25/02/1073692502.db2.gz IXVVTQKAUQOXRR-HZPDHXFCSA-N 0 0 426.466 -0.118 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCO1)N1CCN(C(=O)COC(=O)[C@@H]2CCCCO2)CC1 ZINC000772309514 1073692494 /nfs/dbraw/zinc/69/24/94/1073692494.db2.gz IXVVTQKAUQOXRR-IYBDPMFKSA-N 0 0 426.466 -0.118 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)on1 ZINC000772323126 1073694153 /nfs/dbraw/zinc/69/41/53/1073694153.db2.gz ZWQFDKGKHXQGGK-UHFFFAOYSA-N 0 0 428.423 -0.022 20 0 IBADRN COC(=O)c1n[nH]c2ccc(NC(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc21 ZINC000772326922 1073694169 /nfs/dbraw/zinc/69/41/69/1073694169.db2.gz FCWQDHAOGQSLJC-UHFFFAOYSA-N 0 0 444.448 -0.116 20 0 IBADRN CN(CCCNC(=O)CN1CCC(Oc2ccnc(C(N)=O)c2)CC1)S(C)(=O)=O ZINC000772331970 1073694224 /nfs/dbraw/zinc/69/42/24/1073694224.db2.gz JIEUKJLKNFZKCM-UHFFFAOYSA-N 0 0 427.527 -0.579 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000772333024 1073694136 /nfs/dbraw/zinc/69/41/36/1073694136.db2.gz MOGKCTGHXCEKJK-LLVKDONJSA-N 0 0 430.465 -0.725 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000772333026 1073694258 /nfs/dbraw/zinc/69/42/58/1073694258.db2.gz MOGKCTGHXCEKJK-NSHDSACASA-N 0 0 430.465 -0.725 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCC(Oc3ccnc(C(N)=O)c3)CC2)CC1 ZINC000772333670 1073694292 /nfs/dbraw/zinc/69/42/92/1073694292.db2.gz SLTGZWVTCCALOK-UHFFFAOYSA-N 0 0 432.525 -0.744 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cnn(CC(=O)NC4CCCC4)c3)c2c(=O)n(C)c1=O ZINC000772344703 1073694187 /nfs/dbraw/zinc/69/41/87/1073694187.db2.gz DETPCHBFHKCXTB-UHFFFAOYSA-N 0 0 443.464 -0.454 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC000772348324 1073694215 /nfs/dbraw/zinc/69/42/15/1073694215.db2.gz UOPSEVZEGCSYQC-UHFFFAOYSA-N 0 0 448.524 -0.669 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000772355489 1073693523 /nfs/dbraw/zinc/69/35/23/1073693523.db2.gz IWVUZSWVALMKGH-UHFFFAOYSA-N 0 0 429.433 -0.656 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000772356012 1073693632 /nfs/dbraw/zinc/69/36/32/1073693632.db2.gz ZQKRZTLRVBZITA-UHFFFAOYSA-N 0 0 434.493 -0.871 20 0 IBADRN CCN(C(=O)COC(=O)Cc1cccc(OCC(=O)NC)c1)[C@H]1CCS(=O)(=O)C1 ZINC000772358805 1073693545 /nfs/dbraw/zinc/69/35/45/1073693545.db2.gz UWFWTWZXIOLFKY-HNNXBMFYSA-N 0 0 426.491 -0.067 20 0 IBADRN CCN(C(=O)COC(=O)Cc1cccc(OCC(=O)NC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000772358808 1073693455 /nfs/dbraw/zinc/69/34/55/1073693455.db2.gz UWFWTWZXIOLFKY-OAHLLOKOSA-N 0 0 426.491 -0.067 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)O[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000772358888 1073693512 /nfs/dbraw/zinc/69/35/12/1073693512.db2.gz WQRFTALTHKTGDS-DZGCQCFKSA-N 0 0 426.491 -0.069 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)O[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000772358889 1073693498 /nfs/dbraw/zinc/69/34/98/1073693498.db2.gz WQRFTALTHKTGDS-HIFRSBDPSA-N 0 0 426.491 -0.069 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)O[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000772358890 1073693584 /nfs/dbraw/zinc/69/35/84/1073693584.db2.gz WQRFTALTHKTGDS-UKRRQHHQSA-N 0 0 426.491 -0.069 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)O[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000772358891 1073694204 /nfs/dbraw/zinc/69/42/04/1073694204.db2.gz WQRFTALTHKTGDS-ZFWWWQNUSA-N 0 0 426.491 -0.069 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000772362276 1073694161 /nfs/dbraw/zinc/69/41/61/1073694161.db2.gz CDGDDKRFJQMWBL-UHFFFAOYSA-N 0 0 443.460 -0.266 20 0 IBADRN NC(=O)CN1CCC(NS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000772372756 1073694871 /nfs/dbraw/zinc/69/48/71/1073694871.db2.gz RSWZKUWIIWLTBP-UHFFFAOYSA-N 0 0 430.552 -0.301 20 0 IBADRN COCc1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ncn1 ZINC000772375553 1073694770 /nfs/dbraw/zinc/69/47/70/1073694770.db2.gz WNGTZWWPLAXLTE-CYBMUJFWSA-N 0 0 433.512 -0.711 20 0 IBADRN COCc1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ncn1 ZINC000772375554 1073694904 /nfs/dbraw/zinc/69/49/04/1073694904.db2.gz WNGTZWWPLAXLTE-ZDUSSCGKSA-N 0 0 433.512 -0.711 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCCNC(=O)c1cccnc1)c(=O)n2C ZINC000772390352 1073694920 /nfs/dbraw/zinc/69/49/20/1073694920.db2.gz LCNARSSJLONKGX-UHFFFAOYSA-N 0 0 428.449 -0.028 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc(N3CCOCC3)cn1)C2 ZINC000772394690 1073694808 /nfs/dbraw/zinc/69/48/08/1073694808.db2.gz ALJUPNAEJCTIQZ-UHFFFAOYSA-N 0 0 430.469 -0.588 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCCS1(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000772402092 1073694238 /nfs/dbraw/zinc/69/42/38/1073694238.db2.gz KMINHBXITSUMEJ-DOMZBBRYSA-N 0 0 432.520 -0.108 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CCCCS1(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000772402096 1073694196 /nfs/dbraw/zinc/69/41/96/1073694196.db2.gz KMINHBXITSUMEJ-IUODEOHRSA-N 0 0 432.520 -0.108 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCCCS1(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000772402098 1073694209 /nfs/dbraw/zinc/69/42/09/1073694209.db2.gz KMINHBXITSUMEJ-SWLSCSKDSA-N 0 0 432.520 -0.108 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCCS1(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000772402101 1073694147 /nfs/dbraw/zinc/69/41/47/1073694147.db2.gz KMINHBXITSUMEJ-WFASDCNBSA-N 0 0 432.520 -0.108 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@@H]2CCCCS2(=O)=O)CC1 ZINC000772403265 1073694265 /nfs/dbraw/zinc/69/42/65/1073694265.db2.gz MSZQLSLVOCZDGK-AWEZNQCLSA-N 0 0 436.494 -0.478 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@H]2CCCCS2(=O)=O)CC1 ZINC000772403274 1073694833 /nfs/dbraw/zinc/69/48/33/1073694833.db2.gz MSZQLSLVOCZDGK-CQSZACIVSA-N 0 0 436.494 -0.478 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCS1(=O)=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000772406272 1073694897 /nfs/dbraw/zinc/69/48/97/1073694897.db2.gz HZYAKELSSZLYJQ-ILXRZTDVSA-N 0 0 437.536 -0.308 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCS1(=O)=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000772406273 1073694902 /nfs/dbraw/zinc/69/49/02/1073694902.db2.gz HZYAKELSSZLYJQ-KKUMJFAQSA-N 0 0 437.536 -0.308 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCS1(=O)=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000772406274 1073694886 /nfs/dbraw/zinc/69/48/86/1073694886.db2.gz HZYAKELSSZLYJQ-QLFBSQMISA-N 0 0 437.536 -0.308 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCS1(=O)=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000772406275 1073694859 /nfs/dbraw/zinc/69/48/59/1073694859.db2.gz HZYAKELSSZLYJQ-SOUVJXGZSA-N 0 0 437.536 -0.308 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@@H]2CCCCS2(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000772415633 1073694881 /nfs/dbraw/zinc/69/48/81/1073694881.db2.gz NLBQALIVZVUGPQ-HNNXBMFYSA-N 0 0 449.485 -0.129 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@H]2CCCCS2(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000772415637 1073694849 /nfs/dbraw/zinc/69/48/49/1073694849.db2.gz NLBQALIVZVUGPQ-OAHLLOKOSA-N 0 0 449.485 -0.129 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)ccn1 ZINC000772424533 1073694819 /nfs/dbraw/zinc/69/48/19/1073694819.db2.gz FBYBDBPRAGNUEY-UHFFFAOYSA-N 0 0 428.373 -0.081 20 0 IBADRN C[C@@H](OC(=O)c1ccnc(S(N)(=O)=O)c1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000772425923 1073694892 /nfs/dbraw/zinc/69/48/92/1073694892.db2.gz VAYNFPGNODUIDK-GFCCVEGCSA-N 0 0 436.446 -0.148 20 0 IBADRN C[C@H](OC(=O)c1ccnc(S(N)(=O)=O)c1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000772425924 1073694797 /nfs/dbraw/zinc/69/47/97/1073694797.db2.gz VAYNFPGNODUIDK-LBPRGKRZSA-N 0 0 436.446 -0.148 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)ccn1 ZINC000772426102 1073694737 /nfs/dbraw/zinc/69/47/37/1073694737.db2.gz WPSKJZIMYLXRSU-UHFFFAOYSA-N 0 0 427.402 -0.166 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1ccnc(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000772426256 1073695536 /nfs/dbraw/zinc/69/55/36/1073695536.db2.gz XIFWJZPHHQSALK-UHFFFAOYSA-N 0 0 436.512 -0.938 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccnc(S(N)(=O)=O)c1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000772427196 1073694786 /nfs/dbraw/zinc/69/47/86/1073694786.db2.gz YDSZBUQCFMGZBH-BBRMVZONSA-N 0 0 433.508 -0.396 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccnc(S(N)(=O)=O)c1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000772427197 1073694937 /nfs/dbraw/zinc/69/49/37/1073694937.db2.gz YDSZBUQCFMGZBH-CJNGLKHVSA-N 0 0 433.508 -0.396 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccnc(S(N)(=O)=O)c1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000772427198 1073695531 /nfs/dbraw/zinc/69/55/31/1073695531.db2.gz YDSZBUQCFMGZBH-CZUORRHYSA-N 0 0 433.508 -0.396 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccnc(S(N)(=O)=O)c1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000772427199 1073695489 /nfs/dbraw/zinc/69/54/89/1073695489.db2.gz YDSZBUQCFMGZBH-XJKSGUPXSA-N 0 0 433.508 -0.396 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)ccn1 ZINC000772427631 1073695393 /nfs/dbraw/zinc/69/53/93/1073695393.db2.gz HKBGRHSSOYXRRP-UHFFFAOYSA-N 0 0 438.487 -0.068 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccnc(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000772429273 1073695456 /nfs/dbraw/zinc/69/54/56/1073695456.db2.gz RHRVZGCPWVMRER-AAEUAGOBSA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccnc(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000772429274 1073695517 /nfs/dbraw/zinc/69/55/17/1073695517.db2.gz RHRVZGCPWVMRER-DGCLKSJQSA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccnc(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000772429275 1073695378 /nfs/dbraw/zinc/69/53/78/1073695378.db2.gz RHRVZGCPWVMRER-WCQYABFASA-N 0 0 433.508 -0.300 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccnc(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000772429276 1073695450 /nfs/dbraw/zinc/69/54/50/1073695450.db2.gz RHRVZGCPWVMRER-YPMHNXCESA-N 0 0 433.508 -0.300 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccnc(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000772437058 1073695333 /nfs/dbraw/zinc/69/53/33/1073695333.db2.gz IOCKGONNMGFWAO-CYBMUJFWSA-N 0 0 433.508 -0.298 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccnc(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000772437059 1073695404 /nfs/dbraw/zinc/69/54/04/1073695404.db2.gz IOCKGONNMGFWAO-ZDUSSCGKSA-N 0 0 433.508 -0.298 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)c2ccnc(S(N)(=O)=O)c2)c1 ZINC000772437124 1073695422 /nfs/dbraw/zinc/69/54/22/1073695422.db2.gz MVTGEMNSKHAQOZ-UHFFFAOYSA-N 0 0 442.475 -0.225 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1ccnc(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000772437200 1073695525 /nfs/dbraw/zinc/69/55/25/1073695525.db2.gz LLDBMGSRTWYNGF-CYBMUJFWSA-N 0 0 433.508 -0.443 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1ccnc(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000772437202 1073695462 /nfs/dbraw/zinc/69/54/62/1073695462.db2.gz LLDBMGSRTWYNGF-ZDUSSCGKSA-N 0 0 433.508 -0.443 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)c1ccnc(S(N)(=O)=O)c1 ZINC000772437327 1073695484 /nfs/dbraw/zinc/69/54/84/1073695484.db2.gz PGXAINGPKCOKNV-UHFFFAOYSA-N 0 0 436.446 -0.042 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)ccn1 ZINC000772438247 1073695353 /nfs/dbraw/zinc/69/53/53/1073695353.db2.gz OBTQCGCAOPSXNU-AWEZNQCLSA-N 0 0 445.519 -0.156 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)ccn1 ZINC000772438249 1073695414 /nfs/dbraw/zinc/69/54/14/1073695414.db2.gz OBTQCGCAOPSXNU-CQSZACIVSA-N 0 0 445.519 -0.156 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)c2ccnc(S(N)(=O)=O)c2)s1 ZINC000772438904 1073695368 /nfs/dbraw/zinc/69/53/68/1073695368.db2.gz UOJCJYDHRUUCJN-UHFFFAOYSA-N 0 0 447.516 -0.078 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)c2ccnc(S(N)(=O)=O)c2)c1 ZINC000772439731 1073695498 /nfs/dbraw/zinc/69/54/98/1073695498.db2.gz ZRWXQDMJTQTSHE-UHFFFAOYSA-N 0 0 436.446 -0.009 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)NC2CC2)CC1 ZINC000772446304 1073696078 /nfs/dbraw/zinc/69/60/78/1073696078.db2.gz IPIYURFSZOQPJE-UHFFFAOYSA-N 0 0 437.565 -0.298 20 0 IBADRN Cc1cccc(F)c1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000772452897 1073694911 /nfs/dbraw/zinc/69/49/11/1073694911.db2.gz KLHBFGZUYVDNJE-CYBMUJFWSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1cccc(F)c1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000772452899 1073694918 /nfs/dbraw/zinc/69/49/18/1073694918.db2.gz KLHBFGZUYVDNJE-ZDUSSCGKSA-N 0 0 440.540 -0.043 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn1 ZINC000772463327 1073696144 /nfs/dbraw/zinc/69/61/44/1073696144.db2.gz WWZHIXSWIUBMNB-UHFFFAOYSA-N 0 0 431.478 -0.072 20 0 IBADRN O=C(Nc1cnn(C(F)F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000772465156 1073696073 /nfs/dbraw/zinc/69/60/73/1073696073.db2.gz FNJGQAYXLLVEJQ-LLVKDONJSA-N 0 0 427.455 -0.055 20 0 IBADRN O=C(Nc1cnn(C(F)F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000772465159 1073696013 /nfs/dbraw/zinc/69/60/13/1073696013.db2.gz FNJGQAYXLLVEJQ-NSHDSACASA-N 0 0 427.455 -0.055 20 0 IBADRN CCN(C(=O)COC(=O)[C@H]1CCN(C)C1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000772509695 1073696159 /nfs/dbraw/zinc/69/61/59/1073696159.db2.gz UMAZGXIRXKCNNS-AWEZNQCLSA-N 0 0 443.460 -0.046 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H]1CCN(C)C1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000772509699 1073696026 /nfs/dbraw/zinc/69/60/26/1073696026.db2.gz UMAZGXIRXKCNNS-CQSZACIVSA-N 0 0 443.460 -0.046 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC000772510904 1073696049 /nfs/dbraw/zinc/69/60/49/1073696049.db2.gz WGJKRTFCDVCTDK-CYBMUJFWSA-N 0 0 428.467 -0.854 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2CCN(C)C2=O)CC1 ZINC000772510923 1073696104 /nfs/dbraw/zinc/69/61/04/1073696104.db2.gz WGJKRTFCDVCTDK-ZDUSSCGKSA-N 0 0 428.467 -0.854 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000772518328 1073695992 /nfs/dbraw/zinc/69/59/92/1073695992.db2.gz KUYHKMWHHPTZCS-HNNXBMFYSA-N 0 0 425.463 -0.333 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000772518339 1073696167 /nfs/dbraw/zinc/69/61/67/1073696167.db2.gz KUYHKMWHHPTZCS-OAHLLOKOSA-N 0 0 425.463 -0.333 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000772518621 1073696002 /nfs/dbraw/zinc/69/60/02/1073696002.db2.gz HNQPMTBWRYLQSZ-HNNXBMFYSA-N 0 0 425.463 -0.333 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000772518623 1073696137 /nfs/dbraw/zinc/69/61/37/1073696137.db2.gz HNQPMTBWRYLQSZ-OAHLLOKOSA-N 0 0 425.463 -0.333 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1CCN(C)C1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000772518754 1073696114 /nfs/dbraw/zinc/69/61/14/1073696114.db2.gz IWUYBCVHUWRMOL-GFCCVEGCSA-N 0 0 439.469 -0.812 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1CCN(C)C1=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000772518757 1073695982 /nfs/dbraw/zinc/69/59/82/1073695982.db2.gz IWUYBCVHUWRMOL-LBPRGKRZSA-N 0 0 439.469 -0.812 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000772522679 1073696061 /nfs/dbraw/zinc/69/60/61/1073696061.db2.gz GSYWONKHWWZXRC-HNNXBMFYSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1=O ZINC000772522680 1073696129 /nfs/dbraw/zinc/69/61/29/1073696129.db2.gz GSYWONKHWWZXRC-OAHLLOKOSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1=O ZINC000772525055 1073696093 /nfs/dbraw/zinc/69/60/93/1073696093.db2.gz LYVCWFFFUBUGPD-PBHICJAKSA-N 0 0 431.445 -0.481 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1=O ZINC000772525057 1073696847 /nfs/dbraw/zinc/69/68/47/1073696847.db2.gz LYVCWFFFUBUGPD-RHSMWYFYSA-N 0 0 431.445 -0.481 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1=O ZINC000772525060 1073696840 /nfs/dbraw/zinc/69/68/40/1073696840.db2.gz LYVCWFFFUBUGPD-WMLDXEAASA-N 0 0 431.445 -0.481 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1=O ZINC000772525062 1073696854 /nfs/dbraw/zinc/69/68/54/1073696854.db2.gz LYVCWFFFUBUGPD-YOEHRIQHSA-N 0 0 431.445 -0.481 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@H]2CCN(C)C2=O)c(N)n(Cc2ccccc2)c1=O ZINC000772529376 1073696792 /nfs/dbraw/zinc/69/67/92/1073696792.db2.gz BYHQMWOJRGPIBR-AWEZNQCLSA-N 0 0 428.445 -0.135 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@@H]2CCN(C)C2=O)c(N)n(Cc2ccccc2)c1=O ZINC000772529377 1073696762 /nfs/dbraw/zinc/69/67/62/1073696762.db2.gz BYHQMWOJRGPIBR-CQSZACIVSA-N 0 0 428.445 -0.135 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1=O ZINC000772530030 1073696807 /nfs/dbraw/zinc/69/68/07/1073696807.db2.gz WTFLSDRUUCRNPP-HNNXBMFYSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1=O ZINC000772530034 1073696708 /nfs/dbraw/zinc/69/67/08/1073696708.db2.gz WTFLSDRUUCRNPP-OAHLLOKOSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1=O ZINC000772530327 1073696602 /nfs/dbraw/zinc/69/66/02/1073696602.db2.gz YDXQTFFROWPNOU-CYBMUJFWSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1=O ZINC000772530330 1073696655 /nfs/dbraw/zinc/69/66/55/1073696655.db2.gz YDXQTFFROWPNOU-ZDUSSCGKSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1=O ZINC000772530350 1073696696 /nfs/dbraw/zinc/69/66/96/1073696696.db2.gz YVTAZMTUNWMPTO-GFCCVEGCSA-N 0 0 445.444 -0.181 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1=O ZINC000772530351 1073696800 /nfs/dbraw/zinc/69/68/00/1073696800.db2.gz YVTAZMTUNWMPTO-LBPRGKRZSA-N 0 0 445.444 -0.181 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@H]2CCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000772533365 1073696664 /nfs/dbraw/zinc/69/66/64/1073696664.db2.gz NCDQHWPQCDJONZ-HNNXBMFYSA-N 0 0 439.490 -0.024 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@@H]2CCN(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000772533366 1073696746 /nfs/dbraw/zinc/69/67/46/1073696746.db2.gz NCDQHWPQCDJONZ-OAHLLOKOSA-N 0 0 439.490 -0.024 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000772534590 1073696863 /nfs/dbraw/zinc/69/68/63/1073696863.db2.gz NEXVEKXUMFOXBW-UHFFFAOYSA-N 0 0 429.437 -0.481 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1=O ZINC000772536541 1073696833 /nfs/dbraw/zinc/69/68/33/1073696833.db2.gz SZEAHWFEBKLJHT-HNNXBMFYSA-N 0 0 439.490 -0.655 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1=O ZINC000772536549 1073696684 /nfs/dbraw/zinc/69/66/84/1073696684.db2.gz SZEAHWFEBKLJHT-OAHLLOKOSA-N 0 0 439.490 -0.655 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3CCN(C)C3=O)CC2)cc1 ZINC000772537406 1073697468 /nfs/dbraw/zinc/69/74/68/1073697468.db2.gz VNJDKDRQQZJKMD-INIZCTEOSA-N 0 0 439.490 -0.450 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3CCN(C)C3=O)CC2)cc1 ZINC000772537408 1073697477 /nfs/dbraw/zinc/69/74/77/1073697477.db2.gz VNJDKDRQQZJKMD-MRXNPFEDSA-N 0 0 439.490 -0.450 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000772537467 1073697483 /nfs/dbraw/zinc/69/74/83/1073697483.db2.gz VXKONABSPOOXMU-INIZCTEOSA-N 0 0 439.490 -0.655 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000772537469 1073697487 /nfs/dbraw/zinc/69/74/87/1073697487.db2.gz VXKONABSPOOXMU-MRXNPFEDSA-N 0 0 439.490 -0.655 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)s1 ZINC000772570379 1073697622 /nfs/dbraw/zinc/69/76/22/1073697622.db2.gz SXGMLTBOUGCSLD-UHFFFAOYSA-N 0 0 430.533 -0.051 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)s1 ZINC000772582600 1073697670 /nfs/dbraw/zinc/69/76/70/1073697670.db2.gz OOXNUVFDSMRHFG-UHFFFAOYSA-N 0 0 430.533 -0.051 20 0 IBADRN O=C(C[C@H](O)c1cccc(F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000772606026 1073698294 /nfs/dbraw/zinc/69/82/94/1073698294.db2.gz PQKUKKOWNSHCPA-CVEARBPZSA-N 0 0 434.511 -0.090 20 0 IBADRN O=C(C[C@H](O)c1cccc(F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000772606027 1073698314 /nfs/dbraw/zinc/69/83/14/1073698314.db2.gz PQKUKKOWNSHCPA-HOTGVXAUSA-N 0 0 434.511 -0.090 20 0 IBADRN O=C(C[C@@H](O)c1cccc(F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000772606028 1073698420 /nfs/dbraw/zinc/69/84/20/1073698420.db2.gz PQKUKKOWNSHCPA-HZPDHXFCSA-N 0 0 434.511 -0.090 20 0 IBADRN O=C(C[C@@H](O)c1cccc(F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000772606029 1073698441 /nfs/dbraw/zinc/69/84/41/1073698441.db2.gz PQKUKKOWNSHCPA-JKSUJKDBSA-N 0 0 434.511 -0.090 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)nn1C ZINC000772624505 1073697555 /nfs/dbraw/zinc/69/75/55/1073697555.db2.gz NUNPZZNYMAANPR-UHFFFAOYSA-N 0 0 441.466 -0.277 20 0 IBADRN COc1cc(C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)nn1C ZINC000772640958 1073698427 /nfs/dbraw/zinc/69/84/27/1073698427.db2.gz UFVOCBPZECXXEC-UHFFFAOYSA-N 0 0 427.417 -0.041 20 0 IBADRN CN(CCOC(=O)c1cnn(CC(=O)NC2CCCC2)c1)S(=O)(=O)N1CCOCC1 ZINC000772647634 1073698400 /nfs/dbraw/zinc/69/84/00/1073698400.db2.gz DULTUTAKGYEDDR-UHFFFAOYSA-N 0 0 443.526 -0.393 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)NCCN2CCN(C(C)=O)CC2)c(=O)[nH]c1=O ZINC000772648027 1073698326 /nfs/dbraw/zinc/69/83/26/1073698326.db2.gz AAXNPYWWDGGWHA-UHFFFAOYSA-N 0 0 429.543 -0.028 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000772663673 1073698508 /nfs/dbraw/zinc/69/85/08/1073698508.db2.gz WFQHHGQZSLINSW-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)nn1 ZINC000772671718 1073707576 /nfs/dbraw/zinc/70/75/76/1073707576.db2.gz QYLCMKBJNXELNG-UHFFFAOYSA-N 0 0 441.466 -0.790 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)c2ccc3c(c2)CCN3C(=O)CNC(C)=O)nn1 ZINC000772672034 1073707077 /nfs/dbraw/zinc/70/70/77/1073707077.db2.gz WMEVALRNUUXYFR-UHFFFAOYSA-N 0 0 429.433 -0.124 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)nn1 ZINC000772678300 1073712901 /nfs/dbraw/zinc/71/29/01/1073712901.db2.gz FTBAWFMCXXHNHO-UHFFFAOYSA-N 0 0 428.423 -0.536 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)nn1 ZINC000772680464 1073714887 /nfs/dbraw/zinc/71/48/87/1073714887.db2.gz UEJRIEVUCZHXPJ-UHFFFAOYSA-N 0 0 438.462 -0.129 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000772680778 1073720334 /nfs/dbraw/zinc/72/03/34/1073720334.db2.gz ZSEWYOSHNKGNPR-LLVKDONJSA-N 0 0 428.471 -0.746 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000772680827 1073720239 /nfs/dbraw/zinc/72/02/39/1073720239.db2.gz ZSEWYOSHNKGNPR-NSHDSACASA-N 0 0 428.471 -0.746 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)nn1 ZINC000772681856 1073719878 /nfs/dbraw/zinc/71/98/78/1073719878.db2.gz NEXWTUOCRLWSHF-UHFFFAOYSA-N 0 0 443.507 -0.084 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1 ZINC000772682642 1073721477 /nfs/dbraw/zinc/72/14/77/1073721477.db2.gz KYILQTOSVGMPON-UHFFFAOYSA-N 0 0 438.462 -0.129 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)nn1 ZINC000772683673 1073723327 /nfs/dbraw/zinc/72/33/27/1073723327.db2.gz SIBABJCIXPLXKC-FQEVSTJZSA-N 0 0 427.417 -0.070 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)nn1 ZINC000772683680 1073723213 /nfs/dbraw/zinc/72/32/13/1073723213.db2.gz SIBABJCIXPLXKC-HXUWFJFHSA-N 0 0 427.417 -0.070 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)c2sccc2S(=O)(=O)N2CCOCC2)nn1 ZINC000772684470 1073721606 /nfs/dbraw/zinc/72/16/06/1073721606.db2.gz XXEFRGDUHKXRBC-UHFFFAOYSA-N 0 0 444.491 -0.067 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000772686714 1073728473 /nfs/dbraw/zinc/72/84/73/1073728473.db2.gz GPLTWWZHKKPCBY-UHFFFAOYSA-N 0 0 438.462 -0.129 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H](O)c2cccc(F)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000772690174 1073730281 /nfs/dbraw/zinc/73/02/81/1073730281.db2.gz NDKXQSWTPDFTOW-DLBZAZTESA-N 0 0 427.498 -0.303 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H](O)c2cccc(F)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000772690175 1073730216 /nfs/dbraw/zinc/73/02/16/1073730216.db2.gz NDKXQSWTPDFTOW-IAGOWNOFSA-N 0 0 427.498 -0.303 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H](O)c2cccc(F)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000772690176 1073730093 /nfs/dbraw/zinc/73/00/93/1073730093.db2.gz NDKXQSWTPDFTOW-IRXDYDNUSA-N 0 0 427.498 -0.303 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H](O)c2cccc(F)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000772690177 1073730275 /nfs/dbraw/zinc/73/02/75/1073730275.db2.gz NDKXQSWTPDFTOW-SJORKVTESA-N 0 0 427.498 -0.303 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H]2CCC(=O)NC2=O)c1 ZINC000772699780 1073743049 /nfs/dbraw/zinc/74/30/49/1073743049.db2.gz GKMHCMUKNWPVDH-GFCCVEGCSA-N 0 0 448.295 -0.091 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@H]2CCC(=O)NC2=O)c1 ZINC000772699783 1073742930 /nfs/dbraw/zinc/74/29/30/1073742930.db2.gz GKMHCMUKNWPVDH-LBPRGKRZSA-N 0 0 448.295 -0.091 20 0 IBADRN CCOC[C@H](C(=O)OC)N(C)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000772705307 1073752676 /nfs/dbraw/zinc/75/26/76/1073752676.db2.gz FEJDQCQUPGIDTF-SECBINFHSA-N 0 0 430.259 -0.071 20 0 IBADRN CCOC[C@@H](C(=O)OC)N(C)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000772705308 1073752705 /nfs/dbraw/zinc/75/27/05/1073752705.db2.gz FEJDQCQUPGIDTF-VIFPVBQESA-N 0 0 430.259 -0.071 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000772733462 1073778302 /nfs/dbraw/zinc/77/83/02/1073778302.db2.gz JKLZGGRZWIIJDD-UHFFFAOYSA-N 0 0 437.474 -0.251 20 0 IBADRN CS(=O)(=O)CCOCCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC000772744791 1073786515 /nfs/dbraw/zinc/78/65/15/1073786515.db2.gz GJTUPEJBTZNCSG-UHFFFAOYSA-N 0 0 440.565 -0.189 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000772746604 1073789823 /nfs/dbraw/zinc/78/98/23/1073789823.db2.gz AVUIJLSHUMVTAZ-WOJGMQOQSA-N 0 0 438.506 -0.741 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000772746605 1073790015 /nfs/dbraw/zinc/79/00/15/1073790015.db2.gz AVUIJLSHUMVTAZ-WQRHYEAKSA-N 0 0 438.506 -0.741 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)CCC2 ZINC000772747249 1073789403 /nfs/dbraw/zinc/78/94/03/1073789403.db2.gz KUBCBVQUHWWZRJ-UHFFFAOYSA-N 0 0 447.517 -0.433 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000772747598 1073790660 /nfs/dbraw/zinc/79/06/60/1073790660.db2.gz PJGANCLNEQHMFD-UHFFFAOYSA-N 0 0 445.476 -0.226 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1)[C@@H](C)C(N)=O ZINC000772754175 1073798086 /nfs/dbraw/zinc/79/80/86/1073798086.db2.gz CEAICBMGQGZQFO-BXUGYJKXSA-N 0 0 438.550 -0.047 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1)[C@@H](C)C(N)=O ZINC000772754176 1073798107 /nfs/dbraw/zinc/79/81/07/1073798107.db2.gz CEAICBMGQGZQFO-KCPLEYNQSA-N 0 0 438.550 -0.047 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1)[C@H](C)C(N)=O ZINC000772754177 1073798148 /nfs/dbraw/zinc/79/81/48/1073798148.db2.gz CEAICBMGQGZQFO-XYMPBJBSSA-N 0 0 438.550 -0.047 20 0 IBADRN COCCN(CC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1)[C@H](C)C(N)=O ZINC000772754178 1073798074 /nfs/dbraw/zinc/79/80/74/1073798074.db2.gz CEAICBMGQGZQFO-YBYKNVHQSA-N 0 0 438.550 -0.047 20 0 IBADRN COCCN(CC(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)[C@H](C)C(N)=O ZINC000772754783 1073799716 /nfs/dbraw/zinc/79/97/16/1073799716.db2.gz JXUSMKKLPGWOPB-CYBMUJFWSA-N 0 0 425.511 -0.082 20 0 IBADRN COCCN(CC(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)[C@@H](C)C(N)=O ZINC000772754784 1073799742 /nfs/dbraw/zinc/79/97/42/1073799742.db2.gz JXUSMKKLPGWOPB-ZDUSSCGKSA-N 0 0 425.511 -0.082 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000772792054 1073815245 /nfs/dbraw/zinc/81/52/45/1073815245.db2.gz JYNFPQJFSPJRGP-CVEARBPZSA-N 0 0 429.514 -0.062 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000772792056 1073815106 /nfs/dbraw/zinc/81/51/06/1073815106.db2.gz JYNFPQJFSPJRGP-HOTGVXAUSA-N 0 0 429.514 -0.062 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000772792058 1073815175 /nfs/dbraw/zinc/81/51/75/1073815175.db2.gz JYNFPQJFSPJRGP-HZPDHXFCSA-N 0 0 429.514 -0.062 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000772792060 1073815055 /nfs/dbraw/zinc/81/50/55/1073815055.db2.gz JYNFPQJFSPJRGP-JKSUJKDBSA-N 0 0 429.514 -0.062 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000772797547 1073816702 /nfs/dbraw/zinc/81/67/02/1073816702.db2.gz XUXOBARPGSSIQB-CABCVRRESA-N 0 0 425.507 -0.060 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000772797549 1073816773 /nfs/dbraw/zinc/81/67/73/1073816773.db2.gz XUXOBARPGSSIQB-GJZGRUSLSA-N 0 0 425.507 -0.060 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000772797551 1073816836 /nfs/dbraw/zinc/81/68/36/1073816836.db2.gz XUXOBARPGSSIQB-HUUCEWRRSA-N 0 0 425.507 -0.060 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000772797553 1073816913 /nfs/dbraw/zinc/81/69/13/1073816913.db2.gz XUXOBARPGSSIQB-LSDHHAIUSA-N 0 0 425.507 -0.060 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000772799582 1073817712 /nfs/dbraw/zinc/81/77/12/1073817712.db2.gz OXWHQSOJBJWTEL-UHFFFAOYSA-N 0 0 429.549 -0.328 20 0 IBADRN COCCN1C[C@H](C(=O)NC[C@H]2CCCN2C(=O)[C@@H]2CC(=O)N(CCOC)C2)CC1=O ZINC000772816653 1073818927 /nfs/dbraw/zinc/81/89/27/1073818927.db2.gz IFJSHXNQUWWYLV-BRWVUGGUSA-N 0 0 438.525 -0.917 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCC[C@@H]2CNC(=O)[C@H]2CC(=O)N(CCOC)C2)CC1=O ZINC000772816654 1073818866 /nfs/dbraw/zinc/81/88/66/1073818866.db2.gz IFJSHXNQUWWYLV-GVDBMIGSSA-N 0 0 438.525 -0.917 20 0 IBADRN COCCN1C[C@H](C(=O)NC[C@H]2CCCN2C(=O)[C@H]2CC(=O)N(CCOC)C2)CC1=O ZINC000772816655 1073818757 /nfs/dbraw/zinc/81/87/57/1073818757.db2.gz IFJSHXNQUWWYLV-IXDOHACOSA-N 0 0 438.525 -0.917 20 0 IBADRN COCCN1C[C@@H](C(=O)NC[C@H]2CCCN2C(=O)[C@H]2CC(=O)N(CCOC)C2)CC1=O ZINC000772816656 1073818804 /nfs/dbraw/zinc/81/88/04/1073818804.db2.gz IFJSHXNQUWWYLV-YESZJQIVSA-N 0 0 438.525 -0.917 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)NC[C@H]1CCCN1C(=O)CCCN1C(=O)CCC1=O ZINC000772817999 1073819544 /nfs/dbraw/zinc/81/95/44/1073819544.db2.gz NCUDWCSNLHUYAS-OAHLLOKOSA-N 0 0 434.493 -0.048 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)NC[C@H]1CCCN1C(=O)Cn1c(C)cc(O)cc1=O ZINC000772818008 1073819571 /nfs/dbraw/zinc/81/95/71/1073819571.db2.gz NCWRXFWVOIOHNT-OAHLLOKOSA-N 0 0 430.461 -0.155 20 0 IBADRN Cn1nnnc1SCCC(=O)NC[C@H]1CCCN1C(=O)CCSc1nnnn1C ZINC000772818493 1073818999 /nfs/dbraw/zinc/81/89/99/1073818999.db2.gz OGJATUXRQOIDAU-LLVKDONJSA-N 0 0 440.559 -0.495 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2ccc(N3CCOCC3)cc2C(N)=O)CC1 ZINC000772826561 1073822975 /nfs/dbraw/zinc/82/29/75/1073822975.db2.gz XLNGLAYOLNZPIS-UHFFFAOYSA-N 0 0 425.511 -0.862 20 0 IBADRN NC(=O)c1cc(N2CCOCC2)ccc1NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000772829348 1073823677 /nfs/dbraw/zinc/82/36/77/1073823677.db2.gz CYOFCXHXTHWSIW-INIZCTEOSA-N 0 0 445.520 -0.259 20 0 IBADRN NC(=O)c1cc(N2CCOCC2)ccc1NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000772829349 1073823869 /nfs/dbraw/zinc/82/38/69/1073823869.db2.gz CYOFCXHXTHWSIW-MRXNPFEDSA-N 0 0 445.520 -0.259 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)Nc1ccc(N2CCOCC2)cc1C(N)=O ZINC000772830576 1073823601 /nfs/dbraw/zinc/82/36/01/1073823601.db2.gz LRSVFIJOWJWEFX-UHFFFAOYSA-N 0 0 428.511 -0.095 20 0 IBADRN Cn1c(C(=O)Nc2ccc(N3CCOCC3)cc2C(N)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000772831473 1073824466 /nfs/dbraw/zinc/82/44/66/1073824466.db2.gz KLYLNXQXDWIATK-UHFFFAOYSA-N 0 0 440.460 -0.237 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)Nc2ccc(N3CCOCC3)cc2C(N)=O)cn1C ZINC000772835333 1073825661 /nfs/dbraw/zinc/82/56/61/1073825661.db2.gz UTVCHWKBUPZYJF-UHFFFAOYSA-N 0 0 436.494 -0.419 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1ccc(N2CCOCC2)cc1C(N)=O ZINC000772835335 1073825749 /nfs/dbraw/zinc/82/57/49/1073825749.db2.gz UWZPGWMJCFYDAA-GFCCVEGCSA-N 0 0 436.494 -0.339 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)Nc1ccc(N2CCOCC2)cc1C(N)=O ZINC000772835336 1073825672 /nfs/dbraw/zinc/82/56/72/1073825672.db2.gz UWZPGWMJCFYDAA-LBPRGKRZSA-N 0 0 436.494 -0.339 20 0 IBADRN COC(=O)Cn1cc(CCn2c(Br)nc3c2n(C)c(=O)n(C)c3=O)nn1 ZINC000772836794 1073833640 /nfs/dbraw/zinc/83/36/40/1073833640.db2.gz FYANKHFODAOVGS-UHFFFAOYSA-N 0 0 426.231 -0.797 20 0 IBADRN Cn1c2nc(C(=O)N3CCC(Oc4ccnc(C(N)=O)c4)CC3)ccc2c(=O)n(C)c1=O ZINC000772854342 1074055289 /nfs/dbraw/zinc/05/52/89/1074055289.db2.gz CDYJAYMABQJUDZ-UHFFFAOYSA-N 0 0 438.444 -0.190 20 0 IBADRN Cn1c(C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000772856829 1074056217 /nfs/dbraw/zinc/05/62/17/1074056217.db2.gz SKFPHOWNDFJFEL-UHFFFAOYSA-N 0 0 440.460 -0.247 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)CC1 ZINC000772857133 1074056369 /nfs/dbraw/zinc/05/63/69/1074056369.db2.gz WKUUUMMGBXNEKN-UHFFFAOYSA-N 0 0 425.511 -0.873 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)ccn1 ZINC000772858652 1074056275 /nfs/dbraw/zinc/05/62/75/1074056275.db2.gz HUYBKOAPTKGLIF-INIZCTEOSA-N 0 0 445.520 -0.269 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)ccn1 ZINC000772858653 1074056342 /nfs/dbraw/zinc/05/63/42/1074056342.db2.gz HUYBKOAPTKGLIF-MRXNPFEDSA-N 0 0 445.520 -0.269 20 0 IBADRN COC(=O)Cn1cc(CCN2C(C(=O)OC)=NC3=NS(=O)(=O)c4ccccc4N32)nn1 ZINC000772862388 1074057846 /nfs/dbraw/zinc/05/78/46/1074057846.db2.gz ZKPOSGCAEGLKNQ-UHFFFAOYSA-N 0 0 447.433 -0.639 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000772864118 1074059327 /nfs/dbraw/zinc/05/93/27/1074059327.db2.gz AEMWIYURXWQDOR-UHFFFAOYSA-N 0 0 428.511 -0.105 20 0 IBADRN Cn1c2ncc(C(=O)N3CCC(Oc4ccnc(C(N)=O)c4)CC3)cc2c(=O)n(C)c1=O ZINC000772865905 1074059940 /nfs/dbraw/zinc/05/99/40/1074059940.db2.gz UFSXLYGBRUUPPY-UHFFFAOYSA-N 0 0 438.444 -0.190 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000772868817 1074061251 /nfs/dbraw/zinc/06/12/51/1074061251.db2.gz BFMBWWKJZOTLIF-GFCCVEGCSA-N 0 0 436.494 -0.349 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000772868838 1074061339 /nfs/dbraw/zinc/06/13/39/1074061339.db2.gz BFMBWWKJZOTLIF-LBPRGKRZSA-N 0 0 436.494 -0.349 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)cn1C ZINC000772871700 1074061949 /nfs/dbraw/zinc/06/19/49/1074061949.db2.gz QOTCZAHPKPAWSG-UHFFFAOYSA-N 0 0 436.494 -0.429 20 0 IBADRN COCCNc1nc(N2CCN(C(=O)OC)CC2)nc(N2CCN(C(=O)OC)CC2)n1 ZINC000772879607 1074062660 /nfs/dbraw/zinc/06/26/60/1074062660.db2.gz KAPGRIRPWVTIBU-UHFFFAOYSA-N 0 0 438.489 -0.871 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNS(=O)(=O)NC2CC2)cc1)S(C)(=O)=O ZINC000772895670 1074068942 /nfs/dbraw/zinc/06/89/42/1074068942.db2.gz GZMBENOIIWPURU-UHFFFAOYSA-N 0 0 427.526 -0.623 20 0 IBADRN O=C(NCCO)C1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC000772903064 1074070763 /nfs/dbraw/zinc/07/07/63/1074070763.db2.gz FYGLJXAOIJRAIA-UHFFFAOYSA-N 0 0 445.563 -0.020 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)NC(=O)NC1CC1 ZINC000772928759 1074074913 /nfs/dbraw/zinc/07/49/13/1074074913.db2.gz DKULKJNRZPTTDV-LKFCYVNXSA-N 0 0 433.870 -0.101 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)NC(=O)NC1CC1 ZINC000772928760 1074075032 /nfs/dbraw/zinc/07/50/32/1074075032.db2.gz DKULKJNRZPTTDV-OTYXRUKQSA-N 0 0 433.870 -0.101 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)NC(=O)NC1CC1 ZINC000772928762 1074074879 /nfs/dbraw/zinc/07/48/79/1074074879.db2.gz DKULKJNRZPTTDV-XPTSAGLGSA-N 0 0 433.870 -0.101 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)NC(=O)NC1CC1 ZINC000772928764 1074075026 /nfs/dbraw/zinc/07/50/26/1074075026.db2.gz DKULKJNRZPTTDV-YMTOWFKASA-N 0 0 433.870 -0.101 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(I)c(C(=O)NOCC(N)=O)c1 ZINC000772932438 1074077056 /nfs/dbraw/zinc/07/70/56/1074077056.db2.gz WYGJJDPWCIXCCR-UHFFFAOYSA-N 0 0 427.220 -0.312 20 0 IBADRN NC(=O)c1cn(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)nn1 ZINC000772933366 1074076976 /nfs/dbraw/zinc/07/69/76/1074076976.db2.gz PIBYYXRGJAJOJP-UHFFFAOYSA-N 0 0 428.858 -0.310 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NOCC(N)=O)CC2)c1 ZINC000772936304 1074077592 /nfs/dbraw/zinc/07/75/92/1074077592.db2.gz MTEOSGLQOVITCE-UHFFFAOYSA-N 0 0 426.495 -0.550 20 0 IBADRN CCn1c(CCC(=O)NOCC(N)=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000772937230 1074077520 /nfs/dbraw/zinc/07/75/20/1074077520.db2.gz XKSCGBYPPNLBOM-UHFFFAOYSA-N 0 0 439.494 -0.457 20 0 IBADRN NC(=O)CONC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000772938340 1074078196 /nfs/dbraw/zinc/07/81/96/1074078196.db2.gz IANYYODJTCTNLY-UHFFFAOYSA-N 0 0 432.886 -0.514 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NOCC(N)=O)CC2)cc1 ZINC000772941058 1074078180 /nfs/dbraw/zinc/07/81/80/1074078180.db2.gz ZDAYWUWWWLMADZ-UHFFFAOYSA-N 0 0 440.522 -0.044 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)ccc1F ZINC000772944970 1074078103 /nfs/dbraw/zinc/07/81/03/1074078103.db2.gz DBIPKEHTESFKBM-UHFFFAOYSA-N 0 0 437.496 -0.119 20 0 IBADRN COCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000772955792 1074083712 /nfs/dbraw/zinc/08/37/12/1074083712.db2.gz XOBURLTVBBBSNW-UHFFFAOYSA-N 0 0 439.538 -0.592 20 0 IBADRN COCc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000772956514 1074084081 /nfs/dbraw/zinc/08/40/81/1074084081.db2.gz ZWFOTERCSBPPRX-UHFFFAOYSA-N 0 0 426.495 -0.507 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000772959028 1074087798 /nfs/dbraw/zinc/08/77/98/1074087798.db2.gz SCMWAOUWGOQXGQ-UHFFFAOYSA-N 0 0 434.540 -0.222 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)CC1 ZINC000772959636 1074086776 /nfs/dbraw/zinc/08/67/76/1074086776.db2.gz XFXPHVLLWISVGQ-UHFFFAOYSA-N 0 0 438.572 -0.800 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000772988181 1074111820 /nfs/dbraw/zinc/11/18/20/1074111820.db2.gz KPYGADXHDRXNSF-BHYGNILZSA-N 0 0 425.507 -0.397 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000772988184 1074112022 /nfs/dbraw/zinc/11/20/22/1074112022.db2.gz KPYGADXHDRXNSF-UAGQMJEPSA-N 0 0 425.507 -0.397 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000772988186 1074112055 /nfs/dbraw/zinc/11/20/55/1074112055.db2.gz KPYGADXHDRXNSF-USXIJHARSA-N 0 0 425.507 -0.397 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000772988188 1074111808 /nfs/dbraw/zinc/11/18/08/1074111808.db2.gz KPYGADXHDRXNSF-XIRDDKMYSA-N 0 0 425.507 -0.397 20 0 IBADRN COCCN(CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)C(=O)OC(C)(C)C ZINC000772989411 1074112695 /nfs/dbraw/zinc/11/26/95/1074112695.db2.gz RRMDZTRYEJUBJQ-CABCVRRESA-N 0 0 435.543 -0.828 20 0 IBADRN COCCN(CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)C(=O)OC(C)(C)C ZINC000772989412 1074112638 /nfs/dbraw/zinc/11/26/38/1074112638.db2.gz RRMDZTRYEJUBJQ-GJZGRUSLSA-N 0 0 435.543 -0.828 20 0 IBADRN COCCN(CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)C(=O)OC(C)(C)C ZINC000772989413 1074112534 /nfs/dbraw/zinc/11/25/34/1074112534.db2.gz RRMDZTRYEJUBJQ-HUUCEWRRSA-N 0 0 435.543 -0.828 20 0 IBADRN COCCN(CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)C(=O)OC(C)(C)C ZINC000772989414 1074112500 /nfs/dbraw/zinc/11/25/00/1074112500.db2.gz RRMDZTRYEJUBJQ-LSDHHAIUSA-N 0 0 435.543 -0.828 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000772991793 1074113178 /nfs/dbraw/zinc/11/31/78/1074113178.db2.gz DBHAYKJBMNOWJF-CABCVRRESA-N 0 0 436.939 -0.341 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC000772991827 1074113982 /nfs/dbraw/zinc/11/39/82/1074113982.db2.gz DBHAYKJBMNOWJF-GJZGRUSLSA-N 0 0 436.939 -0.341 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000772991829 1074113995 /nfs/dbraw/zinc/11/39/95/1074113995.db2.gz DBHAYKJBMNOWJF-HUUCEWRRSA-N 0 0 436.939 -0.341 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC000772991848 1074114419 /nfs/dbraw/zinc/11/44/19/1074114419.db2.gz DBHAYKJBMNOWJF-LSDHHAIUSA-N 0 0 436.939 -0.341 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000772993204 1074114503 /nfs/dbraw/zinc/11/45/03/1074114503.db2.gz RUHSPPVXVSEPDM-FHHVAWNCSA-N 0 0 431.580 -0.454 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000772993206 1074114466 /nfs/dbraw/zinc/11/44/66/1074114466.db2.gz RUHSPPVXVSEPDM-NKLOSYIDSA-N 0 0 431.580 -0.454 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000772993208 1074114358 /nfs/dbraw/zinc/11/43/58/1074114358.db2.gz RUHSPPVXVSEPDM-PWEFLUKPSA-N 0 0 431.580 -0.454 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000772993210 1074114425 /nfs/dbraw/zinc/11/44/25/1074114425.db2.gz RUHSPPVXVSEPDM-SPZCSSJHSA-N 0 0 431.580 -0.454 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC000773013594 1074116208 /nfs/dbraw/zinc/11/62/08/1074116208.db2.gz POUQBLYJYWGCQA-UHFFFAOYSA-N 0 0 433.556 -0.832 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000773035559 1074116125 /nfs/dbraw/zinc/11/61/25/1074116125.db2.gz BXRFLAJOYJWXET-CHWSQXEVSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000773035560 1074116194 /nfs/dbraw/zinc/11/61/94/1074116194.db2.gz BXRFLAJOYJWXET-OLZOCXBDSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000773035561 1074116658 /nfs/dbraw/zinc/11/66/58/1074116658.db2.gz BXRFLAJOYJWXET-QWHCGFSZSA-N 0 0 431.515 -0.161 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000773035562 1074116721 /nfs/dbraw/zinc/11/67/21/1074116721.db2.gz BXRFLAJOYJWXET-STQMWFEESA-N 0 0 431.515 -0.161 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)cn1C ZINC000773036318 1074116763 /nfs/dbraw/zinc/11/67/63/1074116763.db2.gz UASZPYBDTORFPW-CYBMUJFWSA-N 0 0 431.515 -0.241 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)cn1C ZINC000773036321 1074116663 /nfs/dbraw/zinc/11/66/63/1074116663.db2.gz UASZPYBDTORFPW-ZDUSSCGKSA-N 0 0 431.515 -0.241 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CCO1 ZINC000773042692 1074116730 /nfs/dbraw/zinc/11/67/30/1074116730.db2.gz RLYAMOCQJPLAEJ-DLBZAZTESA-N 0 0 440.541 -0.081 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CCO1 ZINC000773042693 1074116613 /nfs/dbraw/zinc/11/66/13/1074116613.db2.gz RLYAMOCQJPLAEJ-IAGOWNOFSA-N 0 0 440.541 -0.081 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CCO1 ZINC000773042694 1074116589 /nfs/dbraw/zinc/11/65/89/1074116589.db2.gz RLYAMOCQJPLAEJ-IRXDYDNUSA-N 0 0 440.541 -0.081 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CCO1 ZINC000773042695 1074116629 /nfs/dbraw/zinc/11/66/29/1074116629.db2.gz RLYAMOCQJPLAEJ-SJORKVTESA-N 0 0 440.541 -0.081 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCO1 ZINC000773048624 1074116624 /nfs/dbraw/zinc/11/66/24/1074116624.db2.gz IFTWDERQOUWALW-QLFBSQMISA-N 0 0 445.538 -0.226 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCO1 ZINC000773048627 1074116690 /nfs/dbraw/zinc/11/66/90/1074116690.db2.gz IFTWDERQOUWALW-RBSFLKMASA-N 0 0 445.538 -0.226 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCO1 ZINC000773048632 1074116608 /nfs/dbraw/zinc/11/66/08/1074116608.db2.gz IFTWDERQOUWALW-RRFJBIMHSA-N 0 0 445.538 -0.226 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCO1 ZINC000773048634 1074116651 /nfs/dbraw/zinc/11/66/51/1074116651.db2.gz IFTWDERQOUWALW-SOUVJXGZSA-N 0 0 445.538 -0.226 20 0 IBADRN COc1ccc(/C=C\C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1O ZINC000773054195 1074116750 /nfs/dbraw/zinc/11/67/50/1074116750.db2.gz IEBTULUEONQJKR-IMMZDGJCSA-N 0 0 437.518 -0.139 20 0 IBADRN COc1ccc(/C=C/C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1O ZINC000773054197 1074116716 /nfs/dbraw/zinc/11/67/16/1074116716.db2.gz IEBTULUEONQJKR-LLCTXKFZSA-N 0 0 437.518 -0.139 20 0 IBADRN COc1ccc(/C=C\C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1O ZINC000773054200 1074116705 /nfs/dbraw/zinc/11/67/05/1074116705.db2.gz IEBTULUEONQJKR-OICCZTGUSA-N 0 0 437.518 -0.139 20 0 IBADRN COc1ccc(/C=C/C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1O ZINC000773054204 1074116640 /nfs/dbraw/zinc/11/66/40/1074116640.db2.gz IEBTULUEONQJKR-WMHVBEDNSA-N 0 0 437.518 -0.139 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCNS(=O)(=O)c2cccnc2)C(=O)C1 ZINC000773067325 1074116743 /nfs/dbraw/zinc/11/67/43/1074116743.db2.gz DSHBQSODMDYOKD-UHFFFAOYSA-N 0 0 441.510 -0.445 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NCCNS(=O)(=O)c2cccnc2)c1=O ZINC000773068830 1074116695 /nfs/dbraw/zinc/11/66/95/1074116695.db2.gz KLSHUSJRDPKMJW-UHFFFAOYSA-N 0 0 431.474 -0.327 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NCCNS(=O)(=O)c1cccnc1 ZINC000773074196 1074116671 /nfs/dbraw/zinc/11/66/71/1074116671.db2.gz AYXXYIQFHIURPM-FQEVSTJZSA-N 0 0 443.485 -0.130 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)NCCNS(=O)(=O)c1cccnc1 ZINC000773074201 1074117256 /nfs/dbraw/zinc/11/72/56/1074117256.db2.gz AYXXYIQFHIURPM-HXUWFJFHSA-N 0 0 443.485 -0.130 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)NCCNS(=O)(=O)c2cccnc2)cc1 ZINC000773076060 1074117268 /nfs/dbraw/zinc/11/72/68/1074117268.db2.gz JSUIVCXLOZJDDA-UHFFFAOYSA-N 0 0 428.492 -0.028 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)NCCNS(=O)(=O)c2cccnc2)c1 ZINC000773077358 1074117244 /nfs/dbraw/zinc/11/72/44/1074117244.db2.gz PAQSVZGPPFAHKP-UHFFFAOYSA-N 0 0 428.492 -0.028 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)NCCNS(=O)(=O)c2cccnc2)C1=O ZINC000773078773 1074117328 /nfs/dbraw/zinc/11/73/28/1074117328.db2.gz VNARNSIXKLVRJL-IBGZPJMESA-N 0 0 431.474 -0.057 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)NCCNS(=O)(=O)c2cccnc2)C1=O ZINC000773078774 1074117349 /nfs/dbraw/zinc/11/73/49/1074117349.db2.gz VNARNSIXKLVRJL-LJQANCHMSA-N 0 0 431.474 -0.057 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCN1CCCS1(=O)=O ZINC000773094024 1074117172 /nfs/dbraw/zinc/11/71/72/1074117172.db2.gz FXYZIKPIJBCCTA-BXUZGUMPSA-N 0 0 440.927 -0.054 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000773094034 1074117226 /nfs/dbraw/zinc/11/72/26/1074117226.db2.gz KHJWGKKBUXNEDT-CMPLNLGQSA-N 0 0 438.428 -0.179 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCN1CCCS1(=O)=O ZINC000773094039 1074117307 /nfs/dbraw/zinc/11/73/07/1074117307.db2.gz FXYZIKPIJBCCTA-FZMZJTMJSA-N 0 0 440.927 -0.054 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCN1CCCS1(=O)=O ZINC000773094049 1074117212 /nfs/dbraw/zinc/11/72/12/1074117212.db2.gz FXYZIKPIJBCCTA-RISCZKNCSA-N 0 0 440.927 -0.054 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCN1CCCS1(=O)=O ZINC000773094058 1074117220 /nfs/dbraw/zinc/11/72/20/1074117220.db2.gz FXYZIKPIJBCCTA-SMDDNHRTSA-N 0 0 440.927 -0.054 20 0 IBADRN O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000773094096 1074117157 /nfs/dbraw/zinc/11/71/57/1074117157.db2.gz KHJWGKKBUXNEDT-JQWIXIFHSA-N 0 0 438.428 -0.179 20 0 IBADRN O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000773094101 1074117314 /nfs/dbraw/zinc/11/73/14/1074117314.db2.gz KHJWGKKBUXNEDT-PWSUYJOCSA-N 0 0 438.428 -0.179 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000773094106 1074117187 /nfs/dbraw/zinc/11/71/87/1074117187.db2.gz KHJWGKKBUXNEDT-ZYHUDNBSSA-N 0 0 438.428 -0.179 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCCN2CCCS2(=O)=O)[C@@H](C)O)cc1C ZINC000773096904 1074117321 /nfs/dbraw/zinc/11/73/21/1074117321.db2.gz SOMTUQPRLAHZNV-GDBMZVCRSA-N 0 0 434.536 -0.090 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCCN2CCCS2(=O)=O)[C@H](C)O)cc1C ZINC000773096906 1074117300 /nfs/dbraw/zinc/11/73/00/1074117300.db2.gz SOMTUQPRLAHZNV-GOEBONIOSA-N 0 0 434.536 -0.090 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCCN2CCCS2(=O)=O)[C@H](C)O)cc1C ZINC000773096907 1074117338 /nfs/dbraw/zinc/11/73/38/1074117338.db2.gz SOMTUQPRLAHZNV-HOCLYGCPSA-N 0 0 434.536 -0.090 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCCN2CCCS2(=O)=O)[C@@H](C)O)cc1C ZINC000773096908 1074117143 /nfs/dbraw/zinc/11/71/43/1074117143.db2.gz SOMTUQPRLAHZNV-ZBFHGGJFSA-N 0 0 434.536 -0.090 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000773098360 1074117198 /nfs/dbraw/zinc/11/71/98/1074117198.db2.gz WEFJUMREOQABDM-CYBMUJFWSA-N 0 0 442.560 -0.966 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000773098361 1074117344 /nfs/dbraw/zinc/11/73/44/1074117344.db2.gz WEFJUMREOQABDM-ZDUSSCGKSA-N 0 0 442.560 -0.966 20 0 IBADRN COc1ccc(C(=O)OCCN2CCCS2(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000773099237 1074117728 /nfs/dbraw/zinc/11/77/28/1074117728.db2.gz DALYOBOAGJXPPT-UHFFFAOYSA-N 0 0 448.519 -0.092 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OCCN2CCCS2(=O)=O)cc1 ZINC000773101643 1074117609 /nfs/dbraw/zinc/11/76/09/1074117609.db2.gz WWASULREIDXPPB-UHFFFAOYSA-N 0 0 434.492 -0.280 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCCN2CCCS2(=O)=O)c1 ZINC000773108613 1074117588 /nfs/dbraw/zinc/11/75/88/1074117588.db2.gz BKXJZQPLTGMIGG-UHFFFAOYSA-N 0 0 435.524 -0.543 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCN1CCCS1(=O)=O ZINC000773109168 1074117834 /nfs/dbraw/zinc/11/78/34/1074117834.db2.gz FQCOJYQOSSHOCF-UHFFFAOYSA-N 0 0 448.519 -0.092 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)OCCN2CCCS2(=O)=O)cc1 ZINC000773109277 1074117598 /nfs/dbraw/zinc/11/75/98/1074117598.db2.gz GOYIJLKDLMOLGJ-UHFFFAOYSA-N 0 0 433.508 -0.156 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCCN2CCCS2(=O)=O)c1 ZINC000773109302 1074117682 /nfs/dbraw/zinc/11/76/82/1074117682.db2.gz HJSHOBVADQTHNG-UHFFFAOYSA-N 0 0 433.508 -0.637 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)OCCN1CCCS1(=O)=O ZINC000773110877 1074117785 /nfs/dbraw/zinc/11/77/85/1074117785.db2.gz QMKOHGXJOPAPTA-UHFFFAOYSA-N 0 0 445.519 -0.330 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)OCCN2CCCS2(=O)=O)cc1 ZINC000773111497 1074117670 /nfs/dbraw/zinc/11/76/70/1074117670.db2.gz SHBFZNBZRDYPHW-UHFFFAOYSA-N 0 0 433.508 -0.108 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCCN2CCCS2(=O)=O)c1 ZINC000773111729 1074117695 /nfs/dbraw/zinc/11/76/95/1074117695.db2.gz UPOWYIRGMBQRAB-UHFFFAOYSA-N 0 0 435.524 -0.467 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)OCCN1CCCS1(=O)=O ZINC000773112182 1074117767 /nfs/dbraw/zinc/11/77/67/1074117767.db2.gz WWQLUADYHILFLT-UHFFFAOYSA-N 0 0 434.492 -0.295 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)OCCN2CCCS2(=O)=O)cc1OC ZINC000773118937 1074117806 /nfs/dbraw/zinc/11/78/06/1074117806.db2.gz IFZKHIFWDVCUSZ-UHFFFAOYSA-N 0 0 436.508 -0.049 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCCN2CCCS2(=O)=O)c1 ZINC000773122080 1074117750 /nfs/dbraw/zinc/11/77/50/1074117750.db2.gz YKGPJVNWIZUPQE-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCN1CCCS1(=O)=O ZINC000773128626 1074117842 /nfs/dbraw/zinc/11/78/42/1074117842.db2.gz NRTUKUCDGYZPGH-CYBMUJFWSA-N 0 0 447.535 -0.366 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCN1CCCS1(=O)=O ZINC000773128629 1074117623 /nfs/dbraw/zinc/11/76/23/1074117623.db2.gz NRTUKUCDGYZPGH-ZDUSSCGKSA-N 0 0 447.535 -0.366 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)nn1 ZINC000773131639 1074117607 /nfs/dbraw/zinc/11/76/07/1074117607.db2.gz MGJRKOZNQLTGMW-DOTOQJQBSA-N 0 0 434.424 -0.120 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)nn1 ZINC000773131647 1074117824 /nfs/dbraw/zinc/11/78/24/1074117824.db2.gz MGJRKOZNQLTGMW-NVXWUHKLSA-N 0 0 434.424 -0.120 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)nn1 ZINC000773131653 1074117631 /nfs/dbraw/zinc/11/76/31/1074117631.db2.gz MGJRKOZNQLTGMW-RDJZCZTQSA-N 0 0 434.424 -0.120 20 0 IBADRN COC(=O)Cn1cc(CCOC(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)nn1 ZINC000773131657 1074117581 /nfs/dbraw/zinc/11/75/81/1074117581.db2.gz MGJRKOZNQLTGMW-WBVHZDCISA-N 0 0 434.424 -0.120 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCCN3CCCS3(=O)=O)cc2S1(=O)=O ZINC000773145325 1074117709 /nfs/dbraw/zinc/11/77/09/1074117709.db2.gz QUKVENQHCOIKHR-UHFFFAOYSA-N 0 0 432.476 -0.330 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCCN1CCCS1(=O)=O)c2=O ZINC000773150317 1074118281 /nfs/dbraw/zinc/11/82/81/1074118281.db2.gz VITLGRATZLBRDJ-UHFFFAOYSA-N 0 0 434.272 -0.529 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCCN3CCCS3(=O)=O)CC2)cn1C ZINC000773150553 1074118297 /nfs/dbraw/zinc/11/82/97/1074118297.db2.gz BHRAUQADUROHCJ-UHFFFAOYSA-N 0 0 434.540 -0.292 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ncc1Br ZINC000773150908 1074118360 /nfs/dbraw/zinc/11/83/60/1074118360.db2.gz RFRQOERSEDYBGY-UHFFFAOYSA-N 0 0 435.300 -0.131 20 0 IBADRN Cc1nc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1Br ZINC000773151083 1074118307 /nfs/dbraw/zinc/11/83/07/1074118307.db2.gz UCDJJDRJTOGBJQ-UHFFFAOYSA-N 0 0 435.300 -0.131 20 0 IBADRN Cn1cc2c(=NCCOc3ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc3)ncnc-2[nH]1 ZINC000773151754 1074118337 /nfs/dbraw/zinc/11/83/37/1074118337.db2.gz BFWAECCMCZEMSO-UHFFFAOYSA-N 0 0 440.507 -0.047 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCN=c2ncnc3[nH][nH]cc2-3)cc1)S(C)(=O)=O ZINC000773152444 1074118320 /nfs/dbraw/zinc/11/83/20/1074118320.db2.gz GEVOYNMBHHLGHN-UHFFFAOYSA-N 0 0 426.480 -0.057 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)OCCN3CCCS3(=O)=O)CC2=O)c1 ZINC000773158211 1074118247 /nfs/dbraw/zinc/11/82/47/1074118247.db2.gz FQPIYGFDCZGDEU-GFCCVEGCSA-N 0 0 431.492 -0.734 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)OCCN3CCCS3(=O)=O)CC2=O)c1 ZINC000773158212 1074118293 /nfs/dbraw/zinc/11/82/93/1074118293.db2.gz FQPIYGFDCZGDEU-LBPRGKRZSA-N 0 0 431.492 -0.734 20 0 IBADRN COCCNC(=S)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773166396 1074118286 /nfs/dbraw/zinc/11/82/86/1074118286.db2.gz YKVDGQASHIJJPU-UHFFFAOYSA-N 0 0 425.554 -0.099 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)OCCN3CCCS3(=O)=O)CC2)cc1 ZINC000773169604 1074119006 /nfs/dbraw/zinc/11/90/06/1074119006.db2.gz IZLQGGLUSJGFIM-UHFFFAOYSA-N 0 0 445.563 -0.120 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCCN2CCCS2(=O)=O)s1 ZINC000773172795 1074118807 /nfs/dbraw/zinc/11/88/07/1074118807.db2.gz WQEDNELEYBKKHS-UHFFFAOYSA-N 0 0 439.537 -0.759 20 0 IBADRN O=C(OCCN1CCCS1(=O)=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000773175302 1074118907 /nfs/dbraw/zinc/11/89/07/1074118907.db2.gz VLTAWMJGUJMRFQ-CYBMUJFWSA-N 0 0 426.900 -0.442 20 0 IBADRN O=C(OCCN1CCCS1(=O)=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000773175305 1074118893 /nfs/dbraw/zinc/11/88/93/1074118893.db2.gz VLTAWMJGUJMRFQ-ZDUSSCGKSA-N 0 0 426.900 -0.442 20 0 IBADRN CC(C)[C@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1CCCS1(=O)=O ZINC000773178948 1074118838 /nfs/dbraw/zinc/11/88/38/1074118838.db2.gz JWFMNHIXITUUOV-GFCCVEGCSA-N 0 0 427.483 -0.963 20 0 IBADRN CC(C)[C@@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1CCCS1(=O)=O ZINC000773178949 1074118874 /nfs/dbraw/zinc/11/88/74/1074118874.db2.gz JWFMNHIXITUUOV-LBPRGKRZSA-N 0 0 427.483 -0.963 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCCS1(=O)=O ZINC000773184552 1074119512 /nfs/dbraw/zinc/11/95/12/1074119512.db2.gz GSYYPXXWESZVIU-HNNXBMFYSA-N 0 0 433.508 -0.124 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCCS1(=O)=O ZINC000773184554 1074119488 /nfs/dbraw/zinc/11/94/88/1074119488.db2.gz GSYYPXXWESZVIU-OAHLLOKOSA-N 0 0 433.508 -0.124 20 0 IBADRN CC(C)[C@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1CCCS1(=O)=O ZINC000773185607 1074119441 /nfs/dbraw/zinc/11/94/41/1074119441.db2.gz JCWYREHSMFNLBY-CYBMUJFWSA-N 0 0 441.510 -0.573 20 0 IBADRN CC(C)[C@@H](C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1CCCS1(=O)=O ZINC000773185609 1074119534 /nfs/dbraw/zinc/11/95/34/1074119534.db2.gz JCWYREHSMFNLBY-ZDUSSCGKSA-N 0 0 441.510 -0.573 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCCS1(=O)=O ZINC000773190771 1074119859 /nfs/dbraw/zinc/11/98/59/1074119859.db2.gz SMFNMDUAAZMOGF-HNNXBMFYSA-N 0 0 433.508 -0.124 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCCS1(=O)=O ZINC000773190774 1074119978 /nfs/dbraw/zinc/11/99/78/1074119978.db2.gz SMFNMDUAAZMOGF-OAHLLOKOSA-N 0 0 433.508 -0.124 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)Nc1ccccc1S(N)(=O)=O)N1CCCS1(=O)=O ZINC000773195411 1074120044 /nfs/dbraw/zinc/12/00/44/1074120044.db2.gz PCGBNJLSIJLYMR-HNNXBMFYSA-N 0 0 433.508 -0.124 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)Nc1ccccc1S(N)(=O)=O)N1CCCS1(=O)=O ZINC000773195413 1074119964 /nfs/dbraw/zinc/11/99/64/1074119964.db2.gz PCGBNJLSIJLYMR-OAHLLOKOSA-N 0 0 433.508 -0.124 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)NCCn2ccnc2)cc1)S(C)(=O)=O ZINC000773214546 1074119937 /nfs/dbraw/zinc/11/99/37/1074119937.db2.gz XKIOOKARCJBXFT-UHFFFAOYSA-N 0 0 445.523 -0.013 20 0 IBADRN COc1cc(Cl)ccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000773217757 1074119873 /nfs/dbraw/zinc/11/98/73/1074119873.db2.gz CHEZJNKGDCIQTL-UHFFFAOYSA-N 0 0 438.868 -0.183 20 0 IBADRN CN1CC[C@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1=O ZINC000773220869 1074119777 /nfs/dbraw/zinc/11/97/77/1074119777.db2.gz QGWDWWJMMPRRGA-AWEZNQCLSA-N 0 0 430.527 -0.442 20 0 IBADRN CN1CC[C@@H](C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1=O ZINC000773220872 1074119853 /nfs/dbraw/zinc/11/98/53/1074119853.db2.gz QGWDWWJMMPRRGA-CQSZACIVSA-N 0 0 430.527 -0.442 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C3(O)CCOCC3)C2)CC1 ZINC000773220964 1074119892 /nfs/dbraw/zinc/11/98/92/1074119892.db2.gz RFZQCLFKOCYVQJ-UHFFFAOYSA-N 0 0 433.527 -0.379 20 0 IBADRN CCC(=O)N1CC[C@H](C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000773224787 1074120615 /nfs/dbraw/zinc/12/06/15/1074120615.db2.gz SEKVHRDGTDVUJF-ARFHVFGLSA-N 0 0 430.523 -0.017 20 0 IBADRN CCC(=O)N1CC[C@H](C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000773224788 1074120686 /nfs/dbraw/zinc/12/06/86/1074120686.db2.gz SEKVHRDGTDVUJF-HRCADAONSA-N 0 0 430.523 -0.017 20 0 IBADRN CCC(=O)N1CC[C@H](C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000773224789 1074120658 /nfs/dbraw/zinc/12/06/58/1074120658.db2.gz SEKVHRDGTDVUJF-JYJNAYRXSA-N 0 0 430.523 -0.017 20 0 IBADRN CCC(=O)N1CC[C@H](C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000773224791 1074120682 /nfs/dbraw/zinc/12/06/82/1074120682.db2.gz SEKVHRDGTDVUJF-XHSDSOJGSA-N 0 0 430.523 -0.017 20 0 IBADRN CCC(=O)N1CC[C@H](C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000773227282 1074120597 /nfs/dbraw/zinc/12/05/97/1074120597.db2.gz KBGIPOFFAPQQAC-AWEZNQCLSA-N 0 0 429.481 -0.186 20 0 IBADRN CCC(=O)N1CC[C@@H](C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000773227293 1074120625 /nfs/dbraw/zinc/12/06/25/1074120625.db2.gz KBGIPOFFAPQQAC-CQSZACIVSA-N 0 0 429.481 -0.186 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNc2nccn3nnnc23)cc1)S(C)(=O)=O ZINC000773229517 1074120664 /nfs/dbraw/zinc/12/06/64/1074120664.db2.gz FJPPPAVOAVSHNU-UHFFFAOYSA-N 0 0 427.468 -0.264 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNc2ccc(C(N)=O)nn2)cc1)S(C)(=O)=O ZINC000773230120 1074120677 /nfs/dbraw/zinc/12/06/77/1074120677.db2.gz HBEGJVJMSQDKCH-UHFFFAOYSA-N 0 0 429.480 -0.208 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNc2cncc(C(N)=O)n2)cc1)S(C)(=O)=O ZINC000773230197 1074120644 /nfs/dbraw/zinc/12/06/44/1074120644.db2.gz HTWSJBNWWYOYSS-UHFFFAOYSA-N 0 0 429.480 -0.208 20 0 IBADRN CN1CC[C@H](C(=O)NC[C@@H](CNC(=O)[C@@H]2CCN(C)C2=O)NC(=O)OC(C)(C)C)C1=O ZINC000773231659 1074120690 /nfs/dbraw/zinc/12/06/90/1074120690.db2.gz QEXVMOBUCQAZTA-BTTYYORXSA-N 0 0 439.513 -0.931 20 0 IBADRN CN1CC[C@@H](C(=O)NCC(CNC(=O)[C@@H]2CCN(C)C2=O)NC(=O)OC(C)(C)C)C1=O ZINC000773231663 1074120569 /nfs/dbraw/zinc/12/05/69/1074120569.db2.gz QEXVMOBUCQAZTA-KBPBESRZSA-N 0 0 439.513 -0.931 20 0 IBADRN CN1CC[C@H](C(=O)NCC(CNC(=O)[C@H]2CCN(C)C2=O)NC(=O)OC(C)(C)C)C1=O ZINC000773231667 1074120671 /nfs/dbraw/zinc/12/06/71/1074120671.db2.gz QEXVMOBUCQAZTA-ZIAGYGMSSA-N 0 0 439.513 -0.931 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)nn1C ZINC000773247606 1074120633 /nfs/dbraw/zinc/12/06/33/1074120633.db2.gz UPYABRPVYQHWKD-UHFFFAOYSA-N 0 0 432.462 -0.340 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(I)cn1 ZINC000773257810 1074120517 /nfs/dbraw/zinc/12/05/17/1074120517.db2.gz YHBVNQNPPTXIJU-UHFFFAOYSA-N 0 0 426.236 -0.320 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCOCC2)CCO1 ZINC000773258471 1074121119 /nfs/dbraw/zinc/12/11/19/1074121119.db2.gz GCFXWZIMNRSBFG-AWEZNQCLSA-N 0 0 436.531 -0.417 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCOCC2)CCO1 ZINC000773258472 1074121212 /nfs/dbraw/zinc/12/12/12/1074121212.db2.gz GCFXWZIMNRSBFG-CQSZACIVSA-N 0 0 436.531 -0.417 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000773260159 1074120537 /nfs/dbraw/zinc/12/05/37/1074120537.db2.gz BFLNBCVMJJZZDC-HNNXBMFYSA-N 0 0 449.574 -0.501 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCO1 ZINC000773260160 1074120594 /nfs/dbraw/zinc/12/05/94/1074120594.db2.gz BFLNBCVMJJZZDC-OAHLLOKOSA-N 0 0 449.574 -0.501 20 0 IBADRN C=CC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000773280129 1074122170 /nfs/dbraw/zinc/12/21/70/1074122170.db2.gz GZUPMOJIPOEOHL-UHFFFAOYSA-N 0 0 443.547 -0.076 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC2CCN(CC(N)=O)CC2)s1 ZINC000773281396 1074121979 /nfs/dbraw/zinc/12/19/79/1074121979.db2.gz HBFMLSWIUNXIKV-UHFFFAOYSA-N 0 0 438.597 -0.542 20 0 IBADRN CC(C)(C)[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000773291393 1074122185 /nfs/dbraw/zinc/12/21/85/1074122185.db2.gz JHDYRZCODMEFCO-GOSISDBHSA-N 0 0 441.510 -0.001 20 0 IBADRN CC(C)(C)[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000773291401 1074122048 /nfs/dbraw/zinc/12/20/48/1074122048.db2.gz JHDYRZCODMEFCO-SFHVURJKSA-N 0 0 441.510 -0.001 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)N[C@@](C)(C(C)(C)C)C3=O)CC2)CC1 ZINC000773294446 1074122114 /nfs/dbraw/zinc/12/21/14/1074122114.db2.gz UIWXLTOOVNHOGV-GOSISDBHSA-N 0 0 430.575 -0.590 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)N[C@](C)(C(C)(C)C)C3=O)CC2)CC1 ZINC000773294452 1074122009 /nfs/dbraw/zinc/12/20/09/1074122009.db2.gz UIWXLTOOVNHOGV-SFHVURJKSA-N 0 0 430.575 -0.590 20 0 IBADRN O=c1oc2ccc(F)cc2n1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000773297472 1074122782 /nfs/dbraw/zinc/12/27/82/1074122782.db2.gz PJAXCOIBCVZMGX-CYBMUJFWSA-N 0 0 433.483 -0.174 20 0 IBADRN O=c1oc2ccc(F)cc2n1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000773297473 1074122685 /nfs/dbraw/zinc/12/26/85/1074122685.db2.gz PJAXCOIBCVZMGX-ZDUSSCGKSA-N 0 0 433.483 -0.174 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1 ZINC000773299064 1074122119 /nfs/dbraw/zinc/12/21/19/1074122119.db2.gz DSMMSBTXSLOPNF-UHFFFAOYSA-N 0 0 433.259 -0.191 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)C2CCN(c3ccc4nnnn4n3)CC2)C1 ZINC000773299074 1074122077 /nfs/dbraw/zinc/12/20/77/1074122077.db2.gz FEKVFWBDBMJGDO-UHFFFAOYSA-N 0 0 432.485 -0.166 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1ccc(CCNS(=O)(=O)CC)s1 ZINC000773299421 1074122753 /nfs/dbraw/zinc/12/27/53/1074122753.db2.gz XTZNXIQVOUNIOZ-UHFFFAOYSA-N 0 0 433.599 -0.553 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000773308330 1074122748 /nfs/dbraw/zinc/12/27/48/1074122748.db2.gz IUHDSKAWVXGYHW-GFCCVEGCSA-N 0 0 444.510 -0.750 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000773308332 1074122701 /nfs/dbraw/zinc/12/27/01/1074122701.db2.gz IUHDSKAWVXGYHW-LBPRGKRZSA-N 0 0 444.510 -0.750 20 0 IBADRN COc1ccc(S(=O)(=O)N(CCCO)CC(OC)OC)cc1[C@@]1(C)NC(=O)NC1=O ZINC000773308928 1074122676 /nfs/dbraw/zinc/12/26/76/1074122676.db2.gz JDLCHBGFKHSQGY-GOSISDBHSA-N 0 0 445.494 -0.258 20 0 IBADRN COc1ccc(S(=O)(=O)N(CCCO)CC(OC)OC)cc1[C@]1(C)NC(=O)NC1=O ZINC000773308954 1074122758 /nfs/dbraw/zinc/12/27/58/1074122758.db2.gz JDLCHBGFKHSQGY-SFHVURJKSA-N 0 0 445.494 -0.258 20 0 IBADRN Cn1cc(CC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)cn1 ZINC000773331372 1074122740 /nfs/dbraw/zinc/12/27/40/1074122740.db2.gz HMPACCPOTZJLOQ-UHFFFAOYSA-N 0 0 430.508 -0.117 20 0 IBADRN CCCC(=O)NCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773331406 1074122717 /nfs/dbraw/zinc/12/27/17/1074122717.db2.gz HZVILODLMHOPGV-UHFFFAOYSA-N 0 0 435.524 -0.177 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CCN2CCOC2=O)cc1)S(C)(=O)=O ZINC000773331891 1074122734 /nfs/dbraw/zinc/12/27/34/1074122734.db2.gz HUECDNJJRXQFAH-UHFFFAOYSA-N 0 0 449.507 -0.251 20 0 IBADRN CN1N=C(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCC1=O ZINC000773332189 1074122731 /nfs/dbraw/zinc/12/27/31/1074122731.db2.gz JQWPHLBIPAWLGW-UHFFFAOYSA-N 0 0 446.507 -0.485 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CNC(=O)C2CC2)cc1)S(C)(=O)=O ZINC000773332427 1074122743 /nfs/dbraw/zinc/12/27/43/1074122743.db2.gz KONFGAUXDVXAKQ-UHFFFAOYSA-N 0 0 433.508 -0.567 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)Cn2ccccc2=O)cc1)S(C)(=O)=O ZINC000773333061 1074122725 /nfs/dbraw/zinc/12/27/25/1074122725.db2.gz KWVOKLXBBYFQJO-UHFFFAOYSA-N 0 0 443.503 -0.231 20 0 IBADRN COC(=O)NCCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773333500 1074122773 /nfs/dbraw/zinc/12/27/73/1074122773.db2.gz MSNOXYTXPXKWDI-UHFFFAOYSA-N 0 0 437.496 -0.347 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C2(NC(N)=O)CC2)cc1)S(C)(=O)=O ZINC000773334629 1074123184 /nfs/dbraw/zinc/12/31/84/1074123184.db2.gz SBLGWIJQQKYSSC-UHFFFAOYSA-N 0 0 434.496 -0.892 20 0 IBADRN COC(=O)N[C@@H](C)C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773335299 1074123277 /nfs/dbraw/zinc/12/32/77/1074123277.db2.gz USVUWVJJSUVYSU-NSHDSACASA-N 0 0 437.496 -0.348 20 0 IBADRN CN1CC[C@@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000773335543 1074123256 /nfs/dbraw/zinc/12/32/56/1074123256.db2.gz XTIYADWOCDWJKB-CYBMUJFWSA-N 0 0 447.535 -0.224 20 0 IBADRN CN1CC[C@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000773335544 1074123267 /nfs/dbraw/zinc/12/32/67/1074123267.db2.gz XTIYADWOCDWJKB-ZDUSSCGKSA-N 0 0 447.535 -0.224 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CCN2CCCC2=O)cc1)S(C)(=O)=O ZINC000773335545 1074123198 /nfs/dbraw/zinc/12/31/98/1074123198.db2.gz XUJSPLCWABZZMO-UHFFFAOYSA-N 0 0 447.535 -0.080 20 0 IBADRN CCOCCS(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773337282 1074123790 /nfs/dbraw/zinc/12/37/90/1074123790.db2.gz AQBMFSQQXNAPTI-UHFFFAOYSA-N 0 0 444.553 -0.253 20 0 IBADRN COCCCS(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773337285 1074123939 /nfs/dbraw/zinc/12/39/39/1074123939.db2.gz AQMQZKHVRDVKPP-UHFFFAOYSA-N 0 0 444.553 -0.253 20 0 IBADRN CN(C1CC1)S(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773340319 1074126481 /nfs/dbraw/zinc/12/64/81/1074126481.db2.gz LBHPJNIJGVCPTC-UHFFFAOYSA-N 0 0 441.553 -0.280 20 0 IBADRN COC[C@@H](C)S(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773341558 1074126420 /nfs/dbraw/zinc/12/64/20/1074126420.db2.gz DEPSHQPGUBKEOZ-GFCCVEGCSA-N 0 0 444.553 -0.255 20 0 IBADRN COC[C@H](C)S(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773341559 1074126489 /nfs/dbraw/zinc/12/64/89/1074126489.db2.gz DEPSHQPGUBKEOZ-LBPRGKRZSA-N 0 0 444.553 -0.255 20 0 IBADRN CCN(CC)S(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773342587 1074126843 /nfs/dbraw/zinc/12/68/43/1074126843.db2.gz GWORHMUNJYAUQK-UHFFFAOYSA-N 0 0 443.569 -0.033 20 0 IBADRN COCCS(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773343581 1074126831 /nfs/dbraw/zinc/12/68/31/1074126831.db2.gz IDJNUKWMXSYEOQ-UHFFFAOYSA-N 0 0 430.526 -0.643 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNS(=O)(=O)c2c[nH]cn2)cc1)S(C)(=O)=O ZINC000773344666 1074127481 /nfs/dbraw/zinc/12/74/81/1074127481.db2.gz NVYMSGHYAMKPEW-UHFFFAOYSA-N 0 0 438.509 -0.507 20 0 IBADRN CC(C)N(C)S(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773345076 1074126899 /nfs/dbraw/zinc/12/68/99/1074126899.db2.gz QGSJJOCFARHNLM-UHFFFAOYSA-N 0 0 443.569 -0.034 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNS(=O)(=O)N2CCCC2)cc1)S(C)(=O)=O ZINC000773345078 1074126872 /nfs/dbraw/zinc/12/68/72/1074126872.db2.gz QMQWPPJKBQWQDX-UHFFFAOYSA-N 0 0 441.553 -0.279 20 0 IBADRN CCCN(C)S(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773345632 1074127510 /nfs/dbraw/zinc/12/75/10/1074127510.db2.gz STMGIUIXZHTDTR-UHFFFAOYSA-N 0 0 443.569 -0.033 20 0 IBADRN CCN(C)S(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773345836 1074127575 /nfs/dbraw/zinc/12/75/75/1074127575.db2.gz VAOZDLQILOAQIQ-UHFFFAOYSA-N 0 0 429.542 -0.423 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNS(=O)(=O)[C@H]2CCOC2)cc1)S(C)(=O)=O ZINC000773346806 1074127488 /nfs/dbraw/zinc/12/74/88/1074127488.db2.gz ZREMQVDZGDRBRL-AWEZNQCLSA-N 0 0 442.537 -0.501 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNS(=O)(=O)[C@@H]2CCOC2)cc1)S(C)(=O)=O ZINC000773346807 1074127518 /nfs/dbraw/zinc/12/75/18/1074127518.db2.gz ZREMQVDZGDRBRL-CQSZACIVSA-N 0 0 442.537 -0.501 20 0 IBADRN Cc1cc(C)nc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000773367766 1074130340 /nfs/dbraw/zinc/13/03/40/1074130340.db2.gz PBKFYICSPHKASZ-AWEZNQCLSA-N 0 0 444.535 -0.702 20 0 IBADRN Cc1cc(C)nc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000773367767 1074130490 /nfs/dbraw/zinc/13/04/90/1074130490.db2.gz PBKFYICSPHKASZ-CQSZACIVSA-N 0 0 444.535 -0.702 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000773371398 1074130470 /nfs/dbraw/zinc/13/04/70/1074130470.db2.gz WIJGSKBVTVALFN-AWEZNQCLSA-N 0 0 431.486 -0.731 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000773371408 1074130979 /nfs/dbraw/zinc/13/09/79/1074130979.db2.gz WIJGSKBVTVALFN-CQSZACIVSA-N 0 0 431.486 -0.731 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@@H](CO)CN2CCOCC2)sc1Br ZINC000773371738 1074130496 /nfs/dbraw/zinc/13/04/96/1074130496.db2.gz XOYXYMWVEGCGNY-MRVPVSSYSA-N 0 0 428.330 -0.419 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@H](CO)CN2CCOCC2)sc1Br ZINC000773371740 1074130258 /nfs/dbraw/zinc/13/02/58/1074130258.db2.gz XOYXYMWVEGCGNY-QMMMGPOBSA-N 0 0 428.330 -0.419 20 0 IBADRN CCN(Cc1ccc2c(c1)OCCO2)C(=O)COC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000773420571 1074135336 /nfs/dbraw/zinc/13/53/36/1074135336.db2.gz VIGUUMSFTCAWPP-UHFFFAOYSA-N 0 0 438.462 -0.106 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)c2ccccc2C1 ZINC000773423942 1074135379 /nfs/dbraw/zinc/13/53/79/1074135379.db2.gz NOUHXTZSTNGWEI-AWEZNQCLSA-N 0 0 439.515 -0.032 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)c2ccccc2C1 ZINC000773423949 1074135448 /nfs/dbraw/zinc/13/54/48/1074135448.db2.gz NOUHXTZSTNGWEI-CQSZACIVSA-N 0 0 439.515 -0.032 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)N1CCN2C(=O)OCC[C@H]2C1 ZINC000773447136 1074136040 /nfs/dbraw/zinc/13/60/40/1074136040.db2.gz CQPQCEHXBWDAHX-AWEZNQCLSA-N 0 0 428.453 -0.149 20 0 IBADRN O=C(Nc1cnn(Cc2nnc3n2CCCC3)c1)C(=O)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000773447140 1074136060 /nfs/dbraw/zinc/13/60/60/1074136060.db2.gz CQPQCEHXBWDAHX-CQSZACIVSA-N 0 0 428.453 -0.149 20 0 IBADRN CN(C)C(=O)CNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773454784 1074136380 /nfs/dbraw/zinc/13/63/80/1074136380.db2.gz WDWPDRLPAYWPIE-UHFFFAOYSA-N 0 0 436.512 -0.822 20 0 IBADRN CN(C)c1n[nH]c(C2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000773469467 1074137017 /nfs/dbraw/zinc/13/70/17/1074137017.db2.gz HGURLPPZSWZLIC-CYBMUJFWSA-N 0 0 437.526 -0.258 20 0 IBADRN CN(C)c1n[nH]c(C2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000773469469 1074137007 /nfs/dbraw/zinc/13/70/07/1074137007.db2.gz HGURLPPZSWZLIC-ZDUSSCGKSA-N 0 0 437.526 -0.258 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN([C@H]4CCOC4)CC3)C2=O)c1 ZINC000773483772 1074138046 /nfs/dbraw/zinc/13/80/46/1074138046.db2.gz WSQQECLDZMSFMB-HRAATJIYSA-N 0 0 431.493 -0.100 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN([C@@H]4CCOC4)CC3)C2=O)c1 ZINC000773483784 1074137892 /nfs/dbraw/zinc/13/78/92/1074137892.db2.gz WSQQECLDZMSFMB-IERDGZPVSA-N 0 0 431.493 -0.100 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN([C@@H]4CCOC4)CC3)C2=O)c1 ZINC000773483789 1074137923 /nfs/dbraw/zinc/13/79/23/1074137923.db2.gz WSQQECLDZMSFMB-IIBYNOLFSA-N 0 0 431.493 -0.100 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN([C@H]4CCOC4)CC3)C2=O)c1 ZINC000773483797 1074138019 /nfs/dbraw/zinc/13/80/19/1074138019.db2.gz WSQQECLDZMSFMB-KKSFZXQISA-N 0 0 431.493 -0.100 20 0 IBADRN O=C(NCCS(=O)(=O)CCNC(=O)c1nccn2ccnc12)c1nccn2ccnc12 ZINC000773486677 1074138525 /nfs/dbraw/zinc/13/85/25/1074138525.db2.gz HGVNLSUAXLOWGV-UHFFFAOYSA-N 0 0 442.461 -0.653 20 0 IBADRN O=C(NCCS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1)C(=O)Nc1ccccc1 ZINC000773489392 1074138483 /nfs/dbraw/zinc/13/84/83/1074138483.db2.gz QWYBFASGYLEDNE-UHFFFAOYSA-N 0 0 446.485 -0.089 20 0 IBADRN O=C(NCCS(=O)(=O)CCNC(=O)c1cnn2cccnc12)c1cnn2cccnc12 ZINC000773491322 1074138542 /nfs/dbraw/zinc/13/85/42/1074138542.db2.gz XHPRKSPELTULFE-UHFFFAOYSA-N 0 0 442.461 -0.653 20 0 IBADRN NC(=O)c1ncn(C2CCN(C(=O)C3=NN(c4ccc(F)cc4)[C@H](C(N)=O)C3)CC2)c1N ZINC000773498888 1074138966 /nfs/dbraw/zinc/13/89/66/1074138966.db2.gz SJHZWJXMCOSLAI-HNNXBMFYSA-N 0 0 442.455 -0.013 20 0 IBADRN NC(=O)c1ncn(C2CCN(C(=O)C3=NN(c4ccc(F)cc4)[C@@H](C(N)=O)C3)CC2)c1N ZINC000773498891 1074139634 /nfs/dbraw/zinc/13/96/34/1074139634.db2.gz SJHZWJXMCOSLAI-OAHLLOKOSA-N 0 0 442.455 -0.013 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(Cl)c(S(=O)(=O)N(C)OC)c2)CC1 ZINC000773503881 1074140542 /nfs/dbraw/zinc/14/05/42/1074140542.db2.gz BGLTUXUXCUKDIA-UHFFFAOYSA-N 0 0 440.931 -0.256 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)CC1 ZINC000773504318 1074141143 /nfs/dbraw/zinc/14/11/43/1074141143.db2.gz HZQMRCHJGVGSNT-UHFFFAOYSA-N 0 0 441.329 -0.682 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)CC1 ZINC000773506788 1074140505 /nfs/dbraw/zinc/14/05/05/1074140505.db2.gz TZXXKYNFQDPUPD-UHFFFAOYSA-N 0 0 447.358 -0.620 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC000773511852 1074141766 /nfs/dbraw/zinc/14/17/66/1074141766.db2.gz KLPLNPNCSSCVSZ-UHFFFAOYSA-N 0 0 428.515 -0.482 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC[C@@H]3C[N@@H+]4CCN3CCC4)n2c(NCC)n1 ZINC000773528853 1074142015 /nfs/dbraw/zinc/14/20/15/1074142015.db2.gz HMCNYRYXEIXZQI-CYBMUJFWSA-N 0 0 434.574 -0.597 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC[C@H]3C[N@@H+]4CCN3CCC4)n2c(NCC)n1 ZINC000773528863 1074142146 /nfs/dbraw/zinc/14/21/46/1074142146.db2.gz HMCNYRYXEIXZQI-ZDUSSCGKSA-N 0 0 434.574 -0.597 20 0 IBADRN C[S@@](=O)CCNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773567840 1074144262 /nfs/dbraw/zinc/14/42/62/1074144262.db2.gz OFLOEOVFQHPOLE-RUZDIDTESA-N 0 0 441.553 -0.531 20 0 IBADRN C[S@](=O)CCNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773567866 1074144270 /nfs/dbraw/zinc/14/42/70/1074144270.db2.gz OFLOEOVFQHPOLE-VWLOTQADSA-N 0 0 441.553 -0.531 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)NCCn2cncn2)cc1)S(C)(=O)=O ZINC000773569739 1074145365 /nfs/dbraw/zinc/14/53/65/1074145365.db2.gz NCWINVRNNWBIBQ-UHFFFAOYSA-N 0 0 446.511 -0.618 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000773571023 1074146017 /nfs/dbraw/zinc/14/60/17/1074146017.db2.gz CBQQWFLNSPKHDN-CVEARBPZSA-N 0 0 447.558 -0.243 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000773571024 1074145956 /nfs/dbraw/zinc/14/59/56/1074145956.db2.gz CBQQWFLNSPKHDN-HOTGVXAUSA-N 0 0 447.558 -0.243 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000773571026 1074145928 /nfs/dbraw/zinc/14/59/28/1074145928.db2.gz CBQQWFLNSPKHDN-HZPDHXFCSA-N 0 0 447.558 -0.243 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000773571028 1074145917 /nfs/dbraw/zinc/14/59/17/1074145917.db2.gz CBQQWFLNSPKHDN-JKSUJKDBSA-N 0 0 447.558 -0.243 20 0 IBADRN NC(=O)[C@@H]1CSCCN1CC(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC000773574275 1074144541 /nfs/dbraw/zinc/14/45/41/1074144541.db2.gz DAVUWHALGRVBRX-AWEZNQCLSA-N 0 0 425.536 -0.002 20 0 IBADRN NC(=O)[C@H]1CSCCN1CC(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC000773574281 1074144561 /nfs/dbraw/zinc/14/45/61/1074144561.db2.gz DAVUWHALGRVBRX-CQSZACIVSA-N 0 0 425.536 -0.002 20 0 IBADRN O=C(c1nc2cccnc2s1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000773576813 1074146864 /nfs/dbraw/zinc/14/68/64/1074146864.db2.gz BBRMQWXVADAQOA-LLVKDONJSA-N 0 0 430.533 -0.034 20 0 IBADRN O=C(c1nc2cccnc2s1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000773576814 1074146948 /nfs/dbraw/zinc/14/69/48/1074146948.db2.gz BBRMQWXVADAQOA-NSHDSACASA-N 0 0 430.533 -0.034 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000773584272 1074148995 /nfs/dbraw/zinc/14/89/95/1074148995.db2.gz XAUASHRMKDQIES-CVEARBPZSA-N 0 0 439.513 -0.434 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000773584917 1074149953 /nfs/dbraw/zinc/14/99/53/1074149953.db2.gz XAUASHRMKDQIES-HOTGVXAUSA-N 0 0 439.513 -0.434 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000773584919 1074149980 /nfs/dbraw/zinc/14/99/80/1074149980.db2.gz XAUASHRMKDQIES-HZPDHXFCSA-N 0 0 439.513 -0.434 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000773584921 1074149949 /nfs/dbraw/zinc/14/99/49/1074149949.db2.gz XAUASHRMKDQIES-JKSUJKDBSA-N 0 0 439.513 -0.434 20 0 IBADRN CN1CC[C@H](NC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000773588729 1074151035 /nfs/dbraw/zinc/15/10/35/1074151035.db2.gz GNRFNMJJYUYQOI-AWEZNQCLSA-N 0 0 448.523 -0.679 20 0 IBADRN CN1CC[C@@H](NC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000773588733 1074151061 /nfs/dbraw/zinc/15/10/61/1074151061.db2.gz GNRFNMJJYUYQOI-CQSZACIVSA-N 0 0 448.523 -0.679 20 0 IBADRN Cc1nc(CNC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)no1 ZINC000773594851 1074153247 /nfs/dbraw/zinc/15/32/47/1074153247.db2.gz PPVOWZNCHRTYSS-UHFFFAOYSA-N 0 0 447.495 -0.018 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C2(O)CCOCC2)cc1)S(C)(=O)=O ZINC000773597484 1074154980 /nfs/dbraw/zinc/15/49/80/1074154980.db2.gz PTYYBEVKHXLRNL-UHFFFAOYSA-N 0 0 436.508 -0.551 20 0 IBADRN CN1CC[C@@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000773598255 1074154893 /nfs/dbraw/zinc/15/48/93/1074154893.db2.gz SHOKFPSHWIUWFX-AWEZNQCLSA-N 0 0 433.508 -0.615 20 0 IBADRN CN1CC[C@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000773598258 1074154948 /nfs/dbraw/zinc/15/49/48/1074154948.db2.gz SHOKFPSHWIUWFX-CQSZACIVSA-N 0 0 433.508 -0.615 20 0 IBADRN Cc1noc(CNC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)n1 ZINC000773606310 1074156215 /nfs/dbraw/zinc/15/62/15/1074156215.db2.gz IAFCXIALXGMCAY-UHFFFAOYSA-N 0 0 447.495 -0.018 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)NCCn2cccn2)cc1)S(C)(=O)=O ZINC000773607795 1074156698 /nfs/dbraw/zinc/15/66/98/1074156698.db2.gz RTYSOJZSAGCGKI-UHFFFAOYSA-N 0 0 445.523 -0.013 20 0 IBADRN CN1C[C@H](NC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000773608288 1074156644 /nfs/dbraw/zinc/15/66/44/1074156644.db2.gz MHAYNBHIUGPNMY-GFCCVEGCSA-N 0 0 448.523 -0.679 20 0 IBADRN CN1C[C@@H](NC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000773608291 1074156693 /nfs/dbraw/zinc/15/66/93/1074156693.db2.gz MHAYNBHIUGPNMY-LBPRGKRZSA-N 0 0 448.523 -0.679 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cc2cc[nH]c(=O)c2Br)CC1 ZINC000773631761 1074158720 /nfs/dbraw/zinc/15/87/20/1074158720.db2.gz BNYBPYXOSSJTRP-UHFFFAOYSA-N 0 0 443.298 -0.132 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)Cc2cc[nH]c(=O)c2Br)c(=O)n(C)c1=O ZINC000773638592 1074160628 /nfs/dbraw/zinc/16/06/28/1074160628.db2.gz KAGQAFZFIDAZLU-UHFFFAOYSA-N 0 0 427.211 -0.502 20 0 IBADRN C[C@H](C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1)n1cncn1 ZINC000773642507 1074159315 /nfs/dbraw/zinc/15/93/15/1074159315.db2.gz BKCSYKCFCJDVAI-GFCCVEGCSA-N 0 0 431.496 -0.240 20 0 IBADRN C[C@@H](C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1)n1cncn1 ZINC000773642508 1074159275 /nfs/dbraw/zinc/15/92/75/1074159275.db2.gz BKCSYKCFCJDVAI-LBPRGKRZSA-N 0 0 431.496 -0.240 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@@H]2CCNC(=O)C2)cc1)S(C)(=O)=O ZINC000773642999 1074159297 /nfs/dbraw/zinc/15/92/97/1074159297.db2.gz GWXLHQSJJHUFTP-GFCCVEGCSA-N 0 0 433.508 -0.567 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@H]2CCNC(=O)C2)cc1)S(C)(=O)=O ZINC000773643000 1074159290 /nfs/dbraw/zinc/15/92/90/1074159290.db2.gz GWXLHQSJJHUFTP-LBPRGKRZSA-N 0 0 433.508 -0.567 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CN2CCCC2=O)cc1)S(C)(=O)=O ZINC000773643004 1074159322 /nfs/dbraw/zinc/15/93/22/1074159322.db2.gz GXQAGGFHHUOUMD-UHFFFAOYSA-N 0 0 433.508 -0.470 20 0 IBADRN CN(CC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1)C(=O)C1CC1 ZINC000773643169 1074160039 /nfs/dbraw/zinc/16/00/39/1074160039.db2.gz AAPPPUXIWKJPAE-UHFFFAOYSA-N 0 0 447.535 -0.224 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)Cn2cccnc2=O)cc1)S(C)(=O)=O ZINC000773643210 1074160090 /nfs/dbraw/zinc/16/00/90/1074160090.db2.gz CDAABNSFDMCQHC-UHFFFAOYSA-N 0 0 444.491 -0.836 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773643228 1074160032 /nfs/dbraw/zinc/16/00/32/1074160032.db2.gz CSLISLUDSSUSAD-UHFFFAOYSA-N 0 0 435.524 -0.178 20 0 IBADRN CCN1CCOC[C@H]1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773643242 1074159897 /nfs/dbraw/zinc/15/98/97/1074159897.db2.gz DJQGTZHBUBPPIY-INIZCTEOSA-N 0 0 449.551 -0.372 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773643249 1074160076 /nfs/dbraw/zinc/16/00/76/1074160076.db2.gz DJQGTZHBUBPPIY-MRXNPFEDSA-N 0 0 449.551 -0.372 20 0 IBADRN CCN1C[C@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000773643405 1074159256 /nfs/dbraw/zinc/15/92/56/1074159256.db2.gz JFZAMIUNNFQAIH-CYBMUJFWSA-N 0 0 447.535 -0.224 20 0 IBADRN CCN1C[C@@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000773643409 1074159286 /nfs/dbraw/zinc/15/92/86/1074159286.db2.gz JFZAMIUNNFQAIH-ZDUSSCGKSA-N 0 0 447.535 -0.224 20 0 IBADRN C[C@H](C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000773643601 1074159994 /nfs/dbraw/zinc/15/99/94/1074159994.db2.gz KNGCSTCJAGOWQU-LLVKDONJSA-N 0 0 442.537 -0.660 20 0 IBADRN C[C@@H](C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000773643648 1074159915 /nfs/dbraw/zinc/15/99/15/1074159915.db2.gz KNGCSTCJAGOWQU-NSHDSACASA-N 0 0 442.537 -0.660 20 0 IBADRN Cc1c(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)nnn1C ZINC000773644784 1074159968 /nfs/dbraw/zinc/15/99/68/1074159968.db2.gz IQRHXMQAYDGAQC-UHFFFAOYSA-N 0 0 431.496 -0.342 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CCCn2cncn2)cc1)S(C)(=O)=O ZINC000773644874 1074160017 /nfs/dbraw/zinc/16/00/17/1074160017.db2.gz JVKWCNXDMYSHPP-UHFFFAOYSA-N 0 0 445.523 -0.021 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CCn2cncn2)cc1)S(C)(=O)=O ZINC000773645139 1074160027 /nfs/dbraw/zinc/16/00/27/1074160027.db2.gz RJGZGEDYTMEPHU-UHFFFAOYSA-N 0 0 431.496 -0.411 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2cc(C(N)=O)c[nH]2)cc1)S(C)(=O)=O ZINC000773645431 1074159921 /nfs/dbraw/zinc/15/99/21/1074159921.db2.gz LJOOTRFACMLLHQ-UHFFFAOYSA-N 0 0 444.491 -0.352 20 0 IBADRN Cn1nc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)ccc1=O ZINC000773645481 1074159958 /nfs/dbraw/zinc/15/99/58/1074159958.db2.gz LWJBTYKPWHAYAU-UHFFFAOYSA-N 0 0 444.491 -0.685 20 0 IBADRN C=CC(=O)NCCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773646163 1074159934 /nfs/dbraw/zinc/15/99/34/1074159934.db2.gz SDADMPHKBQFSSY-UHFFFAOYSA-N 0 0 433.508 -0.401 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc[nH]c(=O)c2Br)CC1 ZINC000773646217 1074160070 /nfs/dbraw/zinc/16/00/70/1074160070.db2.gz TXZJJJVGRNVGLG-UHFFFAOYSA-N 0 0 429.271 -0.061 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@@H]2CCCN2C(N)=O)cc1)S(C)(=O)=O ZINC000773646783 1074160645 /nfs/dbraw/zinc/16/06/45/1074160645.db2.gz VGIHFEUHIBXNIQ-AWEZNQCLSA-N 0 0 448.523 -0.550 20 0 IBADRN CN1CCN(CC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1 ZINC000773646858 1074160573 /nfs/dbraw/zinc/16/05/73/1074160573.db2.gz WZUNXFFJVJVTRW-UHFFFAOYSA-N 0 0 448.567 -0.845 20 0 IBADRN Cn1c(=O)cccc1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773647109 1074160448 /nfs/dbraw/zinc/16/04/48/1074160448.db2.gz FZTUHUKNNHQPKF-UHFFFAOYSA-N 0 0 443.503 -0.080 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CN2CCCCC2=O)cc1)S(C)(=O)=O ZINC000773647398 1074159981 /nfs/dbraw/zinc/15/99/81/1074159981.db2.gz IMMPEZROPMOKQJ-UHFFFAOYSA-N 0 0 447.535 -0.080 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CSCC(N)=O)cc1)S(C)(=O)=O ZINC000773648376 1074160459 /nfs/dbraw/zinc/16/04/59/1074160459.db2.gz BGSNKAYTPSYMBN-UHFFFAOYSA-N 0 0 439.537 -0.874 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CCN2CCOCC2)cc1)S(C)(=O)=O ZINC000773648497 1074161018 /nfs/dbraw/zinc/16/10/18/1074161018.db2.gz CYYXNLFNBQXJOY-UHFFFAOYSA-N 0 0 449.551 -0.371 20 0 IBADRN Cn1cccc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)c1=O ZINC000773649069 1074161059 /nfs/dbraw/zinc/16/10/59/1074161059.db2.gz FFCGODGGGXKAHZ-UHFFFAOYSA-N 0 0 443.503 -0.080 20 0 IBADRN CNC(=O)C1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1 ZINC000773649117 1074160596 /nfs/dbraw/zinc/16/05/96/1074160596.db2.gz AEKOMUIPSPJUQI-UHFFFAOYSA-N 0 0 433.508 -0.567 20 0 IBADRN CN1C[C@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000773649121 1074160433 /nfs/dbraw/zinc/16/04/33/1074160433.db2.gz AFCZUQMNIAHJBO-GFCCVEGCSA-N 0 0 433.508 -0.615 20 0 IBADRN CN1C[C@@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000773649130 1074160602 /nfs/dbraw/zinc/16/06/02/1074160602.db2.gz AFCZUQMNIAHJBO-LBPRGKRZSA-N 0 0 433.508 -0.615 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773649154 1074160471 /nfs/dbraw/zinc/16/04/71/1074160471.db2.gz HRCBJHUZMHXMJS-GFCCVEGCSA-N 0 0 435.524 -0.178 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773649155 1074160653 /nfs/dbraw/zinc/16/06/53/1074160653.db2.gz HRCBJHUZMHXMJS-LBPRGKRZSA-N 0 0 435.524 -0.178 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@]2(O)CCSC2)cc1)S(C)(=O)=O ZINC000773649156 1074160965 /nfs/dbraw/zinc/16/09/65/1074160965.db2.gz HSAHSACJNUXLFD-HNNXBMFYSA-N 0 0 438.549 -0.225 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@@]2(O)CCSC2)cc1)S(C)(=O)=O ZINC000773649157 1074161121 /nfs/dbraw/zinc/16/11/21/1074161121.db2.gz HSAHSACJNUXLFD-OAHLLOKOSA-N 0 0 438.549 -0.225 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CCn2ccnn2)cc1)S(C)(=O)=O ZINC000773649663 1074161077 /nfs/dbraw/zinc/16/10/77/1074161077.db2.gz UAEVRVDHQNPTRT-UHFFFAOYSA-N 0 0 431.496 -0.411 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CN2CCOCC2)cc1)S(C)(=O)=O ZINC000773649740 1074160995 /nfs/dbraw/zinc/16/09/95/1074160995.db2.gz VDCQPPULBOSTAI-UHFFFAOYSA-N 0 0 435.524 -0.761 20 0 IBADRN Cn1ccc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)cc1=O ZINC000773650851 1074161085 /nfs/dbraw/zinc/16/10/85/1074161085.db2.gz GSVZXCNEDNACFF-UHFFFAOYSA-N 0 0 443.503 -0.080 20 0 IBADRN CNC(=O)C1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCC1 ZINC000773650865 1074161095 /nfs/dbraw/zinc/16/10/95/1074161095.db2.gz NEAYNIHFXWLFEF-UHFFFAOYSA-N 0 0 447.535 -0.177 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@H]2CSC(=O)N2)cc1)S(C)(=O)=O ZINC000773650972 1074161111 /nfs/dbraw/zinc/16/11/11/1074161111.db2.gz OSEDXTMMXPOMHR-GFCCVEGCSA-N 0 0 437.521 -0.268 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@@H]2CSC(=O)N2)cc1)S(C)(=O)=O ZINC000773650974 1074161068 /nfs/dbraw/zinc/16/10/68/1074161068.db2.gz OSEDXTMMXPOMHR-LBPRGKRZSA-N 0 0 437.521 -0.268 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2nccnc2N)cc1)S(C)(=O)=O ZINC000773651276 1074160973 /nfs/dbraw/zinc/16/09/73/1074160973.db2.gz RLIIREQITPTCMA-UHFFFAOYSA-N 0 0 429.480 -0.407 20 0 IBADRN CCS(=O)(=O)CC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773651603 1074161756 /nfs/dbraw/zinc/16/17/56/1074161756.db2.gz RZAPXZWVDGFADC-UHFFFAOYSA-N 0 0 442.537 -0.658 20 0 IBADRN Cn1cc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)ccc1=O ZINC000773652323 1074161027 /nfs/dbraw/zinc/16/10/27/1074161027.db2.gz KXJYEKSZMRIUQZ-UHFFFAOYSA-N 0 0 443.503 -0.080 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CN2CCCNC2=O)cc1)S(C)(=O)=O ZINC000773652336 1074160953 /nfs/dbraw/zinc/16/09/53/1074160953.db2.gz LFQWNUXCFJJYJR-UHFFFAOYSA-N 0 0 448.523 -0.678 20 0 IBADRN COC(=O)N(C)CC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773652689 1074161620 /nfs/dbraw/zinc/16/16/20/1074161620.db2.gz NMNPWFMIIJPDRF-UHFFFAOYSA-N 0 0 437.496 -0.395 20 0 IBADRN CCNC(=O)CCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773652911 1074161716 /nfs/dbraw/zinc/16/17/16/1074161716.db2.gz UKJZWVYNFYMTRH-UHFFFAOYSA-N 0 0 435.524 -0.177 20 0 IBADRN CCN1CCO[C@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1 ZINC000773652985 1074161676 /nfs/dbraw/zinc/16/16/76/1074161676.db2.gz VEXMSISORGVAKS-INIZCTEOSA-N 0 0 449.551 -0.372 20 0 IBADRN CCN1CCO[C@@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1 ZINC000773652990 1074161734 /nfs/dbraw/zinc/16/17/34/1074161734.db2.gz VEXMSISORGVAKS-MRXNPFEDSA-N 0 0 449.551 -0.372 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2ccc(C(N)=O)o2)cc1)S(C)(=O)=O ZINC000773653086 1074161699 /nfs/dbraw/zinc/16/16/99/1074161699.db2.gz UDLBDMYOMFOINP-UHFFFAOYSA-N 0 0 445.475 -0.087 20 0 IBADRN Cn1cc(C(=O)C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)cn1 ZINC000773653114 1074161566 /nfs/dbraw/zinc/16/15/66/1074161566.db2.gz VCYDIMXZKRUEST-UHFFFAOYSA-N 0 0 444.491 -0.476 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2c[nH]c(C(N)=O)c2)cc1)S(C)(=O)=O ZINC000773653135 1074161749 /nfs/dbraw/zinc/16/17/49/1074161749.db2.gz VJKSZJBEKNHULL-UHFFFAOYSA-N 0 0 444.491 -0.352 20 0 IBADRN CN1CCO[C@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1 ZINC000773653163 1074161656 /nfs/dbraw/zinc/16/16/56/1074161656.db2.gz VUZWTBVJXFWHTE-HNNXBMFYSA-N 0 0 435.524 -0.762 20 0 IBADRN CN1CCO[C@@H](C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1 ZINC000773653171 1074161688 /nfs/dbraw/zinc/16/16/88/1074161688.db2.gz VUZWTBVJXFWHTE-OAHLLOKOSA-N 0 0 435.524 -0.762 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@@H]2CCC(=O)NC2)cc1)S(C)(=O)=O ZINC000773653228 1074161592 /nfs/dbraw/zinc/16/15/92/1074161592.db2.gz WMVRUENNYIREJF-GFCCVEGCSA-N 0 0 433.508 -0.567 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@H]2CCC(=O)NC2)cc1)S(C)(=O)=O ZINC000773653230 1074161636 /nfs/dbraw/zinc/16/16/36/1074161636.db2.gz WMVRUENNYIREJF-LBPRGKRZSA-N 0 0 433.508 -0.567 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C2(C(N)=O)CCC2)cc1)S(C)(=O)=O ZINC000773653404 1074161707 /nfs/dbraw/zinc/16/17/07/1074161707.db2.gz YLUANFMKQNWVBG-UHFFFAOYSA-N 0 0 433.508 -0.437 20 0 IBADRN O=C(COC(=O)c1cc[nH]c(=O)c1Br)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000773657226 1074160640 /nfs/dbraw/zinc/16/06/40/1074160640.db2.gz YITVZNPLXRLRBQ-SSDOTTSWSA-N 0 0 436.240 -0.281 20 0 IBADRN O=C(COC(=O)c1cc[nH]c(=O)c1Br)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000773657229 1074160498 /nfs/dbraw/zinc/16/04/98/1074160498.db2.gz YITVZNPLXRLRBQ-ZETCQYMHSA-N 0 0 436.240 -0.281 20 0 IBADRN O=C([C@H]1Nc2ccccc2S(=O)(=O)N1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000773662181 1074162404 /nfs/dbraw/zinc/16/24/04/1074162404.db2.gz QZSUSQKDIQDCAB-INIZCTEOSA-N 0 0 428.536 -0.562 20 0 IBADRN O=C([C@@H]1Nc2ccccc2S(=O)(=O)N1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000773662183 1074162252 /nfs/dbraw/zinc/16/22/52/1074162252.db2.gz QZSUSQKDIQDCAB-MRXNPFEDSA-N 0 0 428.536 -0.562 20 0 IBADRN CC1(C)NC(=O)N(CC[S@@](=O)CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1=O ZINC000773667360 1074162361 /nfs/dbraw/zinc/16/23/61/1074162361.db2.gz DTVYVNVVPZAXQQ-MUUNZHRXSA-N 0 0 442.519 -0.698 20 0 IBADRN CC1(C)NC(=O)N(CC[S@](=O)CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)C1=O ZINC000773667363 1074162394 /nfs/dbraw/zinc/16/23/94/1074162394.db2.gz DTVYVNVVPZAXQQ-NDEPHWFRSA-N 0 0 442.519 -0.698 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1 ZINC000773672794 1074163327 /nfs/dbraw/zinc/16/33/27/1074163327.db2.gz KEVIJROBFHMLJF-CVEARBPZSA-N 0 0 431.449 -0.987 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1 ZINC000773672795 1074163351 /nfs/dbraw/zinc/16/33/51/1074163351.db2.gz KEVIJROBFHMLJF-HOTGVXAUSA-N 0 0 431.449 -0.987 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1 ZINC000773672796 1074163324 /nfs/dbraw/zinc/16/33/24/1074163324.db2.gz KEVIJROBFHMLJF-HZPDHXFCSA-N 0 0 431.449 -0.987 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1 ZINC000773672797 1074163316 /nfs/dbraw/zinc/16/33/16/1074163316.db2.gz KEVIJROBFHMLJF-JKSUJKDBSA-N 0 0 431.449 -0.987 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCOC[C@H]2C(=O)NCC(=O)OCC)c1 ZINC000773673532 1074164117 /nfs/dbraw/zinc/16/41/17/1074164117.db2.gz IGVRKXKEYLIXGA-HNNXBMFYSA-N 0 0 445.469 -0.356 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCOC[C@@H]2C(=O)NCC(=O)OCC)c1 ZINC000773673534 1074163964 /nfs/dbraw/zinc/16/39/64/1074163964.db2.gz IGVRKXKEYLIXGA-OAHLLOKOSA-N 0 0 445.469 -0.356 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C1=NN(c2ccccc2)C(=O)[C@H](C)N1 ZINC000773674089 1074163297 /nfs/dbraw/zinc/16/32/97/1074163297.db2.gz SGEVCWGRFWAPOM-DZGCQCFKSA-N 0 0 431.449 -0.769 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C1=NN(c2ccccc2)C(=O)[C@@H](C)N1 ZINC000773674092 1074163361 /nfs/dbraw/zinc/16/33/61/1074163361.db2.gz SGEVCWGRFWAPOM-HIFRSBDPSA-N 0 0 431.449 -0.769 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C1=NN(c2ccccc2)C(=O)[C@@H](C)N1 ZINC000773674094 1074164070 /nfs/dbraw/zinc/16/40/70/1074164070.db2.gz SGEVCWGRFWAPOM-UKRRQHHQSA-N 0 0 431.449 -0.769 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C1=NN(c2ccccc2)C(=O)[C@H](C)N1 ZINC000773674096 1074163980 /nfs/dbraw/zinc/16/39/80/1074163980.db2.gz SGEVCWGRFWAPOM-ZFWWWQNUSA-N 0 0 431.449 -0.769 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)NC)ccc1Cl ZINC000773676053 1074164011 /nfs/dbraw/zinc/16/40/11/1074164011.db2.gz NVGMIPWVRPOKOZ-AWEZNQCLSA-N 0 0 447.897 -0.232 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(S(=O)(=O)NC)ccc1Cl ZINC000773676144 1074164083 /nfs/dbraw/zinc/16/40/83/1074164083.db2.gz NVGMIPWVRPOKOZ-CQSZACIVSA-N 0 0 447.897 -0.232 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(F)c(S(=O)(=O)NC)c1 ZINC000773676260 1074164044 /nfs/dbraw/zinc/16/40/44/1074164044.db2.gz OWGNIECJXSXRJR-CYBMUJFWSA-N 0 0 431.442 -0.746 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(F)c(S(=O)(=O)NC)c1 ZINC000773676287 1074164017 /nfs/dbraw/zinc/16/40/17/1074164017.db2.gz OWGNIECJXSXRJR-ZDUSSCGKSA-N 0 0 431.442 -0.746 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC000773679206 1074164077 /nfs/dbraw/zinc/16/40/77/1074164077.db2.gz VXFNKHAOOBLRJT-APHBMKBZSA-N 0 0 437.474 -0.403 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC000773679207 1074164102 /nfs/dbraw/zinc/16/41/02/1074164102.db2.gz VXFNKHAOOBLRJT-MAZHCROVSA-N 0 0 437.474 -0.403 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H](O)[C@H](O)c1nc2ccccc2s1 ZINC000773679208 1074163984 /nfs/dbraw/zinc/16/39/84/1074163984.db2.gz VXFNKHAOOBLRJT-RCBQFDQVSA-N 0 0 437.474 -0.403 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H](O)[C@H](O)c1nc2ccccc2s1 ZINC000773679209 1074164091 /nfs/dbraw/zinc/16/40/91/1074164091.db2.gz VXFNKHAOOBLRJT-VBNZEHGJSA-N 0 0 437.474 -0.403 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC000773679714 1074164096 /nfs/dbraw/zinc/16/40/96/1074164096.db2.gz YTDUEJWSBOZFMP-CVEARBPZSA-N 0 0 449.439 -0.848 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC000773679716 1074164109 /nfs/dbraw/zinc/16/41/09/1074164109.db2.gz YTDUEJWSBOZFMP-HOTGVXAUSA-N 0 0 449.439 -0.848 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC000773679718 1074164066 /nfs/dbraw/zinc/16/40/66/1074164066.db2.gz YTDUEJWSBOZFMP-HZPDHXFCSA-N 0 0 449.439 -0.848 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC000773679720 1074164030 /nfs/dbraw/zinc/16/40/30/1074164030.db2.gz YTDUEJWSBOZFMP-JKSUJKDBSA-N 0 0 449.439 -0.848 20 0 IBADRN Cc1ccn(CC(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c(=O)c1 ZINC000773696261 1074164991 /nfs/dbraw/zinc/16/49/91/1074164991.db2.gz BPAKHCVMVZAZIY-CVEARBPZSA-N 0 0 426.491 -0.105 20 0 IBADRN Cc1ccn(CC(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c(=O)c1 ZINC000773696275 1074164999 /nfs/dbraw/zinc/16/49/99/1074164999.db2.gz BPAKHCVMVZAZIY-HOTGVXAUSA-N 0 0 426.491 -0.105 20 0 IBADRN Cc1ccn(CC(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c(=O)c1 ZINC000773696280 1074165022 /nfs/dbraw/zinc/16/50/22/1074165022.db2.gz BPAKHCVMVZAZIY-HZPDHXFCSA-N 0 0 426.491 -0.105 20 0 IBADRN Cc1ccn(CC(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c(=O)c1 ZINC000773696284 1074165114 /nfs/dbraw/zinc/16/51/14/1074165114.db2.gz BPAKHCVMVZAZIY-JKSUJKDBSA-N 0 0 426.491 -0.105 20 0 IBADRN Cc1ccn(CC(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c(=O)c1 ZINC000773701213 1074165644 /nfs/dbraw/zinc/16/56/44/1074165644.db2.gz FUXWRVMBPFGLSU-UHFFFAOYSA-N 0 0 425.449 -0.275 20 0 IBADRN O=C(C1=NN(c2ccc(F)cc2)C(=O)CC1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000773714340 1074168883 /nfs/dbraw/zinc/16/88/83/1074168883.db2.gz CYULSFIKITZVPN-HNNXBMFYSA-N 0 0 436.465 -0.181 20 0 IBADRN O=C(C1=NN(c2ccc(F)cc2)C(=O)CC1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000773714362 1074168761 /nfs/dbraw/zinc/16/87/61/1074168761.db2.gz CYULSFIKITZVPN-OAHLLOKOSA-N 0 0 436.465 -0.181 20 0 IBADRN CCOC(Cn1ncc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1N)OCC ZINC000773715499 1074168797 /nfs/dbraw/zinc/16/87/97/1074168797.db2.gz ITNPLLMTUAZCTB-UHFFFAOYSA-N 0 0 438.529 -0.519 20 0 IBADRN O=C(CN1CCN(C(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CC1)N1CCOCC1 ZINC000773717310 1074168729 /nfs/dbraw/zinc/16/87/29/1074168729.db2.gz VMUMUKPKFSJPAX-UHFFFAOYSA-N 0 0 445.451 -0.510 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)NCC(=O)NC2CC2)cc1)S(C)(=O)=O ZINC000773720742 1074169959 /nfs/dbraw/zinc/16/99/59/1074169959.db2.gz RLCPQXOHTHZIOJ-UHFFFAOYSA-N 0 0 448.523 -0.631 20 0 IBADRN CCNC(=O)CNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773722734 1074169926 /nfs/dbraw/zinc/16/99/26/1074169926.db2.gz YFFIKZSAYACZGW-UHFFFAOYSA-N 0 0 436.512 -0.774 20 0 IBADRN CC(=O)NCCNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773722740 1074169944 /nfs/dbraw/zinc/16/99/44/1074169944.db2.gz YNXKRCARWNHRSE-UHFFFAOYSA-N 0 0 436.512 -0.774 20 0 IBADRN CC1(C)NC(=O)N(CCS(=O)(=O)CC(=O)N(CCC(N)=O)Cc2ccccc2)C1=O ZINC000773732526 1074171046 /nfs/dbraw/zinc/17/10/46/1074171046.db2.gz DJFOTIOCZSXAEI-UHFFFAOYSA-N 0 0 438.506 -0.364 20 0 IBADRN CC1(C)NC(=O)N(CCS(=O)(=O)CC(=O)N(CCC(N)=O)c2ccc(F)cc2)C1=O ZINC000773733625 1074171067 /nfs/dbraw/zinc/17/10/67/1074171067.db2.gz JZUILTISXZVEOD-UHFFFAOYSA-N 0 0 442.469 -0.221 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3COC4(CCCC4)O3)CC2)CC1 ZINC000773734203 1074171004 /nfs/dbraw/zinc/17/10/04/1074171004.db2.gz MENIQPBZQUQZRQ-INIZCTEOSA-N 0 0 431.559 -0.509 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3COC4(CCCC4)O3)CC2)CC1 ZINC000773734204 1074170927 /nfs/dbraw/zinc/17/09/27/1074170927.db2.gz MENIQPBZQUQZRQ-MRXNPFEDSA-N 0 0 431.559 -0.509 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CC1 ZINC000773734409 1074170961 /nfs/dbraw/zinc/17/09/61/1074170961.db2.gz BMSHRPCDJFHZPA-UHFFFAOYSA-N 0 0 445.451 -0.510 20 0 IBADRN CCOC(Cn1ncc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1N)OCC ZINC000773736795 1074171639 /nfs/dbraw/zinc/17/16/39/1074171639.db2.gz NCFGTFGRLROZPJ-UHFFFAOYSA-N 0 0 438.529 -0.519 20 0 IBADRN CNS(=O)(=O)NCC(=O)NCCN1C(=O)S/C(=C/c2ccc3c(c2)OCO3)C1=O ZINC000773756879 1074175641 /nfs/dbraw/zinc/17/56/41/1074175641.db2.gz GRQQSTXNEHXAFW-NTUHNPAUSA-N 0 0 442.475 -0.378 20 0 IBADRN CNS(=O)(=O)NCC(=O)NCCN1C(=O)S/C(=C\c2ccc3c(c2)OCO3)C1=O ZINC000773756884 1074175772 /nfs/dbraw/zinc/17/57/72/1074175772.db2.gz GRQQSTXNEHXAFW-QPEQYQDCSA-N 0 0 442.475 -0.378 20 0 IBADRN CC(CO)(CO)NC(=O)c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1 ZINC000773768737 1074176740 /nfs/dbraw/zinc/17/67/40/1074176740.db2.gz NAUQYJUWFWRCJI-UHFFFAOYSA-N 0 0 437.312 -0.057 20 0 IBADRN CCO[C@@H]1C[C@](CO)(NC(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1(C)C ZINC000773775724 1074178658 /nfs/dbraw/zinc/17/86/58/1074178658.db2.gz JRCVBSPIFMUKHM-AUUYWEPGSA-N 0 0 443.522 -0.486 20 0 IBADRN CCO[C@H]1C[C@](CO)(NC(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1(C)C ZINC000773775725 1074178666 /nfs/dbraw/zinc/17/86/66/1074178666.db2.gz JRCVBSPIFMUKHM-IFXJQAMLSA-N 0 0 443.522 -0.486 20 0 IBADRN CCO[C@@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1(C)C ZINC000773775726 1074178737 /nfs/dbraw/zinc/17/87/37/1074178737.db2.gz JRCVBSPIFMUKHM-KUHUBIRLSA-N 0 0 443.522 -0.486 20 0 IBADRN CCO[C@H]1C[C@@](CO)(NC(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1(C)C ZINC000773775728 1074178538 /nfs/dbraw/zinc/17/85/38/1074178538.db2.gz JRCVBSPIFMUKHM-LIRRHRJNSA-N 0 0 443.522 -0.486 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(C(N)=O)c(Cl)n3)CC2)CC1 ZINC000773775984 1074178583 /nfs/dbraw/zinc/17/85/83/1074178583.db2.gz DCQGMGYTNLMTDN-UHFFFAOYSA-N 0 0 430.918 -0.916 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc4n[nH]c(=S)n4c3)CC2)CC1 ZINC000773778569 1074179158 /nfs/dbraw/zinc/17/91/58/1074179158.db2.gz XDUJJYWZFAUEPA-UHFFFAOYSA-N 0 0 425.540 -0.732 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnc(C4OCCO4)s3)CC2)CC1 ZINC000773778644 1074179113 /nfs/dbraw/zinc/17/91/13/1074179113.db2.gz XOZDKAXHQPHLMH-UHFFFAOYSA-N 0 0 431.540 -0.562 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCc2n[nH]c(=O)cc2C1 ZINC000773781935 1074179122 /nfs/dbraw/zinc/17/91/22/1074179122.db2.gz FIJQRYVJCHGSND-UHFFFAOYSA-N 0 0 431.474 -0.094 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NC(C)(CO)CO)c1 ZINC000773782530 1074179143 /nfs/dbraw/zinc/17/91/43/1074179143.db2.gz OGWIWYZEHKPEBX-UHFFFAOYSA-N 0 0 425.301 -0.153 20 0 IBADRN O=C(CCNC(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000773805739 1074185619 /nfs/dbraw/zinc/18/56/19/1074185619.db2.gz INBJZMVJIXXERE-GFCCVEGCSA-N 0 0 438.437 -0.703 20 0 IBADRN O=C(CCNC(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000773805740 1074185494 /nfs/dbraw/zinc/18/54/94/1074185494.db2.gz INBJZMVJIXXERE-LBPRGKRZSA-N 0 0 438.437 -0.703 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000773814508 1074186797 /nfs/dbraw/zinc/18/67/97/1074186797.db2.gz FFEGYFHNLPOPHL-KBPBESRZSA-N 0 0 432.481 -0.061 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000773814532 1074188325 /nfs/dbraw/zinc/18/83/25/1074188325.db2.gz FFEGYFHNLPOPHL-KGLIPLIRSA-N 0 0 432.481 -0.061 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000773814535 1074188328 /nfs/dbraw/zinc/18/83/28/1074188328.db2.gz FFEGYFHNLPOPHL-UONOGXRCSA-N 0 0 432.481 -0.061 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000773814539 1074188998 /nfs/dbraw/zinc/18/89/98/1074188998.db2.gz FFEGYFHNLPOPHL-ZIAGYGMSSA-N 0 0 432.481 -0.061 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000773817090 1074191088 /nfs/dbraw/zinc/19/10/88/1074191088.db2.gz JFDRPJXRAZCHDD-NEPJUHHUSA-N 0 0 446.464 -0.446 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000773817138 1074190978 /nfs/dbraw/zinc/19/09/78/1074190978.db2.gz JFDRPJXRAZCHDD-NWDGAFQWSA-N 0 0 446.464 -0.446 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000773817141 1074191005 /nfs/dbraw/zinc/19/10/05/1074191005.db2.gz JFDRPJXRAZCHDD-RYUDHWBXSA-N 0 0 446.464 -0.446 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000773817143 1074191034 /nfs/dbraw/zinc/19/10/34/1074191034.db2.gz JFDRPJXRAZCHDD-VXGBXAGGSA-N 0 0 446.464 -0.446 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)Cn3nnn(-c4ccccc4)c3=O)C2=O)c1 ZINC000773820891 1074191623 /nfs/dbraw/zinc/19/16/23/1074191623.db2.gz DPWSMZIWYBBPEY-FQEVSTJZSA-N 0 0 437.416 -0.064 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)Cn3nnn(-c4ccccc4)c3=O)C2=O)c1 ZINC000773820894 1074191617 /nfs/dbraw/zinc/19/16/17/1074191617.db2.gz DPWSMZIWYBBPEY-HXUWFJFHSA-N 0 0 437.416 -0.064 20 0 IBADRN O=C(c1cc(C(F)(F)F)n[nH]1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000773820934 1074191634 /nfs/dbraw/zinc/19/16/34/1074191634.db2.gz FFBYRDGPEZAPLU-SECBINFHSA-N 0 0 430.430 -0.297 20 0 IBADRN O=C(c1cc(C(F)(F)F)n[nH]1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000773820935 1074191609 /nfs/dbraw/zinc/19/16/09/1074191609.db2.gz FFBYRDGPEZAPLU-VIFPVBQESA-N 0 0 430.430 -0.297 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CC1 ZINC000773826814 1074191858 /nfs/dbraw/zinc/19/18/58/1074191858.db2.gz UWJHQNDZAQIKIT-UHFFFAOYSA-N 0 0 431.424 -0.317 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000773827874 1074191961 /nfs/dbraw/zinc/19/19/61/1074191961.db2.gz YWDCAQUXPJVHRV-GXTWGEPZSA-N 0 0 433.465 -0.177 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000773827876 1074191945 /nfs/dbraw/zinc/19/19/45/1074191945.db2.gz YWDCAQUXPJVHRV-JSGCOSHPSA-N 0 0 433.465 -0.177 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000773827878 1074191991 /nfs/dbraw/zinc/19/19/91/1074191991.db2.gz YWDCAQUXPJVHRV-OCCSQVGLSA-N 0 0 433.465 -0.177 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000773827880 1074191933 /nfs/dbraw/zinc/19/19/33/1074191933.db2.gz YWDCAQUXPJVHRV-TZMCWYRMSA-N 0 0 433.465 -0.177 20 0 IBADRN O=C(c1ccc2n[nH]c(=S)n2c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000773831804 1074192456 /nfs/dbraw/zinc/19/24/56/1074192456.db2.gz XNRDEDKLLISFKL-GFCCVEGCSA-N 0 0 445.548 -0.707 20 0 IBADRN O=C(c1ccc2n[nH]c(=S)n2c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000773831805 1074192446 /nfs/dbraw/zinc/19/24/46/1074192446.db2.gz XNRDEDKLLISFKL-LBPRGKRZSA-N 0 0 445.548 -0.707 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)cn1 ZINC000773857432 1074198694 /nfs/dbraw/zinc/19/86/94/1074198694.db2.gz KBLNLLIWSZJYRK-AWEZNQCLSA-N 0 0 438.485 -0.338 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)C(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)cn1 ZINC000773857434 1074198728 /nfs/dbraw/zinc/19/87/28/1074198728.db2.gz KBLNLLIWSZJYRK-CQSZACIVSA-N 0 0 438.485 -0.338 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)cc1C(N)=O ZINC000773859267 1074199532 /nfs/dbraw/zinc/19/95/32/1074199532.db2.gz QSIKQFOPKXMFFV-GFCCVEGCSA-N 0 0 437.453 -0.120 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)cc1C(N)=O ZINC000773859270 1074199546 /nfs/dbraw/zinc/19/95/46/1074199546.db2.gz QSIKQFOPKXMFFV-LBPRGKRZSA-N 0 0 437.453 -0.120 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)cc1C ZINC000773861897 1074200175 /nfs/dbraw/zinc/20/01/75/1074200175.db2.gz DCYBZMYWXQVXKC-CYBMUJFWSA-N 0 0 438.485 -0.372 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)cc1C ZINC000773861900 1074200146 /nfs/dbraw/zinc/20/01/46/1074200146.db2.gz DCYBZMYWXQVXKC-ZDUSSCGKSA-N 0 0 438.485 -0.372 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)n1 ZINC000773864063 1074200618 /nfs/dbraw/zinc/20/06/18/1074200618.db2.gz STWDEUSOMKJNNW-CYBMUJFWSA-N 0 0 438.485 -0.338 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)n1 ZINC000773864065 1074200513 /nfs/dbraw/zinc/20/05/13/1074200513.db2.gz STWDEUSOMKJNNW-ZDUSSCGKSA-N 0 0 438.485 -0.338 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC(C(=O)C(F)(F)F)CC3)cnc2n(C)c1=O ZINC000773867799 1074204153 /nfs/dbraw/zinc/20/41/53/1074204153.db2.gz WKIQYWBSQJIJRB-UHFFFAOYSA-N 0 0 441.366 -0.059 20 0 IBADRN Cn1cc([C@@H](O)C(=O)N[C@@H](CNC(=O)[C@@H](O)c2cnn(C)c2)Cc2ccccc2)cn1 ZINC000773872792 1074204463 /nfs/dbraw/zinc/20/44/63/1074204463.db2.gz DHUQMVFTRKCRLZ-CEXWTWQISA-N 0 0 426.477 -0.236 20 0 IBADRN Cn1cc([C@H](O)C(=O)NC[C@H](Cc2ccccc2)NC(=O)[C@@H](O)c2cnn(C)c2)cn1 ZINC000773872794 1074204538 /nfs/dbraw/zinc/20/45/38/1074204538.db2.gz DHUQMVFTRKCRLZ-FHWLQOOXSA-N 0 0 426.477 -0.236 20 0 IBADRN Cn1cc([C@@H](O)C(=O)N[C@H](CNC(=O)[C@@H](O)c2cnn(C)c2)Cc2ccccc2)cn1 ZINC000773872799 1074204443 /nfs/dbraw/zinc/20/44/43/1074204443.db2.gz DHUQMVFTRKCRLZ-GBESFXJTSA-N 0 0 426.477 -0.236 20 0 IBADRN Cn1cc([C@H](O)C(=O)NC[C@@H](Cc2ccccc2)NC(=O)[C@@H](O)c2cnn(C)c2)cn1 ZINC000773872801 1074204419 /nfs/dbraw/zinc/20/44/19/1074204419.db2.gz DHUQMVFTRKCRLZ-QYZOEREBSA-N 0 0 426.477 -0.236 20 0 IBADRN CC(C)C1(O)CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1 ZINC000773896239 1074208376 /nfs/dbraw/zinc/20/83/76/1074208376.db2.gz LFLIPXYAWHLYQY-UHFFFAOYSA-N 0 0 439.534 -0.007 20 0 IBADRN CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1ccn(CCS(C)(=O)=O)n1 ZINC000773897633 1074208526 /nfs/dbraw/zinc/20/85/26/1074208526.db2.gz BFJAHOBQFRHRDJ-CHWSQXEVSA-N 0 0 430.483 -0.565 20 0 IBADRN CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1ccn(CCS(C)(=O)=O)n1 ZINC000773897643 1074208518 /nfs/dbraw/zinc/20/85/18/1074208518.db2.gz BFJAHOBQFRHRDJ-OLZOCXBDSA-N 0 0 430.483 -0.565 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C(=O)Nc1ccn(CCS(C)(=O)=O)n1 ZINC000773897649 1074208457 /nfs/dbraw/zinc/20/84/57/1074208457.db2.gz BFJAHOBQFRHRDJ-QWHCGFSZSA-N 0 0 430.483 -0.565 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C(=O)Nc1ccn(CCS(C)(=O)=O)n1 ZINC000773897656 1074208432 /nfs/dbraw/zinc/20/84/32/1074208432.db2.gz BFJAHOBQFRHRDJ-STQMWFEESA-N 0 0 430.483 -0.565 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)Nc2ccc(-n3nccn3)nc2)(N2CCOCC2)C1 ZINC000773917679 1074211732 /nfs/dbraw/zinc/21/17/32/1074211732.db2.gz CUSIXMTUQKJINT-UHFFFAOYSA-N 0 0 429.481 -0.013 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773922522 1074212665 /nfs/dbraw/zinc/21/26/65/1074212665.db2.gz PMWZYFRUMNGECE-INIZCTEOSA-N 0 0 447.535 -0.082 20 0 IBADRN CCOC(=O)NCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000773923226 1074212752 /nfs/dbraw/zinc/21/27/52/1074212752.db2.gz ZKRJQAXEEANHNE-UHFFFAOYSA-N 0 0 437.496 -0.347 20 0 IBADRN CCOC(Cn1ncc(C(=O)NCCS(=O)(=O)N2CCSCC2)c1N)OCC ZINC000773929432 1074213165 /nfs/dbraw/zinc/21/31/65/1074213165.db2.gz FZPRIUCZMWXKQU-UHFFFAOYSA-N 0 0 435.572 -0.027 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC000773929898 1074213955 /nfs/dbraw/zinc/21/39/55/1074213955.db2.gz IVJPCEGPDJPHLV-UHFFFAOYSA-N 0 0 442.494 -0.018 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CC1 ZINC000773930720 1074213058 /nfs/dbraw/zinc/21/30/58/1074213058.db2.gz NHGVKLBFAVPBED-UHFFFAOYSA-N 0 0 431.424 -0.316 20 0 IBADRN C[C@@H](NC(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000773933770 1074215442 /nfs/dbraw/zinc/21/54/42/1074215442.db2.gz ZLUFCGYGHFWXAT-LLVKDONJSA-N 0 0 438.437 -0.751 20 0 IBADRN C[C@H](NC(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000773933774 1074215429 /nfs/dbraw/zinc/21/54/29/1074215429.db2.gz ZLUFCGYGHFWXAT-NSHDSACASA-N 0 0 438.437 -0.751 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1 ZINC000773959815 1074217790 /nfs/dbraw/zinc/21/77/90/1074217790.db2.gz OKOMRDYKNWTHKN-UHFFFAOYSA-N 0 0 448.520 -0.034 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C1 ZINC000773962215 1074217730 /nfs/dbraw/zinc/21/77/30/1074217730.db2.gz UBWSJEDXRYYITM-UHFFFAOYSA-N 0 0 425.507 -0.128 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC000773965819 1074217485 /nfs/dbraw/zinc/21/74/85/1074217485.db2.gz UVGXYDHNOPQYFK-FZTQNYJHSA-N 0 0 426.514 -0.136 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC000773965820 1074217666 /nfs/dbraw/zinc/21/76/66/1074217666.db2.gz UVGXYDHNOPQYFK-KMFGOTIKSA-N 0 0 426.514 -0.136 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC000773965821 1074217809 /nfs/dbraw/zinc/21/78/09/1074217809.db2.gz UVGXYDHNOPQYFK-QNBXZPKWSA-N 0 0 426.514 -0.136 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC000773965822 1074217609 /nfs/dbraw/zinc/21/76/09/1074217609.db2.gz UVGXYDHNOPQYFK-SIRSKZSMSA-N 0 0 426.514 -0.136 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CC1 ZINC000774003340 1074220722 /nfs/dbraw/zinc/22/07/22/1074220722.db2.gz DARQNUGWZKBWAD-UHFFFAOYSA-N 0 0 425.507 -0.174 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCC(CO)(OC)CC3)cc2)CC1 ZINC000774006763 1074220774 /nfs/dbraw/zinc/22/07/74/1074220774.db2.gz VBDICHBOYVHOEA-UHFFFAOYSA-N 0 0 448.520 -0.080 20 0 IBADRN CC1CCN(c2nnc(S(=O)(=O)[C@@H]3CS(=O)(=O)C[C@@H]3O)n2C[C@H]2CCCO2)CC1 ZINC000774010417 1074221174 /nfs/dbraw/zinc/22/11/74/1074221174.db2.gz XNMBQNDAKWQSDL-QLFBSQMISA-N 0 0 448.567 -0.375 20 0 IBADRN CC1CCN(c2nnc(S(=O)(=O)[C@@H]3CS(=O)(=O)C[C@H]3O)n2C[C@H]2CCCO2)CC1 ZINC000774010420 1074221089 /nfs/dbraw/zinc/22/10/89/1074221089.db2.gz XNMBQNDAKWQSDL-RBSFLKMASA-N 0 0 448.567 -0.375 20 0 IBADRN CC1CCN(c2nnc(S(=O)(=O)[C@@H]3CS(=O)(=O)C[C@H]3O)n2C[C@@H]2CCCO2)CC1 ZINC000774010423 1074221122 /nfs/dbraw/zinc/22/11/22/1074221122.db2.gz XNMBQNDAKWQSDL-RRFJBIMHSA-N 0 0 448.567 -0.375 20 0 IBADRN CC1CCN(c2nnc(S(=O)(=O)[C@@H]3CS(=O)(=O)C[C@@H]3O)n2C[C@@H]2CCCO2)CC1 ZINC000774010425 1074221138 /nfs/dbraw/zinc/22/11/38/1074221138.db2.gz XNMBQNDAKWQSDL-SOUVJXGZSA-N 0 0 448.567 -0.375 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000774012665 1074221611 /nfs/dbraw/zinc/22/16/11/1074221611.db2.gz JLWIAQLHBPTSTN-CRAIPNDOSA-N 0 0 434.493 -0.566 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000774012670 1074221672 /nfs/dbraw/zinc/22/16/72/1074221672.db2.gz JLWIAQLHBPTSTN-MAUKXSAKSA-N 0 0 434.493 -0.566 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000774012672 1074221600 /nfs/dbraw/zinc/22/16/00/1074221600.db2.gz JLWIAQLHBPTSTN-QAPCUYQASA-N 0 0 434.493 -0.566 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000774012674 1074221674 /nfs/dbraw/zinc/22/16/74/1074221674.db2.gz JLWIAQLHBPTSTN-YJBOKZPZSA-N 0 0 434.493 -0.566 20 0 IBADRN Cc1cc(CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)ccc1S(N)(=O)=O ZINC000774017555 1074221663 /nfs/dbraw/zinc/22/16/63/1074221663.db2.gz ABSFOSQINHRXLD-UHFFFAOYSA-N 0 0 445.501 -0.166 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000774017606 1074221678 /nfs/dbraw/zinc/22/16/78/1074221678.db2.gz KCUANYGVZUXPFE-UHFFFAOYSA-N 0 0 445.501 -0.166 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000774026659 1074221608 /nfs/dbraw/zinc/22/16/08/1074221608.db2.gz ZRVKXLWITJGTSD-UHFFFAOYSA-N 0 0 435.528 -0.694 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccnc(-n4ccnc4)c3)CC2)ccc1=O ZINC000774047423 1074221959 /nfs/dbraw/zinc/22/19/59/1074221959.db2.gz HVTRKPSQWDIQDE-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN COc1ncc(/C=C\C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)cn1 ZINC000774049476 1074222061 /nfs/dbraw/zinc/22/20/61/1074222061.db2.gz ZUNVNWXMLMMVKU-UTCJRWHESA-N 0 0 428.449 -0.407 20 0 IBADRN COc1ncc(/C=C/C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)cn1 ZINC000774049477 1074222027 /nfs/dbraw/zinc/22/20/27/1074222027.db2.gz ZUNVNWXMLMMVKU-ZZXKWVIFSA-N 0 0 428.449 -0.407 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)N=c2nc[nH]n2C)CC1 ZINC000774049829 1074222145 /nfs/dbraw/zinc/22/21/45/1074222145.db2.gz ISRLRRWJQVNNGH-UHFFFAOYSA-N 0 0 442.523 -0.513 20 0 IBADRN Cn1[nH]cnc1=NC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000774050538 1074222037 /nfs/dbraw/zinc/22/20/37/1074222037.db2.gz RJAKETWBSKMBMY-UHFFFAOYSA-N 0 0 429.503 -0.664 20 0 IBADRN Cn1[nH]cnc1=NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000774050546 1074221981 /nfs/dbraw/zinc/22/19/81/1074221981.db2.gz RUVFFBGWRHLGQO-UHFFFAOYSA-N 0 0 432.484 -0.837 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC000774050766 1074221972 /nfs/dbraw/zinc/22/19/72/1074221972.db2.gz AIRMUTJBUFKZBT-UHFFFAOYSA-N 0 0 433.556 -0.187 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000774076320 1074222565 /nfs/dbraw/zinc/22/25/65/1074222565.db2.gz KUEAXCVSLVRMDU-HZSPNIEDSA-N 0 0 429.495 -0.279 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000774076357 1074222581 /nfs/dbraw/zinc/22/25/81/1074222581.db2.gz KUEAXCVSLVRMDU-IHRRRGAJSA-N 0 0 429.495 -0.279 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000774076360 1074222457 /nfs/dbraw/zinc/22/24/57/1074222457.db2.gz KUEAXCVSLVRMDU-MELADBBJSA-N 0 0 429.495 -0.279 20 0 IBADRN CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000774076366 1074222547 /nfs/dbraw/zinc/22/25/47/1074222547.db2.gz KUEAXCVSLVRMDU-RDBSUJKOSA-N 0 0 429.495 -0.279 20 0 IBADRN CN(CCOC(=O)CN1CCN(c2ccccn2)CC1)S(=O)(=O)N1CCOCC1 ZINC000774076631 1074222086 /nfs/dbraw/zinc/22/20/86/1074222086.db2.gz OSFHIIHYDSMOGT-UHFFFAOYSA-N 0 0 427.527 -0.744 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ncc(NC(=O)C(=O)NCCNS(C)(=O)=O)cc2C1 ZINC000774098766 1074222587 /nfs/dbraw/zinc/22/25/87/1074222587.db2.gz CWXZPHXPYXASPI-UHFFFAOYSA-N 0 0 441.510 -0.021 20 0 IBADRN C[C@@H](OC(=O)C1=NNC(=O)CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000774100669 1074222595 /nfs/dbraw/zinc/22/25/95/1074222595.db2.gz DIRGHPZGVDRKCT-GFCCVEGCSA-N 0 0 438.462 -0.156 20 0 IBADRN C[C@H](OC(=O)C1=NNC(=O)CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000774101109 1074222445 /nfs/dbraw/zinc/22/24/45/1074222445.db2.gz DIRGHPZGVDRKCT-LBPRGKRZSA-N 0 0 438.462 -0.156 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000774108302 1074222460 /nfs/dbraw/zinc/22/24/60/1074222460.db2.gz MMRBIODXMJTTNP-INIZCTEOSA-N 0 0 430.417 -0.694 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000774108303 1074222508 /nfs/dbraw/zinc/22/25/08/1074222508.db2.gz MMRBIODXMJTTNP-MRXNPFEDSA-N 0 0 430.417 -0.694 20 0 IBADRN Cc1nnc(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2)o1 ZINC000774109383 1074222448 /nfs/dbraw/zinc/22/24/48/1074222448.db2.gz TZYQCMNRTYLEFH-UHFFFAOYSA-N 0 0 426.433 -0.067 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(Cl)n1 ZINC000774129761 1074223084 /nfs/dbraw/zinc/22/30/84/1074223084.db2.gz DSUCLYMDUMZDPF-UHFFFAOYSA-N 0 0 439.856 -0.788 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(=O)OC)n(C)c2)CC1 ZINC000774129824 1074223106 /nfs/dbraw/zinc/22/31/06/1074223106.db2.gz LCMGKVOEOKEYAW-UHFFFAOYSA-N 0 0 429.499 -0.519 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccnc2Br)CC1 ZINC000774130311 1074222864 /nfs/dbraw/zinc/22/28/64/1074222864.db2.gz HBAVYIGVEYAAFS-UHFFFAOYSA-N 0 0 426.271 -0.022 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(N)=O)cc2F)CC1 ZINC000774131201 1074223093 /nfs/dbraw/zinc/22/30/93/1074223093.db2.gz QYVIFDFQWBWZKS-UHFFFAOYSA-N 0 0 429.474 -0.406 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(CC)CC)CC2)cn1C ZINC000774132682 1074223045 /nfs/dbraw/zinc/22/30/45/1074223045.db2.gz YWPVBEGUNOYDLZ-UHFFFAOYSA-N 0 0 443.526 -0.129 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccnc2Br)CC1 ZINC000774137176 1074222513 /nfs/dbraw/zinc/22/25/13/1074222513.db2.gz BECBQUYVQIVFOY-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(Cl)n1 ZINC000774138445 1074222485 /nfs/dbraw/zinc/22/24/85/1074222485.db2.gz HMLQMLZYXFRQMT-UHFFFAOYSA-N 0 0 425.873 -0.315 20 0 IBADRN Cc1nnc(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2)o1 ZINC000774141624 1074222494 /nfs/dbraw/zinc/22/24/94/1074222494.db2.gz UTKBIDQCIRRZCJ-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(Cl)n1 ZINC000774144114 1074222966 /nfs/dbraw/zinc/22/29/66/1074222966.db2.gz LPVXGSGUBSVRBQ-UHFFFAOYSA-N 0 0 447.901 -0.597 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000774144275 1074223077 /nfs/dbraw/zinc/22/30/77/1074223077.db2.gz AJPWIUVLNDSZEY-UHFFFAOYSA-N 0 0 443.485 -0.074 20 0 IBADRN COCc1cc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)ncn1 ZINC000774144334 1074223026 /nfs/dbraw/zinc/22/30/26/1074223026.db2.gz BRHWHXIVOJNVDR-UHFFFAOYSA-N 0 0 444.473 -0.145 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccnc3Cl)CC2)CC1 ZINC000774146015 1074223070 /nfs/dbraw/zinc/22/30/70/1074223070.db2.gz QUJKCYXORRJUMA-UHFFFAOYSA-N 0 0 430.918 -0.690 20 0 IBADRN C[C@@H](Cn1cccn1)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000774147732 1074222915 /nfs/dbraw/zinc/22/29/15/1074222915.db2.gz OIXGJGQSTUPDCX-AWEZNQCLSA-N 0 0 430.490 -0.319 20 0 IBADRN C[C@H](Cn1cccn1)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000774147739 1074222894 /nfs/dbraw/zinc/22/28/94/1074222894.db2.gz OIXGJGQSTUPDCX-CQSZACIVSA-N 0 0 430.490 -0.319 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccnc3Cl)CC2)o1 ZINC000774148332 1074223516 /nfs/dbraw/zinc/22/35/16/1074223516.db2.gz HKQOJMUNYKXTJX-UHFFFAOYSA-N 0 0 441.853 -0.102 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN(C(=O)OC)CC2)cc(S(C)(=O)=O)c1 ZINC000774148680 1074223483 /nfs/dbraw/zinc/22/34/83/1074223483.db2.gz QHNCXZKEKCKGGF-UHFFFAOYSA-N 0 0 427.435 -0.274 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(Cl)n1 ZINC000774162294 1074223503 /nfs/dbraw/zinc/22/35/03/1074223503.db2.gz IOQBTWQZSRZEJR-UHFFFAOYSA-N 0 0 437.840 -0.498 20 0 IBADRN COCCN(C(=O)NCCNS(=O)(=O)Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000774168917 1074223596 /nfs/dbraw/zinc/22/35/96/1074223596.db2.gz PDOHPTOBKPCWSG-INIZCTEOSA-N 0 0 433.552 -0.049 20 0 IBADRN COCCN(C(=O)NCCNS(=O)(=O)Cc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000774168920 1074223567 /nfs/dbraw/zinc/22/35/67/1074223567.db2.gz PDOHPTOBKPCWSG-MRXNPFEDSA-N 0 0 433.552 -0.049 20 0 IBADRN Cn1nccc1CC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000774169068 1074223538 /nfs/dbraw/zinc/22/35/38/1074223538.db2.gz NITCZWSRRCGGSZ-UHFFFAOYSA-N 0 0 436.490 -0.043 20 0 IBADRN CS(=O)(=O)CCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000774178737 1074224477 /nfs/dbraw/zinc/22/44/77/1074224477.db2.gz MGNOUSYXVBKYHA-UHFFFAOYSA-N 0 0 442.537 -0.658 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774181378 1074224614 /nfs/dbraw/zinc/22/46/14/1074224614.db2.gz VSRASGLCUIFCMI-CVEARBPZSA-N 0 0 428.530 -0.346 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774181382 1074224610 /nfs/dbraw/zinc/22/46/10/1074224610.db2.gz VSRASGLCUIFCMI-HOTGVXAUSA-N 0 0 428.530 -0.346 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774181387 1074224604 /nfs/dbraw/zinc/22/46/04/1074224604.db2.gz VSRASGLCUIFCMI-HZPDHXFCSA-N 0 0 428.530 -0.346 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774181390 1074224440 /nfs/dbraw/zinc/22/44/40/1074224440.db2.gz VSRASGLCUIFCMI-JKSUJKDBSA-N 0 0 428.530 -0.346 20 0 IBADRN CNC(=O)CCNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000774182145 1074224534 /nfs/dbraw/zinc/22/45/34/1074224534.db2.gz WHHOOVQCCWTRAH-UHFFFAOYSA-N 0 0 436.512 -0.774 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774182284 1074224590 /nfs/dbraw/zinc/22/45/90/1074224590.db2.gz LKAIIDPQXGUUDK-CABCVRRESA-N 0 0 442.513 -0.820 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774182296 1074224581 /nfs/dbraw/zinc/22/45/81/1074224581.db2.gz LKAIIDPQXGUUDK-GJZGRUSLSA-N 0 0 442.513 -0.820 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774182298 1074224617 /nfs/dbraw/zinc/22/46/17/1074224617.db2.gz LKAIIDPQXGUUDK-HUUCEWRRSA-N 0 0 442.513 -0.820 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774182300 1074224561 /nfs/dbraw/zinc/22/45/61/1074224561.db2.gz LKAIIDPQXGUUDK-LSDHHAIUSA-N 0 0 442.513 -0.820 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)CCO1 ZINC000774185922 1074224514 /nfs/dbraw/zinc/22/45/14/1074224514.db2.gz AAPRZBYPMYRTSR-SECBINFHSA-N 0 0 426.275 -0.099 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)CCO1 ZINC000774185933 1074224571 /nfs/dbraw/zinc/22/45/71/1074224571.db2.gz AAPRZBYPMYRTSR-VIFPVBQESA-N 0 0 426.275 -0.099 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCC[C@H](CO)C1 ZINC000774187407 1074223418 /nfs/dbraw/zinc/22/34/18/1074223418.db2.gz FAGKKGDPNJOTCJ-JTQLQIEISA-N 0 0 440.302 -0.115 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCC[C@@H](CO)C1 ZINC000774187410 1074223592 /nfs/dbraw/zinc/22/35/92/1074223592.db2.gz FAGKKGDPNJOTCJ-SNVBAGLBSA-N 0 0 440.302 -0.115 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCC(CO)CC1 ZINC000774187484 1074223585 /nfs/dbraw/zinc/22/35/85/1074223585.db2.gz GCWOWSQKHRPGFP-UHFFFAOYSA-N 0 0 440.302 -0.115 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774188713 1074223397 /nfs/dbraw/zinc/22/33/97/1074223397.db2.gz PAQMUYOCUVXBGV-AAEUAGOBSA-N 0 0 445.460 -0.338 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774188721 1074223493 /nfs/dbraw/zinc/22/34/93/1074223493.db2.gz PAQMUYOCUVXBGV-DGCLKSJQSA-N 0 0 445.460 -0.338 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774188723 1074223602 /nfs/dbraw/zinc/22/36/02/1074223602.db2.gz PAQMUYOCUVXBGV-WCQYABFASA-N 0 0 445.460 -0.338 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774188724 1074223561 /nfs/dbraw/zinc/22/35/61/1074223561.db2.gz PAQMUYOCUVXBGV-YPMHNXCESA-N 0 0 445.460 -0.338 20 0 IBADRN Cc1noc(C)c1CN(C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000774189762 1074223434 /nfs/dbraw/zinc/22/34/34/1074223434.db2.gz KOQFTBOXSSORRT-UHFFFAOYSA-N 0 0 429.481 -0.105 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCC[C@@H](O)C1 ZINC000774190849 1074223451 /nfs/dbraw/zinc/22/34/51/1074223451.db2.gz PFTNQXSBUNIZFS-SECBINFHSA-N 0 0 426.275 -0.363 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCC[C@H](O)C1 ZINC000774190853 1074223547 /nfs/dbraw/zinc/22/35/47/1074223547.db2.gz PFTNQXSBUNIZFS-VIFPVBQESA-N 0 0 426.275 -0.363 20 0 IBADRN C[C@@H](O)[C@@H]1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)C1 ZINC000774191752 1074224076 /nfs/dbraw/zinc/22/40/76/1074224076.db2.gz SLKNIRGESXZBSE-NXEZZACHSA-N 0 0 440.302 -0.117 20 0 IBADRN C[C@H](O)[C@H]1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)C1 ZINC000774191753 1074223834 /nfs/dbraw/zinc/22/38/34/1074223834.db2.gz SLKNIRGESXZBSE-UWVGGRQHSA-N 0 0 440.302 -0.117 20 0 IBADRN C[C@H](O)[C@@H]1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)C1 ZINC000774191754 1074223963 /nfs/dbraw/zinc/22/39/63/1074223963.db2.gz SLKNIRGESXZBSE-VHSXEESVSA-N 0 0 440.302 -0.117 20 0 IBADRN C[C@@H](O)[C@H]1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)C1 ZINC000774191755 1074223806 /nfs/dbraw/zinc/22/38/06/1074223806.db2.gz SLKNIRGESXZBSE-ZJUUUORDSA-N 0 0 440.302 -0.117 20 0 IBADRN Cc1cc(Br)ncc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000774195940 1074223867 /nfs/dbraw/zinc/22/38/67/1074223867.db2.gz GBKPAECYZILOQQ-UHFFFAOYSA-N 0 0 435.300 -0.131 20 0 IBADRN Cc1nc(Br)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000774196320 1074224028 /nfs/dbraw/zinc/22/40/28/1074224028.db2.gz JBNPMROZEUCMGY-UHFFFAOYSA-N 0 0 435.300 -0.131 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1NS(C)(=O)=O ZINC000774197595 1074223971 /nfs/dbraw/zinc/22/39/71/1074223971.db2.gz RJXYTIMBVOWTPW-UHFFFAOYSA-N 0 0 448.523 -0.917 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(Br)n1 ZINC000774198597 1074224010 /nfs/dbraw/zinc/22/40/10/1074224010.db2.gz VVUXZNHCATVORI-UHFFFAOYSA-N 0 0 435.300 -0.131 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cccnc2Br)CC1 ZINC000774224712 1074224917 /nfs/dbraw/zinc/22/49/17/1074224917.db2.gz XVLIBOYSJIFRHT-UHFFFAOYSA-N 0 0 426.271 -0.020 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774227111 1074224786 /nfs/dbraw/zinc/22/47/86/1074224786.db2.gz HFSZXEBNJVEJJA-CMPLNLGQSA-N 0 0 431.433 -0.585 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774227112 1074224902 /nfs/dbraw/zinc/22/49/02/1074224902.db2.gz HFSZXEBNJVEJJA-JQWIXIFHSA-N 0 0 431.433 -0.585 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774227114 1074225033 /nfs/dbraw/zinc/22/50/33/1074225033.db2.gz HFSZXEBNJVEJJA-PWSUYJOCSA-N 0 0 431.433 -0.585 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774227115 1074224910 /nfs/dbraw/zinc/22/49/10/1074224910.db2.gz HFSZXEBNJVEJJA-ZYHUDNBSSA-N 0 0 431.433 -0.585 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NS(C)(=O)=O)c(Cl)c1 ZINC000774229424 1074224991 /nfs/dbraw/zinc/22/49/91/1074224991.db2.gz XJBCODLSGVNMDH-UHFFFAOYSA-N 0 0 426.904 -0.295 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ncc(NC(=O)C(=O)NCCN3C(=O)CNC3=O)cc2C1 ZINC000774233592 1074224459 /nfs/dbraw/zinc/22/44/59/1074224459.db2.gz CTKWYAOYEQKXFU-UHFFFAOYSA-N 0 0 446.464 -0.019 20 0 IBADRN Cc1nnc(-c2ccc(NC(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2)o1 ZINC000774238993 1074224489 /nfs/dbraw/zinc/22/44/89/1074224489.db2.gz CZLKRBHOXOJXJS-UHFFFAOYSA-N 0 0 436.494 -0.323 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(Br)n1 ZINC000774239938 1074224501 /nfs/dbraw/zinc/22/45/01/1074224501.db2.gz HLYNDSFTUNZQEX-UHFFFAOYSA-N 0 0 448.343 -0.216 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccnc2Br)CC1 ZINC000774242468 1074224526 /nfs/dbraw/zinc/22/45/26/1074224526.db2.gz VZOSYRULQYAHQC-UHFFFAOYSA-N 0 0 434.316 -0.524 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC000774249675 1074225022 /nfs/dbraw/zinc/22/50/22/1074225022.db2.gz LXPGFMQFGQBABO-HNNXBMFYSA-N 0 0 446.957 -0.055 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC000774249680 1074224953 /nfs/dbraw/zinc/22/49/53/1074224953.db2.gz LXPGFMQFGQBABO-OAHLLOKOSA-N 0 0 446.957 -0.055 20 0 IBADRN Cc1cc(Br)ncc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000774251559 1074225007 /nfs/dbraw/zinc/22/50/07/1074225007.db2.gz MISJTOMMTDGAME-UHFFFAOYSA-N 0 0 448.343 -0.216 20 0 IBADRN Cc1nc(Br)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000774253739 1074225506 /nfs/dbraw/zinc/22/55/06/1074225506.db2.gz PQWQDDIIZHXRKE-UHFFFAOYSA-N 0 0 448.343 -0.216 20 0 IBADRN COCc1cc(NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)ncn1 ZINC000774254634 1074225350 /nfs/dbraw/zinc/22/53/50/1074225350.db2.gz KOFKKTIIJHOBMA-UHFFFAOYSA-N 0 0 436.469 -0.243 20 0 IBADRN Cc1ccn(C)c(=O)c1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774257341 1074225521 /nfs/dbraw/zinc/22/55/21/1074225521.db2.gz WSAKAKDAHHSULG-UHFFFAOYSA-N 0 0 435.481 -0.172 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)nc1Br ZINC000774261068 1074225424 /nfs/dbraw/zinc/22/54/24/1074225424.db2.gz GRVJTIHBEIPHGW-LLVKDONJSA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)nc1Br ZINC000774261069 1074225498 /nfs/dbraw/zinc/22/54/98/1074225498.db2.gz GRVJTIHBEIPHGW-NSHDSACASA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cnc(Br)cc1C ZINC000774261422 1074225436 /nfs/dbraw/zinc/22/54/36/1074225436.db2.gz IQGGPQMACRZVTL-LLVKDONJSA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cnc(Br)cc1C ZINC000774261423 1074225875 /nfs/dbraw/zinc/22/58/75/1074225875.db2.gz IQGGPQMACRZVTL-NSHDSACASA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(Br)nc1C ZINC000774261792 1074225299 /nfs/dbraw/zinc/22/52/99/1074225299.db2.gz KXYKTFNSRFOHKW-LLVKDONJSA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(Br)nc1C ZINC000774261793 1074225341 /nfs/dbraw/zinc/22/53/41/1074225341.db2.gz KXYKTFNSRFOHKW-NSHDSACASA-N 0 0 426.271 -0.056 20 0 IBADRN CN1CC[C@H](C(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000774264920 1074225945 /nfs/dbraw/zinc/22/59/45/1074225945.db2.gz BDENOHJAYAOIAU-INIZCTEOSA-N 0 0 439.490 -0.541 20 0 IBADRN CN1CC[C@@H](C(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1=O ZINC000774264925 1074225956 /nfs/dbraw/zinc/22/59/56/1074225956.db2.gz BDENOHJAYAOIAU-MRXNPFEDSA-N 0 0 439.490 -0.541 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=S)N=NC2c2ccc(OC)cc2)CC1 ZINC000774275628 1074225914 /nfs/dbraw/zinc/22/59/14/1074225914.db2.gz XCTNKPGLZXUFDM-UHFFFAOYSA-N 0 0 426.524 -0.149 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(-c3nnc(C)o3)cc2)CC1 ZINC000774286646 1074226788 /nfs/dbraw/zinc/22/67/88/1074226788.db2.gz BZUPHNHTXCNTKV-UHFFFAOYSA-N 0 0 430.465 -0.110 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)nc2Br)CC1 ZINC000774287372 1074226768 /nfs/dbraw/zinc/22/67/68/1074226768.db2.gz GVXVARPZEDUJAF-UHFFFAOYSA-N 0 0 442.314 -0.002 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccnc2Br)CC1 ZINC000774287395 1074226842 /nfs/dbraw/zinc/22/68/42/1074226842.db2.gz HKAZQPOOTPUPCM-UHFFFAOYSA-N 0 0 428.287 -0.311 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c(Br)n1 ZINC000774288137 1074226863 /nfs/dbraw/zinc/22/68/63/1074226863.db2.gz IGYNGFKVXQBOSF-UHFFFAOYSA-N 0 0 437.258 -0.551 20 0 IBADRN Cc1nnc(-c2ccc(NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2)o1 ZINC000774288175 1074226744 /nfs/dbraw/zinc/22/67/44/1074226744.db2.gz IZKYUCZUCMEQRJ-UHFFFAOYSA-N 0 0 425.409 -0.659 20 0 IBADRN Cc1nc(Br)ccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000774288178 1074226857 /nfs/dbraw/zinc/22/68/57/1074226857.db2.gz JDGGDYXZSOICOD-UHFFFAOYSA-N 0 0 437.258 -0.551 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CC[C@@](F)(C(=O)OC)C2)cc(S(C)(=O)=O)c1 ZINC000774288284 1074226733 /nfs/dbraw/zinc/22/67/33/1074226733.db2.gz JYCCOSQRRBVCLW-KRWDZBQOSA-N 0 0 430.410 -0.071 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CC[C@](F)(C(=O)OC)C2)cc(S(C)(=O)=O)c1 ZINC000774288285 1074226848 /nfs/dbraw/zinc/22/68/48/1074226848.db2.gz JYCCOSQRRBVCLW-QGZVFWFLSA-N 0 0 430.410 -0.071 20 0 IBADRN Cc1cc(Br)ncc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000774289734 1074226877 /nfs/dbraw/zinc/22/68/77/1074226877.db2.gz NFUDIJUPQGEFTO-UHFFFAOYSA-N 0 0 437.258 -0.551 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnc(Br)cc2C)CC1 ZINC000774291858 1074227174 /nfs/dbraw/zinc/22/71/74/1074227174.db2.gz RSDLATBYRXPKIB-UHFFFAOYSA-N 0 0 442.314 -0.002 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(Br)nc2C)CC1 ZINC000774291866 1074227241 /nfs/dbraw/zinc/22/72/41/1074227241.db2.gz RWOSGIIQXOQTCZ-UHFFFAOYSA-N 0 0 442.314 -0.002 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCC[C@H](O)C2)cc1)S(C)(=O)=O ZINC000774300093 1074225972 /nfs/dbraw/zinc/22/59/72/1074225972.db2.gz BTUWGXJXLBEOJV-AWEZNQCLSA-N 0 0 435.524 -0.043 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCC[C@@H](O)C2)cc1)S(C)(=O)=O ZINC000774300095 1074225883 /nfs/dbraw/zinc/22/58/83/1074225883.db2.gz BTUWGXJXLBEOJV-CQSZACIVSA-N 0 0 435.524 -0.043 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCC[C@H]2CO)cc1)S(C)(=O)=O ZINC000774300314 1074226377 /nfs/dbraw/zinc/22/63/77/1074226377.db2.gz CWZHYRPNDAOIJX-AWEZNQCLSA-N 0 0 435.524 -0.043 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCC[C@@H]2CO)cc1)S(C)(=O)=O ZINC000774300319 1074226330 /nfs/dbraw/zinc/22/63/30/1074226330.db2.gz CWZHYRPNDAOIJX-CQSZACIVSA-N 0 0 435.524 -0.043 20 0 IBADRN CN1CCN(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1 ZINC000774300816 1074226349 /nfs/dbraw/zinc/22/63/49/1074226349.db2.gz DVYDBSHQSPULHV-UHFFFAOYSA-N 0 0 434.540 -0.252 20 0 IBADRN Cc1nc(Br)ccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000774301437 1074226297 /nfs/dbraw/zinc/22/62/97/1074226297.db2.gz GDRVBUCFSCXWAZ-UHFFFAOYSA-N 0 0 434.316 -0.476 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c(Br)n1 ZINC000774302275 1074226325 /nfs/dbraw/zinc/22/63/25/1074226325.db2.gz IEZHCVDGELVPBQ-UHFFFAOYSA-N 0 0 434.316 -0.476 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCNC(=O)CC2)cc1)S(C)(=O)=O ZINC000774302411 1074226306 /nfs/dbraw/zinc/22/63/06/1074226306.db2.gz IIRPJZXSNZHVBI-UHFFFAOYSA-N 0 0 448.523 -0.678 20 0 IBADRN Cc1nonc1CNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000774302427 1074226394 /nfs/dbraw/zinc/22/63/94/1074226394.db2.gz ITFKCOMHJHOHHX-UHFFFAOYSA-N 0 0 447.495 -0.018 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)NC[C@H](O)C2CC2)cc1)S(C)(=O)=O ZINC000774302813 1074226400 /nfs/dbraw/zinc/22/64/00/1074226400.db2.gz JQHXYPNDIIVXMS-HNNXBMFYSA-N 0 0 435.524 -0.139 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)NC[C@@H](O)C2CC2)cc1)S(C)(=O)=O ZINC000774302819 1074226335 /nfs/dbraw/zinc/22/63/35/1074226335.db2.gz JQHXYPNDIIVXMS-OAHLLOKOSA-N 0 0 435.524 -0.139 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCC(O)CC2)cc1)S(C)(=O)=O ZINC000774303149 1074226343 /nfs/dbraw/zinc/22/63/43/1074226343.db2.gz KSNZBYFCQDXCCJ-UHFFFAOYSA-N 0 0 435.524 -0.043 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000774303313 1074226270 /nfs/dbraw/zinc/22/62/70/1074226270.db2.gz MGELABWASLYGQC-GFCCVEGCSA-N 0 0 448.523 -0.679 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000774303316 1074226319 /nfs/dbraw/zinc/22/63/19/1074226319.db2.gz MGELABWASLYGQC-LBPRGKRZSA-N 0 0 448.523 -0.679 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000774304164 1074227153 /nfs/dbraw/zinc/22/71/53/1074227153.db2.gz OCDHVODQRVXZGT-LLVKDONJSA-N 0 0 436.512 -0.646 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000774304167 1074227216 /nfs/dbraw/zinc/22/72/16/1074227216.db2.gz OCDHVODQRVXZGT-NSHDSACASA-N 0 0 436.512 -0.646 20 0 IBADRN CN1CCN(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000774304181 1074227164 /nfs/dbraw/zinc/22/71/64/1074227164.db2.gz OIPHXBMNCQHGFY-UHFFFAOYSA-N 0 0 448.523 -0.725 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CC[C@@H](CO)C2)cc1)S(C)(=O)=O ZINC000774304383 1074226004 /nfs/dbraw/zinc/22/60/04/1074226004.db2.gz QAEUHKIEROXLCQ-CYBMUJFWSA-N 0 0 435.524 -0.185 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CC[C@H](CO)C2)cc1)S(C)(=O)=O ZINC000774304384 1074225981 /nfs/dbraw/zinc/22/59/81/1074225981.db2.gz QAEUHKIEROXLCQ-ZDUSSCGKSA-N 0 0 435.524 -0.185 20 0 IBADRN Cc1cc(Br)ncc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000774304876 1074226809 /nfs/dbraw/zinc/22/68/09/1074226809.db2.gz SMGMHENRLMGNHF-UHFFFAOYSA-N 0 0 434.316 -0.476 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N(CCO)C2CC2)cc1)S(C)(=O)=O ZINC000774304998 1074226291 /nfs/dbraw/zinc/22/62/91/1074226291.db2.gz SAEHTANXBHEGDL-UHFFFAOYSA-N 0 0 435.524 -0.043 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCCNC(=O)C2)cc1)S(C)(=O)=O ZINC000774305650 1074227250 /nfs/dbraw/zinc/22/72/50/1074227250.db2.gz UXWLBOKVUQNWAB-UHFFFAOYSA-N 0 0 448.523 -0.678 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)NCC2(CO)CC2)cc1)S(C)(=O)=O ZINC000774305758 1074227286 /nfs/dbraw/zinc/22/72/86/1074227286.db2.gz WDSLONCKSBQWMD-UHFFFAOYSA-N 0 0 435.524 -0.137 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2CC(=O)N(C)C(=O)C2)c1 ZINC000774324855 1074227185 /nfs/dbraw/zinc/22/71/85/1074227185.db2.gz XJPFHPOSXRLALF-UHFFFAOYSA-N 0 0 448.295 -0.185 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C2=NN(C)C(=O)CC2)CC1 ZINC000774325117 1074227299 /nfs/dbraw/zinc/22/72/99/1074227299.db2.gz FFNVNTBMPJDOPN-UHFFFAOYSA-N 0 0 441.466 -0.724 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)cc(S(C)(=O)=O)c1 ZINC000774333452 1074227205 /nfs/dbraw/zinc/22/72/05/1074227205.db2.gz OBFDWLGAQXBEKB-GFCCVEGCSA-N 0 0 425.419 -0.522 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)cc(S(C)(=O)=O)c1 ZINC000774333456 1074227317 /nfs/dbraw/zinc/22/73/17/1074227317.db2.gz OBFDWLGAQXBEKB-LBPRGKRZSA-N 0 0 425.419 -0.522 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)CC2CC2)cc1NS(C)(=O)=O ZINC000774339924 1074227259 /nfs/dbraw/zinc/22/72/59/1074227259.db2.gz JKHMACJEOVTCPV-UHFFFAOYSA-N 0 0 433.508 -0.054 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OC[C@H]1CN(C(=O)OC(C)(C)C)CCO1)c(=O)n2C ZINC000774345058 1074227273 /nfs/dbraw/zinc/22/72/73/1074227273.db2.gz PULDCMHTISCSRV-GFCCVEGCSA-N 0 0 437.453 -0.387 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OC[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)c(=O)n2C ZINC000774345059 1074227313 /nfs/dbraw/zinc/22/73/13/1074227313.db2.gz PULDCMHTISCSRV-LBPRGKRZSA-N 0 0 437.453 -0.387 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)cc(S(C)(=O)=O)c1 ZINC000774347154 1074228168 /nfs/dbraw/zinc/22/81/68/1074228168.db2.gz LBVOUZUJRCIJCN-CYBMUJFWSA-N 0 0 439.446 -0.132 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)cc(S(C)(=O)=O)c1 ZINC000774347159 1074228180 /nfs/dbraw/zinc/22/81/80/1074228180.db2.gz LBVOUZUJRCIJCN-ZDUSSCGKSA-N 0 0 439.446 -0.132 20 0 IBADRN Cc1cc(NC(=O)COC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)n(C)n1 ZINC000774348578 1074228057 /nfs/dbraw/zinc/22/80/57/1074228057.db2.gz GXUPNWRBYSBGKA-UHFFFAOYSA-N 0 0 425.467 -0.117 20 0 IBADRN Cc1ncc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)c(N)n1 ZINC000774353883 1074228186 /nfs/dbraw/zinc/22/81/86/1074228186.db2.gz ZRRNGWYOQKAVEB-UHFFFAOYSA-N 0 0 443.507 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ncc(NC(=O)C(=O)N3CCN(C(=O)CO)CC3)cc2C1 ZINC000774356417 1074228122 /nfs/dbraw/zinc/22/81/22/1074228122.db2.gz PNBYGMVEFKVEID-UHFFFAOYSA-N 0 0 447.492 -0.024 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC000774358404 1074227197 /nfs/dbraw/zinc/22/71/97/1074227197.db2.gz ADMIVWYDSAZXSH-UHFFFAOYSA-N 0 0 432.449 -0.146 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000774358408 1074227293 /nfs/dbraw/zinc/22/72/93/1074227293.db2.gz AGVHUIVRHTWKMW-PMERELPUSA-N 0 0 438.550 -0.247 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000774358421 1074227698 /nfs/dbraw/zinc/22/76/98/1074227698.db2.gz AGVHUIVRHTWKMW-SSEXGKCCSA-N 0 0 438.550 -0.247 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1N1CCOC1=O ZINC000774358477 1074227668 /nfs/dbraw/zinc/22/76/68/1074227668.db2.gz BKAJCCABRQGKEY-UHFFFAOYSA-N 0 0 433.465 -0.177 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3C(=O)CCCC3=O)cc2)CC1 ZINC000774359122 1074228156 /nfs/dbraw/zinc/22/81/56/1074228156.db2.gz FEIVYRKHUZMGIT-UHFFFAOYSA-N 0 0 429.477 -0.099 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000774359268 1074227763 /nfs/dbraw/zinc/22/77/63/1074227763.db2.gz GSMYZHUSMAFADV-UHFFFAOYSA-N 0 0 432.449 -0.146 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)ccc1N1C(=O)CCC1=O ZINC000774361499 1074227737 /nfs/dbraw/zinc/22/77/37/1074227737.db2.gz SIXREQBOEBSYGC-UHFFFAOYSA-N 0 0 429.477 -0.181 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000774362217 1074227778 /nfs/dbraw/zinc/22/77/78/1074227778.db2.gz UUVKAAWFPQXRBR-UHFFFAOYSA-N 0 0 441.510 -0.961 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000774362361 1074227745 /nfs/dbraw/zinc/22/77/45/1074227745.db2.gz WLOSDCAKZUKUHG-UHFFFAOYSA-N 0 0 444.536 -0.441 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000774363055 1074227728 /nfs/dbraw/zinc/22/77/28/1074227728.db2.gz ZDVLDFMLFPXUOU-UHFFFAOYSA-N 0 0 440.522 -0.719 20 0 IBADRN O=C(Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1)C(=O)N1CC[C@H](O)C1 ZINC000774367761 1074227683 /nfs/dbraw/zinc/22/76/83/1074227683.db2.gz AOFGLACPENFJKT-AWEZNQCLSA-N 0 0 432.449 -0.107 20 0 IBADRN Cn1c2ncn(CC(=O)OC[C@H]3CN(C(=O)OC(C)(C)C)CCO3)c2c(=O)n(C)c1=O ZINC000774371390 1074227758 /nfs/dbraw/zinc/22/77/58/1074227758.db2.gz NKGYYSWWQNWMBS-GFCCVEGCSA-N 0 0 437.453 -0.387 20 0 IBADRN Cn1c2ncn(CC(=O)OC[C@@H]3CN(C(=O)OC(C)(C)C)CCO3)c2c(=O)n(C)c1=O ZINC000774371393 1074227632 /nfs/dbraw/zinc/22/76/32/1074227632.db2.gz NKGYYSWWQNWMBS-LBPRGKRZSA-N 0 0 437.453 -0.387 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)N1CCC2(C1)OCCO2 ZINC000774375950 1074227647 /nfs/dbraw/zinc/22/76/47/1074227647.db2.gz HCGKYKCXYACKQC-UHFFFAOYSA-N 0 0 432.477 -0.003 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC4(C3)OCCO4)cn2)CC1 ZINC000774376486 1074227642 /nfs/dbraw/zinc/22/76/42/1074227642.db2.gz IJDYJKMGOYMFMB-UHFFFAOYSA-N 0 0 439.494 -0.923 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCC4(C3)OCCO4)cc2)CC1 ZINC000774377044 1074227584 /nfs/dbraw/zinc/22/75/84/1074227584.db2.gz OLXILROKVRDRTJ-UHFFFAOYSA-N 0 0 432.477 -0.105 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC2(C1)OCCO2 ZINC000774380518 1074227753 /nfs/dbraw/zinc/22/77/53/1074227753.db2.gz IAKOOFLIJYBQEW-KRWDZBQOSA-N 0 0 431.445 -0.385 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC2(C1)OCCO2 ZINC000774380519 1074227707 /nfs/dbraw/zinc/22/77/07/1074227707.db2.gz IAKOOFLIJYBQEW-QGZVFWFLSA-N 0 0 431.445 -0.385 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCC2(C1)OCCO2 ZINC000774380561 1074227774 /nfs/dbraw/zinc/22/77/74/1074227774.db2.gz KKAWXVWBDAZYQI-UHFFFAOYSA-N 0 0 432.477 -0.338 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3CCC4(C3)OCCO4)c2)CC1 ZINC000774381623 1074227599 /nfs/dbraw/zinc/22/75/99/1074227599.db2.gz QTGSHBOPQTUCJK-UHFFFAOYSA-N 0 0 438.506 -0.463 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC2(C1)OCCO2 ZINC000774381849 1074227606 /nfs/dbraw/zinc/22/76/06/1074227606.db2.gz VGOZRXQMTJCVTO-UHFFFAOYSA-N 0 0 443.453 -0.240 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1CCC2(C1)OCCO2 ZINC000774382205 1074228102 /nfs/dbraw/zinc/22/81/02/1074228102.db2.gz BLTSOJUXDOGWGF-UHFFFAOYSA-N 0 0 439.490 -0.070 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC2(C1)OCCO2 ZINC000774382208 1074228140 /nfs/dbraw/zinc/22/81/40/1074228140.db2.gz BNALYPMOFPRECQ-UHFFFAOYSA-N 0 0 425.463 -0.379 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC2(C1)OCCO2 ZINC000774382251 1074228116 /nfs/dbraw/zinc/22/81/16/1074228116.db2.gz DIMXFCBETDXTOH-UHFFFAOYSA-N 0 0 426.495 -0.083 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC2(C1)OCCO2 ZINC000774382280 1074228164 /nfs/dbraw/zinc/22/81/64/1074228164.db2.gz FKRLFKSRYKFWQV-UHFFFAOYSA-N 0 0 425.463 -0.379 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)N3CCC4(C3)OCCO4)cc2)CC1 ZINC000774383639 1074228194 /nfs/dbraw/zinc/22/81/94/1074228194.db2.gz NHWMMBKJZGZCPT-UHFFFAOYSA-N 0 0 438.506 -0.463 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)N2CCC3(C2)OCCO3)cc1 ZINC000774383973 1074228030 /nfs/dbraw/zinc/22/80/30/1074228030.db2.gz MFFVURFWSUAWAL-UHFFFAOYSA-N 0 0 445.520 -0.189 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC3(C2)OCCO3)cc1 ZINC000774384907 1074228015 /nfs/dbraw/zinc/22/80/15/1074228015.db2.gz VCOZPFJMJSSFQW-CYBMUJFWSA-N 0 0 427.479 -0.086 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC3(C2)OCCO3)cc1 ZINC000774384911 1074228146 /nfs/dbraw/zinc/22/81/46/1074228146.db2.gz VCOZPFJMJSSFQW-ZDUSSCGKSA-N 0 0 427.479 -0.086 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCC2(C1)OCCO2 ZINC000774385589 1074228068 /nfs/dbraw/zinc/22/80/68/1074228068.db2.gz YYHLFKYXFMFUTN-UHFFFAOYSA-N 0 0 441.462 -0.127 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC3CN(C(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000774388511 1074228999 /nfs/dbraw/zinc/22/89/99/1074228999.db2.gz ARLGYXRDDXTGDB-UHFFFAOYSA-N 0 0 432.437 -0.694 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)cc1 ZINC000774388696 1074228973 /nfs/dbraw/zinc/22/89/73/1074228973.db2.gz CNQUGHKZWDGGPN-DLBZAZTESA-N 0 0 440.522 -0.135 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)cc1 ZINC000774388699 1074228915 /nfs/dbraw/zinc/22/89/15/1074228915.db2.gz CNQUGHKZWDGGPN-IAGOWNOFSA-N 0 0 440.522 -0.135 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)cc1 ZINC000774388704 1074228990 /nfs/dbraw/zinc/22/89/90/1074228990.db2.gz CNQUGHKZWDGGPN-IRXDYDNUSA-N 0 0 440.522 -0.135 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)cc1 ZINC000774388707 1074228955 /nfs/dbraw/zinc/22/89/55/1074228955.db2.gz CNQUGHKZWDGGPN-SJORKVTESA-N 0 0 440.522 -0.135 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000774390346 1074228129 /nfs/dbraw/zinc/22/81/29/1074228129.db2.gz IJDJVWVSHBHOLE-UHFFFAOYSA-N 0 0 436.469 -0.584 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)C1 ZINC000774392113 1074228084 /nfs/dbraw/zinc/22/80/84/1074228084.db2.gz UFIQBJFQSITESO-GFCCVEGCSA-N 0 0 427.483 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)C1 ZINC000774392114 1074228048 /nfs/dbraw/zinc/22/80/48/1074228048.db2.gz UFIQBJFQSITESO-LBPRGKRZSA-N 0 0 427.483 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC000774392701 1074228091 /nfs/dbraw/zinc/22/80/91/1074228091.db2.gz MQKOOVDLACUWPB-UHFFFAOYSA-N 0 0 436.469 -0.584 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1 ZINC000774393647 1074228574 /nfs/dbraw/zinc/22/85/74/1074228574.db2.gz ZDQNNUPGMIYGNB-UHFFFAOYSA-N 0 0 442.494 -0.433 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1(C)OCCO1 ZINC000774398056 1074228500 /nfs/dbraw/zinc/22/85/00/1074228500.db2.gz FVXBYOPESUCKKW-UHFFFAOYSA-N 0 0 427.479 -0.166 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCC3(C)OCCO3)cc2)CC1 ZINC000774398066 1074228581 /nfs/dbraw/zinc/22/85/81/1074228581.db2.gz GJFGFWLADKZHFJ-UHFFFAOYSA-N 0 0 426.495 -0.560 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCC2(C)OCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000774400658 1074228535 /nfs/dbraw/zinc/22/85/35/1074228535.db2.gz WTXUUZAESRAGGE-UHFFFAOYSA-N 0 0 443.478 -0.466 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC3(C)OCCO3)c2)CC1 ZINC000774402349 1074228525 /nfs/dbraw/zinc/22/85/25/1074228525.db2.gz HGDFNBVYYLOSKU-UHFFFAOYSA-N 0 0 440.522 -0.170 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)NCC1(C)OCCO1 ZINC000774402605 1074228550 /nfs/dbraw/zinc/22/85/50/1074228550.db2.gz DBEQNBPNXFZOJB-UHFFFAOYSA-N 0 0 429.451 -0.224 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C(=O)NCC2(C)OCCO2)cc1 ZINC000774403106 1074228459 /nfs/dbraw/zinc/22/84/59/1074228459.db2.gz GBZBBXDLUCUONO-UHFFFAOYSA-N 0 0 433.509 -0.286 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC3(C)OCCO3)c2)CC1 ZINC000774403898 1074228610 /nfs/dbraw/zinc/22/86/10/1074228610.db2.gz NBIDNCMKCTVZNV-UHFFFAOYSA-N 0 0 440.522 -0.170 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCC3(C)OCCO3)c2)CC1 ZINC000774403978 1074228474 /nfs/dbraw/zinc/22/84/74/1074228474.db2.gz NXAQUQXOONDTMK-UHFFFAOYSA-N 0 0 426.495 -0.560 20 0 IBADRN CC1(CNC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)OCCO1 ZINC000774404780 1074228603 /nfs/dbraw/zinc/22/86/03/1074228603.db2.gz SBLQKUXNLCXUHC-UHFFFAOYSA-N 0 0 431.442 -0.336 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)NCC1(C)OCCO1 ZINC000774405404 1074228557 /nfs/dbraw/zinc/22/85/57/1074228557.db2.gz XMMRMIRNXCLELQ-UHFFFAOYSA-N 0 0 443.478 -0.466 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCCC4(C3)OCCO4)cn2)C[C@H](C)O1 ZINC000774409275 1074228519 /nfs/dbraw/zinc/22/85/19/1074228519.db2.gz CEXFZEZWUJLXJB-GASCZTMLSA-N 0 0 435.481 -0.177 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCCC4(C3)OCCO4)cn2)C[C@H](C)O1 ZINC000774409279 1074228544 /nfs/dbraw/zinc/22/85/44/1074228544.db2.gz CEXFZEZWUJLXJB-GJZGRUSLSA-N 0 0 435.481 -0.177 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCCC4(C3)OCCO4)cn2)C[C@@H](C)O1 ZINC000774409283 1074228486 /nfs/dbraw/zinc/22/84/86/1074228486.db2.gz CEXFZEZWUJLXJB-HUUCEWRRSA-N 0 0 435.481 -0.177 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCC3(C2)OCCO3)cc1 ZINC000774415013 1074228594 /nfs/dbraw/zinc/22/85/94/1074228594.db2.gz XCULQHWCUAAZOA-UHFFFAOYSA-N 0 0 427.479 -0.085 20 0 IBADRN CC[NH+](CC)CCNC(=O)C(=O)NCCN=c1ncnc2n(C)[n-]c(Br)c1-2 ZINC000774429638 1074228878 /nfs/dbraw/zinc/22/88/78/1074228878.db2.gz HAOFNSIKDWACGV-UHFFFAOYSA-N 0 0 441.334 -0.515 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1N1CCOC1=O ZINC000774431685 1074229005 /nfs/dbraw/zinc/22/90/05/1074229005.db2.gz IYTOEANQMPTLTJ-UHFFFAOYSA-N 0 0 433.465 -0.002 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)cc1 ZINC000774433430 1074228833 /nfs/dbraw/zinc/22/88/33/1074228833.db2.gz MLWWBPLXHVGNMB-UHFFFAOYSA-N 0 0 441.510 -0.785 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774434345 1074229018 /nfs/dbraw/zinc/22/90/18/1074229018.db2.gz MFQAHRQCQQUCQK-UHFFFAOYSA-N 0 0 444.457 -0.023 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)CC1 ZINC000774435625 1074229351 /nfs/dbraw/zinc/22/93/51/1074229351.db2.gz SXCREHMIZZCKSI-UHFFFAOYSA-N 0 0 444.536 -0.265 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H]3COC(C)(C)O3)cc2)CC1 ZINC000774437709 1074229412 /nfs/dbraw/zinc/22/94/12/1074229412.db2.gz QAOHFDUPHRGCDA-HNNXBMFYSA-N 0 0 440.522 -0.171 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@@H]3COC(C)(C)O3)cc2)CC1 ZINC000774437721 1074229467 /nfs/dbraw/zinc/22/94/67/1074229467.db2.gz QAOHFDUPHRGCDA-OAHLLOKOSA-N 0 0 440.522 -0.171 20 0 IBADRN CC1(C)OC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)O1 ZINC000774437783 1074229465 /nfs/dbraw/zinc/22/94/65/1074229465.db2.gz QHNMMUGRVVDVKP-AWEZNQCLSA-N 0 0 427.479 -0.086 20 0 IBADRN CC1(C)OC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)O1 ZINC000774437786 1074229436 /nfs/dbraw/zinc/22/94/36/1074229436.db2.gz QHNMMUGRVVDVKP-CQSZACIVSA-N 0 0 427.479 -0.086 20 0 IBADRN CC1(C)OC[C@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000774441110 1074229448 /nfs/dbraw/zinc/22/94/48/1074229448.db2.gz WVNPTPJYVZQCGA-AWEZNQCLSA-N 0 0 427.479 -0.086 20 0 IBADRN CC1(C)OC[C@@H](CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)O1 ZINC000774441111 1074229461 /nfs/dbraw/zinc/22/94/61/1074229461.db2.gz WVNPTPJYVZQCGA-CQSZACIVSA-N 0 0 427.479 -0.086 20 0 IBADRN O=C(NCCn1ncnn1)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1 ZINC000774442950 1074229343 /nfs/dbraw/zinc/22/93/43/1074229343.db2.gz YKIKSWRNGRJEHS-UHFFFAOYSA-N 0 0 434.482 -0.321 20 0 IBADRN O=C(NCCn1ncnn1)C(=O)Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1 ZINC000774443288 1074229331 /nfs/dbraw/zinc/22/93/31/1074229331.db2.gz OTNGAKGOGPMRQL-UHFFFAOYSA-N 0 0 434.482 -0.321 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000774446141 1074229288 /nfs/dbraw/zinc/22/92/88/1074229288.db2.gz FUFCYLFUSYSEKX-PMERELPUSA-N 0 0 438.550 -0.072 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1 ZINC000774446143 1074229425 /nfs/dbraw/zinc/22/94/25/1074229425.db2.gz FUFCYLFUSYSEKX-SSEXGKCCSA-N 0 0 438.550 -0.072 20 0 IBADRN CC1(C)OC[C@H](CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)O1 ZINC000774446267 1074229420 /nfs/dbraw/zinc/22/94/20/1074229420.db2.gz HVELPWZFEDECBY-AWEZNQCLSA-N 0 0 441.510 -0.631 20 0 IBADRN CC1(C)OC[C@@H](CNC(=O)C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)O1 ZINC000774446269 1074229402 /nfs/dbraw/zinc/22/94/02/1074229402.db2.gz HVELPWZFEDECBY-CQSZACIVSA-N 0 0 441.510 -0.631 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1 ZINC000774448620 1074229272 /nfs/dbraw/zinc/22/92/72/1074229272.db2.gz USPZVYVFIMEFAH-UHFFFAOYSA-N 0 0 440.522 -0.543 20 0 IBADRN CC1(C)OC[C@@H](CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)O1 ZINC000774456810 1074229391 /nfs/dbraw/zinc/22/93/91/1074229391.db2.gz KAYHWBUWYJPUCR-PBHICJAKSA-N 0 0 433.461 -0.093 20 0 IBADRN CC1(C)OC[C@@H](CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)O1 ZINC000774456811 1074229432 /nfs/dbraw/zinc/22/94/32/1074229432.db2.gz KAYHWBUWYJPUCR-RHSMWYFYSA-N 0 0 433.461 -0.093 20 0 IBADRN CC1(C)OC[C@H](CNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)O1 ZINC000774456812 1074229383 /nfs/dbraw/zinc/22/93/83/1074229383.db2.gz KAYHWBUWYJPUCR-WMLDXEAASA-N 0 0 433.461 -0.093 20 0 IBADRN CC1(C)OC[C@H](CNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)O1 ZINC000774456813 1074229370 /nfs/dbraw/zinc/22/93/70/1074229370.db2.gz KAYHWBUWYJPUCR-YOEHRIQHSA-N 0 0 433.461 -0.093 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774457254 1074229441 /nfs/dbraw/zinc/22/94/41/1074229441.db2.gz AIHOIZXBSFXELH-UHFFFAOYSA-N 0 0 442.460 -0.207 20 0 IBADRN CC1(C)OC[C@H](CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)O1 ZINC000774457833 1074229784 /nfs/dbraw/zinc/22/97/84/1074229784.db2.gz LXFSYVKKDJNIGU-KRWDZBQOSA-N 0 0 434.493 -0.045 20 0 IBADRN CC1(C)OC[C@@H](CNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)O1 ZINC000774457839 1074229711 /nfs/dbraw/zinc/22/97/11/1074229711.db2.gz LXFSYVKKDJNIGU-QGZVFWFLSA-N 0 0 434.493 -0.045 20 0 IBADRN CC1(C)OC[C@@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)O1 ZINC000774458023 1074229309 /nfs/dbraw/zinc/22/93/09/1074229309.db2.gz NJKLORZBRLUJHF-CYBMUJFWSA-N 0 0 440.478 -0.987 20 0 IBADRN CC1(C)OC[C@H](CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)O1 ZINC000774458024 1074229664 /nfs/dbraw/zinc/22/96/64/1074229664.db2.gz NJKLORZBRLUJHF-ZDUSSCGKSA-N 0 0 440.478 -0.987 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCCN2CCCC2=O)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000774459496 1074229793 /nfs/dbraw/zinc/22/97/93/1074229793.db2.gz GLJQKMABEHUXPR-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCCN2CCCC2=O)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000774459500 1074229738 /nfs/dbraw/zinc/22/97/38/1074229738.db2.gz GLJQKMABEHUXPR-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H]3COC(C)(C)O3)c2)CC1 ZINC000774460470 1074229756 /nfs/dbraw/zinc/22/97/56/1074229756.db2.gz QALPJOAVDAZNOK-HNNXBMFYSA-N 0 0 440.522 -0.171 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@@H]3COC(C)(C)O3)c2)CC1 ZINC000774460474 1074229789 /nfs/dbraw/zinc/22/97/89/1074229789.db2.gz QALPJOAVDAZNOK-OAHLLOKOSA-N 0 0 440.522 -0.171 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCCCN2CCCC2=O)CC1 ZINC000774460888 1074229658 /nfs/dbraw/zinc/22/96/58/1074229658.db2.gz IYSABAPHUGQNHR-UHFFFAOYSA-N 0 0 425.530 -0.216 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774466245 1074229723 /nfs/dbraw/zinc/22/97/23/1074229723.db2.gz DNRBZJCOKJDRNF-UHFFFAOYSA-N 0 0 444.476 -0.497 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000774467992 1074229775 /nfs/dbraw/zinc/22/97/75/1074229775.db2.gz HHDLOIKRRKCCOV-UHFFFAOYSA-N 0 0 430.449 -0.745 20 0 IBADRN O=C(NCCCN1CCOCC1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000774468215 1074229767 /nfs/dbraw/zinc/22/97/67/1074229767.db2.gz ITBLUBVYZOQHIF-UHFFFAOYSA-N 0 0 449.533 -0.882 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCCN2CCOCC2)CC1 ZINC000774468301 1074229641 /nfs/dbraw/zinc/22/96/41/1074229641.db2.gz JUTHBVQFPCFDSI-UHFFFAOYSA-N 0 0 441.529 -0.980 20 0 IBADRN O=C(NCCCN1CCCC1=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000774470065 1074229632 /nfs/dbraw/zinc/22/96/32/1074229632.db2.gz ZGRDZBZRBQTOQN-UHFFFAOYSA-N 0 0 447.517 -0.592 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)C1 ZINC000774470163 1074229798 /nfs/dbraw/zinc/22/97/98/1074229798.db2.gz FNSSHTWGVJFKCB-UHFFFAOYSA-N 0 0 426.451 -0.359 20 0 IBADRN O=C(NCCCn1ccnc1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000774470416 1074230176 /nfs/dbraw/zinc/23/01/76/1074230176.db2.gz KMSHYYSBONEDCO-UHFFFAOYSA-N 0 0 430.490 -0.317 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)NCCCN1CCOCC1 ZINC000774470833 1074229747 /nfs/dbraw/zinc/22/97/47/1074229747.db2.gz PPBTVMITQXLIJD-UHFFFAOYSA-N 0 0 441.573 -0.164 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2cc(C(=O)N3CCN(C)CC3)ccc2OC)C1 ZINC000774471625 1074230261 /nfs/dbraw/zinc/23/02/61/1074230261.db2.gz KYWRNDSKKWNESN-UHFFFAOYSA-N 0 0 433.465 -0.412 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCCCN2CCOCC2)CC1 ZINC000774471822 1074230270 /nfs/dbraw/zinc/23/02/70/1074230270.db2.gz QCKJGPNMUIMIAL-UHFFFAOYSA-N 0 0 427.546 -0.506 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C(=O)NCCCN2CCOCC2)CCO1 ZINC000774471895 1074230091 /nfs/dbraw/zinc/23/00/91/1074230091.db2.gz RKGGJMVFJBNVOI-CVEARBPZSA-N 0 0 428.530 -0.035 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C(=O)NCCCN2CCOCC2)CCO1 ZINC000774471896 1074230250 /nfs/dbraw/zinc/23/02/50/1074230250.db2.gz RKGGJMVFJBNVOI-HOTGVXAUSA-N 0 0 428.530 -0.035 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)C(=O)NCCCN2CCOCC2)CCO1 ZINC000774471897 1074230163 /nfs/dbraw/zinc/23/01/63/1074230163.db2.gz RKGGJMVFJBNVOI-HZPDHXFCSA-N 0 0 428.530 -0.035 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)C(=O)NCCCN2CCOCC2)CCO1 ZINC000774471898 1074230188 /nfs/dbraw/zinc/23/01/88/1074230188.db2.gz RKGGJMVFJBNVOI-JKSUJKDBSA-N 0 0 428.530 -0.035 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCCN2CCOCC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000774472018 1074230202 /nfs/dbraw/zinc/23/02/02/1074230202.db2.gz SELNXSKXTIKINB-INIZCTEOSA-N 0 0 427.546 -0.508 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCCN2CCOCC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000774472023 1074230243 /nfs/dbraw/zinc/23/02/43/1074230243.db2.gz SELNXSKXTIKINB-MRXNPFEDSA-N 0 0 427.546 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCCN2CCCC2=O)CC1 ZINC000774473930 1074230221 /nfs/dbraw/zinc/23/02/21/1074230221.db2.gz UTECGLUDIMXJGP-UHFFFAOYSA-N 0 0 439.513 -0.689 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC000774474766 1074230256 /nfs/dbraw/zinc/23/02/56/1074230256.db2.gz AKJWMTWDSVBLFX-UHFFFAOYSA-N 0 0 439.494 -0.872 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC1CN(C(=O)OC)C1 ZINC000774475060 1074230118 /nfs/dbraw/zinc/23/01/18/1074230118.db2.gz DRFQCFXREVULBU-UHFFFAOYSA-N 0 0 428.467 -0.159 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC2CN(C(=O)OC)C2)cc1 ZINC000774475147 1074230288 /nfs/dbraw/zinc/23/02/88/1074230288.db2.gz BZCIVFOCZOJMJL-LLVKDONJSA-N 0 0 428.467 -0.495 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC2CN(C(=O)OC)C2)cc1 ZINC000774475150 1074230126 /nfs/dbraw/zinc/23/01/26/1074230126.db2.gz BZCIVFOCZOJMJL-NSHDSACASA-N 0 0 428.467 -0.495 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC3CN(C(=O)OC)C3)cc2)CC1 ZINC000774475209 1074230229 /nfs/dbraw/zinc/23/02/29/1074230229.db2.gz CWLHHXPNSXWOOB-UHFFFAOYSA-N 0 0 433.465 -0.513 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCCC3)c(OC)c2)C1 ZINC000774475986 1074230279 /nfs/dbraw/zinc/23/02/79/1074230279.db2.gz HKWOYTISVYEYCF-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NC3CN(C(=O)OC)C3)cc2)CC1 ZINC000774476146 1074230147 /nfs/dbraw/zinc/23/01/47/1074230147.db2.gz JFFNQRZTKNPKLR-UHFFFAOYSA-N 0 0 431.493 -0.102 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C1 ZINC000774476384 1074230478 /nfs/dbraw/zinc/23/04/78/1074230478.db2.gz IRLZESUMYGYNGH-UHFFFAOYSA-N 0 0 439.856 -0.337 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)NC1CN(C(=O)OC)C1 ZINC000774476775 1074230549 /nfs/dbraw/zinc/23/05/49/1074230549.db2.gz KSBCMDADPFZNRI-UHFFFAOYSA-N 0 0 442.450 -0.536 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)C1 ZINC000774476804 1074230595 /nfs/dbraw/zinc/23/05/95/1074230595.db2.gz LVHBAOLGHGDVAB-UHFFFAOYSA-N 0 0 446.508 -0.598 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC000774476851 1074230562 /nfs/dbraw/zinc/23/05/62/1074230562.db2.gz MRRFOBVMBYBEPV-UHFFFAOYSA-N 0 0 433.465 -0.746 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000774476964 1074230651 /nfs/dbraw/zinc/23/06/51/1074230651.db2.gz NKRBNTNUMWUNJZ-UHFFFAOYSA-N 0 0 426.451 -0.787 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)C1 ZINC000774476973 1074230624 /nfs/dbraw/zinc/23/06/24/1074230624.db2.gz NKUVDJGWYKLIOW-UHFFFAOYSA-N 0 0 439.494 -0.872 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2C)C1 ZINC000774477140 1074230216 /nfs/dbraw/zinc/23/02/16/1074230216.db2.gz PAXFCGRHOHYBPG-UHFFFAOYSA-N 0 0 440.478 -0.479 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)C1 ZINC000774477191 1074230283 /nfs/dbraw/zinc/23/02/83/1074230283.db2.gz PZVLCULJCLHAMU-UHFFFAOYSA-N 0 0 447.492 -0.135 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000774477568 1074230655 /nfs/dbraw/zinc/23/06/55/1074230655.db2.gz QSOWYKMHMVULDY-INIZCTEOSA-N 0 0 432.433 -0.794 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)C1 ZINC000774477592 1074230643 /nfs/dbraw/zinc/23/06/43/1074230643.db2.gz QSOWYKMHMVULDY-MRXNPFEDSA-N 0 0 432.433 -0.794 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000774477700 1074230632 /nfs/dbraw/zinc/23/06/32/1074230632.db2.gz RPDCFELZSIVHGD-UHFFFAOYSA-N 0 0 426.451 -0.787 20 0 IBADRN CCOc1ccc(NC(=O)C(=O)NC2CN(C(=O)OC)C2)cc1S(=O)(=O)N(C)C ZINC000774477891 1074230516 /nfs/dbraw/zinc/23/05/16/1074230516.db2.gz TVWWCIWTORRZTD-UHFFFAOYSA-N 0 0 428.467 -0.159 20 0 IBADRN CCOC(=O)c1cc(NC(=O)C(=O)NC2CN(C(=O)OC)C2)cc(S(C)(=O)=O)c1 ZINC000774478005 1074230571 /nfs/dbraw/zinc/23/05/71/1074230571.db2.gz UGUSDUNYBMYBPL-UHFFFAOYSA-N 0 0 427.435 -0.228 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)C1 ZINC000774478014 1074230639 /nfs/dbraw/zinc/23/06/39/1074230639.db2.gz UMVKOYKPEGXTMC-UHFFFAOYSA-N 0 0 427.483 -0.492 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)NC3CC3)c2)C1 ZINC000774478763 1074230609 /nfs/dbraw/zinc/23/06/09/1074230609.db2.gz ZUGMEYRQXWLADI-UHFFFAOYSA-N 0 0 426.451 -0.359 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(N3CCN(C(=O)C4CC4)CC3)nc2)C1 ZINC000774478865 1074230488 /nfs/dbraw/zinc/23/04/88/1074230488.db2.gz WWWZYFNPGJZUDN-UHFFFAOYSA-N 0 0 430.465 -0.355 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000774479021 1074230585 /nfs/dbraw/zinc/23/05/85/1074230585.db2.gz YNOGGQAFKQMXLA-UHFFFAOYSA-N 0 0 444.441 -0.648 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2)n1 ZINC000774481139 1074230628 /nfs/dbraw/zinc/23/06/28/1074230628.db2.gz DXIBDAQNHHFUQG-UHFFFAOYSA-N 0 0 430.494 -0.656 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)cc1 ZINC000774481238 1074230617 /nfs/dbraw/zinc/23/06/17/1074230617.db2.gz BUHWKVRXFYGCIU-UHFFFAOYSA-N 0 0 428.467 -0.877 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)C1 ZINC000774481283 1074230506 /nfs/dbraw/zinc/23/05/06/1074230506.db2.gz CCYMFNFXLIDJQR-UHFFFAOYSA-N 0 0 427.479 -0.636 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1 ZINC000774482512 1074231067 /nfs/dbraw/zinc/23/10/67/1074231067.db2.gz GSISHAYNBWISBT-UHFFFAOYSA-N 0 0 431.493 -0.485 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C1 ZINC000774482551 1074230974 /nfs/dbraw/zinc/23/09/74/1074230974.db2.gz HHNUQVDAEHHBMU-UHFFFAOYSA-N 0 0 438.506 -0.858 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)c(C(=O)N(C)C)cc1OC ZINC000774482686 1074230526 /nfs/dbraw/zinc/23/05/26/1074230526.db2.gz IJBIDMGFWZJFHK-UHFFFAOYSA-N 0 0 436.465 -0.340 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)C1 ZINC000774484181 1074230952 /nfs/dbraw/zinc/23/09/52/1074230952.db2.gz MTFIMBBSBRZMPI-UHFFFAOYSA-N 0 0 431.493 -0.358 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)c1 ZINC000774485853 1074231028 /nfs/dbraw/zinc/23/10/28/1074231028.db2.gz SZTSTXOHDJMDPU-GDLZYMKVSA-N 0 0 425.507 -0.164 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CC(OCC(=O)N(C)C)C2)c1 ZINC000774485855 1074230928 /nfs/dbraw/zinc/23/09/28/1074230928.db2.gz SZTSTXOHDJMDPU-LJAQVGFWSA-N 0 0 425.507 -0.164 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000774485907 1074231004 /nfs/dbraw/zinc/23/10/04/1074231004.db2.gz UJGBJLDSLFFGDV-UHFFFAOYSA-N 0 0 437.884 -0.470 20 0 IBADRN CCNC(=O)C1CN(C(=O)C(=O)Nc2sc(C(N)=O)c(C)c2C(=O)OCCOC)C1 ZINC000774487265 1074230995 /nfs/dbraw/zinc/23/09/95/1074230995.db2.gz XUDMKUVMNYFOAC-UHFFFAOYSA-N 0 0 440.478 -0.508 20 0 IBADRN COCCCNC(=O)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000774489321 1074230968 /nfs/dbraw/zinc/23/09/68/1074230968.db2.gz CGURKTBPVXHDCP-UHFFFAOYSA-N 0 0 448.542 -0.768 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCCCS(=O)(=O)N(C)C)CC2)cn1C ZINC000774528194 1074232636 /nfs/dbraw/zinc/23/26/36/1074232636.db2.gz AIGRWFDARXWDNO-UHFFFAOYSA-N 0 0 436.556 -0.046 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCCCS(=O)(=O)N(C)C)c1 ZINC000774530717 1074233648 /nfs/dbraw/zinc/23/36/48/1074233648.db2.gz LBOSNFAFRRPZBV-UHFFFAOYSA-N 0 0 437.540 -0.297 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000774531020 1074233578 /nfs/dbraw/zinc/23/35/78/1074233578.db2.gz VZAJHNSXYDKLPB-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000774531974 1074233688 /nfs/dbraw/zinc/23/36/88/1074233688.db2.gz VQSLSOANUOLKOM-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000774533725 1074233912 /nfs/dbraw/zinc/23/39/12/1074233912.db2.gz XVOQDWFEKAMRCK-UHFFFAOYSA-N 0 0 436.288 -0.283 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCCCS(=O)(=O)N(C)C)cc2S1(=O)=O ZINC000774536976 1074234007 /nfs/dbraw/zinc/23/40/07/1074234007.db2.gz UDTIGOZIPFSPSO-UHFFFAOYSA-N 0 0 434.492 -0.084 20 0 IBADRN CON(C)C(=O)CN1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000774547535 1074235597 /nfs/dbraw/zinc/23/55/97/1074235597.db2.gz NZLMCXFZTQTENK-UHFFFAOYSA-N 0 0 432.886 -0.003 20 0 IBADRN CCOC(=O)[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000774552008 1074235308 /nfs/dbraw/zinc/23/53/08/1074235308.db2.gz UFPIBACXFSOWHB-GOSISDBHSA-N 0 0 442.494 -0.556 20 0 IBADRN CCOC(=O)[C@@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000774552011 1074235222 /nfs/dbraw/zinc/23/52/22/1074235222.db2.gz UFPIBACXFSOWHB-SFHVURJKSA-N 0 0 442.494 -0.556 20 0 IBADRN CCOC(=O)[C@@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000774552848 1074235714 /nfs/dbraw/zinc/23/57/14/1074235714.db2.gz YWRDRFMGXSSFJT-FCEWJHQRSA-N 0 0 446.570 -0.283 20 0 IBADRN CCOC(=O)[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000774552849 1074235695 /nfs/dbraw/zinc/23/56/95/1074235695.db2.gz YWRDRFMGXSSFJT-GPMSIDNRSA-N 0 0 446.570 -0.283 20 0 IBADRN CCOC(=O)[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000774552850 1074235678 /nfs/dbraw/zinc/23/56/78/1074235678.db2.gz YWRDRFMGXSSFJT-JTDSTZFVSA-N 0 0 446.570 -0.283 20 0 IBADRN CCOC(=O)[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000774552851 1074235718 /nfs/dbraw/zinc/23/57/18/1074235718.db2.gz YWRDRFMGXSSFJT-TXPKVOOTSA-N 0 0 446.570 -0.283 20 0 IBADRN CCOC(=O)[C@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000774561303 1074236445 /nfs/dbraw/zinc/23/64/45/1074236445.db2.gz QIQHOLUISRRFBL-GOSISDBHSA-N 0 0 427.527 -0.206 20 0 IBADRN CCOC(=O)[C@@]1(C)CC(=O)N(CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000774561316 1074236420 /nfs/dbraw/zinc/23/64/20/1074236420.db2.gz QIQHOLUISRRFBL-SFHVURJKSA-N 0 0 427.527 -0.206 20 0 IBADRN COC(=O)c1cn(CCOC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)nn1 ZINC000774581908 1074242378 /nfs/dbraw/zinc/24/23/78/1074242378.db2.gz WSEUTUDCICTVRZ-UHFFFAOYSA-N 0 0 439.450 -0.113 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCCn3cc(C(=O)OC)nn3)cc2S1(=O)=O ZINC000774582397 1074240576 /nfs/dbraw/zinc/24/05/76/1074240576.db2.gz ZGVZEORIUKGPHS-UHFFFAOYSA-N 0 0 438.418 -0.287 20 0 IBADRN COC(=O)c1cn(CCOC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)nn1 ZINC000774582828 1074240647 /nfs/dbraw/zinc/24/06/47/1074240647.db2.gz HQFAQRDUSGEPQQ-UHFFFAOYSA-N 0 0 440.482 -0.249 20 0 IBADRN COC(=O)c1cn(CCOC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)nn1 ZINC000774584366 1074240666 /nfs/dbraw/zinc/24/06/66/1074240666.db2.gz NIUQRWMRLHRFEM-UHFFFAOYSA-N 0 0 429.480 -0.013 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CCCCCCC3)CC2)CC1 ZINC000774586722 1074241199 /nfs/dbraw/zinc/24/11/99/1074241199.db2.gz GJISKSYREWHXIQ-UHFFFAOYSA-N 0 0 429.587 -0.148 20 0 IBADRN COC(=O)c1cn(CCOC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)nn1 ZINC000774593390 1074242314 /nfs/dbraw/zinc/24/23/14/1074242314.db2.gz CFUNQWGQKNBGTD-UHFFFAOYSA-N 0 0 427.439 -0.719 20 0 IBADRN COC(=O)c1cn(CCOC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)nn1 ZINC000774594725 1074242353 /nfs/dbraw/zinc/24/23/53/1074242353.db2.gz SBLUFRBUZLRNEQ-UHFFFAOYSA-N 0 0 440.214 -0.486 20 0 IBADRN COC(=O)c1cn(CCOC(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)nn1 ZINC000774597639 1074242361 /nfs/dbraw/zinc/24/23/61/1074242361.db2.gz WLHFSLJBLNDWRB-UHFFFAOYSA-N 0 0 426.397 -0.514 20 0 IBADRN COC(=O)c1cn(CCOC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)nn1 ZINC000774597756 1074242371 /nfs/dbraw/zinc/24/23/71/1074242371.db2.gz YJELWSIRWJKNTP-UHFFFAOYSA-N 0 0 437.434 -0.958 20 0 IBADRN Cc1c(C(=O)OC[C@H]2CN(c3ncccn3)CCO2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000774601845 1074243697 /nfs/dbraw/zinc/24/36/97/1074243697.db2.gz KIFHZFWWQUPIGO-CYBMUJFWSA-N 0 0 426.433 -0.207 20 0 IBADRN Cc1c(C(=O)OC[C@@H]2CN(c3ncccn3)CCO2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000774601852 1074243688 /nfs/dbraw/zinc/24/36/88/1074243688.db2.gz KIFHZFWWQUPIGO-ZDUSSCGKSA-N 0 0 426.433 -0.207 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OC[C@H]1CN(c2ncccn2)CCO1 ZINC000774603935 1074243741 /nfs/dbraw/zinc/24/37/41/1074243741.db2.gz QPGMLMKBZFSILR-DZGCQCFKSA-N 0 0 438.510 -0.058 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OC[C@@H]1CN(c2ncccn2)CCO1 ZINC000774603938 1074243627 /nfs/dbraw/zinc/24/36/27/1074243627.db2.gz QPGMLMKBZFSILR-HIFRSBDPSA-N 0 0 438.510 -0.058 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OC[C@H]1CN(c2ncccn2)CCO1 ZINC000774603942 1074243702 /nfs/dbraw/zinc/24/37/02/1074243702.db2.gz QPGMLMKBZFSILR-UKRRQHHQSA-N 0 0 438.510 -0.058 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OC[C@@H]1CN(c2ncccn2)CCO1 ZINC000774603945 1074243756 /nfs/dbraw/zinc/24/37/56/1074243756.db2.gz QPGMLMKBZFSILR-ZFWWWQNUSA-N 0 0 438.510 -0.058 20 0 IBADRN COC(=O)c1cn(CCOC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)nn1 ZINC000774605843 1074243251 /nfs/dbraw/zinc/24/32/51/1074243251.db2.gz POLLSUNVFYMHMA-UHFFFAOYSA-N 0 0 437.434 -0.958 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OC[C@H]2CN(c3ncccn3)CCO2)c1 ZINC000774618371 1074244510 /nfs/dbraw/zinc/24/45/10/1074244510.db2.gz AYBBTUBUNGZTOD-CYBMUJFWSA-N 0 0 425.467 -0.208 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OC[C@@H]2CN(c3ncccn3)CCO2)c1 ZINC000774618372 1074244574 /nfs/dbraw/zinc/24/45/74/1074244574.db2.gz AYBBTUBUNGZTOD-ZDUSSCGKSA-N 0 0 425.467 -0.208 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@H]1CN(c2ncccn2)CCO1 ZINC000774620580 1074244491 /nfs/dbraw/zinc/24/44/91/1074244491.db2.gz JTRCGQGVHFUGQZ-DZGCQCFKSA-N 0 0 449.489 -0.309 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@@H]1CN(c2ncccn2)CCO1 ZINC000774620581 1074244567 /nfs/dbraw/zinc/24/45/67/1074244567.db2.gz JTRCGQGVHFUGQZ-HIFRSBDPSA-N 0 0 449.489 -0.309 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@H]1CN(c2ncccn2)CCO1 ZINC000774620582 1074244505 /nfs/dbraw/zinc/24/45/05/1074244505.db2.gz JTRCGQGVHFUGQZ-UKRRQHHQSA-N 0 0 449.489 -0.309 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@@H]1CN(c2ncccn2)CCO1 ZINC000774620584 1074244890 /nfs/dbraw/zinc/24/48/90/1074244890.db2.gz JTRCGQGVHFUGQZ-ZFWWWQNUSA-N 0 0 449.489 -0.309 20 0 IBADRN Cc1cc(C(=O)OC[C@H]2CN(c3ncccn3)CCO2)nc2c1c(=O)n(C)c(=O)n2C ZINC000774630443 1074245440 /nfs/dbraw/zinc/24/54/40/1074245440.db2.gz FTYGLLMCTRYVSH-CYBMUJFWSA-N 0 0 426.433 -0.207 20 0 IBADRN Cc1cc(C(=O)OC[C@@H]2CN(c3ncccn3)CCO2)nc2c1c(=O)n(C)c(=O)n2C ZINC000774630450 1074245475 /nfs/dbraw/zinc/24/54/75/1074245475.db2.gz FTYGLLMCTRYVSH-ZDUSSCGKSA-N 0 0 426.433 -0.207 20 0 IBADRN Cn1c2ncn(CCC(=O)OC[C@H]3CN(c4ncccn4)CCO3)c2c(=O)n(C)c1=O ZINC000774633434 1074245494 /nfs/dbraw/zinc/24/54/94/1074245494.db2.gz ZUOWQQZTIVUSGC-CYBMUJFWSA-N 0 0 429.437 -0.938 20 0 IBADRN Cn1c2ncn(CCC(=O)OC[C@@H]3CN(c4ncccn4)CCO3)c2c(=O)n(C)c1=O ZINC000774633449 1074245820 /nfs/dbraw/zinc/24/58/20/1074245820.db2.gz ZUOWQQZTIVUSGC-ZDUSSCGKSA-N 0 0 429.437 -0.938 20 0 IBADRN COC[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(=O)OC ZINC000774748692 1074261038 /nfs/dbraw/zinc/26/10/38/1074261038.db2.gz IYKHTDBATKXNFQ-INIZCTEOSA-N 0 0 428.463 -0.116 20 0 IBADRN COC[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(=O)OC ZINC000774748705 1074261057 /nfs/dbraw/zinc/26/10/57/1074261057.db2.gz IYKHTDBATKXNFQ-MRXNPFEDSA-N 0 0 428.463 -0.116 20 0 IBADRN COC[C@H](OC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000774749504 1074260495 /nfs/dbraw/zinc/26/04/95/1074260495.db2.gz KKIVFGJLPRUVSZ-HNNXBMFYSA-N 0 0 431.463 -0.010 20 0 IBADRN COC[C@@H](OC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000774749508 1074261126 /nfs/dbraw/zinc/26/11/26/1074261126.db2.gz KKIVFGJLPRUVSZ-OAHLLOKOSA-N 0 0 431.463 -0.010 20 0 IBADRN Cn1c2ncn(CC(=O)OCCN3CCC[C@@H]3C(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC000774762959 1074262488 /nfs/dbraw/zinc/26/24/88/1074262488.db2.gz JJAKLDWUYMPUEK-CYBMUJFWSA-N 0 0 435.481 -0.217 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCN1CCC[C@@H]1C(=O)OC(C)(C)C)c(=O)n2C ZINC000774775014 1074262393 /nfs/dbraw/zinc/26/23/93/1074262393.db2.gz IEMYZMZRUULTAB-CYBMUJFWSA-N 0 0 435.481 -0.217 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](O)c2cc(Cl)c3c(c2)OCCO3)c(=O)n(C)c1=O ZINC000774781242 1074262601 /nfs/dbraw/zinc/26/26/01/1074262601.db2.gz LPWXCOPFKWWXSH-LLVKDONJSA-N 0 0 431.854 -0.480 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](O)c2cc(Cl)c3c(c2)OCCO3)c(=O)n(C)c1=O ZINC000774781244 1074262522 /nfs/dbraw/zinc/26/25/22/1074262522.db2.gz LPWXCOPFKWWXSH-NSHDSACASA-N 0 0 431.854 -0.480 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2sccc2S(N)(=O)=O)CC1 ZINC000774800141 1074264220 /nfs/dbraw/zinc/26/42/20/1074264220.db2.gz LRBPTUZTKZQHRS-UHFFFAOYSA-N 0 0 446.551 -0.389 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1sccc1S(N)(=O)=O)c(=O)n2C ZINC000774800955 1074264407 /nfs/dbraw/zinc/26/44/07/1074264407.db2.gz TWCNECZNTJKYCN-UHFFFAOYSA-N 0 0 427.464 -0.610 20 0 IBADRN COc1ccccc1OCCNC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000774814647 1074264788 /nfs/dbraw/zinc/26/47/88/1074264788.db2.gz JFHBQJRIOJRDAQ-UHFFFAOYSA-N 0 0 427.523 -0.185 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)O1 ZINC000774829694 1074264704 /nfs/dbraw/zinc/26/47/04/1074264704.db2.gz IZEWEIRIHQIXCD-NXEZZACHSA-N 0 0 441.286 -0.356 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)O1 ZINC000774829700 1074265174 /nfs/dbraw/zinc/26/51/74/1074265174.db2.gz IZEWEIRIHQIXCD-UWVGGRQHSA-N 0 0 441.286 -0.356 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)O1 ZINC000774829703 1074265110 /nfs/dbraw/zinc/26/51/10/1074265110.db2.gz IZEWEIRIHQIXCD-VHSXEESVSA-N 0 0 441.286 -0.356 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)O1 ZINC000774829704 1074265118 /nfs/dbraw/zinc/26/51/18/1074265118.db2.gz IZEWEIRIHQIXCD-ZJUUUORDSA-N 0 0 441.286 -0.356 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N(C)CCS(C)(=O)=O)N2CCOCC2)cc1 ZINC000774841464 1074265202 /nfs/dbraw/zinc/26/52/02/1074265202.db2.gz HPXTWDMVNAFKHR-KRWDZBQOSA-N 0 0 427.523 -0.312 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N(C)CCS(C)(=O)=O)N2CCOCC2)cc1 ZINC000774841465 1074265101 /nfs/dbraw/zinc/26/51/01/1074265101.db2.gz HPXTWDMVNAFKHR-QGZVFWFLSA-N 0 0 427.523 -0.312 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NC[C@@H](c1ccc(OC)cc1)N1CCOCC1 ZINC000774842807 1074265315 /nfs/dbraw/zinc/26/53/15/1074265315.db2.gz OUWXGZATKIYJNW-KRWDZBQOSA-N 0 0 427.523 -0.264 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NC[C@H](c1ccc(OC)cc1)N1CCOCC1 ZINC000774842811 1074265165 /nfs/dbraw/zinc/26/51/65/1074265165.db2.gz OUWXGZATKIYJNW-QGZVFWFLSA-N 0 0 427.523 -0.264 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NCC[S@](C)=O)N2CCOCC2)cc1OC ZINC000774852971 1074266705 /nfs/dbraw/zinc/26/67/05/1074266705.db2.gz FUESRUOKUAFFKL-HOLBHBGLSA-N 0 0 427.523 -0.312 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NCC[S@@](C)=O)N2CCOCC2)cc1OC ZINC000774852987 1074266715 /nfs/dbraw/zinc/26/67/15/1074266715.db2.gz FUESRUOKUAFFKL-MHTXLSKPSA-N 0 0 427.523 -0.312 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NCC[S@@](C)=O)N2CCOCC2)cc1OC ZINC000774852990 1074266744 /nfs/dbraw/zinc/26/67/44/1074266744.db2.gz FUESRUOKUAFFKL-PEGYKEAPSA-N 0 0 427.523 -0.312 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NCC[S@](C)=O)N2CCOCC2)cc1OC ZINC000774852993 1074266734 /nfs/dbraw/zinc/26/67/34/1074266734.db2.gz FUESRUOKUAFFKL-WNNBVUDTSA-N 0 0 427.523 -0.312 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000774855836 1074266822 /nfs/dbraw/zinc/26/68/22/1074266822.db2.gz AOMCVNCAUHICOD-UHFFFAOYSA-N 0 0 441.573 -0.118 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)C(=O)NC3CC[NH+](C)CC3)ncnc1-2 ZINC000774856181 1074266784 /nfs/dbraw/zinc/26/67/84/1074266784.db2.gz SWGLRXJITREXCA-UHFFFAOYSA-N 0 0 439.318 -0.762 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CCO1 ZINC000774857098 1074267214 /nfs/dbraw/zinc/26/72/14/1074267214.db2.gz GPRPQDVCQQRZJF-HNNXBMFYSA-N 0 0 428.530 -0.035 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)CCO1 ZINC000774857100 1074267195 /nfs/dbraw/zinc/26/71/95/1074267195.db2.gz GPRPQDVCQQRZJF-OAHLLOKOSA-N 0 0 428.530 -0.035 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000774858897 1074267240 /nfs/dbraw/zinc/26/72/40/1074267240.db2.gz OMGWJABLUJKKDB-UHFFFAOYSA-N 0 0 439.581 -0.089 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)Oc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000774860223 1074267119 /nfs/dbraw/zinc/26/71/19/1074267119.db2.gz XKOTXVNDJXIPJN-LLVKDONJSA-N 0 0 433.508 -0.245 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)Oc1ccc(S(=O)(=O)N2CCCC2)cc1C(N)=O ZINC000774860226 1074267222 /nfs/dbraw/zinc/26/72/22/1074267222.db2.gz XKOTXVNDJXIPJN-NSHDSACASA-N 0 0 433.508 -0.245 20 0 IBADRN CC(C)(CNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCOCC1 ZINC000774860612 1074267270 /nfs/dbraw/zinc/26/72/70/1074267270.db2.gz PQJDIJGYMBXGOZ-UHFFFAOYSA-N 0 0 444.476 -0.356 20 0 IBADRN CC(C)(CNC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)N1CCOCC1 ZINC000774861356 1074267298 /nfs/dbraw/zinc/26/72/98/1074267298.db2.gz QGSULBXZVVFRGK-UHFFFAOYSA-N 0 0 433.509 -0.223 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)NCC(C)(C)N1CCOCC1)C(F)(F)F ZINC000774862659 1074267130 /nfs/dbraw/zinc/26/71/30/1074267130.db2.gz TXEMLAYPUBUUCX-KRWDZBQOSA-N 0 0 435.447 -0.097 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)NCC(C)(C)N1CCOCC1)C(F)(F)F ZINC000774862661 1074267187 /nfs/dbraw/zinc/26/71/87/1074267187.db2.gz TXEMLAYPUBUUCX-QGZVFWFLSA-N 0 0 435.447 -0.097 20 0 IBADRN CN1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000774862737 1074267746 /nfs/dbraw/zinc/26/77/46/1074267746.db2.gz VDUAEBWYENUERZ-INIZCTEOSA-N 0 0 441.573 -0.119 20 0 IBADRN CN1CCN(C(=O)C(=O)NCC(C)(C)N2CCOCC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000774862739 1074267603 /nfs/dbraw/zinc/26/76/03/1074267603.db2.gz VDUAEBWYENUERZ-MRXNPFEDSA-N 0 0 441.573 -0.119 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCNS(=O)(=O)Cc1ccccc1 ZINC000774863262 1074267716 /nfs/dbraw/zinc/26/77/16/1074267716.db2.gz MIVWYBOMMRKYNQ-UHFFFAOYSA-N 0 0 427.570 -0.693 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)NCCS(C)(=O)=O)N2CCOCC2)cc1OC ZINC000774869128 1074267710 /nfs/dbraw/zinc/26/77/10/1074267710.db2.gz YBDPPJRLDHFXBK-HNNXBMFYSA-N 0 0 443.522 -0.646 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)NCCS(C)(=O)=O)N2CCOCC2)cc1OC ZINC000774869129 1074267724 /nfs/dbraw/zinc/26/77/24/1074267724.db2.gz YBDPPJRLDHFXBK-OAHLLOKOSA-N 0 0 443.522 -0.646 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CC(=O)N(C)C[C@@H]2C)N2CCOCC2)cc1OC ZINC000774869132 1074267702 /nfs/dbraw/zinc/26/77/02/1074267702.db2.gz YEZVWXHSCICQLG-DOTOQJQBSA-N 0 0 448.520 -0.118 20 0 IBADRN COc1ccc([C@@H](CNC(=O)C(=O)N2CC(=O)N(C)C[C@H]2C)N2CCOCC2)cc1OC ZINC000774869166 1074267625 /nfs/dbraw/zinc/26/76/25/1074267625.db2.gz YEZVWXHSCICQLG-NVXWUHKLSA-N 0 0 448.520 -0.118 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CC(=O)N(C)C[C@@H]2C)N2CCOCC2)cc1OC ZINC000774869167 1074267593 /nfs/dbraw/zinc/26/75/93/1074267593.db2.gz YEZVWXHSCICQLG-RDJZCZTQSA-N 0 0 448.520 -0.118 20 0 IBADRN COc1ccc([C@H](CNC(=O)C(=O)N2CC(=O)N(C)C[C@H]2C)N2CCOCC2)cc1OC ZINC000774869168 1074267762 /nfs/dbraw/zinc/26/77/62/1074267762.db2.gz YEZVWXHSCICQLG-WBVHZDCISA-N 0 0 448.520 -0.118 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000774869238 1074267584 /nfs/dbraw/zinc/26/75/84/1074267584.db2.gz JRBXQBLOPPCSRL-UHFFFAOYSA-N 0 0 442.604 -0.260 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000774881073 1074268701 /nfs/dbraw/zinc/26/87/01/1074268701.db2.gz OTWIOXWZJIPSJI-UHFFFAOYSA-N 0 0 441.544 -0.548 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000774881283 1074268716 /nfs/dbraw/zinc/26/87/16/1074268716.db2.gz QYMKSPZPEFMAPY-CYBMUJFWSA-N 0 0 447.535 -0.411 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000774881287 1074268656 /nfs/dbraw/zinc/26/86/56/1074268656.db2.gz QYMKSPZPEFMAPY-ZDUSSCGKSA-N 0 0 447.535 -0.411 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000774885249 1074268691 /nfs/dbraw/zinc/26/86/91/1074268691.db2.gz JNUCAIMZCLYHDS-GFCCVEGCSA-N 0 0 436.478 -0.239 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000774885250 1074268750 /nfs/dbraw/zinc/26/87/50/1074268750.db2.gz JNUCAIMZCLYHDS-LBPRGKRZSA-N 0 0 436.478 -0.239 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000774906474 1074271853 /nfs/dbraw/zinc/27/18/53/1074271853.db2.gz BFIMDGZJFNNWSQ-AWEZNQCLSA-N 0 0 442.604 -0.262 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000774906475 1074271834 /nfs/dbraw/zinc/27/18/34/1074271834.db2.gz BFIMDGZJFNNWSQ-CQSZACIVSA-N 0 0 442.604 -0.262 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000774915702 1074275362 /nfs/dbraw/zinc/27/53/62/1074275362.db2.gz SLDRHYBVSSUZLQ-CYBMUJFWSA-N 0 0 429.561 -0.177 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000774915705 1074275220 /nfs/dbraw/zinc/27/52/20/1074275220.db2.gz SLDRHYBVSSUZLQ-ZDUSSCGKSA-N 0 0 429.561 -0.177 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)CCCS(=O)(=O)N(C)C)C1=O ZINC000774927531 1074277066 /nfs/dbraw/zinc/27/70/66/1074277066.db2.gz HORLLMZHORTMJB-INIZCTEOSA-N 0 0 432.524 -0.040 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)CCCS(=O)(=O)N(C)C)C1=O ZINC000774927536 1074277082 /nfs/dbraw/zinc/27/70/82/1074277082.db2.gz HORLLMZHORTMJB-MRXNPFEDSA-N 0 0 432.524 -0.040 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)[C@H]1CCCN1C(=O)C(F)(F)F ZINC000774932498 1074277417 /nfs/dbraw/zinc/27/74/17/1074277417.db2.gz OOFWOTNFPABFGZ-SECBINFHSA-N 0 0 436.368 -0.378 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC000774932501 1074277486 /nfs/dbraw/zinc/27/74/86/1074277486.db2.gz OOFWOTNFPABFGZ-VIFPVBQESA-N 0 0 436.368 -0.378 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)[C@H]3CCCN3C(=O)C(F)(F)F)c2c(=O)n(C)c1=O ZINC000774936372 1074277405 /nfs/dbraw/zinc/27/74/05/1074277405.db2.gz OSVIYIYXELDDBZ-SECBINFHSA-N 0 0 445.354 -0.901 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)[C@@H]3CCCN3C(=O)C(F)(F)F)c2c(=O)n(C)c1=O ZINC000774936405 1074277564 /nfs/dbraw/zinc/27/75/64/1074277564.db2.gz OSVIYIYXELDDBZ-VIFPVBQESA-N 0 0 445.354 -0.901 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)[C@H]1CCCN1C(=O)C(F)(F)F)c(=O)n2C ZINC000774947780 1074279442 /nfs/dbraw/zinc/27/94/42/1074279442.db2.gz JYEZYZGKJKDVAH-SECBINFHSA-N 0 0 445.354 -0.901 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)[C@@H]1CCCN1C(=O)C(F)(F)F)c(=O)n2C ZINC000774947789 1074279381 /nfs/dbraw/zinc/27/93/81/1074279381.db2.gz JYEZYZGKJKDVAH-VIFPVBQESA-N 0 0 445.354 -0.901 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)[C@H]3CCCN3C(=O)C(F)(F)F)nc2n(C)c1=O ZINC000774951606 1074280188 /nfs/dbraw/zinc/28/01/88/1074280188.db2.gz FEPFEWACDZEBNS-LLVKDONJSA-N 0 0 442.350 -0.089 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)[C@@H]3CCCN3C(=O)C(F)(F)F)nc2n(C)c1=O ZINC000774951611 1074280156 /nfs/dbraw/zinc/28/01/56/1074280156.db2.gz FEPFEWACDZEBNS-NSHDSACASA-N 0 0 442.350 -0.089 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)[C@H]3CCCN3C(=O)C(F)(F)F)cnc2n(C)c1=O ZINC000774957450 1074281317 /nfs/dbraw/zinc/28/13/17/1074281317.db2.gz TVKFSEMASRPJOO-LLVKDONJSA-N 0 0 442.350 -0.089 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)[C@@H]3CCCN3C(=O)C(F)(F)F)cnc2n(C)c1=O ZINC000774957452 1074281849 /nfs/dbraw/zinc/28/18/49/1074281849.db2.gz TVKFSEMASRPJOO-NSHDSACASA-N 0 0 442.350 -0.089 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)[C@@H]2CCCN2C(=O)C(F)(F)F)cn1 ZINC000774958238 1074282002 /nfs/dbraw/zinc/28/20/02/1074282002.db2.gz AXOUQGXBXDMVOJ-JTQLQIEISA-N 0 0 426.373 -0.636 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)[C@H]2CCCN2C(=O)C(F)(F)F)cn1 ZINC000774958241 1074282031 /nfs/dbraw/zinc/28/20/31/1074282031.db2.gz AXOUQGXBXDMVOJ-SNVBAGLBSA-N 0 0 426.373 -0.636 20 0 IBADRN Cn1c(C(=O)OCC(=O)[C@@H]2CCCN2C(=O)C(F)(F)F)cc2c1n(C)c(=O)n(C)c2=O ZINC000774972159 1074283626 /nfs/dbraw/zinc/28/36/26/1074283626.db2.gz WLZXHSOOKGDENO-JTQLQIEISA-N 0 0 444.366 -0.145 20 0 IBADRN Cn1c(C(=O)OCC(=O)[C@H]2CCCN2C(=O)C(F)(F)F)cc2c1n(C)c(=O)n(C)c2=O ZINC000774972161 1074283548 /nfs/dbraw/zinc/28/35/48/1074283548.db2.gz WLZXHSOOKGDENO-SNVBAGLBSA-N 0 0 444.366 -0.145 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OC2CCN(S(=O)(=O)CCCOC)CC2)cn1 ZINC000774973041 1074283637 /nfs/dbraw/zinc/28/36/37/1074283637.db2.gz ARZSNFBHGUNDGX-UHFFFAOYSA-N 0 0 435.524 -0.023 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)[C@@H]2CCCN2C(=O)C(F)(F)F)c1 ZINC000774974428 1074282528 /nfs/dbraw/zinc/28/25/28/1074282528.db2.gz PYNUCSZXTIJLLA-JTQLQIEISA-N 0 0 426.373 -0.414 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCC(=O)[C@H]2CCCN2C(=O)C(F)(F)F)c1 ZINC000774974429 1074282539 /nfs/dbraw/zinc/28/25/39/1074282539.db2.gz PYNUCSZXTIJLLA-SNVBAGLBSA-N 0 0 426.373 -0.414 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1CCSCC1 ZINC000774976914 1074283980 /nfs/dbraw/zinc/28/39/80/1074283980.db2.gz AIMVICLEEPOVSM-AWEZNQCLSA-N 0 0 433.538 -0.008 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1CCSCC1 ZINC000774976922 1074283992 /nfs/dbraw/zinc/28/39/92/1074283992.db2.gz AIMVICLEEPOVSM-CQSZACIVSA-N 0 0 433.538 -0.008 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)[C@@H]2CCCN2C(=O)C(F)(F)F)cn1C ZINC000774979153 1074283945 /nfs/dbraw/zinc/28/39/45/1074283945.db2.gz ZORYVMLFOYYUJN-JTQLQIEISA-N 0 0 440.400 -0.328 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)[C@H]2CCCN2C(=O)C(F)(F)F)cn1C ZINC000774979154 1074283975 /nfs/dbraw/zinc/28/39/75/1074283975.db2.gz ZORYVMLFOYYUJN-SNVBAGLBSA-N 0 0 440.400 -0.328 20 0 IBADRN COCCCS(=O)(=O)N1CCC(OC(=O)Cn2cnc3c(cnn3C)c2=O)CC1 ZINC000774980086 1074283954 /nfs/dbraw/zinc/28/39/54/1074283954.db2.gz XSFABRSDUDUDGA-UHFFFAOYSA-N 0 0 427.483 -0.496 20 0 IBADRN COCCCS(=O)(=O)N1CCC(OC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000774980611 1074284024 /nfs/dbraw/zinc/28/40/24/1074284024.db2.gz ZELWNSWIJNSHLA-UHFFFAOYSA-N 0 0 447.535 -0.142 20 0 IBADRN COCCCS(=O)(=O)N1CCC(OC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)CC1 ZINC000774985590 1074284519 /nfs/dbraw/zinc/28/45/19/1074284519.db2.gz RZGCCZKEAJEUJS-INIZCTEOSA-N 0 0 433.527 -0.513 20 0 IBADRN COCCCS(=O)(=O)N1CCC(OC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)CC1 ZINC000774985591 1074284537 /nfs/dbraw/zinc/28/45/37/1074284537.db2.gz RZGCCZKEAJEUJS-MRXNPFEDSA-N 0 0 433.527 -0.513 20 0 IBADRN COCCCS(=O)(=O)N1CCC(OC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000774985862 1074284343 /nfs/dbraw/zinc/28/43/43/1074284343.db2.gz QVRWCTODMQHMNB-AATRIKPKSA-N 0 0 429.495 -0.529 20 0 IBADRN COCCCS(=O)(=O)N1CCC(OC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000774985871 1074284484 /nfs/dbraw/zinc/28/44/84/1074284484.db2.gz QVRWCTODMQHMNB-WAYWQWQTSA-N 0 0 429.495 -0.529 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N(CC)CC)CC2)CC1 ZINC000774987535 1074284503 /nfs/dbraw/zinc/28/45/03/1074284503.db2.gz MINRRGRBWBFBAY-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN COCCCS(=O)(=O)N1CCC(OC(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000774996776 1074285197 /nfs/dbraw/zinc/28/51/97/1074285197.db2.gz LFNGBGDHWJKZRD-UHFFFAOYSA-N 0 0 427.545 -0.724 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000774998048 1074285285 /nfs/dbraw/zinc/28/52/85/1074285285.db2.gz FXGYUJMYAHQDNQ-APWZRJJASA-N 0 0 447.488 -0.239 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000774998058 1074285254 /nfs/dbraw/zinc/28/52/54/1074285254.db2.gz FXGYUJMYAHQDNQ-LPHOPBHVSA-N 0 0 447.488 -0.239 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000774998061 1074285281 /nfs/dbraw/zinc/28/52/81/1074285281.db2.gz FXGYUJMYAHQDNQ-QFBILLFUSA-N 0 0 447.488 -0.239 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000774998063 1074285176 /nfs/dbraw/zinc/28/51/76/1074285176.db2.gz FXGYUJMYAHQDNQ-VQIMIIECSA-N 0 0 447.488 -0.239 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)[C@H]2CCCN2C(=O)C(F)(F)F)c1 ZINC000774999208 1074285150 /nfs/dbraw/zinc/28/51/50/1074285150.db2.gz VIEIOAKXMGIOKU-SECBINFHSA-N 0 0 426.373 -0.636 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)[C@@H]2CCCN2C(=O)C(F)(F)F)c1 ZINC000774999210 1074285246 /nfs/dbraw/zinc/28/52/46/1074285246.db2.gz VIEIOAKXMGIOKU-VIFPVBQESA-N 0 0 426.373 -0.636 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)[C@H]1CCCN1C(=O)C(F)(F)F ZINC000774999986 1074285778 /nfs/dbraw/zinc/28/57/78/1074285778.db2.gz ZSFNZXLLWKSTMH-GXSJLCMTSA-N 0 0 440.400 -0.248 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC000774999987 1074285785 /nfs/dbraw/zinc/28/57/85/1074285785.db2.gz ZSFNZXLLWKSTMH-KOLCDFICSA-N 0 0 440.400 -0.248 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)[C@H]1CCCN1C(=O)C(F)(F)F ZINC000774999988 1074285598 /nfs/dbraw/zinc/28/55/98/1074285598.db2.gz ZSFNZXLLWKSTMH-MWLCHTKSSA-N 0 0 440.400 -0.248 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC000774999989 1074285840 /nfs/dbraw/zinc/28/58/40/1074285840.db2.gz ZSFNZXLLWKSTMH-ONGXEEELSA-N 0 0 440.400 -0.248 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)CC1 ZINC000775000484 1074285550 /nfs/dbraw/zinc/28/55/50/1074285550.db2.gz KUKTUUAWVLQHSG-HNNXBMFYSA-N 0 0 430.527 -0.250 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)CC1 ZINC000775000508 1074285825 /nfs/dbraw/zinc/28/58/25/1074285825.db2.gz KUKTUUAWVLQHSG-OAHLLOKOSA-N 0 0 430.527 -0.250 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NC2CCN(C(=O)OCC)CC2)CC1 ZINC000775003976 1074285579 /nfs/dbraw/zinc/28/55/79/1074285579.db2.gz MSOSSLJXORHTGC-UHFFFAOYSA-N 0 0 425.530 -0.170 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000775005491 1074285565 /nfs/dbraw/zinc/28/55/65/1074285565.db2.gz XKVFCKJQIHGXDG-UHFFFAOYSA-N 0 0 432.481 -0.434 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000775007015 1074286297 /nfs/dbraw/zinc/28/62/97/1074286297.db2.gz FQRXTYOXJBGKPW-UHFFFAOYSA-N 0 0 436.556 -0.782 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N[C@@H](C)CC)CC2)CC1 ZINC000775008385 1074286146 /nfs/dbraw/zinc/28/61/46/1074286146.db2.gz YMDVFJDSKBAFCP-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N[C@H](C)CC)CC2)CC1 ZINC000775008388 1074286120 /nfs/dbraw/zinc/28/61/20/1074286120.db2.gz YMDVFJDSKBAFCP-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000775008585 1074286228 /nfs/dbraw/zinc/28/62/28/1074286228.db2.gz MLTZWQMFARLMJN-UHFFFAOYSA-N 0 0 426.495 -0.182 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000775009320 1074285732 /nfs/dbraw/zinc/28/57/32/1074285732.db2.gz QNRNYMWLFDGJDS-HNNXBMFYSA-N 0 0 434.497 -0.236 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000775009322 1074286192 /nfs/dbraw/zinc/28/61/92/1074286192.db2.gz QNRNYMWLFDGJDS-OAHLLOKOSA-N 0 0 434.497 -0.236 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)CC1 ZINC000775009748 1074285743 /nfs/dbraw/zinc/28/57/43/1074285743.db2.gz VQALNEKQGVERKP-UHFFFAOYSA-N 0 0 437.541 -0.120 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)[C@H]2COCCN2CC)c(=O)[nH]c1=O ZINC000775009851 1074286208 /nfs/dbraw/zinc/28/62/08/1074286208.db2.gz RYMJOCWGVRPVNH-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)[C@@H]2COCCN2CC)c(=O)[nH]c1=O ZINC000775009852 1074286133 /nfs/dbraw/zinc/28/61/33/1074286133.db2.gz RYMJOCWGVRPVNH-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000775010059 1074286063 /nfs/dbraw/zinc/28/60/63/1074286063.db2.gz ZROHMSPKLNVVIS-UHFFFAOYSA-N 0 0 444.496 -0.251 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000775010114 1074286178 /nfs/dbraw/zinc/28/61/78/1074286178.db2.gz UGTDPHVFDCQBNY-HNNXBMFYSA-N 0 0 444.510 -0.612 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000775010119 1074286165 /nfs/dbraw/zinc/28/61/65/1074286165.db2.gz UGTDPHVFDCQBNY-OAHLLOKOSA-N 0 0 444.510 -0.612 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000775012513 1074286257 /nfs/dbraw/zinc/28/62/57/1074286257.db2.gz KHFOKWSWUPPAHQ-KRWDZBQOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000775012515 1074286241 /nfs/dbraw/zinc/28/62/41/1074286241.db2.gz KHFOKWSWUPPAHQ-QGZVFWFLSA-N 0 0 443.497 -0.078 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)CC1 ZINC000775014558 1074286723 /nfs/dbraw/zinc/28/67/23/1074286723.db2.gz SRTKUJZNMHCLAT-INIZCTEOSA-N 0 0 437.541 -0.121 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)CC1 ZINC000775014560 1074286649 /nfs/dbraw/zinc/28/66/49/1074286649.db2.gz SRTKUJZNMHCLAT-MRXNPFEDSA-N 0 0 437.541 -0.121 20 0 IBADRN CCn1nccc1C(=O)OCC(=O)N1CCN(C(=O)COC(=O)c2ccnn2CC)CC1 ZINC000775021811 1074286742 /nfs/dbraw/zinc/28/67/42/1074286742.db2.gz IINOARUUTNCOLC-UHFFFAOYSA-N 0 0 446.464 -0.196 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000775027119 1074287131 /nfs/dbraw/zinc/28/71/31/1074287131.db2.gz MJGIHGBOFKTKEQ-UHFFFAOYSA-N 0 0 432.481 -0.434 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000775027946 1074287209 /nfs/dbraw/zinc/28/72/09/1074287209.db2.gz ROJZYYDKJGZJDG-UHFFFAOYSA-N 0 0 426.495 -0.052 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000775028379 1074287056 /nfs/dbraw/zinc/28/70/56/1074287056.db2.gz UDTYGUXOKDZPTM-UHFFFAOYSA-N 0 0 447.540 -0.158 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)CC1 ZINC000775028522 1074287023 /nfs/dbraw/zinc/28/70/23/1074287023.db2.gz WGYHWLFIUDPEHM-AWEZNQCLSA-N 0 0 444.496 -0.102 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)CC1 ZINC000775028523 1074287205 /nfs/dbraw/zinc/28/72/05/1074287205.db2.gz WGYHWLFIUDPEHM-CQSZACIVSA-N 0 0 444.496 -0.102 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000775039178 1074288496 /nfs/dbraw/zinc/28/84/96/1074288496.db2.gz DBZOOVSLSYEZPK-INIZCTEOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000775039180 1074288890 /nfs/dbraw/zinc/28/88/90/1074288890.db2.gz DBZOOVSLSYEZPK-MRXNPFEDSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000775039791 1074293917 /nfs/dbraw/zinc/29/39/17/1074293917.db2.gz FBDDGSLVKWIMPU-FOIQADDNSA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000775039796 1074293893 /nfs/dbraw/zinc/29/38/93/1074293893.db2.gz FBDDGSLVKWIMPU-MGPUTAFESA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000775039800 1074293926 /nfs/dbraw/zinc/29/39/26/1074293926.db2.gz FBDDGSLVKWIMPU-QRWLVFNGSA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000775039805 1074293910 /nfs/dbraw/zinc/29/39/10/1074293910.db2.gz FBDDGSLVKWIMPU-YWZLYKJASA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000775040227 1074302592 /nfs/dbraw/zinc/30/25/92/1074302592.db2.gz FSVROZAWVKEDRW-KRWDZBQOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000775040228 1074302655 /nfs/dbraw/zinc/30/26/55/1074302655.db2.gz FSVROZAWVKEDRW-QGZVFWFLSA-N 0 0 443.497 -0.078 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)CC1 ZINC000775040483 1074302667 /nfs/dbraw/zinc/30/26/67/1074302667.db2.gz WFOXYUYLXJUMHO-UHFFFAOYSA-N 0 0 437.541 -0.264 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)N2CCN(Cc3nc(COC)no3)CC2)CC1 ZINC000775040691 1074302572 /nfs/dbraw/zinc/30/25/72/1074302572.db2.gz YDHMXOCERYKDLP-UHFFFAOYSA-N 0 0 438.485 -0.403 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)NCCC[N@@H+]2CCC[C@H]2C(=O)N(C)C)CC1 ZINC000775041160 1074295208 /nfs/dbraw/zinc/29/52/08/1074295208.db2.gz YWZMTNUFPAKITL-INIZCTEOSA-N 0 0 425.530 -0.218 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)NCCC[N@@H+]2CCC[C@@H]2C(=O)N(C)C)CC1 ZINC000775041161 1074295238 /nfs/dbraw/zinc/29/52/38/1074295238.db2.gz YWZMTNUFPAKITL-MRXNPFEDSA-N 0 0 425.530 -0.218 20 0 IBADRN CCN1CCOC[C@H]1C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000775041343 1074294345 /nfs/dbraw/zinc/29/43/45/1074294345.db2.gz GOENWMAJMSNFQU-HNNXBMFYSA-N 0 0 430.461 -0.376 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000775041538 1074294383 /nfs/dbraw/zinc/29/43/83/1074294383.db2.gz GOENWMAJMSNFQU-OAHLLOKOSA-N 0 0 430.461 -0.376 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(N)c(Br)n2)CC1 ZINC000775080416 1074308131 /nfs/dbraw/zinc/30/81/31/1074308131.db2.gz PTLJICZQDKWEGO-UHFFFAOYSA-N 0 0 428.287 -0.185 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)C(=O)NCCn2ccnc2)C1 ZINC000775098803 1074308559 /nfs/dbraw/zinc/30/85/59/1074308559.db2.gz GWHAKSOUDBYEDH-HNNXBMFYSA-N 0 0 436.513 -0.121 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)NCCn2ccnc2)C1 ZINC000775098804 1074308589 /nfs/dbraw/zinc/30/85/89/1074308589.db2.gz GWHAKSOUDBYEDH-OAHLLOKOSA-N 0 0 436.513 -0.121 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O)C1CC1 ZINC000775103652 1074309596 /nfs/dbraw/zinc/30/95/96/1074309596.db2.gz DYIDVTSJCXSYQA-UHFFFAOYSA-N 0 0 428.511 -0.607 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000775106160 1074309434 /nfs/dbraw/zinc/30/94/34/1074309434.db2.gz LYEKAHSKWUEWJH-UHFFFAOYSA-N 0 0 425.486 -0.002 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000775109392 1074309865 /nfs/dbraw/zinc/30/98/65/1074309865.db2.gz SVQGTJWIGQVCKY-AWEZNQCLSA-N 0 0 438.481 -0.604 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000775109393 1074309901 /nfs/dbraw/zinc/30/99/01/1074309901.db2.gz SVQGTJWIGQVCKY-CQSZACIVSA-N 0 0 438.481 -0.604 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC000775110450 1074309878 /nfs/dbraw/zinc/30/98/78/1074309878.db2.gz ZFVFXZGAZAZDTF-UHFFFAOYSA-N 0 0 430.527 -0.361 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2c(OC)ncnc2N(C)C)CC1 ZINC000775139260 1074314150 /nfs/dbraw/zinc/31/41/50/1074314150.db2.gz TWISKHZJBYCQEW-UHFFFAOYSA-N 0 0 443.530 -0.780 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1C[C@H](C)C[C@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000775141825 1074314295 /nfs/dbraw/zinc/31/42/95/1074314295.db2.gz IFBZAOYUMVUGRV-IYKVGLELSA-N 0 0 428.442 -0.577 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1CC(C)C[C@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000775141835 1074314175 /nfs/dbraw/zinc/31/41/75/1074314175.db2.gz IFBZAOYUMVUGRV-NNYUYHANSA-N 0 0 428.442 -0.577 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1C[C@@H](C)C[C@@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000775141842 1074314186 /nfs/dbraw/zinc/31/41/86/1074314186.db2.gz IFBZAOYUMVUGRV-UHFZAUJKSA-N 0 0 428.442 -0.577 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@H]1C[C@H](C)C[C@H]1C(=O)O[C@@H](C)C(=O)NC(=O)NC ZINC000775141850 1074314199 /nfs/dbraw/zinc/31/41/99/1074314199.db2.gz IFBZAOYUMVUGRV-ZGIVBDMPSA-N 0 0 428.442 -0.577 20 0 IBADRN CC1C[C@H](C(=O)OCC(=O)N2CCOCC2)[C@@H](C(=O)OCC(=O)N2CCOCC2)C1 ZINC000775142609 1074314285 /nfs/dbraw/zinc/31/42/85/1074314285.db2.gz NBXGNFJPFUBDPG-HOTGVXAUSA-N 0 0 426.466 -0.547 20 0 IBADRN CC1C[C@@H](C(=O)OCC(=O)N2CCOCC2)[C@H](C(=O)OCC(=O)N2CCOCC2)C1 ZINC000775142610 1074314274 /nfs/dbraw/zinc/31/42/74/1074314274.db2.gz NBXGNFJPFUBDPG-HZPDHXFCSA-N 0 0 426.466 -0.547 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)OCC(=O)N2CCOCC2)[C@@H](C(=O)OCC(=O)N2CCOCC2)C1 ZINC000775142611 1074314106 /nfs/dbraw/zinc/31/41/06/1074314106.db2.gz NBXGNFJPFUBDPG-PHZGNYQRSA-N 0 0 426.466 -0.547 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@@H]1C[C@@H](C)C[C@@H]1C(=O)OCC(=O)NC(=O)NCC ZINC000775143306 1074314566 /nfs/dbraw/zinc/31/45/66/1074314566.db2.gz PHZLSEZCCXHUTQ-CNDDSTCGSA-N 0 0 428.442 -0.574 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@H]1CC(C)C[C@@H]1C(=O)OCC(=O)NC(=O)NCC ZINC000775143307 1074314593 /nfs/dbraw/zinc/31/45/93/1074314593.db2.gz PHZLSEZCCXHUTQ-RYUDHWBXSA-N 0 0 428.442 -0.574 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@@H]1CC(C)C[C@H]1C(=O)OCC(=O)NC(=O)NCC ZINC000775143308 1074314547 /nfs/dbraw/zinc/31/45/47/1074314547.db2.gz PHZLSEZCCXHUTQ-VXGBXAGGSA-N 0 0 428.442 -0.574 20 0 IBADRN O=C(NC[C@H]1CC1(Cl)Cl)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000775147390 1074314794 /nfs/dbraw/zinc/31/47/94/1074314794.db2.gz IYXDITWWWOMHMS-NEPJUHHUSA-N 0 0 428.338 -0.051 20 0 IBADRN O=C(NC[C@@H]1CC1(Cl)Cl)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000775147391 1074314759 /nfs/dbraw/zinc/31/47/59/1074314759.db2.gz IYXDITWWWOMHMS-NWDGAFQWSA-N 0 0 428.338 -0.051 20 0 IBADRN O=C(NC[C@@H]1CC1(Cl)Cl)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000775147392 1074314828 /nfs/dbraw/zinc/31/48/28/1074314828.db2.gz IYXDITWWWOMHMS-RYUDHWBXSA-N 0 0 428.338 -0.051 20 0 IBADRN O=C(NC[C@H]1CC1(Cl)Cl)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000775147393 1074314799 /nfs/dbraw/zinc/31/47/99/1074314799.db2.gz IYXDITWWWOMHMS-VXGBXAGGSA-N 0 0 428.338 -0.051 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CC3(Cl)Cl)CC2)cn1C ZINC000775162041 1074318843 /nfs/dbraw/zinc/31/88/43/1074318843.db2.gz CQYHKUUEVPQCRT-LLVKDONJSA-N 0 0 438.337 -0.129 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CC3(Cl)Cl)CC2)cn1C ZINC000775162069 1074318876 /nfs/dbraw/zinc/31/88/76/1074318876.db2.gz CQYHKUUEVPQCRT-NSHDSACASA-N 0 0 438.337 -0.129 20 0 IBADRN O=C(CCNC(=O)C(=O)NC[C@H]1CC1(Cl)Cl)N1CCN(c2ncccn2)CC1 ZINC000775168602 1074318827 /nfs/dbraw/zinc/31/88/27/1074318827.db2.gz ZXNTYCMTWCCZIK-GFCCVEGCSA-N 0 0 429.308 -0.059 20 0 IBADRN O=C(CCNC(=O)C(=O)NC[C@@H]1CC1(Cl)Cl)N1CCN(c2ncccn2)CC1 ZINC000775168708 1074318903 /nfs/dbraw/zinc/31/89/03/1074318903.db2.gz ZXNTYCMTWCCZIK-LBPRGKRZSA-N 0 0 429.308 -0.059 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000775196762 1074320712 /nfs/dbraw/zinc/32/07/12/1074320712.db2.gz NYJGZXRTHRHZRO-DZGCQCFKSA-N 0 0 442.494 -0.441 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000775196763 1074320625 /nfs/dbraw/zinc/32/06/25/1074320625.db2.gz NYJGZXRTHRHZRO-HIFRSBDPSA-N 0 0 442.494 -0.441 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)cc1 ZINC000775196764 1074320746 /nfs/dbraw/zinc/32/07/46/1074320746.db2.gz NYJGZXRTHRHZRO-UKRRQHHQSA-N 0 0 442.494 -0.441 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)cc1 ZINC000775196765 1074320667 /nfs/dbraw/zinc/32/06/67/1074320667.db2.gz NYJGZXRTHRHZRO-ZFWWWQNUSA-N 0 0 442.494 -0.441 20 0 IBADRN O=C(NC[C@@H]1CC1(Cl)Cl)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000775197849 1074320686 /nfs/dbraw/zinc/32/06/86/1074320686.db2.gz QTSVMVBIMQUBHW-JTQLQIEISA-N 0 0 425.294 -0.041 20 0 IBADRN O=C(NC[C@H]1CC1(Cl)Cl)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000775197855 1074320634 /nfs/dbraw/zinc/32/06/34/1074320634.db2.gz QTSVMVBIMQUBHW-SNVBAGLBSA-N 0 0 425.294 -0.041 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2C)CC1 ZINC000775203523 1074321035 /nfs/dbraw/zinc/32/10/35/1074321035.db2.gz VFYIFROZMRTOFL-UHFFFAOYSA-N 0 0 427.527 -0.768 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)[C@H]1CCCN1C(=O)C(F)(F)F ZINC000775207423 1074321148 /nfs/dbraw/zinc/32/11/48/1074321148.db2.gz FJWFGNMWEHEVSO-GFCCVEGCSA-N 0 0 448.398 -0.378 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC000775207424 1074321194 /nfs/dbraw/zinc/32/11/94/1074321194.db2.gz FJWFGNMWEHEVSO-LBPRGKRZSA-N 0 0 448.398 -0.378 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@@H](C)C1 ZINC000775209313 1074322169 /nfs/dbraw/zinc/32/21/69/1074322169.db2.gz PODSYWSCLCAOBH-KBPBESRZSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@H](C)C1 ZINC000775209314 1074322076 /nfs/dbraw/zinc/32/20/76/1074322076.db2.gz PODSYWSCLCAOBH-KGLIPLIRSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@@H](C)C1 ZINC000775209315 1074322034 /nfs/dbraw/zinc/32/20/34/1074322034.db2.gz PODSYWSCLCAOBH-UONOGXRCSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@H](C)C1 ZINC000775209316 1074322153 /nfs/dbraw/zinc/32/21/53/1074322153.db2.gz PODSYWSCLCAOBH-ZIAGYGMSSA-N 0 0 428.511 -0.752 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000775220744 1074322383 /nfs/dbraw/zinc/32/23/83/1074322383.db2.gz APIRCBYFONUPQF-UHFFFAOYSA-N 0 0 435.506 -0.584 20 0 IBADRN CCc1cc(CNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)[nH]n1 ZINC000775220755 1074322339 /nfs/dbraw/zinc/32/23/39/1074322339.db2.gz AQHCWOFBYKEFGN-UHFFFAOYSA-N 0 0 435.506 -0.074 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000775220757 1074322392 /nfs/dbraw/zinc/32/23/92/1074322392.db2.gz AVDPTAOLCVAPAP-AWEZNQCLSA-N 0 0 438.506 -0.987 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000775220761 1074322377 /nfs/dbraw/zinc/32/23/77/1074322377.db2.gz AVDPTAOLCVAPAP-CQSZACIVSA-N 0 0 438.506 -0.987 20 0 IBADRN CC1(CCNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)OCCO1 ZINC000775220775 1074322416 /nfs/dbraw/zinc/32/24/16/1074322416.db2.gz BDQIYDZMDNERBL-UHFFFAOYSA-N 0 0 441.506 -0.407 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC2(C1)OCCO2 ZINC000775220908 1074322150 /nfs/dbraw/zinc/32/21/50/1074322150.db2.gz DCILBOXDLFJOQZ-UHFFFAOYSA-N 0 0 439.490 -0.701 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CO1 ZINC000775223453 1074322475 /nfs/dbraw/zinc/32/24/75/1074322475.db2.gz RXWHCHOWEJFQOB-UHFFFAOYSA-N 0 0 441.506 -0.409 20 0 IBADRN O=C(NCCOc1cccnc1)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000775224139 1074322818 /nfs/dbraw/zinc/32/28/18/1074322818.db2.gz VUIPTJBOLQGYEL-UHFFFAOYSA-N 0 0 448.501 -0.086 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000775224546 1074322810 /nfs/dbraw/zinc/32/28/10/1074322810.db2.gz ZAOATHFSXWHYSX-HHHXNRCGSA-N 0 0 431.536 -0.792 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000775224592 1074322969 /nfs/dbraw/zinc/32/29/69/1074322969.db2.gz ZAOATHFSXWHYSX-MHZLTWQESA-N 0 0 431.536 -0.792 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2C[C@H](O)C[C@H]2CO)c1 ZINC000775395382 1074331594 /nfs/dbraw/zinc/33/15/94/1074331594.db2.gz XEODHFKIWJBQLK-WDEREUQCSA-N 0 0 437.312 -0.059 20 0 IBADRN COCc1nc(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)no1 ZINC000775395826 1074331436 /nfs/dbraw/zinc/33/14/36/1074331436.db2.gz BOJLMAACDVXKLO-UHFFFAOYSA-N 0 0 445.498 -0.077 20 0 IBADRN COCc1nc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)no1 ZINC000775412432 1074333240 /nfs/dbraw/zinc/33/32/40/1074333240.db2.gz YCHQGKPPSXIUJM-UHFFFAOYSA-N 0 0 448.479 -0.250 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1)c(=O)n2C ZINC000775418138 1074333112 /nfs/dbraw/zinc/33/31/12/1074333112.db2.gz CAGOQRISOXUMFC-GFCCVEGCSA-N 0 0 436.469 -0.814 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)c(=O)n2C ZINC000775418139 1074333142 /nfs/dbraw/zinc/33/31/42/1074333142.db2.gz CAGOQRISOXUMFC-LBPRGKRZSA-N 0 0 436.469 -0.814 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCO[C@H](CNC(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000775419816 1074333426 /nfs/dbraw/zinc/33/34/26/1074333426.db2.gz IQMAOSUHUBGWHN-GFCCVEGCSA-N 0 0 436.469 -0.814 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCO[C@@H](CNC(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000775419822 1074333548 /nfs/dbraw/zinc/33/35/48/1074333548.db2.gz IQMAOSUHUBGWHN-LBPRGKRZSA-N 0 0 436.469 -0.814 20 0 IBADRN Cn1c(C(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000775425719 1074333901 /nfs/dbraw/zinc/33/39/01/1074333901.db2.gz CFJRSQKOAHTFFI-GFCCVEGCSA-N 0 0 435.481 -0.059 20 0 IBADRN Cn1c(C(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)cc2c1n(C)c(=O)n(C)c2=O ZINC000775425720 1074334006 /nfs/dbraw/zinc/33/40/06/1074334006.db2.gz CFJRSQKOAHTFFI-LBPRGKRZSA-N 0 0 435.481 -0.059 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCO[C@H](CNC(=O)OC(C)(C)C)C3)nc2n(C)c1=O ZINC000775427432 1074334339 /nfs/dbraw/zinc/33/43/39/1074334339.db2.gz KBCTULCXPLENIR-GFCCVEGCSA-N 0 0 433.465 -0.002 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCO[C@@H](CNC(=O)OC(C)(C)C)C3)nc2n(C)c1=O ZINC000775427438 1074334478 /nfs/dbraw/zinc/33/44/78/1074334478.db2.gz KBCTULCXPLENIR-LBPRGKRZSA-N 0 0 433.465 -0.002 20 0 IBADRN CN1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000775427913 1074334500 /nfs/dbraw/zinc/33/45/00/1074334500.db2.gz WIIRWXOVXBUBFD-HNNXBMFYSA-N 0 0 449.574 -0.501 20 0 IBADRN CN1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000775427926 1074334288 /nfs/dbraw/zinc/33/42/88/1074334288.db2.gz WIIRWXOVXBUBFD-OAHLLOKOSA-N 0 0 449.574 -0.501 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCO[C@H](CNC(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000775429677 1074334877 /nfs/dbraw/zinc/33/48/77/1074334877.db2.gz XUVVJYLHIAWDRU-CYBMUJFWSA-N 0 0 433.465 -0.002 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N3CCO[C@@H](CNC(=O)OC(C)(C)C)C3)cnc2n(C)c1=O ZINC000775429679 1074334849 /nfs/dbraw/zinc/33/48/49/1074334849.db2.gz XUVVJYLHIAWDRU-ZDUSSCGKSA-N 0 0 433.465 -0.002 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2C[C@@H](O)C[C@H]2CO)c1 ZINC000775456526 1074336422 /nfs/dbraw/zinc/33/64/22/1074336422.db2.gz XEODHFKIWJBQLK-QWRGUYRKSA-N 0 0 437.312 -0.059 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@H]1CCCCN1C(=O)c1cn(CC(N)=O)nn1 ZINC000775464454 1074337146 /nfs/dbraw/zinc/33/71/46/1074337146.db2.gz NENDSXALBFERDA-CYBMUJFWSA-N 0 0 437.501 -0.211 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@@H]1CCCCN1C(=O)c1cn(CC(N)=O)nn1 ZINC000775464457 1074337057 /nfs/dbraw/zinc/33/70/57/1074337057.db2.gz NENDSXALBFERDA-ZDUSSCGKSA-N 0 0 437.501 -0.211 20 0 IBADRN Cc1nc(NCCCN2CCCS2(=O)=O)nc(NCCCN2CCCS2(=O)=O)n1 ZINC000775464654 1074337171 /nfs/dbraw/zinc/33/71/71/1074337171.db2.gz PINNIGNVUALFTD-UHFFFAOYSA-N 0 0 447.587 -0.145 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@H]1CCCCN1C(=O)C[C@@H]1NC(=O)NC1=O ZINC000775465975 1074336725 /nfs/dbraw/zinc/33/67/25/1074336725.db2.gz RNTVKMLYPJOXMM-OLZOCXBDSA-N 0 0 425.486 -0.003 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@@H]1CCCCN1C(=O)C[C@@H]1NC(=O)NC1=O ZINC000775465986 1074336851 /nfs/dbraw/zinc/33/68/51/1074336851.db2.gz RNTVKMLYPJOXMM-STQMWFEESA-N 0 0 425.486 -0.003 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000775467039 1074337467 /nfs/dbraw/zinc/33/74/67/1074337467.db2.gz VQQNCGVXTFXCHT-UHFFFAOYSA-N 0 0 428.540 -0.148 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@H]1CCCCN1C(=O)CN1CC(=O)NC1=O ZINC000775468462 1074337418 /nfs/dbraw/zinc/33/74/18/1074337418.db2.gz UYNMVWSKYIJROT-CYBMUJFWSA-N 0 0 425.486 -0.050 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@@H]1CCCCN1C(=O)CN1CC(=O)NC1=O ZINC000775468463 1074337505 /nfs/dbraw/zinc/33/75/05/1074337505.db2.gz UYNMVWSKYIJROT-ZDUSSCGKSA-N 0 0 425.486 -0.050 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)N1CCCNC(=O)C1 ZINC000775470462 1074337543 /nfs/dbraw/zinc/33/75/43/1074337543.db2.gz KSFIYKFRJNWGTO-CYBMUJFWSA-N 0 0 430.508 -0.494 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)N1CCCNC(=O)C1 ZINC000775470464 1074337482 /nfs/dbraw/zinc/33/74/82/1074337482.db2.gz KSFIYKFRJNWGTO-ZDUSSCGKSA-N 0 0 430.508 -0.494 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000775470977 1074337549 /nfs/dbraw/zinc/33/75/49/1074337549.db2.gz OBUBYRDUUZYJLK-UHFFFAOYSA-N 0 0 438.470 -0.769 20 0 IBADRN Cc1nc(N2CCC[C@H](CS(N)(=O)=O)C2)nc(N2CCC[C@H](CS(N)(=O)=O)C2)n1 ZINC000775477190 1074337835 /nfs/dbraw/zinc/33/78/35/1074337835.db2.gz HDAMZBLVKKTYOZ-KBPBESRZSA-N 0 0 447.587 -0.810 20 0 IBADRN Cc1nc(N2CCC[C@@H](CS(N)(=O)=O)C2)nc(N2CCC[C@H](CS(N)(=O)=O)C2)n1 ZINC000775477191 1074337927 /nfs/dbraw/zinc/33/79/27/1074337927.db2.gz HDAMZBLVKKTYOZ-OKILXGFUSA-N 0 0 447.587 -0.810 20 0 IBADRN Cc1nc(N2CCC[C@@H](CS(N)(=O)=O)C2)nc(N2CCC[C@@H](CS(N)(=O)=O)C2)n1 ZINC000775477192 1074337799 /nfs/dbraw/zinc/33/77/99/1074337799.db2.gz HDAMZBLVKKTYOZ-ZIAGYGMSSA-N 0 0 447.587 -0.810 20 0 IBADRN Cc1nc(N2CCN(CC[S@@](C)=O)CC2)nc(N2CCN(CC[S@@](C)=O)CC2)n1 ZINC000775481327 1074338582 /nfs/dbraw/zinc/33/85/82/1074338582.db2.gz UORSVDRNGGPVLH-FQLXRVMXSA-N 0 0 443.643 -0.819 20 0 IBADRN Cc1nc(N2CCN(CC[S@](C)=O)CC2)nc(N2CCN(CC[S@@](C)=O)CC2)n1 ZINC000775481340 1074338448 /nfs/dbraw/zinc/33/84/48/1074338448.db2.gz UORSVDRNGGPVLH-ISILISOKSA-N 0 0 443.643 -0.819 20 0 IBADRN Cc1nc(N2CCN(CC[S@](C)=O)CC2)nc(N2CCN(CC[S@](C)=O)CC2)n1 ZINC000775481342 1074338460 /nfs/dbraw/zinc/33/84/60/1074338460.db2.gz UORSVDRNGGPVLH-VMPREFPWSA-N 0 0 443.643 -0.819 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@@H]3CC[C@H](C(=O)N(C)C)O3)cc2S1(=O)=O ZINC000775498545 1074340581 /nfs/dbraw/zinc/34/05/81/1074340581.db2.gz QEGRHJOADXTXPP-DZGCQCFKSA-N 0 0 439.490 -0.157 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@H]3CC[C@@H](C(=O)N(C)C)O3)cc2S1(=O)=O ZINC000775498550 1074340725 /nfs/dbraw/zinc/34/07/25/1074340725.db2.gz QEGRHJOADXTXPP-HIFRSBDPSA-N 0 0 439.490 -0.157 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@H]3CC[C@H](C(=O)N(C)C)O3)cc2S1(=O)=O ZINC000775498551 1074340570 /nfs/dbraw/zinc/34/05/70/1074340570.db2.gz QEGRHJOADXTXPP-UKRRQHHQSA-N 0 0 439.490 -0.157 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@@H]3CC[C@@H](C(=O)N(C)C)O3)cc2S1(=O)=O ZINC000775498552 1074340534 /nfs/dbraw/zinc/34/05/34/1074340534.db2.gz QEGRHJOADXTXPP-ZFWWWQNUSA-N 0 0 439.490 -0.157 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000775513551 1074340559 /nfs/dbraw/zinc/34/05/59/1074340559.db2.gz QZGGYKDYFVVCDE-UHFFFAOYSA-N 0 0 447.887 -0.361 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)CC1 ZINC000775521800 1074341360 /nfs/dbraw/zinc/34/13/60/1074341360.db2.gz HUFGSPXGIWRRMK-UHFFFAOYSA-N 0 0 436.893 -0.353 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)C1 ZINC000775523727 1074341375 /nfs/dbraw/zinc/34/13/75/1074341375.db2.gz NMEBMYMWYZQPCJ-MRVPVSSYSA-N 0 0 428.895 -0.228 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)C1 ZINC000775523729 1074341345 /nfs/dbraw/zinc/34/13/45/1074341345.db2.gz NMEBMYMWYZQPCJ-QMMMGPOBSA-N 0 0 428.895 -0.228 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)CC1)N1CCOCC1 ZINC000775526113 1074341559 /nfs/dbraw/zinc/34/15/59/1074341559.db2.gz FOLPATBUEJPIGK-UHFFFAOYSA-N 0 0 445.476 -0.415 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccn(Cc3ccccn3)n2)CC1)N1CCOCC1 ZINC000775527878 1074341941 /nfs/dbraw/zinc/34/19/41/1074341941.db2.gz VPHORZMWCGJOLS-UHFFFAOYSA-N 0 0 441.492 -0.732 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1cccc(C(=O)NCCS(=O)(=O)NCC)c1 ZINC000775537243 1074341931 /nfs/dbraw/zinc/34/19/31/1074341931.db2.gz MBAHOVCLDPNZGM-UHFFFAOYSA-N 0 0 434.540 -0.975 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc(F)c1F ZINC000775578340 1074345198 /nfs/dbraw/zinc/34/51/98/1074345198.db2.gz HJRXTOMGMBELRL-SECBINFHSA-N 0 0 427.451 -0.055 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc(F)c1F ZINC000775578350 1074345076 /nfs/dbraw/zinc/34/50/76/1074345076.db2.gz HJRXTOMGMBELRL-VIFPVBQESA-N 0 0 427.451 -0.055 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1 ZINC000775578385 1074345178 /nfs/dbraw/zinc/34/51/78/1074345178.db2.gz IQRYHPNBQWLJCY-JTQLQIEISA-N 0 0 445.972 -0.063 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1 ZINC000775578390 1074345383 /nfs/dbraw/zinc/34/53/83/1074345383.db2.gz IQRYHPNBQWLJCY-SNVBAGLBSA-N 0 0 445.972 -0.063 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000775578817 1074345367 /nfs/dbraw/zinc/34/53/67/1074345367.db2.gz OECBJFCKADYLGF-CYBMUJFWSA-N 0 0 440.565 -0.058 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000775578819 1074345562 /nfs/dbraw/zinc/34/55/62/1074345562.db2.gz OECBJFCKADYLGF-ZDUSSCGKSA-N 0 0 440.565 -0.058 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c1F ZINC000775579379 1074345536 /nfs/dbraw/zinc/34/55/36/1074345536.db2.gz SEBWWJSXRJQFEE-SECBINFHSA-N 0 0 427.451 -0.055 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c1F ZINC000775579381 1074345487 /nfs/dbraw/zinc/34/54/87/1074345487.db2.gz SEBWWJSXRJQFEE-VIFPVBQESA-N 0 0 427.451 -0.055 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000775579975 1074345874 /nfs/dbraw/zinc/34/58/74/1074345874.db2.gz DECNERBIKUZGGQ-HNNXBMFYSA-N 0 0 440.565 -0.058 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000775579985 1074345551 /nfs/dbraw/zinc/34/55/51/1074345551.db2.gz DECNERBIKUZGGQ-OAHLLOKOSA-N 0 0 440.565 -0.058 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)ccc1F ZINC000775581462 1074347205 /nfs/dbraw/zinc/34/72/05/1074347205.db2.gz YMBQQBAXIXCPNX-JTQLQIEISA-N 0 0 429.517 -0.577 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)ccc1F ZINC000775581464 1074347191 /nfs/dbraw/zinc/34/71/91/1074347191.db2.gz YMBQQBAXIXCPNX-SNVBAGLBSA-N 0 0 429.517 -0.577 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000775582641 1074346995 /nfs/dbraw/zinc/34/69/95/1074346995.db2.gz HXHQPIQJBSDFHL-PLNGDYQASA-N 0 0 428.463 -0.285 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000775582642 1074347090 /nfs/dbraw/zinc/34/70/90/1074347090.db2.gz HXHQPIQJBSDFHL-SNAWJCMRSA-N 0 0 428.463 -0.285 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N2CCN(C(=O)CO)CC2)c1 ZINC000775583489 1074347199 /nfs/dbraw/zinc/34/71/99/1074347199.db2.gz XSOFOLYNJBMCJP-UHFFFAOYSA-N 0 0 441.325 -0.322 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)c1OC ZINC000775583535 1074347134 /nfs/dbraw/zinc/34/71/34/1074347134.db2.gz JTQWOUBVXTXOOE-UHFFFAOYSA-N 0 0 430.479 0.000 20 0 IBADRN O=C(NCCO)C1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC000775583604 1074347494 /nfs/dbraw/zinc/34/74/94/1074347494.db2.gz MONBEIFPQUIYCB-UHFFFAOYSA-N 0 0 431.536 -0.364 20 0 IBADRN O=C(NCCO)C1CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC000775583637 1074347170 /nfs/dbraw/zinc/34/71/70/1074347170.db2.gz NSXDPWQGSMTMOA-UHFFFAOYSA-N 0 0 445.563 -0.020 20 0 IBADRN O=C(NCCO)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000775583999 1074347182 /nfs/dbraw/zinc/34/71/82/1074347182.db2.gz UVGSWUHFILPAIF-UHFFFAOYSA-N 0 0 445.563 -0.020 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)c1 ZINC000775584423 1074347628 /nfs/dbraw/zinc/34/76/28/1074347628.db2.gz AFSDADICJKDLLX-UHFFFAOYSA-N 0 0 428.463 -0.231 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)c1 ZINC000775584696 1074347564 /nfs/dbraw/zinc/34/75/64/1074347564.db2.gz GAWWYHKMZNBJDV-UHFFFAOYSA-N 0 0 428.463 -0.231 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000775584756 1074347470 /nfs/dbraw/zinc/34/74/70/1074347470.db2.gz AFJXOTLVGRCXJP-UHFFFAOYSA-N 0 0 437.482 -0.527 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)c(OC)c1 ZINC000775584965 1074347604 /nfs/dbraw/zinc/34/76/04/1074347604.db2.gz KVYKSVIQYBGIKH-UHFFFAOYSA-N 0 0 449.551 -0.034 20 0 IBADRN O=C(NCCO)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC000775586882 1074347646 /nfs/dbraw/zinc/34/76/46/1074347646.db2.gz ZIUVIWRAPLBPKK-UHFFFAOYSA-N 0 0 431.536 -0.364 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(Br)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000775606002 1074358408 /nfs/dbraw/zinc/35/84/08/1074358408.db2.gz NMPBERBSWITDDC-GFCCVEGCSA-N 0 0 445.339 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(Br)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000775606004 1074358344 /nfs/dbraw/zinc/35/83/44/1074358344.db2.gz NMPBERBSWITDDC-LBPRGKRZSA-N 0 0 445.339 -0.095 20 0 IBADRN COC(CN(CCCO)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)OC ZINC000775617223 1074361105 /nfs/dbraw/zinc/36/11/05/1074361105.db2.gz JYVQUAAQDUPFTP-UHFFFAOYSA-N 0 0 445.542 -0.002 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cn(Cc3ccccc3)nn2)CC1 ZINC000775621109 1074361639 /nfs/dbraw/zinc/36/16/39/1074361639.db2.gz WDAUUQWBGJWFSW-GOSISDBHSA-N 0 0 439.563 -0.039 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cn(Cc3ccccc3)nn2)CC1 ZINC000775621110 1074362218 /nfs/dbraw/zinc/36/22/18/1074362218.db2.gz WDAUUQWBGJWFSW-SFHVURJKSA-N 0 0 439.563 -0.039 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000775623140 1074362147 /nfs/dbraw/zinc/36/21/47/1074362147.db2.gz DHZNXKCZOJBKCY-PMERELPUSA-N 0 0 435.510 -0.056 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000775623141 1074362189 /nfs/dbraw/zinc/36/21/89/1074362189.db2.gz DHZNXKCZOJBKCY-SSEXGKCCSA-N 0 0 435.510 -0.056 20 0 IBADRN O=C(CN1CCN(Cc2cn(Cc3ccccc3)nn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000775625566 1074362713 /nfs/dbraw/zinc/36/27/13/1074362713.db2.gz DCCYYXIWWOFIML-GOSISDBHSA-N 0 0 432.550 -0.253 20 0 IBADRN O=C(CN1CCN(Cc2cn(Cc3ccccc3)nn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000775625567 1074362663 /nfs/dbraw/zinc/36/26/63/1074362663.db2.gz DCCYYXIWWOFIML-SFHVURJKSA-N 0 0 432.550 -0.253 20 0 IBADRN CCCNS(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000775641094 1074364733 /nfs/dbraw/zinc/36/47/33/1074364733.db2.gz FVTQKWHGDGLFLP-UHFFFAOYSA-N 0 0 436.498 -0.262 20 0 IBADRN COC(=O)c1ccccc1CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000775664735 1074370477 /nfs/dbraw/zinc/37/04/77/1074370477.db2.gz VBQQPSAPCKDRQS-HNNXBMFYSA-N 0 0 444.531 -0.323 20 0 IBADRN COC(=O)c1ccccc1CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000775664739 1074370404 /nfs/dbraw/zinc/37/04/04/1074370404.db2.gz VBQQPSAPCKDRQS-OAHLLOKOSA-N 0 0 444.531 -0.323 20 0 IBADRN Cn1cc(S(=O)(=O)NC2CCN(CC(N)=O)CC2)cc1C(=O)NCC(F)(F)F ZINC000775685942 1074374944 /nfs/dbraw/zinc/37/49/44/1074374944.db2.gz APPPHZAZCPIUNM-UHFFFAOYSA-N 0 0 425.433 -0.455 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1ccc(C[C@@H]2NC(=O)NC2=O)cc1 ZINC000775702917 1074376299 /nfs/dbraw/zinc/37/62/99/1074376299.db2.gz MRDPMGVLJBFCAW-AWEZNQCLSA-N 0 0 425.467 -0.779 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1ccc(C[C@H]2NC(=O)NC2=O)cc1 ZINC000775702924 1074376900 /nfs/dbraw/zinc/37/69/00/1074376900.db2.gz MRDPMGVLJBFCAW-CQSZACIVSA-N 0 0 425.467 -0.779 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOCCS(C)(=O)=O)cc1C(=O)NCC(F)(F)F ZINC000775711121 1074377343 /nfs/dbraw/zinc/37/73/43/1074377343.db2.gz UIQRXLXRTPXXJW-UHFFFAOYSA-N 0 0 435.446 -0.343 20 0 IBADRN CC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1Br ZINC000775716760 1074378352 /nfs/dbraw/zinc/37/83/52/1074378352.db2.gz NNPFSYJWEZONAW-JTQLQIEISA-N 0 0 430.280 -0.017 20 0 IBADRN CC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1Br ZINC000775716761 1074378445 /nfs/dbraw/zinc/37/84/45/1074378445.db2.gz NNPFSYJWEZONAW-SNVBAGLBSA-N 0 0 430.280 -0.017 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3COC4(CCCCC4)O3)CC2)CC1 ZINC000775758454 1074382474 /nfs/dbraw/zinc/38/24/74/1074382474.db2.gz DIUFFBWREGGUAG-KRWDZBQOSA-N 0 0 445.586 -0.118 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3COC4(CCCCC4)O3)CC2)CC1 ZINC000775758871 1074382222 /nfs/dbraw/zinc/38/22/22/1074382222.db2.gz DIUFFBWREGGUAG-QGZVFWFLSA-N 0 0 445.586 -0.118 20 0 IBADRN O=C(NC[C@H]1COC2(CCCCC2)O1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000775760744 1074382750 /nfs/dbraw/zinc/38/27/50/1074382750.db2.gz KJDCEHGBNHYOOU-INIZCTEOSA-N 0 0 432.543 -0.034 20 0 IBADRN O=C(NC[C@@H]1COC2(CCCCC2)O1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000775760751 1074382710 /nfs/dbraw/zinc/38/27/10/1074382710.db2.gz KJDCEHGBNHYOOU-MRXNPFEDSA-N 0 0 432.543 -0.034 20 0 IBADRN COc1ncc(/C=C\C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000775782077 1074387597 /nfs/dbraw/zinc/38/75/97/1074387597.db2.gz APFMTNIYYBZNCD-OQPBWIOBSA-N 0 0 425.511 -0.003 20 0 IBADRN COc1ncc(/C=C\C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000775782081 1074387618 /nfs/dbraw/zinc/38/76/18/1074387618.db2.gz APFMTNIYYBZNCD-VQGNRHNMSA-N 0 0 425.511 -0.003 20 0 IBADRN COc1ncc(/C=C/C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000775782083 1074387548 /nfs/dbraw/zinc/38/75/48/1074387548.db2.gz APFMTNIYYBZNCD-WFVIONGDSA-N 0 0 425.511 -0.003 20 0 IBADRN COc1ncc(/C=C/C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cn1 ZINC000775782087 1074387535 /nfs/dbraw/zinc/38/75/35/1074387535.db2.gz APFMTNIYYBZNCD-ZTXBFCOASA-N 0 0 425.511 -0.003 20 0 IBADRN C[C@H](O)c1cn(CC(=O)N(C)[C@@H]2CCCC[C@@H]2N(C)C(=O)Cn2cc([C@H](C)O)nn2)nn1 ZINC000775784639 1074387623 /nfs/dbraw/zinc/38/76/23/1074387623.db2.gz SQJOKKXXYTXFRW-DFEHZGFQSA-N 0 0 448.528 -0.096 20 0 IBADRN C[C@H](O)c1cn(CC(=O)N(C)[C@@H]2CCCC[C@H]2N(C)C(=O)Cn2cc([C@H](C)O)nn2)nn1 ZINC000775784648 1074387587 /nfs/dbraw/zinc/38/75/87/1074387587.db2.gz SQJOKKXXYTXFRW-LBTBCDHLSA-N 0 0 448.528 -0.096 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)N(C)[C@@H]2CCCC[C@H]2N(C)C(=O)Cn2cc([C@H](C)O)nn2)nn1 ZINC000775784650 1074387722 /nfs/dbraw/zinc/38/77/22/1074387722.db2.gz SQJOKKXXYTXFRW-LTCOOKNTSA-N 0 0 448.528 -0.096 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)N(C)[C@H]2CCCC[C@H]2N(C)C(=O)Cn2cc([C@H](C)O)nn2)nn1 ZINC000775784652 1074387570 /nfs/dbraw/zinc/38/75/70/1074387570.db2.gz SQJOKKXXYTXFRW-PURYLZLUSA-N 0 0 448.528 -0.096 20 0 IBADRN COc1ncc(/C=C/C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000775800509 1074389053 /nfs/dbraw/zinc/38/90/53/1074389053.db2.gz SZLQKLWZSQZDFZ-BAABZTOOSA-N 0 0 430.508 -0.841 20 0 IBADRN COc1ncc(/C=C/C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000775800510 1074388898 /nfs/dbraw/zinc/38/88/98/1074388898.db2.gz SZLQKLWZSQZDFZ-HSWBROFVSA-N 0 0 430.508 -0.841 20 0 IBADRN COc1ncc(/C=C\C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000775800511 1074389034 /nfs/dbraw/zinc/38/90/34/1074389034.db2.gz SZLQKLWZSQZDFZ-PYLYLYNFSA-N 0 0 430.508 -0.841 20 0 IBADRN COc1ncc(/C=C\C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000775800512 1074388843 /nfs/dbraw/zinc/38/88/43/1074388843.db2.gz SZLQKLWZSQZDFZ-SFAKSCPVSA-N 0 0 430.508 -0.841 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H](C)NC(N)=O)CC1 ZINC000775801499 1074388983 /nfs/dbraw/zinc/38/89/83/1074388983.db2.gz UOJBJGKSWOBGQX-LBPRGKRZSA-N 0 0 432.524 -0.712 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(F)(F)C(F)(F)C(=O)N(C)CCNC(=O)N(C)C ZINC000775810197 1074389806 /nfs/dbraw/zinc/38/98/06/1074389806.db2.gz INNKFWHTXJFZNG-UHFFFAOYSA-N 0 0 444.430 -0.284 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)C(=O)C1 ZINC000775819487 1074390241 /nfs/dbraw/zinc/39/02/41/1074390241.db2.gz JZIAGPIWCRZPCQ-AUUYWEPGSA-N 0 0 445.538 -0.082 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)C(=O)C1 ZINC000775819489 1074390419 /nfs/dbraw/zinc/39/04/19/1074390419.db2.gz JZIAGPIWCRZPCQ-IFXJQAMLSA-N 0 0 445.538 -0.082 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)C(=O)C1 ZINC000775819490 1074390407 /nfs/dbraw/zinc/39/04/07/1074390407.db2.gz JZIAGPIWCRZPCQ-KUHUBIRLSA-N 0 0 445.538 -0.082 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)C(=O)C1 ZINC000775819491 1074390447 /nfs/dbraw/zinc/39/04/47/1074390447.db2.gz JZIAGPIWCRZPCQ-LIRRHRJNSA-N 0 0 445.538 -0.082 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000775843440 1074391773 /nfs/dbraw/zinc/39/17/73/1074391773.db2.gz SORUWTWSOYTFLP-INIZCTEOSA-N 0 0 427.546 -0.556 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCO[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000775843441 1074392404 /nfs/dbraw/zinc/39/24/04/1074392404.db2.gz SORUWTWSOYTFLP-MRXNPFEDSA-N 0 0 427.546 -0.556 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000775846225 1074392862 /nfs/dbraw/zinc/39/28/62/1074392862.db2.gz PGIRTWJDNJGGGM-CVEARBPZSA-N 0 0 449.570 -0.126 20 0 IBADRN COCCN(C(=O)CN1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000775846230 1074392618 /nfs/dbraw/zinc/39/26/18/1074392618.db2.gz PGIRTWJDNJGGGM-HOTGVXAUSA-N 0 0 449.570 -0.126 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](CNC(=O)OC(C)(C)C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000775846232 1074392804 /nfs/dbraw/zinc/39/28/04/1074392804.db2.gz PGIRTWJDNJGGGM-HZPDHXFCSA-N 0 0 449.570 -0.126 20 0 IBADRN COCCN(C(=O)CN1CCO[C@H](CNC(=O)OC(C)(C)C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000775846420 1074392277 /nfs/dbraw/zinc/39/22/77/1074392277.db2.gz PGIRTWJDNJGGGM-JKSUJKDBSA-N 0 0 449.570 -0.126 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCO[C@H](CNC(=O)OC(C)(C)C)C2)c(=O)[nH]c1=O ZINC000775849837 1074393712 /nfs/dbraw/zinc/39/37/12/1074393712.db2.gz MLKYURVMBLMTFG-GFCCVEGCSA-N 0 0 441.485 -0.414 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)c(=O)[nH]c1=O ZINC000775849853 1074393627 /nfs/dbraw/zinc/39/36/27/1074393627.db2.gz MLKYURVMBLMTFG-LBPRGKRZSA-N 0 0 441.485 -0.414 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000775849854 1074393840 /nfs/dbraw/zinc/39/38/40/1074393840.db2.gz MNIVKHCNUISTFZ-NEPJUHHUSA-N 0 0 425.486 -0.537 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000775849857 1074393830 /nfs/dbraw/zinc/39/38/30/1074393830.db2.gz MNIVKHCNUISTFZ-NWDGAFQWSA-N 0 0 425.486 -0.537 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000775849859 1074393650 /nfs/dbraw/zinc/39/36/50/1074393650.db2.gz MNIVKHCNUISTFZ-RYUDHWBXSA-N 0 0 425.486 -0.537 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000775849861 1074393636 /nfs/dbraw/zinc/39/36/36/1074393636.db2.gz MNIVKHCNUISTFZ-VXGBXAGGSA-N 0 0 425.486 -0.537 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000775852537 1074393299 /nfs/dbraw/zinc/39/32/99/1074393299.db2.gz YUUOVGMYFMJYHQ-CHWSQXEVSA-N 0 0 434.515 -0.775 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC000775852538 1074393644 /nfs/dbraw/zinc/39/36/44/1074393644.db2.gz YUUOVGMYFMJYHQ-OLZOCXBDSA-N 0 0 434.515 -0.775 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000775852547 1074393683 /nfs/dbraw/zinc/39/36/83/1074393683.db2.gz YUUOVGMYFMJYHQ-QWHCGFSZSA-N 0 0 434.515 -0.775 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC000775852549 1074393814 /nfs/dbraw/zinc/39/38/14/1074393814.db2.gz YUUOVGMYFMJYHQ-STQMWFEESA-N 0 0 434.515 -0.775 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@@H]1CCCCN1CC(=O)N1CCNC(=O)C1 ZINC000775862890 1074394264 /nfs/dbraw/zinc/39/42/64/1074394264.db2.gz IIGZBBKOKCBZDJ-HNNXBMFYSA-N 0 0 425.530 -0.170 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@H]1CCCCN1CC(=O)N1CCNC(=O)C1 ZINC000775862893 1074394538 /nfs/dbraw/zinc/39/45/38/1074394538.db2.gz IIGZBBKOKCBZDJ-OAHLLOKOSA-N 0 0 425.530 -0.170 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)OCCNS(=O)(=O)c1cnn(C)c1 ZINC000775908744 1074395550 /nfs/dbraw/zinc/39/55/50/1074395550.db2.gz BIZXKKGHJKQSHE-UHFFFAOYSA-N 0 0 448.479 -0.780 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)OCC(=O)NC(=O)NCC(F)(F)F ZINC000775911098 1074395804 /nfs/dbraw/zinc/39/58/04/1074395804.db2.gz JGHPYVCLTZZNOS-UHFFFAOYSA-N 0 0 443.356 -0.104 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)OCC(=O)N1CCCC[C@H]1C(N)=O ZINC000775911700 1074395878 /nfs/dbraw/zinc/39/58/78/1074395878.db2.gz LPLLAECEILTAQB-JTQLQIEISA-N 0 0 429.451 -0.626 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)OCC(=O)N1CCCC[C@@H]1C(N)=O ZINC000775911744 1074395457 /nfs/dbraw/zinc/39/54/57/1074395457.db2.gz LPLLAECEILTAQB-SNVBAGLBSA-N 0 0 429.451 -0.626 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)OCC(=O)NCC(=O)NC(C)(C)C ZINC000775912861 1074395860 /nfs/dbraw/zinc/39/58/60/1074395860.db2.gz ZKTXZEXVNVKUMM-UHFFFAOYSA-N 0 0 431.467 -0.461 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000775913808 1074396478 /nfs/dbraw/zinc/39/64/78/1074396478.db2.gz PPWZYQHQEIAJOQ-JTQLQIEISA-N 0 0 443.478 -0.367 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000775913817 1074396538 /nfs/dbraw/zinc/39/65/38/1074396538.db2.gz PPWZYQHQEIAJOQ-SNVBAGLBSA-N 0 0 443.478 -0.367 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)O[C@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000775913969 1074396497 /nfs/dbraw/zinc/39/64/97/1074396497.db2.gz QMBQGHRFCNQBTP-GHMZBOCLSA-N 0 0 443.478 -0.380 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)O[C@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000775913972 1074396557 /nfs/dbraw/zinc/39/65/57/1074396557.db2.gz QMBQGHRFCNQBTP-MNOVXSKESA-N 0 0 443.478 -0.380 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)O[C@@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000775913974 1074396569 /nfs/dbraw/zinc/39/65/69/1074396569.db2.gz QMBQGHRFCNQBTP-QWRGUYRKSA-N 0 0 443.478 -0.380 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)O[C@@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000775913976 1074396284 /nfs/dbraw/zinc/39/62/84/1074396284.db2.gz QMBQGHRFCNQBTP-WDEREUQCSA-N 0 0 443.478 -0.380 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)O[C@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC000775915572 1074395820 /nfs/dbraw/zinc/39/58/20/1074395820.db2.gz CDKPYFZNBJUQHZ-LLVKDONJSA-N 0 0 443.478 -0.413 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)O[C@@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC000775915579 1074395830 /nfs/dbraw/zinc/39/58/30/1074395830.db2.gz CDKPYFZNBJUQHZ-NSHDSACASA-N 0 0 443.478 -0.413 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2c(Cl)cccc2S(C)(=O)=O)c(=O)n(C)c1=O ZINC000775916759 1074395943 /nfs/dbraw/zinc/39/59/43/1074395943.db2.gz PWFVLNKEQHTMJU-UHFFFAOYSA-N 0 0 429.838 -0.237 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2cccc(-n3cnnn3)c2)cc1 ZINC000775921244 1074397024 /nfs/dbraw/zinc/39/70/24/1074397024.db2.gz LLRKCOWJQFINPM-UHFFFAOYSA-N 0 0 430.446 -0.175 20 0 IBADRN COCCCOCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000775925179 1074396790 /nfs/dbraw/zinc/39/67/90/1074396790.db2.gz RPGKRZBHFHUBIG-UHFFFAOYSA-N 0 0 433.483 -0.279 20 0 IBADRN CCN(C)C(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000775951511 1074398866 /nfs/dbraw/zinc/39/88/66/1074398866.db2.gz IMSLWRDQTGNVCI-DDKJEQMHSA-N 0 0 445.563 -0.026 20 0 IBADRN CCN(C)C(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000775951512 1074399023 /nfs/dbraw/zinc/39/90/23/1074399023.db2.gz IMSLWRDQTGNVCI-ISBHARSQSA-N 0 0 445.563 -0.026 20 0 IBADRN CCN(C)C(=O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000775951513 1074398968 /nfs/dbraw/zinc/39/89/68/1074398968.db2.gz IMSLWRDQTGNVCI-IVOILVROSA-N 0 0 445.563 -0.026 20 0 IBADRN CCN(C)C(=O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000775951514 1074398854 /nfs/dbraw/zinc/39/88/54/1074398854.db2.gz IMSLWRDQTGNVCI-QSOAKEGCSA-N 0 0 445.563 -0.026 20 0 IBADRN Cc1sc2ncc(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)c(=O)n2c1C ZINC000775952356 1074399033 /nfs/dbraw/zinc/39/90/33/1074399033.db2.gz AMTJFJNENTZULR-UHFFFAOYSA-N 0 0 435.506 -0.238 20 0 IBADRN Cc1sc2ncc(C(=O)OCCn3cnc4c3c(=O)n(C)c(=O)n4C)c(=O)n2c1C ZINC000775954483 1074399375 /nfs/dbraw/zinc/39/93/75/1074399375.db2.gz PMXYBJPQYBFADO-UHFFFAOYSA-N 0 0 430.446 -0.023 20 0 IBADRN Cc1sc2ncc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c(=O)n2c1C ZINC000775955900 1074399878 /nfs/dbraw/zinc/39/98/78/1074399878.db2.gz YVCOBQGJJNHJHE-UHFFFAOYSA-N 0 0 430.508 -0.073 20 0 IBADRN O=C(Nc1ccnn1CC1CC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000776026819 1074403487 /nfs/dbraw/zinc/40/34/87/1074403487.db2.gz CSFNYECNZOUGBV-AWEZNQCLSA-N 0 0 431.540 -0.041 20 0 IBADRN O=C(Nc1ccnn1CC1CC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000776026822 1074403392 /nfs/dbraw/zinc/40/33/92/1074403392.db2.gz CSFNYECNZOUGBV-CQSZACIVSA-N 0 0 431.540 -0.041 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1F ZINC000776050421 1074406552 /nfs/dbraw/zinc/40/65/52/1074406552.db2.gz RTKMDLFHIAEMBJ-CYBMUJFWSA-N 0 0 447.510 -0.266 20 0 IBADRN Cc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1F ZINC000776050422 1074406622 /nfs/dbraw/zinc/40/66/22/1074406622.db2.gz RTKMDLFHIAEMBJ-ZDUSSCGKSA-N 0 0 447.510 -0.266 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)n1 ZINC000776053633 1074407049 /nfs/dbraw/zinc/40/70/49/1074407049.db2.gz FHJBHNFYKUBDRH-UHFFFAOYSA-N 0 0 432.506 -0.002 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCCCCCO)cn2)CC1 ZINC000776055469 1074407134 /nfs/dbraw/zinc/40/71/34/1074407134.db2.gz UNWBZGAJDUOIDR-UHFFFAOYSA-N 0 0 427.527 -0.229 20 0 IBADRN O=C(NCCCCCCO)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000776055516 1074407156 /nfs/dbraw/zinc/40/71/56/1074407156.db2.gz VIZQSJINTTZLIU-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H]3COC4(CCCCC4)O3)cnc2n(C)c1=O ZINC000776055783 1074406585 /nfs/dbraw/zinc/40/65/85/1074406585.db2.gz MRMDPAALPJUDJM-CYBMUJFWSA-N 0 0 431.449 -0.237 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H]3COC4(CCCCC4)O3)cnc2n(C)c1=O ZINC000776055794 1074406613 /nfs/dbraw/zinc/40/66/13/1074406613.db2.gz MRMDPAALPJUDJM-ZDUSSCGKSA-N 0 0 431.449 -0.237 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@H]2COC3(CCCCC3)O2)cn1)N1CCOCC1 ZINC000776057035 1074407116 /nfs/dbraw/zinc/40/71/16/1074407116.db2.gz SDLBHZPHADHSCH-INIZCTEOSA-N 0 0 435.481 -0.128 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)NC[C@@H]2COC3(CCCCC3)O2)cn1)N1CCOCC1 ZINC000776057037 1074407093 /nfs/dbraw/zinc/40/70/93/1074407093.db2.gz SDLBHZPHADHSCH-MRXNPFEDSA-N 0 0 435.481 -0.128 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@H]2COC3(CCCCC3)O2)n1)N1CCOCC1 ZINC000776057313 1074407127 /nfs/dbraw/zinc/40/71/27/1074407127.db2.gz SUDZHKBQRKNVGC-HNNXBMFYSA-N 0 0 435.481 -0.128 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)NC[C@@H]2COC3(CCCCC3)O2)n1)N1CCOCC1 ZINC000776057314 1074406883 /nfs/dbraw/zinc/40/68/83/1074406883.db2.gz SUDZHKBQRKNVGC-OAHLLOKOSA-N 0 0 435.481 -0.128 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC000776059826 1074407110 /nfs/dbraw/zinc/40/71/10/1074407110.db2.gz RXGZYKBGPPABER-AWEZNQCLSA-N 0 0 448.571 -0.021 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000776059850 1074406956 /nfs/dbraw/zinc/40/69/56/1074406956.db2.gz RXGZYKBGPPABER-CQSZACIVSA-N 0 0 448.571 -0.021 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC000776060948 1074407062 /nfs/dbraw/zinc/40/70/62/1074407062.db2.gz AVIDIUIPQINWJS-AWEZNQCLSA-N 0 0 428.467 -0.102 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC000776060955 1074407122 /nfs/dbraw/zinc/40/71/22/1074407122.db2.gz AVIDIUIPQINWJS-CQSZACIVSA-N 0 0 428.467 -0.102 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC000776061361 1074406999 /nfs/dbraw/zinc/40/69/99/1074406999.db2.gz HKMAXECNKPQZNZ-UHFFFAOYSA-N 0 0 436.512 -0.715 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(NC(=O)NCCS(=O)(=O)N2CCOCC2)nc1 ZINC000776062196 1074406901 /nfs/dbraw/zinc/40/69/01/1074406901.db2.gz ULOFMLKOFDDEEU-UHFFFAOYSA-N 0 0 449.555 -0.058 20 0 IBADRN COC(CN(CCCO)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)OC ZINC000776062884 1074406987 /nfs/dbraw/zinc/40/69/87/1074406987.db2.gz ZTMYFQQKGLUTLH-UHFFFAOYSA-N 0 0 432.499 -0.062 20 0 IBADRN Cn1c2ncn(CC(=O)OCCn3cc(Br)ccc3=O)c2c(=O)n(C)c1=O ZINC000776148450 1074408653 /nfs/dbraw/zinc/40/86/53/1074408653.db2.gz RWHAAIKWWACXMB-UHFFFAOYSA-N 0 0 438.238 -0.399 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCn1cc(Br)ccc1=O)c(=O)n2C ZINC000776152961 1074409405 /nfs/dbraw/zinc/40/94/05/1074409405.db2.gz SXFOXLVYMOHTGG-UHFFFAOYSA-N 0 0 438.238 -0.399 20 0 IBADRN C[C@]1(O)CCN(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1 ZINC000776177651 1074409998 /nfs/dbraw/zinc/40/99/98/1074409998.db2.gz SXTKJUXXKMZEID-INIZCTEOSA-N 0 0 435.524 -0.043 20 0 IBADRN C[C@@]1(O)CCN(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1 ZINC000776177655 1074410082 /nfs/dbraw/zinc/41/00/82/1074410082.db2.gz SXTKJUXXKMZEID-MRXNPFEDSA-N 0 0 435.524 -0.043 20 0 IBADRN NC(=O)OCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000776185501 1074410029 /nfs/dbraw/zinc/41/00/29/1074410029.db2.gz QNLLMYBWWBOOKE-UHFFFAOYSA-N 0 0 442.494 -0.217 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CN2CC(=O)N(C)C2=O)CC1 ZINC000776200760 1074410771 /nfs/dbraw/zinc/41/07/71/1074410771.db2.gz SOCGCBBWHPNGPD-UHFFFAOYSA-N 0 0 428.467 -0.159 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CN2CC(=O)N(C)C2=O)CC1 ZINC000776200863 1074410691 /nfs/dbraw/zinc/41/06/91/1074410691.db2.gz VGQCQOUMZBROGO-UHFFFAOYSA-N 0 0 444.535 -0.444 20 0 IBADRN Cc1nn(C)c2c1N(C(=O)COCCOCC(=O)N1CCOc3c1c(C)nn3C)CCO2 ZINC000776212737 1074411426 /nfs/dbraw/zinc/41/14/26/1074411426.db2.gz NXNKOBNJLUZOLU-UHFFFAOYSA-N 0 0 448.480 -0.045 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NN2CC(=O)N(C)C2=O)c1OC ZINC000776240336 1074413876 /nfs/dbraw/zinc/41/38/76/1074413876.db2.gz DRJQUIHRNYXNNI-UHFFFAOYSA-N 0 0 442.450 -0.737 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NN2CC(=O)N(C)C2=O)cc1 ZINC000776243153 1074413912 /nfs/dbraw/zinc/41/39/12/1074413912.db2.gz CNVLNLPCRBROBI-UHFFFAOYSA-N 0 0 425.467 -0.628 20 0 IBADRN CN1C(=O)CN(NC(=O)CC(C)(CC(=O)NN2CC(=O)N(C)C2=O)c2ccccc2)C1=O ZINC000776243330 1074414006 /nfs/dbraw/zinc/41/40/06/1074414006.db2.gz DQQZSXKKLWJLMM-UHFFFAOYSA-N 0 0 444.448 -0.425 20 0 IBADRN CN1C(=O)CN(NC(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)C1=O ZINC000776244697 1074413957 /nfs/dbraw/zinc/41/39/57/1074413957.db2.gz SUNKTUVHKJDWHS-UHFFFAOYSA-N 0 0 449.445 -0.500 20 0 IBADRN CN1C(=O)CN(NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC000776245269 1074413964 /nfs/dbraw/zinc/41/39/64/1074413964.db2.gz LUNCDRHWFCZVDT-UHFFFAOYSA-N 0 0 426.451 -0.168 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000776245283 1074413923 /nfs/dbraw/zinc/41/39/23/1074413923.db2.gz VKFOUHUFOCJCND-UHFFFAOYSA-N 0 0 425.467 -0.628 20 0 IBADRN CN1C(=O)CN(NC(=O)CS(=O)(=O)CC(=O)Nc2cccc(Br)c2)C1=O ZINC000776246088 1074413992 /nfs/dbraw/zinc/41/39/92/1074413992.db2.gz PKEOQQDLJJPOHC-UHFFFAOYSA-N 0 0 447.267 -0.272 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)NN2CC(=O)N(C)C2=O)cc1 ZINC000776246934 1074413971 /nfs/dbraw/zinc/41/39/71/1074413971.db2.gz VTPCIYHWVYGOOA-UHFFFAOYSA-N 0 0 428.467 -0.491 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000776247008 1074413800 /nfs/dbraw/zinc/41/38/00/1074413800.db2.gz VMXKZUQCINUMJP-CABCVRRESA-N 0 0 437.497 -0.144 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000776247022 1074413826 /nfs/dbraw/zinc/41/38/26/1074413826.db2.gz VMXKZUQCINUMJP-GJZGRUSLSA-N 0 0 437.497 -0.144 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000776247030 1074413815 /nfs/dbraw/zinc/41/38/15/1074413815.db2.gz VMXKZUQCINUMJP-HUUCEWRRSA-N 0 0 437.497 -0.144 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000776247032 1074414012 /nfs/dbraw/zinc/41/40/12/1074414012.db2.gz VMXKZUQCINUMJP-LSDHHAIUSA-N 0 0 437.497 -0.144 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NN2CC(=O)N(C)C2=O)o1 ZINC000776247626 1074414577 /nfs/dbraw/zinc/41/45/77/1074414577.db2.gz ZKFWBVKYZSFCSF-JTQLQIEISA-N 0 0 428.423 -0.465 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NN2CC(=O)N(C)C2=O)o1 ZINC000776247635 1074414565 /nfs/dbraw/zinc/41/45/65/1074414565.db2.gz ZKFWBVKYZSFCSF-SNVBAGLBSA-N 0 0 428.423 -0.465 20 0 IBADRN CN1C(=O)CN(NC(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1=O ZINC000776247661 1074414001 /nfs/dbraw/zinc/41/40/01/1074414001.db2.gz YNPKVFPBACZSMY-UHFFFAOYSA-N 0 0 438.462 -0.216 20 0 IBADRN CCN(C)C(=O)CNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000776258105 1074414509 /nfs/dbraw/zinc/41/45/09/1074414509.db2.gz LWYYBKQRNYPJFB-UHFFFAOYSA-N 0 0 447.558 -0.259 20 0 IBADRN O=C(CNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC000776263993 1074414544 /nfs/dbraw/zinc/41/45/44/1074414544.db2.gz CJNRHNOHSGLZIM-UHFFFAOYSA-N 0 0 428.536 -0.147 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000776284264 1074414288 /nfs/dbraw/zinc/41/42/88/1074414288.db2.gz UFBRKJQRMCQSDC-UHFFFAOYSA-N 0 0 429.451 -0.666 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000776285287 1074414522 /nfs/dbraw/zinc/41/45/22/1074414522.db2.gz QCIDMULYJPIWPP-UHFFFAOYSA-N 0 0 433.870 -0.021 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000776285550 1074414342 /nfs/dbraw/zinc/41/43/42/1074414342.db2.gz SQVRDVPSIHVFME-UHFFFAOYSA-N 0 0 433.870 -0.021 20 0 IBADRN COc1ccc(CCN2C(=O)C(=O)N(CN3CCN(S(C)(=O)=O)[C@@H](C)C3)C2=O)cc1 ZINC000776294449 1074414535 /nfs/dbraw/zinc/41/45/35/1074414535.db2.gz QGCSUTQUEDMDJS-AWEZNQCLSA-N 0 0 438.506 -0.048 20 0 IBADRN C[C@H]1CN(Cn2c3ccc(S(=O)(=O)N(C)C)cc3oc2=O)CCN1S(C)(=O)=O ZINC000776294488 1074414487 /nfs/dbraw/zinc/41/44/87/1074414487.db2.gz RPPDTGPDXBHTKQ-LBPRGKRZSA-N 0 0 432.524 -0.232 20 0 IBADRN C[C@H]1CN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)CCN1S(C)(=O)=O ZINC000776297989 1074415352 /nfs/dbraw/zinc/41/53/52/1074415352.db2.gz VCBZIXIGNQEKRE-KBPBESRZSA-N 0 0 446.599 -0.066 20 0 IBADRN C[C@H]1CN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)CCN1S(C)(=O)=O ZINC000776297993 1074415276 /nfs/dbraw/zinc/41/52/76/1074415276.db2.gz VCBZIXIGNQEKRE-UONOGXRCSA-N 0 0 446.599 -0.066 20 0 IBADRN COC(=O)C1(c2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000776303023 1074415312 /nfs/dbraw/zinc/41/53/12/1074415312.db2.gz JWFXKNJFHAVDRR-UHFFFAOYSA-N 0 0 432.520 -0.169 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C)o1 ZINC000776303038 1074415336 /nfs/dbraw/zinc/41/53/36/1074415336.db2.gz YUSVCBUKIUHIBG-CYBMUJFWSA-N 0 0 426.538 -0.280 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C)o1 ZINC000776303039 1074415168 /nfs/dbraw/zinc/41/51/68/1074415168.db2.gz YUSVCBUKIUHIBG-ZDUSSCGKSA-N 0 0 426.538 -0.280 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)NCC(=O)N2CCOCC2)c1 ZINC000776312756 1074415180 /nfs/dbraw/zinc/41/51/80/1074415180.db2.gz FXXQIJBJCHWMAB-UHFFFAOYSA-N 0 0 441.325 -0.010 20 0 IBADRN C[C@H]1CN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCN1S(C)(=O)=O ZINC000776318506 1074415191 /nfs/dbraw/zinc/41/51/91/1074415191.db2.gz CATDXPICSYYQAX-AWEZNQCLSA-N 0 0 447.558 -0.259 20 0 IBADRN C[C@H]1CN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CCN1S(C)(=O)=O ZINC000776325803 1074415320 /nfs/dbraw/zinc/41/53/20/1074415320.db2.gz XGAZOKZUMDSKBO-NSHDSACASA-N 0 0 432.515 -0.074 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCN1S(C)(=O)=O ZINC000776329064 1074415130 /nfs/dbraw/zinc/41/51/30/1074415130.db2.gz VFAKFPXNULWDGE-JTQLQIEISA-N 0 0 436.478 -0.024 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN3C(=O)C(F)(F)F)CC2)CC1 ZINC000776330663 1074415212 /nfs/dbraw/zinc/41/52/12/1074415212.db2.gz NSGWBCVGGCSRMV-CYBMUJFWSA-N 0 0 441.476 -0.824 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3C(=O)C(F)(F)F)CC2)CC1 ZINC000776330664 1074415346 /nfs/dbraw/zinc/41/53/46/1074415346.db2.gz NSGWBCVGGCSRMV-ZDUSSCGKSA-N 0 0 441.476 -0.824 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NCC(=O)N2CCOCC2)CC1 ZINC000776331695 1074415053 /nfs/dbraw/zinc/41/50/53/1074415053.db2.gz ASHKYWYUDQVCDQ-UHFFFAOYSA-N 0 0 427.502 -0.338 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NCC(=O)N3CCOCC3)CC2)cc1 ZINC000776333778 1074415983 /nfs/dbraw/zinc/41/59/83/1074415983.db2.gz YYCDSIFRLILDCH-UHFFFAOYSA-N 0 0 425.511 -0.252 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(S(C)(=O)=O)[C@@H](C)C3)C2=O)cc1 ZINC000776431687 1074415993 /nfs/dbraw/zinc/41/59/93/1074415993.db2.gz MDSSQGPUHYLLNF-DJJJIMSYSA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(S(C)(=O)=O)[C@@H](C)C3)C2=O)cc1 ZINC000776431688 1074415990 /nfs/dbraw/zinc/41/59/90/1074415990.db2.gz MDSSQGPUHYLLNF-ORAYPTAESA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)C1=O ZINC000776431729 1074416020 /nfs/dbraw/zinc/41/60/20/1074416020.db2.gz NPKYKZXDKBUPCO-DJJJIMSYSA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)C1=O ZINC000776431730 1074415880 /nfs/dbraw/zinc/41/58/80/1074415880.db2.gz NPKYKZXDKBUPCO-ORAYPTAESA-N 0 0 438.506 -0.046 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCN1S(C)(=O)=O ZINC000776434333 1074416372 /nfs/dbraw/zinc/41/63/72/1074416372.db2.gz LLUYHTFPRKAQIW-ZDUSSCGKSA-N 0 0 449.526 -0.048 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CCN1S(C)(=O)=O ZINC000776435683 1074416392 /nfs/dbraw/zinc/41/63/92/1074416392.db2.gz GDBGLZARBSPFOY-ZDUSSCGKSA-N 0 0 449.526 -0.048 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCN1S(C)(=O)=O ZINC000776436552 1074416587 /nfs/dbraw/zinc/41/65/87/1074416587.db2.gz QUESJKVCDRVJKC-VIFPVBQESA-N 0 0 447.315 -0.615 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(S(C)(=O)=O)[C@@H](C)C3)cc2S1(=O)=O ZINC000776437522 1074416448 /nfs/dbraw/zinc/41/64/48/1074416448.db2.gz DZTIYYSXRKFDGJ-LBPRGKRZSA-N 0 0 445.519 -0.417 20 0 IBADRN COCc1nnc(-c2cccc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c2)o1 ZINC000776455709 1074417193 /nfs/dbraw/zinc/41/71/93/1074417193.db2.gz NTANIWUNJIHNFU-UHFFFAOYSA-N 0 0 429.389 -0.098 20 0 IBADRN COC(=O)c1cncc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000776480960 1074417048 /nfs/dbraw/zinc/41/70/48/1074417048.db2.gz XVPNAFFDTIFGGP-AWEZNQCLSA-N 0 0 446.507 -0.465 20 0 IBADRN COC(=O)c1cncc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000776480961 1074417137 /nfs/dbraw/zinc/41/71/37/1074417137.db2.gz XVPNAFFDTIFGGP-CQSZACIVSA-N 0 0 446.507 -0.465 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccnc(OCC(F)(F)F)c1 ZINC000776492865 1074417963 /nfs/dbraw/zinc/41/79/63/1074417963.db2.gz XBZRKWCSFWEVHE-UHFFFAOYSA-N 0 0 440.400 -0.261 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)NCC(=O)N1CCOCC1 ZINC000776497021 1074417992 /nfs/dbraw/zinc/41/79/92/1074417992.db2.gz FTBRXFRFXBZYHR-UHFFFAOYSA-N 0 0 447.897 -0.323 20 0 IBADRN O=C(CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)N1CCOCC1 ZINC000776500292 1074417998 /nfs/dbraw/zinc/41/79/98/1074417998.db2.gz VXMWXNKTMMZBLN-UHFFFAOYSA-N 0 0 431.898 -0.051 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)N1CCOCC1 ZINC000776500712 1074417978 /nfs/dbraw/zinc/41/79/78/1074417978.db2.gz IREBORGTJWWOAV-AWEZNQCLSA-N 0 0 437.474 -0.401 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)N1CCOCC1 ZINC000776500714 1074417928 /nfs/dbraw/zinc/41/79/28/1074417928.db2.gz IREBORGTJWWOAV-CQSZACIVSA-N 0 0 437.474 -0.401 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CCN1S(C)(=O)=O ZINC000776506572 1074419842 /nfs/dbraw/zinc/41/98/42/1074419842.db2.gz LBVFKYFNZDDEKM-ZDUSSCGKSA-N 0 0 447.535 -0.459 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)cc1 ZINC000776507689 1074419851 /nfs/dbraw/zinc/41/98/51/1074419851.db2.gz OKUWFIYLCVQOHK-LBPRGKRZSA-N 0 0 448.523 -0.701 20 0 IBADRN COC(CN(CCCO)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)OC ZINC000776560595 1074420966 /nfs/dbraw/zinc/42/09/66/1074420966.db2.gz PIPIGIPAKCFMDF-UHFFFAOYSA-N 0 0 429.495 -0.749 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000776599451 1074421712 /nfs/dbraw/zinc/42/17/12/1074421712.db2.gz HABLJSTXWLONIU-INIZCTEOSA-N 0 0 442.513 -0.554 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000776599452 1074422174 /nfs/dbraw/zinc/42/21/74/1074422174.db2.gz HABLJSTXWLONIU-MRXNPFEDSA-N 0 0 442.513 -0.554 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000776602287 1074422323 /nfs/dbraw/zinc/42/23/23/1074422323.db2.gz DHOKHNCUFWMYJH-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000776602288 1074422345 /nfs/dbraw/zinc/42/23/45/1074422345.db2.gz DHOKHNCUFWMYJH-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000776602289 1074422253 /nfs/dbraw/zinc/42/22/53/1074422253.db2.gz DHOKHNCUFWMYJH-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000776602290 1074422235 /nfs/dbraw/zinc/42/22/35/1074422235.db2.gz DHOKHNCUFWMYJH-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(S(C)(=O)=O)[C@@H](C)C2)C1=O ZINC000776609834 1074422275 /nfs/dbraw/zinc/42/22/75/1074422275.db2.gz MGHKOVYEGLBWJF-IFXJQAMLSA-N 0 0 437.522 -0.159 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(S(C)(=O)=O)[C@@H](C)C2)C1=O ZINC000776609835 1074422328 /nfs/dbraw/zinc/42/23/28/1074422328.db2.gz MGHKOVYEGLBWJF-LIRRHRJNSA-N 0 0 437.522 -0.159 20 0 IBADRN O=C(C1CN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000776627092 1074422718 /nfs/dbraw/zinc/42/27/18/1074422718.db2.gz HNCLPPSYOFRCDY-GFCCVEGCSA-N 0 0 433.474 -0.858 20 0 IBADRN O=C(C1CN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000776627093 1074422891 /nfs/dbraw/zinc/42/28/91/1074422891.db2.gz HNCLPPSYOFRCDY-LBPRGKRZSA-N 0 0 433.474 -0.858 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCC(=O)N2CCOCC2)cc1 ZINC000776627485 1074422935 /nfs/dbraw/zinc/42/29/35/1074422935.db2.gz OKEXRCCGTQJZMG-UHFFFAOYSA-N 0 0 427.479 -0.568 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)NCC(=O)N2CCOCC2)C1 ZINC000776639523 1074424067 /nfs/dbraw/zinc/42/40/67/1074424067.db2.gz NCUVOOGGMPWTDV-HNNXBMFYSA-N 0 0 441.529 -0.092 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)NCC(=O)N2CCOCC2)C1 ZINC000776639524 1074424258 /nfs/dbraw/zinc/42/42/58/1074424258.db2.gz NCUVOOGGMPWTDV-OAHLLOKOSA-N 0 0 441.529 -0.092 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N(C)CCS(C)(=O)=O)cc1C ZINC000776644515 1074424832 /nfs/dbraw/zinc/42/48/32/1074424832.db2.gz BAUTYDVXMQGBQL-HNNXBMFYSA-N 0 0 433.552 -0.281 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N(C)CCS(C)(=O)=O)cc1C ZINC000776644519 1074424622 /nfs/dbraw/zinc/42/46/22/1074424622.db2.gz BAUTYDVXMQGBQL-OAHLLOKOSA-N 0 0 433.552 -0.281 20 0 IBADRN CCS(=O)(=O)CCNC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000776644789 1074424747 /nfs/dbraw/zinc/42/47/47/1074424747.db2.gz FDPZIQXAILTNFK-HNNXBMFYSA-N 0 0 433.552 -0.233 20 0 IBADRN CCS(=O)(=O)CCNC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000776644790 1074424700 /nfs/dbraw/zinc/42/47/00/1074424700.db2.gz FDPZIQXAILTNFK-OAHLLOKOSA-N 0 0 433.552 -0.233 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NCC2N=NC(=S)N2C)cc1C ZINC000776647696 1074425492 /nfs/dbraw/zinc/42/54/92/1074425492.db2.gz NQENXHLZWPUTKN-CYBMUJFWSA-N 0 0 440.551 -0.051 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NCC2N=NC(=S)N2C)cc1C ZINC000776647697 1074425368 /nfs/dbraw/zinc/42/53/68/1074425368.db2.gz NQENXHLZWPUTKN-ZDUSSCGKSA-N 0 0 440.551 -0.051 20 0 IBADRN O=C(c1ccc2nsnc2c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000776706038 1074427375 /nfs/dbraw/zinc/42/73/75/1074427375.db2.gz NVTUPAVEHYTUSP-GFCCVEGCSA-N 0 0 430.533 -0.034 20 0 IBADRN O=C(c1ccc2nsnc2c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000776706040 1074427382 /nfs/dbraw/zinc/42/73/82/1074427382.db2.gz NVTUPAVEHYTUSP-LBPRGKRZSA-N 0 0 430.533 -0.034 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CNC(=O)c1ccccc1I ZINC000776706871 1074427386 /nfs/dbraw/zinc/42/73/86/1074427386.db2.gz OSQIAYDMABAXOG-UHFFFAOYSA-N 0 0 434.186 -0.147 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CCN1S(C)(=O)=O ZINC000776720416 1074428332 /nfs/dbraw/zinc/42/83/32/1074428332.db2.gz DHFSLYHKICBKKW-ZFWWWQNUSA-N 0 0 426.495 -0.351 20 0 IBADRN COC(=O)c1ccc2c(c1)C(=O)N(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2 ZINC000776754776 1074429097 /nfs/dbraw/zinc/42/90/97/1074429097.db2.gz INFVWQCNHABKCY-UHFFFAOYSA-N 0 0 428.445 -0.320 20 0 IBADRN COC(=O)c1ccc2c(c1)C(=O)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C2 ZINC000776756726 1074429153 /nfs/dbraw/zinc/42/91/53/1074429153.db2.gz CNLAHFDOBYHGNG-UHFFFAOYSA-N 0 0 438.506 -0.419 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cn3ncc4cn(C)nc4c3=O)CC2)C[C@H](C)O1 ZINC000776757407 1074429170 /nfs/dbraw/zinc/42/91/70/1074429170.db2.gz NSURQFIKBOTWGN-KBPBESRZSA-N 0 0 425.515 -0.941 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cn3ncc4cn(C)nc4c3=O)CC2)C[C@H](C)O1 ZINC000776757409 1074429069 /nfs/dbraw/zinc/42/90/69/1074429069.db2.gz NSURQFIKBOTWGN-OKILXGFUSA-N 0 0 425.515 -0.941 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cn3ncc4cn(C)nc4c3=O)CC2)C[C@@H](C)O1 ZINC000776757411 1074429184 /nfs/dbraw/zinc/42/91/84/1074429184.db2.gz NSURQFIKBOTWGN-ZIAGYGMSSA-N 0 0 425.515 -0.941 20 0 IBADRN COC(=O)c1ccc2c(c1)C(=O)N(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2 ZINC000776757798 1074429879 /nfs/dbraw/zinc/42/98/79/1074429879.db2.gz YIYKROLFVYEKRH-UHFFFAOYSA-N 0 0 430.461 -0.611 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000776791098 1074430174 /nfs/dbraw/zinc/43/01/74/1074430174.db2.gz RBMGSNLOWYPENH-KBPBESRZSA-N 0 0 426.561 -0.345 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000776791120 1074430248 /nfs/dbraw/zinc/43/02/48/1074430248.db2.gz RBMGSNLOWYPENH-KGLIPLIRSA-N 0 0 426.561 -0.345 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000776791123 1074430315 /nfs/dbraw/zinc/43/03/15/1074430315.db2.gz RBMGSNLOWYPENH-UONOGXRCSA-N 0 0 426.561 -0.345 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000776791127 1074430255 /nfs/dbraw/zinc/43/02/55/1074430255.db2.gz RBMGSNLOWYPENH-ZIAGYGMSSA-N 0 0 426.561 -0.345 20 0 IBADRN O=C(Cn1nc2c(cc1=O)CN(C(=O)OCc1ccccc1)CC2)N1CCNC(=O)C1 ZINC000776844626 1074432913 /nfs/dbraw/zinc/43/29/13/1074432913.db2.gz XGDFVEDEMUOHHK-UHFFFAOYSA-N 0 0 425.445 -0.103 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000776846359 1074432957 /nfs/dbraw/zinc/43/29/57/1074432957.db2.gz DGRRUKVROPMASR-UHFFFAOYSA-N 0 0 427.527 -0.244 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCCN(C(=O)NCCNS(C)(=O)=O)C2)C(=O)C1 ZINC000776851748 1074432853 /nfs/dbraw/zinc/43/28/53/1074432853.db2.gz WXTPJEVWUMHBPM-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCCN(C(=O)NCCNS(C)(=O)=O)C2)C(=O)C1 ZINC000776851750 1074432928 /nfs/dbraw/zinc/43/29/28/1074432928.db2.gz WXTPJEVWUMHBPM-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN CCOC(=O)C1=C(CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)NC(=O)N[C@@H]1C ZINC000776852475 1074432868 /nfs/dbraw/zinc/43/28/68/1074432868.db2.gz JLYJEBKOFUGEJB-GFCCVEGCSA-N 0 0 437.453 -0.982 20 0 IBADRN CCOC(=O)C1=C(CN2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)NC(=O)N[C@H]1C ZINC000776852476 1074432781 /nfs/dbraw/zinc/43/27/81/1074432781.db2.gz JLYJEBKOFUGEJB-LBPRGKRZSA-N 0 0 437.453 -0.982 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000776852560 1074432826 /nfs/dbraw/zinc/43/28/26/1074432826.db2.gz MYCUSIFKOQBBIX-UHFFFAOYSA-N 0 0 430.421 -0.280 20 0 IBADRN O=C(CN1C(=O)C(=O)N(CCCN2CCOCC2)C1=O)NC1CCC2(CC1)OCCO2 ZINC000776852846 1074433327 /nfs/dbraw/zinc/43/33/27/1074433327.db2.gz NNUCGURYKQYPMU-UHFFFAOYSA-N 0 0 438.481 -0.699 20 0 IBADRN O=CN1CCc2cc(C(=O)CN3C(=O)C(=O)N(CCCN4CCOCC4)C3=O)ccc21 ZINC000776853396 1074432946 /nfs/dbraw/zinc/43/29/46/1074432946.db2.gz KFSSXUSUABKQTD-UHFFFAOYSA-N 0 0 428.445 -0.099 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000776869607 1074433437 /nfs/dbraw/zinc/43/34/37/1074433437.db2.gz DHZUFQHSXAMRLE-CVEARBPZSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000776869611 1074433342 /nfs/dbraw/zinc/43/33/42/1074433342.db2.gz DHZUFQHSXAMRLE-HOTGVXAUSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000776869613 1074433448 /nfs/dbraw/zinc/43/34/48/1074433448.db2.gz DHZUFQHSXAMRLE-HZPDHXFCSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000776869614 1074433427 /nfs/dbraw/zinc/43/34/27/1074433427.db2.gz DHZUFQHSXAMRLE-JKSUJKDBSA-N 0 0 447.554 -0.374 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)NC[C@H]1COC2(CCOCC2)O1 ZINC000776897788 1074439775 /nfs/dbraw/zinc/43/97/75/1074439775.db2.gz KRRGRBDCHWWXMR-KRWDZBQOSA-N 0 0 432.477 -0.020 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)NC[C@@H]1COC2(CCOCC2)O1 ZINC000776897790 1074439795 /nfs/dbraw/zinc/43/97/95/1074439795.db2.gz KRRGRBDCHWWXMR-QGZVFWFLSA-N 0 0 432.477 -0.020 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000776901786 1074440255 /nfs/dbraw/zinc/44/02/55/1074440255.db2.gz KTXCHRVNZXOMBS-UHFFFAOYSA-N 0 0 440.588 -0.602 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000776904399 1074440217 /nfs/dbraw/zinc/44/02/17/1074440217.db2.gz FIAWEHYSJVXOCN-HNNXBMFYSA-N 0 0 447.558 -0.243 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000776904409 1074440245 /nfs/dbraw/zinc/44/02/45/1074440245.db2.gz FIAWEHYSJVXOCN-OAHLLOKOSA-N 0 0 447.558 -0.243 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000776917029 1074441374 /nfs/dbraw/zinc/44/13/74/1074441374.db2.gz AZKFKPWFUPLMTJ-HNNXBMFYSA-N 0 0 439.513 -0.434 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000776917031 1074441512 /nfs/dbraw/zinc/44/15/12/1074441512.db2.gz AZKFKPWFUPLMTJ-OAHLLOKOSA-N 0 0 439.513 -0.434 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000776940608 1074443284 /nfs/dbraw/zinc/44/32/84/1074443284.db2.gz SLLPEIBWGKUQHI-DLBZAZTESA-N 0 0 428.530 -0.223 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000776940620 1074443260 /nfs/dbraw/zinc/44/32/60/1074443260.db2.gz SLLPEIBWGKUQHI-IAGOWNOFSA-N 0 0 428.530 -0.223 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000776940621 1074443234 /nfs/dbraw/zinc/44/32/34/1074443234.db2.gz SLLPEIBWGKUQHI-IRXDYDNUSA-N 0 0 428.530 -0.223 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000776940624 1074443241 /nfs/dbraw/zinc/44/32/41/1074443241.db2.gz SLLPEIBWGKUQHI-SJORKVTESA-N 0 0 428.530 -0.223 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3COC4(CCOCC4)O3)CC2)cn1C ZINC000776945521 1074443378 /nfs/dbraw/zinc/44/33/78/1074443378.db2.gz RVVCSGAGKMBPHE-HNNXBMFYSA-N 0 0 443.526 -0.333 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3COC4(CCOCC4)O3)CC2)cn1C ZINC000776945522 1074443266 /nfs/dbraw/zinc/44/32/66/1074443266.db2.gz RVVCSGAGKMBPHE-OAHLLOKOSA-N 0 0 443.526 -0.333 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000776946866 1074443250 /nfs/dbraw/zinc/44/32/50/1074443250.db2.gz CMXJUSNGLMDDNJ-HNNXBMFYSA-N 0 0 430.483 -0.246 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000776946869 1074443356 /nfs/dbraw/zinc/44/33/56/1074443356.db2.gz CMXJUSNGLMDDNJ-OAHLLOKOSA-N 0 0 430.483 -0.246 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@H]2COC3(CCOCC3)O2)c1 ZINC000776949678 1074443220 /nfs/dbraw/zinc/44/32/20/1074443220.db2.gz XKIRYNKGGQSXDW-AWEZNQCLSA-N 0 0 442.494 -0.246 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)NC[C@@H]2COC3(CCOCC3)O2)c1 ZINC000776949680 1074443384 /nfs/dbraw/zinc/44/33/84/1074443384.db2.gz XKIRYNKGGQSXDW-CQSZACIVSA-N 0 0 442.494 -0.246 20 0 IBADRN COC(=O)CNC(=O)COC(=O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)[C@H](C)O ZINC000776975985 1074444465 /nfs/dbraw/zinc/44/44/65/1074444465.db2.gz YTCJXKQZXRELHS-KPZWWZAWSA-N 0 0 438.458 -0.300 20 0 IBADRN COC(=O)CNC(=O)COC(=O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)[C@@H](C)O ZINC000776975989 1074444540 /nfs/dbraw/zinc/44/45/40/1074444540.db2.gz YTCJXKQZXRELHS-KZULUSFZSA-N 0 0 438.458 -0.300 20 0 IBADRN COC(=O)CNC(=O)COC(=O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)[C@H](C)O ZINC000776975993 1074444501 /nfs/dbraw/zinc/44/45/01/1074444501.db2.gz YTCJXKQZXRELHS-SGTLLEGYSA-N 0 0 438.458 -0.300 20 0 IBADRN COC(=O)CNC(=O)COC(=O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)[C@@H](C)O ZINC000776975997 1074444458 /nfs/dbraw/zinc/44/44/58/1074444458.db2.gz YTCJXKQZXRELHS-XIKOKIGWSA-N 0 0 438.458 -0.300 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2COC3(CCOCC3)O2)c1 ZINC000777016733 1074446372 /nfs/dbraw/zinc/44/63/72/1074446372.db2.gz NEUGRGUIGUKPED-HNNXBMFYSA-N 0 0 441.506 -0.295 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2COC3(CCOCC3)O2)c1 ZINC000777016734 1074446365 /nfs/dbraw/zinc/44/63/65/1074446365.db2.gz NEUGRGUIGUKPED-OAHLLOKOSA-N 0 0 441.506 -0.295 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@H]1COC3(CCOCC3)O1)c2=O ZINC000777019394 1074446375 /nfs/dbraw/zinc/44/63/75/1074446375.db2.gz NWIJGAHYXQMJTB-JTQLQIEISA-N 0 0 442.270 -0.069 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@@H]1COC3(CCOCC3)O1)c2=O ZINC000777019402 1074446262 /nfs/dbraw/zinc/44/62/62/1074446262.db2.gz NWIJGAHYXQMJTB-SNVBAGLBSA-N 0 0 442.270 -0.069 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H]2COC3(CCOCC3)O2)c1 ZINC000777020819 1074446310 /nfs/dbraw/zinc/44/63/10/1074446310.db2.gz CIONVMKEZUNORR-HNNXBMFYSA-N 0 0 443.522 -0.083 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H]2COC3(CCOCC3)O2)c1 ZINC000777020820 1074446360 /nfs/dbraw/zinc/44/63/60/1074446360.db2.gz CIONVMKEZUNORR-OAHLLOKOSA-N 0 0 443.522 -0.083 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000777021522 1074446378 /nfs/dbraw/zinc/44/63/78/1074446378.db2.gz CKGSMLOJHVBVOB-HNNXBMFYSA-N 0 0 439.490 -0.541 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000777021523 1074446269 /nfs/dbraw/zinc/44/62/69/1074446269.db2.gz CKGSMLOJHVBVOB-OAHLLOKOSA-N 0 0 439.490 -0.541 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000777021723 1074446385 /nfs/dbraw/zinc/44/63/85/1074446385.db2.gz YWZFPGQLRLYFMS-GHMZBOCLSA-N 0 0 444.286 -0.171 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000777021728 1074446257 /nfs/dbraw/zinc/44/62/57/1074446257.db2.gz YWZFPGQLRLYFMS-MNOVXSKESA-N 0 0 444.286 -0.171 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000777021730 1074446274 /nfs/dbraw/zinc/44/62/74/1074446274.db2.gz YWZFPGQLRLYFMS-QWRGUYRKSA-N 0 0 444.286 -0.171 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000777021732 1074446234 /nfs/dbraw/zinc/44/62/34/1074446234.db2.gz YWZFPGQLRLYFMS-WDEREUQCSA-N 0 0 444.286 -0.171 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000777024366 1074446352 /nfs/dbraw/zinc/44/63/52/1074446352.db2.gz SYQCGYIOFAZKQO-HNNXBMFYSA-N 0 0 439.490 -0.541 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000777024371 1074446248 /nfs/dbraw/zinc/44/62/48/1074446248.db2.gz SYQCGYIOFAZKQO-OAHLLOKOSA-N 0 0 439.490 -0.541 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000777026508 1074446293 /nfs/dbraw/zinc/44/62/93/1074446293.db2.gz RSRNTKJQINRFIN-AWEZNQCLSA-N 0 0 429.495 -0.302 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000777026511 1074446855 /nfs/dbraw/zinc/44/68/55/1074446855.db2.gz RSRNTKJQINRFIN-CQSZACIVSA-N 0 0 429.495 -0.302 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000777034364 1074446931 /nfs/dbraw/zinc/44/69/31/1074446931.db2.gz BQLHXXHNDXWCOE-UHFFFAOYSA-N 0 0 436.508 -0.001 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)O ZINC000777034812 1074446983 /nfs/dbraw/zinc/44/69/83/1074446983.db2.gz DHLVPHHXUNOZDC-GWCFXTLKSA-N 0 0 428.916 -0.150 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)O ZINC000777034815 1074447003 /nfs/dbraw/zinc/44/70/03/1074447003.db2.gz DHLVPHHXUNOZDC-GXFFZTMASA-N 0 0 428.916 -0.150 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC000777034816 1074446961 /nfs/dbraw/zinc/44/69/61/1074446961.db2.gz DHLVPHHXUNOZDC-MFKMUULPSA-N 0 0 428.916 -0.150 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC000777034817 1074447056 /nfs/dbraw/zinc/44/70/56/1074447056.db2.gz DHLVPHHXUNOZDC-ZWNOBZJWSA-N 0 0 428.916 -0.150 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000777036961 1074447046 /nfs/dbraw/zinc/44/70/46/1074447046.db2.gz SZWXXVKXGMQAOZ-JSGCOSHPSA-N 0 0 440.927 -0.054 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000777036962 1074447020 /nfs/dbraw/zinc/44/70/20/1074447020.db2.gz SZWXXVKXGMQAOZ-OCCSQVGLSA-N 0 0 440.927 -0.054 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000777046631 1074447039 /nfs/dbraw/zinc/44/70/39/1074447039.db2.gz DEIABKDRWARNMS-UHFFFAOYSA-N 0 0 433.508 -0.426 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000777049585 1074446990 /nfs/dbraw/zinc/44/69/90/1074446990.db2.gz QPGDIDNQIYORKW-UHFFFAOYSA-N 0 0 436.508 -0.188 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000777059674 1074446971 /nfs/dbraw/zinc/44/69/71/1074446971.db2.gz RXQRCXRTNVCHSP-GFCCVEGCSA-N 0 0 435.524 -0.462 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000777059675 1074447007 /nfs/dbraw/zinc/44/70/07/1074447007.db2.gz RXQRCXRTNVCHSP-LBPRGKRZSA-N 0 0 435.524 -0.462 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000777069476 1074447012 /nfs/dbraw/zinc/44/70/12/1074447012.db2.gz UTGFMSPDHNCZAQ-UHFFFAOYSA-N 0 0 433.552 -0.071 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC000777072821 1074447464 /nfs/dbraw/zinc/44/74/64/1074447464.db2.gz PGZYEQHZBQDKCI-UHFFFAOYSA-N 0 0 426.538 -0.010 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000777088714 1074447523 /nfs/dbraw/zinc/44/75/23/1074447523.db2.gz HNKIKIMDUWHDRL-UHFFFAOYSA-N 0 0 433.552 -0.216 20 0 IBADRN CNS(=O)(=O)CCCOC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC000777092807 1074447604 /nfs/dbraw/zinc/44/76/04/1074447604.db2.gz SNIFOMVPOWXMAY-UHFFFAOYSA-N 0 0 427.526 -0.855 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2cc([C@@H](C)O)nn2)C1=O ZINC000777115209 1074448119 /nfs/dbraw/zinc/44/81/19/1074448119.db2.gz PJDNVZAAZHJSCH-BLVKFPJESA-N 0 0 430.421 -0.237 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2cc([C@H](C)O)nn2)C1=O ZINC000777115210 1074448163 /nfs/dbraw/zinc/44/81/63/1074448163.db2.gz PJDNVZAAZHJSCH-BUXKBTBVSA-N 0 0 430.421 -0.237 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2cc([C@@H](C)O)nn2)C1=O ZINC000777115211 1074448144 /nfs/dbraw/zinc/44/81/44/1074448144.db2.gz PJDNVZAAZHJSCH-CWTRNNRKSA-N 0 0 430.421 -0.237 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2cc([C@H](C)O)nn2)C1=O ZINC000777115213 1074448096 /nfs/dbraw/zinc/44/80/96/1074448096.db2.gz PJDNVZAAZHJSCH-HXPMCKFVSA-N 0 0 430.421 -0.237 20 0 IBADRN C[C@H](O)c1cn(CC(=O)OCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)nn1 ZINC000777115662 1074447583 /nfs/dbraw/zinc/44/75/83/1074447583.db2.gz QJMDBUAZPIFUDE-RBZFPXEDSA-N 0 0 444.448 -0.151 20 0 IBADRN C[C@H](O)c1cn(CC(=O)OCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)nn1 ZINC000777115690 1074448186 /nfs/dbraw/zinc/44/81/86/1074448186.db2.gz QJMDBUAZPIFUDE-RNODOKPDSA-N 0 0 444.448 -0.151 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)OCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)nn1 ZINC000777115692 1074448077 /nfs/dbraw/zinc/44/80/77/1074448077.db2.gz QJMDBUAZPIFUDE-XCLFUZPHSA-N 0 0 444.448 -0.151 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)OCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)nn1 ZINC000777115694 1074448191 /nfs/dbraw/zinc/44/81/91/1074448191.db2.gz QJMDBUAZPIFUDE-ZUOKHONESA-N 0 0 444.448 -0.151 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)nn1 ZINC000777115903 1074448181 /nfs/dbraw/zinc/44/81/81/1074448181.db2.gz YXNRFGAXAFHYLO-GFCCVEGCSA-N 0 0 442.432 -0.752 20 0 IBADRN C[C@H](O)c1cn(CC(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)nn1 ZINC000777115907 1074448151 /nfs/dbraw/zinc/44/81/51/1074448151.db2.gz YXNRFGAXAFHYLO-LBPRGKRZSA-N 0 0 442.432 -0.752 20 0 IBADRN C[C@H](O)c1cn(CC(=O)OCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)nn1 ZINC000777116417 1074448091 /nfs/dbraw/zinc/44/80/91/1074448091.db2.gz ULMRQTRBQLMUFA-AWEZNQCLSA-N 0 0 448.455 -0.203 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)OCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)nn1 ZINC000777116444 1074448198 /nfs/dbraw/zinc/44/81/98/1074448198.db2.gz ULMRQTRBQLMUFA-CQSZACIVSA-N 0 0 448.455 -0.203 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)Cn3cc([C@H](C)O)nn3)C2=O)cc1 ZINC000777117345 1074448114 /nfs/dbraw/zinc/44/81/14/1074448114.db2.gz YGHVOEQAPXWMAD-JEOXALJRSA-N 0 0 446.420 -0.618 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)Cn3cc([C@@H](C)O)nn3)C2=O)cc1 ZINC000777117353 1074448085 /nfs/dbraw/zinc/44/80/85/1074448085.db2.gz YGHVOEQAPXWMAD-NSPYISDASA-N 0 0 446.420 -0.618 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)Cn3cc([C@H](C)O)nn3)C2=O)cc1 ZINC000777117356 1074448178 /nfs/dbraw/zinc/44/81/78/1074448178.db2.gz YGHVOEQAPXWMAD-WLRWDXFRSA-N 0 0 446.420 -0.618 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)Cn3cc([C@@H](C)O)nn3)C2=O)cc1 ZINC000777117358 1074448195 /nfs/dbraw/zinc/44/81/95/1074448195.db2.gz YGHVOEQAPXWMAD-WYRIXSBYSA-N 0 0 446.420 -0.618 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(OCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1 ZINC000777127076 1074448065 /nfs/dbraw/zinc/44/80/65/1074448065.db2.gz JBBXZEMDMLEBIE-UHFFFAOYSA-N 0 0 437.501 -0.240 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2ccccc2-n2cnnn2)cc1 ZINC000777135553 1074448709 /nfs/dbraw/zinc/44/87/09/1074448709.db2.gz JSTMZZZVXFHLKS-UHFFFAOYSA-N 0 0 430.446 -0.175 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000777147360 1074448677 /nfs/dbraw/zinc/44/86/77/1074448677.db2.gz BTRBVCMPZHYGRR-CYBMUJFWSA-N 0 0 432.481 -0.061 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000777147362 1074448719 /nfs/dbraw/zinc/44/87/19/1074448719.db2.gz BTRBVCMPZHYGRR-ZDUSSCGKSA-N 0 0 432.481 -0.061 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000777151157 1074448823 /nfs/dbraw/zinc/44/88/23/1074448823.db2.gz HUWNPOCCHJSVKS-LLVKDONJSA-N 0 0 446.464 -0.446 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000777151224 1074449352 /nfs/dbraw/zinc/44/93/52/1074449352.db2.gz HUWNPOCCHJSVKS-NSHDSACASA-N 0 0 446.464 -0.446 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(OCC(=O)N2CCN(CCS(N)(=O)=O)CC2)CC1 ZINC000777163546 1074449247 /nfs/dbraw/zinc/44/92/47/1074449247.db2.gz PPSSJDMFPPXBSY-UHFFFAOYSA-N 0 0 434.559 -0.165 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000777163943 1074449369 /nfs/dbraw/zinc/44/93/69/1074449369.db2.gz SMSFBQIONYZXQW-CVEARBPZSA-N 0 0 445.494 -0.256 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000777163945 1074449297 /nfs/dbraw/zinc/44/92/97/1074449297.db2.gz SMSFBQIONYZXQW-HOTGVXAUSA-N 0 0 445.494 -0.256 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000777163947 1074449319 /nfs/dbraw/zinc/44/93/19/1074449319.db2.gz SMSFBQIONYZXQW-HZPDHXFCSA-N 0 0 445.494 -0.256 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000777163949 1074449334 /nfs/dbraw/zinc/44/93/34/1074449334.db2.gz SMSFBQIONYZXQW-JKSUJKDBSA-N 0 0 445.494 -0.256 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@H](n4cncn4)C3)cc2)CC1 ZINC000777173450 1074449260 /nfs/dbraw/zinc/44/92/60/1074449260.db2.gz BYKFKRHPOGZADY-GOSISDBHSA-N 0 0 441.492 -0.015 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@H](n4cncn4)C3)cc2)CC1 ZINC000777173451 1074449337 /nfs/dbraw/zinc/44/93/37/1074449337.db2.gz BYKFKRHPOGZADY-SFHVURJKSA-N 0 0 441.492 -0.015 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCC3(C(=O)OC)CC3)cc2)CC1 ZINC000777174920 1074449270 /nfs/dbraw/zinc/44/92/70/1074449270.db2.gz XIXDVAPSZJUICO-UHFFFAOYSA-N 0 0 432.477 -0.011 20 0 IBADRN COCCOc1cc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC000777176575 1074449375 /nfs/dbraw/zinc/44/93/75/1074449375.db2.gz NBBUFMIBUMSGIE-INIZCTEOSA-N 0 0 441.506 -0.405 20 0 IBADRN COCCOc1cc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC000777176605 1074449362 /nfs/dbraw/zinc/44/93/62/1074449362.db2.gz NBBUFMIBUMSGIE-MRXNPFEDSA-N 0 0 441.506 -0.405 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000777193673 1074449341 /nfs/dbraw/zinc/44/93/41/1074449341.db2.gz SLFJDPQTFCVBDK-KPZWWZAWSA-N 0 0 426.447 -0.336 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000777193674 1074449367 /nfs/dbraw/zinc/44/93/67/1074449367.db2.gz SLFJDPQTFCVBDK-KZULUSFZSA-N 0 0 426.447 -0.336 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000777193675 1074449374 /nfs/dbraw/zinc/44/93/74/1074449374.db2.gz SLFJDPQTFCVBDK-SGTLLEGYSA-N 0 0 426.447 -0.336 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000777193676 1074449311 /nfs/dbraw/zinc/44/93/11/1074449311.db2.gz SLFJDPQTFCVBDK-XIKOKIGWSA-N 0 0 426.447 -0.336 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C(C)(C)C1 ZINC000777198713 1074449290 /nfs/dbraw/zinc/44/92/90/1074449290.db2.gz IHCSJTWAIBZPNG-CYBMUJFWSA-N 0 0 427.527 -0.244 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C(C)(C)C1 ZINC000777198714 1074449306 /nfs/dbraw/zinc/44/93/06/1074449306.db2.gz IHCSJTWAIBZPNG-ZDUSSCGKSA-N 0 0 427.527 -0.244 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCCN(C(=O)CCn3cc[nH]c(=O)c3=O)C2)C(=O)C1 ZINC000777203279 1074449927 /nfs/dbraw/zinc/44/99/27/1074449927.db2.gz AULGGJYGOUJCPM-HNNXBMFYSA-N 0 0 449.508 -0.003 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCCN(C(=O)CCn3cc[nH]c(=O)c3=O)C2)C(=O)C1 ZINC000777203280 1074449994 /nfs/dbraw/zinc/44/99/94/1074449994.db2.gz AULGGJYGOUJCPM-OAHLLOKOSA-N 0 0 449.508 -0.003 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777203318 1074449859 /nfs/dbraw/zinc/44/98/59/1074449859.db2.gz BZGLTWZAADAXOX-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777203319 1074449960 /nfs/dbraw/zinc/44/99/60/1074449960.db2.gz BZGLTWZAADAXOX-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC[C@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)c1=O ZINC000777203497 1074449985 /nfs/dbraw/zinc/44/99/85/1074449985.db2.gz JDHQURPKDLEYSD-HNNXBMFYSA-N 0 0 449.508 -0.383 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC[C@@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)c1=O ZINC000777203498 1074449934 /nfs/dbraw/zinc/44/99/34/1074449934.db2.gz JDHQURPKDLEYSD-OAHLLOKOSA-N 0 0 449.508 -0.383 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCCN(C(=O)c3cn(CC(N)=O)nn3)C2)C(=O)C1 ZINC000777203931 1074449953 /nfs/dbraw/zinc/44/99/53/1074449953.db2.gz CLWGEKHXVQDFMI-CYBMUJFWSA-N 0 0 435.485 -0.553 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCCN(C(=O)c3cn(CC(N)=O)nn3)C2)C(=O)C1 ZINC000777203936 1074449964 /nfs/dbraw/zinc/44/99/64/1074449964.db2.gz CLWGEKHXVQDFMI-ZDUSSCGKSA-N 0 0 435.485 -0.553 20 0 IBADRN CN(CC(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1)S(C)(=O)=O ZINC000777204755 1074449975 /nfs/dbraw/zinc/44/99/75/1074449975.db2.gz GNWJVJVSNRPAMV-AWEZNQCLSA-N 0 0 432.543 -0.052 20 0 IBADRN CN(CC(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1)S(C)(=O)=O ZINC000777204759 1074449990 /nfs/dbraw/zinc/44/99/90/1074449990.db2.gz GNWJVJVSNRPAMV-CQSZACIVSA-N 0 0 432.543 -0.052 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)c(=O)n(C)c1=O ZINC000777206103 1074449279 /nfs/dbraw/zinc/44/92/79/1074449279.db2.gz LIJXPLULPUZHLQ-AWEZNQCLSA-N 0 0 449.508 -0.232 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)c(=O)n(C)c1=O ZINC000777206125 1074449904 /nfs/dbraw/zinc/44/99/04/1074449904.db2.gz LIJXPLULPUZHLQ-CQSZACIVSA-N 0 0 449.508 -0.232 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCOC2CN(C(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000777207548 1074449897 /nfs/dbraw/zinc/44/98/97/1074449897.db2.gz QHPMFQSGIQPHDG-UHFFFAOYSA-N 0 0 440.453 -0.582 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777208067 1074449912 /nfs/dbraw/zinc/44/99/12/1074449912.db2.gz VSJGWDCNGMLYGT-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777208068 1074449874 /nfs/dbraw/zinc/44/98/74/1074449874.db2.gz VSJGWDCNGMLYGT-KGLIPLIRSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777208069 1074449884 /nfs/dbraw/zinc/44/98/84/1074449884.db2.gz VSJGWDCNGMLYGT-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777208070 1074449908 /nfs/dbraw/zinc/44/99/08/1074449908.db2.gz VSJGWDCNGMLYGT-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777208888 1074449921 /nfs/dbraw/zinc/44/99/21/1074449921.db2.gz YZCBMDBZAXYTSN-HNNXBMFYSA-N 0 0 439.513 -0.691 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777208889 1074449887 /nfs/dbraw/zinc/44/98/87/1074449887.db2.gz YZCBMDBZAXYTSN-OAHLLOKOSA-N 0 0 439.513 -0.691 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCCN(C(=O)CCNS(C)(=O)=O)C2)C(=O)C1 ZINC000777209120 1074450610 /nfs/dbraw/zinc/45/06/10/1074450610.db2.gz SAVDGTABSLXTSK-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCCN(C(=O)CCNS(C)(=O)=O)C2)C(=O)C1 ZINC000777209121 1074450589 /nfs/dbraw/zinc/45/05/89/1074450589.db2.gz SAVDGTABSLXTSK-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777209922 1074449946 /nfs/dbraw/zinc/44/99/46/1074449946.db2.gz WUYODQIPECABJN-AWEZNQCLSA-N 0 0 432.543 -0.052 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000777209925 1074449969 /nfs/dbraw/zinc/44/99/69/1074449969.db2.gz WUYODQIPECABJN-CQSZACIVSA-N 0 0 432.543 -0.052 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCCN(C(=O)CN3CCC(=O)NC3=O)C2)C(=O)C1 ZINC000777214451 1074450602 /nfs/dbraw/zinc/45/06/02/1074450602.db2.gz SLOINQHMHKAMEQ-AWEZNQCLSA-N 0 0 437.497 -0.001 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCCN(C(=O)CN3CCC(=O)NC3=O)C2)C(=O)C1 ZINC000777214468 1074450391 /nfs/dbraw/zinc/45/03/91/1074450391.db2.gz SLOINQHMHKAMEQ-CQSZACIVSA-N 0 0 437.497 -0.001 20 0 IBADRN O=C(Cc1[nH]nc2c1CCCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000777236881 1074450460 /nfs/dbraw/zinc/45/04/60/1074450460.db2.gz XAUMKLPGALKZIM-CYBMUJFWSA-N 0 0 430.552 -0.508 20 0 IBADRN O=C(Cc1[nH]nc2c1CCCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000777236884 1074450582 /nfs/dbraw/zinc/45/05/82/1074450582.db2.gz XAUMKLPGALKZIM-ZDUSSCGKSA-N 0 0 430.552 -0.508 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)COCC3)CC1 ZINC000777238924 1074450570 /nfs/dbraw/zinc/45/05/70/1074450570.db2.gz RRXXFWOXCQPUER-UHFFFAOYSA-N 0 0 425.511 -0.176 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CN2CCN(C(C)=O)CC2)cc1 ZINC000777247531 1074450408 /nfs/dbraw/zinc/45/04/08/1074450408.db2.gz GTTLBUBCOHHSGJ-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(OCC(=O)NCC(=O)NCCN2CCOCC2)CC1 ZINC000777253398 1074450533 /nfs/dbraw/zinc/45/05/33/1074450533.db2.gz QKBQRINWJRNXBR-UHFFFAOYSA-N 0 0 428.530 -0.033 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)cc2)CC1 ZINC000777257608 1074450624 /nfs/dbraw/zinc/45/06/24/1074450624.db2.gz BFJWQZRGYPYWNI-GOSISDBHSA-N 0 0 429.477 -0.048 20 0 IBADRN CC(=O)N1CCN(Cc2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)cc2)CC1 ZINC000777257609 1074450426 /nfs/dbraw/zinc/45/04/26/1074450426.db2.gz BFJWQZRGYPYWNI-SFHVURJKSA-N 0 0 429.477 -0.048 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000777258404 1074450515 /nfs/dbraw/zinc/45/05/15/1074450515.db2.gz PLOCSGWXCWUHTC-AWEZNQCLSA-N 0 0 437.497 -0.144 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC000777258405 1074450493 /nfs/dbraw/zinc/45/04/93/1074450493.db2.gz PLOCSGWXCWUHTC-CQSZACIVSA-N 0 0 437.497 -0.144 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@@](O)(c3ccc(F)cc3)C2)cn1)N1CCOCC1 ZINC000777263846 1074450521 /nfs/dbraw/zinc/45/05/21/1074450521.db2.gz DWFVXBRIENXARI-NRFANRHFSA-N 0 0 445.451 -0.060 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N2CC[C@](O)(c3ccc(F)cc3)C2)cn1)N1CCOCC1 ZINC000777263854 1074450617 /nfs/dbraw/zinc/45/06/17/1074450617.db2.gz DWFVXBRIENXARI-OAQYLSRUSA-N 0 0 445.451 -0.060 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@@](O)(c3ccc(F)cc3)C2)cn1 ZINC000777264436 1074450504 /nfs/dbraw/zinc/45/05/04/1074450504.db2.gz IMGNVGBGOFELHX-FQEVSTJZSA-N 0 0 433.440 -0.157 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@](O)(c3ccc(F)cc3)C2)cn1 ZINC000777264450 1074451153 /nfs/dbraw/zinc/45/11/53/1074451153.db2.gz IMGNVGBGOFELHX-HXUWFJFHSA-N 0 0 433.440 -0.157 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@@](O)(c3ccc(F)cc3)C2)n1)N1CCOCC1 ZINC000777266081 1074451132 /nfs/dbraw/zinc/45/11/32/1074451132.db2.gz SNSXFKXPALYDQB-NRFANRHFSA-N 0 0 445.451 -0.060 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N2CC[C@](O)(c3ccc(F)cc3)C2)n1)N1CCOCC1 ZINC000777266082 1074451214 /nfs/dbraw/zinc/45/12/14/1074451214.db2.gz SNSXFKXPALYDQB-OAQYLSRUSA-N 0 0 445.451 -0.060 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@@](O)(c4ccc(F)cc4)C3)cnc2n(C)c1=O ZINC000777270972 1074451082 /nfs/dbraw/zinc/45/10/82/1074451082.db2.gz SQKYEZADJBLWPB-NRFANRHFSA-N 0 0 441.419 -0.170 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CC[C@](O)(c4ccc(F)cc4)C3)cnc2n(C)c1=O ZINC000777270973 1074451260 /nfs/dbraw/zinc/45/12/60/1074451260.db2.gz SQKYEZADJBLWPB-OAQYLSRUSA-N 0 0 441.419 -0.170 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@H]1COC3(CCOCC3)O1)CC2 ZINC000777280798 1074472915 /nfs/dbraw/zinc/47/29/15/1074472915.db2.gz XWFABILURAPGEF-AWEZNQCLSA-N 0 0 439.490 -0.478 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NC[C@@H]1COC3(CCOCC3)O1)CC2 ZINC000777280799 1074472967 /nfs/dbraw/zinc/47/29/67/1074472967.db2.gz XWFABILURAPGEF-CQSZACIVSA-N 0 0 439.490 -0.478 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCC(=O)NC(=O)NC2CC2)[C@H](C)O)cc1C ZINC000777285800 1074478955 /nfs/dbraw/zinc/47/89/55/1074478955.db2.gz FXALXTDHUGPUKZ-BLLLJJGKSA-N 0 0 427.479 -0.137 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCC(=O)NC(=O)NC2CC2)[C@H](C)O)cc1C ZINC000777285816 1074479120 /nfs/dbraw/zinc/47/91/20/1074479120.db2.gz FXALXTDHUGPUKZ-LRDDRELGSA-N 0 0 427.479 -0.137 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCC(=O)NC(=O)NC2CC2)[C@@H](C)O)cc1C ZINC000777285819 1074479102 /nfs/dbraw/zinc/47/91/02/1074479102.db2.gz FXALXTDHUGPUKZ-MLGOLLRUSA-N 0 0 427.479 -0.137 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCC(=O)NC(=O)NC2CC2)[C@@H](C)O)cc1C ZINC000777285822 1074479023 /nfs/dbraw/zinc/47/90/23/1074479023.db2.gz FXALXTDHUGPUKZ-WBMJQRKESA-N 0 0 427.479 -0.137 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCOC1CN(C(=O)OC(C)(C)C)C1 ZINC000777304247 1074478939 /nfs/dbraw/zinc/47/89/39/1074478939.db2.gz PCJOHLIGUWEXRJ-CYBMUJFWSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCOC1CN(C(=O)OC(C)(C)C)C1 ZINC000777304248 1074478946 /nfs/dbraw/zinc/47/89/46/1074478946.db2.gz PCJOHLIGUWEXRJ-ZDUSSCGKSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000777304679 1074479017 /nfs/dbraw/zinc/47/90/17/1074479017.db2.gz WYRRYVRDCBOYQE-CYBMUJFWSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000777304680 1074478985 /nfs/dbraw/zinc/47/89/85/1074478985.db2.gz WYRRYVRDCBOYQE-ZDUSSCGKSA-N 0 0 433.527 -0.178 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000777309160 1074479591 /nfs/dbraw/zinc/47/95/91/1074479591.db2.gz JYMLUUFTQRPSHU-CYBMUJFWSA-N 0 0 436.531 -0.217 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000777309161 1074479640 /nfs/dbraw/zinc/47/96/40/1074479640.db2.gz JYMLUUFTQRPSHU-ZDUSSCGKSA-N 0 0 436.531 -0.217 20 0 IBADRN COCCOc1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC000777309218 1074479580 /nfs/dbraw/zinc/47/95/80/1074479580.db2.gz KJBGVHBQSPVHNQ-HNNXBMFYSA-N 0 0 447.535 -0.619 20 0 IBADRN COCCOc1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC000777309229 1074479565 /nfs/dbraw/zinc/47/95/65/1074479565.db2.gz KJBGVHBQSPVHNQ-OAHLLOKOSA-N 0 0 447.535 -0.619 20 0 IBADRN CN(CCOC(=O)COC1CN(C(=O)OC(C)(C)C)C1)S(=O)(=O)N1CCOCC1 ZINC000777310908 1074479551 /nfs/dbraw/zinc/47/95/51/1074479551.db2.gz UNUSRXAWRRMDPX-UHFFFAOYSA-N 0 0 437.515 -0.326 20 0 IBADRN COCCOc1cc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)ccn1 ZINC000777315757 1074479595 /nfs/dbraw/zinc/47/95/95/1074479595.db2.gz YTOUBLVTLRKKQL-UHFFFAOYSA-N 0 0 445.476 -0.185 20 0 IBADRN Cc1nc2nc[nH]n2c(=O)c1CCOC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000777355663 1074480012 /nfs/dbraw/zinc/48/00/12/1074480012.db2.gz OACMKFZHJYUGMX-UHFFFAOYSA-N 0 0 425.405 -0.620 20 0 IBADRN CCc1c(C(=O)OCCc2c(C)nc3nc[nH]n3c2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC000777359056 1074480552 /nfs/dbraw/zinc/48/05/52/1074480552.db2.gz KIDWPYLZQZUKNY-UHFFFAOYSA-N 0 0 439.432 -0.366 20 0 IBADRN Cc1nc2nc[nH]n2c(=O)c1CCOC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000777360364 1074480474 /nfs/dbraw/zinc/48/04/74/1074480474.db2.gz ASZHMJAPIKMGKE-UHFFFAOYSA-N 0 0 430.446 -0.107 20 0 IBADRN Cc1nc2nc[nH]n2c(=O)c1CCOC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000777360758 1074480593 /nfs/dbraw/zinc/48/05/93/1074480593.db2.gz HLTOAJQVNNFDPP-UHFFFAOYSA-N 0 0 434.453 -0.109 20 0 IBADRN Cc1nc2nc[nH]n2c(=O)c1CCOC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000777361241 1074480633 /nfs/dbraw/zinc/48/06/33/1074480633.db2.gz MOPHKKYNVPYACJ-UHFFFAOYSA-N 0 0 436.428 -0.116 20 0 IBADRN Cc1cc(C(=O)OCCc2c(C)nc3nc[nH]n3c2=O)nc2c1c(=O)n(C)c(=O)n2C ZINC000777361550 1074480501 /nfs/dbraw/zinc/48/05/01/1074480501.db2.gz XHGSDDPSEQTFCB-UHFFFAOYSA-N 0 0 425.405 -0.620 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000777365540 1074480610 /nfs/dbraw/zinc/48/06/10/1074480610.db2.gz XMAUVDULLIKXEJ-UHFFFAOYSA-N 0 0 434.559 -0.294 20 0 IBADRN C[C@@H](NC(=O)CCOC1CN(C(=O)OC(C)(C)C)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000777383899 1074480626 /nfs/dbraw/zinc/48/06/26/1074480626.db2.gz DVGOUBSXWTUDNE-CYBMUJFWSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@H](NC(=O)CCOC1CN(C(=O)OC(C)(C)C)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000777383900 1074480532 /nfs/dbraw/zinc/48/05/32/1074480532.db2.gz DVGOUBSXWTUDNE-ZDUSSCGKSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000777392593 1074480448 /nfs/dbraw/zinc/48/04/48/1074480448.db2.gz VNPVIWSWPHVGKS-NEPJUHHUSA-N 0 0 429.499 -0.235 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000777392594 1074480461 /nfs/dbraw/zinc/48/04/61/1074480461.db2.gz VNPVIWSWPHVGKS-NWDGAFQWSA-N 0 0 429.499 -0.235 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000777392595 1074480468 /nfs/dbraw/zinc/48/04/68/1074480468.db2.gz VNPVIWSWPHVGKS-RYUDHWBXSA-N 0 0 429.499 -0.235 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000777392596 1074480544 /nfs/dbraw/zinc/48/05/44/1074480544.db2.gz VNPVIWSWPHVGKS-VXGBXAGGSA-N 0 0 429.499 -0.235 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1)C(N)=O ZINC000777395161 1074480434 /nfs/dbraw/zinc/48/04/34/1074480434.db2.gz AKWZXHMRZVQLAJ-CABCVRRESA-N 0 0 439.513 -0.563 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1)C(N)=O ZINC000777395166 1074480586 /nfs/dbraw/zinc/48/05/86/1074480586.db2.gz AKWZXHMRZVQLAJ-GJZGRUSLSA-N 0 0 439.513 -0.563 20 0 IBADRN CN1CC(=O)N(CC(=O)N2CCC[C@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)C1=O ZINC000777396344 1074480642 /nfs/dbraw/zinc/48/06/42/1074480642.db2.gz PMIJFMYEWSCYLM-AWEZNQCLSA-N 0 0 437.497 -0.049 20 0 IBADRN CN1CC(=O)N(CC(=O)N2CCC[C@@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)C1=O ZINC000777396345 1074480419 /nfs/dbraw/zinc/48/04/19/1074480419.db2.gz PMIJFMYEWSCYLM-CQSZACIVSA-N 0 0 437.497 -0.049 20 0 IBADRN O=C(C[C@H]1C=CS(=O)(=O)C1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000777478184 1074480979 /nfs/dbraw/zinc/48/09/79/1074480979.db2.gz FMDARXDPIRMNFN-GFCCVEGCSA-N 0 0 428.488 -0.105 20 0 IBADRN O=C(C[C@@H]1C=CS(=O)(=O)C1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000777478750 1074480962 /nfs/dbraw/zinc/48/09/62/1074480962.db2.gz FMDARXDPIRMNFN-LBPRGKRZSA-N 0 0 428.488 -0.105 20 0 IBADRN COCCS(=O)(=O)CC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000777478813 1074481177 /nfs/dbraw/zinc/48/11/77/1074481177.db2.gz HREZIFHELPZDPX-UHFFFAOYSA-N 0 0 434.492 -0.992 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000777478893 1074480999 /nfs/dbraw/zinc/48/09/99/1074480999.db2.gz JMIALIGBKMKKSE-AWEZNQCLSA-N 0 0 427.504 -0.132 20 0 IBADRN CC(=O)N1CSC[C@@H]1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000777478895 1074481018 /nfs/dbraw/zinc/48/10/18/1074481018.db2.gz JMIALIGBKMKKSE-CQSZACIVSA-N 0 0 427.504 -0.132 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000777478909 1074481147 /nfs/dbraw/zinc/48/11/47/1074481147.db2.gz KFUBBNIQZKFYNP-GFCCVEGCSA-N 0 0 447.473 -0.277 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000777478916 1074481028 /nfs/dbraw/zinc/48/10/28/1074481028.db2.gz KFUBBNIQZKFYNP-LBPRGKRZSA-N 0 0 447.473 -0.277 20 0 IBADRN N=C1NC(=O)C(CC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)S1 ZINC000777479519 1074481058 /nfs/dbraw/zinc/48/10/58/1074481058.db2.gz MVNFFSFKMQADLK-CYBMUJFWSA-N 0 0 426.476 -0.497 20 0 IBADRN N=C1NC(=O)C(CC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)S1 ZINC000777479535 1074481041 /nfs/dbraw/zinc/48/10/41/1074481041.db2.gz MVNFFSFKMQADLK-ZDUSSCGKSA-N 0 0 426.476 -0.497 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000777489358 1074481134 /nfs/dbraw/zinc/48/11/34/1074481134.db2.gz AADDWWZISUYDSV-UHFFFAOYSA-N 0 0 433.531 -0.744 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=S)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000777498625 1074686324 /nfs/dbraw/zinc/68/63/24/1074686324.db2.gz NMZKQIPTBGWCER-UHFFFAOYSA-N 0 0 448.592 -0.115 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000777504594 1074687311 /nfs/dbraw/zinc/68/73/11/1074687311.db2.gz FOIPEQJZFKCCHF-GXTWGEPZSA-N 0 0 427.479 -0.509 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000777504595 1074687314 /nfs/dbraw/zinc/68/73/14/1074687314.db2.gz FOIPEQJZFKCCHF-JSGCOSHPSA-N 0 0 427.479 -0.509 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000777504596 1074687298 /nfs/dbraw/zinc/68/72/98/1074687298.db2.gz FOIPEQJZFKCCHF-OCCSQVGLSA-N 0 0 427.479 -0.509 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000777504597 1074687364 /nfs/dbraw/zinc/68/73/64/1074687364.db2.gz FOIPEQJZFKCCHF-TZMCWYRMSA-N 0 0 427.479 -0.509 20 0 IBADRN COC(=O)[C@@H](C)SCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000777504857 1074687344 /nfs/dbraw/zinc/68/73/44/1074687344.db2.gz ZVQAFSOUKNREKZ-LLVKDONJSA-N 0 0 433.508 -0.403 20 0 IBADRN COC(=O)[C@H](C)SCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000777504859 1074687288 /nfs/dbraw/zinc/68/72/88/1074687288.db2.gz ZVQAFSOUKNREKZ-NSHDSACASA-N 0 0 433.508 -0.403 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NC[C@@H]3COC4(CCOCC4)O3)CC2=O)c1 ZINC000777507434 1074690247 /nfs/dbraw/zinc/69/02/47/1074690247.db2.gz WDHCVFGMACLWGX-DZGCQCFKSA-N 0 0 439.490 -0.275 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NC[C@H]3COC4(CCOCC4)O3)CC2=O)c1 ZINC000777507435 1074690418 /nfs/dbraw/zinc/69/04/18/1074690418.db2.gz WDHCVFGMACLWGX-HIFRSBDPSA-N 0 0 439.490 -0.275 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NC[C@@H]3COC4(CCOCC4)O3)CC2=O)c1 ZINC000777507436 1074690443 /nfs/dbraw/zinc/69/04/43/1074690443.db2.gz WDHCVFGMACLWGX-UKRRQHHQSA-N 0 0 439.490 -0.275 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NC[C@H]3COC4(CCOCC4)O3)CC2=O)c1 ZINC000777507437 1074690447 /nfs/dbraw/zinc/69/04/47/1074690447.db2.gz WDHCVFGMACLWGX-ZFWWWQNUSA-N 0 0 439.490 -0.275 20 0 IBADRN COC(=O)C1=NC2=NS(=O)(=O)c3ccccc3N2N1CCN1CCCS1(=O)=O ZINC000777511976 1074689749 /nfs/dbraw/zinc/68/97/49/1074689749.db2.gz UMBZCSNKCLZGKZ-UHFFFAOYSA-N 0 0 427.464 -0.611 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000777518579 1074693172 /nfs/dbraw/zinc/69/31/72/1074693172.db2.gz JGYCMWJLZPWTHC-UHFFFAOYSA-N 0 0 436.478 -0.261 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3CCC4(CCOCC4)C3=O)CC2)C[C@H](C)O1 ZINC000777518746 1074693995 /nfs/dbraw/zinc/69/39/95/1074693995.db2.gz MWIFHGGLRVPFBI-CALCHBBNSA-N 0 0 430.571 -0.055 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3CCC4(CCOCC4)C3=O)CC2)C[C@@H](C)O1 ZINC000777518747 1074693908 /nfs/dbraw/zinc/69/39/08/1074693908.db2.gz MWIFHGGLRVPFBI-IAGOWNOFSA-N 0 0 430.571 -0.055 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CN3CCC4(CCOCC4)C3=O)CC2)C[C@H](C)O1 ZINC000777518748 1074694001 /nfs/dbraw/zinc/69/40/01/1074694001.db2.gz MWIFHGGLRVPFBI-IRXDYDNUSA-N 0 0 430.571 -0.055 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CN3CCC4(CCOCC4)C3=O)CC2)o1 ZINC000777519024 1074694031 /nfs/dbraw/zinc/69/40/31/1074694031.db2.gz OODWKFSBMBGFHA-UHFFFAOYSA-N 0 0 426.495 -0.329 20 0 IBADRN C[C@](O)(CN1CCN(C(=O)CN2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000777531429 1074701689 /nfs/dbraw/zinc/70/16/89/1074701689.db2.gz LPOBLDJSIBNHRP-FQEVSTJZSA-N 0 0 425.551 -0.226 20 0 IBADRN C[C@@](O)(CN1CCN(C(=O)CN2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000777531430 1074701747 /nfs/dbraw/zinc/70/17/47/1074701747.db2.gz LPOBLDJSIBNHRP-HXUWFJFHSA-N 0 0 425.551 -0.226 20 0 IBADRN C[C@](O)(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000777531472 1074701035 /nfs/dbraw/zinc/70/10/35/1074701035.db2.gz NSFGNWKECBWEIK-FQEVSTJZSA-N 0 0 439.534 -0.699 20 0 IBADRN C[C@@](O)(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000777531473 1074701112 /nfs/dbraw/zinc/70/11/12/1074701112.db2.gz NSFGNWKECBWEIK-HXUWFJFHSA-N 0 0 439.534 -0.699 20 0 IBADRN C[C@@](O)(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000777544074 1074709182 /nfs/dbraw/zinc/70/91/82/1074709182.db2.gz JGEBUYNOCDCHAV-GOSISDBHSA-N 0 0 447.579 -0.508 20 0 IBADRN C[C@](O)(CN1CCN(S(=O)(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000777544085 1074709261 /nfs/dbraw/zinc/70/92/61/1074709261.db2.gz JGEBUYNOCDCHAV-SFHVURJKSA-N 0 0 447.579 -0.508 20 0 IBADRN C[C@](O)(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000777575576 1074726013 /nfs/dbraw/zinc/72/60/13/1074726013.db2.gz GUJCHLCYONZXQM-FQEVSTJZSA-N 0 0 437.518 -0.409 20 0 IBADRN C[C@@](O)(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000777575577 1074726074 /nfs/dbraw/zinc/72/60/74/1074726074.db2.gz GUJCHLCYONZXQM-HXUWFJFHSA-N 0 0 437.518 -0.409 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(c2cnccn2)CC1 ZINC000777611042 1072171561 /nfs/dbraw/zinc/17/15/61/1072171561.db2.gz WXWOADVUTIAZCC-UHFFFAOYSA-N 0 0 432.462 -0.834 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCN2C(=O)[C@@H]3COCCN3C2=O)cc1)S(C)(=O)=O ZINC000777686780 1072224152 /nfs/dbraw/zinc/22/41/52/1072224152.db2.gz BVQOPPLAHRAMTH-AWEZNQCLSA-N 0 0 447.491 -0.546 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCN2C(=O)[C@H]3COCCN3C2=O)cc1)S(C)(=O)=O ZINC000777686781 1072224281 /nfs/dbraw/zinc/22/42/81/1072224281.db2.gz BVQOPPLAHRAMTH-CQSZACIVSA-N 0 0 447.491 -0.546 20 0 IBADRN COCCN1CC(=O)N(CCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000777688062 1072224690 /nfs/dbraw/zinc/22/46/90/1072224690.db2.gz OUQZWFFINKRLSH-UHFFFAOYSA-N 0 0 449.507 -0.298 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000777733399 1072239545 /nfs/dbraw/zinc/23/95/45/1072239545.db2.gz KTNBCCVJCZGSOQ-UHFFFAOYSA-N 0 0 441.535 -0.646 20 0 IBADRN O=C(Nc1cnc2c(c1)COCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000777734869 1072239534 /nfs/dbraw/zinc/23/95/34/1072239534.db2.gz VSENNFJDCOIIPB-HNNXBMFYSA-N 0 0 444.535 -0.179 20 0 IBADRN O=C(Nc1cnc2c(c1)COCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000777734870 1072239399 /nfs/dbraw/zinc/23/93/99/1072239399.db2.gz VSENNFJDCOIIPB-OAHLLOKOSA-N 0 0 444.535 -0.179 20 0 IBADRN O=C(CCNC(=O)NC[C@H]1COC2(CCOCC2)O1)N1CCN(c2ncccn2)CC1 ZINC000777737593 1072239927 /nfs/dbraw/zinc/23/99/27/1072239927.db2.gz NOQBIHBGUIEIDD-INIZCTEOSA-N 0 0 434.497 -0.263 20 0 IBADRN O=C(CCNC(=O)NC[C@@H]1COC2(CCOCC2)O1)N1CCN(c2ncccn2)CC1 ZINC000777737594 1072239903 /nfs/dbraw/zinc/23/99/03/1072239903.db2.gz NOQBIHBGUIEIDD-MRXNPFEDSA-N 0 0 434.497 -0.263 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC000777743006 1072239991 /nfs/dbraw/zinc/23/99/91/1072239991.db2.gz ODRNUIDLHQNPID-UHFFFAOYSA-N 0 0 445.567 -0.219 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000777758552 1072240108 /nfs/dbraw/zinc/24/01/08/1072240108.db2.gz NQBXJHCKMRFTLH-INIZCTEOSA-N 0 0 435.543 -0.010 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000777758568 1072240172 /nfs/dbraw/zinc/24/01/72/1072240172.db2.gz NQBXJHCKMRFTLH-MRXNPFEDSA-N 0 0 435.543 -0.010 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NN1CN=NC1=O)c(=O)n2Cc1ccccc1 ZINC000777767394 1072240752 /nfs/dbraw/zinc/24/07/52/1072240752.db2.gz IAGMIJABOGBHHC-UHFFFAOYSA-N 0 0 440.420 -0.878 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NN3CN=NC3=O)CC2)c1 ZINC000777768595 1072240575 /nfs/dbraw/zinc/24/05/75/1072240575.db2.gz SSSZSEXGBAXPNZ-UHFFFAOYSA-N 0 0 436.494 -0.016 20 0 IBADRN Cn1c(CCC(=O)NN2CN=NC2=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000777770017 1072241126 /nfs/dbraw/zinc/24/11/26/1072241126.db2.gz ZRBJOTZCFZKKJP-UHFFFAOYSA-N 0 0 435.466 -0.406 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H]2CN(C)NC2=O)c1 ZINC000777771363 1072241185 /nfs/dbraw/zinc/24/11/85/1072241185.db2.gz HSYLNEJLCZAOLZ-GFCCVEGCSA-N 0 0 435.300 -0.551 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@H]2CN(C)NC2=O)c1 ZINC000777771365 1072241293 /nfs/dbraw/zinc/24/12/93/1072241293.db2.gz HSYLNEJLCZAOLZ-LBPRGKRZSA-N 0 0 435.300 -0.551 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C[C@@H]1C ZINC000777771612 1072241211 /nfs/dbraw/zinc/24/12/11/1072241211.db2.gz KDWCPYBAXISGBK-KBPBESRZSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C[C@H]1C ZINC000777771615 1072241232 /nfs/dbraw/zinc/24/12/32/1072241232.db2.gz KDWCPYBAXISGBK-KGLIPLIRSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C[C@@H]1C ZINC000777771618 1072241313 /nfs/dbraw/zinc/24/13/13/1072241313.db2.gz KDWCPYBAXISGBK-UONOGXRCSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C[C@H]1C ZINC000777771622 1072241328 /nfs/dbraw/zinc/24/13/28/1072241328.db2.gz KDWCPYBAXISGBK-ZIAGYGMSSA-N 0 0 428.511 -0.752 20 0 IBADRN O=C(CCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCOCC1 ZINC000777818850 1072248839 /nfs/dbraw/zinc/24/88/39/1072248839.db2.gz NETNQGKGOOWXIB-UHFFFAOYSA-N 0 0 429.470 -0.175 20 0 IBADRN O=C(Cn1cc(NC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)cn1)NCCO ZINC000777828259 1072248950 /nfs/dbraw/zinc/24/89/50/1072248950.db2.gz LMGPYNXKMMPAGD-FQEVSTJZSA-N 0 0 426.433 -0.676 20 0 IBADRN O=C(Cn1cc(NC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)cn1)NCCO ZINC000777828260 1072249441 /nfs/dbraw/zinc/24/94/41/1072249441.db2.gz LMGPYNXKMMPAGD-HXUWFJFHSA-N 0 0 426.433 -0.676 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)Nc3cnn(CC(=O)NCCO)c3)C2=O)cc1 ZINC000777828767 1072249455 /nfs/dbraw/zinc/24/94/55/1072249455.db2.gz PZIXVXPRBSZEEU-FQEVSTJZSA-N 0 0 444.448 -0.594 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)Nc3cnn(CC(=O)NCCO)c3)C2=O)cc1 ZINC000777828768 1072249424 /nfs/dbraw/zinc/24/94/24/1072249424.db2.gz PZIXVXPRBSZEEU-HXUWFJFHSA-N 0 0 444.448 -0.594 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)Nc3cnn(CC(=O)NCCO)c3)C2=O)cc1 ZINC000777829534 1072249486 /nfs/dbraw/zinc/24/94/86/1072249486.db2.gz WXCXRKMXQJMSKC-FQEVSTJZSA-N 0 0 428.449 -0.294 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)Nc3cnn(CC(=O)NCCO)c3)C2=O)cc1 ZINC000777829535 1072249493 /nfs/dbraw/zinc/24/94/93/1072249493.db2.gz WXCXRKMXQJMSKC-HXUWFJFHSA-N 0 0 428.449 -0.294 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)Nc3cnn(CC(=O)NCCO)c3)cc2S1(=O)=O ZINC000777829691 1072249400 /nfs/dbraw/zinc/24/94/00/1072249400.db2.gz YVHRFUPWIZVJCI-UHFFFAOYSA-N 0 0 435.462 -0.203 20 0 IBADRN COC(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000777859518 1072257746 /nfs/dbraw/zinc/25/77/46/1072257746.db2.gz YDURGDLXSADZAD-UHFFFAOYSA-N 0 0 440.478 -0.443 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(Oc3cncc(Cl)n3)CC1)c(=O)n2C ZINC000777863805 1072260918 /nfs/dbraw/zinc/26/09/18/1072260918.db2.gz ZNXDCCQOSAAIKN-UHFFFAOYSA-N 0 0 433.856 -0.053 20 0 IBADRN Cn1c2ncn(CC(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC000777864948 1072261349 /nfs/dbraw/zinc/26/13/49/1072261349.db2.gz KGLNBNRTIPTRJN-UHFFFAOYSA-N 0 0 430.412 -0.290 20 0 IBADRN COC(=O)Nc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000777868601 1072261534 /nfs/dbraw/zinc/26/15/34/1072261534.db2.gz AVHDYBRFTYKBPR-UHFFFAOYSA-N 0 0 448.523 -0.252 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)c(=O)n2C ZINC000777870322 1072261370 /nfs/dbraw/zinc/26/13/70/1072261370.db2.gz DKXDHAYFAJUVER-UHFFFAOYSA-N 0 0 430.412 -0.290 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(Oc4cncc(Cl)n4)CC3)c2c(=O)n(C)c1=O ZINC000777874155 1072263920 /nfs/dbraw/zinc/26/39/20/1072263920.db2.gz CNCXJDSFYXEDJC-UHFFFAOYSA-N 0 0 433.856 -0.053 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn(CC4CC4)c3)CC2)CC1 ZINC000777875331 1072264002 /nfs/dbraw/zinc/26/40/02/1072264002.db2.gz UNCKRAQFZVTMMV-UHFFFAOYSA-N 0 0 432.572 -0.908 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn(CC4CC4)c3)CC2)C[C@H](C)O1 ZINC000777875390 1072263796 /nfs/dbraw/zinc/26/37/96/1072263796.db2.gz RZRRXEPZDJAMLZ-GASCZTMLSA-N 0 0 447.583 -0.047 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn(CC4CC4)c3)CC2)C[C@H](C)O1 ZINC000777875391 1072263898 /nfs/dbraw/zinc/26/38/98/1072263898.db2.gz RZRRXEPZDJAMLZ-GJZGRUSLSA-N 0 0 447.583 -0.047 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn(CC4CC4)c3)CC2)C[C@@H](C)O1 ZINC000777875392 1072263945 /nfs/dbraw/zinc/26/39/45/1072263945.db2.gz RZRRXEPZDJAMLZ-HUUCEWRRSA-N 0 0 447.583 -0.047 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn(CC4CC4)c3)CC2)o1 ZINC000777880391 1072268649 /nfs/dbraw/zinc/26/86/49/1072268649.db2.gz PARHCDKCWHGFFW-UHFFFAOYSA-N 0 0 443.507 -0.320 20 0 IBADRN COC(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1 ZINC000777899764 1072272672 /nfs/dbraw/zinc/27/26/72/1072272672.db2.gz FSZIPEDEUQSXBL-UHFFFAOYSA-N 0 0 438.462 -0.153 20 0 IBADRN COC(=O)c1cc(Br)c(S(=O)(=O)N2CCN(S(N)(=O)=O)CC2)s1 ZINC000777946949 1072286261 /nfs/dbraw/zinc/28/62/61/1072286261.db2.gz FROAVGOHTRAVHI-UHFFFAOYSA-N 0 0 448.342 -0.193 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)Oc1ccc(C(=O)NCC(N)=O)cc1 ZINC000777948459 1072286699 /nfs/dbraw/zinc/28/66/99/1072286699.db2.gz BHKRGHLTBWKKSV-UHFFFAOYSA-N 0 0 441.487 -0.032 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)Oc1cccc(C(=O)NCC(N)=O)c1 ZINC000777949413 1072287160 /nfs/dbraw/zinc/28/71/60/1072287160.db2.gz JHTBBHVJIBSHKZ-UHFFFAOYSA-N 0 0 441.487 -0.032 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)C(=O)OCC)CC1 ZINC000777979548 1072294090 /nfs/dbraw/zinc/29/40/90/1072294090.db2.gz OTONWNLJSCLOSS-UHFFFAOYSA-N 0 0 433.508 -0.619 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NCC2CN(S(C)(=O)=O)C2)c1 ZINC000777981718 1072294262 /nfs/dbraw/zinc/29/42/62/1072294262.db2.gz DQLFFELUDBOLKS-UHFFFAOYSA-N 0 0 439.943 -0.114 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000777981729 1072294075 /nfs/dbraw/zinc/29/40/75/1072294075.db2.gz DZFQOKRCSYQRCU-UHFFFAOYSA-N 0 0 440.547 -0.145 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000777981802 1072294871 /nfs/dbraw/zinc/29/48/71/1072294871.db2.gz GFTIFQQZTNJANR-UHFFFAOYSA-N 0 0 435.499 -0.532 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc(OCC(F)(F)F)nc1)N1CCOCC1 ZINC000777982365 1072295136 /nfs/dbraw/zinc/29/51/36/1072295136.db2.gz SCROFGIUTXJTSY-UHFFFAOYSA-N 0 0 433.430 -0.037 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC000777982458 1072295324 /nfs/dbraw/zinc/29/53/24/1072295324.db2.gz BSVDTICFOIUECL-UHFFFAOYSA-N 0 0 432.343 -0.221 20 0 IBADRN CN(CCCC(=O)NCC1CN(S(C)(=O)=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000777982988 1072294678 /nfs/dbraw/zinc/29/46/78/1072294678.db2.gz FCZNPULLGBCLRT-UHFFFAOYSA-N 0 0 428.536 -0.145 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000777983137 1072294846 /nfs/dbraw/zinc/29/48/46/1072294846.db2.gz QGRSXTFFUMIBFE-UHFFFAOYSA-N 0 0 435.499 -0.532 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCC2CN(S(C)(=O)=O)C2)C1=O ZINC000777984931 1072295684 /nfs/dbraw/zinc/29/56/84/1072295684.db2.gz ZTVDHGXHEWDPGP-IBGZPJMESA-N 0 0 438.506 -0.222 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCC2CN(S(C)(=O)=O)C2)C1=O ZINC000777984935 1072295918 /nfs/dbraw/zinc/29/59/18/1072295918.db2.gz ZTVDHGXHEWDPGP-LJQANCHMSA-N 0 0 438.506 -0.222 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc1C ZINC000777985754 1072295852 /nfs/dbraw/zinc/29/58/52/1072295852.db2.gz AWMNBLCLXAZQJQ-CYBMUJFWSA-N 0 0 432.524 -0.399 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc1C ZINC000777985757 1072295833 /nfs/dbraw/zinc/29/58/33/1072295833.db2.gz AWMNBLCLXAZQJQ-ZDUSSCGKSA-N 0 0 432.524 -0.399 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000777986120 1072296432 /nfs/dbraw/zinc/29/64/32/1072296432.db2.gz ZEYDIICFWOBWRK-AWEZNQCLSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000777986124 1072296289 /nfs/dbraw/zinc/29/62/89/1072296289.db2.gz ZEYDIICFWOBWRK-CQSZACIVSA-N 0 0 432.543 -0.100 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2ccc(Br)c(S(N)(=O)=O)c2)C1 ZINC000777986539 1072296201 /nfs/dbraw/zinc/29/62/01/1072296201.db2.gz NBNLSSLOANMLEA-UHFFFAOYSA-N 0 0 426.314 -0.282 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(NC(=O)OC)cc1 ZINC000778058452 1072313198 /nfs/dbraw/zinc/31/31/98/1072313198.db2.gz KHYOGFUWIBWRHQ-AWEZNQCLSA-N 0 0 429.451 -0.066 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(NC(=O)OC)cc1 ZINC000778058453 1072313174 /nfs/dbraw/zinc/31/31/74/1072313174.db2.gz KHYOGFUWIBWRHQ-CQSZACIVSA-N 0 0 429.451 -0.066 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(Oc3cncc(Cl)n3)CC2)CC1 ZINC000778092340 1072315903 /nfs/dbraw/zinc/31/59/03/1072315903.db2.gz SEEATAAFDLUHPN-UHFFFAOYSA-N 0 0 439.947 -0.205 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000778094179 1072315739 /nfs/dbraw/zinc/31/57/39/1072315739.db2.gz KBGBOOFZGIEKKI-UHFFFAOYSA-N 0 0 436.503 -0.442 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778097995 1072315769 /nfs/dbraw/zinc/31/57/69/1072315769.db2.gz PHUNVXLELLAKAT-GFCCVEGCSA-N 0 0 436.446 -0.739 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778098000 1072315876 /nfs/dbraw/zinc/31/58/76/1072315876.db2.gz PHUNVXLELLAKAT-LBPRGKRZSA-N 0 0 436.446 -0.739 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000778100522 1072315865 /nfs/dbraw/zinc/31/58/65/1072315865.db2.gz UBPNSANPYKMINH-CYBMUJFWSA-N 0 0 426.561 -0.345 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000778100523 1072315711 /nfs/dbraw/zinc/31/57/11/1072315711.db2.gz UBPNSANPYKMINH-ZDUSSCGKSA-N 0 0 426.561 -0.345 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCN1c1ncccn1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778101435 1072316371 /nfs/dbraw/zinc/31/63/71/1072316371.db2.gz LLFSCVUYJXJHRN-CHWSQXEVSA-N 0 0 425.467 -0.608 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCN1c1ncccn1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778101436 1072316288 /nfs/dbraw/zinc/31/62/88/1072316288.db2.gz LLFSCVUYJXJHRN-OLZOCXBDSA-N 0 0 425.467 -0.608 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCN1c1ncccn1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778101437 1072316423 /nfs/dbraw/zinc/31/64/23/1072316423.db2.gz LLFSCVUYJXJHRN-QWHCGFSZSA-N 0 0 425.467 -0.608 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCN1c1ncccn1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778101438 1072316403 /nfs/dbraw/zinc/31/64/03/1072316403.db2.gz LLFSCVUYJXJHRN-STQMWFEESA-N 0 0 425.467 -0.608 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000778102212 1072315835 /nfs/dbraw/zinc/31/58/35/1072315835.db2.gz AJFXJUFJMGGFHT-AWEZNQCLSA-N 0 0 446.526 -0.284 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000778102213 1072315786 /nfs/dbraw/zinc/31/57/86/1072315786.db2.gz AJFXJUFJMGGFHT-CQSZACIVSA-N 0 0 446.526 -0.284 20 0 IBADRN CCc1c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cnn1-c1ccccn1 ZINC000778102214 1072315809 /nfs/dbraw/zinc/31/58/09/1072315809.db2.gz ALZYMVLPMSQBJB-GFCCVEGCSA-N 0 0 435.462 -0.001 20 0 IBADRN CCc1c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cnn1-c1ccccn1 ZINC000778102215 1072315960 /nfs/dbraw/zinc/31/59/60/1072315960.db2.gz ALZYMVLPMSQBJB-LBPRGKRZSA-N 0 0 435.462 -0.001 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCOC2=O)cc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778103232 1072315950 /nfs/dbraw/zinc/31/59/50/1072315950.db2.gz OPOXLKPDLOFLCA-GFCCVEGCSA-N 0 0 425.419 -0.187 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCOC2=O)cc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778103234 1072316304 /nfs/dbraw/zinc/31/63/04/1072316304.db2.gz OPOXLKPDLOFLCA-LBPRGKRZSA-N 0 0 425.419 -0.187 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(C(=O)N2CCCC2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778103782 1072315939 /nfs/dbraw/zinc/31/59/39/1072315939.db2.gz WRSGJPXLVQLKQZ-KBPBESRZSA-N 0 0 444.510 -0.530 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(C(=O)N2CCCC2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778103783 1072315932 /nfs/dbraw/zinc/31/59/32/1072315932.db2.gz WRSGJPXLVQLKQZ-KGLIPLIRSA-N 0 0 444.510 -0.530 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(C(=O)N2CCCC2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778103784 1072315695 /nfs/dbraw/zinc/31/56/95/1072315695.db2.gz WRSGJPXLVQLKQZ-UONOGXRCSA-N 0 0 444.510 -0.530 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(C(=O)N2CCCC2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778103785 1072315825 /nfs/dbraw/zinc/31/58/25/1072315825.db2.gz WRSGJPXLVQLKQZ-ZIAGYGMSSA-N 0 0 444.510 -0.530 20 0 IBADRN Cc1c(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nnn1-c1ccc(C)cc1 ZINC000778104220 1072316886 /nfs/dbraw/zinc/31/68/86/1072316886.db2.gz GCZZJQAZOFAWGB-AWEZNQCLSA-N 0 0 449.489 -0.017 20 0 IBADRN Cc1c(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nnn1-c1ccc(C)cc1 ZINC000778104221 1072316835 /nfs/dbraw/zinc/31/68/35/1072316835.db2.gz GCZZJQAZOFAWGB-CQSZACIVSA-N 0 0 449.489 -0.017 20 0 IBADRN O=C(COC(=O)CCn1cc(Br)cn1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778104500 1072316828 /nfs/dbraw/zinc/31/68/28/1072316828.db2.gz IRGHUSAORPKTQP-JTQLQIEISA-N 0 0 437.272 -0.408 20 0 IBADRN O=C(COC(=O)CCn1cc(Br)cn1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778104507 1072316896 /nfs/dbraw/zinc/31/68/96/1072316896.db2.gz IRGHUSAORPKTQP-SNVBAGLBSA-N 0 0 437.272 -0.408 20 0 IBADRN Cc1c(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nnn1-c1ccccc1 ZINC000778104614 1072316848 /nfs/dbraw/zinc/31/68/48/1072316848.db2.gz JWGBFJLCNQLVRC-CYBMUJFWSA-N 0 0 435.462 -0.326 20 0 IBADRN Cc1c(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nnn1-c1ccccc1 ZINC000778104615 1072316773 /nfs/dbraw/zinc/31/67/73/1072316773.db2.gz JWGBFJLCNQLVRC-ZDUSSCGKSA-N 0 0 435.462 -0.326 20 0 IBADRN O=C(COC(=O)CCNC(=O)OCC(F)(F)F)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778104961 1072316375 /nfs/dbraw/zinc/31/63/75/1072316375.db2.gz MHADNGWRSGJTIO-MRVPVSSYSA-N 0 0 433.361 -0.779 20 0 IBADRN O=C(COC(=O)CCNC(=O)OCC(F)(F)F)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778104962 1072316352 /nfs/dbraw/zinc/31/63/52/1072316352.db2.gz MHADNGWRSGJTIO-QMMMGPOBSA-N 0 0 433.361 -0.779 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCN1C(=O)c1ccco1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778104969 1072316434 /nfs/dbraw/zinc/31/64/34/1072316434.db2.gz MSHYWLFOLPNIMG-CHWSQXEVSA-N 0 0 441.462 -0.170 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCN1C(=O)c1ccco1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778104970 1072316330 /nfs/dbraw/zinc/31/63/30/1072316330.db2.gz MSHYWLFOLPNIMG-OLZOCXBDSA-N 0 0 441.462 -0.170 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCN1C(=O)c1ccco1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778104971 1072316339 /nfs/dbraw/zinc/31/63/39/1072316339.db2.gz MSHYWLFOLPNIMG-QWHCGFSZSA-N 0 0 441.462 -0.170 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCN1C(=O)c1ccco1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778104972 1072316270 /nfs/dbraw/zinc/31/62/70/1072316270.db2.gz MSHYWLFOLPNIMG-STQMWFEESA-N 0 0 441.462 -0.170 20 0 IBADRN NC(=O)c1cn(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c2ccccc12 ZINC000778105087 1072316260 /nfs/dbraw/zinc/31/62/60/1072316260.db2.gz PBCMOJYXJJIHKY-LLVKDONJSA-N 0 0 436.446 -0.704 20 0 IBADRN NC(=O)c1cn(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c2ccccc12 ZINC000778105088 1072316382 /nfs/dbraw/zinc/31/63/82/1072316382.db2.gz PBCMOJYXJJIHKY-NSHDSACASA-N 0 0 436.446 -0.704 20 0 IBADRN COc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OCCO ZINC000778106003 1072316908 /nfs/dbraw/zinc/31/69/08/1072316908.db2.gz QOWWBWZPGISVKK-GFCCVEGCSA-N 0 0 430.435 -0.764 20 0 IBADRN COc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OCCO ZINC000778106015 1072316760 /nfs/dbraw/zinc/31/67/60/1072316760.db2.gz QOWWBWZPGISVKK-LBPRGKRZSA-N 0 0 430.435 -0.764 20 0 IBADRN O=C(COC(=O)c1cccc(N2CCOC2=O)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778106197 1072316859 /nfs/dbraw/zinc/31/68/59/1072316859.db2.gz TYGAFJZUVYFVOB-GFCCVEGCSA-N 0 0 425.419 -0.187 20 0 IBADRN O=C(COC(=O)c1cccc(N2CCOC2=O)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778106198 1072316737 /nfs/dbraw/zinc/31/67/37/1072316737.db2.gz TYGAFJZUVYFVOB-LBPRGKRZSA-N 0 0 425.419 -0.187 20 0 IBADRN O=C(COC(=O)C1CCN(CC(F)(F)F)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778106736 1072316412 /nfs/dbraw/zinc/31/64/12/1072316412.db2.gz XUSWYPOEBNGSDC-LLVKDONJSA-N 0 0 429.417 -0.183 20 0 IBADRN O=C(COC(=O)C1CCN(CC(F)(F)F)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778106740 1072316360 /nfs/dbraw/zinc/31/63/60/1072316360.db2.gz XUSWYPOEBNGSDC-NSHDSACASA-N 0 0 429.417 -0.183 20 0 IBADRN O=C(COC(=O)CNC(=O)c1cc(F)cc(F)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778106744 1072316316 /nfs/dbraw/zinc/31/63/16/1072316316.db2.gz BZTRDYWVJHGZRS-GFCCVEGCSA-N 0 0 433.389 -0.749 20 0 IBADRN O=C(COC(=O)CNC(=O)c1cc(F)cc(F)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778106754 1072316249 /nfs/dbraw/zinc/31/62/49/1072316249.db2.gz BZTRDYWVJHGZRS-LBPRGKRZSA-N 0 0 433.389 -0.749 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(CC(F)(F)F)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778106802 1072316393 /nfs/dbraw/zinc/31/63/93/1072316393.db2.gz CSJUVQLKOXBMFQ-GHMZBOCLSA-N 0 0 429.417 -0.183 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(CC(F)(F)F)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778106804 1072316448 /nfs/dbraw/zinc/31/64/48/1072316448.db2.gz CSJUVQLKOXBMFQ-MNOVXSKESA-N 0 0 429.417 -0.183 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(CC(F)(F)F)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778106807 1072316458 /nfs/dbraw/zinc/31/64/58/1072316458.db2.gz CSJUVQLKOXBMFQ-QWRGUYRKSA-N 0 0 429.417 -0.183 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(CC(F)(F)F)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778106810 1072316788 /nfs/dbraw/zinc/31/67/88/1072316788.db2.gz CSJUVQLKOXBMFQ-WDEREUQCSA-N 0 0 429.417 -0.183 20 0 IBADRN O=C(COC(=O)[C@@H]1CCN(c2ccc(F)cc2)C1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778107434 1072316811 /nfs/dbraw/zinc/31/68/11/1072316811.db2.gz HNXJBGMUXVNFGI-GXTWGEPZSA-N 0 0 441.437 -0.265 20 0 IBADRN O=C(COC(=O)[C@H]1CCN(c2ccc(F)cc2)C1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778107435 1072316842 /nfs/dbraw/zinc/31/68/42/1072316842.db2.gz HNXJBGMUXVNFGI-JSGCOSHPSA-N 0 0 441.437 -0.265 20 0 IBADRN O=C(COC(=O)[C@H]1CCN(c2ccc(F)cc2)C1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778107436 1072316782 /nfs/dbraw/zinc/31/67/82/1072316782.db2.gz HNXJBGMUXVNFGI-OCCSQVGLSA-N 0 0 441.437 -0.265 20 0 IBADRN O=C(COC(=O)[C@@H]1CCN(c2ccc(F)cc2)C1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778107437 1072316747 /nfs/dbraw/zinc/31/67/47/1072316747.db2.gz HNXJBGMUXVNFGI-TZMCWYRMSA-N 0 0 441.437 -0.265 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1N1CCNC1=O ZINC000778108820 1072316877 /nfs/dbraw/zinc/31/68/77/1072316877.db2.gz XPDXGIRDBUVYRO-CYBMUJFWSA-N 0 0 438.462 -0.306 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1N1CCNC1=O ZINC000778108826 1072316795 /nfs/dbraw/zinc/31/67/95/1072316795.db2.gz XPDXGIRDBUVYRO-ZDUSSCGKSA-N 0 0 438.462 -0.306 20 0 IBADRN O=C(COC(=O)c1ccccc1N1CCOCC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778109897 1072316916 /nfs/dbraw/zinc/31/69/16/1072316916.db2.gz DEXKJVTUAXGJIA-CYBMUJFWSA-N 0 0 425.463 -0.307 20 0 IBADRN O=C(COC(=O)c1ccccc1N1CCOCC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778109898 1072316865 /nfs/dbraw/zinc/31/68/65/1072316865.db2.gz DEXKJVTUAXGJIA-ZDUSSCGKSA-N 0 0 425.463 -0.307 20 0 IBADRN O=C(COC(=O)CNC(=O)OCc1ccccc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778110255 1072317816 /nfs/dbraw/zinc/31/78/16/1072317816.db2.gz IHEKQZMQDCBIHY-CYBMUJFWSA-N 0 0 427.435 -0.531 20 0 IBADRN O=C(COC(=O)CNC(=O)OCc1ccccc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778110256 1072317948 /nfs/dbraw/zinc/31/79/48/1072317948.db2.gz IHEKQZMQDCBIHY-ZDUSSCGKSA-N 0 0 427.435 -0.531 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778110466 1072317351 /nfs/dbraw/zinc/31/73/51/1072317351.db2.gz IRWBOPZBKIAFTE-CHWSQXEVSA-N 0 0 431.511 -0.173 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778110467 1072317402 /nfs/dbraw/zinc/31/74/02/1072317402.db2.gz IRWBOPZBKIAFTE-OLZOCXBDSA-N 0 0 431.511 -0.173 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778110468 1072317295 /nfs/dbraw/zinc/31/72/95/1072317295.db2.gz IRWBOPZBKIAFTE-QWHCGFSZSA-N 0 0 431.511 -0.173 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778110469 1072317221 /nfs/dbraw/zinc/31/72/21/1072317221.db2.gz IRWBOPZBKIAFTE-STQMWFEESA-N 0 0 431.511 -0.173 20 0 IBADRN CCS(=O)(=O)Nc1ccccc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778110614 1072317383 /nfs/dbraw/zinc/31/73/83/1072317383.db2.gz NPYAYRPREANSMK-LLVKDONJSA-N 0 0 447.491 -0.382 20 0 IBADRN CCS(=O)(=O)Nc1ccccc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778110615 1072317363 /nfs/dbraw/zinc/31/73/63/1072317363.db2.gz NPYAYRPREANSMK-NSHDSACASA-N 0 0 447.491 -0.382 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCOCC2)cc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778110818 1072317313 /nfs/dbraw/zinc/31/73/13/1072317313.db2.gz PQAYCSQZAMJZRR-AWEZNQCLSA-N 0 0 425.463 -0.307 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCOCC2)cc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778110819 1072317411 /nfs/dbraw/zinc/31/74/11/1072317411.db2.gz PQAYCSQZAMJZRR-CQSZACIVSA-N 0 0 425.463 -0.307 20 0 IBADRN COc1ccc2c(c1)OC[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C2 ZINC000778110908 1072317212 /nfs/dbraw/zinc/31/72/12/1072317212.db2.gz RIFLMYKTSJCGOI-CHWSQXEVSA-N 0 0 426.447 -0.198 20 0 IBADRN COc1ccc2c(c1)OC[C@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C2 ZINC000778110909 1072317179 /nfs/dbraw/zinc/31/71/79/1072317179.db2.gz RIFLMYKTSJCGOI-OLZOCXBDSA-N 0 0 426.447 -0.198 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C2 ZINC000778110910 1072317256 /nfs/dbraw/zinc/31/72/56/1072317256.db2.gz RIFLMYKTSJCGOI-QWHCGFSZSA-N 0 0 426.447 -0.198 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C2 ZINC000778110911 1072317198 /nfs/dbraw/zinc/31/71/98/1072317198.db2.gz RIFLMYKTSJCGOI-STQMWFEESA-N 0 0 426.447 -0.198 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000778111514 1072317764 /nfs/dbraw/zinc/31/77/64/1072317764.db2.gz ZCVQCUINARUKMC-GFCCVEGCSA-N 0 0 432.476 -0.350 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000778111515 1072317805 /nfs/dbraw/zinc/31/78/05/1072317805.db2.gz ZCVQCUINARUKMC-LBPRGKRZSA-N 0 0 432.476 -0.350 20 0 IBADRN CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778112395 1072317420 /nfs/dbraw/zinc/31/74/20/1072317420.db2.gz COYBCFJMFRFEDP-BFHYXJOUSA-N 0 0 431.511 -0.173 20 0 IBADRN CC[C@H](C)C(=O)N1CCC[C@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778112397 1072317441 /nfs/dbraw/zinc/31/74/41/1072317441.db2.gz COYBCFJMFRFEDP-IHRRRGAJSA-N 0 0 431.511 -0.173 20 0 IBADRN CC[C@H](C)C(=O)N1CCC[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778112401 1072317339 /nfs/dbraw/zinc/31/73/39/1072317339.db2.gz COYBCFJMFRFEDP-MELADBBJSA-N 0 0 431.511 -0.173 20 0 IBADRN CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778112403 1072317278 /nfs/dbraw/zinc/31/72/78/1072317278.db2.gz COYBCFJMFRFEDP-MJBXVCDLSA-N 0 0 431.511 -0.173 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778112708 1072316818 /nfs/dbraw/zinc/31/68/18/1072316818.db2.gz CMRWQUYTHPBRNN-AAEUAGOBSA-N 0 0 441.437 -0.265 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778112714 1072317326 /nfs/dbraw/zinc/31/73/26/1072317326.db2.gz CMRWQUYTHPBRNN-DGCLKSJQSA-N 0 0 441.437 -0.265 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778112716 1072317305 /nfs/dbraw/zinc/31/73/05/1072317305.db2.gz CMRWQUYTHPBRNN-WCQYABFASA-N 0 0 441.437 -0.265 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778112720 1072317236 /nfs/dbraw/zinc/31/72/36/1072317236.db2.gz CMRWQUYTHPBRNN-YPMHNXCESA-N 0 0 441.437 -0.265 20 0 IBADRN CC(C)(C)OC(=O)N1CC=C(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000778112779 1072317430 /nfs/dbraw/zinc/31/74/30/1072317430.db2.gz MMNMKKGNTPXGBZ-UHFFFAOYSA-N 0 0 438.572 -0.035 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c(O)c1 ZINC000778112953 1072318466 /nfs/dbraw/zinc/31/84/66/1072318466.db2.gz HDAZTLVJQAIXQI-GFCCVEGCSA-N 0 0 441.462 -0.623 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c(O)c1 ZINC000778112954 1072318289 /nfs/dbraw/zinc/31/82/89/1072318289.db2.gz HDAZTLVJQAIXQI-LBPRGKRZSA-N 0 0 441.462 -0.623 20 0 IBADRN Cc1ccc(CCC(=O)NCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000778113498 1072318314 /nfs/dbraw/zinc/31/83/14/1072318314.db2.gz MZVBUASUPOKVSZ-HNNXBMFYSA-N 0 0 439.490 -0.400 20 0 IBADRN Cc1ccc(CCC(=O)NCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000778113500 1072318683 /nfs/dbraw/zinc/31/86/83/1072318683.db2.gz MZVBUASUPOKVSZ-OAHLLOKOSA-N 0 0 439.490 -0.400 20 0 IBADRN CC(C)(C)CC(=O)N1CCC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778113575 1072318385 /nfs/dbraw/zinc/31/83/85/1072318385.db2.gz OAYLYRJTTXDNJN-CHWSQXEVSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)(C)CC(=O)N1CCC[C@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778113578 1072318271 /nfs/dbraw/zinc/31/82/71/1072318271.db2.gz OAYLYRJTTXDNJN-OLZOCXBDSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)(C)CC(=O)N1CCC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778113579 1072318459 /nfs/dbraw/zinc/31/84/59/1072318459.db2.gz OAYLYRJTTXDNJN-QWHCGFSZSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)(C)CC(=O)N1CCC[C@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778113581 1072318338 /nfs/dbraw/zinc/31/83/38/1072318338.db2.gz OAYLYRJTTXDNJN-STQMWFEESA-N 0 0 431.511 -0.030 20 0 IBADRN CCN(C)C(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000778113766 1072318397 /nfs/dbraw/zinc/31/83/97/1072318397.db2.gz CMQVNHSRWLAZEN-AWEZNQCLSA-N 0 0 425.463 -0.052 20 0 IBADRN CCN(C)C(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000778113768 1072318258 /nfs/dbraw/zinc/31/82/58/1072318258.db2.gz CMQVNHSRWLAZEN-CQSZACIVSA-N 0 0 425.463 -0.052 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cnnn2)cc1Cl)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778114389 1072318790 /nfs/dbraw/zinc/31/87/90/1072318790.db2.gz FNLRSTAORFKHPH-SECBINFHSA-N 0 0 442.841 -0.515 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cnnn2)cc1Cl)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778114401 1072318895 /nfs/dbraw/zinc/31/88/95/1072318895.db2.gz FNLRSTAORFKHPH-VIFPVBQESA-N 0 0 442.841 -0.515 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778114648 1072318714 /nfs/dbraw/zinc/31/87/14/1072318714.db2.gz LJBLJWZOMHHHCX-CLFQDTNISA-N 0 0 447.535 -0.200 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778114657 1072318746 /nfs/dbraw/zinc/31/87/46/1072318746.db2.gz LJBLJWZOMHHHCX-FZXKYSEESA-N 0 0 447.535 -0.200 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778114663 1072318902 /nfs/dbraw/zinc/31/89/02/1072318902.db2.gz LJBLJWZOMHHHCX-UDLQBDOPSA-N 0 0 447.535 -0.200 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778114666 1072318975 /nfs/dbraw/zinc/31/89/75/1072318975.db2.gz LJBLJWZOMHHHCX-ZYFYVMIWSA-N 0 0 447.535 -0.200 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778115073 1072317858 /nfs/dbraw/zinc/31/78/58/1072317858.db2.gz JXKFMMBHBHHOOX-DOMZBBRYSA-N 0 0 440.478 -0.608 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778115074 1072317915 /nfs/dbraw/zinc/31/79/15/1072317915.db2.gz JXKFMMBHBHHOOX-IUODEOHRSA-N 0 0 440.478 -0.608 20 0 IBADRN CC(C)[C@@H](NC(=O)c1ccccn1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778115076 1072317936 /nfs/dbraw/zinc/31/79/36/1072317936.db2.gz JXKFMMBHBHHOOX-SWLSCSKDSA-N 0 0 440.478 -0.608 20 0 IBADRN CC(C)[C@H](NC(=O)c1ccccn1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778115078 1072317780 /nfs/dbraw/zinc/31/77/80/1072317780.db2.gz JXKFMMBHBHHOOX-WFASDCNBSA-N 0 0 440.478 -0.608 20 0 IBADRN O=C(COC(=O)c1cc(F)ccc1N1CCOCC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778115127 1072317795 /nfs/dbraw/zinc/31/77/95/1072317795.db2.gz NGZPIGRFLGVSBJ-CYBMUJFWSA-N 0 0 443.453 -0.168 20 0 IBADRN O=C(COC(=O)c1cc(F)ccc1N1CCOCC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778115128 1072317848 /nfs/dbraw/zinc/31/78/48/1072317848.db2.gz NGZPIGRFLGVSBJ-ZDUSSCGKSA-N 0 0 443.453 -0.168 20 0 IBADRN O=C(COC(=O)CNC(=O)c1c(F)cccc1Cl)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778115133 1072317886 /nfs/dbraw/zinc/31/78/86/1072317886.db2.gz NHROVEKDIUSGCJ-SECBINFHSA-N 0 0 449.844 -0.235 20 0 IBADRN O=C(COC(=O)CNC(=O)c1c(F)cccc1Cl)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778115147 1072317931 /nfs/dbraw/zinc/31/79/31/1072317931.db2.gz NHROVEKDIUSGCJ-VIFPVBQESA-N 0 0 449.844 -0.235 20 0 IBADRN CC[C@@H]1Nc2ccc(C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2NC1=O ZINC000778115414 1072318359 /nfs/dbraw/zinc/31/83/59/1072318359.db2.gz JTVQDJKMZLRDLQ-NEPJUHHUSA-N 0 0 438.462 -0.001 20 0 IBADRN CC[C@H]1Nc2ccc(C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)cc2NC1=O ZINC000778115415 1072318306 /nfs/dbraw/zinc/31/83/06/1072318306.db2.gz JTVQDJKMZLRDLQ-NWDGAFQWSA-N 0 0 438.462 -0.001 20 0 IBADRN CC[C@@H]1Nc2ccc(C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)cc2NC1=O ZINC000778115416 1072318225 /nfs/dbraw/zinc/31/82/25/1072318225.db2.gz JTVQDJKMZLRDLQ-RYUDHWBXSA-N 0 0 438.462 -0.001 20 0 IBADRN CC[C@H]1Nc2ccc(C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2NC1=O ZINC000778115417 1072318425 /nfs/dbraw/zinc/31/84/25/1072318425.db2.gz JTVQDJKMZLRDLQ-VXGBXAGGSA-N 0 0 438.462 -0.001 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778115458 1072317827 /nfs/dbraw/zinc/31/78/27/1072317827.db2.gz LKFIHTYLCJXUTR-LLVKDONJSA-N 0 0 439.450 -0.850 20 0 IBADRN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778115459 1072317896 /nfs/dbraw/zinc/31/78/96/1072317896.db2.gz LKFIHTYLCJXUTR-NSHDSACASA-N 0 0 439.450 -0.850 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCOCC2)c(F)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778115659 1072317876 /nfs/dbraw/zinc/31/78/76/1072317876.db2.gz PFQVFYUZMLRCQR-CYBMUJFWSA-N 0 0 443.453 -0.168 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCOCC2)c(F)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778115664 1072317944 /nfs/dbraw/zinc/31/79/44/1072317944.db2.gz PFQVFYUZMLRCQR-ZDUSSCGKSA-N 0 0 443.453 -0.168 20 0 IBADRN COCCCOc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000778115823 1072317734 /nfs/dbraw/zinc/31/77/34/1072317734.db2.gz WWRCIFJJESXTJN-CYBMUJFWSA-N 0 0 429.451 -0.333 20 0 IBADRN COCCCOc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC000778115824 1072317952 /nfs/dbraw/zinc/31/79/52/1072317952.db2.gz WWRCIFJJESXTJN-ZDUSSCGKSA-N 0 0 429.451 -0.333 20 0 IBADRN CC(=O)N(CCC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1ccccc1 ZINC000778115850 1072317754 /nfs/dbraw/zinc/31/77/54/1072317754.db2.gz XMDANYYYFJGMOC-INIZCTEOSA-N 0 0 439.490 -0.019 20 0 IBADRN CC(=O)N(CCC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1ccccc1 ZINC000778115853 1072317869 /nfs/dbraw/zinc/31/78/69/1072317869.db2.gz XMDANYYYFJGMOC-MRXNPFEDSA-N 0 0 439.490 -0.019 20 0 IBADRN C[C@H]1Oc2c(cccc2C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)NC1=O ZINC000778115866 1072318449 /nfs/dbraw/zinc/31/84/49/1072318449.db2.gz MOZDZCVUDHIUPW-NXEZZACHSA-N 0 0 425.419 -0.424 20 0 IBADRN C[C@@H]1Oc2c(cccc2C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)NC1=O ZINC000778115869 1072318411 /nfs/dbraw/zinc/31/84/11/1072318411.db2.gz MOZDZCVUDHIUPW-UWVGGRQHSA-N 0 0 425.419 -0.424 20 0 IBADRN C[C@@H]1Oc2c(cccc2C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)NC1=O ZINC000778115870 1072318351 /nfs/dbraw/zinc/31/83/51/1072318351.db2.gz MOZDZCVUDHIUPW-VHSXEESVSA-N 0 0 425.419 -0.424 20 0 IBADRN C[C@H]1Oc2c(cccc2C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)NC1=O ZINC000778115871 1072318246 /nfs/dbraw/zinc/31/82/46/1072318246.db2.gz MOZDZCVUDHIUPW-ZJUUUORDSA-N 0 0 425.419 -0.424 20 0 IBADRN CC1CCC(N2C[C@H](C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)CC1 ZINC000778116178 1072319444 /nfs/dbraw/zinc/31/94/44/1072319444.db2.gz SNQQJUMATKVLTR-FUUBYGMNSA-N 0 0 443.522 -0.030 20 0 IBADRN CC1CCC(N2C[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)CC1 ZINC000778116179 1072319988 /nfs/dbraw/zinc/31/99/88/1072319988.db2.gz SNQQJUMATKVLTR-GQKFXUNGSA-N 0 0 443.522 -0.030 20 0 IBADRN CC1CCC(N2C[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)CC1 ZINC000778116180 1072319933 /nfs/dbraw/zinc/31/99/33/1072319933.db2.gz SNQQJUMATKVLTR-PIOWNMBXSA-N 0 0 443.522 -0.030 20 0 IBADRN CC1CCC(N2C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)CC1 ZINC000778116181 1072319863 /nfs/dbraw/zinc/31/98/63/1072319863.db2.gz SNQQJUMATKVLTR-RAFNIBEQSA-N 0 0 443.522 -0.030 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778116189 1072319974 /nfs/dbraw/zinc/31/99/74/1072319974.db2.gz STCWXNASHFDZPU-NEPJUHHUSA-N 0 0 449.551 -0.120 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778116190 1072319836 /nfs/dbraw/zinc/31/98/36/1072319836.db2.gz STCWXNASHFDZPU-NWDGAFQWSA-N 0 0 449.551 -0.120 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778116191 1072319940 /nfs/dbraw/zinc/31/99/40/1072319940.db2.gz STCWXNASHFDZPU-RYUDHWBXSA-N 0 0 449.551 -0.120 20 0 IBADRN CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778116192 1072319892 /nfs/dbraw/zinc/31/98/92/1072319892.db2.gz STCWXNASHFDZPU-VXGBXAGGSA-N 0 0 449.551 -0.120 20 0 IBADRN CCCCC(=O)N1CSC[C@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778116211 1072319883 /nfs/dbraw/zinc/31/98/83/1072319883.db2.gz UGKYUNHCMWUJKU-NEPJUHHUSA-N 0 0 435.524 -0.366 20 0 IBADRN CCCCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778116212 1072319854 /nfs/dbraw/zinc/31/98/54/1072319854.db2.gz UGKYUNHCMWUJKU-NWDGAFQWSA-N 0 0 435.524 -0.366 20 0 IBADRN CCCCC(=O)N1CSC[C@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778116213 1072319919 /nfs/dbraw/zinc/31/99/19/1072319919.db2.gz UGKYUNHCMWUJKU-RYUDHWBXSA-N 0 0 435.524 -0.366 20 0 IBADRN CCCCC(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778116214 1072319926 /nfs/dbraw/zinc/31/99/26/1072319926.db2.gz UGKYUNHCMWUJKU-VXGBXAGGSA-N 0 0 435.524 -0.366 20 0 IBADRN O=C(COC(=O)[C@H]1CSCN1C(=O)C1CCCC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778116386 1072319405 /nfs/dbraw/zinc/31/94/05/1072319405.db2.gz WJUQZPAMXHKWBP-CHWSQXEVSA-N 0 0 447.535 -0.366 20 0 IBADRN O=C(COC(=O)[C@@H]1CSCN1C(=O)C1CCCC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778116387 1072319423 /nfs/dbraw/zinc/31/94/23/1072319423.db2.gz WJUQZPAMXHKWBP-OLZOCXBDSA-N 0 0 447.535 -0.366 20 0 IBADRN O=C(COC(=O)[C@H]1CSCN1C(=O)C1CCCC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778116388 1072319548 /nfs/dbraw/zinc/31/95/48/1072319548.db2.gz WJUQZPAMXHKWBP-QWHCGFSZSA-N 0 0 447.535 -0.366 20 0 IBADRN O=C(COC(=O)[C@@H]1CSCN1C(=O)C1CCCC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778116389 1072319454 /nfs/dbraw/zinc/31/94/54/1072319454.db2.gz WJUQZPAMXHKWBP-STQMWFEESA-N 0 0 447.535 -0.366 20 0 IBADRN COC(=O)c1ccc(CCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000778116830 1072318935 /nfs/dbraw/zinc/31/89/35/1072318935.db2.gz AKCPXKJECFIXAH-AWEZNQCLSA-N 0 0 426.447 -0.038 20 0 IBADRN COC(=O)c1ccc(CCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000778116831 1072318701 /nfs/dbraw/zinc/31/87/01/1072318701.db2.gz AKCPXKJECFIXAH-CQSZACIVSA-N 0 0 426.447 -0.038 20 0 IBADRN CC(C)(C)C(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778116982 1072319414 /nfs/dbraw/zinc/31/94/14/1072319414.db2.gz YTSMLVCCTNTDSG-GHMZBOCLSA-N 0 0 435.524 -0.510 20 0 IBADRN CC(C)(C)C(=O)N1CSC[C@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778116988 1072319280 /nfs/dbraw/zinc/31/92/80/1072319280.db2.gz YTSMLVCCTNTDSG-MNOVXSKESA-N 0 0 435.524 -0.510 20 0 IBADRN CC(C)(C)C(=O)N1CSC[C@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778116989 1072319538 /nfs/dbraw/zinc/31/95/38/1072319538.db2.gz YTSMLVCCTNTDSG-QWRGUYRKSA-N 0 0 435.524 -0.510 20 0 IBADRN CC(C)(C)C(=O)N1CSC[C@@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778116992 1072319397 /nfs/dbraw/zinc/31/93/97/1072319397.db2.gz YTSMLVCCTNTDSG-WDEREUQCSA-N 0 0 435.524 -0.510 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCC(O)CC2)cc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778117425 1072319433 /nfs/dbraw/zinc/31/94/33/1072319433.db2.gz FCWHLNUIQCIIKN-AWEZNQCLSA-N 0 0 439.490 -0.183 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCC(O)CC2)cc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778117426 1072319567 /nfs/dbraw/zinc/31/95/67/1072319567.db2.gz FCWHLNUIQCIIKN-CQSZACIVSA-N 0 0 439.490 -0.183 20 0 IBADRN COc1ccc(C=O)c(OCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000778118114 1072319557 /nfs/dbraw/zinc/31/95/57/1072319557.db2.gz KTQNRTRNLXCCQD-GFCCVEGCSA-N 0 0 428.419 -0.557 20 0 IBADRN COc1ccc(C=O)c(OCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000778118115 1072319524 /nfs/dbraw/zinc/31/95/24/1072319524.db2.gz KTQNRTRNLXCCQD-LBPRGKRZSA-N 0 0 428.419 -0.557 20 0 IBADRN CCc1nc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nn1-c1ccccc1 ZINC000778119723 1072319909 /nfs/dbraw/zinc/31/99/09/1072319909.db2.gz AOABCTMLKQQQLL-GFCCVEGCSA-N 0 0 435.462 -0.001 20 0 IBADRN CCc1nc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nn1-c1ccccc1 ZINC000778119725 1072319947 /nfs/dbraw/zinc/31/99/47/1072319947.db2.gz AOABCTMLKQQQLL-LBPRGKRZSA-N 0 0 435.462 -0.001 20 0 IBADRN CN(C)C(=O)Nc1cccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000778120776 1072319965 /nfs/dbraw/zinc/31/99/65/1072319965.db2.gz CEQZETVEASSSCB-CYBMUJFWSA-N 0 0 426.451 -0.050 20 0 IBADRN CN(C)C(=O)Nc1cccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000778120777 1072319981 /nfs/dbraw/zinc/31/99/81/1072319981.db2.gz CEQZETVEASSSCB-ZDUSSCGKSA-N 0 0 426.451 -0.050 20 0 IBADRN O=C(COC(=O)c1cccc(CN2CCOCC2)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778121109 1072320396 /nfs/dbraw/zinc/32/03/96/1072320396.db2.gz ILGCZXSTQBFFKD-INIZCTEOSA-N 0 0 439.490 -0.312 20 0 IBADRN O=C(COC(=O)c1cccc(CN2CCOCC2)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778121110 1072320408 /nfs/dbraw/zinc/32/04/08/1072320408.db2.gz ILGCZXSTQBFFKD-MRXNPFEDSA-N 0 0 439.490 -0.312 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778121333 1072320484 /nfs/dbraw/zinc/32/04/84/1072320484.db2.gz RIKZPHOLAKFPMX-GUTXKFCHSA-N 0 0 446.526 -0.237 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778121335 1072320386 /nfs/dbraw/zinc/32/03/86/1072320386.db2.gz RIKZPHOLAKFPMX-IPYPFGDCSA-N 0 0 446.526 -0.237 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778121337 1072320449 /nfs/dbraw/zinc/32/04/49/1072320449.db2.gz RIKZPHOLAKFPMX-NFAWXSAZSA-N 0 0 446.526 -0.237 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778121338 1072320277 /nfs/dbraw/zinc/32/02/77/1072320277.db2.gz RIKZPHOLAKFPMX-YDHLFZDLSA-N 0 0 446.526 -0.237 20 0 IBADRN O=C(COC(=O)CCN1C(=O)CCc2ccccc21)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778121832 1072319955 /nfs/dbraw/zinc/31/99/55/1072319955.db2.gz MHPBKGBQIWNSPH-AWEZNQCLSA-N 0 0 437.474 -0.088 20 0 IBADRN O=C(COC(=O)CCN1C(=O)CCc2ccccc21)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778121833 1072320257 /nfs/dbraw/zinc/32/02/57/1072320257.db2.gz MHPBKGBQIWNSPH-CQSZACIVSA-N 0 0 437.474 -0.088 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000778121852 1072320352 /nfs/dbraw/zinc/32/03/52/1072320352.db2.gz MWYMCRJELOTRBG-CTASWTNQSA-N 0 0 433.527 -0.324 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000778121854 1072320462 /nfs/dbraw/zinc/32/04/62/1072320462.db2.gz MWYMCRJELOTRBG-FQLMCAECSA-N 0 0 433.527 -0.324 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1C[C@@H](C)O[C@H](C)C1 ZINC000778121856 1072320473 /nfs/dbraw/zinc/32/04/73/1072320473.db2.gz MWYMCRJELOTRBG-KQTLUZQSSA-N 0 0 433.527 -0.324 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1C[C@@H](C)O[C@H](C)C1 ZINC000778121858 1072320269 /nfs/dbraw/zinc/32/02/69/1072320269.db2.gz MWYMCRJELOTRBG-NYTXWWLZSA-N 0 0 433.527 -0.324 20 0 IBADRN CCCNC(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000778122041 1072320507 /nfs/dbraw/zinc/32/05/07/1072320507.db2.gz DAJWNJHWPHWAJW-AWEZNQCLSA-N 0 0 425.463 -0.004 20 0 IBADRN CCCNC(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000778122044 1072320921 /nfs/dbraw/zinc/32/09/21/1072320921.db2.gz DAJWNJHWPHWAJW-CQSZACIVSA-N 0 0 425.463 -0.004 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778122566 1072320490 /nfs/dbraw/zinc/32/04/90/1072320490.db2.gz STLBGLDGVAPBDP-KBPBESRZSA-N 0 0 446.526 -0.380 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778122567 1072320500 /nfs/dbraw/zinc/32/05/00/1072320500.db2.gz STLBGLDGVAPBDP-KGLIPLIRSA-N 0 0 446.526 -0.380 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778122568 1072320295 /nfs/dbraw/zinc/32/02/95/1072320295.db2.gz STLBGLDGVAPBDP-UONOGXRCSA-N 0 0 446.526 -0.380 20 0 IBADRN CC(C)CNC(=O)N1CCC[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778122569 1072320287 /nfs/dbraw/zinc/32/02/87/1072320287.db2.gz STLBGLDGVAPBDP-ZIAGYGMSSA-N 0 0 446.526 -0.380 20 0 IBADRN O=C(COC(=O)[C@@H]1CN(Cc2ccccc2)CCO1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778122686 1072320300 /nfs/dbraw/zinc/32/03/00/1072320300.db2.gz LJDRIHPVNWJEBN-CVEARBPZSA-N 0 0 439.490 -0.557 20 0 IBADRN O=C(COC(=O)[C@@H]1CN(Cc2ccccc2)CCO1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778122687 1072320420 /nfs/dbraw/zinc/32/04/20/1072320420.db2.gz LJDRIHPVNWJEBN-HOTGVXAUSA-N 0 0 439.490 -0.557 20 0 IBADRN O=C(COC(=O)[C@H]1CN(Cc2ccccc2)CCO1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778122688 1072320364 /nfs/dbraw/zinc/32/03/64/1072320364.db2.gz LJDRIHPVNWJEBN-HZPDHXFCSA-N 0 0 439.490 -0.557 20 0 IBADRN O=C(COC(=O)[C@H]1CN(Cc2ccccc2)CCO1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778122689 1072320325 /nfs/dbraw/zinc/32/03/25/1072320325.db2.gz LJDRIHPVNWJEBN-JKSUJKDBSA-N 0 0 439.490 -0.557 20 0 IBADRN O=C(COC(=O)c1cn(Cc2cccs2)nn1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778123334 1072320897 /nfs/dbraw/zinc/32/08/97/1072320897.db2.gz BDQONIGYHKOAMO-JTQLQIEISA-N 0 0 427.464 -0.442 20 0 IBADRN O=C(COC(=O)c1cn(Cc2cccs2)nn1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778123335 1072320832 /nfs/dbraw/zinc/32/08/32/1072320832.db2.gz BDQONIGYHKOAMO-SNVBAGLBSA-N 0 0 427.464 -0.442 20 0 IBADRN CC(C)(C)C(=O)Cn1cc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nn1 ZINC000778123387 1072320928 /nfs/dbraw/zinc/32/09/28/1072320928.db2.gz CZUIYRJKFZVENX-JTQLQIEISA-N 0 0 429.455 -0.937 20 0 IBADRN CC(C)(C)C(=O)Cn1cc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nn1 ZINC000778123388 1072320970 /nfs/dbraw/zinc/32/09/70/1072320970.db2.gz CZUIYRJKFZVENX-SNVBAGLBSA-N 0 0 429.455 -0.937 20 0 IBADRN COc1cc(/C=C/C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1O ZINC000778124123 1072320885 /nfs/dbraw/zinc/32/08/85/1072320885.db2.gz KMQZMLAHMCYICV-AAOUONPWSA-N 0 0 442.446 -0.021 20 0 IBADRN COc1cc(/C=C\C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1O ZINC000778124124 1072320863 /nfs/dbraw/zinc/32/08/63/1072320863.db2.gz KMQZMLAHMCYICV-MVZIDQBPSA-N 0 0 442.446 -0.021 20 0 IBADRN COc1cc(/C=C/C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1O ZINC000778124125 1072320959 /nfs/dbraw/zinc/32/09/59/1072320959.db2.gz KMQZMLAHMCYICV-PCAWENJQSA-N 0 0 442.446 -0.021 20 0 IBADRN COc1cc(/C=C\C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1O ZINC000778124126 1072320937 /nfs/dbraw/zinc/32/09/37/1072320937.db2.gz KMQZMLAHMCYICV-VSQXVHSFSA-N 0 0 442.446 -0.021 20 0 IBADRN O=C(COC(=O)CNC(=O)c1cc2ccccc2[nH]1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778124466 1072321309 /nfs/dbraw/zinc/32/13/09/1072321309.db2.gz PFRSSWGQDGFFKY-GFCCVEGCSA-N 0 0 436.446 -0.546 20 0 IBADRN O=C(COC(=O)CNC(=O)c1cc2ccccc2[nH]1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778124467 1072321320 /nfs/dbraw/zinc/32/13/20/1072321320.db2.gz PFRSSWGQDGFFKY-LBPRGKRZSA-N 0 0 436.446 -0.546 20 0 IBADRN Cc1nn(-c2ccc(F)cc2)nc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778124847 1072320874 /nfs/dbraw/zinc/32/08/74/1072320874.db2.gz TUUWHNAWSQASJJ-GFCCVEGCSA-N 0 0 439.425 -0.115 20 0 IBADRN Cc1nn(-c2ccc(F)cc2)nc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778124861 1072320851 /nfs/dbraw/zinc/32/08/51/1072320851.db2.gz TUUWHNAWSQASJJ-LBPRGKRZSA-N 0 0 439.425 -0.115 20 0 IBADRN CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778125609 1072321015 /nfs/dbraw/zinc/32/10/15/1072321015.db2.gz AZMZWLMDRGYSHB-AAEUAGOBSA-N 0 0 434.515 -0.335 20 0 IBADRN CC(C)[C@H](NC(=O)NC(C)(C)C)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778125610 1072320996 /nfs/dbraw/zinc/32/09/96/1072320996.db2.gz AZMZWLMDRGYSHB-YPMHNXCESA-N 0 0 434.515 -0.335 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)n1nnc(-c2ccccc2)n1 ZINC000778125788 1072320821 /nfs/dbraw/zinc/32/08/21/1072320821.db2.gz GUWNDOLNCOFNKQ-AAEUAGOBSA-N 0 0 436.450 -0.543 20 0 IBADRN C[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)n1nnc(-c2ccccc2)n1 ZINC000778125793 1072321009 /nfs/dbraw/zinc/32/10/09/1072321009.db2.gz GUWNDOLNCOFNKQ-DGCLKSJQSA-N 0 0 436.450 -0.543 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)n1nnc(-c2ccccc2)n1 ZINC000778125796 1072320949 /nfs/dbraw/zinc/32/09/49/1072320949.db2.gz GUWNDOLNCOFNKQ-WCQYABFASA-N 0 0 436.450 -0.543 20 0 IBADRN C[C@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)n1nnc(-c2ccccc2)n1 ZINC000778125798 1072320842 /nfs/dbraw/zinc/32/08/42/1072320842.db2.gz GUWNDOLNCOFNKQ-YPMHNXCESA-N 0 0 436.450 -0.543 20 0 IBADRN O=C(COC(=O)c1nnn(C2CC2)c1C(F)(F)F)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778127226 1072322510 /nfs/dbraw/zinc/32/25/10/1072322510.db2.gz YUIZBZLNOPMGHC-SSDOTTSWSA-N 0 0 439.372 -0.199 20 0 IBADRN O=C(COC(=O)c1nnn(C2CC2)c1C(F)(F)F)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778127229 1072322430 /nfs/dbraw/zinc/32/24/30/1072322430.db2.gz YUIZBZLNOPMGHC-ZETCQYMHSA-N 0 0 439.372 -0.199 20 0 IBADRN O=C(COC(=O)C1(S(=O)(=O)c2ccccc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778127282 1072321905 /nfs/dbraw/zinc/32/19/05/1072321905.db2.gz WIDFSPIWAYJICF-GFCCVEGCSA-N 0 0 444.487 -0.451 20 0 IBADRN O=C(COC(=O)C1(S(=O)(=O)c2ccccc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778127291 1072322384 /nfs/dbraw/zinc/32/23/84/1072322384.db2.gz WIDFSPIWAYJICF-LBPRGKRZSA-N 0 0 444.487 -0.451 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778129619 1072322422 /nfs/dbraw/zinc/32/24/22/1072322422.db2.gz JEEOYEPZXGLKGZ-LLVKDONJSA-N 0 0 449.482 -0.822 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778129620 1072322413 /nfs/dbraw/zinc/32/24/13/1072322413.db2.gz JEEOYEPZXGLKGZ-NSHDSACASA-N 0 0 449.482 -0.822 20 0 IBADRN O=C(COC(=O)c1cn(Cc2cccc(F)c2)nn1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778130300 1072322562 /nfs/dbraw/zinc/32/25/62/1072322562.db2.gz GUEOGJBHZPDOCA-CYBMUJFWSA-N 0 0 439.425 -0.365 20 0 IBADRN O=C(COC(=O)c1cn(Cc2cccc(F)c2)nn1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778130306 1072322367 /nfs/dbraw/zinc/32/23/67/1072322367.db2.gz GUEOGJBHZPDOCA-ZDUSSCGKSA-N 0 0 439.425 -0.365 20 0 IBADRN CC(C)C[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1CCCCC1=O ZINC000778130430 1072322407 /nfs/dbraw/zinc/32/24/07/1072322407.db2.gz OAHLQLJCYKATQU-KBPBESRZSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCCCC1=O ZINC000778130431 1072322501 /nfs/dbraw/zinc/32/25/01/1072322501.db2.gz OAHLQLJCYKATQU-KGLIPLIRSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)C[C@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1CCCCC1=O ZINC000778130432 1072322375 /nfs/dbraw/zinc/32/23/75/1072322375.db2.gz OAHLQLJCYKATQU-UONOGXRCSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)C[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCCCC1=O ZINC000778130433 1072322489 /nfs/dbraw/zinc/32/24/89/1072322489.db2.gz OAHLQLJCYKATQU-ZIAGYGMSSA-N 0 0 431.511 -0.030 20 0 IBADRN C[C@H]1C(=O)Nc2cc(C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2N1C ZINC000778130556 1072322573 /nfs/dbraw/zinc/32/25/73/1072322573.db2.gz SELKQKINEXBRJG-CMPLNLGQSA-N 0 0 438.462 -0.367 20 0 IBADRN C[C@H]1C(=O)Nc2cc(C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2N1C ZINC000778130559 1072322521 /nfs/dbraw/zinc/32/25/21/1072322521.db2.gz SELKQKINEXBRJG-JQWIXIFHSA-N 0 0 438.462 -0.367 20 0 IBADRN C[C@@H]1C(=O)Nc2cc(C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2N1C ZINC000778130562 1072322395 /nfs/dbraw/zinc/32/23/95/1072322395.db2.gz SELKQKINEXBRJG-PWSUYJOCSA-N 0 0 438.462 -0.367 20 0 IBADRN C[C@@H]1C(=O)Nc2cc(C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2N1C ZINC000778130567 1072322456 /nfs/dbraw/zinc/32/24/56/1072322456.db2.gz SELKQKINEXBRJG-ZYHUDNBSSA-N 0 0 438.462 -0.367 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778130703 1072322476 /nfs/dbraw/zinc/32/24/76/1072322476.db2.gz UQNOCOIENLJPSB-GFCCVEGCSA-N 0 0 433.483 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778130704 1072322549 /nfs/dbraw/zinc/32/25/49/1072322549.db2.gz UQNOCOIENLJPSB-LBPRGKRZSA-N 0 0 433.483 -0.201 20 0 IBADRN CCC(CC)[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000778131017 1072322805 /nfs/dbraw/zinc/32/28/05/1072322805.db2.gz XSKBXMJJZDAVKY-GDBMZVCRSA-N 0 0 433.527 -0.321 20 0 IBADRN CCC(CC)[C@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000778131018 1072322885 /nfs/dbraw/zinc/32/28/85/1072322885.db2.gz XSKBXMJJZDAVKY-GOEBONIOSA-N 0 0 433.527 -0.321 20 0 IBADRN CCC(CC)[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000778131019 1072323069 /nfs/dbraw/zinc/32/30/69/1072323069.db2.gz XSKBXMJJZDAVKY-HOCLYGCPSA-N 0 0 433.527 -0.321 20 0 IBADRN CCC(CC)[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000778131020 1072322903 /nfs/dbraw/zinc/32/29/03/1072322903.db2.gz XSKBXMJJZDAVKY-ZBFHGGJFSA-N 0 0 433.527 -0.321 20 0 IBADRN COCCOc1cccc(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000778131096 1072322956 /nfs/dbraw/zinc/32/29/56/1072322956.db2.gz CFKMONSJZYQLHL-AWEZNQCLSA-N 0 0 428.463 -0.190 20 0 IBADRN COCCOc1cccc(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000778131097 1072323060 /nfs/dbraw/zinc/32/30/60/1072323060.db2.gz CFKMONSJZYQLHL-CQSZACIVSA-N 0 0 428.463 -0.190 20 0 IBADRN COc1cc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1OC(C)=O ZINC000778131711 1072322438 /nfs/dbraw/zinc/32/24/38/1072322438.db2.gz KAYGBCLJUJSKGL-GFCCVEGCSA-N 0 0 428.419 -0.210 20 0 IBADRN COc1cc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1OC(C)=O ZINC000778131712 1072323078 /nfs/dbraw/zinc/32/30/78/1072323078.db2.gz KAYGBCLJUJSKGL-LBPRGKRZSA-N 0 0 428.419 -0.210 20 0 IBADRN O=C(COC(=O)CCCNC(=O)c1cccnc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778131717 1072323004 /nfs/dbraw/zinc/32/30/04/1072323004.db2.gz KEMQGUKYTKPCHS-CYBMUJFWSA-N 0 0 426.451 -0.852 20 0 IBADRN O=C(COC(=O)CCCNC(=O)c1cccnc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778131718 1072323087 /nfs/dbraw/zinc/32/30/87/1072323087.db2.gz KEMQGUKYTKPCHS-ZDUSSCGKSA-N 0 0 426.451 -0.852 20 0 IBADRN CNC(=O)c1cccc(CCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000778132098 1072322993 /nfs/dbraw/zinc/32/29/93/1072322993.db2.gz CHDYQNAAANBAIU-AWEZNQCLSA-N 0 0 425.463 -0.465 20 0 IBADRN CNC(=O)c1cccc(CCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000778132099 1072322978 /nfs/dbraw/zinc/32/29/78/1072322978.db2.gz CHDYQNAAANBAIU-CQSZACIVSA-N 0 0 425.463 -0.465 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C1CC1 ZINC000778132745 1072322870 /nfs/dbraw/zinc/32/28/70/1072322870.db2.gz LPMJHDWDZINUML-LLVKDONJSA-N 0 0 433.483 -0.058 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C1CC1 ZINC000778132746 1072322895 /nfs/dbraw/zinc/32/28/95/1072322895.db2.gz LPMJHDWDZINUML-NSHDSACASA-N 0 0 433.483 -0.058 20 0 IBADRN O=C(COC(=O)c1cc2c(cc1F)NC(=O)CC2)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778133106 1072322792 /nfs/dbraw/zinc/32/27/92/1072322792.db2.gz IUGCNSMRHGMNQD-JTQLQIEISA-N 0 0 427.410 -0.120 20 0 IBADRN O=C(COC(=O)c1cc2c(cc1F)NC(=O)CC2)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778133109 1072323413 /nfs/dbraw/zinc/32/34/13/1072323413.db2.gz IUGCNSMRHGMNQD-SNVBAGLBSA-N 0 0 427.410 -0.120 20 0 IBADRN CC(C)(C)OC(=O)N1CC(=CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778133732 1072323624 /nfs/dbraw/zinc/32/36/24/1072323624.db2.gz GJESANKYLHAGSO-GFCCVEGCSA-N 0 0 431.467 -0.280 20 0 IBADRN CC(C)(C)OC(=O)N1CC(=CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778133733 1072323595 /nfs/dbraw/zinc/32/35/95/1072323595.db2.gz GJESANKYLHAGSO-LBPRGKRZSA-N 0 0 431.467 -0.280 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778134092 1072321534 /nfs/dbraw/zinc/32/15/34/1072321534.db2.gz XVVSVVNOLOQYLA-NEPJUHHUSA-N 0 0 449.482 -0.822 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778134094 1072321388 /nfs/dbraw/zinc/32/13/88/1072321388.db2.gz XVVSVVNOLOQYLA-NWDGAFQWSA-N 0 0 449.482 -0.822 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000778134096 1072322030 /nfs/dbraw/zinc/32/20/30/1072322030.db2.gz XVVSVVNOLOQYLA-RYUDHWBXSA-N 0 0 449.482 -0.822 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000778134098 1072322017 /nfs/dbraw/zinc/32/20/17/1072322017.db2.gz XVVSVVNOLOQYLA-VXGBXAGGSA-N 0 0 449.482 -0.822 20 0 IBADRN CCS(=O)(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000778134337 1072323432 /nfs/dbraw/zinc/32/34/32/1072323432.db2.gz JJPUCLKFNAEIIA-GFCCVEGCSA-N 0 0 432.476 -0.350 20 0 IBADRN CCS(=O)(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000778134338 1072323399 /nfs/dbraw/zinc/32/33/99/1072323399.db2.gz JJPUCLKFNAEIIA-LBPRGKRZSA-N 0 0 432.476 -0.350 20 0 IBADRN CC(C)NC(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000778134363 1072323384 /nfs/dbraw/zinc/32/33/84/1072323384.db2.gz KHZFICVRDXFGSV-AWEZNQCLSA-N 0 0 425.463 -0.006 20 0 IBADRN CC(C)NC(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000778134366 1072323424 /nfs/dbraw/zinc/32/34/24/1072323424.db2.gz KHZFICVRDXFGSV-CQSZACIVSA-N 0 0 425.463 -0.006 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC000778135019 1072321875 /nfs/dbraw/zinc/32/18/75/1072321875.db2.gz NYBMKHXHJQCOTQ-UHFFFAOYSA-N 0 0 440.565 -0.330 20 0 IBADRN CCc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(C)(=O)=O ZINC000778135151 1072321831 /nfs/dbraw/zinc/32/18/31/1072321831.db2.gz RDDOHWAJNPLBRM-CYBMUJFWSA-N 0 0 446.503 -0.178 20 0 IBADRN CCc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(C)(=O)=O ZINC000778135203 1072321965 /nfs/dbraw/zinc/32/19/65/1072321965.db2.gz RDDOHWAJNPLBRM-ZDUSSCGKSA-N 0 0 446.503 -0.178 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000778135295 1072322044 /nfs/dbraw/zinc/32/20/44/1072322044.db2.gz DOSRSEOLBXDOKE-GFCCVEGCSA-N 0 0 446.503 -0.123 20 0 IBADRN Cc1cc(S(C)(=O)=O)cc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000778135297 1072321865 /nfs/dbraw/zinc/32/18/65/1072321865.db2.gz DOSRSEOLBXDOKE-LBPRGKRZSA-N 0 0 446.503 -0.123 20 0 IBADRN Nc1c(Br)nccc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778135615 1072321944 /nfs/dbraw/zinc/32/19/44/1072321944.db2.gz GRBJEIFSBQYTMN-SSDOTTSWSA-N 0 0 435.256 -0.404 20 0 IBADRN Nc1c(Br)nccc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778135696 1072321853 /nfs/dbraw/zinc/32/18/53/1072321853.db2.gz GRBJEIFSBQYTMN-ZETCQYMHSA-N 0 0 435.256 -0.404 20 0 IBADRN CC(=O)N(CCc1ccccc1)CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778136151 1072321845 /nfs/dbraw/zinc/32/18/45/1072321845.db2.gz XHCXUBJEXJCMIM-INIZCTEOSA-N 0 0 439.490 -0.366 20 0 IBADRN CC(=O)N(CCc1ccccc1)CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778136152 1072321977 /nfs/dbraw/zinc/32/19/77/1072321977.db2.gz XHCXUBJEXJCMIM-MRXNPFEDSA-N 0 0 439.490 -0.366 20 0 IBADRN Cc1cc(F)ccc1-n1cc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nn1 ZINC000778136446 1072321993 /nfs/dbraw/zinc/32/19/93/1072321993.db2.gz KPXIGEXWDUHKPT-GFCCVEGCSA-N 0 0 439.425 -0.115 20 0 IBADRN Cc1cc(F)ccc1-n1cc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nn1 ZINC000778136449 1072321921 /nfs/dbraw/zinc/32/19/21/1072321921.db2.gz KPXIGEXWDUHKPT-LBPRGKRZSA-N 0 0 439.425 -0.115 20 0 IBADRN Nc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nc1Br ZINC000778136909 1072321958 /nfs/dbraw/zinc/32/19/58/1072321958.db2.gz NNACLPNIYGUJPF-SSDOTTSWSA-N 0 0 435.256 -0.404 20 0 IBADRN Nc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nc1Br ZINC000778136913 1072322005 /nfs/dbraw/zinc/32/20/05/1072322005.db2.gz NNACLPNIYGUJPF-ZETCQYMHSA-N 0 0 435.256 -0.404 20 0 IBADRN CCOC(=O)c1cnc(C)c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000778137565 1072324026 /nfs/dbraw/zinc/32/40/26/1072324026.db2.gz RWUOQXHUGNIVLL-GFCCVEGCSA-N 0 0 427.435 -0.264 20 0 IBADRN CCOC(=O)c1cnc(C)c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000778137569 1072324045 /nfs/dbraw/zinc/32/40/45/1072324045.db2.gz RWUOQXHUGNIVLL-LBPRGKRZSA-N 0 0 427.435 -0.264 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778137971 1072324203 /nfs/dbraw/zinc/32/42/03/1072324203.db2.gz SKWASWYDIWZYPT-GFCCVEGCSA-N 0 0 446.503 -0.178 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778137973 1072324163 /nfs/dbraw/zinc/32/41/63/1072324163.db2.gz SKWASWYDIWZYPT-LBPRGKRZSA-N 0 0 446.503 -0.178 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)c(Br)o1 ZINC000778138052 1072324114 /nfs/dbraw/zinc/32/41/14/1072324114.db2.gz OBHOZBQPGJOFJU-UHFFFAOYSA-N 0 0 431.286 -0.002 20 0 IBADRN COC(=O)c1ccccc1CCC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778138731 1072323874 /nfs/dbraw/zinc/32/38/74/1072323874.db2.gz YXUIINNSDIOMTL-CYBMUJFWSA-N 0 0 426.447 -0.038 20 0 IBADRN COC(=O)c1ccccc1CCC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778138732 1072323949 /nfs/dbraw/zinc/32/39/49/1072323949.db2.gz YXUIINNSDIOMTL-ZDUSSCGKSA-N 0 0 426.447 -0.038 20 0 IBADRN C[C@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000778179929 1072325083 /nfs/dbraw/zinc/32/50/83/1072325083.db2.gz PGLHUKFNLCPVRR-LLVKDONJSA-N 0 0 448.480 -0.010 20 0 IBADRN C[C@@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000778179930 1072325358 /nfs/dbraw/zinc/32/53/58/1072325358.db2.gz PGLHUKFNLCPVRR-NSHDSACASA-N 0 0 448.480 -0.010 20 0 IBADRN O=C(CN1CCC(Oc2cncc(Cl)n2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778184997 1072325055 /nfs/dbraw/zinc/32/50/55/1072325055.db2.gz ISUNDIVXAYHPSB-LLVKDONJSA-N 0 0 431.902 -0.014 20 0 IBADRN O=C(CN1CCC(Oc2cncc(Cl)n2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778185003 1072325211 /nfs/dbraw/zinc/32/52/11/1072325211.db2.gz ISUNDIVXAYHPSB-NSHDSACASA-N 0 0 431.902 -0.014 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2ccc3c(c2)SC2=NS(=O)(=O)CCN32)C1 ZINC000778203680 1072325762 /nfs/dbraw/zinc/32/57/62/1072325762.db2.gz OMYMTVWPOHUAHA-UHFFFAOYSA-N 0 0 430.533 -0.081 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCC(=O)NCC3CC3)cc2)CC1 ZINC000778205003 1072325330 /nfs/dbraw/zinc/32/53/30/1072325330.db2.gz STMPONVHWHEDCR-UHFFFAOYSA-N 0 0 431.493 -0.438 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC000778205737 1072325219 /nfs/dbraw/zinc/32/52/19/1072325219.db2.gz GNKJDZCEEDJEGO-NADMHLTPSA-N 0 0 434.518 -0.203 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC000778205738 1072325102 /nfs/dbraw/zinc/32/51/02/1072325102.db2.gz GNKJDZCEEDJEGO-RCMYXZNBSA-N 0 0 434.518 -0.203 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC000778205739 1072325796 /nfs/dbraw/zinc/32/57/96/1072325796.db2.gz GNKJDZCEEDJEGO-SKHCZCESSA-N 0 0 434.518 -0.203 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC000778205741 1072325748 /nfs/dbraw/zinc/32/57/48/1072325748.db2.gz GNKJDZCEEDJEGO-UXHZXRBQSA-N 0 0 434.518 -0.203 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@H]1CC[C@H](C(=O)OCC(=O)NC(=O)NCC)CC1 ZINC000778208098 1072325799 /nfs/dbraw/zinc/32/57/99/1072325799.db2.gz TWFGYHYPPGMBNH-HAQNSBGRSA-N 0 0 428.442 -0.429 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@H]1CC[C@H](C(=O)O[C@@H](C)C(=O)NC(=O)NC)CC1 ZINC000778210170 1072325812 /nfs/dbraw/zinc/32/58/12/1072325812.db2.gz JMDWGLPQFGSEGX-BJDJZHNGSA-N 0 0 428.442 -0.433 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1CC[C@@H](C(=O)O[C@@H](C)C(=O)NC(=O)NC)CC1 ZINC000778210171 1072325767 /nfs/dbraw/zinc/32/57/67/1072325767.db2.gz JMDWGLPQFGSEGX-CDECOKDKSA-N 0 0 428.442 -0.433 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@H]1CC[C@H](C(=O)O[C@H](C)C(=O)NC(=O)NC)CC1 ZINC000778210172 1072325752 /nfs/dbraw/zinc/32/57/52/1072325752.db2.gz JMDWGLPQFGSEGX-DDHJBXDOSA-N 0 0 428.442 -0.433 20 0 IBADRN O=C(COC(=O)[C@H]1CC[C@H](C(=O)OCC(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000778210492 1072325721 /nfs/dbraw/zinc/32/57/21/1072325721.db2.gz SWWVJPUTIBPXBX-WKILWMFISA-N 0 0 426.466 -0.403 20 0 IBADRN O=C(CN1CCC[C@]12CCOC2=O)N1CCN(C(=O)CN2CCC[C@]23CCOC3=O)CC1 ZINC000778245620 1072327806 /nfs/dbraw/zinc/32/78/06/1072327806.db2.gz HWXYZRNNRCFBCV-FGZHOGPDSA-N 0 0 448.520 -0.780 20 0 IBADRN O=C(CN1CCC[C@]12CCOC2=O)N1CCN(C(=O)CN2CCC[C@@]23CCOC3=O)CC1 ZINC000778245621 1072327666 /nfs/dbraw/zinc/32/76/66/1072327666.db2.gz HWXYZRNNRCFBCV-SZPZYZBQSA-N 0 0 448.520 -0.780 20 0 IBADRN O=C(CN1CCC[C@@]12CCOC2=O)N1CCN(C(=O)CN2CCC[C@@]23CCOC3=O)CC1 ZINC000778245622 1072327641 /nfs/dbraw/zinc/32/76/41/1072327641.db2.gz HWXYZRNNRCFBCV-VXKWHMMOSA-N 0 0 448.520 -0.780 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC[C@]23CCOC3=O)CC1 ZINC000778246090 1072327718 /nfs/dbraw/zinc/32/77/18/1072327718.db2.gz QMAYTZNYHUUKNV-GOSISDBHSA-N 0 0 426.495 -0.094 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC[C@@]23CCOC3=O)CC1 ZINC000778246109 1072327739 /nfs/dbraw/zinc/32/77/39/1072327739.db2.gz QMAYTZNYHUUKNV-SFHVURJKSA-N 0 0 426.495 -0.094 20 0 IBADRN COCCN(C(=O)CN1CCC[C@@]12CCOC2=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000778248393 1072327649 /nfs/dbraw/zinc/32/76/49/1072327649.db2.gz VASVKEMNNZLVRZ-FQEVSTJZSA-N 0 0 437.497 -0.052 20 0 IBADRN COCCN(C(=O)CN1CCC[C@]12CCOC2=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000778248395 1072327732 /nfs/dbraw/zinc/32/77/32/1072327732.db2.gz VASVKEMNNZLVRZ-HXUWFJFHSA-N 0 0 437.497 -0.052 20 0 IBADRN COC(=O)C(=O)[C@H](C)OC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000778279020 1072331238 /nfs/dbraw/zinc/33/12/38/1072331238.db2.gz ULADGJSTQCXTSQ-AWEZNQCLSA-N 0 0 440.474 -0.027 20 0 IBADRN COC(=O)C(=O)[C@@H](C)OC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000778279023 1072331139 /nfs/dbraw/zinc/33/11/39/1072331139.db2.gz ULADGJSTQCXTSQ-CQSZACIVSA-N 0 0 440.474 -0.027 20 0 IBADRN COC(=O)C(=O)[C@@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000778282709 1072331790 /nfs/dbraw/zinc/33/17/90/1072331790.db2.gz IOKGGOPAGKNPBD-GFCCVEGCSA-N 0 0 426.447 -0.173 20 0 IBADRN COC(=O)C(=O)[C@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000778282710 1072331571 /nfs/dbraw/zinc/33/15/71/1072331571.db2.gz IOKGGOPAGKNPBD-LBPRGKRZSA-N 0 0 426.447 -0.173 20 0 IBADRN COC(=O)C(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000778284486 1072332283 /nfs/dbraw/zinc/33/22/83/1072332283.db2.gz TXCWWHZIORWBIP-GFCCVEGCSA-N 0 0 426.447 -0.173 20 0 IBADRN COC(=O)C(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000778284488 1072332163 /nfs/dbraw/zinc/33/21/63/1072332163.db2.gz TXCWWHZIORWBIP-LBPRGKRZSA-N 0 0 426.447 -0.173 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000778290305 1072332852 /nfs/dbraw/zinc/33/28/52/1072332852.db2.gz VIRNFPGGBNGYJJ-INIZCTEOSA-N 0 0 425.445 -0.050 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000778290313 1072332863 /nfs/dbraw/zinc/33/28/63/1072332863.db2.gz VIRNFPGGBNGYJJ-MRXNPFEDSA-N 0 0 425.445 -0.050 20 0 IBADRN Cn1cc(-c2c[nH]cc2C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000778406442 1072349895 /nfs/dbraw/zinc/34/98/95/1072349895.db2.gz HIPJVQYUMMEGJH-HNNXBMFYSA-N 0 0 435.506 -0.097 20 0 IBADRN Cn1cc(-c2c[nH]cc2C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000778406456 1072350435 /nfs/dbraw/zinc/35/04/35/1072350435.db2.gz HIPJVQYUMMEGJH-OAHLLOKOSA-N 0 0 435.506 -0.097 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN(C)CC(=O)N3CCOCC3)C2=O)cc1 ZINC000778436840 1072354510 /nfs/dbraw/zinc/35/45/10/1072354510.db2.gz RULIUXSTVLZWRG-FQEVSTJZSA-N 0 0 433.465 -0.716 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)CC(=O)N3CCOCC3)C2=O)cc1 ZINC000778436841 1072354407 /nfs/dbraw/zinc/35/44/07/1072354407.db2.gz RULIUXSTVLZWRG-HXUWFJFHSA-N 0 0 433.465 -0.716 20 0 IBADRN O=C(COC(=O)c1ccncn1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000778449238 1072356231 /nfs/dbraw/zinc/35/62/31/1072356231.db2.gz MXELWAJFAGIQCT-UHFFFAOYSA-N 0 0 448.457 -0.062 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN(C)CC(=O)N3CCOCC3)C2=O)c1 ZINC000778455825 1072356137 /nfs/dbraw/zinc/35/61/37/1072356137.db2.gz CINBCFUKISFPIK-FQEVSTJZSA-N 0 0 433.465 -0.716 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)CC(=O)N3CCOCC3)C2=O)c1 ZINC000778455826 1072356275 /nfs/dbraw/zinc/35/62/75/1072356275.db2.gz CINBCFUKISFPIK-HXUWFJFHSA-N 0 0 433.465 -0.716 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cc3c(cn2)nc[nH]c3=O)c(=O)n(C)c1=O ZINC000778471009 1072359124 /nfs/dbraw/zinc/35/91/24/1072359124.db2.gz CEDJBSVNQNDYIO-UHFFFAOYSA-N 0 0 428.405 -0.131 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cc3c(cn2)nc[nH]c3=O)CC1 ZINC000778471767 1072359687 /nfs/dbraw/zinc/35/96/87/1072359687.db2.gz HKOFWNMOQSPYEG-UHFFFAOYSA-N 0 0 430.465 -0.054 20 0 IBADRN Cc1nn(C)c(-n2cccn2)c1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000778477787 1072362583 /nfs/dbraw/zinc/36/25/83/1072362583.db2.gz SKAMGNRWFJKFDO-UHFFFAOYSA-N 0 0 426.437 -0.092 20 0 IBADRN C[C@@H](NC(=O)CC(C)(C)C)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000778488676 1072364617 /nfs/dbraw/zinc/36/46/17/1072364617.db2.gz JTCWSQNHECPWEI-CABCVRRESA-N 0 0 431.555 -0.198 20 0 IBADRN C[C@H](NC(=O)CC(C)(C)C)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000778488678 1072364784 /nfs/dbraw/zinc/36/47/84/1072364784.db2.gz JTCWSQNHECPWEI-GJZGRUSLSA-N 0 0 431.555 -0.198 20 0 IBADRN C[C@@H](NC(=O)CC(C)(C)C)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000778488680 1072364751 /nfs/dbraw/zinc/36/47/51/1072364751.db2.gz JTCWSQNHECPWEI-HUUCEWRRSA-N 0 0 431.555 -0.198 20 0 IBADRN C[C@H](NC(=O)CC(C)(C)C)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000778488682 1072364600 /nfs/dbraw/zinc/36/46/00/1072364600.db2.gz JTCWSQNHECPWEI-LSDHHAIUSA-N 0 0 431.555 -0.198 20 0 IBADRN COC[C@@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)C(=O)OC ZINC000778507932 1072370410 /nfs/dbraw/zinc/37/04/10/1072370410.db2.gz XVONEAXYYQGCCK-GXTWGEPZSA-N 0 0 426.447 -0.072 20 0 IBADRN COC[C@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)C(=O)OC ZINC000778507934 1072370694 /nfs/dbraw/zinc/37/06/94/1072370694.db2.gz XVONEAXYYQGCCK-JSGCOSHPSA-N 0 0 426.447 -0.072 20 0 IBADRN COC[C@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)C(=O)OC ZINC000778507937 1072370463 /nfs/dbraw/zinc/37/04/63/1072370463.db2.gz XVONEAXYYQGCCK-OCCSQVGLSA-N 0 0 426.447 -0.072 20 0 IBADRN COC[C@@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)C(=O)OC ZINC000778507938 1072370565 /nfs/dbraw/zinc/37/05/65/1072370565.db2.gz XVONEAXYYQGCCK-TZMCWYRMSA-N 0 0 426.447 -0.072 20 0 IBADRN COC(=O)Cc1cccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000778512362 1072372072 /nfs/dbraw/zinc/37/20/72/1072372072.db2.gz NMVDDYLUHPYYIW-KRWDZBQOSA-N 0 0 438.502 -0.110 20 0 IBADRN COC(=O)Cc1cccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000778512366 1072372060 /nfs/dbraw/zinc/37/20/60/1072372060.db2.gz NMVDDYLUHPYYIW-QGZVFWFLSA-N 0 0 438.502 -0.110 20 0 IBADRN C[C@H](NC(=O)CC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000778521198 1072372491 /nfs/dbraw/zinc/37/24/91/1072372491.db2.gz ATFRSWWNRVPHJB-KBPBESRZSA-N 0 0 437.584 -0.412 20 0 IBADRN C[C@@H](NC(=O)CC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000778521199 1072372512 /nfs/dbraw/zinc/37/25/12/1072372512.db2.gz ATFRSWWNRVPHJB-KGLIPLIRSA-N 0 0 437.584 -0.412 20 0 IBADRN C[C@H](NC(=O)CC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000778521200 1072372388 /nfs/dbraw/zinc/37/23/88/1072372388.db2.gz ATFRSWWNRVPHJB-UONOGXRCSA-N 0 0 437.584 -0.412 20 0 IBADRN C[C@@H](NC(=O)CC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000778521201 1072372497 /nfs/dbraw/zinc/37/24/97/1072372497.db2.gz ATFRSWWNRVPHJB-ZIAGYGMSSA-N 0 0 437.584 -0.412 20 0 IBADRN COC(=O)Cc1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000778521794 1072372538 /nfs/dbraw/zinc/37/25/38/1072372538.db2.gz HLDMHYLKFIQVKF-INIZCTEOSA-N 0 0 444.531 -0.323 20 0 IBADRN COC(=O)Cc1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000778521795 1072372429 /nfs/dbraw/zinc/37/24/29/1072372429.db2.gz HLDMHYLKFIQVKF-MRXNPFEDSA-N 0 0 444.531 -0.323 20 0 IBADRN CN1CCc2cc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC000778522879 1072372527 /nfs/dbraw/zinc/37/25/27/1072372527.db2.gz RCMOADXWNHXPFY-INIZCTEOSA-N 0 0 427.548 -0.046 20 0 IBADRN CN1CCc2cc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC000778522880 1072372377 /nfs/dbraw/zinc/37/23/77/1072372377.db2.gz RCMOADXWNHXPFY-MRXNPFEDSA-N 0 0 427.548 -0.046 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn([C@@H](C)COC)n2)CC1 ZINC000778543286 1072376327 /nfs/dbraw/zinc/37/63/27/1072376327.db2.gz MLOQPZSCJPKZPA-AWEZNQCLSA-N 0 0 430.531 -0.240 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccn([C@H](C)COC)n2)CC1 ZINC000778543287 1072376251 /nfs/dbraw/zinc/37/62/51/1072376251.db2.gz MLOQPZSCJPKZPA-CQSZACIVSA-N 0 0 430.531 -0.240 20 0 IBADRN Cc1cnn(-c2c(C(=O)OCCn3cnc4c3c(=O)n(C)c(=O)n4C)c(C)nn2C)c1 ZINC000778546170 1072376770 /nfs/dbraw/zinc/37/67/70/1072376770.db2.gz IEDRXHZJAGHKBK-UHFFFAOYSA-N 0 0 426.437 -0.173 20 0 IBADRN Cc1cnn(-c2c(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)c(C)nn2C)c1 ZINC000778547188 1072377401 /nfs/dbraw/zinc/37/74/01/1072377401.db2.gz TXOCINNQLXRCJQ-UHFFFAOYSA-N 0 0 431.497 -0.388 20 0 IBADRN Cc1cnn(-c2c(C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)c(C)nn2C)c1 ZINC000778551842 1072379183 /nfs/dbraw/zinc/37/91/83/1072379183.db2.gz JKJQAMQDGYAHDM-GFCCVEGCSA-N 0 0 438.466 -0.608 20 0 IBADRN Cc1cnn(-c2c(C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)c(C)nn2C)c1 ZINC000778551848 1072379245 /nfs/dbraw/zinc/37/92/45/1072379245.db2.gz JKJQAMQDGYAHDM-LBPRGKRZSA-N 0 0 438.466 -0.608 20 0 IBADRN Cc1cnn(-c2c(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c(C)nn2C)c1 ZINC000778553955 1072379800 /nfs/dbraw/zinc/37/98/00/1072379800.db2.gz TVWLRRGWZISJRY-UHFFFAOYSA-N 0 0 426.499 -0.223 20 0 IBADRN O=C(C=CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccc2n[nH]cc2c1 ZINC000778556142 1072381355 /nfs/dbraw/zinc/38/13/55/1072381355.db2.gz FBIDTKUPQYASEP-ARJAWSKDSA-N 0 0 440.460 -0.413 20 0 IBADRN O=C(C=CC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccc2n[nH]cc2c1 ZINC000778556147 1072381333 /nfs/dbraw/zinc/38/13/33/1072381333.db2.gz FBIDTKUPQYASEP-ONEGZZNKSA-N 0 0 440.460 -0.413 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC000778557194 1072380836 /nfs/dbraw/zinc/38/08/36/1072380836.db2.gz UUIBRQUFOKUUOL-UHFFFAOYSA-N 0 0 435.572 -0.380 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cn1 ZINC000778569497 1072386082 /nfs/dbraw/zinc/38/60/82/1072386082.db2.gz CFEAUUXQVUPFGQ-UHFFFAOYSA-N 0 0 431.497 -0.307 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC000778571287 1072386191 /nfs/dbraw/zinc/38/61/91/1072386191.db2.gz QVDKXHAYGLOELQ-UHFFFAOYSA-N 0 0 426.437 -0.092 20 0 IBADRN O=C(C=CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1ccc2n[nH]cc2c1 ZINC000778572219 1072386176 /nfs/dbraw/zinc/38/61/76/1072386176.db2.gz ARAOOCULFHTMHH-ARJAWSKDSA-N 0 0 448.505 -0.221 20 0 IBADRN O=C(C=CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1ccc2n[nH]cc2c1 ZINC000778572225 1072386496 /nfs/dbraw/zinc/38/64/96/1072386496.db2.gz ARAOOCULFHTMHH-ONEGZZNKSA-N 0 0 448.505 -0.221 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cn1 ZINC000778572481 1072387278 /nfs/dbraw/zinc/38/72/78/1072387278.db2.gz ASKYULVPJMWAMD-UHFFFAOYSA-N 0 0 426.499 -0.142 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC000778573103 1072387093 /nfs/dbraw/zinc/38/70/93/1072387093.db2.gz GDBVCQYDDJOFSB-GFCCVEGCSA-N 0 0 438.466 -0.527 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC000778573104 1072387226 /nfs/dbraw/zinc/38/72/26/1072387226.db2.gz GDBVCQYDDJOFSB-LBPRGKRZSA-N 0 0 438.466 -0.527 20 0 IBADRN COCCS(=O)(=O)CCCOn1nnc2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000778589034 1072392500 /nfs/dbraw/zinc/39/25/00/1072392500.db2.gz JIOJNFBXZWJWHG-UHFFFAOYSA-N 0 0 448.523 -0.668 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cc2c(cc1F)NC(=O)CC2 ZINC000778596071 1072394650 /nfs/dbraw/zinc/39/46/50/1072394650.db2.gz QXGIWKWGGFWHQG-UHFFFAOYSA-N 0 0 428.442 -0.573 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc2c(c1)CCC(=O)N2CCOC ZINC000778607717 1072397421 /nfs/dbraw/zinc/39/74/21/1072397421.db2.gz PDPYTZXMTNIYEM-UHFFFAOYSA-N 0 0 426.495 -0.394 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C(=O)N2CCOCC2)c(F)c1 ZINC000778607770 1072397459 /nfs/dbraw/zinc/39/74/59/1072397459.db2.gz QZQPKCNHHKZPRW-UHFFFAOYSA-N 0 0 430.458 -0.708 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Oc2cccc(NC(=O)CN3CCCC3=O)c2)CC1 ZINC000778612087 1072397555 /nfs/dbraw/zinc/39/75/55/1072397555.db2.gz TVFNDQDNTDMJEL-UHFFFAOYSA-N 0 0 438.506 -0.270 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCO)c(NCC(=O)N2CCNC(=O)C2)c1 ZINC000778613523 1072398080 /nfs/dbraw/zinc/39/80/80/1072398080.db2.gz KKFFHGZSLRXOCX-UHFFFAOYSA-N 0 0 427.527 -0.508 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N(CCCO)S(=O)(=O)c2cccs2)CC1 ZINC000778619706 1072398913 /nfs/dbraw/zinc/39/89/13/1072398913.db2.gz TWDYTIVVYOFHJJ-UHFFFAOYSA-N 0 0 425.554 -0.775 20 0 IBADRN O=C(C=CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Nc1ccc2n[nH]cc2c1 ZINC000778631682 1072402103 /nfs/dbraw/zinc/40/21/03/1072402103.db2.gz ZVWCQRGVLYPVJQ-ARJAWSKDSA-N 0 0 438.444 -0.123 20 0 IBADRN O=C(C=CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Nc1ccc2n[nH]cc2c1 ZINC000778631683 1072401988 /nfs/dbraw/zinc/40/19/88/1072401988.db2.gz ZVWCQRGVLYPVJQ-ONEGZZNKSA-N 0 0 438.444 -0.123 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCOCC(N)=O)ccc1I ZINC000778635853 1072403045 /nfs/dbraw/zinc/40/30/45/1072403045.db2.gz CDOFOZOMLAPRTH-UHFFFAOYSA-N 0 0 442.231 -0.142 20 0 IBADRN Cc1cnn(-c2c(C(=O)OCCN(C)S(=O)(=O)N3CCOCC3)c(C)nn2C)c1 ZINC000778635871 1072403084 /nfs/dbraw/zinc/40/30/84/1072403084.db2.gz VFVDUNZUAHAIQH-UHFFFAOYSA-N 0 0 426.499 -0.112 20 0 IBADRN CCn1cc(-c2nn(C)cc2C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cn1 ZINC000778636423 1072402660 /nfs/dbraw/zinc/40/26/60/1072402660.db2.gz ZYYPYGLIGNBDMY-UHFFFAOYSA-N 0 0 426.499 -0.031 20 0 IBADRN Cn1cnn(CC(=O)N2CCC[C@H](C(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)c1=O ZINC000778637131 1072403952 /nfs/dbraw/zinc/40/39/52/1072403952.db2.gz YZCXDTDHEHIYSC-HNNXBMFYSA-N 0 0 436.513 -0.100 20 0 IBADRN Cn1cnn(CC(=O)N2CCC[C@@H](C(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)c1=O ZINC000778637132 1072403813 /nfs/dbraw/zinc/40/38/13/1072403813.db2.gz YZCXDTDHEHIYSC-OAHLLOKOSA-N 0 0 436.513 -0.100 20 0 IBADRN CC(C)NS(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000778638272 1072404519 /nfs/dbraw/zinc/40/45/19/1072404519.db2.gz VXYNEXCQUGTMGF-UHFFFAOYSA-N 0 0 426.561 -0.204 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@H](O)C(=O)OC)ccc1I ZINC000778639183 1072404573 /nfs/dbraw/zinc/40/45/73/1072404573.db2.gz NBFBJGCCLVDXMK-JTQLQIEISA-N 0 0 443.215 -0.110 20 0 IBADRN COC[C@H](NS(=O)(=O)c1ccc(I)c(C(=O)OC)c1)C(N)=O ZINC000778641151 1072405041 /nfs/dbraw/zinc/40/50/41/1072405041.db2.gz FDXLPRWQAYGIGM-JTQLQIEISA-N 0 0 442.231 -0.144 20 0 IBADRN COC[C@@H](NS(=O)(=O)c1ccc(I)c(C(=O)OC)c1)C(N)=O ZINC000778641194 1072405089 /nfs/dbraw/zinc/40/50/89/1072405089.db2.gz FDXLPRWQAYGIGM-SNVBAGLBSA-N 0 0 442.231 -0.144 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCCN2CCNC(=O)C2)cc1 ZINC000778642233 1072407732 /nfs/dbraw/zinc/40/77/32/1072407732.db2.gz WEHSTJWYNUGPSB-UHFFFAOYSA-N 0 0 433.552 -0.400 20 0 IBADRN CC(C)NS(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000778645893 1072408353 /nfs/dbraw/zinc/40/83/53/1072408353.db2.gz GEABUMVIZQWEAR-UHFFFAOYSA-N 0 0 429.542 -0.377 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)OCCNC(=O)c2cncc(Br)c2)CC1 ZINC000778651896 1072410260 /nfs/dbraw/zinc/41/02/60/1072410260.db2.gz PUYBHADZBRCQQM-UHFFFAOYSA-N 0 0 449.327 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)OCCN2CCN(Cc3ccsc3)CC2)CC1 ZINC000778655683 1072410818 /nfs/dbraw/zinc/41/08/18/1072410818.db2.gz GERQQZIKEZJOLX-UHFFFAOYSA-N 0 0 430.596 -0.014 20 0 IBADRN COCC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000778695479 1072424113 /nfs/dbraw/zinc/42/41/13/1072424113.db2.gz WXIFTCSMFMUWOK-KRWDZBQOSA-N 0 0 445.480 -0.325 20 0 IBADRN COCC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000778695480 1072424137 /nfs/dbraw/zinc/42/41/37/1072424137.db2.gz WXIFTCSMFMUWOK-QGZVFWFLSA-N 0 0 445.480 -0.325 20 0 IBADRN O=C(CN1CCOCC1)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000778696887 1072425375 /nfs/dbraw/zinc/42/53/75/1072425375.db2.gz PWMFCLYNOONQRI-UHFFFAOYSA-N 0 0 441.506 -0.687 20 0 IBADRN Cn1cnn(CC(=O)Nc2ccc3nc(NC(=O)Cn4ncn(C)c4=O)ccc3c2)c1=O ZINC000778697874 1072425450 /nfs/dbraw/zinc/42/54/50/1072425450.db2.gz GDWBGGAITFWUSO-UHFFFAOYSA-N 0 0 437.420 -0.697 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N[C@@H](CO)C2CC2)cc1)S(C)(=O)=O ZINC000778722303 1072434201 /nfs/dbraw/zinc/43/42/01/1072434201.db2.gz SCZDGBBEADOLAZ-HNNXBMFYSA-N 0 0 435.524 -0.139 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N[C@H](CO)C2CC2)cc1)S(C)(=O)=O ZINC000778722304 1072433955 /nfs/dbraw/zinc/43/39/55/1072433955.db2.gz SCZDGBBEADOLAZ-OAHLLOKOSA-N 0 0 435.524 -0.139 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(F)(F)C(=O)NCC(=O)NCCN1CCOCC1 ZINC000778734919 1072437617 /nfs/dbraw/zinc/43/76/17/1072437617.db2.gz ZGOHCYVHYNUCNF-UHFFFAOYSA-N 0 0 442.463 -0.190 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCN3C(=O)COc4ccccc43)c2c(=O)n(C)c1=O ZINC000778766330 1072449007 /nfs/dbraw/zinc/44/90/07/1072449007.db2.gz LAAQSIFDHGXFDT-UHFFFAOYSA-N 0 0 427.417 -0.207 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCN(c3cc(C4CC4)[nH]n3)CC2)n1 ZINC000778789011 1072458562 /nfs/dbraw/zinc/45/85/62/1072458562.db2.gz YAWUKUBWQDWYQW-UHFFFAOYSA-N 0 0 435.510 -0.185 20 0 IBADRN Cn1cnn(CC(=O)Nc2ccc(N3CCN(S(=O)(=O)C(F)F)CC3)cc2)c1=O ZINC000778795225 1072461852 /nfs/dbraw/zinc/46/18/52/1072461852.db2.gz DRIBYJMVQGJOQJ-UHFFFAOYSA-N 0 0 430.437 -0.105 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)n1 ZINC000778822621 1072473664 /nfs/dbraw/zinc/47/36/64/1072473664.db2.gz GTLAJSDOQFOEFT-UHFFFAOYSA-N 0 0 433.416 -0.419 20 0 IBADRN O=C(COC(=O)c1ccnnc1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000778831918 1072477336 /nfs/dbraw/zinc/47/73/36/1072477336.db2.gz FGTMSUZTGJMVGE-UHFFFAOYSA-N 0 0 448.457 -0.062 20 0 IBADRN CC1(C)[C@@H](O)C[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000778836187 1072480253 /nfs/dbraw/zinc/48/02/53/1072480253.db2.gz MROOEVZIQLYIJB-KBPBESRZSA-N 0 0 432.520 -0.281 20 0 IBADRN CC1(C)[C@H](NC(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)C[C@@H]1O ZINC000778836188 1072479947 /nfs/dbraw/zinc/47/99/47/1072479947.db2.gz MROOEVZIQLYIJB-KGLIPLIRSA-N 0 0 432.520 -0.281 20 0 IBADRN CC1(C)[C@H](O)C[C@@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000778836189 1072480295 /nfs/dbraw/zinc/48/02/95/1072480295.db2.gz MROOEVZIQLYIJB-UONOGXRCSA-N 0 0 432.520 -0.281 20 0 IBADRN CC1(C)[C@H](O)C[C@H]1NC(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000778836190 1072479933 /nfs/dbraw/zinc/47/99/33/1072479933.db2.gz MROOEVZIQLYIJB-ZIAGYGMSSA-N 0 0 432.520 -0.281 20 0 IBADRN O=C(COC(=O)CN1CCOCC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000778842494 1072483887 /nfs/dbraw/zinc/48/38/87/1072483887.db2.gz DBQLZRPMWVQACY-UHFFFAOYSA-N 0 0 441.506 -0.801 20 0 IBADRN O=C(COC(=O)CN1CCOCC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000778842497 1072483922 /nfs/dbraw/zinc/48/39/22/1072483922.db2.gz DGAUTWKMZRIUJP-UHFFFAOYSA-N 0 0 441.506 -0.801 20 0 IBADRN O=C(CN1CCOCC1)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000778842966 1072484608 /nfs/dbraw/zinc/48/46/08/1072484608.db2.gz JIPWOBXECHRBDJ-GOSISDBHSA-N 0 0 433.461 -0.627 20 0 IBADRN O=C(CN1CCOCC1)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000778842968 1072484588 /nfs/dbraw/zinc/48/45/88/1072484588.db2.gz JIPWOBXECHRBDJ-SFHVURJKSA-N 0 0 433.461 -0.627 20 0 IBADRN O=C(CN1CCOCC1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000778842987 1072484510 /nfs/dbraw/zinc/48/45/10/1072484510.db2.gz JTBNLWMICSFIDV-UHFFFAOYSA-N 0 0 429.470 -0.466 20 0 IBADRN CCN(C(=O)COC(=O)CN1CCOCC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000778843431 1072484453 /nfs/dbraw/zinc/48/44/53/1072484453.db2.gz AIZYWBKTJPIACR-UHFFFAOYSA-N 0 0 445.476 -0.192 20 0 IBADRN O=C(CN1CCOCC1)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000778843628 1072484646 /nfs/dbraw/zinc/48/46/46/1072484646.db2.gz OLENCBUYWCAGHF-UHFFFAOYSA-N 0 0 429.470 -0.466 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CN3CCOCC3)CC2)cc1 ZINC000778844426 1072484674 /nfs/dbraw/zinc/48/46/74/1072484674.db2.gz VHEIGTSIMJXJEP-UHFFFAOYSA-N 0 0 441.506 -0.597 20 0 IBADRN O=C(COC(=O)CN1CCOCC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000778845729 1072485066 /nfs/dbraw/zinc/48/50/66/1072485066.db2.gz XXRGTKBCYDATKK-UHFFFAOYSA-N 0 0 427.479 -0.479 20 0 IBADRN O=C(COC(=O)CN1CCOCC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000778845817 1072485780 /nfs/dbraw/zinc/48/57/80/1072485780.db2.gz JALDCGWSBFUDJE-UHFFFAOYSA-N 0 0 427.479 -0.479 20 0 IBADRN O=C(CN1CCOCC1)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000778845837 1072485644 /nfs/dbraw/zinc/48/56/44/1072485644.db2.gz JPRCSSBCLBYASB-UHFFFAOYSA-N 0 0 429.470 -0.466 20 0 IBADRN C[C@H](OC(=O)CN1CCOCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000778845900 1072485199 /nfs/dbraw/zinc/48/51/99/1072485199.db2.gz MBJVHCCHZKGRMH-HNNXBMFYSA-N 0 0 441.506 -0.090 20 0 IBADRN C[C@@H](OC(=O)CN1CCOCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000778845901 1072485261 /nfs/dbraw/zinc/48/52/61/1072485261.db2.gz MBJVHCCHZKGRMH-OAHLLOKOSA-N 0 0 441.506 -0.090 20 0 IBADRN O=C(CN1CCOCC1)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000778849794 1072488032 /nfs/dbraw/zinc/48/80/32/1072488032.db2.gz CEBGZZPKXBRLFS-UHFFFAOYSA-N 0 0 447.460 -0.327 20 0 IBADRN C[C@H](OC(=O)CN1CCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000778850167 1072486300 /nfs/dbraw/zinc/48/63/00/1072486300.db2.gz VHISMRARWCDKFN-HNNXBMFYSA-N 0 0 441.506 -0.090 20 0 IBADRN C[C@@H](OC(=O)CN1CCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000778850171 1072486852 /nfs/dbraw/zinc/48/68/52/1072486852.db2.gz VHISMRARWCDKFN-OAHLLOKOSA-N 0 0 441.506 -0.090 20 0 IBADRN O=C(CN1CCOCC1)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000778852889 1072489269 /nfs/dbraw/zinc/48/92/69/1072489269.db2.gz LOSNVMXEVGIBDW-UHFFFAOYSA-N 0 0 425.507 -0.464 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)CN2CCOCC2)c(N)n(Cc2ccccc2)c1=O ZINC000778852926 1072487250 /nfs/dbraw/zinc/48/72/50/1072487250.db2.gz NJPSDILIUUAQPQ-UHFFFAOYSA-N 0 0 430.461 -0.282 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000778853879 1072490206 /nfs/dbraw/zinc/49/02/06/1072490206.db2.gz WIRWMCITMWRMER-UHFFFAOYSA-N 0 0 441.506 -0.170 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCc3cc(C(N)=O)co3)cc2)CC1 ZINC000778858994 1072491906 /nfs/dbraw/zinc/49/19/06/1072491906.db2.gz IWYFGFDVKZQTIE-UHFFFAOYSA-N 0 0 443.460 -0.072 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)n(C)c1 ZINC000778910039 1072512269 /nfs/dbraw/zinc/51/22/69/1072512269.db2.gz KXDLJVNRSDKKLF-UHFFFAOYSA-N 0 0 426.495 -0.487 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)cn1C ZINC000778910050 1072512109 /nfs/dbraw/zinc/51/21/09/1072512109.db2.gz LBUKAZGFQIIRMF-UHFFFAOYSA-N 0 0 441.466 -0.019 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC000778910071 1072512398 /nfs/dbraw/zinc/51/23/98/1072512398.db2.gz LJGRWXMLTWOEOQ-UHFFFAOYSA-N 0 0 430.483 -0.267 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N1CCCCC1 ZINC000778910640 1072513133 /nfs/dbraw/zinc/51/31/33/1072513133.db2.gz OLWHBABAYBCVMM-CYBMUJFWSA-N 0 0 428.511 -0.051 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N1CCCCC1 ZINC000778910647 1072513101 /nfs/dbraw/zinc/51/31/01/1072513101.db2.gz OLWHBABAYBCVMM-ZDUSSCGKSA-N 0 0 428.511 -0.051 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000778911512 1072512780 /nfs/dbraw/zinc/51/27/80/1072512780.db2.gz VLKBLCHBZPVQJB-NEPJUHHUSA-N 0 0 435.524 -0.534 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000778911515 1072512719 /nfs/dbraw/zinc/51/27/19/1072512719.db2.gz VLKBLCHBZPVQJB-NWDGAFQWSA-N 0 0 435.524 -0.534 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000778911518 1072512771 /nfs/dbraw/zinc/51/27/71/1072512771.db2.gz VLKBLCHBZPVQJB-RYUDHWBXSA-N 0 0 435.524 -0.534 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000778911520 1072512742 /nfs/dbraw/zinc/51/27/42/1072512742.db2.gz VLKBLCHBZPVQJB-VXGBXAGGSA-N 0 0 435.524 -0.534 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778913158 1072513258 /nfs/dbraw/zinc/51/32/58/1072513258.db2.gz FEDMCAFVJLKXSC-DOMZBBRYSA-N 0 0 449.551 -0.240 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000778913162 1072513344 /nfs/dbraw/zinc/51/33/44/1072513344.db2.gz FEDMCAFVJLKXSC-IUODEOHRSA-N 0 0 449.551 -0.240 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778913163 1072513278 /nfs/dbraw/zinc/51/32/78/1072513278.db2.gz FEDMCAFVJLKXSC-SWLSCSKDSA-N 0 0 449.551 -0.240 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000778913164 1072513161 /nfs/dbraw/zinc/51/31/61/1072513161.db2.gz FEDMCAFVJLKXSC-WFASDCNBSA-N 0 0 449.551 -0.240 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)n(C)c1 ZINC000778913750 1072514191 /nfs/dbraw/zinc/51/41/91/1072514191.db2.gz FKOHONDQEDPJQA-UHFFFAOYSA-N 0 0 444.491 -0.282 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)Nc2ccccc2S(N)(=O)=O)n(C)c1 ZINC000778914530 1072514200 /nfs/dbraw/zinc/51/42/00/1072514200.db2.gz PLNPZXQYBAPEES-UHFFFAOYSA-N 0 0 444.491 -0.282 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)n(C)c1 ZINC000778914924 1072514177 /nfs/dbraw/zinc/51/41/77/1072514177.db2.gz ONNFMTBLFMNOCV-UHFFFAOYSA-N 0 0 444.491 -0.282 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)[C@@H]1CCS(=O)(=O)C1 ZINC000778914972 1072513652 /nfs/dbraw/zinc/51/36/52/1072513652.db2.gz QRTATJUPZSXAHS-CHWSQXEVSA-N 0 0 449.551 -0.144 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)[C@H]1CCS(=O)(=O)C1 ZINC000778914973 1072514162 /nfs/dbraw/zinc/51/41/62/1072514162.db2.gz QRTATJUPZSXAHS-OLZOCXBDSA-N 0 0 449.551 -0.144 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)[C@@H]1CCS(=O)(=O)C1 ZINC000778914974 1072514160 /nfs/dbraw/zinc/51/41/60/1072514160.db2.gz QRTATJUPZSXAHS-QWHCGFSZSA-N 0 0 449.551 -0.144 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)[C@H]1CCS(=O)(=O)C1 ZINC000778914975 1072514128 /nfs/dbraw/zinc/51/41/28/1072514128.db2.gz QRTATJUPZSXAHS-STQMWFEESA-N 0 0 449.551 -0.144 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)c2ccc(S(N)(=O)=O)cc2)n(C)c1 ZINC000778915522 1072513728 /nfs/dbraw/zinc/51/37/28/1072513728.db2.gz XCDKXJWAGQXXMI-UHFFFAOYSA-N 0 0 429.476 -0.038 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cc(S(=O)(=O)N(C)C)cn2C)NC(=O)N[C@H]1C ZINC000778915953 1072514676 /nfs/dbraw/zinc/51/46/76/1072514676.db2.gz ZBWUFLKZTFPPSG-JTQLQIEISA-N 0 0 428.467 -0.049 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cc(S(=O)(=O)N(C)C)cn2C)NC(=O)N[C@@H]1C ZINC000778915954 1072514472 /nfs/dbraw/zinc/51/44/72/1072514472.db2.gz ZBWUFLKZTFPPSG-SNVBAGLBSA-N 0 0 428.467 -0.049 20 0 IBADRN CCN(C(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)[C@@H]1CCS(=O)(=O)C1 ZINC000778915975 1072514135 /nfs/dbraw/zinc/51/41/35/1072514135.db2.gz YMYKVQNTBFVXIM-GFCCVEGCSA-N 0 0 435.524 -0.532 20 0 IBADRN CCN(C(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)[C@H]1CCS(=O)(=O)C1 ZINC000778915976 1072514121 /nfs/dbraw/zinc/51/41/21/1072514121.db2.gz YMYKVQNTBFVXIM-LBPRGKRZSA-N 0 0 435.524 -0.532 20 0 IBADRN COCCc1nnc(-c2cccc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c2)o1 ZINC000778925073 1072516503 /nfs/dbraw/zinc/51/65/03/1072516503.db2.gz HPDNHEIFOXHPKU-UHFFFAOYSA-N 0 0 443.416 -0.055 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(CCO)[C@@H](C)C3)cc2)CC1 ZINC000778942440 1072521696 /nfs/dbraw/zinc/52/16/96/1072521696.db2.gz UBOBHKRMNCMIRH-KRWDZBQOSA-N 0 0 447.536 -0.555 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(CCO)[C@H](C)C3)cc2)CC1 ZINC000778942455 1072521753 /nfs/dbraw/zinc/52/17/53/1072521753.db2.gz UBOBHKRMNCMIRH-QGZVFWFLSA-N 0 0 447.536 -0.555 20 0 IBADRN O=C(CN1CCSC1=O)NCCC(=O)N1CCN(C(=O)CN2CCSC2=O)CC1 ZINC000778949362 1072524161 /nfs/dbraw/zinc/52/41/61/1072524161.db2.gz DKNCZUWXCSOMMD-UHFFFAOYSA-N 0 0 443.551 -0.499 20 0 IBADRN COc1ncc(C(=O)NCCC(=O)N2CCN(C(=O)c3cnc(OC)nc3)CC2)cn1 ZINC000778949493 1072524185 /nfs/dbraw/zinc/52/41/85/1072524185.db2.gz JETCUUXCLUGKFN-UHFFFAOYSA-N 0 0 429.437 -0.612 20 0 IBADRN Cc1cc(OCC(=O)NCCC(=O)N2CCN(C(=O)COc3cc(C)on3)CC2)no1 ZINC000778949592 1072523991 /nfs/dbraw/zinc/52/39/91/1072523991.db2.gz NSAQHNNLINMPNZ-UHFFFAOYSA-N 0 0 435.437 -0.086 20 0 IBADRN O=C(CCNC(=O)[C@H](O)c1cccnc1)N1CCN(C(=O)[C@@H](O)c2cccnc2)CC1 ZINC000778949620 1072523973 /nfs/dbraw/zinc/52/39/73/1072523973.db2.gz OXWGDGQUFOUAQU-MOPGFXCFSA-N 0 0 427.461 -0.579 20 0 IBADRN O=C(CCNC(=O)[C@@H](O)c1cccnc1)N1CCN(C(=O)[C@@H](O)c2cccnc2)CC1 ZINC000778949621 1072524122 /nfs/dbraw/zinc/52/41/22/1072524122.db2.gz OXWGDGQUFOUAQU-OALUTQOASA-N 0 0 427.461 -0.579 20 0 IBADRN O=C(CCNC(=O)[C@@H](O)c1cccnc1)N1CCN(C(=O)[C@H](O)c2cccnc2)CC1 ZINC000778949622 1072524106 /nfs/dbraw/zinc/52/41/06/1072524106.db2.gz OXWGDGQUFOUAQU-RBUKOAKNSA-N 0 0 427.461 -0.579 20 0 IBADRN O=C(CCNC(=O)[C@H](O)c1cccnc1)N1CCN(C(=O)[C@H](O)c2cccnc2)CC1 ZINC000778949623 1072524129 /nfs/dbraw/zinc/52/41/29/1072524129.db2.gz OXWGDGQUFOUAQU-RTBURBONSA-N 0 0 427.461 -0.579 20 0 IBADRN COC(=O)NC(C)(C)C(=O)NCCC(=O)N1CCN(C(=O)C(C)(C)NC(=O)OC)CC1 ZINC000778950418 1072523662 /nfs/dbraw/zinc/52/36/62/1072523662.db2.gz ZUPDSJKZXUEHPF-UHFFFAOYSA-N 0 0 443.501 -0.567 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@H]2C1)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000778952438 1072524397 /nfs/dbraw/zinc/52/43/97/1072524397.db2.gz BWUANHIRDXVBJH-JIOITTSWSA-N 0 0 447.517 -0.633 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@@H]2C1)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000778952439 1072524586 /nfs/dbraw/zinc/52/45/86/1072524586.db2.gz BWUANHIRDXVBJH-LBWZGELYSA-N 0 0 447.517 -0.633 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@@H]2C1)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000778952440 1072524496 /nfs/dbraw/zinc/52/44/96/1072524496.db2.gz BWUANHIRDXVBJH-SDTVSMOJSA-N 0 0 447.517 -0.633 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@H]2C1)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000778952441 1072524547 /nfs/dbraw/zinc/52/45/47/1072524547.db2.gz BWUANHIRDXVBJH-YBSJANCESA-N 0 0 447.517 -0.633 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@H]2C1)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000778953768 1072526207 /nfs/dbraw/zinc/52/62/07/1072526207.db2.gz QOSFNXLESCDJIC-HRYODUOUSA-N 0 0 444.473 -0.530 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@@H]2C1)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000778953770 1072526029 /nfs/dbraw/zinc/52/60/29/1072526029.db2.gz QOSFNXLESCDJIC-IAOKNECISA-N 0 0 444.473 -0.530 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@@H]2C1)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000778953771 1072526149 /nfs/dbraw/zinc/52/61/49/1072526149.db2.gz QOSFNXLESCDJIC-JGWKKKPCSA-N 0 0 444.473 -0.530 20 0 IBADRN O=C(CN1CCN2C(=O)NC(=O)[C@H]2C1)NCCN1C(=O)SC(=Cc2cccnc2)C1=O ZINC000778953772 1072525928 /nfs/dbraw/zinc/52/59/28/1072525928.db2.gz QOSFNXLESCDJIC-SJWLEHQBSA-N 0 0 444.473 -0.530 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)C1 ZINC000778955450 1072525915 /nfs/dbraw/zinc/52/59/15/1072525915.db2.gz FCHCCIAHTWNLJE-UHFFFAOYSA-N 0 0 440.503 -0.172 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000778958686 1072527107 /nfs/dbraw/zinc/52/71/07/1072527107.db2.gz LMNNSFDKZGZXGL-UHFFFAOYSA-N 0 0 443.913 -0.778 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000778962626 1072526972 /nfs/dbraw/zinc/52/69/72/1072526972.db2.gz KTBBEKISUMEENU-ACJLOTCBSA-N 0 0 431.511 -0.078 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000778962664 1072527044 /nfs/dbraw/zinc/52/70/44/1072527044.db2.gz KTBBEKISUMEENU-FZKQIMNGSA-N 0 0 431.511 -0.078 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000778962666 1072527206 /nfs/dbraw/zinc/52/72/06/1072527206.db2.gz KTBBEKISUMEENU-SCLBCKFNSA-N 0 0 431.511 -0.078 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000778962668 1072527165 /nfs/dbraw/zinc/52/71/65/1072527165.db2.gz KTBBEKISUMEENU-UGSOOPFHSA-N 0 0 431.511 -0.078 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC[C@](C)(O)c3ccccc3)cnc2n(C)c1=O ZINC000778970797 1072530882 /nfs/dbraw/zinc/53/08/82/1072530882.db2.gz IOADRKXYGJJFSI-NRFANRHFSA-N 0 0 425.445 -0.015 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC[C@@](C)(O)c3ccccc3)cnc2n(C)c1=O ZINC000778970799 1072530891 /nfs/dbraw/zinc/53/08/91/1072530891.db2.gz IOADRKXYGJJFSI-OAQYLSRUSA-N 0 0 425.445 -0.015 20 0 IBADRN CCC1(CC)CN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CCS1(=O)=O ZINC000778990113 1072535706 /nfs/dbraw/zinc/53/57/06/1072535706.db2.gz GNCYTBFAQFNKLI-UHFFFAOYSA-N 0 0 434.540 -0.318 20 0 IBADRN Cc1nnc(CCNC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)[nH]1 ZINC000779009802 1072541382 /nfs/dbraw/zinc/54/13/82/1072541382.db2.gz FRVDIJZMAMSRFT-CYBMUJFWSA-N 0 0 442.523 -0.057 20 0 IBADRN Cc1nnc(CCNC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)[nH]1 ZINC000779009809 1072541496 /nfs/dbraw/zinc/54/14/96/1072541496.db2.gz FRVDIJZMAMSRFT-ZDUSSCGKSA-N 0 0 442.523 -0.057 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2)CC1 ZINC000779048378 1072556228 /nfs/dbraw/zinc/55/62/28/1072556228.db2.gz QACQVUVUUNWORG-INIZCTEOSA-N 0 0 430.465 -0.251 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2)CC1 ZINC000779048400 1072556027 /nfs/dbraw/zinc/55/60/27/1072556027.db2.gz QACQVUVUUNWORG-MRXNPFEDSA-N 0 0 430.465 -0.251 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)COC(=O)C[C@@H]1NC(=O)NC1=O ZINC000779103194 1072572108 /nfs/dbraw/zinc/57/21/08/1072572108.db2.gz DMVBPTNWQSPHIZ-QMMMGPOBSA-N 0 0 440.434 -0.327 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@H](C)OC(=O)C[C@@H]2NC(=O)NC2=O)cc1 ZINC000779103734 1072571685 /nfs/dbraw/zinc/57/16/85/1072571685.db2.gz HHYGPYILDBJEMI-GWCFXTLKSA-N 0 0 426.451 -0.158 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@@H](C)OC(=O)C[C@@H]2NC(=O)NC2=O)cc1 ZINC000779103735 1072571537 /nfs/dbraw/zinc/57/15/37/1072571537.db2.gz HHYGPYILDBJEMI-MFKMUULPSA-N 0 0 426.451 -0.158 20 0 IBADRN O=C(CNC(=O)COC(=O)C[C@@H]1NC(=O)NC1=O)Nc1ccccc1Br ZINC000779103877 1072571840 /nfs/dbraw/zinc/57/18/40/1072571840.db2.gz GDGIWOXXQUGZPA-JTQLQIEISA-N 0 0 427.211 -0.355 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)ccc1Cl ZINC000779104733 1072572083 /nfs/dbraw/zinc/57/20/83/1072572083.db2.gz OOSXZKDLXWJQBM-JTQLQIEISA-N 0 0 432.842 -0.330 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)c1 ZINC000779105482 1072572175 /nfs/dbraw/zinc/57/21/75/1072572175.db2.gz WAWFOXKAUMIYTD-ZDUSSCGKSA-N 0 0 426.451 -0.203 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)NCC(=O)Nc2ccccc2Br)c1=O ZINC000779126178 1072577554 /nfs/dbraw/zinc/57/75/54/1072577554.db2.gz BPRVIXZPADBRGA-UHFFFAOYSA-N 0 0 426.227 -0.358 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)COC(=O)Cn2ncn(C)c2=O)ccc1Cl ZINC000779127775 1072577533 /nfs/dbraw/zinc/57/75/33/1072577533.db2.gz FWTOQURUZFLIKJ-UHFFFAOYSA-N 0 0 431.858 -0.333 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@@H](C)OC(=O)Cn2ncn(C)c2=O)cc1 ZINC000779127797 1072578145 /nfs/dbraw/zinc/57/81/45/1072578145.db2.gz GKQKEKAYHAVGPU-GFCCVEGCSA-N 0 0 425.467 -0.161 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(NC(=O)[C@H](C)OC(=O)Cn2ncn(C)c2=O)cc1 ZINC000779127799 1072578137 /nfs/dbraw/zinc/57/81/37/1072578137.db2.gz GKQKEKAYHAVGPU-LBPRGKRZSA-N 0 0 425.467 -0.161 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)COC(=O)Cn2ncn(C)c2=O)c1 ZINC000779127881 1072577600 /nfs/dbraw/zinc/57/76/00/1072577600.db2.gz IHKIZVCTJOMZIE-UHFFFAOYSA-N 0 0 425.467 -0.206 20 0 IBADRN Cn1cnn(CC(=O)OCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1=O ZINC000779127890 1072577691 /nfs/dbraw/zinc/57/76/91/1072577691.db2.gz IKFZADGYOHPUAS-UHFFFAOYSA-N 0 0 426.451 -0.775 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)COC(=O)Cn1ncn(C)c1=O ZINC000779128727 1072578106 /nfs/dbraw/zinc/57/81/06/1072578106.db2.gz OWOWOSYBFTUGJD-UHFFFAOYSA-N 0 0 439.450 -0.330 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(Oc3cncc(Cl)n3)CC2)c[nH]1 ZINC000779154751 1072585858 /nfs/dbraw/zinc/58/58/58/1072585858.db2.gz PAHRRMCOYADWIW-UHFFFAOYSA-N 0 0 442.885 -0.095 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000779172776 1072586332 /nfs/dbraw/zinc/58/63/32/1072586332.db2.gz VXMHWQAUQACAMH-CYBMUJFWSA-N 0 0 428.511 -0.752 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000779172778 1072586297 /nfs/dbraw/zinc/58/62/97/1072586297.db2.gz VXMHWQAUQACAMH-ZDUSSCGKSA-N 0 0 428.511 -0.752 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000779216771 1072586877 /nfs/dbraw/zinc/58/68/77/1072586877.db2.gz NAWARYLCONGQTO-UHFFFAOYSA-N 0 0 440.425 -0.067 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(F)(F)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000779218133 1072586835 /nfs/dbraw/zinc/58/68/35/1072586835.db2.gz YASMCEBYFBEJRG-UHFFFAOYSA-N 0 0 448.492 -0.322 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N=c1cc[nH]n1Cc1cccc(C)c1 ZINC000779219907 1072586744 /nfs/dbraw/zinc/58/67/44/1072586744.db2.gz MYFOMHZTQGWQSM-GOSISDBHSA-N 0 0 443.504 -0.020 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N=c1cc[nH]n1Cc1cccc(C)c1 ZINC000779219908 1072586965 /nfs/dbraw/zinc/58/69/65/1072586965.db2.gz MYFOMHZTQGWQSM-SFHVURJKSA-N 0 0 443.504 -0.020 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000779221054 1072586990 /nfs/dbraw/zinc/58/69/90/1072586990.db2.gz ZNZWUQYWMTXZHM-GOSISDBHSA-N 0 0 434.493 -0.158 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC000779221055 1072586824 /nfs/dbraw/zinc/58/68/24/1072586824.db2.gz ZNZWUQYWMTXZHM-SFHVURJKSA-N 0 0 434.493 -0.158 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000779236201 1072587574 /nfs/dbraw/zinc/58/75/74/1072587574.db2.gz UQZVJJDAGVTKSS-LLVKDONJSA-N 0 0 429.499 -0.235 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000779236204 1072587480 /nfs/dbraw/zinc/58/74/80/1072587480.db2.gz UQZVJJDAGVTKSS-NSHDSACASA-N 0 0 429.499 -0.235 20 0 IBADRN C[C@@H]1CCN(C(=O)COCCOCC(=O)N2CC[C@H](C)C[C@H]2C(N)=O)[C@H](C(N)=O)C1 ZINC000779307050 1072588052 /nfs/dbraw/zinc/58/80/52/1072588052.db2.gz YTGKKPMIFRAMNU-FZKCQIBNSA-N 0 0 426.514 -0.756 20 0 IBADRN C[C@@H]1CCN(C(=O)COCCOCC(=O)N2CC[C@H](C)C[C@H]2C(N)=O)[C@@H](C(N)=O)C1 ZINC000779307053 1072587865 /nfs/dbraw/zinc/58/78/65/1072587865.db2.gz YTGKKPMIFRAMNU-GEEKYZPCSA-N 0 0 426.514 -0.756 20 0 IBADRN C[C@@H]1CCN(C(=O)COCCOCC(=O)N2CC[C@H](C)C[C@@H]2C(N)=O)[C@@H](C(N)=O)C1 ZINC000779307055 1072587899 /nfs/dbraw/zinc/58/78/99/1072587899.db2.gz YTGKKPMIFRAMNU-QKPAOTATSA-N 0 0 426.514 -0.756 20 0 IBADRN C[C@@H]1CCN(C(=O)COCCOCC(=O)N2CC[C@H](C)C[C@@H]2C(N)=O)[C@H](C(N)=O)C1 ZINC000779307056 1072588662 /nfs/dbraw/zinc/58/86/62/1072588662.db2.gz YTGKKPMIFRAMNU-SYMSYNOKSA-N 0 0 426.514 -0.756 20 0 IBADRN C[C@H]1CN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCN(CCO)[C@@H](C)C2)CCN1CCO ZINC000779315782 1072588461 /nfs/dbraw/zinc/58/84/61/1072588461.db2.gz BCMRXMQNJJYUNK-KBPBESRZSA-N 0 0 442.454 -0.693 20 0 IBADRN C[C@@H]1CN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCN(CCO)[C@@H](C)C2)CCN1CCO ZINC000779315789 1072588637 /nfs/dbraw/zinc/58/86/37/1072588637.db2.gz BCMRXMQNJJYUNK-OKILXGFUSA-N 0 0 442.454 -0.693 20 0 IBADRN C[C@@H]1CN(C(=O)C(F)(F)C(F)(F)C(=O)N2CCN(CCO)[C@H](C)C2)CCN1CCO ZINC000779315791 1072588629 /nfs/dbraw/zinc/58/86/29/1072588629.db2.gz BCMRXMQNJJYUNK-ZIAGYGMSSA-N 0 0 442.454 -0.693 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2cc(S(N)(=O)=O)ccc2Br)C1 ZINC000779332684 1072588620 /nfs/dbraw/zinc/58/86/20/1072588620.db2.gz XGPPKKASWPQJLX-UHFFFAOYSA-N 0 0 426.314 -0.282 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN(C)CC(=O)N3CCN(C)CC3)C2=O)c1 ZINC000779335428 1072588571 /nfs/dbraw/zinc/58/85/71/1072588571.db2.gz DARQYXYJZUUVOD-NRFANRHFSA-N 0 0 446.508 -0.801 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)CC(=O)N3CCN(C)CC3)C2=O)c1 ZINC000779335436 1072588439 /nfs/dbraw/zinc/58/84/39/1072588439.db2.gz DARQYXYJZUUVOD-OAQYLSRUSA-N 0 0 446.508 -0.801 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)CC(=O)N2CCN(C)CC2)C1=O ZINC000779335901 1072588682 /nfs/dbraw/zinc/58/86/82/1072588682.db2.gz IACLDGZWOYWIFA-NRFANRHFSA-N 0 0 430.509 -0.419 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)CC(=O)N2CCN(C)CC2)C1=O ZINC000779335905 1072588597 /nfs/dbraw/zinc/58/85/97/1072588597.db2.gz IACLDGZWOYWIFA-OAQYLSRUSA-N 0 0 430.509 -0.419 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN(C)CC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000779336861 1072588420 /nfs/dbraw/zinc/58/84/20/1072588420.db2.gz SCJDHKIOQSRWMH-NRFANRHFSA-N 0 0 446.508 -0.801 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN(C)CC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000779336862 1072588512 /nfs/dbraw/zinc/58/85/12/1072588512.db2.gz SCJDHKIOQSRWMH-OAQYLSRUSA-N 0 0 446.508 -0.801 20 0 IBADRN CN(CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O)CC(=O)N1CCN(C)CC1 ZINC000779337236 1072588535 /nfs/dbraw/zinc/58/85/35/1072588535.db2.gz XSBRLJHSKQRPFB-JOCHJYFZSA-N 0 0 444.536 -0.333 20 0 IBADRN CN(CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O)CC(=O)N1CCN(C)CC1 ZINC000779337237 1072589084 /nfs/dbraw/zinc/58/90/84/1072589084.db2.gz XSBRLJHSKQRPFB-QFIPXVFZSA-N 0 0 444.536 -0.333 20 0 IBADRN CCC1(CC)CN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CCS1(=O)=O ZINC000779361266 1072589113 /nfs/dbraw/zinc/58/91/13/1072589113.db2.gz SMEIJDRQIQUUGU-CYBMUJFWSA-N 0 0 433.552 -0.032 20 0 IBADRN CCC1(CC)CN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CCS1(=O)=O ZINC000779361267 1072589279 /nfs/dbraw/zinc/58/92/79/1072589279.db2.gz SMEIJDRQIQUUGU-ZDUSSCGKSA-N 0 0 433.552 -0.032 20 0 IBADRN Cc1ccc(N(C)C(=O)Cn2ncn(C)c2=O)cc1N(C)C(=O)Cn1ncn(C)c1=O ZINC000779362783 1072589056 /nfs/dbraw/zinc/58/90/56/1072589056.db2.gz VMURVBITTVUNNJ-UHFFFAOYSA-N 0 0 428.453 -0.889 20 0 IBADRN C[C@@H](NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1)c1nc[nH]n1 ZINC000779374341 1072589215 /nfs/dbraw/zinc/58/92/15/1072589215.db2.gz LECPJHBLSZGKSK-LLVKDONJSA-N 0 0 446.511 -0.031 20 0 IBADRN C[C@H](NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1)c1nc[nH]n1 ZINC000779374342 1072589239 /nfs/dbraw/zinc/58/92/39/1072589239.db2.gz LECPJHBLSZGKSK-NSHDSACASA-N 0 0 446.511 -0.031 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000779379361 1072589290 /nfs/dbraw/zinc/58/92/90/1072589290.db2.gz AXPZMQCLKKFLDH-UHFFFAOYSA-N 0 0 428.536 -0.795 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)=NN1c1ccccc1 ZINC000779380425 1072589140 /nfs/dbraw/zinc/58/91/40/1072589140.db2.gz RZCKJGVEYFVPMD-GOSISDBHSA-N 0 0 433.534 -0.172 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)=NN1c1ccccc1 ZINC000779380426 1072589260 /nfs/dbraw/zinc/58/92/60/1072589260.db2.gz RZCKJGVEYFVPMD-SFHVURJKSA-N 0 0 433.534 -0.172 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)CN1C(=O)N[C@](C)(c2ccc(Br)cc2)C1=O ZINC000779409626 1072589069 /nfs/dbraw/zinc/58/90/69/1072589069.db2.gz AFQMMPOOIJVNDA-BDJLRTHQSA-N 0 0 428.239 -0.134 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)CN1C(=O)N[C@@](C)(c2ccc(Br)cc2)C1=O ZINC000779409631 1072589101 /nfs/dbraw/zinc/58/91/01/1072589101.db2.gz AFQMMPOOIJVNDA-BZNIZROVSA-N 0 0 428.239 -0.134 20 0 IBADRN COC(=O)C=Cc1cc(OC)c(OC)c(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000779423453 1072589742 /nfs/dbraw/zinc/58/97/42/1072589742.db2.gz ZOZTYOZIXRJSQW-ITKZLYELSA-N 0 0 439.446 -0.185 20 0 IBADRN COC(=O)C=Cc1cc(OC)c(OC)c(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000779423454 1072589662 /nfs/dbraw/zinc/58/96/62/1072589662.db2.gz ZOZTYOZIXRJSQW-QMAVJUDZSA-N 0 0 439.446 -0.185 20 0 IBADRN COC(=O)C=Cc1cc(OC)c(OC)c(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000779423455 1072589717 /nfs/dbraw/zinc/58/97/17/1072589717.db2.gz ZOZTYOZIXRJSQW-RXNFCKPNSA-N 0 0 439.446 -0.185 20 0 IBADRN COC(=O)C=Cc1cc(OC)c(OC)c(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000779423456 1072589898 /nfs/dbraw/zinc/58/98/98/1072589898.db2.gz ZOZTYOZIXRJSQW-ZYOFXKKJSA-N 0 0 439.446 -0.185 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1F ZINC000779423501 1072589196 /nfs/dbraw/zinc/58/91/96/1072589196.db2.gz IASQONWMCKSYIQ-CYBMUJFWSA-N 0 0 432.426 -0.319 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)ccc1F ZINC000779423502 1072589728 /nfs/dbraw/zinc/58/97/28/1072589728.db2.gz IASQONWMCKSYIQ-ZDUSSCGKSA-N 0 0 432.426 -0.319 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)NCC3CN(S(C)(=O)=O)C3)cc2)CC1 ZINC000779425813 1072589675 /nfs/dbraw/zinc/58/96/75/1072589675.db2.gz LSMCCKWLICWQCK-UHFFFAOYSA-N 0 0 439.538 -0.006 20 0 IBADRN CCS(=O)(=O)N1CCN(c2nccnc2N2CCN(S(=O)(=O)CC)CC2)CC1 ZINC000779430929 1072589686 /nfs/dbraw/zinc/58/96/86/1072589686.db2.gz ZSKACRIIUXMAID-UHFFFAOYSA-N 0 0 432.572 -0.580 20 0 IBADRN CCN=c1nc2[n-]nc(SCC(=O)NC3(CCO)CC[NH+](C)CC3)n2c(NCC)n1 ZINC000779443895 1072589651 /nfs/dbraw/zinc/58/96/51/1072589651.db2.gz MILBJLKQWQXIRH-UHFFFAOYSA-N 0 0 437.574 -0.140 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000779445438 1072589876 /nfs/dbraw/zinc/58/98/76/1072589876.db2.gz PWDILVVHHUMLOX-UHFFFAOYSA-N 0 0 428.511 -0.006 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CNC(=O)c1cccc(O)c1 ZINC000779464503 1072590145 /nfs/dbraw/zinc/59/01/45/1072590145.db2.gz KRDRLLUAKDXCCD-UHFFFAOYSA-N 0 0 433.442 -0.098 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)CNC(=O)c2cccc(O)c2)cc1 ZINC000779465723 1072590229 /nfs/dbraw/zinc/59/02/29/1072590229.db2.gz ZBGNRXLTSPJSKI-UHFFFAOYSA-N 0 0 435.458 -0.329 20 0 IBADRN Cn1nnnc1NC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC000779467871 1072590360 /nfs/dbraw/zinc/59/03/60/1072590360.db2.gz YBCVTMWZPWWMTM-UHFFFAOYSA-N 0 0 434.482 -0.311 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3s2)CC1 ZINC000779497193 1072590154 /nfs/dbraw/zinc/59/01/54/1072590154.db2.gz BODVVKIXAYRIDX-MSOLQXFVSA-N 0 0 446.485 -0.490 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3s2)CC1 ZINC000779497213 1072590348 /nfs/dbraw/zinc/59/03/48/1072590348.db2.gz BODVVKIXAYRIDX-QZTJIDSGSA-N 0 0 446.485 -0.490 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3s2)CC1 ZINC000779497220 1072590395 /nfs/dbraw/zinc/59/03/95/1072590395.db2.gz BODVVKIXAYRIDX-ROUUACIJSA-N 0 0 446.485 -0.490 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3s2)CC1 ZINC000779497225 1072590127 /nfs/dbraw/zinc/59/01/27/1072590127.db2.gz BODVVKIXAYRIDX-ZWKOTPCHSA-N 0 0 446.485 -0.490 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H](O)[C@H](O)c2nc3ccccc3s2)CC1 ZINC000779497923 1072590168 /nfs/dbraw/zinc/59/01/68/1072590168.db2.gz GTJDOYBQMQILNV-CABCVRRESA-N 0 0 428.536 -0.616 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H](O)[C@H](O)c2nc3ccccc3s2)CC1 ZINC000779497986 1072590339 /nfs/dbraw/zinc/59/03/39/1072590339.db2.gz GTJDOYBQMQILNV-GJZGRUSLSA-N 0 0 428.536 -0.616 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@H](O)[C@@H](O)c2nc3ccccc3s2)CC1 ZINC000779497991 1072590327 /nfs/dbraw/zinc/59/03/27/1072590327.db2.gz GTJDOYBQMQILNV-HUUCEWRRSA-N 0 0 428.536 -0.616 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3s2)CC1 ZINC000779497995 1072590176 /nfs/dbraw/zinc/59/01/76/1072590176.db2.gz GTJDOYBQMQILNV-LSDHHAIUSA-N 0 0 428.536 -0.616 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000779499114 1072590907 /nfs/dbraw/zinc/59/09/07/1072590907.db2.gz LXDDYDJKWVSXFU-BBRMVZONSA-N 0 0 426.514 -0.200 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000779499141 1072590888 /nfs/dbraw/zinc/59/08/88/1072590888.db2.gz LXDDYDJKWVSXFU-CJNGLKHVSA-N 0 0 426.514 -0.200 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000779499144 1072590855 /nfs/dbraw/zinc/59/08/55/1072590855.db2.gz LXDDYDJKWVSXFU-CZUORRHYSA-N 0 0 426.514 -0.200 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000779499147 1072590742 /nfs/dbraw/zinc/59/07/42/1072590742.db2.gz LXDDYDJKWVSXFU-XJKSGUPXSA-N 0 0 426.514 -0.200 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3s2)CC1)N1CCCC1 ZINC000779499518 1072590868 /nfs/dbraw/zinc/59/08/68/1072590868.db2.gz IZMIUGLKFGIUSF-CVEARBPZSA-N 0 0 432.502 -0.016 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3s2)CC1)N1CCCC1 ZINC000779499519 1072590901 /nfs/dbraw/zinc/59/09/01/1072590901.db2.gz IZMIUGLKFGIUSF-HOTGVXAUSA-N 0 0 432.502 -0.016 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3s2)CC1)N1CCCC1 ZINC000779499520 1072590781 /nfs/dbraw/zinc/59/07/81/1072590781.db2.gz IZMIUGLKFGIUSF-HZPDHXFCSA-N 0 0 432.502 -0.016 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3s2)CC1)N1CCCC1 ZINC000779499521 1072590812 /nfs/dbraw/zinc/59/08/12/1072590812.db2.gz IZMIUGLKFGIUSF-JKSUJKDBSA-N 0 0 432.502 -0.016 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC000779510754 1072590687 /nfs/dbraw/zinc/59/06/87/1072590687.db2.gz QQRFWDNOLXWHHR-BFJAYTPKSA-N 0 0 441.531 -0.695 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H](O)[C@H](O)c1nc2ccccc2s1 ZINC000779510755 1072590729 /nfs/dbraw/zinc/59/07/29/1072590729.db2.gz QQRFWDNOLXWHHR-CLWVCHIJSA-N 0 0 441.531 -0.695 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC000779510756 1072590879 /nfs/dbraw/zinc/59/08/79/1072590879.db2.gz QQRFWDNOLXWHHR-OCVGTWLNSA-N 0 0 441.531 -0.695 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H](O)[C@H](O)c1nc2ccccc2s1 ZINC000779510757 1072590758 /nfs/dbraw/zinc/59/07/58/1072590758.db2.gz QQRFWDNOLXWHHR-VRKREXBASA-N 0 0 441.531 -0.695 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC[S@@](C)=O)CC2)[C@@H](C)O)cc1 ZINC000779517917 1072591329 /nfs/dbraw/zinc/59/13/29/1072591329.db2.gz AFNVSZMNLBHOQT-DEKZCIIQSA-N 0 0 431.580 -0.455 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC[S@@](C)=O)CC2)[C@H](C)O)cc1 ZINC000779517925 1072591417 /nfs/dbraw/zinc/59/14/17/1072591417.db2.gz AFNVSZMNLBHOQT-KDGKORCUSA-N 0 0 431.580 -0.455 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC[S@](C)=O)CC2)[C@H](C)O)cc1 ZINC000779517928 1072591349 /nfs/dbraw/zinc/59/13/49/1072591349.db2.gz AFNVSZMNLBHOQT-MCGQKYITSA-N 0 0 431.580 -0.455 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN(CC[S@](C)=O)CC2)[C@@H](C)O)cc1 ZINC000779517930 1072591281 /nfs/dbraw/zinc/59/12/81/1072591281.db2.gz AFNVSZMNLBHOQT-OJMYTPRHSA-N 0 0 431.580 -0.455 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H](O)[C@H](O)c1nc2ccccc2s1 ZINC000779519143 1072591359 /nfs/dbraw/zinc/59/13/59/1072591359.db2.gz OCLSHYGTPLNBBL-BVGQSLNGSA-N 0 0 434.518 -0.260 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC000779519144 1072591385 /nfs/dbraw/zinc/59/13/85/1072591385.db2.gz OCLSHYGTPLNBBL-FSPWUOQZSA-N 0 0 434.518 -0.260 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC000779519147 1072591406 /nfs/dbraw/zinc/59/14/06/1072591406.db2.gz OCLSHYGTPLNBBL-JEBQAFNWSA-N 0 0 434.518 -0.260 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H](O)[C@H](O)c1nc2ccccc2s1 ZINC000779519148 1072591262 /nfs/dbraw/zinc/59/12/62/1072591262.db2.gz OCLSHYGTPLNBBL-XWIAVFTESA-N 0 0 434.518 -0.260 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1)C(=O)N(CCO)CCO ZINC000779520228 1072591336 /nfs/dbraw/zinc/59/13/36/1072591336.db2.gz ARZJAEDVUDZXRR-UHFFFAOYSA-N 0 0 426.495 -0.311 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCSC[C@H]2S(C)(=O)=O)[C@H](C)O)cc1 ZINC000779520690 1072591762 /nfs/dbraw/zinc/59/17/62/1072591762.db2.gz QWLSLWZQILGKEM-CFVMTHIKSA-N 0 0 436.577 -0.031 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCSC[C@@H]2S(C)(=O)=O)[C@H](C)O)cc1 ZINC000779520695 1072591812 /nfs/dbraw/zinc/59/18/12/1072591812.db2.gz QWLSLWZQILGKEM-QEJZJMRPSA-N 0 0 436.577 -0.031 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCSC[C@@H]2S(C)(=O)=O)[C@@H](C)O)cc1 ZINC000779520698 1072591717 /nfs/dbraw/zinc/59/17/17/1072591717.db2.gz QWLSLWZQILGKEM-SNPRPXQTSA-N 0 0 436.577 -0.031 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCSC[C@H]2S(C)(=O)=O)[C@@H](C)O)cc1 ZINC000779520701 1072591743 /nfs/dbraw/zinc/59/17/43/1072591743.db2.gz QWLSLWZQILGKEM-YUELXQCFSA-N 0 0 436.577 -0.031 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2ccn(CCS(C)(=O)=O)n2)[C@H](C)O)cc1 ZINC000779520719 1072591316 /nfs/dbraw/zinc/59/13/16/1072591316.db2.gz RDYYSKOKOBYMKO-BBRMVZONSA-N 0 0 444.535 -0.097 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2ccn(CCS(C)(=O)=O)n2)[C@@H](C)O)cc1 ZINC000779520738 1072591253 /nfs/dbraw/zinc/59/12/53/1072591253.db2.gz RDYYSKOKOBYMKO-CJNGLKHVSA-N 0 0 444.535 -0.097 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2ccn(CCS(C)(=O)=O)n2)[C@@H](C)O)cc1 ZINC000779520739 1072591400 /nfs/dbraw/zinc/59/14/00/1072591400.db2.gz RDYYSKOKOBYMKO-CZUORRHYSA-N 0 0 444.535 -0.097 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2ccn(CCS(C)(=O)=O)n2)[C@H](C)O)cc1 ZINC000779520741 1072591772 /nfs/dbraw/zinc/59/17/72/1072591772.db2.gz RDYYSKOKOBYMKO-XJKSGUPXSA-N 0 0 444.535 -0.097 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000779521138 1072591305 /nfs/dbraw/zinc/59/13/05/1072591305.db2.gz WXCDMZBIKQTPTE-GDBMZVCRSA-N 0 0 441.506 -0.743 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000779521144 1072591299 /nfs/dbraw/zinc/59/12/99/1072591299.db2.gz WXCDMZBIKQTPTE-GOEBONIOSA-N 0 0 441.506 -0.743 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC000779521145 1072591236 /nfs/dbraw/zinc/59/12/36/1072591236.db2.gz WXCDMZBIKQTPTE-HOCLYGCPSA-N 0 0 441.506 -0.743 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC000779521146 1072591370 /nfs/dbraw/zinc/59/13/70/1072591370.db2.gz WXCDMZBIKQTPTE-ZBFHGGJFSA-N 0 0 441.506 -0.743 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1)C(=O)N(CCO)CCO ZINC000779522721 1072591784 /nfs/dbraw/zinc/59/17/84/1072591784.db2.gz YWJXMRVOSWWHPY-UHFFFAOYSA-N 0 0 426.495 -0.311 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCC[C@H]2CS(N)(=O)=O)[C@H](C)O)cc1 ZINC000779535990 1072591902 /nfs/dbraw/zinc/59/19/02/1072591902.db2.gz MBQZBPYDYJGECH-DZKIICNBSA-N 0 0 433.552 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCC[C@@H]2CS(N)(=O)=O)[C@@H](C)O)cc1 ZINC000779536018 1072591911 /nfs/dbraw/zinc/59/19/11/1072591911.db2.gz MBQZBPYDYJGECH-FMKPAKJESA-N 0 0 433.552 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCC[C@@H]2CS(N)(=O)=O)[C@H](C)O)cc1 ZINC000779536022 1072591750 /nfs/dbraw/zinc/59/17/50/1072591750.db2.gz MBQZBPYDYJGECH-LZWOXQAQSA-N 0 0 433.552 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCC[C@H]2CS(N)(=O)=O)[C@@H](C)O)cc1 ZINC000779536027 1072591832 /nfs/dbraw/zinc/59/18/32/1072591832.db2.gz MBQZBPYDYJGECH-YCPHGPKFSA-N 0 0 433.552 -0.308 20 0 IBADRN CN1C(=O)CN(NC(=O)c2cc(I)ccc2-n2cnnn2)C1=O ZINC000779542320 1072591938 /nfs/dbraw/zinc/59/19/38/1072591938.db2.gz YOBVBTKJZDURKU-UHFFFAOYSA-N 0 0 427.162 -0.194 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC(n4cc(C(=O)OC)nn4)C3)cc2S1(=O)=O ZINC000779545067 1072591955 /nfs/dbraw/zinc/59/19/55/1072591955.db2.gz KJNCLTYFHNKJAV-UHFFFAOYSA-N 0 0 449.445 -0.447 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@H](CC(N)=O)NC(=O)OCc3ccccc3)C2)nn1 ZINC000779545463 1072591701 /nfs/dbraw/zinc/59/17/01/1072591701.db2.gz LMNANFIYIHNQTP-AWEZNQCLSA-N 0 0 430.421 -0.382 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000779545608 1072591820 /nfs/dbraw/zinc/59/18/20/1072591820.db2.gz GXQZXHWLKIWZML-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CN3C(=O)N[C@@](C)(c4ccc(OC)cc4)C3=O)C2)nn1 ZINC000779547993 1072592327 /nfs/dbraw/zinc/59/23/27/1072592327.db2.gz AEBPABLCQXTUCV-FQEVSTJZSA-N 0 0 442.432 -0.076 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CN3C(=O)N[C@](C)(c4ccc(OC)cc4)C3=O)C2)nn1 ZINC000779547994 1072592262 /nfs/dbraw/zinc/59/22/62/1072592262.db2.gz AEBPABLCQXTUCV-HXUWFJFHSA-N 0 0 442.432 -0.076 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CN3C(=O)N[C@@](C)(c4ccccc4OC)C3=O)C2)nn1 ZINC000779548270 1072591866 /nfs/dbraw/zinc/59/18/66/1072591866.db2.gz DPJKDNAFAGVAFB-FQEVSTJZSA-N 0 0 442.432 -0.076 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CN3C(=O)N[C@](C)(c4ccccc4OC)C3=O)C2)nn1 ZINC000779548271 1072592362 /nfs/dbraw/zinc/59/23/62/1072592362.db2.gz DPJKDNAFAGVAFB-HXUWFJFHSA-N 0 0 442.432 -0.076 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)[C@@H]3CCCN3C(=O)/C=C\c3ccc(C(N)=O)cc3)C2)nn1 ZINC000779548286 1072592292 /nfs/dbraw/zinc/59/22/92/1072592292.db2.gz ANXMGVDILPKNOH-AFCDSYGPSA-N 0 0 437.460 -0.436 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)[C@H]3CCCN3C(=O)/C=C\c3ccc(C(N)=O)cc3)C2)nn1 ZINC000779548287 1072592356 /nfs/dbraw/zinc/59/23/56/1072592356.db2.gz ANXMGVDILPKNOH-CTEOGUNLSA-N 0 0 437.460 -0.436 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)[C@@H]3CCCN3C(=O)/C=C/c3ccc(C(N)=O)cc3)C2)nn1 ZINC000779548288 1072592337 /nfs/dbraw/zinc/59/23/37/1072592337.db2.gz ANXMGVDILPKNOH-JZLODUJNSA-N 0 0 437.460 -0.436 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)[C@H]3CCCN3C(=O)/C=C/c3ccc(C(N)=O)cc3)C2)nn1 ZINC000779548289 1072592368 /nfs/dbraw/zinc/59/23/68/1072592368.db2.gz ANXMGVDILPKNOH-ZGRWHYIRSA-N 0 0 437.460 -0.436 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C3CCN(C4=NS(=O)(=O)c5ccccc54)CC3)C2)nn1 ZINC000779548302 1072592272 /nfs/dbraw/zinc/59/22/72/1072592272.db2.gz AVKFSNOHVCJNQW-UHFFFAOYSA-N 0 0 443.489 -0.379 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)CN3CCN(C(=O)OCc4ccccc4)CC3)C2)nn1 ZINC000779548309 1072592254 /nfs/dbraw/zinc/59/22/54/1072592254.db2.gz BKDKPQSKQHFLGB-UHFFFAOYSA-N 0 0 427.465 -0.285 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)c3c(F)ccc(S(=O)(=O)NC4CC4)c3F)C2)nn1 ZINC000779548593 1072592277 /nfs/dbraw/zinc/59/22/77/1072592277.db2.gz FNWAYBNTJDBITP-UHFFFAOYSA-N 0 0 426.405 -0.207 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CC(n4cc(C(N)=O)nn4)C3)C2=O)cc1 ZINC000779548825 1072592226 /nfs/dbraw/zinc/59/22/26/1072592226.db2.gz KDHDWPASZCLPDC-IBGZPJMESA-N 0 0 427.421 -0.764 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CC(n4cc(C(N)=O)nn4)C3)C2=O)cc1 ZINC000779548829 1072592382 /nfs/dbraw/zinc/59/23/82/1072592382.db2.gz KDHDWPASZCLPDC-LJQANCHMSA-N 0 0 427.421 -0.764 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CC(n3cc(C(N)=O)nn3)C2)C1=O ZINC000779549046 1072592375 /nfs/dbraw/zinc/59/23/75/1072592375.db2.gz LMQWFAVSVTYZOH-IBGZPJMESA-N 0 0 427.421 -0.764 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CC(n3cc(C(N)=O)nn3)C2)C1=O ZINC000779549047 1072592309 /nfs/dbraw/zinc/59/23/09/1072592309.db2.gz LMQWFAVSVTYZOH-LJQANCHMSA-N 0 0 427.421 -0.764 20 0 IBADRN CN(CCCC(=O)N1CC(n2cc(C(N)=O)nn2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000779549059 1072592237 /nfs/dbraw/zinc/59/22/37/1072592237.db2.gz MKPZYGSQQUSIDQ-UHFFFAOYSA-N 0 0 431.478 -0.379 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CC(n3cc(C(N)=O)nn3)C2)c1 ZINC000779549391 1072592919 /nfs/dbraw/zinc/59/29/19/1072592919.db2.gz QPCBSRFVPOISJA-UHFFFAOYSA-N 0 0 442.885 -0.348 20 0 IBADRN C[C@H](CC(=O)N1CC(n2cc(C(N)=O)nn2)C1)NS(=O)(=O)c1cccnc1Cl ZINC000779549423 1072592733 /nfs/dbraw/zinc/59/27/33/1072592733.db2.gz SOHAWHLVOYBMFD-SECBINFHSA-N 0 0 427.874 -0.434 20 0 IBADRN C[C@@H](CC(=O)N1CC(n2cc(C(N)=O)nn2)C1)NS(=O)(=O)c1cccnc1Cl ZINC000779549424 1072592751 /nfs/dbraw/zinc/59/27/51/1072592751.db2.gz SOHAWHLVOYBMFD-VIFPVBQESA-N 0 0 427.874 -0.434 20 0 IBADRN COCCOc1ccc(-n2nc(C)c(C(=O)N3CC(n4cc(C(N)=O)nn4)C3)n2)cc1 ZINC000779549505 1072592389 /nfs/dbraw/zinc/59/23/89/1072592389.db2.gz XUMDDEKZJFEGOZ-UHFFFAOYSA-N 0 0 426.437 -0.012 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)N2CC(n3cc(C(N)=O)nn3)C2)ccc1Cl ZINC000779551217 1072592722 /nfs/dbraw/zinc/59/27/22/1072592722.db2.gz VFENROSAOTWIGA-UHFFFAOYSA-N 0 0 428.858 -0.091 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CC(n3cc(C(N)=O)nn3)C2)C1=O ZINC000779551262 1072592915 /nfs/dbraw/zinc/59/29/15/1072592915.db2.gz YWIPIFWILUTZEK-FQEVSTJZSA-N 0 0 441.448 -0.455 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CC(n3cc(C(N)=O)nn3)C2)C1=O ZINC000779551263 1072592893 /nfs/dbraw/zinc/59/28/93/1072592893.db2.gz YWIPIFWILUTZEK-HXUWFJFHSA-N 0 0 441.448 -0.455 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC(n3cc([C@@H](C)O)nn3)C2)c1 ZINC000779556092 1072592846 /nfs/dbraw/zinc/59/28/46/1072592846.db2.gz QLKBKFIBQPNCAE-CYBMUJFWSA-N 0 0 438.510 -0.393 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC(n3cc([C@H](C)O)nn3)C2)c1 ZINC000779556098 1072592789 /nfs/dbraw/zinc/59/27/89/1072592789.db2.gz QLKBKFIBQPNCAE-ZDUSSCGKSA-N 0 0 438.510 -0.393 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CC(n3cc([C@@H](C)O)nn3)C2)cc1 ZINC000779560772 1072592910 /nfs/dbraw/zinc/59/29/10/1072592910.db2.gz AUXDABVEMIEDNS-GFCCVEGCSA-N 0 0 436.494 -0.006 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CC(n3cc([C@H](C)O)nn3)C2)cc1 ZINC000779560773 1072592897 /nfs/dbraw/zinc/59/28/97/1072592897.db2.gz AUXDABVEMIEDNS-LBPRGKRZSA-N 0 0 436.494 -0.006 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)nn1 ZINC000779563905 1072593468 /nfs/dbraw/zinc/59/34/68/1072593468.db2.gz ZQTCBNZJCGQUAX-NEPJUHHUSA-N 0 0 434.478 -0.585 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)nn1 ZINC000779563911 1072593412 /nfs/dbraw/zinc/59/34/12/1072593412.db2.gz ZQTCBNZJCGQUAX-NWDGAFQWSA-N 0 0 434.478 -0.585 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)nn1 ZINC000779563912 1072593371 /nfs/dbraw/zinc/59/33/71/1072593371.db2.gz ZQTCBNZJCGQUAX-RYUDHWBXSA-N 0 0 434.478 -0.585 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)nn1 ZINC000779563914 1072593273 /nfs/dbraw/zinc/59/32/73/1072593273.db2.gz ZQTCBNZJCGQUAX-VXGBXAGGSA-N 0 0 434.478 -0.585 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000779564657 1072593394 /nfs/dbraw/zinc/59/33/94/1072593394.db2.gz AOSNLXCCFZWRIT-GOSISDBHSA-N 0 0 449.533 -0.144 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000779564659 1072593434 /nfs/dbraw/zinc/59/34/34/1072593434.db2.gz AOSNLXCCFZWRIT-SFHVURJKSA-N 0 0 449.533 -0.144 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC(n3cc([C@@H](C)O)nn3)C2)cc1 ZINC000779567294 1072593319 /nfs/dbraw/zinc/59/33/19/1072593319.db2.gz OFDZEVYPHKAVCR-GFCCVEGCSA-N 0 0 437.478 -0.130 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC(n3cc([C@H](C)O)nn3)C2)cc1 ZINC000779567295 1072593362 /nfs/dbraw/zinc/59/33/62/1072593362.db2.gz OFDZEVYPHKAVCR-LBPRGKRZSA-N 0 0 437.478 -0.130 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000779567430 1072593287 /nfs/dbraw/zinc/59/32/87/1072593287.db2.gz PVCCLNOETAAOOW-AWEZNQCLSA-N 0 0 427.508 -0.080 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000779567431 1072593347 /nfs/dbraw/zinc/59/33/47/1072593347.db2.gz PVCCLNOETAAOOW-CQSZACIVSA-N 0 0 427.508 -0.080 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)ccc1F ZINC000779572368 1072593382 /nfs/dbraw/zinc/59/33/82/1072593382.db2.gz GFRIGJCMCCZRLN-CYBMUJFWSA-N 0 0 435.499 -0.260 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)ccc1F ZINC000779572369 1072593481 /nfs/dbraw/zinc/59/34/81/1072593481.db2.gz GFRIGJCMCCZRLN-ZDUSSCGKSA-N 0 0 435.499 -0.260 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779580108 1072593257 /nfs/dbraw/zinc/59/32/57/1072593257.db2.gz UCNMGPUHVFQEMZ-AWEZNQCLSA-N 0 0 448.542 -0.720 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779580110 1072593310 /nfs/dbraw/zinc/59/33/10/1072593310.db2.gz UCNMGPUHVFQEMZ-CQSZACIVSA-N 0 0 448.542 -0.720 20 0 IBADRN O=C(CS[C@H]1CCS(=O)(=O)C1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779580731 1072593428 /nfs/dbraw/zinc/59/34/28/1072593428.db2.gz RLCBUTTWSPLDFL-KBPBESRZSA-N 0 0 426.582 -0.440 20 0 IBADRN O=C(CS[C@@H]1CCS(=O)(=O)C1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779580736 1072593424 /nfs/dbraw/zinc/59/34/24/1072593424.db2.gz RLCBUTTWSPLDFL-KGLIPLIRSA-N 0 0 426.582 -0.440 20 0 IBADRN O=C(CS[C@H]1CCS(=O)(=O)C1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779580738 1072593473 /nfs/dbraw/zinc/59/34/73/1072593473.db2.gz RLCBUTTWSPLDFL-UONOGXRCSA-N 0 0 426.582 -0.440 20 0 IBADRN O=C(CS[C@@H]1CCS(=O)(=O)C1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779580740 1072593335 /nfs/dbraw/zinc/59/33/35/1072593335.db2.gz RLCBUTTWSPLDFL-ZIAGYGMSSA-N 0 0 426.582 -0.440 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)=NN(c2ccccc2)C1=O ZINC000779580785 1072593728 /nfs/dbraw/zinc/59/37/28/1072593728.db2.gz ZHKSNPXEQUZRKQ-DOTOQJQBSA-N 0 0 449.533 -0.022 20 0 IBADRN C[C@H]1NC(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)=NN(c2ccccc2)C1=O ZINC000779580786 1072593734 /nfs/dbraw/zinc/59/37/34/1072593734.db2.gz ZHKSNPXEQUZRKQ-NVXWUHKLSA-N 0 0 449.533 -0.022 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)=NN(c2ccccc2)C1=O ZINC000779580787 1072593834 /nfs/dbraw/zinc/59/38/34/1072593834.db2.gz ZHKSNPXEQUZRKQ-RDJZCZTQSA-N 0 0 449.533 -0.022 20 0 IBADRN C[C@H]1NC(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)=NN(c2ccccc2)C1=O ZINC000779580788 1072593817 /nfs/dbraw/zinc/59/38/17/1072593817.db2.gz ZHKSNPXEQUZRKQ-WBVHZDCISA-N 0 0 449.533 -0.022 20 0 IBADRN O=C([C@H]1Nc2ccccc2S(=O)(=O)N1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779581827 1072593766 /nfs/dbraw/zinc/59/37/66/1072593766.db2.gz BPFKXHZVPBPZGJ-BBRMVZONSA-N 0 0 444.535 -0.631 20 0 IBADRN O=C([C@H]1Nc2ccccc2S(=O)(=O)N1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779581830 1072593873 /nfs/dbraw/zinc/59/38/73/1072593873.db2.gz BPFKXHZVPBPZGJ-CJNGLKHVSA-N 0 0 444.535 -0.631 20 0 IBADRN O=C([C@@H]1Nc2ccccc2S(=O)(=O)N1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779581842 1072593796 /nfs/dbraw/zinc/59/37/96/1072593796.db2.gz BPFKXHZVPBPZGJ-CZUORRHYSA-N 0 0 444.535 -0.631 20 0 IBADRN O=C([C@@H]1Nc2ccccc2S(=O)(=O)N1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779581844 1072593780 /nfs/dbraw/zinc/59/37/80/1072593780.db2.gz BPFKXHZVPBPZGJ-XJKSGUPXSA-N 0 0 444.535 -0.631 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779581894 1072593740 /nfs/dbraw/zinc/59/37/40/1072593740.db2.gz CYKBHCSOOCHACX-HNNXBMFYSA-N 0 0 444.535 -0.863 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779581895 1072593855 /nfs/dbraw/zinc/59/38/55/1072593855.db2.gz CYKBHCSOOCHACX-OAHLLOKOSA-N 0 0 444.535 -0.863 20 0 IBADRN CCOC(Cn1cc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)nn1)OCC ZINC000779583154 1072593803 /nfs/dbraw/zinc/59/38/03/1072593803.db2.gz HXPSYDUMHGPPIH-HNNXBMFYSA-N 0 0 445.542 -0.056 20 0 IBADRN CCOC(Cn1cc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)nn1)OCC ZINC000779583155 1072593757 /nfs/dbraw/zinc/59/37/57/1072593757.db2.gz HXPSYDUMHGPPIH-OAHLLOKOSA-N 0 0 445.542 -0.056 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779584398 1072593866 /nfs/dbraw/zinc/59/38/66/1072593866.db2.gz UQYFBCBTSQIMHP-AWEZNQCLSA-N 0 0 444.535 -0.863 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779584399 1072593890 /nfs/dbraw/zinc/59/38/90/1072593890.db2.gz UQYFBCBTSQIMHP-CQSZACIVSA-N 0 0 444.535 -0.863 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)=NN1c1ccccc1 ZINC000779584497 1072593827 /nfs/dbraw/zinc/59/38/27/1072593827.db2.gz MCGWNTIZDUISCA-AEFFLSMTSA-N 0 0 449.533 -0.240 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)=NN1c1ccccc1 ZINC000779584498 1072593788 /nfs/dbraw/zinc/59/37/88/1072593788.db2.gz MCGWNTIZDUISCA-FUHWJXTLSA-N 0 0 449.533 -0.240 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)=NN1c1ccccc1 ZINC000779584499 1072593774 /nfs/dbraw/zinc/59/37/74/1072593774.db2.gz MCGWNTIZDUISCA-SJLPKXTDSA-N 0 0 449.533 -0.240 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)=NN1c1ccccc1 ZINC000779584502 1072593861 /nfs/dbraw/zinc/59/38/61/1072593861.db2.gz MCGWNTIZDUISCA-WMZOPIPTSA-N 0 0 449.533 -0.240 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)c(=O)n(C)c1=O ZINC000779598038 1072593882 /nfs/dbraw/zinc/59/38/82/1072593882.db2.gz PQXNVONSUYSCGE-BQYQJAHWSA-N 0 0 430.486 -0.300 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)c(=O)n(C)c1=O ZINC000779598044 1072593843 /nfs/dbraw/zinc/59/38/43/1072593843.db2.gz PQXNVONSUYSCGE-FPLPWBNLSA-N 0 0 430.486 -0.300 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000779614341 1072594403 /nfs/dbraw/zinc/59/44/03/1072594403.db2.gz ZYNMLAONRBUPKF-AWEZNQCLSA-N 0 0 449.555 -0.414 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000779614342 1072594235 /nfs/dbraw/zinc/59/42/35/1072594235.db2.gz ZYNMLAONRBUPKF-CQSZACIVSA-N 0 0 449.555 -0.414 20 0 IBADRN O=C(CCNC(=O)[C@@H](O)[C@@H](O)c1nc2ccccc2[nH]1)N1CCN(c2ncccn2)CC1 ZINC000779619297 1072594225 /nfs/dbraw/zinc/59/42/25/1072594225.db2.gz ASBBMYYPNFEAGK-MSOLQXFVSA-N 0 0 439.476 -0.398 20 0 IBADRN O=C(CCNC(=O)[C@H](O)[C@@H](O)c1nc2ccccc2[nH]1)N1CCN(c2ncccn2)CC1 ZINC000779619303 1072594324 /nfs/dbraw/zinc/59/43/24/1072594324.db2.gz ASBBMYYPNFEAGK-QZTJIDSGSA-N 0 0 439.476 -0.398 20 0 IBADRN O=C(CCNC(=O)[C@@H](O)[C@H](O)c1nc2ccccc2[nH]1)N1CCN(c2ncccn2)CC1 ZINC000779619307 1072594302 /nfs/dbraw/zinc/59/43/02/1072594302.db2.gz ASBBMYYPNFEAGK-ROUUACIJSA-N 0 0 439.476 -0.398 20 0 IBADRN O=C(CCNC(=O)[C@H](O)[C@H](O)c1nc2ccccc2[nH]1)N1CCN(c2ncccn2)CC1 ZINC000779619311 1072594289 /nfs/dbraw/zinc/59/42/89/1072594289.db2.gz ASBBMYYPNFEAGK-ZWKOTPCHSA-N 0 0 439.476 -0.398 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000779619707 1072594309 /nfs/dbraw/zinc/59/43/09/1072594309.db2.gz MHJDTEJJJGOPRZ-KRWDZBQOSA-N 0 0 445.563 -0.127 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@@H](S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000779619708 1072594320 /nfs/dbraw/zinc/59/43/20/1072594320.db2.gz MHJDTEJJJGOPRZ-QGZVFWFLSA-N 0 0 445.563 -0.127 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000779621920 1072594338 /nfs/dbraw/zinc/59/43/38/1072594338.db2.gz AKVHQWRPWYUNBD-DLBZAZTESA-N 0 0 446.570 -0.198 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000779621921 1072594267 /nfs/dbraw/zinc/59/42/67/1072594267.db2.gz AKVHQWRPWYUNBD-IAGOWNOFSA-N 0 0 446.570 -0.198 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000779621922 1072594243 /nfs/dbraw/zinc/59/42/43/1072594243.db2.gz AKVHQWRPWYUNBD-IRXDYDNUSA-N 0 0 446.570 -0.198 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000779621923 1072594377 /nfs/dbraw/zinc/59/43/77/1072594377.db2.gz AKVHQWRPWYUNBD-SJORKVTESA-N 0 0 446.570 -0.198 20 0 IBADRN O=C(CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)NC1CCC2(CC1)OCCO2 ZINC000779622684 1072594806 /nfs/dbraw/zinc/59/48/06/1072594806.db2.gz PECZRSZRQIKNLR-KRWDZBQOSA-N 0 0 431.555 -0.085 20 0 IBADRN O=C(CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)NC1CCC2(CC1)OCCO2 ZINC000779622685 1072594870 /nfs/dbraw/zinc/59/48/70/1072594870.db2.gz PECZRSZRQIKNLR-QGZVFWFLSA-N 0 0 431.555 -0.085 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)NC(=O)N[C@H]1C ZINC000779622865 1072594218 /nfs/dbraw/zinc/59/42/18/1072594218.db2.gz SCPZQUMDWMJIOM-KBPBESRZSA-N 0 0 430.527 -0.369 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)NC(=O)N[C@@H]1C ZINC000779622867 1072594263 /nfs/dbraw/zinc/59/42/63/1072594263.db2.gz SCPZQUMDWMJIOM-KGLIPLIRSA-N 0 0 430.527 -0.369 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)NC(=O)N[C@H]1C ZINC000779622868 1072594917 /nfs/dbraw/zinc/59/49/17/1072594917.db2.gz SCPZQUMDWMJIOM-UONOGXRCSA-N 0 0 430.527 -0.369 20 0 IBADRN CCOC(=O)C1=C(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)NC(=O)N[C@@H]1C ZINC000779622869 1072594942 /nfs/dbraw/zinc/59/49/42/1072594942.db2.gz SCPZQUMDWMJIOM-ZIAGYGMSSA-N 0 0 430.527 -0.369 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000779622892 1072594887 /nfs/dbraw/zinc/59/48/87/1072594887.db2.gz SXXVKRYXJORWPO-KRWDZBQOSA-N 0 0 446.570 -0.150 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000779622894 1072594825 /nfs/dbraw/zinc/59/48/25/1072594825.db2.gz SXXVKRYXJORWPO-QGZVFWFLSA-N 0 0 446.570 -0.150 20 0 IBADRN CS(=O)(=O)CCN1CCC(NS(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1 ZINC000779622914 1072594752 /nfs/dbraw/zinc/59/47/52/1072594752.db2.gz JDEPWMNIBPZOFI-UHFFFAOYSA-N 0 0 429.564 -0.061 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000779623012 1072594359 /nfs/dbraw/zinc/59/43/59/1072594359.db2.gz WGHCKBGJJRZUGJ-INIZCTEOSA-N 0 0 432.543 -0.587 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC000779623013 1072594197 /nfs/dbraw/zinc/59/41/97/1072594197.db2.gz WGHCKBGJJRZUGJ-MRXNPFEDSA-N 0 0 432.543 -0.587 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779633346 1072594907 /nfs/dbraw/zinc/59/49/07/1072594907.db2.gz AVCBCSKTOCXOLJ-GFCCVEGCSA-N 0 0 436.512 -0.680 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779633350 1072594797 /nfs/dbraw/zinc/59/47/97/1072594797.db2.gz AVCBCSKTOCXOLJ-LBPRGKRZSA-N 0 0 436.512 -0.680 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)c(=O)[nH]c1=O ZINC000779633387 1072594863 /nfs/dbraw/zinc/59/48/63/1072594863.db2.gz CCJJFMMBHKHFOI-UHFFFAOYSA-N 0 0 425.471 -0.535 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)cc1 ZINC000779633397 1072594897 /nfs/dbraw/zinc/59/48/97/1072594897.db2.gz CUOIXZYAKOANOB-UHFFFAOYSA-N 0 0 443.507 -0.564 20 0 IBADRN O=S(=O)([C@H]1CCCN(S(=O)(=O)N2CCC3(CC2)OCCO3)C1)N1CCOCC1 ZINC000779634766 1072594741 /nfs/dbraw/zinc/59/47/41/1072594741.db2.gz NEBMTZYCNVVLKY-HNNXBMFYSA-N 0 0 439.556 -0.804 20 0 IBADRN O=S(=O)([C@@H]1CCCN(S(=O)(=O)N2CCC3(CC2)OCCO3)C1)N1CCOCC1 ZINC000779634876 1072594783 /nfs/dbraw/zinc/59/47/83/1072594783.db2.gz NEBMTZYCNVVLKY-OAHLLOKOSA-N 0 0 439.556 -0.804 20 0 IBADRN C[C@@H](O)c1cn(C2CN(S(=O)(=O)CCN(C)S(=O)(=O)c3ccc(F)cc3)C2)nn1 ZINC000779635126 1072594726 /nfs/dbraw/zinc/59/47/26/1072594726.db2.gz PVMOSDMEILGZDX-GFCCVEGCSA-N 0 0 447.514 -0.022 20 0 IBADRN C[C@H](O)c1cn(C2CN(S(=O)(=O)CCN(C)S(=O)(=O)c3ccc(F)cc3)C2)nn1 ZINC000779635128 1072594880 /nfs/dbraw/zinc/59/48/80/1072594880.db2.gz PVMOSDMEILGZDX-LBPRGKRZSA-N 0 0 447.514 -0.022 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC(n3cc(C(N)=O)nn3)C2)c(Br)o1 ZINC000779635469 1072594817 /nfs/dbraw/zinc/59/48/17/1072594817.db2.gz RIKMEHRFJIHLPL-UHFFFAOYSA-N 0 0 434.228 -0.235 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)cc1 ZINC000779641027 1072594929 /nfs/dbraw/zinc/59/49/29/1072594929.db2.gz DZEHDVBISXZZJS-UHFFFAOYSA-N 0 0 425.496 -0.026 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000779667492 1072595294 /nfs/dbraw/zinc/59/52/94/1072595294.db2.gz VDWYJWACFSRDHL-UHFFFAOYSA-N 0 0 432.432 -0.313 20 0 IBADRN COC(=O)c1cc(C(=O)OC)n(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n1 ZINC000779791756 1072595342 /nfs/dbraw/zinc/59/53/42/1072595342.db2.gz LJIXROHXKRDKQQ-UHFFFAOYSA-N 0 0 442.436 -0.518 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)no2)cn1 ZINC000779843730 1072595334 /nfs/dbraw/zinc/59/53/34/1072595334.db2.gz JJFKUDWUSQZCHG-AWEZNQCLSA-N 0 0 445.461 -0.132 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)no2)cn1 ZINC000779843732 1072595253 /nfs/dbraw/zinc/59/52/53/1072595253.db2.gz JJFKUDWUSQZCHG-CQSZACIVSA-N 0 0 445.461 -0.132 20 0 IBADRN O=C1C(=O)N(C2CCCC2)C(=O)N1CN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779868956 1072595507 /nfs/dbraw/zinc/59/55/07/1072595507.db2.gz CRDHTBVIXGWNKL-HNNXBMFYSA-N 0 0 428.511 -0.196 20 0 IBADRN O=C1C(=O)N(C2CCCC2)C(=O)N1CN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000779868957 1072595401 /nfs/dbraw/zinc/59/54/01/1072595401.db2.gz CRDHTBVIXGWNKL-OAHLLOKOSA-N 0 0 428.511 -0.196 20 0 IBADRN Cn1c(CCC(N)=O)nn(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1=S ZINC000779869502 1072595379 /nfs/dbraw/zinc/59/53/79/1072595379.db2.gz IWEZFKPNXJXSLM-CYBMUJFWSA-N 0 0 432.572 -0.547 20 0 IBADRN Cn1c(CCC(N)=O)nn(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1=S ZINC000779869506 1072595384 /nfs/dbraw/zinc/59/53/84/1072595384.db2.gz IWEZFKPNXJXSLM-ZDUSSCGKSA-N 0 0 432.572 -0.547 20 0 IBADRN CNC(=O)c1nn(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(=O)c2ccccc21 ZINC000779870151 1072595893 /nfs/dbraw/zinc/59/58/93/1072595893.db2.gz PTKGALHTUAUWON-HNNXBMFYSA-N 0 0 449.533 -0.160 20 0 IBADRN CNC(=O)c1nn(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(=O)c2ccccc21 ZINC000779870152 1072595878 /nfs/dbraw/zinc/59/58/78/1072595878.db2.gz PTKGALHTUAUWON-OAHLLOKOSA-N 0 0 449.533 -0.160 20 0 IBADRN Cn1cc(C[C@H]2CC(=O)N(CN3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000779870246 1072596010 /nfs/dbraw/zinc/59/60/10/1072596010.db2.gz RFYUWUMIJLLVRR-DLBZAZTESA-N 0 0 439.538 -0.578 20 0 IBADRN Cn1cc(C[C@@H]2CC(=O)N(CN3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000779870248 1072595995 /nfs/dbraw/zinc/59/59/95/1072595995.db2.gz RFYUWUMIJLLVRR-IAGOWNOFSA-N 0 0 439.538 -0.578 20 0 IBADRN Cn1cc(C[C@H]2CC(=O)N(CN3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000779870249 1072595948 /nfs/dbraw/zinc/59/59/48/1072595948.db2.gz RFYUWUMIJLLVRR-IRXDYDNUSA-N 0 0 439.538 -0.578 20 0 IBADRN Cn1cc(C[C@@H]2CC(=O)N(CN3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2=O)cn1 ZINC000779870251 1072595988 /nfs/dbraw/zinc/59/59/88/1072595988.db2.gz RFYUWUMIJLLVRR-SJORKVTESA-N 0 0 439.538 -0.578 20 0 IBADRN Cc1nn(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(=S)n1CC(=O)N(C)C ZINC000779870378 1072595939 /nfs/dbraw/zinc/59/59/39/1072595939.db2.gz SZIWWONLRQLUIL-HNNXBMFYSA-N 0 0 446.599 -0.105 20 0 IBADRN Cc1nn(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(=S)n1CC(=O)N(C)C ZINC000779870379 1072595968 /nfs/dbraw/zinc/59/59/68/1072595968.db2.gz SZIWWONLRQLUIL-OAHLLOKOSA-N 0 0 446.599 -0.105 20 0 IBADRN Cn1c(N2CCOCC2)nn(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1=S ZINC000779870579 1072595981 /nfs/dbraw/zinc/59/59/81/1072595981.db2.gz WPOJSZJCFSTQCU-HNNXBMFYSA-N 0 0 446.599 -0.128 20 0 IBADRN Cn1c(N2CCOCC2)nn(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1=S ZINC000779870580 1072595973 /nfs/dbraw/zinc/59/59/73/1072595973.db2.gz WPOJSZJCFSTQCU-OAHLLOKOSA-N 0 0 446.599 -0.128 20 0 IBADRN COC(=O)c1cc(C(=O)OC)n(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000779870835 1072595921 /nfs/dbraw/zinc/59/59/21/1072595921.db2.gz YBGPHANFNMEWPN-CYBMUJFWSA-N 0 0 430.483 -0.460 20 0 IBADRN COC(=O)c1cc(C(=O)OC)n(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1 ZINC000779870836 1072595911 /nfs/dbraw/zinc/59/59/11/1072595911.db2.gz YBGPHANFNMEWPN-ZDUSSCGKSA-N 0 0 430.483 -0.460 20 0 IBADRN O=C(N[C@@]1(C(=O)NCCS(=O)(=O)N2CCOCC2)CCOC1)OCc1ccccc1 ZINC000779887240 1072596001 /nfs/dbraw/zinc/59/60/01/1072596001.db2.gz IVBJMFYYWZTMMF-IBGZPJMESA-N 0 0 441.506 -0.150 20 0 IBADRN O=C(N[C@]1(C(=O)NCCS(=O)(=O)N2CCOCC2)CCOC1)OCc1ccccc1 ZINC000779887241 1072595928 /nfs/dbraw/zinc/59/59/28/1072595928.db2.gz IVBJMFYYWZTMMF-LJQANCHMSA-N 0 0 441.506 -0.150 20 0 IBADRN NS(=O)(=O)c1cc(Br)cnc1NCCS(=O)(=O)N1CCOCC1 ZINC000779892548 1072596018 /nfs/dbraw/zinc/59/60/18/1072596018.db2.gz HGGGXDFLBOIELE-UHFFFAOYSA-N 0 0 429.318 -0.435 20 0 IBADRN COCCN(CC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000779905783 1072595887 /nfs/dbraw/zinc/59/58/87/1072595887.db2.gz OSMZZCZFQJFJCV-FVRSWCFKSA-N 0 0 430.527 -0.346 20 0 IBADRN COCCN(CC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000779905785 1072595870 /nfs/dbraw/zinc/59/58/70/1072595870.db2.gz OSMZZCZFQJFJCV-TXKKKEFDSA-N 0 0 430.527 -0.346 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](C(=O)OC)C[C@@H]2C(=O)OC)cc1 ZINC000779945854 1072595918 /nfs/dbraw/zinc/59/59/18/1072595918.db2.gz LGMVEQPVFFVOPF-BXUZGUMPSA-N 0 0 434.492 -0.290 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](C(=O)OC)C[C@H]2C(=O)OC)cc1 ZINC000779945855 1072595963 /nfs/dbraw/zinc/59/59/63/1072595963.db2.gz LGMVEQPVFFVOPF-FZMZJTMJSA-N 0 0 434.492 -0.290 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](C(=O)OC)C[C@H]2C(=O)OC)cc1 ZINC000779945856 1072595900 /nfs/dbraw/zinc/59/59/00/1072595900.db2.gz LGMVEQPVFFVOPF-RISCZKNCSA-N 0 0 434.492 -0.290 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](C(=O)OC)C[C@@H]2C(=O)OC)cc1 ZINC000779945857 1072596326 /nfs/dbraw/zinc/59/63/26/1072596326.db2.gz LGMVEQPVFFVOPF-SMDDNHRTSA-N 0 0 434.492 -0.290 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000779954525 1072596394 /nfs/dbraw/zinc/59/63/94/1072596394.db2.gz RJWBWCDVCUUNSE-CYBMUJFWSA-N 0 0 435.499 -0.260 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC000779954526 1072596310 /nfs/dbraw/zinc/59/63/10/1072596310.db2.gz RJWBWCDVCUUNSE-ZDUSSCGKSA-N 0 0 435.499 -0.260 20 0 IBADRN NC(=O)c1cccc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000780048322 1072657875 /nfs/dbraw/zinc/65/78/75/1072657875.db2.gz PPDLNHYALYWUBL-UHFFFAOYSA-N 0 0 442.457 -0.588 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccnn2-c2ccncc2)CC1 ZINC000780050562 1072667900 /nfs/dbraw/zinc/66/79/00/1072667900.db2.gz MYTHDFJSVQXFIR-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN O=C(NCCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)c1ccn[nH]1 ZINC000780051434 1072668412 /nfs/dbraw/zinc/66/84/12/1072668412.db2.gz SIAFCPYCXWIKBW-UHFFFAOYSA-N 0 0 431.478 -0.384 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)Nc2cccc(C(N)=O)n2)CC1 ZINC000780057086 1072675408 /nfs/dbraw/zinc/67/54/08/1072675408.db2.gz OLMAMSJJJJIPCW-UHFFFAOYSA-N 0 0 434.453 -0.686 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)C(=O)Nc3cccc(C(N)=O)n3)CC2)cc1 ZINC000780072032 1072680739 /nfs/dbraw/zinc/68/07/39/1072680739.db2.gz NOOKEIUSQZKKLP-UHFFFAOYSA-N 0 0 446.489 -0.161 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=S)N=NC2C)cc1 ZINC000780089954 1072684856 /nfs/dbraw/zinc/68/48/56/1072684856.db2.gz BHVGKZDSWSZOKL-UHFFFAOYSA-N 0 0 428.496 -0.188 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCN1C(=S)N=NC1C)CC2 ZINC000780090639 1072684809 /nfs/dbraw/zinc/68/48/09/1072684809.db2.gz HAXLWLSZHWSUQB-UHFFFAOYSA-N 0 0 438.535 -0.121 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000780093730 1072689309 /nfs/dbraw/zinc/68/93/09/1072689309.db2.gz MYDCUXVWSRHMMM-UHFFFAOYSA-N 0 0 438.535 -0.169 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2C(=S)N=NC2C)cc1 ZINC000780093763 1072689257 /nfs/dbraw/zinc/68/92/57/1072689257.db2.gz OHROEDXMAIHJSZ-UHFFFAOYSA-N 0 0 442.523 -0.445 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCN1C(=S)N=NC1C ZINC000780094056 1072690728 /nfs/dbraw/zinc/69/07/28/1072690728.db2.gz SUOQGOZBRVPAOZ-UHFFFAOYSA-N 0 0 442.523 -0.111 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCN2C(=S)N=NC2C)ccc1S(=O)(=O)N(C)C ZINC000780094510 1072690740 /nfs/dbraw/zinc/69/07/40/1072690740.db2.gz YXGRTFAIDRNPEP-UHFFFAOYSA-N 0 0 442.523 -0.111 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000780095813 1072690829 /nfs/dbraw/zinc/69/08/29/1072690829.db2.gz FMWIHXOBXJLHSE-UHFFFAOYSA-N 0 0 445.549 -0.053 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000780097230 1072691467 /nfs/dbraw/zinc/69/14/67/1072691467.db2.gz QXRCMLMQZPHAJB-UHFFFAOYSA-N 0 0 448.505 -0.132 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000780097838 1072691402 /nfs/dbraw/zinc/69/14/02/1072691402.db2.gz UNRMROUOTZJLPF-UHFFFAOYSA-N 0 0 447.521 -0.099 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)NCCN2C(=S)N=NC2C)cc1 ZINC000780097914 1072692608 /nfs/dbraw/zinc/69/26/08/1072692608.db2.gz WDCXDWOIJOREPT-UHFFFAOYSA-N 0 0 434.478 -0.077 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)C(=O)Nc1ccc(C(=O)NCC(=O)OC)cc1 ZINC000780099393 1072692636 /nfs/dbraw/zinc/69/26/36/1072692636.db2.gz LQGMXGZSCKKLDO-UHFFFAOYSA-N 0 0 434.478 -0.213 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)C(=O)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC000780099526 1072692649 /nfs/dbraw/zinc/69/26/49/1072692649.db2.gz MBTHDWUCXMGNDM-UHFFFAOYSA-N 0 0 431.478 -0.064 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)C(=O)Nc1cccc(CN2C(=O)CNC2=O)c1 ZINC000780100004 1072693078 /nfs/dbraw/zinc/69/30/78/1072693078.db2.gz SZBNGYMYDOJWLT-UHFFFAOYSA-N 0 0 431.478 -0.064 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000780101268 1072694103 /nfs/dbraw/zinc/69/41/03/1072694103.db2.gz HZTSXOSDVHGHID-UHFFFAOYSA-N 0 0 426.524 -0.067 20 0 IBADRN O=C(Nc1ccc(-n2ccnc2)nc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780101731 1072693581 /nfs/dbraw/zinc/69/35/81/1072693581.db2.gz BQIGRVGTJTWXMQ-INIZCTEOSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccc(-n2ccnc2)nc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780101739 1072693625 /nfs/dbraw/zinc/69/36/25/1072693625.db2.gz BQIGRVGTJTWXMQ-MRXNPFEDSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780102969 1072696467 /nfs/dbraw/zinc/69/64/67/1072696467.db2.gz JWTFBDKURVZMIP-HNNXBMFYSA-N 0 0 449.493 -0.746 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780102977 1072695523 /nfs/dbraw/zinc/69/55/23/1072695523.db2.gz JWTFBDKURVZMIP-OAHLLOKOSA-N 0 0 449.493 -0.746 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)cc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780103778 1072694493 /nfs/dbraw/zinc/69/44/93/1072694493.db2.gz FOOLUKGETSKWKK-KRWDZBQOSA-N 0 0 448.505 -0.141 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)cc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780103781 1072694542 /nfs/dbraw/zinc/69/45/42/1072694542.db2.gz FOOLUKGETSKWKK-QGZVFWFLSA-N 0 0 448.505 -0.141 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000780104045 1072697163 /nfs/dbraw/zinc/69/71/63/1072697163.db2.gz WSCDSWIWGPDAOF-UHFFFAOYSA-N 0 0 438.535 -0.257 20 0 IBADRN O=C(Nc1ccnn1CC1CC1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780105716 1072697498 /nfs/dbraw/zinc/69/74/98/1072697498.db2.gz YBQLUKPITPZKMF-HNNXBMFYSA-N 0 0 425.511 -0.115 20 0 IBADRN O=C(Nc1ccnn1CC1CC1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780105717 1072697458 /nfs/dbraw/zinc/69/74/58/1072697458.db2.gz YBQLUKPITPZKMF-OAHLLOKOSA-N 0 0 425.511 -0.115 20 0 IBADRN COC(=O)c1cnc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000780106467 1072699486 /nfs/dbraw/zinc/69/94/86/1072699486.db2.gz HXWXVZRNBOZXQT-UHFFFAOYSA-N 0 0 448.457 -0.047 20 0 IBADRN COC(=O)C[C@@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C1CC1 ZINC000780175259 1072713972 /nfs/dbraw/zinc/71/39/72/1072713972.db2.gz DLPZOFAWAVWSCD-DVOMOZLQSA-N 0 0 435.481 -0.085 20 0 IBADRN COC(=O)C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@H](C)C2)c1)C1CC1 ZINC000780175261 1072713926 /nfs/dbraw/zinc/71/39/26/1072713926.db2.gz DLPZOFAWAVWSCD-IOASZLSFSA-N 0 0 435.481 -0.085 20 0 IBADRN COC(=O)C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@H](C)O[C@@H](C)C2)c1)C1CC1 ZINC000780175262 1072713915 /nfs/dbraw/zinc/71/39/15/1072713915.db2.gz DLPZOFAWAVWSCD-XEZPLFJOSA-N 0 0 435.481 -0.085 20 0 IBADRN COC(=O)C[C@H](NC(=O)C(=O)Nc1cnn(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)c1)C1CC1 ZINC000780175264 1072713963 /nfs/dbraw/zinc/71/39/63/1072713963.db2.gz DLPZOFAWAVWSCD-ZENOOKHLSA-N 0 0 435.481 -0.085 20 0 IBADRN Cc1cc(N2C[C@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)ccc1F ZINC000780183715 1072715343 /nfs/dbraw/zinc/71/53/43/1072715343.db2.gz VIZJZLKYFKDEPT-LLVKDONJSA-N 0 0 432.408 -0.107 20 0 IBADRN Cc1cc(N2C[C@@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)ccc1F ZINC000780183717 1072715410 /nfs/dbraw/zinc/71/54/10/1072715410.db2.gz VIZJZLKYFKDEPT-NSHDSACASA-N 0 0 432.408 -0.107 20 0 IBADRN O=C(NCCNC(=O)c1ccn[nH]1)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000780228884 1072727460 /nfs/dbraw/zinc/72/74/60/1072727460.db2.gz DOIZBWHCKPXVAE-UHFFFAOYSA-N 0 0 433.856 -0.516 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCNC(=O)c1ccn[nH]1 ZINC000780230461 1072727436 /nfs/dbraw/zinc/72/74/36/1072727436.db2.gz LOKZDJYFRHPBCY-UHFFFAOYSA-N 0 0 434.478 -0.905 20 0 IBADRN CC(C)N1CCN(C(=O)COCCOCC(=O)N2CCN(C(C)C)C(=O)C2)CC1=O ZINC000780244969 1072856403 /nfs/dbraw/zinc/85/64/03/1072856403.db2.gz FYZHMUKHYFTCKN-UHFFFAOYSA-N 0 0 426.514 -0.822 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000780259943 1072859664 /nfs/dbraw/zinc/85/96/64/1072859664.db2.gz YEGQAKKFXTXTDG-CYBMUJFWSA-N 0 0 434.518 -0.047 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000780259944 1072859603 /nfs/dbraw/zinc/85/96/03/1072859603.db2.gz YEGQAKKFXTXTDG-ZDUSSCGKSA-N 0 0 434.518 -0.047 20 0 IBADRN Cn1cnc(-c2ccc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)cc2)n1 ZINC000780269161 1072861853 /nfs/dbraw/zinc/86/18/53/1072861853.db2.gz SFWCKGRGMMRUQP-KRWDZBQOSA-N 0 0 447.517 -0.030 20 0 IBADRN Cn1cnc(-c2ccc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)cc2)n1 ZINC000780269166 1072861691 /nfs/dbraw/zinc/86/16/91/1072861691.db2.gz SFWCKGRGMMRUQP-QGZVFWFLSA-N 0 0 447.517 -0.030 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(C)C)C(=O)C3)cc2)CC1 ZINC000780276860 1072862820 /nfs/dbraw/zinc/86/28/20/1072862820.db2.gz NCBDXJVZDFCLGX-UHFFFAOYSA-N 0 0 445.520 -0.001 20 0 IBADRN CC(C)(C)OC(=O)Nc1ccncc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000780282583 1072864709 /nfs/dbraw/zinc/86/47/09/1072864709.db2.gz MBFSSAMDLYDJFB-UHFFFAOYSA-N 0 0 447.492 -0.006 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000780296488 1072868325 /nfs/dbraw/zinc/86/83/25/1072868325.db2.gz QUCKMGRGYDHCRY-ZBFHGGJFSA-N 0 0 428.486 -0.985 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCN3C(=O)OC(C)(C)C)CC2)CC1 ZINC000780343959 1072959040 /nfs/dbraw/zinc/95/90/40/1072959040.db2.gz QUMPJZRZPJCPLM-OAHLLOKOSA-N 0 0 431.559 -0.368 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)[C@@H](C(=O)OC)C1 ZINC000780351702 1072959095 /nfs/dbraw/zinc/95/90/95/1072959095.db2.gz QUWMJULVNPUWJI-AANAODBRSA-N 0 0 438.481 -0.055 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)[C@H](C(=O)OC)C1 ZINC000780351703 1072959087 /nfs/dbraw/zinc/95/90/87/1072959087.db2.gz QUWMJULVNPUWJI-BLLDWGMFSA-N 0 0 438.481 -0.055 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)[C@H](C(=O)OC)C1 ZINC000780351705 1072959151 /nfs/dbraw/zinc/95/91/51/1072959151.db2.gz QUWMJULVNPUWJI-SWYHEXNPSA-N 0 0 438.481 -0.055 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1CC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000780351707 1072959070 /nfs/dbraw/zinc/95/90/70/1072959070.db2.gz QUWMJULVNPUWJI-YRZMLANWSA-N 0 0 438.481 -0.055 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)Cn1c(CS(C)(=O)=O)nc2ccccc21 ZINC000780361190 1072380759 /nfs/dbraw/zinc/38/07/59/1072380759.db2.gz BMFYLFMSULMUJL-UHFFFAOYSA-N 0 0 432.524 -0.138 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1ncn(Cc2cccc(F)c2)n1 ZINC000780363033 1072393149 /nfs/dbraw/zinc/39/31/49/1072393149.db2.gz MAZGHJKUKMGCRW-UHFFFAOYSA-N 0 0 426.474 -0.073 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(S(=O)(=O)CCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000780405585 1072959374 /nfs/dbraw/zinc/95/93/74/1072959374.db2.gz LNXZSCLRSQXBAU-HNNXBMFYSA-N 0 0 447.583 -0.784 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)CCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000780405590 1072959465 /nfs/dbraw/zinc/95/94/65/1072959465.db2.gz LNXZSCLRSQXBAU-OAHLLOKOSA-N 0 0 447.583 -0.784 20 0 IBADRN O=C(NCCN1C(=O)CSC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000780406161 1074162982 /nfs/dbraw/zinc/16/29/82/1074162982.db2.gz RZOAHUDJNBBQJS-YPMHNXCESA-N 0 0 431.467 -0.239 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CN2CCN(Cc3nc(COC)no3)CC2)C1=O ZINC000780482124 1072959442 /nfs/dbraw/zinc/95/94/42/1072959442.db2.gz BLOMUSMPXMOHAI-IBGZPJMESA-N 0 0 432.485 -0.118 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CN2CCN(Cc3nc(COC)no3)CC2)C1=O ZINC000780482128 1072959453 /nfs/dbraw/zinc/95/94/53/1072959453.db2.gz BLOMUSMPXMOHAI-LJQANCHMSA-N 0 0 432.485 -0.118 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1[C@@H]3C[C@H]4CC[C@]3(CS1(=O)=O)C4(C)C)c(=O)n2C ZINC000780519206 1072959595 /nfs/dbraw/zinc/95/95/95/1072959595.db2.gz NFJMTJXUPQFFHO-HNYWDRBLSA-N 0 0 435.506 -0.199 20 0 IBADRN Cn1c2ncn(CC(=O)N3[C@@H]4C[C@H]5CC[C@]4(CS3(=O)=O)C5(C)C)c2c(=O)n(C)c1=O ZINC000780519673 1072959620 /nfs/dbraw/zinc/95/96/20/1072959620.db2.gz JFVDLLHBHHYWFV-HNYWDRBLSA-N 0 0 435.506 -0.199 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000780538078 1072868891 /nfs/dbraw/zinc/86/88/91/1072868891.db2.gz LREUCKQGDHPBBV-QLFBSQMISA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000780538094 1072959513 /nfs/dbraw/zinc/95/95/13/1072959513.db2.gz LREUCKQGDHPBBV-RBSFLKMASA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000780538096 1072869352 /nfs/dbraw/zinc/86/93/52/1072869352.db2.gz LREUCKQGDHPBBV-RRFJBIMHSA-N 0 0 433.527 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000780538098 1072869458 /nfs/dbraw/zinc/86/94/58/1072869458.db2.gz LREUCKQGDHPBBV-SOUVJXGZSA-N 0 0 433.527 -0.764 20 0 IBADRN CCS(=O)(=O)CCNC(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000780545960 1072959558 /nfs/dbraw/zinc/95/95/58/1072959558.db2.gz BFUOYBHSKPGKSR-INIZCTEOSA-N 0 0 440.570 -0.014 20 0 IBADRN CCS(=O)(=O)CCNC(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000780545962 1072959610 /nfs/dbraw/zinc/95/96/10/1072959610.db2.gz BFUOYBHSKPGKSR-MRXNPFEDSA-N 0 0 440.570 -0.014 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000780547013 1072959527 /nfs/dbraw/zinc/95/95/27/1072959527.db2.gz JHSZLMMRDKBKIS-HNNXBMFYSA-N 0 0 426.543 -0.404 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000780547034 1072959501 /nfs/dbraw/zinc/95/95/01/1072959501.db2.gz JHSZLMMRDKBKIS-OAHLLOKOSA-N 0 0 426.543 -0.404 20 0 IBADRN CCS(=O)(=O)CCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000780548344 1072637286 /nfs/dbraw/zinc/63/72/86/1072637286.db2.gz UWSSTCKUHRLVPU-UHFFFAOYSA-N 0 0 433.508 -0.435 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)c4cn(C)c(=O)n(C)c4=O)CC3)C2=O)n(C)n1 ZINC000780560960 1072959570 /nfs/dbraw/zinc/95/95/70/1072959570.db2.gz JYDNLUFBJFHCFE-INIZCTEOSA-N 0 0 443.508 -0.921 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)c4cn(C)c(=O)n(C)c4=O)CC3)C2=O)n(C)n1 ZINC000780560985 1072959417 /nfs/dbraw/zinc/95/94/17/1072959417.db2.gz JYDNLUFBJFHCFE-MRXNPFEDSA-N 0 0 443.508 -0.921 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)no2)cn1 ZINC000780563116 1072959585 /nfs/dbraw/zinc/95/95/85/1072959585.db2.gz GXSYDDIRLIKTFG-AWEZNQCLSA-N 0 0 445.461 -0.132 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)no2)cn1 ZINC000780563119 1072959614 /nfs/dbraw/zinc/95/96/14/1072959614.db2.gz GXSYDDIRLIKTFG-CQSZACIVSA-N 0 0 445.461 -0.132 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000780564981 1073123845 /nfs/dbraw/zinc/12/38/45/1073123845.db2.gz IDXCDPGPNVFCFA-INIZCTEOSA-N 0 0 441.554 -0.571 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC000780564982 1073123767 /nfs/dbraw/zinc/12/37/67/1073123767.db2.gz IDXCDPGPNVFCFA-MRXNPFEDSA-N 0 0 441.554 -0.571 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000780603345 1072960068 /nfs/dbraw/zinc/96/00/68/1072960068.db2.gz FIDFLIYOHLQTOQ-YPMHNXCESA-N 0 0 429.499 -0.274 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@]2(NC(=O)OCc3ccccc3)CCOC2)CC1 ZINC000780685233 1072960448 /nfs/dbraw/zinc/96/04/48/1072960448.db2.gz GOGAGHZSEKDBED-JOCHJYFZSA-N 0 0 448.520 -0.021 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@]2(NC(=O)OCc3ccccc3)CCOC2)CC1 ZINC000780685234 1072960379 /nfs/dbraw/zinc/96/03/79/1072960379.db2.gz GOGAGHZSEKDBED-QFIPXVFZSA-N 0 0 448.520 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NN3CCCC3=O)CC2)cc1 ZINC000780706564 1072960348 /nfs/dbraw/zinc/96/03/48/1072960348.db2.gz NKPSBXOTMQYOKK-UHFFFAOYSA-N 0 0 438.506 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000780749967 1072960459 /nfs/dbraw/zinc/96/04/59/1072960459.db2.gz IWZPUSHOUWZHOJ-HUUCEWRRSA-N 0 0 444.554 -0.557 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000780749977 1072960890 /nfs/dbraw/zinc/96/08/90/1072960890.db2.gz IWZPUSHOUWZHOJ-LSDHHAIUSA-N 0 0 444.554 -0.557 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3ccc4c(c3)OCCCO4)C2)nn1 ZINC000780752466 1072960966 /nfs/dbraw/zinc/96/09/66/1072960966.db2.gz NLXXGEHSNKGHEY-GFCCVEGCSA-N 0 0 437.478 -0.146 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)CNS(=O)(=O)c3ccc4c(c3)OCCCO4)C2)nn1 ZINC000780752468 1072961013 /nfs/dbraw/zinc/96/10/13/1072961013.db2.gz NLXXGEHSNKGHEY-LBPRGKRZSA-N 0 0 437.478 -0.146 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CCn4cc[nH]c(=O)c4=O)CC3)C2=O)n(C)n1 ZINC000780754107 1072960953 /nfs/dbraw/zinc/96/09/53/1072960953.db2.gz MSTRDNQRQPRDLH-INIZCTEOSA-N 0 0 443.508 -0.692 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CCn4cc[nH]c(=O)c4=O)CC3)C2=O)n(C)n1 ZINC000780754108 1072960996 /nfs/dbraw/zinc/96/09/96/1072960996.db2.gz MSTRDNQRQPRDLH-MRXNPFEDSA-N 0 0 443.508 -0.692 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)Cn4cc([C@H](C)O)nn4)CC3)C2=O)n(C)n1 ZINC000780754662 1072961008 /nfs/dbraw/zinc/96/10/08/1072961008.db2.gz QGFUATYTSOPPIZ-DOTOQJQBSA-N 0 0 430.513 -0.287 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)Cn4cc([C@@H](C)O)nn4)CC3)C2=O)n(C)n1 ZINC000780754663 1072961025 /nfs/dbraw/zinc/96/10/25/1072961025.db2.gz QGFUATYTSOPPIZ-NVXWUHKLSA-N 0 0 430.513 -0.287 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)Cn4cc([C@H](C)O)nn4)CC3)C2=O)n(C)n1 ZINC000780754664 1072960993 /nfs/dbraw/zinc/96/09/93/1072960993.db2.gz QGFUATYTSOPPIZ-RDJZCZTQSA-N 0 0 430.513 -0.287 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)Cn4cc([C@@H](C)O)nn4)CC3)C2=O)n(C)n1 ZINC000780754665 1072960933 /nfs/dbraw/zinc/96/09/33/1072960933.db2.gz QGFUATYTSOPPIZ-WBVHZDCISA-N 0 0 430.513 -0.287 20 0 IBADRN O=C1OCCN1c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000780759320 1072960945 /nfs/dbraw/zinc/96/09/45/1072960945.db2.gz CNSIQLHSAJNLTB-CVEARBPZSA-N 0 0 445.519 -0.581 20 0 IBADRN O=C1OCCN1c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000780759321 1072960947 /nfs/dbraw/zinc/96/09/47/1072960947.db2.gz CNSIQLHSAJNLTB-HOTGVXAUSA-N 0 0 445.519 -0.581 20 0 IBADRN O=C1OCCN1c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000780759322 1072960909 /nfs/dbraw/zinc/96/09/09/1072960909.db2.gz CNSIQLHSAJNLTB-HZPDHXFCSA-N 0 0 445.519 -0.581 20 0 IBADRN O=C1OCCN1c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000780759323 1072961020 /nfs/dbraw/zinc/96/10/20/1072961020.db2.gz CNSIQLHSAJNLTB-JKSUJKDBSA-N 0 0 445.519 -0.581 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000780759331 1072960900 /nfs/dbraw/zinc/96/09/00/1072960900.db2.gz DJKPPMOTDVWSHV-CVEARBPZSA-N 0 0 432.520 -0.610 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000780759332 1072960884 /nfs/dbraw/zinc/96/08/84/1072960884.db2.gz DJKPPMOTDVWSHV-HOTGVXAUSA-N 0 0 432.520 -0.610 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000780759333 1072960916 /nfs/dbraw/zinc/96/09/16/1072960916.db2.gz DJKPPMOTDVWSHV-HZPDHXFCSA-N 0 0 432.520 -0.610 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000780759334 1072961035 /nfs/dbraw/zinc/96/10/35/1072961035.db2.gz DJKPPMOTDVWSHV-JKSUJKDBSA-N 0 0 432.520 -0.610 20 0 IBADRN COc1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cnc1Cl ZINC000780759430 1072960868 /nfs/dbraw/zinc/96/08/68/1072960868.db2.gz BMHYPDDVVXNFAC-NEPJUHHUSA-N 0 0 425.916 -0.480 20 0 IBADRN COc1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cnc1Cl ZINC000780759434 1072960923 /nfs/dbraw/zinc/96/09/23/1072960923.db2.gz BMHYPDDVVXNFAC-NWDGAFQWSA-N 0 0 425.916 -0.480 20 0 IBADRN COc1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cnc1Cl ZINC000780759435 1074431969 /nfs/dbraw/zinc/43/19/69/1074431969.db2.gz BMHYPDDVVXNFAC-RYUDHWBXSA-N 0 0 425.916 -0.480 20 0 IBADRN COc1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cnc1Cl ZINC000780759436 1074431922 /nfs/dbraw/zinc/43/19/22/1074431922.db2.gz BMHYPDDVVXNFAC-VXGBXAGGSA-N 0 0 425.916 -0.480 20 0 IBADRN O=C(CCS(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)OCc1ccccc1 ZINC000780759653 1072961964 /nfs/dbraw/zinc/96/19/64/1072961964.db2.gz HOIXQILJMWSSMF-DLBZAZTESA-N 0 0 446.547 -0.463 20 0 IBADRN O=C(CCS(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)OCc1ccccc1 ZINC000780759674 1072962010 /nfs/dbraw/zinc/96/20/10/1072962010.db2.gz HOIXQILJMWSSMF-IAGOWNOFSA-N 0 0 446.547 -0.463 20 0 IBADRN O=C(CCS(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)OCc1ccccc1 ZINC000780759675 1072961939 /nfs/dbraw/zinc/96/19/39/1072961939.db2.gz HOIXQILJMWSSMF-IRXDYDNUSA-N 0 0 446.547 -0.463 20 0 IBADRN O=C(CCS(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)OCc1ccccc1 ZINC000780759676 1072961976 /nfs/dbraw/zinc/96/19/76/1072961976.db2.gz HOIXQILJMWSSMF-SJORKVTESA-N 0 0 446.547 -0.463 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000780759769 1072961491 /nfs/dbraw/zinc/96/14/91/1072961491.db2.gz JPUCPWFDPOZFSX-CABCVRRESA-N 0 0 439.556 -0.976 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC000780759779 1072961432 /nfs/dbraw/zinc/96/14/32/1072961432.db2.gz JPUCPWFDPOZFSX-GJZGRUSLSA-N 0 0 439.556 -0.976 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000780759781 1072961401 /nfs/dbraw/zinc/96/14/01/1072961401.db2.gz JPUCPWFDPOZFSX-HUUCEWRRSA-N 0 0 439.556 -0.976 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC000780759783 1072961981 /nfs/dbraw/zinc/96/19/81/1072961981.db2.gz JPUCPWFDPOZFSX-LSDHHAIUSA-N 0 0 439.556 -0.976 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(C(F)(F)F)cn1 ZINC000780759988 1072961444 /nfs/dbraw/zinc/96/14/44/1072961444.db2.gz JJSZPKSQPOVZMT-NEPJUHHUSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(C(F)(F)F)cn1 ZINC000780759989 1072961530 /nfs/dbraw/zinc/96/15/30/1072961530.db2.gz JJSZPKSQPOVZMT-NWDGAFQWSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(C(F)(F)F)cn1 ZINC000780759990 1072961466 /nfs/dbraw/zinc/96/14/66/1072961466.db2.gz JJSZPKSQPOVZMT-RYUDHWBXSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(C(F)(F)F)cn1 ZINC000780759991 1072961518 /nfs/dbraw/zinc/96/15/18/1072961518.db2.gz JJSZPKSQPOVZMT-VXGBXAGGSA-N 0 0 429.442 -0.124 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c(Cl)nc2sccn21 ZINC000780760280 1072961438 /nfs/dbraw/zinc/96/14/38/1072961438.db2.gz OBRWNHVAUUCYHB-NXEZZACHSA-N 0 0 440.956 -0.175 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c(Cl)nc2sccn21 ZINC000780760281 1072961457 /nfs/dbraw/zinc/96/14/57/1072961457.db2.gz OBRWNHVAUUCYHB-UWVGGRQHSA-N 0 0 440.956 -0.175 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c(Cl)nc2sccn21 ZINC000780760282 1072961500 /nfs/dbraw/zinc/96/15/00/1072961500.db2.gz OBRWNHVAUUCYHB-VHSXEESVSA-N 0 0 440.956 -0.175 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c(Cl)nc2sccn21 ZINC000780760283 1072961417 /nfs/dbraw/zinc/96/14/17/1072961417.db2.gz OBRWNHVAUUCYHB-ZJUUUORDSA-N 0 0 440.956 -0.175 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c(Cl)nc2ccccn21 ZINC000780760310 1072961384 /nfs/dbraw/zinc/96/13/84/1072961384.db2.gz PSDDMVBINQNRLW-NEPJUHHUSA-N 0 0 434.927 -0.236 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c(Cl)nc2ccccn21 ZINC000780760311 1072961428 /nfs/dbraw/zinc/96/14/28/1072961428.db2.gz PSDDMVBINQNRLW-NWDGAFQWSA-N 0 0 434.927 -0.236 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c(Cl)nc2ccccn21 ZINC000780760312 1072961509 /nfs/dbraw/zinc/96/15/09/1072961509.db2.gz PSDDMVBINQNRLW-RYUDHWBXSA-N 0 0 434.927 -0.236 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c(Cl)nc2ccccn21 ZINC000780760313 1072961396 /nfs/dbraw/zinc/96/13/96/1072961396.db2.gz PSDDMVBINQNRLW-VXGBXAGGSA-N 0 0 434.927 -0.236 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1F ZINC000780760352 1072961485 /nfs/dbraw/zinc/96/14/85/1072961485.db2.gz PRMHLELSLOKWTB-CABCVRRESA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)ccc1F ZINC000780760353 1072961449 /nfs/dbraw/zinc/96/14/49/1072961449.db2.gz PRMHLELSLOKWTB-GJZGRUSLSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1F ZINC000780760354 1072961410 /nfs/dbraw/zinc/96/14/10/1072961410.db2.gz PRMHLELSLOKWTB-HUUCEWRRSA-N 0 0 436.483 -0.612 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)ccc1F ZINC000780760355 1072961475 /nfs/dbraw/zinc/96/14/75/1072961475.db2.gz PRMHLELSLOKWTB-LSDHHAIUSA-N 0 0 436.483 -0.612 20 0 IBADRN CC1(c2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc2)OCCO1 ZINC000780760561 1072961420 /nfs/dbraw/zinc/96/14/20/1072961420.db2.gz UNZNZFSWOSOSHJ-DLBZAZTESA-N 0 0 446.547 -0.318 20 0 IBADRN CC1(c2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc2)OCCO1 ZINC000780760562 1072960927 /nfs/dbraw/zinc/96/09/27/1072960927.db2.gz UNZNZFSWOSOSHJ-IAGOWNOFSA-N 0 0 446.547 -0.318 20 0 IBADRN CC1(c2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc2)OCCO1 ZINC000780760563 1072962019 /nfs/dbraw/zinc/96/20/19/1072962019.db2.gz UNZNZFSWOSOSHJ-IRXDYDNUSA-N 0 0 446.547 -0.318 20 0 IBADRN CC1(c2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc2)OCCO1 ZINC000780760564 1072962013 /nfs/dbraw/zinc/96/20/13/1072962013.db2.gz UNZNZFSWOSOSHJ-SJORKVTESA-N 0 0 446.547 -0.318 20 0 IBADRN COC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000780760574 1072961998 /nfs/dbraw/zinc/96/19/98/1072961998.db2.gz VECPEAKKBWOSAE-CABCVRRESA-N 0 0 433.508 -0.359 20 0 IBADRN COC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000780760575 1072961988 /nfs/dbraw/zinc/96/19/88/1072961988.db2.gz VECPEAKKBWOSAE-GJZGRUSLSA-N 0 0 433.508 -0.359 20 0 IBADRN COC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000780760576 1072961916 /nfs/dbraw/zinc/96/19/16/1072961916.db2.gz VECPEAKKBWOSAE-HUUCEWRRSA-N 0 0 433.508 -0.359 20 0 IBADRN COC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000780760577 1072961934 /nfs/dbraw/zinc/96/19/34/1072961934.db2.gz VECPEAKKBWOSAE-LSDHHAIUSA-N 0 0 433.508 -0.359 20 0 IBADRN CN1CCCc2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc21 ZINC000780760686 1072961959 /nfs/dbraw/zinc/96/19/59/1072961959.db2.gz XHSVICXOUXDLFR-AEFFLSMTSA-N 0 0 429.564 -0.155 20 0 IBADRN CN1CCCc2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc21 ZINC000780760688 1072962304 /nfs/dbraw/zinc/96/23/04/1072962304.db2.gz XHSVICXOUXDLFR-FUHWJXTLSA-N 0 0 429.564 -0.155 20 0 IBADRN CN1CCCc2ccc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)cc21 ZINC000780760689 1072962274 /nfs/dbraw/zinc/96/22/74/1072962274.db2.gz XHSVICXOUXDLFR-SJLPKXTDSA-N 0 0 429.564 -0.155 20 0 IBADRN CN1CCCc2ccc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)cc21 ZINC000780760691 1072962380 /nfs/dbraw/zinc/96/23/80/1072962380.db2.gz XHSVICXOUXDLFR-WMZOPIPTSA-N 0 0 429.564 -0.155 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000780760715 1072961948 /nfs/dbraw/zinc/96/19/48/1072961948.db2.gz YPTHLMUDVBFSLS-GGVHLCNSSA-N 0 0 444.531 -0.351 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC000780760721 1072961985 /nfs/dbraw/zinc/96/19/85/1072961985.db2.gz YPTHLMUDVBFSLS-MWRSBEEJSA-N 0 0 444.531 -0.351 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000780760723 1072962006 /nfs/dbraw/zinc/96/20/06/1072962006.db2.gz YPTHLMUDVBFSLS-RRWQFYAYSA-N 0 0 444.531 -0.351 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC000780760724 1072962002 /nfs/dbraw/zinc/96/20/02/1072962002.db2.gz YPTHLMUDVBFSLS-YQIFSTGGSA-N 0 0 444.531 -0.351 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(Cn4nc5cnccn5c4=O)CC3)C2=O)n(C)n1 ZINC000780764251 1072962452 /nfs/dbraw/zinc/96/24/52/1072962452.db2.gz BZUVBGJRIIJNDQ-INIZCTEOSA-N 0 0 425.497 -0.296 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(Cn4nc5cnccn5c4=O)CC3)C2=O)n(C)n1 ZINC000780764252 1072962464 /nfs/dbraw/zinc/96/24/64/1072962464.db2.gz BZUVBGJRIIJNDQ-MRXNPFEDSA-N 0 0 425.497 -0.296 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CN4C(=O)C(=O)N(C(C)C)C4=O)CC3)C2=O)n(C)n1 ZINC000780764812 1072962383 /nfs/dbraw/zinc/96/23/83/1072962383.db2.gz JTPUFASHEJYZHF-INIZCTEOSA-N 0 0 445.524 -0.002 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CN4C(=O)C(=O)N(C(C)C)C4=O)CC3)C2=O)n(C)n1 ZINC000780764813 1072962335 /nfs/dbraw/zinc/96/23/35/1072962335.db2.gz JTPUFASHEJYZHF-MRXNPFEDSA-N 0 0 445.524 -0.002 20 0 IBADRN CCCN1C(=O)C(=O)N(CN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1=O ZINC000780765857 1072962395 /nfs/dbraw/zinc/96/23/95/1072962395.db2.gz PHQWJJDPTQDDCG-INIZCTEOSA-N 0 0 445.524 0.000 20 0 IBADRN CCCN1C(=O)C(=O)N(CN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1=O ZINC000780765858 1072962311 /nfs/dbraw/zinc/96/23/11/1072962311.db2.gz PHQWJJDPTQDDCG-MRXNPFEDSA-N 0 0 445.524 0.000 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1=O ZINC000780765921 1072962442 /nfs/dbraw/zinc/96/24/42/1072962442.db2.gz PWPYVIBGUCSPQS-HRAATJIYSA-N 0 0 447.540 -0.244 20 0 IBADRN COC[C@]1(C)NC(=O)N(CN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1=O ZINC000780765926 1072962319 /nfs/dbraw/zinc/96/23/19/1072962319.db2.gz PWPYVIBGUCSPQS-IERDGZPVSA-N 0 0 447.540 -0.244 20 0 IBADRN COC[C@@]1(C)NC(=O)N(CN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1=O ZINC000780765929 1072962436 /nfs/dbraw/zinc/96/24/36/1072962436.db2.gz PWPYVIBGUCSPQS-IIBYNOLFSA-N 0 0 447.540 -0.244 20 0 IBADRN COC[C@]1(C)NC(=O)N(CN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1=O ZINC000780765930 1072962328 /nfs/dbraw/zinc/96/23/28/1072962328.db2.gz PWPYVIBGUCSPQS-KKSFZXQISA-N 0 0 447.540 -0.244 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(Cn4nnc5c(cnn5C)c4=O)CC3)C2=O)n(C)n1 ZINC000780765969 1072962282 /nfs/dbraw/zinc/96/22/82/1072962282.db2.gz QCALWYSYJUXLPR-INIZCTEOSA-N 0 0 440.512 -0.662 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(Cn4nnc5c(cnn5C)c4=O)CC3)C2=O)n(C)n1 ZINC000780765986 1072962403 /nfs/dbraw/zinc/96/24/03/1072962403.db2.gz QCALWYSYJUXLPR-MRXNPFEDSA-N 0 0 440.512 -0.662 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=S)NCCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000780768077 1072962292 /nfs/dbraw/zinc/96/22/92/1072962292.db2.gz ZGVZMJTUMJREAC-HNNXBMFYSA-N 0 0 442.611 -0.239 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=S)NCCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC000780768089 1072962429 /nfs/dbraw/zinc/96/24/29/1072962429.db2.gz ZGVZMJTUMJREAC-OAHLLOKOSA-N 0 0 442.611 -0.239 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)Oc1ccc(C(=O)NCC(N)=O)cc1 ZINC000780775531 1072962347 /nfs/dbraw/zinc/96/23/47/1072962347.db2.gz HLROECYRKMHMTC-UHFFFAOYSA-N 0 0 441.487 -0.080 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)Oc1cccc(C(=O)NCC(N)=O)c1 ZINC000780776764 1072962823 /nfs/dbraw/zinc/96/28/23/1072962823.db2.gz PABJBSMWFBSFFE-UHFFFAOYSA-N 0 0 441.487 -0.080 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)C(F)(F)F)cc2)CC1 ZINC000780777453 1072679133 /nfs/dbraw/zinc/67/91/33/1072679133.db2.gz WJTPVJCWMNBRNQ-UHFFFAOYSA-N 0 0 437.443 -0.510 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000780784664 1072962745 /nfs/dbraw/zinc/96/27/45/1072962745.db2.gz NSTFBPMINFJTMN-KBMXLJTQSA-N 0 0 430.454 -0.260 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000780784666 1072962879 /nfs/dbraw/zinc/96/28/79/1072962879.db2.gz NSTFBPMINFJTMN-VNQPRFMTSA-N 0 0 430.454 -0.260 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000780787270 1072962788 /nfs/dbraw/zinc/96/27/88/1072962788.db2.gz UDUHZWHVFVQIIY-AFRUXQHESA-N 0 0 447.554 -0.528 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000780787275 1072962812 /nfs/dbraw/zinc/96/28/12/1072962812.db2.gz UDUHZWHVFVQIIY-MZZUHIJGSA-N 0 0 447.554 -0.528 20 0 IBADRN CC1N=NC(=S)N1CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000780787442 1072962897 /nfs/dbraw/zinc/96/28/97/1072962897.db2.gz MIRGCVGXJFYNSX-OCCSQVGLSA-N 0 0 429.499 -0.045 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000780798045 1072962902 /nfs/dbraw/zinc/96/29/02/1072962902.db2.gz DMOPUKDUIGFSFA-HIFRSBDPSA-N 0 0 438.499 -0.873 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000780798233 1072382427 /nfs/dbraw/zinc/38/24/27/1072382427.db2.gz GLUGMRDJXXAVIB-UHFFFAOYSA-N 0 0 433.508 -0.667 20 0 IBADRN CS(=O)(=O)CCOCCNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC000780798366 1072962757 /nfs/dbraw/zinc/96/27/57/1072962757.db2.gz UHVVVJIJPBHLDE-UHFFFAOYSA-N 0 0 426.538 -0.533 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000780798416 1072962863 /nfs/dbraw/zinc/96/28/63/1072962863.db2.gz IPMWIBCWNMAUDT-UHFFFAOYSA-N 0 0 445.519 -0.477 20 0 IBADRN O=C(NCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)c1ccn[nH]1 ZINC000780800069 1072962833 /nfs/dbraw/zinc/96/28/33/1072962833.db2.gz KXFXWAATGGYXAT-UHFFFAOYSA-N 0 0 425.442 -0.271 20 0 IBADRN O=C(NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)c1ccn[nH]1 ZINC000780801662 1072962887 /nfs/dbraw/zinc/96/28/87/1072962887.db2.gz USQSSIGFCOWERH-CYBMUJFWSA-N 0 0 447.473 -0.107 20 0 IBADRN O=C(NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)c1ccn[nH]1 ZINC000780801664 1072962908 /nfs/dbraw/zinc/96/29/08/1072962908.db2.gz USQSSIGFCOWERH-ZDUSSCGKSA-N 0 0 447.473 -0.107 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)C(F)(F)F)cc3)CCN2C1=O ZINC000780814983 1072962764 /nfs/dbraw/zinc/96/27/64/1072962764.db2.gz OUAFPEQRINESHL-SECBINFHSA-N 0 0 441.409 -0.689 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)C(F)(F)F)cc3)CCN2C1=O ZINC000780814985 1072962873 /nfs/dbraw/zinc/96/28/73/1072962873.db2.gz OUAFPEQRINESHL-VIFPVBQESA-N 0 0 441.409 -0.689 20 0 IBADRN O=C(NCc1ccc(-n2cncn2)nc1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780817377 1072962728 /nfs/dbraw/zinc/96/27/28/1072962728.db2.gz KDCOTBFAFCQYDG-INIZCTEOSA-N 0 0 435.510 -0.002 20 0 IBADRN O=C(NCc1ccc(-n2cncn2)nc1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780817394 1072962915 /nfs/dbraw/zinc/96/29/15/1072962915.db2.gz KDCOTBFAFCQYDG-MRXNPFEDSA-N 0 0 435.510 -0.002 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000780833074 1072963338 /nfs/dbraw/zinc/96/33/38/1072963338.db2.gz CIFINDMFSVUNEV-UHFFFAOYSA-N 0 0 431.536 -0.166 20 0 IBADRN O=C(NCCO)C1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC000780833425 1072963380 /nfs/dbraw/zinc/96/33/80/1072963380.db2.gz YIPKYNXIYOTDLK-UHFFFAOYSA-N 0 0 431.536 -0.364 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccc(S(=O)(=O)C(F)(F)F)cc3)C[C@@H]12 ZINC000780835883 1071502896 /nfs/dbraw/zinc/50/28/96/1071502896.db2.gz UVVSAFXOOGHXEA-JTQLQIEISA-N 0 0 427.382 -0.095 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccc(S(=O)(=O)C(F)(F)F)cc3)C[C@H]12 ZINC000780835887 1071502776 /nfs/dbraw/zinc/50/27/76/1071502776.db2.gz UVVSAFXOOGHXEA-SNVBAGLBSA-N 0 0 427.382 -0.095 20 0 IBADRN CNC(=O)CCCC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000780836047 1072963347 /nfs/dbraw/zinc/96/33/47/1072963347.db2.gz XNOFNKQJRYXJQQ-UHFFFAOYSA-N 0 0 432.543 -0.004 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3ccc(S(=O)(=O)C(F)(F)F)cc3)C2)nn1 ZINC000780839076 1072963365 /nfs/dbraw/zinc/96/33/65/1072963365.db2.gz IRDQGCRRUKYNIB-UHFFFAOYSA-N 0 0 439.397 -0.084 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780839532 1072963333 /nfs/dbraw/zinc/96/33/33/1072963333.db2.gz BLZLKHPHDBEGKP-CVEARBPZSA-N 0 0 433.527 -0.256 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780839534 1072963393 /nfs/dbraw/zinc/96/33/93/1072963393.db2.gz BLZLKHPHDBEGKP-HOTGVXAUSA-N 0 0 433.527 -0.256 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780839535 1072963455 /nfs/dbraw/zinc/96/34/55/1072963455.db2.gz BLZLKHPHDBEGKP-HZPDHXFCSA-N 0 0 433.527 -0.256 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000780839536 1072963298 /nfs/dbraw/zinc/96/32/98/1072963298.db2.gz BLZLKHPHDBEGKP-JKSUJKDBSA-N 0 0 433.527 -0.256 20 0 IBADRN CCN(CCNS(=O)(=O)N(C)C1CCOCC1)S(=O)(=O)N(C)C1CCOCC1 ZINC000780853216 1072963424 /nfs/dbraw/zinc/96/34/24/1072963424.db2.gz RCVRCPBFXJOZEX-UHFFFAOYSA-N 0 0 442.604 -0.391 20 0 IBADRN C[C@](O)(CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000780894177 1072963403 /nfs/dbraw/zinc/96/34/03/1072963403.db2.gz KJHKSTPAYQIESV-AEFFLSMTSA-N 0 0 430.548 -0.055 20 0 IBADRN C[C@@](O)(CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000780894180 1072963375 /nfs/dbraw/zinc/96/33/75/1072963375.db2.gz KJHKSTPAYQIESV-FUHWJXTLSA-N 0 0 430.548 -0.055 20 0 IBADRN C[C@@](O)(CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000780894181 1072963287 /nfs/dbraw/zinc/96/32/87/1072963287.db2.gz KJHKSTPAYQIESV-SJLPKXTDSA-N 0 0 430.548 -0.055 20 0 IBADRN C[C@](O)(CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000780894182 1072963358 /nfs/dbraw/zinc/96/33/58/1072963358.db2.gz KJHKSTPAYQIESV-WMZOPIPTSA-N 0 0 430.548 -0.055 20 0 IBADRN CCNC(=O)CC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000780896171 1072963312 /nfs/dbraw/zinc/96/33/12/1072963312.db2.gz LCTWYRWBYITRTP-UHFFFAOYSA-N 0 0 431.536 -0.242 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(Cc3nc(COC)no3)CC2)CC1 ZINC000780902100 1072963475 /nfs/dbraw/zinc/96/34/75/1072963475.db2.gz NYMDSJJHLKNKEB-UHFFFAOYSA-N 0 0 432.503 -0.648 20 0 IBADRN O=C(N[C@@]1(C(=O)NCCN2CCS(=O)(=O)CC2)CCOC1)OCc1ccccc1 ZINC000780907230 1072963441 /nfs/dbraw/zinc/96/34/41/1072963441.db2.gz SWUYYEJQERYYAE-IBGZPJMESA-N 0 0 425.507 -0.082 20 0 IBADRN O=C(N[C@]1(C(=O)NCCN2CCS(=O)(=O)CC2)CCOC1)OCc1ccccc1 ZINC000780907231 1072963839 /nfs/dbraw/zinc/96/38/39/1072963839.db2.gz SWUYYEJQERYYAE-LJQANCHMSA-N 0 0 425.507 -0.082 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@]2(NC(=O)OCc3ccccc3)CCOC2)CC1 ZINC000780923814 1072963972 /nfs/dbraw/zinc/96/39/72/1072963972.db2.gz XYQNSILNYQZMIK-FQEVSTJZSA-N 0 0 443.464 -0.571 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@]2(NC(=O)OCc3ccccc3)CCOC2)CC1 ZINC000780923817 1072963977 /nfs/dbraw/zinc/96/39/77/1072963977.db2.gz XYQNSILNYQZMIK-HXUWFJFHSA-N 0 0 443.464 -0.571 20 0 IBADRN O=C(NCCS(=O)(=O)CC1CC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000780926217 1072963877 /nfs/dbraw/zinc/96/38/77/1072963877.db2.gz KWLDPOJLVGBHQX-ZBFHGGJFSA-N 0 0 434.511 -0.109 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@]1(NC(=O)OCc2ccccc2)CCOC1 ZINC000780927327 1072963958 /nfs/dbraw/zinc/96/39/58/1072963958.db2.gz IDODYAIDWYRARM-HRAATJIYSA-N 0 0 432.477 -0.075 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@]1(NC(=O)OCc2ccccc2)CCOC1 ZINC000780927331 1072963871 /nfs/dbraw/zinc/96/38/71/1072963871.db2.gz IDODYAIDWYRARM-IERDGZPVSA-N 0 0 432.477 -0.075 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)[C@@]1(NC(=O)OCc2ccccc2)CCOC1 ZINC000780927333 1072963863 /nfs/dbraw/zinc/96/38/63/1072963863.db2.gz IDODYAIDWYRARM-IIBYNOLFSA-N 0 0 432.477 -0.075 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@]1(NC(=O)OCc2ccccc2)CCOC1 ZINC000780927335 1072963952 /nfs/dbraw/zinc/96/39/52/1072963952.db2.gz IDODYAIDWYRARM-KKSFZXQISA-N 0 0 432.477 -0.075 20 0 IBADRN O=C1NCC2(CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CC2)O1 ZINC000780940150 1072963963 /nfs/dbraw/zinc/96/39/63/1072963963.db2.gz PRXNZXFDXUEWLX-UHFFFAOYSA-N 0 0 445.519 -0.029 20 0 IBADRN O=C(CNC(=O)[C@]1(NC(=O)OCc2ccccc2)CCOC1)NCCN1CCOCC1 ZINC000780942046 1072963979 /nfs/dbraw/zinc/96/39/79/1072963979.db2.gz YAIJKERNMUQAFS-NRFANRHFSA-N 0 0 434.493 -0.364 20 0 IBADRN O=C(CNC(=O)[C@@]1(NC(=O)OCc2ccccc2)CCOC1)NCCN1CCOCC1 ZINC000780942047 1072963845 /nfs/dbraw/zinc/96/38/45/1072963845.db2.gz YAIJKERNMUQAFS-OAQYLSRUSA-N 0 0 434.493 -0.364 20 0 IBADRN CCNC(=O)CC(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CC(=O)NCC ZINC000780960329 1072963912 /nfs/dbraw/zinc/96/39/12/1072963912.db2.gz RCQUWAXXVJCIBF-AWEZNQCLSA-N 0 0 441.529 -0.397 20 0 IBADRN CCNC(=O)CC(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CC(=O)NCC ZINC000780960333 1072963968 /nfs/dbraw/zinc/96/39/68/1072963968.db2.gz RCQUWAXXVJCIBF-CQSZACIVSA-N 0 0 441.529 -0.397 20 0 IBADRN CNC(=O)CCCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000780988626 1072963883 /nfs/dbraw/zinc/96/38/83/1072963883.db2.gz RXLNZJDBNZBLCS-UHFFFAOYSA-N 0 0 435.524 -0.177 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@@H]3C[C@@H](O)CN3S(=O)(=O)c3ccc(F)cc3)C2)nn1 ZINC000780999870 1072963891 /nfs/dbraw/zinc/96/38/91/1072963891.db2.gz GCAFGFHHTGSGOD-KHIZAZIESA-N 0 0 439.469 -0.322 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)[C@@H]3C[C@@H](O)CN3S(=O)(=O)c3ccc(F)cc3)C2)nn1 ZINC000780999872 1072963925 /nfs/dbraw/zinc/96/39/25/1072963925.db2.gz GCAFGFHHTGSGOD-ZLENFMNRSA-N 0 0 439.469 -0.322 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000781001586 1072963902 /nfs/dbraw/zinc/96/39/02/1072963902.db2.gz MXYNVBWPOJXIPV-INMHGKMJSA-N 0 0 444.481 -0.012 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000781001588 1072963981 /nfs/dbraw/zinc/96/39/81/1072963981.db2.gz MXYNVBWPOJXIPV-VYDXJSESSA-N 0 0 444.481 -0.012 20 0 IBADRN CN1CCN(CC(=O)N2CCC[C@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)CC1=O ZINC000781039092 1072963935 /nfs/dbraw/zinc/96/39/35/1072963935.db2.gz UVXOBLVPPNDXGP-INIZCTEOSA-N 0 0 437.541 -0.169 20 0 IBADRN CN1CCN(CC(=O)N2CCC[C@@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)CC1=O ZINC000781039127 1072963946 /nfs/dbraw/zinc/96/39/46/1072963946.db2.gz UVXOBLVPPNDXGP-MRXNPFEDSA-N 0 0 437.541 -0.169 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C4=NN(CCO)C(=O)CC4)CC3)C2=O)n(C)n1 ZINC000781050915 1072964300 /nfs/dbraw/zinc/96/43/00/1072964300.db2.gz IBUIVBBRSAYCNG-KRWDZBQOSA-N 0 0 445.524 -0.661 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C4=NN(CCO)C(=O)CC4)CC3)C2=O)n(C)n1 ZINC000781050916 1072964361 /nfs/dbraw/zinc/96/43/61/1072964361.db2.gz IBUIVBBRSAYCNG-QGZVFWFLSA-N 0 0 445.524 -0.661 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CC4SC(=N)NC4=O)CC3)C2=O)n(C)n1 ZINC000781051205 1072964428 /nfs/dbraw/zinc/96/44/28/1072964428.db2.gz NFXLITOQLXADPS-KBPBESRZSA-N 0 0 433.538 -0.075 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CC4SC(=N)NC4=O)CC3)C2=O)n(C)n1 ZINC000781051209 1072964334 /nfs/dbraw/zinc/96/43/34/1072964334.db2.gz NFXLITOQLXADPS-KGLIPLIRSA-N 0 0 433.538 -0.075 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CC4SC(=N)NC4=O)CC3)C2=O)n(C)n1 ZINC000781051211 1072964344 /nfs/dbraw/zinc/96/43/44/1072964344.db2.gz NFXLITOQLXADPS-UONOGXRCSA-N 0 0 433.538 -0.075 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CC4SC(=N)NC4=O)CC3)C2=O)n(C)n1 ZINC000781051213 1072964413 /nfs/dbraw/zinc/96/44/13/1072964413.db2.gz NFXLITOQLXADPS-ZIAGYGMSSA-N 0 0 433.538 -0.075 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(CC(=O)N(C)C)CC3)C2=O)c1 ZINC000781099131 1072964405 /nfs/dbraw/zinc/96/44/05/1072964405.db2.gz XGFMZPDMXCLSQD-NRFANRHFSA-N 0 0 446.508 -0.801 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(CC(=O)N(C)C)CC3)C2=O)c1 ZINC000781099132 1072964353 /nfs/dbraw/zinc/96/43/53/1072964353.db2.gz XGFMZPDMXCLSQD-OAQYLSRUSA-N 0 0 446.508 -0.801 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CC(OC(=O)Nc3cccc(F)c3)C2)c[nH]1 ZINC000781106207 1072964466 /nfs/dbraw/zinc/96/44/66/1072964466.db2.gz OBWBIFLCIKXOMT-UHFFFAOYSA-N 0 0 439.425 -0.010 20 0 IBADRN O=C1CN(CCNC(=S)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1 ZINC000781114585 1072964454 /nfs/dbraw/zinc/96/44/54/1072964454.db2.gz MGARVHKGRAPGOZ-UHFFFAOYSA-N 0 0 427.552 -0.574 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)C[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000781137096 1072964920 /nfs/dbraw/zinc/96/49/20/1072964920.db2.gz BSPSJXPAMNJLFR-HSZRJFAPSA-N 0 0 438.484 -0.120 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)C[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000781137097 1072964865 /nfs/dbraw/zinc/96/48/65/1072964865.db2.gz BSPSJXPAMNJLFR-QHCPKHFHSA-N 0 0 438.484 -0.120 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C[C@@]3(CCc4ccccc43)C2=O)CC1)N1CCOCC1 ZINC000781138899 1072964851 /nfs/dbraw/zinc/96/48/51/1072964851.db2.gz RNPHYIQGMQGNNF-HSZRJFAPSA-N 0 0 440.500 -0.410 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C[C@]3(CCc4ccccc43)C2=O)CC1)N1CCOCC1 ZINC000781138901 1072964928 /nfs/dbraw/zinc/96/49/28/1072964928.db2.gz RNPHYIQGMQGNNF-QHCPKHFHSA-N 0 0 440.500 -0.410 20 0 IBADRN O=C1C[C@]2(CCc3ccccc32)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000781139648 1072964992 /nfs/dbraw/zinc/96/49/92/1072964992.db2.gz YZNCHNKKOSGHID-NRFANRHFSA-N 0 0 448.545 -0.218 20 0 IBADRN O=C1C[C@@]2(CCc3ccccc32)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000781139654 1072964861 /nfs/dbraw/zinc/96/48/61/1072964861.db2.gz YZNCHNKKOSGHID-OAQYLSRUSA-N 0 0 448.545 -0.218 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCCOC1 ZINC000781203445 1072964868 /nfs/dbraw/zinc/96/48/68/1072964868.db2.gz DJZVBIZBJZNZKD-DVOMOZLQSA-N 0 0 429.495 -0.374 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCCOC1 ZINC000781203480 1072964936 /nfs/dbraw/zinc/96/49/36/1072964936.db2.gz DJZVBIZBJZNZKD-HEHGZKQESA-N 0 0 429.495 -0.374 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCCOC1 ZINC000781203484 1072964958 /nfs/dbraw/zinc/96/49/58/1072964958.db2.gz DJZVBIZBJZNZKD-WOSRLPQWSA-N 0 0 429.495 -0.374 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCCOC1 ZINC000781203489 1072964832 /nfs/dbraw/zinc/96/48/32/1072964832.db2.gz DJZVBIZBJZNZKD-XJKCOSOUSA-N 0 0 429.495 -0.374 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCn1nnc2c(cnn2-c2ccccc2)c1=O ZINC000781220763 1072964874 /nfs/dbraw/zinc/96/48/74/1072964874.db2.gz MFJWNMKJECIOGF-UHFFFAOYSA-N 0 0 429.418 -0.222 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)CC1 ZINC000781228147 1072964896 /nfs/dbraw/zinc/96/48/96/1072964896.db2.gz PMXFTBZYZYIMLS-UHFFFAOYSA-N 0 0 433.450 -0.607 20 0 IBADRN CNC(=O)CCCC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000781229002 1072964968 /nfs/dbraw/zinc/96/49/68/1072964968.db2.gz CRRLXKLJCCYWNN-UHFFFAOYSA-N 0 0 441.506 -0.217 20 0 IBADRN Cn1cc(/C=C/C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)c(=O)n(C)c1=O ZINC000781229153 1072964882 /nfs/dbraw/zinc/96/48/82/1072964882.db2.gz HEDVKBVNBVFLJO-CMDGGOBGSA-N 0 0 435.400 -0.411 20 0 IBADRN Cn1cc(/C=C\C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)c(=O)n(C)c1=O ZINC000781229156 1072965653 /nfs/dbraw/zinc/96/56/53/1072965653.db2.gz HEDVKBVNBVFLJO-HJWRWDBZSA-N 0 0 435.400 -0.411 20 0 IBADRN CNC(=O)CCCC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000781230033 1072965475 /nfs/dbraw/zinc/96/54/75/1072965475.db2.gz MYWINGHDSIXCRD-KRWDZBQOSA-N 0 0 433.461 -0.043 20 0 IBADRN CNC(=O)CCCC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000781230036 1072965523 /nfs/dbraw/zinc/96/55/23/1072965523.db2.gz MYWINGHDSIXCRD-QGZVFWFLSA-N 0 0 433.461 -0.043 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCn1nnc3c(cnn3-c3ccccc3)c1=O)c2=O ZINC000781230176 1072965531 /nfs/dbraw/zinc/96/55/31/1072965531.db2.gz RTHHHNJISVCFMC-UHFFFAOYSA-N 0 0 433.388 -0.379 20 0 IBADRN CNC(=O)CCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000781230848 1072965559 /nfs/dbraw/zinc/96/55/59/1072965559.db2.gz WSQKRHUQTWMORG-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN CNC(=O)CCCC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000781231065 1072965489 /nfs/dbraw/zinc/96/54/89/1072965489.db2.gz XTSOQKPIAHPCEB-UHFFFAOYSA-N 0 0 441.506 -0.217 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)cn1 ZINC000781232075 1072965571 /nfs/dbraw/zinc/96/55/71/1072965571.db2.gz JXDKONRRJQPEEB-UHFFFAOYSA-N 0 0 444.433 -0.810 20 0 IBADRN CNC(=O)CCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000781233878 1072965449 /nfs/dbraw/zinc/96/54/49/1072965449.db2.gz VNUMEIZBEMGRLC-UHFFFAOYSA-N 0 0 430.483 -0.416 20 0 IBADRN CCN1CCN(CC(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)C(=O)C1=O ZINC000781236383 1072965500 /nfs/dbraw/zinc/96/55/00/1072965500.db2.gz NOSVPPSDJPFTHK-UHFFFAOYSA-N 0 0 425.405 -0.831 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)c1 ZINC000781239950 1072965469 /nfs/dbraw/zinc/96/54/69/1072965469.db2.gz RMSJDSZFTMFGIH-UHFFFAOYSA-N 0 0 444.433 -0.588 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)c1 ZINC000781240035 1072965665 /nfs/dbraw/zinc/96/56/65/1072965665.db2.gz SYRWOYSLYWAAER-UHFFFAOYSA-N 0 0 430.406 -0.978 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)c1 ZINC000781247735 1072965543 /nfs/dbraw/zinc/96/55/43/1072965543.db2.gz IAOFGBODUOEJKR-UHFFFAOYSA-N 0 0 444.433 -0.810 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCn1nnc2c(cnn2-c2ccccc2)c1=O ZINC000781248821 1072965597 /nfs/dbraw/zinc/96/55/97/1072965597.db2.gz LEAOOQKJTLVTMJ-UHFFFAOYSA-N 0 0 436.450 -0.566 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCn1nnc2c(cnn2-c2ccccc2)c1=O ZINC000781251365 1072965456 /nfs/dbraw/zinc/96/54/56/1072965456.db2.gz VNSQGHAUNYWKKT-UHFFFAOYSA-N 0 0 436.450 -0.566 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)cn1 ZINC000781254028 1072965426 /nfs/dbraw/zinc/96/54/26/1072965426.db2.gz JSJNAGOMDUCBDL-UHFFFAOYSA-N 0 0 427.402 -0.166 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000781259641 1072965682 /nfs/dbraw/zinc/96/56/82/1072965682.db2.gz HSPPYGZRNSRQSQ-UHFFFAOYSA-N 0 0 425.463 -0.599 20 0 IBADRN COc1ccc(NC(=O)COC(=O)Cn2ncn(C)c2=O)cc1S(=O)(=O)N(C)C ZINC000781262967 1072965506 /nfs/dbraw/zinc/96/55/06/1072965506.db2.gz DDBUGVVNJFPAQP-UHFFFAOYSA-N 0 0 427.439 -0.977 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)nc1 ZINC000781263124 1072966078 /nfs/dbraw/zinc/96/60/78/1072966078.db2.gz ZSEPJAWUMBZRRB-UHFFFAOYSA-N 0 0 427.402 -0.166 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)N2CCC(NC(=O)CCc3ccccc3)CC2)c1=O ZINC000781263328 1072966092 /nfs/dbraw/zinc/96/60/92/1072966092.db2.gz KPUIXFVQIDGKPQ-UHFFFAOYSA-N 0 0 429.477 -0.135 20 0 IBADRN COc1cc(C)c(CN2CCN(C(=O)COC(=O)Cn3ncn(C)c3=O)CC2)cc1OC ZINC000781263844 1072965625 /nfs/dbraw/zinc/96/56/25/1072965625.db2.gz PPOPVXGNBCYPRR-UHFFFAOYSA-N 0 0 447.492 -0.205 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)COC(=O)Cn2ncn(C)c2=O)CC1 ZINC000781263865 1072966031 /nfs/dbraw/zinc/96/60/31/1072966031.db2.gz JUYYYZXEKSZFKW-UHFFFAOYSA-N 0 0 444.492 -0.530 20 0 IBADRN COC(=O)c1sc(NC(=O)COC(=O)Cn2ncn(C)c2=O)c(C(=O)OC)c1C ZINC000781264564 1072966047 /nfs/dbraw/zinc/96/60/47/1072966047.db2.gz VFLRRILGYMQYSF-UHFFFAOYSA-N 0 0 426.407 -0.293 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)c1=O ZINC000781264763 1072966018 /nfs/dbraw/zinc/96/60/18/1072966018.db2.gz RKJVBJVTXJDPPD-IBGZPJMESA-N 0 0 430.421 -0.901 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)c1=O ZINC000781264764 1072966189 /nfs/dbraw/zinc/96/61/89/1072966189.db2.gz RKJVBJVTXJDPPD-LJQANCHMSA-N 0 0 430.421 -0.901 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)c1=O ZINC000781264941 1072966169 /nfs/dbraw/zinc/96/61/69/1072966169.db2.gz YQURIQYQTVQZNV-NTUHNPAUSA-N 0 0 446.445 -0.627 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)c1=O ZINC000781264946 1072966177 /nfs/dbraw/zinc/96/61/77/1072966177.db2.gz YQURIQYQTVQZNV-QPEQYQDCSA-N 0 0 446.445 -0.627 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)COC(=O)Cn2ncn(C)c2=O)CC1 ZINC000781265371 1072966103 /nfs/dbraw/zinc/96/61/03/1072966103.db2.gz ZCJBBNAFPHNWQQ-UHFFFAOYSA-N 0 0 444.492 -0.475 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)c1=O ZINC000781265394 1072966128 /nfs/dbraw/zinc/96/61/28/1072966128.db2.gz ZWMDHEOGUHLUSY-UHFFFAOYSA-N 0 0 434.428 -0.953 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)COC(=O)Cn2ncn(C)c2=O)cc1 ZINC000781265563 1072966137 /nfs/dbraw/zinc/96/61/37/1072966137.db2.gz FYMYTYWXJNUXOY-UHFFFAOYSA-N 0 0 425.467 -0.206 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)c1=O ZINC000781265799 1072966206 /nfs/dbraw/zinc/96/62/06/1072966206.db2.gz HWCPIZMZCYKZLN-GFCCVEGCSA-N 0 0 428.492 -0.598 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)c1=O ZINC000781265800 1072966116 /nfs/dbraw/zinc/96/61/16/1072966116.db2.gz HWCPIZMZCYKZLN-LBPRGKRZSA-N 0 0 428.492 -0.598 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)COC(=O)Cn1ncn(C)c1=O ZINC000781266076 1072966005 /nfs/dbraw/zinc/96/60/05/1072966005.db2.gz LKSQVBWVGUUVBL-UHFFFAOYSA-N 0 0 437.478 -0.645 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)N2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)c1=O ZINC000781266222 1072966194 /nfs/dbraw/zinc/96/61/94/1072966194.db2.gz MLGPLZYRUSMWBI-GHXNOFRVSA-N 0 0 449.489 -0.730 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)N2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)c1=O ZINC000781266233 1072966039 /nfs/dbraw/zinc/96/60/39/1072966039.db2.gz MLGPLZYRUSMWBI-KPKJPENVSA-N 0 0 449.489 -0.730 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)COC(=O)Cn1ncn(C)c1=O ZINC000781266390 1072966213 /nfs/dbraw/zinc/96/62/13/1072966213.db2.gz JPMFKBNZWSAMKP-UHFFFAOYSA-N 0 0 425.423 -0.591 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)OC(=O)Cn1ncn(C)c1=O ZINC000781266657 1072966161 /nfs/dbraw/zinc/96/61/61/1072966161.db2.gz UBBITJVKCDUJQB-LLVKDONJSA-N 0 0 441.466 -0.589 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)OC(=O)Cn1ncn(C)c1=O ZINC000781266658 1072966146 /nfs/dbraw/zinc/96/61/46/1072966146.db2.gz UBBITJVKCDUJQB-NSHDSACASA-N 0 0 441.466 -0.589 20 0 IBADRN Cn1cnn(CC(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)c1=O ZINC000781266971 1072966583 /nfs/dbraw/zinc/96/65/83/1072966583.db2.gz VXLKNFOHBFVNBY-UHFFFAOYSA-N 0 0 437.478 -0.062 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)on1 ZINC000781273290 1072966536 /nfs/dbraw/zinc/96/65/36/1072966536.db2.gz HVJAQMPGOLYWRO-NEPJUHHUSA-N 0 0 430.435 -0.187 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)on1 ZINC000781273291 1072966599 /nfs/dbraw/zinc/96/65/99/1072966599.db2.gz HVJAQMPGOLYWRO-NWDGAFQWSA-N 0 0 430.435 -0.187 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)on1 ZINC000781273292 1072966730 /nfs/dbraw/zinc/96/67/30/1072966730.db2.gz HVJAQMPGOLYWRO-RYUDHWBXSA-N 0 0 430.435 -0.187 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)on1 ZINC000781273293 1072966493 /nfs/dbraw/zinc/96/64/93/1072966493.db2.gz HVJAQMPGOLYWRO-VXGBXAGGSA-N 0 0 430.435 -0.187 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)on1 ZINC000781274347 1072966510 /nfs/dbraw/zinc/96/65/10/1072966510.db2.gz UECSZNBUEDLXGF-UHFFFAOYSA-N 0 0 429.393 -0.357 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)on1 ZINC000781275063 1072966649 /nfs/dbraw/zinc/96/66/49/1072966649.db2.gz CIOJCOCGTPKZRO-UHFFFAOYSA-N 0 0 442.384 -0.008 20 0 IBADRN Cn1cc(-c2nn(C)cc2C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cn1 ZINC000781298310 1072966623 /nfs/dbraw/zinc/96/66/23/1072966623.db2.gz UQXANWVXYVEQFH-UHFFFAOYSA-N 0 0 426.437 -0.185 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cn(C)nc2-c2cnn(C)c2)c(=O)n(C)c1=O ZINC000781301384 1072966637 /nfs/dbraw/zinc/96/66/37/1072966637.db2.gz JXUCNRLPMXPDBR-UHFFFAOYSA-N 0 0 443.464 -0.041 20 0 IBADRN Cn1cc(-c2nn(C)cc2C(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000781304293 1072966676 /nfs/dbraw/zinc/96/66/76/1072966676.db2.gz PAXUNNMIFRLIFQ-UHFFFAOYSA-N 0 0 446.489 -0.016 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(CC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000781307115 1072966608 /nfs/dbraw/zinc/96/66/08/1072966608.db2.gz XUZPAKJWJORJHG-UHFFFAOYSA-N 0 0 437.474 -0.183 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000781330730 1072966576 /nfs/dbraw/zinc/96/65/76/1072966576.db2.gz IUADXYBQDMPWRG-HUUCEWRRSA-N 0 0 445.538 -0.130 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000781330732 1072966527 /nfs/dbraw/zinc/96/65/27/1072966527.db2.gz IUADXYBQDMPWRG-LSDHHAIUSA-N 0 0 445.538 -0.130 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000781341871 1072966736 /nfs/dbraw/zinc/96/67/36/1072966736.db2.gz WMUDOMRHCXSJFX-LLVKDONJSA-N 0 0 429.451 -0.429 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC000781341873 1072966551 /nfs/dbraw/zinc/96/65/51/1072966551.db2.gz WMUDOMRHCXSJFX-NSHDSACASA-N 0 0 429.451 -0.429 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC(=O)CSc1cccnn1 ZINC000781385965 1072966665 /nfs/dbraw/zinc/96/66/65/1072966665.db2.gz HHGSQIPAUVJQNQ-UHFFFAOYSA-N 0 0 425.492 -0.115 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cnccc2NC(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC000781393560 1072966716 /nfs/dbraw/zinc/96/67/16/1072966716.db2.gz ZCRWPENLDRASKH-UHFFFAOYSA-N 0 0 433.421 -0.130 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NCCN2CCNC(=O)C2)CC1 ZINC000781400433 1072966701 /nfs/dbraw/zinc/96/67/01/1072966701.db2.gz SQYIXTZBKALKPD-UHFFFAOYSA-N 0 0 426.518 -0.765 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)NCCN2CCNC(=O)C2)C1 ZINC000781402211 1072966541 /nfs/dbraw/zinc/96/65/41/1072966541.db2.gz WGACBCHXFFUZDD-HNNXBMFYSA-N 0 0 440.545 -0.519 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)NCCN2CCNC(=O)C2)C1 ZINC000781402212 1072966687 /nfs/dbraw/zinc/96/66/87/1072966687.db2.gz WGACBCHXFFUZDD-OAHLLOKOSA-N 0 0 440.545 -0.519 20 0 IBADRN Cn1cc(-c2n[nH]cc2C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000781404915 1072967142 /nfs/dbraw/zinc/96/71/42/1072967142.db2.gz PCEHTAZIQHSUIC-AWEZNQCLSA-N 0 0 436.494 -0.702 20 0 IBADRN Cn1cc(-c2n[nH]cc2C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000781404916 1072967171 /nfs/dbraw/zinc/96/71/71/1072967171.db2.gz PCEHTAZIQHSUIC-CQSZACIVSA-N 0 0 436.494 -0.702 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCCN2CCNC(=O)C2)cc1 ZINC000781406710 1072967093 /nfs/dbraw/zinc/96/70/93/1072967093.db2.gz QZWPWMXFUAVTBA-UHFFFAOYSA-N 0 0 426.495 -0.995 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2c[nH]nc2-c2cnn(C)c2)c(=O)n(C)c1=O ZINC000781410392 1072967176 /nfs/dbraw/zinc/96/71/76/1072967176.db2.gz LHTKBUQGDQWBSK-UHFFFAOYSA-N 0 0 429.437 -0.052 20 0 IBADRN Cn1cc(-c2n[nH]cc2C(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000781410559 1072967083 /nfs/dbraw/zinc/96/70/83/1072967083.db2.gz MLYDPPHGXZNFHT-UHFFFAOYSA-N 0 0 432.462 -0.027 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC000781410817 1072967271 /nfs/dbraw/zinc/96/72/71/1072967271.db2.gz FTSJQSBMOOVYED-UHFFFAOYSA-N 0 0 429.930 -0.316 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)NCCN2CCNC(=O)C2)c1 ZINC000781412241 1072967219 /nfs/dbraw/zinc/96/72/19/1072967219.db2.gz NHHLZCRJVARJNB-PLNGDYQASA-N 0 0 427.479 -0.400 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)NCCN2CCNC(=O)C2)c1 ZINC000781412247 1072967208 /nfs/dbraw/zinc/96/72/08/1072967208.db2.gz NHHLZCRJVARJNB-SNAWJCMRSA-N 0 0 427.479 -0.400 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCN2CCNC(=O)C2)ccc1Br ZINC000781412256 1072967247 /nfs/dbraw/zinc/96/72/47/1072967247.db2.gz NMSUHTVFVUPESL-UHFFFAOYSA-N 0 0 433.328 -0.139 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)c1ccc(Cl)c(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000781413560 1072967262 /nfs/dbraw/zinc/96/72/62/1072967262.db2.gz VMUVOLVAKSQWBK-AWEZNQCLSA-N 0 0 444.941 -0.041 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)c1ccc(Cl)c(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000781413563 1072967198 /nfs/dbraw/zinc/96/71/98/1072967198.db2.gz VMUVOLVAKSQWBK-CQSZACIVSA-N 0 0 444.941 -0.041 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000781414021 1072967124 /nfs/dbraw/zinc/96/71/24/1072967124.db2.gz LQWRWMBTRXEGBX-UHFFFAOYSA-N 0 0 430.914 -0.478 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)NCCN2CCNC(=O)C2)c1 ZINC000781414187 1072967160 /nfs/dbraw/zinc/96/71/60/1072967160.db2.gz XUGOQDUSHFLJPZ-UHFFFAOYSA-N 0 0 440.341 -0.437 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000781414203 1072967190 /nfs/dbraw/zinc/96/71/90/1072967190.db2.gz XXVWAOLCBLIVBR-AWEZNQCLSA-N 0 0 436.490 -0.828 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000781414244 1072967067 /nfs/dbraw/zinc/96/70/67/1072967067.db2.gz XXVWAOLCBLIVBR-CQSZACIVSA-N 0 0 436.490 -0.828 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000781443384 1072967134 /nfs/dbraw/zinc/96/71/34/1072967134.db2.gz VWTLSMSHIIHFTG-UHFFFAOYSA-N 0 0 428.467 -0.629 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000781447692 1072967105 /nfs/dbraw/zinc/96/71/05/1072967105.db2.gz HHQOKYOJSNSHRT-CVEARBPZSA-N 0 0 430.454 -0.015 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000781447698 1072967708 /nfs/dbraw/zinc/96/77/08/1072967708.db2.gz HHQOKYOJSNSHRT-HOTGVXAUSA-N 0 0 430.454 -0.015 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000781447699 1072967731 /nfs/dbraw/zinc/96/77/31/1072967731.db2.gz HHQOKYOJSNSHRT-HZPDHXFCSA-N 0 0 430.454 -0.015 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000781447702 1072967674 /nfs/dbraw/zinc/96/76/74/1072967674.db2.gz HHQOKYOJSNSHRT-JKSUJKDBSA-N 0 0 430.454 -0.015 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@H](O)[C@@H]3C(=O)OC)cc2S1(=O)=O ZINC000781452216 1072967648 /nfs/dbraw/zinc/96/76/48/1072967648.db2.gz RFQVTKGCDXLDMO-DZGCQCFKSA-N 0 0 426.447 -0.384 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@@H](O)[C@H]3C(=O)OC)cc2S1(=O)=O ZINC000781452219 1072967751 /nfs/dbraw/zinc/96/77/51/1072967751.db2.gz RFQVTKGCDXLDMO-HIFRSBDPSA-N 0 0 426.447 -0.384 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@@H](O)[C@@H]3C(=O)OC)cc2S1(=O)=O ZINC000781452220 1072967691 /nfs/dbraw/zinc/96/76/91/1072967691.db2.gz RFQVTKGCDXLDMO-UKRRQHHQSA-N 0 0 426.447 -0.384 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@H](O)[C@H]3C(=O)OC)cc2S1(=O)=O ZINC000781452221 1072967543 /nfs/dbraw/zinc/96/75/43/1072967543.db2.gz RFQVTKGCDXLDMO-ZFWWWQNUSA-N 0 0 426.447 -0.384 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000781453792 1072967642 /nfs/dbraw/zinc/96/76/42/1072967642.db2.gz YPXBWSZOHLOPID-GZMMTYOYSA-N 0 0 428.243 -0.583 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000781453800 1072967717 /nfs/dbraw/zinc/96/77/17/1072967717.db2.gz YPXBWSZOHLOPID-KCJUWKMLSA-N 0 0 428.243 -0.583 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000781453801 1072967725 /nfs/dbraw/zinc/96/77/25/1072967725.db2.gz YPXBWSZOHLOPID-KWQFWETISA-N 0 0 428.243 -0.583 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000781453802 1072967605 /nfs/dbraw/zinc/96/76/05/1072967605.db2.gz YPXBWSZOHLOPID-LDYMZIIASA-N 0 0 428.243 -0.583 20 0 IBADRN Cn1cc(-c2n[nH]cc2C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)cn1 ZINC000781464828 1072967568 /nfs/dbraw/zinc/96/75/68/1072967568.db2.gz KOHGQBLRVIYXHE-UHFFFAOYSA-N 0 0 440.464 -0.481 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CN3C(=O)c4ccccc4S3(=O)=O)CC2)n1 ZINC000781469260 1072967556 /nfs/dbraw/zinc/96/75/56/1072967556.db2.gz IPBLKEYHGOKLGZ-UHFFFAOYSA-N 0 0 435.462 -0.295 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C3=NN(c4ccccc4)[C@H](C(N)=O)C3)CC2)n1 ZINC000781470364 1072967658 /nfs/dbraw/zinc/96/76/58/1072967658.db2.gz PCRJTRIUSXZMOB-INIZCTEOSA-N 0 0 427.465 -0.020 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C3=NN(c4ccccc4)[C@@H](C(N)=O)C3)CC2)n1 ZINC000781470365 1072967738 /nfs/dbraw/zinc/96/77/38/1072967738.db2.gz PCRJTRIUSXZMOB-MRXNPFEDSA-N 0 0 427.465 -0.020 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CN(C)C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000781472076 1072968127 /nfs/dbraw/zinc/96/81/27/1072968127.db2.gz ZQADKDZKWCMDGV-UHFFFAOYSA-N 0 0 448.505 -0.059 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2)n1 ZINC000781473947 1072968259 /nfs/dbraw/zinc/96/82/59/1072968259.db2.gz CXWRGBMZGCQYDS-UHFFFAOYSA-N 0 0 426.474 -0.499 20 0 IBADRN COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000781476371 1072968320 /nfs/dbraw/zinc/96/83/20/1072968320.db2.gz GWPYXSJCEHGQMC-UHFFFAOYSA-N 0 0 435.405 -0.532 20 0 IBADRN COC(=O)c1ccc(OC)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000781476490 1072968287 /nfs/dbraw/zinc/96/82/87/1072968287.db2.gz JNKHCUNCYDIBJU-UHFFFAOYSA-N 0 0 429.451 -0.802 20 0 IBADRN CCOC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000781476520 1072968299 /nfs/dbraw/zinc/96/82/99/1072968299.db2.gz KJJDAIJHFQSRJR-UHFFFAOYSA-N 0 0 449.432 -0.142 20 0 IBADRN COC(=O)c1cc(Cl)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000781476803 1072968164 /nfs/dbraw/zinc/96/81/64/1072968164.db2.gz PEPICDZOFOLZGY-UHFFFAOYSA-N 0 0 433.870 -0.157 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000781477368 1072968310 /nfs/dbraw/zinc/96/83/10/1072968310.db2.gz WVQYIPMWLCJSNR-UHFFFAOYSA-N 0 0 429.451 -0.802 20 0 IBADRN CCOC(=O)c1c(F)cccc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000781477390 1072968145 /nfs/dbraw/zinc/96/81/45/1072968145.db2.gz XJAFKVSRBGLFDJ-UHFFFAOYSA-N 0 0 431.442 -0.281 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N(C)CCS(C)(=O)=O ZINC000781499149 1072968196 /nfs/dbraw/zinc/96/81/96/1072968196.db2.gz RHVTYIJVRJBXKK-UHFFFAOYSA-N 0 0 427.479 -0.249 20 0 IBADRN COC(=O)c1cc(OC)c(OC)c(OC)c1NC(=O)C(=O)N(C)CCS(C)(=O)=O ZINC000781499961 1072968231 /nfs/dbraw/zinc/96/82/31/1072968231.db2.gz WTRGYTJUPDIEKW-UHFFFAOYSA-N 0 0 432.451 -0.060 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc2nc(N3CCOCC3)oc2c1 ZINC000781516154 1072968812 /nfs/dbraw/zinc/96/88/12/1072968812.db2.gz HSCQBZWEEFABHU-UHFFFAOYSA-N 0 0 425.467 -0.342 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1C(=O)OC ZINC000781517167 1072968759 /nfs/dbraw/zinc/96/87/59/1072968759.db2.gz KTJYRIFUOUNXRY-UHFFFAOYSA-N 0 0 427.479 -0.201 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000781518891 1072968804 /nfs/dbraw/zinc/96/88/04/1072968804.db2.gz TYRYMZSQCVTYHT-UHFFFAOYSA-N 0 0 442.494 -0.886 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCOCC2)cc1C(=O)OC ZINC000781519878 1072968704 /nfs/dbraw/zinc/96/87/04/1072968704.db2.gz ZDKFYVXHRLCVKQ-UHFFFAOYSA-N 0 0 442.494 -0.696 20 0 IBADRN O=C(C1CCCC1)N1CCC[C@H](S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000781524200 1072968725 /nfs/dbraw/zinc/96/87/25/1072968725.db2.gz SHSKKNZSKDGLSY-INIZCTEOSA-N 0 0 437.584 -0.251 20 0 IBADRN O=C(C1CCCC1)N1CCC[C@@H](S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC000781524204 1072968713 /nfs/dbraw/zinc/96/87/13/1072968713.db2.gz SHSKKNZSKDGLSY-MRXNPFEDSA-N 0 0 437.584 -0.251 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C3CCCC3)C2)CC1 ZINC000781525126 1072968638 /nfs/dbraw/zinc/96/86/38/1072968638.db2.gz SLTDQBAYPYKGME-UHFFFAOYSA-N 0 0 436.600 -0.079 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000781529012 1072968767 /nfs/dbraw/zinc/96/87/67/1072968767.db2.gz QCEYTHMZGVKGDO-CYBMUJFWSA-N 0 0 446.551 -0.775 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000781529015 1072968783 /nfs/dbraw/zinc/96/87/83/1072968783.db2.gz QCEYTHMZGVKGDO-ZDUSSCGKSA-N 0 0 446.551 -0.775 20 0 IBADRN COC(=O)c1cc(C(C)C)ccc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000781532501 1072969278 /nfs/dbraw/zinc/96/92/78/1072969278.db2.gz QFLLKJOWUSLOEM-UHFFFAOYSA-N 0 0 443.464 -0.107 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000781532617 1072969153 /nfs/dbraw/zinc/96/91/53/1072969153.db2.gz BXMVCNKDCCENGN-AWEZNQCLSA-N 0 0 432.433 -0.089 20 0 IBADRN COC(=O)c1cc(N2CCOCC2)ccc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000781532618 1072969105 /nfs/dbraw/zinc/96/91/05/1072969105.db2.gz BXMVCNKDCCENGN-CQSZACIVSA-N 0 0 432.433 -0.089 20 0 IBADRN COC(=O)c1cc(C(C)C)ccc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000781532759 1072969095 /nfs/dbraw/zinc/96/90/95/1072969095.db2.gz UCBMTJQXOMDXPM-UHFFFAOYSA-N 0 0 440.522 -0.032 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)NCCN1CCNC(=O)C1 ZINC000781540558 1072969221 /nfs/dbraw/zinc/96/92/21/1072969221.db2.gz HBGZOFORZYUKDX-UHFFFAOYSA-N 0 0 446.913 -0.750 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1ccc(-n2nccn2)cc1C(F)(F)F ZINC000781540648 1072969120 /nfs/dbraw/zinc/96/91/20/1072969120.db2.gz HUNBMTOFCGOUEM-UHFFFAOYSA-N 0 0 425.371 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ncc(NC(=O)C(=O)NCCN3CCNC(=O)C3)cc2C1 ZINC000781541436 1072969193 /nfs/dbraw/zinc/96/91/93/1072969193.db2.gz LWOHAGMYSQXPIK-UHFFFAOYSA-N 0 0 446.508 -0.139 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)C(=O)Nc1cc2c(cc1Br)OCCO2 ZINC000781542004 1072969294 /nfs/dbraw/zinc/96/92/94/1072969294.db2.gz RTAWJFGZLDNZER-UHFFFAOYSA-N 0 0 427.255 -0.293 20 0 IBADRN CN(Cc1cccc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c1)C(=O)C(F)(F)F ZINC000781542060 1072969085 /nfs/dbraw/zinc/96/90/85/1072969085.db2.gz SVECTHZKHMFZFK-UHFFFAOYSA-N 0 0 429.399 -0.306 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=S)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000781548342 1072969115 /nfs/dbraw/zinc/96/91/15/1072969115.db2.gz ASFGCQXNIVNVQR-HNNXBMFYSA-N 0 0 428.536 -0.149 20 0 IBADRN CNC(=O)[C@H]1CN(C(=S)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC000781548345 1072969145 /nfs/dbraw/zinc/96/91/45/1072969145.db2.gz ASFGCQXNIVNVQR-OAHLLOKOSA-N 0 0 428.536 -0.149 20 0 IBADRN COc1ccc(NC(=O)CN2CCCN(C=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000781557839 1072969353 /nfs/dbraw/zinc/96/93/53/1072969353.db2.gz WIZRHTCYWDAMCK-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCCN(C=O)CC3)CC2)cc1 ZINC000781561699 1072969250 /nfs/dbraw/zinc/96/92/50/1072969250.db2.gz NVOLXRABELJOBH-UHFFFAOYSA-N 0 0 436.534 -0.114 20 0 IBADRN O=CN1CCCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC000781563495 1072969200 /nfs/dbraw/zinc/96/92/00/1072969200.db2.gz OLJAUJPFWNWRBP-UHFFFAOYSA-N 0 0 430.477 -0.038 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCO[C@H](C(=O)NC)C2)c1=O ZINC000781571115 1072969234 /nfs/dbraw/zinc/96/92/34/1072969234.db2.gz GXQYVZGWNBYOLF-INIZCTEOSA-N 0 0 425.511 -0.523 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCO[C@@H](C(=O)NC)C2)c1=O ZINC000781571121 1072969301 /nfs/dbraw/zinc/96/93/01/1072969301.db2.gz GXQYVZGWNBYOLF-MRXNPFEDSA-N 0 0 425.511 -0.523 20 0 IBADRN CNC(=O)[C@H]1CN(CN2C(=O)NC3(CCN(C(=O)OC(C)(C)C)CC3)C2=O)CCO1 ZINC000781574804 1072969828 /nfs/dbraw/zinc/96/98/28/1072969828.db2.gz HLMLPIDDOGWXOF-CYBMUJFWSA-N 0 0 425.486 -0.288 20 0 IBADRN CNC(=O)[C@@H]1CN(CN2C(=O)NC3(CCN(C(=O)OC(C)(C)C)CC3)C2=O)CCO1 ZINC000781574806 1072969810 /nfs/dbraw/zinc/96/98/10/1072969810.db2.gz HLMLPIDDOGWXOF-ZDUSSCGKSA-N 0 0 425.486 -0.288 20 0 IBADRN CNC(=O)[C@@H]1CN(Cn2nc(N3CCOCC3)n(C[C@H]3CCCO3)c2=S)CCO1 ZINC000781575974 1072969758 /nfs/dbraw/zinc/96/97/58/1072969758.db2.gz QOAQCRDCLVUFRK-CABCVRRESA-N 0 0 426.543 -0.166 20 0 IBADRN CNC(=O)[C@@H]1CN(Cn2nc(N3CCOCC3)n(C[C@@H]3CCCO3)c2=S)CCO1 ZINC000781575975 1072969695 /nfs/dbraw/zinc/96/96/95/1072969695.db2.gz QOAQCRDCLVUFRK-GJZGRUSLSA-N 0 0 426.543 -0.166 20 0 IBADRN CNC(=O)[C@H]1CN(Cn2nc(N3CCOCC3)n(C[C@H]3CCCO3)c2=S)CCO1 ZINC000781575976 1072969797 /nfs/dbraw/zinc/96/97/97/1072969797.db2.gz QOAQCRDCLVUFRK-HUUCEWRRSA-N 0 0 426.543 -0.166 20 0 IBADRN CNC(=O)[C@H]1CN(Cn2nc(N3CCOCC3)n(C[C@@H]3CCCO3)c2=S)CCO1 ZINC000781575977 1072969972 /nfs/dbraw/zinc/96/99/72/1072969972.db2.gz QOAQCRDCLVUFRK-LSDHHAIUSA-N 0 0 426.543 -0.166 20 0 IBADRN CNC(=O)[C@H]1CN(CN2C(=O)N[C@](C)(c3cccc(N4CCOC4=O)c3)C2=O)CCO1 ZINC000781577634 1072969661 /nfs/dbraw/zinc/96/96/61/1072969661.db2.gz VRTHRWMEXBWSQQ-FOIQADDNSA-N 0 0 431.449 -0.186 20 0 IBADRN CNC(=O)[C@@H]1CN(CN2C(=O)N[C@](C)(c3cccc(N4CCOC4=O)c3)C2=O)CCO1 ZINC000781577635 1072969932 /nfs/dbraw/zinc/96/99/32/1072969932.db2.gz VRTHRWMEXBWSQQ-MGPUTAFESA-N 0 0 431.449 -0.186 20 0 IBADRN CNC(=O)[C@H]1CN(CN2C(=O)N[C@@](C)(c3cccc(N4CCOC4=O)c3)C2=O)CCO1 ZINC000781577636 1072969685 /nfs/dbraw/zinc/96/96/85/1072969685.db2.gz VRTHRWMEXBWSQQ-QRWLVFNGSA-N 0 0 431.449 -0.186 20 0 IBADRN CNC(=O)[C@@H]1CN(CN2C(=O)N[C@@](C)(c3cccc(N4CCOC4=O)c3)C2=O)CCO1 ZINC000781577637 1072969671 /nfs/dbraw/zinc/96/96/71/1072969671.db2.gz VRTHRWMEXBWSQQ-YWZLYKJASA-N 0 0 431.449 -0.186 20 0 IBADRN C[C@H](COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)c2ccccc2C1=O ZINC000781682255 1072971019 /nfs/dbraw/zinc/97/10/19/1072971019.db2.gz LHVGPNPUXFJOOD-LLVKDONJSA-N 0 0 425.401 -0.338 20 0 IBADRN C[C@H](COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)N1C(=O)c2ccccc2C1=O ZINC000781684732 1072970878 /nfs/dbraw/zinc/97/08/78/1072970878.db2.gz KGMYINQMNRIZIQ-LLVKDONJSA-N 0 0 425.401 -0.338 20 0 IBADRN Cc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1F ZINC000781691087 1072970896 /nfs/dbraw/zinc/97/08/96/1072970896.db2.gz BFGXRBSEQVNHDQ-CYBMUJFWSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1F ZINC000781691088 1072970844 /nfs/dbraw/zinc/97/08/44/1072970844.db2.gz BFGXRBSEQVNHDQ-ZDUSSCGKSA-N 0 0 440.540 -0.043 20 0 IBADRN NC(=O)c1cccc(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000781723159 1072970923 /nfs/dbraw/zinc/97/09/23/1072970923.db2.gz CDBTXGCJZIPGAN-UHFFFAOYSA-N 0 0 448.457 -0.003 20 0 IBADRN NC(=O)c1cccc(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000781723301 1072970760 /nfs/dbraw/zinc/97/07/60/1072970760.db2.gz RQWRLVRGUGQOPO-UHFFFAOYSA-N 0 0 448.457 -0.003 20 0 IBADRN NC(=O)c1cccc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000781725085 1072971053 /nfs/dbraw/zinc/97/10/53/1072971053.db2.gz UDDGHEVYZWLUFH-UHFFFAOYSA-N 0 0 432.458 -0.130 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)c2cccc(C(N)=O)n2)c(N)n(Cc2ccccc2)c1=O ZINC000781725881 1072970973 /nfs/dbraw/zinc/97/09/73/1072970973.db2.gz CZHWJWHYABCBRM-UHFFFAOYSA-N 0 0 437.412 -0.289 20 0 IBADRN NC(=O)c1cccc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC000781727883 1072971586 /nfs/dbraw/zinc/97/15/86/1072971586.db2.gz UXDHYMXHHNDJCK-CHWSQXEVSA-N 0 0 425.463 -0.468 20 0 IBADRN NC(=O)c1cccc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC000781727885 1072971539 /nfs/dbraw/zinc/97/15/39/1072971539.db2.gz UXDHYMXHHNDJCK-OLZOCXBDSA-N 0 0 425.463 -0.468 20 0 IBADRN NC(=O)c1cccc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC000781727887 1072971644 /nfs/dbraw/zinc/97/16/44/1072971644.db2.gz UXDHYMXHHNDJCK-QWHCGFSZSA-N 0 0 425.463 -0.468 20 0 IBADRN NC(=O)c1cccc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC000781727889 1072971666 /nfs/dbraw/zinc/97/16/66/1072971666.db2.gz UXDHYMXHHNDJCK-STQMWFEESA-N 0 0 425.463 -0.468 20 0 IBADRN COC1(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)C3(OC)CCC3)CC2)CCC1 ZINC000781729065 1072971505 /nfs/dbraw/zinc/97/15/05/1072971505.db2.gz DSIDAPNUVUHMOD-UHFFFAOYSA-N 0 0 426.466 -0.118 20 0 IBADRN COc1ccc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nc1Br ZINC000781755663 1072973135 /nfs/dbraw/zinc/97/31/35/1072973135.db2.gz YPKQDNMWABFYHP-UHFFFAOYSA-N 0 0 427.211 -0.128 20 0 IBADRN COc1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1-n1cnnn1 ZINC000781756888 1072973223 /nfs/dbraw/zinc/97/32/23/1072973223.db2.gz AAMLLPUTIKDUMJ-UHFFFAOYSA-N 0 0 426.393 -0.725 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2Br)CCO1 ZINC000781760102 1072973153 /nfs/dbraw/zinc/97/31/53/1072973153.db2.gz VBPBPKUPCANVCJ-LLVKDONJSA-N 0 0 441.325 -0.012 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2Br)CCO1 ZINC000781760103 1072973233 /nfs/dbraw/zinc/97/32/33/1072973233.db2.gz VBPBPKUPCANVCJ-NSHDSACASA-N 0 0 441.325 -0.012 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)NC3(CCCCC3)C1=O)c(=O)n2C ZINC000781761753 1072973288 /nfs/dbraw/zinc/97/32/88/1072973288.db2.gz WKNGRUMKOUCQRF-UHFFFAOYSA-N 0 0 446.464 -0.378 20 0 IBADRN COc1ccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc1-n1cnnn1 ZINC000781779285 1072973118 /nfs/dbraw/zinc/97/31/18/1072973118.db2.gz FUGJSGGRFXGEGE-UHFFFAOYSA-N 0 0 440.420 -0.335 20 0 IBADRN COc1ccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1-n1cnnn1 ZINC000781780424 1072973240 /nfs/dbraw/zinc/97/32/40/1072973240.db2.gz SOEFVYSIUTYPPX-UHFFFAOYSA-N 0 0 426.455 -0.775 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1-n1cnnn1 ZINC000781781359 1072973306 /nfs/dbraw/zinc/97/33/06/1072973306.db2.gz YNLLDLSZZCNSPW-UHFFFAOYSA-N 0 0 431.453 -0.940 20 0 IBADRN COc1ccc(C(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1-n1cnnn1 ZINC000781802931 1072973701 /nfs/dbraw/zinc/97/37/01/1072973701.db2.gz RTVBQULXMYBITQ-UHFFFAOYSA-N 0 0 426.455 -0.664 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)c1=O ZINC000781886951 1072974252 /nfs/dbraw/zinc/97/42/52/1072974252.db2.gz CYZZYYMXTJSYSZ-UHFFFAOYSA-N 0 0 446.551 -0.029 20 0 IBADRN COC(=O)C1(CNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCOCC1 ZINC000781894008 1072974175 /nfs/dbraw/zinc/97/41/75/1072974175.db2.gz CCDMLLTXGMWKKO-UHFFFAOYSA-N 0 0 442.270 -0.022 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000781904860 1072974291 /nfs/dbraw/zinc/97/42/91/1072974291.db2.gz LMDMILKZBHCQPR-KRWDZBQOSA-N 0 0 432.477 -0.103 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000781904861 1072974281 /nfs/dbraw/zinc/97/42/81/1072974281.db2.gz LMDMILKZBHCQPR-QGZVFWFLSA-N 0 0 432.477 -0.103 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)NC1CCN(C(=O)OCC)CC1 ZINC000781905457 1072974111 /nfs/dbraw/zinc/97/41/11/1072974111.db2.gz OXNWVPGRUIQKSL-HNNXBMFYSA-N 0 0 428.486 -0.896 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)NC1CCN(C(=O)OCC)CC1 ZINC000781905474 1072974244 /nfs/dbraw/zinc/97/42/44/1072974244.db2.gz OXNWVPGRUIQKSL-OAHLLOKOSA-N 0 0 428.486 -0.896 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)NCc1ccc(N2CCCC2=O)cc1 ZINC000781906569 1072974184 /nfs/dbraw/zinc/97/41/84/1072974184.db2.gz BYQRVTAUPJYGJU-GOSISDBHSA-N 0 0 446.504 -0.190 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)NCc1ccc(N2CCCC2=O)cc1 ZINC000781906573 1072974102 /nfs/dbraw/zinc/97/41/02/1072974102.db2.gz BYQRVTAUPJYGJU-SFHVURJKSA-N 0 0 446.504 -0.190 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000781906892 1072974142 /nfs/dbraw/zinc/97/41/42/1072974142.db2.gz GLUCURHIXODFDC-HNNXBMFYSA-N 0 0 427.479 -0.591 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC000781906893 1072974191 /nfs/dbraw/zinc/97/41/91/1072974191.db2.gz GLUCURHIXODFDC-OAHLLOKOSA-N 0 0 427.479 -0.591 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CCO[C@H](C(=O)NC)C2)CC1 ZINC000781928154 1072974197 /nfs/dbraw/zinc/97/41/97/1072974197.db2.gz KTESGDYJQVLUPL-HNNXBMFYSA-N 0 0 427.502 -0.340 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CCO[C@@H](C(=O)NC)C2)CC1 ZINC000781928155 1072974161 /nfs/dbraw/zinc/97/41/61/1072974161.db2.gz KTESGDYJQVLUPL-OAHLLOKOSA-N 0 0 427.502 -0.340 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nc1Br ZINC000781934708 1072974792 /nfs/dbraw/zinc/97/47/92/1072974792.db2.gz LDUIAQHZCVLKBX-UHFFFAOYSA-N 0 0 441.282 -0.004 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000781936608 1072974748 /nfs/dbraw/zinc/97/47/48/1072974748.db2.gz CKDDAWNLVTYXQY-HOCLYGCPSA-N 0 0 444.941 -0.470 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000781936609 1072974803 /nfs/dbraw/zinc/97/48/03/1072974803.db2.gz CKDDAWNLVTYXQY-ZBFHGGJFSA-N 0 0 444.941 -0.470 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CC(S(=O)(=O)NC3CCCC3)C2)CC1 ZINC000781938574 1072974619 /nfs/dbraw/zinc/97/46/19/1072974619.db2.gz ZFJMHEDZHROUSN-UHFFFAOYSA-N 0 0 436.600 -0.249 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)CCO1 ZINC000781939143 1072974776 /nfs/dbraw/zinc/97/47/76/1072974776.db2.gz OMOSVWYKVNNJPG-HNNXBMFYSA-N 0 0 427.479 -0.569 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)CCO1 ZINC000781939163 1072974717 /nfs/dbraw/zinc/97/47/17/1072974717.db2.gz OMOSVWYKVNNJPG-OAHLLOKOSA-N 0 0 427.479 -0.569 20 0 IBADRN Cc1ccc(F)cc1C(=O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000781948977 1072974648 /nfs/dbraw/zinc/97/46/48/1072974648.db2.gz GCPPWXNGQDDJEW-AWEZNQCLSA-N 0 0 432.495 -0.022 20 0 IBADRN Cc1ccc(F)cc1C(=O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000781948978 1072974813 /nfs/dbraw/zinc/97/48/13/1072974813.db2.gz GCPPWXNGQDDJEW-CQSZACIVSA-N 0 0 432.495 -0.022 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000781954553 1072974822 /nfs/dbraw/zinc/97/48/22/1072974822.db2.gz HRZAACPCXGZGHN-HZUJVAHNSA-N 0 0 432.477 -0.041 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000781954557 1072974709 /nfs/dbraw/zinc/97/47/09/1072974709.db2.gz HRZAACPCXGZGHN-KUDFPVQQSA-N 0 0 432.477 -0.041 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000781954560 1072974743 /nfs/dbraw/zinc/97/47/43/1072974743.db2.gz HRZAACPCXGZGHN-LDBYXDLTSA-N 0 0 432.477 -0.041 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000781954562 1072974665 /nfs/dbraw/zinc/97/46/65/1072974665.db2.gz HRZAACPCXGZGHN-WJPUGNRLSA-N 0 0 432.477 -0.041 20 0 IBADRN COCc1noc(CN2CCN(CC(=O)NN3C(=O)NC4(CCC(C)CC4)C3=O)CC2)n1 ZINC000781955892 1072974817 /nfs/dbraw/zinc/97/48/17/1072974817.db2.gz BHIRUNNBAAHVSX-UHFFFAOYSA-N 0 0 449.512 -0.135 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000781958175 1072975175 /nfs/dbraw/zinc/97/51/75/1072975175.db2.gz KNYFFTSUAHWGQX-DKICVRJWSA-N 0 0 434.449 -0.508 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000781958176 1072975092 /nfs/dbraw/zinc/97/50/92/1072975092.db2.gz KNYFFTSUAHWGQX-IIMJZQEZSA-N 0 0 434.449 -0.508 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000781958177 1072975071 /nfs/dbraw/zinc/97/50/71/1072975071.db2.gz KNYFFTSUAHWGQX-PTSWNOGYSA-N 0 0 434.449 -0.508 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000781958178 1072975231 /nfs/dbraw/zinc/97/52/31/1072975231.db2.gz KNYFFTSUAHWGQX-UVFQYZLESA-N 0 0 434.449 -0.508 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000781959260 1072975105 /nfs/dbraw/zinc/97/51/05/1072975105.db2.gz TUISZOZPXUJJMO-DKICVRJWSA-N 0 0 434.449 -0.508 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000781959261 1072975304 /nfs/dbraw/zinc/97/53/04/1072975304.db2.gz TUISZOZPXUJJMO-IIMJZQEZSA-N 0 0 434.449 -0.508 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000781959262 1072975386 /nfs/dbraw/zinc/97/53/86/1072975386.db2.gz TUISZOZPXUJJMO-PTSWNOGYSA-N 0 0 434.449 -0.508 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000781959263 1072975296 /nfs/dbraw/zinc/97/52/96/1072975296.db2.gz TUISZOZPXUJJMO-UVFQYZLESA-N 0 0 434.449 -0.508 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2c(F)c(F)c(F)c(F)c2F)CC1)S(N)(=O)=O ZINC000781960313 1072975343 /nfs/dbraw/zinc/97/53/43/1072975343.db2.gz GKVJPPBWAZKUBV-SSDOTTSWSA-N 0 0 444.382 -0.176 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2c(F)c(F)c(F)c(F)c2F)CC1)S(N)(=O)=O ZINC000781960314 1072975284 /nfs/dbraw/zinc/97/52/84/1072975284.db2.gz GKVJPPBWAZKUBV-ZETCQYMHSA-N 0 0 444.382 -0.176 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000781961446 1072975152 /nfs/dbraw/zinc/97/51/52/1072975152.db2.gz GSNWWUFIAMRPBY-UHFFFAOYSA-N 0 0 443.485 -0.074 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn(C)c1=O ZINC000781961992 1072975892 /nfs/dbraw/zinc/97/58/92/1072975892.db2.gz JWIIDVCVMGAWDI-UHFFFAOYSA-N 0 0 443.485 -0.074 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)ccc1=O ZINC000781962060 1072975878 /nfs/dbraw/zinc/97/58/78/1072975878.db2.gz KXDAZLKYLODIJY-UHFFFAOYSA-N 0 0 429.458 -0.383 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn(C)c1=O ZINC000781967003 1072975789 /nfs/dbraw/zinc/97/57/89/1072975789.db2.gz FYYCVLJTBLRFNF-UHFFFAOYSA-N 0 0 435.481 -0.172 20 0 IBADRN Cc1cc(=O)n(C)cc1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000781967814 1072975801 /nfs/dbraw/zinc/97/58/01/1072975801.db2.gz ONPLYBXVUTUMBI-UHFFFAOYSA-N 0 0 435.481 -0.172 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@](O)(c1ccc(Cl)cc1)C(F)(F)F)S(N)(=O)=O ZINC000781967921 1072975898 /nfs/dbraw/zinc/97/58/98/1072975898.db2.gz SKKFEYZLWFFEAP-AMIZOPFISA-N 0 0 431.820 -0.001 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@](O)(c1ccc(Cl)cc1)C(F)(F)F)S(N)(=O)=O ZINC000781967922 1072975703 /nfs/dbraw/zinc/97/57/03/1072975703.db2.gz SKKFEYZLWFFEAP-ISVAXAHUSA-N 0 0 431.820 -0.001 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@](O)(c1ccc(Cl)cc1)C(F)(F)F)S(N)(=O)=O ZINC000781967923 1072975840 /nfs/dbraw/zinc/97/58/40/1072975840.db2.gz SKKFEYZLWFFEAP-OQPBUACISA-N 0 0 431.820 -0.001 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@](O)(c1ccc(Cl)cc1)C(F)(F)F)S(N)(=O)=O ZINC000781967924 1072975750 /nfs/dbraw/zinc/97/57/50/1072975750.db2.gz SKKFEYZLWFFEAP-SDBXPKJASA-N 0 0 431.820 -0.001 20 0 IBADRN O=C(COC(=O)c1cc(I)ccc1-n1cnnn1)N1CCNC1=O ZINC000781968889 1072975720 /nfs/dbraw/zinc/97/57/20/1072975720.db2.gz CRRRQLTUQPPVIO-UHFFFAOYSA-N 0 0 442.173 -0.025 20 0 IBADRN CNC(=O)NC(=O)COC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000781969338 1072975692 /nfs/dbraw/zinc/97/56/92/1072975692.db2.gz GLMRXPDIBARFKN-UHFFFAOYSA-N 0 0 430.162 -0.121 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000781971012 1071434804 /nfs/dbraw/zinc/43/48/04/1071434804.db2.gz SJFXVSPLQKKQIF-JSGCOSHPSA-N 0 0 439.943 -0.481 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC000781971015 1072975831 /nfs/dbraw/zinc/97/58/31/1072975831.db2.gz SJFXVSPLQKKQIF-OCCSQVGLSA-N 0 0 439.943 -0.481 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1cc(I)ccc1-n1cnnn1 ZINC000781971642 1072975869 /nfs/dbraw/zinc/97/58/69/1072975869.db2.gz STTLHLQAYZJEDJ-UHFFFAOYSA-N 0 0 445.173 -0.287 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)NC(CO)CO)sc2c1CCN(C(=O)OCC)C2 ZINC000781973443 1072976383 /nfs/dbraw/zinc/97/63/83/1072976383.db2.gz HQDDJRQXUVBRNG-UHFFFAOYSA-N 0 0 443.478 -0.153 20 0 IBADRN NS(=O)(=O)CCNC(=O)C(=O)Nc1cnc(Br)c(Br)c1 ZINC000781973517 1072976389 /nfs/dbraw/zinc/97/63/89/1072976389.db2.gz ITDGDJXVBAGFNO-UHFFFAOYSA-N 0 0 430.078 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ncc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2C1 ZINC000781975001 1072976258 /nfs/dbraw/zinc/97/62/58/1072976258.db2.gz PKGZVVXOMUFSJZ-UHFFFAOYSA-N 0 0 427.483 -0.282 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC3(CNC(=O)O3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000781991115 1072976403 /nfs/dbraw/zinc/97/64/03/1072976403.db2.gz CCERSEAWPMHHPT-UHFFFAOYSA-N 0 0 427.461 -0.065 20 0 IBADRN COC(=O)C1(CNC(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CCOCC1 ZINC000781995624 1072976274 /nfs/dbraw/zinc/97/62/74/1072976274.db2.gz QFFJSQGKBVTGKH-UHFFFAOYSA-N 0 0 443.478 -0.107 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000782000892 1072976393 /nfs/dbraw/zinc/97/63/93/1072976393.db2.gz UROQNBVBSJZACZ-UHFFFAOYSA-N 0 0 428.463 -0.114 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)Nc2cc(Cl)ccc2OCCS(C)(=O)=O)CCO1 ZINC000782004743 1072976298 /nfs/dbraw/zinc/97/62/98/1072976298.db2.gz MPMUKCADCLWNDP-AWEZNQCLSA-N 0 0 447.897 -0.325 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)Nc2cc(Cl)ccc2OCCS(C)(=O)=O)CCO1 ZINC000782004744 1072976361 /nfs/dbraw/zinc/97/63/61/1072976361.db2.gz MPMUKCADCLWNDP-CQSZACIVSA-N 0 0 447.897 -0.325 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000782047757 1072976308 /nfs/dbraw/zinc/97/63/08/1072976308.db2.gz HVFRVCSHPOTBAN-UHFFFAOYSA-N 0 0 428.463 -0.114 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CC(S(=O)(=O)NC2CCCC2)C1 ZINC000782052512 1072976343 /nfs/dbraw/zinc/97/63/43/1072976343.db2.gz UWONXUOGCCJODN-UHFFFAOYSA-N 0 0 427.504 -0.096 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCCCCCCC(=O)N2CCO[C@H](C(=O)NC)C2)CCO1 ZINC000782085827 1072976368 /nfs/dbraw/zinc/97/63/68/1072976368.db2.gz NUBGRGDRIIKZDP-CALCHBBNSA-N 0 0 440.541 -0.336 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCCCCCCC(=O)N2CCO[C@@H](C(=O)NC)C2)CCO1 ZINC000782085829 1072976243 /nfs/dbraw/zinc/97/62/43/1072976243.db2.gz NUBGRGDRIIKZDP-IAGOWNOFSA-N 0 0 440.541 -0.336 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CCCCCCCC(=O)N2CCO[C@H](C(=O)NC)C2)CCO1 ZINC000782085830 1072976269 /nfs/dbraw/zinc/97/62/69/1072976269.db2.gz NUBGRGDRIIKZDP-IRXDYDNUSA-N 0 0 440.541 -0.336 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000782087731 1072976216 /nfs/dbraw/zinc/97/62/16/1072976216.db2.gz PDVJOEQJKPFEHU-UHFFFAOYSA-N 0 0 443.478 -0.651 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)CCO1 ZINC000782088302 1072976398 /nfs/dbraw/zinc/97/63/98/1072976398.db2.gz LJVUIMFHKXGHFF-DZGCQCFKSA-N 0 0 437.474 -0.403 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)CCO1 ZINC000782088304 1072976353 /nfs/dbraw/zinc/97/63/53/1072976353.db2.gz LJVUIMFHKXGHFF-HIFRSBDPSA-N 0 0 437.474 -0.403 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)CCO1 ZINC000782088305 1072976811 /nfs/dbraw/zinc/97/68/11/1072976811.db2.gz LJVUIMFHKXGHFF-UKRRQHHQSA-N 0 0 437.474 -0.403 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)CCO1 ZINC000782088306 1072976879 /nfs/dbraw/zinc/97/68/79/1072976879.db2.gz LJVUIMFHKXGHFF-ZFWWWQNUSA-N 0 0 437.474 -0.403 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2nnn(Cc3noc(C)n3)c2C)CC1 ZINC000782089309 1072977011 /nfs/dbraw/zinc/97/70/11/1072977011.db2.gz XGBKSPORKSIPJJ-UHFFFAOYSA-N 0 0 426.503 -0.329 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)CCO1 ZINC000782090124 1072976892 /nfs/dbraw/zinc/97/68/92/1072976892.db2.gz SPIPJFAMXBQMHA-HNNXBMFYSA-N 0 0 431.898 -0.052 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)CCO1 ZINC000782090128 1072976851 /nfs/dbraw/zinc/97/68/51/1072976851.db2.gz SPIPJFAMXBQMHA-OAHLLOKOSA-N 0 0 431.898 -0.052 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)CCO1 ZINC000782097552 1072976837 /nfs/dbraw/zinc/97/68/37/1072976837.db2.gz FQCXPSUXIOPQML-AHSSXEFNSA-N 0 0 433.490 -0.319 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)CCO1 ZINC000782097561 1072976872 /nfs/dbraw/zinc/97/68/72/1072976872.db2.gz FQCXPSUXIOPQML-BUYRESAOSA-N 0 0 433.490 -0.319 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)CCO1 ZINC000782097568 1072976942 /nfs/dbraw/zinc/97/69/42/1072976942.db2.gz FQCXPSUXIOPQML-UWZYDCLUSA-N 0 0 433.490 -0.319 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)CCO1 ZINC000782097571 1072976741 /nfs/dbraw/zinc/97/67/41/1072976741.db2.gz FQCXPSUXIOPQML-WJQTUHTMSA-N 0 0 433.490 -0.319 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)CCO1 ZINC000782102039 1072976758 /nfs/dbraw/zinc/97/67/58/1072976758.db2.gz VIYJBAVLHBOWFV-GAVDFCLNSA-N 0 0 436.534 -0.422 20 0 IBADRN CNC(=O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)CCO1 ZINC000782102040 1072977042 /nfs/dbraw/zinc/97/70/42/1072977042.db2.gz VIYJBAVLHBOWFV-SPJASCBJSA-N 0 0 436.534 -0.422 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)CCO1 ZINC000782102041 1072976928 /nfs/dbraw/zinc/97/69/28/1072976928.db2.gz VIYJBAVLHBOWFV-WMBZCPDOSA-N 0 0 436.534 -0.422 20 0 IBADRN CNC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)CCO1 ZINC000782102042 1072977051 /nfs/dbraw/zinc/97/70/51/1072977051.db2.gz VIYJBAVLHBOWFV-XPUDNMOSSA-N 0 0 436.534 -0.422 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(N2CCN(C(C)=O)CC2)c1 ZINC000782107026 1072977026 /nfs/dbraw/zinc/97/70/26/1072977026.db2.gz FPFZNHMDVXVENY-UHFFFAOYSA-N 0 0 425.511 -0.651 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1CN1CCN(C(C)=O)CC1 ZINC000782107569 1072976818 /nfs/dbraw/zinc/97/68/18/1072976818.db2.gz LBJDILUXYTVDFV-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(OC)c1 ZINC000782107640 1072976775 /nfs/dbraw/zinc/97/67/75/1072976775.db2.gz RICFBRUMIMMLON-UHFFFAOYSA-N 0 0 429.451 -0.802 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(CN2CCN(C(C)=O)CC2)c1 ZINC000782109183 1072976903 /nfs/dbraw/zinc/97/69/03/1072976903.db2.gz XYWHJNPCZZKFCC-UHFFFAOYSA-N 0 0 439.538 -0.655 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)OC[C@@H]3C2)CC1 ZINC000782114656 1072976986 /nfs/dbraw/zinc/97/69/86/1072976986.db2.gz KFAHJNSDKSHYCQ-KRWDZBQOSA-N 0 0 429.477 -0.048 20 0 IBADRN CC(=O)N1CCN(Cc2ccccc2NC(=O)C(=O)N2CCN3C(=O)OC[C@H]3C2)CC1 ZINC000782114657 1072976788 /nfs/dbraw/zinc/97/67/88/1072976788.db2.gz KFAHJNSDKSHYCQ-QGZVFWFLSA-N 0 0 429.477 -0.048 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)c2)CC1 ZINC000782116121 1072976960 /nfs/dbraw/zinc/97/69/60/1072976960.db2.gz ZCXISEUWONUQJC-GOSISDBHSA-N 0 0 429.477 -0.048 20 0 IBADRN CC(=O)N1CCN(Cc2cccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)c2)CC1 ZINC000782116123 1072977468 /nfs/dbraw/zinc/97/74/68/1072977468.db2.gz ZCXISEUWONUQJC-SFHVURJKSA-N 0 0 429.477 -0.048 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NN1CN=NC1=O ZINC000782125712 1072977540 /nfs/dbraw/zinc/97/75/40/1072977540.db2.gz MVSFRHHICZJHJM-UHFFFAOYSA-N 0 0 426.430 -0.494 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NN3CN=NC3=O)CC2)cc1 ZINC000782128999 1072977312 /nfs/dbraw/zinc/97/73/12/1072977312.db2.gz QFLRNTDEZMJDNE-UHFFFAOYSA-N 0 0 438.466 -0.624 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(OC)c(OC)cc1C(=O)N(C)C ZINC000782133558 1072977550 /nfs/dbraw/zinc/97/75/50/1072977550.db2.gz AIUAPUHEHMXJCU-BBRMVZONSA-N 0 0 437.449 -0.131 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(OC)c(OC)cc1C(=O)N(C)C ZINC000782133559 1072977453 /nfs/dbraw/zinc/97/74/53/1072977453.db2.gz AIUAPUHEHMXJCU-CJNGLKHVSA-N 0 0 437.449 -0.131 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cc(OC)c(OC)cc1C(=O)N(C)C ZINC000782133560 1072977504 /nfs/dbraw/zinc/97/75/04/1072977504.db2.gz AIUAPUHEHMXJCU-CZUORRHYSA-N 0 0 437.449 -0.131 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cc(OC)c(OC)cc1C(=O)N(C)C ZINC000782133561 1072977534 /nfs/dbraw/zinc/97/75/34/1072977534.db2.gz AIUAPUHEHMXJCU-XJKSGUPXSA-N 0 0 437.449 -0.131 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000782135120 1072977361 /nfs/dbraw/zinc/97/73/61/1072977361.db2.gz IUHLVOWCNXLYPX-CVEARBPZSA-N 0 0 439.490 -0.649 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000782135143 1072977441 /nfs/dbraw/zinc/97/74/41/1072977441.db2.gz IUHLVOWCNXLYPX-HOTGVXAUSA-N 0 0 439.490 -0.649 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000782135149 1072977507 /nfs/dbraw/zinc/97/75/07/1072977507.db2.gz IUHLVOWCNXLYPX-HZPDHXFCSA-N 0 0 439.490 -0.649 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000782135155 1072977384 /nfs/dbraw/zinc/97/73/84/1072977384.db2.gz IUHLVOWCNXLYPX-JKSUJKDBSA-N 0 0 439.490 -0.649 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)Nc3ccc(-n4nccn4)nc3)CC2)n1 ZINC000782135623 1072977527 /nfs/dbraw/zinc/97/75/27/1072977527.db2.gz JBQRHDVTOVLEAG-UHFFFAOYSA-N 0 0 427.425 -0.525 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)c3ncn(C)n3)cc2)CC1 ZINC000782136319 1072977418 /nfs/dbraw/zinc/97/74/18/1072977418.db2.gz LJOMCMWXOXJXBR-AWEZNQCLSA-N 0 0 429.481 -0.074 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)c3ncn(C)n3)cc2)CC1 ZINC000782136320 1072977500 /nfs/dbraw/zinc/97/75/00/1072977500.db2.gz LJOMCMWXOXJXBR-CQSZACIVSA-N 0 0 429.481 -0.074 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000782137298 1072977408 /nfs/dbraw/zinc/97/74/08/1072977408.db2.gz OQUUGRBYRUXMNY-CABCVRRESA-N 0 0 428.463 -0.427 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000782137299 1072977345 /nfs/dbraw/zinc/97/73/45/1072977345.db2.gz OQUUGRBYRUXMNY-GJZGRUSLSA-N 0 0 428.463 -0.427 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000782137300 1072977516 /nfs/dbraw/zinc/97/75/16/1072977516.db2.gz OQUUGRBYRUXMNY-HUUCEWRRSA-N 0 0 428.463 -0.427 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1cccc(OCCS(C)(=O)=O)c1 ZINC000782137301 1072977432 /nfs/dbraw/zinc/97/74/32/1072977432.db2.gz OQUUGRBYRUXMNY-LSDHHAIUSA-N 0 0 428.463 -0.427 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000782138485 1072977902 /nfs/dbraw/zinc/97/79/02/1072977902.db2.gz RWZNZFPCPSWFGT-KBPBESRZSA-N 0 0 429.451 -0.668 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000782138486 1072977878 /nfs/dbraw/zinc/97/78/78/1072977878.db2.gz RWZNZFPCPSWFGT-KGLIPLIRSA-N 0 0 429.451 -0.668 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000782138487 1072977815 /nfs/dbraw/zinc/97/78/15/1072977815.db2.gz RWZNZFPCPSWFGT-UONOGXRCSA-N 0 0 429.451 -0.668 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)N(C)OC)cc1 ZINC000782138488 1072977873 /nfs/dbraw/zinc/97/78/73/1072977873.db2.gz RWZNZFPCPSWFGT-ZIAGYGMSSA-N 0 0 429.451 -0.668 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000782139780 1072977836 /nfs/dbraw/zinc/97/78/36/1072977836.db2.gz XJOQXIIRHXJNFK-GDBMZVCRSA-N 0 0 438.868 -0.260 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000782139789 1072977894 /nfs/dbraw/zinc/97/78/94/1072977894.db2.gz XJOQXIIRHXJNFK-GOEBONIOSA-N 0 0 438.868 -0.260 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000782139792 1072977929 /nfs/dbraw/zinc/97/79/29/1072977929.db2.gz XJOQXIIRHXJNFK-HOCLYGCPSA-N 0 0 438.868 -0.260 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000782139796 1072977994 /nfs/dbraw/zinc/97/79/94/1072977994.db2.gz XJOQXIIRHXJNFK-ZBFHGGJFSA-N 0 0 438.868 -0.260 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCC(C(N)=O)CC2)cc1 ZINC000782139875 1072977910 /nfs/dbraw/zinc/97/79/10/1072977910.db2.gz YDAHUWKFIJGVSO-DLBZAZTESA-N 0 0 432.477 -0.148 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCC(C(N)=O)CC2)cc1 ZINC000782139880 1072977918 /nfs/dbraw/zinc/97/79/18/1072977918.db2.gz YDAHUWKFIJGVSO-IAGOWNOFSA-N 0 0 432.477 -0.148 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCC(C(N)=O)CC2)cc1 ZINC000782139884 1072978052 /nfs/dbraw/zinc/97/80/52/1072978052.db2.gz YDAHUWKFIJGVSO-IRXDYDNUSA-N 0 0 432.477 -0.148 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCC(C(N)=O)CC2)cc1 ZINC000782139889 1072978022 /nfs/dbraw/zinc/97/80/22/1072978022.db2.gz YDAHUWKFIJGVSO-SJORKVTESA-N 0 0 432.477 -0.148 20 0 IBADRN O=C(OCCN1CCN(S(=O)(=O)C2CC2)CC1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000782147695 1072978002 /nfs/dbraw/zinc/97/80/02/1072978002.db2.gz VLEDNGHINUFGKX-UHFFFAOYSA-N 0 0 444.535 -0.863 20 0 IBADRN O=C(CCn1nnc2ccccc2c1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000782147961 1072978033 /nfs/dbraw/zinc/97/80/33/1072978033.db2.gz ZMIDAHDAVZMXBI-UHFFFAOYSA-N 0 0 435.506 -0.165 20 0 IBADRN COc1ccc(OC)c2c1CN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C[C@@H]2O ZINC000782149061 1072977933 /nfs/dbraw/zinc/97/79/33/1072977933.db2.gz OQVSISCPIJTHFO-AAEUAGOBSA-N 0 0 427.479 -0.434 20 0 IBADRN COc1ccc(OC)c2c1CN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C[C@H]2O ZINC000782149062 1072978011 /nfs/dbraw/zinc/97/80/11/1072978011.db2.gz OQVSISCPIJTHFO-DGCLKSJQSA-N 0 0 427.479 -0.434 20 0 IBADRN COc1ccc(OC)c2c1CN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C[C@H]2O ZINC000782149063 1072977851 /nfs/dbraw/zinc/97/78/51/1072977851.db2.gz OQVSISCPIJTHFO-WCQYABFASA-N 0 0 427.479 -0.434 20 0 IBADRN COc1ccc(OC)c2c1CN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C[C@@H]2O ZINC000782149064 1072977885 /nfs/dbraw/zinc/97/78/85/1072977885.db2.gz OQVSISCPIJTHFO-YPMHNXCESA-N 0 0 427.479 -0.434 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN(CCO)C(C)(C)C1 ZINC000782156293 1072977964 /nfs/dbraw/zinc/97/79/64/1072977964.db2.gz BPRNEAJPTHOOBC-UHFFFAOYSA-N 0 0 438.550 -0.259 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)cc1 ZINC000782157347 1072977938 /nfs/dbraw/zinc/97/79/38/1072977938.db2.gz KUQRGCGHLJGDJH-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN CC1(C)CN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CCN1CCO ZINC000782159597 1072978417 /nfs/dbraw/zinc/97/84/17/1072978417.db2.gz YDSZIJLICJLOPT-UHFFFAOYSA-N 0 0 427.523 -0.036 20 0 IBADRN COC(CN(CCCO)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)OC ZINC000782174978 1072978484 /nfs/dbraw/zinc/97/84/84/1072978484.db2.gz AAPCXMRZAZULGB-UHFFFAOYSA-N 0 0 447.529 -0.038 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)NC2CC2)cc1 ZINC000782178409 1072978611 /nfs/dbraw/zinc/97/86/11/1072978611.db2.gz VLJCZAAFCIDOJH-UHFFFAOYSA-N 0 0 427.435 -0.327 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000782179055 1072978641 /nfs/dbraw/zinc/97/86/41/1072978641.db2.gz DKTQOLDIAKMXPG-UHFFFAOYSA-N 0 0 439.490 -0.209 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000782199695 1072978530 /nfs/dbraw/zinc/97/85/30/1072978530.db2.gz WXFNWJNHDRSAPM-UHFFFAOYSA-N 0 0 447.535 -0.084 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C)c1ccc(F)cc1 ZINC000782202121 1072978650 /nfs/dbraw/zinc/97/86/50/1072978650.db2.gz XPXRDFYYXWPSTO-LLVKDONJSA-N 0 0 440.453 -0.038 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C)c1ccc(F)cc1 ZINC000782202123 1072978551 /nfs/dbraw/zinc/97/85/51/1072978551.db2.gz XPXRDFYYXWPSTO-NSHDSACASA-N 0 0 440.453 -0.038 20 0 IBADRN COC(=O)[C@H]1CC(=O)N(CN2CCN(S(=O)(=O)c3cc(OC)ccc3OC)CC2)C1 ZINC000782265346 1072978602 /nfs/dbraw/zinc/97/86/02/1072978602.db2.gz CRSSDVXZPIQBFM-AWEZNQCLSA-N 0 0 441.506 -0.011 20 0 IBADRN COC(=O)[C@@H]1CC(=O)N(CN2CCN(S(=O)(=O)c3cc(OC)ccc3OC)CC2)C1 ZINC000782265347 1072978458 /nfs/dbraw/zinc/97/84/58/1072978458.db2.gz CRSSDVXZPIQBFM-CQSZACIVSA-N 0 0 441.506 -0.011 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)OCC(=O)N3CCN(c4cnccn4)CC3)cnc21 ZINC000782268776 1072979130 /nfs/dbraw/zinc/97/91/30/1072979130.db2.gz OSIAMHGVNHVRTK-UHFFFAOYSA-N 0 0 425.405 -0.670 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CN3C[C@H](C(=O)OC)CC3=O)CC2)c1 ZINC000782268968 1072979025 /nfs/dbraw/zinc/97/90/25/1072979025.db2.gz WOHOSVVEPOOHFV-GFCCVEGCSA-N 0 0 445.519 -0.180 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(CN3C[C@@H](C(=O)OC)CC3=O)CC2)c1 ZINC000782268969 1072979178 /nfs/dbraw/zinc/97/91/78/1072979178.db2.gz WOHOSVVEPOOHFV-LBPRGKRZSA-N 0 0 445.519 -0.180 20 0 IBADRN COC(=O)[C@@H]1CC(=O)N(CN2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)C1 ZINC000782269069 1072979167 /nfs/dbraw/zinc/97/91/67/1072979167.db2.gz YHDGSCVFYDBEMO-GFCCVEGCSA-N 0 0 439.519 -0.023 20 0 IBADRN COC(=O)[C@H]1CC(=O)N(CN2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)C1 ZINC000782269071 1072979108 /nfs/dbraw/zinc/97/91/08/1072979108.db2.gz YHDGSCVFYDBEMO-LBPRGKRZSA-N 0 0 439.519 -0.023 20 0 IBADRN CC(=O)c1ccc(S(C)(=O)=O)c(N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000782270574 1072979145 /nfs/dbraw/zinc/97/91/45/1072979145.db2.gz JETNNBCMXPEDIX-UHFFFAOYSA-N 0 0 444.579 -0.093 20 0 IBADRN COC(=O)[C@H]1CC(=O)N(CN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1 ZINC000782276154 1072979044 /nfs/dbraw/zinc/97/90/44/1072979044.db2.gz JXHNOPMBNFCWSU-DLBZAZTESA-N 0 0 432.525 -0.179 20 0 IBADRN COC(=O)[C@@H]1CC(=O)N(CN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1 ZINC000782276158 1072979231 /nfs/dbraw/zinc/97/92/31/1072979231.db2.gz JXHNOPMBNFCWSU-IAGOWNOFSA-N 0 0 432.525 -0.179 20 0 IBADRN COC(=O)[C@H]1CC(=O)N(CN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1 ZINC000782276162 1072979242 /nfs/dbraw/zinc/97/92/42/1072979242.db2.gz JXHNOPMBNFCWSU-IRXDYDNUSA-N 0 0 432.525 -0.179 20 0 IBADRN COC(=O)[C@@H]1CC(=O)N(CN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)C1 ZINC000782276165 1072979220 /nfs/dbraw/zinc/97/92/20/1072979220.db2.gz JXHNOPMBNFCWSU-SJORKVTESA-N 0 0 432.525 -0.179 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000782282916 1072979077 /nfs/dbraw/zinc/97/90/77/1072979077.db2.gz FKWJWEJVVVXKNZ-UHFFFAOYSA-N 0 0 434.449 -0.223 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000782286532 1072979213 /nfs/dbraw/zinc/97/92/13/1072979213.db2.gz PQESIAXVUQHNJY-HNNXBMFYSA-N 0 0 441.506 -0.873 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000782286534 1072979226 /nfs/dbraw/zinc/97/92/26/1072979226.db2.gz PQESIAXVUQHNJY-OAHLLOKOSA-N 0 0 441.506 -0.873 20 0 IBADRN Cc1cc(O)cc(=O)n1CCC(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000782287384 1072979190 /nfs/dbraw/zinc/97/91/90/1072979190.db2.gz UCBVHQUMEBHJNK-UHFFFAOYSA-N 0 0 436.509 -0.145 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(F)nc2C)c(=O)n1CC(=O)N1CCOCC1 ZINC000782304723 1072979652 /nfs/dbraw/zinc/97/96/52/1072979652.db2.gz CQLIWHFXKPHJPY-UHFFFAOYSA-N 0 0 435.477 -0.216 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1c1nccs1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000782322354 1072979380 /nfs/dbraw/zinc/97/93/80/1072979380.db2.gz QKQBSOIXURJFQT-CABCVRRESA-N 0 0 442.563 -0.014 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1c1nccs1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000782322357 1072979643 /nfs/dbraw/zinc/97/96/43/1072979643.db2.gz QKQBSOIXURJFQT-GJZGRUSLSA-N 0 0 442.563 -0.014 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1c1nccs1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000782322359 1072979349 /nfs/dbraw/zinc/97/93/49/1072979349.db2.gz QKQBSOIXURJFQT-HUUCEWRRSA-N 0 0 442.563 -0.014 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1c1nccs1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000782322362 1072979486 /nfs/dbraw/zinc/97/94/86/1072979486.db2.gz QKQBSOIXURJFQT-LSDHHAIUSA-N 0 0 442.563 -0.014 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000782330449 1072979545 /nfs/dbraw/zinc/97/95/45/1072979545.db2.gz ZPHKSMWDUKPDPA-UHFFFAOYSA-N 0 0 444.462 -0.309 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)N(C)C ZINC000782334971 1072979462 /nfs/dbraw/zinc/97/94/62/1072979462.db2.gz FQNXBARFSAHDCB-KYOSRNDESA-N 0 0 445.469 -0.914 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)N(C)C ZINC000782334988 1072979538 /nfs/dbraw/zinc/97/95/38/1072979538.db2.gz FQNXBARFSAHDCB-LNSITVRQSA-N 0 0 445.469 -0.914 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000782336164 1072979443 /nfs/dbraw/zinc/97/94/43/1072979443.db2.gz OEDHPIVUBKQLQH-BJJXKVORSA-N 0 0 430.454 -0.260 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC000782336165 1072979562 /nfs/dbraw/zinc/97/95/62/1072979562.db2.gz OEDHPIVUBKQLQH-XPKDYRNWSA-N 0 0 430.454 -0.260 20 0 IBADRN CCS(=O)(=O)NCCCOC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782336188 1072979618 /nfs/dbraw/zinc/97/96/18/1072979618.db2.gz PAFNQJGBESRLHI-HIFRSBDPSA-N 0 0 438.499 -0.178 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)NC1CCOCC1 ZINC000782337011 1072979570 /nfs/dbraw/zinc/97/95/70/1072979570.db2.gz UDSNSUIDRJKKNO-ZBFHGGJFSA-N 0 0 430.454 -0.212 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782337565 1072979394 /nfs/dbraw/zinc/97/93/94/1072979394.db2.gz WLDISTFPKNBAFY-HIFRSBDPSA-N 0 0 445.469 -0.025 20 0 IBADRN O=C(OCCN1CCCS1(=O)=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782338207 1072979517 /nfs/dbraw/zinc/97/95/17/1072979517.db2.gz ZVXIEWFHLHRBLC-HIFRSBDPSA-N 0 0 436.483 -0.472 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)n(C)c1=O ZINC000782340624 1072979604 /nfs/dbraw/zinc/97/96/04/1072979604.db2.gz CANJCQHWLKGJBS-HIFRSBDPSA-N 0 0 441.437 -0.910 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)NC(=O)NC1CC1 ZINC000782340629 1072979501 /nfs/dbraw/zinc/97/95/01/1072979501.db2.gz CJDLIRPTAYXQLT-YVLXSGLVSA-N 0 0 443.453 -0.131 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)NC(=O)NC1CC1 ZINC000782340630 1072979634 /nfs/dbraw/zinc/97/96/34/1072979634.db2.gz CJDLIRPTAYXQLT-ZBINZKHDSA-N 0 0 443.453 -0.131 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1=O ZINC000782341287 1072979945 /nfs/dbraw/zinc/97/99/45/1072979945.db2.gz IMXOWDJVRYVEEH-OCCSQVGLSA-N 0 0 443.453 -0.177 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)NC[C@H]1CCCO1 ZINC000782341771 1072980029 /nfs/dbraw/zinc/98/00/29/1072980029.db2.gz LKOUGGGNYPVPKM-FMKPAKJESA-N 0 0 430.454 -0.212 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)NC[C@@H]1CCCO1 ZINC000782341772 1072979873 /nfs/dbraw/zinc/97/98/73/1072979873.db2.gz LKOUGGGNYPVPKM-YCPHGPKFSA-N 0 0 430.454 -0.212 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)n1 ZINC000782341917 1072980057 /nfs/dbraw/zinc/98/00/57/1072980057.db2.gz NGKVFUJMZJJYPY-YPMHNXCESA-N 0 0 440.457 -0.474 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782341991 1072979994 /nfs/dbraw/zinc/97/99/94/1072979994.db2.gz OPNRXZQTICVCDZ-OCCSQVGLSA-N 0 0 431.442 -0.271 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000782342269 1072980034 /nfs/dbraw/zinc/98/00/34/1072980034.db2.gz JTUZCBFTLOBRAN-UHFFFAOYSA-N 0 0 439.490 -0.209 20 0 IBADRN CC(C)[C@@H](OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)NC(N)=O ZINC000782342362 1072980023 /nfs/dbraw/zinc/98/00/23/1072980023.db2.gz PFMQQUWAIXTTEG-KWCYVHTRSA-N 0 0 431.442 -0.288 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)NC(N)=O ZINC000782342365 1072979971 /nfs/dbraw/zinc/97/99/71/1072979971.db2.gz PFMQQUWAIXTTEG-XBFCOCLRSA-N 0 0 431.442 -0.288 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)N1CCNC1=O ZINC000782342423 1072980068 /nfs/dbraw/zinc/98/00/68/1072980068.db2.gz PUJCKAZUNIUUEY-QKCSRTOESA-N 0 0 429.426 -0.567 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)C(=O)N1CCNC1=O ZINC000782342444 1072979920 /nfs/dbraw/zinc/97/99/20/1072979920.db2.gz PUJCKAZUNIUUEY-SUHUHFCYSA-N 0 0 429.426 -0.567 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000782343176 1072980109 /nfs/dbraw/zinc/98/01/09/1072980109.db2.gz PVJCBSKNXKEVCY-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)NC(=O)NC1CC1 ZINC000782343199 1072980080 /nfs/dbraw/zinc/98/00/80/1072980080.db2.gz VIAMOIVRCHBCGC-OCCSQVGLSA-N 0 0 429.426 -0.519 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782343273 1072979909 /nfs/dbraw/zinc/97/99/09/1072979909.db2.gz WHTQHNWAWMQPFZ-QKCSRTOESA-N 0 0 431.442 -0.273 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782343274 1072980008 /nfs/dbraw/zinc/98/00/08/1072980008.db2.gz WHTQHNWAWMQPFZ-SUHUHFCYSA-N 0 0 431.442 -0.273 20 0 IBADRN O=C(OCCCN1C(=O)CNC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782343444 1072979937 /nfs/dbraw/zinc/97/99/37/1072979937.db2.gz YBYYWXBPNAKTBC-OCCSQVGLSA-N 0 0 429.426 -0.565 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782343507 1072979954 /nfs/dbraw/zinc/97/99/54/1072979954.db2.gz YPMNVSFWUXJETJ-OCCSQVGLSA-N 0 0 432.426 -0.828 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782343535 1072980120 /nfs/dbraw/zinc/98/01/20/1072980120.db2.gz YUEWZKOXQIMKQN-QKCSRTOESA-N 0 0 432.426 -0.830 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000782343551 1072979852 /nfs/dbraw/zinc/97/98/52/1072979852.db2.gz YUEWZKOXQIMKQN-SUHUHFCYSA-N 0 0 432.426 -0.830 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000782343780 1072979885 /nfs/dbraw/zinc/97/98/85/1072979885.db2.gz SPBIOZPMGHYIJF-UHFFFAOYSA-N 0 0 439.490 -0.209 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000782348007 1072980424 /nfs/dbraw/zinc/98/04/24/1072980424.db2.gz CANBCKBREDBZLZ-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000782348131 1072980447 /nfs/dbraw/zinc/98/04/47/1072980447.db2.gz KMPHQGCUDMHUSB-UHFFFAOYSA-N 0 0 443.478 -0.276 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000782348665 1072980512 /nfs/dbraw/zinc/98/05/12/1072980512.db2.gz GWARHADLMATHQU-UHFFFAOYSA-N 0 0 427.479 -0.607 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000782349455 1072980525 /nfs/dbraw/zinc/98/05/25/1072980525.db2.gz VICRIPWVQAOFQS-UHFFFAOYSA-N 0 0 433.433 -0.133 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OCC)cc2)CC1 ZINC000782349551 1072980489 /nfs/dbraw/zinc/98/04/89/1072980489.db2.gz XVQKBBMTIYPJPK-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN CCNC(=O)CC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000782349665 1072980432 /nfs/dbraw/zinc/98/04/32/1072980432.db2.gz QRAURZCZBLZLIU-UHFFFAOYSA-N 0 0 427.479 -0.607 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(Br)ncc2N)CC1 ZINC000782353660 1072980467 /nfs/dbraw/zinc/98/04/67/1072980467.db2.gz DODCPSDWTCNXLR-UHFFFAOYSA-N 0 0 428.287 -0.185 20 0 IBADRN Nc1cnc(Br)cc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000782360658 1072980440 /nfs/dbraw/zinc/98/04/40/1072980440.db2.gz VMDVRVXDKVNREB-SSDOTTSWSA-N 0 0 435.256 -0.404 20 0 IBADRN Nc1cnc(Br)cc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000782360659 1072980484 /nfs/dbraw/zinc/98/04/84/1072980484.db2.gz VMDVRVXDKVNREB-ZETCQYMHSA-N 0 0 435.256 -0.404 20 0 IBADRN Cn1ccc(CC(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000782378555 1072980593 /nfs/dbraw/zinc/98/05/93/1072980593.db2.gz BEIHLMSTHFYPGO-UHFFFAOYSA-N 0 0 436.490 -0.157 20 0 IBADRN Cn1ccc(CC(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)n1 ZINC000782379940 1072980548 /nfs/dbraw/zinc/98/05/48/1072980548.db2.gz GWWMZQNJAPEFBM-UHFFFAOYSA-N 0 0 436.490 -0.157 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)Cc2ccn(C)n2)CC1 ZINC000782391463 1072980503 /nfs/dbraw/zinc/98/05/03/1072980503.db2.gz KCUPMWJSPHNYPR-UHFFFAOYSA-N 0 0 425.467 -0.356 20 0 IBADRN CC1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)COC1 ZINC000782392114 1072980584 /nfs/dbraw/zinc/98/05/84/1072980584.db2.gz DFAKZFKVMIREOF-UHFFFAOYSA-N 0 0 440.474 -0.130 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000782394100 1072980455 /nfs/dbraw/zinc/98/04/55/1072980455.db2.gz UZQMDQVQHYCCOZ-CABCVRRESA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000782394106 1072980602 /nfs/dbraw/zinc/98/06/02/1072980602.db2.gz UZQMDQVQHYCCOZ-GJZGRUSLSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000782394107 1072980560 /nfs/dbraw/zinc/98/05/60/1072980560.db2.gz UZQMDQVQHYCCOZ-HUUCEWRRSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000782394110 1072980533 /nfs/dbraw/zinc/98/05/33/1072980533.db2.gz UZQMDQVQHYCCOZ-LSDHHAIUSA-N 0 0 447.554 -0.374 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)COC2(C)CN(C(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000782416392 1072980541 /nfs/dbraw/zinc/98/05/41/1072980541.db2.gz OGKQEKKTTUVYFE-UHFFFAOYSA-N 0 0 440.453 -0.582 20 0 IBADRN Cn1ccc(CC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)n1 ZINC000782420722 1072980894 /nfs/dbraw/zinc/98/08/94/1072980894.db2.gz DPSDNXXNMGZZKC-UHFFFAOYSA-N 0 0 430.508 -0.117 20 0 IBADRN COC(=O)c1ccccc1OCCN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000782480085 1072980962 /nfs/dbraw/zinc/98/09/62/1072980962.db2.gz GHAWNUZGHDWGCW-HNNXBMFYSA-N 0 0 446.547 -0.014 20 0 IBADRN COC(=O)c1ccccc1OCCN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000782480122 1072980994 /nfs/dbraw/zinc/98/09/94/1072980994.db2.gz GHAWNUZGHDWGCW-OAHLLOKOSA-N 0 0 446.547 -0.014 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)[C@@H]2CCCN2S(C)(=O)=O)cc1 ZINC000782482124 1072980954 /nfs/dbraw/zinc/98/09/54/1072980954.db2.gz FTUOGPNLARNVKA-HNNXBMFYSA-N 0 0 432.520 -0.072 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000782482168 1072980973 /nfs/dbraw/zinc/98/09/73/1072980973.db2.gz KVMKXUUQBJLVMW-UHFFFAOYSA-N 0 0 435.524 -0.509 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000782516207 1072980978 /nfs/dbraw/zinc/98/09/78/1072980978.db2.gz FMZCJXQFGTXVQS-CYBMUJFWSA-N 0 0 427.502 -0.930 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000782516318 1072980912 /nfs/dbraw/zinc/98/09/12/1072980912.db2.gz FMZCJXQFGTXVQS-ZDUSSCGKSA-N 0 0 427.502 -0.930 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000782540078 1072980937 /nfs/dbraw/zinc/98/09/37/1072980937.db2.gz YFIBBUSRFXHMJX-UHFFFAOYSA-N 0 0 434.540 -0.602 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCC1CCC(COC(=O)Cn2ccc(=O)[nH]c2=O)CC1 ZINC000782541511 1072980809 /nfs/dbraw/zinc/98/08/09/1072980809.db2.gz KYZSTNFLECCBNN-UHFFFAOYSA-N 0 0 448.432 -0.196 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000782546737 1072980839 /nfs/dbraw/zinc/98/08/39/1072980839.db2.gz NXLHXAQYMWLNQT-UHFFFAOYSA-N 0 0 444.510 -0.008 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000782571931 1072980927 /nfs/dbraw/zinc/98/09/27/1072980927.db2.gz CQTRZYZLFXEXKO-UHFFFAOYSA-N 0 0 431.467 -0.776 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000782588129 1072980999 /nfs/dbraw/zinc/98/09/99/1072980999.db2.gz VTBKHCULMINPED-CYBMUJFWSA-N 0 0 430.477 -0.040 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000782588169 1072981006 /nfs/dbraw/zinc/98/10/06/1072981006.db2.gz VTBKHCULMINPED-ZDUSSCGKSA-N 0 0 430.477 -0.040 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000782589341 1072980882 /nfs/dbraw/zinc/98/08/82/1072980882.db2.gz QCDSEEOSYLLQOW-UHFFFAOYSA-N 0 0 434.492 -0.053 20 0 IBADRN COCCn1cc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c(C)n1 ZINC000782593178 1072981453 /nfs/dbraw/zinc/98/14/53/1072981453.db2.gz PASRQMSAFLSJRQ-UHFFFAOYSA-N 0 0 432.481 -0.454 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)C[C@@H]3C)CC2)c1 ZINC000782593649 1072981389 /nfs/dbraw/zinc/98/13/89/1072981389.db2.gz DKPWHVZMMWENQW-HNNXBMFYSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)C[C@H]3C)CC2)c1 ZINC000782593650 1072981250 /nfs/dbraw/zinc/98/12/50/1072981250.db2.gz DKPWHVZMMWENQW-OAHLLOKOSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)C[C@@H]3C)CC2)cc1 ZINC000782596265 1072981298 /nfs/dbraw/zinc/98/12/98/1072981298.db2.gz PNYZMMXTDMTHHR-HNNXBMFYSA-N 0 0 436.534 -0.115 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N(C)C[C@H]3C)CC2)cc1 ZINC000782596266 1072981374 /nfs/dbraw/zinc/98/13/74/1072981374.db2.gz PNYZMMXTDMTHHR-OAHLLOKOSA-N 0 0 436.534 -0.115 20 0 IBADRN COc1ccc(NC(=O)CN2CC(=O)N(C)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000782597663 1072981341 /nfs/dbraw/zinc/98/13/41/1072981341.db2.gz RGGBJPMHCTXDTD-AWEZNQCLSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(NC(=O)CN2CC(=O)N(C)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000782597664 1072981445 /nfs/dbraw/zinc/98/14/45/1072981445.db2.gz RGGBJPMHCTXDTD-CQSZACIVSA-N 0 0 440.522 -0.183 20 0 IBADRN COc1ccc(C(=O)NCC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1OC ZINC000782602754 1072981358 /nfs/dbraw/zinc/98/13/58/1072981358.db2.gz FEOFUEYBQOXPOQ-UHFFFAOYSA-N 0 0 445.494 -0.514 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H]3C[C@]3(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000782616244 1072981770 /nfs/dbraw/zinc/98/17/70/1072981770.db2.gz ANDRPRSMZLRORE-IFXJQAMLSA-N 0 0 425.507 -0.031 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@H]3C[C@@]3(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000782616247 1072981711 /nfs/dbraw/zinc/98/17/11/1072981711.db2.gz ANDRPRSMZLRORE-KUHUBIRLSA-N 0 0 425.507 -0.031 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)c1ccc(F)cc1 ZINC000782622188 1072982378 /nfs/dbraw/zinc/98/23/78/1072982378.db2.gz WBWZAQGNCKEAII-OYVUYXNMSA-N 0 0 446.435 -0.227 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)c1ccc(F)cc1 ZINC000782622215 1072982504 /nfs/dbraw/zinc/98/25/04/1072982504.db2.gz WBWZAQGNCKEAII-PPGNKHEKSA-N 0 0 446.435 -0.227 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)c1ccc(F)cc1 ZINC000782622217 1072982530 /nfs/dbraw/zinc/98/25/30/1072982530.db2.gz WBWZAQGNCKEAII-XURPKSDJSA-N 0 0 446.435 -0.227 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)c1ccc(F)cc1 ZINC000782622219 1072982522 /nfs/dbraw/zinc/98/25/22/1072982522.db2.gz WBWZAQGNCKEAII-YSKGHYERSA-N 0 0 446.435 -0.227 20 0 IBADRN COCCN(C(=O)CN1C[C@@H]2C[C@]2(CO)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000782623552 1072982468 /nfs/dbraw/zinc/98/24/68/1072982468.db2.gz YRDJDTUSTZLQIO-KSFYIVLOSA-N 0 0 443.504 -0.127 20 0 IBADRN COCCN(C(=O)CN1C[C@H]2C[C@@]2(CO)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000782623556 1072982535 /nfs/dbraw/zinc/98/25/35/1072982535.db2.gz YRDJDTUSTZLQIO-ZHRRBRCNSA-N 0 0 443.504 -0.127 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O)c1ccc(F)cc1 ZINC000782624515 1072982496 /nfs/dbraw/zinc/98/24/96/1072982496.db2.gz ITWWSBPDXHIGPE-GFCCVEGCSA-N 0 0 444.423 -0.194 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O)c1ccc(F)cc1 ZINC000782624518 1072982317 /nfs/dbraw/zinc/98/23/17/1072982317.db2.gz ITWWSBPDXHIGPE-LBPRGKRZSA-N 0 0 444.423 -0.194 20 0 IBADRN Cn1ccc(CC(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000782626057 1072982341 /nfs/dbraw/zinc/98/23/41/1072982341.db2.gz LWJXKUREBCPFMJ-UHFFFAOYSA-N 0 0 436.490 -0.043 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCNC(=O)C[C@@H]3C)cc2)CC1 ZINC000782633940 1071286993 /nfs/dbraw/zinc/28/69/93/1071286993.db2.gz DGWIWHXGQPJBAQ-HNNXBMFYSA-N 0 0 431.493 -0.343 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCNC(=O)C[C@H]3C)cc2)CC1 ZINC000782633942 1071286912 /nfs/dbraw/zinc/28/69/12/1071286912.db2.gz DGWIWHXGQPJBAQ-OAHLLOKOSA-N 0 0 431.493 -0.343 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCNC(=O)C[C@H]1C ZINC000782635233 1071287497 /nfs/dbraw/zinc/28/74/97/1071287497.db2.gz MXNTZNXLOLPBSV-SECBINFHSA-N 0 0 440.478 -0.366 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCNC(=O)C[C@@H]1C ZINC000782635235 1071287479 /nfs/dbraw/zinc/28/74/79/1071287479.db2.gz MXNTZNXLOLPBSV-VIFPVBQESA-N 0 0 440.478 -0.366 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCCS(=O)(=O)N(C)C ZINC000782642881 1072982993 /nfs/dbraw/zinc/98/29/93/1072982993.db2.gz RZCRWWYMUFEXRY-CYBMUJFWSA-N 0 0 449.551 -0.120 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCCS(=O)(=O)N(C)C ZINC000782642882 1072983055 /nfs/dbraw/zinc/98/30/55/1072983055.db2.gz RZCRWWYMUFEXRY-ZDUSSCGKSA-N 0 0 449.551 -0.120 20 0 IBADRN O=C(OCCOCCNC(=O)C(F)(F)F)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000782645618 1072982982 /nfs/dbraw/zinc/98/29/82/1072982982.db2.gz GSBALJCGXSJFKE-GHMZBOCLSA-N 0 0 430.401 -0.740 20 0 IBADRN O=C(OCCOCCNC(=O)C(F)(F)F)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000782645619 1072982864 /nfs/dbraw/zinc/98/28/64/1072982864.db2.gz GSBALJCGXSJFKE-MNOVXSKESA-N 0 0 430.401 -0.740 20 0 IBADRN O=C(OCCOCCNC(=O)C(F)(F)F)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000782645620 1072983069 /nfs/dbraw/zinc/98/30/69/1072983069.db2.gz GSBALJCGXSJFKE-QWRGUYRKSA-N 0 0 430.401 -0.740 20 0 IBADRN O=C(OCCOCCNC(=O)C(F)(F)F)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000782645621 1072983022 /nfs/dbraw/zinc/98/30/22/1072983022.db2.gz GSBALJCGXSJFKE-WDEREUQCSA-N 0 0 430.401 -0.740 20 0 IBADRN Cc1nc(Cn2cc(C(=O)N3CCN(C4=NS(=O)(=O)c5ccccc54)CC3)nn2)no1 ZINC000782659577 1072982951 /nfs/dbraw/zinc/98/29/51/1072982951.db2.gz JXZUDAWURUOCKC-UHFFFAOYSA-N 0 0 442.461 -0.075 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)NCCOc1ccc(S(=O)(=O)C(F)(F)F)cc1 ZINC000782673404 1072982968 /nfs/dbraw/zinc/98/29/68/1072982968.db2.gz XBNZTSZNENHUPA-UHFFFAOYSA-N 0 0 425.385 -0.321 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000782677157 1072982890 /nfs/dbraw/zinc/98/28/90/1072982890.db2.gz PUKQBUDXEURBNQ-UHFFFAOYSA-N 0 0 447.535 -0.580 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1)c1ccc(F)cc1 ZINC000782677601 1072983061 /nfs/dbraw/zinc/98/30/61/1072983061.db2.gz WMIPMAKJPGUJRX-GFCCVEGCSA-N 0 0 444.485 -0.422 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1)c1ccc(F)cc1 ZINC000782677602 1072982900 /nfs/dbraw/zinc/98/29/00/1072982900.db2.gz WMIPMAKJPGUJRX-LBPRGKRZSA-N 0 0 444.485 -0.422 20 0 IBADRN NC(=O)CCNC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000782680712 1071300226 /nfs/dbraw/zinc/30/02/26/1071300226.db2.gz NLNOSEYMZOUHOD-UHFFFAOYSA-N 0 0 433.437 -0.709 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000782688381 1072982804 /nfs/dbraw/zinc/98/28/04/1072982804.db2.gz PXUKTBRKTBBYAJ-GXTWGEPZSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000782688394 1072982849 /nfs/dbraw/zinc/98/28/49/1072982849.db2.gz PXUKTBRKTBBYAJ-JSGCOSHPSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000782688396 1072983080 /nfs/dbraw/zinc/98/30/80/1072983080.db2.gz PXUKTBRKTBBYAJ-OCCSQVGLSA-N 0 0 444.535 -0.154 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000782688397 1072983035 /nfs/dbraw/zinc/98/30/35/1072983035.db2.gz PXUKTBRKTBBYAJ-TZMCWYRMSA-N 0 0 444.535 -0.154 20 0 IBADRN CN(CC(=O)OCC1CCC(COC(=O)CN(C)S(C)(=O)=O)CC1)S(C)(=O)=O ZINC000782712769 1072983535 /nfs/dbraw/zinc/98/35/35/1072983535.db2.gz UUPZJVIBTKISCS-UHFFFAOYSA-N 0 0 442.556 -0.338 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000782717949 1072983466 /nfs/dbraw/zinc/98/34/66/1072983466.db2.gz YGYGCXVCAHLZCT-AWEZNQCLSA-N 0 0 439.513 -0.739 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000782717954 1072983404 /nfs/dbraw/zinc/98/34/04/1072983404.db2.gz YGYGCXVCAHLZCT-CQSZACIVSA-N 0 0 439.513 -0.739 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3C(=O)OC(C)(C)C)n1 ZINC000782723598 1072983517 /nfs/dbraw/zinc/98/35/17/1072983517.db2.gz VRODSJKWAHKOEA-BETUJISGSA-N 0 0 435.485 -0.037 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@@H]4C[C@]4(CO)C3)c2)CC1 ZINC000782732796 1071311877 /nfs/dbraw/zinc/31/18/77/1071311877.db2.gz AIEMUOGOYGLOQO-MGPUTAFESA-N 0 0 436.534 -0.208 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@H]4C[C@@]4(CO)C3)c2)CC1 ZINC000782732799 1071311946 /nfs/dbraw/zinc/31/19/46/1071311946.db2.gz AIEMUOGOYGLOQO-QRWLVFNGSA-N 0 0 436.534 -0.208 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@@H]4C[C@]4(CO)C3)c2)CC1 ZINC000782738673 1072983483 /nfs/dbraw/zinc/98/34/83/1072983483.db2.gz GJGFCFLHRDMAKU-MGPUTAFESA-N 0 0 436.534 -0.208 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N3C[C@H]4C[C@@]4(CO)C3)c2)CC1 ZINC000782738674 1072983437 /nfs/dbraw/zinc/98/34/37/1072983437.db2.gz GJGFCFLHRDMAKU-QRWLVFNGSA-N 0 0 436.534 -0.208 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@@H]3C[C@]3(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000782741665 1071312716 /nfs/dbraw/zinc/31/27/16/1071312716.db2.gz CSFIMFKHBUDRMD-ORAYPTAESA-N 0 0 439.490 -0.505 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2C[C@H]3C[C@@]3(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000782741667 1071312836 /nfs/dbraw/zinc/31/28/36/1071312836.db2.gz CSFIMFKHBUDRMD-YJYMSZOUSA-N 0 0 439.490 -0.505 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@@H]2C[C@]2(CO)C1 ZINC000782745506 1072984077 /nfs/dbraw/zinc/98/40/77/1072984077.db2.gz XVAAAMBGVJPLOW-ORAYPTAESA-N 0 0 439.490 -0.505 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N1C[C@H]2C[C@@]2(CO)C1 ZINC000782745507 1072983992 /nfs/dbraw/zinc/98/39/92/1072983992.db2.gz XVAAAMBGVJPLOW-YJYMSZOUSA-N 0 0 439.490 -0.505 20 0 IBADRN Cc1nc(Cn2cc(C(=O)NCC(=O)N3CCN(C(=O)OC(C)(C)C)CC3)nn2)no1 ZINC000782747798 1072984197 /nfs/dbraw/zinc/98/41/97/1072984197.db2.gz FVRYXQXLGSBNQZ-UHFFFAOYSA-N 0 0 434.457 -0.173 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000782749512 1072984216 /nfs/dbraw/zinc/98/42/16/1072984216.db2.gz LBUWCGSVSNEPAQ-UHFFFAOYSA-N 0 0 428.463 -0.114 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@@H]2C[C@]2(CO)C1 ZINC000782752657 1072984100 /nfs/dbraw/zinc/98/41/00/1072984100.db2.gz SZCLSEAJKBUHFY-KPZWWZAWSA-N 0 0 427.454 -0.374 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N1C[C@H]2C[C@@]2(CO)C1 ZINC000782752660 1072984143 /nfs/dbraw/zinc/98/41/43/1072984143.db2.gz SZCLSEAJKBUHFY-XIKOKIGWSA-N 0 0 427.454 -0.374 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000782761035 1072984185 /nfs/dbraw/zinc/98/41/85/1072984185.db2.gz XKSHUPPJMGDTLG-GXTWGEPZSA-N 0 0 426.447 -0.336 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000782761040 1072984125 /nfs/dbraw/zinc/98/41/25/1072984125.db2.gz XKSHUPPJMGDTLG-JSGCOSHPSA-N 0 0 426.447 -0.336 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000782761044 1072984004 /nfs/dbraw/zinc/98/40/04/1072984004.db2.gz XKSHUPPJMGDTLG-OCCSQVGLSA-N 0 0 426.447 -0.336 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000782761048 1072984134 /nfs/dbraw/zinc/98/41/34/1072984134.db2.gz XKSHUPPJMGDTLG-TZMCWYRMSA-N 0 0 426.447 -0.336 20 0 IBADRN CCOC(CNC(=O)[C@@H]1CSCN1C(C)=O)CNC(=O)[C@@H]1CSCN1C(C)=O ZINC000782776617 1072984043 /nfs/dbraw/zinc/98/40/43/1072984043.db2.gz CCDKPBFIDKQORE-GJZGRUSLSA-N 0 0 432.568 -0.533 20 0 IBADRN CCOC(CNC(=O)[C@H]1CSCN1C(C)=O)CNC(=O)[C@H]1CSCN1C(C)=O ZINC000782776618 1072984157 /nfs/dbraw/zinc/98/41/57/1072984157.db2.gz CCDKPBFIDKQORE-HUUCEWRRSA-N 0 0 432.568 -0.533 20 0 IBADRN CCO[C@@H](CNC(=O)[C@@H]1CSCN1C(C)=O)CNC(=O)[C@H]1CSCN1C(C)=O ZINC000782776619 1072984094 /nfs/dbraw/zinc/98/40/94/1072984094.db2.gz CCDKPBFIDKQORE-QKDCVEJESA-N 0 0 432.568 -0.533 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC000782776883 1072984112 /nfs/dbraw/zinc/98/41/12/1072984112.db2.gz UMLRRGGGAYYKAA-UHFFFAOYSA-N 0 0 435.485 -0.080 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000782776998 1072984026 /nfs/dbraw/zinc/98/40/26/1072984026.db2.gz FBTMVJZDUNBRRY-UHFFFAOYSA-N 0 0 430.446 -0.283 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)c(F)c1 ZINC000782781253 1072984683 /nfs/dbraw/zinc/98/46/83/1072984683.db2.gz LVACPORGMILJIN-UHFFFAOYSA-N 0 0 445.455 -0.005 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(N)=O)c2OC)CC1 ZINC000782782359 1072984612 /nfs/dbraw/zinc/98/46/12/1072984612.db2.gz SVLNTXIHOPTVGW-UHFFFAOYSA-N 0 0 441.510 -0.537 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(c3ccc(C(C)=O)cc3F)CC2)n1 ZINC000782782755 1072984692 /nfs/dbraw/zinc/98/46/92/1072984692.db2.gz YFAJJXQOUQIYFL-UHFFFAOYSA-N 0 0 431.428 -0.347 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1 ZINC000782797407 1072984625 /nfs/dbraw/zinc/98/46/25/1072984625.db2.gz BZCLHVPVIHPVIM-AWEZNQCLSA-N 0 0 435.485 -0.034 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)C1 ZINC000782797408 1072984581 /nfs/dbraw/zinc/98/45/81/1072984581.db2.gz BZCLHVPVIHPVIM-CQSZACIVSA-N 0 0 435.485 -0.034 20 0 IBADRN CCOC(=O)c1nn(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(=S)s1 ZINC000782806111 1072984559 /nfs/dbraw/zinc/98/45/59/1072984559.db2.gz FGCIOZGVQVZHSG-UHFFFAOYSA-N 0 0 429.524 -0.189 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)NC(=O)OC(C)(C)C ZINC000782810767 1072984535 /nfs/dbraw/zinc/98/45/35/1072984535.db2.gz REFKMGJWSDSCQZ-GFCCVEGCSA-N 0 0 425.490 -0.030 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1)NC(=O)OC(C)(C)C ZINC000782810811 1072984517 /nfs/dbraw/zinc/98/45/17/1072984517.db2.gz REFKMGJWSDSCQZ-LBPRGKRZSA-N 0 0 425.490 -0.030 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(Cc3ccc(Cl)nc3)CC2)n1 ZINC000782810884 1072984590 /nfs/dbraw/zinc/98/45/90/1072984590.db2.gz SCNUGRMDTIAGAZ-UHFFFAOYSA-N 0 0 434.888 -0.302 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N(C)CC(C)(C)CNC(=O)OC(C)(C)C)n1 ZINC000782811601 1072984499 /nfs/dbraw/zinc/98/44/99/1072984499.db2.gz VPNFIGMTDSNXRS-UHFFFAOYSA-N 0 0 425.490 -0.028 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2=O)cc1 ZINC000782818740 1072984545 /nfs/dbraw/zinc/98/45/45/1072984545.db2.gz VSSFBLVOEAWFPZ-HNNXBMFYSA-N 0 0 427.465 -0.075 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)C(=O)Nc3ncn(CC(=O)N(C)C)n3)C2=O)cc1 ZINC000782818741 1072984633 /nfs/dbraw/zinc/98/46/33/1072984633.db2.gz VSSFBLVOEAWFPZ-OAHLLOKOSA-N 0 0 427.465 -0.075 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)OCc3ccccc3)CC2)n1 ZINC000782819222 1072984574 /nfs/dbraw/zinc/98/45/74/1072984574.db2.gz QUGBTGUSJNXBSD-UHFFFAOYSA-N 0 0 429.437 -0.556 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2CCN(C(=O)OCc3ccccc3)CC2)n1 ZINC000782820055 1072985178 /nfs/dbraw/zinc/98/51/78/1072985178.db2.gz XWUZZDHIZOGUKD-UHFFFAOYSA-N 0 0 443.464 -0.214 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)n1)N1CCCCCC1 ZINC000782822775 1072985016 /nfs/dbraw/zinc/98/50/16/1072985016.db2.gz KZYMIZOLGJELFB-AWEZNQCLSA-N 0 0 433.469 -0.328 20 0 IBADRN O=C(Cn1cnc(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)n1)N1CCCCCC1 ZINC000782822776 1072985086 /nfs/dbraw/zinc/98/50/86/1072985086.db2.gz KZYMIZOLGJELFB-CQSZACIVSA-N 0 0 433.469 -0.328 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@@H](CO)C1CCOCC1 ZINC000782832269 1072985056 /nfs/dbraw/zinc/98/50/56/1072985056.db2.gz NOUVDUXKCLKJJU-INIZCTEOSA-N 0 0 425.507 -0.270 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N[C@H](CO)C1CCOCC1 ZINC000782832290 1072985111 /nfs/dbraw/zinc/98/51/11/1072985111.db2.gz NOUVDUXKCLKJJU-MRXNPFEDSA-N 0 0 425.507 -0.270 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](CO)C3CCOCC3)cc2)CC1 ZINC000782836402 1072985195 /nfs/dbraw/zinc/98/51/95/1072985195.db2.gz TYSIWINTSKWCEH-IBGZPJMESA-N 0 0 448.520 -0.176 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](CO)C3CCOCC3)cc2)CC1 ZINC000782836403 1072985102 /nfs/dbraw/zinc/98/51/02/1072985102.db2.gz TYSIWINTSKWCEH-LJQANCHMSA-N 0 0 448.520 -0.176 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)CN1CCS(=O)(=O)CC1)c1ccc(F)cc1 ZINC000782861052 1071318661 /nfs/dbraw/zinc/31/86/61/1071318661.db2.gz JRYMICZQBZUDJI-CYBMUJFWSA-N 0 0 429.470 -0.607 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)CN1CCS(=O)(=O)CC1)c1ccc(F)cc1 ZINC000782861056 1071318798 /nfs/dbraw/zinc/31/87/98/1071318798.db2.gz JRYMICZQBZUDJI-ZDUSSCGKSA-N 0 0 429.470 -0.607 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)CN2CCS(=O)(=O)CC2)cc1 ZINC000782863644 1072985065 /nfs/dbraw/zinc/98/50/65/1072985065.db2.gz VZDVFVVHIIYCTB-UHFFFAOYSA-N 0 0 432.520 -0.765 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000782873250 1072985169 /nfs/dbraw/zinc/98/51/69/1072985169.db2.gz BSFSMAGIIMSHOR-INIZCTEOSA-N 0 0 436.534 -0.011 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000782873251 1072985090 /nfs/dbraw/zinc/98/50/90/1072985090.db2.gz BSFSMAGIIMSHOR-MRXNPFEDSA-N 0 0 436.534 -0.011 20 0 IBADRN COc1ccc(CC(=O)N2CC(=O)N(C)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000782881825 1071319152 /nfs/dbraw/zinc/31/91/52/1071319152.db2.gz UVPSVEQQJWDEKF-AWEZNQCLSA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(CC(=O)N2CC(=O)N(C)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000782881831 1071319185 /nfs/dbraw/zinc/31/91/85/1071319185.db2.gz UVPSVEQQJWDEKF-CQSZACIVSA-N 0 0 425.507 -0.052 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)cc2)C(=O)C1=O ZINC000782891988 1072985150 /nfs/dbraw/zinc/98/51/50/1072985150.db2.gz BHNYUHZFJSRARH-UHFFFAOYSA-N 0 0 439.490 -0.805 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCC(=O)N[C@H](C)c2ccc(F)cc2)C(=O)C1=O ZINC000782892275 1072985140 /nfs/dbraw/zinc/98/51/40/1072985140.db2.gz DFDXPBXLRVJOGH-CYBMUJFWSA-N 0 0 436.440 -0.647 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCC(=O)N[C@@H](C)c2ccc(F)cc2)C(=O)C1=O ZINC000782892276 1072985083 /nfs/dbraw/zinc/98/50/83/1072985083.db2.gz DFDXPBXLRVJOGH-ZDUSSCGKSA-N 0 0 436.440 -0.647 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000782906320 1072985117 /nfs/dbraw/zinc/98/51/17/1072985117.db2.gz MDBSGERNSJTPIW-CABCVRRESA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000782906339 1072985026 /nfs/dbraw/zinc/98/50/26/1072985026.db2.gz MDBSGERNSJTPIW-GJZGRUSLSA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000782906340 1072985204 /nfs/dbraw/zinc/98/52/04/1072985204.db2.gz MDBSGERNSJTPIW-HUUCEWRRSA-N 0 0 434.478 -0.205 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000782906341 1072985129 /nfs/dbraw/zinc/98/51/29/1072985129.db2.gz MDBSGERNSJTPIW-LSDHHAIUSA-N 0 0 434.478 -0.205 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000782926078 1072985044 /nfs/dbraw/zinc/98/50/44/1072985044.db2.gz LBQLHISWEPXWFK-HNNXBMFYSA-N 0 0 447.558 -0.736 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000782926079 1072985161 /nfs/dbraw/zinc/98/51/61/1072985161.db2.gz LBQLHISWEPXWFK-OAHLLOKOSA-N 0 0 447.558 -0.736 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000782927747 1071322146 /nfs/dbraw/zinc/32/21/46/1071322146.db2.gz YDBOMSSXRPHPOR-HNNXBMFYSA-N 0 0 439.513 -0.928 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000782927748 1071322207 /nfs/dbraw/zinc/32/22/07/1071322207.db2.gz YDBOMSSXRPHPOR-OAHLLOKOSA-N 0 0 439.513 -0.928 20 0 IBADRN Cn1c(C(F)F)nn(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1=S ZINC000782929371 1072985517 /nfs/dbraw/zinc/98/55/17/1072985517.db2.gz IFENWFLNDPVJQJ-JTQLQIEISA-N 0 0 445.539 -0.019 20 0 IBADRN Cn1c(C(F)F)nn(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1=S ZINC000782929372 1072985612 /nfs/dbraw/zinc/98/56/12/1072985612.db2.gz IFENWFLNDPVJQJ-SNVBAGLBSA-N 0 0 445.539 -0.019 20 0 IBADRN Cn1c(C(F)F)nn(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1=S ZINC000782932819 1072985706 /nfs/dbraw/zinc/98/57/06/1072985706.db2.gz DMSCYDVPZMYXJQ-UHFFFAOYSA-N 0 0 425.531 -0.044 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CC(=O)N(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000782933928 1071322164 /nfs/dbraw/zinc/32/21/64/1071322164.db2.gz OLSIWNDHUZAAMR-AWEZNQCLSA-N 0 0 437.497 -0.637 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CC(=O)N(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000782933931 1071322109 /nfs/dbraw/zinc/32/21/09/1071322109.db2.gz OLSIWNDHUZAAMR-CQSZACIVSA-N 0 0 437.497 -0.637 20 0 IBADRN C[C@@H]1COCCN1c1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000782937611 1072985694 /nfs/dbraw/zinc/98/56/94/1072985694.db2.gz IWHXLHYSQXZDPD-PBHICJAKSA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@@H]1COCCN1c1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000782937615 1072985541 /nfs/dbraw/zinc/98/55/41/1072985541.db2.gz IWHXLHYSQXZDPD-RHSMWYFYSA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@H]1COCCN1c1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000782937618 1072985593 /nfs/dbraw/zinc/98/55/93/1072985593.db2.gz IWHXLHYSQXZDPD-WMLDXEAASA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@H]1COCCN1c1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000782937621 1072985720 /nfs/dbraw/zinc/98/57/20/1072985720.db2.gz IWHXLHYSQXZDPD-YOEHRIQHSA-N 0 0 425.507 -0.142 20 0 IBADRN Cc1cc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)ccc1N1CCOCC1 ZINC000782937925 1071322222 /nfs/dbraw/zinc/32/22/22/1071322222.db2.gz HJVBCZJDSHHNKP-INIZCTEOSA-N 0 0 425.507 -0.222 20 0 IBADRN Cc1cc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)ccc1N1CCOCC1 ZINC000782937926 1071322238 /nfs/dbraw/zinc/32/22/38/1071322238.db2.gz HJVBCZJDSHHNKP-MRXNPFEDSA-N 0 0 425.507 -0.222 20 0 IBADRN O=C(Nc1cc(N2CCCC2=O)ccc1F)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000782938422 1071322094 /nfs/dbraw/zinc/32/20/94/1071322094.db2.gz JNAYSUFKQSEQJG-CYBMUJFWSA-N 0 0 427.454 -0.101 20 0 IBADRN O=C(Nc1cc(N2CCCC2=O)ccc1F)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000782938423 1071322279 /nfs/dbraw/zinc/32/22/79/1071322279.db2.gz JNAYSUFKQSEQJG-ZDUSSCGKSA-N 0 0 427.454 -0.101 20 0 IBADRN COC(=O)N(C)Cc1ccc(NC(=O)C(=O)N(CCO)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000782940612 1072985648 /nfs/dbraw/zinc/98/56/48/1072985648.db2.gz VHZLVWGPZLEDKT-HNNXBMFYSA-N 0 0 427.479 -0.169 20 0 IBADRN COC(=O)N(C)Cc1ccc(NC(=O)C(=O)N(CCO)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000782940621 1072985662 /nfs/dbraw/zinc/98/56/62/1072985662.db2.gz VHZLVWGPZLEDKT-OAHLLOKOSA-N 0 0 427.479 -0.169 20 0 IBADRN CCN(CC)C(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000782940890 1071322322 /nfs/dbraw/zinc/32/23/22/1071322322.db2.gz XKGBTDLZKVHFQF-INIZCTEOSA-N 0 0 443.508 -0.099 20 0 IBADRN CCN(CC)C(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ncn(CC(=O)N(C)C)n1 ZINC000782940891 1071322364 /nfs/dbraw/zinc/32/23/64/1071322364.db2.gz XKGBTDLZKVHFQF-MRXNPFEDSA-N 0 0 443.508 -0.099 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N(CCO)[C@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC000782941357 1072985566 /nfs/dbraw/zinc/98/55/66/1072985566.db2.gz ZBOXMLHKDMNAQQ-PBHICJAKSA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N(CCO)[C@@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC000782941358 1072985676 /nfs/dbraw/zinc/98/56/76/1072985676.db2.gz ZBOXMLHKDMNAQQ-RHSMWYFYSA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)N(CCO)[C@@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC000782941359 1072985656 /nfs/dbraw/zinc/98/56/56/1072985656.db2.gz ZBOXMLHKDMNAQQ-WMLDXEAASA-N 0 0 425.507 -0.142 20 0 IBADRN C[C@H]1CN(c2ccc(NC(=O)C(=O)N(CCO)[C@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC000782941360 1072985555 /nfs/dbraw/zinc/98/55/55/1072985555.db2.gz ZBOXMLHKDMNAQQ-YOEHRIQHSA-N 0 0 425.507 -0.142 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(C(=O)N(C)OC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000782959740 1071322800 /nfs/dbraw/zinc/32/28/00/1071322800.db2.gz MHYRFAFWOAVCHK-HNNXBMFYSA-N 0 0 427.479 -0.079 20 0 IBADRN COCCN(C(=O)C(=O)Nc1ccc(C(=O)N(C)OC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000782959742 1071322679 /nfs/dbraw/zinc/32/26/79/1071322679.db2.gz MHYRFAFWOAVCHK-OAHLLOKOSA-N 0 0 427.479 -0.079 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NCC[N@@H+]3CCCOCC3)ncnc1-2 ZINC000782969478 1072985576 /nfs/dbraw/zinc/98/55/76/1072985576.db2.gz WXVNKSZCGGXEMC-UHFFFAOYSA-N 0 0 441.334 -0.067 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CC(=O)NC(=O)C12CCCCC2 ZINC000782972436 1072985529 /nfs/dbraw/zinc/98/55/29/1072985529.db2.gz KECJSEDFDAFVNR-UHFFFAOYSA-N 0 0 427.461 -0.251 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CC(=O)NC(=O)C23CCCCC3)n1 ZINC000782974797 1071323224 /nfs/dbraw/zinc/32/32/24/1071323224.db2.gz VLSKKSICQVLSCB-UHFFFAOYSA-N 0 0 425.467 -0.946 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2C[C@H]3C[C@@]3(CO)C2)cc1)S(C)(=O)=O ZINC000782994242 1072986125 /nfs/dbraw/zinc/98/61/25/1072986125.db2.gz AGZSYFJLRNAONU-DYVFJYSZSA-N 0 0 447.535 -0.185 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2C[C@@H]3C[C@]3(CO)C2)cc1)S(C)(=O)=O ZINC000782994246 1072986089 /nfs/dbraw/zinc/98/60/89/1072986089.db2.gz AGZSYFJLRNAONU-SUMWQHHRSA-N 0 0 447.535 -0.185 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000782997452 1071324934 /nfs/dbraw/zinc/32/49/34/1071324934.db2.gz LOTSUKFHKBWSIF-AWEZNQCLSA-N 0 0 428.515 -0.480 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000782997454 1071325075 /nfs/dbraw/zinc/32/50/75/1071325075.db2.gz LOTSUKFHKBWSIF-CQSZACIVSA-N 0 0 428.515 -0.480 20 0 IBADRN COC(=O)Cc1ccc(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000783015959 1071333902 /nfs/dbraw/zinc/33/39/02/1071333902.db2.gz SWGXLIFENJXRPR-UHFFFAOYSA-N 0 0 425.401 -0.075 20 0 IBADRN Cn1cc(CNC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)nn1 ZINC000783017004 1071334381 /nfs/dbraw/zinc/33/43/81/1071334381.db2.gz MNYLDXXMIIYSGN-UHFFFAOYSA-N 0 0 446.511 -0.581 20 0 IBADRN O=C(NCc1ccc(N2CCOC2=O)cc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000783019643 1072986196 /nfs/dbraw/zinc/98/61/96/1072986196.db2.gz CLPPUDNIWBWHTI-UHFFFAOYSA-N 0 0 445.476 -0.144 20 0 IBADRN CC(C)(C)OC(=O)Nc1cnc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000783034123 1071335262 /nfs/dbraw/zinc/33/52/62/1071335262.db2.gz VFPIRWAMURHGJW-UHFFFAOYSA-N 0 0 448.480 -0.033 20 0 IBADRN O=C(NCCNc1ccccc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000783053727 1072986079 /nfs/dbraw/zinc/98/60/79/1072986079.db2.gz YKQHIDILEGQGLO-UHFFFAOYSA-N 0 0 445.501 -0.026 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)CCN2CCCS2(=O)=O)cc1 ZINC000783054636 1072986098 /nfs/dbraw/zinc/98/60/98/1072986098.db2.gz BTPMFBKLWNONCL-UHFFFAOYSA-N 0 0 432.520 -0.070 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)CN2C(=O)c3ccccc3S2(=O)=O)C1=O ZINC000783074601 1072986728 /nfs/dbraw/zinc/98/67/28/1072986728.db2.gz NEUYZJMPVYNXPX-AWEZNQCLSA-N 0 0 431.474 -0.128 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)CN2C(=O)c3ccccc3S2(=O)=O)C1=O ZINC000783074602 1072986569 /nfs/dbraw/zinc/98/65/69/1072986569.db2.gz NEUYZJMPVYNXPX-CQSZACIVSA-N 0 0 431.474 -0.128 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)NC[C@H](O)CO)sc2c1CCN(C(=O)OCC)C2 ZINC000783105806 1071348734 /nfs/dbraw/zinc/34/87/34/1071348734.db2.gz ZQXOYSVUKSLYEU-JTQLQIEISA-N 0 0 443.478 -0.153 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)NC[C@@H](O)CO)sc2c1CCN(C(=O)OCC)C2 ZINC000783105808 1071348666 /nfs/dbraw/zinc/34/86/66/1071348666.db2.gz ZQXOYSVUKSLYEU-SNVBAGLBSA-N 0 0 443.478 -0.153 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)cc2)nn1 ZINC000783155320 1072986746 /nfs/dbraw/zinc/98/67/46/1072986746.db2.gz DTYZUDHSTUDZOE-UHFFFAOYSA-N 0 0 437.478 -0.502 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)c1cn(CC(=O)N(C)C)nn1)c1ccc(F)cc1 ZINC000783157313 1072986499 /nfs/dbraw/zinc/98/64/99/1072986499.db2.gz QFKQJDRBQOBCNF-GFCCVEGCSA-N 0 0 434.428 -0.344 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)c1cn(CC(=O)N(C)C)nn1)c1ccc(F)cc1 ZINC000783157316 1072986735 /nfs/dbraw/zinc/98/67/35/1072986735.db2.gz QFKQJDRBQOBCNF-LBPRGKRZSA-N 0 0 434.428 -0.344 20 0 IBADRN Cn1c2ncn(CC(=O)OC[C@@H]3COCCN3C(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC000783165718 1072986533 /nfs/dbraw/zinc/98/65/33/1072986533.db2.gz PRLNRYYNXNTIME-LBPRGKRZSA-N 0 0 437.453 -0.387 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OC[C@@H]1COCCN1C(=O)OC(C)(C)C)c(=O)n2C ZINC000783168019 1072986616 /nfs/dbraw/zinc/98/66/16/1072986616.db2.gz URNSSVPHKDOSHR-LBPRGKRZSA-N 0 0 437.453 -0.387 20 0 IBADRN CC1(C)OC[C@H](CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)O1 ZINC000783177375 1072986697 /nfs/dbraw/zinc/98/66/97/1072986697.db2.gz WKTWBERKIRZDIW-HNNXBMFYSA-N 0 0 427.527 -0.016 20 0 IBADRN CC1(C)OC[C@@H](CNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)O1 ZINC000783177377 1072986584 /nfs/dbraw/zinc/98/65/84/1072986584.db2.gz WKTWBERKIRZDIW-OAHLLOKOSA-N 0 0 427.527 -0.016 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000783184800 1072986756 /nfs/dbraw/zinc/98/67/56/1072986756.db2.gz KWMLJAQKPDKBAW-UHFFFAOYSA-N 0 0 436.508 -0.431 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000783184854 1072986642 /nfs/dbraw/zinc/98/66/42/1072986642.db2.gz MCHTYUALOBMBOK-GOSISDBHSA-N 0 0 440.478 -0.557 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000783184855 1072986763 /nfs/dbraw/zinc/98/67/63/1072986763.db2.gz MCHTYUALOBMBOK-SFHVURJKSA-N 0 0 440.478 -0.557 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000783185566 1072986683 /nfs/dbraw/zinc/98/66/83/1072986683.db2.gz OYSCOWWOUQPLKD-UHFFFAOYSA-N 0 0 437.496 -0.634 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000783186115 1072987141 /nfs/dbraw/zinc/98/71/41/1072987141.db2.gz QUWVAUJOZPPAFJ-UHFFFAOYSA-N 0 0 444.485 -0.609 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000783186636 1072987255 /nfs/dbraw/zinc/98/72/55/1072987255.db2.gz VAANLJAZJBKWCM-UHFFFAOYSA-N 0 0 427.479 -0.742 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC000783187992 1072987205 /nfs/dbraw/zinc/98/72/05/1072987205.db2.gz DNQZRRNXPKKCKA-AWEZNQCLSA-N 0 0 434.467 -0.048 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000783187993 1072987341 /nfs/dbraw/zinc/98/73/41/1072987341.db2.gz DNQZRRNXPKKCKA-CQSZACIVSA-N 0 0 434.467 -0.048 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)NCC(=O)Nc1ccccc1Br ZINC000783188160 1072987284 /nfs/dbraw/zinc/98/72/84/1072987284.db2.gz GSHVGBHKCUWBJM-UHFFFAOYSA-N 0 0 436.284 -0.014 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000783189125 1072987302 /nfs/dbraw/zinc/98/73/02/1072987302.db2.gz LRMPCXDMAHHLCQ-UHFFFAOYSA-N 0 0 449.507 -0.872 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000783190530 1072987165 /nfs/dbraw/zinc/98/71/65/1072987165.db2.gz SKEGLXILMDQDQG-UHFFFAOYSA-N 0 0 433.508 -0.998 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000783190843 1072987244 /nfs/dbraw/zinc/98/72/44/1072987244.db2.gz MYKFGZCXETZXOF-UHFFFAOYSA-N 0 0 433.508 -0.108 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000783192186 1072987274 /nfs/dbraw/zinc/98/72/74/1072987274.db2.gz JMCYJIJMVLVKCQ-UHFFFAOYSA-N 0 0 449.507 -0.872 20 0 IBADRN CNS(=O)(=O)CCC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000783192689 1072987187 /nfs/dbraw/zinc/98/71/87/1072987187.db2.gz JVRCHNZUKBFQFV-UHFFFAOYSA-N 0 0 447.535 -0.857 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000783216549 1072987290 /nfs/dbraw/zinc/98/72/90/1072987290.db2.gz LRLXCFXVYHVINL-UHFFFAOYSA-N 0 0 433.508 -0.070 20 0 IBADRN C[C@@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000783229109 1072987334 /nfs/dbraw/zinc/98/73/34/1072987334.db2.gz DNIYHHMBJORGDF-NEPJUHHUSA-N 0 0 436.450 -0.840 20 0 IBADRN C[C@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000783229113 1072987263 /nfs/dbraw/zinc/98/72/63/1072987263.db2.gz DNIYHHMBJORGDF-NWDGAFQWSA-N 0 0 436.450 -0.840 20 0 IBADRN C[C@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000783229116 1072987327 /nfs/dbraw/zinc/98/73/27/1072987327.db2.gz DNIYHHMBJORGDF-RYUDHWBXSA-N 0 0 436.450 -0.840 20 0 IBADRN C[C@@H](OC(=O)c1ccccc1NC(=O)Cn1cnnn1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000783229120 1072987321 /nfs/dbraw/zinc/98/73/21/1072987321.db2.gz DNIYHHMBJORGDF-VXGBXAGGSA-N 0 0 436.450 -0.840 20 0 IBADRN Cn1cc(CNC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)nn1 ZINC000783301370 1072987218 /nfs/dbraw/zinc/98/72/18/1072987218.db2.gz XJJNMGWPEXRTNL-UHFFFAOYSA-N 0 0 443.530 -0.409 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1F ZINC000783303023 1072987319 /nfs/dbraw/zinc/98/73/19/1072987319.db2.gz IVYIVQONKHNIBK-UHFFFAOYSA-N 0 0 431.446 -0.107 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)ccc1N1CCOCC1 ZINC000783303428 1072987714 /nfs/dbraw/zinc/98/77/14/1072987714.db2.gz MCISARBDSYDYAR-UHFFFAOYSA-N 0 0 445.520 -0.034 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1N1CCOCC1 ZINC000783303448 1072987703 /nfs/dbraw/zinc/98/77/03/1072987703.db2.gz HIDRXTGVMJCIKS-UHFFFAOYSA-N 0 0 443.504 -0.280 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)ccc1N1CCOCC1 ZINC000783303575 1072987823 /nfs/dbraw/zinc/98/78/23/1072987823.db2.gz MSOUNZUCYLGNJO-UHFFFAOYSA-N 0 0 439.538 -0.279 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1F ZINC000783303977 1072987234 /nfs/dbraw/zinc/98/72/34/1072987234.db2.gz KLMGJCFUOBTUBA-UHFFFAOYSA-N 0 0 435.412 -0.108 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000783314377 1072987642 /nfs/dbraw/zinc/98/76/42/1072987642.db2.gz ALKQYCJOLMBLAW-UHFFFAOYSA-N 0 0 444.510 -0.306 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1F ZINC000783317296 1072987687 /nfs/dbraw/zinc/98/76/87/1072987687.db2.gz BFHQAECSMPNLRJ-UHFFFAOYSA-N 0 0 432.430 -0.279 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cnc1Br ZINC000783317510 1072251519 /nfs/dbraw/zinc/25/15/19/1072251519.db2.gz CPFZTWFTQARSOX-UHFFFAOYSA-N 0 0 435.300 -0.131 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000783319078 1072987779 /nfs/dbraw/zinc/98/77/79/1072987779.db2.gz NWRLEHLVQOCGDE-UHFFFAOYSA-N 0 0 440.522 -0.452 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(N3CCOCC3)c(C)c2)CC1 ZINC000783320910 1072987725 /nfs/dbraw/zinc/98/77/25/1072987725.db2.gz UATZHPWKWCSJFY-UHFFFAOYSA-N 0 0 445.520 -0.033 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cnc1Br ZINC000783321613 1072987756 /nfs/dbraw/zinc/98/77/56/1072987756.db2.gz HQLUCNUVXMYSEH-UHFFFAOYSA-N 0 0 448.343 -0.216 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1F ZINC000783322474 1072987770 /nfs/dbraw/zinc/98/77/70/1072987770.db2.gz PGNNWJYUIPUGJZ-UHFFFAOYSA-N 0 0 445.473 -0.364 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)Cn1cc(S(N)(=O)=O)cn1)c1ccc(F)cc1 ZINC000783322716 1072987735 /nfs/dbraw/zinc/98/77/35/1072987735.db2.gz GJECNZLDAIGABT-LLVKDONJSA-N 0 0 441.441 -0.794 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)Cn1cc(S(N)(=O)=O)cn1)c1ccc(F)cc1 ZINC000783322731 1072987656 /nfs/dbraw/zinc/98/76/56/1072987656.db2.gz GJECNZLDAIGABT-NSHDSACASA-N 0 0 441.441 -0.794 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1 ZINC000783323532 1072987867 /nfs/dbraw/zinc/98/78/67/1072987867.db2.gz PVXULVPEVRGNSC-UHFFFAOYSA-N 0 0 444.491 -0.952 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)c(C)c1 ZINC000783323609 1072987743 /nfs/dbraw/zinc/98/77/43/1072987743.db2.gz LFBVBKINIGPROJ-KRWDZBQOSA-N 0 0 431.493 -0.377 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(N2CCOCC2)c(C)c1 ZINC000783323610 1072987842 /nfs/dbraw/zinc/98/78/42/1072987842.db2.gz LFBVBKINIGPROJ-QGZVFWFLSA-N 0 0 431.493 -0.377 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cnc(Br)c(C)c1 ZINC000783324700 1072987630 /nfs/dbraw/zinc/98/76/30/1072987630.db2.gz WTKYZVGJIVBXMD-LLVKDONJSA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cnc(Br)c(C)c1 ZINC000783324708 1072987835 /nfs/dbraw/zinc/98/78/35/1072987835.db2.gz WTKYZVGJIVBXMD-NSHDSACASA-N 0 0 426.271 -0.056 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1F ZINC000783328713 1072987852 /nfs/dbraw/zinc/98/78/52/1072987852.db2.gz HRRMWWNFHSYZQT-UHFFFAOYSA-N 0 0 431.446 -0.625 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1N1CCOCC1 ZINC000783329371 1072988386 /nfs/dbraw/zinc/98/83/86/1072988386.db2.gz KZDDBVMSCQTQCF-UHFFFAOYSA-N 0 0 439.538 -0.797 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(NC(=O)OC)c(F)c2)CC1 ZINC000783329903 1072987805 /nfs/dbraw/zinc/98/78/05/1072987805.db2.gz CJPJKCOOJORBBG-UHFFFAOYSA-N 0 0 439.444 -0.151 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(C)CC(=O)N1CCOCC1 ZINC000783330178 1072988155 /nfs/dbraw/zinc/98/81/55/1072988155.db2.gz KRGMXWLCXYQASV-UHFFFAOYSA-N 0 0 438.506 -0.857 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000783330542 1072988199 /nfs/dbraw/zinc/98/81/99/1072988199.db2.gz PGKHCESEISHDHY-UHFFFAOYSA-N 0 0 437.884 -0.468 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cnc(Br)c(C)c2)CC1 ZINC000783331266 1072988208 /nfs/dbraw/zinc/98/82/08/1072988208.db2.gz IHJXDDRNDRXBSR-UHFFFAOYSA-N 0 0 442.314 -0.002 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1F ZINC000783331392 1072988368 /nfs/dbraw/zinc/98/83/68/1072988368.db2.gz JDVLISGWRUYZFJ-UHFFFAOYSA-N 0 0 434.388 -0.700 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1N1CCOCC1 ZINC000783331422 1072988327 /nfs/dbraw/zinc/98/83/27/1072988327.db2.gz JQIUKMNMBMNVRW-UHFFFAOYSA-N 0 0 442.480 -0.872 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N(C)CC(=O)N2CCOCC2)cc1 ZINC000783331715 1072988405 /nfs/dbraw/zinc/98/84/05/1072988405.db2.gz YINQJUMQUVAROU-UHFFFAOYSA-N 0 0 428.467 -0.876 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)cnc1Br ZINC000783332212 1072988376 /nfs/dbraw/zinc/98/83/76/1072988376.db2.gz WALSIYBQQODSFI-UHFFFAOYSA-N 0 0 434.316 -0.476 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cnc1Br ZINC000783333305 1072988278 /nfs/dbraw/zinc/98/82/78/1072988278.db2.gz RHPGTRJMHPXJRI-UHFFFAOYSA-N 0 0 437.258 -0.551 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)c(C)c2)CC1 ZINC000783333378 1072988397 /nfs/dbraw/zinc/98/83/97/1072988397.db2.gz VCXUYRKCULWDSA-UHFFFAOYSA-N 0 0 447.536 -0.323 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CC(=O)CCN1C(=O)c3ccccc3C1=O)c2=O ZINC000783334690 1072988287 /nfs/dbraw/zinc/98/82/87/1072988287.db2.gz DNJIXVCNZVGGBR-UHFFFAOYSA-N 0 0 443.441 -0.211 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000783336437 1072988268 /nfs/dbraw/zinc/98/82/68/1072988268.db2.gz HCSFJHSRHWMCBG-GXTWGEPZSA-N 0 0 433.504 -0.045 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000783336438 1072988336 /nfs/dbraw/zinc/98/83/36/1072988336.db2.gz HCSFJHSRHWMCBG-JSGCOSHPSA-N 0 0 433.504 -0.045 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000783336439 1072988240 /nfs/dbraw/zinc/98/82/40/1072988240.db2.gz HCSFJHSRHWMCBG-OCCSQVGLSA-N 0 0 433.504 -0.045 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000783336440 1072988143 /nfs/dbraw/zinc/98/81/43/1072988143.db2.gz HCSFJHSRHWMCBG-TZMCWYRMSA-N 0 0 433.504 -0.045 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc(Cl)s1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000783342210 1072988306 /nfs/dbraw/zinc/98/83/06/1072988306.db2.gz YWXBYXIIDQBKEP-BDAKNGLRSA-N 0 0 444.940 -0.085 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc(Cl)s1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000783342211 1072988165 /nfs/dbraw/zinc/98/81/65/1072988165.db2.gz YWXBYXIIDQBKEP-DTWKUNHWSA-N 0 0 444.940 -0.085 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc(Cl)s1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000783342212 1072988221 /nfs/dbraw/zinc/98/82/21/1072988221.db2.gz YWXBYXIIDQBKEP-IUCAKERBSA-N 0 0 444.940 -0.085 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc(Cl)s1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000783342213 1072988184 /nfs/dbraw/zinc/98/81/84/1072988184.db2.gz YWXBYXIIDQBKEP-RKDXNWHRSA-N 0 0 444.940 -0.085 20 0 IBADRN Cc1cc(C)nc(N2CCN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CC2)n1 ZINC000783355280 1071556900 /nfs/dbraw/zinc/55/69/00/1071556900.db2.gz LJIVIKNZAMXJFK-UHFFFAOYSA-N 0 0 435.510 -0.378 20 0 IBADRN CCN(C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21)[C@H]1CCS(=O)(=O)C1 ZINC000783358339 1071560157 /nfs/dbraw/zinc/56/01/57/1071560157.db2.gz XWZCVJFRIZWOJF-AWEZNQCLSA-N 0 0 443.547 -0.138 20 0 IBADRN CCN(C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21)[C@@H]1CCS(=O)(=O)C1 ZINC000783358343 1071560176 /nfs/dbraw/zinc/56/01/76/1071560176.db2.gz XWZCVJFRIZWOJF-CQSZACIVSA-N 0 0 443.547 -0.138 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)OCCN(C)S(=O)(=O)N(C)C)c1 ZINC000783375679 1072988575 /nfs/dbraw/zinc/98/85/75/1072988575.db2.gz YSUCYZKREYNTGA-UHFFFAOYSA-N 0 0 435.524 -0.161 20 0 IBADRN COC(=O)COc1ccc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000783399264 1072988599 /nfs/dbraw/zinc/98/85/99/1072988599.db2.gz CPJVDLTUHHWGFK-KRWDZBQOSA-N 0 0 446.547 -0.127 20 0 IBADRN COC(=O)COc1ccc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000783399270 1072988585 /nfs/dbraw/zinc/98/85/85/1072988585.db2.gz CPJVDLTUHHWGFK-QGZVFWFLSA-N 0 0 446.547 -0.127 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(N[C@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)n1 ZINC000783405736 1072988715 /nfs/dbraw/zinc/98/87/15/1072988715.db2.gz RZVUQOXLODVUFZ-LLVKDONJSA-N 0 0 426.499 -0.711 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(N[C@@H](C)C(=O)c2c(N)n(C)c(=O)n(C)c2=O)n1 ZINC000783405744 1072989185 /nfs/dbraw/zinc/98/91/85/1072989185.db2.gz RZVUQOXLODVUFZ-NSHDSACASA-N 0 0 426.499 -0.711 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000783410183 1072989224 /nfs/dbraw/zinc/98/92/24/1072989224.db2.gz NSIOQWKTLUWRFC-UHFFFAOYSA-N 0 0 444.242 -0.543 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)C1 ZINC000783412687 1072989150 /nfs/dbraw/zinc/98/91/50/1072989150.db2.gz BINGVDYEJNPOMS-SECBINFHSA-N 0 0 425.435 -0.099 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)C1 ZINC000783412697 1072989206 /nfs/dbraw/zinc/98/92/06/1072989206.db2.gz BINGVDYEJNPOMS-VIFPVBQESA-N 0 0 425.435 -0.099 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000783413275 1072989250 /nfs/dbraw/zinc/98/92/50/1072989250.db2.gz GKZZFBWTECAQHH-CYBMUJFWSA-N 0 0 434.496 -0.914 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000783413276 1072989337 /nfs/dbraw/zinc/98/93/37/1072989337.db2.gz GKZZFBWTECAQHH-ZDUSSCGKSA-N 0 0 434.496 -0.914 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)cc1N1CCOC1=O ZINC000783413278 1072989303 /nfs/dbraw/zinc/98/93/03/1072989303.db2.gz GMKBIVMPYNMDKQ-GFCCVEGCSA-N 0 0 426.451 -0.130 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)cc1N1CCOC1=O ZINC000783413279 1072989324 /nfs/dbraw/zinc/98/93/24/1072989324.db2.gz GMKBIVMPYNMDKQ-LBPRGKRZSA-N 0 0 426.451 -0.130 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000783413317 1072989289 /nfs/dbraw/zinc/98/92/89/1072989289.db2.gz IBWPZZVSSYMENR-KUNJGFBQSA-N 0 0 431.536 -0.200 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000783413318 1072989166 /nfs/dbraw/zinc/98/91/66/1072989166.db2.gz IBWPZZVSSYMENR-QZXCRCNTSA-N 0 0 431.536 -0.200 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000783413319 1072989314 /nfs/dbraw/zinc/98/93/14/1072989314.db2.gz IBWPZZVSSYMENR-RXAIFQJESA-N 0 0 431.536 -0.200 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000783413320 1072989179 /nfs/dbraw/zinc/98/91/79/1072989179.db2.gz IBWPZZVSSYMENR-YVORESIASA-N 0 0 431.536 -0.200 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c1 ZINC000783414299 1072989214 /nfs/dbraw/zinc/98/92/14/1072989214.db2.gz NRYCXMCHYJDPNI-AWEZNQCLSA-N 0 0 433.508 -0.672 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c1 ZINC000783414300 1072989201 /nfs/dbraw/zinc/98/92/01/1072989201.db2.gz NRYCXMCHYJDPNI-CQSZACIVSA-N 0 0 433.508 -0.672 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC000783414392 1072989259 /nfs/dbraw/zinc/98/92/59/1072989259.db2.gz PPZZUGGKOYUAAF-AWEZNQCLSA-N 0 0 444.535 -0.895 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC000783414393 1072989235 /nfs/dbraw/zinc/98/92/35/1072989235.db2.gz PPZZUGGKOYUAAF-CQSZACIVSA-N 0 0 444.535 -0.895 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C1 ZINC000783414685 1072989190 /nfs/dbraw/zinc/98/91/90/1072989190.db2.gz SRVJNOWRYSERKP-LLVKDONJSA-N 0 0 425.435 -0.099 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C1 ZINC000783414686 1072989271 /nfs/dbraw/zinc/98/92/71/1072989271.db2.gz SRVJNOWRYSERKP-NSHDSACASA-N 0 0 425.435 -0.099 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000783415200 1072989158 /nfs/dbraw/zinc/98/91/58/1072989158.db2.gz YVWYGQBBIZHVSJ-GFCCVEGCSA-N 0 0 443.913 -0.506 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000783415203 1072989279 /nfs/dbraw/zinc/98/92/79/1072989279.db2.gz YVWYGQBBIZHVSJ-LBPRGKRZSA-N 0 0 443.913 -0.506 20 0 IBADRN COc1ccc(NC(=O)[C@@H]2CCCN2C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)cc1 ZINC000783428533 1072989790 /nfs/dbraw/zinc/98/97/90/1072989790.db2.gz XAUOOVXEIOLCTE-HNNXBMFYSA-N 0 0 443.464 -0.057 20 0 IBADRN COc1ccc(NC(=O)[C@H]2CCCN2C(=O)C(=O)Nc2ncn(CC(=O)N(C)C)n2)cc1 ZINC000783428537 1072989674 /nfs/dbraw/zinc/98/96/74/1072989674.db2.gz XAUOOVXEIOLCTE-OAHLLOKOSA-N 0 0 443.464 -0.057 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)C(F)F)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000783469252 1072989739 /nfs/dbraw/zinc/98/97/39/1072989739.db2.gz HZCBPROOVSKYHY-UHFFFAOYSA-N 0 0 435.449 -0.226 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)C(F)F)C(=O)N(CCO)CCN1CCOCC1 ZINC000783469826 1072989753 /nfs/dbraw/zinc/98/97/53/1072989753.db2.gz NQUSMPYALIDMMY-UHFFFAOYSA-N 0 0 435.449 -0.226 20 0 IBADRN O=C(Nc1ccc(N2C(=O)CCCC2=O)cc1)C(=O)N(CCO)CCN1CCOCC1 ZINC000783470387 1072989723 /nfs/dbraw/zinc/98/97/23/1072989723.db2.gz QIYZPGXGAGIFKE-UHFFFAOYSA-N 0 0 432.477 -0.178 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(CCO)CCN2CCOCC2)cc1N1CCOC1=O ZINC000783470448 1072990291 /nfs/dbraw/zinc/99/02/91/1072990291.db2.gz QURVNSAHDABNSQ-UHFFFAOYSA-N 0 0 436.465 -0.257 20 0 IBADRN NC(=O)C1CCN(c2ccc(NC(=O)C(=O)N(CCO)CCN3CCOCC3)cc2)CC1 ZINC000783470475 1072990204 /nfs/dbraw/zinc/99/02/04/1072990204.db2.gz RIDPYBGCMFGYHF-UHFFFAOYSA-N 0 0 447.536 -0.520 20 0 IBADRN O=C(Nc1ccc(=O)n(Cc2ccccc2)c1)C(=O)N(CCO)CCN1CCOCC1 ZINC000783471111 1072989697 /nfs/dbraw/zinc/98/96/97/1072989697.db2.gz YAEHFWFAZGDYPQ-UHFFFAOYSA-N 0 0 428.489 -0.012 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)Nc1ccc(=O)n(C)c1 ZINC000783493214 1072990266 /nfs/dbraw/zinc/99/02/66/1072990266.db2.gz CDZUOQKJXLEUAO-UHFFFAOYSA-N 0 0 435.481 -0.139 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000783494519 1072990169 /nfs/dbraw/zinc/99/01/69/1072990169.db2.gz DZMNBULIMORBHF-CVEARBPZSA-N 0 0 445.520 -0.049 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000783494521 1072990236 /nfs/dbraw/zinc/99/02/36/1072990236.db2.gz DZMNBULIMORBHF-HOTGVXAUSA-N 0 0 445.520 -0.049 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000783494523 1072990319 /nfs/dbraw/zinc/99/03/19/1072990319.db2.gz DZMNBULIMORBHF-HZPDHXFCSA-N 0 0 445.520 -0.049 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000783494524 1072990139 /nfs/dbraw/zinc/99/01/39/1072990139.db2.gz DZMNBULIMORBHF-JKSUJKDBSA-N 0 0 445.520 -0.049 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)ncn1 ZINC000783494776 1072990211 /nfs/dbraw/zinc/99/02/11/1072990211.db2.gz MKWXGXALHRSWHV-UHFFFAOYSA-N 0 0 436.469 -0.039 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)Nc1cccn(C)c1=O ZINC000783495115 1072990301 /nfs/dbraw/zinc/99/03/01/1072990301.db2.gz QPJHELCZRTUYDB-UHFFFAOYSA-N 0 0 435.481 -0.139 20 0 IBADRN COc1cc(NC(=O)C(=O)N(C)CC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)nn1C ZINC000783495626 1072990810 /nfs/dbraw/zinc/99/08/10/1072990810.db2.gz TYJVHKCUVLXZHT-UHFFFAOYSA-N 0 0 438.485 -0.095 20 0 IBADRN COc1ncncc1NC(=O)C(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000783495669 1072990844 /nfs/dbraw/zinc/99/08/44/1072990844.db2.gz VKKSAPOTKPWPBN-UHFFFAOYSA-N 0 0 436.469 -0.039 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)Nc1cccc(C(N)=O)n1 ZINC000783495868 1072990329 /nfs/dbraw/zinc/99/03/29/1072990329.db2.gz YLAPXYURCUKGIM-UHFFFAOYSA-N 0 0 448.480 -0.343 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)COC2(C)CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000783527502 1072990794 /nfs/dbraw/zinc/99/07/94/1072990794.db2.gz ZQQYQQSZUGORDV-UHFFFAOYSA-N 0 0 428.530 -0.081 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000783554491 1072990959 /nfs/dbraw/zinc/99/09/59/1072990959.db2.gz GNXFXYHOMXFYCT-CYBMUJFWSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000783554492 1072990945 /nfs/dbraw/zinc/99/09/45/1072990945.db2.gz GNXFXYHOMXFYCT-ZDUSSCGKSA-N 0 0 433.527 -0.178 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)COC1(C)CN(C(=O)OC(C)(C)C)C1 ZINC000783554847 1072990828 /nfs/dbraw/zinc/99/08/28/1072990828.db2.gz KIDQDENZECEZOY-CYBMUJFWSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)COC1(C)CN(C(=O)OC(C)(C)C)C1 ZINC000783554848 1072990858 /nfs/dbraw/zinc/99/08/58/1072990858.db2.gz KIDQDENZECEZOY-ZDUSSCGKSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000783570559 1072990767 /nfs/dbraw/zinc/99/07/67/1072990767.db2.gz OIPNTAHXTAVWTK-UHFFFAOYSA-N 0 0 434.559 -0.294 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000783576973 1072990863 /nfs/dbraw/zinc/99/08/63/1072990863.db2.gz XAKISZCXEFRVIE-UHFFFAOYSA-N 0 0 428.492 -0.726 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2[nH]nc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2C1 ZINC000783598588 1072990876 /nfs/dbraw/zinc/99/08/76/1072990876.db2.gz JRWCAWYEYSBUGR-UHFFFAOYSA-N 0 0 445.484 -0.326 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NN1CCCNC1=O ZINC000783600329 1072990986 /nfs/dbraw/zinc/99/09/86/1072990986.db2.gz MIBLGGDPKHMERR-UHFFFAOYSA-N 0 0 441.485 -0.115 20 0 IBADRN C[C@@H](NC(=O)COC1(C)CN(C(=O)OC(C)(C)C)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000783600487 1072990929 /nfs/dbraw/zinc/99/09/29/1072990929.db2.gz BLZOKLIQINOZNY-CYBMUJFWSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@H](NC(=O)COC1(C)CN(C(=O)OC(C)(C)C)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000783600488 1072990891 /nfs/dbraw/zinc/99/08/91/1072990891.db2.gz BLZOKLIQINOZNY-ZDUSSCGKSA-N 0 0 433.527 -0.226 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NN2CCCNC2=O)c1OC ZINC000783602538 1072990772 /nfs/dbraw/zinc/99/07/72/1072990772.db2.gz GDUSISHKVMCZLO-UHFFFAOYSA-N 0 0 428.467 -0.215 20 0 IBADRN CN1N=C(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CCC1=O ZINC000783617942 1072991315 /nfs/dbraw/zinc/99/13/15/1072991315.db2.gz KRWZXZNBELKREW-GFCCVEGCSA-N 0 0 428.492 -0.301 20 0 IBADRN CN1N=C(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CCC1=O ZINC000783617966 1072991441 /nfs/dbraw/zinc/99/14/41/1072991441.db2.gz KRWZXZNBELKREW-LBPRGKRZSA-N 0 0 428.492 -0.301 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2n[nH]c(C(=O)NCC(=O)NCCN3CCOCC3)c2C1 ZINC000783624177 1072991486 /nfs/dbraw/zinc/99/14/86/1072991486.db2.gz ZIONEGOMGASWLV-UHFFFAOYSA-N 0 0 436.513 -0.119 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C3=NN(C)C(=O)CC3)CC2)c1 ZINC000783648438 1072991363 /nfs/dbraw/zinc/99/13/63/1072991363.db2.gz XDUKIXZCFORAPK-UHFFFAOYSA-N 0 0 428.492 -0.024 20 0 IBADRN CCc1ccccc1NC(=O)CN(C)C(=O)[C@@H](C)OC(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000783668407 1072991407 /nfs/dbraw/zinc/99/14/07/1072991407.db2.gz OBHLFUVTBQCQPH-GFCCVEGCSA-N 0 0 432.433 -0.002 20 0 IBADRN CCc1ccccc1NC(=O)CN(C)C(=O)[C@H](C)OC(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000783668408 1072991453 /nfs/dbraw/zinc/99/14/53/1072991453.db2.gz OBHLFUVTBQCQPH-LBPRGKRZSA-N 0 0 432.433 -0.002 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCOC(N)=O)CC2)cc1 ZINC000783670041 1072991423 /nfs/dbraw/zinc/99/14/23/1072991423.db2.gz TWGOHJJGQJPGCM-UHFFFAOYSA-N 0 0 442.494 -0.066 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000783672319 1072991432 /nfs/dbraw/zinc/99/14/32/1072991432.db2.gz LQYBPSXRZPOOFV-GFCCVEGCSA-N 0 0 433.508 -0.488 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000783672320 1072991513 /nfs/dbraw/zinc/99/15/13/1072991513.db2.gz LQYBPSXRZPOOFV-LBPRGKRZSA-N 0 0 433.508 -0.488 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000783674923 1072991458 /nfs/dbraw/zinc/99/14/58/1072991458.db2.gz WYYVVAPLVWFQOX-GFCCVEGCSA-N 0 0 441.462 -0.748 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000783674928 1072991397 /nfs/dbraw/zinc/99/13/97/1072991397.db2.gz WYYVVAPLVWFQOX-LBPRGKRZSA-N 0 0 441.462 -0.748 20 0 IBADRN CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC000783691396 1072991379 /nfs/dbraw/zinc/99/13/79/1072991379.db2.gz STIZTUFICGOQTC-UHFFFAOYSA-N 0 0 425.463 -0.165 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)N1CCN2C(=O)N=NC2C1 ZINC000783711016 1072991349 /nfs/dbraw/zinc/99/13/49/1072991349.db2.gz ZZXLJDZTLOXZOP-GFCCVEGCSA-N 0 0 433.446 -0.037 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)N1CCN2C(=O)N=NC2C1 ZINC000783711017 1072991477 /nfs/dbraw/zinc/99/14/77/1072991477.db2.gz ZZXLJDZTLOXZOP-LBPRGKRZSA-N 0 0 433.446 -0.037 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)CN(CC(=O)N1CC(=O)N(C)C[C@@H]1C)Cc1ccccc1 ZINC000783765478 1072991492 /nfs/dbraw/zinc/99/14/92/1072991492.db2.gz WQYAHPQYHDHBSE-HDICACEKSA-N 0 0 443.548 -0.133 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)CN(CC(=O)N1CC(=O)N(C)C[C@H]1C)Cc1ccccc1 ZINC000783765479 1072991340 /nfs/dbraw/zinc/99/13/40/1072991340.db2.gz WQYAHPQYHDHBSE-QZTJIDSGSA-N 0 0 443.548 -0.133 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)CN(CC(=O)N1CC(=O)N(C)C[C@@H]1C)Cc1ccccc1 ZINC000783765480 1072991323 /nfs/dbraw/zinc/99/13/23/1072991323.db2.gz WQYAHPQYHDHBSE-ROUUACIJSA-N 0 0 443.548 -0.133 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000783777342 1072991333 /nfs/dbraw/zinc/99/13/33/1072991333.db2.gz PBXJJZJANKELHU-INIZCTEOSA-N 0 0 427.523 -0.004 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000783777344 1072991467 /nfs/dbraw/zinc/99/14/67/1072991467.db2.gz PBXJJZJANKELHU-MRXNPFEDSA-N 0 0 427.523 -0.004 20 0 IBADRN O=C(CN1CCN(c2ccccn2)CC1)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000783786295 1072991914 /nfs/dbraw/zinc/99/19/14/1072991914.db2.gz VUDWUCOINQZGDE-UHFFFAOYSA-N 0 0 425.493 -0.114 20 0 IBADRN C[C@@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000783833052 1072991830 /nfs/dbraw/zinc/99/18/30/1072991830.db2.gz ZLQZHEGLNNYGEL-CHWSQXEVSA-N 0 0 447.510 -0.699 20 0 IBADRN C[C@@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000783833060 1072991998 /nfs/dbraw/zinc/99/19/98/1072991998.db2.gz ZLQZHEGLNNYGEL-OLZOCXBDSA-N 0 0 447.510 -0.699 20 0 IBADRN C[C@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000783833062 1072991854 /nfs/dbraw/zinc/99/18/54/1072991854.db2.gz ZLQZHEGLNNYGEL-QWHCGFSZSA-N 0 0 447.510 -0.699 20 0 IBADRN C[C@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000783833064 1072991844 /nfs/dbraw/zinc/99/18/44/1072991844.db2.gz ZLQZHEGLNNYGEL-STQMWFEESA-N 0 0 447.510 -0.699 20 0 IBADRN O=C(NCCN1CCCOCC1)C(F)(F)C(F)(F)C(=O)NCCN1CCCOCC1 ZINC000783843841 1072991959 /nfs/dbraw/zinc/99/19/59/1072991959.db2.gz ACLDSSHAZBPGJN-UHFFFAOYSA-N 0 0 442.454 -0.066 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000783852297 1072992013 /nfs/dbraw/zinc/99/20/13/1072992013.db2.gz LKZDLNKQAYXLIN-DOMZBBRYSA-N 0 0 432.520 -0.026 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000783852302 1072991906 /nfs/dbraw/zinc/99/19/06/1072991906.db2.gz LKZDLNKQAYXLIN-IUODEOHRSA-N 0 0 432.520 -0.026 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000783852304 1072991951 /nfs/dbraw/zinc/99/19/51/1072991951.db2.gz LKZDLNKQAYXLIN-SWLSCSKDSA-N 0 0 432.520 -0.026 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000783852305 1072991808 /nfs/dbraw/zinc/99/18/08/1072991808.db2.gz LKZDLNKQAYXLIN-WFASDCNBSA-N 0 0 432.520 -0.026 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)OCCCS(=O)(=O)N(C)C)s1 ZINC000783859692 1072991954 /nfs/dbraw/zinc/99/19/54/1072991954.db2.gz VLYLORUQZREYBN-UHFFFAOYSA-N 0 0 441.553 -0.513 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000783881844 1072991893 /nfs/dbraw/zinc/99/18/93/1072991893.db2.gz ULYQELMZHAEQGB-DZGCQCFKSA-N 0 0 429.543 -0.200 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000783881845 1072991876 /nfs/dbraw/zinc/99/18/76/1072991876.db2.gz ULYQELMZHAEQGB-HIFRSBDPSA-N 0 0 429.543 -0.200 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000783881846 1072991926 /nfs/dbraw/zinc/99/19/26/1072991926.db2.gz ULYQELMZHAEQGB-UKRRQHHQSA-N 0 0 429.543 -0.200 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC000783881847 1072991938 /nfs/dbraw/zinc/99/19/38/1072991938.db2.gz ULYQELMZHAEQGB-ZFWWWQNUSA-N 0 0 429.543 -0.200 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)[C@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000783884940 1072992002 /nfs/dbraw/zinc/99/20/02/1072992002.db2.gz HZJKXPYOEPKOTD-AWEZNQCLSA-N 0 0 425.463 -0.259 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)[C@@H](CCC(N)=O)N1C(=O)c2ccccc2C1=O ZINC000783884942 1072991864 /nfs/dbraw/zinc/99/18/64/1072991864.db2.gz HZJKXPYOEPKOTD-CQSZACIVSA-N 0 0 425.463 -0.259 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000783893949 1072992008 /nfs/dbraw/zinc/99/20/08/1072992008.db2.gz MTBYWFFEHJFCEP-IBGZPJMESA-N 0 0 429.437 -0.113 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)CN1C(=O)N[C@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000783893950 1072992282 /nfs/dbraw/zinc/99/22/82/1072992282.db2.gz MTBYWFFEHJFCEP-LJQANCHMSA-N 0 0 429.437 -0.113 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)NCC(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC000783896853 1072992356 /nfs/dbraw/zinc/99/23/56/1072992356.db2.gz VLPVLCNDVVDIED-UHFFFAOYSA-N 0 0 430.259 -0.346 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000783897342 1072992469 /nfs/dbraw/zinc/99/24/69/1072992469.db2.gz FALAARDYQKDYHC-IBGZPJMESA-N 0 0 429.437 -0.113 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(-n3cnnn3)cc2)C1=O ZINC000783897876 1072992498 /nfs/dbraw/zinc/99/24/98/1072992498.db2.gz FALAARDYQKDYHC-LJQANCHMSA-N 0 0 429.437 -0.113 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2[nH]nc(C(=O)N3CCN(CCS(N)(=O)=O)CC3)c2C1 ZINC000783901434 1072992427 /nfs/dbraw/zinc/99/24/27/1072992427.db2.gz XJXDYTONMHFMSM-UHFFFAOYSA-N 0 0 442.542 -0.251 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C[C@@H](C)O1 ZINC000783914212 1072992329 /nfs/dbraw/zinc/99/23/29/1072992329.db2.gz CGIZJLCYJGVREE-BZUAXINKSA-N 0 0 441.554 -0.034 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C[C@@H](C)O1 ZINC000783914220 1072992507 /nfs/dbraw/zinc/99/25/07/1072992507.db2.gz CGIZJLCYJGVREE-OAGGEKHMSA-N 0 0 441.554 -0.034 20 0 IBADRN C[C@@H]1CN(C[C@H]2CCCN2C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C[C@H](C)O1 ZINC000783914222 1072992446 /nfs/dbraw/zinc/99/24/46/1072992446.db2.gz CGIZJLCYJGVREE-OWCLPIDISA-N 0 0 441.554 -0.034 20 0 IBADRN C[C@@H]1CN(C[C@@H]2CCCN2C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C[C@H](C)O1 ZINC000783914224 1072992397 /nfs/dbraw/zinc/99/23/97/1072992397.db2.gz CGIZJLCYJGVREE-XHSDSOJGSA-N 0 0 441.554 -0.034 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000783916209 1072992295 /nfs/dbraw/zinc/99/22/95/1072992295.db2.gz OPOIZXCDZGQJRR-UHFFFAOYSA-N 0 0 444.514 -0.336 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](N3CCNC3=O)C2)cc1 ZINC000783916367 1072992489 /nfs/dbraw/zinc/99/24/89/1072992489.db2.gz PXZTXEPSXTVSMG-AWEZNQCLSA-N 0 0 439.494 -0.177 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](N3CCNC3=O)C2)cc1 ZINC000783916368 1072992317 /nfs/dbraw/zinc/99/23/17/1072992317.db2.gz PXZTXEPSXTVSMG-CQSZACIVSA-N 0 0 439.494 -0.177 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC000783916391 1072992495 /nfs/dbraw/zinc/99/24/95/1072992495.db2.gz QGGXVOWSFSCINC-HNNXBMFYSA-N 0 0 449.533 -0.158 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000783916392 1072992370 /nfs/dbraw/zinc/99/23/70/1072992370.db2.gz QGGXVOWSFSCINC-OAHLLOKOSA-N 0 0 449.533 -0.158 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000783927228 1072992380 /nfs/dbraw/zinc/99/23/80/1072992380.db2.gz KPVAXKARJFVFJN-UHFFFAOYSA-N 0 0 447.473 -0.759 20 0 IBADRN O=C(NCc1cn[nH]n1)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000783931948 1072992517 /nfs/dbraw/zinc/99/25/17/1072992517.db2.gz ZTTMDEUDERZHBJ-UHFFFAOYSA-N 0 0 443.436 -0.266 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C[C@@H](c4cncn4C)CC3=O)CC2)C[C@H](C)O1 ZINC000783973839 1072993127 /nfs/dbraw/zinc/99/31/27/1072993127.db2.gz PDGJVYDLSSQFGL-BBWFWOEESA-N 0 0 440.570 -0.335 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C[C@H](c4cncn4C)CC3=O)CC2)C[C@@H](C)O1 ZINC000783973842 1072992847 /nfs/dbraw/zinc/99/28/47/1072992847.db2.gz PDGJVYDLSSQFGL-BRWVUGGUSA-N 0 0 440.570 -0.335 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C[C@H](c4cncn4C)CC3=O)CC2)C[C@H](C)O1 ZINC000783973845 1072993068 /nfs/dbraw/zinc/99/30/68/1072993068.db2.gz PDGJVYDLSSQFGL-IXDOHACOSA-N 0 0 440.570 -0.335 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C[C@@H](c4cncn4C)CC3=O)CC2)C[C@@H](C)O1 ZINC000783973851 1072992978 /nfs/dbraw/zinc/99/29/78/1072992978.db2.gz PDGJVYDLSSQFGL-ZACQAIPSSA-N 0 0 440.570 -0.335 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000783983136 1073416724 /nfs/dbraw/zinc/41/67/24/1073416724.db2.gz ZEJHMASRPJKDGL-UHFFFAOYSA-N 0 0 429.499 -0.987 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000783987516 1072992931 /nfs/dbraw/zinc/99/29/31/1072992931.db2.gz GMBGURIVWZLEIP-UHFFFAOYSA-N 0 0 425.492 -0.048 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCNC(=O)[C@H]1C ZINC000784007872 1072992801 /nfs/dbraw/zinc/99/28/01/1072992801.db2.gz HJPYSVCWVRXAGU-SECBINFHSA-N 0 0 426.451 -0.756 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCNC(=O)[C@@H]1C ZINC000784007877 1072992819 /nfs/dbraw/zinc/99/28/19/1072992819.db2.gz HJPYSVCWVRXAGU-VIFPVBQESA-N 0 0 426.451 -0.756 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)Cn2cnc3c2ncnc3N)cc1 ZINC000784021138 1072993085 /nfs/dbraw/zinc/99/30/85/1072993085.db2.gz NBSRDBHLNAAQKX-UHFFFAOYSA-N 0 0 432.462 -0.074 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1Br ZINC000784040610 1072992961 /nfs/dbraw/zinc/99/29/61/1072992961.db2.gz USBKKEQUNCPSJU-UHFFFAOYSA-N 0 0 435.343 -0.320 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)CC1 ZINC000784046470 1073602535 /nfs/dbraw/zinc/60/25/35/1073602535.db2.gz AYCHYLQKYJSWCN-UHFFFAOYSA-N 0 0 441.528 -0.895 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)sc1S(N)(=O)=O ZINC000784072910 1072992888 /nfs/dbraw/zinc/99/28/88/1072992888.db2.gz ZJWGKKJFWPINOR-UHFFFAOYSA-N 0 0 441.491 -0.300 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000784099228 1072992873 /nfs/dbraw/zinc/99/28/73/1072992873.db2.gz KPJSHRCSJXYVKK-AWEZNQCLSA-N 0 0 433.508 -0.472 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000784124468 1072993371 /nfs/dbraw/zinc/99/33/71/1072993371.db2.gz YSPDEIALDHCANJ-CYBMUJFWSA-N 0 0 428.916 -0.196 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC000784124472 1072993403 /nfs/dbraw/zinc/99/34/03/1072993403.db2.gz YSPDEIALDHCANJ-ZDUSSCGKSA-N 0 0 428.916 -0.196 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@H]1CCCCS1(=O)=O)c2=O ZINC000784129927 1072993578 /nfs/dbraw/zinc/99/35/78/1072993578.db2.gz XFFDJOVTDFNIGW-SECBINFHSA-N 0 0 432.300 -0.024 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@@H]1CCCCS1(=O)=O)c2=O ZINC000784129928 1072993511 /nfs/dbraw/zinc/99/35/11/1072993511.db2.gz XFFDJOVTDFNIGW-VIFPVBQESA-N 0 0 432.300 -0.024 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000784130609 1072993533 /nfs/dbraw/zinc/99/35/33/1072993533.db2.gz GCEDUQGSWMKDIO-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000784130612 1072993587 /nfs/dbraw/zinc/99/35/87/1072993587.db2.gz GCEDUQGSWMKDIO-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000784154789 1072993482 /nfs/dbraw/zinc/99/34/82/1072993482.db2.gz ITELXOSBCYZUAB-KBPBESRZSA-N 0 0 440.522 -0.452 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000784154799 1072993567 /nfs/dbraw/zinc/99/35/67/1072993567.db2.gz ITELXOSBCYZUAB-KGLIPLIRSA-N 0 0 440.522 -0.452 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)OC(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000784154800 1072993612 /nfs/dbraw/zinc/99/36/12/1072993612.db2.gz ITELXOSBCYZUAB-UONOGXRCSA-N 0 0 440.522 -0.452 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000784154801 1072993620 /nfs/dbraw/zinc/99/36/20/1072993620.db2.gz ITELXOSBCYZUAB-ZIAGYGMSSA-N 0 0 440.522 -0.452 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)c1 ZINC000784173947 1072993592 /nfs/dbraw/zinc/99/35/92/1072993592.db2.gz YSHASDZOFGXUAK-UHFFFAOYSA-N 0 0 436.494 -0.003 20 0 IBADRN COC(=O)CC1(NC(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)CCS(=O)(=O)CC1 ZINC000784209881 1072993442 /nfs/dbraw/zinc/99/34/42/1072993442.db2.gz VEPSOWSUVYALAM-HNNXBMFYSA-N 0 0 436.490 -0.267 20 0 IBADRN COC(=O)CC1(NC(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)CCS(=O)(=O)CC1 ZINC000784209882 1072993609 /nfs/dbraw/zinc/99/36/09/1072993609.db2.gz VEPSOWSUVYALAM-OAHLLOKOSA-N 0 0 436.490 -0.267 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000784259397 1072993961 /nfs/dbraw/zinc/99/39/61/1072993961.db2.gz UWEAHZZLWAFOEZ-INIZCTEOSA-N 0 0 425.511 -0.466 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000784259402 1072993897 /nfs/dbraw/zinc/99/38/97/1072993897.db2.gz UWEAHZZLWAFOEZ-MRXNPFEDSA-N 0 0 425.511 -0.466 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C)CCN3CCOCC3)cc2)CC1 ZINC000784271488 1072994087 /nfs/dbraw/zinc/99/40/87/1072994087.db2.gz AKCMYTNLROPGNO-UHFFFAOYSA-N 0 0 447.536 -0.289 20 0 IBADRN C[C@@H]1C[C@@H](C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@@H]3CO[C@H](C)C3)CC2)CO1 ZINC000784280500 1072993867 /nfs/dbraw/zinc/99/38/67/1072993867.db2.gz QVZMUNGBIPEKMO-FPCVCCKLSA-N 0 0 426.466 -0.406 20 0 IBADRN C[C@@H]1C[C@H](C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@@H]3CO[C@@H](C)C3)CC2)CO1 ZINC000784280503 1072994039 /nfs/dbraw/zinc/99/40/39/1072994039.db2.gz QVZMUNGBIPEKMO-FZKCQIBNSA-N 0 0 426.466 -0.406 20 0 IBADRN C[C@@H]1C[C@H](C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@H]3CO[C@@H](C)C3)CC2)CO1 ZINC000784280505 1072993987 /nfs/dbraw/zinc/99/39/87/1072993987.db2.gz QVZMUNGBIPEKMO-SYMSYNOKSA-N 0 0 426.466 -0.406 20 0 IBADRN C[C@@H]1C[C@H](C(=O)OCC(=O)N2CCN(C(=O)COC(=O)[C@@H]3CO[C@H](C)C3)CC2)CO1 ZINC000784280507 1072993995 /nfs/dbraw/zinc/99/39/95/1072993995.db2.gz QVZMUNGBIPEKMO-WCVJEAGWSA-N 0 0 426.466 -0.406 20 0 IBADRN C[C@@H](OC(=O)c1cnn(CC(=O)NC2CCCC2)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000784281176 1072994012 /nfs/dbraw/zinc/99/40/12/1072994012.db2.gz CZXLAFRYASNLOS-DOMZBBRYSA-N 0 0 426.495 -0.210 20 0 IBADRN C[C@@H](OC(=O)c1cnn(CC(=O)NC2CCCC2)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000784281177 1072993881 /nfs/dbraw/zinc/99/38/81/1072993881.db2.gz CZXLAFRYASNLOS-IUODEOHRSA-N 0 0 426.495 -0.210 20 0 IBADRN C[C@H](OC(=O)c1cnn(CC(=O)NC2CCCC2)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000784281179 1072993847 /nfs/dbraw/zinc/99/38/47/1072993847.db2.gz CZXLAFRYASNLOS-SWLSCSKDSA-N 0 0 426.495 -0.210 20 0 IBADRN C[C@H](OC(=O)c1cnn(CC(=O)NC2CCCC2)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000784281182 1072994024 /nfs/dbraw/zinc/99/40/24/1072994024.db2.gz CZXLAFRYASNLOS-WFASDCNBSA-N 0 0 426.495 -0.210 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)N1CCN(c2cnccn2)CC1)N1CCCS1(=O)=O ZINC000784301697 1072994060 /nfs/dbraw/zinc/99/40/60/1072994060.db2.gz ZHRWNXQBFZZTJH-KRWDZBQOSA-N 0 0 425.511 -0.272 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)N1CCN(c2cnccn2)CC1)N1CCCS1(=O)=O ZINC000784301794 1072993911 /nfs/dbraw/zinc/99/39/11/1072993911.db2.gz ZHRWNXQBFZZTJH-QGZVFWFLSA-N 0 0 425.511 -0.272 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NCC(=O)NCCO ZINC000784302698 1072993944 /nfs/dbraw/zinc/99/39/44/1072993944.db2.gz DKFYUZKAVYWCDS-UHFFFAOYSA-N 0 0 427.357 -0.848 20 0 IBADRN COCCn1ccc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC000784307654 1072993977 /nfs/dbraw/zinc/99/39/77/1072993977.db2.gz GMGARNQVIJIPOZ-CABCVRRESA-N 0 0 429.495 -0.119 20 0 IBADRN COCCn1ccc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC000784307657 1072994051 /nfs/dbraw/zinc/99/40/51/1072994051.db2.gz GMGARNQVIJIPOZ-GJZGRUSLSA-N 0 0 429.495 -0.119 20 0 IBADRN COCCn1ccc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC000784307659 1072994463 /nfs/dbraw/zinc/99/44/63/1072994463.db2.gz GMGARNQVIJIPOZ-HUUCEWRRSA-N 0 0 429.495 -0.119 20 0 IBADRN COCCn1ccc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC000784307660 1072994426 /nfs/dbraw/zinc/99/44/26/1072994426.db2.gz GMGARNQVIJIPOZ-LSDHHAIUSA-N 0 0 429.495 -0.119 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(-c2csc(N3CCOCC3)n2)cc1)NCCO ZINC000784311781 1072994560 /nfs/dbraw/zinc/99/45/60/1072994560.db2.gz SREUYYLVWHOLCK-UHFFFAOYSA-N 0 0 433.490 -0.190 20 0 IBADRN COCCn1ccc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n1 ZINC000784313854 1072994653 /nfs/dbraw/zinc/99/46/53/1072994653.db2.gz WGODPFZIFXGDPK-UHFFFAOYSA-N 0 0 428.453 -0.288 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(N)(=O)=O)cc(Cl)c1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000784339159 1072994672 /nfs/dbraw/zinc/99/46/72/1072994672.db2.gz PIRSSQDUFYDEMQ-HTQZYQBOSA-N 0 0 442.874 -0.025 20 0 IBADRN C[C@H](OC(=O)c1cc(S(N)(=O)=O)cc(Cl)c1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000784339160 1072994585 /nfs/dbraw/zinc/99/45/85/1072994585.db2.gz PIRSSQDUFYDEMQ-JGVFFNPUSA-N 0 0 442.874 -0.025 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(N)(=O)=O)cc(Cl)c1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000784339161 1072994508 /nfs/dbraw/zinc/99/45/08/1072994508.db2.gz PIRSSQDUFYDEMQ-SFYZADRCSA-N 0 0 442.874 -0.025 20 0 IBADRN C[C@H](OC(=O)c1cc(S(N)(=O)=O)cc(Cl)c1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000784339162 1072994676 /nfs/dbraw/zinc/99/46/76/1072994676.db2.gz PIRSSQDUFYDEMQ-YUMQZZPRSA-N 0 0 442.874 -0.025 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)C(=O)Nc2cnn(C)c2C2CC2)c1 ZINC000784349847 1072994434 /nfs/dbraw/zinc/99/44/34/1072994434.db2.gz BXIXBEJXXJIEQM-UHFFFAOYSA-N 0 0 434.478 -0.101 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)n1 ZINC000784351874 1072994616 /nfs/dbraw/zinc/99/46/16/1072994616.db2.gz FZNONJIOOYUCPD-HNNXBMFYSA-N 0 0 427.527 -0.421 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)n1 ZINC000784351878 1072994591 /nfs/dbraw/zinc/99/45/91/1072994591.db2.gz FZNONJIOOYUCPD-OAHLLOKOSA-N 0 0 427.527 -0.421 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)C(=O)Nc2ccnn2CC2CC2)c1 ZINC000784352310 1072994526 /nfs/dbraw/zinc/99/45/26/1072994526.db2.gz RQNADWCQEYZVDG-UHFFFAOYSA-N 0 0 434.478 -0.105 20 0 IBADRN CCc1c(C(=O)O[C@H](C)C(=O)N2CCN(CC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000784364417 1072994487 /nfs/dbraw/zinc/99/44/87/1072994487.db2.gz BZGNDDRCPBYVBX-CYBMUJFWSA-N 0 0 431.493 -0.096 20 0 IBADRN CCc1c(C(=O)O[C@@H](C)C(=O)N2CCN(CC)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000784364418 1072994538 /nfs/dbraw/zinc/99/45/38/1072994538.db2.gz BZGNDDRCPBYVBX-ZDUSSCGKSA-N 0 0 431.493 -0.096 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCN1C(=O)[C@H]3CCCC[C@@H]3C1=O)c(=O)n2C ZINC000784375891 1072995079 /nfs/dbraw/zinc/99/50/79/1072995079.db2.gz WNDJRPJWEGXZTG-KBPBESRZSA-N 0 0 445.476 -0.068 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCN1C(=O)[C@@H]3CCCC[C@@H]3C1=O)c(=O)n2C ZINC000784375893 1072995033 /nfs/dbraw/zinc/99/50/33/1072995033.db2.gz WNDJRPJWEGXZTG-OKILXGFUSA-N 0 0 445.476 -0.068 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCN1C(=O)[C@@H]3CCCC[C@H]3C1=O)c(=O)n2C ZINC000784375894 1072994984 /nfs/dbraw/zinc/99/49/84/1072994984.db2.gz WNDJRPJWEGXZTG-ZIAGYGMSSA-N 0 0 445.476 -0.068 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000784384913 1072995069 /nfs/dbraw/zinc/99/50/69/1072995069.db2.gz FBYJDIZOJLSVKA-IFICMAFDSA-N 0 0 443.522 -0.032 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000784384920 1072995011 /nfs/dbraw/zinc/99/50/11/1072995011.db2.gz FBYJDIZOJLSVKA-IOZFCKMISA-N 0 0 443.522 -0.032 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000784384922 1072995058 /nfs/dbraw/zinc/99/50/58/1072995058.db2.gz FBYJDIZOJLSVKA-QEAYJNAZSA-N 0 0 443.522 -0.032 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000784384923 1072994993 /nfs/dbraw/zinc/99/49/93/1072994993.db2.gz FBYJDIZOJLSVKA-UUUMGPIQSA-N 0 0 443.522 -0.032 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784389688 1072995004 /nfs/dbraw/zinc/99/50/04/1072995004.db2.gz DRUIQJQIBVBPTN-GDBMZVCRSA-N 0 0 433.490 -0.141 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784389689 1072994999 /nfs/dbraw/zinc/99/49/99/1072994999.db2.gz DRUIQJQIBVBPTN-GOEBONIOSA-N 0 0 433.490 -0.141 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784389690 1072995008 /nfs/dbraw/zinc/99/50/08/1072995008.db2.gz DRUIQJQIBVBPTN-HOCLYGCPSA-N 0 0 433.490 -0.141 20 0 IBADRN O=C(N[C@H]1CCCN(c2cccnc2)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784389691 1072994973 /nfs/dbraw/zinc/99/49/73/1072994973.db2.gz DRUIQJQIBVBPTN-ZBFHGGJFSA-N 0 0 433.490 -0.141 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)OCC1CCC(COC(=O)[C@H](C)NS(C)(=O)=O)CC1 ZINC000784392090 1072994955 /nfs/dbraw/zinc/99/49/55/1072994955.db2.gz XHMSUCKMOJANMZ-FEPKRQSRSA-N 0 0 442.556 -0.245 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC1CCC(COC(=O)[C@@H](C)NS(C)(=O)=O)CC1 ZINC000784392113 1072994969 /nfs/dbraw/zinc/99/49/69/1072994969.db2.gz XHMSUCKMOJANMZ-IWMBGFJWSA-N 0 0 442.556 -0.245 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)OCC1CCC(COC(=O)[C@H](C)NS(C)(=O)=O)CC1 ZINC000784392115 1072994980 /nfs/dbraw/zinc/99/49/80/1072994980.db2.gz XHMSUCKMOJANMZ-VTXSZYRJSA-N 0 0 442.556 -0.245 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000784407971 1072995093 /nfs/dbraw/zinc/99/50/93/1072995093.db2.gz NCZPSQCXJOOABK-UHFFFAOYSA-N 0 0 443.913 -0.506 20 0 IBADRN CC(C)(C)OC(=O)NC1(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CCC1 ZINC000784409938 1072994963 /nfs/dbraw/zinc/99/49/63/1072994963.db2.gz MFVSWOHJBWFUTC-UHFFFAOYSA-N 0 0 426.561 -0.155 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3C(C)C)cc2)CC1 ZINC000784416335 1072995021 /nfs/dbraw/zinc/99/50/21/1072995021.db2.gz SJJTZVATPDQPCC-IBGZPJMESA-N 0 0 445.520 -0.097 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@H]3C(C)C)cc2)CC1 ZINC000784416343 1072995016 /nfs/dbraw/zinc/99/50/16/1072995016.db2.gz SJJTZVATPDQPCC-LJQANCHMSA-N 0 0 445.520 -0.097 20 0 IBADRN CN(C(=O)C(=O)Nc1cnn(C)c1C1CC1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000784424230 1072626304 /nfs/dbraw/zinc/62/63/04/1072626304.db2.gz FNSWTQILVOFDEW-UHFFFAOYSA-N 0 0 445.568 -0.067 20 0 IBADRN CCCn1cc(NC(=O)C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)cn1 ZINC000784424255 1072995619 /nfs/dbraw/zinc/99/56/19/1072995619.db2.gz GYXSMFFEILKCBM-UHFFFAOYSA-N 0 0 433.557 -0.072 20 0 IBADRN CN(C(=O)C(=O)Nc1ccnn1CC1CC1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000784424328 1072995663 /nfs/dbraw/zinc/99/56/63/1072995663.db2.gz LCOQLFHEEQAZFB-UHFFFAOYSA-N 0 0 445.568 -0.072 20 0 IBADRN O=C1NC2(CO1)CCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)CC2 ZINC000784425602 1072995555 /nfs/dbraw/zinc/99/55/55/1072995555.db2.gz ISMAWZZISLXOCQ-UHFFFAOYSA-N 0 0 445.519 -0.029 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)Nc1cnn(CC(N)=O)c1 ZINC000784429953 1072636722 /nfs/dbraw/zinc/63/67/22/1072636722.db2.gz ORTGBFNKCOBZJY-UHFFFAOYSA-N 0 0 437.501 -0.682 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)c2ccc(S(N)(=O)=O)nc2)cc1 ZINC000784436102 1072995483 /nfs/dbraw/zinc/99/54/83/1072995483.db2.gz TXMGAORVMBUBDQ-UHFFFAOYSA-N 0 0 441.487 -0.140 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC3(COC(=O)N3)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000784455799 1072995507 /nfs/dbraw/zinc/99/55/07/1072995507.db2.gz ZQKVDBCTUUNQFM-UHFFFAOYSA-N 0 0 427.461 -0.065 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000784468282 1072995654 /nfs/dbraw/zinc/99/56/54/1072995654.db2.gz QOQLKWMXVMAYMO-UHFFFAOYSA-N 0 0 436.469 -0.691 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3CCO[C@H](C)C3)[C@H](c3cnn(C)c3)C2)CCO1 ZINC000784471408 1072995466 /nfs/dbraw/zinc/99/54/66/1072995466.db2.gz MWGNNFQOYABMTM-GGPKGHCWSA-N 0 0 448.568 -0.427 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3CCO[C@@H](C)C3)[C@H](c3cnn(C)c3)C2)CCO1 ZINC000784471411 1072995581 /nfs/dbraw/zinc/99/55/81/1072995581.db2.gz MWGNNFQOYABMTM-HBFSDRIKSA-N 0 0 448.568 -0.427 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3CCO[C@H](C)C3)[C@@H](c3cnn(C)c3)C2)CCO1 ZINC000784471414 1072995473 /nfs/dbraw/zinc/99/54/73/1072995473.db2.gz MWGNNFQOYABMTM-QWFCFKBJSA-N 0 0 448.568 -0.427 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(CC(=O)N3CCO[C@@H](C)C3)[C@@H](c3cnn(C)c3)C2)CCO1 ZINC000784471416 1072995590 /nfs/dbraw/zinc/99/55/90/1072995590.db2.gz MWGNNFQOYABMTM-WSTZPKSXSA-N 0 0 448.568 -0.427 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CC=CC[C@H]3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000784486564 1072995531 /nfs/dbraw/zinc/99/55/31/1072995531.db2.gz NXBAQLYAJCIGBE-CABCVRRESA-N 0 0 445.476 -0.582 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CC=CC[C@@H]3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000784486569 1072995496 /nfs/dbraw/zinc/99/54/96/1072995496.db2.gz NXBAQLYAJCIGBE-GJZGRUSLSA-N 0 0 445.476 -0.582 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CC=CC[C@H]3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000784486570 1072995690 /nfs/dbraw/zinc/99/56/90/1072995690.db2.gz NXBAQLYAJCIGBE-HUUCEWRRSA-N 0 0 445.476 -0.582 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CC=CC[C@@H]3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000784486572 1072995685 /nfs/dbraw/zinc/99/56/85/1072995685.db2.gz NXBAQLYAJCIGBE-LSDHHAIUSA-N 0 0 445.476 -0.582 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)c2ccc(S(N)(=O)=O)cn2)cc1 ZINC000784487568 1072996030 /nfs/dbraw/zinc/99/60/30/1072996030.db2.gz WIORRTBAYMEOQF-UHFFFAOYSA-N 0 0 441.487 -0.140 20 0 IBADRN CN(CCOC(=O)c1cc2c(nc3ccccn3c2=O)n1C)S(=O)(=O)N1CCOCC1 ZINC000784505192 1072996064 /nfs/dbraw/zinc/99/60/64/1072996064.db2.gz IEHXXAKJOILYGB-UHFFFAOYSA-N 0 0 449.489 -0.148 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000784507049 1072996142 /nfs/dbraw/zinc/99/61/42/1072996142.db2.gz QMUMCEJXXPIZMJ-UHFFFAOYSA-N 0 0 449.551 -0.421 20 0 IBADRN CN(CCOC(=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)S(=O)(=O)N1CCOCC1 ZINC000784508621 1072662871 /nfs/dbraw/zinc/66/28/71/1072662871.db2.gz XVEROGROPNOPQF-DLBZAZTESA-N 0 0 445.538 -0.520 20 0 IBADRN CN(CCOC(=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1)S(=O)(=O)N1CCOCC1 ZINC000784509133 1072996164 /nfs/dbraw/zinc/99/61/64/1072996164.db2.gz XVEROGROPNOPQF-IAGOWNOFSA-N 0 0 445.538 -0.520 20 0 IBADRN CN(CCOC(=O)[C@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)S(=O)(=O)N1CCOCC1 ZINC000784509146 1072996183 /nfs/dbraw/zinc/99/61/83/1072996183.db2.gz XVEROGROPNOPQF-IRXDYDNUSA-N 0 0 445.538 -0.520 20 0 IBADRN CN(CCOC(=O)[C@H]1CC=CC[C@H]1C(=O)N1CCOCC1)S(=O)(=O)N1CCOCC1 ZINC000784509153 1072996094 /nfs/dbraw/zinc/99/60/94/1072996094.db2.gz XVEROGROPNOPQF-SJORKVTESA-N 0 0 445.538 -0.520 20 0 IBADRN Cc1nc(N2CCC[C@H](NS(C)(=O)=O)C2)nc(N2CCC[C@H](NS(C)(=O)=O)C2)n1 ZINC000784572554 1072996690 /nfs/dbraw/zinc/99/66/90/1072996690.db2.gz ZFJMQOFKFKEOCI-KBPBESRZSA-N 0 0 447.587 -0.784 20 0 IBADRN Cc1nc(N2CCC[C@@H](NS(C)(=O)=O)C2)nc(N2CCC[C@H](NS(C)(=O)=O)C2)n1 ZINC000784572555 1072996573 /nfs/dbraw/zinc/99/65/73/1072996573.db2.gz ZFJMQOFKFKEOCI-OKILXGFUSA-N 0 0 447.587 -0.784 20 0 IBADRN Cc1nc(N2CCC[C@@H](NS(C)(=O)=O)C2)nc(N2CCC[C@@H](NS(C)(=O)=O)C2)n1 ZINC000784572556 1072996553 /nfs/dbraw/zinc/99/65/53/1072996553.db2.gz ZFJMQOFKFKEOCI-ZIAGYGMSSA-N 0 0 447.587 -0.784 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000784574313 1072996653 /nfs/dbraw/zinc/99/66/53/1072996653.db2.gz YVWLIFBEAAJGHM-JTQLQIEISA-N 0 0 447.473 -0.054 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000784574319 1072996591 /nfs/dbraw/zinc/99/65/91/1072996591.db2.gz YVWLIFBEAAJGHM-SNVBAGLBSA-N 0 0 447.473 -0.054 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)CC1 ZINC000784577150 1072996524 /nfs/dbraw/zinc/99/65/24/1072996524.db2.gz GTJMWBSXTNUEMU-UHFFFAOYSA-N 0 0 444.521 -0.220 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000784581256 1072996534 /nfs/dbraw/zinc/99/65/34/1072996534.db2.gz RTVKDOHFABVVKX-UHFFFAOYSA-N 0 0 448.553 -0.023 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)CC1 ZINC000784581526 1072996627 /nfs/dbraw/zinc/99/66/27/1072996627.db2.gz NIROUCNPEBYLKK-UHFFFAOYSA-N 0 0 448.553 -0.023 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCNC(=O)[C@@H]1c1ccccc1F ZINC000784585258 1072996507 /nfs/dbraw/zinc/99/65/07/1072996507.db2.gz WRJSEFTYAUXNQA-PXAZEXFGSA-N 0 0 436.465 -0.009 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCNC(=O)[C@@H]1c1ccccc1F ZINC000784585259 1072996667 /nfs/dbraw/zinc/99/66/67/1072996667.db2.gz WRJSEFTYAUXNQA-SJCJKPOMSA-N 0 0 436.465 -0.009 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCNC(=O)[C@H]1c1ccccc1F ZINC000784585260 1072996674 /nfs/dbraw/zinc/99/66/74/1072996674.db2.gz WRJSEFTYAUXNQA-SJKOYZFVSA-N 0 0 436.465 -0.009 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCNC(=O)[C@H]1c1ccccc1F ZINC000784585261 1072996482 /nfs/dbraw/zinc/99/64/82/1072996482.db2.gz WRJSEFTYAUXNQA-YVEFUNNKSA-N 0 0 436.465 -0.009 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)n(C)c1 ZINC000784589915 1072996622 /nfs/dbraw/zinc/99/66/22/1072996622.db2.gz WSZXGCKQQXFVDV-UHFFFAOYSA-N 0 0 436.494 -0.429 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)C(=O)N1CCc2ccc(S(=O)(=O)NC)cc21 ZINC000784591620 1072996681 /nfs/dbraw/zinc/99/66/81/1072996681.db2.gz OSEHAUYCJQSNGC-UHFFFAOYSA-N 0 0 438.535 -0.257 20 0 IBADRN CN1C(=S)N=NC1[C@H]1CCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000784632030 1072996448 /nfs/dbraw/zinc/99/64/48/1072996448.db2.gz FEXRMULIKJSBSE-AWEZNQCLSA-N 0 0 448.553 -0.023 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)C(=O)Nc2ccn(CCN3CCOCC3)n2)C1 ZINC000784632031 1072997157 /nfs/dbraw/zinc/99/71/57/1072997157.db2.gz FEXRMULIKJSBSE-CQSZACIVSA-N 0 0 448.553 -0.023 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000784633283 1072997149 /nfs/dbraw/zinc/99/71/49/1072997149.db2.gz JFLKWQUEBIEWGK-GFCCVEGCSA-N 0 0 444.521 -0.220 20 0 IBADRN CN1C(=S)N=NC1[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000784633285 1072997131 /nfs/dbraw/zinc/99/71/31/1072997131.db2.gz JFLKWQUEBIEWGK-LBPRGKRZSA-N 0 0 444.521 -0.220 20 0 IBADRN CN1C(=S)N=NC1[C@H]1CCCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000784633409 1072997013 /nfs/dbraw/zinc/99/70/13/1072997013.db2.gz ICNGTNIOMNVHOJ-AWEZNQCLSA-N 0 0 448.553 -0.023 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)C(=O)Nc2cnn(CCN3CCOCC3)c2)C1 ZINC000784633410 1072996980 /nfs/dbraw/zinc/99/69/80/1072996980.db2.gz ICNGTNIOMNVHOJ-CQSZACIVSA-N 0 0 448.553 -0.023 20 0 IBADRN CO[C@@H]1Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2C1 ZINC000784650837 1072997031 /nfs/dbraw/zinc/99/70/31/1072997031.db2.gz IMEDWMRSUXNZNV-GOSISDBHSA-N 0 0 444.488 -0.732 20 0 IBADRN CO[C@H]1Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)cc2C1 ZINC000784650838 1072997119 /nfs/dbraw/zinc/99/71/19/1072997119.db2.gz IMEDWMRSUXNZNV-SFHVURJKSA-N 0 0 444.488 -0.732 20 0 IBADRN CCOC(=O)c1cn(C)nc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000784656187 1072997177 /nfs/dbraw/zinc/99/71/77/1072997177.db2.gz GHKKLHKADJBBCF-UHFFFAOYSA-N 0 0 444.514 -0.734 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000784658301 1072996954 /nfs/dbraw/zinc/99/69/54/1072996954.db2.gz MDKUOKVKVUFNMI-CYBMUJFWSA-N 0 0 435.547 -0.402 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000784658302 1072997049 /nfs/dbraw/zinc/99/70/49/1072997049.db2.gz MDKUOKVKVUFNMI-ZDUSSCGKSA-N 0 0 435.547 -0.402 20 0 IBADRN CO[C@H]1Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2C1 ZINC000784658784 1072997077 /nfs/dbraw/zinc/99/70/77/1072997077.db2.gz VKUMSGRRZUCRCS-IBGZPJMESA-N 0 0 430.505 -0.258 20 0 IBADRN CO[C@@H]1Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2C1 ZINC000784658792 1072996941 /nfs/dbraw/zinc/99/69/41/1072996941.db2.gz VKUMSGRRZUCRCS-LJQANCHMSA-N 0 0 430.505 -0.258 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000784678862 1072997092 /nfs/dbraw/zinc/99/70/92/1072997092.db2.gz FIOOCYUCVCIRLV-UHFFFAOYSA-N 0 0 425.463 -0.599 20 0 IBADRN CO[C@H]1Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2C1 ZINC000784679027 1072997062 /nfs/dbraw/zinc/99/70/62/1072997062.db2.gz RNURTWTULTXKOY-KRWDZBQOSA-N 0 0 442.472 -0.442 20 0 IBADRN CO[C@@H]1Cc2ccc(NC(=O)C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cc2C1 ZINC000784679028 1072997165 /nfs/dbraw/zinc/99/71/65/1072997165.db2.gz RNURTWTULTXKOY-QGZVFWFLSA-N 0 0 442.472 -0.442 20 0 IBADRN CCOC(=O)COc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000784693507 1072997107 /nfs/dbraw/zinc/99/71/07/1072997107.db2.gz ZFGSIGZQZHAYBV-UHFFFAOYSA-N 0 0 443.478 -0.655 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1ccc(Br)c(S(=O)(=O)N(C)C)c1 ZINC000784704388 1072997170 /nfs/dbraw/zinc/99/71/70/1072997170.db2.gz HOPWYDROHRNEPM-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000784704415 1072997004 /nfs/dbraw/zinc/99/70/04/1072997004.db2.gz JIRACTRYHMKTGN-GFCCVEGCSA-N 0 0 431.492 -0.711 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000784704416 1072996962 /nfs/dbraw/zinc/99/69/62/1072996962.db2.gz JIRACTRYHMKTGN-LBPRGKRZSA-N 0 0 431.492 -0.711 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000784705253 1072997510 /nfs/dbraw/zinc/99/75/10/1072997510.db2.gz WTEOHXQNCJPSNU-LLVKDONJSA-N 0 0 429.451 -0.429 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC000784705254 1072997466 /nfs/dbraw/zinc/99/74/66/1072997466.db2.gz WTEOHXQNCJPSNU-NSHDSACASA-N 0 0 429.451 -0.429 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000784706030 1072997656 /nfs/dbraw/zinc/99/76/56/1072997656.db2.gz TXZBZYQVDHCPIP-UHFFFAOYSA-N 0 0 425.916 -0.360 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CN1C(=O)N[C@@](C)(c2ccc(Br)cc2)C1=O ZINC000784706427 1072997523 /nfs/dbraw/zinc/99/75/23/1072997523.db2.gz COANUNCMSXTQFA-HNNXBMFYSA-N 0 0 447.311 -0.119 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CN1C(=O)N[C@](C)(c2ccc(Br)cc2)C1=O ZINC000784706429 1072997578 /nfs/dbraw/zinc/99/75/78/1072997578.db2.gz COANUNCMSXTQFA-OAHLLOKOSA-N 0 0 447.311 -0.119 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000784710935 1072997430 /nfs/dbraw/zinc/99/74/30/1072997430.db2.gz RWQUZENWARCFRS-GXTWGEPZSA-N 0 0 432.520 -0.238 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000784710936 1072997539 /nfs/dbraw/zinc/99/75/39/1072997539.db2.gz RWQUZENWARCFRS-JSGCOSHPSA-N 0 0 432.520 -0.238 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000784710937 1072997477 /nfs/dbraw/zinc/99/74/77/1072997477.db2.gz RWQUZENWARCFRS-OCCSQVGLSA-N 0 0 432.520 -0.238 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000784710938 1072997440 /nfs/dbraw/zinc/99/74/40/1072997440.db2.gz RWQUZENWARCFRS-TZMCWYRMSA-N 0 0 432.520 -0.238 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)NC[C@H]2CC(C(N)=O)=NO2)c1 ZINC000784725760 1072997553 /nfs/dbraw/zinc/99/75/53/1072997553.db2.gz AERLRCNLWJJTFZ-DOGVGXBMSA-N 0 0 427.435 -0.202 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)NC[C@H]2CC(C(N)=O)=NO2)c1 ZINC000784725763 1072997455 /nfs/dbraw/zinc/99/74/55/1072997455.db2.gz AERLRCNLWJJTFZ-SGUJLRQBSA-N 0 0 427.435 -0.202 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)NC[C@@H]2CC(C(N)=O)=NO2)c1 ZINC000784725766 1072997377 /nfs/dbraw/zinc/99/73/77/1072997377.db2.gz AERLRCNLWJJTFZ-WYGGZMRJSA-N 0 0 427.435 -0.202 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)NC[C@@H]2CC(C(N)=O)=NO2)c1 ZINC000784725769 1072997562 /nfs/dbraw/zinc/99/75/62/1072997562.db2.gz AERLRCNLWJJTFZ-ZWNMCFTASA-N 0 0 427.435 -0.202 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)NC[C@H]2CC(C(N)=O)=NO2)c1 ZINC000784725805 1072997395 /nfs/dbraw/zinc/99/73/95/1072997395.db2.gz ARLMHJDRSOZHCV-SSDOTTSWSA-N 0 0 440.297 -0.239 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)NC[C@@H]2CC(C(N)=O)=NO2)c1 ZINC000784725809 1072997420 /nfs/dbraw/zinc/99/74/20/1072997420.db2.gz ARLMHJDRSOZHCV-ZETCQYMHSA-N 0 0 440.297 -0.239 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@H]2CC(C(N)=O)=NO2)c(OC)c1 ZINC000784725904 1072997629 /nfs/dbraw/zinc/99/76/29/1072997629.db2.gz CCPNCLHYLGGELP-LLVKDONJSA-N 0 0 434.496 -0.245 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@H]2CC(C(N)=O)=NO2)c(OC)c1 ZINC000784725907 1072997490 /nfs/dbraw/zinc/99/74/90/1072997490.db2.gz CCPNCLHYLGGELP-NSHDSACASA-N 0 0 434.496 -0.245 20 0 IBADRN NC(=O)C1=NO[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC000784726816 1072997405 /nfs/dbraw/zinc/99/74/05/1072997405.db2.gz HCQFXCYVMBGXGN-GFCCVEGCSA-N 0 0 430.508 -0.230 20 0 IBADRN NC(=O)C1=NO[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC000784726856 1072997615 /nfs/dbraw/zinc/99/76/15/1072997615.db2.gz HCQFXCYVMBGXGN-LBPRGKRZSA-N 0 0 430.508 -0.230 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC[C@H]3CC(C(N)=O)=NO3)cc2n(C)c1=O ZINC000784729524 1072997596 /nfs/dbraw/zinc/99/75/96/1072997596.db2.gz WAFUFBSPBWTITC-SSDOTTSWSA-N 0 0 446.283 -0.452 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NC[C@@H]3CC(C(N)=O)=NO3)cc2n(C)c1=O ZINC000784729528 1072998257 /nfs/dbraw/zinc/99/82/57/1072998257.db2.gz WAFUFBSPBWTITC-ZETCQYMHSA-N 0 0 446.283 -0.452 20 0 IBADRN COc1ccc(CCS(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000784737413 1072997981 /nfs/dbraw/zinc/99/79/81/1072997981.db2.gz PLNCNXITKGCKMI-UHFFFAOYSA-N 0 0 446.595 -0.323 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCc3nnc(C)[nH]3)cc2)CC1 ZINC000784740434 1072998233 /nfs/dbraw/zinc/99/82/33/1072998233.db2.gz DNSQBNWYDMSWKT-UHFFFAOYSA-N 0 0 429.481 -0.294 20 0 IBADRN COc1ccc(CCS(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000784740467 1072998266 /nfs/dbraw/zinc/99/82/66/1072998266.db2.gz FTLARVBGHRPEGX-UHFFFAOYSA-N 0 0 425.507 -0.430 20 0 IBADRN COC(=O)CC1(NC(=O)C2=NN(c3ccccc3)C(=O)[C@@H](C)N2)CCS(=O)(=O)CC1 ZINC000784751651 1072998147 /nfs/dbraw/zinc/99/81/47/1072998147.db2.gz DWZTWCZPULJXOK-CYBMUJFWSA-N 0 0 436.490 -0.049 20 0 IBADRN COC(=O)CC1(NC(=O)C2=NN(c3ccccc3)C(=O)[C@H](C)N2)CCS(=O)(=O)CC1 ZINC000784751679 1072998136 /nfs/dbraw/zinc/99/81/36/1072998136.db2.gz DWZTWCZPULJXOK-ZDUSSCGKSA-N 0 0 436.490 -0.049 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCCN(c3ncccc3F)CC2)o1 ZINC000784758175 1072998222 /nfs/dbraw/zinc/99/82/22/1072998222.db2.gz BBRCWQBFTIHXRR-UHFFFAOYSA-N 0 0 425.442 -0.070 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)ccc1F ZINC000784760164 1072998323 /nfs/dbraw/zinc/99/83/23/1072998323.db2.gz SGFGMNZGKHVDKH-UHFFFAOYSA-N 0 0 436.483 -0.026 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N(CCO)CCOCC(F)(F)F ZINC000784766005 1072998212 /nfs/dbraw/zinc/99/82/12/1072998212.db2.gz VFHHCIYNJZSBNK-UHFFFAOYSA-N 0 0 432.399 -0.039 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)cn1 ZINC000784769942 1072998162 /nfs/dbraw/zinc/99/81/62/1072998162.db2.gz UPPDRRUQVMBKGO-UHFFFAOYSA-N 0 0 434.478 -0.373 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)n1C ZINC000784771050 1072998102 /nfs/dbraw/zinc/99/81/02/1072998102.db2.gz VZFCKTUECNHDJS-UHFFFAOYSA-N 0 0 434.522 -0.058 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(C)C(=O)[C@@H]3C)cc2)CC1 ZINC000784782207 1072998690 /nfs/dbraw/zinc/99/86/90/1072998690.db2.gz BRGFVFBSINHYJG-HNNXBMFYSA-N 0 0 431.493 -0.391 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(C)C(=O)[C@H]3C)cc2)CC1 ZINC000784782209 1072998808 /nfs/dbraw/zinc/99/88/08/1072998808.db2.gz BRGFVFBSINHYJG-OAHLLOKOSA-N 0 0 431.493 -0.391 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000784782317 1072998747 /nfs/dbraw/zinc/99/87/47/1072998747.db2.gz COCBSIUJPKFXRS-GFCCVEGCSA-N 0 0 445.519 -0.868 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000784782336 1072998753 /nfs/dbraw/zinc/99/87/53/1072998753.db2.gz COCBSIUJPKFXRS-LBPRGKRZSA-N 0 0 445.519 -0.868 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCN(C)C(=O)[C@@H]1C ZINC000784783450 1072998736 /nfs/dbraw/zinc/99/87/36/1072998736.db2.gz JJKHWIPJCUEUPX-JTQLQIEISA-N 0 0 440.478 -0.414 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCN(C)C(=O)[C@H]1C ZINC000784783452 1072998672 /nfs/dbraw/zinc/99/86/72/1072998672.db2.gz JJKHWIPJCUEUPX-SNVBAGLBSA-N 0 0 440.478 -0.414 20 0 IBADRN CC1(C2CCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)CC2)OCCO1 ZINC000784785339 1072998826 /nfs/dbraw/zinc/99/88/26/1072998826.db2.gz DVMPYAWMJKDWFD-UHFFFAOYSA-N 0 0 435.481 -0.318 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)c2ccnc(S(N)(=O)=O)c2)cc1 ZINC000784786769 1072998785 /nfs/dbraw/zinc/99/87/85/1072998785.db2.gz LDCCHKFWJXSBPY-UHFFFAOYSA-N 0 0 441.487 -0.140 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC(C4(C)OCCO4)CC3)cnc2n(C)c1=O ZINC000784787546 1072998701 /nfs/dbraw/zinc/99/87/01/1072998701.db2.gz WRDHEBOOZRWTQC-UHFFFAOYSA-N 0 0 431.449 -0.428 20 0 IBADRN CC1(C2CCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)CC2)OCCO1 ZINC000784789949 1072998836 /nfs/dbraw/zinc/99/88/36/1072998836.db2.gz YSHZPOIHNOENOS-UHFFFAOYSA-N 0 0 435.481 -0.318 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2Cl)CC1 ZINC000784790974 1072998812 /nfs/dbraw/zinc/99/88/12/1072998812.db2.gz ATLQPMDBZMPNQP-CYBMUJFWSA-N 0 0 429.930 -0.080 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2Cl)CC1 ZINC000784790975 1072998844 /nfs/dbraw/zinc/99/88/44/1072998844.db2.gz ATLQPMDBZMPNQP-ZDUSSCGKSA-N 0 0 429.930 -0.080 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)C1 ZINC000784791358 1072998768 /nfs/dbraw/zinc/99/87/68/1072998768.db2.gz FBTDSENAJGQDSH-GFCCVEGCSA-N 0 0 426.476 -0.290 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CSC3=O)cc2)C1 ZINC000784791359 1072998804 /nfs/dbraw/zinc/99/88/04/1072998804.db2.gz FBTDSENAJGQDSH-LBPRGKRZSA-N 0 0 426.476 -0.290 20 0 IBADRN NS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000784795893 1072998663 /nfs/dbraw/zinc/99/86/63/1072998663.db2.gz YHVQPONVJGOLKX-LLVKDONJSA-N 0 0 429.886 -0.896 20 0 IBADRN NS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000784795895 1072998762 /nfs/dbraw/zinc/99/87/62/1072998762.db2.gz YHVQPONVJGOLKX-NSHDSACASA-N 0 0 429.886 -0.896 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000784803050 1072998649 /nfs/dbraw/zinc/99/86/49/1072998649.db2.gz KWHMFAGEWBSMGI-GFCCVEGCSA-N 0 0 442.398 -0.422 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000784803051 1072999318 /nfs/dbraw/zinc/99/93/18/1072999318.db2.gz KWHMFAGEWBSMGI-LBPRGKRZSA-N 0 0 442.398 -0.422 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N(C)[C@@H]2CCN(CC(F)(F)F)C2=O)c1=O ZINC000784810718 1072999441 /nfs/dbraw/zinc/99/94/41/1072999441.db2.gz IZKCYXHLDBQIJT-GFCCVEGCSA-N 0 0 446.382 -0.029 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)C(=O)N(C)[C@H]2CCN(CC(F)(F)F)C2=O)c1=O ZINC000784810724 1072999258 /nfs/dbraw/zinc/99/92/58/1072999258.db2.gz IZKCYXHLDBQIJT-LBPRGKRZSA-N 0 0 446.382 -0.029 20 0 IBADRN CN(C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000784811874 1072999384 /nfs/dbraw/zinc/99/93/84/1072999384.db2.gz MLQPYBDWHKHDRK-AWEZNQCLSA-N 0 0 446.430 -0.225 20 0 IBADRN CN(C(=O)C(=O)Nc1cnn(CCN2CCOCC2)c1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000784811892 1072999418 /nfs/dbraw/zinc/99/94/18/1072999418.db2.gz MLQPYBDWHKHDRK-CQSZACIVSA-N 0 0 446.430 -0.225 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000784818351 1072999241 /nfs/dbraw/zinc/99/92/41/1072999241.db2.gz KDGNQINVPBQEAO-GFCCVEGCSA-N 0 0 434.496 -0.914 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000784818353 1072999344 /nfs/dbraw/zinc/99/93/44/1072999344.db2.gz KDGNQINVPBQEAO-LBPRGKRZSA-N 0 0 434.496 -0.914 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000784819214 1072999434 /nfs/dbraw/zinc/99/94/34/1072999434.db2.gz PGDYNMPJYSKOTJ-GFCCVEGCSA-N 0 0 443.913 -0.506 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000784819216 1072999354 /nfs/dbraw/zinc/99/93/54/1072999354.db2.gz PGDYNMPJYSKOTJ-LBPRGKRZSA-N 0 0 443.913 -0.506 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000784819258 1072999325 /nfs/dbraw/zinc/99/93/25/1072999325.db2.gz PVZQIXGAUGYPCR-KUNJGFBQSA-N 0 0 431.536 -0.200 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000784819260 1072999363 /nfs/dbraw/zinc/99/93/63/1072999363.db2.gz PVZQIXGAUGYPCR-QZXCRCNTSA-N 0 0 431.536 -0.200 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000784819262 1072999230 /nfs/dbraw/zinc/99/92/30/1072999230.db2.gz PVZQIXGAUGYPCR-RXAIFQJESA-N 0 0 431.536 -0.200 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000784819264 1072999496 /nfs/dbraw/zinc/99/94/96/1072999496.db2.gz PVZQIXGAUGYPCR-YVORESIASA-N 0 0 431.536 -0.200 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)c1 ZINC000784819274 1072999373 /nfs/dbraw/zinc/99/93/73/1072999373.db2.gz QJCLAGWMASZIGJ-CYBMUJFWSA-N 0 0 433.508 -0.672 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)c1 ZINC000784819276 1072999218 /nfs/dbraw/zinc/99/92/18/1072999218.db2.gz QJCLAGWMASZIGJ-ZDUSSCGKSA-N 0 0 433.508 -0.672 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1N1CCOC1=O ZINC000784819293 1072999404 /nfs/dbraw/zinc/99/94/04/1072999404.db2.gz QVHRLEZASVYGSM-GFCCVEGCSA-N 0 0 426.451 -0.130 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1N1CCOC1=O ZINC000784819299 1072999886 /nfs/dbraw/zinc/99/98/86/1072999886.db2.gz QVHRLEZASVYGSM-LBPRGKRZSA-N 0 0 426.451 -0.130 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC000784819327 1073000030 /nfs/dbraw/zinc/00/00/30/1073000030.db2.gz RBWXPNXEFSEEJX-SECBINFHSA-N 0 0 425.435 -0.099 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC000784819329 1072999880 /nfs/dbraw/zinc/99/98/80/1072999880.db2.gz RBWXPNXEFSEEJX-VIFPVBQESA-N 0 0 425.435 -0.099 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000784820115 1073000021 /nfs/dbraw/zinc/00/00/21/1073000021.db2.gz XNQZINXNWUTWHZ-JTQLQIEISA-N 0 0 425.435 -0.099 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000784820116 1072999823 /nfs/dbraw/zinc/99/98/23/1072999823.db2.gz XNQZINXNWUTWHZ-SNVBAGLBSA-N 0 0 425.435 -0.099 20 0 IBADRN Cn1c2ncn(CCOC(=O)COCCNC(=O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000784825595 1072999912 /nfs/dbraw/zinc/99/99/12/1072999912.db2.gz YAAZXHJPAUYRNM-UHFFFAOYSA-N 0 0 429.433 -0.577 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CN1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000784846283 1072999753 /nfs/dbraw/zinc/99/97/53/1072999753.db2.gz OTIDPZITGKSWPG-GJZGRUSLSA-N 0 0 449.485 -0.059 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000784852787 1072999865 /nfs/dbraw/zinc/99/98/65/1072999865.db2.gz ASFMITBMZRKABZ-LSDHHAIUSA-N 0 0 438.506 -0.170 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000784853566 1072999935 /nfs/dbraw/zinc/99/99/35/1072999935.db2.gz ODDNHYPQHRDXSZ-LSDHHAIUSA-N 0 0 438.506 -0.170 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)N1C[C@H](O)C[C@H]1CO ZINC000784853568 1073000012 /nfs/dbraw/zinc/00/00/12/1073000012.db2.gz OEZBWOGRDNYLOG-DTWKUNHWSA-N 0 0 426.369 -0.119 20 0 IBADRN CCOC(=O)CN(C)C(=O)COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000784854630 1073037734 /nfs/dbraw/zinc/03/77/34/1073037734.db2.gz CFYVYOJCXFYJRI-HIFRSBDPSA-N 0 0 446.453 -0.486 20 0 IBADRN CN(C)S(=O)(=O)CCCOC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000784854879 1073000338 /nfs/dbraw/zinc/00/03/38/1073000338.db2.gz FKGQEOSWTORAOY-HIFRSBDPSA-N 0 0 438.499 -0.226 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)C3CN(C(=O)OC(C)(C)C)C3)CC2)ccc1=O ZINC000784857488 1073000306 /nfs/dbraw/zinc/00/03/06/1073000306.db2.gz BYJUFPAJVBTQKL-UHFFFAOYSA-N 0 0 449.508 -0.052 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000784863384 1073000413 /nfs/dbraw/zinc/00/04/13/1073000413.db2.gz OPVDALWGVPYRSD-MRVPVSSYSA-N 0 0 437.352 -0.151 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000784863387 1073000486 /nfs/dbraw/zinc/00/04/86/1073000486.db2.gz OPVDALWGVPYRSD-QMMMGPOBSA-N 0 0 437.352 -0.151 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000784864067 1073000250 /nfs/dbraw/zinc/00/02/50/1073000250.db2.gz RPYZKKJHHDYTMA-JTQLQIEISA-N 0 0 431.854 -0.377 20 0 IBADRN CS(=O)(=O)CCOc1ccc(Cl)cc1NC(=O)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000784864077 1073000274 /nfs/dbraw/zinc/00/02/74/1073000274.db2.gz RPYZKKJHHDYTMA-SNVBAGLBSA-N 0 0 431.854 -0.377 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@@H]2CCCCS2(=O)=O)cc1 ZINC000784865400 1073000439 /nfs/dbraw/zinc/00/04/39/1073000439.db2.gz KZSIGFGVMUKIJI-AWEZNQCLSA-N 0 0 433.508 -0.110 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H]2CCCCS2(=O)=O)cc1 ZINC000784865401 1073000478 /nfs/dbraw/zinc/00/04/78/1073000478.db2.gz KZSIGFGVMUKIJI-CQSZACIVSA-N 0 0 433.508 -0.110 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H]1CCCCS1(=O)=O ZINC000784866485 1073000470 /nfs/dbraw/zinc/00/04/70/1073000470.db2.gz WUKXNPRROPUGLW-HNNXBMFYSA-N 0 0 443.547 -0.091 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H]1CCCCS1(=O)=O ZINC000784866486 1073000392 /nfs/dbraw/zinc/00/03/92/1073000392.db2.gz WUKXNPRROPUGLW-OAHLLOKOSA-N 0 0 443.547 -0.091 20 0 IBADRN CN(CCOC(=O)COCCNC(=O)c1ccccc1)S(=O)(=O)N1CCOCC1 ZINC000784867637 1073000351 /nfs/dbraw/zinc/00/03/51/1073000351.db2.gz QWNKDHVYKLDIDS-UHFFFAOYSA-N 0 0 429.495 -0.515 20 0 IBADRN O=C(Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1)C(=O)N[C@H]1CNC(=O)C1 ZINC000784869378 1073000684 /nfs/dbraw/zinc/00/06/84/1073000684.db2.gz VMURFZTVWIGLBC-GFCCVEGCSA-N 0 0 445.448 -0.696 20 0 IBADRN O=C(Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1)C(=O)N[C@@H]1CNC(=O)C1 ZINC000784869379 1073000829 /nfs/dbraw/zinc/00/08/29/1073000829.db2.gz VMURFZTVWIGLBC-LBPRGKRZSA-N 0 0 445.448 -0.696 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000784876252 1073000728 /nfs/dbraw/zinc/00/07/28/1073000728.db2.gz WZEIQRYYVNCQTD-CAOSSQGBSA-N 0 0 428.507 -0.337 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000784876253 1073000910 /nfs/dbraw/zinc/00/09/10/1073000910.db2.gz WZEIQRYYVNCQTD-XUWVNRHRSA-N 0 0 428.507 -0.337 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000784876254 1073000739 /nfs/dbraw/zinc/00/07/39/1073000739.db2.gz WZEIQRYYVNCQTD-YHUYYLMFSA-N 0 0 428.507 -0.337 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000784876255 1073000715 /nfs/dbraw/zinc/00/07/15/1073000715.db2.gz WZEIQRYYVNCQTD-ZJIFWQFVSA-N 0 0 428.507 -0.337 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000784901504 1070794729 /nfs/dbraw/zinc/79/47/29/1070794729.db2.gz DLEMNRLUMHTMQP-INIZCTEOSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCNC(=O)N(C)C)cc2)CC1 ZINC000784901921 1070795645 /nfs/dbraw/zinc/79/56/45/1070795645.db2.gz HQWPNEKHSQNSMP-UHFFFAOYSA-N 0 0 434.497 -0.693 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C)CC(C)(CO)CO)cc2)CC1 ZINC000784907840 1070798581 /nfs/dbraw/zinc/79/85/81/1070798581.db2.gz PVCXCOWSVRXGMD-UHFFFAOYSA-N 0 0 436.509 -0.631 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(C)=O)C[C@@H]3C)cc2)CC1 ZINC000784908532 1070798448 /nfs/dbraw/zinc/79/84/48/1070798448.db2.gz SZPVORJOIKYXGX-INIZCTEOSA-N 0 0 445.520 -0.001 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(C)=O)C[C@H]3C)cc2)CC1 ZINC000784908534 1070798709 /nfs/dbraw/zinc/79/87/09/1070798709.db2.gz SZPVORJOIKYXGX-MRXNPFEDSA-N 0 0 445.520 -0.001 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCSC[C@@H]3CO)cc2)CC1 ZINC000784911802 1070798968 /nfs/dbraw/zinc/79/89/68/1070798968.db2.gz NFWWGJOBOXZDBL-KRWDZBQOSA-N 0 0 436.534 -0.144 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCSC[C@H]3CO)cc2)CC1 ZINC000784911809 1070799003 /nfs/dbraw/zinc/79/90/03/1070799003.db2.gz NFWWGJOBOXZDBL-QGZVFWFLSA-N 0 0 436.534 -0.144 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000784911837 1070799124 /nfs/dbraw/zinc/79/91/24/1070799124.db2.gz NMPFUMJHESSULE-GFCCVEGCSA-N 0 0 429.520 -0.529 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000784911839 1070799885 /nfs/dbraw/zinc/79/98/85/1070799885.db2.gz NMPFUMJHESSULE-LBPRGKRZSA-N 0 0 429.520 -0.529 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000784912704 1070800048 /nfs/dbraw/zinc/80/00/48/1070800048.db2.gz VGNLXKAERMHFAM-LLVKDONJSA-N 0 0 428.898 -0.140 20 0 IBADRN C[C@H]1CS(=O)(=O)CCN1C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000784912705 1070799870 /nfs/dbraw/zinc/79/98/70/1070799870.db2.gz VGNLXKAERMHFAM-NSHDSACASA-N 0 0 428.898 -0.140 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCSC[C@@H]1CO ZINC000784912922 1070799819 /nfs/dbraw/zinc/79/98/19/1070799819.db2.gz WIDGMGIDCVUHHH-JTQLQIEISA-N 0 0 445.519 -0.167 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCSC[C@H]1CO ZINC000784912926 1070800242 /nfs/dbraw/zinc/80/02/42/1070800242.db2.gz WIDGMGIDCVUHHH-SNVBAGLBSA-N 0 0 445.519 -0.167 20 0 IBADRN Cn1c2[nH]c(COC(=O)C3=NN(c4ccc(F)cc4)[C@@H](C(N)=O)C3)nc2c(=O)n(C)c1=O ZINC000784924615 1070806547 /nfs/dbraw/zinc/80/65/47/1070806547.db2.gz GKMOANBMVYTUPG-GFCCVEGCSA-N 0 0 443.395 -0.737 20 0 IBADRN Cn1c2[nH]c(COC(=O)C3=NN(c4ccc(F)cc4)[C@H](C(N)=O)C3)nc2c(=O)n(C)c1=O ZINC000784924619 1070806581 /nfs/dbraw/zinc/80/65/81/1070806581.db2.gz GKMOANBMVYTUPG-LBPRGKRZSA-N 0 0 443.395 -0.737 20 0 IBADRN C[C@@]1(CO)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000784928918 1070810541 /nfs/dbraw/zinc/81/05/41/1070810541.db2.gz KVFOJPWXOFJWHC-KRWDZBQOSA-N 0 0 432.520 -0.183 20 0 IBADRN C[C@]1(CO)CCCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000784928921 1070810795 /nfs/dbraw/zinc/81/07/95/1070810795.db2.gz KVFOJPWXOFJWHC-QGZVFWFLSA-N 0 0 432.520 -0.183 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000784933401 1070812676 /nfs/dbraw/zinc/81/26/76/1070812676.db2.gz HTXRNDXTWKRANE-UHFFFAOYSA-N 0 0 435.462 -0.319 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)N=NC4C3)cc2)CC1 ZINC000784933921 1070813431 /nfs/dbraw/zinc/81/34/31/1070813431.db2.gz RQCYWVWAERTGPM-UHFFFAOYSA-N 0 0 443.464 -0.740 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@@H]1[C@H](C)OC(C)(C)N1C(=O)OC(C)(C)C)S(N)(=O)=O ZINC000784940831 1070821417 /nfs/dbraw/zinc/82/14/17/1070821417.db2.gz DTVLKICABGTUAY-GRYCIOLGSA-N 0 0 436.531 -0.344 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@@H]1[C@H](C)OC(C)(C)N1C(=O)OC(C)(C)C)S(N)(=O)=O ZINC000784940832 1070821355 /nfs/dbraw/zinc/82/13/55/1070821355.db2.gz DTVLKICABGTUAY-SDDRHHMPSA-N 0 0 436.531 -0.344 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC[C@H]1[C@H](C)OC(C)(C)N1C(=O)OC(C)(C)C)S(N)(=O)=O ZINC000784940836 1070821898 /nfs/dbraw/zinc/82/18/98/1070821898.db2.gz DTVLKICABGTUAY-SRVKXCTJSA-N 0 0 436.531 -0.344 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC[C@H]1[C@H](C)OC(C)(C)N1C(=O)OC(C)(C)C)S(N)(=O)=O ZINC000784940840 1070821993 /nfs/dbraw/zinc/82/19/93/1070821993.db2.gz DTVLKICABGTUAY-WOPDTQHZSA-N 0 0 436.531 -0.344 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1C ZINC000784942195 1070822217 /nfs/dbraw/zinc/82/22/17/1070822217.db2.gz DEPKXEGFUXZHMI-UHFFFAOYSA-N 0 0 435.462 -0.401 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1C ZINC000784945382 1070824080 /nfs/dbraw/zinc/82/40/80/1070824080.db2.gz QFLUOAWCQOFLEL-UHFFFAOYSA-N 0 0 449.489 -0.011 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784951084 1070833259 /nfs/dbraw/zinc/83/32/59/1070833259.db2.gz GKCLVEMLABCPQU-LLVKDONJSA-N 0 0 431.467 -0.847 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784951091 1070833288 /nfs/dbraw/zinc/83/32/88/1070833288.db2.gz GKCLVEMLABCPQU-NSHDSACASA-N 0 0 431.467 -0.847 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2)[nH]1 ZINC000784953936 1070838785 /nfs/dbraw/zinc/83/87/85/1070838785.db2.gz LBBIMGAXYNGUDP-UHFFFAOYSA-N 0 0 426.481 -0.668 20 0 IBADRN NC(=O)[C@@H](Cc1ccc(F)cc1)OC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784955515 1070841238 /nfs/dbraw/zinc/84/12/38/1070841238.db2.gz WREDHZYDVNGOEK-DZGCQCFKSA-N 0 0 425.438 -0.069 20 0 IBADRN NC(=O)[C@H](Cc1ccc(F)cc1)OC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784955517 1070842094 /nfs/dbraw/zinc/84/20/94/1070842094.db2.gz WREDHZYDVNGOEK-HIFRSBDPSA-N 0 0 425.438 -0.069 20 0 IBADRN NC(=O)[C@@H](Cc1ccc(F)cc1)OC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784955519 1070842399 /nfs/dbraw/zinc/84/23/99/1070842399.db2.gz WREDHZYDVNGOEK-UKRRQHHQSA-N 0 0 425.438 -0.069 20 0 IBADRN NC(=O)[C@H](Cc1ccc(F)cc1)OC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000784955521 1070842122 /nfs/dbraw/zinc/84/21/22/1070842122.db2.gz WREDHZYDVNGOEK-ZFWWWQNUSA-N 0 0 425.438 -0.069 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000784956356 1070842442 /nfs/dbraw/zinc/84/24/42/1070842442.db2.gz AFGLVSAGQGIIME-UHFFFAOYSA-N 0 0 432.437 -0.496 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C)[C@H]3CCN(C)C3=O)cc2)CC1 ZINC000784965459 1070882085 /nfs/dbraw/zinc/88/20/85/1070882085.db2.gz ZTQXIQCQYAIKTM-KRWDZBQOSA-N 0 0 431.493 -0.391 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C)[C@@H]3CCN(C)C3=O)cc2)CC1 ZINC000784965465 1070882433 /nfs/dbraw/zinc/88/24/33/1070882433.db2.gz ZTQXIQCQYAIKTM-QGZVFWFLSA-N 0 0 431.493 -0.391 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC000784968207 1070883347 /nfs/dbraw/zinc/88/33/47/1070883347.db2.gz CAAQLOVQYUJDLG-GFCCVEGCSA-N 0 0 435.449 -0.227 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC000784968208 1070883477 /nfs/dbraw/zinc/88/34/77/1070883477.db2.gz CAAQLOVQYUJDLG-LBPRGKRZSA-N 0 0 435.449 -0.227 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)[C@@H](C)C1=O ZINC000784970124 1070884762 /nfs/dbraw/zinc/88/47/62/1070884762.db2.gz JCOREZPDJJOTAI-AWEZNQCLSA-N 0 0 440.522 -0.453 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)[C@H](C)C1=O ZINC000784970125 1070885026 /nfs/dbraw/zinc/88/50/26/1070885026.db2.gz JCOREZPDJJOTAI-CQSZACIVSA-N 0 0 440.522 -0.453 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000784970924 1070885635 /nfs/dbraw/zinc/88/56/35/1070885635.db2.gz GNUQPFKFSTZLEQ-NSHDSACASA-N 0 0 435.462 -0.321 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000784971775 1070886533 /nfs/dbraw/zinc/88/65/33/1070886533.db2.gz AHRFSYSBZRENQA-UHFFFAOYSA-N 0 0 449.445 -0.815 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(=O)n(Cc2ccccc2)c1 ZINC000784972250 1070886001 /nfs/dbraw/zinc/88/60/01/1070886001.db2.gz PCFLEDFBQLVMCH-IBGZPJMESA-N 0 0 428.489 -0.013 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(=O)n(Cc2ccccc2)c1 ZINC000784972255 1070885579 /nfs/dbraw/zinc/88/55/79/1070885579.db2.gz PCFLEDFBQLVMCH-LJQANCHMSA-N 0 0 428.489 -0.013 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000784972293 1070886051 /nfs/dbraw/zinc/88/60/51/1070886051.db2.gz PVEIIJGAVPQYAV-CYBMUJFWSA-N 0 0 435.449 -0.227 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000784972295 1070885662 /nfs/dbraw/zinc/88/56/62/1070885662.db2.gz PVEIIJGAVPQYAV-ZDUSSCGKSA-N 0 0 435.449 -0.227 20 0 IBADRN COc1ccc(C(=O)NCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1OC ZINC000784979961 1070890732 /nfs/dbraw/zinc/89/07/32/1070890732.db2.gz HDZYVKXLFSTOOQ-UHFFFAOYSA-N 0 0 431.405 -0.549 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2cccs2)n1 ZINC000784980704 1070889202 /nfs/dbraw/zinc/88/92/02/1070889202.db2.gz CWEGWFLAOFLVOA-CYBMUJFWSA-N 0 0 425.492 -0.373 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2cccs2)n1 ZINC000784980706 1070889304 /nfs/dbraw/zinc/88/93/04/1070889304.db2.gz CWEGWFLAOFLVOA-ZDUSSCGKSA-N 0 0 425.492 -0.373 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000784984601 1070895200 /nfs/dbraw/zinc/89/52/00/1070895200.db2.gz MHLOJEZUMLIHCV-UHFFFAOYSA-N 0 0 435.462 -0.076 20 0 IBADRN COCCOC1CN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1 ZINC000785035786 1070961976 /nfs/dbraw/zinc/96/19/76/1070961976.db2.gz RGGOEPLUZCEFKT-UHFFFAOYSA-N 0 0 434.493 -0.206 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cccc(S(=O)(=O)NC4CC4)c3)nc2c(=O)n(C)c1=O ZINC000785037699 1070964153 /nfs/dbraw/zinc/96/41/53/1070964153.db2.gz WJYRTIZUJKVIGD-UHFFFAOYSA-N 0 0 433.446 -0.242 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCS(C)(=O)=O)C(C)C)CC2 ZINC000785038591 1070966024 /nfs/dbraw/zinc/96/60/24/1070966024.db2.gz DSCUIALBZNOATN-UHFFFAOYSA-N 0 0 431.536 -0.235 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1=O ZINC000785039887 1070971983 /nfs/dbraw/zinc/97/19/83/1070971983.db2.gz HSWLFAYONUHKDL-UHFFFAOYSA-N 0 0 426.495 -0.842 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCS(C)(=O)=O)C(C)C)cc1 ZINC000785040088 1070972797 /nfs/dbraw/zinc/97/27/97/1070972797.db2.gz WXMKGCFQRQCVPC-UHFFFAOYSA-N 0 0 449.551 -0.169 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N(CCS(C)(=O)=O)C(C)C)cc1 ZINC000785040123 1070972678 /nfs/dbraw/zinc/97/26/78/1070972678.db2.gz YKNHKOYBQQQONG-UHFFFAOYSA-N 0 0 427.479 -0.191 20 0 IBADRN Cc1ccccc1[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccn(CCS(C)(=O)=O)n1 ZINC000785041879 1070975794 /nfs/dbraw/zinc/97/57/94/1070975794.db2.gz IZCBTAUOWQMLQX-INIZCTEOSA-N 0 0 433.490 -0.126 20 0 IBADRN Cc1ccccc1[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccn(CCS(C)(=O)=O)n1 ZINC000785041880 1070975775 /nfs/dbraw/zinc/97/57/75/1070975775.db2.gz IZCBTAUOWQMLQX-MRXNPFEDSA-N 0 0 433.490 -0.126 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)nc2c(=O)n(C)c1=O ZINC000785042899 1070976330 /nfs/dbraw/zinc/97/63/30/1070976330.db2.gz CHAZEAJTQDGCBF-UHFFFAOYSA-N 0 0 433.446 -0.242 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCCn3ccnn3)cc2)CC1 ZINC000785043413 1070976579 /nfs/dbraw/zinc/97/65/79/1070976579.db2.gz GIRKTWUBTUBOKZ-UHFFFAOYSA-N 0 0 429.481 -0.282 20 0 IBADRN CN1C(=O)N(CC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)C(=O)C12CCCCC2 ZINC000785044982 1070979297 /nfs/dbraw/zinc/97/92/97/1070979297.db2.gz INEYTNAKXVVBJI-UHFFFAOYSA-N 0 0 432.437 -0.400 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000785045129 1070977211 /nfs/dbraw/zinc/97/72/11/1070977211.db2.gz IECCQNUXTBQNOV-JTQLQIEISA-N 0 0 425.401 -0.003 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000785045134 1070977795 /nfs/dbraw/zinc/97/77/95/1070977795.db2.gz IECCQNUXTBQNOV-SNVBAGLBSA-N 0 0 425.401 -0.003 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C)cc2)CC1 ZINC000785050064 1070986104 /nfs/dbraw/zinc/98/61/04/1070986104.db2.gz YRDMYCJVYPJXRY-QGZVFWFLSA-N 0 0 433.509 -0.064 20 0 IBADRN COC(=O)c1c(C)c(C(C)=O)[nH]c1CC(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785050947 1070986862 /nfs/dbraw/zinc/98/68/62/1070986862.db2.gz KRNUCHCPODDATQ-UHFFFAOYSA-N 0 0 431.405 -0.128 20 0 IBADRN COc1cc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc(OC)c1OCC(N)=O ZINC000785056981 1070989892 /nfs/dbraw/zinc/98/98/92/1070989892.db2.gz GHVKKZJJBNBQMS-UHFFFAOYSA-N 0 0 447.404 -0.801 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000785057262 1070989082 /nfs/dbraw/zinc/98/90/82/1070989082.db2.gz FDVIREKPPBDLAI-GOSISDBHSA-N 0 0 426.857 -0.355 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000785057263 1070989105 /nfs/dbraw/zinc/98/91/05/1070989105.db2.gz FDVIREKPPBDLAI-SFHVURJKSA-N 0 0 426.857 -0.355 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000785057281 1070989274 /nfs/dbraw/zinc/98/92/74/1070989274.db2.gz FRJUPJMQVZOLCR-GOSISDBHSA-N 0 0 427.479 -0.744 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000785057282 1070989096 /nfs/dbraw/zinc/98/90/96/1070989096.db2.gz FRJUPJMQVZOLCR-SFHVURJKSA-N 0 0 427.479 -0.744 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N[C@H]2CN(c3ccccc3)C2=O)c1=O ZINC000785060225 1070989782 /nfs/dbraw/zinc/98/97/82/1070989782.db2.gz DFLSYAABYSDQIH-HNNXBMFYSA-N 0 0 432.458 -0.637 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C(=O)N[C@@H]2CN(c3ccccc3)C2=O)c1=O ZINC000785060253 1070989674 /nfs/dbraw/zinc/98/96/74/1070989674.db2.gz DFLSYAABYSDQIH-OAHLLOKOSA-N 0 0 432.458 -0.637 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(N4CCCS4(=O)=O)cc3)nc2c(=O)n(C)c1=O ZINC000785061779 1070989961 /nfs/dbraw/zinc/98/99/61/1070989961.db2.gz JJOZPSLCZMCBKA-UHFFFAOYSA-N 0 0 433.446 -0.143 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCn3c(=O)[nH]c(=O)c4ccccc43)nc2c(=O)n(C)c1=O ZINC000785065392 1070990864 /nfs/dbraw/zinc/99/08/64/1070990864.db2.gz LUNPVEXOQPPFAD-UHFFFAOYSA-N 0 0 426.389 -0.491 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000785068451 1070995145 /nfs/dbraw/zinc/99/51/45/1070995145.db2.gz RDOOHMCUVKYLIM-INIZCTEOSA-N 0 0 447.492 -0.619 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2)NC(=O)NC1=O ZINC000785068558 1070997306 /nfs/dbraw/zinc/99/73/06/1070997306.db2.gz SVNCGQUCEUKUFD-NRFANRHFSA-N 0 0 442.476 -0.602 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)CC2)NC(=O)NC1=O ZINC000785068562 1070997199 /nfs/dbraw/zinc/99/71/99/1070997199.db2.gz SVNCGQUCEUKUFD-OAQYLSRUSA-N 0 0 442.476 -0.602 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cccc(N4CCCS4(=O)=O)c3)nc2c(=O)n(C)c1=O ZINC000785070366 1071001784 /nfs/dbraw/zinc/00/17/84/1071001784.db2.gz MECXMJTZFWSPKH-UHFFFAOYSA-N 0 0 433.446 -0.143 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N[C@@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1C1CC1 ZINC000785072378 1071004140 /nfs/dbraw/zinc/00/41/40/1071004140.db2.gz DTWUITKIZGFNQT-HNNXBMFYSA-N 0 0 426.477 -0.045 20 0 IBADRN Cn1ncc(NC(=O)C(=O)N[C@H](Cc2ccccc2)C(=O)NCCC(N)=O)c1C1CC1 ZINC000785072379 1071003930 /nfs/dbraw/zinc/00/39/30/1071003930.db2.gz DTWUITKIZGFNQT-OAHLLOKOSA-N 0 0 426.477 -0.045 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCCO)CC(OC)OC)CC2 ZINC000785072702 1071004179 /nfs/dbraw/zinc/00/41/79/1071004179.db2.gz AUNIOKBWDXUCJI-UHFFFAOYSA-N 0 0 429.495 -0.686 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)Nc1ccc(N2CCCS2(=O)=O)cc1)OC ZINC000785072726 1071004159 /nfs/dbraw/zinc/00/41/59/1071004159.db2.gz BQUNIIXXHLQVEB-UHFFFAOYSA-N 0 0 429.495 -0.005 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(-n2ccnc2)nc1 ZINC000785073615 1071004630 /nfs/dbraw/zinc/00/46/30/1071004630.db2.gz NOPGEYQTKKMTQV-KRWDZBQOSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(-n2ccnc2)nc1 ZINC000785073617 1071004388 /nfs/dbraw/zinc/00/43/88/1071004388.db2.gz NOPGEYQTKKMTQV-QGZVFWFLSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(-n2nccn2)cc1 ZINC000785073653 1071004345 /nfs/dbraw/zinc/00/43/45/1071004345.db2.gz NZEPCVJRKDRXCZ-GOSISDBHSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1ccc(-n2nccn2)cc1 ZINC000785073654 1071004685 /nfs/dbraw/zinc/00/46/85/1071004685.db2.gz NZEPCVJRKDRXCZ-SFHVURJKSA-N 0 0 449.471 -0.075 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)Nc1ccccc1C(=O)N1CCN(C)CC1)OC ZINC000785073832 1071004027 /nfs/dbraw/zinc/00/40/27/1071004027.db2.gz MBRMFLGWLZWTES-UHFFFAOYSA-N 0 0 436.509 -0.157 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)Nc1cccc(N2CCCS2(=O)=O)c1)OC ZINC000785073872 1071005136 /nfs/dbraw/zinc/00/51/36/1071005136.db2.gz OKXUHOJKUZBJCZ-UHFFFAOYSA-N 0 0 429.495 -0.005 20 0 IBADRN Cn1c2[nH]c(COC(=O)C3=NN(c4ccccc4)[C@@H](C(N)=O)C3)nc2c(=O)n(C)c1=O ZINC000785074273 1071005489 /nfs/dbraw/zinc/00/54/89/1071005489.db2.gz WXBNEDVKCFQBIL-GFCCVEGCSA-N 0 0 425.405 -0.876 20 0 IBADRN Cn1c2[nH]c(COC(=O)C3=NN(c4ccccc4)[C@H](C(N)=O)C3)nc2c(=O)n(C)c1=O ZINC000785074275 1071005649 /nfs/dbraw/zinc/00/56/49/1071005649.db2.gz WXBNEDVKCFQBIL-LBPRGKRZSA-N 0 0 425.405 -0.876 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnn(-c2ccccc2)n1 ZINC000785074690 1071005098 /nfs/dbraw/zinc/00/50/98/1071005098.db2.gz XLFCQLKTEXEZGV-KRWDZBQOSA-N 0 0 449.471 -0.075 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)Nc1cnn(-c2ccccc2)n1 ZINC000785074691 1071005057 /nfs/dbraw/zinc/00/50/57/1071005057.db2.gz XLFCQLKTEXEZGV-QGZVFWFLSA-N 0 0 449.471 -0.075 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CCC2(COC(=O)N2)CC1)N1CCOCC1 ZINC000785074817 1071005192 /nfs/dbraw/zinc/00/51/92/1071005192.db2.gz HZVRZOYUBRFBSL-UHFFFAOYSA-N 0 0 446.460 -0.036 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21)OC ZINC000785074982 1071006212 /nfs/dbraw/zinc/00/62/12/1071006212.db2.gz BHBPQXUHRKZFGB-UHFFFAOYSA-N 0 0 443.522 -0.344 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1F)OC ZINC000785074992 1071005861 /nfs/dbraw/zinc/00/58/61/1071005861.db2.gz BJERPJHRYSJHDQ-UHFFFAOYSA-N 0 0 435.474 -0.156 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCNS(=O)(=O)c3ccc(F)cc3)nc2c(=O)n(C)c1=O ZINC000785075642 1071006022 /nfs/dbraw/zinc/00/60/22/1071006022.db2.gz NOIUTLLWVJWANK-UHFFFAOYSA-N 0 0 439.425 -0.489 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)OC ZINC000785075728 1071005278 /nfs/dbraw/zinc/00/52/78/1071005278.db2.gz KBHNWFIIOUEQJB-UHFFFAOYSA-N 0 0 429.495 -0.223 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1)OC ZINC000785075955 1071006039 /nfs/dbraw/zinc/00/60/39/1071006039.db2.gz ODKVZXPPAQRURH-UHFFFAOYSA-N 0 0 436.509 -0.157 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC3(COC(=O)N3)CC1)CC2 ZINC000785076700 1071006004 /nfs/dbraw/zinc/00/60/04/1071006004.db2.gz JOZREDMDRIOPHD-UHFFFAOYSA-N 0 0 436.490 -0.025 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000785077171 1071007709 /nfs/dbraw/zinc/00/77/09/1071007709.db2.gz HHGJCLNTDKOONN-UHFFFAOYSA-N 0 0 441.853 -0.121 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000785077321 1071007198 /nfs/dbraw/zinc/00/71/98/1071007198.db2.gz YEBAXIBOVGXNOZ-AWEZNQCLSA-N 0 0 426.495 -0.699 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000785077324 1071007490 /nfs/dbraw/zinc/00/74/90/1071007490.db2.gz YEBAXIBOVGXNOZ-CQSZACIVSA-N 0 0 426.495 -0.699 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCCO)CC(OC)OC)CC2 ZINC000785077332 1071007267 /nfs/dbraw/zinc/00/72/67/1071007267.db2.gz YGMRPARMONFPIL-UHFFFAOYSA-N 0 0 443.522 -0.296 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000785078830 1071007620 /nfs/dbraw/zinc/00/76/20/1071007620.db2.gz OXVGCZQPSWIDNS-UHFFFAOYSA-N 0 0 425.398 -0.636 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)ccc1OC ZINC000785078927 1071008353 /nfs/dbraw/zinc/00/83/53/1071008353.db2.gz QKBOACJSEHNGED-UHFFFAOYSA-N 0 0 437.434 -0.766 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCC2(COC(=O)N2)CC1 ZINC000785079010 1071008094 /nfs/dbraw/zinc/00/80/94/1071008094.db2.gz ZJOMJQPRDCQKKG-UHFFFAOYSA-N 0 0 436.490 -0.073 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000785079675 1071008315 /nfs/dbraw/zinc/00/83/15/1071008315.db2.gz WBACKGSZLWOHEO-UHFFFAOYSA-N 0 0 439.425 -0.293 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000785079934 1071008055 /nfs/dbraw/zinc/00/80/55/1071008055.db2.gz NPVPWNOQMYGPDS-APBUJDDRSA-N 0 0 432.437 -0.496 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000785079935 1071008178 /nfs/dbraw/zinc/00/81/78/1071008178.db2.gz NPVPWNOQMYGPDS-DGIBIBHMSA-N 0 0 432.437 -0.496 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000785079936 1071008074 /nfs/dbraw/zinc/00/80/74/1071008074.db2.gz NPVPWNOQMYGPDS-GIGQVBGESA-N 0 0 432.437 -0.496 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000785079937 1071008136 /nfs/dbraw/zinc/00/81/36/1071008136.db2.gz NPVPWNOQMYGPDS-OVWNDWIMSA-N 0 0 432.437 -0.496 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CCC3(COC(=O)N3)CC2)cc1 ZINC000785082136 1071012302 /nfs/dbraw/zinc/01/23/02/1071012302.db2.gz PXCYNCWVWXOKNC-UHFFFAOYSA-N 0 0 445.476 -0.003 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CCC2(COC(=O)N2)CC1 ZINC000785082451 1071011418 /nfs/dbraw/zinc/01/14/18/1071011418.db2.gz XDDDSWQXKPLVNB-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cc(S(N)(=O)=O)ccc3Cl)nc2c(=O)n(C)c1=O ZINC000785088779 1071048313 /nfs/dbraw/zinc/04/83/13/1071048313.db2.gz MWPXFGKPWJXHAK-UHFFFAOYSA-N 0 0 427.826 -0.382 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNS(=O)(=O)C=Cc3ccccc3)nc2c(=O)n(C)c1=O ZINC000785089064 1071048267 /nfs/dbraw/zinc/04/82/67/1071048267.db2.gz BISUOJAZSDXQHR-CMDGGOBGSA-N 0 0 433.446 -0.406 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNS(=O)(=O)C=Cc3ccccc3)nc2c(=O)n(C)c1=O ZINC000785089075 1071048736 /nfs/dbraw/zinc/04/87/36/1071048736.db2.gz BISUOJAZSDXQHR-HJWRWDBZSA-N 0 0 433.446 -0.406 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000785090215 1071048852 /nfs/dbraw/zinc/04/88/52/1071048852.db2.gz JADWNGPPHVCJHK-UHFFFAOYSA-N 0 0 449.489 -0.011 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000785090618 1071048292 /nfs/dbraw/zinc/04/82/92/1071048292.db2.gz KZEZKLPXOVAASQ-UHFFFAOYSA-N 0 0 435.462 -0.401 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNS(=O)(=O)c3ccc(Cl)cc3)nc2c(=O)n(C)c1=O ZINC000785090903 1071049379 /nfs/dbraw/zinc/04/93/79/1071049379.db2.gz OSVSLLCGLYGCMH-UHFFFAOYSA-N 0 0 441.853 -0.365 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCNS(=O)(=O)C=Cc3ccccc3)nc2c(=O)n(C)c1=O ZINC000785091617 1071048559 /nfs/dbraw/zinc/04/85/59/1071048559.db2.gz WTAOWFZEZKSBSQ-LUAWRHEFSA-N 0 0 447.473 -0.016 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCNS(=O)(=O)C=Cc3ccccc3)nc2c(=O)n(C)c1=O ZINC000785091618 1071048606 /nfs/dbraw/zinc/04/86/06/1071048606.db2.gz WTAOWFZEZKSBSQ-PKNBQFBNSA-N 0 0 447.473 -0.016 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000785097852 1071049260 /nfs/dbraw/zinc/04/92/60/1071049260.db2.gz PZDXEOSNEMZTFX-UHFFFAOYSA-N 0 0 431.405 -0.549 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNC(=O)c3ccc(Br)o3)nc2c(=O)n(C)c1=O ZINC000785098177 1071049350 /nfs/dbraw/zinc/04/93/50/1071049350.db2.gz YJTGZPPXCKADOE-UHFFFAOYSA-N 0 0 440.210 -0.211 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC000785100558 1071049331 /nfs/dbraw/zinc/04/93/31/1071049331.db2.gz IYJQSJFAGPWGFD-UHFFFAOYSA-N 0 0 430.483 -0.231 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)C(=O)N(CCO)CCNC(=O)OC(C)(C)C)cc2)c1=O ZINC000785100814 1071049233 /nfs/dbraw/zinc/04/92/33/1071049233.db2.gz GILLDXJSNSILES-UHFFFAOYSA-N 0 0 448.480 -0.145 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000785101416 1071049358 /nfs/dbraw/zinc/04/93/58/1071049358.db2.gz LWSVEZAQSQIELG-UHFFFAOYSA-N 0 0 449.508 -0.093 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N(CCO)CCNC(=O)OC(C)(C)C)cc1S(N)(=O)=O ZINC000785102010 1071049193 /nfs/dbraw/zinc/04/91/93/1071049193.db2.gz OCAQXOVONUKFEP-UHFFFAOYSA-N 0 0 444.510 -0.073 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCO)CCNC(=O)OC(C)(C)C)cc1 ZINC000785102011 1071049216 /nfs/dbraw/zinc/04/92/16/1071049216.db2.gz ODTUFZOSHBWCKL-UHFFFAOYSA-N 0 0 444.510 -0.121 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000785102143 1071049246 /nfs/dbraw/zinc/04/92/46/1071049246.db2.gz FWUCXRCOIHGDRX-UHFFFAOYSA-N 0 0 440.522 -0.211 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000785102829 1071049393 /nfs/dbraw/zinc/04/93/93/1071049393.db2.gz VVXHABLJWVXYFR-UHFFFAOYSA-N 0 0 430.483 -0.382 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000785102967 1071049815 /nfs/dbraw/zinc/04/98/15/1071049815.db2.gz YYXKHIAWGLKIML-UHFFFAOYSA-N 0 0 448.473 -0.243 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCNS(=O)(=O)c3cccs3)nc2c(=O)n(C)c1=O ZINC000785103762 1071049398 /nfs/dbraw/zinc/04/93/98/1071049398.db2.gz QHSHUUFFSFEANL-UHFFFAOYSA-N 0 0 427.464 -0.566 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785104592 1071050819 /nfs/dbraw/zinc/05/08/19/1071050819.db2.gz VQNKKIQHVLJITH-VIFPVBQESA-N 0 0 439.425 -0.490 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1OC ZINC000785104914 1071054690 /nfs/dbraw/zinc/05/46/90/1071054690.db2.gz VOFBUWYRIAMYKX-UHFFFAOYSA-N 0 0 447.535 -0.033 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNS(=O)(=O)c3ccccc3F)nc2c(=O)n(C)c1=O ZINC000785105359 1071053733 /nfs/dbraw/zinc/05/37/33/1071053733.db2.gz WUPCELNEMNIJON-UHFFFAOYSA-N 0 0 425.398 -0.879 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)[C@@H]2CCCN2S(C)(=O)=O)s1 ZINC000785107425 1071057563 /nfs/dbraw/zinc/05/75/63/1071057563.db2.gz BHONQGBPXQYDFC-LBPRGKRZSA-N 0 0 438.549 -0.010 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785107843 1071058492 /nfs/dbraw/zinc/05/84/92/1071058492.db2.gz ILKBBAABEOKVFP-SECBINFHSA-N 0 0 433.512 -0.936 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785107848 1071058469 /nfs/dbraw/zinc/05/84/69/1071058469.db2.gz ILKBBAABEOKVFP-VIFPVBQESA-N 0 0 433.512 -0.936 20 0 IBADRN Cn1c(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc2c1nc1ccccn1c2=O ZINC000785109121 1071061474 /nfs/dbraw/zinc/06/14/74/1071061474.db2.gz OFKLIEHXAJZBFR-UHFFFAOYSA-N 0 0 435.400 -0.183 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000785109869 1071060776 /nfs/dbraw/zinc/06/07/76/1071060776.db2.gz FYPWFPZSBQUMGN-UHFFFAOYSA-N 0 0 438.550 -0.165 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000785110267 1071062270 /nfs/dbraw/zinc/06/22/70/1071062270.db2.gz WAEWBNXCQFDKBA-UHFFFAOYSA-N 0 0 435.462 -0.456 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(S(=O)(=O)NCCOC)cc1 ZINC000785110283 1071062254 /nfs/dbraw/zinc/06/22/54/1071062254.db2.gz GKZIMSONXLKXML-UHFFFAOYSA-N 0 0 435.524 -0.557 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCC(=O)N3CC(=O)Nc4ccccc43)nc2c(=O)n(C)c1=O ZINC000785110424 1071061901 /nfs/dbraw/zinc/06/19/01/1071061901.db2.gz UBDWELSQURRNAV-UHFFFAOYSA-N 0 0 440.416 -0.231 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000785110762 1071062384 /nfs/dbraw/zinc/06/23/84/1071062384.db2.gz NACDKMRQECAQJK-UHFFFAOYSA-N 0 0 448.567 -0.166 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000785110802 1071062205 /nfs/dbraw/zinc/06/22/05/1071062205.db2.gz NSTKEBGYOIIOHJ-CYBMUJFWSA-N 0 0 449.551 -0.169 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000785110804 1071062515 /nfs/dbraw/zinc/06/25/15/1071062515.db2.gz NSTKEBGYOIIOHJ-ZDUSSCGKSA-N 0 0 449.551 -0.169 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000785110963 1071063022 /nfs/dbraw/zinc/06/30/22/1071063022.db2.gz QTCOJUJUEXXSLR-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000785111130 1071062942 /nfs/dbraw/zinc/06/29/42/1071062942.db2.gz UZNLJBPRZMSQKX-UHFFFAOYSA-N 0 0 447.535 -0.461 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CC)CCS(C)(=O)=O)CC2 ZINC000785111165 1071063059 /nfs/dbraw/zinc/06/30/59/1071063059.db2.gz WNQFUKSHMGKAOM-UHFFFAOYSA-N 0 0 431.536 -0.233 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000785111235 1071063575 /nfs/dbraw/zinc/06/35/75/1071063575.db2.gz ZWEMFIWVYBCTOY-UHFFFAOYSA-N 0 0 431.536 -0.281 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(CN4C(=O)CNC4=O)cc3)nc2c(=O)n(C)c1=O ZINC000785112396 1071064127 /nfs/dbraw/zinc/06/41/27/1071064127.db2.gz MVSHAOPHVKEPCB-UHFFFAOYSA-N 0 0 426.389 -0.631 20 0 IBADRN CN(CC(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O)C1=NS(=O)(=O)c2ccccc21 ZINC000785112908 1071063633 /nfs/dbraw/zinc/06/36/33/1071063633.db2.gz DKEZYEKMMBCJOD-UHFFFAOYSA-N 0 0 446.445 -0.916 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC000785114190 1071069680 /nfs/dbraw/zinc/06/96/80/1071069680.db2.gz DKSJYEXFAPEKKG-UHFFFAOYSA-N 0 0 447.535 -0.033 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(CCS(C)(=O)=O)C1CC1 ZINC000785114410 1071068445 /nfs/dbraw/zinc/06/84/45/1071068445.db2.gz AQSOYAPPJJHKFE-UHFFFAOYSA-N 0 0 443.547 -0.138 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)cc1 ZINC000785114528 1071069058 /nfs/dbraw/zinc/06/90/58/1071069058.db2.gz CKDWIGDZHQBKLJ-UHFFFAOYSA-N 0 0 433.508 -0.157 20 0 IBADRN CN(C[C@H](O)CO)C(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1 ZINC000785114663 1071067835 /nfs/dbraw/zinc/06/78/35/1071067835.db2.gz HOKLZKSBTQATLD-AWEZNQCLSA-N 0 0 426.495 -0.313 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1OC ZINC000785115113 1071071124 /nfs/dbraw/zinc/07/11/24/1071071124.db2.gz HWZIOXDLZCAJFD-UHFFFAOYSA-N 0 0 435.524 -0.223 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc4c(c3)CCN4S(C)(=O)=O)nc2c(=O)n(C)c1=O ZINC000785115249 1071070712 /nfs/dbraw/zinc/07/07/12/1071070712.db2.gz TUVZDRDULYIFFH-UHFFFAOYSA-N 0 0 433.446 -0.361 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000785115446 1071070191 /nfs/dbraw/zinc/07/01/91/1071070191.db2.gz RKJWNLAFFZWXFF-UHFFFAOYSA-N 0 0 431.493 -0.343 20 0 IBADRN Cn1c2[nH]c(COC(=O)Cn3nc(-c4ccc(F)cc4)oc3=O)nc2c(=O)n(C)c1=O ZINC000785115480 1071070490 /nfs/dbraw/zinc/07/04/90/1071070490.db2.gz HXQMWPCYIVNDPA-UHFFFAOYSA-N 0 0 430.352 -0.341 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCS(C)(=O)=O)C1CC1)CC2 ZINC000785115483 1071070479 /nfs/dbraw/zinc/07/04/79/1071070479.db2.gz GXLZHUBMSHYGKX-UHFFFAOYSA-N 0 0 429.520 -0.481 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N(CCS(C)(=O)=O)C1CC1 ZINC000785116595 1071070981 /nfs/dbraw/zinc/07/09/81/1071070981.db2.gz QRKSWCBYXPNVAA-UHFFFAOYSA-N 0 0 447.535 -0.080 20 0 IBADRN COc1cc(NC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)ccc1S(=O)(=O)N(C)C ZINC000785116823 1071070743 /nfs/dbraw/zinc/07/07/43/1071070743.db2.gz KWAMXLALRMPOTQ-UHFFFAOYSA-N 0 0 447.535 -0.080 20 0 IBADRN CN(C[C@H](O)CO)C(=O)C(=O)Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1 ZINC000785116908 1071071043 /nfs/dbraw/zinc/07/10/43/1071071043.db2.gz UJLPIHLVXDETAE-AWEZNQCLSA-N 0 0 426.495 -0.313 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)cc1 ZINC000785117306 1071071932 /nfs/dbraw/zinc/07/19/32/1071071932.db2.gz NXOFOOWBHIXAGN-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N(CCS(C)(=O)=O)C1CC1)CC2 ZINC000785117352 1071072171 /nfs/dbraw/zinc/07/21/71/1071072171.db2.gz OKEYSBLYFKGTLO-UHFFFAOYSA-N 0 0 443.547 -0.091 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)Nc1cccc2c1CCN2S(C)(=O)=O)C1CC1 ZINC000785117799 1071071409 /nfs/dbraw/zinc/07/14/09/1071071409.db2.gz WCJNRWIKOUNJGR-UHFFFAOYSA-N 0 0 429.520 -0.017 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000785118520 1071072886 /nfs/dbraw/zinc/07/28/86/1071072886.db2.gz ZALHUGWRAUYPCQ-UHFFFAOYSA-N 0 0 441.506 -0.244 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000785118622 1071073069 /nfs/dbraw/zinc/07/30/69/1071073069.db2.gz ZSBTZGHREKOKPZ-UHFFFAOYSA-N 0 0 425.507 -0.081 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000785119380 1071073909 /nfs/dbraw/zinc/07/39/09/1071073909.db2.gz WOGPRAWUHUGZOZ-UHFFFAOYSA-N 0 0 437.434 -0.501 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1=O ZINC000785123317 1071080986 /nfs/dbraw/zinc/08/09/86/1071080986.db2.gz LIAIRYGSAOTLCN-UHFFFAOYSA-N 0 0 440.416 -0.800 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000785123728 1071080730 /nfs/dbraw/zinc/08/07/30/1071080730.db2.gz AFTFELBLNJVIPM-UHFFFAOYSA-N 0 0 437.434 -0.501 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2c2ccccc2F)n1 ZINC000785127251 1071089773 /nfs/dbraw/zinc/08/97/73/1071089773.db2.gz DQQDLRJACRPFNQ-HNNXBMFYSA-N 0 0 437.453 -0.295 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2c2ccccc2F)n1 ZINC000785127253 1071090017 /nfs/dbraw/zinc/09/00/17/1071090017.db2.gz DQQDLRJACRPFNQ-OAHLLOKOSA-N 0 0 437.453 -0.295 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)NCC(=O)OC(C)(C)C ZINC000785130635 1071094568 /nfs/dbraw/zinc/09/45/68/1071094568.db2.gz SEQWUNWVGREJRK-UHFFFAOYSA-N 0 0 445.494 -0.339 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(CN4C(=O)CCC4=O)cc3)nc2c(=O)n(C)c1=O ZINC000785135159 1071097792 /nfs/dbraw/zinc/09/77/92/1071097792.db2.gz CBHWXPXCGHFWNC-UHFFFAOYSA-N 0 0 425.401 -0.034 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(Cl)c(S(N)(=O)=O)c3)nc2c(=O)n(C)c1=O ZINC000785135235 1071098748 /nfs/dbraw/zinc/09/87/48/1071098748.db2.gz IFWRUTWDFKJEJJ-UHFFFAOYSA-N 0 0 427.826 -0.382 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(CCNS(C)(=O)=O)s3)nc2c(=O)n(C)c1=O ZINC000785136118 1071099599 /nfs/dbraw/zinc/09/95/99/1071099599.db2.gz CVFUKLDEKLVMSN-UHFFFAOYSA-N 0 0 441.491 -0.530 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(CCNS(C)(=O)=O)cc3)nc2c(=O)n(C)c1=O ZINC000785137151 1071104382 /nfs/dbraw/zinc/10/43/82/1071104382.db2.gz VLKZOIVHDXXIMW-UHFFFAOYSA-N 0 0 435.462 -0.591 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNS(=O)(=O)c3cccc(F)c3)nc2c(=O)n(C)c1=O ZINC000785137609 1071101800 /nfs/dbraw/zinc/10/18/00/1071101800.db2.gz DAXWRLFCVRTZPR-UHFFFAOYSA-N 0 0 425.398 -0.879 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cccc(CN4C(=O)CNC4=O)c3)nc2c(=O)n(C)c1=O ZINC000785137963 1071104024 /nfs/dbraw/zinc/10/40/24/1071104024.db2.gz GHVYWOMVLIGPCH-UHFFFAOYSA-N 0 0 426.389 -0.631 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@@H]2CCC[C@H](NC(=O)OC(C)(C)C)[C@H]2C1)S(N)(=O)=O ZINC000785139068 1071111960 /nfs/dbraw/zinc/11/19/60/1071111960.db2.gz LCZLFTSBBHIQHJ-RFGFWPKPSA-N 0 0 432.543 -0.069 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@@H]2CCC[C@H](NC(=O)OC(C)(C)C)[C@@H]2C1)S(N)(=O)=O ZINC000785139069 1071111974 /nfs/dbraw/zinc/11/19/74/1071111974.db2.gz LCZLFTSBBHIQHJ-RQJABVFESA-N 0 0 432.543 -0.069 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@@H]2CCC[C@@H](NC(=O)OC(C)(C)C)[C@@H]2C1)S(N)(=O)=O ZINC000785139070 1071112025 /nfs/dbraw/zinc/11/20/25/1071112025.db2.gz LCZLFTSBBHIQHJ-XJFOESAGSA-N 0 0 432.543 -0.069 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1C[C@@H]2CCC[C@@H](NC(=O)OC(C)(C)C)[C@H]2C1)S(N)(=O)=O ZINC000785139071 1071111983 /nfs/dbraw/zinc/11/19/83/1071111983.db2.gz LCZLFTSBBHIQHJ-ZOBORPQBSA-N 0 0 432.543 -0.069 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1C ZINC000785139603 1071109048 /nfs/dbraw/zinc/10/90/48/1071109048.db2.gz MTRLUJWAOKHTSW-UHFFFAOYSA-N 0 0 449.489 -0.059 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)OCc1nc3c([nH]1)n(C)c(=O)n(C)c3=O)C2=O ZINC000785140495 1071113516 /nfs/dbraw/zinc/11/35/16/1071113516.db2.gz VUQGIYLHPUWQJS-UHFFFAOYSA-N 0 0 446.464 -0.106 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(S(=O)(=O)N4CCCC4)o3)nc2c(=O)n(C)c1=O ZINC000785155033 1071128656 /nfs/dbraw/zinc/12/86/56/1071128656.db2.gz BUJYTDHPNMWWIZ-UHFFFAOYSA-N 0 0 437.434 -0.305 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785160051 1071147432 /nfs/dbraw/zinc/14/74/32/1071147432.db2.gz PSDYDGMIBMZDOY-MRVPVSSYSA-N 0 0 427.464 -0.568 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785160065 1071147379 /nfs/dbraw/zinc/14/73/79/1071147379.db2.gz PSDYDGMIBMZDOY-QMMMGPOBSA-N 0 0 427.464 -0.568 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)OCc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)c(C)c12 ZINC000785160106 1071147454 /nfs/dbraw/zinc/14/74/54/1071147454.db2.gz ZXJBMTAWWGNNCB-UHFFFAOYSA-N 0 0 441.448 -0.172 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000785161575 1071147355 /nfs/dbraw/zinc/14/73/55/1071147355.db2.gz UHLVPRVIEUCZFP-UHFFFAOYSA-N 0 0 432.451 -0.085 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(S(=O)(=O)NC(C)(C)C)o3)nc2c(=O)n(C)c1=O ZINC000785164038 1071156462 /nfs/dbraw/zinc/15/64/62/1071156462.db2.gz JPSUUPBYIHTOHX-UHFFFAOYSA-N 0 0 439.450 -0.013 20 0 IBADRN CCc1n[nH]cc1C(=O)OCC(=O)N1CCN(C(=O)COC(=O)c2c[nH]nc2CC)CC1 ZINC000785169901 1071198967 /nfs/dbraw/zinc/19/89/67/1071198967.db2.gz UQAGLLFLHWMSNQ-UHFFFAOYSA-N 0 0 446.464 -0.058 20 0 IBADRN COCN1C(=O)CCc2cc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)ccc21 ZINC000785173393 1071215260 /nfs/dbraw/zinc/21/52/60/1071215260.db2.gz VGNKBSULDTWQGZ-UHFFFAOYSA-N 0 0 426.433 -0.045 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1 ZINC000785188939 1071256792 /nfs/dbraw/zinc/25/67/92/1071256792.db2.gz LQMSCMPVHJQDLO-UHFFFAOYSA-N 0 0 441.510 -0.155 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785189408 1071256812 /nfs/dbraw/zinc/25/68/12/1071256812.db2.gz OREVQLNCJBMHRR-LLVKDONJSA-N 0 0 427.483 -0.402 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785189415 1071256725 /nfs/dbraw/zinc/25/67/25/1071256725.db2.gz OREVQLNCJBMHRR-NSHDSACASA-N 0 0 427.483 -0.402 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(CCO)C3CCOCC3)cc2)CC1 ZINC000785191160 1071256689 /nfs/dbraw/zinc/25/66/89/1071256689.db2.gz MRIZHOHNALDFSA-UHFFFAOYSA-N 0 0 448.520 -0.080 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(CCO)C1CCOCC1 ZINC000785191931 1071257464 /nfs/dbraw/zinc/25/74/64/1071257464.db2.gz YFCOGTDZLFZLHS-UHFFFAOYSA-N 0 0 425.507 -0.174 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785192101 1071257230 /nfs/dbraw/zinc/25/72/30/1071257230.db2.gz COXCZIWZMGKZEN-UHFFFAOYSA-N 0 0 446.811 -0.045 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000785198949 1071258299 /nfs/dbraw/zinc/25/82/99/1071258299.db2.gz IUJRFOVYVDSYMH-UHFFFAOYSA-N 0 0 439.425 -0.245 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785199705 1071258170 /nfs/dbraw/zinc/25/81/70/1071258170.db2.gz DNEDWIBXROQGLD-LLVKDONJSA-N 0 0 427.483 -0.402 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785199707 1071258287 /nfs/dbraw/zinc/25/82/87/1071258287.db2.gz DNEDWIBXROQGLD-NSHDSACASA-N 0 0 427.483 -0.402 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3CN(C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC000785200598 1071258143 /nfs/dbraw/zinc/25/81/43/1071258143.db2.gz HFUHSSBLOICBIC-UHFFFAOYSA-N 0 0 431.559 -0.510 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)OCc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)ccc2c1=O ZINC000785205234 1071259096 /nfs/dbraw/zinc/25/90/96/1071259096.db2.gz CUHWXZWUHMTUAU-UHFFFAOYSA-N 0 0 426.389 -0.247 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)ccc1F ZINC000785206215 1071258071 /nfs/dbraw/zinc/25/80/71/1071258071.db2.gz KMOKISQXMIEZEU-UHFFFAOYSA-N 0 0 439.425 -0.293 20 0 IBADRN O=C(Nc1ccc(-n2cnc3ccccc32)nc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000785209467 1071259129 /nfs/dbraw/zinc/25/91/29/1071259129.db2.gz BLRSOFAKICZABS-AWEZNQCLSA-N 0 0 433.428 -0.472 20 0 IBADRN O=C(Nc1ccc(-n2cnc3ccccc32)nc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000785209474 1071258817 /nfs/dbraw/zinc/25/88/17/1071258817.db2.gz BLRSOFAKICZABS-CQSZACIVSA-N 0 0 433.428 -0.472 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CSC2=O)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000785209516 1071258909 /nfs/dbraw/zinc/25/89/09/1071258909.db2.gz BZBLUNQVDTVZFS-GFCCVEGCSA-N 0 0 431.430 -0.417 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CSC2=O)cc1)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000785209518 1071258884 /nfs/dbraw/zinc/25/88/84/1071258884.db2.gz BZBLUNQVDTVZFS-LBPRGKRZSA-N 0 0 431.430 -0.417 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)ccc1N1CCSCC1 ZINC000785209573 1071258960 /nfs/dbraw/zinc/25/89/60/1071258960.db2.gz CPAWSMOVPRFXTD-HNNXBMFYSA-N 0 0 431.518 -0.344 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)ccc1N1CCSCC1 ZINC000785209575 1071258795 /nfs/dbraw/zinc/25/87/95/1071258795.db2.gz CPAWSMOVPRFXTD-OAHLLOKOSA-N 0 0 431.518 -0.344 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)cc1C(F)(F)F)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000785210175 1071258833 /nfs/dbraw/zinc/25/88/33/1071258833.db2.gz GUMCCJROTFEWPS-GFCCVEGCSA-N 0 0 437.338 -0.013 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)cc1C(F)(F)F)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000785210176 1071259117 /nfs/dbraw/zinc/25/91/17/1071259117.db2.gz GUMCCJROTFEWPS-LBPRGKRZSA-N 0 0 437.338 -0.013 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2Cl)CC1 ZINC000785210502 1071259147 /nfs/dbraw/zinc/25/91/47/1071259147.db2.gz HOFKEPAZYLIULZ-AWEZNQCLSA-N 0 0 448.911 -0.801 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2Cl)CC1 ZINC000785210503 1071258861 /nfs/dbraw/zinc/25/88/61/1071258861.db2.gz HOFKEPAZYLIULZ-CQSZACIVSA-N 0 0 448.911 -0.801 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cnc(Cl)c1Br ZINC000785210504 1071258897 /nfs/dbraw/zinc/25/88/97/1071258897.db2.gz HOZFSPOZLVSICR-MRVPVSSYSA-N 0 0 444.673 -0.086 20 0 IBADRN Cc1c(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cnc(Cl)c1Br ZINC000785210515 1071258850 /nfs/dbraw/zinc/25/88/50/1071258850.db2.gz HOZFSPOZLVSICR-QMMMGPOBSA-N 0 0 444.673 -0.086 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2)C[C@@H](C)O1 ZINC000785211207 1071259695 /nfs/dbraw/zinc/25/96/95/1071259695.db2.gz KJBXZCQRYHKPML-CKEIUWERSA-N 0 0 429.477 -0.592 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2)C[C@@H](C)O1 ZINC000785211209 1071259757 /nfs/dbraw/zinc/25/97/57/1071259757.db2.gz KJBXZCQRYHKPML-CPUCHLNUSA-N 0 0 429.477 -0.592 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2)C[C@H](C)O1 ZINC000785211211 1071259708 /nfs/dbraw/zinc/25/97/08/1071259708.db2.gz KJBXZCQRYHKPML-JKIFEVAISA-N 0 0 429.477 -0.592 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2)C[C@H](C)O1 ZINC000785211213 1071259729 /nfs/dbraw/zinc/25/97/29/1071259729.db2.gz KJBXZCQRYHKPML-VBQJREDUSA-N 0 0 429.477 -0.592 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2F)C[C@H](C)O1 ZINC000785211319 1071259773 /nfs/dbraw/zinc/25/97/73/1071259773.db2.gz LMSUSFDLEHDXEL-GUTXKFCHSA-N 0 0 447.467 -0.453 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2F)C[C@@H](C)O1 ZINC000785211321 1071259590 /nfs/dbraw/zinc/25/95/90/1071259590.db2.gz LMSUSFDLEHDXEL-NFAWXSAZSA-N 0 0 447.467 -0.453 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2F)C[C@@H](C)O1 ZINC000785211323 1071259599 /nfs/dbraw/zinc/25/95/99/1071259599.db2.gz LMSUSFDLEHDXEL-UMVBOHGHSA-N 0 0 447.467 -0.453 20 0 IBADRN C[C@@H]1CN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2F)C[C@H](C)O1 ZINC000785211326 1071259580 /nfs/dbraw/zinc/25/95/80/1071259580.db2.gz LMSUSFDLEHDXEL-VNHYZAJKSA-N 0 0 447.467 -0.453 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000785211697 1071259661 /nfs/dbraw/zinc/25/96/61/1071259661.db2.gz YDLJPSFOBKWAAX-HNNXBMFYSA-N 0 0 433.509 -0.239 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000785211698 1071259626 /nfs/dbraw/zinc/25/96/26/1071259626.db2.gz YDLJPSFOBKWAAX-OAHLLOKOSA-N 0 0 433.509 -0.239 20 0 IBADRN O=C(Nc1cc2c(cc1Br)OCCO2)C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000785211739 1071259637 /nfs/dbraw/zinc/25/96/37/1071259637.db2.gz MMHZMMVUSXEVHM-JTQLQIEISA-N 0 0 439.222 -0.079 20 0 IBADRN O=C(Nc1cc2c(cc1Br)OCCO2)C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000785211740 1071259745 /nfs/dbraw/zinc/25/97/45/1071259745.db2.gz MMHZMMVUSXEVHM-SNVBAGLBSA-N 0 0 439.222 -0.079 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)cc2Cl)CC1 ZINC000785211872 1071259041 /nfs/dbraw/zinc/25/90/41/1071259041.db2.gz OPRDTOHRHKMCHM-HNNXBMFYSA-N 0 0 434.884 -0.207 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)cc2Cl)CC1 ZINC000785211873 1071258978 /nfs/dbraw/zinc/25/89/78/1071258978.db2.gz OPRDTOHRHKMCHM-OAHLLOKOSA-N 0 0 434.884 -0.207 20 0 IBADRN O=C(Nc1ccc(N2CCCC2=O)c(Cl)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000785212161 1071260393 /nfs/dbraw/zinc/26/03/93/1071260393.db2.gz QSZMIUAOQCGYHA-GFCCVEGCSA-N 0 0 433.852 -0.426 20 0 IBADRN O=C(Nc1ccc(N2CCCC2=O)c(Cl)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000785212162 1071260289 /nfs/dbraw/zinc/26/02/89/1071260289.db2.gz QSZMIUAOQCGYHA-LBPRGKRZSA-N 0 0 433.852 -0.426 20 0 IBADRN CN(Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1)C(=O)C(F)(F)F ZINC000785212192 1071260323 /nfs/dbraw/zinc/26/03/23/1071260323.db2.gz RIRUBJACCNIBJZ-GFCCVEGCSA-N 0 0 441.366 -0.092 20 0 IBADRN CN(Cc1cccc(NC(=O)C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1)C(=O)C(F)(F)F ZINC000785212195 1071260507 /nfs/dbraw/zinc/26/05/07/1071260507.db2.gz RIRUBJACCNIBJZ-LBPRGKRZSA-N 0 0 441.366 -0.092 20 0 IBADRN O=C(Nc1cnc(Cl)c(Br)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000785212515 1071260297 /nfs/dbraw/zinc/26/02/97/1071260297.db2.gz UVGMDEPAKSVZCY-MRVPVSSYSA-N 0 0 430.646 -0.395 20 0 IBADRN O=C(Nc1cnc(Cl)c(Br)c1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000785212517 1071260526 /nfs/dbraw/zinc/26/05/26/1071260526.db2.gz UVGMDEPAKSVZCY-QMMMGPOBSA-N 0 0 430.646 -0.395 20 0 IBADRN CC(C)COC(=O)Nc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000785212539 1071260358 /nfs/dbraw/zinc/26/03/58/1071260358.db2.gz VCDPLJSKVXYFHN-HNNXBMFYSA-N 0 0 431.449 -0.001 20 0 IBADRN CC(C)COC(=O)Nc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000785212541 1071260487 /nfs/dbraw/zinc/26/04/87/1071260487.db2.gz VCDPLJSKVXYFHN-OAHLLOKOSA-N 0 0 431.449 -0.001 20 0 IBADRN O=C(Nc1ccc([S@](=O)C(F)(F)F)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000785212863 1071259619 /nfs/dbraw/zinc/25/96/19/1071259619.db2.gz VMHQOONKNCVECU-UIHBWLLHSA-N 0 0 432.380 -0.578 20 0 IBADRN O=C(Nc1ccc([S@](=O)C(F)(F)F)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000785212867 1071259609 /nfs/dbraw/zinc/25/96/09/1071259609.db2.gz VMHQOONKNCVECU-WIONRGSFSA-N 0 0 432.380 -0.578 20 0 IBADRN O=C(Nc1ccc([S@@](=O)C(F)(F)F)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000785212869 1071259684 /nfs/dbraw/zinc/25/96/84/1071259684.db2.gz VMHQOONKNCVECU-XGCHSQQGSA-N 0 0 432.380 -0.578 20 0 IBADRN O=C(Nc1ccc([S@@](=O)C(F)(F)F)cc1)C(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000785212870 1071259672 /nfs/dbraw/zinc/25/96/72/1071259672.db2.gz VMHQOONKNCVECU-XUQHIXODSA-N 0 0 432.380 -0.578 20 0 IBADRN CC(C)(C)OC(=O)NCc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000785212882 1071260419 /nfs/dbraw/zinc/26/04/19/1071260419.db2.gz VTRCAEKDLPJRKL-HNNXBMFYSA-N 0 0 445.476 -0.181 20 0 IBADRN CC(C)(C)OC(=O)NCc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000785212884 1071260540 /nfs/dbraw/zinc/26/05/40/1071260540.db2.gz VTRCAEKDLPJRKL-OAHLLOKOSA-N 0 0 445.476 -0.181 20 0 IBADRN CC(C)(C)OC(=O)NCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC000785213012 1071260969 /nfs/dbraw/zinc/26/09/69/1071260969.db2.gz XEVFUKOKTTYINK-HNNXBMFYSA-N 0 0 445.476 -0.181 20 0 IBADRN CC(C)(C)OC(=O)NCc1cccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC000785213015 1071261165 /nfs/dbraw/zinc/26/11/65/1071261165.db2.gz XEVFUKOKTTYINK-OAHLLOKOSA-N 0 0 445.476 -0.181 20 0 IBADRN CC(C)(C)OC(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cn1 ZINC000785213086 1071261105 /nfs/dbraw/zinc/26/11/05/1071261105.db2.gz XRALOZAWSZCXGW-GFCCVEGCSA-N 0 0 432.437 -0.464 20 0 IBADRN CC(C)(C)OC(=O)Nc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cn1 ZINC000785213097 1071261334 /nfs/dbraw/zinc/26/13/34/1071261334.db2.gz XRALOZAWSZCXGW-LBPRGKRZSA-N 0 0 432.437 -0.464 20 0 IBADRN CC(C)OC(=O)NCc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC000785213542 1071260311 /nfs/dbraw/zinc/26/03/11/1071260311.db2.gz ZJENKEDRDFTQRR-HNNXBMFYSA-N 0 0 431.449 -0.571 20 0 IBADRN CC(C)OC(=O)NCc1ccc(NC(=O)C(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC000785213543 1071260275 /nfs/dbraw/zinc/26/02/75/1071260275.db2.gz ZJENKEDRDFTQRR-OAHLLOKOSA-N 0 0 431.449 -0.571 20 0 IBADRN O=C(COC(=O)Cc1cccc(N2CCCC2=O)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000785214890 1071261013 /nfs/dbraw/zinc/26/10/13/1071261013.db2.gz LNXAPSHSTGBKGM-AWEZNQCLSA-N 0 0 437.474 -0.088 20 0 IBADRN O=C(COC(=O)Cc1cccc(N2CCCC2=O)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000785214898 1071261031 /nfs/dbraw/zinc/26/10/31/1071261031.db2.gz LNXAPSHSTGBKGM-CQSZACIVSA-N 0 0 437.474 -0.088 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)OCc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)cc2S1(=O)=O ZINC000785216814 1071261054 /nfs/dbraw/zinc/26/10/54/1071261054.db2.gz ABRYNPUWDMXJSO-UHFFFAOYSA-N 0 0 447.429 -0.518 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)[C@@H](C)C1 ZINC000785218340 1071261121 /nfs/dbraw/zinc/26/11/21/1071261121.db2.gz RUYRIKWZAFENMH-HNNXBMFYSA-N 0 0 431.493 -0.343 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)[C@H](C)C1 ZINC000785218342 1071261224 /nfs/dbraw/zinc/26/12/24/1071261224.db2.gz RUYRIKWZAFENMH-OAHLLOKOSA-N 0 0 431.493 -0.343 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)cn1 ZINC000785223829 1071261754 /nfs/dbraw/zinc/26/17/54/1071261754.db2.gz MUHOSISZHQGYEG-AWEZNQCLSA-N 0 0 437.501 -0.765 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)cn1 ZINC000785223833 1071261993 /nfs/dbraw/zinc/26/19/93/1071261993.db2.gz MUHOSISZHQGYEG-CQSZACIVSA-N 0 0 437.501 -0.765 20 0 IBADRN CNC(=O)COc1ccc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1OC ZINC000785227831 1071262678 /nfs/dbraw/zinc/26/26/78/1071262678.db2.gz YWAJHCAZCRFSLX-UHFFFAOYSA-N 0 0 431.405 -0.549 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3nc(S(C)(=O)=O)n4ccccc34)nc2c(=O)n(C)c1=O ZINC000785232962 1071264069 /nfs/dbraw/zinc/26/40/69/1071264069.db2.gz PSMMMZRRKNUDAM-UHFFFAOYSA-N 0 0 432.418 -0.632 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(N4NC(=O)CCC4=O)cc3)nc2c(=O)n(C)c1=O ZINC000785236170 1071266784 /nfs/dbraw/zinc/26/67/84/1071266784.db2.gz KXRVJQKMPJFBSD-UHFFFAOYSA-N 0 0 426.389 -0.525 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NCCOCC(N)=O ZINC000785246636 1071333206 /nfs/dbraw/zinc/33/32/06/1071333206.db2.gz HCQLXXHURCHXIL-UHFFFAOYSA-N 0 0 427.357 -0.455 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2ccco2)cn1 ZINC000785251111 1071405990 /nfs/dbraw/zinc/40/59/90/1071405990.db2.gz ACLTZZWFBUZVOO-CVEARBPZSA-N 0 0 449.464 -0.472 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2ccco2)cn1 ZINC000785251112 1071406093 /nfs/dbraw/zinc/40/60/93/1071406093.db2.gz ACLTZZWFBUZVOO-HOTGVXAUSA-N 0 0 449.464 -0.472 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2ccco2)cn1 ZINC000785251113 1071406062 /nfs/dbraw/zinc/40/60/62/1071406062.db2.gz ACLTZZWFBUZVOO-HZPDHXFCSA-N 0 0 449.464 -0.472 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccco2)cn1 ZINC000785251114 1071406133 /nfs/dbraw/zinc/40/61/33/1071406133.db2.gz ACLTZZWFBUZVOO-JKSUJKDBSA-N 0 0 449.464 -0.472 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)C(=O)Nc1cc(-n2cnnn2)ccc1F ZINC000785253153 1071407720 /nfs/dbraw/zinc/40/77/20/1071407720.db2.gz FGHZYGBLCWRURH-LBPRGKRZSA-N 0 0 425.446 -0.002 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC000785253379 1071407576 /nfs/dbraw/zinc/40/75/76/1071407576.db2.gz MMCADRZTQOOYKU-CVEARBPZSA-N 0 0 443.460 -0.100 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000785253390 1071407565 /nfs/dbraw/zinc/40/75/65/1071407565.db2.gz MMCADRZTQOOYKU-HOTGVXAUSA-N 0 0 443.460 -0.100 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC000785253392 1071407509 /nfs/dbraw/zinc/40/75/09/1071407509.db2.gz MMCADRZTQOOYKU-HZPDHXFCSA-N 0 0 443.460 -0.100 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)nc1)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000785253393 1071407521 /nfs/dbraw/zinc/40/75/21/1071407521.db2.gz MMCADRZTQOOYKU-JKSUJKDBSA-N 0 0 443.460 -0.100 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H](CCSC)C(=O)N(C)OC)c2ccccc2O1 ZINC000785254470 1071408496 /nfs/dbraw/zinc/40/84/96/1071408496.db2.gz MYPRFPDDYFPZKJ-SWLSCSKDSA-N 0 0 438.506 -0.216 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](CCSC)C(=O)N(C)OC)c2ccccc2O1 ZINC000785254473 1071408427 /nfs/dbraw/zinc/40/84/27/1071408427.db2.gz MYPRFPDDYFPZKJ-WFASDCNBSA-N 0 0 438.506 -0.216 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H](CCSC)C(=O)N(C)OC)cc1 ZINC000785255234 1071408546 /nfs/dbraw/zinc/40/85/46/1071408546.db2.gz QEPNJHISSDMCEB-ZDUSSCGKSA-N 0 0 432.524 -0.209 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3c(F)ccc(S(N)(=O)=O)c3F)nc2c(=O)n(C)c1=O ZINC000785258348 1071410229 /nfs/dbraw/zinc/41/02/29/1071410229.db2.gz VFLJSMDBHHLJCK-UHFFFAOYSA-N 0 0 429.361 -0.757 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCOc3ccc(S(C)(=O)=O)cc3)nc2c(=O)n(C)c1=O ZINC000785260403 1071413410 /nfs/dbraw/zinc/41/34/10/1071413410.db2.gz NNVQEVKHDFDYOX-UHFFFAOYSA-N 0 0 436.446 -0.124 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)C(=O)Nc1cc(F)cc(S(N)(=O)=O)c1 ZINC000785260996 1071412616 /nfs/dbraw/zinc/41/26/16/1071412616.db2.gz BKQODTJEIAYDSQ-LBPRGKRZSA-N 0 0 436.487 -0.331 20 0 IBADRN Cn1c2[nH]c(COC(=O)CSc3ccc(S(N)(=O)=O)cc3)nc2c(=O)n(C)c1=O ZINC000785263528 1071414759 /nfs/dbraw/zinc/41/47/59/1071414759.db2.gz QKMQTUIIADEYQL-UHFFFAOYSA-N 0 0 439.475 -0.557 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)C(=O)Nc1ccc(CNS(C)(=O)=O)cc1 ZINC000785263936 1071414246 /nfs/dbraw/zinc/41/42/46/1071414246.db2.gz LHTGCGNEAPZMEO-AWEZNQCLSA-N 0 0 446.551 -0.068 20 0 IBADRN COc1ccc(NC(=O)C(=O)N[C@@H](CCSC)C(=O)N(C)OC)cc1-n1cnnn1 ZINC000785264098 1071414715 /nfs/dbraw/zinc/41/47/15/1071414715.db2.gz MIDJSJUUWANQBK-LBPRGKRZSA-N 0 0 437.482 -0.133 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)C(=O)Nc1ccc(-n2ncn(C)c2=O)cc1 ZINC000785265018 1071414994 /nfs/dbraw/zinc/41/49/94/1071414994.db2.gz OYSUNUFZVMJHRN-AWEZNQCLSA-N 0 0 436.494 -0.233 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)C(=O)Nc1ccc(C)c(S(N)(=O)=O)c1 ZINC000785265462 1071414792 /nfs/dbraw/zinc/41/47/92/1071414792.db2.gz QVCRUSIETTUSAA-LBPRGKRZSA-N 0 0 432.524 -0.161 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000785265527 1071415028 /nfs/dbraw/zinc/41/50/28/1071415028.db2.gz HPYVGPNRIYCZMC-UHFFFAOYSA-N 0 0 436.446 -0.263 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000785266939 1071415654 /nfs/dbraw/zinc/41/56/54/1071415654.db2.gz ZGEUNXYFALDHDH-HNNXBMFYSA-N 0 0 437.522 -0.181 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNS(=O)(=O)c3ccc(Cl)s3)nc2c(=O)n(C)c1=O ZINC000785273023 1071418070 /nfs/dbraw/zinc/41/80/70/1071418070.db2.gz USCGTCONGYCSNK-UHFFFAOYSA-N 0 0 447.882 -0.303 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@H]3C[C@@H](O)CN3C(=O)c3ccc(F)cc3)nc2c(=O)n(C)c1=O ZINC000785273603 1071419032 /nfs/dbraw/zinc/41/90/32/1071419032.db2.gz MNRFUAOZBMJAFT-CHWSQXEVSA-N 0 0 445.407 -0.582 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@@H]3C[C@@H](O)CN3C(=O)c3ccc(F)cc3)nc2c(=O)n(C)c1=O ZINC000785273604 1071419190 /nfs/dbraw/zinc/41/91/90/1071419190.db2.gz MNRFUAOZBMJAFT-OLZOCXBDSA-N 0 0 445.407 -0.582 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@H]3C[C@H](O)CN3C(=O)c3ccc(F)cc3)nc2c(=O)n(C)c1=O ZINC000785273605 1071419007 /nfs/dbraw/zinc/41/90/07/1071419007.db2.gz MNRFUAOZBMJAFT-QWHCGFSZSA-N 0 0 445.407 -0.582 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@@H]3C[C@H](O)CN3C(=O)c3ccc(F)cc3)nc2c(=O)n(C)c1=O ZINC000785273606 1071419799 /nfs/dbraw/zinc/41/97/99/1071419799.db2.gz MNRFUAOZBMJAFT-STQMWFEESA-N 0 0 445.407 -0.582 20 0 IBADRN CC1([C@H]2CCCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2)OCCO1 ZINC000785273870 1071419134 /nfs/dbraw/zinc/41/91/34/1071419134.db2.gz OMEIGGNKEPVQBV-HNNXBMFYSA-N 0 0 435.481 -0.318 20 0 IBADRN CC1([C@@H]2CCCN(C(=O)C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C2)OCCO1 ZINC000785273886 1071419040 /nfs/dbraw/zinc/41/90/40/1071419040.db2.gz OMEIGGNKEPVQBV-OAHLLOKOSA-N 0 0 435.481 -0.318 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@@H](C4(C)OCCO4)C3)cnc2n(C)c1=O ZINC000785274103 1071419814 /nfs/dbraw/zinc/41/98/14/1071419814.db2.gz NJSDZCDZGDXZKX-GFCCVEGCSA-N 0 0 431.449 -0.428 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCC[C@H](C4(C)OCCO4)C3)cnc2n(C)c1=O ZINC000785274104 1071419783 /nfs/dbraw/zinc/41/97/83/1071419783.db2.gz NJSDZCDZGDXZKX-LBPRGKRZSA-N 0 0 431.449 -0.428 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(S(N)(=O)=O)c(Cl)c3)nc2c(=O)n(C)c1=O ZINC000785280851 1071421595 /nfs/dbraw/zinc/42/15/95/1071421595.db2.gz KLKSWXXPIOFUIO-UHFFFAOYSA-N 0 0 427.826 -0.382 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC000785281380 1071422387 /nfs/dbraw/zinc/42/23/87/1071422387.db2.gz IBUDDOIVHZWTIL-UHFFFAOYSA-N 0 0 428.463 -0.004 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCOc3ccc(S(N)(=O)=O)cc3)nc2c(=O)n(C)c1=O ZINC000785281809 1071422287 /nfs/dbraw/zinc/42/22/87/1071422287.db2.gz NYPIDMNPJDKGIF-UHFFFAOYSA-N 0 0 437.434 -0.880 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CSC2=O)cc1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000785282875 1071423374 /nfs/dbraw/zinc/42/33/74/1071423374.db2.gz FFWVFVPOBBIHSC-AWEZNQCLSA-N 0 0 434.474 -0.080 20 0 IBADRN O=C(Nc1ccc(CN2C(=O)CSC2=O)cc1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000785282877 1071423288 /nfs/dbraw/zinc/42/32/88/1071423288.db2.gz FFWVFVPOBBIHSC-CQSZACIVSA-N 0 0 434.474 -0.080 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(F)c(NS(C)(=O)=O)c3)nc2c(=O)n(C)c1=O ZINC000785284384 1071424005 /nfs/dbraw/zinc/42/40/05/1071424005.db2.gz VWBSTHGAGGWUHN-UHFFFAOYSA-N 0 0 425.398 -0.172 20 0 IBADRN CC1([C@H]2CCCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)OCCO1 ZINC000785284840 1071424028 /nfs/dbraw/zinc/42/40/28/1071424028.db2.gz OUWMRKFTYTXZBK-HNNXBMFYSA-N 0 0 435.481 -0.318 20 0 IBADRN CC1([C@@H]2CCCN(C(=O)C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)C2)OCCO1 ZINC000785284844 1071424088 /nfs/dbraw/zinc/42/40/88/1071424088.db2.gz OUWMRKFTYTXZBK-OAHLLOKOSA-N 0 0 435.481 -0.318 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)OCCN1CCOCC1=O ZINC000785285282 1071424867 /nfs/dbraw/zinc/42/48/67/1071424867.db2.gz OEKUABMZCIITHY-UHFFFAOYSA-N 0 0 428.463 -0.082 20 0 IBADRN COc1ccc(C(=O)OCCN2CCOCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000785285341 1071424797 /nfs/dbraw/zinc/42/47/97/1071424797.db2.gz PBSJBZXTLKGYLY-UHFFFAOYSA-N 0 0 428.463 -0.268 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)nc2c(=O)n(C)c1=O ZINC000785285554 1071424734 /nfs/dbraw/zinc/42/47/34/1071424734.db2.gz WVSUFIHFQNZVKK-UHFFFAOYSA-N 0 0 449.445 -0.988 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)C(=O)N[C@H]1CCCN(CCO)C1=O ZINC000785286015 1071424792 /nfs/dbraw/zinc/42/47/92/1071424792.db2.gz OEMPNTLFKJEGCF-AWEZNQCLSA-N 0 0 437.884 -0.686 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)C(=O)N[C@@H]1CCCN(CCO)C1=O ZINC000785286020 1071424825 /nfs/dbraw/zinc/42/48/25/1071424825.db2.gz OEMPNTLFKJEGCF-CQSZACIVSA-N 0 0 437.884 -0.686 20 0 IBADRN COCC[C@@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)C(F)(F)F)ccc1OC)C(N)=O ZINC000785286413 1071424753 /nfs/dbraw/zinc/42/47/53/1071424753.db2.gz PXKQEHVJUABQHX-SECBINFHSA-N 0 0 441.384 -0.066 20 0 IBADRN COCC[C@H](NC(=O)C(=O)Nc1cc(S(=O)(=O)C(F)(F)F)ccc1OC)C(N)=O ZINC000785286470 1071424841 /nfs/dbraw/zinc/42/48/41/1071424841.db2.gz PXKQEHVJUABQHX-VIFPVBQESA-N 0 0 441.384 -0.066 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCCN3CCOCC3=O)cc2)CC1 ZINC000785287513 1071425642 /nfs/dbraw/zinc/42/56/42/1071425642.db2.gz YLISWDBRIHHFBY-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN Cn1c2[nH]c(COC(=O)CCNC(=O)c3[nH]nc4ccccc43)nc2c(=O)n(C)c1=O ZINC000785290952 1071426388 /nfs/dbraw/zinc/42/63/88/1071426388.db2.gz CFGBLHGTFSSOKM-UHFFFAOYSA-N 0 0 425.405 -0.300 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCOCC1=O ZINC000785291657 1071426132 /nfs/dbraw/zinc/42/61/32/1071426132.db2.gz KJCVBEZWYOGSHH-HNNXBMFYSA-N 0 0 448.519 -0.830 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCOCC1=O ZINC000785291659 1071426402 /nfs/dbraw/zinc/42/64/02/1071426402.db2.gz KJCVBEZWYOGSHH-OAHLLOKOSA-N 0 0 448.519 -0.830 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCCN2CCOCC2=O)c1 ZINC000785292486 1071426179 /nfs/dbraw/zinc/42/61/79/1071426179.db2.gz VSXNHIMQQBLKBP-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)OCCN1CCOCC1=O ZINC000785293158 1071426924 /nfs/dbraw/zinc/42/69/24/1071426924.db2.gz PDBNGIVNCKKVHI-UHFFFAOYSA-N 0 0 428.463 -0.082 20 0 IBADRN COCCNC(=O)[C@H](CC(C)C)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000785294074 1071426140 /nfs/dbraw/zinc/42/61/40/1071426140.db2.gz XHHPPTOGQYDOHY-KRWDZBQOSA-N 0 0 433.509 -0.145 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000785296167 1071427724 /nfs/dbraw/zinc/42/77/24/1071427724.db2.gz DCPWPKBVMLIOAM-SSDOTTSWSA-N 0 0 447.413 -0.280 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NC[C@H](C)S(N)(=O)=O ZINC000785296168 1071427708 /nfs/dbraw/zinc/42/77/08/1071427708.db2.gz DCPWPKBVMLIOAM-ZETCQYMHSA-N 0 0 447.413 -0.280 20 0 IBADRN C[C@H]1[C@H](C)N(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CCN1C ZINC000785296958 1071427898 /nfs/dbraw/zinc/42/78/98/1071427898.db2.gz PXRWWXQISTWBPZ-KBPBESRZSA-N 0 0 445.563 -0.006 20 0 IBADRN C[C@@H]1[C@H](C)N(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CCN1C ZINC000785296959 1071427847 /nfs/dbraw/zinc/42/78/47/1071427847.db2.gz PXRWWXQISTWBPZ-KGLIPLIRSA-N 0 0 445.563 -0.006 20 0 IBADRN C[C@@H]1[C@H](C)N(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000785296960 1071427733 /nfs/dbraw/zinc/42/77/33/1071427733.db2.gz PXRWWXQISTWBPZ-UONOGXRCSA-N 0 0 445.563 -0.006 20 0 IBADRN C[C@@H]1[C@@H](C)N(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CCN1C ZINC000785296961 1071427947 /nfs/dbraw/zinc/42/79/47/1071427947.db2.gz PXRWWXQISTWBPZ-ZIAGYGMSSA-N 0 0 445.563 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCN1CCOCC1=O ZINC000785297049 1071427973 /nfs/dbraw/zinc/42/79/73/1071427973.db2.gz OOXPUULZWKWQEE-UHFFFAOYSA-N 0 0 428.463 -0.268 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C(C)C)cc1NC(=O)C(=O)NN1CC(=O)N(C)C1=O ZINC000785299650 1071428559 /nfs/dbraw/zinc/42/85/59/1071428559.db2.gz QKHCZGUJVJGPSS-UHFFFAOYSA-N 0 0 425.467 -0.112 20 0 IBADRN C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785301547 1071430374 /nfs/dbraw/zinc/43/03/74/1071430374.db2.gz GXVDTNMRIFOYQF-SECBINFHSA-N 0 0 425.405 -0.302 20 0 IBADRN C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785301548 1071430220 /nfs/dbraw/zinc/43/02/20/1071430220.db2.gz GXVDTNMRIFOYQF-VIFPVBQESA-N 0 0 425.405 -0.302 20 0 IBADRN CN1C(=O)CN(NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)NC(C)(C)C)c2)C1=O ZINC000785303952 1071431047 /nfs/dbraw/zinc/43/10/47/1071431047.db2.gz AZOHNFFNKNHBPC-UHFFFAOYSA-N 0 0 429.430 -0.234 20 0 IBADRN CN1C(=O)CN(NC(=O)C(=O)N2CCc3cc(S(=O)(=O)N4CCCCC4)ccc32)C1=O ZINC000785304000 1071430862 /nfs/dbraw/zinc/43/08/62/1071430862.db2.gz CEZOKIWGSIBVQI-UHFFFAOYSA-N 0 0 449.489 -0.325 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CC(=O)N(C)C3=O)cc2)C1 ZINC000785304374 1071432436 /nfs/dbraw/zinc/43/24/36/1071432436.db2.gz HTGFIJUBAXXLNE-GFCCVEGCSA-N 0 0 437.478 -0.029 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CC(=O)N(C)C3=O)cc2)C1 ZINC000785304375 1071432551 /nfs/dbraw/zinc/43/25/51/1071432551.db2.gz HTGFIJUBAXXLNE-LBPRGKRZSA-N 0 0 437.478 -0.029 20 0 IBADRN COc1cc(OC)c(Cn2nccc2NC(=O)C(=O)NN2CC(=O)N(C)C2=O)c(OC)c1 ZINC000785304786 1071432520 /nfs/dbraw/zinc/43/25/20/1071432520.db2.gz MSKZKABFCPTZLG-UHFFFAOYSA-N 0 0 446.420 -0.179 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)NN3CC(=O)N(C)C3=O)cc2)CC1 ZINC000785304996 1071431699 /nfs/dbraw/zinc/43/16/99/1071431699.db2.gz CDIPZILWCMUQSL-UHFFFAOYSA-N 0 0 430.465 -0.743 20 0 IBADRN CN1C(=O)CN(NC(=O)C(=O)Nc2ccc(Cl)c(S(=O)(=O)N3CCCC3)c2)C1=O ZINC000785304998 1071431740 /nfs/dbraw/zinc/43/17/40/1071431740.db2.gz CEZWSFLQHGOPHF-UHFFFAOYSA-N 0 0 443.869 -0.012 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)ccc1C ZINC000785305012 1071432432 /nfs/dbraw/zinc/43/24/32/1071432432.db2.gz COBZVWONGHRDGB-UHFFFAOYSA-N 0 0 425.467 -0.111 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000785305114 1071433023 /nfs/dbraw/zinc/43/30/23/1071433023.db2.gz DSDGCFKCJXVFIA-UHFFFAOYSA-N 0 0 441.466 -0.411 20 0 IBADRN CCCCN(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)cc1 ZINC000785305183 1071433858 /nfs/dbraw/zinc/43/38/58/1071433858.db2.gz SXHAMDYYIOJBRY-UHFFFAOYSA-N 0 0 425.467 -0.029 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)cc1NC(=O)C(=O)NN1CC(=O)N(C)C1=O ZINC000785305237 1071433866 /nfs/dbraw/zinc/43/38/66/1071433866.db2.gz UTIIRVBBUQNLNY-UHFFFAOYSA-N 0 0 441.466 -0.364 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NN1CC(=O)N(C)C1=O ZINC000785305251 1071433093 /nfs/dbraw/zinc/43/30/93/1071433093.db2.gz VGRCHSDVLNEYSF-UHFFFAOYSA-N 0 0 437.478 -0.469 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)cc1S(=O)(=O)NC(C)(C)C ZINC000785305380 1071433046 /nfs/dbraw/zinc/43/30/46/1071433046.db2.gz VLPVZUOWOGCQSP-UHFFFAOYSA-N 0 0 425.467 -0.064 20 0 IBADRN CC[C@@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000785305594 1071433083 /nfs/dbraw/zinc/43/30/83/1071433083.db2.gz WUJJCZLLZIGHIL-LLVKDONJSA-N 0 0 425.467 -0.232 20 0 IBADRN CC[C@H](C)NS(=O)(=O)Cc1cccc(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000785305597 1071432952 /nfs/dbraw/zinc/43/29/52/1071432952.db2.gz WUJJCZLLZIGHIL-NSHDSACASA-N 0 0 425.467 -0.232 20 0 IBADRN Cc1c(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)cccc1S(=O)(=O)NC(C)(C)C ZINC000785305599 1071433010 /nfs/dbraw/zinc/43/30/10/1071433010.db2.gz KFRYFWIUDLKKRA-UHFFFAOYSA-N 0 0 425.467 -0.064 20 0 IBADRN CC(C)CCNS(=O)(=O)c1ccc(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)cc1 ZINC000785305676 1071433114 /nfs/dbraw/zinc/43/31/14/1071433114.db2.gz YAHLWZNWYIHXJX-UHFFFAOYSA-N 0 0 425.467 -0.125 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)NN1CC(=O)N(C)C1=O ZINC000785306050 1071432477 /nfs/dbraw/zinc/43/24/77/1071432477.db2.gz PBDCZQOEYPVAJM-UHFFFAOYSA-N 0 0 438.340 -0.148 20 0 IBADRN CN1C(=O)CN(NC(=O)C(=O)Nc2ccc3c(ccn3CCN3CCOCC3)c2)C1=O ZINC000785306521 1071433775 /nfs/dbraw/zinc/43/37/75/1071433775.db2.gz QMIKJPFIQAFTGQ-UHFFFAOYSA-N 0 0 428.449 -0.163 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785306830 1071433847 /nfs/dbraw/zinc/43/38/47/1071433847.db2.gz GKDBNBVSGBQWMH-UHFFFAOYSA-N 0 0 427.421 -0.150 20 0 IBADRN CN1C(=O)CN(NC(=O)C(=O)N2C[C@@H](C(=O)N3CCCCC3)Oc3ccccc32)C1=O ZINC000785306955 1071433920 /nfs/dbraw/zinc/43/39/20/1071433920.db2.gz UBRAPPZRSMLRRI-HNNXBMFYSA-N 0 0 429.433 -0.282 20 0 IBADRN CN1C(=O)CN(NC(=O)C(=O)N2C[C@H](C(=O)N3CCCCC3)Oc3ccccc32)C1=O ZINC000785306956 1071433792 /nfs/dbraw/zinc/43/37/92/1071433792.db2.gz UBRAPPZRSMLRRI-OAHLLOKOSA-N 0 0 429.433 -0.282 20 0 IBADRN CC1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CC(=O)N(C)C3=O)cc2)CC1 ZINC000785307000 1071433737 /nfs/dbraw/zinc/43/37/37/1071433737.db2.gz UWZXTRLBSAAQPZ-UHFFFAOYSA-N 0 0 437.478 -0.029 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NN1CC(=O)N(C)C1=O ZINC000785307132 1071434580 /nfs/dbraw/zinc/43/45/80/1071434580.db2.gz ZBWBDODPTDNVFF-UHFFFAOYSA-N 0 0 441.466 -0.412 20 0 IBADRN C[C@H](OC(=O)CC(C)(C)CC(=O)O[C@@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000785307264 1071433891 /nfs/dbraw/zinc/43/38/91/1071433891.db2.gz PNKPMRUEOCEQLH-RYUDHWBXSA-N 0 0 440.453 -0.240 20 0 IBADRN C[C@@H](OC(=O)CC(C)(C)CC(=O)O[C@@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000785307265 1071433803 /nfs/dbraw/zinc/43/38/03/1071433803.db2.gz PNKPMRUEOCEQLH-TXEJJXNPSA-N 0 0 440.453 -0.240 20 0 IBADRN C[C@@H](OC(=O)CC(C)(C)CC(=O)O[C@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000785307266 1071434732 /nfs/dbraw/zinc/43/47/32/1071434732.db2.gz PNKPMRUEOCEQLH-VXGBXAGGSA-N 0 0 440.453 -0.240 20 0 IBADRN COc1ccc(CC(=O)OCCN2CCOCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000785310654 1071436325 /nfs/dbraw/zinc/43/63/25/1071436325.db2.gz PEMNMWKKSNQQBE-UHFFFAOYSA-N 0 0 442.490 -0.339 20 0 IBADRN Cn1c2[nH]c(COC(=O)CSc3nnnn3CC(F)(F)F)nc2c(=O)n(C)c1=O ZINC000785314310 1071437100 /nfs/dbraw/zinc/43/71/00/1071437100.db2.gz FKRGNEJDMPVDJD-UHFFFAOYSA-N 0 0 434.360 -0.655 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785316228 1071437078 /nfs/dbraw/zinc/43/70/78/1071437078.db2.gz MCGTTXIXHOMATB-UHFFFAOYSA-N 0 0 427.464 -0.371 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)CC1 ZINC000785320912 1071436963 /nfs/dbraw/zinc/43/69/63/1071436963.db2.gz RFIFVBNWKGEZDC-UHFFFAOYSA-N 0 0 427.483 -0.498 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785324192 1071437620 /nfs/dbraw/zinc/43/76/20/1071437620.db2.gz GSOHYAIEIRXMRP-CYBMUJFWSA-N 0 0 442.411 -0.078 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785324194 1071437707 /nfs/dbraw/zinc/43/77/07/1071437707.db2.gz GSOHYAIEIRXMRP-ZDUSSCGKSA-N 0 0 442.411 -0.078 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785324513 1071437582 /nfs/dbraw/zinc/43/75/82/1071437582.db2.gz KEDYTCYEHJPAMI-CYBMUJFWSA-N 0 0 442.411 -0.078 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785324515 1071437698 /nfs/dbraw/zinc/43/76/98/1071437698.db2.gz KEDYTCYEHJPAMI-ZDUSSCGKSA-N 0 0 442.411 -0.078 20 0 IBADRN C[C@@H](OC(=O)C1(C(=O)O[C@@H](C)C(=O)NC(=O)NC2CC2)CC1)C(=O)NC(=O)NC1CC1 ZINC000785326314 1071437735 /nfs/dbraw/zinc/43/77/35/1071437735.db2.gz KKDJMRMTQQMOQA-AOOOYVTPSA-N 0 0 438.437 -0.394 20 0 IBADRN C[C@@H](OC(=O)C1(C(=O)O[C@H](C)C(=O)NC(=O)NC2CC2)CC1)C(=O)NC(=O)NC1CC1 ZINC000785326315 1071438369 /nfs/dbraw/zinc/43/83/69/1071438369.db2.gz KKDJMRMTQQMOQA-NXEZZACHSA-N 0 0 438.437 -0.394 20 0 IBADRN C[C@H](OC(=O)C1(C(=O)O[C@@H](C)C(=O)NC(=O)NC2CC2)CC1)C(=O)NC(=O)NC1CC1 ZINC000785326317 1071438424 /nfs/dbraw/zinc/43/84/24/1071438424.db2.gz KKDJMRMTQQMOQA-UWVGGRQHSA-N 0 0 438.437 -0.394 20 0 IBADRN COCCN(CC(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O)C(=O)OC(C)(C)C ZINC000785326882 1071437795 /nfs/dbraw/zinc/43/77/95/1071437795.db2.gz NRQXMNSYNBLWOD-UHFFFAOYSA-N 0 0 425.442 -0.113 20 0 IBADRN Cn1c2[nH]c(COC(=O)CNC(=O)N3CCc4ccccc4C3)nc2c(=O)n(C)c1=O ZINC000785328190 1071438445 /nfs/dbraw/zinc/43/84/45/1071438445.db2.gz LUGZEZXZKLMKKI-UHFFFAOYSA-N 0 0 426.433 -0.229 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCCC[C@H]1CNC(=O)CCNC(=O)OC(C)(C)C ZINC000785330314 1071438176 /nfs/dbraw/zinc/43/81/76/1071438176.db2.gz ZSDMVMWCSPQIQS-AWEZNQCLSA-N 0 0 441.529 -0.397 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)N1CCCC[C@@H]1CNC(=O)CCNC(=O)OC(C)(C)C ZINC000785330315 1071438308 /nfs/dbraw/zinc/43/83/08/1071438308.db2.gz ZSDMVMWCSPQIQS-CQSZACIVSA-N 0 0 441.529 -0.397 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(CN4C(=O)CSC4=O)cc3)C2)nn1 ZINC000785331878 1071438482 /nfs/dbraw/zinc/43/84/82/1071438482.db2.gz IFMKYURSOYANEH-UHFFFAOYSA-N 0 0 443.445 -0.406 20 0 IBADRN NC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(-n4nccn4)cc3C(F)(F)F)C2)nn1 ZINC000785332418 1071439336 /nfs/dbraw/zinc/43/93/36/1071439336.db2.gz KIUWEZBXSKMGLQ-UHFFFAOYSA-N 0 0 449.353 -0.002 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c1 ZINC000785333148 1071440064 /nfs/dbraw/zinc/44/00/64/1071440064.db2.gz OLLHLIVGDIJNHV-HKBQPEDESA-N 0 0 449.489 -0.018 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)N2CC(n3cc(C(=O)OC)nn3)C2)c1 ZINC000785333215 1071440030 /nfs/dbraw/zinc/44/00/30/1071440030.db2.gz OLLHLIVGDIJNHV-WJOKGBTCSA-N 0 0 449.489 -0.018 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C2)nn1 ZINC000785334808 1071439942 /nfs/dbraw/zinc/43/99/42/1071439942.db2.gz TYBYSAIJRNVYAN-UHFFFAOYSA-N 0 0 427.421 -0.977 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N3CC(n4cc(C(N)=O)nn4)C3)cc2Cl)CC1 ZINC000785334836 1071439965 /nfs/dbraw/zinc/43/99/65/1071439965.db2.gz UDXLDZIOYNSOIA-UHFFFAOYSA-N 0 0 446.899 -0.196 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CCN2C1=O ZINC000785336767 1071441552 /nfs/dbraw/zinc/44/15/52/1071441552.db2.gz NFDAGRGLJHHORF-CABCVRRESA-N 0 0 439.538 -0.244 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CCN2C1=O ZINC000785336787 1071441457 /nfs/dbraw/zinc/44/14/57/1071441457.db2.gz NFDAGRGLJHHORF-GJZGRUSLSA-N 0 0 439.538 -0.244 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CCN2C1=O ZINC000785336788 1071441601 /nfs/dbraw/zinc/44/16/01/1071441601.db2.gz NFDAGRGLJHHORF-HUUCEWRRSA-N 0 0 439.538 -0.244 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CCN2C1=O ZINC000785336790 1071441542 /nfs/dbraw/zinc/44/15/42/1071441542.db2.gz NFDAGRGLJHHORF-LSDHHAIUSA-N 0 0 439.538 -0.244 20 0 IBADRN C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000785337203 1071441445 /nfs/dbraw/zinc/44/14/45/1071441445.db2.gz DXLWZVSUABVCPJ-DZGCQCFKSA-N 0 0 425.507 -0.176 20 0 IBADRN C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000785337204 1071441525 /nfs/dbraw/zinc/44/15/25/1071441525.db2.gz DXLWZVSUABVCPJ-HIFRSBDPSA-N 0 0 425.507 -0.176 20 0 IBADRN C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000785337205 1071441595 /nfs/dbraw/zinc/44/15/95/1071441595.db2.gz DXLWZVSUABVCPJ-UKRRQHHQSA-N 0 0 425.507 -0.176 20 0 IBADRN C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000785337206 1071441517 /nfs/dbraw/zinc/44/15/17/1071441517.db2.gz DXLWZVSUABVCPJ-ZFWWWQNUSA-N 0 0 425.507 -0.176 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)C2(C(=O)OCCN3C(=O)NC(C)(C)C3=O)CC2)C1=O ZINC000785337677 1071441429 /nfs/dbraw/zinc/44/14/29/1071441429.db2.gz UGXUORTZXKMVFR-UHFFFAOYSA-N 0 0 438.437 -0.486 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000785337887 1071441472 /nfs/dbraw/zinc/44/14/72/1071441472.db2.gz QTWWRVUPFDOOKQ-HNNXBMFYSA-N 0 0 443.547 -0.148 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(C[C@@H]4CC(=O)NC4=O)cc3)nc2c(=O)n(C)c1=O ZINC000785338455 1071442274 /nfs/dbraw/zinc/44/22/74/1071442274.db2.gz WGKHTFZPSBERPI-GFCCVEGCSA-N 0 0 425.401 -0.478 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc(C[C@H]4CC(=O)NC4=O)cc3)nc2c(=O)n(C)c1=O ZINC000785338456 1071442255 /nfs/dbraw/zinc/44/22/55/1071442255.db2.gz WGKHTFZPSBERPI-LBPRGKRZSA-N 0 0 425.401 -0.478 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000785339049 1071442230 /nfs/dbraw/zinc/44/22/30/1071442230.db2.gz ASFMITBMZRKABZ-GJZGRUSLSA-N 0 0 438.506 -0.170 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@@H]3C[C@@H](C)O)cc2)CC1 ZINC000785339125 1071442214 /nfs/dbraw/zinc/44/22/14/1071442214.db2.gz QWZFRVFIOGMGBO-APWZRJJASA-N 0 0 448.520 -0.082 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@@H]3C[C@H](C)O)cc2)CC1 ZINC000785339126 1071442262 /nfs/dbraw/zinc/44/22/62/1071442262.db2.gz QWZFRVFIOGMGBO-LPHOPBHVSA-N 0 0 448.520 -0.082 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@H]3C[C@H](C)O)cc2)CC1 ZINC000785339127 1071442268 /nfs/dbraw/zinc/44/22/68/1071442268.db2.gz QWZFRVFIOGMGBO-QFBILLFUSA-N 0 0 448.520 -0.082 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@H]3C[C@@H](C)O)cc2)CC1 ZINC000785339128 1071442335 /nfs/dbraw/zinc/44/23/35/1071442335.db2.gz QWZFRVFIOGMGBO-VQIMIIECSA-N 0 0 448.520 -0.082 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000785339651 1071442250 /nfs/dbraw/zinc/44/22/50/1071442250.db2.gz FNBFLQIXXFRHMU-UHFFFAOYSA-N 0 0 435.462 -0.243 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000785340670 1071442317 /nfs/dbraw/zinc/44/23/17/1071442317.db2.gz ODDNHYPQHRDXSZ-GJZGRUSLSA-N 0 0 438.506 -0.170 20 0 IBADRN COc1ccc(S(=O)(=O)C(F)(F)F)cc1NC(=O)C(=O)N1C[C@@H](O)C[C@H]1CO ZINC000785340672 1071442224 /nfs/dbraw/zinc/44/22/24/1071442224.db2.gz OEZBWOGRDNYLOG-IUCAKERBSA-N 0 0 426.369 -0.119 20 0 IBADRN COCC(C)(C(=O)OCC(=O)N1CCO[C@@H](C)C1)C(=O)OCC(=O)N1CCO[C@@H](C)C1 ZINC000785342310 1071443879 /nfs/dbraw/zinc/44/38/79/1071443879.db2.gz HPZDTSVEGRINLT-GJZGRUSLSA-N 0 0 444.481 -0.780 20 0 IBADRN COCC(C)(C(=O)OCC(=O)N1CCO[C@H](C)C1)C(=O)OCC(=O)N1CCO[C@H](C)C1 ZINC000785342311 1071443752 /nfs/dbraw/zinc/44/37/52/1071443752.db2.gz HPZDTSVEGRINLT-HUUCEWRRSA-N 0 0 444.481 -0.780 20 0 IBADRN COC[C@@](C)(C(=O)OCC(=O)N1CCO[C@H](C)C1)C(=O)OCC(=O)N1CCO[C@@H](C)C1 ZINC000785342312 1071443853 /nfs/dbraw/zinc/44/38/53/1071443853.db2.gz HPZDTSVEGRINLT-QVDMIQRCSA-N 0 0 444.481 -0.780 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@]12CCOC2=O ZINC000785343185 1071443892 /nfs/dbraw/zinc/44/38/92/1071443892.db2.gz JTLCTPAPQYGMIE-HTAPYJJXSA-N 0 0 443.456 -0.053 20 0 IBADRN O=C(C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@@]12CCOC2=O ZINC000785343186 1071443733 /nfs/dbraw/zinc/44/37/33/1071443733.db2.gz JTLCTPAPQYGMIE-JTSKRJEESA-N 0 0 443.456 -0.053 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@]12CCOC2=O ZINC000785343187 1071443916 /nfs/dbraw/zinc/44/39/16/1071443916.db2.gz JTLCTPAPQYGMIE-VGOFRKELSA-N 0 0 443.456 -0.053 20 0 IBADRN O=C(C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21)N1CCC[C@@]12CCOC2=O ZINC000785343189 1071443768 /nfs/dbraw/zinc/44/37/68/1071443768.db2.gz JTLCTPAPQYGMIE-VGSWGCGISA-N 0 0 443.456 -0.053 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC[C@@]12CCOC2=O ZINC000785344422 1071444515 /nfs/dbraw/zinc/44/45/15/1071444515.db2.gz GPAHGKNZBGUWPS-IBGZPJMESA-N 0 0 437.474 -0.046 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N1CCC[C@]12CCOC2=O ZINC000785344426 1071444448 /nfs/dbraw/zinc/44/44/48/1071444448.db2.gz GPAHGKNZBGUWPS-LJQANCHMSA-N 0 0 437.474 -0.046 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@@]12CCOC2=O ZINC000785344820 1071444552 /nfs/dbraw/zinc/44/45/52/1071444552.db2.gz OLRGKQAIVJOCPQ-IBGZPJMESA-N 0 0 437.474 -0.046 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N1CCC[C@]12CCOC2=O ZINC000785344834 1071444608 /nfs/dbraw/zinc/44/46/08/1071444608.db2.gz OLRGKQAIVJOCPQ-LJQANCHMSA-N 0 0 437.474 -0.046 20 0 IBADRN O=C(c1ccccc1-n1ccnn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000785344862 1071444490 /nfs/dbraw/zinc/44/44/90/1071444490.db2.gz UPMKBUJNUFGSOE-AWEZNQCLSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1ccccc1-n1ccnn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000785344863 1071444562 /nfs/dbraw/zinc/44/45/62/1071444562.db2.gz UPMKBUJNUFGSOE-CQSZACIVSA-N 0 0 439.519 -0.458 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(C)CC1(O)CCOCC1 ZINC000785346600 1071445332 /nfs/dbraw/zinc/44/53/32/1071445332.db2.gz AHQXTSFEHKKEIC-UHFFFAOYSA-N 0 0 425.507 -0.174 20 0 IBADRN COC(=O)[C@H](Cc1ccc(F)cc1)OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000785346973 1071445235 /nfs/dbraw/zinc/44/52/35/1071445235.db2.gz IILXVXQXUDXNOW-AWEZNQCLSA-N 0 0 427.410 -0.142 20 0 IBADRN COC(=O)[C@@H](Cc1ccc(F)cc1)OC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000785346977 1071445273 /nfs/dbraw/zinc/44/52/73/1071445273.db2.gz IILXVXQXUDXNOW-CQSZACIVSA-N 0 0 427.410 -0.142 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@]23CCOC3=O)cc1 ZINC000785347445 1071445722 /nfs/dbraw/zinc/44/57/22/1071445722.db2.gz UQVIBAJRDFLSKT-GOSISDBHSA-N 0 0 425.463 -0.142 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@]23CCOC3=O)cc1 ZINC000785347446 1071445822 /nfs/dbraw/zinc/44/58/22/1071445822.db2.gz UQVIBAJRDFLSKT-SFHVURJKSA-N 0 0 425.463 -0.142 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C)CC3(O)CCOCC3)cc2)CC1 ZINC000785347964 1071445736 /nfs/dbraw/zinc/44/57/36/1071445736.db2.gz LHVLVHGUMOOZEN-UHFFFAOYSA-N 0 0 448.520 -0.080 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCC[C@]12CCOC2=O ZINC000785349226 1071446553 /nfs/dbraw/zinc/44/65/53/1071446553.db2.gz RDIXQBAHIAHTMA-JOCHJYFZSA-N 0 0 444.488 -0.005 20 0 IBADRN O=C(Nc1ccc(C(=O)NCCN2CCOCC2)cc1)C(=O)N1CCC[C@@]12CCOC2=O ZINC000785349227 1071446444 /nfs/dbraw/zinc/44/64/44/1071446444.db2.gz RDIXQBAHIAHTMA-QFIPXVFZSA-N 0 0 444.488 -0.005 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCC(=O)NCC(=O)OC(C)(C)C ZINC000785349272 1071446560 /nfs/dbraw/zinc/44/65/60/1071446560.db2.gz XFSKHPBWKZOGSM-LLVKDONJSA-N 0 0 432.499 -0.295 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NCC(=O)OC(C)(C)C ZINC000785349276 1071446568 /nfs/dbraw/zinc/44/65/68/1071446568.db2.gz XFSKHPBWKZOGSM-NSHDSACASA-N 0 0 432.499 -0.295 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@]34CCOC4=O)cn2)C[C@@H](C)O1 ZINC000785351568 1071447321 /nfs/dbraw/zinc/44/73/21/1071447321.db2.gz YNTQCCUERBERSZ-ARGWCVDVSA-N 0 0 433.465 -0.235 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@]34CCOC4=O)cn2)C[C@@H](C)O1 ZINC000785351569 1071447269 /nfs/dbraw/zinc/44/72/69/1071447269.db2.gz YNTQCCUERBERSZ-JZKQVHKSSA-N 0 0 433.465 -0.235 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@]34CCOC4=O)cn2)C[C@H](C)O1 ZINC000785351570 1071447318 /nfs/dbraw/zinc/44/73/18/1071447318.db2.gz YNTQCCUERBERSZ-LAPQFRIASA-N 0 0 433.465 -0.235 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCC[C@@]34CCOC4=O)cn2)C[C@H](C)O1 ZINC000785351571 1071447326 /nfs/dbraw/zinc/44/73/26/1071447326.db2.gz YNTQCCUERBERSZ-MNVSYLFESA-N 0 0 433.465 -0.235 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cnn(CC(=O)NC4CCCCC4)c3)nc2c(=O)n(C)c1=O ZINC000785352823 1071448052 /nfs/dbraw/zinc/44/80/52/1071448052.db2.gz BGQAFMGJXAUMPR-UHFFFAOYSA-N 0 0 443.464 -0.037 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)cc2)C1=O ZINC000785353277 1071447803 /nfs/dbraw/zinc/44/78/03/1071447803.db2.gz HGBQQRZYXQXULO-UHFFFAOYSA-N 0 0 425.463 -0.165 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)s2)C1=O ZINC000785354608 1071448677 /nfs/dbraw/zinc/44/86/77/1071448677.db2.gz OZPTTXUPFDSBOD-UHFFFAOYSA-N 0 0 431.492 -0.104 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc([C@]4(C)NC(=O)NC4=O)cc3)nc2c(=O)n(C)c1=O ZINC000785355005 1071447832 /nfs/dbraw/zinc/44/78/32/1071447832.db2.gz NHOVGXWBFLIIAX-IBGZPJMESA-N 0 0 426.389 -0.628 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3ccc([C@@]4(C)NC(=O)NC4=O)cc3)nc2c(=O)n(C)c1=O ZINC000785355008 1071448697 /nfs/dbraw/zinc/44/86/97/1071448697.db2.gz NHOVGXWBFLIIAX-LJQANCHMSA-N 0 0 426.389 -0.628 20 0 IBADRN COc1ccc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1N1CCNC1=O ZINC000785355230 1071449501 /nfs/dbraw/zinc/44/95/01/1071449501.db2.gz PSTODNWCBDTUFW-UHFFFAOYSA-N 0 0 428.405 -0.145 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cnc4c(c3)c(=O)[nH]c(=O)n4C3CC3)nc2c(=O)n(C)c1=O ZINC000785355582 1071449419 /nfs/dbraw/zinc/44/94/19/1071449419.db2.gz RSCBCEWQFCWJFS-UHFFFAOYSA-N 0 0 439.388 -0.537 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)Nn1cnc2ccccc21 ZINC000785356449 1071450107 /nfs/dbraw/zinc/45/01/07/1071450107.db2.gz FZCBQOPFCYZFNJ-UHFFFAOYSA-N 0 0 442.457 -0.134 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)ccc1F ZINC000785360649 1071452271 /nfs/dbraw/zinc/45/22/71/1071452271.db2.gz BGPNJFYYOUGTHM-UHFFFAOYSA-N 0 0 425.398 -0.636 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000785361165 1071452874 /nfs/dbraw/zinc/45/28/74/1071452874.db2.gz LESNYCXWVSEXSD-UHFFFAOYSA-N 0 0 425.423 -0.531 20 0 IBADRN Cc1oc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1S(=O)(=O)N(C)C ZINC000785369273 1071461196 /nfs/dbraw/zinc/46/11/96/1071461196.db2.gz MHCZXFQMWWBLHD-UHFFFAOYSA-N 0 0 425.423 -0.531 20 0 IBADRN COc1cc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)sc1S(N)(=O)=O ZINC000785370855 1071461957 /nfs/dbraw/zinc/46/19/57/1071461957.db2.gz ZYAUWLGEUCNYES-UHFFFAOYSA-N 0 0 429.436 -0.965 20 0 IBADRN NC(=O)CO[N-]C(=O)C(=O)Nc1ccccc1N1CC[NH+](CCc2ccncc2)CC1 ZINC000785383905 1071480611 /nfs/dbraw/zinc/48/06/11/1071480611.db2.gz GEOYAOGJYXMFBK-UHFFFAOYSA-N 0 0 426.477 -0.082 20 0 IBADRN O=C(COC(=O)c1cc(S(=O)(=O)NCc2ccccc2)ccc1O)N1CCNC(=O)C1 ZINC000785387390 1071506181 /nfs/dbraw/zinc/50/61/81/1071506181.db2.gz OYBSIQWDHFHQDI-UHFFFAOYSA-N 0 0 447.469 -0.014 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@H]1CCCO1 ZINC000785396926 1071864076 /nfs/dbraw/zinc/86/40/76/1071864076.db2.gz QSTKGCDHCAJAKV-CYBMUJFWSA-N 0 0 428.463 -0.035 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@@H]1CCCO1 ZINC000785396927 1071864644 /nfs/dbraw/zinc/86/46/44/1071864644.db2.gz QSTKGCDHCAJAKV-ZDUSSCGKSA-N 0 0 428.463 -0.035 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCCC2)ccc1O)C(=O)N(C)C ZINC000785407755 1072546713 /nfs/dbraw/zinc/54/67/13/1072546713.db2.gz XQBBVVWXZUHQFU-GFCCVEGCSA-N 0 0 427.479 -0.074 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCCC2)ccc1O)C(=O)N(C)C ZINC000785407756 1072546590 /nfs/dbraw/zinc/54/65/90/1072546590.db2.gz XQBBVVWXZUHQFU-LBPRGKRZSA-N 0 0 427.479 -0.074 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000785436293 1073090779 /nfs/dbraw/zinc/09/07/79/1073090779.db2.gz ONYKMSOEZZMKJD-CHWSQXEVSA-N 0 0 428.486 -0.362 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000785436294 1073090807 /nfs/dbraw/zinc/09/08/07/1073090807.db2.gz ONYKMSOEZZMKJD-OLZOCXBDSA-N 0 0 428.486 -0.362 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000785436295 1073090821 /nfs/dbraw/zinc/09/08/21/1073090821.db2.gz ONYKMSOEZZMKJD-QWHCGFSZSA-N 0 0 428.486 -0.362 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000785436296 1073090645 /nfs/dbraw/zinc/09/06/45/1073090645.db2.gz ONYKMSOEZZMKJD-STQMWFEESA-N 0 0 428.486 -0.362 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000785436775 1073090340 /nfs/dbraw/zinc/09/03/40/1073090340.db2.gz QCOTTWCVWMYOQM-BHVCSQLQSA-N 0 0 430.461 -0.048 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000785436781 1073090220 /nfs/dbraw/zinc/09/02/20/1073090220.db2.gz QCOTTWCVWMYOQM-BYVOGVQKSA-N 0 0 430.461 -0.048 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000785436786 1073090174 /nfs/dbraw/zinc/09/01/74/1073090174.db2.gz QCOTTWCVWMYOQM-OYMPBJRDSA-N 0 0 430.461 -0.048 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000785436787 1073090235 /nfs/dbraw/zinc/09/02/35/1073090235.db2.gz QCOTTWCVWMYOQM-RRMDADRESA-N 0 0 430.461 -0.048 20 0 IBADRN CCn1c(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nc2ccccc21 ZINC000785452215 1073146570 /nfs/dbraw/zinc/14/65/70/1073146570.db2.gz GWBPMGIWZMDSLG-UHFFFAOYSA-N 0 0 425.453 -0.970 20 0 IBADRN CCn1c(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)nc2ccccc21 ZINC000785452898 1073149844 /nfs/dbraw/zinc/14/98/44/1073149844.db2.gz JACBCJXOJBHRFW-UHFFFAOYSA-N 0 0 426.477 -0.378 20 0 IBADRN CCn1c(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)nc2ccccc21 ZINC000785466842 1073206285 /nfs/dbraw/zinc/20/62/85/1073206285.db2.gz ZLHGUWCLSCJIER-UHFFFAOYSA-N 0 0 442.520 -0.325 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000785478599 1073279550 /nfs/dbraw/zinc/27/95/50/1073279550.db2.gz RASKGSWCTHXBGD-GOSISDBHSA-N 0 0 446.595 -0.220 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000785478600 1073279335 /nfs/dbraw/zinc/27/93/35/1073279335.db2.gz RASKGSWCTHXBGD-SFHVURJKSA-N 0 0 446.595 -0.220 20 0 IBADRN CCn1c(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)nc2ccccc21 ZINC000785479173 1073278564 /nfs/dbraw/zinc/27/85/64/1073278564.db2.gz HJNVPAOMNMDZSS-UHFFFAOYSA-N 0 0 442.520 -0.325 20 0 IBADRN CCn1c(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)nc2ccccc21 ZINC000785479498 1073312317 /nfs/dbraw/zinc/31/23/17/1073312317.db2.gz TXGIXASJYAJCAL-UHFFFAOYSA-N 0 0 436.538 -0.634 20 0 IBADRN CCn1c(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)nc2ccccc21 ZINC000785482148 1073328078 /nfs/dbraw/zinc/32/80/78/1073328078.db2.gz ULUAKYRHMHIXQL-UHFFFAOYSA-N 0 0 434.478 -0.104 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)NCCc2ccc3c(c2)OCO3)CC1 ZINC000785502223 1073698473 /nfs/dbraw/zinc/69/84/73/1073698473.db2.gz NXUJXGJHKGKZCI-UHFFFAOYSA-N 0 0 425.507 -0.301 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000785502530 1073698222 /nfs/dbraw/zinc/69/82/22/1073698222.db2.gz AQVMMEWSHFPSKU-UHFFFAOYSA-N 0 0 447.517 -0.593 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)CC1 ZINC000785504172 1073698502 /nfs/dbraw/zinc/69/85/02/1073698502.db2.gz YQFDFOYPUIFUQX-INIZCTEOSA-N 0 0 425.530 -0.219 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)CC1 ZINC000785504173 1073698354 /nfs/dbraw/zinc/69/83/54/1073698354.db2.gz YQFDFOYPUIFUQX-MRXNPFEDSA-N 0 0 425.530 -0.219 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000785504799 1073698342 /nfs/dbraw/zinc/69/83/42/1073698342.db2.gz KCRSTSYBXIMQAT-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN CC(=O)N1CCC(NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000785505437 1073698378 /nfs/dbraw/zinc/69/83/78/1073698378.db2.gz OOHJFQYJKYUJRC-UHFFFAOYSA-N 0 0 439.513 -0.691 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(c3ccccc3S(N)(=O)=O)CC2)NC(=O)N[C@@H]1C ZINC000785507872 1073698411 /nfs/dbraw/zinc/69/84/11/1073698411.db2.gz WLDRZXKSQLOMJE-CYBMUJFWSA-N 0 0 437.522 -0.026 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(c3ccccc3S(N)(=O)=O)CC2)NC(=O)N[C@H]1C ZINC000785507873 1073698268 /nfs/dbraw/zinc/69/82/68/1073698268.db2.gz WLDRZXKSQLOMJE-ZDUSSCGKSA-N 0 0 437.522 -0.026 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN(c3ccccc3S(N)(=O)=O)CC2)CC1 ZINC000785510039 1073700091 /nfs/dbraw/zinc/70/00/91/1073700091.db2.gz KKJIQGCFSUFYKO-UHFFFAOYSA-N 0 0 439.538 -0.243 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cn1C ZINC000785523917 1073698831 /nfs/dbraw/zinc/69/88/31/1073698831.db2.gz BBPBJIYYKKBROI-UHFFFAOYSA-N 0 0 441.535 -0.457 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cn1 ZINC000785523941 1073698974 /nfs/dbraw/zinc/69/89/74/1073698974.db2.gz BRIWIROMXIRHCX-UHFFFAOYSA-N 0 0 429.524 -0.312 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cn1C ZINC000785524471 1073698992 /nfs/dbraw/zinc/69/89/92/1073698992.db2.gz JQYVPDQRFPKBBJ-UHFFFAOYSA-N 0 0 442.519 -0.030 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000785524479 1073698983 /nfs/dbraw/zinc/69/89/83/1073698983.db2.gz JTHDTQQACVJWNS-UHFFFAOYSA-N 0 0 449.518 -0.179 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)CC1 ZINC000785524599 1073698947 /nfs/dbraw/zinc/69/89/47/1073698947.db2.gz MRCAOFUGVCMJAF-UHFFFAOYSA-N 0 0 446.551 -0.414 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000785524689 1073698957 /nfs/dbraw/zinc/69/89/57/1073698957.db2.gz OBGGKZWHLHTDQM-UHFFFAOYSA-N 0 0 446.551 -0.460 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000785524725 1073698838 /nfs/dbraw/zinc/69/88/38/1073698838.db2.gz QKIKVPUMDXTHEI-HNNXBMFYSA-N 0 0 446.551 -0.272 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000785524726 1073698932 /nfs/dbraw/zinc/69/89/32/1073698932.db2.gz QKIKVPUMDXTHEI-OAHLLOKOSA-N 0 0 446.551 -0.272 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000785524795 1073698938 /nfs/dbraw/zinc/69/89/38/1073698938.db2.gz UHHRKYIWHTVOTK-UHFFFAOYSA-N 0 0 449.518 -0.179 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)CCCN2CCOCC2)CC1 ZINC000785525064 1073698964 /nfs/dbraw/zinc/69/89/64/1073698964.db2.gz YWTUIKFWJAKPIM-UHFFFAOYSA-N 0 0 432.568 -0.492 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000785525075 1073698910 /nfs/dbraw/zinc/69/89/10/1073698910.db2.gz ZRBJMDCYOFGPSF-UHFFFAOYSA-N 0 0 425.554 -0.780 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H](C)c3cccc(S(N)(=O)=O)c3)C[C@H]1COCC2 ZINC000785525172 1073698887 /nfs/dbraw/zinc/69/88/87/1073698887.db2.gz SVDHRXCCFJHWSG-PTAUBWNISA-N 0 0 439.490 -0.451 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H](C)c3cccc(S(N)(=O)=O)c3)C[C@H]1COCC2 ZINC000785525174 1073698876 /nfs/dbraw/zinc/69/88/76/1073698876.db2.gz SVDHRXCCFJHWSG-SPKLELGKSA-N 0 0 439.490 -0.451 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3cnc(N4CCOCC4)s3)c2c(=O)n(C)c1=O ZINC000785526045 1073698998 /nfs/dbraw/zinc/69/89/98/1073698998.db2.gz NHZLNOTUVBJTOZ-UHFFFAOYSA-N 0 0 433.494 -0.567 20 0 IBADRN CCOC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000785526834 1073699691 /nfs/dbraw/zinc/69/96/91/1073699691.db2.gz BLTDRYNTXWLJES-UHFFFAOYSA-N 0 0 443.507 -0.255 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000785526908 1073698869 /nfs/dbraw/zinc/69/88/69/1073698869.db2.gz DFSXDTKZDHJZTE-UHFFFAOYSA-N 0 0 436.556 -0.704 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cc1C(N)=O ZINC000785527225 1073698819 /nfs/dbraw/zinc/69/88/19/1073698819.db2.gz JGRZPLOUBXRXBH-UHFFFAOYSA-N 0 0 427.508 -0.718 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)c[nH]1 ZINC000785527431 1073699646 /nfs/dbraw/zinc/69/96/46/1073699646.db2.gz KRPXDIPHARCFES-UHFFFAOYSA-N 0 0 428.492 -0.040 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cn1 ZINC000785529397 1073699471 /nfs/dbraw/zinc/69/94/71/1073699471.db2.gz ZCLAGTFSWGAKLQ-UHFFFAOYSA-N 0 0 443.507 -0.786 20 0 IBADRN COC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000785529469 1073699621 /nfs/dbraw/zinc/69/96/21/1073699621.db2.gz ZPLPQPFQBUCNTP-UHFFFAOYSA-N 0 0 443.507 -0.337 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000785534769 1073700050 /nfs/dbraw/zinc/70/00/50/1073700050.db2.gz VNHGVFMUXIJYFY-DLBZAZTESA-N 0 0 446.547 -0.740 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000785534770 1073699986 /nfs/dbraw/zinc/69/99/86/1073699986.db2.gz VNHGVFMUXIJYFY-IAGOWNOFSA-N 0 0 446.547 -0.740 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000785534771 1073700158 /nfs/dbraw/zinc/70/01/58/1073700158.db2.gz VNHGVFMUXIJYFY-IRXDYDNUSA-N 0 0 446.547 -0.740 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000785534773 1073700165 /nfs/dbraw/zinc/70/01/65/1073700165.db2.gz VNHGVFMUXIJYFY-SJORKVTESA-N 0 0 446.547 -0.740 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CCCCNC(N)=O)CC1 ZINC000785560657 1073700201 /nfs/dbraw/zinc/70/02/01/1073700201.db2.gz OTYKMFUOZGERTJ-UHFFFAOYSA-N 0 0 445.498 -0.494 20 0 IBADRN COc1ccc(OCCNC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC000785560683 1073700182 /nfs/dbraw/zinc/70/01/82/1073700182.db2.gz ODSHCDHBQPUVCV-UHFFFAOYSA-N 0 0 427.523 -0.185 20 0 IBADRN NC(=O)NCCCCC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000785561831 1073700006 /nfs/dbraw/zinc/70/00/06/1073700006.db2.gz JREYZAOEOMWBNO-KRWDZBQOSA-N 0 0 448.476 -0.121 20 0 IBADRN NC(=O)NCCCCC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000785561835 1073700173 /nfs/dbraw/zinc/70/01/73/1073700173.db2.gz JREYZAOEOMWBNO-QGZVFWFLSA-N 0 0 448.476 -0.121 20 0 IBADRN NC(=O)NCCCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000785562606 1073700059 /nfs/dbraw/zinc/70/00/59/1073700059.db2.gz OZPQJRSZINADGA-UHFFFAOYSA-N 0 0 426.495 -0.099 20 0 IBADRN COCCOCCCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000785563332 1073700226 /nfs/dbraw/zinc/70/02/26/1073700226.db2.gz QLSUWGPHOZHUCM-UHFFFAOYSA-N 0 0 438.506 -0.551 20 0 IBADRN Cn1nnc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Br)n1 ZINC000785574428 1073700033 /nfs/dbraw/zinc/70/00/33/1073700033.db2.gz GMACUBNHGDLXEW-UHFFFAOYSA-N 0 0 445.299 -0.077 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NCc2nnn(C)n2)c1 ZINC000785576052 1073700019 /nfs/dbraw/zinc/70/00/19/1073700019.db2.gz ZCAZAUALSXHCRB-UHFFFAOYSA-N 0 0 433.288 -0.173 20 0 IBADRN Cn1nnc(CNC(=O)c2ccc(Br)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000785576929 1073700209 /nfs/dbraw/zinc/70/02/09/1073700209.db2.gz PBMDXTNIHFWAPD-UHFFFAOYSA-N 0 0 445.299 -0.077 20 0 IBADRN Nc1nccnc1C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000785589015 1073700114 /nfs/dbraw/zinc/70/01/14/1073700114.db2.gz ZTFKZUKMSZRJKF-HNNXBMFYSA-N 0 0 427.417 -0.274 20 0 IBADRN Nc1nccnc1C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000785589016 1073700151 /nfs/dbraw/zinc/70/01/51/1073700151.db2.gz ZTFKZUKMSZRJKF-OAHLLOKOSA-N 0 0 427.417 -0.274 20 0 IBADRN COc1ccccc1[C@@H](NC(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1)c1nccn1C ZINC000785620939 1073702589 /nfs/dbraw/zinc/70/25/89/1073702589.db2.gz OCIHPQUYROBTEM-CRAIPNDOSA-N 0 0 429.477 -0.002 20 0 IBADRN COc1ccccc1[C@@H](NC(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1)c1nccn1C ZINC000785620943 1073702661 /nfs/dbraw/zinc/70/26/61/1073702661.db2.gz OCIHPQUYROBTEM-MAUKXSAKSA-N 0 0 429.477 -0.002 20 0 IBADRN COc1ccccc1[C@H](NC(=O)C(=O)N1CCO[C@H](CNC(C)=O)C1)c1nccn1C ZINC000785620946 1073702554 /nfs/dbraw/zinc/70/25/54/1073702554.db2.gz OCIHPQUYROBTEM-QAPCUYQASA-N 0 0 429.477 -0.002 20 0 IBADRN COc1ccccc1[C@H](NC(=O)C(=O)N1CCO[C@@H](CNC(C)=O)C1)c1nccn1C ZINC000785620948 1073702673 /nfs/dbraw/zinc/70/26/73/1073702673.db2.gz OCIHPQUYROBTEM-YJBOKZPZSA-N 0 0 429.477 -0.002 20 0 IBADRN COc1ccccc1[C@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1nccn1C ZINC000785622789 1073703209 /nfs/dbraw/zinc/70/32/09/1073703209.db2.gz QBRJUEWMGZIGGE-INIZCTEOSA-N 0 0 435.506 -0.262 20 0 IBADRN COc1ccccc1[C@@H](NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)c1nccn1C ZINC000785622798 1073703040 /nfs/dbraw/zinc/70/30/40/1073703040.db2.gz QBRJUEWMGZIGGE-MRXNPFEDSA-N 0 0 435.506 -0.262 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@@H](c2nccn2C)c2ccccc2OC)CC1 ZINC000785628570 1073703166 /nfs/dbraw/zinc/70/31/66/1073703166.db2.gz VCSPPPSQUCGSOS-GOSISDBHSA-N 0 0 429.477 -0.049 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N[C@H](c2nccn2C)c2ccccc2OC)CC1 ZINC000785628571 1073703002 /nfs/dbraw/zinc/70/30/02/1073703002.db2.gz VCSPPPSQUCGSOS-SFHVURJKSA-N 0 0 429.477 -0.049 20 0 IBADRN COc1ccccc1[C@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)c1nccn1C ZINC000785629222 1073703016 /nfs/dbraw/zinc/70/30/16/1073703016.db2.gz XOKGPMGJEWJETQ-IBGZPJMESA-N 0 0 442.520 -0.085 20 0 IBADRN COc1ccccc1[C@@H](NC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)c1nccn1C ZINC000785629223 1073703147 /nfs/dbraw/zinc/70/31/47/1073703147.db2.gz XOKGPMGJEWJETQ-LJQANCHMSA-N 0 0 442.520 -0.085 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)cc1 ZINC000785636797 1073703086 /nfs/dbraw/zinc/70/30/86/1073703086.db2.gz KRORXHCVWAXMGU-UHFFFAOYSA-N 0 0 438.531 -0.127 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)C(=O)N(C)CCS(C)(=O)=O ZINC000785638669 1073700141 /nfs/dbraw/zinc/70/01/41/1073700141.db2.gz CDUQGTFEBQIIST-UHFFFAOYSA-N 0 0 433.552 -0.366 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)c(=O)n(C)c1=O ZINC000785638791 1073703123 /nfs/dbraw/zinc/70/31/23/1073703123.db2.gz XGPBFOHIEMFIHM-BQYQJAHWSA-N 0 0 433.490 -0.907 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)c(=O)n(C)c1=O ZINC000785638792 1073703216 /nfs/dbraw/zinc/70/32/16/1073703216.db2.gz XGPBFOHIEMFIHM-FPLPWBNLSA-N 0 0 433.490 -0.907 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@H](C3OCCO3)C2)cc1 ZINC000785641804 1073700074 /nfs/dbraw/zinc/70/00/74/1073700074.db2.gz BCJGEBICHJCVOV-INIZCTEOSA-N 0 0 425.507 -0.037 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC[C@@H](C3OCCO3)C2)cc1 ZINC000785641806 1073700785 /nfs/dbraw/zinc/70/07/85/1073700785.db2.gz BCJGEBICHJCVOV-MRXNPFEDSA-N 0 0 425.507 -0.037 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)NC(C)C ZINC000785642564 1073700548 /nfs/dbraw/zinc/70/05/48/1073700548.db2.gz XGXOORNZKVBPKG-UHFFFAOYSA-N 0 0 433.552 -0.319 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(CCS(C)(=O)=O)C(C)C)cc1 ZINC000785643101 1073700612 /nfs/dbraw/zinc/70/06/12/1073700612.db2.gz ITODBCHFJAXFRJ-UHFFFAOYSA-N 0 0 433.552 -0.366 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)cc1 ZINC000785643279 1073700662 /nfs/dbraw/zinc/70/06/62/1073700662.db2.gz KUSBPYRBSRPTFV-HNNXBMFYSA-N 0 0 426.495 -0.301 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)cc1 ZINC000785643281 1073700813 /nfs/dbraw/zinc/70/08/13/1073700813.db2.gz KUSBPYRBSRPTFV-OAHLLOKOSA-N 0 0 426.495 -0.301 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)cc1 ZINC000785644142 1073700564 /nfs/dbraw/zinc/70/05/64/1073700564.db2.gz OAALGDFJVPQGFW-UHFFFAOYSA-N 0 0 431.536 -0.612 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000785644386 1073700874 /nfs/dbraw/zinc/70/08/74/1073700874.db2.gz COHDBLCHDZUILG-UHFFFAOYSA-N 0 0 449.533 -0.443 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCc2ccc(CS(=O)(=O)NC)cc2)CC1 ZINC000785645314 1073700708 /nfs/dbraw/zinc/70/07/08/1073700708.db2.gz UFZQURPUVYFLJU-UHFFFAOYSA-N 0 0 426.495 -0.347 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)NCCNC(=O)OC(C)(C)C)cc1 ZINC000785645983 1073701223 /nfs/dbraw/zinc/70/12/23/1073701223.db2.gz VAGIODAPOHQPEV-UHFFFAOYSA-N 0 0 428.511 -0.007 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N2CCC(C3OCCO3)CC2)cc1 ZINC000785646634 1073701359 /nfs/dbraw/zinc/70/13/59/1073701359.db2.gz XXRVZMLOYYSIEJ-UHFFFAOYSA-N 0 0 425.507 -0.037 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000785648036 1073701374 /nfs/dbraw/zinc/70/13/74/1073701374.db2.gz NLXJCWLSEYLENI-MUUNZHRXSA-N 0 0 445.563 -0.650 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000785648081 1073701416 /nfs/dbraw/zinc/70/14/16/1073701416.db2.gz NLXJCWLSEYLENI-NDEPHWFRSA-N 0 0 445.563 -0.650 20 0 IBADRN COc1ccccc1[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1nccn1C ZINC000785648269 1073701393 /nfs/dbraw/zinc/70/13/93/1073701393.db2.gz ONSONQWCZJNBRJ-APWZRJJASA-N 0 0 445.520 -0.214 20 0 IBADRN COc1ccccc1[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1nccn1C ZINC000785648275 1073701288 /nfs/dbraw/zinc/70/12/88/1073701288.db2.gz ONSONQWCZJNBRJ-LPHOPBHVSA-N 0 0 445.520 -0.214 20 0 IBADRN COc1ccccc1[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1nccn1C ZINC000785648278 1073701242 /nfs/dbraw/zinc/70/12/42/1073701242.db2.gz ONSONQWCZJNBRJ-QFBILLFUSA-N 0 0 445.520 -0.214 20 0 IBADRN COc1ccccc1[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1nccn1C ZINC000785648280 1073701456 /nfs/dbraw/zinc/70/14/56/1073701456.db2.gz ONSONQWCZJNBRJ-VQIMIIECSA-N 0 0 445.520 -0.214 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NCc1ccc2c(c1)OCO2 ZINC000785649548 1073702081 /nfs/dbraw/zinc/70/20/81/1073702081.db2.gz BCBHOCAQRJDNPV-INIZCTEOSA-N 0 0 433.461 -0.245 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NCc1ccc2c(c1)OCO2 ZINC000785649551 1073702048 /nfs/dbraw/zinc/70/20/48/1073702048.db2.gz BCBHOCAQRJDNPV-MRXNPFEDSA-N 0 0 433.461 -0.245 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000785650515 1073702141 /nfs/dbraw/zinc/70/21/41/1073702141.db2.gz VIRQUCRFBGEOFU-UHFFFAOYSA-N 0 0 437.478 -0.527 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000785650821 1073702029 /nfs/dbraw/zinc/70/20/29/1073702029.db2.gz FMXBKPDZAHMNMG-CYBMUJFWSA-N 0 0 431.449 -0.069 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000785650823 1073701837 /nfs/dbraw/zinc/70/18/37/1073701837.db2.gz FMXBKPDZAHMNMG-ZDUSSCGKSA-N 0 0 431.449 -0.069 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](c2nccn2C)c2ccccc2OC)CC1 ZINC000785650910 1073702095 /nfs/dbraw/zinc/70/20/95/1073702095.db2.gz WASABBGZGHTDNQ-IBGZPJMESA-N 0 0 442.520 -0.085 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](c2nccn2C)c2ccccc2OC)CC1 ZINC000785650911 1073702107 /nfs/dbraw/zinc/70/21/07/1073702107.db2.gz WASABBGZGHTDNQ-LJQANCHMSA-N 0 0 442.520 -0.085 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCN(Cc2ccco2)CC1 ZINC000785651492 1073701879 /nfs/dbraw/zinc/70/18/79/1073701879.db2.gz IGXZOIVDKUYFDQ-GOSISDBHSA-N 0 0 448.520 -0.352 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCN(Cc2ccco2)CC1 ZINC000785651494 1073701993 /nfs/dbraw/zinc/70/19/93/1073701993.db2.gz IGXZOIVDKUYFDQ-SFHVURJKSA-N 0 0 448.520 -0.352 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785652359 1073701861 /nfs/dbraw/zinc/70/18/61/1073701861.db2.gz LYHNHDGJRLAKFK-DLBZAZTESA-N 0 0 439.509 -0.346 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785652361 1073701894 /nfs/dbraw/zinc/70/18/94/1073701894.db2.gz LYHNHDGJRLAKFK-IAGOWNOFSA-N 0 0 439.509 -0.346 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785652363 1073701906 /nfs/dbraw/zinc/70/19/06/1073701906.db2.gz LYHNHDGJRLAKFK-IRXDYDNUSA-N 0 0 439.509 -0.346 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785652365 1073701977 /nfs/dbraw/zinc/70/19/77/1073701977.db2.gz LYHNHDGJRLAKFK-SJORKVTESA-N 0 0 439.509 -0.346 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccccc1 ZINC000785652814 1073702609 /nfs/dbraw/zinc/70/26/09/1073702609.db2.gz NWZXYURSTRLQTC-FXAWDEMLSA-N 0 0 446.504 -0.686 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccccc1 ZINC000785652816 1073702663 /nfs/dbraw/zinc/70/26/63/1073702663.db2.gz NWZXYURSTRLQTC-PXNSSMCTSA-N 0 0 446.504 -0.686 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccccc1 ZINC000785652818 1073702594 /nfs/dbraw/zinc/70/25/94/1073702594.db2.gz NWZXYURSTRLQTC-XLIONFOSSA-N 0 0 446.504 -0.686 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccccc1 ZINC000785652820 1073702645 /nfs/dbraw/zinc/70/26/45/1073702645.db2.gz NWZXYURSTRLQTC-YLJYHZDGSA-N 0 0 446.504 -0.686 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NCCN1CCc2ccccc21 ZINC000785652963 1073702658 /nfs/dbraw/zinc/70/26/58/1073702658.db2.gz PDSGDMWXNQFVPD-IBGZPJMESA-N 0 0 444.532 -0.111 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NCCN1CCc2ccccc21 ZINC000785652967 1073702537 /nfs/dbraw/zinc/70/25/37/1073702537.db2.gz PDSGDMWXNQFVPD-LJQANCHMSA-N 0 0 444.532 -0.111 20 0 IBADRN O=C(OCCNS(=O)(=O)c1ccccc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785653350 1073702524 /nfs/dbraw/zinc/70/25/24/1073702524.db2.gz QAVPUVJEMITIBR-INIZCTEOSA-N 0 0 425.507 -0.311 20 0 IBADRN O=C(OCCNS(=O)(=O)c1ccccc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785653375 1073702670 /nfs/dbraw/zinc/70/26/70/1073702670.db2.gz QAVPUVJEMITIBR-MRXNPFEDSA-N 0 0 425.507 -0.311 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785653582 1073702636 /nfs/dbraw/zinc/70/26/36/1073702636.db2.gz QPPBULJJZUXZDN-DOTOQJQBSA-N 0 0 439.509 -0.490 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785653593 1073702562 /nfs/dbraw/zinc/70/25/62/1073702562.db2.gz QPPBULJJZUXZDN-NVXWUHKLSA-N 0 0 439.509 -0.490 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785653594 1073702649 /nfs/dbraw/zinc/70/26/49/1073702649.db2.gz QPPBULJJZUXZDN-RDJZCZTQSA-N 0 0 439.509 -0.490 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785653595 1073702495 /nfs/dbraw/zinc/70/24/95/1073702495.db2.gz QPPBULJJZUXZDN-WBVHZDCISA-N 0 0 439.509 -0.490 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1ncnc2[nH]cnc21 ZINC000785656122 1073702931 /nfs/dbraw/zinc/70/29/31/1073702931.db2.gz VNCYWHASJQFTGW-CHWSQXEVSA-N 0 0 431.453 -0.596 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1ncnc2[nH]cnc21 ZINC000785656128 1073703060 /nfs/dbraw/zinc/70/30/60/1073703060.db2.gz VNCYWHASJQFTGW-OLZOCXBDSA-N 0 0 431.453 -0.596 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1ncnc2[nH]cnc21 ZINC000785656133 1073702983 /nfs/dbraw/zinc/70/29/83/1073702983.db2.gz VNCYWHASJQFTGW-QWHCGFSZSA-N 0 0 431.453 -0.596 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1ncnc2[nH]cnc21 ZINC000785656138 1073703197 /nfs/dbraw/zinc/70/31/97/1073703197.db2.gz VNCYWHASJQFTGW-STQMWFEESA-N 0 0 431.453 -0.596 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000785656483 1073703750 /nfs/dbraw/zinc/70/37/50/1073703750.db2.gz LBBRLXBOUFTZEU-GDBMZVCRSA-N 0 0 432.477 -0.164 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000785656504 1073703611 /nfs/dbraw/zinc/70/36/11/1073703611.db2.gz LBBRLXBOUFTZEU-GOEBONIOSA-N 0 0 432.477 -0.164 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000785656505 1073703711 /nfs/dbraw/zinc/70/37/11/1073703711.db2.gz LBBRLXBOUFTZEU-HOCLYGCPSA-N 0 0 432.477 -0.164 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000785656507 1073703795 /nfs/dbraw/zinc/70/37/95/1073703795.db2.gz LBBRLXBOUFTZEU-ZBFHGGJFSA-N 0 0 432.477 -0.164 20 0 IBADRN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785656565 1073703703 /nfs/dbraw/zinc/70/37/03/1073703703.db2.gz CTPRLDVYIXODSJ-DOTOQJQBSA-N 0 0 433.509 -0.062 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785656568 1073703766 /nfs/dbraw/zinc/70/37/66/1073703766.db2.gz CTPRLDVYIXODSJ-NVXWUHKLSA-N 0 0 433.509 -0.062 20 0 IBADRN C[C@@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785656570 1073703729 /nfs/dbraw/zinc/70/37/29/1073703729.db2.gz CTPRLDVYIXODSJ-RDJZCZTQSA-N 0 0 433.509 -0.062 20 0 IBADRN C[C@H](C1CC1)n1[nH]ccc1=NC(=O)COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000785656572 1073703628 /nfs/dbraw/zinc/70/36/28/1073703628.db2.gz CTPRLDVYIXODSJ-WBVHZDCISA-N 0 0 433.509 -0.062 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NC1CCC2(CC1)OCCO2 ZINC000785657067 1073702959 /nfs/dbraw/zinc/70/29/59/1073702959.db2.gz MPXBSVAWGCFEIK-INIZCTEOSA-N 0 0 439.509 -0.488 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NC1CCC2(CC1)OCCO2 ZINC000785657068 1073703594 /nfs/dbraw/zinc/70/35/94/1073703594.db2.gz MPXBSVAWGCFEIK-MRXNPFEDSA-N 0 0 439.509 -0.488 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)NC(=O)NCC(F)(F)F ZINC000785657082 1073703642 /nfs/dbraw/zinc/70/36/42/1073703642.db2.gz MZLNSETYKBSFEF-NEPJUHHUSA-N 0 0 438.403 -0.513 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)NC(=O)NCC(F)(F)F ZINC000785657088 1073703695 /nfs/dbraw/zinc/70/36/95/1073703695.db2.gz MZLNSETYKBSFEF-NWDGAFQWSA-N 0 0 438.403 -0.513 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)NC(=O)NCC(F)(F)F ZINC000785657090 1073703738 /nfs/dbraw/zinc/70/37/38/1073703738.db2.gz MZLNSETYKBSFEF-RYUDHWBXSA-N 0 0 438.403 -0.513 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)NC(=O)NCC(F)(F)F ZINC000785657093 1073703802 /nfs/dbraw/zinc/70/38/02/1073703802.db2.gz MZLNSETYKBSFEF-VXGBXAGGSA-N 0 0 438.403 -0.513 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NC[C@@H]1COc2ccccc2O1 ZINC000785657188 1073703233 /nfs/dbraw/zinc/70/32/33/1073703233.db2.gz XQYVOOGBASAVIM-DLBZAZTESA-N 0 0 447.488 -0.334 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NC[C@@H]1COc2ccccc2O1 ZINC000785657193 1073703107 /nfs/dbraw/zinc/70/31/07/1073703107.db2.gz XQYVOOGBASAVIM-IAGOWNOFSA-N 0 0 447.488 -0.334 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NC[C@H]1COc2ccccc2O1 ZINC000785657195 1073703130 /nfs/dbraw/zinc/70/31/30/1073703130.db2.gz XQYVOOGBASAVIM-IRXDYDNUSA-N 0 0 447.488 -0.334 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NC[C@H]1COc2ccccc2O1 ZINC000785657197 1073703184 /nfs/dbraw/zinc/70/31/84/1073703184.db2.gz XQYVOOGBASAVIM-SJORKVTESA-N 0 0 447.488 -0.334 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000785657675 1073703668 /nfs/dbraw/zinc/70/36/68/1073703668.db2.gz BAZJJWCUXGXAHW-INIZCTEOSA-N 0 0 433.461 -0.325 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000785657679 1073703784 /nfs/dbraw/zinc/70/37/84/1073703784.db2.gz BAZJJWCUXGXAHW-MRXNPFEDSA-N 0 0 433.461 -0.325 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785657741 1073703678 /nfs/dbraw/zinc/70/36/78/1073703678.db2.gz BPQBRDUQUZZNJF-INIZCTEOSA-N 0 0 425.482 -0.878 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785657742 1073703650 /nfs/dbraw/zinc/70/36/50/1073703650.db2.gz BPQBRDUQUZZNJF-MRXNPFEDSA-N 0 0 425.482 -0.878 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CC(=O)Nc2ccccc21 ZINC000785658135 1073704192 /nfs/dbraw/zinc/70/41/92/1073704192.db2.gz JLQKBPAXMSDFOE-HNNXBMFYSA-N 0 0 430.461 -0.304 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CC(=O)Nc2ccccc21 ZINC000785658140 1073704319 /nfs/dbraw/zinc/70/43/19/1073704319.db2.gz JLQKBPAXMSDFOE-OAHLLOKOSA-N 0 0 430.461 -0.304 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NC(=O)NCc1cccs1 ZINC000785658329 1073703687 /nfs/dbraw/zinc/70/36/87/1073703687.db2.gz CKDLADDOHKNODI-AWEZNQCLSA-N 0 0 438.506 -0.202 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NC(=O)NCc1cccs1 ZINC000785658369 1073703773 /nfs/dbraw/zinc/70/37/73/1073703773.db2.gz CKDLADDOHKNODI-CQSZACIVSA-N 0 0 438.506 -0.202 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1nnc([C@@H]2CCCO2)o1 ZINC000785658700 1073704153 /nfs/dbraw/zinc/70/41/53/1073704153.db2.gz LCFSTNKIVLWQAW-KBPBESRZSA-N 0 0 437.453 -0.417 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1nnc([C@@H]2CCCO2)o1 ZINC000785658703 1073704436 /nfs/dbraw/zinc/70/44/36/1073704436.db2.gz LCFSTNKIVLWQAW-KGLIPLIRSA-N 0 0 437.453 -0.417 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1nnc([C@H]2CCCO2)o1 ZINC000785658706 1073704106 /nfs/dbraw/zinc/70/41/06/1073704106.db2.gz LCFSTNKIVLWQAW-UONOGXRCSA-N 0 0 437.453 -0.417 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1nnc([C@H]2CCCO2)o1 ZINC000785658711 1073704397 /nfs/dbraw/zinc/70/43/97/1073704397.db2.gz LCFSTNKIVLWQAW-ZIAGYGMSSA-N 0 0 437.453 -0.417 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000785658922 1073704424 /nfs/dbraw/zinc/70/44/24/1073704424.db2.gz MDQRGYOGUNJMIS-UHFFFAOYSA-N 0 0 427.479 -0.050 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785659236 1073704171 /nfs/dbraw/zinc/70/41/71/1073704171.db2.gz GVKRFZVHFUUFOX-KRWDZBQOSA-N 0 0 439.509 -0.488 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785659243 1073704372 /nfs/dbraw/zinc/70/43/72/1073704372.db2.gz GVKRFZVHFUUFOX-QGZVFWFLSA-N 0 0 439.509 -0.488 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)CN1C(=O)c2ccccc2C1=O ZINC000785659295 1073704211 /nfs/dbraw/zinc/70/42/11/1073704211.db2.gz MLQADRPVHBIGGW-HNNXBMFYSA-N 0 0 443.456 -0.424 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)CN1C(=O)c2ccccc2C1=O ZINC000785659298 1073704466 /nfs/dbraw/zinc/70/44/66/1073704466.db2.gz MLQADRPVHBIGGW-OAHLLOKOSA-N 0 0 443.456 -0.424 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000785659350 1073704386 /nfs/dbraw/zinc/70/43/86/1073704386.db2.gz PUEJQLUFKXGNHB-UHFFFAOYSA-N 0 0 431.442 -0.052 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000785659538 1073704415 /nfs/dbraw/zinc/70/44/15/1073704415.db2.gz HQDWDLYXALEPFA-UHFFFAOYSA-N 0 0 429.451 -0.065 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000785659699 1073704222 /nfs/dbraw/zinc/70/42/22/1073704222.db2.gz CRVGHCVYRGRWCJ-HNNXBMFYSA-N 0 0 441.506 -0.563 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000785659708 1073704125 /nfs/dbraw/zinc/70/41/25/1073704125.db2.gz CRVGHCVYRGRWCJ-OAHLLOKOSA-N 0 0 441.506 -0.563 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000785659930 1073704456 /nfs/dbraw/zinc/70/44/56/1073704456.db2.gz SRYDRACOMYZBCS-UHFFFAOYSA-N 0 0 432.455 -0.586 20 0 IBADRN NS(=O)(=O)c1ccc(CCOC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000785660268 1073704245 /nfs/dbraw/zinc/70/42/45/1073704245.db2.gz PLFUGIASHFTJOD-INIZCTEOSA-N 0 0 425.507 -0.400 20 0 IBADRN NS(=O)(=O)c1ccc(CCOC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000785660274 1073704337 /nfs/dbraw/zinc/70/43/37/1073704337.db2.gz PLFUGIASHFTJOD-MRXNPFEDSA-N 0 0 425.507 -0.400 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)N1CCCCC1 ZINC000785660759 1073704888 /nfs/dbraw/zinc/70/48/88/1073704888.db2.gz XPJIORPLSBBZGI-DLBZAZTESA-N 0 0 438.525 -0.773 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)N1CCCCC1 ZINC000785660761 1073704967 /nfs/dbraw/zinc/70/49/67/1073704967.db2.gz XPJIORPLSBBZGI-IAGOWNOFSA-N 0 0 438.525 -0.773 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)N1CCCCC1 ZINC000785660763 1073705011 /nfs/dbraw/zinc/70/50/11/1073705011.db2.gz XPJIORPLSBBZGI-IRXDYDNUSA-N 0 0 438.525 -0.773 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)N1CCCCC1 ZINC000785660765 1073705034 /nfs/dbraw/zinc/70/50/34/1073705034.db2.gz XPJIORPLSBBZGI-SJORKVTESA-N 0 0 438.525 -0.773 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000785660788 1073704855 /nfs/dbraw/zinc/70/48/55/1073704855.db2.gz NXIOQESWRACHGO-UHFFFAOYSA-N 0 0 443.478 -0.387 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC2(CC1)OCCO2 ZINC000785661040 1073704357 /nfs/dbraw/zinc/70/43/57/1073704357.db2.gz SCKCZQTYWOJZGH-INIZCTEOSA-N 0 0 425.482 -0.924 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1CCC2(CC1)OCCO2 ZINC000785661043 1073704275 /nfs/dbraw/zinc/70/42/75/1073704275.db2.gz SCKCZQTYWOJZGH-MRXNPFEDSA-N 0 0 425.482 -0.924 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)n1 ZINC000785661268 1073705060 /nfs/dbraw/zinc/70/50/60/1073705060.db2.gz YGLBBVBRVSKBDW-AWEZNQCLSA-N 0 0 434.449 -0.469 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)n1 ZINC000785661277 1073704933 /nfs/dbraw/zinc/70/49/33/1073704933.db2.gz YGLBBVBRVSKBDW-CQSZACIVSA-N 0 0 434.449 -0.469 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)o1 ZINC000785661323 1073704781 /nfs/dbraw/zinc/70/47/81/1073704781.db2.gz MYMADAXQAHSVSF-AWEZNQCLSA-N 0 0 429.495 -0.246 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)o1 ZINC000785661326 1073704902 /nfs/dbraw/zinc/70/49/02/1073704902.db2.gz MYMADAXQAHSVSF-CQSZACIVSA-N 0 0 429.495 -0.246 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1nnc(-c2ccco2)o1 ZINC000785661447 1073704810 /nfs/dbraw/zinc/70/48/10/1073704810.db2.gz JCQPDOGRHRMUFL-CYBMUJFWSA-N 0 0 433.421 -0.008 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)Nc1nnc(-c2ccco2)o1 ZINC000785661448 1073704764 /nfs/dbraw/zinc/70/47/64/1073704764.db2.gz JCQPDOGRHRMUFL-ZDUSSCGKSA-N 0 0 433.421 -0.008 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000785661795 1073705610 /nfs/dbraw/zinc/70/56/10/1073705610.db2.gz VXFFETILPNGCLX-DLBZAZTESA-N 0 0 439.509 -0.488 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000785661798 1073705517 /nfs/dbraw/zinc/70/55/17/1073705517.db2.gz VXFFETILPNGCLX-IAGOWNOFSA-N 0 0 439.509 -0.488 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000785661801 1073705549 /nfs/dbraw/zinc/70/55/49/1073705549.db2.gz VXFFETILPNGCLX-IRXDYDNUSA-N 0 0 439.509 -0.488 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC000785661803 1073705491 /nfs/dbraw/zinc/70/54/91/1073705491.db2.gz VXFFETILPNGCLX-SJORKVTESA-N 0 0 439.509 -0.488 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785662000 1073704950 /nfs/dbraw/zinc/70/49/50/1073704950.db2.gz JYGLQQRUXJZZGI-INIZCTEOSA-N 0 0 440.497 -0.989 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC000785662002 1073704874 /nfs/dbraw/zinc/70/48/74/1073704874.db2.gz JYGLQQRUXJZZGI-MRXNPFEDSA-N 0 0 440.497 -0.989 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NC[C@@H]1Cc2ccccc2O1 ZINC000785662045 1073705070 /nfs/dbraw/zinc/70/50/70/1073705070.db2.gz KLZNXRSJLGIGNN-MSOLQXFVSA-N 0 0 431.489 -0.170 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NC[C@H]1Cc2ccccc2O1 ZINC000785662061 1073704819 /nfs/dbraw/zinc/70/48/19/1073704819.db2.gz KLZNXRSJLGIGNN-QZTJIDSGSA-N 0 0 431.489 -0.170 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NC[C@@H]1Cc2ccccc2O1 ZINC000785662065 1073704836 /nfs/dbraw/zinc/70/48/36/1073704836.db2.gz KLZNXRSJLGIGNN-ROUUACIJSA-N 0 0 431.489 -0.170 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NC[C@H]1Cc2ccccc2O1 ZINC000785662069 1073705420 /nfs/dbraw/zinc/70/54/20/1073705420.db2.gz KLZNXRSJLGIGNN-ZWKOTPCHSA-N 0 0 431.489 -0.170 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc2c(c1)NC(=O)CO2 ZINC000785662350 1073704922 /nfs/dbraw/zinc/70/49/22/1073704922.db2.gz XBMCYYDBHKXOLI-HNNXBMFYSA-N 0 0 431.445 -0.076 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc2c(c1)NC(=O)CO2 ZINC000785662354 1073704865 /nfs/dbraw/zinc/70/48/65/1073704865.db2.gz XBMCYYDBHKXOLI-OAHLLOKOSA-N 0 0 431.445 -0.076 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CCCCC1 ZINC000785662391 1073704989 /nfs/dbraw/zinc/70/49/89/1073704989.db2.gz XIQDMNQKGCBVPK-INIZCTEOSA-N 0 0 439.509 -0.298 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CCCCC1 ZINC000785662396 1073704795 /nfs/dbraw/zinc/70/47/95/1073704795.db2.gz XIQDMNQKGCBVPK-MRXNPFEDSA-N 0 0 439.509 -0.298 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC000785663329 1073705408 /nfs/dbraw/zinc/70/54/08/1073705408.db2.gz XLFWLJNIVHLHCQ-KBPBESRZSA-N 0 0 449.426 -0.167 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC000785663339 1073705534 /nfs/dbraw/zinc/70/55/34/1073705534.db2.gz XLFWLJNIVHLHCQ-KGLIPLIRSA-N 0 0 449.426 -0.167 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CCCN1C(=O)C(F)(F)F ZINC000785663341 1073705413 /nfs/dbraw/zinc/70/54/13/1073705413.db2.gz XLFWLJNIVHLHCQ-UONOGXRCSA-N 0 0 449.426 -0.167 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CCCN1C(=O)C(F)(F)F ZINC000785663343 1073705398 /nfs/dbraw/zinc/70/53/98/1073705398.db2.gz XLFWLJNIVHLHCQ-ZIAGYGMSSA-N 0 0 449.426 -0.167 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000785663434 1073705541 /nfs/dbraw/zinc/70/55/41/1073705541.db2.gz PFKXCJYUHSEEFD-HNNXBMFYSA-N 0 0 439.490 -0.759 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1sccc1C(N)=O ZINC000785663802 1073705481 /nfs/dbraw/zinc/70/54/81/1073705481.db2.gz YSJVWNGDXFHYKT-CHWSQXEVSA-N 0 0 438.506 -0.102 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1sccc1C(N)=O ZINC000785663806 1073705565 /nfs/dbraw/zinc/70/55/65/1073705565.db2.gz YSJVWNGDXFHYKT-OLZOCXBDSA-N 0 0 438.506 -0.102 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1sccc1C(N)=O ZINC000785663809 1073705579 /nfs/dbraw/zinc/70/55/79/1073705579.db2.gz YSJVWNGDXFHYKT-QWHCGFSZSA-N 0 0 438.506 -0.102 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)Nc1sccc1C(N)=O ZINC000785663812 1073705444 /nfs/dbraw/zinc/70/54/44/1073705444.db2.gz YSJVWNGDXFHYKT-STQMWFEESA-N 0 0 438.506 -0.102 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC000785663944 1073705465 /nfs/dbraw/zinc/70/54/65/1073705465.db2.gz PFKXCJYUHSEEFD-OAHLLOKOSA-N 0 0 439.490 -0.759 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)NC(=O)NCc1ccccc1 ZINC000785664749 1073705455 /nfs/dbraw/zinc/70/54/55/1073705455.db2.gz UIEIHDDBJMQWKY-KRWDZBQOSA-N 0 0 432.477 -0.264 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)NC(=O)NCc1ccccc1 ZINC000785664753 1073705471 /nfs/dbraw/zinc/70/54/71/1073705471.db2.gz UIEIHDDBJMQWKY-QGZVFWFLSA-N 0 0 432.477 -0.264 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)NC(=O)N[C@H]1C ZINC000785665314 1073705432 /nfs/dbraw/zinc/70/54/32/1073705432.db2.gz WWIQNEYRGDPVAQ-KBPBESRZSA-N 0 0 438.481 -0.771 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)NC(=O)N[C@@H]1C ZINC000785665319 1073706071 /nfs/dbraw/zinc/70/60/71/1073706071.db2.gz WWIQNEYRGDPVAQ-KGLIPLIRSA-N 0 0 438.481 -0.771 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)NC(=O)N[C@H]1C ZINC000785665322 1073706112 /nfs/dbraw/zinc/70/61/12/1073706112.db2.gz WWIQNEYRGDPVAQ-UONOGXRCSA-N 0 0 438.481 -0.771 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)NC(=O)N[C@@H]1C ZINC000785665323 1073706081 /nfs/dbraw/zinc/70/60/81/1073706081.db2.gz WWIQNEYRGDPVAQ-ZIAGYGMSSA-N 0 0 438.481 -0.771 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000785668539 1073706051 /nfs/dbraw/zinc/70/60/51/1073706051.db2.gz DSBVDRJSSJHHDT-UHFFFAOYSA-N 0 0 431.442 -0.052 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000785668992 1073706142 /nfs/dbraw/zinc/70/61/42/1073706142.db2.gz PCVSDHBLFKHUAV-UHFFFAOYSA-N 0 0 443.478 -0.183 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000785669106 1073706041 /nfs/dbraw/zinc/70/60/41/1073706041.db2.gz QCMUCIBEXMSREY-UHFFFAOYSA-N 0 0 443.478 -0.387 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000785669799 1073706015 /nfs/dbraw/zinc/70/60/15/1073706015.db2.gz UDRPGTWXDNCKLG-UHFFFAOYSA-N 0 0 429.451 -0.065 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000785669924 1073705989 /nfs/dbraw/zinc/70/59/89/1073705989.db2.gz VINWSJOHLUDFAT-UHFFFAOYSA-N 0 0 431.442 -0.052 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000785670825 1073706094 /nfs/dbraw/zinc/70/60/94/1073706094.db2.gz WALPHTCTNOTWLD-INIZCTEOSA-N 0 0 435.433 -0.214 20 0 IBADRN CCOC(=O)NCC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000785670844 1073706060 /nfs/dbraw/zinc/70/60/60/1073706060.db2.gz WALPHTCTNOTWLD-MRXNPFEDSA-N 0 0 435.433 -0.214 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CNC(=O)c2cccc(O)c2)c(=O)n(C)c1=O ZINC000785694458 1073703157 /nfs/dbraw/zinc/70/31/57/1073703157.db2.gz MNZKASXTZIVNBI-UHFFFAOYSA-N 0 0 432.433 -0.353 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CNC(=O)c2cccc(O)c2)CC1 ZINC000785695813 1073703173 /nfs/dbraw/zinc/70/31/73/1073703173.db2.gz ZGWUJKRJWDGKGL-UHFFFAOYSA-N 0 0 434.493 -0.276 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)cn1 ZINC000785708750 1073706606 /nfs/dbraw/zinc/70/66/06/1073706606.db2.gz AIEAWMMUXNGCTB-CVEARBPZSA-N 0 0 426.495 -0.317 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)cn1 ZINC000785708751 1073706507 /nfs/dbraw/zinc/70/65/07/1073706507.db2.gz AIEAWMMUXNGCTB-HOTGVXAUSA-N 0 0 426.495 -0.317 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)cn1 ZINC000785708752 1073706620 /nfs/dbraw/zinc/70/66/20/1073706620.db2.gz AIEAWMMUXNGCTB-HZPDHXFCSA-N 0 0 426.495 -0.317 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)cn1 ZINC000785708753 1073706645 /nfs/dbraw/zinc/70/66/45/1073706645.db2.gz AIEAWMMUXNGCTB-JKSUJKDBSA-N 0 0 426.495 -0.317 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000785712266 1073706627 /nfs/dbraw/zinc/70/66/27/1073706627.db2.gz CQUHFQQAZNIBCF-AEFFLSMTSA-N 0 0 440.518 -0.527 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000785712271 1073706518 /nfs/dbraw/zinc/70/65/18/1073706518.db2.gz CQUHFQQAZNIBCF-FUHWJXTLSA-N 0 0 440.518 -0.527 20 0 IBADRN COc1cccc(C[C@@H](O)C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000785712275 1073706661 /nfs/dbraw/zinc/70/66/61/1073706661.db2.gz CQUHFQQAZNIBCF-SJLPKXTDSA-N 0 0 440.518 -0.527 20 0 IBADRN COc1cccc(C[C@H](O)C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000785712279 1073706484 /nfs/dbraw/zinc/70/64/84/1073706484.db2.gz CQUHFQQAZNIBCF-WMZOPIPTSA-N 0 0 440.518 -0.527 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@@H]3CC=CC[C@H]3C(=O)N3CCOCC3)nc2c(=O)n(C)c1=O ZINC000785716287 1073706549 /nfs/dbraw/zinc/70/65/49/1073706549.db2.gz AHCSONABJTXKFP-CHWSQXEVSA-N 0 0 431.449 -0.555 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@H]3CC=CC[C@H]3C(=O)N3CCOCC3)nc2c(=O)n(C)c1=O ZINC000785716291 1073706494 /nfs/dbraw/zinc/70/64/94/1073706494.db2.gz AHCSONABJTXKFP-OLZOCXBDSA-N 0 0 431.449 -0.555 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@@H]3CC=CC[C@@H]3C(=O)N3CCOCC3)nc2c(=O)n(C)c1=O ZINC000785716294 1073706614 /nfs/dbraw/zinc/70/66/14/1073706614.db2.gz AHCSONABJTXKFP-QWHCGFSZSA-N 0 0 431.449 -0.555 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@H]3CC=CC[C@@H]3C(=O)N3CCOCC3)nc2c(=O)n(C)c1=O ZINC000785716295 1073706531 /nfs/dbraw/zinc/70/65/31/1073706531.db2.gz AHCSONABJTXKFP-STQMWFEESA-N 0 0 431.449 -0.555 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CC=CC[C@H]1C(=O)N1CCOCC1)S(C)(=O)=O ZINC000785724671 1073706125 /nfs/dbraw/zinc/70/61/25/1073706125.db2.gz GKLOTAICBOSXHI-CVEARBPZSA-N 0 0 445.538 -0.632 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)S(C)(=O)=O ZINC000785724673 1073706001 /nfs/dbraw/zinc/70/60/01/1073706001.db2.gz GKLOTAICBOSXHI-HOTGVXAUSA-N 0 0 445.538 -0.632 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1)S(C)(=O)=O ZINC000785724675 1073706139 /nfs/dbraw/zinc/70/61/39/1073706139.db2.gz GKLOTAICBOSXHI-HZPDHXFCSA-N 0 0 445.538 -0.632 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)S(C)(=O)=O ZINC000785724677 1073706029 /nfs/dbraw/zinc/70/60/29/1073706029.db2.gz GKLOTAICBOSXHI-JKSUJKDBSA-N 0 0 445.538 -0.632 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3[nH]2)CC1 ZINC000785732672 1073706108 /nfs/dbraw/zinc/70/61/08/1073706108.db2.gz GVNPMDWJGZIIMQ-MSOLQXFVSA-N 0 0 445.501 -0.047 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3[nH]2)CC1 ZINC000785732675 1073706132 /nfs/dbraw/zinc/70/61/32/1073706132.db2.gz GVNPMDWJGZIIMQ-QZTJIDSGSA-N 0 0 445.501 -0.047 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3[nH]2)CC1 ZINC000785732676 1073705968 /nfs/dbraw/zinc/70/59/68/1073705968.db2.gz GVNPMDWJGZIIMQ-ROUUACIJSA-N 0 0 445.501 -0.047 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3[nH]2)CC1 ZINC000785732677 1073705949 /nfs/dbraw/zinc/70/59/49/1073705949.db2.gz GVNPMDWJGZIIMQ-ZWKOTPCHSA-N 0 0 445.501 -0.047 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)CS[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000785734569 1073706102 /nfs/dbraw/zinc/70/61/02/1073706102.db2.gz XGCBZMVCLYOKCO-CYBMUJFWSA-N 0 0 433.577 -0.097 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)CS[C@H]2CCS(=O)(=O)C2)CC1 ZINC000785734572 1073705978 /nfs/dbraw/zinc/70/59/78/1073705978.db2.gz XGCBZMVCLYOKCO-ZDUSSCGKSA-N 0 0 433.577 -0.097 20 0 IBADRN CC1(CCNC(=O)C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)s2)OCCO1 ZINC000785748482 1073707221 /nfs/dbraw/zinc/70/72/21/1073707221.db2.gz AVZUQVVMTBWHFO-UHFFFAOYSA-N 0 0 447.535 -0.346 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CC(c3c(F)cccc3F)=NO2)CC1)N1CCOCC1 ZINC000785748679 1073706456 /nfs/dbraw/zinc/70/64/56/1073706456.db2.gz BTUKQGJILONRTO-INIZCTEOSA-N 0 0 436.415 -0.013 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CC(c3c(F)cccc3F)=NO2)CC1)N1CCOCC1 ZINC000785748681 1073706540 /nfs/dbraw/zinc/70/65/40/1073706540.db2.gz BTUKQGJILONRTO-MRXNPFEDSA-N 0 0 436.415 -0.013 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000785749513 1073706578 /nfs/dbraw/zinc/70/65/78/1073706578.db2.gz OCWAOXLNSXOPAR-UHFFFAOYSA-N 0 0 429.480 -0.607 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1F ZINC000785750336 1073707113 /nfs/dbraw/zinc/70/71/13/1073707113.db2.gz PIVSCRUYWWRDHP-UHFFFAOYSA-N 0 0 427.454 -0.628 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)c3cc4c(cc3Cl)NC(=O)CO4)CC2)n1 ZINC000785750507 1073707192 /nfs/dbraw/zinc/70/71/92/1073707192.db2.gz BZXWFSRYICJZLM-UHFFFAOYSA-N 0 0 440.869 -0.054 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)s1)C(=O)N1CCC2(C1)OCCO2 ZINC000785751390 1073707230 /nfs/dbraw/zinc/70/72/30/1073707230.db2.gz NKAKUORFGPWTDQ-UHFFFAOYSA-N 0 0 445.519 -0.639 20 0 IBADRN COCCN(C(=O)COC(=O)C1COC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000785760820 1073707198 /nfs/dbraw/zinc/70/71/98/1073707198.db2.gz FCCCFILMYKIITM-UHFFFAOYSA-N 0 0 432.433 -0.252 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3COC3)CC2)cc1OC ZINC000785772949 1073707090 /nfs/dbraw/zinc/70/70/90/1073707090.db2.gz NETGFYFYBDYGKX-UHFFFAOYSA-N 0 0 428.463 -0.274 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)COC(=O)C3COC3)CC2)c1 ZINC000785773991 1073707100 /nfs/dbraw/zinc/70/71/00/1073707100.db2.gz AELSMKJTPZSVDD-UHFFFAOYSA-N 0 0 428.463 -0.274 20 0 IBADRN O=C(COC(=O)C1COC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000785775976 1073707239 /nfs/dbraw/zinc/70/72/39/1073707239.db2.gz SWPDXFRCHOCCJZ-UHFFFAOYSA-N 0 0 440.474 -0.130 20 0 IBADRN O=C(COC(=O)C1COC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000785776749 1073707064 /nfs/dbraw/zinc/70/70/64/1073707064.db2.gz XSIPRRGNVUQAJQ-UHFFFAOYSA-N 0 0 426.447 -0.520 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1F ZINC000785805763 1073707124 /nfs/dbraw/zinc/70/71/24/1073707124.db2.gz YDVAQGVHTUVNGW-UHFFFAOYSA-N 0 0 435.499 -0.436 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CCCC(=O)N(C)C)CC1 ZINC000785824967 1073707180 /nfs/dbraw/zinc/70/71/80/1073707180.db2.gz NOIRKDNBLILGLV-UHFFFAOYSA-N 0 0 444.510 -0.074 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn1 ZINC000785838713 1073707148 /nfs/dbraw/zinc/70/71/48/1073707148.db2.gz AUKJLNZEYGVYPQ-UHFFFAOYSA-N 0 0 443.485 0.000 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000785841409 1073707136 /nfs/dbraw/zinc/70/71/36/1073707136.db2.gz VYUYGQNUURCQOG-UHFFFAOYSA-N 0 0 435.481 -0.098 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(F)c(S(C)(=O)=O)c3)CC2)CC1 ZINC000785842655 1073707618 /nfs/dbraw/zinc/70/76/18/1073707618.db2.gz ORYIEBUPHWCPJB-UHFFFAOYSA-N 0 0 448.542 -0.521 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3ccc(N4CCOCC4)nc3)C[C@H]1COCC2 ZINC000785861103 1073707607 /nfs/dbraw/zinc/70/76/07/1073707607.db2.gz CFGWMWJYDXMERJ-HRAATJIYSA-N 0 0 432.477 -0.428 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CCC[C@H]2CS(N)(=O)=O)CC1 ZINC000785864048 1073707699 /nfs/dbraw/zinc/70/76/99/1073707699.db2.gz KWEMPSZXLHSWJZ-HNNXBMFYSA-N 0 0 447.558 -0.034 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CCC[C@@H]2CS(N)(=O)=O)CC1 ZINC000785864049 1073707713 /nfs/dbraw/zinc/70/77/13/1073707713.db2.gz KWEMPSZXLHSWJZ-OAHLLOKOSA-N 0 0 447.558 -0.034 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC[C@@H]2CS(N)(=O)=O)CC1 ZINC000785864311 1073707590 /nfs/dbraw/zinc/70/75/90/1073707590.db2.gz JIJANSRVSKOEDP-CYBMUJFWSA-N 0 0 433.531 -0.472 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC[C@H]2CS(N)(=O)=O)CC1 ZINC000785864320 1073707624 /nfs/dbraw/zinc/70/76/24/1073707624.db2.gz JIJANSRVSKOEDP-ZDUSSCGKSA-N 0 0 433.531 -0.472 20 0 IBADRN C[C@H]1CN(C(C)(C)CNC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C[C@H](C)O1 ZINC000785871599 1073707741 /nfs/dbraw/zinc/70/77/41/1073707741.db2.gz TYHYLLFAJQLZLV-HOTGVXAUSA-N 0 0 446.614 -0.384 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C[C@@H](C)O1 ZINC000785871601 1073707761 /nfs/dbraw/zinc/70/77/61/1073707761.db2.gz TYHYLLFAJQLZLV-HZPDHXFCSA-N 0 0 446.614 -0.384 20 0 IBADRN C[C@@H]1CN(C(C)(C)CNC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C[C@H](C)O1 ZINC000785871602 1073708168 /nfs/dbraw/zinc/70/81/68/1073708168.db2.gz TYHYLLFAJQLZLV-IYBDPMFKSA-N 0 0 446.614 -0.384 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000785873658 1073707720 /nfs/dbraw/zinc/70/77/20/1073707720.db2.gz AOXXSOHHDCPNAZ-HNNXBMFYSA-N 0 0 447.535 -0.263 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000785873659 1073707735 /nfs/dbraw/zinc/70/77/35/1073707735.db2.gz AOXXSOHHDCPNAZ-OAHLLOKOSA-N 0 0 447.535 -0.263 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)[C@]1(O)CCc2ccccc2C1 ZINC000785881546 1073707730 /nfs/dbraw/zinc/70/77/30/1073707730.db2.gz SYVFQQQSSZPXIW-HKUYNNGSSA-N 0 0 442.559 -0.433 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)[C@@]1(O)CCc2ccccc2C1 ZINC000785881550 1073707649 /nfs/dbraw/zinc/70/76/49/1073707649.db2.gz SYVFQQQSSZPXIW-IEBWSBKVSA-N 0 0 442.559 -0.433 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)[C@]1(O)CCc2ccccc2C1 ZINC000785881556 1073707784 /nfs/dbraw/zinc/70/77/84/1073707784.db2.gz SYVFQQQSSZPXIW-MJGOQNOKSA-N 0 0 442.559 -0.433 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)[C@@]1(O)CCc2ccccc2C1 ZINC000785881561 1073707637 /nfs/dbraw/zinc/70/76/37/1073707637.db2.gz SYVFQQQSSZPXIW-PKOBYXMFSA-N 0 0 442.559 -0.433 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)c2cnn(C)n2)CC1 ZINC000785883584 1073707667 /nfs/dbraw/zinc/70/76/67/1073707667.db2.gz VHWMTVNTCQKUNK-UHFFFAOYSA-N 0 0 427.508 -0.326 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000785890586 1073708248 /nfs/dbraw/zinc/70/82/48/1073708248.db2.gz SHBSOAAKWJDSHH-NEPJUHHUSA-N 0 0 430.483 -0.529 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000785890587 1073708265 /nfs/dbraw/zinc/70/82/65/1073708265.db2.gz SHBSOAAKWJDSHH-NWDGAFQWSA-N 0 0 430.483 -0.529 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000785890588 1073708283 /nfs/dbraw/zinc/70/82/83/1073708283.db2.gz SHBSOAAKWJDSHH-RYUDHWBXSA-N 0 0 430.483 -0.529 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000785890589 1073708291 /nfs/dbraw/zinc/70/82/91/1073708291.db2.gz SHBSOAAKWJDSHH-VXGBXAGGSA-N 0 0 430.483 -0.529 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1F ZINC000785898651 1073708273 /nfs/dbraw/zinc/70/82/73/1073708273.db2.gz YSOCJIYTZWMQDF-UHFFFAOYSA-N 0 0 425.438 -0.337 20 0 IBADRN O=C(NCc1nnc2n1CCC2)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000785930034 1073708299 /nfs/dbraw/zinc/70/82/99/1073708299.db2.gz HIGZJRXXNQBUHS-UHFFFAOYSA-N 0 0 443.489 -0.866 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)NCc1nnc2n1CCC2 ZINC000785934255 1073708232 /nfs/dbraw/zinc/70/82/32/1073708232.db2.gz RTAWNLYNEXBPAC-UHFFFAOYSA-N 0 0 435.529 -0.148 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCc2nnc3n2CCC3)CC1 ZINC000785935247 1073709369 /nfs/dbraw/zinc/70/93/69/1073709369.db2.gz YEWNCDCXELZSCR-UHFFFAOYSA-N 0 0 435.485 -0.964 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NC1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000785965605 1073708856 /nfs/dbraw/zinc/70/88/56/1073708856.db2.gz DUFVBRXANVUVKA-UHFFFAOYSA-N 0 0 445.563 -0.185 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000785966591 1073709464 /nfs/dbraw/zinc/70/94/64/1073709464.db2.gz KDCLOCBARXCEEO-UHFFFAOYSA-N 0 0 427.509 -0.104 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N(C)CCS(C)(=O)=O)CC2)cc1 ZINC000785967939 1073709480 /nfs/dbraw/zinc/70/94/80/1073709480.db2.gz VBUWORUDPKFAAR-UHFFFAOYSA-N 0 0 445.563 -0.233 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3CC(=O)N(C)C[C@@H]3C)CC2)cc1 ZINC000785967970 1073709454 /nfs/dbraw/zinc/70/94/54/1073709454.db2.gz VMZDJSNXFIYVKC-HNNXBMFYSA-N 0 0 436.534 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)N3CC(=O)N(C)C[C@H]3C)CC2)cc1 ZINC000785967973 1073709423 /nfs/dbraw/zinc/70/94/23/1073709423.db2.gz VMZDJSNXFIYVKC-OAHLLOKOSA-N 0 0 436.534 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)C(=O)NCCS(C)(=O)=O)CC2)cc1 ZINC000785968339 1073709313 /nfs/dbraw/zinc/70/93/13/1073709313.db2.gz XZSZYEBPQVSSRZ-UHFFFAOYSA-N 0 0 431.536 -0.575 20 0 IBADRN Cc1cc(CC(=O)OCC(=O)N2CCN(C(=O)COC(=O)Cc3cc(C)on3)CC2)no1 ZINC000785970997 1073709398 /nfs/dbraw/zinc/70/93/98/1073709398.db2.gz VRWRRIJFHZRCOI-UHFFFAOYSA-N 0 0 448.432 -0.178 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1C(=O)Nc1ccc2c(c1)OCCO2)N1CCNC(=O)C1 ZINC000785975714 1073709347 /nfs/dbraw/zinc/70/93/47/1073709347.db2.gz JSWDVYQDTUTIMM-AWEZNQCLSA-N 0 0 432.433 -0.044 20 0 IBADRN O=C(NCc1ccc(-n2ccnc2)nc1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000785978754 1073709330 /nfs/dbraw/zinc/70/93/30/1073709330.db2.gz BHXCBFJRUOSPKA-INIZCTEOSA-N 0 0 432.506 -0.090 20 0 IBADRN O=C(NCc1ccc(-n2ccnc2)nc1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000785978755 1073709408 /nfs/dbraw/zinc/70/94/08/1073709408.db2.gz BHXCBFJRUOSPKA-MRXNPFEDSA-N 0 0 432.506 -0.090 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000785979364 1073709504 /nfs/dbraw/zinc/70/95/04/1073709504.db2.gz HTJCQPQXCJNMHC-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccc(-n2ccnc2)nc1 ZINC000785980665 1073709434 /nfs/dbraw/zinc/70/94/34/1073709434.db2.gz BBPDRJMENRIRBV-UHFFFAOYSA-N 0 0 438.535 -0.622 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1ccc(-n2ccnc2)nc1 ZINC000785981177 1073710064 /nfs/dbraw/zinc/71/00/64/1073710064.db2.gz FXMYSRYLSMBKIT-UHFFFAOYSA-N 0 0 428.474 -0.022 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)C(C)(C)S(C)(=O)=O)C2=O)cc1 ZINC000785983295 1073710128 /nfs/dbraw/zinc/71/01/28/1073710128.db2.gz BKZRCXVSLZJNPS-GOSISDBHSA-N 0 0 441.462 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)C(C)(C)S(C)(=O)=O)C2=O)cc1 ZINC000785983296 1073710017 /nfs/dbraw/zinc/71/00/17/1073710017.db2.gz BKZRCXVSLZJNPS-SFHVURJKSA-N 0 0 441.462 -0.140 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C(C)(C)S(C)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000785983535 1073709898 /nfs/dbraw/zinc/70/98/98/1073709898.db2.gz HVLREZARJNGOEI-UHFFFAOYSA-N 0 0 437.474 -0.273 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000785985653 1073709941 /nfs/dbraw/zinc/70/99/41/1073709941.db2.gz CWZHSKZQCJSCSD-INIZCTEOSA-N 0 0 427.509 -0.058 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000785985655 1073710085 /nfs/dbraw/zinc/71/00/85/1073710085.db2.gz CWZHSKZQCJSCSD-MRXNPFEDSA-N 0 0 427.509 -0.058 20 0 IBADRN CC(C)(C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)S(C)(=O)=O ZINC000785986250 1073710134 /nfs/dbraw/zinc/71/01/34/1073710134.db2.gz XPZRBYLLOVOCLM-UHFFFAOYSA-N 0 0 432.520 -0.114 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000785987129 1073710120 /nfs/dbraw/zinc/71/01/20/1073710120.db2.gz IGZQXWFTAAFMPF-HNNXBMFYSA-N 0 0 425.493 -0.305 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000785987130 1073710139 /nfs/dbraw/zinc/71/01/39/1073710139.db2.gz IGZQXWFTAAFMPF-OAHLLOKOSA-N 0 0 425.493 -0.305 20 0 IBADRN CC[NH+](CC)CC(=O)N1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000785987379 1073709913 /nfs/dbraw/zinc/70/99/13/1073709913.db2.gz LNMZKIOROVWXKY-UHFFFAOYSA-N 0 0 427.509 -0.104 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000785989710 1073710581 /nfs/dbraw/zinc/71/05/81/1073710581.db2.gz YSFQCUVCNJCDKX-UHFFFAOYSA-N 0 0 446.475 -0.091 20 0 IBADRN CC(C)(C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000785991760 1073710511 /nfs/dbraw/zinc/71/05/11/1073710511.db2.gz GFYBGZBASABHRF-CHWSQXEVSA-N 0 0 425.525 -0.453 20 0 IBADRN CC(C)(C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000785991769 1073710439 /nfs/dbraw/zinc/71/04/39/1073710439.db2.gz GFYBGZBASABHRF-OLZOCXBDSA-N 0 0 425.525 -0.453 20 0 IBADRN CC(C)(C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000785991772 1073710667 /nfs/dbraw/zinc/71/06/67/1073710667.db2.gz GFYBGZBASABHRF-QWHCGFSZSA-N 0 0 425.525 -0.453 20 0 IBADRN CC(C)(C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000785991773 1073710539 /nfs/dbraw/zinc/71/05/39/1073710539.db2.gz GFYBGZBASABHRF-STQMWFEESA-N 0 0 425.525 -0.453 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1)N1CCCC1 ZINC000785992132 1073710707 /nfs/dbraw/zinc/71/07/07/1073710707.db2.gz XKUSAWARKRHXSU-UHFFFAOYSA-N 0 0 425.493 -0.350 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)C(F)(F)F)ccc1OC ZINC000786000876 1073710528 /nfs/dbraw/zinc/71/05/28/1073710528.db2.gz AZDXTCCNLQZBML-UHFFFAOYSA-N 0 0 447.413 -0.407 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCCN(c3ncccc3F)CC2)cn1 ZINC000786002306 1073710453 /nfs/dbraw/zinc/71/04/53/1073710453.db2.gz AILRLGCBMBAYOK-UHFFFAOYSA-N 0 0 447.471 -0.143 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCc3ccc(-n4ccnc4)nc3)CC2)CC1 ZINC000786004058 1073710555 /nfs/dbraw/zinc/71/05/55/1073710555.db2.gz QMKGPPGADJUBBD-UHFFFAOYSA-N 0 0 439.520 -0.104 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cc(Cl)ccc1OCCS(C)(=O)=O ZINC000786004093 1073710695 /nfs/dbraw/zinc/71/06/95/1073710695.db2.gz POJZAKNPCHPICM-UHFFFAOYSA-N 0 0 441.915 -0.633 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C(=O)Nc1cnc2c(c1)CN(C(=O)OC(C)(C)C)CC2 ZINC000786005796 1073710635 /nfs/dbraw/zinc/71/06/35/1073710635.db2.gz XSPSDXCQROKGGM-UHFFFAOYSA-N 0 0 441.510 -0.021 20 0 IBADRN O=C(C[NH+]1CCCC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)nc2)CC1 ZINC000786007264 1073711208 /nfs/dbraw/zinc/71/12/08/1073711208.db2.gz QUDTYFWBDFAKNF-UHFFFAOYSA-N 0 0 425.493 -0.350 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3ccc(-n4ccnc4)nc3)CC2)nc1 ZINC000786007429 1073711325 /nfs/dbraw/zinc/71/13/25/1073711325.db2.gz PZCAKFAWSAJVOK-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)[C@H]1CO ZINC000786010242 1073711239 /nfs/dbraw/zinc/71/12/39/1073711239.db2.gz HWRHUYHLTORRGZ-DOMZBBRYSA-N 0 0 432.520 -0.327 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)[C@@H]1CO ZINC000786010244 1073711290 /nfs/dbraw/zinc/71/12/90/1073711290.db2.gz HWRHUYHLTORRGZ-IUODEOHRSA-N 0 0 432.520 -0.327 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)[C@@H]1CO ZINC000786010245 1073711352 /nfs/dbraw/zinc/71/13/52/1073711352.db2.gz HWRHUYHLTORRGZ-SWLSCSKDSA-N 0 0 432.520 -0.327 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)[C@H]1CO ZINC000786010247 1073711147 /nfs/dbraw/zinc/71/11/47/1073711147.db2.gz HWRHUYHLTORRGZ-WFASDCNBSA-N 0 0 432.520 -0.327 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3ccc(-n4ccnc4)nc3)CC2)n1 ZINC000786014817 1073709996 /nfs/dbraw/zinc/70/99/96/1073709996.db2.gz PZEYJFYRAVUZML-UHFFFAOYSA-N 0 0 440.464 -0.243 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@H](C)CO)cc2)CC1 ZINC000786023623 1073709840 /nfs/dbraw/zinc/70/98/40/1073709840.db2.gz QXKOFLOIMYONEQ-CVEARBPZSA-N 0 0 436.509 -0.490 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C[C@H](C)O)[C@@H](C)CO)cc2)CC1 ZINC000786023625 1073710077 /nfs/dbraw/zinc/71/00/77/1073710077.db2.gz QXKOFLOIMYONEQ-HOTGVXAUSA-N 0 0 436.509 -0.490 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@H](C)CO)cc2)CC1 ZINC000786023627 1073710102 /nfs/dbraw/zinc/71/01/02/1073710102.db2.gz QXKOFLOIMYONEQ-HZPDHXFCSA-N 0 0 436.509 -0.490 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(C[C@@H](C)O)[C@@H](C)CO)cc2)CC1 ZINC000786023628 1073709857 /nfs/dbraw/zinc/70/98/57/1073709857.db2.gz QXKOFLOIMYONEQ-JKSUJKDBSA-N 0 0 436.509 -0.490 20 0 IBADRN COC(=O)c1ccc(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)c(Br)c1 ZINC000786028047 1073709866 /nfs/dbraw/zinc/70/98/66/1073709866.db2.gz MADHHCPJVNZDHX-MRVPVSSYSA-N 0 0 436.284 -0.355 20 0 IBADRN COC(=O)c1ccc(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)c(Br)c1 ZINC000786028066 1073710093 /nfs/dbraw/zinc/71/00/93/1073710093.db2.gz MADHHCPJVNZDHX-QMMMGPOBSA-N 0 0 436.284 -0.355 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@H]1CC(=O)N(c3ccc(N(C)C)cc3)C1=O)C2 ZINC000786038473 1073712915 /nfs/dbraw/zinc/71/29/15/1073712915.db2.gz CYKXZBOYOHRCPP-INIZCTEOSA-N 0 0 441.492 -0.653 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN([C@@H]1CC(=O)N(c3ccc(N(C)C)cc3)C1=O)C2 ZINC000786038477 1073712951 /nfs/dbraw/zinc/71/29/51/1073712951.db2.gz CYKXZBOYOHRCPP-MRXNPFEDSA-N 0 0 441.492 -0.653 20 0 IBADRN O=C(COC(=O)c1ccc2nnnn2c1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786044880 1073712856 /nfs/dbraw/zinc/71/28/56/1073712856.db2.gz HQDRHIOUKHVSTD-UHFFFAOYSA-N 0 0 446.445 -0.059 20 0 IBADRN O=C(COC(=O)c1ccc2nnnn2c1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000786046511 1073713464 /nfs/dbraw/zinc/71/34/64/1073713464.db2.gz KDYDGAZQBWJULW-UHFFFAOYSA-N 0 0 448.436 -0.047 20 0 IBADRN O=C(COC(=O)c1ccc2nnnn2c1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000786047464 1073713323 /nfs/dbraw/zinc/71/33/23/1073713323.db2.gz VKUKOQVLCGNYCY-UHFFFAOYSA-N 0 0 444.473 -0.045 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2ccc3nnnn3c2)CC1 ZINC000786047551 1073713380 /nfs/dbraw/zinc/71/33/80/1073713380.db2.gz XXAFCYNZTYKCAK-UHFFFAOYSA-N 0 0 449.449 -0.581 20 0 IBADRN O=C(COC(=O)c1ccc2nnnn2c1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000786047588 1073713587 /nfs/dbraw/zinc/71/35/87/1073713587.db2.gz BARJBEIJTIUVRX-UHFFFAOYSA-N 0 0 430.446 -0.186 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)c3ccc4nnnn4c3)C2=O)cc1 ZINC000786049925 1073713365 /nfs/dbraw/zinc/71/33/65/1073713365.db2.gz NRMJYOVHDWYDQJ-IBGZPJMESA-N 0 0 439.388 -0.212 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)c3ccc4nnnn4c3)C2=O)cc1 ZINC000786049926 1073713252 /nfs/dbraw/zinc/71/32/52/1073713252.db2.gz NRMJYOVHDWYDQJ-LJQANCHMSA-N 0 0 439.388 -0.212 20 0 IBADRN O=C(COC(=O)c1ccc2nnnn2c1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000786051872 1073713423 /nfs/dbraw/zinc/71/34/23/1073713423.db2.gz LGUAFEPBLDMGRQ-UHFFFAOYSA-N 0 0 446.445 -0.059 20 0 IBADRN O=C(COC(=O)c1ccc2nnnn2c1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000786052717 1073713276 /nfs/dbraw/zinc/71/32/76/1073713276.db2.gz NZUJFTMFFQXHTG-UHFFFAOYSA-N 0 0 448.436 -0.047 20 0 IBADRN O=C(COC(=O)c1ccc2nnnn2c1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000786052788 1073713291 /nfs/dbraw/zinc/71/32/91/1073713291.db2.gz OJCVOGGNCOLLCJ-UHFFFAOYSA-N 0 0 448.436 -0.047 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)c2ccc3nnnn3c2)c(=O)[nH]c1=O ZINC000786053328 1073713337 /nfs/dbraw/zinc/71/33/37/1073713337.db2.gz QHJIINPZZAWVOB-UHFFFAOYSA-N 0 0 430.425 -0.021 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)c2ccc3nnnn3c2)c(N)n(Cc2ccccc2)c1=O ZINC000786054049 1073713550 /nfs/dbraw/zinc/71/35/50/1073713550.db2.gz VTPUUEOTMHMOPP-UHFFFAOYSA-N 0 0 435.400 -0.345 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000786060329 1073711165 /nfs/dbraw/zinc/71/11/65/1073711165.db2.gz CVFSHIYRJIWMDJ-UHFFFAOYSA-N 0 0 431.453 -0.234 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000786061058 1073711314 /nfs/dbraw/zinc/71/13/14/1073711314.db2.gz BBABAKCBSISTDV-BBRMVZONSA-N 0 0 425.463 -0.299 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000786061059 1073711261 /nfs/dbraw/zinc/71/12/61/1073711261.db2.gz BBABAKCBSISTDV-CJNGLKHVSA-N 0 0 425.463 -0.299 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000786061060 1073711346 /nfs/dbraw/zinc/71/13/46/1073711346.db2.gz BBABAKCBSISTDV-CZUORRHYSA-N 0 0 425.463 -0.299 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000786061061 1073711229 /nfs/dbraw/zinc/71/12/29/1073711229.db2.gz BBABAKCBSISTDV-XJKSGUPXSA-N 0 0 425.463 -0.299 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H]1NC(=O)O[C@@H]1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000786061168 1073711249 /nfs/dbraw/zinc/71/12/49/1073711249.db2.gz CEMILQYLEAXHNT-BXUZGUMPSA-N 0 0 445.432 -0.028 20 0 IBADRN CCN(C(=O)COC(=O)[C@H]1NC(=O)O[C@H]1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000786061171 1073711308 /nfs/dbraw/zinc/71/13/08/1073711308.db2.gz CEMILQYLEAXHNT-FZMZJTMJSA-N 0 0 445.432 -0.028 20 0 IBADRN CCN(C(=O)COC(=O)[C@H]1NC(=O)O[C@@H]1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000786061428 1073711336 /nfs/dbraw/zinc/71/13/36/1073711336.db2.gz CEMILQYLEAXHNT-RISCZKNCSA-N 0 0 445.432 -0.028 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H]1NC(=O)O[C@H]1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000786061429 1073711272 /nfs/dbraw/zinc/71/12/72/1073711272.db2.gz CEMILQYLEAXHNT-SMDDNHRTSA-N 0 0 445.432 -0.028 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000786061870 1073711296 /nfs/dbraw/zinc/71/12/96/1073711296.db2.gz FGCNNPVTJDKDFK-HZMBPMFUSA-N 0 0 447.416 -0.162 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000786061873 1073711305 /nfs/dbraw/zinc/71/13/05/1073711305.db2.gz FGCNNPVTJDKDFK-IINYFYTJSA-N 0 0 447.416 -0.162 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000786061875 1073711320 /nfs/dbraw/zinc/71/13/20/1073711320.db2.gz FGCNNPVTJDKDFK-QMTHXVAHSA-N 0 0 447.416 -0.162 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000786061877 1073711279 /nfs/dbraw/zinc/71/12/79/1073711279.db2.gz FGCNNPVTJDKDFK-YGRLFVJLSA-N 0 0 447.416 -0.162 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786063320 1073711813 /nfs/dbraw/zinc/71/18/13/1073711813.db2.gz KBHDUESTGFJLHR-BLLLJJGKSA-N 0 0 441.462 -0.636 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786063335 1073711704 /nfs/dbraw/zinc/71/17/04/1073711704.db2.gz KBHDUESTGFJLHR-LRDDRELGSA-N 0 0 441.462 -0.636 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786063337 1073711884 /nfs/dbraw/zinc/71/18/84/1073711884.db2.gz KBHDUESTGFJLHR-MLGOLLRUSA-N 0 0 441.462 -0.636 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786063340 1073711728 /nfs/dbraw/zinc/71/17/28/1073711728.db2.gz KBHDUESTGFJLHR-WBMJQRKESA-N 0 0 441.462 -0.636 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000786064000 1073711798 /nfs/dbraw/zinc/71/17/98/1073711798.db2.gz AGQNZRNKDPSIEQ-ABAIWWIYSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000786064003 1073711681 /nfs/dbraw/zinc/71/16/81/1073711681.db2.gz AGQNZRNKDPSIEQ-IAQYHMDHSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000786064005 1073711717 /nfs/dbraw/zinc/71/17/17/1073711717.db2.gz AGQNZRNKDPSIEQ-NHYWBVRUSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000786064007 1073711760 /nfs/dbraw/zinc/71/17/60/1073711760.db2.gz AGQNZRNKDPSIEQ-XHDPSFHLSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000786064964 1073712236 /nfs/dbraw/zinc/71/22/36/1073712236.db2.gz CWORAFSEBVMHTL-BLLLJJGKSA-N 0 0 441.462 -0.636 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000786064969 1073712357 /nfs/dbraw/zinc/71/23/57/1073712357.db2.gz CWORAFSEBVMHTL-LRDDRELGSA-N 0 0 441.462 -0.636 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000786064973 1073712377 /nfs/dbraw/zinc/71/23/77/1073712377.db2.gz CWORAFSEBVMHTL-MLGOLLRUSA-N 0 0 441.462 -0.636 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000786064978 1073712367 /nfs/dbraw/zinc/71/23/67/1073712367.db2.gz CWORAFSEBVMHTL-WBMJQRKESA-N 0 0 441.462 -0.636 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786065544 1073711876 /nfs/dbraw/zinc/71/18/76/1073711876.db2.gz MWKNHVIVMNDPFH-ABAIWWIYSA-N 0 0 427.435 -0.314 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786065548 1073711859 /nfs/dbraw/zinc/71/18/59/1073711859.db2.gz MWKNHVIVMNDPFH-IAQYHMDHSA-N 0 0 427.435 -0.314 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786065551 1073711920 /nfs/dbraw/zinc/71/19/20/1073711920.db2.gz MWKNHVIVMNDPFH-NHYWBVRUSA-N 0 0 427.435 -0.314 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786065556 1073711893 /nfs/dbraw/zinc/71/18/93/1073711893.db2.gz MWKNHVIVMNDPFH-XHDPSFHLSA-N 0 0 427.435 -0.314 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@@H]2NC(=O)O[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000786065583 1073711913 /nfs/dbraw/zinc/71/19/13/1073711913.db2.gz DUBNDBNKHMMBPJ-BLLLJJGKSA-N 0 0 441.462 -0.005 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@H]2NC(=O)O[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000786065586 1073711835 /nfs/dbraw/zinc/71/18/35/1073711835.db2.gz DUBNDBNKHMMBPJ-LRDDRELGSA-N 0 0 441.462 -0.005 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@@H]2NC(=O)O[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000786065588 1073711845 /nfs/dbraw/zinc/71/18/45/1073711845.db2.gz DUBNDBNKHMMBPJ-MLGOLLRUSA-N 0 0 441.462 -0.005 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@H]2NC(=O)O[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000786065590 1073711782 /nfs/dbraw/zinc/71/17/82/1073711782.db2.gz DUBNDBNKHMMBPJ-WBMJQRKESA-N 0 0 441.462 -0.005 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000786065665 1073711770 /nfs/dbraw/zinc/71/17/70/1073711770.db2.gz NXEAEXTWVVCNSO-ABAIWWIYSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000786065666 1073711904 /nfs/dbraw/zinc/71/19/04/1073711904.db2.gz NXEAEXTWVVCNSO-IAQYHMDHSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000786065667 1073711822 /nfs/dbraw/zinc/71/18/22/1073711822.db2.gz NXEAEXTWVVCNSO-NHYWBVRUSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000786065668 1073711740 /nfs/dbraw/zinc/71/17/40/1073711740.db2.gz NXEAEXTWVVCNSO-XHDPSFHLSA-N 0 0 429.426 -0.301 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1NC(=O)O[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000786065955 1073712280 /nfs/dbraw/zinc/71/22/80/1073712280.db2.gz RGMLQMYOPKZIOB-CMPLNLGQSA-N 0 0 441.441 -0.793 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1NC(=O)O[C@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000786065967 1073712324 /nfs/dbraw/zinc/71/23/24/1073712324.db2.gz RGMLQMYOPKZIOB-JQWIXIFHSA-N 0 0 441.441 -0.793 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000786066082 1073712344 /nfs/dbraw/zinc/71/23/44/1073712344.db2.gz CKMSEBHTODHAED-MJEQTWJJSA-N 0 0 433.417 -0.463 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000786066143 1073712398 /nfs/dbraw/zinc/71/23/98/1073712398.db2.gz RSEQVGDSHKRLFT-ABAIWWIYSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000786066144 1073712262 /nfs/dbraw/zinc/71/22/62/1073712262.db2.gz RSEQVGDSHKRLFT-IAQYHMDHSA-N 0 0 429.426 -0.301 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000786066145 1073712422 /nfs/dbraw/zinc/71/24/22/1073712422.db2.gz RSEQVGDSHKRLFT-NHYWBVRUSA-N 0 0 429.426 -0.301 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1NC(=O)O[C@@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000786066570 1073712251 /nfs/dbraw/zinc/71/22/51/1073712251.db2.gz RGMLQMYOPKZIOB-PWSUYJOCSA-N 0 0 441.441 -0.793 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1NC(=O)O[C@@H]1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000786066571 1073712430 /nfs/dbraw/zinc/71/24/30/1073712430.db2.gz RGMLQMYOPKZIOB-ZYHUDNBSSA-N 0 0 441.441 -0.793 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000786066580 1073712441 /nfs/dbraw/zinc/71/24/41/1073712441.db2.gz CKMSEBHTODHAED-NUTKFTJISA-N 0 0 433.417 -0.463 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000786066581 1073712452 /nfs/dbraw/zinc/71/24/52/1073712452.db2.gz CKMSEBHTODHAED-XGWLTEMNSA-N 0 0 433.417 -0.463 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000786066582 1073712316 /nfs/dbraw/zinc/71/23/16/1073712316.db2.gz CKMSEBHTODHAED-YLQAJVPDSA-N 0 0 433.417 -0.463 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000786066642 1073712305 /nfs/dbraw/zinc/71/23/05/1073712305.db2.gz RSEQVGDSHKRLFT-XHDPSFHLSA-N 0 0 429.426 -0.301 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@H]2NC(=O)O[C@@H]2C)c(N)n(Cc2ccccc2)c1=O ZINC000786068531 1073712387 /nfs/dbraw/zinc/71/23/87/1073712387.db2.gz GYJLNLUWADAPNL-ABAIWWIYSA-N 0 0 430.417 -0.117 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@@H]2NC(=O)O[C@@H]2C)c(N)n(Cc2ccccc2)c1=O ZINC000786068533 1073712336 /nfs/dbraw/zinc/71/23/36/1073712336.db2.gz GYJLNLUWADAPNL-IAQYHMDHSA-N 0 0 430.417 -0.117 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@H]2NC(=O)O[C@H]2C)c(N)n(Cc2ccccc2)c1=O ZINC000786068534 1073712290 /nfs/dbraw/zinc/71/22/90/1073712290.db2.gz GYJLNLUWADAPNL-NHYWBVRUSA-N 0 0 430.417 -0.117 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@@H]2NC(=O)O[C@H]2C)c(N)n(Cc2ccccc2)c1=O ZINC000786068535 1073712409 /nfs/dbraw/zinc/71/24/09/1073712409.db2.gz GYJLNLUWADAPNL-XHDPSFHLSA-N 0 0 430.417 -0.117 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2NC(=O)O[C@H]2C)CC1 ZINC000786069134 1073712798 /nfs/dbraw/zinc/71/27/98/1073712798.db2.gz UQDMECNJQCVKIC-GWCFXTLKSA-N 0 0 430.439 -0.836 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2NC(=O)O[C@H]2C)CC1 ZINC000786069147 1073712810 /nfs/dbraw/zinc/71/28/10/1073712810.db2.gz UQDMECNJQCVKIC-GXFFZTMASA-N 0 0 430.439 -0.836 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2NC(=O)O[C@@H]2C)CC1 ZINC000786069152 1073712788 /nfs/dbraw/zinc/71/27/88/1073712788.db2.gz UQDMECNJQCVKIC-MFKMUULPSA-N 0 0 430.439 -0.836 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2NC(=O)O[C@@H]2C)CC1 ZINC000786069156 1073712890 /nfs/dbraw/zinc/71/28/90/1073712890.db2.gz UQDMECNJQCVKIC-ZWNOBZJWSA-N 0 0 430.439 -0.836 20 0 IBADRN C[C@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000786069472 1073712879 /nfs/dbraw/zinc/71/28/79/1073712879.db2.gz NLVURAXNPKJQGV-ABAIWWIYSA-N 0 0 427.435 -0.314 20 0 IBADRN C[C@H]1OC(=O)N[C@H]1C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000786069480 1073712938 /nfs/dbraw/zinc/71/29/38/1073712938.db2.gz NLVURAXNPKJQGV-IAQYHMDHSA-N 0 0 427.435 -0.314 20 0 IBADRN C[C@@H]1OC(=O)N[C@@H]1C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000786069486 1073712960 /nfs/dbraw/zinc/71/29/60/1073712960.db2.gz NLVURAXNPKJQGV-NHYWBVRUSA-N 0 0 427.435 -0.314 20 0 IBADRN C[C@@H]1OC(=O)N[C@H]1C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000786069490 1073712818 /nfs/dbraw/zinc/71/28/18/1073712818.db2.gz NLVURAXNPKJQGV-XHDPSFHLSA-N 0 0 427.435 -0.314 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3NC(=O)O[C@H]3C)CC2)cc1 ZINC000786073550 1073712947 /nfs/dbraw/zinc/71/29/47/1073712947.db2.gz SNNCLQVHDBJQPO-BLLLJJGKSA-N 0 0 441.462 -0.432 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3NC(=O)O[C@H]3C)CC2)cc1 ZINC000786073551 1073712929 /nfs/dbraw/zinc/71/29/29/1073712929.db2.gz SNNCLQVHDBJQPO-LRDDRELGSA-N 0 0 441.462 -0.432 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3NC(=O)O[C@@H]3C)CC2)cc1 ZINC000786073552 1073712830 /nfs/dbraw/zinc/71/28/30/1073712830.db2.gz SNNCLQVHDBJQPO-MLGOLLRUSA-N 0 0 441.462 -0.432 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3NC(=O)O[C@@H]3C)CC2)cc1 ZINC000786073553 1073712842 /nfs/dbraw/zinc/71/28/42/1073712842.db2.gz SNNCLQVHDBJQPO-WBMJQRKESA-N 0 0 441.462 -0.432 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000786081705 1073714083 /nfs/dbraw/zinc/71/40/83/1073714083.db2.gz AHHAZFBWVSDSHX-AWEZNQCLSA-N 0 0 426.495 -0.100 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)C1 ZINC000786081708 1073713931 /nfs/dbraw/zinc/71/39/31/1073713931.db2.gz AHHAZFBWVSDSHX-CQSZACIVSA-N 0 0 426.495 -0.100 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC000786081887 1073714806 /nfs/dbraw/zinc/71/48/06/1073714806.db2.gz CZVBATWGNRFCSL-UHFFFAOYSA-N 0 0 426.495 -0.146 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=S)NCCc1ccc3c(c1)OCCO3)C2 ZINC000786082627 1073714749 /nfs/dbraw/zinc/71/47/49/1073714749.db2.gz KFHLFZIGXHRCGT-UHFFFAOYSA-N 0 0 446.533 -0.163 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000786082674 1073714834 /nfs/dbraw/zinc/71/48/34/1073714834.db2.gz HHTSAGPUYSYNFR-HNNXBMFYSA-N 0 0 441.506 -0.409 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000786082676 1073714606 /nfs/dbraw/zinc/71/46/06/1073714606.db2.gz HHTSAGPUYSYNFR-OAHLLOKOSA-N 0 0 441.506 -0.409 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ncnc3c1c(Br)nn3C)C2 ZINC000786083762 1073714466 /nfs/dbraw/zinc/71/44/66/1073714466.db2.gz ANYQPSNABJQMTG-UHFFFAOYSA-N 0 0 436.274 -0.408 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1ccc(S(N)(=O)=O)c3cccnc31)C2 ZINC000786084618 1073713264 /nfs/dbraw/zinc/71/32/64/1073713264.db2.gz PPBPPJIWAZANEL-UHFFFAOYSA-N 0 0 431.478 -0.651 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCc1ccccc1S(=O)(=O)N(C)C ZINC000786085974 1073713531 /nfs/dbraw/zinc/71/35/31/1073713531.db2.gz ZIDAQQLDMBXZQF-UHFFFAOYSA-N 0 0 433.552 -0.165 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)C(=O)N(CCS(C)(=O)=O)C1CC1 ZINC000786086025 1073713400 /nfs/dbraw/zinc/71/34/00/1073713400.db2.gz ZXQBCDHLHRRCGJ-UHFFFAOYSA-N 0 0 431.536 -0.411 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccccc1Cn1cncn1)C2 ZINC000786100473 1073713599 /nfs/dbraw/zinc/71/35/99/1073713599.db2.gz WXCUACPOPHHCOO-UHFFFAOYSA-N 0 0 425.453 -0.180 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(N3CCCC3=O)cc1)C2 ZINC000786103491 1073714123 /nfs/dbraw/zinc/71/41/23/1073714123.db2.gz JMUXOFYLUUKHFH-UHFFFAOYSA-N 0 0 441.492 -0.015 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cc(-n3cnnn3)ccc1F)C2 ZINC000786104366 1073713961 /nfs/dbraw/zinc/71/39/61/1073713961.db2.gz CKVJFPXAZIHDBT-UHFFFAOYSA-N 0 0 430.404 -0.705 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccnc1-n1ccnc1)C2 ZINC000786104744 1073714142 /nfs/dbraw/zinc/71/41/42/1073714142.db2.gz APBHBVZCSYTRPY-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(-n3ccnc3)nc1)C2 ZINC000786107068 1073714019 /nfs/dbraw/zinc/71/40/19/1073714019.db2.gz UXUAIPNXLLMFSF-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN COC(=O)c1ccc(CN(CCNC(=O)c2cnn(C)n2)C(=O)c2cnn(C)n2)cc1 ZINC000786111012 1073714101 /nfs/dbraw/zinc/71/41/01/1073714101.db2.gz GVSRTZDLZRHNRJ-UHFFFAOYSA-N 0 0 426.437 -0.197 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccn(Cc3ccccn3)n1)C2 ZINC000786111827 1073714052 /nfs/dbraw/zinc/71/40/52/1073714052.db2.gz DYOWBLHOLMICDC-UHFFFAOYSA-N 0 0 425.453 -0.180 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786112405 1073713988 /nfs/dbraw/zinc/71/39/88/1073713988.db2.gz HKJLQHYIEXYGAV-UHFFFAOYSA-N 0 0 439.480 -0.252 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC000786112454 1073714065 /nfs/dbraw/zinc/71/40/65/1073714065.db2.gz STBDAYBSERQVBE-UHFFFAOYSA-N 0 0 439.480 -0.252 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000786113409 1073713977 /nfs/dbraw/zinc/71/39/77/1073713977.db2.gz FGSDKZIDEQQNAS-UHFFFAOYSA-N 0 0 431.453 -0.186 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc(NS(C)(=O)=O)cc1)C2 ZINC000786113642 1073714151 /nfs/dbraw/zinc/71/41/51/1073714151.db2.gz SHGRIJNVAQVVHU-UHFFFAOYSA-N 0 0 437.482 -0.448 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCN(C)C(=O)OC(C)(C)C)C2 ZINC000786116441 1073714157 /nfs/dbraw/zinc/71/41/57/1073714157.db2.gz AXGKPQCUXYPLMZ-UHFFFAOYSA-N 0 0 425.490 -0.475 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCNC(=O)OC(C)(C)C)C2 ZINC000786117004 1073713949 /nfs/dbraw/zinc/71/39/49/1073713949.db2.gz GKHIPEGNQBMEEP-UHFFFAOYSA-N 0 0 425.490 -0.427 20 0 IBADRN CCN(CCNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(=O)OC(C)(C)C ZINC000786117405 1073714031 /nfs/dbraw/zinc/71/40/31/1073714031.db2.gz JMLVQAUUQXBUAO-UHFFFAOYSA-N 0 0 439.517 -0.085 20 0 IBADRN C[C@@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc2c(c1)CC(=O)N2C ZINC000786118406 1073714134 /nfs/dbraw/zinc/71/41/34/1073714134.db2.gz SOSXAVBNOQABPL-CYBMUJFWSA-N 0 0 441.492 -0.062 20 0 IBADRN C[C@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc2c(c1)CC(=O)N2C ZINC000786118407 1073714164 /nfs/dbraw/zinc/71/41/64/1073714164.db2.gz SOSXAVBNOQABPL-ZDUSSCGKSA-N 0 0 441.492 -0.062 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCN(C)C(=O)OC(C)(C)C)C2 ZINC000786120534 1073714007 /nfs/dbraw/zinc/71/40/07/1073714007.db2.gz FUPMXKOABPTZSQ-UHFFFAOYSA-N 0 0 439.517 -0.085 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC[S@](=O)Cc1ccccc1)C2 ZINC000786122774 1073714645 /nfs/dbraw/zinc/71/46/45/1073714645.db2.gz ZIMVTMBEYWCREN-PMERELPUSA-N 0 0 434.522 -0.393 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC[S@@](=O)Cc1ccccc1)C2 ZINC000786122776 1073714562 /nfs/dbraw/zinc/71/45/62/1073714562.db2.gz ZIMVTMBEYWCREN-SSEXGKCCSA-N 0 0 434.522 -0.393 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000786126706 1073714795 /nfs/dbraw/zinc/71/47/95/1073714795.db2.gz DQMVKVXIMZEMIK-GOSISDBHSA-N 0 0 443.508 -0.459 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000786126707 1073714624 /nfs/dbraw/zinc/71/46/24/1073714624.db2.gz DQMVKVXIMZEMIK-SFHVURJKSA-N 0 0 443.508 -0.459 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000786127241 1073714726 /nfs/dbraw/zinc/71/47/26/1073714726.db2.gz GGOGCISGPIUQPE-CYBMUJFWSA-N 0 0 427.421 -0.829 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000786127242 1073714673 /nfs/dbraw/zinc/71/46/73/1073714673.db2.gz GGOGCISGPIUQPE-ZDUSSCGKSA-N 0 0 427.421 -0.829 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCOc1ccc(C(N)=O)cc1)c(=O)n2C ZINC000786127636 1073714779 /nfs/dbraw/zinc/71/47/79/1073714779.db2.gz ZQDJYQNLDPUTOY-UHFFFAOYSA-N 0 0 429.433 -0.065 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC(C)(C)NC(=O)OC(C)(C)C)C2 ZINC000786130922 1073714585 /nfs/dbraw/zinc/71/45/85/1073714585.db2.gz AXLPYUBHQLVYEY-UHFFFAOYSA-N 0 0 439.517 -0.039 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3oc(=O)ccc3c1)C2 ZINC000786130992 1073715183 /nfs/dbraw/zinc/71/51/83/1073715183.db2.gz DEPJJGJYFPJICM-UHFFFAOYSA-N 0 0 433.446 -0.556 20 0 IBADRN CCOC(=O)N[C@H](CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)CC(C)C ZINC000786131451 1073715266 /nfs/dbraw/zinc/71/52/66/1073715266.db2.gz FZKYBJSPLQRKLL-AWEZNQCLSA-N 0 0 439.517 -0.181 20 0 IBADRN CCOC(=O)N[C@@H](CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)CC(C)C ZINC000786131452 1073715318 /nfs/dbraw/zinc/71/53/18/1073715318.db2.gz FZKYBJSPLQRKLL-CQSZACIVSA-N 0 0 439.517 -0.181 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3c(c1)N(C)CCC3)C2 ZINC000786131528 1073715282 /nfs/dbraw/zinc/71/52/82/1073715282.db2.gz IDKVFDRDHJCESO-UHFFFAOYSA-N 0 0 434.522 -0.280 20 0 IBADRN COC(=O)Nc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000786132139 1073715350 /nfs/dbraw/zinc/71/53/50/1073715350.db2.gz LLHHJALEPPJCTN-UHFFFAOYSA-N 0 0 438.466 -0.484 20 0 IBADRN COc1cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnc1Cl ZINC000786132617 1073715307 /nfs/dbraw/zinc/71/53/07/1073715307.db2.gz QDPWNEKJMNEXPL-UHFFFAOYSA-N 0 0 430.874 -0.606 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1c(Cl)nc3sccn31)C2 ZINC000786133016 1073715339 /nfs/dbraw/zinc/71/53/39/1073715339.db2.gz SSEALYLBZJSJEM-UHFFFAOYSA-N 0 0 445.914 -0.300 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000786133059 1073715365 /nfs/dbraw/zinc/71/53/65/1073715365.db2.gz UGKAHUXHDDWKAH-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1c(Cl)nc3ccccn31)C2 ZINC000786133110 1073715229 /nfs/dbraw/zinc/71/52/29/1073715229.db2.gz VFKVIIWEARJADN-UHFFFAOYSA-N 0 0 439.885 -0.361 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1F ZINC000786133138 1073715207 /nfs/dbraw/zinc/71/52/07/1073715207.db2.gz VMBPAHLCAYSWDS-UHFFFAOYSA-N 0 0 441.441 -0.737 20 0 IBADRN COC(=O)/C=C/c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000786133417 1073715370 /nfs/dbraw/zinc/71/53/70/1073715370.db2.gz WIGWAESISOXKIU-RMKNXTFCSA-N 0 0 449.489 -0.476 20 0 IBADRN COC(=O)/C=C\c1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000786133418 1073715194 /nfs/dbraw/zinc/71/51/94/1073715194.db2.gz WIGWAESISOXKIU-TWGQIWQCSA-N 0 0 449.489 -0.476 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cn(-c3ccccc3)nn1)C2 ZINC000786133888 1073715334 /nfs/dbraw/zinc/71/53/34/1073715334.db2.gz DWYHRYPWZMGHDZ-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN CC1CCC2(CC1)OC[C@H](CNC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)O2 ZINC000786133962 1073715258 /nfs/dbraw/zinc/71/52/58/1073715258.db2.gz ASUFAUWROMXTGK-CHWWFWEZSA-N 0 0 436.513 -0.020 20 0 IBADRN CC1CCC2(CC1)OC[C@@H](CNC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)O2 ZINC000786133963 1073715220 /nfs/dbraw/zinc/71/52/20/1073715220.db2.gz ASUFAUWROMXTGK-RDYUPDBUSA-N 0 0 436.513 -0.020 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC1CN(C(=O)OC(C)(C)C)C1)C2 ZINC000786135380 1073715845 /nfs/dbraw/zinc/71/58/45/1073715845.db2.gz LPEAVMUBNSRBAK-UHFFFAOYSA-N 0 0 437.501 -0.475 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(N3CCOC3=O)cc1)C2 ZINC000786135460 1073715240 /nfs/dbraw/zinc/71/52/40/1073715240.db2.gz MGCALTJVXBYHAG-UHFFFAOYSA-N 0 0 443.464 -0.185 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786136333 1073716006 /nfs/dbraw/zinc/71/60/06/1073716006.db2.gz UKFXFDXTQPXDNW-CYBMUJFWSA-N 0 0 439.517 -0.181 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786136337 1073715828 /nfs/dbraw/zinc/71/58/28/1073715828.db2.gz UKFXFDXTQPXDNW-ZDUSSCGKSA-N 0 0 439.517 -0.181 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000786138242 1073715797 /nfs/dbraw/zinc/71/57/97/1073715797.db2.gz JWXTTXCVFCUMFV-KBPBESRZSA-N 0 0 437.501 -0.475 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000786138243 1073716037 /nfs/dbraw/zinc/71/60/37/1073716037.db2.gz JWXTTXCVFCUMFV-KGLIPLIRSA-N 0 0 437.501 -0.475 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000786138244 1073715936 /nfs/dbraw/zinc/71/59/36/1073715936.db2.gz JWXTTXCVFCUMFV-UONOGXRCSA-N 0 0 437.501 -0.475 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C1 ZINC000786138245 1073715954 /nfs/dbraw/zinc/71/59/54/1073715954.db2.gz JWXTTXCVFCUMFV-ZIAGYGMSSA-N 0 0 437.501 -0.475 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786138516 1073715763 /nfs/dbraw/zinc/71/57/63/1073715763.db2.gz OYVPUJYRRVQZRS-GFCCVEGCSA-N 0 0 425.490 -0.429 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000786139659 1073714510 /nfs/dbraw/zinc/71/45/10/1073714510.db2.gz DZZMOKMUKMESMO-CYBMUJFWSA-N 0 0 437.501 -0.475 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000786139661 1073714910 /nfs/dbraw/zinc/71/49/10/1073714910.db2.gz DZZMOKMUKMESMO-ZDUSSCGKSA-N 0 0 437.501 -0.475 20 0 IBADRN O=C(COC(=O)c1cnn(-c2ccc(F)cc2)n1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000786143978 1073714535 /nfs/dbraw/zinc/71/45/35/1073714535.db2.gz PVTBXECVLJKULT-LLVKDONJSA-N 0 0 425.398 -0.424 20 0 IBADRN O=C(COC(=O)c1cnn(-c2ccc(F)cc2)n1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000786143981 1073714861 /nfs/dbraw/zinc/71/48/61/1073714861.db2.gz PVTBXECVLJKULT-NSHDSACASA-N 0 0 425.398 -0.424 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786173050 1073717140 /nfs/dbraw/zinc/71/71/40/1073717140.db2.gz FPIMWAYMJXGTEK-OAHLLOKOSA-N 0 0 425.463 -0.190 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC000786174516 1073717164 /nfs/dbraw/zinc/71/71/64/1073717164.db2.gz RGGPZUFUVCAORB-CYBMUJFWSA-N 0 0 428.467 -0.712 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000786175033 1073717062 /nfs/dbraw/zinc/71/70/62/1073717062.db2.gz VCOHWCXCWRDVJF-CQSZACIVSA-N 0 0 427.454 -0.177 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1CCC(=O)N1C)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000786176053 1073717498 /nfs/dbraw/zinc/71/74/98/1073717498.db2.gz CORSBXHVGJNZTL-GFCCVEGCSA-N 0 0 439.469 -0.670 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000786176938 1073717664 /nfs/dbraw/zinc/71/76/64/1073717664.db2.gz JVFBHKPQEFYGIO-MRXNPFEDSA-N 0 0 439.490 -0.512 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000786177555 1073717473 /nfs/dbraw/zinc/71/74/73/1073717473.db2.gz HCGCQLOVKWAFAA-OAHLLOKOSA-N 0 0 427.454 -0.177 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000786177956 1073717540 /nfs/dbraw/zinc/71/75/40/1073717540.db2.gz KKGJYWNRCWACNW-CQSZACIVSA-N 0 0 445.444 -0.038 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000786179588 1073717597 /nfs/dbraw/zinc/71/75/97/1073717597.db2.gz YZUJUAQDDPNDEU-PBHICJAKSA-N 0 0 431.445 -0.339 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000786179598 1073717719 /nfs/dbraw/zinc/71/77/19/1073717719.db2.gz YZUJUAQDDPNDEU-RHSMWYFYSA-N 0 0 431.445 -0.339 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000786179944 1073717463 /nfs/dbraw/zinc/71/74/63/1073717463.db2.gz FXNFMHKCYVQDHA-OAHLLOKOSA-N 0 0 427.454 -0.177 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000786180003 1073717506 /nfs/dbraw/zinc/71/75/06/1073717506.db2.gz IHNQQMLSHUGGQR-OAHLLOKOSA-N 0 0 439.490 -0.512 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3CCC(=O)N3C)CC2)cc1 ZINC000786180790 1073717754 /nfs/dbraw/zinc/71/77/54/1073717754.db2.gz OTWBMTKMACUNOX-MRXNPFEDSA-N 0 0 439.490 -0.308 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000786181339 1073717450 /nfs/dbraw/zinc/71/74/50/1073717450.db2.gz SKPQOVFFNPAFEW-OAHLLOKOSA-N 0 0 425.463 -0.190 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)NCCS(N)(=O)=O)cn2)CC1 ZINC000786182764 1073717488 /nfs/dbraw/zinc/71/74/88/1073717488.db2.gz NPUMLHBSRYPENZ-UHFFFAOYSA-N 0 0 429.503 -0.056 20 0 IBADRN CN(Cc1ccccc1F)C(=O)COC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000786194262 1073715979 /nfs/dbraw/zinc/71/59/79/1073715979.db2.gz GWAZLAFURWHZDO-UHFFFAOYSA-N 0 0 427.410 -0.002 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCCc2ccc(F)cc2)o1 ZINC000786195087 1073715739 /nfs/dbraw/zinc/71/57/39/1073715739.db2.gz PAMCGKIICIVIFT-UHFFFAOYSA-N 0 0 427.410 -0.302 20 0 IBADRN CN1C(=O)CCc2cc(C(=O)COC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)ccc21 ZINC000786195931 1073715914 /nfs/dbraw/zinc/71/59/14/1073715914.db2.gz CNUSTGLUBLLSGP-UHFFFAOYSA-N 0 0 449.441 -0.008 20 0 IBADRN COc1cccc(CNC(=O)COC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000786196067 1073716313 /nfs/dbraw/zinc/71/63/13/1073716313.db2.gz COVPHJURNXGLEW-UHFFFAOYSA-N 0 0 425.419 -0.475 20 0 IBADRN COc1cccc(CNC(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000786196490 1073716049 /nfs/dbraw/zinc/71/60/49/1073716049.db2.gz GCSKPOHWKVRICP-LLVKDONJSA-N 0 0 439.446 -0.087 20 0 IBADRN COc1cccc(CNC(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000786196494 1073715780 /nfs/dbraw/zinc/71/57/80/1073715780.db2.gz GCSKPOHWKVRICP-NSHDSACASA-N 0 0 439.446 -0.087 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCCCN2C(=O)c3ccccc3C2=O)o1 ZINC000786196600 1073715701 /nfs/dbraw/zinc/71/57/01/1073715701.db2.gz HGJMMMBZPADOSH-UHFFFAOYSA-N 0 0 435.414 -0.114 20 0 IBADRN COc1ccccc1CNC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000786196686 1073715662 /nfs/dbraw/zinc/71/56/62/1073715662.db2.gz HZFRUZQVAYCMQU-LLVKDONJSA-N 0 0 439.446 -0.087 20 0 IBADRN COc1ccccc1CNC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000786196687 1073716016 /nfs/dbraw/zinc/71/60/16/1073716016.db2.gz HZFRUZQVAYCMQU-NSHDSACASA-N 0 0 439.446 -0.087 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2=O)n(C)n1 ZINC000786196921 1073715809 /nfs/dbraw/zinc/71/58/09/1073715809.db2.gz IJVJLYWZFNYJFE-JTQLQIEISA-N 0 0 425.423 -0.953 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2=O)n(C)n1 ZINC000786196927 1073715898 /nfs/dbraw/zinc/71/58/98/1073715898.db2.gz IJVJLYWZFNYJFE-SNVBAGLBSA-N 0 0 425.423 -0.953 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NC[C@H]2Cc3ccccc3O2)o1 ZINC000786197164 1073716525 /nfs/dbraw/zinc/71/65/25/1073716525.db2.gz JXAFNMXNAIBRCG-GFCCVEGCSA-N 0 0 437.430 -0.680 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NC[C@@H]2Cc3ccccc3O2)o1 ZINC000786197168 1073716299 /nfs/dbraw/zinc/71/62/99/1073716299.db2.gz JXAFNMXNAIBRCG-LBPRGKRZSA-N 0 0 437.430 -0.680 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)C(=O)c1ccc2c(c1)CC(=O)N2C ZINC000786197619 1073716585 /nfs/dbraw/zinc/71/65/85/1073716585.db2.gz MRQFGQZKSXHHAK-JTQLQIEISA-N 0 0 449.441 -0.010 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)C(=O)c1ccc2c(c1)CC(=O)N2C ZINC000786197621 1073716463 /nfs/dbraw/zinc/71/64/63/1073716463.db2.gz MRQFGQZKSXHHAK-SNVBAGLBSA-N 0 0 449.441 -0.010 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N[C@@H]2CCOc3ccccc32)o1 ZINC000786197782 1073716402 /nfs/dbraw/zinc/71/64/02/1073716402.db2.gz NHKFELWNHWTPHZ-GFCCVEGCSA-N 0 0 437.430 -0.160 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N[C@H]2CCOc3ccccc32)o1 ZINC000786197784 1073716565 /nfs/dbraw/zinc/71/65/65/1073716565.db2.gz NHKFELWNHWTPHZ-LBPRGKRZSA-N 0 0 437.430 -0.160 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCc3sccc3C2)o1 ZINC000786197795 1073716484 /nfs/dbraw/zinc/71/64/84/1073716484.db2.gz PFCXNVCBPYIYAF-UHFFFAOYSA-N 0 0 427.460 -0.154 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCO[C@H]3CCCC[C@H]32)o1 ZINC000786197877 1073716326 /nfs/dbraw/zinc/71/63/26/1073716326.db2.gz OYCVAKWNMLCXPB-NEPJUHHUSA-N 0 0 429.451 -0.630 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCO[C@@H]3CCCC[C@@H]32)o1 ZINC000786197881 1073716285 /nfs/dbraw/zinc/71/62/85/1073716285.db2.gz OYCVAKWNMLCXPB-NWDGAFQWSA-N 0 0 429.451 -0.630 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCO[C@H]3CCCC[C@@H]32)o1 ZINC000786197882 1073716503 /nfs/dbraw/zinc/71/65/03/1073716503.db2.gz OYCVAKWNMLCXPB-RYUDHWBXSA-N 0 0 429.451 -0.630 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCO[C@@H]3CCCC[C@H]32)o1 ZINC000786197884 1073716385 /nfs/dbraw/zinc/71/63/85/1073716385.db2.gz OYCVAKWNMLCXPB-VXGBXAGGSA-N 0 0 429.451 -0.630 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@]2(O)CCc3ccccc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000786198184 1073716455 /nfs/dbraw/zinc/71/64/55/1073716455.db2.gz SJXWEEJTISJTBB-GHTZIAJQSA-N 0 0 435.546 -0.646 20 0 IBADRN O=C(CN1CCN(C(=O)[C@]2(O)CCc3ccccc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000786198186 1073716543 /nfs/dbraw/zinc/71/65/43/1073716543.db2.gz SJXWEEJTISJTBB-NQIIRXRSSA-N 0 0 435.546 -0.646 20 0 IBADRN O=C(CN1CCN(C(=O)[C@]2(O)CCc3ccccc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000786198189 1073716552 /nfs/dbraw/zinc/71/65/52/1073716552.db2.gz SJXWEEJTISJTBB-RXVVDRJESA-N 0 0 435.546 -0.646 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@]2(O)CCc3ccccc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000786198191 1073716515 /nfs/dbraw/zinc/71/65/15/1073716515.db2.gz SJXWEEJTISJTBB-WIYYLYMNSA-N 0 0 435.546 -0.646 20 0 IBADRN Cc1ccc(CNC(=O)COC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1F ZINC000786198329 1073716342 /nfs/dbraw/zinc/71/63/42/1073716342.db2.gz QOQKTYQEAIEOPP-UHFFFAOYSA-N 0 0 427.410 -0.036 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCCc2c(F)cccc2F)o1 ZINC000786198375 1073716419 /nfs/dbraw/zinc/71/64/19/1073716419.db2.gz RBUIMNVFXVFZHQ-UHFFFAOYSA-N 0 0 445.400 -0.163 20 0 IBADRN COc1cccc(CN(C)C(=O)COC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000786198509 1073716436 /nfs/dbraw/zinc/71/64/36/1073716436.db2.gz SWGIZTPRZNERRE-UHFFFAOYSA-N 0 0 439.446 -0.133 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000786199132 1073717091 /nfs/dbraw/zinc/71/70/91/1073717091.db2.gz YDHAXQKPXYKWAV-UHFFFAOYSA-N 0 0 425.419 -0.475 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(COC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)c1 ZINC000786199385 1073716970 /nfs/dbraw/zinc/71/69/70/1073716970.db2.gz ZCXXYDLAVRIKPM-UHFFFAOYSA-N 0 0 445.475 -0.350 20 0 IBADRN Cc1csc2nc(COC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)cc(=O)n12 ZINC000786199611 1073716367 /nfs/dbraw/zinc/71/63/67/1073716367.db2.gz GUFQUUJWNMTZFN-UHFFFAOYSA-N 0 0 426.432 -0.222 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000786199624 1073717187 /nfs/dbraw/zinc/71/71/87/1073717187.db2.gz HDBFYIZFKNQGCE-UHFFFAOYSA-N 0 0 439.446 -0.133 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)c2ccc3c(c2)CCN3C=O)o1 ZINC000786199651 1073717131 /nfs/dbraw/zinc/71/71/31/1073717131.db2.gz IAHZJDUPNAUMBI-UHFFFAOYSA-N 0 0 435.414 -0.398 20 0 IBADRN Cn1ccc(N2CCC[C@H](OC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2=O)n1 ZINC000786199655 1073717201 /nfs/dbraw/zinc/71/72/01/1073717201.db2.gz IBMLXMVQEGFOIJ-JTQLQIEISA-N 0 0 425.423 -0.871 20 0 IBADRN Cn1ccc(N2CCC[C@@H](OC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2=O)n1 ZINC000786199656 1073716951 /nfs/dbraw/zinc/71/69/51/1073716951.db2.gz IBMLXMVQEGFOIJ-SNVBAGLBSA-N 0 0 425.423 -0.871 20 0 IBADRN COc1ccccc1CNC(=O)COC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000786199998 1073716927 /nfs/dbraw/zinc/71/69/27/1073716927.db2.gz INSJIMRIBJFOSN-UHFFFAOYSA-N 0 0 425.419 -0.475 20 0 IBADRN CC(C)N(CC(F)(F)F)C(=O)COC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000786200082 1073717006 /nfs/dbraw/zinc/71/70/06/1073717006.db2.gz JDSHXVOJHAWBNJ-UHFFFAOYSA-N 0 0 429.373 -0.001 20 0 IBADRN CN(Cc1ccc(F)cc1)C(=O)COC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000786200747 1073717027 /nfs/dbraw/zinc/71/70/27/1073717027.db2.gz NYJFZVBPFSZDCM-UHFFFAOYSA-N 0 0 427.410 -0.002 20 0 IBADRN Cn1cc(N2CCC[C@@H](OC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2=O)cn1 ZINC000786201382 1073717109 /nfs/dbraw/zinc/71/71/09/1073717109.db2.gz DKSZKTQFRFRDDQ-LLVKDONJSA-N 0 0 425.423 -0.871 20 0 IBADRN Cn1cc(N2CCC[C@H](OC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2=O)cn1 ZINC000786201383 1073717076 /nfs/dbraw/zinc/71/70/76/1073717076.db2.gz DKSZKTQFRFRDDQ-NSHDSACASA-N 0 0 425.423 -0.871 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCc2cc(F)cc(F)c2)o1 ZINC000786201397 1073717047 /nfs/dbraw/zinc/71/70/47/1073717047.db2.gz DXBVIDQEXPIPMT-UHFFFAOYSA-N 0 0 431.373 -0.205 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCC(c3ccccc3)=N2)o1 ZINC000786201588 1073717119 /nfs/dbraw/zinc/71/71/19/1073717119.db2.gz VCJNBTZPKIDQAC-UHFFFAOYSA-N 0 0 434.430 -0.163 20 0 IBADRN COc1ccccc1CN(C)C(=O)COC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000786201628 1073717178 /nfs/dbraw/zinc/71/71/78/1073717178.db2.gz WCTVJVMBALGCCB-UHFFFAOYSA-N 0 0 439.446 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)cc1 ZINC000786201823 1073717152 /nfs/dbraw/zinc/71/71/52/1073717152.db2.gz YDEAGHOXVOWINY-UHFFFAOYSA-N 0 0 445.475 -0.350 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)C1CC1 ZINC000786215603 1073717648 /nfs/dbraw/zinc/71/76/48/1073717648.db2.gz NDRNVFIFJJVYSJ-UHFFFAOYSA-N 0 0 437.518 -0.189 20 0 IBADRN C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786220771 1073718233 /nfs/dbraw/zinc/71/82/33/1073718233.db2.gz AMYQMJQOPCRHIU-AWEZNQCLSA-N 0 0 430.465 -0.210 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C1=NN(c3ccccc3)C(=O)CC1)C2 ZINC000786220832 1073718321 /nfs/dbraw/zinc/71/83/21/1073718321.db2.gz CERZWZXLCLKZGB-UHFFFAOYSA-N 0 0 425.449 -0.342 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1C(=O)COc3ccc(Cl)cc31)C2 ZINC000786221175 1073718275 /nfs/dbraw/zinc/71/82/75/1073718275.db2.gz ICWORNMBMQXZKY-UHFFFAOYSA-N 0 0 448.867 -0.446 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C1=NN(Cc3ccccc3)C(=O)CC1)C2 ZINC000786221270 1073718113 /nfs/dbraw/zinc/71/81/13/1073718113.db2.gz JQPRRQMOMKJJQT-UHFFFAOYSA-N 0 0 439.476 -0.346 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCC(=O)N1CCOc3ccccc31)C2 ZINC000786221612 1073718264 /nfs/dbraw/zinc/71/82/64/1073718264.db2.gz LNCTYROWJVOLLA-UHFFFAOYSA-N 0 0 442.476 -0.319 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786221707 1073718300 /nfs/dbraw/zinc/71/83/00/1073718300.db2.gz NYODWFRSCDMPBO-LFIBNONCSA-N 0 0 437.464 -0.532 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786221709 1073718204 /nfs/dbraw/zinc/71/82/04/1073718204.db2.gz NYODWFRSCDMPBO-WJDWOHSUSA-N 0 0 437.464 -0.532 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786221866 1073718257 /nfs/dbraw/zinc/71/82/57/1073718257.db2.gz PCSAUXFVAURQHM-BLXFFLACSA-N 0 0 436.538 -0.267 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786221867 1073718335 /nfs/dbraw/zinc/71/83/35/1073718335.db2.gz PCSAUXFVAURQHM-CNTYAEFGSA-N 0 0 436.538 -0.267 20 0 IBADRN CC/C=C(/C)C(=O)N1CSC[C@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786221868 1073718196 /nfs/dbraw/zinc/71/81/96/1073718196.db2.gz PCSAUXFVAURQHM-XMRSSTEGSA-N 0 0 436.538 -0.267 20 0 IBADRN CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786221869 1073718329 /nfs/dbraw/zinc/71/83/29/1073718329.db2.gz PCSAUXFVAURQHM-YGLIYXGISA-N 0 0 436.538 -0.267 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(=O)N(c3ccc(F)cc3)C1)C2 ZINC000786222142 1073718642 /nfs/dbraw/zinc/71/86/42/1073718642.db2.gz QLQOCIRJGQXDGI-CYBMUJFWSA-N 0 0 430.440 -0.333 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(c3ccc(F)cc3)C1)C2 ZINC000786222143 1073718710 /nfs/dbraw/zinc/71/87/10/1073718710.db2.gz QLQOCIRJGQXDGI-ZDUSSCGKSA-N 0 0 430.440 -0.333 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)Oc2ccccc21 ZINC000786222151 1073718751 /nfs/dbraw/zinc/71/87/51/1073718751.db2.gz QXIVBUFNTGTHAY-INIZCTEOSA-N 0 0 428.449 -0.711 20 0 IBADRN CC(=O)N1C[C@H](C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)Oc2ccccc21 ZINC000786222152 1073718607 /nfs/dbraw/zinc/71/86/07/1073718607.db2.gz QXIVBUFNTGTHAY-MRXNPFEDSA-N 0 0 428.449 -0.711 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cn1cccc(C(F)(F)F)c1=O)C2 ZINC000786222200 1073718308 /nfs/dbraw/zinc/71/83/08/1073718308.db2.gz SIYXKUUQOHVTSQ-UHFFFAOYSA-N 0 0 428.371 -0.644 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@]1(F)CCN(C(=O)OC(C)(C)C)C1)C2 ZINC000786222210 1073718691 /nfs/dbraw/zinc/71/86/91/1073718691.db2.gz SOAQGQPKRNSBAX-IBGZPJMESA-N 0 0 440.476 -0.176 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@]1(F)CCN(C(=O)OC(C)(C)C)C1)C2 ZINC000786222216 1073718718 /nfs/dbraw/zinc/71/87/18/1073718718.db2.gz SOAQGQPKRNSBAX-LJQANCHMSA-N 0 0 440.476 -0.176 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1)C2 ZINC000786222398 1073718315 /nfs/dbraw/zinc/71/83/15/1073718315.db2.gz VGXOPERQCCKCEA-CYBMUJFWSA-N 0 0 438.485 -0.889 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)C2 ZINC000786222406 1073718285 /nfs/dbraw/zinc/71/82/85/1073718285.db2.gz VGXOPERQCCKCEA-ZDUSSCGKSA-N 0 0 438.485 -0.889 20 0 IBADRN Cc1ccc(N2C[C@@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)cc1 ZINC000786222466 1073718159 /nfs/dbraw/zinc/71/81/59/1073718159.db2.gz VZDXMFMUDWEUFQ-HNNXBMFYSA-N 0 0 426.477 -0.163 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)cc1 ZINC000786222507 1073718243 /nfs/dbraw/zinc/71/82/43/1073718243.db2.gz VZDXMFMUDWEUFQ-OAHLLOKOSA-N 0 0 426.477 -0.163 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1nc(Cc3ccccc3)no1)C2 ZINC000786222613 1073718139 /nfs/dbraw/zinc/71/81/39/1073718139.db2.gz BIBZNHZKZQWKOX-UHFFFAOYSA-N 0 0 440.464 -0.168 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)COCCNC(=O)OC(C)(C)C)C2 ZINC000786222892 1073718218 /nfs/dbraw/zinc/71/82/18/1073718218.db2.gz ZJQDPJMRHKXVHQ-UHFFFAOYSA-N 0 0 426.474 -0.984 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1nn(-c3ccc(F)cc3)ccc1=O)C2 ZINC000786222903 1073718128 /nfs/dbraw/zinc/71/81/28/1073718128.db2.gz ZNLJHLMPJCKCML-UHFFFAOYSA-N 0 0 441.423 -0.526 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(N3CCOC3=O)c1)C2 ZINC000786225400 1073718727 /nfs/dbraw/zinc/71/87/27/1073718727.db2.gz SZJARPQACLVCNW-UHFFFAOYSA-N 0 0 443.464 -0.185 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1c3ccccc3OCCC1=O)C2 ZINC000786226896 1073718670 /nfs/dbraw/zinc/71/86/70/1073718670.db2.gz AIZIYGIQVSTTRL-UHFFFAOYSA-N 0 0 428.449 -0.709 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1sccc1S(=O)(=O)C(F)F)C2 ZINC000786227240 1073718820 /nfs/dbraw/zinc/71/88/20/1073718820.db2.gz BJWVAFBJNANDHW-UHFFFAOYSA-N 0 0 449.461 -0.153 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccnc(-n3ccnc3)c1)C2 ZINC000786227382 1073718652 /nfs/dbraw/zinc/71/86/52/1073718652.db2.gz PLMRTOPKTYFHKU-UHFFFAOYSA-N 0 0 425.453 -0.561 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC(=O)Nc1ccc(F)c(F)c1)C2 ZINC000786227785 1073718796 /nfs/dbraw/zinc/71/87/96/1073718796.db2.gz RDRNMWQEYNDKRY-UHFFFAOYSA-N 0 0 437.407 -0.425 20 0 IBADRN Cc1ccc(N2C[C@@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)cc1F ZINC000786229867 1073718659 /nfs/dbraw/zinc/71/86/59/1073718659.db2.gz HOHFDPLBCQBVOW-AWEZNQCLSA-N 0 0 444.467 -0.024 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CC2=O)cc1F ZINC000786229873 1073718788 /nfs/dbraw/zinc/71/87/88/1073718788.db2.gz HOHFDPLBCQBVOW-CQSZACIVSA-N 0 0 444.467 -0.024 20 0 IBADRN Cc1ccc(C)n1-c1c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnn1C ZINC000786229899 1073718744 /nfs/dbraw/zinc/71/87/44/1073718744.db2.gz HORMJJZPOPSRTR-UHFFFAOYSA-N 0 0 426.481 -0.070 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1nccn3c(Br)cnc13)C2 ZINC000786230005 1073718808 /nfs/dbraw/zinc/71/88/08/1073718808.db2.gz IOZWZRCWUHNNGJ-UHFFFAOYSA-N 0 0 449.269 -0.406 20 0 IBADRN COCCN(CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(=O)OC(C)(C)C ZINC000786230873 1073718771 /nfs/dbraw/zinc/71/87/71/1073718771.db2.gz LGNLUFGKMXODNG-UHFFFAOYSA-N 0 0 440.501 -0.641 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)n1 ZINC000786231888 1073718619 /nfs/dbraw/zinc/71/86/19/1073718619.db2.gz VPHGQOIIIGVBHK-UHFFFAOYSA-N 0 0 434.478 -0.176 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)COc1ccc3ccc(=O)oc3c1)C2 ZINC000786232435 1073718678 /nfs/dbraw/zinc/71/86/78/1073718678.db2.gz QJCHUCFQVGROCN-UHFFFAOYSA-N 0 0 427.417 -0.339 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CSCN1C(=O)OC(C)(C)C)C2 ZINC000786232507 1073718781 /nfs/dbraw/zinc/71/87/81/1073718781.db2.gz QTEGZAXQEJAMIN-GFCCVEGCSA-N 0 0 440.526 -0.215 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CSCN1C(=O)OC(C)(C)C)C2 ZINC000786232510 1073718762 /nfs/dbraw/zinc/71/87/62/1073718762.db2.gz QTEGZAXQEJAMIN-LBPRGKRZSA-N 0 0 440.526 -0.215 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)n1 ZINC000786233615 1073719382 /nfs/dbraw/zinc/71/93/82/1073719382.db2.gz ORWKRXBWGYHFIA-UHFFFAOYSA-N 0 0 434.478 -0.176 20 0 IBADRN CC(C)COC(=O)N1CCC(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000786234698 1073719200 /nfs/dbraw/zinc/71/92/00/1073719200.db2.gz XQIJBVYJWJOOJY-UHFFFAOYSA-N 0 0 436.513 -0.020 20 0 IBADRN CC(C)OC(=O)N1CCC(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC000786237127 1073719305 /nfs/dbraw/zinc/71/93/05/1073719305.db2.gz QPOSNTWWHVAZRP-UHFFFAOYSA-N 0 0 437.501 -0.332 20 0 IBADRN C[C@H](CCNC(=O)OC(C)(C)C)NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786241075 1073719391 /nfs/dbraw/zinc/71/93/91/1073719391.db2.gz QIBCUQLQLRCTJT-CYBMUJFWSA-N 0 0 439.517 -0.039 20 0 IBADRN C[C@@H](CCNC(=O)OC(C)(C)C)NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786241078 1073719369 /nfs/dbraw/zinc/71/93/69/1073719369.db2.gz QIBCUQLQLRCTJT-ZDUSSCGKSA-N 0 0 439.517 -0.039 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1nnc(-c3ccccc3)[nH]1)C2 ZINC000786242581 1073719238 /nfs/dbraw/zinc/71/92/38/1073719238.db2.gz QZMAGXUBTUDKQG-UHFFFAOYSA-N 0 0 425.453 -0.357 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2=O)cc1 ZINC000786243126 1073717730 /nfs/dbraw/zinc/71/77/30/1073717730.db2.gz WIKIWJWHGGIUAG-INIZCTEOSA-N 0 0 441.492 -0.228 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2=O)cc1 ZINC000786243128 1073717516 /nfs/dbraw/zinc/71/75/16/1073717516.db2.gz WIKIWJWHGGIUAG-MRXNPFEDSA-N 0 0 441.492 -0.228 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC1CCC(O)(c3cccnc3)CC1)C2 ZINC000786244602 1073717740 /nfs/dbraw/zinc/71/77/40/1073717740.db2.gz CYRQHVYYFJUWSD-UHFFFAOYSA-N 0 0 443.508 -0.116 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NCC(=O)NC3CC3)cn2)CC1 ZINC000786266523 1073720219 /nfs/dbraw/zinc/72/02/19/1073720219.db2.gz CNWSOGLCLHWPRT-UHFFFAOYSA-N 0 0 447.496 -0.133 20 0 IBADRN CN(C)C(=O)CNC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786267247 1073720262 /nfs/dbraw/zinc/72/02/62/1073720262.db2.gz GTSFJOVJLQQSRA-UHFFFAOYSA-N 0 0 435.485 -0.323 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786270740 1073719274 /nfs/dbraw/zinc/71/92/74/1073719274.db2.gz XDFAZWUKQHIWTL-UHFFFAOYSA-N 0 0 435.485 -0.276 20 0 IBADRN CC(=O)NCCNC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786271203 1073719165 /nfs/dbraw/zinc/71/91/65/1073719165.db2.gz WQIYPNYDIVQAOJ-UHFFFAOYSA-N 0 0 435.485 -0.276 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCC(=O)c1ccccc1 ZINC000786271652 1073719328 /nfs/dbraw/zinc/71/93/28/1073719328.db2.gz XTCPZDHFLFWNOA-GFCCVEGCSA-N 0 0 427.417 -0.636 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCC(=O)c1ccccc1 ZINC000786271654 1073719340 /nfs/dbraw/zinc/71/93/40/1073719340.db2.gz XTCPZDHFLFWNOA-LBPRGKRZSA-N 0 0 427.417 -0.636 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NCCCC(N)=O)cn2)CC1 ZINC000786272904 1073719348 /nfs/dbraw/zinc/71/93/48/1073719348.db2.gz AXTRZTCRPMZORB-UHFFFAOYSA-N 0 0 435.485 -0.146 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000786273595 1073719219 /nfs/dbraw/zinc/71/92/19/1073719219.db2.gz HSROANCQDDDZEG-UHFFFAOYSA-N 0 0 430.461 -0.320 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N3CCNC(=O)C3)cn2)CC1 ZINC000786274787 1073719187 /nfs/dbraw/zinc/71/91/87/1073719187.db2.gz IRYOZKDFHXHAHT-UHFFFAOYSA-N 0 0 433.469 -0.569 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NCC(=O)c1ccccc1 ZINC000786277148 1073719296 /nfs/dbraw/zinc/71/92/96/1073719296.db2.gz LMWRITKRAFFEMV-GFCCVEGCSA-N 0 0 427.417 -0.636 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)NCC(=O)c1ccccc1 ZINC000786277149 1073719360 /nfs/dbraw/zinc/71/93/60/1073719360.db2.gz LMWRITKRAFFEMV-LBPRGKRZSA-N 0 0 427.417 -0.636 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CNc2ccc(C(N)=O)cc2)CC1 ZINC000786277283 1073719323 /nfs/dbraw/zinc/71/93/23/1073719323.db2.gz ZEKGMYXEVHLQGZ-UHFFFAOYSA-N 0 0 433.509 -0.201 20 0 IBADRN NC(=O)c1ccc(NCC(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cc1 ZINC000786278021 1073719400 /nfs/dbraw/zinc/71/94/00/1073719400.db2.gz DOSRCDOSHLBFMA-UHFFFAOYSA-N 0 0 432.458 -0.023 20 0 IBADRN Cn1ncc(C(=O)NC[C@@H]2CN(C(=O)OC(C)(C)C)CCN2C(=O)c2cnn(C)n2)n1 ZINC000786279468 1073719412 /nfs/dbraw/zinc/71/94/12/1073719412.db2.gz OTDLQRCKNPHXNJ-GFCCVEGCSA-N 0 0 433.473 -0.565 20 0 IBADRN Cn1ncc(C(=O)NC[C@H]2CN(C(=O)OC(C)(C)C)CCN2C(=O)c2cnn(C)n2)n1 ZINC000786279469 1073719647 /nfs/dbraw/zinc/71/96/47/1073719647.db2.gz OTDLQRCKNPHXNJ-LBPRGKRZSA-N 0 0 433.473 -0.565 20 0 IBADRN CNC(=O)CN(C)C(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786280678 1073719870 /nfs/dbraw/zinc/71/98/70/1073719870.db2.gz AIJXQQXCCHPRGY-UHFFFAOYSA-N 0 0 435.485 -0.323 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)NCC(=O)c1ccccc1 ZINC000786280679 1073719694 /nfs/dbraw/zinc/71/96/94/1073719694.db2.gz AKDATFMPMBXLQF-BPUTZDHNSA-N 0 0 436.486 -0.047 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)NCC(=O)c1ccccc1 ZINC000786280680 1073719739 /nfs/dbraw/zinc/71/97/39/1073719739.db2.gz AKDATFMPMBXLQF-CWRNSKLLSA-N 0 0 436.486 -0.047 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)NCC(=O)c1ccccc1 ZINC000786280681 1073719719 /nfs/dbraw/zinc/71/97/19/1073719719.db2.gz AKDATFMPMBXLQF-IMJJTQAJSA-N 0 0 436.486 -0.047 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)NCC(=O)c1ccccc1 ZINC000786280682 1073719759 /nfs/dbraw/zinc/71/97/59/1073719759.db2.gz AKDATFMPMBXLQF-NUEKZKHPSA-N 0 0 436.486 -0.047 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CNc2ccc(C(N)=O)cc2)c(=O)n(C)c1=O ZINC000786282336 1073719786 /nfs/dbraw/zinc/71/97/86/1073719786.db2.gz GOEAIUFUISVHPE-UHFFFAOYSA-N 0 0 431.449 -0.278 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc(C(F)(F)F)n2)CC1 ZINC000786282802 1073719860 /nfs/dbraw/zinc/71/98/60/1073719860.db2.gz AMFAACUMZAYTHJ-UHFFFAOYSA-N 0 0 441.366 -0.141 20 0 IBADRN CNC(=O)CCNC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786286042 1073719709 /nfs/dbraw/zinc/71/97/09/1073719709.db2.gz IWXCEMJBSQNJOA-UHFFFAOYSA-N 0 0 435.485 -0.276 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000786286844 1073719770 /nfs/dbraw/zinc/71/97/70/1073719770.db2.gz HVHBWDXPAQACIA-UHFFFAOYSA-N 0 0 444.514 -0.734 20 0 IBADRN O=C(Nc1cccc(C(F)(F)F)n1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000786286913 1073719847 /nfs/dbraw/zinc/71/98/47/1073719847.db2.gz JFFSTCAHERRJCX-UHFFFAOYSA-N 0 0 443.382 -0.432 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000786287175 1073719728 /nfs/dbraw/zinc/71/97/28/1073719728.db2.gz MKLMBKNKAIYQCW-UHFFFAOYSA-N 0 0 426.495 -0.319 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000786288995 1073719747 /nfs/dbraw/zinc/71/97/47/1073719747.db2.gz MBFQNVKKZIHSLQ-UHFFFAOYSA-N 0 0 432.477 -0.074 20 0 IBADRN C[C@@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCC(=O)c1ccccc1 ZINC000786289396 1073719837 /nfs/dbraw/zinc/71/98/37/1073719837.db2.gz VOTWXDCXKSGGFV-CYBMUJFWSA-N 0 0 441.444 -0.245 20 0 IBADRN C[C@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCC(=O)c1ccccc1 ZINC000786289397 1073719891 /nfs/dbraw/zinc/71/98/91/1073719891.db2.gz VOTWXDCXKSGGFV-ZDUSSCGKSA-N 0 0 441.444 -0.245 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000786289977 1073719676 /nfs/dbraw/zinc/71/96/76/1073719676.db2.gz NJYHUODKIYDCFQ-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)OCC(=O)N2CCNC(=O)C2)CCCC1 ZINC000786296590 1073719810 /nfs/dbraw/zinc/71/98/10/1073719810.db2.gz RKGACQQFAAKVCW-UHFFFAOYSA-N 0 0 428.467 -0.616 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000786305645 1073720270 /nfs/dbraw/zinc/72/02/70/1073720270.db2.gz HYSUBTQDWWEPAT-UHFFFAOYSA-N 0 0 432.477 -0.072 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N3CCCNC(=O)C3)cn2)CC1 ZINC000786310206 1073720199 /nfs/dbraw/zinc/72/01/99/1073720199.db2.gz KVVYEZBGAPOGAY-UHFFFAOYSA-N 0 0 447.496 -0.179 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000786314447 1073720303 /nfs/dbraw/zinc/72/03/03/1073720303.db2.gz ZBWGJHRXNUTIAM-UHFFFAOYSA-N 0 0 427.479 -0.491 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N3CC[C@@H](C(N)=O)C3)cn2)CC1 ZINC000786315092 1073720236 /nfs/dbraw/zinc/72/02/36/1073720236.db2.gz UYPQVRNMHHUMEE-CYBMUJFWSA-N 0 0 447.496 -0.194 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N3CC[C@H](C(N)=O)C3)cn2)CC1 ZINC000786315093 1073720228 /nfs/dbraw/zinc/72/02/28/1073720228.db2.gz UYPQVRNMHHUMEE-ZDUSSCGKSA-N 0 0 447.496 -0.194 20 0 IBADRN COC[C@H](O)CNC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786324124 1073720326 /nfs/dbraw/zinc/72/03/26/1073720326.db2.gz AQDVHMKLVCBELK-CQSZACIVSA-N 0 0 438.485 -0.404 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000786332331 1073720245 /nfs/dbraw/zinc/72/02/45/1073720245.db2.gz XRIHKRZWTYCKQN-UHFFFAOYSA-N 0 0 440.522 -0.576 20 0 IBADRN C[S@](=O)CCNC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786333649 1073720347 /nfs/dbraw/zinc/72/03/47/1073720347.db2.gz WUSKFPQNVFQHKP-PMERELPUSA-N 0 0 440.526 -0.033 20 0 IBADRN C[S@@](=O)CCNC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786333652 1073720282 /nfs/dbraw/zinc/72/02/82/1073720282.db2.gz WUSKFPQNVFQHKP-SSEXGKCCSA-N 0 0 440.526 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NCCn3cncn3)cn2)CC1 ZINC000786333714 1073720339 /nfs/dbraw/zinc/72/03/39/1073720339.db2.gz XIOHXQPPPNIYPH-UHFFFAOYSA-N 0 0 445.484 -0.120 20 0 IBADRN CN1CC[C@H](NC(=O)C(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)C1=O ZINC000786334679 1073720291 /nfs/dbraw/zinc/72/02/91/1073720291.db2.gz QHMQVDICHMMABN-AWEZNQCLSA-N 0 0 447.496 -0.181 20 0 IBADRN CN1CC[C@@H](NC(=O)C(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)C1=O ZINC000786334680 1073720316 /nfs/dbraw/zinc/72/03/16/1073720316.db2.gz QHMQVDICHMMABN-CQSZACIVSA-N 0 0 447.496 -0.181 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NCCOCCO)cn2)CC1 ZINC000786334872 1073720254 /nfs/dbraw/zinc/72/02/54/1073720254.db2.gz YQQYRSYQHZJXEU-UHFFFAOYSA-N 0 0 438.485 -0.403 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)CC1=O ZINC000786354435 1073721906 /nfs/dbraw/zinc/72/19/06/1073721906.db2.gz GTKQSLNSLBFOKK-CYBMUJFWSA-N 0 0 447.496 -0.181 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)CC1=O ZINC000786354437 1073721925 /nfs/dbraw/zinc/72/19/25/1073721925.db2.gz GTKQSLNSLBFOKK-ZDUSSCGKSA-N 0 0 447.496 -0.181 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000786360741 1073720722 /nfs/dbraw/zinc/72/07/22/1073720722.db2.gz MVGIDXQKOWHMDE-UHFFFAOYSA-N 0 0 429.437 -0.912 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000786360846 1073720688 /nfs/dbraw/zinc/72/06/88/1073720688.db2.gz AXUZICGFNZLLQZ-UHFFFAOYSA-N 0 0 426.495 -0.837 20 0 IBADRN C[C@H](CC(N)=O)NC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786361695 1073721127 /nfs/dbraw/zinc/72/11/27/1073721127.db2.gz HGAREVRELVAVCI-GFCCVEGCSA-N 0 0 435.485 -0.148 20 0 IBADRN C[C@@H](CC(N)=O)NC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786361696 1073721117 /nfs/dbraw/zinc/72/11/17/1073721117.db2.gz HGAREVRELVAVCI-LBPRGKRZSA-N 0 0 435.485 -0.148 20 0 IBADRN CN1CCN(C(=O)C(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)CC1=O ZINC000786363362 1073721135 /nfs/dbraw/zinc/72/11/35/1073721135.db2.gz XCFNPMXHFHAOMB-UHFFFAOYSA-N 0 0 447.496 -0.227 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000786374978 1073721516 /nfs/dbraw/zinc/72/15/16/1073721516.db2.gz JBONKLDLCJTOKH-UHFFFAOYSA-N 0 0 429.521 -0.053 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCCC3)cc2)CC1)NC1CC1 ZINC000786377320 1073721528 /nfs/dbraw/zinc/72/15/28/1073721528.db2.gz JVOPDBHZWSLEKU-UHFFFAOYSA-N 0 0 441.532 -0.038 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000786377800 1073721577 /nfs/dbraw/zinc/72/15/77/1073721577.db2.gz SRPDHSQYGAOHNI-IBGZPJMESA-N 0 0 432.521 -0.310 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000786377801 1073721543 /nfs/dbraw/zinc/72/15/43/1073721543.db2.gz SRPDHSQYGAOHNI-LJQANCHMSA-N 0 0 432.521 -0.310 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000786377810 1073721589 /nfs/dbraw/zinc/72/15/89/1073721589.db2.gz SXQLUZJDRSUANS-UHFFFAOYSA-N 0 0 429.521 -0.181 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786381414 1073721565 /nfs/dbraw/zinc/72/15/65/1073721565.db2.gz ZDFYSAZCJVQMBJ-GFCCVEGCSA-N 0 0 435.485 -0.277 20 0 IBADRN CC(=O)N[C@H](CC1CCC1)C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000786395057 1073721596 /nfs/dbraw/zinc/72/15/96/1073721596.db2.gz KGUSEWKNGASYAX-GOSISDBHSA-N 0 0 433.509 -0.224 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)c3cnnc(C)c3)CC2)cnn1 ZINC000786400119 1073723316 /nfs/dbraw/zinc/72/33/16/1073723316.db2.gz XCZZFOOWJQYSRY-UHFFFAOYSA-N 0 0 442.432 -0.432 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NCCn3ncnn3)cn2)CC1 ZINC000786403112 1073721856 /nfs/dbraw/zinc/72/18/56/1073721856.db2.gz RRLFOBIGEZYCBW-UHFFFAOYSA-N 0 0 446.472 -0.725 20 0 IBADRN COC(=O)CCc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000786403636 1073721930 /nfs/dbraw/zinc/72/19/30/1073721930.db2.gz YZJUBLDUQIXBMC-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN CN1CN(C(=O)C(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)CC1=O ZINC000786420168 1073721882 /nfs/dbraw/zinc/72/18/82/1073721882.db2.gz OWEOOGJICSYSQR-UHFFFAOYSA-N 0 0 433.469 -0.270 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)C1 ZINC000786420844 1073721916 /nfs/dbraw/zinc/72/19/16/1073721916.db2.gz YSBLRHJFTDCZDD-UHFFFAOYSA-N 0 0 447.496 -0.323 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N(CCO)CCO)cn2)CC1 ZINC000786421881 1073721941 /nfs/dbraw/zinc/72/19/41/1073721941.db2.gz YCDFHEPLSGCNBX-UHFFFAOYSA-N 0 0 438.485 -0.715 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NCc3cn[nH]n3)cn2)CC1 ZINC000786425705 1073722385 /nfs/dbraw/zinc/72/23/85/1073722385.db2.gz IBMPEFGPGCDCDH-UHFFFAOYSA-N 0 0 431.457 -0.093 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N3C[C@@H](O)[C@@H](O)C3)cn2)CC1 ZINC000786426306 1073722471 /nfs/dbraw/zinc/72/24/71/1073722471.db2.gz CCDFXRSKPIBGGV-OKILXGFUSA-N 0 0 436.469 -0.964 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N3CCNC(=O)CC3)cn2)CC1 ZINC000786427220 1073722376 /nfs/dbraw/zinc/72/23/76/1073722376.db2.gz OTYVBHLUHNEOIG-UHFFFAOYSA-N 0 0 447.496 -0.179 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786427276 1073722311 /nfs/dbraw/zinc/72/23/11/1073722311.db2.gz RDGKUZJVFFMZOI-CYBMUJFWSA-N 0 0 447.496 -0.181 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786427277 1073722401 /nfs/dbraw/zinc/72/24/01/1073722401.db2.gz RDGKUZJVFFMZOI-ZDUSSCGKSA-N 0 0 447.496 -0.181 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N[C@H]3CNC(=O)C3)cn2)CC1 ZINC000786427992 1073722333 /nfs/dbraw/zinc/72/23/33/1073722333.db2.gz NAUVGVVAHAKVNY-GFCCVEGCSA-N 0 0 433.469 -0.523 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N[C@@H]3CNC(=O)C3)cn2)CC1 ZINC000786427998 1073722453 /nfs/dbraw/zinc/72/24/53/1073722453.db2.gz NAUVGVVAHAKVNY-LBPRGKRZSA-N 0 0 433.469 -0.523 20 0 IBADRN CN(C[C@H](O)CO)C(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000786429477 1073722408 /nfs/dbraw/zinc/72/24/08/1073722408.db2.gz NUTDBJAAABSLGJ-AWEZNQCLSA-N 0 0 438.485 -0.716 20 0 IBADRN C[C@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786430954 1073722281 /nfs/dbraw/zinc/72/22/81/1073722281.db2.gz BTHPZDNJDZGSIS-LLVKDONJSA-N 0 0 428.453 -0.442 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786430955 1073722465 /nfs/dbraw/zinc/72/24/65/1073722465.db2.gz BTHPZDNJDZGSIS-NSHDSACASA-N 0 0 428.453 -0.442 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccccc1C(=O)NC1CC1)C2 ZINC000786430964 1073722270 /nfs/dbraw/zinc/72/22/70/1073722270.db2.gz CCOWRFDKBSKEFR-UHFFFAOYSA-N 0 0 441.492 -0.521 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786431105 1073722301 /nfs/dbraw/zinc/72/23/01/1073722301.db2.gz DIAGJAQYJPKIPO-UHFFFAOYSA-N 0 0 443.508 -0.275 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1)[C@H]1CCNC1=O ZINC000786431638 1073722288 /nfs/dbraw/zinc/72/22/88/1073722288.db2.gz RWSWRRAANIADTJ-AWEZNQCLSA-N 0 0 447.496 -0.181 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1)[C@@H]1CCNC1=O ZINC000786431640 1073722422 /nfs/dbraw/zinc/72/24/22/1073722422.db2.gz RWSWRRAANIADTJ-CQSZACIVSA-N 0 0 447.496 -0.181 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1ccc(N3CCOCC3)cc1)C2 ZINC000786432133 1073722255 /nfs/dbraw/zinc/72/22/55/1073722255.db2.gz MQDRAJZDZFPKRA-UHFFFAOYSA-N 0 0 443.508 -0.576 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C[C@](C)(O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC000786432700 1073722438 /nfs/dbraw/zinc/72/24/38/1073722438.db2.gz OXLGCBRJFHRDKN-IBGZPJMESA-N 0 0 437.522 -0.740 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C[C@@](C)(O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC000786432702 1073722427 /nfs/dbraw/zinc/72/24/27/1073722427.db2.gz OXLGCBRJFHRDKN-LJQANCHMSA-N 0 0 437.522 -0.740 20 0 IBADRN CO[C@H]1Cc2ccc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc2C1 ZINC000786433170 1073722356 /nfs/dbraw/zinc/72/23/56/1073722356.db2.gz BOVBPZIIMMZAQK-INIZCTEOSA-N 0 0 442.476 -0.773 20 0 IBADRN CO[C@@H]1Cc2ccc(NC(=O)C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc2C1 ZINC000786433173 1073722368 /nfs/dbraw/zinc/72/23/68/1073722368.db2.gz BOVBPZIIMMZAQK-MRXNPFEDSA-N 0 0 442.476 -0.773 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccnn1C1CCCCC1)C2 ZINC000786433234 1073722946 /nfs/dbraw/zinc/72/29/46/1073722946.db2.gz CUKBCHLUEDESAU-UHFFFAOYSA-N 0 0 444.496 -0.184 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000786433559 1073722941 /nfs/dbraw/zinc/72/29/41/1073722941.db2.gz DWNVLUZFDKUUEO-GUTXKFCHSA-N 0 0 439.490 -0.369 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000786433560 1073722867 /nfs/dbraw/zinc/72/28/67/1073722867.db2.gz DWNVLUZFDKUUEO-IPYPFGDCSA-N 0 0 439.490 -0.369 20 0 IBADRN COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000786433561 1073722928 /nfs/dbraw/zinc/72/29/28/1073722928.db2.gz DWNVLUZFDKUUEO-NFAWXSAZSA-N 0 0 439.490 -0.369 20 0 IBADRN COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000786433562 1073722935 /nfs/dbraw/zinc/72/29/35/1073722935.db2.gz DWNVLUZFDKUUEO-YDHLFZDLSA-N 0 0 439.490 -0.369 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccnn1C1CCCC1)C2 ZINC000786433842 1073722924 /nfs/dbraw/zinc/72/29/24/1073722924.db2.gz GTNJQCHPJMLSAA-UHFFFAOYSA-N 0 0 430.469 -0.575 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1N(C)C ZINC000786434109 1073722954 /nfs/dbraw/zinc/72/29/54/1073722954.db2.gz IOTJBXPTRONDKE-UHFFFAOYSA-N 0 0 429.481 -0.512 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000786434184 1073722894 /nfs/dbraw/zinc/72/28/94/1073722894.db2.gz KGBBETWRIMRCMH-UHFFFAOYSA-N 0 0 445.436 -0.708 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(C(F)(F)F)n1)C2 ZINC000786434344 1073722930 /nfs/dbraw/zinc/72/29/30/1073722930.db2.gz LFNCVONMMIFVGZ-UHFFFAOYSA-N 0 0 441.370 -0.472 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000786434400 1073722920 /nfs/dbraw/zinc/72/29/20/1073722920.db2.gz LUZAUMFYZJSLCD-UHFFFAOYSA-N 0 0 445.436 -0.708 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(Cl)n1 ZINC000786435725 1073722889 /nfs/dbraw/zinc/72/28/89/1073722889.db2.gz RNKDQICWMAASQK-UHFFFAOYSA-N 0 0 437.844 -0.829 20 0 IBADRN COc1cc(Cl)ccc1NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000786436023 1073722907 /nfs/dbraw/zinc/72/29/07/1073722907.db2.gz SAPQFDFUBUNTJZ-UHFFFAOYSA-N 0 0 436.856 -0.224 20 0 IBADRN CC(C)Cc1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n(C)n1 ZINC000786436424 1073723418 /nfs/dbraw/zinc/72/34/18/1073723418.db2.gz URVQHQIGMSFWES-UHFFFAOYSA-N 0 0 432.485 -0.954 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1F ZINC000786437195 1073722944 /nfs/dbraw/zinc/72/29/44/1073722944.db2.gz WFLHPNUDGCHMNK-UHFFFAOYSA-N 0 0 448.411 -0.960 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc(N(C)C)c(Cl)c1)C2 ZINC000786437207 1073722873 /nfs/dbraw/zinc/72/28/73/1073722873.db2.gz WFQOUWVUQSYRDH-UHFFFAOYSA-N 0 0 449.899 -0.167 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(-n3cccc3)c1)C2 ZINC000786438229 1073723429 /nfs/dbraw/zinc/72/34/29/1073723429.db2.gz ZATPUNCXZIXOFN-UHFFFAOYSA-N 0 0 437.460 -0.095 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000786439458 1073723403 /nfs/dbraw/zinc/72/34/03/1073723403.db2.gz GAQZMZDCZYDVCK-UHFFFAOYSA-N 0 0 445.480 -0.557 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1nnnn1-c1ccc(OC(F)F)cc1)C2 ZINC000786440711 1073723264 /nfs/dbraw/zinc/72/32/64/1073723264.db2.gz LZVYFGRQUCXKCT-UHFFFAOYSA-N 0 0 449.422 -0.274 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(CC(=O)Nc1sc3c(c1C(N)=O)CCC3)C2 ZINC000786442044 1073723444 /nfs/dbraw/zinc/72/34/44/1073723444.db2.gz QUBTYSWZKUSAFF-UHFFFAOYSA-N 0 0 447.521 -0.764 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)OCC(=O)NC(N)=O)CC1 ZINC000786443458 1073723249 /nfs/dbraw/zinc/72/32/49/1073723249.db2.gz IKJJCFVPEHTMLZ-UHFFFAOYSA-N 0 0 427.435 -0.388 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)c1nnc2n1CCC2 ZINC000786445750 1073723291 /nfs/dbraw/zinc/72/32/91/1073723291.db2.gz XRMDQCSGNWCDRU-CYBMUJFWSA-N 0 0 449.512 -0.403 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)c1nnc2n1CCC2 ZINC000786445760 1073723375 /nfs/dbraw/zinc/72/33/75/1073723375.db2.gz XRMDQCSGNWCDRU-ZDUSSCGKSA-N 0 0 449.512 -0.403 20 0 IBADRN CNC(=O)NC(=O)COC(=O)C1CCN(S(=O)(=O)c2ccccc2C(=O)OC)CC1 ZINC000786450256 1073723964 /nfs/dbraw/zinc/72/39/64/1073723964.db2.gz AEAIADOJDDJGFS-UHFFFAOYSA-N 0 0 441.462 -0.127 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc(-n4ccnc4)nc3)CC2)ccc1=O ZINC000786451615 1073723932 /nfs/dbraw/zinc/72/39/32/1073723932.db2.gz JLPBYIUJVWSXDS-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)N1CCOCC1 ZINC000786456987 1073723958 /nfs/dbraw/zinc/72/39/58/1073723958.db2.gz FYJDFFQWGFFQNN-KRWDZBQOSA-N 0 0 432.587 -0.915 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)N1CCOCC1 ZINC000786456990 1073723893 /nfs/dbraw/zinc/72/38/93/1073723893.db2.gz FYJDFFQWGFFQNN-QGZVFWFLSA-N 0 0 432.587 -0.915 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000786483485 1073723971 /nfs/dbraw/zinc/72/39/71/1073723971.db2.gz APTWYMUOKTVSSS-CHWSQXEVSA-N 0 0 447.535 -0.333 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000786483486 1073723929 /nfs/dbraw/zinc/72/39/29/1073723929.db2.gz APTWYMUOKTVSSS-OLZOCXBDSA-N 0 0 447.535 -0.333 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000786483488 1073723834 /nfs/dbraw/zinc/72/38/34/1073723834.db2.gz APTWYMUOKTVSSS-QWHCGFSZSA-N 0 0 447.535 -0.333 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000786483489 1073723821 /nfs/dbraw/zinc/72/38/21/1073723821.db2.gz APTWYMUOKTVSSS-STQMWFEESA-N 0 0 447.535 -0.333 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OC[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000786483566 1073723386 /nfs/dbraw/zinc/72/33/86/1073723386.db2.gz YOQBBOZYSXIVFE-GXTWGEPZSA-N 0 0 436.556 -0.081 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OC[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000786483569 1073723459 /nfs/dbraw/zinc/72/34/59/1073723459.db2.gz YOQBBOZYSXIVFE-JSGCOSHPSA-N 0 0 436.556 -0.081 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OC[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000786483573 1073723357 /nfs/dbraw/zinc/72/33/57/1073723357.db2.gz YOQBBOZYSXIVFE-OCCSQVGLSA-N 0 0 436.556 -0.081 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OC[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000786483575 1073723870 /nfs/dbraw/zinc/72/38/70/1073723870.db2.gz YOQBBOZYSXIVFE-TZMCWYRMSA-N 0 0 436.556 -0.081 20 0 IBADRN Cn1c2ncn(CCC(=O)OC[C@@H]3CCCN(S(C)(=O)=O)C3)c2c(=O)n(C)c1=O ZINC000786492125 1073723969 /nfs/dbraw/zinc/72/39/69/1073723969.db2.gz PCUNUVDGMDMRKF-GFCCVEGCSA-N 0 0 427.483 -0.961 20 0 IBADRN Cn1c2ncn(CCC(=O)OC[C@H]3CCCN(S(C)(=O)=O)C3)c2c(=O)n(C)c1=O ZINC000786492126 1073723950 /nfs/dbraw/zinc/72/39/50/1073723950.db2.gz PCUNUVDGMDMRKF-LBPRGKRZSA-N 0 0 427.483 -0.961 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OC[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000786492943 1073723941 /nfs/dbraw/zinc/72/39/41/1073723941.db2.gz ZHVGMUJSFUSTOE-AWEZNQCLSA-N 0 0 449.551 -0.126 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OC[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000786492944 1073723909 /nfs/dbraw/zinc/72/39/09/1073723909.db2.gz ZHVGMUJSFUSTOE-CQSZACIVSA-N 0 0 449.551 -0.126 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)nn1 ZINC000786540004 1073723879 /nfs/dbraw/zinc/72/38/79/1073723879.db2.gz CRJDRYTXDDNUGR-JTQLQIEISA-N 0 0 437.434 -0.767 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)nn1 ZINC000786540008 1073723918 /nfs/dbraw/zinc/72/39/18/1073723918.db2.gz CRJDRYTXDDNUGR-SNVBAGLBSA-N 0 0 437.434 -0.767 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)nn1 ZINC000786545467 1073724388 /nfs/dbraw/zinc/72/43/88/1073724388.db2.gz VNKMTCWVSXBXGS-CYBMUJFWSA-N 0 0 428.467 -0.434 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)nn1 ZINC000786545468 1073724345 /nfs/dbraw/zinc/72/43/45/1073724345.db2.gz VNKMTCWVSXBXGS-ZDUSSCGKSA-N 0 0 428.467 -0.434 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)nn1 ZINC000786546306 1073724231 /nfs/dbraw/zinc/72/42/31/1073724231.db2.gz ZRFAGJZNTIWGIA-UHFFFAOYSA-N 0 0 445.432 -0.603 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N(c2ccccc2)[C@H]2C=CS(=O)(=O)C2)nn1 ZINC000786547116 1073724166 /nfs/dbraw/zinc/72/41/66/1073724166.db2.gz GSYIUDCQHTZISO-AWEZNQCLSA-N 0 0 434.430 -0.048 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N(c2ccccc2)[C@@H]2C=CS(=O)(=O)C2)nn1 ZINC000786547118 1073724427 /nfs/dbraw/zinc/72/44/27/1073724427.db2.gz GSYIUDCQHTZISO-CQSZACIVSA-N 0 0 434.430 -0.048 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)nn1 ZINC000786549367 1073724399 /nfs/dbraw/zinc/72/43/99/1073724399.db2.gz ITWDKESBKGEQDQ-UHFFFAOYSA-N 0 0 443.460 -0.113 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)nn1 ZINC000786551235 1073724250 /nfs/dbraw/zinc/72/42/50/1073724250.db2.gz QTTBZJUFNXYVJM-UHFFFAOYSA-N 0 0 425.423 -0.503 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)Cn2cc(C(=O)OC)nn2)ccc1C ZINC000786552180 1073724266 /nfs/dbraw/zinc/72/42/66/1073724266.db2.gz DHGVZHWLUHPUPH-UHFFFAOYSA-N 0 0 425.423 -0.537 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N([C@H]2CCS(=O)(=O)C2)C2CCCCC2)nn1 ZINC000786555796 1073724955 /nfs/dbraw/zinc/72/49/55/1073724955.db2.gz KDRCTJFVCNVDPZ-AWEZNQCLSA-N 0 0 442.494 -0.044 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N([C@@H]2CCS(=O)(=O)C2)C2CCCCC2)nn1 ZINC000786555806 1073724882 /nfs/dbraw/zinc/72/48/82/1073724882.db2.gz KDRCTJFVCNVDPZ-CQSZACIVSA-N 0 0 442.494 -0.044 20 0 IBADRN COC(=O)c1cn(CC(=O)O[C@H](C)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)nn1 ZINC000786556335 1073724200 /nfs/dbraw/zinc/72/42/00/1073724200.db2.gz PFINCWCOQRPTIF-LLVKDONJSA-N 0 0 439.450 -0.115 20 0 IBADRN COC(=O)c1cn(CC(=O)O[C@@H](C)C(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)nn1 ZINC000786556336 1073724362 /nfs/dbraw/zinc/72/43/62/1073724362.db2.gz PFINCWCOQRPTIF-NSHDSACASA-N 0 0 439.450 -0.115 20 0 IBADRN COC(=O)c1cn(CC(=O)O[C@H](C(=O)N2CCN(c3ncccn3)CC2)C(C)C)nn1 ZINC000786556918 1073724839 /nfs/dbraw/zinc/72/48/39/1073724839.db2.gz CPSHCXXFXCHPIO-INIZCTEOSA-N 0 0 431.453 -0.229 20 0 IBADRN COC(=O)c1cn(CC(=O)O[C@@H](C(=O)N2CCN(c3ncccn3)CC2)C(C)C)nn1 ZINC000786556919 1073724747 /nfs/dbraw/zinc/72/47/47/1073724747.db2.gz CPSHCXXFXCHPIO-MRXNPFEDSA-N 0 0 431.453 -0.229 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)nn1 ZINC000786558189 1073724827 /nfs/dbraw/zinc/72/48/27/1073724827.db2.gz JYPDVYSYWKBWCD-UHFFFAOYSA-N 0 0 439.450 -0.195 20 0 IBADRN COC(=O)c1cn(CC(=O)OCC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)nn1 ZINC000786558249 1073724859 /nfs/dbraw/zinc/72/48/59/1073724859.db2.gz KFNJCMNNHXQNPG-UHFFFAOYSA-N 0 0 430.421 -0.016 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2cc(C(=O)OC)nn2)C1=O ZINC000786558592 1073724816 /nfs/dbraw/zinc/72/48/16/1073724816.db2.gz XIMAATRIJIAUNV-IBGZPJMESA-N 0 0 444.404 -0.504 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)Cn2cc(C(=O)OC)nn2)C1=O ZINC000786558594 1073724800 /nfs/dbraw/zinc/72/48/00/1073724800.db2.gz XIMAATRIJIAUNV-LJQANCHMSA-N 0 0 444.404 -0.504 20 0 IBADRN CCN(C(=O)COC(=O)CNS(=O)(=O)c1cccc(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000786576926 1073723945 /nfs/dbraw/zinc/72/39/45/1073723945.db2.gz YVCZXPDQUKAJSN-CYBMUJFWSA-N 0 0 436.483 -0.317 20 0 IBADRN CCN(C(=O)COC(=O)CNS(=O)(=O)c1cccc(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000786576928 1073723855 /nfs/dbraw/zinc/72/38/55/1073723855.db2.gz YVCZXPDQUKAJSN-ZDUSSCGKSA-N 0 0 436.483 -0.317 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1C(=O)C(F)(F)F)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000786577791 1073723923 /nfs/dbraw/zinc/72/39/23/1073723923.db2.gz WYTSPWMTJCLHKR-BDAKNGLRSA-N 0 0 429.373 -0.904 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1C(=O)C(F)(F)F)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000786577792 1073724339 /nfs/dbraw/zinc/72/43/39/1073724339.db2.gz WYTSPWMTJCLHKR-DTWKUNHWSA-N 0 0 429.373 -0.904 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1C(=O)C(F)(F)F)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000786577793 1073724218 /nfs/dbraw/zinc/72/42/18/1073724218.db2.gz WYTSPWMTJCLHKR-IUCAKERBSA-N 0 0 429.373 -0.904 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1C(=O)C(F)(F)F)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000786577794 1073724149 /nfs/dbraw/zinc/72/41/49/1073724149.db2.gz WYTSPWMTJCLHKR-RKDXNWHRSA-N 0 0 429.373 -0.904 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H]1CCCN1C(=O)C(F)(F)F)c(=O)n2C ZINC000786579856 1073724306 /nfs/dbraw/zinc/72/43/06/1073724306.db2.gz TVADAPMFYBQTTM-JTQLQIEISA-N 0 0 431.371 -0.080 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H]1CCCN1C(=O)C(F)(F)F)c(=O)n2C ZINC000786579858 1073724379 /nfs/dbraw/zinc/72/43/79/1073724379.db2.gz TVADAPMFYBQTTM-SNVBAGLBSA-N 0 0 431.371 -0.080 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)ccn1 ZINC000786583156 1073724319 /nfs/dbraw/zinc/72/43/19/1073724319.db2.gz NHQCVQBNXMGADY-UHFFFAOYSA-N 0 0 443.485 0.000 20 0 IBADRN COc1cc(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)ccn1 ZINC000786583634 1073724287 /nfs/dbraw/zinc/72/42/87/1073724287.db2.gz PUPQERCQVQHKHF-UHFFFAOYSA-N 0 0 435.481 -0.098 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)CNS(=O)(=O)c2cccc(F)c2)CC1 ZINC000786585847 1073724186 /nfs/dbraw/zinc/72/41/86/1073724186.db2.gz YVAOWJPJDVDKMH-UHFFFAOYSA-N 0 0 431.442 -0.052 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@H](C)CN(C)S(C)(=O)=O)c1 ZINC000786590292 1073725281 /nfs/dbraw/zinc/72/52/81/1073725281.db2.gz ZSCFLNKVRWCLNW-CYBMUJFWSA-N 0 0 437.540 -0.298 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@@H](C)CN(C)S(C)(=O)=O)c1 ZINC000786590294 1073725316 /nfs/dbraw/zinc/72/53/16/1073725316.db2.gz ZSCFLNKVRWCLNW-ZDUSSCGKSA-N 0 0 437.540 -0.298 20 0 IBADRN C[C@H](CN(C)S(C)(=O)=O)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000786598550 1073724922 /nfs/dbraw/zinc/72/49/22/1073724922.db2.gz WJLYNMFZXSFQEO-MRVPVSSYSA-N 0 0 436.288 -0.284 20 0 IBADRN C[C@@H](CN(C)S(C)(=O)=O)OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000786598551 1073724778 /nfs/dbraw/zinc/72/47/78/1073724778.db2.gz WJLYNMFZXSFQEO-QMMMGPOBSA-N 0 0 436.288 -0.284 20 0 IBADRN C[C@H](CN(C)S(C)(=O)=O)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000786607465 1073724874 /nfs/dbraw/zinc/72/48/74/1073724874.db2.gz NRPLTNVKALETDF-GFCCVEGCSA-N 0 0 433.508 -0.756 20 0 IBADRN C[C@@H](CN(C)S(C)(=O)=O)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000786607473 1073725421 /nfs/dbraw/zinc/72/54/21/1073725421.db2.gz NRPLTNVKALETDF-LBPRGKRZSA-N 0 0 433.508 -0.756 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)O[C@H](C)CN(C)S(C)(=O)=O)CC2)cn1C ZINC000786611280 1073725428 /nfs/dbraw/zinc/72/54/28/1073725428.db2.gz RTRUAVUMOXEHLB-GFCCVEGCSA-N 0 0 436.556 -0.048 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)O[C@@H](C)CN(C)S(C)(=O)=O)CC2)cn1C ZINC000786611285 1073725305 /nfs/dbraw/zinc/72/53/05/1073725305.db2.gz RTRUAVUMOXEHLB-LBPRGKRZSA-N 0 0 436.556 -0.048 20 0 IBADRN O=C(CCNC(=O)C(=O)NCc1ccc(Cl)nc1)N1CCN(c2ncccn2)CC1 ZINC000786613765 1073725404 /nfs/dbraw/zinc/72/54/04/1073725404.db2.gz GUSHNUFQNYFGIE-UHFFFAOYSA-N 0 0 431.884 -0.004 20 0 IBADRN C[C@H](CN(C)S(C)(=O)=O)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000786615769 1073725416 /nfs/dbraw/zinc/72/54/16/1073725416.db2.gz RMQQZWXVTKPPBJ-GFCCVEGCSA-N 0 0 433.508 -0.756 20 0 IBADRN C[C@@H](CN(C)S(C)(=O)=O)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000786615771 1073725227 /nfs/dbraw/zinc/72/52/27/1073725227.db2.gz RMQQZWXVTKPPBJ-LBPRGKRZSA-N 0 0 433.508 -0.756 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@H](C)CN(C)S(C)(=O)=O)cc2S1(=O)=O ZINC000786617853 1073725291 /nfs/dbraw/zinc/72/52/91/1073725291.db2.gz RSUWOVWXRULDIW-LLVKDONJSA-N 0 0 434.492 -0.086 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@@H](C)CN(C)S(C)(=O)=O)cc2S1(=O)=O ZINC000786617868 1073725338 /nfs/dbraw/zinc/72/53/38/1073725338.db2.gz RSUWOVWXRULDIW-NSHDSACASA-N 0 0 434.492 -0.086 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc(Cl)nc3)CC2)cn1C ZINC000786618287 1073725459 /nfs/dbraw/zinc/72/54/59/1073725459.db2.gz FSCMFRVTXUHEPQ-UHFFFAOYSA-N 0 0 440.913 -0.074 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCc1cn(-c3ccc(F)cc3)nn1)c(=O)n2C ZINC000786619826 1073725371 /nfs/dbraw/zinc/72/53/71/1073725371.db2.gz HWZDQRQAVSCKEH-UHFFFAOYSA-N 0 0 427.396 -0.061 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCc2cscn2)CC1 ZINC000786622440 1073725324 /nfs/dbraw/zinc/72/53/24/1073725324.db2.gz ACQZLHWYRFCYBN-UHFFFAOYSA-N 0 0 425.511 -0.003 20 0 IBADRN Cn1c2ncn(CC(=O)OCCc3cn(-c4ccc(F)cc4)nn3)c2c(=O)n(C)c1=O ZINC000786624205 1073725270 /nfs/dbraw/zinc/72/52/70/1073725270.db2.gz JTCLHPLTUUSFFW-UHFFFAOYSA-N 0 0 427.396 -0.061 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000786646892 1073727337 /nfs/dbraw/zinc/72/73/37/1073727337.db2.gz AJFSJLASPDVGPP-UHFFFAOYSA-N 0 0 447.540 -0.160 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000786646909 1073727234 /nfs/dbraw/zinc/72/72/34/1073727234.db2.gz AOBAADZKWVRHFE-INIZCTEOSA-N 0 0 433.513 -0.548 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000786646914 1073727262 /nfs/dbraw/zinc/72/72/62/1073727262.db2.gz AOBAADZKWVRHFE-MRXNPFEDSA-N 0 0 433.513 -0.548 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000786647024 1073727381 /nfs/dbraw/zinc/72/73/81/1073727381.db2.gz BSMVKRCUGMSLII-KRWDZBQOSA-N 0 0 448.524 -0.857 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000786647027 1073727138 /nfs/dbraw/zinc/72/71/38/1073727138.db2.gz BSMVKRCUGMSLII-QGZVFWFLSA-N 0 0 448.524 -0.857 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC(C2OCCO2)CC1 ZINC000786647036 1073727398 /nfs/dbraw/zinc/72/73/98/1073727398.db2.gz BXDHMSKSZKKYRH-UHFFFAOYSA-N 0 0 432.525 -0.284 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@H](C2OCCO2)C1 ZINC000786647106 1073727249 /nfs/dbraw/zinc/72/72/49/1073727249.db2.gz CSIGGLTWUNMKFV-KRWDZBQOSA-N 0 0 432.525 -0.284 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCC[C@@H](C2OCCO2)C1 ZINC000786647108 1073727364 /nfs/dbraw/zinc/72/73/64/1073727364.db2.gz CSIGGLTWUNMKFV-QGZVFWFLSA-N 0 0 432.525 -0.284 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NC[C@H]1COC2(CCCC2)O1 ZINC000786647472 1073727918 /nfs/dbraw/zinc/72/79/18/1073727918.db2.gz DFDNMTWBCOCUES-KRWDZBQOSA-N 0 0 432.525 -0.093 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NC[C@@H]1COC2(CCCC2)O1 ZINC000786647485 1073727433 /nfs/dbraw/zinc/72/74/33/1073727433.db2.gz DFDNMTWBCOCUES-QGZVFWFLSA-N 0 0 432.525 -0.093 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@H]1C1OCCO1 ZINC000786647579 1073727176 /nfs/dbraw/zinc/72/71/76/1073727176.db2.gz FVBZPMCOILGENN-KRWDZBQOSA-N 0 0 432.525 -0.141 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)N1CCCC[C@@H]1C1OCCO1 ZINC000786647580 1073727896 /nfs/dbraw/zinc/72/78/96/1073727896.db2.gz FVBZPMCOILGENN-QGZVFWFLSA-N 0 0 432.525 -0.141 20 0 IBADRN CN(Cc1nnc2ccccn21)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000786648155 1073727297 /nfs/dbraw/zinc/72/72/97/1073727297.db2.gz ICKOSKVGEPEVHO-UHFFFAOYSA-N 0 0 437.508 -0.194 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000786648599 1073728006 /nfs/dbraw/zinc/72/80/06/1073728006.db2.gz KKMUPUMUHCJIMJ-KRWDZBQOSA-N 0 0 447.540 -0.158 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC000786648603 1073727971 /nfs/dbraw/zinc/72/79/71/1073727971.db2.gz KKMUPUMUHCJIMJ-QGZVFWFLSA-N 0 0 447.540 -0.158 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000786648612 1073727867 /nfs/dbraw/zinc/72/78/67/1073727867.db2.gz KRAAEGWUWWBICU-UHFFFAOYSA-N 0 0 433.513 -0.594 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)NC1CCN(C(=O)C2CC2)CC1 ZINC000786648664 1073728035 /nfs/dbraw/zinc/72/80/35/1073728035.db2.gz KXGCGGNAKNUSCE-UHFFFAOYSA-N 0 0 426.514 -0.151 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1)C1CC1 ZINC000786649602 1073725386 /nfs/dbraw/zinc/72/53/86/1073725386.db2.gz UCLMSIXOWMBTFV-UHFFFAOYSA-N 0 0 438.554 -0.860 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC000786652735 1073726280 /nfs/dbraw/zinc/72/62/80/1073726280.db2.gz AQHJRWJURWDTKW-UHFFFAOYSA-N 0 0 440.570 -0.614 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O ZINC000786654225 1073725881 /nfs/dbraw/zinc/72/58/81/1073725881.db2.gz HSRLOZXREJOKHW-UHFFFAOYSA-N 0 0 430.527 -0.360 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)NC1CCC2(CC1)OCCO2 ZINC000786656228 1073726310 /nfs/dbraw/zinc/72/63/10/1073726310.db2.gz MXIKFQSYVMOBOR-UHFFFAOYSA-N 0 0 432.525 -0.093 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCCCN1C(=O)NC2(CCCCC2)C1=O)C1CC1 ZINC000786657828 1073726045 /nfs/dbraw/zinc/72/60/45/1073726045.db2.gz RXCYNELUEQMGOA-UHFFFAOYSA-N 0 0 442.538 -0.217 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000786659457 1073726224 /nfs/dbraw/zinc/72/62/24/1073726224.db2.gz ZOKGJYSVSYKAHH-UHFFFAOYSA-N 0 0 447.540 -0.204 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000786661478 1073726753 /nfs/dbraw/zinc/72/67/53/1073726753.db2.gz AIMBSYZGVROEQX-UHFFFAOYSA-N 0 0 425.916 -0.715 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000786661487 1073726799 /nfs/dbraw/zinc/72/67/99/1073726799.db2.gz AMVQMWDBPRTJSP-UHFFFAOYSA-N 0 0 426.495 -0.183 20 0 IBADRN Cn1ccnc1[C@H](O)[C@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000786661969 1073726731 /nfs/dbraw/zinc/72/67/31/1073726731.db2.gz DOEOQNZEZMSNNH-DOTOQJQBSA-N 0 0 449.533 -0.213 20 0 IBADRN Cn1ccnc1[C@H](O)[C@@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000786661970 1073726760 /nfs/dbraw/zinc/72/67/60/1073726760.db2.gz DOEOQNZEZMSNNH-NVXWUHKLSA-N 0 0 449.533 -0.213 20 0 IBADRN Cn1ccnc1[C@@H](O)[C@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000786661971 1073726707 /nfs/dbraw/zinc/72/67/07/1073726707.db2.gz DOEOQNZEZMSNNH-RDJZCZTQSA-N 0 0 449.533 -0.213 20 0 IBADRN Cn1ccnc1[C@@H](O)[C@@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000786661972 1073726776 /nfs/dbraw/zinc/72/67/76/1073726776.db2.gz DOEOQNZEZMSNNH-WBVHZDCISA-N 0 0 449.533 -0.213 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1cccnc1-n1ccnc1 ZINC000786662327 1073726242 /nfs/dbraw/zinc/72/62/42/1073726242.db2.gz HQJNYTREGPBDJG-UHFFFAOYSA-N 0 0 428.474 -0.022 20 0 IBADRN Cn1cc([C@@H](O)C[C@H]2CCCN2C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cn1 ZINC000786662541 1073726768 /nfs/dbraw/zinc/72/67/68/1073726768.db2.gz JFTIVUQZSIGWIJ-AEFFLSMTSA-N 0 0 449.533 -0.071 20 0 IBADRN Cn1cc([C@H](O)C[C@@H]2CCCN2C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cn1 ZINC000786662542 1073726779 /nfs/dbraw/zinc/72/67/79/1073726779.db2.gz JFTIVUQZSIGWIJ-FUHWJXTLSA-N 0 0 449.533 -0.071 20 0 IBADRN Cn1cc([C@H](O)C[C@H]2CCCN2C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cn1 ZINC000786662543 1073726808 /nfs/dbraw/zinc/72/68/08/1073726808.db2.gz JFTIVUQZSIGWIJ-SJLPKXTDSA-N 0 0 449.533 -0.071 20 0 IBADRN Cn1cc([C@@H](O)C[C@@H]2CCCN2C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)cn1 ZINC000786662544 1073726735 /nfs/dbraw/zinc/72/67/35/1073726735.db2.gz JFTIVUQZSIGWIJ-WMZOPIPTSA-N 0 0 449.533 -0.071 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000786662669 1073726713 /nfs/dbraw/zinc/72/67/13/1073726713.db2.gz JVVIWHABDXNDNF-UHFFFAOYSA-N 0 0 426.495 -0.228 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)c3cn(CC(N)=O)nn3)cn2)CC1 ZINC000786662750 1073726697 /nfs/dbraw/zinc/72/66/97/1073726697.db2.gz AIRWRGSQLXTOHC-UHFFFAOYSA-N 0 0 431.457 -0.137 20 0 IBADRN Cn1ccnc1[C@@H](O)C1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000786663136 1073726771 /nfs/dbraw/zinc/72/67/71/1073726771.db2.gz NXDDVKLFJJCVLO-KRWDZBQOSA-N 0 0 449.533 -0.213 20 0 IBADRN Cn1ccnc1[C@H](O)C1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000786663138 1073726804 /nfs/dbraw/zinc/72/68/04/1073726804.db2.gz NXDDVKLFJJCVLO-QGZVFWFLSA-N 0 0 449.533 -0.213 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000786663160 1073726765 /nfs/dbraw/zinc/72/67/65/1073726765.db2.gz OICJKKGDDDVHBW-AWEZNQCLSA-N 0 0 427.479 -0.881 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000786663172 1073726750 /nfs/dbraw/zinc/72/67/50/1073726750.db2.gz OICJKKGDDDVHBW-CQSZACIVSA-N 0 0 427.479 -0.881 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000786663538 1073727202 /nfs/dbraw/zinc/72/72/02/1073727202.db2.gz RHUYLVGBHRBMIG-AWEZNQCLSA-N 0 0 426.495 -0.182 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC000786663540 1073727159 /nfs/dbraw/zinc/72/71/59/1073727159.db2.gz RHUYLVGBHRBMIG-CQSZACIVSA-N 0 0 426.495 -0.182 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000786663770 1073727287 /nfs/dbraw/zinc/72/72/87/1073727287.db2.gz UDIBGFQUNHTGKT-UHFFFAOYSA-N 0 0 425.916 -0.763 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC000786664032 1073727419 /nfs/dbraw/zinc/72/74/19/1073727419.db2.gz UYVQXRPALRWAMX-UHFFFAOYSA-N 0 0 439.943 -0.372 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCCNS(=O)(=O)c3ccccc3)C[C@H]1COCC2 ZINC000786664313 1073726784 /nfs/dbraw/zinc/72/67/84/1073726784.db2.gz YUSOSWLVJQVMNT-IFXJQAMLSA-N 0 0 439.490 -0.881 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)NCc1ccc(-n2cncn2)nc1 ZINC000786664326 1073727332 /nfs/dbraw/zinc/72/73/32/1073727332.db2.gz ZIMGSLMCRVTLNY-UHFFFAOYSA-N 0 0 429.462 -0.627 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)C1=O ZINC000786666028 1073727225 /nfs/dbraw/zinc/72/72/25/1073727225.db2.gz ODLRUQODJHQXIA-UHFFFAOYSA-N 0 0 447.452 -0.107 20 0 IBADRN COc1ccc(OC)c(CCNC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC000786681300 1073728550 /nfs/dbraw/zinc/72/85/50/1073728550.db2.gz CPCRLACDJGFZDH-UHFFFAOYSA-N 0 0 441.550 -0.012 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCCNC(=O)OC(C)(C)C)CC1 ZINC000786701672 1073727883 /nfs/dbraw/zinc/72/78/83/1073727883.db2.gz GLDFBHRIPDYOFO-UHFFFAOYSA-N 0 0 432.485 -0.347 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000786701996 1073727857 /nfs/dbraw/zinc/72/78/57/1073727857.db2.gz GHTAHPPTOHXLLG-AWEZNQCLSA-N 0 0 448.542 -0.720 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000786702110 1073727931 /nfs/dbraw/zinc/72/79/31/1073727931.db2.gz GHTAHPPTOHXLLG-CQSZACIVSA-N 0 0 448.542 -0.720 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000786705342 1073727959 /nfs/dbraw/zinc/72/79/59/1073727959.db2.gz VIINWLPOIWTOPZ-UHFFFAOYSA-N 0 0 449.512 -0.728 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000786705633 1073727829 /nfs/dbraw/zinc/72/78/29/1073727829.db2.gz UPEWRVYXJUBJDX-UHFFFAOYSA-N 0 0 448.524 -0.123 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCCNC(=O)OC(C)(C)C)CC2)no1 ZINC000786706446 1073728046 /nfs/dbraw/zinc/72/80/46/1073728046.db2.gz YYICDAPKWACESS-UHFFFAOYSA-N 0 0 438.485 -0.293 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCNC(=O)OC(C)(C)C)CCN1c1nccn2cnnc12 ZINC000786708321 1073727818 /nfs/dbraw/zinc/72/78/18/1073727818.db2.gz RICQJJRWKCVNSR-CYBMUJFWSA-N 0 0 432.485 -0.198 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCNC(=O)OC(C)(C)C)CCN1c1nccn2cnnc12 ZINC000786708325 1073727926 /nfs/dbraw/zinc/72/79/26/1073727926.db2.gz RICQJJRWKCVNSR-ZDUSSCGKSA-N 0 0 432.485 -0.198 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)C(=O)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000786709032 1073728056 /nfs/dbraw/zinc/72/80/56/1073728056.db2.gz SMFNCTMVGIKHCI-UHFFFAOYSA-N 0 0 425.530 -0.216 20 0 IBADRN COCCN1CCCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC000786714215 1073727908 /nfs/dbraw/zinc/72/79/08/1073727908.db2.gz PSLWVWRBMVDQSO-UHFFFAOYSA-N 0 0 441.529 -0.044 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCCNC(=O)OC(C)(C)C)CC2)CC1 ZINC000786715302 1073727842 /nfs/dbraw/zinc/72/78/42/1073727842.db2.gz CBMFBIQUYPBEPH-UHFFFAOYSA-N 0 0 425.530 -0.360 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)OC(C)(C)C)CC1 ZINC000786717061 1073728026 /nfs/dbraw/zinc/72/80/26/1073728026.db2.gz DYCHAEZHPYCVTJ-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCCNC(=O)OC(C)(C)C)CC1 ZINC000786717062 1073728620 /nfs/dbraw/zinc/72/86/20/1073728620.db2.gz DYCHAEZHPYCVTJ-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000786718723 1073727942 /nfs/dbraw/zinc/72/79/42/1073727942.db2.gz UOOJZYQKTIKDHV-UHFFFAOYSA-N 0 0 447.492 -0.484 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000786720942 1073729032 /nfs/dbraw/zinc/72/90/32/1073729032.db2.gz GSNWDDBEVWDXGS-UHFFFAOYSA-N 0 0 445.498 -0.711 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCNC(=O)OC(C)(C)C)CC2)n1 ZINC000786724789 1073728531 /nfs/dbraw/zinc/72/85/31/1073728531.db2.gz PSJDTVTVGCIIMH-UHFFFAOYSA-N 0 0 426.474 -0.499 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n1 ZINC000786730176 1073728522 /nfs/dbraw/zinc/72/85/22/1073728522.db2.gz QRILMCZDSBDWRE-UHFFFAOYSA-N 0 0 436.560 -0.418 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)n1 ZINC000786733868 1073728436 /nfs/dbraw/zinc/72/84/36/1073728436.db2.gz CHOARCCSMZMIDM-UHFFFAOYSA-N 0 0 442.542 -0.171 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n1 ZINC000786733894 1073728544 /nfs/dbraw/zinc/72/85/44/1073728544.db2.gz CRDSYCAAUQEKRB-UHFFFAOYSA-N 0 0 440.526 -0.419 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)NCCS(=O)(=O)N2CCOCC2)n1 ZINC000786734102 1073728448 /nfs/dbraw/zinc/72/84/48/1073728448.db2.gz FMJSHRUCDLJPBM-UHFFFAOYSA-N 0 0 437.544 -0.590 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000786734994 1073728401 /nfs/dbraw/zinc/72/84/01/1073728401.db2.gz NQTBMVZZFUTPKR-UHFFFAOYSA-N 0 0 425.530 -0.216 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000786736083 1073728513 /nfs/dbraw/zinc/72/85/13/1073728513.db2.gz UPHPWQNUZOHQNX-UHFFFAOYSA-N 0 0 438.572 -0.488 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000786736179 1073728578 /nfs/dbraw/zinc/72/85/78/1073728578.db2.gz VOYLAMCFCJDSAM-UHFFFAOYSA-N 0 0 434.497 -0.140 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000786736373 1073728423 /nfs/dbraw/zinc/72/84/23/1073728423.db2.gz FVYXOXYKTFWPKU-IBGZPJMESA-N 0 0 425.486 -0.146 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000786736374 1073728506 /nfs/dbraw/zinc/72/85/06/1073728506.db2.gz FVYXOXYKTFWPKU-LJQANCHMSA-N 0 0 425.486 -0.146 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCCNC(=O)OC(C)(C)C)CC1 ZINC000786736922 1073728587 /nfs/dbraw/zinc/72/85/87/1073728587.db2.gz CUWKYQHKYSZIKN-AWEZNQCLSA-N 0 0 425.530 -0.171 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCCNC(=O)OC(C)(C)C)CC1 ZINC000786736926 1073728461 /nfs/dbraw/zinc/72/84/61/1073728461.db2.gz CUWKYQHKYSZIKN-CQSZACIVSA-N 0 0 425.530 -0.171 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n1 ZINC000786738896 1073728615 /nfs/dbraw/zinc/72/86/15/1073728615.db2.gz LBLFSAGROOUWIR-UHFFFAOYSA-N 0 0 442.542 -0.173 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2CCN(CC(=O)NCCOC)CC2)n1 ZINC000786740213 1073728603 /nfs/dbraw/zinc/72/86/03/1073728603.db2.gz PVJLKEVJUYJPBA-UHFFFAOYSA-N 0 0 444.558 -0.462 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000786741621 1073728413 /nfs/dbraw/zinc/72/84/13/1073728413.db2.gz ZLELVXJCSIGWRV-UHFFFAOYSA-N 0 0 441.529 -0.980 20 0 IBADRN COc1cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cnc1Br ZINC000786742040 1073728593 /nfs/dbraw/zinc/72/85/93/1073728593.db2.gz BUDXOUWLBPBLAW-UHFFFAOYSA-N 0 0 427.211 -0.128 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000786747856 1073729122 /nfs/dbraw/zinc/72/91/22/1073729122.db2.gz SOWPSGGYVBDYOT-UHFFFAOYSA-N 0 0 441.529 -0.980 20 0 IBADRN CC(C)(C)OC(=O)NCCCNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000786748206 1073729105 /nfs/dbraw/zinc/72/91/05/1073729105.db2.gz WICNWQFTDSRLLM-UHFFFAOYSA-N 0 0 434.497 -0.140 20 0 IBADRN CCOC(CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)OCC ZINC000786769404 1073729509 /nfs/dbraw/zinc/72/95/09/1073729509.db2.gz OFSSJOOYHSAVHW-UHFFFAOYSA-N 0 0 445.542 -0.533 20 0 IBADRN CCOC(CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)OCC ZINC000786772213 1073729533 /nfs/dbraw/zinc/72/95/33/1073729533.db2.gz JJIUMKAQMOVVQO-UHFFFAOYSA-N 0 0 432.499 -0.446 20 0 IBADRN CCOC(CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)OCC ZINC000786775708 1073729722 /nfs/dbraw/zinc/72/97/22/1073729722.db2.gz XSFQOGCVWNKYLS-HNNXBMFYSA-N 0 0 435.543 -0.455 20 0 IBADRN CCOC(CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)OCC ZINC000786775733 1073729683 /nfs/dbraw/zinc/72/96/83/1073729683.db2.gz XSFQOGCVWNKYLS-OAHLLOKOSA-N 0 0 435.543 -0.455 20 0 IBADRN O=C(c1ccc(NCCS(=O)(=O)N2CCOCC2)nc1)N1CCS(=O)(=O)CC1 ZINC000786782560 1073729712 /nfs/dbraw/zinc/72/97/12/1073729712.db2.gz OQQQKTLCLGOPDV-UHFFFAOYSA-N 0 0 432.524 -0.974 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000786784491 1073729668 /nfs/dbraw/zinc/72/96/68/1073729668.db2.gz BRHRGYVVSYCDMD-INIZCTEOSA-N 0 0 435.912 -0.263 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000786784492 1073729483 /nfs/dbraw/zinc/72/94/83/1073729483.db2.gz BRHRGYVVSYCDMD-MRXNPFEDSA-N 0 0 435.912 -0.263 20 0 IBADRN CC(C)(COCC(F)F)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000786794347 1073729591 /nfs/dbraw/zinc/72/95/91/1073729591.db2.gz WCWYLVPHXXHOBA-GFCCVEGCSA-N 0 0 432.511 -0.045 20 0 IBADRN CC(C)(COCC(F)F)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000786794348 1073729703 /nfs/dbraw/zinc/72/97/03/1073729703.db2.gz WCWYLVPHXXHOBA-LBPRGKRZSA-N 0 0 432.511 -0.045 20 0 IBADRN COc1cc2c(cc1OC)CN(CC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)CC2 ZINC000786808703 1073730292 /nfs/dbraw/zinc/73/02/92/1073730292.db2.gz DACAMNHYXNTBBJ-UHFFFAOYSA-N 0 0 446.460 -0.532 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN(C(=O)NC3CCCC3)C2)c(=O)n(C)c1=O ZINC000786809869 1073730305 /nfs/dbraw/zinc/73/03/05/1073730305.db2.gz RSZDTKMQEUOJMJ-GFCCVEGCSA-N 0 0 435.481 -0.244 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN(C(=O)NC3CCCC3)C2)c(=O)n(C)c1=O ZINC000786809873 1073730133 /nfs/dbraw/zinc/73/01/33/1073730133.db2.gz RSZDTKMQEUOJMJ-LBPRGKRZSA-N 0 0 435.481 -0.244 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC000786810171 1073730239 /nfs/dbraw/zinc/73/02/39/1073730239.db2.gz RFNBJSINYRXWQQ-UHFFFAOYSA-N 0 0 446.508 -0.173 20 0 IBADRN CCOCCNC(=O)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000786814874 1073730042 /nfs/dbraw/zinc/73/00/42/1073730042.db2.gz FTXUJRZXNURFFX-UHFFFAOYSA-N 0 0 448.542 -0.768 20 0 IBADRN CC(C)Oc1cccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000786825136 1073730201 /nfs/dbraw/zinc/73/02/01/1073730201.db2.gz AFJYSORUVHTHLS-UHFFFAOYSA-N 0 0 446.504 -0.380 20 0 IBADRN CC(C)Oc1cccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000786843467 1073731233 /nfs/dbraw/zinc/73/12/33/1073731233.db2.gz RFFAMVSAVKTCEK-UHFFFAOYSA-N 0 0 426.539 -0.217 20 0 IBADRN CC(C)Oc1cccc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000786844602 1073731206 /nfs/dbraw/zinc/73/12/06/1073731206.db2.gz XWWLBQBAWNAPSQ-UHFFFAOYSA-N 0 0 444.492 -0.421 20 0 IBADRN O=C(NCCC1CS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000786845278 1073730181 /nfs/dbraw/zinc/73/01/81/1073730181.db2.gz WCZGOKJSKCWDRD-UHFFFAOYSA-N 0 0 426.520 -0.103 20 0 IBADRN O=C(COC(=O)C1CN(CC(F)(F)F)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000786845983 1073730327 /nfs/dbraw/zinc/73/03/27/1073730327.db2.gz HCALCZKWFWBMAY-CYBMUJFWSA-N 0 0 427.445 -0.645 20 0 IBADRN O=C(COC(=O)C1CN(CC(F)(F)F)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000786845985 1073730157 /nfs/dbraw/zinc/73/01/57/1073730157.db2.gz HCALCZKWFWBMAY-ZDUSSCGKSA-N 0 0 427.445 -0.645 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000786848005 1073730254 /nfs/dbraw/zinc/73/02/54/1073730254.db2.gz UXIMUFKGLHSTAO-UHFFFAOYSA-N 0 0 426.488 -0.209 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000786854075 1073730226 /nfs/dbraw/zinc/73/02/26/1073730226.db2.gz CKSNKHVGLVBCKB-KRWDZBQOSA-N 0 0 436.490 -0.600 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC000786854077 1073730022 /nfs/dbraw/zinc/73/00/22/1073730022.db2.gz CKSNKHVGLVBCKB-QGZVFWFLSA-N 0 0 436.490 -0.600 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)OCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)cn1 ZINC000786854960 1073730122 /nfs/dbraw/zinc/73/01/22/1073730122.db2.gz PUPZRPGBVRYRPQ-HRAATJIYSA-N 0 0 443.460 -0.159 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)OCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)cn1 ZINC000786854979 1073730111 /nfs/dbraw/zinc/73/01/11/1073730111.db2.gz PUPZRPGBVRYRPQ-IERDGZPVSA-N 0 0 443.460 -0.159 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)OCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)cn1 ZINC000786854982 1073730077 /nfs/dbraw/zinc/73/00/77/1073730077.db2.gz PUPZRPGBVRYRPQ-IIBYNOLFSA-N 0 0 443.460 -0.159 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)OCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)cn1 ZINC000786854985 1073730314 /nfs/dbraw/zinc/73/03/14/1073730314.db2.gz PUPZRPGBVRYRPQ-KKSFZXQISA-N 0 0 443.460 -0.159 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)OCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000786856101 1073730871 /nfs/dbraw/zinc/73/08/71/1073730871.db2.gz KLTCZXSMQHMREO-GOSISDBHSA-N 0 0 439.490 -0.033 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)OCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000786856102 1073730694 /nfs/dbraw/zinc/73/06/94/1073730694.db2.gz KLTCZXSMQHMREO-SFHVURJKSA-N 0 0 439.490 -0.033 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)OCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)cn1 ZINC000786862309 1073730828 /nfs/dbraw/zinc/73/08/28/1073730828.db2.gz MWYACUCYPZICLA-GOSISDBHSA-N 0 0 447.467 -0.211 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)OCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)cn1 ZINC000786862310 1073730791 /nfs/dbraw/zinc/73/07/91/1073730791.db2.gz MWYACUCYPZICLA-SFHVURJKSA-N 0 0 447.467 -0.211 20 0 IBADRN Cn1cc(C[C@H](O)C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)cn1 ZINC000786862676 1073730755 /nfs/dbraw/zinc/73/07/55/1073730755.db2.gz NZTTXUGNLFYAPT-HNNXBMFYSA-N 0 0 441.444 -0.760 20 0 IBADRN Cn1cc(C[C@@H](O)C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)cn1 ZINC000786862701 1073730781 /nfs/dbraw/zinc/73/07/81/1073730781.db2.gz NZTTXUGNLFYAPT-OAHLLOKOSA-N 0 0 441.444 -0.760 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](O)Cc3cnn(C)c3)C2=O)cc1 ZINC000786863774 1073730857 /nfs/dbraw/zinc/73/08/57/1073730857.db2.gz RKHIUHIJSUYJDH-FOIQADDNSA-N 0 0 445.432 -0.626 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](O)Cc3cnn(C)c3)C2=O)cc1 ZINC000786863794 1073730743 /nfs/dbraw/zinc/73/07/43/1073730743.db2.gz RKHIUHIJSUYJDH-MGPUTAFESA-N 0 0 445.432 -0.626 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](O)Cc3cnn(C)c3)C2=O)cc1 ZINC000786863795 1073730769 /nfs/dbraw/zinc/73/07/69/1073730769.db2.gz RKHIUHIJSUYJDH-QRWLVFNGSA-N 0 0 445.432 -0.626 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](O)Cc3cnn(C)c3)C2=O)cc1 ZINC000786863796 1073730773 /nfs/dbraw/zinc/73/07/73/1073730773.db2.gz RKHIUHIJSUYJDH-YWZLYKJASA-N 0 0 445.432 -0.626 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H](O)Cc2cnn(C)c2)C1=O ZINC000786867901 1073730736 /nfs/dbraw/zinc/73/07/36/1073730736.db2.gz BBTMYDOPYKHXCE-FOIQADDNSA-N 0 0 429.433 -0.245 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H](O)Cc2cnn(C)c2)C1=O ZINC000786867902 1073730720 /nfs/dbraw/zinc/73/07/20/1073730720.db2.gz BBTMYDOPYKHXCE-MGPUTAFESA-N 0 0 429.433 -0.245 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H](O)Cc2cnn(C)c2)C1=O ZINC000786867903 1073730765 /nfs/dbraw/zinc/73/07/65/1073730765.db2.gz BBTMYDOPYKHXCE-QRWLVFNGSA-N 0 0 429.433 -0.245 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H](O)Cc2cnn(C)c2)C1=O ZINC000786867904 1073730802 /nfs/dbraw/zinc/73/08/02/1073730802.db2.gz BBTMYDOPYKHXCE-YWZLYKJASA-N 0 0 429.433 -0.245 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@@H](O)Cc2cnn(C)c2)cc1S(=O)(=O)N(C)C ZINC000786873239 1073730709 /nfs/dbraw/zinc/73/07/09/1073730709.db2.gz ZJMPFRQHLMTFPW-AWEZNQCLSA-N 0 0 440.478 -0.236 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@H](O)Cc2cnn(C)c2)cc1S(=O)(=O)N(C)C ZINC000786873240 1073730798 /nfs/dbraw/zinc/73/07/98/1073730798.db2.gz ZJMPFRQHLMTFPW-CQSZACIVSA-N 0 0 440.478 -0.236 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)N1CCCCC1)CCNS(=O)(=O)N1CCCCC1 ZINC000786908798 1073731168 /nfs/dbraw/zinc/73/11/68/1073731168.db2.gz AMSXCOZXXYLAJI-UHFFFAOYSA-N 0 0 446.617 -0.958 20 0 IBADRN C[C@H]1CCCN1S(=O)(=O)NCCS(=O)(=O)CCNS(=O)(=O)N1CCC[C@@H]1C ZINC000786909343 1073731184 /nfs/dbraw/zinc/73/11/84/1073731184.db2.gz HVUVYKQZVNUNIR-KBPBESRZSA-N 0 0 446.617 -0.961 20 0 IBADRN C[C@@H]1CCCN1S(=O)(=O)NCCS(=O)(=O)CCNS(=O)(=O)N1CCC[C@@H]1C ZINC000786909344 1073731323 /nfs/dbraw/zinc/73/13/23/1073731323.db2.gz HVUVYKQZVNUNIR-OKILXGFUSA-N 0 0 446.617 -0.961 20 0 IBADRN C[C@@H]1CCCN1S(=O)(=O)NCCS(=O)(=O)CCNS(=O)(=O)N1CCC[C@H]1C ZINC000786909345 1073731376 /nfs/dbraw/zinc/73/13/76/1073731376.db2.gz HVUVYKQZVNUNIR-ZIAGYGMSSA-N 0 0 446.617 -0.961 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2Cl)CC1 ZINC000786909395 1073731262 /nfs/dbraw/zinc/73/12/62/1073731262.db2.gz JMQDFKRYRPBWSW-UHFFFAOYSA-N 0 0 431.945 -0.486 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)N2CCC(O)(C(N)=O)CC2)c1 ZINC000786909404 1073731335 /nfs/dbraw/zinc/73/13/35/1073731335.db2.gz NEVUCUOPQYEWFZ-PLNGDYQASA-N 0 0 428.463 -0.109 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)N2CCC(O)(C(N)=O)CC2)c1 ZINC000786909406 1073731367 /nfs/dbraw/zinc/73/13/67/1073731367.db2.gz NEVUCUOPQYEWFZ-SNAWJCMRSA-N 0 0 428.463 -0.109 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)sc2Cl)CC1 ZINC000786909450 1073731301 /nfs/dbraw/zinc/73/13/01/1073731301.db2.gz KIOSWTLHTGSSGA-UHFFFAOYSA-N 0 0 437.974 -0.425 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(CCNC(=O)OC)s2)CC1 ZINC000786910031 1073731247 /nfs/dbraw/zinc/73/12/47/1073731247.db2.gz QGDAAABOOJXWOZ-UHFFFAOYSA-N 0 0 440.569 -0.147 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1cccnc1)CCNS(=O)(=O)c1cccnc1 ZINC000786910565 1073731329 /nfs/dbraw/zinc/73/13/29/1073731329.db2.gz SFURJLGFNJOQNM-UHFFFAOYSA-N 0 0 434.521 -0.852 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(CCNC(=O)OC)s2)CC1 ZINC000786910575 1073731217 /nfs/dbraw/zinc/73/12/17/1073731217.db2.gz SZRWHOZMCFHFKG-UHFFFAOYSA-N 0 0 426.542 -0.583 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)NC)CC2)c(=O)[nH]c1=O ZINC000786910846 1073731311 /nfs/dbraw/zinc/73/13/11/1073731311.db2.gz YPQUAKNKXJFKQA-UHFFFAOYSA-N 0 0 437.544 -0.700 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@H]1COCCN1CC(F)F ZINC000786942360 1073731815 /nfs/dbraw/zinc/73/18/15/1073731815.db2.gz QAXLTTZJNHNUJZ-GFCCVEGCSA-N 0 0 437.421 -0.210 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)COC(=O)[C@@H]1COCCN1CC(F)F ZINC000786942361 1073731951 /nfs/dbraw/zinc/73/19/51/1073731951.db2.gz QAXLTTZJNHNUJZ-LBPRGKRZSA-N 0 0 437.421 -0.210 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@H]2COCCN2CC(F)F)CC1 ZINC000786944261 1073731793 /nfs/dbraw/zinc/73/17/93/1073731793.db2.gz CYMZNIPKEJFJSB-AWEZNQCLSA-N 0 0 434.484 -0.446 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@H]2COCCN2CC(F)F)CC1 ZINC000786944266 1073731773 /nfs/dbraw/zinc/73/17/73/1073731773.db2.gz CYMZNIPKEJFJSB-CQSZACIVSA-N 0 0 434.484 -0.446 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)[C@@H]1COCCN1CC(F)F ZINC000786949603 1073731194 /nfs/dbraw/zinc/73/11/94/1073731194.db2.gz KRGDFTAJZCUMRD-AWEZNQCLSA-N 0 0 433.433 -0.268 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)[C@H]1COCCN1CC(F)F ZINC000786949605 1073731386 /nfs/dbraw/zinc/73/13/86/1073731386.db2.gz KRGDFTAJZCUMRD-CQSZACIVSA-N 0 0 433.433 -0.268 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@@H]2COCCN2CC(F)F)cc1 ZINC000786949876 1073731781 /nfs/dbraw/zinc/73/17/81/1073731781.db2.gz MKENLIOIGBWCJG-AWEZNQCLSA-N 0 0 435.449 -0.498 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@H]2COCCN2CC(F)F)cc1 ZINC000786949878 1073731808 /nfs/dbraw/zinc/73/18/08/1073731808.db2.gz MKENLIOIGBWCJG-CQSZACIVSA-N 0 0 435.449 -0.498 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1COCCN1CC(F)F)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000786956706 1073731800 /nfs/dbraw/zinc/73/18/00/1073731800.db2.gz WCVNDAKKZXSQNL-DOMZBBRYSA-N 0 0 449.476 -0.110 20 0 IBADRN C[C@@H](OC(=O)[C@H]1COCCN1CC(F)F)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000786956708 1073731712 /nfs/dbraw/zinc/73/17/12/1073731712.db2.gz WCVNDAKKZXSQNL-IUODEOHRSA-N 0 0 449.476 -0.110 20 0 IBADRN C[C@H](OC(=O)[C@H]1COCCN1CC(F)F)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000786956711 1073731942 /nfs/dbraw/zinc/73/19/42/1073731942.db2.gz WCVNDAKKZXSQNL-SWLSCSKDSA-N 0 0 449.476 -0.110 20 0 IBADRN C[C@H](OC(=O)[C@@H]1COCCN1CC(F)F)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000786956714 1073731933 /nfs/dbraw/zinc/73/19/33/1073731933.db2.gz WCVNDAKKZXSQNL-WFASDCNBSA-N 0 0 449.476 -0.110 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H]2COCCN2CC(F)F)c(=O)n(C)c1=O ZINC000786961863 1073731695 /nfs/dbraw/zinc/73/16/95/1073731695.db2.gz UTJZHNQNWSTLTE-LLVKDONJSA-N 0 0 432.424 -0.523 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H]2COCCN2CC(F)F)c(=O)n(C)c1=O ZINC000786961909 1073731876 /nfs/dbraw/zinc/73/18/76/1073731876.db2.gz UTJZHNQNWSTLTE-NSHDSACASA-N 0 0 432.424 -0.523 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C(=O)Nc1ncnc2[nH]cnc21 ZINC000786993246 1073731912 /nfs/dbraw/zinc/73/19/12/1073731912.db2.gz LVIFXHXDFHMUTO-KBPBESRZSA-N 0 0 445.480 -0.064 20 0 IBADRN C[C@@H](OC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C(=O)Nc1ncnc2[nH]cnc21 ZINC000786993250 1073731727 /nfs/dbraw/zinc/73/17/27/1073731727.db2.gz LVIFXHXDFHMUTO-KGLIPLIRSA-N 0 0 445.480 -0.064 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C(=O)Nc1ncnc2[nH]cnc21 ZINC000786993253 1073731891 /nfs/dbraw/zinc/73/18/91/1073731891.db2.gz LVIFXHXDFHMUTO-UONOGXRCSA-N 0 0 445.480 -0.064 20 0 IBADRN C[C@@H](OC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C(=O)Nc1ncnc2[nH]cnc21 ZINC000786993256 1073731858 /nfs/dbraw/zinc/73/18/58/1073731858.db2.gz LVIFXHXDFHMUTO-ZIAGYGMSSA-N 0 0 445.480 -0.064 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C(=O)N(C)CC(=O)NC1CC1 ZINC000786994477 1073732531 /nfs/dbraw/zinc/73/25/31/1073732531.db2.gz CKVQBFYIFIBURQ-DOTOQJQBSA-N 0 0 438.525 -0.632 20 0 IBADRN C[C@@H](OC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C(=O)N(C)CC(=O)NC1CC1 ZINC000786994478 1073732382 /nfs/dbraw/zinc/73/23/82/1073732382.db2.gz CKVQBFYIFIBURQ-NVXWUHKLSA-N 0 0 438.525 -0.632 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C(=O)N(C)CC(=O)NC1CC1 ZINC000786994480 1073732510 /nfs/dbraw/zinc/73/25/10/1073732510.db2.gz CKVQBFYIFIBURQ-RDJZCZTQSA-N 0 0 438.525 -0.632 20 0 IBADRN C[C@@H](OC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C(=O)N(C)CC(=O)NC1CC1 ZINC000786994482 1073732329 /nfs/dbraw/zinc/73/23/29/1073732329.db2.gz CKVQBFYIFIBURQ-WBVHZDCISA-N 0 0 438.525 -0.632 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C(=O)N1CCC(C(N)=O)CC1 ZINC000786994613 1073732402 /nfs/dbraw/zinc/73/24/02/1073732402.db2.gz GZHBKOAAYPIHJQ-DOTOQJQBSA-N 0 0 438.525 -0.645 20 0 IBADRN C[C@@H](OC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C(=O)N1CCC(C(N)=O)CC1 ZINC000786994619 1073732392 /nfs/dbraw/zinc/73/23/92/1073732392.db2.gz GZHBKOAAYPIHJQ-NVXWUHKLSA-N 0 0 438.525 -0.645 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C(=O)N1CCC(C(N)=O)CC1 ZINC000786994621 1073732493 /nfs/dbraw/zinc/73/24/93/1073732493.db2.gz GZHBKOAAYPIHJQ-RDJZCZTQSA-N 0 0 438.525 -0.645 20 0 IBADRN C[C@@H](OC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C(=O)N1CCC(C(N)=O)CC1 ZINC000786994623 1073732264 /nfs/dbraw/zinc/73/22/64/1073732264.db2.gz GZHBKOAAYPIHJQ-WBVHZDCISA-N 0 0 438.525 -0.645 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000786996071 1073732436 /nfs/dbraw/zinc/73/24/36/1073732436.db2.gz KXEWBXOIAPOBJW-CABCVRRESA-N 0 0 428.486 -0.896 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000786996072 1073732419 /nfs/dbraw/zinc/73/24/19/1073732419.db2.gz KXEWBXOIAPOBJW-GJZGRUSLSA-N 0 0 428.486 -0.896 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000786996073 1073732305 /nfs/dbraw/zinc/73/23/05/1073732305.db2.gz KXEWBXOIAPOBJW-HUUCEWRRSA-N 0 0 428.486 -0.896 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000786996074 1073732550 /nfs/dbraw/zinc/73/25/50/1073732550.db2.gz KXEWBXOIAPOBJW-LSDHHAIUSA-N 0 0 428.486 -0.896 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000786997582 1073732319 /nfs/dbraw/zinc/73/23/19/1073732319.db2.gz IFEFFPZXHPSOEA-MSOLQXFVSA-N 0 0 438.525 -0.630 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000786997584 1073732453 /nfs/dbraw/zinc/73/24/53/1073732453.db2.gz IFEFFPZXHPSOEA-QZTJIDSGSA-N 0 0 438.525 -0.630 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000786997586 1073732291 /nfs/dbraw/zinc/73/22/91/1073732291.db2.gz IFEFFPZXHPSOEA-ROUUACIJSA-N 0 0 438.525 -0.630 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000786997589 1073732352 /nfs/dbraw/zinc/73/23/52/1073732352.db2.gz IFEFFPZXHPSOEA-ZWKOTPCHSA-N 0 0 438.525 -0.630 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)OCc1nnnn1CC1CCOCC1 ZINC000786998266 1073733045 /nfs/dbraw/zinc/73/30/45/1073733045.db2.gz JDOGKYNLYKRJRT-KRWDZBQOSA-N 0 0 436.513 -0.144 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)OCc1nnnn1CC1CCOCC1 ZINC000786998268 1073733093 /nfs/dbraw/zinc/73/30/93/1073733093.db2.gz JDOGKYNLYKRJRT-QGZVFWFLSA-N 0 0 436.513 -0.144 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000786998377 1073732367 /nfs/dbraw/zinc/73/23/67/1073732367.db2.gz KHCCBAUWDNSOIS-KRWDZBQOSA-N 0 0 439.509 -0.392 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000786998378 1073732963 /nfs/dbraw/zinc/73/29/63/1073732963.db2.gz KHCCBAUWDNSOIS-QGZVFWFLSA-N 0 0 439.509 -0.392 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000786998758 1073732473 /nfs/dbraw/zinc/73/24/73/1073732473.db2.gz QNEAZCFATADVNX-KRWDZBQOSA-N 0 0 439.509 -0.346 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000786998762 1073732341 /nfs/dbraw/zinc/73/23/41/1073732341.db2.gz QNEAZCFATADVNX-QGZVFWFLSA-N 0 0 439.509 -0.346 20 0 IBADRN O=C(COC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NC(=O)NCc1ccco1 ZINC000786999020 1073733031 /nfs/dbraw/zinc/73/30/31/1073733031.db2.gz MIAYIOQVMIJPBB-INIZCTEOSA-N 0 0 436.465 -0.138 20 0 IBADRN O=C(COC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NC(=O)NCc1ccco1 ZINC000786999022 1073732978 /nfs/dbraw/zinc/73/29/78/1073732978.db2.gz MIAYIOQVMIJPBB-MRXNPFEDSA-N 0 0 436.465 -0.138 20 0 IBADRN O=C(COC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NC(=O)NCC(F)(F)F ZINC000786999986 1073733174 /nfs/dbraw/zinc/73/31/74/1073733174.db2.gz PISFJDYLXVIGBR-GFCCVEGCSA-N 0 0 438.403 -0.369 20 0 IBADRN O=C(COC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NC(=O)NCC(F)(F)F ZINC000786999988 1073733119 /nfs/dbraw/zinc/73/31/19/1073733119.db2.gz PISFJDYLXVIGBR-LBPRGKRZSA-N 0 0 438.403 -0.369 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000787000723 1073733007 /nfs/dbraw/zinc/73/30/07/1073733007.db2.gz PVILCYBBGDUMML-HNNXBMFYSA-N 0 0 426.514 -0.726 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000787000725 1073733081 /nfs/dbraw/zinc/73/30/81/1073733081.db2.gz PVILCYBBGDUMML-OAHLLOKOSA-N 0 0 426.514 -0.726 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000787002271 1073732945 /nfs/dbraw/zinc/73/29/45/1073732945.db2.gz VKPHKNAJTIMUOL-BBWFWOEESA-N 0 0 438.525 -0.645 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000787002272 1073732923 /nfs/dbraw/zinc/73/29/23/1073732923.db2.gz VKPHKNAJTIMUOL-GVDBMIGSSA-N 0 0 438.525 -0.645 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000787002274 1073733069 /nfs/dbraw/zinc/73/30/69/1073733069.db2.gz VKPHKNAJTIMUOL-ULQDDVLXSA-N 0 0 438.525 -0.645 20 0 IBADRN C[C@H](OC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000787002275 1073733198 /nfs/dbraw/zinc/73/31/98/1073733198.db2.gz VKPHKNAJTIMUOL-YESZJQIVSA-N 0 0 438.525 -0.645 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000787003555 1073733596 /nfs/dbraw/zinc/73/35/96/1073733596.db2.gz YGQDPILULRZPGG-AEFFLSMTSA-N 0 0 438.525 -0.678 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000787003556 1073733675 /nfs/dbraw/zinc/73/36/75/1073733675.db2.gz YGQDPILULRZPGG-FUHWJXTLSA-N 0 0 438.525 -0.678 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC000787003557 1073733611 /nfs/dbraw/zinc/73/36/11/1073733611.db2.gz YGQDPILULRZPGG-SJLPKXTDSA-N 0 0 438.525 -0.678 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC000787003558 1073733710 /nfs/dbraw/zinc/73/37/10/1073733710.db2.gz YGQDPILULRZPGG-WMZOPIPTSA-N 0 0 438.525 -0.678 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CCCC(N)=O)CC1 ZINC000787038370 1073734435 /nfs/dbraw/zinc/73/44/35/1073734435.db2.gz FMWWQFKDWJHWGA-UHFFFAOYSA-N 0 0 431.536 -0.113 20 0 IBADRN O=C(NCCCn1cccn1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000787054230 1073737233 /nfs/dbraw/zinc/73/72/33/1073737233.db2.gz XZDSUTVJLZUPRX-UHFFFAOYSA-N 0 0 430.490 -0.317 20 0 IBADRN CC(=O)N[C@H](CC1CCC1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000787055577 1073737340 /nfs/dbraw/zinc/73/73/40/1073737340.db2.gz ZLLSPNURUPGADZ-HZPDHXFCSA-N 0 0 435.568 -0.658 20 0 IBADRN CC(=O)N[C@H](CC1CCC1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000787055578 1073737202 /nfs/dbraw/zinc/73/72/02/1073737202.db2.gz ZLLSPNURUPGADZ-JKSUJKDBSA-N 0 0 435.568 -0.658 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(C2CCOCC2)CC1)C(F)(F)F ZINC000787063972 1073734459 /nfs/dbraw/zinc/73/44/59/1073734459.db2.gz AIHSDEYEJNXNPY-GOSISDBHSA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(C2CCOCC2)CC1)C(F)(F)F ZINC000787063975 1073734317 /nfs/dbraw/zinc/73/43/17/1073734317.db2.gz AIHSDEYEJNXNPY-SFHVURJKSA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(c2cnccn2)CC1)C(F)(F)F ZINC000787067111 1073734470 /nfs/dbraw/zinc/73/44/70/1073734470.db2.gz GBKVIVMBEJBFDM-KRWDZBQOSA-N 0 0 441.414 -0.185 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(c2cnccn2)CC1)C(F)(F)F ZINC000787067113 1073734360 /nfs/dbraw/zinc/73/43/60/1073734360.db2.gz GBKVIVMBEJBFDM-QGZVFWFLSA-N 0 0 441.414 -0.185 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCC[C@H]1CN1CCOCC1)C(F)(F)F ZINC000787069413 1073734845 /nfs/dbraw/zinc/73/48/45/1073734845.db2.gz LXUFCPMUGDJRRU-KBXCAEBGSA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCC[C@@H]1CN1CCOCC1)C(F)(F)F ZINC000787069415 1073734917 /nfs/dbraw/zinc/73/49/17/1073734917.db2.gz LXUFCPMUGDJRRU-KDOFPFPSSA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCC[C@H]1CN1CCOCC1)C(F)(F)F ZINC000787069417 1073734746 /nfs/dbraw/zinc/73/47/46/1073734746.db2.gz LXUFCPMUGDJRRU-KSSFIOAISA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCC[C@@H]1CN1CCOCC1)C(F)(F)F ZINC000787069419 1073734883 /nfs/dbraw/zinc/73/48/83/1073734883.db2.gz LXUFCPMUGDJRRU-RDTXWAMCSA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(c2ncccn2)CC1)C(F)(F)F ZINC000787069476 1073734871 /nfs/dbraw/zinc/73/48/71/1073734871.db2.gz MZCDBDLLCSBPPX-KRWDZBQOSA-N 0 0 441.414 -0.185 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(c2ncccn2)CC1)C(F)(F)F ZINC000787069477 1073734815 /nfs/dbraw/zinc/73/48/15/1073734815.db2.gz MZCDBDLLCSBPPX-QGZVFWFLSA-N 0 0 441.414 -0.185 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1)C(F)(F)F ZINC000787069760 1073734345 /nfs/dbraw/zinc/73/43/45/1073734345.db2.gz BEAFAZJGJAPNAZ-CYBMUJFWSA-N 0 0 445.320 -0.133 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CC(=O)N(CC(F)(F)F)C1)C(F)(F)F ZINC000787069765 1073734759 /nfs/dbraw/zinc/73/47/59/1073734759.db2.gz BEAFAZJGJAPNAZ-ZDUSSCGKSA-N 0 0 445.320 -0.133 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000787070171 1073734975 /nfs/dbraw/zinc/73/49/75/1073734975.db2.gz NPHOCRJLVIUSAX-INIZCTEOSA-N 0 0 435.403 -0.023 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000787070174 1073734954 /nfs/dbraw/zinc/73/49/54/1073734954.db2.gz NPHOCRJLVIUSAX-MRXNPFEDSA-N 0 0 435.403 -0.023 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)NCC1(N2CCOCC2)CC1)C(F)(F)F ZINC000787070345 1073734738 /nfs/dbraw/zinc/73/47/38/1073734738.db2.gz CRZDLUIKYBXZLZ-KRWDZBQOSA-N 0 0 433.431 -0.343 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)NCC1(N2CCOCC2)CC1)C(F)(F)F ZINC000787070350 1073734806 /nfs/dbraw/zinc/73/48/06/1073734806.db2.gz CRZDLUIKYBXZLZ-QGZVFWFLSA-N 0 0 433.431 -0.343 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F)N1CCOCC1 ZINC000787070743 1073735348 /nfs/dbraw/zinc/73/53/48/1073735348.db2.gz DEZVZHKRLXAALQ-AHIWAGSCSA-N 0 0 435.447 -0.098 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F)[C@H](C)N1CCOCC1 ZINC000787070745 1073735415 /nfs/dbraw/zinc/73/54/15/1073735415.db2.gz DEZVZHKRLXAALQ-DCGLDWPTSA-N 0 0 435.447 -0.098 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F)[C@H](C)N1CCOCC1 ZINC000787070746 1073735496 /nfs/dbraw/zinc/73/54/96/1073735496.db2.gz DEZVZHKRLXAALQ-GDZNZVCISA-N 0 0 435.447 -0.098 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F)N1CCOCC1 ZINC000787070747 1073735406 /nfs/dbraw/zinc/73/54/06/1073735406.db2.gz DEZVZHKRLXAALQ-OGHNNQOOSA-N 0 0 435.447 -0.098 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)NCCN1CCOCC1(C)C)C(F)(F)F ZINC000787070832 1073734965 /nfs/dbraw/zinc/73/49/65/1073734965.db2.gz DRBGAIDKZZNBQB-KRWDZBQOSA-N 0 0 435.447 -0.097 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)NCCN1CCOCC1(C)C)C(F)(F)F ZINC000787070859 1073734996 /nfs/dbraw/zinc/73/49/96/1073734996.db2.gz DRBGAIDKZZNBQB-QGZVFWFLSA-N 0 0 435.447 -0.097 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000787070929 1073735591 /nfs/dbraw/zinc/73/55/91/1073735591.db2.gz DYSMPXGFVSJMMD-ACJLOTCBSA-N 0 0 449.474 -0.020 20 0 IBADRN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000787070930 1073735550 /nfs/dbraw/zinc/73/55/50/1073735550.db2.gz DYSMPXGFVSJMMD-FZKQIMNGSA-N 0 0 449.474 -0.020 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000787070932 1073735514 /nfs/dbraw/zinc/73/55/14/1073735514.db2.gz DYSMPXGFVSJMMD-SCLBCKFNSA-N 0 0 449.474 -0.020 20 0 IBADRN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000787070934 1073735465 /nfs/dbraw/zinc/73/54/65/1073735465.db2.gz DYSMPXGFVSJMMD-UGSOOPFHSA-N 0 0 449.474 -0.020 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)C(F)(F)F ZINC000787071621 1073735368 /nfs/dbraw/zinc/73/53/68/1073735368.db2.gz GOJFDIDGGJIFGI-KRWDZBQOSA-N 0 0 448.446 -0.916 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)C(F)(F)F ZINC000787071625 1073735356 /nfs/dbraw/zinc/73/53/56/1073735356.db2.gz GOJFDIDGGJIFGI-QGZVFWFLSA-N 0 0 448.446 -0.916 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F)C(=O)N1CCOCC1 ZINC000787072510 1073735395 /nfs/dbraw/zinc/73/53/95/1073735395.db2.gz RWEHVFVHHNDQDQ-PXAZEXFGSA-N 0 0 449.430 -0.570 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F)C(=O)N1CCOCC1 ZINC000787072517 1073735423 /nfs/dbraw/zinc/73/54/23/1073735423.db2.gz RWEHVFVHHNDQDQ-SJCJKPOMSA-N 0 0 449.430 -0.570 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F)C(=O)N1CCOCC1 ZINC000787072518 1073735503 /nfs/dbraw/zinc/73/55/03/1073735503.db2.gz RWEHVFVHHNDQDQ-SJKOYZFVSA-N 0 0 449.430 -0.570 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F)C(=O)N1CCOCC1 ZINC000787072520 1073735581 /nfs/dbraw/zinc/73/55/81/1073735581.db2.gz RWEHVFVHHNDQDQ-YVEFUNNKSA-N 0 0 449.430 -0.570 20 0 IBADRN CCN(C(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F)[C@H](C)CS(C)(=O)=O ZINC000787072522 1073735446 /nfs/dbraw/zinc/73/54/46/1073735446.db2.gz RWQICYSDVQJQCK-ABAIWWIYSA-N 0 0 442.460 -0.042 20 0 IBADRN CCN(C(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F)[C@H](C)CS(C)(=O)=O ZINC000787072526 1073735436 /nfs/dbraw/zinc/73/54/36/1073735436.db2.gz RWQICYSDVQJQCK-IAQYHMDHSA-N 0 0 442.460 -0.042 20 0 IBADRN CCN(C(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F)[C@@H](C)CS(C)(=O)=O ZINC000787073202 1073735458 /nfs/dbraw/zinc/73/54/58/1073735458.db2.gz RWQICYSDVQJQCK-NHYWBVRUSA-N 0 0 442.460 -0.042 20 0 IBADRN CCN(C(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F)[C@@H](C)CS(C)(=O)=O ZINC000787073203 1073735384 /nfs/dbraw/zinc/73/53/84/1073735384.db2.gz RWQICYSDVQJQCK-XHDPSFHLSA-N 0 0 442.460 -0.042 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(C[C@@H]2CCCO2)CC1)C(F)(F)F ZINC000787074280 1073736097 /nfs/dbraw/zinc/73/60/97/1073736097.db2.gz VEHJXGHBUMCYKU-KBXCAEBGSA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(C[C@H]2CCCO2)CC1)C(F)(F)F ZINC000787074284 1073736148 /nfs/dbraw/zinc/73/61/48/1073736148.db2.gz VEHJXGHBUMCYKU-KDOFPFPSSA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(C[C@@H]2CCCO2)CC1)C(F)(F)F ZINC000787074289 1073736046 /nfs/dbraw/zinc/73/60/46/1073736046.db2.gz VEHJXGHBUMCYKU-KSSFIOAISA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(C[C@H]2CCCO2)CC1)C(F)(F)F ZINC000787074293 1073736114 /nfs/dbraw/zinc/73/61/14/1073736114.db2.gz VEHJXGHBUMCYKU-RDTXWAMCSA-N 0 0 447.458 -0.001 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCC[C@](O)(c3nccn3C)C(F)(F)F)CC2)cn1 ZINC000787074427 1073735377 /nfs/dbraw/zinc/73/53/77/1073735377.db2.gz VUPZVNIMUXHYQO-KRWDZBQOSA-N 0 0 443.430 -0.241 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCC[C@@](O)(c3nccn3C)C(F)(F)F)CC2)cn1 ZINC000787074437 1073736063 /nfs/dbraw/zinc/73/60/63/1073736063.db2.gz VUPZVNIMUXHYQO-QGZVFWFLSA-N 0 0 443.430 -0.241 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)NCCN1CCOC(C)(C)C1)C(F)(F)F ZINC000787075642 1073736092 /nfs/dbraw/zinc/73/60/92/1073736092.db2.gz ZYFHQPAXXLUZPC-KRWDZBQOSA-N 0 0 435.447 -0.097 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)NCCN1CCOC(C)(C)C1)C(F)(F)F ZINC000787075643 1073736110 /nfs/dbraw/zinc/73/61/10/1073736110.db2.gz ZYFHQPAXXLUZPC-QGZVFWFLSA-N 0 0 435.447 -0.097 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CCN1CC(C)(C)O ZINC000787075670 1073736025 /nfs/dbraw/zinc/73/60/25/1073736025.db2.gz HSDATDXEHSCLPD-ACJLOTCBSA-N 0 0 449.474 -0.020 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CCN1CC(C)(C)O ZINC000787075671 1073736135 /nfs/dbraw/zinc/73/61/35/1073736135.db2.gz HSDATDXEHSCLPD-FZKQIMNGSA-N 0 0 449.474 -0.020 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CCN1CC(C)(C)O ZINC000787075672 1073736156 /nfs/dbraw/zinc/73/61/56/1073736156.db2.gz HSDATDXEHSCLPD-SCLBCKFNSA-N 0 0 449.474 -0.020 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CCN1CC(C)(C)O ZINC000787075673 1073736125 /nfs/dbraw/zinc/73/61/25/1073736125.db2.gz HSDATDXEHSCLPD-UGSOOPFHSA-N 0 0 449.474 -0.020 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000787075724 1073736068 /nfs/dbraw/zinc/73/60/68/1073736068.db2.gz IOQWIPOXLFUZGE-HNNXBMFYSA-N 0 0 442.460 -0.042 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000787075725 1073736078 /nfs/dbraw/zinc/73/60/78/1073736078.db2.gz IOQWIPOXLFUZGE-OAHLLOKOSA-N 0 0 442.460 -0.042 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000787076944 1073736170 /nfs/dbraw/zinc/73/61/70/1073736170.db2.gz PAPTWYBGRDKRHN-CXAGYDPISA-N 0 0 435.447 -0.145 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000787076945 1073736175 /nfs/dbraw/zinc/73/61/75/1073736175.db2.gz PAPTWYBGRDKRHN-DYVFJYSZSA-N 0 0 435.447 -0.145 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F ZINC000787076946 1073736057 /nfs/dbraw/zinc/73/60/57/1073736057.db2.gz PAPTWYBGRDKRHN-GUYCJALGSA-N 0 0 435.447 -0.145 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F ZINC000787076947 1073736073 /nfs/dbraw/zinc/73/60/73/1073736073.db2.gz PAPTWYBGRDKRHN-SUMWQHHRSA-N 0 0 435.447 -0.145 20 0 IBADRN Cn1ncc(Br)c1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000787078569 1073736106 /nfs/dbraw/zinc/73/61/06/1073736106.db2.gz DTYKZWDGAAURNZ-LLVKDONJSA-N 0 0 441.373 -0.183 20 0 IBADRN Cn1ncc(Br)c1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000787078574 1073736007 /nfs/dbraw/zinc/73/60/07/1073736007.db2.gz DTYKZWDGAAURNZ-NSHDSACASA-N 0 0 441.373 -0.183 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(CC(C)(C)O)CC1)C(F)(F)F ZINC000787079928 1073736587 /nfs/dbraw/zinc/73/65/87/1073736587.db2.gz HZLZKWXTPVODRJ-KRWDZBQOSA-N 0 0 435.447 -0.409 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(CC(C)(C)O)CC1)C(F)(F)F ZINC000787079948 1073736568 /nfs/dbraw/zinc/73/65/68/1073736568.db2.gz HZLZKWXTPVODRJ-QGZVFWFLSA-N 0 0 435.447 -0.409 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)NCCNC(=O)c1cccnc1)C(F)(F)F ZINC000787080865 1073736085 /nfs/dbraw/zinc/73/60/85/1073736085.db2.gz VZOZCYQLGGNUHU-KRWDZBQOSA-N 0 0 442.398 -0.383 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)NCCNC(=O)c1cccnc1)C(F)(F)F ZINC000787080867 1073736790 /nfs/dbraw/zinc/73/67/90/1073736790.db2.gz VZOZCYQLGGNUHU-QGZVFWFLSA-N 0 0 442.398 -0.383 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000787081083 1073736511 /nfs/dbraw/zinc/73/65/11/1073736511.db2.gz WPDRSKFWSRIPSD-KRWDZBQOSA-N 0 0 435.447 -0.143 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000787081089 1073736745 /nfs/dbraw/zinc/73/67/45/1073736745.db2.gz WPDRSKFWSRIPSD-QGZVFWFLSA-N 0 0 435.447 -0.143 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F)CN1CCOCC1 ZINC000787081965 1073736700 /nfs/dbraw/zinc/73/67/00/1073736700.db2.gz XWKGHPKYLNHHCO-CXAGYDPISA-N 0 0 435.447 -0.239 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F)CN1CCOCC1 ZINC000787081971 1073736724 /nfs/dbraw/zinc/73/67/24/1073736724.db2.gz XWKGHPKYLNHHCO-DYVFJYSZSA-N 0 0 435.447 -0.239 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCC[C@](O)(c1nccn1C)C(F)(F)F)CN1CCOCC1 ZINC000787081973 1073736606 /nfs/dbraw/zinc/73/66/06/1073736606.db2.gz XWKGHPKYLNHHCO-GUYCJALGSA-N 0 0 435.447 -0.239 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCC[C@@](O)(c1nccn1C)C(F)(F)F)CN1CCOCC1 ZINC000787081975 1073736770 /nfs/dbraw/zinc/73/67/70/1073736770.db2.gz XWKGHPKYLNHHCO-SUMWQHHRSA-N 0 0 435.447 -0.239 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CC(=O)N[C@@H]2CCCC[C@@H]21)C(F)(F)F ZINC000787082062 1073736546 /nfs/dbraw/zinc/73/65/46/1073736546.db2.gz YTXXBKCQBMUNTN-BWACUDIHSA-N 0 0 431.415 -0.054 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CC(=O)N[C@H]2CCCC[C@@H]21)C(F)(F)F ZINC000787082066 1073736655 /nfs/dbraw/zinc/73/66/55/1073736655.db2.gz YTXXBKCQBMUNTN-NVGCLXPQSA-N 0 0 431.415 -0.054 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CC(=O)N[C@@H]2CCCC[C@H]21)C(F)(F)F ZINC000787082069 1073736798 /nfs/dbraw/zinc/73/67/98/1073736798.db2.gz YTXXBKCQBMUNTN-PSTGCABASA-N 0 0 431.415 -0.054 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CC(=O)N[C@H]2CCCC[C@H]21)C(F)(F)F ZINC000787082073 1073736737 /nfs/dbraw/zinc/73/67/37/1073736737.db2.gz YTXXBKCQBMUNTN-XWCIJXRUSA-N 0 0 431.415 -0.054 20 0 IBADRN Cn1nc(Br)cc1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000787082659 1073736715 /nfs/dbraw/zinc/73/67/15/1073736715.db2.gz USCZLEMVOUBPTB-GFCCVEGCSA-N 0 0 441.373 -0.183 20 0 IBADRN Cn1nc(Br)cc1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000787082661 1073736669 /nfs/dbraw/zinc/73/66/69/1073736669.db2.gz USCZLEMVOUBPTB-LBPRGKRZSA-N 0 0 441.373 -0.183 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(c2cccnn2)CC1)C(F)(F)F ZINC000787083462 1073736628 /nfs/dbraw/zinc/73/66/28/1073736628.db2.gz PVDVPLYXFMJGEZ-KRWDZBQOSA-N 0 0 441.414 -0.185 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(c2cccnn2)CC1)C(F)(F)F ZINC000787083466 1073736643 /nfs/dbraw/zinc/73/66/43/1073736643.db2.gz PVDVPLYXFMJGEZ-QGZVFWFLSA-N 0 0 441.414 -0.185 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1)C(F)(F)F ZINC000787084188 1073736795 /nfs/dbraw/zinc/73/67/95/1073736795.db2.gz REQJLNWZGDVENZ-PXAZEXFGSA-N 0 0 446.430 -0.261 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CC[C@H](NC(=O)NC2CC2)C1)C(F)(F)F ZINC000787084189 1073736759 /nfs/dbraw/zinc/73/67/59/1073736759.db2.gz REQJLNWZGDVENZ-SJCJKPOMSA-N 0 0 446.430 -0.261 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1)C(F)(F)F ZINC000787084190 1073736781 /nfs/dbraw/zinc/73/67/81/1073736781.db2.gz REQJLNWZGDVENZ-SJKOYZFVSA-N 0 0 446.430 -0.261 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CC[C@H](NC(=O)NC2CC2)C1)C(F)(F)F ZINC000787084191 1073737315 /nfs/dbraw/zinc/73/73/15/1073737315.db2.gz REQJLNWZGDVENZ-YVEFUNNKSA-N 0 0 446.430 -0.261 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1)C(F)(F)F ZINC000787084205 1073737297 /nfs/dbraw/zinc/73/72/97/1073737297.db2.gz RQPPXKCSTURDNK-KBXCAEBGSA-N 0 0 447.458 -0.143 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1)C(F)(F)F ZINC000787084206 1073737329 /nfs/dbraw/zinc/73/73/29/1073737329.db2.gz RQPPXKCSTURDNK-KDOFPFPSSA-N 0 0 447.458 -0.143 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1)C(F)(F)F ZINC000787084207 1073737260 /nfs/dbraw/zinc/73/72/60/1073737260.db2.gz RQPPXKCSTURDNK-KSSFIOAISA-N 0 0 447.458 -0.143 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)C(=O)N1CCN(C[C@H]2CCOC2)CC1)C(F)(F)F ZINC000787084208 1073737183 /nfs/dbraw/zinc/73/71/83/1073737183.db2.gz RQPPXKCSTURDNK-RDTXWAMCSA-N 0 0 447.458 -0.143 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CC1=O ZINC000787086125 1073737325 /nfs/dbraw/zinc/73/73/25/1073737325.db2.gz YXERMQGHEWQZDR-KRWDZBQOSA-N 0 0 449.430 -0.616 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CC1=O ZINC000787086126 1073737247 /nfs/dbraw/zinc/73/72/47/1073737247.db2.gz YXERMQGHEWQZDR-QGZVFWFLSA-N 0 0 449.430 -0.616 20 0 IBADRN COCC(=O)Nc1cccc(CNC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c1 ZINC000787092506 1073737289 /nfs/dbraw/zinc/73/72/89/1073737289.db2.gz FWGNAQWNQSOINY-YCRPNKLZSA-N 0 0 433.461 -0.074 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2C1=O ZINC000787100961 1073738395 /nfs/dbraw/zinc/73/83/95/1073738395.db2.gz RBMJLPVQFZTVLD-CRAIPNDOSA-N 0 0 449.529 -0.270 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2C1=O ZINC000787100966 1073738328 /nfs/dbraw/zinc/73/83/28/1073738328.db2.gz RBMJLPVQFZTVLD-MAUKXSAKSA-N 0 0 449.529 -0.270 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2C1=O ZINC000787100968 1073738312 /nfs/dbraw/zinc/73/83/12/1073738312.db2.gz RBMJLPVQFZTVLD-QAPCUYQASA-N 0 0 449.529 -0.270 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2C1=O ZINC000787100970 1073738367 /nfs/dbraw/zinc/73/83/67/1073738367.db2.gz RBMJLPVQFZTVLD-YJBOKZPZSA-N 0 0 449.529 -0.270 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)OCCCn3c(=O)c4c(ncn4C)n(C)c3=O)c2C)no1 ZINC000787142121 1073738817 /nfs/dbraw/zinc/73/88/17/1073738817.db2.gz UMADSQQPNWQEBU-UHFFFAOYSA-N 0 0 443.424 -0.680 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)OCC(=O)N3CCN(c4cnccn4)CC3)c2C)no1 ZINC000787147860 1073738811 /nfs/dbraw/zinc/73/88/11/1073738811.db2.gz NJAPYIDHDXQZQT-UHFFFAOYSA-N 0 0 427.425 -0.378 20 0 IBADRN CCCCN(C(=O)COC(=O)c1cn(Cc2noc(C)n2)nn1)[C@@H]1CCS(=O)(=O)C1 ZINC000787157726 1073739900 /nfs/dbraw/zinc/73/99/00/1073739900.db2.gz MYTNWCWQODQUGM-CYBMUJFWSA-N 0 0 440.482 -0.010 20 0 IBADRN CCCCN(C(=O)COC(=O)c1cn(Cc2noc(C)n2)nn1)[C@H]1CCS(=O)(=O)C1 ZINC000787157727 1073739939 /nfs/dbraw/zinc/73/99/39/1073739939.db2.gz MYTNWCWQODQUGM-ZDUSSCGKSA-N 0 0 440.482 -0.010 20 0 IBADRN Cc1nc(Cn2cc(C(=O)OCC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)nn2)no1 ZINC000787159752 1073739457 /nfs/dbraw/zinc/73/94/57/1073739457.db2.gz NVOLCYZZFZAEIH-UHFFFAOYSA-N 0 0 448.484 -0.766 20 0 IBADRN Cc1nc(Cn2cc(C(=O)OCCCn3c(=O)c4c(ncn4C)n(C)c3=O)nn2)no1 ZINC000787160012 1073739471 /nfs/dbraw/zinc/73/94/71/1073739471.db2.gz QJQODTAMUQUPGC-UHFFFAOYSA-N 0 0 429.397 -0.988 20 0 IBADRN Cc1nc(Cn2cc(C(=O)OCC(=O)Nc3cc(-n4cnnn4)ccc3F)nn2)no1 ZINC000787161029 1073739402 /nfs/dbraw/zinc/73/94/02/1073739402.db2.gz INSDSHVQAHTICP-UHFFFAOYSA-N 0 0 428.344 -0.072 20 0 IBADRN Cc1nc(Cn2cc(C(=O)O[C@H](C)C(=O)N3CCN(c4ncccn4)CC3)nn2)no1 ZINC000787162800 1073739376 /nfs/dbraw/zinc/73/93/76/1073739376.db2.gz TWJPLUNZGLLTAK-GFCCVEGCSA-N 0 0 427.425 -0.298 20 0 IBADRN Cc1nc(Cn2cc(C(=O)O[C@@H](C)C(=O)N3CCN(c4ncccn4)CC3)nn2)no1 ZINC000787162809 1073739520 /nfs/dbraw/zinc/73/95/20/1073739520.db2.gz TWJPLUNZGLLTAK-LBPRGKRZSA-N 0 0 427.425 -0.298 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3cccc(OCC(=O)N(C)C)c3)C[C@H]1COCC2 ZINC000787173593 1073739411 /nfs/dbraw/zinc/73/94/11/1073739411.db2.gz AIIIZBFVQQENJD-KSFYIVLOSA-N 0 0 447.488 -0.192 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)c1 ZINC000787173847 1073739494 /nfs/dbraw/zinc/73/94/94/1073739494.db2.gz FWLAQPNBMCOUKD-UHFFFAOYSA-N 0 0 425.507 -0.195 20 0 IBADRN CC(=O)N[C@H](CC1CCC1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000787174069 1073739514 /nfs/dbraw/zinc/73/95/14/1073739514.db2.gz RGDKDMOCSVJIPL-DLBZAZTESA-N 0 0 429.539 -0.444 20 0 IBADRN CC(=O)N[C@H](CC1CCC1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000787174070 1073739363 /nfs/dbraw/zinc/73/93/63/1073739363.db2.gz RGDKDMOCSVJIPL-IAGOWNOFSA-N 0 0 429.539 -0.444 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)c1 ZINC000787175705 1073739960 /nfs/dbraw/zinc/73/99/60/1073739960.db2.gz OOXTZIZIGCKOBN-KRWDZBQOSA-N 0 0 435.477 -0.192 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)c1 ZINC000787175712 1073739968 /nfs/dbraw/zinc/73/99/68/1073739968.db2.gz OOXTZIZIGCKOBN-QGZVFWFLSA-N 0 0 435.477 -0.192 20 0 IBADRN Cn1nc(Br)cc1CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000787175764 1073739347 /nfs/dbraw/zinc/73/93/47/1073739347.db2.gz JYGVFGPWYSCSFN-GFCCVEGCSA-N 0 0 434.360 -0.397 20 0 IBADRN Cn1nc(Br)cc1CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000787175771 1073739943 /nfs/dbraw/zinc/73/99/43/1073739943.db2.gz JYGVFGPWYSCSFN-LBPRGKRZSA-N 0 0 434.360 -0.397 20 0 IBADRN CN(Cc1ncc(Cl)n1C)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000787177197 1073740051 /nfs/dbraw/zinc/74/00/51/1073740051.db2.gz BDKDGROMMRBEGR-UHFFFAOYSA-N 0 0 446.895 -0.080 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCCC[C@H]1C1OCCO1 ZINC000787181530 1073739952 /nfs/dbraw/zinc/73/99/52/1073739952.db2.gz ADKCUAHYXNJIQZ-KRWDZBQOSA-N 0 0 444.488 -0.371 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCCC[C@@H]1C1OCCO1 ZINC000787181531 1073739987 /nfs/dbraw/zinc/73/99/87/1073739987.db2.gz ADKCUAHYXNJIQZ-QGZVFWFLSA-N 0 0 444.488 -0.371 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC(C2OCCO2)CC1 ZINC000787181557 1073740007 /nfs/dbraw/zinc/74/00/07/1073740007.db2.gz AUTHWZWYOWMSCS-UHFFFAOYSA-N 0 0 444.488 -0.514 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC000787182525 1073739908 /nfs/dbraw/zinc/73/99/08/1073739908.db2.gz CVZXYXVODGNTLK-UHFFFAOYSA-N 0 0 438.550 -0.417 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)NC[C@H]1COC2(CCCC2)O1 ZINC000787182581 1073739982 /nfs/dbraw/zinc/73/99/82/1073739982.db2.gz DYADPJFFRWNKMJ-KRWDZBQOSA-N 0 0 444.488 -0.323 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)NC[C@@H]1COC2(CCCC2)O1 ZINC000787182586 1073739947 /nfs/dbraw/zinc/73/99/47/1073739947.db2.gz DYADPJFFRWNKMJ-QGZVFWFLSA-N 0 0 444.488 -0.323 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC2(CC1)OCCO2 ZINC000787183527 1073739889 /nfs/dbraw/zinc/73/98/89/1073739889.db2.gz XISPAXXQKNRZID-UHFFFAOYSA-N 0 0 430.461 -0.760 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCCC2(C1)OCCO2 ZINC000787184058 1073740477 /nfs/dbraw/zinc/74/04/77/1073740477.db2.gz LKNPRZZHNQEJLM-UHFFFAOYSA-N 0 0 430.461 -0.760 20 0 IBADRN O=C(NCCOc1cccnc1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000787184064 1073740555 /nfs/dbraw/zinc/74/05/55/1073740555.db2.gz LMOLUFLCAAVTGL-UHFFFAOYSA-N 0 0 425.445 -0.535 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@H](C2OCCO2)C1 ZINC000787184097 1073740636 /nfs/dbraw/zinc/74/06/36/1073740636.db2.gz LYJQUPJNMTVUFX-KRWDZBQOSA-N 0 0 444.488 -0.514 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@@H](C2OCCO2)C1 ZINC000787184100 1073740583 /nfs/dbraw/zinc/74/05/83/1073740583.db2.gz LYJQUPJNMTVUFX-QGZVFWFLSA-N 0 0 444.488 -0.514 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)CC1(C)OCCO1 ZINC000787184806 1073740576 /nfs/dbraw/zinc/74/05/76/1073740576.db2.gz OEDNOUHGMKVHPS-HNNXBMFYSA-N 0 0 446.504 -0.220 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)CC1(C)OCCO1 ZINC000787184807 1073740559 /nfs/dbraw/zinc/74/05/59/1073740559.db2.gz OEDNOUHGMKVHPS-OAHLLOKOSA-N 0 0 446.504 -0.220 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)NC[C@H]1CSCCS1 ZINC000787184828 1073740564 /nfs/dbraw/zinc/74/05/64/1073740564.db2.gz OLDBJFDGRMQDET-HNNXBMFYSA-N 0 0 436.559 -0.160 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C(=O)NC[C@@H]1CSCCS1 ZINC000787184830 1073740460 /nfs/dbraw/zinc/74/04/60/1073740460.db2.gz OLDBJFDGRMQDET-OAHLLOKOSA-N 0 0 436.559 -0.160 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC000787185499 1073740508 /nfs/dbraw/zinc/74/05/08/1073740508.db2.gz VCARWCMUPAEBKB-UHFFFAOYSA-N 0 0 445.520 -0.009 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000787185885 1073740490 /nfs/dbraw/zinc/74/04/90/1073740490.db2.gz WAKQRSSDBHCWEP-UHFFFAOYSA-N 0 0 445.476 -0.825 20 0 IBADRN Cc1ncc(CCNC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)s1 ZINC000787185903 1073740534 /nfs/dbraw/zinc/74/05/34/1073740534.db2.gz WKWAYNOHISOGGB-UHFFFAOYSA-N 0 0 429.502 -0.001 20 0 IBADRN CC(C)(C)[S@@](=O)CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000787185972 1073740598 /nfs/dbraw/zinc/74/05/98/1073740598.db2.gz XIJYJOZEFLZISP-PMERELPUSA-N 0 0 436.534 -0.462 20 0 IBADRN CC(C)(C)[S@](=O)CCNC(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000787185974 1073740629 /nfs/dbraw/zinc/74/06/29/1073740629.db2.gz XIJYJOZEFLZISP-SSEXGKCCSA-N 0 0 436.534 -0.462 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000787186168 1073740539 /nfs/dbraw/zinc/74/05/39/1073740539.db2.gz ZPJNWPSITCEMBB-INIZCTEOSA-N 0 0 445.476 -0.778 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC000787186174 1073740569 /nfs/dbraw/zinc/74/05/69/1073740569.db2.gz ZPJNWPSITCEMBB-MRXNPFEDSA-N 0 0 445.476 -0.778 20 0 IBADRN CON(CC1CCOCC1)C(=O)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC000787186180 1073740550 /nfs/dbraw/zinc/74/05/50/1073740550.db2.gz ZQYPMUNUQMCPTB-UHFFFAOYSA-N 0 0 432.477 -0.309 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3ccnc(N4CCOCC4)c3)C[C@H]1COCC2 ZINC000787189403 1073741142 /nfs/dbraw/zinc/74/11/42/1073741142.db2.gz FZCWWOGQTOULHM-HRAATJIYSA-N 0 0 432.477 -0.428 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3ccc(N4CCO[C@@H](C)C4)nc3)C[C@H]1COCC2 ZINC000787204146 1073741165 /nfs/dbraw/zinc/74/11/65/1073741165.db2.gz WPWAKMJYKJDLSX-GIMINZRKSA-N 0 0 446.504 -0.039 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3ccc(N4CCO[C@H](C)C4)nc3)C[C@H]1COCC2 ZINC000787204148 1073741076 /nfs/dbraw/zinc/74/10/76/1073741076.db2.gz WPWAKMJYKJDLSX-ULYRPOKDSA-N 0 0 446.504 -0.039 20 0 IBADRN CO[C@H]1CC(=O)N(CN2CCN(S(=O)(=O)c3cc(S(C)(=O)=O)ccc3C)CC2)C1 ZINC000787216730 1073740043 /nfs/dbraw/zinc/74/00/43/1073740043.db2.gz MXCODOBBMHLMSO-HNNXBMFYSA-N 0 0 445.563 -0.090 20 0 IBADRN CO[C@@H]1CC(=O)N(CN2CCN(S(=O)(=O)c3cc(S(C)(=O)=O)ccc3C)CC2)C1 ZINC000787216731 1073740503 /nfs/dbraw/zinc/74/05/03/1073740503.db2.gz MXCODOBBMHLMSO-OAHLLOKOSA-N 0 0 445.563 -0.090 20 0 IBADRN COc1cc(CNC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1OC(C)C ZINC000787239024 1073741755 /nfs/dbraw/zinc/74/17/55/1073741755.db2.gz WAVNLUOVROVJKQ-UHFFFAOYSA-N 0 0 445.480 -0.544 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)C1 ZINC000787241644 1073741216 /nfs/dbraw/zinc/74/12/16/1073741216.db2.gz DQRKDONPMJKLQZ-GDBMZVCRSA-N 0 0 429.481 -0.332 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)C1 ZINC000787241646 1073741094 /nfs/dbraw/zinc/74/10/94/1073741094.db2.gz DQRKDONPMJKLQZ-GOEBONIOSA-N 0 0 429.481 -0.332 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)C1 ZINC000787241649 1073741136 /nfs/dbraw/zinc/74/11/36/1073741136.db2.gz DQRKDONPMJKLQZ-HOCLYGCPSA-N 0 0 429.481 -0.332 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)C1 ZINC000787241651 1073741223 /nfs/dbraw/zinc/74/12/23/1073741223.db2.gz DQRKDONPMJKLQZ-ZBFHGGJFSA-N 0 0 429.481 -0.332 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1)N1CCCC1 ZINC000787242893 1073741063 /nfs/dbraw/zinc/74/10/63/1073741063.db2.gz JQIMIVZRZSYVMC-UHFFFAOYSA-N 0 0 426.481 -0.955 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC000787246246 1073741235 /nfs/dbraw/zinc/74/12/35/1073741235.db2.gz UEHRJUAARDTZCZ-UHFFFAOYSA-N 0 0 428.497 -0.709 20 0 IBADRN COCCN1CCCN(Cn2c3ccc(S(=O)(=O)N(C)C)cc3oc2=O)CC1=O ZINC000787248039 1073741014 /nfs/dbraw/zinc/74/10/14/1073741014.db2.gz YQORFHJCTKNJTE-UHFFFAOYSA-N 0 0 426.495 -0.017 20 0 IBADRN O=C(NCc1ccc(-n2cncn2)nc1)C(=O)N[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000787255010 1073741191 /nfs/dbraw/zinc/74/11/91/1073741191.db2.gz BXVONFJTZPSNFF-GFCCVEGCSA-N 0 0 425.371 -0.052 20 0 IBADRN O=C(NCc1ccc(-n2cncn2)nc1)C(=O)N[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000787255012 1073741229 /nfs/dbraw/zinc/74/12/29/1073741229.db2.gz BXVONFJTZPSNFF-LBPRGKRZSA-N 0 0 425.371 -0.052 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC000787255129 1073741714 /nfs/dbraw/zinc/74/17/14/1073741714.db2.gz FYILKOXHBIZFQJ-AWEZNQCLSA-N 0 0 426.481 -0.910 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC000787255139 1073741673 /nfs/dbraw/zinc/74/16/73/1073741673.db2.gz FYILKOXHBIZFQJ-CQSZACIVSA-N 0 0 426.481 -0.910 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1)N1CCCCC1 ZINC000787255532 1073741105 /nfs/dbraw/zinc/74/11/05/1073741105.db2.gz FLPJMNVJVMIDSV-UHFFFAOYSA-N 0 0 440.508 -0.565 20 0 IBADRN O=C(CNC(=O)C(=O)NCc1ccc(-n2cncn2)nc1)N1CCN(c2ccccc2)CC1 ZINC000787256014 1073741244 /nfs/dbraw/zinc/74/12/44/1073741244.db2.gz KWFOALGPEPNILR-UHFFFAOYSA-N 0 0 448.487 -0.257 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NCc1ccc(-n2cncn2)nc1 ZINC000787257112 1073741658 /nfs/dbraw/zinc/74/16/58/1073741658.db2.gz LRTCDSBWCPEOCK-HNNXBMFYSA-N 0 0 435.510 -0.401 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NCc1ccc(-n2cncn2)nc1 ZINC000787257114 1073741750 /nfs/dbraw/zinc/74/17/50/1073741750.db2.gz LRTCDSBWCPEOCK-OAHLLOKOSA-N 0 0 435.510 -0.401 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC000787257216 1073741738 /nfs/dbraw/zinc/74/17/38/1073741738.db2.gz QXJOETVAZHPOEZ-HNNXBMFYSA-N 0 0 428.497 -0.663 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC000787257218 1073741630 /nfs/dbraw/zinc/74/16/30/1073741630.db2.gz QXJOETVAZHPOEZ-OAHLLOKOSA-N 0 0 428.497 -0.663 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)C(=O)NCc1ccc(-n2cncn2)nc1 ZINC000787259765 1073741675 /nfs/dbraw/zinc/74/16/75/1073741675.db2.gz LXKMUBOKMMWFRG-UHFFFAOYSA-N 0 0 425.424 -0.107 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC000787259771 1073741721 /nfs/dbraw/zinc/74/17/21/1073741721.db2.gz LZXCCSOIFLUKJR-UHFFFAOYSA-N 0 0 442.524 -0.319 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CCN1c1nccn2cnnc12 ZINC000787260857 1073741743 /nfs/dbraw/zinc/74/17/43/1073741743.db2.gz VQTADYSTJXUPDK-AWEZNQCLSA-N 0 0 447.463 -0.547 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CCN1c1nccn2cnnc12 ZINC000787260860 1073741667 /nfs/dbraw/zinc/74/16/67/1073741667.db2.gz VQTADYSTJXUPDK-CQSZACIVSA-N 0 0 447.463 -0.547 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN([C@H](C)c2nnnn2C)CC1 ZINC000787261476 1073741808 /nfs/dbraw/zinc/74/18/08/1073741808.db2.gz KBUZQNSOAUGTMT-CYBMUJFWSA-N 0 0 428.540 -0.010 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN([C@@H](C)c2nnnn2C)CC1 ZINC000787261484 1073741650 /nfs/dbraw/zinc/74/16/50/1073741650.db2.gz KBUZQNSOAUGTMT-ZDUSSCGKSA-N 0 0 428.540 -0.010 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC000787262599 1073742421 /nfs/dbraw/zinc/74/24/21/1073742421.db2.gz URMUCHGMBUJTGW-INIZCTEOSA-N 0 0 440.508 -0.566 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC000787262600 1073742396 /nfs/dbraw/zinc/74/23/96/1073742396.db2.gz URMUCHGMBUJTGW-MRXNPFEDSA-N 0 0 440.508 -0.566 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NCc2ccc(-n3cncn3)nc2)s1 ZINC000787263278 1073742364 /nfs/dbraw/zinc/74/23/64/1073742364.db2.gz ZARKTXSAPNQBQQ-UHFFFAOYSA-N 0 0 449.518 -0.257 20 0 IBADRN COC(CN(CCCO)C(=O)Cn1cnc2c(c(Br)nn2C)c1=O)OC ZINC000787278755 1073742301 /nfs/dbraw/zinc/74/23/01/1073742301.db2.gz BMADVHACDYUCNN-UHFFFAOYSA-N 0 0 432.275 -0.278 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787279983 1073742232 /nfs/dbraw/zinc/74/22/32/1073742232.db2.gz LMICPVYYCMDMGI-UHFFFAOYSA-N 0 0 435.481 -0.098 20 0 IBADRN COc1ncccc1CNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000787280752 1073742429 /nfs/dbraw/zinc/74/24/29/1073742429.db2.gz RNOMPSCPVUZYPH-UHFFFAOYSA-N 0 0 443.485 0.000 20 0 IBADRN COC(CN(CCCO)C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)OC ZINC000787284957 1073742292 /nfs/dbraw/zinc/74/22/92/1073742292.db2.gz GQXGWKRTXDIBMM-CYBMUJFWSA-N 0 0 429.495 -0.483 20 0 IBADRN COC(CN(CCCO)C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)OC ZINC000787284961 1073742381 /nfs/dbraw/zinc/74/23/81/1073742381.db2.gz GQXGWKRTXDIBMM-ZDUSSCGKSA-N 0 0 429.495 -0.483 20 0 IBADRN COC(CN(CCCO)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)OC ZINC000787287541 1073742249 /nfs/dbraw/zinc/74/22/49/1073742249.db2.gz XJDLVRSTJMCIQL-UHFFFAOYSA-N 0 0 429.495 -0.749 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3cccnc3N3CCOCC3)C[C@H]1COCC2 ZINC000787289387 1073742409 /nfs/dbraw/zinc/74/24/09/1073742409.db2.gz GRULCHVNMLUVGW-HRAATJIYSA-N 0 0 432.477 -0.428 20 0 IBADRN NC(=O)[C@H]1[C@@H]2CCC[C@H]2CN1CCS(=O)(=O)CCN1C[C@H]2CCC[C@H]2[C@H]1C(N)=O ZINC000787333435 1073742308 /nfs/dbraw/zinc/74/23/08/1073742308.db2.gz ZNLPOJPMSWGGRC-NWCWSAAOSA-N 0 0 426.583 -0.427 20 0 IBADRN NC(=O)[C@H]1[C@H]2CCC[C@H]2CN1CCS(=O)(=O)CCN1C[C@H]2CCC[C@H]2[C@H]1C(N)=O ZINC000787333436 1073742277 /nfs/dbraw/zinc/74/22/77/1073742277.db2.gz ZNLPOJPMSWGGRC-PTZATXGZSA-N 0 0 426.583 -0.427 20 0 IBADRN NC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1CCS(=O)(=O)CCN1C[C@@H]2CCC[C@@H]2[C@H]1C(N)=O ZINC000787333437 1073742267 /nfs/dbraw/zinc/74/22/67/1073742267.db2.gz ZNLPOJPMSWGGRC-RFSXOUPVSA-N 0 0 426.583 -0.427 20 0 IBADRN NC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1CCS(=O)(=O)CCN1C[C@H]2CCC[C@H]2[C@H]1C(N)=O ZINC000787333438 1073742390 /nfs/dbraw/zinc/74/23/90/1073742390.db2.gz ZNLPOJPMSWGGRC-SUMCQTLJSA-N 0 0 426.583 -0.427 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000787335726 1073742327 /nfs/dbraw/zinc/74/23/27/1073742327.db2.gz LYNVBBWVMVISEW-UHFFFAOYSA-N 0 0 435.485 -0.276 20 0 IBADRN CC(=O)N[C@@H](CC(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1)C(N)=O ZINC000787337254 1073742818 /nfs/dbraw/zinc/74/28/18/1073742818.db2.gz YOFYDYLTZFSBCK-AWEZNQCLSA-N 0 0 435.485 -0.148 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(c3cc(F)ccc3F)=NO1)C2 ZINC000787339548 1073742918 /nfs/dbraw/zinc/74/29/18/1073742918.db2.gz CDUOUEJZEQLLAS-HNNXBMFYSA-N 0 0 434.403 -0.053 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(c3cc(F)ccc3F)=NO1)C2 ZINC000787339568 1073742971 /nfs/dbraw/zinc/74/29/71/1073742971.db2.gz CDUOUEJZEQLLAS-OAHLLOKOSA-N 0 0 434.403 -0.053 20 0 IBADRN COc1ccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nc1Br ZINC000787339970 1073743058 /nfs/dbraw/zinc/74/30/58/1073743058.db2.gz DECXNEYFZRLACU-UHFFFAOYSA-N 0 0 439.270 -0.045 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)COC1CN(C(=O)OC(C)(C)C)C1)C2 ZINC000787341568 1073742911 /nfs/dbraw/zinc/74/29/11/1073742911.db2.gz PJZGYMBLEDHRKL-UHFFFAOYSA-N 0 0 438.485 -0.889 20 0 IBADRN Cc1c[nH]c(=O)n1-c1ccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000787342678 1073742979 /nfs/dbraw/zinc/74/29/79/1073742979.db2.gz ZVEZLWHKINNADN-UHFFFAOYSA-N 0 0 425.449 -0.011 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1C(=S)N=NC1c1cccs1)C2 ZINC000787344244 1073743528 /nfs/dbraw/zinc/74/35/28/1073743528.db2.gz GWJOWLNBOPVUDS-UHFFFAOYSA-N 0 0 448.534 -0.216 20 0 IBADRN Cc1cc(=O)oc2cc(OCC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)ccc12 ZINC000787344251 1073743553 /nfs/dbraw/zinc/74/35/53/1073743553.db2.gz HCGHMLOXNZMUBM-UHFFFAOYSA-N 0 0 441.444 -0.031 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1C ZINC000787344261 1073743522 /nfs/dbraw/zinc/74/35/22/1073743522.db2.gz HPUQEZYOGJFUGV-UHFFFAOYSA-N 0 0 448.480 -0.463 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C=CC(=O)Nc1ccc3n[nH]cc3c1)C2 ZINC000787344309 1073743598 /nfs/dbraw/zinc/74/35/98/1073743598.db2.gz JQKTXIDLHATSEF-AATRIKPKSA-N 0 0 438.448 -0.454 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C=CC(=O)Nc1ccc3n[nH]cc3c1)C2 ZINC000787344310 1073743583 /nfs/dbraw/zinc/74/35/83/1073743583.db2.gz JQKTXIDLHATSEF-WAYWQWQTSA-N 0 0 438.448 -0.454 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](O)[C@@H](O)c1nc3ccccc3s1)C2 ZINC000787344747 1073743574 /nfs/dbraw/zinc/74/35/74/1073743574.db2.gz JYFNETDGMNLHHE-CVEARBPZSA-N 0 0 446.489 -0.821 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](O)[C@H](O)c1nc3ccccc3s1)C2 ZINC000787344752 1073743567 /nfs/dbraw/zinc/74/35/67/1073743567.db2.gz JYFNETDGMNLHHE-HOTGVXAUSA-N 0 0 446.489 -0.821 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](O)[C@@H](O)c1nc3ccccc3s1)C2 ZINC000787344755 1073743465 /nfs/dbraw/zinc/74/34/65/1073743465.db2.gz JYFNETDGMNLHHE-HZPDHXFCSA-N 0 0 446.489 -0.821 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](O)[C@H](O)c1nc3ccccc3s1)C2 ZINC000787344758 1073743547 /nfs/dbraw/zinc/74/35/47/1073743547.db2.gz JYFNETDGMNLHHE-JKSUJKDBSA-N 0 0 446.489 -0.821 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(N3CCOC3=O)ccc1F)C2 ZINC000787344785 1073743533 /nfs/dbraw/zinc/74/35/33/1073743533.db2.gz KPCPTBGZRZPOFF-UHFFFAOYSA-N 0 0 432.412 -0.115 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(S(=O)(=O)C(F)F)cc1)C2 ZINC000787344807 1073743603 /nfs/dbraw/zinc/74/36/03/1073743603.db2.gz LMBCEOCKDAWVSV-UHFFFAOYSA-N 0 0 443.432 -0.215 20 0 IBADRN CC(=O)[C@@H]1CC(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)=NN1c1ccccc1 ZINC000787344867 1073743491 /nfs/dbraw/zinc/74/34/91/1073743491.db2.gz MAWQASPCHULGLM-KRWDZBQOSA-N 0 0 439.476 -0.301 20 0 IBADRN CC(=O)[C@H]1CC(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)=NN1c1ccccc1 ZINC000787344869 1073743542 /nfs/dbraw/zinc/74/35/42/1073743542.db2.gz MAWQASPCHULGLM-QGZVFWFLSA-N 0 0 439.476 -0.301 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(S(C)(=O)=O)ccc1Cl)C2 ZINC000787345535 1073743979 /nfs/dbraw/zinc/74/39/79/1073743979.db2.gz OXKFZTYKPQRXIL-UHFFFAOYSA-N 0 0 441.897 -0.154 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)/C=C/c1ccc(NS(C)(=O)=O)cc1)C2 ZINC000787345575 1073743590 /nfs/dbraw/zinc/74/35/90/1073743590.db2.gz PGQQMBCUZBBJQF-RMKNXTFCSA-N 0 0 448.505 -0.440 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)/C=C\c1ccc(NS(C)(=O)=O)cc1)C2 ZINC000787345581 1073743485 /nfs/dbraw/zinc/74/34/85/1073743485.db2.gz PGQQMBCUZBBJQF-TWGQIWQCSA-N 0 0 448.505 -0.440 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(Cl)c(S(C)(=O)=O)c1)C2 ZINC000787345781 1073743513 /nfs/dbraw/zinc/74/35/13/1073743513.db2.gz SFVITYYIOAVYQI-UHFFFAOYSA-N 0 0 441.897 -0.154 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnccc1NC(=O)OC(C)(C)C)C2 ZINC000787346427 1073743988 /nfs/dbraw/zinc/74/39/88/1073743988.db2.gz UMKMCAYAOQQXOX-UHFFFAOYSA-N 0 0 445.480 -0.047 20 0 IBADRN C[C@@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000787346458 1073744049 /nfs/dbraw/zinc/74/40/49/1073744049.db2.gz UQCVPDBJTZSGAX-CYBMUJFWSA-N 0 0 436.513 -0.022 20 0 IBADRN C[C@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000787346462 1073744035 /nfs/dbraw/zinc/74/40/35/1073744035.db2.gz UQCVPDBJTZSGAX-ZDUSSCGKSA-N 0 0 436.513 -0.022 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cnc(NC(=O)OC(C)(C)C)cn1)C2 ZINC000787346562 1073743868 /nfs/dbraw/zinc/74/38/68/1073743868.db2.gz VKEFGRRURBNLGZ-UHFFFAOYSA-N 0 0 446.468 -0.074 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000787347291 1073743939 /nfs/dbraw/zinc/74/39/39/1073743939.db2.gz XRZJDAHLWOAACC-UHFFFAOYSA-N 0 0 439.469 -0.278 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(S(C)(=O)=O)ccc1F)C2 ZINC000787347305 1073743927 /nfs/dbraw/zinc/74/39/27/1073743927.db2.gz XUXSQIZADZGUHY-UHFFFAOYSA-N 0 0 425.442 -0.668 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN1C(=O)OCC(F)(F)F)C2 ZINC000787347375 1073743973 /nfs/dbraw/zinc/74/39/73/1073743973.db2.gz ZPHFPRNIKZNNFD-LLVKDONJSA-N 0 0 448.402 -0.362 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F)C2 ZINC000787347378 1073743896 /nfs/dbraw/zinc/74/38/96/1073743896.db2.gz ZPHFPRNIKZNNFD-NSHDSACASA-N 0 0 448.402 -0.362 20 0 IBADRN COCCN1CCCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1=O ZINC000787361970 1073742994 /nfs/dbraw/zinc/74/29/94/1073742994.db2.gz DOXSZHDEHJVGAQ-NRFANRHFSA-N 0 0 447.492 -0.326 20 0 IBADRN COCCN1CCCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1=O ZINC000787361973 1073743006 /nfs/dbraw/zinc/74/30/06/1073743006.db2.gz DOXSZHDEHJVGAQ-OAQYLSRUSA-N 0 0 447.492 -0.326 20 0 IBADRN COCCN1CCCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1=O ZINC000787368661 1073742951 /nfs/dbraw/zinc/74/29/51/1073742951.db2.gz VUBLOOBSSIKJRV-NRFANRHFSA-N 0 0 447.492 -0.326 20 0 IBADRN COCCN1CCCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1=O ZINC000787368670 1073742829 /nfs/dbraw/zinc/74/28/29/1073742829.db2.gz VUBLOOBSSIKJRV-OAQYLSRUSA-N 0 0 447.492 -0.326 20 0 IBADRN Cc1cccnc1C(F)(F)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000787377705 1073742844 /nfs/dbraw/zinc/74/28/44/1073742844.db2.gz BDVLAYAYFAELQG-AWEZNQCLSA-N 0 0 430.477 -0.071 20 0 IBADRN Cc1cccnc1C(F)(F)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000787377710 1073742870 /nfs/dbraw/zinc/74/28/70/1073742870.db2.gz BDVLAYAYFAELQG-CQSZACIVSA-N 0 0 430.477 -0.071 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCc2ncccc2S(C)(=O)=O)CC1 ZINC000787387077 1073744086 /nfs/dbraw/zinc/74/40/86/1073744086.db2.gz JHDMBUPBLCIPKT-UHFFFAOYSA-N 0 0 433.556 -0.101 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC3CCC4(CC3)OCCO4)CC2)no1 ZINC000787398324 1073743917 /nfs/dbraw/zinc/74/39/17/1073743917.db2.gz GOAOJBRQRQJVJL-UHFFFAOYSA-N 0 0 435.481 -0.132 20 0 IBADRN COCCN1CCCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1=O ZINC000787399255 1073743853 /nfs/dbraw/zinc/74/38/53/1073743853.db2.gz BBEOZPWADNNYII-UHFFFAOYSA-N 0 0 441.286 -0.400 20 0 IBADRN O=C(NC1CCC2(CC1)OCCO2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000787400691 1073744643 /nfs/dbraw/zinc/74/46/43/1073744643.db2.gz OUJNOOGMTOBUEH-INIZCTEOSA-N 0 0 445.538 -0.559 20 0 IBADRN O=C(NC1CCC2(CC1)OCCO2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000787400700 1073744584 /nfs/dbraw/zinc/74/45/84/1073744584.db2.gz OUJNOOGMTOBUEH-MRXNPFEDSA-N 0 0 445.538 -0.559 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC2CCC3(CC2)OCCO3)CCN1c1nccn2cnnc12 ZINC000787408680 1073744527 /nfs/dbraw/zinc/74/45/27/1073744527.db2.gz KDPNXCNQCNDNER-AWEZNQCLSA-N 0 0 429.481 -0.037 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC2CCC3(CC2)OCCO3)CCN1c1nccn2cnnc12 ZINC000787408688 1073744550 /nfs/dbraw/zinc/74/45/50/1073744550.db2.gz KDPNXCNQCNDNER-CQSZACIVSA-N 0 0 429.481 -0.037 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000787409839 1073744556 /nfs/dbraw/zinc/74/45/56/1073744556.db2.gz AICHXZXBEXCPEX-NRFANRHFSA-N 0 0 428.445 -0.386 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000787409840 1073744664 /nfs/dbraw/zinc/74/46/64/1073744664.db2.gz AICHXZXBEXCPEX-OAQYLSRUSA-N 0 0 428.445 -0.386 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NC2CCC3(CC2)OCCO3)CC1 ZINC000787410852 1073744061 /nfs/dbraw/zinc/74/40/61/1073744061.db2.gz DDXCVPNHHUXBTH-UHFFFAOYSA-N 0 0 429.481 -0.186 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NC2CCC3(CC2)OCCO3)CC1 ZINC000787413521 1073743996 /nfs/dbraw/zinc/74/39/96/1073743996.db2.gz RAKOYUKFYJHYLL-INIZCTEOSA-N 0 0 440.541 -0.526 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC2CCC3(CC2)OCCO3)CC1 ZINC000787413524 1073743907 /nfs/dbraw/zinc/74/39/07/1073743907.db2.gz RAKOYUKFYJHYLL-MRXNPFEDSA-N 0 0 440.541 -0.526 20 0 IBADRN O=C(CCNC(=O)C(=O)NC1CCC2(CC1)OCCO2)N1CCN(c2ncccn2)CC1 ZINC000787421360 1073743882 /nfs/dbraw/zinc/74/38/82/1073743882.db2.gz LKVRLXKYAFRRFP-UHFFFAOYSA-N 0 0 446.508 -0.567 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC2CCC3(CC2)OCCO3)C1 ZINC000787423034 1073743959 /nfs/dbraw/zinc/74/39/59/1073743959.db2.gz ZARPQTDRPFPHEK-INIZCTEOSA-N 0 0 447.554 -0.313 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC2CCC3(CC2)OCCO3)C1 ZINC000787423077 1073744022 /nfs/dbraw/zinc/74/40/22/1073744022.db2.gz ZARPQTDRPFPHEK-MRXNPFEDSA-N 0 0 447.554 -0.313 20 0 IBADRN O=C(NC1CCC2(CC1)OCCO2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000787424912 1073743946 /nfs/dbraw/zinc/74/39/46/1073743946.db2.gz KDXDCTGUKGTQAF-UHFFFAOYSA-N 0 0 442.494 -0.550 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC2CCC3(CC2)OCCO3)CC1 ZINC000787435108 1073745576 /nfs/dbraw/zinc/74/55/76/1073745576.db2.gz WMNPHOGGZDHTLY-UHFFFAOYSA-N 0 0 447.554 -0.313 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCc2cccnc2-n2ccnc2)CC1 ZINC000787440722 1073744507 /nfs/dbraw/zinc/74/45/07/1073744507.db2.gz BXXPPTSSWDALDE-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccnc2-n2ccnc2)CC1)N1CCCC1 ZINC000787441650 1073744614 /nfs/dbraw/zinc/74/46/14/1073744614.db2.gz HBGZRDXBWOAROS-UHFFFAOYSA-N 0 0 425.493 -0.350 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCc2cccnc2-n2ccnc2)CC1 ZINC000787442743 1073744620 /nfs/dbraw/zinc/74/46/20/1073744620.db2.gz LAQYLBCZBPQDRU-UHFFFAOYSA-N 0 0 427.509 -0.104 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1cccnc1-n1ccnc1 ZINC000787442817 1073744599 /nfs/dbraw/zinc/74/45/99/1073744599.db2.gz MIRPPVJWSLPNBH-UHFFFAOYSA-N 0 0 438.535 -0.622 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN3C(=O)OC[C@H]3C2)c1C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000787444239 1073744608 /nfs/dbraw/zinc/74/46/08/1073744608.db2.gz LVOOUPCKIONCGS-CABCVRRESA-N 0 0 445.476 -0.184 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN3C(=O)OC[C@@H]3C2)c1C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000787444244 1073744538 /nfs/dbraw/zinc/74/45/38/1073744538.db2.gz LVOOUPCKIONCGS-GJZGRUSLSA-N 0 0 445.476 -0.184 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN3C(=O)OC[C@H]3C2)c1C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000787444247 1073744581 /nfs/dbraw/zinc/74/45/81/1073744581.db2.gz LVOOUPCKIONCGS-HUUCEWRRSA-N 0 0 445.476 -0.184 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CCN3C(=O)OC[C@@H]3C2)c1C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000787444250 1073744637 /nfs/dbraw/zinc/74/46/37/1073744637.db2.gz LVOOUPCKIONCGS-LSDHHAIUSA-N 0 0 445.476 -0.184 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccnc2-n2ccnc2)CC1 ZINC000787446297 1073744627 /nfs/dbraw/zinc/74/46/27/1073744627.db2.gz YRENXRGVNRFVDV-HNNXBMFYSA-N 0 0 425.493 -0.305 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCc2cccnc2-n2ccnc2)CC1 ZINC000787446300 1073745099 /nfs/dbraw/zinc/74/50/99/1073745099.db2.gz YRENXRGVNRFVDV-OAHLLOKOSA-N 0 0 425.493 -0.305 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NCc2cccnc2-n2ccnc2)CC1 ZINC000787447387 1073745146 /nfs/dbraw/zinc/74/51/46/1073745146.db2.gz GUCYGSZIABZOLR-UHFFFAOYSA-N 0 0 446.475 -0.091 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)NCC(C)(C)N2CCOCC2)n1 ZINC000787447623 1073745120 /nfs/dbraw/zinc/74/51/20/1073745120.db2.gz WSPUBHFUAVKXBZ-UHFFFAOYSA-N 0 0 429.543 -0.208 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccnc2-n2ccnc2)CC1 ZINC000787449180 1073745138 /nfs/dbraw/zinc/74/51/38/1073745138.db2.gz QUYCFASUKBQTEV-INIZCTEOSA-N 0 0 427.509 -0.058 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccnc2-n2ccnc2)CC1 ZINC000787449181 1073744978 /nfs/dbraw/zinc/74/49/78/1073744978.db2.gz QUYCFASUKBQTEV-MRXNPFEDSA-N 0 0 427.509 -0.058 20 0 IBADRN O=C(NCc1cccnc1-n1ccnc1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000787450017 1073745107 /nfs/dbraw/zinc/74/51/07/1073745107.db2.gz ZKBSQLJVOIXJAP-INIZCTEOSA-N 0 0 432.506 -0.090 20 0 IBADRN O=C(NCc1cccnc1-n1ccnc1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000787450019 1073745131 /nfs/dbraw/zinc/74/51/31/1073745131.db2.gz ZKBSQLJVOIXJAP-MRXNPFEDSA-N 0 0 432.506 -0.090 20 0 IBADRN Cc1ccc(N2CCNC2=O)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000787452378 1073745212 /nfs/dbraw/zinc/74/52/12/1073745212.db2.gz KATDTIFINAEMSF-UHFFFAOYSA-N 0 0 442.476 -0.587 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)NCC(=O)NCc2ccccc2)n1 ZINC000787452723 1073745249 /nfs/dbraw/zinc/74/52/49/1073745249.db2.gz LUNOFRJJGOEEJR-UHFFFAOYSA-N 0 0 435.506 -0.003 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)C(C)C)CC2)n1 ZINC000787454742 1073744997 /nfs/dbraw/zinc/74/49/97/1073744997.db2.gz HVLWYGVHKINHHQ-UHFFFAOYSA-N 0 0 427.527 -0.108 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(c3ncccn3)CC2)n1 ZINC000787455837 1073745170 /nfs/dbraw/zinc/74/51/70/1073745170.db2.gz VTXADKMLRARBQW-UHFFFAOYSA-N 0 0 435.510 -0.296 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)CC1 ZINC000787456141 1073745018 /nfs/dbraw/zinc/74/50/18/1073745018.db2.gz YZJMCHZYQKISOO-UHFFFAOYSA-N 0 0 429.499 -0.135 20 0 IBADRN Cc1ccc(N2CCNC2=O)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000787456918 1073745223 /nfs/dbraw/zinc/74/52/23/1073745223.db2.gz DCTAMOYJSUEKNH-UHFFFAOYSA-N 0 0 444.492 -0.341 20 0 IBADRN Cc1ccc(N2CCNC2=O)cc1NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000787458646 1073745181 /nfs/dbraw/zinc/74/51/81/1073745181.db2.gz QZMQXCOICFIINC-UHFFFAOYSA-N 0 0 428.493 -0.114 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NCc3cccnc3-n3ccnc3)CC2)CC1 ZINC000787460840 1073745258 /nfs/dbraw/zinc/74/52/58/1073745258.db2.gz DTVALESMHBRYQT-UHFFFAOYSA-N 0 0 439.520 -0.104 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCc3cccnc3-n3ccnc3)CC2)nc1 ZINC000787461440 1073745588 /nfs/dbraw/zinc/74/55/88/1073745588.db2.gz FSZGKFKUQJDIRW-UHFFFAOYSA-N 0 0 434.460 -0.274 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCc3cccnc3-n3ccnc3)CC2)n1 ZINC000787462530 1073745772 /nfs/dbraw/zinc/74/57/72/1073745772.db2.gz JRBJQGRWEIJEBB-UHFFFAOYSA-N 0 0 440.464 -0.243 20 0 IBADRN Cc1ccc(N2CCNC2=O)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000787464314 1073745688 /nfs/dbraw/zinc/74/56/88/1073745688.db2.gz KZIMGHZJRXMSRF-UHFFFAOYSA-N 0 0 438.510 -0.586 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)n1 ZINC000787464483 1073745769 /nfs/dbraw/zinc/74/57/69/1073745769.db2.gz FBDHEWCARIOOMS-UHFFFAOYSA-N 0 0 428.515 -0.609 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(c3cnccn3)CC2)n1 ZINC000787469426 1073746313 /nfs/dbraw/zinc/74/63/13/1073746313.db2.gz VVIBVESZUFZLQG-UHFFFAOYSA-N 0 0 435.510 -0.296 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(C[C@@H]3CCCO3)CC2)n1 ZINC000787469652 1073746104 /nfs/dbraw/zinc/74/61/04/1073746104.db2.gz PVAVGKRVAREXPP-INIZCTEOSA-N 0 0 441.554 -0.112 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(C[C@H]3CCCO3)CC2)n1 ZINC000787469661 1073746224 /nfs/dbraw/zinc/74/62/24/1073746224.db2.gz PVAVGKRVAREXPP-MRXNPFEDSA-N 0 0 441.554 -0.112 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cn1 ZINC000787478906 1073746456 /nfs/dbraw/zinc/74/64/56/1073746456.db2.gz REAXUNHVZHBBAI-UHFFFAOYSA-N 0 0 439.520 -0.041 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)cn1 ZINC000787480182 1073746941 /nfs/dbraw/zinc/74/69/41/1073746941.db2.gz XXSLSQLSFHUWDZ-UHFFFAOYSA-N 0 0 427.509 -0.186 20 0 IBADRN Cc1ccc(N2CCNC2=O)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000787480231 1073747093 /nfs/dbraw/zinc/74/70/93/1073747093.db2.gz KIMDFIAXXOLTKO-UHFFFAOYSA-N 0 0 439.494 -0.759 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cn1 ZINC000787480665 1073747002 /nfs/dbraw/zinc/74/70/02/1073747002.db2.gz GKEDUTGSFUAZNF-INIZCTEOSA-N 0 0 434.522 -0.020 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cn1 ZINC000787480670 1073747115 /nfs/dbraw/zinc/74/71/15/1073747115.db2.gz GKEDUTGSFUAZNF-MRXNPFEDSA-N 0 0 434.522 -0.020 20 0 IBADRN CCNC(=O)Nc1ccc(C)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000787480720 1073747050 /nfs/dbraw/zinc/74/70/50/1073747050.db2.gz NOTNGGBJXHNUNO-UHFFFAOYSA-N 0 0 441.510 -0.147 20 0 IBADRN COc1ccc(NC(=O)C(=O)N(C)CCS(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC000787480852 1073747046 /nfs/dbraw/zinc/74/70/46/1073747046.db2.gz JJMYZBROHASJBC-UHFFFAOYSA-N 0 0 447.535 -0.079 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1N1CCNC1=O ZINC000787481172 1073747011 /nfs/dbraw/zinc/74/70/11/1073747011.db2.gz RRIZMOZMYAZQJG-UHFFFAOYSA-N 0 0 439.494 -0.759 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)n1 ZINC000787481761 1073746952 /nfs/dbraw/zinc/74/69/52/1073746952.db2.gz SSVRENILBTYEIO-CYBMUJFWSA-N 0 0 429.499 -0.088 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)n1 ZINC000787481764 1073747036 /nfs/dbraw/zinc/74/70/36/1073747036.db2.gz SSVRENILBTYEIO-ZDUSSCGKSA-N 0 0 429.499 -0.088 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cccc1N1CCNC1=O ZINC000787482004 1073747018 /nfs/dbraw/zinc/74/70/18/1073747018.db2.gz XRKJSCBYOMBHFT-UHFFFAOYSA-N 0 0 439.494 -0.759 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)cn1 ZINC000787483014 1073747069 /nfs/dbraw/zinc/74/70/69/1073747069.db2.gz DFDYKNZXXHCDHU-UHFFFAOYSA-N 0 0 427.494 -0.026 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)NCCN2CCOC(C)(C)C2)n1 ZINC000787483043 1073746995 /nfs/dbraw/zinc/74/69/95/1073746995.db2.gz MMRKKRYJJPHZCU-UHFFFAOYSA-N 0 0 429.543 -0.208 20 0 IBADRN CCN1CCCN(C(=O)COCCOCC(=O)N2CCCN(CC)C(=O)C2)CC1=O ZINC000787483144 1073747084 /nfs/dbraw/zinc/74/70/84/1073747084.db2.gz ICPPUOBFAMYBPF-UHFFFAOYSA-N 0 0 426.514 -0.819 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)cn1 ZINC000787483926 1073747039 /nfs/dbraw/zinc/74/70/39/1073747039.db2.gz BKUIJFZKTKFGRN-UHFFFAOYSA-N 0 0 427.509 -0.139 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)NCCN2CCOCC2(C)C)n1 ZINC000787484933 1073746931 /nfs/dbraw/zinc/74/69/31/1073746931.db2.gz IWVQALWHWKGIGJ-UHFFFAOYSA-N 0 0 429.543 -0.208 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(C)c(C(=O)N2CCOCC2)c1 ZINC000787486917 1073746962 /nfs/dbraw/zinc/74/69/62/1073746962.db2.gz SEGWRBKFNVPEEF-UHFFFAOYSA-N 0 0 426.495 -0.539 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000787487326 1073747106 /nfs/dbraw/zinc/74/71/06/1073747106.db2.gz DVFQJBDICAMUOS-LLVKDONJSA-N 0 0 431.467 -0.037 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC000787487335 1073747790 /nfs/dbraw/zinc/74/77/90/1073747790.db2.gz DVFQJBDICAMUOS-NSHDSACASA-N 0 0 431.467 -0.037 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000787487697 1073747024 /nfs/dbraw/zinc/74/70/24/1073747024.db2.gz YFNXKBQSIYLJCC-UHFFFAOYSA-N 0 0 439.476 -0.859 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)cn1 ZINC000787488551 1073745717 /nfs/dbraw/zinc/74/57/17/1073745717.db2.gz YTCHXXAFYLFWLE-UHFFFAOYSA-N 0 0 425.493 -0.385 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)cn1 ZINC000787492360 1073745633 /nfs/dbraw/zinc/74/56/33/1073745633.db2.gz WEWPMYOXVZSZGU-UHFFFAOYSA-N 0 0 436.476 -0.366 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCCC2)c1 ZINC000787500187 1073745600 /nfs/dbraw/zinc/74/56/00/1073745600.db2.gz SBXLJUQETRAPBK-UHFFFAOYSA-N 0 0 447.535 -0.031 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(c3cnn(C)c3)CC2)n1 ZINC000787511161 1073746473 /nfs/dbraw/zinc/74/64/73/1073746473.db2.gz OPIDMPPIZZBTII-UHFFFAOYSA-N 0 0 437.526 -0.353 20 0 IBADRN Cc1ccc(N2CCNC2=O)cc1NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000787511298 1073746189 /nfs/dbraw/zinc/74/61/89/1073746189.db2.gz STLGUOOCEHJWTD-UHFFFAOYSA-N 0 0 439.476 -0.094 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(N3CCNC3=O)ccc2C)CC1 ZINC000787515499 1073746503 /nfs/dbraw/zinc/74/65/03/1073746503.db2.gz XAZBHBWYZDKOGO-UHFFFAOYSA-N 0 0 446.508 -0.630 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)CC1 ZINC000787515536 1073746434 /nfs/dbraw/zinc/74/64/34/1073746434.db2.gz XLBKTRKKSQRMEE-UHFFFAOYSA-N 0 0 429.543 -0.255 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)CC1 ZINC000787516236 1073746242 /nfs/dbraw/zinc/74/62/42/1073746242.db2.gz GEMVXSVAVUTGHV-HNNXBMFYSA-N 0 0 429.543 -0.520 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)CC1 ZINC000787516237 1073746204 /nfs/dbraw/zinc/74/62/04/1073746204.db2.gz GEMVXSVAVUTGHV-OAHLLOKOSA-N 0 0 429.543 -0.520 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)n1 ZINC000787517845 1073748868 /nfs/dbraw/zinc/74/88/68/1073748868.db2.gz ACJNDRBTQPGFQX-GFCCVEGCSA-N 0 0 427.483 -0.783 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)n1 ZINC000787517869 1073749078 /nfs/dbraw/zinc/74/90/78/1073749078.db2.gz ACJNDRBTQPGFQX-LBPRGKRZSA-N 0 0 427.483 -0.783 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)n1 ZINC000787517938 1073748793 /nfs/dbraw/zinc/74/87/93/1073748793.db2.gz LLUGZNYOIGHDNF-BNOWGMLFSA-N 0 0 425.511 -0.310 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)n1 ZINC000787517939 1073748912 /nfs/dbraw/zinc/74/89/12/1073748912.db2.gz LLUGZNYOIGHDNF-IACUBPJLSA-N 0 0 425.511 -0.310 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)n1 ZINC000787517940 1073748920 /nfs/dbraw/zinc/74/89/20/1073748920.db2.gz LLUGZNYOIGHDNF-MRVWCRGKSA-N 0 0 425.511 -0.310 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)n1 ZINC000787517942 1073748987 /nfs/dbraw/zinc/74/89/87/1073748987.db2.gz LLUGZNYOIGHDNF-YUTCNCBUSA-N 0 0 425.511 -0.310 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)n1 ZINC000787520934 1073747612 /nfs/dbraw/zinc/74/76/12/1073747612.db2.gz ZDGTWDNXUHAACA-UHFFFAOYSA-N 0 0 429.543 -0.520 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCC[C@H]2C(=O)NC2CC2)n1 ZINC000787525663 1073747606 /nfs/dbraw/zinc/74/76/06/1073747606.db2.gz QVXPRYUDVFRKOD-AWEZNQCLSA-N 0 0 425.511 -0.166 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCC[C@@H]2C(=O)NC2CC2)n1 ZINC000787525664 1073747496 /nfs/dbraw/zinc/74/74/96/1073747496.db2.gz QVXPRYUDVFRKOD-CQSZACIVSA-N 0 0 425.511 -0.166 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C(=O)Nc3cc(N4CCNC4=O)ccc3C)CCN2C1=O ZINC000787526856 1073747710 /nfs/dbraw/zinc/74/77/10/1073747710.db2.gz XCWANSAHGPRBCO-HNNXBMFYSA-N 0 0 428.449 -0.042 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C(=O)Nc3cc(N4CCNC4=O)ccc3C)CCN2C1=O ZINC000787526859 1073747748 /nfs/dbraw/zinc/74/77/48/1073747748.db2.gz XCWANSAHGPRBCO-OAHLLOKOSA-N 0 0 428.449 -0.042 20 0 IBADRN Cc1ccc(N2CCNC2=O)cc1NC(=O)C(=O)NCCNS(=O)(=O)c1cccnc1 ZINC000787527243 1073747763 /nfs/dbraw/zinc/74/77/63/1073747763.db2.gz JNYAPLVKFWAQQS-UHFFFAOYSA-N 0 0 446.489 -0.047 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN3C(=O)OCC[C@@H]3C2)n1 ZINC000787530358 1073747530 /nfs/dbraw/zinc/74/75/30/1073747530.db2.gz NREPJRSYVBZMAS-CYBMUJFWSA-N 0 0 427.483 -0.382 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN3C(=O)OCC[C@H]3C2)n1 ZINC000787530359 1073747725 /nfs/dbraw/zinc/74/77/25/1073747725.db2.gz NREPJRSYVBZMAS-ZDUSSCGKSA-N 0 0 427.483 -0.382 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)n1 ZINC000787534274 1073747736 /nfs/dbraw/zinc/74/77/36/1073747736.db2.gz PPOZZAGLVSPXFP-CVEARBPZSA-N 0 0 441.554 -0.378 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)n1 ZINC000787534275 1073747587 /nfs/dbraw/zinc/74/75/87/1073747587.db2.gz PPOZZAGLVSPXFP-HOTGVXAUSA-N 0 0 441.554 -0.378 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)n1 ZINC000787534276 1073747671 /nfs/dbraw/zinc/74/76/71/1073747671.db2.gz PPOZZAGLVSPXFP-HZPDHXFCSA-N 0 0 441.554 -0.378 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)n1 ZINC000787534278 1073747782 /nfs/dbraw/zinc/74/77/82/1073747782.db2.gz PPOZZAGLVSPXFP-JKSUJKDBSA-N 0 0 441.554 -0.378 20 0 IBADRN COC(=O)N1CC(NC(=O)C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCCC3)c2)C1 ZINC000787534743 1073747645 /nfs/dbraw/zinc/74/76/45/1073747645.db2.gz SXAAZVLCGCDNLG-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1 ZINC000787542480 1073747513 /nfs/dbraw/zinc/74/75/13/1073747513.db2.gz RQEVVPXECNMYGB-ZDUSSCGKSA-N 0 0 449.555 -0.943 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CC[C@H](NC(=O)NC3CC3)C2)n1 ZINC000787543691 1073747755 /nfs/dbraw/zinc/74/77/55/1073747755.db2.gz FSQPFRYJRBQZQS-AWEZNQCLSA-N 0 0 440.526 -0.373 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CC[C@@H](NC(=O)NC3CC3)C2)n1 ZINC000787543693 1073747693 /nfs/dbraw/zinc/74/76/93/1073747693.db2.gz FSQPFRYJRBQZQS-CQSZACIVSA-N 0 0 440.526 -0.373 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)NCCCN2CCCC2=O)ccc1OC ZINC000787546005 1073747654 /nfs/dbraw/zinc/74/76/54/1073747654.db2.gz IVONAFIZNDTLMH-UHFFFAOYSA-N 0 0 427.479 -0.111 20 0 IBADRN C[C@H](OC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1)c1nnnn1C ZINC000787551574 1073748193 /nfs/dbraw/zinc/74/81/93/1073748193.db2.gz QVNBAXIVBGTJHG-GZMMTYOYSA-N 0 0 434.272 -0.084 20 0 IBADRN C[C@@H](OC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1)c1nnnn1C ZINC000787551575 1073748294 /nfs/dbraw/zinc/74/82/94/1073748294.db2.gz QVNBAXIVBGTJHG-KCJUWKMLSA-N 0 0 434.272 -0.084 20 0 IBADRN C[C@H](OC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1)c1nnnn1C ZINC000787551576 1073748304 /nfs/dbraw/zinc/74/83/04/1073748304.db2.gz QVNBAXIVBGTJHG-KWQFWETISA-N 0 0 434.272 -0.084 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1)c1nnnn1C ZINC000787551577 1073748350 /nfs/dbraw/zinc/74/83/50/1073748350.db2.gz QVNBAXIVBGTJHG-LDYMZIIASA-N 0 0 434.272 -0.084 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)n1 ZINC000787554640 1073748404 /nfs/dbraw/zinc/74/84/04/1073748404.db2.gz GFDXVZIWGGVOOD-KBPBESRZSA-N 0 0 425.511 -0.166 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1 ZINC000787554641 1073748383 /nfs/dbraw/zinc/74/83/83/1073748383.db2.gz GFDXVZIWGGVOOD-KGLIPLIRSA-N 0 0 425.511 -0.166 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)n1 ZINC000787554642 1073748398 /nfs/dbraw/zinc/74/83/98/1073748398.db2.gz GFDXVZIWGGVOOD-UONOGXRCSA-N 0 0 425.511 -0.166 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)n1 ZINC000787554643 1073748414 /nfs/dbraw/zinc/74/84/14/1073748414.db2.gz GFDXVZIWGGVOOD-ZIAGYGMSSA-N 0 0 425.511 -0.166 20 0 IBADRN CCOC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)C1 ZINC000787554847 1073748205 /nfs/dbraw/zinc/74/82/05/1073748205.db2.gz KZQQMRXKFWUOMH-CYBMUJFWSA-N 0 0 429.499 -0.088 20 0 IBADRN CCOC(=O)N1CC[C@H](NC(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)C1 ZINC000787554849 1073748181 /nfs/dbraw/zinc/74/81/81/1073748181.db2.gz KZQQMRXKFWUOMH-ZDUSSCGKSA-N 0 0 429.499 -0.088 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCC[C@H]2CN2CCOCC2)n1 ZINC000787556248 1073748356 /nfs/dbraw/zinc/74/83/56/1073748356.db2.gz DLCDVSCVMZHGFX-INIZCTEOSA-N 0 0 441.554 -0.112 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCC[C@@H]2CN2CCOCC2)n1 ZINC000787556249 1073748312 /nfs/dbraw/zinc/74/83/12/1073748312.db2.gz DLCDVSCVMZHGFX-MRXNPFEDSA-N 0 0 441.554 -0.112 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(CCO)C(C)(C)C2)n1 ZINC000787557405 1073748955 /nfs/dbraw/zinc/74/89/55/1073748955.db2.gz MORHASGEUJFBTC-UHFFFAOYSA-N 0 0 429.543 -0.520 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@@H](C)C2)n1 ZINC000787558053 1073748329 /nfs/dbraw/zinc/74/83/29/1073748329.db2.gz RMQZARMDYXSBDG-HNNXBMFYSA-N 0 0 443.570 -0.132 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(CC(C)(C)O)[C@H](C)C2)n1 ZINC000787558054 1073749055 /nfs/dbraw/zinc/74/90/55/1073749055.db2.gz RMQZARMDYXSBDG-OAHLLOKOSA-N 0 0 443.570 -0.132 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)C(=O)N2CCC3(C2)OCCO3)c1 ZINC000787561961 1073748930 /nfs/dbraw/zinc/74/89/30/1073748930.db2.gz IZMKSXYAOGCJRF-UHFFFAOYSA-N 0 0 446.504 -0.061 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000787562807 1073749116 /nfs/dbraw/zinc/74/91/16/1073749116.db2.gz JZDQNTHEFWBVOG-UHFFFAOYSA-N 0 0 430.465 -0.029 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000787565299 1073748995 /nfs/dbraw/zinc/74/89/95/1073748995.db2.gz WCEODJZNKOPXLP-INIZCTEOSA-N 0 0 445.520 -0.347 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC000787565304 1073748837 /nfs/dbraw/zinc/74/88/37/1073748837.db2.gz WCEODJZNKOPXLP-MRXNPFEDSA-N 0 0 445.520 -0.347 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(CC(C)C)C(=O)C2)n1 ZINC000787568381 1073749132 /nfs/dbraw/zinc/74/91/32/1073749132.db2.gz DFNGBLHTEVLDEV-UHFFFAOYSA-N 0 0 427.527 -0.108 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C(=O)Nc3cc(N4CCNC4=O)ccc3C)C2)nn1 ZINC000787573493 1073748824 /nfs/dbraw/zinc/74/88/24/1073748824.db2.gz NKSRADRFCNHVDY-UHFFFAOYSA-N 0 0 427.421 -0.075 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@@H]2C)n1 ZINC000787575408 1073748880 /nfs/dbraw/zinc/74/88/80/1073748880.db2.gz HBJQPHXIGMXNQR-HNNXBMFYSA-N 0 0 443.570 -0.132 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCN(CC(C)(C)O)C[C@H]2C)n1 ZINC000787575410 1073749739 /nfs/dbraw/zinc/74/97/39/1073749739.db2.gz HBJQPHXIGMXNQR-OAHLLOKOSA-N 0 0 443.570 -0.132 20 0 IBADRN Cc1ccc(N2CCNC2=O)cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000787575676 1073748770 /nfs/dbraw/zinc/74/87/70/1073748770.db2.gz KDNGXQHCCSNJAS-UHFFFAOYSA-N 0 0 426.499 -0.588 20 0 IBADRN COc1ccc(NC(=O)C(=O)NN2CCCNC2=O)cc1S(=O)(=O)N1CCCC1 ZINC000787576340 1073749014 /nfs/dbraw/zinc/74/90/14/1073749014.db2.gz DELDLOUZKRUSQB-UHFFFAOYSA-N 0 0 425.467 -0.135 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCCN(CCOC)C(=O)C2)n1 ZINC000787578343 1073749758 /nfs/dbraw/zinc/74/97/58/1073749758.db2.gz SGGZVNRHRPSGDY-UHFFFAOYSA-N 0 0 443.526 -0.728 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CC(=O)N(CC(F)(F)F)C2)n1 ZINC000787578659 1073749462 /nfs/dbraw/zinc/74/94/62/1073749462.db2.gz WKONYWLRUMJHNH-UHFFFAOYSA-N 0 0 439.416 -0.245 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)CNS(=O)(=O)c2c(C)noc2C)n1 ZINC000787590149 1073749024 /nfs/dbraw/zinc/74/90/24/1073749024.db2.gz KJNHOWIMRJMIPM-UHFFFAOYSA-N 0 0 426.407 -0.067 20 0 IBADRN CC(C)CN1C[C@@H]2CN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CCN2C1=O ZINC000787591079 1073749088 /nfs/dbraw/zinc/74/90/88/1073749088.db2.gz TXVRHXXNUHLUOP-AWEZNQCLSA-N 0 0 440.526 -0.529 20 0 IBADRN CC(C)CN1C[C@H]2CN(C(=O)C(=O)Nc3ccn(CCS(C)(=O)=O)n3)CCN2C1=O ZINC000787591080 1073749102 /nfs/dbraw/zinc/74/91/02/1073749102.db2.gz TXVRHXXNUHLUOP-CQSZACIVSA-N 0 0 440.526 -0.529 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCc2nc(-c3nc[nH]n3)no2)c1 ZINC000787599447 1073752165 /nfs/dbraw/zinc/75/21/65/1073752165.db2.gz JILNQDRYIDPHAB-UHFFFAOYSA-N 0 0 437.438 -0.210 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000787602192 1073752699 /nfs/dbraw/zinc/75/26/99/1073752699.db2.gz IYUHSPZWPLNVQK-CHWSQXEVSA-N 0 0 433.465 -0.866 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000787602195 1073752770 /nfs/dbraw/zinc/75/27/70/1073752770.db2.gz IYUHSPZWPLNVQK-OLZOCXBDSA-N 0 0 433.465 -0.866 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000787602197 1073752615 /nfs/dbraw/zinc/75/26/15/1073752615.db2.gz IYUHSPZWPLNVQK-QWHCGFSZSA-N 0 0 433.465 -0.866 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000787602199 1073752820 /nfs/dbraw/zinc/75/28/20/1073752820.db2.gz IYUHSPZWPLNVQK-STQMWFEESA-N 0 0 433.465 -0.866 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2ccccc2)CC1 ZINC000787607180 1073749545 /nfs/dbraw/zinc/74/95/45/1073749545.db2.gz AERLJWWKXOYKNL-MOPGFXCFSA-N 0 0 432.521 -0.382 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2ccccc2)CC1 ZINC000787607182 1073749442 /nfs/dbraw/zinc/74/94/42/1073749442.db2.gz AERLJWWKXOYKNL-OALUTQOASA-N 0 0 432.521 -0.382 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccccc2)CC1 ZINC000787607184 1073749524 /nfs/dbraw/zinc/74/95/24/1073749524.db2.gz AERLJWWKXOYKNL-RBUKOAKNSA-N 0 0 432.521 -0.382 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2ccccc2)CC1 ZINC000787607186 1073749655 /nfs/dbraw/zinc/74/96/55/1073749655.db2.gz AERLJWWKXOYKNL-RTBURBONSA-N 0 0 432.521 -0.382 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)CC1 ZINC000787607743 1073749495 /nfs/dbraw/zinc/74/94/95/1073749495.db2.gz DCQBDMWOKXZYEO-UHFFFAOYSA-N 0 0 435.472 -0.457 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000787607791 1073749637 /nfs/dbraw/zinc/74/96/37/1073749637.db2.gz DQGKEMFROQRKNL-HNNXBMFYSA-N 0 0 425.530 -0.314 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000787607793 1073749771 /nfs/dbraw/zinc/74/97/71/1073749771.db2.gz DQGKEMFROQRKNL-OAHLLOKOSA-N 0 0 425.530 -0.314 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000787607919 1073749420 /nfs/dbraw/zinc/74/94/20/1073749420.db2.gz FSBOOAXRQCQTAO-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000787607923 1073749620 /nfs/dbraw/zinc/74/96/20/1073749620.db2.gz FSBOOAXRQCQTAO-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CNC(=O)c1ccc(CN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C2CC2)cc1 ZINC000787608446 1073749473 /nfs/dbraw/zinc/74/94/73/1073749473.db2.gz GPCIWPDVQNUPEY-UHFFFAOYSA-N 0 0 429.521 -0.183 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC(NC(=O)OC(C)(C)C)CC2)CC1 ZINC000787608552 1073749392 /nfs/dbraw/zinc/74/93/92/1073749392.db2.gz IQIYSIBBRUAICN-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000787609306 1073749794 /nfs/dbraw/zinc/74/97/94/1073749794.db2.gz MRJWWILBRVTVBF-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000787609325 1073749713 /nfs/dbraw/zinc/74/97/13/1073749713.db2.gz MRJWWILBRVTVBF-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000787609424 1073750310 /nfs/dbraw/zinc/75/03/10/1073750310.db2.gz NHRMOZCRYXFYRD-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000787609440 1073750244 /nfs/dbraw/zinc/75/02/44/1073750244.db2.gz NHRMOZCRYXFYRD-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN CN(C[C@@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1)C(=O)OC(C)(C)C ZINC000787609445 1073750370 /nfs/dbraw/zinc/75/03/70/1073750370.db2.gz NQSHHLRWZMFKPW-INIZCTEOSA-N 0 0 439.557 -0.018 20 0 IBADRN CN(C[C@H]1CCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1)C(=O)OC(C)(C)C ZINC000787609446 1073750256 /nfs/dbraw/zinc/75/02/56/1073750256.db2.gz NQSHHLRWZMFKPW-MRXNPFEDSA-N 0 0 439.557 -0.018 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCCN(C(=O)OC(C)(C)C)C2CC2)CC1 ZINC000787609490 1073750330 /nfs/dbraw/zinc/75/03/30/1073750330.db2.gz ODVZXXKRSOMAIT-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000787609777 1073750340 /nfs/dbraw/zinc/75/03/40/1073750340.db2.gz OLLZXHLQEPOYSU-GOSISDBHSA-N 0 0 429.521 -0.113 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)C(=O)NCCC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000787609781 1073750376 /nfs/dbraw/zinc/75/03/76/1073750376.db2.gz OLLZXHLQEPOYSU-SFHVURJKSA-N 0 0 429.521 -0.113 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2C[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]3C2)CC1 ZINC000787609797 1073750401 /nfs/dbraw/zinc/75/04/01/1073750401.db2.gz OMVZXMWEMCXKRD-IYBDPMFKSA-N 0 0 437.541 -0.408 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000787609890 1073749674 /nfs/dbraw/zinc/74/96/74/1073749674.db2.gz PFSZOFFXHOENCG-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000787609892 1073749695 /nfs/dbraw/zinc/74/96/95/1073749695.db2.gz PFSZOFFXHOENCG-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000787610095 1073749596 /nfs/dbraw/zinc/74/95/96/1073749596.db2.gz QQFKDDAYZGBHPO-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN C[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000787610640 1073751036 /nfs/dbraw/zinc/75/10/36/1073751036.db2.gz RHYARAGUXXVJGR-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC000787610642 1073751249 /nfs/dbraw/zinc/75/12/49/1073751249.db2.gz RHYARAGUXXVJGR-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCC(=O)N3CCN(C)CC3)CC2)c(F)c1 ZINC000787610806 1073751142 /nfs/dbraw/zinc/75/11/42/1073751142.db2.gz RWKJXNFCJPJYCX-UHFFFAOYSA-N 0 0 447.511 -0.043 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000787610823 1073751181 /nfs/dbraw/zinc/75/11/81/1073751181.db2.gz RYYYPLMMLKQTGM-GOSISDBHSA-N 0 0 429.521 -0.426 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000787610829 1073750267 /nfs/dbraw/zinc/75/02/67/1073750267.db2.gz RYYYPLMMLKQTGM-SFHVURJKSA-N 0 0 429.521 -0.426 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCC2=CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000787610908 1073750915 /nfs/dbraw/zinc/75/09/15/1073750915.db2.gz CPMUXBDHYBZPJG-UHFFFAOYSA-N 0 0 437.541 -0.050 20 0 IBADRN CC(C)COC(=O)N1CCCN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000787611052 1073750283 /nfs/dbraw/zinc/75/02/83/1073750283.db2.gz SRLSCHJYCOGJCO-UHFFFAOYSA-N 0 0 425.530 -0.406 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000787611060 1073750357 /nfs/dbraw/zinc/75/03/57/1073750357.db2.gz STJUYMZWCKHCNV-HNNXBMFYSA-N 0 0 425.530 -0.314 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000787611062 1073750321 /nfs/dbraw/zinc/75/03/21/1073750321.db2.gz STJUYMZWCKHCNV-OAHLLOKOSA-N 0 0 425.530 -0.314 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2cccs2)CC1 ZINC000787611075 1073750431 /nfs/dbraw/zinc/75/04/31/1073750431.db2.gz TZMVBDPJVUYYOI-CVEARBPZSA-N 0 0 438.550 -0.321 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2cccs2)CC1 ZINC000787611078 1073750413 /nfs/dbraw/zinc/75/04/13/1073750413.db2.gz TZMVBDPJVUYYOI-HOTGVXAUSA-N 0 0 438.550 -0.321 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2cccs2)CC1 ZINC000787611081 1073750393 /nfs/dbraw/zinc/75/03/93/1073750393.db2.gz TZMVBDPJVUYYOI-HZPDHXFCSA-N 0 0 438.550 -0.321 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000787611133 1073750426 /nfs/dbraw/zinc/75/04/26/1073750426.db2.gz FNTLYJIOAGOWHB-CVEARBPZSA-N 0 0 425.530 -0.360 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000787611134 1073750384 /nfs/dbraw/zinc/75/03/84/1073750384.db2.gz FNTLYJIOAGOWHB-HOTGVXAUSA-N 0 0 425.530 -0.360 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000787611135 1073750349 /nfs/dbraw/zinc/75/03/49/1073750349.db2.gz FNTLYJIOAGOWHB-HZPDHXFCSA-N 0 0 425.530 -0.360 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)C1 ZINC000787611136 1073750363 /nfs/dbraw/zinc/75/03/63/1073750363.db2.gz FNTLYJIOAGOWHB-JKSUJKDBSA-N 0 0 425.530 -0.360 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2cccs2)CC1 ZINC000787611349 1073750295 /nfs/dbraw/zinc/75/02/95/1073750295.db2.gz TZMVBDPJVUYYOI-JKSUJKDBSA-N 0 0 438.550 -0.321 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)CC1 ZINC000787611496 1073751120 /nfs/dbraw/zinc/75/11/20/1073751120.db2.gz UOGLHJAEXCJLHN-UHFFFAOYSA-N 0 0 442.442 -0.572 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCC(CNC(=O)C(F)(F)F)CC2)CC1 ZINC000787611511 1073751208 /nfs/dbraw/zinc/75/12/08/1073751208.db2.gz UVOPJLZZLXFQCQ-UHFFFAOYSA-N 0 0 435.447 -0.816 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)NCCC(=O)N1CCN(C)CC1)C[C@@H]2O ZINC000787611793 1073751229 /nfs/dbraw/zinc/75/12/29/1073751229.db2.gz WATSAVKKWUCXTB-HNNXBMFYSA-N 0 0 434.493 -0.640 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)NCCC(=O)N1CCN(C)CC1)C[C@H]2O ZINC000787611797 1073750880 /nfs/dbraw/zinc/75/08/80/1073750880.db2.gz WATSAVKKWUCXTB-OAHLLOKOSA-N 0 0 434.493 -0.640 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000787612290 1073750938 /nfs/dbraw/zinc/75/09/38/1073750938.db2.gz WZQKEQWFWLJOFQ-HNNXBMFYSA-N 0 0 425.530 -0.360 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000787612291 1073751056 /nfs/dbraw/zinc/75/10/56/1073751056.db2.gz WZQKEQWFWLJOFQ-OAHLLOKOSA-N 0 0 425.530 -0.360 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CC[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000787612307 1073751013 /nfs/dbraw/zinc/75/10/13/1073751013.db2.gz XDEQUANNYVNFPT-HNNXBMFYSA-N 0 0 425.530 -0.360 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CC[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000787612308 1073751239 /nfs/dbraw/zinc/75/12/39/1073751239.db2.gz XDEQUANNYVNFPT-OAHLLOKOSA-N 0 0 425.530 -0.360 20 0 IBADRN CN(C(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000787612435 1073750974 /nfs/dbraw/zinc/75/09/74/1073750974.db2.gz YDEDWCCPHMLRIG-GOSISDBHSA-N 0 0 429.521 -0.474 20 0 IBADRN CN(C(=O)C(=O)NCCC(=O)N1CCN(C)CC1)[C@H]1CCN(Cc2ccccc2)C1=O ZINC000787612439 1073750854 /nfs/dbraw/zinc/75/08/54/1073750854.db2.gz YDEDWCCPHMLRIG-SFHVURJKSA-N 0 0 429.521 -0.474 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CCN1C(=O)OC(C)(C)C ZINC000787612533 1073751100 /nfs/dbraw/zinc/75/11/00/1073751100.db2.gz YZMOLWSPAVNFRW-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCC(=O)N2CCN(C)CC2)CCN1C(=O)OC(C)(C)C ZINC000787612535 1073751677 /nfs/dbraw/zinc/75/16/77/1073751677.db2.gz YZMOLWSPAVNFRW-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(Cc3ccc(Cl)nc3)CC2)CC1 ZINC000787612547 1073751619 /nfs/dbraw/zinc/75/16/19/1073751619.db2.gz ZGPVICZYJLWPME-UHFFFAOYSA-N 0 0 436.944 -0.341 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NC2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000787614005 1073751557 /nfs/dbraw/zinc/75/15/57/1073751557.db2.gz RIPCYTDRZPSFBZ-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN CC(C)[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)NC(=O)OC(C)(C)C ZINC000787614494 1073751742 /nfs/dbraw/zinc/75/17/42/1073751742.db2.gz VPHXVRAGLPYDKX-HNNXBMFYSA-N 0 0 427.546 -0.068 20 0 IBADRN CC(C)[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(C)CC1)NC(=O)OC(C)(C)C ZINC000787614522 1073751508 /nfs/dbraw/zinc/75/15/08/1073751508.db2.gz VPHXVRAGLPYDKX-OAHLLOKOSA-N 0 0 427.546 -0.068 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)NCC2(NC(=O)OC(C)(C)C)CCC2)CC1 ZINC000787615203 1073751575 /nfs/dbraw/zinc/75/15/75/1073751575.db2.gz WWRUBVIPHLZMJX-UHFFFAOYSA-N 0 0 425.530 -0.170 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)N2CCN(C(=O)OCc3ccccc3)CC2)CC1 ZINC000787615300 1073751700 /nfs/dbraw/zinc/75/17/00/1073751700.db2.gz WZVFNTFYGDQTKJ-UHFFFAOYSA-N 0 0 445.520 -0.252 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)n1 ZINC000787616079 1073751773 /nfs/dbraw/zinc/75/17/73/1073751773.db2.gz RZDGJAGWKTZZND-UHFFFAOYSA-N 0 0 444.404 -0.813 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)n1 ZINC000787621140 1073751530 /nfs/dbraw/zinc/75/15/30/1073751530.db2.gz IANRFOOFSWXWAG-UHFFFAOYSA-N 0 0 427.373 -0.391 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000787621803 1073751734 /nfs/dbraw/zinc/75/17/34/1073751734.db2.gz IZHQFYGQWYGIJM-INIZCTEOSA-N 0 0 448.524 -0.405 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCNC(=O)c2cccnc2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000787621804 1073751597 /nfs/dbraw/zinc/75/15/97/1073751597.db2.gz IZHQFYGQWYGIJM-MRXNPFEDSA-N 0 0 448.524 -0.405 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)C(=O)NCCNC(=O)c3cccnc3)CC2)ccn1 ZINC000787622685 1073751691 /nfs/dbraw/zinc/75/16/91/1073751691.db2.gz ONYUOUCABRFQBV-UHFFFAOYSA-N 0 0 440.460 -0.509 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CCn3ccnn3)CC2)cc1 ZINC000787622830 1073751795 /nfs/dbraw/zinc/75/17/95/1073751795.db2.gz DMVUZPIZDCJFIF-UHFFFAOYSA-N 0 0 437.478 -0.247 20 0 IBADRN O=C(CCn1ccnn1)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000787623231 1073751608 /nfs/dbraw/zinc/75/16/08/1073751608.db2.gz IWSFNSCZZFWARB-UHFFFAOYSA-N 0 0 425.442 -0.116 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000787623241 1073751785 /nfs/dbraw/zinc/75/17/85/1073751785.db2.gz QYNMRZQXDWRVMS-UHFFFAOYSA-N 0 0 448.524 -0.404 20 0 IBADRN O=C(COC(=O)CCn1ccnn1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000787623787 1073752347 /nfs/dbraw/zinc/75/23/47/1073752347.db2.gz LYHICFPAQDDETJ-UHFFFAOYSA-N 0 0 437.478 -0.451 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)NCCN2CCc3ccccc3C2)CC1 ZINC000787623908 1073751651 /nfs/dbraw/zinc/75/16/51/1073751651.db2.gz TZQPRGWONHGGQW-UHFFFAOYSA-N 0 0 436.578 -0.214 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CCO1 ZINC000787623919 1073751767 /nfs/dbraw/zinc/75/17/67/1073751767.db2.gz UCBVCOWQVVSELB-HNNXBMFYSA-N 0 0 435.481 -0.320 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCNC(=O)c2cccnc2)CCO1 ZINC000787623920 1073751756 /nfs/dbraw/zinc/75/17/56/1073751756.db2.gz UCBVCOWQVVSELB-OAHLLOKOSA-N 0 0 435.481 -0.320 20 0 IBADRN O=C(NCCNC(=O)c1cccnc1)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000787624001 1073751718 /nfs/dbraw/zinc/75/17/18/1073751718.db2.gz VPBGEIHUJGSMPK-UHFFFAOYSA-N 0 0 429.399 -0.155 20 0 IBADRN O=C(COC(=O)CCn1ccnn1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000787624554 1073752209 /nfs/dbraw/zinc/75/22/09/1073752209.db2.gz QJISMTRTMLHGSK-UHFFFAOYSA-N 0 0 437.478 -0.451 20 0 IBADRN O=C(CCn1ccnn1)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000787625190 1073752197 /nfs/dbraw/zinc/75/21/97/1073752197.db2.gz VBMKOUCDOHLRKU-KRWDZBQOSA-N 0 0 429.433 -0.278 20 0 IBADRN O=C(CCn1ccnn1)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000787625194 1073752094 /nfs/dbraw/zinc/75/20/94/1073752094.db2.gz VBMKOUCDOHLRKU-QGZVFWFLSA-N 0 0 429.433 -0.278 20 0 IBADRN O=C(CCn1ccnn1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000787625238 1073752278 /nfs/dbraw/zinc/75/22/78/1073752278.db2.gz WAAUEXURSLXWAU-UHFFFAOYSA-N 0 0 425.442 -0.116 20 0 IBADRN O=C(CCn1ccnn1)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000787628183 1073752238 /nfs/dbraw/zinc/75/22/38/1073752238.db2.gz VSCVTQSIDZHMBD-UHFFFAOYSA-N 0 0 425.442 -0.116 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CCn2ccnn2)CC1 ZINC000787628375 1073752152 /nfs/dbraw/zinc/75/21/52/1073752152.db2.gz KNBYTDQPRIDMCU-UHFFFAOYSA-N 0 0 426.455 -0.651 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CCn3ccnn3)CC2)c1 ZINC000787628704 1073752250 /nfs/dbraw/zinc/75/22/50/1073752250.db2.gz SRPRXYBOPXLOGD-UHFFFAOYSA-N 0 0 449.489 -0.053 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CCn3ccnn3)CC2)cc1 ZINC000787630277 1073752301 /nfs/dbraw/zinc/75/23/01/1073752301.db2.gz WLXVAVROPVNLOD-UHFFFAOYSA-N 0 0 449.489 -0.053 20 0 IBADRN CN(C)c1ncccc1CNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787631018 1073752336 /nfs/dbraw/zinc/75/23/36/1073752336.db2.gz HCZOPFUBBGEIOX-UHFFFAOYSA-N 0 0 448.524 -0.041 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N[C@@H](C)[C@H](O)c2ccc3c(c2)OCO3)cn1 ZINC000787632716 1073752183 /nfs/dbraw/zinc/75/21/83/1073752183.db2.gz DSEVKKWFFXIKDB-SGTLLEGYSA-N 0 0 447.448 -0.449 20 0 IBADRN CN(C)c1ccc(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000787633311 1073752358 /nfs/dbraw/zinc/75/23/58/1073752358.db2.gz JXFIZFCTPPSUCE-UHFFFAOYSA-N 0 0 448.524 -0.041 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)[C@H](O)c1ccc2c(c1)OCO2 ZINC000787635696 1073752315 /nfs/dbraw/zinc/75/23/15/1073752315.db2.gz YFGIIMHIMBKKRM-BUXKBTBVSA-N 0 0 441.444 -0.077 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)n1 ZINC000787639181 1073752790 /nfs/dbraw/zinc/75/27/90/1073752790.db2.gz RHRIVKAHCXHWNX-UHFFFAOYSA-N 0 0 427.373 -0.391 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(CNC(=O)OCc2ccccc2)CC1)S(N)(=O)=O ZINC000787642892 1073753235 /nfs/dbraw/zinc/75/32/35/1073753235.db2.gz ONVHERZHKKNXCO-AWEZNQCLSA-N 0 0 440.522 -0.055 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(CNC(=O)OCc2ccccc2)CC1)S(N)(=O)=O ZINC000787642894 1073753220 /nfs/dbraw/zinc/75/32/20/1073753220.db2.gz ONVHERZHKKNXCO-CQSZACIVSA-N 0 0 440.522 -0.055 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787653994 1073753285 /nfs/dbraw/zinc/75/32/85/1073753285.db2.gz NPERQFKFKKQGIS-UHFFFAOYSA-N 0 0 436.513 -0.107 20 0 IBADRN Cc1nccn1CCCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000787656804 1073753258 /nfs/dbraw/zinc/75/32/58/1073753258.db2.gz VHBNNZZDGFVGAN-UHFFFAOYSA-N 0 0 444.517 -0.009 20 0 IBADRN Cn1nnc(CNC(=O)C(=O)Nc2ccc(N3CCN(C)CC3)c(Br)c2)n1 ZINC000787662397 1073753198 /nfs/dbraw/zinc/75/31/98/1073753198.db2.gz VQGCGZPJOASONE-UHFFFAOYSA-N 0 0 437.302 -0.021 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)n1 ZINC000787665986 1073753355 /nfs/dbraw/zinc/75/33/55/1073753355.db2.gz CHJLZXNJPIWENB-UHFFFAOYSA-N 0 0 429.389 -0.447 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC000787671527 1073753174 /nfs/dbraw/zinc/75/31/74/1073753174.db2.gz RJTBLQPBJFJLHT-UHFFFAOYSA-N 0 0 444.558 -0.621 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC(n3cnc(C(N)=O)c3N)CC1)C2=O ZINC000787673695 1073752843 /nfs/dbraw/zinc/75/28/43/1073752843.db2.gz BPRCAGMGHSWZIL-UHFFFAOYSA-N 0 0 446.512 -0.267 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H]3c3nc(=N)n(C)[nH]3)C2=O)cc1 ZINC000787677117 1073752717 /nfs/dbraw/zinc/75/27/17/1073752717.db2.gz USENXGOYQKJTFO-JLTOFOAXSA-N 0 0 442.480 -0.128 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H]3c3nc(=N)n(C)[nH]3)C2=O)cc1 ZINC000787677118 1073752735 /nfs/dbraw/zinc/75/27/35/1073752735.db2.gz USENXGOYQKJTFO-VBKZILBWSA-N 0 0 442.480 -0.128 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H]3c3nc(=N)n(C)[nH]3)C2=O)cc1 ZINC000787677119 1073752829 /nfs/dbraw/zinc/75/28/29/1073752829.db2.gz USENXGOYQKJTFO-VLIAUNLRSA-N 0 0 442.480 -0.128 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H]3c3nc(=N)n(C)[nH]3)C2=O)cc1 ZINC000787677120 1073752781 /nfs/dbraw/zinc/75/27/81/1073752781.db2.gz USENXGOYQKJTFO-XOBRGWDASA-N 0 0 442.480 -0.128 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)CNS(=O)(=O)c2cn(C)c(C)n2)n1 ZINC000787681271 1073753245 /nfs/dbraw/zinc/75/32/45/1073753245.db2.gz KXCOUWSZWPAASZ-UHFFFAOYSA-N 0 0 425.423 -0.630 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)n1 ZINC000787682708 1073753144 /nfs/dbraw/zinc/75/31/44/1073753144.db2.gz UYBYAZSGRMEFHY-UHFFFAOYSA-N 0 0 439.450 -0.240 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OCc1nc(-c3nc[nH]n3)no1)c2=O ZINC000787684813 1073753159 /nfs/dbraw/zinc/75/31/59/1073753159.db2.gz IUCLIDYKIDKSMZ-UHFFFAOYSA-N 0 0 436.186 -0.196 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCOCCNC(=O)OC(C)(C)C)CC2)CC1 ZINC000787707671 1073753327 /nfs/dbraw/zinc/75/33/27/1073753327.db2.gz RDDOFQOYORGZDC-UHFFFAOYSA-N 0 0 435.591 -0.363 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)n1 ZINC000787713926 1073753371 /nfs/dbraw/zinc/75/33/71/1073753371.db2.gz UGBAZNSQTWQMON-UHFFFAOYSA-N 0 0 441.400 -0.082 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)n1 ZINC000787724775 1073754412 /nfs/dbraw/zinc/75/44/12/1073754412.db2.gz YSXBGMXESHAXCY-UHFFFAOYSA-N 0 0 443.459 -0.004 20 0 IBADRN C[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)[C@H](O)c1ccc2c(c1)OCO2 ZINC000787737750 1073754334 /nfs/dbraw/zinc/75/43/34/1073754334.db2.gz KRHCOZQIGFRCKI-GTNSWQLSSA-N 0 0 429.433 -0.209 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)n1 ZINC000787737912 1073754397 /nfs/dbraw/zinc/75/43/97/1073754397.db2.gz GOKBJFKUCULIIH-JTQLQIEISA-N 0 0 425.423 -0.550 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)n1 ZINC000787737913 1073754310 /nfs/dbraw/zinc/75/43/10/1073754310.db2.gz GOKBJFKUCULIIH-SNVBAGLBSA-N 0 0 425.423 -0.550 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(OC)c(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000787746357 1073754442 /nfs/dbraw/zinc/75/44/42/1073754442.db2.gz FHOKFYSMXVPKRG-GFCCVEGCSA-N 0 0 434.492 -0.465 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(OC)c(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000787746359 1073754372 /nfs/dbraw/zinc/75/43/72/1073754372.db2.gz FHOKFYSMXVPKRG-LBPRGKRZSA-N 0 0 434.492 -0.465 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)n1 ZINC000787750745 1073753130 /nfs/dbraw/zinc/75/31/30/1073753130.db2.gz RITGNJCJSOKDHZ-UHFFFAOYSA-N 0 0 441.400 -0.082 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCO[C@@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000787755223 1073753719 /nfs/dbraw/zinc/75/37/19/1073753719.db2.gz IAQKXWDWTLBHBQ-BZUAXINKSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCO[C@H]([C@@H](C)NC(=O)OC(C)(C)C)C1 ZINC000787755226 1073753752 /nfs/dbraw/zinc/75/37/52/1073753752.db2.gz IAQKXWDWTLBHBQ-OAGGEKHMSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCO[C@@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000787755227 1073753669 /nfs/dbraw/zinc/75/36/69/1073753669.db2.gz IAQKXWDWTLBHBQ-OWCLPIDISA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1 ZINC000787755228 1073753838 /nfs/dbraw/zinc/75/38/38/1073753838.db2.gz IAQKXWDWTLBHBQ-PMPSAXMXSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000787755887 1073753793 /nfs/dbraw/zinc/75/37/93/1073753793.db2.gz JPTCXAQMEXWJFE-CVEARBPZSA-N 0 0 427.546 -0.509 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000787755890 1073753768 /nfs/dbraw/zinc/75/37/68/1073753768.db2.gz JPTCXAQMEXWJFE-HOTGVXAUSA-N 0 0 427.546 -0.509 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000787755894 1073753741 /nfs/dbraw/zinc/75/37/41/1073753741.db2.gz JPTCXAQMEXWJFE-HZPDHXFCSA-N 0 0 427.546 -0.509 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000787755896 1073753777 /nfs/dbraw/zinc/75/37/77/1073753777.db2.gz JPTCXAQMEXWJFE-JKSUJKDBSA-N 0 0 427.546 -0.509 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000787755897 1073753784 /nfs/dbraw/zinc/75/37/84/1073753784.db2.gz JQOAUBOMBWMJDU-HNNXBMFYSA-N 0 0 449.533 -0.883 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000787755899 1073753732 /nfs/dbraw/zinc/75/37/32/1073753732.db2.gz JQOAUBOMBWMJDU-OAHLLOKOSA-N 0 0 449.533 -0.883 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000787757000 1073753662 /nfs/dbraw/zinc/75/36/62/1073753662.db2.gz LILLKBIFPZDEBU-GFCCVEGCSA-N 0 0 444.476 -0.499 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000787757003 1073753680 /nfs/dbraw/zinc/75/36/80/1073753680.db2.gz LILLKBIFPZDEBU-LBPRGKRZSA-N 0 0 444.476 -0.499 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000787758035 1073754292 /nfs/dbraw/zinc/75/42/92/1073754292.db2.gz MSOIYBQOQKNCML-LLVKDONJSA-N 0 0 430.449 -0.747 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000787758039 1073754266 /nfs/dbraw/zinc/75/42/66/1073754266.db2.gz MSOIYBQOQKNCML-NSHDSACASA-N 0 0 430.449 -0.747 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787758085 1073754253 /nfs/dbraw/zinc/75/42/53/1073754253.db2.gz MUKFQUNFYUMUJL-KRWDZBQOSA-N 0 0 441.573 -0.166 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787758089 1073754322 /nfs/dbraw/zinc/75/43/22/1073754322.db2.gz MUKFQUNFYUMUJL-QGZVFWFLSA-N 0 0 441.573 -0.166 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787763496 1073754386 /nfs/dbraw/zinc/75/43/86/1073754386.db2.gz XRUPGSIGIQMZKO-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787763497 1073754279 /nfs/dbraw/zinc/75/42/79/1073754279.db2.gz XRUPGSIGIQMZKO-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787764371 1073754239 /nfs/dbraw/zinc/75/42/39/1073754239.db2.gz ZHQKNPUSFIEIKZ-INIZCTEOSA-N 0 0 427.546 -0.508 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000787764374 1073754361 /nfs/dbraw/zinc/75/43/61/1073754361.db2.gz ZHQKNPUSFIEIKZ-MRXNPFEDSA-N 0 0 427.546 -0.508 20 0 IBADRN COC(=O)[C@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)c1ccn(C)n1 ZINC000787798155 1073754775 /nfs/dbraw/zinc/75/47/75/1073754775.db2.gz OQPZXLSKLIJGPB-LLVKDONJSA-N 0 0 438.242 -0.344 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)c1ccn(C)n1 ZINC000787798162 1073754886 /nfs/dbraw/zinc/75/48/86/1073754886.db2.gz OQPZXLSKLIJGPB-NSHDSACASA-N 0 0 438.242 -0.344 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)n1 ZINC000787800457 1073754867 /nfs/dbraw/zinc/75/48/67/1073754867.db2.gz WMPHOYGKEYIQMR-INIZCTEOSA-N 0 0 441.554 -0.255 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)n1 ZINC000787800459 1073754918 /nfs/dbraw/zinc/75/49/18/1073754918.db2.gz WMPHOYGKEYIQMR-MRXNPFEDSA-N 0 0 441.554 -0.255 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)n1 ZINC000787801993 1073754893 /nfs/dbraw/zinc/75/48/93/1073754893.db2.gz IRTISPVMEWGMQP-UHFFFAOYSA-N 0 0 446.555 -0.025 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)n1 ZINC000787803289 1073754940 /nfs/dbraw/zinc/75/49/40/1073754940.db2.gz PENPIXONQDJEHD-UHFFFAOYSA-N 0 0 436.494 -0.232 20 0 IBADRN O=C(OCc1nc(-c2nc[nH]n2)no1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC000787803818 1073755460 /nfs/dbraw/zinc/75/54/60/1073755460.db2.gz JKHPCTVVNHIHTQ-PWSUYJOCSA-N 0 0 438.397 -0.139 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H](C(=O)OC)c3ccn(C)n3)cc2S1(=O)=O ZINC000787806130 1073754198 /nfs/dbraw/zinc/75/41/98/1073754198.db2.gz AGNVGCCXIZBSID-HNNXBMFYSA-N 0 0 436.446 -0.145 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H](C(=O)OC)c3ccn(C)n3)cc2S1(=O)=O ZINC000787806131 1073754207 /nfs/dbraw/zinc/75/42/07/1073754207.db2.gz AGNVGCCXIZBSID-OAHLLOKOSA-N 0 0 436.446 -0.145 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000787839324 1073755244 /nfs/dbraw/zinc/75/52/44/1073755244.db2.gz UZOVQUWGWNLFNT-KBPBESRZSA-N 0 0 429.481 -0.153 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000787839327 1073755403 /nfs/dbraw/zinc/75/54/03/1073755403.db2.gz UZOVQUWGWNLFNT-KGLIPLIRSA-N 0 0 429.481 -0.153 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000787839329 1073755330 /nfs/dbraw/zinc/75/53/30/1073755330.db2.gz UZOVQUWGWNLFNT-UONOGXRCSA-N 0 0 429.481 -0.153 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000787839331 1073755418 /nfs/dbraw/zinc/75/54/18/1073755418.db2.gz UZOVQUWGWNLFNT-ZIAGYGMSSA-N 0 0 429.481 -0.153 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCCN(CCOC)C(=O)C3)cc2S1(=O)=O ZINC000787849392 1073755318 /nfs/dbraw/zinc/75/53/18/1073755318.db2.gz VWZMDRTWHGWPMB-UHFFFAOYSA-N 0 0 439.490 -0.202 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H]([C@@H](O)c3nccn3C)C2)c1 ZINC000787849665 1073755432 /nfs/dbraw/zinc/75/54/32/1073755432.db2.gz CIJSSPKPKJVBFY-DOTOQJQBSA-N 0 0 449.533 -0.083 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H]([C@@H](O)c3nccn3C)C2)c1 ZINC000787849666 1073755261 /nfs/dbraw/zinc/75/52/61/1073755261.db2.gz CIJSSPKPKJVBFY-NVXWUHKLSA-N 0 0 449.533 -0.083 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@H]([C@H](O)c3nccn3C)C2)c1 ZINC000787849667 1073755223 /nfs/dbraw/zinc/75/52/23/1073755223.db2.gz CIJSSPKPKJVBFY-RDJZCZTQSA-N 0 0 449.533 -0.083 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC[C@@H]([C@H](O)c3nccn3C)C2)c1 ZINC000787849669 1073755287 /nfs/dbraw/zinc/75/52/87/1073755287.db2.gz CIJSSPKPKJVBFY-WBVHZDCISA-N 0 0 449.533 -0.083 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(CNC(=O)C(=O)NCCS(C)(=O)=O)cc2)C1 ZINC000787853138 1073755272 /nfs/dbraw/zinc/75/52/72/1073755272.db2.gz VYSWJPIVUFNGSI-AWEZNQCLSA-N 0 0 445.563 -0.116 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(CNC(=O)C(=O)NCCS(C)(=O)=O)cc2)C1 ZINC000787853139 1073755234 /nfs/dbraw/zinc/75/52/34/1073755234.db2.gz VYSWJPIVUFNGSI-CQSZACIVSA-N 0 0 445.563 -0.116 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)c1 ZINC000787853148 1073755453 /nfs/dbraw/zinc/75/54/53/1073755453.db2.gz WKCUUWIKPLMHAI-UHFFFAOYSA-N 0 0 426.495 -0.054 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)CC1 ZINC000787853159 1073755445 /nfs/dbraw/zinc/75/54/45/1073755445.db2.gz WTBOSSGNTZRLPD-UHFFFAOYSA-N 0 0 426.495 -0.098 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c1 ZINC000787853192 1073755371 /nfs/dbraw/zinc/75/53/71/1073755371.db2.gz XRTBWUFVVDOPOV-IFXJQAMLSA-N 0 0 439.490 -0.751 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)C1 ZINC000787853331 1073755279 /nfs/dbraw/zinc/75/52/79/1073755279.db2.gz YXVFHKANYISGSH-AWEZNQCLSA-N 0 0 426.495 -0.052 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCc2cccc(S(=O)(=O)NC)c2)C1 ZINC000787853332 1073755378 /nfs/dbraw/zinc/75/53/78/1073755378.db2.gz YXVFHKANYISGSH-CQSZACIVSA-N 0 0 426.495 -0.052 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCc2cccc(C(N)=O)c2)CC1 ZINC000787856542 1073756063 /nfs/dbraw/zinc/75/60/63/1073756063.db2.gz PLMKBRYDXZJHSG-UHFFFAOYSA-N 0 0 447.492 -0.403 20 0 IBADRN NC(=O)c1cccc(CNC(=O)C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)c1 ZINC000787856661 1073755950 /nfs/dbraw/zinc/75/59/50/1073755950.db2.gz RNFHBZGBVKXFNE-UHFFFAOYSA-N 0 0 425.445 -0.034 20 0 IBADRN Cc1cnc(Cl)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000787872379 1073755296 /nfs/dbraw/zinc/75/52/96/1073755296.db2.gz CKUVFVXTCCZFPB-UHFFFAOYSA-N 0 0 444.945 -0.382 20 0 IBADRN Cc1cnc(Cl)c(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000787877020 1073755995 /nfs/dbraw/zinc/75/59/95/1073755995.db2.gz ZNUYRQQBSGAIBI-UHFFFAOYSA-N 0 0 431.902 -0.297 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000787882422 1073755968 /nfs/dbraw/zinc/75/59/68/1073755968.db2.gz PERAMMNXILTKKO-KBPBESRZSA-N 0 0 435.547 -0.644 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000787882429 1073756056 /nfs/dbraw/zinc/75/60/56/1073756056.db2.gz PERAMMNXILTKKO-KGLIPLIRSA-N 0 0 435.547 -0.644 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000787882433 1073755985 /nfs/dbraw/zinc/75/59/85/1073755985.db2.gz PERAMMNXILTKKO-UONOGXRCSA-N 0 0 435.547 -0.644 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)N(CC)CC)CC1 ZINC000787882437 1073756015 /nfs/dbraw/zinc/75/60/15/1073756015.db2.gz PERAMMNXILTKKO-ZIAGYGMSSA-N 0 0 435.547 -0.644 20 0 IBADRN O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)NCCS(=O)(=O)N1CCOCC1 ZINC000787882582 1073755977 /nfs/dbraw/zinc/75/59/77/1073755977.db2.gz QJPGBBCXTXNAGI-UHFFFAOYSA-N 0 0 426.455 -0.840 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)NCCn3ncnn3)cc2Br)CC1 ZINC000787892913 1073756070 /nfs/dbraw/zinc/75/60/70/1073756070.db2.gz ZLVGVTHEPQONCQ-UHFFFAOYSA-N 0 0 437.302 -0.058 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2Br)CC1 ZINC000787893156 1073755897 /nfs/dbraw/zinc/75/58/97/1073755897.db2.gz POYQLNQHOWQXCL-UHFFFAOYSA-N 0 0 448.343 -0.456 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N(CCO)CCO)cc2Br)CC1 ZINC000787895880 1073756954 /nfs/dbraw/zinc/75/69/54/1073756954.db2.gz NAGDKXOONIATHX-UHFFFAOYSA-N 0 0 429.315 -0.047 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2Br)CC1 ZINC000787897678 1073757026 /nfs/dbraw/zinc/75/70/26/1073757026.db2.gz DOZMNZNTXOHGKK-UHFFFAOYSA-N 0 0 442.314 -0.636 20 0 IBADRN CN(C[C@H](O)CO)C(=O)C(=O)Nc1ccc(N2CCN(C)CC2)c(Br)c1 ZINC000787901474 1073756867 /nfs/dbraw/zinc/75/68/67/1073756867.db2.gz OGJRDFBCKJGHOO-ZDUSSCGKSA-N 0 0 429.315 -0.049 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)N3C[C@@H](O)[C@@H](O)C3)cc2Br)CC1 ZINC000787902638 1073757623 /nfs/dbraw/zinc/75/76/23/1073757623.db2.gz XWBJZBCVXARQJX-GASCZTMLSA-N 0 0 427.299 -0.297 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)NCCOCC(N)=O)cc2Br)CC1 ZINC000787903629 1073756002 /nfs/dbraw/zinc/75/60/02/1073756002.db2.gz XLNOPWSSZPLFRV-UHFFFAOYSA-N 0 0 442.314 -0.243 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@@H](CO)C3)cc2)CC1 ZINC000787906554 1073755990 /nfs/dbraw/zinc/75/59/90/1073755990.db2.gz LKNPFLXPGYZYFP-CRAIPNDOSA-N 0 0 434.493 -0.472 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3C[C@H](CO)O[C@@H](C)C3)cc2)CC1 ZINC000787906556 1073755886 /nfs/dbraw/zinc/75/58/86/1073755886.db2.gz LKNPFLXPGYZYFP-MAUKXSAKSA-N 0 0 434.493 -0.472 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3C[C@@H](C)O[C@H](CO)C3)cc2)CC1 ZINC000787906559 1073755962 /nfs/dbraw/zinc/75/59/62/1073755962.db2.gz LKNPFLXPGYZYFP-QAPCUYQASA-N 0 0 434.493 -0.472 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3C[C@H](C)O[C@H](CO)C3)cc2)CC1 ZINC000787906560 1073755956 /nfs/dbraw/zinc/75/59/56/1073755956.db2.gz LKNPFLXPGYZYFP-YJBOKZPZSA-N 0 0 434.493 -0.472 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000787908974 1073756505 /nfs/dbraw/zinc/75/65/05/1073756505.db2.gz OEEXUQWRTAOYJY-GXSJLCMTSA-N 0 0 443.478 -0.495 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000787908975 1073756477 /nfs/dbraw/zinc/75/64/77/1073756477.db2.gz OEEXUQWRTAOYJY-KOLCDFICSA-N 0 0 443.478 -0.495 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000787908976 1073756500 /nfs/dbraw/zinc/75/65/00/1073756500.db2.gz OEEXUQWRTAOYJY-MWLCHTKSSA-N 0 0 443.478 -0.495 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000787908977 1073756452 /nfs/dbraw/zinc/75/64/52/1073756452.db2.gz OEEXUQWRTAOYJY-ONGXEEELSA-N 0 0 443.478 -0.495 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NC3(C(N)=O)CN(C(=O)OC(C)(C)C)C3)cc2n(C)c1=O ZINC000787909297 1073756544 /nfs/dbraw/zinc/75/65/44/1073756544.db2.gz BKYWDGDYPQSOIY-UHFFFAOYSA-N 0 0 439.494 -0.370 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)c2cccc(S(C)(=O)=O)c2)(C(N)=O)C1 ZINC000787909533 1073756399 /nfs/dbraw/zinc/75/63/99/1073756399.db2.gz HBLOBJOJPXCHOB-UHFFFAOYSA-N 0 0 433.508 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)c2ccccc2S(C)(=O)=O)(C(N)=O)C1 ZINC000787910421 1073756423 /nfs/dbraw/zinc/75/64/23/1073756423.db2.gz POQSDYPMFJUYFQ-UHFFFAOYSA-N 0 0 433.508 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)c2ccc(S(C)(=O)=O)cc2)(C(N)=O)C1 ZINC000787911468 1073756468 /nfs/dbraw/zinc/75/64/68/1073756468.db2.gz XPLIPVWPCVWQPP-UHFFFAOYSA-N 0 0 433.508 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)c2ccc3c(c2)NC(=O)CO3)(C(N)=O)C1 ZINC000787911534 1073756388 /nfs/dbraw/zinc/75/63/88/1073756388.db2.gz XVABKUDHQVLQLY-UHFFFAOYSA-N 0 0 426.451 -0.229 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC(CO)(NC(=O)OC(C)(C)C)CC1 ZINC000787912949 1073756520 /nfs/dbraw/zinc/75/65/20/1073756520.db2.gz KTBVQJUPFAFLCW-UHFFFAOYSA-N 0 0 429.561 -0.393 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)=NN1c1ccc(F)cc1 ZINC000787912981 1073756541 /nfs/dbraw/zinc/75/65/41/1073756541.db2.gz OJFGUPOQKPESHL-AWEZNQCLSA-N 0 0 428.428 -0.328 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)=NN1c1ccc(F)cc1 ZINC000787912989 1073756513 /nfs/dbraw/zinc/75/65/13/1073756513.db2.gz OJFGUPOQKPESHL-CQSZACIVSA-N 0 0 428.428 -0.328 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000787913517 1073756529 /nfs/dbraw/zinc/75/65/29/1073756529.db2.gz SBKXNZJWZAFFFM-NRFANRHFSA-N 0 0 437.460 -0.194 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000787913534 1073756460 /nfs/dbraw/zinc/75/64/60/1073756460.db2.gz SBKXNZJWZAFFFM-OAQYLSRUSA-N 0 0 437.460 -0.194 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(CO)(NC(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC000787914203 1073756410 /nfs/dbraw/zinc/75/64/10/1073756410.db2.gz WNCQVHWNPDMHSR-UHFFFAOYSA-N 0 0 432.499 -0.876 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000787918166 1073756906 /nfs/dbraw/zinc/75/69/06/1073756906.db2.gz DXWGMQIJZMDWAA-KRWDZBQOSA-N 0 0 447.455 -0.098 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000787918172 1073756982 /nfs/dbraw/zinc/75/69/82/1073756982.db2.gz DXWGMQIJZMDWAA-QGZVFWFLSA-N 0 0 447.455 -0.098 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N1CCN(S(=O)(=O)CCCOC(C)=O)[C@H](C)C1 ZINC000787918502 1073756489 /nfs/dbraw/zinc/75/64/89/1073756489.db2.gz OCHOAIFUMVGGER-CYBMUJFWSA-N 0 0 428.529 -0.442 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N1CCN(S(=O)(=O)CCCOC(C)=O)[C@@H](C)C1 ZINC000787918503 1073757076 /nfs/dbraw/zinc/75/70/76/1073757076.db2.gz OCHOAIFUMVGGER-ZDUSSCGKSA-N 0 0 428.529 -0.442 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N1CCCN(S(=O)(=O)CCCOC(C)=O)CC1 ZINC000787919014 1073756856 /nfs/dbraw/zinc/75/68/56/1073756856.db2.gz VKCZLRLWPHJDHY-UHFFFAOYSA-N 0 0 428.529 -0.440 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000787919235 1073757017 /nfs/dbraw/zinc/75/70/17/1073757017.db2.gz JKXLPCMKEYKSFX-KRWDZBQOSA-N 0 0 447.455 -0.098 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000787919236 1073756885 /nfs/dbraw/zinc/75/68/85/1073756885.db2.gz JKXLPCMKEYKSFX-QGZVFWFLSA-N 0 0 447.455 -0.098 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc(Cl)c(F)c3)CC2)CC1 ZINC000787919577 1073757085 /nfs/dbraw/zinc/75/70/85/1073757085.db2.gz PQGNSUIODCHDAV-UHFFFAOYSA-N 0 0 441.938 -0.327 20 0 IBADRN COc1ccc(CC(=O)NC[C@H]2CC(C(N)=O)=NO2)cc1S(=O)(=O)N1CCOCC1 ZINC000787928870 1073757043 /nfs/dbraw/zinc/75/70/43/1073757043.db2.gz FMFWXDFCFNOUJJ-CYBMUJFWSA-N 0 0 440.478 -0.995 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H]2CC(C(N)=O)=NO2)cc1S(=O)(=O)N1CCOCC1 ZINC000787928871 1073756918 /nfs/dbraw/zinc/75/69/18/1073756918.db2.gz FMFWXDFCFNOUJJ-ZDUSSCGKSA-N 0 0 440.478 -0.995 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000787928921 1073756895 /nfs/dbraw/zinc/75/68/95/1073756895.db2.gz GEFHFTFXWUUCHR-LLVKDONJSA-N 0 0 426.451 -0.737 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000787928923 1073757052 /nfs/dbraw/zinc/75/70/52/1073757052.db2.gz GEFHFTFXWUUCHR-NSHDSACASA-N 0 0 426.451 -0.737 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@H]1CC(C(N)=O)=NO1)n2C ZINC000787931321 1073756847 /nfs/dbraw/zinc/75/68/47/1073756847.db2.gz VIPGJYGGDIZENW-LLVKDONJSA-N 0 0 436.494 -0.497 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC[C@@H]1CC(C(N)=O)=NO1)n2C ZINC000787931323 1073757009 /nfs/dbraw/zinc/75/70/09/1073757009.db2.gz VIPGJYGGDIZENW-NSHDSACASA-N 0 0 436.494 -0.497 20 0 IBADRN C[C@H](Cc1ccco1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000787941106 1073758103 /nfs/dbraw/zinc/75/81/03/1073758103.db2.gz PMQGGSICSFDQSG-BZUAXINKSA-N 0 0 442.538 -0.175 20 0 IBADRN C[C@@H](Cc1ccco1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000787941109 1073758070 /nfs/dbraw/zinc/75/80/70/1073758070.db2.gz PMQGGSICSFDQSG-HRCADAONSA-N 0 0 442.538 -0.175 20 0 IBADRN C[C@H](Cc1ccco1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000787941112 1073758081 /nfs/dbraw/zinc/75/80/81/1073758081.db2.gz PMQGGSICSFDQSG-OAGGEKHMSA-N 0 0 442.538 -0.175 20 0 IBADRN C[C@H](Cc1ccco1)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000787941115 1073757949 /nfs/dbraw/zinc/75/79/49/1073757949.db2.gz PMQGGSICSFDQSG-PMPSAXMXSA-N 0 0 442.538 -0.175 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@](C)(O)CN3Cc4ccccc4C3=O)c2c(=O)n(C)c1=O ZINC000787942711 1073757938 /nfs/dbraw/zinc/75/79/38/1073757938.db2.gz LFTPVGPQSQFMCX-NRFANRHFSA-N 0 0 441.444 -0.616 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@](C)(O)CN3Cc4ccccc4C3=O)c2c(=O)n(C)c1=O ZINC000787942712 1073758097 /nfs/dbraw/zinc/75/80/97/1073758097.db2.gz LFTPVGPQSQFMCX-OAQYLSRUSA-N 0 0 441.444 -0.616 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CC(N)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000787944432 1073757476 /nfs/dbraw/zinc/75/74/76/1073757476.db2.gz RBMMGFTXUANTKB-UHFFFAOYSA-N 0 0 431.449 -0.013 20 0 IBADRN CC[S@@](=O)CC(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000787947622 1073757583 /nfs/dbraw/zinc/75/75/83/1073757583.db2.gz GHXXVQWYBGXHRW-MUUNZHRXSA-N 0 0 448.519 -0.034 20 0 IBADRN CC[S@](=O)CC(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000787947639 1073757450 /nfs/dbraw/zinc/75/74/50/1073757450.db2.gz GHXXVQWYBGXHRW-NDEPHWFRSA-N 0 0 448.519 -0.034 20 0 IBADRN CCN(C(=O)COC(=O)[C@](C)(O)CN1Cc2ccccc2C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000787948644 1073757514 /nfs/dbraw/zinc/75/75/14/1073757514.db2.gz YMTMVXNGDSYKTJ-FOIQADDNSA-N 0 0 438.502 -0.028 20 0 IBADRN CCN(C(=O)COC(=O)[C@](C)(O)CN1Cc2ccccc2C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000787948653 1073757600 /nfs/dbraw/zinc/75/76/00/1073757600.db2.gz YMTMVXNGDSYKTJ-MGPUTAFESA-N 0 0 438.502 -0.028 20 0 IBADRN CCN(C(=O)COC(=O)[C@@](C)(O)CN1Cc2ccccc2C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000787948656 1073757591 /nfs/dbraw/zinc/75/75/91/1073757591.db2.gz YMTMVXNGDSYKTJ-QRWLVFNGSA-N 0 0 438.502 -0.028 20 0 IBADRN CCN(C(=O)COC(=O)[C@@](C)(O)CN1Cc2ccccc2C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000787948657 1073757578 /nfs/dbraw/zinc/75/75/78/1073757578.db2.gz YMTMVXNGDSYKTJ-YWZLYKJASA-N 0 0 438.502 -0.028 20 0 IBADRN C[C@H](Cc1ccco1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000787954414 1073757546 /nfs/dbraw/zinc/75/75/46/1073757546.db2.gz PKGXDDAXLKKVIU-GFCCVEGCSA-N 0 0 438.462 -0.448 20 0 IBADRN C[C@@H](Cc1ccco1)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000787954415 1073757538 /nfs/dbraw/zinc/75/75/38/1073757538.db2.gz PKGXDDAXLKKVIU-LBPRGKRZSA-N 0 0 438.462 -0.448 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)CCn1cnc3c1c(=O)n(C)c(=O)n3C)C[C@H]2O ZINC000787963927 1073757525 /nfs/dbraw/zinc/75/75/25/1073757525.db2.gz UKOWBJNIQJEXER-CYBMUJFWSA-N 0 0 443.460 -0.083 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)CCn1cnc3c1c(=O)n(C)c(=O)n3C)C[C@@H]2O ZINC000787963928 1073757615 /nfs/dbraw/zinc/75/76/15/1073757615.db2.gz UKOWBJNIQJEXER-ZDUSSCGKSA-N 0 0 443.460 -0.083 20 0 IBADRN C[C@@H](Cc1ccco1)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000787964510 1073757553 /nfs/dbraw/zinc/75/75/53/1073757553.db2.gz UPUKRNZHPFJTBT-INIZCTEOSA-N 0 0 449.533 -0.010 20 0 IBADRN C[C@H](Cc1ccco1)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000787964512 1073757567 /nfs/dbraw/zinc/75/75/67/1073757567.db2.gz UPUKRNZHPFJTBT-MRXNPFEDSA-N 0 0 449.533 -0.010 20 0 IBADRN CCN(C(=O)COC(=O)c1cc(S(=O)(=O)NC)ccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000787973268 1073758024 /nfs/dbraw/zinc/75/80/24/1073758024.db2.gz LCXXKENZWGRZFK-LLVKDONJSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(C(=O)COC(=O)c1cc(S(=O)(=O)NC)ccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000787973271 1073758042 /nfs/dbraw/zinc/75/80/42/1073758042.db2.gz LCXXKENZWGRZFK-NSHDSACASA-N 0 0 436.483 -0.074 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2cccc(OCCS(C)(=O)=O)c2)CC1=O ZINC000787976908 1073761121 /nfs/dbraw/zinc/76/11/21/1073761121.db2.gz VNAPNHCLFLVROV-UHFFFAOYSA-N 0 0 441.506 -0.244 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1=O ZINC000787976992 1073761136 /nfs/dbraw/zinc/76/11/36/1073761136.db2.gz VZICZSXJXYKFHZ-UHFFFAOYSA-N 0 0 442.494 -0.486 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000787993487 1073757978 /nfs/dbraw/zinc/75/79/78/1073757978.db2.gz XOPRFRPBWMGORA-UHFFFAOYSA-N 0 0 442.425 -0.905 20 0 IBADRN O=C(c1cnn(-c2cccc(Cl)c2)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787996912 1073759110 /nfs/dbraw/zinc/75/91/10/1073759110.db2.gz BAMCVEUGZNIVBQ-CVEARBPZSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnn(-c2cccc(Cl)c2)n1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787996917 1073759102 /nfs/dbraw/zinc/75/91/02/1073759102.db2.gz BAMCVEUGZNIVBQ-HOTGVXAUSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnn(-c2cccc(Cl)c2)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787996919 1073759022 /nfs/dbraw/zinc/75/90/22/1073759022.db2.gz BAMCVEUGZNIVBQ-HZPDHXFCSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnn(-c2cccc(Cl)c2)n1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787996921 1073759094 /nfs/dbraw/zinc/75/90/94/1073759094.db2.gz BAMCVEUGZNIVBQ-JKSUJKDBSA-N 0 0 425.898 -0.164 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000787996942 1073759051 /nfs/dbraw/zinc/75/90/51/1073759051.db2.gz BNLJDMANOAXKON-CVEARBPZSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000787996948 1073759011 /nfs/dbraw/zinc/75/90/11/1073759011.db2.gz BNLJDMANOAXKON-HOTGVXAUSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000787996950 1073759066 /nfs/dbraw/zinc/75/90/66/1073759066.db2.gz BNLJDMANOAXKON-HZPDHXFCSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000787996953 1073759162 /nfs/dbraw/zinc/75/91/62/1073759162.db2.gz BNLJDMANOAXKON-JKSUJKDBSA-N 0 0 447.554 -0.686 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787997234 1073758598 /nfs/dbraw/zinc/75/85/98/1073758598.db2.gz CGVKERKPKPNOQY-DLBZAZTESA-N 0 0 437.518 -0.506 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787997237 1073758528 /nfs/dbraw/zinc/75/85/28/1073758528.db2.gz CGVKERKPKPNOQY-IAGOWNOFSA-N 0 0 437.518 -0.506 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787997240 1073758536 /nfs/dbraw/zinc/75/85/36/1073758536.db2.gz CGVKERKPKPNOQY-IRXDYDNUSA-N 0 0 437.518 -0.506 20 0 IBADRN O=C(CCC(=O)N1CCOc2ccccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787997244 1073758624 /nfs/dbraw/zinc/75/86/24/1073758624.db2.gz CGVKERKPKPNOQY-SJORKVTESA-N 0 0 437.518 -0.506 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)CC1CC1 ZINC000787997264 1073758621 /nfs/dbraw/zinc/75/86/21/1073758621.db2.gz CMLVWAGSDWFJSF-CVEARBPZSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)CC1CC1 ZINC000787997268 1073758553 /nfs/dbraw/zinc/75/85/53/1073758553.db2.gz CMLVWAGSDWFJSF-HOTGVXAUSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)CC1CC1 ZINC000787997271 1073758563 /nfs/dbraw/zinc/75/85/63/1073758563.db2.gz CMLVWAGSDWFJSF-HZPDHXFCSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N(CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)CC1CC1 ZINC000787997273 1073758653 /nfs/dbraw/zinc/75/86/53/1073758653.db2.gz CMLVWAGSDWFJSF-JKSUJKDBSA-N 0 0 431.555 -0.064 20 0 IBADRN O=C(c1cnn(-c2ccc(Cl)cc2)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787997422 1073758639 /nfs/dbraw/zinc/75/86/39/1073758639.db2.gz FHUZBBDVLMNFTK-CVEARBPZSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnn(-c2ccc(Cl)cc2)n1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787997423 1073758544 /nfs/dbraw/zinc/75/85/44/1073758544.db2.gz FHUZBBDVLMNFTK-HOTGVXAUSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnn(-c2ccc(Cl)cc2)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787997424 1073758548 /nfs/dbraw/zinc/75/85/48/1073758548.db2.gz FHUZBBDVLMNFTK-HZPDHXFCSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cnn(-c2ccc(Cl)cc2)n1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787997425 1073758578 /nfs/dbraw/zinc/75/85/78/1073758578.db2.gz FHUZBBDVLMNFTK-JKSUJKDBSA-N 0 0 425.898 -0.164 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000787997695 1073757928 /nfs/dbraw/zinc/75/79/28/1073757928.db2.gz FVHHNRBEFPNWKQ-BZUAXINKSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000787997697 1073758049 /nfs/dbraw/zinc/75/80/49/1073758049.db2.gz FVHHNRBEFPNWKQ-OAGGEKHMSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000787997699 1073757970 /nfs/dbraw/zinc/75/79/70/1073757970.db2.gz FVHHNRBEFPNWKQ-OWCLPIDISA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000787997700 1073758059 /nfs/dbraw/zinc/75/80/59/1073758059.db2.gz FVHHNRBEFPNWKQ-PMPSAXMXSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(F)F)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787997724 1073758594 /nfs/dbraw/zinc/75/85/94/1073758594.db2.gz GGCAANXMCOLEKU-AGIUHOORSA-N 0 0 441.497 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(F)F)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787997725 1073758648 /nfs/dbraw/zinc/75/86/48/1073758648.db2.gz GGCAANXMCOLEKU-FRRDWIJNSA-N 0 0 441.497 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(F)F)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787997726 1073758632 /nfs/dbraw/zinc/75/86/32/1073758632.db2.gz GGCAANXMCOLEKU-JHJVBQTASA-N 0 0 441.497 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(F)F)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787997728 1073758583 /nfs/dbraw/zinc/75/85/83/1073758583.db2.gz GGCAANXMCOLEKU-UPJWGTAASA-N 0 0 441.497 -0.163 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787997771 1073758113 /nfs/dbraw/zinc/75/81/13/1073758113.db2.gz HETIIVMMLZBKIJ-COXVUDFISA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787997772 1073758090 /nfs/dbraw/zinc/75/80/90/1073758090.db2.gz HETIIVMMLZBKIJ-KBRIMQKVSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787997773 1073758012 /nfs/dbraw/zinc/75/80/12/1073758012.db2.gz HETIIVMMLZBKIJ-XOKHGSTOSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)cc2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787997774 1073757960 /nfs/dbraw/zinc/75/79/60/1073757960.db2.gz HETIIVMMLZBKIJ-XYPHTWIQSA-N 0 0 425.482 -0.519 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787998742 1073759155 /nfs/dbraw/zinc/75/91/55/1073759155.db2.gz MOSXASTWIUQNNZ-CABCVRRESA-N 0 0 443.909 -0.633 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787998779 1073759084 /nfs/dbraw/zinc/75/90/84/1073759084.db2.gz MOSXASTWIUQNNZ-GJZGRUSLSA-N 0 0 443.909 -0.633 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787998783 1073759044 /nfs/dbraw/zinc/75/90/44/1073759044.db2.gz MOSXASTWIUQNNZ-HUUCEWRRSA-N 0 0 443.909 -0.633 20 0 IBADRN O=C(CN1C(=O)COc2ccc(Cl)cc21)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787998809 1073759564 /nfs/dbraw/zinc/75/95/64/1073759564.db2.gz MOSXASTWIUQNNZ-LSDHHAIUSA-N 0 0 443.909 -0.633 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000787998867 1073759628 /nfs/dbraw/zinc/75/96/28/1073759628.db2.gz NBOXSZLJQRHRCY-CLCXKQKWSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000787998869 1073759598 /nfs/dbraw/zinc/75/95/98/1073759598.db2.gz NBOXSZLJQRHRCY-DOXZYTNZSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000787998874 1073759587 /nfs/dbraw/zinc/75/95/87/1073759587.db2.gz NBOXSZLJQRHRCY-KHYOSLBOSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000787998875 1073759709 /nfs/dbraw/zinc/75/97/09/1073759709.db2.gz NBOXSZLJQRHRCY-VCBZYWHSSA-N 0 0 431.555 -0.064 20 0 IBADRN O=C(C[C@H](c1ccccc1)N1CCOC1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787999116 1073758994 /nfs/dbraw/zinc/75/89/94/1073758994.db2.gz NLEUALSKJANTCV-FGTMMUONSA-N 0 0 437.518 -0.128 20 0 IBADRN O=C(C[C@H](c1ccccc1)N1CCOC1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787999125 1073759721 /nfs/dbraw/zinc/75/97/21/1073759721.db2.gz NLEUALSKJANTCV-KURKYZTESA-N 0 0 437.518 -0.128 20 0 IBADRN O=C(C[C@H](c1ccccc1)N1CCOC1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000787999129 1073759736 /nfs/dbraw/zinc/75/97/36/1073759736.db2.gz NLEUALSKJANTCV-KZNAEPCWSA-N 0 0 437.518 -0.128 20 0 IBADRN O=C(C[C@H](c1ccccc1)N1CCOC1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000787999135 1073759576 /nfs/dbraw/zinc/75/95/76/1073759576.db2.gz NLEUALSKJANTCV-SQNIBIBYSA-N 0 0 437.518 -0.128 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)C1CC1 ZINC000787999139 1073759698 /nfs/dbraw/zinc/75/96/98/1073759698.db2.gz NLQHZOGGMSKMST-BZUAXINKSA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)C1CC1 ZINC000787999142 1073759515 /nfs/dbraw/zinc/75/95/15/1073759515.db2.gz NLQHZOGGMSKMST-OAGGEKHMSA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)C1CC1 ZINC000787999145 1073759683 /nfs/dbraw/zinc/75/96/83/1073759683.db2.gz NLQHZOGGMSKMST-OWCLPIDISA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)C1CC1 ZINC000787999161 1073759560 /nfs/dbraw/zinc/75/95/60/1073759560.db2.gz NLQHZOGGMSKMST-PMPSAXMXSA-N 0 0 431.555 -0.018 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CNC3(CO)COC(C)(C)OC3)C2=O)cc1 ZINC000787999281 1073759033 /nfs/dbraw/zinc/75/90/33/1073759033.db2.gz MIPUCMONWSHHOD-IBGZPJMESA-N 0 0 436.465 -0.403 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CNC3(CO)COC(C)(C)OC3)C2=O)cc1 ZINC000787999285 1073759188 /nfs/dbraw/zinc/75/91/88/1073759188.db2.gz MIPUCMONWSHHOD-LJQANCHMSA-N 0 0 436.465 -0.403 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C[C@@H]2C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000787999379 1073759074 /nfs/dbraw/zinc/75/90/74/1073759074.db2.gz PAXJBGDKODRJFL-ASKKUZCQSA-N 0 0 443.566 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C[C@@H]2C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000787999381 1073759058 /nfs/dbraw/zinc/75/90/58/1073759058.db2.gz PAXJBGDKODRJFL-DICRZQGBSA-N 0 0 443.566 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C[C@@H]2C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000787999385 1073759018 /nfs/dbraw/zinc/75/90/18/1073759018.db2.gz PAXJBGDKODRJFL-NLDJYOPPSA-N 0 0 443.566 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C[C@@H]2C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000787999391 1073759005 /nfs/dbraw/zinc/75/90/05/1073759005.db2.gz PAXJBGDKODRJFL-OLPIVMHESA-N 0 0 443.566 -0.064 20 0 IBADRN CCn1c(=O)c(C(=O)CNC2(CO)COC(C)(C)OC2)c(N)n(Cc2ccccc2)c1=O ZINC000787999447 1073759175 /nfs/dbraw/zinc/75/91/75/1073759175.db2.gz NIFWSPLMGKIFOA-UHFFFAOYSA-N 0 0 446.504 -0.053 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CO1 ZINC000787999507 1073759121 /nfs/dbraw/zinc/75/91/21/1073759121.db2.gz ODCLHCQZFYALCE-UHFFFAOYSA-N 0 0 445.513 -0.238 20 0 IBADRN C[C@@H]1[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CCN1C(=O)OC(C)(C)C ZINC000787999893 1073760057 /nfs/dbraw/zinc/76/00/57/1073760057.db2.gz QEITUJXVMZCFFX-FPCVCCKLSA-N 0 0 431.555 -0.066 20 0 IBADRN C[C@@H]1[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CCN1C(=O)OC(C)(C)C ZINC000787999897 1073760211 /nfs/dbraw/zinc/76/02/11/1073760211.db2.gz QEITUJXVMZCFFX-KLHDSHLOSA-N 0 0 431.555 -0.066 20 0 IBADRN C[C@@H]1[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CCN1C(=O)OC(C)(C)C ZINC000787999901 1073760089 /nfs/dbraw/zinc/76/00/89/1073760089.db2.gz QEITUJXVMZCFFX-LVQVYYBASA-N 0 0 431.555 -0.066 20 0 IBADRN C[C@@H]1[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CCN1C(=O)OC(C)(C)C ZINC000787999904 1073760159 /nfs/dbraw/zinc/76/01/59/1073760159.db2.gz QEITUJXVMZCFFX-WCVJEAGWSA-N 0 0 431.555 -0.066 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1F ZINC000787999982 1073759524 /nfs/dbraw/zinc/75/95/24/1073759524.db2.gz QRPCVAOIJZQOIL-FHLIZLRMSA-N 0 0 439.509 -0.211 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1F ZINC000788000003 1073759618 /nfs/dbraw/zinc/75/96/18/1073759618.db2.gz QRPCVAOIJZQOIL-JLSDUUJJSA-N 0 0 439.509 -0.211 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1F ZINC000788000009 1073759549 /nfs/dbraw/zinc/75/95/49/1073759549.db2.gz QRPCVAOIJZQOIL-OLMNPRSZSA-N 0 0 439.509 -0.211 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1F ZINC000788000013 1073759609 /nfs/dbraw/zinc/75/96/09/1073759609.db2.gz QRPCVAOIJZQOIL-ZTFGCOKTSA-N 0 0 439.509 -0.211 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CO1 ZINC000788000034 1073759533 /nfs/dbraw/zinc/75/95/33/1073759533.db2.gz QCBYDIMYWWQCMG-UHFFFAOYSA-N 0 0 443.522 -0.250 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CO1 ZINC000788000273 1073759651 /nfs/dbraw/zinc/75/96/51/1073759651.db2.gz STXMBPJKADCPTG-UHFFFAOYSA-N 0 0 443.522 -0.250 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000788000963 1073760526 /nfs/dbraw/zinc/76/05/26/1073760526.db2.gz UDSPWFGUNXUZBK-ARFHVFGLSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000788000970 1073760583 /nfs/dbraw/zinc/76/05/83/1073760583.db2.gz UDSPWFGUNXUZBK-HRCADAONSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000788000973 1073760546 /nfs/dbraw/zinc/76/05/46/1073760546.db2.gz UDSPWFGUNXUZBK-JYJNAYRXSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000788000979 1073760486 /nfs/dbraw/zinc/76/04/86/1073760486.db2.gz UDSPWFGUNXUZBK-XHSDSOJGSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)Nc1cnc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cn1 ZINC000788001527 1073760118 /nfs/dbraw/zinc/76/01/18/1073760118.db2.gz VNSJAYZSYHXKAV-KBPBESRZSA-N 0 0 441.510 -0.261 20 0 IBADRN CC(C)(C)OC(=O)Nc1cnc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cn1 ZINC000788001528 1073760149 /nfs/dbraw/zinc/76/01/49/1073760149.db2.gz VNSJAYZSYHXKAV-KGLIPLIRSA-N 0 0 441.510 -0.261 20 0 IBADRN CC(C)(C)OC(=O)Nc1cnc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cn1 ZINC000788001529 1073760221 /nfs/dbraw/zinc/76/02/21/1073760221.db2.gz VNSJAYZSYHXKAV-UONOGXRCSA-N 0 0 441.510 -0.261 20 0 IBADRN CC(C)(C)OC(=O)Nc1cnc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cn1 ZINC000788001530 1073760135 /nfs/dbraw/zinc/76/01/35/1073760135.db2.gz VNSJAYZSYHXKAV-ZIAGYGMSSA-N 0 0 441.510 -0.261 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000788001531 1073760201 /nfs/dbraw/zinc/76/02/01/1073760201.db2.gz VRJNKJBEBYPCSD-CABCVRRESA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000788001532 1073760127 /nfs/dbraw/zinc/76/01/27/1073760127.db2.gz VRJNKJBEBYPCSD-GJZGRUSLSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000788001533 1073760085 /nfs/dbraw/zinc/76/00/85/1073760085.db2.gz VRJNKJBEBYPCSD-HUUCEWRRSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(OCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000788001534 1073760076 /nfs/dbraw/zinc/76/00/76/1073760076.db2.gz VRJNKJBEBYPCSD-LSDHHAIUSA-N 0 0 447.554 -0.686 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)nc1Br ZINC000788001668 1073760165 /nfs/dbraw/zinc/76/01/65/1073760165.db2.gz XTNWQFSYMHKMCI-NEPJUHHUSA-N 0 0 434.312 -0.232 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)nc1Br ZINC000788001675 1073760068 /nfs/dbraw/zinc/76/00/68/1073760068.db2.gz XTNWQFSYMHKMCI-NWDGAFQWSA-N 0 0 434.312 -0.232 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)nc1Br ZINC000788001679 1073760172 /nfs/dbraw/zinc/76/01/72/1073760172.db2.gz XTNWQFSYMHKMCI-RYUDHWBXSA-N 0 0 434.312 -0.232 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)nc1Br ZINC000788001683 1073760187 /nfs/dbraw/zinc/76/01/87/1073760187.db2.gz XTNWQFSYMHKMCI-VXGBXAGGSA-N 0 0 434.312 -0.232 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000788001992 1073760499 /nfs/dbraw/zinc/76/04/99/1073760499.db2.gz ZTAXDRJOLSSKBN-HBUWYVDXSA-N 0 0 435.518 -0.362 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000788001993 1073760568 /nfs/dbraw/zinc/76/05/68/1073760568.db2.gz ZTAXDRJOLSSKBN-PMUMKWKESA-N 0 0 435.518 -0.362 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000788001994 1073760556 /nfs/dbraw/zinc/76/05/56/1073760556.db2.gz ZTAXDRJOLSSKBN-QWQRMKEZSA-N 0 0 435.518 -0.362 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000788001995 1073760552 /nfs/dbraw/zinc/76/05/52/1073760552.db2.gz ZTAXDRJOLSSKBN-SUNYJGFJSA-N 0 0 435.518 -0.362 20 0 IBADRN Cc1cc(=O)oc2cc(OCC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)ccc12 ZINC000788002004 1073760544 /nfs/dbraw/zinc/76/05/44/1073760544.db2.gz ZYDQKXHHLYQMJB-DLBZAZTESA-N 0 0 436.486 -0.218 20 0 IBADRN Cc1cc(=O)oc2cc(OCC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)ccc12 ZINC000788002005 1073760560 /nfs/dbraw/zinc/76/05/60/1073760560.db2.gz ZYDQKXHHLYQMJB-IAGOWNOFSA-N 0 0 436.486 -0.218 20 0 IBADRN Cc1cc(=O)oc2cc(OCC(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)ccc12 ZINC000788002006 1073760507 /nfs/dbraw/zinc/76/05/07/1073760507.db2.gz ZYDQKXHHLYQMJB-IRXDYDNUSA-N 0 0 436.486 -0.218 20 0 IBADRN Cc1cc(=O)oc2cc(OCC(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)ccc12 ZINC000788002007 1073760549 /nfs/dbraw/zinc/76/05/49/1073760549.db2.gz ZYDQKXHHLYQMJB-SJORKVTESA-N 0 0 436.486 -0.218 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(CO)(NC(=O)OC(C)(C)C)CC1 ZINC000788003678 1073760537 /nfs/dbraw/zinc/76/05/37/1073760537.db2.gz IVTLWGGRLXFFIN-GFCCVEGCSA-N 0 0 439.513 -0.411 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCC(CO)(NC(=O)OC(C)(C)C)CC1 ZINC000788003682 1073760532 /nfs/dbraw/zinc/76/05/32/1073760532.db2.gz IVTLWGGRLXFFIN-LBPRGKRZSA-N 0 0 439.513 -0.411 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(S(N)(=O)=O)ccc1Cl)C2 ZINC000788005730 1073760504 /nfs/dbraw/zinc/76/05/04/1073760504.db2.gz MJYQDLDERBZPSZ-UHFFFAOYSA-N 0 0 442.885 -0.910 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)C1 ZINC000788007169 1073761045 /nfs/dbraw/zinc/76/10/45/1073761045.db2.gz NEIJONVNWBAPQG-UHFFFAOYSA-N 0 0 438.485 -0.155 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000788010796 1073761010 /nfs/dbraw/zinc/76/10/10/1073761010.db2.gz PZBGTENNSLMCNN-IBGZPJMESA-N 0 0 432.521 -0.037 20 0 IBADRN O=C(NCCN1CCN(c2ccccc2)CC1)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000788010804 1073761073 /nfs/dbraw/zinc/76/10/73/1073761073.db2.gz PZBGTENNSLMCNN-LJQANCHMSA-N 0 0 432.521 -0.037 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCCN3CCN(c4ccccc4)CC3)C[C@H]1COCC2 ZINC000788011033 1073761095 /nfs/dbraw/zinc/76/10/95/1073761095.db2.gz ULTIIMPNRGZYMK-FDDCHVKYSA-N 0 0 444.532 -0.037 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NCCOCC[NH+](C)C)ncnc1-2 ZINC000788017920 1073761035 /nfs/dbraw/zinc/76/10/35/1073761035.db2.gz OAPZCSOZCKCRQC-UHFFFAOYSA-N 0 0 429.323 -0.211 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N(C)C)CC2)s1 ZINC000788024775 1073760931 /nfs/dbraw/zinc/76/09/31/1073760931.db2.gz PLZBDSVHSZGTRK-UHFFFAOYSA-N 0 0 440.569 -0.241 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCCN1CCN(c2ccc(F)cc2)CC1 ZINC000788032157 1073760956 /nfs/dbraw/zinc/76/09/56/1073760956.db2.gz WQNNEZPVJPPLDS-UHFFFAOYSA-N 0 0 428.530 -0.043 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)s1 ZINC000788032883 1073760979 /nfs/dbraw/zinc/76/09/79/1073760979.db2.gz XTVYOJOXTVSNCG-UHFFFAOYSA-N 0 0 444.535 -0.242 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@H]1CCOC1=O)c(=O)n2Cc1ccccc1 ZINC000788033860 1073761146 /nfs/dbraw/zinc/76/11/46/1073761146.db2.gz FVJMKNMCYBGYOT-HNNXBMFYSA-N 0 0 441.444 -0.514 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)s1 ZINC000788039112 1073760944 /nfs/dbraw/zinc/76/09/44/1073760944.db2.gz DXIDZOPJPKZQLA-UHFFFAOYSA-N 0 0 441.553 -0.413 20 0 IBADRN O=C(N[C@H]1CCOC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000788041877 1073761205 /nfs/dbraw/zinc/76/12/05/1073761205.db2.gz WAOXTPSFOYOHNA-INIZCTEOSA-N 0 0 439.490 -0.411 20 0 IBADRN CN(CC(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1)S(=O)(=O)c1cccnc1 ZINC000788043199 1073761186 /nfs/dbraw/zinc/76/11/86/1073761186.db2.gz SUDBIOPAVDTSDZ-UHFFFAOYSA-N 0 0 446.551 -0.645 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(CCNC(=O)OC)s1 ZINC000788045998 1073761976 /nfs/dbraw/zinc/76/19/76/1073761976.db2.gz DPSXCQYDQDMFKT-GFCCVEGCSA-N 0 0 432.524 -0.338 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(CCNC(=O)OC)s1 ZINC000788046000 1073761533 /nfs/dbraw/zinc/76/15/33/1073761533.db2.gz DPSXCQYDQDMFKT-LBPRGKRZSA-N 0 0 432.524 -0.338 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)NC2CCN(CC(=O)NC)CC2)CC1 ZINC000788048791 1073761693 /nfs/dbraw/zinc/76/16/93/1073761693.db2.gz AHODSGUJJLBWKJ-AWEZNQCLSA-N 0 0 425.530 -0.314 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)NC2CCN(CC(=O)NC)CC2)CC1 ZINC000788048793 1073761645 /nfs/dbraw/zinc/76/16/45/1073761645.db2.gz AHODSGUJJLBWKJ-CQSZACIVSA-N 0 0 425.530 -0.314 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2ccccc2)CC1 ZINC000788048799 1073761740 /nfs/dbraw/zinc/76/17/40/1073761740.db2.gz AJZDETODDAQMFJ-MOPGFXCFSA-N 0 0 432.521 -0.336 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2ccccc2)CC1 ZINC000788048808 1073761952 /nfs/dbraw/zinc/76/19/52/1073761952.db2.gz AJZDETODDAQMFJ-OALUTQOASA-N 0 0 432.521 -0.336 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccccc2)CC1 ZINC000788048811 1073761933 /nfs/dbraw/zinc/76/19/33/1073761933.db2.gz AJZDETODDAQMFJ-RBUKOAKNSA-N 0 0 432.521 -0.336 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2ccccc2)CC1 ZINC000788048813 1073761716 /nfs/dbraw/zinc/76/17/16/1073761716.db2.gz AJZDETODDAQMFJ-RTBURBONSA-N 0 0 432.521 -0.336 20 0 IBADRN CSCC[C@H](C)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000788049130 1073762208 /nfs/dbraw/zinc/76/22/08/1073762208.db2.gz DWWRKMWBSLMVJQ-HNNXBMFYSA-N 0 0 443.595 -0.093 20 0 IBADRN CSCC[C@@H](C)NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000788049133 1073762396 /nfs/dbraw/zinc/76/23/96/1073762396.db2.gz DWWRKMWBSLMVJQ-OAHLLOKOSA-N 0 0 443.595 -0.093 20 0 IBADRN O=C(NCCOC1CCC1)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000788049153 1073762408 /nfs/dbraw/zinc/76/24/08/1073762408.db2.gz FJGJNQVKVKLLHB-UHFFFAOYSA-N 0 0 439.538 -0.665 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CC[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000788049380 1073762339 /nfs/dbraw/zinc/76/23/39/1073762339.db2.gz HETNLOUSNWRZFK-AWEZNQCLSA-N 0 0 425.530 -0.314 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CC[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000788049381 1073762350 /nfs/dbraw/zinc/76/23/50/1073762350.db2.gz HETNLOUSNWRZFK-CQSZACIVSA-N 0 0 425.530 -0.314 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2C[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]3C2)CC1 ZINC000788049699 1073761904 /nfs/dbraw/zinc/76/19/04/1073761904.db2.gz JHIMDDJDBFDKBE-GASCZTMLSA-N 0 0 437.541 -0.362 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@@H]1CCC=CO1 ZINC000788049720 1073761605 /nfs/dbraw/zinc/76/16/05/1073761605.db2.gz JUOXMSNIEYUHSJ-INIZCTEOSA-N 0 0 437.522 -0.542 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(=O)NC[C@H]1CCC=CO1 ZINC000788049721 1073761623 /nfs/dbraw/zinc/76/16/23/1073761623.db2.gz JUOXMSNIEYUHSJ-MRXNPFEDSA-N 0 0 437.522 -0.542 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccc[nH]1 ZINC000788049722 1073761881 /nfs/dbraw/zinc/76/18/81/1073761881.db2.gz JWDFBPZRTDDDKH-HNNXBMFYSA-N 0 0 434.522 -0.145 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccc[nH]1 ZINC000788049723 1073761766 /nfs/dbraw/zinc/76/17/66/1073761766.db2.gz JWDFBPZRTDDDKH-OAHLLOKOSA-N 0 0 434.522 -0.145 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)CC1 ZINC000788049804 1073761809 /nfs/dbraw/zinc/76/18/09/1073761809.db2.gz MBQHMTDERMAYGV-UHFFFAOYSA-N 0 0 442.442 -0.526 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCCN(C(=O)OCC(C)C)CC2)CC1 ZINC000788049816 1073761674 /nfs/dbraw/zinc/76/16/74/1073761674.db2.gz MSNPVRPKIZAAPO-UHFFFAOYSA-N 0 0 425.530 -0.360 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000788050072 1073762435 /nfs/dbraw/zinc/76/24/35/1073762435.db2.gz OVMZNBYQSRBMOS-HNNXBMFYSA-N 0 0 441.529 -0.935 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000788050073 1073762364 /nfs/dbraw/zinc/76/23/64/1073762364.db2.gz OVMZNBYQSRBMOS-OAHLLOKOSA-N 0 0 441.529 -0.935 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000788050108 1073762423 /nfs/dbraw/zinc/76/24/23/1073762423.db2.gz QEIPOAKTSWVFRR-HNNXBMFYSA-N 0 0 425.530 -0.268 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000788050109 1073762453 /nfs/dbraw/zinc/76/24/53/1073762453.db2.gz QEIPOAKTSWVFRR-OAHLLOKOSA-N 0 0 425.530 -0.268 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CC[C@H](N(C)C(=O)OC(C)(C)C)C2)CC1 ZINC000788050114 1073762226 /nfs/dbraw/zinc/76/22/26/1073762226.db2.gz QIERMQRSLBXKND-HNNXBMFYSA-N 0 0 425.530 -0.219 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CC[C@@H](N(C)C(=O)OC(C)(C)C)C2)CC1 ZINC000788050115 1073762468 /nfs/dbraw/zinc/76/24/68/1073762468.db2.gz QIERMQRSLBXKND-OAHLLOKOSA-N 0 0 425.530 -0.219 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)OC(C)(C)C)[C@H](C)C2)CC1 ZINC000788050137 1073762305 /nfs/dbraw/zinc/76/23/05/1073762305.db2.gz RJJAEXCTICGMFZ-CQSZACIVSA-N 0 0 425.530 -0.219 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(c3ccc(C)cc3)C2=O)CC1 ZINC000788050237 1073762289 /nfs/dbraw/zinc/76/22/89/1073762289.db2.gz SFYQHRVVMYIHSN-GOSISDBHSA-N 0 0 429.521 -0.067 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(c3ccc(C)cc3)C2=O)CC1 ZINC000788050239 1073762381 /nfs/dbraw/zinc/76/23/81/1073762381.db2.gz SFYQHRVVMYIHSN-SFHVURJKSA-N 0 0 429.521 -0.067 20 0 IBADRN CCc1cc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[nH]n1 ZINC000788050457 1073762260 /nfs/dbraw/zinc/76/22/60/1073762260.db2.gz YAWZJMUEIRSNJB-UHFFFAOYSA-N 0 0 449.537 -0.749 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2Cc3c(OC)ccc(OC)c3[C@@H](O)C2)CC1 ZINC000788050530 1073762483 /nfs/dbraw/zinc/76/24/83/1073762483.db2.gz ZEEQNQQEAYJULE-HNNXBMFYSA-N 0 0 434.493 -0.594 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2Cc3c(OC)ccc(OC)c3[C@H](O)C2)CC1 ZINC000788050531 1073762923 /nfs/dbraw/zinc/76/29/23/1073762923.db2.gz ZEEQNQQEAYJULE-OAHLLOKOSA-N 0 0 434.493 -0.594 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000788050545 1073763030 /nfs/dbraw/zinc/76/30/30/1073763030.db2.gz ZPHILVCSGCNNFR-HNNXBMFYSA-N 0 0 425.530 -0.171 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000788050546 1073762998 /nfs/dbraw/zinc/76/29/98/1073762998.db2.gz ZPHILVCSGCNNFR-OAHLLOKOSA-N 0 0 425.530 -0.171 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)NC2CCN(CC(=O)NC)CC2)C1 ZINC000788050585 1073762892 /nfs/dbraw/zinc/76/28/92/1073762892.db2.gz AWTBQCMIXMPBTP-CABCVRRESA-N 0 0 425.530 -0.314 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)NC2CCN(CC(=O)NC)CC2)C1 ZINC000788050586 1073762972 /nfs/dbraw/zinc/76/29/72/1073762972.db2.gz AWTBQCMIXMPBTP-GJZGRUSLSA-N 0 0 425.530 -0.314 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)NC2CCN(CC(=O)NC)CC2)C1 ZINC000788050587 1073763015 /nfs/dbraw/zinc/76/30/15/1073763015.db2.gz AWTBQCMIXMPBTP-HUUCEWRRSA-N 0 0 425.530 -0.314 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)NC2CCN(CC(=O)NC)CC2)C1 ZINC000788050588 1073762797 /nfs/dbraw/zinc/76/27/97/1073762797.db2.gz AWTBQCMIXMPBTP-LSDHHAIUSA-N 0 0 425.530 -0.314 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000788050592 1073762200 /nfs/dbraw/zinc/76/22/00/1073762200.db2.gz AYEKPCJIKVIVID-HNNXBMFYSA-N 0 0 425.530 -0.171 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000788050593 1073762323 /nfs/dbraw/zinc/76/23/23/1073762323.db2.gz AYEKPCJIKVIVID-OAHLLOKOSA-N 0 0 425.530 -0.171 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000788050854 1073763495 /nfs/dbraw/zinc/76/34/95/1073763495.db2.gz DAQYSSXFNAWLMT-HNNXBMFYSA-N 0 0 427.546 -0.022 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000788050859 1073763417 /nfs/dbraw/zinc/76/34/17/1073763417.db2.gz DAQYSSXFNAWLMT-OAHLLOKOSA-N 0 0 427.546 -0.022 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)OC(C)(C)C)C[C@@H]2C)CC1 ZINC000788050958 1073762830 /nfs/dbraw/zinc/76/28/30/1073762830.db2.gz DUDFYLKBBQODBY-AWEZNQCLSA-N 0 0 425.530 -0.219 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)OC(C)(C)C)C[C@H]2C)CC1 ZINC000788050959 1073762770 /nfs/dbraw/zinc/76/27/70/1073762770.db2.gz DUDFYLKBBQODBY-CQSZACIVSA-N 0 0 425.530 -0.219 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2cccs2)CC1 ZINC000788050961 1073762750 /nfs/dbraw/zinc/76/27/50/1073762750.db2.gz DUIFBHKQWCTABD-CVEARBPZSA-N 0 0 438.550 -0.274 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2cccs2)CC1 ZINC000788050962 1073762865 /nfs/dbraw/zinc/76/28/65/1073762865.db2.gz DUIFBHKQWCTABD-HOTGVXAUSA-N 0 0 438.550 -0.274 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2cccs2)CC1 ZINC000788050963 1073763583 /nfs/dbraw/zinc/76/35/83/1073763583.db2.gz DUIFBHKQWCTABD-HZPDHXFCSA-N 0 0 438.550 -0.274 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2cccs2)CC1 ZINC000788050964 1073763539 /nfs/dbraw/zinc/76/35/39/1073763539.db2.gz DUIFBHKQWCTABD-JKSUJKDBSA-N 0 0 438.550 -0.274 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)CC1 ZINC000788050965 1073763363 /nfs/dbraw/zinc/76/33/63/1073763363.db2.gz DWBDVSUBMQHGCG-UHFFFAOYSA-N 0 0 435.472 -0.411 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000788051321 1073762811 /nfs/dbraw/zinc/76/28/11/1073762811.db2.gz ICOJSBMNSOWLIS-GOSISDBHSA-N 0 0 429.521 -0.380 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000788051322 1073762952 /nfs/dbraw/zinc/76/29/52/1073762952.db2.gz ICOJSBMNSOWLIS-SFHVURJKSA-N 0 0 429.521 -0.380 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(C)[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000788051363 1073762986 /nfs/dbraw/zinc/76/29/86/1073762986.db2.gz JPGKDIHHEISBHK-GOSISDBHSA-N 0 0 429.521 -0.428 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N(C)[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000788051364 1073762907 /nfs/dbraw/zinc/76/29/07/1073762907.db2.gz JPGKDIHHEISBHK-SFHVURJKSA-N 0 0 429.521 -0.428 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCC2(NC(=O)OC(C)(C)C)CCC2)CC1 ZINC000788051394 1073763039 /nfs/dbraw/zinc/76/30/39/1073763039.db2.gz KQYSHPADHUECNZ-UHFFFAOYSA-N 0 0 425.530 -0.123 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCC2=CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000788051621 1073762936 /nfs/dbraw/zinc/76/29/36/1073762936.db2.gz LVGHEAHYPCOHMH-UHFFFAOYSA-N 0 0 437.541 -0.004 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NC2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000788051688 1073762847 /nfs/dbraw/zinc/76/28/47/1073762847.db2.gz OFJKWCFTMIYGDP-UHFFFAOYSA-N 0 0 425.530 -0.171 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000788051797 1073762877 /nfs/dbraw/zinc/76/28/77/1073762877.db2.gz OOGBOOBDRWOQFD-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(Cc3ccc(Cl)nc3)CC2)CC1 ZINC000788052607 1073763521 /nfs/dbraw/zinc/76/35/21/1073763521.db2.gz RGUXGBRBTNEXCM-UHFFFAOYSA-N 0 0 436.944 -0.294 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)CC1 ZINC000788052618 1073763433 /nfs/dbraw/zinc/76/34/33/1073763433.db2.gz RJJAEXCTICGMFZ-AWEZNQCLSA-N 0 0 425.530 -0.219 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)NCCN(C(=O)OC(C)(C)C)C2CC2)CC1 ZINC000788053145 1073763452 /nfs/dbraw/zinc/76/34/52/1073763452.db2.gz TWOODVIPINAPQF-UHFFFAOYSA-N 0 0 425.530 -0.171 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000788053207 1073763598 /nfs/dbraw/zinc/76/35/98/1073763598.db2.gz UMNOWGOFBFSFKF-HNNXBMFYSA-N 0 0 425.530 -0.268 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000788053212 1073763566 /nfs/dbraw/zinc/76/35/66/1073763566.db2.gz UMNOWGOFBFSFKF-OAHLLOKOSA-N 0 0 425.530 -0.268 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)OCc3ccccc3)CC2)CC1 ZINC000788053270 1073763344 /nfs/dbraw/zinc/76/33/44/1073763344.db2.gz VAGMAVQOIIVJFH-UHFFFAOYSA-N 0 0 445.520 -0.206 20 0 IBADRN CCN1CCN(CC(=O)OCCS(=O)(=O)c2ccc3[nH]c(=O)oc3c2)C(=O)C1=O ZINC000788060763 1073763400 /nfs/dbraw/zinc/76/34/00/1073763400.db2.gz LQJVUCKSOLXPOT-UHFFFAOYSA-N 0 0 425.419 -0.459 20 0 IBADRN Cn1cc(C=CC(=O)OCCS(=O)(=O)c2ccc3[nH]c(=O)oc3c2)c(=O)n(C)c1=O ZINC000788060791 1073763557 /nfs/dbraw/zinc/76/35/57/1073763557.db2.gz MSAGKPDWAIGRBP-UTCJRWHESA-N 0 0 435.414 -0.039 20 0 IBADRN Cn1cc(C=CC(=O)OCCS(=O)(=O)c2ccc3[nH]c(=O)oc3c2)c(=O)n(C)c1=O ZINC000788060792 1073763328 /nfs/dbraw/zinc/76/33/28/1073763328.db2.gz MSAGKPDWAIGRBP-ZZXKWVIFSA-N 0 0 435.414 -0.039 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H](NC(=O)OCC)C2)CC1 ZINC000788068332 1073763889 /nfs/dbraw/zinc/76/38/89/1073763889.db2.gz IPOJVRWGYAYIOU-INIZCTEOSA-N 0 0 425.530 -0.170 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H](NC(=O)OCC)C2)CC1 ZINC000788068335 1073075440 /nfs/dbraw/zinc/07/54/40/1073075440.db2.gz IPOJVRWGYAYIOU-MRXNPFEDSA-N 0 0 425.530 -0.170 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)s1 ZINC000788069434 1073764000 /nfs/dbraw/zinc/76/40/00/1073764000.db2.gz ZKFIFALKAUPNLL-UHFFFAOYSA-N 0 0 440.569 -0.759 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)s1 ZINC000788071749 1073764173 /nfs/dbraw/zinc/76/41/73/1073764173.db2.gz FFKBIPZAROUABF-LLVKDONJSA-N 0 0 440.569 -0.160 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)s1 ZINC000788072032 1073764049 /nfs/dbraw/zinc/76/40/49/1073764049.db2.gz FFKBIPZAROUABF-NSHDSACASA-N 0 0 440.569 -0.160 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000788076866 1073763979 /nfs/dbraw/zinc/76/39/79/1073763979.db2.gz RSUDGEQRDDJWAM-UHFFFAOYSA-N 0 0 425.530 -0.171 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N(C)[C@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000788090692 1073764103 /nfs/dbraw/zinc/76/41/03/1073764103.db2.gz IKYHETHHVIUKRR-AWEZNQCLSA-N 0 0 449.474 -0.285 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N(C)[C@@H]2CCN(CC(F)(F)F)C2=O)CC1 ZINC000788090700 1073764575 /nfs/dbraw/zinc/76/45/75/1073764575.db2.gz IKYHETHHVIUKRR-CQSZACIVSA-N 0 0 449.474 -0.285 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H]([C@H](O)c3nccn3C)C2)CC1 ZINC000788096070 1073764511 /nfs/dbraw/zinc/76/45/11/1073764511.db2.gz UQXGSUYFKUIQBQ-APWZRJJASA-N 0 0 448.568 -0.201 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H]([C@H](O)c3nccn3C)C2)CC1 ZINC000788096071 1073764488 /nfs/dbraw/zinc/76/44/88/1073764488.db2.gz UQXGSUYFKUIQBQ-LPHOPBHVSA-N 0 0 448.568 -0.201 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H]([C@@H](O)c3nccn3C)C2)CC1 ZINC000788096073 1073764731 /nfs/dbraw/zinc/76/47/31/1073764731.db2.gz UQXGSUYFKUIQBQ-QFBILLFUSA-N 0 0 448.568 -0.201 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H]([C@@H](O)c3nccn3C)C2)CC1 ZINC000788096074 1073764623 /nfs/dbraw/zinc/76/46/23/1073764623.db2.gz UQXGSUYFKUIQBQ-VQIMIIECSA-N 0 0 448.568 -0.201 20 0 IBADRN CCOC(=O)N1CCN(C(=O)Cn2c(=O)oc3cc(S(=O)(=O)CCO)ccc32)CC1 ZINC000788099262 1073763482 /nfs/dbraw/zinc/76/34/82/1073763482.db2.gz VRBFIIISGDWORL-UHFFFAOYSA-N 0 0 441.462 -0.339 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H]2C[C@H](O)c2cnn(C)c2)CC1 ZINC000788100136 1073763617 /nfs/dbraw/zinc/76/36/17/1073763617.db2.gz JFGYZRLZSDBWFP-MOPGFXCFSA-N 0 0 448.568 -0.059 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H]2C[C@H](O)c2cnn(C)c2)CC1 ZINC000788100140 1073764154 /nfs/dbraw/zinc/76/41/54/1073764154.db2.gz JFGYZRLZSDBWFP-OALUTQOASA-N 0 0 448.568 -0.059 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@H]2C[C@@H](O)c2cnn(C)c2)CC1 ZINC000788100142 1073764067 /nfs/dbraw/zinc/76/40/67/1073764067.db2.gz JFGYZRLZSDBWFP-RBUKOAKNSA-N 0 0 448.568 -0.059 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCC[C@@H]2C[C@@H](O)c2cnn(C)c2)CC1 ZINC000788100144 1073763959 /nfs/dbraw/zinc/76/39/59/1073763959.db2.gz JFGYZRLZSDBWFP-RTBURBONSA-N 0 0 448.568 -0.059 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2CCCN(C(=O)OCC)CC2)CC1 ZINC000788101740 1073764016 /nfs/dbraw/zinc/76/40/16/1073764016.db2.gz RQYQNADDPSCUKX-UHFFFAOYSA-N 0 0 425.530 -0.216 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)CC1 ZINC000788102159 1073764125 /nfs/dbraw/zinc/76/41/25/1073764125.db2.gz XDVLUUSNEPZVBT-YCRPNKLZSA-N 0 0 438.525 -0.869 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnc1Br ZINC000788137901 1073764716 /nfs/dbraw/zinc/76/47/16/1073764716.db2.gz FYVNPGWZXWJODL-UHFFFAOYSA-N 0 0 441.282 -0.004 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000788143556 1073764705 /nfs/dbraw/zinc/76/47/05/1073764705.db2.gz FSSBCYOABRQPMJ-UHFFFAOYSA-N 0 0 449.489 -0.346 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1cn3cc(Br)ncc3n1)c(=O)n2C ZINC000788149180 1073764691 /nfs/dbraw/zinc/76/46/91/1073764691.db2.gz OFOMMMGXMVNZCO-UHFFFAOYSA-N 0 0 433.226 -0.122 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000788151438 1073766053 /nfs/dbraw/zinc/76/60/53/1073766053.db2.gz JKFCVOVXACOTMZ-UHFFFAOYSA-N 0 0 425.463 -0.333 20 0 IBADRN COCN1C(=O)CCc2cc(NC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)ccc21 ZINC000788153596 1073765927 /nfs/dbraw/zinc/76/59/27/1073765927.db2.gz JMQNITKISZSNRN-UHFFFAOYSA-N 0 0 426.433 -0.045 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)NC[C@@H]1CC(C(N)=O)=NO1 ZINC000788154166 1073766080 /nfs/dbraw/zinc/76/60/80/1073766080.db2.gz LMGMXQGXYDCLAN-CMPLNLGQSA-N 0 0 432.499 -0.028 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NC[C@@H]1CC(C(N)=O)=NO1 ZINC000788154167 1073766071 /nfs/dbraw/zinc/76/60/71/1073766071.db2.gz LMGMXQGXYDCLAN-JQWIXIFHSA-N 0 0 432.499 -0.028 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NC[C@H]1CC(C(N)=O)=NO1 ZINC000788154168 1073766028 /nfs/dbraw/zinc/76/60/28/1073766028.db2.gz LMGMXQGXYDCLAN-PWSUYJOCSA-N 0 0 432.499 -0.028 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)NC[C@H]1CC(C(N)=O)=NO1 ZINC000788154169 1073766144 /nfs/dbraw/zinc/76/61/44/1073766144.db2.gz LMGMXQGXYDCLAN-ZYHUDNBSSA-N 0 0 432.499 -0.028 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3CN(C(C)=O)C3)CC2)cc1 ZINC000788155063 1073766490 /nfs/dbraw/zinc/76/64/90/1073766490.db2.gz DLUYVSMSUMJJBJ-UHFFFAOYSA-N 0 0 439.490 -0.450 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000788155187 1073766566 /nfs/dbraw/zinc/76/65/66/1073766566.db2.gz CANLNIKRJIYTID-INIZCTEOSA-N 0 0 437.478 -0.180 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000788155189 1073766542 /nfs/dbraw/zinc/76/65/42/1073766542.db2.gz CANLNIKRJIYTID-MRXNPFEDSA-N 0 0 437.478 -0.180 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC000788155597 1073766635 /nfs/dbraw/zinc/76/66/35/1073766635.db2.gz FKCOYXSUWXKTLW-UHFFFAOYSA-N 0 0 439.490 -0.655 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000788155729 1073766516 /nfs/dbraw/zinc/76/65/16/1073766516.db2.gz GWOBOTGAJKVSTR-UHFFFAOYSA-N 0 0 439.490 -0.655 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000788155915 1073766430 /nfs/dbraw/zinc/76/64/30/1073766430.db2.gz VTDYXFCSNZOFIE-GFCCVEGCSA-N 0 0 429.437 -0.455 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)CCC(=O)Nc1ccc2c(n1)n(C)c(=O)n2C ZINC000788155917 1073766444 /nfs/dbraw/zinc/76/64/44/1073766444.db2.gz VTDYXFCSNZOFIE-LBPRGKRZSA-N 0 0 429.437 -0.455 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CC(C(N)=O)=NO2)c1 ZINC000788155981 1073766500 /nfs/dbraw/zinc/76/65/00/1073766500.db2.gz XCYWIBPNSQCUNS-CYBMUJFWSA-N 0 0 439.494 -0.807 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2CC(C(N)=O)=NO2)c1 ZINC000788155983 1073766652 /nfs/dbraw/zinc/76/66/52/1073766652.db2.gz XCYWIBPNSQCUNS-ZDUSSCGKSA-N 0 0 439.494 -0.807 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)C2CN(C(C)=O)C2)c(N)n(Cc2ccccc2)c1=O ZINC000788157019 1073766624 /nfs/dbraw/zinc/76/66/24/1073766624.db2.gz PCHUCUUIEMJAJB-UHFFFAOYSA-N 0 0 428.445 -0.135 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)C1 ZINC000788157186 1073766423 /nfs/dbraw/zinc/76/64/23/1073766423.db2.gz IPQFHGRHEBABLX-UHFFFAOYSA-N 0 0 445.444 -0.181 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000788157628 1073766465 /nfs/dbraw/zinc/76/64/65/1073766465.db2.gz PYWCLXCRJPPYOC-UHFFFAOYSA-N 0 0 427.454 -0.320 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000788157685 1073766583 /nfs/dbraw/zinc/76/65/83/1073766583.db2.gz QSRIUXWEWAUBPA-GOSISDBHSA-N 0 0 431.445 -0.481 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000788157687 1073766644 /nfs/dbraw/zinc/76/66/44/1073766644.db2.gz QSRIUXWEWAUBPA-SFHVURJKSA-N 0 0 431.445 -0.481 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000788157827 1073766530 /nfs/dbraw/zinc/76/65/30/1073766530.db2.gz UEYDINPWZHPKQX-UHFFFAOYSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CC3CCC4(CC3)OCCO4)CC2)CC1 ZINC000788157999 1073766477 /nfs/dbraw/zinc/76/64/77/1073766477.db2.gz BCWHZRMRVNVKOH-UHFFFAOYSA-N 0 0 430.571 -0.054 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000788158724 1073766507 /nfs/dbraw/zinc/76/65/07/1073766507.db2.gz NKHIFCBXHDSVAP-UHFFFAOYSA-N 0 0 427.454 -0.320 20 0 IBADRN COCCN(C(=O)COC(=O)C1CN(C(C)=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000788158840 1073766455 /nfs/dbraw/zinc/76/64/55/1073766455.db2.gz KRSPOSOMWHUANH-UHFFFAOYSA-N 0 0 439.469 -0.812 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000788160203 1073766599 /nfs/dbraw/zinc/76/65/99/1073766599.db2.gz WVYIXXNRDTZYLK-UHFFFAOYSA-N 0 0 425.463 -0.333 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000788160970 1073767074 /nfs/dbraw/zinc/76/70/74/1073767074.db2.gz OCRKWYFHUMBNFD-UHFFFAOYSA-N 0 0 439.490 -0.024 20 0 IBADRN CCN(C(=O)COC(=O)C1CN(C(C)=O)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000788161296 1073767122 /nfs/dbraw/zinc/76/71/22/1073767122.db2.gz PPYQDZBGZRGPKY-UHFFFAOYSA-N 0 0 443.460 -0.046 20 0 IBADRN CC(=O)N1CC(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000788161591 1073767185 /nfs/dbraw/zinc/76/71/85/1073767185.db2.gz SWTGSSUMDABZSK-UHFFFAOYSA-N 0 0 428.467 -0.854 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000788171631 1073766976 /nfs/dbraw/zinc/76/69/76/1073766976.db2.gz ZZVPPPWFJKHJTE-UHFFFAOYSA-N 0 0 440.474 -0.145 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)c1ccc(Cl)c(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)c1 ZINC000788175420 1073765154 /nfs/dbraw/zinc/76/51/54/1073765154.db2.gz BUCJYGWGIOZDMN-COPLHBTASA-N 0 0 433.914 -0.025 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)c1ccc(Cl)c(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)c1 ZINC000788175423 1073765147 /nfs/dbraw/zinc/76/51/47/1073765147.db2.gz BUCJYGWGIOZDMN-JTNHKYCSSA-N 0 0 433.914 -0.025 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)c1ccc(Cl)c(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000788175427 1073765046 /nfs/dbraw/zinc/76/50/46/1073765046.db2.gz BUCJYGWGIOZDMN-UHIISALHSA-N 0 0 433.914 -0.025 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)c1ccc(Cl)c(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000788175433 1073765216 /nfs/dbraw/zinc/76/52/16/1073765216.db2.gz BUCJYGWGIOZDMN-WDMOLILDSA-N 0 0 433.914 -0.025 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000788176479 1073765096 /nfs/dbraw/zinc/76/50/96/1073765096.db2.gz KULJTIDIKKGNAT-UHFFFAOYSA-N 0 0 443.507 -0.262 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC000788176772 1073765569 /nfs/dbraw/zinc/76/55/69/1073765569.db2.gz NVWRZUNOSYJRSJ-UHFFFAOYSA-N 0 0 443.507 -0.262 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c(Cl)cc1Cl ZINC000788178033 1073765613 /nfs/dbraw/zinc/76/56/13/1073765613.db2.gz PKFHBRFTQUBXMK-GFCCVEGCSA-N 0 0 440.305 -0.149 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c(Cl)cc1Cl ZINC000788178037 1073765599 /nfs/dbraw/zinc/76/55/99/1073765599.db2.gz PKFHBRFTQUBXMK-LBPRGKRZSA-N 0 0 440.305 -0.149 20 0 IBADRN Cc1nc(NC(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)c(C(=O)NCCO)n1C ZINC000788180578 1073765574 /nfs/dbraw/zinc/76/55/74/1073765574.db2.gz AQRQEOXODMEPOM-FQEVSTJZSA-N 0 0 446.439 -0.005 20 0 IBADRN Cc1nc(NC(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)c(C(=O)NCCO)n1C ZINC000788180581 1073765617 /nfs/dbraw/zinc/76/56/17/1073765617.db2.gz AQRQEOXODMEPOM-HXUWFJFHSA-N 0 0 446.439 -0.005 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)Nc2nc(C)n(C)c2C(=O)NCCO)cc1 ZINC000788180980 1073766102 /nfs/dbraw/zinc/76/61/02/1073766102.db2.gz HZHOUBWXDAIPRY-UHFFFAOYSA-N 0 0 425.467 -0.115 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NC[C@H](O)C(=O)NC)CC2=O)cc1 ZINC000788181150 1073765596 /nfs/dbraw/zinc/76/55/96/1073765596.db2.gz AEJBNKIFDFORGB-BBRMVZONSA-N 0 0 440.522 -0.707 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@H](O)C(=O)NC)CC2=O)cc1 ZINC000788181161 1073765564 /nfs/dbraw/zinc/76/55/64/1073765564.db2.gz AEJBNKIFDFORGB-CJNGLKHVSA-N 0 0 440.522 -0.707 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@@H](O)C(=O)NC)CC2=O)cc1 ZINC000788181163 1073765543 /nfs/dbraw/zinc/76/55/43/1073765543.db2.gz AEJBNKIFDFORGB-CZUORRHYSA-N 0 0 440.522 -0.707 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NC[C@@H](O)C(=O)NC)CC2=O)cc1 ZINC000788181166 1073765948 /nfs/dbraw/zinc/76/59/48/1073765948.db2.gz AEJBNKIFDFORGB-XJKSGUPXSA-N 0 0 440.522 -0.707 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)Nc2nc(C)n(C)c2C(=O)NCCO)c1 ZINC000788181883 1073765622 /nfs/dbraw/zinc/76/56/22/1073765622.db2.gz ZSFUYDBJDXPDDW-UHFFFAOYSA-N 0 0 425.467 -0.115 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000788182020 1073766042 /nfs/dbraw/zinc/76/60/42/1073766042.db2.gz FJKSJSCRVKGDJS-AWEZNQCLSA-N 0 0 427.479 -0.918 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000788182021 1073766091 /nfs/dbraw/zinc/76/60/91/1073766091.db2.gz FJKSJSCRVKGDJS-CQSZACIVSA-N 0 0 427.479 -0.918 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)c1cc(S(=O)(=O)NCCOC)ccc1Br ZINC000788182034 1073766011 /nfs/dbraw/zinc/76/60/11/1073766011.db2.gz FTQBGQZLUZESLF-GFCCVEGCSA-N 0 0 438.300 -0.790 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)c1cc(S(=O)(=O)NCCOC)ccc1Br ZINC000788182035 1073766120 /nfs/dbraw/zinc/76/61/20/1073766120.db2.gz FTQBGQZLUZESLF-LBPRGKRZSA-N 0 0 438.300 -0.790 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000788183528 1073766133 /nfs/dbraw/zinc/76/61/33/1073766133.db2.gz MACODYURHQRSBH-AWEZNQCLSA-N 0 0 429.495 -0.672 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000788183529 1073765980 /nfs/dbraw/zinc/76/59/80/1073765980.db2.gz MACODYURHQRSBH-CQSZACIVSA-N 0 0 429.495 -0.672 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c(Cl)cc1Cl ZINC000788207256 1073767147 /nfs/dbraw/zinc/76/71/47/1073767147.db2.gz FWZYFRVKEJQGAS-LLVKDONJSA-N 0 0 426.278 -0.410 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)c(Cl)cc1Cl ZINC000788207259 1073767177 /nfs/dbraw/zinc/76/71/77/1073767177.db2.gz FWZYFRVKEJQGAS-NSHDSACASA-N 0 0 426.278 -0.410 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1 ZINC000788208946 1073767028 /nfs/dbraw/zinc/76/70/28/1073767028.db2.gz NGBIKOHWXNYXQE-KBPBESRZSA-N 0 0 433.490 -0.315 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1 ZINC000788208951 1073767100 /nfs/dbraw/zinc/76/71/00/1073767100.db2.gz NGBIKOHWXNYXQE-KGLIPLIRSA-N 0 0 433.490 -0.315 20 0 IBADRN NC(=O)[C@@H](O)CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1 ZINC000788208953 1073767201 /nfs/dbraw/zinc/76/72/01/1073767201.db2.gz NGBIKOHWXNYXQE-UONOGXRCSA-N 0 0 433.490 -0.315 20 0 IBADRN NC(=O)[C@H](O)CNC(=O)c1ccc(NC(=O)Cc2sc(N3CCCC3)nc2O)cc1 ZINC000788208956 1073767052 /nfs/dbraw/zinc/76/70/52/1073767052.db2.gz NGBIKOHWXNYXQE-ZIAGYGMSSA-N 0 0 433.490 -0.315 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000788210269 1073767000 /nfs/dbraw/zinc/76/70/00/1073767000.db2.gz WSZSEAUZCWJEPZ-GFCCVEGCSA-N 0 0 444.462 -0.110 20 0 IBADRN COCCOc1cc(OC)ccc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000788210271 1073767195 /nfs/dbraw/zinc/76/71/95/1073767195.db2.gz WSZSEAUZCWJEPZ-LBPRGKRZSA-N 0 0 444.462 -0.110 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCCn3c(=O)ccn(C)c3=O)C2=O)cc1 ZINC000788219748 1073767170 /nfs/dbraw/zinc/76/71/70/1073767170.db2.gz GFWGCHIMNUTHGI-NRFANRHFSA-N 0 0 427.461 -0.171 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCCn3c(=O)ccn(C)c3=O)C2=O)cc1 ZINC000788219749 1073766988 /nfs/dbraw/zinc/76/69/88/1073766988.db2.gz GFWGCHIMNUTHGI-OAQYLSRUSA-N 0 0 427.461 -0.171 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)c1=O ZINC000788219871 1073767084 /nfs/dbraw/zinc/76/70/84/1073767084.db2.gz IYWICLLNOLMKBV-FQEVSTJZSA-N 0 0 431.424 -0.340 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)c1=O ZINC000788219872 1073767093 /nfs/dbraw/zinc/76/70/93/1073767093.db2.gz IYWICLLNOLMKBV-HXUWFJFHSA-N 0 0 431.424 -0.340 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCCn3c(=O)ccn(C)c3=O)C2=O)cc1 ZINC000788219958 1073767160 /nfs/dbraw/zinc/76/71/60/1073767160.db2.gz LXIMSJAHTLNRIX-NRFANRHFSA-N 0 0 443.460 -0.471 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCCn3c(=O)ccn(C)c3=O)C2=O)cc1 ZINC000788219969 1073767113 /nfs/dbraw/zinc/76/71/13/1073767113.db2.gz LXIMSJAHTLNRIX-OAQYLSRUSA-N 0 0 443.460 -0.471 20 0 IBADRN CC(=O)N1CC(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1 ZINC000788237054 1073767733 /nfs/dbraw/zinc/76/77/33/1073767733.db2.gz BWROEPPBRUAPSC-UHFFFAOYSA-N 0 0 433.508 -0.615 20 0 IBADRN COc1cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnc1Br ZINC000788242751 1073767800 /nfs/dbraw/zinc/76/78/00/1073767800.db2.gz GVXUSUMKZAOUQJ-UHFFFAOYSA-N 0 0 439.270 -0.045 20 0 IBADRN CCOC(=O)[C@@H](COC)N(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CC1 ZINC000788263899 1073767841 /nfs/dbraw/zinc/76/78/41/1073767841.db2.gz XKWNRGPRPJQABD-DZGCQCFKSA-N 0 0 429.495 -0.279 20 0 IBADRN CCOC(=O)[C@H](COC)N(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CC1 ZINC000788263900 1073767831 /nfs/dbraw/zinc/76/78/31/1073767831.db2.gz XKWNRGPRPJQABD-HIFRSBDPSA-N 0 0 429.495 -0.279 20 0 IBADRN CCOC(=O)[C@@H](COC)N(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CC1 ZINC000788263901 1073767855 /nfs/dbraw/zinc/76/78/55/1073767855.db2.gz XKWNRGPRPJQABD-UKRRQHHQSA-N 0 0 429.495 -0.279 20 0 IBADRN CCOC(=O)[C@H](COC)N(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CC1 ZINC000788263902 1073767893 /nfs/dbraw/zinc/76/78/93/1073767893.db2.gz XKWNRGPRPJQABD-ZFWWWQNUSA-N 0 0 429.495 -0.279 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)NC(=O)NC2CC2)c1 ZINC000788268781 1073767749 /nfs/dbraw/zinc/76/77/49/1073767749.db2.gz HHWAANQLKQWPBO-UHFFFAOYSA-N 0 0 428.467 -0.590 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC000788271815 1073767822 /nfs/dbraw/zinc/76/78/22/1073767822.db2.gz PNEDVVHGLCSZJB-AWEZNQCLSA-N 0 0 425.530 -0.090 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC000788271822 1073767777 /nfs/dbraw/zinc/76/77/77/1073767777.db2.gz PNEDVVHGLCSZJB-CQSZACIVSA-N 0 0 425.530 -0.090 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)Cn1cc(S(=O)(=O)N(CC)CC)ccc1=O ZINC000788278107 1073767699 /nfs/dbraw/zinc/76/76/99/1073767699.db2.gz AZWBEEKXBYJLEZ-UHFFFAOYSA-N 0 0 430.483 -0.342 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@@H](C)C(=O)N2CCOCC2)c1 ZINC000788279700 1073767807 /nfs/dbraw/zinc/76/78/07/1073767807.db2.gz CVAQIAGWROSSOT-AWEZNQCLSA-N 0 0 429.495 -0.331 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@H](C)C(=O)N2CCOCC2)c1 ZINC000788279701 1073768233 /nfs/dbraw/zinc/76/82/33/1073768233.db2.gz CVAQIAGWROSSOT-CQSZACIVSA-N 0 0 429.495 -0.331 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC000788280243 1073768345 /nfs/dbraw/zinc/76/83/45/1073768345.db2.gz PAGNVQJTVHBTTG-INIZCTEOSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC000788280244 1073768228 /nfs/dbraw/zinc/76/82/28/1073768228.db2.gz PAGNVQJTVHBTTG-MRXNPFEDSA-N 0 0 437.541 -0.075 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000788280615 1073768210 /nfs/dbraw/zinc/76/82/10/1073768210.db2.gz PZVPNPZBEYBNKH-CVEARBPZSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000788280623 1073768281 /nfs/dbraw/zinc/76/82/81/1073768281.db2.gz PZVPNPZBEYBNKH-HOTGVXAUSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000788280624 1073768306 /nfs/dbraw/zinc/76/83/06/1073768306.db2.gz PZVPNPZBEYBNKH-HZPDHXFCSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000788280625 1073768265 /nfs/dbraw/zinc/76/82/65/1073768265.db2.gz PZVPNPZBEYBNKH-JKSUJKDBSA-N 0 0 428.530 -0.346 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)NC[C@@H]2CCCO2)c1 ZINC000788286462 1073768199 /nfs/dbraw/zinc/76/81/99/1073768199.db2.gz YOHUBUFINRQKCP-AWEZNQCLSA-N 0 0 429.495 -0.283 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)NC[C@H]2CCCO2)c1 ZINC000788286477 1073768250 /nfs/dbraw/zinc/76/82/50/1073768250.db2.gz YOHUBUFINRQKCP-CQSZACIVSA-N 0 0 429.495 -0.283 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000788288257 1073768256 /nfs/dbraw/zinc/76/82/56/1073768256.db2.gz FLZPJXRUISYTLA-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(C(=O)OC(C)(C)C)C2)CC1 ZINC000788288259 1073768296 /nfs/dbraw/zinc/76/82/96/1073768296.db2.gz FLZPJXRUISYTLA-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(C(=O)OC(C)(C)C)C3)CC2)c1=O ZINC000788289636 1073768240 /nfs/dbraw/zinc/76/82/40/1073768240.db2.gz JEVIATXVQVPAHL-HNNXBMFYSA-N 0 0 448.524 -0.055 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(C(=O)OC(C)(C)C)C3)CC2)c1=O ZINC000788289645 1073768336 /nfs/dbraw/zinc/76/83/36/1073768336.db2.gz JEVIATXVQVPAHL-OAHLLOKOSA-N 0 0 448.524 -0.055 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000788291052 1073768149 /nfs/dbraw/zinc/76/81/49/1073768149.db2.gz PRCCYIOGTFDELN-UHFFFAOYSA-N 0 0 432.543 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000788294464 1073767876 /nfs/dbraw/zinc/76/78/76/1073767876.db2.gz NVIADAYWZGAIFV-UHFFFAOYSA-N 0 0 432.543 -0.243 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000788301820 1073767845 /nfs/dbraw/zinc/76/78/45/1073767845.db2.gz MMULWBYVWKSIFC-KRWDZBQOSA-N 0 0 442.557 -0.099 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000788301821 1073767783 /nfs/dbraw/zinc/76/77/83/1073767783.db2.gz MMULWBYVWKSIFC-QGZVFWFLSA-N 0 0 442.557 -0.099 20 0 IBADRN C[C@H]1C[C@@H](O)CN1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000788316235 1073769378 /nfs/dbraw/zinc/76/93/78/1073769378.db2.gz JWLRANBRWIBTNH-GXTWGEPZSA-N 0 0 435.524 -0.044 20 0 IBADRN C[C@H]1C[C@H](O)CN1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000788316236 1073769327 /nfs/dbraw/zinc/76/93/27/1073769327.db2.gz JWLRANBRWIBTNH-JSGCOSHPSA-N 0 0 435.524 -0.044 20 0 IBADRN C[C@@H]1C[C@H](O)CN1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000788316237 1073769405 /nfs/dbraw/zinc/76/94/05/1073769405.db2.gz JWLRANBRWIBTNH-OCCSQVGLSA-N 0 0 435.524 -0.044 20 0 IBADRN C[C@@H]1C[C@@H](O)CN1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000788316239 1073769410 /nfs/dbraw/zinc/76/94/10/1073769410.db2.gz JWLRANBRWIBTNH-TZMCWYRMSA-N 0 0 435.524 -0.044 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NOC[C@@H]3CCOC3)CC2)cc1 ZINC000788324856 1073769413 /nfs/dbraw/zinc/76/94/13/1073769413.db2.gz ZTJYSKSCHORNEB-CYBMUJFWSA-N 0 0 448.523 -0.682 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NOC[C@H]3CCOC3)CC2)cc1 ZINC000788324857 1073769371 /nfs/dbraw/zinc/76/93/71/1073769371.db2.gz ZTJYSKSCHORNEB-ZDUSSCGKSA-N 0 0 448.523 -0.682 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(C)cc1C ZINC000788328671 1073768353 /nfs/dbraw/zinc/76/83/53/1073768353.db2.gz JVGDCFXIGDNMOU-UHFFFAOYSA-N 0 0 427.479 -0.193 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1=O ZINC000788339858 1073768802 /nfs/dbraw/zinc/76/88/02/1073768802.db2.gz BEINRAJVNZYBBK-UHFFFAOYSA-N 0 0 426.495 -0.698 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000788342460 1073768909 /nfs/dbraw/zinc/76/89/09/1073768909.db2.gz LVSIRQQUVCOEKT-HNNXBMFYSA-N 0 0 446.570 -0.144 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000788342462 1073768885 /nfs/dbraw/zinc/76/88/85/1073768885.db2.gz LVSIRQQUVCOEKT-OAHLLOKOSA-N 0 0 446.570 -0.144 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCSC(C)(C)C)CC2)CC1 ZINC000788346775 1073768876 /nfs/dbraw/zinc/76/88/76/1073768876.db2.gz UFUOEUYBMUJVQH-UHFFFAOYSA-N 0 0 435.616 -0.729 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000788358668 1073769863 /nfs/dbraw/zinc/76/98/63/1073769863.db2.gz VTOJQDCINNZSRE-UHFFFAOYSA-N 0 0 444.517 -0.054 20 0 IBADRN Cc1nn(C)c(C)c1CNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000788358671 1073770524 /nfs/dbraw/zinc/77/05/24/1073770524.db2.gz VVNZHOUIMIGKND-UHFFFAOYSA-N 0 0 436.513 -0.151 20 0 IBADRN CC(=O)N1CC(C(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000788366271 1073770383 /nfs/dbraw/zinc/77/03/83/1073770383.db2.gz QKMOEHWAFLXDJU-UHFFFAOYSA-N 0 0 439.490 -0.541 20 0 IBADRN CC(C)(C)SCCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000788373366 1073770467 /nfs/dbraw/zinc/77/04/67/1073770467.db2.gz VDZJAJHENSXHJO-UHFFFAOYSA-N 0 0 446.551 -0.141 20 0 IBADRN CC(=O)OCCCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000788381331 1073770459 /nfs/dbraw/zinc/77/04/59/1073770459.db2.gz HWPCHDRLQKLYEQ-CABCVRRESA-N 0 0 425.525 -0.451 20 0 IBADRN CC(=O)OCCCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000788381333 1073770532 /nfs/dbraw/zinc/77/05/32/1073770532.db2.gz HWPCHDRLQKLYEQ-GJZGRUSLSA-N 0 0 425.525 -0.451 20 0 IBADRN CC(=O)OCCCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000788381335 1073770450 /nfs/dbraw/zinc/77/04/50/1073770450.db2.gz HWPCHDRLQKLYEQ-HUUCEWRRSA-N 0 0 425.525 -0.451 20 0 IBADRN CC(=O)OCCCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000788381338 1073770396 /nfs/dbraw/zinc/77/03/96/1073770396.db2.gz HWPCHDRLQKLYEQ-LSDHHAIUSA-N 0 0 425.525 -0.451 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)NCCOc1ccc(I)cc1 ZINC000788383819 1073770479 /nfs/dbraw/zinc/77/04/79/1073770479.db2.gz GGVQRALFRGZGLD-UHFFFAOYSA-N 0 0 440.259 -0.053 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)NCCCNC(=O)c1cccc(Br)c1 ZINC000788384157 1073770408 /nfs/dbraw/zinc/77/04/08/1073770408.db2.gz HZGLFFYFQXVROY-UHFFFAOYSA-N 0 0 434.312 -0.154 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCN(C(=O)c2ccccc2OC(F)F)CC1 ZINC000788385361 1073770543 /nfs/dbraw/zinc/77/05/43/1073770543.db2.gz QKOUSNKQHFYVMJ-UHFFFAOYSA-N 0 0 433.433 -0.267 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCN(C(=O)c2ccc(Br)cc2)CC1 ZINC000788386376 1073770562 /nfs/dbraw/zinc/77/05/62/1073770562.db2.gz XTJAEYFYOQWSJT-UHFFFAOYSA-N 0 0 446.323 -0.106 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC1 ZINC000788390666 1073769908 /nfs/dbraw/zinc/76/99/08/1073769908.db2.gz JLHWLRXCWWFBIJ-CYBMUJFWSA-N 0 0 428.486 -0.542 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC1 ZINC000788390670 1073769829 /nfs/dbraw/zinc/76/98/29/1073769829.db2.gz JLHWLRXCWWFBIJ-ZDUSSCGKSA-N 0 0 428.486 -0.542 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)NCCCNC(=O)c1ccc(Br)cc1 ZINC000788390672 1073769844 /nfs/dbraw/zinc/76/98/44/1073769844.db2.gz JOJKUPJDOWGFQD-UHFFFAOYSA-N 0 0 434.312 -0.154 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC2)c1 ZINC000788390846 1073769914 /nfs/dbraw/zinc/76/99/14/1073769914.db2.gz LJZYEPKWCZDMPJ-UHFFFAOYSA-N 0 0 431.536 -0.703 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC2)c(OC)c1OC ZINC000788391560 1073769895 /nfs/dbraw/zinc/76/98/95/1073769895.db2.gz OBOGTZVEHXDOOB-UHFFFAOYSA-N 0 0 443.522 -0.483 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)C(=O)NCCS(C)(=O)=O)s1 ZINC000788391755 1073769941 /nfs/dbraw/zinc/76/99/41/1073769941.db2.gz CXMBAAWKSBJKHJ-UHFFFAOYSA-N 0 0 439.581 -0.402 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC2)cc1 ZINC000788392333 1073769798 /nfs/dbraw/zinc/76/97/98/1073769798.db2.gz LJXQJCNUOKINQB-UHFFFAOYSA-N 0 0 425.507 -0.541 20 0 IBADRN COC(=O)[C@H](c1cccc(Cl)c1)N1CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC1 ZINC000788394052 1073769837 /nfs/dbraw/zinc/76/98/37/1073769837.db2.gz YOLWSXBUSCXJON-HNNXBMFYSA-N 0 0 445.925 -0.141 20 0 IBADRN COC(=O)[C@@H](c1cccc(Cl)c1)N1CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC1 ZINC000788394062 1073769954 /nfs/dbraw/zinc/76/99/54/1073769954.db2.gz YOLWSXBUSCXJON-OAHLLOKOSA-N 0 0 445.925 -0.141 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000788394076 1073769808 /nfs/dbraw/zinc/76/98/08/1073769808.db2.gz SUYQCEBYWMJQKE-UHFFFAOYSA-N 0 0 436.534 -0.729 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2Cl)CC1 ZINC000788394087 1073769823 /nfs/dbraw/zinc/76/98/23/1073769823.db2.gz YWYHZDAFSMBUJN-UHFFFAOYSA-N 0 0 430.914 -0.417 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000788394764 1073770881 /nfs/dbraw/zinc/77/08/81/1073770881.db2.gz FGHREUAJFRONHG-UHFFFAOYSA-N 0 0 430.914 -0.734 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](N(C)C(=O)C(=O)NCCS(C)(=O)=O)C2=O)c1 ZINC000788394786 1073770904 /nfs/dbraw/zinc/77/09/04/1073770904.db2.gz FUAFMCNYDXAYKG-HNNXBMFYSA-N 0 0 427.479 -0.572 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](N(C)C(=O)C(=O)NCCS(C)(=O)=O)C2=O)c1 ZINC000788394789 1073770897 /nfs/dbraw/zinc/77/08/97/1073770897.db2.gz FUAFMCNYDXAYKG-OAHLLOKOSA-N 0 0 427.479 -0.572 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC2)cc1 ZINC000788394987 1073770950 /nfs/dbraw/zinc/77/09/50/1073770950.db2.gz WRLVODLVSONDMD-UHFFFAOYSA-N 0 0 445.563 -0.196 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)NCc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000788395536 1073770799 /nfs/dbraw/zinc/77/07/99/1073770799.db2.gz XRUDBUBJPJXJJG-UHFFFAOYSA-N 0 0 431.536 -0.362 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000788396358 1073770894 /nfs/dbraw/zinc/77/08/94/1073770894.db2.gz LMQICXGVIWFZAQ-CYBMUJFWSA-N 0 0 435.499 -0.744 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000788396364 1073770844 /nfs/dbraw/zinc/77/08/44/1073770844.db2.gz LMQICXGVIWFZAQ-ZDUSSCGKSA-N 0 0 435.499 -0.744 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NCCS(C)(=O)=O)CC2)cc(OC)c1OC ZINC000788396844 1073770910 /nfs/dbraw/zinc/77/09/10/1073770910.db2.gz NJMGMVZOWFOLIQ-UHFFFAOYSA-N 0 0 443.522 -0.483 20 0 IBADRN COc1ccc(CCC(=O)N2CCCN(C(=O)C(=O)NCCS(C)(=O)=O)CC2)cc1 ZINC000788396847 1073770928 /nfs/dbraw/zinc/77/09/28/1073770928.db2.gz NLIDLRANQSLVPR-UHFFFAOYSA-N 0 0 439.534 -0.151 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)NCCS(C)(=O)=O)C1 ZINC000788396865 1073770815 /nfs/dbraw/zinc/77/08/15/1073770815.db2.gz NXCMUFWEMMWQNH-CYBMUJFWSA-N 0 0 448.542 -0.973 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)C(=O)NCCS(C)(=O)=O)C1 ZINC000788396869 1073770833 /nfs/dbraw/zinc/77/08/33/1073770833.db2.gz NXCMUFWEMMWQNH-ZDUSSCGKSA-N 0 0 448.542 -0.973 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000788399007 1073770935 /nfs/dbraw/zinc/77/09/35/1073770935.db2.gz UZZYTGHEJAMMRP-UHFFFAOYSA-N 0 0 437.927 -0.666 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCCN(c2nnc(C(F)(F)F)s2)CC1 ZINC000788399213 1073770803 /nfs/dbraw/zinc/77/08/03/1073770803.db2.gz WFEYPFSBWUPDGY-UHFFFAOYSA-N 0 0 429.446 -0.244 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000788399236 1073770876 /nfs/dbraw/zinc/77/08/76/1073770876.db2.gz WOASPTYVOWGPFB-UHFFFAOYSA-N 0 0 435.499 -0.791 20 0 IBADRN C[C@H](c1cccc(N2CCCS2(=O)=O)c1)N(C)C(=O)C(=O)NCCS(C)(=O)=O ZINC000788399304 1073770838 /nfs/dbraw/zinc/77/08/38/1073770838.db2.gz YAEMVTJGQNLCNP-CYBMUJFWSA-N 0 0 431.536 -0.093 20 0 IBADRN C[C@@H](c1cccc(N2CCCS2(=O)=O)c1)N(C)C(=O)C(=O)NCCS(C)(=O)=O ZINC000788399305 1073770945 /nfs/dbraw/zinc/77/09/45/1073770945.db2.gz YAEMVTJGQNLCNP-ZDUSSCGKSA-N 0 0 431.536 -0.093 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)CC1 ZINC000788421701 1073770501 /nfs/dbraw/zinc/77/05/01/1073770501.db2.gz YQLSZHXUPRBOOH-UHFFFAOYSA-N 0 0 425.530 -0.090 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)CC1 ZINC000788424378 1073770535 /nfs/dbraw/zinc/77/05/35/1073770535.db2.gz GANOACXFOKPGSS-UHFFFAOYSA-N 0 0 437.541 -0.075 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000788430292 1073770550 /nfs/dbraw/zinc/77/05/50/1073770550.db2.gz BACWJKVYCHLLCB-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000788431008 1073770554 /nfs/dbraw/zinc/77/05/54/1073770554.db2.gz GEXPPDQXFWRPOY-INIZCTEOSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC1CCN(C(=O)OC(C)(C)C)CC1 ZINC000788431011 1073770513 /nfs/dbraw/zinc/77/05/13/1073770513.db2.gz GEXPPDQXFWRPOY-MRXNPFEDSA-N 0 0 428.530 -0.346 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC3CCN(C(=O)OC(C)(C)C)CC3)CC2)c1=O ZINC000788434358 1073770438 /nfs/dbraw/zinc/77/04/38/1073770438.db2.gz VVXMKTBCBGQKDP-UHFFFAOYSA-N 0 0 448.524 -0.055 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C(=O)NC3CCC3)C2)CC1 ZINC000788464243 1073771390 /nfs/dbraw/zinc/77/13/90/1073771390.db2.gz WOVKTMPQZZSISE-UHFFFAOYSA-N 0 0 430.527 -0.252 20 0 IBADRN NC(=O)[C@]1(O)CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCCCC3)c2)C1 ZINC000788474032 1073771542 /nfs/dbraw/zinc/77/15/42/1073771542.db2.gz KMCXAEGXPHKJBX-IBGZPJMESA-N 0 0 437.522 -0.202 20 0 IBADRN NC(=O)[C@@]1(O)CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCCCC3)c2)C1 ZINC000788474036 1073771563 /nfs/dbraw/zinc/77/15/63/1073771563.db2.gz KMCXAEGXPHKJBX-LJQANCHMSA-N 0 0 437.522 -0.202 20 0 IBADRN O=C(N[C@H]1CCc2n[nH]cc2C1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000788483714 1073771458 /nfs/dbraw/zinc/77/14/58/1073771458.db2.gz FSRLQIFVEODZAO-AWEZNQCLSA-N 0 0 442.501 -0.324 20 0 IBADRN O=C(N[C@@H]1CCc2n[nH]cc2C1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000788483716 1073771526 /nfs/dbraw/zinc/77/15/26/1073771526.db2.gz FSRLQIFVEODZAO-CQSZACIVSA-N 0 0 442.501 -0.324 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@H]2CCc3n[nH]cc3C2)CC1 ZINC000788484539 1073771560 /nfs/dbraw/zinc/77/15/60/1073771560.db2.gz JPAKRVZQPIKMEC-AWEZNQCLSA-N 0 0 434.497 -0.421 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@@H]2CCc3n[nH]cc3C2)CC1 ZINC000788484543 1073771517 /nfs/dbraw/zinc/77/15/17/1073771517.db2.gz JPAKRVZQPIKMEC-CQSZACIVSA-N 0 0 434.497 -0.421 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C(=O)NCC2CC2)CC1 ZINC000788497979 1073771500 /nfs/dbraw/zinc/77/15/00/1073771500.db2.gz GLHHNNJXBXRLBI-UHFFFAOYSA-N 0 0 443.547 -0.242 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000788507092 1073771554 /nfs/dbraw/zinc/77/15/54/1073771554.db2.gz NZGQOBUKSRHXAS-AWEZNQCLSA-N 0 0 436.513 -0.084 20 0 IBADRN Cn1nccc1CNC(=O)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000788507095 1073771538 /nfs/dbraw/zinc/77/15/38/1073771538.db2.gz NZGQOBUKSRHXAS-CQSZACIVSA-N 0 0 436.513 -0.084 20 0 IBADRN CC(=O)OCCCSCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000788509601 1073771480 /nfs/dbraw/zinc/77/14/80/1073771480.db2.gz QFLQJGOJIZRAFD-UHFFFAOYSA-N 0 0 447.535 -0.011 20 0 IBADRN O=C(CN1CCN(C(=O)CC2CCC3(CC2)OCCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000788510579 1073771533 /nfs/dbraw/zinc/77/15/33/1073771533.db2.gz HOAIAFANOLQJTI-KRWDZBQOSA-N 0 0 443.566 -0.243 20 0 IBADRN O=C(CN1CCN(C(=O)CC2CCC3(CC2)OCCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000788510580 1073771443 /nfs/dbraw/zinc/77/14/43/1073771443.db2.gz HOAIAFANOLQJTI-QGZVFWFLSA-N 0 0 443.566 -0.243 20 0 IBADRN CC(=O)N1CC(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1 ZINC000788511231 1073771403 /nfs/dbraw/zinc/77/14/03/1073771403.db2.gz IUGKVXGIUVTIEN-UHFFFAOYSA-N 0 0 430.527 -0.442 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C(=O)NCC3CC3)C2)CC1 ZINC000788512148 1073771428 /nfs/dbraw/zinc/77/14/28/1073771428.db2.gz QHXNKWMZZYSTFH-UHFFFAOYSA-N 0 0 430.527 -0.394 20 0 IBADRN CC(=O)N1CC(C(=O)NCC(CNC(=O)C2CN(C(C)=O)C2)NC(=O)OC(C)(C)C)C1 ZINC000788512950 1073772060 /nfs/dbraw/zinc/77/20/60/1073772060.db2.gz VPDNTXIUHVHCPK-UHFFFAOYSA-N 0 0 439.513 -0.931 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C(=O)NCC2CC2)cc1)S(C)(=O)=O ZINC000788525108 1073772076 /nfs/dbraw/zinc/77/20/76/1073772076.db2.gz BVXKTLGELNBPGT-UHFFFAOYSA-N 0 0 433.508 -0.567 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCSC(F)(F)F)CC2)cn1C ZINC000788544171 1073771927 /nfs/dbraw/zinc/77/19/27/1073771927.db2.gz CWUJFVYLZVUDMI-UHFFFAOYSA-N 0 0 443.473 -0.069 20 0 IBADRN COc1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cnc1Br ZINC000788587827 1073772043 /nfs/dbraw/zinc/77/20/43/1073772043.db2.gz OBMDCRSKVUIIFR-NEPJUHHUSA-N 0 0 434.312 -0.232 20 0 IBADRN COc1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cnc1Br ZINC000788587829 1073771914 /nfs/dbraw/zinc/77/19/14/1073771914.db2.gz OBMDCRSKVUIIFR-NWDGAFQWSA-N 0 0 434.312 -0.232 20 0 IBADRN COc1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cnc1Br ZINC000788587830 1073772020 /nfs/dbraw/zinc/77/20/20/1073772020.db2.gz OBMDCRSKVUIIFR-RYUDHWBXSA-N 0 0 434.312 -0.232 20 0 IBADRN COc1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cnc1Br ZINC000788587832 1073772069 /nfs/dbraw/zinc/77/20/69/1073772069.db2.gz OBMDCRSKVUIIFR-VXGBXAGGSA-N 0 0 434.312 -0.232 20 0 IBADRN COc1ccc(CCS(=O)(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000788589492 1073772028 /nfs/dbraw/zinc/77/20/28/1073772028.db2.gz NZHVUGSPHPPWCI-UHFFFAOYSA-N 0 0 433.552 -0.238 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NCc2nnnn2C)c1 ZINC000788602364 1073772011 /nfs/dbraw/zinc/77/20/11/1073772011.db2.gz MRLIFUZNGYZGMP-UHFFFAOYSA-N 0 0 433.288 -0.173 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)C(=O)C1 ZINC000788602556 1073772523 /nfs/dbraw/zinc/77/25/23/1073772523.db2.gz OMPLTODHYJFCJP-UHFFFAOYSA-N 0 0 435.485 -0.508 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000788604589 1073772548 /nfs/dbraw/zinc/77/25/48/1073772548.db2.gz SGQAHMGFPCALCR-FWAGDVOXSA-N 0 0 437.518 -0.261 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN1CC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000788604601 1073772535 /nfs/dbraw/zinc/77/25/35/1073772535.db2.gz SGQAHMGFPCALCR-NBVOKZNISA-N 0 0 437.518 -0.261 20 0 IBADRN COc1ccc(C(=O)NC[C@H]2CC(C(N)=O)=NO2)cc1S(=O)(=O)N1CCOCC1 ZINC000788613317 1073772587 /nfs/dbraw/zinc/77/25/87/1073772587.db2.gz HJTSWXZNTIPPDC-GFCCVEGCSA-N 0 0 426.451 -0.924 20 0 IBADRN COc1ccc(C(=O)NC[C@@H]2CC(C(N)=O)=NO2)cc1S(=O)(=O)N1CCOCC1 ZINC000788613318 1073772618 /nfs/dbraw/zinc/77/26/18/1073772618.db2.gz HJTSWXZNTIPPDC-LBPRGKRZSA-N 0 0 426.451 -0.924 20 0 IBADRN CN(CC(=O)NC[C@@H]1CC(C(N)=O)=NO1)S(=O)(=O)c1ccc(Br)cc1 ZINC000788614200 1073772501 /nfs/dbraw/zinc/77/25/01/1073772501.db2.gz LQQFNLCPYIENRP-JTQLQIEISA-N 0 0 433.284 -0.184 20 0 IBADRN CN(CC(=O)NC[C@H]1CC(C(N)=O)=NO1)S(=O)(=O)c1ccc(Br)cc1 ZINC000788614201 1073772612 /nfs/dbraw/zinc/77/26/12/1073772612.db2.gz LQQFNLCPYIENRP-SNVBAGLBSA-N 0 0 433.284 -0.184 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)CCNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000788614287 1073772557 /nfs/dbraw/zinc/77/25/57/1073772557.db2.gz NDIUVWFTKJZRGY-JTQLQIEISA-N 0 0 433.284 -0.136 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)CCNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC000788614730 1073772603 /nfs/dbraw/zinc/77/26/03/1073772603.db2.gz NDIUVWFTKJZRGY-SNVBAGLBSA-N 0 0 433.284 -0.136 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)CNC(=O)c2ccccc2I)C1 ZINC000788614807 1073772571 /nfs/dbraw/zinc/77/25/71/1073772571.db2.gz OVQHXJSRHJTVRC-MRVPVSSYSA-N 0 0 430.202 -0.233 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)CNC(=O)c2ccccc2I)C1 ZINC000788614811 1073772579 /nfs/dbraw/zinc/77/25/79/1073772579.db2.gz OVQHXJSRHJTVRC-QMMMGPOBSA-N 0 0 430.202 -0.233 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CC(C(N)=O)=NO1 ZINC000788615397 1073772542 /nfs/dbraw/zinc/77/25/42/1073772542.db2.gz RTOXZNXTIOYHHA-LLVKDONJSA-N 0 0 426.451 -0.924 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CC(C(N)=O)=NO1 ZINC000788615399 1073772469 /nfs/dbraw/zinc/77/24/69/1073772469.db2.gz RTOXZNXTIOYHHA-NSHDSACASA-N 0 0 426.451 -0.924 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H]2CC(C(N)=O)=NO2)c1 ZINC000788615915 1073772487 /nfs/dbraw/zinc/77/24/87/1073772487.db2.gz UMSHZZZFUYGOJU-GFCCVEGCSA-N 0 0 439.494 -0.867 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H]2CC(C(N)=O)=NO2)c1 ZINC000788615928 1073772562 /nfs/dbraw/zinc/77/25/62/1073772562.db2.gz UMSHZZZFUYGOJU-LBPRGKRZSA-N 0 0 439.494 -0.867 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)c2cc(S(=O)(=O)NC3CC3)ccc2Br)C1 ZINC000788620216 1073772596 /nfs/dbraw/zinc/77/25/96/1073772596.db2.gz BJTGUGHUONJBQI-HNNXBMFYSA-N 0 0 432.296 -0.048 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)c2cc(S(=O)(=O)NC3CC3)ccc2Br)C1 ZINC000788620229 1073772607 /nfs/dbraw/zinc/77/26/07/1073772607.db2.gz BJTGUGHUONJBQI-OAHLLOKOSA-N 0 0 432.296 -0.048 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)c2ccc(Cl)c(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC000788620938 1073773196 /nfs/dbraw/zinc/77/31/96/1073773196.db2.gz IZRMTJPRCIDHFS-PXAZEXFGSA-N 0 0 431.898 -0.140 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)c2ccc(Cl)c(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000788620939 1073773089 /nfs/dbraw/zinc/77/30/89/1073773089.db2.gz IZRMTJPRCIDHFS-SJCJKPOMSA-N 0 0 431.898 -0.140 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)c2ccc(Cl)c(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC000788620940 1073773124 /nfs/dbraw/zinc/77/31/24/1073773124.db2.gz IZRMTJPRCIDHFS-SJKOYZFVSA-N 0 0 431.898 -0.140 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)c2ccc(Cl)c(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC000788620941 1073773020 /nfs/dbraw/zinc/77/30/20/1073773020.db2.gz IZRMTJPRCIDHFS-YVEFUNNKSA-N 0 0 431.898 -0.140 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)=NO1 ZINC000788631564 1073773096 /nfs/dbraw/zinc/77/30/96/1073773096.db2.gz KGFJMYPEDOBLQU-HNNXBMFYSA-N 0 0 439.513 -0.341 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)=NO1 ZINC000788631565 1073773058 /nfs/dbraw/zinc/77/30/58/1073773058.db2.gz KGFJMYPEDOBLQU-OAHLLOKOSA-N 0 0 439.513 -0.341 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)=NO1 ZINC000788639647 1073773044 /nfs/dbraw/zinc/77/30/44/1073773044.db2.gz CEQKVUZQQHOWRG-AWEZNQCLSA-N 0 0 437.497 -0.051 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)=NO1 ZINC000788639649 1073773113 /nfs/dbraw/zinc/77/31/13/1073773113.db2.gz CEQKVUZQQHOWRG-CQSZACIVSA-N 0 0 437.497 -0.051 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C2=NO[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000788640889 1073773208 /nfs/dbraw/zinc/77/32/08/1073773208.db2.gz MIJUIJBRSMZKTL-CYBMUJFWSA-N 0 0 425.486 -0.149 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C2=NO[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000788640890 1073773233 /nfs/dbraw/zinc/77/32/33/1073773233.db2.gz MIJUIJBRSMZKTL-ZDUSSCGKSA-N 0 0 425.486 -0.149 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)c1=O ZINC000788652347 1073772448 /nfs/dbraw/zinc/77/24/48/1073772448.db2.gz IMNWTDAOKYYFPV-AWEZNQCLSA-N 0 0 446.551 -0.075 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)c1=O ZINC000788652350 1073772622 /nfs/dbraw/zinc/77/26/22/1073772622.db2.gz IMNWTDAOKYYFPV-CQSZACIVSA-N 0 0 446.551 -0.075 20 0 IBADRN C[C@@H](NC(=O)C1=NO[C@H](CNC(=O)OC(C)(C)C)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000788654567 1073773173 /nfs/dbraw/zinc/77/31/73/1073773173.db2.gz AQHCZTJQQXWTRB-NEPJUHHUSA-N 0 0 432.499 -0.582 20 0 IBADRN C[C@H](NC(=O)C1=NO[C@@H](CNC(=O)OC(C)(C)C)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000788654583 1073773072 /nfs/dbraw/zinc/77/30/72/1073773072.db2.gz AQHCZTJQQXWTRB-NWDGAFQWSA-N 0 0 432.499 -0.582 20 0 IBADRN C[C@H](NC(=O)C1=NO[C@H](CNC(=O)OC(C)(C)C)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000788654585 1073773104 /nfs/dbraw/zinc/77/31/04/1073773104.db2.gz AQHCZTJQQXWTRB-RYUDHWBXSA-N 0 0 432.499 -0.582 20 0 IBADRN C[C@@H](NC(=O)C1=NO[C@@H](CNC(=O)OC(C)(C)C)C1)C(=O)N1CCS(=O)(=O)CC1 ZINC000788654587 1073773186 /nfs/dbraw/zinc/77/31/86/1073773186.db2.gz AQHCZTJQQXWTRB-VXGBXAGGSA-N 0 0 432.499 -0.582 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C2=NO[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000788655621 1073773179 /nfs/dbraw/zinc/77/31/79/1073773179.db2.gz VQKQPABKCRTTND-AWEZNQCLSA-N 0 0 425.486 -0.147 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C2=NO[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000788655622 1073773162 /nfs/dbraw/zinc/77/31/62/1073773162.db2.gz VQKQPABKCRTTND-CQSZACIVSA-N 0 0 425.486 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@H]2C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000788672407 1073773214 /nfs/dbraw/zinc/77/32/14/1073773214.db2.gz UAKWSLGNBLQOQS-HNNXBMFYSA-N 0 0 436.509 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000788672408 1073773031 /nfs/dbraw/zinc/77/30/31/1073773031.db2.gz UAKWSLGNBLQOQS-OAHLLOKOSA-N 0 0 436.509 -0.227 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000788707835 1073773135 /nfs/dbraw/zinc/77/31/35/1073773135.db2.gz PLCIURBBDMSIQN-HNNXBMFYSA-N 0 0 436.527 -0.193 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000788707837 1073773224 /nfs/dbraw/zinc/77/32/24/1073773224.db2.gz PLCIURBBDMSIQN-OAHLLOKOSA-N 0 0 436.527 -0.193 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000788711849 1073773653 /nfs/dbraw/zinc/77/36/53/1073773653.db2.gz QXBIUIDNBURSPG-KRWDZBQOSA-N 0 0 445.519 -0.137 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000788711910 1073773778 /nfs/dbraw/zinc/77/37/78/1073773778.db2.gz QXBIUIDNBURSPG-QGZVFWFLSA-N 0 0 445.519 -0.137 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c(=O)[nH]c1=O ZINC000788712059 1073773823 /nfs/dbraw/zinc/77/38/23/1073773823.db2.gz TVJDMIHWOVCEKH-KRWDZBQOSA-N 0 0 427.483 -0.108 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c(=O)[nH]c1=O ZINC000788712062 1073773802 /nfs/dbraw/zinc/77/38/02/1073773802.db2.gz TVJDMIHWOVCEKH-QGZVFWFLSA-N 0 0 427.483 -0.108 20 0 IBADRN O=C1C[C@@H](Cc2ccccc2)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000788769628 1073773876 /nfs/dbraw/zinc/77/38/76/1073773876.db2.gz DEJDYOLFRBTBTA-GOSISDBHSA-N 0 0 436.534 -0.244 20 0 IBADRN O=C1C[C@H](Cc2ccccc2)C(=O)N1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000788769629 1073773761 /nfs/dbraw/zinc/77/37/61/1073773761.db2.gz DEJDYOLFRBTBTA-SFHVURJKSA-N 0 0 436.534 -0.244 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)C[C@H](Cc3ccccc3)C2=O)CC1 ZINC000788770831 1073773667 /nfs/dbraw/zinc/77/36/67/1073773667.db2.gz HLTWXCHCSRPKLX-KRWDZBQOSA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)C[C@@H](Cc3ccccc3)C2=O)CC1 ZINC000788770833 1073773826 /nfs/dbraw/zinc/77/38/26/1073773826.db2.gz HLTWXCHCSRPKLX-QGZVFWFLSA-N 0 0 426.473 -0.145 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C[C@@H](Cc3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000788771199 1073773858 /nfs/dbraw/zinc/77/38/58/1073773858.db2.gz YEWAXWRYWUAUBN-GOSISDBHSA-N 0 0 428.489 -0.435 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C[C@H](Cc3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000788771201 1073773809 /nfs/dbraw/zinc/77/38/09/1073773809.db2.gz YEWAXWRYWUAUBN-SFHVURJKSA-N 0 0 428.489 -0.435 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C[C@H](Cc4ccccc4)C3=O)CC2)CC1 ZINC000788771501 1073773815 /nfs/dbraw/zinc/77/38/15/1073773815.db2.gz LORGWKIRXQHTQX-IBGZPJMESA-N 0 0 449.577 -0.329 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C[C@@H](Cc4ccccc4)C3=O)CC2)CC1 ZINC000788771503 1073773746 /nfs/dbraw/zinc/77/37/46/1073773746.db2.gz LORGWKIRXQHTQX-LJQANCHMSA-N 0 0 449.577 -0.329 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000788815858 1073773696 /nfs/dbraw/zinc/77/36/96/1073773696.db2.gz HNMSGODIEATPRT-UHFFFAOYSA-N 0 0 449.489 -0.069 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@H]2C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000788829561 1073774288 /nfs/dbraw/zinc/77/42/88/1073774288.db2.gz OMPBRTLZAWIZJQ-HNNXBMFYSA-N 0 0 444.554 -0.035 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000788829562 1073774259 /nfs/dbraw/zinc/77/42/59/1073774259.db2.gz OMPBRTLZAWIZJQ-OAHLLOKOSA-N 0 0 444.554 -0.035 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000788849191 1073774399 /nfs/dbraw/zinc/77/43/99/1073774399.db2.gz KYYKWJTUFSRPLA-CYBMUJFWSA-N 0 0 427.504 -0.142 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000788849193 1073774463 /nfs/dbraw/zinc/77/44/63/1073774463.db2.gz KYYKWJTUFSRPLA-ZDUSSCGKSA-N 0 0 427.504 -0.142 20 0 IBADRN Cn1cc(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000788851456 1073774325 /nfs/dbraw/zinc/77/43/25/1073774325.db2.gz GYBKJVRYDSCZQS-HNNXBMFYSA-N 0 0 432.452 -0.329 20 0 IBADRN Cn1cc(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000788851462 1073774390 /nfs/dbraw/zinc/77/43/90/1073774390.db2.gz GYBKJVRYDSCZQS-OAHLLOKOSA-N 0 0 432.452 -0.329 20 0 IBADRN O=S(=O)(C1=Cc2ccccc2OC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000788877525 1073774333 /nfs/dbraw/zinc/77/43/33/1073774333.db2.gz UEEINMLPWGAHBH-UHFFFAOYSA-N 0 0 429.520 -0.056 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C3=Cc4ccccc4OC3)CC2)CC1 ZINC000788878143 1073774380 /nfs/dbraw/zinc/77/43/80/1073774380.db2.gz NUVZMOPIHVKPQF-UHFFFAOYSA-N 0 0 442.563 -0.141 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)C(=O)OC(C)(C)C ZINC000788884041 1073774448 /nfs/dbraw/zinc/77/44/48/1073774448.db2.gz LWIBRKIMTXYYGL-UHFFFAOYSA-N 0 0 439.513 -0.691 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCCCN(C)C(=O)OC(C)(C)C)CC1 ZINC000788886988 1073774346 /nfs/dbraw/zinc/77/43/46/1073774346.db2.gz WSIXUINPSNMKBL-UHFFFAOYSA-N 0 0 427.546 -0.018 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)C(=O)OC(C)(C)C ZINC000788887694 1073774367 /nfs/dbraw/zinc/77/43/67/1073774367.db2.gz GBQHZUQUEXVBSA-UHFFFAOYSA-N 0 0 436.513 -0.198 20 0 IBADRN CN(CCCNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)C(=O)OC(C)(C)C ZINC000788889078 1073774413 /nfs/dbraw/zinc/77/44/13/1073774413.db2.gz MFIDWDUTEPYBKK-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN CN(CCCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC000788890863 1073774312 /nfs/dbraw/zinc/77/43/12/1073774312.db2.gz VZXGTSNGYOMGJL-UHFFFAOYSA-N 0 0 449.574 -0.947 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCN(C2=NCC(C)(C)S2)CC1 ZINC000788895059 1073774950 /nfs/dbraw/zinc/77/49/50/1073774950.db2.gz CDGUTPWPRRCFGA-UHFFFAOYSA-N 0 0 433.600 -0.200 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC000788895192 1073774920 /nfs/dbraw/zinc/77/49/20/1073774920.db2.gz CUIPJXYDKRNIBV-CABCVRRESA-N 0 0 431.511 -0.282 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000788895200 1073775005 /nfs/dbraw/zinc/77/50/05/1073775005.db2.gz CUIPJXYDKRNIBV-GJZGRUSLSA-N 0 0 431.511 -0.282 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC000788895203 1073774975 /nfs/dbraw/zinc/77/49/75/1073774975.db2.gz CUIPJXYDKRNIBV-HUUCEWRRSA-N 0 0 431.511 -0.282 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000788895205 1073775023 /nfs/dbraw/zinc/77/50/23/1073775023.db2.gz CUIPJXYDKRNIBV-LSDHHAIUSA-N 0 0 431.511 -0.282 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000788896139 1073775030 /nfs/dbraw/zinc/77/50/30/1073775030.db2.gz ILHDPTRIIKJHSG-UHFFFAOYSA-N 0 0 444.476 -0.309 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@@H]([C@H](O)c2nccn2C)C1 ZINC000788896566 1073774939 /nfs/dbraw/zinc/77/49/39/1073774939.db2.gz LPHBMWKEDQKFMS-CABCVRRESA-N 0 0 429.543 -0.520 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@H]([C@H](O)c2nccn2C)C1 ZINC000788896567 1073775053 /nfs/dbraw/zinc/77/50/53/1073775053.db2.gz LPHBMWKEDQKFMS-GJZGRUSLSA-N 0 0 429.543 -0.520 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@@H]([C@@H](O)c2nccn2C)C1 ZINC000788896568 1073774983 /nfs/dbraw/zinc/77/49/83/1073774983.db2.gz LPHBMWKEDQKFMS-HUUCEWRRSA-N 0 0 429.543 -0.520 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@H]([C@@H](O)c2nccn2C)C1 ZINC000788896569 1073775046 /nfs/dbraw/zinc/77/50/46/1073775046.db2.gz LPHBMWKEDQKFMS-LSDHHAIUSA-N 0 0 429.543 -0.520 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N(C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000788896611 1073774935 /nfs/dbraw/zinc/77/49/35/1073774935.db2.gz MRTNTVOSAJEHPG-LLVKDONJSA-N 0 0 430.449 -0.604 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N(C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000788896612 1073774915 /nfs/dbraw/zinc/77/49/15/1073774915.db2.gz MRTNTVOSAJEHPG-NSHDSACASA-N 0 0 430.449 -0.604 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1Cc2c(OC)ccc(OC)c2[C@@H](O)C1 ZINC000788896624 1073774907 /nfs/dbraw/zinc/77/49/07/1073774907.db2.gz NATXMOMOEAFLHW-AWEZNQCLSA-N 0 0 443.522 -0.133 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1Cc2c(OC)ccc(OC)c2[C@H](O)C1 ZINC000788896625 1073775016 /nfs/dbraw/zinc/77/50/16/1073775016.db2.gz NATXMOMOEAFLHW-CQSZACIVSA-N 0 0 443.522 -0.133 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@@H]1C[C@H](O)c1cnn(C)c1 ZINC000788897017 1073774891 /nfs/dbraw/zinc/77/48/91/1073774891.db2.gz NPNBXEZTMPKVRT-CVEARBPZSA-N 0 0 429.543 -0.378 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@H]1C[C@H](O)c1cnn(C)c1 ZINC000788897018 1073774999 /nfs/dbraw/zinc/77/49/99/1073774999.db2.gz NPNBXEZTMPKVRT-HOTGVXAUSA-N 0 0 429.543 -0.378 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@@H]1C[C@@H](O)c1cnn(C)c1 ZINC000788897021 1073774991 /nfs/dbraw/zinc/77/49/91/1073774991.db2.gz NPNBXEZTMPKVRT-HZPDHXFCSA-N 0 0 429.543 -0.378 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC[C@H]1C[C@@H](O)c1cnn(C)c1 ZINC000788897024 1073775454 /nfs/dbraw/zinc/77/54/54/1073775454.db2.gz NPNBXEZTMPKVRT-JKSUJKDBSA-N 0 0 429.543 -0.378 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC([C@H](O)c2nccn2C)CC1 ZINC000788897032 1073775580 /nfs/dbraw/zinc/77/55/80/1073775580.db2.gz NROCJVFPAKZNOC-HNNXBMFYSA-N 0 0 429.543 -0.520 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)N1CCC([C@@H](O)c2nccn2C)CC1 ZINC000788897034 1073775598 /nfs/dbraw/zinc/77/55/98/1073775598.db2.gz NROCJVFPAKZNOC-OAHLLOKOSA-N 0 0 429.543 -0.520 20 0 IBADRN CN([C@H]1CCN(Cc2ccccc2)C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000788945515 1073775463 /nfs/dbraw/zinc/77/54/63/1073775463.db2.gz MPWLVQQURJLZOC-INIZCTEOSA-N 0 0 430.552 -0.459 20 0 IBADRN CN([C@@H]1CCN(Cc2ccccc2)C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000788945517 1073775588 /nfs/dbraw/zinc/77/55/88/1073775588.db2.gz MPWLVQQURJLZOC-MRXNPFEDSA-N 0 0 430.552 -0.459 20 0 IBADRN CNC(=O)NC(=O)COC(=O)C12CCC(C(=O)OCC(=O)NC(=O)NC)(CC1)CC2 ZINC000788997493 1073775568 /nfs/dbraw/zinc/77/55/68/1073775568.db2.gz GBPGGRPZZKPZAR-UHFFFAOYSA-N 0 0 426.426 -0.675 20 0 IBADRN CS(=O)(=O)NCCOC(=O)C12CCC(C(=O)OCCNS(C)(=O)=O)(CC1)CC2 ZINC000788998683 1073775426 /nfs/dbraw/zinc/77/54/26/1073775426.db2.gz ODOLQUGBHLJOKB-UHFFFAOYSA-N 0 0 440.540 -0.488 20 0 IBADRN Cc1cc(F)cc(-n2cc(C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)nn2)c1 ZINC000789058404 1073775993 /nfs/dbraw/zinc/77/59/93/1073775993.db2.gz NAPQZHYBCCSVOE-GFCCVEGCSA-N 0 0 439.425 -0.115 20 0 IBADRN Cc1cc(F)cc(-n2cc(C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)nn2)c1 ZINC000789058405 1073776070 /nfs/dbraw/zinc/77/60/70/1073776070.db2.gz NAPQZHYBCCSVOE-LBPRGKRZSA-N 0 0 439.425 -0.115 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000789092310 1073776034 /nfs/dbraw/zinc/77/60/34/1073776034.db2.gz JFMZDKMRJUMOGE-UHFFFAOYSA-N 0 0 425.463 -0.599 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000789093526 1073776054 /nfs/dbraw/zinc/77/60/54/1073776054.db2.gz PTXRVMHZPCVNEQ-UHFFFAOYSA-N 0 0 443.478 -0.784 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC000789095876 1073775972 /nfs/dbraw/zinc/77/59/72/1073775972.db2.gz ONQRWDYHZXUHQL-UHFFFAOYSA-N 0 0 443.478 -0.784 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000789096915 1073776007 /nfs/dbraw/zinc/77/60/07/1073776007.db2.gz SKJZOYKOKUAZNC-UHFFFAOYSA-N 0 0 425.463 -0.599 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000789097533 1073776199 /nfs/dbraw/zinc/77/61/99/1073776199.db2.gz KCJDQHAJEBWPSP-UHFFFAOYSA-N 0 0 429.451 -0.666 20 0 IBADRN CNC(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC000789099078 1073776109 /nfs/dbraw/zinc/77/61/09/1073776109.db2.gz YQLDMNBUDSRWQV-UHFFFAOYSA-N 0 0 435.861 -0.009 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CC(=O)NC)CC2)cc1 ZINC000789099269 1073776045 /nfs/dbraw/zinc/77/60/45/1073776045.db2.gz ZWLVLPPGSGOSFU-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN O=C(COC(=O)c1cnnn1-c1cccc(F)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000789103556 1073776553 /nfs/dbraw/zinc/77/65/53/1073776553.db2.gz KVBFWQLGWBHBQQ-LLVKDONJSA-N 0 0 425.398 -0.424 20 0 IBADRN O=C(COC(=O)c1cnnn1-c1cccc(F)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000789103557 1073776519 /nfs/dbraw/zinc/77/65/19/1073776519.db2.gz KVBFWQLGWBHBQQ-NSHDSACASA-N 0 0 425.398 -0.424 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCC(=O)NC(=O)NCC(F)(F)F ZINC000789108763 1073776576 /nfs/dbraw/zinc/77/65/76/1073776576.db2.gz GWBSKXIGVWXJFO-AWEZNQCLSA-N 0 0 438.359 -0.467 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCC(=O)NC(=O)NCC(F)(F)F ZINC000789108764 1073776557 /nfs/dbraw/zinc/77/65/57/1073776557.db2.gz GWBSKXIGVWXJFO-CQSZACIVSA-N 0 0 438.359 -0.467 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789109290 1073776590 /nfs/dbraw/zinc/77/65/90/1073776590.db2.gz AZJIYFKBQCEVFV-IBGZPJMESA-N 0 0 440.497 -0.482 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789109291 1073776569 /nfs/dbraw/zinc/77/65/69/1073776569.db2.gz AZJIYFKBQCEVFV-LJQANCHMSA-N 0 0 440.497 -0.482 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000789109620 1073776536 /nfs/dbraw/zinc/77/65/36/1073776536.db2.gz KMWXYDFDFKZJLK-IBGZPJMESA-N 0 0 439.465 -0.443 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000789109622 1073776563 /nfs/dbraw/zinc/77/65/63/1073776563.db2.gz KMWXYDFDFKZJLK-LJQANCHMSA-N 0 0 439.465 -0.443 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCC(=O)NCCCN1CCCC1=O ZINC000789110451 1073776621 /nfs/dbraw/zinc/77/66/21/1073776621.db2.gz IXJFYPRTQHCLAY-IBGZPJMESA-N 0 0 438.481 -0.726 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCC(=O)NCCCN1CCCC1=O ZINC000789111001 1073776601 /nfs/dbraw/zinc/77/66/01/1073776601.db2.gz IXJFYPRTQHCLAY-LJQANCHMSA-N 0 0 438.481 -0.726 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789111504 1073776614 /nfs/dbraw/zinc/77/66/14/1073776614.db2.gz FGDJGMDFEZHYPG-APPDUMDISA-N 0 0 428.442 -0.994 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789111512 1073776607 /nfs/dbraw/zinc/77/66/07/1073776607.db2.gz FGDJGMDFEZHYPG-DIFFPNOSSA-N 0 0 428.442 -0.994 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789111515 1073776528 /nfs/dbraw/zinc/77/65/28/1073776528.db2.gz FGDJGMDFEZHYPG-GTNSWQLSSA-N 0 0 428.442 -0.994 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789111517 1073777130 /nfs/dbraw/zinc/77/71/30/1073777130.db2.gz FGDJGMDFEZHYPG-PIGZYNQJSA-N 0 0 428.442 -0.994 20 0 IBADRN C[C@@H](OC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)CC(=O)NC1CC1 ZINC000789111805 1073776596 /nfs/dbraw/zinc/77/65/96/1073776596.db2.gz MDHIFDZVDCIDLQ-BLVKFPJESA-N 0 0 438.481 -0.729 20 0 IBADRN C[C@H](OC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)CC(=O)NC1CC1 ZINC000789111808 1073776545 /nfs/dbraw/zinc/77/65/45/1073776545.db2.gz MDHIFDZVDCIDLQ-BUXKBTBVSA-N 0 0 438.481 -0.729 20 0 IBADRN C[C@@H](OC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)CC(=O)NC1CC1 ZINC000789111810 1073776586 /nfs/dbraw/zinc/77/65/86/1073776586.db2.gz MDHIFDZVDCIDLQ-CWTRNNRKSA-N 0 0 438.481 -0.729 20 0 IBADRN C[C@H](OC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N(C)CC(=O)NC1CC1 ZINC000789111811 1073776566 /nfs/dbraw/zinc/77/65/66/1073776566.db2.gz MDHIFDZVDCIDLQ-HXPMCKFVSA-N 0 0 438.481 -0.729 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000789112882 1073777098 /nfs/dbraw/zinc/77/70/98/1073777098.db2.gz PYATZJHQKNRAEX-GOSISDBHSA-N 0 0 439.465 -0.489 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000789112883 1073777227 /nfs/dbraw/zinc/77/72/27/1073777227.db2.gz PYATZJHQKNRAEX-SFHVURJKSA-N 0 0 439.465 -0.489 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789113251 1073777152 /nfs/dbraw/zinc/77/71/52/1073777152.db2.gz WMHBRCYDWGOPTE-GOSISDBHSA-N 0 0 426.470 -0.824 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789113259 1073777116 /nfs/dbraw/zinc/77/71/16/1073777116.db2.gz WMHBRCYDWGOPTE-SFHVURJKSA-N 0 0 426.470 -0.824 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H](CCS(C)(=O)=O)c2ccccc2)c(=O)n(C)c1=O ZINC000789113605 1073777145 /nfs/dbraw/zinc/77/71/45/1073777145.db2.gz AVPVNTQMXIGCDJ-CYBMUJFWSA-N 0 0 437.474 -0.389 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H](CCS(C)(=O)=O)c2ccccc2)c(=O)n(C)c1=O ZINC000789113611 1073777058 /nfs/dbraw/zinc/77/70/58/1073777058.db2.gz AVPVNTQMXIGCDJ-ZDUSSCGKSA-N 0 0 437.474 -0.389 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)n1 ZINC000789115047 1073777040 /nfs/dbraw/zinc/77/70/40/1073777040.db2.gz BJLAYCJDJOEUGG-IBGZPJMESA-N 0 0 448.432 -0.035 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)n1 ZINC000789115048 1073777203 /nfs/dbraw/zinc/77/72/03/1073777203.db2.gz BJLAYCJDJOEUGG-LJQANCHMSA-N 0 0 448.432 -0.035 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n(C)n1 ZINC000789115899 1073777177 /nfs/dbraw/zinc/77/71/77/1073777177.db2.gz VQAHFJGNGDKLCD-BFUOFWGJSA-N 0 0 433.465 -0.007 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n(C)n1 ZINC000789115908 1073777137 /nfs/dbraw/zinc/77/71/37/1073777137.db2.gz VQAHFJGNGDKLCD-DJJJIMSYSA-N 0 0 433.465 -0.007 20 0 IBADRN Cc1cc(N2CC[C@H](OC(=O)[C@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n(C)n1 ZINC000789115911 1073777183 /nfs/dbraw/zinc/77/71/83/1073777183.db2.gz VQAHFJGNGDKLCD-ORAYPTAESA-N 0 0 433.465 -0.007 20 0 IBADRN Cc1cc(N2CC[C@@H](OC(=O)[C@@](C)(O)CN3C(=O)NC4(CCCC4)C3=O)C2=O)n(C)n1 ZINC000789115917 1073777693 /nfs/dbraw/zinc/77/76/93/1073777693.db2.gz VQAHFJGNGDKLCD-YJYMSZOUSA-N 0 0 433.465 -0.007 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)COC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789116554 1073777212 /nfs/dbraw/zinc/77/72/12/1073777212.db2.gz FFBYYOSBJMEOKG-GOSISDBHSA-N 0 0 427.454 -0.397 20 0 IBADRN CC(C)(C)OC(=O)CNC(=O)COC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789116555 1073777105 /nfs/dbraw/zinc/77/71/05/1073777105.db2.gz FFBYYOSBJMEOKG-SFHVURJKSA-N 0 0 427.454 -0.397 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000789116598 1073777160 /nfs/dbraw/zinc/77/71/60/1073777160.db2.gz GBYWOFBGZZAFLH-AUUYWEPGSA-N 0 0 438.481 -0.728 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000789116600 1073777220 /nfs/dbraw/zinc/77/72/20/1073777220.db2.gz GBYWOFBGZZAFLH-IFXJQAMLSA-N 0 0 438.481 -0.728 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000789116602 1073777071 /nfs/dbraw/zinc/77/70/71/1073777071.db2.gz GBYWOFBGZZAFLH-KUHUBIRLSA-N 0 0 438.481 -0.728 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000789116604 1073777120 /nfs/dbraw/zinc/77/71/20/1073777120.db2.gz GBYWOFBGZZAFLH-LIRRHRJNSA-N 0 0 438.481 -0.728 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789116918 1073777675 /nfs/dbraw/zinc/77/76/75/1073777675.db2.gz ZOFWCFFJXBPCNO-GOSISDBHSA-N 0 0 426.470 -0.870 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789116924 1073777719 /nfs/dbraw/zinc/77/77/19/1073777719.db2.gz ZOFWCFFJXBPCNO-SFHVURJKSA-N 0 0 426.470 -0.870 20 0 IBADRN C[C@H](OC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000789117514 1073777697 /nfs/dbraw/zinc/77/76/97/1073777697.db2.gz KEIRIDPBXAZSCL-JMERFSKESA-N 0 0 438.481 -0.742 20 0 IBADRN C[C@H](OC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000789117526 1073777652 /nfs/dbraw/zinc/77/76/52/1073777652.db2.gz KEIRIDPBXAZSCL-QUJCMNEKSA-N 0 0 438.481 -0.742 20 0 IBADRN C[C@H](OC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000789117529 1073777680 /nfs/dbraw/zinc/77/76/80/1073777680.db2.gz KEIRIDPBXAZSCL-WTOJCKNJSA-N 0 0 438.481 -0.742 20 0 IBADRN C[C@H](OC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000789117533 1073777661 /nfs/dbraw/zinc/77/76/61/1073777661.db2.gz KEIRIDPBXAZSCL-XEKQOVJPSA-N 0 0 438.481 -0.742 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCCCn1cnc2c1ncnc2N ZINC000789119101 1073777691 /nfs/dbraw/zinc/77/76/91/1073777691.db2.gz QVKQVPZZNMAUJC-GOSISDBHSA-N 0 0 431.453 -0.043 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCCCn1cnc2c1ncnc2N ZINC000789119104 1073777669 /nfs/dbraw/zinc/77/76/69/1073777669.db2.gz QVKQVPZZNMAUJC-SFHVURJKSA-N 0 0 431.453 -0.043 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000789119708 1073777663 /nfs/dbraw/zinc/77/76/63/1073777663.db2.gz RWAWXMNXCNFHFC-BFUOFWGJSA-N 0 0 438.481 -0.776 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000789119720 1073777686 /nfs/dbraw/zinc/77/76/86/1073777686.db2.gz RWAWXMNXCNFHFC-DJJJIMSYSA-N 0 0 438.481 -0.776 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000789119724 1073777670 /nfs/dbraw/zinc/77/76/70/1073777670.db2.gz RWAWXMNXCNFHFC-ORAYPTAESA-N 0 0 438.481 -0.776 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000789119727 1073777714 /nfs/dbraw/zinc/77/77/14/1073777714.db2.gz RWAWXMNXCNFHFC-YJYMSZOUSA-N 0 0 438.481 -0.776 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCC(=O)NC(=O)NCc1ccco1 ZINC000789120973 1073777700 /nfs/dbraw/zinc/77/77/00/1073777700.db2.gz ZOTXVYSEHSCIOO-GOSISDBHSA-N 0 0 436.421 -0.236 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)OCC(=O)NC(=O)NCc1ccco1 ZINC000789120975 1073778266 /nfs/dbraw/zinc/77/82/66/1073778266.db2.gz ZOTXVYSEHSCIOO-SFHVURJKSA-N 0 0 436.421 -0.236 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cn3cc(C)ccc3n2)c(=O)n1CC(=O)NCCOC ZINC000789129933 1073776081 /nfs/dbraw/zinc/77/60/81/1073776081.db2.gz JWLFOFIBWFVKRE-UHFFFAOYSA-N 0 0 444.513 -0.199 20 0 IBADRN CCc1[nH]nc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1Br ZINC000789143459 1073778134 /nfs/dbraw/zinc/77/81/34/1073778134.db2.gz JHKPKLJLYHCCCQ-SSDOTTSWSA-N 0 0 437.272 -0.096 20 0 IBADRN CCc1[nH]nc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1Br ZINC000789143472 1073778211 /nfs/dbraw/zinc/77/82/11/1073778211.db2.gz JHKPKLJLYHCCCQ-ZETCQYMHSA-N 0 0 437.272 -0.096 20 0 IBADRN COCCONC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000789161915 1073778274 /nfs/dbraw/zinc/77/82/74/1073778274.db2.gz GDZMNVQFTYGHGA-UHFFFAOYSA-N 0 0 425.485 -0.332 20 0 IBADRN Cc1c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nnn1CC1CCCC1 ZINC000789187663 1073778242 /nfs/dbraw/zinc/77/82/42/1073778242.db2.gz GLKALJIPJRPXBQ-CYBMUJFWSA-N 0 0 427.483 -0.054 20 0 IBADRN Cc1c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nnn1CC1CCCC1 ZINC000789187669 1073778117 /nfs/dbraw/zinc/77/81/17/1073778117.db2.gz GLKALJIPJRPXBQ-ZDUSSCGKSA-N 0 0 427.483 -0.054 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC000789191165 1073778165 /nfs/dbraw/zinc/77/81/65/1073778165.db2.gz DKOMKLZDWIZPCZ-CYBMUJFWSA-N 0 0 434.497 -0.268 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC000789191168 1073778287 /nfs/dbraw/zinc/77/82/87/1073778287.db2.gz DKOMKLZDWIZPCZ-ZDUSSCGKSA-N 0 0 434.497 -0.268 20 0 IBADRN CCOC(=O)C1(CC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CCC1 ZINC000789193079 1073778293 /nfs/dbraw/zinc/77/82/93/1073778293.db2.gz UNRGVNBXZDZUHY-HNNXBMFYSA-N 0 0 430.523 -0.016 20 0 IBADRN CCOC(=O)C1(CC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CCC1 ZINC000789193080 1073778219 /nfs/dbraw/zinc/77/82/19/1073778219.db2.gz UNRGVNBXZDZUHY-OAHLLOKOSA-N 0 0 430.523 -0.016 20 0 IBADRN COc1cc(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1O ZINC000789259569 1073778314 /nfs/dbraw/zinc/77/83/14/1073778314.db2.gz KFAARAKMODSKIU-LLVKDONJSA-N 0 0 430.435 -0.492 20 0 IBADRN COc1cc(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1O ZINC000789259575 1073778150 /nfs/dbraw/zinc/77/81/50/1073778150.db2.gz KFAARAKMODSKIU-NSHDSACASA-N 0 0 430.435 -0.492 20 0 IBADRN O=C(CN1CCc2ccccc2C1=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000789272868 1073778252 /nfs/dbraw/zinc/77/82/52/1073778252.db2.gz UWKOSUMSTHSLPJ-KRWDZBQOSA-N 0 0 449.529 -0.441 20 0 IBADRN O=C(CN1CCc2ccccc2C1=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789272879 1073778325 /nfs/dbraw/zinc/77/83/25/1073778325.db2.gz UWKOSUMSTHSLPJ-QGZVFWFLSA-N 0 0 449.529 -0.441 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@H]2C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000789287494 1073778889 /nfs/dbraw/zinc/77/88/89/1073778889.db2.gz QWKUSVGTLAMLSK-NEPJUHHUSA-N 0 0 445.494 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000789287502 1073778697 /nfs/dbraw/zinc/77/86/97/1073778697.db2.gz QWKUSVGTLAMLSK-NWDGAFQWSA-N 0 0 445.494 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@H]2C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000789287504 1073778878 /nfs/dbraw/zinc/77/88/78/1073778878.db2.gz QWKUSVGTLAMLSK-RYUDHWBXSA-N 0 0 445.494 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000789287505 1073778819 /nfs/dbraw/zinc/77/88/19/1073778819.db2.gz QWKUSVGTLAMLSK-VXGBXAGGSA-N 0 0 445.494 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C[C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000789300200 1073778749 /nfs/dbraw/zinc/77/87/49/1073778749.db2.gz ILQWYGWVTYBBDP-AWEZNQCLSA-N 0 0 437.584 -0.012 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C[C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000789300201 1073778872 /nfs/dbraw/zinc/77/88/72/1073778872.db2.gz ILQWYGWVTYBBDP-CQSZACIVSA-N 0 0 437.584 -0.012 20 0 IBADRN CCS(=O)(=O)CCCCCN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789336265 1073778783 /nfs/dbraw/zinc/77/87/83/1073778783.db2.gz NXHMSGGPAMHOJY-HNNXBMFYSA-N 0 0 430.614 -0.274 20 0 IBADRN CCS(=O)(=O)CCCCCN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789336268 1073778709 /nfs/dbraw/zinc/77/87/09/1073778709.db2.gz NXHMSGGPAMHOJY-OAHLLOKOSA-N 0 0 430.614 -0.274 20 0 IBADRN COCCONC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000789347328 1073778737 /nfs/dbraw/zinc/77/87/37/1073778737.db2.gz SLWHVRGEUFSYGM-UHFFFAOYSA-N 0 0 435.524 -0.008 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@]4(C3)NC(=O)NC4=O)C2=O)c1 ZINC000789376983 1073779412 /nfs/dbraw/zinc/77/94/12/1073779412.db2.gz ALCWYOPPZVRGFN-PMACEKPBSA-N 0 0 444.448 -0.833 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@]4(C3)NC(=O)NC4=O)C2=O)c1 ZINC000789376985 1073779292 /nfs/dbraw/zinc/77/92/92/1073779292.db2.gz ALCWYOPPZVRGFN-UXHICEINSA-N 0 0 444.448 -0.833 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@]4(C3)NC(=O)NC4=O)C2=O)c1 ZINC000789376987 1073779324 /nfs/dbraw/zinc/77/93/24/1073779324.db2.gz ALCWYOPPZVRGFN-VQTJNVASSA-N 0 0 444.448 -0.833 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@]4(C3)NC(=O)NC4=O)C2=O)c1 ZINC000789376988 1073779356 /nfs/dbraw/zinc/77/93/56/1073779356.db2.gz ALCWYOPPZVRGFN-WOJBJXKFSA-N 0 0 444.448 -0.833 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc([C@@H]3CCCO3)nn2C)CC1 ZINC000789377949 1073779304 /nfs/dbraw/zinc/77/93/04/1073779304.db2.gz AJSXZTKPGHXIGQ-HNNXBMFYSA-N 0 0 442.542 -0.059 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc([C@H]3CCCO3)nn2C)CC1 ZINC000789377951 1073779345 /nfs/dbraw/zinc/77/93/45/1073779345.db2.gz AJSXZTKPGHXIGQ-OAHLLOKOSA-N 0 0 442.542 -0.059 20 0 IBADRN Cc1nc(Cl)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000789378172 1073779329 /nfs/dbraw/zinc/77/93/29/1073779329.db2.gz NPZMRTRCSYMTHK-UHFFFAOYSA-N 0 0 444.945 -0.382 20 0 IBADRN Cc1nc(Cl)ccc1NC(=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000789378214 1073779366 /nfs/dbraw/zinc/77/93/66/1073779366.db2.gz OGDVPCMZWDVHIT-UHFFFAOYSA-N 0 0 431.902 -0.297 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@]3(C2)NC(=O)NC3=O)C1=O ZINC000789378670 1073779376 /nfs/dbraw/zinc/77/93/76/1073779376.db2.gz IOCNGGOXAWEVIO-LEWJYISDSA-N 0 0 442.476 -0.365 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@]3(C2)NC(=O)NC3=O)C1=O ZINC000789378672 1073779389 /nfs/dbraw/zinc/77/93/89/1073779389.db2.gz IOCNGGOXAWEVIO-NHCUHLMSSA-N 0 0 442.476 -0.365 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@]3(C2)NC(=O)NC3=O)C1=O ZINC000789378674 1073779282 /nfs/dbraw/zinc/77/92/82/1073779282.db2.gz IOCNGGOXAWEVIO-RTWAWAEBSA-N 0 0 442.476 -0.365 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@]3(C2)NC(=O)NC3=O)C1=O ZINC000789378678 1073779360 /nfs/dbraw/zinc/77/93/60/1073779360.db2.gz IOCNGGOXAWEVIO-SFTDATJTSA-N 0 0 442.476 -0.365 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@]4(C3)NC(=O)NC4=O)C2=O)cc1 ZINC000789379412 1073780037 /nfs/dbraw/zinc/78/00/37/1073780037.db2.gz PJNXJZRMLDASRO-PMACEKPBSA-N 0 0 444.448 -0.833 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@]4(C3)NC(=O)NC4=O)C2=O)cc1 ZINC000789379414 1073779945 /nfs/dbraw/zinc/77/99/45/1073779945.db2.gz PJNXJZRMLDASRO-UXHICEINSA-N 0 0 444.448 -0.833 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@]4(C3)NC(=O)NC4=O)C2=O)cc1 ZINC000789379417 1073779973 /nfs/dbraw/zinc/77/99/73/1073779973.db2.gz PJNXJZRMLDASRO-VQTJNVASSA-N 0 0 444.448 -0.833 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@]4(C3)NC(=O)NC4=O)C2=O)cc1 ZINC000789379420 1073779884 /nfs/dbraw/zinc/77/98/84/1073779884.db2.gz PJNXJZRMLDASRO-WOJBJXKFSA-N 0 0 444.448 -0.833 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)CC(=O)N3C)CC1 ZINC000789379908 1073779370 /nfs/dbraw/zinc/77/93/70/1073779370.db2.gz SRSCSOGXZVPOIF-UHFFFAOYSA-N 0 0 437.522 -0.125 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@]3(C2)NC(=O)NC3=O)C1=O ZINC000789380014 1073779383 /nfs/dbraw/zinc/77/93/83/1073779383.db2.gz VPGRHWXWDOZTLP-PMACEKPBSA-N 0 0 428.449 -0.451 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@]3(C2)NC(=O)NC3=O)C1=O ZINC000789380019 1073779394 /nfs/dbraw/zinc/77/93/94/1073779394.db2.gz VPGRHWXWDOZTLP-UXHICEINSA-N 0 0 428.449 -0.451 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@]3(C2)NC(=O)NC3=O)C1=O ZINC000789380022 1073779405 /nfs/dbraw/zinc/77/94/05/1073779405.db2.gz VPGRHWXWDOZTLP-VQTJNVASSA-N 0 0 428.449 -0.451 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@]3(C2)NC(=O)NC3=O)C1=O ZINC000789380027 1073779348 /nfs/dbraw/zinc/77/93/48/1073779348.db2.gz VPGRHWXWDOZTLP-WOJBJXKFSA-N 0 0 428.449 -0.451 20 0 IBADRN CN(C(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)S(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000789400480 1073780389 /nfs/dbraw/zinc/78/03/89/1073780389.db2.gz SWMXUVKMMRDEGK-UHFFFAOYSA-N 0 0 448.457 -0.192 20 0 IBADRN Cn1c(C(=O)Oc2ccc(C[C@H]3NC(=O)NC3=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000789416881 1073779978 /nfs/dbraw/zinc/77/99/78/1073779978.db2.gz CMCDOKSBDSVYEK-CYBMUJFWSA-N 0 0 425.401 -0.455 20 0 IBADRN Cn1c(C(=O)Oc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000789416882 1073779994 /nfs/dbraw/zinc/77/99/94/1073779994.db2.gz CMCDOKSBDSVYEK-ZDUSSCGKSA-N 0 0 425.401 -0.455 20 0 IBADRN Cn1c(C(=O)N(CCCO)S(=O)(=O)c2cccs2)cc2c1n(C)c(=O)n(C)c2=O ZINC000789419302 1073779965 /nfs/dbraw/zinc/77/99/65/1073779965.db2.gz USOMYODBQMJQPX-UHFFFAOYSA-N 0 0 440.503 -0.149 20 0 IBADRN CCNC(=O)Nc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1C ZINC000789431201 1073779960 /nfs/dbraw/zinc/77/99/60/1073779960.db2.gz DYXMPGRMMKOEQM-UHFFFAOYSA-N 0 0 441.510 -0.147 20 0 IBADRN CC(C)Oc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)ccc1C(N)=O ZINC000789432314 1073780471 /nfs/dbraw/zinc/78/04/71/1073780471.db2.gz JXDZULNPMBIERH-UHFFFAOYSA-N 0 0 442.494 -0.711 20 0 IBADRN Cn1c(C(=O)OCCN2CCN(C(=O)C3CCC3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000789433559 1073780320 /nfs/dbraw/zinc/78/03/20/1073780320.db2.gz JFSRJSBNEZOKOU-UHFFFAOYSA-N 0 0 431.493 -0.323 20 0 IBADRN Cn1c(C(=O)OCc2cn(CC(=O)OC(C)(C)C)nn2)cc2c1n(C)c(=O)n(C)c2=O ZINC000789447664 1073780334 /nfs/dbraw/zinc/78/03/34/1073780334.db2.gz FENSSZLAVFHVOB-UHFFFAOYSA-N 0 0 432.437 -0.134 20 0 IBADRN Cn1c(C(=O)OCC2(CS(C)(=O)=O)CCOCC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000789455513 1073781189 /nfs/dbraw/zinc/78/11/89/1073781189.db2.gz BQOMOZYEPJGGQE-UHFFFAOYSA-N 0 0 427.479 -0.426 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000789456055 1073780598 /nfs/dbraw/zinc/78/05/98/1073780598.db2.gz GAVBPUIRZZOJFG-GDBMZVCRSA-N 0 0 436.490 -0.163 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000789456059 1073780361 /nfs/dbraw/zinc/78/03/61/1073780361.db2.gz GAVBPUIRZZOJFG-GOEBONIOSA-N 0 0 436.490 -0.163 20 0 IBADRN CCOC(=O)[C@H](Cc1ccncc1)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000789456063 1073780509 /nfs/dbraw/zinc/78/05/09/1073780509.db2.gz GAVBPUIRZZOJFG-HOCLYGCPSA-N 0 0 436.490 -0.163 20 0 IBADRN CCOC(=O)[C@H](Cc1ccncc1)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000789456067 1073781095 /nfs/dbraw/zinc/78/10/95/1073781095.db2.gz GAVBPUIRZZOJFG-ZBFHGGJFSA-N 0 0 436.490 -0.163 20 0 IBADRN Cn1c2ncn(CC(=O)OCCc3cn(-c4ccccc4F)nn3)c2c(=O)n(C)c1=O ZINC000789502071 1073781579 /nfs/dbraw/zinc/78/15/79/1073781579.db2.gz ANNUDXHNFQXQDU-UHFFFAOYSA-N 0 0 427.396 -0.061 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000789513493 1073781567 /nfs/dbraw/zinc/78/15/67/1073781567.db2.gz KMHIFNZVATUALQ-UHFFFAOYSA-N 0 0 436.446 -0.411 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCc1cn(-c3ccccc3F)nn1)c(=O)n2C ZINC000789513544 1073781594 /nfs/dbraw/zinc/78/15/94/1073781594.db2.gz LGUCHOLPJQQVQG-UHFFFAOYSA-N 0 0 427.396 -0.061 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000789520356 1073781661 /nfs/dbraw/zinc/78/16/61/1073781661.db2.gz YVVFBMMDAZFXRS-UHFFFAOYSA-N 0 0 436.446 -0.411 20 0 IBADRN Cn1cc(C(=O)OCc2cccc(COC(=O)c3cn(C)c(=O)[nH]c3=O)c2)c(=O)[nH]c1=O ZINC000789527616 1073781634 /nfs/dbraw/zinc/78/16/34/1073781634.db2.gz CMWTYMMFCWUJJW-UHFFFAOYSA-N 0 0 442.384 -0.001 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000789558404 1073782097 /nfs/dbraw/zinc/78/20/97/1073782097.db2.gz OYIUUPAYWKTAMK-UHFFFAOYSA-N 0 0 432.462 -0.340 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2cccc(N3CCOC3=O)c2)CC1 ZINC000789562604 1073782767 /nfs/dbraw/zinc/78/27/67/1073782767.db2.gz AKHWAJINULWQMJ-UHFFFAOYSA-N 0 0 431.493 -0.072 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCOC4=O)c3)CC2=O)cn1 ZINC000789564968 1073781530 /nfs/dbraw/zinc/78/15/30/1073781530.db2.gz GKISCXHAJCJZGY-UHFFFAOYSA-N 0 0 426.433 -0.132 20 0 IBADRN O=C(NCc1cccc(N2CCOC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000789567598 1073781523 /nfs/dbraw/zinc/78/15/23/1073781523.db2.gz RGIOZGXWNAZTEA-UHFFFAOYSA-N 0 0 443.460 -0.791 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCc3cccc(N4CCOC4=O)c3)C2=O)cn1 ZINC000789569263 1073781458 /nfs/dbraw/zinc/78/14/58/1073781458.db2.gz GCAANQOGLZLCEV-INIZCTEOSA-N 0 0 426.433 -0.085 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3cccc(N4CCOC4=O)c3)C2=O)cn1 ZINC000789569264 1073781679 /nfs/dbraw/zinc/78/16/79/1073781679.db2.gz GCAANQOGLZLCEV-MRXNPFEDSA-N 0 0 426.433 -0.085 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2cccc(N3CCOC3=O)c2)CC1 ZINC000789569410 1073781646 /nfs/dbraw/zinc/78/16/46/1073781646.db2.gz ZOURTWWJAXCZIL-UHFFFAOYSA-N 0 0 431.493 -0.118 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(Br)nc1OC ZINC000789572020 1073781516 /nfs/dbraw/zinc/78/15/16/1073781516.db2.gz QTKXYRPASPRGCH-JTQLQIEISA-N 0 0 442.270 -0.356 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(Br)nc1OC ZINC000789572023 1073781506 /nfs/dbraw/zinc/78/15/06/1073781506.db2.gz QTKXYRPASPRGCH-SNVBAGLBSA-N 0 0 442.270 -0.356 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2cccc(N3CCOC3=O)c2)CC1)NC1CC1 ZINC000789578178 1073782103 /nfs/dbraw/zinc/78/21/03/1073782103.db2.gz VGHKFUFEFAFMLH-UHFFFAOYSA-N 0 0 429.477 -0.318 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3cccc(N4CCOC4=O)c3)CC2)c1=O ZINC000789586393 1073782186 /nfs/dbraw/zinc/78/21/86/1073782186.db2.gz AEHOXJFSFFDQTE-UHFFFAOYSA-N 0 0 440.460 -0.298 20 0 IBADRN COc1nn(C)cc1NC(=O)C(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000789589346 1073782195 /nfs/dbraw/zinc/78/21/95/1073782195.db2.gz LCMRKMWZSFZUGV-UHFFFAOYSA-N 0 0 438.485 -0.095 20 0 IBADRN COc1nc(Br)ccc1NC(=O)C(=O)N(CCO)CCN1CCOCC1 ZINC000789589358 1073782127 /nfs/dbraw/zinc/78/21/27/1073782127.db2.gz LEBYYFJQLYCKLW-UHFFFAOYSA-N 0 0 431.287 -0.056 20 0 IBADRN COc1nc(Br)ccc1NC(=O)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000789594320 1073782644 /nfs/dbraw/zinc/78/26/44/1073782644.db2.gz JTZPDSHLPNUTCE-SECBINFHSA-N 0 0 436.284 -0.201 20 0 IBADRN COc1nc(Br)ccc1NC(=O)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000789594321 1073782714 /nfs/dbraw/zinc/78/27/14/1073782714.db2.gz JTZPDSHLPNUTCE-VIFPVBQESA-N 0 0 436.284 -0.201 20 0 IBADRN COc1nc(Br)ccc1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000789597216 1073782700 /nfs/dbraw/zinc/78/27/00/1073782700.db2.gz PYOPABTWXNVRFO-LLVKDONJSA-N 0 0 431.287 -0.057 20 0 IBADRN COc1nc(Br)ccc1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000789597227 1073782598 /nfs/dbraw/zinc/78/25/98/1073782598.db2.gz PYOPABTWXNVRFO-NSHDSACASA-N 0 0 431.287 -0.057 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)Nc1nc(Cl)cc(NN)n1)CC2 ZINC000789601034 1073782627 /nfs/dbraw/zinc/78/26/27/1073782627.db2.gz KTCFPKSZZUHUMO-UHFFFAOYSA-N 0 0 425.858 -0.149 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)c3ncnn3C)cc2)CC1 ZINC000789612963 1073782785 /nfs/dbraw/zinc/78/27/85/1073782785.db2.gz HZBHSHBOLJBVJU-AWEZNQCLSA-N 0 0 429.481 -0.074 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)c3ncnn3C)cc2)CC1 ZINC000789612966 1073782674 /nfs/dbraw/zinc/78/26/74/1073782674.db2.gz HZBHSHBOLJBVJU-CQSZACIVSA-N 0 0 429.481 -0.074 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000789615172 1073782686 /nfs/dbraw/zinc/78/26/86/1073782686.db2.gz UOVXRTNHJASPAB-CYBMUJFWSA-N 0 0 443.478 -0.275 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000789615173 1073782665 /nfs/dbraw/zinc/78/26/65/1073782665.db2.gz UOVXRTNHJASPAB-ZDUSSCGKSA-N 0 0 443.478 -0.275 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000789619022 1073782582 /nfs/dbraw/zinc/78/25/82/1073782582.db2.gz OERLUPMXNREJBD-HNNXBMFYSA-N 0 0 433.509 -0.097 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000789619023 1073782655 /nfs/dbraw/zinc/78/26/55/1073782655.db2.gz OERLUPMXNREJBD-OAHLLOKOSA-N 0 0 433.509 -0.097 20 0 IBADRN O=C(NC[C@H](O)C(F)(F)F)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000789633147 1073782693 /nfs/dbraw/zinc/78/26/93/1073782693.db2.gz UEVSJZSARYWOSU-KBPBESRZSA-N 0 0 431.367 -0.321 20 0 IBADRN O=C(NC[C@H](O)C(F)(F)F)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000789633148 1073783268 /nfs/dbraw/zinc/78/32/68/1073783268.db2.gz UEVSJZSARYWOSU-KGLIPLIRSA-N 0 0 431.367 -0.321 20 0 IBADRN O=C(NC[C@H](O)C(F)(F)F)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000789633563 1073783226 /nfs/dbraw/zinc/78/32/26/1073783226.db2.gz HJRANAWAEKNYTM-AWEZNQCLSA-N 0 0 432.399 -0.274 20 0 IBADRN O=C(NC[C@H](O)C(F)(F)F)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000789633607 1073783253 /nfs/dbraw/zinc/78/32/53/1073783253.db2.gz MJFVICRRBFOATI-LBPRGKRZSA-N 0 0 443.375 -0.176 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NC[C@H](O)C(F)(F)F ZINC000789633736 1073783305 /nfs/dbraw/zinc/78/33/05/1073783305.db2.gz DYMAXQAWGPSJIW-LBPRGKRZSA-N 0 0 426.417 -0.019 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)C(=O)NC[C@H](O)C(F)(F)F)cc2)CC1 ZINC000789633743 1073783329 /nfs/dbraw/zinc/78/33/29/1073783329.db2.gz GJSDWZXTFIGVNZ-ZDUSSCGKSA-N 0 0 438.428 -0.399 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](O)C(F)(F)F)cc1 ZINC000789633750 1073783206 /nfs/dbraw/zinc/78/32/06/1073783206.db2.gz GYLQMGISRIHRHP-CABZTGNLSA-N 0 0 427.401 -0.022 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NC[C@H](O)C(F)(F)F)cc1 ZINC000789633751 1073783258 /nfs/dbraw/zinc/78/32/58/1073783258.db2.gz GYLQMGISRIHRHP-SKDRFNHKSA-N 0 0 427.401 -0.022 20 0 IBADRN O=C(NC[C@H](O)C(F)(F)F)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000789633797 1073783173 /nfs/dbraw/zinc/78/31/73/1073783173.db2.gz WPMKVHWRDZPOPA-LBPRGKRZSA-N 0 0 425.385 -0.315 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NC[C@H](O)C(F)(F)F)c2)CC1 ZINC000789634039 1073783296 /nfs/dbraw/zinc/78/32/96/1073783296.db2.gz YEWJPJDVXGTOFF-ZDUSSCGKSA-N 0 0 438.428 -0.399 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)n2)c1C ZINC000789649436 1073783192 /nfs/dbraw/zinc/78/31/92/1073783192.db2.gz CLVJOPOQUOIYEE-CYBMUJFWSA-N 0 0 429.437 -0.052 20 0 IBADRN COc1c(C)cnc(Cn2cnc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)n2)c1C ZINC000789649437 1073783214 /nfs/dbraw/zinc/78/32/14/1073783214.db2.gz CLVJOPOQUOIYEE-ZDUSSCGKSA-N 0 0 429.437 -0.052 20 0 IBADRN CCOC(=O)C1(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCC1 ZINC000789742102 1073783283 /nfs/dbraw/zinc/78/32/83/1073783283.db2.gz DVMIXONNAKRRJZ-AWEZNQCLSA-N 0 0 436.552 -0.229 20 0 IBADRN CCOC(=O)C1(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCC1 ZINC000789742103 1073783273 /nfs/dbraw/zinc/78/32/73/1073783273.db2.gz DVMIXONNAKRRJZ-CQSZACIVSA-N 0 0 436.552 -0.229 20 0 IBADRN O=C(c1n[nH]c2c1CCCCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789743331 1073783238 /nfs/dbraw/zinc/78/32/38/1073783238.db2.gz SVFXGZGVXBSNHG-CYBMUJFWSA-N 0 0 430.552 -0.047 20 0 IBADRN O=C(c1n[nH]c2c1CCCCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789743332 1073783262 /nfs/dbraw/zinc/78/32/62/1073783262.db2.gz SVFXGZGVXBSNHG-ZDUSSCGKSA-N 0 0 430.552 -0.047 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000789751321 1073783288 /nfs/dbraw/zinc/78/32/88/1073783288.db2.gz CTFKSCQZRCQJSA-ILXRZTDVSA-N 0 0 429.539 -0.143 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000789751323 1073783335 /nfs/dbraw/zinc/78/33/35/1073783335.db2.gz CTFKSCQZRCQJSA-KFWWJZLASA-N 0 0 429.539 -0.143 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000789751326 1073783854 /nfs/dbraw/zinc/78/38/54/1073783854.db2.gz CTFKSCQZRCQJSA-QLFBSQMISA-N 0 0 429.539 -0.143 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000789751327 1073783749 /nfs/dbraw/zinc/78/37/49/1073783749.db2.gz CTFKSCQZRCQJSA-RBSFLKMASA-N 0 0 429.539 -0.143 20 0 IBADRN C[C@@H](Cn1ccnc1)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000789755204 1073783814 /nfs/dbraw/zinc/78/38/14/1073783814.db2.gz WNTFMSGNNAAMPZ-AWEZNQCLSA-N 0 0 430.490 -0.319 20 0 IBADRN C[C@H](Cn1ccnc1)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000789755205 1073783770 /nfs/dbraw/zinc/78/37/70/1073783770.db2.gz WNTFMSGNNAAMPZ-CQSZACIVSA-N 0 0 430.490 -0.319 20 0 IBADRN COc1cc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)ccc1OCC(N)=O ZINC000789764806 1073783311 /nfs/dbraw/zinc/78/33/11/1073783311.db2.gz HFUXYRMIGSSKFL-UHFFFAOYSA-N 0 0 441.506 -0.174 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000789765225 1073783321 /nfs/dbraw/zinc/78/33/21/1073783321.db2.gz LPOLQKIXTUSMTQ-UHFFFAOYSA-N 0 0 437.584 -0.299 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@]4(CNC(=O)C4)C3)cc2)CC1 ZINC000789795500 1073783833 /nfs/dbraw/zinc/78/38/33/1073783833.db2.gz BJGIVAWUNNXPSF-JOCHJYFZSA-N 0 0 443.504 -0.341 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@]4(CNC(=O)C4)C3)cc2)CC1 ZINC000789795504 1073783900 /nfs/dbraw/zinc/78/39/00/1073783900.db2.gz BJGIVAWUNNXPSF-QFIPXVFZSA-N 0 0 443.504 -0.341 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H](C)CN2CCOCC2)cc1 ZINC000789796649 1073783795 /nfs/dbraw/zinc/78/37/95/1073783795.db2.gz JJDXIBUFOSSIBG-AWEZNQCLSA-N 0 0 428.511 -0.014 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H](C)CN2CCOCC2)cc1 ZINC000789796655 1073783863 /nfs/dbraw/zinc/78/38/63/1073783863.db2.gz JJDXIBUFOSSIBG-CQSZACIVSA-N 0 0 428.511 -0.014 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000789808239 1073783876 /nfs/dbraw/zinc/78/38/76/1073783876.db2.gz DJZNPKQGJCVJIJ-KRWDZBQOSA-N 0 0 449.533 -0.412 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000789808240 1073783801 /nfs/dbraw/zinc/78/38/01/1073783801.db2.gz DJZNPKQGJCVJIJ-QGZVFWFLSA-N 0 0 449.533 -0.412 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)cc2)CC1 ZINC000789808545 1073784547 /nfs/dbraw/zinc/78/45/47/1073784547.db2.gz CGHBWDYZPWHLNN-UHFFFAOYSA-N 0 0 443.504 -0.042 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@]3(O)CCO[C@H]3C)cc2)CC1 ZINC000789808877 1073784985 /nfs/dbraw/zinc/78/49/85/1073784985.db2.gz DRGKLRFUELXEDN-BTYIYWSLSA-N 0 0 434.493 -0.424 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@]3(O)CCO[C@@H]3C)cc2)CC1 ZINC000789808878 1073784861 /nfs/dbraw/zinc/78/48/61/1073784861.db2.gz DRGKLRFUELXEDN-QVKFZJNVSA-N 0 0 434.493 -0.424 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@]3(O)CCO[C@@H]3C)cc2)CC1 ZINC000789808879 1073784904 /nfs/dbraw/zinc/78/49/04/1073784904.db2.gz DRGKLRFUELXEDN-VFNWGFHPSA-N 0 0 434.493 -0.424 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@]3(O)CCO[C@H]3C)cc2)CC1 ZINC000789808880 1073784948 /nfs/dbraw/zinc/78/49/48/1073784948.db2.gz DRGKLRFUELXEDN-YCRPNKLZSA-N 0 0 434.493 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000789848747 1073785469 /nfs/dbraw/zinc/78/54/69/1073785469.db2.gz AOCOVRLANOHJEE-GFCCVEGCSA-N 0 0 426.499 -0.105 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@H]2C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000789848753 1073785607 /nfs/dbraw/zinc/78/56/07/1073785607.db2.gz AOCOVRLANOHJEE-LBPRGKRZSA-N 0 0 426.499 -0.105 20 0 IBADRN CC(C)(C)OC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789848929 1073785450 /nfs/dbraw/zinc/78/54/50/1073785450.db2.gz CESAEPAPSJTJRA-NSHDSACASA-N 0 0 432.528 -0.052 20 0 IBADRN CS(=O)(=O)Nc1ccc(C=CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000789848937 1073785625 /nfs/dbraw/zinc/78/56/25/1073785625.db2.gz CMYDCXSDRNZZQZ-DAXSKMNVSA-N 0 0 440.507 -0.278 20 0 IBADRN CS(=O)(=O)Nc1ccc(C=CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC000789848938 1073785695 /nfs/dbraw/zinc/78/56/95/1073785695.db2.gz CMYDCXSDRNZZQZ-QPJJXVBHSA-N 0 0 440.507 -0.278 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000789850006 1073785582 /nfs/dbraw/zinc/78/55/82/1073785582.db2.gz MFOAENWDBXOIFR-UHFFFAOYSA-N 0 0 446.486 -0.659 20 0 IBADRN Cc1nnnn1C(=Cc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789850417 1073785525 /nfs/dbraw/zinc/78/55/25/1073785525.db2.gz QSCRYQLKSDFXKX-GDNBJRDFSA-N 0 0 447.456 -0.230 20 0 IBADRN Cc1nnnn1C(=Cc1ccc(F)cc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789850436 1073785554 /nfs/dbraw/zinc/78/55/54/1073785554.db2.gz QSCRYQLKSDFXKX-XNTDXEJSSA-N 0 0 447.456 -0.230 20 0 IBADRN CCS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC000789850913 1073786124 /nfs/dbraw/zinc/78/61/24/1073786124.db2.gz TYYIPWWMYUHXNZ-UHFFFAOYSA-N 0 0 431.471 -0.116 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789850941 1073786042 /nfs/dbraw/zinc/78/60/42/1073786042.db2.gz UOSAGGDIVJKDNV-LLVKDONJSA-N 0 0 446.486 -0.906 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789850945 1073786139 /nfs/dbraw/zinc/78/61/39/1073786139.db2.gz UOSAGGDIVJKDNV-NSHDSACASA-N 0 0 446.486 -0.906 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1=O ZINC000789851199 1073785596 /nfs/dbraw/zinc/78/55/96/1073785596.db2.gz VTRARICGGBOWEW-GOSISDBHSA-N 0 0 447.477 -0.895 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1=O ZINC000789851200 1073786128 /nfs/dbraw/zinc/78/61/28/1073786128.db2.gz VTRARICGGBOWEW-SFHVURJKSA-N 0 0 447.477 -0.895 20 0 IBADRN Cc1nnnn1C(=Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789851210 1073786072 /nfs/dbraw/zinc/78/60/72/1073786072.db2.gz WHHWUCFKPYCKJQ-PTNGSMBKSA-N 0 0 429.466 -0.369 20 0 IBADRN Cc1nnnn1C(=Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789851211 1073786063 /nfs/dbraw/zinc/78/60/63/1073786063.db2.gz WHHWUCFKPYCKJQ-RVDMUPIBSA-N 0 0 429.466 -0.369 20 0 IBADRN O=C([C@H](O)[C@@H](O)c1nc2ccccc2s1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789851240 1073786097 /nfs/dbraw/zinc/78/60/97/1073786097.db2.gz XCEYLHPBMUNMJG-CHWSQXEVSA-N 0 0 438.491 -0.658 20 0 IBADRN O=C([C@@H](O)[C@@H](O)c1nc2ccccc2s1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789851241 1073786104 /nfs/dbraw/zinc/78/61/04/1073786104.db2.gz XCEYLHPBMUNMJG-OLZOCXBDSA-N 0 0 438.491 -0.658 20 0 IBADRN O=C([C@H](O)[C@H](O)c1nc2ccccc2s1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789851242 1073786116 /nfs/dbraw/zinc/78/61/16/1073786116.db2.gz XCEYLHPBMUNMJG-QWHCGFSZSA-N 0 0 438.491 -0.658 20 0 IBADRN O=C([C@@H](O)[C@H](O)c1nc2ccccc2s1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000789851243 1073786051 /nfs/dbraw/zinc/78/60/51/1073786051.db2.gz XCEYLHPBMUNMJG-STQMWFEESA-N 0 0 438.491 -0.658 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@H]1CCN(Cc2ccccc2)C1=O ZINC000789882774 1073785680 /nfs/dbraw/zinc/78/56/80/1073785680.db2.gz AFHTXJYSXKDUOL-INIZCTEOSA-N 0 0 433.490 -0.349 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000789882776 1073785567 /nfs/dbraw/zinc/78/55/67/1073785567.db2.gz AFHTXJYSXKDUOL-MRXNPFEDSA-N 0 0 433.490 -0.349 20 0 IBADRN CN(C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)[C@H]1CCN(Cc2ccccc2)C1=O ZINC000789885337 1073785507 /nfs/dbraw/zinc/78/55/07/1073785507.db2.gz SXPJKCSSHLSAEY-AWEZNQCLSA-N 0 0 434.474 -0.084 20 0 IBADRN CN(C(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000789885338 1073785480 /nfs/dbraw/zinc/78/54/80/1073785480.db2.gz SXPJKCSSHLSAEY-CQSZACIVSA-N 0 0 434.474 -0.084 20 0 IBADRN Cc1n[nH]nc1C(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000789896747 1073786597 /nfs/dbraw/zinc/78/65/97/1073786597.db2.gz DMGVTSWIAPAFKQ-UHFFFAOYSA-N 0 0 427.508 -0.028 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(-c2ccoc2)n1 ZINC000789898661 1073786647 /nfs/dbraw/zinc/78/66/47/1073786647.db2.gz LPSMDTWSAFPOER-AWEZNQCLSA-N 0 0 442.519 -0.045 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(-c2ccoc2)n1 ZINC000789898663 1073786530 /nfs/dbraw/zinc/78/65/30/1073786530.db2.gz LPSMDTWSAFPOER-CQSZACIVSA-N 0 0 442.519 -0.045 20 0 IBADRN O=C([C@H]1CCC(=O)c2cccn21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789899420 1073786614 /nfs/dbraw/zinc/78/66/14/1073786614.db2.gz ZCPPXMDVQXHBKJ-DZGCQCFKSA-N 0 0 429.520 -0.333 20 0 IBADRN O=C([C@@H]1CCC(=O)c2cccn21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789899421 1073786565 /nfs/dbraw/zinc/78/65/65/1073786565.db2.gz ZCPPXMDVQXHBKJ-HIFRSBDPSA-N 0 0 429.520 -0.333 20 0 IBADRN O=C([C@H]1CCC(=O)c2cccn21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789899422 1073786686 /nfs/dbraw/zinc/78/66/86/1073786686.db2.gz ZCPPXMDVQXHBKJ-UKRRQHHQSA-N 0 0 429.520 -0.333 20 0 IBADRN O=C([C@@H]1CCC(=O)c2cccn21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789899423 1073786694 /nfs/dbraw/zinc/78/66/94/1073786694.db2.gz ZCPPXMDVQXHBKJ-ZFWWWQNUSA-N 0 0 429.520 -0.333 20 0 IBADRN O=C(c1c[nH]nc1-c1ccoc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789899427 1073786730 /nfs/dbraw/zinc/78/67/30/1073786730.db2.gz ZGERYHCMJRRJIM-CYBMUJFWSA-N 0 0 428.492 -0.056 20 0 IBADRN O=C(c1c[nH]nc1-c1ccoc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789899428 1073786665 /nfs/dbraw/zinc/78/66/65/1073786665.db2.gz ZGERYHCMJRRJIM-ZDUSSCGKSA-N 0 0 428.492 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000789901598 1073786711 /nfs/dbraw/zinc/78/67/11/1073786711.db2.gz QGYKQOLTOPHQAY-ILXRZTDVSA-N 0 0 429.539 -0.455 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000789901600 1073786624 /nfs/dbraw/zinc/78/66/24/1073786624.db2.gz QGYKQOLTOPHQAY-KFWWJZLASA-N 0 0 429.539 -0.455 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000789901602 1073786702 /nfs/dbraw/zinc/78/67/02/1073786702.db2.gz QGYKQOLTOPHQAY-QLFBSQMISA-N 0 0 429.539 -0.455 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000789901604 1073786634 /nfs/dbraw/zinc/78/66/34/1073786634.db2.gz QGYKQOLTOPHQAY-RBSFLKMASA-N 0 0 429.539 -0.455 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000789902983 1073786544 /nfs/dbraw/zinc/78/65/44/1073786544.db2.gz NRMDQSMLTKRUQN-UHFFFAOYSA-N 0 0 425.486 -0.680 20 0 IBADRN COC(=O)CN1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC000789908189 1073786718 /nfs/dbraw/zinc/78/67/18/1073786718.db2.gz OCEMIOLOFULYBH-UHFFFAOYSA-N 0 0 427.259 -0.790 20 0 IBADRN COC(COCCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)OC ZINC000789910203 1073787066 /nfs/dbraw/zinc/78/70/66/1073787066.db2.gz HNYHZDMFHDDNSL-UHFFFAOYSA-N 0 0 443.522 -0.095 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(CC(=O)OC)CC3)cc2S1(=O)=O ZINC000789911089 1073786017 /nfs/dbraw/zinc/78/60/17/1073786017.db2.gz NCJXHFNNGINJQT-UHFFFAOYSA-N 0 0 425.463 -0.592 20 0 IBADRN COC(COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)OC ZINC000789911875 1073786057 /nfs/dbraw/zinc/78/60/57/1073786057.db2.gz YFHGGAFIRDAZNJ-UHFFFAOYSA-N 0 0 443.522 -0.095 20 0 IBADRN Cn1nnnc1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Br ZINC000789913838 1073786089 /nfs/dbraw/zinc/78/60/89/1073786089.db2.gz ZIHOXFDHIKFTBL-UHFFFAOYSA-N 0 0 445.299 -0.077 20 0 IBADRN COc1cc2c(cc1OC)S(=O)(=O)N(CC(=O)NCCCN1CCCC1=O)C2=O ZINC000789915659 1073786134 /nfs/dbraw/zinc/78/61/34/1073786134.db2.gz LORNJLZYSQMERW-UHFFFAOYSA-N 0 0 425.463 -0.023 20 0 IBADRN C[C@@]1(c2ccc(-n3cnnn3)cc2)NC(=O)N(CC(=O)NCCCN2CCCC2=O)C1=O ZINC000789916226 1073786034 /nfs/dbraw/zinc/78/60/34/1073786034.db2.gz NRXUMDNZZFMNJW-FQEVSTJZSA-N 0 0 440.464 -0.442 20 0 IBADRN C[C@]1(c2ccc(-n3cnnn3)cc2)NC(=O)N(CC(=O)NCCCN2CCCC2=O)C1=O ZINC000789916227 1073786048 /nfs/dbraw/zinc/78/60/48/1073786048.db2.gz NRXUMDNZZFMNJW-HXUWFJFHSA-N 0 0 440.464 -0.442 20 0 IBADRN COCCN(C(=O)CSc1nnc(-c2ccccn2)n1N)[C@@H]1CCS(=O)(=O)C1 ZINC000789920636 1073786120 /nfs/dbraw/zinc/78/61/20/1073786120.db2.gz FGXPJZIRAKOYDU-GFCCVEGCSA-N 0 0 426.524 -0.192 20 0 IBADRN COCCN(C(=O)CSc1nnc(-c2ccccn2)n1N)[C@H]1CCS(=O)(=O)C1 ZINC000789920637 1073786111 /nfs/dbraw/zinc/78/61/11/1073786111.db2.gz FGXPJZIRAKOYDU-LBPRGKRZSA-N 0 0 426.524 -0.192 20 0 IBADRN COCCN(C(=O)CN1C(=O)Nc2ccccc2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000789921520 1073786657 /nfs/dbraw/zinc/78/66/57/1073786657.db2.gz KISKJLPVMAFARX-GFCCVEGCSA-N 0 0 431.492 -0.115 20 0 IBADRN COCCN(C(=O)CN1C(=O)Nc2ccccc2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000789921521 1073786679 /nfs/dbraw/zinc/78/66/79/1073786679.db2.gz KISKJLPVMAFARX-LBPRGKRZSA-N 0 0 431.492 -0.115 20 0 IBADRN COCCN(C(=O)Cn1nnnc1CNC(=O)OC(C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000789928550 1073786489 /nfs/dbraw/zinc/78/64/89/1073786489.db2.gz FYYQPZUFEQCXQO-GFCCVEGCSA-N 0 0 432.503 -0.640 20 0 IBADRN COCCN(C(=O)Cn1nnnc1CNC(=O)OC(C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000789928551 1073786584 /nfs/dbraw/zinc/78/65/84/1073786584.db2.gz FYYQPZUFEQCXQO-LBPRGKRZSA-N 0 0 432.503 -0.640 20 0 IBADRN CCOC(=O)N[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)CC(C)C ZINC000789937009 1073787238 /nfs/dbraw/zinc/78/72/38/1073787238.db2.gz VSPLOUAXMKOGAR-HNNXBMFYSA-N 0 0 439.513 -0.787 20 0 IBADRN CCOC(=O)N[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)CC(C)C ZINC000789937010 1073787175 /nfs/dbraw/zinc/78/71/75/1073787175.db2.gz VSPLOUAXMKOGAR-OAHLLOKOSA-N 0 0 439.513 -0.787 20 0 IBADRN CCOC(=O)N[C@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)CC(C)C ZINC000789942090 1073787243 /nfs/dbraw/zinc/78/72/43/1073787243.db2.gz ONBIZGUOCMCNEA-HNNXBMFYSA-N 0 0 436.513 -0.294 20 0 IBADRN CCOC(=O)N[C@@H](CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)CC(C)C ZINC000789942119 1073787193 /nfs/dbraw/zinc/78/71/93/1073787193.db2.gz ONBIZGUOCMCNEA-OAHLLOKOSA-N 0 0 436.513 -0.294 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)=NO1 ZINC000789943789 1073787227 /nfs/dbraw/zinc/78/72/27/1073787227.db2.gz GBJFMBPFEHWOKJ-KBPBESRZSA-N 0 0 430.527 -0.013 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)=NO1 ZINC000789943791 1073787223 /nfs/dbraw/zinc/78/72/23/1073787223.db2.gz GBJFMBPFEHWOKJ-KGLIPLIRSA-N 0 0 430.527 -0.013 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)=NO1 ZINC000789943795 1073787119 /nfs/dbraw/zinc/78/71/19/1073787119.db2.gz GBJFMBPFEHWOKJ-UONOGXRCSA-N 0 0 430.527 -0.013 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)=NO1 ZINC000789943797 1073787189 /nfs/dbraw/zinc/78/71/89/1073787189.db2.gz GBJFMBPFEHWOKJ-ZIAGYGMSSA-N 0 0 430.527 -0.013 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)=NO1 ZINC000789944236 1073787199 /nfs/dbraw/zinc/78/71/99/1073787199.db2.gz NQRLJNNWPWJQMO-HNNXBMFYSA-N 0 0 439.513 -0.341 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)=NO1 ZINC000789944237 1073787218 /nfs/dbraw/zinc/78/72/18/1073787218.db2.gz NQRLJNNWPWJQMO-OAHLLOKOSA-N 0 0 439.513 -0.341 20 0 IBADRN CC(C)(C)OC(=O)N(CCCNC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)C1CC1 ZINC000789948690 1073787234 /nfs/dbraw/zinc/78/72/34/1073787234.db2.gz YLVKPIWWXPWCGT-UHFFFAOYSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N(CCCNC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)C1CC1 ZINC000789949543 1073787744 /nfs/dbraw/zinc/78/77/44/1073787744.db2.gz JPUGRRWMTPCAMO-UHFFFAOYSA-N 0 0 432.543 -0.100 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789949848 1073787771 /nfs/dbraw/zinc/78/77/71/1073787771.db2.gz LMZXXEDAPRUTNI-KRWDZBQOSA-N 0 0 440.478 -0.103 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000789949950 1073787736 /nfs/dbraw/zinc/78/77/36/1073787736.db2.gz LMZXXEDAPRUTNI-QGZVFWFLSA-N 0 0 440.478 -0.103 20 0 IBADRN COCCN(C(=O)CSc1nnc(-c2cc(C)[nH]n2)n1N)[C@@H]1CCS(=O)(=O)C1 ZINC000789953951 1073787693 /nfs/dbraw/zinc/78/76/93/1073787693.db2.gz GZCKNQYOKRRPNK-LLVKDONJSA-N 0 0 429.528 -0.555 20 0 IBADRN COCCN(C(=O)CSc1nnc(-c2cc(C)[nH]n2)n1N)[C@H]1CCS(=O)(=O)C1 ZINC000789953959 1073787836 /nfs/dbraw/zinc/78/78/36/1073787836.db2.gz GZCKNQYOKRRPNK-NSHDSACASA-N 0 0 429.528 -0.555 20 0 IBADRN O=C([C@H]1COCCN1C1CCCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789959217 1073787703 /nfs/dbraw/zinc/78/77/03/1073787703.db2.gz HZTXILMKEHRAMX-DLBZAZTESA-N 0 0 449.595 -0.709 20 0 IBADRN O=C([C@H]1COCCN1C1CCCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789959218 1073787795 /nfs/dbraw/zinc/78/77/95/1073787795.db2.gz HZTXILMKEHRAMX-IAGOWNOFSA-N 0 0 449.595 -0.709 20 0 IBADRN O=C([C@@H]1COCCN1C1CCCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789959219 1073787812 /nfs/dbraw/zinc/78/78/12/1073787812.db2.gz HZTXILMKEHRAMX-IRXDYDNUSA-N 0 0 449.595 -0.709 20 0 IBADRN O=C([C@@H]1COCCN1C1CCCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789959220 1073787753 /nfs/dbraw/zinc/78/77/53/1073787753.db2.gz HZTXILMKEHRAMX-SJORKVTESA-N 0 0 449.595 -0.709 20 0 IBADRN O=C(c1n[nH]nc1-c1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789961211 1073787677 /nfs/dbraw/zinc/78/76/77/1073787677.db2.gz XOXLJDUGLFLZEX-AWEZNQCLSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(c1n[nH]nc1-c1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789961212 1073787827 /nfs/dbraw/zinc/78/78/27/1073787827.db2.gz XOXLJDUGLFLZEX-CQSZACIVSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(Cc1ccc2c[nH]nc2c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000789961263 1073787781 /nfs/dbraw/zinc/78/77/81/1073787781.db2.gz ZKFGOPLXGLGUGH-HNNXBMFYSA-N 0 0 426.520 -0.234 20 0 IBADRN O=C(Cc1ccc2c[nH]nc2c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000789961265 1073787688 /nfs/dbraw/zinc/78/76/88/1073787688.db2.gz ZKFGOPLXGLGUGH-OAHLLOKOSA-N 0 0 426.520 -0.234 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cccc(NN3C(=O)[C@H]4CC=CC[C@@H]4C3=O)c2)CC1 ZINC000789974935 1073787785 /nfs/dbraw/zinc/78/77/85/1073787785.db2.gz YEVFGMXUJMXRTO-HOTGVXAUSA-N 0 0 433.490 -0.074 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cccc(NN3C(=O)[C@@H]4CC=CC[C@H]4C3=O)c2)CC1 ZINC000789974937 1073787726 /nfs/dbraw/zinc/78/77/26/1073787726.db2.gz YEVFGMXUJMXRTO-HZPDHXFCSA-N 0 0 433.490 -0.074 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)c2cccc(NN3C(=O)[C@@H]4CC=CC[C@@H]4C3=O)c2)CC1 ZINC000789974940 1073787612 /nfs/dbraw/zinc/78/76/12/1073787612.db2.gz YEVFGMXUJMXRTO-IYBDPMFKSA-N 0 0 433.490 -0.074 20 0 IBADRN COCCN(C(=O)CN1C(=O)c2c(cccc2F)S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000789981660 1073788380 /nfs/dbraw/zinc/78/83/80/1073788380.db2.gz BIAAPSWWXNHTQL-LLVKDONJSA-N 0 0 434.467 -0.368 20 0 IBADRN COCCN(C(=O)CN1C(=O)c2c(cccc2F)S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000789981661 1073788413 /nfs/dbraw/zinc/78/84/13/1073788413.db2.gz BIAAPSWWXNHTQL-NSHDSACASA-N 0 0 434.467 -0.368 20 0 IBADRN COCCN(C(=O)CN1C(=O)c2ccc(F)cc2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000789981687 1073788276 /nfs/dbraw/zinc/78/82/76/1073788276.db2.gz CFDBNTQJGFCBKH-GFCCVEGCSA-N 0 0 434.467 -0.368 20 0 IBADRN COCCN(C(=O)CN1C(=O)c2ccc(F)cc2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000789981688 1073788375 /nfs/dbraw/zinc/78/83/75/1073788375.db2.gz CFDBNTQJGFCBKH-LBPRGKRZSA-N 0 0 434.467 -0.368 20 0 IBADRN COCCN(C(=O)CN1C(=O)c2cc(F)ccc2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000789982471 1073788404 /nfs/dbraw/zinc/78/84/04/1073788404.db2.gz NRFLGBSXUVMBTP-GFCCVEGCSA-N 0 0 434.467 -0.368 20 0 IBADRN COCCN(C(=O)CN1C(=O)c2cc(F)ccc2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000789982472 1073788316 /nfs/dbraw/zinc/78/83/16/1073788316.db2.gz NRFLGBSXUVMBTP-LBPRGKRZSA-N 0 0 434.467 -0.368 20 0 IBADRN COC(=O)[C@H](CSCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NC(C)=O ZINC000789990926 1073788421 /nfs/dbraw/zinc/78/84/21/1073788421.db2.gz MHGLUYRNOKWJMV-INIZCTEOSA-N 0 0 443.547 -0.070 20 0 IBADRN COC(=O)[C@H](CSCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)NC(C)=O ZINC000790002806 1073788206 /nfs/dbraw/zinc/78/82/06/1073788206.db2.gz IDOOEPOOKNQABF-AWEZNQCLSA-N 0 0 435.510 -0.577 20 0 IBADRN CNC(=O)[C@H](CO)NS(=O)(=O)c1ccc(I)c(C(=O)OC)c1 ZINC000790005711 1073788934 /nfs/dbraw/zinc/78/89/34/1073788934.db2.gz TYONJKPIYBLECU-JTQLQIEISA-N 0 0 442.231 -0.537 20 0 IBADRN CNC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(I)c(C(=O)OC)c1 ZINC000790005712 1073788975 /nfs/dbraw/zinc/78/89/75/1073788975.db2.gz TYONJKPIYBLECU-SNVBAGLBSA-N 0 0 442.231 -0.537 20 0 IBADRN CC(C)(C)OC(=O)N1CC(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC000790006705 1073788880 /nfs/dbraw/zinc/78/88/80/1073788880.db2.gz GVPGZSBAOHDGTR-LLVKDONJSA-N 0 0 425.529 -0.782 20 0 IBADRN CC(C)(C)OC(=O)N1CC(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC000790006706 1073788860 /nfs/dbraw/zinc/78/88/60/1073788860.db2.gz GVPGZSBAOHDGTR-NSHDSACASA-N 0 0 425.529 -0.782 20 0 IBADRN COC(=O)[C@H](CSCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)NC(C)=O ZINC000790010757 1073788925 /nfs/dbraw/zinc/78/89/25/1073788925.db2.gz AJZCSHXALVZYIH-AWEZNQCLSA-N 0 0 448.501 -0.229 20 0 IBADRN CC(C)(C)OC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1 ZINC000790013198 1073788992 /nfs/dbraw/zinc/78/89/92/1073788992.db2.gz RYPLBQPSHPKIOT-UHFFFAOYSA-N 0 0 430.527 -0.298 20 0 IBADRN CC(C)(C)OC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000790013239 1073789457 /nfs/dbraw/zinc/78/94/57/1073789457.db2.gz USZAIYPLVCIWKX-UHFFFAOYSA-N 0 0 444.510 -0.771 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)CC1 ZINC000790017734 1073789420 /nfs/dbraw/zinc/78/94/20/1073789420.db2.gz AOVHYODGLRNSQX-UHFFFAOYSA-N 0 0 433.508 -0.718 20 0 IBADRN CC(C)(C)OC(=O)N1CC(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000790017907 1073789488 /nfs/dbraw/zinc/78/94/88/1073789488.db2.gz PWFWIOJFBLQZGF-UHFFFAOYSA-N 0 0 429.586 -0.096 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)Oc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000790019208 1073789477 /nfs/dbraw/zinc/78/94/77/1073789477.db2.gz NQVBLKSXFVQBRV-UHFFFAOYSA-N 0 0 433.508 -0.718 20 0 IBADRN COC(=O)[C@H](CSCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)NC(C)=O ZINC000790021939 1073789378 /nfs/dbraw/zinc/78/93/78/1073789378.db2.gz PTTWVLJWDZPZLK-ILXRZTDVSA-N 0 0 436.552 -0.408 20 0 IBADRN COC(=O)[C@H](CSCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)NC(C)=O ZINC000790021943 1073789498 /nfs/dbraw/zinc/78/94/98/1073789498.db2.gz PTTWVLJWDZPZLK-KFWWJZLASA-N 0 0 436.552 -0.408 20 0 IBADRN COC(=O)[C@H](CSCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)NC(C)=O ZINC000790021944 1073789434 /nfs/dbraw/zinc/78/94/34/1073789434.db2.gz PTTWVLJWDZPZLK-KKUMJFAQSA-N 0 0 436.552 -0.408 20 0 IBADRN COC(=O)[C@H](CSCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)NC(C)=O ZINC000790021945 1073789462 /nfs/dbraw/zinc/78/94/62/1073789462.db2.gz PTTWVLJWDZPZLK-ZNMIVQPWSA-N 0 0 436.552 -0.408 20 0 IBADRN Cn1nncc1S(=O)(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC000790024990 1073789391 /nfs/dbraw/zinc/78/93/91/1073789391.db2.gz PORMITKUISDMLD-UHFFFAOYSA-N 0 0 429.480 -0.700 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000790028776 1073789370 /nfs/dbraw/zinc/78/93/70/1073789370.db2.gz DYWNJCKWQAVHQS-UHFFFAOYSA-N 0 0 449.445 -0.704 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CCNS(=O)(=O)c1cnn(C)c1)C2=O ZINC000790030051 1073788944 /nfs/dbraw/zinc/78/89/44/1073788944.db2.gz QAGZRPGUXZDLFV-UHFFFAOYSA-N 0 0 430.464 -0.440 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CC(=O)N(C)CC(=O)N1CCOCC1)C2=O ZINC000790030750 1073789428 /nfs/dbraw/zinc/78/94/28/1073789428.db2.gz ACYATZTWQKSYLJ-UHFFFAOYSA-N 0 0 441.462 -0.835 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000790030800 1073789412 /nfs/dbraw/zinc/78/94/12/1073789412.db2.gz CETOPLLZXQFFIV-UHFFFAOYSA-N 0 0 449.507 -0.754 20 0 IBADRN CCN(C(=O)CN1C(=O)c2cc(OC)cc(OC)c2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790031267 1073788955 /nfs/dbraw/zinc/78/89/55/1073788955.db2.gz FVHSCWKIKDVOTP-LLVKDONJSA-N 0 0 446.503 -0.116 20 0 IBADRN CCN(C(=O)CN1C(=O)c2cc(OC)cc(OC)c2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000790031268 1073788904 /nfs/dbraw/zinc/78/89/04/1073788904.db2.gz FVHSCWKIKDVOTP-NSHDSACASA-N 0 0 446.503 -0.116 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000790031764 1073788962 /nfs/dbraw/zinc/78/89/62/1073788962.db2.gz PDGHVZPQTKOPTQ-UHFFFAOYSA-N 0 0 445.507 -0.499 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CC(=O)N1CCN(c3cnccn3)CC1)C2=O ZINC000790033284 1073788847 /nfs/dbraw/zinc/78/88/47/1073788847.db2.gz VAODYITWRCSCCT-UHFFFAOYSA-N 0 0 447.473 -0.013 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CC(=O)N1CCC[C@@H](NC(C)=O)C1)C2=O ZINC000790033486 1073788972 /nfs/dbraw/zinc/78/89/72/1073788972.db2.gz XBTDXPFXWQJMMO-GFCCVEGCSA-N 0 0 425.463 -0.025 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CC(=O)N1CCC[C@H](NC(C)=O)C1)C2=O ZINC000790033487 1073788915 /nfs/dbraw/zinc/78/89/15/1073788915.db2.gz XBTDXPFXWQJMMO-LBPRGKRZSA-N 0 0 425.463 -0.025 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NS(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000790033994 1073789006 /nfs/dbraw/zinc/78/90/06/1073789006.db2.gz BBFZEPGAWGKLDI-ZDUSSCGKSA-N 0 0 431.602 -0.281 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CC(=O)NCCCN1CCCC1=O)C2=O ZINC000790037402 1073788895 /nfs/dbraw/zinc/78/88/95/1073788895.db2.gz CXUYIIPPQOTSEX-UHFFFAOYSA-N 0 0 425.463 -0.023 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CC(=O)N1CCN(C(=O)C3CC3)CC1)C2=O ZINC000790038519 1073789023 /nfs/dbraw/zinc/78/90/23/1073789023.db2.gz HBCAKGOWGJQUFZ-UHFFFAOYSA-N 0 0 437.474 -0.071 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000790041320 1073788885 /nfs/dbraw/zinc/78/88/85/1073788885.db2.gz LZYSHHPNLVLPGN-UHFFFAOYSA-N 0 0 441.572 -0.081 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000790041667 1073788917 /nfs/dbraw/zinc/78/89/17/1073788917.db2.gz AFQPTECDWRAVNX-UHFFFAOYSA-N 0 0 434.540 -0.365 20 0 IBADRN Cc1nsc(C(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000790051616 1073790082 /nfs/dbraw/zinc/79/00/82/1073790082.db2.gz BWKAJNPVDYJAGB-UHFFFAOYSA-N 0 0 431.521 -0.359 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2cccc(S(=O)(=O)N(C)C)c2N)CC1 ZINC000790071153 1073789908 /nfs/dbraw/zinc/78/99/08/1073789908.db2.gz DEBOALPCABZQOT-UHFFFAOYSA-N 0 0 435.528 -0.050 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)=NO1 ZINC000790071486 1073789849 /nfs/dbraw/zinc/78/98/49/1073789849.db2.gz VNURLRAWCAFLMM-CABCVRRESA-N 0 0 439.513 -0.295 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)=NO1 ZINC000790071493 1073789955 /nfs/dbraw/zinc/78/99/55/1073789955.db2.gz VNURLRAWCAFLMM-GJZGRUSLSA-N 0 0 439.513 -0.295 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)=NO1 ZINC000790071497 1073789968 /nfs/dbraw/zinc/78/99/68/1073789968.db2.gz VNURLRAWCAFLMM-HUUCEWRRSA-N 0 0 439.513 -0.295 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)=NO1 ZINC000790071501 1073790029 /nfs/dbraw/zinc/79/00/29/1073790029.db2.gz VNURLRAWCAFLMM-LSDHHAIUSA-N 0 0 439.513 -0.295 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000790086370 1073790477 /nfs/dbraw/zinc/79/04/77/1073790477.db2.gz DVHTWTGPEFJQER-UHFFFAOYSA-N 0 0 427.483 -0.325 20 0 IBADRN Cn1ncc(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2N2CCOCC2)n1 ZINC000790088433 1073790548 /nfs/dbraw/zinc/79/05/48/1073790548.db2.gz BQZFXOQFMOZZIW-UHFFFAOYSA-N 0 0 436.494 -0.075 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2c(OC)cc(OC)cc2OC)C1 ZINC000790091138 1073790640 /nfs/dbraw/zinc/79/06/40/1073790640.db2.gz OTUOBNLTTUBWKK-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCc2c(OC)cc(OC)cc2OC)C1 ZINC000790091139 1073790571 /nfs/dbraw/zinc/79/05/71/1073790571.db2.gz OTUOBNLTTUBWKK-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)c(OC)c1 ZINC000790091596 1073790528 /nfs/dbraw/zinc/79/05/28/1073790528.db2.gz SNTPOZVNCCOHDA-UHFFFAOYSA-N 0 0 436.509 -0.003 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)c(OC)c1 ZINC000790097191 1073790653 /nfs/dbraw/zinc/79/06/53/1073790653.db2.gz GOBUNQIXJQAZFB-UHFFFAOYSA-N 0 0 436.509 -0.003 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)c(OC)c1 ZINC000790097798 1073790595 /nfs/dbraw/zinc/79/05/95/1073790595.db2.gz OXBIZCPOIZZXTN-GFCCVEGCSA-N 0 0 429.495 -0.131 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCc1c(OC)cc(OC)cc1OC ZINC000790098148 1073790564 /nfs/dbraw/zinc/79/05/64/1073790564.db2.gz PWKWIPGHJYGIIN-GFCCVEGCSA-N 0 0 429.495 -0.131 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCc1c(OC)cc(OC)cc1OC ZINC000790098149 1073790494 /nfs/dbraw/zinc/79/04/94/1073790494.db2.gz PWKWIPGHJYGIIN-LBPRGKRZSA-N 0 0 429.495 -0.131 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(OC)c1 ZINC000790098495 1073790636 /nfs/dbraw/zinc/79/06/36/1073790636.db2.gz RFURTVYPPUEONC-UHFFFAOYSA-N 0 0 448.476 -0.722 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCc2c(OC)cc(OC)cc2OC)C1 ZINC000790098970 1073790587 /nfs/dbraw/zinc/79/05/87/1073790587.db2.gz BVSSXIAWTJLCKG-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCc2c(OC)cc(OC)cc2OC)C1 ZINC000790098976 1073790601 /nfs/dbraw/zinc/79/06/01/1073790601.db2.gz BVSSXIAWTJLCKG-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)c(OC)c1 ZINC000790100058 1073790577 /nfs/dbraw/zinc/79/05/77/1073790577.db2.gz FIYRIFMVDCNWJP-HNNXBMFYSA-N 0 0 431.449 -0.016 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)c(OC)c1 ZINC000790100059 1073790646 /nfs/dbraw/zinc/79/06/46/1073790646.db2.gz FIYRIFMVDCNWJP-OAHLLOKOSA-N 0 0 431.449 -0.016 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)c(OC)c1 ZINC000790107926 1073789988 /nfs/dbraw/zinc/78/99/88/1073789988.db2.gz QCWYVSAHVUEDSK-UHFFFAOYSA-N 0 0 434.493 -0.249 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)c(OC)c1 ZINC000790107931 1073789898 /nfs/dbraw/zinc/78/98/98/1073789898.db2.gz QENPIJRHMHPWIH-UHFFFAOYSA-N 0 0 431.449 -0.063 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)c(OC)c1 ZINC000790111833 1073790006 /nfs/dbraw/zinc/79/00/06/1073790006.db2.gz MHWVHELEMLVDHH-UHFFFAOYSA-N 0 0 445.476 -0.229 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)c(OC)c1 ZINC000790114378 1073790506 /nfs/dbraw/zinc/79/05/06/1073790506.db2.gz ZRGXLZNHZBIDGZ-AWEZNQCLSA-N 0 0 425.482 -0.520 20 0 IBADRN COc1cc(OC)c(CNC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)c(OC)c1 ZINC000790114394 1073790608 /nfs/dbraw/zinc/79/06/08/1073790608.db2.gz ZRGXLZNHZBIDGZ-CQSZACIVSA-N 0 0 425.482 -0.520 20 0 IBADRN O=S(=O)(CCC(F)(F)F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000790153387 1073791044 /nfs/dbraw/zinc/79/10/44/1073791044.db2.gz JASCYGYVWCSUBP-JTQLQIEISA-N 0 0 428.476 -0.597 20 0 IBADRN O=S(=O)(CCC(F)(F)F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000790153388 1073791053 /nfs/dbraw/zinc/79/10/53/1073791053.db2.gz JASCYGYVWCSUBP-SNVBAGLBSA-N 0 0 428.476 -0.597 20 0 IBADRN CN(C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000790154598 1073791106 /nfs/dbraw/zinc/79/11/06/1073791106.db2.gz RHBVPNIYLSYSRX-CYBMUJFWSA-N 0 0 426.520 -0.008 20 0 IBADRN CN(C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000790154600 1073791175 /nfs/dbraw/zinc/79/11/75/1073791175.db2.gz RHBVPNIYLSYSRX-ZDUSSCGKSA-N 0 0 426.520 -0.008 20 0 IBADRN O=C(N1CC[C@]2(CNC(=O)C2)C1)C(F)(F)C(F)(F)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000790165000 1073791208 /nfs/dbraw/zinc/79/12/08/1073791208.db2.gz QICPXHXZCORJDG-HOTGVXAUSA-N 0 0 434.390 -0.266 20 0 IBADRN O=C(N1CC[C@@]2(CNC(=O)C2)C1)C(F)(F)C(F)(F)C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000790165001 1073791062 /nfs/dbraw/zinc/79/10/62/1073791062.db2.gz QICPXHXZCORJDG-HZPDHXFCSA-N 0 0 434.390 -0.266 20 0 IBADRN O=C(N1CC[C@@]2(CNC(=O)C2)C1)C(F)(F)C(F)(F)C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000790165002 1073791303 /nfs/dbraw/zinc/79/13/03/1073791303.db2.gz QICPXHXZCORJDG-IYBDPMFKSA-N 0 0 434.390 -0.266 20 0 IBADRN C[C@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1nnnn1C1CC1 ZINC000790177066 1073791156 /nfs/dbraw/zinc/79/11/56/1073791156.db2.gz YHEXNMMQMHMCRC-AWEZNQCLSA-N 0 0 449.541 -0.085 20 0 IBADRN C[C@@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1nnnn1C1CC1 ZINC000790177074 1073790953 /nfs/dbraw/zinc/79/09/53/1073790953.db2.gz YHEXNMMQMHMCRC-CQSZACIVSA-N 0 0 449.541 -0.085 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)cc2CC1 ZINC000790181866 1073791032 /nfs/dbraw/zinc/79/10/32/1073791032.db2.gz FTOPKSNRVIFGDW-UHFFFAOYSA-N 0 0 445.563 -0.426 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1nnnn1C1CC1 ZINC000790202087 1073791868 /nfs/dbraw/zinc/79/18/68/1073791868.db2.gz OMHIANZADXSWCZ-JTQLQIEISA-N 0 0 438.470 -0.523 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)c1nnnn1C1CC1 ZINC000790202089 1073791801 /nfs/dbraw/zinc/79/18/01/1073791801.db2.gz OMHIANZADXSWCZ-SNVBAGLBSA-N 0 0 438.470 -0.523 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cn(C(C)(C)C)nn2)c(=O)n1CC(=O)N1CCOCC1 ZINC000790214121 1073791884 /nfs/dbraw/zinc/79/18/84/1073791884.db2.gz FREUIRRCJDZSLT-UHFFFAOYSA-N 0 0 449.533 -0.713 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cn(C(C)(C)C)nn2)c(=O)n1CC(=O)NCCOC ZINC000790217099 1073791700 /nfs/dbraw/zinc/79/17/00/1073791700.db2.gz XENRUJMCQXYBDP-UHFFFAOYSA-N 0 0 437.522 -0.809 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)Cn1cccn1 ZINC000790217654 1073791898 /nfs/dbraw/zinc/79/18/98/1073791898.db2.gz QHENUXZTHULXIR-HNNXBMFYSA-N 0 0 444.517 -0.071 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)Cn1cccn1 ZINC000790217655 1073791834 /nfs/dbraw/zinc/79/18/34/1073791834.db2.gz QHENUXZTHULXIR-OAHLLOKOSA-N 0 0 444.517 -0.071 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)Cn1cccn1 ZINC000790218260 1073791852 /nfs/dbraw/zinc/79/18/52/1073791852.db2.gz WUIXHRUNMJENHH-HNNXBMFYSA-N 0 0 436.513 -0.169 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)Cn1cccn1 ZINC000790218261 1073791711 /nfs/dbraw/zinc/79/17/11/1073791711.db2.gz WUIXHRUNMJENHH-OAHLLOKOSA-N 0 0 436.513 -0.169 20 0 IBADRN O=C(NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)C1=NN(CCO)C(=O)CC1 ZINC000790219936 1073791872 /nfs/dbraw/zinc/79/18/72/1073791872.db2.gz FBGIFKNGAJFKRU-INIZCTEOSA-N 0 0 434.468 -0.070 20 0 IBADRN O=C(NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)C1=NN(CCO)C(=O)CC1 ZINC000790219942 1073791812 /nfs/dbraw/zinc/79/18/12/1073791812.db2.gz FBGIFKNGAJFKRU-MRXNPFEDSA-N 0 0 434.468 -0.070 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000790224028 1073792426 /nfs/dbraw/zinc/79/24/26/1073792426.db2.gz HQFBBUISHPACAZ-INIZCTEOSA-N 0 0 432.452 -0.100 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC000790224032 1073793116 /nfs/dbraw/zinc/79/31/16/1073793116.db2.gz HQFBBUISHPACAZ-MRXNPFEDSA-N 0 0 432.452 -0.100 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c1 ZINC000790232661 1073792928 /nfs/dbraw/zinc/79/29/28/1073792928.db2.gz AZRGZFUUEMJGPL-AWEZNQCLSA-N 0 0 431.268 -0.089 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c1 ZINC000790232663 1073792978 /nfs/dbraw/zinc/79/29/78/1073792978.db2.gz AZRGZFUUEMJGPL-CQSZACIVSA-N 0 0 431.268 -0.089 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC000790232665 1073793142 /nfs/dbraw/zinc/79/31/42/1073793142.db2.gz BCZCQRWPFOQUMS-DJJJIMSYSA-N 0 0 425.486 -0.148 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC000790232667 1073792876 /nfs/dbraw/zinc/79/28/76/1073792876.db2.gz BCZCQRWPFOQUMS-ORAYPTAESA-N 0 0 425.486 -0.148 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC[C@@]4(C3)NC(=O)NC4=O)C2=O)cc1 ZINC000790233591 1073792986 /nfs/dbraw/zinc/79/29/86/1073792986.db2.gz BFBFGKPKNKCDBI-PMACEKPBSA-N 0 0 429.433 -0.337 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC[C@@]4(C3)NC(=O)NC4=O)C2=O)cc1 ZINC000790233594 1073793133 /nfs/dbraw/zinc/79/31/33/1073793133.db2.gz BFBFGKPKNKCDBI-UXHICEINSA-N 0 0 429.433 -0.337 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC[C@]4(C3)NC(=O)NC4=O)C2=O)cc1 ZINC000790233595 1073793038 /nfs/dbraw/zinc/79/30/38/1073793038.db2.gz BFBFGKPKNKCDBI-VQTJNVASSA-N 0 0 429.433 -0.337 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC[C@]4(C3)NC(=O)NC4=O)C2=O)cc1 ZINC000790233596 1073793073 /nfs/dbraw/zinc/79/30/73/1073793073.db2.gz BFBFGKPKNKCDBI-WOJBJXKFSA-N 0 0 429.433 -0.337 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)C1=O ZINC000790234804 1073793106 /nfs/dbraw/zinc/79/31/06/1073793106.db2.gz OCWPYMPMFLULHN-LEWJYISDSA-N 0 0 443.460 -0.029 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)C1=O ZINC000790234805 1073792999 /nfs/dbraw/zinc/79/29/99/1073792999.db2.gz OCWPYMPMFLULHN-NHCUHLMSSA-N 0 0 443.460 -0.029 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)C1=O ZINC000790234807 1073793127 /nfs/dbraw/zinc/79/31/27/1073793127.db2.gz OCWPYMPMFLULHN-RTWAWAEBSA-N 0 0 443.460 -0.029 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)C1=O ZINC000790234808 1073792895 /nfs/dbraw/zinc/79/28/95/1073792895.db2.gz OCWPYMPMFLULHN-SFTDATJTSA-N 0 0 443.460 -0.029 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000790236796 1073792247 /nfs/dbraw/zinc/79/22/47/1073792247.db2.gz GNKGEIKTNSZGIQ-UHFFFAOYSA-N 0 0 435.462 -0.411 20 0 IBADRN C[C@H](CC(=O)N1CCC[C@@]2(C1)NC(=O)NC2=O)NS(=O)(=O)c1cccnc1Cl ZINC000790236937 1073791893 /nfs/dbraw/zinc/79/18/93/1073791893.db2.gz DPIWYBXJYSBKET-HWPZZCPQSA-N 0 0 429.886 -0.008 20 0 IBADRN C[C@@H](CC(=O)N1CCC[C@]2(C1)NC(=O)NC2=O)NS(=O)(=O)c1cccnc1Cl ZINC000790236938 1073791757 /nfs/dbraw/zinc/79/17/57/1073791757.db2.gz DPIWYBXJYSBKET-MGPLVRAMSA-N 0 0 429.886 -0.008 20 0 IBADRN C[C@@H](CC(=O)N1CCC[C@@]2(C1)NC(=O)NC2=O)NS(=O)(=O)c1cccnc1Cl ZINC000790236939 1073791879 /nfs/dbraw/zinc/79/18/79/1073791879.db2.gz DPIWYBXJYSBKET-QFYYESIMSA-N 0 0 429.886 -0.008 20 0 IBADRN C[C@H](CC(=O)N1CCC[C@]2(C1)NC(=O)NC2=O)NS(=O)(=O)c1cccnc1Cl ZINC000790236940 1073792266 /nfs/dbraw/zinc/79/22/66/1073792266.db2.gz DPIWYBXJYSBKET-QLJPJBMISA-N 0 0 429.886 -0.008 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)C1=O ZINC000790240428 1073792291 /nfs/dbraw/zinc/79/22/91/1073792291.db2.gz SUIIUALLJAFJLC-PMACEKPBSA-N 0 0 429.433 -0.337 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)C1=O ZINC000790240429 1073792417 /nfs/dbraw/zinc/79/24/17/1073792417.db2.gz SUIIUALLJAFJLC-UXHICEINSA-N 0 0 429.433 -0.337 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)C1=O ZINC000790240430 1073792359 /nfs/dbraw/zinc/79/23/59/1073792359.db2.gz SUIIUALLJAFJLC-VQTJNVASSA-N 0 0 429.433 -0.337 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)C1=O ZINC000790240431 1073792371 /nfs/dbraw/zinc/79/23/71/1073792371.db2.gz SUIIUALLJAFJLC-WOJBJXKFSA-N 0 0 429.433 -0.337 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000790240466 1073792256 /nfs/dbraw/zinc/79/22/56/1073792256.db2.gz UZLOQCHWDLGNJF-CNPNZBRZSA-N 0 0 439.472 -0.010 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000790240467 1073792326 /nfs/dbraw/zinc/79/23/26/1073792326.db2.gz UZLOQCHWDLGNJF-HUOBKULFSA-N 0 0 439.472 -0.010 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000790240468 1073792217 /nfs/dbraw/zinc/79/22/17/1073792217.db2.gz UZLOQCHWDLGNJF-IPBCSKJDSA-N 0 0 439.472 -0.010 20 0 IBADRN NC(=O)c1ccc(C=CC(=O)N2CCC[C@@H]2C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000790240469 1073792390 /nfs/dbraw/zinc/79/23/90/1073792390.db2.gz UZLOQCHWDLGNJF-KQTKLMRJSA-N 0 0 439.472 -0.010 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)NN1C(=O)NC2(CCCCC2)C1=O ZINC000790240617 1073792305 /nfs/dbraw/zinc/79/23/05/1073792305.db2.gz JBGRSRZHCFEMIK-UHFFFAOYSA-N 0 0 437.434 -0.472 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NN3C(=O)NC4(CCCCC4)C3=O)CC2=O)c1 ZINC000790240638 1073792365 /nfs/dbraw/zinc/79/23/65/1073792365.db2.gz JILYZUCHFSPANL-GFCCVEGCSA-N 0 0 449.489 -0.027 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NN3C(=O)NC4(CCCCC4)C3=O)CC2=O)c1 ZINC000790240639 1073792276 /nfs/dbraw/zinc/79/22/76/1073792276.db2.gz JILYZUCHFSPANL-LBPRGKRZSA-N 0 0 449.489 -0.027 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000790259494 1073792233 /nfs/dbraw/zinc/79/22/33/1073792233.db2.gz CRZYZXUSNRHSSR-HNNXBMFYSA-N 0 0 436.513 -0.093 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000790259500 1073792334 /nfs/dbraw/zinc/79/23/34/1073792334.db2.gz CRZYZXUSNRHSSR-OAHLLOKOSA-N 0 0 436.513 -0.093 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000790269234 1073793631 /nfs/dbraw/zinc/79/36/31/1073793631.db2.gz ZHVMAYSZSGXNEI-INIZCTEOSA-N 0 0 428.486 -0.112 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@@H]1CCN(Cc2ccc(F)cc2)C1=O ZINC000790269235 1073793489 /nfs/dbraw/zinc/79/34/89/1073793489.db2.gz ZHVMAYSZSGXNEI-MRXNPFEDSA-N 0 0 428.486 -0.112 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790290093 1073792955 /nfs/dbraw/zinc/79/29/55/1073792955.db2.gz MKUJNRONMGEMSP-UHFFFAOYSA-N 0 0 442.561 -0.225 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790290671 1073793013 /nfs/dbraw/zinc/79/30/13/1073793013.db2.gz NVFMMKOZCUFCMA-UHFFFAOYSA-N 0 0 427.546 -0.066 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C(=O)NCc2ccc(C(N)=O)nc2)CC1 ZINC000790291361 1073792909 /nfs/dbraw/zinc/79/29/09/1073792909.db2.gz RDPDQVBDWPVIGN-UHFFFAOYSA-N 0 0 448.524 -0.144 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)CC1 ZINC000790291651 1073793053 /nfs/dbraw/zinc/79/30/53/1073793053.db2.gz UPRBTGBXUFJDIU-UHFFFAOYSA-N 0 0 437.541 -0.121 20 0 IBADRN C[C@@H](CN1CCOCC1)NC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790295212 1073793427 /nfs/dbraw/zinc/79/34/27/1073793427.db2.gz GDIDGHYZIDYFIS-KRWDZBQOSA-N 0 0 441.573 -0.118 20 0 IBADRN C[C@H](CN1CCOCC1)NC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790295213 1073793567 /nfs/dbraw/zinc/79/35/67/1073793567.db2.gz GDIDGHYZIDYFIS-QGZVFWFLSA-N 0 0 441.573 -0.118 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000790295221 1073793506 /nfs/dbraw/zinc/79/35/06/1073793506.db2.gz GJXCBZYFCYRCHR-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000790295222 1073793457 /nfs/dbraw/zinc/79/34/57/1073793457.db2.gz GJXCBZYFCYRCHR-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000790295242 1073793674 /nfs/dbraw/zinc/79/36/74/1073793674.db2.gz GYHZPPRYBQOGCU-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000790295243 1073793399 /nfs/dbraw/zinc/79/33/99/1073793399.db2.gz GYHZPPRYBQOGCU-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)CC1 ZINC000790295704 1073792938 /nfs/dbraw/zinc/79/29/38/1073792938.db2.gz ICIHRPTVZMPYBL-FQEVSTJZSA-N 0 0 425.530 -0.231 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)CC1 ZINC000790295730 1073793089 /nfs/dbraw/zinc/79/30/89/1073793089.db2.gz ICIHRPTVZMPYBL-HXUWFJFHSA-N 0 0 425.530 -0.231 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC000790296408 1073793603 /nfs/dbraw/zinc/79/36/03/1073793603.db2.gz KYHIYELHFXAEAC-AWEZNQCLSA-N 0 0 434.559 -0.405 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC000790296410 1073793393 /nfs/dbraw/zinc/79/33/93/1073793393.db2.gz KYHIYELHFXAEAC-CQSZACIVSA-N 0 0 434.559 -0.405 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790296484 1073793473 /nfs/dbraw/zinc/79/34/73/1073793473.db2.gz MXTYXYFIWMXCOZ-UHFFFAOYSA-N 0 0 441.573 -0.164 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C(=O)NCc2cc(C(N)=O)no2)CC1 ZINC000790296566 1073793520 /nfs/dbraw/zinc/79/35/20/1073793520.db2.gz ONIWILLUEYCWNW-UHFFFAOYSA-N 0 0 438.485 -0.551 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC000790297461 1073793643 /nfs/dbraw/zinc/79/36/43/1073793643.db2.gz RYMSNMXBXKQQHR-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790298130 1073795682 /nfs/dbraw/zinc/79/56/82/1073795682.db2.gz WSFQEGDIPDYAIU-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790298131 1073796459 /nfs/dbraw/zinc/79/64/59/1073796459.db2.gz WSFQEGDIPDYAIU-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790298160 1073795715 /nfs/dbraw/zinc/79/57/15/1073795715.db2.gz XRWWNMLMRXWUJI-HNNXBMFYSA-N 0 0 448.586 -0.063 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790298161 1073795833 /nfs/dbraw/zinc/79/58/33/1073795833.db2.gz XRWWNMLMRXWUJI-OAHLLOKOSA-N 0 0 448.586 -0.063 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(c4cccnc4)=NO3)CC2)o1 ZINC000790299206 1073796230 /nfs/dbraw/zinc/79/62/30/1073796230.db2.gz MIVJOIZOXMLLRI-HNNXBMFYSA-N 0 0 433.446 -0.200 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(c4cccnc4)=NO3)CC2)o1 ZINC000790299207 1073796273 /nfs/dbraw/zinc/79/62/73/1073796273.db2.gz MIVJOIZOXMLLRI-OAHLLOKOSA-N 0 0 433.446 -0.200 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000790303942 1073796587 /nfs/dbraw/zinc/79/65/87/1073796587.db2.gz FIEGZYUKBCKFNP-UHFFFAOYSA-N 0 0 441.573 -0.164 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)CC1 ZINC000790304010 1073796485 /nfs/dbraw/zinc/79/64/85/1073796485.db2.gz GEXRAIYJWDEYLX-KRWDZBQOSA-N 0 0 439.557 -0.412 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)CC1 ZINC000790304013 1073796189 /nfs/dbraw/zinc/79/61/89/1073796189.db2.gz GEXRAIYJWDEYLX-QGZVFWFLSA-N 0 0 439.557 -0.412 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CC(=O)N1C ZINC000790304394 1073796622 /nfs/dbraw/zinc/79/66/22/1073796622.db2.gz HMXNJRSVWKBUCQ-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CC(=O)N1C ZINC000790304399 1073796254 /nfs/dbraw/zinc/79/62/54/1073796254.db2.gz HMXNJRSVWKBUCQ-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CN(C)CCO1 ZINC000790304471 1073796307 /nfs/dbraw/zinc/79/63/07/1073796307.db2.gz IHCUPASQRDWZJQ-DLBZAZTESA-N 0 0 441.573 -0.119 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CN(C)CCO1 ZINC000790304481 1073796245 /nfs/dbraw/zinc/79/62/45/1073796245.db2.gz IHCUPASQRDWZJQ-IAGOWNOFSA-N 0 0 441.573 -0.119 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CN(C)CCO1 ZINC000790304482 1073796318 /nfs/dbraw/zinc/79/63/18/1073796318.db2.gz IHCUPASQRDWZJQ-IRXDYDNUSA-N 0 0 441.573 -0.119 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CN(C)CCO1 ZINC000790304483 1073796223 /nfs/dbraw/zinc/79/62/23/1073796223.db2.gz IHCUPASQRDWZJQ-SJORKVTESA-N 0 0 441.573 -0.119 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790304500 1073796432 /nfs/dbraw/zinc/79/64/32/1073796432.db2.gz ISDYNRAOYQZRKC-UHFFFAOYSA-N 0 0 434.559 -0.452 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)C[C@@H](CO)O1 ZINC000790304983 1073796608 /nfs/dbraw/zinc/79/66/08/1073796608.db2.gz MEKPGTOITIBSRB-CVEARBPZSA-N 0 0 428.530 -0.346 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)C[C@@H](CO)O1 ZINC000790304984 1073796355 /nfs/dbraw/zinc/79/63/55/1073796355.db2.gz MEKPGTOITIBSRB-HOTGVXAUSA-N 0 0 428.530 -0.346 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)C[C@H](CO)O1 ZINC000790304985 1073796568 /nfs/dbraw/zinc/79/65/68/1073796568.db2.gz MEKPGTOITIBSRB-HZPDHXFCSA-N 0 0 428.530 -0.346 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)C[C@H](CO)O1 ZINC000790304986 1073796330 /nfs/dbraw/zinc/79/63/30/1073796330.db2.gz MEKPGTOITIBSRB-JKSUJKDBSA-N 0 0 428.530 -0.346 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC000790307715 1073796939 /nfs/dbraw/zinc/79/69/39/1073796939.db2.gz WSAOKOKNAGZPTA-UHFFFAOYSA-N 0 0 435.529 -0.012 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790307769 1073797094 /nfs/dbraw/zinc/79/70/94/1073797094.db2.gz XWTXOIJPJVLLTG-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790307772 1073797021 /nfs/dbraw/zinc/79/70/21/1073797021.db2.gz XWTXOIJPJVLLTG-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CCC1=O ZINC000790307777 1073797042 /nfs/dbraw/zinc/79/70/42/1073797042.db2.gz YANRTCBIMORZSU-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CCC1=O ZINC000790307778 1073797067 /nfs/dbraw/zinc/79/70/67/1073797067.db2.gz YANRTCBIMORZSU-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790307864 1073796284 /nfs/dbraw/zinc/79/62/84/1073796284.db2.gz ZLYTYPJWIBAZEJ-KRWDZBQOSA-N 0 0 441.573 -0.118 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790307866 1073796925 /nfs/dbraw/zinc/79/69/25/1073796925.db2.gz ZLYTYPJWIBAZEJ-QGZVFWFLSA-N 0 0 441.573 -0.118 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790308361 1073797087 /nfs/dbraw/zinc/79/70/87/1073797087.db2.gz DVBPYBMGNZKVME-UHFFFAOYSA-N 0 0 434.559 -0.452 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790308946 1073796956 /nfs/dbraw/zinc/79/69/56/1073796956.db2.gz HDYMNHZSHUNKCZ-UHFFFAOYSA-N 0 0 428.534 -0.615 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCc2ccc(C(N)=O)nc2)CC1 ZINC000790308956 1073797108 /nfs/dbraw/zinc/79/71/08/1073797108.db2.gz HNYDKGMCYHZGLZ-UHFFFAOYSA-N 0 0 434.497 -0.534 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000790308965 1073797054 /nfs/dbraw/zinc/79/70/54/1073797054.db2.gz HTEUJDTXCPQXOG-UHFFFAOYSA-N 0 0 447.540 -0.206 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000790309440 1073797479 /nfs/dbraw/zinc/79/74/79/1073797479.db2.gz KCNXTAQLHGMGHL-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000790309441 1073797727 /nfs/dbraw/zinc/79/77/27/1073797727.db2.gz KCNXTAQLHGMGHL-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000790309487 1073797465 /nfs/dbraw/zinc/79/74/65/1073797465.db2.gz LPVSPBLUNFYNLE-UHFFFAOYSA-N 0 0 447.540 -0.206 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)CC1 ZINC000790309823 1073796965 /nfs/dbraw/zinc/79/69/65/1073796965.db2.gz CVYPRLWJFNECJW-CVEARBPZSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)CC1 ZINC000790309824 1073797001 /nfs/dbraw/zinc/79/70/01/1073797001.db2.gz CVYPRLWJFNECJW-HOTGVXAUSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)CC1 ZINC000790309825 1073797078 /nfs/dbraw/zinc/79/70/78/1073797078.db2.gz CVYPRLWJFNECJW-HZPDHXFCSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)CC1 ZINC000790309826 1073797101 /nfs/dbraw/zinc/79/71/01/1073797101.db2.gz CVYPRLWJFNECJW-JKSUJKDBSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)CC1 ZINC000790309829 1073797034 /nfs/dbraw/zinc/79/70/34/1073797034.db2.gz CYNDUJCPIBJECR-FQEVSTJZSA-N 0 0 426.514 -0.327 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)CC1 ZINC000790309830 1073797118 /nfs/dbraw/zinc/79/71/18/1073797118.db2.gz CYNDUJCPIBJECR-HXUWFJFHSA-N 0 0 426.514 -0.327 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000790309835 1073797008 /nfs/dbraw/zinc/79/70/08/1073797008.db2.gz DBUWVLJPMQANJZ-UHFFFAOYSA-N 0 0 441.529 -0.044 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCN3CCN(C(=O)OC(C)(C)C)CC3)CC2)cn1 ZINC000790309838 1073797062 /nfs/dbraw/zinc/79/70/62/1073797062.db2.gz MYEUKLPAJAMFEK-UHFFFAOYSA-N 0 0 449.556 -0.262 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)n1C ZINC000790309861 1073796985 /nfs/dbraw/zinc/79/69/85/1073796985.db2.gz NHRLVPGFTXCYLH-UHFFFAOYSA-N 0 0 437.545 -0.229 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000790309933 1073797110 /nfs/dbraw/zinc/79/71/10/1073797110.db2.gz PLMWDLQVOAWAII-UHFFFAOYSA-N 0 0 439.557 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCCNC(=O)C(C)(C)C)CC1 ZINC000790310233 1073797707 /nfs/dbraw/zinc/79/77/07/1073797707.db2.gz TXXNTQPXFALLAT-UHFFFAOYSA-N 0 0 427.546 -0.066 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000790310243 1073797599 /nfs/dbraw/zinc/79/75/99/1073797599.db2.gz UEYGRUDMVLRYFG-INIZCTEOSA-N 0 0 441.529 -0.981 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000790310245 1073797762 /nfs/dbraw/zinc/79/77/62/1073797762.db2.gz UEYGRUDMVLRYFG-MRXNPFEDSA-N 0 0 441.529 -0.981 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCCN2CCSCC2)CC1 ZINC000790310618 1073797742 /nfs/dbraw/zinc/79/77/42/1073797742.db2.gz WQFPWYSOZCADLK-UHFFFAOYSA-N 0 0 429.587 -0.180 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)CC1 ZINC000790310620 1073797756 /nfs/dbraw/zinc/79/77/56/1073797756.db2.gz WTIPLHZZXYHYOX-UHFFFAOYSA-N 0 0 446.570 -0.309 20 0 IBADRN COCCN(C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CCOC1 ZINC000790310624 1073797734 /nfs/dbraw/zinc/79/77/34/1073797734.db2.gz WYSNYNVXZXKRMG-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN COCCN(C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CCOC1 ZINC000790310625 1073797489 /nfs/dbraw/zinc/79/74/89/1073797489.db2.gz WYSNYNVXZXKRMG-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000790310886 1073797718 /nfs/dbraw/zinc/79/77/18/1073797718.db2.gz XXNQFMFTCQYIID-HNNXBMFYSA-N 0 0 425.530 -0.219 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000790310888 1073797550 /nfs/dbraw/zinc/79/75/50/1073797550.db2.gz XXNQFMFTCQYIID-OAHLLOKOSA-N 0 0 425.530 -0.219 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC000790311071 1073797583 /nfs/dbraw/zinc/79/75/83/1073797583.db2.gz DRRPAAHZFDYBBR-UHFFFAOYSA-N 0 0 439.557 -0.018 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CCCO1 ZINC000790311152 1073794256 /nfs/dbraw/zinc/79/42/56/1073794256.db2.gz FHSRNEBWIOINNF-CVEARBPZSA-N 0 0 428.530 -0.035 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CCCO1 ZINC000790311161 1073794305 /nfs/dbraw/zinc/79/43/05/1073794305.db2.gz FHSRNEBWIOINNF-HOTGVXAUSA-N 0 0 428.530 -0.035 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CCCO1 ZINC000790311168 1073794130 /nfs/dbraw/zinc/79/41/30/1073794130.db2.gz FHSRNEBWIOINNF-HZPDHXFCSA-N 0 0 428.530 -0.035 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CCCO1 ZINC000790311172 1073794243 /nfs/dbraw/zinc/79/42/43/1073794243.db2.gz FHSRNEBWIOINNF-JKSUJKDBSA-N 0 0 428.530 -0.035 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000790311329 1073794178 /nfs/dbraw/zinc/79/41/78/1073794178.db2.gz GGRTWKLVUJAKOY-UHFFFAOYSA-N 0 0 428.530 -0.345 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C[C@@H](C)O1 ZINC000790311336 1073794190 /nfs/dbraw/zinc/79/41/90/1073794190.db2.gz GLLXKRJMEHSRIA-CVEARBPZSA-N 0 0 428.530 -0.082 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC000790311337 1073794167 /nfs/dbraw/zinc/79/41/67/1073794167.db2.gz GLLXKRJMEHSRIA-HOTGVXAUSA-N 0 0 428.530 -0.082 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C[C@@H](C)O1 ZINC000790311338 1073794150 /nfs/dbraw/zinc/79/41/50/1073794150.db2.gz GLLXKRJMEHSRIA-HZPDHXFCSA-N 0 0 428.530 -0.082 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC000790311339 1073794218 /nfs/dbraw/zinc/79/42/18/1073794218.db2.gz GLLXKRJMEHSRIA-JKSUJKDBSA-N 0 0 428.530 -0.082 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790311356 1073794312 /nfs/dbraw/zinc/79/43/12/1073794312.db2.gz HCVITHQRHHCZME-CABCVRRESA-N 0 0 448.586 -0.065 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790311357 1073794266 /nfs/dbraw/zinc/79/42/66/1073794266.db2.gz HCVITHQRHHCZME-GJZGRUSLSA-N 0 0 448.586 -0.065 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790311358 1073794224 /nfs/dbraw/zinc/79/42/24/1073794224.db2.gz HCVITHQRHHCZME-HUUCEWRRSA-N 0 0 448.586 -0.065 20 0 IBADRN C[C@H]([C@H](C)N(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC000790311359 1073794297 /nfs/dbraw/zinc/79/42/97/1073794297.db2.gz HCVITHQRHHCZME-LSDHHAIUSA-N 0 0 448.586 -0.065 20 0 IBADRN CCN(C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H](C)CS(C)(=O)=O ZINC000790311605 1073793621 /nfs/dbraw/zinc/79/36/21/1073793621.db2.gz IYWPUZTVDILKTI-HNNXBMFYSA-N 0 0 448.586 -0.063 20 0 IBADRN CCN(C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H](C)CS(C)(=O)=O ZINC000790311606 1073793452 /nfs/dbraw/zinc/79/34/52/1073793452.db2.gz IYWPUZTVDILKTI-OAHLLOKOSA-N 0 0 448.586 -0.063 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790311629 1073793386 /nfs/dbraw/zinc/79/33/86/1073793386.db2.gz JUBLTMGULQZFAZ-UHFFFAOYSA-N 0 0 427.546 -0.554 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)N1CCOCC1 ZINC000790311650 1073794235 /nfs/dbraw/zinc/79/42/35/1073794235.db2.gz KBYDRBYCLSNBRA-DLBZAZTESA-N 0 0 441.573 -0.119 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H](C)N1CCOCC1 ZINC000790311651 1073794208 /nfs/dbraw/zinc/79/42/08/1073794208.db2.gz KBYDRBYCLSNBRA-IAGOWNOFSA-N 0 0 441.573 -0.119 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H](C)N1CCOCC1 ZINC000790311652 1073794201 /nfs/dbraw/zinc/79/42/01/1073794201.db2.gz KBYDRBYCLSNBRA-IRXDYDNUSA-N 0 0 441.573 -0.119 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H](C)N1CCOCC1 ZINC000790311653 1073794117 /nfs/dbraw/zinc/79/41/17/1073794117.db2.gz KBYDRBYCLSNBRA-SJORKVTESA-N 0 0 441.573 -0.119 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N(CCO)C2CCOCC2)CC1 ZINC000790311994 1073797753 /nfs/dbraw/zinc/79/77/53/1073797753.db2.gz LOFNIZFHQZKLRN-UHFFFAOYSA-N 0 0 428.530 -0.345 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790312006 1073797737 /nfs/dbraw/zinc/79/77/37/1073797737.db2.gz LWHWIZGYKVFJKE-AWEZNQCLSA-N 0 0 434.559 -0.453 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790312007 1073797568 /nfs/dbraw/zinc/79/75/68/1073797568.db2.gz LWHWIZGYKVFJKE-CQSZACIVSA-N 0 0 434.559 -0.453 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000790312453 1073794616 /nfs/dbraw/zinc/79/46/16/1073794616.db2.gz ONRDJLRGECWAJE-HNNXBMFYSA-N 0 0 437.541 -0.218 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000790312454 1073794685 /nfs/dbraw/zinc/79/46/85/1073794685.db2.gz ONRDJLRGECWAJE-OAHLLOKOSA-N 0 0 437.541 -0.218 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC000790312507 1073794507 /nfs/dbraw/zinc/79/45/07/1073794507.db2.gz PRFITUVNRFPEJR-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)CC1 ZINC000790312524 1073794607 /nfs/dbraw/zinc/79/46/07/1073794607.db2.gz QBIQRJUHLICILW-HNNXBMFYSA-N 0 0 425.530 -0.231 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)CC1 ZINC000790312525 1073794511 /nfs/dbraw/zinc/79/45/11/1073794511.db2.gz QBIQRJUHLICILW-OAHLLOKOSA-N 0 0 425.530 -0.231 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000790312793 1073794277 /nfs/dbraw/zinc/79/42/77/1073794277.db2.gz RTMRBWONMXDMCJ-UHFFFAOYSA-N 0 0 441.573 -0.164 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCCN2CCOC(C)(C)C2)CC1 ZINC000790312824 1073794097 /nfs/dbraw/zinc/79/40/97/1073794097.db2.gz SFFJPUCHWSZILX-UHFFFAOYSA-N 0 0 441.573 -0.118 20 0 IBADRN COC1(CNC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CCOCC1 ZINC000790312936 1073794106 /nfs/dbraw/zinc/79/41/06/1073794106.db2.gz VBEGPXILIGUCOC-UHFFFAOYSA-N 0 0 428.530 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCSC[C@@H]2CCO)CC1 ZINC000790313175 1073794518 /nfs/dbraw/zinc/79/45/18/1073794518.db2.gz VXXSNJIHPDEUPV-HNNXBMFYSA-N 0 0 430.571 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCSC[C@H]2CCO)CC1 ZINC000790313176 1073794694 /nfs/dbraw/zinc/79/46/94/1073794694.db2.gz VXXSNJIHPDEUPV-OAHLLOKOSA-N 0 0 430.571 -0.018 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790323254 1073794571 /nfs/dbraw/zinc/79/45/71/1073794571.db2.gz AMRDDVPCLCFDMN-UHFFFAOYSA-N 0 0 427.546 -0.066 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000790323476 1073794662 /nfs/dbraw/zinc/79/46/62/1073794662.db2.gz CEUOKGWIJOPFES-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCCN2CCOCC2(C)C)CC1 ZINC000790324158 1073795356 /nfs/dbraw/zinc/79/53/56/1073795356.db2.gz FDSVFYOMKAMSRQ-UHFFFAOYSA-N 0 0 441.573 -0.118 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CN(C)CCO1 ZINC000790324234 1073795254 /nfs/dbraw/zinc/79/52/54/1073795254.db2.gz FZQNXEUMBXGCJS-CVEARBPZSA-N 0 0 427.546 -0.509 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CN(C)CCO1 ZINC000790324237 1073795149 /nfs/dbraw/zinc/79/51/49/1073795149.db2.gz FZQNXEUMBXGCJS-HOTGVXAUSA-N 0 0 427.546 -0.509 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CN(C)CCO1 ZINC000790324239 1073795319 /nfs/dbraw/zinc/79/53/19/1073795319.db2.gz FZQNXEUMBXGCJS-HZPDHXFCSA-N 0 0 427.546 -0.509 20 0 IBADRN C[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CN(C)CCO1 ZINC000790324241 1073795228 /nfs/dbraw/zinc/79/52/28/1073795228.db2.gz FZQNXEUMBXGCJS-JKSUJKDBSA-N 0 0 427.546 -0.509 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)n1 ZINC000790324288 1073795132 /nfs/dbraw/zinc/79/51/32/1073795132.db2.gz GMSOOTOGSYADJN-UHFFFAOYSA-N 0 0 435.529 -0.172 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790324299 1073795126 /nfs/dbraw/zinc/79/51/26/1073795126.db2.gz GQLIBMMSUVBEDF-UHFFFAOYSA-N 0 0 448.586 -0.063 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000790324839 1073794555 /nfs/dbraw/zinc/79/45/55/1073794555.db2.gz HZWSXBXVUXSNGV-FQEVSTJZSA-N 0 0 425.530 -0.360 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000790324840 1073794749 /nfs/dbraw/zinc/79/47/49/1073794749.db2.gz HZWSXBXVUXSNGV-HXUWFJFHSA-N 0 0 425.530 -0.360 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790324847 1073794542 /nfs/dbraw/zinc/79/45/42/1073794542.db2.gz IKTXRZXZKXKYDT-CVEARBPZSA-N 0 0 428.530 -0.035 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790324848 1073794675 /nfs/dbraw/zinc/79/46/75/1073794675.db2.gz IKTXRZXZKXKYDT-HOTGVXAUSA-N 0 0 428.530 -0.035 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790324849 1073794563 /nfs/dbraw/zinc/79/45/63/1073794563.db2.gz IKTXRZXZKXKYDT-HZPDHXFCSA-N 0 0 428.530 -0.035 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790324850 1073795346 /nfs/dbraw/zinc/79/53/46/1073795346.db2.gz IKTXRZXZKXKYDT-JKSUJKDBSA-N 0 0 428.530 -0.035 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@@H](CNC(N)=O)C2)CC1 ZINC000790324860 1073795136 /nfs/dbraw/zinc/79/51/36/1073795136.db2.gz IUPFHVQDUBSKCL-HNNXBMFYSA-N 0 0 440.545 -0.438 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@H](CNC(N)=O)C2)CC1 ZINC000790324861 1073795186 /nfs/dbraw/zinc/79/51/86/1073795186.db2.gz IUPFHVQDUBSKCL-OAHLLOKOSA-N 0 0 440.545 -0.438 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000790326131 1073795199 /nfs/dbraw/zinc/79/51/99/1073795199.db2.gz PQCCQFHYURTAEL-UHFFFAOYSA-N 0 0 427.546 -0.554 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790326199 1073795168 /nfs/dbraw/zinc/79/51/68/1073795168.db2.gz QNYMCVUWKCMQID-CABCVRRESA-N 0 0 425.530 -0.314 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790326200 1073795193 /nfs/dbraw/zinc/79/51/93/1073795193.db2.gz QNYMCVUWKCMQID-GJZGRUSLSA-N 0 0 425.530 -0.314 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790326201 1073795310 /nfs/dbraw/zinc/79/53/10/1073795310.db2.gz QNYMCVUWKCMQID-HUUCEWRRSA-N 0 0 425.530 -0.314 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790326202 1073795143 /nfs/dbraw/zinc/79/51/43/1073795143.db2.gz QNYMCVUWKCMQID-LSDHHAIUSA-N 0 0 425.530 -0.314 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)CN1CCOCC1 ZINC000790326568 1073795292 /nfs/dbraw/zinc/79/52/92/1073795292.db2.gz RKYKKPYPLGWSLO-KRWDZBQOSA-N 0 0 441.573 -0.260 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)CN1CCOCC1 ZINC000790326569 1073795172 /nfs/dbraw/zinc/79/51/72/1073795172.db2.gz RKYKKPYPLGWSLO-QGZVFWFLSA-N 0 0 441.573 -0.260 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCN(CC(C)(C)O)CC2)CC1 ZINC000790326575 1073795113 /nfs/dbraw/zinc/79/51/13/1073795113.db2.gz BLBWKOJLJIHVAH-UHFFFAOYSA-N 0 0 441.573 -0.430 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCN(c3cccnn3)CC2)CC1 ZINC000790326659 1073795280 /nfs/dbraw/zinc/79/52/80/1073795280.db2.gz SBQYCBTYEZJCFN-UHFFFAOYSA-N 0 0 447.540 -0.206 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000790327201 1073795739 /nfs/dbraw/zinc/79/57/39/1073795739.db2.gz VQOOSGPRXPWTFO-UHFFFAOYSA-N 0 0 428.530 -0.345 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)[C@@H](C)C1 ZINC000790327202 1073795781 /nfs/dbraw/zinc/79/57/81/1073795781.db2.gz VRLIAULUMVSDFV-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)[C@H](C)C1 ZINC000790327203 1073795802 /nfs/dbraw/zinc/79/58/02/1073795802.db2.gz VRLIAULUMVSDFV-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790327311 1073795788 /nfs/dbraw/zinc/79/57/88/1073795788.db2.gz WEBQMLKYMARZSM-KRWDZBQOSA-N 0 0 441.573 -0.166 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790327312 1073795731 /nfs/dbraw/zinc/79/57/31/1073795731.db2.gz WEBQMLKYMARZSM-QGZVFWFLSA-N 0 0 441.573 -0.166 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790327327 1073795795 /nfs/dbraw/zinc/79/57/95/1073795795.db2.gz WSFMOBTYBWAIMS-INIZCTEOSA-N 0 0 427.546 -0.508 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790327328 1073795762 /nfs/dbraw/zinc/79/57/62/1073795762.db2.gz WSFMOBTYBWAIMS-MRXNPFEDSA-N 0 0 427.546 -0.508 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790327761 1073795675 /nfs/dbraw/zinc/79/56/75/1073795675.db2.gz LPGZJDTUCWEPAD-CVEARBPZSA-N 0 0 428.530 -0.035 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790327762 1073795756 /nfs/dbraw/zinc/79/57/56/1073795756.db2.gz LPGZJDTUCWEPAD-HOTGVXAUSA-N 0 0 428.530 -0.035 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790327764 1073795747 /nfs/dbraw/zinc/79/57/47/1073795747.db2.gz LPGZJDTUCWEPAD-HZPDHXFCSA-N 0 0 428.530 -0.035 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000790327765 1073795823 /nfs/dbraw/zinc/79/58/23/1073795823.db2.gz LPGZJDTUCWEPAD-JKSUJKDBSA-N 0 0 428.530 -0.035 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCc2nnc3n2CCCC3)CC1 ZINC000790327801 1073795368 /nfs/dbraw/zinc/79/53/68/1073795368.db2.gz XSHDLYPMRPQALI-UHFFFAOYSA-N 0 0 435.529 -0.101 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)NCC2(N3CCOCC3)CC2)CC1 ZINC000790327860 1073795708 /nfs/dbraw/zinc/79/57/08/1073795708.db2.gz ZHGHWELBBXYMGI-UHFFFAOYSA-N 0 0 439.557 -0.364 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCN3CCOC[C@@H]3C2)CC1 ZINC000790327880 1073795698 /nfs/dbraw/zinc/79/56/98/1073795698.db2.gz ZOOPJPYWQIGOBD-INIZCTEOSA-N 0 0 425.530 -0.802 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCN3CCOC[C@H]3C2)CC1 ZINC000790327887 1073795664 /nfs/dbraw/zinc/79/56/64/1073795664.db2.gz ZOOPJPYWQIGOBD-MRXNPFEDSA-N 0 0 425.530 -0.802 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@H](S(C)(=O)=O)C2)CC1 ZINC000790329192 1073795770 /nfs/dbraw/zinc/79/57/70/1073795770.db2.gz WSSLVBCIUGDLNY-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@@H](S(C)(=O)=O)C2)CC1 ZINC000790329193 1073795809 /nfs/dbraw/zinc/79/58/09/1073795809.db2.gz WSSLVBCIUGDLNY-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CC(OC(=O)Nc3cccc(F)c3)C2)CC1 ZINC000790347723 1073798217 /nfs/dbraw/zinc/79/82/17/1073798217.db2.gz MRFPUMVFFLPWMY-UHFFFAOYSA-N 0 0 436.487 -0.120 20 0 IBADRN Cc1nc(CS(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000790349225 1073798156 /nfs/dbraw/zinc/79/81/56/1073798156.db2.gz TVRIMHVGOYQBLP-CYBMUJFWSA-N 0 0 443.594 -0.584 20 0 IBADRN Cc1nc(CS(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000790349227 1073798093 /nfs/dbraw/zinc/79/80/93/1073798093.db2.gz TVRIMHVGOYQBLP-ZDUSSCGKSA-N 0 0 443.594 -0.584 20 0 IBADRN O=C([C@H]1CC(c2cccnc2)=NO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000790359082 1073798191 /nfs/dbraw/zinc/79/81/91/1073798191.db2.gz LEPRWXLEKHHGKQ-GDBMZVCRSA-N 0 0 442.519 -0.764 20 0 IBADRN O=C([C@H]1CC(c2cccnc2)=NO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000790359086 1073798064 /nfs/dbraw/zinc/79/80/64/1073798064.db2.gz LEPRWXLEKHHGKQ-GOEBONIOSA-N 0 0 442.519 -0.764 20 0 IBADRN O=C([C@@H]1CC(c2cccnc2)=NO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000790359088 1073798127 /nfs/dbraw/zinc/79/81/27/1073798127.db2.gz LEPRWXLEKHHGKQ-HOCLYGCPSA-N 0 0 442.519 -0.764 20 0 IBADRN O=C([C@@H]1CC(c2cccnc2)=NO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000790359131 1073798039 /nfs/dbraw/zinc/79/80/39/1073798039.db2.gz LEPRWXLEKHHGKQ-ZBFHGGJFSA-N 0 0 442.519 -0.764 20 0 IBADRN CCc1nn(C)c(CC)c1CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000790360081 1073798182 /nfs/dbraw/zinc/79/81/82/1073798182.db2.gz YLHOYNSTXLRWNU-AWEZNQCLSA-N 0 0 446.595 -0.252 20 0 IBADRN CCc1nn(C)c(CC)c1CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000790360083 1073798164 /nfs/dbraw/zinc/79/81/64/1073798164.db2.gz YLHOYNSTXLRWNU-CQSZACIVSA-N 0 0 446.595 -0.252 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCc3c(cccc3S(=O)(=O)NC)C2)CC1 ZINC000790409121 1073798826 /nfs/dbraw/zinc/79/88/26/1073798826.db2.gz JHEVGNLAWCFEMT-UHFFFAOYSA-N 0 0 446.551 -0.028 20 0 IBADRN COc1ccc2c(c1)C(=O)N(CN1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)C2 ZINC000790440364 1073799272 /nfs/dbraw/zinc/79/92/72/1073799272.db2.gz VAIFCSGGZHWWMN-INIZCTEOSA-N 0 0 443.547 -0.257 20 0 IBADRN COc1ccc2c(c1)C(=O)N(CN1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)C2 ZINC000790440367 1073799320 /nfs/dbraw/zinc/79/93/20/1073799320.db2.gz VAIFCSGGZHWWMN-MRXNPFEDSA-N 0 0 443.547 -0.257 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000790544272 1073799811 /nfs/dbraw/zinc/79/98/11/1073799811.db2.gz UTKFVFYYJLUNPP-FQEVSTJZSA-N 0 0 430.486 -0.107 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000790544275 1073799695 /nfs/dbraw/zinc/79/96/95/1073799695.db2.gz UTKFVFYYJLUNPP-HXUWFJFHSA-N 0 0 430.486 -0.107 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)NCC1(c2ccccc2)CCOCC1)NC(C)=O ZINC000790621622 1073800124 /nfs/dbraw/zinc/80/01/24/1073800124.db2.gz BIKVWUIFDBSHQQ-KRWDZBQOSA-N 0 0 440.518 -0.057 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)Cc1nnnn1-c1ccc(OC(F)F)cc1)NC(C)=O ZINC000790621703 1073800318 /nfs/dbraw/zinc/80/03/18/1073800318.db2.gz DUJDWOSVRZBDKU-LBPRGKRZSA-N 0 0 433.393 -0.144 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)N1CCc2cc(OC)c(OC)cc2C1)NC(C)=O ZINC000790622700 1073800447 /nfs/dbraw/zinc/80/04/47/1073800447.db2.gz NIXJFRDWPQDQQJ-HNNXBMFYSA-N 0 0 442.490 -0.319 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)Nc1cccc(C(=O)NC2CC2)c1)NC(C)=O ZINC000790622722 1073800300 /nfs/dbraw/zinc/80/03/00/1073800300.db2.gz NZTGVLCWMSELQW-HNNXBMFYSA-N 0 0 425.463 -0.390 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1)NC(C)=O ZINC000790622809 1073800471 /nfs/dbraw/zinc/80/04/71/1073800471.db2.gz DMBKDENVFXKDMZ-HOTGVXAUSA-N 0 0 430.454 -0.182 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1)NC(C)=O ZINC000790622812 1073800399 /nfs/dbraw/zinc/80/03/99/1073800399.db2.gz DMBKDENVFXKDMZ-JKSUJKDBSA-N 0 0 430.454 -0.182 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)N1CCN(c2ccc(F)cc2)CC1)NC(C)=O ZINC000790623412 1073800338 /nfs/dbraw/zinc/80/03/38/1073800338.db2.gz FJLJQQCGIPIOPK-INIZCTEOSA-N 0 0 429.470 -0.433 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)NCc1ccc(N2CCCC2=O)cc1)NC(C)=O ZINC000790623413 1073800196 /nfs/dbraw/zinc/80/01/96/1073800196.db2.gz FOJNOYDKWQBLPS-INIZCTEOSA-N 0 0 439.490 -0.478 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)Nc1ccccc1N1CCCC1=O)NC(C)=O ZINC000790623512 1073800110 /nfs/dbraw/zinc/80/01/10/1073800110.db2.gz JLWWCIPLXGKXFQ-AWEZNQCLSA-N 0 0 425.463 -0.156 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)Nc1cccc(N2CCCC2=O)c1)NC(C)=O ZINC000790624069 1073800282 /nfs/dbraw/zinc/80/02/82/1073800282.db2.gz LXYNMDPMHJELDZ-HNNXBMFYSA-N 0 0 425.463 -0.156 20 0 IBADRN CCN(Cc1ccc2c(c1)OCCO2)C(=O)CS(=O)(=O)C[C@H](NC(C)=O)C(=O)OC ZINC000790624074 1073800375 /nfs/dbraw/zinc/80/03/75/1073800375.db2.gz MFWKGISPNUSUKF-HNNXBMFYSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)[C@H](C)C(=O)N1CCN(c2ccccc2)CC1)NC(C)=O ZINC000790624881 1073800461 /nfs/dbraw/zinc/80/04/61/1073800461.db2.gz PVSWHJRQUNMBLV-PBHICJAKSA-N 0 0 425.507 -0.184 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)[C@@H](C)C(=O)N1CCN(c2ccccc2)CC1)NC(C)=O ZINC000790624885 1073800357 /nfs/dbraw/zinc/80/03/57/1073800357.db2.gz PVSWHJRQUNMBLV-YOEHRIQHSA-N 0 0 425.507 -0.184 20 0 IBADRN COC(=O)[C@H](CS(=O)(=O)CC(=O)N(C)CC(=O)Nc1ccc(C)cc1)NC(C)=O ZINC000790625410 1073800236 /nfs/dbraw/zinc/80/02/36/1073800236.db2.gz VWDDPDDJZKAOQN-HNNXBMFYSA-N 0 0 427.479 -0.516 20 0 IBADRN COC(=O)[C@H](C[S@@](=O)CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)NC(C)=O ZINC000790634881 1073799948 /nfs/dbraw/zinc/79/99/48/1073799948.db2.gz ITDWZQVHTJAGNN-PGGUUEOZSA-N 0 0 433.508 -0.698 20 0 IBADRN COC(=O)[C@H](C[S@](=O)CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)NC(C)=O ZINC000790634888 1073799730 /nfs/dbraw/zinc/79/97/30/1073799730.db2.gz ITDWZQVHTJAGNN-PWFNWSNSSA-N 0 0 433.508 -0.698 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(c3cccnc3)=NO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000790650749 1073800791 /nfs/dbraw/zinc/80/07/91/1073800791.db2.gz SBFSDOONTHKICH-DOTOQJQBSA-N 0 0 435.506 -0.978 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CC(c3cccnc3)=NO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000790650750 1073800938 /nfs/dbraw/zinc/80/09/38/1073800938.db2.gz SBFSDOONTHKICH-NVXWUHKLSA-N 0 0 435.506 -0.978 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(c3cccnc3)=NO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000790650751 1073800736 /nfs/dbraw/zinc/80/07/36/1073800736.db2.gz SBFSDOONTHKICH-RDJZCZTQSA-N 0 0 435.506 -0.978 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(c3cccnc3)=NO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000790650753 1073800981 /nfs/dbraw/zinc/80/09/81/1073800981.db2.gz SBFSDOONTHKICH-WBVHZDCISA-N 0 0 435.506 -0.978 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@H](C)[C@@H](C)C(=O)N2CCO[C@H](C(=O)OCC)C2)CCO1 ZINC000790663974 1073800972 /nfs/dbraw/zinc/80/09/72/1073800972.db2.gz NPRSZZHCNLAEBD-FPCVCCKLSA-N 0 0 428.482 -0.160 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@H](C)[C@H](C)C(=O)N2CCO[C@H](C(=O)OCC)C2)CCO1 ZINC000790663976 1073800898 /nfs/dbraw/zinc/80/08/98/1073800898.db2.gz NPRSZZHCNLAEBD-FZKCQIBNSA-N 0 0 428.482 -0.160 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@@H](C)[C@@H](C)C(=O)N2CCO[C@H](C(=O)OCC)C2)CCO1 ZINC000790663978 1073801335 /nfs/dbraw/zinc/80/13/35/1073801335.db2.gz NPRSZZHCNLAEBD-SYMSYNOKSA-N 0 0 428.482 -0.160 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@H](C)[C@@H](C)C(=O)N2CCO[C@H](C(=O)OCC)C2)CCO1 ZINC000790663981 1073801497 /nfs/dbraw/zinc/80/14/97/1073801497.db2.gz NPRSZZHCNLAEBD-WCVJEAGWSA-N 0 0 428.482 -0.160 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000790671212 1073800166 /nfs/dbraw/zinc/80/01/66/1073800166.db2.gz OCVZKANKZPUKNL-IBGZPJMESA-N 0 0 435.458 -0.621 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000790671215 1073800947 /nfs/dbraw/zinc/80/09/47/1073800947.db2.gz OCVZKANKZPUKNL-LJQANCHMSA-N 0 0 435.458 -0.621 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@@](C)(O)Cn2ccccc2=O)ccc1C ZINC000790673270 1073800715 /nfs/dbraw/zinc/80/07/15/1073800715.db2.gz IPAHPACPTIXKKT-IBGZPJMESA-N 0 0 437.474 -0.002 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@](C)(O)Cn2ccccc2=O)ccc1C ZINC000790673294 1073800917 /nfs/dbraw/zinc/80/09/17/1073800917.db2.gz IPAHPACPTIXKKT-LJQANCHMSA-N 0 0 437.474 -0.002 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000790676643 1073800875 /nfs/dbraw/zinc/80/08/75/1073800875.db2.gz LCQIYNOTDVAFCV-UHFFFAOYSA-N 0 0 431.478 -0.215 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000790678837 1073800758 /nfs/dbraw/zinc/80/07/58/1073800758.db2.gz NCILVJSFMFFMDT-RBZFPXEDSA-N 0 0 449.485 -0.232 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@](C)(O)Cn1ccccc1=O ZINC000790678840 1073800776 /nfs/dbraw/zinc/80/07/76/1073800776.db2.gz NCILVJSFMFFMDT-RNODOKPDSA-N 0 0 449.485 -0.232 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@@](C)(O)Cn1ccccc1=O ZINC000790678842 1073800857 /nfs/dbraw/zinc/80/08/57/1073800857.db2.gz NCILVJSFMFFMDT-XCLFUZPHSA-N 0 0 449.485 -0.232 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)[C@](C)(O)Cn1ccccc1=O ZINC000790678844 1073800955 /nfs/dbraw/zinc/80/09/55/1073800955.db2.gz NCILVJSFMFFMDT-ZUOKHONESA-N 0 0 449.485 -0.232 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@](C)(O)Cn1ccccc1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000790682751 1073802458 /nfs/dbraw/zinc/80/24/58/1073802458.db2.gz MWQICPOKTDCZIQ-IPELMVKDSA-N 0 0 428.507 -0.140 20 0 IBADRN CC(C)[C@H](OC(=O)[C@](C)(O)Cn1ccccc1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000790682752 1073802870 /nfs/dbraw/zinc/80/28/70/1073802870.db2.gz MWQICPOKTDCZIQ-KFKAGJAMSA-N 0 0 428.507 -0.140 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@](C)(O)Cn1ccccc1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000790682753 1073802955 /nfs/dbraw/zinc/80/29/55/1073802955.db2.gz MWQICPOKTDCZIQ-SNRMKQJTSA-N 0 0 428.507 -0.140 20 0 IBADRN CC(C)[C@H](OC(=O)[C@](C)(O)Cn1ccccc1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000790682754 1073802941 /nfs/dbraw/zinc/80/29/41/1073802941.db2.gz MWQICPOKTDCZIQ-ZYSHUDEJSA-N 0 0 428.507 -0.140 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c(=O)c1 ZINC000790684686 1073802897 /nfs/dbraw/zinc/80/28/97/1073802897.db2.gz HNMZFYGXBKHRAB-FQEVSTJZSA-N 0 0 431.449 -0.712 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c(=O)c1 ZINC000790684687 1073802967 /nfs/dbraw/zinc/80/29/67/1073802967.db2.gz HNMZFYGXBKHRAB-HXUWFJFHSA-N 0 0 431.449 -0.712 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)[C@@](C)(O)Cn1ccccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000790684874 1073802911 /nfs/dbraw/zinc/80/29/11/1073802911.db2.gz KZRTYKUUGSAKGX-DOXZYTNZSA-N 0 0 428.507 -0.043 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)[C@@](C)(O)Cn1ccccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790684876 1073802844 /nfs/dbraw/zinc/80/28/44/1073802844.db2.gz KZRTYKUUGSAKGX-KHYOSLBOSA-N 0 0 428.507 -0.043 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)[C@](C)(O)Cn1ccccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790684878 1073802832 /nfs/dbraw/zinc/80/28/32/1073802832.db2.gz KZRTYKUUGSAKGX-QMTMVMCOSA-N 0 0 428.507 -0.043 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)[C@](C)(O)Cn1ccccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000790684880 1073802769 /nfs/dbraw/zinc/80/27/69/1073802769.db2.gz KZRTYKUUGSAKGX-YZVOILCLSA-N 0 0 428.507 -0.043 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@@](C)(O)Cn1ccccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790685865 1073801301 /nfs/dbraw/zinc/80/13/01/1073801301.db2.gz RNKHBDOMZMEYAO-BEFAXECRSA-N 0 0 428.507 -0.186 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@](C)(O)Cn1ccccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790685866 1073801452 /nfs/dbraw/zinc/80/14/52/1073801452.db2.gz RNKHBDOMZMEYAO-DNVCBOLYSA-N 0 0 428.507 -0.186 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@](C)(O)Cn1ccccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000790685867 1073801252 /nfs/dbraw/zinc/80/12/52/1073801252.db2.gz RNKHBDOMZMEYAO-HNAYVOBHSA-N 0 0 428.507 -0.186 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@@](C)(O)Cn1ccccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000790685868 1073801466 /nfs/dbraw/zinc/80/14/66/1073801466.db2.gz RNKHBDOMZMEYAO-KXBFYZLASA-N 0 0 428.507 -0.186 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@](C)(O)Cn1ccc(C)cc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000790686192 1073803572 /nfs/dbraw/zinc/80/35/72/1073803572.db2.gz BRGDGQIWCRULAW-DOXZYTNZSA-N 0 0 428.507 -0.125 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@](C)(O)Cn1ccc(C)cc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790686194 1073803623 /nfs/dbraw/zinc/80/36/23/1073803623.db2.gz BRGDGQIWCRULAW-KHYOSLBOSA-N 0 0 428.507 -0.125 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@](C)(O)Cn1ccc(C)cc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790686196 1073803524 /nfs/dbraw/zinc/80/35/24/1073803524.db2.gz BRGDGQIWCRULAW-QMTMVMCOSA-N 0 0 428.507 -0.125 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@](C)(O)Cn1ccc(C)cc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000790686197 1073803633 /nfs/dbraw/zinc/80/36/33/1073803633.db2.gz BRGDGQIWCRULAW-YZVOILCLSA-N 0 0 428.507 -0.125 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)O[C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)c(=O)c1 ZINC000790686208 1073803614 /nfs/dbraw/zinc/80/36/14/1073803614.db2.gz BSEOBEDDSNTDOT-HRAATJIYSA-N 0 0 429.477 -0.022 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)O[C@H](C)C(=O)N2CCN(c3ncccn3)CC2)c(=O)c1 ZINC000790686211 1073803608 /nfs/dbraw/zinc/80/36/08/1073803608.db2.gz BSEOBEDDSNTDOT-IERDGZPVSA-N 0 0 429.477 -0.022 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)O[C@H](C)C(=O)N2CCN(c3ncccn3)CC2)c(=O)c1 ZINC000790686212 1073803584 /nfs/dbraw/zinc/80/35/84/1073803584.db2.gz BSEOBEDDSNTDOT-IIBYNOLFSA-N 0 0 429.477 -0.022 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)O[C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)c(=O)c1 ZINC000790686213 1073803401 /nfs/dbraw/zinc/80/34/01/1073803401.db2.gz BSEOBEDDSNTDOT-KKSFZXQISA-N 0 0 429.477 -0.022 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@@](C)(O)Cn1ccccc1=O)C2=O ZINC000790686301 1073802881 /nfs/dbraw/zinc/80/28/81/1073802881.db2.gz SIGPXCVQZIILEO-BEAMIBIHSA-N 0 0 434.449 -0.326 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@](C)(O)Cn1ccccc1=O)C2=O ZINC000790686302 1073803048 /nfs/dbraw/zinc/80/30/48/1073803048.db2.gz SIGPXCVQZIILEO-INFVVSSVSA-N 0 0 434.449 -0.326 20 0 IBADRN CCCCN(C(=O)COC(=O)[C@@](C)(O)Cn1ccccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790686769 1073801372 /nfs/dbraw/zinc/80/13/72/1073801372.db2.gz PUDUIMKHMOLXCU-BEFAXECRSA-N 0 0 428.507 -0.042 20 0 IBADRN CCCCN(C(=O)COC(=O)[C@](C)(O)Cn1ccccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000790686775 1073801214 /nfs/dbraw/zinc/80/12/14/1073801214.db2.gz PUDUIMKHMOLXCU-DNVCBOLYSA-N 0 0 428.507 -0.042 20 0 IBADRN CCCCN(C(=O)COC(=O)[C@](C)(O)Cn1ccccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000790686780 1073801384 /nfs/dbraw/zinc/80/13/84/1073801384.db2.gz PUDUIMKHMOLXCU-HNAYVOBHSA-N 0 0 428.507 -0.042 20 0 IBADRN CCCCN(C(=O)COC(=O)[C@@](C)(O)Cn1ccccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000790686786 1073801482 /nfs/dbraw/zinc/80/14/82/1073801482.db2.gz PUDUIMKHMOLXCU-KXBFYZLASA-N 0 0 428.507 -0.042 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@](C)(O)Cn2ccccc2=O)CC1 ZINC000790686928 1073801419 /nfs/dbraw/zinc/80/14/19/1073801419.db2.gz QJCQPLBXCSQAEG-NRFANRHFSA-N 0 0 436.509 -0.799 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@](C)(O)Cn2ccccc2=O)CC1 ZINC000790686931 1073801236 /nfs/dbraw/zinc/80/12/36/1073801236.db2.gz QJCQPLBXCSQAEG-OAQYLSRUSA-N 0 0 436.509 -0.799 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)c(=O)c1 ZINC000790687511 1073801283 /nfs/dbraw/zinc/80/12/83/1073801283.db2.gz UNGXUDVBLQYVHQ-FQEVSTJZSA-N 0 0 449.485 -0.312 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)c(=O)c1 ZINC000790687514 1073801359 /nfs/dbraw/zinc/80/13/59/1073801359.db2.gz UNGXUDVBLQYVHQ-HXUWFJFHSA-N 0 0 449.485 -0.312 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@](C)(O)Cn2ccccc2=O)c(=O)n(C)c1=O ZINC000790687701 1073801270 /nfs/dbraw/zinc/80/12/70/1073801270.db2.gz YZMHXVQERVDOQX-FQEVSTJZSA-N 0 0 434.449 -0.876 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@](C)(O)Cn2ccccc2=O)c(=O)n(C)c1=O ZINC000790687702 1073801346 /nfs/dbraw/zinc/80/13/46/1073801346.db2.gz YZMHXVQERVDOQX-HXUWFJFHSA-N 0 0 434.449 -0.876 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)nn1 ZINC000790691211 1073801319 /nfs/dbraw/zinc/80/13/19/1073801319.db2.gz JJTRHHPQHJPVGM-UHFFFAOYSA-N 0 0 439.473 -0.557 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)O[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)c(=O)c1 ZINC000790692376 1073802007 /nfs/dbraw/zinc/80/20/07/1073802007.db2.gz QVGNOEUUJKXIJB-ALKREAHSSA-N 0 0 428.507 -0.221 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)O[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)c(=O)c1 ZINC000790692378 1073801999 /nfs/dbraw/zinc/80/19/99/1073801999.db2.gz QVGNOEUUJKXIJB-GMBSWORKSA-N 0 0 428.507 -0.221 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)O[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)c(=O)c1 ZINC000790692380 1073801885 /nfs/dbraw/zinc/80/18/85/1073801885.db2.gz QVGNOEUUJKXIJB-OGWOLHLISA-N 0 0 428.507 -0.221 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)O[C@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)c(=O)c1 ZINC000790692382 1073801778 /nfs/dbraw/zinc/80/17/78/1073801778.db2.gz QVGNOEUUJKXIJB-QOKNQOGYSA-N 0 0 428.507 -0.221 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)NCCS(=O)(=O)N1CCOCC1)C2 ZINC000790692701 1073801939 /nfs/dbraw/zinc/80/19/39/1073801939.db2.gz REFVDHHTYQNJJP-UHFFFAOYSA-N 0 0 446.551 -0.676 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)OCC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)c(=O)c1 ZINC000790703150 1073801866 /nfs/dbraw/zinc/80/18/66/1073801866.db2.gz FFYDTZTWFHTRJO-SGEGYRGWSA-N 0 0 448.476 -0.017 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)OCC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)c(=O)c1 ZINC000790703156 1073801796 /nfs/dbraw/zinc/80/17/96/1073801796.db2.gz FFYDTZTWFHTRJO-WKHMMBAISA-N 0 0 448.476 -0.017 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c(=O)c1 ZINC000790703763 1073801895 /nfs/dbraw/zinc/80/18/95/1073801895.db2.gz KFEYZEAOQQTFLQ-NRFANRHFSA-N 0 0 448.476 -0.568 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)c(=O)c1 ZINC000790703764 1073801973 /nfs/dbraw/zinc/80/19/73/1073801973.db2.gz KFEYZEAOQQTFLQ-OAQYLSRUSA-N 0 0 448.476 -0.568 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)nn1 ZINC000790713172 1073801926 /nfs/dbraw/zinc/80/19/26/1073801926.db2.gz GLIZHPFOIRJMIN-UHFFFAOYSA-N 0 0 442.420 -0.075 20 0 IBADRN O=C(Cn1ccc2ccccc2c1=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000790723482 1073803965 /nfs/dbraw/zinc/80/39/65/1073803965.db2.gz IIDGHIIRDASSAQ-KRWDZBQOSA-N 0 0 447.513 -0.124 20 0 IBADRN O=C(Cn1ccc2ccccc2c1=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000790723483 1073803899 /nfs/dbraw/zinc/80/38/99/1073803899.db2.gz IIDGHIIRDASSAQ-QGZVFWFLSA-N 0 0 447.513 -0.124 20 0 IBADRN COC(=O)c1sc(NC(=O)COC(=O)CN2CCOCC2=O)c(C(=O)OC)c1C ZINC000790726380 1073803944 /nfs/dbraw/zinc/80/39/44/1073803944.db2.gz JLEUEBNQOUOEGM-UHFFFAOYSA-N 0 0 428.419 -0.030 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)CN2CCOCC2=O)CC1)Nc1ccc(F)cc1 ZINC000790727015 1073804103 /nfs/dbraw/zinc/80/41/03/1073804103.db2.gz NSIAWQWRTOFBQL-UHFFFAOYSA-N 0 0 436.440 -0.690 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)CN2CCOCC2=O)c(N)n(Cc2ccccc2)c1=O ZINC000790728118 1073803918 /nfs/dbraw/zinc/80/39/18/1073803918.db2.gz BLHNTLMXMXGOHU-UHFFFAOYSA-N 0 0 444.444 -0.755 20 0 IBADRN O=C(CN1CCOCC1=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC000790728956 1073804089 /nfs/dbraw/zinc/80/40/89/1073804089.db2.gz BQEURPKOJOTIII-HNNXBMFYSA-N 0 0 426.422 -0.129 20 0 IBADRN O=C(CN1CCOCC1=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000790728960 1073804074 /nfs/dbraw/zinc/80/40/74/1073804074.db2.gz BQEURPKOJOTIII-OAHLLOKOSA-N 0 0 426.422 -0.129 20 0 IBADRN O=C(COC(=O)CN1CCOCC1=O)NCCN1C(=O)S/C(=C/c2cccnc2)C1=O ZINC000790729400 1073804025 /nfs/dbraw/zinc/80/40/25/1073804025.db2.gz MLXZQBQFMOFMFJ-RIYZIHGNSA-N 0 0 448.457 -0.364 20 0 IBADRN O=C(COC(=O)CN1CCOCC1=O)NCCN1C(=O)S/C(=C\c2cccnc2)C1=O ZINC000790729401 1073803795 /nfs/dbraw/zinc/80/37/95/1073803795.db2.gz MLXZQBQFMOFMFJ-ZSOIEALJSA-N 0 0 448.457 -0.364 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)COC(=O)CN2CCOCC2=O)CC1 ZINC000790729738 1073803989 /nfs/dbraw/zinc/80/39/89/1073803989.db2.gz ONDUPSJERWVLRC-UHFFFAOYSA-N 0 0 446.504 -0.212 20 0 IBADRN O=C(CN1CCOCC1=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000790730394 1073804046 /nfs/dbraw/zinc/80/40/46/1073804046.db2.gz YPIQPURMYXRKPR-UHFFFAOYSA-N 0 0 428.463 -0.512 20 0 IBADRN O=C(CN1CCOCC1=O)OCC1CCC(COC(=O)CN2CCOCC2=O)CC1 ZINC000790730714 1073804142 /nfs/dbraw/zinc/80/41/42/1073804142.db2.gz ROOAIZQOKKPIJD-UHFFFAOYSA-N 0 0 426.466 -0.403 20 0 IBADRN O=C(CN1CCOCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000790732813 1073803811 /nfs/dbraw/zinc/80/38/11/1073803811.db2.gz GBTXDQXVUSCOID-UHFFFAOYSA-N 0 0 443.453 -0.939 20 0 IBADRN O=C(COC(=O)CN1CCOCC1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000790733225 1073804060 /nfs/dbraw/zinc/80/40/60/1073804060.db2.gz KIJGCTJTRSKRGH-UHFFFAOYSA-N 0 0 441.462 -0.952 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCOCC2=O)C1=O ZINC000790734059 1073804651 /nfs/dbraw/zinc/80/46/51/1073804651.db2.gz QECXYAVDFZEBLZ-FQEVSTJZSA-N 0 0 432.433 -0.637 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCOCC2=O)C1=O ZINC000790734060 1073804592 /nfs/dbraw/zinc/80/45/92/1073804592.db2.gz QECXYAVDFZEBLZ-HXUWFJFHSA-N 0 0 432.433 -0.637 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)OC(=O)CN1CCOCC1=O ZINC000790734415 1073804124 /nfs/dbraw/zinc/80/41/24/1073804124.db2.gz BBCXHWBZFNWLHU-GFCCVEGCSA-N 0 0 443.478 -0.326 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)OC(=O)CN1CCOCC1=O ZINC000790734416 1073804681 /nfs/dbraw/zinc/80/46/81/1073804681.db2.gz BBCXHWBZFNWLHU-LBPRGKRZSA-N 0 0 443.478 -0.326 20 0 IBADRN O=C(CN1CCOCC1=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000790734497 1073804666 /nfs/dbraw/zinc/80/46/66/1073804666.db2.gz CPLRRYMNGASODB-UHFFFAOYSA-N 0 0 439.490 -0.937 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)COC(=O)CN1CCOCC1=O ZINC000790734688 1073804479 /nfs/dbraw/zinc/80/44/79/1073804479.db2.gz WEPRDRHGOBXDSG-UHFFFAOYSA-N 0 0 439.490 -0.382 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)COC(=O)CN2CCOCC2=O)ccc1Cl ZINC000790734695 1073804534 /nfs/dbraw/zinc/80/45/34/1073804534.db2.gz WIQRTYCLERFQGT-UHFFFAOYSA-N 0 0 433.870 -0.069 20 0 IBADRN O=C(CN1CCOCC1=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000790735230 1073804638 /nfs/dbraw/zinc/80/46/38/1073804638.db2.gz ZRSNWMQOKPMFHM-CYBMUJFWSA-N 0 0 430.504 -0.334 20 0 IBADRN O=C(CN1CCOCC1=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000790735232 1073804525 /nfs/dbraw/zinc/80/45/25/1073804525.db2.gz ZRSNWMQOKPMFHM-ZDUSSCGKSA-N 0 0 430.504 -0.334 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1nnnn1C1CC1 ZINC000790736627 1073804695 /nfs/dbraw/zinc/80/46/95/1073804695.db2.gz TZUAMERQASELMK-HZSPNIEDSA-N 0 0 442.546 -0.250 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1nnnn1C1CC1 ZINC000790736643 1073804510 /nfs/dbraw/zinc/80/45/10/1073804510.db2.gz TZUAMERQASELMK-MCIONIFRSA-N 0 0 442.546 -0.250 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1nnnn1C1CC1 ZINC000790736648 1073804752 /nfs/dbraw/zinc/80/47/52/1073804752.db2.gz TZUAMERQASELMK-MGPQQGTHSA-N 0 0 442.546 -0.250 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1nnnn1C1CC1 ZINC000790736651 1073804625 /nfs/dbraw/zinc/80/46/25/1073804625.db2.gz TZUAMERQASELMK-MJBXVCDLSA-N 0 0 442.546 -0.250 20 0 IBADRN O=C(COC(=O)CN1CCOCC1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000790737112 1073804548 /nfs/dbraw/zinc/80/45/48/1073804548.db2.gz JXQDXFMRHDVKNE-UHFFFAOYSA-N 0 0 441.462 -0.952 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)COC(=O)CN2CCOCC2=O)CC1 ZINC000790737620 1073804604 /nfs/dbraw/zinc/80/46/04/1073804604.db2.gz XTDHHTCYAXXGCE-UHFFFAOYSA-N 0 0 446.504 -0.266 20 0 IBADRN O=C(CNC(=O)COC(=O)CN1CCOCC1=O)Nc1ccccc1Br ZINC000790737753 1073804460 /nfs/dbraw/zinc/80/44/60/1073804460.db2.gz YBASJCBEDDLOGN-UHFFFAOYSA-N 0 0 428.239 -0.094 20 0 IBADRN O=C(COC(=O)CN1CCOCC1=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC000790738478 1073804495 /nfs/dbraw/zinc/80/44/95/1073804495.db2.gz SFNIGUVFTCVTDO-UHFFFAOYSA-N 0 0 438.462 -0.502 20 0 IBADRN O=C(CN1CCOCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000790738838 1073804563 /nfs/dbraw/zinc/80/45/63/1073804563.db2.gz VAYKBHTWGUVEOH-UHFFFAOYSA-N 0 0 443.453 -0.939 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)COC(=O)CN1CCOCC1=O ZINC000790739134 1073804716 /nfs/dbraw/zinc/80/47/16/1073804716.db2.gz FRPWOUDVZHJKCN-UHFFFAOYSA-N 0 0 427.435 -0.327 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)CN2CCOCC2=O)c(=O)[nH]c1=O ZINC000790739266 1073804736 /nfs/dbraw/zinc/80/47/36/1073804736.db2.gz YCHVFDKBTQWRRI-UHFFFAOYSA-N 0 0 425.442 -0.914 20 0 IBADRN O=C(COC(=O)CN1CCOCC1=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000790742088 1073804578 /nfs/dbraw/zinc/80/45/78/1073804578.db2.gz SASFXUSDMVLLSI-UHFFFAOYSA-N 0 0 425.463 -0.188 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CN2CCOCC2=O)cc1S(=O)(=O)N(C)C ZINC000790742824 1073805045 /nfs/dbraw/zinc/80/50/45/1073805045.db2.gz UWYIAGDETFRSKM-UHFFFAOYSA-N 0 0 429.451 -0.714 20 0 IBADRN O=C(CN1CCOCC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000790742969 1073805215 /nfs/dbraw/zinc/80/52/15/1073805215.db2.gz XQAZVLGNGXKLNS-UHFFFAOYSA-N 0 0 443.453 -0.939 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)COC(=O)CN1CCOCC1=O ZINC000790744403 1073805253 /nfs/dbraw/zinc/80/52/53/1073805253.db2.gz TUGHHHLXORQHRZ-UHFFFAOYSA-N 0 0 441.462 -0.067 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)nn1 ZINC000790763499 1073803454 /nfs/dbraw/zinc/80/34/54/1073803454.db2.gz WEYOLHCUPJLVOH-UHFFFAOYSA-N 0 0 425.490 -0.083 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)CN3CCOCC3=O)cc2)CC1 ZINC000790780441 1073804992 /nfs/dbraw/zinc/80/49/92/1073804992.db2.gz RYDXXPSJDBMWCS-UHFFFAOYSA-N 0 0 425.463 -0.832 20 0 IBADRN COC(=O)c1cn(CCNC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)nn1 ZINC000790782172 1073805081 /nfs/dbraw/zinc/80/50/81/1073805081.db2.gz WNNLSVRDNBZBGF-UHFFFAOYSA-N 0 0 428.393 -0.322 20 0 IBADRN O=C(CN1CCOCC1=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000790787281 1073805065 /nfs/dbraw/zinc/80/50/65/1073805065.db2.gz HOSINMQTLFOZOY-UHFFFAOYSA-N 0 0 439.490 -0.397 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000790827708 1073805803 /nfs/dbraw/zinc/80/58/03/1073805803.db2.gz YMTIZEGKNIFCBD-UHFFFAOYSA-N 0 0 426.538 -0.661 20 0 IBADRN CCS(=O)(=O)CCNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000790839700 1073805655 /nfs/dbraw/zinc/80/56/55/1073805655.db2.gz OKFHGVJDBOILCK-UHFFFAOYSA-N 0 0 440.565 -0.271 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000790840846 1073805681 /nfs/dbraw/zinc/80/56/81/1073805681.db2.gz ZSMPGRDLHHRWBH-UHFFFAOYSA-N 0 0 440.565 -0.319 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H](O)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC000790854992 1073805737 /nfs/dbraw/zinc/80/57/37/1073805737.db2.gz XNTYOHKWRPXAKW-INIZCTEOSA-N 0 0 444.535 -0.239 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H](O)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC000790854993 1073805620 /nfs/dbraw/zinc/80/56/20/1073805620.db2.gz XNTYOHKWRPXAKW-MRXNPFEDSA-N 0 0 444.535 -0.239 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3C(=O)OC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000790861422 1073805669 /nfs/dbraw/zinc/80/56/69/1073805669.db2.gz FNWWWEGULILXRD-AWEZNQCLSA-N 0 0 445.519 -0.159 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN3C(=O)OC[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC000790861425 1073805793 /nfs/dbraw/zinc/80/57/93/1073805793.db2.gz FNWWWEGULILXRD-CQSZACIVSA-N 0 0 445.519 -0.159 20 0 IBADRN COC(=O)N1CC(NS(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000790861535 1073805695 /nfs/dbraw/zinc/80/56/95/1073805695.db2.gz MYUREDVSYNRMQT-UHFFFAOYSA-N 0 0 433.508 -0.255 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC(=O)N(C)C[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000790875278 1073805841 /nfs/dbraw/zinc/80/58/41/1073805841.db2.gz JVSSJMXYEKPEOC-AWEZNQCLSA-N 0 0 431.536 -0.133 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC(=O)N(C)C[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000790875283 1073805594 /nfs/dbraw/zinc/80/55/94/1073805594.db2.gz JVSSJMXYEKPEOC-CQSZACIVSA-N 0 0 431.536 -0.133 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)[C@@H]1CC(c2cccnc2)=NO1)[C@H]1CC(c2cccnc2)=NO1 ZINC000790886301 1073805764 /nfs/dbraw/zinc/80/57/64/1073805764.db2.gz RCJFDANOEDTWAJ-IPELMVKDSA-N 0 0 438.444 -0.244 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)[C@H]1CC(c2cccnc2)=NO1)[C@H]1CC(c2cccnc2)=NO1 ZINC000790886302 1073805777 /nfs/dbraw/zinc/80/57/77/1073805777.db2.gz RCJFDANOEDTWAJ-KFKAGJAMSA-N 0 0 438.444 -0.244 20 0 IBADRN O=C(NC[C@@H](CO)NC(=O)[C@@H]1CC(c2cccnc2)=NO1)[C@@H]1CC(c2cccnc2)=NO1 ZINC000790886303 1073805814 /nfs/dbraw/zinc/80/58/14/1073805814.db2.gz RCJFDANOEDTWAJ-SNRMKQJTSA-N 0 0 438.444 -0.244 20 0 IBADRN O=C(N[C@H](CO)CNC(=O)[C@@H]1CC(c2cccnc2)=NO1)[C@H]1CC(c2cccnc2)=NO1 ZINC000790886304 1073805707 /nfs/dbraw/zinc/80/57/07/1073805707.db2.gz RCJFDANOEDTWAJ-ZYSHUDEJSA-N 0 0 438.444 -0.244 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)CC1 ZINC000790898481 1073805571 /nfs/dbraw/zinc/80/55/71/1073805571.db2.gz AAWSCYHOXSQKBJ-NRFANRHFSA-N 0 0 429.477 -0.351 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccccc3)C2=O)CC1 ZINC000790898485 1073805724 /nfs/dbraw/zinc/80/57/24/1073805724.db2.gz AAWSCYHOXSQKBJ-OAQYLSRUSA-N 0 0 429.477 -0.351 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2F)CC1 ZINC000790899013 1073806077 /nfs/dbraw/zinc/80/60/77/1073806077.db2.gz GSRMWHGVTYHAGS-UHFFFAOYSA-N 0 0 428.486 -0.115 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)C2=O)cc1 ZINC000790900271 1073806339 /nfs/dbraw/zinc/80/63/39/1073806339.db2.gz YONXRLBKCYQTJW-JOCHJYFZSA-N 0 0 443.504 -0.043 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)C2=O)cc1 ZINC000790900272 1073806265 /nfs/dbraw/zinc/80/62/65/1073806265.db2.gz YONXRLBKCYQTJW-QFIPXVFZSA-N 0 0 443.504 -0.043 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000790900296 1073806252 /nfs/dbraw/zinc/80/62/52/1073806252.db2.gz ZMDRSVGILGPLJF-JOCHJYFZSA-N 0 0 441.488 -0.425 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000790900297 1073806155 /nfs/dbraw/zinc/80/61/55/1073806155.db2.gz ZMDRSVGILGPLJF-QFIPXVFZSA-N 0 0 441.488 -0.425 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1=O ZINC000790900789 1073806064 /nfs/dbraw/zinc/80/60/64/1073806064.db2.gz HYORPNKQZURYIS-UHFFFAOYSA-N 0 0 429.477 -0.622 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000790901167 1073806187 /nfs/dbraw/zinc/80/61/87/1073806187.db2.gz KJDNKUTWLDINNV-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000790901766 1073806169 /nfs/dbraw/zinc/80/61/69/1073806169.db2.gz PAXNIZHFEQYXRC-UHFFFAOYSA-N 0 0 435.506 -0.737 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000790902331 1073806092 /nfs/dbraw/zinc/80/60/92/1073806092.db2.gz WEZYVOKMPWSBDI-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)n(C)c1 ZINC000790903666 1073806308 /nfs/dbraw/zinc/80/63/08/1073806308.db2.gz KUNNAFWUVNYRMH-UHFFFAOYSA-N 0 0 441.554 -0.135 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(=O)OC)CC2)cc1 ZINC000790910484 1073806215 /nfs/dbraw/zinc/80/62/15/1073806215.db2.gz NZOFPTYQSYYWEW-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)nn1 ZINC000790913379 1073806279 /nfs/dbraw/zinc/80/62/79/1073806279.db2.gz IIHIQVOUALUPNK-UHFFFAOYSA-N 0 0 447.477 -0.459 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Oc1ccccc1C(=O)N1CCOCC1)c(=O)n2C ZINC000790917782 1073806139 /nfs/dbraw/zinc/80/61/39/1073806139.db2.gz FUZKBGUWZOKLSQ-UHFFFAOYSA-N 0 0 427.417 -0.488 20 0 IBADRN Cn1c2ncn(CC(=O)Oc3ccccc3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000790919154 1073806125 /nfs/dbraw/zinc/80/61/25/1073806125.db2.gz IYIQJJZBBMFSPV-UHFFFAOYSA-N 0 0 427.417 -0.488 20 0 IBADRN CO[C@H]1CCOc2c(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)cccc21 ZINC000790919446 1073806203 /nfs/dbraw/zinc/80/62/03/1073806203.db2.gz XSQFXTUXCVMWRH-HNNXBMFYSA-N 0 0 427.479 -0.127 20 0 IBADRN CO[C@@H]1CCOc2c(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)cccc21 ZINC000790919451 1073806232 /nfs/dbraw/zinc/80/62/32/1073806232.db2.gz XSQFXTUXCVMWRH-OAHLLOKOSA-N 0 0 427.479 -0.127 20 0 IBADRN Cn1c2ncn(CCC(=O)Oc3ccccc3C(=O)N3CCOCC3)c2c(=O)n(C)c1=O ZINC000790928156 1073806293 /nfs/dbraw/zinc/80/62/93/1073806293.db2.gz OXYCQNSBYDOIBZ-UHFFFAOYSA-N 0 0 441.444 -0.098 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC000790941280 1073806933 /nfs/dbraw/zinc/80/69/33/1073806933.db2.gz YKOXUQQORYIQCU-UHFFFAOYSA-N 0 0 435.524 -0.439 20 0 IBADRN Cc1ccc(N(C)C(=O)CN2CCOCC2=O)cc1N(C)C(=O)CN1CCOCC1=O ZINC000790954623 1073806110 /nfs/dbraw/zinc/80/61/10/1073806110.db2.gz LFOYCYAVSDLXOU-UHFFFAOYSA-N 0 0 432.477 -0.362 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CC(=O)N(C2CC2)C1 ZINC000791009859 1073806687 /nfs/dbraw/zinc/80/66/87/1073806687.db2.gz ASWXIIMBNYHNFH-UHFFFAOYSA-N 0 0 438.462 -0.312 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC(=O)N(C4CC4)C3)cc2)CC1 ZINC000791011458 1073806610 /nfs/dbraw/zinc/80/66/10/1073806610.db2.gz QZXFFNMPXWJXRZ-UHFFFAOYSA-N 0 0 429.477 -0.289 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cnc(NC(=O)c3cn(CC(N)=O)nn3)cn2)CC1 ZINC000791014587 1073806863 /nfs/dbraw/zinc/80/68/63/1073806863.db2.gz HSXFOAGBHRIZSG-UHFFFAOYSA-N 0 0 431.457 -0.137 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)cn2)C1=O ZINC000791016131 1073806704 /nfs/dbraw/zinc/80/67/04/1073806704.db2.gz XVHBHPVCLARYGN-UHFFFAOYSA-N 0 0 447.452 -0.107 20 0 IBADRN CCN1CN(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1=O ZINC000791035536 1073806642 /nfs/dbraw/zinc/80/66/42/1073806642.db2.gz LTUWNSOMPXRBIC-UHFFFAOYSA-N 0 0 448.523 -0.378 20 0 IBADRN COc1ccc(NC(=O)COC(=O)c2n[nH]nc2C)cc1S(=O)(=O)N1CCOCC1 ZINC000791045722 1073806916 /nfs/dbraw/zinc/80/69/16/1073806916.db2.gz UQYKWMXASZIFNC-UHFFFAOYSA-N 0 0 439.450 -0.062 20 0 IBADRN CCCN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H](COC)C(=O)OCC ZINC000791051082 1073806881 /nfs/dbraw/zinc/80/68/81/1073806881.db2.gz YIAINIRKVGRIOM-DZGCQCFKSA-N 0 0 431.511 -0.031 20 0 IBADRN CCCN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H](COC)C(=O)OCC ZINC000791051090 1073806954 /nfs/dbraw/zinc/80/69/54/1073806954.db2.gz YIAINIRKVGRIOM-HIFRSBDPSA-N 0 0 431.511 -0.031 20 0 IBADRN CCCN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H](COC)C(=O)OCC ZINC000791051092 1073806925 /nfs/dbraw/zinc/80/69/25/1073806925.db2.gz YIAINIRKVGRIOM-UKRRQHHQSA-N 0 0 431.511 -0.031 20 0 IBADRN CCCN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H](COC)C(=O)OCC ZINC000791051093 1073806662 /nfs/dbraw/zinc/80/66/62/1073806662.db2.gz YIAINIRKVGRIOM-ZFWWWQNUSA-N 0 0 431.511 -0.031 20 0 IBADRN COC(=O)N1CCN(c2ccncc2NS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000791107448 1073806812 /nfs/dbraw/zinc/80/68/12/1073806812.db2.gz FXYATFNDFKJZLL-UHFFFAOYSA-N 0 0 438.466 -0.832 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCc1nc(N)nc(N(C)C)n1 ZINC000791121723 1073807350 /nfs/dbraw/zinc/80/73/50/1073807350.db2.gz NPTNHIOVVZTUSK-NOZJJQNGSA-N 0 0 444.901 -0.056 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCc1nc(N)nc(N(C)C)n1 ZINC000791121734 1073807261 /nfs/dbraw/zinc/80/72/61/1073807261.db2.gz NPTNHIOVVZTUSK-RNCFNFMXSA-N 0 0 444.901 -0.056 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCc1nc(N)nc(N(C)C)n1 ZINC000791121735 1073807450 /nfs/dbraw/zinc/80/74/50/1073807450.db2.gz NPTNHIOVVZTUSK-TVQRCGJNSA-N 0 0 444.901 -0.056 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCc1nc(N)nc(N(C)C)n1 ZINC000791121736 1073807322 /nfs/dbraw/zinc/80/73/22/1073807322.db2.gz NPTNHIOVVZTUSK-ZANVPECISA-N 0 0 444.901 -0.056 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCc2nc(N)nc(N(C)C)n2)[C@@H](C)O)cc1C ZINC000791128027 1073806895 /nfs/dbraw/zinc/80/68/95/1073806895.db2.gz ABTCNDAZPGJWPJ-DOMZBBRYSA-N 0 0 438.510 -0.092 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCc2nc(N)nc(N(C)C)n2)[C@@H](C)O)cc1C ZINC000791128033 1073806940 /nfs/dbraw/zinc/80/69/40/1073806940.db2.gz ABTCNDAZPGJWPJ-IUODEOHRSA-N 0 0 438.510 -0.092 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)OCc2nc(N)nc(N(C)C)n2)[C@H](C)O)cc1C ZINC000791128035 1073806908 /nfs/dbraw/zinc/80/69/08/1073806908.db2.gz ABTCNDAZPGJWPJ-SWLSCSKDSA-N 0 0 438.510 -0.092 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCc2nc(N)nc(N(C)C)n2)[C@H](C)O)cc1C ZINC000791128037 1073806947 /nfs/dbraw/zinc/80/69/47/1073806947.db2.gz ABTCNDAZPGJWPJ-WFASDCNBSA-N 0 0 438.510 -0.092 20 0 IBADRN O=C(CN1CCOCC1=O)Nc1ccc2nc(NC(=O)CN3CCOCC3=O)ccc2c1 ZINC000791128205 1073806773 /nfs/dbraw/zinc/80/67/73/1073806773.db2.gz WNKJXPIAXUSMEA-UHFFFAOYSA-N 0 0 441.444 -0.171 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3C(=O)OC[C@@H]3C2)[C@H]1C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000791137635 1073807403 /nfs/dbraw/zinc/80/74/03/1073807403.db2.gz CODBGMCMFOFSBZ-HZRXROBNSA-N 0 0 448.476 -0.456 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3C(=O)OC[C@@H]3C2)[C@@H]1C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000791137638 1073807414 /nfs/dbraw/zinc/80/74/14/1073807414.db2.gz CODBGMCMFOFSBZ-SSOQLKFYSA-N 0 0 448.476 -0.456 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3C(=O)OC[C@@H]3C2)[C@@H]1C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000791137640 1073807221 /nfs/dbraw/zinc/80/72/21/1073807221.db2.gz CODBGMCMFOFSBZ-UAHISNFZSA-N 0 0 448.476 -0.456 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CCN3C(=O)OC[C@@H]3C2)[C@H]1C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000791137643 1073807393 /nfs/dbraw/zinc/80/73/93/1073807393.db2.gz CODBGMCMFOFSBZ-VUBIOHBQSA-N 0 0 448.476 -0.456 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C2 ZINC000791157551 1073807243 /nfs/dbraw/zinc/80/72/43/1073807243.db2.gz JCYPGUIFNPXYAC-CMDGGOBGSA-N 0 0 432.502 -0.068 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C2 ZINC000791157555 1073807197 /nfs/dbraw/zinc/80/71/97/1073807197.db2.gz JCYPGUIFNPXYAC-HJWRWDBZSA-N 0 0 432.502 -0.068 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCC(Oc3ccc(F)nc3)CC2)c[nH]1 ZINC000791169167 1073807744 /nfs/dbraw/zinc/80/77/44/1073807744.db2.gz VFGLSLKZWVDONX-UHFFFAOYSA-N 0 0 425.442 -0.004 20 0 IBADRN C[C@@H]1CN(C(=O)COCCOCC(=O)N2C[C@H](C)S(=O)[C@@H](C)C2)C[C@H](C)[S@]1=O ZINC000791171850 1073807727 /nfs/dbraw/zinc/80/77/27/1073807727.db2.gz DMOLNKLCCTVENX-CNSQXODVSA-N 0 0 436.596 -0.245 20 0 IBADRN C[C@@H]1CN(C(=O)COCCOCC(=O)N2C[C@@H](C)[S@@](=O)[C@@H](C)C2)C[C@@H](C)S1=O ZINC000791171852 1073807933 /nfs/dbraw/zinc/80/79/33/1073807933.db2.gz DMOLNKLCCTVENX-IVDYOQQZSA-N 0 0 436.596 -0.245 20 0 IBADRN C[C@@H]1CN(C(=O)COCCOCC(=O)N2C[C@H](C)S(=O)[C@@H](C)C2)C[C@@H](C)S1=O ZINC000791171854 1073807772 /nfs/dbraw/zinc/80/77/72/1073807772.db2.gz DMOLNKLCCTVENX-RUPPMWDTSA-N 0 0 436.596 -0.245 20 0 IBADRN C[C@@H]1CN(C(=O)COCCOCC(=O)N2C[C@@H](C)[S@](=O)[C@@H](C)C2)C[C@H](C)[S@@]1=O ZINC000791171856 1073807943 /nfs/dbraw/zinc/80/79/43/1073807943.db2.gz DMOLNKLCCTVENX-YRWINAHTSA-N 0 0 436.596 -0.245 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@H](C)C(=O)NC(=O)NC2CC2)c1 ZINC000791196531 1073807474 /nfs/dbraw/zinc/80/74/74/1073807474.db2.gz ICOCFKSOLCEINK-GFCCVEGCSA-N 0 0 442.494 -0.201 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)O[C@@H](C)C(=O)NC(=O)NC2CC2)c1 ZINC000791196533 1073807375 /nfs/dbraw/zinc/80/73/75/1073807375.db2.gz ICOCFKSOLCEINK-LBPRGKRZSA-N 0 0 442.494 -0.201 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)n1 ZINC000791196913 1073807463 /nfs/dbraw/zinc/80/74/63/1073807463.db2.gz XLVVDSTUTKZDDC-UHFFFAOYSA-N 0 0 438.466 -0.297 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OCc2nc(N)nc(N(C)C)n2)cc1 ZINC000791197004 1073807363 /nfs/dbraw/zinc/80/73/63/1073807363.db2.gz ZHKANEHDZMXCFJ-UHFFFAOYSA-N 0 0 438.466 -0.282 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)c1N ZINC000791237940 1073807956 /nfs/dbraw/zinc/80/79/56/1073807956.db2.gz ZEZWFQJGPSJMAI-AATRIKPKSA-N 0 0 430.465 -0.084 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)c1N ZINC000791237941 1073807899 /nfs/dbraw/zinc/80/78/99/1073807899.db2.gz ZEZWFQJGPSJMAI-WAYWQWQTSA-N 0 0 430.465 -0.084 20 0 IBADRN CC1(C)OCC(CO)(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CO1 ZINC000791239364 1073807980 /nfs/dbraw/zinc/80/79/80/1073807980.db2.gz CWYHZXMJSWTWIM-UHFFFAOYSA-N 0 0 427.479 -0.949 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC3(CO)COC(C)(C)OC3)CC2)cc1 ZINC000791240415 1073807794 /nfs/dbraw/zinc/80/77/94/1073807794.db2.gz TWUOITBQTVLNMJ-UHFFFAOYSA-N 0 0 441.550 -0.068 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC3(CO)COC(C)(C)OC3)CC2)cn1C ZINC000791240659 1073807891 /nfs/dbraw/zinc/80/78/91/1073807891.db2.gz ZSCXZLSUIAOXRW-UHFFFAOYSA-N 0 0 430.527 -0.241 20 0 IBADRN Cn1ccc(NC(=O)CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)n1 ZINC000791250065 1073807781 /nfs/dbraw/zinc/80/77/81/1073807781.db2.gz QXZZUHAVOHMHBR-UHFFFAOYSA-N 0 0 425.442 -0.051 20 0 IBADRN CCN=c1nc(NCC)nc2[n-]nc(SCC(=O)N3CCC[C@@](O)(C[NH+](C)C)C3)n21 ZINC000791256299 1073807804 /nfs/dbraw/zinc/80/78/04/1073807804.db2.gz WYBWEINWFAZDLY-GOSISDBHSA-N 0 0 437.574 -0.188 20 0 IBADRN CCN=c1nc(NCC)nc2[n-]nc(SCC(=O)N3CCC[C@](O)(C[NH+](C)C)C3)n21 ZINC000791256301 1073807968 /nfs/dbraw/zinc/80/79/68/1073807968.db2.gz WYBWEINWFAZDLY-SFHVURJKSA-N 0 0 437.574 -0.188 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)c1=O ZINC000791261247 1073808464 /nfs/dbraw/zinc/80/84/64/1073808464.db2.gz CAANVYYHODQGAS-NRFANRHFSA-N 0 0 425.445 -0.553 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)c1=O ZINC000791261249 1073808475 /nfs/dbraw/zinc/80/84/75/1073808475.db2.gz CAANVYYHODQGAS-OAQYLSRUSA-N 0 0 425.445 -0.553 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2cc(S(N)(=O)=O)ccc2Br)c1=O ZINC000791261719 1073808382 /nfs/dbraw/zinc/80/83/82/1073808382.db2.gz GIXVYZFJTSVYEF-UHFFFAOYSA-N 0 0 445.295 -0.223 20 0 IBADRN CS(=O)(=O)N(CCOC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)Cc1ccccc1 ZINC000791267172 1073808364 /nfs/dbraw/zinc/80/83/64/1073808364.db2.gz LNBJYVQPGZKQEY-UHFFFAOYSA-N 0 0 440.503 -0.039 20 0 IBADRN Cn1c2ncn(CC(=O)OCCN(Cc3ccccc3)S(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000791269187 1073808452 /nfs/dbraw/zinc/80/84/52/1073808452.db2.gz DWHLJBBVVSNPEP-UHFFFAOYSA-N 0 0 449.489 -0.561 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CN2C(=O)N[C@@](C)(c3ccccc3OC)C2=O)nn1 ZINC000791277758 1073808241 /nfs/dbraw/zinc/80/82/41/1073808241.db2.gz BBRMHMUXZLBUIL-IBGZPJMESA-N 0 0 430.421 -0.343 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CN2C(=O)N[C@](C)(c3ccccc3OC)C2=O)nn1 ZINC000791277760 1073808391 /nfs/dbraw/zinc/80/83/91/1073808391.db2.gz BBRMHMUXZLBUIL-LJQANCHMSA-N 0 0 430.421 -0.343 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2cc(S(N)(=O)=O)c(Br)s2)nn1 ZINC000791279746 1073808297 /nfs/dbraw/zinc/80/82/97/1073808297.db2.gz URHBEFPLYFXONY-UHFFFAOYSA-N 0 0 438.285 -0.034 20 0 IBADRN COC(=O)c1cn(CCNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)nn1 ZINC000791279747 1073808336 /nfs/dbraw/zinc/80/83/36/1073808336.db2.gz UUSYVCQGQKBDIQ-UHFFFAOYSA-N 0 0 439.230 -0.913 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2ccc(Br)c(S(N)(=O)=O)c2)nn1 ZINC000791288310 1073808311 /nfs/dbraw/zinc/80/83/11/1073808311.db2.gz JPGCLKUAJUKGMC-UHFFFAOYSA-N 0 0 432.256 -0.095 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)nn1 ZINC000791289252 1073808253 /nfs/dbraw/zinc/80/82/53/1073808253.db2.gz QTFKUCQVSXLBAO-IBGZPJMESA-N 0 0 430.421 -0.343 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)nn1 ZINC000791289253 1073808271 /nfs/dbraw/zinc/80/82/71/1073808271.db2.gz QTFKUCQVSXLBAO-LJQANCHMSA-N 0 0 430.421 -0.343 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)nn1 ZINC000791289929 1073808351 /nfs/dbraw/zinc/80/83/51/1073808351.db2.gz RGZFCFJFZFPDQU-UHFFFAOYSA-N 0 0 441.441 -0.345 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CN2C(=O)N[C@@](C)(c3cc(C)ccc3OC)C2=O)nn1 ZINC000791290710 1073808434 /nfs/dbraw/zinc/80/84/34/1073808434.db2.gz VZVZQGUZDHIHFC-FQEVSTJZSA-N 0 0 444.448 -0.035 20 0 IBADRN COC(=O)c1cn(CCNC(=O)CN2C(=O)N[C@](C)(c3cc(C)ccc3OC)C2=O)nn1 ZINC000791290711 1073808421 /nfs/dbraw/zinc/80/84/21/1073808421.db2.gz VZVZQGUZDHIHFC-HXUWFJFHSA-N 0 0 444.448 -0.035 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC000791295083 1073808321 /nfs/dbraw/zinc/80/83/21/1073808321.db2.gz SEGMGJYOGIMTOJ-UHFFFAOYSA-N 0 0 441.441 -0.345 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCN(Cc1ccccc1)S(C)(=O)=O)c(=O)n2C ZINC000791297731 1073808398 /nfs/dbraw/zinc/80/83/98/1073808398.db2.gz TYIYHRPRHSVELN-UHFFFAOYSA-N 0 0 449.489 -0.561 20 0 IBADRN Cn1ccnc1[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000791302015 1073808281 /nfs/dbraw/zinc/80/82/81/1073808281.db2.gz VUMLKKMMLIADPJ-GFCCVEGCSA-N 0 0 434.453 -0.039 20 0 IBADRN Cn1ccnc1[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000791302018 1073808443 /nfs/dbraw/zinc/80/84/43/1073808443.db2.gz VUMLKKMMLIADPJ-LBPRGKRZSA-N 0 0 434.453 -0.039 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC000791312933 1073808839 /nfs/dbraw/zinc/80/88/39/1073808839.db2.gz VHHKTRAVPCFIAH-AWEZNQCLSA-N 0 0 430.914 -0.192 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC000791312934 1073809191 /nfs/dbraw/zinc/80/91/91/1073809191.db2.gz VHHKTRAVPCFIAH-CQSZACIVSA-N 0 0 430.914 -0.192 20 0 IBADRN CCOC(=O)CCC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000791314512 1073808930 /nfs/dbraw/zinc/80/89/30/1073808930.db2.gz MIDOVQZGCRQWGT-UHFFFAOYSA-N 0 0 426.447 -0.124 20 0 IBADRN CCOC(=O)CCC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000791316998 1073809037 /nfs/dbraw/zinc/80/90/37/1073809037.db2.gz DYXFTMUVRDIFNT-UHFFFAOYSA-N 0 0 426.447 -0.124 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCCN(Cc2ccccc2)S(C)(=O)=O)cn1 ZINC000791323556 1073808913 /nfs/dbraw/zinc/80/89/13/1073808913.db2.gz PPDQQPKMGIRJFO-UHFFFAOYSA-N 0 0 430.508 -0.297 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC000791325514 1073808946 /nfs/dbraw/zinc/80/89/46/1073808946.db2.gz SUQQTYRRTILDOQ-INIZCTEOSA-N 0 0 437.928 -0.016 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC000791325526 1073809128 /nfs/dbraw/zinc/80/91/28/1073809128.db2.gz SUQQTYRRTILDOQ-MRXNPFEDSA-N 0 0 437.928 -0.016 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(F)(F)C1(O)CCCC1 ZINC000791328991 1073809011 /nfs/dbraw/zinc/80/90/11/1073809011.db2.gz AJAQZNAGUCLOBR-GFCCVEGCSA-N 0 0 430.495 -0.412 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(F)(F)C1(O)CCCC1 ZINC000791328996 1073809112 /nfs/dbraw/zinc/80/91/12/1073809112.db2.gz AJAQZNAGUCLOBR-LBPRGKRZSA-N 0 0 430.495 -0.412 20 0 IBADRN O=C(c1cc(Br)n[nH]1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000791329487 1073808854 /nfs/dbraw/zinc/80/88/54/1073808854.db2.gz FRDSOQQNHBKYFL-SECBINFHSA-N 0 0 441.329 -0.553 20 0 IBADRN O=C(c1cc(Br)n[nH]1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000791329489 1073809159 /nfs/dbraw/zinc/80/91/59/1073809159.db2.gz FRDSOQQNHBKYFL-VIFPVBQESA-N 0 0 441.329 -0.553 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000791334261 1073809056 /nfs/dbraw/zinc/80/90/56/1073809056.db2.gz YTKPFEKYCHNDAC-AWEZNQCLSA-N 0 0 441.506 -0.094 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000791334262 1073809075 /nfs/dbraw/zinc/80/90/75/1073809075.db2.gz YTKPFEKYCHNDAC-CQSZACIVSA-N 0 0 441.506 -0.094 20 0 IBADRN CS(=O)(=O)N(CCOC(=O)CCn1cc(S(N)(=O)=O)cn1)Cc1ccccc1 ZINC000791362767 1073809535 /nfs/dbraw/zinc/80/95/35/1073809535.db2.gz YDTOFXNWOPTAFM-UHFFFAOYSA-N 0 0 430.508 -0.074 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@H](CC(N)=O)NC(=O)c3ccccc3Cl)C2=O)cn1 ZINC000791365580 1073809512 /nfs/dbraw/zinc/80/95/12/1073809512.db2.gz YZFIUFKWHSVQFW-CABCVRRESA-N 0 0 432.868 -0.031 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@H](CC(N)=O)NC(=O)c3ccccc3Cl)C2=O)cn1 ZINC000791365583 1073809621 /nfs/dbraw/zinc/80/96/21/1073809621.db2.gz YZFIUFKWHSVQFW-GJZGRUSLSA-N 0 0 432.868 -0.031 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@@H](CC(N)=O)NC(=O)c3ccccc3Cl)C2=O)cn1 ZINC000791365585 1073809396 /nfs/dbraw/zinc/80/93/96/1073809396.db2.gz YZFIUFKWHSVQFW-HUUCEWRRSA-N 0 0 432.868 -0.031 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@@H](CC(N)=O)NC(=O)c3ccccc3Cl)C2=O)cn1 ZINC000791365587 1073809610 /nfs/dbraw/zinc/80/96/10/1073809610.db2.gz YZFIUFKWHSVQFW-LSDHHAIUSA-N 0 0 432.868 -0.031 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1Cl ZINC000791378867 1073809087 /nfs/dbraw/zinc/80/90/87/1073809087.db2.gz COUNIDCYFAEZPI-BBRMVZONSA-N 0 0 426.901 -0.535 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1Cl ZINC000791378871 1073809199 /nfs/dbraw/zinc/80/91/99/1073809199.db2.gz COUNIDCYFAEZPI-CJNGLKHVSA-N 0 0 426.901 -0.535 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1Cl ZINC000791378875 1073808775 /nfs/dbraw/zinc/80/87/75/1073808775.db2.gz COUNIDCYFAEZPI-CZUORRHYSA-N 0 0 426.901 -0.535 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1Cl ZINC000791378878 1073808815 /nfs/dbraw/zinc/80/88/15/1073808815.db2.gz COUNIDCYFAEZPI-XJKSGUPXSA-N 0 0 426.901 -0.535 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1Cl ZINC000791385876 1073809646 /nfs/dbraw/zinc/80/96/46/1073809646.db2.gz AOCNGMIHQIBYPB-BXUZGUMPSA-N 0 0 430.914 -0.146 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1Cl ZINC000791385878 1073809378 /nfs/dbraw/zinc/80/93/78/1073809378.db2.gz AOCNGMIHQIBYPB-RISCZKNCSA-N 0 0 430.914 -0.146 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)CCN1S(C)(=O)=O ZINC000791390193 1073809639 /nfs/dbraw/zinc/80/96/39/1073809639.db2.gz CNCOWFGYBYHBFB-FZMZJTMJSA-N 0 0 430.914 -0.194 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)CCN1S(C)(=O)=O ZINC000791390195 1073809721 /nfs/dbraw/zinc/80/97/21/1073809721.db2.gz CNCOWFGYBYHBFB-SMDDNHRTSA-N 0 0 430.914 -0.194 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)C1 ZINC000791392963 1073809696 /nfs/dbraw/zinc/80/96/96/1073809696.db2.gz PWEAQSBABOPTKK-BXUZGUMPSA-N 0 0 430.914 -0.146 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)C1 ZINC000791392966 1073809524 /nfs/dbraw/zinc/80/95/24/1073809524.db2.gz PWEAQSBABOPTKK-FZMZJTMJSA-N 0 0 430.914 -0.146 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)C1 ZINC000791392969 1073809591 /nfs/dbraw/zinc/80/95/91/1073809591.db2.gz PWEAQSBABOPTKK-RISCZKNCSA-N 0 0 430.914 -0.146 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)C1 ZINC000791392971 1073809413 /nfs/dbraw/zinc/80/94/13/1073809413.db2.gz PWEAQSBABOPTKK-SMDDNHRTSA-N 0 0 430.914 -0.146 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NC(=O)NC1CC1 ZINC000791405079 1073810198 /nfs/dbraw/zinc/81/01/98/1073810198.db2.gz RLRCKXOSXNBHDM-LLVKDONJSA-N 0 0 440.478 -0.413 20 0 IBADRN C[C@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NC(=O)NC1CC1 ZINC000791405080 1073810303 /nfs/dbraw/zinc/81/03/03/1073810303.db2.gz RLRCKXOSXNBHDM-NSHDSACASA-N 0 0 440.478 -0.413 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCCN(Cc2ccccc2)S(C)(=O)=O)c1 ZINC000791408150 1073810023 /nfs/dbraw/zinc/81/00/23/1073810023.db2.gz YGKCEDDCYARLJA-UHFFFAOYSA-N 0 0 430.508 -0.297 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)c2cc(S(=O)(=O)N(C)C)ccc2NCCO)n1 ZINC000791409720 1073810114 /nfs/dbraw/zinc/81/01/14/1073810114.db2.gz AMYNWOUYKMKXSS-UHFFFAOYSA-N 0 0 439.498 -0.469 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CCS(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000791451686 1073810257 /nfs/dbraw/zinc/81/02/57/1073810257.db2.gz QRSZXLXQDFKUFM-UHFFFAOYSA-N 0 0 430.596 -0.289 20 0 IBADRN Cn1ncc(C(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Br)cc2)n1 ZINC000791454667 1073810004 /nfs/dbraw/zinc/81/00/04/1073810004.db2.gz ALJLROAYAVQUOT-GFCCVEGCSA-N 0 0 447.267 -0.357 20 0 IBADRN Cn1ncc(C(=O)COC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Br)cc2)n1 ZINC000791454668 1073810171 /nfs/dbraw/zinc/81/01/71/1073810171.db2.gz ALJLROAYAVQUOT-LBPRGKRZSA-N 0 0 447.267 -0.357 20 0 IBADRN Cn1ncc(C(=O)COC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)n1 ZINC000791461776 1073810070 /nfs/dbraw/zinc/81/00/70/1073810070.db2.gz BZMKVULHOFFJHX-UHFFFAOYSA-N 0 0 449.489 -0.146 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCO[C@H](C)C1)[C@H](C)C(=O)OCC(=O)N1CCO[C@H](C)C1 ZINC000791463480 1073810042 /nfs/dbraw/zinc/81/00/42/1073810042.db2.gz PPFXECJLVUXPAW-FPCVCCKLSA-N 0 0 428.482 -0.160 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCO[C@H](C)C1)[C@H](C)C(=O)OCC(=O)N1CCO[C@@H](C)C1 ZINC000791463484 1073810056 /nfs/dbraw/zinc/81/00/56/1073810056.db2.gz PPFXECJLVUXPAW-GEEKYZPCSA-N 0 0 428.482 -0.160 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCO[C@H](C)C1)[C@@H](C)C(=O)OCC(=O)N1CCO[C@H](C)C1 ZINC000791463486 1073810277 /nfs/dbraw/zinc/81/02/77/1073810277.db2.gz PPFXECJLVUXPAW-KLHDSHLOSA-N 0 0 428.482 -0.160 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCO[C@H](C)C1)[C@@H](C)C(=O)OCC(=O)N1CCO[C@@H](C)C1 ZINC000791463489 1073810131 /nfs/dbraw/zinc/81/01/31/1073810131.db2.gz PPFXECJLVUXPAW-QKPAOTATSA-N 0 0 428.482 -0.160 20 0 IBADRN C[C@@H](OC(=O)[C@@H](C)[C@@H](C)C(=O)O[C@@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000791463705 1073809986 /nfs/dbraw/zinc/80/99/86/1073809986.db2.gz QZRIXUBZFJKENE-IWDIQUIJSA-N 0 0 426.426 -0.774 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)[C@@H](C)C(=O)O[C@@H](C)C(=O)N1CCNC1=O)C(=O)N1CCNC1=O ZINC000791463707 1073810183 /nfs/dbraw/zinc/81/01/83/1073810183.db2.gz QZRIXUBZFJKENE-KKOKHZNYSA-N 0 0 426.426 -0.774 20 0 IBADRN C[C@@H](C(=O)O[C@@H](C)C(=O)N1CCNC1=O)[C@H](C)C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000791463709 1073810695 /nfs/dbraw/zinc/81/06/95/1073810695.db2.gz QZRIXUBZFJKENE-USZNOCQGSA-N 0 0 426.426 -0.774 20 0 IBADRN C[C@@H](C(=O)O[C@@H](C)C(=O)N1CCNC1=O)[C@@H](C)C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000791463712 1073810739 /nfs/dbraw/zinc/81/07/39/1073810739.db2.gz QZRIXUBZFJKENE-WYUUTHIRSA-N 0 0 426.426 -0.774 20 0 IBADRN CC1=C(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)[C@@H](C)n2ncnc2N1C ZINC000791467971 1073810760 /nfs/dbraw/zinc/81/07/60/1073810760.db2.gz PDAYQJOIUINZAU-GFCCVEGCSA-N 0 0 428.453 -0.057 20 0 IBADRN CC1=C(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)[C@H](C)n2ncnc2N1C ZINC000791467974 1073810602 /nfs/dbraw/zinc/81/06/02/1073810602.db2.gz PDAYQJOIUINZAU-LBPRGKRZSA-N 0 0 428.453 -0.057 20 0 IBADRN CC1=C(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)[C@@H](C)n2ncnc2N1C ZINC000791470729 1073810614 /nfs/dbraw/zinc/81/06/14/1073810614.db2.gz XVXXDZOQBDEOKT-GHMZBOCLSA-N 0 0 426.455 -0.881 20 0 IBADRN CC1=C(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)[C@@H](C)n2ncnc2N1C ZINC000791470730 1073810656 /nfs/dbraw/zinc/81/06/56/1073810656.db2.gz XVXXDZOQBDEOKT-MNOVXSKESA-N 0 0 426.455 -0.881 20 0 IBADRN CC1=C(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)[C@H](C)n2ncnc2N1C ZINC000791470731 1073810754 /nfs/dbraw/zinc/81/07/54/1073810754.db2.gz XVXXDZOQBDEOKT-QWRGUYRKSA-N 0 0 426.455 -0.881 20 0 IBADRN CC1=C(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)[C@H](C)n2ncnc2N1C ZINC000791470732 1073810661 /nfs/dbraw/zinc/81/06/61/1073810661.db2.gz XVXXDZOQBDEOKT-WDEREUQCSA-N 0 0 426.455 -0.881 20 0 IBADRN CCc1nn(C)c(CC)c1CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000791493341 1073810291 /nfs/dbraw/zinc/81/02/91/1073810291.db2.gz HGIFHSSUILEJQA-HNNXBMFYSA-N 0 0 440.566 -0.038 20 0 IBADRN CCc1nn(C)c(CC)c1CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000791493346 1073810147 /nfs/dbraw/zinc/81/01/47/1073810147.db2.gz HGIFHSSUILEJQA-OAHLLOKOSA-N 0 0 440.566 -0.038 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)c1 ZINC000791498574 1073810780 /nfs/dbraw/zinc/81/07/80/1073810780.db2.gz QSZKRXDOZGUBRS-UHFFFAOYSA-N 0 0 425.444 -0.214 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3cccc(S(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000791508676 1073810643 /nfs/dbraw/zinc/81/06/43/1073810643.db2.gz MLUHBCIDXYDVQX-UHFFFAOYSA-N 0 0 434.430 -0.737 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCC(=O)c3cnn(C)n3)c2)CC1 ZINC000791523135 1073810724 /nfs/dbraw/zinc/81/07/24/1073810724.db2.gz YTROQBISLLYIKD-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCc2nc(N)nc(N(C)C)n2)cc1OC ZINC000791524701 1073810772 /nfs/dbraw/zinc/81/07/72/1073810772.db2.gz QHODHZPTSHOBFJ-UHFFFAOYSA-N 0 0 426.455 -0.441 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCC(=O)c3cnn(C)n3)cc2)CC1 ZINC000791538363 1073810685 /nfs/dbraw/zinc/81/06/85/1073810685.db2.gz UVWNODROEWTNKC-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)C2)c1 ZINC000791559138 1073810767 /nfs/dbraw/zinc/81/07/67/1073810767.db2.gz QXDLKEBRYYNGID-KRWDZBQOSA-N 0 0 427.479 -0.025 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)C2)c1 ZINC000791559139 1073810670 /nfs/dbraw/zinc/81/06/70/1073810670.db2.gz QXDLKEBRYYNGID-QGZVFWFLSA-N 0 0 427.479 -0.025 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000791586279 1073810633 /nfs/dbraw/zinc/81/06/33/1073810633.db2.gz YCSHJDNAEHBTKG-GDBMZVCRSA-N 0 0 449.485 -0.707 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000791586282 1073810746 /nfs/dbraw/zinc/81/07/46/1073810746.db2.gz YCSHJDNAEHBTKG-GOEBONIOSA-N 0 0 449.485 -0.707 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000791586284 1073810714 /nfs/dbraw/zinc/81/07/14/1073810714.db2.gz YCSHJDNAEHBTKG-HOCLYGCPSA-N 0 0 449.485 -0.707 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000791586286 1073810704 /nfs/dbraw/zinc/81/07/04/1073810704.db2.gz YCSHJDNAEHBTKG-ZBFHGGJFSA-N 0 0 449.485 -0.707 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1cccc(S(C)(=O)=O)c1)c(=O)n2C ZINC000791593079 1073810621 /nfs/dbraw/zinc/81/06/21/1073810621.db2.gz PZRBMRMIFSVPNF-UHFFFAOYSA-N 0 0 434.430 -0.737 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)C3(S(C)(=O)=O)CC3)cc2)CC1 ZINC000791595722 1073811391 /nfs/dbraw/zinc/81/13/91/1073811391.db2.gz XOHQBWZKBFXKHC-UHFFFAOYSA-N 0 0 430.504 -0.113 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)c3cccc(S(C)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000791637119 1073811242 /nfs/dbraw/zinc/81/12/42/1073811242.db2.gz GRALXSGACZKIGB-UHFFFAOYSA-N 0 0 448.457 -0.347 20 0 IBADRN CC[C@H](C(=O)OC)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000791639693 1073811107 /nfs/dbraw/zinc/81/11/07/1073811107.db2.gz SXKFRYPHKSPMKD-CYBMUJFWSA-N 0 0 431.467 -0.133 20 0 IBADRN CC[C@@H](C(=O)OC)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000791639695 1073811258 /nfs/dbraw/zinc/81/12/58/1073811258.db2.gz SXKFRYPHKSPMKD-ZDUSSCGKSA-N 0 0 431.467 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCC(=O)c2cnn(C)n2)c1 ZINC000791651332 1073811049 /nfs/dbraw/zinc/81/10/49/1073811049.db2.gz OOWFCVBEDISTCJ-UHFFFAOYSA-N 0 0 437.478 -0.058 20 0 IBADRN Cc1c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nnn1Cc1ccc(F)cc1F ZINC000791664534 1073811133 /nfs/dbraw/zinc/81/11/33/1073811133.db2.gz LLAOLIRFQIELGZ-UHFFFAOYSA-N 0 0 448.386 -0.068 20 0 IBADRN O=C(OC[C@H]1CCCS(=O)(=O)C1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000791675494 1073811153 /nfs/dbraw/zinc/81/11/53/1073811153.db2.gz NDXZZJAUVCVGPO-CYBMUJFWSA-N 0 0 430.504 -0.211 20 0 IBADRN O=C(OC[C@@H]1CCCS(=O)(=O)C1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000791675498 1073811174 /nfs/dbraw/zinc/81/11/74/1073811174.db2.gz NDXZZJAUVCVGPO-ZDUSSCGKSA-N 0 0 430.504 -0.211 20 0 IBADRN O=C(OC[C@H]1CCCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000791692242 1073811337 /nfs/dbraw/zinc/81/13/37/1073811337.db2.gz XGZYJDGSHWFWBO-CYBMUJFWSA-N 0 0 430.504 -0.211 20 0 IBADRN O=C(OC[C@@H]1CCCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000791692243 1073811227 /nfs/dbraw/zinc/81/12/27/1073811227.db2.gz XGZYJDGSHWFWBO-ZDUSSCGKSA-N 0 0 430.504 -0.211 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N[C@@H](COC)C(N)=O ZINC000791701765 1073811296 /nfs/dbraw/zinc/81/12/96/1073811296.db2.gz CZUGEARNYGQTOE-AWEZNQCLSA-N 0 0 430.483 -0.272 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N[C@H](COC)C(N)=O ZINC000791701767 1073811809 /nfs/dbraw/zinc/81/18/09/1073811809.db2.gz CZUGEARNYGQTOE-CQSZACIVSA-N 0 0 430.483 -0.272 20 0 IBADRN COc1cncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC000791702556 1073811826 /nfs/dbraw/zinc/81/18/26/1073811826.db2.gz KQTYYTPOPQTMBI-UHFFFAOYSA-N 0 0 439.450 -0.220 20 0 IBADRN CCOc1ccc(NC(=O)N[C@H](COC)C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000791723747 1073811730 /nfs/dbraw/zinc/81/17/30/1073811730.db2.gz VIYHYVWHJZCVER-CYBMUJFWSA-N 0 0 430.483 -0.272 20 0 IBADRN CCOc1ccc(NC(=O)N[C@@H](COC)C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000791723749 1073811830 /nfs/dbraw/zinc/81/18/30/1073811830.db2.gz VIYHYVWHJZCVER-ZDUSSCGKSA-N 0 0 430.483 -0.272 20 0 IBADRN COC[C@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)OC)C2)cc1)C(N)=O ZINC000791724173 1073811791 /nfs/dbraw/zinc/81/17/91/1073811791.db2.gz ILYAFUYALRPXBQ-DOMZBBRYSA-N 0 0 442.494 -0.118 20 0 IBADRN COC[C@@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)OC)C2)cc1)C(N)=O ZINC000791724175 1073811857 /nfs/dbraw/zinc/81/18/57/1073811857.db2.gz ILYAFUYALRPXBQ-IUODEOHRSA-N 0 0 442.494 -0.118 20 0 IBADRN COC[C@@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)OC)C2)cc1)C(N)=O ZINC000791724177 1073811787 /nfs/dbraw/zinc/81/17/87/1073811787.db2.gz ILYAFUYALRPXBQ-SWLSCSKDSA-N 0 0 442.494 -0.118 20 0 IBADRN COC[C@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)OC)C2)cc1)C(N)=O ZINC000791724180 1073811753 /nfs/dbraw/zinc/81/17/53/1073811753.db2.gz ILYAFUYALRPXBQ-WFASDCNBSA-N 0 0 442.494 -0.118 20 0 IBADRN COC[C@H](NC(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1)C(N)=O ZINC000791744728 1073811819 /nfs/dbraw/zinc/81/18/19/1073811819.db2.gz ZYDMIDQUGJYIDF-KRWDZBQOSA-N 0 0 441.492 -0.010 20 0 IBADRN COC[C@@H](NC(=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1)C(N)=O ZINC000791744731 1073811745 /nfs/dbraw/zinc/81/17/45/1073811745.db2.gz ZYDMIDQUGJYIDF-QGZVFWFLSA-N 0 0 441.492 -0.010 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)OC ZINC000791753703 1073811852 /nfs/dbraw/zinc/81/18/52/1073811852.db2.gz ISMBZVMOAUEBGH-MRVPVSSYSA-N 0 0 444.242 -0.497 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCN2C(=O)CCC2=O)C1=O ZINC000791758245 1073811797 /nfs/dbraw/zinc/81/17/97/1073811797.db2.gz HZSGPEXCGGSFSW-FQEVSTJZSA-N 0 0 430.417 -0.043 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCN2C(=O)CCC2=O)C1=O ZINC000791758246 1073811816 /nfs/dbraw/zinc/81/18/16/1073811816.db2.gz HZSGPEXCGGSFSW-HXUWFJFHSA-N 0 0 430.417 -0.043 20 0 IBADRN C[C@@H](OC(=O)CCN1C(=O)CCC1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000791768150 1073811804 /nfs/dbraw/zinc/81/18/04/1073811804.db2.gz XIYFNRFOULISBH-GFCCVEGCSA-N 0 0 425.463 -0.537 20 0 IBADRN C[C@H](OC(=O)CCN1C(=O)CCC1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000791768155 1073811811 /nfs/dbraw/zinc/81/18/11/1073811811.db2.gz XIYFNRFOULISBH-LBPRGKRZSA-N 0 0 425.463 -0.537 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)c2cccc(S(C)(=O)=O)c2)cn1C ZINC000791827482 1073811845 /nfs/dbraw/zinc/81/18/45/1073811845.db2.gz SUZJWYZMKJXFRM-UHFFFAOYSA-N 0 0 429.476 -0.164 20 0 IBADRN COC[C@H](NC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(N)=O ZINC000791846048 1073811772 /nfs/dbraw/zinc/81/17/72/1073811772.db2.gz AJSUMSRMEJRVAA-AWEZNQCLSA-N 0 0 429.499 -0.605 20 0 IBADRN COC[C@@H](NC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(N)=O ZINC000791846053 1073811839 /nfs/dbraw/zinc/81/18/39/1073811839.db2.gz AJSUMSRMEJRVAA-CQSZACIVSA-N 0 0 429.499 -0.605 20 0 IBADRN COc1cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1OCC(N)=O ZINC000791883640 1073812216 /nfs/dbraw/zinc/81/22/16/1073812216.db2.gz UMDGORFDQCJHHA-UHFFFAOYSA-N 0 0 431.405 -0.837 20 0 IBADRN COc1cc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)ccc1OCC(N)=O ZINC000791884279 1073812333 /nfs/dbraw/zinc/81/23/33/1073812333.db2.gz LBCHEMNKJDDVAZ-UHFFFAOYSA-N 0 0 445.432 -0.447 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000791893353 1073812292 /nfs/dbraw/zinc/81/22/92/1073812292.db2.gz LSQVZNJYGMTWAD-AUUYWEPGSA-N 0 0 437.474 -0.061 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000791893354 1073812301 /nfs/dbraw/zinc/81/23/01/1073812301.db2.gz LSQVZNJYGMTWAD-IFXJQAMLSA-N 0 0 437.474 -0.061 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000791893355 1073812270 /nfs/dbraw/zinc/81/22/70/1073812270.db2.gz LSQVZNJYGMTWAD-KUHUBIRLSA-N 0 0 437.474 -0.061 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000791893356 1073812483 /nfs/dbraw/zinc/81/24/83/1073812483.db2.gz LSQVZNJYGMTWAD-LIRRHRJNSA-N 0 0 437.474 -0.061 20 0 IBADRN C[C@H](COC(=O)c1cn(C)c(=O)[nH]c1=O)O[C@@H](C)COC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000791893608 1073812363 /nfs/dbraw/zinc/81/23/63/1073812363.db2.gz JONSFUZEOAOCJT-AOOOYVTPSA-N 0 0 438.393 -0.907 20 0 IBADRN C[C@H](COC(=O)c1cn(C)c(=O)[nH]c1=O)O[C@H](C)COC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000791893610 1073812261 /nfs/dbraw/zinc/81/22/61/1073812261.db2.gz JONSFUZEOAOCJT-NXEZZACHSA-N 0 0 438.393 -0.907 20 0 IBADRN C[C@@H](COC(=O)c1cn(C)c(=O)[nH]c1=O)O[C@@H](C)COC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000791893849 1073812282 /nfs/dbraw/zinc/81/22/82/1073812282.db2.gz JONSFUZEOAOCJT-UWVGGRQHSA-N 0 0 438.393 -0.907 20 0 IBADRN O=C(COC(=O)[C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000791894229 1073812349 /nfs/dbraw/zinc/81/23/49/1073812349.db2.gz ZSLZORZJUFFQCP-CYBMUJFWSA-N 0 0 448.494 -0.364 20 0 IBADRN O=C(COC(=O)[C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000791894231 1073812230 /nfs/dbraw/zinc/81/22/30/1073812230.db2.gz ZSLZORZJUFFQCP-ZDUSSCGKSA-N 0 0 448.494 -0.364 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000791948835 1073812423 /nfs/dbraw/zinc/81/24/23/1073812423.db2.gz DRQPSCDRXJVUNL-UHFFFAOYSA-N 0 0 431.467 -0.085 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)CCO)cc1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000791968363 1073812918 /nfs/dbraw/zinc/81/29/18/1073812918.db2.gz KOUKHXIBNHAIKQ-UHFFFAOYSA-N 0 0 425.488 -0.434 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)CCO)cc1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000791969273 1073812393 /nfs/dbraw/zinc/81/23/93/1073812393.db2.gz GPABXTGXPUFHEJ-UHFFFAOYSA-N 0 0 425.488 -0.434 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC000791969290 1073812196 /nfs/dbraw/zinc/81/21/96/1073812196.db2.gz HSVQHOQFPGXTJB-UHFFFAOYSA-N 0 0 438.483 -0.087 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)CCO)cc1)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000791970244 1073813115 /nfs/dbraw/zinc/81/31/15/1073813115.db2.gz HDWZAUDBRXJEFH-INIZCTEOSA-N 0 0 425.488 -0.201 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)CCO)cc1)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000791970245 1073812966 /nfs/dbraw/zinc/81/29/66/1073812966.db2.gz HDWZAUDBRXJEFH-MRXNPFEDSA-N 0 0 425.488 -0.201 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)c1cccc(S(C)(=O)=O)c1 ZINC000792016837 1073812903 /nfs/dbraw/zinc/81/29/03/1073812903.db2.gz OTERZNKSSZNSOY-LLVKDONJSA-N 0 0 429.476 -0.084 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)c1cccc(S(C)(=O)=O)c1 ZINC000792016839 1073812954 /nfs/dbraw/zinc/81/29/54/1073812954.db2.gz OTERZNKSSZNSOY-NSHDSACASA-N 0 0 429.476 -0.084 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000792030717 1073812832 /nfs/dbraw/zinc/81/28/32/1073812832.db2.gz NXFBDOIDAPOYDG-UHFFFAOYSA-N 0 0 441.573 -0.164 20 0 IBADRN COc1cccc(C2=NO[C@@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)c1 ZINC000792034419 1073813424 /nfs/dbraw/zinc/81/34/24/1073813424.db2.gz QAIYNKIRPOUPCP-GOSISDBHSA-N 0 0 430.461 -0.282 20 0 IBADRN COc1cccc(C2=NO[C@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2)c1 ZINC000792034426 1073813440 /nfs/dbraw/zinc/81/34/40/1073813440.db2.gz QAIYNKIRPOUPCP-SFHVURJKSA-N 0 0 430.461 -0.282 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000792042387 1073813499 /nfs/dbraw/zinc/81/34/99/1073813499.db2.gz VLQQUUGSYZWWGT-AWEZNQCLSA-N 0 0 434.486 -0.010 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000792042390 1073813565 /nfs/dbraw/zinc/81/35/65/1073813565.db2.gz VLQQUUGSYZWWGT-CQSZACIVSA-N 0 0 434.486 -0.010 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000792045577 1073813449 /nfs/dbraw/zinc/81/34/49/1073813449.db2.gz YXURICXIPBYFAE-JTQLQIEISA-N 0 0 432.275 -0.577 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000792045583 1073813596 /nfs/dbraw/zinc/81/35/96/1073813596.db2.gz YXURICXIPBYFAE-SNVBAGLBSA-N 0 0 432.275 -0.577 20 0 IBADRN COc1cccc(C2=NO[C@@H](C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)C2)c1 ZINC000792046480 1073813558 /nfs/dbraw/zinc/81/35/58/1073813558.db2.gz KPQPJRSHZMCABX-GOSISDBHSA-N 0 0 438.506 -0.091 20 0 IBADRN COc1cccc(C2=NO[C@H](C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)C2)c1 ZINC000792046481 1073813542 /nfs/dbraw/zinc/81/35/42/1073813542.db2.gz KPQPJRSHZMCABX-SFHVURJKSA-N 0 0 438.506 -0.091 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000792052327 1073813522 /nfs/dbraw/zinc/81/35/22/1073813522.db2.gz QZGOEJZRHNKAPQ-UHFFFAOYSA-N 0 0 433.575 -0.120 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000792056640 1073813041 /nfs/dbraw/zinc/81/30/41/1073813041.db2.gz CPZACOKPNAMCEV-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000792056641 1073813085 /nfs/dbraw/zinc/81/30/85/1073813085.db2.gz CPZACOKPNAMCEV-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000792057783 1073812981 /nfs/dbraw/zinc/81/29/81/1073812981.db2.gz KOSVSWUUVCPGFB-PXAZEXFGSA-N 0 0 439.490 -0.042 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000792057787 1073812940 /nfs/dbraw/zinc/81/29/40/1073812940.db2.gz KOSVSWUUVCPGFB-SJCJKPOMSA-N 0 0 439.490 -0.042 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000792057791 1073813134 /nfs/dbraw/zinc/81/31/34/1073813134.db2.gz KOSVSWUUVCPGFB-SJKOYZFVSA-N 0 0 439.490 -0.042 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000792057796 1073813075 /nfs/dbraw/zinc/81/30/75/1073813075.db2.gz KOSVSWUUVCPGFB-YVEFUNNKSA-N 0 0 439.490 -0.042 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)CC1 ZINC000792058445 1073812866 /nfs/dbraw/zinc/81/28/66/1073812866.db2.gz VCSAVISOTOFKNQ-HNNXBMFYSA-N 0 0 433.575 -0.039 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)CC1 ZINC000792058450 1073813058 /nfs/dbraw/zinc/81/30/58/1073813058.db2.gz VCSAVISOTOFKNQ-OAHLLOKOSA-N 0 0 433.575 -0.039 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000792061428 1073812992 /nfs/dbraw/zinc/81/29/92/1073812992.db2.gz XKWRZTCDZHPQNR-HNNXBMFYSA-N 0 0 446.570 -0.261 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000792061429 1073813507 /nfs/dbraw/zinc/81/35/07/1073813507.db2.gz XKWRZTCDZHPQNR-OAHLLOKOSA-N 0 0 446.570 -0.261 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000792062329 1073813466 /nfs/dbraw/zinc/81/34/66/1073813466.db2.gz UGGYZUWJXOZNLA-UHFFFAOYSA-N 0 0 437.541 -0.121 20 0 IBADRN O=C([C@@H]1CCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000792064449 1073813576 /nfs/dbraw/zinc/81/35/76/1073813576.db2.gz ZBNHLSMNNAEFDX-CHWSQXEVSA-N 0 0 447.501 -0.468 20 0 IBADRN O=C([C@@H]1CCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000792064450 1073813459 /nfs/dbraw/zinc/81/34/59/1073813459.db2.gz ZBNHLSMNNAEFDX-OLZOCXBDSA-N 0 0 447.501 -0.468 20 0 IBADRN O=C([C@H]1CCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000792064451 1073813601 /nfs/dbraw/zinc/81/36/01/1073813601.db2.gz ZBNHLSMNNAEFDX-QWHCGFSZSA-N 0 0 447.501 -0.468 20 0 IBADRN O=C([C@H]1CCN(CC(F)(F)F)C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000792064453 1073813394 /nfs/dbraw/zinc/81/33/94/1073813394.db2.gz ZBNHLSMNNAEFDX-STQMWFEESA-N 0 0 447.501 -0.468 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC000792081311 1073814154 /nfs/dbraw/zinc/81/41/54/1073814154.db2.gz KGUQQKARVYAHAU-UHFFFAOYSA-N 0 0 447.602 -0.377 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000792082517 1073814121 /nfs/dbraw/zinc/81/41/21/1073814121.db2.gz RRSXYCKXFYEOSF-GOSISDBHSA-N 0 0 440.522 -0.110 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)c1 ZINC000792082518 1073814146 /nfs/dbraw/zinc/81/41/46/1073814146.db2.gz RRSXYCKXFYEOSF-SFHVURJKSA-N 0 0 440.522 -0.110 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000792114129 1073814179 /nfs/dbraw/zinc/81/41/79/1073814179.db2.gz LAIKEFGDIVPNBO-HNNXBMFYSA-N 0 0 441.428 -0.604 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000792114146 1073813631 /nfs/dbraw/zinc/81/36/31/1073813631.db2.gz LAIKEFGDIVPNBO-OAHLLOKOSA-N 0 0 441.428 -0.604 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000792114813 1073813611 /nfs/dbraw/zinc/81/36/11/1073813611.db2.gz QDGSGSPOOWHBMY-UHFFFAOYSA-N 0 0 434.559 -0.293 20 0 IBADRN CN1C[C@H](NC(=O)c2cc(Br)c(C(=O)N[C@H]3CC(=O)N(C)C3)o2)CC1=O ZINC000792116439 1073814030 /nfs/dbraw/zinc/81/40/30/1073814030.db2.gz JATSYUYGFFZRLY-BDAKNGLRSA-N 0 0 427.255 -0.037 20 0 IBADRN CN1C[C@H](NC(=O)c2oc(C(=O)N[C@H]3CC(=O)N(C)C3)cc2Br)CC1=O ZINC000792116440 1073814149 /nfs/dbraw/zinc/81/41/49/1073814149.db2.gz JATSYUYGFFZRLY-DTWKUNHWSA-N 0 0 427.255 -0.037 20 0 IBADRN CN1C[C@@H](NC(=O)c2cc(Br)c(C(=O)N[C@H]3CC(=O)N(C)C3)o2)CC1=O ZINC000792116441 1073814015 /nfs/dbraw/zinc/81/40/15/1073814015.db2.gz JATSYUYGFFZRLY-IUCAKERBSA-N 0 0 427.255 -0.037 20 0 IBADRN CN1C[C@H](NC(=O)c2cc(Br)c(C(=O)N[C@@H]3CC(=O)N(C)C3)o2)CC1=O ZINC000792116442 1073814165 /nfs/dbraw/zinc/81/41/65/1073814165.db2.gz JATSYUYGFFZRLY-RKDXNWHRSA-N 0 0 427.255 -0.037 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CC1 ZINC000792129916 1073814169 /nfs/dbraw/zinc/81/41/69/1073814169.db2.gz PQUVIJCNHOORHB-UHFFFAOYSA-N 0 0 436.517 -0.713 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)c1 ZINC000792130015 1073814392 /nfs/dbraw/zinc/81/43/92/1073814392.db2.gz REJGFYSXASKUHF-KRWDZBQOSA-N 0 0 429.437 -0.446 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)c1 ZINC000792130017 1073814722 /nfs/dbraw/zinc/81/47/22/1073814722.db2.gz REJGFYSXASKUHF-QGZVFWFLSA-N 0 0 429.437 -0.446 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)c1cc(Br)c(C(=O)N2CCNC(=O)[C@@H]2C)o1 ZINC000792130367 1073814079 /nfs/dbraw/zinc/81/40/79/1073814079.db2.gz SXRXDKGYXOBVFA-BDAKNGLRSA-N 0 0 427.255 -0.037 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)c1oc(C(=O)N2CCNC(=O)[C@@H]2C)cc1Br ZINC000792130368 1073814115 /nfs/dbraw/zinc/81/41/15/1073814115.db2.gz SXRXDKGYXOBVFA-DTWKUNHWSA-N 0 0 427.255 -0.037 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)c1cc(Br)c(C(=O)N2CCNC(=O)[C@@H]2C)o1 ZINC000792130369 1073814126 /nfs/dbraw/zinc/81/41/26/1073814126.db2.gz SXRXDKGYXOBVFA-IUCAKERBSA-N 0 0 427.255 -0.037 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)c1cc(Br)c(C(=O)N2CCNC(=O)[C@H]2C)o1 ZINC000792130371 1073814108 /nfs/dbraw/zinc/81/41/08/1073814108.db2.gz SXRXDKGYXOBVFA-RKDXNWHRSA-N 0 0 427.255 -0.037 20 0 IBADRN Cn1ncc(C(=O)COC(=O)C=C(Br)C(=O)OCC(=O)c2cnn(C)n2)n1 ZINC000792130896 1073814138 /nfs/dbraw/zinc/81/41/38/1073814138.db2.gz WAEPBNFMDRCEGL-BAQGIRSFSA-N 0 0 441.198 -0.626 20 0 IBADRN Cn1ncc(C(=O)COC(=O)C=C(Br)C(=O)OCC(=O)c2cnn(C)n2)n1 ZINC000792130901 1073814143 /nfs/dbraw/zinc/81/41/43/1073814143.db2.gz WAEPBNFMDRCEGL-FPYGCLRLSA-N 0 0 441.198 -0.626 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)C2)c1 ZINC000792132474 1073814714 /nfs/dbraw/zinc/81/47/14/1073814714.db2.gz GHVYQNVZYSCWSZ-PXAZEXFGSA-N 0 0 439.490 -0.042 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)C2)c1 ZINC000792132476 1073814476 /nfs/dbraw/zinc/81/44/76/1073814476.db2.gz GHVYQNVZYSCWSZ-SJCJKPOMSA-N 0 0 439.490 -0.042 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)C2)c1 ZINC000792132477 1073814758 /nfs/dbraw/zinc/81/47/58/1073814758.db2.gz GHVYQNVZYSCWSZ-SJKOYZFVSA-N 0 0 439.490 -0.042 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)C2)c1 ZINC000792132478 1073814612 /nfs/dbraw/zinc/81/46/12/1073814612.db2.gz GHVYQNVZYSCWSZ-YVEFUNNKSA-N 0 0 439.490 -0.042 20 0 IBADRN C[C@H](NC(=O)CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000792133239 1073814540 /nfs/dbraw/zinc/81/45/40/1073814540.db2.gz LVDGQIWQMGNVFF-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN C[C@@H](NC(=O)CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000792133240 1073814768 /nfs/dbraw/zinc/81/47/68/1073814768.db2.gz LVDGQIWQMGNVFF-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000792136436 1073814575 /nfs/dbraw/zinc/81/45/75/1073814575.db2.gz CJVCHTSQSADNDH-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000792136444 1073814740 /nfs/dbraw/zinc/81/47/40/1073814740.db2.gz CJVCHTSQSADNDH-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)NCC(=O)NCCN2CCOCC2)CC1 ZINC000792159119 1073814648 /nfs/dbraw/zinc/81/46/48/1073814648.db2.gz IOHKXVUZEVYBCJ-UHFFFAOYSA-N 0 0 427.546 -0.506 20 0 IBADRN COCCN(C)c1ccc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000792162352 1073814421 /nfs/dbraw/zinc/81/44/21/1073814421.db2.gz HZQWZDPCFDDCAD-KRWDZBQOSA-N 0 0 446.595 -0.201 20 0 IBADRN COCCN(C)c1ccc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000792162354 1073814630 /nfs/dbraw/zinc/81/46/30/1073814630.db2.gz HZQWZDPCFDDCAD-QGZVFWFLSA-N 0 0 446.595 -0.201 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000792188237 1073814444 /nfs/dbraw/zinc/81/44/44/1073814444.db2.gz RIVWGPVTAJCQHX-KRWDZBQOSA-N 0 0 433.383 -0.148 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000792188250 1073814409 /nfs/dbraw/zinc/81/44/09/1073814409.db2.gz RIVWGPVTAJCQHX-QGZVFWFLSA-N 0 0 433.383 -0.148 20 0 IBADRN CN1CCN(C(=O)c2cc(Br)c(C(=O)N3CCN(C)C(=O)C3)o2)CC1=O ZINC000792194331 1073815088 /nfs/dbraw/zinc/81/50/88/1073815088.db2.gz IMBBFSMBPFQRBG-UHFFFAOYSA-N 0 0 427.255 -0.130 20 0 IBADRN O=C(NOCCO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000792199091 1073815121 /nfs/dbraw/zinc/81/51/21/1073815121.db2.gz QIOLHWYCAGBWLV-UHFFFAOYSA-N 0 0 430.483 -0.411 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000792204997 1073815161 /nfs/dbraw/zinc/81/51/61/1073815161.db2.gz WQUQKUDXPNSPLM-INIZCTEOSA-N 0 0 438.502 -0.003 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC000792204999 1073815213 /nfs/dbraw/zinc/81/52/13/1073815213.db2.gz WQUQKUDXPNSPLM-MRXNPFEDSA-N 0 0 438.502 -0.003 20 0 IBADRN O=C(COC(=O)c1cnn(-c2cccnc2)c1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000792212745 1073815019 /nfs/dbraw/zinc/81/50/19/1073815019.db2.gz IYIRSSOXCTZVBG-KRWDZBQOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1cnn(-c2cccnc2)c1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000792212747 1073815149 /nfs/dbraw/zinc/81/51/49/1073815149.db2.gz IYIRSSOXCTZVBG-QGZVFWFLSA-N 0 0 433.490 -0.245 20 0 IBADRN COc1cc(C(F)(F)F)ncc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000792216823 1073815253 /nfs/dbraw/zinc/81/52/53/1073815253.db2.gz CJRSZBPBXMZLPA-UHFFFAOYSA-N 0 0 440.400 -0.174 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)NC(=O)OC(C)(C)C ZINC000792252212 1073815197 /nfs/dbraw/zinc/81/51/97/1073815197.db2.gz ZSJJBXYTOXYWNX-HNNXBMFYSA-N 0 0 448.590 -0.281 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)NC(=O)OC(C)(C)C ZINC000792252213 1073815221 /nfs/dbraw/zinc/81/52/21/1073815221.db2.gz ZSJJBXYTOXYWNX-OAHLLOKOSA-N 0 0 448.590 -0.281 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)NC(=O)OC(C)(C)C ZINC000792253099 1073815034 /nfs/dbraw/zinc/81/50/34/1073815034.db2.gz XGZBGDMYZQYTGD-AWEZNQCLSA-N 0 0 435.547 -0.196 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)NC(=O)OC(C)(C)C ZINC000792253106 1073815185 /nfs/dbraw/zinc/81/51/85/1073815185.db2.gz XGZBGDMYZQYTGD-CQSZACIVSA-N 0 0 435.547 -0.196 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)NC(=O)OC(C)(C)C ZINC000792256107 1073815075 /nfs/dbraw/zinc/81/50/75/1073815075.db2.gz QOOLWRLLERMPTN-AWEZNQCLSA-N 0 0 427.502 -0.388 20 0 IBADRN C[C@H](CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)NC(=O)OC(C)(C)C ZINC000792256112 1073815138 /nfs/dbraw/zinc/81/51/38/1073815138.db2.gz QOOLWRLLERMPTN-CQSZACIVSA-N 0 0 427.502 -0.388 20 0 IBADRN C[C@H](CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)NC(=O)OC(C)(C)C ZINC000792259360 1073814992 /nfs/dbraw/zinc/81/49/92/1073814992.db2.gz PYEWULQVCPQFTA-GFCCVEGCSA-N 0 0 425.490 -0.429 20 0 IBADRN C[C@@H](CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)NC(=O)OC(C)(C)C ZINC000792259374 1073815235 /nfs/dbraw/zinc/81/52/35/1073815235.db2.gz PYEWULQVCPQFTA-LBPRGKRZSA-N 0 0 425.490 -0.429 20 0 IBADRN C[C@H](CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC(=O)OC(C)(C)C ZINC000792265104 1073815588 /nfs/dbraw/zinc/81/55/88/1073815588.db2.gz VHPVFFPXIKVCHJ-CYBMUJFWSA-N 0 0 425.486 -0.098 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)NC(=O)OC(C)(C)C ZINC000792265105 1073815753 /nfs/dbraw/zinc/81/57/53/1073815753.db2.gz VHPVFFPXIKVCHJ-ZDUSSCGKSA-N 0 0 425.486 -0.098 20 0 IBADRN CNC(=O)C1CN(C(=O)c2cc(Br)c(C(=O)N3CC(C(=O)NC)C3)o2)C1 ZINC000792329210 1073815557 /nfs/dbraw/zinc/81/55/57/1073815557.db2.gz WGOLXSSCAYHRCJ-UHFFFAOYSA-N 0 0 427.255 -0.322 20 0 IBADRN CNC(=O)c1cc2cc(NC(=O)C(=O)NCCS(=O)(=O)N3CCOCC3)ccc2[nH]1 ZINC000792340834 1073815574 /nfs/dbraw/zinc/81/55/74/1073815574.db2.gz FCNQENKLSJNOBB-UHFFFAOYSA-N 0 0 437.478 -0.756 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cccc1NC(=O)N(C)C ZINC000792344938 1073815765 /nfs/dbraw/zinc/81/57/65/1073815765.db2.gz WDKYVVMFHXDRSA-UHFFFAOYSA-N 0 0 441.510 -0.195 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc(-n2cccn2)c1 ZINC000792345392 1073815703 /nfs/dbraw/zinc/81/57/03/1073815703.db2.gz XWRQQWOMZBAVAN-UHFFFAOYSA-N 0 0 437.478 -0.402 20 0 IBADRN CCn1cc([C@@H](O)CN(Cc2ccccc2)C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cn1 ZINC000792347712 1073815654 /nfs/dbraw/zinc/81/56/54/1073815654.db2.gz COMZSXXAOJYANF-PBHICJAKSA-N 0 0 437.522 -0.242 20 0 IBADRN CCn1cc([C@H](O)CN(Cc2ccccc2)C(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)cn1 ZINC000792347713 1073815721 /nfs/dbraw/zinc/81/57/21/1073815721.db2.gz COMZSXXAOJYANF-RHSMWYFYSA-N 0 0 437.522 -0.242 20 0 IBADRN CCn1cc([C@H](O)CN(Cc2ccccc2)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cn1 ZINC000792347714 1073815609 /nfs/dbraw/zinc/81/56/09/1073815609.db2.gz COMZSXXAOJYANF-WMLDXEAASA-N 0 0 437.522 -0.242 20 0 IBADRN CCn1cc([C@@H](O)CN(Cc2ccccc2)C(=O)C(=O)NC[C@H](C)S(N)(=O)=O)cn1 ZINC000792347715 1073815480 /nfs/dbraw/zinc/81/54/80/1073815480.db2.gz COMZSXXAOJYANF-YOEHRIQHSA-N 0 0 437.522 -0.242 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)NC(=O)OC(C)(C)C ZINC000792374930 1073815521 /nfs/dbraw/zinc/81/55/21/1073815521.db2.gz LOSIPYYJGIYOKM-CYBMUJFWSA-N 0 0 438.485 -0.290 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1)NC(=O)OC(C)(C)C ZINC000792374933 1073815623 /nfs/dbraw/zinc/81/56/23/1073815623.db2.gz LOSIPYYJGIYOKM-ZDUSSCGKSA-N 0 0 438.485 -0.290 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)NC(=O)NC1CC1 ZINC000792380561 1073815785 /nfs/dbraw/zinc/81/57/85/1073815785.db2.gz KSEZJODBKYFZJZ-UHFFFAOYSA-N 0 0 438.462 -0.377 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)C(=O)N1CCNC1=O ZINC000792381853 1073815541 /nfs/dbraw/zinc/81/55/41/1073815541.db2.gz ZGKUZQWKOURQBH-GFCCVEGCSA-N 0 0 438.462 -0.425 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1)C(=O)N1CCNC1=O ZINC000792381865 1073815500 /nfs/dbraw/zinc/81/55/00/1073815500.db2.gz ZGKUZQWKOURQBH-LBPRGKRZSA-N 0 0 438.462 -0.425 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)NC(=O)OC(C)(C)C ZINC000792382172 1073815636 /nfs/dbraw/zinc/81/56/36/1073815636.db2.gz VGPQFKBRQQBMMS-JTQLQIEISA-N 0 0 434.453 -0.400 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)NC(=O)OC(C)(C)C ZINC000792382173 1073815798 /nfs/dbraw/zinc/81/57/98/1073815798.db2.gz VGPQFKBRQQBMMS-SNVBAGLBSA-N 0 0 434.453 -0.400 20 0 IBADRN C[C@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)NC(=O)OC(C)(C)C ZINC000792382417 1073816194 /nfs/dbraw/zinc/81/61/94/1073816194.db2.gz CHPWQDSDMOJOCD-CYBMUJFWSA-N 0 0 438.485 -0.290 20 0 IBADRN C[C@@H](CNC(=O)C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1)NC(=O)OC(C)(C)C ZINC000792382418 1073816329 /nfs/dbraw/zinc/81/63/29/1073816329.db2.gz CHPWQDSDMOJOCD-ZDUSSCGKSA-N 0 0 438.485 -0.290 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)CNS(=O)(=O)c2ccc(N3CCCC3=O)cc2)n1 ZINC000792388294 1073815734 /nfs/dbraw/zinc/81/57/34/1073815734.db2.gz MYZJLCXBOVSVDA-UHFFFAOYSA-N 0 0 449.493 -0.332 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)NC)cn2)CC1 ZINC000792400651 1073815667 /nfs/dbraw/zinc/81/56/67/1073815667.db2.gz RNRTVGKPOZGKLI-UHFFFAOYSA-N 0 0 434.544 -0.274 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(F)c(S(C)(=O)=O)c2)CC1 ZINC000792455333 1073816155 /nfs/dbraw/zinc/81/61/55/1073816155.db2.gz LABFLHWUQYXJQG-UHFFFAOYSA-N 0 0 429.470 -0.382 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)c1nnnn1C1CC1 ZINC000792467349 1073816111 /nfs/dbraw/zinc/81/61/11/1073816111.db2.gz KKJUFNKODKFPBY-GFCCVEGCSA-N 0 0 449.493 -0.155 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)c1nnnn1C1CC1 ZINC000792467350 1073816231 /nfs/dbraw/zinc/81/62/31/1073816231.db2.gz KKJUFNKODKFPBY-LBPRGKRZSA-N 0 0 449.493 -0.155 20 0 IBADRN C[C@@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)c1nnnn1C1CC1 ZINC000792469090 1073816166 /nfs/dbraw/zinc/81/61/66/1073816166.db2.gz ROKGOBOCNIHYRF-GFCCVEGCSA-N 0 0 449.493 -0.155 20 0 IBADRN C[C@H](NC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)c1nnnn1C1CC1 ZINC000792469094 1073816219 /nfs/dbraw/zinc/81/62/19/1073816219.db2.gz ROKGOBOCNIHYRF-LBPRGKRZSA-N 0 0 449.493 -0.155 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN[C@H](C)c2nnnn2C2CC2)CC1 ZINC000792471462 1073816568 /nfs/dbraw/zinc/81/65/68/1073816568.db2.gz XJVMXSGCHJJZIH-GFCCVEGCSA-N 0 0 438.514 -0.203 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN[C@@H](C)c2nnnn2C2CC2)CC1 ZINC000792471465 1073816615 /nfs/dbraw/zinc/81/66/15/1073816615.db2.gz XJVMXSGCHJJZIH-LBPRGKRZSA-N 0 0 438.514 -0.203 20 0 IBADRN C[C@H](NCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)c1nnnn1C1CC1 ZINC000792474883 1073816886 /nfs/dbraw/zinc/81/68/86/1073816886.db2.gz MPPHAJJBJOTCFD-AWEZNQCLSA-N 0 0 449.537 -0.004 20 0 IBADRN C[C@@H](NCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)c1nnnn1C1CC1 ZINC000792474885 1073816816 /nfs/dbraw/zinc/81/68/16/1073816816.db2.gz MPPHAJJBJOTCFD-CQSZACIVSA-N 0 0 449.537 -0.004 20 0 IBADRN C[C@H](NCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)c1nnnn1C1CC1 ZINC000792475069 1073816652 /nfs/dbraw/zinc/81/66/52/1073816652.db2.gz UFJAGDDUVYGEIP-AWEZNQCLSA-N 0 0 449.537 -0.004 20 0 IBADRN C[C@@H](NCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)c1nnnn1C1CC1 ZINC000792475072 1073816848 /nfs/dbraw/zinc/81/68/48/1073816848.db2.gz UFJAGDDUVYGEIP-CQSZACIVSA-N 0 0 449.537 -0.004 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2sc(C(N)=O)c(C)c2C(=O)OCCOC)CC1=O ZINC000792476389 1073816787 /nfs/dbraw/zinc/81/67/87/1073816787.db2.gz PKDAYNWARKGFCK-UHFFFAOYSA-N 0 0 426.451 -0.455 20 0 IBADRN COc1cccc(C2=NO[C@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2)c1 ZINC000792476551 1073816900 /nfs/dbraw/zinc/81/69/00/1073816900.db2.gz RICLWJMQSCYPDO-INIZCTEOSA-N 0 0 428.449 -0.323 20 0 IBADRN COc1cccc(C2=NO[C@@H](C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2)c1 ZINC000792476552 1073816684 /nfs/dbraw/zinc/81/66/84/1073816684.db2.gz RICLWJMQSCYPDO-MRXNPFEDSA-N 0 0 428.449 -0.323 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000792483586 1073816721 /nfs/dbraw/zinc/81/67/21/1073816721.db2.gz XUYAGZOKODTHII-HNNXBMFYSA-N 0 0 443.522 -0.644 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000792483593 1073816671 /nfs/dbraw/zinc/81/66/71/1073816671.db2.gz XUYAGZOKODTHII-OAHLLOKOSA-N 0 0 443.522 -0.644 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)COCc3ccnn3C)C2=O)cc1 ZINC000792488644 1073816739 /nfs/dbraw/zinc/81/67/39/1073816739.db2.gz RKCOMSBAPMOZKN-FQEVSTJZSA-N 0 0 445.432 -0.013 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)COCc3ccnn3C)C2=O)cc1 ZINC000792488652 1073816756 /nfs/dbraw/zinc/81/67/56/1073816756.db2.gz RKCOMSBAPMOZKN-HXUWFJFHSA-N 0 0 445.432 -0.013 20 0 IBADRN Cn1nccc1COCC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000792489044 1073816862 /nfs/dbraw/zinc/81/68/62/1073816862.db2.gz NVZQQXTXQUFFOX-UHFFFAOYSA-N 0 0 428.453 -0.495 20 0 IBADRN Cn1nccc1COCC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000792499280 1073816261 /nfs/dbraw/zinc/81/62/61/1073816261.db2.gz WXGGWJBCYTVTPD-CVEARBPZSA-N 0 0 429.495 -0.325 20 0 IBADRN Cn1nccc1COCC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000792499281 1073816136 /nfs/dbraw/zinc/81/61/36/1073816136.db2.gz WXGGWJBCYTVTPD-HOTGVXAUSA-N 0 0 429.495 -0.325 20 0 IBADRN Cn1nccc1COCC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000792499283 1073816598 /nfs/dbraw/zinc/81/65/98/1073816598.db2.gz WXGGWJBCYTVTPD-HZPDHXFCSA-N 0 0 429.495 -0.325 20 0 IBADRN Cn1nccc1COCC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000792499284 1073816805 /nfs/dbraw/zinc/81/68/05/1073816805.db2.gz WXGGWJBCYTVTPD-JKSUJKDBSA-N 0 0 429.495 -0.325 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)CN2C(=O)c3ccccc3C2=O)cc1 ZINC000792509118 1073817259 /nfs/dbraw/zinc/81/72/59/1073817259.db2.gz LDLGYRBYVNKYTH-UHFFFAOYSA-N 0 0 445.453 -0.168 20 0 IBADRN Cn1nccc1COCC(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000792512802 1073817275 /nfs/dbraw/zinc/81/72/75/1073817275.db2.gz SEUQCDGZJVVAQV-UHFFFAOYSA-N 0 0 441.444 -0.146 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)n1 ZINC000792519309 1073817468 /nfs/dbraw/zinc/81/74/68/1073817468.db2.gz PTEQKUDSAREBRH-UHFFFAOYSA-N 0 0 433.490 -0.006 20 0 IBADRN COc1ccc(NC(=O)COC(=O)c2cnn(C)n2)cc1S(=O)(=O)N1CCOCC1 ZINC000792519712 1073817292 /nfs/dbraw/zinc/81/72/92/1073817292.db2.gz YHWGBYHKZKOSNI-UHFFFAOYSA-N 0 0 439.450 -0.360 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)c1cnn(C)n1 ZINC000792520178 1073817404 /nfs/dbraw/zinc/81/74/04/1073817404.db2.gz XXUVTMKMIDKHEC-UHFFFAOYSA-N 0 0 439.450 -0.360 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnn(C)n3)CC2)c1 ZINC000792524802 1073817305 /nfs/dbraw/zinc/81/73/05/1073817305.db2.gz IVULZPGSGHWAKW-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN CCN(C(=O)COC(=O)c1cnn(C)n1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000792525822 1073817189 /nfs/dbraw/zinc/81/71/89/1073817189.db2.gz NYTJLLZUXISFAN-UHFFFAOYSA-N 0 0 427.421 -0.082 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnn(C)n3)CC2)cc1 ZINC000792536206 1073817435 /nfs/dbraw/zinc/81/74/35/1073817435.db2.gz FMPXSVUELBJYNW-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)n1 ZINC000792544221 1073817208 /nfs/dbraw/zinc/81/72/08/1073817208.db2.gz IANZNWGTDOVXCV-UHFFFAOYSA-N 0 0 429.405 -0.217 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnn(C)n3)CC2)cc1 ZINC000792544970 1073817359 /nfs/dbraw/zinc/81/73/59/1073817359.db2.gz RKTRNMSSWXMQMG-UHFFFAOYSA-N 0 0 437.478 -0.096 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cc2ccccc2S(C)(=O)=O)CC1 ZINC000792565999 1073817723 /nfs/dbraw/zinc/81/77/23/1073817723.db2.gz WTEBLCSWOBAXGM-UHFFFAOYSA-N 0 0 425.507 -0.592 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)C(C)(C)S(=O)(=O)C2CC2)c(=O)n(C)c1=O ZINC000792623329 1073818538 /nfs/dbraw/zinc/81/85/38/1073818538.db2.gz LSAQBRCXOBXNOC-UHFFFAOYSA-N 0 0 429.495 -0.133 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)NC(=O)NC1CC1 ZINC000792637176 1073818491 /nfs/dbraw/zinc/81/84/91/1073818491.db2.gz FAVDWCCCSRVPQG-LLVKDONJSA-N 0 0 428.467 -0.421 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)NC(=O)NC1CC1 ZINC000792637177 1073818348 /nfs/dbraw/zinc/81/83/48/1073818348.db2.gz FAVDWCCCSRVPQG-NSHDSACASA-N 0 0 428.467 -0.421 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000792637552 1073818317 /nfs/dbraw/zinc/81/83/17/1073818317.db2.gz HBVVYMWFCAUOOX-CYBMUJFWSA-N 0 0 449.464 -0.831 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000792637559 1073818834 /nfs/dbraw/zinc/81/88/34/1073818834.db2.gz HBVVYMWFCAUOOX-ZDUSSCGKSA-N 0 0 449.464 -0.831 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000792638098 1073818560 /nfs/dbraw/zinc/81/85/60/1073818560.db2.gz KIJIWVBSLSFEMV-CYBMUJFWSA-N 0 0 449.464 -0.689 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000792638100 1073818256 /nfs/dbraw/zinc/81/82/56/1073818256.db2.gz KIJIWVBSLSFEMV-ZDUSSCGKSA-N 0 0 449.464 -0.689 20 0 IBADRN CC(C)(C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)S(=O)(=O)C1CC1 ZINC000792638434 1073819011 /nfs/dbraw/zinc/81/90/11/1073819011.db2.gz PVBXDVRTYPINIO-UHFFFAOYSA-N 0 0 432.520 -0.108 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@@H](C)OC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)o1 ZINC000792638673 1073818849 /nfs/dbraw/zinc/81/88/49/1073818849.db2.gz QFAMBHAJJZHDGT-LLVKDONJSA-N 0 0 445.432 -0.133 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@H](C)OC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)o1 ZINC000792638674 1073818817 /nfs/dbraw/zinc/81/88/17/1073818817.db2.gz QFAMBHAJJZHDGT-NSHDSACASA-N 0 0 445.432 -0.133 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)n1 ZINC000792638800 1073818880 /nfs/dbraw/zinc/81/88/80/1073818880.db2.gz SFGFBOXVRSTLNH-UHFFFAOYSA-N 0 0 425.471 -0.764 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)C(C)(C)S(=O)(=O)C2CC2)CC1 ZINC000792644262 1073818982 /nfs/dbraw/zinc/81/89/82/1073818982.db2.gz LGRRWQWLUSBAOB-UHFFFAOYSA-N 0 0 431.555 -0.056 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)N3CCN(CCS(N)(=O)=O)CC3)C2)c1 ZINC000792644728 1073818993 /nfs/dbraw/zinc/81/89/93/1073818993.db2.gz UIWPGFCETNSAFT-KRWDZBQOSA-N 0 0 426.495 -0.371 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)N3CCN(CCS(N)(=O)=O)CC3)C2)c1 ZINC000792644730 1073818912 /nfs/dbraw/zinc/81/89/12/1073818912.db2.gz UIWPGFCETNSAFT-QGZVFWFLSA-N 0 0 426.495 -0.371 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(F)c(S(N)(=O)=O)c2)C1 ZINC000792653250 1073818773 /nfs/dbraw/zinc/81/87/73/1073818773.db2.gz MQQZKCCWANBMCP-CYBMUJFWSA-N 0 0 437.515 -0.014 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(F)c(S(N)(=O)=O)c2)C1 ZINC000792653253 1073818741 /nfs/dbraw/zinc/81/87/41/1073818741.db2.gz MQQZKCCWANBMCP-ZDUSSCGKSA-N 0 0 437.515 -0.014 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2F)C1 ZINC000792654498 1073819022 /nfs/dbraw/zinc/81/90/22/1073819022.db2.gz VNWMFYGYELNAPS-CYBMUJFWSA-N 0 0 437.515 -0.014 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2F)C1 ZINC000792654500 1073818898 /nfs/dbraw/zinc/81/88/98/1073818898.db2.gz VNWMFYGYELNAPS-ZDUSSCGKSA-N 0 0 437.515 -0.014 20 0 IBADRN Cn1[nH]c(C2CCN(C(=O)OC(C)(C)C)CC2)nc1=NC(=O)Cn1ccc(=O)n(C)c1=O ZINC000792670190 1073819528 /nfs/dbraw/zinc/81/95/28/1073819528.db2.gz NHQBIMJMWFKVGQ-UHFFFAOYSA-N 0 0 447.496 -0.149 20 0 IBADRN Cn1[nH]c(C2CCN(C(=O)OC(C)(C)C)CC2)nc1=NC(=O)c1cn(CC(N)=O)nn1 ZINC000792675038 1073819405 /nfs/dbraw/zinc/81/94/05/1073819405.db2.gz YSXHNFQLDSEKID-UHFFFAOYSA-N 0 0 433.473 -0.319 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N=c1nc(C2CCN(C(=O)OC(C)(C)C)CC2)[nH]n1C ZINC000792675788 1073819391 /nfs/dbraw/zinc/81/93/91/1073819391.db2.gz OAWVJFMHUKREQY-UHFFFAOYSA-N 0 0 437.501 -0.458 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=S)NCCNS(C)(=O)=O)CC1 ZINC000792689701 1073820090 /nfs/dbraw/zinc/82/00/90/1073820090.db2.gz BLYJISNMNKRQBL-UHFFFAOYSA-N 0 0 429.634 -0.606 20 0 IBADRN Cc1cccc2c1ncn(CCC(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)c2=O ZINC000792694005 1073820076 /nfs/dbraw/zinc/82/00/76/1073820076.db2.gz BJVZHYQYOWYFBD-UHFFFAOYSA-N 0 0 427.417 -0.499 20 0 IBADRN O=C(COC(=O)CCn1cnc2ccccc2c1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000792694159 1073820055 /nfs/dbraw/zinc/82/00/55/1073820055.db2.gz QOGVHLVUKITLJL-GFCCVEGCSA-N 0 0 436.446 -0.657 20 0 IBADRN O=C(COC(=O)CCn1cnc2ccccc2c1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000792694167 1073819844 /nfs/dbraw/zinc/81/98/44/1073819844.db2.gz QOGVHLVUKITLJL-LBPRGKRZSA-N 0 0 436.446 -0.657 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C)[C@@H]1CCS(=O)(=O)C1 ZINC000792703847 1073820388 /nfs/dbraw/zinc/82/03/88/1073820388.db2.gz CLUASVWNRSAFLL-GFCCVEGCSA-N 0 0 435.524 -0.499 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C)[C@H]1CCS(=O)(=O)C1 ZINC000792703848 1073820487 /nfs/dbraw/zinc/82/04/87/1073820487.db2.gz CLUASVWNRSAFLL-LBPRGKRZSA-N 0 0 435.524 -0.499 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000792703860 1073820068 /nfs/dbraw/zinc/82/00/68/1073820068.db2.gz DLFFEMZJSGPHRP-CYBMUJFWSA-N 0 0 447.535 -0.212 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000792703861 1073819824 /nfs/dbraw/zinc/81/98/24/1073819824.db2.gz DLFFEMZJSGPHRP-ZDUSSCGKSA-N 0 0 447.535 -0.212 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C ZINC000792703886 1073819968 /nfs/dbraw/zinc/81/99/68/1073819968.db2.gz FOCXLUWTXGFUGM-UHFFFAOYSA-N 0 0 438.462 -0.099 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C)C2=O ZINC000792703900 1073820459 /nfs/dbraw/zinc/82/04/59/1073820459.db2.gz GNOVGEUDZMGLTD-UHFFFAOYSA-N 0 0 441.466 -0.639 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000792704076 1073819987 /nfs/dbraw/zinc/81/99/87/1073819987.db2.gz BEVRSKAESSSUBX-GFCCVEGCSA-N 0 0 438.462 -0.205 20 0 IBADRN C[C@H](OC(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000792704079 1073819927 /nfs/dbraw/zinc/81/99/27/1073819927.db2.gz BEVRSKAESSSUBX-LBPRGKRZSA-N 0 0 438.462 -0.205 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000792704304 1073820029 /nfs/dbraw/zinc/82/00/29/1073820029.db2.gz HXSSAGTUYHICKY-UHFFFAOYSA-N 0 0 438.418 -0.051 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000792704407 1073819806 /nfs/dbraw/zinc/81/98/06/1073819806.db2.gz KYLMQMHHCRUUPM-UHFFFAOYSA-N 0 0 440.503 -0.125 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000792705002 1073820440 /nfs/dbraw/zinc/82/04/40/1073820440.db2.gz YVJSXHUMHLQGGS-UHFFFAOYSA-N 0 0 444.491 -0.282 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000792705033 1073820531 /nfs/dbraw/zinc/82/05/31/1073820531.db2.gz RRWHQOHXDYWNKJ-UHFFFAOYSA-N 0 0 438.462 -0.065 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)c2cc(S(N)(=O)=O)cn2C)ccc1C ZINC000792706921 1073820582 /nfs/dbraw/zinc/82/05/82/1073820582.db2.gz HULIMRYOUNOUGD-UHFFFAOYSA-N 0 0 444.491 -0.315 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)c1ccc(CCNS(C)(=O)=O)s1 ZINC000792706943 1073820545 /nfs/dbraw/zinc/82/05/45/1073820545.db2.gz LRICHZLLUKHTLU-UHFFFAOYSA-N 0 0 449.532 -0.135 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)N(CCC(N)=O)c1ccc(F)cc1 ZINC000792706945 1073820647 /nfs/dbraw/zinc/82/06/47/1073820647.db2.gz MBLYSZREIDMKNS-UHFFFAOYSA-N 0 0 426.426 -0.123 20 0 IBADRN CCCCn1c2nc(COC(=O)c3cc(S(N)(=O)=O)cn3C)n(C)c2c(=O)[nH]c1=O ZINC000792706948 1073820341 /nfs/dbraw/zinc/82/03/41/1073820341.db2.gz MFYJPWQWORZQQB-UHFFFAOYSA-N 0 0 438.466 -0.021 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC000792707336 1073820592 /nfs/dbraw/zinc/82/05/92/1073820592.db2.gz QOSJIPDGDLBORP-UHFFFAOYSA-N 0 0 443.503 -0.196 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C ZINC000792707385 1073820620 /nfs/dbraw/zinc/82/06/20/1073820620.db2.gz SXEXLJQVYLEXIF-UHFFFAOYSA-N 0 0 438.462 -0.254 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)NCC(=O)Nc1ccc(F)c(F)c1 ZINC000792707544 1073820562 /nfs/dbraw/zinc/82/05/62/1073820562.db2.gz XBYJJGUGJFZUSE-UHFFFAOYSA-N 0 0 430.389 -0.138 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000792710908 1073819422 /nfs/dbraw/zinc/81/94/22/1073819422.db2.gz DGWPZRHNKCPLTQ-CYBMUJFWSA-N 0 0 434.588 -0.301 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(Cn2nc(CCC(N)=O)n(C)c2=S)C1 ZINC000792710913 1073819442 /nfs/dbraw/zinc/81/94/42/1073819442.db2.gz DGWPZRHNKCPLTQ-ZDUSSCGKSA-N 0 0 434.588 -0.301 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000792712341 1073819559 /nfs/dbraw/zinc/81/95/59/1073819559.db2.gz QIHPNEGQQCXGDD-CYBMUJFWSA-N 0 0 432.499 -0.214 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(Cn2nc(C(=O)OC)cc2C(=O)OC)C1 ZINC000792712344 1073819497 /nfs/dbraw/zinc/81/94/97/1073819497.db2.gz QIHPNEGQQCXGDD-ZDUSSCGKSA-N 0 0 432.499 -0.214 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)C1 ZINC000792713703 1073820040 /nfs/dbraw/zinc/82/00/40/1073820040.db2.gz YAXLERHQSDTMFQ-DLBZAZTESA-N 0 0 441.554 -0.332 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)C1 ZINC000792713706 1073819999 /nfs/dbraw/zinc/81/99/99/1073819999.db2.gz YAXLERHQSDTMFQ-IAGOWNOFSA-N 0 0 441.554 -0.332 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)C1 ZINC000792713708 1073819947 /nfs/dbraw/zinc/81/99/47/1073819947.db2.gz YAXLERHQSDTMFQ-IRXDYDNUSA-N 0 0 441.554 -0.332 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)C1 ZINC000792713710 1073820017 /nfs/dbraw/zinc/82/00/17/1073820017.db2.gz YAXLERHQSDTMFQ-SJORKVTESA-N 0 0 441.554 -0.332 20 0 IBADRN COC1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CCOCC1 ZINC000792733041 1073821029 /nfs/dbraw/zinc/82/10/29/1073821029.db2.gz IGOPQEUXALQHTE-UHFFFAOYSA-N 0 0 445.494 -0.137 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000792738818 1073820606 /nfs/dbraw/zinc/82/06/06/1073820606.db2.gz BNLPCWSXDIVYIF-LLVKDONJSA-N 0 0 449.507 -0.214 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000792738819 1073820422 /nfs/dbraw/zinc/82/04/22/1073820422.db2.gz BNLPCWSXDIVYIF-NSHDSACASA-N 0 0 449.507 -0.214 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000792739251 1073820475 /nfs/dbraw/zinc/82/04/75/1073820475.db2.gz LYTJLMNANWGPMD-UHFFFAOYSA-N 0 0 445.519 -0.384 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000792739385 1073820405 /nfs/dbraw/zinc/82/04/05/1073820405.db2.gz MXVLAZNJTGLQDE-GFCCVEGCSA-N 0 0 445.519 -0.208 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000792739386 1073820573 /nfs/dbraw/zinc/82/05/73/1073820573.db2.gz MXVLAZNJTGLQDE-LBPRGKRZSA-N 0 0 445.519 -0.208 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cnn3c2C[C@@H](CNC(C)=O)CC3)CC1 ZINC000792748024 1073820633 /nfs/dbraw/zinc/82/06/33/1073820633.db2.gz LTHAGJBTXXWFBN-INIZCTEOSA-N 0 0 440.570 -0.074 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cnn3c2C[C@H](CNC(C)=O)CC3)CC1 ZINC000792748027 1073820890 /nfs/dbraw/zinc/82/08/90/1073820890.db2.gz LTHAGJBTXXWFBN-MRXNPFEDSA-N 0 0 440.570 -0.074 20 0 IBADRN O=C(COC(=O)CCc1ccc2c(c1)OCCO2)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000792749029 1073821063 /nfs/dbraw/zinc/82/10/63/1073821063.db2.gz WVBXYQQFGFRXMI-CYBMUJFWSA-N 0 0 426.447 -0.054 20 0 IBADRN O=C(COC(=O)CCc1ccc2c(c1)OCCO2)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000792749039 1073820911 /nfs/dbraw/zinc/82/09/11/1073820911.db2.gz WVBXYQQFGFRXMI-ZDUSSCGKSA-N 0 0 426.447 -0.054 20 0 IBADRN O=S(=O)(C[C@H]1CCCOC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000792749401 1073820862 /nfs/dbraw/zinc/82/08/62/1073820862.db2.gz HBOBJZHLZUMAPA-INIZCTEOSA-N 0 0 432.568 -0.121 20 0 IBADRN O=S(=O)(C[C@@H]1CCCOC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000792749405 1073820956 /nfs/dbraw/zinc/82/09/56/1073820956.db2.gz HBOBJZHLZUMAPA-MRXNPFEDSA-N 0 0 432.568 -0.121 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CCCOC3)CC2)C[C@@H](C)O1 ZINC000792751792 1073820999 /nfs/dbraw/zinc/82/09/99/1073820999.db2.gz UFZFSTHIIBZKSW-BZUAXINKSA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CCCOC3)CC2)C[C@@H](C)O1 ZINC000792751793 1073821050 /nfs/dbraw/zinc/82/10/50/1073821050.db2.gz UFZFSTHIIBZKSW-OAGGEKHMSA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CCCOC3)CC2)C[C@H](C)O1 ZINC000792751794 1073820900 /nfs/dbraw/zinc/82/09/00/1073820900.db2.gz UFZFSTHIIBZKSW-OWCLPIDISA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CCCOC3)CC2)C[C@H](C)O1 ZINC000792751795 1073821117 /nfs/dbraw/zinc/82/11/17/1073821117.db2.gz UFZFSTHIIBZKSW-XHSDSOJGSA-N 0 0 425.573 -0.286 20 0 IBADRN O=C(COC(=O)[C@@]1(O)CCc2ccccc2C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000792782368 1073821102 /nfs/dbraw/zinc/82/11/02/1073821102.db2.gz FNRFVGYHOOPKFL-GHTZIAJQSA-N 0 0 436.530 -0.219 20 0 IBADRN O=C(COC(=O)[C@]1(O)CCc2ccccc2C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000792782369 1073820937 /nfs/dbraw/zinc/82/09/37/1073820937.db2.gz FNRFVGYHOOPKFL-NQIIRXRSSA-N 0 0 436.530 -0.219 20 0 IBADRN O=C(COC(=O)[C@]1(O)CCc2ccccc2C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000792782370 1073821134 /nfs/dbraw/zinc/82/11/34/1073821134.db2.gz FNRFVGYHOOPKFL-RXVVDRJESA-N 0 0 436.530 -0.219 20 0 IBADRN O=C(COC(=O)[C@@]1(O)CCc2ccccc2C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000792782371 1073820982 /nfs/dbraw/zinc/82/09/82/1073820982.db2.gz FNRFVGYHOOPKFL-WIYYLYMNSA-N 0 0 436.530 -0.219 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)N2CCC3(CC2)OCCO3)C1 ZINC000792784428 1073821081 /nfs/dbraw/zinc/82/10/81/1073821081.db2.gz RMKLCRLGEPSBLJ-HNNXBMFYSA-N 0 0 441.572 -0.558 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)N2CCC3(CC2)OCCO3)C1 ZINC000792784433 1073820924 /nfs/dbraw/zinc/82/09/24/1073820924.db2.gz RMKLCRLGEPSBLJ-OAHLLOKOSA-N 0 0 441.572 -0.558 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)C1 ZINC000792786129 1073820880 /nfs/dbraw/zinc/82/08/80/1073820880.db2.gz YIGIBPMYWOOTSC-GFCCVEGCSA-N 0 0 438.528 -0.434 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)C1 ZINC000792786139 1073821151 /nfs/dbraw/zinc/82/11/51/1073821151.db2.gz YIGIBPMYWOOTSC-LBPRGKRZSA-N 0 0 438.528 -0.434 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CCS(=O)(=O)c4ccccc43)c2c(=O)n(C)c1=O ZINC000792817876 1073821543 /nfs/dbraw/zinc/82/15/43/1073821543.db2.gz PCBVFMQVVSNDSG-CYBMUJFWSA-N 0 0 432.458 -0.062 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CCS(=O)(=O)c4ccccc43)c2c(=O)n(C)c1=O ZINC000792817881 1073821485 /nfs/dbraw/zinc/82/14/85/1073821485.db2.gz PCBVFMQVVSNDSG-ZDUSSCGKSA-N 0 0 432.458 -0.062 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CCS(=O)(=O)c3ccccc32)CC1 ZINC000792819905 1073821603 /nfs/dbraw/zinc/82/16/03/1073821603.db2.gz OLTDSTIOSQJDER-INIZCTEOSA-N 0 0 437.518 -0.277 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CCS(=O)(=O)c3ccccc32)CC1 ZINC000792819906 1073821580 /nfs/dbraw/zinc/82/15/80/1073821580.db2.gz OLTDSTIOSQJDER-MRXNPFEDSA-N 0 0 437.518 -0.277 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CCS(=O)(=O)c2ccccc21)S(C)(=O)=O ZINC000792822131 1073821459 /nfs/dbraw/zinc/82/14/59/1073821459.db2.gz SYKLCSBQDUZKTL-AWEZNQCLSA-N 0 0 432.520 -0.112 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CCS(=O)(=O)c2ccccc21)S(C)(=O)=O ZINC000792822135 1073821473 /nfs/dbraw/zinc/82/14/73/1073821473.db2.gz SYKLCSBQDUZKTL-CQSZACIVSA-N 0 0 432.520 -0.112 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000792838236 1073821417 /nfs/dbraw/zinc/82/14/17/1073821417.db2.gz FDMUZIDQKGJWEH-FQEVSTJZSA-N 0 0 437.541 -0.338 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000792838237 1073821746 /nfs/dbraw/zinc/82/17/46/1073821746.db2.gz FDMUZIDQKGJWEH-HXUWFJFHSA-N 0 0 437.541 -0.338 20 0 IBADRN O=C(COC(=O)c1cnc(OCC2CC2)cn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000792843283 1073821592 /nfs/dbraw/zinc/82/15/92/1073821592.db2.gz ZJTWKUZDTXLLOG-HNNXBMFYSA-N 0 0 438.506 -0.247 20 0 IBADRN O=C(COC(=O)c1cnc(OCC2CC2)cn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000792843300 1073821677 /nfs/dbraw/zinc/82/16/77/1073821677.db2.gz ZJTWKUZDTXLLOG-OAHLLOKOSA-N 0 0 438.506 -0.247 20 0 IBADRN NC(=O)[C@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1cccc(Br)c1 ZINC000792879651 1073821697 /nfs/dbraw/zinc/82/16/97/1073821697.db2.gz NRUSOFWWZKYGCR-CYBMUJFWSA-N 0 0 449.327 -0.063 20 0 IBADRN NC(=O)[C@@H](NC(=O)NCCS(=O)(=O)N1CCOCC1)c1cccc(Br)c1 ZINC000792879655 1073821628 /nfs/dbraw/zinc/82/16/28/1073821628.db2.gz NRUSOFWWZKYGCR-ZDUSSCGKSA-N 0 0 449.327 -0.063 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(Br)n2)CC1 ZINC000792955406 1073822197 /nfs/dbraw/zinc/82/21/97/1073822197.db2.gz FNIRBSDGQMEVHF-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(Br)n2)CC1 ZINC000792955439 1073822176 /nfs/dbraw/zinc/82/21/76/1073822176.db2.gz GIHVZLVCQLALOI-UHFFFAOYSA-N 0 0 426.271 -0.022 20 0 IBADRN CC(C)(C)OC(=O)NCC[C@@H](O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000792960576 1073822212 /nfs/dbraw/zinc/82/22/12/1073822212.db2.gz VTKOLXDSDFTBOY-CYBMUJFWSA-N 0 0 446.526 -0.040 20 0 IBADRN CC(C)(C)OC(=O)NCC[C@H](O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000792960578 1073821998 /nfs/dbraw/zinc/82/19/98/1073821998.db2.gz VTKOLXDSDFTBOY-ZDUSSCGKSA-N 0 0 446.526 -0.040 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2cccc(F)c2)c1 ZINC000792964271 1073821976 /nfs/dbraw/zinc/82/19/76/1073821976.db2.gz WUOVCJAJSGMYAS-INIZCTEOSA-N 0 0 446.435 -0.122 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2cccc(F)c2)c1 ZINC000792964272 1073822083 /nfs/dbraw/zinc/82/20/83/1073822083.db2.gz WUOVCJAJSGMYAS-MRXNPFEDSA-N 0 0 446.435 -0.122 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(Cl)n3)CC2)o1 ZINC000792964804 1073822013 /nfs/dbraw/zinc/82/20/13/1073822013.db2.gz DPOBOALBQYOFEC-UHFFFAOYSA-N 0 0 441.853 -0.102 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(F)n3)CC2)o1 ZINC000792965319 1073821942 /nfs/dbraw/zinc/82/19/42/1073821942.db2.gz GKDOVWPJRPFXPJ-UHFFFAOYSA-N 0 0 425.398 -0.616 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(Cl)n3)CC2)CC1 ZINC000792966067 1073821952 /nfs/dbraw/zinc/82/19/52/1073821952.db2.gz DKAFBGALJYODGL-UHFFFAOYSA-N 0 0 430.918 -0.690 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1 ZINC000792968135 1073822039 /nfs/dbraw/zinc/82/20/39/1073822039.db2.gz ROBBPNWGHUXVGG-HNNXBMFYSA-N 0 0 433.465 -0.081 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)C1 ZINC000792968137 1073821989 /nfs/dbraw/zinc/82/19/89/1073821989.db2.gz ROBBPNWGHUXVGG-OAHLLOKOSA-N 0 0 433.465 -0.081 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(F)n3)CC2)C[C@H](C)O1 ZINC000792968798 1073821958 /nfs/dbraw/zinc/82/19/58/1073821958.db2.gz WYKRJHGPIMEXMA-BETUJISGSA-N 0 0 429.474 -0.343 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(F)n3)CC2)C[C@@H](C)O1 ZINC000792968799 1073822065 /nfs/dbraw/zinc/82/20/65/1073822065.db2.gz WYKRJHGPIMEXMA-CHWSQXEVSA-N 0 0 429.474 -0.343 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc(F)n3)CC2)C[C@H](C)O1 ZINC000792968800 1073822098 /nfs/dbraw/zinc/82/20/98/1073822098.db2.gz WYKRJHGPIMEXMA-STQMWFEESA-N 0 0 429.474 -0.343 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC000792973171 1073822023 /nfs/dbraw/zinc/82/20/23/1073822023.db2.gz HRZTYJDOZAYZQD-UHFFFAOYSA-N 0 0 433.465 -0.127 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)nc1Br ZINC000792973604 1073821966 /nfs/dbraw/zinc/82/19/66/1073821966.db2.gz KTNYJVUTACAVOU-UHFFFAOYSA-N 0 0 435.300 -0.131 20 0 IBADRN COc1c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc(Cl)cc1C(N)=O ZINC000792973720 1073822053 /nfs/dbraw/zinc/82/20/53/1073822053.db2.gz MATAVAKHVAWHMK-UHFFFAOYSA-N 0 0 448.885 -0.836 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cccc(Br)n2)CC1 ZINC000792979361 1073822121 /nfs/dbraw/zinc/82/21/21/1073822121.db2.gz HDNMJTLDBUAZDD-UHFFFAOYSA-N 0 0 426.271 -0.020 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2cccc(Br)n2)CC1 ZINC000792981982 1073822653 /nfs/dbraw/zinc/82/26/53/1073822653.db2.gz BICPCGPNAYHWHQ-UHFFFAOYSA-N 0 0 434.316 -0.524 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)c(Br)n1 ZINC000792983471 1073822679 /nfs/dbraw/zinc/82/26/79/1073822679.db2.gz UOUHHDQSAKRDJU-JTQLQIEISA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1ccc(C)c(Br)n1 ZINC000792983475 1073822444 /nfs/dbraw/zinc/82/24/44/1073822444.db2.gz UOUHHDQSAKRDJU-SNVBAGLBSA-N 0 0 426.271 -0.056 20 0 IBADRN Cc1ccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)nc1Br ZINC000792984795 1073822480 /nfs/dbraw/zinc/82/24/80/1073822480.db2.gz KRFLJILJJOWVMD-UHFFFAOYSA-N 0 0 448.343 -0.216 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)nc1Br ZINC000793001645 1073822564 /nfs/dbraw/zinc/82/25/64/1073822564.db2.gz LEOPXCXAOGIDBX-UHFFFAOYSA-N 0 0 437.258 -0.551 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(C)c(Br)n2)CC1 ZINC000793002853 1073822631 /nfs/dbraw/zinc/82/26/31/1073822631.db2.gz QOKIOWIORJRYTB-UHFFFAOYSA-N 0 0 442.314 -0.002 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cccc(Br)n2)CC1 ZINC000793002909 1073822546 /nfs/dbraw/zinc/82/25/46/1073822546.db2.gz QYIQJIYLJASBKD-UHFFFAOYSA-N 0 0 428.287 -0.311 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)c1 ZINC000793011124 1073822601 /nfs/dbraw/zinc/82/26/01/1073822601.db2.gz FFYFPBOBOBDVFN-UHFFFAOYSA-N 0 0 433.465 -0.082 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2cccc(Br)n2)CC1)N1CCOCC1 ZINC000793013997 1073822591 /nfs/dbraw/zinc/82/25/91/1073822591.db2.gz QPCJRFDIEIFDID-UHFFFAOYSA-N 0 0 440.298 -0.214 20 0 IBADRN COC[C@@H](CO)NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000793031446 1073822465 /nfs/dbraw/zinc/82/24/65/1073822465.db2.gz DWFZZCHKUZQNLV-GFCCVEGCSA-N 0 0 439.512 -0.903 20 0 IBADRN COC[C@H](CO)NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000793031447 1073822532 /nfs/dbraw/zinc/82/25/32/1073822532.db2.gz DWFZZCHKUZQNLV-LBPRGKRZSA-N 0 0 439.512 -0.903 20 0 IBADRN O=C(COC(=O)CO)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCCC1 ZINC000793035165 1073822958 /nfs/dbraw/zinc/82/29/58/1073822958.db2.gz FDTOGDIPZBDRPR-UHFFFAOYSA-N 0 0 427.479 -0.218 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3cccc(C(=O)N(C)CC(N)=O)c3)C[C@H]1COCC2 ZINC000793067206 1073823199 /nfs/dbraw/zinc/82/31/99/1073823199.db2.gz JYJGIOAOWNKXIF-LHSJRXKWSA-N 0 0 446.460 -0.780 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2C[C@@H](F)C[C@H]2CN(C)C(=O)OC(C)(C)C)n1 ZINC000793088808 1073823040 /nfs/dbraw/zinc/82/30/40/1073823040.db2.gz ZMXZCBLVIMDUOK-RYUDHWBXSA-N 0 0 441.464 -0.232 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CC2OCCO2)CC1 ZINC000793101166 1073823049 /nfs/dbraw/zinc/82/30/49/1073823049.db2.gz AJYGLKXQULFIMF-UHFFFAOYSA-N 0 0 432.520 -0.006 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)nn1 ZINC000793102351 1073823175 /nfs/dbraw/zinc/82/31/75/1073823175.db2.gz BKMPQNHARGQSGD-UHFFFAOYSA-N 0 0 431.377 -0.184 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)nn1 ZINC000793104675 1073823030 /nfs/dbraw/zinc/82/30/30/1073823030.db2.gz JFNNYFIJOQBHHF-UHFFFAOYSA-N 0 0 431.377 -0.184 20 0 IBADRN O=C(Cc1ccc2n[nH]cc2c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000793105270 1073822990 /nfs/dbraw/zinc/82/29/90/1073822990.db2.gz RJFHWMPWWPLPMG-HNNXBMFYSA-N 0 0 426.520 -0.234 20 0 IBADRN O=C(Cc1ccc2n[nH]cc2c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000793105273 1073823238 /nfs/dbraw/zinc/82/32/38/1073823238.db2.gz RJFHWMPWWPLPMG-OAHLLOKOSA-N 0 0 426.520 -0.234 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(Br)nc2OC)nn1 ZINC000793105559 1073823003 /nfs/dbraw/zinc/82/30/03/1073823003.db2.gz OKKHJTHWVNZEMH-UHFFFAOYSA-N 0 0 427.215 -0.014 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)nn1 ZINC000793106373 1073822935 /nfs/dbraw/zinc/82/29/35/1073822935.db2.gz RDQXPXBTDKPAOA-UHFFFAOYSA-N 0 0 449.855 -0.591 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)nn1 ZINC000793106954 1073823843 /nfs/dbraw/zinc/82/38/43/1073823843.db2.gz WMEHBDJGKCTVNH-UHFFFAOYSA-N 0 0 440.438 -0.999 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N[C@H]2[C@@H]3COC[C@@H]32)cc1)S(C)(=O)=O ZINC000793134979 1073823657 /nfs/dbraw/zinc/82/36/57/1073823657.db2.gz CKSMZRYUABEILR-FICVDOATSA-N 0 0 433.508 -0.265 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cnc(OCC4CC4)cn3)CC2)ccc1=O ZINC000793140604 1073823639 /nfs/dbraw/zinc/82/36/39/1073823639.db2.gz PUPHGXAWHRWZEW-UHFFFAOYSA-N 0 0 442.476 -0.026 20 0 IBADRN CN(CCOC(=O)[C@H]1CCS(=O)(=O)c2ccccc21)S(=O)(=O)N1CCOCC1 ZINC000793145788 1073823618 /nfs/dbraw/zinc/82/36/18/1073823618.db2.gz BWTUYZBXBCKJEK-HNNXBMFYSA-N 0 0 432.520 0.000 20 0 IBADRN CN(CCOC(=O)[C@@H]1CCS(=O)(=O)c2ccccc21)S(=O)(=O)N1CCOCC1 ZINC000793145794 1073823896 /nfs/dbraw/zinc/82/38/96/1073823896.db2.gz BWTUYZBXBCKJEK-OAHLLOKOSA-N 0 0 432.520 0.000 20 0 IBADRN CCCN1C(=O)CC[C@@H](C(=O)NCCS(=O)(=O)N2CCOCC2)[C@@H]1c1cncn1C ZINC000793303894 1073824364 /nfs/dbraw/zinc/82/43/64/1073824364.db2.gz MJOFZNWLFKDOGA-CRAIPNDOSA-N 0 0 441.554 -0.112 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)NN=c2ccc(S(N)(=O)=O)c[nH]2)c1 ZINC000793357851 1073824343 /nfs/dbraw/zinc/82/43/43/1073824343.db2.gz LPEUBWDTSLGZEI-UHFFFAOYSA-N 0 0 430.464 -0.033 20 0 IBADRN CSCCONC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000793423723 1073825294 /nfs/dbraw/zinc/82/52/94/1073825294.db2.gz IPIKLKIEVOMATH-UHFFFAOYSA-N 0 0 438.553 -0.356 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)N[C@H]3[C@@H]4COC[C@@H]43)C2)CC1 ZINC000793449538 1073825252 /nfs/dbraw/zinc/82/52/52/1073825252.db2.gz IAJLYXVZMJAVKB-FICVDOATSA-N 0 0 430.527 -0.093 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(F)c(S(C)(=O)=O)c1)C2 ZINC000793468864 1073825284 /nfs/dbraw/zinc/82/52/84/1073825284.db2.gz GBEUACQZDPOUPG-UHFFFAOYSA-N 0 0 425.442 -0.668 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3ccc(CS(C)(=O)=O)cc3)C[C@H]1COCC2 ZINC000793481434 1073825316 /nfs/dbraw/zinc/82/53/16/1073825316.db2.gz HKKOIOKKQFBBPJ-OXJNMPFZSA-N 0 0 438.502 -0.115 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000793486224 1073825217 /nfs/dbraw/zinc/82/52/17/1073825217.db2.gz AERAFFQMGZHUON-UHFFFAOYSA-N 0 0 428.474 -0.571 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000793486258 1073825149 /nfs/dbraw/zinc/82/51/49/1073825149.db2.gz APBVLRCBMLMMSW-UHFFFAOYSA-N 0 0 443.489 -0.455 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000793486325 1073825092 /nfs/dbraw/zinc/82/50/92/1073825092.db2.gz VOMGYLFEWUZZFN-KRWDZBQOSA-N 0 0 440.508 -0.453 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000793486347 1073824987 /nfs/dbraw/zinc/82/49/87/1073824987.db2.gz VOMGYLFEWUZZFN-QGZVFWFLSA-N 0 0 440.508 -0.453 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3cnccn3)CC2)cc1 ZINC000793487095 1073825233 /nfs/dbraw/zinc/82/52/33/1073825233.db2.gz HWBCOKFKQRTHOC-UHFFFAOYSA-N 0 0 433.490 -0.323 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000793491787 1073824956 /nfs/dbraw/zinc/82/49/56/1073824956.db2.gz SKSSJMMNGXRWEA-UHFFFAOYSA-N 0 0 428.468 -0.151 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCCc2cnccn2)CC1 ZINC000793492065 1073825310 /nfs/dbraw/zinc/82/53/10/1073825310.db2.gz VLFTYQSJRXMWNY-UHFFFAOYSA-N 0 0 438.554 -0.211 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000793497148 1073825783 /nfs/dbraw/zinc/82/57/83/1073825783.db2.gz IWFFKDMTGGABQT-UHFFFAOYSA-N 0 0 426.481 -0.889 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000793497190 1073825574 /nfs/dbraw/zinc/82/55/74/1073825574.db2.gz JRKBIRPNGAAANB-UHFFFAOYSA-N 0 0 427.490 -0.451 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCc2cnccn2)CC1 ZINC000793498564 1073825611 /nfs/dbraw/zinc/82/56/11/1073825611.db2.gz QKVBFCBHPZMYCJ-UHFFFAOYSA-N 0 0 426.543 -0.354 20 0 IBADRN O=C(NCCc1cnccn1)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000793503470 1073825650 /nfs/dbraw/zinc/82/56/50/1073825650.db2.gz BWEDWAONENZRRZ-UHFFFAOYSA-N 0 0 439.444 -0.053 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCc2cnccn2)CC1 ZINC000793506603 1073825193 /nfs/dbraw/zinc/82/51/93/1073825193.db2.gz VDZIYBKBEYXXLJ-UHFFFAOYSA-N 0 0 446.533 -0.612 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCc2nnc3n2CCCC3)CC1 ZINC000793588119 1073825723 /nfs/dbraw/zinc/82/57/23/1073825723.db2.gz RQIIXUHABKCBCX-UHFFFAOYSA-N 0 0 449.512 -0.574 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000793588419 1073825686 /nfs/dbraw/zinc/82/56/86/1073825686.db2.gz JPVIOODJKKXZOQ-AWEZNQCLSA-N 0 0 436.513 -0.130 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000793588420 1073825632 /nfs/dbraw/zinc/82/56/32/1073825632.db2.gz JPVIOODJKKXZOQ-CQSZACIVSA-N 0 0 436.513 -0.130 20 0 IBADRN CN1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000793589220 1073825590 /nfs/dbraw/zinc/82/55/90/1073825590.db2.gz YJQLVUOAHMNIFV-AWEZNQCLSA-N 0 0 435.529 -0.102 20 0 IBADRN CN1CCN(C(=O)C(=O)NCc2nnc3n2CCCC3)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000793589222 1073825774 /nfs/dbraw/zinc/82/57/74/1073825774.db2.gz YJQLVUOAHMNIFV-CQSZACIVSA-N 0 0 435.529 -0.102 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC000793590076 1073825716 /nfs/dbraw/zinc/82/57/16/1073825716.db2.gz CWNWFSFDJFWDTH-CVEARBPZSA-N 0 0 447.492 -0.169 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000793590077 1073825732 /nfs/dbraw/zinc/82/57/32/1073825732.db2.gz CWNWFSFDJFWDTH-HOTGVXAUSA-N 0 0 447.492 -0.169 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC000793590078 1073826281 /nfs/dbraw/zinc/82/62/81/1073826281.db2.gz CWNWFSFDJFWDTH-HZPDHXFCSA-N 0 0 447.492 -0.169 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000793590079 1073826158 /nfs/dbraw/zinc/82/61/58/1073826158.db2.gz CWNWFSFDJFWDTH-JKSUJKDBSA-N 0 0 447.492 -0.169 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CN(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000793590153 1073825622 /nfs/dbraw/zinc/82/56/22/1073825622.db2.gz PINFBKFIFLVYGK-GFCCVEGCSA-N 0 0 432.524 -0.232 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)oc(=O)n2CN(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000793590157 1073825741 /nfs/dbraw/zinc/82/57/41/1073825741.db2.gz PINFBKFIFLVYGK-LBPRGKRZSA-N 0 0 432.524 -0.232 20 0 IBADRN COc1ccc(CCN2C(=O)C(=O)N(CN(C)[C@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000793590177 1073825641 /nfs/dbraw/zinc/82/56/41/1073825641.db2.gz PLYNUKYFLZCWTR-HNNXBMFYSA-N 0 0 438.506 -0.048 20 0 IBADRN COc1ccc(CCN2C(=O)C(=O)N(CN(C)[C@@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000793590178 1073825705 /nfs/dbraw/zinc/82/57/05/1073825705.db2.gz PLYNUKYFLZCWTR-OAHLLOKOSA-N 0 0 438.506 -0.048 20 0 IBADRN Cn1cc([C@H](O)C[C@@H]2CCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cn1 ZINC000793591084 1073826276 /nfs/dbraw/zinc/82/62/76/1073826276.db2.gz FVOCJIYPIOIJMX-DLBZAZTESA-N 0 0 445.524 -0.264 20 0 IBADRN Cn1cc([C@H](O)C[C@H]2CCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cn1 ZINC000793591094 1073826203 /nfs/dbraw/zinc/82/62/03/1073826203.db2.gz FVOCJIYPIOIJMX-IAGOWNOFSA-N 0 0 445.524 -0.264 20 0 IBADRN Cn1cc([C@@H](O)C[C@@H]2CCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cn1 ZINC000793591101 1073826271 /nfs/dbraw/zinc/82/62/71/1073826271.db2.gz FVOCJIYPIOIJMX-IRXDYDNUSA-N 0 0 445.524 -0.264 20 0 IBADRN Cn1cc([C@@H](O)C[C@H]2CCCN2C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)cn1 ZINC000793591132 1073826213 /nfs/dbraw/zinc/82/62/13/1073826213.db2.gz FVOCJIYPIOIJMX-SJORKVTESA-N 0 0 445.524 -0.264 20 0 IBADRN CC1(C)CN=C(N2CCN(C(=O)C(=O)NCCCn3nc4n(c3=O)CCCC4)CC2)S1 ZINC000793591826 1073826180 /nfs/dbraw/zinc/82/61/80/1073826180.db2.gz RDZKTUBFIIZULY-UHFFFAOYSA-N 0 0 449.581 -0.087 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000793591842 1073826252 /nfs/dbraw/zinc/82/62/52/1073826252.db2.gz RMLQRFZFWNWWBQ-UHFFFAOYSA-N 0 0 436.513 -0.176 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCC[S@](=O)Cc1ccccc1 ZINC000793592455 1073826309 /nfs/dbraw/zinc/82/63/09/1073826309.db2.gz MCRGYJBCUGOPSG-PMERELPUSA-N 0 0 433.534 -0.048 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCC[S@@](=O)Cc1ccccc1 ZINC000793592459 1073826301 /nfs/dbraw/zinc/82/63/01/1073826301.db2.gz MCRGYJBCUGOPSG-SSEXGKCCSA-N 0 0 433.534 -0.048 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)N(CCO)Cc1cccnc1Cl ZINC000793593223 1073826190 /nfs/dbraw/zinc/82/61/90/1073826190.db2.gz WHHDJKSFGPQAQT-UHFFFAOYSA-N 0 0 436.900 -0.043 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000793593247 1073826169 /nfs/dbraw/zinc/82/61/69/1073826169.db2.gz WRFPGOJTCMISIK-UHFFFAOYSA-N 0 0 436.513 -0.033 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000793593477 1073826293 /nfs/dbraw/zinc/82/62/93/1073826293.db2.gz NKIYGLZVNOIUEB-CABCVRRESA-N 0 0 436.513 -0.130 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000793593481 1073826227 /nfs/dbraw/zinc/82/62/27/1073826227.db2.gz NKIYGLZVNOIUEB-GJZGRUSLSA-N 0 0 436.513 -0.130 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000793593484 1073826239 /nfs/dbraw/zinc/82/62/39/1073826239.db2.gz NKIYGLZVNOIUEB-HUUCEWRRSA-N 0 0 436.513 -0.130 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000793593487 1073826319 /nfs/dbraw/zinc/82/63/19/1073826319.db2.gz NKIYGLZVNOIUEB-LSDHHAIUSA-N 0 0 436.513 -0.130 20 0 IBADRN CN(C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000793593577 1073826286 /nfs/dbraw/zinc/82/62/86/1073826286.db2.gz OHGVOGVDIRZLJG-GFCCVEGCSA-N 0 0 446.430 -0.491 20 0 IBADRN CN(C(=O)C(=O)NCCCn1nc2n(c1=O)CCCC2)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000793593582 1073826245 /nfs/dbraw/zinc/82/62/45/1073826245.db2.gz OHGVOGVDIRZLJG-LBPRGKRZSA-N 0 0 446.430 -0.491 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)NCCCn2nc3n(c2=O)CCCC3)C1 ZINC000793593762 1073826316 /nfs/dbraw/zinc/82/63/16/1073826316.db2.gz QEDBZVVMFBBGQA-UHFFFAOYSA-N 0 0 436.513 -0.130 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)NCCS(=O)(=O)Cc1ccccc1 ZINC000793596437 1073826262 /nfs/dbraw/zinc/82/62/62/1073826262.db2.gz YRQKEROQCBFIHX-UHFFFAOYSA-N 0 0 449.533 -0.381 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC000793598424 1073826733 /nfs/dbraw/zinc/82/67/33/1073826733.db2.gz XQUCUQKKOFCFFL-KRWDZBQOSA-N 0 0 425.482 -0.234 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC000793598426 1073826684 /nfs/dbraw/zinc/82/66/84/1073826684.db2.gz XQUCUQKKOFCFFL-QGZVFWFLSA-N 0 0 425.482 -0.234 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C3CC3)CC2)c1=O ZINC000793623781 1073826759 /nfs/dbraw/zinc/82/67/59/1073826759.db2.gz CHDOFHNOLPYBDX-HNNXBMFYSA-N 0 0 448.524 -0.152 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C3CC3)CC2)c1=O ZINC000793623782 1073826774 /nfs/dbraw/zinc/82/67/74/1073826774.db2.gz CHDOFHNOLPYBDX-OAHLLOKOSA-N 0 0 448.524 -0.152 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc([C@H](C)O)cc3)CC2)cn1C ZINC000793628518 1073826818 /nfs/dbraw/zinc/82/68/18/1073826818.db2.gz DVXIQZCYBMVNDI-AWEZNQCLSA-N 0 0 449.533 -0.069 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccc([C@@H](C)O)cc3)CC2)cn1C ZINC000793628519 1073826597 /nfs/dbraw/zinc/82/65/97/1073826597.db2.gz DVXIQZCYBMVNDI-CQSZACIVSA-N 0 0 449.533 -0.069 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C2CC2)C1 ZINC000793629886 1073826695 /nfs/dbraw/zinc/82/66/95/1073826695.db2.gz KAQPOIHJNGGTCF-KBPBESRZSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C2CC2)C1 ZINC000793629891 1073826794 /nfs/dbraw/zinc/82/67/94/1073826794.db2.gz KAQPOIHJNGGTCF-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C2CC2)C1 ZINC000793629898 1073826672 /nfs/dbraw/zinc/82/66/72/1073826672.db2.gz KAQPOIHJNGGTCF-UONOGXRCSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C2CC2)C1 ZINC000793629904 1073826780 /nfs/dbraw/zinc/82/67/80/1073826780.db2.gz KAQPOIHJNGGTCF-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000793639618 1073826622 /nfs/dbraw/zinc/82/66/22/1073826622.db2.gz QMOKGJAFKMFADA-HNNXBMFYSA-N 0 0 425.530 -0.314 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000793639623 1073826840 /nfs/dbraw/zinc/82/68/40/1073826840.db2.gz QMOKGJAFKMFADA-OAHLLOKOSA-N 0 0 425.530 -0.314 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C2CC2)C1 ZINC000793643431 1073826746 /nfs/dbraw/zinc/82/67/46/1073826746.db2.gz FDQOQTVSFMURMG-KBPBESRZSA-N 0 0 432.543 -0.054 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C2CC2)C1 ZINC000793643442 1073827354 /nfs/dbraw/zinc/82/73/54/1073827354.db2.gz FDQOQTVSFMURMG-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C2CC2)C1 ZINC000793643454 1073827232 /nfs/dbraw/zinc/82/72/32/1073827232.db2.gz FDQOQTVSFMURMG-UONOGXRCSA-N 0 0 432.543 -0.054 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C2CC2)C1 ZINC000793643463 1073827372 /nfs/dbraw/zinc/82/73/72/1073827372.db2.gz FDQOQTVSFMURMG-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C1CC1 ZINC000793647468 1073827402 /nfs/dbraw/zinc/82/74/02/1073827402.db2.gz MFFFHJNFAPAOFU-KBPBESRZSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C1CC1 ZINC000793647470 1073827394 /nfs/dbraw/zinc/82/73/94/1073827394.db2.gz MFFFHJNFAPAOFU-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C1CC1 ZINC000793647473 1073827193 /nfs/dbraw/zinc/82/71/93/1073827193.db2.gz MFFFHJNFAPAOFU-UONOGXRCSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C1CC1 ZINC000793647475 1073827245 /nfs/dbraw/zinc/82/72/45/1073827245.db2.gz MFFFHJNFAPAOFU-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)C1CC1 ZINC000793650553 1073827218 /nfs/dbraw/zinc/82/72/18/1073827218.db2.gz QWUKAXOEOHMPIL-AWEZNQCLSA-N 0 0 425.530 -0.186 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)C1CC1 ZINC000793650555 1073827259 /nfs/dbraw/zinc/82/72/59/1073827259.db2.gz QWUKAXOEOHMPIL-CQSZACIVSA-N 0 0 425.530 -0.186 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)C1CC1 ZINC000793652194 1073826708 /nfs/dbraw/zinc/82/67/08/1073826708.db2.gz VFNFAGIUVNGAKM-INIZCTEOSA-N 0 0 437.541 -0.171 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)C1CC1 ZINC000793652198 1073826804 /nfs/dbraw/zinc/82/68/04/1073826804.db2.gz VFNFAGIUVNGAKM-MRXNPFEDSA-N 0 0 437.541 -0.171 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CNC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)C1CC1 ZINC000793653252 1073826828 /nfs/dbraw/zinc/82/68/28/1073826828.db2.gz YPBDSUUUXCTJBG-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CNC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)C1CC1 ZINC000793653253 1073826718 /nfs/dbraw/zinc/82/67/18/1073826718.db2.gz YPBDSUUUXCTJBG-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccn(-c3ccccc3)n2)CC1 ZINC000793653987 1073826609 /nfs/dbraw/zinc/82/66/09/1073826609.db2.gz ILQDEOLZRXOGCC-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000793663614 1073827173 /nfs/dbraw/zinc/82/71/73/1073827173.db2.gz FDAXRRLSLJWYBT-AWEZNQCLSA-N 0 0 449.533 -0.299 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000793663618 1073827361 /nfs/dbraw/zinc/82/73/61/1073827361.db2.gz FDAXRRLSLJWYBT-CQSZACIVSA-N 0 0 449.533 -0.299 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000793663687 1073827329 /nfs/dbraw/zinc/82/73/29/1073827329.db2.gz FQGUDOSZBDHGRR-JTQLQIEISA-N 0 0 430.449 -0.162 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000793663689 1073827345 /nfs/dbraw/zinc/82/73/45/1073827345.db2.gz FQGUDOSZBDHGRR-SNVBAGLBSA-N 0 0 430.449 -0.162 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccn(-c2ccccc2)n1 ZINC000793664021 1073827319 /nfs/dbraw/zinc/82/73/19/1073827319.db2.gz XANUVFOPVPNKDW-UHFFFAOYSA-N 0 0 437.547 -0.017 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccn(-c3ccccc3)n2)CC1 ZINC000793664173 1073827384 /nfs/dbraw/zinc/82/73/84/1073827384.db2.gz YRKAYTOTXLKYPT-UHFFFAOYSA-N 0 0 434.522 -0.818 20 0 IBADRN CC[C@H](C)NC(=O)CCNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793664655 1073827338 /nfs/dbraw/zinc/82/73/38/1073827338.db2.gz HHYOHSQINFYNCB-AWEZNQCLSA-N 0 0 441.529 -0.397 20 0 IBADRN CC[C@@H](C)NC(=O)CCNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793664660 1073827205 /nfs/dbraw/zinc/82/72/05/1073827205.db2.gz HHYOHSQINFYNCB-CQSZACIVSA-N 0 0 441.529 -0.397 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1ccn(-c2ccccc2)n1 ZINC000793666652 1073827269 /nfs/dbraw/zinc/82/72/69/1073827269.db2.gz OAIOPQFTRANIEV-UHFFFAOYSA-N 0 0 432.462 -0.288 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccn(-c3ccccc3)n2)CC1)N1CCOCC1 ZINC000793667383 1073827303 /nfs/dbraw/zinc/82/73/03/1073827303.db2.gz UFRUAGDMMZDLTB-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN Cn1cnnc1SCC(=O)Nc1cccc(C(=O)OCC(=O)N2CCNC(=O)C2)c1 ZINC000793674429 1073827287 /nfs/dbraw/zinc/82/72/87/1073827287.db2.gz PXGPWWVJZLODPZ-UHFFFAOYSA-N 0 0 432.462 -0.339 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000793676331 1073827753 /nfs/dbraw/zinc/82/77/53/1073827753.db2.gz QUIQKRFXSPNEGZ-SJCJKPOMSA-N 0 0 430.527 -0.140 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC000793676336 1073827620 /nfs/dbraw/zinc/82/76/20/1073827620.db2.gz QUIQKRFXSPNEGZ-YVEFUNNKSA-N 0 0 430.527 -0.140 20 0 IBADRN C[C@@H](COc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000793692377 1073830037 /nfs/dbraw/zinc/83/00/37/1073830037.db2.gz GJDIHOBAAJYYRJ-INIZCTEOSA-N 0 0 432.477 -0.900 20 0 IBADRN C[C@H](COc1ccccc1)NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000793692380 1073829685 /nfs/dbraw/zinc/82/96/85/1073829685.db2.gz GJDIHOBAAJYYRJ-MRXNPFEDSA-N 0 0 432.477 -0.900 20 0 IBADRN C[C@@H](COc1ccccc1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000793692974 1073829862 /nfs/dbraw/zinc/82/98/62/1073829862.db2.gz IPMOPHQNXUWMGG-AWEZNQCLSA-N 0 0 430.465 -0.941 20 0 IBADRN C[C@H](COc1ccccc1)NC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000793692981 1073829694 /nfs/dbraw/zinc/82/96/94/1073829694.db2.gz IPMOPHQNXUWMGG-CQSZACIVSA-N 0 0 430.465 -0.941 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCCCn2ccnc2)CC1 ZINC000793726093 1073830066 /nfs/dbraw/zinc/83/00/66/1073830066.db2.gz NGHHPBQNKJLYMP-UHFFFAOYSA-N 0 0 436.513 -0.025 20 0 IBADRN CN(CCCNC(=O)OC(C)(C)C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793741162 1073831900 /nfs/dbraw/zinc/83/19/00/1073831900.db2.gz BNWANUFCVWDDES-UHFFFAOYSA-N 0 0 449.574 -0.947 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)N(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793741289 1073831910 /nfs/dbraw/zinc/83/19/10/1073831910.db2.gz CMQCAZWHLOSRMF-AWEZNQCLSA-N 0 0 449.574 -0.949 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)N(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793741329 1073831802 /nfs/dbraw/zinc/83/18/02/1073831802.db2.gz CMQCAZWHLOSRMF-CQSZACIVSA-N 0 0 449.574 -0.949 20 0 IBADRN Cc1ccc(N2CCN(C(=O)C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000793741607 1073832285 /nfs/dbraw/zinc/83/22/85/1073832285.db2.gz DMBZCXLBZKYBPI-UHFFFAOYSA-N 0 0 437.566 -0.663 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2ccc(-n3ccnc3)cc2)CC1 ZINC000793741911 1073832395 /nfs/dbraw/zinc/83/23/95/1073832395.db2.gz GTJLQRCOSZJEBQ-UHFFFAOYSA-N 0 0 434.522 -0.818 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC000793742196 1073832462 /nfs/dbraw/zinc/83/24/62/1073832462.db2.gz HCTOBKITOURYLT-INIZCTEOSA-N 0 0 428.942 -0.383 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC000793742197 1073832207 /nfs/dbraw/zinc/83/22/07/1073832207.db2.gz HCTOBKITOURYLT-MRXNPFEDSA-N 0 0 428.942 -0.383 20 0 IBADRN CC1CCC2(CC1)OC[C@@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)O2 ZINC000793742221 1073832164 /nfs/dbraw/zinc/83/21/64/1073832164.db2.gz HWHBVEHDXULVQH-KOHRHEQBSA-N 0 0 446.570 -0.882 20 0 IBADRN CC1CCC2(CC1)OC[C@H](CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)O2 ZINC000793742222 1073832540 /nfs/dbraw/zinc/83/25/40/1073832540.db2.gz HWHBVEHDXULVQH-WUJZJPHMSA-N 0 0 446.570 -0.882 20 0 IBADRN Cc1cc(F)cc2c1CN(C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CC2 ZINC000793742253 1073832592 /nfs/dbraw/zinc/83/25/92/1073832592.db2.gz ICUKECLRBPSAJU-UHFFFAOYSA-N 0 0 426.514 -0.288 20 0 IBADRN COc1ccccc1OCCN(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793742332 1073832601 /nfs/dbraw/zinc/83/26/01/1073832601.db2.gz JFGKBJNNWKHQGP-UHFFFAOYSA-N 0 0 442.538 -0.774 20 0 IBADRN C[C@@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793742439 1073831791 /nfs/dbraw/zinc/83/17/91/1073831791.db2.gz KEVGMJINZAOBIK-AWEZNQCLSA-N 0 0 449.574 -0.901 20 0 IBADRN C[C@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793742465 1073831952 /nfs/dbraw/zinc/83/19/52/1073831952.db2.gz KEVGMJINZAOBIK-CQSZACIVSA-N 0 0 449.574 -0.901 20 0 IBADRN COc1c(C)cnc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000793742532 1073832913 /nfs/dbraw/zinc/83/29/13/1073832913.db2.gz KNHAZDJQMLWXKT-UHFFFAOYSA-N 0 0 427.527 -0.983 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)C(=O)NCCn2cccn2)C1 ZINC000793742767 1073833009 /nfs/dbraw/zinc/83/30/09/1073833009.db2.gz CMKOWRFDHZGFGX-HNNXBMFYSA-N 0 0 436.513 -0.121 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)NCCn2cccn2)C1 ZINC000793742768 1073832994 /nfs/dbraw/zinc/83/29/94/1073832994.db2.gz CMKOWRFDHZGFGX-OAHLLOKOSA-N 0 0 436.513 -0.121 20 0 IBADRN C[C@](O)(CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000793743213 1073832475 /nfs/dbraw/zinc/83/24/75/1073832475.db2.gz LVHYFMZAJARTGF-IBGZPJMESA-N 0 0 426.539 -0.906 20 0 IBADRN C[C@@](O)(CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)c1ccccc1 ZINC000793743216 1073832382 /nfs/dbraw/zinc/83/23/82/1073832382.db2.gz LVHYFMZAJARTGF-LJQANCHMSA-N 0 0 426.539 -0.906 20 0 IBADRN Cc1ccc(OC[C@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000793743302 1073832188 /nfs/dbraw/zinc/83/21/88/1073832188.db2.gz MGLQWWNIQDLMNB-INIZCTEOSA-N 0 0 426.539 -0.428 20 0 IBADRN Cc1ccc(OC[C@@H](C)NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000793743314 1073832268 /nfs/dbraw/zinc/83/22/68/1073832268.db2.gz MGLQWWNIQDLMNB-MRXNPFEDSA-N 0 0 426.539 -0.428 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC[C@H]2COC3(CCCCCC3)O2)CC1 ZINC000793743369 1073832438 /nfs/dbraw/zinc/83/24/38/1073832438.db2.gz MXGZVWZCGYYLLE-INIZCTEOSA-N 0 0 446.570 -0.738 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NC[C@@H]2COC3(CCCCCC3)O2)CC1 ZINC000793743374 1073832491 /nfs/dbraw/zinc/83/24/91/1073832491.db2.gz MXGZVWZCGYYLLE-MRXNPFEDSA-N 0 0 446.570 -0.738 20 0 IBADRN CN(CCN(C)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC000793743432 1073832455 /nfs/dbraw/zinc/83/24/55/1073832455.db2.gz NFCMBVRHENYCIP-UHFFFAOYSA-N 0 0 449.574 -0.995 20 0 IBADRN Cc1nc2c(s1)[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CCC2 ZINC000793744142 1073832975 /nfs/dbraw/zinc/83/29/75/1073832975.db2.gz OCYBHFMVURJEFY-AWEZNQCLSA-N 0 0 429.568 -0.361 20 0 IBADRN Cc1nc2c(s1)[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CCC2 ZINC000793744146 1073832958 /nfs/dbraw/zinc/83/29/58/1073832958.db2.gz OCYBHFMVURJEFY-CQSZACIVSA-N 0 0 429.568 -0.361 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@@H]2C[C@H](O)c2ccco2)CC1 ZINC000793744204 1073833113 /nfs/dbraw/zinc/83/31/13/1073833113.db2.gz ORYHFOUHGIDFNQ-CVEARBPZSA-N 0 0 442.538 -0.613 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@H]2C[C@H](O)c2ccco2)CC1 ZINC000793744207 1073833075 /nfs/dbraw/zinc/83/30/75/1073833075.db2.gz ORYHFOUHGIDFNQ-HOTGVXAUSA-N 0 0 442.538 -0.613 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@@H]2C[C@@H](O)c2ccco2)CC1 ZINC000793744209 1073833156 /nfs/dbraw/zinc/83/31/56/1073833156.db2.gz ORYHFOUHGIDFNQ-HZPDHXFCSA-N 0 0 442.538 -0.613 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N2CCC[C@H]2C[C@@H](O)c2ccco2)CC1 ZINC000793744211 1073833142 /nfs/dbraw/zinc/83/31/42/1073833142.db2.gz ORYHFOUHGIDFNQ-JKSUJKDBSA-N 0 0 442.538 -0.613 20 0 IBADRN CCN(CCNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC000793744222 1073833102 /nfs/dbraw/zinc/83/31/02/1073833102.db2.gz OVIJIPMTLDUZRR-UHFFFAOYSA-N 0 0 449.574 -0.947 20 0 IBADRN CC(C)(O)c1ccc(CNC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000793745117 1073833670 /nfs/dbraw/zinc/83/36/70/1073833670.db2.gz RWDJOBLWQAEKKJ-UHFFFAOYSA-N 0 0 426.539 -0.776 20 0 IBADRN CCN(C[C@@H](O)c1ccc(C)cc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793745281 1073833206 /nfs/dbraw/zinc/83/32/06/1073833206.db2.gz UIBZTKOZKINQCC-GOSISDBHSA-N 0 0 440.566 -0.430 20 0 IBADRN CCN(C[C@H](O)c1ccc(C)cc1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793745288 1073833127 /nfs/dbraw/zinc/83/31/27/1073833127.db2.gz UIBZTKOZKINQCC-SFHVURJKSA-N 0 0 440.566 -0.430 20 0 IBADRN CC(C)(C)OC(=O)NC(C)(C)CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793745725 1073833550 /nfs/dbraw/zinc/83/35/50/1073833550.db2.gz VNNSNDQRFQIYFB-UHFFFAOYSA-N 0 0 449.574 -0.901 20 0 IBADRN CC(C)n1cc2c(n1)[C@@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CCC2 ZINC000793746394 1073833577 /nfs/dbraw/zinc/83/35/77/1073833577.db2.gz WXSHUFPSZUAHKR-INIZCTEOSA-N 0 0 440.570 -0.349 20 0 IBADRN CC(C)n1cc2c(n1)[C@H](NC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1)CCC2 ZINC000793746470 1073833594 /nfs/dbraw/zinc/83/35/94/1073833594.db2.gz WXSHUFPSZUAHKR-MRXNPFEDSA-N 0 0 440.570 -0.349 20 0 IBADRN CN(Cc1ccn(-c2ccccc2)n1)C(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793746482 1073833696 /nfs/dbraw/zinc/83/36/96/1073833696.db2.gz WXYMCSDHGPWHJG-UHFFFAOYSA-N 0 0 448.549 -0.476 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2CC[C@@H]3SCCS[C@H]23)CC1 ZINC000793746717 1073833757 /nfs/dbraw/zinc/83/37/57/1073833757.db2.gz YVVLCOXMHBNTAF-HZSPNIEDSA-N 0 0 436.625 -0.824 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2CC[C@H]3SCCS[C@@H]23)CC1 ZINC000793746718 1073833559 /nfs/dbraw/zinc/83/35/59/1073833559.db2.gz YVVLCOXMHBNTAF-MCIONIFRSA-N 0 0 436.625 -0.824 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2CC[C@H]3SCCS[C@H]23)CC1 ZINC000793746719 1073833713 /nfs/dbraw/zinc/83/37/13/1073833713.db2.gz YVVLCOXMHBNTAF-MGPQQGTHSA-N 0 0 436.625 -0.824 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)N[C@@H]2CC[C@@H]3SCCS[C@@H]23)CC1 ZINC000793746720 1073833730 /nfs/dbraw/zinc/83/37/30/1073833730.db2.gz YVVLCOXMHBNTAF-RDBSUJKOSA-N 0 0 436.625 -0.824 20 0 IBADRN CCN(C)c1ccccc1CNC(=O)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000793746759 1073833772 /nfs/dbraw/zinc/83/37/72/1073833772.db2.gz ZUTZIIZPTFFALJ-UHFFFAOYSA-N 0 0 425.555 -0.548 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1 ZINC000793758747 1073830501 /nfs/dbraw/zinc/83/05/01/1073830501.db2.gz AZIMXFYTOSYVAY-UHFFFAOYSA-N 0 0 434.312 -0.154 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1 ZINC000793759476 1073830593 /nfs/dbraw/zinc/83/05/93/1073830593.db2.gz GJUAIPOWOQHZHA-LLVKDONJSA-N 0 0 425.283 -0.016 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1 ZINC000793759477 1073830457 /nfs/dbraw/zinc/83/04/57/1073830457.db2.gz GJUAIPOWOQHZHA-NSHDSACASA-N 0 0 425.283 -0.016 20 0 IBADRN Cc1nccc(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000793759944 1073830528 /nfs/dbraw/zinc/83/05/28/1073830528.db2.gz CLYHJQZBUQOBQA-UHFFFAOYSA-N 0 0 428.474 -0.305 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@@H]1C[C@H](O)c1cnn(C)c1)S(C)(=O)=O ZINC000793760214 1073830360 /nfs/dbraw/zinc/83/03/60/1073830360.db2.gz DCUHQSCRUBJCBQ-CVEARBPZSA-N 0 0 429.543 -0.378 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@H]1C[C@H](O)c1cnn(C)c1)S(C)(=O)=O ZINC000793760215 1073830567 /nfs/dbraw/zinc/83/05/67/1073830567.db2.gz DCUHQSCRUBJCBQ-HOTGVXAUSA-N 0 0 429.543 -0.378 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@@H]1C[C@@H](O)c1cnn(C)c1)S(C)(=O)=O ZINC000793760216 1073830537 /nfs/dbraw/zinc/83/05/37/1073830537.db2.gz DCUHQSCRUBJCBQ-HZPDHXFCSA-N 0 0 429.543 -0.378 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@H]1C[C@@H](O)c1cnn(C)c1)S(C)(=O)=O ZINC000793760217 1073830421 /nfs/dbraw/zinc/83/04/21/1073830421.db2.gz DCUHQSCRUBJCBQ-JKSUJKDBSA-N 0 0 429.543 -0.378 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1Cc2c(OC)ccc(OC)c2[C@@H](O)C1)S(C)(=O)=O ZINC000793760278 1073830546 /nfs/dbraw/zinc/83/05/46/1073830546.db2.gz DXSRDRKPSFWUHJ-AWEZNQCLSA-N 0 0 443.522 -0.133 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1Cc2c(OC)ccc(OC)c2[C@H](O)C1)S(C)(=O)=O ZINC000793760279 1073830489 /nfs/dbraw/zinc/83/04/89/1073830489.db2.gz DXSRDRKPSFWUHJ-CQSZACIVSA-N 0 0 443.522 -0.133 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)NCCC(=O)NC2CCCCC2)CC1 ZINC000793760708 1073830394 /nfs/dbraw/zinc/83/03/94/1073830394.db2.gz KQBIQPANYBUGCU-UHFFFAOYSA-N 0 0 430.571 -0.433 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC([C@H](O)c2nccn2C)CC1)S(C)(=O)=O ZINC000793761357 1073830572 /nfs/dbraw/zinc/83/05/72/1073830572.db2.gz IASCUFVXZWMSSS-HNNXBMFYSA-N 0 0 429.543 -0.520 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC([C@@H](O)c2nccn2C)CC1)S(C)(=O)=O ZINC000793761365 1073830510 /nfs/dbraw/zinc/83/05/10/1073830510.db2.gz IASCUFVXZWMSSS-OAHLLOKOSA-N 0 0 429.543 -0.520 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCCNC(=O)c1ccc(Br)cc1 ZINC000793762676 1073831318 /nfs/dbraw/zinc/83/13/18/1073831318.db2.gz TVBYSEIAMAPVAY-UHFFFAOYSA-N 0 0 434.312 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCc2nccs2)CC1 ZINC000793763182 1073830555 /nfs/dbraw/zinc/83/05/55/1073830555.db2.gz XAYGRXGRMQKWFG-UHFFFAOYSA-N 0 0 425.511 -0.003 20 0 IBADRN CCN(CCCNC(=O)C(=O)N(C)[C@@H]1CCN(CC(F)(F)F)C1=O)S(C)(=O)=O ZINC000793764700 1073831269 /nfs/dbraw/zinc/83/12/69/1073831269.db2.gz NLLCGULZDASHMK-LLVKDONJSA-N 0 0 430.449 -0.604 20 0 IBADRN CCN(CCCNC(=O)C(=O)N(C)[C@H]1CCN(CC(F)(F)F)C1=O)S(C)(=O)=O ZINC000793764711 1073831013 /nfs/dbraw/zinc/83/10/13/1073831013.db2.gz NLLCGULZDASHMK-NSHDSACASA-N 0 0 430.449 -0.604 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@@H]([C@H](O)c2nccn2C)C1)S(C)(=O)=O ZINC000793765936 1073831063 /nfs/dbraw/zinc/83/10/63/1073831063.db2.gz QOSXRAKDBGKPKH-CABCVRRESA-N 0 0 429.543 -0.520 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@H]([C@H](O)c2nccn2C)C1)S(C)(=O)=O ZINC000793765939 1073831079 /nfs/dbraw/zinc/83/10/79/1073831079.db2.gz QOSXRAKDBGKPKH-GJZGRUSLSA-N 0 0 429.543 -0.520 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@@H]([C@@H](O)c2nccn2C)C1)S(C)(=O)=O ZINC000793765942 1073831299 /nfs/dbraw/zinc/83/12/99/1073831299.db2.gz QOSXRAKDBGKPKH-HUUCEWRRSA-N 0 0 429.543 -0.520 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC[C@H]([C@@H](O)c2nccn2C)C1)S(C)(=O)=O ZINC000793765945 1073831333 /nfs/dbraw/zinc/83/13/33/1073831333.db2.gz QOSXRAKDBGKPKH-LSDHHAIUSA-N 0 0 429.543 -0.520 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCN(C2=NCC(C)(C)S2)CC1)S(C)(=O)=O ZINC000793766952 1073831248 /nfs/dbraw/zinc/83/12/48/1073831248.db2.gz ROOQOTQQOAODHW-UHFFFAOYSA-N 0 0 433.600 -0.200 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCOC[C@H]1C[C@H](O)c1ccco1)S(C)(=O)=O ZINC000793767691 1073831209 /nfs/dbraw/zinc/83/12/09/1073831209.db2.gz VDDOKWBYKSTXED-CABCVRRESA-N 0 0 431.511 -0.282 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCOC[C@@H]1C[C@H](O)c1ccco1)S(C)(=O)=O ZINC000793767701 1073831089 /nfs/dbraw/zinc/83/10/89/1073831089.db2.gz VDDOKWBYKSTXED-GJZGRUSLSA-N 0 0 431.511 -0.282 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCOC[C@H]1C[C@@H](O)c1ccco1)S(C)(=O)=O ZINC000793767704 1073831149 /nfs/dbraw/zinc/83/11/49/1073831149.db2.gz VDDOKWBYKSTXED-HUUCEWRRSA-N 0 0 431.511 -0.282 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccco1)S(C)(=O)=O ZINC000793767707 1073831234 /nfs/dbraw/zinc/83/12/34/1073831234.db2.gz VDDOKWBYKSTXED-LSDHHAIUSA-N 0 0 431.511 -0.282 20 0 IBADRN CCN(CCCNC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1)S(C)(=O)=O ZINC000793769098 1073833582 /nfs/dbraw/zinc/83/35/82/1073833582.db2.gz ZZORXPXNHUZFTH-UHFFFAOYSA-N 0 0 444.476 -0.309 20 0 IBADRN Cc1ccc(OC[C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000793777111 1073834388 /nfs/dbraw/zinc/83/43/88/1073834388.db2.gz DLEGVQHRJOOYQV-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1ccc(OC[C@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000793777115 1073834273 /nfs/dbraw/zinc/83/42/73/1073834273.db2.gz DLEGVQHRJOOYQV-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1ccc(OC[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000793792455 1073833627 /nfs/dbraw/zinc/83/36/27/1073833627.db2.gz OXZQIWPSRWKUQH-GOSISDBHSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1ccc(OC[C@H](C)NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000793792459 1073833616 /nfs/dbraw/zinc/83/36/16/1073833616.db2.gz OXZQIWPSRWKUQH-SFHVURJKSA-N 0 0 432.521 -0.118 20 0 IBADRN Cc1ccc(OC[C@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000793792968 1073833691 /nfs/dbraw/zinc/83/36/91/1073833691.db2.gz SBWUNKJPWODTJY-HNNXBMFYSA-N 0 0 444.492 -0.633 20 0 IBADRN Cc1ccc(OC[C@@H](C)NC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC000793792970 1073833568 /nfs/dbraw/zinc/83/35/68/1073833568.db2.gz SBWUNKJPWODTJY-OAHLLOKOSA-N 0 0 444.492 -0.633 20 0 IBADRN Cc1ccc(OC[C@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000793795232 1073833655 /nfs/dbraw/zinc/83/36/55/1073833655.db2.gz YMRWENDKGZHSSA-KRWDZBQOSA-N 0 0 446.504 -0.592 20 0 IBADRN Cc1ccc(OC[C@@H](C)NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000793795233 1073834144 /nfs/dbraw/zinc/83/41/44/1073834144.db2.gz YMRWENDKGZHSSA-QGZVFWFLSA-N 0 0 446.504 -0.592 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)[C@](C)(O)CN1C(=O)NC3(CCCC3)C1=O)CC2 ZINC000793801689 1073834508 /nfs/dbraw/zinc/83/45/08/1073834508.db2.gz YIWSOBJSOOGDFQ-GOSISDBHSA-N 0 0 436.465 -0.088 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)[C@@](C)(O)CN1C(=O)NC3(CCCC3)C1=O)CC2 ZINC000793801703 1073834403 /nfs/dbraw/zinc/83/44/03/1073834403.db2.gz YIWSOBJSOOGDFQ-SFHVURJKSA-N 0 0 436.465 -0.088 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000793801731 1073834160 /nfs/dbraw/zinc/83/41/60/1073834160.db2.gz LFFCFLXHBDQQEC-UHFFFAOYSA-N 0 0 439.476 -0.859 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000793803828 1073834129 /nfs/dbraw/zinc/83/41/29/1073834129.db2.gz AJRXHHKLJXRSHJ-UHFFFAOYSA-N 0 0 439.520 -0.041 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000793805711 1073834174 /nfs/dbraw/zinc/83/41/74/1073834174.db2.gz QBRQKIWXNQTRQH-UHFFFAOYSA-N 0 0 427.509 -0.186 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000793805732 1073834147 /nfs/dbraw/zinc/83/41/47/1073834147.db2.gz JIRLVPDIOACPQI-HNNXBMFYSA-N 0 0 434.522 -0.020 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000793805733 1073834524 /nfs/dbraw/zinc/83/45/24/1073834524.db2.gz JIRLVPDIOACPQI-OAHLLOKOSA-N 0 0 434.522 -0.020 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000793806520 1073834109 /nfs/dbraw/zinc/83/41/09/1073834109.db2.gz DXSZOFJLBISRCY-UHFFFAOYSA-N 0 0 425.493 -0.385 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCN(CC(=O)NC(C)C)CC1 ZINC000793809819 1073835134 /nfs/dbraw/zinc/83/51/34/1073835134.db2.gz JQXKUDPAKGHEHW-UHFFFAOYSA-N 0 0 427.509 -0.139 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCN(c2nc(N)ns2)CC1 ZINC000793809871 1073834962 /nfs/dbraw/zinc/83/49/62/1073834962.db2.gz KYHAECMFJZRCGH-UHFFFAOYSA-N 0 0 427.494 -0.026 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000793809904 1073835048 /nfs/dbraw/zinc/83/50/48/1073835048.db2.gz LNAIZVMCSXQYMX-UHFFFAOYSA-N 0 0 436.476 -0.366 20 0 IBADRN O=C(CC1OCCCO1)NCCN(CCN1CCOCC1)C(=O)CC1OCCCO1 ZINC000793821083 1073835906 /nfs/dbraw/zinc/83/59/06/1073835906.db2.gz YUHJUFIPVLMUNK-UHFFFAOYSA-N 0 0 429.514 -0.430 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000793824138 1073835550 /nfs/dbraw/zinc/83/55/50/1073835550.db2.gz AKNKYMBMLLIBMG-UHFFFAOYSA-N 0 0 439.557 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCSC[C@@H]2CCO)CC1 ZINC000793824176 1073835582 /nfs/dbraw/zinc/83/55/82/1073835582.db2.gz AUUGSEGVGPNDPS-AWEZNQCLSA-N 0 0 444.554 -0.492 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCSC[C@H]2CCO)CC1 ZINC000793824178 1073835733 /nfs/dbraw/zinc/83/57/33/1073835733.db2.gz AUUGSEGVGPNDPS-CQSZACIVSA-N 0 0 444.554 -0.492 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793824249 1073835600 /nfs/dbraw/zinc/83/56/00/1073835600.db2.gz BHUXZBKIUOOOAT-AWEZNQCLSA-N 0 0 440.497 -0.407 20 0 IBADRN CN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793824332 1073835882 /nfs/dbraw/zinc/83/58/82/1073835882.db2.gz DDLSGENFMBEBBW-LLVKDONJSA-N 0 0 440.419 -0.437 20 0 IBADRN CN(C[C@H](O)C(F)(F)F)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793824335 1073835782 /nfs/dbraw/zinc/83/57/82/1073835782.db2.gz DDLSGENFMBEBBW-NSHDSACASA-N 0 0 440.419 -0.437 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCCC[C@H]2CCO)CC1 ZINC000793824337 1073835770 /nfs/dbraw/zinc/83/57/70/1073835770.db2.gz DEPMISSXNQHHPF-HNNXBMFYSA-N 0 0 426.514 -0.055 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCCC[C@@H]2CCO)CC1 ZINC000793824341 1073835524 /nfs/dbraw/zinc/83/55/24/1073835524.db2.gz DEPMISSXNQHHPF-OAHLLOKOSA-N 0 0 426.514 -0.055 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793824821 1073836891 /nfs/dbraw/zinc/83/68/91/1073836891.db2.gz DKDYERIVBSRYIK-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793824822 1073836917 /nfs/dbraw/zinc/83/69/17/1073836917.db2.gz DKDYERIVBSRYIK-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CCCO1 ZINC000793824826 1073836854 /nfs/dbraw/zinc/83/68/54/1073836854.db2.gz DMGDSJGCNIWFTR-CABCVRRESA-N 0 0 442.513 -0.508 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CCCO1 ZINC000793824827 1073836896 /nfs/dbraw/zinc/83/68/96/1073836896.db2.gz DMGDSJGCNIWFTR-GJZGRUSLSA-N 0 0 442.513 -0.508 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CCCO1 ZINC000793824828 1073836910 /nfs/dbraw/zinc/83/69/10/1073836910.db2.gz DMGDSJGCNIWFTR-HUUCEWRRSA-N 0 0 442.513 -0.508 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CCCO1 ZINC000793824829 1073836950 /nfs/dbraw/zinc/83/69/50/1073836950.db2.gz DMGDSJGCNIWFTR-LSDHHAIUSA-N 0 0 442.513 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N(CCCCO)C2CC2)CC1 ZINC000793824843 1073836877 /nfs/dbraw/zinc/83/68/77/1073836877.db2.gz DWLVDMBKOIXRNL-UHFFFAOYSA-N 0 0 426.514 -0.055 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NC2COC(C)(C)OC2)CC1 ZINC000793824872 1073836477 /nfs/dbraw/zinc/83/64/77/1073836477.db2.gz FIOOYQQUFOADIF-UHFFFAOYSA-N 0 0 428.486 -0.550 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@H]2CCn3ccnc3C2)CC1 ZINC000793824873 1073836365 /nfs/dbraw/zinc/83/63/65/1073836365.db2.gz FLSWSTFYOCEFRV-AWEZNQCLSA-N 0 0 434.497 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@@H]2CCn3ccnc3C2)CC1 ZINC000793824874 1073836527 /nfs/dbraw/zinc/83/65/27/1073836527.db2.gz FLSWSTFYOCEFRV-CQSZACIVSA-N 0 0 434.497 -0.490 20 0 IBADRN Cc1cc(C[C@@H](C)NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)[nH]n1 ZINC000793824875 1073836420 /nfs/dbraw/zinc/83/64/20/1073836420.db2.gz FMJNYSCIBXLXER-CYBMUJFWSA-N 0 0 436.513 -0.039 20 0 IBADRN Cc1cc(C[C@H](C)NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)[nH]n1 ZINC000793824876 1073836548 /nfs/dbraw/zinc/83/65/48/1073836548.db2.gz FMJNYSCIBXLXER-ZDUSSCGKSA-N 0 0 436.513 -0.039 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCC[C@@H](CC(N)=O)C2)CC1 ZINC000793824883 1073836520 /nfs/dbraw/zinc/83/65/20/1073836520.db2.gz FQNOUACMWDBQMR-AWEZNQCLSA-N 0 0 439.513 -0.704 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCC[C@H](CC(N)=O)C2)CC1 ZINC000793824884 1073836501 /nfs/dbraw/zinc/83/65/01/1073836501.db2.gz FQNOUACMWDBQMR-CQSZACIVSA-N 0 0 439.513 -0.704 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCC[C@H]2C(C)(C)O)CC1 ZINC000793824885 1073836455 /nfs/dbraw/zinc/83/64/55/1073836455.db2.gz FTXGJLUODWKONE-AWEZNQCLSA-N 0 0 426.514 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCC[C@@H]2C(C)(C)O)CC1 ZINC000793824886 1073836413 /nfs/dbraw/zinc/83/64/13/1073836413.db2.gz FTXGJLUODWKONE-CQSZACIVSA-N 0 0 426.514 -0.056 20 0 IBADRN COCCN(C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CCOC1 ZINC000793824932 1073836541 /nfs/dbraw/zinc/83/65/41/1073836541.db2.gz GFOSTZCJOKUQMK-HNNXBMFYSA-N 0 0 442.513 -0.554 20 0 IBADRN COCCN(C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CCOC1 ZINC000793824935 1073836471 /nfs/dbraw/zinc/83/64/71/1073836471.db2.gz GFOSTZCJOKUQMK-OAHLLOKOSA-N 0 0 442.513 -0.554 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793824939 1073836462 /nfs/dbraw/zinc/83/64/62/1073836462.db2.gz GHXMYOASRBJHLV-KBPBESRZSA-N 0 0 439.513 -0.787 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793824941 1073836884 /nfs/dbraw/zinc/83/68/84/1073836884.db2.gz GHXMYOASRBJHLV-KGLIPLIRSA-N 0 0 439.513 -0.787 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793824942 1073836968 /nfs/dbraw/zinc/83/69/68/1073836968.db2.gz GHXMYOASRBJHLV-UONOGXRCSA-N 0 0 439.513 -0.787 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793824943 1073837006 /nfs/dbraw/zinc/83/70/06/1073837006.db2.gz GHXMYOASRBJHLV-ZIAGYGMSSA-N 0 0 439.513 -0.787 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000793824944 1073836994 /nfs/dbraw/zinc/83/69/94/1073836994.db2.gz GIWYRNHLYXHOSE-AWEZNQCLSA-N 0 0 428.486 -0.944 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000793824950 1073837016 /nfs/dbraw/zinc/83/70/16/1073837016.db2.gz GIWYRNHLYXHOSE-CQSZACIVSA-N 0 0 428.486 -0.944 20 0 IBADRN CC(C)[C@@H]1CN(C)CCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793825203 1073836506 /nfs/dbraw/zinc/83/65/06/1073836506.db2.gz ADIREECINXRSPJ-INIZCTEOSA-N 0 0 439.557 -0.020 20 0 IBADRN CC(C)[C@H]1CN(C)CCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793825217 1073836384 /nfs/dbraw/zinc/83/63/84/1073836384.db2.gz ADIREECINXRSPJ-MRXNPFEDSA-N 0 0 439.557 -0.020 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@H]2CCc3c[nH]nc3C2)CC1 ZINC000793825479 1073835889 /nfs/dbraw/zinc/83/58/89/1073835889.db2.gz BCUDOEQWZNECHJ-AWEZNQCLSA-N 0 0 434.497 -0.421 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000793825484 1073835872 /nfs/dbraw/zinc/83/58/72/1073835872.db2.gz BCUDOEQWZNECHJ-CQSZACIVSA-N 0 0 434.497 -0.421 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000793825580 1073836482 /nfs/dbraw/zinc/83/64/82/1073836482.db2.gz BRXJDQDLTQNNFS-UHFFFAOYSA-N 0 0 439.513 -0.737 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCOC[C@H]2CCOC2)CC1 ZINC000793825602 1073835717 /nfs/dbraw/zinc/83/57/17/1073835717.db2.gz CBAFRZOOZQJANB-HNNXBMFYSA-N 0 0 442.513 -0.649 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCOC[C@@H]2CCOC2)CC1 ZINC000793825603 1073835616 /nfs/dbraw/zinc/83/56/16/1073835616.db2.gz CBAFRZOOZQJANB-OAHLLOKOSA-N 0 0 442.513 -0.649 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793825642 1073837407 /nfs/dbraw/zinc/83/74/07/1073837407.db2.gz GNNJGWYIQBSLKK-CABCVRRESA-N 0 0 442.513 -0.508 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793825643 1073837574 /nfs/dbraw/zinc/83/75/74/1073837574.db2.gz GNNJGWYIQBSLKK-GJZGRUSLSA-N 0 0 442.513 -0.508 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793825644 1073837378 /nfs/dbraw/zinc/83/73/78/1073837378.db2.gz GNNJGWYIQBSLKK-HUUCEWRRSA-N 0 0 442.513 -0.508 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793825645 1073837594 /nfs/dbraw/zinc/83/75/94/1073837594.db2.gz GNNJGWYIQBSLKK-LSDHHAIUSA-N 0 0 442.513 -0.508 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000793825647 1073837563 /nfs/dbraw/zinc/83/75/63/1073837563.db2.gz GQMDASSUFIWUMR-GDLZYMKVSA-N 0 0 430.527 -0.791 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000793825648 1073837348 /nfs/dbraw/zinc/83/73/48/1073837348.db2.gz GQMDASSUFIWUMR-LJAQVGFWSA-N 0 0 430.527 -0.791 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCC2(C)OCCO2)CC1 ZINC000793825680 1073837636 /nfs/dbraw/zinc/83/76/36/1073837636.db2.gz HLWSTTPNMYVESE-UHFFFAOYSA-N 0 0 428.486 -0.549 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N(CCO)C2CCOCC2)CC1 ZINC000793825681 1073837582 /nfs/dbraw/zinc/83/75/82/1073837582.db2.gz HLXFPPDBVPDAKF-UHFFFAOYSA-N 0 0 442.513 -0.818 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCCO[C@H]2CCOC2)CC1 ZINC000793825703 1073837467 /nfs/dbraw/zinc/83/74/67/1073837467.db2.gz IAIFJSQBMMXPTI-HNNXBMFYSA-N 0 0 442.513 -0.506 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCCO[C@@H]2CCOC2)CC1 ZINC000793825704 1073837618 /nfs/dbraw/zinc/83/76/18/1073837618.db2.gz IAIFJSQBMMXPTI-OAHLLOKOSA-N 0 0 442.513 -0.506 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCc2cccc(CO)c2)CC1 ZINC000793825731 1073837392 /nfs/dbraw/zinc/83/73/92/1073837392.db2.gz IRKOXKXAZJCCRQ-UHFFFAOYSA-N 0 0 434.493 -0.009 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793826110 1073837601 /nfs/dbraw/zinc/83/76/01/1073837601.db2.gz DHAMETLSUZCMGG-FQEVSTJZSA-N 0 0 439.513 -0.834 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793826116 1073837360 /nfs/dbraw/zinc/83/73/60/1073837360.db2.gz DHAMETLSUZCMGG-HXUWFJFHSA-N 0 0 439.513 -0.834 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793826118 1073837554 /nfs/dbraw/zinc/83/75/54/1073837554.db2.gz DHFPXUHQQXSIHG-UHFFFAOYSA-N 0 0 441.529 -0.540 20 0 IBADRN CN(CCc1cnccn1)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793826294 1073837029 /nfs/dbraw/zinc/83/70/29/1073837029.db2.gz JDQMRTZHUXYIRS-UHFFFAOYSA-N 0 0 434.497 -0.327 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCC3(C2)OCCO3)CC1 ZINC000793826307 1073836902 /nfs/dbraw/zinc/83/69/02/1073836902.db2.gz JHZCTNYPKWUTSQ-UHFFFAOYSA-N 0 0 426.470 -0.843 20 0 IBADRN CN(C)c1cccc(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)n1 ZINC000793826393 1073836984 /nfs/dbraw/zinc/83/69/84/1073836984.db2.gz KTZHVUGJTITHLO-UHFFFAOYSA-N 0 0 448.524 -0.041 20 0 IBADRN COCC1(O)CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000793826395 1073836976 /nfs/dbraw/zinc/83/69/76/1073836976.db2.gz KUAXPCGVZZLUBL-UHFFFAOYSA-N 0 0 442.513 -0.818 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCCC3(C2)OCCO3)CC1 ZINC000793826443 1073836961 /nfs/dbraw/zinc/83/69/61/1073836961.db2.gz MICIHOZEKDPHEU-UHFFFAOYSA-N 0 0 440.497 -0.453 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC000793826738 1073838040 /nfs/dbraw/zinc/83/80/40/1073838040.db2.gz FYEXAOPENKGYPH-UHFFFAOYSA-N 0 0 435.485 -0.876 20 0 IBADRN COc1cccc(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)n1 ZINC000793826789 1073838158 /nfs/dbraw/zinc/83/81/58/1073838158.db2.gz GTHHYLNXZOLSSS-UHFFFAOYSA-N 0 0 435.481 -0.098 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000793826897 1073838149 /nfs/dbraw/zinc/83/81/49/1073838149.db2.gz NBMXRDKOVRQYKO-UHFFFAOYSA-N 0 0 440.497 -0.407 20 0 IBADRN CON(CC1CCOCC1)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793826917 1073838130 /nfs/dbraw/zinc/83/81/30/1073838130.db2.gz NGOVQWNMMXEJDZ-UHFFFAOYSA-N 0 0 442.513 -0.002 20 0 IBADRN Cc1cn2c(n1)CN(C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)CC2 ZINC000793826937 1073838054 /nfs/dbraw/zinc/83/80/54/1073838054.db2.gz NMQHTYYUDKPPNY-UHFFFAOYSA-N 0 0 434.497 -0.271 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000793826950 1073838192 /nfs/dbraw/zinc/83/81/92/1073838192.db2.gz NTDMADAZZOGRJI-AWEZNQCLSA-N 0 0 439.513 -0.693 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000793826957 1073837906 /nfs/dbraw/zinc/83/79/06/1073837906.db2.gz NTDMADAZZOGRJI-CQSZACIVSA-N 0 0 439.513 -0.693 20 0 IBADRN Cn1ccnc1[C@H]1CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793826959 1073838137 /nfs/dbraw/zinc/83/81/37/1073838137.db2.gz NXSYJZSBAVVSGP-HNNXBMFYSA-N 0 0 448.524 -0.069 20 0 IBADRN Cn1ccnc1[C@@H]1CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793826969 1073838176 /nfs/dbraw/zinc/83/81/76/1073838176.db2.gz NXSYJZSBAVVSGP-OAHLLOKOSA-N 0 0 448.524 -0.069 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCc3cc[nH]c(=O)c3C2)CC1 ZINC000793827053 1073838097 /nfs/dbraw/zinc/83/80/97/1073838097.db2.gz OLHXJJDKJVJKLR-UHFFFAOYSA-N 0 0 447.492 -0.133 20 0 IBADRN CC[C@@]1(CO)CCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793827091 1073838030 /nfs/dbraw/zinc/83/80/30/1073838030.db2.gz OXTMRHFDTVGEFI-FQEVSTJZSA-N 0 0 426.514 -0.055 20 0 IBADRN CC[C@]1(CO)CCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793827092 1073838169 /nfs/dbraw/zinc/83/81/69/1073838169.db2.gz OXTMRHFDTVGEFI-HXUWFJFHSA-N 0 0 426.514 -0.055 20 0 IBADRN C[C@@H](O)C[C@@H]1CCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793827107 1073838120 /nfs/dbraw/zinc/83/81/20/1073838120.db2.gz PAQQZQYCZXZSDH-CABCVRRESA-N 0 0 426.514 -0.056 20 0 IBADRN C[C@H](O)C[C@@H]1CCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793827108 1073838088 /nfs/dbraw/zinc/83/80/88/1073838088.db2.gz PAQQZQYCZXZSDH-GJZGRUSLSA-N 0 0 426.514 -0.056 20 0 IBADRN C[C@@H](O)C[C@H]1CCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793827109 1073838104 /nfs/dbraw/zinc/83/81/04/1073838104.db2.gz PAQQZQYCZXZSDH-HUUCEWRRSA-N 0 0 426.514 -0.056 20 0 IBADRN C[C@H](O)C[C@H]1CCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793827110 1073838113 /nfs/dbraw/zinc/83/81/13/1073838113.db2.gz PAQQZQYCZXZSDH-LSDHHAIUSA-N 0 0 426.514 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCN(C3CC3)C(=O)C2)CC1 ZINC000793827320 1073838020 /nfs/dbraw/zinc/83/80/20/1073838020.db2.gz HOVLSSPNTZZJGX-UHFFFAOYSA-N 0 0 437.497 -0.985 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC000793827599 1073837325 /nfs/dbraw/zinc/83/73/25/1073837325.db2.gz PFOMTBBZTCMMST-UHFFFAOYSA-N 0 0 439.513 -0.737 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CN(C)CCO1 ZINC000793827618 1073837980 /nfs/dbraw/zinc/83/79/80/1073837980.db2.gz PMCPJWCMKUAVPS-CABCVRRESA-N 0 0 441.529 -0.983 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CN(C)CCO1 ZINC000793827622 1073838697 /nfs/dbraw/zinc/83/86/97/1073838697.db2.gz PMCPJWCMKUAVPS-GJZGRUSLSA-N 0 0 441.529 -0.983 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CN(C)CCO1 ZINC000793827623 1073838621 /nfs/dbraw/zinc/83/86/21/1073838621.db2.gz PMCPJWCMKUAVPS-HUUCEWRRSA-N 0 0 441.529 -0.983 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CN(C)CCO1 ZINC000793827624 1073838589 /nfs/dbraw/zinc/83/85/89/1073838589.db2.gz PMCPJWCMKUAVPS-LSDHHAIUSA-N 0 0 441.529 -0.983 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@H]2CCC[C@@H]2CCO)CC1 ZINC000793827658 1073839257 /nfs/dbraw/zinc/83/92/57/1073839257.db2.gz QBMPZTHQDVHXGV-CABCVRRESA-N 0 0 426.514 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@H]2CCC[C@H]2CCO)CC1 ZINC000793827659 1073839265 /nfs/dbraw/zinc/83/92/65/1073839265.db2.gz QBMPZTHQDVHXGV-GJZGRUSLSA-N 0 0 426.514 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@@H]2CCC[C@@H]2CCO)CC1 ZINC000793827660 1073839341 /nfs/dbraw/zinc/83/93/41/1073839341.db2.gz QBMPZTHQDVHXGV-HUUCEWRRSA-N 0 0 426.514 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N[C@@H]2CCC[C@H]2CCO)CC1 ZINC000793827661 1073839351 /nfs/dbraw/zinc/83/93/51/1073839351.db2.gz QBMPZTHQDVHXGV-LSDHHAIUSA-N 0 0 426.514 -0.151 20 0 IBADRN C[C@@H](O)[C@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793827701 1073839271 /nfs/dbraw/zinc/83/92/71/1073839271.db2.gz RMRRNJDJLBORPZ-CABCVRRESA-N 0 0 426.514 -0.199 20 0 IBADRN C[C@H](O)[C@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793827702 1073839227 /nfs/dbraw/zinc/83/92/27/1073839227.db2.gz RMRRNJDJLBORPZ-GJZGRUSLSA-N 0 0 426.514 -0.199 20 0 IBADRN C[C@@H](O)[C@@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793827703 1073839211 /nfs/dbraw/zinc/83/92/11/1073839211.db2.gz RMRRNJDJLBORPZ-HUUCEWRRSA-N 0 0 426.514 -0.199 20 0 IBADRN C[C@H](O)[C@@H]1CCCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793827704 1073839315 /nfs/dbraw/zinc/83/93/15/1073839315.db2.gz RMRRNJDJLBORPZ-LSDHHAIUSA-N 0 0 426.514 -0.199 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793827708 1073839370 /nfs/dbraw/zinc/83/93/70/1073839370.db2.gz RQIPSQOTLXDRGL-CYBMUJFWSA-N 0 0 448.542 -0.927 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793827709 1073839219 /nfs/dbraw/zinc/83/92/19/1073839219.db2.gz RQIPSQOTLXDRGL-ZDUSSCGKSA-N 0 0 448.542 -0.927 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793827942 1073838676 /nfs/dbraw/zinc/83/86/76/1073838676.db2.gz KAZRZMDKKPOKBG-FQEVSTJZSA-N 0 0 440.497 -0.407 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793827943 1073838577 /nfs/dbraw/zinc/83/85/77/1073838577.db2.gz KAZRZMDKKPOKBG-HXUWFJFHSA-N 0 0 440.497 -0.407 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793827965 1073838548 /nfs/dbraw/zinc/83/85/48/1073838548.db2.gz KHGUYTPVVOOMEU-AWEZNQCLSA-N 0 0 439.513 -0.691 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000793827969 1073839307 /nfs/dbraw/zinc/83/93/07/1073839307.db2.gz KHGUYTPVVOOMEU-CQSZACIVSA-N 0 0 439.513 -0.691 20 0 IBADRN COC1(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCOCC1 ZINC000793828050 1073839399 /nfs/dbraw/zinc/83/93/99/1073839399.db2.gz KNZDCKFZHKAKNW-UHFFFAOYSA-N 0 0 442.513 -0.506 20 0 IBADRN CN(C[C@H]1CCC[C@@H]1O)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793828504 1073838736 /nfs/dbraw/zinc/83/87/36/1073838736.db2.gz SJLFEWWIONVWQN-CABCVRRESA-N 0 0 426.514 -0.199 20 0 IBADRN CN(C[C@@H]1CCC[C@@H]1O)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793828506 1073838688 /nfs/dbraw/zinc/83/86/88/1073838688.db2.gz SJLFEWWIONVWQN-GJZGRUSLSA-N 0 0 426.514 -0.199 20 0 IBADRN CN(C[C@H]1CCC[C@H]1O)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793828507 1073838814 /nfs/dbraw/zinc/83/88/14/1073838814.db2.gz SJLFEWWIONVWQN-HUUCEWRRSA-N 0 0 426.514 -0.199 20 0 IBADRN CN(C[C@@H]1CCC[C@H]1O)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793828508 1073838773 /nfs/dbraw/zinc/83/87/73/1073838773.db2.gz SJLFEWWIONVWQN-LSDHHAIUSA-N 0 0 426.514 -0.199 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCO[C@@]3(CCOC3)C2)CC1 ZINC000793828541 1073838828 /nfs/dbraw/zinc/83/88/28/1073838828.db2.gz TUWOGGHPGBJVQZ-FQEVSTJZSA-N 0 0 440.497 -0.800 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCO[C@]3(CCOC3)C2)CC1 ZINC000793828547 1073838727 /nfs/dbraw/zinc/83/87/27/1073838727.db2.gz TUWOGGHPGBJVQZ-HXUWFJFHSA-N 0 0 440.497 -0.800 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C[C@@H](C)O1 ZINC000793828586 1073838793 /nfs/dbraw/zinc/83/87/93/1073838793.db2.gz UHAHHEATLWZZHM-CABCVRRESA-N 0 0 442.513 -0.556 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC000793828588 1073838782 /nfs/dbraw/zinc/83/87/82/1073838782.db2.gz UHAHHEATLWZZHM-GJZGRUSLSA-N 0 0 442.513 -0.556 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C[C@@H](C)O1 ZINC000793828590 1073838568 /nfs/dbraw/zinc/83/85/68/1073838568.db2.gz UHAHHEATLWZZHM-HUUCEWRRSA-N 0 0 442.513 -0.556 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC000793828592 1073838558 /nfs/dbraw/zinc/83/85/58/1073838558.db2.gz UHAHHEATLWZZHM-LSDHHAIUSA-N 0 0 442.513 -0.556 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)n1 ZINC000793828641 1073840002 /nfs/dbraw/zinc/84/00/02/1073840002.db2.gz UTYIODTWSYAUPV-UHFFFAOYSA-N 0 0 449.512 -0.646 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCN2CCSCC2)CC1 ZINC000793829031 1073839914 /nfs/dbraw/zinc/83/99/14/1073839914.db2.gz VERMSONJLKYLGM-UHFFFAOYSA-N 0 0 443.570 -0.653 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCOc2cccnc2)CC1 ZINC000793829268 1073839815 /nfs/dbraw/zinc/83/98/15/1073839815.db2.gz OIGRVZMUAIZARR-UHFFFAOYSA-N 0 0 435.481 -0.228 20 0 IBADRN COC1(CO)CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000793829582 1073839327 /nfs/dbraw/zinc/83/93/27/1073839327.db2.gz WYHKRZKOZNZCKP-UHFFFAOYSA-N 0 0 442.513 -0.818 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCc2ccc(C(N)=O)cc2)CC1 ZINC000793829633 1073839777 /nfs/dbraw/zinc/83/97/77/1073839777.db2.gz XPGPONUVTYHOPZ-UHFFFAOYSA-N 0 0 447.492 -0.403 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCC[S@](=O)C(C)(C)C)CC1 ZINC000793829659 1073840686 /nfs/dbraw/zinc/84/06/86/1073840686.db2.gz XUCHEHNILNRZBW-PMERELPUSA-N 0 0 446.570 -0.155 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCC[S@@](=O)C(C)(C)C)CC1 ZINC000793829660 1073840358 /nfs/dbraw/zinc/84/03/58/1073840358.db2.gz XUCHEHNILNRZBW-SSEXGKCCSA-N 0 0 446.570 -0.155 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000793829892 1073839838 /nfs/dbraw/zinc/83/98/38/1073839838.db2.gz PDVSGYAGNNUBSY-UHFFFAOYSA-N 0 0 437.541 -0.121 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCOC[C@@H]2CCCO2)CC1 ZINC000793830113 1073839992 /nfs/dbraw/zinc/83/99/92/1073839992.db2.gz QERZMRRTWSCJNA-HNNXBMFYSA-N 0 0 442.513 -0.506 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCOC[C@H]2CCCO2)CC1 ZINC000793830115 1073839768 /nfs/dbraw/zinc/83/97/68/1073839768.db2.gz QERZMRRTWSCJNA-OAHLLOKOSA-N 0 0 442.513 -0.506 20 0 IBADRN Cn1cnnc1[C@@H]1CCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793830170 1073839823 /nfs/dbraw/zinc/83/98/23/1073839823.db2.gz ZHWZATWKFLPSEH-AWEZNQCLSA-N 0 0 449.512 -0.326 20 0 IBADRN Cn1cnnc1[C@H]1CCCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793830173 1073839831 /nfs/dbraw/zinc/83/98/31/1073839831.db2.gz ZHWZATWKFLPSEH-CQSZACIVSA-N 0 0 449.512 -0.326 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)s1 ZINC000793830191 1073840047 /nfs/dbraw/zinc/84/00/47/1073840047.db2.gz ZQBWUAYXJBCPSE-UHFFFAOYSA-N 0 0 426.499 -0.342 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)[C@@H](C)C1 ZINC000793830213 1073839794 /nfs/dbraw/zinc/83/97/94/1073839794.db2.gz ZXCKHRXDGVMCCR-AWEZNQCLSA-N 0 0 439.513 -0.739 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)[C@H](C)C1 ZINC000793830214 1073839856 /nfs/dbraw/zinc/83/98/56/1073839856.db2.gz ZXCKHRXDGVMCCR-CQSZACIVSA-N 0 0 439.513 -0.739 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793830653 1073840406 /nfs/dbraw/zinc/84/04/06/1073840406.db2.gz QYDWHPNEBJLBIQ-UHFFFAOYSA-N 0 0 427.502 -0.930 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000793831291 1073840533 /nfs/dbraw/zinc/84/05/33/1073840533.db2.gz USLAKCHDPFJYCJ-UHFFFAOYSA-N 0 0 440.497 -0.453 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793831294 1073840676 /nfs/dbraw/zinc/84/06/76/1073840676.db2.gz UTTQXKJGCVIANV-UHFFFAOYSA-N 0 0 448.542 -0.925 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000793831303 1073840544 /nfs/dbraw/zinc/84/05/44/1073840544.db2.gz UXXQSVKEWRMNCY-UHFFFAOYSA-N 0 0 437.541 -0.264 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)NCCNC(=O)C(C)(C)C)CC1 ZINC000793832067 1073840447 /nfs/dbraw/zinc/84/04/47/1073840447.db2.gz XGSQCHBVGIRGJW-UHFFFAOYSA-N 0 0 441.529 -0.540 20 0 IBADRN CN(CCCn1ccnc1)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793832167 1073840773 /nfs/dbraw/zinc/84/07/73/1073840773.db2.gz XJYMNGNATYMUHQ-UHFFFAOYSA-N 0 0 436.513 -0.073 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000793832278 1073840663 /nfs/dbraw/zinc/84/06/63/1073840663.db2.gz XWBLKSCBJDEXGH-UHFFFAOYSA-N 0 0 436.513 -0.336 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793835992 1073834370 /nfs/dbraw/zinc/83/43/70/1073834370.db2.gz ARILYHREJUVIJA-CYBMUJFWSA-N 0 0 435.485 -0.623 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793835993 1073834356 /nfs/dbraw/zinc/83/43/56/1073834356.db2.gz ARILYHREJUVIJA-ZDUSSCGKSA-N 0 0 435.485 -0.623 20 0 IBADRN CN(CC1(O)CCCC1)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793836481 1073834297 /nfs/dbraw/zinc/83/42/97/1073834297.db2.gz FMPJQMBAIRSENV-UHFFFAOYSA-N 0 0 426.514 -0.055 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCC1 ZINC000793836972 1073835101 /nfs/dbraw/zinc/83/51/01/1073835101.db2.gz JHGJYGYWXNHIHB-PMERELPUSA-N 0 0 444.554 -0.401 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCC1 ZINC000793836973 1073835154 /nfs/dbraw/zinc/83/51/54/1073835154.db2.gz JHGJYGYWXNHIHB-SSEXGKCCSA-N 0 0 444.554 -0.401 20 0 IBADRN COc1ccc(CNC(=O)C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000793837353 1073834099 /nfs/dbraw/zinc/83/40/99/1073834099.db2.gz KYTZFQQDBYCILK-UHFFFAOYSA-N 0 0 435.481 -0.098 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793837763 1073835247 /nfs/dbraw/zinc/83/52/47/1073835247.db2.gz NYMSDCJDCACSQN-UHFFFAOYSA-N 0 0 437.501 -0.641 20 0 IBADRN CC(C)(O)CN(C(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C1CC1 ZINC000793838095 1073834950 /nfs/dbraw/zinc/83/49/50/1073834950.db2.gz QCJPCMLVLIIZNN-UHFFFAOYSA-N 0 0 426.514 -0.056 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793838353 1073834936 /nfs/dbraw/zinc/83/49/36/1073834936.db2.gz STLCGBNGIJKZBG-CABCVRRESA-N 0 0 442.513 -0.508 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793838354 1073835121 /nfs/dbraw/zinc/83/51/21/1073835121.db2.gz STLCGBNGIJKZBG-GJZGRUSLSA-N 0 0 442.513 -0.508 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793838355 1073835088 /nfs/dbraw/zinc/83/50/88/1073835088.db2.gz STLCGBNGIJKZBG-HUUCEWRRSA-N 0 0 442.513 -0.508 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000793838356 1073834864 /nfs/dbraw/zinc/83/48/64/1073834864.db2.gz STLCGBNGIJKZBG-LSDHHAIUSA-N 0 0 442.513 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C(=O)N2CCN(CC(F)F)CC2)CC1 ZINC000793839107 1073835174 /nfs/dbraw/zinc/83/51/74/1073835174.db2.gz XKRBLOWKNMUMBE-UHFFFAOYSA-N 0 0 447.483 -0.409 20 0 IBADRN CN(C(=O)C(=O)NCc1cccc(OCC(N)=O)c1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000793843842 1073835034 /nfs/dbraw/zinc/83/50/34/1073835034.db2.gz KJLAZZULBIEVID-CYBMUJFWSA-N 0 0 430.383 -0.212 20 0 IBADRN CN(C(=O)C(=O)NCc1cccc(OCC(N)=O)c1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000793843846 1073835078 /nfs/dbraw/zinc/83/50/78/1073835078.db2.gz KJLAZZULBIEVID-ZDUSSCGKSA-N 0 0 430.383 -0.212 20 0 IBADRN Cc1ccc([C@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000793849240 1073840603 /nfs/dbraw/zinc/84/06/03/1073840603.db2.gz MIOVPYHQAJDDGN-HNNXBMFYSA-N 0 0 443.595 -0.130 20 0 IBADRN Cc1ccc([C@@H](C)NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC000793849261 1073840513 /nfs/dbraw/zinc/84/05/13/1073840513.db2.gz MIOVPYHQAJDDGN-OAHLLOKOSA-N 0 0 443.595 -0.130 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)NCCN2CCc3sccc3C2)CC1 ZINC000793865385 1073841266 /nfs/dbraw/zinc/84/12/66/1073841266.db2.gz LJOZSHZNUZVCQR-UHFFFAOYSA-N 0 0 442.607 -0.152 20 0 IBADRN COc1ccc(CCNC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)c(OC)c1 ZINC000793865969 1073841341 /nfs/dbraw/zinc/84/13/41/1073841341.db2.gz YKAPEKCPLGQRFX-UHFFFAOYSA-N 0 0 441.550 -0.012 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000793870142 1073841211 /nfs/dbraw/zinc/84/12/11/1073841211.db2.gz MBIKPUARNLSCCB-CRAIPNDOSA-N 0 0 429.539 -0.651 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)C(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC000793870147 1073841373 /nfs/dbraw/zinc/84/13/73/1073841373.db2.gz MBIKPUARNLSCCB-MAUKXSAKSA-N 0 0 429.539 -0.651 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000793870151 1073841229 /nfs/dbraw/zinc/84/12/29/1073841229.db2.gz MBIKPUARNLSCCB-QAPCUYQASA-N 0 0 429.539 -0.651 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)C(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000793870155 1073841316 /nfs/dbraw/zinc/84/13/16/1073841316.db2.gz MBIKPUARNLSCCB-YJBOKZPZSA-N 0 0 429.539 -0.651 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NC[C@@H]2CC(C(N)=O)=NO2)C1=O ZINC000793894988 1073841698 /nfs/dbraw/zinc/84/16/98/1073841698.db2.gz DRZVXQRMYFEIQP-HUTHGQBESA-N 0 0 425.804 -0.008 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NC[C@H]2CC(C(N)=O)=NO2)C1=O ZINC000793894992 1073841750 /nfs/dbraw/zinc/84/17/50/1073841750.db2.gz DRZVXQRMYFEIQP-VVVCHXIZSA-N 0 0 425.804 -0.008 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NC[C@H]2CC(C(N)=O)=NO2)C1=O ZINC000793894996 1073841983 /nfs/dbraw/zinc/84/19/83/1073841983.db2.gz DRZVXQRMYFEIQP-XLFHBGCDSA-N 0 0 425.804 -0.008 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NC[C@@H]2CC(C(N)=O)=NO2)C1=O ZINC000793895000 1073841844 /nfs/dbraw/zinc/84/18/44/1073841844.db2.gz DRZVXQRMYFEIQP-XYZCENFISA-N 0 0 425.804 -0.008 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NC[C@H]3CC(C(N)=O)=NO3)nc2n(CC)c1=O ZINC000793895584 1073841682 /nfs/dbraw/zinc/84/16/82/1073841682.db2.gz GCPOXSISGTXSOG-LLVKDONJSA-N 0 0 431.453 -0.297 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)NC[C@@H]3CC(C(N)=O)=NO3)nc2n(CC)c1=O ZINC000793895588 1073841717 /nfs/dbraw/zinc/84/17/17/1073841717.db2.gz GCPOXSISGTXSOG-NSHDSACASA-N 0 0 431.453 -0.297 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000793896590 1073841856 /nfs/dbraw/zinc/84/18/56/1073841856.db2.gz KOEOMFOUMMMMNQ-GYSYKLTISA-N 0 0 430.870 -0.790 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000793896591 1073841951 /nfs/dbraw/zinc/84/19/51/1073841951.db2.gz KOEOMFOUMMMMNQ-MJVIPROJSA-N 0 0 430.870 -0.790 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000793896592 1073841807 /nfs/dbraw/zinc/84/18/07/1073841807.db2.gz KOEOMFOUMMMMNQ-SUNKGSAMSA-N 0 0 430.870 -0.790 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000793896593 1073841960 /nfs/dbraw/zinc/84/19/60/1073841960.db2.gz KOEOMFOUMMMMNQ-WDMOLILDSA-N 0 0 430.870 -0.790 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)c2cc(I)ccc2-n2cnnn2)C1 ZINC000793898675 1073841969 /nfs/dbraw/zinc/84/19/69/1073841969.db2.gz UQFQFKIWOMWNID-MRVPVSSYSA-N 0 0 441.189 -0.373 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)c2cc(I)ccc2-n2cnnn2)C1 ZINC000793898676 1073841787 /nfs/dbraw/zinc/84/17/87/1073841787.db2.gz UQFQFKIWOMWNID-QMMMGPOBSA-N 0 0 441.189 -0.373 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)CCNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000793898861 1073842559 /nfs/dbraw/zinc/84/25/59/1073842559.db2.gz WVOFQKOMJJTKLQ-JTQLQIEISA-N 0 0 433.284 -0.136 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)CCNS(=O)(=O)c2cccc(Br)c2)C1 ZINC000793898862 1073842336 /nfs/dbraw/zinc/84/23/36/1073842336.db2.gz WVOFQKOMJJTKLQ-SNVBAGLBSA-N 0 0 433.284 -0.136 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000793899515 1073842347 /nfs/dbraw/zinc/84/23/47/1073842347.db2.gz WSRFFBXPDJJVQB-LURJTMIESA-N 0 0 425.286 -0.465 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC000793899516 1073842417 /nfs/dbraw/zinc/84/24/17/1073842417.db2.gz WSRFFBXPDJJVQB-ZCFIWIBFSA-N 0 0 425.286 -0.465 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCc1ccc(S(=O)(=O)N(C)C(C)C)cc1 ZINC000793899876 1073841139 /nfs/dbraw/zinc/84/11/39/1073841139.db2.gz COCRIOGLEROQDZ-UHFFFAOYSA-N 0 0 433.552 -0.117 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)C(=O)N(C)CCS(C)(=O)=O)cc1 ZINC000793900467 1073842458 /nfs/dbraw/zinc/84/24/58/1073842458.db2.gz IBOBTMKECKMRNP-UHFFFAOYSA-N 0 0 433.552 -0.165 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000793901016 1073841173 /nfs/dbraw/zinc/84/11/73/1073841173.db2.gz FWAGOUJHICVRNS-LLVKDONJSA-N 0 0 426.451 -0.737 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000793901018 1073841195 /nfs/dbraw/zinc/84/11/95/1073841195.db2.gz FWAGOUJHICVRNS-NSHDSACASA-N 0 0 426.451 -0.737 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)C1 ZINC000793901872 1073841112 /nfs/dbraw/zinc/84/11/12/1073841112.db2.gz LBQPTRWIQWOTCW-NEPJUHHUSA-N 0 0 436.446 -0.630 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)C1 ZINC000793901873 1073841333 /nfs/dbraw/zinc/84/13/33/1073841333.db2.gz LBQPTRWIQWOTCW-NWDGAFQWSA-N 0 0 436.446 -0.630 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)C1 ZINC000793901874 1073841383 /nfs/dbraw/zinc/84/13/83/1073841383.db2.gz LBQPTRWIQWOTCW-RYUDHWBXSA-N 0 0 436.446 -0.630 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)C1 ZINC000793901875 1073841280 /nfs/dbraw/zinc/84/12/80/1073841280.db2.gz LBQPTRWIQWOTCW-VXGBXAGGSA-N 0 0 436.446 -0.630 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000793903839 1073841184 /nfs/dbraw/zinc/84/11/84/1073841184.db2.gz QLMUKLMELHDOCA-GFCCVEGCSA-N 0 0 440.478 -0.347 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000793903840 1073841293 /nfs/dbraw/zinc/84/12/93/1073841293.db2.gz QLMUKLMELHDOCA-LBPRGKRZSA-N 0 0 440.478 -0.347 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CC(C(N)=O)=NO2)cc1OCC ZINC000793903943 1073841154 /nfs/dbraw/zinc/84/11/54/1073841154.db2.gz RJWJPJYYACFCQP-LLVKDONJSA-N 0 0 428.467 -0.491 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC(C(N)=O)=NO2)cc1OCC ZINC000793903945 1073841324 /nfs/dbraw/zinc/84/13/24/1073841324.db2.gz RJWJPJYYACFCQP-NSHDSACASA-N 0 0 428.467 -0.491 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)C1 ZINC000793905957 1073841825 /nfs/dbraw/zinc/84/18/25/1073841825.db2.gz XYGVUHLAIOQVAK-JTQLQIEISA-N 0 0 430.870 -0.279 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)C1 ZINC000793905974 1073841893 /nfs/dbraw/zinc/84/18/93/1073841893.db2.gz XYGVUHLAIOQVAK-SNVBAGLBSA-N 0 0 430.870 -0.279 20 0 IBADRN COCN1C(=O)CCc2cc(NC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)ccc21 ZINC000793907630 1073841735 /nfs/dbraw/zinc/84/17/35/1073841735.db2.gz SMZRAKCJYBLZLI-UHFFFAOYSA-N 0 0 435.462 -0.086 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(N2CCCCC2=O)cc1 ZINC000793925482 1073841870 /nfs/dbraw/zinc/84/18/70/1073841870.db2.gz IKJMVNFTXBYNFE-IBGZPJMESA-N 0 0 432.521 -0.029 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCc1ccc(N2CCCCC2=O)cc1 ZINC000793925487 1073841907 /nfs/dbraw/zinc/84/19/07/1073841907.db2.gz IKJMVNFTXBYNFE-LJQANCHMSA-N 0 0 432.521 -0.029 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)cn1 ZINC000793935343 1073841995 /nfs/dbraw/zinc/84/19/95/1073841995.db2.gz AVEFGAZQEZZFFU-HNNXBMFYSA-N 0 0 436.513 -0.084 20 0 IBADRN Cn1cc(CNC(=O)C(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)cn1 ZINC000793935346 1073841937 /nfs/dbraw/zinc/84/19/37/1073841937.db2.gz AVEFGAZQEZZFFU-OAHLLOKOSA-N 0 0 436.513 -0.084 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)cc1 ZINC000793936256 1073842296 /nfs/dbraw/zinc/84/22/96/1073842296.db2.gz JNVCGUMWOIOUHH-DZGCQCFKSA-N 0 0 441.506 -0.190 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)cc1 ZINC000793936259 1073842510 /nfs/dbraw/zinc/84/25/10/1073842510.db2.gz JNVCGUMWOIOUHH-HIFRSBDPSA-N 0 0 441.506 -0.190 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)cc1 ZINC000793936261 1073842312 /nfs/dbraw/zinc/84/23/12/1073842312.db2.gz JNVCGUMWOIOUHH-UKRRQHHQSA-N 0 0 441.506 -0.190 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)cc1 ZINC000793936263 1073842473 /nfs/dbraw/zinc/84/24/73/1073842473.db2.gz JNVCGUMWOIOUHH-ZFWWWQNUSA-N 0 0 441.506 -0.190 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)C(=O)NCC[N@H+](C)C3CC3)ncnc1-2 ZINC000793937512 1073842534 /nfs/dbraw/zinc/84/25/34/1073842534.db2.gz OUUWMCHMXSQSED-UHFFFAOYSA-N 0 0 439.318 -0.762 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)cc1 ZINC000793938358 1073842323 /nfs/dbraw/zinc/84/23/23/1073842323.db2.gz SOMVZOWSCIHUOU-GFCCVEGCSA-N 0 0 431.536 -0.193 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)cc1 ZINC000793938359 1073842482 /nfs/dbraw/zinc/84/24/82/1073842482.db2.gz SOMVZOWSCIHUOU-LBPRGKRZSA-N 0 0 431.536 -0.193 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)C(=O)N(C)CCS(C)(=O)=O)cc1 ZINC000793949573 1073842520 /nfs/dbraw/zinc/84/25/20/1073842520.db2.gz ALIQHPOQRNWYSB-UHFFFAOYSA-N 0 0 433.552 -0.366 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCc1ccc(CS(=O)(=O)NC(C)C)cc1 ZINC000793953919 1073842431 /nfs/dbraw/zinc/84/24/31/1073842431.db2.gz XHLDVKCMGVMQDY-UHFFFAOYSA-N 0 0 433.552 -0.319 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC1(S(C)(=O)=O)CCC1)c2=O ZINC000794000661 1073842902 /nfs/dbraw/zinc/84/29/02/1073842902.db2.gz SWVMXESATDDJGT-UHFFFAOYSA-N 0 0 432.300 -0.024 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)OCC(=O)N1CCNC(=O)C1 ZINC000794020772 1073842870 /nfs/dbraw/zinc/84/28/70/1073842870.db2.gz UREZKSOCBKQQOO-UHFFFAOYSA-N 0 0 441.462 -0.982 20 0 IBADRN O=C(COC(=O)CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000794077987 1073843180 /nfs/dbraw/zinc/84/31/80/1073843180.db2.gz MULCSGYVQUKPOQ-JHJVBQTASA-N 0 0 443.478 -0.892 20 0 IBADRN O=C(COC(=O)CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000794077991 1073842978 /nfs/dbraw/zinc/84/29/78/1073842978.db2.gz MULCSGYVQUKPOQ-RWMBFGLXSA-N 0 0 443.478 -0.892 20 0 IBADRN O=C(COC(=O)CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000794077994 1073843148 /nfs/dbraw/zinc/84/31/48/1073843148.db2.gz MULCSGYVQUKPOQ-UPJWGTAASA-N 0 0 443.478 -0.892 20 0 IBADRN O=C(COC(=O)CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000794077998 1073842885 /nfs/dbraw/zinc/84/28/85/1073842885.db2.gz MULCSGYVQUKPOQ-YNEHKIRRSA-N 0 0 443.478 -0.892 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CC1 ZINC000794084630 1073843012 /nfs/dbraw/zinc/84/30/12/1073843012.db2.gz BZNPLXLPDPGPSZ-UHFFFAOYSA-N 0 0 439.469 -0.172 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000794084673 1073843164 /nfs/dbraw/zinc/84/31/64/1073843164.db2.gz CQWAVAVRGCQVMW-CYBMUJFWSA-N 0 0 436.531 -0.217 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000794084676 1073842915 /nfs/dbraw/zinc/84/29/15/1073842915.db2.gz CQWAVAVRGCQVMW-ZDUSSCGKSA-N 0 0 436.531 -0.217 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000794084682 1073842848 /nfs/dbraw/zinc/84/28/48/1073842848.db2.gz CUZDWWQDQGWMIA-UHFFFAOYSA-N 0 0 443.551 -0.592 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)CC1 ZINC000794086625 1073843620 /nfs/dbraw/zinc/84/36/20/1073843620.db2.gz LLTXLIZWIIGCBM-IBGZPJMESA-N 0 0 437.522 -0.206 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN2C(=O)N[C@](C)(c3ccccc3)C2=O)CC1 ZINC000794086628 1073843847 /nfs/dbraw/zinc/84/38/47/1073843847.db2.gz LLTXLIZWIIGCBM-LJQANCHMSA-N 0 0 437.522 -0.206 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000794087480 1073843681 /nfs/dbraw/zinc/84/36/81/1073843681.db2.gz QJWPGTGIZCGPFW-UHFFFAOYSA-N 0 0 430.508 -0.828 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)N3CCN(C(=O)C(=O)N4CCOCC4)CC3)[C@H]2C1 ZINC000794209090 1073843814 /nfs/dbraw/zinc/84/38/14/1073843814.db2.gz FBQIUCRREMKIJC-ZSHCYNCHSA-N 0 0 436.509 -0.371 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)[C@H]2C1 ZINC000794222380 1073843695 /nfs/dbraw/zinc/84/36/95/1073843695.db2.gz WDEKEDZYXYRXCR-ZSHCYNCHSA-N 0 0 444.554 -0.180 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C[C@H]1COCC2 ZINC000794245556 1073843790 /nfs/dbraw/zinc/84/37/90/1073843790.db2.gz CUIMFCAUIXEACU-VEVIJQCQSA-N 0 0 427.479 -0.810 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C[C@H]1COCC2 ZINC000794245557 1073843663 /nfs/dbraw/zinc/84/36/63/1073843663.db2.gz CUIMFCAUIXEACU-ZJNRKIDTSA-N 0 0 427.479 -0.810 20 0 IBADRN COC(=O)[C@]12CN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)C[C@H]1COCC2 ZINC000794247514 1073843855 /nfs/dbraw/zinc/84/38/55/1073843855.db2.gz DIIAOMWZFYHABS-SCLBCKFNSA-N 0 0 428.463 -0.048 20 0 IBADRN COC(=O)[C@]12CN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)cn3C)C[C@H]1COCC2 ZINC000794249760 1073843576 /nfs/dbraw/zinc/84/35/76/1073843576.db2.gz MLCBGQSWKJYQIK-IFXJQAMLSA-N 0 0 441.506 -0.302 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C[C@@H](O)CCNC(=O)OC(C)(C)C)CC2)CC1 ZINC000794278583 1073843731 /nfs/dbraw/zinc/84/37/31/1073843731.db2.gz JWGUHBNJYAXWHG-INIZCTEOSA-N 0 0 435.591 -0.628 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C[C@H](O)CCNC(=O)OC(C)(C)C)CC2)CC1 ZINC000794278584 1073843709 /nfs/dbraw/zinc/84/37/09/1073843709.db2.gz JWGUHBNJYAXWHG-MRXNPFEDSA-N 0 0 435.591 -0.628 20 0 IBADRN O=C(COC(=O)c1ccccc1-n1cnnn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000794299905 1073843802 /nfs/dbraw/zinc/84/38/02/1073843802.db2.gz XWQOAXDCSNFITJ-AWEZNQCLSA-N 0 0 434.478 -0.850 20 0 IBADRN O=C(COC(=O)c1ccccc1-n1cnnn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000794299911 1073843602 /nfs/dbraw/zinc/84/36/02/1073843602.db2.gz XWQOAXDCSNFITJ-CQSZACIVSA-N 0 0 434.478 -0.850 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1=O ZINC000794318558 1073844250 /nfs/dbraw/zinc/84/42/50/1073844250.db2.gz MJNKEQIJIWBVCK-FQEVSTJZSA-N 0 0 439.513 -0.691 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)C1=O ZINC000794318560 1073844306 /nfs/dbraw/zinc/84/43/06/1073844306.db2.gz MJNKEQIJIWBVCK-HXUWFJFHSA-N 0 0 439.513 -0.691 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000794333872 1073844525 /nfs/dbraw/zinc/84/45/25/1073844525.db2.gz CFQXVTFDFNVHPY-AWEZNQCLSA-N 0 0 443.522 -0.030 20 0 IBADRN CCOC(=O)C1(COC)CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000794333873 1073844577 /nfs/dbraw/zinc/84/45/77/1073844577.db2.gz CFQXVTFDFNVHPY-CQSZACIVSA-N 0 0 443.522 -0.030 20 0 IBADRN O=C(Nc1cccc(NC(=O)C2=NN(CCO)C(=O)CC2)c1)C1=NN(CCO)C(=O)CC1 ZINC000794346324 1073844415 /nfs/dbraw/zinc/84/44/15/1073844415.db2.gz HWFJTRKYBZUJAA-UHFFFAOYSA-N 0 0 444.448 -0.495 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)OCC(=O)N1CCNC(=O)C1 ZINC000794373719 1073844213 /nfs/dbraw/zinc/84/42/13/1073844213.db2.gz HPVVDEFCTDOBFD-UHFFFAOYSA-N 0 0 439.368 -0.635 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCCC(=O)OCC(=O)N2CCNC(=O)C2)cc1 ZINC000794385750 1073844339 /nfs/dbraw/zinc/84/43/39/1073844339.db2.gz GIOQDIHIGWHLPU-UHFFFAOYSA-N 0 0 425.463 -0.551 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)c3cccnc3S2(=O)=O)CC1 ZINC000794434730 1074397414 /nfs/dbraw/zinc/39/74/14/1074397414.db2.gz URVOEGQJIZWTTQ-UHFFFAOYSA-N 0 0 442.461 -0.748 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)OCC(=O)N1CCNC(=O)C1 ZINC000794459026 1074451122 /nfs/dbraw/zinc/45/11/22/1074451122.db2.gz AELNUDCRFVOMTP-UHFFFAOYSA-N 0 0 434.268 -0.771 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000794520640 1074451916 /nfs/dbraw/zinc/45/19/16/1074451916.db2.gz OAGLVIWKSHEWNU-GFCCVEGCSA-N 0 0 447.491 -0.846 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000794520643 1074451931 /nfs/dbraw/zinc/45/19/31/1074451931.db2.gz OAGLVIWKSHEWNU-LBPRGKRZSA-N 0 0 447.491 -0.846 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC3CC3)c[nH]2)cn1 ZINC000794581150 1074452421 /nfs/dbraw/zinc/45/24/21/1074452421.db2.gz QMJBVODZFPBXRF-UHFFFAOYSA-N 0 0 447.520 -0.374 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(=NNS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)[nH]c1 ZINC000794582142 1074452533 /nfs/dbraw/zinc/45/25/33/1074452533.db2.gz ZPCFRUSXOWJTFW-UHFFFAOYSA-N 0 0 449.536 -0.128 20 0 IBADRN Cc1cc(-c2nnn(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)n2)ccc1F ZINC000794617458 1074453585 /nfs/dbraw/zinc/45/35/85/1074453585.db2.gz SOTMJBGLKDMGNK-UHFFFAOYSA-N 0 0 425.490 -0.060 20 0 IBADRN Cc1cc(-c2nnn(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)n2)ccc1F ZINC000794621708 1074453659 /nfs/dbraw/zinc/45/36/59/1074453659.db2.gz XUYCHPKRPDBKKN-UHFFFAOYSA-N 0 0 438.533 -0.145 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000794623067 1074453555 /nfs/dbraw/zinc/45/35/55/1074453555.db2.gz ADFZOCYTBCXFMA-AWEZNQCLSA-N 0 0 438.506 -0.055 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC000794623071 1074453720 /nfs/dbraw/zinc/45/37/20/1074453720.db2.gz ADFZOCYTBCXFMA-CQSZACIVSA-N 0 0 438.506 -0.055 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)OCC(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000794652140 1074454165 /nfs/dbraw/zinc/45/41/65/1074454165.db2.gz BWIJSUOEXSDYDU-KBPBESRZSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)OCC(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000794652155 1074454129 /nfs/dbraw/zinc/45/41/29/1074454129.db2.gz BWIJSUOEXSDYDU-OKILXGFUSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)OCC(=O)N3CCNC(=O)C3)c2)C[C@@H](C)O1 ZINC000794652160 1074454172 /nfs/dbraw/zinc/45/41/72/1074454172.db2.gz BWIJSUOEXSDYDU-ZIAGYGMSSA-N 0 0 439.490 -0.400 20 0 IBADRN O=C(CNC(=O)c1cccs1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000794655792 1074454145 /nfs/dbraw/zinc/45/41/45/1074454145.db2.gz RGTLKRGYTSTFIS-CYBMUJFWSA-N 0 0 429.520 -0.648 20 0 IBADRN O=C(CNC(=O)c1cccs1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000794655794 1074454218 /nfs/dbraw/zinc/45/42/18/1074454218.db2.gz RGTLKRGYTSTFIS-ZDUSSCGKSA-N 0 0 429.520 -0.648 20 0 IBADRN O=C(CNC(=O)c1cccc(F)c1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000794656609 1074454102 /nfs/dbraw/zinc/45/41/02/1074454102.db2.gz WRANYMWDMVOUIX-INIZCTEOSA-N 0 0 441.481 -0.570 20 0 IBADRN O=C(CNC(=O)c1cccc(F)c1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000794656612 1074454256 /nfs/dbraw/zinc/45/42/56/1074454256.db2.gz WRANYMWDMVOUIX-MRXNPFEDSA-N 0 0 441.481 -0.570 20 0 IBADRN O=C(CNC(=O)C1CCCCC1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000794665625 1074454081 /nfs/dbraw/zinc/45/40/81/1074454081.db2.gz QMWYCSYBPZNEDB-INIZCTEOSA-N 0 0 429.539 -0.443 20 0 IBADRN O=C(CNC(=O)C1CCCCC1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000794665632 1074454057 /nfs/dbraw/zinc/45/40/57/1074454057.db2.gz QMWYCSYBPZNEDB-MRXNPFEDSA-N 0 0 429.539 -0.443 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN([C@H]2CC(=O)N(c3cnn(CC)c3)C2=O)CC1 ZINC000794743717 1074454852 /nfs/dbraw/zinc/45/48/52/1074454852.db2.gz XPZKTYQIKVPWSH-AWEZNQCLSA-N 0 0 426.495 -0.023 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN([C@@H]2CC(=O)N(c3cnn(CC)c3)C2=O)CC1 ZINC000794743718 1074455665 /nfs/dbraw/zinc/45/56/65/1074455665.db2.gz XPZKTYQIKVPWSH-CQSZACIVSA-N 0 0 426.495 -0.023 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H]1C[C@H](F)CN1C(=O)OC(C)(C)C)c(=O)n2C ZINC000794766142 1074455502 /nfs/dbraw/zinc/45/55/02/1074455502.db2.gz GOYACQFEMDICNV-RYUDHWBXSA-N 0 0 438.460 -0.103 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H]3C[C@H](F)CN3C(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC000794771084 1074455475 /nfs/dbraw/zinc/45/54/75/1074455475.db2.gz PMFICQWIMKJOMP-RYUDHWBXSA-N 0 0 438.460 -0.103 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC3COCCOC3)ccc2F)CC1 ZINC000794785467 1074455609 /nfs/dbraw/zinc/45/56/09/1074455609.db2.gz KILXSLRVCFIOAH-UHFFFAOYSA-N 0 0 429.470 -0.176 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000794801494 1074455340 /nfs/dbraw/zinc/45/53/40/1074455340.db2.gz GSWSLOIJVPZDAN-BEFAXECRSA-N 0 0 437.522 -0.159 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000794801496 1074455417 /nfs/dbraw/zinc/45/54/17/1074455417.db2.gz GSWSLOIJVPZDAN-DNVCBOLYSA-N 0 0 437.522 -0.159 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000794801498 1074455451 /nfs/dbraw/zinc/45/54/51/1074455451.db2.gz GSWSLOIJVPZDAN-HNAYVOBHSA-N 0 0 437.522 -0.159 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN(C)[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000794801500 1074455358 /nfs/dbraw/zinc/45/53/58/1074455358.db2.gz GSWSLOIJVPZDAN-KXBFYZLASA-N 0 0 437.522 -0.159 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000794809788 1074455623 /nfs/dbraw/zinc/45/56/23/1074455623.db2.gz MFTLJSQAUONGRW-UHFFFAOYSA-N 0 0 436.538 -0.098 20 0 IBADRN CC(=O)N1CCN(C(=O)C[S@@](=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000794819285 1074455368 /nfs/dbraw/zinc/45/53/68/1074455368.db2.gz CSUOJRQZKLTWLL-PMERELPUSA-N 0 0 435.502 -0.261 20 0 IBADRN CC(=O)N1CCN(C(=O)C[S@](=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000794819288 1074455405 /nfs/dbraw/zinc/45/54/05/1074455405.db2.gz CSUOJRQZKLTWLL-SSEXGKCCSA-N 0 0 435.502 -0.261 20 0 IBADRN O=C(C[S@@](=O)CCOCCN1C(=O)c2ccccc2C1=O)NCC(=O)N1CCCC1 ZINC000794823448 1074455543 /nfs/dbraw/zinc/45/55/43/1074455543.db2.gz BADWKRQQSCCXKT-PMERELPUSA-N 0 0 435.502 -0.214 20 0 IBADRN O=C(C[S@](=O)CCOCCN1C(=O)c2ccccc2C1=O)NCC(=O)N1CCCC1 ZINC000794823450 1074455660 /nfs/dbraw/zinc/45/56/60/1074455660.db2.gz BADWKRQQSCCXKT-SSEXGKCCSA-N 0 0 435.502 -0.214 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C[C@H]2COCCN2C(=O)OC(C)(C)C)CC1 ZINC000794838973 1074456058 /nfs/dbraw/zinc/45/60/58/1074456058.db2.gz NNYGMFOOJNYKAQ-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C[C@@H]2COCCN2C(=O)OC(C)(C)C)CC1 ZINC000794838995 1074455977 /nfs/dbraw/zinc/45/59/77/1074455977.db2.gz NNYGMFOOJNYKAQ-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN COCCN(C(=O)CN1C[C@H]2[C@H](C(=O)OC)[C@H]2C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000794952895 1074458500 /nfs/dbraw/zinc/45/85/00/1074458500.db2.gz MMVQQIBYJNYJEA-NHAGDIPZSA-N 0 0 437.497 -0.483 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(CC(=O)N3CCN(S(=O)(=O)c4c(C)noc4C)CC3)C[C@@H]21 ZINC000794953127 1074458306 /nfs/dbraw/zinc/45/83/06/1074458306.db2.gz PBIVNCHXJOHIOG-FOLVSLTJSA-N 0 0 426.495 -0.525 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(CC(=O)N3CCN(S(=O)(=O)c4ccc(OC)cc4)CC3)C[C@@H]21 ZINC000794970119 1074458409 /nfs/dbraw/zinc/45/84/09/1074458409.db2.gz VSDKFOYZDBZRTE-DZFIZOCASA-N 0 0 437.518 -0.121 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(CC(=O)NCc3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@@H]21 ZINC000794972825 1074458560 /nfs/dbraw/zinc/45/85/60/1074458560.db2.gz UPKAMORMOKSTSW-DZFIZOCASA-N 0 0 437.518 -0.326 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(CC(=O)N3CCN(C(=O)[C@@H]4COc5ccccc5O4)CC3)C[C@@H]21 ZINC000794983061 1074458988 /nfs/dbraw/zinc/45/89/88/1074458988.db2.gz JXXKUDRREFNFPH-CAQIIQHBSA-N 0 0 429.473 -0.152 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(CC(=O)N3CCN(C(=O)[C@H]4COc5ccccc5O4)CC3)C[C@@H]21 ZINC000794983065 1074459703 /nfs/dbraw/zinc/45/97/03/1074459703.db2.gz JXXKUDRREFNFPH-SLGAXEFGSA-N 0 0 429.473 -0.152 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(CC(=O)NCc3ccccc3S(=O)(=O)N3CCOCC3)C[C@@H]21 ZINC000794991924 1074459729 /nfs/dbraw/zinc/45/97/29/1074459729.db2.gz PASNXWXIFDFPJK-MNZLEMJZSA-N 0 0 437.518 -0.326 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NCCNS(C)(=O)=O)(S(C)(=O)=O)CC1 ZINC000795020213 1074460271 /nfs/dbraw/zinc/46/02/71/1074460271.db2.gz AXQXGRSQANSVSU-UHFFFAOYSA-N 0 0 427.545 -0.534 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NCCCNS(C)(=O)=O)(S(C)(=O)=O)CC1 ZINC000795035966 1074460227 /nfs/dbraw/zinc/46/02/27/1074460227.db2.gz KZNLBVPEZXBXGH-UHFFFAOYSA-N 0 0 441.572 -0.144 20 0 IBADRN CCCCNC(=O)CN1CCN(S(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000795061237 1074460845 /nfs/dbraw/zinc/46/08/45/1074460845.db2.gz LCSXEHWBVFCDPD-UHFFFAOYSA-N 0 0 438.616 -0.478 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC(=O)N2C(C)(C)C)cn1 ZINC000795062116 1074460880 /nfs/dbraw/zinc/46/08/80/1074460880.db2.gz RAWUJPBKBYCYLF-DOTOQJQBSA-N 0 0 441.554 -0.114 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC(=O)N2C(C)(C)C)cn1 ZINC000795062117 1074460949 /nfs/dbraw/zinc/46/09/49/1074460949.db2.gz RAWUJPBKBYCYLF-NVXWUHKLSA-N 0 0 441.554 -0.114 20 0 IBADRN Cn1cc([C@H]2[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC(=O)N2C(C)(C)C)cn1 ZINC000795062118 1074460935 /nfs/dbraw/zinc/46/09/35/1074460935.db2.gz RAWUJPBKBYCYLF-RDJZCZTQSA-N 0 0 441.554 -0.114 20 0 IBADRN Cn1cc([C@H]2[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC(=O)N2C(C)(C)C)cn1 ZINC000795062119 1074460951 /nfs/dbraw/zinc/46/09/51/1074460951.db2.gz RAWUJPBKBYCYLF-WBVHZDCISA-N 0 0 441.554 -0.114 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000795065683 1074460958 /nfs/dbraw/zinc/46/09/58/1074460958.db2.gz WXUHJSJJVXNINN-UHFFFAOYSA-N 0 0 440.569 -0.622 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(C)[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000795067225 1074460915 /nfs/dbraw/zinc/46/09/15/1074460915.db2.gz IPFAAGCBWJTOMD-ILXRZTDVSA-N 0 0 431.555 -0.066 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(C)[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000795067230 1074460908 /nfs/dbraw/zinc/46/09/08/1074460908.db2.gz IPFAAGCBWJTOMD-KFWWJZLASA-N 0 0 431.555 -0.066 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(C)[C@@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000795067231 1074460967 /nfs/dbraw/zinc/46/09/67/1074460967.db2.gz IPFAAGCBWJTOMD-KKUMJFAQSA-N 0 0 431.555 -0.066 20 0 IBADRN CC(C)(C)OC(=O)N1CC(C)(C)[C@@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000795067235 1074460966 /nfs/dbraw/zinc/46/09/66/1074460966.db2.gz IPFAAGCBWJTOMD-ZNMIVQPWSA-N 0 0 431.555 -0.066 20 0 IBADRN CN(CCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)C(=O)OCc1ccccc1 ZINC000795068554 1074460938 /nfs/dbraw/zinc/46/09/38/1074460938.db2.gz RYOLNOXHUXLUPJ-MSOLQXFVSA-N 0 0 439.534 -0.053 20 0 IBADRN CN(CCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)C(=O)OCc1ccccc1 ZINC000795068556 1074460961 /nfs/dbraw/zinc/46/09/61/1074460961.db2.gz RYOLNOXHUXLUPJ-QZTJIDSGSA-N 0 0 439.534 -0.053 20 0 IBADRN CN(CCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)C(=O)OCc1ccccc1 ZINC000795068557 1074460855 /nfs/dbraw/zinc/46/08/55/1074460855.db2.gz RYOLNOXHUXLUPJ-ROUUACIJSA-N 0 0 439.534 -0.053 20 0 IBADRN CN(CCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)C(=O)OCc1ccccc1 ZINC000795068558 1074460953 /nfs/dbraw/zinc/46/09/53/1074460953.db2.gz RYOLNOXHUXLUPJ-ZWKOTPCHSA-N 0 0 439.534 -0.053 20 0 IBADRN COc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000795073270 1074460928 /nfs/dbraw/zinc/46/09/28/1074460928.db2.gz KUVYEJQODYDRFZ-HNNXBMFYSA-N 0 0 438.549 -0.482 20 0 IBADRN COc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000795073277 1074460920 /nfs/dbraw/zinc/46/09/20/1074460920.db2.gz KUVYEJQODYDRFZ-OAHLLOKOSA-N 0 0 438.549 -0.482 20 0 IBADRN COCn1nccc1S(=O)(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000795073516 1074460955 /nfs/dbraw/zinc/46/09/55/1074460955.db2.gz MZWBEFLLDXHIAK-UHFFFAOYSA-N 0 0 425.492 -0.058 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccccc2)cn1 ZINC000795078240 1074461623 /nfs/dbraw/zinc/46/16/23/1074461623.db2.gz VATQBNCUBSYVBH-UHFFFAOYSA-N 0 0 433.533 -0.117 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H](CS(C)(=O)=O)C3)C2=O)cc1 ZINC000795084606 1074461639 /nfs/dbraw/zinc/46/16/39/1074461639.db2.gz HQLBTWOLJNJYGR-BFUOFWGJSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@H](CS(C)(=O)=O)C3)C2=O)cc1 ZINC000795084610 1074461492 /nfs/dbraw/zinc/46/14/92/1074461492.db2.gz HQLBTWOLJNJYGR-DJJJIMSYSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@H](CS(C)(=O)=O)C3)C2=O)cc1 ZINC000795084612 1074461644 /nfs/dbraw/zinc/46/16/44/1074461644.db2.gz HQLBTWOLJNJYGR-ORAYPTAESA-N 0 0 438.506 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H](CS(C)(=O)=O)C3)C2=O)cc1 ZINC000795084615 1074461592 /nfs/dbraw/zinc/46/15/92/1074461592.db2.gz HQLBTWOLJNJYGR-YJYMSZOUSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H](CS(C)(=O)=O)C3)C2=O)c1 ZINC000795090836 1074462071 /nfs/dbraw/zinc/46/20/71/1074462071.db2.gz PNCBRVRGSUGCSV-BFUOFWGJSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@H](CS(C)(=O)=O)C3)C2=O)c1 ZINC000795090837 1074461932 /nfs/dbraw/zinc/46/19/32/1074461932.db2.gz PNCBRVRGSUGCSV-DJJJIMSYSA-N 0 0 438.506 -0.140 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@H](CS(C)(=O)=O)C3)C2=O)c1 ZINC000795090839 1074461918 /nfs/dbraw/zinc/46/19/18/1074461918.db2.gz PNCBRVRGSUGCSV-ORAYPTAESA-N 0 0 438.506 -0.140 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H](CS(C)(=O)=O)C3)C2=O)c1 ZINC000795090841 1074462093 /nfs/dbraw/zinc/46/20/93/1074462093.db2.gz PNCBRVRGSUGCSV-YJYMSZOUSA-N 0 0 438.506 -0.140 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NC[C@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000795097659 1074462008 /nfs/dbraw/zinc/46/20/08/1074462008.db2.gz LYVHITZGRRZPRZ-LLVKDONJSA-N 0 0 426.611 -0.228 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NC[C@@H]1CCN(S(=O)(=O)c2cccs2)C1 ZINC000795097662 1074461996 /nfs/dbraw/zinc/46/19/96/1074461996.db2.gz LYVHITZGRRZPRZ-NSHDSACASA-N 0 0 426.611 -0.228 20 0 IBADRN CS(=O)(=O)CCN1CCC(NS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC000795099598 1074461936 /nfs/dbraw/zinc/46/19/36/1074461936.db2.gz CVFOMMHSRQZYEI-UHFFFAOYSA-N 0 0 425.554 -0.728 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)Oc2cccc(C(=O)NCC(N)=O)c2)cc1C ZINC000795107063 1074462013 /nfs/dbraw/zinc/46/20/13/1074462013.db2.gz BOQSMDPOSAEHDN-UHFFFAOYSA-N 0 0 441.487 -0.114 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)cc1C ZINC000795107806 1074462087 /nfs/dbraw/zinc/46/20/87/1074462087.db2.gz FNMYMTMSUCLUTI-UHFFFAOYSA-N 0 0 441.487 -0.114 20 0 IBADRN O=C(CCc1cccc(CCC(=O)N2CCN(CCO)CC2)c1)N1CCN(CCO)CC1 ZINC000795116145 1074461972 /nfs/dbraw/zinc/46/19/72/1074461972.db2.gz OAEBMXBBRAQTJT-UHFFFAOYSA-N 0 0 446.592 -0.175 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)CC1 ZINC000795116599 1074462098 /nfs/dbraw/zinc/46/20/98/1074462098.db2.gz JYRWRTLMWOKADE-UHFFFAOYSA-N 0 0 433.508 -0.701 20 0 IBADRN CS(=O)(=O)N1CCC(CS(=O)(=O)NCC2(O)CCC3(CC2)OCCO3)CC1 ZINC000795139350 1074462747 /nfs/dbraw/zinc/46/27/47/1074462747.db2.gz ANJZZIKTUJMDOH-UHFFFAOYSA-N 0 0 426.557 -0.374 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=S)NCCNS(C)(=O)=O ZINC000795155019 1074464259 /nfs/dbraw/zinc/46/42/59/1074464259.db2.gz WKWMKWSNRYWMST-UHFFFAOYSA-N 0 0 428.989 -0.060 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N[C@H](CO)C(=O)NC)c1 ZINC000795156434 1074464230 /nfs/dbraw/zinc/46/42/30/1074464230.db2.gz FAZSXTPTCONGSU-GFCCVEGCSA-N 0 0 427.932 -0.244 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N[C@@H](CO)C(=O)NC)c1 ZINC000795156435 1074464747 /nfs/dbraw/zinc/46/47/47/1074464747.db2.gz FAZSXTPTCONGSU-LBPRGKRZSA-N 0 0 427.932 -0.244 20 0 IBADRN COC(=O)CCN(CC(OC)OC)S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC000795156524 1074464636 /nfs/dbraw/zinc/46/46/36/1074464636.db2.gz GJUIZBOJHDNVGC-UHFFFAOYSA-N 0 0 430.545 -0.528 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=S)NCCNS(C)(=O)=O ZINC000795165667 1074464766 /nfs/dbraw/zinc/46/47/66/1074464766.db2.gz LDVFFDZWIXSNHA-UHFFFAOYSA-N 0 0 425.554 -0.049 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(S(=O)(=O)CC1CCN(S(C)(=O)=O)CC1)CC2 ZINC000795167108 1074464732 /nfs/dbraw/zinc/46/47/32/1074464732.db2.gz WYXHRAHHMOKALA-UHFFFAOYSA-N 0 0 437.540 -0.228 20 0 IBADRN CN(CCC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)OC(C)(C)C ZINC000795170578 1074464756 /nfs/dbraw/zinc/46/47/56/1074464756.db2.gz BAPXGZLNRODATC-UHFFFAOYSA-N 0 0 433.575 -0.120 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000795172084 1074464668 /nfs/dbraw/zinc/46/46/68/1074464668.db2.gz JOMHQLAFOYJXLE-HNNXBMFYSA-N 0 0 433.575 -0.216 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000795172086 1074464787 /nfs/dbraw/zinc/46/47/87/1074464787.db2.gz JOMHQLAFOYJXLE-OAHLLOKOSA-N 0 0 433.575 -0.216 20 0 IBADRN NC(=O)C1=NO[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC000795175082 1074464711 /nfs/dbraw/zinc/46/47/11/1074464711.db2.gz NELQNQGPNLXBCB-MRVPVSSYSA-N 0 0 444.413 -0.564 20 0 IBADRN NC(=O)C1=NO[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC000795175086 1074464740 /nfs/dbraw/zinc/46/47/40/1074464740.db2.gz NELQNQGPNLXBCB-QMMMGPOBSA-N 0 0 444.413 -0.564 20 0 IBADRN NC(=O)C1=NO[C@@H](CNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)C1 ZINC000795176675 1074464617 /nfs/dbraw/zinc/46/46/17/1074464617.db2.gz UEXHOWVBNNBPFC-GFCCVEGCSA-N 0 0 430.508 -0.230 20 0 IBADRN NC(=O)C1=NO[C@H](CNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)C1 ZINC000795176677 1074464751 /nfs/dbraw/zinc/46/47/51/1074464751.db2.gz UEXHOWVBNNBPFC-LBPRGKRZSA-N 0 0 430.508 -0.230 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)C1(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC000795182632 1074464654 /nfs/dbraw/zinc/46/46/54/1074464654.db2.gz KPXGYHPFZCVUSF-UHFFFAOYSA-N 0 0 441.572 -0.144 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1=O ZINC000795185252 1074464772 /nfs/dbraw/zinc/46/47/72/1074464772.db2.gz BLTPYEDTZOYJIB-KRWDZBQOSA-N 0 0 444.535 -0.040 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)CC2CCN(S(C)(=O)=O)CC2)C1=O ZINC000795185253 1074464798 /nfs/dbraw/zinc/46/47/98/1074464798.db2.gz BLTPYEDTZOYJIB-QGZVFWFLSA-N 0 0 444.535 -0.040 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@H]1COCCN1C(=O)OC(C)(C)C ZINC000795220303 1072596467 /nfs/dbraw/zinc/59/64/67/1072596467.db2.gz HCOUHZZLFCYHNY-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@H]1COCCN1C(=O)OC(C)(C)C ZINC000795220311 1072596416 /nfs/dbraw/zinc/59/64/16/1072596416.db2.gz HCOUHZZLFCYHNY-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@@H]1COCCN1C(=O)OC(C)(C)C ZINC000795220314 1072596376 /nfs/dbraw/zinc/59/63/76/1072596376.db2.gz HCOUHZZLFCYHNY-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@@H]1COCCN1C(=O)OC(C)(C)C ZINC000795220316 1072596410 /nfs/dbraw/zinc/59/64/10/1072596410.db2.gz HCOUHZZLFCYHNY-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000795223274 1072596401 /nfs/dbraw/zinc/59/64/01/1072596401.db2.gz YDBIAWYJFHQDFR-KBPBESRZSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000795223279 1072596498 /nfs/dbraw/zinc/59/64/98/1072596498.db2.gz YDBIAWYJFHQDFR-KGLIPLIRSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000795223282 1072596386 /nfs/dbraw/zinc/59/63/86/1072596386.db2.gz YDBIAWYJFHQDFR-UONOGXRCSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000795223283 1072596345 /nfs/dbraw/zinc/59/63/45/1072596345.db2.gz YDBIAWYJFHQDFR-ZIAGYGMSSA-N 0 0 433.527 -0.178 20 0 IBADRN Cc1cc2c(c(Cl)n1)C(=O)N(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)C2=O ZINC000795235292 1072596360 /nfs/dbraw/zinc/59/63/60/1072596360.db2.gz LBCWWYKPALHTJQ-GFCCVEGCSA-N 0 0 440.909 -0.029 20 0 IBADRN Cc1cc2c(c(Cl)n1)C(=O)N(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)C2=O ZINC000795235293 1072596470 /nfs/dbraw/zinc/59/64/70/1072596470.db2.gz LBCWWYKPALHTJQ-LBPRGKRZSA-N 0 0 440.909 -0.029 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC000795252591 1072596427 /nfs/dbraw/zinc/59/64/27/1072596427.db2.gz NRPHMHBPDSQWSZ-UHFFFAOYSA-N 0 0 436.513 -0.813 20 0 IBADRN COc1ccc(OCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000795269730 1072596444 /nfs/dbraw/zinc/59/64/44/1072596444.db2.gz VQUJZZGFIDHLAV-HNNXBMFYSA-N 0 0 426.491 -0.052 20 0 IBADRN COc1ccc(OCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000795269733 1072596286 /nfs/dbraw/zinc/59/62/86/1072596286.db2.gz VQUJZZGFIDHLAV-OAHLLOKOSA-N 0 0 426.491 -0.052 20 0 IBADRN COc1ccccc1OCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000795329538 1072596833 /nfs/dbraw/zinc/59/68/33/1072596833.db2.gz GGHZXVGEPZNANJ-HNNXBMFYSA-N 0 0 426.491 -0.052 20 0 IBADRN COc1ccccc1OCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000795329539 1072596784 /nfs/dbraw/zinc/59/67/84/1072596784.db2.gz GGHZXVGEPZNANJ-OAHLLOKOSA-N 0 0 426.491 -0.052 20 0 IBADRN C[C@@H](Oc1ccc(C=O)cc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000795345036 1072596820 /nfs/dbraw/zinc/59/68/20/1072596820.db2.gz HCJNZLXXKWIVEH-PBHICJAKSA-N 0 0 444.531 -0.073 20 0 IBADRN C[C@@H](Oc1ccc(C=O)cc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000795345037 1072596874 /nfs/dbraw/zinc/59/68/74/1072596874.db2.gz HCJNZLXXKWIVEH-RHSMWYFYSA-N 0 0 444.531 -0.073 20 0 IBADRN C[C@H](Oc1ccc(C=O)cc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000795345038 1072596921 /nfs/dbraw/zinc/59/69/21/1072596921.db2.gz HCJNZLXXKWIVEH-WMLDXEAASA-N 0 0 444.531 -0.073 20 0 IBADRN C[C@H](Oc1ccc(C=O)cc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000795345039 1072596916 /nfs/dbraw/zinc/59/69/16/1072596916.db2.gz HCJNZLXXKWIVEH-YOEHRIQHSA-N 0 0 444.531 -0.073 20 0 IBADRN O=C(c1cnc(OCC2CC2)cn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000795345618 1072597431 /nfs/dbraw/zinc/59/74/31/1072597431.db2.gz LGTNALMTXCCNSH-AWEZNQCLSA-N 0 0 444.535 -0.460 20 0 IBADRN O=C(c1cnc(OCC2CC2)cn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000795345620 1072597162 /nfs/dbraw/zinc/59/71/62/1072597162.db2.gz LGTNALMTXCCNSH-CQSZACIVSA-N 0 0 444.535 -0.460 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000795375265 1072597273 /nfs/dbraw/zinc/59/72/73/1072597273.db2.gz PJQPUXABWPTPGZ-UHFFFAOYSA-N 0 0 433.575 -0.169 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)OCCNS(=O)(=O)c2cnn(C)c2)c1 ZINC000795385051 1072597444 /nfs/dbraw/zinc/59/74/44/1072597444.db2.gz IOMQFKRZTDABBT-UHFFFAOYSA-N 0 0 444.491 -0.577 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)NC(C)(C)C)c1 ZINC000795385196 1072597240 /nfs/dbraw/zinc/59/72/40/1072597240.db2.gz JEODQDCYVYBEQG-UHFFFAOYSA-N 0 0 427.479 -0.258 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2cccc(C(C)=O)c2)C1 ZINC000795386091 1072597326 /nfs/dbraw/zinc/59/73/26/1072597326.db2.gz OTFXMFLOFQWSJR-INIZCTEOSA-N 0 0 439.490 -0.162 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2cccc(C(C)=O)c2)C1 ZINC000795386092 1072597363 /nfs/dbraw/zinc/59/73/63/1072597363.db2.gz OTFXMFLOFQWSJR-MRXNPFEDSA-N 0 0 439.490 -0.162 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1 ZINC000795387272 1072597256 /nfs/dbraw/zinc/59/72/56/1072597256.db2.gz VKJKAOQSTMMXKV-UHFFFAOYSA-N 0 0 449.445 -0.815 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)c1 ZINC000795387509 1072597299 /nfs/dbraw/zinc/59/72/99/1072597299.db2.gz XNTZGDCKIKFOSH-HNNXBMFYSA-N 0 0 425.463 -0.423 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)c1 ZINC000795387511 1072597197 /nfs/dbraw/zinc/59/71/97/1072597197.db2.gz XNTZGDCKIKFOSH-OAHLLOKOSA-N 0 0 425.463 -0.423 20 0 IBADRN COCC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC000795394428 1072597185 /nfs/dbraw/zinc/59/71/85/1072597185.db2.gz RNNAWOQHTSOTQY-UHFFFAOYSA-N 0 0 442.490 -0.084 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000795396027 1072597399 /nfs/dbraw/zinc/59/73/99/1072597399.db2.gz QUNSRWPCTVEQAO-SECBINFHSA-N 0 0 437.268 -0.052 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000795396028 1072597385 /nfs/dbraw/zinc/59/73/85/1072597385.db2.gz QUNSRWPCTVEQAO-VIFPVBQESA-N 0 0 437.268 -0.052 20 0 IBADRN CCOC(=O)[C@@]1(C(C)C)CC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000795399391 1072597286 /nfs/dbraw/zinc/59/72/86/1072597286.db2.gz CUEYAWUQJMQCSW-NRFANRHFSA-N 0 0 438.525 -0.615 20 0 IBADRN CCOC(=O)[C@]1(C(C)C)CC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000795399395 1072597412 /nfs/dbraw/zinc/59/74/12/1072597412.db2.gz CUEYAWUQJMQCSW-OAQYLSRUSA-N 0 0 438.525 -0.615 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(Cn3ncn(-c4ccccc4)c3=O)CC2)o1 ZINC000795407725 1072597912 /nfs/dbraw/zinc/59/79/12/1072597912.db2.gz UWIIUARZTKHMSG-UHFFFAOYSA-N 0 0 432.462 -0.310 20 0 IBADRN CCOC(=O)[C@@]1(C(C)C)CC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000795407965 1072597310 /nfs/dbraw/zinc/59/73/10/1072597310.db2.gz FNZBWTRQNMVQPU-IBGZPJMESA-N 0 0 446.570 -0.424 20 0 IBADRN CCOC(=O)[C@]1(C(C)C)CC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC000795407966 1072597852 /nfs/dbraw/zinc/59/78/52/1072597852.db2.gz FNZBWTRQNMVQPU-LJQANCHMSA-N 0 0 446.570 -0.424 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cn3ncn(-c4ccccc4)c3=O)CC2)C[C@H](C)O1 ZINC000795408686 1072597882 /nfs/dbraw/zinc/59/78/82/1072597882.db2.gz JRXVOLMYHRYRBC-CALCHBBNSA-N 0 0 436.538 -0.037 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cn3ncn(-c4ccccc4)c3=O)CC2)C[C@@H](C)O1 ZINC000795408688 1072597955 /nfs/dbraw/zinc/59/79/55/1072597955.db2.gz JRXVOLMYHRYRBC-IAGOWNOFSA-N 0 0 436.538 -0.037 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cn3ncn(-c4ccccc4)c3=O)CC2)C[C@H](C)O1 ZINC000795408689 1072597797 /nfs/dbraw/zinc/59/77/97/1072597797.db2.gz JRXVOLMYHRYRBC-IRXDYDNUSA-N 0 0 436.538 -0.037 20 0 IBADRN O=c1n(-c2ccccc2)cnn1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000795413281 1072597831 /nfs/dbraw/zinc/59/78/31/1072597831.db2.gz FLMCGVWLDQQKTF-INIZCTEOSA-N 0 0 441.535 -0.874 20 0 IBADRN O=c1n(-c2ccccc2)cnn1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000795413282 1072597823 /nfs/dbraw/zinc/59/78/23/1072597823.db2.gz FLMCGVWLDQQKTF-MRXNPFEDSA-N 0 0 441.535 -0.874 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C[C@@H]2C1 ZINC000795416059 1072597873 /nfs/dbraw/zinc/59/78/73/1072597873.db2.gz LTOIABLEEPYVDO-OKILXGFUSA-N 0 0 438.572 -0.393 20 0 IBADRN CCOC(=O)[C@@]1(C(C)C)CC(=O)N(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000795417972 1072597863 /nfs/dbraw/zinc/59/78/63/1072597863.db2.gz RKIONXRWLMBFFB-NRFANRHFSA-N 0 0 436.509 -0.325 20 0 IBADRN CCOC(=O)[C@]1(C(C)C)CC(=O)N(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC000795417976 1072597930 /nfs/dbraw/zinc/59/79/30/1072597930.db2.gz RKIONXRWLMBFFB-OAQYLSRUSA-N 0 0 436.509 -0.325 20 0 IBADRN Cc1ccccc1-n1c(N2CCOCC2)nnc1S(=O)(=O)[C@H]1CS(=O)(=O)C[C@H]1O ZINC000795434569 1072597902 /nfs/dbraw/zinc/59/79/02/1072597902.db2.gz CIOJWTVXFNOUCJ-CABCVRRESA-N 0 0 442.519 -0.656 20 0 IBADRN Cc1ccccc1-n1c(N2CCOCC2)nnc1S(=O)(=O)[C@H]1CS(=O)(=O)C[C@@H]1O ZINC000795435296 1072597921 /nfs/dbraw/zinc/59/79/21/1072597921.db2.gz CIOJWTVXFNOUCJ-GJZGRUSLSA-N 0 0 442.519 -0.656 20 0 IBADRN Cc1ccccc1-n1c(N2CCOCC2)nnc1S(=O)(=O)[C@@H]1CS(=O)(=O)C[C@H]1O ZINC000795435298 1072597846 /nfs/dbraw/zinc/59/78/46/1072597846.db2.gz CIOJWTVXFNOUCJ-HUUCEWRRSA-N 0 0 442.519 -0.656 20 0 IBADRN Cc1ccccc1-n1c(N2CCOCC2)nnc1S(=O)(=O)[C@@H]1CS(=O)(=O)C[C@@H]1O ZINC000795435300 1072597787 /nfs/dbraw/zinc/59/77/87/1072597787.db2.gz CIOJWTVXFNOUCJ-LSDHHAIUSA-N 0 0 442.519 -0.656 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000795435574 1072597895 /nfs/dbraw/zinc/59/78/95/1072597895.db2.gz DDPRCRWQIPZCKT-UHFFFAOYSA-N 0 0 447.558 -0.642 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccc(N2CCOCC2)cc1 ZINC000795446522 1072597836 /nfs/dbraw/zinc/59/78/36/1072597836.db2.gz KBQVAYHDKQPNOQ-UHFFFAOYSA-N 0 0 445.520 -0.535 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccccc1C(=O)NC1CC1 ZINC000795449129 1072597803 /nfs/dbraw/zinc/59/78/03/1072597803.db2.gz RSWGJHCQBNBFKZ-UHFFFAOYSA-N 0 0 443.504 -0.480 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)CCC2 ZINC000795449219 1072597941 /nfs/dbraw/zinc/59/79/41/1072597941.db2.gz SSKKUIZQPCEXQI-UHFFFAOYSA-N 0 0 449.533 -0.723 20 0 IBADRN C[C@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000795461738 1072598248 /nfs/dbraw/zinc/59/82/48/1072598248.db2.gz UWMIYOPBNIFTJW-CYBMUJFWSA-N 0 0 430.465 -0.402 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000795461742 1072598456 /nfs/dbraw/zinc/59/84/56/1072598456.db2.gz UWMIYOPBNIFTJW-ZDUSSCGKSA-N 0 0 430.465 -0.402 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000795497578 1072598479 /nfs/dbraw/zinc/59/84/79/1072598479.db2.gz CZIGDDVROCFHIV-HNNXBMFYSA-N 0 0 434.559 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000795497579 1072598345 /nfs/dbraw/zinc/59/83/45/1072598345.db2.gz CZIGDDVROCFHIV-OAHLLOKOSA-N 0 0 434.559 -0.294 20 0 IBADRN CN(C)c1nc(N)nc(CS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)n1 ZINC000795517171 1072598326 /nfs/dbraw/zinc/59/83/26/1072598326.db2.gz CHPPUEDWLJYHSJ-UHFFFAOYSA-N 0 0 434.478 -0.253 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC000795517817 1072598409 /nfs/dbraw/zinc/59/84/09/1072598409.db2.gz HYGTYVXLQHCEBC-UHFFFAOYSA-N 0 0 432.520 -0.005 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O)C(=O)N(C)C ZINC000795518703 1072598363 /nfs/dbraw/zinc/59/83/63/1072598363.db2.gz LDMYGMOPTQPGKP-CYBMUJFWSA-N 0 0 439.490 -0.693 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O)C(=O)N(C)C ZINC000795518704 1072598208 /nfs/dbraw/zinc/59/82/08/1072598208.db2.gz LDMYGMOPTQPGKP-ZDUSSCGKSA-N 0 0 439.490 -0.693 20 0 IBADRN Cn1c(CS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)cc(=O)n(C)c1=O ZINC000795519319 1072598387 /nfs/dbraw/zinc/59/83/87/1072598387.db2.gz NWPOOKOIRSDEOH-UHFFFAOYSA-N 0 0 435.458 -0.688 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCN1Cc1ccccn1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000795606576 1072598869 /nfs/dbraw/zinc/59/88/69/1072598869.db2.gz LXYJATMSRDWFMO-CVEARBPZSA-N 0 0 438.506 -0.008 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCN1Cc1ccccn1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000795606581 1072598829 /nfs/dbraw/zinc/59/88/29/1072598829.db2.gz LXYJATMSRDWFMO-HOTGVXAUSA-N 0 0 438.506 -0.008 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCN1Cc1ccccn1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000795606583 1072598923 /nfs/dbraw/zinc/59/89/23/1072598923.db2.gz LXYJATMSRDWFMO-HZPDHXFCSA-N 0 0 438.506 -0.008 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCN1Cc1ccccn1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000795606585 1072598986 /nfs/dbraw/zinc/59/89/86/1072598986.db2.gz LXYJATMSRDWFMO-JKSUJKDBSA-N 0 0 438.506 -0.008 20 0 IBADRN CNC(=O)NCCC(=O)O[C@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000795617900 1072598772 /nfs/dbraw/zinc/59/87/72/1072598772.db2.gz IBEUVTSVTASKAC-CYBMUJFWSA-N 0 0 442.494 -0.103 20 0 IBADRN CNC(=O)NCCC(=O)O[C@@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000795617901 1072598848 /nfs/dbraw/zinc/59/88/48/1072598848.db2.gz IBEUVTSVTASKAC-ZDUSSCGKSA-N 0 0 442.494 -0.103 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000795623453 1072598858 /nfs/dbraw/zinc/59/88/58/1072598858.db2.gz AWSJIAPMSLAZJL-UHFFFAOYSA-N 0 0 442.494 -0.814 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000795624795 1072598935 /nfs/dbraw/zinc/59/89/35/1072598935.db2.gz KZXBKFNFTCAZQF-UHFFFAOYSA-N 0 0 430.458 -0.479 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000795626900 1072598965 /nfs/dbraw/zinc/59/89/65/1072598965.db2.gz XSYJXTZTCKTNJP-UHFFFAOYSA-N 0 0 442.494 -0.610 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000795629606 1072598739 /nfs/dbraw/zinc/59/87/39/1072598739.db2.gz DTLWZOOJDRVNRJ-UHFFFAOYSA-N 0 0 428.467 -0.492 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000795630115 1072598818 /nfs/dbraw/zinc/59/88/18/1072598818.db2.gz JABWQZMGZSRCBW-INIZCTEOSA-N 0 0 434.449 -0.641 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000795630119 1072598759 /nfs/dbraw/zinc/59/87/59/1072598759.db2.gz JABWQZMGZSRCBW-MRXNPFEDSA-N 0 0 434.449 -0.641 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000795631177 1072598749 /nfs/dbraw/zinc/59/87/49/1072598749.db2.gz RAKXXIPIVMBFGB-RIYZIHGNSA-N 0 0 438.506 -0.006 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000795631180 1072598948 /nfs/dbraw/zinc/59/89/48/1072598948.db2.gz RAKXXIPIVMBFGB-ZSOIEALJSA-N 0 0 438.506 -0.006 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)CCNC(=O)NC)c(N)n(Cc2ccccc2)c1=O ZINC000795635418 1072598878 /nfs/dbraw/zinc/59/88/78/1072598878.db2.gz GMAIFHUPTODXCB-UHFFFAOYSA-N 0 0 431.449 -0.295 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000795636020 1072598978 /nfs/dbraw/zinc/59/89/78/1072598978.db2.gz HZKGRHRQPLSRTO-UHFFFAOYSA-N 0 0 428.467 -0.492 20 0 IBADRN CCN(C(=O)COC(=O)CCNC(=O)NC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000795637767 1072600449 /nfs/dbraw/zinc/60/04/49/1072600449.db2.gz KZXRLJXRTBAFIT-UHFFFAOYSA-N 0 0 446.464 -0.205 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000795638284 1072599909 /nfs/dbraw/zinc/59/99/09/1072599909.db2.gz SFSHEJOUNZVIST-UHFFFAOYSA-N 0 0 430.458 -0.479 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000795639171 1072600003 /nfs/dbraw/zinc/60/00/03/1072600003.db2.gz QJOOLACTABRFOI-UHFFFAOYSA-N 0 0 442.494 -0.814 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000795639337 1072600632 /nfs/dbraw/zinc/60/06/32/1072600632.db2.gz FKONJKWKXQBMQY-UHFFFAOYSA-N 0 0 430.458 -0.479 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000795640312 1072602216 /nfs/dbraw/zinc/60/22/16/1072602216.db2.gz ORRDKGOYXSQRRN-UHFFFAOYSA-N 0 0 442.494 -0.183 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000795640370 1072600617 /nfs/dbraw/zinc/60/06/17/1072600617.db2.gz ZMZXUSHOGDFDKN-UHFFFAOYSA-N 0 0 440.522 -0.001 20 0 IBADRN CNC(=O)NCCC(=O)O[C@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000795640405 1072601300 /nfs/dbraw/zinc/60/13/00/1072601300.db2.gz ZXOVOBMGVUZMHD-CYBMUJFWSA-N 0 0 442.494 -0.103 20 0 IBADRN CNC(=O)NCCC(=O)O[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000795640407 1072601158 /nfs/dbraw/zinc/60/11/58/1072601158.db2.gz ZXOVOBMGVUZMHD-ZDUSSCGKSA-N 0 0 442.494 -0.103 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000795640867 1072603569 /nfs/dbraw/zinc/60/35/69/1072603569.db2.gz SGNBRCBXNCLINB-UHFFFAOYSA-N 0 0 426.495 -0.477 20 0 IBADRN CNC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000795641310 1072602349 /nfs/dbraw/zinc/60/23/49/1072602349.db2.gz WZGRRKBSKKPVOX-UHFFFAOYSA-N 0 0 448.448 -0.340 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN(CC(N)=O)CC2)(S(C)(=O)=O)CC1 ZINC000795647366 1072603867 /nfs/dbraw/zinc/60/38/67/1072603867.db2.gz MIYOMIRIUSGBIC-UHFFFAOYSA-N 0 0 432.543 -0.570 20 0 IBADRN Cn1nnnc1COC(=O)c1cc(Br)c(C(=O)OCc2nnnn2C)o1 ZINC000795717228 1072626733 /nfs/dbraw/zinc/62/67/33/1072626733.db2.gz INRAIPGEIYJERV-UHFFFAOYSA-N 0 0 427.175 -0.197 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(Cn2nc(C(=O)OC)cc2C(=O)OC)CC1 ZINC000795729440 1072628165 /nfs/dbraw/zinc/62/81/65/1072628165.db2.gz WDYAIXNUBOOHSF-UHFFFAOYSA-N 0 0 431.467 -0.144 20 0 IBADRN O=C(COC(=O)[C@H]1CCN(CC(F)(F)F)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000795740706 1072628249 /nfs/dbraw/zinc/62/82/49/1072628249.db2.gz PUAUAQNNGWRTGX-KBPBESRZSA-N 0 0 441.472 -0.255 20 0 IBADRN O=C(COC(=O)[C@@H]1CCN(CC(F)(F)F)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000795740711 1072628202 /nfs/dbraw/zinc/62/82/02/1072628202.db2.gz PUAUAQNNGWRTGX-KGLIPLIRSA-N 0 0 441.472 -0.255 20 0 IBADRN O=C(COC(=O)[C@H]1CCN(CC(F)(F)F)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000795740713 1072628270 /nfs/dbraw/zinc/62/82/70/1072628270.db2.gz PUAUAQNNGWRTGX-UONOGXRCSA-N 0 0 441.472 -0.255 20 0 IBADRN O=C(COC(=O)[C@@H]1CCN(CC(F)(F)F)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000795740715 1072628131 /nfs/dbraw/zinc/62/81/31/1072628131.db2.gz PUAUAQNNGWRTGX-ZIAGYGMSSA-N 0 0 441.472 -0.255 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2cnn3c2OCCC3)CC1 ZINC000795745723 1072628257 /nfs/dbraw/zinc/62/82/57/1072628257.db2.gz JJLHFVMGIXFUKO-UHFFFAOYSA-N 0 0 426.437 -0.152 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000795767519 1072628579 /nfs/dbraw/zinc/62/85/79/1072628579.db2.gz BXDLLHLEOAFXDI-SGEGYRGWSA-N 0 0 448.476 -0.017 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000795767522 1072628644 /nfs/dbraw/zinc/62/86/44/1072628644.db2.gz BXDLLHLEOAFXDI-WKHMMBAISA-N 0 0 448.476 -0.017 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000795767617 1072628160 /nfs/dbraw/zinc/62/81/60/1072628160.db2.gz FEOZXNGRGMUQNV-FQEVSTJZSA-N 0 0 449.485 -0.312 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000795767623 1072628254 /nfs/dbraw/zinc/62/82/54/1072628254.db2.gz FEOZXNGRGMUQNV-HXUWFJFHSA-N 0 0 449.485 -0.312 20 0 IBADRN CC(C)(O)[C@@H](Oc1ccccc1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000795768075 1072628524 /nfs/dbraw/zinc/62/85/24/1072628524.db2.gz FNNQHSRXSCWCTL-DOMZBBRYSA-N 0 0 428.463 -0.239 20 0 IBADRN CC(C)(O)[C@H](Oc1ccccc1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000795768076 1072628570 /nfs/dbraw/zinc/62/85/70/1072628570.db2.gz FNNQHSRXSCWCTL-IUODEOHRSA-N 0 0 428.463 -0.239 20 0 IBADRN CC(C)(O)[C@H](Oc1ccccc1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000795768078 1072628610 /nfs/dbraw/zinc/62/86/10/1072628610.db2.gz FNNQHSRXSCWCTL-SWLSCSKDSA-N 0 0 428.463 -0.239 20 0 IBADRN CC(C)(O)[C@@H](Oc1ccccc1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000795768080 1072628630 /nfs/dbraw/zinc/62/86/30/1072628630.db2.gz FNNQHSRXSCWCTL-WFASDCNBSA-N 0 0 428.463 -0.239 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@](C)(O)Cn1c(C)cccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000795768495 1072628562 /nfs/dbraw/zinc/62/85/62/1072628562.db2.gz FXDIWAYSRYNNBZ-DOXZYTNZSA-N 0 0 428.507 -0.125 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@](C)(O)Cn1c(C)cccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000795768502 1072628691 /nfs/dbraw/zinc/62/86/91/1072628691.db2.gz FXDIWAYSRYNNBZ-KHYOSLBOSA-N 0 0 428.507 -0.125 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@](C)(O)Cn1c(C)cccc1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000795768504 1072628513 /nfs/dbraw/zinc/62/85/13/1072628513.db2.gz FXDIWAYSRYNNBZ-QMTMVMCOSA-N 0 0 428.507 -0.125 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@](C)(O)Cn1c(C)cccc1=O)[C@H]1CCS(=O)(=O)C1 ZINC000795768508 1072628601 /nfs/dbraw/zinc/62/86/01/1072628601.db2.gz FXDIWAYSRYNNBZ-YZVOILCLSA-N 0 0 428.507 -0.125 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000795771508 1072628699 /nfs/dbraw/zinc/62/86/99/1072628699.db2.gz QFGWHSWYPZGCFZ-NRFANRHFSA-N 0 0 448.476 -0.568 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000795771509 1072629333 /nfs/dbraw/zinc/62/93/33/1072629333.db2.gz QFGWHSWYPZGCFZ-OAQYLSRUSA-N 0 0 448.476 -0.568 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)O[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)C(C)C ZINC000795774083 1072629131 /nfs/dbraw/zinc/62/91/31/1072629131.db2.gz XNEHUWGHZRLYCQ-ALKREAHSSA-N 0 0 428.507 -0.221 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)O[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)C(C)C ZINC000795774091 1072629336 /nfs/dbraw/zinc/62/93/36/1072629336.db2.gz XNEHUWGHZRLYCQ-GMBSWORKSA-N 0 0 428.507 -0.221 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)O[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)C(C)C ZINC000795774094 1072629119 /nfs/dbraw/zinc/62/91/19/1072629119.db2.gz XNEHUWGHZRLYCQ-OGWOLHLISA-N 0 0 428.507 -0.221 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)O[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)C(C)C ZINC000795774096 1072629262 /nfs/dbraw/zinc/62/92/62/1072629262.db2.gz XNEHUWGHZRLYCQ-QOKNQOGYSA-N 0 0 428.507 -0.221 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)O[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000795778780 1072628639 /nfs/dbraw/zinc/62/86/39/1072628639.db2.gz JQNNKHTXAXQNEW-HRAATJIYSA-N 0 0 429.477 -0.022 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)O[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000795778782 1072628501 /nfs/dbraw/zinc/62/85/01/1072628501.db2.gz JQNNKHTXAXQNEW-IERDGZPVSA-N 0 0 429.477 -0.022 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)O[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000795778784 1072628480 /nfs/dbraw/zinc/62/84/80/1072628480.db2.gz JQNNKHTXAXQNEW-IIBYNOLFSA-N 0 0 429.477 -0.022 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)O[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000795778786 1072628672 /nfs/dbraw/zinc/62/86/72/1072628672.db2.gz JQNNKHTXAXQNEW-KKSFZXQISA-N 0 0 429.477 -0.022 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000795778947 1072628650 /nfs/dbraw/zinc/62/86/50/1072628650.db2.gz HFHQWTIKNRNLJJ-FQEVSTJZSA-N 0 0 431.449 -0.712 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000795778949 1072628621 /nfs/dbraw/zinc/62/86/21/1072628621.db2.gz HFHQWTIKNRNLJJ-HXUWFJFHSA-N 0 0 431.449 -0.712 20 0 IBADRN CCOC(=O)c1ccc(F)c(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000795790314 1072629319 /nfs/dbraw/zinc/62/93/19/1072629319.db2.gz PYXQGGQSFZSPJJ-GFCCVEGCSA-N 0 0 436.483 -0.026 20 0 IBADRN CCOC(=O)c1ccc(F)c(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000795790335 1072629283 /nfs/dbraw/zinc/62/92/83/1072629283.db2.gz PYXQGGQSFZSPJJ-LBPRGKRZSA-N 0 0 436.483 -0.026 20 0 IBADRN CCOC(=O)c1ccc(F)c(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000795790479 1072629219 /nfs/dbraw/zinc/62/92/19/1072629219.db2.gz RSEOYOFNWMTBHJ-GFCCVEGCSA-N 0 0 436.483 -0.074 20 0 IBADRN CCOC(=O)c1ccc(F)c(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000795790480 1072629330 /nfs/dbraw/zinc/62/93/30/1072629330.db2.gz RSEOYOFNWMTBHJ-LBPRGKRZSA-N 0 0 436.483 -0.074 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCC2)CC1 ZINC000795801529 1072629104 /nfs/dbraw/zinc/62/91/04/1072629104.db2.gz LFAVHIZKVRSFFD-UHFFFAOYSA-N 0 0 437.565 -0.345 20 0 IBADRN CCOC(=O)c1ccc(F)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000795805379 1072629173 /nfs/dbraw/zinc/62/91/73/1072629173.db2.gz YYYABYNUXJSYNY-UHFFFAOYSA-N 0 0 437.515 -0.142 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000795810437 1072629294 /nfs/dbraw/zinc/62/92/94/1072629294.db2.gz CWVKWGIISIYMBH-UHFFFAOYSA-N 0 0 436.508 -0.188 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000795811176 1072629196 /nfs/dbraw/zinc/62/91/96/1072629196.db2.gz IEMUYTSNTRGBMQ-UHFFFAOYSA-N 0 0 435.524 -0.997 20 0 IBADRN CCn1nc(C)c(CC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000795814793 1072629315 /nfs/dbraw/zinc/62/93/15/1072629315.db2.gz SUSYCXNPQUMXPW-INIZCTEOSA-N 0 0 426.539 -0.063 20 0 IBADRN CCn1nc(C)c(CC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000795814794 1072629242 /nfs/dbraw/zinc/62/92/42/1072629242.db2.gz SUSYCXNPQUMXPW-MRXNPFEDSA-N 0 0 426.539 -0.063 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC000795828615 1072629776 /nfs/dbraw/zinc/62/97/76/1072629776.db2.gz IZZWUTNMBHLTEW-UHFFFAOYSA-N 0 0 447.579 -0.150 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N(CC(N)=O)CC(N)=O)c(F)cc1Br ZINC000795833536 1072629756 /nfs/dbraw/zinc/62/97/56/1072629756.db2.gz SMCUDDVGZXHZHW-UHFFFAOYSA-N 0 0 440.247 -0.274 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000795838775 1072629806 /nfs/dbraw/zinc/62/98/06/1072629806.db2.gz MEKWAQAITUOJGO-KRWDZBQOSA-N 0 0 433.383 -0.148 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC000795838777 1072629829 /nfs/dbraw/zinc/62/98/29/1072629829.db2.gz MEKWAQAITUOJGO-QGZVFWFLSA-N 0 0 433.383 -0.148 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)OCC(=O)NCC(=O)N1CCCC1 ZINC000795844572 1072629728 /nfs/dbraw/zinc/62/97/28/1072629728.db2.gz DAKYHWQPVOQSDN-KRWDZBQOSA-N 0 0 433.383 -0.100 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)OCC(=O)NCC(=O)N1CCCC1 ZINC000795844573 1072629734 /nfs/dbraw/zinc/62/97/34/1072629734.db2.gz DAKYHWQPVOQSDN-QGZVFWFLSA-N 0 0 433.383 -0.100 20 0 IBADRN COCCNC(=O)CN1CCN(CCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000795844776 1072629738 /nfs/dbraw/zinc/62/97/38/1072629738.db2.gz AOCHAPJDEFALGS-UHFFFAOYSA-N 0 0 441.573 -0.164 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCOC)c2)CC1 ZINC000795860220 1072629810 /nfs/dbraw/zinc/62/98/10/1072629810.db2.gz FQYAXBXTKYPDFF-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000795862370 1072629765 /nfs/dbraw/zinc/62/97/65/1072629765.db2.gz VCMYJEOAXINCTQ-UHFFFAOYSA-N 0 0 433.575 -0.120 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c(F)cc1C ZINC000795863421 1072629781 /nfs/dbraw/zinc/62/97/81/1072629781.db2.gz GJSQOGMSJYRLLI-UHFFFAOYSA-N 0 0 437.515 -0.094 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CCN2CCN(C(=O)C(=O)NC3CC3)CC2)CC1 ZINC000795864483 1072629817 /nfs/dbraw/zinc/62/98/17/1072629817.db2.gz QMAUMKRMBYYJLJ-UHFFFAOYSA-N 0 0 437.541 -0.121 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)Cc2cnn(C)c2C)CC1 ZINC000795865567 1072630254 /nfs/dbraw/zinc/63/02/54/1072630254.db2.gz HBHJRQIDAKGLEQ-UHFFFAOYSA-N 0 0 439.494 -0.048 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c(F)cc1Cl ZINC000795865817 1072630401 /nfs/dbraw/zinc/63/04/01/1072630401.db2.gz ZWOIINWYSBQVEO-UHFFFAOYSA-N 0 0 443.906 -0.140 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC000795870469 1072629798 /nfs/dbraw/zinc/62/97/98/1072629798.db2.gz DLFRRLDKWIWTLG-UHFFFAOYSA-N 0 0 444.531 -0.219 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000795873070 1072630359 /nfs/dbraw/zinc/63/03/59/1072630359.db2.gz SWBSXEWMVIZKHH-UHFFFAOYSA-N 0 0 430.504 -0.360 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)C2(S(C)(=O)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000795875112 1072630379 /nfs/dbraw/zinc/63/03/79/1072630379.db2.gz BMTVWUKWQDLZSS-UHFFFAOYSA-N 0 0 449.485 -0.037 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000795876098 1072630240 /nfs/dbraw/zinc/63/02/40/1072630240.db2.gz NAYIPCCEHKGVRH-UHFFFAOYSA-N 0 0 446.503 -0.234 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1 ZINC000795876686 1072630347 /nfs/dbraw/zinc/63/03/47/1072630347.db2.gz SGJBGAZCVOIXGG-UHFFFAOYSA-N 0 0 448.494 -0.221 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C2(S(C)(=O)=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000795876698 1072630394 /nfs/dbraw/zinc/63/03/94/1072630394.db2.gz SKKCUTIBHRJQNU-UHFFFAOYSA-N 0 0 435.458 -0.519 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000795876730 1072630338 /nfs/dbraw/zinc/63/03/38/1072630338.db2.gz SUEVDOVAWWBHGP-UHFFFAOYSA-N 0 0 446.503 -0.234 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC000795877021 1072630412 /nfs/dbraw/zinc/63/04/12/1072630412.db2.gz UQXMTSOULJJXNJ-UHFFFAOYSA-N 0 0 448.494 -0.221 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)C3(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000795877250 1072630448 /nfs/dbraw/zinc/63/04/48/1072630448.db2.gz YPJACZPQIJKDHI-KRWDZBQOSA-N 0 0 439.446 -0.386 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)C3(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000795877254 1072630315 /nfs/dbraw/zinc/63/03/15/1072630315.db2.gz YPJACZPQIJKDHI-QGZVFWFLSA-N 0 0 439.446 -0.386 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC000795879173 1072630439 /nfs/dbraw/zinc/63/04/39/1072630439.db2.gz NUWLKDPFMIQGOY-UHFFFAOYSA-N 0 0 448.494 -0.221 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C2(S(C)(=O)=O)CC2)CC1 ZINC000795881036 1072630264 /nfs/dbraw/zinc/63/02/64/1072630264.db2.gz HALUUWZJIKAYIT-UHFFFAOYSA-N 0 0 449.507 -0.755 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000795913836 1072630330 /nfs/dbraw/zinc/63/03/30/1072630330.db2.gz XZIKWAHJXGXPDC-ARFHVFGLSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000795913844 1072630470 /nfs/dbraw/zinc/63/04/70/1072630470.db2.gz XZIKWAHJXGXPDC-HRCADAONSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000795913849 1072631023 /nfs/dbraw/zinc/63/10/23/1072631023.db2.gz XZIKWAHJXGXPDC-JYJNAYRXSA-N 0 0 447.554 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000795913852 1072631070 /nfs/dbraw/zinc/63/10/70/1072631070.db2.gz XZIKWAHJXGXPDC-XHSDSOJGSA-N 0 0 447.554 -0.374 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNS(=O)(=O)N2CCC2)cc1)S(C)(=O)=O ZINC000795917938 1072630460 /nfs/dbraw/zinc/63/04/60/1072630460.db2.gz OVTSCACOYMOSEW-UHFFFAOYSA-N 0 0 427.526 -0.669 20 0 IBADRN CN(CCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000795937217 1072631062 /nfs/dbraw/zinc/63/10/62/1072631062.db2.gz IARPCGVZBQTYBA-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CN(CCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000795937220 1072631066 /nfs/dbraw/zinc/63/10/66/1072631066.db2.gz IARPCGVZBQTYBA-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN CN1C[C@@H](NC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H]2CC(=O)N(C)C2)CC1=O ZINC000795943390 1072631035 /nfs/dbraw/zinc/63/10/35/1072631035.db2.gz HGOJVGFGEVNTNX-IHRRRGAJSA-N 0 0 439.513 -0.646 20 0 IBADRN CN1C[C@H](NC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H]2CC(=O)N(C)C2)CC1=O ZINC000795943393 1072630938 /nfs/dbraw/zinc/63/09/38/1072630938.db2.gz HGOJVGFGEVNTNX-MCIONIFRSA-N 0 0 439.513 -0.646 20 0 IBADRN CN1C[C@H](NC(=O)[C@H](CCC(=O)N[C@H]2CC(=O)N(C)C2)NC(=O)OC(C)(C)C)CC1=O ZINC000795943396 1072631018 /nfs/dbraw/zinc/63/10/18/1072631018.db2.gz HGOJVGFGEVNTNX-MJBXVCDLSA-N 0 0 439.513 -0.646 20 0 IBADRN CN1C[C@H](NC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H]2CC(=O)N(C)C2)CC1=O ZINC000795943397 1072631011 /nfs/dbraw/zinc/63/10/11/1072631011.db2.gz HGOJVGFGEVNTNX-RDBSUJKOSA-N 0 0 439.513 -0.646 20 0 IBADRN COC(=O)c1cc(F)c(Br)c(S(=O)(=O)N2CC[C@](O)(C(N)=O)C2)c1 ZINC000795948672 1072631045 /nfs/dbraw/zinc/63/10/45/1072631045.db2.gz AYWOGDJDYVWAHC-CYBMUJFWSA-N 0 0 425.232 -0.014 20 0 IBADRN COC(=O)c1cc(F)c(Br)c(S(=O)(=O)N2CC[C@@](O)(C(N)=O)C2)c1 ZINC000795948675 1072630984 /nfs/dbraw/zinc/63/09/84/1072630984.db2.gz AYWOGDJDYVWAHC-ZDUSSCGKSA-N 0 0 425.232 -0.014 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@](O)(C(N)=O)C2)c(Br)cc1F ZINC000795949320 1072630949 /nfs/dbraw/zinc/63/09/49/1072630949.db2.gz FFTBPFZEGNAORV-CYBMUJFWSA-N 0 0 425.232 -0.014 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@@](O)(C(N)=O)C2)c(Br)cc1F ZINC000795949324 1072631016 /nfs/dbraw/zinc/63/10/16/1072631016.db2.gz FFTBPFZEGNAORV-ZDUSSCGKSA-N 0 0 425.232 -0.014 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N2CC[C@@](O)(C(N)=O)C2)c1 ZINC000795949554 1072630893 /nfs/dbraw/zinc/63/08/93/1072630893.db2.gz GKLXHAUTGYLCLR-HNNXBMFYSA-N 0 0 439.943 -0.019 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N2CC[C@](O)(C(N)=O)C2)c1 ZINC000795949557 1072631030 /nfs/dbraw/zinc/63/10/30/1072631030.db2.gz GKLXHAUTGYLCLR-OAHLLOKOSA-N 0 0 439.943 -0.019 20 0 IBADRN CC(=O)N1CCc2cc(Br)c(S(=O)(=O)N3CC[C@@](O)(C(N)=O)C3)cc21 ZINC000795950821 1072631506 /nfs/dbraw/zinc/63/15/06/1072631506.db2.gz NDVJQAYEVKTUKG-HNNXBMFYSA-N 0 0 432.296 -0.031 20 0 IBADRN CC(=O)N1CCc2cc(Br)c(S(=O)(=O)N3CC[C@](O)(C(N)=O)C3)cc21 ZINC000795950823 1072631362 /nfs/dbraw/zinc/63/13/62/1072631362.db2.gz NDVJQAYEVKTUKG-OAHLLOKOSA-N 0 0 432.296 -0.031 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@](O)(C(N)=O)C2)c(F)cc1Br ZINC000795952723 1072631518 /nfs/dbraw/zinc/63/15/18/1072631518.db2.gz XTTKGQPFHRLYPX-CYBMUJFWSA-N 0 0 425.232 -0.014 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@@](O)(C(N)=O)C2)c(F)cc1Br ZINC000795952724 1072631442 /nfs/dbraw/zinc/63/14/42/1072631442.db2.gz XTTKGQPFHRLYPX-ZDUSSCGKSA-N 0 0 425.232 -0.014 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CCN2CCN(CCS(N)(=O)=O)CC2)CC1 ZINC000795959197 1072631049 /nfs/dbraw/zinc/63/10/49/1072631049.db2.gz HFROTKZZKDAZPY-UHFFFAOYSA-N 0 0 433.575 -0.638 20 0 IBADRN CN(C)S(=O)(=O)CCN1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000795960234 1072630910 /nfs/dbraw/zinc/63/09/10/1072630910.db2.gz MLXAGBTXLBLLKM-UHFFFAOYSA-N 0 0 440.588 -0.556 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN(S(N)(=O)=O)CC2)(n2cccn2)CC1 ZINC000795986656 1072631377 /nfs/dbraw/zinc/63/13/77/1072631377.db2.gz SMLVXGZLPGHIJY-UHFFFAOYSA-N 0 0 442.542 -0.043 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)CC1 ZINC000796014911 1072631427 /nfs/dbraw/zinc/63/14/27/1072631427.db2.gz MLCMCHPYVOGHCW-AWEZNQCLSA-N 0 0 426.561 -0.391 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC[C@H](CN(C)S(C)(=O)=O)C2)CC1 ZINC000796014915 1072631513 /nfs/dbraw/zinc/63/15/13/1072631513.db2.gz MLCMCHPYVOGHCW-CQSZACIVSA-N 0 0 426.561 -0.391 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cnn(C)c1 ZINC000796033898 1072631528 /nfs/dbraw/zinc/63/15/28/1072631528.db2.gz SIBWVBKCQAIGKT-INIZCTEOSA-N 0 0 447.500 -0.314 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H](C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cnn(C)c1 ZINC000796033902 1072631490 /nfs/dbraw/zinc/63/14/90/1072631490.db2.gz SIBWVBKCQAIGKT-MRXNPFEDSA-N 0 0 447.500 -0.314 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCNC(=O)[C@@H]1C ZINC000796036665 1072631535 /nfs/dbraw/zinc/63/15/35/1072631535.db2.gz HXVBTQOOSXWAEV-IHRRRGAJSA-N 0 0 439.513 -0.646 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCNC(=O)[C@H]1C ZINC000796036667 1072631496 /nfs/dbraw/zinc/63/14/96/1072631496.db2.gz HXVBTQOOSXWAEV-MCIONIFRSA-N 0 0 439.513 -0.646 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)[C@H](CCC(=O)N1CCNC(=O)[C@@H]1C)NC(=O)OC(C)(C)C ZINC000796036668 1072631421 /nfs/dbraw/zinc/63/14/21/1072631421.db2.gz HXVBTQOOSXWAEV-MJBXVCDLSA-N 0 0 439.513 -0.646 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCNC(=O)[C@@H]1C ZINC000796036670 1072631345 /nfs/dbraw/zinc/63/13/45/1072631345.db2.gz HXVBTQOOSXWAEV-RDBSUJKOSA-N 0 0 439.513 -0.646 20 0 IBADRN O=C(CN1CCn2c(Br)cnc2C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796042533 1072632311 /nfs/dbraw/zinc/63/23/11/1072632311.db2.gz VKZCCNNEMJLHRC-CYBMUJFWSA-N 0 0 446.371 -0.208 20 0 IBADRN O=C(CN1CCn2c(Br)cnc2C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000796042539 1072632247 /nfs/dbraw/zinc/63/22/47/1072632247.db2.gz VKZCCNNEMJLHRC-ZDUSSCGKSA-N 0 0 446.371 -0.208 20 0 IBADRN Cn1c2ncn(CCOC(=O)CN3c4ccccc4OC(F)(F)C3=O)c2c(=O)n(C)c1=O ZINC000796050496 1072632216 /nfs/dbraw/zinc/63/22/16/1072632216.db2.gz AQAJJINDISARLO-UHFFFAOYSA-N 0 0 449.370 -0.005 20 0 IBADRN CC(C)(C)OC(=O)N1[C@@H]2C[C@@H]2C[C@H]1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000796054550 1072631394 /nfs/dbraw/zinc/63/13/94/1072631394.db2.gz SROFYVCIUHVZCE-LPWJVIDDSA-N 0 0 445.494 -0.060 20 0 IBADRN CC(C)(C)OC(=O)N1[C@@H]2C[C@@H]2C[C@H]1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000796054554 1072631500 /nfs/dbraw/zinc/63/15/00/1072631500.db2.gz SROFYVCIUHVZCE-XQHKEYJVSA-N 0 0 445.494 -0.060 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)C(N)=O)ccc1I ZINC000796060760 1072631522 /nfs/dbraw/zinc/63/15/22/1072631522.db2.gz IRVNLHLYRFDDRP-VIFPVBQESA-N 0 0 428.204 -0.798 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CN2c3ccccc3OC(F)(F)C2=O)c(=O)n(C)c1=O ZINC000796062151 1072631480 /nfs/dbraw/zinc/63/14/80/1072631480.db2.gz HAWMOCGSYJWNQE-UHFFFAOYSA-N 0 0 438.343 -0.590 20 0 IBADRN CN(CCCNC(=O)COC(=O)CN1c2ccccc2OC(F)(F)C1=O)S(C)(=O)=O ZINC000796068143 1072631543 /nfs/dbraw/zinc/63/15/43/1072631543.db2.gz YTENBVCXVOMFDX-UHFFFAOYSA-N 0 0 449.432 -0.054 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]32)CC1 ZINC000796074945 1072632161 /nfs/dbraw/zinc/63/21/61/1072632161.db2.gz KKDKVUFIFHYDSX-HWWDLCQESA-N 0 0 438.525 -0.125 20 0 IBADRN Cc1ccc(N2CCN(CC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)CC2=O)cc1 ZINC000796082109 1072632228 /nfs/dbraw/zinc/63/22/28/1072632228.db2.gz RQISHGJUNMPPPO-IBGZPJMESA-N 0 0 434.562 -0.025 20 0 IBADRN Cc1ccc(N2CCN(CC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)CC2=O)cc1 ZINC000796082110 1072632169 /nfs/dbraw/zinc/63/21/69/1072632169.db2.gz RQISHGJUNMPPPO-LJQANCHMSA-N 0 0 434.562 -0.025 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)[C@H]2C1 ZINC000796094316 1072632266 /nfs/dbraw/zinc/63/22/66/1072632266.db2.gz ZFVZLPFTCGJTNG-CIQGVGRVSA-N 0 0 445.494 -0.345 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)[C@H]2C1 ZINC000796094318 1072632333 /nfs/dbraw/zinc/63/23/33/1072632333.db2.gz ZFVZLPFTCGJTNG-NMKXLXIOSA-N 0 0 445.494 -0.345 20 0 IBADRN C[C@H](NC(=O)C[C@H]1COCCN1C(=O)OC(C)(C)C)C(=O)N1CCS(=O)(=O)CC1 ZINC000796097247 1072632888 /nfs/dbraw/zinc/63/28/88/1072632888.db2.gz RFXLNYRLYMWAJQ-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@@H](NC(=O)C[C@H]1COCCN1C(=O)OC(C)(C)C)C(=O)N1CCS(=O)(=O)CC1 ZINC000796097254 1072632867 /nfs/dbraw/zinc/63/28/67/1072632867.db2.gz RFXLNYRLYMWAJQ-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@H](NC(=O)C[C@@H]1COCCN1C(=O)OC(C)(C)C)C(=O)N1CCS(=O)(=O)CC1 ZINC000796097255 1072632885 /nfs/dbraw/zinc/63/28/85/1072632885.db2.gz RFXLNYRLYMWAJQ-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@@H](NC(=O)C[C@@H]1COCCN1C(=O)OC(C)(C)C)C(=O)N1CCS(=O)(=O)CC1 ZINC000796097257 1072632875 /nfs/dbraw/zinc/63/28/75/1072632875.db2.gz RFXLNYRLYMWAJQ-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000796105216 1072632232 /nfs/dbraw/zinc/63/22/32/1072632232.db2.gz PPFSBIIKUUIIQS-DOMZBBRYSA-N 0 0 439.581 -0.019 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000796105222 1072632878 /nfs/dbraw/zinc/63/28/78/1072632878.db2.gz PPFSBIIKUUIIQS-IUODEOHRSA-N 0 0 439.581 -0.019 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cc1 ZINC000796105224 1072632861 /nfs/dbraw/zinc/63/28/61/1072632861.db2.gz PPFSBIIKUUIIQS-SWLSCSKDSA-N 0 0 439.581 -0.019 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)cc1 ZINC000796105227 1072632768 /nfs/dbraw/zinc/63/27/68/1072632768.db2.gz PPFSBIIKUUIIQS-WFASDCNBSA-N 0 0 439.581 -0.019 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)CC1 ZINC000796105829 1072632112 /nfs/dbraw/zinc/63/21/12/1072632112.db2.gz RLKSLZMJYOGBRP-KBPBESRZSA-N 0 0 426.561 -0.297 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)CC1 ZINC000796105836 1072632187 /nfs/dbraw/zinc/63/21/87/1072632187.db2.gz RLKSLZMJYOGBRP-KGLIPLIRSA-N 0 0 426.561 -0.297 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)CC1 ZINC000796105838 1072632257 /nfs/dbraw/zinc/63/22/57/1072632257.db2.gz RLKSLZMJYOGBRP-UONOGXRCSA-N 0 0 426.561 -0.297 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)CC1 ZINC000796105841 1072632295 /nfs/dbraw/zinc/63/22/95/1072632295.db2.gz RLKSLZMJYOGBRP-ZIAGYGMSSA-N 0 0 426.561 -0.297 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000796110883 1072632775 /nfs/dbraw/zinc/63/27/75/1072632775.db2.gz BSZOOOOUDYDXFK-JTQLQIEISA-N 0 0 437.396 -0.126 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1)C2=O ZINC000796130263 1072632806 /nfs/dbraw/zinc/63/28/06/1072632806.db2.gz YLXLEAFURGTJOB-FVRSWCFKSA-N 0 0 429.543 -0.126 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1)C2=O ZINC000796130264 1072632891 /nfs/dbraw/zinc/63/28/91/1072632891.db2.gz YLXLEAFURGTJOB-TXKKKEFDSA-N 0 0 429.543 -0.126 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCCN(CC(N)=O)CC2)(S(C)(=O)=O)CC1 ZINC000796141069 1072632851 /nfs/dbraw/zinc/63/28/51/1072632851.db2.gz ZENGLHBRFPOPFP-UHFFFAOYSA-N 0 0 446.570 -0.180 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCCC[C@H]1COC(=O)N[C@H]1CCOC1 ZINC000796149258 1072633440 /nfs/dbraw/zinc/63/34/40/1072633440.db2.gz ACBHTXUFMROZBS-KBPBESRZSA-N 0 0 427.545 -0.375 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCCC[C@H]1COC(=O)N[C@@H]1CCOC1 ZINC000796149259 1072633332 /nfs/dbraw/zinc/63/33/32/1072633332.db2.gz ACBHTXUFMROZBS-KGLIPLIRSA-N 0 0 427.545 -0.375 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1 ZINC000796149260 1072633476 /nfs/dbraw/zinc/63/34/76/1072633476.db2.gz ACBHTXUFMROZBS-UONOGXRCSA-N 0 0 427.545 -0.375 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1 ZINC000796149261 1072633352 /nfs/dbraw/zinc/63/33/52/1072633352.db2.gz ACBHTXUFMROZBS-ZIAGYGMSSA-N 0 0 427.545 -0.375 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)c(=O)n(C)c1=O ZINC000796149775 1072632826 /nfs/dbraw/zinc/63/28/26/1072632826.db2.gz GZBKJYQCEWFDCF-CHWSQXEVSA-N 0 0 430.483 -0.858 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)c(=O)n(C)c1=O ZINC000796149776 1072632801 /nfs/dbraw/zinc/63/28/01/1072632801.db2.gz GZBKJYQCEWFDCF-OLZOCXBDSA-N 0 0 430.483 -0.858 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)c(=O)n(C)c1=O ZINC000796149777 1072632758 /nfs/dbraw/zinc/63/27/58/1072632758.db2.gz GZBKJYQCEWFDCF-QWHCGFSZSA-N 0 0 430.483 -0.858 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)c(=O)n(C)c1=O ZINC000796149779 1072632780 /nfs/dbraw/zinc/63/27/80/1072632780.db2.gz GZBKJYQCEWFDCF-STQMWFEESA-N 0 0 430.483 -0.858 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCCC[C@H]1COC(=O)N[C@@H]1CCOC1 ZINC000796154086 1072633389 /nfs/dbraw/zinc/63/33/89/1072633389.db2.gz XTNPEYXNOLKRPN-CABCVRRESA-N 0 0 441.572 -0.033 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCCC[C@H]1COC(=O)N[C@H]1CCOC1 ZINC000796154088 1072633296 /nfs/dbraw/zinc/63/32/96/1072633296.db2.gz XTNPEYXNOLKRPN-GJZGRUSLSA-N 0 0 441.572 -0.033 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1 ZINC000796154090 1072633436 /nfs/dbraw/zinc/63/34/36/1072633436.db2.gz XTNPEYXNOLKRPN-HUUCEWRRSA-N 0 0 441.572 -0.033 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1 ZINC000796154091 1072633382 /nfs/dbraw/zinc/63/33/82/1072633382.db2.gz XTNPEYXNOLKRPN-LSDHHAIUSA-N 0 0 441.572 -0.033 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC2C[C@H]3CC[C@@H](C2)N3CC(=O)N(C)C)CC1 ZINC000796154964 1072633313 /nfs/dbraw/zinc/63/33/13/1072633313.db2.gz YPWSHMMDTRCICZ-MQVJKMGUSA-N 0 0 431.559 -0.322 20 0 IBADRN Cn1cc(C(=O)CCC(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cn1 ZINC000796158952 1072633499 /nfs/dbraw/zinc/63/34/99/1072633499.db2.gz ROMZAZVNCHRVQB-UHFFFAOYSA-N 0 0 440.464 -0.048 20 0 IBADRN CCNC(=O)OC[C@@H]1CCCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796164662 1072634102 /nfs/dbraw/zinc/63/41/02/1072634102.db2.gz MQSRKZOYOMMAKU-DLBZAZTESA-N 0 0 430.571 -0.082 20 0 IBADRN CCNC(=O)OC[C@H]1CCCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796164663 1072634003 /nfs/dbraw/zinc/63/40/03/1072634003.db2.gz MQSRKZOYOMMAKU-IAGOWNOFSA-N 0 0 430.571 -0.082 20 0 IBADRN CCNC(=O)OC[C@@H]1CCCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000796164664 1072634174 /nfs/dbraw/zinc/63/41/74/1072634174.db2.gz MQSRKZOYOMMAKU-IRXDYDNUSA-N 0 0 430.571 -0.082 20 0 IBADRN CCNC(=O)OC[C@H]1CCCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000796164665 1072634053 /nfs/dbraw/zinc/63/40/53/1072634053.db2.gz MQSRKZOYOMMAKU-SJORKVTESA-N 0 0 430.571 -0.082 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)OCCNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000796176853 1072634094 /nfs/dbraw/zinc/63/40/94/1072634094.db2.gz GOKRJDJFMVWIPE-UHFFFAOYSA-N 0 0 430.508 -0.265 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000796179946 1072634030 /nfs/dbraw/zinc/63/40/30/1072634030.db2.gz GVOTWOQUQDSSDC-UHFFFAOYSA-N 0 0 449.551 -0.580 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)cc1 ZINC000796180592 1072634176 /nfs/dbraw/zinc/63/41/76/1072634176.db2.gz UQQLXJYZVACZAX-UHFFFAOYSA-N 0 0 435.462 -0.504 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2cc(C(=O)OC)n(C)c2)CC1 ZINC000796182259 1072634513 /nfs/dbraw/zinc/63/45/13/1072634513.db2.gz YGWPTRFOJVHFEO-UHFFFAOYSA-N 0 0 436.508 -0.057 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2ccc(OC)c(C(N)=O)c2)CC1 ZINC000796182303 1072634105 /nfs/dbraw/zinc/63/41/05/1072634105.db2.gz YWFJFKZCDISRNK-UHFFFAOYSA-N 0 0 448.519 -0.075 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC1 ZINC000796182371 1072634665 /nfs/dbraw/zinc/63/46/65/1072634665.db2.gz ZLZBHTWRTVRMET-UHFFFAOYSA-N 0 0 448.585 -0.960 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000796183127 1072634529 /nfs/dbraw/zinc/63/45/29/1072634529.db2.gz ZMZKGZRFFUJBNJ-UHFFFAOYSA-N 0 0 449.489 -0.530 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2cncc(C(=O)OC)c2)CC1 ZINC000796183412 1072634673 /nfs/dbraw/zinc/63/46/73/1072634673.db2.gz ADXFPZIZMQIRQY-UHFFFAOYSA-N 0 0 434.492 -0.001 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)N(CCOC)CCOC)CC1 ZINC000796183420 1072634719 /nfs/dbraw/zinc/63/47/19/1072634719.db2.gz AIZGCLMPFJUACP-UHFFFAOYSA-N 0 0 430.545 -0.732 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCC(C(=O)OCC)(S(C)(=O)=O)CC1 ZINC000796184223 1072634618 /nfs/dbraw/zinc/63/46/18/1072634618.db2.gz MYDLAXZULUSTCU-CYBMUJFWSA-N 0 0 440.540 -0.299 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCC(C(=O)OCC)(S(C)(=O)=O)CC1 ZINC000796184225 1072634640 /nfs/dbraw/zinc/63/46/40/1072634640.db2.gz MYDLAXZULUSTCU-ZDUSSCGKSA-N 0 0 440.540 -0.299 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000796184299 1072634603 /nfs/dbraw/zinc/63/46/03/1072634603.db2.gz NZLRWZRHIONBSO-UHFFFAOYSA-N 0 0 443.507 -0.207 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)CCCN2CCOCC2)CC1 ZINC000796184338 1072634629 /nfs/dbraw/zinc/63/46/29/1072634629.db2.gz OWGPOCFYBINNQX-UHFFFAOYSA-N 0 0 426.557 -0.519 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2cc(C(=O)NC)n(C)c2)CC1 ZINC000796185252 1072634548 /nfs/dbraw/zinc/63/45/48/1072634548.db2.gz UWWXOSLIFMSWRP-UHFFFAOYSA-N 0 0 435.524 -0.484 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000796185394 1072634161 /nfs/dbraw/zinc/63/41/61/1072634161.db2.gz YFGBAHFWXVISBC-UHFFFAOYSA-N 0 0 443.507 -0.207 20 0 IBADRN C[C@H](OC(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000796186165 1072634725 /nfs/dbraw/zinc/63/47/25/1072634725.db2.gz MCBQAYUYVJLWCK-GXTWGEPZSA-N 0 0 432.520 -0.286 20 0 IBADRN C[C@H](OC(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000796186167 1072634558 /nfs/dbraw/zinc/63/45/58/1072634558.db2.gz MCBQAYUYVJLWCK-JSGCOSHPSA-N 0 0 432.520 -0.286 20 0 IBADRN C[C@@H](OC(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000796186168 1072634593 /nfs/dbraw/zinc/63/45/93/1072634593.db2.gz MCBQAYUYVJLWCK-OCCSQVGLSA-N 0 0 432.520 -0.286 20 0 IBADRN C[C@@H](OC(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000796186169 1072634658 /nfs/dbraw/zinc/63/46/58/1072634658.db2.gz MCBQAYUYVJLWCK-TZMCWYRMSA-N 0 0 432.520 -0.286 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CCC1 ZINC000796189517 1072635360 /nfs/dbraw/zinc/63/53/60/1072635360.db2.gz VDBHXBWFGBTNQU-KBPBESRZSA-N 0 0 437.536 -0.308 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CCC1 ZINC000796189518 1072635274 /nfs/dbraw/zinc/63/52/74/1072635274.db2.gz VDBHXBWFGBTNQU-KGLIPLIRSA-N 0 0 437.536 -0.308 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CCC1 ZINC000796189519 1072635300 /nfs/dbraw/zinc/63/53/00/1072635300.db2.gz VDBHXBWFGBTNQU-UONOGXRCSA-N 0 0 437.536 -0.308 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CCC1 ZINC000796189520 1072635355 /nfs/dbraw/zinc/63/53/55/1072635355.db2.gz VDBHXBWFGBTNQU-ZIAGYGMSSA-N 0 0 437.536 -0.308 20 0 IBADRN C[C@@H](OC(=O)C1(S(C)(=O)=O)CCC1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000796191012 1072635306 /nfs/dbraw/zinc/63/53/06/1072635306.db2.gz AOVSSYQKWXZJKG-GFCCVEGCSA-N 0 0 432.520 -0.108 20 0 IBADRN C[C@H](OC(=O)C1(S(C)(=O)=O)CCC1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000796191013 1072635255 /nfs/dbraw/zinc/63/52/55/1072635255.db2.gz AOVSSYQKWXZJKG-LBPRGKRZSA-N 0 0 432.520 -0.108 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C2(S(C)(=O)=O)CCC2)c(N)n(Cc2ccccc2)c1=O ZINC000796191408 1072635287 /nfs/dbraw/zinc/63/52/87/1072635287.db2.gz GBHVLLIQILHVBE-UHFFFAOYSA-N 0 0 449.485 -0.129 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C2(S(C)(=O)=O)CCC2)CC1 ZINC000796192390 1072635112 /nfs/dbraw/zinc/63/51/12/1072635112.db2.gz JHYGOUFWZNXBEX-UHFFFAOYSA-N 0 0 436.494 -0.478 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCN(S(=O)(=O)CCS(C)(=O)=O)C2)CC1 ZINC000796196940 1072634574 /nfs/dbraw/zinc/63/45/74/1072634574.db2.gz JDIWIVIQBWYYDF-AWEZNQCLSA-N 0 0 425.573 -0.012 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCN(S(=O)(=O)CCS(C)(=O)=O)C2)CC1 ZINC000796196941 1072634715 /nfs/dbraw/zinc/63/47/15/1072634715.db2.gz JDIWIVIQBWYYDF-CQSZACIVSA-N 0 0 425.573 -0.012 20 0 IBADRN COC[C@]1(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCCN1C(=O)OC(C)(C)C ZINC000796213715 1072635165 /nfs/dbraw/zinc/63/51/65/1072635165.db2.gz WHEBMFYYTXCQCY-GOSISDBHSA-N 0 0 446.526 -0.222 20 0 IBADRN COC[C@@]1(CNS(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCCN1C(=O)OC(C)(C)C ZINC000796213724 1072635231 /nfs/dbraw/zinc/63/52/31/1072635231.db2.gz WHEBMFYYTXCQCY-SFHVURJKSA-N 0 0 446.526 -0.222 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1S(=O)(=O)CCS(C)(=O)=O ZINC000796242762 1072635765 /nfs/dbraw/zinc/63/57/65/1072635765.db2.gz NMIZZTOSNAUXFL-GFCCVEGCSA-N 0 0 428.529 -0.155 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1S(=O)(=O)CCS(C)(=O)=O ZINC000796242763 1072635803 /nfs/dbraw/zinc/63/58/03/1072635803.db2.gz NMIZZTOSNAUXFL-LBPRGKRZSA-N 0 0 428.529 -0.155 20 0 IBADRN CC(C)NC(=O)OC[C@@H]1CCCCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000796254540 1072635884 /nfs/dbraw/zinc/63/58/84/1072635884.db2.gz MIQHYPXKULDRFN-AWEZNQCLSA-N 0 0 426.561 -0.203 20 0 IBADRN CC(C)NC(=O)OC[C@H]1CCCCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000796254541 1072635916 /nfs/dbraw/zinc/63/59/16/1072635916.db2.gz MIQHYPXKULDRFN-CQSZACIVSA-N 0 0 426.561 -0.203 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC(CNS(C)(=O)=O)CC1)C2=O ZINC000796257022 1072637884 /nfs/dbraw/zinc/63/78/84/1072637884.db2.gz YCINRWKGCCZXQU-UHFFFAOYSA-N 0 0 429.543 -0.221 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NCCCN2C(=O)CNC2=O)(S(C)(=O)=O)CC1 ZINC000796262839 1072638630 /nfs/dbraw/zinc/63/86/30/1072638630.db2.gz BHOLYOHTLHEPCR-UHFFFAOYSA-N 0 0 446.526 -0.141 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000796289792 1072640077 /nfs/dbraw/zinc/64/00/77/1072640077.db2.gz RTMVFQYBUTXRAI-CHWSQXEVSA-N 0 0 429.461 -0.257 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000796289800 1072640089 /nfs/dbraw/zinc/64/00/89/1072640089.db2.gz RTMVFQYBUTXRAI-OLZOCXBDSA-N 0 0 429.461 -0.257 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000796289803 1072639790 /nfs/dbraw/zinc/63/97/90/1072639790.db2.gz RTMVFQYBUTXRAI-QWHCGFSZSA-N 0 0 429.461 -0.257 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)N(C)CC(F)(F)F ZINC000796289805 1072639939 /nfs/dbraw/zinc/63/99/39/1072639939.db2.gz RTMVFQYBUTXRAI-STQMWFEESA-N 0 0 429.461 -0.257 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000796294458 1072640698 /nfs/dbraw/zinc/64/06/98/1072640698.db2.gz KUROBRRJNFYDRW-BBRMVZONSA-N 0 0 441.472 -0.255 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000796294460 1072640635 /nfs/dbraw/zinc/64/06/35/1072640635.db2.gz KUROBRRJNFYDRW-CJNGLKHVSA-N 0 0 441.472 -0.255 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000796294461 1072640704 /nfs/dbraw/zinc/64/07/04/1072640704.db2.gz KUROBRRJNFYDRW-CZUORRHYSA-N 0 0 441.472 -0.255 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000796294462 1072640669 /nfs/dbraw/zinc/64/06/69/1072640669.db2.gz KUROBRRJNFYDRW-XJKSGUPXSA-N 0 0 441.472 -0.255 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)CCn2cnccc2=O)c(N)n(Cc2ccccc2)c1=O ZINC000796327801 1072643309 /nfs/dbraw/zinc/64/33/09/1072643309.db2.gz IWFFTTVNPVDXPO-UHFFFAOYSA-N 0 0 439.428 -0.450 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CCn3cnccc3=O)C2=O)cc1 ZINC000796327997 1072643267 /nfs/dbraw/zinc/64/32/67/1072643267.db2.gz MDONJWLCXJNRLQ-FQEVSTJZSA-N 0 0 443.416 -0.316 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CCn3cnccc3=O)C2=O)cc1 ZINC000796327998 1072643229 /nfs/dbraw/zinc/64/32/29/1072643229.db2.gz MDONJWLCXJNRLQ-HXUWFJFHSA-N 0 0 443.416 -0.316 20 0 IBADRN O=C(CCn1cnccc1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000796332487 1072646092 /nfs/dbraw/zinc/64/60/92/1072646092.db2.gz ZNONXWBKEUOXPU-UHFFFAOYSA-N 0 0 434.474 -0.290 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C1(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC000796333254 1072646041 /nfs/dbraw/zinc/64/60/41/1072646041.db2.gz LHWBYYDPJPELMO-UHFFFAOYSA-N 0 0 441.572 -0.144 20 0 IBADRN O=C(CCn1cnccc1=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000796338176 1072646828 /nfs/dbraw/zinc/64/68/28/1072646828.db2.gz XAPVLLARYRSTHC-UHFFFAOYSA-N 0 0 448.501 -0.149 20 0 IBADRN COC(=O)c1ccc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)[nH]1 ZINC000796370645 1072647396 /nfs/dbraw/zinc/64/73/96/1072647396.db2.gz ZCTCFYTVPQVBJD-UHFFFAOYSA-N 0 0 427.421 -0.016 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](C(=O)NCC(=O)NCCN1CCOCC1)c1cnn(C)c1 ZINC000796377582 1072647483 /nfs/dbraw/zinc/64/74/83/1072647483.db2.gz NCPVDAPNIWCFLZ-KRWDZBQOSA-N 0 0 438.529 -0.107 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H](C(=O)NCC(=O)NCCN1CCOCC1)c1cnn(C)c1 ZINC000796377583 1072647292 /nfs/dbraw/zinc/64/72/92/1072647292.db2.gz NCPVDAPNIWCFLZ-QGZVFWFLSA-N 0 0 438.529 -0.107 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000796381083 1072647435 /nfs/dbraw/zinc/64/74/35/1072647435.db2.gz DJUASQLFCJXRAA-INIZCTEOSA-N 0 0 445.428 -0.811 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000796381088 1072647352 /nfs/dbraw/zinc/64/73/52/1072647352.db2.gz DJUASQLFCJXRAA-MRXNPFEDSA-N 0 0 445.428 -0.811 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000796381938 1072647250 /nfs/dbraw/zinc/64/72/50/1072647250.db2.gz WPEVLCAASBTEHU-GFCCVEGCSA-N 0 0 428.488 -0.044 20 0 IBADRN O=C(CN1C(=O)CCC1=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000796381973 1072647334 /nfs/dbraw/zinc/64/73/34/1072647334.db2.gz WPEVLCAASBTEHU-LBPRGKRZSA-N 0 0 428.488 -0.044 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)CN2C(=O)CCC2=O)CC1)Nc1ccc(F)cc1 ZINC000796382989 1072647317 /nfs/dbraw/zinc/64/73/17/1072647317.db2.gz KKMCDNDDYLZUGF-UHFFFAOYSA-N 0 0 434.424 -0.399 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)C2(S(C)(=O)=O)CCOCC2)CC1 ZINC000796390529 1072651477 /nfs/dbraw/zinc/65/14/77/1072651477.db2.gz WYMQCFDMSDXJKB-UHFFFAOYSA-N 0 0 447.554 -0.818 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)C2(S(C)(=O)=O)CCOCC2)c(=O)n(C)c1=O ZINC000796394177 1072651422 /nfs/dbraw/zinc/65/14/22/1072651422.db2.gz CCDUROKBEDBDBW-UHFFFAOYSA-N 0 0 445.494 -0.895 20 0 IBADRN CCOC(=O)N1CCC(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000796394627 1072651396 /nfs/dbraw/zinc/65/13/96/1072651396.db2.gz MYNDCWDPAKQZNY-INIZCTEOSA-N 0 0 445.538 -0.271 20 0 IBADRN CCOC(=O)N1CCC(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000796394639 1072651544 /nfs/dbraw/zinc/65/15/44/1072651544.db2.gz MYNDCWDPAKQZNY-MRXNPFEDSA-N 0 0 445.538 -0.271 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)C1(S(C)(=O)=O)CCOCC1)C2=O ZINC000796398864 1072651511 /nfs/dbraw/zinc/65/15/11/1072651511.db2.gz ZISYPBRGJUFBLB-UHFFFAOYSA-N 0 0 445.494 -0.345 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H]4CN(C(=O)OC(C)(C)C)C[C@@H]4C3)c2c(=O)n(C)c1=O ZINC000796488458 1072652179 /nfs/dbraw/zinc/65/21/79/1072652179.db2.gz SWSWXUALABOTBS-BETUJISGSA-N 0 0 432.481 -0.241 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1C[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]3C1)c(=O)n2C ZINC000796494445 1072652287 /nfs/dbraw/zinc/65/22/87/1072652287.db2.gz JVWOOJGZXQQHEA-BETUJISGSA-N 0 0 432.481 -0.241 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)OCCn3cnc4c3c(=O)n(C)c(=O)n4C)c2C)on1 ZINC000796506701 1072652056 /nfs/dbraw/zinc/65/20/56/1072652056.db2.gz KVYBDNKFGBENQE-UHFFFAOYSA-N 0 0 428.409 -0.465 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)c2C)on1 ZINC000796508293 1072652251 /nfs/dbraw/zinc/65/22/51/1072652251.db2.gz WVWIRVGRKYVTPJ-UHFFFAOYSA-N 0 0 433.469 -0.680 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c2C)on1 ZINC000796522787 1072652921 /nfs/dbraw/zinc/65/29/21/1072652921.db2.gz QBJNVVOFNUWOKN-UHFFFAOYSA-N 0 0 428.471 -0.514 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)OCCCn3c(=O)c4c(ncn4C)n(C)c3=O)c2C)on1 ZINC000796523879 1072652784 /nfs/dbraw/zinc/65/27/84/1072652784.db2.gz UKEKGRIOHHTWBM-UHFFFAOYSA-N 0 0 442.436 -0.075 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000796527859 1072652878 /nfs/dbraw/zinc/65/28/78/1072652878.db2.gz CJDMIBUNZHQNDZ-CABCVRRESA-N 0 0 446.570 -0.405 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000796527860 1072652917 /nfs/dbraw/zinc/65/29/17/1072652917.db2.gz CJDMIBUNZHQNDZ-GJZGRUSLSA-N 0 0 446.570 -0.405 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796527861 1072652913 /nfs/dbraw/zinc/65/29/13/1072652913.db2.gz CJDMIBUNZHQNDZ-HUUCEWRRSA-N 0 0 446.570 -0.405 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796527862 1072652930 /nfs/dbraw/zinc/65/29/30/1072652930.db2.gz CJDMIBUNZHQNDZ-LSDHHAIUSA-N 0 0 446.570 -0.405 20 0 IBADRN C[C@H](Oc1ccc(C=O)cc1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796528224 1072652841 /nfs/dbraw/zinc/65/28/41/1072652841.db2.gz FMWRBCLGNSBGBW-DOTOQJQBSA-N 0 0 437.518 -0.286 20 0 IBADRN C[C@@H](Oc1ccc(C=O)cc1)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796528226 1072652799 /nfs/dbraw/zinc/65/27/99/1072652799.db2.gz FMWRBCLGNSBGBW-NVXWUHKLSA-N 0 0 437.518 -0.286 20 0 IBADRN C[C@H](Oc1ccc(C=O)cc1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000796528228 1072652860 /nfs/dbraw/zinc/65/28/60/1072652860.db2.gz FMWRBCLGNSBGBW-RDJZCZTQSA-N 0 0 437.518 -0.286 20 0 IBADRN C[C@@H](Oc1ccc(C=O)cc1)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000796528235 1072652832 /nfs/dbraw/zinc/65/28/32/1072652832.db2.gz FMWRBCLGNSBGBW-WBVHZDCISA-N 0 0 437.518 -0.286 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC000796529162 1072652736 /nfs/dbraw/zinc/65/27/36/1072652736.db2.gz GAVWRQXFIRZGFH-MSOLQXFVSA-N 0 0 439.557 -0.412 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC000796529165 1072652864 /nfs/dbraw/zinc/65/28/64/1072652864.db2.gz GAVWRQXFIRZGFH-QZTJIDSGSA-N 0 0 439.557 -0.412 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC000796529167 1072652766 /nfs/dbraw/zinc/65/27/66/1072652766.db2.gz GAVWRQXFIRZGFH-ROUUACIJSA-N 0 0 439.557 -0.412 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC000796529169 1072652846 /nfs/dbraw/zinc/65/28/46/1072652846.db2.gz GAVWRQXFIRZGFH-ZWKOTPCHSA-N 0 0 439.557 -0.412 20 0 IBADRN CN(CCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000796530239 1072652935 /nfs/dbraw/zinc/65/29/35/1072652935.db2.gz SGNLFUFZKSREIY-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CN(CCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC000796530240 1072652803 /nfs/dbraw/zinc/65/28/03/1072652803.db2.gz SGNLFUFZKSREIY-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN CN(CCCNC(=O)CN1CCCC[C@H]1COC(=O)N[C@@H]1CCOC1)S(C)(=O)=O ZINC000796545804 1072652815 /nfs/dbraw/zinc/65/28/15/1072652815.db2.gz GCTLRHYACHUPNJ-CVEARBPZSA-N 0 0 434.559 -0.246 20 0 IBADRN CN(CCCNC(=O)CN1CCCC[C@H]1COC(=O)N[C@H]1CCOC1)S(C)(=O)=O ZINC000796545807 1072652716 /nfs/dbraw/zinc/65/27/16/1072652716.db2.gz GCTLRHYACHUPNJ-HOTGVXAUSA-N 0 0 434.559 -0.246 20 0 IBADRN CN(CCCNC(=O)CN1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1)S(C)(=O)=O ZINC000796545810 1072652902 /nfs/dbraw/zinc/65/29/02/1072652902.db2.gz GCTLRHYACHUPNJ-HZPDHXFCSA-N 0 0 434.559 -0.246 20 0 IBADRN CN(CCCNC(=O)CN1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1)S(C)(=O)=O ZINC000796545813 1072652890 /nfs/dbraw/zinc/65/28/90/1072652890.db2.gz GCTLRHYACHUPNJ-JKSUJKDBSA-N 0 0 434.559 -0.246 20 0 IBADRN O=C(CN1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000796553164 1072653559 /nfs/dbraw/zinc/65/35/59/1072653559.db2.gz VWOZDUMAXGVWFS-QLFBSQMISA-N 0 0 446.526 -0.631 20 0 IBADRN O=C(CN1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000796553168 1072653562 /nfs/dbraw/zinc/65/35/62/1072653562.db2.gz VWOZDUMAXGVWFS-RBSFLKMASA-N 0 0 446.526 -0.631 20 0 IBADRN O=C(CN1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000796553170 1072653527 /nfs/dbraw/zinc/65/35/27/1072653527.db2.gz VWOZDUMAXGVWFS-RRFJBIMHSA-N 0 0 446.526 -0.631 20 0 IBADRN O=C(CN1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000796553172 1072653598 /nfs/dbraw/zinc/65/35/98/1072653598.db2.gz VWOZDUMAXGVWFS-SOUVJXGZSA-N 0 0 446.526 -0.631 20 0 IBADRN CN(C)C(=O)OC[C@@H]1CCCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796553536 1072653470 /nfs/dbraw/zinc/65/34/70/1072653470.db2.gz ZYTMMTWBZPHWKM-DLBZAZTESA-N 0 0 430.571 -0.130 20 0 IBADRN CN(C)C(=O)OC[C@H]1CCCCN1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796553537 1072653586 /nfs/dbraw/zinc/65/35/86/1072653586.db2.gz ZYTMMTWBZPHWKM-IAGOWNOFSA-N 0 0 430.571 -0.130 20 0 IBADRN CN(C)C(=O)OC[C@@H]1CCCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000796553538 1072653481 /nfs/dbraw/zinc/65/34/81/1072653481.db2.gz ZYTMMTWBZPHWKM-IRXDYDNUSA-N 0 0 430.571 -0.130 20 0 IBADRN CN(C)C(=O)OC[C@H]1CCCCN1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000796553539 1072653555 /nfs/dbraw/zinc/65/35/55/1072653555.db2.gz ZYTMMTWBZPHWKM-SJORKVTESA-N 0 0 430.571 -0.130 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)c2c(N)n(CC)c(=O)[nH]c2=O)CC1 ZINC000796563664 1072653565 /nfs/dbraw/zinc/65/35/65/1072653565.db2.gz IBVAJURKOLQJPS-UHFFFAOYSA-N 0 0 430.483 -0.824 20 0 IBADRN O=C(CN(CCO)Cc1cccnc1Cl)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000796565161 1072653563 /nfs/dbraw/zinc/65/35/63/1072653563.db2.gz WBFLVMJENABQSU-INIZCTEOSA-N 0 0 430.958 -0.139 20 0 IBADRN O=C(CN(CCO)Cc1cccnc1Cl)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000796565166 1072653405 /nfs/dbraw/zinc/65/34/05/1072653405.db2.gz WBFLVMJENABQSU-MRXNPFEDSA-N 0 0 430.958 -0.139 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)N[C@@H](C)C(=O)N2CCCCC2)CC1 ZINC000796566452 1072653572 /nfs/dbraw/zinc/65/35/72/1072653572.db2.gz GFPFKFREGQAFSM-HNNXBMFYSA-N 0 0 431.555 -0.054 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)N[C@H](C)C(=O)N2CCCCC2)CC1 ZINC000796566453 1072653538 /nfs/dbraw/zinc/65/35/38/1072653538.db2.gz GFPFKFREGQAFSM-OAHLLOKOSA-N 0 0 431.555 -0.054 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000796568191 1072654160 /nfs/dbraw/zinc/65/41/60/1072654160.db2.gz NZLGWVZBJSWLHK-UHFFFAOYSA-N 0 0 439.538 -0.433 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)NCC(C)(C)N2CCOCC2)CC1 ZINC000796568333 1072653488 /nfs/dbraw/zinc/65/34/88/1072653488.db2.gz ANIPCFQGBHXJCJ-UHFFFAOYSA-N 0 0 433.571 -0.344 20 0 IBADRN CCOC(=O)C1=C(CN2CCC(C(=O)OCC)(S(C)(=O)=O)CC2)NC(=O)N[C@@H]1C ZINC000796569421 1072654098 /nfs/dbraw/zinc/65/40/98/1072654098.db2.gz AJWBQESJEGJVPR-GFCCVEGCSA-N 0 0 431.511 -0.053 20 0 IBADRN CCOC(=O)C1=C(CN2CCC(C(=O)OCC)(S(C)(=O)=O)CC2)NC(=O)N[C@H]1C ZINC000796569423 1072654121 /nfs/dbraw/zinc/65/41/21/1072654121.db2.gz AJWBQESJEGJVPR-LBPRGKRZSA-N 0 0 431.511 -0.053 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)CC1 ZINC000796569837 1072653458 /nfs/dbraw/zinc/65/34/58/1072653458.db2.gz ITTIUHSMGBNVBT-UHFFFAOYSA-N 0 0 429.539 -0.491 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCC(C(=O)OCC)(S(C)(=O)=O)CC1 ZINC000796570199 1072653590 /nfs/dbraw/zinc/65/35/90/1072653590.db2.gz GMGOWKCKGVUAPB-UHFFFAOYSA-N 0 0 444.510 -0.022 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCC(C(=O)OCC)(S(C)(=O)=O)CC2)CC1 ZINC000796572376 1072654192 /nfs/dbraw/zinc/65/41/92/1072654192.db2.gz XZQXINGNQHSGQD-UHFFFAOYSA-N 0 0 433.527 -0.271 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CC1 ZINC000796572503 1072654128 /nfs/dbraw/zinc/65/41/28/1072654128.db2.gz ZUFVQBXSNZMDER-UHFFFAOYSA-N 0 0 442.494 -0.509 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2CN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)C[C@H]2C1 ZINC000796594396 1072654137 /nfs/dbraw/zinc/65/41/37/1072654137.db2.gz VWLKIWZTZMZEHP-HZSPNIEDSA-N 0 0 430.527 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2CN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)C[C@H]2C1 ZINC000796594397 1072654172 /nfs/dbraw/zinc/65/41/72/1072654172.db2.gz VWLKIWZTZMZEHP-MJBXVCDLSA-N 0 0 430.527 -0.202 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2c(I)cnn2C)cn1 ZINC000796597415 1072654178 /nfs/dbraw/zinc/65/41/78/1072654178.db2.gz GRTQQZWUXWXXRU-UHFFFAOYSA-N 0 0 439.235 -0.107 20 0 IBADRN C[C@@H](OC(=O)c1c(I)cnn1C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000796604191 1072654115 /nfs/dbraw/zinc/65/41/15/1072654115.db2.gz LYKDYWBUYQHOLZ-HTQZYQBOSA-N 0 0 441.247 -0.127 20 0 IBADRN C[C@H](OC(=O)c1c(I)cnn1C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000796604192 1072654094 /nfs/dbraw/zinc/65/40/94/1072654094.db2.gz LYKDYWBUYQHOLZ-JGVFFNPUSA-N 0 0 441.247 -0.127 20 0 IBADRN C[C@@H](OC(=O)c1c(I)cnn1C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000796604193 1072654076 /nfs/dbraw/zinc/65/40/76/1072654076.db2.gz LYKDYWBUYQHOLZ-SFYZADRCSA-N 0 0 441.247 -0.127 20 0 IBADRN C[C@H](OC(=O)c1c(I)cnn1C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000796604194 1072654164 /nfs/dbraw/zinc/65/41/64/1072654164.db2.gz LYKDYWBUYQHOLZ-YUMQZZPRSA-N 0 0 441.247 -0.127 20 0 IBADRN CN1CCN(C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N2CCN(C)C(=O)C2)CC1=O ZINC000796604752 1072654167 /nfs/dbraw/zinc/65/41/67/1072654167.db2.gz YOSJFVBUFVFENZ-AWEZNQCLSA-N 0 0 439.513 -0.739 20 0 IBADRN Cn1ncc(I)c1C(=O)OCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000796610802 1072654066 /nfs/dbraw/zinc/65/40/66/1072654066.db2.gz NODROOGNGKSGMA-UHFFFAOYSA-N 0 0 444.189 -0.345 20 0 IBADRN Cn1ncc(I)c1C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000796611742 1072654155 /nfs/dbraw/zinc/65/41/55/1072654155.db2.gz UOPBTALTSYYACZ-UHFFFAOYSA-N 0 0 447.189 -0.956 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)CNS(=O)(=O)c2c[nH]c3ncccc23)n1 ZINC000796619299 1072654768 /nfs/dbraw/zinc/65/47/68/1072654768.db2.gz WVASYFIVNRYPPW-UHFFFAOYSA-N 0 0 426.480 -0.279 20 0 IBADRN O=C(Nc1ccc(F)c(N2CCOC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000796675313 1073000876 /nfs/dbraw/zinc/00/08/76/1073000876.db2.gz YGBCKXHESPTWAV-UHFFFAOYSA-N 0 0 447.423 -0.330 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCOC3=O)c2)CC1 ZINC000796682967 1073000942 /nfs/dbraw/zinc/00/09/42/1073000942.db2.gz DKTXVPZULWLBJJ-UHFFFAOYSA-N 0 0 449.439 -0.084 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnn(CC(=O)OC)c2C)CC1 ZINC000796684421 1071408613 /nfs/dbraw/zinc/40/86/13/1071408613.db2.gz NNXGNFLYXWVDKT-UHFFFAOYSA-N 0 0 444.514 -0.966 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3CCN(CC(F)(F)F)C3)CC2)ccc1=O ZINC000796687159 1073000818 /nfs/dbraw/zinc/00/08/18/1073000818.db2.gz MRRPMPGTXWEVNM-AWEZNQCLSA-N 0 0 445.442 -0.035 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3CCN(CC(F)(F)F)C3)CC2)ccc1=O ZINC000796687160 1073000765 /nfs/dbraw/zinc/00/07/65/1073000765.db2.gz MRRPMPGTXWEVNM-CQSZACIVSA-N 0 0 445.442 -0.035 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CCc3ccccc3C(N)=O)CC2)ccc1=O ZINC000796688172 1073000933 /nfs/dbraw/zinc/00/09/33/1073000933.db2.gz WOAXTMNXNOWDOY-UHFFFAOYSA-N 0 0 441.488 -0.187 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCOC3=O)c2)CC1 ZINC000796692715 1073780561 /nfs/dbraw/zinc/78/05/61/1073780561.db2.gz VTGWATIKYBITSW-UHFFFAOYSA-N 0 0 443.457 -0.329 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC000796696312 1073813123 /nfs/dbraw/zinc/81/31/23/1073813123.db2.gz GMGTZLMZQUSVEU-UHFFFAOYSA-N 0 0 449.551 -0.469 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC000796713379 1073000918 /nfs/dbraw/zinc/00/09/18/1073000918.db2.gz QNEAAIDOIIZLHO-UHFFFAOYSA-N 0 0 425.467 -0.285 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)OCCn3cnc4c3c(=O)n(C)c(=O)n4C)c2C1 ZINC000796766752 1073001275 /nfs/dbraw/zinc/00/12/75/1073001275.db2.gz WWRCLEIWRZCLAF-CYBMUJFWSA-N 0 0 443.464 -0.814 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)OCCn3cnc4c3c(=O)n(C)c(=O)n4C)c2C1 ZINC000796766753 1073001337 /nfs/dbraw/zinc/00/13/37/1073001337.db2.gz WWRCLEIWRZCLAF-ZDUSSCGKSA-N 0 0 443.464 -0.814 20 0 IBADRN COC(=O)c1cc(F)cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1F ZINC000796768282 1073001348 /nfs/dbraw/zinc/00/13/48/1073001348.db2.gz BHZJQLXNGBYTKP-UHFFFAOYSA-N 0 0 435.405 -0.532 20 0 IBADRN COc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c(OC)c(C)c1OC ZINC000796768327 1073001201 /nfs/dbraw/zinc/00/12/01/1073001201.db2.gz CISXMMNSYKAFBL-UHFFFAOYSA-N 0 0 445.494 -0.262 20 0 IBADRN CC(C)Oc1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1C(N)=O ZINC000796768331 1073001397 /nfs/dbraw/zinc/00/13/97/1073001397.db2.gz CRPWUCXTGASQMC-UHFFFAOYSA-N 0 0 442.494 -0.711 20 0 IBADRN COC(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1OC ZINC000796769499 1073001262 /nfs/dbraw/zinc/00/12/62/1073001262.db2.gz KFWMXDFDZAHLRT-UHFFFAOYSA-N 0 0 429.451 -0.802 20 0 IBADRN CCNC(=O)N(C)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000796769620 1073001155 /nfs/dbraw/zinc/00/11/55/1073001155.db2.gz MJNVWPKKSUUTHC-UHFFFAOYSA-N 0 0 441.510 -0.431 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1ccc(F)c(N2CCOC2=O)c1 ZINC000796771011 1073001131 /nfs/dbraw/zinc/00/11/31/1073001131.db2.gz VBIWVNMWMCIKGQ-UHFFFAOYSA-N 0 0 444.441 -0.501 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)OCCN(C)S(=O)(=O)N3CCOCC3)c2C)on1 ZINC000796863062 1073001620 /nfs/dbraw/zinc/00/16/20/1073001620.db2.gz GASLOEJDDMNZNX-UHFFFAOYSA-N 0 0 428.471 -0.403 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C1 ZINC000796882977 1073001815 /nfs/dbraw/zinc/00/18/15/1073001815.db2.gz AAPVOWVDZGHAJC-KRWDZBQOSA-N 0 0 428.442 -0.082 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C1 ZINC000796882983 1073001643 /nfs/dbraw/zinc/00/16/43/1073001643.db2.gz AAPVOWVDZGHAJC-QGZVFWFLSA-N 0 0 428.442 -0.082 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCOC3=O)c2)CC1 ZINC000796899980 1073001836 /nfs/dbraw/zinc/00/18/36/1073001836.db2.gz UFUZKSVNRPILHT-UHFFFAOYSA-N 0 0 446.399 -0.922 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)CCn3cnccc3=O)cc2)CC1 ZINC000796904224 1073001739 /nfs/dbraw/zinc/00/17/39/1073001739.db2.gz OENFHCYSDNYTKV-UHFFFAOYSA-N 0 0 434.474 -0.044 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)C(=O)Nc2ccc(F)c(N3CCOC3=O)c2)CC1 ZINC000796908264 1073001850 /nfs/dbraw/zinc/00/18/50/1073001850.db2.gz YVPOUSOBKAUOER-UHFFFAOYSA-N 0 0 443.457 -0.847 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)OCC(=O)N1CCNC(=O)C1 ZINC000796915594 1073002352 /nfs/dbraw/zinc/00/23/52/1073002352.db2.gz CFUSRSAFWRLQNA-UHFFFAOYSA-N 0 0 448.295 -0.381 20 0 IBADRN COC(=O)C[C@@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC)C(=O)OC ZINC000796923235 1073002379 /nfs/dbraw/zinc/00/23/79/1073002379.db2.gz KZKQBLFYTWBOAM-OAHLLOKOSA-N 0 0 445.446 -0.022 20 0 IBADRN COC(=O)C[C@@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)OC ZINC000796926302 1073002151 /nfs/dbraw/zinc/00/21/51/1073002151.db2.gz VTDMRAXUKDRJSC-CYBMUJFWSA-N 0 0 428.419 -0.931 20 0 IBADRN COC(=O)C[C@@H](OC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)OC ZINC000796926514 1073002284 /nfs/dbraw/zinc/00/22/84/1073002284.db2.gz GQVYRBIUKRHWCO-CYBMUJFWSA-N 0 0 431.467 -0.223 20 0 IBADRN COC(=O)C[C@@H](OC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C(=O)OC ZINC000796927371 1073783234 /nfs/dbraw/zinc/78/32/34/1073783234.db2.gz PMCCEYPDYFUOBR-SSDOTTSWSA-N 0 0 431.199 -0.460 20 0 IBADRN COC(=O)C[C@@H](OC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1)C(=O)OC ZINC000796928535 1073002143 /nfs/dbraw/zinc/00/21/43/1073002143.db2.gz HQVFKYGWSAJAOV-MRXNPFEDSA-N 0 0 442.490 -0.051 20 0 IBADRN COC(=O)C[C@@H](OC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000796928781 1073002366 /nfs/dbraw/zinc/00/23/66/1073002366.db2.gz ZELDJCMXEUXSHQ-CQSZACIVSA-N 0 0 445.446 -0.022 20 0 IBADRN COC(=O)C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)OC ZINC000796936199 1073002126 /nfs/dbraw/zinc/00/21/26/1073002126.db2.gz RAONISRLGZOWNU-CYBMUJFWSA-N 0 0 428.419 -0.931 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)(S(C)(=O)=O)CC1 ZINC000796955319 1073002161 /nfs/dbraw/zinc/00/21/61/1073002161.db2.gz WCEYJHIEHZJMCI-GFCCVEGCSA-N 0 0 444.510 -0.437 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)(S(C)(=O)=O)CC1 ZINC000796955328 1073002293 /nfs/dbraw/zinc/00/22/93/1073002293.db2.gz WCEYJHIEHZJMCI-LBPRGKRZSA-N 0 0 444.510 -0.437 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000796959156 1073002174 /nfs/dbraw/zinc/00/21/74/1073002174.db2.gz DWPAHEXJYQROSE-CYBMUJFWSA-N 0 0 437.478 -0.142 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CCN2C(=O)OCC[C@H]2C1 ZINC000796959157 1073002327 /nfs/dbraw/zinc/00/23/27/1073002327.db2.gz DWPAHEXJYQROSE-ZDUSSCGKSA-N 0 0 437.478 -0.142 20 0 IBADRN COC(=O)Cn1ncc(NC(=O)C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)c1C ZINC000796966620 1073002205 /nfs/dbraw/zinc/00/22/05/1073002205.db2.gz CXFBWNKJCWVTQE-UHFFFAOYSA-N 0 0 444.448 -0.133 20 0 IBADRN CNC(=O)CN1CCN(C(=O)C2(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000796979154 1073002111 /nfs/dbraw/zinc/00/21/11/1073002111.db2.gz CFSIGMGOMCAJDK-UHFFFAOYSA-N 0 0 446.570 -0.309 20 0 IBADRN C[C@H](C(=O)OCCN(C)S(=O)(=O)N1CCOCC1)S(=O)(=O)C1CCOCC1 ZINC000797004898 1073002768 /nfs/dbraw/zinc/00/27/68/1073002768.db2.gz PSAPEODVUZOOEQ-CYBMUJFWSA-N 0 0 428.529 -0.979 20 0 IBADRN C[C@@H](C(=O)OCCN(C)S(=O)(=O)N1CCOCC1)S(=O)(=O)C1CCOCC1 ZINC000797004900 1073002789 /nfs/dbraw/zinc/00/27/89/1073002789.db2.gz PSAPEODVUZOOEQ-ZDUSSCGKSA-N 0 0 428.529 -0.979 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)OCCN(C)S(=O)(=O)N3CCOCC3)c2C1 ZINC000797005481 1073002724 /nfs/dbraw/zinc/00/27/24/1073002724.db2.gz RTVHXMLJPFCISP-HNNXBMFYSA-N 0 0 443.526 -0.753 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)OCCN(C)S(=O)(=O)N3CCOCC3)c2C1 ZINC000797005497 1073002713 /nfs/dbraw/zinc/00/27/13/1073002713.db2.gz RTVHXMLJPFCISP-OAHLLOKOSA-N 0 0 443.526 -0.753 20 0 IBADRN CNC(=O)NCCC(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000797013888 1073002573 /nfs/dbraw/zinc/00/25/73/1073002573.db2.gz AJCCKUPHSDCTIN-UHFFFAOYSA-N 0 0 442.494 -0.700 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)CC1 ZINC000797090303 1073002634 /nfs/dbraw/zinc/00/26/34/1073002634.db2.gz KZUMFJKPQJLSTN-HNNXBMFYSA-N 0 0 433.575 -0.170 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)CC1 ZINC000797090305 1073002605 /nfs/dbraw/zinc/00/26/05/1073002605.db2.gz KZUMFJKPQJLSTN-OAHLLOKOSA-N 0 0 433.575 -0.170 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@H]2CC[C@H](C(N)=O)O2)(S(C)(=O)=O)CC1 ZINC000797185415 1073003145 /nfs/dbraw/zinc/00/31/45/1073003145.db2.gz VRNGCYUWDQNHAF-CHWSQXEVSA-N 0 0 433.527 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)(S(C)(=O)=O)CC1 ZINC000797185417 1073003013 /nfs/dbraw/zinc/00/30/13/1073003013.db2.gz VRNGCYUWDQNHAF-OLZOCXBDSA-N 0 0 433.527 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)(S(C)(=O)=O)CC1 ZINC000797185419 1073003182 /nfs/dbraw/zinc/00/31/82/1073003182.db2.gz VRNGCYUWDQNHAF-QWHCGFSZSA-N 0 0 433.527 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)(S(C)(=O)=O)CC1 ZINC000797185420 1073003122 /nfs/dbraw/zinc/00/31/22/1073003122.db2.gz VRNGCYUWDQNHAF-STQMWFEESA-N 0 0 433.527 -0.050 20 0 IBADRN O=C(CC1OCCO1)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000797190611 1073003133 /nfs/dbraw/zinc/00/31/33/1073003133.db2.gz DYNVNITZTSUMAN-UHFFFAOYSA-N 0 0 428.463 -0.257 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC000797257923 1073003202 /nfs/dbraw/zinc/00/32/02/1073003202.db2.gz AKZJEHQOFVVVIF-GOSISDBHSA-N 0 0 428.511 -0.640 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC000797257938 1073003513 /nfs/dbraw/zinc/00/35/13/1073003513.db2.gz AKZJEHQOFVVVIF-SFHVURJKSA-N 0 0 428.511 -0.640 20 0 IBADRN O=C(OCCN1CCN(S(=O)(=O)C2CC2)CC1)C1CCC2(CC1)NC(=O)NC2=O ZINC000797258151 1073003417 /nfs/dbraw/zinc/00/34/17/1073003417.db2.gz FGXGWBIHONJBEC-UHFFFAOYSA-N 0 0 428.511 -0.592 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797258544 1073003500 /nfs/dbraw/zinc/00/35/00/1073003500.db2.gz IARXKHNQPUXNTM-UHFFFAOYSA-N 0 0 439.490 -0.202 20 0 IBADRN O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797258863 1073003440 /nfs/dbraw/zinc/00/34/40/1073003440.db2.gz LWXDPGIXSBHDEX-HOTGVXAUSA-N 0 0 427.523 -0.185 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797258865 1073003402 /nfs/dbraw/zinc/00/34/02/1073003402.db2.gz LWXDPGIXSBHDEX-HZPDHXFCSA-N 0 0 427.523 -0.185 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797258866 1073003542 /nfs/dbraw/zinc/00/35/42/1073003542.db2.gz LWXDPGIXSBHDEX-IYBDPMFKSA-N 0 0 427.523 -0.185 20 0 IBADRN COc1ccc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)cc1-n1cnnn1 ZINC000797259217 1073003458 /nfs/dbraw/zinc/00/34/58/1073003458.db2.gz NYEBMEOSOKCZHZ-UHFFFAOYSA-N 0 0 436.494 -0.063 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000797259832 1073003524 /nfs/dbraw/zinc/00/35/24/1073003524.db2.gz SRWPKZIPRXLBQP-UHFFFAOYSA-N 0 0 434.540 -0.468 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797260492 1073003483 /nfs/dbraw/zinc/00/34/83/1073003483.db2.gz DYXMBJNWOFTHTE-UHFFFAOYSA-N 0 0 446.551 -0.597 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797260546 1073003563 /nfs/dbraw/zinc/00/35/63/1073003563.db2.gz YLWWAKJNRLYCGA-UHFFFAOYSA-N 0 0 442.538 -0.106 20 0 IBADRN CN(CC(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1)S(=O)(=O)c1ccccc1 ZINC000797260640 1073003553 /nfs/dbraw/zinc/00/35/53/1073003553.db2.gz ICSSUQOHIFQJKO-UHFFFAOYSA-N 0 0 445.563 -0.040 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)OCCN3CCN(S(=O)(=O)C4CC4)CC3)cnc21 ZINC000797260770 1073003492 /nfs/dbraw/zinc/00/34/92/1073003492.db2.gz KBORYGCZDCUMEJ-UHFFFAOYSA-N 0 0 437.478 -0.699 20 0 IBADRN Cn1cc(-n2cc(C(=O)OCCN3CCN(S(=O)(=O)C4CC4)CC3)ccc2=O)cn1 ZINC000797261113 1073003915 /nfs/dbraw/zinc/00/39/15/1073003915.db2.gz NXJJLUHLISPSMX-UHFFFAOYSA-N 0 0 435.506 -0.162 20 0 IBADRN O=C(CN1CCN(c2ccccn2)CC1)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797261420 1073003977 /nfs/dbraw/zinc/00/39/77/1073003977.db2.gz PSFIMJYTXMKWQV-UHFFFAOYSA-N 0 0 437.566 -0.143 20 0 IBADRN Cn1ncc2c1nc(CCC(=O)OCCN1CCN(S(=O)(=O)C3CC3)CC1)[nH]c2=O ZINC000797261836 1073003769 /nfs/dbraw/zinc/00/37/69/1073003769.db2.gz VVWGCIOMXXAXLE-UHFFFAOYSA-N 0 0 438.510 -0.346 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)C1 ZINC000797261862 1073003430 /nfs/dbraw/zinc/00/34/30/1073003430.db2.gz WMOXWGIIAXULRA-HNNXBMFYSA-N 0 0 437.584 -0.299 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)C1 ZINC000797261865 1073003448 /nfs/dbraw/zinc/00/34/48/1073003448.db2.gz WMOXWGIIAXULRA-OAHLLOKOSA-N 0 0 437.584 -0.299 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000797261878 1073003465 /nfs/dbraw/zinc/00/34/65/1073003465.db2.gz WSPUMYPGOSOOOG-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN O=C(OCCN1CCN(S(=O)(=O)C2CC2)CC1)[C@@H]1COCCN1CC(F)(F)F ZINC000797261898 1073003535 /nfs/dbraw/zinc/00/35/35/1073003535.db2.gz WVNPXVLMCKMWLI-AWEZNQCLSA-N 0 0 429.461 -0.098 20 0 IBADRN O=C(OCCN1CCN(S(=O)(=O)C2CC2)CC1)[C@H]1COCCN1CC(F)(F)F ZINC000797261906 1073003471 /nfs/dbraw/zinc/00/34/71/1073003471.db2.gz WVNPXVLMCKMWLI-CQSZACIVSA-N 0 0 429.461 -0.098 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc2c1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797262743 1073003967 /nfs/dbraw/zinc/00/39/67/1073003967.db2.gz LXRSEROGUUEBNF-UHFFFAOYSA-N 0 0 436.490 -0.245 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000797262964 1073003931 /nfs/dbraw/zinc/00/39/31/1073003931.db2.gz BFWNWHSTXPCZAW-UHFFFAOYSA-N 0 0 437.565 -0.077 20 0 IBADRN C[C@@H](C(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1)S(=O)(=O)C1CCOCC1 ZINC000797262998 1073003862 /nfs/dbraw/zinc/00/38/62/1073003862.db2.gz METPPVXLBHDDEJ-AWEZNQCLSA-N 0 0 438.568 -0.378 20 0 IBADRN C[C@H](C(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1)S(=O)(=O)C1CCOCC1 ZINC000797263006 1073003989 /nfs/dbraw/zinc/00/39/89/1073003989.db2.gz METPPVXLBHDDEJ-CQSZACIVSA-N 0 0 438.568 -0.378 20 0 IBADRN Cc1nc(Cn2cc(C(=O)OCCN3CCN(S(=O)(=O)C4CC4)CC3)nn2)no1 ZINC000797263385 1073003896 /nfs/dbraw/zinc/00/38/96/1073003896.db2.gz PFSVKVRAFGGVOE-UHFFFAOYSA-N 0 0 425.471 -0.716 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797263528 1073003942 /nfs/dbraw/zinc/00/39/42/1073003942.db2.gz RRKSONQSEWDNJP-UHFFFAOYSA-N 0 0 428.511 -0.496 20 0 IBADRN CC1(C)NC(=O)N(CCCC(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC000797263604 1073003777 /nfs/dbraw/zinc/00/37/77/1073003777.db2.gz JQBJMUFXAKOOGV-UHFFFAOYSA-N 0 0 430.527 -0.250 20 0 IBADRN C[C@@H](C(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000797263871 1073003875 /nfs/dbraw/zinc/00/38/75/1073003875.db2.gz UUCHTTAJAGGWMU-AWEZNQCLSA-N 0 0 442.538 -0.108 20 0 IBADRN C[C@H](C(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC000797263873 1073003807 /nfs/dbraw/zinc/00/38/07/1073003807.db2.gz UUCHTTAJAGGWMU-CQSZACIVSA-N 0 0 442.538 -0.108 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)cn1 ZINC000797263941 1073003927 /nfs/dbraw/zinc/00/39/27/1073003927.db2.gz WCUJOEBXKXDTGI-UHFFFAOYSA-N 0 0 446.551 -0.402 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)cn1 ZINC000797264092 1073003815 /nfs/dbraw/zinc/00/38/15/1073003815.db2.gz ZKHFXKCRULGTQL-UHFFFAOYSA-N 0 0 432.524 -0.744 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)co1 ZINC000797265515 1073003788 /nfs/dbraw/zinc/00/37/88/1073003788.db2.gz TXYDTQSXFCCLOD-UHFFFAOYSA-N 0 0 435.524 -0.204 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)OCCN3CCN(S(=O)(=O)C4CC4)CC3)c2C)no1 ZINC000797267839 1073003826 /nfs/dbraw/zinc/00/38/26/1073003826.db2.gz KFBUPHGAVUZNAJ-UHFFFAOYSA-N 0 0 439.498 -0.407 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797268556 1073003951 /nfs/dbraw/zinc/00/39/51/1073003951.db2.gz KZZBQYXFPJLHCB-AWEZNQCLSA-N 0 0 425.573 -0.397 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797268562 1073003846 /nfs/dbraw/zinc/00/38/46/1073003846.db2.gz KZZBQYXFPJLHCB-CQSZACIVSA-N 0 0 425.573 -0.397 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC000797269456 1073004304 /nfs/dbraw/zinc/00/43/04/1073004304.db2.gz OBXNFSAKVOCNRS-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC000797270663 1073004201 /nfs/dbraw/zinc/00/42/01/1073004201.db2.gz STOAXEXLMUSTQU-UHFFFAOYSA-N 0 0 430.527 -0.250 20 0 IBADRN CS(=O)(=O)NCc1ccc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)o1 ZINC000797270803 1073004321 /nfs/dbraw/zinc/00/43/21/1073004321.db2.gz UKGOJSMGOHCEQS-UHFFFAOYSA-N 0 0 435.524 -0.405 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC000797270957 1073004383 /nfs/dbraw/zinc/00/43/83/1073004383.db2.gz VDECFLGEAQFHHH-UHFFFAOYSA-N 0 0 448.567 -0.032 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)n(C)c1 ZINC000797271937 1073004254 /nfs/dbraw/zinc/00/42/54/1073004254.db2.gz XFZUIYLPYMYVMX-UHFFFAOYSA-N 0 0 448.567 -0.458 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000797271943 1073004213 /nfs/dbraw/zinc/00/42/13/1073004213.db2.gz XHQTTYVTXPWHRJ-UHFFFAOYSA-N 0 0 442.538 -0.106 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)c(C)o1 ZINC000797272272 1073004333 /nfs/dbraw/zinc/00/43/33/1073004333.db2.gz YXRUBLWZVCMDPX-UHFFFAOYSA-N 0 0 435.524 -0.237 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)o1 ZINC000797272275 1073004436 /nfs/dbraw/zinc/00/44/36/1073004436.db2.gz ZAEVPNRNHWFTLW-UHFFFAOYSA-N 0 0 435.524 -0.204 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)C(=O)Nc1ccc(F)c(N2CCOC2=O)c1 ZINC000797286230 1073004262 /nfs/dbraw/zinc/00/42/62/1073004262.db2.gz NUNILWHXMVTYMI-UHFFFAOYSA-N 0 0 431.446 -0.331 20 0 IBADRN COc1ccc(C(=O)NCCOC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000797290351 1073004783 /nfs/dbraw/zinc/00/47/83/1073004783.db2.gz OUMZJOPDUMSCEU-UHFFFAOYSA-N 0 0 429.433 -0.194 20 0 IBADRN Cn1c2ncn(CCC(=O)O[C@@H]3CC(=O)N(C(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000797338601 1073005833 /nfs/dbraw/zinc/00/58/33/1073005833.db2.gz UXPGADCVTOMBTN-LLVKDONJSA-N 0 0 435.437 -0.097 20 0 IBADRN Cn1c2ncn(CCC(=O)O[C@H]3CC(=O)N(C(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC000797338602 1073005747 /nfs/dbraw/zinc/00/57/47/1073005747.db2.gz UXPGADCVTOMBTN-NSHDSACASA-N 0 0 435.437 -0.097 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000797360176 1073005705 /nfs/dbraw/zinc/00/57/05/1073005705.db2.gz KLRBYZNXFFZZGC-UHFFFAOYSA-N 0 0 428.898 -0.092 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC2(S(C)(=O)=O)CCC2)cc1 ZINC000797360429 1073005758 /nfs/dbraw/zinc/00/57/58/1073005758.db2.gz MQAPYYBENBSSDO-UHFFFAOYSA-N 0 0 433.508 -0.110 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC1(S(C)(=O)=O)CC1 ZINC000797360699 1073005849 /nfs/dbraw/zinc/00/58/49/1073005849.db2.gz UITJTBPSTQMZSL-UHFFFAOYSA-N 0 0 429.520 -0.481 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC1(S(C)(=O)=O)CCC1 ZINC000797361202 1073005840 /nfs/dbraw/zinc/00/58/40/1073005840.db2.gz PRVTZRZIFVXFAE-UHFFFAOYSA-N 0 0 443.547 -0.091 20 0 IBADRN O=C(NCc1cccnc1F)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000797362547 1073005737 /nfs/dbraw/zinc/00/57/37/1073005737.db2.gz NHYWLQXOYDUFEH-UHFFFAOYSA-N 0 0 435.437 -0.404 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](CNC(=O)OCc2ccccc2)C1)S(N)(=O)=O ZINC000797367294 1073005717 /nfs/dbraw/zinc/00/57/17/1073005717.db2.gz QUCPZVOJLPCMNA-GDBMZVCRSA-N 0 0 440.522 -0.055 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](CNC(=O)OCc2ccccc2)C1)S(N)(=O)=O ZINC000797367295 1073005729 /nfs/dbraw/zinc/00/57/29/1073005729.db2.gz QUCPZVOJLPCMNA-GOEBONIOSA-N 0 0 440.522 -0.055 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](CNC(=O)OCc2ccccc2)C1)S(N)(=O)=O ZINC000797367296 1073005819 /nfs/dbraw/zinc/00/58/19/1073005819.db2.gz QUCPZVOJLPCMNA-HOCLYGCPSA-N 0 0 440.522 -0.055 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](CNC(=O)OCc2ccccc2)C1)S(N)(=O)=O ZINC000797367297 1073005669 /nfs/dbraw/zinc/00/56/69/1073005669.db2.gz QUCPZVOJLPCMNA-ZBFHGGJFSA-N 0 0 440.522 -0.055 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000797371632 1073006316 /nfs/dbraw/zinc/00/63/16/1073006316.db2.gz KGKFBXSRYXIMPA-AWEZNQCLSA-N 0 0 438.485 -0.404 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000797371635 1073006072 /nfs/dbraw/zinc/00/60/72/1073006072.db2.gz KGKFBXSRYXIMPA-CQSZACIVSA-N 0 0 438.485 -0.404 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1 ZINC000797372068 1073005814 /nfs/dbraw/zinc/00/58/14/1073005814.db2.gz SBQNMRPLZWBYCG-AWEZNQCLSA-N 0 0 426.495 -0.001 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1 ZINC000797372071 1073005795 /nfs/dbraw/zinc/00/57/95/1073005795.db2.gz SBQNMRPLZWBYCG-CQSZACIVSA-N 0 0 426.495 -0.001 20 0 IBADRN COC[C@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1 ZINC000797372102 1073005777 /nfs/dbraw/zinc/00/57/77/1073005777.db2.gz SWDHSCSXNGPSPY-AWEZNQCLSA-N 0 0 426.495 -0.001 20 0 IBADRN COC[C@@H](CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1 ZINC000797372104 1073005823 /nfs/dbraw/zinc/00/58/23/1073005823.db2.gz SWDHSCSXNGPSPY-CQSZACIVSA-N 0 0 426.495 -0.001 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)NC[C@](C)(O)CO)cc2Br)CC1 ZINC000797377378 1073006113 /nfs/dbraw/zinc/00/61/13/1073006113.db2.gz DPUOLULXHUJJMY-KRWDZBQOSA-N 0 0 429.315 -0.001 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CO)cc2Br)CC1 ZINC000797377379 1073006194 /nfs/dbraw/zinc/00/61/94/1073006194.db2.gz DPUOLULXHUJJMY-QGZVFWFLSA-N 0 0 429.315 -0.001 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NC[C@](C)(O)CO)cn2)CC1 ZINC000797377409 1073006341 /nfs/dbraw/zinc/00/63/41/1073006341.db2.gz FPWHKOYVXZJJKN-IBGZPJMESA-N 0 0 438.485 -0.668 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NC[C@@](C)(O)CO)cn2)CC1 ZINC000797377412 1073006286 /nfs/dbraw/zinc/00/62/86/1073006286.db2.gz FPWHKOYVXZJJKN-LJQANCHMSA-N 0 0 438.485 -0.668 20 0 IBADRN CCn1ncnc1CNC(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1 ZINC000797377468 1073006183 /nfs/dbraw/zinc/00/61/83/1073006183.db2.gz BUWBOTNSYHTDJR-UHFFFAOYSA-N 0 0 429.481 -0.152 20 0 IBADRN C[C@](O)(CO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1 ZINC000797377569 1073006306 /nfs/dbraw/zinc/00/63/06/1073006306.db2.gz JFNWWRJBJJAJMW-GOSISDBHSA-N 0 0 426.495 -0.265 20 0 IBADRN C[C@@](O)(CO)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1 ZINC000797377572 1073006220 /nfs/dbraw/zinc/00/62/20/1073006220.db2.gz JFNWWRJBJJAJMW-SFHVURJKSA-N 0 0 426.495 -0.265 20 0 IBADRN C[C@](O)(CO)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1 ZINC000797377885 1073006231 /nfs/dbraw/zinc/00/62/31/1073006231.db2.gz VHYNARRCZZFXJG-GOSISDBHSA-N 0 0 426.495 -0.265 20 0 IBADRN C[C@@](O)(CO)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)NC2=NCCCCC2)cc1 ZINC000797377886 1073006242 /nfs/dbraw/zinc/00/62/42/1073006242.db2.gz VHYNARRCZZFXJG-SFHVURJKSA-N 0 0 426.495 -0.265 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c2ccccc2O1 ZINC000797378270 1073006297 /nfs/dbraw/zinc/00/62/97/1073006297.db2.gz NYRKYEUVUFPFPD-CQURBHOASA-N 0 0 432.429 -0.008 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)c2ccccc2O1 ZINC000797378272 1073006254 /nfs/dbraw/zinc/00/62/54/1073006254.db2.gz NYRKYEUVUFPFPD-UYTHQXMGSA-N 0 0 432.429 -0.008 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(N4CCNC(=O)C4)cc3)C[C@H]1COCC2 ZINC000797378642 1073006334 /nfs/dbraw/zinc/00/63/34/1073006334.db2.gz LBNCGBZKFJDCKK-LHSJRXKWSA-N 0 0 430.461 -0.401 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)cc1 ZINC000797378652 1073006325 /nfs/dbraw/zinc/00/63/25/1073006325.db2.gz MNSPXJMDJDNDDT-KPZWWZAWSA-N 0 0 425.463 -0.429 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)C[C@H]1COCC2 ZINC000797378685 1073006271 /nfs/dbraw/zinc/00/62/71/1073006271.db2.gz XEOPLKKNXAIFQA-LHSJRXKWSA-N 0 0 444.444 -0.285 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)N3CC(CC(N)=O)C3)cn2)CC1 ZINC000797379923 1073006172 /nfs/dbraw/zinc/00/61/72/1073006172.db2.gz CLPWXVFUVYMULH-UHFFFAOYSA-N 0 0 447.496 -0.194 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N(CCO)Cc3cccnc3Cl)cnc2n(C)c1=O ZINC000797386899 1073006160 /nfs/dbraw/zinc/00/61/60/1073006160.db2.gz YBAHYWJDKNRNAV-UHFFFAOYSA-N 0 0 446.851 -0.360 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@](C)(C(N)=O)C3)cc2)CC1 ZINC000797388091 1073006737 /nfs/dbraw/zinc/00/67/37/1073006737.db2.gz NFROFBGCQAXCMM-NRFANRHFSA-N 0 0 431.493 -0.356 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@](C)(C(N)=O)C3)cc2)CC1 ZINC000797388092 1073006583 /nfs/dbraw/zinc/00/65/83/1073006583.db2.gz NFROFBGCQAXCMM-OAQYLSRUSA-N 0 0 431.493 -0.356 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(CCO)[C@H]3CCO[C@@H]3C)cc2)CC1 ZINC000797391304 1073006553 /nfs/dbraw/zinc/00/65/53/1073006553.db2.gz IDVUICPMWFXGFK-APWZRJJASA-N 0 0 448.520 -0.082 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(CCO)[C@H]3CCO[C@H]3C)cc2)CC1 ZINC000797391306 1073006620 /nfs/dbraw/zinc/00/66/20/1073006620.db2.gz IDVUICPMWFXGFK-LPHOPBHVSA-N 0 0 448.520 -0.082 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(CCO)[C@@H]3CCO[C@H]3C)cc2)CC1 ZINC000797391307 1073006706 /nfs/dbraw/zinc/00/67/06/1073006706.db2.gz IDVUICPMWFXGFK-QFBILLFUSA-N 0 0 448.520 -0.082 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N(CCO)[C@@H]3CCO[C@@H]3C)cc2)CC1 ZINC000797391309 1073006530 /nfs/dbraw/zinc/00/65/30/1073006530.db2.gz IDVUICPMWFXGFK-VQIMIIECSA-N 0 0 448.520 -0.082 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cc2)CC1 ZINC000797392877 1073006686 /nfs/dbraw/zinc/00/66/86/1073006686.db2.gz JJDDNJXKHQPANK-UHFFFAOYSA-N 0 0 441.435 -0.582 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@H](CO)[C@H]3CCOC3)cc2)CC1 ZINC000797392970 1073006652 /nfs/dbraw/zinc/00/66/52/1073006652.db2.gz SMFTWTJUFJSRFF-DLBZAZTESA-N 0 0 448.520 -0.319 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@H](CO)[C@@H]3CCOC3)cc2)CC1 ZINC000797392971 1073006561 /nfs/dbraw/zinc/00/65/61/1073006561.db2.gz SMFTWTJUFJSRFF-IAGOWNOFSA-N 0 0 448.520 -0.319 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](CO)[C@H]3CCOC3)cc2)CC1 ZINC000797392995 1073006672 /nfs/dbraw/zinc/00/66/72/1073006672.db2.gz SMFTWTJUFJSRFF-IRXDYDNUSA-N 0 0 448.520 -0.319 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](CO)[C@@H]3CCOC3)cc2)CC1 ZINC000797392996 1073006639 /nfs/dbraw/zinc/00/66/39/1073006639.db2.gz SMFTWTJUFJSRFF-SJORKVTESA-N 0 0 448.520 -0.319 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H](CO)[C@@H]1CCOC1 ZINC000797393156 1073006574 /nfs/dbraw/zinc/00/65/74/1073006574.db2.gz WADORBLDTQIFHX-CABCVRRESA-N 0 0 425.507 -0.413 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@@H](CO)[C@H]1CCOC1 ZINC000797393157 1073006666 /nfs/dbraw/zinc/00/66/66/1073006666.db2.gz WADORBLDTQIFHX-GJZGRUSLSA-N 0 0 425.507 -0.413 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H](CO)[C@@H]1CCOC1 ZINC000797393158 1073006538 /nfs/dbraw/zinc/00/65/38/1073006538.db2.gz WADORBLDTQIFHX-HUUCEWRRSA-N 0 0 425.507 -0.413 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC[C@H](CO)[C@H]1CCOC1 ZINC000797393159 1073006632 /nfs/dbraw/zinc/00/66/32/1073006632.db2.gz WADORBLDTQIFHX-LSDHHAIUSA-N 0 0 425.507 -0.413 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)N2C[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]3C2)n1 ZINC000797394925 1073006597 /nfs/dbraw/zinc/00/65/97/1073006597.db2.gz KCODLVVHOQNREK-BETUJISGSA-N 0 0 435.485 -0.370 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)CC1 ZINC000797447604 1073007190 /nfs/dbraw/zinc/00/71/90/1073007190.db2.gz HBHOTKOAWDDYLE-UHFFFAOYSA-N 0 0 427.435 -0.578 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797514355 1073006972 /nfs/dbraw/zinc/00/69/72/1073006972.db2.gz CLWIWQPJWINAKO-ADLMAVQZSA-N 0 0 428.463 -0.338 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797514356 1073007167 /nfs/dbraw/zinc/00/71/67/1073007167.db2.gz CLWIWQPJWINAKO-BBATYDOGSA-N 0 0 428.463 -0.338 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797514357 1073007002 /nfs/dbraw/zinc/00/70/02/1073007002.db2.gz CLWIWQPJWINAKO-VOJFVSQTSA-N 0 0 428.463 -0.338 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797514358 1073007022 /nfs/dbraw/zinc/00/70/22/1073007022.db2.gz CLWIWQPJWINAKO-ZMZPIMSZSA-N 0 0 428.463 -0.338 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)O[C@H](C)C(=O)N1CCNC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797515625 1073007206 /nfs/dbraw/zinc/00/72/06/1073007206.db2.gz SYIRDUAXYOKXPX-ADLMAVQZSA-N 0 0 425.463 -0.075 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)O[C@@H](C)C(=O)N1CCNC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797515629 1073007057 /nfs/dbraw/zinc/00/70/57/1073007057.db2.gz SYIRDUAXYOKXPX-BBATYDOGSA-N 0 0 425.463 -0.075 20 0 IBADRN CC(=O)N[C@](C)(C(=O)O[C@@H](C)C(=O)N1CCNC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797515631 1073007390 /nfs/dbraw/zinc/00/73/90/1073007390.db2.gz SYIRDUAXYOKXPX-VOJFVSQTSA-N 0 0 425.463 -0.075 20 0 IBADRN CC(=O)N[C@](C)(C(=O)O[C@H](C)C(=O)N1CCNC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797515637 1073007398 /nfs/dbraw/zinc/00/73/98/1073007398.db2.gz SYIRDUAXYOKXPX-ZMZPIMSZSA-N 0 0 425.463 -0.075 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)OCC(=O)NC(=O)NC1CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000797516181 1073007034 /nfs/dbraw/zinc/00/70/34/1073007034.db2.gz ZFOOLFBMRSZWFD-GOSISDBHSA-N 0 0 425.463 -0.027 20 0 IBADRN CC(=O)N[C@](C)(C(=O)OCC(=O)NC(=O)NC1CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000797516182 1073007092 /nfs/dbraw/zinc/00/70/92/1073007092.db2.gz ZFOOLFBMRSZWFD-SFHVURJKSA-N 0 0 425.463 -0.027 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000797530320 1073007609 /nfs/dbraw/zinc/00/76/09/1073007609.db2.gz BDRAIGBWLIWHGO-UHFFFAOYSA-N 0 0 443.504 -0.280 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000797531326 1073007516 /nfs/dbraw/zinc/00/75/16/1073007516.db2.gz QWZNAPJTJURIOL-UHFFFAOYSA-N 0 0 445.520 -0.034 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000797531836 1073007491 /nfs/dbraw/zinc/00/74/91/1073007491.db2.gz FZWYUNCDCXQTGY-UHFFFAOYSA-N 0 0 439.538 -0.279 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)Nc2cc(N3CCOCC3)ccc2C)CC1 ZINC000797531975 1073007588 /nfs/dbraw/zinc/00/75/88/1073007588.db2.gz BOBKSRHXCYZXCR-UHFFFAOYSA-N 0 0 445.520 -0.033 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000797532415 1073007457 /nfs/dbraw/zinc/00/74/57/1073007457.db2.gz KPJHEWRTAQSQRS-UHFFFAOYSA-N 0 0 440.522 -0.452 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(N2CCOCC2)ccc1C ZINC000797533898 1073007424 /nfs/dbraw/zinc/00/74/24/1073007424.db2.gz RRRAOMKQFKIDIX-KRWDZBQOSA-N 0 0 431.493 -0.377 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(N2CCOCC2)ccc1C ZINC000797533906 1073007552 /nfs/dbraw/zinc/00/75/52/1073007552.db2.gz RRRAOMKQFKIDIX-QGZVFWFLSA-N 0 0 431.493 -0.377 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(N3CCOCC3)ccc2C)CC1 ZINC000797534093 1073007598 /nfs/dbraw/zinc/00/75/98/1073007598.db2.gz LMKFFQOVRJNNPS-UHFFFAOYSA-N 0 0 447.536 -0.323 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000797534876 1073007503 /nfs/dbraw/zinc/00/75/03/1073007503.db2.gz SJWKYGOLRBOCBH-UHFFFAOYSA-N 0 0 439.538 -0.797 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000797535056 1073007573 /nfs/dbraw/zinc/00/75/73/1073007573.db2.gz STPQOVSKBBJEHR-UHFFFAOYSA-N 0 0 442.480 -0.872 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC000797537261 1073007562 /nfs/dbraw/zinc/00/75/62/1073007562.db2.gz FYJMIYVYHOVION-INIZCTEOSA-N 0 0 425.507 -0.222 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC000797537262 1073007414 /nfs/dbraw/zinc/00/74/14/1073007414.db2.gz FYJMIYVYHOVION-MRXNPFEDSA-N 0 0 425.507 -0.222 20 0 IBADRN Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)NCCNS(=O)(=O)NC(C)C ZINC000797539870 1073007470 /nfs/dbraw/zinc/00/74/70/1073007470.db2.gz KOUDESHOAPUSLD-UHFFFAOYSA-N 0 0 427.527 -0.281 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1 ZINC000797540922 1073007446 /nfs/dbraw/zinc/00/74/46/1073007446.db2.gz GEYSCSRGSFSWSC-JOCHJYFZSA-N 0 0 445.520 -0.095 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1 ZINC000797540923 1073007483 /nfs/dbraw/zinc/00/74/83/1073007483.db2.gz GEYSCSRGSFSWSC-QFIPXVFZSA-N 0 0 445.520 -0.095 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCc2ccccc2S(=O)(=O)N2CCOCC2)C1=O ZINC000797549823 1073007404 /nfs/dbraw/zinc/00/74/04/1073007404.db2.gz SYKFVBVRIYDHEB-UHFFFAOYSA-N 0 0 425.419 -0.829 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCc2ccccc2S(=O)(=O)N2CCOCC2)nn1 ZINC000797550713 1073007434 /nfs/dbraw/zinc/00/74/34/1073007434.db2.gz WJYZRDXNTHCFNW-UHFFFAOYSA-N 0 0 437.478 -0.256 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCc1ccccc1S(=O)(=O)N1CCOCC1)c2=O ZINC000797553495 1073007863 /nfs/dbraw/zinc/00/78/63/1073007863.db2.gz WYWMHKWZGGUCPQ-UHFFFAOYSA-N 0 0 447.473 -0.106 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000797565452 1073008072 /nfs/dbraw/zinc/00/80/72/1073008072.db2.gz QAIIEEGJHRLHKR-UHFFFAOYSA-N 0 0 447.535 -0.334 20 0 IBADRN CCN1CCN(CC(=O)OCc2ccccc2S(=O)(=O)N2CCOCC2)C(=O)C1=O ZINC000797565805 1073008088 /nfs/dbraw/zinc/00/80/88/1073008088.db2.gz LBPXBYCZFKXCCU-UHFFFAOYSA-N 0 0 439.490 -0.559 20 0 IBADRN Cn1cc(/C=C/C(=O)OCc2ccccc2S(=O)(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000797566151 1073008010 /nfs/dbraw/zinc/00/80/10/1073008010.db2.gz ABSGXZMINBXAKE-BQYQJAHWSA-N 0 0 449.485 -0.139 20 0 IBADRN Cn1cc(/C=C\C(=O)OCc2ccccc2S(=O)(=O)N2CCOCC2)c(=O)n(C)c1=O ZINC000797566152 1073007973 /nfs/dbraw/zinc/00/79/73/1073007973.db2.gz ABSGXZMINBXAKE-FPLPWBNLSA-N 0 0 449.485 -0.139 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797582734 1073008023 /nfs/dbraw/zinc/00/80/23/1073008023.db2.gz MKIHXKNVTUJFFB-IBGZPJMESA-N 0 0 437.474 -0.304 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)c1cn(C)c(=O)n(C)c1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797582738 1073007846 /nfs/dbraw/zinc/00/78/46/1073007846.db2.gz MKIHXKNVTUJFFB-LJQANCHMSA-N 0 0 437.474 -0.304 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000797631270 1073008058 /nfs/dbraw/zinc/00/80/58/1073008058.db2.gz LMWBTRMMIZIMTG-UHFFFAOYSA-N 0 0 439.425 -0.662 20 0 IBADRN COC(=O)[C@]12CN(C(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)C[C@H]1COCC2 ZINC000797638202 1073007870 /nfs/dbraw/zinc/00/78/70/1073007870.db2.gz KVQAPNRDDIETPV-SCLBCKFNSA-N 0 0 427.479 -0.064 20 0 IBADRN CN(C)c1ccnc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000797640079 1073007927 /nfs/dbraw/zinc/00/79/27/1073007927.db2.gz GMOHVUACNRFPMM-GFCCVEGCSA-N 0 0 432.528 -0.791 20 0 IBADRN CN(C)c1ccnc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000797640080 1073008045 /nfs/dbraw/zinc/00/80/45/1073008045.db2.gz GMOHVUACNRFPMM-LBPRGKRZSA-N 0 0 432.528 -0.791 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)nc1 ZINC000797654226 1073007986 /nfs/dbraw/zinc/00/79/86/1073007986.db2.gz WKPYCJVCCNJDNM-UHFFFAOYSA-N 0 0 433.556 -0.038 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC000797675419 1073007939 /nfs/dbraw/zinc/00/79/39/1073007939.db2.gz UFTHICYTSLCLEL-UHFFFAOYSA-N 0 0 447.539 -0.350 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(CC[S@](C)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000797732838 1073008039 /nfs/dbraw/zinc/00/80/39/1073008039.db2.gz SDNWWYGLKWBRJH-GDJIYFAZSA-N 0 0 443.591 -0.036 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(CC[S@@](C)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000797732839 1073007914 /nfs/dbraw/zinc/00/79/14/1073007914.db2.gz SDNWWYGLKWBRJH-HMILPKGGSA-N 0 0 443.591 -0.036 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(CC[S@](C)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000797732840 1073007962 /nfs/dbraw/zinc/00/79/62/1073007962.db2.gz SDNWWYGLKWBRJH-VKGTZQKMSA-N 0 0 443.591 -0.036 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(CC[S@@](C)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000797732841 1073007968 /nfs/dbraw/zinc/00/79/68/1073007968.db2.gz SDNWWYGLKWBRJH-WHLCRQNOSA-N 0 0 443.591 -0.036 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2CN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C[C@H]2C1 ZINC000797764226 1073008346 /nfs/dbraw/zinc/00/83/46/1073008346.db2.gz DQBAHPASBVGULT-TXEJJXNPSA-N 0 0 441.510 -0.283 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2CN(C(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C[C@H]2C1 ZINC000797764805 1073008418 /nfs/dbraw/zinc/00/84/18/1073008418.db2.gz MARGVFVZZKCHHT-TXEJJXNPSA-N 0 0 442.494 -0.018 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)CCn1cc[nH]c(=O)c1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797770588 1073008476 /nfs/dbraw/zinc/00/84/76/1073008476.db2.gz QXJVIVAMPFKQCG-IBGZPJMESA-N 0 0 437.474 -0.075 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)CCn1cc[nH]c(=O)c1=O)c1ccc(S(C)(=O)=O)cc1 ZINC000797770590 1073008334 /nfs/dbraw/zinc/00/83/34/1073008334.db2.gz QXJVIVAMPFKQCG-LJQANCHMSA-N 0 0 437.474 -0.075 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)C1=NN(CCO)C(=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000797770970 1073008411 /nfs/dbraw/zinc/00/84/11/1073008411.db2.gz VMYOYZXTFUMRPD-IBGZPJMESA-N 0 0 439.490 -0.045 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)C1=NN(CCO)C(=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC000797770971 1073008429 /nfs/dbraw/zinc/00/84/29/1073008429.db2.gz VMYOYZXTFUMRPD-LJQANCHMSA-N 0 0 439.490 -0.045 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1Cn1c(Cl)nc2c1c(=O)n(C)c(=O)n2C ZINC000797802544 1073008458 /nfs/dbraw/zinc/00/84/58/1073008458.db2.gz LOYAAWCEIVAFBR-UHFFFAOYSA-N 0 0 428.902 -0.007 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2ccc(OCC(=O)NC(N)=O)cc2C)CC1 ZINC000797819072 1073008452 /nfs/dbraw/zinc/00/84/52/1073008452.db2.gz CSRQUZAOYYIZOA-UHFFFAOYSA-N 0 0 443.482 0.000 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2cccc(NC(=O)NCC(N)=O)c2)CC1 ZINC000797819489 1073008403 /nfs/dbraw/zinc/00/84/03/1073008403.db2.gz OPORUOYJRARGOT-UHFFFAOYSA-N 0 0 428.471 -0.276 20 0 IBADRN COC(=O)[C@H]1CC[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000797833296 1073008396 /nfs/dbraw/zinc/00/83/96/1073008396.db2.gz JDMYASDZPRVQIH-KKUMJFAQSA-N 0 0 436.552 -0.373 20 0 IBADRN COC(=O)[C@H]1CC[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000797833297 1073008318 /nfs/dbraw/zinc/00/83/18/1073008318.db2.gz JDMYASDZPRVQIH-RBSFLKMASA-N 0 0 436.552 -0.373 20 0 IBADRN Cc1nc2c(nccc2C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)[nH]1 ZINC000797833637 1073008389 /nfs/dbraw/zinc/00/83/89/1073008389.db2.gz ODNDTUDVTXCHNV-GFCCVEGCSA-N 0 0 427.508 -0.459 20 0 IBADRN Cc1nc2c(nccc2C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)[nH]1 ZINC000797833639 1073008444 /nfs/dbraw/zinc/00/84/44/1073008444.db2.gz ODNDTUDVTXCHNV-LBPRGKRZSA-N 0 0 427.508 -0.459 20 0 IBADRN CCOc1cccc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000797833685 1073008375 /nfs/dbraw/zinc/00/83/75/1073008375.db2.gz QJJWRTQYYWRJOE-HNNXBMFYSA-N 0 0 431.536 -0.316 20 0 IBADRN CCOc1cccc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000797833686 1073008363 /nfs/dbraw/zinc/00/83/63/1073008363.db2.gz QJJWRTQYYWRJOE-OAHLLOKOSA-N 0 0 431.536 -0.316 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCC(=O)NCCc2ccccc2OC)CC1 ZINC000797843773 1073008307 /nfs/dbraw/zinc/00/83/07/1073008307.db2.gz SIBYTKFQAGGUPO-UHFFFAOYSA-N 0 0 428.511 -0.038 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000797853563 1073008354 /nfs/dbraw/zinc/00/83/54/1073008354.db2.gz MHFHKEAXLTZKSU-UHFFFAOYSA-N 0 0 443.507 -0.443 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1COC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000797859348 1073008584 /nfs/dbraw/zinc/00/85/84/1073008584.db2.gz AUOCGLGGIVHEKZ-UHFFFAOYSA-N 0 0 449.489 -0.153 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1COC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000797859445 1073008437 /nfs/dbraw/zinc/00/84/37/1073008437.db2.gz FQTOTOKTNIJJPE-UHFFFAOYSA-N 0 0 449.489 -0.153 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1COC(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC000797862924 1073008641 /nfs/dbraw/zinc/00/86/41/1073008641.db2.gz OWJKODDUDFHFQH-UHFFFAOYSA-N 0 0 447.539 -0.392 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1COC(=O)[C@@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000797866852 1073008663 /nfs/dbraw/zinc/00/86/63/1073008663.db2.gz IAZATHIEKGAZJZ-GFCCVEGCSA-N 0 0 447.539 -0.312 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1COC(=O)[C@H](C)NS(=O)(=O)c1cnn(C)c1 ZINC000797866853 1073008704 /nfs/dbraw/zinc/00/87/04/1073008704.db2.gz IAZATHIEKGAZJZ-LBPRGKRZSA-N 0 0 447.539 -0.312 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1COC(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000797866927 1073008624 /nfs/dbraw/zinc/00/86/24/1073008624.db2.gz KSTMXVCOTMCBFB-UHFFFAOYSA-N 0 0 433.512 -0.700 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)C3(CO)COC3)cc2)C[C@H](C)O1 ZINC000797876823 1073008740 /nfs/dbraw/zinc/00/87/40/1073008740.db2.gz IFOCHCFVPGKFIF-KBPBESRZSA-N 0 0 442.490 -0.025 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)C3(CO)COC3)cc2)C[C@H](C)O1 ZINC000797876824 1073008797 /nfs/dbraw/zinc/00/87/97/1073008797.db2.gz IFOCHCFVPGKFIF-OKILXGFUSA-N 0 0 442.490 -0.025 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)COC(=O)C3(CO)COC3)cc2)C[C@@H](C)O1 ZINC000797876825 1073008771 /nfs/dbraw/zinc/00/87/71/1073008771.db2.gz IFOCHCFVPGKFIF-ZIAGYGMSSA-N 0 0 442.490 -0.025 20 0 IBADRN CCN(C(=O)COC(=O)C1(CO)COC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000797877429 1073008840 /nfs/dbraw/zinc/00/88/40/1073008840.db2.gz SGZDNNSKMPMQMX-UHFFFAOYSA-N 0 0 432.433 -0.516 20 0 IBADRN O=C(COC(=O)C1(CO)COC1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000797877544 1073008811 /nfs/dbraw/zinc/00/88/11/1073008811.db2.gz WFDBDKMAGUMPNP-UHFFFAOYSA-N 0 0 432.882 -0.275 20 0 IBADRN O=C(COC(=O)C1(CO)COC1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000797877893 1073008567 /nfs/dbraw/zinc/00/85/67/1073008567.db2.gz GBZFLOZRNVASKA-UHFFFAOYSA-N 0 0 438.911 -0.214 20 0 IBADRN C[C@@H](OC(=O)C1(CO)COC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000797878032 1073008826 /nfs/dbraw/zinc/00/88/26/1073008826.db2.gz JURLEARFXVNHEF-CYBMUJFWSA-N 0 0 428.463 -0.413 20 0 IBADRN C[C@H](OC(=O)C1(CO)COC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000797878033 1073008593 /nfs/dbraw/zinc/00/85/93/1073008593.db2.gz JURLEARFXVNHEF-ZDUSSCGKSA-N 0 0 428.463 -0.413 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)OC(=O)C3(CO)COC3)CC2)cc1 ZINC000797878984 1073008554 /nfs/dbraw/zinc/00/85/54/1073008554.db2.gz CHPQUYZVPLRWNX-HNNXBMFYSA-N 0 0 426.491 -0.231 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)OC(=O)C3(CO)COC3)CC2)cc1 ZINC000797878999 1073008783 /nfs/dbraw/zinc/00/87/83/1073008783.db2.gz CHPQUYZVPLRWNX-OAHLLOKOSA-N 0 0 426.491 -0.231 20 0 IBADRN CCOc1cccc(CC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000797912996 1073008525 /nfs/dbraw/zinc/00/85/25/1073008525.db2.gz VGMUJHDZMUXQOK-INIZCTEOSA-N 0 0 425.507 -0.103 20 0 IBADRN CCOc1cccc(CC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000797912999 1073008610 /nfs/dbraw/zinc/00/86/10/1073008610.db2.gz VGMUJHDZMUXQOK-MRXNPFEDSA-N 0 0 425.507 -0.103 20 0 IBADRN COC(=O)[C@H]1CC[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000797927894 1073008755 /nfs/dbraw/zinc/00/87/55/1073008755.db2.gz RVQNQTMDUJQKLX-BZUAXINKSA-N 0 0 430.523 -0.160 20 0 IBADRN COC(=O)[C@H]1CC[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000797927895 1073008680 /nfs/dbraw/zinc/00/86/80/1073008680.db2.gz RVQNQTMDUJQKLX-JYJNAYRXSA-N 0 0 430.523 -0.160 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000797941769 1073009021 /nfs/dbraw/zinc/00/90/21/1073009021.db2.gz BHRAEMQVJIOKRM-UHFFFAOYSA-N 0 0 427.571 -0.479 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000797941943 1073009264 /nfs/dbraw/zinc/00/92/64/1073009264.db2.gz HTZQUTKAHGDETG-UHFFFAOYSA-N 0 0 441.554 -0.952 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000797944559 1073009007 /nfs/dbraw/zinc/00/90/07/1073009007.db2.gz ZWQWFUKHVDXIIS-UHFFFAOYSA-N 0 0 427.571 -0.479 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000797944938 1073009091 /nfs/dbraw/zinc/00/90/91/1073009091.db2.gz CQUOUXUXTIIODG-UHFFFAOYSA-N 0 0 449.599 -0.761 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000797947093 1073009201 /nfs/dbraw/zinc/00/92/01/1073009201.db2.gz MGTQCFQIGZOJOI-UHFFFAOYSA-N 0 0 425.555 -0.189 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000797959173 1073009252 /nfs/dbraw/zinc/00/92/52/1073009252.db2.gz DAWXFTRFKLBWGC-UHFFFAOYSA-N 0 0 439.538 -0.662 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(Cc3nnc4n3CCOC4)C2)CC1 ZINC000797973518 1073009177 /nfs/dbraw/zinc/00/91/77/1073009177.db2.gz FEROEZPKFCMYFI-UHFFFAOYSA-N 0 0 442.542 -0.125 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000797978593 1073009060 /nfs/dbraw/zinc/00/90/60/1073009060.db2.gz DBZUQJGBIAJNEL-INIZCTEOSA-N 0 0 430.527 -0.575 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC000797978594 1073009107 /nfs/dbraw/zinc/00/91/07/1073009107.db2.gz DBZUQJGBIAJNEL-MRXNPFEDSA-N 0 0 430.527 -0.575 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCN(c2c[nH]c(=O)nc2N)CC1 ZINC000797995346 1073009585 /nfs/dbraw/zinc/00/95/85/1073009585.db2.gz CQIVWWRXJKNZLN-CYBMUJFWSA-N 0 0 449.512 -0.071 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCN(c2c[nH]c(=O)nc2N)CC1 ZINC000797995347 1073009501 /nfs/dbraw/zinc/00/95/01/1073009501.db2.gz CQIVWWRXJKNZLN-ZDUSSCGKSA-N 0 0 449.512 -0.071 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)c1=O ZINC000797995364 1073009126 /nfs/dbraw/zinc/00/91/26/1073009126.db2.gz DDKZCYOTDRXSER-UHFFFAOYSA-N 0 0 425.449 -0.390 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)C1=O ZINC000797997707 1073009566 /nfs/dbraw/zinc/00/95/66/1073009566.db2.gz PAYRBIJUNJIZHI-FQEVSTJZSA-N 0 0 425.449 -0.120 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(c3c[nH]c(=O)nc3N)CC2)C1=O ZINC000797997708 1073009540 /nfs/dbraw/zinc/00/95/40/1073009540.db2.gz PAYRBIJUNJIZHI-HXUWFJFHSA-N 0 0 425.449 -0.120 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)CNC(C)=O ZINC000798006380 1073009766 /nfs/dbraw/zinc/00/97/66/1073009766.db2.gz KDUZHPZOQBECCN-UHFFFAOYSA-N 0 0 429.451 -0.666 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CNC(C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000798007578 1073009513 /nfs/dbraw/zinc/00/95/13/1073009513.db2.gz VTJYNTDXFIWBMO-UHFFFAOYSA-N 0 0 429.451 -0.666 20 0 IBADRN CC(=O)NCC(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000798011406 1073009683 /nfs/dbraw/zinc/00/96/83/1073009683.db2.gz TZJUNQVPIFHTBG-UHFFFAOYSA-N 0 0 433.870 -0.021 20 0 IBADRN CC(=O)NCC(=O)OCC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000798012617 1073009612 /nfs/dbraw/zinc/00/96/12/1073009612.db2.gz ZAXQVNZYPYMKEN-UHFFFAOYSA-N 0 0 433.870 -0.021 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1C ZINC000798035604 1073009551 /nfs/dbraw/zinc/00/95/51/1073009551.db2.gz KGXLEPOSNHLPMC-UHFFFAOYSA-N 0 0 428.467 -0.110 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000798038535 1073009482 /nfs/dbraw/zinc/00/94/82/1073009482.db2.gz ZIQFOUXDIJPBJZ-UHFFFAOYSA-N 0 0 441.510 -0.195 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1C ZINC000798039510 1073009607 /nfs/dbraw/zinc/00/96/07/1073009607.db2.gz IQDLFFGCVPXFRX-UHFFFAOYSA-N 0 0 427.483 -0.455 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1C ZINC000798040566 1073009490 /nfs/dbraw/zinc/00/94/90/1073009490.db2.gz RZXYLFUBYQMJQP-UHFFFAOYSA-N 0 0 430.425 -0.531 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000798059697 1073009528 /nfs/dbraw/zinc/00/95/28/1073009528.db2.gz NRSIFUVPIIRPPH-UHFFFAOYSA-N 0 0 428.511 -0.685 20 0 IBADRN CN(CCNC(=O)NCCOCCS(C)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000798067342 1073009595 /nfs/dbraw/zinc/00/95/95/1073009595.db2.gz HAFVUOZPEABIMI-UHFFFAOYSA-N 0 0 425.504 -0.193 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCNC2=O)cc1OCC ZINC000798106114 1073009576 /nfs/dbraw/zinc/00/95/76/1073009576.db2.gz SJFUDLVDSMHRQY-UHFFFAOYSA-N 0 0 429.451 -0.143 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000798118748 1073009753 /nfs/dbraw/zinc/00/97/53/1073009753.db2.gz DRMBUVWWUZCZLU-OBGWFSINSA-N 0 0 439.476 -0.491 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000798118749 1073009476 /nfs/dbraw/zinc/00/94/76/1073009476.db2.gz DRMBUVWWUZCZLU-SDXDJHTJSA-N 0 0 439.476 -0.491 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C2=NN(c3ccccc3)C(=O)CC2)CC1)N1CCOCC1 ZINC000798118818 1073009741 /nfs/dbraw/zinc/00/97/41/1073009741.db2.gz FVASYPWKUISBEH-UHFFFAOYSA-N 0 0 427.461 -0.301 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000798120378 1073010003 /nfs/dbraw/zinc/01/00/03/1073010003.db2.gz SNRQOQUPLAIJTP-CVEARBPZSA-N 0 0 448.501 -0.780 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000798120379 1073010170 /nfs/dbraw/zinc/01/01/70/1073010170.db2.gz SNRQOQUPLAIJTP-HOTGVXAUSA-N 0 0 448.501 -0.780 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000798120380 1073010209 /nfs/dbraw/zinc/01/02/09/1073010209.db2.gz SNRQOQUPLAIJTP-HZPDHXFCSA-N 0 0 448.501 -0.780 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3s2)CC1)N1CCOCC1 ZINC000798120381 1073010016 /nfs/dbraw/zinc/01/00/16/1073010016.db2.gz SNRQOQUPLAIJTP-JKSUJKDBSA-N 0 0 448.501 -0.780 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000798135308 1073010157 /nfs/dbraw/zinc/01/01/57/1073010157.db2.gz PUHOSKBAQYUJRO-HNNXBMFYSA-N 0 0 426.474 -0.710 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000798135309 1073010147 /nfs/dbraw/zinc/01/01/47/1073010147.db2.gz PUHOSKBAQYUJRO-OAHLLOKOSA-N 0 0 426.474 -0.710 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC000798135516 1073010010 /nfs/dbraw/zinc/01/00/10/1073010010.db2.gz XFMSZRZIWILPBA-IBGZPJMESA-N 0 0 437.522 -0.193 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC000798135517 1073010196 /nfs/dbraw/zinc/01/01/96/1073010196.db2.gz XFMSZRZIWILPBA-LJQANCHMSA-N 0 0 437.522 -0.193 20 0 IBADRN CCOC(=O)[C@@H]1CSCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000798138804 1073010219 /nfs/dbraw/zinc/01/02/19/1073010219.db2.gz MEMWOSLWVJSBIO-AWEZNQCLSA-N 0 0 427.504 -0.115 20 0 IBADRN CCOC(=O)[C@H]1CSCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000798138805 1073010248 /nfs/dbraw/zinc/01/02/48/1073010248.db2.gz MEMWOSLWVJSBIO-CQSZACIVSA-N 0 0 427.504 -0.115 20 0 IBADRN CCOC(=O)[C@@H]1CSCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000798139130 1073010231 /nfs/dbraw/zinc/01/02/31/1073010231.db2.gz XAHOXQIIRGEGDV-AWEZNQCLSA-N 0 0 427.504 -0.115 20 0 IBADRN CCOC(=O)[C@H]1CSCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000798139132 1073009982 /nfs/dbraw/zinc/00/99/82/1073009982.db2.gz XAHOXQIIRGEGDV-CQSZACIVSA-N 0 0 427.504 -0.115 20 0 IBADRN CNS(=O)(=O)Nc1ccc(NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC000798143931 1073010079 /nfs/dbraw/zinc/01/00/79/1073010079.db2.gz OTCXRBPUCLTXEQ-CYBMUJFWSA-N 0 0 443.507 -0.333 20 0 IBADRN CNS(=O)(=O)Nc1ccc(NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC000798143932 1073009995 /nfs/dbraw/zinc/00/99/95/1073009995.db2.gz OTCXRBPUCLTXEQ-ZDUSSCGKSA-N 0 0 443.507 -0.333 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C3=C(C)N(C)c4nnnn4C3)CC2)cc1 ZINC000798151246 1073010506 /nfs/dbraw/zinc/01/05/06/1073010506.db2.gz FOXMVTYACVFVGF-UHFFFAOYSA-N 0 0 433.494 -0.061 20 0 IBADRN CC1=C(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)Cn2nnnc2N1C ZINC000798152646 1073010517 /nfs/dbraw/zinc/01/05/17/1073010517.db2.gz AHGXBHWGSLAYBE-UHFFFAOYSA-N 0 0 433.494 -0.266 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccoc3C3(O)CCOCC3)CC2)CC1 ZINC000798160445 1073010511 /nfs/dbraw/zinc/01/05/11/1073010511.db2.gz UFEOWWQVJOBCKJ-UHFFFAOYSA-N 0 0 442.538 -0.472 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(C(=O)OC(C)(C)C)=NO3)CC2)CC1 ZINC000798160505 1073010477 /nfs/dbraw/zinc/01/04/77/1073010477.db2.gz VECBMQBGOOYUGY-HNNXBMFYSA-N 0 0 445.542 -0.891 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(C(=O)OC(C)(C)C)=NO3)CC2)CC1 ZINC000798160512 1073010567 /nfs/dbraw/zinc/01/05/67/1073010567.db2.gz VECBMQBGOOYUGY-OAHLLOKOSA-N 0 0 445.542 -0.891 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)Cn2nnnc2N1C ZINC000798165116 1073010533 /nfs/dbraw/zinc/01/05/33/1073010533.db2.gz UWGISHXNRAAPTI-UHFFFAOYSA-N 0 0 443.493 -0.194 20 0 IBADRN CCn1c(N2CCOCC2)nn(CN2CCN(C(=O)C(=O)NC(C)C)CC2)c1=S ZINC000798189946 1073010590 /nfs/dbraw/zinc/01/05/90/1073010590.db2.gz BBLURPSRISMYNG-UHFFFAOYSA-N 0 0 425.559 -0.103 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CN2C(=O)C(=O)N(CCc3cccs3)C2=O)CC1 ZINC000798190493 1073011035 /nfs/dbraw/zinc/01/10/35/1073011035.db2.gz RRUJPXBMYCKWSC-UHFFFAOYSA-N 0 0 435.506 -0.292 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000798190689 1073010889 /nfs/dbraw/zinc/01/08/89/1073010889.db2.gz HLCVPYULIUXGEF-JOCHJYFZSA-N 0 0 443.504 -0.196 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000798190691 1073010802 /nfs/dbraw/zinc/01/08/02/1073010802.db2.gz HLCVPYULIUXGEF-QFIPXVFZSA-N 0 0 443.504 -0.196 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000798190791 1073010578 /nfs/dbraw/zinc/01/05/78/1073010578.db2.gz LVUCWLOYDQNYDP-UHFFFAOYSA-N 0 0 435.525 -0.162 20 0 IBADRN Cn1c(-c2ccncc2)nn(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1=S ZINC000798190834 1073010866 /nfs/dbraw/zinc/01/08/66/1073010866.db2.gz NVRGUEVRGCPRLI-UHFFFAOYSA-N 0 0 431.522 -0.026 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)NC3(CCCCCCC3)C2=O)CC1)N1CCOCC1 ZINC000798190852 1073010584 /nfs/dbraw/zinc/01/05/84/1073010584.db2.gz OUKRLOAAWUJQCU-UHFFFAOYSA-N 0 0 435.525 -0.018 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)N[C@@H](Cc3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000798190889 1073010553 /nfs/dbraw/zinc/01/05/53/1073010553.db2.gz RGQXYAWBXHCESI-KRWDZBQOSA-N 0 0 429.477 -0.890 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)N[C@H](Cc3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000798190890 1073010527 /nfs/dbraw/zinc/01/05/27/1073010527.db2.gz RGQXYAWBXHCESI-QGZVFWFLSA-N 0 0 429.477 -0.890 20 0 IBADRN COc1ccc(-c2nnn(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)n2)cc1OC ZINC000798190899 1073010499 /nfs/dbraw/zinc/01/04/99/1073010499.db2.gz RQLVUNQIQIWTCU-UHFFFAOYSA-N 0 0 445.480 -0.682 20 0 IBADRN COCCNc1nn(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(=S)s1 ZINC000798190909 1073010462 /nfs/dbraw/zinc/01/04/62/1073010462.db2.gz SAIPETAMUXWEMK-UHFFFAOYSA-N 0 0 430.556 -0.307 20 0 IBADRN O=C(C(=O)N1CCN(Cn2nnn(Cc3cccs3)c2=S)CC1)N1CCOCC1 ZINC000798190963 1073010606 /nfs/dbraw/zinc/01/06/06/1073010606.db2.gz VHDKHGSSQHGWGT-UHFFFAOYSA-N 0 0 437.551 -0.121 20 0 IBADRN Cn1c(-c2cccnc2)nn(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1=S ZINC000798191018 1073010934 /nfs/dbraw/zinc/01/09/34/1073010934.db2.gz YDLCAZHHHLSKKK-UHFFFAOYSA-N 0 0 431.522 -0.026 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC(CO)(NC(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC000798191814 1073010996 /nfs/dbraw/zinc/01/09/96/1073010996.db2.gz NCXGKGMAAUEKJJ-UHFFFAOYSA-N 0 0 425.486 -0.800 20 0 IBADRN CNS(=O)(=O)NC1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC000798193254 1073010918 /nfs/dbraw/zinc/01/09/18/1073010918.db2.gz GXXLCFKUUSSPEU-UHFFFAOYSA-N 0 0 430.531 -0.964 20 0 IBADRN CCOC(=O)C1=C(CN2CCC(NS(=O)(=O)NC)CC2)NC(=O)N[C@H]1c1ccco1 ZINC000798194088 1073010904 /nfs/dbraw/zinc/01/09/04/1073010904.db2.gz XDBWJEGTJVJROG-INIZCTEOSA-N 0 0 441.510 -0.031 20 0 IBADRN CCOC(=O)C1=C(CN2CCC(NS(=O)(=O)NC)CC2)NC(=O)N[C@@H]1c1ccco1 ZINC000798194093 1073011015 /nfs/dbraw/zinc/01/10/15/1073011015.db2.gz XDBWJEGTJVJROG-MRXNPFEDSA-N 0 0 441.510 -0.031 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000798216569 1073010945 /nfs/dbraw/zinc/01/09/45/1073010945.db2.gz HDUXDGVDKJGYNR-LSCFUAHRSA-N 0 0 436.469 -0.505 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@@H]2COC3(CCOCC3)O2)c(S(N)(=O)=O)c1 ZINC000798217538 1073010839 /nfs/dbraw/zinc/01/08/39/1073010839.db2.gz RNYHWBWREFBRQB-GFCCVEGCSA-N 0 0 435.524 -0.082 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC[C@H]2COC3(CCOCC3)O2)c(S(N)(=O)=O)c1 ZINC000798217539 1073010971 /nfs/dbraw/zinc/01/09/71/1073010971.db2.gz RNYHWBWREFBRQB-LBPRGKRZSA-N 0 0 435.524 -0.082 20 0 IBADRN CCC(=O)c1ccc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(S(N)(=O)=O)c1 ZINC000798217619 1073011007 /nfs/dbraw/zinc/01/10/07/1073011007.db2.gz USXDBDKIWZOZRD-UHFFFAOYSA-N 0 0 438.506 -0.566 20 0 IBADRN CN(C)C(=O)c1cc(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)cn1C ZINC000798221746 1073010958 /nfs/dbraw/zinc/01/09/58/1073010958.db2.gz DDIVOWAXOLZRMX-UHFFFAOYSA-N 0 0 429.499 -0.520 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC(CO)(NC(=O)OC(C)(C)C)CC1 ZINC000798222271 1073011041 /nfs/dbraw/zinc/01/10/41/1073011041.db2.gz NLAOUYHEPRFOIJ-UHFFFAOYSA-N 0 0 443.588 -0.051 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)c2cnn(C3CCOCC3)c2)(C(N)=O)C1 ZINC000798222319 1073011147 /nfs/dbraw/zinc/01/11/47/1073011147.db2.gz NZKRNGKTRZJQCU-UHFFFAOYSA-N 0 0 429.499 -0.012 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)cn1C ZINC000798222539 1073011024 /nfs/dbraw/zinc/01/10/24/1073011024.db2.gz RBRQAMGEFKMZED-UHFFFAOYSA-N 0 0 430.483 -0.045 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2Cl)CC1 ZINC000798223536 1073011206 /nfs/dbraw/zinc/01/12/06/1073011206.db2.gz KANXLGFTQRJQQE-UHFFFAOYSA-N 0 0 445.972 -0.050 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(F)c(C(=O)OC)c2F)CC1 ZINC000798223567 1073011286 /nfs/dbraw/zinc/01/12/86/1073011286.db2.gz MBXCIJDVJGNFED-UHFFFAOYSA-N 0 0 427.451 -0.042 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(F)c(F)c(C(=O)OC)c2)CC1 ZINC000798223704 1073011230 /nfs/dbraw/zinc/01/12/30/1073011230.db2.gz QVQQPPGITMYQTB-UHFFFAOYSA-N 0 0 427.451 -0.042 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)CCOC(C)C ZINC000798247379 1073011219 /nfs/dbraw/zinc/01/12/19/1073011219.db2.gz ABVSAMCMOVOBQP-GOSISDBHSA-N 0 0 437.522 -0.013 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)CCOC(C)C ZINC000798247405 1073011271 /nfs/dbraw/zinc/01/12/71/1073011271.db2.gz ABVSAMCMOVOBQP-SFHVURJKSA-N 0 0 437.522 -0.013 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)c2cccc(CN3C(=O)CNC3=O)c2)(C(N)=O)C1 ZINC000798248514 1073011401 /nfs/dbraw/zinc/01/14/01/1073011401.db2.gz CPRPEGXGAPJENS-UHFFFAOYSA-N 0 0 431.449 -0.057 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)C3CCN(S(N)(=O)=O)CC3)C2=O)c1 ZINC000798252235 1073011307 /nfs/dbraw/zinc/01/13/07/1073011307.db2.gz AUIVVIVPWGNZOZ-KRWDZBQOSA-N 0 0 425.467 -0.591 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)C3CCN(S(N)(=O)=O)CC3)C2=O)c1 ZINC000798252240 1073011383 /nfs/dbraw/zinc/01/13/83/1073011383.db2.gz AUIVVIVPWGNZOZ-QGZVFWFLSA-N 0 0 425.467 -0.591 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)CCOC(C)C)C2=O)c1 ZINC000798253190 1073011296 /nfs/dbraw/zinc/01/12/96/1073011296.db2.gz NHKXZELTDUQEQA-GOSISDBHSA-N 0 0 442.494 -0.162 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)CCOC(C)C)C2=O)c1 ZINC000798253194 1073011257 /nfs/dbraw/zinc/01/12/57/1073011257.db2.gz NHKXZELTDUQEQA-SFHVURJKSA-N 0 0 442.494 -0.162 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000798255556 1073011193 /nfs/dbraw/zinc/01/11/93/1073011193.db2.gz SHECAIZKFADQHC-IBGZPJMESA-N 0 0 435.506 -0.575 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000798255557 1073011245 /nfs/dbraw/zinc/01/12/45/1073011245.db2.gz SHECAIZKFADQHC-LJQANCHMSA-N 0 0 435.506 -0.575 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CC(O)CC(=O)N2CCN(C(=O)OCC)CC2)CC1 ZINC000798272629 1073011178 /nfs/dbraw/zinc/01/11/78/1073011178.db2.gz YECKVJYRCAJFIX-UHFFFAOYSA-N 0 0 428.486 -0.271 20 0 IBADRN CC1=C(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)Cn2nnnc2N1C ZINC000798279738 1073011367 /nfs/dbraw/zinc/01/13/67/1073011367.db2.gz FVKQUIOXNAJSCA-UHFFFAOYSA-N 0 0 432.466 -0.844 20 0 IBADRN CC1=C(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)S(=O)(=O)CCO1 ZINC000798280231 1073011410 /nfs/dbraw/zinc/01/14/10/1073011410.db2.gz MBSQPHBDUPRWCP-UHFFFAOYSA-N 0 0 429.476 -0.578 20 0 IBADRN Cn1cnc2cccc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c21 ZINC000798281506 1073011160 /nfs/dbraw/zinc/01/11/60/1073011160.db2.gz NDNYQLMGLJWQMG-CYBMUJFWSA-N 0 0 426.520 -0.152 20 0 IBADRN Cn1cnc2cccc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c21 ZINC000798281508 1073011739 /nfs/dbraw/zinc/01/17/39/1073011739.db2.gz NDNYQLMGLJWQMG-ZDUSSCGKSA-N 0 0 426.520 -0.152 20 0 IBADRN CC1=C(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)Cn2nnnc2N1C ZINC000798283846 1073011654 /nfs/dbraw/zinc/01/16/54/1073011654.db2.gz YFYJWMBSMGKYRP-UHFFFAOYSA-N 0 0 432.510 -0.028 20 0 IBADRN CC[C@H](CNC(=O)C1=C(C)OCCS1(=O)=O)NC(=O)C1=C(C)OCCS1(=O)=O ZINC000798289410 1073011822 /nfs/dbraw/zinc/01/18/22/1073011822.db2.gz MDRLHGLUJNGYQY-GFCCVEGCSA-N 0 0 436.508 -0.650 20 0 IBADRN CC[C@@H](CNC(=O)C1=C(C)OCCS1(=O)=O)NC(=O)C1=C(C)OCCS1(=O)=O ZINC000798289411 1073011751 /nfs/dbraw/zinc/01/17/51/1073011751.db2.gz MDRLHGLUJNGYQY-LBPRGKRZSA-N 0 0 436.508 -0.650 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)C3=C(C)N(C)c4nnnn4C3)CC2)cc1 ZINC000798290643 1073011662 /nfs/dbraw/zinc/01/16/62/1073011662.db2.gz LAXJOLBSRMLXRU-UHFFFAOYSA-N 0 0 440.508 -0.020 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000798317082 1073011813 /nfs/dbraw/zinc/01/18/13/1073011813.db2.gz SUHRPIFPKMHLPI-UHFFFAOYSA-N 0 0 440.566 -0.027 20 0 IBADRN NC(=S)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000798318717 1073011778 /nfs/dbraw/zinc/01/17/78/1073011778.db2.gz KVJHJCMYWHIAKL-AWEZNQCLSA-N 0 0 431.561 -0.405 20 0 IBADRN NC(=S)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000798318718 1073011618 /nfs/dbraw/zinc/01/16/18/1073011618.db2.gz KVJHJCMYWHIAKL-CQSZACIVSA-N 0 0 431.561 -0.405 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)nc1 ZINC000798319192 1073011845 /nfs/dbraw/zinc/01/18/45/1073011845.db2.gz ZUVPXBHPNZTJLG-UHFFFAOYSA-N 0 0 435.487 -0.364 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)ccc1Cl ZINC000798319572 1073011668 /nfs/dbraw/zinc/01/16/68/1073011668.db2.gz OGNDEUHLOOKADD-UHFFFAOYSA-N 0 0 442.881 -0.579 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)c1ccc(S(N)(=O)=O)cn1 ZINC000798320267 1073011676 /nfs/dbraw/zinc/01/16/76/1073011676.db2.gz HVDFFFMQXKQIKF-UHFFFAOYSA-N 0 0 441.510 -0.120 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC000798322966 1073011796 /nfs/dbraw/zinc/01/17/96/1073011796.db2.gz NULMMVAJFSNZNO-UHFFFAOYSA-N 0 0 436.469 -0.268 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000798323859 1073011855 /nfs/dbraw/zinc/01/18/55/1073011855.db2.gz IEGCCKHAXRTJAL-CYBMUJFWSA-N 0 0 430.502 -0.228 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000798323860 1073011719 /nfs/dbraw/zinc/01/17/19/1073011719.db2.gz IEGCCKHAXRTJAL-ZDUSSCGKSA-N 0 0 430.502 -0.228 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2cc(S(N)(=O)=O)ccc2F)c1 ZINC000798324317 1073011785 /nfs/dbraw/zinc/01/17/85/1073011785.db2.gz RYCNTPCQTIRUIR-UHFFFAOYSA-N 0 0 444.466 -0.250 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c1 ZINC000798326871 1073012131 /nfs/dbraw/zinc/01/21/31/1073012131.db2.gz VIJNHSSNPKNONQ-UHFFFAOYSA-N 0 0 428.471 -0.279 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CC1 ZINC000798327111 1073012056 /nfs/dbraw/zinc/01/20/56/1073012056.db2.gz HKARKGALZWURGL-UHFFFAOYSA-N 0 0 448.295 -0.056 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000798327521 1073012097 /nfs/dbraw/zinc/01/20/97/1073012097.db2.gz PLGLVQIKNZVYJB-UHFFFAOYSA-N 0 0 427.479 -0.853 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1Cl ZINC000798327659 1073012224 /nfs/dbraw/zinc/01/22/24/1073012224.db2.gz MZHGHAYVKNMQPQ-CYBMUJFWSA-N 0 0 430.914 -0.350 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1Cl ZINC000798327660 1073012270 /nfs/dbraw/zinc/01/22/70/1073012270.db2.gz MZHGHAYVKNMQPQ-ZDUSSCGKSA-N 0 0 430.914 -0.350 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2F)CC1 ZINC000798330917 1073012296 /nfs/dbraw/zinc/01/22/96/1073012296.db2.gz IRLGSIHPAYWXAM-UHFFFAOYSA-N 0 0 437.515 -0.014 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cc(S(N)(=O)=O)ccc1F ZINC000798331979 1073012034 /nfs/dbraw/zinc/01/20/34/1073012034.db2.gz NVYQFUOZTFMGTD-INIZCTEOSA-N 0 0 436.465 -0.194 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cc(S(N)(=O)=O)ccc1F ZINC000798331982 1073012188 /nfs/dbraw/zinc/01/21/88/1073012188.db2.gz NVYQFUOZTFMGTD-MRXNPFEDSA-N 0 0 436.465 -0.194 20 0 IBADRN COC(=O)[C@]12CN(C(=O)c3ccc(S(=O)(=O)N(C)CCO)cc3)C[C@H]1COCC2 ZINC000798342284 1073012048 /nfs/dbraw/zinc/01/20/48/1073012048.db2.gz FDIGBFHVUXADNB-HNAYVOBHSA-N 0 0 426.491 -0.049 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(Cl)c(S(N)(=O)=O)c1)C2 ZINC000798342641 1073012181 /nfs/dbraw/zinc/01/21/81/1073012181.db2.gz KYDDOCPDQFVMFO-UHFFFAOYSA-N 0 0 442.885 -0.910 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000798395413 1073012250 /nfs/dbraw/zinc/01/22/50/1073012250.db2.gz GDVONQPLWDIGJL-UHFFFAOYSA-N 0 0 430.449 -0.252 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCC(CNC(=O)C(F)(F)F)CC2)CC1 ZINC000798396221 1073012157 /nfs/dbraw/zinc/01/21/57/1073012157.db2.gz QDKGQAFBIWATRY-UHFFFAOYSA-N 0 0 443.492 -0.336 20 0 IBADRN O=C1N[C@H]2CCN(c3cc(N4CC[C@@H]5NC(=O)OC[C@H]5C4)n4ncnc4n3)C[C@@H]2CO1 ZINC000798406516 1073012063 /nfs/dbraw/zinc/01/20/63/1073012063.db2.gz UUCUCWZBLYQENB-MQYQWHSLSA-N 0 0 428.453 -0.006 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000798413401 1073012104 /nfs/dbraw/zinc/01/21/04/1073012104.db2.gz VEIXJGDPLDQNSB-CABCVRRESA-N 0 0 426.495 -0.061 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC[C@@H]4NC(=O)OC[C@H]4C3)CC2)cn1 ZINC000798422366 1073012087 /nfs/dbraw/zinc/01/20/87/1073012087.db2.gz BYBQCBSBAZRXSH-DOMZBBRYSA-N 0 0 426.499 -0.287 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[S@](=O)C[C@@H]2c2cnn(C)c2)cc1 ZINC000798454670 1073012633 /nfs/dbraw/zinc/01/26/33/1073012633.db2.gz PMDNVJIDSROZQY-BZQUYTCOSA-N 0 0 432.549 -0.178 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[S@](=O)C[C@H]2c2cnn(C)c2)cc1 ZINC000798454671 1073012671 /nfs/dbraw/zinc/01/26/71/1073012671.db2.gz PMDNVJIDSROZQY-MQNRADLISA-N 0 0 432.549 -0.178 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[S@@](=O)C[C@H]2c2cnn(C)c2)cc1 ZINC000798454672 1073012613 /nfs/dbraw/zinc/01/26/13/1073012613.db2.gz PMDNVJIDSROZQY-ODCWNRFASA-N 0 0 432.549 -0.178 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[S@@](=O)C[C@@H]2c2cnn(C)c2)cc1 ZINC000798454673 1073012692 /nfs/dbraw/zinc/01/26/92/1073012692.db2.gz PMDNVJIDSROZQY-SGANQWHYSA-N 0 0 432.549 -0.178 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(CNC(=O)C(F)(F)F)CC2)CC1 ZINC000798463244 1073012597 /nfs/dbraw/zinc/01/25/97/1073012597.db2.gz YLTUJPHGFYGCLR-UHFFFAOYSA-N 0 0 436.478 -0.801 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC000798467048 1073012821 /nfs/dbraw/zinc/01/28/21/1073012821.db2.gz IKKGNDFXCMMEBX-LNSDYSHSSA-N 0 0 438.462 -0.131 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC000798467049 1073012623 /nfs/dbraw/zinc/01/26/23/1073012623.db2.gz IKKGNDFXCMMEBX-SBOFIZKASA-N 0 0 438.462 -0.131 20 0 IBADRN O=C1N[C@H]2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@@H]2CO1 ZINC000798467201 1073012720 /nfs/dbraw/zinc/01/27/20/1073012720.db2.gz PGLFPPZZGWQZAS-CJNGLKHVSA-N 0 0 445.519 -0.174 20 0 IBADRN C[C@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCC(=O)NC1 ZINC000798491924 1073012814 /nfs/dbraw/zinc/01/28/14/1073012814.db2.gz PBYXXLMBZBKBGN-KRWDZBQOSA-N 0 0 447.535 -0.177 20 0 IBADRN C[C@@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCC(=O)NC1 ZINC000798491925 1073012679 /nfs/dbraw/zinc/01/26/79/1073012679.db2.gz PBYXXLMBZBKBGN-QGZVFWFLSA-N 0 0 447.535 -0.177 20 0 IBADRN COc1ccccc1CONC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000798536732 1073012829 /nfs/dbraw/zinc/01/28/29/1073012829.db2.gz QNRWKJBZPFLQPK-UHFFFAOYSA-N 0 0 427.527 -0.054 20 0 IBADRN O=C(CSCC(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000798547554 1073012730 /nfs/dbraw/zinc/01/27/30/1073012730.db2.gz QCMNBQSXKUNJCE-MQYQWHSLSA-N 0 0 426.495 -0.367 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@@H]3NC(=O)OC[C@H]3C1)c2=O ZINC000798549785 1073012661 /nfs/dbraw/zinc/01/26/61/1073012661.db2.gz MHOMHKLYVODVLN-BDAKNGLRSA-N 0 0 425.243 -0.151 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)c1 ZINC000798550432 1073012765 /nfs/dbraw/zinc/01/27/65/1073012765.db2.gz WTDBTZCJNGXIHY-HIFRSBDPSA-N 0 0 426.495 -0.164 20 0 IBADRN COc1ccc(CC(=O)O[C@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000798559595 1073013155 /nfs/dbraw/zinc/01/31/55/1073013155.db2.gz BEEOMLOJEDMAQH-AWEZNQCLSA-N 0 0 426.447 -0.393 20 0 IBADRN COc1ccc(CC(=O)O[C@@H]2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000798559597 1073013012 /nfs/dbraw/zinc/01/30/12/1073013012.db2.gz BEEOMLOJEDMAQH-CQSZACIVSA-N 0 0 426.447 -0.393 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)O[C@H]2CCC(=O)NC2=O)cc1 ZINC000798560071 1073013149 /nfs/dbraw/zinc/01/31/49/1073013149.db2.gz JPJVNFDIJLLUQX-AWEZNQCLSA-N 0 0 425.463 -0.205 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)O[C@@H]2CCC(=O)NC2=O)cc1 ZINC000798560073 1073013025 /nfs/dbraw/zinc/01/30/25/1073013025.db2.gz JPJVNFDIJLLUQX-CQSZACIVSA-N 0 0 425.463 -0.205 20 0 IBADRN O=C(O[C@@H]1CCC(=O)NC1=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000798560672 1073013057 /nfs/dbraw/zinc/01/30/57/1073013057.db2.gz LKVGPFMMKOIIDJ-GHMZBOCLSA-N 0 0 435.252 -0.563 20 0 IBADRN O=C(O[C@H]1CCC(=O)NC1=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000798560676 1073013091 /nfs/dbraw/zinc/01/30/91/1073013091.db2.gz LKVGPFMMKOIIDJ-MNOVXSKESA-N 0 0 435.252 -0.563 20 0 IBADRN O=C(O[C@H]1CCC(=O)NC1=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000798560678 1073013277 /nfs/dbraw/zinc/01/32/77/1073013277.db2.gz LKVGPFMMKOIIDJ-QWRGUYRKSA-N 0 0 435.252 -0.563 20 0 IBADRN O=C(O[C@@H]1CCC(=O)NC1=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000798560679 1073013108 /nfs/dbraw/zinc/01/31/08/1073013108.db2.gz LKVGPFMMKOIIDJ-WDEREUQCSA-N 0 0 435.252 -0.563 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)O[C@H]1CCC(=O)NC1=O ZINC000798562562 1073013199 /nfs/dbraw/zinc/01/31/99/1073013199.db2.gz NLPMWKGGRCMJMY-HNNXBMFYSA-N 0 0 437.474 -0.352 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)O[C@@H]1CCC(=O)NC1=O ZINC000798562564 1073013100 /nfs/dbraw/zinc/01/31/00/1073013100.db2.gz NLPMWKGGRCMJMY-OAHLLOKOSA-N 0 0 437.474 -0.352 20 0 IBADRN Cn1c2ncn(CC(=O)OCc3cc(Cl)cc(S(N)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000798569426 1073013136 /nfs/dbraw/zinc/01/31/36/1073013136.db2.gz NEYPEIBYFAYSRP-UHFFFAOYSA-N 0 0 441.853 -0.522 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)O[C@H]2CCC(=O)NC2=O)c1 ZINC000798572038 1073013548 /nfs/dbraw/zinc/01/35/48/1073013548.db2.gz LJSMUKFPALJKPY-AWEZNQCLSA-N 0 0 425.463 -0.205 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)O[C@@H]2CCC(=O)NC2=O)c1 ZINC000798572039 1073013615 /nfs/dbraw/zinc/01/36/15/1073013615.db2.gz LJSMUKFPALJKPY-CQSZACIVSA-N 0 0 425.463 -0.205 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCc1cc(Cl)cc(S(N)(=O)=O)c1)c(=O)n2C ZINC000798578497 1073013589 /nfs/dbraw/zinc/01/35/89/1073013589.db2.gz ACNZNPMSDHRHEJ-UHFFFAOYSA-N 0 0 441.853 -0.522 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)O[C@H]2CCC(=O)NC2=O)c1 ZINC000798587520 1073013475 /nfs/dbraw/zinc/01/34/75/1073013475.db2.gz UYWUXWDHFNBUTR-HNNXBMFYSA-N 0 0 425.463 -0.265 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)O[C@@H]2CCC(=O)NC2=O)c1 ZINC000798587521 1073013575 /nfs/dbraw/zinc/01/35/75/1073013575.db2.gz UYWUXWDHFNBUTR-OAHLLOKOSA-N 0 0 425.463 -0.265 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)O[C@@H]2CCC(=O)NC2=O)o1 ZINC000798593083 1073013505 /nfs/dbraw/zinc/01/35/05/1073013505.db2.gz ACFRTEHQRIEDRS-GHMZBOCLSA-N 0 0 428.419 -0.042 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)O[C@H]2CCC(=O)NC2=O)o1 ZINC000798593085 1073013667 /nfs/dbraw/zinc/01/36/67/1073013667.db2.gz ACFRTEHQRIEDRS-MNOVXSKESA-N 0 0 428.419 -0.042 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)O[C@H]2CCC(=O)NC2=O)o1 ZINC000798593088 1073013640 /nfs/dbraw/zinc/01/36/40/1073013640.db2.gz ACFRTEHQRIEDRS-QWRGUYRKSA-N 0 0 428.419 -0.042 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)O[C@@H]2CCC(=O)NC2=O)o1 ZINC000798593090 1073013443 /nfs/dbraw/zinc/01/34/43/1073013443.db2.gz ACFRTEHQRIEDRS-WDEREUQCSA-N 0 0 428.419 -0.042 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)O[C@@H]2CCC(=O)NC2=O)c1OC ZINC000798597769 1073013459 /nfs/dbraw/zinc/01/34/59/1073013459.db2.gz OIOOHYKKSRVSFK-CYBMUJFWSA-N 0 0 442.446 -0.313 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)O[C@H]2CCC(=O)NC2=O)c1OC ZINC000798597772 1073013658 /nfs/dbraw/zinc/01/36/58/1073013658.db2.gz OIOOHYKKSRVSFK-ZDUSSCGKSA-N 0 0 442.446 -0.313 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)O[C@H]2CCC(=O)NC2=O)cc1 ZINC000798598738 1073013650 /nfs/dbraw/zinc/01/36/50/1073013650.db2.gz GAEWWDNYTYCXEM-HNNXBMFYSA-N 0 0 428.463 -0.068 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCC(=O)NC2=O)cc1 ZINC000798598739 1073013602 /nfs/dbraw/zinc/01/36/02/1073013602.db2.gz GAEWWDNYTYCXEM-OAHLLOKOSA-N 0 0 428.463 -0.068 20 0 IBADRN O=C(C[C@H](C(=O)O[C@@H]1CCC(=O)NC1=O)c1ccccc1F)O[C@H]1CCC(=O)NC1=O ZINC000798612992 1073013627 /nfs/dbraw/zinc/01/36/27/1073013627.db2.gz HMLJXBYSOHHATP-FPMFFAJLSA-N 0 0 434.376 -0.004 20 0 IBADRN O=C(C[C@@H](C(=O)O[C@@H]1CCC(=O)NC1=O)c1ccccc1F)O[C@H]1CCC(=O)NC1=O ZINC000798612993 1073013516 /nfs/dbraw/zinc/01/35/16/1073013516.db2.gz HMLJXBYSOHHATP-KWCYVHTRSA-N 0 0 434.376 -0.004 20 0 IBADRN O=C(C[C@H](C(=O)O[C@H]1CCC(=O)NC1=O)c1ccccc1F)O[C@H]1CCC(=O)NC1=O ZINC000798612994 1073013488 /nfs/dbraw/zinc/01/34/88/1073013488.db2.gz HMLJXBYSOHHATP-UBHSHLNASA-N 0 0 434.376 -0.004 20 0 IBADRN O=C(C[C@@H](C(=O)O[C@H]1CCC(=O)NC1=O)c1ccccc1F)O[C@H]1CCC(=O)NC1=O ZINC000798612995 1073013564 /nfs/dbraw/zinc/01/35/64/1073013564.db2.gz HMLJXBYSOHHATP-XBFCOCLRSA-N 0 0 434.376 -0.004 20 0 IBADRN COc1coc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc1=O ZINC000798675826 1073013619 /nfs/dbraw/zinc/01/36/19/1073013619.db2.gz GJCUMNPOTUQJOO-CHWSQXEVSA-N 0 0 429.447 0.000 20 0 IBADRN COc1coc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc1=O ZINC000798675832 1073013525 /nfs/dbraw/zinc/01/35/25/1073013525.db2.gz GJCUMNPOTUQJOO-OLZOCXBDSA-N 0 0 429.447 0.000 20 0 IBADRN COc1coc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc1=O ZINC000798675834 1073013584 /nfs/dbraw/zinc/01/35/84/1073013584.db2.gz GJCUMNPOTUQJOO-QWHCGFSZSA-N 0 0 429.447 0.000 20 0 IBADRN COc1coc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc1=O ZINC000798675836 1073014010 /nfs/dbraw/zinc/01/40/10/1073014010.db2.gz GJCUMNPOTUQJOO-STQMWFEESA-N 0 0 429.447 0.000 20 0 IBADRN COc1coc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)cc1=O ZINC000798677244 1073013879 /nfs/dbraw/zinc/01/38/79/1073013879.db2.gz WXHIPUJVVDUHLP-UHFFFAOYSA-N 0 0 428.405 -0.169 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2cc(Cl)sc2S(N)(=O)=O)cn1 ZINC000798696402 1073014054 /nfs/dbraw/zinc/01/40/54/1073014054.db2.gz DXVAWPQFEQBXLF-UHFFFAOYSA-N 0 0 428.901 -0.082 20 0 IBADRN Cn1c2[nH]c(COC(=O)c3cc(Cl)sc3S(N)(=O)=O)nc2c(=O)n(C)c1=O ZINC000798697560 1073014081 /nfs/dbraw/zinc/01/40/81/1073014081.db2.gz YYTXXNFBMDWEIS-UHFFFAOYSA-N 0 0 433.855 -0.320 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cc(Cl)sc1S(N)(=O)=O)S(C)(=O)=O ZINC000798698613 1073013998 /nfs/dbraw/zinc/01/39/98/1073013998.db2.gz DCMLTBGDXPUHCW-UHFFFAOYSA-N 0 0 447.944 -0.397 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cc(Cl)sc3S(N)(=O)=O)c2c(=O)n(C)c1=O ZINC000798699332 1073013958 /nfs/dbraw/zinc/01/39/58/1073013958.db2.gz WPOBLYKLMLMTEK-UHFFFAOYSA-N 0 0 447.882 -0.347 20 0 IBADRN CO[C@H](C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)c1cnn(C)c1 ZINC000798771990 1073014380 /nfs/dbraw/zinc/01/43/80/1073014380.db2.gz AQFLHARQJTVSEZ-INMHGKMJSA-N 0 0 429.495 -0.155 20 0 IBADRN CO[C@H](C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)c1cnn(C)c1 ZINC000798771993 1073014417 /nfs/dbraw/zinc/01/44/17/1073014417.db2.gz AQFLHARQJTVSEZ-UXLLHSPISA-N 0 0 429.495 -0.155 20 0 IBADRN CO[C@H](C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)c1cnn(C)c1 ZINC000798771995 1073014356 /nfs/dbraw/zinc/01/43/56/1073014356.db2.gz AQFLHARQJTVSEZ-VYDXJSESSA-N 0 0 429.495 -0.155 20 0 IBADRN CO[C@H](C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)c1cnn(C)c1 ZINC000798771997 1073014365 /nfs/dbraw/zinc/01/43/65/1073014365.db2.gz AQFLHARQJTVSEZ-ZOBUZTSGSA-N 0 0 429.495 -0.155 20 0 IBADRN CO[C@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)c1cnn(C)c1 ZINC000798772309 1073014454 /nfs/dbraw/zinc/01/44/54/1073014454.db2.gz BMKFLCJTBMIXHL-INIZCTEOSA-N 0 0 428.453 -0.324 20 0 IBADRN CO[C@@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)c1cnn(C)c1 ZINC000798772311 1073014319 /nfs/dbraw/zinc/01/43/19/1073014319.db2.gz BMKFLCJTBMIXHL-MRXNPFEDSA-N 0 0 428.453 -0.324 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@@]2(C)CCC(=O)NC2)c(N)n(Cc2ccccc2)c1=O ZINC000798779382 1073014343 /nfs/dbraw/zinc/01/43/43/1073014343.db2.gz OHNAJVMYUDGJMX-NRFANRHFSA-N 0 0 428.445 -0.180 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@]2(C)CCC(=O)NC2)c(N)n(Cc2ccccc2)c1=O ZINC000798779386 1073014423 /nfs/dbraw/zinc/01/44/23/1073014423.db2.gz OHNAJVMYUDGJMX-OAQYLSRUSA-N 0 0 428.445 -0.180 20 0 IBADRN C[C@@]1(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCC(=O)NC1 ZINC000798780461 1073014483 /nfs/dbraw/zinc/01/44/83/1073014483.db2.gz NTUJOODCONGUOH-HTAPYJJXSA-N 0 0 445.472 -0.043 20 0 IBADRN C[C@]1(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCC(=O)NC1 ZINC000798780463 1073014511 /nfs/dbraw/zinc/01/45/11/1073014511.db2.gz NTUJOODCONGUOH-JTSKRJEESA-N 0 0 445.472 -0.043 20 0 IBADRN C[C@@]1(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCC(=O)NC1 ZINC000798780464 1073014502 /nfs/dbraw/zinc/01/45/02/1073014502.db2.gz NTUJOODCONGUOH-VGOFRKELSA-N 0 0 445.472 -0.043 20 0 IBADRN C[C@]1(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCC(=O)NC1 ZINC000798780466 1073014494 /nfs/dbraw/zinc/01/44/94/1073014494.db2.gz NTUJOODCONGUOH-VGSWGCGISA-N 0 0 445.472 -0.043 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@]3(C)CCC(=O)NC3)C2=O)cc1 ZINC000798780723 1073014406 /nfs/dbraw/zinc/01/44/06/1073014406.db2.gz PRRSLRKOZGMUOP-PMACEKPBSA-N 0 0 432.433 -0.047 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@]3(C)CCC(=O)NC3)C2=O)cc1 ZINC000798780727 1073014429 /nfs/dbraw/zinc/01/44/29/1073014429.db2.gz PRRSLRKOZGMUOP-UXHICEINSA-N 0 0 432.433 -0.047 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@]3(C)CCC(=O)NC3)C2=O)cc1 ZINC000798780729 1073014397 /nfs/dbraw/zinc/01/43/97/1073014397.db2.gz PRRSLRKOZGMUOP-VQTJNVASSA-N 0 0 432.433 -0.047 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@]3(C)CCC(=O)NC3)C2=O)cc1 ZINC000798780730 1073014303 /nfs/dbraw/zinc/01/43/03/1073014303.db2.gz PRRSLRKOZGMUOP-WOJBJXKFSA-N 0 0 432.433 -0.047 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@]2(C)CCC(=O)NC2)CC1 ZINC000798781532 1073014437 /nfs/dbraw/zinc/01/44/37/1073014437.db2.gz CSXNSWLDESVKMG-GOSISDBHSA-N 0 0 442.494 -0.416 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@]2(C)CCC(=O)NC2)CC1 ZINC000798781537 1073014370 /nfs/dbraw/zinc/01/43/70/1073014370.db2.gz CSXNSWLDESVKMG-SFHVURJKSA-N 0 0 442.494 -0.416 20 0 IBADRN CCOC(=O)[C@@H](CCOC)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000798782743 1073014798 /nfs/dbraw/zinc/01/47/98/1073014798.db2.gz QALMMNKTVXQDHL-SECBINFHSA-N 0 0 430.259 -0.023 20 0 IBADRN CCOC(=O)[C@H](CCOC)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000798782744 1073014771 /nfs/dbraw/zinc/01/47/71/1073014771.db2.gz QALMMNKTVXQDHL-VIFPVBQESA-N 0 0 430.259 -0.023 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000798791354 1073014884 /nfs/dbraw/zinc/01/48/84/1073014884.db2.gz REMNOBFXPGGQDU-AWEZNQCLSA-N 0 0 444.444 -0.295 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000798791355 1073014808 /nfs/dbraw/zinc/01/48/08/1073014808.db2.gz REMNOBFXPGGQDU-CQSZACIVSA-N 0 0 444.444 -0.295 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnnn3C)CC2)cc1 ZINC000798794917 1073014905 /nfs/dbraw/zinc/01/49/05/1073014905.db2.gz YKDIZYRDYRUZOT-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN Cn1nncc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000798795549 1073014722 /nfs/dbraw/zinc/01/47/22/1073014722.db2.gz BHEKNALVVNHNGR-UHFFFAOYSA-N 0 0 429.405 -0.217 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnnn3C)CC2)cc1 ZINC000798795736 1073014874 /nfs/dbraw/zinc/01/48/74/1073014874.db2.gz IPMRVNJJDIMSRB-UHFFFAOYSA-N 0 0 437.478 -0.096 20 0 IBADRN COc1ccc(NC(=O)COC(=O)c2cnnn2C)cc1S(=O)(=O)N1CCOCC1 ZINC000798795848 1073014735 /nfs/dbraw/zinc/01/47/35/1073014735.db2.gz MUGYDSFPDCLSKT-UHFFFAOYSA-N 0 0 439.450 -0.360 20 0 IBADRN CCN(C(=O)COC(=O)c1cnnn1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000798796700 1073014689 /nfs/dbraw/zinc/01/46/89/1073014689.db2.gz IXEGPDGZNMRDSC-UHFFFAOYSA-N 0 0 427.421 -0.082 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cnnn3C)CC2)c1 ZINC000798797428 1073014829 /nfs/dbraw/zinc/01/48/29/1073014829.db2.gz UGYJHFPDKFFKCZ-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)c1cnnn1C ZINC000798797967 1073014782 /nfs/dbraw/zinc/01/47/82/1073014782.db2.gz UNEYAZBGPMUOIF-UHFFFAOYSA-N 0 0 439.450 -0.360 20 0 IBADRN Cn1nncc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000798798473 1073014931 /nfs/dbraw/zinc/01/49/31/1073014931.db2.gz ZGAXZYZCKXGGSR-UHFFFAOYSA-N 0 0 433.490 -0.006 20 0 IBADRN Cn1cc2c(n1)CCC[C@@H]2C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000798824200 1073015216 /nfs/dbraw/zinc/01/52/16/1073015216.db2.gz BANUNYDCPGSCIS-HNNXBMFYSA-N 0 0 428.493 -0.065 20 0 IBADRN Cn1cc2c(n1)CCC[C@H]2C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000798824201 1073015185 /nfs/dbraw/zinc/01/51/85/1073015185.db2.gz BANUNYDCPGSCIS-OAHLLOKOSA-N 0 0 428.493 -0.065 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3COCCN3CC(F)F)CC2)ccc1=O ZINC000798824510 1073014867 /nfs/dbraw/zinc/01/48/67/1073014867.db2.gz LKTTXQZAEKOYIX-HNNXBMFYSA-N 0 0 443.451 -0.953 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3COCCN3CC(F)F)CC2)ccc1=O ZINC000798824511 1073014756 /nfs/dbraw/zinc/01/47/56/1073014756.db2.gz LKTTXQZAEKOYIX-OAHLLOKOSA-N 0 0 443.451 -0.953 20 0 IBADRN C[C@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)N(C)CC(F)(F)F ZINC000798824583 1073015301 /nfs/dbraw/zinc/01/53/01/1073015301.db2.gz NYBUBFYYPBBKBD-CYBMUJFWSA-N 0 0 433.431 -0.036 20 0 IBADRN C[C@@H](C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1)N(C)CC(F)(F)F ZINC000798824584 1073015179 /nfs/dbraw/zinc/01/51/79/1073015179.db2.gz NYBUBFYYPBBKBD-ZDUSSCGKSA-N 0 0 433.431 -0.036 20 0 IBADRN Cn1ncc2c1C[C@@H](C(=O)OCCN1CCN(C(=O)c3ccc(=O)n(C)n3)CC1)CC2 ZINC000798824791 1073015155 /nfs/dbraw/zinc/01/51/55/1073015155.db2.gz SVQKRBDTXKJOJE-HNNXBMFYSA-N 0 0 428.493 -0.380 20 0 IBADRN Cn1ncc2c1C[C@H](C(=O)OCCN1CCN(C(=O)c3ccc(=O)n(C)n3)CC1)CC2 ZINC000798824794 1073015286 /nfs/dbraw/zinc/01/52/86/1073015286.db2.gz SVQKRBDTXKJOJE-OAHLLOKOSA-N 0 0 428.493 -0.380 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C2=NO[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000798847591 1073015325 /nfs/dbraw/zinc/01/53/25/1073015325.db2.gz OFPMIUMHSKXPCV-AWEZNQCLSA-N 0 0 427.502 -0.437 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C2=NO[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000798847592 1073015352 /nfs/dbraw/zinc/01/53/52/1073015352.db2.gz OFPMIUMHSKXPCV-CQSZACIVSA-N 0 0 427.502 -0.437 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000798866042 1073015237 /nfs/dbraw/zinc/01/52/37/1073015237.db2.gz BVNALCPHUATYDJ-CHWSQXEVSA-N 0 0 446.526 -0.192 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000798866045 1073015131 /nfs/dbraw/zinc/01/51/31/1073015131.db2.gz BVNALCPHUATYDJ-OLZOCXBDSA-N 0 0 446.526 -0.192 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000798866048 1073015364 /nfs/dbraw/zinc/01/53/64/1073015364.db2.gz BVNALCPHUATYDJ-QWHCGFSZSA-N 0 0 446.526 -0.192 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000798866049 1073015226 /nfs/dbraw/zinc/01/52/26/1073015226.db2.gz BVNALCPHUATYDJ-STQMWFEESA-N 0 0 446.526 -0.192 20 0 IBADRN Cn1cc(C(N)=O)cc1C(=O)O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2OC(=O)c1cc(C(N)=O)cn1C ZINC000798866691 1073015166 /nfs/dbraw/zinc/01/51/66/1073015166.db2.gz VRZRFMNKYFCNST-QKPAOTATSA-N 0 0 446.416 -0.890 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)O[C@H]1CO[C@@H]2[C@H](OC(=O)[C@@H]3COCCN3CC)CO[C@@H]21 ZINC000798866700 1073015611 /nfs/dbraw/zinc/01/56/11/1073015611.db2.gz WBROJMLGHCLYHL-GQLSEVEHSA-N 0 0 428.482 -0.951 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2OC(=O)[C@H]1COCCN1CC ZINC000798866701 1073015720 /nfs/dbraw/zinc/01/57/20/1073015720.db2.gz WBROJMLGHCLYHL-PDCGBLAGSA-N 0 0 428.482 -0.951 20 0 IBADRN CCN1CCOC[C@H]1C(=O)O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2OC(=O)[C@@H]1COCCN1CC ZINC000798866702 1073015653 /nfs/dbraw/zinc/01/56/53/1073015653.db2.gz WBROJMLGHCLYHL-SJUNHDGSSA-N 0 0 428.482 -0.951 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2OC(=O)[C@@H]1COCCN1CC ZINC000798866703 1073015667 /nfs/dbraw/zinc/01/56/67/1073015667.db2.gz WBROJMLGHCLYHL-UCIFAOBLSA-N 0 0 428.482 -0.951 20 0 IBADRN O=C(O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2OC(=O)[C@H]1CC(=O)N(C2CC2)C1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000798867776 1073015571 /nfs/dbraw/zinc/01/55/71/1073015571.db2.gz WDXKQZBNISHCOV-DCMVGHQVSA-N 0 0 448.472 -0.371 20 0 IBADRN O=C(O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2OC(=O)[C@H]1CC(=O)N(C2CC2)C1)[C@H]1CC(=O)N(C2CC2)C1 ZINC000798867777 1073015553 /nfs/dbraw/zinc/01/55/53/1073015553.db2.gz WDXKQZBNISHCOV-LCRDOQGWSA-N 0 0 448.472 -0.371 20 0 IBADRN O=C(O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2OC(=O)[C@@H]1CC(=O)N(C2CC2)C1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000798867778 1073015618 /nfs/dbraw/zinc/01/56/18/1073015618.db2.gz WDXKQZBNISHCOV-UFKGFOIBSA-N 0 0 448.472 -0.371 20 0 IBADRN O=C(O[C@H]1CO[C@@H]2[C@H](OC(=O)[C@H]3CC(=O)N(C4CC4)C3)CO[C@@H]21)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000798867779 1073015674 /nfs/dbraw/zinc/01/56/74/1073015674.db2.gz WDXKQZBNISHCOV-UOQUQABJSA-N 0 0 448.472 -0.371 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@H](C)n2ncnc2N1C ZINC000798877160 1073015681 /nfs/dbraw/zinc/01/56/81/1073015681.db2.gz LTFQWNDPBFWUFY-AWEZNQCLSA-N 0 0 425.515 -0.716 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@@H](C)n2ncnc2N1C ZINC000798877161 1073015731 /nfs/dbraw/zinc/01/57/31/1073015731.db2.gz LTFQWNDPBFWUFY-CQSZACIVSA-N 0 0 425.515 -0.716 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@@H](C)n2ncnc2N1C ZINC000798890526 1073015542 /nfs/dbraw/zinc/01/55/42/1073015542.db2.gz BVTNONHIKLBBNU-GFCCVEGCSA-N 0 0 449.493 -0.212 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)[C@H](C)n2ncnc2N1C ZINC000798890527 1073015631 /nfs/dbraw/zinc/01/56/31/1073015631.db2.gz BVTNONHIKLBBNU-LBPRGKRZSA-N 0 0 449.493 -0.212 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000798890584 1073015588 /nfs/dbraw/zinc/01/55/88/1073015588.db2.gz DTCFWYHRDZWETG-NEPJUHHUSA-N 0 0 432.499 -0.582 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000798890585 1073015706 /nfs/dbraw/zinc/01/57/06/1073015706.db2.gz DTCFWYHRDZWETG-NWDGAFQWSA-N 0 0 432.499 -0.582 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000798890586 1073015579 /nfs/dbraw/zinc/01/55/79/1073015579.db2.gz DTCFWYHRDZWETG-RYUDHWBXSA-N 0 0 432.499 -0.582 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000798890587 1073015644 /nfs/dbraw/zinc/01/56/44/1073015644.db2.gz DTCFWYHRDZWETG-VXGBXAGGSA-N 0 0 432.499 -0.582 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)=NO1 ZINC000798890772 1073015602 /nfs/dbraw/zinc/01/56/02/1073015602.db2.gz LBRCCNFYLIWMLG-NEPJUHHUSA-N 0 0 432.499 -0.534 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)=NO1 ZINC000798890773 1073015595 /nfs/dbraw/zinc/01/55/95/1073015595.db2.gz LBRCCNFYLIWMLG-NWDGAFQWSA-N 0 0 432.499 -0.534 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)=NO1 ZINC000798890774 1073015694 /nfs/dbraw/zinc/01/56/94/1073015694.db2.gz LBRCCNFYLIWMLG-RYUDHWBXSA-N 0 0 432.499 -0.534 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)=NO1 ZINC000798890775 1073015563 /nfs/dbraw/zinc/01/55/63/1073015563.db2.gz LBRCCNFYLIWMLG-VXGBXAGGSA-N 0 0 432.499 -0.534 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)c2cnnn2C)CC1 ZINC000798895547 1073015933 /nfs/dbraw/zinc/01/59/33/1073015933.db2.gz CHSAPUTVFZEQEY-UHFFFAOYSA-N 0 0 427.508 -0.326 20 0 IBADRN Cn1cc2c(n1)CCC[C@@H]2C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000798896295 1073015953 /nfs/dbraw/zinc/01/59/53/1073015953.db2.gz LITNZWMUEOGHNH-KBPBESRZSA-N 0 0 430.552 -0.499 20 0 IBADRN Cn1cc2c(n1)CCC[C@@H]2C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000798896296 1073015972 /nfs/dbraw/zinc/01/59/72/1073015972.db2.gz LITNZWMUEOGHNH-KGLIPLIRSA-N 0 0 430.552 -0.499 20 0 IBADRN Cn1cc2c(n1)CCC[C@H]2C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000798896297 1073016052 /nfs/dbraw/zinc/01/60/52/1073016052.db2.gz LITNZWMUEOGHNH-UONOGXRCSA-N 0 0 430.552 -0.499 20 0 IBADRN Cn1cc2c(n1)CCC[C@H]2C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000798896398 1073016014 /nfs/dbraw/zinc/01/60/14/1073016014.db2.gz LITNZWMUEOGHNH-ZIAGYGMSSA-N 0 0 430.552 -0.499 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)=NO1 ZINC000798928166 1073015913 /nfs/dbraw/zinc/01/59/13/1073015913.db2.gz ZBRPFHIYBAPFIH-CYBMUJFWSA-N 0 0 433.531 -0.651 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)=NO1 ZINC000798928167 1073016010 /nfs/dbraw/zinc/01/60/10/1073016010.db2.gz ZBRPFHIYBAPFIH-ZDUSSCGKSA-N 0 0 433.531 -0.651 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)=NO1 ZINC000798943849 1073015977 /nfs/dbraw/zinc/01/59/77/1073015977.db2.gz UIHXMBWADXMRFU-AEGPPILISA-N 0 0 446.526 -0.730 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)=NO1 ZINC000798943850 1073015962 /nfs/dbraw/zinc/01/59/62/1073015962.db2.gz UIHXMBWADXMRFU-CFVMTHIKSA-N 0 0 446.526 -0.730 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)=NO1 ZINC000798943851 1073016091 /nfs/dbraw/zinc/01/60/91/1073016091.db2.gz UIHXMBWADXMRFU-NWANDNLSSA-N 0 0 446.526 -0.730 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)=NO1 ZINC000798943852 1073016042 /nfs/dbraw/zinc/01/60/42/1073016042.db2.gz UIHXMBWADXMRFU-QEJZJMRPSA-N 0 0 446.526 -0.730 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@]3(C)CCC(=O)NC3)C2)CC1 ZINC000798973787 1073016021 /nfs/dbraw/zinc/01/60/21/1073016021.db2.gz WBXIYWZVPUEWPY-IBGZPJMESA-N 0 0 444.554 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@]3(C)CCC(=O)NC3)C2)CC1 ZINC000798973790 1073016061 /nfs/dbraw/zinc/01/60/61/1073016061.db2.gz WBXIYWZVPUEWPY-LJQANCHMSA-N 0 0 444.554 -0.004 20 0 IBADRN Cn1nncc1C(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1cnnn1C ZINC000798973927 1073016085 /nfs/dbraw/zinc/01/60/85/1073016085.db2.gz VAVWZDGULXWCSW-GFCCVEGCSA-N 0 0 433.473 -0.565 20 0 IBADRN Cn1nncc1C(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1cnnn1C ZINC000798973929 1073015995 /nfs/dbraw/zinc/01/59/95/1073015995.db2.gz VAVWZDGULXWCSW-LBPRGKRZSA-N 0 0 433.473 -0.565 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)NCCNS(=O)(=O)Cc2ccon2)=NO1 ZINC000798974166 1073015988 /nfs/dbraw/zinc/01/59/88/1073015988.db2.gz ZSHJDBIVZYVLFF-GFCCVEGCSA-N 0 0 431.471 -0.120 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)NCCNS(=O)(=O)Cc2ccon2)=NO1 ZINC000798974167 1073015939 /nfs/dbraw/zinc/01/59/39/1073015939.db2.gz ZSHJDBIVZYVLFF-LBPRGKRZSA-N 0 0 431.471 -0.120 20 0 IBADRN COC(=O)C1(NC(=O)C2=NO[C@@H](CNC(=O)OC(C)(C)C)C2)CCS(=O)(=O)CC1 ZINC000798975366 1073016055 /nfs/dbraw/zinc/01/60/55/1073016055.db2.gz IWEMUARUWDLSIN-LLVKDONJSA-N 0 0 433.483 -0.107 20 0 IBADRN COC(=O)C1(NC(=O)C2=NO[C@H](CNC(=O)OC(C)(C)C)C2)CCS(=O)(=O)CC1 ZINC000798975367 1073016030 /nfs/dbraw/zinc/01/60/30/1073016030.db2.gz IWEMUARUWDLSIN-NSHDSACASA-N 0 0 433.483 -0.107 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)=NO1 ZINC000798990068 1073016072 /nfs/dbraw/zinc/01/60/72/1073016072.db2.gz HIPBUZWPXNJIIL-LLVKDONJSA-N 0 0 443.486 -0.693 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)=NO1 ZINC000798990069 1073016387 /nfs/dbraw/zinc/01/63/87/1073016387.db2.gz HIPBUZWPXNJIIL-NSHDSACASA-N 0 0 443.486 -0.693 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(CNC(=O)C(F)(F)F)CC3)c2c(=O)n(C)c1=O ZINC000799020975 1073016482 /nfs/dbraw/zinc/01/64/82/1073016482.db2.gz HWTTUQWDACHFMT-UHFFFAOYSA-N 0 0 430.387 -0.649 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC(CNC(=O)C(F)(F)F)CC1)c(=O)n2C ZINC000799021086 1073016502 /nfs/dbraw/zinc/01/65/02/1073016502.db2.gz MPOUTNOJEAHNKH-UHFFFAOYSA-N 0 0 430.387 -0.649 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC(CNC(=O)C(F)(F)F)CC3)c2c(=O)n(C)c1=O ZINC000799021600 1073016553 /nfs/dbraw/zinc/01/65/53/1073016553.db2.gz HZYNNSMIGIXHHT-UHFFFAOYSA-N 0 0 444.414 -0.259 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(CNC(=O)C(F)(F)F)CC2)cn1C ZINC000799024754 1073016451 /nfs/dbraw/zinc/01/64/51/1073016451.db2.gz YTZWYVRAUQZVDA-UHFFFAOYSA-N 0 0 425.433 -0.076 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000799033416 1073016429 /nfs/dbraw/zinc/01/64/29/1073016429.db2.gz WPUSADCJIVXJLT-OYNZBZHQSA-N 0 0 427.454 -0.094 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)s1 ZINC000799034183 1073016344 /nfs/dbraw/zinc/01/63/44/1073016344.db2.gz NQYRNFCGWNMWAY-YPMHNXCESA-N 0 0 430.508 -0.381 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000799065222 1073016831 /nfs/dbraw/zinc/01/68/31/1073016831.db2.gz LFKPPGMYEDKUML-AUUYWEPGSA-N 0 0 443.522 -0.030 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000799065223 1073016984 /nfs/dbraw/zinc/01/69/84/1073016984.db2.gz LFKPPGMYEDKUML-IFXJQAMLSA-N 0 0 443.522 -0.030 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000799065224 1073016897 /nfs/dbraw/zinc/01/68/97/1073016897.db2.gz LFKPPGMYEDKUML-KUHUBIRLSA-N 0 0 443.522 -0.030 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000799065225 1073016978 /nfs/dbraw/zinc/01/69/78/1073016978.db2.gz LFKPPGMYEDKUML-LIRRHRJNSA-N 0 0 443.522 -0.030 20 0 IBADRN COC(=O)CN(CCN1CC[NH+](C)CC1)C(=O)Cn1c(=O)c2ccccc2[n-]c1=S ZINC000799103169 1073016904 /nfs/dbraw/zinc/01/69/04/1073016904.db2.gz CIMYFLSWEFEBRA-UHFFFAOYSA-N 0 0 433.534 -0.066 20 0 IBADRN O=C1CC[C@@H](N2CCN(S(=O)(=O)c3cc4c(cc3Cl)NC(=O)CO4)CC2)C(=O)N1 ZINC000799106586 1073016942 /nfs/dbraw/zinc/01/69/42/1073016942.db2.gz JWZDPQYYZBAGKB-GFCCVEGCSA-N 0 0 442.881 -0.218 20 0 IBADRN O=C1CC[C@H](N2CCN(S(=O)(=O)c3cc4c(cc3Cl)NC(=O)CO4)CC2)C(=O)N1 ZINC000799106588 1073016951 /nfs/dbraw/zinc/01/69/51/1073016951.db2.gz JWZDPQYYZBAGKB-LBPRGKRZSA-N 0 0 442.881 -0.218 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN([C@H]2CCC(=O)NC2=O)CC1 ZINC000799128658 1073016868 /nfs/dbraw/zinc/01/68/68/1073016868.db2.gz DDMYSTSCYGWLDS-AWEZNQCLSA-N 0 0 429.520 -0.490 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN([C@@H]2CCC(=O)NC2=O)CC1 ZINC000799128663 1073016846 /nfs/dbraw/zinc/01/68/46/1073016846.db2.gz DDMYSTSCYGWLDS-CQSZACIVSA-N 0 0 429.520 -0.490 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2ncnn2-c2ccccc2)CC1 ZINC000799129470 1073016880 /nfs/dbraw/zinc/01/68/80/1073016880.db2.gz OIYOLORPNMDZNX-INIZCTEOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2ncnn2-c2ccccc2)CC1 ZINC000799129472 1073016890 /nfs/dbraw/zinc/01/68/90/1073016890.db2.gz OIYOLORPNMDZNX-MRXNPFEDSA-N 0 0 425.536 -0.098 20 0 IBADRN CC(C)[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000799132298 1073017046 /nfs/dbraw/zinc/01/70/46/1073017046.db2.gz MPWXGFBRNLYCJY-GOSISDBHSA-N 0 0 429.543 -0.505 20 0 IBADRN CC(C)[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000799132300 1073016860 /nfs/dbraw/zinc/01/68/60/1073016860.db2.gz MPWXGFBRNLYCJY-SFHVURJKSA-N 0 0 429.543 -0.505 20 0 IBADRN CC(C)[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000799134593 1073017054 /nfs/dbraw/zinc/01/70/54/1073017054.db2.gz YXOONVAFOMVXCH-IBGZPJMESA-N 0 0 442.586 -0.590 20 0 IBADRN CC(C)[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000799134598 1073017380 /nfs/dbraw/zinc/01/73/80/1073017380.db2.gz YXOONVAFOMVXCH-LJQANCHMSA-N 0 0 442.586 -0.590 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC(CNC(=O)C(F)(F)F)CC2)c(=O)[nH]c1=O ZINC000799174517 1073017541 /nfs/dbraw/zinc/01/75/41/1073017541.db2.gz OVYCJMKVYWSBFS-UHFFFAOYSA-N 0 0 435.403 -0.249 20 0 IBADRN O=C(CN1CCC(CNC(=O)C(F)(F)F)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000799175437 1073017265 /nfs/dbraw/zinc/01/72/65/1073017265.db2.gz ZXWQWYPTSDZAGE-LLVKDONJSA-N 0 0 428.433 -0.610 20 0 IBADRN O=C(CN1CCC(CNC(=O)C(F)(F)F)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000799175439 1073018104 /nfs/dbraw/zinc/01/81/04/1073018104.db2.gz ZXWQWYPTSDZAGE-NSHDSACASA-N 0 0 428.433 -0.610 20 0 IBADRN O=C1N[C@H]2CCN(CCS(=O)(=O)CCN3CC[C@@H]4NC(=O)OC[C@H]4C3)C[C@@H]2CO1 ZINC000799179602 1073017905 /nfs/dbraw/zinc/01/79/05/1073017905.db2.gz QEZQEMPKUDMQHF-WCVJEAGWSA-N 0 0 430.527 -0.738 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H]4NC(=O)OC[C@H]4C3)C2=O)c1 ZINC000799180175 1073018203 /nfs/dbraw/zinc/01/82/03/1073018203.db2.gz QFJUFIBLKQSZCU-RAJNIJHNSA-N 0 0 431.449 -0.076 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H]4NC(=O)OC[C@H]4C3)C2=O)c1 ZINC000799180176 1073017876 /nfs/dbraw/zinc/01/78/76/1073017876.db2.gz QFJUFIBLKQSZCU-UFAGZECESA-N 0 0 431.449 -0.076 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@@H]3NC(=O)OC[C@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC000799180378 1073018173 /nfs/dbraw/zinc/01/81/73/1073018173.db2.gz XTRASDSPBZVZOS-CABCVRRESA-N 0 0 427.461 -0.210 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H]4NC(=O)OC[C@H]4C3)C2=O)cc1 ZINC000799181095 1073018079 /nfs/dbraw/zinc/01/80/79/1073018079.db2.gz YFZFINWYTHHLLG-RAJNIJHNSA-N 0 0 431.449 -0.076 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@@H]4NC(=O)OC[C@H]4C3)C2=O)cc1 ZINC000799181096 1073018222 /nfs/dbraw/zinc/01/82/22/1073018222.db2.gz YFZFINWYTHHLLG-UFAGZECESA-N 0 0 431.449 -0.076 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)C(=O)N1CCCNC(=O)C1 ZINC000799252499 1073018117 /nfs/dbraw/zinc/01/81/17/1073018117.db2.gz GKBDYCSTKPKTIB-UHFFFAOYSA-N 0 0 426.271 -0.032 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(O[C@H]2CCN(C)C2=O)cc1C ZINC000799252670 1073018068 /nfs/dbraw/zinc/01/80/68/1073018068.db2.gz ISFNUXLUHOXGJD-HNNXBMFYSA-N 0 0 426.495 -0.401 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(O[C@@H]2CCN(C)C2=O)cc1C ZINC000799252671 1073018159 /nfs/dbraw/zinc/01/81/59/1073018159.db2.gz ISFNUXLUHOXGJD-OAHLLOKOSA-N 0 0 426.495 -0.401 20 0 IBADRN CCn1ncc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1C(F)(F)F ZINC000799253412 1073017949 /nfs/dbraw/zinc/01/79/49/1073017949.db2.gz SCGXIKXHIHEQOK-UHFFFAOYSA-N 0 0 427.405 -0.362 20 0 IBADRN Cc1cc(O[C@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCOCCS(C)(=O)=O ZINC000799256133 1073017979 /nfs/dbraw/zinc/01/79/79/1073017979.db2.gz YJZPSXCVMGQMPE-INIZCTEOSA-N 0 0 441.506 -0.280 20 0 IBADRN Cc1cc(O[C@@H]2CCN(C)C2=O)ccc1NC(=O)C(=O)NCCOCCS(C)(=O)=O ZINC000799256134 1073017940 /nfs/dbraw/zinc/01/79/40/1073017940.db2.gz YJZPSXCVMGQMPE-MRXNPFEDSA-N 0 0 441.506 -0.280 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1 ZINC000799269697 1073018553 /nfs/dbraw/zinc/01/85/53/1073018553.db2.gz PIXXTLYLLBVBEJ-HIFRSBDPSA-N 0 0 432.433 -0.125 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)C(=O)N3CC[C@@H]4NC(=O)OC[C@H]4C3)cc2)CC1 ZINC000799269962 1073018141 /nfs/dbraw/zinc/01/81/41/1073018141.db2.gz OTRSPMTXGYNLIU-AEFFLSMTSA-N 0 0 443.504 -0.102 20 0 IBADRN O=C(COc1ccccc1NC(=O)C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1)N1CCOCC1 ZINC000799270197 1073018468 /nfs/dbraw/zinc/01/84/68/1073018468.db2.gz YYTUACLIGREQCR-CABCVRRESA-N 0 0 446.460 -0.180 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1 ZINC000799270208 1073018559 /nfs/dbraw/zinc/01/85/59/1073018559.db2.gz ZJLYBVNGHNQYRW-RISCZKNCSA-N 0 0 426.451 -0.236 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1 ZINC000799270214 1073018672 /nfs/dbraw/zinc/01/86/72/1073018672.db2.gz ZQAYEJQCIVAJCY-HIFRSBDPSA-N 0 0 432.433 -0.586 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc(S(C)(=O)=O)c1 ZINC000799270250 1073018620 /nfs/dbraw/zinc/01/86/20/1073018620.db2.gz BGTAJKWXEUTSDX-RISCZKNCSA-N 0 0 439.446 -0.228 20 0 IBADRN O=C(Nc1cccc(N2CCS(=O)(=O)CC2)c1)C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000799270346 1073018450 /nfs/dbraw/zinc/01/84/50/1073018450.db2.gz GEZKEBGKYWRNDY-CJNGLKHVSA-N 0 0 436.490 -0.183 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000799270359 1073018540 /nfs/dbraw/zinc/01/85/40/1073018540.db2.gz GTXQPNSGWDSXIX-YPMHNXCESA-N 0 0 440.478 -0.159 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CC[C@@H]3NC(=O)OC[C@H]3C1)CC2 ZINC000799270360 1073018602 /nfs/dbraw/zinc/01/86/02/1073018602.db2.gz GWADULKPMZMUFM-HIFRSBDPSA-N 0 0 436.490 -0.169 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)ccc1S(=O)(=O)N(C)C ZINC000799270373 1073018642 /nfs/dbraw/zinc/01/86/42/1073018642.db2.gz HRKYNXMKJPWZGF-YPMHNXCESA-N 0 0 440.478 -0.159 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000799270393 1073018662 /nfs/dbraw/zinc/01/86/62/1073018662.db2.gz IOGMYGPAYXIMOX-HIFRSBDPSA-N 0 0 436.490 -0.217 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000799270396 1073018567 /nfs/dbraw/zinc/01/85/67/1073018567.db2.gz IRMYZCNPNBCFDC-OCCSQVGLSA-N 0 0 437.478 -0.239 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1 ZINC000799270554 1073018648 /nfs/dbraw/zinc/01/86/48/1073018648.db2.gz ASGVEMIFXHNMGF-PBHICJAKSA-N 0 0 445.476 -0.147 20 0 IBADRN O=C(Cc1ccc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1)N1CCOCC1 ZINC000799270656 1073018629 /nfs/dbraw/zinc/01/86/29/1073018629.db2.gz LLMJODBKDFGWPJ-WBVHZDCISA-N 0 0 430.461 -0.017 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1 ZINC000799270732 1073018529 /nfs/dbraw/zinc/01/85/29/1073018529.db2.gz MUPBLXOVDNMNMW-DOMZBBRYSA-N 0 0 440.478 -0.493 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@@H]4NC(=O)OC[C@H]4C3)cn2)C[C@H](C)O1 ZINC000799270951 1073018612 /nfs/dbraw/zinc/01/86/12/1073018612.db2.gz FXVNSTXANYWGHZ-AYDFFVQHSA-N 0 0 448.480 -0.586 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@@H]4NC(=O)OC[C@H]4C3)cn2)C[C@H](C)O1 ZINC000799270954 1073018439 /nfs/dbraw/zinc/01/84/39/1073018439.db2.gz FXVNSTXANYWGHZ-CTASWTNQSA-N 0 0 448.480 -0.586 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CC[C@@H]4NC(=O)OC[C@H]4C3)cn2)C[C@@H](C)O1 ZINC000799270956 1073018599 /nfs/dbraw/zinc/01/85/99/1073018599.db2.gz FXVNSTXANYWGHZ-KQTLUZQSSA-N 0 0 448.480 -0.586 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)c1 ZINC000799271152 1073019027 /nfs/dbraw/zinc/01/90/27/1073019027.db2.gz TVAWYMWKMIXYBA-MFKMUULPSA-N 0 0 428.442 -0.029 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1NS(C)(=O)=O ZINC000799271343 1073018479 /nfs/dbraw/zinc/01/84/79/1073018479.db2.gz XKGOVFAQHJWYHS-PWSUYJOCSA-N 0 0 426.451 -0.038 20 0 IBADRN CN1CCN(C(=O)c2ccc(NC(=O)C(=O)N3CC[C@@H]4NC(=O)OC[C@H]4C3)cc2)CC1 ZINC000799271384 1073018576 /nfs/dbraw/zinc/01/85/76/1073018576.db2.gz YJOVXHNSBHBWGX-WBVHZDCISA-N 0 0 429.477 -0.030 20 0 IBADRN CN1CCN(C(=O)c2ccccc2NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)CC1 ZINC000799271881 1073018511 /nfs/dbraw/zinc/01/85/11/1073018511.db2.gz OWEXWUZGESLNBZ-ZBFHGGJFSA-N 0 0 429.477 -0.030 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000799275856 1073018968 /nfs/dbraw/zinc/01/89/68/1073018968.db2.gz RNOKDAIUVPXWRE-UHFFFAOYSA-N 0 0 447.517 -0.116 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C1 ZINC000799283124 1073019079 /nfs/dbraw/zinc/01/90/79/1073019079.db2.gz XSTVSNICCJPHEP-GOSISDBHSA-N 0 0 444.510 -0.316 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)Nc2ccn(CCS(C)(=O)=O)n2)C1 ZINC000799283125 1073019005 /nfs/dbraw/zinc/01/90/05/1073019005.db2.gz XSTVSNICCJPHEP-SFHVURJKSA-N 0 0 444.510 -0.316 20 0 IBADRN CCOC(=O)[C@@H]1CN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CCO1 ZINC000799303050 1073019529 /nfs/dbraw/zinc/01/95/29/1073019529.db2.gz GPZGVELSDVNYPI-AWEZNQCLSA-N 0 0 434.536 -0.026 20 0 IBADRN CCOC(=O)[C@H]1CN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CCO1 ZINC000799303051 1073019448 /nfs/dbraw/zinc/01/94/48/1073019448.db2.gz GPZGVELSDVNYPI-CQSZACIVSA-N 0 0 434.536 -0.026 20 0 IBADRN COCCc1nnc(SCCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)n1N ZINC000799305904 1073019433 /nfs/dbraw/zinc/01/94/33/1073019433.db2.gz NYILFXIPBYLKJU-UHFFFAOYSA-N 0 0 449.580 -0.003 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@H](O)c3ccc(F)cn3)CC2)cc1 ZINC000799306642 1073019533 /nfs/dbraw/zinc/01/95/33/1073019533.db2.gz QPQPMNULCSOBJC-KRWDZBQOSA-N 0 0 444.510 -0.092 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H](O)c3ccc(F)cn3)CC2)cc1 ZINC000799306643 1073019455 /nfs/dbraw/zinc/01/94/55/1073019455.db2.gz QPQPMNULCSOBJC-QGZVFWFLSA-N 0 0 444.510 -0.092 20 0 IBADRN O=C(CN1C(=O)c2c(cccc2F)S1(=O)=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000799311463 1073019473 /nfs/dbraw/zinc/01/94/73/1073019473.db2.gz VITJWHHGZMFYRQ-GFCCVEGCSA-N 0 0 445.494 -0.699 20 0 IBADRN O=C(CN1C(=O)c2c(cccc2F)S1(=O)=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000799311469 1073019522 /nfs/dbraw/zinc/01/95/22/1073019522.db2.gz VITJWHHGZMFYRQ-LBPRGKRZSA-N 0 0 445.494 -0.699 20 0 IBADRN COCCn1c(N)c(C(=O)CN2C(=O)c3c(cccc3F)S2(=O)=O)c(=O)[nH]c1=O ZINC000799312331 1073019542 /nfs/dbraw/zinc/01/95/42/1073019542.db2.gz GGFQGUAIPSAYHT-UHFFFAOYSA-N 0 0 426.382 -0.656 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2C(=O)c3c(cccc3F)S2(=O)=O)cc1 ZINC000799312769 1073019423 /nfs/dbraw/zinc/01/94/23/1073019423.db2.gz LELWRILIJPITBD-UHFFFAOYSA-N 0 0 441.462 -0.024 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2C(=O)c3c(cccc3F)S2(=O)=O)c(=O)n(C)c1=O ZINC000799316312 1073019468 /nfs/dbraw/zinc/01/94/68/1073019468.db2.gz YYUAKYGFPAWSSE-UHFFFAOYSA-N 0 0 438.437 -0.048 20 0 IBADRN COCCn1c(N)c(C(=O)CN2C(=O)c3cccc(Cl)c3S2(=O)=O)c(=O)[nH]c1=O ZINC000799317534 1073019495 /nfs/dbraw/zinc/01/94/95/1073019495.db2.gz PAJLETHMJUZKFU-UHFFFAOYSA-N 0 0 442.837 -0.142 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000799370577 1073019502 /nfs/dbraw/zinc/01/95/02/1073019502.db2.gz PTMSCUYPCXBLQH-UHFFFAOYSA-N 0 0 430.911 -0.004 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC000799374032 1073019555 /nfs/dbraw/zinc/01/95/55/1073019555.db2.gz RCBTYWULONRXFL-UHFFFAOYSA-N 0 0 429.467 -0.397 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000799382335 1073019462 /nfs/dbraw/zinc/01/94/62/1073019462.db2.gz KSPCQADNYRUHIA-KYOSRNDESA-N 0 0 444.535 -0.202 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000799382336 1073019509 /nfs/dbraw/zinc/01/95/09/1073019509.db2.gz KSPCQADNYRUHIA-OSAQELSMSA-N 0 0 444.535 -0.202 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000799382337 1073019868 /nfs/dbraw/zinc/01/98/68/1073019868.db2.gz KSPCQADNYRUHIA-UXIGCNINSA-N 0 0 444.535 -0.202 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000799382338 1073019876 /nfs/dbraw/zinc/01/98/76/1073019876.db2.gz KSPCQADNYRUHIA-ZLDLUXBVSA-N 0 0 444.535 -0.202 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000799406986 1073019969 /nfs/dbraw/zinc/01/99/69/1073019969.db2.gz QAWDXCWCMKUZAJ-UHFFFAOYSA-N 0 0 446.457 -0.143 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000799408524 1073019909 /nfs/dbraw/zinc/01/99/09/1073019909.db2.gz QBMVWZPRMUWRPT-UHFFFAOYSA-N 0 0 446.457 -0.143 20 0 IBADRN O=C(COCCOCC(=O)N1CCOC[C@@]12CCOC2)N1CCOC[C@]12CCOC2 ZINC000799430341 1073019756 /nfs/dbraw/zinc/01/97/56/1073019756.db2.gz WDEWUSFNRJBIIE-BGYRXZFFSA-N 0 0 428.482 -0.945 20 0 IBADRN O=C(COCCOCC(=O)N1CCOC[C@@]12CCOC2)N1CCOC[C@@]12CCOC2 ZINC000799430342 1073019956 /nfs/dbraw/zinc/01/99/56/1073019956.db2.gz WDEWUSFNRJBIIE-PMACEKPBSA-N 0 0 428.482 -0.945 20 0 IBADRN O=C(COCCOCC(=O)N1CCOC[C@]12CCOC2)N1CCOC[C@]12CCOC2 ZINC000799430343 1073019949 /nfs/dbraw/zinc/01/99/49/1073019949.db2.gz WDEWUSFNRJBIIE-WOJBJXKFSA-N 0 0 428.482 -0.945 20 0 IBADRN Cn1cc(/C=C\C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)c(=O)n(C)c1=O ZINC000799430950 1073019845 /nfs/dbraw/zinc/01/98/45/1073019845.db2.gz OIYYNZWXIMFIOJ-UTCJRWHESA-N 0 0 444.872 -0.016 20 0 IBADRN Cn1cc(/C=C/C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)c(=O)n(C)c1=O ZINC000799430951 1073019738 /nfs/dbraw/zinc/01/97/38/1073019738.db2.gz OIYYNZWXIMFIOJ-ZZXKWVIFSA-N 0 0 444.872 -0.016 20 0 IBADRN Cn1cc(/C=C\C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)c(=O)n(C)c1=O ZINC000799431522 1073019829 /nfs/dbraw/zinc/01/98/29/1073019829.db2.gz YIEAISHZPRINFC-UTCJRWHESA-N 0 0 428.417 -0.530 20 0 IBADRN Cn1cc(/C=C/C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)c(=O)n(C)c1=O ZINC000799431523 1073019937 /nfs/dbraw/zinc/01/99/37/1073019937.db2.gz YIEAISHZPRINFC-ZZXKWVIFSA-N 0 0 428.417 -0.530 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)OCc3nnn(C)n3)CC2)cc1 ZINC000799453755 1073019919 /nfs/dbraw/zinc/01/99/19/1073019919.db2.gz VRIKSGOOTFYHJJ-UHFFFAOYSA-N 0 0 436.494 -0.125 20 0 IBADRN Cn1nnc(COC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)n1 ZINC000799460061 1073019983 /nfs/dbraw/zinc/01/99/83/1073019983.db2.gz RPENMSBIBFOYPD-UHFFFAOYSA-N 0 0 449.493 -0.206 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCc1nnn(CC(F)(F)F)n1 ZINC000799462576 1073019860 /nfs/dbraw/zinc/01/98/60/1073019860.db2.gz PAYUHZUETOCWQG-UHFFFAOYSA-N 0 0 438.388 -0.048 20 0 IBADRN O=C(OCc1nnn(CC(F)(F)F)n1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000799464846 1073019959 /nfs/dbraw/zinc/01/99/59/1073019959.db2.gz NYTDIMXZQAJVRH-UHFFFAOYSA-N 0 0 448.383 -0.287 20 0 IBADRN O=C(OCc1nnn(CC(F)(F)F)n1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000799466459 1073019793 /nfs/dbraw/zinc/01/97/93/1073019793.db2.gz CQZPDCFZIDRCGJ-UHFFFAOYSA-N 0 0 448.383 -0.287 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)OCc2nnn(C)n2)c1OC ZINC000799471676 1073019808 /nfs/dbraw/zinc/01/98/08/1073019808.db2.gz IJXQPWUDWANKCE-UHFFFAOYSA-N 0 0 427.439 -0.395 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)OCc3nnn(CC(F)(F)F)n3)CC2=O)c1 ZINC000799489326 1073019928 /nfs/dbraw/zinc/01/99/28/1073019928.db2.gz RLZMPZZBRZROSQ-SECBINFHSA-N 0 0 448.383 -0.021 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)OCc3nnn(CC(F)(F)F)n3)CC2=O)c1 ZINC000799489327 1073020255 /nfs/dbraw/zinc/02/02/55/1073020255.db2.gz RLZMPZZBRZROSQ-VIFPVBQESA-N 0 0 448.383 -0.021 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCc1nnn(CC(F)(F)F)n1 ZINC000799492728 1073020367 /nfs/dbraw/zinc/02/03/67/1073020367.db2.gz SKOOJUWYJOEKFS-MRVPVSSYSA-N 0 0 425.393 -0.004 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCc1nnn(CC(F)(F)F)n1 ZINC000799492731 1073020384 /nfs/dbraw/zinc/02/03/84/1073020384.db2.gz SKOOJUWYJOEKFS-QMMMGPOBSA-N 0 0 425.393 -0.004 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCc1nnn(CC(F)(F)F)n1 ZINC000799497931 1073020331 /nfs/dbraw/zinc/02/03/31/1073020331.db2.gz DJPBUPDNQCWZGF-MRVPVSSYSA-N 0 0 436.372 -0.256 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OCc1nnn(CC(F)(F)F)n1 ZINC000799497932 1073020190 /nfs/dbraw/zinc/02/01/90/1073020190.db2.gz DJPBUPDNQCWZGF-QMMMGPOBSA-N 0 0 436.372 -0.256 20 0 IBADRN Cn1nnc(COC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC000799500215 1073020343 /nfs/dbraw/zinc/02/03/43/1073020343.db2.gz WHYYEXWDSQHLGZ-UHFFFAOYSA-N 0 0 440.457 -0.294 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000799507222 1073020351 /nfs/dbraw/zinc/02/03/51/1073020351.db2.gz SDZRADTYHDHHCV-UHFFFAOYSA-N 0 0 432.952 -0.229 20 0 IBADRN Cn1nnc(COC(=O)c2cc(Br)c(C(=O)OCc3nnn(C)n3)o2)n1 ZINC000799516216 1073020324 /nfs/dbraw/zinc/02/03/24/1073020324.db2.gz PAZLNCKVRYGLRE-UHFFFAOYSA-N 0 0 427.175 -0.197 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)Nc1cccn(CCS(C)(=O)=O)c1=O ZINC000799537758 1073020391 /nfs/dbraw/zinc/02/03/91/1073020391.db2.gz PKEFTQPZXRAOSD-UHFFFAOYSA-N 0 0 449.532 -0.398 20 0 IBADRN CSC[C@@H](C)C(=O)N1CCC(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000799542542 1073020178 /nfs/dbraw/zinc/02/01/78/1073020178.db2.gz NCUMBERZVKORMC-LLVKDONJSA-N 0 0 440.522 -0.370 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000799542545 1073020247 /nfs/dbraw/zinc/02/02/47/1073020247.db2.gz NCUMBERZVKORMC-NSHDSACASA-N 0 0 440.522 -0.370 20 0 IBADRN C[C@@H](NC(=O)CCc1ccccc1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000799545176 1073020337 /nfs/dbraw/zinc/02/03/37/1073020337.db2.gz SGDKZIHTVPZIRO-QGZVFWFLSA-N 0 0 432.521 -0.101 20 0 IBADRN C[C@@H](NC(=O)CCc1ccccc1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000799547249 1073020269 /nfs/dbraw/zinc/02/02/69/1073020269.db2.gz SVKHVQLZQXFOQD-HIFRSBDPSA-N 0 0 439.490 -0.320 20 0 IBADRN C[C@@H](NC(=O)CCc1ccccc1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000799547381 1073020284 /nfs/dbraw/zinc/02/02/84/1073020284.db2.gz SVKHVQLZQXFOQD-UKRRQHHQSA-N 0 0 439.490 -0.320 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC000799562183 1073020209 /nfs/dbraw/zinc/02/02/09/1073020209.db2.gz PSUNQXUKCFIKPL-OAHLLOKOSA-N 0 0 443.547 -0.100 20 0 IBADRN CC(C)C1(C(C)C)NC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000799566197 1073020169 /nfs/dbraw/zinc/02/01/69/1073020169.db2.gz HSZMIJOKQFBROD-UHFFFAOYSA-N 0 0 431.559 -0.259 20 0 IBADRN CC(C)C1(C(C)C)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000799566785 1073020225 /nfs/dbraw/zinc/02/02/25/1073020225.db2.gz ZEWHSGAOFMGPJO-UHFFFAOYSA-N 0 0 444.602 -0.344 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CCCC(=O)N3)C2)CC1 ZINC000799650588 1073020398 /nfs/dbraw/zinc/02/03/98/1073020398.db2.gz MJGAEPBJOSAXPX-CQSZACIVSA-N 0 0 430.527 -0.252 20 0 IBADRN CC(C)(C)OC(=O)NC(CNC(=O)[C@H]1CCCC(=O)N1)CNC(=O)[C@H]1CCCC(=O)N1 ZINC000799651923 1073020642 /nfs/dbraw/zinc/02/06/42/1073020642.db2.gz DUEFOBIGXQQHBQ-ZIAGYGMSSA-N 0 0 439.513 -0.551 20 0 IBADRN CS(=O)(=O)C1(COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCC1 ZINC000799701037 1073020845 /nfs/dbraw/zinc/02/08/45/1073020845.db2.gz JRTIXSKXUUSMEC-UHFFFAOYSA-N 0 0 430.504 -0.069 20 0 IBADRN CS(=O)(=O)C1(COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCC1 ZINC000799703898 1073020712 /nfs/dbraw/zinc/02/07/12/1073020712.db2.gz AALORTXLJVXEPX-UHFFFAOYSA-N 0 0 430.504 -0.069 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@H]2CCCC(=O)N2)cc1)S(C)(=O)=O ZINC000799716469 1073020858 /nfs/dbraw/zinc/02/08/58/1073020858.db2.gz RGZPKKGUWIGXHG-CQSZACIVSA-N 0 0 433.508 -0.424 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCNC(=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC000799734756 1073020586 /nfs/dbraw/zinc/02/05/86/1073020586.db2.gz BYPMBXGEAHEYFW-UHFFFAOYSA-N 0 0 425.463 -0.405 20 0 IBADRN CCCCNC(=O)CN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC)CC1 ZINC000799747082 1073020803 /nfs/dbraw/zinc/02/08/03/1073020803.db2.gz QAISPFCZPYVKTL-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCCNS(=O)(=O)Cc1ccccc1 ZINC000799759967 1073020628 /nfs/dbraw/zinc/02/06/28/1073020628.db2.gz ABAITZYTICNYIA-UHFFFAOYSA-N 0 0 447.560 -0.007 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC000799765489 1073020794 /nfs/dbraw/zinc/02/07/94/1073020794.db2.gz AKZGYOXPEYJYOP-UHFFFAOYSA-N 0 0 441.572 -0.033 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc(Cl)c(-c2nn[nH]n2)c1)N1CCOCC1 ZINC000799765844 1073020729 /nfs/dbraw/zinc/02/07/29/1073020729.db2.gz ITOZFVQUDTWVKK-UHFFFAOYSA-N 0 0 436.903 -0.540 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000799771229 1073021270 /nfs/dbraw/zinc/02/12/70/1073021270.db2.gz ZDDYGEKYYVWVCG-UHFFFAOYSA-N 0 0 439.581 -0.618 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)Oc1cccc(C(=O)NCC(N)=O)c1 ZINC000799771997 1073021129 /nfs/dbraw/zinc/02/11/29/1073021129.db2.gz JAHDXVWYMVLMML-UHFFFAOYSA-N 0 0 427.460 -0.423 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)Oc1ccc(C(=O)NCC(N)=O)cc1 ZINC000799773277 1073021215 /nfs/dbraw/zinc/02/12/15/1073021215.db2.gz YUEWCSIPBMXPEB-UHFFFAOYSA-N 0 0 427.460 -0.423 20 0 IBADRN CS(=O)(=O)CCOCCNS(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC000799775101 1073021099 /nfs/dbraw/zinc/02/10/99/1073021099.db2.gz OCSBAVTWWMOFKX-UHFFFAOYSA-N 0 0 429.314 -0.113 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c(-c3nn[nH]n3)c2)CC1 ZINC000799777301 1073021164 /nfs/dbraw/zinc/02/11/64/1073021164.db2.gz LRTJFUUBTYHLBG-UHFFFAOYSA-N 0 0 428.858 -0.084 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CC1 ZINC000799777580 1073021295 /nfs/dbraw/zinc/02/12/95/1073021295.db2.gz QFGRGRXQLYJWFF-UHFFFAOYSA-N 0 0 448.295 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)CC1 ZINC000799778234 1073021335 /nfs/dbraw/zinc/02/13/35/1073021335.db2.gz MDHKXTYHAAROAS-UHFFFAOYSA-N 0 0 440.588 -0.378 20 0 IBADRN CO[C@H](C)CS(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000799784786 1073021246 /nfs/dbraw/zinc/02/12/46/1073021246.db2.gz ACXLIQXXQGIHDB-CYBMUJFWSA-N 0 0 441.572 -0.082 20 0 IBADRN CO[C@@H](C)CS(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000799784787 1073021349 /nfs/dbraw/zinc/02/13/49/1073021349.db2.gz ACXLIQXXQGIHDB-ZDUSSCGKSA-N 0 0 441.572 -0.082 20 0 IBADRN NC(=O)C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000799795132 1073021140 /nfs/dbraw/zinc/02/11/40/1073021140.db2.gz PITOLKZJNHNQPP-CABCVRRESA-N 0 0 426.495 -0.316 20 0 IBADRN NC(=O)C[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000799795133 1073021357 /nfs/dbraw/zinc/02/13/57/1073021357.db2.gz PITOLKZJNHNQPP-GJZGRUSLSA-N 0 0 426.495 -0.316 20 0 IBADRN CO[C@H](C)CS(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000799795669 1073021225 /nfs/dbraw/zinc/02/12/25/1073021225.db2.gz NRCPKBMPHZRQJI-GFCCVEGCSA-N 0 0 444.553 -0.255 20 0 IBADRN CO[C@@H](C)CS(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000799795670 1073021149 /nfs/dbraw/zinc/02/11/49/1073021149.db2.gz NRCPKBMPHZRQJI-LBPRGKRZSA-N 0 0 444.553 -0.255 20 0 IBADRN CC1(C)OCC(NS(=O)(=O)CCCS(=O)(=O)NC2COC(C)(C)OC2)CO1 ZINC000799797707 1073021282 /nfs/dbraw/zinc/02/12/82/1073021282.db2.gz OCFUWQVMWYGYBH-UHFFFAOYSA-N 0 0 430.545 -0.482 20 0 IBADRN CC1(CCNS(=O)(=O)CCCS(=O)(=O)NCCC2(C)OCCO2)OCCO1 ZINC000799798236 1073021087 /nfs/dbraw/zinc/02/10/87/1073021087.db2.gz ABMWMMTVVZZUJJ-UHFFFAOYSA-N 0 0 430.545 -0.479 20 0 IBADRN CC1(CNS(=O)(=O)CCCS(=O)(=O)NCC2(C)OCCCO2)OCCCO1 ZINC000799819186 1073021064 /nfs/dbraw/zinc/02/10/64/1073021064.db2.gz YCDKSCLPVGQENY-UHFFFAOYSA-N 0 0 430.545 -0.479 20 0 IBADRN O=S(=O)(NCCNS(=O)(=O)N1CCC2(CC1)OCCO2)c1ccc(F)cc1F ZINC000799822411 1073021689 /nfs/dbraw/zinc/02/16/89/1073021689.db2.gz CZQPMFZUSJJEOS-UHFFFAOYSA-N 0 0 441.478 -0.084 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000799835919 1073021722 /nfs/dbraw/zinc/02/17/22/1073021722.db2.gz ZOVVHOYUACMWEO-ZDUSSCGKSA-N 0 0 439.490 -0.210 20 0 IBADRN CCCCNS(=O)(=O)c1ccc(C)c(NC(=O)C(=O)NN2CC(=O)N(C)C2=O)c1 ZINC000799836110 1073021608 /nfs/dbraw/zinc/02/16/08/1073021608.db2.gz WWYDRRATDULTMD-UHFFFAOYSA-N 0 0 425.467 -0.063 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCC3([S@](C)=O)CC3)cc2)CC1 ZINC000799846414 1073021641 /nfs/dbraw/zinc/02/16/41/1073021641.db2.gz JVFRIYPIOSDMJK-PMERELPUSA-N 0 0 436.534 -0.053 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCC3([S@@](C)=O)CC3)cc2)CC1 ZINC000799846415 1073021669 /nfs/dbraw/zinc/02/16/69/1073021669.db2.gz JVFRIYPIOSDMJK-SSEXGKCCSA-N 0 0 436.534 -0.053 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1)[C@H]1CCNC1=O ZINC000799847068 1073021763 /nfs/dbraw/zinc/02/17/63/1073021763.db2.gz QOXREJJBEBJKPE-KRWDZBQOSA-N 0 0 431.493 -0.343 20 0 IBADRN CCN(C(=O)C(=O)Nc1ccc(N2CCN(CC(=O)OC)CC2)cc1)[C@@H]1CCNC1=O ZINC000799847069 1073021556 /nfs/dbraw/zinc/02/15/56/1073021556.db2.gz QOXREJJBEBJKPE-QGZVFWFLSA-N 0 0 431.493 -0.343 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H](CCO)Cc2ccccc2)n1)N1CCOCC1 ZINC000799848998 1073021572 /nfs/dbraw/zinc/02/15/72/1073021572.db2.gz RSOMGSSWBRSGHA-KRWDZBQOSA-N 0 0 429.477 -0.210 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H](CCO)Cc2ccccc2)n1)N1CCOCC1 ZINC000799848999 1073021678 /nfs/dbraw/zinc/02/16/78/1073021678.db2.gz RSOMGSSWBRSGHA-QGZVFWFLSA-N 0 0 429.477 -0.210 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H](CCO)Cc2ccccc2)cn1)N1CCOCC1 ZINC000799851922 1073021755 /nfs/dbraw/zinc/02/17/55/1073021755.db2.gz NXCWNHBZMVOGTR-KRWDZBQOSA-N 0 0 429.477 -0.210 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H](CCO)Cc2ccccc2)cn1)N1CCOCC1 ZINC000799851924 1073021732 /nfs/dbraw/zinc/02/17/32/1073021732.db2.gz NXCWNHBZMVOGTR-QGZVFWFLSA-N 0 0 429.477 -0.210 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H](CCO)Cc3ccccc3)cnc2n(C)c1=O ZINC000799852189 1073021634 /nfs/dbraw/zinc/02/16/34/1073021634.db2.gz YAUSHHNHFSEGRY-AWEZNQCLSA-N 0 0 425.445 -0.319 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H](CCO)Cc3ccccc3)cnc2n(C)c1=O ZINC000799852192 1073021597 /nfs/dbraw/zinc/02/15/97/1073021597.db2.gz YAUSHHNHFSEGRY-CQSZACIVSA-N 0 0 425.445 -0.319 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000799856562 1073021749 /nfs/dbraw/zinc/02/17/49/1073021749.db2.gz HJLLYEJQEHHVOG-INIZCTEOSA-N 0 0 446.551 -0.330 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC000799856565 1073021658 /nfs/dbraw/zinc/02/16/58/1073021658.db2.gz HJLLYEJQEHHVOG-MRXNPFEDSA-N 0 0 446.551 -0.330 20 0 IBADRN O=C(NCCS(=O)(=O)CCc1ccccc1)NCCS(=O)(=O)N1CCOCC1 ZINC000799906252 1073021741 /nfs/dbraw/zinc/02/17/41/1073021741.db2.gz JHPBOINTVNIEOV-UHFFFAOYSA-N 0 0 433.552 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000799906327 1073021710 /nfs/dbraw/zinc/02/17/10/1073021710.db2.gz MRMBWZYNWNUGPX-UHFFFAOYSA-N 0 0 438.572 -0.752 20 0 IBADRN O=C(CN1C(=O)c2cc(F)ccc2S1(=O)=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000799906978 1073021945 /nfs/dbraw/zinc/02/19/45/1073021945.db2.gz AOMFRDQKJRWQJR-CYBMUJFWSA-N 0 0 445.494 -0.699 20 0 IBADRN O=C(CN1C(=O)c2cc(F)ccc2S1(=O)=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000799906982 1073021937 /nfs/dbraw/zinc/02/19/37/1073021937.db2.gz AOMFRDQKJRWQJR-ZDUSSCGKSA-N 0 0 445.494 -0.699 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC(S(N)(=O)=O)CC2)CC1 ZINC000799907209 1073022162 /nfs/dbraw/zinc/02/21/62/1073022162.db2.gz LLMRLXCQZAZPMA-UHFFFAOYSA-N 0 0 433.531 -0.472 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC[C@@H](S(N)(=O)=O)C2)CC1 ZINC000799907262 1073021583 /nfs/dbraw/zinc/02/15/83/1073021583.db2.gz MDQUOGBWKDQHEC-CYBMUJFWSA-N 0 0 433.531 -0.472 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC[C@H](S(N)(=O)=O)C2)CC1 ZINC000799907264 1073022104 /nfs/dbraw/zinc/02/21/04/1073022104.db2.gz MDQUOGBWKDQHEC-ZDUSSCGKSA-N 0 0 433.531 -0.472 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC(CS(N)(=O)=O)CC2)CC1 ZINC000799908254 1073021954 /nfs/dbraw/zinc/02/19/54/1073021954.db2.gz WXMOYZSNJDIQLO-UHFFFAOYSA-N 0 0 447.558 -0.224 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2C(=O)c3cc(F)ccc3S2(=O)=O)cc1 ZINC000799909859 1073021959 /nfs/dbraw/zinc/02/19/59/1073021959.db2.gz BNHGWENGSLFJDB-UHFFFAOYSA-N 0 0 441.462 -0.024 20 0 IBADRN COCCn1c(N)c(C(=O)CN2C(=O)c3cc(F)ccc3S2(=O)=O)c(=O)[nH]c1=O ZINC000799912156 1073022031 /nfs/dbraw/zinc/02/20/31/1073022031.db2.gz PMZGRUFEJLVSKX-UHFFFAOYSA-N 0 0 426.382 -0.656 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2C(=O)c3cc(F)ccc3S2(=O)=O)c(=O)n(C)c1=O ZINC000799913188 1073021968 /nfs/dbraw/zinc/02/19/68/1073021968.db2.gz HAWLMEMQKHIQGD-UHFFFAOYSA-N 0 0 438.437 -0.048 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCS(=O)(=O)CCc2ccccc2)CC1 ZINC000799914976 1073022082 /nfs/dbraw/zinc/02/20/82/1073022082.db2.gz HGDBTJIHSFLBPP-UHFFFAOYSA-N 0 0 446.595 -0.480 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)CC1 ZINC000799932851 1073022120 /nfs/dbraw/zinc/02/21/20/1073022120.db2.gz XUJXHKDDVLMCOS-UHFFFAOYSA-N 0 0 427.483 -0.407 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)N2CCN(CCS(N)(=O)=O)CC2)CC1 ZINC000799940226 1073022001 /nfs/dbraw/zinc/02/20/01/1073022001.db2.gz SCIPDIZNUGAJEW-UHFFFAOYSA-N 0 0 448.590 -0.845 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CC[C@@H](S(C)(=O)=O)C2)C1=O ZINC000799951609 1073021930 /nfs/dbraw/zinc/02/19/30/1073021930.db2.gz ZKOKDAQHXXBUCW-PBHICJAKSA-N 0 0 429.520 -0.067 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CC[C@@H](S(C)(=O)=O)C2)C1=O ZINC000799951611 1073022110 /nfs/dbraw/zinc/02/21/10/1073022110.db2.gz ZKOKDAQHXXBUCW-RHSMWYFYSA-N 0 0 429.520 -0.067 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CC[C@H](S(C)(=O)=O)C2)C1=O ZINC000799951614 1073022012 /nfs/dbraw/zinc/02/20/12/1073022012.db2.gz ZKOKDAQHXXBUCW-WMLDXEAASA-N 0 0 429.520 -0.067 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CC[C@H](S(C)(=O)=O)C2)C1=O ZINC000799951615 1073022151 /nfs/dbraw/zinc/02/21/51/1073022151.db2.gz ZKOKDAQHXXBUCW-YOEHRIQHSA-N 0 0 429.520 -0.067 20 0 IBADRN CC(C)N(CCCNC(=O)CN1C(=O)N[C@@](C)(c2cnccn2)C1=O)S(C)(=O)=O ZINC000799965174 1073022584 /nfs/dbraw/zinc/02/25/84/1073022584.db2.gz WXXWPIINBNNCEI-KRWDZBQOSA-N 0 0 426.499 -0.580 20 0 IBADRN CC(C)N(CCCNC(=O)CN1C(=O)N[C@](C)(c2cnccn2)C1=O)S(C)(=O)=O ZINC000799965175 1073022440 /nfs/dbraw/zinc/02/24/40/1073022440.db2.gz WXXWPIINBNNCEI-QGZVFWFLSA-N 0 0 426.499 -0.580 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3cnccn3)C2=O)CC1 ZINC000799965713 1073022059 /nfs/dbraw/zinc/02/20/59/1073022059.db2.gz UFGSLZLXGWPFHA-FQEVSTJZSA-N 0 0 431.497 -0.698 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3cnccn3)C2=O)CC1 ZINC000799965714 1073022072 /nfs/dbraw/zinc/02/20/72/1073022072.db2.gz UFGSLZLXGWPFHA-HXUWFJFHSA-N 0 0 431.497 -0.698 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000799976955 1073022453 /nfs/dbraw/zinc/02/24/53/1073022453.db2.gz YBHFEMFUYSCJCV-UHFFFAOYSA-N 0 0 429.490 -0.238 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)NC3(CCCC3)C1=O)c(=O)n2C ZINC000800047304 1074475578 /nfs/dbraw/zinc/47/55/78/1074475578.db2.gz JMDPREVRCQDHJU-UHFFFAOYSA-N 0 0 432.437 -0.768 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000800053515 1074476048 /nfs/dbraw/zinc/47/60/48/1074476048.db2.gz FYEYTOUMGGOUEP-CABCVRRESA-N 0 0 439.604 -0.382 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000800053516 1074476092 /nfs/dbraw/zinc/47/60/92/1074476092.db2.gz FYEYTOUMGGOUEP-GJZGRUSLSA-N 0 0 439.604 -0.382 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)CC1 ZINC000800053517 1074476111 /nfs/dbraw/zinc/47/61/11/1074476111.db2.gz FYEYTOUMGGOUEP-HUUCEWRRSA-N 0 0 439.604 -0.382 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)CC1 ZINC000800053518 1074476075 /nfs/dbraw/zinc/47/60/75/1074476075.db2.gz FYEYTOUMGGOUEP-LSDHHAIUSA-N 0 0 439.604 -0.382 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CN1C(=O)NC3(CCCC3)C1=O)C2=O ZINC000800054953 1074476148 /nfs/dbraw/zinc/47/61/48/1074476148.db2.gz DABCDIYLURLDNK-UHFFFAOYSA-N 0 0 449.464 -0.074 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000800056562 1074476107 /nfs/dbraw/zinc/47/61/07/1074476107.db2.gz MPNWSBXYDOWDNP-UHFFFAOYSA-N 0 0 432.433 -0.028 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCS(=O)(=O)CCc2ccccc2)CC1 ZINC000800061423 1074476064 /nfs/dbraw/zinc/47/60/64/1074476064.db2.gz BRGJCZKXNGJGBI-UHFFFAOYSA-N 0 0 436.534 -0.224 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)NCCS(=O)(=O)CCc2ccccc2)CC1 ZINC000800064580 1074476119 /nfs/dbraw/zinc/47/61/19/1074476119.db2.gz XZXFYMQRVHUGPA-UHFFFAOYSA-N 0 0 432.568 -0.740 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)CCc2ccccc2)CC1 ZINC000800067076 1074476096 /nfs/dbraw/zinc/47/60/96/1074476096.db2.gz NSZYVTBMKBZUNM-UHFFFAOYSA-N 0 0 432.568 -0.223 20 0 IBADRN O=C(CCNC(=O)NCCS(=O)(=O)CCc1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC000800067358 1074476115 /nfs/dbraw/zinc/47/61/15/1074476115.db2.gz REBLNQLOONRFNO-INIZCTEOSA-N 0 0 445.563 -0.364 20 0 IBADRN O=C(CCNC(=O)NCCS(=O)(=O)CCc1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC000800067359 1074476103 /nfs/dbraw/zinc/47/61/03/1074476103.db2.gz REBLNQLOONRFNO-MRXNPFEDSA-N 0 0 445.563 -0.364 20 0 IBADRN Cn1c2ncn(CC(=O)OCc3noc(CNC(=O)OC(C)(C)C)n3)c2c(=O)n(C)c1=O ZINC000800097861 1074476124 /nfs/dbraw/zinc/47/61/24/1074476124.db2.gz SRHWJPZRBIYXLG-UHFFFAOYSA-N 0 0 449.424 -0.415 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCc1noc(CNC(=O)OC(C)(C)C)n1)c(=O)n2C ZINC000800110406 1074476056 /nfs/dbraw/zinc/47/60/56/1074476056.db2.gz IJUREKRJOYAWFP-UHFFFAOYSA-N 0 0 449.424 -0.415 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)CC1=O ZINC000800129064 1074476087 /nfs/dbraw/zinc/47/60/87/1074476087.db2.gz KVSOFOKIFAAKJX-JTQLQIEISA-N 0 0 433.870 -0.036 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)CC1=O ZINC000800129065 1074476694 /nfs/dbraw/zinc/47/66/94/1074476694.db2.gz KVSOFOKIFAAKJX-SNVBAGLBSA-N 0 0 433.870 -0.036 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1=O ZINC000800132435 1074476714 /nfs/dbraw/zinc/47/67/14/1074476714.db2.gz RDDXEJUZYRGAEC-LLVKDONJSA-N 0 0 429.451 -0.681 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC1=O ZINC000800132436 1074476646 /nfs/dbraw/zinc/47/66/46/1074476646.db2.gz RDDXEJUZYRGAEC-NSHDSACASA-N 0 0 429.451 -0.681 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCc2noc(CNC(=O)OC(C)(C)C)n2)cn1 ZINC000800136334 1074476683 /nfs/dbraw/zinc/47/66/83/1074476683.db2.gz NJPBQOSPPPJQCJ-UHFFFAOYSA-N 0 0 430.443 -0.151 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H](O)c2cccc(Br)c2)CC1 ZINC000800161928 1074476732 /nfs/dbraw/zinc/47/67/32/1074476732.db2.gz OVEHXARCFKOFGU-ZDUSSCGKSA-N 0 0 442.357 -0.106 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCc2noc(CNC(=O)OC(C)(C)C)n2)c1 ZINC000800181017 1074476699 /nfs/dbraw/zinc/47/66/99/1074476699.db2.gz CVLBFDLTWOTVRR-UHFFFAOYSA-N 0 0 430.443 -0.151 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)CC1 ZINC000800188324 1074476729 /nfs/dbraw/zinc/47/67/29/1074476729.db2.gz ABDORZQIGCIMMF-UHFFFAOYSA-N 0 0 438.572 -0.105 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000800196753 1074476652 /nfs/dbraw/zinc/47/66/52/1074476652.db2.gz BBGMLZNWTNOQPP-BXUZGUMPSA-N 0 0 425.554 -0.409 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000800196755 1074476668 /nfs/dbraw/zinc/47/66/68/1074476668.db2.gz BBGMLZNWTNOQPP-FZMZJTMJSA-N 0 0 425.554 -0.409 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC000800196757 1074476738 /nfs/dbraw/zinc/47/67/38/1074476738.db2.gz BBGMLZNWTNOQPP-RISCZKNCSA-N 0 0 425.554 -0.409 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cc1 ZINC000800196759 1074476677 /nfs/dbraw/zinc/47/66/77/1074476677.db2.gz BBGMLZNWTNOQPP-SMDDNHRTSA-N 0 0 425.554 -0.409 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCCS(=O)(=O)CCc1ccccc1 ZINC000800207477 1074476718 /nfs/dbraw/zinc/47/67/18/1074476718.db2.gz XQPYYZAHHGOQTQ-UHFFFAOYSA-N 0 0 426.582 -0.155 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)CCc2ccccc2)CC1 ZINC000800208230 1074476725 /nfs/dbraw/zinc/47/67/25/1074476725.db2.gz KIQLOSOREPITBY-UHFFFAOYSA-N 0 0 439.581 -0.945 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCNC(=O)/C=C/c2ccccc2)CC1 ZINC000800210650 1074476711 /nfs/dbraw/zinc/47/67/11/1074476711.db2.gz JEDJHZMBMDHODL-CMDGGOBGSA-N 0 0 430.552 -0.382 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCCNC(=O)/C=C\c2ccccc2)CC1 ZINC000800210651 1074476629 /nfs/dbraw/zinc/47/66/29/1074476629.db2.gz JEDJHZMBMDHODL-HJWRWDBZSA-N 0 0 430.552 -0.382 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000800231351 1072657779 /nfs/dbraw/zinc/65/77/79/1072657779.db2.gz DBWLMSJLGMMPEP-CYBMUJFWSA-N 0 0 442.494 -0.221 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000800231352 1072657903 /nfs/dbraw/zinc/65/79/03/1072657903.db2.gz DBWLMSJLGMMPEP-ZDUSSCGKSA-N 0 0 442.494 -0.221 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000800231497 1072657718 /nfs/dbraw/zinc/65/77/18/1072657718.db2.gz BDHRCEXOGNEHTC-UHFFFAOYSA-N 0 0 434.478 -0.771 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)OCC(=O)NCCCN1CCCCCC1=O ZINC000800231539 1072657896 /nfs/dbraw/zinc/65/78/96/1072657896.db2.gz BPHGAKOCTBSDMO-UHFFFAOYSA-N 0 0 440.522 -0.001 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000800231564 1072657761 /nfs/dbraw/zinc/65/77/61/1072657761.db2.gz CBFMVXZLJZKOHU-UHFFFAOYSA-N 0 0 427.479 -0.108 20 0 IBADRN CCN(C(=O)COC(=O)CNS(=O)(=O)c1ccccc1N)[C@@H]1CCS(=O)(=O)C1 ZINC000800231685 1072657825 /nfs/dbraw/zinc/65/78/25/1072657825.db2.gz GOEDZHFLVRUPRU-GFCCVEGCSA-N 0 0 433.508 -0.874 20 0 IBADRN CCN(C(=O)COC(=O)CNS(=O)(=O)c1ccccc1N)[C@H]1CCS(=O)(=O)C1 ZINC000800231686 1072657914 /nfs/dbraw/zinc/65/79/14/1072657914.db2.gz GOEDZHFLVRUPRU-LBPRGKRZSA-N 0 0 433.508 -0.874 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccccc1N)[C@@H]1CCS(=O)(=O)C1 ZINC000800232496 1072658254 /nfs/dbraw/zinc/65/82/54/1072658254.db2.gz MTSYWNKYFGUENB-CHWSQXEVSA-N 0 0 447.535 -0.486 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccccc1N)[C@H]1CCS(=O)(=O)C1 ZINC000800232511 1072658239 /nfs/dbraw/zinc/65/82/39/1072658239.db2.gz MTSYWNKYFGUENB-OLZOCXBDSA-N 0 0 447.535 -0.486 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccccc1N)[C@@H]1CCS(=O)(=O)C1 ZINC000800232515 1072658224 /nfs/dbraw/zinc/65/82/24/1072658224.db2.gz MTSYWNKYFGUENB-QWHCGFSZSA-N 0 0 447.535 -0.486 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccccc1N)[C@H]1CCS(=O)(=O)C1 ZINC000800232522 1072658301 /nfs/dbraw/zinc/65/83/01/1072658301.db2.gz MTSYWNKYFGUENB-STQMWFEESA-N 0 0 447.535 -0.486 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)OCCN1C(=O)c2ccccc2S1(=O)=O ZINC000800232673 1072658283 /nfs/dbraw/zinc/65/82/83/1072658283.db2.gz PJYNYIBUGSBEOW-UHFFFAOYSA-N 0 0 439.471 -0.065 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2ccccc2N)C1 ZINC000800232856 1072657863 /nfs/dbraw/zinc/65/78/63/1072657863.db2.gz QGPDTWQUVOLBOI-CYBMUJFWSA-N 0 0 427.479 -0.108 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2ccccc2N)C1 ZINC000800232858 1072658307 /nfs/dbraw/zinc/65/83/07/1072658307.db2.gz QGPDTWQUVOLBOI-ZDUSSCGKSA-N 0 0 427.479 -0.108 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000800233138 1072657795 /nfs/dbraw/zinc/65/77/95/1072657795.db2.gz QFSMCTJWTBXLEH-NEPJUHHUSA-N 0 0 433.508 -0.876 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000800233144 1072657909 /nfs/dbraw/zinc/65/79/09/1072657909.db2.gz QFSMCTJWTBXLEH-NWDGAFQWSA-N 0 0 433.508 -0.876 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000800233145 1072657756 /nfs/dbraw/zinc/65/77/56/1072657756.db2.gz QFSMCTJWTBXLEH-RYUDHWBXSA-N 0 0 433.508 -0.876 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000800233146 1072657789 /nfs/dbraw/zinc/65/77/89/1072657789.db2.gz QFSMCTJWTBXLEH-VXGBXAGGSA-N 0 0 433.508 -0.876 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)NC(=O)NCC(F)(F)F ZINC000800233723 1072658342 /nfs/dbraw/zinc/65/83/42/1072658342.db2.gz DWXKYJHZMJJEJT-MRVPVSSYSA-N 0 0 426.373 -0.133 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)NC(=O)NCC(F)(F)F ZINC000800233728 1072658290 /nfs/dbraw/zinc/65/82/90/1072658290.db2.gz DWXKYJHZMJJEJT-QMMMGPOBSA-N 0 0 426.373 -0.133 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CNS(=O)(=O)c2ccccc2N)NC(=O)N[C@H]1C ZINC000800233962 1072658246 /nfs/dbraw/zinc/65/82/46/1072658246.db2.gz HPODKAXTSUTIMD-JTQLQIEISA-N 0 0 426.451 -0.391 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CNS(=O)(=O)c2ccccc2N)NC(=O)N[C@@H]1C ZINC000800233965 1072658319 /nfs/dbraw/zinc/65/83/19/1072658319.db2.gz HPODKAXTSUTIMD-SNVBAGLBSA-N 0 0 426.451 -0.391 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)CNS(=O)(=O)c1ccccc1N)c1ccccc1 ZINC000800234014 1072658347 /nfs/dbraw/zinc/65/83/47/1072658347.db2.gz JLYRARXNTOMTRU-GOSISDBHSA-N 0 0 434.474 -0.306 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)CNS(=O)(=O)c1ccccc1N)c1ccccc1 ZINC000800234017 1072658274 /nfs/dbraw/zinc/65/82/74/1072658274.db2.gz JLYRARXNTOMTRU-SFHVURJKSA-N 0 0 434.474 -0.306 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000800234271 1072658951 /nfs/dbraw/zinc/65/89/51/1072658951.db2.gz LDBIKAIGTYIPAP-UHFFFAOYSA-N 0 0 428.467 -0.609 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N1CCN(c2ncccn2)CC1 ZINC000800234318 1072658964 /nfs/dbraw/zinc/65/89/64/1072658964.db2.gz DSTSODGJPSWAJP-AWEZNQCLSA-N 0 0 448.505 -0.382 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N1CCN(c2ncccn2)CC1 ZINC000800234330 1072658864 /nfs/dbraw/zinc/65/88/64/1072658864.db2.gz DSTSODGJPSWAJP-CQSZACIVSA-N 0 0 448.505 -0.382 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000800234411 1072658922 /nfs/dbraw/zinc/65/89/22/1072658922.db2.gz ACRMGANRKLKZLN-GFCCVEGCSA-N 0 0 427.479 -0.110 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000800234420 1072658941 /nfs/dbraw/zinc/65/89/41/1072658941.db2.gz ACRMGANRKLKZLN-LBPRGKRZSA-N 0 0 427.479 -0.110 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)COC(=O)CNS(=O)(=O)c3ccccc3N)cc2C1=O ZINC000800234659 1072658845 /nfs/dbraw/zinc/65/88/45/1072658845.db2.gz NVOGJUZRDYQYHQ-UHFFFAOYSA-N 0 0 446.441 -0.045 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N1CCCCC1 ZINC000800234692 1072658794 /nfs/dbraw/zinc/65/87/94/1072658794.db2.gz OPJSAJZEMXNHMA-CYBMUJFWSA-N 0 0 426.495 -0.393 20 0 IBADRN C[C@H](NC(=O)COC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N1CCCCC1 ZINC000800234693 1072658820 /nfs/dbraw/zinc/65/88/20/1072658820.db2.gz OPJSAJZEMXNHMA-ZDUSSCGKSA-N 0 0 426.495 -0.393 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)CNS(=O)(=O)c2ccccc2N)C(C)C)CC1 ZINC000800234899 1072658230 /nfs/dbraw/zinc/65/82/30/1072658230.db2.gz JRWDOJGSGQDDLI-GOSISDBHSA-N 0 0 440.522 -0.194 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)CNS(=O)(=O)c2ccccc2N)C(C)C)CC1 ZINC000800234900 1072658313 /nfs/dbraw/zinc/65/83/13/1072658313.db2.gz JRWDOJGSGQDDLI-SFHVURJKSA-N 0 0 440.522 -0.194 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000800235072 1072658295 /nfs/dbraw/zinc/65/82/95/1072658295.db2.gz UTUMPZULKDDWHC-BLLLJJGKSA-N 0 0 447.535 -0.582 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000800235073 1072658269 /nfs/dbraw/zinc/65/82/69/1072658269.db2.gz UTUMPZULKDDWHC-LRDDRELGSA-N 0 0 447.535 -0.582 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000800235074 1072658280 /nfs/dbraw/zinc/65/82/80/1072658280.db2.gz UTUMPZULKDDWHC-MLGOLLRUSA-N 0 0 447.535 -0.582 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000800235075 1072658264 /nfs/dbraw/zinc/65/82/64/1072658264.db2.gz UTUMPZULKDDWHC-WBMJQRKESA-N 0 0 447.535 -0.582 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)OCC(=O)NC1CCC2(CC1)OCCO2 ZINC000800235279 1072658803 /nfs/dbraw/zinc/65/88/03/1072658803.db2.gz TVHNEQATQLILDQ-UHFFFAOYSA-N 0 0 427.479 -0.108 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)OCCOc1ccc(S(N)(=O)=O)cc1 ZINC000800235305 1072658971 /nfs/dbraw/zinc/65/89/71/1072658971.db2.gz TZJDGYPTVQXULH-UHFFFAOYSA-N 0 0 429.476 -0.183 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000800235627 1072658913 /nfs/dbraw/zinc/65/89/13/1072658913.db2.gz KNFGKDKMVDPCFY-CXAGYDPISA-N 0 0 440.522 -0.161 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000800235631 1072658855 /nfs/dbraw/zinc/65/88/55/1072658855.db2.gz KNFGKDKMVDPCFY-DYVFJYSZSA-N 0 0 440.522 -0.161 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000800235632 1072658812 /nfs/dbraw/zinc/65/88/12/1072658812.db2.gz KNFGKDKMVDPCFY-GUYCJALGSA-N 0 0 440.522 -0.161 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1N)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000800235635 1072659007 /nfs/dbraw/zinc/65/90/07/1072659007.db2.gz KNFGKDKMVDPCFY-SUMWQHHRSA-N 0 0 440.522 -0.161 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC000800235709 1072658785 /nfs/dbraw/zinc/65/87/85/1072658785.db2.gz XFNGFZDEFYTWBW-UHFFFAOYSA-N 0 0 442.494 -0.173 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000800241977 1072658979 /nfs/dbraw/zinc/65/89/79/1072658979.db2.gz KTWLFLSEWTVMQH-OAHLLOKOSA-N 0 0 427.454 -0.130 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000800242168 1072658831 /nfs/dbraw/zinc/65/88/31/1072658831.db2.gz QJMRZQBSFOBAJZ-OAHLLOKOSA-N 0 0 425.463 -0.142 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000800242564 1072658991 /nfs/dbraw/zinc/65/89/91/1072658991.db2.gz GFDLNWKHQNRACS-OAHLLOKOSA-N 0 0 439.490 -0.464 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3CCCC(=O)N3)CC2)cc1 ZINC000800243035 1072658892 /nfs/dbraw/zinc/65/88/92/1072658892.db2.gz MARWPZNVCTYFGS-MRXNPFEDSA-N 0 0 439.490 -0.260 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000800243556 1072659461 /nfs/dbraw/zinc/65/94/61/1072659461.db2.gz VHTATOCJZODGQF-OAHLLOKOSA-N 0 0 427.454 -0.130 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000800243806 1072659456 /nfs/dbraw/zinc/65/94/56/1072659456.db2.gz ADMNPGPSRWAQGX-OAHLLOKOSA-N 0 0 425.463 -0.142 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000800243961 1072658877 /nfs/dbraw/zinc/65/88/77/1072658877.db2.gz HLEHIFNUDCRHJC-PBHICJAKSA-N 0 0 431.445 -0.291 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000800243962 1072659440 /nfs/dbraw/zinc/65/94/40/1072659440.db2.gz HLEHIFNUDCRHJC-RHSMWYFYSA-N 0 0 431.445 -0.291 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000800244726 1072659446 /nfs/dbraw/zinc/65/94/46/1072659446.db2.gz IUUCLIBEDOUEBV-CQSZACIVSA-N 0 0 427.454 -0.130 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1CCCC(=O)N1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000800244763 1072659486 /nfs/dbraw/zinc/65/94/86/1072659486.db2.gz KBMKJLJHSXGFJM-GFCCVEGCSA-N 0 0 439.469 -0.622 20 0 IBADRN O=C(COC(=O)[C@H]1CCCC(=O)N1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000800244904 1072659481 /nfs/dbraw/zinc/65/94/81/1072659481.db2.gz PLMWHXPIHWRXCG-MRXNPFEDSA-N 0 0 439.490 -0.464 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC000800246721 1072659467 /nfs/dbraw/zinc/65/94/67/1072659467.db2.gz YBKCSXHACNCQMH-CYBMUJFWSA-N 0 0 428.467 -0.664 20 0 IBADRN O=C(COC(=O)c1cc(F)cc2n[nH]nc21)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000800262954 1072659931 /nfs/dbraw/zinc/65/99/31/1072659931.db2.gz RCSUSRJIRSIQJG-GFCCVEGCSA-N 0 0 425.442 -0.415 20 0 IBADRN O=C(COC(=O)c1cc(F)cc2n[nH]nc21)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000800262968 1072660098 /nfs/dbraw/zinc/66/00/98/1072660098.db2.gz RCSUSRJIRSIQJG-LBPRGKRZSA-N 0 0 425.442 -0.415 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)no1 ZINC000800328364 1072659923 /nfs/dbraw/zinc/65/99/23/1072659923.db2.gz MCKBKDKEKZUHSE-UHFFFAOYSA-N 0 0 438.485 -0.403 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)no1 ZINC000800330383 1072659975 /nfs/dbraw/zinc/65/99/75/1072659975.db2.gz WCQIDGACGDWSOW-UHFFFAOYSA-N 0 0 446.530 -0.211 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)no1 ZINC000800337953 1072660072 /nfs/dbraw/zinc/66/00/72/1072660072.db2.gz GNBPNNXTFMUWAH-UHFFFAOYSA-N 0 0 436.469 -0.113 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCS(=O)(=O)CCc1ccccc1)c(=O)n2C ZINC000800349035 1072659959 /nfs/dbraw/zinc/65/99/59/1072659959.db2.gz WUFVMQQWNGGPIL-UHFFFAOYSA-N 0 0 433.490 -0.793 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCS(=O)(=O)CCc3ccccc3)c2c(=O)n(C)c1=O ZINC000800349458 1072660083 /nfs/dbraw/zinc/66/00/83/1072660083.db2.gz ILQKCBRNNGPTAV-UHFFFAOYSA-N 0 0 447.517 -0.403 20 0 IBADRN Cn1c2ncn(CC(=O)NCCS(=O)(=O)CCc3ccccc3)c2c(=O)n(C)c1=O ZINC000800350210 1072660057 /nfs/dbraw/zinc/66/00/57/1072660057.db2.gz AEWQTMLSYGJSRR-UHFFFAOYSA-N 0 0 433.490 -0.793 20 0 IBADRN C[C@@]12CCC(=O)N1[C@H](C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)CS2 ZINC000800352637 1072660048 /nfs/dbraw/zinc/66/00/48/1072660048.db2.gz FSBIJGNYXILAJJ-KBXCAEBGSA-N 0 0 441.531 -0.010 20 0 IBADRN C[C@]12CCC(=O)N1[C@@H](C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)CS2 ZINC000800352638 1072659919 /nfs/dbraw/zinc/65/99/19/1072659919.db2.gz FSBIJGNYXILAJJ-KDOFPFPSSA-N 0 0 441.531 -0.010 20 0 IBADRN C[C@]12CCC(=O)N1[C@H](C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)CS2 ZINC000800352639 1072659912 /nfs/dbraw/zinc/65/99/12/1072659912.db2.gz FSBIJGNYXILAJJ-KSSFIOAISA-N 0 0 441.531 -0.010 20 0 IBADRN C[C@@]12CCC(=O)N1[C@@H](C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)CS2 ZINC000800352640 1072660039 /nfs/dbraw/zinc/66/00/39/1072660039.db2.gz FSBIJGNYXILAJJ-RDTXWAMCSA-N 0 0 441.531 -0.010 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000800354106 1072660091 /nfs/dbraw/zinc/66/00/91/1072660091.db2.gz BPBRQLXNDJHDPH-HNNXBMFYSA-N 0 0 437.584 -0.012 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000800354107 1072659990 /nfs/dbraw/zinc/65/99/90/1072659990.db2.gz BPBRQLXNDJHDPH-OAHLLOKOSA-N 0 0 437.584 -0.012 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000800356975 1072660031 /nfs/dbraw/zinc/66/00/31/1072660031.db2.gz IKXPNSVQGZHHJQ-INIZCTEOSA-N 0 0 430.571 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000800356979 1072659903 /nfs/dbraw/zinc/65/99/03/1072659903.db2.gz IKXPNSVQGZHHJQ-MRXNPFEDSA-N 0 0 430.571 -0.226 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1noc(CNC(=O)OC(C)(C)C)n1)C2 ZINC000800379972 1072660715 /nfs/dbraw/zinc/66/07/15/1072660715.db2.gz GHTDFOFAIBFYAV-UHFFFAOYSA-N 0 0 436.473 -0.444 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)CN2C(=O)c3ccccc3S2(=O)=O)c1 ZINC000800380963 1072660769 /nfs/dbraw/zinc/66/07/69/1072660769.db2.gz IOABJYUYNPLYPR-UHFFFAOYSA-N 0 0 430.442 -0.054 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000800527539 1072660847 /nfs/dbraw/zinc/66/08/47/1072660847.db2.gz WKGVOWZUAPLFNJ-UHFFFAOYSA-N 0 0 432.930 -0.232 20 0 IBADRN CCn1c(CCC(=O)OCC(=O)NC(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000800541722 1072660667 /nfs/dbraw/zinc/66/06/67/1072660667.db2.gz RFDAXLFROKALOT-UHFFFAOYSA-N 0 0 425.467 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC[NH+](C)C)cc1C(=O)NCCC(=O)[N-]S(C)(=O)=O ZINC000800549819 1072661236 /nfs/dbraw/zinc/66/12/36/1072661236.db2.gz OCSMDXGZCFDLBS-UHFFFAOYSA-N 0 0 448.567 -0.579 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)c1=O ZINC000800553442 1072662744 /nfs/dbraw/zinc/66/27/44/1072662744.db2.gz OKACYIQZHDVGHO-CHWSQXEVSA-N 0 0 434.540 -0.268 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)c1=O ZINC000800553444 1072662818 /nfs/dbraw/zinc/66/28/18/1072662818.db2.gz OKACYIQZHDVGHO-OLZOCXBDSA-N 0 0 434.540 -0.268 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)c1=O ZINC000800553446 1072662839 /nfs/dbraw/zinc/66/28/39/1072662839.db2.gz OKACYIQZHDVGHO-QWHCGFSZSA-N 0 0 434.540 -0.268 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)c1=O ZINC000800553447 1072662847 /nfs/dbraw/zinc/66/28/47/1072662847.db2.gz OKACYIQZHDVGHO-STQMWFEESA-N 0 0 434.540 -0.268 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC000800595264 1072662732 /nfs/dbraw/zinc/66/27/32/1072662732.db2.gz WPRQUVDWFMMVBL-UHFFFAOYSA-N 0 0 439.538 -0.207 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)NCc2ccccc2)c1 ZINC000800597557 1072662771 /nfs/dbraw/zinc/66/27/71/1072662771.db2.gz PFAIEQDNHPVTOS-UHFFFAOYSA-N 0 0 425.445 -0.467 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1 ZINC000800598109 1072662834 /nfs/dbraw/zinc/66/28/34/1072662834.db2.gz AGJJNADQLVYUQK-HNNXBMFYSA-N 0 0 433.465 -0.162 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)C1 ZINC000800598110 1072662829 /nfs/dbraw/zinc/66/28/29/1072662829.db2.gz AGJJNADQLVYUQK-OAHLLOKOSA-N 0 0 433.465 -0.162 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2cccc(F)c2)c1 ZINC000800598516 1072662841 /nfs/dbraw/zinc/66/28/41/1072662841.db2.gz OQHQONZIESWCGY-INIZCTEOSA-N 0 0 446.435 -0.204 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2cccc(F)c2)c1 ZINC000800598517 1072662824 /nfs/dbraw/zinc/66/28/24/1072662824.db2.gz OQHQONZIESWCGY-MRXNPFEDSA-N 0 0 446.435 -0.204 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000800600870 1072663445 /nfs/dbraw/zinc/66/34/45/1072663445.db2.gz GMOPOVXOWKCSLD-UHFFFAOYSA-N 0 0 446.485 -0.069 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(=O)NC)c2)CC1 ZINC000800601721 1072663326 /nfs/dbraw/zinc/66/33/26/1072663326.db2.gz DRYDJJJMJWTYHM-UHFFFAOYSA-N 0 0 433.465 -0.208 20 0 IBADRN Cn1c2cc(NC(=O)C(=O)NCCS(C)(=O)=O)c(N3CCCCC3)cc2n(C)c1=O ZINC000800606846 1072663354 /nfs/dbraw/zinc/66/33/54/1072663354.db2.gz JWQNRECFHRWIHB-UHFFFAOYSA-N 0 0 437.522 -0.033 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCc2cn(-c3ccccc3)nn2)c1 ZINC000800613459 1072663455 /nfs/dbraw/zinc/66/34/55/1072663455.db2.gz DIYCZVKWGBFWLV-UHFFFAOYSA-N 0 0 435.444 -0.002 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)c1 ZINC000800622245 1072663462 /nfs/dbraw/zinc/66/34/62/1072663462.db2.gz KIUZSHRIRGDHKV-UHFFFAOYSA-N 0 0 433.465 -0.164 20 0 IBADRN CN1C(=O)CN(NC(=O)C(=O)Nc2cc3c(cc2N2CCCCC2)n(C)c(=O)n3C)C1=O ZINC000800632117 1072663478 /nfs/dbraw/zinc/66/34/78/1072663478.db2.gz DFRLTUPGIHTFNG-UHFFFAOYSA-N 0 0 443.464 -0.269 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NCCF)cc2)CC1 ZINC000800643766 1072663321 /nfs/dbraw/zinc/66/33/21/1072663321.db2.gz UQKHKKAXQJKYDU-AWEZNQCLSA-N 0 0 437.472 -0.490 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)C(=O)NCCF)cc2)CC1 ZINC000800643767 1072663309 /nfs/dbraw/zinc/66/33/09/1072663309.db2.gz UQKHKKAXQJKYDU-CQSZACIVSA-N 0 0 437.472 -0.490 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000800649408 1072663365 /nfs/dbraw/zinc/66/33/65/1072663365.db2.gz FRUZKHTYBXTAGX-GFCCVEGCSA-N 0 0 428.898 -0.140 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000800649412 1072663452 /nfs/dbraw/zinc/66/34/52/1072663452.db2.gz FRUZKHTYBXTAGX-LBPRGKRZSA-N 0 0 428.898 -0.140 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000800651248 1072663964 /nfs/dbraw/zinc/66/39/64/1072663964.db2.gz XOEGKMPMTQGGEQ-AWEZNQCLSA-N 0 0 429.520 -0.529 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000800651249 1072663974 /nfs/dbraw/zinc/66/39/74/1072663974.db2.gz XOEGKMPMTQGGEQ-CQSZACIVSA-N 0 0 429.520 -0.529 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000800654562 1072663947 /nfs/dbraw/zinc/66/39/47/1072663947.db2.gz ZBZBQUJDSQOYJH-UHFFFAOYSA-N 0 0 428.536 -0.099 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000800655068 1072663960 /nfs/dbraw/zinc/66/39/60/1072663960.db2.gz MQSYICOFQAZBDX-UHFFFAOYSA-N 0 0 428.536 -0.099 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC(=O)N(C)[C@@H](C)C3)cc2)CC1 ZINC000800656563 1072663904 /nfs/dbraw/zinc/66/39/04/1072663904.db2.gz GVCHQIUQXRYILY-HNNXBMFYSA-N 0 0 431.493 -0.391 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC(=O)N(C)[C@H](C)C3)cc2)CC1 ZINC000800656564 1072663848 /nfs/dbraw/zinc/66/38/48/1072663848.db2.gz GVCHQIUQXRYILY-OAHLLOKOSA-N 0 0 431.493 -0.391 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000800656853 1072663839 /nfs/dbraw/zinc/66/38/39/1072663839.db2.gz GQCJGZMFEKPOKN-SECBINFHSA-N 0 0 440.478 -0.414 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000800656854 1072663820 /nfs/dbraw/zinc/66/38/20/1072663820.db2.gz GQCJGZMFEKPOKN-VIFPVBQESA-N 0 0 440.478 -0.414 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CC(=O)N1C ZINC000800657449 1072663954 /nfs/dbraw/zinc/66/39/54/1072663954.db2.gz YLLYKOJRKCAGGV-GFCCVEGCSA-N 0 0 445.519 -0.868 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)CC(=O)N1C ZINC000800657452 1072663917 /nfs/dbraw/zinc/66/39/17/1072663917.db2.gz YLLYKOJRKCAGGV-LBPRGKRZSA-N 0 0 445.519 -0.868 20 0 IBADRN COc1ncc(NC(=O)C(=O)NCCS(=O)(=O)CCc2ccccc2)cc1C(N)=O ZINC000800660573 1072663893 /nfs/dbraw/zinc/66/38/93/1072663893.db2.gz RXYZZORAHCJPEU-UHFFFAOYSA-N 0 0 434.474 -0.099 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000800661473 1072663922 /nfs/dbraw/zinc/66/39/22/1072663922.db2.gz WPRXMFNQTRSQHJ-BBRMVZONSA-N 0 0 437.522 -0.605 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000800661474 1072663927 /nfs/dbraw/zinc/66/39/27/1072663927.db2.gz WPRXMFNQTRSQHJ-CJNGLKHVSA-N 0 0 437.522 -0.605 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000800661475 1072663870 /nfs/dbraw/zinc/66/38/70/1072663870.db2.gz WPRXMFNQTRSQHJ-CZUORRHYSA-N 0 0 437.522 -0.605 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000800661476 1072663938 /nfs/dbraw/zinc/66/39/38/1072663938.db2.gz WPRXMFNQTRSQHJ-XJKSGUPXSA-N 0 0 437.522 -0.605 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCCNC(=O)/C=C\c2ccccc2)n1 ZINC000800665363 1072663933 /nfs/dbraw/zinc/66/39/33/1072663933.db2.gz ZZDYSKHMVFZLLA-KTKRTIGZSA-N 0 0 427.465 -0.359 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NCCCNC(=O)/C=C/c2ccccc2)n1 ZINC000800665364 1072663909 /nfs/dbraw/zinc/66/39/09/1072663909.db2.gz ZZDYSKHMVFZLLA-MDZDMXLPSA-N 0 0 427.465 -0.359 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)[C@H](CC(N)=O)NC(=O)OCc1ccccc1 ZINC000800665383 1072663943 /nfs/dbraw/zinc/66/39/43/1072663943.db2.gz GOPCBNSOEKMETO-JYJNAYRXSA-N 0 0 440.522 -0.009 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)[C@H](CC(N)=O)NC(=O)OCc1ccccc1 ZINC000800665384 1072663980 /nfs/dbraw/zinc/66/39/80/1072663980.db2.gz GOPCBNSOEKMETO-OAGGEKHMSA-N 0 0 440.522 -0.009 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)[C@H](CC(N)=O)NC(=O)OCc1ccccc1 ZINC000800665385 1072663881 /nfs/dbraw/zinc/66/38/81/1072663881.db2.gz GOPCBNSOEKMETO-PMPSAXMXSA-N 0 0 440.522 -0.009 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)[C@H](CC(N)=O)NC(=O)OCc1ccccc1 ZINC000800665386 1072664498 /nfs/dbraw/zinc/66/44/98/1072664498.db2.gz GOPCBNSOEKMETO-XHSDSOJGSA-N 0 0 440.522 -0.009 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)C(=O)C1 ZINC000800668705 1072664455 /nfs/dbraw/zinc/66/44/55/1072664455.db2.gz WWETYQNAVLXNTA-KBPBESRZSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)C(=O)C1 ZINC000800668706 1072664466 /nfs/dbraw/zinc/66/44/66/1072664466.db2.gz WWETYQNAVLXNTA-KGLIPLIRSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)C(=O)C1 ZINC000800668707 1072664450 /nfs/dbraw/zinc/66/44/50/1072664450.db2.gz WWETYQNAVLXNTA-UONOGXRCSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)C(=O)C1 ZINC000800668708 1072664437 /nfs/dbraw/zinc/66/44/37/1072664437.db2.gz WWETYQNAVLXNTA-ZIAGYGMSSA-N 0 0 432.543 -0.100 20 0 IBADRN CN(CC(=O)N[C@@H]1CCC[C@H]1CNS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000800668909 1072664460 /nfs/dbraw/zinc/66/44/60/1072664460.db2.gz YHQUAWCCZVKGFO-GXTWGEPZSA-N 0 0 428.536 -0.099 20 0 IBADRN CN(CC(=O)N[C@H]1CCC[C@H]1CNS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000800668910 1072664348 /nfs/dbraw/zinc/66/43/48/1072664348.db2.gz YHQUAWCCZVKGFO-JSGCOSHPSA-N 0 0 428.536 -0.099 20 0 IBADRN CN(CC(=O)N[C@H]1CCC[C@@H]1CNS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000800668911 1072664416 /nfs/dbraw/zinc/66/44/16/1072664416.db2.gz YHQUAWCCZVKGFO-OCCSQVGLSA-N 0 0 428.536 -0.099 20 0 IBADRN CN(CC(=O)N[C@@H]1CCC[C@@H]1CNS(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000800668912 1072664395 /nfs/dbraw/zinc/66/43/95/1072664395.db2.gz YHQUAWCCZVKGFO-TZMCWYRMSA-N 0 0 428.536 -0.099 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCC3(OCCO)CCOCC3)cc2S1(=O)=O ZINC000800669028 1072664404 /nfs/dbraw/zinc/66/44/04/1072664404.db2.gz KTGWVEQSTLDUKG-UHFFFAOYSA-N 0 0 442.490 -0.235 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)CCc2ccccc2)c[nH]1 ZINC000800680923 1072664369 /nfs/dbraw/zinc/66/43/69/1072664369.db2.gz BVLBOAUSLSUKPL-UHFFFAOYSA-N 0 0 442.519 -0.834 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)CCc2ccccc2)cn1C ZINC000800681813 1072664485 /nfs/dbraw/zinc/66/44/85/1072664485.db2.gz BEWOEGMZTIKANH-UHFFFAOYSA-N 0 0 428.536 -0.219 20 0 IBADRN O=C(NCCS(=O)(=O)CCc1ccccc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000800681830 1072664421 /nfs/dbraw/zinc/66/44/21/1072664421.db2.gz CPWYKXJNROHIPF-DLBZAZTESA-N 0 0 442.559 -0.204 20 0 IBADRN O=C(NCCS(=O)(=O)CCc1ccccc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000800681838 1072664996 /nfs/dbraw/zinc/66/49/96/1072664996.db2.gz CPWYKXJNROHIPF-IAGOWNOFSA-N 0 0 442.559 -0.204 20 0 IBADRN O=C(NCCS(=O)(=O)CCc1ccccc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000800681844 1072665061 /nfs/dbraw/zinc/66/50/61/1072665061.db2.gz CPWYKXJNROHIPF-IRXDYDNUSA-N 0 0 442.559 -0.204 20 0 IBADRN O=C(NCCS(=O)(=O)CCc1ccccc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000800681851 1072664916 /nfs/dbraw/zinc/66/49/16/1072664916.db2.gz CPWYKXJNROHIPF-SJORKVTESA-N 0 0 442.559 -0.204 20 0 IBADRN O=C(NCCS(=O)(=O)CCc1ccccc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000800681876 1072664937 /nfs/dbraw/zinc/66/49/37/1072664937.db2.gz CHHRYFAXKWACHN-IBGZPJMESA-N 0 0 437.562 -0.059 20 0 IBADRN O=C(NCCS(=O)(=O)CCc1ccccc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000800681878 1072664895 /nfs/dbraw/zinc/66/48/95/1072664895.db2.gz CHHRYFAXKWACHN-LJQANCHMSA-N 0 0 437.562 -0.059 20 0 IBADRN Cn1c(C(=O)NCCS(=O)(=O)CCc2ccccc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000800681924 1072664532 /nfs/dbraw/zinc/66/45/32/1072664532.db2.gz DIUIBCCQWPGMMX-UHFFFAOYSA-N 0 0 432.502 -0.037 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCNC(=O)/C=C/c2ccccc2)c[nH]1 ZINC000800682736 1072665047 /nfs/dbraw/zinc/66/50/47/1072665047.db2.gz MGIUDJBJAYLWET-BQYQJAHWSA-N 0 0 433.490 -0.272 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NCCCNC(=O)/C=C\c2ccccc2)c[nH]1 ZINC000800682740 1072665067 /nfs/dbraw/zinc/66/50/67/1072665067.db2.gz MGIUDJBJAYLWET-FPLPWBNLSA-N 0 0 433.490 -0.272 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)CCc2ccccc2)o1 ZINC000800683164 1072665005 /nfs/dbraw/zinc/66/50/05/1072665005.db2.gz XHUSRBHFXHUQRT-UHFFFAOYSA-N 0 0 443.503 -0.570 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCNC(=O)/C=C\c2ccccc2)o1 ZINC000800683762 1072664957 /nfs/dbraw/zinc/66/49/57/1072664957.db2.gz DBBBWDIARSZJLZ-CLFYSBASSA-N 0 0 434.474 -0.007 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NCCCNC(=O)/C=C/c2ccccc2)o1 ZINC000800683763 1072665040 /nfs/dbraw/zinc/66/50/40/1072665040.db2.gz DBBBWDIARSZJLZ-VQHVLOKHSA-N 0 0 434.474 -0.007 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCS(=O)(=O)CCc1ccccc1 ZINC000800684561 1072664924 /nfs/dbraw/zinc/66/49/24/1072664924.db2.gz UPYGVNGULPCAQG-AWEZNQCLSA-N 0 0 428.536 -0.139 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCCS(=O)(=O)CCc1ccccc1 ZINC000800684562 1072665035 /nfs/dbraw/zinc/66/50/35/1072665035.db2.gz UPYGVNGULPCAQG-CQSZACIVSA-N 0 0 428.536 -0.139 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCC2(OCCO)CCOCC2)CC1 ZINC000800703958 1072665076 /nfs/dbraw/zinc/66/50/76/1072665076.db2.gz OCYISXBATWQGCA-UHFFFAOYSA-N 0 0 444.529 -0.077 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000800717070 1072665560 /nfs/dbraw/zinc/66/55/60/1072665560.db2.gz QAAHVYSVXKOONR-FQEVSTJZSA-N 0 0 432.481 -0.160 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000800717076 1072665627 /nfs/dbraw/zinc/66/56/27/1072665627.db2.gz QAAHVYSVXKOONR-HXUWFJFHSA-N 0 0 432.481 -0.160 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000800717521 1072665606 /nfs/dbraw/zinc/66/56/06/1072665606.db2.gz WBEMTZMXQIAXFE-FQEVSTJZSA-N 0 0 432.481 -0.160 20 0 IBADRN CCNC(=O)N1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000800717522 1072665584 /nfs/dbraw/zinc/66/55/84/1072665584.db2.gz WBEMTZMXQIAXFE-HXUWFJFHSA-N 0 0 432.481 -0.160 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCc2cccc(CS(N)(=O)=O)c2)CC1 ZINC000800717804 1072665571 /nfs/dbraw/zinc/66/55/71/1072665571.db2.gz SAOMAWPAZKBGAE-UHFFFAOYSA-N 0 0 447.583 -0.111 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)OCC(=O)N3CCNC(=O)C3)CC2)cc1 ZINC000800723303 1072665632 /nfs/dbraw/zinc/66/56/32/1072665632.db2.gz GWBCWPOQUKINKO-UHFFFAOYSA-N 0 0 439.490 -0.403 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000800723527 1072665513 /nfs/dbraw/zinc/66/55/13/1072665513.db2.gz LVBKNRGPEDGTND-GOSISDBHSA-N 0 0 439.494 -0.929 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000800723528 1072665535 /nfs/dbraw/zinc/66/55/35/1072665535.db2.gz LVBKNRGPEDGTND-SFHVURJKSA-N 0 0 439.494 -0.929 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C ZINC000800727044 1072665601 /nfs/dbraw/zinc/66/56/01/1072665601.db2.gz WLCWLFHNJPDUHW-CYBMUJFWSA-N 0 0 428.540 -0.541 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C ZINC000800727051 1072665522 /nfs/dbraw/zinc/66/55/22/1072665522.db2.gz WLCWLFHNJPDUHW-ZDUSSCGKSA-N 0 0 428.540 -0.541 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(-c2cccc(S(=O)(=O)N3CCOCC3)c2)n1C ZINC000800727802 1072665648 /nfs/dbraw/zinc/66/56/48/1072665648.db2.gz PKCCSKZMUAWWBD-UHFFFAOYSA-N 0 0 443.551 -0.016 20 0 IBADRN Cc1cccnc1-c1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C ZINC000800730247 1072665547 /nfs/dbraw/zinc/66/55/47/1072665547.db2.gz MQKAOHMJXDXNMM-AWEZNQCLSA-N 0 0 440.551 -0.176 20 0 IBADRN Cc1cccnc1-c1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C ZINC000800730250 1072665624 /nfs/dbraw/zinc/66/56/24/1072665624.db2.gz MQKAOHMJXDXNMM-CQSZACIVSA-N 0 0 440.551 -0.176 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)n2C)n1 ZINC000800735212 1072665597 /nfs/dbraw/zinc/66/55/97/1072665597.db2.gz PWNVWSLWKDKCQR-AWEZNQCLSA-N 0 0 440.551 -0.176 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)n2C)n1 ZINC000800735213 1072665590 /nfs/dbraw/zinc/66/55/90/1072665590.db2.gz PWNVWSLWKDKCQR-CQSZACIVSA-N 0 0 440.551 -0.176 20 0 IBADRN Cn1c(CCc2ccco2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000800735632 1072665645 /nfs/dbraw/zinc/66/56/45/1072665645.db2.gz HSIAREMLCSJZGI-HNNXBMFYSA-N 0 0 443.551 -0.168 20 0 IBADRN Cn1c(CCc2ccco2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000800735633 1072665538 /nfs/dbraw/zinc/66/55/38/1072665538.db2.gz HSIAREMLCSJZGI-OAHLLOKOSA-N 0 0 443.551 -0.168 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCS(=O)(=O)CCc2ccccc2)CC1 ZINC000800756445 1072665637 /nfs/dbraw/zinc/66/56/37/1072665637.db2.gz FYAAAYWCBILHIO-UHFFFAOYSA-N 0 0 435.510 -0.816 20 0 IBADRN O=C(/C=C/c1ccccc1)NCCCNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000800759127 1072665616 /nfs/dbraw/zinc/66/56/16/1072665616.db2.gz JBZDMMFACMUNPS-BQYQJAHWSA-N 0 0 426.481 -0.253 20 0 IBADRN O=C(/C=C\c1ccccc1)NCCCNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000800759128 1072666287 /nfs/dbraw/zinc/66/62/87/1072666287.db2.gz JBZDMMFACMUNPS-FPLPWBNLSA-N 0 0 426.481 -0.253 20 0 IBADRN Cn1c(-c2ccccn2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000800768986 1072666265 /nfs/dbraw/zinc/66/62/65/1072666265.db2.gz UQMALELVKKOIKB-CYBMUJFWSA-N 0 0 426.524 -0.484 20 0 IBADRN Cn1c(-c2ccccn2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000800768987 1072666157 /nfs/dbraw/zinc/66/61/57/1072666157.db2.gz UQMALELVKKOIKB-ZDUSSCGKSA-N 0 0 426.524 -0.484 20 0 IBADRN COc1cc(OC)cc(-c2nnc(N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)n2C)c1 ZINC000800769277 1072666096 /nfs/dbraw/zinc/66/60/96/1072666096.db2.gz OSRWYRVBQINWHF-UHFFFAOYSA-N 0 0 442.480 -0.034 20 0 IBADRN O=C(COC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N1CCNC(=O)C1 ZINC000800795213 1072666216 /nfs/dbraw/zinc/66/62/16/1072666216.db2.gz BRBRLPZEOMRUPM-UHFFFAOYSA-N 0 0 434.474 -0.651 20 0 IBADRN CCNC(=O)[C@@H](C)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000800802642 1072666127 /nfs/dbraw/zinc/66/61/27/1072666127.db2.gz FNPXZGUMOXMGRL-GFCCVEGCSA-N 0 0 428.486 -0.067 20 0 IBADRN CCNC(=O)[C@H](C)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000800802648 1072666175 /nfs/dbraw/zinc/66/61/75/1072666175.db2.gz FNPXZGUMOXMGRL-LBPRGKRZSA-N 0 0 428.486 -0.067 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(=O)NC(C)C)ccc2F)CC1 ZINC000800803677 1072666275 /nfs/dbraw/zinc/66/62/75/1072666275.db2.gz KRAXVXAVTWXQBL-UHFFFAOYSA-N 0 0 428.486 -0.067 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCNC(=O)C3CC3)ccc2F)CC1 ZINC000800804276 1072666148 /nfs/dbraw/zinc/66/61/48/1072666148.db2.gz UAUSXQPROSAJLV-UHFFFAOYSA-N 0 0 440.497 -0.066 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(=O)NC3CC3)ccc2F)CC1 ZINC000800804615 1072666169 /nfs/dbraw/zinc/66/61/69/1072666169.db2.gz FFSXIWMEWZMRCT-UHFFFAOYSA-N 0 0 426.470 -0.313 20 0 IBADRN CS(=O)(=O)NCCNC(=S)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000800823004 1072666771 /nfs/dbraw/zinc/66/67/71/1072666771.db2.gz GBNTZHBABZNLMK-UHFFFAOYSA-N 0 0 432.952 -0.229 20 0 IBADRN COCCn1c(N)c(C(=O)CN2C(=O)c3ccc(Cl)cc3S2(=O)=O)c(=O)[nH]c1=O ZINC000800840527 1072666799 /nfs/dbraw/zinc/66/67/99/1072666799.db2.gz PFAGNSJJXZZQGR-UHFFFAOYSA-N 0 0 442.837 -0.142 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCC(=O)N(C)C)ccc2F)CC1 ZINC000800846639 1072666692 /nfs/dbraw/zinc/66/66/92/1072666692.db2.gz QTKSYOMSSSDIEO-UHFFFAOYSA-N 0 0 428.486 -0.113 20 0 IBADRN NC(=O)NC(=O)COC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000800851628 1072666761 /nfs/dbraw/zinc/66/67/61/1072666761.db2.gz GHGAWQBUKDPLHQ-UHFFFAOYSA-N 0 0 427.435 -0.403 20 0 IBADRN CNC(=O)NC(=O)COC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000800852820 1072666787 /nfs/dbraw/zinc/66/67/87/1072666787.db2.gz IWDMGIRVLDIGNS-UHFFFAOYSA-N 0 0 441.462 -0.143 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCS(C)(=O)=O)ccc2F)CC1 ZINC000800855502 1072666822 /nfs/dbraw/zinc/66/68/22/1072666822.db2.gz IYNPVRUGWSDJNO-UHFFFAOYSA-N 0 0 435.499 -0.547 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(=O)NC(N)=O ZINC000800855855 1072666702 /nfs/dbraw/zinc/66/67/02/1072666702.db2.gz PNWOJAOFPWPQBT-LLVKDONJSA-N 0 0 441.462 -0.015 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)C(=O)NC(N)=O ZINC000800855856 1072666675 /nfs/dbraw/zinc/66/66/75/1072666675.db2.gz PNWOJAOFPWPQBT-NSHDSACASA-N 0 0 441.462 -0.015 20 0 IBADRN CCS(=O)(=O)CCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000800879966 1072666844 /nfs/dbraw/zinc/66/68/44/1072666844.db2.gz ANMRRXUXGKRRFK-UHFFFAOYSA-N 0 0 449.526 -0.157 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H](C(N)=O)C(C)C)ccc2F)CC1 ZINC000800885111 1072666828 /nfs/dbraw/zinc/66/68/28/1072666828.db2.gz UATWTBIJIZRIGF-MRXNPFEDSA-N 0 0 428.486 -0.082 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H](C)CS(C)(=O)=O)ccc2F)CC1 ZINC000800889076 1072666710 /nfs/dbraw/zinc/66/67/10/1072666710.db2.gz NZXTWBZVDZIXQQ-GFCCVEGCSA-N 0 0 449.526 -0.159 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H](C)CS(C)(=O)=O)ccc2F)CC1 ZINC000800889077 1072666748 /nfs/dbraw/zinc/66/67/48/1072666748.db2.gz NZXTWBZVDZIXQQ-LBPRGKRZSA-N 0 0 449.526 -0.159 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NC2CCN(CC(N)=O)CC2)c1 ZINC000800895709 1072666837 /nfs/dbraw/zinc/66/68/37/1072666837.db2.gz KCFBNXSISWBRSG-UHFFFAOYSA-N 0 0 432.568 -0.055 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)[C@H]3CCN(C)C3=O)ccc2F)CC1 ZINC000800897119 1072666812 /nfs/dbraw/zinc/66/68/12/1072666812.db2.gz FRWIVYRWRANAES-INIZCTEOSA-N 0 0 440.497 -0.019 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)[C@@H]3CCN(C)C3=O)ccc2F)CC1 ZINC000800897121 1072666751 /nfs/dbraw/zinc/66/67/51/1072666751.db2.gz FRWIVYRWRANAES-MRXNPFEDSA-N 0 0 440.497 -0.019 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3CCN(C)C3=O)ccc2F)CC1 ZINC000800897523 1072666729 /nfs/dbraw/zinc/66/67/29/1072666729.db2.gz LRGPZJLNOJEYAZ-HNNXBMFYSA-N 0 0 426.470 -0.361 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3CCN(C)C3=O)ccc2F)CC1 ZINC000800897533 1072666807 /nfs/dbraw/zinc/66/68/07/1072666807.db2.gz LRGPZJLNOJEYAZ-OAHLLOKOSA-N 0 0 426.470 -0.361 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1cccc(S(=O)(=O)N(CC)CC)c1 ZINC000800911554 1072667174 /nfs/dbraw/zinc/66/71/74/1072667174.db2.gz BMAVCHGBDKGQLJ-UHFFFAOYSA-N 0 0 427.570 -0.065 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC3(C(N)=O)CCC3)ccc2F)CC1 ZINC000800922384 1072667285 /nfs/dbraw/zinc/66/72/85/1072667285.db2.gz IMFPUYVKBDAYJB-UHFFFAOYSA-N 0 0 426.470 -0.184 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@H](C)S(C)(=O)=O)ccc2F)CC1 ZINC000800926064 1072667263 /nfs/dbraw/zinc/66/72/63/1072667263.db2.gz SQXQTGMBAZBFPA-GFCCVEGCSA-N 0 0 449.526 -0.159 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@H](C)S(C)(=O)=O)ccc2F)CC1 ZINC000800926065 1072667332 /nfs/dbraw/zinc/66/73/32/1072667332.db2.gz SQXQTGMBAZBFPA-LBPRGKRZSA-N 0 0 449.526 -0.159 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)CC(=O)N(C)C)ccc2F)CC1 ZINC000800928621 1072667223 /nfs/dbraw/zinc/66/72/23/1072667223.db2.gz PVKUQTROLLHXPB-UHFFFAOYSA-N 0 0 428.486 -0.161 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCc3nnnn3C)ccc2F)CC1 ZINC000800932421 1072667324 /nfs/dbraw/zinc/66/73/24/1072667324.db2.gz LXRUKRAHBUYHHP-UHFFFAOYSA-N 0 0 439.473 -0.826 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(=O)NCC3CC3)ccc2F)CC1 ZINC000800935512 1072667139 /nfs/dbraw/zinc/66/71/39/1072667139.db2.gz NLXLNWCRFZVKTD-UHFFFAOYSA-N 0 0 440.497 -0.066 20 0 IBADRN CCN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CC1=O ZINC000800941028 1072667158 /nfs/dbraw/zinc/66/71/58/1072667158.db2.gz VRKNEFBIDNKBMA-UHFFFAOYSA-N 0 0 440.497 -0.017 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)C2)cc1F ZINC000800946574 1072667307 /nfs/dbraw/zinc/66/73/07/1072667307.db2.gz MPOXXTWOMGKLFC-LLVKDONJSA-N 0 0 426.426 -0.297 20 0 IBADRN COc1ccc(C2=NO[C@H](CNS(=O)(=O)c3cn(C)c(=O)n(C)c3=O)C2)cc1F ZINC000800946575 1072667196 /nfs/dbraw/zinc/66/71/96/1072667196.db2.gz MPOXXTWOMGKLFC-NSHDSACASA-N 0 0 426.426 -0.297 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCN(C)C(=O)[C@H]3C)ccc2F)CC1 ZINC000800949228 1072667859 /nfs/dbraw/zinc/66/78/59/1072667859.db2.gz GVMDVSDWFFGEHP-CYBMUJFWSA-N 0 0 440.497 -0.019 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCN(C)C(=O)[C@@H]3C)ccc2F)CC1 ZINC000800949230 1072667887 /nfs/dbraw/zinc/66/78/87/1072667887.db2.gz GVMDVSDWFFGEHP-ZDUSSCGKSA-N 0 0 440.497 -0.019 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CCO[C@@H](C(F)F)C1)N1CCO[C@@H](C(F)F)C1 ZINC000800951306 1072667885 /nfs/dbraw/zinc/66/78/85/1072667885.db2.gz HRBRBXLSFLHXQB-GHMZBOCLSA-N 0 0 442.453 -0.032 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CCO[C@H](C(F)F)C1)N1CCO[C@@H](C(F)F)C1 ZINC000800951307 1072667756 /nfs/dbraw/zinc/66/77/56/1072667756.db2.gz HRBRBXLSFLHXQB-PHIMTYICSA-N 0 0 442.453 -0.032 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CCO[C@H](C(F)F)C1)N1CCO[C@H](C(F)F)C1 ZINC000800951308 1072667852 /nfs/dbraw/zinc/66/78/52/1072667852.db2.gz HRBRBXLSFLHXQB-QWRGUYRKSA-N 0 0 442.453 -0.032 20 0 IBADRN CCN(C(=O)COC(=O)CCNS(=O)(=O)c1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000800951957 1072614948 /nfs/dbraw/zinc/61/49/48/1072614948.db2.gz XQGKCEAKYPNCNR-GFCCVEGCSA-N 0 0 438.549 -0.005 20 0 IBADRN CCN(C(=O)COC(=O)CCNS(=O)(=O)c1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000800951958 1072614809 /nfs/dbraw/zinc/61/48/09/1072614809.db2.gz XQGKCEAKYPNCNR-LBPRGKRZSA-N 0 0 438.549 -0.005 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(=O)N(C)C[C@H]3C)ccc2F)CC1 ZINC000800952006 1072667866 /nfs/dbraw/zinc/66/78/66/1072667866.db2.gz RMGLZQCKTKLHPX-CYBMUJFWSA-N 0 0 440.497 -0.019 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(=O)N(C)C[C@@H]3C)ccc2F)CC1 ZINC000800952007 1072667724 /nfs/dbraw/zinc/66/77/24/1072667724.db2.gz RMGLZQCKTKLHPX-ZDUSSCGKSA-N 0 0 440.497 -0.019 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000800955523 1072667804 /nfs/dbraw/zinc/66/78/04/1072667804.db2.gz XNLHAXIQZMQKKI-UHFFFAOYSA-N 0 0 440.565 -0.141 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCc3nnn(C)n3)ccc2F)CC1 ZINC000800966097 1072667843 /nfs/dbraw/zinc/66/78/43/1072667843.db2.gz YJAJAXLAYPSRGG-UHFFFAOYSA-N 0 0 425.446 -0.868 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3C(=O)NCC[C@H]3C)ccc2F)CC1 ZINC000800972880 1072667786 /nfs/dbraw/zinc/66/77/86/1072667786.db2.gz UZNNKHWNTAZOPS-PXAZEXFGSA-N 0 0 440.497 -0.067 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3C(=O)NCC[C@@H]3C)ccc2F)CC1 ZINC000800972882 1072667716 /nfs/dbraw/zinc/66/77/16/1072667716.db2.gz UZNNKHWNTAZOPS-SJCJKPOMSA-N 0 0 440.497 -0.067 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3C(=O)NCC[C@H]3C)ccc2F)CC1 ZINC000800972885 1072667702 /nfs/dbraw/zinc/66/77/02/1072667702.db2.gz UZNNKHWNTAZOPS-SJKOYZFVSA-N 0 0 440.497 -0.067 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3C(=O)NCC[C@@H]3C)ccc2F)CC1 ZINC000800972886 1072667796 /nfs/dbraw/zinc/66/77/96/1072667796.db2.gz UZNNKHWNTAZOPS-YVEFUNNKSA-N 0 0 440.497 -0.067 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H](C)C(=O)NCCF)ccc2F)CC1 ZINC000800974824 1072667872 /nfs/dbraw/zinc/66/78/72/1072667872.db2.gz RMMCZMRRWSYPBW-GFCCVEGCSA-N 0 0 446.476 -0.118 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H](C)C(=O)NCCF)ccc2F)CC1 ZINC000800974825 1072667891 /nfs/dbraw/zinc/66/78/91/1072667891.db2.gz RMMCZMRRWSYPBW-LBPRGKRZSA-N 0 0 446.476 -0.118 20 0 IBADRN COCCN1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CC1=O ZINC000800981899 1072667764 /nfs/dbraw/zinc/66/77/64/1072667764.db2.gz BAOZHNQNDPZQAD-UHFFFAOYSA-N 0 0 440.522 -0.194 20 0 IBADRN COCCN1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000800983821 1072668396 /nfs/dbraw/zinc/66/83/96/1072668396.db2.gz VPRSZCPQGWPWAY-UHFFFAOYSA-N 0 0 426.495 -0.335 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000801004668 1072668272 /nfs/dbraw/zinc/66/82/72/1072668272.db2.gz ODFLTKJXQPOAFH-INIZCTEOSA-N 0 0 440.522 -0.373 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC000801004669 1072668404 /nfs/dbraw/zinc/66/84/04/1072668404.db2.gz ODFLTKJXQPOAFH-MRXNPFEDSA-N 0 0 440.522 -0.373 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000801005243 1072668249 /nfs/dbraw/zinc/66/82/49/1072668249.db2.gz KJYSBQCVAXCLCV-UHFFFAOYSA-N 0 0 433.531 -0.555 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC000801015838 1072668348 /nfs/dbraw/zinc/66/83/48/1072668348.db2.gz MNHQAHUSTAQTMI-UHFFFAOYSA-N 0 0 430.911 -0.004 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000801017318 1072668381 /nfs/dbraw/zinc/66/83/81/1072668381.db2.gz GTMVJJYOWOQBBG-UHFFFAOYSA-N 0 0 436.478 -0.073 20 0 IBADRN CC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000801048155 1072668313 /nfs/dbraw/zinc/66/83/13/1072668313.db2.gz BHLTYNINPHFZBD-UHFFFAOYSA-N 0 0 425.463 -0.599 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)NC3CC(NS(C)(=O)=O)C3)CC2)cc1 ZINC000801068654 1072668340 /nfs/dbraw/zinc/66/83/40/1072668340.db2.gz BWGXKTAFRLGVCZ-UHFFFAOYSA-N 0 0 445.567 -0.030 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000801068656 1072668361 /nfs/dbraw/zinc/66/83/61/1072668361.db2.gz BXSXWIROYFWTTM-UHFFFAOYSA-N 0 0 447.558 -0.117 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000801068899 1072668357 /nfs/dbraw/zinc/66/83/57/1072668357.db2.gz NIGIQBMRVABZCJ-UHFFFAOYSA-N 0 0 441.535 -0.457 20 0 IBADRN Cc1nn(C)c(Br)c1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000801082490 1072668324 /nfs/dbraw/zinc/66/83/24/1072668324.db2.gz XATBUOSUQVPQCH-UHFFFAOYSA-N 0 0 428.287 -0.366 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)N2C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)O1 ZINC000801086286 1072668831 /nfs/dbraw/zinc/66/88/31/1072668831.db2.gz UHJPVILUENQYMZ-CQOGHXNDSA-N 0 0 441.506 -0.138 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@@H](C)N2C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)O1 ZINC000801086287 1072669017 /nfs/dbraw/zinc/66/90/17/1072669017.db2.gz UHJPVILUENQYMZ-HPCJDURASA-N 0 0 441.506 -0.138 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)N2C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)O1 ZINC000801086288 1072668958 /nfs/dbraw/zinc/66/89/58/1072668958.db2.gz UHJPVILUENQYMZ-NRLBWEKTSA-N 0 0 441.506 -0.138 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2[C@H](CC[C@H](C)N2C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)O1 ZINC000801086289 1072668867 /nfs/dbraw/zinc/66/88/67/1072668867.db2.gz UHJPVILUENQYMZ-RIMJYPKNSA-N 0 0 441.506 -0.138 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H](CNC(=O)c2cn(CC(N)=O)nn2)C(F)(F)F)CC1 ZINC000801086865 1072668280 /nfs/dbraw/zinc/66/82/80/1072668280.db2.gz QAQPAMAWGUDMJF-GFCCVEGCSA-N 0 0 449.434 -0.023 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H](CNC(=O)c2cn(CC(N)=O)nn2)C(F)(F)F)CC1 ZINC000801086866 1072668437 /nfs/dbraw/zinc/66/84/37/1072668437.db2.gz QAQPAMAWGUDMJF-LBPRGKRZSA-N 0 0 449.434 -0.023 20 0 IBADRN Cc1nn(C)c(Br)c1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000801086891 1072668450 /nfs/dbraw/zinc/66/84/50/1072668450.db2.gz QPQLQBRHZVEADI-UHFFFAOYSA-N 0 0 436.332 -0.174 20 0 IBADRN Cc1nn(C)c(Br)c1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000801087365 1072668898 /nfs/dbraw/zinc/66/88/98/1072668898.db2.gz XKUSEBXOUOCCRT-UHFFFAOYSA-N 0 0 449.375 -0.259 20 0 IBADRN Cc1nn(C)c(Br)c1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000801088224 1072668815 /nfs/dbraw/zinc/66/88/15/1072668815.db2.gz IZVCFTYJGOTAON-UHFFFAOYSA-N 0 0 426.271 -0.076 20 0 IBADRN O=C(COC(=O)c1cnccn1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000801094441 1072669026 /nfs/dbraw/zinc/66/90/26/1072669026.db2.gz KBSFHCGRCHYNLW-UHFFFAOYSA-N 0 0 448.457 -0.062 20 0 IBADRN CC(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CC1 ZINC000801102048 1072668918 /nfs/dbraw/zinc/66/89/18/1072668918.db2.gz YOKRBPRFEQYZAT-UHFFFAOYSA-N 0 0 440.497 -0.017 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCN3CCOCC3)ccc2F)CC1 ZINC000801102608 1072668969 /nfs/dbraw/zinc/66/89/69/1072668969.db2.gz ZVFFFJFDEPWKJF-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN Cc1nn(C)c(Br)c1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000801103037 1072669081 /nfs/dbraw/zinc/66/90/81/1072669081.db2.gz WJKVCMGXSPPJKG-UHFFFAOYSA-N 0 0 426.275 -0.407 20 0 IBADRN CCCNC(=O)CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801112356 1072668993 /nfs/dbraw/zinc/66/89/93/1072668993.db2.gz QMSSXKWEZNUCIX-UHFFFAOYSA-N 0 0 428.486 -0.066 20 0 IBADRN COCCNC(=O)CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801112958 1072668872 /nfs/dbraw/zinc/66/88/72/1072668872.db2.gz BZUGFCUFBAEZIP-UHFFFAOYSA-N 0 0 444.485 -0.829 20 0 IBADRN CC(=O)NCCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801117764 1072669047 /nfs/dbraw/zinc/66/90/47/1072669047.db2.gz JMSKTHDAKWDLFP-UHFFFAOYSA-N 0 0 428.486 -0.066 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801117859 1072669057 /nfs/dbraw/zinc/66/90/57/1072669057.db2.gz DXIIIXRSBQVVIG-UHFFFAOYSA-N 0 0 449.526 -0.046 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@@H](CNC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C1 ZINC000801125382 1072669098 /nfs/dbraw/zinc/66/90/98/1072669098.db2.gz RZTFASVFQZNKHX-GXTWGEPZSA-N 0 0 426.495 -0.172 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCN(CCO)CC3)ccc2F)CC1 ZINC000801130139 1072669140 /nfs/dbraw/zinc/66/91/40/1072669140.db2.gz VWYBLNSERAWZGG-UHFFFAOYSA-N 0 0 442.513 -0.571 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801133468 1072669667 /nfs/dbraw/zinc/66/96/67/1072669667.db2.gz ILHHAEPWSGFGQE-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000801133559 1072669071 /nfs/dbraw/zinc/66/90/71/1072669071.db2.gz JVOZBWGGDCHUTI-GFCCVEGCSA-N 0 0 435.499 -0.501 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000801133560 1072668850 /nfs/dbraw/zinc/66/88/50/1072668850.db2.gz JVOZBWGGDCHUTI-LBPRGKRZSA-N 0 0 435.499 -0.501 20 0 IBADRN NC(=O)C1(CNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCOCC1 ZINC000801133870 1072668979 /nfs/dbraw/zinc/66/89/79/1072668979.db2.gz YWRKWMQENFXUGH-UHFFFAOYSA-N 0 0 429.470 -0.142 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC(C)(C)C(N)=O)ccc2F)CC1 ZINC000801134747 1072669722 /nfs/dbraw/zinc/66/97/22/1072669722.db2.gz OIFPDTRORHITNM-UHFFFAOYSA-N 0 0 428.486 -0.080 20 0 IBADRN COCCOc1cncc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000801135989 1072669554 /nfs/dbraw/zinc/66/95/54/1072669554.db2.gz PXLFLKKOFUJOAC-INIZCTEOSA-N 0 0 447.535 -0.619 20 0 IBADRN COCCOc1cncc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000801135990 1072669662 /nfs/dbraw/zinc/66/96/62/1072669662.db2.gz PXLFLKKOFUJOAC-MRXNPFEDSA-N 0 0 447.535 -0.619 20 0 IBADRN CCNC(=O)CN(C)C(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801136187 1072669533 /nfs/dbraw/zinc/66/95/33/1072669533.db2.gz XXTDPIOYBSVJQL-UHFFFAOYSA-N 0 0 428.486 -0.113 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCCS(C)(=O)=O)ccc2F)CC1 ZINC000801136970 1072669624 /nfs/dbraw/zinc/66/96/24/1072669624.db2.gz CVANJUVPKZTJNK-UHFFFAOYSA-N 0 0 449.526 -0.157 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@H]3COCCO3)ccc2F)CC1 ZINC000801137791 1072669683 /nfs/dbraw/zinc/66/96/83/1072669683.db2.gz NXQOVXKPVYJVHT-HNNXBMFYSA-N 0 0 429.470 -0.176 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@H]3COCCO3)ccc2F)CC1 ZINC000801137792 1072669583 /nfs/dbraw/zinc/66/95/83/1072669583.db2.gz NXQOVXKPVYJVHT-OAHLLOKOSA-N 0 0 429.470 -0.176 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000801138051 1072669689 /nfs/dbraw/zinc/66/96/89/1072669689.db2.gz IVPFEKBRRXMDTN-UHFFFAOYSA-N 0 0 436.531 -0.617 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801140485 1072669733 /nfs/dbraw/zinc/66/97/33/1072669733.db2.gz BFTPIFAIGNHKPZ-UHFFFAOYSA-N 0 0 449.526 -0.693 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801142947 1072669770 /nfs/dbraw/zinc/66/97/70/1072669770.db2.gz YTGGGQJRTUMVOI-UHFFFAOYSA-N 0 0 431.442 -0.663 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801145504 1072669656 /nfs/dbraw/zinc/66/96/56/1072669656.db2.gz ACBLBRHVMARLPR-UHFFFAOYSA-N 0 0 449.526 -0.046 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801145574 1072669591 /nfs/dbraw/zinc/66/95/91/1072669591.db2.gz BYNSNANPTOSDMC-UHFFFAOYSA-N 0 0 437.515 -0.094 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000801145823 1072669783 /nfs/dbraw/zinc/66/97/83/1072669783.db2.gz HYXVJNZGCMVGCI-AWEZNQCLSA-N 0 0 425.438 -0.134 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000801145824 1072669561 /nfs/dbraw/zinc/66/95/61/1072669561.db2.gz HYXVJNZGCMVGCI-CQSZACIVSA-N 0 0 425.438 -0.134 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3CC(=O)N(C)C3)ccc2F)CC1 ZINC000801146516 1072669600 /nfs/dbraw/zinc/66/96/00/1072669600.db2.gz IUXNWJISIKMKOV-AWEZNQCLSA-N 0 0 426.470 -0.361 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3CC(=O)N(C)C3)ccc2F)CC1 ZINC000801146517 1072669613 /nfs/dbraw/zinc/66/96/13/1072669613.db2.gz IUXNWJISIKMKOV-CQSZACIVSA-N 0 0 426.470 -0.361 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCCNC(=O)C3)ccc2F)CC1 ZINC000801147750 1072669638 /nfs/dbraw/zinc/66/96/38/1072669638.db2.gz CWWDKSGOMYJKNW-UHFFFAOYSA-N 0 0 426.470 -0.359 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)ccc1F ZINC000801148707 1072670217 /nfs/dbraw/zinc/67/02/17/1072670217.db2.gz MBHSLLDQCKOVMM-UHFFFAOYSA-N 0 0 425.446 -0.953 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCNC(=O)[C@H]3C)ccc2F)CC1 ZINC000801148881 1072669701 /nfs/dbraw/zinc/66/97/01/1072669701.db2.gz RQAHUPOFFCBWQS-GFCCVEGCSA-N 0 0 426.470 -0.361 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCNC(=O)[C@@H]3C)ccc2F)CC1 ZINC000801148882 1072670121 /nfs/dbraw/zinc/67/01/21/1072670121.db2.gz RQAHUPOFFCBWQS-LBPRGKRZSA-N 0 0 426.470 -0.361 20 0 IBADRN CNC(=O)CCN(C)C(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801149590 1072670260 /nfs/dbraw/zinc/67/02/60/1072670260.db2.gz QJWQPMZGZXJRAW-UHFFFAOYSA-N 0 0 428.486 -0.113 20 0 IBADRN C[C@@H](NC(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000801149692 1072670213 /nfs/dbraw/zinc/67/02/13/1072670213.db2.gz TXKUILQWKCPCGY-LLVKDONJSA-N 0 0 435.499 -0.549 20 0 IBADRN C[C@H](NC(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000801149694 1072670140 /nfs/dbraw/zinc/67/01/40/1072670140.db2.gz TXKUILQWKCPCGY-NSHDSACASA-N 0 0 435.499 -0.549 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCNC(=O)CC3)ccc2F)CC1 ZINC000801150368 1072670192 /nfs/dbraw/zinc/67/01/92/1072670192.db2.gz RDLMHLZFRMBHAM-UHFFFAOYSA-N 0 0 426.470 -0.359 20 0 IBADRN NC(=O)CN1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801151025 1072670265 /nfs/dbraw/zinc/67/02/65/1072670265.db2.gz IBBQKTCOLTWIQE-UHFFFAOYSA-N 0 0 428.486 -0.520 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000801152712 1072670200 /nfs/dbraw/zinc/67/02/00/1072670200.db2.gz FBSRFLMLKXULBQ-UHFFFAOYSA-N 0 0 428.486 -0.113 20 0 IBADRN C=CC(=O)NCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801153439 1072670239 /nfs/dbraw/zinc/67/02/39/1072670239.db2.gz HHANLQFRFNWYJZ-UHFFFAOYSA-N 0 0 426.470 -0.290 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801154133 1072670244 /nfs/dbraw/zinc/67/02/44/1072670244.db2.gz KHBMZRDBGGZHBW-CYBMUJFWSA-N 0 0 449.526 -0.013 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801154134 1072670184 /nfs/dbraw/zinc/67/01/84/1072670184.db2.gz KHBMZRDBGGZHBW-ZDUSSCGKSA-N 0 0 449.526 -0.013 20 0 IBADRN CCN(CC(=O)NC)C(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801154645 1072670132 /nfs/dbraw/zinc/67/01/32/1072670132.db2.gz IRLFMXVWZKRWDJ-UHFFFAOYSA-N 0 0 428.486 -0.113 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801154967 1072670250 /nfs/dbraw/zinc/67/02/50/1072670250.db2.gz NHUJYMWMDPSSIA-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801155543 1072670160 /nfs/dbraw/zinc/67/01/60/1072670160.db2.gz XFWFOHBMQNUWNN-CYBMUJFWSA-N 0 0 435.499 -0.260 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801155545 1072670209 /nfs/dbraw/zinc/67/02/09/1072670209.db2.gz XFWFOHBMQNUWNN-ZDUSSCGKSA-N 0 0 435.499 -0.260 20 0 IBADRN CNC(=O)CCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801155757 1072670153 /nfs/dbraw/zinc/67/01/53/1072670153.db2.gz CJGJJJKDGUNDMZ-UHFFFAOYSA-N 0 0 428.486 -0.066 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H](C)C(=O)N(C)C)ccc2F)CC1 ZINC000801156559 1072670097 /nfs/dbraw/zinc/67/00/97/1072670097.db2.gz WVXVEUIZHKISJG-GFCCVEGCSA-N 0 0 428.486 -0.115 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H](C)C(=O)N(C)C)ccc2F)CC1 ZINC000801156560 1072670272 /nfs/dbraw/zinc/67/02/72/1072670272.db2.gz WVXVEUIZHKISJG-LBPRGKRZSA-N 0 0 428.486 -0.115 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801157984 1072670812 /nfs/dbraw/zinc/67/08/12/1072670812.db2.gz COFFSRANQNFJFN-CYBMUJFWSA-N 0 0 435.499 -0.260 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801157985 1072670829 /nfs/dbraw/zinc/67/08/29/1072670829.db2.gz COFFSRANQNFJFN-ZDUSSCGKSA-N 0 0 435.499 -0.260 20 0 IBADRN COC(=O)Cc1nc(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)n[nH]1 ZINC000801158207 1072670918 /nfs/dbraw/zinc/67/09/18/1072670918.db2.gz QQIJJEQWYQJQKC-UHFFFAOYSA-N 0 0 427.414 -0.068 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCN(C)C(=O)C3)ccc2F)CC1 ZINC000801158586 1072670759 /nfs/dbraw/zinc/67/07/59/1072670759.db2.gz BPQNRWZPUGCDKW-UHFFFAOYSA-N 0 0 426.470 -0.407 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801158677 1072670742 /nfs/dbraw/zinc/67/07/42/1072670742.db2.gz WOBYZKQORLGXQL-CYBMUJFWSA-N 0 0 435.499 -0.390 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801158679 1072670962 /nfs/dbraw/zinc/67/09/62/1072670962.db2.gz WOBYZKQORLGXQL-ZDUSSCGKSA-N 0 0 435.499 -0.390 20 0 IBADRN CCOCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000801159170 1072670852 /nfs/dbraw/zinc/67/08/52/1072670852.db2.gz VBDCKCYEKGWLKH-UHFFFAOYSA-N 0 0 428.463 -0.130 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC000801159596 1072670935 /nfs/dbraw/zinc/67/09/35/1072670935.db2.gz RVDJOKFLAWRTSN-HNNXBMFYSA-N 0 0 442.513 -0.261 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC000801159597 1072670879 /nfs/dbraw/zinc/67/08/79/1072670879.db2.gz RVDJOKFLAWRTSN-OAHLLOKOSA-N 0 0 442.513 -0.261 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000801160011 1072670896 /nfs/dbraw/zinc/67/08/96/1072670896.db2.gz YOAFUWSIUHSPMG-HNNXBMFYSA-N 0 0 429.470 -0.176 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC000801160013 1072670867 /nfs/dbraw/zinc/67/08/67/1072670867.db2.gz YOAFUWSIUHSPMG-OAHLLOKOSA-N 0 0 429.470 -0.176 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801160571 1072670988 /nfs/dbraw/zinc/67/09/88/1072670988.db2.gz IRCPRJNDMICAIQ-MUUNZHRXSA-N 0 0 447.554 -0.017 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801160572 1072670779 /nfs/dbraw/zinc/67/07/79/1072670779.db2.gz IRCPRJNDMICAIQ-NDEPHWFRSA-N 0 0 447.554 -0.017 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCc4n[nH]nc4C3)ccc2F)CC1 ZINC000801162621 1072670841 /nfs/dbraw/zinc/67/08/41/1072670841.db2.gz WWSJCFKTMNWLKD-UHFFFAOYSA-N 0 0 436.469 -0.005 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801162667 1072670769 /nfs/dbraw/zinc/67/07/69/1072670769.db2.gz FYSWVOJANZWPID-UHFFFAOYSA-N 0 0 442.513 -0.132 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3CC[S@](=O)C3)ccc2F)CC1 ZINC000801162973 1072670903 /nfs/dbraw/zinc/67/09/03/1072670903.db2.gz KRUYDRSDIYNWBD-ASHKIFAZSA-N 0 0 431.511 -0.071 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3CC[S@@](=O)C3)ccc2F)CC1 ZINC000801162974 1072670796 /nfs/dbraw/zinc/67/07/96/1072670796.db2.gz KRUYDRSDIYNWBD-LOKFHWFJSA-N 0 0 431.511 -0.071 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3CC[S@@](=O)C3)ccc2F)CC1 ZINC000801162975 1072670970 /nfs/dbraw/zinc/67/09/70/1072670970.db2.gz KRUYDRSDIYNWBD-PGGUUEOZSA-N 0 0 431.511 -0.071 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3CC[S@](=O)C3)ccc2F)CC1 ZINC000801162976 1072670954 /nfs/dbraw/zinc/67/09/54/1072670954.db2.gz KRUYDRSDIYNWBD-PWFNWSNSSA-N 0 0 431.511 -0.071 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC3(O)CCOCC3)ccc2F)CC1 ZINC000801163723 1072671317 /nfs/dbraw/zinc/67/13/17/1072671317.db2.gz ZMHYPQBVDCLVMF-UHFFFAOYSA-N 0 0 443.497 -0.050 20 0 IBADRN COC[C@](C)(O)CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801163796 1072671354 /nfs/dbraw/zinc/67/13/54/1072671354.db2.gz PGVGNJLIYIOCHU-GOSISDBHSA-N 0 0 431.486 -0.194 20 0 IBADRN COC[C@@](C)(O)CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801163797 1072671385 /nfs/dbraw/zinc/67/13/85/1072671385.db2.gz PGVGNJLIYIOCHU-SFHVURJKSA-N 0 0 431.486 -0.194 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](O)C[C@H](O)C3)ccc2F)CC1 ZINC000801164345 1072671328 /nfs/dbraw/zinc/67/13/28/1072671328.db2.gz JUCOPZUOXPSFBW-GASCZTMLSA-N 0 0 429.470 -0.754 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801166272 1072671333 /nfs/dbraw/zinc/67/13/33/1072671333.db2.gz MVMJYQJKFVPXBJ-UHFFFAOYSA-N 0 0 429.470 -0.224 20 0 IBADRN CCNC(=O)C1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC000801166579 1072671364 /nfs/dbraw/zinc/67/13/64/1072671364.db2.gz PMJBEPXAGAIUKP-UHFFFAOYSA-N 0 0 440.497 -0.113 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801166623 1072671306 /nfs/dbraw/zinc/67/13/06/1072671306.db2.gz KJVANPLDNVULCC-INIZCTEOSA-N 0 0 445.513 -0.388 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801166624 1072671303 /nfs/dbraw/zinc/67/13/03/1072671303.db2.gz KJVANPLDNVULCC-MRXNPFEDSA-N 0 0 445.513 -0.388 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000801166905 1072671321 /nfs/dbraw/zinc/67/13/21/1072671321.db2.gz VHSDGOXLOIXFLB-CYBMUJFWSA-N 0 0 426.426 -0.777 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000801166906 1072671397 /nfs/dbraw/zinc/67/13/97/1072671397.db2.gz VHSDGOXLOIXFLB-ZDUSSCGKSA-N 0 0 426.426 -0.777 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCn3ncnn3)ccc2F)CC1 ZINC000801169330 1072671376 /nfs/dbraw/zinc/67/13/76/1072671376.db2.gz UMROBVWQFMFPEH-UHFFFAOYSA-N 0 0 425.446 -0.905 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@@H](CO)OC[C@@H]3C)ccc2F)CC1 ZINC000801170017 1072671369 /nfs/dbraw/zinc/67/13/69/1072671369.db2.gz HLBNWOAFWCNYCO-BBRMVZONSA-N 0 0 443.497 -0.100 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@@H](CO)OC[C@H]3C)ccc2F)CC1 ZINC000801170018 1072671325 /nfs/dbraw/zinc/67/13/25/1072671325.db2.gz HLBNWOAFWCNYCO-CJNGLKHVSA-N 0 0 443.497 -0.100 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](CO)OC[C@H]3C)ccc2F)CC1 ZINC000801170019 1072671310 /nfs/dbraw/zinc/67/13/10/1072671310.db2.gz HLBNWOAFWCNYCO-CZUORRHYSA-N 0 0 443.497 -0.100 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](CO)OC[C@@H]3C)ccc2F)CC1 ZINC000801170020 1072671314 /nfs/dbraw/zinc/67/13/14/1072671314.db2.gz HLBNWOAFWCNYCO-XJKSGUPXSA-N 0 0 443.497 -0.100 20 0 IBADRN COC[C@H](CCO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801170603 1072671342 /nfs/dbraw/zinc/67/13/42/1072671342.db2.gz RQSLJFOZSCLZRH-HNNXBMFYSA-N 0 0 431.486 -0.194 20 0 IBADRN COC[C@@H](CCO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801170604 1072671347 /nfs/dbraw/zinc/67/13/47/1072671347.db2.gz RQSLJFOZSCLZRH-OAHLLOKOSA-N 0 0 431.486 -0.194 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)Nc3cc(C(N)=O)[nH]n3)ccc2F)CC1 ZINC000801173536 1072671908 /nfs/dbraw/zinc/67/19/08/1072671908.db2.gz WYTDIURJQCCVBD-UHFFFAOYSA-N 0 0 438.441 -0.247 20 0 IBADRN COC(=O)N1CC(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC000801173665 1072671930 /nfs/dbraw/zinc/67/19/30/1072671930.db2.gz ABULRCVJSKPZSQ-UHFFFAOYSA-N 0 0 442.469 -0.141 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCc3cncnc3N)ccc2F)CC1 ZINC000801173780 1072672040 /nfs/dbraw/zinc/67/20/40/1072672040.db2.gz CUTJBHYPZAROCF-UHFFFAOYSA-N 0 0 436.469 -0.019 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000801173958 1072671302 /nfs/dbraw/zinc/67/13/02/1072671302.db2.gz BMXOUNRILPXNPL-DASIIQCKSA-N 0 0 444.532 -0.057 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@H]2C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000801173961 1072671358 /nfs/dbraw/zinc/67/13/58/1072671358.db2.gz BMXOUNRILPXNPL-FKIZTMCRSA-N 0 0 444.532 -0.057 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000801173963 1072672023 /nfs/dbraw/zinc/67/20/23/1072672023.db2.gz BMXOUNRILPXNPL-MUPIXQSSSA-N 0 0 444.532 -0.057 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@H]2C(=O)N(CCO)CCN2CCOCC2)cc1 ZINC000801173965 1072672052 /nfs/dbraw/zinc/67/20/52/1072672052.db2.gz BMXOUNRILPXNPL-VORVDVFJSA-N 0 0 444.532 -0.057 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N(CCO)CCN1CCOCC1 ZINC000801174242 1072671917 /nfs/dbraw/zinc/67/19/17/1072671917.db2.gz MHHGTBGKCDCEQU-UHFFFAOYSA-N 0 0 445.513 -0.387 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3CCC(=O)NC3=O)ccc2F)CC1 ZINC000801175407 1072671954 /nfs/dbraw/zinc/67/19/54/1072671954.db2.gz PNLWZVLJCATMAL-AWEZNQCLSA-N 0 0 440.453 -0.787 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3CCC(=O)NC3=O)ccc2F)CC1 ZINC000801175408 1072671962 /nfs/dbraw/zinc/67/19/62/1072671962.db2.gz PNLWZVLJCATMAL-CQSZACIVSA-N 0 0 440.453 -0.787 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801177528 1072671899 /nfs/dbraw/zinc/67/18/99/1072671899.db2.gz WTUWYWVSZBCSKP-CYBMUJFWSA-N 0 0 435.499 -0.390 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801177529 1072671943 /nfs/dbraw/zinc/67/19/43/1072671943.db2.gz WTUWYWVSZBCSKP-ZDUSSCGKSA-N 0 0 435.499 -0.390 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)[C@H]3CCNC3=O)ccc2F)CC1 ZINC000801177969 1072672068 /nfs/dbraw/zinc/67/20/68/1072672068.db2.gz BYANYCBJQJEXSJ-HNNXBMFYSA-N 0 0 426.470 -0.361 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)[C@@H]3CCNC3=O)ccc2F)CC1 ZINC000801177970 1072672061 /nfs/dbraw/zinc/67/20/61/1072672061.db2.gz BYANYCBJQJEXSJ-OAHLLOKOSA-N 0 0 426.470 -0.361 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)CC(C)(CO)CO)ccc2F)CC1 ZINC000801178827 1072671971 /nfs/dbraw/zinc/67/19/71/1072671971.db2.gz MSMWEPVAAMCKFF-UHFFFAOYSA-N 0 0 445.513 -0.259 20 0 IBADRN Cn1cc(/C=C\C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)c(=O)n(C)c1=O ZINC000801178986 1072671937 /nfs/dbraw/zinc/67/19/37/1072671937.db2.gz QSPOGNGGYAPDQF-UTCJRWHESA-N 0 0 444.872 -0.016 20 0 IBADRN Cn1cc(/C=C/C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)c(=O)n(C)c1=O ZINC000801178991 1072671999 /nfs/dbraw/zinc/67/19/99/1072671999.db2.gz QSPOGNGGYAPDQF-ZZXKWVIFSA-N 0 0 444.872 -0.016 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@]3(O)CCOC3)ccc2F)CC1 ZINC000801179364 1072671922 /nfs/dbraw/zinc/67/19/22/1072671922.db2.gz YVABVRNSSKLSKX-GOSISDBHSA-N 0 0 429.470 -0.440 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@]3(O)CCOC3)ccc2F)CC1 ZINC000801179366 1072672495 /nfs/dbraw/zinc/67/24/95/1072672495.db2.gz YVABVRNSSKLSKX-SFHVURJKSA-N 0 0 429.470 -0.440 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801180083 1072671991 /nfs/dbraw/zinc/67/19/91/1072671991.db2.gz QMJJZPYJCJDDKP-CYBMUJFWSA-N 0 0 429.470 -0.488 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801180084 1072672031 /nfs/dbraw/zinc/67/20/31/1072672031.db2.gz QMJJZPYJCJDDKP-ZDUSSCGKSA-N 0 0 429.470 -0.488 20 0 IBADRN COC[C@@H](O)CCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801180405 1072672514 /nfs/dbraw/zinc/67/25/14/1072672514.db2.gz XGFLZFSGAIHNOK-HNNXBMFYSA-N 0 0 431.486 -0.194 20 0 IBADRN COC[C@H](O)CCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801180406 1072672486 /nfs/dbraw/zinc/67/24/86/1072672486.db2.gz XGFLZFSGAIHNOK-OAHLLOKOSA-N 0 0 431.486 -0.194 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCOC[C@@H]3CCO)ccc2F)CC1 ZINC000801180789 1072672534 /nfs/dbraw/zinc/67/25/34/1072672534.db2.gz PPHZKOAMHYBUMX-INIZCTEOSA-N 0 0 443.497 -0.098 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCOC[C@H]3CCO)ccc2F)CC1 ZINC000801180790 1072672640 /nfs/dbraw/zinc/67/26/40/1072672640.db2.gz PPHZKOAMHYBUMX-MRXNPFEDSA-N 0 0 443.497 -0.098 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801181149 1072672590 /nfs/dbraw/zinc/67/25/90/1072672590.db2.gz DAFHISFCVQGUNK-UHFFFAOYSA-N 0 0 430.454 -0.013 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801181207 1072672524 /nfs/dbraw/zinc/67/25/24/1072672524.db2.gz GWZOEIPKNOYSGN-HNNXBMFYSA-N 0 0 445.469 -0.668 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801181208 1072672648 /nfs/dbraw/zinc/67/26/48/1072672648.db2.gz GWZOEIPKNOYSGN-OAHLLOKOSA-N 0 0 445.469 -0.668 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NN3CC(=O)N(C)C3=O)ccc2F)CC1 ZINC000801181323 1072672577 /nfs/dbraw/zinc/67/25/77/1072672577.db2.gz KSJHDZZCLGUMSX-UHFFFAOYSA-N 0 0 441.441 -0.783 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCNC(=O)N(C)C)ccc2F)CC1 ZINC000801183089 1072672519 /nfs/dbraw/zinc/67/25/19/1072672519.db2.gz WSTZORWDLCEPKB-UHFFFAOYSA-N 0 0 443.501 -0.321 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCc3nccc(N)n3)ccc2F)CC1 ZINC000801183273 1072672545 /nfs/dbraw/zinc/67/25/45/1072672545.db2.gz YURROYGWAPRZKS-UHFFFAOYSA-N 0 0 436.469 -0.019 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NN3CCCNC3=O)ccc2F)CC1 ZINC000801183797 1072672627 /nfs/dbraw/zinc/67/26/27/1072672627.db2.gz XZBOWSPZDNFKLG-UHFFFAOYSA-N 0 0 427.458 -0.261 20 0 IBADRN COC[C@H](NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(=O)OC ZINC000801184135 1072672569 /nfs/dbraw/zinc/67/25/69/1072672569.db2.gz JSZNEJQMBZUFIN-HNNXBMFYSA-N 0 0 445.469 -0.404 20 0 IBADRN COC[C@@H](NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(=O)OC ZINC000801184136 1072672556 /nfs/dbraw/zinc/67/25/56/1072672556.db2.gz JSZNEJQMBZUFIN-OAHLLOKOSA-N 0 0 445.469 -0.404 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC3(CO)CCOCC3)ccc2F)CC1 ZINC000801184534 1072672616 /nfs/dbraw/zinc/67/26/16/1072672616.db2.gz XVQGWYRJQHBPLP-UHFFFAOYSA-N 0 0 443.497 -0.050 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(n4ccnn4)C3)ccc2F)CC1 ZINC000801185536 1072672467 /nfs/dbraw/zinc/67/24/67/1072672467.db2.gz SJXSCVXMOUWFIU-UHFFFAOYSA-N 0 0 436.469 -0.033 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCCOCCO)ccc2F)CC1 ZINC000801186210 1072672457 /nfs/dbraw/zinc/67/24/57/1072672457.db2.gz NTDDDPPQNNLJDO-UHFFFAOYSA-N 0 0 431.486 -0.193 20 0 IBADRN O=C(NCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)c1ccn[nH]1 ZINC000801186709 1072672601 /nfs/dbraw/zinc/67/26/01/1072672601.db2.gz QJCHKYCYZDTVJA-UHFFFAOYSA-N 0 0 425.442 -0.271 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)ccc1F ZINC000801187975 1072673059 /nfs/dbraw/zinc/67/30/59/1072673059.db2.gz TVXFDGRRLXNGQZ-UHFFFAOYSA-N 0 0 446.486 -0.659 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@]3(CO)CCOC3)ccc2F)CC1 ZINC000801190739 1072673139 /nfs/dbraw/zinc/67/31/39/1072673139.db2.gz DPPUSUOPVXMVAM-GOSISDBHSA-N 0 0 429.470 -0.440 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@]3(CO)CCOC3)ccc2F)CC1 ZINC000801190740 1072673106 /nfs/dbraw/zinc/67/31/06/1072673106.db2.gz DPPUSUOPVXMVAM-SFHVURJKSA-N 0 0 429.470 -0.440 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000801191568 1072673017 /nfs/dbraw/zinc/67/30/17/1072673017.db2.gz GZGLHGNNFHJMJQ-CYBMUJFWSA-N 0 0 448.519 -0.034 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000801191569 1072673068 /nfs/dbraw/zinc/67/30/68/1072673068.db2.gz GZGLHGNNFHJMJQ-ZDUSSCGKSA-N 0 0 448.519 -0.034 20 0 IBADRN COC[C@@](C)(CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801195509 1072673120 /nfs/dbraw/zinc/67/31/20/1072673120.db2.gz YLBXMVGHYIUWCF-GOSISDBHSA-N 0 0 431.486 -0.194 20 0 IBADRN COC[C@](C)(CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801195510 1072673150 /nfs/dbraw/zinc/67/31/50/1072673150.db2.gz YLBXMVGHYIUWCF-SFHVURJKSA-N 0 0 431.486 -0.194 20 0 IBADRN CNC(=O)C1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC000801195693 1072673044 /nfs/dbraw/zinc/67/30/44/1072673044.db2.gz BYTMPCJPUOQMTR-UHFFFAOYSA-N 0 0 426.470 -0.504 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCn4ncnc4C3)ccc2F)CC1 ZINC000801197515 1072673003 /nfs/dbraw/zinc/67/30/03/1072673003.db2.gz DEHKPWQSDZUFDC-UHFFFAOYSA-N 0 0 436.469 -0.074 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)/C=C/C(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000801198743 1072673146 /nfs/dbraw/zinc/67/31/46/1072673146.db2.gz BTCCCYNFASEWNT-ISANGGDFSA-N 0 0 438.524 -0.808 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)/C=C/C(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC000801198750 1072673035 /nfs/dbraw/zinc/67/30/35/1072673035.db2.gz BTCCCYNFASEWNT-NVFZZLLCSA-N 0 0 438.524 -0.808 20 0 IBADRN CCN(C)C(=O)CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801201119 1072673155 /nfs/dbraw/zinc/67/31/55/1072673155.db2.gz DCKRTQBCVDMYPP-UHFFFAOYSA-N 0 0 428.486 -0.113 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000801201168 1072673024 /nfs/dbraw/zinc/67/30/24/1072673024.db2.gz IYGACMMEUSKPOS-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000801201962 1072673000 /nfs/dbraw/zinc/67/30/00/1072673000.db2.gz YECLCWFPYPQBHQ-CYBMUJFWSA-N 0 0 449.551 -0.221 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000801201963 1072673134 /nfs/dbraw/zinc/67/31/34/1072673134.db2.gz YECLCWFPYPQBHQ-ZDUSSCGKSA-N 0 0 449.551 -0.221 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000801201998 1072673707 /nfs/dbraw/zinc/67/37/07/1072673707.db2.gz ZRLSQMIXEIBURT-CYBMUJFWSA-N 0 0 447.535 -0.391 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC000801201999 1072673712 /nfs/dbraw/zinc/67/37/12/1072673712.db2.gz ZRLSQMIXEIBURT-ZDUSSCGKSA-N 0 0 447.535 -0.391 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000801209316 1072673798 /nfs/dbraw/zinc/67/37/98/1072673798.db2.gz DFUDQUHZKBMCDJ-CYBMUJFWSA-N 0 0 448.519 -0.049 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC000801209317 1072673717 /nfs/dbraw/zinc/67/37/17/1072673717.db2.gz DFUDQUHZKBMCDJ-ZDUSSCGKSA-N 0 0 448.519 -0.049 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000801210800 1072673821 /nfs/dbraw/zinc/67/38/21/1072673821.db2.gz UTSGCMHPJRNGRM-AWEZNQCLSA-N 0 0 449.551 -0.297 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000801210801 1072673827 /nfs/dbraw/zinc/67/38/27/1072673827.db2.gz UTSGCMHPJRNGRM-CQSZACIVSA-N 0 0 449.551 -0.297 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000801211311 1072673761 /nfs/dbraw/zinc/67/37/61/1072673761.db2.gz PWYVFPYSXJHIIA-CYBMUJFWSA-N 0 0 433.508 -0.252 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000801211312 1072673800 /nfs/dbraw/zinc/67/38/00/1072673800.db2.gz PWYVFPYSXJHIIA-ZDUSSCGKSA-N 0 0 433.508 -0.252 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000801213775 1072673782 /nfs/dbraw/zinc/67/37/82/1072673782.db2.gz GTYWGSCVSBOTSE-CYBMUJFWSA-N 0 0 447.535 -0.509 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC000801213776 1072673810 /nfs/dbraw/zinc/67/38/10/1072673810.db2.gz GTYWGSCVSBOTSE-ZDUSSCGKSA-N 0 0 447.535 -0.509 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000801216229 1072673749 /nfs/dbraw/zinc/67/37/49/1072673749.db2.gz RDWUDOBUZZTWCS-CYBMUJFWSA-N 0 0 435.524 -0.516 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000801216230 1072673818 /nfs/dbraw/zinc/67/38/18/1072673818.db2.gz RDWUDOBUZZTWCS-ZDUSSCGKSA-N 0 0 435.524 -0.516 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801216927 1072673673 /nfs/dbraw/zinc/67/36/73/1072673673.db2.gz ZJHCQJLLVBJKLR-GDBMZVCRSA-N 0 0 430.454 -0.015 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801216928 1072673700 /nfs/dbraw/zinc/67/37/00/1072673700.db2.gz ZJHCQJLLVBJKLR-GOEBONIOSA-N 0 0 430.454 -0.015 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801216929 1072673773 /nfs/dbraw/zinc/67/37/73/1072673773.db2.gz ZJHCQJLLVBJKLR-HOCLYGCPSA-N 0 0 430.454 -0.015 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801216930 1072673778 /nfs/dbraw/zinc/67/37/78/1072673778.db2.gz ZJHCQJLLVBJKLR-ZBFHGGJFSA-N 0 0 430.454 -0.015 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OC[C@@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC000801217781 1072722343 /nfs/dbraw/zinc/72/23/43/1072722343.db2.gz HJFVBOKDPWLSSX-GFCCVEGCSA-N 0 0 436.508 -0.193 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC000801217782 1072722211 /nfs/dbraw/zinc/72/22/11/1072722211.db2.gz HJFVBOKDPWLSSX-LBPRGKRZSA-N 0 0 436.508 -0.193 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000801222010 1072674281 /nfs/dbraw/zinc/67/42/81/1072674281.db2.gz PXTBPANIKMVKPJ-CYBMUJFWSA-N 0 0 445.519 -0.755 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000801222011 1072674287 /nfs/dbraw/zinc/67/42/87/1072674287.db2.gz PXTBPANIKMVKPJ-ZDUSSCGKSA-N 0 0 445.519 -0.755 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](C)O[C@H](CO)C3)ccc2F)CC1 ZINC000801222098 1072673805 /nfs/dbraw/zinc/67/38/05/1072673805.db2.gz RXXCPJVWIPOZAR-BBRMVZONSA-N 0 0 443.497 -0.100 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@@H](C)O[C@H](CO)C3)ccc2F)CC1 ZINC000801222099 1072673792 /nfs/dbraw/zinc/67/37/92/1072673792.db2.gz RXXCPJVWIPOZAR-CJNGLKHVSA-N 0 0 443.497 -0.100 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@@H](C)O[C@@H](CO)C3)ccc2F)CC1 ZINC000801222100 1072673816 /nfs/dbraw/zinc/67/38/16/1072673816.db2.gz RXXCPJVWIPOZAR-CZUORRHYSA-N 0 0 443.497 -0.100 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](CO)O[C@@H](C)C3)ccc2F)CC1 ZINC000801222101 1072673691 /nfs/dbraw/zinc/67/36/91/1072673691.db2.gz RXXCPJVWIPOZAR-XJKSGUPXSA-N 0 0 443.497 -0.100 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000801223360 1072674264 /nfs/dbraw/zinc/67/42/64/1072674264.db2.gz MNZNXFHTHPMHPC-CYBMUJFWSA-N 0 0 445.519 -0.755 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000801223361 1072674350 /nfs/dbraw/zinc/67/43/50/1072674350.db2.gz MNZNXFHTHPMHPC-ZDUSSCGKSA-N 0 0 445.519 -0.755 20 0 IBADRN CCN1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CC1=O ZINC000801230562 1072674319 /nfs/dbraw/zinc/67/43/19/1072674319.db2.gz FOOKRCSBYNJIHZ-UHFFFAOYSA-N 0 0 426.470 -0.060 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)OC[C@H]2CNC(=O)O2)c1OC ZINC000801230616 1072674390 /nfs/dbraw/zinc/67/43/90/1072674390.db2.gz LUSSMGVIBXWGQH-LLVKDONJSA-N 0 0 430.435 -0.010 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)OC[C@@H]2CNC(=O)O2)c1OC ZINC000801230618 1072674275 /nfs/dbraw/zinc/67/42/75/1072674275.db2.gz LUSSMGVIBXWGQH-NSHDSACASA-N 0 0 430.435 -0.010 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801230798 1072674296 /nfs/dbraw/zinc/67/42/96/1072674296.db2.gz JRQTWZRJYUFXKF-HNNXBMFYSA-N 0 0 434.486 -0.010 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801230799 1072674210 /nfs/dbraw/zinc/67/42/10/1072674210.db2.gz JRQTWZRJYUFXKF-OAHLLOKOSA-N 0 0 434.486 -0.010 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OC[C@@H]3CCN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC000801235839 1072674224 /nfs/dbraw/zinc/67/42/24/1072674224.db2.gz IWVZMDPIWYTQOI-GFCCVEGCSA-N 0 0 446.503 -0.084 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OC[C@H]3CCN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC000801235840 1072674314 /nfs/dbraw/zinc/67/43/14/1072674314.db2.gz IWVZMDPIWYTQOI-LBPRGKRZSA-N 0 0 446.503 -0.084 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCn3c(Br)cnc3C2)o1 ZINC000801240739 1072674189 /nfs/dbraw/zinc/67/41/89/1072674189.db2.gz YPDQUFIJSJMVBB-UHFFFAOYSA-N 0 0 432.256 -0.342 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCn4c(Br)cnc4C3)c2c(=O)n(C)c1=O ZINC000801241538 1072674358 /nfs/dbraw/zinc/67/43/58/1072674358.db2.gz SQIWZESOTKXMTH-UHFFFAOYSA-N 0 0 436.270 -0.175 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCn3c(Br)cnc3C2)c[nH]1 ZINC000801245034 1072674399 /nfs/dbraw/zinc/67/43/99/1072674399.db2.gz IFMXDGPMRXMCLA-UHFFFAOYSA-N 0 0 431.272 -0.607 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OC[C@H]3CCN(S(C)(=O)=O)C3)CC2)cn1C ZINC000801247748 1072674251 /nfs/dbraw/zinc/67/42/51/1072674251.db2.gz MGJGWGMXBMZLCN-AWEZNQCLSA-N 0 0 448.567 -0.046 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OC[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cn1C ZINC000801247749 1072674241 /nfs/dbraw/zinc/67/42/41/1072674241.db2.gz MGJGWGMXBMZLCN-CQSZACIVSA-N 0 0 448.567 -0.046 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OC[C@@H]1CCN(S(C)(=O)=O)C1)c2=O ZINC000801253498 1072674335 /nfs/dbraw/zinc/67/43/35/1072674335.db2.gz CPKNUFDJLCCPAA-SECBINFHSA-N 0 0 448.299 -0.283 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)OC[C@H]1CCN(S(C)(=O)=O)C1)c2=O ZINC000801253499 1072674866 /nfs/dbraw/zinc/67/48/66/1072674866.db2.gz CPKNUFDJLCCPAA-VIFPVBQESA-N 0 0 448.299 -0.283 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000801265501 1072674844 /nfs/dbraw/zinc/67/48/44/1072674844.db2.gz MXJDOHSSAUXVLG-CHWSQXEVSA-N 0 0 445.519 -0.488 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000801265502 1072674927 /nfs/dbraw/zinc/67/49/27/1072674927.db2.gz MXJDOHSSAUXVLG-OLZOCXBDSA-N 0 0 445.519 -0.488 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000801265503 1072674901 /nfs/dbraw/zinc/67/49/01/1072674901.db2.gz MXJDOHSSAUXVLG-QWHCGFSZSA-N 0 0 445.519 -0.488 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC000801265504 1072674965 /nfs/dbraw/zinc/67/49/65/1072674965.db2.gz MXJDOHSSAUXVLG-STQMWFEESA-N 0 0 445.519 -0.488 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@]3(CCO)CCOC3)ccc2F)CC1 ZINC000801267922 1072674976 /nfs/dbraw/zinc/67/49/76/1072674976.db2.gz POOPZFLPYWBIAG-IBGZPJMESA-N 0 0 443.497 -0.050 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@]3(CCO)CCOC3)ccc2F)CC1 ZINC000801267923 1072674750 /nfs/dbraw/zinc/67/47/50/1072674750.db2.gz POOPZFLPYWBIAG-LJQANCHMSA-N 0 0 443.497 -0.050 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000801273634 1072674797 /nfs/dbraw/zinc/67/47/97/1072674797.db2.gz IVQXFNYXHAKOIJ-DOMZBBRYSA-N 0 0 437.474 -0.259 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000801273635 1072674959 /nfs/dbraw/zinc/67/49/59/1072674959.db2.gz IVQXFNYXHAKOIJ-IUODEOHRSA-N 0 0 437.474 -0.259 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000801273636 1072674916 /nfs/dbraw/zinc/67/49/16/1072674916.db2.gz IVQXFNYXHAKOIJ-SWLSCSKDSA-N 0 0 437.474 -0.259 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)C1 ZINC000801273637 1072674934 /nfs/dbraw/zinc/67/49/34/1072674934.db2.gz IVQXFNYXHAKOIJ-WFASDCNBSA-N 0 0 437.474 -0.259 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000801274092 1072674982 /nfs/dbraw/zinc/67/49/82/1072674982.db2.gz NJCHYEPHHGRPBB-CYBMUJFWSA-N 0 0 432.520 -0.013 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)OC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000801274093 1072674874 /nfs/dbraw/zinc/67/48/74/1072674874.db2.gz NJCHYEPHHGRPBB-ZDUSSCGKSA-N 0 0 432.520 -0.013 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@]3(O)CCO[C@@H]3C)ccc2F)CC1 ZINC000801284439 1072675535 /nfs/dbraw/zinc/67/55/35/1072675535.db2.gz UITOSRFLPFQRQD-BFUOFWGJSA-N 0 0 443.497 -0.052 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@]3(O)CCO[C@H]3C)ccc2F)CC1 ZINC000801284440 1072674943 /nfs/dbraw/zinc/67/49/43/1072674943.db2.gz UITOSRFLPFQRQD-DJJJIMSYSA-N 0 0 443.497 -0.052 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@]3(O)CCO[C@H]3C)ccc2F)CC1 ZINC000801284441 1072674972 /nfs/dbraw/zinc/67/49/72/1072674972.db2.gz UITOSRFLPFQRQD-ORAYPTAESA-N 0 0 443.497 -0.052 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@]3(O)CCO[C@@H]3C)ccc2F)CC1 ZINC000801284442 1072675524 /nfs/dbraw/zinc/67/55/24/1072675524.db2.gz UITOSRFLPFQRQD-YJYMSZOUSA-N 0 0 443.497 -0.052 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000801284790 1072674988 /nfs/dbraw/zinc/67/49/88/1072674988.db2.gz CTWRHGOQEGFZKG-BXUZGUMPSA-N 0 0 440.927 -0.196 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000801284794 1072674770 /nfs/dbraw/zinc/67/47/70/1072674770.db2.gz CTWRHGOQEGFZKG-FZMZJTMJSA-N 0 0 440.927 -0.196 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000801284795 1072674807 /nfs/dbraw/zinc/67/48/07/1072674807.db2.gz CTWRHGOQEGFZKG-RISCZKNCSA-N 0 0 440.927 -0.196 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC000801284796 1072674908 /nfs/dbraw/zinc/67/49/08/1072674908.db2.gz CTWRHGOQEGFZKG-SMDDNHRTSA-N 0 0 440.927 -0.196 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000801285948 1072675420 /nfs/dbraw/zinc/67/54/20/1072675420.db2.gz GFLFPHCHWXHZJE-NEPJUHHUSA-N 0 0 433.508 -0.723 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000801285949 1072675509 /nfs/dbraw/zinc/67/55/09/1072675509.db2.gz GFLFPHCHWXHZJE-NWDGAFQWSA-N 0 0 433.508 -0.723 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000801285950 1072675483 /nfs/dbraw/zinc/67/54/83/1072675483.db2.gz GFLFPHCHWXHZJE-RYUDHWBXSA-N 0 0 433.508 -0.723 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)OC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000801285951 1072675523 /nfs/dbraw/zinc/67/55/23/1072675523.db2.gz GFLFPHCHWXHZJE-VXGBXAGGSA-N 0 0 433.508 -0.723 20 0 IBADRN CS(=O)(=O)N1CC[C@H](COC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000801288396 1072675487 /nfs/dbraw/zinc/67/54/87/1072675487.db2.gz WLHPGBJOWXYASY-HNNXBMFYSA-N 0 0 425.511 -0.270 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](COC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC000801288398 1072746352 /nfs/dbraw/zinc/74/63/52/1072746352.db2.gz WLHPGBJOWXYASY-OAHLLOKOSA-N 0 0 425.511 -0.270 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(CC(N)=O)C3)ccc2F)CC1 ZINC000801298351 1072675476 /nfs/dbraw/zinc/67/54/76/1072675476.db2.gz CIYVYSJVRDIYEP-UHFFFAOYSA-N 0 0 426.470 -0.374 20 0 IBADRN COCC[C@@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801305328 1072675529 /nfs/dbraw/zinc/67/55/29/1072675529.db2.gz IHCXHNIMDKMADY-HNNXBMFYSA-N 0 0 431.486 -0.194 20 0 IBADRN COCC[C@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801305329 1072675393 /nfs/dbraw/zinc/67/53/93/1072675393.db2.gz IHCXHNIMDKMADY-OAHLLOKOSA-N 0 0 431.486 -0.194 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(=O)N(C)[C@H](C)C3)ccc2F)CC1 ZINC000801308402 1072675532 /nfs/dbraw/zinc/67/55/32/1072675532.db2.gz KFLAAVSOJYNLPN-CYBMUJFWSA-N 0 0 440.497 -0.019 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(=O)N(C)[C@@H](C)C3)ccc2F)CC1 ZINC000801308403 1072675431 /nfs/dbraw/zinc/67/54/31/1072675431.db2.gz KFLAAVSOJYNLPN-ZDUSSCGKSA-N 0 0 440.497 -0.019 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)CCOCCO)ccc2F)CC1 ZINC000801308437 1072675503 /nfs/dbraw/zinc/67/55/03/1072675503.db2.gz LGEZZCFZOFXQEY-UHFFFAOYSA-N 0 0 431.486 -0.241 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cnn(CCO)c2-c2ccccc2)c(=O)n(C)c1=O ZINC000801317691 1072675495 /nfs/dbraw/zinc/67/54/95/1072675495.db2.gz YECLXWFGRALQJA-UHFFFAOYSA-N 0 0 427.417 -0.438 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cnn(CCO)c2-c2ccccc2)CC1 ZINC000801318240 1072675539 /nfs/dbraw/zinc/67/55/39/1072675539.db2.gz HQQXTPJUUCZGAK-UHFFFAOYSA-N 0 0 443.504 -0.068 20 0 IBADRN O=C(COC(=O)c1nn(-c2ccc(F)cc2)cc1O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000801325389 1072675512 /nfs/dbraw/zinc/67/55/12/1072675512.db2.gz PFHVOOPFOLOUTO-LLVKDONJSA-N 0 0 440.409 -0.113 20 0 IBADRN O=C(COC(=O)c1nn(-c2ccc(F)cc2)cc1O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000801325390 1072675964 /nfs/dbraw/zinc/67/59/64/1072675964.db2.gz PFHVOOPFOLOUTO-NSHDSACASA-N 0 0 440.409 -0.113 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@H]2CC(c3ccc4c(c3)CCO4)=NO2)o1 ZINC000801341695 1072676216 /nfs/dbraw/zinc/67/62/16/1072676216.db2.gz UDDSHRZTGITVIV-CYBMUJFWSA-N 0 0 448.457 -0.099 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)NC[C@@H]2CC(c3ccc4c(c3)CCO4)=NO2)o1 ZINC000801341698 1072676048 /nfs/dbraw/zinc/67/60/48/1072676048.db2.gz UDDSHRZTGITVIV-ZDUSSCGKSA-N 0 0 448.457 -0.099 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H]3CC(c4ccc5c(c4)CCO5)=NO3)c2c(=O)n(C)c1=O ZINC000801342042 1072676158 /nfs/dbraw/zinc/67/61/58/1072676158.db2.gz HAXIDDHUOVZFBJ-AWEZNQCLSA-N 0 0 438.444 -0.322 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H]3CC(c4ccc5c(c4)CCO5)=NO3)c2c(=O)n(C)c1=O ZINC000801342045 1072676149 /nfs/dbraw/zinc/67/61/49/1072676149.db2.gz HAXIDDHUOVZFBJ-CQSZACIVSA-N 0 0 438.444 -0.322 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H]1CC(c3ccc4c(c3)CCO4)=NO1)c(=O)n2C ZINC000801342093 1072676040 /nfs/dbraw/zinc/67/60/40/1072676040.db2.gz HUFYEDMKAROYOQ-AWEZNQCLSA-N 0 0 438.444 -0.322 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H]1CC(c3ccc4c(c3)CCO4)=NO1)c(=O)n2C ZINC000801342095 1072676021 /nfs/dbraw/zinc/67/60/21/1072676021.db2.gz HUFYEDMKAROYOQ-CQSZACIVSA-N 0 0 438.444 -0.322 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H]1COCCN1CC(F)(F)F)c(=O)n2C ZINC000801344478 1072675986 /nfs/dbraw/zinc/67/59/86/1072675986.db2.gz ASJXQAJHWXKGDK-LLVKDONJSA-N 0 0 433.387 -0.370 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H]1COCCN1CC(F)(F)F)c(=O)n2C ZINC000801344479 1072676134 /nfs/dbraw/zinc/67/61/34/1072676134.db2.gz ASJXQAJHWXKGDK-NSHDSACASA-N 0 0 433.387 -0.370 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@H]2CC(c3ccc4c(c3)CCO4)=NO2)c[nH]1 ZINC000801350117 1072676176 /nfs/dbraw/zinc/67/61/76/1072676176.db2.gz OXKHSJSBBLOCHX-CYBMUJFWSA-N 0 0 447.473 -0.364 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@H]2CC(c3ccc4c(c3)CCO4)=NO2)c[nH]1 ZINC000801350118 1072676118 /nfs/dbraw/zinc/67/61/18/1072676118.db2.gz OXKHSJSBBLOCHX-ZDUSSCGKSA-N 0 0 447.473 -0.364 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)cc1F ZINC000801355799 1072675925 /nfs/dbraw/zinc/67/59/25/1072675925.db2.gz GGEPABDUSZQYNZ-GFCCVEGCSA-N 0 0 444.423 -0.109 20 0 IBADRN COc1ccc(C2=NO[C@H](CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)cc1F ZINC000801355800 1072676184 /nfs/dbraw/zinc/67/61/84/1072676184.db2.gz GGEPABDUSZQYNZ-LBPRGKRZSA-N 0 0 444.423 -0.109 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)cc1F ZINC000801356859 1072675950 /nfs/dbraw/zinc/67/59/50/1072675950.db2.gz BABDOWTVPVNHOZ-GFCCVEGCSA-N 0 0 444.423 -0.109 20 0 IBADRN COc1ccc(C2=NO[C@H](CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)cc1F ZINC000801356862 1072676140 /nfs/dbraw/zinc/67/61/40/1072676140.db2.gz BABDOWTVPVNHOZ-LBPRGKRZSA-N 0 0 444.423 -0.109 20 0 IBADRN COc1ccc(-n2cc(O)c(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)n2)cc1 ZINC000801362557 1072676072 /nfs/dbraw/zinc/67/60/72/1072676072.db2.gz RRKKVQDTZJRFMT-UHFFFAOYSA-N 0 0 429.389 -0.394 20 0 IBADRN COc1ccc(-n2cc([O-])c(C(=O)OCC(=O)N3CC[NH+](CC(=O)N(C)C)CC3)n2)cc1 ZINC000801363000 1072676192 /nfs/dbraw/zinc/67/61/92/1072676192.db2.gz MVJQXLOVMFKQNC-UHFFFAOYSA-N 0 0 445.476 -0.024 20 0 IBADRN COc1ccc(C2=NO[C@H](CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)cc1 ZINC000801383753 1072676876 /nfs/dbraw/zinc/67/68/76/1072676876.db2.gz JQXWLPMYBGIULW-AWEZNQCLSA-N 0 0 426.433 -0.248 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)C2)cc1 ZINC000801383756 1072676724 /nfs/dbraw/zinc/67/67/24/1072676724.db2.gz JQXWLPMYBGIULW-CQSZACIVSA-N 0 0 426.433 -0.248 20 0 IBADRN COc1ccc(C2=NO[C@H](CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)cc1 ZINC000801384051 1072676166 /nfs/dbraw/zinc/67/61/66/1072676166.db2.gz KXYQMGLHFVNSKJ-AWEZNQCLSA-N 0 0 426.433 -0.248 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)cc1 ZINC000801384055 1072676812 /nfs/dbraw/zinc/67/68/12/1072676812.db2.gz KXYQMGLHFVNSKJ-CQSZACIVSA-N 0 0 426.433 -0.248 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2)cc1 ZINC000801384813 1072676655 /nfs/dbraw/zinc/67/66/55/1072676655.db2.gz QIOOAVONLXSDKJ-CYBMUJFWSA-N 0 0 436.446 -0.025 20 0 IBADRN COc1ccc(C2=NO[C@H](CNC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)C2)cc1 ZINC000801384814 1072676746 /nfs/dbraw/zinc/67/67/46/1072676746.db2.gz QIOOAVONLXSDKJ-ZDUSSCGKSA-N 0 0 436.446 -0.025 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)cc1 ZINC000801388667 1072676866 /nfs/dbraw/zinc/67/68/66/1072676866.db2.gz CIOBINZGSVRVKZ-CYBMUJFWSA-N 0 0 435.462 -0.290 20 0 IBADRN COc1ccc(C2=NO[C@H](CNC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)C2)cc1 ZINC000801388670 1072676797 /nfs/dbraw/zinc/67/67/97/1072676797.db2.gz CIOBINZGSVRVKZ-ZDUSSCGKSA-N 0 0 435.462 -0.290 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CCC[C@]2(C)NC(=O)NC2=O)c(=O)n(C)c1=O ZINC000801428658 1072676870 /nfs/dbraw/zinc/67/68/70/1072676870.db2.gz FZSURLVTXFXEBF-IBGZPJMESA-N 0 0 437.453 -0.721 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CCC[C@@]2(C)NC(=O)NC2=O)c(=O)n(C)c1=O ZINC000801428659 1072676840 /nfs/dbraw/zinc/67/68/40/1072676840.db2.gz FZSURLVTXFXEBF-LJQANCHMSA-N 0 0 437.453 -0.721 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CCC[C@@]1(C)NC(=O)NC1=O)C2=O ZINC000801428986 1072676770 /nfs/dbraw/zinc/67/67/70/1072676770.db2.gz JWEHCIROUAQMOA-NCMFDSATSA-N 0 0 437.453 -0.170 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CCC[C@]1(C)NC(=O)NC1=O)C2=O ZINC000801428987 1072676703 /nfs/dbraw/zinc/67/67/03/1072676703.db2.gz JWEHCIROUAQMOA-ONAFVYFWSA-N 0 0 437.453 -0.170 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CCC[C@]2(C)NC(=O)NC2=O)CC1 ZINC000801428994 1072676716 /nfs/dbraw/zinc/67/67/16/1072676716.db2.gz KADPCFBOZPJYRI-FQEVSTJZSA-N 0 0 439.513 -0.643 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CCC[C@@]2(C)NC(=O)NC2=O)CC1 ZINC000801428995 1072676853 /nfs/dbraw/zinc/67/68/53/1072676853.db2.gz KADPCFBOZPJYRI-HXUWFJFHSA-N 0 0 439.513 -0.643 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CCC[C@]1(C)NC(=O)NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000801435695 1072677365 /nfs/dbraw/zinc/67/73/65/1072677365.db2.gz POOUGSSBJLCKOJ-ACJLOTCBSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CCC[C@@]1(C)NC(=O)NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000801435696 1072676738 /nfs/dbraw/zinc/67/67/38/1072676738.db2.gz POOUGSSBJLCKOJ-FZKQIMNGSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CCC[C@@]1(C)NC(=O)NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC000801435697 1072676682 /nfs/dbraw/zinc/67/66/82/1072676682.db2.gz POOUGSSBJLCKOJ-SCLBCKFNSA-N 0 0 431.511 -0.030 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CCC[C@]1(C)NC(=O)NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC000801435698 1072677319 /nfs/dbraw/zinc/67/73/19/1072677319.db2.gz POOUGSSBJLCKOJ-UGSOOPFHSA-N 0 0 431.511 -0.030 20 0 IBADRN Cc1nc2ncnn2c(C)c1C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000801435900 1072676858 /nfs/dbraw/zinc/67/68/58/1072676858.db2.gz FEYPPXFJHQAAPZ-UHFFFAOYSA-N 0 0 432.462 -0.096 20 0 IBADRN Cc1nc2ncnn2c(C)c1C(=O)OCC(=O)c1c(N)n(CC(C)C)c(=O)n(C)c1=O ZINC000801436063 1072676828 /nfs/dbraw/zinc/67/68/28/1072676828.db2.gz IPAVTMYSUDHNSC-UHFFFAOYSA-N 0 0 429.437 -0.121 20 0 IBADRN Cc1nc2ncnn2c(C)c1C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000801436752 1072677266 /nfs/dbraw/zinc/67/72/66/1072677266.db2.gz QYRNOSZDNPSOBS-UHFFFAOYSA-N 0 0 431.497 -0.043 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NC2CC(NS(C)(=O)=O)C2)C1=O ZINC000801458007 1072677411 /nfs/dbraw/zinc/67/74/11/1072677411.db2.gz UUZZHEIZSLRSTB-PNQRNNEWSA-N 0 0 438.506 -0.033 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NC2CC(NS(C)(=O)=O)C2)C1=O ZINC000801458008 1072677387 /nfs/dbraw/zinc/67/73/87/1072677387.db2.gz UUZZHEIZSLRSTB-RMTLACHYSA-N 0 0 438.506 -0.033 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)C1 ZINC000801459216 1072677248 /nfs/dbraw/zinc/67/72/48/1072677248.db2.gz QJBICNZDKXGCMW-UHFFFAOYSA-N 0 0 432.455 -0.007 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC3CC(NS(C)(=O)=O)C3)cc2S1(=O)=O ZINC000801461331 1072677399 /nfs/dbraw/zinc/67/73/99/1072677399.db2.gz NBFCHGUEKOIDSA-UHFFFAOYSA-N 0 0 431.492 -0.713 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC000801462355 1072677359 /nfs/dbraw/zinc/67/73/59/1072677359.db2.gz UJWABMGTZFWKQF-UHFFFAOYSA-N 0 0 435.499 -0.343 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC000801462414 1072677348 /nfs/dbraw/zinc/67/73/48/1072677348.db2.gz WWHAADFFHOLGIW-UHFFFAOYSA-N 0 0 435.499 -0.343 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC000801463193 1072677296 /nfs/dbraw/zinc/67/72/96/1072677296.db2.gz GBXKSRLNRBXGHU-UHFFFAOYSA-N 0 0 432.343 -0.032 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC1CC(NS(C)(=O)=O)C1)c2=O ZINC000801463346 1072677372 /nfs/dbraw/zinc/67/73/72/1072677372.db2.gz LSBUOPYZNTZWDH-UHFFFAOYSA-N 0 0 433.288 -0.911 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000801463964 1072677339 /nfs/dbraw/zinc/67/73/39/1072677339.db2.gz HMUNSYJEJRVQQD-NRXISQOPSA-N 0 0 426.495 -0.257 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)c2cc(S(N)(=O)=O)ccc2Br)C1 ZINC000801464974 1072677286 /nfs/dbraw/zinc/67/72/86/1072677286.db2.gz PLAZWAJNAMTRPV-UHFFFAOYSA-N 0 0 426.314 -0.094 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC000801465165 1072677306 /nfs/dbraw/zinc/67/73/06/1072677306.db2.gz GLKLXCROHYZCOS-GGLBHEJVSA-N 0 0 434.518 -0.014 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC000801465167 1072677324 /nfs/dbraw/zinc/67/73/24/1072677324.db2.gz GLKLXCROHYZCOS-JHCPCCODSA-N 0 0 434.518 -0.014 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC000801465169 1072677312 /nfs/dbraw/zinc/67/73/12/1072677312.db2.gz GLKLXCROHYZCOS-URNWMJRRSA-N 0 0 434.518 -0.014 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC000801465171 1072677382 /nfs/dbraw/zinc/67/73/82/1072677382.db2.gz GLKLXCROHYZCOS-XLEYMVOZSA-N 0 0 434.518 -0.014 20 0 IBADRN COCCOc1cncc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000801477155 1072677232 /nfs/dbraw/zinc/67/72/32/1072677232.db2.gz JSCQLRBGWKJAPS-INIZCTEOSA-N 0 0 441.506 -0.405 20 0 IBADRN COCCOc1cncc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000801477156 1072678003 /nfs/dbraw/zinc/67/80/03/1072678003.db2.gz JSCQLRBGWKJAPS-MRXNPFEDSA-N 0 0 441.506 -0.405 20 0 IBADRN C[C@@H](Sc1ccccc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C(N)=O ZINC000801488996 1072677998 /nfs/dbraw/zinc/67/79/98/1072677998.db2.gz NCCZGEJTQCOISC-GHMZBOCLSA-N 0 0 443.503 -0.178 20 0 IBADRN C[C@@H](Sc1ccccc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C(N)=O ZINC000801488997 1072677973 /nfs/dbraw/zinc/67/79/73/1072677973.db2.gz NCCZGEJTQCOISC-MNOVXSKESA-N 0 0 443.503 -0.178 20 0 IBADRN C[C@H](Sc1ccccc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C(N)=O ZINC000801488998 1072677916 /nfs/dbraw/zinc/67/79/16/1072677916.db2.gz NCCZGEJTQCOISC-QWRGUYRKSA-N 0 0 443.503 -0.178 20 0 IBADRN C[C@H](Sc1ccccc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C(N)=O ZINC000801488999 1072677968 /nfs/dbraw/zinc/67/79/68/1072677968.db2.gz NCCZGEJTQCOISC-WDEREUQCSA-N 0 0 443.503 -0.178 20 0 IBADRN C[C@@H](OC(=O)c1ccnc2c1nnn2C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000801494863 1072677855 /nfs/dbraw/zinc/67/78/55/1072677855.db2.gz GPMJCAVPYASMBJ-LLVKDONJSA-N 0 0 432.462 -0.085 20 0 IBADRN C[C@H](OC(=O)c1ccnc2c1nnn2C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000801494864 1072678023 /nfs/dbraw/zinc/67/80/23/1072678023.db2.gz GPMJCAVPYASMBJ-NSHDSACASA-N 0 0 432.462 -0.085 20 0 IBADRN Cn1nnc2c1nccc2C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000801496702 1072677821 /nfs/dbraw/zinc/67/78/21/1072677821.db2.gz CYCOONSPLUYZHD-CHWSQXEVSA-N 0 0 437.478 -0.285 20 0 IBADRN Cn1nnc2c1nccc2C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000801496703 1072677841 /nfs/dbraw/zinc/67/78/41/1072677841.db2.gz CYCOONSPLUYZHD-OLZOCXBDSA-N 0 0 437.478 -0.285 20 0 IBADRN Cn1nnc2c1nccc2C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000801496704 1072677947 /nfs/dbraw/zinc/67/79/47/1072677947.db2.gz CYCOONSPLUYZHD-QWHCGFSZSA-N 0 0 437.478 -0.285 20 0 IBADRN Cn1nnc2c1nccc2C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000801496705 1072677941 /nfs/dbraw/zinc/67/79/41/1072677941.db2.gz CYCOONSPLUYZHD-STQMWFEESA-N 0 0 437.478 -0.285 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccnc3c2nnn3C)CC1 ZINC000801496999 1072677978 /nfs/dbraw/zinc/67/79/78/1072677978.db2.gz KEIBHVKDLIQBJC-UHFFFAOYSA-N 0 0 436.436 -0.454 20 0 IBADRN Cn1nnc2c1nccc2C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000801499070 1072678006 /nfs/dbraw/zinc/67/80/06/1072678006.db2.gz ZTVACSJONZESND-UHFFFAOYSA-N 0 0 449.427 -0.106 20 0 IBADRN Cc1nn(C)c(Br)c1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000801502784 1072678013 /nfs/dbraw/zinc/67/80/13/1072678013.db2.gz XRPDMDDSOWSHRI-UHFFFAOYSA-N 0 0 430.303 -0.120 20 0 IBADRN Cc1nn(C)c(Br)c1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000801505778 1072678021 /nfs/dbraw/zinc/67/80/21/1072678021.db2.gz JKYMTWGIRDWBOZ-MRVPVSSYSA-N 0 0 437.272 -0.339 20 0 IBADRN Cc1nn(C)c(Br)c1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000801505779 1072677880 /nfs/dbraw/zinc/67/78/80/1072677880.db2.gz JKYMTWGIRDWBOZ-QMMMGPOBSA-N 0 0 437.272 -0.339 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)O[C@H]3CCC(=O)NC3=O)ccc2F)CC1 ZINC000801506349 1072677955 /nfs/dbraw/zinc/67/79/55/1072677955.db2.gz GCWJEDZSHGDZGG-AWEZNQCLSA-N 0 0 441.437 -0.360 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)O[C@@H]3CCC(=O)NC3=O)ccc2F)CC1 ZINC000801506350 1072677791 /nfs/dbraw/zinc/67/77/91/1072677791.db2.gz GCWJEDZSHGDZGG-CQSZACIVSA-N 0 0 441.437 -0.360 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OCc3nnn(C)n3)ccc2F)CC1 ZINC000801506768 1072678579 /nfs/dbraw/zinc/67/85/79/1072678579.db2.gz VRAOXTISZOEYAV-UHFFFAOYSA-N 0 0 426.430 -0.441 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OCC3(CO)COC3)ccc2F)CC1 ZINC000801507063 1072678538 /nfs/dbraw/zinc/67/85/38/1072678538.db2.gz FVPYJNWJEGHDPH-UHFFFAOYSA-N 0 0 430.454 -0.156 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC[C@H]3CNC(=O)O3)ccc2F)CC1 ZINC000801507086 1072678455 /nfs/dbraw/zinc/67/84/55/1072678455.db2.gz GKEZURPWPCRLIA-CYBMUJFWSA-N 0 0 429.426 -0.056 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC[C@@H]3CNC(=O)O3)ccc2F)CC1 ZINC000801507087 1072678492 /nfs/dbraw/zinc/67/84/92/1072678492.db2.gz GKEZURPWPCRLIA-ZDUSSCGKSA-N 0 0 429.426 -0.056 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)O[C@@H](C)C(=O)NC(N)=O)ccc2F)CC1 ZINC000801507123 1072678563 /nfs/dbraw/zinc/67/85/63/1072678563.db2.gz GWBZDLIFETTYHS-JTQLQIEISA-N 0 0 444.441 -0.581 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)O[C@H](C)C(=O)NC(N)=O)ccc2F)CC1 ZINC000801507124 1072678589 /nfs/dbraw/zinc/67/85/89/1072678589.db2.gz GWBZDLIFETTYHS-SNVBAGLBSA-N 0 0 444.441 -0.581 20 0 IBADRN CNC(=O)NC(=O)COC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801507178 1072678568 /nfs/dbraw/zinc/67/85/68/1072678568.db2.gz IGRVOFSFRZZASB-UHFFFAOYSA-N 0 0 444.441 -0.709 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OCC(=O)NC(N)=O)ccc2F)CC1 ZINC000801507363 1072678386 /nfs/dbraw/zinc/67/83/86/1072678386.db2.gz KQGRXEZKEVRPAF-UHFFFAOYSA-N 0 0 430.414 -0.970 20 0 IBADRN COC(=O)C(=O)[C@@H](C)OC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801507599 1072678545 /nfs/dbraw/zinc/67/85/45/1072678545.db2.gz NACWNOIRQJTCBW-LLVKDONJSA-N 0 0 444.437 -0.034 20 0 IBADRN COC(=O)C(=O)[C@H](C)OC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000801507603 1072678585 /nfs/dbraw/zinc/67/85/85/1072678585.db2.gz NACWNOIRQJTCBW-NSHDSACASA-N 0 0 444.437 -0.034 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OCc3nnnn3C)ccc2F)CC1 ZINC000801508207 1072678465 /nfs/dbraw/zinc/67/84/65/1072678465.db2.gz SCQFFMWTNWAXMC-UHFFFAOYSA-N 0 0 426.430 -0.441 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OCc3nc(=O)n(C)[nH]3)ccc2F)CC1 ZINC000801508222 1072678556 /nfs/dbraw/zinc/67/85/56/1072678556.db2.gz SRURFIJPKWSEDI-UHFFFAOYSA-N 0 0 441.441 -0.543 20 0 IBADRN CCCN(C(=O)Cn1cnc2c(c(Br)nn2C)c1=O)[C@@H]1CC(=O)N(C)C1=O ZINC000801509437 1072678402 /nfs/dbraw/zinc/67/84/02/1072678402.db2.gz UDAIBMKIRIKWCS-SECBINFHSA-N 0 0 439.270 -0.112 20 0 IBADRN CCCN(C(=O)Cn1cnc2c(c(Br)nn2C)c1=O)[C@H]1CC(=O)N(C)C1=O ZINC000801509440 1072678553 /nfs/dbraw/zinc/67/85/53/1072678553.db2.gz UDAIBMKIRIKWCS-VIFPVBQESA-N 0 0 439.270 -0.112 20 0 IBADRN CN(C)c1ncnc(Cl)c1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801520847 1072678993 /nfs/dbraw/zinc/67/89/93/1072678993.db2.gz WSOSGRWZJOOMKP-GFCCVEGCSA-N 0 0 437.975 -0.170 20 0 IBADRN CN(C)c1ncnc(Cl)c1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801520848 1072679055 /nfs/dbraw/zinc/67/90/55/1072679055.db2.gz WSOSGRWZJOOMKP-LBPRGKRZSA-N 0 0 437.975 -0.170 20 0 IBADRN CN(C)c1ncnc(Cl)c1CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801522778 1072679125 /nfs/dbraw/zinc/67/91/25/1072679125.db2.gz DCBJSXJFXLIRLO-CYBMUJFWSA-N 0 0 430.962 -0.383 20 0 IBADRN CN(C)c1ncnc(Cl)c1CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801522781 1072679071 /nfs/dbraw/zinc/67/90/71/1072679071.db2.gz DCBJSXJFXLIRLO-ZDUSSCGKSA-N 0 0 430.962 -0.383 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@@H]4COC[C@H](C3)O4)ccc2F)CC1 ZINC000801540443 1072679063 /nfs/dbraw/zinc/67/90/63/1072679063.db2.gz PRJAIMQJPIQPBG-IYBDPMFKSA-N 0 0 441.481 -0.082 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H](CNC(=O)[C@@H](CO)NC(N)=O)C(F)(F)F)CC1 ZINC000801557874 1072679026 /nfs/dbraw/zinc/67/90/26/1072679026.db2.gz OZUPYWDGRKMURV-GHMZBOCLSA-N 0 0 427.424 -0.385 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H](CNC(=O)[C@@H](CO)NC(N)=O)C(F)(F)F)CC1 ZINC000801557876 1072678978 /nfs/dbraw/zinc/67/89/78/1072678978.db2.gz OZUPYWDGRKMURV-MNOVXSKESA-N 0 0 427.424 -0.385 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H](CNC(=O)[C@H](CO)NC(N)=O)C(F)(F)F)CC1 ZINC000801557878 1072679095 /nfs/dbraw/zinc/67/90/95/1072679095.db2.gz OZUPYWDGRKMURV-QWRGUYRKSA-N 0 0 427.424 -0.385 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H](CNC(=O)[C@H](CO)NC(N)=O)C(F)(F)F)CC1 ZINC000801557880 1072679145 /nfs/dbraw/zinc/67/91/45/1072679145.db2.gz OZUPYWDGRKMURV-WDEREUQCSA-N 0 0 427.424 -0.385 20 0 IBADRN O=C(CN1CCO[C@H](C(F)F)C1)N1CCN(C(=O)CN2CCO[C@H](C(F)F)C2)CC1 ZINC000801561898 1072679044 /nfs/dbraw/zinc/67/90/44/1072679044.db2.gz ZDTYGGUGACCDNL-KBPBESRZSA-N 0 0 440.438 -0.411 20 0 IBADRN O=C(CN1CCO[C@@H](C(F)F)C1)N1CCN(C(=O)CN2CCO[C@H](C(F)F)C2)CC1 ZINC000801561901 1072679149 /nfs/dbraw/zinc/67/91/49/1072679149.db2.gz ZDTYGGUGACCDNL-OKILXGFUSA-N 0 0 440.438 -0.411 20 0 IBADRN O=C(CN1CCO[C@@H](C(F)F)C1)N1CCN(C(=O)CN2CCO[C@@H](C(F)F)C2)CC1 ZINC000801561903 1072678939 /nfs/dbraw/zinc/67/89/39/1072678939.db2.gz ZDTYGGUGACCDNL-ZIAGYGMSSA-N 0 0 440.438 -0.411 20 0 IBADRN CCCN(CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O)[C@H]1CC(=O)N(C)C1=O ZINC000801584178 1072679009 /nfs/dbraw/zinc/67/90/09/1072679009.db2.gz CIHHOTYYXDJGHM-BTYIYWSLSA-N 0 0 445.476 -0.037 20 0 IBADRN CCCN(CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O)[C@@H]1CC(=O)N(C)C1=O ZINC000801584179 1072679101 /nfs/dbraw/zinc/67/91/01/1072679101.db2.gz CIHHOTYYXDJGHM-QVKFZJNVSA-N 0 0 445.476 -0.037 20 0 IBADRN CCCN(CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O)[C@@H]1CC(=O)N(C)C1=O ZINC000801584180 1072679155 /nfs/dbraw/zinc/67/91/55/1072679155.db2.gz CIHHOTYYXDJGHM-VFNWGFHPSA-N 0 0 445.476 -0.037 20 0 IBADRN CCCN(CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O)[C@H]1CC(=O)N(C)C1=O ZINC000801584181 1072679034 /nfs/dbraw/zinc/67/90/34/1072679034.db2.gz CIHHOTYYXDJGHM-YCRPNKLZSA-N 0 0 445.476 -0.037 20 0 IBADRN CCCN(CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O)[C@H]1CC(=O)N(C)C1=O ZINC000801585158 1072679791 /nfs/dbraw/zinc/67/97/91/1072679791.db2.gz PXDWBKKZSGRVJZ-BTYIYWSLSA-N 0 0 445.476 -0.037 20 0 IBADRN CCCN(CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O)[C@@H]1CC(=O)N(C)C1=O ZINC000801585161 1072679794 /nfs/dbraw/zinc/67/97/94/1072679794.db2.gz PXDWBKKZSGRVJZ-QVKFZJNVSA-N 0 0 445.476 -0.037 20 0 IBADRN CCCN(CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O)[C@@H]1CC(=O)N(C)C1=O ZINC000801585164 1072679800 /nfs/dbraw/zinc/67/98/00/1072679800.db2.gz PXDWBKKZSGRVJZ-VFNWGFHPSA-N 0 0 445.476 -0.037 20 0 IBADRN CCCN(CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O)[C@H]1CC(=O)N(C)C1=O ZINC000801585166 1072679702 /nfs/dbraw/zinc/67/97/02/1072679702.db2.gz PXDWBKKZSGRVJZ-YCRPNKLZSA-N 0 0 445.476 -0.037 20 0 IBADRN COCCN1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)CC1=O ZINC000801590606 1072679762 /nfs/dbraw/zinc/67/97/62/1072679762.db2.gz NVMGOKQSSHJGAE-AWEZNQCLSA-N 0 0 437.474 -0.102 20 0 IBADRN COCCN1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)CC1=O ZINC000801590609 1072679656 /nfs/dbraw/zinc/67/96/56/1072679656.db2.gz NVMGOKQSSHJGAE-CQSZACIVSA-N 0 0 437.474 -0.102 20 0 IBADRN COCCN1CN(CC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)CC1=O ZINC000801602639 1072679626 /nfs/dbraw/zinc/67/96/26/1072679626.db2.gz RUQJIZNDPHAIIU-DHDCSXOGSA-N 0 0 433.490 -0.018 20 0 IBADRN COCCN1CN(CC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)CC1=O ZINC000801602640 1072679767 /nfs/dbraw/zinc/67/97/67/1072679767.db2.gz RUQJIZNDPHAIIU-OQLLNIDSSA-N 0 0 433.490 -0.018 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)CC1=O ZINC000801602687 1072679806 /nfs/dbraw/zinc/67/98/06/1072679806.db2.gz VCWAPEVQYKJKRG-AUWJEWJLSA-N 0 0 436.534 -0.121 20 0 IBADRN COCCN1CN(CC(=O)N2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)CC1=O ZINC000801602688 1072679782 /nfs/dbraw/zinc/67/97/82/1072679782.db2.gz VCWAPEVQYKJKRG-VGOFMYFVSA-N 0 0 436.534 -0.121 20 0 IBADRN O=C(CN1C(=O)c2ccc(F)cc2S1(=O)=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801661780 1072679648 /nfs/dbraw/zinc/67/96/48/1072679648.db2.gz SIFHSSVCTRYOTI-CYBMUJFWSA-N 0 0 445.494 -0.699 20 0 IBADRN O=C(CN1C(=O)c2ccc(F)cc2S1(=O)=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000801661781 1072679603 /nfs/dbraw/zinc/67/96/03/1072679603.db2.gz SIFHSSVCTRYOTI-ZDUSSCGKSA-N 0 0 445.494 -0.699 20 0 IBADRN COCCn1c(N)c(C(=O)CN2C(=O)c3ccc(F)cc3S2(=O)=O)c(=O)[nH]c1=O ZINC000801663303 1072679670 /nfs/dbraw/zinc/67/96/70/1072679670.db2.gz MYGPSMWPYUJQEC-UHFFFAOYSA-N 0 0 426.382 -0.656 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2C(=O)c3ccc(F)cc3S2(=O)=O)cc1 ZINC000801663346 1072679745 /nfs/dbraw/zinc/67/97/45/1072679745.db2.gz OZAQBXZHZDHXKY-UHFFFAOYSA-N 0 0 441.462 -0.024 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2C(=O)c3ccc(F)cc3S2(=O)=O)c(=O)n(C)c1=O ZINC000801664724 1072679772 /nfs/dbraw/zinc/67/97/72/1072679772.db2.gz ZMPFSEDFBYZGLB-UHFFFAOYSA-N 0 0 438.437 -0.048 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000801689844 1072679756 /nfs/dbraw/zinc/67/97/56/1072679756.db2.gz ROPNPSOJYUPGSZ-UHFFFAOYSA-N 0 0 442.537 -0.961 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)CC1 ZINC000801692355 1073035851 /nfs/dbraw/zinc/03/58/51/1073035851.db2.gz KDFJDVMUQCLIFV-UHFFFAOYSA-N 0 0 434.492 -0.505 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)c1=O ZINC000801694715 1072679787 /nfs/dbraw/zinc/67/97/87/1072679787.db2.gz QTABJQCEFYZVRR-UHFFFAOYSA-N 0 0 446.551 -0.075 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CC(C(N)=O)=NO2)c(Br)c1 ZINC000801695762 1072679722 /nfs/dbraw/zinc/67/97/22/1072679722.db2.gz KKPKVXBVKCCDBD-SSDOTTSWSA-N 0 0 440.297 -0.239 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CC(C(N)=O)=NO2)c(Br)c1 ZINC000801695763 1072680273 /nfs/dbraw/zinc/68/02/73/1072680273.db2.gz KKPKVXBVKCCDBD-ZETCQYMHSA-N 0 0 440.297 -0.239 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H](O)c2cccc(Br)c2)CC1 ZINC000801711851 1072680330 /nfs/dbraw/zinc/68/03/30/1072680330.db2.gz OVEHXARCFKOFGU-CYBMUJFWSA-N 0 0 442.357 -0.106 20 0 IBADRN COc1nnc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000801746279 1072680254 /nfs/dbraw/zinc/68/02/54/1072680254.db2.gz OSNMAUBAMPMBFB-SECBINFHSA-N 0 0 425.514 -0.787 20 0 IBADRN COc1nnc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000801746280 1072680348 /nfs/dbraw/zinc/68/03/48/1072680348.db2.gz OSNMAUBAMPMBFB-VIFPVBQESA-N 0 0 425.514 -0.787 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000801747933 1072680353 /nfs/dbraw/zinc/68/03/53/1072680353.db2.gz BYVMTJSYPHJLIT-HNNXBMFYSA-N 0 0 448.567 -0.295 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000801747934 1072680320 /nfs/dbraw/zinc/68/03/20/1072680320.db2.gz BYVMTJSYPHJLIT-OAHLLOKOSA-N 0 0 448.567 -0.295 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC000801750221 1072680280 /nfs/dbraw/zinc/68/02/80/1072680280.db2.gz MWDMIRQAFVGFQC-UHFFFAOYSA-N 0 0 436.600 -0.295 20 0 IBADRN COC[C@H](O)CNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000801762568 1072680316 /nfs/dbraw/zinc/68/03/16/1072680316.db2.gz OJCZUUSSVGTLNL-CYBMUJFWSA-N 0 0 439.512 -0.903 20 0 IBADRN COC[C@@H](O)CNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000801762569 1072680305 /nfs/dbraw/zinc/68/03/05/1072680305.db2.gz OJCZUUSSVGTLNL-ZDUSSCGKSA-N 0 0 439.512 -0.903 20 0 IBADRN COCN1C(=O)CCc2cc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc21 ZINC000801780530 1072680358 /nfs/dbraw/zinc/68/03/58/1072680358.db2.gz CLWUJCVBUNHFGC-UHFFFAOYSA-N 0 0 428.453 -0.068 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC)CC1 ZINC000801828822 1072680366 /nfs/dbraw/zinc/68/03/66/1072680366.db2.gz ALIYLOLCBGYKGX-CYBMUJFWSA-N 0 0 437.592 -0.383 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC)CC1 ZINC000801828829 1072680373 /nfs/dbraw/zinc/68/03/73/1072680373.db2.gz ALIYLOLCBGYKGX-ZDUSSCGKSA-N 0 0 437.592 -0.383 20 0 IBADRN CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801836080 1072680248 /nfs/dbraw/zinc/68/02/48/1072680248.db2.gz GZOZDYOEDQSSRS-CYBMUJFWSA-N 0 0 448.571 -0.925 20 0 IBADRN CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801836081 1072680133 /nfs/dbraw/zinc/68/01/33/1072680133.db2.gz GZOZDYOEDQSSRS-ZDUSSCGKSA-N 0 0 448.571 -0.925 20 0 IBADRN CCn1c(-c2cccn2C)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801875749 1072680224 /nfs/dbraw/zinc/68/02/24/1072680224.db2.gz UOLSECHORZBQBN-AWEZNQCLSA-N 0 0 442.567 -0.058 20 0 IBADRN CCn1c(-c2cccn2C)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801875750 1072680301 /nfs/dbraw/zinc/68/03/01/1072680301.db2.gz UOLSECHORZBQBN-CQSZACIVSA-N 0 0 442.567 -0.058 20 0 IBADRN O=C(COC(=O)c1cncc(-n2ccnn2)c1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000801883495 1072680266 /nfs/dbraw/zinc/68/02/66/1072680266.db2.gz JKBAAEMCJCNUPT-HNNXBMFYSA-N 0 0 434.478 -0.850 20 0 IBADRN O=C(COC(=O)c1cncc(-n2ccnn2)c1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801883497 1072680158 /nfs/dbraw/zinc/68/01/58/1072680158.db2.gz JKBAAEMCJCNUPT-OAHLLOKOSA-N 0 0 434.478 -0.850 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cncc(-n3ccnn3)c2)c(=O)n(C)c1=O ZINC000801883660 1072680237 /nfs/dbraw/zinc/68/02/37/1072680237.db2.gz MVYMDSANYBWSJV-UHFFFAOYSA-N 0 0 427.421 -0.199 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cncc(-n3ccnn3)c2)CC1 ZINC000801885284 1072680893 /nfs/dbraw/zinc/68/08/93/1072680893.db2.gz ZSOZXRCFVUDMQX-UHFFFAOYSA-N 0 0 429.481 -0.122 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2cncc(-n3ccnn3)c2)cc1 ZINC000801888145 1072680718 /nfs/dbraw/zinc/68/07/18/1072680718.db2.gz AELYBTRQMAFLCB-UHFFFAOYSA-N 0 0 430.446 -0.175 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2cncc(-n3ccnn3)c2)CC1 ZINC000801889228 1072680908 /nfs/dbraw/zinc/68/09/08/1072680908.db2.gz RELXNUBEPZMAER-UHFFFAOYSA-N 0 0 448.447 -0.155 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cnc(C(=O)OC(C)(C)C)cn2)CC1 ZINC000801890016 1072680923 /nfs/dbraw/zinc/68/09/23/1072680923.db2.gz ALUWZCVTBKVFLB-UHFFFAOYSA-N 0 0 435.481 -0.179 20 0 IBADRN CCn1c(-c2cnn(C)c2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801915866 1072680821 /nfs/dbraw/zinc/68/08/21/1072680821.db2.gz WPVUSHCVQFIEKE-AWEZNQCLSA-N 0 0 443.555 -0.663 20 0 IBADRN CCn1c(-c2cnn(C)c2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801915870 1072681001 /nfs/dbraw/zinc/68/10/01/1072681001.db2.gz WPVUSHCVQFIEKE-CQSZACIVSA-N 0 0 443.555 -0.663 20 0 IBADRN CN(C)c1cnccc1NC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801919660 1073090736 /nfs/dbraw/zinc/09/07/36/1073090736.db2.gz GSTFQBDYEYDNEI-CYBMUJFWSA-N 0 0 431.540 -0.764 20 0 IBADRN CN(C)c1cnccc1NC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801919661 1073090686 /nfs/dbraw/zinc/09/06/86/1073090686.db2.gz GSTFQBDYEYDNEI-ZDUSSCGKSA-N 0 0 431.540 -0.764 20 0 IBADRN CCn1c(-c2ccc[nH]2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801933125 1072680935 /nfs/dbraw/zinc/68/09/35/1072680935.db2.gz ITNQMQACPNFSNM-CYBMUJFWSA-N 0 0 428.540 -0.068 20 0 IBADRN CCn1c(-c2ccc[nH]2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801933126 1072681007 /nfs/dbraw/zinc/68/10/07/1072681007.db2.gz ITNQMQACPNFSNM-ZDUSSCGKSA-N 0 0 428.540 -0.068 20 0 IBADRN CCn1c(-c2cccnc2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801950897 1072680950 /nfs/dbraw/zinc/68/09/50/1072680950.db2.gz PXLRXGAKORQYGH-HNNXBMFYSA-N 0 0 440.551 -0.001 20 0 IBADRN CCn1c(-c2cccnc2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801950898 1072680785 /nfs/dbraw/zinc/68/07/85/1072680785.db2.gz PXLRXGAKORQYGH-OAHLLOKOSA-N 0 0 440.551 -0.001 20 0 IBADRN CCn1c(-c2ccccn2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000801970720 1072680807 /nfs/dbraw/zinc/68/08/07/1072680807.db2.gz UGTFEANLPSHCPB-AWEZNQCLSA-N 0 0 440.551 -0.001 20 0 IBADRN CCn1c(-c2ccccn2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000801970721 1072680996 /nfs/dbraw/zinc/68/09/96/1072680996.db2.gz UGTFEANLPSHCPB-CQSZACIVSA-N 0 0 440.551 -0.001 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NC[C@](C)(O)CO)c1 ZINC000801973479 1072680856 /nfs/dbraw/zinc/68/08/56/1072680856.db2.gz NWKQWDGKICUQFZ-AWEZNQCLSA-N 0 0 425.301 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NC[C@@](C)(O)CO)c1 ZINC000801973480 1072680845 /nfs/dbraw/zinc/68/08/45/1072680845.db2.gz NWKQWDGKICUQFZ-CQSZACIVSA-N 0 0 425.301 -0.153 20 0 IBADRN C[C@@](O)(CO)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Br ZINC000801977622 1072681395 /nfs/dbraw/zinc/68/13/95/1072681395.db2.gz VARMRHQRTSMTDJ-HNNXBMFYSA-N 0 0 437.312 -0.057 20 0 IBADRN C[C@](O)(CO)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Br ZINC000801977625 1072681418 /nfs/dbraw/zinc/68/14/18/1072681418.db2.gz VARMRHQRTSMTDJ-OAHLLOKOSA-N 0 0 437.312 -0.057 20 0 IBADRN C[C@@](O)(CO)CNC(=O)c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801977924 1072680763 /nfs/dbraw/zinc/68/07/63/1072680763.db2.gz ZRRNXWQFSYXWHH-HNNXBMFYSA-N 0 0 437.312 -0.057 20 0 IBADRN C[C@](O)(CO)CNC(=O)c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1 ZINC000801977925 1072680904 /nfs/dbraw/zinc/68/09/04/1072680904.db2.gz ZRRNXWQFSYXWHH-OAHLLOKOSA-N 0 0 437.312 -0.057 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cncc(-n4ccnn4)c3)CC2)ccc1=O ZINC000802073250 1072681357 /nfs/dbraw/zinc/68/13/57/1072681357.db2.gz ADUFGUAPEBZUAP-UHFFFAOYSA-N 0 0 438.448 -0.629 20 0 IBADRN COCCOc1cncc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c1 ZINC000802073422 1072681424 /nfs/dbraw/zinc/68/14/24/1072681424.db2.gz GOCKOGYQMDCSHP-UHFFFAOYSA-N 0 0 445.476 -0.185 20 0 IBADRN O=C(CCn1ccnn1)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000802122891 1072681455 /nfs/dbraw/zinc/68/14/55/1072681455.db2.gz DEAFCFGNWXLDPS-UHFFFAOYSA-N 0 0 437.478 -0.338 20 0 IBADRN C[C@@]1(COC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CNC(=O)C1 ZINC000802195592 1072682941 /nfs/dbraw/zinc/68/29/41/1072682941.db2.gz VTDCVYJIUGGKBJ-PBHICJAKSA-N 0 0 432.520 -0.162 20 0 IBADRN C[C@]1(COC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CNC(=O)C1 ZINC000802195593 1072682966 /nfs/dbraw/zinc/68/29/66/1072682966.db2.gz VTDCVYJIUGGKBJ-RHSMWYFYSA-N 0 0 432.520 -0.162 20 0 IBADRN C[C@]1(COC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CNC(=O)C1 ZINC000802195594 1072682976 /nfs/dbraw/zinc/68/29/76/1072682976.db2.gz VTDCVYJIUGGKBJ-WMLDXEAASA-N 0 0 432.520 -0.162 20 0 IBADRN C[C@@]1(COC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CNC(=O)C1 ZINC000802195595 1072682919 /nfs/dbraw/zinc/68/29/19/1072682919.db2.gz VTDCVYJIUGGKBJ-YOEHRIQHSA-N 0 0 432.520 -0.162 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CNC(=O)c1ccc3c(c1)OCO3)c(=O)n2C ZINC000802200066 1072682957 /nfs/dbraw/zinc/68/29/57/1072682957.db2.gz JZHAPXWSTFQSKW-UHFFFAOYSA-N 0 0 443.416 -0.474 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)COC(=O)CNC(=O)c2ccc3c(c2)OCO3)c1 ZINC000802201237 1072682928 /nfs/dbraw/zinc/68/29/28/1072682928.db2.gz BDMRGVHEKDBZPI-UHFFFAOYSA-N 0 0 435.414 -0.026 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)CNC(=O)c2ccc3c(c2)OCO3)cc1 ZINC000802201731 1072683000 /nfs/dbraw/zinc/68/30/00/1072683000.db2.gz FTLZOMLVUKSNAH-UHFFFAOYSA-N 0 0 435.414 -0.026 20 0 IBADRN CCN(C(=O)COC(=O)CNC(=O)c1ccc2c(c1)OCO2)[C@@H]1CCS(=O)(=O)C1 ZINC000802212186 1072683547 /nfs/dbraw/zinc/68/35/47/1072683547.db2.gz JDXXJJCKHADVLU-CYBMUJFWSA-N 0 0 426.447 -0.276 20 0 IBADRN CCN(C(=O)COC(=O)CNC(=O)c1ccc2c(c1)OCO2)[C@H]1CCS(=O)(=O)C1 ZINC000802212187 1072683671 /nfs/dbraw/zinc/68/36/71/1072683671.db2.gz JDXXJJCKHADVLU-ZDUSSCGKSA-N 0 0 426.447 -0.276 20 0 IBADRN COC[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000802221417 1072683518 /nfs/dbraw/zinc/68/35/18/1072683518.db2.gz KEEWHFGJAYFTSB-HNNXBMFYSA-N 0 0 431.486 -0.438 20 0 IBADRN COc1cc(C(=O)NCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc(OC)c1OC ZINC000802237380 1072683731 /nfs/dbraw/zinc/68/37/31/1072683731.db2.gz DXVKPMXEKRVLMD-UHFFFAOYSA-N 0 0 437.449 -0.324 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000802246743 1072683634 /nfs/dbraw/zinc/68/36/34/1072683634.db2.gz GOXXMBGCTSBSSX-UHFFFAOYSA-N 0 0 447.535 -0.346 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000802285759 1072683704 /nfs/dbraw/zinc/68/37/04/1072683704.db2.gz ILWUZPKHFXBMJG-CYBMUJFWSA-N 0 0 446.551 -0.822 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000802285763 1072684106 /nfs/dbraw/zinc/68/41/06/1072684106.db2.gz ILWUZPKHFXBMJG-ZDUSSCGKSA-N 0 0 446.551 -0.822 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000802290262 1072684149 /nfs/dbraw/zinc/68/41/49/1072684149.db2.gz STEAAJXITHHYHU-UHFFFAOYSA-N 0 0 427.504 -0.142 20 0 IBADRN COCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000802334562 1072684116 /nfs/dbraw/zinc/68/41/16/1072684116.db2.gz LMNIRADVXNTAEH-UHFFFAOYSA-N 0 0 432.529 -0.041 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@@H]2CC(c3ccc4c(c3)CCO4)=NO2)CC1 ZINC000802337210 1072684214 /nfs/dbraw/zinc/68/42/14/1072684214.db2.gz KFZVZGRYSNHQTI-INIZCTEOSA-N 0 0 440.464 -0.345 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NC[C@H]2CC(c3ccc4c(c3)CCO4)=NO2)CC1 ZINC000802337211 1072684085 /nfs/dbraw/zinc/68/40/85/1072684085.db2.gz KFZVZGRYSNHQTI-MRXNPFEDSA-N 0 0 440.464 -0.345 20 0 IBADRN COCCn1c(-c2cccnc2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000802339493 1072684153 /nfs/dbraw/zinc/68/41/53/1072684153.db2.gz MHCRKDFUFAYMPN-UHFFFAOYSA-N 0 0 429.481 -0.506 20 0 IBADRN COCCn1c(CNC(=O)c2cccs2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC000802340660 1072684178 /nfs/dbraw/zinc/68/41/78/1072684178.db2.gz QFIYQJJOGNUBPQ-UHFFFAOYSA-N 0 0 428.540 -0.002 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC)CC1 ZINC000802340833 1072684139 /nfs/dbraw/zinc/68/41/39/1072684139.db2.gz VOBYEFCLTJYDBP-HNNXBMFYSA-N 0 0 445.590 -0.475 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC)CC1 ZINC000802340834 1072684185 /nfs/dbraw/zinc/68/41/85/1072684185.db2.gz VOBYEFCLTJYDBP-OAHLLOKOSA-N 0 0 445.590 -0.475 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2CCOC)CC1 ZINC000802344652 1072684170 /nfs/dbraw/zinc/68/41/70/1072684170.db2.gz VVEAOVJAAMAKFI-UHFFFAOYSA-N 0 0 440.512 -0.175 20 0 IBADRN COCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000802345013 1072684108 /nfs/dbraw/zinc/68/41/08/1072684108.db2.gz IOCVNBROKPIJKL-UHFFFAOYSA-N 0 0 426.547 -0.285 20 0 IBADRN COCCn1c(Cc2ccccc2OC)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802345414 1072684095 /nfs/dbraw/zinc/68/40/95/1072684095.db2.gz TVNPCVCJZNPEGG-UHFFFAOYSA-N 0 0 441.496 -0.141 20 0 IBADRN COCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802345418 1072684112 /nfs/dbraw/zinc/68/41/12/1072684112.db2.gz UAGBKSRKXWYOBK-UHFFFAOYSA-N 0 0 429.489 -0.879 20 0 IBADRN COCCn1c([C@H]2CCOC2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000802346726 1072684121 /nfs/dbraw/zinc/68/41/21/1072684121.db2.gz IPZLLLGEPQXUFK-HNNXBMFYSA-N 0 0 430.531 -0.873 20 0 IBADRN COCCn1c([C@@H]2CCOC2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000802346727 1072684209 /nfs/dbraw/zinc/68/42/09/1072684209.db2.gz IPZLLLGEPQXUFK-OAHLLOKOSA-N 0 0 430.531 -0.873 20 0 IBADRN COc1ccc(C2=NO[C@H](CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)cc1F ZINC000802347249 1072684201 /nfs/dbraw/zinc/68/42/01/1072684201.db2.gz JXGDPMZAXPRWDM-AWEZNQCLSA-N 0 0 446.443 -0.132 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)cc1F ZINC000802347250 1072684162 /nfs/dbraw/zinc/68/41/62/1072684162.db2.gz JXGDPMZAXPRWDM-CQSZACIVSA-N 0 0 446.443 -0.132 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCOC ZINC000802348379 1072684716 /nfs/dbraw/zinc/68/47/16/1072684716.db2.gz CIOKRZPJJBMBBY-INIZCTEOSA-N 0 0 438.529 -0.081 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCOC ZINC000802348380 1072684867 /nfs/dbraw/zinc/68/48/67/1072684867.db2.gz CIOKRZPJJBMBBY-MRXNPFEDSA-N 0 0 438.529 -0.081 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCOC ZINC000802350056 1072684745 /nfs/dbraw/zinc/68/47/45/1072684745.db2.gz RXXILEZCGHNHAF-UHFFFAOYSA-N 0 0 435.529 -0.230 20 0 IBADRN COCCn1c(C(=O)NC(C)C)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000802362191 1072684892 /nfs/dbraw/zinc/68/48/92/1072684892.db2.gz RXEKCJPNDVDDHC-HNNXBMFYSA-N 0 0 444.558 -0.307 20 0 IBADRN COCCn1c(C(=O)NC(C)C)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000802362194 1072684799 /nfs/dbraw/zinc/68/47/99/1072684799.db2.gz RXEKCJPNDVDDHC-OAHLLOKOSA-N 0 0 444.558 -0.307 20 0 IBADRN COCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(c2nccn(C)c2=O)CC1 ZINC000802363279 1072684737 /nfs/dbraw/zinc/68/47/37/1072684737.db2.gz FLHAVQKTGZOOTH-UHFFFAOYSA-N 0 0 439.480 -0.008 20 0 IBADRN COCCn1c(C(=O)Nc2ccc(C)c(C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802363700 1072684728 /nfs/dbraw/zinc/68/47/28/1072684728.db2.gz NVAWTRUAOMHUGS-INIZCTEOSA-N 0 0 441.492 -0.059 20 0 IBADRN COCCn1c(C(=O)Nc2ccc(C)c(C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802363709 1072684874 /nfs/dbraw/zinc/68/48/74/1072684874.db2.gz NVAWTRUAOMHUGS-MRXNPFEDSA-N 0 0 441.492 -0.059 20 0 IBADRN COCCn1c(-c2cc(Br)c[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802364077 1072684704 /nfs/dbraw/zinc/68/47/04/1072684704.db2.gz SXQDDVMDZGYZCY-LLVKDONJSA-N 0 0 438.286 -0.171 20 0 IBADRN COCCn1c(-c2cc(Br)c[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802364078 1072684870 /nfs/dbraw/zinc/68/48/70/1072684870.db2.gz SXQDDVMDZGYZCY-NSHDSACASA-N 0 0 438.286 -0.171 20 0 IBADRN COc1ccc(C2=NO[C@H](CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)cc1 ZINC000802370041 1072684886 /nfs/dbraw/zinc/68/48/86/1072684886.db2.gz PRSRZBXROUGMNQ-INIZCTEOSA-N 0 0 428.453 -0.271 20 0 IBADRN COc1ccc(C2=NO[C@@H](CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)cc1 ZINC000802370042 1072684761 /nfs/dbraw/zinc/68/47/61/1072684761.db2.gz PRSRZBXROUGMNQ-MRXNPFEDSA-N 0 0 428.453 -0.271 20 0 IBADRN COCCn1c([C@@H]2COCCO2)nnc1N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000802370119 1072684879 /nfs/dbraw/zinc/68/48/79/1072684879.db2.gz BXXSJKFAKIHXCW-INIZCTEOSA-N 0 0 447.558 -0.111 20 0 IBADRN COCCn1c([C@H]2COCCO2)nnc1N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000802370120 1072684851 /nfs/dbraw/zinc/68/48/51/1072684851.db2.gz BXXSJKFAKIHXCW-MRXNPFEDSA-N 0 0 447.558 -0.111 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3csc(N4CCOCC4)n3)n2CCOC)CCO1 ZINC000802377591 1072684844 /nfs/dbraw/zinc/68/48/44/1072684844.db2.gz LZAJWHVQOYTVEJ-AWEZNQCLSA-N 0 0 437.526 -0.164 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3csc(N4CCOCC4)n3)n2CCOC)CCO1 ZINC000802377592 1072684775 /nfs/dbraw/zinc/68/47/75/1072684775.db2.gz LZAJWHVQOYTVEJ-CQSZACIVSA-N 0 0 437.526 -0.164 20 0 IBADRN COCCn1c(Cc2ccccn2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000802393028 1072685575 /nfs/dbraw/zinc/68/55/75/1072685575.db2.gz UCEJETQOLRFMDP-UHFFFAOYSA-N 0 0 443.508 -0.582 20 0 IBADRN COCCn1c(-c2ccccn2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000802393293 1072685553 /nfs/dbraw/zinc/68/55/53/1072685553.db2.gz YLHCZXGEWQCGJB-UHFFFAOYSA-N 0 0 429.481 -0.506 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)N2CCCC2)CC1 ZINC000802394677 1072685567 /nfs/dbraw/zinc/68/55/67/1072685567.db2.gz LJTTVFIVZHRLDC-AWEZNQCLSA-N 0 0 429.547 -0.128 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)N2CCCC2)CC1 ZINC000802394678 1072685603 /nfs/dbraw/zinc/68/56/03/1072685603.db2.gz LJTTVFIVZHRLDC-CQSZACIVSA-N 0 0 429.547 -0.128 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC)CC1 ZINC000802396938 1072685481 /nfs/dbraw/zinc/68/54/81/1072685481.db2.gz JXEXPJHFBONRMZ-CABCVRRESA-N 0 0 445.590 -0.429 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC)CC1 ZINC000802396939 1072685417 /nfs/dbraw/zinc/68/54/17/1072685417.db2.gz JXEXPJHFBONRMZ-GJZGRUSLSA-N 0 0 445.590 -0.429 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC)CC1 ZINC000802396940 1072685615 /nfs/dbraw/zinc/68/56/15/1072685615.db2.gz JXEXPJHFBONRMZ-HUUCEWRRSA-N 0 0 445.590 -0.429 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC)CC1 ZINC000802396941 1072685456 /nfs/dbraw/zinc/68/54/56/1072685456.db2.gz JXEXPJHFBONRMZ-LSDHHAIUSA-N 0 0 445.590 -0.429 20 0 IBADRN COCCn1c(-c2ccc(Cl)nc2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802398544 1072685607 /nfs/dbraw/zinc/68/56/07/1072685607.db2.gz LIQGAAWAVJKYJP-UHFFFAOYSA-N 0 0 432.876 -0.025 20 0 IBADRN COCCn1c(-c2ccccn2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000802399485 1072685462 /nfs/dbraw/zinc/68/54/62/1072685462.db2.gz AYWXOELXGINQOO-UHFFFAOYSA-N 0 0 437.526 -0.315 20 0 IBADRN COCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000802401155 1072685604 /nfs/dbraw/zinc/68/56/04/1072685604.db2.gz OQLFKPOFXHGUSK-UHFFFAOYSA-N 0 0 430.513 -0.287 20 0 IBADRN COCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000802401561 1072685541 /nfs/dbraw/zinc/68/55/41/1072685541.db2.gz WRKNLQRXXBRPLZ-UHFFFAOYSA-N 0 0 442.480 -0.501 20 0 IBADRN COCCn1c(CC2CC2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000802401999 1072685440 /nfs/dbraw/zinc/68/54/40/1072685440.db2.gz DSJPCVSEHYNPPL-HNNXBMFYSA-N 0 0 447.583 -0.484 20 0 IBADRN COCCn1c(CC2CC2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000802402000 1072685489 /nfs/dbraw/zinc/68/54/89/1072685489.db2.gz DSJPCVSEHYNPPL-OAHLLOKOSA-N 0 0 447.583 -0.484 20 0 IBADRN COCCn1c(-c2cnn(C)c2)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000802409473 1072685497 /nfs/dbraw/zinc/68/54/97/1072685497.db2.gz XLBZNBPIUBMPKQ-INIZCTEOSA-N 0 0 439.542 -0.044 20 0 IBADRN COCCn1c(-c2cnn(C)c2)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000802409474 1072685601 /nfs/dbraw/zinc/68/56/01/1072685601.db2.gz XLBZNBPIUBMPKQ-MRXNPFEDSA-N 0 0 439.542 -0.044 20 0 IBADRN COCCn1c([C@@H]2COc3ccccc3O2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802410838 1072685623 /nfs/dbraw/zinc/68/56/23/1072685623.db2.gz COBGVLRUQWXCFD-BBRMVZONSA-N 0 0 428.449 -0.416 20 0 IBADRN COCCn1c([C@@H]2COc3ccccc3O2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802410839 1072685618 /nfs/dbraw/zinc/68/56/18/1072685618.db2.gz COBGVLRUQWXCFD-CJNGLKHVSA-N 0 0 428.449 -0.416 20 0 IBADRN COCCn1c([C@H]2COc3ccccc3O2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802410840 1072685949 /nfs/dbraw/zinc/68/59/49/1072685949.db2.gz COBGVLRUQWXCFD-CZUORRHYSA-N 0 0 428.449 -0.416 20 0 IBADRN COCCn1c([C@H]2COc3ccccc3O2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802410841 1072686031 /nfs/dbraw/zinc/68/60/31/1072686031.db2.gz COBGVLRUQWXCFD-XJKSGUPXSA-N 0 0 428.449 -0.416 20 0 IBADRN COCCn1c(-c2cc(OC)cc(OC)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802411397 1072685527 /nfs/dbraw/zinc/68/55/27/1072685527.db2.gz NQWNNJSMBKMUFN-AWEZNQCLSA-N 0 0 430.465 -0.244 20 0 IBADRN COCCn1c(-c2cc(OC)cc(OC)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802411398 1072685613 /nfs/dbraw/zinc/68/56/13/1072685613.db2.gz NQWNNJSMBKMUFN-CQSZACIVSA-N 0 0 430.465 -0.244 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(c2cc(OC)ncn2)CC1 ZINC000802417659 1072685921 /nfs/dbraw/zinc/68/59/21/1072685921.db2.gz UUCPFIFBUCUMON-CYBMUJFWSA-N 0 0 440.530 -0.340 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(c2cc(OC)ncn2)CC1 ZINC000802417660 1072686038 /nfs/dbraw/zinc/68/60/38/1072686038.db2.gz UUCPFIFBUCUMON-ZDUSSCGKSA-N 0 0 440.530 -0.340 20 0 IBADRN COCCn1c(-c2ccc(C)o2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000802418212 1072685962 /nfs/dbraw/zinc/68/59/62/1072685962.db2.gz HFQPOPWSOPZULD-UHFFFAOYSA-N 0 0 430.469 -0.040 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCOC)C1 ZINC000802419026 1072685907 /nfs/dbraw/zinc/68/59/07/1072685907.db2.gz TXZOMIRSZZGPTM-HNNXBMFYSA-N 0 0 446.574 -0.061 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCOC)C1 ZINC000802419027 1072686067 /nfs/dbraw/zinc/68/60/67/1072686067.db2.gz TXZOMIRSZZGPTM-OAHLLOKOSA-N 0 0 446.574 -0.061 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc([C@@H]3COCCO3)n2CCOC)C1 ZINC000802419216 1072685993 /nfs/dbraw/zinc/68/59/93/1072685993.db2.gz VPBGEMPBELSBFA-CVEARBPZSA-N 0 0 447.558 -0.111 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc([C@@H]3COCCO3)n2CCOC)C1 ZINC000802419217 1072686034 /nfs/dbraw/zinc/68/60/34/1072686034.db2.gz VPBGEMPBELSBFA-HOTGVXAUSA-N 0 0 447.558 -0.111 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc([C@H]3COCCO3)n2CCOC)C1 ZINC000802419218 1072685983 /nfs/dbraw/zinc/68/59/83/1072685983.db2.gz VPBGEMPBELSBFA-HZPDHXFCSA-N 0 0 447.558 -0.111 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc([C@H]3COCCO3)n2CCOC)C1 ZINC000802419219 1072686027 /nfs/dbraw/zinc/68/60/27/1072686027.db2.gz VPBGEMPBELSBFA-JKSUJKDBSA-N 0 0 447.558 -0.111 20 0 IBADRN COCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC000802419514 1072685955 /nfs/dbraw/zinc/68/59/55/1072685955.db2.gz BPRWUFDFIIEVMK-UHFFFAOYSA-N 0 0 444.496 -0.255 20 0 IBADRN COCCn1c(CN2CCN(C(=O)c3ccccc3)CC2)nnc1N1CCNC(=O)C1 ZINC000802420660 1072685938 /nfs/dbraw/zinc/68/59/38/1072685938.db2.gz AZMDTDUVGADAOK-UHFFFAOYSA-N 0 0 427.509 -0.181 20 0 IBADRN COCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000802425027 1072685998 /nfs/dbraw/zinc/68/59/98/1072685998.db2.gz XHRRYCHUNMJVLK-UHFFFAOYSA-N 0 0 438.514 -0.500 20 0 IBADRN COCCn1c(-c2cccn2C)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000802425212 1072686056 /nfs/dbraw/zinc/68/60/56/1072686056.db2.gz BIVMBOYKJIVKGF-UHFFFAOYSA-N 0 0 439.542 -0.371 20 0 IBADRN COCCn1c(-c2ccc3c(c2)OCCO3)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802431016 1072686083 /nfs/dbraw/zinc/68/60/83/1072686083.db2.gz BZEHWZABSYEOPJ-AWEZNQCLSA-N 0 0 428.449 -0.490 20 0 IBADRN COCCn1c(-c2ccc3c(c2)OCCO3)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802431023 1072686008 /nfs/dbraw/zinc/68/60/08/1072686008.db2.gz BZEHWZABSYEOPJ-CQSZACIVSA-N 0 0 428.449 -0.490 20 0 IBADRN COCCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC000802434645 1072686071 /nfs/dbraw/zinc/68/60/71/1072686071.db2.gz HEMHZCCUZAJEMZ-UHFFFAOYSA-N 0 0 446.574 -0.061 20 0 IBADRN COCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC000802439202 1072685968 /nfs/dbraw/zinc/68/59/68/1072685968.db2.gz HIZKWCHBFMHZNV-UHFFFAOYSA-N 0 0 428.497 -0.028 20 0 IBADRN COCCn1c(-c2ncccc2C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000802440710 1072686518 /nfs/dbraw/zinc/68/65/18/1072686518.db2.gz VKUKEXUYUDVBSE-UHFFFAOYSA-N 0 0 441.496 -0.238 20 0 IBADRN COCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000802443610 1072686726 /nfs/dbraw/zinc/68/67/26/1072686726.db2.gz IGWSTVXWFVWCDD-UHFFFAOYSA-N 0 0 446.556 -0.233 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2nnc([C@@H]3COCCO3)n2CCOC)CC1 ZINC000802443910 1072686605 /nfs/dbraw/zinc/68/66/05/1072686605.db2.gz NUPUBYJLQNRPSE-HNNXBMFYSA-N 0 0 432.547 -0.279 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2nnc([C@H]3COCCO3)n2CCOC)CC1 ZINC000802443912 1072686651 /nfs/dbraw/zinc/68/66/51/1072686651.db2.gz NUPUBYJLQNRPSE-OAHLLOKOSA-N 0 0 432.547 -0.279 20 0 IBADRN COCCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(C(=O)COC)CC1 ZINC000802443974 1072686722 /nfs/dbraw/zinc/68/67/22/1072686722.db2.gz PEAFCNIZTADQBW-UHFFFAOYSA-N 0 0 427.469 -0.138 20 0 IBADRN COCCn1c(-c2cnn(C)c2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000802444945 1072686621 /nfs/dbraw/zinc/68/66/21/1072686621.db2.gz DCQILKJDTVOFJE-UHFFFAOYSA-N 0 0 440.530 -0.976 20 0 IBADRN COCCn1c(Cc2ccccn2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000802444993 1072686731 /nfs/dbraw/zinc/68/67/31/1072686731.db2.gz GPVGHJCGYWHPGE-UHFFFAOYSA-N 0 0 429.525 -0.109 20 0 IBADRN COCCn1c(-c2cccnc2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000802445686 1072686688 /nfs/dbraw/zinc/68/66/88/1072686688.db2.gz QMJRRXIXFKLTMJ-UHFFFAOYSA-N 0 0 437.526 -0.315 20 0 IBADRN COCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000802447933 1072686572 /nfs/dbraw/zinc/68/65/72/1072686572.db2.gz RTIOBIRVRRSYMI-UHFFFAOYSA-N 0 0 433.513 -0.569 20 0 IBADRN COCCn1c(C(=O)NC(C)C)nnc1N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000802453110 1072686591 /nfs/dbraw/zinc/68/65/91/1072686591.db2.gz NECHMQJKVZCMPH-UHFFFAOYSA-N 0 0 441.514 -0.297 20 0 IBADRN COCCn1c(-c2cnn(-c3ccccc3)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802454789 1072686563 /nfs/dbraw/zinc/68/65/63/1072686563.db2.gz WNUZQJGBOUHCLI-KRWDZBQOSA-N 0 0 436.476 -0.076 20 0 IBADRN COCCn1c(-c2cnn(-c3ccccc3)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802454790 1072686539 /nfs/dbraw/zinc/68/65/39/1072686539.db2.gz WNUZQJGBOUHCLI-QGZVFWFLSA-N 0 0 436.476 -0.076 20 0 IBADRN COCCn1c(COc2ccc(OC)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802454856 1072686676 /nfs/dbraw/zinc/68/66/76/1072686676.db2.gz YBWPWFFBURMGLO-AWEZNQCLSA-N 0 0 430.465 -0.341 20 0 IBADRN COCCn1c(COc2ccc(OC)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802454857 1072686710 /nfs/dbraw/zinc/68/67/10/1072686710.db2.gz YBWPWFFBURMGLO-CQSZACIVSA-N 0 0 430.465 -0.341 20 0 IBADRN COCCn1c(-c2cccn2C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000802469357 1072686713 /nfs/dbraw/zinc/68/67/13/1072686713.db2.gz KKUFYJLKPJPHSV-UHFFFAOYSA-N 0 0 431.497 -0.563 20 0 IBADRN COCCn1c(-c2cccc(C)n2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000802469657 1072686549 /nfs/dbraw/zinc/68/65/49/1072686549.db2.gz RJFFGXLRORFBRF-UHFFFAOYSA-N 0 0 443.508 -0.198 20 0 IBADRN COCCn1c(-c2ncccc2C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000802470008 1072686637 /nfs/dbraw/zinc/68/66/37/1072686637.db2.gz XCSMRBYCECCSLK-UHFFFAOYSA-N 0 0 443.508 -0.198 20 0 IBADRN COCCn1c(-c2ccc3c(c2)OCO3)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802470311 1072686716 /nfs/dbraw/zinc/68/67/16/1072686716.db2.gz DSFYLVARQXZZIL-UHFFFAOYSA-N 0 0 441.452 -0.345 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1CCOC ZINC000802470507 1072687071 /nfs/dbraw/zinc/68/70/71/1072687071.db2.gz NFBWCMLVIYMLNS-UHFFFAOYSA-N 0 0 431.563 -0.229 20 0 IBADRN COCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000802470549 1072687175 /nfs/dbraw/zinc/68/71/75/1072687175.db2.gz OWKMKRCJGQUDJT-UHFFFAOYSA-N 0 0 446.556 -0.233 20 0 IBADRN COCCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC000802470647 1072687156 /nfs/dbraw/zinc/68/71/56/1072687156.db2.gz SQIXLNNQXUZAGD-UHFFFAOYSA-N 0 0 433.498 -0.351 20 0 IBADRN COCCn1c(COc2ccccc2F)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802470719 1072687097 /nfs/dbraw/zinc/68/70/97/1072687097.db2.gz WFLWYTYXHJLSRN-UHFFFAOYSA-N 0 0 445.459 -0.022 20 0 IBADRN COCCn1c(CC(C)C)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000802472249 1072687131 /nfs/dbraw/zinc/68/71/31/1072687131.db2.gz MGUUBFDZCQYEBY-HNNXBMFYSA-N 0 0 449.599 -0.238 20 0 IBADRN COCCn1c(CC(C)C)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000802472251 1072687153 /nfs/dbraw/zinc/68/71/53/1072687153.db2.gz MGUUBFDZCQYEBY-OAHLLOKOSA-N 0 0 449.599 -0.238 20 0 IBADRN COCCn1c(-c2cccc(S(=O)(=O)N(C)C)c2)nnc1N1CCO[C@H](C(N)=O)C1 ZINC000802473647 1072687166 /nfs/dbraw/zinc/68/71/66/1072687166.db2.gz ADIBYCVTOZNTHV-HNNXBMFYSA-N 0 0 438.510 -0.468 20 0 IBADRN COCCn1c(-c2cccc(S(=O)(=O)N(C)C)c2)nnc1N1CCO[C@@H](C(N)=O)C1 ZINC000802473651 1072687181 /nfs/dbraw/zinc/68/71/81/1072687181.db2.gz ADIBYCVTOZNTHV-OAHLLOKOSA-N 0 0 438.510 -0.468 20 0 IBADRN COCCn1c(-c2cnn(C)c2)nnc1N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000802477733 1072687120 /nfs/dbraw/zinc/68/71/20/1072687120.db2.gz OKOCXSQILXSFSD-UHFFFAOYSA-N 0 0 436.498 -0.035 20 0 IBADRN COCCn1c(-c2ccc(OC)c(OC)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802478262 1072687110 /nfs/dbraw/zinc/68/71/10/1072687110.db2.gz AAPLTGPDHNYFNI-AWEZNQCLSA-N 0 0 430.465 -0.244 20 0 IBADRN COCCn1c(-c2ccc(OC)c(OC)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802478265 1072687152 /nfs/dbraw/zinc/68/71/52/1072687152.db2.gz AAPLTGPDHNYFNI-CQSZACIVSA-N 0 0 430.465 -0.244 20 0 IBADRN COCCCS(=O)(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCOC)CC1 ZINC000802480767 1072687141 /nfs/dbraw/zinc/68/71/41/1072687141.db2.gz JDYATBUTFWZDNI-UHFFFAOYSA-N 0 0 427.531 -0.187 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3nn(C)c(=O)c4ccccc43)n2CCOC)CCO1 ZINC000802482954 1072687081 /nfs/dbraw/zinc/68/70/81/1072687081.db2.gz KJDCOJPSLABOIH-HNNXBMFYSA-N 0 0 427.465 -0.210 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3nn(C)c(=O)c4ccccc43)n2CCOC)CCO1 ZINC000802482956 1072687148 /nfs/dbraw/zinc/68/71/48/1072687148.db2.gz KJDCOJPSLABOIH-OAHLLOKOSA-N 0 0 427.465 -0.210 20 0 IBADRN COCCn1c(-c2cccn2C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000802485177 1072687000 /nfs/dbraw/zinc/68/70/00/1072687000.db2.gz IUDTWNYIKUKRIV-UHFFFAOYSA-N 0 0 429.485 -0.603 20 0 IBADRN COCCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC000802487994 1072687049 /nfs/dbraw/zinc/68/70/49/1072687049.db2.gz DMDMTRWFYYHCEX-UHFFFAOYSA-N 0 0 447.521 -0.080 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCOC)CC1 ZINC000802488611 1072687773 /nfs/dbraw/zinc/68/77/73/1072687773.db2.gz RYMPUDXWNTXVBV-UHFFFAOYSA-N 0 0 431.563 -0.229 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(c2nccc(OC)n2)CC1 ZINC000802488689 1072687103 /nfs/dbraw/zinc/68/71/03/1072687103.db2.gz UQKYAHXQBLDSGU-CYBMUJFWSA-N 0 0 440.530 -0.340 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(c2nccc(OC)n2)CC1 ZINC000802488691 1072687750 /nfs/dbraw/zinc/68/77/50/1072687750.db2.gz UQKYAHXQBLDSGU-ZDUSSCGKSA-N 0 0 440.530 -0.340 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1CCOC ZINC000802488842 1072687062 /nfs/dbraw/zinc/68/70/62/1072687062.db2.gz BBHFJJIVLIMIQS-HOTGVXAUSA-N 0 0 446.574 -0.063 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1CCOC ZINC000802488843 1072687161 /nfs/dbraw/zinc/68/71/61/1072687161.db2.gz BBHFJJIVLIMIQS-HZPDHXFCSA-N 0 0 446.574 -0.063 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1CCOC ZINC000802488844 1072687173 /nfs/dbraw/zinc/68/71/73/1072687173.db2.gz BBHFJJIVLIMIQS-IYBDPMFKSA-N 0 0 446.574 -0.063 20 0 IBADRN COCCn1c(-c2ccc[nH]2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000802490279 1072687633 /nfs/dbraw/zinc/68/76/33/1072687633.db2.gz YZDYKDDMPYIORT-UHFFFAOYSA-N 0 0 425.515 -0.381 20 0 IBADRN COCCn1c(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nnc1C(C)(C)C ZINC000802492216 1072687617 /nfs/dbraw/zinc/68/76/17/1072687617.db2.gz PCAXJAHFHRULML-AWEZNQCLSA-N 0 0 449.599 -0.139 20 0 IBADRN COCCn1c(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nnc1C(C)(C)C ZINC000802492217 1072687670 /nfs/dbraw/zinc/68/76/70/1072687670.db2.gz PCAXJAHFHRULML-CQSZACIVSA-N 0 0 449.599 -0.139 20 0 IBADRN COCCn1c(COc2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802495469 1072687761 /nfs/dbraw/zinc/68/77/61/1072687761.db2.gz HGRRGWLTHVINTP-AWEZNQCLSA-N 0 0 430.465 -0.341 20 0 IBADRN COCCn1c(COc2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802495470 1072687768 /nfs/dbraw/zinc/68/77/68/1072687768.db2.gz HGRRGWLTHVINTP-CQSZACIVSA-N 0 0 430.465 -0.341 20 0 IBADRN COCCn1c(CCc2ccc(OC)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802496003 1072687740 /nfs/dbraw/zinc/68/77/40/1072687740.db2.gz NYCAJKGIIUCKIZ-INIZCTEOSA-N 0 0 428.493 -0.135 20 0 IBADRN COCCn1c(CCc2ccc(OC)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802496005 1072687726 /nfs/dbraw/zinc/68/77/26/1072687726.db2.gz NYCAJKGIIUCKIZ-MRXNPFEDSA-N 0 0 428.493 -0.135 20 0 IBADRN COCCCS(=O)(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCOC)CC1 ZINC000802499438 1072687692 /nfs/dbraw/zinc/68/76/92/1072687692.db2.gz BUIXSCYEISKENH-UHFFFAOYSA-N 0 0 432.547 -0.449 20 0 IBADRN COCCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(CCOC)C(=O)C1 ZINC000802500213 1072687592 /nfs/dbraw/zinc/68/75/92/1072687592.db2.gz PSLIEGZGQSORLJ-UHFFFAOYSA-N 0 0 427.469 -0.138 20 0 IBADRN COCCCS(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCOC)CC1 ZINC000802501236 1072687778 /nfs/dbraw/zinc/68/77/78/1072687778.db2.gz WBUQLFPYYFSION-UHFFFAOYSA-N 0 0 444.558 -0.351 20 0 IBADRN COCCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC000802506786 1072687733 /nfs/dbraw/zinc/68/77/33/1072687733.db2.gz YWOSXTHEZIFTDR-UHFFFAOYSA-N 0 0 440.512 -0.223 20 0 IBADRN COCCn1c(Cc2ccccn2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000802507504 1072687755 /nfs/dbraw/zinc/68/77/55/1072687755.db2.gz IZGFWAKABNXANT-UHFFFAOYSA-N 0 0 429.525 -0.109 20 0 IBADRN COCCn1c(Cc2ccccc2F)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802508896 1072687681 /nfs/dbraw/zinc/68/76/81/1072687681.db2.gz DCLUPVHOIYXBTE-UHFFFAOYSA-N 0 0 429.460 -0.010 20 0 IBADRN COCCn1c(-c2ccccc2OC)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802509419 1072687775 /nfs/dbraw/zinc/68/77/75/1072687775.db2.gz KRKULIRIKHBMSW-UHFFFAOYSA-N 0 0 427.469 -0.065 20 0 IBADRN COCCn1c(COC)nnc1N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000802510037 1072687705 /nfs/dbraw/zinc/68/77/05/1072687705.db2.gz OSEPEAZKXDVDKO-GASCZTMLSA-N 0 0 432.547 -0.453 20 0 IBADRN COCCn1c(COC)nnc1N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000802510038 1072687654 /nfs/dbraw/zinc/68/76/54/1072687654.db2.gz OSEPEAZKXDVDKO-GJZGRUSLSA-N 0 0 432.547 -0.453 20 0 IBADRN COCCn1c(COC)nnc1N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000802510039 1072688224 /nfs/dbraw/zinc/68/82/24/1072688224.db2.gz OSEPEAZKXDVDKO-HUUCEWRRSA-N 0 0 432.547 -0.453 20 0 IBADRN COCCn1c(Cc2ccc(F)cc2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802510599 1072688128 /nfs/dbraw/zinc/68/81/28/1072688128.db2.gz UJJLSVXCZJXIJJ-UHFFFAOYSA-N 0 0 429.460 -0.010 20 0 IBADRN COCCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC000802513372 1072688233 /nfs/dbraw/zinc/68/82/33/1072688233.db2.gz IHJMLYQCFBJBCR-KRWDZBQOSA-N 0 0 427.469 -0.092 20 0 IBADRN COCCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC000802513373 1072688159 /nfs/dbraw/zinc/68/81/59/1072688159.db2.gz IHJMLYQCFBJBCR-QGZVFWFLSA-N 0 0 427.469 -0.092 20 0 IBADRN COCCn1c(-c2cccc(C)n2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000802523140 1072688257 /nfs/dbraw/zinc/68/82/57/1072688257.db2.gz LYNSCWMFAZDBBW-UHFFFAOYSA-N 0 0 441.496 -0.238 20 0 IBADRN COCCn1c(-c2ccccn2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000802523799 1072688265 /nfs/dbraw/zinc/68/82/65/1072688265.db2.gz ZIJFFIZEWWLGHC-UHFFFAOYSA-N 0 0 427.469 -0.547 20 0 IBADRN COCCn1c([C@@H]2Cc3ccccc3O2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802527517 1072688229 /nfs/dbraw/zinc/68/82/29/1072688229.db2.gz CVIPNZOPDAQWOW-KRWDZBQOSA-N 0 0 439.480 -0.064 20 0 IBADRN COCCn1c([C@H]2Cc3ccccc3O2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802527520 1072688197 /nfs/dbraw/zinc/68/81/97/1072688197.db2.gz CVIPNZOPDAQWOW-QGZVFWFLSA-N 0 0 439.480 -0.064 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCOC)CC1 ZINC000802528359 1072688252 /nfs/dbraw/zinc/68/82/52/1072688252.db2.gz XFNUTHHLHBBENY-UHFFFAOYSA-N 0 0 443.574 -0.131 20 0 IBADRN COCCn1c(CCOc2ccccc2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000802528776 1072688214 /nfs/dbraw/zinc/68/82/14/1072688214.db2.gz JNKOQLHJLYVOQH-UHFFFAOYSA-N 0 0 441.496 -0.119 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCOC ZINC000802529172 1072688146 /nfs/dbraw/zinc/68/81/46/1072688146.db2.gz SQEXBMBGHRFMDE-UHFFFAOYSA-N 0 0 434.505 -0.822 20 0 IBADRN COCCn1c(C2CCC2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000802529411 1072688061 /nfs/dbraw/zinc/68/80/61/1072688061.db2.gz CCVWYAAITFLWGD-HNNXBMFYSA-N 0 0 447.583 -0.169 20 0 IBADRN COCCn1c(C2CCC2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000802529412 1072688137 /nfs/dbraw/zinc/68/81/37/1072688137.db2.gz CCVWYAAITFLWGD-OAHLLOKOSA-N 0 0 447.583 -0.169 20 0 IBADRN COCCn1c(C(C)C)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000802529984 1072688173 /nfs/dbraw/zinc/68/81/73/1072688173.db2.gz OKNTVKXVLPFYNE-AWEZNQCLSA-N 0 0 435.572 -0.313 20 0 IBADRN COCCn1c(C(C)C)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000802529988 1072688116 /nfs/dbraw/zinc/68/81/16/1072688116.db2.gz OKNTVKXVLPFYNE-CQSZACIVSA-N 0 0 435.572 -0.313 20 0 IBADRN COCCn1c(-c2ccc(OC)cc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000802540113 1072688181 /nfs/dbraw/zinc/68/81/81/1072688181.db2.gz OTVLJHFLXVETTA-CYBMUJFWSA-N 0 0 430.465 -0.244 20 0 IBADRN COCCn1c(-c2ccc(OC)cc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000802540115 1072688221 /nfs/dbraw/zinc/68/82/21/1072688221.db2.gz OTVLJHFLXVETTA-ZDUSSCGKSA-N 0 0 430.465 -0.244 20 0 IBADRN COC(=O)c1c(F)cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1F ZINC000802553875 1072688755 /nfs/dbraw/zinc/68/87/55/1072688755.db2.gz WDTAHEIJLWZHAX-UHFFFAOYSA-N 0 0 435.405 -0.532 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCO[C@@H](C(F)F)C1 ZINC000802584174 1072688742 /nfs/dbraw/zinc/68/87/42/1072688742.db2.gz ADTWWWWLNJKWFD-CYBMUJFWSA-N 0 0 446.432 -0.762 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCO[C@H](C(F)F)C1 ZINC000802584175 1072688620 /nfs/dbraw/zinc/68/86/20/1072688620.db2.gz ADTWWWWLNJKWFD-ZDUSSCGKSA-N 0 0 446.432 -0.762 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@H](C(F)F)C3)cn2)C[C@@H](C)O1 ZINC000802584278 1072688738 /nfs/dbraw/zinc/68/87/38/1072688738.db2.gz VGTKBDQSEYJNKA-BZPMIXESSA-N 0 0 429.424 -0.050 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@@H](C(F)F)C3)cn2)C[C@H](C)O1 ZINC000802584279 1072688736 /nfs/dbraw/zinc/68/87/36/1072688736.db2.gz VGTKBDQSEYJNKA-MBNYWOFBSA-N 0 0 429.424 -0.050 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@H](C(F)F)C3)cn2)C[C@H](C)O1 ZINC000802584280 1072688726 /nfs/dbraw/zinc/68/87/26/1072688726.db2.gz VGTKBDQSEYJNKA-SCRDCRAPSA-N 0 0 429.424 -0.050 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)C(=O)N3CCO[C@@H](C(F)F)C3)cn2)C[C@@H](C)O1 ZINC000802584281 1072688588 /nfs/dbraw/zinc/68/85/88/1072688588.db2.gz VGTKBDQSEYJNKA-YRGRVCCFSA-N 0 0 429.424 -0.050 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@@H](C(F)F)C3)cn2)CC1 ZINC000802589184 1072688731 /nfs/dbraw/zinc/68/87/31/1072688731.db2.gz YPJIOEQPJQQOSI-CYBMUJFWSA-N 0 0 447.464 -0.406 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@H](C(F)F)C3)cn2)CC1 ZINC000802589185 1072688696 /nfs/dbraw/zinc/68/86/96/1072688696.db2.gz YPJIOEQPJQQOSI-ZDUSSCGKSA-N 0 0 447.464 -0.406 20 0 IBADRN COc1cc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c(C(=O)N(C)C)cc1OC ZINC000802593255 1072688653 /nfs/dbraw/zinc/68/86/53/1072688653.db2.gz FYMCTOGMCHNSLC-UHFFFAOYSA-N 0 0 442.494 -0.460 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NC1CC(NS(C)(=O)=O)C1 ZINC000802593267 1072688681 /nfs/dbraw/zinc/68/86/81/1072688681.db2.gz FZNGYWSKOXRLBZ-UHFFFAOYSA-N 0 0 444.535 -0.978 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000802593551 1072688749 /nfs/dbraw/zinc/68/87/49/1072688749.db2.gz KDICKLKBVGMJQO-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(N3CCC(C(N)=O)CC3)cc2)C1 ZINC000802593631 1072689286 /nfs/dbraw/zinc/68/92/86/1072689286.db2.gz MRGPFDXPYWYVQO-UHFFFAOYSA-N 0 0 437.522 -0.477 20 0 IBADRN COc1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1N1CCOC1=O ZINC000802593655 1072689322 /nfs/dbraw/zinc/68/93/22/1072689322.db2.gz NMGOMHXIDIMLFR-UHFFFAOYSA-N 0 0 426.451 -0.213 20 0 IBADRN COCC[S@](=O)Cc1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000802593791 1072689328 /nfs/dbraw/zinc/68/93/28/1072689328.db2.gz RTYWIVWGTKXXKZ-GAYSSHTFSA-N 0 0 431.536 -0.283 20 0 IBADRN COCC[S@@](=O)Cc1cccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)c1 ZINC000802593792 1072689213 /nfs/dbraw/zinc/68/92/13/1072689213.db2.gz RTYWIVWGTKXXKZ-UJDAQITMSA-N 0 0 431.536 -0.283 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)C1 ZINC000802593817 1072689314 /nfs/dbraw/zinc/68/93/14/1072689314.db2.gz TYWDBEUEQPQSDN-UHFFFAOYSA-N 0 0 443.913 -0.589 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C1 ZINC000802593894 1072689202 /nfs/dbraw/zinc/68/92/02/1072689202.db2.gz WMDBNPDBJJNAQT-UHFFFAOYSA-N 0 0 425.435 -0.182 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)C1 ZINC000802593981 1072688722 /nfs/dbraw/zinc/68/87/22/1072688722.db2.gz ZJQRFFQTXDTHNQ-UHFFFAOYSA-N 0 0 425.435 -0.182 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NC2CC(NS(C)(=O)=O)C2)cc1 ZINC000802593998 1072688704 /nfs/dbraw/zinc/68/87/04/1072688704.db2.gz ZWUBVAHMWIPOMQ-UHFFFAOYSA-N 0 0 434.496 -0.997 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3C[C@@H]4COC[C@H](C3)O4)cc2)CC1 ZINC000802607753 1072689186 /nfs/dbraw/zinc/68/91/86/1072689186.db2.gz CNNRXSITODPDFW-HDICACEKSA-N 0 0 432.477 -0.454 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCCC(=O)OC3CCCCC3)cnc2n(C)c1=O ZINC000802612056 1072689133 /nfs/dbraw/zinc/68/91/33/1072689133.db2.gz DHGJECNVZMVAJR-UHFFFAOYSA-N 0 0 431.449 -0.047 20 0 IBADRN CCOCCCn1c(C)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000802613646 1072689303 /nfs/dbraw/zinc/68/93/03/1072689303.db2.gz JPDCXOAFRNBFMY-HNNXBMFYSA-N 0 0 435.572 -0.348 20 0 IBADRN CCOCCCn1c(C)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000802613647 1072689293 /nfs/dbraw/zinc/68/92/93/1072689293.db2.gz JPDCXOAFRNBFMY-OAHLLOKOSA-N 0 0 435.572 -0.348 20 0 IBADRN CCOCCCn1c(CC)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000802613900 1072689233 /nfs/dbraw/zinc/68/92/33/1072689233.db2.gz QEJCALCXODWPKN-HNNXBMFYSA-N 0 0 449.599 -0.094 20 0 IBADRN CCOCCCn1c(CC)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000802613901 1072689280 /nfs/dbraw/zinc/68/92/80/1072689280.db2.gz QEJCALCXODWPKN-OAHLLOKOSA-N 0 0 449.599 -0.094 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)CC1=O ZINC000802617659 1072689169 /nfs/dbraw/zinc/68/91/69/1072689169.db2.gz VNMDKXWFZNFQDA-UHFFFAOYSA-N 0 0 447.492 -0.805 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2cc(Cl)ccc2OCCS(C)(=O)=O)CC1=O ZINC000802617669 1072689272 /nfs/dbraw/zinc/68/92/72/1072689272.db2.gz VWKAEUNGKPJEGU-UHFFFAOYSA-N 0 0 447.897 -0.023 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000802628513 1072689331 /nfs/dbraw/zinc/68/93/31/1072689331.db2.gz REQVDSZKPYHUHA-UHFFFAOYSA-N 0 0 449.533 -0.412 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1=O ZINC000802631254 1072689341 /nfs/dbraw/zinc/68/93/41/1072689341.db2.gz ARNBZMIHOLFRRX-KRWDZBQOSA-N 0 0 431.493 -0.343 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCN(CC(=O)OC)CC3)cc2)C1=O ZINC000802631255 1072689659 /nfs/dbraw/zinc/68/96/59/1072689659.db2.gz ARNBZMIHOLFRRX-QGZVFWFLSA-N 0 0 431.493 -0.343 20 0 IBADRN CCN1CC[C@H](NC(=O)C(=O)Nc2sc(C(N)=O)c(C)c2C(=O)OCCOC)C1=O ZINC000802633491 1072689696 /nfs/dbraw/zinc/68/96/96/1072689696.db2.gz RVPZLENTMKUKKV-JTQLQIEISA-N 0 0 440.478 -0.366 20 0 IBADRN CCN1CC[C@@H](NC(=O)C(=O)Nc2sc(C(N)=O)c(C)c2C(=O)OCCOC)C1=O ZINC000802633500 1072689783 /nfs/dbraw/zinc/68/97/83/1072689783.db2.gz RVPZLENTMKUKKV-SNVBAGLBSA-N 0 0 440.478 -0.366 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000802637333 1072689719 /nfs/dbraw/zinc/68/97/19/1072689719.db2.gz AELDKPYUIKFUNR-UHFFFAOYSA-N 0 0 447.473 -0.597 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CN3C(=O)CCC3=O)C2=O)cc1 ZINC000802637913 1072689732 /nfs/dbraw/zinc/68/97/32/1072689732.db2.gz DBAZQORACASEFR-IBGZPJMESA-N 0 0 432.389 -0.814 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CN3C(=O)CCC3=O)C2=O)cc1 ZINC000802637914 1072689650 /nfs/dbraw/zinc/68/96/50/1072689650.db2.gz DBAZQORACASEFR-LJQANCHMSA-N 0 0 432.389 -0.814 20 0 IBADRN O=C(COC(=O)CN1C(=O)CCC1=O)NCCN1C(=O)S/C(=C\c2cccnc2)C1=O ZINC000802638281 1072689727 /nfs/dbraw/zinc/68/97/27/1072689727.db2.gz IQXMCBVPHOGAIL-JYRVWZFOSA-N 0 0 446.441 -0.074 20 0 IBADRN O=C(COC(=O)CN1C(=O)CCC1=O)NCCN1C(=O)S/C(=C/c2cccnc2)C1=O ZINC000802638282 1072689753 /nfs/dbraw/zinc/68/97/53/1072689753.db2.gz IQXMCBVPHOGAIL-MDWZMJQESA-N 0 0 446.441 -0.074 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000802638328 1072689777 /nfs/dbraw/zinc/68/97/77/1072689777.db2.gz MTZGTJAXPWUMCP-UHFFFAOYSA-N 0 0 434.430 -0.051 20 0 IBADRN COC(=O)c1sccc1NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000802638478 1072689714 /nfs/dbraw/zinc/68/97/14/1072689714.db2.gz ONCJFEHNFHUQJB-UHFFFAOYSA-N 0 0 426.432 -0.014 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000802638640 1072689707 /nfs/dbraw/zinc/68/97/07/1072689707.db2.gz ROUUNSSCIBVOPT-UHFFFAOYSA-N 0 0 440.459 -0.459 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)C1 ZINC000802639992 1072689740 /nfs/dbraw/zinc/68/97/40/1072689740.db2.gz HMUNSYJEJRVQQD-YMAMQOFZSA-N 0 0 426.495 -0.257 20 0 IBADRN Cc1cc(NC(=O)COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)n(C)n1 ZINC000802656351 1072689682 /nfs/dbraw/zinc/68/96/82/1072689682.db2.gz IOPJUZJSIROOQH-UHFFFAOYSA-N 0 0 437.478 -0.109 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)Cn2ccccc2=O)CC1 ZINC000802658226 1072689663 /nfs/dbraw/zinc/68/96/63/1072689663.db2.gz OYRGOJMOIYRQIS-UHFFFAOYSA-N 0 0 438.462 -0.471 20 0 IBADRN COCc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1CC(C)C ZINC000802670920 1072689674 /nfs/dbraw/zinc/68/96/74/1072689674.db2.gz MYBMVXDHPNQWJY-AWEZNQCLSA-N 0 0 435.572 -0.281 20 0 IBADRN COCc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1CC(C)C ZINC000802670922 1072689792 /nfs/dbraw/zinc/68/97/92/1072689792.db2.gz MYBMVXDHPNQWJY-CQSZACIVSA-N 0 0 435.572 -0.281 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CNC(=O)C(C)(C)C)CC1 ZINC000802671848 1072689759 /nfs/dbraw/zinc/68/97/59/1072689759.db2.gz WBAIZOJLBJXVKB-UHFFFAOYSA-N 0 0 444.510 -0.170 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000802676967 1072689605 /nfs/dbraw/zinc/68/96/05/1072689605.db2.gz NVUKUQGFEMLHLK-HNNXBMFYSA-N 0 0 442.494 -0.322 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCCO1 ZINC000802683604 1072689770 /nfs/dbraw/zinc/68/97/70/1072689770.db2.gz XWMRMPYTQCPXJA-CABCVRRESA-N 0 0 447.583 -0.342 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCCO1 ZINC000802683605 1072689632 /nfs/dbraw/zinc/68/96/32/1072689632.db2.gz XWMRMPYTQCPXJA-GJZGRUSLSA-N 0 0 447.583 -0.342 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCCO1 ZINC000802683606 1072690197 /nfs/dbraw/zinc/69/01/97/1072690197.db2.gz XWMRMPYTQCPXJA-HUUCEWRRSA-N 0 0 447.583 -0.342 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCCO1 ZINC000802683607 1072690367 /nfs/dbraw/zinc/69/03/67/1072690367.db2.gz XWMRMPYTQCPXJA-LSDHHAIUSA-N 0 0 447.583 -0.342 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CN2CCCC2=O)CC1 ZINC000802701149 1072690330 /nfs/dbraw/zinc/69/03/30/1072690330.db2.gz HEEMMLSTJZZZAW-UHFFFAOYSA-N 0 0 428.467 -0.710 20 0 IBADRN C[C@]1(C2CCCC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000802724055 1072690302 /nfs/dbraw/zinc/69/03/02/1072690302.db2.gz LFZPCGBRPCZZJR-GOSISDBHSA-N 0 0 429.543 -0.361 20 0 IBADRN C[C@@]1(C2CCCC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000802724056 1072690228 /nfs/dbraw/zinc/69/02/28/1072690228.db2.gz LFZPCGBRPCZZJR-SFHVURJKSA-N 0 0 429.543 -0.361 20 0 IBADRN CCC[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000802724920 1072690215 /nfs/dbraw/zinc/69/02/15/1072690215.db2.gz YTSPJIQKBJSDIB-GOSISDBHSA-N 0 0 429.543 -0.361 20 0 IBADRN CCC[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC000802724921 1072690322 /nfs/dbraw/zinc/69/03/22/1072690322.db2.gz YTSPJIQKBJSDIB-SFHVURJKSA-N 0 0 429.543 -0.361 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)N[C@@](C)(C4CCCC4)C3=O)CC2)CC1 ZINC000802725333 1072690350 /nfs/dbraw/zinc/69/03/50/1072690350.db2.gz FWOUAECIDDNYSW-IBGZPJMESA-N 0 0 442.586 -0.446 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)N[C@](C)(C4CCCC4)C3=O)CC2)CC1 ZINC000802725335 1072690132 /nfs/dbraw/zinc/69/01/32/1072690132.db2.gz FWOUAECIDDNYSW-LJQANCHMSA-N 0 0 442.586 -0.446 20 0 IBADRN CCC[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000802726016 1072690141 /nfs/dbraw/zinc/69/01/41/1072690141.db2.gz PXFFORPFOCIOQS-IBGZPJMESA-N 0 0 442.586 -0.446 20 0 IBADRN CCC[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1=O ZINC000802726017 1072690168 /nfs/dbraw/zinc/69/01/68/1072690168.db2.gz PXFFORPFOCIOQS-LJQANCHMSA-N 0 0 442.586 -0.446 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OC2CCN(S(=O)(=O)CCCOC)CC2)CC1 ZINC000802777704 1072690837 /nfs/dbraw/zinc/69/08/37/1072690837.db2.gz XTPQOAFTZZOTCD-UHFFFAOYSA-N 0 0 441.572 -0.464 20 0 IBADRN O=C(CCc1cccc(CCC(=O)N[C@H]2CCC(=O)NC2=O)c1)N[C@H]1CCC(=O)NC1=O ZINC000802806474 1072690754 /nfs/dbraw/zinc/69/07/54/1072690754.db2.gz TVTDICATNPAEHL-HOTGVXAUSA-N 0 0 442.472 -0.605 20 0 IBADRN O=C(CCc1cccc(CCC(=O)N[C@@H]2CCC(=O)NC2=O)c1)N[C@@H]1CCC(=O)NC1=O ZINC000802806475 1072690748 /nfs/dbraw/zinc/69/07/48/1072690748.db2.gz TVTDICATNPAEHL-HZPDHXFCSA-N 0 0 442.472 -0.605 20 0 IBADRN O=C(CCc1cccc(CCC(=O)N[C@H]2CCC(=O)NC2=O)c1)N[C@@H]1CCC(=O)NC1=O ZINC000802806476 1072690720 /nfs/dbraw/zinc/69/07/20/1072690720.db2.gz TVTDICATNPAEHL-IYBDPMFKSA-N 0 0 442.472 -0.605 20 0 IBADRN Cc1nc(COc2ccc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)cc2)no1 ZINC000802842398 1072690781 /nfs/dbraw/zinc/69/07/81/1072690781.db2.gz ALLGCLZKPUSHMJ-UHFFFAOYSA-N 0 0 429.389 -0.024 20 0 IBADRN Cc1nc(COc2ccccc2C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)no1 ZINC000802843150 1072690814 /nfs/dbraw/zinc/69/08/14/1072690814.db2.gz ZNYQTPIKKLYLMD-UHFFFAOYSA-N 0 0 429.389 -0.024 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN(C(=O)CO)CC2)(S(C)(=O)=O)CC1 ZINC000802897701 1072692117 /nfs/dbraw/zinc/69/21/17/1072692117.db2.gz NTNTVFXGSHETDJ-UHFFFAOYSA-N 0 0 433.527 -0.536 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000802915990 1072692657 /nfs/dbraw/zinc/69/26/57/1072692657.db2.gz JHERPZRNVSPJDI-UHFFFAOYSA-N 0 0 449.489 -0.183 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000802917740 1072692693 /nfs/dbraw/zinc/69/26/93/1072692693.db2.gz JWYUCEXYLBUESN-UHFFFAOYSA-N 0 0 435.462 -0.573 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000802917985 1072692671 /nfs/dbraw/zinc/69/26/71/1072692671.db2.gz OTGRCECNDOOSBJ-UHFFFAOYSA-N 0 0 435.462 -0.573 20 0 IBADRN CN1C[C@@H](COC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)OC1=O ZINC000802930478 1072692667 /nfs/dbraw/zinc/69/26/67/1072692667.db2.gz GBZUUTLBXQLKKQ-GXTWGEPZSA-N 0 0 434.492 -0.238 20 0 IBADRN CN1C[C@@H](COC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)OC1=O ZINC000802930479 1072692558 /nfs/dbraw/zinc/69/25/58/1072692558.db2.gz GBZUUTLBXQLKKQ-JSGCOSHPSA-N 0 0 434.492 -0.238 20 0 IBADRN CN1C[C@H](COC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)OC1=O ZINC000802930480 1072692630 /nfs/dbraw/zinc/69/26/30/1072692630.db2.gz GBZUUTLBXQLKKQ-OCCSQVGLSA-N 0 0 434.492 -0.238 20 0 IBADRN CN1C[C@H](COC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)OC1=O ZINC000802930481 1072692662 /nfs/dbraw/zinc/69/26/62/1072692662.db2.gz GBZUUTLBXQLKKQ-TZMCWYRMSA-N 0 0 434.492 -0.238 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)C2(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000802951889 1072692696 /nfs/dbraw/zinc/69/26/96/1072692696.db2.gz OSTHIMPCGMEDFN-AWEZNQCLSA-N 0 0 447.554 -0.148 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)C2(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000802951890 1072692683 /nfs/dbraw/zinc/69/26/83/1072692683.db2.gz OSTHIMPCGMEDFN-CQSZACIVSA-N 0 0 447.554 -0.148 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NCC(=O)N2CCOCC2)(S(C)(=O)=O)CC1 ZINC000803037834 1072694020 /nfs/dbraw/zinc/69/40/20/1072694020.db2.gz KPJXPXTVDUSLNQ-UHFFFAOYSA-N 0 0 433.527 -0.224 20 0 IBADRN C[C@]12CCC(=O)N1[C@H](C(=O)OCC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)CS2 ZINC000803048743 1072694100 /nfs/dbraw/zinc/69/41/00/1072694100.db2.gz JEMJFBLHOXWEJU-DEYYWGMASA-N 0 0 445.563 -0.685 20 0 IBADRN C[C@]12CCC(=O)N1[C@H](C(=O)OCC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)CS2 ZINC000803048744 1072694054 /nfs/dbraw/zinc/69/40/54/1072694054.db2.gz JEMJFBLHOXWEJU-GLJUWKHASA-N 0 0 445.563 -0.685 20 0 IBADRN C[C@]12CCC(=O)N1[C@@H](C(=O)OCC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)CS2 ZINC000803048745 1072694108 /nfs/dbraw/zinc/69/41/08/1072694108.db2.gz JEMJFBLHOXWEJU-IYOUNJFTSA-N 0 0 445.563 -0.685 20 0 IBADRN C[C@]12CCC(=O)N1[C@@H](C(=O)OCC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)CS2 ZINC000803048746 1072694546 /nfs/dbraw/zinc/69/45/46/1072694546.db2.gz JEMJFBLHOXWEJU-LBTNJELSSA-N 0 0 445.563 -0.685 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NCCN2CCNC(=O)C2)(S(C)(=O)=O)CC1 ZINC000803094862 1072694561 /nfs/dbraw/zinc/69/45/61/1072694561.db2.gz UKXOZKHMXUHAKV-UHFFFAOYSA-N 0 0 432.543 -0.651 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000803095356 1072694555 /nfs/dbraw/zinc/69/45/55/1072694555.db2.gz LRXPVDDRUPWTQR-CYBMUJFWSA-N 0 0 444.514 -0.336 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000803095357 1072694518 /nfs/dbraw/zinc/69/45/18/1072694518.db2.gz LRXPVDDRUPWTQR-ZDUSSCGKSA-N 0 0 444.514 -0.336 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C2(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000803095671 1072694578 /nfs/dbraw/zinc/69/45/78/1072694578.db2.gz TVUMUQYBBJNQPO-CYBMUJFWSA-N 0 0 433.527 -0.226 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C2(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC2)CCO1 ZINC000803095672 1072694461 /nfs/dbraw/zinc/69/44/61/1072694461.db2.gz TVUMUQYBBJNQPO-ZDUSSCGKSA-N 0 0 433.527 -0.226 20 0 IBADRN O=C(NCCN(CCN1CCOCC1)C(=O)[C@@H](O)c1cccnc1)[C@@H](O)c1cccnc1 ZINC000803108478 1072694473 /nfs/dbraw/zinc/69/44/73/1072694473.db2.gz DIXKWMDWISNSBY-PMACEKPBSA-N 0 0 443.504 -0.479 20 0 IBADRN O=C(NCCN(CCN1CCOCC1)C(=O)[C@@H](O)c1cccnc1)[C@H](O)c1cccnc1 ZINC000803108483 1072694570 /nfs/dbraw/zinc/69/45/70/1072694570.db2.gz DIXKWMDWISNSBY-UXHICEINSA-N 0 0 443.504 -0.479 20 0 IBADRN O=C(NCCN(CCN1CCOCC1)C(=O)[C@H](O)c1cccnc1)[C@@H](O)c1cccnc1 ZINC000803108485 1072694407 /nfs/dbraw/zinc/69/44/07/1072694407.db2.gz DIXKWMDWISNSBY-VQTJNVASSA-N 0 0 443.504 -0.479 20 0 IBADRN O=C(NCCN(CCN1CCOCC1)C(=O)[C@H](O)c1cccnc1)[C@H](O)c1cccnc1 ZINC000803108486 1072694574 /nfs/dbraw/zinc/69/45/74/1072694574.db2.gz DIXKWMDWISNSBY-WOJBJXKFSA-N 0 0 443.504 -0.479 20 0 IBADRN CNC(=O)C1CN(C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N2CC(C(=O)NC)C2)C1 ZINC000803112958 1072694506 /nfs/dbraw/zinc/69/45/06/1072694506.db2.gz IGBSLTUHBHYXHH-AWEZNQCLSA-N 0 0 439.513 -0.931 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000803117309 1072694528 /nfs/dbraw/zinc/69/45/28/1072694528.db2.gz OEKAWYVAGLCPEJ-AWEZNQCLSA-N 0 0 447.517 -0.593 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000803117310 1072695096 /nfs/dbraw/zinc/69/50/96/1072695096.db2.gz OEKAWYVAGLCPEJ-CQSZACIVSA-N 0 0 447.517 -0.593 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000803118562 1072695006 /nfs/dbraw/zinc/69/50/06/1072695006.db2.gz VYYGQDKIHQCNCI-CABCVRRESA-N 0 0 425.530 -0.219 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000803118563 1072694935 /nfs/dbraw/zinc/69/49/35/1072694935.db2.gz VYYGQDKIHQCNCI-GJZGRUSLSA-N 0 0 425.530 -0.219 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000803118564 1072695054 /nfs/dbraw/zinc/69/50/54/1072695054.db2.gz VYYGQDKIHQCNCI-HUUCEWRRSA-N 0 0 425.530 -0.219 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000803118565 1072695101 /nfs/dbraw/zinc/69/51/01/1072695101.db2.gz VYYGQDKIHQCNCI-LSDHHAIUSA-N 0 0 425.530 -0.219 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000803118679 1072695015 /nfs/dbraw/zinc/69/50/15/1072695015.db2.gz ZELPKVKHUVPYDQ-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000803118680 1072695123 /nfs/dbraw/zinc/69/51/23/1072695123.db2.gz ZELPKVKHUVPYDQ-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803118769 1072695030 /nfs/dbraw/zinc/69/50/30/1072695030.db2.gz CNICWAVYHXWGIH-JTQLQIEISA-N 0 0 428.433 -0.456 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803118770 1072695058 /nfs/dbraw/zinc/69/50/58/1072695058.db2.gz CNICWAVYHXWGIH-SNVBAGLBSA-N 0 0 428.433 -0.456 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803122540 1072694957 /nfs/dbraw/zinc/69/49/57/1072694957.db2.gz SCORYEHFBXTYDU-LLVKDONJSA-N 0 0 442.460 -0.209 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803122541 1072695113 /nfs/dbraw/zinc/69/51/13/1072695113.db2.gz SCORYEHFBXTYDU-NSHDSACASA-N 0 0 442.460 -0.209 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000803122793 1072695046 /nfs/dbraw/zinc/69/50/46/1072695046.db2.gz YTRCDGUOXWNEPY-AWEZNQCLSA-N 0 0 439.513 -0.691 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000803122794 1072695090 /nfs/dbraw/zinc/69/50/90/1072695090.db2.gz YTRCDGUOXWNEPY-CQSZACIVSA-N 0 0 439.513 -0.691 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C ZINC000803125367 1072694975 /nfs/dbraw/zinc/69/49/75/1072694975.db2.gz KCKLTYGJYKYGDM-UHFFFAOYSA-N 0 0 433.552 -0.070 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCc1ccccc1S(=O)(=O)NC(C)(C)C ZINC000803126099 1072694998 /nfs/dbraw/zinc/69/49/98/1072694998.db2.gz RQMDYVDIEPKCHK-UHFFFAOYSA-N 0 0 433.552 -0.117 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1 ZINC000803131204 1072695076 /nfs/dbraw/zinc/69/50/76/1072695076.db2.gz KQYDVVUERSNDKP-INIZCTEOSA-N 0 0 425.507 -0.238 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCc1cccc(C(=O)NC[C@H]2CCCO2)c1 ZINC000803131205 1072695539 /nfs/dbraw/zinc/69/55/39/1072695539.db2.gz KQYDVVUERSNDKP-MRXNPFEDSA-N 0 0 425.507 -0.238 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1 ZINC000803131608 1072695085 /nfs/dbraw/zinc/69/50/85/1072695085.db2.gz RSCAWSWMZCMECU-INIZCTEOSA-N 0 0 425.507 -0.285 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCc1cccc(C(=O)NC[C@H]2CCCO2)c1 ZINC000803131609 1072695118 /nfs/dbraw/zinc/69/51/18/1072695118.db2.gz RSCAWSWMZCMECU-MRXNPFEDSA-N 0 0 425.507 -0.285 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000803131757 1072695108 /nfs/dbraw/zinc/69/51/08/1072695108.db2.gz CEOAJZJAIUOUDT-AWEZNQCLSA-N 0 0 432.543 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000803131758 1072695067 /nfs/dbraw/zinc/69/50/67/1072695067.db2.gz CEOAJZJAIUOUDT-CQSZACIVSA-N 0 0 432.543 -0.147 20 0 IBADRN CN1C(=O)c2ccc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)cc2C1=O ZINC000803132422 1072695623 /nfs/dbraw/zinc/69/56/23/1072695623.db2.gz ZQERFQLRWYKUMQ-AWEZNQCLSA-N 0 0 449.485 -0.600 20 0 IBADRN CN1C(=O)c2ccc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)cc2C1=O ZINC000803132423 1072695495 /nfs/dbraw/zinc/69/54/95/1072695495.db2.gz ZQERFQLRWYKUMQ-CQSZACIVSA-N 0 0 449.485 -0.600 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000803135541 1072695516 /nfs/dbraw/zinc/69/55/16/1072695516.db2.gz NORYMERPZXEQRT-CYBMUJFWSA-N 0 0 432.543 -0.243 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](CNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000803135542 1072695504 /nfs/dbraw/zinc/69/55/04/1072695504.db2.gz NORYMERPZXEQRT-ZDUSSCGKSA-N 0 0 432.543 -0.243 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000803136709 1072695616 /nfs/dbraw/zinc/69/56/16/1072695616.db2.gz KLHAHEXBJQDJBL-DLBZAZTESA-N 0 0 442.557 -0.099 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000803136710 1072695631 /nfs/dbraw/zinc/69/56/31/1072695631.db2.gz KLHAHEXBJQDJBL-IAGOWNOFSA-N 0 0 442.557 -0.099 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000803136711 1072695609 /nfs/dbraw/zinc/69/56/09/1072695609.db2.gz KLHAHEXBJQDJBL-IRXDYDNUSA-N 0 0 442.557 -0.099 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC000803136712 1072695580 /nfs/dbraw/zinc/69/55/80/1072695580.db2.gz KLHAHEXBJQDJBL-SJORKVTESA-N 0 0 442.557 -0.099 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C1 ZINC000803137266 1072695604 /nfs/dbraw/zinc/69/56/04/1072695604.db2.gz IAGPWTSVDIHVKN-HNNXBMFYSA-N 0 0 431.536 -0.742 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C1 ZINC000803137269 1072695574 /nfs/dbraw/zinc/69/55/74/1072695574.db2.gz IAGPWTSVDIHVKN-OAHLLOKOSA-N 0 0 431.536 -0.742 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)[C@@H](C)C1 ZINC000803137387 1072695544 /nfs/dbraw/zinc/69/55/44/1072695544.db2.gz KQDAQWFVIYZZBJ-INIZCTEOSA-N 0 0 439.534 -0.187 20 0 IBADRN COC(=O)CCN1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)[C@H](C)C1 ZINC000803137388 1072695566 /nfs/dbraw/zinc/69/55/66/1072695566.db2.gz KQDAQWFVIYZZBJ-MRXNPFEDSA-N 0 0 439.534 -0.187 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000803137514 1072695637 /nfs/dbraw/zinc/69/56/37/1072695637.db2.gz LYNJOQRRUICHGN-UHFFFAOYSA-N 0 0 438.550 -0.660 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000803137594 1072695593 /nfs/dbraw/zinc/69/55/93/1072695593.db2.gz NNSJRGQJTWGFCU-UHFFFAOYSA-N 0 0 438.550 -0.614 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000803138365 1072696018 /nfs/dbraw/zinc/69/60/18/1072696018.db2.gz DBBIXZJKUWLKPZ-CYBMUJFWSA-N 0 0 435.424 -0.003 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000803138371 1072696070 /nfs/dbraw/zinc/69/60/70/1072696070.db2.gz DBBIXZJKUWLKPZ-ZDUSSCGKSA-N 0 0 435.424 -0.003 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000803138462 1072696104 /nfs/dbraw/zinc/69/61/04/1072696104.db2.gz DVRHYAUENGEFOF-INIZCTEOSA-N 0 0 426.491 -0.244 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000803138463 1072695980 /nfs/dbraw/zinc/69/59/80/1072695980.db2.gz DVRHYAUENGEFOF-MRXNPFEDSA-N 0 0 426.491 -0.244 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1=O ZINC000803138511 1072696053 /nfs/dbraw/zinc/69/60/53/1072696053.db2.gz GHDIHHMEXHPDMD-UHFFFAOYSA-N 0 0 425.507 -0.575 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000803138781 1072695990 /nfs/dbraw/zinc/69/59/90/1072695990.db2.gz KHEMWDRQPUMRHK-KRWDZBQOSA-N 0 0 437.518 -0.433 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000803138782 1072696050 /nfs/dbraw/zinc/69/60/50/1072696050.db2.gz KHEMWDRQPUMRHK-QGZVFWFLSA-N 0 0 437.518 -0.433 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)CC2)n1 ZINC000803138862 1072695569 /nfs/dbraw/zinc/69/55/69/1072695569.db2.gz MKPDVAGXMIILPC-UHFFFAOYSA-N 0 0 447.517 -0.135 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000803138917 1072695967 /nfs/dbraw/zinc/69/59/67/1072695967.db2.gz OAOLLGFFKJIBHH-UHFFFAOYSA-N 0 0 439.534 -0.281 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000803139011 1072695562 /nfs/dbraw/zinc/69/55/62/1072695562.db2.gz QVYQATCRACBKEE-UHFFFAOYSA-N 0 0 438.550 -0.614 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1)NC1CC1 ZINC000803139059 1072695626 /nfs/dbraw/zinc/69/56/26/1072695626.db2.gz SDBZNGCXIXCZDN-UHFFFAOYSA-N 0 0 436.534 -0.860 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)C2=O)cn1 ZINC000803139642 1072696077 /nfs/dbraw/zinc/69/60/77/1072696077.db2.gz CAUKVTCUWSIXQF-INIZCTEOSA-N 0 0 433.490 -0.627 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)C2=O)cn1 ZINC000803139644 1072696111 /nfs/dbraw/zinc/69/61/11/1072696111.db2.gz CAUKVTCUWSIXQF-MRXNPFEDSA-N 0 0 433.490 -0.627 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000803139699 1072696134 /nfs/dbraw/zinc/69/61/34/1072696134.db2.gz JDLSOWCCDBIZRH-UHFFFAOYSA-N 0 0 439.534 -0.187 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)C[C@H]1COCC2 ZINC000803139788 1072696060 /nfs/dbraw/zinc/69/60/60/1072696060.db2.gz DITAKTGASHHIKB-OXJNMPFZSA-N 0 0 438.502 -0.244 20 0 IBADRN Nc1nsc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)CC2)n1 ZINC000803139858 1072696090 /nfs/dbraw/zinc/69/60/90/1072696090.db2.gz LBOMQDWAWPHLTH-UHFFFAOYSA-N 0 0 438.535 -0.500 20 0 IBADRN Cn1ccnc1CN1CCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000803139899 1072696117 /nfs/dbraw/zinc/69/61/17/1072696117.db2.gz LXYJLILMDAPESA-UHFFFAOYSA-N 0 0 433.534 -0.205 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C1 ZINC000803139966 1072696008 /nfs/dbraw/zinc/69/60/08/1072696008.db2.gz GLJCZAVDCSINIF-INIZCTEOSA-N 0 0 445.563 -0.495 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C1 ZINC000803139967 1072696083 /nfs/dbraw/zinc/69/60/83/1072696083.db2.gz GLJCZAVDCSINIF-MRXNPFEDSA-N 0 0 445.563 -0.495 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)CC2)c1=O ZINC000803140103 1072696124 /nfs/dbraw/zinc/69/61/24/1072696124.db2.gz OXKLZEYUPHHRCK-UHFFFAOYSA-N 0 0 447.517 -0.840 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000803140175 1072696456 /nfs/dbraw/zinc/69/64/56/1072696456.db2.gz JKPCUSDQCBRHPE-UHFFFAOYSA-N 0 0 435.481 -0.005 20 0 IBADRN Cn1cc(CN2CCN(C(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000803140200 1072696454 /nfs/dbraw/zinc/69/64/54/1072696454.db2.gz KEYCFWQPQURHAF-UHFFFAOYSA-N 0 0 433.534 -0.205 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)CC2=O)cn1 ZINC000803140350 1072696396 /nfs/dbraw/zinc/69/63/96/1072696396.db2.gz MABBPFKYBMMMFB-UHFFFAOYSA-N 0 0 433.490 -0.674 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)C2=O)n1 ZINC000803140390 1072696420 /nfs/dbraw/zinc/69/64/20/1072696420.db2.gz NCVZIZCCHVAKAQ-INIZCTEOSA-N 0 0 447.517 -0.237 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)C2=O)n1 ZINC000803140391 1072696439 /nfs/dbraw/zinc/69/64/39/1072696439.db2.gz NCVZIZCCHVAKAQ-MRXNPFEDSA-N 0 0 447.517 -0.237 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)Cc1ccccc1)CC2 ZINC000803140611 1072696494 /nfs/dbraw/zinc/69/64/94/1072696494.db2.gz WCDUQTCUEGJKET-HNNXBMFYSA-N 0 0 435.506 -0.413 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCS(=O)(=O)Cc1ccccc1)CC2 ZINC000803140613 1072696488 /nfs/dbraw/zinc/69/64/88/1072696488.db2.gz WCDUQTCUEGJKET-OAHLLOKOSA-N 0 0 435.506 -0.413 20 0 IBADRN COc1cc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)CC2)ncn1 ZINC000803140703 1072696482 /nfs/dbraw/zinc/69/64/82/1072696482.db2.gz RGYJYMXICNAUOL-UHFFFAOYSA-N 0 0 447.517 -0.135 20 0 IBADRN CC[C@H](NC(=O)C(=O)NCCS(=O)(=O)Cc1ccccc1)C(=O)N1CCOCC1 ZINC000803140784 1072696499 /nfs/dbraw/zinc/69/64/99/1072696499.db2.gz SLRKBRNKLQFRMO-INIZCTEOSA-N 0 0 425.507 -0.529 20 0 IBADRN CC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)Cc1ccccc1)C(=O)N1CCOCC1 ZINC000803140788 1072696426 /nfs/dbraw/zinc/69/64/26/1072696426.db2.gz SLRKBRNKLQFRMO-MRXNPFEDSA-N 0 0 425.507 -0.529 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)NCCS(=O)(=O)Cc1ccccc1 ZINC000803140800 1072696433 /nfs/dbraw/zinc/69/64/33/1072696433.db2.gz ZDVKBPNGGMSQBJ-OAHLLOKOSA-N 0 0 431.536 -0.742 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C1 ZINC000803140846 1072696522 /nfs/dbraw/zinc/69/65/22/1072696522.db2.gz ZOWZRWBEIZVIAR-HNNXBMFYSA-N 0 0 431.536 -0.742 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C1 ZINC000803140847 1072696462 /nfs/dbraw/zinc/69/64/62/1072696462.db2.gz ZOWZRWBEIZVIAR-OAHLLOKOSA-N 0 0 431.536 -0.742 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCCS(=O)(=O)Cc1ccccc1 ZINC000803141217 1072696413 /nfs/dbraw/zinc/69/64/13/1072696413.db2.gz YSJBMTPNSYICOY-HNNXBMFYSA-N 0 0 431.536 -0.742 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCCS(=O)(=O)Cc1ccccc1 ZINC000803141218 1072696525 /nfs/dbraw/zinc/69/65/25/1072696525.db2.gz YSJBMTPNSYICOY-OAHLLOKOSA-N 0 0 431.536 -0.742 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)OCC(=O)N1CCNC(=O)C1 ZINC000803147005 1072696472 /nfs/dbraw/zinc/69/64/72/1072696472.db2.gz ZADCTRVWYTWUFC-UHFFFAOYSA-N 0 0 441.462 -0.982 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@H]2CC(C(N)=O)=NO2)(S(C)(=O)=O)CC1 ZINC000803153573 1072696504 /nfs/dbraw/zinc/69/65/04/1072696504.db2.gz HJQJMPAFTCAWNO-LLVKDONJSA-N 0 0 432.499 -0.453 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@@H]2CC(C(N)=O)=NO2)(S(C)(=O)=O)CC1 ZINC000803153574 1072696444 /nfs/dbraw/zinc/69/64/44/1072696444.db2.gz HJQJMPAFTCAWNO-NSHDSACASA-N 0 0 432.499 -0.453 20 0 IBADRN CNS(=O)(=O)CCNC(=O)C1(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC000803158682 1072697002 /nfs/dbraw/zinc/69/70/02/1072697002.db2.gz SCSDXIQZTZYWGX-UHFFFAOYSA-N 0 0 427.545 -0.534 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)c2cccc(S(=O)(=O)NCc3ccc4c(c3)OCO4)c2)C1 ZINC000803161297 1072696989 /nfs/dbraw/zinc/69/69/89/1072696989.db2.gz BLMKGOPVTPFPIG-FQEVSTJZSA-N 0 0 447.469 -0.044 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)c2cccc(S(=O)(=O)NCc3ccc4c(c3)OCO4)c2)C1 ZINC000803161298 1072697159 /nfs/dbraw/zinc/69/71/59/1072697159.db2.gz BLMKGOPVTPFPIG-HXUWFJFHSA-N 0 0 447.469 -0.044 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CC(c3c(F)cccc3F)=NO1)C2 ZINC000803162428 1072697137 /nfs/dbraw/zinc/69/71/37/1072697137.db2.gz RWWPGILCJFLZPW-AWEZNQCLSA-N 0 0 434.403 -0.053 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(c3c(F)cccc3F)=NO1)C2 ZINC000803162429 1072697028 /nfs/dbraw/zinc/69/70/28/1072697028.db2.gz RWWPGILCJFLZPW-CQSZACIVSA-N 0 0 434.403 -0.053 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000803164769 1072697019 /nfs/dbraw/zinc/69/70/19/1072697019.db2.gz XNFQNDUUSMZIKG-UHFFFAOYSA-N 0 0 441.506 -0.233 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N3CC[C@](O)(C(N)=O)C3)c2)CC1 ZINC000803164839 1072696961 /nfs/dbraw/zinc/69/69/61/1072696961.db2.gz YSEAYGKPUFLKNF-GOSISDBHSA-N 0 0 444.941 -0.272 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N3CC[C@@](O)(C(N)=O)C3)c2)CC1 ZINC000803164840 1072697140 /nfs/dbraw/zinc/69/71/40/1072697140.db2.gz YSEAYGKPUFLKNF-SFHVURJKSA-N 0 0 444.941 -0.272 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N2CC[C@](O)(C(N)=O)C2)C1=O ZINC000803165247 1072697091 /nfs/dbraw/zinc/69/70/91/1072697091.db2.gz KMJSHQRVSRLXTM-DLBZAZTESA-N 0 0 439.266 -0.335 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N2CC[C@](O)(C(N)=O)C2)C1=O ZINC000803165248 1072697145 /nfs/dbraw/zinc/69/71/45/1072697145.db2.gz KMJSHQRVSRLXTM-IAGOWNOFSA-N 0 0 439.266 -0.335 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N2CC[C@@](O)(C(N)=O)C2)C1=O ZINC000803165249 1072697069 /nfs/dbraw/zinc/69/70/69/1072697069.db2.gz KMJSHQRVSRLXTM-IRXDYDNUSA-N 0 0 439.266 -0.335 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N2CC[C@@](O)(C(N)=O)C2)C1=O ZINC000803165250 1072697135 /nfs/dbraw/zinc/69/71/35/1072697135.db2.gz KMJSHQRVSRLXTM-SJORKVTESA-N 0 0 439.266 -0.335 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)N1CCNC(=O)C1 ZINC000803171065 1072697143 /nfs/dbraw/zinc/69/71/43/1072697143.db2.gz MVFZGHIBWZRJHQ-AWEZNQCLSA-N 0 0 425.463 -0.741 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)N1CCNC(=O)C1 ZINC000803171066 1072697056 /nfs/dbraw/zinc/69/70/56/1072697056.db2.gz MVFZGHIBWZRJHQ-CQSZACIVSA-N 0 0 425.463 -0.741 20 0 IBADRN Cc1nnc(CCNC(=O)C(F)(F)C(F)(F)C(=O)NCCc2nnc(C)n2C)n1C ZINC000803172950 1072696973 /nfs/dbraw/zinc/69/69/73/1072696973.db2.gz MRWKDKJCNZCNDE-UHFFFAOYSA-N 0 0 434.398 -0.151 20 0 IBADRN O=C(Cc1ccc2c(c1)OCCO2)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000803179179 1072697473 /nfs/dbraw/zinc/69/74/73/1072697473.db2.gz HYZSKPNPUOJGKH-INIZCTEOSA-N 0 0 438.502 -0.125 20 0 IBADRN O=C(Cc1ccc2c(c1)OCCO2)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000803179181 1072697554 /nfs/dbraw/zinc/69/75/54/1072697554.db2.gz HYZSKPNPUOJGKH-MRXNPFEDSA-N 0 0 438.502 -0.125 20 0 IBADRN Cn1ncc(-c2csc(=NCc3ccc(S(N)(=O)=O)cc3)n2Cc2nnn(C)n2)n1 ZINC000803193098 1072697530 /nfs/dbraw/zinc/69/75/30/1072697530.db2.gz ZOJAOUUSIKZQML-UHFFFAOYSA-N 0 0 446.522 -0.335 20 0 IBADRN CCN1CN(C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N2CC(=O)N(CC)C2)CC1=O ZINC000803194851 1072697579 /nfs/dbraw/zinc/69/75/79/1072697579.db2.gz XZOBIDAGWUTOLU-AWEZNQCLSA-N 0 0 439.513 -0.044 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000803196780 1072697486 /nfs/dbraw/zinc/69/74/86/1072697486.db2.gz XERIFQIDOUPKQE-QNWHQSFQSA-N 0 0 443.478 -0.894 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000803196783 1072697587 /nfs/dbraw/zinc/69/75/87/1072697587.db2.gz XERIFQIDOUPKQE-RNJOBUHISA-N 0 0 443.478 -0.894 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000803196785 1072697544 /nfs/dbraw/zinc/69/75/44/1072697544.db2.gz XERIFQIDOUPKQE-UMSGYPCISA-N 0 0 443.478 -0.894 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000803196787 1072697594 /nfs/dbraw/zinc/69/75/94/1072697594.db2.gz XERIFQIDOUPKQE-ZDEQEGDKSA-N 0 0 443.478 -0.894 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000803197223 1072697504 /nfs/dbraw/zinc/69/75/04/1072697504.db2.gz YDWURWIAGMEKKC-ARFHVFGLSA-N 0 0 436.509 -0.674 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000803197224 1072697537 /nfs/dbraw/zinc/69/75/37/1072697537.db2.gz YDWURWIAGMEKKC-HRCADAONSA-N 0 0 436.509 -0.674 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000803197225 1072697599 /nfs/dbraw/zinc/69/75/99/1072697599.db2.gz YDWURWIAGMEKKC-JYJNAYRXSA-N 0 0 436.509 -0.674 20 0 IBADRN CC1=C(C(=O)NC[C@H](C)N(C)C(=O)C2=C(C)OCCS2(=O)=O)S(=O)(=O)CCO1 ZINC000803202999 1072697621 /nfs/dbraw/zinc/69/76/21/1072697621.db2.gz UZYSMINGGYNQRO-JTQLQIEISA-N 0 0 436.508 -0.698 20 0 IBADRN CC1=C(C(=O)NC[C@@H](C)N(C)C(=O)C2=C(C)OCCS2(=O)=O)S(=O)(=O)CCO1 ZINC000803203000 1072697571 /nfs/dbraw/zinc/69/75/71/1072697571.db2.gz UZYSMINGGYNQRO-SNVBAGLBSA-N 0 0 436.508 -0.698 20 0 IBADRN C[C@@H](CC[NH+](C)C)NC(=O)C(=O)NCCN=c1ncnc2n(C)[n-]c(Br)c1-2 ZINC000803203404 1072697561 /nfs/dbraw/zinc/69/75/61/1072697561.db2.gz HUCFZXBVUBUDAI-JTQLQIEISA-N 0 0 441.334 -0.516 20 0 IBADRN C[C@H](CC[NH+](C)C)NC(=O)C(=O)NCCN=c1ncnc2n(C)[n-]c(Br)c1-2 ZINC000803203405 1072697434 /nfs/dbraw/zinc/69/74/34/1072697434.db2.gz HUCFZXBVUBUDAI-SNVBAGLBSA-N 0 0 441.334 -0.516 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)cn1 ZINC000803210128 1072697514 /nfs/dbraw/zinc/69/75/14/1072697514.db2.gz LWNIMDRQMPKMLL-UHFFFAOYSA-N 0 0 425.444 -0.291 20 0 IBADRN Cn1nncc1C(=O)COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000803213193 1072698201 /nfs/dbraw/zinc/69/82/01/1072698201.db2.gz NIKBYLXOSUYZMT-LLVKDONJSA-N 0 0 447.267 -0.357 20 0 IBADRN Cn1nncc1C(=O)COC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000803213194 1072698262 /nfs/dbraw/zinc/69/82/62/1072698262.db2.gz NIKBYLXOSUYZMT-NSHDSACASA-N 0 0 447.267 -0.357 20 0 IBADRN Cn1nncc1C(=O)COC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000803215785 1072698367 /nfs/dbraw/zinc/69/83/67/1072698367.db2.gz DKHLVOCEHWYDTL-UHFFFAOYSA-N 0 0 449.489 -0.146 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCc1cccc(OCCN2CCOCC2)c1 ZINC000803217122 1072698319 /nfs/dbraw/zinc/69/83/19/1072698319.db2.gz TXBCOIIOVRMTJU-UHFFFAOYSA-N 0 0 427.523 -0.483 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCc1ccccc1OCCN1CCOCC1 ZINC000803217538 1072698107 /nfs/dbraw/zinc/69/81/07/1072698107.db2.gz YWSJYUMTLCGYSJ-UHFFFAOYSA-N 0 0 427.523 -0.483 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cn1 ZINC000803223859 1072698243 /nfs/dbraw/zinc/69/82/43/1072698243.db2.gz FGKZORZXFOQXTG-UHFFFAOYSA-N 0 0 426.432 -0.819 20 0 IBADRN Cc1nc2sccn2c(=O)c1CCOC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000803229237 1072698140 /nfs/dbraw/zinc/69/81/40/1072698140.db2.gz CFBCVKOIHGAXSH-UHFFFAOYSA-N 0 0 430.446 -0.403 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCC(=O)c3cnnn3C)c2)CC1 ZINC000803235807 1072698121 /nfs/dbraw/zinc/69/81/21/1072698121.db2.gz DIYQSNDFIAZYGB-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)cn1 ZINC000803238217 1072698071 /nfs/dbraw/zinc/69/80/71/1072698071.db2.gz OYQIFFOKEYMYQX-UHFFFAOYSA-N 0 0 425.444 -0.291 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCC(=O)c3cnnn3C)cc2)CC1 ZINC000803238941 1072698928 /nfs/dbraw/zinc/69/89/28/1072698928.db2.gz OEXCAGMVQUUPGU-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCC(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000803241801 1072698889 /nfs/dbraw/zinc/69/88/89/1072698889.db2.gz AIDMUZRGXLJOBA-UHFFFAOYSA-N 0 0 431.448 -0.206 20 0 IBADRN Cc1nc2sccn2c(=O)c1CCOC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000803257047 1072698841 /nfs/dbraw/zinc/69/88/41/1072698841.db2.gz MXWONAPOLKLJPL-UHFFFAOYSA-N 0 0 430.446 -0.403 20 0 IBADRN COC(=O)C(C)(C)C(=O)COC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000803258998 1072698695 /nfs/dbraw/zinc/69/86/95/1072698695.db2.gz UDVFLXQRUKIHKV-UHFFFAOYSA-N 0 0 428.463 -0.022 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCC(=O)c2cnnn2C)c1 ZINC000803266103 1072699001 /nfs/dbraw/zinc/69/90/01/1072699001.db2.gz OIAQLCQTDYVNPB-UHFFFAOYSA-N 0 0 437.478 -0.058 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)c3ccc(S(C)(=O)=O)nc3)c2c(=O)n(C)c1=O ZINC000803266161 1072698795 /nfs/dbraw/zinc/69/87/95/1072698795.db2.gz LCNYHXAPVUNNCK-UHFFFAOYSA-N 0 0 449.445 -0.952 20 0 IBADRN Cc1nc2sccn2c(=O)c1CCOC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000803266312 1072698996 /nfs/dbraw/zinc/69/89/96/1072698996.db2.gz NHVCZJBUBMGYAH-UHFFFAOYSA-N 0 0 444.473 -0.012 20 0 IBADRN Cn1c(=O)c2cc(C(=O)OCC(=O)c3ccc(S(C)(=O)=O)nc3)cnc2n(C)c1=O ZINC000803270517 1072698721 /nfs/dbraw/zinc/69/87/21/1072698721.db2.gz SEOBODKWPYEDTC-UHFFFAOYSA-N 0 0 432.414 -0.530 20 0 IBADRN COC(=O)C(C)(C)C(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000803271422 1072698869 /nfs/dbraw/zinc/69/88/69/1072698869.db2.gz XKUGCDTVRUEEQH-UHFFFAOYSA-N 0 0 426.447 -0.268 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)c3ccc(S(C)(=O)=O)nc3)nc2n(C)c1=O ZINC000803272866 1072698775 /nfs/dbraw/zinc/69/87/75/1072698775.db2.gz HZBRZDDDBNXUPW-UHFFFAOYSA-N 0 0 432.414 -0.530 20 0 IBADRN COC(=O)C(C)(C)C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000803273122 1072698912 /nfs/dbraw/zinc/69/89/12/1072698912.db2.gz HPFNTJSJENXHLI-UHFFFAOYSA-N 0 0 426.447 -0.268 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)CCNS(=O)(=O)c2cccnc2)cn1 ZINC000803274016 1072698977 /nfs/dbraw/zinc/69/89/77/1072698977.db2.gz AOAZTXZCFZFQRL-UHFFFAOYSA-N 0 0 427.460 -0.025 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)OCc2nnc(S(C)(=O)=O)s2)cc1 ZINC000803280524 1072699008 /nfs/dbraw/zinc/69/90/08/1072699008.db2.gz IIPAGIALKOHJDS-SECBINFHSA-N 0 0 435.505 -0.042 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)OCc2nnc(S(C)(=O)=O)s2)cc1 ZINC000803280525 1072698968 /nfs/dbraw/zinc/69/89/68/1072698968.db2.gz IIPAGIALKOHJDS-VIFPVBQESA-N 0 0 435.505 -0.042 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)OCc2nnc(S(C)(=O)=O)s2)cc1 ZINC000803280742 1072698740 /nfs/dbraw/zinc/69/87/40/1072698740.db2.gz QGCAJFCAAKTJTQ-UHFFFAOYSA-N 0 0 435.505 -0.089 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)OCc2nnc(S(C)(=O)=O)s2)cc1 ZINC000803280930 1072698862 /nfs/dbraw/zinc/69/88/62/1072698862.db2.gz YOFJIJOAZIEVDW-SECBINFHSA-N 0 0 435.505 -0.042 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)OCc2nnc(S(C)(=O)=O)s2)cc1 ZINC000803280931 1072698758 /nfs/dbraw/zinc/69/87/58/1072698758.db2.gz YOFJIJOAZIEVDW-VIFPVBQESA-N 0 0 435.505 -0.042 20 0 IBADRN Cn1c(C(=O)OCC(=O)c2ccc(S(C)(=O)=O)nc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000803299720 1072699537 /nfs/dbraw/zinc/69/95/37/1072699537.db2.gz NTJPDMPINZOUHC-UHFFFAOYSA-N 0 0 434.430 -0.586 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)OCC(=O)c2ccc(S(C)(=O)=O)nc2)cn1C ZINC000803326295 1072699541 /nfs/dbraw/zinc/69/95/41/1072699541.db2.gz NGSCKYOIHCQCEH-UHFFFAOYSA-N 0 0 444.491 -0.378 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)OCC(=O)c2ccc(S(C)(=O)=O)nc2)cn1C ZINC000803326326 1072699475 /nfs/dbraw/zinc/69/94/75/1072699475.db2.gz NZENDLKKYNDKDG-UHFFFAOYSA-N 0 0 430.464 -0.769 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)COc2ccc(S(N)(=O)=O)cc2)cn1 ZINC000803327705 1072699532 /nfs/dbraw/zinc/69/95/32/1072699532.db2.gz NDQARNBOPFRXGH-UHFFFAOYSA-N 0 0 428.444 -0.063 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CNC(=O)Cc2ccccc2)CC1 ZINC000803335662 1072699520 /nfs/dbraw/zinc/69/95/20/1072699520.db2.gz OCUVYQHLOYXWTM-UHFFFAOYSA-N 0 0 432.521 -0.053 20 0 IBADRN O=C(Cc1ccccc1)NCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000803335691 1072699534 /nfs/dbraw/zinc/69/95/34/1072699534.db2.gz OXFWOSVBBIGTAU-KRWDZBQOSA-N 0 0 437.518 -0.780 20 0 IBADRN O=C(Cc1ccccc1)NCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000803335698 1072699546 /nfs/dbraw/zinc/69/95/46/1072699546.db2.gz OXFWOSVBBIGTAU-QGZVFWFLSA-N 0 0 437.518 -0.780 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)cc2)CC1)N1CCOCC1 ZINC000803346890 1072699418 /nfs/dbraw/zinc/69/94/18/1072699418.db2.gz CQLWYCKBDNNVSK-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NCc1ccc(-n2ccnc2)cc1 ZINC000803346930 1072699552 /nfs/dbraw/zinc/69/95/52/1072699552.db2.gz DXHFFBKHWGWESV-UHFFFAOYSA-N 0 0 437.547 -0.017 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NCc1ccc(-n2ccnc2)cc1 ZINC000803348077 1072699500 /nfs/dbraw/zinc/69/95/00/1072699500.db2.gz GPLCSAFWXWKHDK-UHFFFAOYSA-N 0 0 432.462 -0.288 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2ccc(-n3ccnc3)cc2)CC1 ZINC000803350128 1072699456 /nfs/dbraw/zinc/69/94/56/1072699456.db2.gz YIXVORZMCHBZAS-UHFFFAOYSA-N 0 0 440.504 -0.509 20 0 IBADRN COC(=O)C(C)(C)C(=O)COC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000803357753 1072699545 /nfs/dbraw/zinc/69/95/45/1072699545.db2.gz RYBUMIFOIUWRCP-LLVKDONJSA-N 0 0 426.447 -0.002 20 0 IBADRN COC(=O)C(C)(C)C(=O)COC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000803357754 1072699438 /nfs/dbraw/zinc/69/94/38/1072699438.db2.gz RYBUMIFOIUWRCP-NSHDSACASA-N 0 0 426.447 -0.002 20 0 IBADRN Cc1cc(C(=O)OCC(=O)c2ccc(S(C)(=O)=O)nc2)nc2c1c(=O)n(C)c(=O)n2C ZINC000803360880 1072700037 /nfs/dbraw/zinc/70/00/37/1072700037.db2.gz KZENHLJYFWDWIH-UHFFFAOYSA-N 0 0 446.441 -0.221 20 0 IBADRN Cc1cc(C(=O)OCc2nnc(S(C)(=O)=O)s2)nc2c1c(=O)n(C)c(=O)n2C ZINC000803361140 1072699516 /nfs/dbraw/zinc/69/95/16/1072699516.db2.gz ONXVLXSNUCJORH-UHFFFAOYSA-N 0 0 425.448 -0.447 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)c2ccc(S(=O)(=O)NCCO)s2)cn1 ZINC000803366246 1072700045 /nfs/dbraw/zinc/70/00/45/1072700045.db2.gz PIEAVQWJYQAHOI-UHFFFAOYSA-N 0 0 448.500 -0.143 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)OCc1nnc(S(C)(=O)=O)s1 ZINC000803368598 1072700008 /nfs/dbraw/zinc/70/00/08/1072700008.db2.gz PTJPFOADLXBXNS-MRVPVSSYSA-N 0 0 437.525 -0.298 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)OCc1nnc(S(C)(=O)=O)s1 ZINC000803368602 1072699993 /nfs/dbraw/zinc/69/99/93/1072699993.db2.gz PTJPFOADLXBXNS-QMMMGPOBSA-N 0 0 437.525 -0.298 20 0 IBADRN Cc1nc2sccn2c(=O)c1CCOC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000803372480 1072700131 /nfs/dbraw/zinc/70/01/31/1072700131.db2.gz YESVIBORXSNKBW-UHFFFAOYSA-N 0 0 439.475 -0.444 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)cn1 ZINC000803373114 1072700122 /nfs/dbraw/zinc/70/01/22/1072700122.db2.gz WJUHPVZJDAVPFL-UHFFFAOYSA-N 0 0 440.455 -0.216 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000803389662 1072700015 /nfs/dbraw/zinc/70/00/15/1072700015.db2.gz LTZUJUCZOCJJGS-JTQLQIEISA-N 0 0 430.464 -0.689 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)OCC(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000803389663 1072700065 /nfs/dbraw/zinc/70/00/65/1072700065.db2.gz LTZUJUCZOCJJGS-SNVBAGLBSA-N 0 0 430.464 -0.689 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)c2ccc(S(C)(=O)=O)nc2)c1 ZINC000803396148 1072700074 /nfs/dbraw/zinc/70/00/74/1072700074.db2.gz MCLHKLHJWOLFJE-UHFFFAOYSA-N 0 0 445.475 -0.222 20 0 IBADRN Cc1c(C(=O)OCc2nnc(S(C)(=O)=O)s2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000803402324 1072700110 /nfs/dbraw/zinc/70/01/10/1072700110.db2.gz ASDUKBMWXKYQEW-UHFFFAOYSA-N 0 0 425.448 -0.447 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)ccc1F ZINC000803402331 1072700104 /nfs/dbraw/zinc/70/01/04/1072700104.db2.gz BABNGUQFIARESL-LLVKDONJSA-N 0 0 446.482 -0.162 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)ccc1F ZINC000803402332 1072699966 /nfs/dbraw/zinc/69/99/66/1072699966.db2.gz BABNGUQFIARESL-NSHDSACASA-N 0 0 446.482 -0.162 20 0 IBADRN Cc1c(C(=O)OCC(=O)c2ccc(S(C)(=O)=O)nc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000803402384 1072700029 /nfs/dbraw/zinc/70/00/29/1072700029.db2.gz CHRYYXDOUNHUFX-UHFFFAOYSA-N 0 0 446.441 -0.221 20 0 IBADRN CCc1c(C(=O)OCc2nnc(S(C)(=O)=O)s2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000803406419 1072700094 /nfs/dbraw/zinc/70/00/94/1072700094.db2.gz UYYXENDLLKGIOV-UHFFFAOYSA-N 0 0 439.475 -0.193 20 0 IBADRN O=C(CNC(=O)c1ccc(F)cc1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000803412331 1072700084 /nfs/dbraw/zinc/70/00/84/1072700084.db2.gz VROWBIWLFHGGOC-INIZCTEOSA-N 0 0 441.481 -0.570 20 0 IBADRN O=C(CNC(=O)c1ccc(F)cc1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000803412332 1072700118 /nfs/dbraw/zinc/70/01/18/1072700118.db2.gz VROWBIWLFHGGOC-MRXNPFEDSA-N 0 0 441.481 -0.570 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)CC2 ZINC000803413541 1072700529 /nfs/dbraw/zinc/70/05/29/1072700529.db2.gz DYFQZCUHUUXGCL-GEXIGZQTSA-N 0 0 428.493 -0.189 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)CC2 ZINC000803413546 1072700537 /nfs/dbraw/zinc/70/05/37/1072700537.db2.gz DYFQZCUHUUXGCL-GJBLVYBDSA-N 0 0 428.493 -0.189 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)CC2 ZINC000803413548 1072700576 /nfs/dbraw/zinc/70/05/76/1072700576.db2.gz DYFQZCUHUUXGCL-GXMNPTEKSA-N 0 0 428.493 -0.189 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)CC2 ZINC000803413550 1072700417 /nfs/dbraw/zinc/70/04/17/1072700417.db2.gz DYFQZCUHUUXGCL-VBROQKIQSA-N 0 0 428.493 -0.189 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)C1)S(C)(=O)=O ZINC000803414881 1072700505 /nfs/dbraw/zinc/70/05/05/1072700505.db2.gz GLVJFSFPLVFTEZ-CYBMUJFWSA-N 0 0 429.520 -0.039 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)CN2C(=O)c3ccccc3S2(=O)=O)C1)S(C)(=O)=O ZINC000803414882 1072700475 /nfs/dbraw/zinc/70/04/75/1072700475.db2.gz GLVJFSFPLVFTEZ-ZDUSSCGKSA-N 0 0 429.520 -0.039 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000803417329 1072700497 /nfs/dbraw/zinc/70/04/97/1072700497.db2.gz GDKSNDQKMHQXNA-AWEZNQCLSA-N 0 0 432.543 -0.052 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000803417330 1072700573 /nfs/dbraw/zinc/70/05/73/1072700573.db2.gz GDKSNDQKMHQXNA-CQSZACIVSA-N 0 0 432.543 -0.052 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000803418379 1072700580 /nfs/dbraw/zinc/70/05/80/1072700580.db2.gz XSFNMFCIJSUIPU-CHWSQXEVSA-N 0 0 434.540 -0.966 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000803418380 1072700460 /nfs/dbraw/zinc/70/04/60/1072700460.db2.gz XSFNMFCIJSUIPU-OLZOCXBDSA-N 0 0 434.540 -0.966 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000803418381 1072700433 /nfs/dbraw/zinc/70/04/33/1072700433.db2.gz XSFNMFCIJSUIPU-QWHCGFSZSA-N 0 0 434.540 -0.966 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000803418382 1072700525 /nfs/dbraw/zinc/70/05/25/1072700525.db2.gz XSFNMFCIJSUIPU-STQMWFEESA-N 0 0 434.540 -0.966 20 0 IBADRN Cn1nncc1C(=O)COC(=O)C=C(Br)C(=O)OCC(=O)c1cnnn1C ZINC000803435475 1072700405 /nfs/dbraw/zinc/70/04/05/1072700405.db2.gz ATCAKTNCFTVLMM-BAQGIRSFSA-N 0 0 441.198 -0.626 20 0 IBADRN Cn1nncc1C(=O)COC(=O)C=C(Br)C(=O)OCC(=O)c1cnnn1C ZINC000803435476 1072700486 /nfs/dbraw/zinc/70/04/86/1072700486.db2.gz ATCAKTNCFTVLMM-FPYGCLRLSA-N 0 0 441.198 -0.626 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(F)(F)F ZINC000803442911 1072700553 /nfs/dbraw/zinc/70/05/53/1072700553.db2.gz AHDVKTAFFCAUSL-LLVKDONJSA-N 0 0 443.425 -0.230 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(F)(F)F ZINC000803442916 1072700594 /nfs/dbraw/zinc/70/05/94/1072700594.db2.gz AHDVKTAFFCAUSL-NSHDSACASA-N 0 0 443.425 -0.230 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@](C)(O)CN2Cc3ccccc3C2=O)CC1 ZINC000803466657 1072701001 /nfs/dbraw/zinc/70/10/01/1072701001.db2.gz CUDGMVAIVSVTDG-JOCHJYFZSA-N 0 0 446.504 -0.831 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@](C)(O)CN2Cc3ccccc3C2=O)CC1 ZINC000803466658 1072700967 /nfs/dbraw/zinc/70/09/67/1072700967.db2.gz CUDGMVAIVSVTDG-QFIPXVFZSA-N 0 0 446.504 -0.831 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@](C)(O)CN1Cc2ccccc2C1=O)S(C)(=O)=O ZINC000803467447 1072700976 /nfs/dbraw/zinc/70/09/76/1072700976.db2.gz ZLZUGTOJZVRDEK-IBGZPJMESA-N 0 0 441.506 -0.666 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@](C)(O)CN1Cc2ccccc2C1=O)S(C)(=O)=O ZINC000803467448 1072701009 /nfs/dbraw/zinc/70/10/09/1072701009.db2.gz ZLZUGTOJZVRDEK-LJQANCHMSA-N 0 0 441.506 -0.666 20 0 IBADRN C[C@H](OC(=O)[C@@](C)(O)CN1Cc2ccccc2C1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000803468149 1072700943 /nfs/dbraw/zinc/70/09/43/1072700943.db2.gz RFTDEBFADSFIHS-AGKSTNPPSA-N 0 0 438.502 -0.029 20 0 IBADRN C[C@H](OC(=O)[C@@](C)(O)CN1Cc2ccccc2C1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000803468150 1072701023 /nfs/dbraw/zinc/70/10/23/1072701023.db2.gz RFTDEBFADSFIHS-KPHUOKFYSA-N 0 0 438.502 -0.029 20 0 IBADRN C[C@H](OC(=O)[C@](C)(O)CN1Cc2ccccc2C1=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000803468151 1072701071 /nfs/dbraw/zinc/70/10/71/1072701071.db2.gz RFTDEBFADSFIHS-XBHMSOGKSA-N 0 0 438.502 -0.029 20 0 IBADRN C[C@H](OC(=O)[C@](C)(O)CN1Cc2ccccc2C1=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000803468152 1072701079 /nfs/dbraw/zinc/70/10/79/1072701079.db2.gz RFTDEBFADSFIHS-ZQGRQUNCSA-N 0 0 438.502 -0.029 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@@](C)(O)CN3Cc4ccccc4C3=O)nc2c(=O)n(C)c1=O ZINC000803468258 1072701014 /nfs/dbraw/zinc/70/10/14/1072701014.db2.gz UTWAEFCTKUIVCC-FQEVSTJZSA-N 0 0 427.417 -0.590 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@](C)(O)CN3Cc4ccccc4C3=O)nc2c(=O)n(C)c1=O ZINC000803468259 1072700914 /nfs/dbraw/zinc/70/09/14/1072700914.db2.gz UTWAEFCTKUIVCC-HXUWFJFHSA-N 0 0 427.417 -0.590 20 0 IBADRN CC[S@@](=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000803471625 1072701065 /nfs/dbraw/zinc/70/10/65/1072701065.db2.gz GTJKBGUCFBNFCY-HHHXNRCGSA-N 0 0 432.520 -0.160 20 0 IBADRN CC[S@](=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000803471626 1072700954 /nfs/dbraw/zinc/70/09/54/1072700954.db2.gz GTJKBGUCFBNFCY-MHZLTWQESA-N 0 0 432.520 -0.160 20 0 IBADRN CC[S@@](=O)CC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000803472187 1072700929 /nfs/dbraw/zinc/70/09/29/1072700929.db2.gz WLWUVMYMWVHPBB-HHHXNRCGSA-N 0 0 432.520 -0.365 20 0 IBADRN CC[S@](=O)CC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000803472188 1072701089 /nfs/dbraw/zinc/70/10/89/1072701089.db2.gz WLWUVMYMWVHPBB-MHZLTWQESA-N 0 0 432.520 -0.365 20 0 IBADRN CC[S@@](=O)CC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000803472801 1072701094 /nfs/dbraw/zinc/70/10/94/1072701094.db2.gz JOHXJOAFXQTQRE-HHHXNRCGSA-N 0 0 432.520 -0.365 20 0 IBADRN CC[S@](=O)CC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000803472802 1072701032 /nfs/dbraw/zinc/70/10/32/1072701032.db2.gz JOHXJOAFXQTQRE-MHZLTWQESA-N 0 0 432.520 -0.365 20 0 IBADRN CC[S@@](=O)CC(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000803473066 1072701084 /nfs/dbraw/zinc/70/10/84/1072701084.db2.gz NHOINYQOBNKXFX-MUUNZHRXSA-N 0 0 448.519 -0.034 20 0 IBADRN CC[S@](=O)CC(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000803473067 1072701041 /nfs/dbraw/zinc/70/10/41/1072701041.db2.gz NHOINYQOBNKXFX-NDEPHWFRSA-N 0 0 448.519 -0.034 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000803484848 1072701527 /nfs/dbraw/zinc/70/15/27/1072701527.db2.gz NFOVLDHXWWQNOV-HNNXBMFYSA-N 0 0 439.490 -0.608 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cn1 ZINC000803484849 1072701548 /nfs/dbraw/zinc/70/15/48/1072701548.db2.gz NFOVLDHXWWQNOV-OAHLLOKOSA-N 0 0 439.490 -0.608 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2ccc(N3C(=O)N[C@@](C)(C4CC4)C3=O)cc2)C1=O ZINC000803487699 1072701471 /nfs/dbraw/zinc/70/14/71/1072701471.db2.gz KVCVNSRUQWNSDW-FQEVSTJZSA-N 0 0 427.417 -0.052 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)NCc2ccc(N3C(=O)N[C@](C)(C4CC4)C3=O)cc2)C1=O ZINC000803487700 1072701614 /nfs/dbraw/zinc/70/16/14/1072701614.db2.gz KVCVNSRUQWNSDW-HXUWFJFHSA-N 0 0 427.417 -0.052 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@@H]3CCCN(C(=O)c4ccncc4)C3)nc2c(=O)n(C)c1=O ZINC000803488780 1072701562 /nfs/dbraw/zinc/70/15/62/1072701562.db2.gz CFSHUSAKYXYTAT-CYBMUJFWSA-N 0 0 426.433 -0.049 20 0 IBADRN Cn1c2[nH]c(COC(=O)[C@H]3CCCN(C(=O)c4ccncc4)C3)nc2c(=O)n(C)c1=O ZINC000803488783 1072701583 /nfs/dbraw/zinc/70/15/83/1072701583.db2.gz CFSHUSAKYXYTAT-ZDUSSCGKSA-N 0 0 426.433 -0.049 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CCCN(C(=O)c3ccncc3)C2)CC1 ZINC000803489830 1072701597 /nfs/dbraw/zinc/70/15/97/1072701597.db2.gz CVPSWPOBQVMVBG-GOSISDBHSA-N 0 0 445.520 -0.291 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CCCN(C(=O)c3ccncc3)C2)CC1 ZINC000803489833 1072701512 /nfs/dbraw/zinc/70/15/12/1072701512.db2.gz CVPSWPOBQVMVBG-SFHVURJKSA-N 0 0 445.520 -0.291 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CCCN(C(=O)c2ccncc2)C1)S(C)(=O)=O ZINC000803490505 1072701418 /nfs/dbraw/zinc/70/14/18/1072701418.db2.gz SCSLHZRSHMKDJL-INIZCTEOSA-N 0 0 440.522 -0.125 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CCCN(C(=O)c2ccncc2)C1)S(C)(=O)=O ZINC000803490506 1072701610 /nfs/dbraw/zinc/70/16/10/1072701610.db2.gz SCSLHZRSHMKDJL-MRXNPFEDSA-N 0 0 440.522 -0.125 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN(C(=O)c3ccncc3)C2)c(=O)n(C)c1=O ZINC000803493764 1072701604 /nfs/dbraw/zinc/70/16/04/1072701604.db2.gz XMXHTBISDNHDHY-CYBMUJFWSA-N 0 0 429.433 -0.661 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN(C(=O)c3ccncc3)C2)c(=O)n(C)c1=O ZINC000803493765 1072701575 /nfs/dbraw/zinc/70/15/75/1072701575.db2.gz XMXHTBISDNHDHY-ZDUSSCGKSA-N 0 0 429.433 -0.661 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000803499450 1072701534 /nfs/dbraw/zinc/70/15/34/1072701534.db2.gz RBXLRUPFSXAACN-INIZCTEOSA-N 0 0 431.371 -0.222 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000803499451 1072701500 /nfs/dbraw/zinc/70/15/00/1072701500.db2.gz RBXLRUPFSXAACN-MRXNPFEDSA-N 0 0 431.371 -0.222 20 0 IBADRN Cc1nc2sccn2c(=O)c1CCOC(=O)c1ccc(S(=O)(=O)NCC(N)=O)o1 ZINC000803502173 1072701963 /nfs/dbraw/zinc/70/19/63/1072701963.db2.gz MDYKNHVUCBROAD-UHFFFAOYSA-N 0 0 440.459 -0.179 20 0 IBADRN O=C(N[C@@H]1CCCN(C(=O)N2CCCC2)C1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803503410 1072702101 /nfs/dbraw/zinc/70/21/01/1072702101.db2.gz OGSBRHFXCOCCIM-CABCVRRESA-N 0 0 439.538 -0.052 20 0 IBADRN O=C(N[C@H]1CCCN(C(=O)N2CCCC2)C1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803503411 1072701916 /nfs/dbraw/zinc/70/19/16/1072701916.db2.gz OGSBRHFXCOCCIM-GJZGRUSLSA-N 0 0 439.538 -0.052 20 0 IBADRN O=C(N[C@@H]1CCCN(C(=O)N2CCCC2)C1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803503412 1072701951 /nfs/dbraw/zinc/70/19/51/1072701951.db2.gz OGSBRHFXCOCCIM-HUUCEWRRSA-N 0 0 439.538 -0.052 20 0 IBADRN O=C(N[C@H]1CCCN(C(=O)N2CCCC2)C1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803503413 1072702129 /nfs/dbraw/zinc/70/21/29/1072702129.db2.gz OGSBRHFXCOCCIM-LSDHHAIUSA-N 0 0 439.538 -0.052 20 0 IBADRN CS(=O)(=O)c1nnc(COC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)s1 ZINC000803505269 1072701928 /nfs/dbraw/zinc/70/19/28/1072701928.db2.gz JUYUWJXUIADLGR-GFCCVEGCSA-N 0 0 432.524 -0.302 20 0 IBADRN CS(=O)(=O)c1nnc(COC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)s1 ZINC000803505328 1072702112 /nfs/dbraw/zinc/70/21/12/1072702112.db2.gz JUYUWJXUIADLGR-LBPRGKRZSA-N 0 0 432.524 -0.302 20 0 IBADRN O=C(CCCCN1CCOCC1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000803510270 1072702059 /nfs/dbraw/zinc/70/20/59/1072702059.db2.gz XIMOEDYGVGXJOL-KRWDZBQOSA-N 0 0 431.555 -0.637 20 0 IBADRN O=C(CCCCN1CCOCC1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000803510271 1072702116 /nfs/dbraw/zinc/70/21/16/1072702116.db2.gz XIMOEDYGVGXJOL-QGZVFWFLSA-N 0 0 431.555 -0.637 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@H]1CNC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000803534381 1072702004 /nfs/dbraw/zinc/70/20/04/1072702004.db2.gz ICDZJQPSLONFMU-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@@H]1CNC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000803534382 1072701939 /nfs/dbraw/zinc/70/19/39/1072701939.db2.gz ICDZJQPSLONFMU-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@H]1CNC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000803536275 1072702107 /nfs/dbraw/zinc/70/21/07/1072702107.db2.gz JNGYFUYTEIXMRU-AWEZNQCLSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@@H]1CNC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000803536276 1072702082 /nfs/dbraw/zinc/70/20/82/1072702082.db2.gz JNGYFUYTEIXMRU-CQSZACIVSA-N 0 0 432.543 -0.100 20 0 IBADRN O=C(NCCCN1C(=O)c2ccccc2C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803545043 1072702092 /nfs/dbraw/zinc/70/20/92/1072702092.db2.gz QWHNOFSIOZNAGQ-CYBMUJFWSA-N 0 0 446.485 -0.046 20 0 IBADRN O=C(NCCCN1C(=O)c2ccccc2C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803545044 1072701990 /nfs/dbraw/zinc/70/19/90/1072701990.db2.gz QWHNOFSIOZNAGQ-ZDUSSCGKSA-N 0 0 446.485 -0.046 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCOC[C@@H]2CCCO2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000803557584 1072702525 /nfs/dbraw/zinc/70/25/25/1072702525.db2.gz GGPCWASEKFKGQF-CVEARBPZSA-N 0 0 428.530 -0.035 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCOC[C@@H]2CCCO2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000803557585 1072702589 /nfs/dbraw/zinc/70/25/89/1072702589.db2.gz GGPCWASEKFKGQF-HOTGVXAUSA-N 0 0 428.530 -0.035 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCOC[C@H]2CCCO2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000803557586 1072702570 /nfs/dbraw/zinc/70/25/70/1072702570.db2.gz GGPCWASEKFKGQF-HZPDHXFCSA-N 0 0 428.530 -0.035 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCOC[C@H]2CCCO2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000803557587 1072702602 /nfs/dbraw/zinc/70/26/02/1072702602.db2.gz GGPCWASEKFKGQF-JKSUJKDBSA-N 0 0 428.530 -0.035 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803558877 1072702501 /nfs/dbraw/zinc/70/25/01/1072702501.db2.gz KFEWWCPKMCOFMU-GFCCVEGCSA-N 0 0 431.433 -0.272 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803558878 1072702517 /nfs/dbraw/zinc/70/25/17/1072702517.db2.gz KFEWWCPKMCOFMU-LBPRGKRZSA-N 0 0 431.433 -0.272 20 0 IBADRN O=C(NCCOC[C@H]1CCCO1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803560521 1072702463 /nfs/dbraw/zinc/70/24/63/1072702463.db2.gz OLQGLRUWUUKVDP-CYBMUJFWSA-N 0 0 445.460 -0.024 20 0 IBADRN O=C(NCCOC[C@@H]1CCCO1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803560522 1072702441 /nfs/dbraw/zinc/70/24/41/1072702441.db2.gz OLQGLRUWUUKVDP-ZDUSSCGKSA-N 0 0 445.460 -0.024 20 0 IBADRN COC(=O)N1CCC(CNC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000803567694 1072702607 /nfs/dbraw/zinc/70/26/07/1072702607.db2.gz JNOGJCGQFIMSOQ-UHFFFAOYSA-N 0 0 426.451 -0.164 20 0 IBADRN O=C(NCCn1cc(Br)cn1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803573086 1072702551 /nfs/dbraw/zinc/70/25/51/1072702551.db2.gz CSYGMKKMJUZWFR-LLVKDONJSA-N 0 0 432.300 -0.073 20 0 IBADRN O=C(NCCn1cc(Br)cn1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803573087 1072702544 /nfs/dbraw/zinc/70/25/44/1072702544.db2.gz CSYGMKKMJUZWFR-NSHDSACASA-N 0 0 432.300 -0.073 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)C(=O)C1 ZINC000803573249 1072702477 /nfs/dbraw/zinc/70/24/77/1072702477.db2.gz BEPDSYYAHIHNPP-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC[C@H]2CCCCN2S(C)(=O)=O)C(=O)C1 ZINC000803573250 1072702418 /nfs/dbraw/zinc/70/24/18/1072702418.db2.gz BEPDSYYAHIHNPP-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803576572 1072702535 /nfs/dbraw/zinc/70/25/35/1072702535.db2.gz RUXIEMPDEIKBEQ-CHWSQXEVSA-N 0 0 434.540 -0.918 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803576573 1072702561 /nfs/dbraw/zinc/70/25/61/1072702561.db2.gz RUXIEMPDEIKBEQ-OLZOCXBDSA-N 0 0 434.540 -0.918 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803576574 1072702595 /nfs/dbraw/zinc/70/25/95/1072702595.db2.gz RUXIEMPDEIKBEQ-QWHCGFSZSA-N 0 0 434.540 -0.918 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803576575 1072702936 /nfs/dbraw/zinc/70/29/36/1072702936.db2.gz RUXIEMPDEIKBEQ-STQMWFEESA-N 0 0 434.540 -0.918 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C1=CC=CN3CCS(=O)(=O)N=C13)C2 ZINC000803576687 1072702403 /nfs/dbraw/zinc/70/24/03/1072702403.db2.gz NOOPKJDTXHPKOE-UHFFFAOYSA-N 0 0 436.515 -0.023 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C1=CN3CCS(=O)(=O)N=C3C=C1)C2 ZINC000803577915 1072702905 /nfs/dbraw/zinc/70/29/05/1072702905.db2.gz MGVOCBASOTVZPR-UHFFFAOYSA-N 0 0 436.515 -0.023 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1ccc(N2CCOC2=O)cc1 ZINC000803580935 1072703013 /nfs/dbraw/zinc/70/30/13/1072703013.db2.gz SQOAIXPDXDGRHD-CRAIPNDOSA-N 0 0 434.493 -0.028 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1ccc(N2CCOC2=O)cc1 ZINC000803580938 1072703090 /nfs/dbraw/zinc/70/30/90/1072703090.db2.gz SQOAIXPDXDGRHD-MAUKXSAKSA-N 0 0 434.493 -0.028 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1ccc(N2CCOC2=O)cc1 ZINC000803580940 1072703063 /nfs/dbraw/zinc/70/30/63/1072703063.db2.gz SQOAIXPDXDGRHD-QAPCUYQASA-N 0 0 434.493 -0.028 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1ccc(N2CCOC2=O)cc1 ZINC000803580941 1072703036 /nfs/dbraw/zinc/70/30/36/1072703036.db2.gz SQOAIXPDXDGRHD-YJBOKZPZSA-N 0 0 434.493 -0.028 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1cccc(N2CCOC2=O)c1 ZINC000803592383 1072702986 /nfs/dbraw/zinc/70/29/86/1072702986.db2.gz GUJJNILCUUJQQI-CRAIPNDOSA-N 0 0 434.493 -0.028 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1)c1cccc(N2CCOC2=O)c1 ZINC000803592385 1072703000 /nfs/dbraw/zinc/70/30/00/1072703000.db2.gz GUJJNILCUUJQQI-MAUKXSAKSA-N 0 0 434.493 -0.028 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1cccc(N2CCOC2=O)c1 ZINC000803592387 1072703100 /nfs/dbraw/zinc/70/31/00/1072703100.db2.gz GUJJNILCUUJQQI-QAPCUYQASA-N 0 0 434.493 -0.028 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1)c1cccc(N2CCOC2=O)c1 ZINC000803592389 1072702920 /nfs/dbraw/zinc/70/29/20/1072702920.db2.gz GUJJNILCUUJQQI-YJBOKZPZSA-N 0 0 434.493 -0.028 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803601256 1072703118 /nfs/dbraw/zinc/70/31/18/1072703118.db2.gz QKBOBBYVBZSATQ-AAEUAGOBSA-N 0 0 440.444 -0.469 20 0 IBADRN O=C(NC[C@@H](N1CCOCC1)C(F)(F)F)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803601268 1072702964 /nfs/dbraw/zinc/70/29/64/1072702964.db2.gz QKBOBBYVBZSATQ-DGCLKSJQSA-N 0 0 440.444 -0.469 20 0 IBADRN O=C(NC[C@@H](N1CCOCC1)C(F)(F)F)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803601269 1072703115 /nfs/dbraw/zinc/70/31/15/1072703115.db2.gz QKBOBBYVBZSATQ-WCQYABFASA-N 0 0 440.444 -0.469 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000803601270 1072702945 /nfs/dbraw/zinc/70/29/45/1072702945.db2.gz QKBOBBYVBZSATQ-YPMHNXCESA-N 0 0 440.444 -0.469 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)CC1 ZINC000803603755 1072703083 /nfs/dbraw/zinc/70/30/83/1072703083.db2.gz CIGQGTCRJQLNKC-KRWDZBQOSA-N 0 0 429.495 -0.324 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)CC1 ZINC000803603759 1072703077 /nfs/dbraw/zinc/70/30/77/1072703077.db2.gz CIGQGTCRJQLNKC-QGZVFWFLSA-N 0 0 429.495 -0.324 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000803608372 1072702971 /nfs/dbraw/zinc/70/29/71/1072702971.db2.gz VBGOJYRSDLBKIR-UHFFFAOYSA-N 0 0 429.495 -0.180 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)C2)CC1 ZINC000803627610 1072703049 /nfs/dbraw/zinc/70/30/49/1072703049.db2.gz QSNQVVKTWQERTD-AWEZNQCLSA-N 0 0 442.542 -0.316 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)C2)CC1 ZINC000803627611 1072703106 /nfs/dbraw/zinc/70/31/06/1072703106.db2.gz QSNQVVKTWQERTD-CQSZACIVSA-N 0 0 442.542 -0.316 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCn3cc(C(=O)OC)nn3)cc2S1(=O)=O ZINC000803644077 1072703626 /nfs/dbraw/zinc/70/36/26/1072703626.db2.gz GUBJGIVJBLIHTC-UHFFFAOYSA-N 0 0 437.434 -0.714 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C[C@H](C)NS(=O)(=O)c2cccnc2Cl)nn1 ZINC000803644294 1072703396 /nfs/dbraw/zinc/70/33/96/1072703396.db2.gz KRJXWRYIFPJPPB-JTQLQIEISA-N 0 0 430.874 -0.014 20 0 IBADRN COC(=O)c1cn(CCNC(=O)C[C@@H](C)NS(=O)(=O)c2cccnc2Cl)nn1 ZINC000803644295 1072703640 /nfs/dbraw/zinc/70/36/40/1072703640.db2.gz KRJXWRYIFPJPPB-SNVBAGLBSA-N 0 0 430.874 -0.014 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)nn1 ZINC000803644704 1072703515 /nfs/dbraw/zinc/70/35/15/1072703515.db2.gz PVHQFAMMXVERGY-UHFFFAOYSA-N 0 0 438.397 -0.009 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)nn1 ZINC000803644842 1072703584 /nfs/dbraw/zinc/70/35/84/1072703584.db2.gz UXZALGDALIFIOX-NADMHLTPSA-N 0 0 440.460 -0.016 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)nn1 ZINC000803644843 1072703467 /nfs/dbraw/zinc/70/34/67/1072703467.db2.gz UXZALGDALIFIOX-RCMYXZNBSA-N 0 0 440.460 -0.016 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)nn1 ZINC000803644844 1072703575 /nfs/dbraw/zinc/70/35/75/1072703575.db2.gz UXZALGDALIFIOX-SKHCZCESSA-N 0 0 440.460 -0.016 20 0 IBADRN COC(=O)c1cn(CCNC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)nn1 ZINC000803644845 1072703605 /nfs/dbraw/zinc/70/36/05/1072703605.db2.gz UXZALGDALIFIOX-UXHZXRBQSA-N 0 0 440.460 -0.016 20 0 IBADRN COC(=O)c1cn(CCNC(=O)c2cc(S(N)(=O)=O)ccc2Br)nn1 ZINC000803644935 1072703507 /nfs/dbraw/zinc/70/35/07/1072703507.db2.gz XFVSIHJSZCQZMV-UHFFFAOYSA-N 0 0 432.256 -0.095 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)OCC(=O)N2CCNC(=O)C2)c1 ZINC000803659208 1072703617 /nfs/dbraw/zinc/70/36/17/1072703617.db2.gz ADQWTZTWMRYNKU-UHFFFAOYSA-N 0 0 433.870 -0.620 20 0 IBADRN COc1c(C)cnc(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)c1C ZINC000803668282 1072703942 /nfs/dbraw/zinc/70/39/42/1072703942.db2.gz KLPDIRRYPBVURN-UHFFFAOYSA-N 0 0 425.467 -0.453 20 0 IBADRN COc1c(C)cnc(CNC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1C ZINC000803668286 1072703973 /nfs/dbraw/zinc/70/39/73/1072703973.db2.gz KPRCFSWNVFISRK-UHFFFAOYSA-N 0 0 430.552 -0.182 20 0 IBADRN COc1c(C)cnc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1C ZINC000803669215 1072703886 /nfs/dbraw/zinc/70/38/86/1072703886.db2.gz JVUBAXUPVLUDMP-UHFFFAOYSA-N 0 0 433.509 -0.674 20 0 IBADRN COc1c(C)cnc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C ZINC000803671797 1072703898 /nfs/dbraw/zinc/70/38/98/1072703898.db2.gz KXYNXYXDVHHIGL-UHFFFAOYSA-N 0 0 433.509 -0.674 20 0 IBADRN COc1cccc(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000803689748 1072704067 /nfs/dbraw/zinc/70/40/67/1072704067.db2.gz YYXPYQMMFIIYIG-UHFFFAOYSA-N 0 0 443.485 0.000 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC000803694234 1072704108 /nfs/dbraw/zinc/70/41/08/1072704108.db2.gz POLWJSFYHWTLNL-CYBMUJFWSA-N 0 0 440.522 -0.389 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC000803694235 1072704045 /nfs/dbraw/zinc/70/40/45/1072704045.db2.gz POLWJSFYHWTLNL-ZDUSSCGKSA-N 0 0 440.522 -0.389 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)CN(C)CC(=O)NC(C)C)ccc1C ZINC000803694268 1072704088 /nfs/dbraw/zinc/70/40/88/1072704088.db2.gz RGVIAOCEXOHSHE-UHFFFAOYSA-N 0 0 428.511 -0.159 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)CN(C)CC(=O)NC(C)C)c1C ZINC000803694483 1072703969 /nfs/dbraw/zinc/70/39/69/1072703969.db2.gz ZEHUFAQJNMMLTA-UHFFFAOYSA-N 0 0 428.511 -0.111 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000803694915 1072704596 /nfs/dbraw/zinc/70/45/96/1072704596.db2.gz HQJIFRSYLZWFBN-UHFFFAOYSA-N 0 0 428.511 -0.125 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000803695144 1072704610 /nfs/dbraw/zinc/70/46/10/1072704610.db2.gz MBDMGBRJYFHVSQ-INIZCTEOSA-N 0 0 431.555 -0.056 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000803695145 1072704673 /nfs/dbraw/zinc/70/46/73/1072704673.db2.gz MBDMGBRJYFHVSQ-MRXNPFEDSA-N 0 0 431.555 -0.056 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000803695248 1072704032 /nfs/dbraw/zinc/70/40/32/1072704032.db2.gz MZQALSFNVVOTCV-UHFFFAOYSA-N 0 0 426.495 -0.777 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O ZINC000803695522 1072704095 /nfs/dbraw/zinc/70/40/95/1072704095.db2.gz UAXIWQITFYEQGL-UHFFFAOYSA-N 0 0 425.486 -0.482 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)Nc1cc(S(N)(=O)=O)ccc1Cl ZINC000803695738 1072704473 /nfs/dbraw/zinc/70/44/73/1072704473.db2.gz YHVNHKXYSMNNRR-UHFFFAOYSA-N 0 0 434.902 -0.075 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000803696164 1072704645 /nfs/dbraw/zinc/70/46/45/1072704645.db2.gz GGFGPWUAHSJKMZ-UHFFFAOYSA-N 0 0 446.512 -0.989 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000803703671 1072704549 /nfs/dbraw/zinc/70/45/49/1072704549.db2.gz DYMBUHOMPXGVKE-GFCCVEGCSA-N 0 0 434.478 -0.400 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000803703673 1072704408 /nfs/dbraw/zinc/70/44/08/1072704408.db2.gz DYMBUHOMPXGVKE-LBPRGKRZSA-N 0 0 434.478 -0.400 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000803703701 1072704661 /nfs/dbraw/zinc/70/46/61/1072704661.db2.gz FUADKARQEGGAMA-AAEUAGOBSA-N 0 0 447.535 -0.113 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000803703702 1072704620 /nfs/dbraw/zinc/70/46/20/1072704620.db2.gz FUADKARQEGGAMA-DGCLKSJQSA-N 0 0 447.535 -0.113 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000803703704 1072704464 /nfs/dbraw/zinc/70/44/64/1072704464.db2.gz FUADKARQEGGAMA-WCQYABFASA-N 0 0 447.535 -0.113 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000803703705 1072704669 /nfs/dbraw/zinc/70/46/69/1072704669.db2.gz FUADKARQEGGAMA-YPMHNXCESA-N 0 0 447.535 -0.113 20 0 IBADRN Nc1cc(C(=O)OCCN2C(=O)c3ccccc3S2(=O)=O)ccc1S(N)(=O)=O ZINC000803703768 1072704637 /nfs/dbraw/zinc/70/46/37/1072704637.db2.gz HNNZJBLEMJCNAB-UHFFFAOYSA-N 0 0 425.444 -0.082 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000803704475 1072704419 /nfs/dbraw/zinc/70/44/19/1072704419.db2.gz SIHIKLCEMBEEJX-CMPLNLGQSA-N 0 0 433.508 -0.503 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000803704476 1072704392 /nfs/dbraw/zinc/70/43/92/1072704392.db2.gz SIHIKLCEMBEEJX-JQWIXIFHSA-N 0 0 433.508 -0.503 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000803704477 1072704586 /nfs/dbraw/zinc/70/45/86/1072704586.db2.gz SIHIKLCEMBEEJX-PWSUYJOCSA-N 0 0 433.508 -0.503 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000803704478 1072704665 /nfs/dbraw/zinc/70/46/65/1072704665.db2.gz SIHIKLCEMBEEJX-ZYHUDNBSSA-N 0 0 433.508 -0.503 20 0 IBADRN Nc1cc(C(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)ccc1S(N)(=O)=O ZINC000803704701 1072704628 /nfs/dbraw/zinc/70/46/28/1072704628.db2.gz APDOAUQSOFVJAQ-UHFFFAOYSA-N 0 0 437.430 -0.003 20 0 IBADRN Nc1cc(C(=O)OCC(=O)NCCCN2CCCCCC2=O)ccc1S(N)(=O)=O ZINC000803704726 1072704534 /nfs/dbraw/zinc/70/45/34/1072704534.db2.gz BVNUQUXCSGHISG-UHFFFAOYSA-N 0 0 426.495 -0.018 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000803704781 1072704959 /nfs/dbraw/zinc/70/49/59/1072704959.db2.gz DSFRZQMOUMZLAN-CYBMUJFWSA-N 0 0 447.535 -0.111 20 0 IBADRN CCCCN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000803704782 1072704981 /nfs/dbraw/zinc/70/49/81/1072704981.db2.gz DSFRZQMOUMZLAN-ZDUSSCGKSA-N 0 0 447.535 -0.111 20 0 IBADRN Nc1cc(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)ccc1S(N)(=O)=O ZINC000803704879 1072704941 /nfs/dbraw/zinc/70/49/41/1072704941.db2.gz IRYKGGFBVWFDKW-UHFFFAOYSA-N 0 0 436.446 -0.349 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000803704888 1072705063 /nfs/dbraw/zinc/70/50/63/1072705063.db2.gz IYGJBLZHYLGVSQ-CYBMUJFWSA-N 0 0 447.535 -0.255 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1ccc(S(N)(=O)=O)c(N)c1)[C@H]1CCS(=O)(=O)C1 ZINC000803704889 1072705138 /nfs/dbraw/zinc/70/51/38/1072705138.db2.gz IYGJBLZHYLGVSQ-ZDUSSCGKSA-N 0 0 447.535 -0.255 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(N)(=O)=O)c(N)c2)CC1 ZINC000803704980 1072705105 /nfs/dbraw/zinc/70/51/05/1072705105.db2.gz MGXJYSMCVDYTCY-LLVKDONJSA-N 0 0 428.467 -0.238 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(N)(=O)=O)c(N)c2)CC1 ZINC000803704981 1072704970 /nfs/dbraw/zinc/70/49/70/1072704970.db2.gz MGXJYSMCVDYTCY-NSHDSACASA-N 0 0 428.467 -0.238 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)c2ccc(S(N)(=O)=O)c(N)c2)C(C)C)CC1 ZINC000803705552 1072705009 /nfs/dbraw/zinc/70/50/09/1072705009.db2.gz FRPJDSCATMOJDF-INIZCTEOSA-N 0 0 426.495 -0.212 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)c2ccc(S(N)(=O)=O)c(N)c2)C(C)C)CC1 ZINC000803705553 1072705089 /nfs/dbraw/zinc/70/50/89/1072705089.db2.gz FRPJDSCATMOJDF-MRXNPFEDSA-N 0 0 426.495 -0.212 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3cccc(CS(C)(=O)=O)c3)C[C@H]1COCC2 ZINC000803707108 1072705072 /nfs/dbraw/zinc/70/50/72/1072705072.db2.gz UKZCUJQHKBSHCY-OXJNMPFZSA-N 0 0 438.502 -0.115 20 0 IBADRN COC(=O)Cc1c2ccccc2[nH]c1C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000803713469 1072704919 /nfs/dbraw/zinc/70/49/19/1072704919.db2.gz XWPBDNCJMPXTBP-UHFFFAOYSA-N 0 0 428.401 -0.097 20 0 IBADRN CON(C)C(=O)c1cc(F)ccc1NC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000803715045 1072705022 /nfs/dbraw/zinc/70/50/22/1072705022.db2.gz UDPVQGCVJHPWFL-UHFFFAOYSA-N 0 0 427.414 -0.197 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(Cc2ccco2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000803720130 1072704952 /nfs/dbraw/zinc/70/49/52/1072704952.db2.gz GOAAVARVSCIVIO-NEPJUHHUSA-N 0 0 427.435 -0.816 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(Cc2ccco2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000803720131 1072704929 /nfs/dbraw/zinc/70/49/29/1072704929.db2.gz GOAAVARVSCIVIO-NWDGAFQWSA-N 0 0 427.435 -0.816 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(Cc2ccco2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000803720132 1072705000 /nfs/dbraw/zinc/70/50/00/1072705000.db2.gz GOAAVARVSCIVIO-RYUDHWBXSA-N 0 0 427.435 -0.816 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(Cc2ccco2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000803720133 1072704990 /nfs/dbraw/zinc/70/49/90/1072704990.db2.gz GOAAVARVSCIVIO-VXGBXAGGSA-N 0 0 427.435 -0.816 20 0 IBADRN Cc1nnc(COCC(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)s1 ZINC000803724685 1072705109 /nfs/dbraw/zinc/70/51/09/1072705109.db2.gz ABIGPEZXRVYQST-UHFFFAOYSA-N 0 0 425.467 -0.112 20 0 IBADRN Cc1nnc(COCC(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)s1 ZINC000803725355 1072705095 /nfs/dbraw/zinc/70/50/95/1072705095.db2.gz ONCVKZOXRIAMDQ-UHFFFAOYSA-N 0 0 427.527 -0.035 20 0 IBADRN Cc1nnc(COCC(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)s1 ZINC000803726404 1072705121 /nfs/dbraw/zinc/70/51/21/1072705121.db2.gz GLPZOYYSZQBMBI-UHFFFAOYSA-N 0 0 446.493 -0.068 20 0 IBADRN Cc1ccc(N2C[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000803784850 1072706902 /nfs/dbraw/zinc/70/69/02/1072706902.db2.gz HREBXMVKWGUTFU-KBPBESRZSA-N 0 0 437.474 -0.096 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000803784851 1072706978 /nfs/dbraw/zinc/70/69/78/1072706978.db2.gz HREBXMVKWGUTFU-KGLIPLIRSA-N 0 0 437.474 -0.096 20 0 IBADRN Cc1ccc(N2C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000803784852 1072706879 /nfs/dbraw/zinc/70/68/79/1072706879.db2.gz HREBXMVKWGUTFU-UONOGXRCSA-N 0 0 437.474 -0.096 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)cc1 ZINC000803784853 1072706724 /nfs/dbraw/zinc/70/67/24/1072706724.db2.gz HREBXMVKWGUTFU-ZIAGYGMSSA-N 0 0 437.474 -0.096 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000803802350 1072706890 /nfs/dbraw/zinc/70/68/90/1072706890.db2.gz QLNGVMBCCFYKBJ-UHFFFAOYSA-N 0 0 444.462 -0.739 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000803802770 1072706934 /nfs/dbraw/zinc/70/69/34/1072706934.db2.gz UVNDXHBFZAJTJB-UHFFFAOYSA-N 0 0 430.435 -0.621 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000803804098 1072706713 /nfs/dbraw/zinc/70/67/13/1072706713.db2.gz HCHUXSBVMDPOPG-UHFFFAOYSA-N 0 0 432.426 -0.609 20 0 IBADRN COC(=O)COCC(=O)O[C@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000803804223 1072706742 /nfs/dbraw/zinc/70/67/42/1072706742.db2.gz LDZFHOFRPSLNMT-CYBMUJFWSA-N 0 0 444.462 -0.233 20 0 IBADRN COC(=O)COCC(=O)O[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000803804224 1072706816 /nfs/dbraw/zinc/70/68/16/1072706816.db2.gz LDZFHOFRPSLNMT-ZDUSSCGKSA-N 0 0 444.462 -0.233 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000803804726 1072706947 /nfs/dbraw/zinc/70/69/47/1072706947.db2.gz DLYHMOJRNUSCSJ-UHFFFAOYSA-N 0 0 432.426 -0.609 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000803805056 1072707400 /nfs/dbraw/zinc/70/74/00/1072707400.db2.gz BCHUAUQXQIFMGZ-UHFFFAOYSA-N 0 0 428.463 -0.607 20 0 IBADRN COC(=O)COCC(=O)O[C@@H](C)C(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000803805120 1073147718 /nfs/dbraw/zinc/14/77/18/1073147718.db2.gz DIARJXDSFVEPML-HNNXBMFYSA-N 0 0 442.490 -0.051 20 0 IBADRN COC(=O)COCC(=O)O[C@H](C)C(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000803805121 1073147574 /nfs/dbraw/zinc/14/75/74/1073147574.db2.gz DIARJXDSFVEPML-OAHLLOKOSA-N 0 0 442.490 -0.051 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000803805189 1072707301 /nfs/dbraw/zinc/70/73/01/1072707301.db2.gz QXZXZIKZUMGBLK-UHFFFAOYSA-N 0 0 444.462 -0.313 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000803805190 1072707315 /nfs/dbraw/zinc/70/73/15/1072707315.db2.gz QZYJJXBUZZFREO-INIZCTEOSA-N 0 0 436.417 -0.770 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000803805191 1072707288 /nfs/dbraw/zinc/70/72/88/1072707288.db2.gz QZYJJXBUZZFREO-MRXNPFEDSA-N 0 0 436.417 -0.770 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000803805340 1072707354 /nfs/dbraw/zinc/70/73/54/1072707354.db2.gz UPLUSHIJHRPZPJ-UHFFFAOYSA-N 0 0 428.463 -0.051 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000803805625 1072706703 /nfs/dbraw/zinc/70/67/03/1072706703.db2.gz ZPKDIBYGPVIHJG-UHFFFAOYSA-N 0 0 430.435 -0.621 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000803805661 1072706965 /nfs/dbraw/zinc/70/69/65/1072706965.db2.gz LUVZKWGVMYQRHF-UHFFFAOYSA-N 0 0 432.426 -0.609 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000803805856 1072707268 /nfs/dbraw/zinc/70/72/68/1072707268.db2.gz APFRQKRBCPOSIJ-UHFFFAOYSA-N 0 0 448.881 -0.094 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C)c(C)c2)CC1 ZINC000803805912 1072707249 /nfs/dbraw/zinc/70/72/49/1072707249.db2.gz CHSANTNIDIAENT-UHFFFAOYSA-N 0 0 442.490 -0.131 20 0 IBADRN COC(=O)COCC(=O)O[C@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000803806636 1072707280 /nfs/dbraw/zinc/70/72/80/1072707280.db2.gz UFVUZZLPILMIOA-CYBMUJFWSA-N 0 0 444.462 -0.233 20 0 IBADRN COC(=O)COCC(=O)O[C@@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000803806637 1072707330 /nfs/dbraw/zinc/70/73/30/1072707330.db2.gz UFVUZZLPILMIOA-ZDUSSCGKSA-N 0 0 444.462 -0.233 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000803806694 1072707342 /nfs/dbraw/zinc/70/73/42/1072707342.db2.gz WSZHCAXKKDMEDP-GHXNOFRVSA-N 0 0 440.474 -0.136 20 0 IBADRN COC(=O)COCC(=O)OCC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000803806695 1072707348 /nfs/dbraw/zinc/70/73/48/1072707348.db2.gz WSZHCAXKKDMEDP-KPKJPENVSA-N 0 0 440.474 -0.136 20 0 IBADRN CCOC(=O)C1(C(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)CCOCC1 ZINC000803831986 1072707389 /nfs/dbraw/zinc/70/73/89/1072707389.db2.gz NFFMOMYXYFULOF-UHFFFAOYSA-N 0 0 439.465 -0.129 20 0 IBADRN CCOC(=O)C1(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CCOCC1 ZINC000803832015 1072707334 /nfs/dbraw/zinc/70/73/34/1072707334.db2.gz OKJJWHBVYFCJOM-UHFFFAOYSA-N 0 0 441.525 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)OCc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)c2C1 ZINC000803837070 1072707320 /nfs/dbraw/zinc/70/73/20/1072707320.db2.gz MASIFKLLSFDNRW-LLVKDONJSA-N 0 0 429.437 -0.788 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)OCc3nc4c([nH]3)n(C)c(=O)n(C)c4=O)c2C1 ZINC000803837072 1072707369 /nfs/dbraw/zinc/70/73/69/1072707369.db2.gz MASIFKLLSFDNRW-NSHDSACASA-N 0 0 429.437 -0.788 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)OCC(=O)N3CCN(c4cnccn4)CC3)c2C1 ZINC000803839033 1072707274 /nfs/dbraw/zinc/70/72/74/1072707274.db2.gz QGXLYCQDIXAIIQ-INIZCTEOSA-N 0 0 441.492 -0.123 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)OCC(=O)N3CCN(c4cnccn4)CC3)c2C1 ZINC000803839037 1072707307 /nfs/dbraw/zinc/70/73/07/1072707307.db2.gz QGXLYCQDIXAIIQ-MRXNPFEDSA-N 0 0 441.492 -0.123 20 0 IBADRN Cc1coc(CC(=O)OCC(=O)N2CCOCC2)c1C(=O)OCC(=O)N1CCOCC1 ZINC000803839378 1072707363 /nfs/dbraw/zinc/70/73/63/1072707363.db2.gz FEZPFZORMZPKFB-UHFFFAOYSA-N 0 0 438.433 -0.452 20 0 IBADRN CNC(=O)NC(=O)COC(=O)Cc1c(Cl)cccc1C(=O)OCC(=O)NC(=O)NC ZINC000803840483 1072707257 /nfs/dbraw/zinc/70/72/57/1072707257.db2.gz XKRZWZUDIUGTGF-UHFFFAOYSA-N 0 0 442.812 -0.506 20 0 IBADRN O=C(COc1ccc(CO)cc1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000803844521 1072707897 /nfs/dbraw/zinc/70/78/97/1072707897.db2.gz WVLOVFZSMQVZGS-INIZCTEOSA-N 0 0 426.491 -0.568 20 0 IBADRN O=C(COc1ccc(CO)cc1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000803844522 1072707763 /nfs/dbraw/zinc/70/77/63/1072707763.db2.gz WVLOVFZSMQVZGS-MRXNPFEDSA-N 0 0 426.491 -0.568 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000803860387 1072707821 /nfs/dbraw/zinc/70/78/21/1072707821.db2.gz LFUSIMKHQHHRGJ-UHFFFAOYSA-N 0 0 436.446 -0.213 20 0 IBADRN C[C@H](OC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)NC(=O)NC1CCCCC1 ZINC000803860468 1072707950 /nfs/dbraw/zinc/70/79/50/1072707950.db2.gz NCJMFVBREGWIMC-JTQLQIEISA-N 0 0 428.467 -0.055 20 0 IBADRN C[C@@H](OC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)NC(=O)NC1CCCCC1 ZINC000803860469 1072707962 /nfs/dbraw/zinc/70/79/62/1072707962.db2.gz NCJMFVBREGWIMC-SNVBAGLBSA-N 0 0 428.467 -0.055 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)cc1OC ZINC000803860578 1072707867 /nfs/dbraw/zinc/70/78/67/1072707867.db2.gz CUZPQFHGXUBKOU-UHFFFAOYSA-N 0 0 439.446 -0.268 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000803860582 1072707843 /nfs/dbraw/zinc/70/78/43/1072707843.db2.gz CZAHQTTUMPQSRF-LLVKDONJSA-N 0 0 444.466 -0.912 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000803860583 1072707882 /nfs/dbraw/zinc/70/78/82/1072707882.db2.gz CZAHQTTUMPQSRF-NSHDSACASA-N 0 0 444.466 -0.912 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)CCN1C(=O)c2ccccc2C1=O ZINC000803860595 1072707939 /nfs/dbraw/zinc/70/79/39/1072707939.db2.gz DODFFOKGVFZPON-UHFFFAOYSA-N 0 0 447.425 -0.346 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)cc1 ZINC000803860616 1072707855 /nfs/dbraw/zinc/70/78/55/1072707855.db2.gz NVKRFKJJQKOIMZ-UHFFFAOYSA-N 0 0 429.476 -0.151 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)O[C@@H]1CN(C(=O)OC(C)(C)C)CCC1=O ZINC000803860688 1072707920 /nfs/dbraw/zinc/70/79/20/1072707920.db2.gz POPJHTSJDMLTAM-CYBMUJFWSA-N 0 0 429.451 -0.023 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)O[C@H]1CN(C(=O)OC(C)(C)C)CCC1=O ZINC000803860691 1072707929 /nfs/dbraw/zinc/70/79/29/1072707929.db2.gz POPJHTSJDMLTAM-ZDUSSCGKSA-N 0 0 429.451 -0.023 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC000803860765 1072707907 /nfs/dbraw/zinc/70/79/07/1072707907.db2.gz GZEJRTBGZPTNSL-UHFFFAOYSA-N 0 0 448.501 -0.257 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803860792 1072707873 /nfs/dbraw/zinc/70/78/73/1072707873.db2.gz HUTPBWDAJZFICS-UHFFFAOYSA-N 0 0 437.430 -0.152 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803860800 1072707973 /nfs/dbraw/zinc/70/79/73/1072707973.db2.gz QEYJRGFXHYATMT-NTUHNPAUSA-N 0 0 445.475 -0.622 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803860801 1072707805 /nfs/dbraw/zinc/70/78/05/1072707805.db2.gz QEYJRGFXHYATMT-QPEQYQDCSA-N 0 0 445.475 -0.622 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)N1CCN(Cc2ccco2)CC1 ZINC000803860945 1072708396 /nfs/dbraw/zinc/70/83/96/1072708396.db2.gz SYHPKUMYVMKFRV-UHFFFAOYSA-N 0 0 438.462 -0.664 20 0 IBADRN C[C@@H](OC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)NC(=O)NCc1ccccc1 ZINC000803860947 1072708355 /nfs/dbraw/zinc/70/83/55/1072708355.db2.gz KEPGGRDWFZEGSQ-LLVKDONJSA-N 0 0 436.446 -0.187 20 0 IBADRN C[C@H](OC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)NC(=O)NCc1ccccc1 ZINC000803860948 1072708297 /nfs/dbraw/zinc/70/82/97/1072708297.db2.gz KEPGGRDWFZEGSQ-NSHDSACASA-N 0 0 436.446 -0.187 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC000803861081 1072708322 /nfs/dbraw/zinc/70/83/22/1072708322.db2.gz VNAVXEIPLNWRJM-UHFFFAOYSA-N 0 0 448.457 -0.071 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)NCCCN1CCCCCC1=O ZINC000803861165 1072708414 /nfs/dbraw/zinc/70/84/14/1072708414.db2.gz OSNVNJWTTDXOQK-UHFFFAOYSA-N 0 0 442.494 -0.693 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCc1nnc2n1c1ccccc1c(=O)n2C ZINC000803861383 1072708331 /nfs/dbraw/zinc/70/83/31/1072708331.db2.gz SOGBUKPGRKSHEZ-UHFFFAOYSA-N 0 0 444.429 -0.507 20 0 IBADRN CC(=O)NCCc1ccc(C(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)cc1 ZINC000803861481 1072708345 /nfs/dbraw/zinc/70/83/45/1072708345.db2.gz UXDPOUZKJCIKMH-UHFFFAOYSA-N 0 0 435.458 -0.040 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000803861544 1072708385 /nfs/dbraw/zinc/70/83/85/1072708385.db2.gz XMDVZRDDMCLGHY-JTQLQIEISA-N 0 0 436.446 -0.215 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000803861545 1072708393 /nfs/dbraw/zinc/70/83/93/1072708393.db2.gz XMDVZRDDMCLGHY-SNVBAGLBSA-N 0 0 436.446 -0.215 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803861720 1072707787 /nfs/dbraw/zinc/70/77/87/1072707787.db2.gz FGIJJTPGELPRCM-CMPLNLGQSA-N 0 0 428.467 -0.197 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803861721 1072707892 /nfs/dbraw/zinc/70/78/92/1072707892.db2.gz FGIJJTPGELPRCM-JQWIXIFHSA-N 0 0 428.467 -0.197 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803861722 1072707813 /nfs/dbraw/zinc/70/78/13/1072707813.db2.gz FGIJJTPGELPRCM-PWSUYJOCSA-N 0 0 428.467 -0.197 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803861723 1072707836 /nfs/dbraw/zinc/70/78/36/1072707836.db2.gz FGIJJTPGELPRCM-ZYHUDNBSSA-N 0 0 428.467 -0.197 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCCOc1cccc(S(C)(=O)=O)c1 ZINC000803861730 1072708315 /nfs/dbraw/zinc/70/83/15/1072708315.db2.gz FNNKYUSEENNVMD-UHFFFAOYSA-N 0 0 430.460 -0.119 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)NCCOc1ccc(F)cc1 ZINC000803861739 1072708430 /nfs/dbraw/zinc/70/84/30/1072708430.db2.gz FWCUDVRJHSTCFH-UHFFFAOYSA-N 0 0 427.410 -0.267 20 0 IBADRN COC(=O)c1sccc1NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803861949 1072708743 /nfs/dbraw/zinc/70/87/43/1072708743.db2.gz OBBNRAXXOZAVHZ-UHFFFAOYSA-N 0 0 429.432 -0.115 20 0 IBADRN C[C@H](OC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)NC(=O)NCC(F)(F)F ZINC000803861960 1072708832 /nfs/dbraw/zinc/70/88/32/1072708832.db2.gz OLLIHRUNTGSCSN-LURJTMIESA-N 0 0 428.345 -0.825 20 0 IBADRN C[C@@H](OC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)NC(=O)NCC(F)(F)F ZINC000803861961 1072708876 /nfs/dbraw/zinc/70/88/76/1072708876.db2.gz OLLIHRUNTGSCSN-ZCFIWIBFSA-N 0 0 428.345 -0.825 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C(C)C)CC1 ZINC000803861990 1072708891 /nfs/dbraw/zinc/70/88/91/1072708891.db2.gz PRUXAZQZLIOFAI-INIZCTEOSA-N 0 0 442.494 -0.886 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C(C)C)CC1 ZINC000803861991 1072708790 /nfs/dbraw/zinc/70/87/90/1072708790.db2.gz PRUXAZQZLIOFAI-MRXNPFEDSA-N 0 0 442.494 -0.886 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C1 ZINC000803862108 1072708351 /nfs/dbraw/zinc/70/83/51/1072708351.db2.gz STQFJKJEQOTNFA-NEPJUHHUSA-N 0 0 443.478 -0.411 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C1 ZINC000803862109 1072708307 /nfs/dbraw/zinc/70/83/07/1072708307.db2.gz STQFJKJEQOTNFA-NWDGAFQWSA-N 0 0 443.478 -0.411 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C1 ZINC000803862110 1072708363 /nfs/dbraw/zinc/70/83/63/1072708363.db2.gz STQFJKJEQOTNFA-RYUDHWBXSA-N 0 0 443.478 -0.411 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C1 ZINC000803862111 1072708376 /nfs/dbraw/zinc/70/83/76/1072708376.db2.gz STQFJKJEQOTNFA-VXGBXAGGSA-N 0 0 443.478 -0.411 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)NCCOc1cccc(F)c1 ZINC000803862119 1072708367 /nfs/dbraw/zinc/70/83/67/1072708367.db2.gz TYXNUYRCWHEXMY-UHFFFAOYSA-N 0 0 427.410 -0.267 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CCCCC1 ZINC000803862153 1072708421 /nfs/dbraw/zinc/70/84/21/1072708421.db2.gz VHWAXRZKJASFFZ-UHFFFAOYSA-N 0 0 429.451 -0.610 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000803862154 1072708774 /nfs/dbraw/zinc/70/87/74/1072708774.db2.gz VIKZCSWHTABSOV-UHFFFAOYSA-N 0 0 436.446 -0.261 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000803862193 1072708852 /nfs/dbraw/zinc/70/88/52/1072708852.db2.gz WXLFBQYAURRLQY-UHFFFAOYSA-N 0 0 429.451 -0.800 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C1 ZINC000803862283 1072708407 /nfs/dbraw/zinc/70/84/07/1072708407.db2.gz AHBNRQYPMVCQGF-LLVKDONJSA-N 0 0 429.451 -0.800 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)C1 ZINC000803862284 1072708339 /nfs/dbraw/zinc/70/83/39/1072708339.db2.gz AHBNRQYPMVCQGF-NSHDSACASA-N 0 0 429.451 -0.800 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)c1cccc(S(C)(=O)=O)c1 ZINC000803862310 1072708359 /nfs/dbraw/zinc/70/83/59/1072708359.db2.gz BJKOZQGBHUYVEA-UHFFFAOYSA-N 0 0 428.444 -0.315 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c1 ZINC000803862433 1072708898 /nfs/dbraw/zinc/70/88/98/1072708898.db2.gz HLEOYCCZRPWHLU-UHFFFAOYSA-N 0 0 429.476 -0.151 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCCN1C(=O)c2ccccc2S1(=O)=O ZINC000803862453 1072709218 /nfs/dbraw/zinc/70/92/18/1072709218.db2.gz IKFHGXUZFRMZNY-UHFFFAOYSA-N 0 0 441.443 -0.757 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCc1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000803862506 1072709193 /nfs/dbraw/zinc/70/91/93/1072709193.db2.gz KBFHOQBCSKMDNJ-UHFFFAOYSA-N 0 0 445.475 -0.024 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)c1ccccc1 ZINC000803862643 1072708843 /nfs/dbraw/zinc/70/88/43/1072708843.db2.gz PJEZRPHVLPWTCD-HNNXBMFYSA-N 0 0 436.446 -0.998 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)c1ccccc1 ZINC000803862644 1072708860 /nfs/dbraw/zinc/70/88/60/1072708860.db2.gz PJEZRPHVLPWTCD-OAHLLOKOSA-N 0 0 436.446 -0.998 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000803862699 1072708884 /nfs/dbraw/zinc/70/88/84/1072708884.db2.gz RTVIXLMOJCVZCY-LLVKDONJSA-N 0 0 443.478 -0.411 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000803862700 1072708766 /nfs/dbraw/zinc/70/87/66/1072708766.db2.gz RTVIXLMOJCVZCY-NSHDSACASA-N 0 0 443.478 -0.411 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)NC(=O)NCc1cccs1 ZINC000803862707 1072708802 /nfs/dbraw/zinc/70/88/02/1072708802.db2.gz SBSGJJMIBCCLFG-UHFFFAOYSA-N 0 0 428.448 -0.514 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCCN(Cc1ccccc1)S(C)(=O)=O ZINC000803862757 1072708779 /nfs/dbraw/zinc/70/87/79/1072708779.db2.gz UAYJCEMYFMLENW-UHFFFAOYSA-N 0 0 443.503 -0.140 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)cc1 ZINC000803862806 1072708807 /nfs/dbraw/zinc/70/88/07/1072708807.db2.gz VNLWCAZQPTWKSL-UHFFFAOYSA-N 0 0 436.446 -0.261 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)[C@H]1CCCN1C(=O)C(F)(F)F ZINC000803862818 1072708796 /nfs/dbraw/zinc/70/87/96/1072708796.db2.gz VZDJOAAVJRRBRA-SECBINFHSA-N 0 0 439.368 -0.479 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC000803862819 1072708750 /nfs/dbraw/zinc/70/87/50/1072708750.db2.gz VZDJOAAVJRRBRA-VIFPVBQESA-N 0 0 439.368 -0.479 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)Nc1ccccc1C(=O)NC1CC1 ZINC000803862825 1072708868 /nfs/dbraw/zinc/70/88/68/1072708868.db2.gz WGSVOBPGCMGEFW-UHFFFAOYSA-N 0 0 448.457 -0.071 20 0 IBADRN C[C@H](OC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000803862851 1072708836 /nfs/dbraw/zinc/70/88/36/1072708836.db2.gz WZPUYAZRUYATGJ-JTQLQIEISA-N 0 0 434.430 -0.228 20 0 IBADRN C[C@@H](OC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)N1CC(=O)Nc2ccccc21 ZINC000803862852 1072708758 /nfs/dbraw/zinc/70/87/58/1072708758.db2.gz WZPUYAZRUYATGJ-SNVBAGLBSA-N 0 0 434.430 -0.228 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)CN1C(=O)c2ccccc2C1=O ZINC000803862931 1072709266 /nfs/dbraw/zinc/70/92/66/1072709266.db2.gz ZQGOGAYXSVBKPP-UHFFFAOYSA-N 0 0 433.398 -0.736 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)NCCN1CCc2ccccc21 ZINC000803863206 1072709235 /nfs/dbraw/zinc/70/92/35/1072709235.db2.gz CIZWCJGMUUBHSP-UHFFFAOYSA-N 0 0 434.474 -0.423 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000803863378 1072709339 /nfs/dbraw/zinc/70/93/39/1072709339.db2.gz FNHDGQQCNYNZPZ-LLVKDONJSA-N 0 0 437.430 -0.645 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)NC[C@H]1COc2ccccc2O1 ZINC000803863381 1072709261 /nfs/dbraw/zinc/70/92/61/1072709261.db2.gz FNHDGQQCNYNZPZ-NSHDSACASA-N 0 0 437.430 -0.645 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCc1cc(Cl)cc(S(N)(=O)=O)c1 ZINC000803863483 1072709201 /nfs/dbraw/zinc/70/92/01/1072709201.db2.gz HRLBBVSQVCMTAG-UHFFFAOYSA-N 0 0 435.867 -0.101 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803863655 1072709210 /nfs/dbraw/zinc/70/92/10/1072709210.db2.gz JMTSCEPFSUGHKC-HNNXBMFYSA-N 0 0 435.458 -0.285 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803863657 1072709320 /nfs/dbraw/zinc/70/93/20/1072709320.db2.gz JMTSCEPFSUGHKC-OAHLLOKOSA-N 0 0 435.458 -0.285 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC000803864246 1072709328 /nfs/dbraw/zinc/70/93/28/1072709328.db2.gz PUTSHCWKFNDKSG-UHFFFAOYSA-N 0 0 444.466 -0.865 20 0 IBADRN CC(=O)NCc1ccc(C(=O)COC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)s1 ZINC000803864647 1072709225 /nfs/dbraw/zinc/70/92/25/1072709225.db2.gz XPNRPDSATCHCBZ-UHFFFAOYSA-N 0 0 427.460 -0.021 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000803864702 1072709240 /nfs/dbraw/zinc/70/92/40/1072709240.db2.gz ZNKYSERLCJXZRN-UHFFFAOYSA-N 0 0 445.457 -0.100 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803864715 1072709313 /nfs/dbraw/zinc/70/93/13/1072709313.db2.gz ZTNGMFQJHLAXFX-GFCCVEGCSA-N 0 0 429.451 -0.657 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000803864718 1072709306 /nfs/dbraw/zinc/70/93/06/1072709306.db2.gz ZTNGMFQJHLAXFX-LBPRGKRZSA-N 0 0 429.451 -0.657 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000803914139 1072709184 /nfs/dbraw/zinc/70/91/84/1072709184.db2.gz PPSJOUBQZPHIBZ-CYBMUJFWSA-N 0 0 431.478 -0.924 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000803914140 1072709290 /nfs/dbraw/zinc/70/92/90/1072709290.db2.gz PPSJOUBQZPHIBZ-ZDUSSCGKSA-N 0 0 431.478 -0.924 20 0 IBADRN C[C@@H](Cn1cncn1)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803922881 1072709282 /nfs/dbraw/zinc/70/92/82/1072709282.db2.gz FBMBQLWQZDDEBF-JTQLQIEISA-N 0 0 426.421 -0.539 20 0 IBADRN C[C@H](Cn1cncn1)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000803922884 1072709299 /nfs/dbraw/zinc/70/92/99/1072709299.db2.gz FBMBQLWQZDDEBF-SNVBAGLBSA-N 0 0 426.421 -0.539 20 0 IBADRN Cc1nn(Cc2ccccc2)c(N)c1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000803932844 1072709272 /nfs/dbraw/zinc/70/92/72/1072709272.db2.gz ROJURJRZESHFMR-AWEZNQCLSA-N 0 0 449.489 -0.008 20 0 IBADRN Cc1nn(Cc2ccccc2)c(N)c1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000803932845 1072709760 /nfs/dbraw/zinc/70/97/60/1072709760.db2.gz ROJURJRZESHFMR-CQSZACIVSA-N 0 0 449.489 -0.008 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)COC(=O)C1CCN(S(=O)(=O)NC)CC1 ZINC000803934880 1072709733 /nfs/dbraw/zinc/70/97/33/1072709733.db2.gz JFUIFZKBXJIIAY-UHFFFAOYSA-N 0 0 431.471 -0.745 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(Cc3ccco3)CC2)CC1 ZINC000803934884 1072709779 /nfs/dbraw/zinc/70/97/79/1072709779.db2.gz JIJCZYFXMZPCAH-UHFFFAOYSA-N 0 0 428.511 -0.357 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)CC1 ZINC000803935506 1072709767 /nfs/dbraw/zinc/70/97/67/1072709767.db2.gz FDJWUZYVMQNSSM-UHFFFAOYSA-N 0 0 447.477 -0.346 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)CC1 ZINC000803935668 1072709786 /nfs/dbraw/zinc/70/97/86/1072709786.db2.gz AUQXHYULKLUJQI-UHFFFAOYSA-N 0 0 443.478 -0.370 20 0 IBADRN Cc1nn(Cc2ccccc2)c(N)c1C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000803935670 1072709676 /nfs/dbraw/zinc/70/96/76/1072709676.db2.gz TUAFSPPJVSJFHK-UHFFFAOYSA-N 0 0 426.433 -0.159 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)c2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC000803935744 1072709798 /nfs/dbraw/zinc/70/97/98/1072709798.db2.gz KADZEUNWLGWWBT-UHFFFAOYSA-N 0 0 447.535 -0.016 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)C2CCN(S(=O)(=O)NC)CC2)CC1 ZINC000803935857 1072709802 /nfs/dbraw/zinc/70/98/02/1072709802.db2.gz LQKOSLNIIZLXAP-CYBMUJFWSA-N 0 0 433.527 -0.104 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)C2CCN(S(=O)(=O)NC)CC2)CC1 ZINC000803935860 1072709721 /nfs/dbraw/zinc/70/97/21/1072709721.db2.gz LQKOSLNIIZLXAP-ZDUSSCGKSA-N 0 0 433.527 -0.104 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)C1CCN(S(=O)(=O)NC)CC1)c1ccccc1 ZINC000803936096 1072710106 /nfs/dbraw/zinc/71/01/06/1072710106.db2.gz XQPWZFYBRGPFAO-INIZCTEOSA-N 0 0 426.495 -0.691 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)C1CCN(S(=O)(=O)NC)CC1)c1ccccc1 ZINC000803936103 1072710042 /nfs/dbraw/zinc/71/00/42/1072710042.db2.gz XQPWZFYBRGPFAO-MRXNPFEDSA-N 0 0 426.495 -0.691 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCCCN2C(=O)CS/C2=C/C(=O)OC)CC1 ZINC000803936234 1072710147 /nfs/dbraw/zinc/71/01/47/1072710147.db2.gz ZSYRLDDEWASYKD-GXDHUFHOSA-N 0 0 435.524 -0.314 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCCCN2C(=O)CS/C2=C\C(=O)OC)CC1 ZINC000803936235 1072710229 /nfs/dbraw/zinc/71/02/29/1072710229.db2.gz ZSYRLDDEWASYKD-UVTDQMKNSA-N 0 0 435.524 -0.314 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)C2CCN(S(=O)(=O)NC)CC2)CC1 ZINC000803936262 1072710179 /nfs/dbraw/zinc/71/01/79/1072710179.db2.gz IADHFDAGMPGASJ-CYBMUJFWSA-N 0 0 434.515 -0.605 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)C2CCN(S(=O)(=O)NC)CC2)CC1 ZINC000803936263 1072710065 /nfs/dbraw/zinc/71/00/65/1072710065.db2.gz IADHFDAGMPGASJ-ZDUSSCGKSA-N 0 0 434.515 -0.605 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000803936273 1072710216 /nfs/dbraw/zinc/71/02/16/1072710216.db2.gz IKZJTERPNKLYNE-UHFFFAOYSA-N 0 0 448.448 -0.261 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)NCCCN2CCCCCC2=O)CC1 ZINC000803936362 1072710056 /nfs/dbraw/zinc/71/00/56/1072710056.db2.gz KKBBRZNBNCDXQK-UHFFFAOYSA-N 0 0 432.543 -0.385 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)N(CCC(N)=O)Cc2ccccc2)CC1 ZINC000803936532 1072709790 /nfs/dbraw/zinc/70/97/90/1072709790.db2.gz DCOCLTROJHWFAN-UHFFFAOYSA-N 0 0 440.522 -0.390 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@H](C(=O)N2CCN(C(C)=O)CC2)C(C)C)CC1 ZINC000803936575 1072709709 /nfs/dbraw/zinc/70/97/09/1072709709.db2.gz LODQQGAFBMSOTM-INIZCTEOSA-N 0 0 432.543 -0.579 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@@H](C(=O)N2CCN(C(C)=O)CC2)C(C)C)CC1 ZINC000803936577 1072710238 /nfs/dbraw/zinc/71/02/38/1072710238.db2.gz LODQQGAFBMSOTM-MRXNPFEDSA-N 0 0 432.543 -0.579 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)CCN2C(=O)c3ccccc3C2=O)CC1 ZINC000803936595 1072710115 /nfs/dbraw/zinc/71/01/15/1072710115.db2.gz STVORKIHASCOND-UHFFFAOYSA-N 0 0 437.474 -0.039 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)[C@H]2CCCN2C(=O)C(F)(F)F)CC1 ZINC000803936635 1072710201 /nfs/dbraw/zinc/71/02/01/1072710201.db2.gz TZLODJPEQXVGTG-LLVKDONJSA-N 0 0 429.417 -0.172 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)[C@@H]2CCCN2C(=O)C(F)(F)F)CC1 ZINC000803936642 1072710250 /nfs/dbraw/zinc/71/02/50/1072710250.db2.gz TZLODJPEQXVGTG-NSHDSACASA-N 0 0 429.417 -0.172 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000803936718 1072709687 /nfs/dbraw/zinc/70/96/87/1072709687.db2.gz MSQKDEBUWGGDPJ-UHFFFAOYSA-N 0 0 433.508 -0.040 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000803936860 1072709664 /nfs/dbraw/zinc/70/96/64/1072709664.db2.gz NZZSXHWBYGSMCI-UHFFFAOYSA-N 0 0 438.462 -0.430 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@H](C(=O)N2CCC[C@@H](C(N)=O)C2)C(C)C)CC1 ZINC000803936916 1072709740 /nfs/dbraw/zinc/70/97/40/1072709740.db2.gz PHEQEBYFNHAMTH-CABCVRRESA-N 0 0 432.543 -0.546 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@H](C(=O)N2CCC[C@H](C(N)=O)C2)C(C)C)CC1 ZINC000803936920 1072709795 /nfs/dbraw/zinc/70/97/95/1072709795.db2.gz PHEQEBYFNHAMTH-GJZGRUSLSA-N 0 0 432.543 -0.546 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@@H](C(=O)N2CCC[C@@H](C(N)=O)C2)C(C)C)CC1 ZINC000803936922 1072709639 /nfs/dbraw/zinc/70/96/39/1072709639.db2.gz PHEQEBYFNHAMTH-HUUCEWRRSA-N 0 0 432.543 -0.546 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@@H](C(=O)N2CCC[C@H](C(N)=O)C2)C(C)C)CC1 ZINC000803936924 1072709682 /nfs/dbraw/zinc/70/96/82/1072709682.db2.gz PHEQEBYFNHAMTH-LSDHHAIUSA-N 0 0 432.543 -0.546 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)N(CCC(N)=O)c2ccc(F)cc2)CC1 ZINC000803936935 1072710773 /nfs/dbraw/zinc/71/07/73/1072710773.db2.gz HZADSYVAWUYDHF-UHFFFAOYSA-N 0 0 444.485 -0.247 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000803936993 1072710646 /nfs/dbraw/zinc/71/06/46/1072710646.db2.gz WMGNMTXWHCSAAY-AWEZNQCLSA-N 0 0 440.526 -0.767 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@H](C)C(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000803936996 1072710748 /nfs/dbraw/zinc/71/07/48/1072710748.db2.gz WMGNMTXWHCSAAY-CQSZACIVSA-N 0 0 440.526 -0.767 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCCN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC000803937026 1072710657 /nfs/dbraw/zinc/71/06/57/1072710657.db2.gz XAHYZTZWLVNOFC-UHFFFAOYSA-N 0 0 431.492 -0.450 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC000803937176 1072710737 /nfs/dbraw/zinc/71/07/37/1072710737.db2.gz ZQNJHYUKNQTNKG-UHFFFAOYSA-N 0 0 441.445 -0.541 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)C2CCN(S(=O)(=O)NC)CC2)C1 ZINC000803937843 1072710134 /nfs/dbraw/zinc/71/01/34/1072710134.db2.gz WMKFDEOPMFERJO-DZGCQCFKSA-N 0 0 433.527 -0.104 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)C2CCN(S(=O)(=O)NC)CC2)C1 ZINC000803937844 1072710125 /nfs/dbraw/zinc/71/01/25/1072710125.db2.gz WMKFDEOPMFERJO-HIFRSBDPSA-N 0 0 433.527 -0.104 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)C2CCN(S(=O)(=O)NC)CC2)C1 ZINC000803937845 1072710093 /nfs/dbraw/zinc/71/00/93/1072710093.db2.gz WMKFDEOPMFERJO-UKRRQHHQSA-N 0 0 433.527 -0.104 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)C2CCN(S(=O)(=O)NC)CC2)C1 ZINC000803937846 1072710191 /nfs/dbraw/zinc/71/01/91/1072710191.db2.gz WMKFDEOPMFERJO-ZFWWWQNUSA-N 0 0 433.527 -0.104 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000803937890 1072710074 /nfs/dbraw/zinc/71/00/74/1072710074.db2.gz XURJJDQKNIDQEL-UHFFFAOYSA-N 0 0 442.450 -0.217 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)NC[C@H]2COc3ccccc3O2)CC1 ZINC000803937929 1072710157 /nfs/dbraw/zinc/71/01/57/1072710157.db2.gz ZBDLHLIFXWIQLO-AWEZNQCLSA-N 0 0 427.479 -0.338 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)NC[C@@H]2COc3ccccc3O2)CC1 ZINC000803937930 1072710166 /nfs/dbraw/zinc/71/01/66/1072710166.db2.gz ZBDLHLIFXWIQLO-CQSZACIVSA-N 0 0 427.479 -0.338 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2sc3c(c2C(N)=O)CCC3)CC1 ZINC000803938369 1072710701 /nfs/dbraw/zinc/71/07/01/1072710701.db2.gz NXGFNWOMUCWKCC-UHFFFAOYSA-N 0 0 444.535 -0.006 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)c2ccoc2CC(N)=O)c(N)n(Cc2ccccc2)c1=O ZINC000803941962 1072710639 /nfs/dbraw/zinc/71/06/39/1072710639.db2.gz XYEWHYBBIBXOHL-UHFFFAOYSA-N 0 0 440.412 -0.162 20 0 IBADRN NC(=O)Cc1occc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000803943527 1072710693 /nfs/dbraw/zinc/71/06/93/1072710693.db2.gz CSTPMPPCVHXEEP-UHFFFAOYSA-N 0 0 435.458 -0.003 20 0 IBADRN Cc1ccccc1C(=O)NCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000803943673 1072710625 /nfs/dbraw/zinc/71/06/25/1072710625.db2.gz IPDVIGHXYLZQSZ-INIZCTEOSA-N 0 0 437.518 -0.401 20 0 IBADRN Cc1ccccc1C(=O)NCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000803943674 1072710815 /nfs/dbraw/zinc/71/08/15/1072710815.db2.gz IPDVIGHXYLZQSZ-MRXNPFEDSA-N 0 0 437.518 -0.401 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccoc2CC(N)=O)CC1 ZINC000803944015 1072710718 /nfs/dbraw/zinc/71/07/18/1072710718.db2.gz OJQYXMSQQPFSCM-UHFFFAOYSA-N 0 0 427.421 -0.510 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C[C@@H]2COCCN2C(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC000803949205 1072710710 /nfs/dbraw/zinc/71/07/10/1072710710.db2.gz WJYSLTNPGVWARU-LLVKDONJSA-N 0 0 440.453 -0.582 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C[C@H]2COCCN2C(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC000803949211 1072710681 /nfs/dbraw/zinc/71/06/81/1072710681.db2.gz WJYSLTNPGVWARU-NSHDSACASA-N 0 0 440.453 -0.582 20 0 IBADRN O=C(COC(=O)[C@@H](O)c1cccnc1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000803953753 1072710785 /nfs/dbraw/zinc/71/07/85/1072710785.db2.gz CNRZFHMIJHWGDI-IBGZPJMESA-N 0 0 449.485 -0.005 20 0 IBADRN O=C(COC(=O)[C@H](O)c1cccnc1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000803953754 1072710669 /nfs/dbraw/zinc/71/06/69/1072710669.db2.gz CNRZFHMIJHWGDI-LJQANCHMSA-N 0 0 449.485 -0.005 20 0 IBADRN O=C(COC(=O)[C@@H](O)c1cccnc1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000803954863 1072710801 /nfs/dbraw/zinc/71/08/01/1072710801.db2.gz ZTYDWPOMPZTDIJ-IBGZPJMESA-N 0 0 449.485 -0.005 20 0 IBADRN O=C(COC(=O)[C@H](O)c1cccnc1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000803954864 1072710604 /nfs/dbraw/zinc/71/06/04/1072710604.db2.gz ZTYDWPOMPZTDIJ-LJQANCHMSA-N 0 0 449.485 -0.005 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H](O)c2cccnc2)CC1 ZINC000803955229 1072710793 /nfs/dbraw/zinc/71/07/93/1072710793.db2.gz GTNLCNWLNPTMFL-INIZCTEOSA-N 0 0 438.462 -0.204 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H](O)c2cccnc2)CC1 ZINC000803955230 1072710617 /nfs/dbraw/zinc/71/06/17/1072710617.db2.gz GTNLCNWLNPTMFL-MRXNPFEDSA-N 0 0 438.462 -0.204 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)OCC(=O)N1CCNC(=O)C1 ZINC000803964549 1072710759 /nfs/dbraw/zinc/71/07/59/1072710759.db2.gz JLRXPBHYMPYPJB-UHFFFAOYSA-N 0 0 448.295 -0.381 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)OCC(=O)N2CCNC2=O)(S(C)(=O)=O)CC1 ZINC000803967399 1072711200 /nfs/dbraw/zinc/71/12/00/1072711200.db2.gz DZTZXGGFDRXTRW-UHFFFAOYSA-N 0 0 433.483 -0.104 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)OCCN2C(=O)CNC2=O)(S(C)(=O)=O)CC1 ZINC000803968195 1072711322 /nfs/dbraw/zinc/71/13/22/1072711322.db2.gz QSRQBJALERQJBF-UHFFFAOYSA-N 0 0 433.483 -0.104 20 0 IBADRN COC(=O)CNC(=O)COC(=O)C1(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC000803968619 1072711094 /nfs/dbraw/zinc/71/10/94/1072711094.db2.gz MUBZYCDCHVMEAR-UHFFFAOYSA-N 0 0 436.483 -0.367 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)OCC(=O)N2CCNC(=O)C2)(S(C)(=O)=O)CC1 ZINC000803968707 1072711220 /nfs/dbraw/zinc/71/12/20/1072711220.db2.gz OECQYLOUVFNKJQ-UHFFFAOYSA-N 0 0 447.510 -0.698 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)OCCNS(C)(=O)=O)(S(C)(=O)=O)CC1 ZINC000803968719 1072711103 /nfs/dbraw/zinc/71/11/03/1072711103.db2.gz VMAMDHDBFQMDND-UHFFFAOYSA-N 0 0 428.529 -0.107 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)nnn1Cc1ccncc1 ZINC000803970453 1072711247 /nfs/dbraw/zinc/71/12/47/1072711247.db2.gz YXUIWMGHFPFGMU-UHFFFAOYSA-N 0 0 429.481 -0.581 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)CCCC(=O)OCC(=O)N2CCNC(=O)C2)cc1 ZINC000804002742 1072711373 /nfs/dbraw/zinc/71/13/73/1072711373.db2.gz DMWIQOVBNYIZEZ-UHFFFAOYSA-N 0 0 439.490 -0.209 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC000804004321 1072711163 /nfs/dbraw/zinc/71/11/63/1072711163.db2.gz JMIUSQQKHZKOAU-UHFFFAOYSA-N 0 0 438.550 -0.267 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCC(=O)N1CCN(c2ccccc2)CC1)C1CC1 ZINC000804004658 1072711261 /nfs/dbraw/zinc/71/12/61/1072711261.db2.gz OOBIMOZOGNYFQH-UHFFFAOYSA-N 0 0 436.534 -0.513 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000804004825 1072711112 /nfs/dbraw/zinc/71/11/12/1072711112.db2.gz RDLNGGMIISATAP-INIZCTEOSA-N 0 0 431.493 -0.202 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC000804004826 1072711121 /nfs/dbraw/zinc/71/11/21/1072711121.db2.gz RDLNGGMIISATAP-MRXNPFEDSA-N 0 0 431.493 -0.202 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000804005293 1072711235 /nfs/dbraw/zinc/71/12/35/1072711235.db2.gz XKNJQHXFMAEXPH-UHFFFAOYSA-N 0 0 431.493 -0.248 20 0 IBADRN O=C(CNC(=O)C(=O)NC[C@@H]1COC2(CCOCC2)O1)N1CCN(c2ccccc2)CC1 ZINC000804005488 1072711276 /nfs/dbraw/zinc/71/12/76/1072711276.db2.gz ZBZXWXMBSSQIRZ-GOSISDBHSA-N 0 0 446.504 -0.510 20 0 IBADRN O=C(CNC(=O)C(=O)NC[C@H]1COC2(CCOCC2)O1)N1CCN(c2ccccc2)CC1 ZINC000804005489 1072711308 /nfs/dbraw/zinc/71/13/08/1072711308.db2.gz ZBZXWXMBSSQIRZ-SFHVURJKSA-N 0 0 446.504 -0.510 20 0 IBADRN O=C(Cn1ccccc1=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000804006015 1072711292 /nfs/dbraw/zinc/71/12/92/1072711292.db2.gz QQQHHXGEPLDUME-GOSISDBHSA-N 0 0 441.440 -0.098 20 0 IBADRN O=C(Cn1ccccc1=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000804006016 1072711935 /nfs/dbraw/zinc/71/19/35/1072711935.db2.gz QQQHHXGEPLDUME-SFHVURJKSA-N 0 0 441.440 -0.098 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@]23CCC(=O)N2CCS3)CC1 ZINC000804007618 1072711899 /nfs/dbraw/zinc/71/18/99/1072711899.db2.gz OTWDLDNLJBJYHS-IBGZPJMESA-N 0 0 426.539 -0.346 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@]23CCC(=O)N2CCS3)CC1 ZINC000804007619 1072711736 /nfs/dbraw/zinc/71/17/36/1072711736.db2.gz OTWDLDNLJBJYHS-LJQANCHMSA-N 0 0 426.539 -0.346 20 0 IBADRN O=C(COC(=O)[C@]12CCC(=O)N1CCS2)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000804008477 1072711729 /nfs/dbraw/zinc/71/17/29/1072711729.db2.gz VLFYCQAGTSOTKV-HBUWYVDXSA-N 0 0 446.547 -0.210 20 0 IBADRN O=C(COC(=O)[C@]12CCC(=O)N1CCS2)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000804008479 1072711839 /nfs/dbraw/zinc/71/18/39/1072711839.db2.gz VLFYCQAGTSOTKV-PMUMKWKESA-N 0 0 446.547 -0.210 20 0 IBADRN O=C(COC(=O)[C@]12CCC(=O)N1CCS2)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000804008481 1072711903 /nfs/dbraw/zinc/71/19/03/1072711903.db2.gz VLFYCQAGTSOTKV-QWQRMKEZSA-N 0 0 446.547 -0.210 20 0 IBADRN O=C(COC(=O)[C@]12CCC(=O)N1CCS2)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000804008483 1072711705 /nfs/dbraw/zinc/71/17/05/1072711705.db2.gz VLFYCQAGTSOTKV-SUNYJGFJSA-N 0 0 446.547 -0.210 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3CCN(Cc4ccccc4)C3=O)C[C@H]1COCC2 ZINC000804009639 1072711830 /nfs/dbraw/zinc/71/18/30/1072711830.db2.gz XCIDNNBTYPNLBE-GSHUGGBRSA-N 0 0 429.473 -0.058 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3CCN(Cc4ccccc4)C3=O)C[C@H]1COCC2 ZINC000804009640 1072711912 /nfs/dbraw/zinc/71/19/12/1072711912.db2.gz XCIDNNBTYPNLBE-PNLZDCPESA-N 0 0 429.473 -0.058 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000804009909 1072711813 /nfs/dbraw/zinc/71/18/13/1072711813.db2.gz CMWMMSBVFPYKPO-INIZCTEOSA-N 0 0 446.508 -0.417 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC000804009910 1072711792 /nfs/dbraw/zinc/71/17/92/1072711792.db2.gz CMWMMSBVFPYKPO-MRXNPFEDSA-N 0 0 446.508 -0.417 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC000804009985 1072711716 /nfs/dbraw/zinc/71/17/16/1072711716.db2.gz FJKWZKOFCJPMCS-CYBMUJFWSA-N 0 0 427.479 -0.068 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC000804009986 1072711952 /nfs/dbraw/zinc/71/19/52/1072711952.db2.gz FJKWZKOFCJPMCS-ZDUSSCGKSA-N 0 0 427.479 -0.068 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)C1 ZINC000804010371 1072711846 /nfs/dbraw/zinc/71/18/46/1072711846.db2.gz NBWPMNAQUMODCO-AWEZNQCLSA-N 0 0 436.469 -0.587 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)C1 ZINC000804010372 1072711760 /nfs/dbraw/zinc/71/17/60/1072711760.db2.gz NBWPMNAQUMODCO-CQSZACIVSA-N 0 0 436.469 -0.587 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)C1 ZINC000804010646 1072711922 /nfs/dbraw/zinc/71/19/22/1072711922.db2.gz SIVKLNOKDUKAJI-KRWDZBQOSA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCC(CC(=O)N3CCN(C)CC3)CC2)C1 ZINC000804010647 1072711867 /nfs/dbraw/zinc/71/18/67/1072711867.db2.gz SIVKLNOKDUKAJI-QGZVFWFLSA-N 0 0 437.541 -0.264 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)C1 ZINC000804011550 1072711945 /nfs/dbraw/zinc/71/19/45/1072711945.db2.gz KWXYBUIJTJGQNQ-CABCVRRESA-N 0 0 448.542 -0.768 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)C1 ZINC000804011551 1072711855 /nfs/dbraw/zinc/71/18/55/1072711855.db2.gz KWXYBUIJTJGQNQ-GJZGRUSLSA-N 0 0 448.542 -0.768 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)C1 ZINC000804011552 1072712351 /nfs/dbraw/zinc/71/23/51/1072712351.db2.gz KWXYBUIJTJGQNQ-HUUCEWRRSA-N 0 0 448.542 -0.768 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)C1 ZINC000804011553 1072712489 /nfs/dbraw/zinc/71/24/89/1072712489.db2.gz KWXYBUIJTJGQNQ-LSDHHAIUSA-N 0 0 448.542 -0.768 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)CC1 ZINC000804011596 1072712298 /nfs/dbraw/zinc/71/22/98/1072712298.db2.gz AFYNOIKBHPQJLB-CVEARBPZSA-N 0 0 441.529 -0.981 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)CC1 ZINC000804011597 1072712285 /nfs/dbraw/zinc/71/22/85/1072712285.db2.gz AFYNOIKBHPQJLB-HOTGVXAUSA-N 0 0 441.529 -0.981 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)CC1 ZINC000804011598 1072712311 /nfs/dbraw/zinc/71/23/11/1072712311.db2.gz AFYNOIKBHPQJLB-HZPDHXFCSA-N 0 0 441.529 -0.981 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)CC1 ZINC000804011599 1072712429 /nfs/dbraw/zinc/71/24/29/1072712429.db2.gz AFYNOIKBHPQJLB-JKSUJKDBSA-N 0 0 441.529 -0.981 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)C1 ZINC000804011889 1072712451 /nfs/dbraw/zinc/71/24/51/1072712451.db2.gz AQKDLLJXRSQSLD-DOTOQJQBSA-N 0 0 437.541 -0.075 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)C1 ZINC000804011894 1072712410 /nfs/dbraw/zinc/71/24/10/1072712410.db2.gz AQKDLLJXRSQSLD-NVXWUHKLSA-N 0 0 437.541 -0.075 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CCCC3)CC2)C1 ZINC000804011896 1072712272 /nfs/dbraw/zinc/71/22/72/1072712272.db2.gz AQKDLLJXRSQSLD-RDJZCZTQSA-N 0 0 437.541 -0.075 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CCCC3)CC2)C1 ZINC000804011898 1072712441 /nfs/dbraw/zinc/71/24/41/1072712441.db2.gz AQKDLLJXRSQSLD-WBVHZDCISA-N 0 0 437.541 -0.075 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@H]3CCCN(C(=O)OC)C3)C2)nc1 ZINC000804012007 1072712323 /nfs/dbraw/zinc/71/23/23/1072712323.db2.gz OFKJFPGUJWGSNS-AWEZNQCLSA-N 0 0 433.465 -0.090 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N[C@@H]3CCCN(C(=O)OC)C3)C2)nc1 ZINC000804012008 1072712420 /nfs/dbraw/zinc/71/24/20/1072712420.db2.gz OFKJFPGUJWGSNS-CQSZACIVSA-N 0 0 433.465 -0.090 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)(N2CCOCC2)C1 ZINC000804012024 1072712384 /nfs/dbraw/zinc/71/23/84/1072712384.db2.gz BDKZONNHKIDJMN-LSWWBPAMSA-N 0 0 426.514 -0.281 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)(N2CCOCC2)C1 ZINC000804012025 1072712393 /nfs/dbraw/zinc/71/23/93/1072712393.db2.gz BDKZONNHKIDJMN-SHPPYHEDSA-N 0 0 426.514 -0.281 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)C1 ZINC000804012155 1072712845 /nfs/dbraw/zinc/71/28/45/1072712845.db2.gz OUJGQIGJWMEMCO-KRWDZBQOSA-N 0 0 437.541 -0.120 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)C1 ZINC000804012156 1072712947 /nfs/dbraw/zinc/71/29/47/1072712947.db2.gz OUJGQIGJWMEMCO-QGZVFWFLSA-N 0 0 437.541 -0.120 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1 ZINC000804012785 1072712339 /nfs/dbraw/zinc/71/23/39/1072712339.db2.gz XRCLGQSAWHEMAL-HNNXBMFYSA-N 0 0 448.542 -0.768 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)C1 ZINC000804012786 1072712401 /nfs/dbraw/zinc/71/24/01/1072712401.db2.gz XRCLGQSAWHEMAL-OAHLLOKOSA-N 0 0 448.542 -0.768 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000804012966 1072712479 /nfs/dbraw/zinc/71/24/79/1072712479.db2.gz FVNJQXAIZORBPW-LLVKDONJSA-N 0 0 444.432 -0.236 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000804012968 1072712463 /nfs/dbraw/zinc/71/24/63/1072712463.db2.gz FVNJQXAIZORBPW-NSHDSACASA-N 0 0 444.432 -0.236 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)C1 ZINC000804013693 1072712870 /nfs/dbraw/zinc/71/28/70/1072712870.db2.gz ISZQZZYCJXCLQH-DLBZAZTESA-N 0 0 437.541 -0.121 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)C1 ZINC000804013694 1072712901 /nfs/dbraw/zinc/71/29/01/1072712901.db2.gz ISZQZZYCJXCLQH-IAGOWNOFSA-N 0 0 437.541 -0.121 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)C1 ZINC000804013783 1072712925 /nfs/dbraw/zinc/71/29/25/1072712925.db2.gz ISZQZZYCJXCLQH-IRXDYDNUSA-N 0 0 437.541 -0.121 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)C1 ZINC000804013785 1072712966 /nfs/dbraw/zinc/71/29/66/1072712966.db2.gz ISZQZZYCJXCLQH-SJORKVTESA-N 0 0 437.541 -0.121 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)C1 ZINC000804013833 1072712817 /nfs/dbraw/zinc/71/28/17/1072712817.db2.gz JJZZMUFWEUVVPR-KBPBESRZSA-N 0 0 430.469 -0.492 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)C1 ZINC000804013834 1072712765 /nfs/dbraw/zinc/71/27/65/1072712765.db2.gz JJZZMUFWEUVVPR-KGLIPLIRSA-N 0 0 430.469 -0.492 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@@H](C)C2)C1 ZINC000804013835 1072712850 /nfs/dbraw/zinc/71/28/50/1072712850.db2.gz JJZZMUFWEUVVPR-UONOGXRCSA-N 0 0 430.469 -0.492 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3nccn4cnnc34)[C@H](C)C2)C1 ZINC000804013836 1072712886 /nfs/dbraw/zinc/71/28/86/1072712886.db2.gz JJZZMUFWEUVVPR-ZIAGYGMSSA-N 0 0 430.469 -0.492 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)C1 ZINC000804014565 1072811422 /nfs/dbraw/zinc/81/14/22/1072811422.db2.gz PNOSXOZNYDYBCM-KRWDZBQOSA-N 0 0 437.541 -0.074 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)C1 ZINC000804014567 1072811263 /nfs/dbraw/zinc/81/12/63/1072811263.db2.gz PNOSXOZNYDYBCM-QGZVFWFLSA-N 0 0 437.541 -0.074 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@H]2CCCN(C(=O)OC)C2)CC1 ZINC000804015483 1072811332 /nfs/dbraw/zinc/81/13/32/1072811332.db2.gz BHBQUQTWXHTNPN-INIZCTEOSA-N 0 0 425.530 -0.264 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)N[C@@H]2CCCN(C(=O)OC)C2)CC1 ZINC000804015484 1072811516 /nfs/dbraw/zinc/81/15/16/1072811516.db2.gz BHBQUQTWXHTNPN-MRXNPFEDSA-N 0 0 425.530 -0.264 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1 ZINC000804015673 1072811500 /nfs/dbraw/zinc/81/15/00/1072811500.db2.gz DXAXVKNSSLTWMS-GOSISDBHSA-N 0 0 445.520 -0.206 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1 ZINC000804015674 1072811655 /nfs/dbraw/zinc/81/16/55/1072811655.db2.gz DXAXVKNSSLTWMS-SFHVURJKSA-N 0 0 445.520 -0.206 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000804016647 1072811302 /nfs/dbraw/zinc/81/13/02/1072811302.db2.gz HGUWGOYXQBQSFW-CYBMUJFWSA-N 0 0 430.469 -0.641 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000804016648 1072811556 /nfs/dbraw/zinc/81/15/56/1072811556.db2.gz HGUWGOYXQBQSFW-ZDUSSCGKSA-N 0 0 430.469 -0.641 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)C1 ZINC000804017545 1072811664 /nfs/dbraw/zinc/81/16/64/1072811664.db2.gz KYJJSHNSRDULOC-KBPBESRZSA-N 0 0 447.452 -0.283 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)C1 ZINC000804017549 1072812205 /nfs/dbraw/zinc/81/22/05/1072812205.db2.gz KYJJSHNSRDULOC-KGLIPLIRSA-N 0 0 447.452 -0.283 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)C1 ZINC000804017551 1072812256 /nfs/dbraw/zinc/81/22/56/1072812256.db2.gz KYJJSHNSRDULOC-UONOGXRCSA-N 0 0 447.452 -0.283 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)C1 ZINC000804017553 1072812211 /nfs/dbraw/zinc/81/22/11/1072812211.db2.gz KYJJSHNSRDULOC-ZIAGYGMSSA-N 0 0 447.452 -0.283 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1 ZINC000804017881 1072811489 /nfs/dbraw/zinc/81/14/89/1072811489.db2.gz YEFDZTUUPFUMNQ-GFCCVEGCSA-N 0 0 432.524 -0.202 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)C1 ZINC000804017882 1072811278 /nfs/dbraw/zinc/81/12/78/1072811278.db2.gz YEFDZTUUPFUMNQ-LBPRGKRZSA-N 0 0 432.524 -0.202 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)C1 ZINC000804018756 1072812178 /nfs/dbraw/zinc/81/21/78/1072812178.db2.gz RJGASZHGJLOHLX-CYBMUJFWSA-N 0 0 433.465 -0.103 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)C1 ZINC000804018758 1072811991 /nfs/dbraw/zinc/81/19/91/1072811991.db2.gz RJGASZHGJLOHLX-ZDUSSCGKSA-N 0 0 433.465 -0.103 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)CN1CCOCC1 ZINC000804022276 1072812150 /nfs/dbraw/zinc/81/21/50/1072812150.db2.gz PNUXSBCGBMRRLT-HNNXBMFYSA-N 0 0 433.509 -0.365 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)CN1CCOCC1 ZINC000804022277 1072812001 /nfs/dbraw/zinc/81/20/01/1072812001.db2.gz PNUXSBCGBMRRLT-OAHLLOKOSA-N 0 0 433.509 -0.365 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)CN1CCOCC1 ZINC000804022973 1072812095 /nfs/dbraw/zinc/81/20/95/1072812095.db2.gz ZGCSUGNDCJYHJK-CVEARBPZSA-N 0 0 428.530 -0.177 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)CN1CCOCC1 ZINC000804022974 1072812190 /nfs/dbraw/zinc/81/21/90/1072812190.db2.gz ZGCSUGNDCJYHJK-HOTGVXAUSA-N 0 0 428.530 -0.177 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1)CN1CCOCC1 ZINC000804022975 1072812235 /nfs/dbraw/zinc/81/22/35/1072812235.db2.gz ZGCSUGNDCJYHJK-HZPDHXFCSA-N 0 0 428.530 -0.177 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1)CN1CCOCC1 ZINC000804022976 1072812261 /nfs/dbraw/zinc/81/22/61/1072812261.db2.gz ZGCSUGNDCJYHJK-JKSUJKDBSA-N 0 0 428.530 -0.177 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)CN1CCOCC1 ZINC000804023831 1072812111 /nfs/dbraw/zinc/81/21/11/1072812111.db2.gz OLTIPOQFLUGDOJ-DLBZAZTESA-N 0 0 441.573 -0.262 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)CN1CCOCC1 ZINC000804023832 1072812245 /nfs/dbraw/zinc/81/22/45/1072812245.db2.gz OLTIPOQFLUGDOJ-IAGOWNOFSA-N 0 0 441.573 -0.262 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)CN1CCOCC1 ZINC000804023833 1072812165 /nfs/dbraw/zinc/81/21/65/1072812165.db2.gz OLTIPOQFLUGDOJ-IRXDYDNUSA-N 0 0 441.573 -0.262 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)CN1CCOCC1 ZINC000804023834 1072812023 /nfs/dbraw/zinc/81/20/23/1072812023.db2.gz OLTIPOQFLUGDOJ-SJORKVTESA-N 0 0 441.573 -0.262 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)CN1CCOCC1 ZINC000804024934 1072812053 /nfs/dbraw/zinc/81/20/53/1072812053.db2.gz ZXGRJJIHGJZTBI-GFCCVEGCSA-N 0 0 444.476 -0.499 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)CN1CCOCC1 ZINC000804024935 1072812075 /nfs/dbraw/zinc/81/20/75/1072812075.db2.gz ZXGRJJIHGJZTBI-LBPRGKRZSA-N 0 0 444.476 -0.499 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000804030437 1072812128 /nfs/dbraw/zinc/81/21/28/1072812128.db2.gz IDUCWEORGKGSSU-UHFFFAOYSA-N 0 0 430.490 -0.399 20 0 IBADRN Cc1nccn1CCNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000804030589 1072812221 /nfs/dbraw/zinc/81/22/21/1072812221.db2.gz YCBAHOWJPUPRIS-UHFFFAOYSA-N 0 0 425.433 -0.014 20 0 IBADRN COc1nc(C)cc(C)c1CNC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000804034686 1072812747 /nfs/dbraw/zinc/81/27/47/1072812747.db2.gz ZFZMHVKLRWDCMM-UHFFFAOYSA-N 0 0 426.539 -0.052 20 0 IBADRN O=C(COC(=O)c1cnn(-c2ccccc2)n1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000804035351 1072812572 /nfs/dbraw/zinc/81/25/72/1072812572.db2.gz RLKKLRWGEIBLKY-INIZCTEOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1cnn(-c2ccccc2)n1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000804035352 1072812737 /nfs/dbraw/zinc/81/27/37/1072812737.db2.gz RLKKLRWGEIBLKY-MRXNPFEDSA-N 0 0 433.490 -0.245 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)NC(=O)OC(C)(C)C ZINC000804042576 1072812803 /nfs/dbraw/zinc/81/28/03/1072812803.db2.gz ICJWIIPKEUBDIG-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)NC(=O)OC(C)(C)C ZINC000804042579 1072812685 /nfs/dbraw/zinc/81/26/85/1072812685.db2.gz ICJWIIPKEUBDIG-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(C2CCCC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000804042903 1072812637 /nfs/dbraw/zinc/81/26/37/1072812637.db2.gz QWJJOWIELKJFGN-DOTOQJQBSA-N 0 0 441.550 -0.348 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(C2CCCC2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000804042906 1072812625 /nfs/dbraw/zinc/81/26/25/1072812625.db2.gz QWJJOWIELKJFGN-NVXWUHKLSA-N 0 0 441.550 -0.348 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(C2CCCC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000804042908 1072812697 /nfs/dbraw/zinc/81/26/97/1072812697.db2.gz QWJJOWIELKJFGN-RDJZCZTQSA-N 0 0 441.550 -0.348 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(C2CCCC2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000804042910 1072812813 /nfs/dbraw/zinc/81/28/13/1072812813.db2.gz QWJJOWIELKJFGN-WBVHZDCISA-N 0 0 441.550 -0.348 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)NC(=O)OC(C)(C)C ZINC000804044077 1072813216 /nfs/dbraw/zinc/81/32/16/1072813216.db2.gz RIGIZULMRXPXCY-GFCCVEGCSA-N 0 0 438.572 -0.489 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)NC(=O)OC(C)(C)C ZINC000804044079 1072813240 /nfs/dbraw/zinc/81/32/40/1072813240.db2.gz RIGIZULMRXPXCY-LBPRGKRZSA-N 0 0 438.572 -0.489 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC[C@@H](C)NC(=O)OC(C)(C)C)CC2)n1 ZINC000804044585 1072813284 /nfs/dbraw/zinc/81/32/84/1072813284.db2.gz IITMLVPCZLPNNX-CYBMUJFWSA-N 0 0 440.501 -0.110 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC[C@H](C)NC(=O)OC(C)(C)C)CC2)n1 ZINC000804044586 1072813306 /nfs/dbraw/zinc/81/33/06/1072813306.db2.gz IITMLVPCZLPNNX-ZDUSSCGKSA-N 0 0 440.501 -0.110 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)NC(=O)OC(C)(C)C ZINC000804045260 1072813175 /nfs/dbraw/zinc/81/31/75/1072813175.db2.gz FMGUKSDAAMVHFJ-JEOXALJRSA-N 0 0 425.486 -0.148 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)NC(=O)OC(C)(C)C ZINC000804045261 1072813244 /nfs/dbraw/zinc/81/32/44/1072813244.db2.gz FMGUKSDAAMVHFJ-NSPYISDASA-N 0 0 425.486 -0.148 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)NC(=O)OC(C)(C)C ZINC000804045262 1072813312 /nfs/dbraw/zinc/81/33/12/1072813312.db2.gz FMGUKSDAAMVHFJ-WLRWDXFRSA-N 0 0 425.486 -0.148 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)NC(=O)OC(C)(C)C ZINC000804045263 1072813186 /nfs/dbraw/zinc/81/31/86/1072813186.db2.gz FMGUKSDAAMVHFJ-WYRIXSBYSA-N 0 0 425.486 -0.148 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)NC(=O)OC(C)(C)C ZINC000804046800 1072813233 /nfs/dbraw/zinc/81/32/33/1072813233.db2.gz YQRNDMDBCGESAB-CYBMUJFWSA-N 0 0 434.497 -0.142 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)NC(=O)OC(C)(C)C ZINC000804046801 1072813205 /nfs/dbraw/zinc/81/32/05/1072813205.db2.gz YQRNDMDBCGESAB-ZDUSSCGKSA-N 0 0 434.497 -0.142 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C[NH+]2CCCC2)CC1)NC(=O)OC(C)(C)C ZINC000804047107 1072813222 /nfs/dbraw/zinc/81/32/22/1072813222.db2.gz AUYPIMSTOXSCDC-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)C[NH+]2CCCC2)CC1)NC(=O)OC(C)(C)C ZINC000804047108 1072813193 /nfs/dbraw/zinc/81/31/93/1072813193.db2.gz AUYPIMSTOXSCDC-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)NC(=O)OC(C)(C)C ZINC000804047677 1072813301 /nfs/dbraw/zinc/81/33/01/1072813301.db2.gz DTAYLYNGRREGJU-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)NC(=O)OC(C)(C)C ZINC000804047678 1072813166 /nfs/dbraw/zinc/81/31/66/1072813166.db2.gz DTAYLYNGRREGJU-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@H](CNC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)NC(=O)OC(C)(C)C ZINC000804048201 1072813958 /nfs/dbraw/zinc/81/39/58/1072813958.db2.gz NDTSPRJQMSKQHJ-CYBMUJFWSA-N 0 0 434.559 -0.359 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)NC(=O)OC(C)(C)C ZINC000804048202 1072813791 /nfs/dbraw/zinc/81/37/91/1072813791.db2.gz NDTSPRJQMSKQHJ-ZDUSSCGKSA-N 0 0 434.559 -0.359 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)NC(=O)OC(C)(C)C ZINC000804048379 1072813279 /nfs/dbraw/zinc/81/32/79/1072813279.db2.gz QGXGVQVELGPNEH-KBPBESRZSA-N 0 0 425.530 -0.173 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1)NC(=O)OC(C)(C)C ZINC000804048380 1072813153 /nfs/dbraw/zinc/81/31/53/1072813153.db2.gz QGXGVQVELGPNEH-KGLIPLIRSA-N 0 0 425.530 -0.173 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NC[C@H](C)NC(=O)OC(C)(C)C)CC1 ZINC000804048381 1072813250 /nfs/dbraw/zinc/81/32/50/1072813250.db2.gz QGXGVQVELGPNEH-UONOGXRCSA-N 0 0 425.530 -0.173 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1)NC(=O)OC(C)(C)C ZINC000804048382 1072813739 /nfs/dbraw/zinc/81/37/39/1072813739.db2.gz QGXGVQVELGPNEH-ZIAGYGMSSA-N 0 0 425.530 -0.173 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)NC(=O)OC(C)(C)C ZINC000804048814 1072813255 /nfs/dbraw/zinc/81/32/55/1072813255.db2.gz USRYDHBFCKUURM-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)NC(=O)OC(C)(C)C ZINC000804048815 1072813291 /nfs/dbraw/zinc/81/32/91/1072813291.db2.gz USRYDHBFCKUURM-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)NC(=O)OC(C)(C)C ZINC000804050740 1072814129 /nfs/dbraw/zinc/81/41/29/1072814129.db2.gz UECZLCWYWIJONE-CYBMUJFWSA-N 0 0 434.497 -0.142 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)NC(=O)OC(C)(C)C ZINC000804050741 1072813807 /nfs/dbraw/zinc/81/38/07/1072813807.db2.gz UECZLCWYWIJONE-ZDUSSCGKSA-N 0 0 434.497 -0.142 20 0 IBADRN C[C@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NC[C@H]1CCCO1 ZINC000804052869 1072815687 /nfs/dbraw/zinc/81/56/87/1072815687.db2.gz GZUVSECYLGJQIQ-DZGCQCFKSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NC[C@@H]1CCCO1 ZINC000804052870 1072815662 /nfs/dbraw/zinc/81/56/62/1072815662.db2.gz GZUVSECYLGJQIQ-HIFRSBDPSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NC[C@H]1CCCO1 ZINC000804052871 1072815582 /nfs/dbraw/zinc/81/55/82/1072815582.db2.gz GZUVSECYLGJQIQ-UKRRQHHQSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@H](OC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NC[C@@H]1CCCO1 ZINC000804052872 1072815284 /nfs/dbraw/zinc/81/52/84/1072815284.db2.gz GZUVSECYLGJQIQ-ZFWWWQNUSA-N 0 0 441.506 -0.106 20 0 IBADRN O=C(NCCOc1cccnc1)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000804071668 1072823112 /nfs/dbraw/zinc/82/31/12/1072823112.db2.gz HBOPPFXXTMCQPD-UHFFFAOYSA-N 0 0 441.492 -0.448 20 0 IBADRN O=C(NCCOc1cccnc1)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000804073292 1072823163 /nfs/dbraw/zinc/82/31/63/1072823163.db2.gz VGJXJPVEQBROAX-UHFFFAOYSA-N 0 0 442.501 -0.010 20 0 IBADRN O=C(NCCOc1cccnc1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000804073526 1072822842 /nfs/dbraw/zinc/82/28/42/1072822842.db2.gz VYAYOIAQZUFULX-UHFFFAOYSA-N 0 0 443.485 -0.130 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCOc1cccnc1)N1CCN(c2ccccn2)CC1 ZINC000804074278 1072826733 /nfs/dbraw/zinc/82/67/33/1072826733.db2.gz SAJMARJUHNIMJI-UHFFFAOYSA-N 0 0 426.477 -0.173 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCCOc2cccnc2)C1 ZINC000804075003 1072826448 /nfs/dbraw/zinc/82/64/48/1072826448.db2.gz OKPPCAGKANKEFS-INIZCTEOSA-N 0 0 428.511 -0.524 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCCOc2cccnc2)C1 ZINC000804075004 1072826458 /nfs/dbraw/zinc/82/64/58/1072826458.db2.gz OKPPCAGKANKEFS-MRXNPFEDSA-N 0 0 428.511 -0.524 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCCOc2cccnc2)CC1 ZINC000804075388 1073288470 /nfs/dbraw/zinc/28/84/70/1073288470.db2.gz YSRNQNRQNDJIGJ-UHFFFAOYSA-N 0 0 428.511 -0.524 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)C(=O)NCCOc3cccnc3)no2)cn1 ZINC000804075682 1073288399 /nfs/dbraw/zinc/28/83/99/1073288399.db2.gz GCGAYCFBQJPJLJ-HNNXBMFYSA-N 0 0 427.421 -0.040 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)C(=O)NCCOc3cccnc3)no2)cn1 ZINC000804075683 1073288347 /nfs/dbraw/zinc/28/83/47/1073288347.db2.gz GCGAYCFBQJPJLJ-OAHLLOKOSA-N 0 0 427.421 -0.040 20 0 IBADRN O=C(CCNC(=O)C(=O)NCCOc1cccnc1)N1CCN(c2ncccn2)CC1 ZINC000804076428 1073288407 /nfs/dbraw/zinc/28/84/07/1073288407.db2.gz ICGWTGNDOQXPFI-UHFFFAOYSA-N 0 0 427.465 -0.778 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOc3cccnc3)CC2)cn1C ZINC000804076617 1073288363 /nfs/dbraw/zinc/28/83/63/1073288363.db2.gz WWBQIYCYVMBUHG-UHFFFAOYSA-N 0 0 436.494 -0.848 20 0 IBADRN O=C(NCCOc1cccnc1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000804076729 1073288412 /nfs/dbraw/zinc/28/84/12/1073288412.db2.gz XEAXVNOIRHLUAR-INIZCTEOSA-N 0 0 426.495 -0.770 20 0 IBADRN O=C(NCCOc1cccnc1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000804076730 1073288475 /nfs/dbraw/zinc/28/84/75/1073288475.db2.gz XEAXVNOIRHLUAR-MRXNPFEDSA-N 0 0 426.495 -0.770 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOc3cccnc3)CC2)cs1 ZINC000804077893 1073288436 /nfs/dbraw/zinc/28/84/36/1073288436.db2.gz BWLPMNRLFGOEDO-UHFFFAOYSA-N 0 0 439.519 -0.125 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCCC[C@H]1C1OCCO1)N1CCN(c2ccccn2)CC1 ZINC000804080259 1073288332 /nfs/dbraw/zinc/28/83/32/1073288332.db2.gz BOVLENWFHBTMEO-KRWDZBQOSA-N 0 0 445.520 -0.010 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCCC[C@@H]1C1OCCO1)N1CCN(c2ccccn2)CC1 ZINC000804080260 1073288389 /nfs/dbraw/zinc/28/83/89/1073288389.db2.gz BOVLENWFHBTMEO-QGZVFWFLSA-N 0 0 445.520 -0.010 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000804080270 1073288959 /nfs/dbraw/zinc/28/89/59/1073288959.db2.gz BXHAPRBDXBRKDR-UHFFFAOYSA-N 0 0 439.538 -0.870 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC2(CC1)OCCO2)N1CCN(c2ccccn2)CC1 ZINC000804080305 1073288745 /nfs/dbraw/zinc/28/87/45/1073288745.db2.gz CPBAXFJULFPDCE-UHFFFAOYSA-N 0 0 431.493 -0.398 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H](C2OCCO2)C1)N1CCN(c2ccccn2)CC1 ZINC000804080464 1073288446 /nfs/dbraw/zinc/28/84/46/1073288446.db2.gz DUEWGSSRQSRDIG-KRWDZBQOSA-N 0 0 445.520 -0.152 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H](C2OCCO2)C1)N1CCN(c2ccccn2)CC1 ZINC000804080466 1073288305 /nfs/dbraw/zinc/28/83/05/1073288305.db2.gz DUEWGSSRQSRDIG-QGZVFWFLSA-N 0 0 445.520 -0.152 20 0 IBADRN CC(C)(C)[S@@](=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000804081111 1073288876 /nfs/dbraw/zinc/28/88/76/1073288876.db2.gz GXLGYSFBZZBJGR-PMERELPUSA-N 0 0 437.566 -0.100 20 0 IBADRN CC(C)(C)[S@](=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC000804081112 1073288822 /nfs/dbraw/zinc/28/88/22/1073288822.db2.gz GXLGYSFBZZBJGR-SSEXGKCCSA-N 0 0 437.566 -0.100 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000804081178 1073288901 /nfs/dbraw/zinc/28/89/01/1073288901.db2.gz IOHYJEZJGJRQGJ-UHFFFAOYSA-N 0 0 446.508 -0.463 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCCC2(C1)OCCO2)N1CCN(c2ccccn2)CC1 ZINC000804081402 1073288699 /nfs/dbraw/zinc/28/86/99/1073288699.db2.gz LTGDAGQTSCFILC-UHFFFAOYSA-N 0 0 431.493 -0.398 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC000804081694 1073288837 /nfs/dbraw/zinc/28/88/37/1073288837.db2.gz PFBQZDSEBMNRME-UHFFFAOYSA-N 0 0 438.550 -0.265 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC(C2OCCO2)CC1)N1CCN(c2ccccn2)CC1 ZINC000804081709 1073288763 /nfs/dbraw/zinc/28/87/63/1073288763.db2.gz PGUPZZLMVDULBN-UHFFFAOYSA-N 0 0 445.520 -0.152 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCCNC(=O)Cc3cccc(F)c3)C[C@H]1COCC2 ZINC000804082121 1073288938 /nfs/dbraw/zinc/28/89/38/1073288938.db2.gz UJOIOINPBZGMCC-YCRPNKLZSA-N 0 0 435.452 -0.361 20 0 IBADRN COc1ccc(C(C)=O)cc1CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000804084276 1073289693 /nfs/dbraw/zinc/28/96/93/1073289693.db2.gz RHBMMZGWKZBGMU-AWEZNQCLSA-N 0 0 426.447 -0.004 20 0 IBADRN COc1ccc(C(C)=O)cc1CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000804084277 1073289647 /nfs/dbraw/zinc/28/96/47/1073289647.db2.gz RHBMMZGWKZBGMU-CQSZACIVSA-N 0 0 426.447 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCC[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000804091851 1073290429 /nfs/dbraw/zinc/29/04/29/1073290429.db2.gz HFFHIFQLMZKLEQ-KBPBESRZSA-N 0 0 432.543 -0.054 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCC[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000804091852 1073290474 /nfs/dbraw/zinc/29/04/74/1073290474.db2.gz HFFHIFQLMZKLEQ-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCC[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000804091853 1073290461 /nfs/dbraw/zinc/29/04/61/1073290461.db2.gz HFFHIFQLMZKLEQ-UONOGXRCSA-N 0 0 432.543 -0.054 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCC[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000804091854 1073290519 /nfs/dbraw/zinc/29/05/19/1073290519.db2.gz HFFHIFQLMZKLEQ-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000804095799 1073290524 /nfs/dbraw/zinc/29/05/24/1073290524.db2.gz QAOUJWZNZWGTQJ-UHFFFAOYSA-N 0 0 444.941 -0.392 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000804095928 1073290448 /nfs/dbraw/zinc/29/04/48/1073290448.db2.gz UNMQZDSOJBBJMQ-MUUNZHRXSA-N 0 0 428.942 -0.010 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000804095929 1073290466 /nfs/dbraw/zinc/29/04/66/1073290466.db2.gz UNMQZDSOJBBJMQ-NDEPHWFRSA-N 0 0 428.942 -0.010 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000804096202 1073290434 /nfs/dbraw/zinc/29/04/34/1073290434.db2.gz YJOBODJTTBVJNS-AWEZNQCLSA-N 0 0 435.912 -0.206 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000804096203 1073290511 /nfs/dbraw/zinc/29/05/11/1073290511.db2.gz YJOBODJTTBVJNS-CQSZACIVSA-N 0 0 435.912 -0.206 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000804096263 1073290497 /nfs/dbraw/zinc/29/04/97/1073290497.db2.gz ZWYGSOODRRDWKB-UHFFFAOYSA-N 0 0 444.941 -0.344 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000804096914 1073290487 /nfs/dbraw/zinc/29/04/87/1073290487.db2.gz HRNMZHBLCYHPPG-UHFFFAOYSA-N 0 0 449.533 -0.442 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000804096979 1073290457 /nfs/dbraw/zinc/29/04/57/1073290457.db2.gz INXZEJDCZKAQBU-AWEZNQCLSA-N 0 0 427.546 -0.068 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCNC(=O)C(C)(C)C)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000804096982 1073290916 /nfs/dbraw/zinc/29/09/16/1073290916.db2.gz INXZEJDCZKAQBU-CQSZACIVSA-N 0 0 427.546 -0.068 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000804097970 1073290961 /nfs/dbraw/zinc/29/09/61/1073290961.db2.gz MYGZNATWRFRRQU-UHFFFAOYSA-N 0 0 430.449 -0.305 20 0 IBADRN CC(C)(C)C(=O)NCCNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000804098276 1073290949 /nfs/dbraw/zinc/29/09/49/1073290949.db2.gz GFUYCEVHYVDPPI-UHFFFAOYSA-N 0 0 444.476 -0.057 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NC1CCN(C(=O)Cc2ccccc2OC)CC1 ZINC000804103830 1073290930 /nfs/dbraw/zinc/29/09/30/1073290930.db2.gz BRPJOJAPFMIYCS-UHFFFAOYSA-N 0 0 439.534 -0.104 20 0 IBADRN O=C(COC(=O)CCCNC(=O)c1ccccc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000804105787 1073290924 /nfs/dbraw/zinc/29/09/24/1073290924.db2.gz SGPAAEJXQWFVOY-AWEZNQCLSA-N 0 0 425.463 -0.247 20 0 IBADRN O=C(COC(=O)CCCNC(=O)c1ccccc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000804105789 1073290870 /nfs/dbraw/zinc/29/08/70/1073290870.db2.gz SGPAAEJXQWFVOY-CQSZACIVSA-N 0 0 425.463 -0.247 20 0 IBADRN O=C(CCNC(=O)c1cccs1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000804107271 1073290892 /nfs/dbraw/zinc/29/08/92/1073290892.db2.gz QVXZJMRPZCFQHK-AWEZNQCLSA-N 0 0 443.547 -0.258 20 0 IBADRN O=C(CCNC(=O)c1cccs1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000804107272 1073291020 /nfs/dbraw/zinc/29/10/20/1073291020.db2.gz QVXZJMRPZCFQHK-CQSZACIVSA-N 0 0 443.547 -0.258 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC000804113681 1073290936 /nfs/dbraw/zinc/29/09/36/1073290936.db2.gz DSDAQPOIXSSFTC-UHFFFAOYSA-N 0 0 445.563 -0.020 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCc1ccccc1N1CCN(C(C)=O)CC1 ZINC000804120815 1073291374 /nfs/dbraw/zinc/29/13/74/1073291374.db2.gz TULGMXNBSSDJNQ-UHFFFAOYSA-N 0 0 438.550 -0.136 20 0 IBADRN CC(C)(C)[S@@](=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000804147362 1073296848 /nfs/dbraw/zinc/29/68/48/1073296848.db2.gz ASWTVWBDFSYMTK-PMERELPUSA-N 0 0 438.554 -0.705 20 0 IBADRN CC(C)(C)[S@](=O)CCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000804147363 1073296766 /nfs/dbraw/zinc/29/67/66/1073296766.db2.gz ASWTVWBDFSYMTK-SSEXGKCCSA-N 0 0 438.554 -0.705 20 0 IBADRN CON(CC1CCOCC1)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000804147432 1073297354 /nfs/dbraw/zinc/29/73/54/1073297354.db2.gz DUGJMSCEGDMVKU-UHFFFAOYSA-N 0 0 434.497 -0.552 20 0 IBADRN Cc1ncc(CCNC(=O)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)s1 ZINC000804147610 1073296988 /nfs/dbraw/zinc/29/69/88/1073296988.db2.gz JZNGETNSOJLXJP-UHFFFAOYSA-N 0 0 431.522 -0.245 20 0 IBADRN CN(Cc1ncc(Cl)n1C)C(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000804148103 1073297272 /nfs/dbraw/zinc/29/72/72/1073297272.db2.gz WJFXBSIJRMTEIS-UHFFFAOYSA-N 0 0 448.915 -0.323 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCCC[C@H]1C1OCCO1)N1CCN(c2ncccn2)CC1 ZINC000804148124 1073297246 /nfs/dbraw/zinc/29/72/46/1073297246.db2.gz XKCLQQZAZBJVIQ-INIZCTEOSA-N 0 0 446.508 -0.615 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCCC[C@@H]1C1OCCO1)N1CCN(c2ncccn2)CC1 ZINC000804148125 1073297337 /nfs/dbraw/zinc/29/73/37/1073297337.db2.gz XKCLQQZAZBJVIQ-MRXNPFEDSA-N 0 0 446.508 -0.615 20 0 IBADRN O=C(CCNC(=O)C(=O)NC[C@H]1COC2(CCCC2)O1)N1CCN(c2ncccn2)CC1 ZINC000804148134 1073297367 /nfs/dbraw/zinc/29/73/67/1073297367.db2.gz XWZFNDUOTWEUQW-INIZCTEOSA-N 0 0 446.508 -0.567 20 0 IBADRN O=C(CCNC(=O)C(=O)NC[C@@H]1COC2(CCCC2)O1)N1CCN(c2ncccn2)CC1 ZINC000804148135 1073297321 /nfs/dbraw/zinc/29/73/21/1073297321.db2.gz XWZFNDUOTWEUQW-MRXNPFEDSA-N 0 0 446.508 -0.567 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC(C2OCCO2)CC1)N1CCN(c2ncccn2)CC1 ZINC000804148136 1073297314 /nfs/dbraw/zinc/29/73/14/1073297314.db2.gz XXRUZMRONKCZBR-UHFFFAOYSA-N 0 0 446.508 -0.757 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC(c2cn[nH]c2)CC1)N1CCN(c2ncccn2)CC1 ZINC000804148210 1073297254 /nfs/dbraw/zinc/29/72/54/1073297254.db2.gz BLUWCPSCPSPIOZ-UHFFFAOYSA-N 0 0 440.508 -0.239 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@H](C2OCCO2)C1)N1CCN(c2ncccn2)CC1 ZINC000804148214 1073297280 /nfs/dbraw/zinc/29/72/80/1073297280.db2.gz BTKCKCJPZMCUIL-INIZCTEOSA-N 0 0 446.508 -0.757 20 0 IBADRN O=C(CCNC(=O)C(=O)N1CCC[C@@H](C2OCCO2)C1)N1CCN(c2ncccn2)CC1 ZINC000804148215 1073297327 /nfs/dbraw/zinc/29/73/27/1073297327.db2.gz BTKCKCJPZMCUIL-MRXNPFEDSA-N 0 0 446.508 -0.757 20 0 IBADRN O=C(CCNC(=O)C(=O)NC[C@H]1CSCCS1)N1CCN(c2ncccn2)CC1 ZINC000804148890 1073297344 /nfs/dbraw/zinc/29/73/44/1073297344.db2.gz QJVQIVKELFYYMV-AWEZNQCLSA-N 0 0 438.579 -0.404 20 0 IBADRN O=C(CCNC(=O)C(=O)NC[C@@H]1CSCCS1)N1CCN(c2ncccn2)CC1 ZINC000804148891 1073297360 /nfs/dbraw/zinc/29/73/60/1073297360.db2.gz QJVQIVKELFYYMV-CQSZACIVSA-N 0 0 438.579 -0.404 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)CC1(C)OCCO1 ZINC000804148954 1073297310 /nfs/dbraw/zinc/29/73/10/1073297310.db2.gz RYYLRYUQWFAMSK-INIZCTEOSA-N 0 0 448.524 -0.463 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)CC1(C)OCCO1 ZINC000804148956 1073297274 /nfs/dbraw/zinc/29/72/74/1073297274.db2.gz RYYLRYUQWFAMSK-MRXNPFEDSA-N 0 0 448.524 -0.463 20 0 IBADRN Cc1noc(C)c1CCCNC(=O)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC000804149273 1073297299 /nfs/dbraw/zinc/29/72/99/1073297299.db2.gz YZAGJNATJMQACZ-UHFFFAOYSA-N 0 0 443.508 -0.015 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCC(=O)N(C)CCc3ccccn3)C[C@H]1COCC2 ZINC000804153710 1073297811 /nfs/dbraw/zinc/29/78/11/1073297811.db2.gz IYWLOGKQZQSQEN-YCRPNKLZSA-N 0 0 432.477 -0.763 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CCS(=O)(=O)N2CCOCC2)C1=O ZINC000804156214 1073297772 /nfs/dbraw/zinc/29/77/72/1073297772.db2.gz DXELVVKESXPSSA-KRWDZBQOSA-N 0 0 445.519 -0.481 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CCS(=O)(=O)N2CCOCC2)C1=O ZINC000804156215 1073297737 /nfs/dbraw/zinc/29/77/37/1073297737.db2.gz DXELVVKESXPSSA-QGZVFWFLSA-N 0 0 445.519 -0.481 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCC[C@@H]([C@H](O)c2nccn2C)C1 ZINC000804157431 1073297654 /nfs/dbraw/zinc/29/76/54/1073297654.db2.gz WIGUCVPEFNGNTN-APWZRJJASA-N 0 0 442.520 -0.096 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCC[C@H]([C@H](O)c2nccn2C)C1 ZINC000804157432 1073297632 /nfs/dbraw/zinc/29/76/32/1073297632.db2.gz WIGUCVPEFNGNTN-LPHOPBHVSA-N 0 0 442.520 -0.096 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCC[C@H]([C@@H](O)c2nccn2C)C1 ZINC000804157433 1073297627 /nfs/dbraw/zinc/29/76/27/1073297627.db2.gz WIGUCVPEFNGNTN-QFBILLFUSA-N 0 0 442.520 -0.096 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N1CCC[C@@H]([C@@H](O)c2nccn2C)C1 ZINC000804157434 1073297638 /nfs/dbraw/zinc/29/76/38/1073297638.db2.gz WIGUCVPEFNGNTN-VQIMIIECSA-N 0 0 442.520 -0.096 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N(C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000804157550 1073297792 /nfs/dbraw/zinc/29/77/92/1073297792.db2.gz YNJUCZHDLVFDLP-AWEZNQCLSA-N 0 0 443.426 -0.180 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C(=O)N(C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000804157551 1073297775 /nfs/dbraw/zinc/29/77/75/1073297775.db2.gz YNJUCZHDLVFDLP-CQSZACIVSA-N 0 0 443.426 -0.180 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1nc2ccccc2s1 ZINC000804166200 1073298097 /nfs/dbraw/zinc/29/80/97/1073298097.db2.gz XKCVZFOSKOIBAU-GFCCVEGCSA-N 0 0 428.478 -0.169 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1nc2ccccc2s1 ZINC000804166201 1073298121 /nfs/dbraw/zinc/29/81/21/1073298121.db2.gz XKCVZFOSKOIBAU-LBPRGKRZSA-N 0 0 428.478 -0.169 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCCN(C)C(=O)OC(C)(C)C)CC1 ZINC000804177675 1073299358 /nfs/dbraw/zinc/29/93/58/1073299358.db2.gz GENMCLHPUWGABU-UHFFFAOYSA-N 0 0 427.546 -0.018 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1)C(=O)OC(C)(C)C ZINC000804178354 1073299942 /nfs/dbraw/zinc/29/99/42/1073299942.db2.gz NLXZMMUTKGXPCS-IBGZPJMESA-N 0 0 425.486 -0.194 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)C(=O)OC(C)(C)C ZINC000804178355 1073299968 /nfs/dbraw/zinc/29/99/68/1073299968.db2.gz NLXZMMUTKGXPCS-LJQANCHMSA-N 0 0 425.486 -0.194 20 0 IBADRN CN(CCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)C(=O)OC(C)(C)C ZINC000804180268 1073299810 /nfs/dbraw/zinc/29/98/10/1073299810.db2.gz DJVWDVABEHJVEU-UHFFFAOYSA-N 0 0 438.572 -0.536 20 0 IBADRN CN(CCNC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC000804180874 1073299219 /nfs/dbraw/zinc/29/92/19/1073299219.db2.gz CFEXIBAHZHBNGY-UHFFFAOYSA-N 0 0 434.559 -0.405 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)C(=O)OC(C)(C)C ZINC000804181139 1073299419 /nfs/dbraw/zinc/29/94/19/1073299419.db2.gz FOMWPJXMMXNYLE-UHFFFAOYSA-N 0 0 446.512 -0.005 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCCN(C)C(=O)OC(C)(C)C)CC2)n1 ZINC000804182001 1073300244 /nfs/dbraw/zinc/30/02/44/1073300244.db2.gz XECJJQBYJGWUPC-UHFFFAOYSA-N 0 0 440.501 -0.157 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1)C(=O)OC(C)(C)C ZINC000804182135 1073300327 /nfs/dbraw/zinc/30/03/27/1073300327.db2.gz NNRJEQZIKWFEGZ-UHFFFAOYSA-N 0 0 434.497 -0.188 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1)C(=O)OC(C)(C)C ZINC000804182212 1073299988 /nfs/dbraw/zinc/29/99/88/1073299988.db2.gz RDEFGVJAJMFZAP-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1)C(=O)OC(C)(C)C ZINC000804182267 1073299875 /nfs/dbraw/zinc/29/98/75/1073299875.db2.gz SRYYYUUCWRWVDU-UHFFFAOYSA-N 0 0 439.557 -0.018 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1)C(=O)OC(C)(C)C ZINC000804182661 1073299833 /nfs/dbraw/zinc/29/98/33/1073299833.db2.gz BFBLZPPLBDJZST-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1)C(=O)OC(C)(C)C ZINC000804182663 1073299972 /nfs/dbraw/zinc/29/99/72/1073299972.db2.gz BFBLZPPLBDJZST-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN COCC[C@@H]1NC(=O)N(CCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000804182878 1073299866 /nfs/dbraw/zinc/29/98/66/1073299866.db2.gz JVLDRCYVOQAIKJ-AWEZNQCLSA-N 0 0 449.507 -0.252 20 0 IBADRN COCC[C@H]1NC(=O)N(CCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)C1=O ZINC000804182879 1073299895 /nfs/dbraw/zinc/29/98/95/1073299895.db2.gz JVLDRCYVOQAIKJ-CQSZACIVSA-N 0 0 449.507 -0.252 20 0 IBADRN CN(CCNC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)C(=O)OC(C)(C)C ZINC000804183319 1073300689 /nfs/dbraw/zinc/30/06/89/1073300689.db2.gz RLMISJDDYNIZAV-UHFFFAOYSA-N 0 0 434.497 -0.188 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCN(C)C(=O)OC(C)(C)C)CC1 ZINC000804183516 1073300682 /nfs/dbraw/zinc/30/06/82/1073300682.db2.gz ZDTSTCAPAMGSML-AWEZNQCLSA-N 0 0 425.530 -0.219 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCCN(C)C(=O)OC(C)(C)C)CC1 ZINC000804183517 1073300733 /nfs/dbraw/zinc/30/07/33/1073300733.db2.gz ZDTSTCAPAMGSML-CQSZACIVSA-N 0 0 425.530 -0.219 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000804189704 1073301175 /nfs/dbraw/zinc/30/11/75/1073301175.db2.gz CMBDQOYLHBKJBG-CYBMUJFWSA-N 0 0 428.536 -0.050 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000804189705 1073301228 /nfs/dbraw/zinc/30/12/28/1073301228.db2.gz CMBDQOYLHBKJBG-ZDUSSCGKSA-N 0 0 428.536 -0.050 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CCN2C(=O)NC(C)(C)C2=O)CC1 ZINC000804252923 1073365076 /nfs/dbraw/zinc/36/50/76/1073365076.db2.gz FEAHLSQTQWZBKQ-UHFFFAOYSA-N 0 0 439.513 -0.691 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1)C(=O)OC(C)(C)C ZINC000804254557 1073367471 /nfs/dbraw/zinc/36/74/71/1073367471.db2.gz MKVLFPGMWAHSJQ-UHFFFAOYSA-N 0 0 427.546 -0.018 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)C(=O)OC(C)(C)C ZINC000804255916 1073380751 /nfs/dbraw/zinc/38/07/51/1073380751.db2.gz HSNSRCHXFHOOOR-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)C(=O)OC(C)(C)C ZINC000804256407 1073462434 /nfs/dbraw/zinc/46/24/34/1073462434.db2.gz MKXYVXDGRCDKBG-UHFFFAOYSA-N 0 0 439.513 -0.691 20 0 IBADRN CCN(CCNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)C(=O)OC(C)(C)C ZINC000804257598 1073504223 /nfs/dbraw/zinc/50/42/23/1073504223.db2.gz ZNGCNBKTXTXQRK-UHFFFAOYSA-N 0 0 436.513 -0.198 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000804262997 1073537525 /nfs/dbraw/zinc/53/75/25/1073537525.db2.gz FHPILZGBKPVMGD-INIZCTEOSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000804262998 1073537754 /nfs/dbraw/zinc/53/77/54/1073537754.db2.gz FHPILZGBKPVMGD-MRXNPFEDSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000804263069 1073539139 /nfs/dbraw/zinc/53/91/39/1073539139.db2.gz GHEFAXQNHXCJEB-AWEZNQCLSA-N 0 0 425.530 -0.090 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000804263070 1073539064 /nfs/dbraw/zinc/53/90/64/1073539064.db2.gz GHEFAXQNHXCJEB-CQSZACIVSA-N 0 0 425.530 -0.090 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000804264189 1073550983 /nfs/dbraw/zinc/55/09/83/1073550983.db2.gz WLNZYBZINVOFLF-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC000804264190 1073551007 /nfs/dbraw/zinc/55/10/07/1073551007.db2.gz WLNZYBZINVOFLF-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC[C@@H]3CCCN3C(=O)OC(C)(C)C)CC2)c1=O ZINC000804264247 1073550518 /nfs/dbraw/zinc/55/05/18/1073550518.db2.gz GZDZPMWDLOENES-HNNXBMFYSA-N 0 0 448.524 -0.055 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NC[C@H]3CCCN3C(=O)OC(C)(C)C)CC2)c1=O ZINC000804264248 1073550445 /nfs/dbraw/zinc/55/04/45/1073550445.db2.gz GZDZPMWDLOENES-OAHLLOKOSA-N 0 0 448.524 -0.055 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000804265221 1073570315 /nfs/dbraw/zinc/57/03/15/1073570315.db2.gz IJEPUXCDUNOUNF-CVEARBPZSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000804265235 1073570301 /nfs/dbraw/zinc/57/03/01/1073570301.db2.gz IJEPUXCDUNOUNF-HOTGVXAUSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000804265238 1073570180 /nfs/dbraw/zinc/57/01/80/1073570180.db2.gz IJEPUXCDUNOUNF-HZPDHXFCSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NC[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000804265241 1073570266 /nfs/dbraw/zinc/57/02/66/1073570266.db2.gz IJEPUXCDUNOUNF-JKSUJKDBSA-N 0 0 428.530 -0.346 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1)C(F)(F)F ZINC000804270083 1073590746 /nfs/dbraw/zinc/59/07/46/1073590746.db2.gz KADUGMJDEJWLIX-GHMZBOCLSA-N 0 0 430.430 -0.345 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1)C(F)(F)F ZINC000804270084 1073590755 /nfs/dbraw/zinc/59/07/55/1073590755.db2.gz KADUGMJDEJWLIX-MNOVXSKESA-N 0 0 430.430 -0.345 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1)C(F)(F)F ZINC000804270085 1073590730 /nfs/dbraw/zinc/59/07/30/1073590730.db2.gz KADUGMJDEJWLIX-QWRGUYRKSA-N 0 0 430.430 -0.345 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1)C(F)(F)F ZINC000804270086 1073590795 /nfs/dbraw/zinc/59/07/95/1073590795.db2.gz KADUGMJDEJWLIX-WDEREUQCSA-N 0 0 430.430 -0.345 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(F)(F)F ZINC000804270934 1073591162 /nfs/dbraw/zinc/59/11/62/1073591162.db2.gz DWCKNNNDBILLIN-SECBINFHSA-N 0 0 434.437 -0.434 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(F)(F)F ZINC000804270935 1073591227 /nfs/dbraw/zinc/59/12/27/1073591227.db2.gz DWCKNNNDBILLIN-VIFPVBQESA-N 0 0 434.437 -0.434 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(F)(F)F ZINC000804272086 1073591288 /nfs/dbraw/zinc/59/12/88/1073591288.db2.gz HJMNRBTUINHCCA-JTQLQIEISA-N 0 0 430.430 -0.578 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(F)(F)F ZINC000804272087 1073591127 /nfs/dbraw/zinc/59/11/27/1073591127.db2.gz HJMNRBTUINHCCA-SNVBAGLBSA-N 0 0 430.430 -0.578 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(F)(F)F ZINC000804272852 1073591240 /nfs/dbraw/zinc/59/12/40/1073591240.db2.gz MMSKLCGFTRKVBF-JTQLQIEISA-N 0 0 430.430 -0.578 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(F)(F)F ZINC000804272857 1073591280 /nfs/dbraw/zinc/59/12/80/1073591280.db2.gz MMSKLCGFTRKVBF-SNVBAGLBSA-N 0 0 430.430 -0.578 20 0 IBADRN Cn1cc(/C=C\C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@H]3CO)cc2)c(=O)n(C)c1=O ZINC000804276084 1073592376 /nfs/dbraw/zinc/59/23/76/1073592376.db2.gz HDYYYZXHHWWMQK-AVFOEOQDSA-N 0 0 448.501 -0.119 20 0 IBADRN Cn1cc(/C=C\C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc2)c(=O)n(C)c1=O ZINC000804276085 1073592214 /nfs/dbraw/zinc/59/22/14/1073592214.db2.gz HDYYYZXHHWWMQK-MGDKSHQASA-N 0 0 448.501 -0.119 20 0 IBADRN Cn1cc(/C=C/C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@H]3CO)cc2)c(=O)n(C)c1=O ZINC000804276086 1073592182 /nfs/dbraw/zinc/59/21/82/1073592182.db2.gz HDYYYZXHHWWMQK-YKXBDCQTSA-N 0 0 448.501 -0.119 20 0 IBADRN Cn1cc(/C=C/C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc2)c(=O)n(C)c1=O ZINC000804276087 1073592391 /nfs/dbraw/zinc/59/23/91/1073592391.db2.gz HDYYYZXHHWWMQK-ZWIJEDICSA-N 0 0 448.501 -0.119 20 0 IBADRN CC(C)(C)OC(=O)N(CCNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)C1CC1 ZINC000804310103 1073595652 /nfs/dbraw/zinc/59/56/52/1073595652.db2.gz IALMELSYIFBYAC-UHFFFAOYSA-N 0 0 437.541 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N(CCNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)C1CC1 ZINC000804310342 1073598200 /nfs/dbraw/zinc/59/82/00/1073598200.db2.gz MBPPRQBPOLFSJA-UHFFFAOYSA-N 0 0 425.530 -0.090 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCCN(C(=O)OC(C)(C)C)C2CC2)CC1 ZINC000804310477 1073598090 /nfs/dbraw/zinc/59/80/90/1073598090.db2.gz NYZHEAWGOINJTI-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCCN(C(=O)OC(C)(C)C)C3CC3)CC2)c1=O ZINC000804311995 1073598298 /nfs/dbraw/zinc/59/82/98/1073598298.db2.gz ZEJHTEDULCYIIJ-UHFFFAOYSA-N 0 0 448.524 -0.055 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cn2nc(-c3ccccc3)oc2=O)CC1 ZINC000804313330 1073598251 /nfs/dbraw/zinc/59/82/51/1073598251.db2.gz WUJKCFUDWONNMC-UHFFFAOYSA-N 0 0 431.449 -0.721 20 0 IBADRN O=C(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)Nc1ccc(F)c(F)c1 ZINC000804324348 1073600739 /nfs/dbraw/zinc/60/07/39/1073600739.db2.gz RKZDHFFGPQYVAO-XKLVTHTNSA-N 0 0 436.375 -0.233 20 0 IBADRN CN(CC(=O)N1CCC(CNS(C)(=O)=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000804327504 1073601402 /nfs/dbraw/zinc/60/14/02/1073601402.db2.gz NRAQMSZYIOGZOY-UHFFFAOYSA-N 0 0 428.536 -0.145 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000804327845 1073601593 /nfs/dbraw/zinc/60/15/93/1073601593.db2.gz CDYJBWAGMLPUDV-INIZCTEOSA-N 0 0 440.522 -0.055 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC(CNS(C)(=O)=O)CC2)C(=O)C1 ZINC000804328961 1073602067 /nfs/dbraw/zinc/60/20/67/1073602067.db2.gz QCJYGXRLRHCDQN-UHFFFAOYSA-N 0 0 432.543 -0.147 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)OCC(=O)N2)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000804334112 1073603526 /nfs/dbraw/zinc/60/35/26/1073603526.db2.gz YUBQAAPUSCERMZ-AWEZNQCLSA-N 0 0 437.474 -0.494 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)OCC(=O)N2)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000804334113 1073603496 /nfs/dbraw/zinc/60/34/96/1073603496.db2.gz YUBQAAPUSCERMZ-CQSZACIVSA-N 0 0 437.474 -0.494 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)NC(=O)CO2)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000804335419 1073604225 /nfs/dbraw/zinc/60/42/25/1073604225.db2.gz YXHDBLUGXANMMD-AWEZNQCLSA-N 0 0 437.474 -0.494 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)NC(=O)CO2)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000804335420 1073604129 /nfs/dbraw/zinc/60/41/29/1073604129.db2.gz YXHDBLUGXANMMD-CQSZACIVSA-N 0 0 437.474 -0.494 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)Oc2ccccc21 ZINC000804340165 1073606267 /nfs/dbraw/zinc/60/62/67/1073606267.db2.gz UDMJOJGPLAKMTL-DOMZBBRYSA-N 0 0 439.446 -0.643 20 0 IBADRN CC(=O)N1C[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)Oc2ccccc21 ZINC000804340169 1073606112 /nfs/dbraw/zinc/60/61/12/1073606112.db2.gz UDMJOJGPLAKMTL-IUODEOHRSA-N 0 0 439.446 -0.643 20 0 IBADRN CC(=O)N1C[C@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)Oc2ccccc21 ZINC000804340170 1073606241 /nfs/dbraw/zinc/60/62/41/1073606241.db2.gz UDMJOJGPLAKMTL-SWLSCSKDSA-N 0 0 439.446 -0.643 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)Oc2ccccc21 ZINC000804340171 1073606090 /nfs/dbraw/zinc/60/60/90/1073606090.db2.gz UDMJOJGPLAKMTL-WFASDCNBSA-N 0 0 439.446 -0.643 20 0 IBADRN CC(=O)c1ccc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(S(N)(=O)=O)c1 ZINC000804341830 1073606742 /nfs/dbraw/zinc/60/67/42/1073606742.db2.gz MCTJDRCADIGKBO-UHFFFAOYSA-N 0 0 432.524 -0.764 20 0 IBADRN COCC(=O)Nc1ccc(Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC000804342057 1073606938 /nfs/dbraw/zinc/60/69/38/1073606938.db2.gz UEKYBDWMPJBTMF-BGIGGGFGSA-N 0 0 430.421 -0.234 20 0 IBADRN CCC(=O)c1ccc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(S(N)(=O)=O)c1 ZINC000804342078 1073606682 /nfs/dbraw/zinc/60/66/82/1073606682.db2.gz UUVUJGBWIWZPBY-UHFFFAOYSA-N 0 0 446.551 -0.374 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCNC(=O)C1)N1C(=O)c2ccc(Br)cc2C1=O ZINC000804343900 1073610420 /nfs/dbraw/zinc/61/04/20/1073610420.db2.gz GRTNFCPFMFPAFC-SECBINFHSA-N 0 0 438.234 -0.065 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCNC(=O)C1)N1C(=O)c2ccc(Br)cc2C1=O ZINC000804343902 1073610245 /nfs/dbraw/zinc/61/02/45/1073610245.db2.gz GRTNFCPFMFPAFC-VIFPVBQESA-N 0 0 438.234 -0.065 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)O[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000804345461 1073612339 /nfs/dbraw/zinc/61/23/39/1073612339.db2.gz YQTQGBKGMTTZJL-HNNXBMFYSA-N 0 0 430.504 -0.117 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)O[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC000804345464 1073612365 /nfs/dbraw/zinc/61/23/65/1073612365.db2.gz YQTQGBKGMTTZJL-OAHLLOKOSA-N 0 0 430.504 -0.117 20 0 IBADRN COCCCNC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000804345945 1073611064 /nfs/dbraw/zinc/61/10/64/1073611064.db2.gz GFSZNOUZPCMADM-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CN(Cc1cnn(-c2ccccc2)n1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804352335 1073616374 /nfs/dbraw/zinc/61/63/74/1073616374.db2.gz KMSILMABZSVQMA-WVSUBDOOSA-N 0 0 438.448 -0.345 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@H](O)COc2cccc(F)c2)[C@H](O)[C@@H]1O ZINC000804353875 1073619211 /nfs/dbraw/zinc/61/92/11/1073619211.db2.gz HBZXWRDFAIUUME-KFAHYOAQSA-N 0 0 435.412 -0.571 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@@H](O)COc2cccc(F)c2)[C@H](O)[C@@H]1O ZINC000804353876 1073619070 /nfs/dbraw/zinc/61/90/70/1073619070.db2.gz HBZXWRDFAIUUME-SSFGXONLSA-N 0 0 435.412 -0.571 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)CC2 ZINC000804361054 1073630612 /nfs/dbraw/zinc/63/06/12/1073630612.db2.gz YHWIKHIVBFRUDH-HNNXBMFYSA-N 0 0 435.529 -0.314 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)CC2 ZINC000804361055 1073630746 /nfs/dbraw/zinc/63/07/46/1073630746.db2.gz YHWIKHIVBFRUDH-OAHLLOKOSA-N 0 0 435.529 -0.314 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)CC2 ZINC000804361438 1073631343 /nfs/dbraw/zinc/63/13/43/1073631343.db2.gz RCQSVVIFHOTTTG-CABCVRRESA-N 0 0 435.529 -0.315 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)CC2 ZINC000804361439 1073631410 /nfs/dbraw/zinc/63/14/10/1073631410.db2.gz RCQSVVIFHOTTTG-GJZGRUSLSA-N 0 0 435.529 -0.315 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)CC2 ZINC000804361440 1073631366 /nfs/dbraw/zinc/63/13/66/1073631366.db2.gz RCQSVVIFHOTTTG-HUUCEWRRSA-N 0 0 435.529 -0.315 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)CC2 ZINC000804361441 1073631354 /nfs/dbraw/zinc/63/13/54/1073631354.db2.gz RCQSVVIFHOTTTG-LSDHHAIUSA-N 0 0 435.529 -0.315 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC(Oc3ccnc(C(N)=O)c3)CC1)CC2 ZINC000804362588 1073632773 /nfs/dbraw/zinc/63/27/73/1073632773.db2.gz HWQCMHHADNHHQZ-CYBMUJFWSA-N 0 0 427.465 -0.419 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCC(Oc3ccnc(C(N)=O)c3)CC1)CC2 ZINC000804362589 1073632785 /nfs/dbraw/zinc/63/27/85/1073632785.db2.gz HWQCMHHADNHHQZ-ZDUSSCGKSA-N 0 0 427.465 -0.419 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)CC2 ZINC000804363468 1073634480 /nfs/dbraw/zinc/63/44/80/1073634480.db2.gz GNVVXURBEGHUEE-AWEZNQCLSA-N 0 0 449.512 -0.787 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)CC2 ZINC000804363489 1073634351 /nfs/dbraw/zinc/63/43/51/1073634351.db2.gz GNVVXURBEGHUEE-CQSZACIVSA-N 0 0 449.512 -0.787 20 0 IBADRN NC(=O)CN1CCCN(c2cc(C3CC3)nc(N3CCCN(CC(N)=O)CC3)n2)CC1 ZINC000804363889 1073632717 /nfs/dbraw/zinc/63/27/17/1073632717.db2.gz JQSLQNIPSYKQCJ-UHFFFAOYSA-N 0 0 430.557 -0.651 20 0 IBADRN COc1ccccc1OCCN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804363997 1073634432 /nfs/dbraw/zinc/63/44/32/1073634432.db2.gz MWQUSXCISPITOH-WVSUBDOOSA-N 0 0 431.449 -0.038 20 0 IBADRN NC(=O)CN1CCCN(c2nc3c(c(N4CCCN(CC(N)=O)CC4)n2)SCC3)CC1 ZINC000804364490 1073635744 /nfs/dbraw/zinc/63/57/44/1073635744.db2.gz SSTWMRCVGHZOPJ-UHFFFAOYSA-N 0 0 448.597 -0.880 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000804366081 1073635783 /nfs/dbraw/zinc/63/57/83/1073635783.db2.gz RZDFRUWEELXWOP-HFAKWTLXSA-N 0 0 426.413 -0.024 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000804366082 1073635774 /nfs/dbraw/zinc/63/57/74/1073635774.db2.gz RZDFRUWEELXWOP-PGUXBMHVSA-N 0 0 426.413 -0.024 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000804366083 1073635559 /nfs/dbraw/zinc/63/55/59/1073635559.db2.gz RZDFRUWEELXWOP-RWSFTLGLSA-N 0 0 426.413 -0.024 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000804366084 1073635583 /nfs/dbraw/zinc/63/55/83/1073635583.db2.gz RZDFRUWEELXWOP-ZETOZRRWSA-N 0 0 426.413 -0.024 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000804366467 1073638970 /nfs/dbraw/zinc/63/89/70/1073638970.db2.gz XVFOJRZMPUPUSC-CYBMUJFWSA-N 0 0 426.373 -0.654 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000804366468 1073638917 /nfs/dbraw/zinc/63/89/17/1073638917.db2.gz XVFOJRZMPUPUSC-ZDUSSCGKSA-N 0 0 426.373 -0.654 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000804367325 1073638253 /nfs/dbraw/zinc/63/82/53/1073638253.db2.gz LAMSEKIZPCWGCC-CYBMUJFWSA-N 0 0 427.357 -0.389 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC000804367326 1073638282 /nfs/dbraw/zinc/63/82/82/1073638282.db2.gz LAMSEKIZPCWGCC-ZDUSSCGKSA-N 0 0 427.357 -0.389 20 0 IBADRN Cn1cc(CCCNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn1 ZINC000804372723 1073844549 /nfs/dbraw/zinc/84/45/49/1073844549.db2.gz WOSGOWFWTWGGOB-UHFFFAOYSA-N 0 0 444.517 -0.238 20 0 IBADRN O=S(=O)(CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)Cc1ccccc1 ZINC000804377429 1073845129 /nfs/dbraw/zinc/84/51/29/1073845129.db2.gz GQISGYDELBEMQM-NVQRDWNXSA-N 0 0 449.489 -0.535 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)c1 ZINC000804388210 1073844363 /nfs/dbraw/zinc/84/43/63/1073844363.db2.gz OPJJJRJCUGIYSU-DZGCQCFKSA-N 0 0 441.506 -0.190 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)c1 ZINC000804388211 1073844324 /nfs/dbraw/zinc/84/43/24/1073844324.db2.gz OPJJJRJCUGIYSU-HIFRSBDPSA-N 0 0 441.506 -0.190 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)c1 ZINC000804388212 1073844200 /nfs/dbraw/zinc/84/42/00/1073844200.db2.gz OPJJJRJCUGIYSU-UKRRQHHQSA-N 0 0 441.506 -0.190 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)c1 ZINC000804388213 1073845060 /nfs/dbraw/zinc/84/50/60/1073845060.db2.gz OPJJJRJCUGIYSU-ZFWWWQNUSA-N 0 0 441.506 -0.190 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)c1 ZINC000804388730 1073845098 /nfs/dbraw/zinc/84/50/98/1073845098.db2.gz ZKVCZSZFNNOFNM-GFCCVEGCSA-N 0 0 431.536 -0.193 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)c1 ZINC000804388731 1073845148 /nfs/dbraw/zinc/84/51/48/1073845148.db2.gz ZKVCZSZFNNOFNM-LBPRGKRZSA-N 0 0 431.536 -0.193 20 0 IBADRN O=C(COC(=O)CNC(=O)c1ccc(F)cc1F)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000804397467 1073845015 /nfs/dbraw/zinc/84/50/15/1073845015.db2.gz AUEJGRSZSAAWFH-JTQLQIEISA-N 0 0 433.389 -0.749 20 0 IBADRN O=C(COC(=O)CNC(=O)c1ccc(F)cc1F)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000804397468 1073845025 /nfs/dbraw/zinc/84/50/25/1073845025.db2.gz AUEJGRSZSAAWFH-SNVBAGLBSA-N 0 0 433.389 -0.749 20 0 IBADRN CC(C)COC(=O)N1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000804399818 1073844947 /nfs/dbraw/zinc/84/49/47/1073844947.db2.gz HAAGJKPMTCKZBQ-SCFUHWHPSA-N 0 0 436.469 -0.648 20 0 IBADRN O=C(CCNC(=O)c1ccsc1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000804402084 1073844999 /nfs/dbraw/zinc/84/49/99/1073844999.db2.gz RGCDEURMLQQIEQ-HNNXBMFYSA-N 0 0 443.547 -0.258 20 0 IBADRN O=C(CCNC(=O)c1ccsc1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000804402085 1073845050 /nfs/dbraw/zinc/84/50/50/1073845050.db2.gz RGCDEURMLQQIEQ-OAHLLOKOSA-N 0 0 443.547 -0.258 20 0 IBADRN O=C(COC(=O)CCCNC(=O)c1ccsc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000804403231 1073844965 /nfs/dbraw/zinc/84/49/65/1073844965.db2.gz ZFSINYQKUVNVOD-GFCCVEGCSA-N 0 0 431.492 -0.186 20 0 IBADRN O=C(COC(=O)CCCNC(=O)c1ccsc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000804403232 1073845160 /nfs/dbraw/zinc/84/51/60/1073845160.db2.gz ZFSINYQKUVNVOD-LBPRGKRZSA-N 0 0 431.492 -0.186 20 0 IBADRN C[C@@H](CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)NC(=O)c1ccccc1 ZINC000804404619 1073845085 /nfs/dbraw/zinc/84/50/85/1073845085.db2.gz TVLZOKXBIBAOMZ-GXTWGEPZSA-N 0 0 425.463 -0.249 20 0 IBADRN C[C@@H](CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)NC(=O)c1ccccc1 ZINC000804404620 1073845073 /nfs/dbraw/zinc/84/50/73/1073845073.db2.gz TVLZOKXBIBAOMZ-JSGCOSHPSA-N 0 0 425.463 -0.249 20 0 IBADRN C[C@H](CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)NC(=O)c1ccccc1 ZINC000804404621 1073844983 /nfs/dbraw/zinc/84/49/83/1073844983.db2.gz TVLZOKXBIBAOMZ-OCCSQVGLSA-N 0 0 425.463 -0.249 20 0 IBADRN C[C@H](CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)NC(=O)c1ccccc1 ZINC000804404622 1073845154 /nfs/dbraw/zinc/84/51/54/1073845154.db2.gz TVLZOKXBIBAOMZ-TZMCWYRMSA-N 0 0 425.463 -0.249 20 0 IBADRN CCOC(=O)N(C)C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000804422768 1073844921 /nfs/dbraw/zinc/84/49/21/1073844921.db2.gz XGFLQOUDPCESML-SCFUHWHPSA-N 0 0 436.469 -0.505 20 0 IBADRN CC(=O)NCc1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000804426714 1073845139 /nfs/dbraw/zinc/84/51/39/1073845139.db2.gz DPHRBKYYQOBWCU-GOSISDBHSA-N 0 0 437.518 -0.189 20 0 IBADRN CC(=O)NCc1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000804426715 1073845116 /nfs/dbraw/zinc/84/51/16/1073845116.db2.gz DPHRBKYYQOBWCU-SFHVURJKSA-N 0 0 437.518 -0.189 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000804440991 1073845679 /nfs/dbraw/zinc/84/56/79/1073845679.db2.gz XMGHDNAMHDKMSW-RSUGUSAISA-N 0 0 436.469 -0.459 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000804440992 1073845544 /nfs/dbraw/zinc/84/55/44/1073845544.db2.gz XMGHDNAMHDKMSW-YRGUDCOPSA-N 0 0 436.469 -0.459 20 0 IBADRN O=[S@@](CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)Cc1ccccc1 ZINC000804444222 1073845574 /nfs/dbraw/zinc/84/55/74/1073845574.db2.gz QUOZNVKPZVKAQQ-XRMHAKFTSA-N 0 0 433.490 -0.201 20 0 IBADRN O=[S@](CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)Cc1ccccc1 ZINC000804444223 1073845813 /nfs/dbraw/zinc/84/58/13/1073845813.db2.gz QUOZNVKPZVKAQQ-YJTGBHPLSA-N 0 0 433.490 -0.201 20 0 IBADRN CC(C)OC(=O)N1CCC(Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000804451408 1073845803 /nfs/dbraw/zinc/84/58/03/1073845803.db2.gz IOVNMOCMTNLXAF-SCFUHWHPSA-N 0 0 436.469 -0.141 20 0 IBADRN CC(C)N1C(=S)N=NC1CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804462721 1073845648 /nfs/dbraw/zinc/84/56/48/1073845648.db2.gz DRYQVPHWOMYRHG-RVXWVPLUSA-N 0 0 436.498 -0.447 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(c3nccn3C)CC2)c(S(N)(=O)=O)c1 ZINC000804465667 1073845842 /nfs/dbraw/zinc/84/58/42/1073845842.db2.gz DTVQNJYETTWIPC-UHFFFAOYSA-N 0 0 428.540 -0.356 20 0 IBADRN CN(CCCNC(=O)OC(C)(C)C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804465673 1073845661 /nfs/dbraw/zinc/84/56/61/1073845661.db2.gz FDOTXOVNHHBRJK-LSCFUAHRSA-N 0 0 438.485 -0.211 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000804496289 1073846298 /nfs/dbraw/zinc/84/62/98/1073846298.db2.gz MBPZWDNRYLADQT-BFHYXJOUSA-N 0 0 448.567 -0.624 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000804496290 1073846598 /nfs/dbraw/zinc/84/65/98/1073846598.db2.gz MBPZWDNRYLADQT-HZSPNIEDSA-N 0 0 448.567 -0.624 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000804496291 1073846388 /nfs/dbraw/zinc/84/63/88/1073846388.db2.gz MBPZWDNRYLADQT-MELADBBJSA-N 0 0 448.567 -0.624 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000804496292 1073846647 /nfs/dbraw/zinc/84/66/47/1073846647.db2.gz MBPZWDNRYLADQT-MGPQQGTHSA-N 0 0 448.567 -0.624 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(CC[S@@](C)=O)CC2)c(S(N)(=O)=O)c1 ZINC000804497622 1073846477 /nfs/dbraw/zinc/84/64/77/1073846477.db2.gz FWGJQLKZMUBWML-RUZDIDTESA-N 0 0 438.597 -0.915 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(CC[S@](C)=O)CC2)c(S(N)(=O)=O)c1 ZINC000804497623 1073846632 /nfs/dbraw/zinc/84/66/32/1073846632.db2.gz FWGJQLKZMUBWML-VWLOTQADSA-N 0 0 438.597 -0.915 20 0 IBADRN C[S@](=O)CCN1CCN(c2cncc(N3CCN(CC[S@@](C)=O)CC3)n2)CC1 ZINC000804498921 1073846398 /nfs/dbraw/zinc/84/63/98/1073846398.db2.gz VKAGZUMSJIHJNL-HNRBIFIRSA-N 0 0 428.628 -0.522 20 0 IBADRN C[S@](=O)CCN1CCN(c2cncc(N3CCN(CC[S@](C)=O)CC3)n2)CC1 ZINC000804498922 1073846576 /nfs/dbraw/zinc/84/65/76/1073846576.db2.gz VKAGZUMSJIHJNL-NSOVKSMOSA-N 0 0 428.628 -0.522 20 0 IBADRN C[S@@](=O)CCN1CCN(c2cncc(N3CCN(CC[S@@](C)=O)CC3)n2)CC1 ZINC000804498923 1073846433 /nfs/dbraw/zinc/84/64/33/1073846433.db2.gz VKAGZUMSJIHJNL-VSGBNLITSA-N 0 0 428.628 -0.522 20 0 IBADRN Cc1ccc(N2CC[C@H](Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2=O)cc1 ZINC000804504493 1073845832 /nfs/dbraw/zinc/84/58/32/1073845832.db2.gz QQPHFQFQAVSCGY-QMBVYZDCSA-N 0 0 440.460 -0.036 20 0 IBADRN Cc1ccc(N2CC[C@@H](Nc3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2=O)cc1 ZINC000804504494 1073845514 /nfs/dbraw/zinc/84/55/14/1073845514.db2.gz QQPHFQFQAVSCGY-UAYHHJIBSA-N 0 0 440.460 -0.036 20 0 IBADRN C[C@@H]1CN=C(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)S1 ZINC000804506101 1073845821 /nfs/dbraw/zinc/84/58/21/1073845821.db2.gz PBJADBQBTNFKIA-RSUGUSAISA-N 0 0 435.510 -0.949 20 0 IBADRN C[C@H]1CN=C(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)S1 ZINC000804506102 1073845627 /nfs/dbraw/zinc/84/56/27/1073845627.db2.gz PBJADBQBTNFKIA-YRGUDCOPSA-N 0 0 435.510 -0.949 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)N(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804528537 1073846455 /nfs/dbraw/zinc/84/64/55/1073846455.db2.gz HNJOWAUNLCQHNW-RSUGUSAISA-N 0 0 438.485 -0.213 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)N(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804528538 1073846997 /nfs/dbraw/zinc/84/69/97/1073846997.db2.gz HNJOWAUNLCQHNW-YRGUDCOPSA-N 0 0 438.485 -0.213 20 0 IBADRN CC1(C)CN=C(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2)S1 ZINC000804540105 1073848644 /nfs/dbraw/zinc/84/86/44/1073848644.db2.gz UFYREZFMVIRSMR-LSCFUAHRSA-N 0 0 449.537 -0.559 20 0 IBADRN C[C@@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C1(S(C)(=O)=O)CC1 ZINC000804540391 1073848610 /nfs/dbraw/zinc/84/86/10/1073848610.db2.gz XJPHJNBACSIXJW-MRVPVSSYSA-N 0 0 432.300 -0.026 20 0 IBADRN C[C@H](NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O)C1(S(C)(=O)=O)CC1 ZINC000804540393 1073848656 /nfs/dbraw/zinc/84/86/56/1073848656.db2.gz XJPHJNBACSIXJW-QMMMGPOBSA-N 0 0 432.300 -0.026 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)Nc2cccc(C)c2C)CC1 ZINC000804545329 1073848937 /nfs/dbraw/zinc/84/89/37/1073848937.db2.gz WTAUIPKEUOWGHS-UHFFFAOYSA-N 0 0 438.550 -0.063 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@@H](C)C2)CC1 ZINC000804545729 1073847188 /nfs/dbraw/zinc/84/71/88/1073847188.db2.gz FGMXUBWNGXISSJ-CVEARBPZSA-N 0 0 430.571 -0.624 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N[C@H]2CCC[C@H](C)C2)CC1 ZINC000804545730 1073846942 /nfs/dbraw/zinc/84/69/42/1073846942.db2.gz FGMXUBWNGXISSJ-HOTGVXAUSA-N 0 0 430.571 -0.624 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000804545731 1073847214 /nfs/dbraw/zinc/84/72/14/1073847214.db2.gz FGMXUBWNGXISSJ-HZPDHXFCSA-N 0 0 430.571 -0.624 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N[C@@H]2CCC[C@H](C)C2)CC1 ZINC000804545732 1073846983 /nfs/dbraw/zinc/84/69/83/1073846983.db2.gz FGMXUBWNGXISSJ-JKSUJKDBSA-N 0 0 430.571 -0.624 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(Cc2cc(OC)cc(OC)c2)CC1 ZINC000804545881 1073847114 /nfs/dbraw/zinc/84/71/14/1073847114.db2.gz GXARTQRXYKKLMW-UHFFFAOYSA-N 0 0 427.523 -0.101 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CCOc2cccc(OC)c2)CC1 ZINC000804545954 1073847076 /nfs/dbraw/zinc/84/70/76/1073847076.db2.gz FDOHYJOLNZPSHS-UHFFFAOYSA-N 0 0 427.523 -0.231 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N(C)[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000804546073 1073847127 /nfs/dbraw/zinc/84/71/27/1073847127.db2.gz JBKLYYSWDAPJOY-INIZCTEOSA-N 0 0 441.506 -0.182 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N(C)[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000804546074 1073847223 /nfs/dbraw/zinc/84/72/23/1073847223.db2.gz JBKLYYSWDAPJOY-MRXNPFEDSA-N 0 0 441.506 -0.182 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000804546143 1073847179 /nfs/dbraw/zinc/84/71/79/1073847179.db2.gz KQNWPLVNFZIFEJ-UHFFFAOYSA-N 0 0 428.486 -0.541 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCCN(C(=O)Cc2cccc(F)c2)CC1 ZINC000804546269 1073847196 /nfs/dbraw/zinc/84/71/96/1073847196.db2.gz LOTOJTINCWYVMG-UHFFFAOYSA-N 0 0 427.498 -0.020 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(C)ccc2C)CC1 ZINC000804546350 1073847011 /nfs/dbraw/zinc/84/70/11/1073847011.db2.gz NHHQUNDGYMQKSG-UHFFFAOYSA-N 0 0 445.563 -0.313 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000804546389 1073847167 /nfs/dbraw/zinc/84/71/67/1073847167.db2.gz LLJDGCIMPZGTEJ-UHFFFAOYSA-N 0 0 435.499 -0.791 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCS(=O)(=O)CC)CC1 ZINC000804546542 1073847615 /nfs/dbraw/zinc/84/76/15/1073847615.db2.gz QANWPNJLJYHJEU-UHFFFAOYSA-N 0 0 440.588 -0.952 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC000804546677 1073847723 /nfs/dbraw/zinc/84/77/23/1073847723.db2.gz RAKRTUPSWVGTMO-AWEZNQCLSA-N 0 0 442.513 -0.152 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN([C@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC000804546678 1073847666 /nfs/dbraw/zinc/84/76/66/1073847666.db2.gz RAKRTUPSWVGTMO-CQSZACIVSA-N 0 0 442.513 -0.152 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000804546713 1073847690 /nfs/dbraw/zinc/84/76/90/1073847690.db2.gz SESOAQBNSGXWLC-KRWDZBQOSA-N 0 0 436.534 -0.513 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000804546714 1073847778 /nfs/dbraw/zinc/84/77/78/1073847778.db2.gz SESOAQBNSGXWLC-QGZVFWFLSA-N 0 0 436.534 -0.513 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000804546856 1073847757 /nfs/dbraw/zinc/84/77/57/1073847757.db2.gz BMPSSNFIHBVDNE-UHFFFAOYSA-N 0 0 435.506 -0.470 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC000804546950 1073847541 /nfs/dbraw/zinc/84/75/41/1073847541.db2.gz VAQSKUNRRLJMNH-UHFFFAOYSA-N 0 0 434.522 -0.593 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)Nc2c(C)cccc2C)CC1 ZINC000804546997 1073847568 /nfs/dbraw/zinc/84/75/68/1073847568.db2.gz WYPJXLCXESUHFN-UHFFFAOYSA-N 0 0 438.550 -0.063 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000804547145 1073847654 /nfs/dbraw/zinc/84/76/54/1073847654.db2.gz DABYZRFVQFCJDF-UHFFFAOYSA-N 0 0 431.536 -0.789 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)C(=O)NCCS(=O)(=O)CC)CC1 ZINC000804547305 1073847555 /nfs/dbraw/zinc/84/75/55/1073847555.db2.gz VAFQXNAUYJWWGN-UHFFFAOYSA-N 0 0 425.507 -0.079 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC000804547335 1073847679 /nfs/dbraw/zinc/84/76/79/1073847679.db2.gz VNAWOEBMOGTNPV-UHFFFAOYSA-N 0 0 430.571 -0.624 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000804547465 1073846959 /nfs/dbraw/zinc/84/69/59/1073846959.db2.gz XJNFTFYSFBBJMY-DLBZAZTESA-N 0 0 436.534 -0.748 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000804547467 1073847204 /nfs/dbraw/zinc/84/72/04/1073847204.db2.gz XJNFTFYSFBBJMY-IRXDYDNUSA-N 0 0 436.534 -0.748 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C(=O)NCCS(=O)(=O)CC)CC2)CC1 ZINC000804547528 1073847143 /nfs/dbraw/zinc/84/71/43/1073847143.db2.gz YEPWGIYPBWGBPU-UHFFFAOYSA-N 0 0 430.571 -0.670 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCc1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC000804547851 1073847602 /nfs/dbraw/zinc/84/76/02/1073847602.db2.gz BVBFVIUJPBHCFJ-UHFFFAOYSA-N 0 0 433.552 -0.212 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCCN(Cc2ccc(OC)cc2)C(=O)C1 ZINC000804548032 1073847639 /nfs/dbraw/zinc/84/76/39/1073847639.db2.gz CVJMZTINHOYYKE-UHFFFAOYSA-N 0 0 425.507 -0.193 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000804548155 1073847808 /nfs/dbraw/zinc/84/78/08/1073847808.db2.gz RYBAHPVHXZEXQP-UHFFFAOYSA-N 0 0 429.520 -0.859 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000804548707 1073847822 /nfs/dbraw/zinc/84/78/22/1073847822.db2.gz MFLJSDSXMGKBCB-UHFFFAOYSA-N 0 0 447.535 -0.921 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)CC)CC2)nc1 ZINC000804549352 1073847706 /nfs/dbraw/zinc/84/77/06/1073847706.db2.gz BGSIJGNBNUEGGH-UHFFFAOYSA-N 0 0 426.495 -0.542 20 0 IBADRN CCOC(=O)c1cnc(N2CCN(C(=O)C(=O)NCCS(=O)(=O)CC)CC2)s1 ZINC000804549630 1073848272 /nfs/dbraw/zinc/84/82/72/1073848272.db2.gz IMSORSZDXARTPY-UHFFFAOYSA-N 0 0 432.524 -0.481 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000804549989 1073848088 /nfs/dbraw/zinc/84/80/88/1073848088.db2.gz QIHWSRMRUJVNIN-UHFFFAOYSA-N 0 0 449.526 -0.400 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC000804550066 1073848113 /nfs/dbraw/zinc/84/81/13/1073848113.db2.gz SBYVEWDEVZHZFX-UHFFFAOYSA-N 0 0 430.571 -0.527 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000804550135 1073848340 /nfs/dbraw/zinc/84/83/40/1073848340.db2.gz ARRRSSJXWVNAJG-UHFFFAOYSA-N 0 0 442.513 -0.749 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(C(=O)Cc2cccc(OC)c2)CC1 ZINC000804550157 1073848299 /nfs/dbraw/zinc/84/82/99/1073848299.db2.gz BDTOKEVEFBVMCG-UHFFFAOYSA-N 0 0 425.507 -0.541 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C(=O)NCCS(=O)(=O)CC)CC2)n1 ZINC000804550267 1073847736 /nfs/dbraw/zinc/84/77/36/1073847736.db2.gz VJWFVQFTDONHII-CYBMUJFWSA-N 0 0 431.515 -0.638 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C(=O)NCCS(=O)(=O)CC)CC2)n1 ZINC000804550268 1073848236 /nfs/dbraw/zinc/84/82/36/1073848236.db2.gz VJWFVQFTDONHII-ZDUSSCGKSA-N 0 0 431.515 -0.638 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC000804550676 1073848285 /nfs/dbraw/zinc/84/82/85/1073848285.db2.gz IRSHLHSQDOBJJO-HOTGVXAUSA-N 0 0 430.571 -0.814 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC000804550677 1073848388 /nfs/dbraw/zinc/84/83/88/1073848388.db2.gz IRSHLHSQDOBJJO-HZPDHXFCSA-N 0 0 430.571 -0.814 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC000804550678 1073848257 /nfs/dbraw/zinc/84/82/57/1073848257.db2.gz IRSHLHSQDOBJJO-IYBDPMFKSA-N 0 0 430.571 -0.814 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000804550838 1073848126 /nfs/dbraw/zinc/84/81/26/1073848126.db2.gz NLKQBHJBBSRNLQ-UHFFFAOYSA-N 0 0 425.507 -0.347 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000804550851 1073848354 /nfs/dbraw/zinc/84/83/54/1073848354.db2.gz NXQAMHWUIFFQDZ-AWEZNQCLSA-N 0 0 449.526 -0.354 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000804550852 1073848218 /nfs/dbraw/zinc/84/82/18/1073848218.db2.gz NXQAMHWUIFFQDZ-CQSZACIVSA-N 0 0 449.526 -0.354 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000804550915 1073848173 /nfs/dbraw/zinc/84/81/73/1073848173.db2.gz PKUHYKUXTVFSKG-UHFFFAOYSA-N 0 0 429.470 -0.574 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)Nc2ccccc2Cl)CC1 ZINC000804551096 1073848327 /nfs/dbraw/zinc/84/83/27/1073848327.db2.gz BIPRVMKHAGXHRV-UHFFFAOYSA-N 0 0 444.941 -0.026 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000804551122 1073848316 /nfs/dbraw/zinc/84/83/16/1073848316.db2.gz CVCAKHYNDQSBKI-UHFFFAOYSA-N 0 0 436.534 -0.729 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC000804551211 1073848193 /nfs/dbraw/zinc/84/81/93/1073848193.db2.gz VRRBHJFLYZRROD-UHFFFAOYSA-N 0 0 431.536 -0.540 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000804551538 1073848363 /nfs/dbraw/zinc/84/83/63/1073848363.db2.gz KDDPGVPEYCUABC-UHFFFAOYSA-N 0 0 430.571 -0.622 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N1CCN(C(=O)CCc2ccc(OC)cc2)CC1 ZINC000804551695 1073848711 /nfs/dbraw/zinc/84/87/11/1073848711.db2.gz PJKTZXGBNDNPCO-UHFFFAOYSA-N 0 0 439.534 -0.151 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000804551792 1073848861 /nfs/dbraw/zinc/84/88/61/1073848861.db2.gz SKXOPGGXAVUAIP-UHFFFAOYSA-N 0 0 437.462 -0.785 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000804551877 1073848955 /nfs/dbraw/zinc/84/89/55/1073848955.db2.gz VEKCSBZDZIPYGX-GFCCVEGCSA-N 0 0 441.487 -0.231 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000804551878 1073848595 /nfs/dbraw/zinc/84/85/95/1073848595.db2.gz VEKCSBZDZIPYGX-LBPRGKRZSA-N 0 0 441.487 -0.231 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000804551884 1073848626 /nfs/dbraw/zinc/84/86/26/1073848626.db2.gz VJROEWIZIWNYKM-UHFFFAOYSA-N 0 0 434.559 -0.452 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)C(=O)NCCS(=O)(=O)CC)CC1 ZINC000804552039 1073848142 /nfs/dbraw/zinc/84/81/42/1073848142.db2.gz ZCZRXODNVFXJFF-UHFFFAOYSA-N 0 0 438.550 -0.117 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N[C@H]1CCN(Cc2cc(OC)cc(OC)c2)C1 ZINC000804552056 1073848698 /nfs/dbraw/zinc/84/86/98/1073848698.db2.gz ZIDYCQXXATWSLL-HNNXBMFYSA-N 0 0 427.523 -0.055 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N[C@@H]1CCN(Cc2cc(OC)cc(OC)c2)C1 ZINC000804552062 1073848812 /nfs/dbraw/zinc/84/88/12/1073848812.db2.gz ZIDYCQXXATWSLL-OAHLLOKOSA-N 0 0 427.523 -0.055 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)Cn2ccc(=O)[nH]c2=O)CC1)Nc1ccc(F)cc1 ZINC000804552269 1073848374 /nfs/dbraw/zinc/84/83/74/1073848374.db2.gz IXRNFYLTIFDTRQ-UHFFFAOYSA-N 0 0 447.423 -0.586 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000804553711 1073848917 /nfs/dbraw/zinc/84/89/17/1073848917.db2.gz ZXOVZFCWRZTYSL-UHFFFAOYSA-N 0 0 439.446 -0.408 20 0 IBADRN O=C(CCNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)OCc1ccccc1 ZINC000804557907 1073848766 /nfs/dbraw/zinc/84/87/66/1073848766.db2.gz UXHGQVQLKNWOKE-AEVYOOLXSA-N 0 0 429.433 -0.017 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCS(=O)(=O)CC2CC2)c(S(N)(=O)=O)c1 ZINC000804558324 1073848730 /nfs/dbraw/zinc/84/87/30/1073848730.db2.gz CEJNZHUZDNECSA-UHFFFAOYSA-N 0 0 425.554 -0.179 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1ccc2c(c1)CC(=O)N2C ZINC000804569965 1073849532 /nfs/dbraw/zinc/84/95/32/1073849532.db2.gz RIDLWPAOQUGXQI-AWEZNQCLSA-N 0 0 427.505 -0.195 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)c1ccc2c(c1)CC(=O)N2C ZINC000804569966 1073849344 /nfs/dbraw/zinc/84/93/44/1073849344.db2.gz RIDLWPAOQUGXQI-CQSZACIVSA-N 0 0 427.505 -0.195 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1ccc2c(c1)CC(=O)N2C ZINC000804572553 1073849477 /nfs/dbraw/zinc/84/94/77/1073849477.db2.gz QTRMJZQREJXKCA-AWEZNQCLSA-N 0 0 438.488 -0.175 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1)c1ccc2c(c1)CC(=O)N2C ZINC000804572554 1073849321 /nfs/dbraw/zinc/84/93/21/1073849321.db2.gz QTRMJZQREJXKCA-CQSZACIVSA-N 0 0 438.488 -0.175 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc2c(c1)CC(=O)N2C ZINC000804572828 1073849485 /nfs/dbraw/zinc/84/94/85/1073849485.db2.gz YYEPFKQZOZZHFC-CYBMUJFWSA-N 0 0 441.488 -0.668 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)c1ccc2c(c1)CC(=O)N2C ZINC000804572829 1073849385 /nfs/dbraw/zinc/84/93/85/1073849385.db2.gz YYEPFKQZOZZHFC-ZDUSSCGKSA-N 0 0 441.488 -0.668 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000804582512 1073849932 /nfs/dbraw/zinc/84/99/32/1073849932.db2.gz XCVINQKSENEQHA-LSCFUAHRSA-N 0 0 436.469 -0.283 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N(C)CC(C)(C)S(C)(=O)=O)c(S(N)(=O)=O)c1 ZINC000804585117 1073849964 /nfs/dbraw/zinc/84/99/64/1073849964.db2.gz ZQNDKOYFUGHSHJ-UHFFFAOYSA-N 0 0 427.570 -0.156 20 0 IBADRN CN(CCN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(=O)OC(C)(C)C ZINC000804588655 1073849916 /nfs/dbraw/zinc/84/99/16/1073849916.db2.gz MTKIAKRDABKJLB-LSCFUAHRSA-N 0 0 438.485 -0.259 20 0 IBADRN O=C(NCCCNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000804590899 1073849891 /nfs/dbraw/zinc/84/98/91/1073849891.db2.gz QRTRKZSTOMVILD-BETUJISGSA-N 0 0 428.453 -0.839 20 0 IBADRN O=C(NCCCNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000804590900 1073849851 /nfs/dbraw/zinc/84/98/51/1073849851.db2.gz QRTRKZSTOMVILD-CHWSQXEVSA-N 0 0 428.453 -0.839 20 0 IBADRN O=C(NCCCNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000804590901 1073849986 /nfs/dbraw/zinc/84/99/86/1073849986.db2.gz QRTRKZSTOMVILD-STQMWFEESA-N 0 0 428.453 -0.839 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC[C@@](O)(c3ccc(F)cc3)C2)[C@H](O)[C@@H]1O ZINC000804595669 1073851355 /nfs/dbraw/zinc/85/13/55/1073851355.db2.gz AZCJTLZDQJGEDL-JNRNKGNBSA-N 0 0 431.424 -0.325 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC[C@](O)(c3ccc(F)cc3)C2)[C@H](O)[C@@H]1O ZINC000804595672 1073851017 /nfs/dbraw/zinc/85/10/17/1073851017.db2.gz AZCJTLZDQJGEDL-JTOXWUSOSA-N 0 0 431.424 -0.325 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC000804597537 1073851036 /nfs/dbraw/zinc/85/10/36/1073851036.db2.gz MFEUYBOSQUBRIN-UHFFFAOYSA-N 0 0 446.508 -0.300 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@H](C)c2cc(F)ccc2N2CCC(O)CC2)CCO1 ZINC000804604443 1073850592 /nfs/dbraw/zinc/85/05/92/1073850592.db2.gz RJHBJCPRFXRWPU-ACJLOTCBSA-N 0 0 436.484 -0.063 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@H](C)c2cc(F)ccc2N2CCC(O)CC2)CCO1 ZINC000804604444 1073850519 /nfs/dbraw/zinc/85/05/19/1073850519.db2.gz RJHBJCPRFXRWPU-FZKQIMNGSA-N 0 0 436.484 -0.063 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)N[C@@H](C)c2cc(F)ccc2N2CCC(O)CC2)CCO1 ZINC000804604445 1073850627 /nfs/dbraw/zinc/85/06/27/1073850627.db2.gz RJHBJCPRFXRWPU-SCLBCKFNSA-N 0 0 436.484 -0.063 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)N[C@@H](C)c2cc(F)ccc2N2CCC(O)CC2)CCO1 ZINC000804604446 1073850687 /nfs/dbraw/zinc/85/06/87/1073850687.db2.gz RJHBJCPRFXRWPU-UGSOOPFHSA-N 0 0 436.484 -0.063 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1cc(F)ccc1N1CCC(O)CC1 ZINC000804604598 1073849999 /nfs/dbraw/zinc/84/99/99/1073849999.db2.gz WAVKUBUVSDXOOF-DOMZBBRYSA-N 0 0 442.513 -0.147 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1cc(F)ccc1N1CCC(O)CC1 ZINC000804604599 1073850084 /nfs/dbraw/zinc/85/00/84/1073850084.db2.gz WAVKUBUVSDXOOF-IUODEOHRSA-N 0 0 442.513 -0.147 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1)c1cc(F)ccc1N1CCC(O)CC1 ZINC000804604600 1073850700 /nfs/dbraw/zinc/85/07/00/1073850700.db2.gz WAVKUBUVSDXOOF-SWLSCSKDSA-N 0 0 442.513 -0.147 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1)c1cc(F)ccc1N1CCC(O)CC1 ZINC000804604601 1073850733 /nfs/dbraw/zinc/85/07/33/1073850733.db2.gz WAVKUBUVSDXOOF-WFASDCNBSA-N 0 0 442.513 -0.147 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCN2C(=O)COc3ccccc32)CC1 ZINC000804605525 1073850714 /nfs/dbraw/zinc/85/07/14/1073850714.db2.gz MOEMBKOBVPMPSR-UHFFFAOYSA-N 0 0 432.477 -0.422 20 0 IBADRN CC(C)(C)OC(=O)NC/C=C\CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804611959 1073850417 /nfs/dbraw/zinc/85/04/17/1073850417.db2.gz HLAILWYTYMHMAN-ACLTYAFRSA-N 0 0 436.469 -0.069 20 0 IBADRN CC(C)(C)OC(=O)NC/C=C/CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804611960 1073850657 /nfs/dbraw/zinc/85/06/57/1073850657.db2.gz HLAILWYTYMHMAN-ZNFORPBKSA-N 0 0 436.469 -0.069 20 0 IBADRN O=C(COC(=O)[C@]12CCC(=O)N1c1ccccc1C(=O)N2C1CC1)N1CCNC(=O)C1 ZINC000804613659 1073850804 /nfs/dbraw/zinc/85/08/04/1073850804.db2.gz WYQKWQWFYHNKIP-NRFANRHFSA-N 0 0 426.429 -0.371 20 0 IBADRN O=C(COC(=O)[C@@]12CCC(=O)N1c1ccccc1C(=O)N2C1CC1)N1CCNC(=O)C1 ZINC000804613662 1073850747 /nfs/dbraw/zinc/85/07/47/1073850747.db2.gz WYQKWQWFYHNKIP-OAQYLSRUSA-N 0 0 426.429 -0.371 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000804621704 1073850955 /nfs/dbraw/zinc/85/09/55/1073850955.db2.gz CISQHHIQBDJGHV-ADLSKWGTSA-N 0 0 436.469 -0.283 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000804621705 1073851409 /nfs/dbraw/zinc/85/14/09/1073851409.db2.gz CISQHHIQBDJGHV-AEFKHOGKSA-N 0 0 436.469 -0.283 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000804621706 1073851196 /nfs/dbraw/zinc/85/11/96/1073851196.db2.gz CISQHHIQBDJGHV-FKKWROFXSA-N 0 0 436.469 -0.283 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC000804621707 1073851243 /nfs/dbraw/zinc/85/12/43/1073851243.db2.gz CISQHHIQBDJGHV-SNDYUSLUSA-N 0 0 436.469 -0.283 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC(O)(c3ccc(Cl)cc3)C2)[C@H](O)[C@@H]1O ZINC000804622271 1073851393 /nfs/dbraw/zinc/85/13/93/1073851393.db2.gz ZHBKHQXUYLPFAQ-SCFUHWHPSA-N 0 0 433.852 -0.201 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000804623613 1073851260 /nfs/dbraw/zinc/85/12/60/1073851260.db2.gz DNWMIYNHPIAVSZ-AWEZNQCLSA-N 0 0 436.513 -0.084 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000804623614 1073851117 /nfs/dbraw/zinc/85/11/17/1073851117.db2.gz DNWMIYNHPIAVSZ-CQSZACIVSA-N 0 0 436.513 -0.084 20 0 IBADRN COC(=O)N1CCC([C@@H](C)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000804623906 1073851805 /nfs/dbraw/zinc/85/18/05/1073851805.db2.gz ZWZYDPCFLGABAA-DPHITLOKSA-N 0 0 436.469 -0.283 20 0 IBADRN COC(=O)N1CCC([C@H](C)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC000804623907 1073852072 /nfs/dbraw/zinc/85/20/72/1073852072.db2.gz ZWZYDPCFLGABAA-QHOAOGIMSA-N 0 0 436.469 -0.283 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC000804632456 1073851588 /nfs/dbraw/zinc/85/15/88/1073851588.db2.gz DLAQHIWWVNBMGY-CVEARBPZSA-N 0 0 432.543 -0.540 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC000804632457 1073851614 /nfs/dbraw/zinc/85/16/14/1073851614.db2.gz DLAQHIWWVNBMGY-HOTGVXAUSA-N 0 0 432.543 -0.540 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC000804632459 1073851628 /nfs/dbraw/zinc/85/16/28/1073851628.db2.gz DLAQHIWWVNBMGY-HZPDHXFCSA-N 0 0 432.543 -0.540 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC000804632461 1073851658 /nfs/dbraw/zinc/85/16/58/1073851658.db2.gz DLAQHIWWVNBMGY-JKSUJKDBSA-N 0 0 432.543 -0.540 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)c1 ZINC000804637560 1073852694 /nfs/dbraw/zinc/85/26/94/1073852694.db2.gz KYXHHBXSCQEEHM-KBPBESRZSA-N 0 0 429.499 -0.405 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)c1 ZINC000804637561 1073852776 /nfs/dbraw/zinc/85/27/76/1073852776.db2.gz KYXHHBXSCQEEHM-KGLIPLIRSA-N 0 0 429.499 -0.405 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)c1 ZINC000804637562 1073852521 /nfs/dbraw/zinc/85/25/21/1073852521.db2.gz KYXHHBXSCQEEHM-UONOGXRCSA-N 0 0 429.499 -0.405 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)c1 ZINC000804637563 1073852506 /nfs/dbraw/zinc/85/25/06/1073852506.db2.gz KYXHHBXSCQEEHM-ZIAGYGMSSA-N 0 0 429.499 -0.405 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)cn1C ZINC000804640281 1073853167 /nfs/dbraw/zinc/85/31/67/1073853167.db2.gz FBIYJHDKQAQXOB-CABCVRRESA-N 0 0 443.526 -0.097 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)cn1C ZINC000804640282 1073853310 /nfs/dbraw/zinc/85/33/10/1073853310.db2.gz FBIYJHDKQAQXOB-GJZGRUSLSA-N 0 0 443.526 -0.097 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)cn1C ZINC000804640283 1073853283 /nfs/dbraw/zinc/85/32/83/1073853283.db2.gz FBIYJHDKQAQXOB-HUUCEWRRSA-N 0 0 443.526 -0.097 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)cn1C ZINC000804640284 1073853299 /nfs/dbraw/zinc/85/32/99/1073853299.db2.gz FBIYJHDKQAQXOB-LSDHHAIUSA-N 0 0 443.526 -0.097 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)cn1 ZINC000804640297 1073853248 /nfs/dbraw/zinc/85/32/48/1073853248.db2.gz FMFUXCBYYBZVGW-KBPBESRZSA-N 0 0 429.499 -0.405 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)cn1 ZINC000804640298 1073853204 /nfs/dbraw/zinc/85/32/04/1073853204.db2.gz FMFUXCBYYBZVGW-KGLIPLIRSA-N 0 0 429.499 -0.405 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)cn1 ZINC000804640299 1073853099 /nfs/dbraw/zinc/85/30/99/1073853099.db2.gz FMFUXCBYYBZVGW-UONOGXRCSA-N 0 0 429.499 -0.405 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)cn1 ZINC000804640300 1073853148 /nfs/dbraw/zinc/85/31/48/1073853148.db2.gz FMFUXCBYYBZVGW-ZIAGYGMSSA-N 0 0 429.499 -0.405 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)c1 ZINC000804640603 1073852616 /nfs/dbraw/zinc/85/26/16/1073852616.db2.gz VXWSRQVHPAHRRX-KBPBESRZSA-N 0 0 429.499 -0.183 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)c1 ZINC000804640606 1073852560 /nfs/dbraw/zinc/85/25/60/1073852560.db2.gz VXWSRQVHPAHRRX-KGLIPLIRSA-N 0 0 429.499 -0.183 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)c1 ZINC000804640608 1073852804 /nfs/dbraw/zinc/85/28/04/1073852804.db2.gz VXWSRQVHPAHRRX-UONOGXRCSA-N 0 0 429.499 -0.183 20 0 IBADRN NS(=O)(=O)c1cnn(CCC(=O)N2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)c1 ZINC000804640610 1073853260 /nfs/dbraw/zinc/85/32/60/1073853260.db2.gz VXWSRQVHPAHRRX-ZIAGYGMSSA-N 0 0 429.499 -0.183 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCC[C@H]3COC(=O)N[C@H]3CCOC3)c2c(=O)n(C)c1=O ZINC000804640832 1073853081 /nfs/dbraw/zinc/85/30/81/1073853081.db2.gz KHTUKSRSOHRRFQ-KBPBESRZSA-N 0 0 448.480 -0.670 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCC[C@H]3COC(=O)N[C@@H]3CCOC3)c2c(=O)n(C)c1=O ZINC000804640834 1073853156 /nfs/dbraw/zinc/85/31/56/1073853156.db2.gz KHTUKSRSOHRRFQ-KGLIPLIRSA-N 0 0 448.480 -0.670 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCC[C@@H]3COC(=O)N[C@H]3CCOC3)c2c(=O)n(C)c1=O ZINC000804640836 1073853275 /nfs/dbraw/zinc/85/32/75/1073853275.db2.gz KHTUKSRSOHRRFQ-UONOGXRCSA-N 0 0 448.480 -0.670 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCC[C@@H]3COC(=O)N[C@@H]3CCOC3)c2c(=O)n(C)c1=O ZINC000804640838 1073853190 /nfs/dbraw/zinc/85/31/90/1073853190.db2.gz KHTUKSRSOHRRFQ-ZIAGYGMSSA-N 0 0 448.480 -0.670 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCC[C@H]1COC(=O)N[C@H]1CCOC1)c(=O)n2C ZINC000804642560 1073851921 /nfs/dbraw/zinc/85/19/21/1073851921.db2.gz NUKXKUGVPNCATN-KBPBESRZSA-N 0 0 448.480 -0.670 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCC[C@H]1COC(=O)N[C@@H]1CCOC1)c(=O)n2C ZINC000804642561 1073852055 /nfs/dbraw/zinc/85/20/55/1073852055.db2.gz NUKXKUGVPNCATN-KGLIPLIRSA-N 0 0 448.480 -0.670 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1)c(=O)n2C ZINC000804642562 1073852087 /nfs/dbraw/zinc/85/20/87/1073852087.db2.gz NUKXKUGVPNCATN-UONOGXRCSA-N 0 0 448.480 -0.670 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1)c(=O)n2C ZINC000804642563 1073852039 /nfs/dbraw/zinc/85/20/39/1073852039.db2.gz NUKXKUGVPNCATN-ZIAGYGMSSA-N 0 0 448.480 -0.670 20 0 IBADRN CCC(=O)c1ccc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(S(N)(=O)=O)c1 ZINC000804644293 1073852711 /nfs/dbraw/zinc/85/27/11/1073852711.db2.gz GYYPLYMHDUUEOV-UHFFFAOYSA-N 0 0 436.494 -0.607 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCCN(c3ncccc3F)CC2)[C@H](O)[C@@H]1O ZINC000804644570 1073852666 /nfs/dbraw/zinc/85/26/66/1073852666.db2.gz HKSKHNJKKRTJNF-KHTYJDQRSA-N 0 0 445.455 -0.311 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCC[C@H]1COC(=O)N[C@H]1CCOC1 ZINC000804645657 1073852682 /nfs/dbraw/zinc/85/26/82/1073852682.db2.gz VKEJFHNMDUZUHY-KKUMJFAQSA-N 0 0 443.526 -0.017 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1 ZINC000804645658 1073852532 /nfs/dbraw/zinc/85/25/32/1073852532.db2.gz VKEJFHNMDUZUHY-RRFJBIMHSA-N 0 0 443.526 -0.017 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1 ZINC000804645659 1073852547 /nfs/dbraw/zinc/85/25/47/1073852547.db2.gz VKEJFHNMDUZUHY-SOUVJXGZSA-N 0 0 443.526 -0.017 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCCC[C@H]1COC(=O)N[C@@H]1CCOC1 ZINC000804645660 1073852844 /nfs/dbraw/zinc/85/28/44/1073852844.db2.gz VKEJFHNMDUZUHY-ZNMIVQPWSA-N 0 0 443.526 -0.017 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cccc(CS(C)(=O)=O)c2)CC1 ZINC000804650420 1073852790 /nfs/dbraw/zinc/85/27/90/1073852790.db2.gz KLTVBTDGGRVHQN-UHFFFAOYSA-N 0 0 425.507 -0.380 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000804652956 1073853126 /nfs/dbraw/zinc/85/31/26/1073853126.db2.gz QHTJUGVADXUNCT-UHFFFAOYSA-N 0 0 434.493 -0.457 20 0 IBADRN COc1cccc2c1OC[C@@H](NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)C2 ZINC000804678874 1073853133 /nfs/dbraw/zinc/85/31/33/1073853133.db2.gz TWKHTAWGXWZMGI-INIZCTEOSA-N 0 0 439.534 -0.260 20 0 IBADRN COc1cccc2c1OC[C@H](NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)C2 ZINC000804678875 1073853107 /nfs/dbraw/zinc/85/31/07/1073853107.db2.gz TWKHTAWGXWZMGI-MRXNPFEDSA-N 0 0 439.534 -0.260 20 0 IBADRN OCCN(Cc1cccnc1Cl)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC000804683561 1073853907 /nfs/dbraw/zinc/85/39/07/1073853907.db2.gz ZHXAKIZIVFDPKS-XWXWGSFUSA-N 0 0 436.856 -0.515 20 0 IBADRN CN(CC(=O)NC[C@H]1CCCCN1S(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000804689273 1073853886 /nfs/dbraw/zinc/85/38/86/1073853886.db2.gz AKGSSTDIPMGRDL-CYBMUJFWSA-N 0 0 428.536 -0.002 20 0 IBADRN CN(CC(=O)NC[C@@H]1CCCCN1S(C)(=O)=O)C1=NS(=O)(=O)c2ccccc21 ZINC000804689275 1073853625 /nfs/dbraw/zinc/85/36/25/1073853625.db2.gz AKGSSTDIPMGRDL-ZDUSSCGKSA-N 0 0 428.536 -0.002 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC[C@](CO)(c3ccccc3)C2)[C@H](O)[C@@H]1O ZINC000804693989 1073853937 /nfs/dbraw/zinc/85/39/37/1073853937.db2.gz KQNXOAFPUXPZBD-BTOULBCGSA-N 0 0 441.488 -0.032 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC[C@@](CO)(c3ccccc3)C2)[C@H](O)[C@@H]1O ZINC000804693990 1073853896 /nfs/dbraw/zinc/85/38/96/1073853896.db2.gz KQNXOAFPUXPZBD-JZCCTDPDSA-N 0 0 441.488 -0.032 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](CCNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000804709327 1073853863 /nfs/dbraw/zinc/85/38/63/1073853863.db2.gz ZEPXJSVFRMDXTH-AWEZNQCLSA-N 0 0 432.543 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](CCNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000804709328 1073853834 /nfs/dbraw/zinc/85/38/34/1073853834.db2.gz ZEPXJSVFRMDXTH-CQSZACIVSA-N 0 0 432.543 -0.147 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCC[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000804709712 1073853682 /nfs/dbraw/zinc/85/36/82/1073853682.db2.gz QTQXHOSVGQCSMQ-DLBZAZTESA-N 0 0 442.557 -0.099 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCC[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000804709713 1073853776 /nfs/dbraw/zinc/85/37/76/1073853776.db2.gz QTQXHOSVGQCSMQ-IAGOWNOFSA-N 0 0 442.557 -0.099 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCC[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000804709714 1073854266 /nfs/dbraw/zinc/85/42/66/1073854266.db2.gz QTQXHOSVGQCSMQ-IRXDYDNUSA-N 0 0 442.557 -0.099 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCC[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000804709715 1073854250 /nfs/dbraw/zinc/85/42/50/1073854250.db2.gz QTQXHOSVGQCSMQ-SJORKVTESA-N 0 0 442.557 -0.099 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](CCNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000804711604 1073854355 /nfs/dbraw/zinc/85/43/55/1073854355.db2.gz QQSGVRXNHYNNJF-CYBMUJFWSA-N 0 0 432.543 -0.243 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](CCNC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000804711605 1073854395 /nfs/dbraw/zinc/85/43/95/1073854395.db2.gz QQSGVRXNHYNNJF-ZDUSSCGKSA-N 0 0 432.543 -0.243 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000804716163 1073854296 /nfs/dbraw/zinc/85/42/96/1073854296.db2.gz DOGUNRBMWQKKHV-AWEZNQCLSA-N 0 0 445.519 -0.315 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)CC1 ZINC000804716164 1073854341 /nfs/dbraw/zinc/85/43/41/1073854341.db2.gz DOGUNRBMWQKKHV-CQSZACIVSA-N 0 0 445.519 -0.315 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN(C)C(=O)[C@H](C)n2cccn2)CC1 ZINC000804716381 1073854364 /nfs/dbraw/zinc/85/43/64/1073854364.db2.gz JMYBCHCMHQFFNH-AWEZNQCLSA-N 0 0 428.511 -0.129 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN(C)C(=O)[C@@H](C)n2cccn2)CC1 ZINC000804716385 1073854328 /nfs/dbraw/zinc/85/43/28/1073854328.db2.gz JMYBCHCMHQFFNH-CQSZACIVSA-N 0 0 428.511 -0.129 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@](C)(O)Cn2ccc(C)cc2=O)CC1 ZINC000804716514 1073854257 /nfs/dbraw/zinc/85/42/57/1073854257.db2.gz MNGAYWKETFMEBR-GOSISDBHSA-N 0 0 428.507 -0.123 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@@](C)(O)Cn2ccc(C)cc2=O)CC1 ZINC000804716517 1073854288 /nfs/dbraw/zinc/85/42/88/1073854288.db2.gz MNGAYWKETFMEBR-SFHVURJKSA-N 0 0 428.507 -0.123 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CNS(=O)(=O)c2cccs2)CC1 ZINC000804717028 1073854383 /nfs/dbraw/zinc/85/43/83/1073854383.db2.gz WBHLWTNTGAUKHJ-UHFFFAOYSA-N 0 0 438.549 -0.005 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000804717113 1073854319 /nfs/dbraw/zinc/85/43/19/1073854319.db2.gz ZTVAEADNWKPJPH-UHFFFAOYSA-N 0 0 445.519 -0.547 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC000804717474 1073854347 /nfs/dbraw/zinc/85/43/47/1073854347.db2.gz CKLKGJUSCUIJPY-UHFFFAOYSA-N 0 0 449.526 -0.404 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000804717500 1073854797 /nfs/dbraw/zinc/85/47/97/1073854797.db2.gz DCGFZHYWOIEUKX-UHFFFAOYSA-N 0 0 447.535 -0.281 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)CC1 ZINC000804717721 1073854938 /nfs/dbraw/zinc/85/49/38/1073854938.db2.gz ICOLZVPXVZMAGG-GFCCVEGCSA-N 0 0 426.557 -0.081 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)CC1 ZINC000804717722 1073854787 /nfs/dbraw/zinc/85/47/87/1073854787.db2.gz ICOLZVPXVZMAGG-LBPRGKRZSA-N 0 0 426.557 -0.081 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000804717786 1073854822 /nfs/dbraw/zinc/85/48/22/1073854822.db2.gz XHEJYOBRQVKGIA-UHFFFAOYSA-N 0 0 445.519 -0.547 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@](C)(O)Cn2c(C)cccc2=O)CC1 ZINC000804718869 1073854873 /nfs/dbraw/zinc/85/48/73/1073854873.db2.gz WQJZYNGZOKEEMO-GOSISDBHSA-N 0 0 428.507 -0.123 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@@](C)(O)Cn2c(C)cccc2=O)CC1 ZINC000804718870 1073854944 /nfs/dbraw/zinc/85/49/44/1073854944.db2.gz WQJZYNGZOKEEMO-SFHVURJKSA-N 0 0 428.507 -0.123 20 0 IBADRN O=C(N[C@H]1CC[N@H+](CC2CC2)C1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000804729424 1073856439 /nfs/dbraw/zinc/85/64/39/1073856439.db2.gz KDVOVWUEAMSYMT-INIZCTEOSA-N 0 0 445.545 -0.120 20 0 IBADRN O=C(N[C@@H]1CC[N@H+](CC2CC2)C1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000804729428 1073856204 /nfs/dbraw/zinc/85/62/04/1073856204.db2.gz KDVOVWUEAMSYMT-MRXNPFEDSA-N 0 0 445.545 -0.120 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804747421 1073854906 /nfs/dbraw/zinc/85/49/06/1073854906.db2.gz BKGNMSTYVQDTJB-UHFFFAOYSA-N 0 0 425.530 -0.266 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CCN1c1nccn2cnnc12 ZINC000804748375 1073854931 /nfs/dbraw/zinc/85/49/31/1073854931.db2.gz KBOFDGOFHBQDGT-CYBMUJFWSA-N 0 0 444.496 -0.103 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CCN1c1nccn2cnnc12 ZINC000804748376 1073854780 /nfs/dbraw/zinc/85/47/80/1073854780.db2.gz KBOFDGOFHBQDGT-ZDUSSCGKSA-N 0 0 444.496 -0.103 20 0 IBADRN Cn1ccnc1CN1CCC[C@H](NC(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000804748531 1073854757 /nfs/dbraw/zinc/85/47/57/1073854757.db2.gz ODZXQDHIBAJTEZ-AWEZNQCLSA-N 0 0 434.497 -0.237 20 0 IBADRN Cn1ccnc1CN1CCC[C@@H](NC(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)C1=O ZINC000804748532 1073854853 /nfs/dbraw/zinc/85/48/53/1073854853.db2.gz ODZXQDHIBAJTEZ-CQSZACIVSA-N 0 0 434.497 -0.237 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804748824 1073855287 /nfs/dbraw/zinc/85/52/87/1073855287.db2.gz RZUTZDCPIOVYAV-AWEZNQCLSA-N 0 0 425.530 -0.219 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804748825 1073855393 /nfs/dbraw/zinc/85/53/93/1073855393.db2.gz RZUTZDCPIOVYAV-CQSZACIVSA-N 0 0 425.530 -0.219 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC000804749109 1073854920 /nfs/dbraw/zinc/85/49/20/1073854920.db2.gz JQUJLHTVVLJZOX-UHFFFAOYSA-N 0 0 437.541 -0.121 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000804749393 1073854889 /nfs/dbraw/zinc/85/48/89/1073854889.db2.gz OCZLUTGEELBDEQ-UHFFFAOYSA-N 0 0 432.481 -0.435 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804749783 1073855368 /nfs/dbraw/zinc/85/53/68/1073855368.db2.gz WIRQQVLQPJZVRQ-UHFFFAOYSA-N 0 0 444.496 -0.253 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)NC3CN(C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC000804749842 1073855348 /nfs/dbraw/zinc/85/53/48/1073855348.db2.gz FUXXDGTUHRWHPT-UHFFFAOYSA-N 0 0 437.541 -0.266 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC3CN(C(=O)OC(C)(C)C)C3)CC2)n1 ZINC000804749912 1073855163 /nfs/dbraw/zinc/85/51/63/1073855163.db2.gz YRXLBSRRPPKTJN-UHFFFAOYSA-N 0 0 438.485 -0.404 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000804750142 1073855243 /nfs/dbraw/zinc/85/52/43/1073855243.db2.gz JGRCCMINJLLSFI-UHFFFAOYSA-N 0 0 432.543 -0.653 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804750918 1073855230 /nfs/dbraw/zinc/85/52/30/1073855230.db2.gz OAVSNKFCWCDTRL-HNNXBMFYSA-N 0 0 437.541 -0.123 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804750933 1073855180 /nfs/dbraw/zinc/85/51/80/1073855180.db2.gz OAVSNKFCWCDTRL-OAHLLOKOSA-N 0 0 437.541 -0.123 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000804751449 1073855149 /nfs/dbraw/zinc/85/51/49/1073855149.db2.gz AROQRJVZBKUPNR-AWEZNQCLSA-N 0 0 430.527 -0.252 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000804751462 1073855218 /nfs/dbraw/zinc/85/52/18/1073855218.db2.gz AROQRJVZBKUPNR-CQSZACIVSA-N 0 0 430.527 -0.252 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000804751870 1073855911 /nfs/dbraw/zinc/85/59/11/1073855911.db2.gz VFZZKGVOSAMUIP-UHFFFAOYSA-N 0 0 436.556 -0.783 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000804752491 1073855267 /nfs/dbraw/zinc/85/52/67/1073855267.db2.gz VXGDKLKUEQMBFU-UHFFFAOYSA-N 0 0 432.481 -0.435 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804753035 1073855944 /nfs/dbraw/zinc/85/59/44/1073855944.db2.gz MBJITLHMLSMLDS-UHFFFAOYSA-N 0 0 439.557 -0.018 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804753085 1073855724 /nfs/dbraw/zinc/85/57/24/1073855724.db2.gz MZNPLNFNCHWMGV-UHFFFAOYSA-N 0 0 425.530 -0.362 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804753193 1073855625 /nfs/dbraw/zinc/85/56/25/1073855625.db2.gz RDTHSQIOIDPNBY-UHFFFAOYSA-N 0 0 425.530 -0.362 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000804753236 1073855960 /nfs/dbraw/zinc/85/59/60/1073855960.db2.gz SVXXRWGCGYJLQX-FQEVSTJZSA-N 0 0 437.497 -0.194 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000804753237 1073855710 /nfs/dbraw/zinc/85/57/10/1073855710.db2.gz SVXXRWGCGYJLQX-HXUWFJFHSA-N 0 0 437.497 -0.194 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804753270 1073855885 /nfs/dbraw/zinc/85/58/85/1073855885.db2.gz UVJPQUNQFXORQH-UHFFFAOYSA-N 0 0 425.530 -0.408 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)C1 ZINC000804753536 1073855991 /nfs/dbraw/zinc/85/59/91/1073855991.db2.gz DKVWWGKJUOFBDA-GDBMZVCRSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)C1 ZINC000804753537 1073855763 /nfs/dbraw/zinc/85/57/63/1073855763.db2.gz DKVWWGKJUOFBDA-GOEBONIOSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)C1 ZINC000804753538 1073855841 /nfs/dbraw/zinc/85/58/41/1073855841.db2.gz DKVWWGKJUOFBDA-HOCLYGCPSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)C1 ZINC000804753539 1073855818 /nfs/dbraw/zinc/85/58/18/1073855818.db2.gz DKVWWGKJUOFBDA-ZBFHGGJFSA-N 0 0 429.514 -0.158 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1 ZINC000804754447 1073855935 /nfs/dbraw/zinc/85/59/35/1073855935.db2.gz IYVXFOLXEUFMNU-UHFFFAOYSA-N 0 0 425.515 -0.248 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCC3CN(C(=O)OC(C)(C)C)C3)CC2)c1=O ZINC000804754492 1073855926 /nfs/dbraw/zinc/85/59/26/1073855926.db2.gz KPQHHHLQIYGPEP-UHFFFAOYSA-N 0 0 434.497 -0.588 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000804754744 1073855742 /nfs/dbraw/zinc/85/57/42/1073855742.db2.gz OGNJKKCURZXTAO-UHFFFAOYSA-N 0 0 445.498 -0.807 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000804755242 1073855693 /nfs/dbraw/zinc/85/56/93/1073855693.db2.gz LSIBOUWHMSKQIR-UHFFFAOYSA-N 0 0 446.508 -0.188 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000804755292 1073855857 /nfs/dbraw/zinc/85/58/57/1073855857.db2.gz MVSFFKZZXJFPDH-UHFFFAOYSA-N 0 0 446.570 -0.405 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000804756531 1073854862 /nfs/dbraw/zinc/85/48/62/1073854862.db2.gz CHICEYUJSCJZQC-HNNXBMFYSA-N 0 0 444.554 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000804756532 1073854951 /nfs/dbraw/zinc/85/49/51/1073854951.db2.gz CHICEYUJSCJZQC-OAHLLOKOSA-N 0 0 444.554 -0.004 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804756640 1073856399 /nfs/dbraw/zinc/85/63/99/1073856399.db2.gz SJUHYPHREKLDLV-AWEZNQCLSA-N 0 0 437.541 -0.219 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000804756641 1073856187 /nfs/dbraw/zinc/85/61/87/1073856187.db2.gz SJUHYPHREKLDLV-CQSZACIVSA-N 0 0 437.541 -0.219 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000804756907 1073857040 /nfs/dbraw/zinc/85/70/40/1073857040.db2.gz VKMWPDNMDCNNSY-UHFFFAOYSA-N 0 0 437.541 -0.264 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000804756993 1073857050 /nfs/dbraw/zinc/85/70/50/1073857050.db2.gz IYUFZGJKLLTMIZ-UHFFFAOYSA-N 0 0 446.508 -0.188 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1 ZINC000804757326 1073857079 /nfs/dbraw/zinc/85/70/79/1073857079.db2.gz OVOUNPBOTOXBHR-CYBMUJFWSA-N 0 0 432.543 -0.243 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CNC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1 ZINC000804757327 1073856801 /nfs/dbraw/zinc/85/68/01/1073856801.db2.gz OVOUNPBOTOXBHR-ZDUSSCGKSA-N 0 0 432.543 -0.243 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCN(C(=O)CN3CCS(=O)(=O)CC3)C2)CC1 ZINC000804761492 1073856990 /nfs/dbraw/zinc/85/69/90/1073856990.db2.gz HBCIKSWKWNSMBG-INIZCTEOSA-N 0 0 430.571 -0.130 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCN(C(=O)CN3CCS(=O)(=O)CC3)C2)CC1 ZINC000804761493 1073857063 /nfs/dbraw/zinc/85/70/63/1073857063.db2.gz HBCIKSWKWNSMBG-MRXNPFEDSA-N 0 0 430.571 -0.130 20 0 IBADRN CCN1CCN(CC(=O)N2CC[C@H](N3CCN(C(=O)OC(C)(C)C)CC3)C2)C(=O)C1=O ZINC000804763209 1073857114 /nfs/dbraw/zinc/85/71/14/1073857114.db2.gz WVNRKGCXTUEEJG-INIZCTEOSA-N 0 0 437.541 -0.169 20 0 IBADRN CCN1CCN(CC(=O)N2CC[C@@H](N3CCN(C(=O)OC(C)(C)C)CC3)C2)C(=O)C1=O ZINC000804763212 1073856774 /nfs/dbraw/zinc/85/67/74/1073856774.db2.gz WVNRKGCXTUEEJG-MRXNPFEDSA-N 0 0 437.541 -0.169 20 0 IBADRN Cc1ccc(N2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)CC2=O)cc1 ZINC000804770004 1073856355 /nfs/dbraw/zinc/85/63/55/1073856355.db2.gz WBFUMQOPOINSQR-HAXDFEGKSA-N 0 0 440.460 -0.401 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)N1CCOCC1 ZINC000804772831 1073856384 /nfs/dbraw/zinc/85/63/84/1073856384.db2.gz NTMFQXGZYWELAS-BBWFWOEESA-N 0 0 441.573 -0.121 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)N1CCOCC1 ZINC000804772833 1073856330 /nfs/dbraw/zinc/85/63/30/1073856330.db2.gz NTMFQXGZYWELAS-GVDBMIGSSA-N 0 0 441.573 -0.121 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)[C@H](C)N1CCOCC1 ZINC000804772835 1073856410 /nfs/dbraw/zinc/85/64/10/1073856410.db2.gz NTMFQXGZYWELAS-ULQDDVLXSA-N 0 0 441.573 -0.121 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)[C@H](C)N1CCOCC1 ZINC000804772836 1073856376 /nfs/dbraw/zinc/85/63/76/1073856376.db2.gz NTMFQXGZYWELAS-YESZJQIVSA-N 0 0 441.573 -0.121 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1)N1CCOCC1 ZINC000804774191 1073856157 /nfs/dbraw/zinc/85/61/57/1073856157.db2.gz DSZDATGBDIHOBK-ARFHVFGLSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1)[C@H](C)N1CCOCC1 ZINC000804774192 1073856245 /nfs/dbraw/zinc/85/62/45/1073856245.db2.gz DSZDATGBDIHOBK-HRCADAONSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)[C@H](C)N1CCOCC1 ZINC000804774193 1073856292 /nfs/dbraw/zinc/85/62/92/1073856292.db2.gz DSZDATGBDIHOBK-JYJNAYRXSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)N1CCOCC1 ZINC000804774194 1073856276 /nfs/dbraw/zinc/85/62/76/1073856276.db2.gz DSZDATGBDIHOBK-XHSDSOJGSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H](C)N1CCOCC1 ZINC000804774600 1073856304 /nfs/dbraw/zinc/85/63/04/1073856304.db2.gz OYHXDWKMUICEQT-NEPJUHHUSA-N 0 0 444.476 -0.358 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCOCC1 ZINC000804774601 1073856344 /nfs/dbraw/zinc/85/63/44/1073856344.db2.gz OYHXDWKMUICEQT-NWDGAFQWSA-N 0 0 444.476 -0.358 20 0 IBADRN C[C@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H](C)N1CCOCC1 ZINC000804774602 1073856318 /nfs/dbraw/zinc/85/63/18/1073856318.db2.gz OYHXDWKMUICEQT-RYUDHWBXSA-N 0 0 444.476 -0.358 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H](C)N1CCOCC1 ZINC000804774603 1073856258 /nfs/dbraw/zinc/85/62/58/1073856258.db2.gz OYHXDWKMUICEQT-VXGBXAGGSA-N 0 0 444.476 -0.358 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)[C@H](C)N1CCOCC1 ZINC000804774990 1073857029 /nfs/dbraw/zinc/85/70/29/1073857029.db2.gz YABHSPMQCWBSMS-CABCVRRESA-N 0 0 433.509 -0.224 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)[C@H](C)N1CCOCC1 ZINC000804774991 1073857093 /nfs/dbraw/zinc/85/70/93/1073857093.db2.gz YABHSPMQCWBSMS-GJZGRUSLSA-N 0 0 433.509 -0.224 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)[C@@H](C)N1CCOCC1 ZINC000804774992 1073856844 /nfs/dbraw/zinc/85/68/44/1073856844.db2.gz YABHSPMQCWBSMS-HUUCEWRRSA-N 0 0 433.509 -0.224 20 0 IBADRN C[C@H]([C@H](C)NC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)N1CCOCC1 ZINC000804774993 1073857104 /nfs/dbraw/zinc/85/71/04/1073857104.db2.gz YABHSPMQCWBSMS-LSDHHAIUSA-N 0 0 433.509 -0.224 20 0 IBADRN O=C(NCCCN1CCCCC1=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000804777374 1073856762 /nfs/dbraw/zinc/85/67/62/1073856762.db2.gz ZZDBHPOGMBQYLX-UHFFFAOYSA-N 0 0 442.460 -0.065 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(c2cc(N3CCN(C)C(=O)C3)ncn2)CC1 ZINC000804824697 1073857560 /nfs/dbraw/zinc/85/75/60/1073857560.db2.gz AMXOHMJPFORQNV-UHFFFAOYSA-N 0 0 425.511 -0.298 20 0 IBADRN CCN(C)c1ccccc1CNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000804830371 1073857627 /nfs/dbraw/zinc/85/76/27/1073857627.db2.gz YVVJSMVMJPIVLQ-UHFFFAOYSA-N 0 0 431.537 -0.238 20 0 IBADRN CCN(C)c1ccccc1CNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000804832687 1073857424 /nfs/dbraw/zinc/85/74/24/1073857424.db2.gz VJLMTPYZUMVLDX-UHFFFAOYSA-N 0 0 431.537 -0.238 20 0 IBADRN CCN(C)c1ccccc1CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000804832992 1073857531 /nfs/dbraw/zinc/85/75/31/1073857531.db2.gz AVRRLENNLLOBDP-UHFFFAOYSA-N 0 0 445.520 -0.712 20 0 IBADRN CCN(C)c1ccccc1CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000804833647 1073857655 /nfs/dbraw/zinc/85/76/55/1073857655.db2.gz FETJWZAJKYBBDN-UHFFFAOYSA-N 0 0 443.508 -0.752 20 0 IBADRN CN(CC1(CO)CC1)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850224 1073859819 /nfs/dbraw/zinc/85/98/19/1073859819.db2.gz BBMKMPSARUWCLC-UHFFFAOYSA-N 0 0 440.302 -0.115 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)NCC[S@@](C)=O ZINC000804850232 1073859742 /nfs/dbraw/zinc/85/97/42/1073859742.db2.gz BQYIIIBESQKXNT-RUZDIDTESA-N 0 0 432.304 -0.851 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)NCC[S@](C)=O ZINC000804850233 1073859730 /nfs/dbraw/zinc/85/97/30/1073859730.db2.gz BQYIIIBESQKXNT-VWLOTQADSA-N 0 0 432.304 -0.851 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCc2c[nH]nc2C1 ZINC000804850269 1073859614 /nfs/dbraw/zinc/85/96/14/1073859614.db2.gz DURYXAYKQNKSEZ-UHFFFAOYSA-N 0 0 448.285 -0.038 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)NCC1(C)COC1 ZINC000804850287 1073859600 /nfs/dbraw/zinc/85/96/00/1073859600.db2.gz GHPSJSOJYROZGI-UHFFFAOYSA-N 0 0 426.275 -0.193 20 0 IBADRN CC(=O)NCCCNC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850300 1073859804 /nfs/dbraw/zinc/85/98/04/1073859804.db2.gz HBZGCNSHPASRGE-UHFFFAOYSA-N 0 0 441.290 -0.704 20 0 IBADRN CNC(=O)CCN(C)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850308 1073859781 /nfs/dbraw/zinc/85/97/81/1073859781.db2.gz HGDFNIHWGZCMQX-UHFFFAOYSA-N 0 0 441.290 -0.752 20 0 IBADRN CN(CCOCCO)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850436 1073859165 /nfs/dbraw/zinc/85/91/65/1073859165.db2.gz IJDYNIMRSCMGHG-UHFFFAOYSA-N 0 0 444.290 -0.879 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCC[C@@H]1CO ZINC000804850452 1073859183 /nfs/dbraw/zinc/85/91/83/1073859183.db2.gz ITFNFGCDTHEELS-SECBINFHSA-N 0 0 426.275 -0.363 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCC[C@H]1CO ZINC000804850453 1073859227 /nfs/dbraw/zinc/85/92/27/1073859227.db2.gz ITFNFGCDTHEELS-VIFPVBQESA-N 0 0 426.275 -0.363 20 0 IBADRN CN(CCCCO)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850476 1073859860 /nfs/dbraw/zinc/85/98/60/1073859860.db2.gz JOLFGRJGHOELDH-UHFFFAOYSA-N 0 0 428.291 -0.115 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850508 1073859232 /nfs/dbraw/zinc/85/92/32/1073859232.db2.gz LVGMCQLONBCQIF-UHFFFAOYSA-N 0 0 438.246 -0.338 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850637 1073859180 /nfs/dbraw/zinc/85/91/80/1073859180.db2.gz NOINLYYBWLPGEQ-AREMUKBSSA-N 0 0 446.331 -0.461 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850638 1073859190 /nfs/dbraw/zinc/85/91/90/1073859190.db2.gz NOINLYYBWLPGEQ-SANMLTNESA-N 0 0 446.331 -0.461 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)[C@@H]1CO ZINC000804850680 1073859242 /nfs/dbraw/zinc/85/92/42/1073859242.db2.gz PZEROOCPXIHOEO-NXEZZACHSA-N 0 0 440.302 -0.117 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)[C@H]1CO ZINC000804850681 1073859177 /nfs/dbraw/zinc/85/91/77/1073859177.db2.gz PZEROOCPXIHOEO-UWVGGRQHSA-N 0 0 440.302 -0.117 20 0 IBADRN C[C@H]1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)[C@@H]1CO ZINC000804850682 1073859138 /nfs/dbraw/zinc/85/91/38/1073859138.db2.gz PZEROOCPXIHOEO-VHSXEESVSA-N 0 0 440.302 -0.117 20 0 IBADRN C[C@@H]1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)[C@H]1CO ZINC000804850683 1073859148 /nfs/dbraw/zinc/85/91/48/1073859148.db2.gz PZEROOCPXIHOEO-ZJUUUORDSA-N 0 0 440.302 -0.117 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)NCCC(C)(C)O ZINC000804850690 1073859153 /nfs/dbraw/zinc/85/91/53/1073859153.db2.gz QQTXWAIZXXLNGL-UHFFFAOYSA-N 0 0 428.291 -0.069 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCn2ncnc2C1 ZINC000804850696 1073859248 /nfs/dbraw/zinc/85/92/48/1073859248.db2.gz QSQZKYYAYGIJHL-UHFFFAOYSA-N 0 0 449.273 -0.712 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N(CCO)C1CC1 ZINC000804850841 1073859196 /nfs/dbraw/zinc/85/91/96/1073859196.db2.gz RPCAVMJAFVNDBH-UHFFFAOYSA-N 0 0 426.275 -0.363 20 0 IBADRN Cn1ccnc1CNC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850852 1073860246 /nfs/dbraw/zinc/86/02/46/1073860246.db2.gz RWRBBCUEPQJRCO-UHFFFAOYSA-N 0 0 436.274 -0.296 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850858 1073860098 /nfs/dbraw/zinc/86/00/98/1073860098.db2.gz SBIKRUUWPDMLKP-BNKHSPDUSA-N 0 0 446.331 -0.463 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850861 1073860257 /nfs/dbraw/zinc/86/02/57/1073860257.db2.gz SBIKRUUWPDMLKP-DGXCBMPPSA-N 0 0 446.331 -0.463 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850863 1073860072 /nfs/dbraw/zinc/86/00/72/1073860072.db2.gz SBIKRUUWPDMLKP-MSDCIOCKSA-N 0 0 446.331 -0.463 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850865 1073860172 /nfs/dbraw/zinc/86/01/72/1073860172.db2.gz SBIKRUUWPDMLKP-PGDKLEMZSA-N 0 0 446.331 -0.463 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)n1 ZINC000804850879 1073860161 /nfs/dbraw/zinc/86/01/61/1073860161.db2.gz SGCMKSMJHTWGNZ-UHFFFAOYSA-N 0 0 436.274 -0.296 20 0 IBADRN C[C@@H](O)CCN(C)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850891 1073860240 /nfs/dbraw/zinc/86/02/40/1073860240.db2.gz SJHPFVJSLCEHLL-SECBINFHSA-N 0 0 428.291 -0.117 20 0 IBADRN C[C@H](O)CCN(C)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850895 1073860132 /nfs/dbraw/zinc/86/01/32/1073860132.db2.gz SJHPFVJSLCEHLL-VIFPVBQESA-N 0 0 428.291 -0.117 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCCOCC1 ZINC000804850907 1073860232 /nfs/dbraw/zinc/86/02/32/1073860232.db2.gz SLVUZHYRVFUIHV-UHFFFAOYSA-N 0 0 426.275 -0.097 20 0 IBADRN CCN(CCCO)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850933 1073859642 /nfs/dbraw/zinc/85/96/42/1073859642.db2.gz UPZXGLHHYBTNOA-UHFFFAOYSA-N 0 0 428.291 -0.115 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850944 1073859847 /nfs/dbraw/zinc/85/98/47/1073859847.db2.gz VCPIYUORDFTCJX-JTQLQIEISA-N 0 0 440.302 -0.117 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850945 1073859794 /nfs/dbraw/zinc/85/97/94/1073859794.db2.gz VCPIYUORDFTCJX-SNVBAGLBSA-N 0 0 440.302 -0.117 20 0 IBADRN CCCN(CCO)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850968 1073859772 /nfs/dbraw/zinc/85/97/72/1073859772.db2.gz VNALUVRFYOPHIP-UHFFFAOYSA-N 0 0 428.291 -0.115 20 0 IBADRN CN(CC(C)(C)O)C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804850977 1073860293 /nfs/dbraw/zinc/86/02/93/1073860293.db2.gz VXSCNRQPIROJMQ-UHFFFAOYSA-N 0 0 428.291 -0.117 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CC[C@@H](CO)C1 ZINC000804851020 1073860147 /nfs/dbraw/zinc/86/01/47/1073860147.db2.gz XUPVIOSCXICFOI-SECBINFHSA-N 0 0 426.275 -0.505 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CC[C@H](CO)C1 ZINC000804851023 1073860283 /nfs/dbraw/zinc/86/02/83/1073860283.db2.gz XUPVIOSCXICFOI-VIFPVBQESA-N 0 0 426.275 -0.505 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804851088 1073859756 /nfs/dbraw/zinc/85/97/56/1073859756.db2.gz YDTXYDFLOMQLIM-MRVPVSSYSA-N 0 0 439.274 -0.999 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804851090 1073859681 /nfs/dbraw/zinc/85/96/81/1073859681.db2.gz YDTXYDFLOMQLIM-QMMMGPOBSA-N 0 0 439.274 -0.999 20 0 IBADRN CN(C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C)[C@@H]1CCOC1 ZINC000804851110 1073859693 /nfs/dbraw/zinc/85/96/93/1073859693.db2.gz YZVSVNUGNKQNJK-SECBINFHSA-N 0 0 426.275 -0.099 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCCNc2ncnc3c2c(Br)nn3C)CC1 ZINC000804851111 1073859652 /nfs/dbraw/zinc/85/96/52/1073859652.db2.gz JBLAIYIOAGEGJE-UHFFFAOYSA-N 0 0 439.318 -0.182 20 0 IBADRN CN(C(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C)[C@H]1CCOC1 ZINC000804851112 1073859833 /nfs/dbraw/zinc/85/98/33/1073859833.db2.gz YZVSVNUGNKQNJK-VIFPVBQESA-N 0 0 426.275 -0.099 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)NCCNc1ncnc2c1c(Br)nn2C ZINC000804851237 1073859629 /nfs/dbraw/zinc/85/96/29/1073859629.db2.gz PTIAISDECYUBDV-UHFFFAOYSA-N 0 0 441.290 -0.752 20 0 IBADRN Cn1nc(Br)c2c1ncnc2NCCNC(=O)C(=O)N1CCn2cnnc2C1 ZINC000804851281 1073859582 /nfs/dbraw/zinc/85/95/82/1073859582.db2.gz UUMZQXMEVSNULD-UHFFFAOYSA-N 0 0 449.273 -0.712 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000804868591 1073857365 /nfs/dbraw/zinc/85/73/65/1073857365.db2.gz MFROBJDWBALURY-AGIUHOORSA-N 0 0 439.650 -0.023 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000804868592 1073857443 /nfs/dbraw/zinc/85/74/43/1073857443.db2.gz MFROBJDWBALURY-FRRDWIJNSA-N 0 0 439.650 -0.023 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CC[C@H]2SCCS[C@H]12 ZINC000804868593 1073857614 /nfs/dbraw/zinc/85/76/14/1073857614.db2.gz MFROBJDWBALURY-JHJVBQTASA-N 0 0 439.650 -0.023 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CC[C@H]2SCCS[C@@H]12 ZINC000804868594 1073857641 /nfs/dbraw/zinc/85/76/41/1073857641.db2.gz MFROBJDWBALURY-UPJWGTAASA-N 0 0 439.650 -0.023 20 0 IBADRN CC1CCC2(CC1)OC[C@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)O2 ZINC000804868747 1073857499 /nfs/dbraw/zinc/85/74/99/1073857499.db2.gz CWWGPMPOBZALCV-CSLYMUCUSA-N 0 0 449.595 -0.081 20 0 IBADRN CC1CCC2(CC1)OC[C@@H](CNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)O2 ZINC000804868750 1073857547 /nfs/dbraw/zinc/85/75/47/1073857547.db2.gz CWWGPMPOBZALCV-SWKXRBFHSA-N 0 0 449.595 -0.081 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000804869057 1073858021 /nfs/dbraw/zinc/85/80/21/1073858021.db2.gz KDRMCWGPWWYIJN-CYBMUJFWSA-N 0 0 436.556 -0.782 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000804869060 1073857922 /nfs/dbraw/zinc/85/79/22/1073857922.db2.gz KDRMCWGPWWYIJN-ZDUSSCGKSA-N 0 0 436.556 -0.782 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NC[C@H]1COC2(CCCCC2)O1 ZINC000804869108 1073857965 /nfs/dbraw/zinc/85/79/65/1073857965.db2.gz KRUXTXMVFHZLSU-AWEZNQCLSA-N 0 0 435.568 -0.327 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)NC[C@@H]1COC2(CCCCC2)O1 ZINC000804869112 1073857949 /nfs/dbraw/zinc/85/79/49/1073857949.db2.gz KRUXTXMVFHZLSU-CQSZACIVSA-N 0 0 435.568 -0.327 20 0 IBADRN Cn1ccnc1[C@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000804869353 1073858035 /nfs/dbraw/zinc/85/80/35/1073858035.db2.gz NWNYMVYSGWVNOG-AWEZNQCLSA-N 0 0 429.568 -0.379 20 0 IBADRN Cn1ccnc1[C@@H]1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC000804869354 1073857974 /nfs/dbraw/zinc/85/79/74/1073857974.db2.gz NWNYMVYSGWVNOG-CQSZACIVSA-N 0 0 429.568 -0.379 20 0 IBADRN CC1([C@H]2CCCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)C2)OCCO1 ZINC000804869362 1073858138 /nfs/dbraw/zinc/85/81/38/1073858138.db2.gz OAOOUGGOZRZPGO-AWEZNQCLSA-N 0 0 435.568 -0.517 20 0 IBADRN CC1([C@@H]2CCCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)C2)OCCO1 ZINC000804869363 1073858129 /nfs/dbraw/zinc/85/81/29/1073858129.db2.gz OAOOUGGOZRZPGO-CQSZACIVSA-N 0 0 435.568 -0.517 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC000804869382 1073858147 /nfs/dbraw/zinc/85/81/47/1073858147.db2.gz OLPDEHZQUUFEOR-UHFFFAOYSA-N 0 0 436.556 -0.828 20 0 IBADRN O=C(NCC[S@@](=O)Cc1ccccc1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000804869625 1073858114 /nfs/dbraw/zinc/85/81/14/1073858114.db2.gz XOIRZQQXYSBYAN-HHHXNRCGSA-N 0 0 447.604 -0.454 20 0 IBADRN O=C(NCC[S@](=O)Cc1ccccc1)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000804869626 1073858153 /nfs/dbraw/zinc/85/81/53/1073858153.db2.gz XOIRZQQXYSBYAN-MHZLTWQESA-N 0 0 447.604 -0.454 20 0 IBADRN CC(C)c1nnc2n1CCN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)[C@@H]2C ZINC000804869753 1073858100 /nfs/dbraw/zinc/85/81/00/1073858100.db2.gz CHRKKRFXSMVCFH-CYBMUJFWSA-N 0 0 444.583 -0.201 20 0 IBADRN CC(C)c1nnc2n1CCN(C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)[C@H]2C ZINC000804869754 1073857908 /nfs/dbraw/zinc/85/79/08/1073857908.db2.gz CHRKKRFXSMVCFH-ZDUSSCGKSA-N 0 0 444.583 -0.201 20 0 IBADRN CC1(C2CCN(C(=O)C(=O)NCCS(=O)(=O)N3CCSCC3)CC2)OCCO1 ZINC000804869755 1073858059 /nfs/dbraw/zinc/85/80/59/1073858059.db2.gz CISQXWCTDIMDOW-UHFFFAOYSA-N 0 0 435.568 -0.517 20 0 IBADRN C[C@@](O)(CCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1ccccc1 ZINC000804869842 1073857692 /nfs/dbraw/zinc/85/76/92/1073857692.db2.gz FPBTWLKEGMUMTO-GOSISDBHSA-N 0 0 429.564 -0.105 20 0 IBADRN C[C@](O)(CCNC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1)c1ccccc1 ZINC000804869843 1073857601 /nfs/dbraw/zinc/85/76/01/1073857601.db2.gz FPBTWLKEGMUMTO-SFHVURJKSA-N 0 0 429.564 -0.105 20 0 IBADRN CN(Cc1nnc2ccccn21)C(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000804869930 1073857899 /nfs/dbraw/zinc/85/78/99/1073857899.db2.gz IYMSOFXAUCXSHF-UHFFFAOYSA-N 0 0 426.524 -0.818 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@H]1CCc2ccccc2OC1 ZINC000804870037 1073858504 /nfs/dbraw/zinc/85/85/04/1073858504.db2.gz MTVADDJGIBMWEY-HNNXBMFYSA-N 0 0 427.548 -0.009 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C(=O)N[C@@H]1CCc2ccccc2OC1 ZINC000804870038 1073858571 /nfs/dbraw/zinc/85/85/71/1073858571.db2.gz MTVADDJGIBMWEY-OAHLLOKOSA-N 0 0 427.548 -0.009 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000804870165 1073858014 /nfs/dbraw/zinc/85/80/14/1073858014.db2.gz RMDCBWFRHJVZMN-GFCCVEGCSA-N 0 0 438.572 -0.489 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000804870166 1073857932 /nfs/dbraw/zinc/85/79/32/1073857932.db2.gz RMDCBWFRHJVZMN-LBPRGKRZSA-N 0 0 438.572 -0.489 20 0 IBADRN Cc1ncc2c(n1)CCC[C@@H]2NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000804870232 1073858004 /nfs/dbraw/zinc/85/80/04/1073858004.db2.gz UTLSCEXBODKBEJ-HNNXBMFYSA-N 0 0 427.552 -0.227 20 0 IBADRN Cc1ncc2c(n1)CCC[C@H]2NC(=O)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC000804870233 1073858072 /nfs/dbraw/zinc/85/80/72/1073858072.db2.gz UTLSCEXBODKBEJ-OAHLLOKOSA-N 0 0 427.552 -0.227 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cn2nc(-c3ccc(F)cc3)oc2=O)CC1 ZINC000804871408 1073858471 /nfs/dbraw/zinc/85/84/71/1073858471.db2.gz JEQLIUGYWTXATA-UHFFFAOYSA-N 0 0 449.439 -0.582 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000804881502 1073858528 /nfs/dbraw/zinc/85/85/28/1073858528.db2.gz WIQNSDRPYNQSOY-AWEZNQCLSA-N 0 0 448.542 -0.087 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000804881503 1073858768 /nfs/dbraw/zinc/85/87/68/1073858768.db2.gz WIQNSDRPYNQSOY-CQSZACIVSA-N 0 0 448.542 -0.087 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)C1=NN(CCO)C(=O)CC1 ZINC000804881587 1073858685 /nfs/dbraw/zinc/85/86/85/1073858685.db2.gz UHZAOSAWMJWCHU-AWEZNQCLSA-N 0 0 426.470 -0.032 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)C1=NN(CCO)C(=O)CC1 ZINC000804881588 1073858647 /nfs/dbraw/zinc/85/86/47/1073858647.db2.gz UHZAOSAWMJWCHU-CQSZACIVSA-N 0 0 426.470 -0.032 20 0 IBADRN CCN1CCN(CC(=O)N2CCCN(C(=O)OC(C)(C)C)C[C@H]2C(=O)OC)C(=O)C1=O ZINC000804883986 1073858629 /nfs/dbraw/zinc/85/86/29/1073858629.db2.gz NCHCZZBURKBTOR-AWEZNQCLSA-N 0 0 440.497 -0.312 20 0 IBADRN CCN1CCN(CC(=O)N2CCCN(C(=O)OC(C)(C)C)C[C@@H]2C(=O)OC)C(=O)C1=O ZINC000804883987 1073858740 /nfs/dbraw/zinc/85/87/40/1073858740.db2.gz NCHCZZBURKBTOR-CQSZACIVSA-N 0 0 440.497 -0.312 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)CN1CCS(=O)(=O)CC1 ZINC000804884425 1073858660 /nfs/dbraw/zinc/85/86/60/1073858660.db2.gz SOWCTQQXYDAVHV-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)CN1CCS(=O)(=O)CC1 ZINC000804884426 1073858548 /nfs/dbraw/zinc/85/85/48/1073858548.db2.gz SOWCTQQXYDAVHV-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)c1cn(CC(=O)N(C)C)nn1 ZINC000804884734 1073858755 /nfs/dbraw/zinc/85/87/55/1073858755.db2.gz FNVHYQXATJOGJP-AWEZNQCLSA-N 0 0 438.485 -0.009 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)c1cn(CC(=O)N(C)C)nn1 ZINC000804884735 1073858723 /nfs/dbraw/zinc/85/87/23/1073858723.db2.gz FNVHYQXATJOGJP-CQSZACIVSA-N 0 0 438.485 -0.009 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000804885147 1073858611 /nfs/dbraw/zinc/85/86/11/1073858611.db2.gz KYINKZMVDZSCPB-LLVKDONJSA-N 0 0 426.426 -0.582 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000804885148 1073858783 /nfs/dbraw/zinc/85/87/83/1073858783.db2.gz KYINKZMVDZSCPB-NSHDSACASA-N 0 0 426.426 -0.582 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000804885444 1073858588 /nfs/dbraw/zinc/85/85/88/1073858588.db2.gz ADJUXZSKFUHYJX-NRFANRHFSA-N 0 0 444.444 -0.887 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000804885445 1073858672 /nfs/dbraw/zinc/85/86/72/1073858672.db2.gz ADJUXZSKFUHYJX-OAQYLSRUSA-N 0 0 444.444 -0.887 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000804885613 1073858696 /nfs/dbraw/zinc/85/86/96/1073858696.db2.gz SBUQRFUMFRGTCZ-CYBMUJFWSA-N 0 0 445.498 -0.459 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC000804885614 1073859208 /nfs/dbraw/zinc/85/92/08/1073859208.db2.gz SBUQRFUMFRGTCZ-ZDUSSCGKSA-N 0 0 445.498 -0.459 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)OCC(=O)NCC(=O)OC ZINC000804885731 1073858490 /nfs/dbraw/zinc/85/84/90/1073858490.db2.gz FWEYCDKQNALZRT-FQEVSTJZSA-N 0 0 433.417 -0.556 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)OCC(=O)NCC(=O)OC ZINC000804885732 1073858712 /nfs/dbraw/zinc/85/87/12/1073858712.db2.gz FWEYCDKQNALZRT-HXUWFJFHSA-N 0 0 433.417 -0.556 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cc2csc(N3CCCC3=O)n2)CC1 ZINC000804904125 1073861378 /nfs/dbraw/zinc/86/13/78/1073861378.db2.gz KPLPHJGCFCJIRF-UHFFFAOYSA-N 0 0 437.522 -0.412 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)cc1 ZINC000804908947 1073860269 /nfs/dbraw/zinc/86/02/69/1073860269.db2.gz LHYZJSJMFBNEBI-HNNXBMFYSA-N 0 0 442.490 -0.377 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)cc1 ZINC000804908948 1073860115 /nfs/dbraw/zinc/86/01/15/1073860115.db2.gz LHYZJSJMFBNEBI-OAHLLOKOSA-N 0 0 442.490 -0.377 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCCOc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000804910274 1073860185 /nfs/dbraw/zinc/86/01/85/1073860185.db2.gz VRUYXQBWFBLFCT-UHFFFAOYSA-N 0 0 427.479 -0.114 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1)C1CC1 ZINC000804910634 1073860223 /nfs/dbraw/zinc/86/02/23/1073860223.db2.gz CTRHBAUAMDZTIZ-UHFFFAOYSA-N 0 0 432.520 -0.379 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2C(=O)NC3(CCC4(CC3)OCCO4)C2=O)cc1 ZINC000804911271 1073860078 /nfs/dbraw/zinc/86/00/78/1073860078.db2.gz NJOFJUCHJRGFPC-UHFFFAOYSA-N 0 0 446.460 -0.225 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCCOc1ccc(S(C)(=O)=O)cc1 ZINC000804912356 1073860049 /nfs/dbraw/zinc/86/00/49/1073860049.db2.gz VMWABGYUIWCQFL-UHFFFAOYSA-N 0 0 434.536 -0.133 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000804917803 1073860199 /nfs/dbraw/zinc/86/01/99/1073860199.db2.gz RLVPIBFTUJHUMZ-AWEZNQCLSA-N 0 0 433.527 -0.224 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000804917804 1073860570 /nfs/dbraw/zinc/86/05/70/1073860570.db2.gz RLVPIBFTUJHUMZ-CQSZACIVSA-N 0 0 433.527 -0.224 20 0 IBADRN COCCN(C(=O)CN1C(=O)c2cc(F)cc(C)c2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000804919417 1073861030 /nfs/dbraw/zinc/86/10/30/1073861030.db2.gz OIKMTRDHEUSWRZ-CYBMUJFWSA-N 0 0 448.494 -0.059 20 0 IBADRN COCCN(C(=O)CN1C(=O)c2cc(F)cc(C)c2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000804919418 1073860702 /nfs/dbraw/zinc/86/07/02/1073860702.db2.gz OIKMTRDHEUSWRZ-ZDUSSCGKSA-N 0 0 448.494 -0.059 20 0 IBADRN COCCn1c(N)c(C(=O)CN2C(=O)c3cc(F)cc(C)c3S2(=O)=O)c(=O)[nH]c1=O ZINC000804919441 1073860611 /nfs/dbraw/zinc/86/06/11/1073860611.db2.gz OZNXTQBJUKQCNC-UHFFFAOYSA-N 0 0 440.409 -0.348 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CN2c3ccccc3OCCC2=O)CC1 ZINC000804924582 1073863254 /nfs/dbraw/zinc/86/32/54/1073863254.db2.gz SVJLWPXYKWYAOI-UHFFFAOYSA-N 0 0 432.477 -0.422 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCOC[C@@H]2CCOC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000804924775 1073862515 /nfs/dbraw/zinc/86/25/15/1073862515.db2.gz ABHSEZLTCQKNRL-CVEARBPZSA-N 0 0 428.530 -0.177 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCOC[C@H]2CCOC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000804924776 1073862586 /nfs/dbraw/zinc/86/25/86/1073862586.db2.gz ABHSEZLTCQKNRL-HOTGVXAUSA-N 0 0 428.530 -0.177 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCOC[C@@H]2CCOC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000804924777 1073862526 /nfs/dbraw/zinc/86/25/26/1073862526.db2.gz ABHSEZLTCQKNRL-HZPDHXFCSA-N 0 0 428.530 -0.177 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCOC[C@H]2CCOC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000804924778 1073862642 /nfs/dbraw/zinc/86/26/42/1073862642.db2.gz ABHSEZLTCQKNRL-JKSUJKDBSA-N 0 0 428.530 -0.177 20 0 IBADRN CC(C)NC(=O)OC[C@H]1CCCCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000804929216 1073863160 /nfs/dbraw/zinc/86/31/60/1073863160.db2.gz WUUCUIPKPPLAMQ-GFCCVEGCSA-N 0 0 429.499 -0.092 20 0 IBADRN CC(C)NC(=O)OC[C@@H]1CCCCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000804929217 1073863008 /nfs/dbraw/zinc/86/30/08/1073863008.db2.gz WUUCUIPKPPLAMQ-LBPRGKRZSA-N 0 0 429.499 -0.092 20 0 IBADRN O=C(NCCOC[C@@H]1CCOC1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000804935373 1073861473 /nfs/dbraw/zinc/86/14/73/1073861473.db2.gz OPCSRQOAPWGKQD-CYBMUJFWSA-N 0 0 445.460 -0.167 20 0 IBADRN O=C(NCCOC[C@H]1CCOC1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000804935374 1073861514 /nfs/dbraw/zinc/86/15/14/1073861514.db2.gz OPCSRQOAPWGKQD-ZDUSSCGKSA-N 0 0 445.460 -0.167 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000804935388 1073861451 /nfs/dbraw/zinc/86/14/51/1073861451.db2.gz OTCOFVRFMPQBKA-UHFFFAOYSA-N 0 0 443.503 -0.061 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCc2ccc(S(N)(=O)=O)cc2)cn1C ZINC000804935770 1073862969 /nfs/dbraw/zinc/86/29/69/1073862969.db2.gz XNFFSQNFJSMAKM-UHFFFAOYSA-N 0 0 429.476 -0.103 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cn1C ZINC000804938143 1073861353 /nfs/dbraw/zinc/86/13/53/1073861353.db2.gz RDQXDLZTEKVPDX-HNNXBMFYSA-N 0 0 427.479 -0.217 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cn1C ZINC000804938144 1073861419 /nfs/dbraw/zinc/86/14/19/1073861419.db2.gz RDQXDLZTEKVPDX-OAHLLOKOSA-N 0 0 427.479 -0.217 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCc2ccc(S(N)(=O)=O)s2)cn1C ZINC000804939542 1073861484 /nfs/dbraw/zinc/86/14/84/1073861484.db2.gz OUQSAMKCYYUFAZ-UHFFFAOYSA-N 0 0 435.505 -0.042 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC000804940146 1073861345 /nfs/dbraw/zinc/86/13/45/1073861345.db2.gz HUUAYGMFXYJBIY-SECBINFHSA-N 0 0 426.419 -0.265 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC000804940147 1073861502 /nfs/dbraw/zinc/86/15/02/1073861502.db2.gz HUUAYGMFXYJBIY-VIFPVBQESA-N 0 0 426.419 -0.265 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)NC(C)C)CC2)cn1C ZINC000804940335 1073861370 /nfs/dbraw/zinc/86/13/70/1073861370.db2.gz PHWHBUAVRVZFJX-UHFFFAOYSA-N 0 0 428.511 -0.398 20 0 IBADRN CCCCNC(=O)CN1CCN(S(=O)(=O)c2cc(C(=O)C(=O)OCC)n(C)c2)CC1 ZINC000804940352 1073861332 /nfs/dbraw/zinc/86/13/32/1073861332.db2.gz PQCZOLRUPKKDDC-UHFFFAOYSA-N 0 0 442.538 -0.007 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N3CCCC3)CC2)cn1C ZINC000804940410 1073861387 /nfs/dbraw/zinc/86/13/87/1073861387.db2.gz RKOXUQJFOYOSFG-UHFFFAOYSA-N 0 0 440.522 -0.300 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)cn1C ZINC000804940898 1073861361 /nfs/dbraw/zinc/86/13/61/1073861361.db2.gz IQAHQZCKIIRXKP-UHFFFAOYSA-N 0 0 426.495 -0.644 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC000804941101 1073861439 /nfs/dbraw/zinc/86/14/39/1073861439.db2.gz MQFXWVVIBQKSRF-CYBMUJFWSA-N 0 0 447.535 -0.736 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC000804941102 1073861306 /nfs/dbraw/zinc/86/13/06/1073861306.db2.gz MQFXWVVIBQKSRF-ZDUSSCGKSA-N 0 0 447.535 -0.736 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cn1C ZINC000804941246 1073861318 /nfs/dbraw/zinc/86/13/18/1073861318.db2.gz OYPNXMCECRASTR-GFCCVEGCSA-N 0 0 436.508 -0.405 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cn1C ZINC000804941247 1073861497 /nfs/dbraw/zinc/86/14/97/1073861497.db2.gz OYPNXMCECRASTR-LBPRGKRZSA-N 0 0 436.508 -0.405 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000804941329 1073861429 /nfs/dbraw/zinc/86/14/29/1073861429.db2.gz RJYRPIRKVVVVFT-INIZCTEOSA-N 0 0 426.557 -0.519 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000804941330 1073861395 /nfs/dbraw/zinc/86/13/95/1073861395.db2.gz RJYRPIRKVVVVFT-MRXNPFEDSA-N 0 0 426.557 -0.519 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)OC[C@H]3CCCO3)CC2)cc1 ZINC000804942351 1073861408 /nfs/dbraw/zinc/86/14/08/1073861408.db2.gz OXNNODQIPSHBKV-CYBMUJFWSA-N 0 0 433.508 -0.044 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)OC[C@@H]3CCCO3)CC2)cc1 ZINC000804942354 1073861930 /nfs/dbraw/zinc/86/19/30/1073861930.db2.gz OXNNODQIPSHBKV-ZDUSSCGKSA-N 0 0 433.508 -0.044 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N(CC)CC)CC2)cn1C ZINC000804942417 1073861898 /nfs/dbraw/zinc/86/18/98/1073861898.db2.gz HUOXYMWXXJAZNH-UHFFFAOYSA-N 0 0 442.538 -0.054 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2cnc(NC(C)=O)s2)CC1 ZINC000804943612 1073861974 /nfs/dbraw/zinc/86/19/74/1073861974.db2.gz LSMTXDGCDPOJPO-UHFFFAOYSA-N 0 0 425.558 -0.006 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cn1C ZINC000804944276 1073862014 /nfs/dbraw/zinc/86/20/14/1073862014.db2.gz VBYKPZZCCZFHNP-CYBMUJFWSA-N 0 0 440.522 -0.256 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cn1C ZINC000804944277 1073862066 /nfs/dbraw/zinc/86/20/66/1073862066.db2.gz VBYKPZZCCZFHNP-ZDUSSCGKSA-N 0 0 440.522 -0.256 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cn1C ZINC000804945101 1073862087 /nfs/dbraw/zinc/86/20/87/1073862087.db2.gz PSWJFUWFIBCYOV-UHFFFAOYSA-N 0 0 442.494 -0.872 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c(Br)n1C ZINC000804945224 1073862117 /nfs/dbraw/zinc/86/21/17/1073862117.db2.gz VKAZDZDMTMVPCX-UHFFFAOYSA-N 0 0 436.332 -0.151 20 0 IBADRN Cc1nc(Cl)ccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000804946538 1073861961 /nfs/dbraw/zinc/86/19/61/1073861961.db2.gz MAJYCSPSIXJFMP-UHFFFAOYSA-N 0 0 437.975 -0.158 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCc2cccc(S(N)(=O)=O)c2)cn1C ZINC000804946545 1073861939 /nfs/dbraw/zinc/86/19/39/1073861939.db2.gz MOOUDVGIFKGTNG-UHFFFAOYSA-N 0 0 429.476 -0.103 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](C)CC)CC2)cn1C ZINC000804946633 1073862031 /nfs/dbraw/zinc/86/20/31/1073862031.db2.gz JIODRZLNOXIPOO-AWEZNQCLSA-N 0 0 442.538 -0.008 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N[C@H](C)CC)CC2)cn1C ZINC000804946634 1073862044 /nfs/dbraw/zinc/86/20/44/1073862044.db2.gz JIODRZLNOXIPOO-CQSZACIVSA-N 0 0 442.538 -0.008 20 0 IBADRN O=S(=O)(c1cnc(Cl)c(F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000804946889 1073862054 /nfs/dbraw/zinc/86/20/54/1073862054.db2.gz PVSWKCNYHIIDCW-UHFFFAOYSA-N 0 0 428.895 -0.243 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000804947338 1073862102 /nfs/dbraw/zinc/86/21/02/1073862102.db2.gz DULPMSLDMQWUNE-KBPBESRZSA-N 0 0 427.545 -0.759 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000804947339 1073862629 /nfs/dbraw/zinc/86/26/29/1073862629.db2.gz DULPMSLDMQWUNE-OKILXGFUSA-N 0 0 427.545 -0.759 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000804947340 1073862522 /nfs/dbraw/zinc/86/25/22/1073862522.db2.gz DULPMSLDMQWUNE-ZIAGYGMSSA-N 0 0 427.545 -0.759 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000804947918 1073861995 /nfs/dbraw/zinc/86/19/95/1073861995.db2.gz UCZRPGZPZNNNCE-UHFFFAOYSA-N 0 0 447.507 -0.204 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cn1C ZINC000804948371 1073862609 /nfs/dbraw/zinc/86/26/09/1073862609.db2.gz QVUMVQOROAXQFB-GFCCVEGCSA-N 0 0 435.524 -0.137 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cn1C ZINC000804948372 1073862594 /nfs/dbraw/zinc/86/25/94/1073862594.db2.gz QVUMVQOROAXQFB-LBPRGKRZSA-N 0 0 435.524 -0.137 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cn1C ZINC000804949536 1073862561 /nfs/dbraw/zinc/86/25/61/1073862561.db2.gz QYDQAWUUVVRZCF-UHFFFAOYSA-N 0 0 449.489 -0.224 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cn1C ZINC000804949590 1073862652 /nfs/dbraw/zinc/86/26/52/1073862652.db2.gz TZTLLVIHWDFZHX-GFCCVEGCSA-N 0 0 435.524 -0.279 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cn1C ZINC000804949591 1073862502 /nfs/dbraw/zinc/86/25/02/1073862502.db2.gz TZTLLVIHWDFZHX-LBPRGKRZSA-N 0 0 435.524 -0.279 20 0 IBADRN CC(=O)OCCCS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000804949893 1073862535 /nfs/dbraw/zinc/86/25/35/1073862535.db2.gz GKURJLQEIJXCCA-UHFFFAOYSA-N 0 0 434.540 -0.594 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(Br)n1C ZINC000804950090 1073862566 /nfs/dbraw/zinc/86/25/66/1073862566.db2.gz NKVRLVJUUWCZCH-SECBINFHSA-N 0 0 443.345 -0.537 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(Br)n1C ZINC000804950091 1073862487 /nfs/dbraw/zinc/86/24/87/1073862487.db2.gz NKVRLVJUUWCZCH-VIFPVBQESA-N 0 0 443.345 -0.537 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000804950224 1073862636 /nfs/dbraw/zinc/86/26/36/1073862636.db2.gz SQCHUPZPRBDKMH-BBWFWOEESA-N 0 0 430.523 -0.017 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000804950225 1073862554 /nfs/dbraw/zinc/86/25/54/1073862554.db2.gz SQCHUPZPRBDKMH-GVDBMIGSSA-N 0 0 430.523 -0.017 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000804950226 1073862578 /nfs/dbraw/zinc/86/25/78/1073862578.db2.gz SQCHUPZPRBDKMH-ULQDDVLXSA-N 0 0 430.523 -0.017 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000804950227 1073862619 /nfs/dbraw/zinc/86/26/19/1073862619.db2.gz SQCHUPZPRBDKMH-YESZJQIVSA-N 0 0 430.523 -0.017 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC(NS(=O)(=O)CC)CC2)cn1C ZINC000804950389 1073862603 /nfs/dbraw/zinc/86/26/03/1073862603.db2.gz ZGDWISWQTZEMQA-UHFFFAOYSA-N 0 0 435.524 -0.137 20 0 IBADRN CCNC(=O)CN1CCCN(S(=O)(=O)c2cc(C(=O)C(=O)OCC)n(C)c2)CC1 ZINC000804951212 1073862547 /nfs/dbraw/zinc/86/25/47/1073862547.db2.gz MVOKAZCBBAVNOM-UHFFFAOYSA-N 0 0 428.511 -0.397 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c(Br)n1C ZINC000804954548 1073863170 /nfs/dbraw/zinc/86/31/70/1073863170.db2.gz GBNRKTGUXPRHGO-UHFFFAOYSA-N 0 0 434.316 -0.397 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c(Br)n1C ZINC000804954692 1073863020 /nfs/dbraw/zinc/86/30/20/1073863020.db2.gz PSHTXBMKSLFUST-UHFFFAOYSA-N 0 0 448.343 -0.054 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCCCn2nc3n(c2=O)CCCC3)cn1C ZINC000804954919 1073862996 /nfs/dbraw/zinc/86/29/96/1073862996.db2.gz SNVNYSHJLOIIIC-UHFFFAOYSA-N 0 0 439.494 -0.166 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1)N1CCNC(=O)C1 ZINC000804955923 1073863031 /nfs/dbraw/zinc/86/30/31/1073863031.db2.gz XJSRDASIBWMJND-CYBMUJFWSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1)N1CCNC(=O)C1 ZINC000804955924 1073863242 /nfs/dbraw/zinc/86/32/42/1073863242.db2.gz XJSRDASIBWMJND-ZDUSSCGKSA-N 0 0 427.454 -0.272 20 0 IBADRN Cc1nc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(Br)n1C ZINC000804965351 1073863585 /nfs/dbraw/zinc/86/35/85/1073863585.db2.gz KLWVZOROQORDHT-UHFFFAOYSA-N 0 0 444.377 -0.653 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCCN(C)S(=O)(=O)CC)CC1 ZINC000804966240 1073863526 /nfs/dbraw/zinc/86/35/26/1073863526.db2.gz JUTATXPQXSKEMY-UHFFFAOYSA-N 0 0 441.572 -0.190 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cn1C ZINC000804970677 1073863639 /nfs/dbraw/zinc/86/36/39/1073863639.db2.gz SSWOLUCYHQERCS-UHFFFAOYSA-N 0 0 435.524 -0.185 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCCN(CC)S(C)(=O)=O)CC1 ZINC000804974464 1073863684 /nfs/dbraw/zinc/86/36/84/1073863684.db2.gz KUVCSLPTIOUQBL-UHFFFAOYSA-N 0 0 441.572 -0.190 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC(N3CCC[C@H]3C(N)=O)CC2)cn1C ZINC000804976300 1073863788 /nfs/dbraw/zinc/86/37/88/1073863788.db2.gz FUPOFARRDODXHQ-HNNXBMFYSA-N 0 0 440.522 -0.126 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC(N3CCC[C@@H]3C(N)=O)CC2)cn1C ZINC000804976301 1073863541 /nfs/dbraw/zinc/86/35/41/1073863541.db2.gz FUPOFARRDODXHQ-OAHLLOKOSA-N 0 0 440.522 -0.126 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(c3ncccc3C(N)=O)CC2)cn1C ZINC000804976396 1073863832 /nfs/dbraw/zinc/86/38/32/1073863832.db2.gz XWYDPIJOPAKELZ-UHFFFAOYSA-N 0 0 449.489 -0.224 20 0 IBADRN Cc1nc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c(Br)n1C ZINC000804976668 1073863742 /nfs/dbraw/zinc/86/37/42/1073863742.db2.gz WSHRJPUUDMSXNY-UHFFFAOYSA-N 0 0 431.334 -0.569 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1S(=O)(=O)C(F)F)N1CCOCC1 ZINC000804976729 1073863880 /nfs/dbraw/zinc/86/38/80/1073863880.db2.gz XUYTULZUFCOBHF-UHFFFAOYSA-N 0 0 448.491 -0.377 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCC2CCN(S(C)(=O)=O)CC2)cn1C ZINC000804976819 1073863863 /nfs/dbraw/zinc/86/38/63/1073863863.db2.gz LZHHJUNXHPNPGY-UHFFFAOYSA-N 0 0 435.524 -0.279 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000804979726 1073863847 /nfs/dbraw/zinc/86/38/47/1073863847.db2.gz BJYAUKRSHISGPX-AWEZNQCLSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000804979727 1073863573 /nfs/dbraw/zinc/86/35/73/1073863573.db2.gz BJYAUKRSHISGPX-CQSZACIVSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000804980385 1073863775 /nfs/dbraw/zinc/86/37/75/1073863775.db2.gz VANAQVBKHKRSFN-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC000804980399 1073863725 /nfs/dbraw/zinc/86/37/25/1073863725.db2.gz VANAQVBKHKRSFN-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCCCN2CCC[C@H]2C(=O)N(C)C)cn1C ZINC000804983573 1073863651 /nfs/dbraw/zinc/86/36/51/1073863651.db2.gz QEOSHMGCVMWUSA-HNNXBMFYSA-N 0 0 442.538 -0.008 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCCCN2CCC[C@@H]2C(=O)N(C)C)cn1C ZINC000804983574 1073863695 /nfs/dbraw/zinc/86/36/95/1073863695.db2.gz QEOSHMGCVMWUSA-OAHLLOKOSA-N 0 0 442.538 -0.008 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000804984577 1073863623 /nfs/dbraw/zinc/86/36/23/1073863623.db2.gz DSYWTLAIWCGSNF-CYBMUJFWSA-N 0 0 439.556 -0.438 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000804984579 1073864310 /nfs/dbraw/zinc/86/43/10/1073864310.db2.gz DSYWTLAIWCGSNF-ZDUSSCGKSA-N 0 0 439.556 -0.438 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cn1C ZINC000804989086 1073863221 /nfs/dbraw/zinc/86/32/21/1073863221.db2.gz LREQUXDZGIXQDW-UHFFFAOYSA-N 0 0 442.494 -0.870 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2nc(C)n(C)c2Br)CC1 ZINC000804989485 1073863051 /nfs/dbraw/zinc/86/30/51/1073863051.db2.gz OATHEQHFLRBZGF-UHFFFAOYSA-N 0 0 436.332 -0.150 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)[C@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(F)cc1 ZINC000804991167 1073863079 /nfs/dbraw/zinc/86/30/79/1073863079.db2.gz KTISHSJVOBFLNY-AWEZNQCLSA-N 0 0 441.481 -0.114 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)[C@@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(F)cc1 ZINC000804991168 1073863205 /nfs/dbraw/zinc/86/32/05/1073863205.db2.gz KTISHSJVOBFLNY-CQSZACIVSA-N 0 0 441.481 -0.114 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC2CCN(CC(=O)N(C)C)CC2)cn1C ZINC000804991438 1073862953 /nfs/dbraw/zinc/86/29/53/1073862953.db2.gz CIPPEFNGFGQSQG-UHFFFAOYSA-N 0 0 428.511 -0.398 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)NCC2CCC2)cn1C ZINC000804991983 1073863150 /nfs/dbraw/zinc/86/31/50/1073863150.db2.gz RIOOHCNRKQMBCE-UHFFFAOYSA-N 0 0 435.524 -0.231 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1nc(C)n(C)c1Br ZINC000804992091 1073862980 /nfs/dbraw/zinc/86/29/80/1073862980.db2.gz VZYWBRAAJSEDSK-UHFFFAOYSA-N 0 0 439.332 -0.013 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)cn1C ZINC000804992133 1073865376 /nfs/dbraw/zinc/86/53/76/1073865376.db2.gz XJOQAZQGMGYOCW-UHFFFAOYSA-N 0 0 449.551 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC000804992353 1073865342 /nfs/dbraw/zinc/86/53/42/1073865342.db2.gz BKGBOBKMJFLDKN-JTQLQIEISA-N 0 0 439.462 -0.302 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC000804992354 1073865294 /nfs/dbraw/zinc/86/52/94/1073865294.db2.gz BKGBOBKMJFLDKN-SNVBAGLBSA-N 0 0 439.462 -0.302 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NCC[S@@](C)=O)CC2)c(OC)c1OC ZINC000804993648 1073865395 /nfs/dbraw/zinc/86/53/95/1073865395.db2.gz SCTPMZJWYMWJPY-GDLZYMKVSA-N 0 0 427.523 -0.149 20 0 IBADRN COc1ccc(CN2CCN(C(=O)C(=O)NCC[S@](C)=O)CC2)c(OC)c1OC ZINC000804993649 1073865209 /nfs/dbraw/zinc/86/52/09/1073865209.db2.gz SCTPMZJWYMWJPY-LJAQVGFWSA-N 0 0 427.523 -0.149 20 0 IBADRN C[S@@](=O)CCNC(=O)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000804994614 1073865142 /nfs/dbraw/zinc/86/51/42/1073865142.db2.gz JYRFXQAVRZPBCY-CAMPQQCRSA-N 0 0 432.543 -0.639 20 0 IBADRN C[S@@](=O)CCNC(=O)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000804994615 1073865120 /nfs/dbraw/zinc/86/51/20/1073865120.db2.gz JYRFXQAVRZPBCY-JYCIKRDWSA-N 0 0 432.543 -0.639 20 0 IBADRN C[S@](=O)CCNC(=O)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000804994616 1073865157 /nfs/dbraw/zinc/86/51/57/1073865157.db2.gz JYRFXQAVRZPBCY-MUWSIPGASA-N 0 0 432.543 -0.639 20 0 IBADRN C[S@](=O)CCNC(=O)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000804994617 1073865192 /nfs/dbraw/zinc/86/51/92/1073865192.db2.gz JYRFXQAVRZPBCY-YBKRRLQSSA-N 0 0 432.543 -0.639 20 0 IBADRN C[S@@](=O)CCNC(=O)C(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000804996883 1073865387 /nfs/dbraw/zinc/86/53/87/1073865387.db2.gz PNSURAPZZHWDKN-ILHIWHGASA-N 0 0 444.554 -0.449 20 0 IBADRN C[S@](=O)CCNC(=O)C(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000804996884 1073865354 /nfs/dbraw/zinc/86/53/54/1073865354.db2.gz PNSURAPZZHWDKN-KJEZJMDVSA-N 0 0 444.554 -0.449 20 0 IBADRN C[S@@](=O)CCNC(=O)C(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000804996885 1073865173 /nfs/dbraw/zinc/86/51/73/1073865173.db2.gz PNSURAPZZHWDKN-NGFNCXNUSA-N 0 0 444.554 -0.449 20 0 IBADRN C[S@](=O)CCNC(=O)C(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000804996886 1073865680 /nfs/dbraw/zinc/86/56/80/1073865680.db2.gz PNSURAPZZHWDKN-WYIRRWHOSA-N 0 0 444.554 -0.449 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[S@@](C)=O)CC2)c1 ZINC000804996999 1073865556 /nfs/dbraw/zinc/86/55/56/1073865556.db2.gz HGENURTXTXWVJK-MUUNZHRXSA-N 0 0 447.535 -0.969 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[S@](C)=O)CC2)c1 ZINC000804997001 1073865843 /nfs/dbraw/zinc/86/58/43/1073865843.db2.gz HGENURTXTXWVJK-NDEPHWFRSA-N 0 0 447.535 -0.969 20 0 IBADRN C[S@@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000804998044 1073865874 /nfs/dbraw/zinc/86/58/74/1073865874.db2.gz XXXHVCUCOJXXDC-HHHXNRCGSA-N 0 0 445.548 -0.981 20 0 IBADRN C[S@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000804998045 1073865660 /nfs/dbraw/zinc/86/56/60/1073865660.db2.gz XXXHVCUCOJXXDC-MHZLTWQESA-N 0 0 445.548 -0.981 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)NCC[S@](C)=O)CC2)c(OC)c1OC ZINC000805000046 1073865780 /nfs/dbraw/zinc/86/57/80/1073865780.db2.gz SLRXGCTWTUAVSQ-PMERELPUSA-N 0 0 441.506 -0.509 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)C(=O)NCC[S@@](C)=O)CC2)c(OC)c1OC ZINC000805000047 1073865946 /nfs/dbraw/zinc/86/59/46/1073865946.db2.gz SLRXGCTWTUAVSQ-SSEXGKCCSA-N 0 0 441.506 -0.509 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCC[S@@](C)=O)CC2)ncc1Br ZINC000805002073 1073865741 /nfs/dbraw/zinc/86/57/41/1073865741.db2.gz UKDLSBMUQUQBGP-RUZDIDTESA-N 0 0 434.316 -0.609 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)NCC[S@](C)=O)CC2)ncc1Br ZINC000805002074 1073865608 /nfs/dbraw/zinc/86/56/08/1073865608.db2.gz UKDLSBMUQUQBGP-VWLOTQADSA-N 0 0 434.316 -0.609 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NCC[S@@](C)=O)CC2)cc(OC)c1OC ZINC000805002877 1073865623 /nfs/dbraw/zinc/86/56/23/1073865623.db2.gz DYBHHHGAQNOLTG-GDLZYMKVSA-N 0 0 427.523 -0.149 20 0 IBADRN COc1cc(CN2CCN(C(=O)C(=O)NCC[S@](C)=O)CC2)cc(OC)c1OC ZINC000805002878 1073865803 /nfs/dbraw/zinc/86/58/03/1073865803.db2.gz DYBHHHGAQNOLTG-LJAQVGFWSA-N 0 0 427.523 -0.149 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)C(F)(F)F)CC1 ZINC000805003892 1073865569 /nfs/dbraw/zinc/86/55/69/1073865569.db2.gz WIGRQNIDHPMXKX-UHFFFAOYSA-N 0 0 437.443 -0.510 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)Oc2cccc(C(=O)NCC(N)=O)c2)cn1C ZINC000805004866 1073865692 /nfs/dbraw/zinc/86/56/92/1073865692.db2.gz CWSBXQDCLDUNOL-UHFFFAOYSA-N 0 0 437.430 -0.246 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)Oc2ccc(C(=O)NCC(N)=O)cc2)cn1C ZINC000805006201 1073865815 /nfs/dbraw/zinc/86/58/15/1073865815.db2.gz ZKHYFDXOBJLDRM-UHFFFAOYSA-N 0 0 437.430 -0.246 20 0 IBADRN CC(=O)OCCCS(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000805006373 1073865589 /nfs/dbraw/zinc/86/55/89/1073865589.db2.gz PQGYANUDPIQBCZ-UHFFFAOYSA-N 0 0 433.552 -0.005 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(Cc3noc(C)n3)CC2)cn1C ZINC000805007289 1073866555 /nfs/dbraw/zinc/86/65/55/1073866555.db2.gz PRCSKPSGMINPAC-UHFFFAOYSA-N 0 0 425.467 -0.031 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCCOCCS(C)(=O)=O)cc1 ZINC000805015438 1073864188 /nfs/dbraw/zinc/86/41/88/1073864188.db2.gz JGXYPGKEKKBTSO-UHFFFAOYSA-N 0 0 428.554 -0.286 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)OCC)CC2)cn1C ZINC000805016514 1073864353 /nfs/dbraw/zinc/86/43/53/1073864353.db2.gz DANDFWNJPAHRJY-UHFFFAOYSA-N 0 0 429.451 -0.833 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)cn1C ZINC000805017204 1073864260 /nfs/dbraw/zinc/86/42/60/1073864260.db2.gz CPQNIWPGJIDSOY-CYBMUJFWSA-N 0 0 437.478 -0.022 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)cn1C ZINC000805017205 1073864201 /nfs/dbraw/zinc/86/42/01/1073864201.db2.gz CPQNIWPGJIDSOY-ZDUSSCGKSA-N 0 0 437.478 -0.022 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)OCC)CC2)cc1 ZINC000805017430 1073864268 /nfs/dbraw/zinc/86/42/68/1073864268.db2.gz UZEYTAPYABLPPB-UHFFFAOYSA-N 0 0 447.535 -0.229 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)cn1C ZINC000805018396 1073864278 /nfs/dbraw/zinc/86/42/78/1073864278.db2.gz IXIPITNXKIBFDT-CYBMUJFWSA-N 0 0 437.478 -0.103 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)cn1C ZINC000805018397 1073864381 /nfs/dbraw/zinc/86/43/81/1073864381.db2.gz IXIPITNXKIBFDT-ZDUSSCGKSA-N 0 0 437.478 -0.103 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(c3nccn(C)c3=O)CC2)cn1C ZINC000805019044 1073864362 /nfs/dbraw/zinc/86/43/62/1073864362.db2.gz CCCXKEHPUDHCHY-UHFFFAOYSA-N 0 0 437.478 -0.625 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000805019794 1073864244 /nfs/dbraw/zinc/86/42/44/1073864244.db2.gz JCBYAGKPDSVWAW-UHFFFAOYSA-N 0 0 447.507 -0.722 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c(Br)n1C ZINC000805020628 1073864291 /nfs/dbraw/zinc/86/42/91/1073864291.db2.gz PYFVKCCBZZLFFK-UHFFFAOYSA-N 0 0 430.350 -0.914 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cn1C ZINC000805021328 1073864301 /nfs/dbraw/zinc/86/43/01/1073864301.db2.gz YCNIZEGUHYZENL-BXUZGUMPSA-N 0 0 437.478 -0.023 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cn1C ZINC000805021329 1073864318 /nfs/dbraw/zinc/86/43/18/1073864318.db2.gz YCNIZEGUHYZENL-FZMZJTMJSA-N 0 0 437.478 -0.023 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)cn1C ZINC000805021330 1073864369 /nfs/dbraw/zinc/86/43/69/1073864369.db2.gz YCNIZEGUHYZENL-RISCZKNCSA-N 0 0 437.478 -0.023 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)cn1C ZINC000805021331 1073864338 /nfs/dbraw/zinc/86/43/38/1073864338.db2.gz YCNIZEGUHYZENL-SMDDNHRTSA-N 0 0 437.478 -0.023 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)NC3=O)cn1C ZINC000805022507 1073864742 /nfs/dbraw/zinc/86/47/42/1073864742.db2.gz VDRKSYUHUMRCIO-BMLIUANNSA-N 0 0 426.451 -0.573 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)NC3=O)cn1C ZINC000805022508 1073864776 /nfs/dbraw/zinc/86/47/76/1073864776.db2.gz VDRKSYUHUMRCIO-BTDLBPIBSA-N 0 0 426.451 -0.573 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)NC3=O)cn1C ZINC000805022509 1073864698 /nfs/dbraw/zinc/86/46/98/1073864698.db2.gz VDRKSYUHUMRCIO-DYZYQPBXSA-N 0 0 426.451 -0.573 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)NC3=O)cn1C ZINC000805022510 1073864758 /nfs/dbraw/zinc/86/47/58/1073864758.db2.gz VDRKSYUHUMRCIO-QGHHPUGFSA-N 0 0 426.451 -0.573 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cn1C ZINC000805023486 1073864708 /nfs/dbraw/zinc/86/47/08/1073864708.db2.gz HTZVHVANWLXZOK-AWEZNQCLSA-N 0 0 427.479 -0.171 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cn1C ZINC000805023487 1073864736 /nfs/dbraw/zinc/86/47/36/1073864736.db2.gz HTZVHVANWLXZOK-CQSZACIVSA-N 0 0 427.479 -0.171 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)cn1C ZINC000805026016 1073864795 /nfs/dbraw/zinc/86/47/95/1073864795.db2.gz ZASOVIKBYWSOPR-ADLMAVQZSA-N 0 0 440.478 -0.230 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)cn1C ZINC000805026017 1073864766 /nfs/dbraw/zinc/86/47/66/1073864766.db2.gz ZASOVIKBYWSOPR-BBATYDOGSA-N 0 0 440.478 -0.230 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)cn1C ZINC000805026018 1073864629 /nfs/dbraw/zinc/86/46/29/1073864629.db2.gz ZASOVIKBYWSOPR-VOJFVSQTSA-N 0 0 440.478 -0.230 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)cn1C ZINC000805026019 1073864597 /nfs/dbraw/zinc/86/45/97/1073864597.db2.gz ZASOVIKBYWSOPR-ZMZPIMSZSA-N 0 0 440.478 -0.230 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cn1C ZINC000805027704 1073864726 /nfs/dbraw/zinc/86/47/26/1073864726.db2.gz PSKSWXCNIOTLET-GOSISDBHSA-N 0 0 440.478 -0.230 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cn1C ZINC000805027706 1073864639 /nfs/dbraw/zinc/86/46/39/1073864639.db2.gz PSKSWXCNIOTLET-SFHVURJKSA-N 0 0 440.478 -0.230 20 0 IBADRN Cc1nc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)c(Br)n1C ZINC000805028914 1073864810 /nfs/dbraw/zinc/86/48/10/1073864810.db2.gz JWIHIUBXEIMKIY-UHFFFAOYSA-N 0 0 442.317 -0.123 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)cn1C ZINC000805029396 1073864656 /nfs/dbraw/zinc/86/46/56/1073864656.db2.gz QZEVOHFBGUKXMQ-CYBMUJFWSA-N 0 0 426.451 -0.572 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)cn1C ZINC000805029398 1073864718 /nfs/dbraw/zinc/86/47/18/1073864718.db2.gz QZEVOHFBGUKXMQ-ZDUSSCGKSA-N 0 0 426.451 -0.572 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)Cc2ccon2)cn1C ZINC000805030176 1073864783 /nfs/dbraw/zinc/86/47/83/1073864783.db2.gz YXUNDTSWORJNKN-UHFFFAOYSA-N 0 0 448.479 -0.843 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC000805030216 1073864751 /nfs/dbraw/zinc/86/47/51/1073864751.db2.gz VMHIGDFWGJKKKT-GFCCVEGCSA-N 0 0 428.479 -0.004 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC000805030217 1073864611 /nfs/dbraw/zinc/86/46/11/1073864611.db2.gz VMHIGDFWGJKKKT-LBPRGKRZSA-N 0 0 428.479 -0.004 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2CCc3nc(COC)nn3C2)cn1C ZINC000805031860 1073864684 /nfs/dbraw/zinc/86/46/84/1073864684.db2.gz AXISWIMAVQXNSB-LLVKDONJSA-N 0 0 425.467 -0.198 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2CCc3nc(COC)nn3C2)cn1C ZINC000805031866 1073864672 /nfs/dbraw/zinc/86/46/72/1073864672.db2.gz AXISWIMAVQXNSB-NSHDSACASA-N 0 0 425.467 -0.198 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)cn1C ZINC000805032947 1073865310 /nfs/dbraw/zinc/86/53/10/1073865310.db2.gz CPPYOLJPAXRETQ-AAEUAGOBSA-N 0 0 435.524 -0.233 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)cn1C ZINC000805032948 1073865236 /nfs/dbraw/zinc/86/52/36/1073865236.db2.gz CPPYOLJPAXRETQ-DGCLKSJQSA-N 0 0 435.524 -0.233 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)cn1C ZINC000805032949 1073865403 /nfs/dbraw/zinc/86/54/03/1073865403.db2.gz CPPYOLJPAXRETQ-WCQYABFASA-N 0 0 435.524 -0.233 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)cn1C ZINC000805032950 1073865264 /nfs/dbraw/zinc/86/52/64/1073865264.db2.gz CPPYOLJPAXRETQ-YPMHNXCESA-N 0 0 435.524 -0.233 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cn1C ZINC000805033992 1073867584 /nfs/dbraw/zinc/86/75/84/1073867584.db2.gz HVVBCSSAJLBVKL-UHFFFAOYSA-N 0 0 435.524 -0.185 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000805034653 1073867674 /nfs/dbraw/zinc/86/76/74/1073867674.db2.gz OTHMPYDLXGBMGP-UHFFFAOYSA-N 0 0 444.598 -0.280 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC000805034675 1073867632 /nfs/dbraw/zinc/86/76/32/1073867632.db2.gz PIAIMUILOYFFRJ-UHFFFAOYSA-N 0 0 430.571 -0.670 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(CCC(=O)N(C)C)CC2)cn1C ZINC000805035441 1073867571 /nfs/dbraw/zinc/86/75/71/1073867571.db2.gz LBJQRPWYHXOAQP-UHFFFAOYSA-N 0 0 428.511 -0.445 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(c3nc(N)ns3)CC2)cn1C ZINC000805035607 1073867610 /nfs/dbraw/zinc/86/76/10/1073867610.db2.gz NPSVCAFQQOSKRB-UHFFFAOYSA-N 0 0 428.496 -0.285 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)c3ccccc3S(=O)(=O)C(F)(F)F)CCN2C1=O ZINC000805035787 1073867491 /nfs/dbraw/zinc/86/74/91/1073867491.db2.gz QPFVEORSFLNQOQ-SECBINFHSA-N 0 0 441.409 -0.689 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)c3ccccc3S(=O)(=O)C(F)(F)F)CCN2C1=O ZINC000805035788 1073867691 /nfs/dbraw/zinc/86/76/91/1073867691.db2.gz QPFVEORSFLNQOQ-VIFPVBQESA-N 0 0 441.409 -0.689 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)c2cccnc2)cn1C ZINC000805042970 1073866489 /nfs/dbraw/zinc/86/64/89/1073866489.db2.gz BYWZXNMDOQVQFC-UHFFFAOYSA-N 0 0 444.491 -0.577 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N1C[C@H](C)N(S(=O)(=O)CCCOC(C)=O)C[C@H]1C ZINC000805044781 1073866340 /nfs/dbraw/zinc/86/63/40/1073866340.db2.gz BJLBDPWBMLOPLI-OKILXGFUSA-N 0 0 442.556 -0.053 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCNC(=O)C2)CC1 ZINC000805047617 1073866564 /nfs/dbraw/zinc/86/65/64/1073866564.db2.gz BZCNAJYNMNAJLW-UHFFFAOYSA-N 0 0 428.467 -0.806 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000805048877 1073866367 /nfs/dbraw/zinc/86/63/67/1073866367.db2.gz SYWOHTKRBDJKDN-GFCCVEGCSA-N 0 0 443.503 -0.299 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000805048884 1073866632 /nfs/dbraw/zinc/86/66/32/1073866632.db2.gz SYWOHTKRBDJKDN-LBPRGKRZSA-N 0 0 443.503 -0.299 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1=O ZINC000805050174 1073866625 /nfs/dbraw/zinc/86/66/25/1073866625.db2.gz VYCKNXGLWWHJTA-UHFFFAOYSA-N 0 0 449.439 -0.654 20 0 IBADRN CC(=O)OCCCS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000805050961 1073866619 /nfs/dbraw/zinc/86/66/19/1073866619.db2.gz DVXPBMZJBZKEKN-UHFFFAOYSA-N 0 0 434.492 -0.297 20 0 IBADRN CN1CC(=O)N(CC(=O)OCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC000805051125 1073866384 /nfs/dbraw/zinc/86/63/84/1073866384.db2.gz SBIPDZMJTQVBJZ-UHFFFAOYSA-N 0 0 441.462 -0.477 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)cn1C ZINC000805051854 1073866532 /nfs/dbraw/zinc/86/65/32/1073866532.db2.gz RHPVIVHHHFARKA-UHFFFAOYSA-N 0 0 426.451 -0.524 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC(C(=O)NCCCO)CC2)cn1C ZINC000805053683 1073866595 /nfs/dbraw/zinc/86/65/95/1073866595.db2.gz BBJHRRRMKIPCTI-UHFFFAOYSA-N 0 0 429.495 -0.330 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)cc1 ZINC000805054150 1073867121 /nfs/dbraw/zinc/86/71/21/1073867121.db2.gz HKNUZYWGZCNPQT-UHFFFAOYSA-N 0 0 433.552 -0.116 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)C(F)F)C1 ZINC000805054465 1073867010 /nfs/dbraw/zinc/86/70/10/1073867010.db2.gz JDXLKEMUMFDLHD-JTQLQIEISA-N 0 0 447.507 -0.123 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)C(F)F)C1 ZINC000805054470 1073867037 /nfs/dbraw/zinc/86/70/37/1073867037.db2.gz JDXLKEMUMFDLHD-SNVBAGLBSA-N 0 0 447.507 -0.123 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC(O)(CN3CCOCC3)CC2)cn1C ZINC000805055504 1073867078 /nfs/dbraw/zinc/86/70/78/1073867078.db2.gz ZCKSSWBYARHFDP-UHFFFAOYSA-N 0 0 443.522 -0.381 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)C(F)(F)F)C[C@H]12 ZINC000805056943 1073866948 /nfs/dbraw/zinc/86/69/48/1073866948.db2.gz NHMHGKNBNBNLJL-MRVPVSSYSA-N 0 0 427.382 -0.095 20 0 IBADRN O=C1NC(=O)N2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)C(F)(F)F)C[C@@H]12 ZINC000805056944 1073867137 /nfs/dbraw/zinc/86/71/37/1073867137.db2.gz NHMHGKNBNBNLJL-QMMMGPOBSA-N 0 0 427.382 -0.095 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[C@@H](C(=O)OC)C[C@@H]2C(=O)OC)cn1C ZINC000805057581 1073867025 /nfs/dbraw/zinc/86/70/25/1073867025.db2.gz GEOXZSTZMJXJDZ-BXUZGUMPSA-N 0 0 444.462 -0.114 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[C@H](C(=O)OC)C[C@H]2C(=O)OC)cn1C ZINC000805057585 1073867066 /nfs/dbraw/zinc/86/70/66/1073867066.db2.gz GEOXZSTZMJXJDZ-FZMZJTMJSA-N 0 0 444.462 -0.114 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[C@@H](C(=O)OC)C[C@H]2C(=O)OC)cn1C ZINC000805057586 1073867046 /nfs/dbraw/zinc/86/70/46/1073867046.db2.gz GEOXZSTZMJXJDZ-RISCZKNCSA-N 0 0 444.462 -0.114 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[C@H](C(=O)OC)C[C@@H]2C(=O)OC)cn1C ZINC000805057587 1073866973 /nfs/dbraw/zinc/86/69/73/1073866973.db2.gz GEOXZSTZMJXJDZ-SMDDNHRTSA-N 0 0 444.462 -0.114 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000805057707 1073866878 /nfs/dbraw/zinc/86/68/78/1073866878.db2.gz GYXIQZCTKTWKEX-AWEZNQCLSA-N 0 0 430.449 -0.299 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC000805057711 1073866962 /nfs/dbraw/zinc/86/69/62/1073866962.db2.gz GYXIQZCTKTWKEX-CQSZACIVSA-N 0 0 430.449 -0.299 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC(n3cc(C(=O)OC)nn3)C2)cn1C ZINC000805059320 1073866842 /nfs/dbraw/zinc/86/68/42/1073866842.db2.gz PDBRLVZAXICDOR-UHFFFAOYSA-N 0 0 425.423 -0.605 20 0 IBADRN NC(=O)c1cn(C2CN(S(=O)(=O)c3ccccc3S(=O)(=O)C(F)(F)F)C2)nn1 ZINC000805059421 1073866862 /nfs/dbraw/zinc/86/68/62/1073866862.db2.gz SJBMNKGGDFWRCO-UHFFFAOYSA-N 0 0 439.397 -0.084 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[C@@H](n3cc(C(=O)OC)nn3)C2)cn1C ZINC000805062349 1073866893 /nfs/dbraw/zinc/86/68/93/1073866893.db2.gz COJHOLBOKFDTST-LLVKDONJSA-N 0 0 439.450 -0.215 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[C@H](n3cc(C(=O)OC)nn3)C2)cn1C ZINC000805062350 1073866918 /nfs/dbraw/zinc/86/69/18/1073866918.db2.gz COJHOLBOKFDTST-NSHDSACASA-N 0 0 439.450 -0.215 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCNC(=O)C2)(c2ccccc2)CC1 ZINC000805064356 1073866929 /nfs/dbraw/zinc/86/69/29/1073866929.db2.gz IEPUOJQWGJFUDB-UHFFFAOYSA-N 0 0 437.518 -0.129 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCCNS(=O)(=O)CC)CC1 ZINC000805070277 1073867663 /nfs/dbraw/zinc/86/76/63/1073867663.db2.gz IWDUMHDEWNDEND-UHFFFAOYSA-N 0 0 427.545 -0.532 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC1 ZINC000805072608 1073866993 /nfs/dbraw/zinc/86/69/93/1073866993.db2.gz RZCBHSWGVNYELO-UHFFFAOYSA-N 0 0 441.572 -0.144 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCS(=O)(=O)NC(C)C)CC1 ZINC000805075406 1073868778 /nfs/dbraw/zinc/86/87/78/1073868778.db2.gz WOFXSEAWXOJWDX-UHFFFAOYSA-N 0 0 427.545 -0.534 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)(C(N)=O)C1 ZINC000805077580 1073868757 /nfs/dbraw/zinc/86/87/57/1073868757.db2.gz CNYKQKHKRNVPJH-UHFFFAOYSA-N 0 0 447.535 -0.016 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)C2CN(C(=O)C3CCCC3)C2)(C(N)=O)C1 ZINC000805077682 1073868655 /nfs/dbraw/zinc/86/86/55/1073868655.db2.gz GSKUKTIWIZNSNQ-UHFFFAOYSA-N 0 0 430.527 -0.218 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)NC3(C(N)=O)CN(C(=O)OC(C)(C)C)C3)cc2C1=O ZINC000805077697 1073868676 /nfs/dbraw/zinc/86/86/76/1073868676.db2.gz HBMDEEUHQHWHKN-UHFFFAOYSA-N 0 0 438.462 -0.335 20 0 IBADRN CC(C)NS(=O)(=O)NCCNS(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC000805077702 1073868605 /nfs/dbraw/zinc/86/86/05/1073868605.db2.gz BPHCJGQAGAJFML-UHFFFAOYSA-N 0 0 435.496 -0.206 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)cc1 ZINC000805077750 1073868746 /nfs/dbraw/zinc/86/87/46/1073868746.db2.gz HZMJOEQCQYTNCW-UHFFFAOYSA-N 0 0 448.523 -0.652 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000805077942 1073868616 /nfs/dbraw/zinc/86/86/16/1073868616.db2.gz LHEDSDGRPOSABQ-UHFFFAOYSA-N 0 0 435.503 -0.930 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)C1 ZINC000805077999 1073868625 /nfs/dbraw/zinc/86/86/25/1073868625.db2.gz NZRCXTREZRVWGC-GFCCVEGCSA-N 0 0 434.515 -0.429 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)C1 ZINC000805078000 1073868690 /nfs/dbraw/zinc/86/86/90/1073868690.db2.gz NZRCXTREZRVWGC-LBPRGKRZSA-N 0 0 434.515 -0.429 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)c1 ZINC000805078200 1073868644 /nfs/dbraw/zinc/86/86/44/1073868644.db2.gz SSOJNXJOAIQFRO-UHFFFAOYSA-N 0 0 448.523 -0.652 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)cc1 ZINC000805078207 1073869085 /nfs/dbraw/zinc/86/90/85/1073869085.db2.gz TUIXYUWKRIPUDJ-UHFFFAOYSA-N 0 0 443.478 -0.009 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000805078222 1073869160 /nfs/dbraw/zinc/86/91/60/1073869160.db2.gz UHACNKUTOMRTGB-UHFFFAOYSA-N 0 0 434.515 -0.429 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)(C(N)=O)C1 ZINC000805078330 1073868573 /nfs/dbraw/zinc/86/85/73/1073868573.db2.gz UWPGSCJSOGBZEK-UHFFFAOYSA-N 0 0 445.519 -0.231 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)(C(N)=O)C1 ZINC000805078333 1073868698 /nfs/dbraw/zinc/86/86/98/1073868698.db2.gz VECDBNCPOGDMFN-UHFFFAOYSA-N 0 0 434.496 -0.762 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NS(=O)(=O)C2CN(C(=O)c3ccccc3)C2)(C(N)=O)C1 ZINC000805078347 1073868706 /nfs/dbraw/zinc/86/87/06/1073868706.db2.gz VTOCCVTZFYVHOR-UHFFFAOYSA-N 0 0 438.506 -0.095 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)c1 ZINC000805078392 1073868632 /nfs/dbraw/zinc/86/86/32/1073868632.db2.gz WSIRIENJSMWIJP-UHFFFAOYSA-N 0 0 437.482 -0.370 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC1(C(N)=O)CN(C(=O)OC(C)(C)C)C1 ZINC000805078488 1073868683 /nfs/dbraw/zinc/86/86/83/1073868683.db2.gz ZLCLSOFFVOUHNC-UHFFFAOYSA-N 0 0 448.523 -0.652 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[S@](=O)C[C@H]2c2cnn(C)c2)cn1C ZINC000805078693 1073868768 /nfs/dbraw/zinc/86/87/68/1073868768.db2.gz GWBAOVTXYLQAIZ-HBIPHATFSA-N 0 0 442.519 -0.001 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[S@](=O)C[C@@H]2c2cnn(C)c2)cn1C ZINC000805078694 1073868669 /nfs/dbraw/zinc/86/86/69/1073868669.db2.gz GWBAOVTXYLQAIZ-JMGYQRAPSA-N 0 0 442.519 -0.001 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[S@@](=O)C[C@H]2c2cnn(C)c2)cn1C ZINC000805078695 1073868727 /nfs/dbraw/zinc/86/87/27/1073868727.db2.gz GWBAOVTXYLQAIZ-OHZJNBGDSA-N 0 0 442.519 -0.001 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CC[S@@](=O)C[C@@H]2c2cnn(C)c2)cn1C ZINC000805078696 1073868738 /nfs/dbraw/zinc/86/87/38/1073868738.db2.gz GWBAOVTXYLQAIZ-WQIZZMQYSA-N 0 0 442.519 -0.001 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2C[C@@H](CO)C[C@H](OCCOC)C2)cn1C ZINC000805080491 1073869185 /nfs/dbraw/zinc/86/91/85/1073869185.db2.gz FVBKPYOSKSYCIL-KBPBESRZSA-N 0 0 432.495 -0.195 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2C[C@H](CO)C[C@H](OCCOC)C2)cn1C ZINC000805080492 1073869136 /nfs/dbraw/zinc/86/91/36/1073869136.db2.gz FVBKPYOSKSYCIL-KGLIPLIRSA-N 0 0 432.495 -0.195 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2C[C@H](OCCOC)C[C@H](CO)C2)cn1C ZINC000805080493 1073869172 /nfs/dbraw/zinc/86/91/72/1073869172.db2.gz FVBKPYOSKSYCIL-UONOGXRCSA-N 0 0 432.495 -0.195 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2C[C@H](CO)C[C@@H](OCCOC)C2)cn1C ZINC000805080494 1073869040 /nfs/dbraw/zinc/86/90/40/1073869040.db2.gz FVBKPYOSKSYCIL-ZIAGYGMSSA-N 0 0 432.495 -0.195 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cn1C ZINC000805082859 1073869146 /nfs/dbraw/zinc/86/91/46/1073869146.db2.gz BFFVBBQETSTTIL-CYBMUJFWSA-N 0 0 447.535 -0.041 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cn1C ZINC000805082860 1073869228 /nfs/dbraw/zinc/86/92/28/1073869228.db2.gz BFFVBBQETSTTIL-ZDUSSCGKSA-N 0 0 447.535 -0.041 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)cn1C ZINC000805084401 1073869211 /nfs/dbraw/zinc/86/92/11/1073869211.db2.gz MIWMWIOYCQJPIP-KPZWWZAWSA-N 0 0 428.463 -0.029 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)cn1C ZINC000805091148 1073869218 /nfs/dbraw/zinc/86/92/18/1073869218.db2.gz CWNDILPQRUTVAR-AAEUAGOBSA-N 0 0 435.524 -0.233 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)cn1C ZINC000805091149 1073869058 /nfs/dbraw/zinc/86/90/58/1073869058.db2.gz CWNDILPQRUTVAR-DGCLKSJQSA-N 0 0 435.524 -0.233 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)cn1C ZINC000805091151 1073869196 /nfs/dbraw/zinc/86/91/96/1073869196.db2.gz CWNDILPQRUTVAR-WCQYABFASA-N 0 0 435.524 -0.233 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)cn1C ZINC000805091152 1073869633 /nfs/dbraw/zinc/86/96/33/1073869633.db2.gz CWNDILPQRUTVAR-YPMHNXCESA-N 0 0 435.524 -0.233 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)C2CN(C(=O)OCc3ccccc3)C2)CC1 ZINC000805091281 1073869125 /nfs/dbraw/zinc/86/91/25/1073869125.db2.gz GAKXAWZARKYQMO-UHFFFAOYSA-N 0 0 432.524 -0.581 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000805091694 1073869164 /nfs/dbraw/zinc/86/91/64/1073869164.db2.gz OBJLRTXQSQVHJG-UHFFFAOYSA-N 0 0 433.480 -0.547 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)oc2Br)CC1 ZINC000805092003 1073869224 /nfs/dbraw/zinc/86/92/24/1073869224.db2.gz UNKSYGMTGDBPFQ-UHFFFAOYSA-N 0 0 446.301 -0.401 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)C2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000805092033 1073869203 /nfs/dbraw/zinc/86/92/03/1073869203.db2.gz VPTHBCRBPUCCQP-UHFFFAOYSA-N 0 0 426.561 -0.203 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(NC(=O)C(F)(F)F)cc2)CC1 ZINC000805092162 1073869692 /nfs/dbraw/zinc/86/96/92/1073869692.db2.gz WZHVSXHFQVBWBE-UHFFFAOYSA-N 0 0 430.430 -0.042 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2c(C)noc2C)C1 ZINC000805093820 1073869735 /nfs/dbraw/zinc/86/97/35/1073869735.db2.gz FSLGFQSTTZZWAD-CYBMUJFWSA-N 0 0 431.467 -0.085 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2c(C)noc2C)C1 ZINC000805093822 1073869613 /nfs/dbraw/zinc/86/96/13/1073869613.db2.gz FSLGFQSTTZZWAD-ZDUSSCGKSA-N 0 0 431.467 -0.085 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCCN(S(=O)(=O)C3CC3)CC2)cn1C ZINC000805095427 1073867553 /nfs/dbraw/zinc/86/75/53/1073867553.db2.gz XQLUDVPKAWXQMI-UHFFFAOYSA-N 0 0 447.535 -0.041 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)c2cc(S(C)(=O)=O)ccc2Br)C1=O ZINC000805095562 1073867688 /nfs/dbraw/zinc/86/76/88/1073867688.db2.gz VNVTZQZMXWOSFZ-SECBINFHSA-N 0 0 425.282 -0.112 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)c2cc(S(C)(=O)=O)ccc2Br)C1=O ZINC000805095567 1073867681 /nfs/dbraw/zinc/86/76/81/1073867681.db2.gz VNVTZQZMXWOSFZ-VIFPVBQESA-N 0 0 425.282 -0.112 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCC(C)(C)NS(C)(=O)=O)CC1 ZINC000805097949 1073867621 /nfs/dbraw/zinc/86/76/21/1073867621.db2.gz MWFVVXMIGZMZQJ-UHFFFAOYSA-N 0 0 427.545 -0.534 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC(CNS(C)(=O)=O)CC2)cn1C ZINC000805098967 1073867503 /nfs/dbraw/zinc/86/75/03/1073867503.db2.gz BQCYUOSFZPBJQY-UHFFFAOYSA-N 0 0 435.524 -0.279 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N(C)C)C2)cn1C ZINC000805099961 1073867596 /nfs/dbraw/zinc/86/75/96/1073867596.db2.gz OXTCHHIGRRPAGZ-GFCCVEGCSA-N 0 0 435.524 -0.185 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N(C)C)C2)cn1C ZINC000805099962 1073868219 /nfs/dbraw/zinc/86/82/19/1073868219.db2.gz OXTCHHIGRRPAGZ-LBPRGKRZSA-N 0 0 435.524 -0.185 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@H]2CCCCN2S(C)(=O)=O)cn1C ZINC000805100421 1073868034 /nfs/dbraw/zinc/86/80/34/1073868034.db2.gz BUCZRBHTIDTCDP-GFCCVEGCSA-N 0 0 435.524 -0.137 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)cn1C ZINC000805100422 1073868126 /nfs/dbraw/zinc/86/81/26/1073868126.db2.gz BUCZRBHTIDTCDP-LBPRGKRZSA-N 0 0 435.524 -0.137 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NC2CCC3(CC2)NC(=O)NC3=O)cn1C ZINC000805101242 1073868173 /nfs/dbraw/zinc/86/81/73/1073868173.db2.gz SPCNCVHQTDDIMB-UHFFFAOYSA-N 0 0 426.451 -0.430 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cn1C ZINC000805102797 1073868203 /nfs/dbraw/zinc/86/82/03/1073868203.db2.gz FMSAOGPHCZMAFP-ADLMAVQZSA-N 0 0 440.478 -0.088 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cn1C ZINC000805102798 1073868221 /nfs/dbraw/zinc/86/82/21/1073868221.db2.gz FMSAOGPHCZMAFP-BBATYDOGSA-N 0 0 440.478 -0.088 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cn1C ZINC000805102801 1073868133 /nfs/dbraw/zinc/86/81/33/1073868133.db2.gz FMSAOGPHCZMAFP-VOJFVSQTSA-N 0 0 440.478 -0.088 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cn1C ZINC000805102804 1073868160 /nfs/dbraw/zinc/86/81/60/1073868160.db2.gz FMSAOGPHCZMAFP-ZMZPIMSZSA-N 0 0 440.478 -0.088 20 0 IBADRN CCN(C)C(=O)OC[C@@H]1CCCCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000805107299 1073868146 /nfs/dbraw/zinc/86/81/46/1073868146.db2.gz SMOAGDLFUOUXMD-AWEZNQCLSA-N 0 0 426.561 -0.249 20 0 IBADRN CCN(C)C(=O)OC[C@H]1CCCCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000805107300 1073867996 /nfs/dbraw/zinc/86/79/96/1073867996.db2.gz SMOAGDLFUOUXMD-CQSZACIVSA-N 0 0 426.561 -0.249 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)CC)C[C@H]2C)cn1C ZINC000805113751 1073868189 /nfs/dbraw/zinc/86/81/89/1073868189.db2.gz ZXRFNGSULLRZCS-GFCCVEGCSA-N 0 0 435.524 -0.185 20 0 IBADRN CCCNC(=O)OC[C@@H]1CCCCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000805113848 1073868117 /nfs/dbraw/zinc/86/81/17/1073868117.db2.gz MCQLCKNRQWDCMP-AWEZNQCLSA-N 0 0 426.561 -0.201 20 0 IBADRN CCCNC(=O)OC[C@H]1CCCCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000805113849 1073868214 /nfs/dbraw/zinc/86/82/14/1073868214.db2.gz MCQLCKNRQWDCMP-CQSZACIVSA-N 0 0 426.561 -0.201 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)CC1 ZINC000805115644 1073868022 /nfs/dbraw/zinc/86/80/22/1073868022.db2.gz BKYABCCPNQJCJX-CYBMUJFWSA-N 0 0 426.561 -0.203 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(F)c(S(C)(=O)=O)c2)C1 ZINC000805116944 1073868080 /nfs/dbraw/zinc/86/80/80/1073868080.db2.gz WWWUFJNFBOUUJQ-LLVKDONJSA-N 0 0 443.544 -0.222 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000805123115 1073869578 /nfs/dbraw/zinc/86/95/78/1073869578.db2.gz LPBOZJPYGSVUGY-KBPBESRZSA-N 0 0 430.479 -0.737 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000805123116 1073869724 /nfs/dbraw/zinc/86/97/24/1073869724.db2.gz LPBOZJPYGSVUGY-KGLIPLIRSA-N 0 0 430.479 -0.737 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000805123117 1073869681 /nfs/dbraw/zinc/86/96/81/1073869681.db2.gz LPBOZJPYGSVUGY-UONOGXRCSA-N 0 0 430.479 -0.737 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000805123118 1073869640 /nfs/dbraw/zinc/86/96/40/1073869640.db2.gz LPBOZJPYGSVUGY-ZIAGYGMSSA-N 0 0 430.479 -0.737 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)CCN2C(=O)CCC2=O)CC1)Nc1ccc(F)cc1 ZINC000805124500 1073869562 /nfs/dbraw/zinc/86/95/62/1073869562.db2.gz OGDFGCULYRYEQJ-UHFFFAOYSA-N 0 0 448.451 -0.009 20 0 IBADRN C[C@H]1CCN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000805125943 1073869765 /nfs/dbraw/zinc/86/97/65/1073869765.db2.gz MOMILPICXVYJKR-QXEWZRGKSA-N 0 0 426.417 -0.450 20 0 IBADRN C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000805129467 1073869713 /nfs/dbraw/zinc/86/97/13/1073869713.db2.gz PBOMVQOWPXEPSS-STQMWFEESA-N 0 0 426.561 -0.204 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)NCc2ccccc2S(N)(=O)=O)cn1C ZINC000805144546 1073869686 /nfs/dbraw/zinc/86/96/86/1073869686.db2.gz BWQUHRZXVPUSQA-UHFFFAOYSA-N 0 0 429.476 -0.103 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCc2nc3ccccc3c(=O)n2C)CC1 ZINC000805145887 1073869663 /nfs/dbraw/zinc/86/96/63/1073869663.db2.gz UDQWIGZLJFUSFE-UHFFFAOYSA-N 0 0 443.504 -0.358 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)COc2ccc(N3CCCC3=O)cc2)CC1 ZINC000805179831 1073869966 /nfs/dbraw/zinc/86/99/66/1073869966.db2.gz SLAFSRGYINJJOL-UHFFFAOYSA-N 0 0 446.504 -0.032 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)C1 ZINC000805184996 1073869984 /nfs/dbraw/zinc/86/99/84/1073869984.db2.gz BQCZDMLHBHXGHD-CABCVRRESA-N 0 0 432.477 -0.481 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)C1 ZINC000805185003 1073870191 /nfs/dbraw/zinc/87/01/91/1073870191.db2.gz BQCZDMLHBHXGHD-GJZGRUSLSA-N 0 0 432.477 -0.481 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@@H](NC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1 ZINC000805185005 1073870103 /nfs/dbraw/zinc/87/01/03/1073870103.db2.gz BQCZDMLHBHXGHD-HUUCEWRRSA-N 0 0 432.477 -0.481 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1 ZINC000805185008 1073870296 /nfs/dbraw/zinc/87/02/96/1073870296.db2.gz BQCZDMLHBHXGHD-LSDHHAIUSA-N 0 0 432.477 -0.481 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)C[C@H]1COCC2 ZINC000805186634 1073870120 /nfs/dbraw/zinc/87/01/20/1073870120.db2.gz NWSOFJIYFCCMJI-AYSMAOOMSA-N 0 0 444.488 -0.482 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)C[C@H]1COCC2 ZINC000805186635 1073870134 /nfs/dbraw/zinc/87/01/34/1073870134.db2.gz NWSOFJIYFCCMJI-SMASLZHESA-N 0 0 444.488 -0.482 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@@H](CC)C(=O)N2CCOCC2)CC1 ZINC000805190751 1073870064 /nfs/dbraw/zinc/87/00/64/1073870064.db2.gz VOXXNSZUFIRFEG-AWEZNQCLSA-N 0 0 433.527 -0.224 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@H](CC)C(=O)N2CCOCC2)CC1 ZINC000805190752 1073870233 /nfs/dbraw/zinc/87/02/33/1073870233.db2.gz VOXXNSZUFIRFEG-CQSZACIVSA-N 0 0 433.527 -0.224 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCc2cnn(C)n2)c1OC ZINC000805205539 1073870766 /nfs/dbraw/zinc/87/07/66/1073870766.db2.gz HHWSPHXFHJPSHC-UHFFFAOYSA-N 0 0 425.467 -0.217 20 0 IBADRN Cn1ncc(CNC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)n1 ZINC000805209101 1073870821 /nfs/dbraw/zinc/87/08/21/1073870821.db2.gz IVSIYBNNNKAGBU-UHFFFAOYSA-N 0 0 447.521 -0.028 20 0 IBADRN Cn1ncc(CNC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC000805216661 1073870635 /nfs/dbraw/zinc/87/06/35/1073870635.db2.gz XXCSSKXSCGJLFY-UHFFFAOYSA-N 0 0 438.485 -0.116 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000805217115 1073870838 /nfs/dbraw/zinc/87/08/38/1073870838.db2.gz UXTSSNJYNIAKLZ-OLZOCXBDSA-N 0 0 425.577 -0.302 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000805227134 1073871714 /nfs/dbraw/zinc/87/17/14/1073871714.db2.gz XBXRIEDOYYVQNF-CYBMUJFWSA-N 0 0 439.556 -0.438 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)CN2CCCS2(=O)=O)cc1 ZINC000805228896 1073870147 /nfs/dbraw/zinc/87/01/47/1073870147.db2.gz DBCWWKDJVACAPJ-CYBMUJFWSA-N 0 0 427.479 -0.032 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)CN2CCCS2(=O)=O)cc1 ZINC000805228897 1073870304 /nfs/dbraw/zinc/87/03/04/1073870304.db2.gz DBCWWKDJVACAPJ-ZDUSSCGKSA-N 0 0 427.479 -0.032 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000805229370 1073870270 /nfs/dbraw/zinc/87/02/70/1073870270.db2.gz BSYCTWMIJUZDRD-GFCCVEGCSA-N 0 0 432.433 -0.356 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000805229375 1073876534 /nfs/dbraw/zinc/87/65/34/1073876534.db2.gz BSYCTWMIJUZDRD-LBPRGKRZSA-N 0 0 432.433 -0.356 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)CN2C(=O)C(=O)N(C)C2=O)cc1 ZINC000805231950 1073870090 /nfs/dbraw/zinc/87/00/90/1073870090.db2.gz YBFORAVQRHHKCS-LLVKDONJSA-N 0 0 434.405 -0.646 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)CN2C(=O)C(=O)N(C)C2=O)cc1 ZINC000805231952 1073870282 /nfs/dbraw/zinc/87/02/82/1073870282.db2.gz YBFORAVQRHHKCS-NSHDSACASA-N 0 0 434.405 -0.646 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)CN2CCS(=O)(=O)CC2)cc1 ZINC000805232507 1073870869 /nfs/dbraw/zinc/87/08/69/1073870869.db2.gz XCDJLPIVWBYIOE-AWEZNQCLSA-N 0 0 441.506 -0.337 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)CN2CCS(=O)(=O)CC2)cc1 ZINC000805232508 1073870703 /nfs/dbraw/zinc/87/07/03/1073870703.db2.gz XCDJLPIVWBYIOE-CQSZACIVSA-N 0 0 441.506 -0.337 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)Cn2ccc(=O)n(C)c2=O)cc1 ZINC000805233104 1073870005 /nfs/dbraw/zinc/87/00/05/1073870005.db2.gz TYBHOZRLYXBQNB-CYBMUJFWSA-N 0 0 432.433 -0.506 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)Cn2ccc(=O)n(C)c2=O)cc1 ZINC000805233105 1073870080 /nfs/dbraw/zinc/87/00/80/1073870080.db2.gz TYBHOZRLYXBQNB-ZDUSSCGKSA-N 0 0 432.433 -0.506 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)C1 ZINC000805248166 1073870560 /nfs/dbraw/zinc/87/05/60/1073870560.db2.gz JZTGZNMTNKXZSH-FOIQADDNSA-N 0 0 449.533 -0.233 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)C1 ZINC000805248167 1073870878 /nfs/dbraw/zinc/87/08/78/1073870878.db2.gz JZTGZNMTNKXZSH-QRWLVFNGSA-N 0 0 449.533 -0.233 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1=O ZINC000805249665 1073870714 /nfs/dbraw/zinc/87/07/14/1073870714.db2.gz AJEDMQXIGHMHFB-CQSZACIVSA-N 0 0 437.522 -0.430 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000805250011 1073870752 /nfs/dbraw/zinc/87/07/52/1073870752.db2.gz JOUIXMGSMPSSPS-CQSZACIVSA-N 0 0 434.540 -0.131 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)C1 ZINC000805250034 1073870892 /nfs/dbraw/zinc/87/08/92/1073870892.db2.gz JYDIFBKVNCUHDV-BEFAXECRSA-N 0 0 437.522 -0.159 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CN2C(=O)N[C@](C)(c3ccccc3)C2=O)C1 ZINC000805250035 1073870853 /nfs/dbraw/zinc/87/08/53/1073870853.db2.gz JYDIFBKVNCUHDV-DNVCBOLYSA-N 0 0 437.522 -0.159 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000805250077 1073887150 /nfs/dbraw/zinc/88/71/50/1073887150.db2.gz LIXZFJTVCKKAPF-CQSZACIVSA-N 0 0 434.540 -0.131 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000805250100 1073870691 /nfs/dbraw/zinc/87/06/91/1073870691.db2.gz MHAXOGRHWFJAMH-CQSZACIVSA-N 0 0 447.558 -0.547 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CN2C(=O)c3ccccc3S2(=O)=O)C1 ZINC000805250184 1073870727 /nfs/dbraw/zinc/87/07/27/1073870727.db2.gz OOFXGCOEBPTRDE-GFCCVEGCSA-N 0 0 430.508 -0.782 20 0 IBADRN Cn1ncc(CNC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)n1 ZINC000805254406 1073889631 /nfs/dbraw/zinc/88/96/31/1073889631.db2.gz RJDBLTMADCKAMX-UHFFFAOYSA-N 0 0 446.511 -0.581 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC[C@@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000805256487 1073871219 /nfs/dbraw/zinc/87/12/19/1073871219.db2.gz NZVAZZSLBVBPFR-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC[C@H]2CC[C@@H](C(=O)N(C)C)O2)CC1 ZINC000805256488 1073871321 /nfs/dbraw/zinc/87/13/21/1073871321.db2.gz NZVAZZSLBVBPFR-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC[C@@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000805256489 1073871447 /nfs/dbraw/zinc/87/14/47/1073871447.db2.gz NZVAZZSLBVBPFR-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC[C@H]2CC[C@H](C(=O)N(C)C)O2)CC1 ZINC000805256490 1073871485 /nfs/dbraw/zinc/87/14/85/1073871485.db2.gz NZVAZZSLBVBPFR-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CCN(C)C(=O)OC[C@H]1CCCCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000805286009 1073919538 /nfs/dbraw/zinc/91/95/38/1073919538.db2.gz KDBUWLBBWUOSKY-GFCCVEGCSA-N 0 0 429.499 -0.139 20 0 IBADRN CCN(C)C(=O)OC[C@@H]1CCCCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000805286011 1073919463 /nfs/dbraw/zinc/91/94/63/1073919463.db2.gz KDBUWLBBWUOSKY-LBPRGKRZSA-N 0 0 429.499 -0.139 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc(OCC(N)=O)cc2)CC1 ZINC000805290820 1073871336 /nfs/dbraw/zinc/87/13/36/1073871336.db2.gz RESGMHDNPRIWJG-UHFFFAOYSA-N 0 0 434.493 -0.234 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)COc2cccc(N3CCCC3=O)c2)CC1 ZINC000805318054 1073872033 /nfs/dbraw/zinc/87/20/33/1073872033.db2.gz ASLYMYGJJWBJJZ-UHFFFAOYSA-N 0 0 446.504 -0.032 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC000805326618 1073871747 /nfs/dbraw/zinc/87/17/47/1073871747.db2.gz QVHNACJUUVVXCF-GOSISDBHSA-N 0 0 425.551 -0.061 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC000805326619 1073871993 /nfs/dbraw/zinc/87/19/93/1073871993.db2.gz QVHNACJUUVVXCF-SFHVURJKSA-N 0 0 425.551 -0.061 20 0 IBADRN CS(=O)(=O)c1ccc(OCCOC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000805334943 1073955252 /nfs/dbraw/zinc/95/52/52/1073955252.db2.gz FRKBFQWRDMFQMZ-UHFFFAOYSA-N 0 0 427.460 -0.018 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC000805339269 1073871872 /nfs/dbraw/zinc/87/18/72/1073871872.db2.gz YRNKKOQOJKWEHX-UHFFFAOYSA-N 0 0 426.495 -0.001 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCc1ccc(N(C)S(C)(=O)=O)cc1 ZINC000805341368 1073958948 /nfs/dbraw/zinc/95/89/48/1073958948.db2.gz QOTNJQQXOHODRS-UHFFFAOYSA-N 0 0 433.552 -0.020 20 0 IBADRN Cn1c2ncn(CC(=O)OCCOc3ccc(S(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000805342314 1073959005 /nfs/dbraw/zinc/95/90/05/1073959005.db2.gz VUFKWKVVPYKTJG-UHFFFAOYSA-N 0 0 436.446 -0.541 20 0 IBADRN CN(c1ccc(CNC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)cc1)S(C)(=O)=O ZINC000805342473 1073871936 /nfs/dbraw/zinc/87/19/36/1073871936.db2.gz ZOUJSDKONJDEOB-UHFFFAOYSA-N 0 0 431.536 -0.266 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@H](C)C1 ZINC000805360872 1073872483 /nfs/dbraw/zinc/87/24/83/1073872483.db2.gz NFMWDLOVULXWOF-CHWSQXEVSA-N 0 0 434.540 -0.966 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@H](C)C1 ZINC000805360873 1073872399 /nfs/dbraw/zinc/87/23/99/1073872399.db2.gz NFMWDLOVULXWOF-OLZOCXBDSA-N 0 0 434.540 -0.966 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)[C@H](C)C1 ZINC000805362590 1073970596 /nfs/dbraw/zinc/97/05/96/1073970596.db2.gz ZUXYRFRGBQPFAW-CQSZACIVSA-N 0 0 432.543 -0.052 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)[C@H](C)C1 ZINC000805364301 1073872526 /nfs/dbraw/zinc/87/25/26/1073872526.db2.gz KJRJPFICIUUQSU-CYBMUJFWSA-N 0 0 428.536 -0.050 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCOc1ccc(S(C)(=O)=O)cc1)c(=O)n2C ZINC000805365681 1073971243 /nfs/dbraw/zinc/97/12/43/1073971243.db2.gz VZWDBTKHCZXNQP-UHFFFAOYSA-N 0 0 436.446 -0.541 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(C3=NCC(C)(C)S3)CC2)CC1 ZINC000805367594 1073873307 /nfs/dbraw/zinc/87/33/07/1073873307.db2.gz QADNEHOLWCNQRF-UHFFFAOYSA-N 0 0 438.598 -0.319 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000805367887 1073872911 /nfs/dbraw/zinc/87/29/11/1073872911.db2.gz DXYMEZQMPDPGPN-AWEZNQCLSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000805367888 1073971966 /nfs/dbraw/zinc/97/19/66/1073971966.db2.gz DXYMEZQMPDPGPN-CQSZACIVSA-N 0 0 425.530 -0.362 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000805368053 1073872551 /nfs/dbraw/zinc/87/25/51/1073872551.db2.gz ZSCDNGWESSGMGD-UHFFFAOYSA-N 0 0 425.530 -0.266 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CC[C@H](NC(=O)OC(C)(C)C)C2)CC1 ZINC000805368188 1073872413 /nfs/dbraw/zinc/87/24/13/1073872413.db2.gz BRLLMIZNPBDQNE-HNNXBMFYSA-N 0 0 425.530 -0.219 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CC[C@@H](NC(=O)OC(C)(C)C)C2)CC1 ZINC000805368191 1073872280 /nfs/dbraw/zinc/87/22/80/1073872280.db2.gz BRLLMIZNPBDQNE-OAHLLOKOSA-N 0 0 425.530 -0.219 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1)C[C@@H]2O ZINC000805368480 1073873354 /nfs/dbraw/zinc/87/33/54/1073873354.db2.gz MOAHZPIRIBYWJX-INIZCTEOSA-N 0 0 448.520 -0.252 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1)C[C@H]2O ZINC000805368483 1073873192 /nfs/dbraw/zinc/87/31/92/1073873192.db2.gz MOAHZPIRIBYWJX-MRXNPFEDSA-N 0 0 448.520 -0.252 20 0 IBADRN CC(C)COC(=O)N1CCCN(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000805368749 1073872941 /nfs/dbraw/zinc/87/29/41/1073872941.db2.gz UUSOVBAUVGUZOH-UHFFFAOYSA-N 0 0 439.557 -0.018 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCCN(c3ncccc3F)CC2)CC1 ZINC000805369015 1073872887 /nfs/dbraw/zinc/87/28/87/1073872887.db2.gz JEHXVHDCSHNPKZ-UHFFFAOYSA-N 0 0 434.516 -0.072 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N(CCO)Cc2cccnc2Cl)CC1 ZINC000805369370 1073873238 /nfs/dbraw/zinc/87/32/38/1073873238.db2.gz VDUIKFQTOKBBDL-UHFFFAOYSA-N 0 0 425.917 -0.275 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)C2=O)cc1 ZINC000805369684 1073873040 /nfs/dbraw/zinc/87/30/40/1073873040.db2.gz CITZSWMZDLANJQ-GOSISDBHSA-N 0 0 429.521 -0.115 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)NC3CCN(CC(=O)N(C)C)CC3)C2=O)cc1 ZINC000805369687 1073872965 /nfs/dbraw/zinc/87/29/65/1073872965.db2.gz CITZSWMZDLANJQ-SFHVURJKSA-N 0 0 429.521 -0.115 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000805370481 1073973165 /nfs/dbraw/zinc/97/31/65/1073973165.db2.gz NIVXSGGYUREAOS-IBGZPJMESA-N 0 0 443.548 -0.037 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000805370482 1073973217 /nfs/dbraw/zinc/97/32/17/1073973217.db2.gz NIVXSGGYUREAOS-LJQANCHMSA-N 0 0 443.548 -0.037 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N(C)[C@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000805370579 1073873015 /nfs/dbraw/zinc/87/30/15/1073873015.db2.gz MMNJAAGFHRHIMM-IBGZPJMESA-N 0 0 443.548 -0.085 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N(C)[C@@H]2CCN(Cc3ccccc3)C2=O)CC1 ZINC000805370580 1073973200 /nfs/dbraw/zinc/97/32/00/1073973200.db2.gz MMNJAAGFHRHIMM-LJQANCHMSA-N 0 0 443.548 -0.085 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000805370767 1073973734 /nfs/dbraw/zinc/97/37/34/1073973734.db2.gz PRISQGHIVUWUTQ-UHFFFAOYSA-N 0 0 425.530 -0.408 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N(C)CCN(C)C(=O)OC(C)(C)C)CC1 ZINC000805371233 1073873149 /nfs/dbraw/zinc/87/31/49/1073873149.db2.gz XKFROBXRZANSBF-UHFFFAOYSA-N 0 0 427.546 -0.020 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000805371251 1073873120 /nfs/dbraw/zinc/87/31/20/1073873120.db2.gz XVCXBQHNKYFHEB-CABCVRRESA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000805371253 1073872993 /nfs/dbraw/zinc/87/29/93/1073872993.db2.gz XVCXBQHNKYFHEB-GJZGRUSLSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000805371254 1073873101 /nfs/dbraw/zinc/87/31/01/1073873101.db2.gz XVCXBQHNKYFHEB-HUUCEWRRSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)NC2CCN(CC(=O)N(C)C)CC2)C1 ZINC000805371255 1073973768 /nfs/dbraw/zinc/97/37/68/1073973768.db2.gz XVCXBQHNKYFHEB-LSDHHAIUSA-N 0 0 425.530 -0.362 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccco2)CC1 ZINC000805371662 1073974182 /nfs/dbraw/zinc/97/41/82/1073974182.db2.gz RTQXLYRKYOJHIT-DLBZAZTESA-N 0 0 436.509 -0.401 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2ccco2)CC1 ZINC000805371664 1073974330 /nfs/dbraw/zinc/97/43/30/1073974330.db2.gz RTQXLYRKYOJHIT-IAGOWNOFSA-N 0 0 436.509 -0.401 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2ccco2)CC1 ZINC000805371665 1073974302 /nfs/dbraw/zinc/97/43/02/1073974302.db2.gz RTQXLYRKYOJHIT-IRXDYDNUSA-N 0 0 436.509 -0.401 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2ccco2)CC1 ZINC000805371666 1073974282 /nfs/dbraw/zinc/97/42/82/1073974282.db2.gz RTQXLYRKYOJHIT-SJORKVTESA-N 0 0 436.509 -0.401 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)CC1 ZINC000805371798 1073872859 /nfs/dbraw/zinc/87/28/59/1073872859.db2.gz NLTYKTZBBKTDGJ-UHFFFAOYSA-N 0 0 449.499 -0.069 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000805372261 1073974954 /nfs/dbraw/zinc/97/49/54/1073974954.db2.gz WHIYBVASGFMWCA-AWEZNQCLSA-N 0 0 427.546 -0.068 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC000805372262 1073974814 /nfs/dbraw/zinc/97/48/14/1073974814.db2.gz WHIYBVASGFMWCA-CQSZACIVSA-N 0 0 427.546 -0.068 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)N1CCC(C(=O)OCC)(S(C)(=O)=O)CC1 ZINC000805373409 1073974895 /nfs/dbraw/zinc/97/48/95/1073974895.db2.gz XLBPXIWBBJBYRJ-CHWSQXEVSA-N 0 0 449.526 -0.405 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)N1CCC(C(=O)OCC)(S(C)(=O)=O)CC1 ZINC000805373410 1073974855 /nfs/dbraw/zinc/97/48/55/1073974855.db2.gz XLBPXIWBBJBYRJ-OLZOCXBDSA-N 0 0 449.526 -0.405 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)N1CCC(C(=O)OCC)(S(C)(=O)=O)CC1 ZINC000805373411 1073873338 /nfs/dbraw/zinc/87/33/38/1073873338.db2.gz XLBPXIWBBJBYRJ-QWHCGFSZSA-N 0 0 449.526 -0.405 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCC(C(=O)OCC)(S(C)(=O)=O)CC1 ZINC000805373412 1073873293 /nfs/dbraw/zinc/87/32/93/1073873293.db2.gz XLBPXIWBBJBYRJ-STQMWFEESA-N 0 0 449.526 -0.405 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000805374264 1073873071 /nfs/dbraw/zinc/87/30/71/1073873071.db2.gz NCQMFMDVLUIUFT-UHFFFAOYSA-N 0 0 446.570 -0.309 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC000805374516 1073976082 /nfs/dbraw/zinc/97/60/82/1073976082.db2.gz RIPWEKJXUCEURL-UHFFFAOYSA-N 0 0 436.578 -0.044 20 0 IBADRN COC[C@@H](Cc1ccccc1)NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805374868 1073975981 /nfs/dbraw/zinc/97/59/81/1073975981.db2.gz SAYVXXQOTCFFJD-GOSISDBHSA-N 0 0 425.551 -0.015 20 0 IBADRN COC[C@H](Cc1ccccc1)NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805374870 1073976021 /nfs/dbraw/zinc/97/60/21/1073976021.db2.gz SAYVXXQOTCFFJD-SFHVURJKSA-N 0 0 425.551 -0.015 20 0 IBADRN CCC[C@@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CCN1CCOC ZINC000805374943 1073873837 /nfs/dbraw/zinc/87/38/37/1073873837.db2.gz VCBDWOPBGCUHOG-GOSISDBHSA-N 0 0 446.614 -0.429 20 0 IBADRN CCC[C@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CCN1CCOC ZINC000805374944 1073873910 /nfs/dbraw/zinc/87/39/10/1073873910.db2.gz VCBDWOPBGCUHOG-SFHVURJKSA-N 0 0 446.614 -0.429 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)NC[C@H]2COC3(CCCCC3)O2)CC1 ZINC000805375093 1073976076 /nfs/dbraw/zinc/97/60/76/1073976076.db2.gz CETQENZBRJNQKS-INIZCTEOSA-N 0 0 431.555 -0.196 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)NC[C@@H]2COC3(CCCCC3)O2)CC1 ZINC000805375094 1073873736 /nfs/dbraw/zinc/87/37/36/1073873736.db2.gz CETQENZBRJNQKS-MRXNPFEDSA-N 0 0 431.555 -0.196 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(Cc3ccccn3)CC2)CC1 ZINC000805375209 1073976088 /nfs/dbraw/zinc/97/60/88/1073976088.db2.gz DWOQWWOUFMCMHO-UHFFFAOYSA-N 0 0 437.566 -0.649 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805375259 1073976046 /nfs/dbraw/zinc/97/60/46/1073976046.db2.gz GSNAAMITANRACO-HNNXBMFYSA-N 0 0 446.570 -0.263 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805375260 1073975881 /nfs/dbraw/zinc/97/58/81/1073975881.db2.gz GSNAAMITANRACO-OAHLLOKOSA-N 0 0 446.570 -0.263 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000805375601 1073874166 /nfs/dbraw/zinc/87/41/66/1073874166.db2.gz QQYBGDOKEWNJBF-UHFFFAOYSA-N 0 0 432.543 -0.698 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(Cc3ccco3)CC2)CC1 ZINC000805375682 1073873661 /nfs/dbraw/zinc/87/36/61/1073873661.db2.gz SZMBAQHYSMTTFL-UHFFFAOYSA-N 0 0 426.539 -0.451 20 0 IBADRN CN(C[C@H]1COc2ccccc2O1)C(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805375975 1073874110 /nfs/dbraw/zinc/87/41/10/1073874110.db2.gz GLENYNVGUIUAER-INIZCTEOSA-N 0 0 439.534 -0.090 20 0 IBADRN CN(C[C@@H]1COc2ccccc2O1)C(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805375976 1073873785 /nfs/dbraw/zinc/87/37/85/1073873785.db2.gz GLENYNVGUIUAER-MRXNPFEDSA-N 0 0 439.534 -0.090 20 0 IBADRN C[C@H](NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)C(=O)N1CCCC[C@H]1C ZINC000805375995 1073976063 /nfs/dbraw/zinc/97/60/63/1073976063.db2.gz HBHAPAKRFDWCSU-CABCVRRESA-N 0 0 430.571 -0.483 20 0 IBADRN C[C@H](NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)C(=O)N1CCCC[C@@H]1C ZINC000805375996 1073976035 /nfs/dbraw/zinc/97/60/35/1073976035.db2.gz HBHAPAKRFDWCSU-GJZGRUSLSA-N 0 0 430.571 -0.483 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)C(=O)N1CCCC[C@H]1C ZINC000805375997 1073976422 /nfs/dbraw/zinc/97/64/22/1073976422.db2.gz HBHAPAKRFDWCSU-HUUCEWRRSA-N 0 0 430.571 -0.483 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)C(=O)N1CCCC[C@@H]1C ZINC000805375998 1073976550 /nfs/dbraw/zinc/97/65/50/1073976550.db2.gz HBHAPAKRFDWCSU-LSDHHAIUSA-N 0 0 430.571 -0.483 20 0 IBADRN Cc1ccc(CN(CCO)C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC000805376179 1073976499 /nfs/dbraw/zinc/97/64/99/1073976499.db2.gz NMLYCMQCRBIAJV-UHFFFAOYSA-N 0 0 425.551 -0.059 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000805376226 1073874144 /nfs/dbraw/zinc/87/41/44/1073874144.db2.gz PGVAONGCSWIIDJ-UHFFFAOYSA-N 0 0 445.586 -0.736 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CCN1CC(F)F ZINC000805376370 1073976408 /nfs/dbraw/zinc/97/64/08/1073976408.db2.gz VLKBYWKUINAQNZ-HNNXBMFYSA-N 0 0 438.541 -0.201 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CCN1CC(F)F ZINC000805376371 1073976526 /nfs/dbraw/zinc/97/65/26/1073976526.db2.gz VLKBYWKUINAQNZ-OAHLLOKOSA-N 0 0 438.541 -0.201 20 0 IBADRN Cc1ccncc1N1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000805376520 1073874190 /nfs/dbraw/zinc/87/41/90/1073874190.db2.gz CHNMSNXOZPIJFE-UHFFFAOYSA-N 0 0 437.566 -0.336 20 0 IBADRN CC(C)OCCN1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000805376547 1073874071 /nfs/dbraw/zinc/87/40/71/1073874071.db2.gz XBBFEWKQMMOQCW-UHFFFAOYSA-N 0 0 432.587 -0.819 20 0 IBADRN COc1ccccc1CN(CCO)C(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805376557 1073874039 /nfs/dbraw/zinc/87/40/39/1073874039.db2.gz XTOYPIFJNPVCPL-UHFFFAOYSA-N 0 0 441.550 -0.359 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCO[C@@H](c3cccc(O)c3)C2)CC1 ZINC000805376698 1073873976 /nfs/dbraw/zinc/87/39/76/1073873976.db2.gz GHWMDPDOHNTDRJ-GOSISDBHSA-N 0 0 439.534 -0.083 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCO[C@H](c3cccc(O)c3)C2)CC1 ZINC000805376699 1073873811 /nfs/dbraw/zinc/87/38/11/1073873811.db2.gz GHWMDPDOHNTDRJ-SFHVURJKSA-N 0 0 439.534 -0.083 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805377536 1073976462 /nfs/dbraw/zinc/97/64/62/1073976462.db2.gz CTHSFXUYQCQAAG-HNNXBMFYSA-N 0 0 432.543 -0.651 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805377537 1073874680 /nfs/dbraw/zinc/87/46/80/1073874680.db2.gz CTHSFXUYQCQAAG-OAHLLOKOSA-N 0 0 432.543 -0.651 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C[C@@H](C(F)(F)F)O1 ZINC000805377937 1073873677 /nfs/dbraw/zinc/87/36/77/1073873677.db2.gz JXRINRQSWGZKIG-AAEUAGOBSA-N 0 0 429.461 -0.210 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C[C@H](C(F)(F)F)O1 ZINC000805377939 1073873886 /nfs/dbraw/zinc/87/38/86/1073873886.db2.gz JXRINRQSWGZKIG-DGCLKSJQSA-N 0 0 429.461 -0.210 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C[C@H](C(F)(F)F)O1 ZINC000805377940 1073873700 /nfs/dbraw/zinc/87/37/00/1073873700.db2.gz JXRINRQSWGZKIG-WCQYABFASA-N 0 0 429.461 -0.210 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C[C@@H](C(F)(F)F)O1 ZINC000805377942 1073873950 /nfs/dbraw/zinc/87/39/50/1073873950.db2.gz JXRINRQSWGZKIG-YPMHNXCESA-N 0 0 429.461 -0.210 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N(CCO)CCc2ccccc2)CC1 ZINC000805378259 1073874005 /nfs/dbraw/zinc/87/40/05/1073874005.db2.gz GWSYEEWQRHHMTE-UHFFFAOYSA-N 0 0 425.551 -0.325 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(Cc3ccncc3)CC2)CC1 ZINC000805378800 1073874613 /nfs/dbraw/zinc/87/46/13/1073874613.db2.gz MXZIEWOHJBFZGY-UHFFFAOYSA-N 0 0 437.566 -0.649 20 0 IBADRN CN(CCN(C)C(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC000805379290 1073874665 /nfs/dbraw/zinc/87/46/65/1073874665.db2.gz AQGPBPNEHYKZRJ-UHFFFAOYSA-N 0 0 448.586 -0.063 20 0 IBADRN CN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805379439 1073977076 /nfs/dbraw/zinc/97/70/76/1073977076.db2.gz ZBEYJXTUOXRWHK-UHFFFAOYSA-N 0 0 425.507 -0.001 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC000805379566 1073977042 /nfs/dbraw/zinc/97/70/42/1073977042.db2.gz HZHCPEWEGPOSNH-UHFFFAOYSA-N 0 0 446.570 -0.452 20 0 IBADRN Cc1ccnc(N2CCN(C(=O)C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2)c1 ZINC000805379945 1073977458 /nfs/dbraw/zinc/97/74/58/1073977458.db2.gz WVWCRRSAHWGJQE-UHFFFAOYSA-N 0 0 437.566 -0.336 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805380596 1073874442 /nfs/dbraw/zinc/87/44/42/1073874442.db2.gz SVIHFXSSVKVWCZ-CYBMUJFWSA-N 0 0 434.559 -0.359 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805380601 1073874596 /nfs/dbraw/zinc/87/45/96/1073874596.db2.gz SVIHFXSSVKVWCZ-ZDUSSCGKSA-N 0 0 434.559 -0.359 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCCC[C@@H]2CN2CCOCC2)CC1 ZINC000805380842 1073874696 /nfs/dbraw/zinc/87/46/96/1073874696.db2.gz ZBKZJLFAABCMPB-GOSISDBHSA-N 0 0 444.598 -0.675 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCCC[C@H]2CN2CCOCC2)CC1 ZINC000805380847 1073874789 /nfs/dbraw/zinc/87/47/89/1073874789.db2.gz ZBKZJLFAABCMPB-SFHVURJKSA-N 0 0 444.598 -0.675 20 0 IBADRN CC(C)N1C(=O)CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1(C)C ZINC000805380997 1073874501 /nfs/dbraw/zinc/87/45/01/1073874501.db2.gz ADLMMGYTXMPQNX-UHFFFAOYSA-N 0 0 430.571 -0.531 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805381193 1073977498 /nfs/dbraw/zinc/97/74/98/1073977498.db2.gz FNTWCXFNZXRIID-CABCVRRESA-N 0 0 446.570 -0.405 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805381194 1073874474 /nfs/dbraw/zinc/87/44/74/1073874474.db2.gz FNTWCXFNZXRIID-GJZGRUSLSA-N 0 0 446.570 -0.405 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805381195 1073874522 /nfs/dbraw/zinc/87/45/22/1073874522.db2.gz FNTWCXFNZXRIID-HUUCEWRRSA-N 0 0 446.570 -0.405 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805381196 1073874712 /nfs/dbraw/zinc/87/47/12/1073874712.db2.gz FNTWCXFNZXRIID-LSDHHAIUSA-N 0 0 446.570 -0.405 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(c3ncccc3F)CC2)CC1 ZINC000805381219 1073977577 /nfs/dbraw/zinc/97/75/77/1073977577.db2.gz GPMLJNOEXAXWRN-UHFFFAOYSA-N 0 0 441.529 -0.506 20 0 IBADRN C[C@@H](N1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1)C(F)(F)F ZINC000805381748 1073977594 /nfs/dbraw/zinc/97/75/94/1073977594.db2.gz IYNMHTXLFGKIRN-CYBMUJFWSA-N 0 0 442.504 -0.293 20 0 IBADRN C[C@H](N1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1)C(F)(F)F ZINC000805381749 1073977665 /nfs/dbraw/zinc/97/76/65/1073977665.db2.gz IYNMHTXLFGKIRN-ZDUSSCGKSA-N 0 0 442.504 -0.293 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(CC(F)(F)F)CC2)CC1 ZINC000805381850 1073875272 /nfs/dbraw/zinc/87/52/72/1073875272.db2.gz VQSSVQNUKOGQIT-UHFFFAOYSA-N 0 0 428.477 -0.682 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)[C@@H](C)C1=O ZINC000805382034 1073875105 /nfs/dbraw/zinc/87/51/05/1073875105.db2.gz LTVWJTOVXRBIMU-HNNXBMFYSA-N 0 0 430.571 -0.673 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)[C@H](C)C1=O ZINC000805382035 1073875304 /nfs/dbraw/zinc/87/53/04/1073875304.db2.gz LTVWJTOVXRBIMU-OAHLLOKOSA-N 0 0 430.571 -0.673 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)N(C)C(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805382078 1073874766 /nfs/dbraw/zinc/87/47/66/1073874766.db2.gz ZDVWGNWDVZHYGE-AWEZNQCLSA-N 0 0 448.586 -0.017 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)N(C)C(=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC000805382080 1073875069 /nfs/dbraw/zinc/87/50/69/1073875069.db2.gz ZDVWGNWDVZHYGE-CQSZACIVSA-N 0 0 448.586 -0.017 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(c3cccc(O)c3)CC2)CC1 ZINC000805382171 1073977517 /nfs/dbraw/zinc/97/75/17/1073977517.db2.gz ADGBENCBGGBINB-UHFFFAOYSA-N 0 0 438.550 -0.334 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCCN(CC(F)(F)F)CC2)CC1 ZINC000805382224 1073875245 /nfs/dbraw/zinc/87/52/45/1073875245.db2.gz QASWQVPUMSFHPJ-UHFFFAOYSA-N 0 0 442.504 -0.292 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CCO1 ZINC000805382250 1073875378 /nfs/dbraw/zinc/87/53/78/1073875378.db2.gz BHDYATHFUOCZJL-HNNXBMFYSA-N 0 0 447.554 -0.819 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CCO1 ZINC000805382253 1073875040 /nfs/dbraw/zinc/87/50/40/1073875040.db2.gz BHDYATHFUOCZJL-OAHLLOKOSA-N 0 0 447.554 -0.819 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(c3ccc(F)cn3)CC2)CC1 ZINC000805382255 1073875346 /nfs/dbraw/zinc/87/53/46/1073875346.db2.gz BKSYXPOFNAXGAT-UHFFFAOYSA-N 0 0 441.529 -0.506 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000805382373 1073874546 /nfs/dbraw/zinc/87/45/46/1073874546.db2.gz PCZRWCDUKFVLHX-MSOLQXFVSA-N 0 0 444.598 -0.629 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000805382374 1073874780 /nfs/dbraw/zinc/87/47/80/1073874780.db2.gz PCZRWCDUKFVLHX-QZTJIDSGSA-N 0 0 444.598 -0.629 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)CC1 ZINC000805382375 1073874724 /nfs/dbraw/zinc/87/47/24/1073874724.db2.gz PCZRWCDUKFVLHX-ROUUACIJSA-N 0 0 444.598 -0.629 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)CC1 ZINC000805382376 1073874585 /nfs/dbraw/zinc/87/45/85/1073874585.db2.gz PCZRWCDUKFVLHX-ZWKOTPCHSA-N 0 0 444.598 -0.629 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N2CCN(c3ccccc3)C(=O)C2)CC1 ZINC000805382411 1073875411 /nfs/dbraw/zinc/87/54/11/1073875411.db2.gz QMMQQBCQNWJEKA-UHFFFAOYSA-N 0 0 436.534 -0.513 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805382600 1073871956 /nfs/dbraw/zinc/87/19/56/1073871956.db2.gz GSJORKJUOLTCJD-AWEZNQCLSA-N 0 0 431.555 -0.198 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC000805382601 1073871820 /nfs/dbraw/zinc/87/18/20/1073871820.db2.gz GSJORKJUOLTCJD-CQSZACIVSA-N 0 0 431.555 -0.198 20 0 IBADRN Cc1csc(N2CCN(C(=O)C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2)n1 ZINC000805383266 1073978154 /nfs/dbraw/zinc/97/81/54/1073978154.db2.gz UPPVUDXICLZDKT-UHFFFAOYSA-N 0 0 443.595 -0.275 20 0 IBADRN CCOC(=O)C[C@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)=O ZINC000805388434 1073871890 /nfs/dbraw/zinc/87/18/90/1073871890.db2.gz CUOAPHAVFKUUOA-HNNXBMFYSA-N 0 0 426.447 -0.125 20 0 IBADRN CCOC(=O)C[C@@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(C)=O ZINC000805388436 1073871905 /nfs/dbraw/zinc/87/19/05/1073871905.db2.gz CUOAPHAVFKUUOA-OAHLLOKOSA-N 0 0 426.447 -0.125 20 0 IBADRN CCOC(=O)C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(C)=O ZINC000805391987 1073871727 /nfs/dbraw/zinc/87/17/27/1073871727.db2.gz OKOJSBHGFOPYLW-HNNXBMFYSA-N 0 0 426.447 -0.125 20 0 IBADRN CCOC(=O)C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(C)=O ZINC000805391993 1073872021 /nfs/dbraw/zinc/87/20/21/1073872021.db2.gz OKOJSBHGFOPYLW-OAHLLOKOSA-N 0 0 426.447 -0.125 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)OCC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)n2)cc1 ZINC000805402154 1073871921 /nfs/dbraw/zinc/87/19/21/1073871921.db2.gz CIBUVNVDVZPGFL-CYBMUJFWSA-N 0 0 436.450 -0.795 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)OCC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)n2)cc1 ZINC000805402155 1073871806 /nfs/dbraw/zinc/87/18/06/1073871806.db2.gz CIBUVNVDVZPGFL-ZDUSSCGKSA-N 0 0 436.450 -0.795 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)n2)cc1 ZINC000805403497 1073872268 /nfs/dbraw/zinc/87/22/68/1073872268.db2.gz NCFMMIYCSVIPIF-UHFFFAOYSA-N 0 0 429.481 -0.576 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCC[S@](=O)Cc3ccccc3)CC2)NC(=O)NC1=O ZINC000805404641 1073986256 /nfs/dbraw/zinc/98/62/56/1073986256.db2.gz ABUIFGPIQYTKGK-KEEVHDRGSA-N 0 0 434.518 -0.112 20 0 IBADRN C[C@]1(C2CCN(C(=O)C(=O)NCC[S@@](=O)Cc3ccccc3)CC2)NC(=O)NC1=O ZINC000805404642 1073872475 /nfs/dbraw/zinc/87/24/75/1073872475.db2.gz ABUIFGPIQYTKGK-PRAQEBQASA-N 0 0 434.518 -0.112 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCC[S@@](=O)Cc3ccccc3)CC2)NC(=O)NC1=O ZINC000805404643 1073872467 /nfs/dbraw/zinc/87/24/67/1073872467.db2.gz ABUIFGPIQYTKGK-WENCNXQZSA-N 0 0 434.518 -0.112 20 0 IBADRN C[C@@]1(C2CCN(C(=O)C(=O)NCC[S@](=O)Cc3ccccc3)CC2)NC(=O)NC1=O ZINC000805404644 1073872255 /nfs/dbraw/zinc/87/22/55/1073872255.db2.gz ABUIFGPIQYTKGK-WRGVRERRSA-N 0 0 434.518 -0.112 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCC[S@](=O)Cc3ccccc3)CC2)c1=O ZINC000805407699 1073986866 /nfs/dbraw/zinc/98/68/66/1073986866.db2.gz FVQWDCGYUUKJEZ-PMERELPUSA-N 0 0 431.518 -0.506 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCC[S@@](=O)Cc3ccccc3)CC2)c1=O ZINC000805407700 1073986877 /nfs/dbraw/zinc/98/68/77/1073986877.db2.gz FVQWDCGYUUKJEZ-SSEXGKCCSA-N 0 0 431.518 -0.506 20 0 IBADRN O=C(NCC[S@](=O)Cc1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000805407852 1073875093 /nfs/dbraw/zinc/87/50/93/1073875093.db2.gz HOTLVTJJFAIDAR-PMERELPUSA-N 0 0 434.518 -0.999 20 0 IBADRN O=C(NCC[S@@](=O)Cc1ccccc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000805407859 1073875330 /nfs/dbraw/zinc/87/53/30/1073875330.db2.gz HOTLVTJJFAIDAR-SSEXGKCCSA-N 0 0 434.518 -0.999 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCC[S@](=O)Cc3ccccc3)CC2)n1 ZINC000805408993 1073987235 /nfs/dbraw/zinc/98/72/35/1073987235.db2.gz KIDMZVPABNLHCR-HKBQPEDESA-N 0 0 449.533 -0.075 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NCC[S@@](=O)Cc3ccccc3)CC2)n1 ZINC000805408994 1073987431 /nfs/dbraw/zinc/98/74/31/1073987431.db2.gz KIDMZVPABNLHCR-WJOKGBTCSA-N 0 0 449.533 -0.075 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC[S@](=O)Cc2ccccc2)CC1)N1CCCC1 ZINC000805410521 1073987435 /nfs/dbraw/zinc/98/74/35/1073987435.db2.gz KIURSJKAZXIABX-PMERELPUSA-N 0 0 434.562 -0.182 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCC[S@@](=O)Cc2ccccc2)CC1)N1CCCC1 ZINC000805410522 1073987364 /nfs/dbraw/zinc/98/73/64/1073987364.db2.gz KIURSJKAZXIABX-SSEXGKCCSA-N 0 0 434.562 -0.182 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCC[S@](=O)Cc2ccccc2)CC1 ZINC000805411636 1073875429 /nfs/dbraw/zinc/87/54/29/1073875429.db2.gz WSNHQSTYQQUUEC-HKBQPEDESA-N 0 0 443.529 -0.106 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)C(=O)NCC[S@@](=O)Cc2ccccc2)CC1 ZINC000805411639 1073875367 /nfs/dbraw/zinc/87/53/67/1073875367.db2.gz WSNHQSTYQQUUEC-WJOKGBTCSA-N 0 0 443.529 -0.106 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC[S@@](=O)Cc2ccccc2)CC1 ZINC000805413217 1073875159 /nfs/dbraw/zinc/87/51/59/1073875159.db2.gz QFDABYGMEASCNF-BEIWTESXSA-N 0 0 434.562 -0.137 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC[S@](=O)Cc2ccccc2)CC1 ZINC000805413218 1073875197 /nfs/dbraw/zinc/87/51/97/1073875197.db2.gz QFDABYGMEASCNF-DFYVNMARSA-N 0 0 434.562 -0.137 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC[S@](=O)Cc2ccccc2)CC1 ZINC000805413219 1073875226 /nfs/dbraw/zinc/87/52/26/1073875226.db2.gz QFDABYGMEASCNF-JTBPQFFMSA-N 0 0 434.562 -0.137 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)NCC[S@@](=O)Cc2ccccc2)CC1 ZINC000805413220 1073875399 /nfs/dbraw/zinc/87/53/99/1073875399.db2.gz QFDABYGMEASCNF-XHDOVSQSSA-N 0 0 434.562 -0.137 20 0 IBADRN CCCNC(=O)OC[C@H]1CCCCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000805413473 1073876149 /nfs/dbraw/zinc/87/61/49/1073876149.db2.gz WFSUTWXEFSBXIB-GFCCVEGCSA-N 0 0 429.499 -0.091 20 0 IBADRN CCCNC(=O)OC[C@@H]1CCCCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000805413474 1073875929 /nfs/dbraw/zinc/87/59/29/1073875929.db2.gz WFSUTWXEFSBXIB-LBPRGKRZSA-N 0 0 429.499 -0.091 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCC[S@](=O)Cc3ccccc3)CC2)nc1 ZINC000805414174 1073875212 /nfs/dbraw/zinc/87/52/12/1073875212.db2.gz NRTMYYPMZNGVTH-HKBQPEDESA-N 0 0 443.529 -0.106 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)C(=O)NCC[S@@](=O)Cc3ccccc3)CC2)nc1 ZINC000805414175 1073875139 /nfs/dbraw/zinc/87/51/39/1073875139.db2.gz NRTMYYPMZNGVTH-WJOKGBTCSA-N 0 0 443.529 -0.106 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCC[S@@](=O)Cc2ccccc2)C1 ZINC000805414527 1073989067 /nfs/dbraw/zinc/98/90/67/1073989067.db2.gz RJPBFZJLMAYJJP-CHAGWJKLSA-N 0 0 429.564 -0.161 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)NCC[S@](=O)Cc2ccccc2)C1 ZINC000805414528 1073989094 /nfs/dbraw/zinc/98/90/94/1073989094.db2.gz RJPBFZJLMAYJJP-JWIGPWBQSA-N 0 0 429.564 -0.161 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCC[S@](=O)Cc2ccccc2)C1 ZINC000805414529 1073989022 /nfs/dbraw/zinc/98/90/22/1073989022.db2.gz RJPBFZJLMAYJJP-OQRWROFFSA-N 0 0 429.564 -0.161 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCC[S@@](=O)Cc2ccccc2)C1 ZINC000805414530 1073989037 /nfs/dbraw/zinc/98/90/37/1073989037.db2.gz RJPBFZJLMAYJJP-RKOGDMNLSA-N 0 0 429.564 -0.161 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC2CC(NS(C)(=O)=O)C2)CC1 ZINC000805461278 1073875746 /nfs/dbraw/zinc/87/57/46/1073875746.db2.gz ZPNMNZYBFLGQKH-UHFFFAOYSA-N 0 0 425.529 -0.782 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000805472475 1073875805 /nfs/dbraw/zinc/87/58/05/1073875805.db2.gz DSMLHKOWRAIVRR-KRWDZBQOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)C1 ZINC000805472476 1073875894 /nfs/dbraw/zinc/87/58/94/1073875894.db2.gz DSMLHKOWRAIVRR-QGZVFWFLSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000805473059 1073875599 /nfs/dbraw/zinc/87/55/99/1073875599.db2.gz CVMYXKNZRGMPLE-INIZCTEOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC000805473144 1074001347 /nfs/dbraw/zinc/00/13/47/1074001347.db2.gz RPXPZZDDDGUHRV-FOIQADDNSA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC000805473145 1073875678 /nfs/dbraw/zinc/87/56/78/1073875678.db2.gz RPXPZZDDDGUHRV-MGPUTAFESA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC000805473146 1073875552 /nfs/dbraw/zinc/87/55/52/1073875552.db2.gz RPXPZZDDDGUHRV-QRWLVFNGSA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC000805473147 1073876051 /nfs/dbraw/zinc/87/60/51/1073876051.db2.gz RPXPZZDDDGUHRV-YWZLYKJASA-N 0 0 434.449 -0.243 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC000805473204 1074001244 /nfs/dbraw/zinc/00/12/44/1074001244.db2.gz CVMYXKNZRGMPLE-MRXNPFEDSA-N 0 0 443.497 -0.078 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)[C@H]2CN(CC)CCO2)c(=O)[nH]c1=O ZINC000805474143 1073875964 /nfs/dbraw/zinc/87/59/64/1073875964.db2.gz UJCTUGFPXLLNND-CYBMUJFWSA-N 0 0 425.486 -0.052 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)[C@@H]2CN(CC)CCO2)c(=O)[nH]c1=O ZINC000805474144 1073876019 /nfs/dbraw/zinc/87/60/19/1073876019.db2.gz UJCTUGFPXLLNND-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C1 ZINC000805474493 1073875945 /nfs/dbraw/zinc/87/59/45/1073875945.db2.gz YRYQVBAJPFSRBG-INIZCTEOSA-N 0 0 430.461 -0.376 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C1 ZINC000805474494 1073875531 /nfs/dbraw/zinc/87/55/31/1073875531.db2.gz YRYQVBAJPFSRBG-MRXNPFEDSA-N 0 0 430.461 -0.376 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000805475888 1073876101 /nfs/dbraw/zinc/87/61/01/1073876101.db2.gz YVXKXHMEKFDWRU-MOPGFXCFSA-N 0 0 447.488 -0.239 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)C1 ZINC000805475892 1073875766 /nfs/dbraw/zinc/87/57/66/1073875766.db2.gz YVXKXHMEKFDWRU-OALUTQOASA-N 0 0 447.488 -0.239 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000805475894 1073876160 /nfs/dbraw/zinc/87/61/60/1073876160.db2.gz YVXKXHMEKFDWRU-RBUKOAKNSA-N 0 0 447.488 -0.239 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)C1 ZINC000805475896 1074002528 /nfs/dbraw/zinc/00/25/28/1074002528.db2.gz YVXKXHMEKFDWRU-RTBURBONSA-N 0 0 447.488 -0.239 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000805478515 1073875646 /nfs/dbraw/zinc/87/56/46/1073875646.db2.gz WANPBNMZCMHKJX-KRWDZBQOSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC000805478520 1073875848 /nfs/dbraw/zinc/87/58/48/1073875848.db2.gz WANPBNMZCMHKJX-QGZVFWFLSA-N 0 0 443.497 -0.078 20 0 IBADRN CCN1CCO[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000805478584 1074002794 /nfs/dbraw/zinc/00/27/94/1074002794.db2.gz GQLPHLBKPXXPGE-HNNXBMFYSA-N 0 0 444.510 -0.612 20 0 IBADRN CCN1CCO[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC000805478585 1074002914 /nfs/dbraw/zinc/00/29/14/1074002914.db2.gz GQLPHLBKPXXPGE-OAHLLOKOSA-N 0 0 444.510 -0.612 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C[C@@](O)(c2nccn2C)C(F)(F)F)C1 ZINC000805481921 1073876551 /nfs/dbraw/zinc/87/65/51/1073876551.db2.gz VQDFCBDMZBDYMN-BXUZGUMPSA-N 0 0 427.449 -0.053 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C[C@](O)(c2nccn2C)C(F)(F)F)C1 ZINC000805481925 1073876560 /nfs/dbraw/zinc/87/65/60/1073876560.db2.gz VQDFCBDMZBDYMN-RISCZKNCSA-N 0 0 427.449 -0.053 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000805482049 1074003326 /nfs/dbraw/zinc/00/33/26/1074003326.db2.gz AEDSPWSBBVMQJA-CHWSQXEVSA-N 0 0 436.531 -0.170 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000805482055 1074003221 /nfs/dbraw/zinc/00/32/21/1074003221.db2.gz AEDSPWSBBVMQJA-OLZOCXBDSA-N 0 0 436.531 -0.170 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)C1 ZINC000805482955 1073876486 /nfs/dbraw/zinc/87/64/86/1073876486.db2.gz MKGJWPBPLLJFTL-CYBMUJFWSA-N 0 0 439.469 -0.126 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N[C@@H]3CCCN(S(=O)(=O)N(C)C)C3)cc2S1(=O)=O ZINC000805484363 1073876383 /nfs/dbraw/zinc/87/63/83/1073876383.db2.gz GWJIGLYGNIULGP-CYBMUJFWSA-N 0 0 444.535 -0.148 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)C1 ZINC000805484391 1073876523 /nfs/dbraw/zinc/87/65/23/1073876523.db2.gz HOJLBXRZTUCANZ-CJNGLKHVSA-N 0 0 440.501 -0.367 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)C1 ZINC000805484392 1073876440 /nfs/dbraw/zinc/87/64/40/1073876440.db2.gz HOJLBXRZTUCANZ-CZUORRHYSA-N 0 0 440.501 -0.367 20 0 IBADRN CCOC(Cn1ncc(C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1N)OCC ZINC000805485814 1074003762 /nfs/dbraw/zinc/00/37/62/1074003762.db2.gz BSFZIAMWQRNLCG-CYBMUJFWSA-N 0 0 432.547 -0.135 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000805487498 1073876404 /nfs/dbraw/zinc/87/64/04/1073876404.db2.gz QSXOVUJEHQHLSB-CYBMUJFWSA-N 0 0 443.551 -0.546 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCC=CO3)CC2)C[C@@H](C)O1 ZINC000805513716 1073876447 /nfs/dbraw/zinc/87/64/47/1073876447.db2.gz VYEUOZIMXWCFSQ-BZUAXINKSA-N 0 0 430.527 -0.707 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCC=CO3)CC2)C[C@@H](C)O1 ZINC000805513717 1073876516 /nfs/dbraw/zinc/87/65/16/1073876516.db2.gz VYEUOZIMXWCFSQ-OAGGEKHMSA-N 0 0 430.527 -0.707 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCC=CO3)CC2)C[C@H](C)O1 ZINC000805513718 1073876431 /nfs/dbraw/zinc/87/64/31/1073876431.db2.gz VYEUOZIMXWCFSQ-OWCLPIDISA-N 0 0 430.527 -0.707 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCC=CO3)CC2)C[C@H](C)O1 ZINC000805513719 1073876421 /nfs/dbraw/zinc/87/64/21/1073876421.db2.gz VYEUOZIMXWCFSQ-XHSDSOJGSA-N 0 0 430.527 -0.707 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CCC=CO3)CC2)o1 ZINC000805515475 1073876359 /nfs/dbraw/zinc/87/63/59/1073876359.db2.gz KWLVMSHYULYYEA-GFCCVEGCSA-N 0 0 426.451 -0.980 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CCC=CO3)CC2)o1 ZINC000805515476 1073876999 /nfs/dbraw/zinc/87/69/99/1073876999.db2.gz KWLVMSHYULYYEA-LBPRGKRZSA-N 0 0 426.451 -0.980 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)Cn2nnc3ccccc3c2=O)CC1 ZINC000805519455 1074012484 /nfs/dbraw/zinc/01/24/84/1074012484.db2.gz CHHZVPKDPWQFKC-UHFFFAOYSA-N 0 0 444.492 -0.606 20 0 IBADRN NC(=O)CSc1ccccc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000805543663 1073877036 /nfs/dbraw/zinc/87/70/36/1073877036.db2.gz TZFITWVKAKAHMH-JTQLQIEISA-N 0 0 429.476 -0.566 20 0 IBADRN NC(=O)CSc1ccccc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000805543664 1073877050 /nfs/dbraw/zinc/87/70/50/1073877050.db2.gz TZFITWVKAKAHMH-SNVBAGLBSA-N 0 0 429.476 -0.566 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)c1n[nH]nc1C ZINC000805545712 1073876986 /nfs/dbraw/zinc/87/69/86/1073876986.db2.gz BBKKQPCMHRFQCP-UHFFFAOYSA-N 0 0 439.450 -0.062 20 0 IBADRN O=C(COC(=O)c1n[nH]nc1-c1ccccc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000805551885 1074017041 /nfs/dbraw/zinc/01/70/41/1074017041.db2.gz NUTNHMUSKLPZLB-HNNXBMFYSA-N 0 0 433.490 -0.040 20 0 IBADRN O=C(COC(=O)c1n[nH]nc1-c1ccccc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000805551886 1073877026 /nfs/dbraw/zinc/87/70/26/1073877026.db2.gz NUTNHMUSKLPZLB-OAHLLOKOSA-N 0 0 433.490 -0.040 20 0 IBADRN CC(C)(C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000805556467 1074017528 /nfs/dbraw/zinc/01/75/28/1074017528.db2.gz BXHQLIQVWNIBKD-CVEARBPZSA-N 0 0 432.539 -0.165 20 0 IBADRN CC(C)(C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000805556468 1073877366 /nfs/dbraw/zinc/87/73/66/1073877366.db2.gz BXHQLIQVWNIBKD-HOTGVXAUSA-N 0 0 432.539 -0.165 20 0 IBADRN CC(C)(C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000805556469 1073877431 /nfs/dbraw/zinc/87/74/31/1073877431.db2.gz BXHQLIQVWNIBKD-HZPDHXFCSA-N 0 0 432.539 -0.165 20 0 IBADRN CC(C)(C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC000805556470 1073877738 /nfs/dbraw/zinc/87/77/38/1073877738.db2.gz BXHQLIQVWNIBKD-JKSUJKDBSA-N 0 0 432.539 -0.165 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C(C)(C)N2CCOCC2)CC1 ZINC000805556888 1073877677 /nfs/dbraw/zinc/87/76/77/1073877677.db2.gz JGANICJUDKMWLJ-UHFFFAOYSA-N 0 0 431.497 -0.334 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000805561751 1074017808 /nfs/dbraw/zinc/01/78/08/1074017808.db2.gz JTADARWCAQQZRV-BZUAXINKSA-N 0 0 430.523 -0.017 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000805561752 1074017799 /nfs/dbraw/zinc/01/77/99/1074017799.db2.gz JTADARWCAQQZRV-OAGGEKHMSA-N 0 0 430.523 -0.017 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000805561753 1074017918 /nfs/dbraw/zinc/01/79/18/1074017918.db2.gz JTADARWCAQQZRV-OWCLPIDISA-N 0 0 430.523 -0.017 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000805561754 1074017876 /nfs/dbraw/zinc/01/78/76/1074017876.db2.gz JTADARWCAQQZRV-PMPSAXMXSA-N 0 0 430.523 -0.017 20 0 IBADRN C[C@H]1CCCN(C(=O)C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000805562178 1073877495 /nfs/dbraw/zinc/87/74/95/1073877495.db2.gz NLBYGQSVOURVSH-AWEZNQCLSA-N 0 0 429.481 -0.186 20 0 IBADRN C[C@@H]1CCCN(C(=O)C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000805562179 1073877534 /nfs/dbraw/zinc/87/75/34/1073877534.db2.gz NLBYGQSVOURVSH-CQSZACIVSA-N 0 0 429.481 -0.186 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CCCCC(N)=O)CC1 ZINC000805565395 1074017906 /nfs/dbraw/zinc/01/79/06/1074017906.db2.gz PSIZPVJBQGVAMP-UHFFFAOYSA-N 0 0 430.483 -0.287 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)n1C ZINC000805565630 1073877766 /nfs/dbraw/zinc/87/77/66/1073877766.db2.gz UAJHCDBYICAHIU-HNNXBMFYSA-N 0 0 437.545 -0.230 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)n1C ZINC000805565634 1073877516 /nfs/dbraw/zinc/87/75/16/1073877516.db2.gz UAJHCDBYICAHIU-OAHLLOKOSA-N 0 0 437.545 -0.230 20 0 IBADRN CC1CCN(C(=O)C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000805568201 1073877705 /nfs/dbraw/zinc/87/77/05/1073877705.db2.gz FIJWGIFKSBQAKH-UHFFFAOYSA-N 0 0 429.481 -0.186 20 0 IBADRN CC1CCN(C(=O)C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000805568378 1073877343 /nfs/dbraw/zinc/87/73/43/1073877343.db2.gz LEUWYYCAQQXXPW-CVEARBPZSA-N 0 0 430.523 -0.017 20 0 IBADRN CC1CCN(C(=O)C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000805568379 1073877632 /nfs/dbraw/zinc/87/76/32/1073877632.db2.gz LEUWYYCAQQXXPW-HOTGVXAUSA-N 0 0 430.523 -0.017 20 0 IBADRN CC1CCN(C(=O)C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000805568380 1073877557 /nfs/dbraw/zinc/87/75/57/1073877557.db2.gz LEUWYYCAQQXXPW-HZPDHXFCSA-N 0 0 430.523 -0.017 20 0 IBADRN CC1CCN(C(=O)C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000805568381 1073877802 /nfs/dbraw/zinc/87/78/02/1073877802.db2.gz LEUWYYCAQQXXPW-JKSUJKDBSA-N 0 0 430.523 -0.017 20 0 IBADRN CN(C)c1ncc(CNC(=O)C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)n1C ZINC000805568531 1073877642 /nfs/dbraw/zinc/87/76/42/1073877642.db2.gz SDAVGJYZRRFPPA-UHFFFAOYSA-N 0 0 429.481 -0.334 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)OCCOc1ccc(S(C)(=O)=O)cc1 ZINC000805570035 1074018229 /nfs/dbraw/zinc/01/82/29/1074018229.db2.gz DNMTYOSBUOCZKD-UHFFFAOYSA-N 0 0 430.460 -0.119 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccc(C(N)=O)c(Cl)n2)c(=O)n(C)c1=O ZINC000805573383 1073878099 /nfs/dbraw/zinc/87/80/99/1073878099.db2.gz RDIISELRJMTCMR-UHFFFAOYSA-N 0 0 437.840 -0.028 20 0 IBADRN C[C@](O)(C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@@](C)(O)C2CC2)CC1)C1CC1 ZINC000805576407 1073878039 /nfs/dbraw/zinc/87/80/39/1073878039.db2.gz QLPUYCPCANWMHG-BGYRXZFFSA-N 0 0 426.466 -0.934 20 0 IBADRN C[C@@](O)(C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@@](C)(O)C2CC2)CC1)C1CC1 ZINC000805576409 1073878151 /nfs/dbraw/zinc/87/81/51/1073878151.db2.gz QLPUYCPCANWMHG-PMACEKPBSA-N 0 0 426.466 -0.934 20 0 IBADRN C[C@](O)(C(=O)OCC(=O)N1CCN(C(=O)COC(=O)[C@](C)(O)C2CC2)CC1)C1CC1 ZINC000805576410 1073878177 /nfs/dbraw/zinc/87/81/77/1073878177.db2.gz QLPUYCPCANWMHG-WOJBJXKFSA-N 0 0 426.466 -0.934 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)OCC(=O)NCCCN2CCCC2=O)c1=O ZINC000805598344 1073878160 /nfs/dbraw/zinc/87/81/60/1073878160.db2.gz HTFSGKCGJBXGPT-UHFFFAOYSA-N 0 0 430.461 -0.145 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(N)c1F ZINC000805598861 1073878082 /nfs/dbraw/zinc/87/80/82/1073878082.db2.gz SQDFGGVMCKKHTB-GFCCVEGCSA-N 0 0 429.470 -0.095 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(N)c1F ZINC000805598862 1073878119 /nfs/dbraw/zinc/87/81/19/1073878119.db2.gz SQDFGGVMCKKHTB-LBPRGKRZSA-N 0 0 429.470 -0.095 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cn[nH]n3)CC2)cc1OC ZINC000805603099 1073877380 /nfs/dbraw/zinc/87/73/80/1073877380.db2.gz GXDDQWUXIZIDQP-UHFFFAOYSA-N 0 0 439.450 -0.488 20 0 IBADRN COc1ccc(NC(=O)COC(=O)c2cn[nH]n2)cc1S(=O)(=O)N1CCOCC1 ZINC000805604938 1074033567 /nfs/dbraw/zinc/03/35/67/1074033567.db2.gz SCIOFWPFHVFHSU-UHFFFAOYSA-N 0 0 425.423 -0.370 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)c1cn[nH]n1 ZINC000805605057 1073877292 /nfs/dbraw/zinc/87/72/92/1073877292.db2.gz VEVNSELXJBWJIH-UHFFFAOYSA-N 0 0 425.423 -0.370 20 0 IBADRN O=C(COC(=O)c1cn[nH]n1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000805605372 1073877586 /nfs/dbraw/zinc/87/75/86/1073877586.db2.gz LAMSBGXZHRVGBZ-UHFFFAOYSA-N 0 0 437.434 -0.734 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cn[nH]n3)CC2)c1 ZINC000805605475 1073877448 /nfs/dbraw/zinc/87/74/48/1073877448.db2.gz IRDZHASKOONGDD-UHFFFAOYSA-N 0 0 439.450 -0.488 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000805605506 1074033545 /nfs/dbraw/zinc/03/35/45/1074033545.db2.gz NBUIISYQMYYFFD-MUUNZHRXSA-N 0 0 425.536 -0.715 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000805605507 1074033520 /nfs/dbraw/zinc/03/35/20/1074033520.db2.gz NBUIISYQMYYFFD-NDEPHWFRSA-N 0 0 425.536 -0.715 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000805606569 1074034118 /nfs/dbraw/zinc/03/41/18/1074034118.db2.gz ZUGMGTZSCCJDFF-HHHXNRCGSA-N 0 0 426.520 -0.836 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000805606570 1074034143 /nfs/dbraw/zinc/03/41/43/1074034143.db2.gz ZUGMGTZSCCJDFF-MHZLTWQESA-N 0 0 426.520 -0.836 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000805609346 1073878539 /nfs/dbraw/zinc/87/85/39/1073878539.db2.gz OUGJLZPRWVEJAU-HHHXNRCGSA-N 0 0 436.600 -0.475 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000805609351 1073878739 /nfs/dbraw/zinc/87/87/39/1073878739.db2.gz OUGJLZPRWVEJAU-MHZLTWQESA-N 0 0 436.600 -0.475 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000805609409 1073878553 /nfs/dbraw/zinc/87/85/53/1073878553.db2.gz QXHMPQUKYJTHLP-MUUNZHRXSA-N 0 0 441.535 -0.719 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000805609417 1073878779 /nfs/dbraw/zinc/87/87/79/1073878779.db2.gz QXHMPQUKYJTHLP-NDEPHWFRSA-N 0 0 441.535 -0.719 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000805614008 1073878064 /nfs/dbraw/zinc/87/80/64/1073878064.db2.gz JBQSGPXHDOZCDL-HHHXNRCGSA-N 0 0 433.527 -0.067 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000805614009 1073878171 /nfs/dbraw/zinc/87/81/71/1073878171.db2.gz JBQSGPXHDOZCDL-MHZLTWQESA-N 0 0 433.527 -0.067 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000805617492 1073878145 /nfs/dbraw/zinc/87/81/45/1073878145.db2.gz XUBFGWCPTFNYKQ-HHHXNRCGSA-N 0 0 431.536 -0.587 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000805617496 1073878133 /nfs/dbraw/zinc/87/81/33/1073878133.db2.gz XUBFGWCPTFNYKQ-MHZLTWQESA-N 0 0 431.536 -0.587 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCN(c2ncc(Br)c(OC)n2)CC1 ZINC000805618340 1073878075 /nfs/dbraw/zinc/87/80/75/1073878075.db2.gz XCTOPSYZRPZNGM-AREMUKBSSA-N 0 0 448.343 -0.219 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCN(c2ncc(Br)c(OC)n2)CC1 ZINC000805618341 1073878105 /nfs/dbraw/zinc/87/81/05/1073878105.db2.gz XCTOPSYZRPZNGM-SANMLTNESA-N 0 0 448.343 -0.219 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000805618724 1073878734 /nfs/dbraw/zinc/87/87/34/1073878734.db2.gz LSUABQDEQQAKPU-PMERELPUSA-N 0 0 434.562 -0.005 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000805618725 1073878644 /nfs/dbraw/zinc/87/86/44/1073878644.db2.gz LSUABQDEQQAKPU-SSEXGKCCSA-N 0 0 434.562 -0.005 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000805618916 1074036657 /nfs/dbraw/zinc/03/66/57/1074036657.db2.gz QQNSQOXAMMHOPW-GDLZYMKVSA-N 0 0 426.514 -0.416 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000805618917 1074036602 /nfs/dbraw/zinc/03/66/02/1074036602.db2.gz QQNSQOXAMMHOPW-LJAQVGFWSA-N 0 0 426.514 -0.416 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000805619122 1074036511 /nfs/dbraw/zinc/03/65/11/1074036511.db2.gz WRUBNBMRVGARSI-ASHKIFAZSA-N 0 0 433.527 -0.020 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000805619123 1073878788 /nfs/dbraw/zinc/87/87/88/1073878788.db2.gz WRUBNBMRVGARSI-LOKFHWFJSA-N 0 0 433.527 -0.020 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000805619124 1073878443 /nfs/dbraw/zinc/87/84/43/1073878443.db2.gz WRUBNBMRVGARSI-PGGUUEOZSA-N 0 0 433.527 -0.020 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC000805619125 1073878746 /nfs/dbraw/zinc/87/87/46/1073878746.db2.gz WRUBNBMRVGARSI-PWFNWSNSSA-N 0 0 433.527 -0.020 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000805620730 1073878668 /nfs/dbraw/zinc/87/86/68/1073878668.db2.gz SWROMABIMGSIHX-MUUNZHRXSA-N 0 0 444.579 -0.876 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000805620749 1073878726 /nfs/dbraw/zinc/87/87/26/1073878726.db2.gz SWROMABIMGSIHX-NDEPHWFRSA-N 0 0 444.579 -0.876 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000805621115 1073878571 /nfs/dbraw/zinc/87/85/71/1073878571.db2.gz UEVOWKPNSVYFHB-DHMKHTPVSA-N 0 0 438.554 -0.717 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000805621123 1073878756 /nfs/dbraw/zinc/87/87/56/1073878756.db2.gz UEVOWKPNSVYFHB-FOGNVHKLSA-N 0 0 438.554 -0.717 20 0 IBADRN CC[S@@](=O)CCNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000805621126 1073878615 /nfs/dbraw/zinc/87/86/15/1073878615.db2.gz UEVOWKPNSVYFHB-INCQDFKNSA-N 0 0 438.554 -0.717 20 0 IBADRN CC[S@](=O)CCNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000805621129 1073878484 /nfs/dbraw/zinc/87/84/84/1073878484.db2.gz UEVOWKPNSVYFHB-JGVYIQDASA-N 0 0 438.554 -0.717 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N[C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000805638880 1073878402 /nfs/dbraw/zinc/87/84/02/1073878402.db2.gz TVZXLRQBXNXXQA-KRWDZBQOSA-N 0 0 436.534 -0.467 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000805638881 1073878680 /nfs/dbraw/zinc/87/86/80/1073878680.db2.gz TVZXLRQBXNXXQA-QGZVFWFLSA-N 0 0 436.534 -0.467 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C(=O)c2cccn2C)CC1 ZINC000805652819 1074042572 /nfs/dbraw/zinc/04/25/72/1074042572.db2.gz ILQPZBPKPJWMSH-UHFFFAOYSA-N 0 0 438.462 -0.111 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccccc2OCC(N)=O)CC1 ZINC000805674607 1073878462 /nfs/dbraw/zinc/87/84/62/1073878462.db2.gz CLPCUQLJFOMYQB-UHFFFAOYSA-N 0 0 434.493 -0.234 20 0 IBADRN CN1N=C(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CCC1=O ZINC000805675830 1074044072 /nfs/dbraw/zinc/04/40/72/1074044072.db2.gz VJWNGCHCEOKCFU-KRWDZBQOSA-N 0 0 444.444 -0.351 20 0 IBADRN CN1N=C(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CCC1=O ZINC000805675831 1073878699 /nfs/dbraw/zinc/87/86/99/1073878699.db2.gz VJWNGCHCEOKCFU-QGZVFWFLSA-N 0 0 444.444 -0.351 20 0 IBADRN Cc1cccc(C)c1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000805679188 1074044143 /nfs/dbraw/zinc/04/41/43/1074044143.db2.gz NJNXKGGJTUDXIL-UHFFFAOYSA-N 0 0 437.566 -0.444 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)NC[C@@H]1CCCO1 ZINC000805695249 1073879260 /nfs/dbraw/zinc/87/92/60/1073879260.db2.gz NUWSQGVJTIPRGB-KBPBESRZSA-N 0 0 429.495 -0.114 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)NC[C@@H]1CCCO1 ZINC000805695250 1073879171 /nfs/dbraw/zinc/87/91/71/1073879171.db2.gz NUWSQGVJTIPRGB-KGLIPLIRSA-N 0 0 429.495 -0.114 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)NC[C@H]1CCCO1 ZINC000805695251 1073879332 /nfs/dbraw/zinc/87/93/32/1073879332.db2.gz NUWSQGVJTIPRGB-UONOGXRCSA-N 0 0 429.495 -0.114 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)NC[C@H]1CCCO1 ZINC000805695252 1073879211 /nfs/dbraw/zinc/87/92/11/1073879211.db2.gz NUWSQGVJTIPRGB-ZIAGYGMSSA-N 0 0 429.495 -0.114 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)OC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000805695422 1073879143 /nfs/dbraw/zinc/87/91/43/1073879143.db2.gz VEJZSFTXYFITIA-HNNXBMFYSA-N 0 0 442.538 -0.245 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)OC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC000805695423 1073879236 /nfs/dbraw/zinc/87/92/36/1073879236.db2.gz VEJZSFTXYFITIA-OAHLLOKOSA-N 0 0 442.538 -0.245 20 0 IBADRN Cc1c(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cnn1C ZINC000805698902 1073879251 /nfs/dbraw/zinc/87/92/51/1073879251.db2.gz QIPJZMLRONSEKD-UHFFFAOYSA-N 0 0 430.490 -0.362 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)n1 ZINC000805702329 1073879122 /nfs/dbraw/zinc/87/91/22/1073879122.db2.gz MOBNUIMVRWVSFO-AWEZNQCLSA-N 0 0 436.513 -0.084 20 0 IBADRN Cn1ccc(CNC(=O)C(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)n1 ZINC000805702330 1073879321 /nfs/dbraw/zinc/87/93/21/1073879321.db2.gz MOBNUIMVRWVSFO-CQSZACIVSA-N 0 0 436.513 -0.084 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)N1CCN(CCC(=O)N(C)C)CC1 ZINC000805731532 1074048473 /nfs/dbraw/zinc/04/84/73/1074048473.db2.gz ALKBONZYTPCFSH-OAHLLOKOSA-N 0 0 427.546 -0.114 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)c1cnn(C)c1 ZINC000805731633 1073879099 /nfs/dbraw/zinc/87/90/99/1073879099.db2.gz GQLPMNKSEVFUEN-CYBMUJFWSA-N 0 0 430.490 -0.109 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)c1cnn(C)c1 ZINC000805731636 1073879192 /nfs/dbraw/zinc/87/91/92/1073879192.db2.gz GQLPMNKSEVFUEN-ZDUSSCGKSA-N 0 0 430.490 -0.109 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000805733622 1073879590 /nfs/dbraw/zinc/87/95/90/1073879590.db2.gz NYVCJCVDAOJUCQ-AWEZNQCLSA-N 0 0 425.530 -0.314 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000805733623 1073879694 /nfs/dbraw/zinc/87/96/94/1073879694.db2.gz NYVCJCVDAOJUCQ-CQSZACIVSA-N 0 0 425.530 -0.314 20 0 IBADRN O=C(COC(=O)Cc1cc(F)cc2c1OCOC2)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000805733791 1073879517 /nfs/dbraw/zinc/87/95/17/1073879517.db2.gz VQNJSZZJHVOFIN-CYBMUJFWSA-N 0 0 430.410 -0.209 20 0 IBADRN O=C(COC(=O)Cc1cc(F)cc2c1OCOC2)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000805733801 1073879868 /nfs/dbraw/zinc/87/98/68/1073879868.db2.gz VQNJSZZJHVOFIN-ZDUSSCGKSA-N 0 0 430.410 -0.209 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H](C)CNC(=O)OC(C)(C)C)CC1 ZINC000805733948 1073879662 /nfs/dbraw/zinc/87/96/62/1073879662.db2.gz QLQQUXVOWHXORV-HNNXBMFYSA-N 0 0 427.546 -0.068 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](C)CNC(=O)OC(C)(C)C)CC1 ZINC000805733949 1073879681 /nfs/dbraw/zinc/87/96/81/1073879681.db2.gz QLQQUXVOWHXORV-OAHLLOKOSA-N 0 0 427.546 -0.068 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000805735248 1073879932 /nfs/dbraw/zinc/87/99/32/1073879932.db2.gz CMIHVWRRCVARML-CYBMUJFWSA-N 0 0 439.513 -0.787 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000805735249 1073879740 /nfs/dbraw/zinc/87/97/40/1073879740.db2.gz CMIHVWRRCVARML-ZDUSSCGKSA-N 0 0 439.513 -0.787 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000805736740 1073879548 /nfs/dbraw/zinc/87/95/48/1073879548.db2.gz DENJZMAAKMXTNC-AWEZNQCLSA-N 0 0 436.513 -0.294 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000805736741 1073879490 /nfs/dbraw/zinc/87/94/90/1073879490.db2.gz DENJZMAAKMXTNC-CQSZACIVSA-N 0 0 436.513 -0.294 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3cccc(N4CCOCC4)n3)C[C@H]1COCC2 ZINC000805749645 1073879198 /nfs/dbraw/zinc/87/91/98/1073879198.db2.gz OVNFLSMOUBFQJJ-YCRPNKLZSA-N 0 0 432.477 -0.428 20 0 IBADRN CN1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000805755796 1074053427 /nfs/dbraw/zinc/05/34/27/1074053427.db2.gz KBSQWHVSGSPGBS-GDBMZVCRSA-N 0 0 437.541 -0.219 20 0 IBADRN CN1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000805755797 1074053457 /nfs/dbraw/zinc/05/34/57/1074053457.db2.gz KBSQWHVSGSPGBS-GOEBONIOSA-N 0 0 437.541 -0.219 20 0 IBADRN CN1CCN(C(=O)C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000805755798 1074053494 /nfs/dbraw/zinc/05/34/94/1074053494.db2.gz KBSQWHVSGSPGBS-HOCLYGCPSA-N 0 0 437.541 -0.219 20 0 IBADRN CN1CCN(C(=O)C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000805755799 1074053450 /nfs/dbraw/zinc/05/34/50/1074053450.db2.gz KBSQWHVSGSPGBS-ZBFHGGJFSA-N 0 0 437.541 -0.219 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCNC(=O)C2)C1 ZINC000805758984 1074053803 /nfs/dbraw/zinc/05/38/03/1074053803.db2.gz RISHYCSCNPHLHS-CYBMUJFWSA-N 0 0 428.467 -0.806 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCNC(=O)C2)C1 ZINC000805758985 1074053905 /nfs/dbraw/zinc/05/39/05/1074053905.db2.gz RISHYCSCNPHLHS-ZDUSSCGKSA-N 0 0 428.467 -0.806 20 0 IBADRN CCS(=O)(=O)N1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)[C@H](C)C1 ZINC000805773732 1073879638 /nfs/dbraw/zinc/87/96/38/1073879638.db2.gz ZCPMBSKJMWWVGF-TXKKKEFDSA-N 0 0 429.543 -0.126 20 0 IBADRN C[C@H]1CCN(CC(=O)N2CCN(CC(=O)N(C)C)CC2)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000805786618 1073879884 /nfs/dbraw/zinc/87/98/84/1073879884.db2.gz XVTMILVZACURPJ-ZQIUZPCESA-N 0 0 435.491 -0.004 20 0 IBADRN C[C@H]1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000805788783 1073879837 /nfs/dbraw/zinc/87/98/37/1073879837.db2.gz UDQFHVXJTOBVLO-KQXIARHKSA-N 0 0 442.460 -0.223 20 0 IBADRN C[C@H]1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000805788784 1073879770 /nfs/dbraw/zinc/87/97/70/1073879770.db2.gz UDQFHVXJTOBVLO-ZPFDUUQYSA-N 0 0 442.460 -0.223 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCn2cnc3ccccc3c2=O)CC1 ZINC000805799030 1073880191 /nfs/dbraw/zinc/88/01/91/1073880191.db2.gz BPOWJFIMKPDYTO-UHFFFAOYSA-N 0 0 429.477 -0.438 20 0 IBADRN Cc1cccc2c(=O)n(CCC(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)cnc12 ZINC000805814945 1073880247 /nfs/dbraw/zinc/88/02/47/1073880247.db2.gz IDMBWFJBWPPSKL-UHFFFAOYSA-N 0 0 443.504 -0.129 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000805819219 1074061271 /nfs/dbraw/zinc/06/12/71/1074061271.db2.gz OPKOYQBEMAUPTG-JLTOFOAXSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000805819224 1073880298 /nfs/dbraw/zinc/88/02/98/1073880298.db2.gz OPKOYQBEMAUPTG-VBKZILBWSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000805819228 1073880372 /nfs/dbraw/zinc/88/03/72/1073880372.db2.gz OPKOYQBEMAUPTG-VLIAUNLRSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000805819230 1073880160 /nfs/dbraw/zinc/88/01/60/1073880160.db2.gz OPKOYQBEMAUPTG-XOBRGWDASA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000805819255 1073880172 /nfs/dbraw/zinc/88/01/72/1073880172.db2.gz QQRNRURSCAUWAE-JLTOFOAXSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000805819256 1073880359 /nfs/dbraw/zinc/88/03/59/1073880359.db2.gz QQRNRURSCAUWAE-VBKZILBWSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@@H]1COCCN1CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000805819259 1073880385 /nfs/dbraw/zinc/88/03/85/1073880385.db2.gz QQRNRURSCAUWAE-VLIAUNLRSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)C[C@H]1COCCN1CC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000805819260 1073880232 /nfs/dbraw/zinc/88/02/32/1073880232.db2.gz QQRNRURSCAUWAE-XOBRGWDASA-N 0 0 434.449 -0.243 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CCC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000805824277 1074061676 /nfs/dbraw/zinc/06/16/76/1074061676.db2.gz NHXPUSXBIGMDCA-INIZCTEOSA-N 0 0 446.504 -0.034 20 0 IBADRN C[C@H]1Oc2ccccc2N(CCC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000805824278 1073880264 /nfs/dbraw/zinc/88/02/64/1073880264.db2.gz NHXPUSXBIGMDCA-MRXNPFEDSA-N 0 0 446.504 -0.034 20 0 IBADRN CC(=O)N[C@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000805829978 1073880132 /nfs/dbraw/zinc/88/01/32/1073880132.db2.gz SOKAECJVDCZACI-AEFFLSMTSA-N 0 0 429.539 -0.444 20 0 IBADRN CC(=O)N[C@@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000805829979 1073880181 /nfs/dbraw/zinc/88/01/81/1073880181.db2.gz SOKAECJVDCZACI-FUHWJXTLSA-N 0 0 429.539 -0.444 20 0 IBADRN CC(=O)N[C@@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000805829980 1073880346 /nfs/dbraw/zinc/88/03/46/1073880346.db2.gz SOKAECJVDCZACI-SJLPKXTDSA-N 0 0 429.539 -0.444 20 0 IBADRN CC(=O)N[C@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000805829981 1073880332 /nfs/dbraw/zinc/88/03/32/1073880332.db2.gz SOKAECJVDCZACI-WMZOPIPTSA-N 0 0 429.539 -0.444 20 0 IBADRN COC(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000805830702 1073880413 /nfs/dbraw/zinc/88/04/13/1073880413.db2.gz NMVLURLWXDQXLU-UHFFFAOYSA-N 0 0 433.531 -0.631 20 0 IBADRN COC(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000805830800 1073880211 /nfs/dbraw/zinc/88/02/11/1073880211.db2.gz PWGQZKUSHIDQSE-UHFFFAOYSA-N 0 0 446.574 -0.716 20 0 IBADRN COC(=O)N1CCC(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CC1 ZINC000805833336 1073879612 /nfs/dbraw/zinc/87/96/12/1073879612.db2.gz XKNFQGYLSNUDDQ-UHFFFAOYSA-N 0 0 425.486 -0.823 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000805833685 1073879710 /nfs/dbraw/zinc/87/97/10/1073879710.db2.gz BAWWKOKCCSYHKZ-HNNXBMFYSA-N 0 0 439.604 -0.286 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000805833689 1073879754 /nfs/dbraw/zinc/87/97/54/1073879754.db2.gz BAWWKOKCCSYHKZ-OAHLLOKOSA-N 0 0 439.604 -0.286 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)[nH]n1 ZINC000805846151 1073880847 /nfs/dbraw/zinc/88/08/47/1073880847.db2.gz CIHUMYIXSHPLCW-UHFFFAOYSA-N 0 0 428.497 -0.436 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)[nH]n1 ZINC000805846507 1073880813 /nfs/dbraw/zinc/88/08/13/1073880813.db2.gz NEVNZDCUEFRWDG-UHFFFAOYSA-N 0 0 430.490 -0.118 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)[nH]n1 ZINC000805848235 1074062706 /nfs/dbraw/zinc/06/27/06/1074062706.db2.gz KIFKDAZRVAMBOI-UHFFFAOYSA-N 0 0 445.505 -0.002 20 0 IBADRN COc1cc2ncn(CCCC(=O)OCC(=O)N3CCNC(=O)C3)c(=O)c2cc1OC ZINC000805851629 1073880762 /nfs/dbraw/zinc/88/07/62/1073880762.db2.gz MIPDRCHPMJLNOU-UHFFFAOYSA-N 0 0 432.433 -0.305 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)[nH]n1 ZINC000805854197 1074062845 /nfs/dbraw/zinc/06/28/45/1074062845.db2.gz UITQUPIPPCAHPC-UHFFFAOYSA-N 0 0 426.524 -0.114 20 0 IBADRN CCc1cc(CNC(=O)C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)[nH]n1 ZINC000805854507 1073880675 /nfs/dbraw/zinc/88/06/75/1073880675.db2.gz XBCUMZIREPZGDM-UHFFFAOYSA-N 0 0 448.549 -0.159 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)[nH]n1 ZINC000805854841 1073880991 /nfs/dbraw/zinc/88/09/91/1073880991.db2.gz ZGBCIYDMLUIVCP-KBPBESRZSA-N 0 0 442.542 -0.914 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)[nH]n1 ZINC000805854842 1073880937 /nfs/dbraw/zinc/88/09/37/1073880937.db2.gz ZGBCIYDMLUIVCP-OKILXGFUSA-N 0 0 442.542 -0.914 20 0 IBADRN CCc1cc(CNC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)[nH]n1 ZINC000805854843 1073880969 /nfs/dbraw/zinc/88/09/69/1073880969.db2.gz ZGBCIYDMLUIVCP-ZIAGYGMSSA-N 0 0 442.542 -0.914 20 0 IBADRN Cn1cnc2c1nccc2C(=O)OCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000805873345 1073880909 /nfs/dbraw/zinc/88/09/09/1073880909.db2.gz ZHYSDFJEIBFTSJ-UHFFFAOYSA-N 0 0 425.449 -0.323 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCOC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC000805878363 1073880631 /nfs/dbraw/zinc/88/06/31/1073880631.db2.gz JXUYQUJEIZEGOG-UHFFFAOYSA-N 0 0 433.552 -0.188 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cncn2)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000805931565 1073882445 /nfs/dbraw/zinc/88/24/45/1073882445.db2.gz MCASHVQBKUPOLA-KRWDZBQOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cncn2)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000805931568 1073882276 /nfs/dbraw/zinc/88/22/76/1073882276.db2.gz MCASHVQBKUPOLA-QGZVFWFLSA-N 0 0 433.490 -0.245 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)OCCn1cc(C(N)=O)nn1)n2C ZINC000805941186 1073880892 /nfs/dbraw/zinc/88/08/92/1073880892.db2.gz RFGPNZPUCXGXGQ-UHFFFAOYSA-N 0 0 449.493 -0.310 20 0 IBADRN NC(=O)c1cn(CCOC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)nn1 ZINC000805941200 1073881035 /nfs/dbraw/zinc/88/10/35/1073881035.db2.gz RVEKTWKVRIOZCP-UHFFFAOYSA-N 0 0 439.450 -0.550 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)OCCn2cc(C(N)=O)nn2)C1=O ZINC000805941202 1073881020 /nfs/dbraw/zinc/88/10/20/1073881020.db2.gz RYSQOOKHBJJHQN-IBGZPJMESA-N 0 0 430.421 -0.296 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)OCCn2cc(C(N)=O)nn2)C1=O ZINC000805941203 1073881460 /nfs/dbraw/zinc/88/14/60/1073881460.db2.gz RYSQOOKHBJJHQN-LJQANCHMSA-N 0 0 430.421 -0.296 20 0 IBADRN NC(=O)c1cn(CCOC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)nn1 ZINC000805942473 1074071026 /nfs/dbraw/zinc/07/10/26/1074071026.db2.gz CCVHBOWCAVSHOQ-UHFFFAOYSA-N 0 0 427.414 -0.606 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCn1cc(C(N)=O)nn1 ZINC000805943091 1073881269 /nfs/dbraw/zinc/88/12/69/1073881269.db2.gz MLBCFSMGNDWQQV-UHFFFAOYSA-N 0 0 439.450 -0.737 20 0 IBADRN NC(=O)c1cn(CCOC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)nn1 ZINC000805943098 1073881473 /nfs/dbraw/zinc/88/14/73/1073881473.db2.gz MWKLSACECLNANA-CDVVCGDMSA-N 0 0 426.433 -0.277 20 0 IBADRN NC(=O)c1cn(CCOC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)nn1 ZINC000805943099 1073881310 /nfs/dbraw/zinc/88/13/10/1073881310.db2.gz MWKLSACECLNANA-KQQSKNTNSA-N 0 0 426.433 -0.277 20 0 IBADRN NC(=O)c1cn(CCOC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)nn1 ZINC000805943100 1073881529 /nfs/dbraw/zinc/88/15/29/1073881529.db2.gz MWKLSACECLNANA-SBSDFTTDSA-N 0 0 426.433 -0.277 20 0 IBADRN NC(=O)c1cn(CCOC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)nn1 ZINC000805943101 1074071075 /nfs/dbraw/zinc/07/10/75/1074071075.db2.gz MWKLSACECLNANA-WHWKNOJMSA-N 0 0 426.433 -0.277 20 0 IBADRN NC(=O)c1cn(CCOC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)nn1 ZINC000805943249 1073881546 /nfs/dbraw/zinc/88/15/46/1073881546.db2.gz QCCBCSYJYDRPOQ-GFCCVEGCSA-N 0 0 449.445 -0.443 20 0 IBADRN NC(=O)c1cn(CCOC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)nn1 ZINC000805943250 1073881441 /nfs/dbraw/zinc/88/14/41/1073881441.db2.gz QCCBCSYJYDRPOQ-LBPRGKRZSA-N 0 0 449.445 -0.443 20 0 IBADRN NC(=O)c1cn(CCOC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)nn1 ZINC000805943267 1073881255 /nfs/dbraw/zinc/88/12/55/1073881255.db2.gz RFUGKJHFSBBCAG-UHFFFAOYSA-N 0 0 443.869 -0.092 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)OCCn2cc(C(N)=O)nn2)C1 ZINC000805943406 1073881389 /nfs/dbraw/zinc/88/13/89/1073881389.db2.gz XGFLGGLOMRYFQC-GFCCVEGCSA-N 0 0 426.455 -0.374 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)OCCn2cc(C(N)=O)nn2)C1 ZINC000805943407 1073881373 /nfs/dbraw/zinc/88/13/73/1073881373.db2.gz XGFLGGLOMRYFQC-LBPRGKRZSA-N 0 0 426.455 -0.374 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)OCCn2cc(C(N)=O)nn2)c1 ZINC000805943556 1073881333 /nfs/dbraw/zinc/88/13/33/1073881333.db2.gz CBSTYTVBQZCJAI-UHFFFAOYSA-N 0 0 431.858 -0.188 20 0 IBADRN NC(=O)c1cn(CCOC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)nn1 ZINC000805944463 1073881356 /nfs/dbraw/zinc/88/13/56/1073881356.db2.gz LUQUAYGGJSRBEW-UHFFFAOYSA-N 0 0 432.462 -0.219 20 0 IBADRN CCc1ccc(C(=O)OCCn2cc(C(N)=O)nn2)cc1S(=O)(=O)N1CCOCC1 ZINC000805945088 1074071087 /nfs/dbraw/zinc/07/10/87/1074071087.db2.gz FMGMOBZODUHZBD-UHFFFAOYSA-N 0 0 437.478 -0.183 20 0 IBADRN NC(=O)c1cn(CCOC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1 ZINC000805945758 1073881410 /nfs/dbraw/zinc/88/14/10/1073881410.db2.gz HCQHQLMTBJETEO-UHFFFAOYSA-N 0 0 437.478 -0.426 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)OCCn3cc(C(N)=O)nn3)CC2)cc1 ZINC000805945773 1073881487 /nfs/dbraw/zinc/88/14/87/1073881487.db2.gz HRUKMKTUSUPHBO-UHFFFAOYSA-N 0 0 436.494 -0.765 20 0 IBADRN COc1cc(N2C[C@H](C(=O)OCCn3cc(C(N)=O)nn3)CC2=O)cc(OC)c1OC ZINC000805945776 1073881518 /nfs/dbraw/zinc/88/15/18/1073881518.db2.gz HSPBIXPTTVHDBH-LLVKDONJSA-N 0 0 433.421 -0.001 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)OCCn3cc(C(N)=O)nn3)CC2=O)cc(OC)c1OC ZINC000805945777 1073881235 /nfs/dbraw/zinc/88/12/35/1073881235.db2.gz HSPBIXPTTVHDBH-NSHDSACASA-N 0 0 433.421 -0.001 20 0 IBADRN NC(=O)c1cn(CCOC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)nn1 ZINC000805946044 1073881951 /nfs/dbraw/zinc/88/19/51/1073881951.db2.gz RUACHLZXTZSMJU-UHFFFAOYSA-N 0 0 439.450 -0.550 20 0 IBADRN COc1ccc(C(=O)OCCn2cc(C(N)=O)nn2)cc1S(=O)(=O)N1CCOCC1 ZINC000805946214 1073881424 /nfs/dbraw/zinc/88/14/24/1073881424.db2.gz ZKDDLRAYMGCLCV-UHFFFAOYSA-N 0 0 439.450 -0.737 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)NCCN1CCOCC1 ZINC000805967853 1073882458 /nfs/dbraw/zinc/88/24/58/1073882458.db2.gz NJMTZFYFVCZDRA-KRWDZBQOSA-N 0 0 444.488 -0.562 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)NCCN1CCOCC1 ZINC000805967857 1073882416 /nfs/dbraw/zinc/88/24/16/1073882416.db2.gz NJMTZFYFVCZDRA-QGZVFWFLSA-N 0 0 444.488 -0.562 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805967879 1073882434 /nfs/dbraw/zinc/88/24/34/1073882434.db2.gz NNERIVHCPPITRL-HNNXBMFYSA-N 0 0 427.523 -0.093 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)O[C@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805967881 1073882184 /nfs/dbraw/zinc/88/21/84/1073882184.db2.gz NNERIVHCPPITRL-OAHLLOKOSA-N 0 0 427.523 -0.093 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)C(=O)NCCN1CCOCC1 ZINC000805967898 1073882325 /nfs/dbraw/zinc/88/23/25/1073882325.db2.gz NQTMHKYVXGGLJV-IVZQSRNASA-N 0 0 444.488 -0.240 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)C(=O)NCCN1CCOCC1 ZINC000805967903 1073882262 /nfs/dbraw/zinc/88/22/62/1073882262.db2.gz NQTMHKYVXGGLJV-NYHFZMIOSA-N 0 0 444.488 -0.240 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)C(=O)NCCN1CCOCC1 ZINC000805967904 1073882401 /nfs/dbraw/zinc/88/24/01/1073882401.db2.gz NQTMHKYVXGGLJV-OYHNWAKOSA-N 0 0 444.488 -0.240 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)C(=O)NCCN1CCOCC1 ZINC000805967907 1073882206 /nfs/dbraw/zinc/88/22/06/1073882206.db2.gz NQTMHKYVXGGLJV-QRQCRPRQSA-N 0 0 444.488 -0.240 20 0 IBADRN COc1ccc(C(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000805969174 1073882886 /nfs/dbraw/zinc/88/28/86/1073882886.db2.gz LIKIEUAZCIZFKR-AWEZNQCLSA-N 0 0 443.522 -0.061 20 0 IBADRN COc1ccc(C(=O)O[C@H](C)C(=O)NCCN2CCOCC2)cc1S(=O)(=O)N(C)C ZINC000805969175 1073882873 /nfs/dbraw/zinc/88/28/73/1073882873.db2.gz LIKIEUAZCIZFKR-CQSZACIVSA-N 0 0 443.522 -0.061 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)c1 ZINC000805969398 1073882810 /nfs/dbraw/zinc/88/28/10/1073882810.db2.gz MOOMRBGQOSJRRJ-AWEZNQCLSA-N 0 0 429.495 -0.138 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)NCCN2CCOCC2)c1 ZINC000805969400 1073882842 /nfs/dbraw/zinc/88/28/42/1073882842.db2.gz MOOMRBGQOSJRRJ-CQSZACIVSA-N 0 0 429.495 -0.138 20 0 IBADRN C[C@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)NCCN1CCOCC1 ZINC000805969674 1073882852 /nfs/dbraw/zinc/88/28/52/1073882852.db2.gz PIBRJTWEJDVKDK-KRWDZBQOSA-N 0 0 448.524 -0.715 20 0 IBADRN C[C@@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)NCCN1CCOCC1 ZINC000805969675 1073882729 /nfs/dbraw/zinc/88/27/29/1073882729.db2.gz PIBRJTWEJDVKDK-QGZVFWFLSA-N 0 0 448.524 -0.715 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)O[C@@H](C)C(=O)NCCN3CCOCC3)ccc21 ZINC000805970594 1073882675 /nfs/dbraw/zinc/88/26/75/1073882675.db2.gz HYVAPYXKQFAXEN-HNNXBMFYSA-N 0 0 446.504 -0.295 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)O[C@H](C)C(=O)NCCN3CCOCC3)ccc21 ZINC000805970595 1073882651 /nfs/dbraw/zinc/88/26/51/1073882651.db2.gz HYVAPYXKQFAXEN-OAHLLOKOSA-N 0 0 446.504 -0.295 20 0 IBADRN C[C@@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1)C(=O)NCCN1CCOCC1 ZINC000805970844 1073882694 /nfs/dbraw/zinc/88/26/94/1073882694.db2.gz KPQBFKRGCOSOBU-GFCCVEGCSA-N 0 0 431.449 -0.174 20 0 IBADRN C[C@H](OC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1)C(=O)NCCN1CCOCC1 ZINC000805970846 1073882823 /nfs/dbraw/zinc/88/28/23/1073882823.db2.gz KPQBFKRGCOSOBU-LBPRGKRZSA-N 0 0 431.449 -0.174 20 0 IBADRN C[C@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000805970974 1074072820 /nfs/dbraw/zinc/07/28/20/1074072820.db2.gz LPPWRRAXBYDDJX-HNNXBMFYSA-N 0 0 427.523 -0.228 20 0 IBADRN C[C@@H](OC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)NCCN1CCOCC1 ZINC000805970975 1074072857 /nfs/dbraw/zinc/07/28/57/1074072857.db2.gz LPPWRRAXBYDDJX-OAHLLOKOSA-N 0 0 427.523 -0.228 20 0 IBADRN CCc1c(C(=O)O[C@H](C)C(=O)NCCN2CCOCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000805971114 1073883258 /nfs/dbraw/zinc/88/32/58/1073883258.db2.gz FVYPHDOJFCAFOZ-CYBMUJFWSA-N 0 0 447.492 -0.812 20 0 IBADRN CCc1c(C(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000805971115 1073883243 /nfs/dbraw/zinc/88/32/43/1073883243.db2.gz FVYPHDOJFCAFOZ-ZDUSSCGKSA-N 0 0 447.492 -0.812 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)C(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805971501 1073882927 /nfs/dbraw/zinc/88/29/27/1073882927.db2.gz QPISYFAINHUVJX-CABCVRRESA-N 0 0 443.522 -0.258 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805971504 1073882897 /nfs/dbraw/zinc/88/28/97/1073882897.db2.gz QPISYFAINHUVJX-GJZGRUSLSA-N 0 0 443.522 -0.258 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805971505 1073882794 /nfs/dbraw/zinc/88/27/94/1073882794.db2.gz QPISYFAINHUVJX-HUUCEWRRSA-N 0 0 443.522 -0.258 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)O[C@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805971506 1073883162 /nfs/dbraw/zinc/88/31/62/1073883162.db2.gz QPISYFAINHUVJX-LSDHHAIUSA-N 0 0 443.522 -0.258 20 0 IBADRN C[C@H](OC(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1)C(=O)NCCN1CCOCC1 ZINC000805971753 1073882832 /nfs/dbraw/zinc/88/28/32/1073882832.db2.gz MOXZTLHOFZESDQ-HNNXBMFYSA-N 0 0 427.523 -0.141 20 0 IBADRN C[C@@H](OC(=O)Cc1ccc(S(=O)(=O)N(C)C)cc1)C(=O)NCCN1CCOCC1 ZINC000805971754 1073882776 /nfs/dbraw/zinc/88/27/76/1073882776.db2.gz MOXZTLHOFZESDQ-OAHLLOKOSA-N 0 0 427.523 -0.141 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)NCCN2CCOCC2)ccc1OC ZINC000805971827 1073882761 /nfs/dbraw/zinc/88/27/61/1073882761.db2.gz NZEUWLNYNMPSHR-CYBMUJFWSA-N 0 0 429.495 -0.403 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)ccc1OC ZINC000805971828 1073882912 /nfs/dbraw/zinc/88/29/12/1073882912.db2.gz NZEUWLNYNMPSHR-ZDUSSCGKSA-N 0 0 429.495 -0.403 20 0 IBADRN C[C@@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)NCCN1CCOCC1 ZINC000805972692 1073883303 /nfs/dbraw/zinc/88/33/03/1073883303.db2.gz AKVAPAPEZXAAJI-CXAGYDPISA-N 0 0 435.456 -0.374 20 0 IBADRN C[C@@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)NCCN1CCOCC1 ZINC000805972693 1073883171 /nfs/dbraw/zinc/88/31/71/1073883171.db2.gz AKVAPAPEZXAAJI-DYVFJYSZSA-N 0 0 435.456 -0.374 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)NCCN1CCOCC1 ZINC000805972694 1073883381 /nfs/dbraw/zinc/88/33/81/1073883381.db2.gz AKVAPAPEZXAAJI-GUYCJALGSA-N 0 0 435.456 -0.374 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)NCCN1CCOCC1 ZINC000805972695 1073883329 /nfs/dbraw/zinc/88/33/29/1073883329.db2.gz AKVAPAPEZXAAJI-SUMWQHHRSA-N 0 0 435.456 -0.374 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(=O)NCCN1CCOCC1 ZINC000805973581 1073883217 /nfs/dbraw/zinc/88/32/17/1073883217.db2.gz AOIVDLZGFSCJSW-AWEZNQCLSA-N 0 0 445.494 -0.706 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(=O)NCCN1CCOCC1 ZINC000805973585 1074073254 /nfs/dbraw/zinc/07/32/54/1074073254.db2.gz AOIVDLZGFSCJSW-CQSZACIVSA-N 0 0 445.494 -0.706 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)c1=O ZINC000805973871 1073883202 /nfs/dbraw/zinc/88/32/02/1073883202.db2.gz OQKOFYPEXDTEBT-HNNXBMFYSA-N 0 0 432.477 -0.437 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@H](C)C(=O)NCCN2CCOCC2)c1=O ZINC000805973876 1073883121 /nfs/dbraw/zinc/88/31/21/1073883121.db2.gz OQKOFYPEXDTEBT-OAHLLOKOSA-N 0 0 432.477 -0.437 20 0 IBADRN C[C@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)NCCN1CCOCC1 ZINC000805974418 1073883366 /nfs/dbraw/zinc/88/33/66/1073883366.db2.gz RULDNEJENHSPAO-AWEZNQCLSA-N 0 0 438.506 -0.553 20 0 IBADRN C[C@@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)NCCN1CCOCC1 ZINC000805974424 1073883190 /nfs/dbraw/zinc/88/31/90/1073883190.db2.gz RULDNEJENHSPAO-CQSZACIVSA-N 0 0 438.506 -0.553 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1ccc(F)cc1)C(=O)NCCN1CCOCC1 ZINC000805974727 1073883151 /nfs/dbraw/zinc/88/31/51/1073883151.db2.gz MONGPGDMBDAFMX-AWEZNQCLSA-N 0 0 431.486 -0.126 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1ccc(F)cc1)C(=O)NCCN1CCOCC1 ZINC000805974729 1073883139 /nfs/dbraw/zinc/88/31/39/1073883139.db2.gz MONGPGDMBDAFMX-CQSZACIVSA-N 0 0 431.486 -0.126 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1ccccc1F)C(=O)NCCN1CCOCC1 ZINC000805974868 1074073663 /nfs/dbraw/zinc/07/36/63/1074073663.db2.gz LRKGUELASWOTNF-AWEZNQCLSA-N 0 0 431.486 -0.126 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1ccccc1F)C(=O)NCCN1CCOCC1 ZINC000805974869 1074073703 /nfs/dbraw/zinc/07/37/03/1074073703.db2.gz LRKGUELASWOTNF-CQSZACIVSA-N 0 0 431.486 -0.126 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805974954 1073883373 /nfs/dbraw/zinc/88/33/73/1073883373.db2.gz WLMRNAJWASTKOP-HNNXBMFYSA-N 0 0 427.523 -0.093 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)O[C@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805974956 1073883346 /nfs/dbraw/zinc/88/33/46/1073883346.db2.gz WLMRNAJWASTKOP-OAHLLOKOSA-N 0 0 427.523 -0.093 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)O[C@H](C)C(=O)NCCN1CCOCC1 ZINC000805975734 1073883751 /nfs/dbraw/zinc/88/37/51/1073883751.db2.gz UFLQMQMVHVPVJP-DZGCQCFKSA-N 0 0 445.542 -0.916 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)O[C@@H](C)C(=O)NCCN1CCOCC1 ZINC000805975735 1073883587 /nfs/dbraw/zinc/88/35/87/1073883587.db2.gz UFLQMQMVHVPVJP-HIFRSBDPSA-N 0 0 445.542 -0.916 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)NCCN1CCOCC1 ZINC000805975736 1073883624 /nfs/dbraw/zinc/88/36/24/1073883624.db2.gz UFLQMQMVHVPVJP-UKRRQHHQSA-N 0 0 445.542 -0.916 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)O[C@@H](C)C(=O)NCCN1CCOCC1 ZINC000805975737 1073883639 /nfs/dbraw/zinc/88/36/39/1073883639.db2.gz UFLQMQMVHVPVJP-ZFWWWQNUSA-N 0 0 445.542 -0.916 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805975751 1073883727 /nfs/dbraw/zinc/88/37/27/1073883727.db2.gz XGHZMFFYRWCWBY-AWEZNQCLSA-N 0 0 429.495 -0.138 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)NCCN2CCOCC2)cc1 ZINC000805975752 1073883682 /nfs/dbraw/zinc/88/36/82/1073883682.db2.gz XGHZMFFYRWCWBY-CQSZACIVSA-N 0 0 429.495 -0.138 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(=O)NCCN1CCOCC1 ZINC000805975758 1073883716 /nfs/dbraw/zinc/88/37/16/1073883716.db2.gz XKERPYRHSZLTAH-CYBMUJFWSA-N 0 0 425.463 -0.789 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(=O)NCCN1CCOCC1 ZINC000805975759 1073883782 /nfs/dbraw/zinc/88/37/82/1073883782.db2.gz XKERPYRHSZLTAH-ZDUSSCGKSA-N 0 0 425.463 -0.789 20 0 IBADRN C[C@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)NCCN1CCOCC1 ZINC000805975928 1073883316 /nfs/dbraw/zinc/88/33/16/1073883316.db2.gz VYKOFUDBYXTBSC-HNNXBMFYSA-N 0 0 442.513 -0.554 20 0 IBADRN C[C@@H](OC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C(=O)NCCN1CCOCC1 ZINC000805975929 1073883271 /nfs/dbraw/zinc/88/32/71/1073883271.db2.gz VYKOFUDBYXTBSC-OAHLLOKOSA-N 0 0 442.513 -0.554 20 0 IBADRN C[C@@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCCc1ccncc1 ZINC000805980289 1073883737 /nfs/dbraw/zinc/88/37/37/1073883737.db2.gz DMQJHKXOQKXQRK-CYBMUJFWSA-N 0 0 428.449 -0.491 20 0 IBADRN C[C@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCCc1ccncc1 ZINC000805980293 1073883772 /nfs/dbraw/zinc/88/37/72/1073883772.db2.gz DMQJHKXOQKXQRK-ZDUSSCGKSA-N 0 0 428.449 -0.491 20 0 IBADRN Cn1ncc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC000805996905 1074074568 /nfs/dbraw/zinc/07/45/68/1074074568.db2.gz NPVBIBXRUOFSLV-UHFFFAOYSA-N 0 0 435.466 -0.638 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)NCCNS(=O)(=O)Cc2ccccc2)C1 ZINC000806034150 1073884347 /nfs/dbraw/zinc/88/43/47/1073884347.db2.gz WZJXUGNRNRBEJP-MRXNPFEDSA-N 0 0 447.583 -0.324 20 0 IBADRN CCn1c2ccccc2n(CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1=O ZINC000806041400 1073884174 /nfs/dbraw/zinc/88/41/74/1073884174.db2.gz HPMIXLXWSUZOOW-UHFFFAOYSA-N 0 0 431.493 -0.402 20 0 IBADRN CCn1c2ccccc2n(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1=O ZINC000806043265 1073883978 /nfs/dbraw/zinc/88/39/78/1073883978.db2.gz ZFEXTQRMNIQOQU-GFCCVEGCSA-N 0 0 438.462 -0.621 20 0 IBADRN CCn1c2ccccc2n(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1=O ZINC000806043266 1073884057 /nfs/dbraw/zinc/88/40/57/1073884057.db2.gz ZFEXTQRMNIQOQU-LBPRGKRZSA-N 0 0 438.462 -0.621 20 0 IBADRN C[C@@H]1[C@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC(=O)N1C ZINC000806070491 1073884720 /nfs/dbraw/zinc/88/47/20/1073884720.db2.gz MOBIQIKUOZQXIO-BPLDGKMQSA-N 0 0 432.520 -0.069 20 0 IBADRN C[C@@H]1[C@@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC(=O)N1C ZINC000806070492 1073884636 /nfs/dbraw/zinc/88/46/36/1073884636.db2.gz MOBIQIKUOZQXIO-SNPRPXQTSA-N 0 0 432.520 -0.069 20 0 IBADRN C[C@@H]1[C@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC(=O)N1C ZINC000806070493 1073884688 /nfs/dbraw/zinc/88/46/88/1073884688.db2.gz MOBIQIKUOZQXIO-VHDGCEQUSA-N 0 0 432.520 -0.069 20 0 IBADRN C[C@@H]1[C@@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC(=O)N1C ZINC000806070494 1073884613 /nfs/dbraw/zinc/88/46/13/1073884613.db2.gz MOBIQIKUOZQXIO-YUELXQCFSA-N 0 0 432.520 -0.069 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)[C@H](C)C1 ZINC000806099415 1074097642 /nfs/dbraw/zinc/09/76/42/1074097642.db2.gz CWXYZQLQNUPFJH-LLVKDONJSA-N 0 0 442.460 -0.257 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)[C@@H](C)C1 ZINC000806099416 1074097770 /nfs/dbraw/zinc/09/77/70/1074097770.db2.gz CWXYZQLQNUPFJH-NSHDSACASA-N 0 0 442.460 -0.257 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCC1=O ZINC000806099561 1073884693 /nfs/dbraw/zinc/88/46/93/1073884693.db2.gz FRMPSXOOKXROOP-LLVKDONJSA-N 0 0 428.433 -0.599 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCC1=O ZINC000806099562 1073884677 /nfs/dbraw/zinc/88/46/77/1073884677.db2.gz FRMPSXOOKXROOP-NSHDSACASA-N 0 0 428.433 -0.599 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCO1 ZINC000806099603 1073884647 /nfs/dbraw/zinc/88/46/47/1073884647.db2.gz GTGIWIIYKNQHJK-GFCCVEGCSA-N 0 0 431.433 -0.462 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCO1 ZINC000806099604 1073884656 /nfs/dbraw/zinc/88/46/56/1073884656.db2.gz GTGIWIIYKNQHJK-LBPRGKRZSA-N 0 0 431.433 -0.462 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCC2(C1)OCCO2 ZINC000806099679 1073884699 /nfs/dbraw/zinc/88/46/99/1073884699.db2.gz HZNMFIMFPKYSSM-UHFFFAOYSA-N 0 0 429.417 -0.360 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100130 1073885222 /nfs/dbraw/zinc/88/52/22/1073885222.db2.gz PAJOJCPUSGOTLJ-UHFFFAOYSA-N 0 0 444.476 -0.057 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@H](CO)O1 ZINC000806100177 1073885136 /nfs/dbraw/zinc/88/51/36/1073885136.db2.gz QTOUDIUYOZOZCK-CMPLNLGQSA-N 0 0 431.433 -0.728 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@@H](CO)O1 ZINC000806100178 1073885199 /nfs/dbraw/zinc/88/51/99/1073885199.db2.gz QTOUDIUYOZOZCK-JQWIXIFHSA-N 0 0 431.433 -0.728 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@@H](CO)O1 ZINC000806100179 1073885297 /nfs/dbraw/zinc/88/52/97/1073885297.db2.gz QTOUDIUYOZOZCK-PWSUYJOCSA-N 0 0 431.433 -0.728 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@H](CO)O1 ZINC000806100180 1073885306 /nfs/dbraw/zinc/88/53/06/1073885306.db2.gz QTOUDIUYOZOZCK-ZYHUDNBSSA-N 0 0 431.433 -0.728 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100255 1073884669 /nfs/dbraw/zinc/88/46/69/1073884669.db2.gz UQGWXMNPHAENAD-NEPJUHHUSA-N 0 0 442.460 -0.305 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100256 1073884661 /nfs/dbraw/zinc/88/46/61/1073884661.db2.gz UQGWXMNPHAENAD-NWDGAFQWSA-N 0 0 442.460 -0.305 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100257 1073884766 /nfs/dbraw/zinc/88/47/66/1073884766.db2.gz UQGWXMNPHAENAD-RYUDHWBXSA-N 0 0 442.460 -0.305 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100258 1073884714 /nfs/dbraw/zinc/88/47/14/1073884714.db2.gz UQGWXMNPHAENAD-VXGBXAGGSA-N 0 0 442.460 -0.305 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCN(C2CC2)CC1 ZINC000806100271 1073885149 /nfs/dbraw/zinc/88/51/49/1073885149.db2.gz UYNGVXRIRWEPKM-UHFFFAOYSA-N 0 0 426.461 -0.029 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100486 1074097746 /nfs/dbraw/zinc/09/77/46/1074097746.db2.gz YOUNLEGAUVOZKJ-GFCCVEGCSA-N 0 0 444.476 -0.499 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100487 1074097754 /nfs/dbraw/zinc/09/77/54/1074097754.db2.gz YOUNLEGAUVOZKJ-LBPRGKRZSA-N 0 0 444.476 -0.499 20 0 IBADRN C[C@]1(C(N)=O)CCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000806100677 1073885285 /nfs/dbraw/zinc/88/52/85/1073885285.db2.gz GNQKODIMHZCFQY-AWEZNQCLSA-N 0 0 428.433 -0.612 20 0 IBADRN C[C@@]1(C(N)=O)CCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000806100678 1073885168 /nfs/dbraw/zinc/88/51/68/1073885168.db2.gz GNQKODIMHZCFQY-CQSZACIVSA-N 0 0 428.433 -0.612 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CO1 ZINC000806100857 1074097775 /nfs/dbraw/zinc/09/77/75/1074097775.db2.gz CZJMULYOFWSUMQ-UHFFFAOYSA-N 0 0 431.433 -0.068 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1=O ZINC000806100865 1074097691 /nfs/dbraw/zinc/09/76/91/1074097691.db2.gz IRMNCFFAPAHRHD-UHFFFAOYSA-N 0 0 442.460 -0.255 20 0 IBADRN CC(=O)N1CCCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000806100890 1073885061 /nfs/dbraw/zinc/88/50/61/1073885061.db2.gz JAGSXQUVAXRORF-UHFFFAOYSA-N 0 0 442.460 -0.255 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C2 ZINC000806100915 1073885049 /nfs/dbraw/zinc/88/50/49/1073885049.db2.gz JVPODZAEKVOIIM-UHFFFAOYSA-N 0 0 438.432 -0.393 20 0 IBADRN CCN(Cc1cn(C)nn1)C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100917 1073885273 /nfs/dbraw/zinc/88/52/73/1073885273.db2.gz JVRDXEREGBZXSI-UHFFFAOYSA-N 0 0 440.448 -0.159 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100972 1074097650 /nfs/dbraw/zinc/09/76/50/1074097650.db2.gz FECUKGWZHBDVOA-JTQLQIEISA-N 0 0 428.433 -0.647 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806100973 1074097785 /nfs/dbraw/zinc/09/77/85/1074097785.db2.gz FECUKGWZHBDVOA-SNVBAGLBSA-N 0 0 428.433 -0.647 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000806101106 1073886111 /nfs/dbraw/zinc/88/61/11/1073886111.db2.gz NFJQJSBJOPKVNH-HNNXBMFYSA-N 0 0 442.460 -0.351 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000806101107 1073886084 /nfs/dbraw/zinc/88/60/84/1073886084.db2.gz NFJQJSBJOPKVNH-OAHLLOKOSA-N 0 0 442.460 -0.351 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101213 1073886058 /nfs/dbraw/zinc/88/60/58/1073886058.db2.gz PMVVSGXGZXVERQ-JTQLQIEISA-N 0 0 428.433 -0.599 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101214 1073886146 /nfs/dbraw/zinc/88/61/46/1073886146.db2.gz PMVVSGXGZXVERQ-SNVBAGLBSA-N 0 0 428.433 -0.599 20 0 IBADRN CC1(CCNC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)OCCO1 ZINC000806101333 1073886290 /nfs/dbraw/zinc/88/62/90/1073886290.db2.gz UJYHTPAAXHURBF-UHFFFAOYSA-N 0 0 431.433 -0.067 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101357 1073886040 /nfs/dbraw/zinc/88/60/40/1073886040.db2.gz VEOVNHRSVPRITB-UHFFFAOYSA-N 0 0 425.433 -0.243 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101423 1073885664 /nfs/dbraw/zinc/88/56/64/1073885664.db2.gz XHBMLSHXLUWYJD-UHFFFAOYSA-N 0 0 446.517 -0.171 20 0 IBADRN COCCN(C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CCOC1 ZINC000806101441 1073885727 /nfs/dbraw/zinc/88/57/27/1073885727.db2.gz YAELVFQOZWDTCV-CYBMUJFWSA-N 0 0 445.460 -0.072 20 0 IBADRN COCCN(C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CCOC1 ZINC000806101442 1073885673 /nfs/dbraw/zinc/88/56/73/1073885673.db2.gz YAELVFQOZWDTCV-ZDUSSCGKSA-N 0 0 445.460 -0.072 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101444 1073885748 /nfs/dbraw/zinc/88/57/48/1073885748.db2.gz YAXOGOUGPXCYEN-UHFFFAOYSA-N 0 0 444.476 -0.545 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000806101445 1073885660 /nfs/dbraw/zinc/88/56/60/1073885660.db2.gz YCSNFNPGHYWCAG-HNNXBMFYSA-N 0 0 443.444 -0.318 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000806101446 1073885627 /nfs/dbraw/zinc/88/56/27/1073885627.db2.gz YCSNFNPGHYWCAG-OAHLLOKOSA-N 0 0 443.444 -0.318 20 0 IBADRN CCN1CCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1=O ZINC000806101469 1073885822 /nfs/dbraw/zinc/88/58/22/1073885822.db2.gz YYHPCBRRVLNVQZ-UHFFFAOYSA-N 0 0 428.433 -0.645 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101553 1073886278 /nfs/dbraw/zinc/88/62/78/1073886278.db2.gz JEJGPPUDFNOTJT-AAEUAGOBSA-N 0 0 445.460 -0.026 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101554 1073886070 /nfs/dbraw/zinc/88/60/70/1073886070.db2.gz JEJGPPUDFNOTJT-DGCLKSJQSA-N 0 0 445.460 -0.026 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101555 1073886162 /nfs/dbraw/zinc/88/61/62/1073886162.db2.gz JEJGPPUDFNOTJT-WCQYABFASA-N 0 0 445.460 -0.026 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101556 1073886251 /nfs/dbraw/zinc/88/62/51/1073886251.db2.gz JEJGPPUDFNOTJT-YPMHNXCESA-N 0 0 445.460 -0.026 20 0 IBADRN NC(=O)C[C@H]1CCCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000806101622 1074097736 /nfs/dbraw/zinc/09/77/36/1074097736.db2.gz LKPFADPCABKSLE-GFCCVEGCSA-N 0 0 442.460 -0.222 20 0 IBADRN NC(=O)C[C@@H]1CCCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000806101623 1074098135 /nfs/dbraw/zinc/09/81/35/1074098135.db2.gz LKPFADPCABKSLE-LBPRGKRZSA-N 0 0 442.460 -0.222 20 0 IBADRN C[C@H]1c2nncn2CCN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101634 1074098201 /nfs/dbraw/zinc/09/82/01/1074098201.db2.gz LQNIRGOFCKPBIQ-JTQLQIEISA-N 0 0 438.432 -0.141 20 0 IBADRN C[C@@H]1c2nncn2CCN1C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101635 1074098058 /nfs/dbraw/zinc/09/80/58/1074098058.db2.gz LQNIRGOFCKPBIQ-SNVBAGLBSA-N 0 0 438.432 -0.141 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000806101642 1074098180 /nfs/dbraw/zinc/09/81/80/1074098180.db2.gz LTPBFNBWTVLELO-UHFFFAOYSA-N 0 0 440.444 -0.503 20 0 IBADRN COC1(CNC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCOCC1 ZINC000806101709 1073885714 /nfs/dbraw/zinc/88/57/14/1073885714.db2.gz OBLJDOTZQIFNAY-UHFFFAOYSA-N 0 0 445.460 -0.024 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCSC[C@H]1CCO ZINC000806101781 1073885760 /nfs/dbraw/zinc/88/57/60/1073885760.db2.gz QMDUBJXOQCAFCF-GFCCVEGCSA-N 0 0 447.501 -0.009 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCSC[C@@H]1CCO ZINC000806101782 1073885740 /nfs/dbraw/zinc/88/57/40/1073885740.db2.gz QMDUBJXOQCAFCF-LBPRGKRZSA-N 0 0 447.501 -0.009 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N(CCO)CC(F)F ZINC000806101797 1073885800 /nfs/dbraw/zinc/88/58/00/1073885800.db2.gz RANRHCBVXMQSMT-UHFFFAOYSA-N 0 0 425.376 -0.250 20 0 IBADRN C[C@H](CNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)S(C)(=O)=O ZINC000806101861 1073885261 /nfs/dbraw/zinc/88/52/61/1073885261.db2.gz TWNBHLLPIHAMHS-SECBINFHSA-N 0 0 437.462 -0.787 20 0 IBADRN C[C@@H](CNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)S(C)(=O)=O ZINC000806101862 1073885635 /nfs/dbraw/zinc/88/56/35/1073885635.db2.gz TWNBHLLPIHAMHS-VIFPVBQESA-N 0 0 437.462 -0.787 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000806101925 1073885680 /nfs/dbraw/zinc/88/56/80/1073885680.db2.gz VXJLYEJHMUCJGB-UHFFFAOYSA-N 0 0 444.476 -0.545 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H](C)CO ZINC000806101972 1074098162 /nfs/dbraw/zinc/09/81/62/1074098162.db2.gz XEXYDJPAZHYGNM-GHMZBOCLSA-N 0 0 433.449 -0.746 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806101973 1073885643 /nfs/dbraw/zinc/88/56/43/1073885643.db2.gz XEXYDJPAZHYGNM-MNOVXSKESA-N 0 0 433.449 -0.746 20 0 IBADRN C[C@H](O)CN(C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H](C)CO ZINC000806101974 1073885695 /nfs/dbraw/zinc/88/56/95/1073885695.db2.gz XEXYDJPAZHYGNM-QWRGUYRKSA-N 0 0 433.449 -0.746 20 0 IBADRN C[C@@H](O)CN(C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H](C)CO ZINC000806101975 1073885705 /nfs/dbraw/zinc/88/57/05/1073885705.db2.gz XEXYDJPAZHYGNM-WDEREUQCSA-N 0 0 433.449 -0.746 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@H](C)O1 ZINC000806101978 1073885777 /nfs/dbraw/zinc/88/57/77/1073885777.db2.gz XKYOZOYXGIMGRB-AAEUAGOBSA-N 0 0 445.460 -0.074 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@@H](C)O1 ZINC000806101979 1073885688 /nfs/dbraw/zinc/88/56/88/1073885688.db2.gz XKYOZOYXGIMGRB-DGCLKSJQSA-N 0 0 445.460 -0.074 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@H](C)O1 ZINC000806101980 1073885653 /nfs/dbraw/zinc/88/56/53/1073885653.db2.gz XKYOZOYXGIMGRB-WCQYABFASA-N 0 0 445.460 -0.074 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@@H](C)O1 ZINC000806101981 1073885698 /nfs/dbraw/zinc/88/56/98/1073885698.db2.gz XKYOZOYXGIMGRB-YPMHNXCESA-N 0 0 445.460 -0.074 20 0 IBADRN CCN(CCOCCO)C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102004 1074098194 /nfs/dbraw/zinc/09/81/94/1074098194.db2.gz XXTZNMGWZUQQIK-UHFFFAOYSA-N 0 0 433.449 -0.478 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC(=O)N1C ZINC000806102012 1073885211 /nfs/dbraw/zinc/88/52/11/1073885211.db2.gz YCPIAMJLYUBVOV-JTQLQIEISA-N 0 0 428.433 -0.647 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC(=O)N1C ZINC000806102013 1073885177 /nfs/dbraw/zinc/88/51/77/1073885177.db2.gz YCPIAMJLYUBVOV-SNVBAGLBSA-N 0 0 428.433 -0.647 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CN(C)CCO1 ZINC000806102091 1073885333 /nfs/dbraw/zinc/88/53/33/1073885333.db2.gz AWTYDBGXEBBQRW-AAEUAGOBSA-N 0 0 444.476 -0.501 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CN(C)CCO1 ZINC000806102092 1073885233 /nfs/dbraw/zinc/88/52/33/1073885233.db2.gz AWTYDBGXEBBQRW-DGCLKSJQSA-N 0 0 444.476 -0.501 20 0 IBADRN C[C@H](NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CN(C)CCO1 ZINC000806102093 1073885076 /nfs/dbraw/zinc/88/50/76/1073885076.db2.gz AWTYDBGXEBBQRW-WCQYABFASA-N 0 0 444.476 -0.501 20 0 IBADRN C[C@@H](NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CN(C)CCO1 ZINC000806102094 1073885099 /nfs/dbraw/zinc/88/50/99/1073885099.db2.gz AWTYDBGXEBBQRW-YPMHNXCESA-N 0 0 444.476 -0.501 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102097 1073886850 /nfs/dbraw/zinc/88/68/50/1073886850.db2.gz AYCLCZLSAIUTQQ-CHWSQXEVSA-N 0 0 445.460 -0.026 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102098 1073886572 /nfs/dbraw/zinc/88/65/72/1073886572.db2.gz AYCLCZLSAIUTQQ-OLZOCXBDSA-N 0 0 445.460 -0.026 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102099 1073886834 /nfs/dbraw/zinc/88/68/34/1073886834.db2.gz AYCLCZLSAIUTQQ-QWHCGFSZSA-N 0 0 445.460 -0.026 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102100 1073886690 /nfs/dbraw/zinc/88/66/90/1073886690.db2.gz AYCLCZLSAIUTQQ-STQMWFEESA-N 0 0 445.460 -0.026 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102195 1073886762 /nfs/dbraw/zinc/88/67/62/1073886762.db2.gz DYTYUEBAOKNYDV-CYBMUJFWSA-N 0 0 445.460 -0.024 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102196 1073886792 /nfs/dbraw/zinc/88/67/92/1073886792.db2.gz DYTYUEBAOKNYDV-ZDUSSCGKSA-N 0 0 445.460 -0.024 20 0 IBADRN O=C(NCCCn1ccnn1)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102203 1074098170 /nfs/dbraw/zinc/09/81/70/1074098170.db2.gz FMWXCJYJUNBKSM-UHFFFAOYSA-N 0 0 426.421 -0.538 20 0 IBADRN COCCCN(CCO)C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102309 1073886779 /nfs/dbraw/zinc/88/67/79/1073886779.db2.gz JIHMDHSUHDFPAQ-UHFFFAOYSA-N 0 0 433.449 -0.478 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102481 1073886862 /nfs/dbraw/zinc/88/68/62/1073886862.db2.gz ORAGQMIIYILCJG-UHFFFAOYSA-N 0 0 430.449 -0.447 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1=O ZINC000806102567 1073886756 /nfs/dbraw/zinc/88/67/56/1073886756.db2.gz RZFJRAGRMAUWTL-GFCCVEGCSA-N 0 0 442.460 -0.210 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1=O ZINC000806102568 1073886803 /nfs/dbraw/zinc/88/68/03/1073886803.db2.gz RZFJRAGRMAUWTL-LBPRGKRZSA-N 0 0 442.460 -0.210 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC000806102621 1074098641 /nfs/dbraw/zinc/09/86/41/1074098641.db2.gz BNWBAGSMZSSSFJ-CYBMUJFWSA-N 0 0 442.460 -0.793 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC000806102622 1074098634 /nfs/dbraw/zinc/09/86/34/1074098634.db2.gz BNWBAGSMZSSSFJ-ZDUSSCGKSA-N 0 0 442.460 -0.793 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CCCO1 ZINC000806102699 1073886134 /nfs/dbraw/zinc/88/61/34/1073886134.db2.gz UAYDYGACUFSUIZ-CHWSQXEVSA-N 0 0 445.460 -0.026 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CCCO1 ZINC000806102700 1073886183 /nfs/dbraw/zinc/88/61/83/1073886183.db2.gz UAYDYGACUFSUIZ-OLZOCXBDSA-N 0 0 445.460 -0.026 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CCCO1 ZINC000806102701 1073886096 /nfs/dbraw/zinc/88/60/96/1073886096.db2.gz UAYDYGACUFSUIZ-QWHCGFSZSA-N 0 0 445.460 -0.026 20 0 IBADRN COC[C@H](NC(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CCCO1 ZINC000806102702 1073886843 /nfs/dbraw/zinc/88/68/43/1073886843.db2.gz UAYDYGACUFSUIZ-STQMWFEESA-N 0 0 445.460 -0.026 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000806102712 1073886614 /nfs/dbraw/zinc/88/66/14/1073886614.db2.gz UGTLDSLGMZEQNN-AREMUKBSSA-N 0 0 433.474 -0.309 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000806102713 1073886770 /nfs/dbraw/zinc/88/67/70/1073886770.db2.gz UGTLDSLGMZEQNN-SANMLTNESA-N 0 0 433.474 -0.309 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000806102752 1073886232 /nfs/dbraw/zinc/88/62/32/1073886232.db2.gz VCFRQEAWRVPLKU-UHFFFAOYSA-N 0 0 445.464 -0.606 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000806102955 1073886121 /nfs/dbraw/zinc/88/61/21/1073886121.db2.gz XBJIIXGDSIRSAI-GFCCVEGCSA-N 0 0 442.460 -0.209 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000806102957 1073886177 /nfs/dbraw/zinc/88/61/77/1073886177.db2.gz XBJIIXGDSIRSAI-LBPRGKRZSA-N 0 0 442.460 -0.209 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)n1 ZINC000806103339 1074098491 /nfs/dbraw/zinc/09/84/91/1074098491.db2.gz NPKNOTGBQQOFEO-UHFFFAOYSA-N 0 0 425.433 -0.243 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(F)(F)F ZINC000806121176 1073887255 /nfs/dbraw/zinc/88/72/55/1073887255.db2.gz BMDQBZJBHBHXKH-JTQLQIEISA-N 0 0 438.384 -0.389 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](OC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(F)(F)F ZINC000806121178 1073887281 /nfs/dbraw/zinc/88/72/81/1073887281.db2.gz BMDQBZJBHBHXKH-SNVBAGLBSA-N 0 0 438.384 -0.389 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000806122391 1073887266 /nfs/dbraw/zinc/88/72/66/1073887266.db2.gz FRZZMQITMGEBHP-JTQLQIEISA-N 0 0 425.451 -0.472 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC000806122395 1073887366 /nfs/dbraw/zinc/88/73/66/1073887366.db2.gz FRZZMQITMGEBHP-SNVBAGLBSA-N 0 0 425.451 -0.472 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](OC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(F)(F)F ZINC000806123493 1073887336 /nfs/dbraw/zinc/88/73/36/1073887336.db2.gz PBPLUVYMRVGJOM-JTQLQIEISA-N 0 0 438.384 -0.389 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](OC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(F)(F)F ZINC000806123495 1073887159 /nfs/dbraw/zinc/88/71/59/1073887159.db2.gz PBPLUVYMRVGJOM-SNVBAGLBSA-N 0 0 438.384 -0.389 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(F)(F)F ZINC000806123870 1073887240 /nfs/dbraw/zinc/88/72/40/1073887240.db2.gz IICMNJMVXJUNCD-JTQLQIEISA-N 0 0 431.414 -0.151 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(F)(F)F ZINC000806123872 1073887388 /nfs/dbraw/zinc/88/73/88/1073887388.db2.gz IICMNJMVXJUNCD-SNVBAGLBSA-N 0 0 431.414 -0.151 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(F)(F)F ZINC000806124648 1073887720 /nfs/dbraw/zinc/88/77/20/1073887720.db2.gz QWWHRYZHTPQIHC-JTQLQIEISA-N 0 0 440.400 -0.445 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(F)(F)F ZINC000806124649 1073887707 /nfs/dbraw/zinc/88/77/07/1073887707.db2.gz QWWHRYZHTPQIHC-SNVBAGLBSA-N 0 0 440.400 -0.445 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000806124838 1073887771 /nfs/dbraw/zinc/88/77/71/1073887771.db2.gz VFHFNNLAATZLMC-PSASIEDQSA-N 0 0 436.434 -0.548 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000806124839 1073887809 /nfs/dbraw/zinc/88/78/09/1073887809.db2.gz VFHFNNLAATZLMC-SCZZXKLOSA-N 0 0 436.434 -0.548 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000806124840 1073887801 /nfs/dbraw/zinc/88/78/01/1073887801.db2.gz VFHFNNLAATZLMC-WCBMZHEXSA-N 0 0 436.434 -0.548 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)O[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC000806124841 1073887691 /nfs/dbraw/zinc/88/76/91/1073887691.db2.gz VFHFNNLAATZLMC-WPRPVWTQSA-N 0 0 436.434 -0.548 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](OC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O)C(F)(F)F ZINC000806126195 1073887791 /nfs/dbraw/zinc/88/77/91/1073887791.db2.gz QHBSOMBVMVEAKH-SSDOTTSWSA-N 0 0 441.388 -0.340 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](OC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O)C(F)(F)F ZINC000806126196 1073887699 /nfs/dbraw/zinc/88/76/99/1073887699.db2.gz QHBSOMBVMVEAKH-ZETCQYMHSA-N 0 0 441.388 -0.340 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](OC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(F)(F)F ZINC000806126374 1073887714 /nfs/dbraw/zinc/88/77/14/1073887714.db2.gz MKBVIOKKIHFYHZ-LLVKDONJSA-N 0 0 446.425 -0.075 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](OC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)C(F)(F)F ZINC000806126375 1073887774 /nfs/dbraw/zinc/88/77/74/1073887774.db2.gz MKBVIOKKIHFYHZ-NSHDSACASA-N 0 0 446.425 -0.075 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(F)(F)F ZINC000806126985 1073887758 /nfs/dbraw/zinc/88/77/58/1073887758.db2.gz ZDOOUJQNVZICMA-JTQLQIEISA-N 0 0 431.414 -0.151 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(F)(F)F ZINC000806126986 1073887794 /nfs/dbraw/zinc/88/77/94/1073887794.db2.gz ZDOOUJQNVZICMA-SNVBAGLBSA-N 0 0 431.414 -0.151 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCNC(=O)C2)cc1S(=O)(=O)NC(C)(C)C ZINC000806162768 1073887781 /nfs/dbraw/zinc/88/77/81/1073887781.db2.gz FOGAZYGQFQMELJ-UHFFFAOYSA-N 0 0 427.479 -0.113 20 0 IBADRN O=C(COC(=O)CCCn1c(=O)oc2ccccc21)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000806169320 1073887738 /nfs/dbraw/zinc/88/77/38/1073887738.db2.gz DKQLFGVDOJINSB-GFCCVEGCSA-N 0 0 439.446 -0.069 20 0 IBADRN O=C(COC(=O)CCCn1c(=O)oc2ccccc21)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000806169323 1073887753 /nfs/dbraw/zinc/88/77/53/1073887753.db2.gz DKQLFGVDOJINSB-LBPRGKRZSA-N 0 0 439.446 -0.069 20 0 IBADRN Cn1ncc(CNC(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)n1 ZINC000806171236 1073887746 /nfs/dbraw/zinc/88/77/46/1073887746.db2.gz CPXXUPKCOIIRNY-GFCCVEGCSA-N 0 0 428.496 -0.398 20 0 IBADRN Cn1ncc(CNC(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)n1 ZINC000806171240 1073887725 /nfs/dbraw/zinc/88/77/25/1073887725.db2.gz CPXXUPKCOIIRNY-LBPRGKRZSA-N 0 0 428.496 -0.398 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000806187145 1073888248 /nfs/dbraw/zinc/88/82/48/1073888248.db2.gz KFKRKXKCNZTAMQ-BDAKNGLRSA-N 0 0 432.321 -0.113 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000806187146 1073888384 /nfs/dbraw/zinc/88/83/84/1073888384.db2.gz KFKRKXKCNZTAMQ-DTWKUNHWSA-N 0 0 432.321 -0.113 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000806187147 1073888374 /nfs/dbraw/zinc/88/83/74/1073888374.db2.gz KFKRKXKCNZTAMQ-IUCAKERBSA-N 0 0 432.321 -0.113 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000806187148 1073888328 /nfs/dbraw/zinc/88/83/28/1073888328.db2.gz KFKRKXKCNZTAMQ-RKDXNWHRSA-N 0 0 432.321 -0.113 20 0 IBADRN CN1C[C@H](NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CCC1=O ZINC000806187848 1073888224 /nfs/dbraw/zinc/88/82/24/1073888224.db2.gz VDVBPHZYRATKGX-CYBMUJFWSA-N 0 0 433.490 -0.983 20 0 IBADRN CN1C[C@@H](NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CCC1=O ZINC000806187849 1073888172 /nfs/dbraw/zinc/88/81/72/1073888172.db2.gz VDVBPHZYRATKGX-ZDUSSCGKSA-N 0 0 433.490 -0.983 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000806189188 1073888126 /nfs/dbraw/zinc/88/81/26/1073888126.db2.gz FWUWYYPSXXXEMJ-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000806189192 1073888202 /nfs/dbraw/zinc/88/82/02/1073888202.db2.gz FWUWYYPSXXXEMJ-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN CC(C)(C)[S@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806190679 1073888340 /nfs/dbraw/zinc/88/83/40/1073888340.db2.gz HCEDEWIUJBLSNO-HHHXNRCGSA-N 0 0 434.540 -0.688 20 0 IBADRN CC(C)(C)[S@@](=O)CCNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806190680 1073888355 /nfs/dbraw/zinc/88/83/55/1073888355.db2.gz HCEDEWIUJBLSNO-MHZLTWQESA-N 0 0 434.540 -0.688 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCC[S@@](=O)C(C)(C)C)CC2)no1 ZINC000806193054 1073888312 /nfs/dbraw/zinc/88/83/12/1073888312.db2.gz WUWMEBIZTUPTKV-GDLZYMKVSA-N 0 0 427.527 -0.271 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NCC[S@](=O)C(C)(C)C)CC2)no1 ZINC000806193055 1073888290 /nfs/dbraw/zinc/88/82/90/1073888290.db2.gz WUWMEBIZTUPTKV-LJAQVGFWSA-N 0 0 427.527 -0.271 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCC[S@@](=O)C(C)(C)C)CC1 ZINC000806193737 1073888275 /nfs/dbraw/zinc/88/82/75/1073888275.db2.gz RQFVRLGMDUJXGV-HHHXNRCGSA-N 0 0 439.600 -0.451 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NCC[S@](=O)C(C)(C)C)CC1 ZINC000806193738 1073888234 /nfs/dbraw/zinc/88/82/34/1073888234.db2.gz RQFVRLGMDUJXGV-MHZLTWQESA-N 0 0 439.600 -0.451 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC[S@](=O)C(C)(C)C)C1 ZINC000806194347 1073888349 /nfs/dbraw/zinc/88/83/49/1073888349.db2.gz XVNFOESKOOFRNI-ASHKIFAZSA-N 0 0 439.600 -0.451 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC[S@@](=O)C(C)(C)C)C1 ZINC000806194348 1073888193 /nfs/dbraw/zinc/88/81/93/1073888193.db2.gz XVNFOESKOOFRNI-LOKFHWFJSA-N 0 0 439.600 -0.451 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC[S@@](=O)C(C)(C)C)C1 ZINC000806194349 1073888142 /nfs/dbraw/zinc/88/81/42/1073888142.db2.gz XVNFOESKOOFRNI-PGGUUEOZSA-N 0 0 439.600 -0.451 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC[S@](=O)C(C)(C)C)C1 ZINC000806194350 1073888261 /nfs/dbraw/zinc/88/82/61/1073888261.db2.gz XVNFOESKOOFRNI-PWFNWSNSSA-N 0 0 439.600 -0.451 20 0 IBADRN CC(C)(C)[S@@](=O)CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806196325 1073888788 /nfs/dbraw/zinc/88/87/88/1073888788.db2.gz MXOVIZUWOGBBIG-ASHKIFAZSA-N 0 0 437.584 -0.697 20 0 IBADRN CC(C)(C)[S@](=O)CCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806196327 1073888799 /nfs/dbraw/zinc/88/87/99/1073888799.db2.gz MXOVIZUWOGBBIG-LOKFHWFJSA-N 0 0 437.584 -0.697 20 0 IBADRN CC(C)(C)[S@](=O)CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806196330 1073888779 /nfs/dbraw/zinc/88/87/79/1073888779.db2.gz MXOVIZUWOGBBIG-PGGUUEOZSA-N 0 0 437.584 -0.697 20 0 IBADRN CC(C)(C)[S@@](=O)CCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806196332 1073888768 /nfs/dbraw/zinc/88/87/68/1073888768.db2.gz MXOVIZUWOGBBIG-PWFNWSNSSA-N 0 0 437.584 -0.697 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[S@@](=O)C(C)(C)C)CC2)cn1C ZINC000806197283 1073888857 /nfs/dbraw/zinc/88/88/57/1073888857.db2.gz UAEIIOVDANJHQO-MUUNZHRXSA-N 0 0 447.583 -0.775 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCC[S@](=O)C(C)(C)C)CC2)cn1C ZINC000806197287 1073888863 /nfs/dbraw/zinc/88/88/63/1073888863.db2.gz UAEIIOVDANJHQO-NDEPHWFRSA-N 0 0 447.583 -0.775 20 0 IBADRN Cc1cc(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)ncn1 ZINC000806199548 1073888755 /nfs/dbraw/zinc/88/87/55/1073888755.db2.gz DCMYMCLEPHHUFQ-UHFFFAOYSA-N 0 0 428.474 -0.305 20 0 IBADRN CN(C(=O)c1ccncc1)c1ccc(CNC(=O)C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC000806202474 1073888684 /nfs/dbraw/zinc/88/86/84/1073888684.db2.gz GWTZXQSEAIEQIC-KRWDZBQOSA-N 0 0 425.445 -0.313 20 0 IBADRN CN(C(=O)c1ccncc1)c1ccc(CNC(=O)C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC000806202475 1073888843 /nfs/dbraw/zinc/88/88/43/1073888843.db2.gz GWTZXQSEAIEQIC-QGZVFWFLSA-N 0 0 425.445 -0.313 20 0 IBADRN CN(C(=O)c1ccncc1)c1ccc(CNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000806203759 1073888696 /nfs/dbraw/zinc/88/86/96/1073888696.db2.gz WQEYIRCWOHPHPJ-KRWDZBQOSA-N 0 0 445.501 -0.136 20 0 IBADRN CN(C(=O)c1ccncc1)c1ccc(CNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000806203760 1073888836 /nfs/dbraw/zinc/88/88/36/1073888836.db2.gz WQEYIRCWOHPHPJ-QGZVFWFLSA-N 0 0 445.501 -0.136 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)C(=O)NCc2ccc(N(C)C(=O)c3ccncc3)cc2)CCO1 ZINC000806203863 1073888908 /nfs/dbraw/zinc/88/89/08/1073888908.db2.gz ZKHXZCOQSMBYRM-GOSISDBHSA-N 0 0 439.472 -0.052 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NCc2ccc(N(C)C(=O)c3ccncc3)cc2)CCO1 ZINC000806203864 1073888667 /nfs/dbraw/zinc/88/86/67/1073888667.db2.gz ZKHXZCOQSMBYRM-SFHVURJKSA-N 0 0 439.472 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NCCC2(C)OCCO2)c1 ZINC000806208027 1073888849 /nfs/dbraw/zinc/88/88/49/1073888849.db2.gz BTNTXMKGVCUIOT-UHFFFAOYSA-N 0 0 429.495 -0.503 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC3(C2)OCCO3)c1 ZINC000806208088 1073888808 /nfs/dbraw/zinc/88/88/08/1073888808.db2.gz FEGSJEYYWMPMSR-UHFFFAOYSA-N 0 0 427.479 -0.797 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCCC3(C2)OCCO3)c1 ZINC000806208141 1073888829 /nfs/dbraw/zinc/88/88/29/1073888829.db2.gz HAMVDEJZMZBDGS-UHFFFAOYSA-N 0 0 441.506 -0.407 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)NC2COC(C)(C)OC2)c1 ZINC000806208288 1073888821 /nfs/dbraw/zinc/88/88/21/1073888821.db2.gz MQIRUTAKQLKQTM-UHFFFAOYSA-N 0 0 429.495 -0.505 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C(=O)N2CCC3(CC2)OCCO3)c1 ZINC000806208559 1073888900 /nfs/dbraw/zinc/88/89/00/1073888900.db2.gz VYDVHBJNKKZVDE-UHFFFAOYSA-N 0 0 441.506 -0.407 20 0 IBADRN O=C(CN1CCCC1=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000806210571 1073889618 /nfs/dbraw/zinc/88/96/18/1073889618.db2.gz UIHCLALLJKVAAY-KRWDZBQOSA-N 0 0 431.445 -0.337 20 0 IBADRN O=C(CN1CCCC1=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000806210572 1073889417 /nfs/dbraw/zinc/88/94/17/1073889417.db2.gz UIHCLALLJKVAAY-QGZVFWFLSA-N 0 0 431.445 -0.337 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCCc3nc(C)ncc32)CC1 ZINC000806235153 1073890123 /nfs/dbraw/zinc/89/01/23/1073890123.db2.gz CPDNUYARVZRGGS-BEFAXECRSA-N 0 0 446.552 -0.036 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3nc(C)ncc32)CC1 ZINC000806235154 1073889163 /nfs/dbraw/zinc/88/91/63/1073889163.db2.gz CPDNUYARVZRGGS-DNVCBOLYSA-N 0 0 446.552 -0.036 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@@H]2CCCc3nc(C)ncc32)CC1 ZINC000806235155 1073890078 /nfs/dbraw/zinc/89/00/78/1073890078.db2.gz CPDNUYARVZRGGS-HNAYVOBHSA-N 0 0 446.552 -0.036 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)N[C@H]2CCCc3nc(C)ncc32)CC1 ZINC000806235156 1073890057 /nfs/dbraw/zinc/89/00/57/1073890057.db2.gz CPDNUYARVZRGGS-KXBFYZLASA-N 0 0 446.552 -0.036 20 0 IBADRN Cc1ncc2c(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806235812 1073890137 /nfs/dbraw/zinc/89/01/37/1073890137.db2.gz DEJHECNNBMNFHL-KRWDZBQOSA-N 0 0 448.505 -0.059 20 0 IBADRN Cc1ncc2c(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806235813 1073890064 /nfs/dbraw/zinc/89/00/64/1073890064.db2.gz DEJHECNNBMNFHL-QGZVFWFLSA-N 0 0 448.505 -0.059 20 0 IBADRN Cc1ncc2c(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000806236771 1073890117 /nfs/dbraw/zinc/89/01/17/1073890117.db2.gz VGCPENLUGUBTQW-GOSISDBHSA-N 0 0 430.509 -0.718 20 0 IBADRN Cc1ncc2c(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000806236773 1073890048 /nfs/dbraw/zinc/89/00/48/1073890048.db2.gz VGCPENLUGUBTQW-SFHVURJKSA-N 0 0 430.509 -0.718 20 0 IBADRN Cc1ncc2c(n1)CCC[C@H]2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000806241930 1073890143 /nfs/dbraw/zinc/89/01/43/1073890143.db2.gz UCOXTZRFGHAVSV-GOSISDBHSA-N 0 0 430.509 -0.718 20 0 IBADRN Cc1ncc2c(n1)CCC[C@@H]2NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000806241931 1073890157 /nfs/dbraw/zinc/89/01/57/1073890157.db2.gz UCOXTZRFGHAVSV-SFHVURJKSA-N 0 0 430.509 -0.718 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCNC(=O)C2)cc1OCC ZINC000806248794 1073889534 /nfs/dbraw/zinc/88/95/34/1073889534.db2.gz ADBWYTGQXIBTPH-UHFFFAOYSA-N 0 0 443.478 -0.736 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)Nc2ccn(CC(=O)N(C)C)n2)CC1 ZINC000806250204 1073889142 /nfs/dbraw/zinc/88/91/42/1073889142.db2.gz OIZBKKQGAXIXKO-UHFFFAOYSA-N 0 0 429.499 -0.055 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)C1=O ZINC000806260574 1073890195 /nfs/dbraw/zinc/89/01/95/1073890195.db2.gz RIQVFHRZLHNRIR-CABCVRRESA-N 0 0 425.530 -0.221 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)C1=O ZINC000806260662 1073890129 /nfs/dbraw/zinc/89/01/29/1073890129.db2.gz RIQVFHRZLHNRIR-GJZGRUSLSA-N 0 0 425.530 -0.221 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)C1=O ZINC000806260664 1073890164 /nfs/dbraw/zinc/89/01/64/1073890164.db2.gz RIQVFHRZLHNRIR-HUUCEWRRSA-N 0 0 425.530 -0.221 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)C1=O ZINC000806260665 1073890084 /nfs/dbraw/zinc/89/00/84/1073890084.db2.gz RIQVFHRZLHNRIR-LSDHHAIUSA-N 0 0 425.530 -0.221 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1=O ZINC000806260764 1073890038 /nfs/dbraw/zinc/89/00/38/1073890038.db2.gz VLWBBWTVJXKXFK-HNNXBMFYSA-N 0 0 447.517 -0.595 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1=O ZINC000806260765 1073890232 /nfs/dbraw/zinc/89/02/32/1073890232.db2.gz VLWBBWTVJXKXFK-OAHLLOKOSA-N 0 0 447.517 -0.595 20 0 IBADRN CC(C)N1CC[C@@H](NC(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1=O ZINC000806261520 1073890095 /nfs/dbraw/zinc/89/00/95/1073890095.db2.gz TZGLCXJTVCOGMX-LLVKDONJSA-N 0 0 428.433 -0.458 20 0 IBADRN CC(C)N1CC[C@H](NC(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1=O ZINC000806261521 1073890170 /nfs/dbraw/zinc/89/01/70/1073890170.db2.gz TZGLCXJTVCOGMX-NSHDSACASA-N 0 0 428.433 -0.458 20 0 IBADRN CC1(CCNC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)OCCO1 ZINC000806269396 1073890803 /nfs/dbraw/zinc/89/08/03/1073890803.db2.gz CTJPKBWGBFLGJQ-INIZCTEOSA-N 0 0 448.524 -0.333 20 0 IBADRN CC1(CCNC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)OCCO1 ZINC000806269397 1073890924 /nfs/dbraw/zinc/89/09/24/1073890924.db2.gz CTJPKBWGBFLGJQ-MRXNPFEDSA-N 0 0 448.524 -0.333 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000806269633 1073890175 /nfs/dbraw/zinc/89/01/75/1073890175.db2.gz HVQMQQDNXWWNBL-AWEZNQCLSA-N 0 0 430.469 -0.594 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000806269634 1073890106 /nfs/dbraw/zinc/89/01/06/1073890106.db2.gz HVQMQQDNXWWNBL-CQSZACIVSA-N 0 0 430.469 -0.594 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000806269674 1073890214 /nfs/dbraw/zinc/89/02/14/1073890214.db2.gz IMXGEYAXEFKGNM-INIZCTEOSA-N 0 0 442.524 -0.509 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000806269679 1073890743 /nfs/dbraw/zinc/89/07/43/1073890743.db2.gz IMXGEYAXEFKGNM-MRXNPFEDSA-N 0 0 442.524 -0.509 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CO1 ZINC000806270285 1073891024 /nfs/dbraw/zinc/89/10/24/1073891024.db2.gz RTDJCFXAGCAHPH-HNNXBMFYSA-N 0 0 448.524 -0.334 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CO1 ZINC000806270286 1073890837 /nfs/dbraw/zinc/89/08/37/1073890837.db2.gz RTDJCFXAGCAHPH-OAHLLOKOSA-N 0 0 448.524 -0.334 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000806270289 1073890557 /nfs/dbraw/zinc/89/05/57/1073890557.db2.gz RWMGJCCSGDPRHK-CVEARBPZSA-N 0 0 445.524 -0.913 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000806270290 1073890995 /nfs/dbraw/zinc/89/09/95/1073890995.db2.gz RWMGJCCSGDPRHK-HOTGVXAUSA-N 0 0 445.524 -0.913 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000806270291 1073890781 /nfs/dbraw/zinc/89/07/81/1073890781.db2.gz RWMGJCCSGDPRHK-HZPDHXFCSA-N 0 0 445.524 -0.913 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC000806270292 1073890676 /nfs/dbraw/zinc/89/06/76/1073890676.db2.gz RWMGJCCSGDPRHK-JKSUJKDBSA-N 0 0 445.524 -0.913 20 0 IBADRN O=C(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCC2(C1)OCCO2 ZINC000806270299 1073890659 /nfs/dbraw/zinc/89/06/59/1073890659.db2.gz SJCJRNQRNDRZES-INIZCTEOSA-N 0 0 446.508 -0.626 20 0 IBADRN O=C(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)C(=O)N1CCC2(C1)OCCO2 ZINC000806270300 1073890590 /nfs/dbraw/zinc/89/05/90/1073890590.db2.gz SJCJRNQRNDRZES-MRXNPFEDSA-N 0 0 446.508 -0.626 20 0 IBADRN CC(C)n1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(C(=O)Cn3cnnn3)CC1)CCC2 ZINC000806296090 1073892034 /nfs/dbraw/zinc/89/20/34/1073892034.db2.gz LGMAQSOZRSDOOL-HNNXBMFYSA-N 0 0 429.485 -0.685 20 0 IBADRN CC(C)n1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(C(=O)Cn3cnnn3)CC1)CCC2 ZINC000806296091 1073892015 /nfs/dbraw/zinc/89/20/15/1073892015.db2.gz LGMAQSOZRSDOOL-OAHLLOKOSA-N 0 0 429.485 -0.685 20 0 IBADRN CC(C)n1cc2c(n1)[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CCC2 ZINC000806298523 1073891403 /nfs/dbraw/zinc/89/14/03/1073891403.db2.gz JTQUSRAEFJFXAG-GOSISDBHSA-N 0 0 446.552 -0.039 20 0 IBADRN CC(C)n1cc2c(n1)[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CCC2 ZINC000806298524 1073891494 /nfs/dbraw/zinc/89/14/94/1073891494.db2.gz JTQUSRAEFJFXAG-SFHVURJKSA-N 0 0 446.552 -0.039 20 0 IBADRN O=C(N[C@H]1CCc2c[nH]nc2C1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000806303458 1073891432 /nfs/dbraw/zinc/89/14/32/1073891432.db2.gz WSSOVLQARGCCPG-AWEZNQCLSA-N 0 0 442.501 -0.324 20 0 IBADRN O=C(N[C@@H]1CCc2c[nH]nc2C1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000806303462 1073891572 /nfs/dbraw/zinc/89/15/72/1073891572.db2.gz WSSOVLQARGCCPG-CQSZACIVSA-N 0 0 442.501 -0.324 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)Nc1ccn(CCS(C)(=O)=O)n1)C(F)(F)F ZINC000806308778 1073891381 /nfs/dbraw/zinc/89/13/81/1073891381.db2.gz YFYRTAXDGGIQEI-SECBINFHSA-N 0 0 435.450 -0.129 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)Nc1ccn(CCS(C)(=O)=O)n1)C(F)(F)F ZINC000806308779 1073891528 /nfs/dbraw/zinc/89/15/28/1073891528.db2.gz YFYRTAXDGGIQEI-VIFPVBQESA-N 0 0 435.450 -0.129 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)Nc2cnn(CC(=O)N(C)C)c2)CC1 ZINC000806312170 1073891421 /nfs/dbraw/zinc/89/14/21/1073891421.db2.gz NQNDTGRVHMTKCQ-UHFFFAOYSA-N 0 0 429.499 -0.055 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000806335451 1073892006 /nfs/dbraw/zinc/89/20/06/1073892006.db2.gz VEFHNUYBRGFAAE-HNNXBMFYSA-N 0 0 427.546 -0.068 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NC[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC000806335452 1073891944 /nfs/dbraw/zinc/89/19/44/1073891944.db2.gz VEFHNUYBRGFAAE-OAHLLOKOSA-N 0 0 427.546 -0.068 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)[C@H](C)C1 ZINC000806370527 1073891911 /nfs/dbraw/zinc/89/19/11/1073891911.db2.gz AKDDETQCFDXLLE-CYBMUJFWSA-N 0 0 434.540 -0.219 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NC[C@H]2CCCCN2S(C)(=O)=O)c1=O ZINC000806370799 1073891929 /nfs/dbraw/zinc/89/19/29/1073891929.db2.gz KTFROGLHUJKPDZ-CYBMUJFWSA-N 0 0 434.540 -0.172 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NC[C@@H]2CCCCN2S(C)(=O)=O)c1=O ZINC000806370800 1073891900 /nfs/dbraw/zinc/89/19/00/1073891900.db2.gz KTFROGLHUJKPDZ-ZDUSSCGKSA-N 0 0 434.540 -0.172 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)NCc2ccccc2S(N)(=O)=O)c1=O ZINC000806371461 1073892054 /nfs/dbraw/zinc/89/20/54/1073892054.db2.gz SRIZQWBNLNPHDF-UHFFFAOYSA-N 0 0 428.492 -0.138 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1 ZINC000806371633 1073891960 /nfs/dbraw/zinc/89/19/60/1073891960.db2.gz LFIZHNFYTDDKKH-CYBMUJFWSA-N 0 0 434.540 -0.219 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1 ZINC000806371635 1073891953 /nfs/dbraw/zinc/89/19/53/1073891953.db2.gz LFIZHNFYTDDKKH-ZDUSSCGKSA-N 0 0 434.540 -0.219 20 0 IBADRN CC1(CCNC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)OCCO1 ZINC000806372552 1073892503 /nfs/dbraw/zinc/89/25/03/1073892503.db2.gz KEHLSPAEZOBRGM-UHFFFAOYSA-N 0 0 434.497 -0.769 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCC2(C)OCCO2)CC1 ZINC000806372687 1073892393 /nfs/dbraw/zinc/89/23/93/1073892393.db2.gz MBBICJROXDVTIQ-UHFFFAOYSA-N 0 0 434.559 -0.233 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)C1 ZINC000806372895 1073892420 /nfs/dbraw/zinc/89/24/20/1073892420.db2.gz YLRHLHPYGJOUHE-CYBMUJFWSA-N 0 0 449.555 -0.715 20 0 IBADRN CC1(CCNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)OCCO1 ZINC000806373011 1073892528 /nfs/dbraw/zinc/89/25/28/1073892528.db2.gz OWKZOAOLCDFDTA-UHFFFAOYSA-N 0 0 436.490 -0.451 20 0 IBADRN CC1(CCNC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)OCCO1 ZINC000806373104 1073892449 /nfs/dbraw/zinc/89/24/49/1073892449.db2.gz QFAIUWBBUBFIPE-UHFFFAOYSA-N 0 0 435.506 -0.331 20 0 IBADRN CC1(CCNC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)OCCO1 ZINC000806373242 1073892536 /nfs/dbraw/zinc/89/25/36/1073892536.db2.gz SOIFEWOKKLWJNH-UHFFFAOYSA-N 0 0 436.484 -0.031 20 0 IBADRN CC1(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)OCCO1 ZINC000806373797 1073892522 /nfs/dbraw/zinc/89/25/22/1073892522.db2.gz JGVNNNFFUFSMNM-UHFFFAOYSA-N 0 0 429.470 -0.072 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCCC2(C)OCCO2)CC1 ZINC000806373808 1073892485 /nfs/dbraw/zinc/89/24/85/1073892485.db2.gz JKHFXVAFEFXOAF-UHFFFAOYSA-N 0 0 446.570 -0.091 20 0 IBADRN CC1(CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)OCCO1 ZINC000806374653 1073892460 /nfs/dbraw/zinc/89/24/60/1073892460.db2.gz JTXODBKYGWZKFZ-UHFFFAOYSA-N 0 0 425.507 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCC3(C)OCCO3)CC2)cc1 ZINC000806375994 1073892085 /nfs/dbraw/zinc/89/20/85/1073892085.db2.gz VSRIFOWSYKWDPR-UHFFFAOYSA-N 0 0 441.506 -0.203 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCC3(C)OCCO3)CC2)cs1 ZINC000806379000 1073891918 /nfs/dbraw/zinc/89/19/18/1073891918.db2.gz KZKNJSRZPBOKOD-UHFFFAOYSA-N 0 0 432.524 -0.446 20 0 IBADRN CC1(CCNC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)OCCO1 ZINC000806379408 1073892042 /nfs/dbraw/zinc/89/20/42/1073892042.db2.gz SCCYOLKALFQDJX-UHFFFAOYSA-N 0 0 430.505 -0.011 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCC(=O)N2CCN(C)CC2)CC1 ZINC000806390347 1073892064 /nfs/dbraw/zinc/89/20/64/1073892064.db2.gz IGHFRLYCFFTSHF-UHFFFAOYSA-N 0 0 432.543 -0.698 20 0 IBADRN O=C(NCc1ccon1)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000806392281 1073891934 /nfs/dbraw/zinc/89/19/34/1073891934.db2.gz LHCADSDYBIHDSH-UHFFFAOYSA-N 0 0 436.475 -0.171 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)NCc3ccon3)CC2)c1 ZINC000806392588 1073893115 /nfs/dbraw/zinc/89/31/15/1073893115.db2.gz PFSBGIUFWHXHHH-UHFFFAOYSA-N 0 0 438.462 -0.159 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCNC(=O)c2cccnc2)CC1 ZINC000806394050 1073893021 /nfs/dbraw/zinc/89/30/21/1073893021.db2.gz ASGOHFKJQZFAIV-UHFFFAOYSA-N 0 0 426.495 -0.037 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)NCc4ccon4)CC3)C2=O)n(C)n1 ZINC000806394248 1073893139 /nfs/dbraw/zinc/89/31/39/1073893139.db2.gz HFAVQZVJVHWHDL-INIZCTEOSA-N 0 0 429.481 -0.327 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)NCc4ccon4)CC3)C2=O)n(C)n1 ZINC000806394249 1073892901 /nfs/dbraw/zinc/89/29/01/1073892901.db2.gz HFAVQZVJVHWHDL-MRXNPFEDSA-N 0 0 429.481 -0.327 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000806399115 1073893070 /nfs/dbraw/zinc/89/30/70/1073893070.db2.gz FCQXVCXGYTWZLD-MSOLQXFVSA-N 0 0 447.536 -0.253 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000806399116 1073893035 /nfs/dbraw/zinc/89/30/35/1073893035.db2.gz FCQXVCXGYTWZLD-QZTJIDSGSA-N 0 0 447.536 -0.253 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000806399117 1073892969 /nfs/dbraw/zinc/89/29/69/1073892969.db2.gz FCQXVCXGYTWZLD-ROUUACIJSA-N 0 0 447.536 -0.253 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000806399118 1073893099 /nfs/dbraw/zinc/89/30/99/1073893099.db2.gz FCQXVCXGYTWZLD-ZWKOTPCHSA-N 0 0 447.536 -0.253 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(CCS(C)(=O)=O)C1CC1 ZINC000806399266 1073892948 /nfs/dbraw/zinc/89/29/48/1073892948.db2.gz JGSVROXZRZWNKP-INIZCTEOSA-N 0 0 437.566 -0.256 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)N(CCS(C)(=O)=O)C1CC1 ZINC000806399267 1073893165 /nfs/dbraw/zinc/89/31/65/1073893165.db2.gz JGSVROXZRZWNKP-MRXNPFEDSA-N 0 0 437.566 -0.256 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000806399372 1073892935 /nfs/dbraw/zinc/89/29/35/1073892935.db2.gz LZPLWJMPRXVIJN-KRWDZBQOSA-N 0 0 439.582 -0.010 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1 ZINC000806399373 1073893060 /nfs/dbraw/zinc/89/30/60/1073893060.db2.gz LZPLWJMPRXVIJN-QGZVFWFLSA-N 0 0 439.582 -0.010 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000806399753 1073892987 /nfs/dbraw/zinc/89/29/87/1073892987.db2.gz ZDORVMKVVJELDM-INIZCTEOSA-N 0 0 425.555 -0.399 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@H](C)CN1CCN(c2ccccn2)CC1 ZINC000806399754 1073893174 /nfs/dbraw/zinc/89/31/74/1073893174.db2.gz ZDORVMKVVJELDM-MRXNPFEDSA-N 0 0 425.555 -0.399 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)C(=O)NCCOC2CCC2)CC1 ZINC000806411901 1073893595 /nfs/dbraw/zinc/89/35/95/1073893595.db2.gz GHONZVMBRHMIPM-UHFFFAOYSA-N 0 0 438.550 -0.076 20 0 IBADRN Cc1nonc1CNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000806412022 1073893398 /nfs/dbraw/zinc/89/33/98/1073893398.db2.gz IUXQNZWDAKTBMY-UHFFFAOYSA-N 0 0 436.494 -0.753 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC000806412198 1073893552 /nfs/dbraw/zinc/89/35/52/1073893552.db2.gz NBXOZEIQMCMETC-UHFFFAOYSA-N 0 0 448.549 -0.669 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000806429739 1073892408 /nfs/dbraw/zinc/89/24/08/1073892408.db2.gz WSFAPYPJRRSNJT-CYBMUJFWSA-N 0 0 436.531 -0.217 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000806429740 1073892455 /nfs/dbraw/zinc/89/24/55/1073892455.db2.gz WSFAPYPJRRSNJT-ZDUSSCGKSA-N 0 0 436.531 -0.217 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000806431834 1073892543 /nfs/dbraw/zinc/89/25/43/1073892543.db2.gz AZHRJSXZMGJFPS-CYBMUJFWSA-N 0 0 439.473 -0.810 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000806431835 1073892440 /nfs/dbraw/zinc/89/24/40/1073892440.db2.gz AZHRJSXZMGJFPS-ZDUSSCGKSA-N 0 0 439.473 -0.810 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(C(N)=O)c(Cl)n3)CC2)C[C@H](C)O1 ZINC000806434526 1073892432 /nfs/dbraw/zinc/89/24/32/1073892432.db2.gz NORQJJFFPGSZNP-RYUDHWBXSA-N 0 0 445.929 -0.054 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(C(N)=O)c(Cl)n3)CC2)C[C@H](C)O1 ZINC000806434527 1073892548 /nfs/dbraw/zinc/89/25/48/1073892548.db2.gz NORQJJFFPGSZNP-TXEJJXNPSA-N 0 0 445.929 -0.054 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ccc(C(N)=O)c(Cl)n3)CC2)C[C@@H](C)O1 ZINC000806434528 1073893013 /nfs/dbraw/zinc/89/30/13/1073893013.db2.gz NORQJJFFPGSZNP-VXGBXAGGSA-N 0 0 445.929 -0.054 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)Nc2ccc(S(=O)(=O)NC)cn2)CC1 ZINC000806435180 1073892476 /nfs/dbraw/zinc/89/24/76/1073892476.db2.gz GRNABGAJTPCUGN-UHFFFAOYSA-N 0 0 448.523 -0.036 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)C(=O)NCc2cccnn2)C1 ZINC000806463292 1073894216 /nfs/dbraw/zinc/89/42/16/1073894216.db2.gz HIVOOTGIPMCQHC-AWEZNQCLSA-N 0 0 434.497 -0.028 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)NCc2cccnn2)C1 ZINC000806463398 1073894056 /nfs/dbraw/zinc/89/40/56/1073894056.db2.gz HIVOOTGIPMCQHC-CQSZACIVSA-N 0 0 434.497 -0.028 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H]2CSCCS2)CC1 ZINC000806470429 1073893604 /nfs/dbraw/zinc/89/36/04/1073893604.db2.gz RXMMIKHMSMXNPC-AWEZNQCLSA-N 0 0 439.625 -0.150 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H]2CSCCS2)CC1 ZINC000806470430 1073893471 /nfs/dbraw/zinc/89/34/71/1073893471.db2.gz RXMMIKHMSMXNPC-CQSZACIVSA-N 0 0 439.625 -0.150 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC[C@H]2CSCCS2)CC1 ZINC000806471916 1073893660 /nfs/dbraw/zinc/89/36/60/1073893660.db2.gz JROIBFYFYHRYPX-CABCVRRESA-N 0 0 432.612 -0.363 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NC[C@H]2CSCCS2)CC1 ZINC000806471917 1073893530 /nfs/dbraw/zinc/89/35/30/1073893530.db2.gz JROIBFYFYHRYPX-GJZGRUSLSA-N 0 0 432.612 -0.363 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC[C@@H]2CSCCS2)CC1 ZINC000806471918 1073893586 /nfs/dbraw/zinc/89/35/86/1073893586.db2.gz JROIBFYFYHRYPX-HUUCEWRRSA-N 0 0 432.612 -0.363 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NC[C@@H]2CSCCS2)CC1 ZINC000806471919 1073893515 /nfs/dbraw/zinc/89/35/15/1073893515.db2.gz JROIBFYFYHRYPX-LSDHHAIUSA-N 0 0 432.612 -0.363 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H]2CSCCS2)C1 ZINC000806472399 1073893632 /nfs/dbraw/zinc/89/36/32/1073893632.db2.gz NXOBXQQWZJJVFT-KBPBESRZSA-N 0 0 439.625 -0.150 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H]2CSCCS2)C1 ZINC000806472400 1073893667 /nfs/dbraw/zinc/89/36/67/1073893667.db2.gz NXOBXQQWZJJVFT-KGLIPLIRSA-N 0 0 439.625 -0.150 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H]2CSCCS2)C1 ZINC000806472402 1073893640 /nfs/dbraw/zinc/89/36/40/1073893640.db2.gz NXOBXQQWZJJVFT-UONOGXRCSA-N 0 0 439.625 -0.150 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H]2CSCCS2)C1 ZINC000806472403 1073893650 /nfs/dbraw/zinc/89/36/50/1073893650.db2.gz NXOBXQQWZJJVFT-ZIAGYGMSSA-N 0 0 439.625 -0.150 20 0 IBADRN O=C(NC[C@@H]1CSCCS1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806473779 1073893629 /nfs/dbraw/zinc/89/36/29/1073893629.db2.gz UQHNSKFLAMEZBG-CYBMUJFWSA-N 0 0 434.565 -0.387 20 0 IBADRN O=C(NC[C@H]1CSCCS1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806473780 1073893541 /nfs/dbraw/zinc/89/35/41/1073893541.db2.gz UQHNSKFLAMEZBG-ZDUSSCGKSA-N 0 0 434.565 -0.387 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@@H]3CSCCS3)CC2)cn1C ZINC000806474943 1073893618 /nfs/dbraw/zinc/89/36/18/1073893618.db2.gz JAYRGNWARXICRP-CYBMUJFWSA-N 0 0 447.608 -0.474 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC[C@H]3CSCCS3)CC2)cn1C ZINC000806474944 1073894091 /nfs/dbraw/zinc/89/40/91/1073894091.db2.gz JAYRGNWARXICRP-ZDUSSCGKSA-N 0 0 447.608 -0.474 20 0 IBADRN O=C(NC[C@H]1CSCCS1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806475991 1073894100 /nfs/dbraw/zinc/89/41/00/1073894100.db2.gz ZBGPWAXYAGDUJO-KBPBESRZSA-N 0 0 437.609 -0.396 20 0 IBADRN O=C(NC[C@@H]1CSCCS1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806475996 1073894023 /nfs/dbraw/zinc/89/40/23/1073894023.db2.gz ZBGPWAXYAGDUJO-KGLIPLIRSA-N 0 0 437.609 -0.396 20 0 IBADRN O=C(NC[C@H]1CSCCS1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806475997 1073894040 /nfs/dbraw/zinc/89/40/40/1073894040.db2.gz ZBGPWAXYAGDUJO-UONOGXRCSA-N 0 0 437.609 -0.396 20 0 IBADRN O=C(NC[C@@H]1CSCCS1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806475999 1073894200 /nfs/dbraw/zinc/89/42/00/1073894200.db2.gz ZBGPWAXYAGDUJO-ZIAGYGMSSA-N 0 0 437.609 -0.396 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)N3CCN(S(=O)(=O)c4ccc(C(N)=O)o4)CC3)ccnc1-2 ZINC000806482034 1073893983 /nfs/dbraw/zinc/89/39/83/1073893983.db2.gz TUPBHRRHIBDIGP-UHFFFAOYSA-N 0 0 433.450 -0.428 20 0 IBADRN Cc1noc(C)c1CCCNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806483391 1073894188 /nfs/dbraw/zinc/89/41/88/1073894188.db2.gz DZMMBFWTYXRLQV-INIZCTEOSA-N 0 0 442.538 -0.007 20 0 IBADRN Cc1noc(C)c1CCCNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806483394 1073894073 /nfs/dbraw/zinc/89/40/73/1073894073.db2.gz DZMMBFWTYXRLQV-MRXNPFEDSA-N 0 0 442.538 -0.007 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)C[C@H](C)O1 ZINC000806487738 1073893961 /nfs/dbraw/zinc/89/39/61/1073893961.db2.gz JLEBLIAASSQKTD-KBPBESRZSA-N 0 0 437.526 -0.155 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)C[C@H](C)O1 ZINC000806487739 1073894002 /nfs/dbraw/zinc/89/40/02/1073894002.db2.gz JLEBLIAASSQKTD-OKILXGFUSA-N 0 0 437.526 -0.155 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)C[C@@H](C)O1 ZINC000806487740 1073894270 /nfs/dbraw/zinc/89/42/70/1073894270.db2.gz JLEBLIAASSQKTD-ZIAGYGMSSA-N 0 0 437.526 -0.155 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)ccnc1-2 ZINC000806489340 1073894131 /nfs/dbraw/zinc/89/41/31/1073894131.db2.gz PHTQXWQDNGBZSA-GFCCVEGCSA-N 0 0 442.523 -0.992 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)ccnc1-2 ZINC000806489346 1073894709 /nfs/dbraw/zinc/89/47/09/1073894709.db2.gz PHTQXWQDNGBZSA-LBPRGKRZSA-N 0 0 442.523 -0.992 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000806494209 1073894245 /nfs/dbraw/zinc/89/42/45/1073894245.db2.gz NAMWUTQDHUCFGT-AWEZNQCLSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000806494210 1073894262 /nfs/dbraw/zinc/89/42/62/1073894262.db2.gz NAMWUTQDHUCFGT-CQSZACIVSA-N 0 0 440.497 -0.218 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC000806507556 1073894163 /nfs/dbraw/zinc/89/41/63/1073894163.db2.gz QTWWRVUPFDOOKQ-OAHLLOKOSA-N 0 0 443.547 -0.148 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C(=O)NCc1cc(C(N)=O)no1 ZINC000806514081 1073894757 /nfs/dbraw/zinc/89/47/57/1073894757.db2.gz QYEJEAUOMIELNM-UHFFFAOYSA-N 0 0 438.485 -0.599 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CCO1 ZINC000806514286 1073894648 /nfs/dbraw/zinc/89/46/48/1073894648.db2.gz UYZDWKAGXRXGJZ-GWCFXTLKSA-N 0 0 425.442 -0.470 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CCO1 ZINC000806514288 1073894768 /nfs/dbraw/zinc/89/47/68/1073894768.db2.gz UYZDWKAGXRXGJZ-GXFFZTMASA-N 0 0 425.442 -0.470 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CCO1 ZINC000806514289 1073894623 /nfs/dbraw/zinc/89/46/23/1073894623.db2.gz UYZDWKAGXRXGJZ-MFKMUULPSA-N 0 0 425.442 -0.470 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)C(=O)NCc2cc(C(N)=O)no2)CCO1 ZINC000806514291 1073894786 /nfs/dbraw/zinc/89/47/86/1073894786.db2.gz UYZDWKAGXRXGJZ-ZWNOBZJWSA-N 0 0 425.442 -0.470 20 0 IBADRN C[C@@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000806516839 1073894816 /nfs/dbraw/zinc/89/48/16/1073894816.db2.gz PPADBEPVEYFFFQ-AWEZNQCLSA-N 0 0 425.530 -0.171 20 0 IBADRN C[C@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000806516840 1073894640 /nfs/dbraw/zinc/89/46/40/1073894640.db2.gz PPADBEPVEYFFFQ-CQSZACIVSA-N 0 0 425.530 -0.171 20 0 IBADRN C[C@@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000806517311 1073894601 /nfs/dbraw/zinc/89/46/01/1073894601.db2.gz NTEGHNHNJZVBOW-AWEZNQCLSA-N 0 0 436.513 -0.152 20 0 IBADRN C[C@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(c2nccn(C)c2=O)CC1 ZINC000806517312 1073894730 /nfs/dbraw/zinc/89/47/30/1073894730.db2.gz NTEGHNHNJZVBOW-CQSZACIVSA-N 0 0 436.513 -0.152 20 0 IBADRN C[C@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000806517473 1073894698 /nfs/dbraw/zinc/89/46/98/1073894698.db2.gz PQRVUBGPAJQLBY-CYBMUJFWSA-N 0 0 439.513 -0.645 20 0 IBADRN C[C@@H](CCNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000806517479 1073894611 /nfs/dbraw/zinc/89/46/11/1073894611.db2.gz PQRVUBGPAJQLBY-ZDUSSCGKSA-N 0 0 439.513 -0.645 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1ccc[nH]1 ZINC000806529104 1073894792 /nfs/dbraw/zinc/89/47/92/1073894792.db2.gz QZZLISJBCYRYTF-KFWWJZLASA-N 0 0 427.527 -0.310 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1ccc[nH]1 ZINC000806529105 1073894777 /nfs/dbraw/zinc/89/47/77/1073894777.db2.gz QZZLISJBCYRYTF-QLFBSQMISA-N 0 0 427.527 -0.310 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)c1ccc[nH]1 ZINC000806529106 1073894675 /nfs/dbraw/zinc/89/46/75/1073894675.db2.gz QZZLISJBCYRYTF-RBSFLKMASA-N 0 0 427.527 -0.310 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)c1ccc[nH]1 ZINC000806529107 1073895412 /nfs/dbraw/zinc/89/54/12/1073895412.db2.gz QZZLISJBCYRYTF-ZNMIVQPWSA-N 0 0 427.527 -0.310 20 0 IBADRN CC(=O)OC(C)(C)C(=O)NCCS(=O)(=O)N1CCN(C(=O)C(C)(C)OC(C)=O)CC1 ZINC000806538979 1073894659 /nfs/dbraw/zinc/89/46/59/1073894659.db2.gz CAMCLKNMKPLHPK-UHFFFAOYSA-N 0 0 449.526 -0.740 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)NCCS(=O)(=O)N2CCOCC2)ccc1F ZINC000806543880 1073894743 /nfs/dbraw/zinc/89/47/43/1073894743.db2.gz LVQPHOSDGMABFB-UHFFFAOYSA-N 0 0 438.503 -0.141 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cn(C(C)C)nn2)c(=O)n1CC(=O)N1CCOCC1 ZINC000806569939 1073895254 /nfs/dbraw/zinc/89/52/54/1073895254.db2.gz KXDKYDKMNZWROU-UHFFFAOYSA-N 0 0 435.506 -0.887 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=S)NCCNS(C)(=O)=O)C2=O)c(OC)c1 ZINC000806591501 1073895167 /nfs/dbraw/zinc/89/51/67/1073895167.db2.gz PLEFJYUPIMMVJO-AWEZNQCLSA-N 0 0 430.552 -0.182 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=S)NCCNS(C)(=O)=O)C2=O)c(OC)c1 ZINC000806591502 1073895203 /nfs/dbraw/zinc/89/52/03/1073895203.db2.gz PLEFJYUPIMMVJO-CQSZACIVSA-N 0 0 430.552 -0.182 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=S)NCc2ccc(S(N)(=O)=O)cc2)C1 ZINC000806594291 1073895364 /nfs/dbraw/zinc/89/53/64/1073895364.db2.gz OUNSAXSOTXBQSL-CYBMUJFWSA-N 0 0 435.597 -0.431 20 0 IBADRN COCc1cnc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000806609485 1073895213 /nfs/dbraw/zinc/89/52/13/1073895213.db2.gz BRXMVXFAVNLOKU-GFCCVEGCSA-N 0 0 438.553 -0.044 20 0 IBADRN COCc1cnc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000806609487 1073895124 /nfs/dbraw/zinc/89/51/24/1073895124.db2.gz BRXMVXFAVNLOKU-LBPRGKRZSA-N 0 0 438.553 -0.044 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CCS(=O)(=O)CC(=O)OC(C)(C)C)CC1 ZINC000806626130 1073895786 /nfs/dbraw/zinc/89/57/86/1073895786.db2.gz LTLWMKPDHCVINX-UHFFFAOYSA-N 0 0 427.589 -0.053 20 0 IBADRN CC(C)(C)OC(=O)CS(=O)(=O)CCN1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806629298 1073895913 /nfs/dbraw/zinc/89/59/13/1073895913.db2.gz WZVJWXSARKIUFP-UHFFFAOYSA-N 0 0 437.540 -0.122 20 0 IBADRN O=C(N[C@@H]1CCOC1)OC[C@@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000806642402 1073895853 /nfs/dbraw/zinc/89/58/53/1073895853.db2.gz BGUDSIHRLNQCQP-CVEARBPZSA-N 0 0 448.542 -0.272 20 0 IBADRN O=C(N[C@H]1CCOC1)OC[C@@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000806642407 1073895881 /nfs/dbraw/zinc/89/58/81/1073895881.db2.gz BGUDSIHRLNQCQP-HOTGVXAUSA-N 0 0 448.542 -0.272 20 0 IBADRN O=C(N[C@@H]1CCOC1)OC[C@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000806642410 1073896275 /nfs/dbraw/zinc/89/62/75/1073896275.db2.gz BGUDSIHRLNQCQP-HZPDHXFCSA-N 0 0 448.542 -0.272 20 0 IBADRN O=C(N[C@H]1CCOC1)OC[C@H]1CCCCN1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000806642412 1073896340 /nfs/dbraw/zinc/89/63/40/1073896340.db2.gz BGUDSIHRLNQCQP-JKSUJKDBSA-N 0 0 448.542 -0.272 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000806643552 1073896457 /nfs/dbraw/zinc/89/64/57/1073896457.db2.gz HPHXURMANMBBCY-AWEZNQCLSA-N 0 0 438.572 -0.848 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000806643553 1073896392 /nfs/dbraw/zinc/89/63/92/1073896392.db2.gz HPHXURMANMBBCY-CQSZACIVSA-N 0 0 438.572 -0.848 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1ccncc1OC[C@H]1CCOC1 ZINC000806643692 1073896477 /nfs/dbraw/zinc/89/64/77/1073896477.db2.gz ITWLZXCQLSMTJW-HNNXBMFYSA-N 0 0 428.511 -0.042 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1ccncc1OC[C@@H]1CCOC1 ZINC000806643693 1073896417 /nfs/dbraw/zinc/89/64/17/1073896417.db2.gz ITWLZXCQLSMTJW-OAHLLOKOSA-N 0 0 428.511 -0.042 20 0 IBADRN CC(C)(C)OC(=O)CS(=O)(=O)CCS(=O)(=O)CCN1C(=O)NC(C)(C)C1=O ZINC000806644086 1073896382 /nfs/dbraw/zinc/89/63/82/1073896382.db2.gz PDQUKOVZKLRFBA-UHFFFAOYSA-N 0 0 426.513 -0.512 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@H]1CCN(S(=O)(=O)c2ccccc2)C1 ZINC000806644308 1073896356 /nfs/dbraw/zinc/89/63/56/1073896356.db2.gz TXETVESQHDITPZ-HNNXBMFYSA-N 0 0 446.551 -0.589 20 0 IBADRN CC(C)(C)OC(=O)CS(=O)(=O)CCS(=O)(=O)c1nnc(N2CCOCC2)s1 ZINC000806646261 1073896434 /nfs/dbraw/zinc/89/64/34/1073896434.db2.gz OHRGZIFHQVKQGY-UHFFFAOYSA-N 0 0 441.553 -0.095 20 0 IBADRN Cn1c(N2CCOCC2)nnc1S(=O)(=O)CCS(=O)(=O)CC(=O)OC(C)(C)C ZINC000806648223 1073896329 /nfs/dbraw/zinc/89/63/29/1073896329.db2.gz UCWVYAVLUFGSQD-UHFFFAOYSA-N 0 0 438.528 -0.818 20 0 IBADRN CC(C)(C)OC(=O)CS(=O)(=O)CCS(=O)(=O)c1n[nH]c(=O)n1C[C@H]1CCCO1 ZINC000806648246 1073896306 /nfs/dbraw/zinc/89/63/06/1073896306.db2.gz UZTZNEARSXRTSM-LLVKDONJSA-N 0 0 439.512 -0.307 20 0 IBADRN CC(C)(C)OC(=O)CS(=O)(=O)CCS(=O)(=O)c1n[nH]c(=O)n1C[C@@H]1CCCO1 ZINC000806648247 1073896404 /nfs/dbraw/zinc/89/64/04/1073896404.db2.gz UZTZNEARSXRTSM-NSHDSACASA-N 0 0 439.512 -0.307 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CO1 ZINC000806649627 1073896484 /nfs/dbraw/zinc/89/64/84/1073896484.db2.gz FUWYGBZXZJMRGU-UHFFFAOYSA-N 0 0 434.497 -0.771 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CO1 ZINC000806649663 1073895277 /nfs/dbraw/zinc/89/52/77/1073895277.db2.gz GRUWNBQIWLFEBK-UHFFFAOYSA-N 0 0 436.490 -0.453 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CO1 ZINC000806649670 1073895321 /nfs/dbraw/zinc/89/53/21/1073895321.db2.gz GUGAAHYZATXTTM-UHFFFAOYSA-N 0 0 436.484 -0.033 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CO1 ZINC000806649829 1073895331 /nfs/dbraw/zinc/89/53/31/1073895331.db2.gz JGYFLIVWLJUIAX-UHFFFAOYSA-N 0 0 435.506 -0.332 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NC2COC(C)(C)OC2)CC1 ZINC000806650017 1073895150 /nfs/dbraw/zinc/89/51/50/1073895150.db2.gz MTMUCYGYKRBYLD-UHFFFAOYSA-N 0 0 434.559 -0.235 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NC2COC(C)(C)OC2)CC1 ZINC000806651723 1073895378 /nfs/dbraw/zinc/89/53/78/1073895378.db2.gz HZVPJVWTCKIBHW-UHFFFAOYSA-N 0 0 446.570 -0.092 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CO1 ZINC000806652675 1073895801 /nfs/dbraw/zinc/89/58/01/1073895801.db2.gz OMFDCNWYBHUEMZ-UHFFFAOYSA-N 0 0 429.470 -0.074 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3COC(C)(C)OC3)CC2)cc1 ZINC000806653418 1073895240 /nfs/dbraw/zinc/89/52/40/1073895240.db2.gz FRKIXBRIPOENCG-UHFFFAOYSA-N 0 0 441.506 -0.204 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CO1 ZINC000806654354 1073895778 /nfs/dbraw/zinc/89/57/78/1073895778.db2.gz PQMUUYXQXPAXLH-UHFFFAOYSA-N 0 0 425.507 -0.072 20 0 IBADRN CC1(C)OCC(NC(=O)C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)CO1 ZINC000806657512 1073895835 /nfs/dbraw/zinc/89/58/35/1073895835.db2.gz GTUGSDAICDCBPJ-UHFFFAOYSA-N 0 0 430.505 -0.012 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3COC(C)(C)OC3)CC2)cs1 ZINC000806659559 1073895842 /nfs/dbraw/zinc/89/58/42/1073895842.db2.gz YVLINIQANBHUJH-UHFFFAOYSA-N 0 0 432.524 -0.448 20 0 IBADRN COCCc1ccnc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000806660079 1073895895 /nfs/dbraw/zinc/89/58/95/1073895895.db2.gz PQQOSZSWIPWCDG-HNNXBMFYSA-N 0 0 446.551 -0.063 20 0 IBADRN COCCc1ccnc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000806660080 1073895870 /nfs/dbraw/zinc/89/58/70/1073895870.db2.gz PQQOSZSWIPWCDG-OAHLLOKOSA-N 0 0 446.551 -0.063 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@H]3COC4(CCCC4)O3)CC2)no1 ZINC000806685093 1073896466 /nfs/dbraw/zinc/89/64/66/1073896466.db2.gz MPLXPSPMEISHCT-HNNXBMFYSA-N 0 0 435.481 -0.132 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H]3COC4(CCCC4)O3)CC2)no1 ZINC000806685094 1073896262 /nfs/dbraw/zinc/89/62/62/1073896262.db2.gz MPLXPSPMEISHCT-OAHLLOKOSA-N 0 0 435.481 -0.132 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H]2COC3(CCCC3)O2)CC1 ZINC000806686099 1073896910 /nfs/dbraw/zinc/89/69/10/1073896910.db2.gz BBSJIYCODVUWLC-INIZCTEOSA-N 0 0 447.554 -0.313 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCCC3)O2)CC1 ZINC000806686100 1073896956 /nfs/dbraw/zinc/89/69/56/1073896956.db2.gz BBSJIYCODVUWLC-MRXNPFEDSA-N 0 0 447.554 -0.313 20 0 IBADRN O=C(NC[C@H]1COC2(CCCC2)O1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806686146 1073896926 /nfs/dbraw/zinc/89/69/26/1073896926.db2.gz WGLCWVXBDJQYNE-HNNXBMFYSA-N 0 0 442.494 -0.550 20 0 IBADRN O=C(NC[C@@H]1COC2(CCCC2)O1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000806686147 1073896964 /nfs/dbraw/zinc/89/69/64/1073896964.db2.gz WGLCWVXBDJQYNE-OAHLLOKOSA-N 0 0 442.494 -0.550 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCCC3)O2)CC1 ZINC000806686812 1073896975 /nfs/dbraw/zinc/89/69/75/1073896975.db2.gz FHRBIOUDFSAJCL-DLBZAZTESA-N 0 0 440.541 -0.526 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCCC3)O2)CC1 ZINC000806686816 1073896931 /nfs/dbraw/zinc/89/69/31/1073896931.db2.gz FHRBIOUDFSAJCL-IAGOWNOFSA-N 0 0 440.541 -0.526 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NC[C@H]2COC3(CCCC3)O2)CC1 ZINC000806686819 1073896919 /nfs/dbraw/zinc/89/69/19/1073896919.db2.gz FHRBIOUDFSAJCL-IRXDYDNUSA-N 0 0 440.541 -0.526 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NC[C@H]2COC3(CCCC3)O2)CC1 ZINC000806686823 1073896955 /nfs/dbraw/zinc/89/69/55/1073896955.db2.gz FHRBIOUDFSAJCL-SJORKVTESA-N 0 0 440.541 -0.526 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@H]2COC3(CCCC3)O2)CCN1c1nccn2cnnc12 ZINC000806687677 1073897009 /nfs/dbraw/zinc/89/70/09/1073897009.db2.gz NJKBHMCXDODLHA-CABCVRRESA-N 0 0 429.481 -0.037 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC[C@H]2COC3(CCCC3)O2)CCN1c1nccn2cnnc12 ZINC000806687678 1073896960 /nfs/dbraw/zinc/89/69/60/1073896960.db2.gz NJKBHMCXDODLHA-GJZGRUSLSA-N 0 0 429.481 -0.037 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@@H]2COC3(CCCC3)O2)CCN1c1nccn2cnnc12 ZINC000806687679 1073896995 /nfs/dbraw/zinc/89/69/95/1073896995.db2.gz NJKBHMCXDODLHA-HUUCEWRRSA-N 0 0 429.481 -0.037 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC[C@@H]2COC3(CCCC3)O2)CCN1c1nccn2cnnc12 ZINC000806687680 1073896982 /nfs/dbraw/zinc/89/69/82/1073896982.db2.gz NJKBHMCXDODLHA-LSDHHAIUSA-N 0 0 429.481 -0.037 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NC[C@H]2COC3(CCCC3)O2)CC1 ZINC000806689461 1073896936 /nfs/dbraw/zinc/89/69/36/1073896936.db2.gz LYLPRAIFASZSEK-AWEZNQCLSA-N 0 0 429.481 -0.186 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCCC3)O2)CC1 ZINC000806689463 1073897000 /nfs/dbraw/zinc/89/70/00/1073897000.db2.gz LYLPRAIFASZSEK-CQSZACIVSA-N 0 0 429.481 -0.186 20 0 IBADRN O=C(NC[C@@H]1COC2(CCCC2)O1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806690278 1073896967 /nfs/dbraw/zinc/89/69/67/1073896967.db2.gz WJVQMGMWECGNLK-CVEARBPZSA-N 0 0 445.538 -0.559 20 0 IBADRN O=C(NC[C@H]1COC2(CCCC2)O1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806690284 1073896979 /nfs/dbraw/zinc/89/69/79/1073896979.db2.gz WJVQMGMWECGNLK-HOTGVXAUSA-N 0 0 445.538 -0.559 20 0 IBADRN O=C(NC[C@@H]1COC2(CCCC2)O1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806690286 1073897381 /nfs/dbraw/zinc/89/73/81/1073897381.db2.gz WJVQMGMWECGNLK-HZPDHXFCSA-N 0 0 445.538 -0.559 20 0 IBADRN O=C(NC[C@H]1COC2(CCCC2)O1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000806690289 1073897508 /nfs/dbraw/zinc/89/75/08/1073897508.db2.gz WJVQMGMWECGNLK-JKSUJKDBSA-N 0 0 445.538 -0.559 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H]2COC3(CCCC3)O2)C1 ZINC000806690564 1073896991 /nfs/dbraw/zinc/89/69/91/1073896991.db2.gz ZODJVEJTXVKMPI-CVEARBPZSA-N 0 0 447.554 -0.313 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H]2COC3(CCCC3)O2)C1 ZINC000806690565 1073896948 /nfs/dbraw/zinc/89/69/48/1073896948.db2.gz ZODJVEJTXVKMPI-HOTGVXAUSA-N 0 0 447.554 -0.313 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H]2COC3(CCCC3)O2)C1 ZINC000806690566 1073896972 /nfs/dbraw/zinc/89/69/72/1073896972.db2.gz ZODJVEJTXVKMPI-HZPDHXFCSA-N 0 0 447.554 -0.313 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H]2COC3(CCCC3)O2)C1 ZINC000806690567 1073896987 /nfs/dbraw/zinc/89/69/87/1073896987.db2.gz ZODJVEJTXVKMPI-JKSUJKDBSA-N 0 0 447.554 -0.313 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC[C@H]1COC2(CCCCC2)O1 ZINC000806696883 1073897389 /nfs/dbraw/zinc/89/73/89/1073897389.db2.gz CSIWVVJLGGILJU-AWEZNQCLSA-N 0 0 430.483 -0.598 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC[C@@H]1COC2(CCCCC2)O1 ZINC000806696884 1073897473 /nfs/dbraw/zinc/89/74/73/1073897473.db2.gz CSIWVVJLGGILJU-CQSZACIVSA-N 0 0 430.483 -0.598 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@H]2COC3(CCCCC3)O2)CC1 ZINC000806699995 1073897311 /nfs/dbraw/zinc/89/73/11/1073897311.db2.gz NDIKPWBBIXQHCI-KRWDZBQOSA-N 0 0 438.525 -0.819 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCCCC3)O2)CC1 ZINC000806699996 1073897356 /nfs/dbraw/zinc/89/73/56/1073897356.db2.gz NDIKPWBBIXQHCI-QGZVFWFLSA-N 0 0 438.525 -0.819 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2COC3(CCCCC3)O2)CC1)N1CCOCC1 ZINC000806700227 1073897459 /nfs/dbraw/zinc/89/74/59/1073897459.db2.gz SJWFLPJKQCAAOI-KRWDZBQOSA-N 0 0 438.525 -0.819 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCCCC3)O2)CC1)N1CCOCC1 ZINC000806700228 1073897499 /nfs/dbraw/zinc/89/74/99/1073897499.db2.gz SJWFLPJKQCAAOI-QGZVFWFLSA-N 0 0 438.525 -0.819 20 0 IBADRN CC1CCC2(CC1)OC[C@H](CNC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)O2 ZINC000806702767 1073897370 /nfs/dbraw/zinc/89/73/70/1073897370.db2.gz BJSIPWPAYVYKAC-TZQQIIETSA-N 0 0 433.509 -0.133 20 0 IBADRN CC1CCC2(CC1)OC[C@@H](CNC(=O)C(=O)N1CCN(c3nccn(C)c3=O)CC1)O2 ZINC000806702768 1073897419 /nfs/dbraw/zinc/89/74/19/1073897419.db2.gz BJSIPWPAYVYKAC-ZGOJQLDESA-N 0 0 433.509 -0.133 20 0 IBADRN CC1CCC2(CC1)OC[C@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)O2 ZINC000806703850 1073897297 /nfs/dbraw/zinc/89/72/97/1073897297.db2.gz JFAOZQPDPAESOV-DBQDNQAGSA-N 0 0 444.510 -0.352 20 0 IBADRN CC1CCC2(CC1)OC[C@@H](CNC(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)O2 ZINC000806703923 1073897502 /nfs/dbraw/zinc/89/75/02/1073897502.db2.gz JFAOZQPDPAESOV-NSWWBONSSA-N 0 0 444.510 -0.352 20 0 IBADRN CC1CCC2(CC1)OC[C@@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)O2 ZINC000806704630 1073897490 /nfs/dbraw/zinc/89/74/90/1073897490.db2.gz OXYJCLWNHOPAIA-JERHATOGSA-N 0 0 436.509 -0.626 20 0 IBADRN CC1CCC2(CC1)OC[C@H](CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC3CC3)CC1)O2 ZINC000806704635 1073897430 /nfs/dbraw/zinc/89/74/30/1073897430.db2.gz OXYJCLWNHOPAIA-PKAMJGAUSA-N 0 0 436.509 -0.626 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000806708155 1073898064 /nfs/dbraw/zinc/89/80/64/1073898064.db2.gz DWCIOCIFDLBRCM-INIZCTEOSA-N 0 0 426.514 -0.963 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000806708156 1073898040 /nfs/dbraw/zinc/89/80/40/1073898040.db2.gz DWCIOCIFDLBRCM-MRXNPFEDSA-N 0 0 426.514 -0.963 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000806708953 1073897990 /nfs/dbraw/zinc/89/79/90/1073897990.db2.gz UESJFXMVOCIKLC-DLBZAZTESA-N 0 0 432.477 -0.273 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC000806708956 1073897977 /nfs/dbraw/zinc/89/79/77/1073897977.db2.gz UESJFXMVOCIKLC-IAGOWNOFSA-N 0 0 432.477 -0.273 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000806708957 1073897902 /nfs/dbraw/zinc/89/79/02/1073897902.db2.gz UESJFXMVOCIKLC-IRXDYDNUSA-N 0 0 432.477 -0.273 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC000806708959 1073898056 /nfs/dbraw/zinc/89/80/56/1073898056.db2.gz UESJFXMVOCIKLC-SJORKVTESA-N 0 0 432.477 -0.273 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CCN1c1nccn2cnnc12 ZINC000806710505 1073898528 /nfs/dbraw/zinc/89/85/28/1073898528.db2.gz VBCCUXSCFYKCFH-CABCVRRESA-N 0 0 445.480 -0.800 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CCN1c1nccn2cnnc12 ZINC000806710506 1073898545 /nfs/dbraw/zinc/89/85/45/1073898545.db2.gz VBCCUXSCFYKCFH-GJZGRUSLSA-N 0 0 445.480 -0.800 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CCN1c1nccn2cnnc12 ZINC000806710507 1073898565 /nfs/dbraw/zinc/89/85/65/1073898565.db2.gz VBCCUXSCFYKCFH-HUUCEWRRSA-N 0 0 445.480 -0.800 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CCN1c1nccn2cnnc12 ZINC000806710508 1073898476 /nfs/dbraw/zinc/89/84/76/1073898476.db2.gz VBCCUXSCFYKCFH-LSDHHAIUSA-N 0 0 445.480 -0.800 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1 ZINC000806710615 1073897947 /nfs/dbraw/zinc/89/79/47/1073897947.db2.gz VFNTVCBGPABLSO-ARFHVFGLSA-N 0 0 427.498 -0.586 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1 ZINC000806710618 1073897935 /nfs/dbraw/zinc/89/79/35/1073897935.db2.gz VFNTVCBGPABLSO-BZUAXINKSA-N 0 0 427.498 -0.586 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)C1 ZINC000806710619 1073898026 /nfs/dbraw/zinc/89/80/26/1073898026.db2.gz VFNTVCBGPABLSO-OAGGEKHMSA-N 0 0 427.498 -0.586 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)C1 ZINC000806710621 1073897967 /nfs/dbraw/zinc/89/79/67/1073897967.db2.gz VFNTVCBGPABLSO-XHSDSOJGSA-N 0 0 427.498 -0.586 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC[C@H]3COC4(CCOCC4)O3)C2)nc1 ZINC000806711334 1073898577 /nfs/dbraw/zinc/89/85/77/1073898577.db2.gz HEWCNRYNSBFYDY-INIZCTEOSA-N 0 0 448.476 -0.399 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)NC[C@@H]3COC4(CCOCC4)O3)C2)nc1 ZINC000806711335 1073898496 /nfs/dbraw/zinc/89/84/96/1073898496.db2.gz HEWCNRYNSBFYDY-MRXNPFEDSA-N 0 0 448.476 -0.399 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000806711336 1073898591 /nfs/dbraw/zinc/89/85/91/1073898591.db2.gz HIOKSQRYCXHUQK-CVEARBPZSA-N 0 0 426.514 -0.916 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000806711337 1073898582 /nfs/dbraw/zinc/89/85/82/1073898582.db2.gz HIOKSQRYCXHUQK-HOTGVXAUSA-N 0 0 426.514 -0.916 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000806711338 1073898506 /nfs/dbraw/zinc/89/85/06/1073898506.db2.gz HIOKSQRYCXHUQK-HZPDHXFCSA-N 0 0 426.514 -0.916 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000806711339 1073898487 /nfs/dbraw/zinc/89/84/87/1073898487.db2.gz HIOKSQRYCXHUQK-JKSUJKDBSA-N 0 0 426.514 -0.916 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1)Nc1ccccc1 ZINC000806712409 1073898539 /nfs/dbraw/zinc/89/85/39/1073898539.db2.gz WJOIMKPZCONJMW-GOSISDBHSA-N 0 0 446.504 -0.192 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1)Nc1ccccc1 ZINC000806712410 1073898557 /nfs/dbraw/zinc/89/85/57/1073898557.db2.gz WJOIMKPZCONJMW-SFHVURJKSA-N 0 0 446.504 -0.192 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1 ZINC000806712416 1073898440 /nfs/dbraw/zinc/89/84/40/1073898440.db2.gz FPKCOMJDFNNVHI-BEFAXECRSA-N 0 0 428.482 -0.157 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1 ZINC000806712420 1073898423 /nfs/dbraw/zinc/89/84/23/1073898423.db2.gz FPKCOMJDFNNVHI-DNVCBOLYSA-N 0 0 428.482 -0.157 20 0 IBADRN CCOC(=O)[C@]1(COC)CCCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)C1 ZINC000806712421 1073898397 /nfs/dbraw/zinc/89/83/97/1073898397.db2.gz FPKCOMJDFNNVHI-HNAYVOBHSA-N 0 0 428.482 -0.157 20 0 IBADRN CCOC(=O)[C@@]1(COC)CCCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)C1 ZINC000806712423 1073898517 /nfs/dbraw/zinc/89/85/17/1073898517.db2.gz FPKCOMJDFNNVHI-KXBFYZLASA-N 0 0 428.482 -0.157 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000806712505 1073898462 /nfs/dbraw/zinc/89/84/62/1073898462.db2.gz YCDFJCPMROWGEW-CYBMUJFWSA-N 0 0 437.415 -0.058 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000806712506 1073898596 /nfs/dbraw/zinc/89/85/96/1073898596.db2.gz YCDFJCPMROWGEW-ZDUSSCGKSA-N 0 0 437.415 -0.058 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000806712984 1073899065 /nfs/dbraw/zinc/89/90/65/1073899065.db2.gz FZIYAQYUHXFSSD-AWEZNQCLSA-N 0 0 445.480 -0.950 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000806712985 1073899086 /nfs/dbraw/zinc/89/90/86/1073899086.db2.gz FZIYAQYUHXFSSD-CQSZACIVSA-N 0 0 445.480 -0.950 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NC[C@H]3COC4(CCOCC4)O3)CC2)n1 ZINC000806713825 1073899666 /nfs/dbraw/zinc/89/96/66/1073899666.db2.gz QRHKGKIWXVQXHE-INIZCTEOSA-N 0 0 449.508 -0.048 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)C(=O)NC[C@@H]3COC4(CCOCC4)O3)CC2)n1 ZINC000806713826 1073899709 /nfs/dbraw/zinc/89/97/09/1073899709.db2.gz QRHKGKIWXVQXHE-MRXNPFEDSA-N 0 0 449.508 -0.048 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CCO1 ZINC000806714084 1073899046 /nfs/dbraw/zinc/89/90/46/1073899046.db2.gz XBAWXFCDABMSAC-CABCVRRESA-N 0 0 443.497 -0.223 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CCO1 ZINC000806714085 1073899117 /nfs/dbraw/zinc/89/91/17/1073899117.db2.gz XBAWXFCDABMSAC-GJZGRUSLSA-N 0 0 443.497 -0.223 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CCO1 ZINC000806714086 1073899611 /nfs/dbraw/zinc/89/96/11/1073899611.db2.gz XBAWXFCDABMSAC-HUUCEWRRSA-N 0 0 443.497 -0.223 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CCO1 ZINC000806714087 1073899654 /nfs/dbraw/zinc/89/96/54/1073899654.db2.gz XBAWXFCDABMSAC-LSDHHAIUSA-N 0 0 443.497 -0.223 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000806714306 1073899161 /nfs/dbraw/zinc/89/91/61/1073899161.db2.gz ZQDUENWDOIZPSF-DLBZAZTESA-N 0 0 438.525 -0.820 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000806714307 1073899154 /nfs/dbraw/zinc/89/91/54/1073899154.db2.gz ZQDUENWDOIZPSF-IAGOWNOFSA-N 0 0 438.525 -0.820 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000806714308 1073898988 /nfs/dbraw/zinc/89/89/88/1073898988.db2.gz ZQDUENWDOIZPSF-IRXDYDNUSA-N 0 0 438.525 -0.820 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000806714309 1073899037 /nfs/dbraw/zinc/89/90/37/1073899037.db2.gz ZQDUENWDOIZPSF-SJORKVTESA-N 0 0 438.525 -0.820 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1)N1CCCCC1 ZINC000806714428 1073898931 /nfs/dbraw/zinc/89/89/31/1073898931.db2.gz ZQDOODXQIAKATN-KRWDZBQOSA-N 0 0 438.525 -0.819 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1)N1CCCCC1 ZINC000806714429 1073899111 /nfs/dbraw/zinc/89/91/11/1073899111.db2.gz ZQDOODXQIAKATN-QGZVFWFLSA-N 0 0 438.525 -0.819 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NC[C@@H]3COC4(CCOCC4)O3)C2=O)cc1 ZINC000806714542 1073899013 /nfs/dbraw/zinc/89/90/13/1073899013.db2.gz CJUTXSHJDOXYQM-MSOLQXFVSA-N 0 0 447.488 -0.049 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NC[C@@H]3COC4(CCOCC4)O3)C2=O)cc1 ZINC000806714543 1073899002 /nfs/dbraw/zinc/89/90/02/1073899002.db2.gz CJUTXSHJDOXYQM-QZTJIDSGSA-N 0 0 447.488 -0.049 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)NC[C@H]3COC4(CCOCC4)O3)C2=O)cc1 ZINC000806714544 1073899056 /nfs/dbraw/zinc/89/90/56/1073899056.db2.gz CJUTXSHJDOXYQM-ROUUACIJSA-N 0 0 447.488 -0.049 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)NC[C@H]3COC4(CCOCC4)O3)C2=O)cc1 ZINC000806714545 1073899146 /nfs/dbraw/zinc/89/91/46/1073899146.db2.gz CJUTXSHJDOXYQM-ZWKOTPCHSA-N 0 0 447.488 -0.049 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)C(=O)NC[C@H]3COC4(CCOCC4)O3)CC2)ccn1 ZINC000806714566 1073899104 /nfs/dbraw/zinc/89/91/04/1073899104.db2.gz CUWACXKSBQFYEJ-INIZCTEOSA-N 0 0 448.476 -0.411 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)C(=O)NC[C@@H]3COC4(CCOCC4)O3)CC2)ccn1 ZINC000806714567 1073898947 /nfs/dbraw/zinc/89/89/47/1073898947.db2.gz CUWACXKSBQFYEJ-MRXNPFEDSA-N 0 0 448.476 -0.411 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000806715150 1073899680 /nfs/dbraw/zinc/89/96/80/1073899680.db2.gz MRLMZAGUEBEHGE-AWEZNQCLSA-N 0 0 431.486 -0.630 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000806715151 1073899524 /nfs/dbraw/zinc/89/95/24/1073899524.db2.gz MRLMZAGUEBEHGE-CQSZACIVSA-N 0 0 431.486 -0.630 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NC[C@@H]3COC4(CCOCC4)O3)CC2)n1 ZINC000806715771 1073899483 /nfs/dbraw/zinc/89/94/83/1073899483.db2.gz BFMCCIMPDVTULX-CYBMUJFWSA-N 0 0 425.511 -0.213 20 0 IBADRN CCc1nsc(N2CCN(C(=O)C(=O)NC[C@H]3COC4(CCOCC4)O3)CC2)n1 ZINC000806715783 1073899568 /nfs/dbraw/zinc/89/95/68/1073899568.db2.gz BFMCCIMPDVTULX-ZDUSSCGKSA-N 0 0 425.511 -0.213 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000806716808 1073899741 /nfs/dbraw/zinc/89/97/41/1073899741.db2.gz HAVXADHCENWSFD-AWEZNQCLSA-N 0 0 443.451 -0.039 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(=O)N1CCN(Cc2nccn2C(F)F)CC1 ZINC000806716809 1073899687 /nfs/dbraw/zinc/89/96/87/1073899687.db2.gz HAVXADHCENWSFD-CQSZACIVSA-N 0 0 443.451 -0.039 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000806717004 1073899556 /nfs/dbraw/zinc/89/95/56/1073899556.db2.gz JYBIKNAYIUISMG-CABCVRRESA-N 0 0 433.527 -0.655 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC000806717005 1073899724 /nfs/dbraw/zinc/89/97/24/1073899724.db2.gz JYBIKNAYIUISMG-GJZGRUSLSA-N 0 0 433.527 -0.655 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000806717006 1073899701 /nfs/dbraw/zinc/89/97/01/1073899701.db2.gz JYBIKNAYIUISMG-HUUCEWRRSA-N 0 0 433.527 -0.655 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC000806717007 1073899718 /nfs/dbraw/zinc/89/97/18/1073899718.db2.gz JYBIKNAYIUISMG-LSDHHAIUSA-N 0 0 433.527 -0.655 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)NC[C@H]3COC4(CCOCC4)O3)CC2)n1 ZINC000806717449 1073899735 /nfs/dbraw/zinc/89/97/35/1073899735.db2.gz OGERFYMLIZSEQS-AWEZNQCLSA-N 0 0 425.511 -0.076 20 0 IBADRN Cc1nsc(N2CCCN(C(=O)C(=O)NC[C@@H]3COC4(CCOCC4)O3)CC2)n1 ZINC000806717450 1073899537 /nfs/dbraw/zinc/89/95/37/1073899537.db2.gz OGERFYMLIZSEQS-CQSZACIVSA-N 0 0 425.511 -0.076 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)CC1 ZINC000806718623 1073900084 /nfs/dbraw/zinc/90/00/84/1073900084.db2.gz XESOEQYONZXETP-KRWDZBQOSA-N 0 0 440.541 -0.573 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)CC1 ZINC000806718624 1073900181 /nfs/dbraw/zinc/90/01/81/1073900181.db2.gz XESOEQYONZXETP-QGZVFWFLSA-N 0 0 440.541 -0.573 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)s1 ZINC000806718637 1073900051 /nfs/dbraw/zinc/90/00/51/1073900051.db2.gz XLXXPILVZALQQU-CYBMUJFWSA-N 0 0 447.535 -0.511 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)s1 ZINC000806718638 1073900146 /nfs/dbraw/zinc/90/01/46/1073900146.db2.gz XLXXPILVZALQQU-ZDUSSCGKSA-N 0 0 447.535 -0.511 20 0 IBADRN COc1ccc(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)nc1 ZINC000806719347 1073900265 /nfs/dbraw/zinc/90/02/65/1073900265.db2.gz FUSORAYUUOQSRW-UHFFFAOYSA-N 0 0 443.485 0.000 20 0 IBADRN O=C(Nc1cc2n(n1)CCCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000806719368 1073900275 /nfs/dbraw/zinc/90/02/75/1073900275.db2.gz GRBRMYPFRMDJAM-AWEZNQCLSA-N 0 0 431.540 -0.114 20 0 IBADRN O=C(Nc1cc2n(n1)CCCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000806719369 1073900220 /nfs/dbraw/zinc/90/02/20/1073900220.db2.gz GRBRMYPFRMDJAM-CQSZACIVSA-N 0 0 431.540 -0.114 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000806723069 1073900169 /nfs/dbraw/zinc/90/01/69/1073900169.db2.gz ALMCNFRUUPGQST-BZUAXINKSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000806723070 1073900151 /nfs/dbraw/zinc/90/01/51/1073900151.db2.gz ALMCNFRUUPGQST-OAGGEKHMSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000806723071 1073900159 /nfs/dbraw/zinc/90/01/59/1073900159.db2.gz ALMCNFRUUPGQST-OWCLPIDISA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000806723072 1073900116 /nfs/dbraw/zinc/90/01/16/1073900116.db2.gz ALMCNFRUUPGQST-PMPSAXMXSA-N 0 0 431.555 -0.064 20 0 IBADRN C[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000806723152 1073900291 /nfs/dbraw/zinc/90/02/91/1073900291.db2.gz CZNLYWMJICDNOK-BPUTZDHNSA-N 0 0 431.555 -0.209 20 0 IBADRN C[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000806723153 1073900135 /nfs/dbraw/zinc/90/01/35/1073900135.db2.gz CZNLYWMJICDNOK-CWRNSKLLSA-N 0 0 431.555 -0.209 20 0 IBADRN C[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000806723154 1073900256 /nfs/dbraw/zinc/90/02/56/1073900256.db2.gz CZNLYWMJICDNOK-IMJJTQAJSA-N 0 0 431.555 -0.209 20 0 IBADRN C[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000806723155 1073900001 /nfs/dbraw/zinc/90/00/01/1073900001.db2.gz CZNLYWMJICDNOK-NUEKZKHPSA-N 0 0 431.555 -0.209 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000806723988 1073900284 /nfs/dbraw/zinc/90/02/84/1073900284.db2.gz PXOQCVKLSRCZBF-ARFHVFGLSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC000806723993 1073900067 /nfs/dbraw/zinc/90/00/67/1073900067.db2.gz PXOQCVKLSRCZBF-HRCADAONSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000806723995 1073900127 /nfs/dbraw/zinc/90/01/27/1073900127.db2.gz PXOQCVKLSRCZBF-JYJNAYRXSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC000806723996 1073900207 /nfs/dbraw/zinc/90/02/07/1073900207.db2.gz PXOQCVKLSRCZBF-XHSDSOJGSA-N 0 0 447.554 -0.686 20 0 IBADRN Cc1nnc(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)s1 ZINC000806725959 1073900746 /nfs/dbraw/zinc/90/07/46/1073900746.db2.gz ZNUZJMCGFFHJSG-UHFFFAOYSA-N 0 0 434.503 -0.244 20 0 IBADRN CC(C)(O)c1ccc(CNC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000806729907 1073900713 /nfs/dbraw/zinc/90/07/13/1073900713.db2.gz ZCFIQMHXYZSOQV-UHFFFAOYSA-N 0 0 432.521 -0.467 20 0 IBADRN CC(C)(O)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000806731492 1073900697 /nfs/dbraw/zinc/90/06/97/1073900697.db2.gz GDDJXXHZXJIDEA-UHFFFAOYSA-N 0 0 446.504 -0.940 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)NCc1ccc(C(C)(C)O)cc1)C2 ZINC000806731702 1073900640 /nfs/dbraw/zinc/90/06/40/1073900640.db2.gz JWDCHKCOBMNBFY-UHFFFAOYSA-N 0 0 444.492 -0.981 20 0 IBADRN CC(C)(O)c1ccc(CNC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000806733191 1073900778 /nfs/dbraw/zinc/90/07/78/1073900778.db2.gz ZAQJQBGAUTVANU-UHFFFAOYSA-N 0 0 432.521 -0.467 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc2)CCO1 ZINC000806748772 1073900658 /nfs/dbraw/zinc/90/06/58/1073900658.db2.gz RNEAOPXBCIMVMW-BBRMVZONSA-N 0 0 436.465 -0.027 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc2)CCO1 ZINC000806748773 1073900771 /nfs/dbraw/zinc/90/07/71/1073900771.db2.gz RNEAOPXBCIMVMW-CJNGLKHVSA-N 0 0 436.465 -0.027 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc2)CCO1 ZINC000806748774 1073900663 /nfs/dbraw/zinc/90/06/63/1073900663.db2.gz RNEAOPXBCIMVMW-CZUORRHYSA-N 0 0 436.465 -0.027 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc2)CCO1 ZINC000806748775 1073900649 /nfs/dbraw/zinc/90/06/49/1073900649.db2.gz RNEAOPXBCIMVMW-XJKSGUPXSA-N 0 0 436.465 -0.027 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc2)CC1 ZINC000806749123 1073901745 /nfs/dbraw/zinc/90/17/45/1073901745.db2.gz YNKKGPBZLUTGRO-HNNXBMFYSA-N 0 0 449.508 -0.110 20 0 IBADRN CNC(=O)CN1CCN(C(=O)Nc2ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc2)CC1 ZINC000806749124 1073902508 /nfs/dbraw/zinc/90/25/08/1073902508.db2.gz YNKKGPBZLUTGRO-OAHLLOKOSA-N 0 0 449.508 -0.110 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000806749511 1073901848 /nfs/dbraw/zinc/90/18/48/1073901848.db2.gz CZZHUMRPKPBVRI-DOMZBBRYSA-N 0 0 447.448 -0.238 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000806749512 1073901732 /nfs/dbraw/zinc/90/17/32/1073901732.db2.gz CZZHUMRPKPBVRI-IUODEOHRSA-N 0 0 447.448 -0.238 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000806749513 1073901856 /nfs/dbraw/zinc/90/18/56/1073901856.db2.gz CZZHUMRPKPBVRI-SWLSCSKDSA-N 0 0 447.448 -0.238 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000806749514 1073901842 /nfs/dbraw/zinc/90/18/42/1073901842.db2.gz CZZHUMRPKPBVRI-WFASDCNBSA-N 0 0 447.448 -0.238 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000806750879 1073900765 /nfs/dbraw/zinc/90/07/65/1073900765.db2.gz NKOKHLFUKLQNAW-DOMZBBRYSA-N 0 0 442.494 -0.111 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000806750880 1073900791 /nfs/dbraw/zinc/90/07/91/1073900791.db2.gz NKOKHLFUKLQNAW-IUODEOHRSA-N 0 0 442.494 -0.111 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000806750881 1073900795 /nfs/dbraw/zinc/90/07/95/1073900795.db2.gz NKOKHLFUKLQNAW-SWLSCSKDSA-N 0 0 442.494 -0.111 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000806750882 1073900726 /nfs/dbraw/zinc/90/07/26/1073900726.db2.gz NKOKHLFUKLQNAW-WFASDCNBSA-N 0 0 442.494 -0.111 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000806751312 1073900668 /nfs/dbraw/zinc/90/06/68/1073900668.db2.gz QHAZIGITFFUDHO-AWEZNQCLSA-N 0 0 436.465 -0.337 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)N2CCN(C(=O)CO)CC2)cc1 ZINC000806751313 1073900759 /nfs/dbraw/zinc/90/07/59/1073900759.db2.gz QHAZIGITFFUDHO-CQSZACIVSA-N 0 0 436.465 -0.337 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)NCC(=O)N2CCOCC2)cc1 ZINC000806753337 1073900721 /nfs/dbraw/zinc/90/07/21/1073900721.db2.gz SLZGRGSAKLZCBS-AWEZNQCLSA-N 0 0 436.465 -0.025 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)NCC(=O)N2CCOCC2)cc1 ZINC000806753341 1073900705 /nfs/dbraw/zinc/90/07/05/1073900705.db2.gz SLZGRGSAKLZCBS-CQSZACIVSA-N 0 0 436.465 -0.025 20 0 IBADRN O=C(Nc1cccc2c1CNC2=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000806754085 1073900692 /nfs/dbraw/zinc/90/06/92/1073900692.db2.gz HQKYYCLVBLSRSZ-GFCCVEGCSA-N 0 0 442.519 -0.404 20 0 IBADRN O=C(Nc1cccc2c1CNC2=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000806754086 1073900681 /nfs/dbraw/zinc/90/06/81/1073900681.db2.gz HQKYYCLVBLSRSZ-LBPRGKRZSA-N 0 0 442.519 -0.404 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC000806760562 1073901354 /nfs/dbraw/zinc/90/13/54/1073901354.db2.gz RWSLOAKNFIGBCX-NSPYISDASA-N 0 0 425.486 -0.148 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC000806760565 1073901245 /nfs/dbraw/zinc/90/12/45/1073901245.db2.gz RWSLOAKNFIGBCX-WYRIXSBYSA-N 0 0 425.486 -0.148 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000806760679 1073900736 /nfs/dbraw/zinc/90/07/36/1073900736.db2.gz SOUVMCYQHQGKQR-CYBMUJFWSA-N 0 0 434.497 -0.142 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000806761155 1073901281 /nfs/dbraw/zinc/90/12/81/1073901281.db2.gz SVSLHGQJRPQKKY-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@H](C)CNC(=O)OC(C)(C)C)CC2)n1 ZINC000806761980 1073901266 /nfs/dbraw/zinc/90/12/66/1073901266.db2.gz QWTWFJWTJPRTIH-CYBMUJFWSA-N 0 0 440.501 -0.110 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000806762296 1073901232 /nfs/dbraw/zinc/90/12/32/1073901232.db2.gz ANTBQSBWAIZCHV-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000806762349 1073901307 /nfs/dbraw/zinc/90/13/07/1073901307.db2.gz BUPRULJTTGSHIE-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000806762806 1073901445 /nfs/dbraw/zinc/90/14/45/1073901445.db2.gz GRMPHJRMHYFGAT-KGLIPLIRSA-N 0 0 425.530 -0.173 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000806762808 1073901433 /nfs/dbraw/zinc/90/14/33/1073901433.db2.gz GRMPHJRMHYFGAT-ZIAGYGMSSA-N 0 0 425.530 -0.173 20 0 IBADRN C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000806764714 1073901318 /nfs/dbraw/zinc/90/13/18/1073901318.db2.gz KODVBGUAVNVRTB-CYBMUJFWSA-N 0 0 434.497 -0.142 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(c2ncccc2C(N)=O)CC1 ZINC000806765133 1073901753 /nfs/dbraw/zinc/90/17/53/1073901753.db2.gz SOUVMCYQHQGKQR-ZDUSSCGKSA-N 0 0 434.497 -0.142 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)N[C@@H](C)CNC(=O)OC(C)(C)C)CC2)n1 ZINC000806767982 1073901778 /nfs/dbraw/zinc/90/17/78/1073901778.db2.gz QWTWFJWTJPRTIH-ZDUSSCGKSA-N 0 0 440.501 -0.110 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000806768046 1073901817 /nfs/dbraw/zinc/90/18/17/1073901817.db2.gz SVSLHGQJRPQKKY-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000806768294 1073901765 /nfs/dbraw/zinc/90/17/65/1073901765.db2.gz ANTBQSBWAIZCHV-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC000806768336 1073901783 /nfs/dbraw/zinc/90/17/83/1073901783.db2.gz BUPRULJTTGSHIE-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000806768469 1073901790 /nfs/dbraw/zinc/90/17/90/1073901790.db2.gz GRMPHJRMHYFGAT-KBPBESRZSA-N 0 0 425.530 -0.173 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C(=O)N[C@@H](C)CNC(=O)OC(C)(C)C)CC1 ZINC000806768470 1073901824 /nfs/dbraw/zinc/90/18/24/1073901824.db2.gz GRMPHJRMHYFGAT-UONOGXRCSA-N 0 0 425.530 -0.173 20 0 IBADRN C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000806770156 1073901831 /nfs/dbraw/zinc/90/18/31/1073901831.db2.gz KODVBGUAVNVRTB-ZDUSSCGKSA-N 0 0 434.497 -0.142 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000806780150 1073902488 /nfs/dbraw/zinc/90/24/88/1073902488.db2.gz YFKMPBBQUADLTB-CHWSQXEVSA-N 0 0 449.526 -0.405 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000806780151 1073902495 /nfs/dbraw/zinc/90/24/95/1073902495.db2.gz YFKMPBBQUADLTB-OLZOCXBDSA-N 0 0 449.526 -0.405 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000806780152 1073902349 /nfs/dbraw/zinc/90/23/49/1073902349.db2.gz YFKMPBBQUADLTB-QWHCGFSZSA-N 0 0 449.526 -0.405 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC000806780153 1073902413 /nfs/dbraw/zinc/90/24/13/1073902413.db2.gz YFKMPBBQUADLTB-STQMWFEESA-N 0 0 449.526 -0.405 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)COc3ccccc31)c(=O)n2C ZINC000806810101 1073902295 /nfs/dbraw/zinc/90/22/95/1073902295.db2.gz FZQGRWZKEGKEOX-UHFFFAOYSA-N 0 0 427.417 -0.207 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)CN2C(=O)COc3ccccc32)cc1 ZINC000806819329 1073903190 /nfs/dbraw/zinc/90/31/90/1073903190.db2.gz BDUNCESWOBHASR-UHFFFAOYSA-N 0 0 447.469 -0.039 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000806828139 1073903240 /nfs/dbraw/zinc/90/32/40/1073903240.db2.gz KJJVQHXTKNJXRD-AWEZNQCLSA-N 0 0 441.510 -0.323 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000806828145 1073903064 /nfs/dbraw/zinc/90/30/64/1073903064.db2.gz KJJVQHXTKNJXRD-CQSZACIVSA-N 0 0 441.510 -0.323 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000806843030 1073902407 /nfs/dbraw/zinc/90/24/07/1073902407.db2.gz JUSYBWRPRXQJBK-AWEZNQCLSA-N 0 0 448.476 -0.376 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000806843031 1073902374 /nfs/dbraw/zinc/90/23/74/1073902374.db2.gz JUSYBWRPRXQJBK-CQSZACIVSA-N 0 0 448.476 -0.376 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(C(=O)c4ccc5c(c4)OCO5)CC3)ccnc1-2 ZINC000806843049 1073902328 /nfs/dbraw/zinc/90/23/28/1073902328.db2.gz KEFOGAOZIUFRNH-UHFFFAOYSA-N 0 0 436.428 -0.006 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(S(=O)(=O)c4ccc(F)cc4)CC3)ccnc1-2 ZINC000806844416 1073902420 /nfs/dbraw/zinc/90/24/20/1073902420.db2.gz PHCRQXLDXGXQHP-UHFFFAOYSA-N 0 0 446.464 -0.048 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)ccnc1-2 ZINC000806844677 1073902266 /nfs/dbraw/zinc/90/22/66/1073902266.db2.gz QSLKTDJTKLAZFU-UHFFFAOYSA-N 0 0 428.474 -0.187 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000806844961 1073902416 /nfs/dbraw/zinc/90/24/16/1073902416.db2.gz QPJRPDHYFIWLPT-CYBMUJFWSA-N 0 0 448.476 -0.343 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000806844963 1073902287 /nfs/dbraw/zinc/90/22/87/1073902287.db2.gz QPJRPDHYFIWLPT-ZDUSSCGKSA-N 0 0 448.476 -0.343 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(S(=O)(=O)Cc4ccccc4)CC3)ccnc1-2 ZINC000806845864 1073902471 /nfs/dbraw/zinc/90/24/71/1073902471.db2.gz UVMOXOSURJBNPE-UHFFFAOYSA-N 0 0 442.501 -0.046 20 0 IBADRN Cn1cc(N2CCC[C@H](NC(=O)C(=O)N(CCO)CCNC(=O)OC(C)(C)C)C2)cn1 ZINC000806846016 1073902502 /nfs/dbraw/zinc/90/25/02/1073902502.db2.gz ZLOUPUKPBZTAKP-HNNXBMFYSA-N 0 0 438.529 -0.149 20 0 IBADRN Cn1cc(N2CCC[C@@H](NC(=O)C(=O)N(CCO)CCNC(=O)OC(C)(C)C)C2)cn1 ZINC000806846017 1073902363 /nfs/dbraw/zinc/90/23/63/1073902363.db2.gz ZLOUPUKPBZTAKP-OAHLLOKOSA-N 0 0 438.529 -0.149 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C(=O)N=c2ccnc3n(C)[nH]cc2-3)CC1 ZINC000806847208 1073903096 /nfs/dbraw/zinc/90/30/96/1073903096.db2.gz JYQYIJYMRHWNBF-UHFFFAOYSA-N 0 0 447.477 -0.582 20 0 IBADRN CC(C)(O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000806847416 1073903248 /nfs/dbraw/zinc/90/32/48/1073903248.db2.gz GHZCPVYYAAJQJH-UHFFFAOYSA-N 0 0 432.477 -0.618 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)C1 ZINC000806847498 1073903082 /nfs/dbraw/zinc/90/30/82/1073903082.db2.gz QJWYBUURYLUNRJ-HOTGVXAUSA-N 0 0 441.536 -0.071 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)C1 ZINC000806847499 1073902984 /nfs/dbraw/zinc/90/29/84/1073902984.db2.gz QJWYBUURYLUNRJ-HZPDHXFCSA-N 0 0 441.536 -0.071 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)C1 ZINC000806847500 1073903149 /nfs/dbraw/zinc/90/31/49/1073903149.db2.gz QJWYBUURYLUNRJ-IYBDPMFKSA-N 0 0 441.536 -0.071 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(CC(=O)N4CCCCCC4)CC3)ccnc1-2 ZINC000806847923 1073903170 /nfs/dbraw/zinc/90/31/70/1073903170.db2.gz ZHMFWZJFPZJBDE-UHFFFAOYSA-N 0 0 427.509 -0.173 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(CC(=O)NCc4ccccc4)CC3)ccnc1-2 ZINC000806848619 1073903042 /nfs/dbraw/zinc/90/30/42/1073903042.db2.gz FKUPNFGLIKBHCA-UHFFFAOYSA-N 0 0 435.488 -0.259 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)no1 ZINC000806848628 1073902961 /nfs/dbraw/zinc/90/29/61/1073902961.db2.gz VVRJNDCVFMHKOS-UHFFFAOYSA-N 0 0 426.437 -0.640 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)ccnc1-2 ZINC000806849765 1073903221 /nfs/dbraw/zinc/90/32/21/1073903221.db2.gz HUHDDRJWWGGXNR-UHFFFAOYSA-N 0 0 443.489 -0.724 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN2c3ccccc3OCC2=O)c(=O)n(C)c1=O ZINC000806853107 1073903685 /nfs/dbraw/zinc/90/36/85/1073903685.db2.gz QLKKBZXNYUVRHH-UHFFFAOYSA-N 0 0 444.444 -0.063 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N=c2ccnc3n(C)[nH]cc2-3)CC1 ZINC000806854112 1073903794 /nfs/dbraw/zinc/90/37/94/1073903794.db2.gz ZUYUWVMPMYAXPD-HNNXBMFYSA-N 0 0 427.509 -0.175 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)C(=O)N=c2ccnc3n(C)[nH]cc2-3)CC1 ZINC000806854113 1073903770 /nfs/dbraw/zinc/90/37/70/1073903770.db2.gz ZUYUWVMPMYAXPD-OAHLLOKOSA-N 0 0 427.509 -0.175 20 0 IBADRN CC(C)(O)c1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000806854551 1073903745 /nfs/dbraw/zinc/90/37/45/1073903745.db2.gz JSGYGIUGYPTGNS-UHFFFAOYSA-N 0 0 440.522 -0.426 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN(C(=O)OC)CC1 ZINC000806856589 1073903694 /nfs/dbraw/zinc/90/36/94/1073903694.db2.gz JFQMVGSZBJHKKR-UHFFFAOYSA-N 0 0 443.478 -0.036 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000806856936 1073903654 /nfs/dbraw/zinc/90/36/54/1073903654.db2.gz NGBGBYFBTSEOGD-CABCVRRESA-N 0 0 430.461 -0.068 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000806856937 1073903763 /nfs/dbraw/zinc/90/37/63/1073903763.db2.gz NGBGBYFBTSEOGD-GJZGRUSLSA-N 0 0 430.461 -0.068 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000806856938 1073903756 /nfs/dbraw/zinc/90/37/56/1073903756.db2.gz NGBGBYFBTSEOGD-HUUCEWRRSA-N 0 0 430.461 -0.068 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)CC1 ZINC000806856939 1073903727 /nfs/dbraw/zinc/90/37/27/1073903727.db2.gz NGBGBYFBTSEOGD-LSDHHAIUSA-N 0 0 430.461 -0.068 20 0 IBADRN CC(C)(O)c1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000806860509 1073903670 /nfs/dbraw/zinc/90/36/70/1073903670.db2.gz PUDIPYRBSZJKRC-UHFFFAOYSA-N 0 0 430.461 -0.328 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCCNC2=O)cc1 ZINC000806861020 1073903740 /nfs/dbraw/zinc/90/37/40/1073903740.db2.gz ZWXMDPWEMROMFG-BBRMVZONSA-N 0 0 448.476 -0.282 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCCNC2=O)cc1 ZINC000806861026 1073903829 /nfs/dbraw/zinc/90/38/29/1073903829.db2.gz ZWXMDPWEMROMFG-CJNGLKHVSA-N 0 0 448.476 -0.282 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCCNC2=O)cc1 ZINC000806861027 1073903703 /nfs/dbraw/zinc/90/37/03/1073903703.db2.gz ZWXMDPWEMROMFG-CZUORRHYSA-N 0 0 448.476 -0.282 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCCNC2=O)cc1 ZINC000806861028 1073903822 /nfs/dbraw/zinc/90/38/22/1073903822.db2.gz ZWXMDPWEMROMFG-XJKSGUPXSA-N 0 0 448.476 -0.282 20 0 IBADRN CCN(C)C(=O)c1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000806879538 1073903802 /nfs/dbraw/zinc/90/38/02/1073903802.db2.gz DNRRNIKFGLTSPD-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1cc(Cl)cc2c1OCC(=O)N2 ZINC000806879605 1073903783 /nfs/dbraw/zinc/90/37/83/1073903783.db2.gz GQGMVTHOXNSCGY-UHFFFAOYSA-N 0 0 446.869 -0.612 20 0 IBADRN Cc1c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)n(C)nc1C(F)(F)F ZINC000806880352 1073904304 /nfs/dbraw/zinc/90/43/04/1073904304.db2.gz RBYYSRJBFCOBMB-UHFFFAOYSA-N 0 0 427.405 -0.536 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCCNC(=O)C2)cc1 ZINC000806881973 1073904248 /nfs/dbraw/zinc/90/42/48/1073904248.db2.gz LMQRXECJEMPAGG-CYBMUJFWSA-N 0 0 434.449 -0.719 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCCNC(=O)C2)cc1 ZINC000806881974 1073904350 /nfs/dbraw/zinc/90/43/50/1073904350.db2.gz LMQRXECJEMPAGG-ZDUSSCGKSA-N 0 0 434.449 -0.719 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)NCCN3CCN(C(=O)OC(C)(C)C)CC3)ccnc1-2 ZINC000806882876 1073904401 /nfs/dbraw/zinc/90/44/01/1073904401.db2.gz OAPXYYDZAHJFCZ-UHFFFAOYSA-N 0 0 431.497 -0.051 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N=c2ccnc3n(C)[nH]cc2-3)CC1 ZINC000806885941 1073904258 /nfs/dbraw/zinc/90/42/58/1073904258.db2.gz KARZABAKPJAREF-AWEZNQCLSA-N 0 0 427.509 -0.128 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)C(=O)N=c2ccnc3n(C)[nH]cc2-3)CC1 ZINC000806885946 1073904292 /nfs/dbraw/zinc/90/42/92/1073904292.db2.gz KARZABAKPJAREF-CQSZACIVSA-N 0 0 427.509 -0.128 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(CC(=O)NC4CCCCC4)CC3)ccnc1-2 ZINC000806886289 1073904372 /nfs/dbraw/zinc/90/43/72/1073904372.db2.gz NBQJZAIERIHKKE-UHFFFAOYSA-N 0 0 427.509 -0.127 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)cs1 ZINC000806886337 1073904233 /nfs/dbraw/zinc/90/42/33/1073904233.db2.gz OIWHJSJMOXWLKX-UHFFFAOYSA-N 0 0 449.518 -0.422 20 0 IBADRN CSCCCCCCNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000806886473 1073904393 /nfs/dbraw/zinc/90/43/93/1073904393.db2.gz MOOWWEZFNWGLJW-UHFFFAOYSA-N 0 0 428.555 -0.444 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C(N)=O)C2)cc1 ZINC000806886606 1073904326 /nfs/dbraw/zinc/90/43/26/1073904326.db2.gz ODZYSUMIWZLRCJ-GXTWGEPZSA-N 0 0 434.449 -0.733 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C(N)=O)C2)cc1 ZINC000806886607 1073904272 /nfs/dbraw/zinc/90/42/72/1073904272.db2.gz ODZYSUMIWZLRCJ-JSGCOSHPSA-N 0 0 434.449 -0.733 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](C(N)=O)C2)cc1 ZINC000806886608 1073904359 /nfs/dbraw/zinc/90/43/59/1073904359.db2.gz ODZYSUMIWZLRCJ-OCCSQVGLSA-N 0 0 434.449 -0.733 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](C(N)=O)C2)cc1 ZINC000806886609 1073904386 /nfs/dbraw/zinc/90/43/86/1073904386.db2.gz ODZYSUMIWZLRCJ-TZMCWYRMSA-N 0 0 434.449 -0.733 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806887018 1073904346 /nfs/dbraw/zinc/90/43/46/1073904346.db2.gz TVUGPHMDJNBNJD-CABCVRRESA-N 0 0 430.461 -0.020 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806887022 1073904279 /nfs/dbraw/zinc/90/42/79/1073904279.db2.gz TVUGPHMDJNBNJD-GJZGRUSLSA-N 0 0 430.461 -0.020 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000806887024 1073904320 /nfs/dbraw/zinc/90/43/20/1073904320.db2.gz TVUGPHMDJNBNJD-HUUCEWRRSA-N 0 0 430.461 -0.020 20 0 IBADRN O=C(NCCCN1CCOC1=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000806887026 1073904313 /nfs/dbraw/zinc/90/43/13/1073904313.db2.gz TVUGPHMDJNBNJD-LSDHHAIUSA-N 0 0 430.461 -0.020 20 0 IBADRN CSCCCCCCNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC000806889235 1073903211 /nfs/dbraw/zinc/90/32/11/1073903211.db2.gz ZMELHBIKXVLFND-UHFFFAOYSA-N 0 0 426.543 -0.485 20 0 IBADRN CSCCCCCCNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000806889483 1073903113 /nfs/dbraw/zinc/90/31/13/1073903113.db2.gz WKFJAOXGMROMBA-UHFFFAOYSA-N 0 0 449.643 -0.338 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc1 ZINC000806889914 1073903717 /nfs/dbraw/zinc/90/37/17/1073903717.db2.gz NPIOGALJJBTESX-BBRMVZONSA-N 0 0 448.476 -0.330 20 0 IBADRN CC[C@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc1 ZINC000806889915 1073903816 /nfs/dbraw/zinc/90/38/16/1073903816.db2.gz NPIOGALJJBTESX-CJNGLKHVSA-N 0 0 448.476 -0.330 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc1 ZINC000806889916 1073903733 /nfs/dbraw/zinc/90/37/33/1073903733.db2.gz NPIOGALJJBTESX-CZUORRHYSA-N 0 0 448.476 -0.330 20 0 IBADRN CC[C@@H]1C(=O)NCCN1C(=O)C(=O)Nc1ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc1 ZINC000806889917 1073903812 /nfs/dbraw/zinc/90/38/12/1073903812.db2.gz NPIOGALJJBTESX-XJKSGUPXSA-N 0 0 448.476 -0.330 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806892549 1073904971 /nfs/dbraw/zinc/90/49/71/1073904971.db2.gz IBMYPAXVRJHPPE-KBPBESRZSA-N 0 0 438.506 -0.923 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806892550 1073905639 /nfs/dbraw/zinc/90/56/39/1073905639.db2.gz IBMYPAXVRJHPPE-KGLIPLIRSA-N 0 0 438.506 -0.923 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000806892551 1073905392 /nfs/dbraw/zinc/90/53/92/1073905392.db2.gz IBMYPAXVRJHPPE-UONOGXRCSA-N 0 0 438.506 -0.923 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000806892552 1073905701 /nfs/dbraw/zinc/90/57/01/1073905701.db2.gz IBMYPAXVRJHPPE-ZIAGYGMSSA-N 0 0 438.506 -0.923 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1cccc(C(=O)NC)c1Br ZINC000806892851 1073904952 /nfs/dbraw/zinc/90/49/52/1073904952.db2.gz MGZJVGKEDQJATH-UHFFFAOYSA-N 0 0 435.300 -0.197 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)ccnc1-2 ZINC000806905668 1073905301 /nfs/dbraw/zinc/90/53/01/1073905301.db2.gz SBRJTIBWMXVFHI-UHFFFAOYSA-N 0 0 436.476 -0.470 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)NCC(=O)N3CCN(C(=O)OC(C)(C)C)CC3)ccnc1-2 ZINC000806906029 1073905492 /nfs/dbraw/zinc/90/54/92/1073905492.db2.gz GJUBREYKGUCAHW-UHFFFAOYSA-N 0 0 445.480 -0.524 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC(=O)N(C)C2)cc1 ZINC000806909084 1073905674 /nfs/dbraw/zinc/90/56/74/1073905674.db2.gz XBXPZJSJDHBKAT-BBRMVZONSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCC(=O)N(C)C2)cc1 ZINC000806909085 1073905656 /nfs/dbraw/zinc/90/56/56/1073905656.db2.gz XBXPZJSJDHBKAT-CJNGLKHVSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC(=O)N(C)C2)cc1 ZINC000806909086 1073905527 /nfs/dbraw/zinc/90/55/27/1073905527.db2.gz XBXPZJSJDHBKAT-CZUORRHYSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCC(=O)N(C)C2)cc1 ZINC000806909087 1073905623 /nfs/dbraw/zinc/90/56/23/1073905623.db2.gz XBXPZJSJDHBKAT-XJKSGUPXSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(C)C2=O)cc1 ZINC000806909256 1073905369 /nfs/dbraw/zinc/90/53/69/1073905369.db2.gz BKPMXKIHNGVWJO-DOMZBBRYSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(C)C2=O)cc1 ZINC000806909257 1073905647 /nfs/dbraw/zinc/90/56/47/1073905647.db2.gz BKPMXKIHNGVWJO-IUODEOHRSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCN(C)C2=O)cc1 ZINC000806909258 1073905684 /nfs/dbraw/zinc/90/56/84/1073905684.db2.gz BKPMXKIHNGVWJO-SWLSCSKDSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCN(C)C2=O)cc1 ZINC000806909259 1073905636 /nfs/dbraw/zinc/90/56/36/1073905636.db2.gz BKPMXKIHNGVWJO-WFASDCNBSA-N 0 0 434.449 -0.720 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000806915789 1073906406 /nfs/dbraw/zinc/90/64/06/1073906406.db2.gz DQFCBFOEVDVLBC-DDUZABMNSA-N 0 0 427.461 -0.395 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000806915790 1073906453 /nfs/dbraw/zinc/90/64/53/1073906453.db2.gz DQFCBFOEVDVLBC-DHSIGJKJSA-N 0 0 427.461 -0.395 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000806915791 1073906280 /nfs/dbraw/zinc/90/62/80/1073906280.db2.gz DQFCBFOEVDVLBC-JCKWVBRZSA-N 0 0 427.461 -0.395 20 0 IBADRN Cn1cc([C@H](O)CNC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)cn1 ZINC000806915792 1073906472 /nfs/dbraw/zinc/90/64/72/1073906472.db2.gz DQFCBFOEVDVLBC-QIIPPGSGSA-N 0 0 427.461 -0.395 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)C(=O)Nc1cc(F)c(N2CCOCC2)cc1F ZINC000806915807 1073906331 /nfs/dbraw/zinc/90/63/31/1073906331.db2.gz FCSDJLRXSXYMNS-UHFFFAOYSA-N 0 0 435.449 -0.083 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)C[C@@H]2C)cc1 ZINC000806918808 1073904984 /nfs/dbraw/zinc/90/49/84/1073904984.db2.gz FRLXUXLSKQMPPE-KBPBESRZSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)C[C@H]2C)cc1 ZINC000806918810 1073904909 /nfs/dbraw/zinc/90/49/09/1073904909.db2.gz FRLXUXLSKQMPPE-KGLIPLIRSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)C[C@@H]2C)cc1 ZINC000806918812 1073904903 /nfs/dbraw/zinc/90/49/03/1073904903.db2.gz FRLXUXLSKQMPPE-UONOGXRCSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)C[C@H]2C)cc1 ZINC000806918815 1073904961 /nfs/dbraw/zinc/90/49/61/1073904961.db2.gz FRLXUXLSKQMPPE-ZIAGYGMSSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(C)C2=O)cc1 ZINC000806924164 1073904913 /nfs/dbraw/zinc/90/49/13/1073904913.db2.gz FGMHYXIDJGHSOI-BBRMVZONSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(C)C2=O)cc1 ZINC000806924165 1073904949 /nfs/dbraw/zinc/90/49/49/1073904949.db2.gz FGMHYXIDJGHSOI-CJNGLKHVSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(C)C2=O)cc1 ZINC000806924166 1073904931 /nfs/dbraw/zinc/90/49/31/1073904931.db2.gz FGMHYXIDJGHSOI-CZUORRHYSA-N 0 0 448.476 -0.330 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(C)C2=O)cc1 ZINC000806924167 1073904957 /nfs/dbraw/zinc/90/49/57/1073904957.db2.gz FGMHYXIDJGHSOI-XJKSGUPXSA-N 0 0 448.476 -0.330 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)N=c2ccnc3n(C)[nH]cc2-3)CC1 ZINC000806926449 1073904938 /nfs/dbraw/zinc/90/49/38/1073904938.db2.gz NTJUVZLYSVDBGQ-UHFFFAOYSA-N 0 0 438.510 -0.821 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)C2)cc1 ZINC000806930762 1073904980 /nfs/dbraw/zinc/90/49/80/1073904980.db2.gz KPKZHMQGHGSKJY-DOMZBBRYSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)C2)cc1 ZINC000806930763 1073904892 /nfs/dbraw/zinc/90/48/92/1073904892.db2.gz KPKZHMQGHGSKJY-IUODEOHRSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(C)C2)cc1 ZINC000806930764 1073904927 /nfs/dbraw/zinc/90/49/27/1073904927.db2.gz KPKZHMQGHGSKJY-SWLSCSKDSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(C)C2)cc1 ZINC000806930765 1073904947 /nfs/dbraw/zinc/90/49/47/1073904947.db2.gz KPKZHMQGHGSKJY-WFASDCNBSA-N 0 0 434.449 -0.720 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)sn1 ZINC000806931957 1073905428 /nfs/dbraw/zinc/90/54/28/1073905428.db2.gz VSPIESNMLBMBAU-LLVKDONJSA-N 0 0 436.537 -0.949 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)sn1 ZINC000806931958 1073905346 /nfs/dbraw/zinc/90/53/46/1073905346.db2.gz VSPIESNMLBMBAU-NSHDSACASA-N 0 0 436.537 -0.949 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)C2)cc1 ZINC000806932844 1073904963 /nfs/dbraw/zinc/90/49/63/1073904963.db2.gz OPRWVVZPQWXXGF-CYBMUJFWSA-N 0 0 434.449 -0.766 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)C2)cc1 ZINC000806932845 1073904921 /nfs/dbraw/zinc/90/49/21/1073904921.db2.gz OPRWVVZPQWXXGF-ZDUSSCGKSA-N 0 0 434.449 -0.766 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)OC[C@@H]2C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806942507 1073907057 /nfs/dbraw/zinc/90/70/57/1073907057.db2.gz XFLYVGKDEAWZLJ-ILXRZTDVSA-N 0 0 428.445 -0.315 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)OC[C@@H]2C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806942508 1073906924 /nfs/dbraw/zinc/90/69/24/1073906924.db2.gz XFLYVGKDEAWZLJ-KKUMJFAQSA-N 0 0 428.445 -0.315 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)OC[C@H]2C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806942509 1073906887 /nfs/dbraw/zinc/90/68/87/1073906887.db2.gz XFLYVGKDEAWZLJ-QLFBSQMISA-N 0 0 428.445 -0.315 20 0 IBADRN O=C(C(=O)N1CCN2C(=O)OC[C@H]2C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806942510 1073907100 /nfs/dbraw/zinc/90/71/00/1073907100.db2.gz XFLYVGKDEAWZLJ-SOUVJXGZSA-N 0 0 428.445 -0.315 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC000806942528 1073907098 /nfs/dbraw/zinc/90/70/98/1073907098.db2.gz XWQFLGCJXJKUBP-GFCCVEGCSA-N 0 0 441.462 -0.283 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC000806942529 1073906954 /nfs/dbraw/zinc/90/69/54/1073906954.db2.gz XWQFLGCJXJKUBP-LBPRGKRZSA-N 0 0 441.462 -0.283 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)CC2CC2)ccc1F ZINC000806943015 1073907084 /nfs/dbraw/zinc/90/70/84/1073907084.db2.gz LTBUKEJGMWAVON-UHFFFAOYSA-N 0 0 435.499 -0.045 20 0 IBADRN O=C(NCCS(=O)(=O)CC1CC1)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806943052 1073907050 /nfs/dbraw/zinc/90/70/50/1073907050.db2.gz NEUZZKHQTYRXAT-CVEARBPZSA-N 0 0 449.529 -0.037 20 0 IBADRN O=C(NCCS(=O)(=O)CC1CC1)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806943053 1073906978 /nfs/dbraw/zinc/90/69/78/1073906978.db2.gz NEUZZKHQTYRXAT-HOTGVXAUSA-N 0 0 449.529 -0.037 20 0 IBADRN O=C(NCCS(=O)(=O)CC1CC1)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000806943054 1073907093 /nfs/dbraw/zinc/90/70/93/1073907093.db2.gz NEUZZKHQTYRXAT-HZPDHXFCSA-N 0 0 449.529 -0.037 20 0 IBADRN O=C(NCCS(=O)(=O)CC1CC1)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000806943055 1073906867 /nfs/dbraw/zinc/90/68/67/1073906867.db2.gz NEUZZKHQTYRXAT-JKSUJKDBSA-N 0 0 449.529 -0.037 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCO[C@@H](CN4C(=O)c5ccccc5C4=O)C3)ccnc1-2 ZINC000806946391 1073906998 /nfs/dbraw/zinc/90/69/98/1073906998.db2.gz XCCTUCCHXGDZLI-CYBMUJFWSA-N 0 0 448.439 -0.196 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCO[C@H](CN4C(=O)c5ccccc5C4=O)C3)ccnc1-2 ZINC000806946392 1073907064 /nfs/dbraw/zinc/90/70/64/1073907064.db2.gz XCCTUCCHXGDZLI-ZDUSSCGKSA-N 0 0 448.439 -0.196 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1 ZINC000806952622 1073907037 /nfs/dbraw/zinc/90/70/37/1073907037.db2.gz ADYSEAWDNQRRRD-DOMZBBRYSA-N 0 0 449.464 -0.798 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1 ZINC000806952623 1073907626 /nfs/dbraw/zinc/90/76/26/1073907626.db2.gz ADYSEAWDNQRRRD-IUODEOHRSA-N 0 0 449.464 -0.798 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](NC(N)=O)C2)cc1 ZINC000806952624 1073907601 /nfs/dbraw/zinc/90/76/01/1073907601.db2.gz ADYSEAWDNQRRRD-SWLSCSKDSA-N 0 0 449.464 -0.798 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](NC(N)=O)C2)cc1 ZINC000806952625 1073907595 /nfs/dbraw/zinc/90/75/95/1073907595.db2.gz ADYSEAWDNQRRRD-WFASDCNBSA-N 0 0 449.464 -0.798 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806953409 1073907599 /nfs/dbraw/zinc/90/75/99/1073907599.db2.gz UXHANOHLODHCRK-CABCVRRESA-N 0 0 437.518 -0.085 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000806953410 1073907576 /nfs/dbraw/zinc/90/75/76/1073907576.db2.gz UXHANOHLODHCRK-GJZGRUSLSA-N 0 0 437.518 -0.085 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000806953411 1073907616 /nfs/dbraw/zinc/90/76/16/1073907616.db2.gz UXHANOHLODHCRK-HUUCEWRRSA-N 0 0 437.518 -0.085 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000806953412 1073907581 /nfs/dbraw/zinc/90/75/81/1073907581.db2.gz UXHANOHLODHCRK-LSDHHAIUSA-N 0 0 437.518 -0.085 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)c1cnn(C)c1 ZINC000806959939 1073906320 /nfs/dbraw/zinc/90/63/20/1073906320.db2.gz TXQUHMOOQQKVNN-HNNXBMFYSA-N 0 0 444.558 -0.239 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H](C(=O)N1CCN(CCS(N)(=O)=O)CC1)c1cnn(C)c1 ZINC000806959940 1073906175 /nfs/dbraw/zinc/90/61/75/1073906175.db2.gz TXQUHMOOQQKVNN-OAHLLOKOSA-N 0 0 444.558 -0.239 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCC3(C2)OCCO3)cc1 ZINC000806959982 1073906209 /nfs/dbraw/zinc/90/62/09/1073906209.db2.gz UPCZZGFNVYFUIQ-AWEZNQCLSA-N 0 0 449.460 -0.092 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCC3(C2)OCCO3)cc1 ZINC000806959983 1073906241 /nfs/dbraw/zinc/90/62/41/1073906241.db2.gz UPCZZGFNVYFUIQ-CQSZACIVSA-N 0 0 449.460 -0.092 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)NC1CN(C(=O)OC)C1 ZINC000806960892 1073906390 /nfs/dbraw/zinc/90/63/90/1073906390.db2.gz ICLJOGRPHQZWKB-UHFFFAOYSA-N 0 0 429.451 -0.379 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(C[C@H](C)O)CC2)cc1 ZINC000806962500 1073906484 /nfs/dbraw/zinc/90/64/84/1073906484.db2.gz OVEQBWKFCGRZLT-HNNXBMFYSA-N 0 0 427.523 -0.018 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)NCCOc3ccc(NS(C)(=O)=O)cc3)ccnc1-2 ZINC000806962749 1073906516 /nfs/dbraw/zinc/90/65/16/1073906516.db2.gz XFNUTKRIXGGHOG-UHFFFAOYSA-N 0 0 432.462 -0.153 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000806964950 1073906533 /nfs/dbraw/zinc/90/65/33/1073906533.db2.gz IIAONLVEQDNFSC-UHFFFAOYSA-N 0 0 430.487 -0.069 20 0 IBADRN CN(C)C(=O)C1(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)CC1 ZINC000806969764 1073906986 /nfs/dbraw/zinc/90/69/86/1073906986.db2.gz FVURRUPEPHAATM-UHFFFAOYSA-N 0 0 444.554 -0.052 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000806970175 1073906964 /nfs/dbraw/zinc/90/69/64/1073906964.db2.gz ISNCYGWTHSZAIQ-CQSZACIVSA-N 0 0 430.527 -0.300 20 0 IBADRN COC(=O)COCC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000806971063 1073907024 /nfs/dbraw/zinc/90/70/24/1073907024.db2.gz SRJDMLHKQNSUAT-UHFFFAOYSA-N 0 0 435.499 -0.731 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc2)CC1=O ZINC000806971077 1073906945 /nfs/dbraw/zinc/90/69/45/1073906945.db2.gz KLWBHNSFDAZATO-AWEZNQCLSA-N 0 0 448.476 -0.376 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc2)CC1=O ZINC000806971078 1073906932 /nfs/dbraw/zinc/90/69/32/1073906932.db2.gz KLWBHNSFDAZATO-CQSZACIVSA-N 0 0 448.476 -0.376 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)NC[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)NC(=O)OC(C)(C)C ZINC000806975349 1073908121 /nfs/dbraw/zinc/90/81/21/1073908121.db2.gz UWJZUHUXBQVPTF-BTTYYORXSA-N 0 0 439.513 -0.646 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)NCC(CNC(=O)[C@H]1CCC(=O)N1C)NC(=O)OC(C)(C)C ZINC000806975350 1073908095 /nfs/dbraw/zinc/90/80/95/1073908095.db2.gz UWJZUHUXBQVPTF-ZIAGYGMSSA-N 0 0 439.513 -0.646 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc2)C1 ZINC000806982735 1073908073 /nfs/dbraw/zinc/90/80/73/1073908073.db2.gz VKVSBNLPGKMUMT-GFCCVEGCSA-N 0 0 434.449 -0.863 20 0 IBADRN CNC(=O)C1CN(C(=O)C(=O)Nc2ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc2)C1 ZINC000806982736 1073908109 /nfs/dbraw/zinc/90/81/09/1073908109.db2.gz VKVSBNLPGKMUMT-LBPRGKRZSA-N 0 0 434.449 -0.863 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCn3ncnc3C2)cc1 ZINC000806982974 1073908040 /nfs/dbraw/zinc/90/80/40/1073908040.db2.gz QPYURQQEWIUQMJ-CYBMUJFWSA-N 0 0 444.448 -0.433 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCn3ncnc3C2)cc1 ZINC000806982976 1073908085 /nfs/dbraw/zinc/90/80/85/1073908085.db2.gz QPYURQQEWIUQMJ-ZDUSSCGKSA-N 0 0 444.448 -0.433 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2C[C@H]3C[C@@]3(CO)C2)cc1 ZINC000806992856 1073907535 /nfs/dbraw/zinc/90/75/35/1073907535.db2.gz YOBLHPMVWMVWPC-KUUHDYPXSA-N 0 0 433.461 -0.226 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H]3C[C@]3(CO)C2)cc1 ZINC000806992858 1073907561 /nfs/dbraw/zinc/90/75/61/1073907561.db2.gz YOBLHPMVWMVWPC-XLDJFRKUSA-N 0 0 433.461 -0.226 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC000807002891 1073907569 /nfs/dbraw/zinc/90/75/69/1073907569.db2.gz FJSTZSHPVUOQBZ-AWEZNQCLSA-N 0 0 434.559 -0.453 20 0 IBADRN C[C@H](CNC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC000807002892 1073907623 /nfs/dbraw/zinc/90/76/23/1073907623.db2.gz FJSTZSHPVUOQBZ-CQSZACIVSA-N 0 0 434.559 -0.453 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C)cc1 ZINC000807006214 1073907555 /nfs/dbraw/zinc/90/75/55/1073907555.db2.gz LAEQFWZZBWMTGN-CHWSQXEVSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@H]2C)cc1 ZINC000807006216 1073907591 /nfs/dbraw/zinc/90/75/91/1073907591.db2.gz LAEQFWZZBWMTGN-OLZOCXBDSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C)cc1 ZINC000807006217 1073907546 /nfs/dbraw/zinc/90/75/46/1073907546.db2.gz LAEQFWZZBWMTGN-QWHCGFSZSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)[C@@H]2C)cc1 ZINC000807006219 1073907564 /nfs/dbraw/zinc/90/75/64/1073907564.db2.gz LAEQFWZZBWMTGN-STQMWFEESA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)CC2)cc1 ZINC000807007254 1073908776 /nfs/dbraw/zinc/90/87/76/1073908776.db2.gz AJCBQCFYWIGAPN-CYBMUJFWSA-N 0 0 434.449 -0.719 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCNC(=O)CC2)cc1 ZINC000807007256 1073908704 /nfs/dbraw/zinc/90/87/04/1073908704.db2.gz AJCBQCFYWIGAPN-ZDUSSCGKSA-N 0 0 434.449 -0.719 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N=c3ccnc4n(C)[nH]cc3-4)CC2)c(C(N)=O)c1C ZINC000807007506 1073908598 /nfs/dbraw/zinc/90/85/98/1073908598.db2.gz JDXABNHHQLNIRB-UHFFFAOYSA-N 0 0 437.464 -0.865 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)NC)c1 ZINC000807007789 1073908804 /nfs/dbraw/zinc/90/88/04/1073908804.db2.gz NZGAGIOXIYDZGJ-UHFFFAOYSA-N 0 0 430.487 -0.069 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@@H]2C)cc1 ZINC000807010241 1073908742 /nfs/dbraw/zinc/90/87/42/1073908742.db2.gz ZNFVGQULYWQGOC-KBPBESRZSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@H]2C)cc1 ZINC000807010242 1073908651 /nfs/dbraw/zinc/90/86/51/1073908651.db2.gz ZNFVGQULYWQGOC-KGLIPLIRSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@@H]2C)cc1 ZINC000807010243 1073908769 /nfs/dbraw/zinc/90/87/69/1073908769.db2.gz ZNFVGQULYWQGOC-UONOGXRCSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CCN(C)C(=O)[C@H]2C)cc1 ZINC000807010244 1073908813 /nfs/dbraw/zinc/90/88/13/1073908813.db2.gz ZNFVGQULYWQGOC-ZIAGYGMSSA-N 0 0 448.476 -0.378 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)C(=O)N=c1ccnc2n(C)[nH]cc1-2 ZINC000807011585 1073908681 /nfs/dbraw/zinc/90/86/81/1073908681.db2.gz CRDZJUIEGMZGTE-KRWDZBQOSA-N 0 0 432.444 -0.064 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)C(=O)N=c1ccnc2n(C)[nH]cc1-2 ZINC000807011586 1073908716 /nfs/dbraw/zinc/90/87/16/1073908716.db2.gz CRDZJUIEGMZGTE-QGZVFWFLSA-N 0 0 432.444 -0.064 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(C)C2=O)cc1 ZINC000807016824 1073908798 /nfs/dbraw/zinc/90/87/98/1073908798.db2.gz ZNBGBMUKVUNWMV-BBRMVZONSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(C)C2=O)cc1 ZINC000807016825 1073908641 /nfs/dbraw/zinc/90/86/41/1073908641.db2.gz ZNBGBMUKVUNWMV-CJNGLKHVSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(C)C2=O)cc1 ZINC000807016826 1073908662 /nfs/dbraw/zinc/90/86/62/1073908662.db2.gz ZNBGBMUKVUNWMV-CZUORRHYSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(C)C2=O)cc1 ZINC000807016827 1073908620 /nfs/dbraw/zinc/90/86/20/1073908620.db2.gz ZNBGBMUKVUNWMV-XJKSGUPXSA-N 0 0 448.476 -0.378 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N(C)C)c1)OC ZINC000807019703 1073908754 /nfs/dbraw/zinc/90/87/54/1073908754.db2.gz JQMLPQQMJMRMGO-UHFFFAOYSA-N 0 0 435.474 -0.156 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21)OC ZINC000807019799 1073908791 /nfs/dbraw/zinc/90/87/91/1073908791.db2.gz MCPHNBNENVNAQG-CVEARBPZSA-N 0 0 449.504 -0.148 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21)OC ZINC000807019800 1073908669 /nfs/dbraw/zinc/90/86/69/1073908669.db2.gz MCPHNBNENVNAQG-HOTGVXAUSA-N 0 0 449.504 -0.148 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21)OC ZINC000807019801 1073908748 /nfs/dbraw/zinc/90/87/48/1073908748.db2.gz MCPHNBNENVNAQG-HZPDHXFCSA-N 0 0 449.504 -0.148 20 0 IBADRN COC(CN(CCCO)C(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21)OC ZINC000807019802 1073908783 /nfs/dbraw/zinc/90/87/83/1073908783.db2.gz MCPHNBNENVNAQG-JKSUJKDBSA-N 0 0 449.504 -0.148 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C(=O)N=c3ccnc4n(C)[nH]cc3-4)C2)cc1 ZINC000807020481 1073909274 /nfs/dbraw/zinc/90/92/74/1073909274.db2.gz XSPHLCKLAUUZSB-UHFFFAOYSA-N 0 0 444.473 -0.522 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000807020744 1073908730 /nfs/dbraw/zinc/90/87/30/1073908730.db2.gz DICAAVBYWRQLMH-CABCVRRESA-N 0 0 437.518 -0.085 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000807020745 1073909193 /nfs/dbraw/zinc/90/91/93/1073909193.db2.gz DICAAVBYWRQLMH-GJZGRUSLSA-N 0 0 437.518 -0.085 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000807020746 1073909269 /nfs/dbraw/zinc/90/92/69/1073909269.db2.gz DICAAVBYWRQLMH-HUUCEWRRSA-N 0 0 437.518 -0.085 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000807020747 1073909234 /nfs/dbraw/zinc/90/92/34/1073909234.db2.gz DICAAVBYWRQLMH-LSDHHAIUSA-N 0 0 437.518 -0.085 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N[C@H](Cc3ccccc3)C(=O)N3CCOCC3)ccnc1-2 ZINC000807022010 1073909238 /nfs/dbraw/zinc/90/92/38/1073909238.db2.gz YJTFANGPIRZDGK-GOSISDBHSA-N 0 0 436.472 -0.133 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N[C@@H](Cc3ccccc3)C(=O)N3CCOCC3)ccnc1-2 ZINC000807022011 1073909254 /nfs/dbraw/zinc/90/92/54/1073909254.db2.gz YJTFANGPIRZDGK-SFHVURJKSA-N 0 0 436.472 -0.133 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(C)C[C@H]3CNC(=O)OC(C)(C)C)ccnc1-2 ZINC000807024474 1073909198 /nfs/dbraw/zinc/90/91/98/1073909198.db2.gz XSIMYWNTEYSZQQ-CYBMUJFWSA-N 0 0 431.497 -0.052 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N3CCN(C)C[C@@H]3CNC(=O)OC(C)(C)C)ccnc1-2 ZINC000807024475 1073909104 /nfs/dbraw/zinc/90/91/04/1073909104.db2.gz XSIMYWNTEYSZQQ-ZDUSSCGKSA-N 0 0 431.497 -0.052 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCNC2=O)cc1 ZINC000807025264 1073909231 /nfs/dbraw/zinc/90/92/31/1073909231.db2.gz RWGKUGKDDXKADE-DOMZBBRYSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCNC2=O)cc1 ZINC000807025265 1073909182 /nfs/dbraw/zinc/90/91/82/1073909182.db2.gz RWGKUGKDDXKADE-IUODEOHRSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCNC2=O)cc1 ZINC000807025267 1073909248 /nfs/dbraw/zinc/90/92/48/1073909248.db2.gz RWGKUGKDDXKADE-SWLSCSKDSA-N 0 0 434.449 -0.720 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCNC2=O)cc1 ZINC000807025268 1073909263 /nfs/dbraw/zinc/90/92/63/1073909263.db2.gz RWGKUGKDDXKADE-WFASDCNBSA-N 0 0 434.449 -0.720 20 0 IBADRN CC(=O)NCCN(C(=O)C(=O)N=c1ccnc2n(C)[nH]cc1-2)[C@H](C)C(=O)OC(C)(C)C ZINC000807026324 1073909149 /nfs/dbraw/zinc/90/91/49/1073909149.db2.gz PYBUNGQQNBBMQQ-GFCCVEGCSA-N 0 0 432.481 -0.025 20 0 IBADRN CC(=O)NCCN(C(=O)C(=O)Nc1ccnc2c1cnn2C)[C@@H](C)C(=O)OC(C)(C)C ZINC000807026325 1073909211 /nfs/dbraw/zinc/90/92/11/1073909211.db2.gz PYBUNGQQNBBMQQ-LBPRGKRZSA-N 0 0 432.481 -0.025 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1cccc(C(C)(C)O)c1)C2 ZINC000807030466 1073909138 /nfs/dbraw/zinc/90/91/38/1073909138.db2.gz MNSYACNOYYUPDF-UHFFFAOYSA-N 0 0 430.465 -0.659 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccnc3c2cnn3C)C1 ZINC000807034098 1073909122 /nfs/dbraw/zinc/90/91/22/1073909122.db2.gz LVYADTVAGVWCHV-CYBMUJFWSA-N 0 0 438.510 -0.821 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[nH]cc2-3)C1 ZINC000807034099 1073909819 /nfs/dbraw/zinc/90/98/19/1073909819.db2.gz LVYADTVAGVWCHV-ZDUSSCGKSA-N 0 0 438.510 -0.821 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc2)CC1=O ZINC000807035873 1073909932 /nfs/dbraw/zinc/90/99/32/1073909932.db2.gz WWEDTGPTQSYXSC-CYBMUJFWSA-N 0 0 434.449 -0.419 20 0 IBADRN CCN1CN(C(=O)C(=O)Nc2ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc2)CC1=O ZINC000807035874 1073909922 /nfs/dbraw/zinc/90/99/22/1073909922.db2.gz WWEDTGPTQSYXSC-ZDUSSCGKSA-N 0 0 434.449 -0.419 20 0 IBADRN O=C(Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1)C(=O)NC1(CO)CC1 ZINC000807049467 1073909897 /nfs/dbraw/zinc/90/98/97/1073909897.db2.gz WMCYEXBANLQCJG-UHFFFAOYSA-N 0 0 432.449 -0.059 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NC3(C(N)=O)CC3)cn2)CC1 ZINC000807050248 1073909951 /nfs/dbraw/zinc/90/99/51/1073909951.db2.gz JZYRNJBIIWWEOS-UHFFFAOYSA-N 0 0 433.469 -0.394 20 0 IBADRN NC(=O)C1(NC(=O)C(=O)Nc2ccc(N3CCN(S(=O)(=O)C(F)F)CC3)cc2)CC1 ZINC000807053321 1073909934 /nfs/dbraw/zinc/90/99/34/1073909934.db2.gz MQDDROHAAYLTSB-UHFFFAOYSA-N 0 0 445.448 -0.566 20 0 IBADRN CSCC[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000807057409 1073909970 /nfs/dbraw/zinc/90/99/70/1073909970.db2.gz RZYJFRZXAXVSNI-KFWWJZLASA-N 0 0 436.600 -0.258 20 0 IBADRN CSCC[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000807057410 1073909834 /nfs/dbraw/zinc/90/98/34/1073909834.db2.gz RZYJFRZXAXVSNI-KKUMJFAQSA-N 0 0 436.600 -0.258 20 0 IBADRN CSCC[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000807057411 1073909809 /nfs/dbraw/zinc/90/98/09/1073909809.db2.gz RZYJFRZXAXVSNI-RRFJBIMHSA-N 0 0 436.600 -0.258 20 0 IBADRN CSCC[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000807057412 1073909944 /nfs/dbraw/zinc/90/99/44/1073909944.db2.gz RZYJFRZXAXVSNI-SOUVJXGZSA-N 0 0 436.600 -0.258 20 0 IBADRN CSCC[C@@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000807058102 1073909873 /nfs/dbraw/zinc/90/98/73/1073909873.db2.gz MJLMCJIXORSNRL-LLVKDONJSA-N 0 0 432.524 -0.531 20 0 IBADRN CSCC[C@H](C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000807058103 1073909976 /nfs/dbraw/zinc/90/99/76/1073909976.db2.gz MJLMCJIXORSNRL-NSHDSACASA-N 0 0 432.524 -0.531 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)CCOCC1 ZINC000807059800 1073909888 /nfs/dbraw/zinc/90/98/88/1073909888.db2.gz PUWVUIOBJWBGAZ-HNNXBMFYSA-N 0 0 428.530 -0.035 20 0 IBADRN COC1(CNC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)CCOCC1 ZINC000807059801 1073909929 /nfs/dbraw/zinc/90/99/29/1073909929.db2.gz PUWVUIOBJWBGAZ-OAHLLOKOSA-N 0 0 428.530 -0.035 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)NN3CC(=O)NC3=O)cc2Br)CC1 ZINC000807061922 1073909785 /nfs/dbraw/zinc/90/97/85/1073909785.db2.gz JEYCBLMERDBUDH-UHFFFAOYSA-N 0 0 439.270 -0.278 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)C(=O)NN1CC(=O)NC1=O ZINC000807062464 1073909908 /nfs/dbraw/zinc/90/99/08/1073909908.db2.gz YXNGMGSSFKJWRB-UHFFFAOYSA-N 0 0 441.466 -0.363 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CC(=O)NC3=O)cc2)C1 ZINC000807063218 1073910514 /nfs/dbraw/zinc/91/05/14/1073910514.db2.gz OORJYHPEUAINBP-RYUDHWBXSA-N 0 0 437.478 -0.125 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CC(=O)NC3=O)cc2)C1 ZINC000807063219 1073910422 /nfs/dbraw/zinc/91/04/22/1073910422.db2.gz OORJYHPEUAINBP-TXEJJXNPSA-N 0 0 437.478 -0.125 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NN3CC(=O)NC3=O)cc2)C1 ZINC000807063220 1073910345 /nfs/dbraw/zinc/91/03/45/1073910345.db2.gz OORJYHPEUAINBP-VXGBXAGGSA-N 0 0 437.478 -0.125 20 0 IBADRN COC(=O)c1cc(I)cc(NC(=O)C(=O)NN2CC(=O)NC2=O)c1 ZINC000807063613 1073909855 /nfs/dbraw/zinc/90/98/55/1073909855.db2.gz YMASRUGYYPHGBW-UHFFFAOYSA-N 0 0 446.157 -0.401 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C)c(C)c(NC(=O)C(=O)NN2CC(=O)NC2=O)c1 ZINC000807063631 1073909961 /nfs/dbraw/zinc/90/99/61/1073909961.db2.gz ZFSOYXKGZWNJNK-UHFFFAOYSA-N 0 0 425.467 -0.145 20 0 IBADRN O=C(NN1CC(=O)NC1=O)C(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC000807063920 1073909842 /nfs/dbraw/zinc/90/98/42/1073909842.db2.gz HCWATVVHSCCJHV-UHFFFAOYSA-N 0 0 435.462 -0.667 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C3CC3)C2)cc1 ZINC000807067487 1073910312 /nfs/dbraw/zinc/91/03/12/1073910312.db2.gz FLHDHWBMBNFKGV-CYBMUJFWSA-N 0 0 446.460 -0.276 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C3CC3)C2)cc1 ZINC000807067488 1073910481 /nfs/dbraw/zinc/91/04/81/1073910481.db2.gz FLHDHWBMBNFKGV-ZDUSSCGKSA-N 0 0 446.460 -0.276 20 0 IBADRN CN(CCC(N)=O)C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000807068004 1073910438 /nfs/dbraw/zinc/91/04/38/1073910438.db2.gz QAHOUXSXCOEGHI-UHFFFAOYSA-N 0 0 436.512 -0.692 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)cc1 ZINC000807070831 1073910445 /nfs/dbraw/zinc/91/04/45/1073910445.db2.gz ZFJKPZIDOIVDMI-ASSNKEHSSA-N 0 0 448.476 -0.343 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)cc1 ZINC000807070833 1073910449 /nfs/dbraw/zinc/91/04/49/1073910449.db2.gz ZFJKPZIDOIVDMI-LRTDBIEQSA-N 0 0 448.476 -0.343 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@](C)(C(N)=O)C2)cc1 ZINC000807070835 1073910498 /nfs/dbraw/zinc/91/04/98/1073910498.db2.gz ZFJKPZIDOIVDMI-YEJXKQKISA-N 0 0 448.476 -0.343 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@](C)(C(N)=O)C2)cc1 ZINC000807070837 1073910367 /nfs/dbraw/zinc/91/03/67/1073910367.db2.gz ZFJKPZIDOIVDMI-ZSEKCTLFSA-N 0 0 448.476 -0.343 20 0 IBADRN Cn1nncc1CNC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000807071155 1073910468 /nfs/dbraw/zinc/91/04/68/1073910468.db2.gz ZFWBBOLWWMZVIR-UHFFFAOYSA-N 0 0 446.511 -0.581 20 0 IBADRN CN(CCNC(=O)C(=O)N=c1ccnc2n(C)[nH]cc1-2)S(=O)(=O)c1ccc(F)cc1 ZINC000807072627 1073910488 /nfs/dbraw/zinc/91/04/88/1073910488.db2.gz UDLCMWWQNQXMDJ-UHFFFAOYSA-N 0 0 434.453 -0.144 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)ccc1F ZINC000807072973 1073910386 /nfs/dbraw/zinc/91/03/86/1073910386.db2.gz ABMWSKVOOIXQRP-MFKMUULPSA-N 0 0 428.442 -0.029 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)NCCNS(=O)(=O)c3ccc(F)cc3F)ccnc1-2 ZINC000807074944 1073910327 /nfs/dbraw/zinc/91/03/27/1073910327.db2.gz NTRFTLBUKCDBFW-UHFFFAOYSA-N 0 0 438.416 -0.347 20 0 IBADRN O=C(C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000807074990 1073910474 /nfs/dbraw/zinc/91/04/74/1073910474.db2.gz VAOBIFHCUICGSF-AIANPOQGSA-N 0 0 442.472 -0.021 20 0 IBADRN O=C(C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000807074994 1073910505 /nfs/dbraw/zinc/91/05/05/1073910505.db2.gz VAOBIFHCUICGSF-ANQUJSFKSA-N 0 0 442.472 -0.021 20 0 IBADRN O=C(C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000807074996 1073910433 /nfs/dbraw/zinc/91/04/33/1073910433.db2.gz VAOBIFHCUICGSF-HWMZRRJGSA-N 0 0 442.472 -0.021 20 0 IBADRN O=C(C(=O)N1CC[C@@H]2NC(=O)OC[C@H]2C1)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000807074998 1073910452 /nfs/dbraw/zinc/91/04/52/1073910452.db2.gz VAOBIFHCUICGSF-IVSAIRAKSA-N 0 0 442.472 -0.021 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@H]2C)cc1 ZINC000807075462 1073911139 /nfs/dbraw/zinc/91/11/39/1073911139.db2.gz ZSRGJNOWSBFKSC-DVOMOZLQSA-N 0 0 448.476 -0.426 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@H]2C(=O)NCC[C@@H]2C)cc1 ZINC000807075463 1073911164 /nfs/dbraw/zinc/91/11/64/1073911164.db2.gz ZSRGJNOWSBFKSC-HEHGZKQESA-N 0 0 448.476 -0.426 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@H]2C)cc1 ZINC000807075464 1073910857 /nfs/dbraw/zinc/91/08/57/1073910857.db2.gz ZSRGJNOWSBFKSC-WWGRRREGSA-N 0 0 448.476 -0.426 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@H]2C(=O)NCC[C@@H]2C)cc1 ZINC000807075465 1073910888 /nfs/dbraw/zinc/91/08/88/1073910888.db2.gz ZSRGJNOWSBFKSC-XEZPLFJOSA-N 0 0 448.476 -0.426 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)cc1 ZINC000807076166 1073911152 /nfs/dbraw/zinc/91/11/52/1073911152.db2.gz VLUNSAJDGOGKGZ-KBPBESRZSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)cc1 ZINC000807076167 1073911073 /nfs/dbraw/zinc/91/10/73/1073911073.db2.gz VLUNSAJDGOGKGZ-KGLIPLIRSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@@H](C)C2)cc1 ZINC000807076168 1073911021 /nfs/dbraw/zinc/91/10/21/1073911021.db2.gz VLUNSAJDGOGKGZ-UONOGXRCSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC(=O)N(C)[C@H](C)C2)cc1 ZINC000807076169 1073910949 /nfs/dbraw/zinc/91/09/49/1073910949.db2.gz VLUNSAJDGOGKGZ-ZIAGYGMSSA-N 0 0 448.476 -0.378 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@](F)(CO)C2)cc1 ZINC000807079077 1073910898 /nfs/dbraw/zinc/91/08/98/1073910898.db2.gz SYVZNRUBYKDZGT-RBZFPXEDSA-N 0 0 439.440 -0.134 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@](F)(CO)C2)cc1 ZINC000807079081 1073910942 /nfs/dbraw/zinc/91/09/42/1073910942.db2.gz SYVZNRUBYKDZGT-RNODOKPDSA-N 0 0 439.440 -0.134 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@@](F)(CO)C2)cc1 ZINC000807079082 1073911111 /nfs/dbraw/zinc/91/11/11/1073911111.db2.gz SYVZNRUBYKDZGT-XCLFUZPHSA-N 0 0 439.440 -0.134 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2CC[C@](F)(CO)C2)cc1 ZINC000807079083 1073911034 /nfs/dbraw/zinc/91/10/34/1073911034.db2.gz SYVZNRUBYKDZGT-ZUOKHONESA-N 0 0 439.440 -0.134 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1 ZINC000807079883 1073911084 /nfs/dbraw/zinc/91/10/84/1073911084.db2.gz WFBUETWBCQWGHS-RRQGHBQHSA-N 0 0 449.460 -0.441 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc1 ZINC000807079886 1073911000 /nfs/dbraw/zinc/91/10/00/1073911000.db2.gz WFBUETWBCQWGHS-XYPHTWIQSA-N 0 0 449.460 -0.441 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C(=O)N=c1ccnc3n(C)[nH]cc1-3)C2 ZINC000807080382 1073910911 /nfs/dbraw/zinc/91/09/11/1073910911.db2.gz UMPNTGKWLSMBBK-UHFFFAOYSA-N 0 0 428.474 -0.227 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@@H](c2ccccc2)C2CCOCC2)CC1 ZINC000807087919 1073911050 /nfs/dbraw/zinc/91/10/50/1073911050.db2.gz UELYRIXXMHFKRL-IBGZPJMESA-N 0 0 441.492 -0.372 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)N[C@H](c2ccccc2)C2CCOCC2)CC1 ZINC000807087920 1073911595 /nfs/dbraw/zinc/91/15/95/1073911595.db2.gz UELYRIXXMHFKRL-LJQANCHMSA-N 0 0 441.492 -0.372 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000807122771 1073911567 /nfs/dbraw/zinc/91/15/67/1073911567.db2.gz LYMHBQWKLKKCHK-ARFHVFGLSA-N 0 0 428.530 -0.036 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000807122772 1073911526 /nfs/dbraw/zinc/91/15/26/1073911526.db2.gz LYMHBQWKLKKCHK-BZUAXINKSA-N 0 0 428.530 -0.036 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000807122773 1073911649 /nfs/dbraw/zinc/91/16/49/1073911649.db2.gz LYMHBQWKLKKCHK-HRCADAONSA-N 0 0 428.530 -0.036 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000807122774 1073911619 /nfs/dbraw/zinc/91/16/19/1073911619.db2.gz LYMHBQWKLKKCHK-OWCLPIDISA-N 0 0 428.530 -0.036 20 0 IBADRN CCO[C@H]1COCC[C@H]1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807128388 1073911099 /nfs/dbraw/zinc/91/10/99/1073911099.db2.gz SBCQFRDSQDPXRW-NEPJUHHUSA-N 0 0 431.433 -0.273 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807128389 1073910970 /nfs/dbraw/zinc/91/09/70/1073910970.db2.gz SBCQFRDSQDPXRW-NWDGAFQWSA-N 0 0 431.433 -0.273 20 0 IBADRN CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807128390 1073911129 /nfs/dbraw/zinc/91/11/29/1073911129.db2.gz SBCQFRDSQDPXRW-RYUDHWBXSA-N 0 0 431.433 -0.273 20 0 IBADRN CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807128391 1073911122 /nfs/dbraw/zinc/91/11/22/1073911122.db2.gz SBCQFRDSQDPXRW-VXGBXAGGSA-N 0 0 431.433 -0.273 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C(=O)N(CCS(C)(=O)=O)C3CC3)C2=O)cc1 ZINC000807135667 1073911631 /nfs/dbraw/zinc/91/16/31/1073911631.db2.gz RFXDYJDTCZGBET-KRWDZBQOSA-N 0 0 437.518 -0.052 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C(=O)N(CCS(C)(=O)=O)C3CC3)C2=O)cc1 ZINC000807135670 1073911534 /nfs/dbraw/zinc/91/15/34/1073911534.db2.gz RFXDYJDTCZGBET-QGZVFWFLSA-N 0 0 437.518 -0.052 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@H]1CCN(Cc2ccc(OC)cc2)C1=O ZINC000807136674 1073911580 /nfs/dbraw/zinc/91/15/80/1073911580.db2.gz VLFYGVLFAMADIA-INIZCTEOSA-N 0 0 425.507 -0.195 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@@H]1CCN(Cc2ccc(OC)cc2)C1=O ZINC000807136677 1073911624 /nfs/dbraw/zinc/91/16/24/1073911624.db2.gz VLFYGVLFAMADIA-MRXNPFEDSA-N 0 0 425.507 -0.195 20 0 IBADRN C[C@@H]1OC(C)(C)N(C(=O)OC(C)(C)C)[C@H]1CNC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000807142496 1073911554 /nfs/dbraw/zinc/91/15/54/1073911554.db2.gz BJVVANGITUKIGO-AVGNSLFASA-N 0 0 428.486 -0.424 20 0 IBADRN C[C@@H]1OC(C)(C)N(C(=O)OC(C)(C)C)[C@H]1CNC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000807142498 1073911575 /nfs/dbraw/zinc/91/15/75/1073911575.db2.gz BJVVANGITUKIGO-RWMBFGLXSA-N 0 0 428.486 -0.424 20 0 IBADRN C[C@@H]1OC(C)(C)N(C(=O)OC(C)(C)C)[C@@H]1CNC(=O)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC000807142501 1073911543 /nfs/dbraw/zinc/91/15/43/1073911543.db2.gz BJVVANGITUKIGO-XQQFMLRXSA-N 0 0 428.486 -0.424 20 0 IBADRN C[C@@H]1OC(C)(C)N(C(=O)OC(C)(C)C)[C@@H]1CNC(=O)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000807142503 1073911560 /nfs/dbraw/zinc/91/15/60/1073911560.db2.gz BJVVANGITUKIGO-YNEHKIRRSA-N 0 0 428.486 -0.424 20 0 IBADRN C[C@@H]1OC(C)(C)N(C(=O)OC(C)(C)C)[C@H]1CNC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000807143470 1073911601 /nfs/dbraw/zinc/91/16/01/1073911601.db2.gz HFJNXWWGFOZTBR-AVGNSLFASA-N 0 0 448.542 -0.248 20 0 IBADRN C[C@@H]1OC(C)(C)N(C(=O)OC(C)(C)C)[C@@H]1CNC(=O)C(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000807143471 1073911518 /nfs/dbraw/zinc/91/15/18/1073911518.db2.gz HFJNXWWGFOZTBR-RWMBFGLXSA-N 0 0 448.542 -0.248 20 0 IBADRN C[C@@H]1OC(C)(C)N(C(=O)OC(C)(C)C)[C@H]1CNC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000807143472 1073911656 /nfs/dbraw/zinc/91/16/56/1073911656.db2.gz HFJNXWWGFOZTBR-XQQFMLRXSA-N 0 0 448.542 -0.248 20 0 IBADRN C[C@@H]1OC(C)(C)N(C(=O)OC(C)(C)C)[C@@H]1CNC(=O)C(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000807143473 1073912279 /nfs/dbraw/zinc/91/22/79/1073912279.db2.gz HFJNXWWGFOZTBR-YNEHKIRRSA-N 0 0 448.542 -0.248 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2[C@H](C)OC(C)(C)N2C(=O)OC(C)(C)C)CCO1 ZINC000807144422 1073912140 /nfs/dbraw/zinc/91/21/40/1073912140.db2.gz FLWUOBRBKWNGCH-IHRRRGAJSA-N 0 0 442.513 -0.164 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2[C@@H](C)OC(C)(C)N2C(=O)OC(C)(C)C)CCO1 ZINC000807144423 1073912371 /nfs/dbraw/zinc/91/23/71/1073912371.db2.gz FLWUOBRBKWNGCH-MCIONIFRSA-N 0 0 442.513 -0.164 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@@H]2[C@H](C)OC(C)(C)N2C(=O)OC(C)(C)C)CCO1 ZINC000807144424 1073912155 /nfs/dbraw/zinc/91/21/55/1073912155.db2.gz FLWUOBRBKWNGCH-MJBXVCDLSA-N 0 0 442.513 -0.164 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C(=O)NC[C@H]2[C@@H](C)OC(C)(C)N2C(=O)OC(C)(C)C)CCO1 ZINC000807144425 1073912374 /nfs/dbraw/zinc/91/23/74/1073912374.db2.gz FLWUOBRBKWNGCH-RDBSUJKOSA-N 0 0 442.513 -0.164 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC3CCC3)CC2)C[C@H](C)O1 ZINC000807163555 1073912254 /nfs/dbraw/zinc/91/22/54/1073912254.db2.gz QMYMELRVCNKZOI-GASCZTMLSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC3CCC3)CC2)C[C@H](C)O1 ZINC000807163559 1073912228 /nfs/dbraw/zinc/91/22/28/1073912228.db2.gz QMYMELRVCNKZOI-GJZGRUSLSA-N 0 0 432.543 -0.830 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C(=O)NCCOC3CCC3)CC2)C[C@@H](C)O1 ZINC000807163562 1073912267 /nfs/dbraw/zinc/91/22/67/1073912267.db2.gz QMYMELRVCNKZOI-HUUCEWRRSA-N 0 0 432.543 -0.830 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCCC[C@H]1COC(=O)N[C@@H]1CCOC1 ZINC000807179869 1073914117 /nfs/dbraw/zinc/91/41/17/1073914117.db2.gz XYWJKRFXHATBDC-CABCVRRESA-N 0 0 435.547 -0.102 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCCC[C@H]1COC(=O)N[C@H]1CCOC1 ZINC000807179873 1073913838 /nfs/dbraw/zinc/91/38/38/1073913838.db2.gz XYWJKRFXHATBDC-GJZGRUSLSA-N 0 0 435.547 -0.102 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1 ZINC000807179875 1073914129 /nfs/dbraw/zinc/91/41/29/1073914129.db2.gz XYWJKRFXHATBDC-HUUCEWRRSA-N 0 0 435.547 -0.102 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1 ZINC000807179877 1073914135 /nfs/dbraw/zinc/91/41/35/1073914135.db2.gz XYWJKRFXHATBDC-LSDHHAIUSA-N 0 0 435.547 -0.102 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000807188312 1073912359 /nfs/dbraw/zinc/91/23/59/1073912359.db2.gz KPJSHRCSJXYVKK-CQSZACIVSA-N 0 0 433.508 -0.472 20 0 IBADRN Cn1cncc1CC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000807188729 1073912312 /nfs/dbraw/zinc/91/23/12/1073912312.db2.gz OGHHLDQCFKOXIV-UHFFFAOYSA-N 0 0 430.508 -0.117 20 0 IBADRN CN(C)C(=O)C1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CC1 ZINC000807188753 1073912203 /nfs/dbraw/zinc/91/22/03/1073912203.db2.gz OVRKPHSCSTZZOL-UHFFFAOYSA-N 0 0 447.535 -0.224 20 0 IBADRN COC(=O)COCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000807188809 1073912337 /nfs/dbraw/zinc/91/23/37/1073912337.db2.gz PTEROTSUYZASAP-UHFFFAOYSA-N 0 0 438.480 -0.903 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCC(CNC(=O)C(F)(F)F)CC3)C2=O)cn1 ZINC000807211589 1073912756 /nfs/dbraw/zinc/91/27/56/1073912756.db2.gz DBVQITBJTSHOMI-CYBMUJFWSA-N 0 0 444.414 -0.441 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCC(CNC(=O)C(F)(F)F)CC3)C2=O)cn1 ZINC000807211594 1073912798 /nfs/dbraw/zinc/91/27/98/1073912798.db2.gz DBVQITBJTSHOMI-ZDUSSCGKSA-N 0 0 444.414 -0.441 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@H](O)c3ccco3)C2=O)cn1 ZINC000807212048 1073912120 /nfs/dbraw/zinc/91/21/20/1073912120.db2.gz OPZQLOYZUOHHNS-BPUTZDHNSA-N 0 0 431.449 -0.414 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@@H](O)c3ccco3)C2=O)cn1 ZINC000807212049 1073912175 /nfs/dbraw/zinc/91/21/75/1073912175.db2.gz OPZQLOYZUOHHNS-CWRNSKLLSA-N 0 0 431.449 -0.414 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@H](O)c3ccco3)C2=O)cn1 ZINC000807212050 1073912350 /nfs/dbraw/zinc/91/23/50/1073912350.db2.gz OPZQLOYZUOHHNS-KBMXLJTQSA-N 0 0 431.449 -0.414 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@@H](O)c3ccco3)C2=O)cn1 ZINC000807212051 1073912303 /nfs/dbraw/zinc/91/23/03/1073912303.db2.gz OPZQLOYZUOHHNS-VNQPRFMTSA-N 0 0 431.449 -0.414 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@@H]3CCCN(Cc4ccccc4)C3=O)C2=O)cn1 ZINC000807212111 1073912190 /nfs/dbraw/zinc/91/21/90/1073912190.db2.gz RJSIJYYVOFYFPX-MSOLQXFVSA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@@H]3CCCN(Cc4ccccc4)C3=O)C2=O)cn1 ZINC000807212112 1073912328 /nfs/dbraw/zinc/91/23/28/1073912328.db2.gz RJSIJYYVOFYFPX-QZTJIDSGSA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N[C@H]3CCCN(Cc4ccccc4)C3=O)C2=O)cn1 ZINC000807212113 1073912244 /nfs/dbraw/zinc/91/22/44/1073912244.db2.gz RJSIJYYVOFYFPX-ROUUACIJSA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N[C@H]3CCCN(Cc4ccccc4)C3=O)C2=O)cn1 ZINC000807212114 1073912874 /nfs/dbraw/zinc/91/28/74/1073912874.db2.gz RJSIJYYVOFYFPX-ZWKOTPCHSA-N 0 0 438.488 -0.051 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)C2=O)cn1 ZINC000807212152 1073912867 /nfs/dbraw/zinc/91/28/67/1073912867.db2.gz SVFITRDYAWXWMC-GFCCVEGCSA-N 0 0 444.439 -0.082 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)C2=O)cn1 ZINC000807212156 1073912823 /nfs/dbraw/zinc/91/28/23/1073912823.db2.gz SVFITRDYAWXWMC-LBPRGKRZSA-N 0 0 444.439 -0.082 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C4=NCC(C)(C)S4)CC3)C2=O)cn1 ZINC000807212200 1073912163 /nfs/dbraw/zinc/91/21/63/1073912163.db2.gz UEUTUNMRGJKKDS-AWEZNQCLSA-N 0 0 433.538 -0.333 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C4=NCC(C)(C)S4)CC3)C2=O)cn1 ZINC000807212233 1073913390 /nfs/dbraw/zinc/91/33/90/1073913390.db2.gz UEUTUNMRGJKKDS-CQSZACIVSA-N 0 0 433.538 -0.333 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCCN(c4ncccc4F)CC3)C2=O)cn1 ZINC000807212365 1073912832 /nfs/dbraw/zinc/91/28/32/1073912832.db2.gz AILUBCAJUXKBKX-INIZCTEOSA-N 0 0 429.456 -0.085 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3CCCN(c4ncccc4F)CC3)C2=O)cn1 ZINC000807212366 1073912744 /nfs/dbraw/zinc/91/27/44/1073912744.db2.gz AILUBCAJUXKBKX-MRXNPFEDSA-N 0 0 429.456 -0.085 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@H](O)c3ccccc3)C2=O)cn1 ZINC000807212407 1073912842 /nfs/dbraw/zinc/91/28/42/1073912842.db2.gz BTCUYSBDDJLQIY-NEWSRXKRSA-N 0 0 441.488 -0.007 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@@H](O)c3ccccc3)C2=O)cn1 ZINC000807212408 1073913380 /nfs/dbraw/zinc/91/33/80/1073913380.db2.gz BTCUYSBDDJLQIY-NZSAHSFTSA-N 0 0 441.488 -0.007 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@H](O)c3ccccc3)C2=O)cn1 ZINC000807212409 1073913423 /nfs/dbraw/zinc/91/34/23/1073913423.db2.gz BTCUYSBDDJLQIY-WDSOQIARSA-N 0 0 441.488 -0.007 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@@H](O)c3ccccc3)C2=O)cn1 ZINC000807212410 1073913223 /nfs/dbraw/zinc/91/32/23/1073913223.db2.gz BTCUYSBDDJLQIY-YTQUADARSA-N 0 0 441.488 -0.007 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)N[C@@H]1CCN(c3cnn(C)c3)C1=O)C[C@@H]2O ZINC000807212487 1073912704 /nfs/dbraw/zinc/91/27/04/1073912704.db2.gz FFWADBVBYQTHLB-CABCVRRESA-N 0 0 443.460 -0.265 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)N[C@H]1CCN(c3cnn(C)c3)C1=O)C[C@@H]2O ZINC000807212488 1073912851 /nfs/dbraw/zinc/91/28/51/1073912851.db2.gz FFWADBVBYQTHLB-GJZGRUSLSA-N 0 0 443.460 -0.265 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)N[C@@H]1CCN(c3cnn(C)c3)C1=O)C[C@H]2O ZINC000807212489 1073912892 /nfs/dbraw/zinc/91/28/92/1073912892.db2.gz FFWADBVBYQTHLB-HUUCEWRRSA-N 0 0 443.460 -0.265 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)N[C@H]1CCN(c3cnn(C)c3)C1=O)C[C@H]2O ZINC000807212490 1073912883 /nfs/dbraw/zinc/91/28/83/1073912883.db2.gz FFWADBVBYQTHLB-LSDHHAIUSA-N 0 0 443.460 -0.265 20 0 IBADRN Cn1cc([C@H](O)C[C@@H]2CCCN2C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cn1 ZINC000807212673 1073912679 /nfs/dbraw/zinc/91/26/79/1073912679.db2.gz JBJFIYKMOOCVST-BHYGNILZSA-N 0 0 429.481 -0.510 20 0 IBADRN Cn1cc([C@H](O)C[C@H]2CCCN2C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cn1 ZINC000807212674 1073912787 /nfs/dbraw/zinc/91/27/87/1073912787.db2.gz JBJFIYKMOOCVST-DJIMGWMZSA-N 0 0 429.481 -0.510 20 0 IBADRN Cn1cc([C@H](O)C[C@H]2CCCN2C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cn1 ZINC000807212675 1073912731 /nfs/dbraw/zinc/91/27/31/1073912731.db2.gz JBJFIYKMOOCVST-HYVNUMGLSA-N 0 0 429.481 -0.510 20 0 IBADRN Cn1cc([C@H](O)C[C@@H]2CCCN2C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cn1 ZINC000807212676 1073912775 /nfs/dbraw/zinc/91/27/75/1073912775.db2.gz JBJFIYKMOOCVST-USXIJHARSA-N 0 0 429.481 -0.510 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)NCc3ccc(N4CCOC4=O)cc3)C2=O)cn1 ZINC000807212821 1073912696 /nfs/dbraw/zinc/91/26/96/1073912696.db2.gz KHERLJWYENUHLM-INIZCTEOSA-N 0 0 426.433 -0.085 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)NCc3ccc(N4CCOC4=O)cc3)C2=O)cn1 ZINC000807212822 1073912859 /nfs/dbraw/zinc/91/28/59/1073912859.db2.gz KHERLJWYENUHLM-MRXNPFEDSA-N 0 0 426.433 -0.085 20 0 IBADRN CC(C)COC(=O)N1CCCN(C(=O)C(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000807213575 1073913315 /nfs/dbraw/zinc/91/33/15/1073913315.db2.gz REUUMIBALGNDPR-INIZCTEOSA-N 0 0 434.497 -0.032 20 0 IBADRN CC(C)COC(=O)N1CCCN(C(=O)C(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000807213576 1073913301 /nfs/dbraw/zinc/91/33/01/1073913301.db2.gz REUUMIBALGNDPR-MRXNPFEDSA-N 0 0 434.497 -0.032 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H]([C@H](O)c4nccn4C)C3)C2=O)cn1 ZINC000807214464 1073913369 /nfs/dbraw/zinc/91/33/69/1073913369.db2.gz ZSOXWWUSBANUDX-BPUTZDHNSA-N 0 0 429.481 -0.653 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H]([C@@H](O)c4nccn4C)C3)C2=O)cn1 ZINC000807214465 1073913269 /nfs/dbraw/zinc/91/32/69/1073913269.db2.gz ZSOXWWUSBANUDX-CWRNSKLLSA-N 0 0 429.481 -0.653 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H]([C@H](O)c4nccn4C)C3)C2=O)cn1 ZINC000807214466 1073913209 /nfs/dbraw/zinc/91/32/09/1073913209.db2.gz ZSOXWWUSBANUDX-KBMXLJTQSA-N 0 0 429.481 -0.653 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H]([C@@H](O)c4nccn4C)C3)C2=O)cn1 ZINC000807214467 1073913322 /nfs/dbraw/zinc/91/33/22/1073913322.db2.gz ZSOXWWUSBANUDX-VNQPRFMTSA-N 0 0 429.481 -0.653 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)[C@H]1CCN(Cc2ccccc2)C1=O ZINC000807215913 1073913229 /nfs/dbraw/zinc/91/32/29/1073913229.db2.gz ZGRBKJXIZXCYJB-MSOLQXFVSA-N 0 0 438.488 -0.099 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000807215916 1073913244 /nfs/dbraw/zinc/91/32/44/1073913244.db2.gz ZGRBKJXIZXCYJB-QZTJIDSGSA-N 0 0 438.488 -0.099 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)[C@H]1CCN(Cc2ccccc2)C1=O ZINC000807215919 1073913404 /nfs/dbraw/zinc/91/34/04/1073913404.db2.gz ZGRBKJXIZXCYJB-ROUUACIJSA-N 0 0 438.488 -0.099 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000807215920 1073913277 /nfs/dbraw/zinc/91/32/77/1073913277.db2.gz ZGRBKJXIZXCYJB-ZWKOTPCHSA-N 0 0 438.488 -0.099 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000807215975 1073913357 /nfs/dbraw/zinc/91/33/57/1073913357.db2.gz ZVXUGMLZXFVURW-NEPJUHHUSA-N 0 0 430.387 -0.737 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000807215979 1073913411 /nfs/dbraw/zinc/91/34/11/1073913411.db2.gz ZVXUGMLZXFVURW-NWDGAFQWSA-N 0 0 430.387 -0.737 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000807215981 1073913343 /nfs/dbraw/zinc/91/33/43/1073913343.db2.gz ZVXUGMLZXFVURW-RYUDHWBXSA-N 0 0 430.387 -0.737 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000807215982 1073913336 /nfs/dbraw/zinc/91/33/36/1073913336.db2.gz ZVXUGMLZXFVURW-VXGBXAGGSA-N 0 0 430.387 -0.737 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)C(=O)N3C[C@@H]4CN(C(=O)OC(C)(C)C)C[C@@H]4C3)C2=O)cn1 ZINC000807217227 1073914110 /nfs/dbraw/zinc/91/41/10/1073914110.db2.gz UEYSADQDEIZQHG-IJEWVQPXSA-N 0 0 446.508 -0.033 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)C(=O)N3C[C@@H]4CN(C(=O)OC(C)(C)C)C[C@@H]4C3)C2=O)cn1 ZINC000807217228 1073913953 /nfs/dbraw/zinc/91/39/53/1073913953.db2.gz UEYSADQDEIZQHG-LZWOXQAQSA-N 0 0 446.508 -0.033 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000807223530 1073914040 /nfs/dbraw/zinc/91/40/40/1073914040.db2.gz CWGMUXFUVYUDCO-BJMVGYQFSA-N 0 0 437.474 -0.030 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000807223531 1073913864 /nfs/dbraw/zinc/91/38/64/1073913864.db2.gz CWGMUXFUVYUDCO-YHYXMXQVSA-N 0 0 437.474 -0.030 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC000807241979 1073914094 /nfs/dbraw/zinc/91/40/94/1073914094.db2.gz AIQDWATXVOTGFX-WTKPLQERSA-N 0 0 448.501 -0.361 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)nn1 ZINC000807251547 1073913876 /nfs/dbraw/zinc/91/38/76/1073913876.db2.gz WUYIUFWBHNAWMU-CYBMUJFWSA-N 0 0 425.490 -0.085 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)nn1 ZINC000807251548 1073914068 /nfs/dbraw/zinc/91/40/68/1073914068.db2.gz WUYIUFWBHNAWMU-ZDUSSCGKSA-N 0 0 425.490 -0.085 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H](Cn2ccnc2)C(=O)OC)c1 ZINC000807279242 1073914054 /nfs/dbraw/zinc/91/40/54/1073914054.db2.gz ACCVWJQEQACUGU-HNNXBMFYSA-N 0 0 439.494 -0.567 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H](Cn2ccnc2)C(=O)OC)c1 ZINC000807279243 1073914002 /nfs/dbraw/zinc/91/40/02/1073914002.db2.gz ACCVWJQEQACUGU-OAHLLOKOSA-N 0 0 439.494 -0.567 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H](Cn3ccnc3)C(=O)OC)cc2S1(=O)=O ZINC000807280915 1073914764 /nfs/dbraw/zinc/91/47/64/1073914764.db2.gz LSESVTLSJQVXEF-AWEZNQCLSA-N 0 0 436.446 -0.354 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H](Cn3ccnc3)C(=O)OC)cc2S1(=O)=O ZINC000807280916 1073914653 /nfs/dbraw/zinc/91/46/53/1073914653.db2.gz LSESVTLSJQVXEF-CQSZACIVSA-N 0 0 436.446 -0.354 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000807281021 1073914695 /nfs/dbraw/zinc/91/46/95/1073914695.db2.gz QBZYRBNSFDKJAF-AWEZNQCLSA-N 0 0 438.462 -0.319 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000807281022 1073914731 /nfs/dbraw/zinc/91/47/31/1073914731.db2.gz QBZYRBNSFDKJAF-CQSZACIVSA-N 0 0 438.462 -0.319 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000807281105 1073914683 /nfs/dbraw/zinc/91/46/83/1073914683.db2.gz RHVCMUBOJRBSHU-HNNXBMFYSA-N 0 0 437.478 -0.779 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000807281106 1073914611 /nfs/dbraw/zinc/91/46/11/1073914611.db2.gz RHVCMUBOJRBSHU-OAHLLOKOSA-N 0 0 437.478 -0.779 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000807283016 1073914590 /nfs/dbraw/zinc/91/45/90/1073914590.db2.gz DOGOHVDSBCPVJU-AWEZNQCLSA-N 0 0 425.467 -0.786 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000807283017 1073914709 /nfs/dbraw/zinc/91/47/09/1073914709.db2.gz DOGOHVDSBCPVJU-CQSZACIVSA-N 0 0 425.467 -0.786 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000807283936 1073914555 /nfs/dbraw/zinc/91/45/55/1073914555.db2.gz MIKPLGPEWWQIGS-SECBINFHSA-N 0 0 438.242 -0.553 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000807283942 1073914744 /nfs/dbraw/zinc/91/47/44/1073914744.db2.gz MIKPLGPEWWQIGS-VIFPVBQESA-N 0 0 438.242 -0.553 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CCN(c3ccc(C)cc3)C(=O)C2)cn1 ZINC000807285613 1073914755 /nfs/dbraw/zinc/91/47/55/1073914755.db2.gz USKVCBKDWUBKSV-UHFFFAOYSA-N 0 0 442.476 -0.232 20 0 IBADRN CCc1ccc([C@@H](O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000807296609 1073915423 /nfs/dbraw/zinc/91/54/23/1073915423.db2.gz GEIWPEHJUPJHHK-INIZCTEOSA-N 0 0 425.445 -0.017 20 0 IBADRN CCc1ccc([C@H](O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000807296610 1073915203 /nfs/dbraw/zinc/91/52/03/1073915203.db2.gz GEIWPEHJUPJHHK-MRXNPFEDSA-N 0 0 425.445 -0.017 20 0 IBADRN CN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000807298575 1073915407 /nfs/dbraw/zinc/91/54/07/1073915407.db2.gz DPADZUORJSTEOJ-INIZCTEOSA-N 0 0 437.522 -0.556 20 0 IBADRN CN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000807298576 1073915303 /nfs/dbraw/zinc/91/53/03/1073915303.db2.gz DPADZUORJSTEOJ-MRXNPFEDSA-N 0 0 437.522 -0.556 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)C(=O)Nc1ccc(-n2nccn2)nc1)C(F)(F)F ZINC000807303919 1073915320 /nfs/dbraw/zinc/91/53/20/1073915320.db2.gz XGOYHVPDFDWHIN-JTQLQIEISA-N 0 0 435.388 -0.461 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)C(=O)Nc1ccc(-n2nccn2)nc1)C(F)(F)F ZINC000807303920 1073915293 /nfs/dbraw/zinc/91/52/93/1073915293.db2.gz XGOYHVPDFDWHIN-SNVBAGLBSA-N 0 0 435.388 -0.461 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCc1cc(=O)n(C)c(=O)n1C ZINC000807306665 1073915390 /nfs/dbraw/zinc/91/53/90/1073915390.db2.gz RJGPFILNKZEOQX-BMIGLBTASA-N 0 0 445.881 -0.492 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCc1cc(=O)n(C)c(=O)n1C ZINC000807306666 1073915273 /nfs/dbraw/zinc/91/52/73/1073915273.db2.gz RJGPFILNKZEOQX-BONVTDFDSA-N 0 0 445.881 -0.492 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCc1cc(=O)n(C)c(=O)n1C ZINC000807306667 1073915284 /nfs/dbraw/zinc/91/52/84/1073915284.db2.gz RJGPFILNKZEOQX-MEBBXXQBSA-N 0 0 445.881 -0.492 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCc1cc(=O)n(C)c(=O)n1C ZINC000807306668 1073915179 /nfs/dbraw/zinc/91/51/79/1073915179.db2.gz RJGPFILNKZEOQX-ZUZCIYMTSA-N 0 0 445.881 -0.492 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCC3(O)CCOCC3)cc2)CC1 ZINC000807311004 1073915352 /nfs/dbraw/zinc/91/53/52/1073915352.db2.gz CUBOCAANNYXRIW-UHFFFAOYSA-N 0 0 448.520 -0.032 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC000807311205 1073915329 /nfs/dbraw/zinc/91/53/29/1073915329.db2.gz GQWHPSWTDCVHCB-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCC1(O)CCOCC1 ZINC000807311227 1073915342 /nfs/dbraw/zinc/91/53/42/1073915342.db2.gz HDHWWXPCERLBIX-UHFFFAOYSA-N 0 0 425.507 -0.126 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000807311243 1073915400 /nfs/dbraw/zinc/91/54/00/1073915400.db2.gz IHUATAGHVXHXHT-UHFFFAOYSA-N 0 0 437.522 -0.651 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000807316803 1073915879 /nfs/dbraw/zinc/91/58/79/1073915879.db2.gz QQRSLTRCECDQKH-KRWDZBQOSA-N 0 0 444.366 -0.206 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)C1 ZINC000807316810 1073915865 /nfs/dbraw/zinc/91/58/65/1073915865.db2.gz QQRSLTRCECDQKH-QGZVFWFLSA-N 0 0 444.366 -0.206 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@](C(=O)OC)(C(F)(F)F)C2)cn1 ZINC000807316962 1073915256 /nfs/dbraw/zinc/91/52/56/1073915256.db2.gz RZAPKLLAJNUWHD-INIZCTEOSA-N 0 0 449.386 -0.462 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N2CC[C@@](C(=O)OC)(C(F)(F)F)C2)cn1 ZINC000807316963 1073915874 /nfs/dbraw/zinc/91/58/74/1073915874.db2.gz RZAPKLLAJNUWHD-MRXNPFEDSA-N 0 0 449.386 -0.462 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000807317695 1073915915 /nfs/dbraw/zinc/91/59/15/1073915915.db2.gz KJZHJHYKAHTOSE-KRWDZBQOSA-N 0 0 443.382 -0.090 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)C1 ZINC000807317696 1073915853 /nfs/dbraw/zinc/91/58/53/1073915853.db2.gz KJZHJHYKAHTOSE-QGZVFWFLSA-N 0 0 443.382 -0.090 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)[C@@H](C)C1 ZINC000807318298 1073915895 /nfs/dbraw/zinc/91/58/95/1073915895.db2.gz IQTQSJQBODYJJB-AWEZNQCLSA-N 0 0 445.563 -0.004 20 0 IBADRN CCN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)[C@H](C)C1 ZINC000807318299 1073915795 /nfs/dbraw/zinc/91/57/95/1073915795.db2.gz IQTQSJQBODYJJB-CQSZACIVSA-N 0 0 445.563 -0.004 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCOC[C@@H]2[C@@H]2CCCO2)n1 ZINC000807323310 1073914722 /nfs/dbraw/zinc/91/47/22/1073914722.db2.gz PJMPPFKWPBZNGG-CABCVRRESA-N 0 0 428.511 -0.029 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCOC[C@H]2[C@@H]2CCCO2)n1 ZINC000807323311 1073914774 /nfs/dbraw/zinc/91/47/74/1073914774.db2.gz PJMPPFKWPBZNGG-GJZGRUSLSA-N 0 0 428.511 -0.029 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCOC[C@@H]2[C@H]2CCCO2)n1 ZINC000807323312 1073914781 /nfs/dbraw/zinc/91/47/81/1073914781.db2.gz PJMPPFKWPBZNGG-HUUCEWRRSA-N 0 0 428.511 -0.029 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2CCOC[C@H]2[C@H]2CCCO2)n1 ZINC000807323313 1073914604 /nfs/dbraw/zinc/91/46/04/1073914604.db2.gz PJMPPFKWPBZNGG-LSDHHAIUSA-N 0 0 428.511 -0.029 20 0 IBADRN COc1ccc(C(=O)NC[C@@H]2CC(C(N)=O)=NO2)cc1S(=O)(=O)NCc1ccccn1 ZINC000807324839 1073915415 /nfs/dbraw/zinc/91/54/15/1073915415.db2.gz ISTRYZSGRGUQKQ-AWEZNQCLSA-N 0 0 447.473 -0.071 20 0 IBADRN COc1ccc(C(=O)NC[C@H]2CC(C(N)=O)=NO2)cc1S(=O)(=O)NCc1ccccn1 ZINC000807324840 1073915218 /nfs/dbraw/zinc/91/52/18/1073915218.db2.gz ISTRYZSGRGUQKQ-CQSZACIVSA-N 0 0 447.473 -0.071 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@H]2CC(C(N)=O)=NO2)cc1 ZINC000807325551 1073915366 /nfs/dbraw/zinc/91/53/66/1073915366.db2.gz RMPXDDGKIYHQMR-CYBMUJFWSA-N 0 0 439.494 -0.807 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@@H]2CC(C(N)=O)=NO2)cc1 ZINC000807325552 1073915385 /nfs/dbraw/zinc/91/53/85/1073915385.db2.gz RMPXDDGKIYHQMR-ZDUSSCGKSA-N 0 0 439.494 -0.807 20 0 IBADRN O=C(OCc1nnnn1Cc1ccccc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000807330506 1073915814 /nfs/dbraw/zinc/91/58/14/1073915814.db2.gz FFQOBPDHOSJDJR-AWEZNQCLSA-N 0 0 432.462 -0.070 20 0 IBADRN O=C(OCc1nnnn1Cc1ccccc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000807330511 1073915946 /nfs/dbraw/zinc/91/59/46/1073915946.db2.gz FFQOBPDHOSJDJR-CQSZACIVSA-N 0 0 432.462 -0.070 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@H]2C)n1 ZINC000807334525 1073915845 /nfs/dbraw/zinc/91/58/45/1073915845.db2.gz PRHQTMYSELLGQJ-CVEARBPZSA-N 0 0 441.554 -0.114 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2C[C@@H](N3CCOCC3)C[C@@H]2C)n1 ZINC000807334527 1073915938 /nfs/dbraw/zinc/91/59/38/1073915938.db2.gz PRHQTMYSELLGQJ-HOTGVXAUSA-N 0 0 441.554 -0.114 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@H]2C)n1 ZINC000807334529 1073915826 /nfs/dbraw/zinc/91/58/26/1073915826.db2.gz PRHQTMYSELLGQJ-HZPDHXFCSA-N 0 0 441.554 -0.114 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)C(=O)N2C[C@H](N3CCOCC3)C[C@@H]2C)n1 ZINC000807334533 1073915942 /nfs/dbraw/zinc/91/59/42/1073915942.db2.gz PRHQTMYSELLGQJ-JKSUJKDBSA-N 0 0 441.554 -0.114 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@H](C)C2)cc1 ZINC000807353360 1073915769 /nfs/dbraw/zinc/91/57/69/1073915769.db2.gz ISTIVDPRDUOOLA-CYBMUJFWSA-N 0 0 428.463 -0.068 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@@H](C)C2)cc1 ZINC000807353361 1073915786 /nfs/dbraw/zinc/91/57/86/1073915786.db2.gz ISTIVDPRDUOOLA-ZDUSSCGKSA-N 0 0 428.463 -0.068 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)NC(=O)NC1=O ZINC000807357808 1073915954 /nfs/dbraw/zinc/91/59/54/1073915954.db2.gz QKRSTFCQXBHFII-BTYIYWSLSA-N 0 0 442.476 -0.460 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)NC(=O)NC1=O ZINC000807357810 1073915925 /nfs/dbraw/zinc/91/59/25/1073915925.db2.gz QKRSTFCQXBHFII-QVKFZJNVSA-N 0 0 442.476 -0.460 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)NC(=O)NC1=O ZINC000807357812 1073915733 /nfs/dbraw/zinc/91/57/33/1073915733.db2.gz QKRSTFCQXBHFII-VFNWGFHPSA-N 0 0 442.476 -0.460 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)NC(=O)NC1=O ZINC000807357813 1073915886 /nfs/dbraw/zinc/91/58/86/1073915886.db2.gz QKRSTFCQXBHFII-YCRPNKLZSA-N 0 0 442.476 -0.460 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC(C)(C)CNC(=O)OC(C)(C)C)cnc2n(C)c1=O ZINC000807362425 1073915837 /nfs/dbraw/zinc/91/58/37/1073915837.db2.gz HKWFPGCZALYPSL-UHFFFAOYSA-N 0 0 448.480 -0.010 20 0 IBADRN COC(=O)N1CCC(CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000807374229 1073916408 /nfs/dbraw/zinc/91/64/08/1073916408.db2.gz BGAOGMOVJGKKBG-UHFFFAOYSA-N 0 0 432.437 -0.835 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000807375834 1073916421 /nfs/dbraw/zinc/91/64/21/1073916421.db2.gz HTUYPPJIFNQRQY-INIZCTEOSA-N 0 0 437.522 -0.508 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000807375835 1073916507 /nfs/dbraw/zinc/91/65/07/1073916507.db2.gz HTUYPPJIFNQRQY-MRXNPFEDSA-N 0 0 437.522 -0.508 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000807378349 1073916329 /nfs/dbraw/zinc/91/63/29/1073916329.db2.gz AFPDLJWUVFADOF-UHFFFAOYSA-N 0 0 427.383 -0.206 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCN(CC(F)(F)F)C(=O)C2)n1 ZINC000807378703 1073916344 /nfs/dbraw/zinc/91/63/44/1073916344.db2.gz KKZZTELCKIKUQY-UHFFFAOYSA-N 0 0 425.389 -0.901 20 0 IBADRN O=C(NC[C@H](N1CCOCC1)C(F)(F)F)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000807378870 1073916519 /nfs/dbraw/zinc/91/65/19/1073916519.db2.gz INDRJMSIXAAPEQ-HNNXBMFYSA-N 0 0 443.426 -0.059 20 0 IBADRN O=C(NC[C@@H](N1CCOCC1)C(F)(F)F)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000807378872 1073916377 /nfs/dbraw/zinc/91/63/77/1073916377.db2.gz INDRJMSIXAAPEQ-OAHLLOKOSA-N 0 0 443.426 -0.059 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)C(=O)Nc2cc(C(=O)OC)n(C)c2)CC1 ZINC000807381727 1073917048 /nfs/dbraw/zinc/91/70/48/1073917048.db2.gz GKDGTNJSAMHNAD-UHFFFAOYSA-N 0 0 443.478 -0.281 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)C2)CC1 ZINC000807390640 1073916993 /nfs/dbraw/zinc/91/69/93/1073916993.db2.gz IYMFZYJLQCXVNV-HNNXBMFYSA-N 0 0 449.512 -0.540 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)C2)CC1 ZINC000807390641 1073917058 /nfs/dbraw/zinc/91/70/58/1073917058.db2.gz IYMFZYJLQCXVNV-OAHLLOKOSA-N 0 0 449.512 -0.540 20 0 IBADRN COC[C@@]1(CNC(=O)C(=O)Nc2cnn(CC(N)=O)c2)CCCN1C(=O)OC(C)(C)C ZINC000807395852 1073916457 /nfs/dbraw/zinc/91/64/57/1073916457.db2.gz GNLVHCGPUUYGNA-IBGZPJMESA-N 0 0 438.485 -0.161 20 0 IBADRN COC[C@]1(CNC(=O)C(=O)Nc2cnn(CC(N)=O)c2)CCCN1C(=O)OC(C)(C)C ZINC000807395853 1073916468 /nfs/dbraw/zinc/91/64/68/1073916468.db2.gz GNLVHCGPUUYGNA-LJQANCHMSA-N 0 0 438.485 -0.161 20 0 IBADRN COC[C@@]1(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CCCN1C(=O)OC(C)(C)C ZINC000807396057 1073916351 /nfs/dbraw/zinc/91/63/51/1073916351.db2.gz IJJWBQGPIQCGOH-PXAZEXFGSA-N 0 0 436.531 -0.688 20 0 IBADRN COC[C@@]1(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CCCN1C(=O)OC(C)(C)C ZINC000807396065 1073916419 /nfs/dbraw/zinc/91/64/19/1073916419.db2.gz IJJWBQGPIQCGOH-SJCJKPOMSA-N 0 0 436.531 -0.688 20 0 IBADRN COC[C@]1(CNC(=O)C(=O)NC[C@@H](C)S(N)(=O)=O)CCCN1C(=O)OC(C)(C)C ZINC000807396068 1073916451 /nfs/dbraw/zinc/91/64/51/1073916451.db2.gz IJJWBQGPIQCGOH-SJKOYZFVSA-N 0 0 436.531 -0.688 20 0 IBADRN COC[C@]1(CNC(=O)C(=O)NC[C@H](C)S(N)(=O)=O)CCCN1C(=O)OC(C)(C)C ZINC000807396069 1073916500 /nfs/dbraw/zinc/91/65/00/1073916500.db2.gz IJJWBQGPIQCGOH-YVEFUNNKSA-N 0 0 436.531 -0.688 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@@H](C)CC3(C)OCCO3)CC2)no1 ZINC000807416934 1073917082 /nfs/dbraw/zinc/91/70/82/1073917082.db2.gz FPDDCQPRCYHMES-AWEZNQCLSA-N 0 0 437.497 -0.029 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)NC[C@H](C)CC3(C)OCCO3)CC2)no1 ZINC000807416935 1073916977 /nfs/dbraw/zinc/91/69/77/1073916977.db2.gz FPDDCQPRCYHMES-CQSZACIVSA-N 0 0 437.497 -0.029 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)CC1(C)OCCO1 ZINC000807417659 1073917095 /nfs/dbraw/zinc/91/70/95/1073917095.db2.gz AMDJPSYCAOYGEB-AWEZNQCLSA-N 0 0 444.510 -0.446 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)CC1(C)OCCO1 ZINC000807417660 1073917104 /nfs/dbraw/zinc/91/71/04/1073917104.db2.gz AMDJPSYCAOYGEB-CQSZACIVSA-N 0 0 444.510 -0.446 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@@H](C)CC2(C)OCCO2)CC1 ZINC000807420104 1073917012 /nfs/dbraw/zinc/91/70/12/1073917012.db2.gz GGBQOATYTMJLEW-INIZCTEOSA-N 0 0 449.570 -0.209 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C(=O)NC[C@H](C)CC2(C)OCCO2)CC1 ZINC000807420109 1073917132 /nfs/dbraw/zinc/91/71/32/1073917132.db2.gz GGBQOATYTMJLEW-MRXNPFEDSA-N 0 0 449.570 -0.209 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@H](C)CC2(C)OCCO2)C1 ZINC000807420270 1073917073 /nfs/dbraw/zinc/91/70/73/1073917073.db2.gz KHNYELFRHUPTJN-CVEARBPZSA-N 0 0 449.570 -0.209 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC[C@@H](C)CC2(C)OCCO2)C1 ZINC000807420272 1073917139 /nfs/dbraw/zinc/91/71/39/1073917139.db2.gz KHNYELFRHUPTJN-HOTGVXAUSA-N 0 0 449.570 -0.209 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@H](C)CC2(C)OCCO2)C1 ZINC000807420273 1073916955 /nfs/dbraw/zinc/91/69/55/1073916955.db2.gz KHNYELFRHUPTJN-HZPDHXFCSA-N 0 0 449.570 -0.209 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC[C@@H](C)CC2(C)OCCO2)C1 ZINC000807420275 1073917064 /nfs/dbraw/zinc/91/70/64/1073917064.db2.gz KHNYELFRHUPTJN-JKSUJKDBSA-N 0 0 449.570 -0.209 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC[C@@H](C)CC3(C)OCCO3)CC2)n1 ZINC000807420809 1073917005 /nfs/dbraw/zinc/91/70/05/1073917005.db2.gz PHKKQWJETTWZFB-AWEZNQCLSA-N 0 0 425.486 -0.234 20 0 IBADRN COCc1noc(CN2CCN(C(=O)C(=O)NC[C@H](C)CC3(C)OCCO3)CC2)n1 ZINC000807420810 1073917039 /nfs/dbraw/zinc/91/70/39/1073917039.db2.gz PHKKQWJETTWZFB-CQSZACIVSA-N 0 0 425.486 -0.234 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)CC1(C)OCCO1 ZINC000807421890 1073917541 /nfs/dbraw/zinc/91/75/41/1073917541.db2.gz DCUYJYYOKGBYIF-CVEARBPZSA-N 0 0 447.554 -0.455 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)CC1(C)OCCO1 ZINC000807421898 1073917613 /nfs/dbraw/zinc/91/76/13/1073917613.db2.gz DCUYJYYOKGBYIF-HOTGVXAUSA-N 0 0 447.554 -0.455 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)CC1(C)OCCO1 ZINC000807421900 1073917563 /nfs/dbraw/zinc/91/75/63/1073917563.db2.gz DCUYJYYOKGBYIF-HZPDHXFCSA-N 0 0 447.554 -0.455 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)CC1(C)OCCO1 ZINC000807421901 1073917545 /nfs/dbraw/zinc/91/75/45/1073917545.db2.gz DCUYJYYOKGBYIF-JKSUJKDBSA-N 0 0 447.554 -0.455 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)CC1(C)OCCO1 ZINC000807423222 1073917602 /nfs/dbraw/zinc/91/76/02/1073917602.db2.gz OOCPPFFQEXCALQ-AWEZNQCLSA-N 0 0 431.497 -0.083 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CCN(c2ncnc3c2cnn3C)CC1)CC1(C)OCCO1 ZINC000807423223 1073917664 /nfs/dbraw/zinc/91/76/64/1073917664.db2.gz OOCPPFFQEXCALQ-CQSZACIVSA-N 0 0 431.497 -0.083 20 0 IBADRN Cn1ncc(CNC(=O)C(=O)Nc2cnc(N3CCN(C(=O)OC(C)(C)C)CC3)nc2)n1 ZINC000807437672 1073918400 /nfs/dbraw/zinc/91/84/00/1073918400.db2.gz BDONHEUJTZUITP-UHFFFAOYSA-N 0 0 445.484 -0.083 20 0 IBADRN Cn1ncc(CNC(=O)C(=O)Nc2ccc3c(ccn3CC(=O)N3CCOCC3)c2)n1 ZINC000807438194 1073918238 /nfs/dbraw/zinc/91/82/38/1073918238.db2.gz KDQRQEFGZITIIE-UHFFFAOYSA-N 0 0 425.449 -0.117 20 0 IBADRN Cn1ncc(CNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)n1 ZINC000807438641 1073918266 /nfs/dbraw/zinc/91/82/66/1073918266.db2.gz VWCKFKXGGKBABJ-UHFFFAOYSA-N 0 0 435.510 -0.604 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cnn(C)n3)cc2)C[C@H](C)O1 ZINC000807439519 1073918426 /nfs/dbraw/zinc/91/84/26/1073918426.db2.gz AXDRFNOQHNQIFY-BETUJISGSA-N 0 0 436.494 -0.132 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cnn(C)n3)cc2)C[C@@H](C)O1 ZINC000807439520 1073918329 /nfs/dbraw/zinc/91/83/29/1073918329.db2.gz AXDRFNOQHNQIFY-CHWSQXEVSA-N 0 0 436.494 -0.132 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C(=O)NCc3cnn(C)n3)cc2)C[C@H](C)O1 ZINC000807439521 1073918310 /nfs/dbraw/zinc/91/83/10/1073918310.db2.gz AXDRFNOQHNQIFY-STQMWFEESA-N 0 0 436.494 -0.132 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)NCc3cnn(C)n3)c2)CC1 ZINC000807441097 1073918376 /nfs/dbraw/zinc/91/83/76/1073918376.db2.gz ZXPQOWJMGDGSBV-UHFFFAOYSA-N 0 0 435.510 -0.604 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)[C@H](C)C1 ZINC000807454033 1073917642 /nfs/dbraw/zinc/91/76/42/1073917642.db2.gz JNNGBWSDUBQPEA-CQSZACIVSA-N 0 0 437.522 -0.556 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)C[C@H](C)S1(=O)=O ZINC000807459809 1073917678 /nfs/dbraw/zinc/91/76/78/1073917678.db2.gz OKKZHVQBOABYTE-KBPBESRZSA-N 0 0 448.567 -0.011 20 0 IBADRN CC[C@H]1CN(C(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)C[C@@H](C)S1(=O)=O ZINC000807459810 1073917597 /nfs/dbraw/zinc/91/75/97/1073917597.db2.gz OKKZHVQBOABYTE-KGLIPLIRSA-N 0 0 448.567 -0.011 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)C[C@H](C)S1(=O)=O ZINC000807459811 1073917651 /nfs/dbraw/zinc/91/76/51/1073917651.db2.gz OKKZHVQBOABYTE-UONOGXRCSA-N 0 0 448.567 -0.011 20 0 IBADRN CC[C@@H]1CN(C(=O)C(=O)Nc2nn(CCS(=O)(=O)CC)cc2C)C[C@@H](C)S1(=O)=O ZINC000807459813 1073917569 /nfs/dbraw/zinc/91/75/69/1073917569.db2.gz OKKZHVQBOABYTE-ZIAGYGMSSA-N 0 0 448.567 -0.011 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000807462547 1073918188 /nfs/dbraw/zinc/91/81/88/1073918188.db2.gz FVUBBROKKUEVBO-OAHLLOKOSA-N 0 0 441.510 -0.355 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)C1 ZINC000807462671 1073918203 /nfs/dbraw/zinc/91/82/03/1073918203.db2.gz LZRYVBNIWKGJCC-MRXNPFEDSA-N 0 0 439.538 -0.151 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000807462698 1073918394 /nfs/dbraw/zinc/91/83/94/1073918394.db2.gz NMWRYACFTLEGRA-OAHLLOKOSA-N 0 0 441.510 -0.403 20 0 IBADRN Cc1nccn1-c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cn1 ZINC000807462806 1073918364 /nfs/dbraw/zinc/91/83/64/1073918364.db2.gz SKQKNAGBQSJQBJ-OAHLLOKOSA-N 0 0 435.510 -0.099 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)C1 ZINC000807462817 1073918320 /nfs/dbraw/zinc/91/83/20/1073918320.db2.gz SWMLNQMZTRZLEC-CQSZACIVSA-N 0 0 439.494 -0.031 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccccc2N2CCOC2=O)C1 ZINC000807462880 1073917620 /nfs/dbraw/zinc/91/76/20/1073917620.db2.gz WEXMDCVHNCADGR-CYBMUJFWSA-N 0 0 439.494 -0.031 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)cc1 ZINC000807462908 1073917637 /nfs/dbraw/zinc/91/76/37/1073917637.db2.gz WQWRUGPJTGWNCX-OAHLLOKOSA-N 0 0 441.510 -0.132 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)C1 ZINC000807463046 1073917550 /nfs/dbraw/zinc/91/75/50/1073917550.db2.gz YFRGZZLBCZDQHF-CQSZACIVSA-N 0 0 439.494 -0.031 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)N[C@@H]1CCCN(S(=O)(=O)N(C)C)C1 ZINC000807463070 1073917591 /nfs/dbraw/zinc/91/75/91/1073917591.db2.gz ZBRAEASLZBYSPY-CYBMUJFWSA-N 0 0 443.501 -0.147 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)ccc1F ZINC000807463704 1073918251 /nfs/dbraw/zinc/91/82/51/1073918251.db2.gz UMROBFOHMXGPTB-GFCCVEGCSA-N 0 0 430.458 -0.062 20 0 IBADRN O=C(Nc1ccnn1CC1CC1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000807473514 1073918848 /nfs/dbraw/zinc/91/88/48/1073918848.db2.gz PBTVAIILCFUTFK-UHFFFAOYSA-N 0 0 446.489 -0.009 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000807476382 1073918924 /nfs/dbraw/zinc/91/89/24/1073918924.db2.gz AJAIYRTZFJHKDM-HNNXBMFYSA-N 0 0 425.530 -0.314 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C2CC2)CC1 ZINC000807476383 1073918759 /nfs/dbraw/zinc/91/87/59/1073918759.db2.gz AJAIYRTZFJHKDM-OAHLLOKOSA-N 0 0 425.530 -0.314 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)C1CC1 ZINC000807477087 1073918858 /nfs/dbraw/zinc/91/88/58/1073918858.db2.gz KUTHMQPKCSXHLI-AWEZNQCLSA-N 0 0 425.530 -0.186 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H](NC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1)C1CC1 ZINC000807477169 1073918905 /nfs/dbraw/zinc/91/89/05/1073918905.db2.gz KUTHMQPKCSXHLI-CQSZACIVSA-N 0 0 425.530 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C2CC2)C1 ZINC000807477552 1073918917 /nfs/dbraw/zinc/91/89/17/1073918917.db2.gz ATEKRSSUAZGTEL-KBPBESRZSA-N 0 0 432.543 -0.054 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C2CC2)C1 ZINC000807477556 1073918743 /nfs/dbraw/zinc/91/87/43/1073918743.db2.gz ATEKRSSUAZGTEL-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C2CC2)C1 ZINC000807477558 1073918874 /nfs/dbraw/zinc/91/88/74/1073918874.db2.gz ATEKRSSUAZGTEL-UONOGXRCSA-N 0 0 432.543 -0.054 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C2CC2)C1 ZINC000807477559 1073918818 /nfs/dbraw/zinc/91/88/18/1073918818.db2.gz ATEKRSSUAZGTEL-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)C1CC1 ZINC000807478516 1073918736 /nfs/dbraw/zinc/91/87/36/1073918736.db2.gz HFQIJMQRIKCQCP-INIZCTEOSA-N 0 0 437.541 -0.171 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H](NC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1)C1CC1 ZINC000807478517 1073919369 /nfs/dbraw/zinc/91/93/69/1073919369.db2.gz HFQIJMQRIKCQCP-MRXNPFEDSA-N 0 0 437.541 -0.171 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C2CC2)C1 ZINC000807478713 1073918725 /nfs/dbraw/zinc/91/87/25/1073918725.db2.gz JDLDYRFEXXPAGJ-KBPBESRZSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C2CC2)C1 ZINC000807478715 1073918835 /nfs/dbraw/zinc/91/88/35/1073918835.db2.gz JDLDYRFEXXPAGJ-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C2CC2)C1 ZINC000807478716 1073918789 /nfs/dbraw/zinc/91/87/89/1073918789.db2.gz JDLDYRFEXXPAGJ-UONOGXRCSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C2CC2)C1 ZINC000807478718 1073918897 /nfs/dbraw/zinc/91/88/97/1073918897.db2.gz JDLDYRFEXXPAGJ-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C3CC3)CC2)c1=O ZINC000807480093 1073919574 /nfs/dbraw/zinc/91/95/74/1073919574.db2.gz OLGGSPLEDQRZDW-HNNXBMFYSA-N 0 0 448.524 -0.152 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C3CC3)CC2)c1=O ZINC000807480094 1073919410 /nfs/dbraw/zinc/91/94/10/1073919410.db2.gz OLGGSPLEDQRZDW-OAHLLOKOSA-N 0 0 448.524 -0.152 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)C1CC1 ZINC000807480645 1073919480 /nfs/dbraw/zinc/91/94/80/1073919480.db2.gz CSUGIAYAKDVIDR-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H](NC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)C1CC1 ZINC000807480646 1073919530 /nfs/dbraw/zinc/91/95/30/1073919530.db2.gz CSUGIAYAKDVIDR-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C1CC1 ZINC000807481913 1073919499 /nfs/dbraw/zinc/91/94/99/1073919499.db2.gz PEJASSPMYOEOGD-KBPBESRZSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H](CNC(=O)OC(C)(C)C)C1CC1 ZINC000807481914 1073919504 /nfs/dbraw/zinc/91/95/04/1073919504.db2.gz PEJASSPMYOEOGD-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C1CC1 ZINC000807481915 1073919433 /nfs/dbraw/zinc/91/94/33/1073919433.db2.gz PEJASSPMYOEOGD-UONOGXRCSA-N 0 0 432.543 -0.054 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H](CNC(=O)OC(C)(C)C)C1CC1 ZINC000807481916 1073919492 /nfs/dbraw/zinc/91/94/92/1073919492.db2.gz PEJASSPMYOEOGD-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN C[C@@](O)(CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000807499888 1073918356 /nfs/dbraw/zinc/91/83/56/1073918356.db2.gz RRHPQSRYFWDURW-JOCHJYFZSA-N 0 0 432.521 -0.597 20 0 IBADRN C[C@](O)(CCNC(=O)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)c1ccccc1 ZINC000807499890 1073918753 /nfs/dbraw/zinc/91/87/53/1073918753.db2.gz RRHPQSRYFWDURW-QFIPXVFZSA-N 0 0 432.521 -0.597 20 0 IBADRN C[C@@](O)(CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000807505546 1073918888 /nfs/dbraw/zinc/91/88/88/1073918888.db2.gz ZNAVKHNVMHRXHW-JOCHJYFZSA-N 0 0 432.521 -0.597 20 0 IBADRN C[C@](O)(CCNC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1ccccc1 ZINC000807505548 1073918793 /nfs/dbraw/zinc/91/87/93/1073918793.db2.gz ZNAVKHNVMHRXHW-QFIPXVFZSA-N 0 0 432.521 -0.597 20 0 IBADRN O=C(NC1CCC(O)(c2ccccc2)CC1)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000807509129 1073918766 /nfs/dbraw/zinc/91/87/66/1073918766.db2.gz RDECBHGBTYVVLK-UHFFFAOYSA-N 0 0 441.492 -0.710 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)NC2CCC(O)(c3ccccc3)CC2)CC1 ZINC000807509440 1073918823 /nfs/dbraw/zinc/91/88/23/1073918823.db2.gz JJDMUXXESWFCLE-UHFFFAOYSA-N 0 0 442.516 -0.118 20 0 IBADRN Cc1cccc(F)c1CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000807519740 1073919973 /nfs/dbraw/zinc/91/99/73/1073919973.db2.gz ROKUFLMXCZTFEB-UHFFFAOYSA-N 0 0 441.529 -0.613 20 0 IBADRN Cn1[nH]cc2c(=NC(=O)C(=O)N[C@H]3CCN(S(=O)(=O)c4ccccc4)C3)ccnc1-2 ZINC000807537410 1073919513 /nfs/dbraw/zinc/91/95/13/1073919513.db2.gz KJSHAVSWTZUXEQ-ZDUSSCGKSA-N 0 0 428.474 -0.140 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000807543793 1073919453 /nfs/dbraw/zinc/91/94/53/1073919453.db2.gz SACPKKMBRYHDDN-CYBMUJFWSA-N 0 0 433.494 -0.079 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)nc1)C(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000807543794 1073919380 /nfs/dbraw/zinc/91/93/80/1073919380.db2.gz SACPKKMBRYHDDN-ZDUSSCGKSA-N 0 0 433.494 -0.079 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000807545036 1073919396 /nfs/dbraw/zinc/91/93/96/1073919396.db2.gz NSTOORAEQKNIHH-AWEZNQCLSA-N 0 0 442.563 -0.190 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@H](CC(N)=O)C3)cc2)CC1 ZINC000807545067 1073919542 /nfs/dbraw/zinc/91/95/42/1073919542.db2.gz ANCMAQZVLHBASQ-HNNXBMFYSA-N 0 0 431.493 -0.356 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3CC[C@H](CC(N)=O)C3)cc2)CC1 ZINC000807545068 1073919547 /nfs/dbraw/zinc/91/95/47/1073919547.db2.gz ANCMAQZVLHBASQ-OAHLLOKOSA-N 0 0 431.493 -0.356 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)C1 ZINC000807545127 1073919553 /nfs/dbraw/zinc/91/95/53/1073919553.db2.gz CIQJAGGNDJAENF-HNNXBMFYSA-N 0 0 449.512 -0.540 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](N2CCN(C(=O)C(=O)Nc3cnn(CC(N)=O)c3)CC2)C1 ZINC000807545128 1073919567 /nfs/dbraw/zinc/91/95/67/1073919567.db2.gz CIQJAGGNDJAENF-OAHLLOKOSA-N 0 0 449.512 -0.540 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC000807546421 1073919424 /nfs/dbraw/zinc/91/94/24/1073919424.db2.gz MMNHYHOQQPKIKU-UHFFFAOYSA-N 0 0 430.531 -0.579 20 0 IBADRN Cc1cc(Cl)nc(CNC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000807559556 1073920697 /nfs/dbraw/zinc/92/06/97/1073920697.db2.gz DGNOHAKZVPLMLQ-UHFFFAOYSA-N 0 0 437.884 -0.811 20 0 IBADRN Cc1cc(Cl)nc(CNC(=O)C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC000807561279 1073920639 /nfs/dbraw/zinc/92/06/39/1073920639.db2.gz ZVSDXZRSERJKLG-UHFFFAOYSA-N 0 0 435.872 -0.852 20 0 IBADRN Cn1ncc(CNC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)n1 ZINC000807566777 1073920058 /nfs/dbraw/zinc/92/00/58/1073920058.db2.gz YULXWHHPAAHGSD-UHFFFAOYSA-N 0 0 443.530 -0.409 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCc3cnn(C)n3)CC2)c1 ZINC000807568290 1073920027 /nfs/dbraw/zinc/92/00/27/1073920027.db2.gz QDCUNMGXZMSBFJ-UHFFFAOYSA-N 0 0 428.496 -0.121 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000807576020 1073920064 /nfs/dbraw/zinc/92/00/64/1073920064.db2.gz LVNIRXJIYMVZMU-CYBMUJFWSA-N 0 0 427.479 -0.543 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC000807576022 1073920042 /nfs/dbraw/zinc/92/00/42/1073920042.db2.gz LVNIRXJIYMVZMU-ZDUSSCGKSA-N 0 0 427.479 -0.543 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)N(CCO)CCNC(=O)OC(C)(C)C)C1 ZINC000807579718 1073920016 /nfs/dbraw/zinc/92/00/16/1073920016.db2.gz RSCIZEDNDAVZGA-CQSZACIVSA-N 0 0 437.563 -0.214 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)C2=O)cc1 ZINC000807584632 1073920046 /nfs/dbraw/zinc/92/00/46/1073920046.db2.gz DHFYBCXEBLECNK-GOSISDBHSA-N 0 0 429.521 -0.161 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)N3CCN(CCC(=O)N(C)C)CC3)C2=O)cc1 ZINC000807584633 1073920034 /nfs/dbraw/zinc/92/00/34/1073920034.db2.gz DHFYBCXEBLECNK-SFHVURJKSA-N 0 0 429.521 -0.161 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2=O)cc1 ZINC000807584978 1073919999 /nfs/dbraw/zinc/91/99/99/1073919999.db2.gz KYIVVBPZUVEIPF-GOSISDBHSA-N 0 0 427.505 -0.361 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC4CC4)CC3)C2=O)cc1 ZINC000807584979 1073919966 /nfs/dbraw/zinc/91/99/66/1073919966.db2.gz KYIVVBPZUVEIPF-SFHVURJKSA-N 0 0 427.505 -0.361 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)C2=O)cc1 ZINC000807585111 1073920052 /nfs/dbraw/zinc/92/00/52/1073920052.db2.gz BIEZESCGDDFSRB-AWEZNQCLSA-N 0 0 429.506 -0.001 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4nc(N)ns4)CC3)C2=O)cc1 ZINC000807585112 1073920078 /nfs/dbraw/zinc/92/00/78/1073920078.db2.gz BIEZESCGDDFSRB-CQSZACIVSA-N 0 0 429.506 -0.001 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)C2=O)cc1 ZINC000807585185 1073920008 /nfs/dbraw/zinc/92/00/08/1073920008.db2.gz MYGZHIDUXVHTIN-GOSISDBHSA-N 0 0 429.521 -0.115 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)N3CCN(CC(=O)NC(C)C)CC3)C2=O)cc1 ZINC000807585186 1073919964 /nfs/dbraw/zinc/91/99/64/1073919964.db2.gz MYGZHIDUXVHTIN-SFHVURJKSA-N 0 0 429.521 -0.115 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000807586575 1073920675 /nfs/dbraw/zinc/92/06/75/1073920675.db2.gz MTURJSJSIPGNLX-KRWDZBQOSA-N 0 0 441.488 -0.834 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000807586579 1073920606 /nfs/dbraw/zinc/92/06/06/1073920606.db2.gz MTURJSJSIPGNLX-QGZVFWFLSA-N 0 0 441.488 -0.834 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)C2=O)cc1 ZINC000807587397 1073920620 /nfs/dbraw/zinc/92/06/20/1073920620.db2.gz HGBOANCUYQOZPT-INIZCTEOSA-N 0 0 449.489 -0.560 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)C2=O)cc1 ZINC000807587402 1073920568 /nfs/dbraw/zinc/92/05/68/1073920568.db2.gz HGBOANCUYQOZPT-MRXNPFEDSA-N 0 0 449.489 -0.560 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)C2=O)cc1 ZINC000807589870 1073921218 /nfs/dbraw/zinc/92/12/18/1073921218.db2.gz LRGSTARHGXTQMP-IBGZPJMESA-N 0 0 441.532 -0.017 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(CC(=O)N4CCCC4)CC3)C2=O)cc1 ZINC000807589873 1073921131 /nfs/dbraw/zinc/92/11/31/1073921131.db2.gz LRGSTARHGXTQMP-LJQANCHMSA-N 0 0 441.532 -0.017 20 0 IBADRN Cc1ccc(N2CC[C@H](NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)C2=O)cc1 ZINC000807590699 1073921165 /nfs/dbraw/zinc/92/11/65/1073921165.db2.gz UAYSBFYIGCCYHN-KRWDZBQOSA-N 0 0 438.488 -0.341 20 0 IBADRN Cc1ccc(N2CC[C@@H](NC(=O)C(=O)N3CCN(c4nccn(C)c4=O)CC3)C2=O)cc1 ZINC000807590700 1073921268 /nfs/dbraw/zinc/92/12/68/1073921268.db2.gz UAYSBFYIGCCYHN-QGZVFWFLSA-N 0 0 438.488 -0.341 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC000807610762 1073920663 /nfs/dbraw/zinc/92/06/63/1073920663.db2.gz IYKJKQVLYIIOPL-UHFFFAOYSA-N 0 0 438.506 -0.016 20 0 IBADRN Cn1nncc1CNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000807613717 1073921079 /nfs/dbraw/zinc/92/10/79/1073921079.db2.gz MGZYPLRVJMMQRK-UHFFFAOYSA-N 0 0 443.530 -0.409 20 0 IBADRN Cn1nncc1CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000807614367 1073921052 /nfs/dbraw/zinc/92/10/52/1073921052.db2.gz JPTDWGCDJHCBCW-GFCCVEGCSA-N 0 0 428.496 -0.398 20 0 IBADRN Cn1nncc1CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000807614368 1073921251 /nfs/dbraw/zinc/92/12/51/1073921251.db2.gz JPTDWGCDJHCBCW-LBPRGKRZSA-N 0 0 428.496 -0.398 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)OCc2cc(=O)n(C)c(=O)n2C)CC1 ZINC000807619759 1073921226 /nfs/dbraw/zinc/92/12/26/1073921226.db2.gz AWWDXOYFXWVUSA-UHFFFAOYSA-N 0 0 440.478 -0.167 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)CNS(=O)(=O)c2ccc(N3CCCC3=O)cc2)CCO1 ZINC000807627612 1073921139 /nfs/dbraw/zinc/92/11/39/1073921139.db2.gz VWMSXZOCBBURLB-AWEZNQCLSA-N 0 0 439.490 -0.118 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)CNS(=O)(=O)c2ccc(N3CCCC3=O)cc2)CCO1 ZINC000807627614 1073921214 /nfs/dbraw/zinc/92/12/14/1073921214.db2.gz VWMSXZOCBBURLB-CQSZACIVSA-N 0 0 439.490 -0.118 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)OCc2cc(=O)n(C)c(=O)n2C)C1 ZINC000807631823 1073921257 /nfs/dbraw/zinc/92/12/57/1073921257.db2.gz QCWSDMUJGQVJDW-CYBMUJFWSA-N 0 0 440.478 -0.167 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)OCc2cc(=O)n(C)c(=O)n2C)C1 ZINC000807631824 1073921121 /nfs/dbraw/zinc/92/11/21/1073921121.db2.gz QCWSDMUJGQVJDW-ZDUSSCGKSA-N 0 0 440.478 -0.167 20 0 IBADRN Cn1c(=O)cc(COC(=O)c2sccc2S(=O)(=O)N2CCOCC2)n(C)c1=O ZINC000807634064 1073921178 /nfs/dbraw/zinc/92/11/78/1073921178.db2.gz RMGRSOZUMKYYEE-UHFFFAOYSA-N 0 0 429.476 -0.477 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCc2cc(=O)n(C)c(=O)n2C)cc1OC ZINC000807634371 1073921262 /nfs/dbraw/zinc/92/12/62/1073921262.db2.gz VRNCHRAZYFVHIO-UHFFFAOYSA-N 0 0 427.435 -0.877 20 0 IBADRN CN(C)c1ccc(N2CCC(NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)CC2)cc1 ZINC000807639361 1073921243 /nfs/dbraw/zinc/92/12/43/1073921243.db2.gz SFCSGOKYEKQSCV-NRFANRHFSA-N 0 0 447.580 -0.011 20 0 IBADRN CN(C)c1ccc(N2CCC(NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)CC2)cc1 ZINC000807639362 1073921790 /nfs/dbraw/zinc/92/17/90/1073921790.db2.gz SFCSGOKYEKQSCV-OAQYLSRUSA-N 0 0 447.580 -0.011 20 0 IBADRN CN(C)c1ccc(N2CCC(NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)CC2)cc1 ZINC000807641279 1073921803 /nfs/dbraw/zinc/92/18/03/1073921803.db2.gz SLIMJKGJFVFJHK-UHFFFAOYSA-N 0 0 437.566 -0.059 20 0 IBADRN Cc1nc2c(s1)[C@@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CCC2 ZINC000807644731 1073921828 /nfs/dbraw/zinc/92/18/28/1073921828.db2.gz KRZDZBVOCWRPSE-INIZCTEOSA-N 0 0 435.550 -0.052 20 0 IBADRN Cc1nc2c(s1)[C@H](NC(=O)C(=O)N1CCN(CC(=O)N3CCOCC3)CC1)CCC2 ZINC000807644733 1073921727 /nfs/dbraw/zinc/92/17/27/1073921727.db2.gz KRZDZBVOCWRPSE-MRXNPFEDSA-N 0 0 435.550 -0.052 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2[nH]c(COC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)nc2c1 ZINC000807647857 1073921677 /nfs/dbraw/zinc/92/16/77/1073921677.db2.gz DAYDPSAPHCYOTE-VMPITWQZSA-N 0 0 447.473 -0.033 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2[nH]c(COC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)nc2c1 ZINC000807647859 1073921841 /nfs/dbraw/zinc/92/18/41/1073921841.db2.gz DAYDPSAPHCYOTE-YVMONPNESA-N 0 0 447.473 -0.033 20 0 IBADRN Cc1nc2c(s1)[C@@H](NC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CCC2 ZINC000807647983 1073921717 /nfs/dbraw/zinc/92/17/17/1073921717.db2.gz NUOVGSRMMTUTHZ-INIZCTEOSA-N 0 0 435.550 -0.052 20 0 IBADRN Cc1nc2c(s1)[C@H](NC(=O)C(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CCC2 ZINC000807647984 1073921770 /nfs/dbraw/zinc/92/17/70/1073921770.db2.gz NUOVGSRMMTUTHZ-MRXNPFEDSA-N 0 0 435.550 -0.052 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O ZINC000807648050 1073921645 /nfs/dbraw/zinc/92/16/45/1073921645.db2.gz GJVWPBQPXIJNQX-HJWRWDBZSA-N 0 0 444.444 -0.442 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)N(CCC(N)=O)c2ccc(F)cc2)c(=O)n(C)c1=O ZINC000807648462 1073921761 /nfs/dbraw/zinc/92/17/61/1073921761.db2.gz LZUGWJFGSCTMLU-BAQGIRSFSA-N 0 0 432.408 -0.312 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O ZINC000807648546 1073921701 /nfs/dbraw/zinc/92/17/01/1073921701.db2.gz MOVNJRYOHXUBMA-SREVYHEPSA-N 0 0 447.444 -0.017 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O ZINC000807648551 1073921839 /nfs/dbraw/zinc/92/18/39/1073921839.db2.gz MOVNJRYOHXUBMA-VOTSOKGWSA-N 0 0 447.444 -0.017 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)NCC2(N3CCOCC3)CCCCC2)c(=O)n(C)c1=O ZINC000807648608 1073921617 /nfs/dbraw/zinc/92/16/17/1073921617.db2.gz NPSHQIOCKJKZON-SREVYHEPSA-N 0 0 448.520 -0.208 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000807648664 1073922523 /nfs/dbraw/zinc/92/25/23/1073922523.db2.gz OPLCUZAIMCNXMO-DIQTYVNISA-N 0 0 427.479 -0.936 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000807648665 1073922514 /nfs/dbraw/zinc/92/25/14/1073922514.db2.gz OPLCUZAIMCNXMO-SLBFPRPFSA-N 0 0 427.479 -0.936 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000807648666 1073922391 /nfs/dbraw/zinc/92/23/91/1073922391.db2.gz OPLCUZAIMCNXMO-UTTOWCGFSA-N 0 0 427.479 -0.936 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000807648667 1073922455 /nfs/dbraw/zinc/92/24/55/1073922455.db2.gz OPLCUZAIMCNXMO-XFUXEANSSA-N 0 0 427.479 -0.936 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)c(=O)n(C)c1=O ZINC000807648801 1073922437 /nfs/dbraw/zinc/92/24/37/1073922437.db2.gz PQOJRCOIYZQCTD-WAYWQWQTSA-N 0 0 446.485 -0.314 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O ZINC000807648931 1073922486 /nfs/dbraw/zinc/92/24/86/1073922486.db2.gz SJNPXYQKZFGSFV-KTKRTIGZSA-N 0 0 428.445 -0.042 20 0 IBADRN C[C@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000807649051 1073922413 /nfs/dbraw/zinc/92/24/13/1073922413.db2.gz UWDWKYAJLHRHJI-AFNCTOJWSA-N 0 0 444.444 -0.394 20 0 IBADRN C[C@@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000807649053 1073922447 /nfs/dbraw/zinc/92/24/47/1073922447.db2.gz UWDWKYAJLHRHJI-LMVHVUTASA-N 0 0 444.444 -0.394 20 0 IBADRN C[C@@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000807649054 1073922355 /nfs/dbraw/zinc/92/23/55/1073922355.db2.gz UWDWKYAJLHRHJI-PSKZRQQASA-N 0 0 444.444 -0.394 20 0 IBADRN C[C@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000807649056 1073922477 /nfs/dbraw/zinc/92/24/77/1073922477.db2.gz UWDWKYAJLHRHJI-UZYOAWRESA-N 0 0 444.444 -0.394 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)Nc2ccccc2SCC(N)=O)c(=O)n(C)c1=O ZINC000807649106 1073922372 /nfs/dbraw/zinc/92/23/72/1073922372.db2.gz VWZPBKPEYIARNN-FPLPWBNLSA-N 0 0 432.458 -0.144 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O ZINC000807649338 1073921749 /nfs/dbraw/zinc/92/17/49/1073921749.db2.gz XEDVCRDGIUANDO-BQYQJAHWSA-N 0 0 448.501 -0.133 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O ZINC000807649345 1073921780 /nfs/dbraw/zinc/92/17/80/1073921780.db2.gz XEDVCRDGIUANDO-FPLPWBNLSA-N 0 0 448.501 -0.133 20 0 IBADRN CCCCN(C(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000807649501 1073921742 /nfs/dbraw/zinc/92/17/42/1073921742.db2.gz ZMZYWZSENFGKKO-IDTUSYRASA-N 0 0 441.506 -0.544 20 0 IBADRN CCCCN(C(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000807649502 1073921689 /nfs/dbraw/zinc/92/16/89/1073921689.db2.gz ZMZYWZSENFGKKO-LFAOLKIESA-N 0 0 441.506 -0.544 20 0 IBADRN CCCCN(C(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000807649503 1073921795 /nfs/dbraw/zinc/92/17/95/1073921795.db2.gz ZMZYWZSENFGKKO-LQYUOIDQSA-N 0 0 441.506 -0.544 20 0 IBADRN CCCCN(C(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000807649504 1073921834 /nfs/dbraw/zinc/92/18/34/1073921834.db2.gz ZMZYWZSENFGKKO-XHPSBEMXSA-N 0 0 441.506 -0.544 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)N2CCN(Cc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000807649511 1073921844 /nfs/dbraw/zinc/92/18/44/1073921844.db2.gz ZZHZKBLUCHBITG-HJWRWDBZSA-N 0 0 426.473 -0.015 20 0 IBADRN CC(C)CN(C(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000807649696 1073922408 /nfs/dbraw/zinc/92/24/08/1073922408.db2.gz AAFHUGDKTBMMQJ-IYKSTZQJSA-N 0 0 441.506 -0.688 20 0 IBADRN CC(C)CN(C(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000807649697 1073922468 /nfs/dbraw/zinc/92/24/68/1073922468.db2.gz AAFHUGDKTBMMQJ-LLYBFZRZSA-N 0 0 441.506 -0.688 20 0 IBADRN CC(C)CN(C(=O)COC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000807649698 1073922423 /nfs/dbraw/zinc/92/24/23/1073922423.db2.gz AAFHUGDKTBMMQJ-NFAHFFEMSA-N 0 0 441.506 -0.688 20 0 IBADRN CC(C)CN(C(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000807649699 1073922799 /nfs/dbraw/zinc/92/27/99/1073922799.db2.gz AAFHUGDKTBMMQJ-YVACAVLKSA-N 0 0 441.506 -0.688 20 0 IBADRN Cc1nc2c(s1)[C@H](NC(=O)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CCC2 ZINC000807649989 1073922399 /nfs/dbraw/zinc/92/23/99/1073922399.db2.gz FAFDHLCAYIQPLI-CYBMUJFWSA-N 0 0 447.521 -0.566 20 0 IBADRN Cc1nc2c(s1)[C@@H](NC(=O)C(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)CCC2 ZINC000807649992 1073922506 /nfs/dbraw/zinc/92/25/06/1073922506.db2.gz FAFDHLCAYIQPLI-ZDUSSCGKSA-N 0 0 447.521 -0.566 20 0 IBADRN Cc1nc2c(s1)[C@@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)CCC2 ZINC000807650389 1073922431 /nfs/dbraw/zinc/92/24/31/1073922431.db2.gz KCBIPQURQUOELL-HNNXBMFYSA-N 0 0 449.533 -0.525 20 0 IBADRN Cc1nc2c(s1)[C@H](NC(=O)C(=O)N1CCN(C(=O)C(=O)N3CCOCC3)CC1)CCC2 ZINC000807650393 1073922381 /nfs/dbraw/zinc/92/23/81/1073922381.db2.gz KCBIPQURQUOELL-OAHLLOKOSA-N 0 0 449.533 -0.525 20 0 IBADRN Cc1sc2ncn(CC(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c(=O)c2c1C ZINC000807650947 1073922864 /nfs/dbraw/zinc/92/28/64/1073922864.db2.gz ULZADSVYCOFHNK-UHFFFAOYSA-N 0 0 433.446 -0.519 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)c(=O)n(C)c1=O ZINC000807651070 1073922962 /nfs/dbraw/zinc/92/29/62/1073922962.db2.gz UNUBFLCAIATMGV-AATRIKPKSA-N 0 0 430.417 -0.782 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)c(=O)n(C)c1=O ZINC000807651071 1073922847 /nfs/dbraw/zinc/92/28/47/1073922847.db2.gz UNUBFLCAIATMGV-WAYWQWQTSA-N 0 0 430.417 -0.782 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccc(C)cc3)C2=O)CC1 ZINC000807653639 1073922877 /nfs/dbraw/zinc/92/28/77/1073922877.db2.gz LOGVFJBBVVLMIG-GOSISDBHSA-N 0 0 429.521 -0.113 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccc(C)cc3)C2=O)CC1 ZINC000807653641 1073922839 /nfs/dbraw/zinc/92/28/39/1073922839.db2.gz LOGVFJBBVVLMIG-SFHVURJKSA-N 0 0 429.521 -0.113 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)C[C@H]1COCC2 ZINC000807655115 1073922902 /nfs/dbraw/zinc/92/29/02/1073922902.db2.gz DWIYKSOFVSROBI-LRDNONRASA-N 0 0 433.465 -0.930 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)C[C@H]1COCC2 ZINC000807655128 1073922795 /nfs/dbraw/zinc/92/27/95/1073922795.db2.gz DWIYKSOFVSROBI-PJSUUKDQSA-N 0 0 433.465 -0.930 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@@H]([C@@H](O)c4nccn4C)C3)C2=O)n1 ZINC000807655428 1073922790 /nfs/dbraw/zinc/92/27/90/1073922790.db2.gz GKNKRXXVWUVYCC-HLLBOEOZSA-N 0 0 443.508 -0.263 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@@H]([C@H](O)c4nccn4C)C3)C2=O)n1 ZINC000807655429 1073922857 /nfs/dbraw/zinc/92/28/57/1073922857.db2.gz GKNKRXXVWUVYCC-VYDXJSESSA-N 0 0 443.508 -0.263 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@H]([C@@H](O)c4nccn4C)C3)C2=O)n1 ZINC000807655430 1073922881 /nfs/dbraw/zinc/92/28/81/1073922881.db2.gz GKNKRXXVWUVYCC-YQQAZPJKSA-N 0 0 443.508 -0.263 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@H]([C@H](O)c4nccn4C)C3)C2=O)n1 ZINC000807655432 1073922820 /nfs/dbraw/zinc/92/28/20/1073922820.db2.gz GKNKRXXVWUVYCC-ZOBUZTSGSA-N 0 0 443.508 -0.263 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC([C@@H](O)c4nccn4C)CC3)C2=O)n1 ZINC000807655721 1073923491 /nfs/dbraw/zinc/92/34/91/1073923491.db2.gz IXLDEUKXVHCWQP-DOTOQJQBSA-N 0 0 443.508 -0.263 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCC([C@@H](O)c4nccn4C)CC3)C2=O)n1 ZINC000807655723 1073923569 /nfs/dbraw/zinc/92/35/69/1073923569.db2.gz IXLDEUKXVHCWQP-NVXWUHKLSA-N 0 0 443.508 -0.263 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC([C@H](O)c4nccn4C)CC3)C2=O)n1 ZINC000807655725 1073923417 /nfs/dbraw/zinc/92/34/17/1073923417.db2.gz IXLDEUKXVHCWQP-RDJZCZTQSA-N 0 0 443.508 -0.263 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCC([C@H](O)c4nccn4C)CC3)C2=O)n1 ZINC000807655727 1073923506 /nfs/dbraw/zinc/92/35/06/1073923506.db2.gz IXLDEUKXVHCWQP-WBVHZDCISA-N 0 0 443.508 -0.263 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000807655925 1073922890 /nfs/dbraw/zinc/92/28/90/1073922890.db2.gz GDJNQARLBZZDEA-MOPGFXCFSA-N 0 0 432.521 -0.242 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000807655926 1073922804 /nfs/dbraw/zinc/92/28/04/1073922804.db2.gz GDJNQARLBZZDEA-OALUTQOASA-N 0 0 432.521 -0.242 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)cc1 ZINC000807655927 1073922912 /nfs/dbraw/zinc/92/29/12/1073922912.db2.gz GDJNQARLBZZDEA-RBUKOAKNSA-N 0 0 432.521 -0.242 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)cc1 ZINC000807655928 1073922933 /nfs/dbraw/zinc/92/29/33/1073922933.db2.gz GDJNQARLBZZDEA-RTBURBONSA-N 0 0 432.521 -0.242 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@@H]3C[C@@H](O)c3cnn(C)c3)C2=O)n1 ZINC000807656167 1073922955 /nfs/dbraw/zinc/92/29/55/1073922955.db2.gz LZVAMSOMKVOQAH-BRWVUGGUSA-N 0 0 443.508 -0.120 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCC[C@H]3C[C@@H](O)c3cnn(C)c3)C2=O)n1 ZINC000807656168 1073922813 /nfs/dbraw/zinc/92/28/13/1073922813.db2.gz LZVAMSOMKVOQAH-GVDBMIGSSA-N 0 0 443.508 -0.120 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@@H]3C[C@@H](O)c3cnn(C)c3)C2=O)n1 ZINC000807656169 1073922784 /nfs/dbraw/zinc/92/27/84/1073922784.db2.gz LZVAMSOMKVOQAH-IXDOHACOSA-N 0 0 443.508 -0.120 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCC[C@H]3C[C@@H](O)c3cnn(C)c3)C2=O)n1 ZINC000807656170 1073922826 /nfs/dbraw/zinc/92/28/26/1073922826.db2.gz LZVAMSOMKVOQAH-YESZJQIVSA-N 0 0 443.508 -0.120 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@@H](O)c3ccco3)C2=O)n1 ZINC000807656767 1073923555 /nfs/dbraw/zinc/92/35/55/1073923555.db2.gz PMZPQLMHKQLQRE-HRCADAONSA-N 0 0 445.476 -0.024 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@H](O)c3ccco3)C2=O)n1 ZINC000807656772 1073923502 /nfs/dbraw/zinc/92/35/02/1073923502.db2.gz PMZPQLMHKQLQRE-JYJNAYRXSA-N 0 0 445.476 -0.024 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@@H](O)c3ccco3)C2=O)n1 ZINC000807656773 1073923563 /nfs/dbraw/zinc/92/35/63/1073923563.db2.gz PMZPQLMHKQLQRE-OWCLPIDISA-N 0 0 445.476 -0.024 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@H](O)c3ccco3)C2=O)n1 ZINC000807656774 1073923447 /nfs/dbraw/zinc/92/34/47/1073923447.db2.gz PMZPQLMHKQLQRE-PMPSAXMXSA-N 0 0 445.476 -0.024 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000807657245 1073923405 /nfs/dbraw/zinc/92/34/05/1073923405.db2.gz TWGJZLXZNMBGEI-NEPJUHHUSA-N 0 0 444.414 -0.347 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000807657246 1073923392 /nfs/dbraw/zinc/92/33/92/1073923392.db2.gz TWGJZLXZNMBGEI-NWDGAFQWSA-N 0 0 444.414 -0.347 20 0 IBADRN CN(C(=O)C(=O)N[C@H]1CCCN(c2ccn(C)n2)C1=O)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000807657247 1073923436 /nfs/dbraw/zinc/92/34/36/1073923436.db2.gz TWGJZLXZNMBGEI-RYUDHWBXSA-N 0 0 444.414 -0.347 20 0 IBADRN CN(C(=O)C(=O)N[C@@H]1CCCN(c2ccn(C)n2)C1=O)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000807657248 1073923480 /nfs/dbraw/zinc/92/34/80/1073923480.db2.gz TWGJZLXZNMBGEI-VXGBXAGGSA-N 0 0 444.414 -0.347 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000807657703 1073923428 /nfs/dbraw/zinc/92/34/28/1073923428.db2.gz YQXVQQGJKYRWSC-HNNXBMFYSA-N 0 0 434.497 -0.032 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)CC1 ZINC000807657705 1073923538 /nfs/dbraw/zinc/92/35/38/1073923538.db2.gz YQXVQQGJKYRWSC-OAHLLOKOSA-N 0 0 434.497 -0.032 20 0 IBADRN Cc1ccc(N2CCC[C@H](NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)cc1 ZINC000807659141 1073923513 /nfs/dbraw/zinc/92/35/13/1073923513.db2.gz SNEIPNUOZJSQRX-KRWDZBQOSA-N 0 0 440.508 -0.562 20 0 IBADRN Cc1ccc(N2CCC[C@@H](NC(=O)C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2)cc1 ZINC000807659142 1073923546 /nfs/dbraw/zinc/92/35/46/1073923546.db2.gz SNEIPNUOZJSQRX-QGZVFWFLSA-N 0 0 440.508 -0.562 20 0 IBADRN CC(C)(C)OC(=O)NC(C)(C)CNC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000807664208 1073921155 /nfs/dbraw/zinc/92/11/55/1073921155.db2.gz CTJZWQOGDXBLRB-UHFFFAOYSA-N 0 0 439.513 -0.645 20 0 IBADRN CC(C)(C)OC(=O)NC(C)(C)CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000807665321 1073921205 /nfs/dbraw/zinc/92/12/05/1073921205.db2.gz XCWDAGDRGLVQNG-UHFFFAOYSA-N 0 0 425.530 -0.171 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCC(C)(C)NC(=O)OC(C)(C)C)CC2)c1=O ZINC000807667424 1073921237 /nfs/dbraw/zinc/92/12/37/1073921237.db2.gz SYWHXPXSSDJCDE-UHFFFAOYSA-N 0 0 436.513 -0.152 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)NCc3ccc(S(C)(=O)=O)s3)C[C@H]1COCC2 ZINC000807694231 1073924012 /nfs/dbraw/zinc/92/40/12/1073924012.db2.gz FBCJOWDPHXMKGP-APPDUMDISA-N 0 0 430.504 -0.194 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCc3ccccc3OC2)CC1)N1CCOCC1 ZINC000807696695 1073924022 /nfs/dbraw/zinc/92/40/22/1073924022.db2.gz DDKUUTZNPHMYGT-GOSISDBHSA-N 0 0 430.505 -0.501 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCc3ccccc3OC2)CC1)N1CCOCC1 ZINC000807696696 1073923919 /nfs/dbraw/zinc/92/39/19/1073923919.db2.gz DDKUUTZNPHMYGT-SFHVURJKSA-N 0 0 430.505 -0.501 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CCc3ccccc3OC2)CC1 ZINC000807697388 1073924070 /nfs/dbraw/zinc/92/40/70/1073924070.db2.gz CVGRFJJLODXEBN-GOSISDBHSA-N 0 0 430.505 -0.501 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2CCc3ccccc3OC2)CC1 ZINC000807697389 1073923993 /nfs/dbraw/zinc/92/39/93/1073923993.db2.gz CVGRFJJLODXEBN-SFHVURJKSA-N 0 0 430.505 -0.501 20 0 IBADRN O=C(N[C@H]1CCc2ccccc2OC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000807701124 1073923949 /nfs/dbraw/zinc/92/39/49/1073923949.db2.gz UTZWFEWWLLKCPI-KRWDZBQOSA-N 0 0 444.488 -0.974 20 0 IBADRN O=C(N[C@@H]1CCc2ccccc2OC1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000807701125 1073923958 /nfs/dbraw/zinc/92/39/58/1073923958.db2.gz UTZWFEWWLLKCPI-QGZVFWFLSA-N 0 0 444.488 -0.974 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)[C@@H]3CCCC[C@H]3C1=O)c(=O)n2C ZINC000807727428 1073923889 /nfs/dbraw/zinc/92/38/89/1073923889.db2.gz DWEPWGZOUPCBKC-CHWSQXEVSA-N 0 0 431.449 -0.458 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)[C@H]3CCCC[C@@H]3C1=O)c(=O)n2C ZINC000807727432 1073923862 /nfs/dbraw/zinc/92/38/62/1073923862.db2.gz DWEPWGZOUPCBKC-STQMWFEESA-N 0 0 431.449 -0.458 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)C1 ZINC000807741555 1073924591 /nfs/dbraw/zinc/92/45/91/1073924591.db2.gz BTFSEGGFWWDQSS-INIZCTEOSA-N 0 0 430.571 -0.130 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)C1 ZINC000807741558 1073924635 /nfs/dbraw/zinc/92/46/35/1073924635.db2.gz BTFSEGGFWWDQSS-MRXNPFEDSA-N 0 0 430.571 -0.130 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CCO)C(=O)C(=O)NC1CCN(c2cccnn2)CC1 ZINC000807745595 1073924553 /nfs/dbraw/zinc/92/45/53/1073924553.db2.gz ORJZUACGPPGPNB-UHFFFAOYSA-N 0 0 436.513 -0.093 20 0 IBADRN CCN1CCN(CC(=O)N2CCN([C@H]3CCN(C(=O)OC(C)(C)C)C3)CC2)C(=O)C1=O ZINC000807746279 1073924613 /nfs/dbraw/zinc/92/46/13/1073924613.db2.gz JSTOVIBODSRYJO-INIZCTEOSA-N 0 0 437.541 -0.169 20 0 IBADRN CCN1CCN(CC(=O)N2CCN([C@@H]3CCN(C(=O)OC(C)(C)C)C3)CC2)C(=O)C1=O ZINC000807746281 1073924602 /nfs/dbraw/zinc/92/46/02/1073924602.db2.gz JSTOVIBODSRYJO-MRXNPFEDSA-N 0 0 437.541 -0.169 20 0 IBADRN CS[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC000807760614 1073928083 /nfs/dbraw/zinc/92/80/83/1073928083.db2.gz CWQNBSVWTZRIAQ-LVQVYYBASA-N 0 0 448.611 -0.115 20 0 IBADRN CS[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000807760617 1073928006 /nfs/dbraw/zinc/92/80/06/1073928006.db2.gz CWQNBSVWTZRIAQ-QKPAOTATSA-N 0 0 448.611 -0.115 20 0 IBADRN CS[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC000807760620 1073928090 /nfs/dbraw/zinc/92/80/90/1073928090.db2.gz CWQNBSVWTZRIAQ-XUWVNRHRSA-N 0 0 448.611 -0.115 20 0 IBADRN CS[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC000807760622 1073928070 /nfs/dbraw/zinc/92/80/70/1073928070.db2.gz CWQNBSVWTZRIAQ-YHUYYLMFSA-N 0 0 448.611 -0.115 20 0 IBADRN CS[C@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000807764068 1073928074 /nfs/dbraw/zinc/92/80/74/1073928074.db2.gz LENQJGAMTMXTSN-NEPJUHHUSA-N 0 0 444.535 -0.388 20 0 IBADRN CS[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000807764073 1073928111 /nfs/dbraw/zinc/92/81/11/1073928111.db2.gz LENQJGAMTMXTSN-NWDGAFQWSA-N 0 0 444.535 -0.388 20 0 IBADRN CS[C@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000807764080 1073928128 /nfs/dbraw/zinc/92/81/28/1073928128.db2.gz LENQJGAMTMXTSN-RYUDHWBXSA-N 0 0 444.535 -0.388 20 0 IBADRN CS[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC000807764086 1073928094 /nfs/dbraw/zinc/92/80/94/1073928094.db2.gz LENQJGAMTMXTSN-VXGBXAGGSA-N 0 0 444.535 -0.388 20 0 IBADRN CS[C@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000807765186 1073927998 /nfs/dbraw/zinc/92/79/98/1073927998.db2.gz MRTOOCFYOBCUSI-KBPBESRZSA-N 0 0 429.568 -0.038 20 0 IBADRN CS[C@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000807765187 1073928104 /nfs/dbraw/zinc/92/81/04/1073928104.db2.gz MRTOOCFYOBCUSI-KGLIPLIRSA-N 0 0 429.568 -0.038 20 0 IBADRN CS[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000807765188 1073928707 /nfs/dbraw/zinc/92/87/07/1073928707.db2.gz MRTOOCFYOBCUSI-UONOGXRCSA-N 0 0 429.568 -0.038 20 0 IBADRN CS[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC000807765189 1073928717 /nfs/dbraw/zinc/92/87/17/1073928717.db2.gz MRTOOCFYOBCUSI-ZIAGYGMSSA-N 0 0 429.568 -0.038 20 0 IBADRN CS[C@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000807765589 1073928025 /nfs/dbraw/zinc/92/80/25/1073928025.db2.gz PHGYDHTZXYQRIJ-CABCVRRESA-N 0 0 433.600 -0.977 20 0 IBADRN CS[C@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000807765590 1073928053 /nfs/dbraw/zinc/92/80/53/1073928053.db2.gz PHGYDHTZXYQRIJ-GJZGRUSLSA-N 0 0 433.600 -0.977 20 0 IBADRN CS[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000807765591 1073928115 /nfs/dbraw/zinc/92/81/15/1073928115.db2.gz PHGYDHTZXYQRIJ-HUUCEWRRSA-N 0 0 433.600 -0.977 20 0 IBADRN CS[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000807765592 1073928043 /nfs/dbraw/zinc/92/80/43/1073928043.db2.gz PHGYDHTZXYQRIJ-LSDHHAIUSA-N 0 0 433.600 -0.977 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000807768014 1073928750 /nfs/dbraw/zinc/92/87/50/1073928750.db2.gz AIIAAJMZFNROHE-ILXRZTDVSA-N 0 0 434.497 -0.067 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000807768015 1073928638 /nfs/dbraw/zinc/92/86/38/1073928638.db2.gz AIIAAJMZFNROHE-KKUMJFAQSA-N 0 0 434.497 -0.067 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000807768016 1073928729 /nfs/dbraw/zinc/92/87/29/1073928729.db2.gz AIIAAJMZFNROHE-QLFBSQMISA-N 0 0 434.497 -0.067 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)C1 ZINC000807768017 1073928666 /nfs/dbraw/zinc/92/86/66/1073928666.db2.gz AIIAAJMZFNROHE-SOUVJXGZSA-N 0 0 434.497 -0.067 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H]([C@@H](O)c4nccn4C)C3)C2=O)n(C)n1 ZINC000807768018 1073928697 /nfs/dbraw/zinc/92/86/97/1073928697.db2.gz AJQFTDOUEDBBGZ-HLLBOEOZSA-N 0 0 443.508 -0.344 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H]([C@H](O)c4nccn4C)C3)C2=O)n(C)n1 ZINC000807768019 1073928745 /nfs/dbraw/zinc/92/87/45/1073928745.db2.gz AJQFTDOUEDBBGZ-VYDXJSESSA-N 0 0 443.508 -0.344 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H]([C@@H](O)c4nccn4C)C3)C2=O)n(C)n1 ZINC000807768020 1073928683 /nfs/dbraw/zinc/92/86/83/1073928683.db2.gz AJQFTDOUEDBBGZ-YQQAZPJKSA-N 0 0 443.508 -0.344 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H]([C@H](O)c4nccn4C)C3)C2=O)n(C)n1 ZINC000807768021 1073928742 /nfs/dbraw/zinc/92/87/42/1073928742.db2.gz AJQFTDOUEDBBGZ-ZOBUZTSGSA-N 0 0 443.508 -0.344 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N(C)[C@H]3CCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000807768144 1073929258 /nfs/dbraw/zinc/92/92/58/1073929258.db2.gz CZZWIQVHWDFMMI-NEPJUHHUSA-N 0 0 444.414 -0.428 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N(C)[C@@H]3CCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000807768145 1073929322 /nfs/dbraw/zinc/92/93/22/1073929322.db2.gz CZZWIQVHWDFMMI-NWDGAFQWSA-N 0 0 444.414 -0.428 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N(C)[C@H]3CCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000807768146 1073929312 /nfs/dbraw/zinc/92/93/12/1073929312.db2.gz CZZWIQVHWDFMMI-RYUDHWBXSA-N 0 0 444.414 -0.428 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N(C)[C@@H]3CCN(CC(F)(F)F)C3=O)C2=O)n(C)n1 ZINC000807768147 1073929118 /nfs/dbraw/zinc/92/91/18/1073929118.db2.gz CZZWIQVHWDFMMI-VXGBXAGGSA-N 0 0 444.414 -0.428 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H]3C[C@H](O)c3cnn(C)c3)C2=O)n(C)n1 ZINC000807768323 1073928712 /nfs/dbraw/zinc/92/87/12/1073928712.db2.gz HBDZRWFDWPJUAL-IKGGRYGDSA-N 0 0 443.508 -0.202 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@@H]3C[C@@H](O)c3cnn(C)c3)C2=O)n(C)n1 ZINC000807768324 1073928673 /nfs/dbraw/zinc/92/86/73/1073928673.db2.gz HBDZRWFDWPJUAL-IXDOHACOSA-N 0 0 443.508 -0.202 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H]3C[C@H](O)c3cnn(C)c3)C2=O)n(C)n1 ZINC000807768325 1073928656 /nfs/dbraw/zinc/92/86/56/1073928656.db2.gz HBDZRWFDWPJUAL-ULQDDVLXSA-N 0 0 443.508 -0.202 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCC[C@H]3C[C@@H](O)c3cnn(C)c3)C2=O)n(C)n1 ZINC000807768326 1073928734 /nfs/dbraw/zinc/92/87/34/1073928734.db2.gz HBDZRWFDWPJUAL-YESZJQIVSA-N 0 0 443.508 -0.202 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCC3CN(C(=O)OC(C)(C)C)C3)C2=O)n(C)n1 ZINC000807768391 1073928625 /nfs/dbraw/zinc/92/86/25/1073928625.db2.gz ISKPHLTYHWOHBH-AWEZNQCLSA-N 0 0 434.497 -0.067 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCC3CN(C(=O)OC(C)(C)C)C3)C2=O)n(C)n1 ZINC000807768392 1073928723 /nfs/dbraw/zinc/92/87/23/1073928723.db2.gz ISKPHLTYHWOHBH-CQSZACIVSA-N 0 0 434.497 -0.067 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C(=O)OCC(C)C)CC3)C2=O)n(C)n1 ZINC000807768436 1073928702 /nfs/dbraw/zinc/92/87/02/1073928702.db2.gz JNQAUSXYKNRMJU-HNNXBMFYSA-N 0 0 434.497 -0.113 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C(=O)OCC(C)C)CC3)C2=O)n(C)n1 ZINC000807768437 1073928690 /nfs/dbraw/zinc/92/86/90/1073928690.db2.gz JNQAUSXYKNRMJU-OAHLLOKOSA-N 0 0 434.497 -0.113 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@@H](O)c3ccco3)C2=O)n(C)n1 ZINC000807768855 1073929145 /nfs/dbraw/zinc/92/91/45/1073929145.db2.gz PXZWWQGSKLGSQA-HRCADAONSA-N 0 0 445.476 -0.106 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@@H]3C[C@H](O)c3ccco3)C2=O)n(C)n1 ZINC000807768856 1073929158 /nfs/dbraw/zinc/92/91/58/1073929158.db2.gz PXZWWQGSKLGSQA-JYJNAYRXSA-N 0 0 445.476 -0.106 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@@H](O)c3ccco3)C2=O)n(C)n1 ZINC000807768857 1073929171 /nfs/dbraw/zinc/92/91/71/1073929171.db2.gz PXZWWQGSKLGSQA-OWCLPIDISA-N 0 0 445.476 -0.106 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCOC[C@H]3C[C@H](O)c3ccco3)C2=O)n(C)n1 ZINC000807768858 1073929290 /nfs/dbraw/zinc/92/92/90/1073929290.db2.gz PXZWWQGSKLGSQA-PMPSAXMXSA-N 0 0 445.476 -0.106 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)C2=O)n(C)n1 ZINC000807768970 1073929246 /nfs/dbraw/zinc/92/92/46/1073929246.db2.gz QQCJXRAVNRYLNH-INIZCTEOSA-N 0 0 447.517 -0.319 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)NCCS(=O)(=O)Cc3ccccc3)C2=O)n(C)n1 ZINC000807768973 1073929267 /nfs/dbraw/zinc/92/92/67/1073929267.db2.gz QQCJXRAVNRYLNH-MRXNPFEDSA-N 0 0 447.517 -0.319 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C(=O)N3CCN(C4=NCC(C)(C)S4)CC3)C2=O)n(C)n1 ZINC000807769719 1073929127 /nfs/dbraw/zinc/92/91/27/1073929127.db2.gz VIHJLJNYYWCVBW-AWEZNQCLSA-N 0 0 447.565 -0.024 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C(=O)N3CCN(C4=NCC(C)(C)S4)CC3)C2=O)n(C)n1 ZINC000807769720 1073929208 /nfs/dbraw/zinc/92/92/08/1073929208.db2.gz VIHJLJNYYWCVBW-CQSZACIVSA-N 0 0 447.565 -0.024 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000807770155 1073929279 /nfs/dbraw/zinc/92/92/79/1073929279.db2.gz ZBHDLNPKHLLISW-DZGCQCFKSA-N 0 0 434.497 -0.067 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000807770156 1073929180 /nfs/dbraw/zinc/92/91/80/1073929180.db2.gz ZBHDLNPKHLLISW-HIFRSBDPSA-N 0 0 434.497 -0.067 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000807770157 1073929302 /nfs/dbraw/zinc/92/93/02/1073929302.db2.gz ZBHDLNPKHLLISW-UKRRQHHQSA-N 0 0 434.497 -0.067 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)CC1 ZINC000807770158 1073929231 /nfs/dbraw/zinc/92/92/31/1073929231.db2.gz ZBHDLNPKHLLISW-ZFWWWQNUSA-N 0 0 434.497 -0.067 20 0 IBADRN CCC(CC)(CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)SC ZINC000807773815 1073929782 /nfs/dbraw/zinc/92/97/82/1073929782.db2.gz GWRGROSWMYXNSI-UHFFFAOYSA-N 0 0 449.643 -0.339 20 0 IBADRN CCC(CC)(CNC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)SC ZINC000807775716 1073929817 /nfs/dbraw/zinc/92/98/17/1073929817.db2.gz QULUUJCZZDQMLH-UHFFFAOYSA-N 0 0 428.555 -0.446 20 0 IBADRN CCC(CC)(CNC(=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)SC ZINC000807775781 1073929933 /nfs/dbraw/zinc/92/99/33/1073929933.db2.gz RISQXMJODUQIGZ-UHFFFAOYSA-N 0 0 426.543 -0.487 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N(C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000807776905 1073929771 /nfs/dbraw/zinc/92/97/71/1073929771.db2.gz HPFDKAIASUIBRK-FRRDWIJNSA-N 0 0 425.404 -0.455 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N(C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000807776906 1073929697 /nfs/dbraw/zinc/92/96/97/1073929697.db2.gz HPFDKAIASUIBRK-JHJVBQTASA-N 0 0 425.404 -0.455 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N(C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000807776907 1073929735 /nfs/dbraw/zinc/92/97/35/1073929735.db2.gz HPFDKAIASUIBRK-RWMBFGLXSA-N 0 0 425.404 -0.455 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N(C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000807776908 1073929686 /nfs/dbraw/zinc/92/96/86/1073929686.db2.gz HPFDKAIASUIBRK-YNEHKIRRSA-N 0 0 425.404 -0.455 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(c2nc(F)c(F)cc2F)CC1)C(=O)N1CCOCC1 ZINC000807777260 1073929706 /nfs/dbraw/zinc/92/97/06/1073929706.db2.gz KOAGABYYLCFVME-AWEZNQCLSA-N 0 0 443.426 -0.099 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(c2nc(F)c(F)cc2F)CC1)C(=O)N1CCOCC1 ZINC000807777261 1073929959 /nfs/dbraw/zinc/92/99/59/1073929959.db2.gz KOAGABYYLCFVME-CQSZACIVSA-N 0 0 443.426 -0.099 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000807777438 1073929719 /nfs/dbraw/zinc/92/97/19/1073929719.db2.gz MPKWNXYFQXDUOQ-KBPBESRZSA-N 0 0 439.431 -0.160 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000807777439 1073929629 /nfs/dbraw/zinc/92/96/29/1073929629.db2.gz MPKWNXYFQXDUOQ-KGLIPLIRSA-N 0 0 439.431 -0.160 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000807777440 1073929915 /nfs/dbraw/zinc/92/99/15/1073929915.db2.gz MPKWNXYFQXDUOQ-UONOGXRCSA-N 0 0 439.431 -0.160 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000807777441 1073929745 /nfs/dbraw/zinc/92/97/45/1073929745.db2.gz MPKWNXYFQXDUOQ-ZIAGYGMSSA-N 0 0 439.431 -0.160 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1Cc2c(OC)ccc(OC)c2[C@@H](O)C1)C(=O)N1CCOCC1 ZINC000807777726 1073929892 /nfs/dbraw/zinc/92/98/92/1073929892.db2.gz OHAVUTPGBJDUDJ-CABCVRRESA-N 0 0 435.477 -0.167 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1Cc2c(OC)ccc(OC)c2[C@@H](O)C1)C(=O)N1CCOCC1 ZINC000807777727 1073929944 /nfs/dbraw/zinc/92/99/44/1073929944.db2.gz OHAVUTPGBJDUDJ-GJZGRUSLSA-N 0 0 435.477 -0.167 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1Cc2c(OC)ccc(OC)c2[C@H](O)C1)C(=O)N1CCOCC1 ZINC000807777728 1073929905 /nfs/dbraw/zinc/92/99/05/1073929905.db2.gz OHAVUTPGBJDUDJ-HUUCEWRRSA-N 0 0 435.477 -0.167 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1Cc2c(OC)ccc(OC)c2[C@H](O)C1)C(=O)N1CCOCC1 ZINC000807777729 1073929664 /nfs/dbraw/zinc/92/96/64/1073929664.db2.gz OHAVUTPGBJDUDJ-LSDHHAIUSA-N 0 0 435.477 -0.167 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000807778218 1073924645 /nfs/dbraw/zinc/92/46/45/1073924645.db2.gz SSEBYNJBTYOLAJ-ARFHVFGLSA-N 0 0 445.513 -0.325 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000807778221 1073925117 /nfs/dbraw/zinc/92/51/17/1073925117.db2.gz SSEBYNJBTYOLAJ-BZUAXINKSA-N 0 0 445.513 -0.325 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000807778223 1073925157 /nfs/dbraw/zinc/92/51/57/1073925157.db2.gz SSEBYNJBTYOLAJ-HRCADAONSA-N 0 0 445.513 -0.325 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000807778225 1073925207 /nfs/dbraw/zinc/92/52/07/1073925207.db2.gz SSEBYNJBTYOLAJ-OWCLPIDISA-N 0 0 445.513 -0.325 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1)C(=O)N1CCOCC1 ZINC000807778264 1073924514 /nfs/dbraw/zinc/92/45/14/1073924514.db2.gz SYCWZIPHQRDDIG-CYBMUJFWSA-N 0 0 436.431 -0.343 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1)C(=O)N1CCOCC1 ZINC000807778265 1073924567 /nfs/dbraw/zinc/92/45/67/1073924567.db2.gz SYCWZIPHQRDDIG-ZDUSSCGKSA-N 0 0 436.431 -0.343 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(C2=NCC(C)(C)S2)CC1 ZINC000807778322 1073924535 /nfs/dbraw/zinc/92/45/35/1073924535.db2.gz UTCZJIYEKDPQRR-CABCVRRESA-N 0 0 428.555 -0.051 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(C2=NCC(C)(C)S2)CC1 ZINC000807778323 1073924660 /nfs/dbraw/zinc/92/46/60/1073924660.db2.gz UTCZJIYEKDPQRR-GJZGRUSLSA-N 0 0 428.555 -0.051 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N1CCN(C2=NCC(C)(C)S2)CC1 ZINC000807778324 1073924652 /nfs/dbraw/zinc/92/46/52/1073924652.db2.gz UTCZJIYEKDPQRR-HUUCEWRRSA-N 0 0 428.555 -0.051 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCN(C2=NCC(C)(C)S2)CC1 ZINC000807778325 1073924657 /nfs/dbraw/zinc/92/46/57/1073924657.db2.gz UTCZJIYEKDPQRR-LSDHHAIUSA-N 0 0 428.555 -0.051 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC000807778423 1073924641 /nfs/dbraw/zinc/92/46/41/1073924641.db2.gz XBCHGRQXVPRGJI-KYHPRHEASA-N 0 0 426.466 -0.133 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000807778424 1073924623 /nfs/dbraw/zinc/92/46/23/1073924623.db2.gz XBCHGRQXVPRGJI-LISAXSMJSA-N 0 0 426.466 -0.133 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC000807778425 1073924629 /nfs/dbraw/zinc/92/46/29/1073924629.db2.gz XBCHGRQXVPRGJI-MUQADHOPSA-N 0 0 426.466 -0.133 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000807778426 1073924543 /nfs/dbraw/zinc/92/45/43/1073924543.db2.gz XBCHGRQXVPRGJI-NBOOPKSLSA-N 0 0 426.466 -0.133 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N(C)[C@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCOCC1 ZINC000807778661 1073924633 /nfs/dbraw/zinc/92/46/33/1073924633.db2.gz AUOPWSMOOKDANZ-MSOLQXFVSA-N 0 0 430.505 -0.001 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N(C)[C@@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCOCC1 ZINC000807778662 1073924582 /nfs/dbraw/zinc/92/45/82/1073924582.db2.gz AUOPWSMOOKDANZ-QZTJIDSGSA-N 0 0 430.505 -0.001 20 0 IBADRN CC[C@H](NC(=O)C(=O)N(C)[C@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCOCC1 ZINC000807778663 1073924576 /nfs/dbraw/zinc/92/45/76/1073924576.db2.gz AUOPWSMOOKDANZ-ROUUACIJSA-N 0 0 430.505 -0.001 20 0 IBADRN CC[C@H](NC(=O)C(=O)N(C)[C@@H]1CCN(Cc2ccccc2)C1=O)C(=O)N1CCOCC1 ZINC000807778664 1073924500 /nfs/dbraw/zinc/92/45/00/1073924500.db2.gz AUOPWSMOOKDANZ-ZWKOTPCHSA-N 0 0 430.505 -0.001 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)C(=O)N1CCOCC1 ZINC000807779340 1073924986 /nfs/dbraw/zinc/92/49/86/1073924986.db2.gz NFHFCGUZYAKFON-INIZCTEOSA-N 0 0 447.492 -0.696 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1)C(=O)N1CCOCC1 ZINC000807779341 1073925170 /nfs/dbraw/zinc/92/51/70/1073925170.db2.gz NFHFCGUZYAKFON-MRXNPFEDSA-N 0 0 447.492 -0.696 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CC[C@](O)(C(F)(F)F)C1 ZINC000807779790 1073925060 /nfs/dbraw/zinc/92/50/60/1073925060.db2.gz FRULYJFCVLARGU-LLVKDONJSA-N 0 0 441.350 -0.058 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CC[C@@](O)(C(F)(F)F)C1 ZINC000807779793 1073925197 /nfs/dbraw/zinc/92/51/97/1073925197.db2.gz FRULYJFCVLARGU-NSHDSACASA-N 0 0 441.350 -0.058 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCN(C2=NCC(C)(C)S2)CC1)C(=O)N1CCOCC1 ZINC000807779969 1073924971 /nfs/dbraw/zinc/92/49/71/1073924971.db2.gz REFNQWYHZUNPME-AWEZNQCLSA-N 0 0 425.555 -0.234 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCN(C2=NCC(C)(C)S2)CC1)C(=O)N1CCOCC1 ZINC000807779970 1073925008 /nfs/dbraw/zinc/92/50/08/1073925008.db2.gz REFNQWYHZUNPME-CQSZACIVSA-N 0 0 425.555 -0.234 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1)C(=O)N1CCOCC1 ZINC000807780443 1073924938 /nfs/dbraw/zinc/92/49/38/1073924938.db2.gz VRSPPIXOCABBGB-CABCVRRESA-N 0 0 442.513 -0.508 20 0 IBADRN CC[C@H](NC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)C(=O)N1CCOCC1 ZINC000807780444 1073925179 /nfs/dbraw/zinc/92/51/79/1073925179.db2.gz VRSPPIXOCABBGB-GJZGRUSLSA-N 0 0 442.513 -0.508 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1)C(=O)N1CCOCC1 ZINC000807780446 1073925188 /nfs/dbraw/zinc/92/51/88/1073925188.db2.gz VRSPPIXOCABBGB-HUUCEWRRSA-N 0 0 442.513 -0.508 20 0 IBADRN CC[C@@H](NC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1)C(=O)N1CCOCC1 ZINC000807780447 1073925145 /nfs/dbraw/zinc/92/51/45/1073925145.db2.gz VRSPPIXOCABBGB-LSDHHAIUSA-N 0 0 442.513 -0.508 20 0 IBADRN CC(C)(O)CN1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000807781211 1073925091 /nfs/dbraw/zinc/92/50/91/1073925091.db2.gz DIAXWZWEGYSXKE-UHFFFAOYSA-N 0 0 444.476 -0.668 20 0 IBADRN COCCN(C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CCOC1 ZINC000807781215 1073925655 /nfs/dbraw/zinc/92/56/55/1073925655.db2.gz DKNGIWSVGLHEJJ-GFCCVEGCSA-N 0 0 431.433 -0.320 20 0 IBADRN COCCN(C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CCOC1 ZINC000807781217 1073925662 /nfs/dbraw/zinc/92/56/62/1073925662.db2.gz DKNGIWSVGLHEJJ-LBPRGKRZSA-N 0 0 431.433 -0.320 20 0 IBADRN COC(=O)[C@H]1CCCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000807781401 1073925045 /nfs/dbraw/zinc/92/50/45/1073925045.db2.gz HPGHZLCBLJIQNL-JTQLQIEISA-N 0 0 429.417 -0.172 20 0 IBADRN COCCCOCCN(C)C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807781544 1073924999 /nfs/dbraw/zinc/92/49/99/1073924999.db2.gz IOVAKELMFPIGJT-UHFFFAOYSA-N 0 0 433.449 -0.072 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000807781600 1073925134 /nfs/dbraw/zinc/92/51/34/1073925134.db2.gz JLIBYKYNONHGOR-LLVKDONJSA-N 0 0 449.473 -0.548 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000807781601 1073925075 /nfs/dbraw/zinc/92/50/75/1073925075.db2.gz JLIBYKYNONHGOR-NSHDSACASA-N 0 0 449.473 -0.548 20 0 IBADRN O=C(NCCCO[C@@H]1CCOC1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807781688 1073925123 /nfs/dbraw/zinc/92/51/23/1073925123.db2.gz KXXKQIBQOKKFKL-GFCCVEGCSA-N 0 0 431.433 -0.272 20 0 IBADRN O=C(NCCCO[C@H]1CCOC1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807781689 1073924959 /nfs/dbraw/zinc/92/49/59/1073924959.db2.gz KXXKQIBQOKKFKL-LBPRGKRZSA-N 0 0 431.433 -0.272 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@]2(CCOC2)O1 ZINC000807781781 1073926338 /nfs/dbraw/zinc/92/63/38/1073926338.db2.gz LPBMXYPJZNFNPK-ABAIWWIYSA-N 0 0 443.444 -0.177 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@@]2(CCOC2)O1 ZINC000807781782 1073926272 /nfs/dbraw/zinc/92/62/72/1073926272.db2.gz LPBMXYPJZNFNPK-IAQYHMDHSA-N 0 0 443.444 -0.177 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@]2(CCOC2)O1 ZINC000807781784 1073926455 /nfs/dbraw/zinc/92/64/55/1073926455.db2.gz LPBMXYPJZNFNPK-NHYWBVRUSA-N 0 0 443.444 -0.177 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@@]2(CCOC2)O1 ZINC000807781786 1073926415 /nfs/dbraw/zinc/92/64/15/1073926415.db2.gz LPBMXYPJZNFNPK-XHDPSFHLSA-N 0 0 443.444 -0.177 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCC1 ZINC000807781809 1073926358 /nfs/dbraw/zinc/92/63/58/1073926358.db2.gz MBCVWTUSCUEAMO-UHFFFAOYSA-N 0 0 442.460 -0.161 20 0 IBADRN COCCCN(CCOC)C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807781824 1073926368 /nfs/dbraw/zinc/92/63/68/1073926368.db2.gz MHRXRJCYDIYMGA-UHFFFAOYSA-N 0 0 433.449 -0.072 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807781825 1073926382 /nfs/dbraw/zinc/92/63/82/1073926382.db2.gz MHYMDMHKNDRNRF-UHFFFAOYSA-N 0 0 430.449 -0.305 20 0 IBADRN CCN1CCCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1=O ZINC000807781873 1073926409 /nfs/dbraw/zinc/92/64/09/1073926409.db2.gz OKUJBCWIKBPQKL-UHFFFAOYSA-N 0 0 428.433 -0.503 20 0 IBADRN CN(CCN1CCOCC1)C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807782039 1073926429 /nfs/dbraw/zinc/92/64/29/1073926429.db2.gz QLPOSKCESKSDLB-UHFFFAOYSA-N 0 0 430.449 -0.793 20 0 IBADRN O=C(NCC1(N2CCOCC2)CC1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807782045 1073926344 /nfs/dbraw/zinc/92/63/44/1073926344.db2.gz QQJYANTWFYSPEX-UHFFFAOYSA-N 0 0 442.460 -0.602 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000807782235 1073925614 /nfs/dbraw/zinc/92/56/14/1073925614.db2.gz SYBWANIFTKZFGP-AWEZNQCLSA-N 0 0 428.433 -0.599 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000807782236 1073926401 /nfs/dbraw/zinc/92/64/01/1073926401.db2.gz SYBWANIFTKZFGP-CQSZACIVSA-N 0 0 428.433 -0.599 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000807782464 1073925751 /nfs/dbraw/zinc/92/57/51/1073925751.db2.gz WYBLANUSPOBCJL-CHWSQXEVSA-N 0 0 443.444 -0.177 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCO[C@H]([C@H]2CCCO2)C1 ZINC000807782466 1073925798 /nfs/dbraw/zinc/92/57/98/1073925798.db2.gz WYBLANUSPOBCJL-OLZOCXBDSA-N 0 0 443.444 -0.177 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000807782467 1073925803 /nfs/dbraw/zinc/92/58/03/1073925803.db2.gz WYBLANUSPOBCJL-QWHCGFSZSA-N 0 0 443.444 -0.177 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000807782468 1073925624 /nfs/dbraw/zinc/92/56/24/1073925624.db2.gz WYBLANUSPOBCJL-STQMWFEESA-N 0 0 443.444 -0.177 20 0 IBADRN CC1(C)COCCN1CCNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807782502 1073925793 /nfs/dbraw/zinc/92/57/93/1073925793.db2.gz XPBOKSTYDSNOSI-UHFFFAOYSA-N 0 0 444.476 -0.356 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807782550 1073925729 /nfs/dbraw/zinc/92/57/29/1073925729.db2.gz YEIDKTSSMMPLJD-LLVKDONJSA-N 0 0 430.449 -0.747 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807782551 1073925688 /nfs/dbraw/zinc/92/56/88/1073925688.db2.gz YEIDKTSSMMPLJD-NSHDSACASA-N 0 0 430.449 -0.747 20 0 IBADRN O=C(NCCN1CCSCC1)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807782564 1073925634 /nfs/dbraw/zinc/92/56/34/1073925634.db2.gz YIEYQXZKYJUNJA-UHFFFAOYSA-N 0 0 432.490 -0.418 20 0 IBADRN CCOCCN1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000807782580 1073925737 /nfs/dbraw/zinc/92/57/37/1073925737.db2.gz YOBDWTUPRKXVOT-UHFFFAOYSA-N 0 0 444.476 -0.403 20 0 IBADRN CN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807782587 1073925721 /nfs/dbraw/zinc/92/57/21/1073925721.db2.gz YREXZVRURDCLFT-MRVPVSSYSA-N 0 0 429.339 -0.202 20 0 IBADRN CN(C[C@H](O)C(F)(F)F)C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807782588 1073925677 /nfs/dbraw/zinc/92/56/77/1073925677.db2.gz YREXZVRURDCLFT-QMMMGPOBSA-N 0 0 429.339 -0.202 20 0 IBADRN COC(=O)[C@@]1(C)CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000807782597 1073925670 /nfs/dbraw/zinc/92/56/70/1073925670.db2.gz YXXLFOWQBJIRAU-AWEZNQCLSA-N 0 0 429.417 -0.172 20 0 IBADRN COC(=O)[C@]1(C)CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC000807782598 1073925767 /nfs/dbraw/zinc/92/57/67/1073925767.db2.gz YXXLFOWQBJIRAU-CQSZACIVSA-N 0 0 429.417 -0.172 20 0 IBADRN COC(=O)C1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000807782638 1073925647 /nfs/dbraw/zinc/92/56/47/1073925647.db2.gz ZMKKYUFPCSBEFT-UHFFFAOYSA-N 0 0 429.417 -0.172 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCN(CC2CC2)CC1 ZINC000807782668 1073925702 /nfs/dbraw/zinc/92/57/02/1073925702.db2.gz ZTNLDDOSYHOSNF-UHFFFAOYSA-N 0 0 426.461 -0.029 20 0 IBADRN CC1(C)CN(CCNC(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCO1 ZINC000807782721 1073925758 /nfs/dbraw/zinc/92/57/58/1073925758.db2.gz AZDGYBGIOFWGOV-UHFFFAOYSA-N 0 0 444.476 -0.356 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCSC[C@H]1CCO ZINC000807782809 1073927493 /nfs/dbraw/zinc/92/74/93/1073927493.db2.gz BYDFWBLQHUKNGC-LLVKDONJSA-N 0 0 433.474 -0.257 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCSC[C@@H]1CCO ZINC000807782815 1073927442 /nfs/dbraw/zinc/92/74/42/1073927442.db2.gz BYDFWBLQHUKNGC-NSHDSACASA-N 0 0 433.474 -0.257 20 0 IBADRN C[C@H](CN1CCOCC1)N(C)C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783060 1073926886 /nfs/dbraw/zinc/92/68/86/1073926886.db2.gz FMEULLMIESAKTI-GFCCVEGCSA-N 0 0 444.476 -0.404 20 0 IBADRN C[C@@H](CN1CCOCC1)N(C)C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783061 1073926942 /nfs/dbraw/zinc/92/69/42/1073926942.db2.gz FMEULLMIESAKTI-LBPRGKRZSA-N 0 0 444.476 -0.404 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783089 1073926911 /nfs/dbraw/zinc/92/69/11/1073926911.db2.gz GVPCJPYDCVIMAP-GHMZBOCLSA-N 0 0 428.433 -0.553 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783090 1073927460 /nfs/dbraw/zinc/92/74/60/1073927460.db2.gz GVPCJPYDCVIMAP-MNOVXSKESA-N 0 0 428.433 -0.553 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783091 1073927487 /nfs/dbraw/zinc/92/74/87/1073927487.db2.gz GVPCJPYDCVIMAP-QWRGUYRKSA-N 0 0 428.433 -0.553 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783092 1073927499 /nfs/dbraw/zinc/92/74/99/1073927499.db2.gz GVPCJPYDCVIMAP-WDEREUQCSA-N 0 0 428.433 -0.553 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000807783128 1073927456 /nfs/dbraw/zinc/92/74/56/1073927456.db2.gz ICBCSZUOXLBUMB-UHFFFAOYSA-N 0 0 442.460 -0.257 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCOC2(CCOCC2)C1 ZINC000807783220 1073926901 /nfs/dbraw/zinc/92/69/01/1073926901.db2.gz IUJOKPFSVWWTGE-UHFFFAOYSA-N 0 0 443.444 -0.175 20 0 IBADRN CC(C)CN1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1=O ZINC000807783282 1073926947 /nfs/dbraw/zinc/92/69/47/1073926947.db2.gz KXZCFEIXACADKL-UHFFFAOYSA-N 0 0 442.460 -0.257 20 0 IBADRN C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783457 1073926880 /nfs/dbraw/zinc/92/68/80/1073926880.db2.gz NQSBGRYOGALPDO-CMPLNLGQSA-N 0 0 431.433 -0.273 20 0 IBADRN C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783458 1073926954 /nfs/dbraw/zinc/92/69/54/1073926954.db2.gz NQSBGRYOGALPDO-JQWIXIFHSA-N 0 0 431.433 -0.273 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783459 1073926957 /nfs/dbraw/zinc/92/69/57/1073926957.db2.gz NQSBGRYOGALPDO-PWSUYJOCSA-N 0 0 431.433 -0.273 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783460 1073926893 /nfs/dbraw/zinc/92/68/93/1073926893.db2.gz NQSBGRYOGALPDO-ZYHUDNBSSA-N 0 0 431.433 -0.273 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCN(CC(F)F)CC1 ZINC000807783464 1073926918 /nfs/dbraw/zinc/92/69/18/1073926918.db2.gz NSZIBHRAHZAUHJ-UHFFFAOYSA-N 0 0 436.403 -0.174 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@H](C)O1 ZINC000807783485 1073926287 /nfs/dbraw/zinc/92/62/87/1073926287.db2.gz OLFZSYILRXACIV-CMPLNLGQSA-N 0 0 431.433 -0.321 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@H](C)O1 ZINC000807783486 1073926244 /nfs/dbraw/zinc/92/62/44/1073926244.db2.gz OLFZSYILRXACIV-JQWIXIFHSA-N 0 0 431.433 -0.321 20 0 IBADRN COC[C@@H]1CN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@@H](C)O1 ZINC000807783487 1073926915 /nfs/dbraw/zinc/92/69/15/1073926915.db2.gz OLFZSYILRXACIV-PWSUYJOCSA-N 0 0 431.433 -0.321 20 0 IBADRN COC[C@H]1CN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C[C@@H](C)O1 ZINC000807783488 1073926950 /nfs/dbraw/zinc/92/69/50/1073926950.db2.gz OLFZSYILRXACIV-ZYHUDNBSSA-N 0 0 431.433 -0.321 20 0 IBADRN C[C@H]1OCC[C@]12CN(C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)CCO2 ZINC000807783600 1073926924 /nfs/dbraw/zinc/92/69/24/1073926924.db2.gz QLIRCCYGYYYVEC-ABAIWWIYSA-N 0 0 443.444 -0.177 20 0 IBADRN C[C@H]1OCC[C@@]12CN(C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)CCO2 ZINC000807783601 1073926929 /nfs/dbraw/zinc/92/69/29/1073926929.db2.gz QLIRCCYGYYYVEC-IAQYHMDHSA-N 0 0 443.444 -0.177 20 0 IBADRN C[C@@H]1OCC[C@]12CN(C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)CCO2 ZINC000807783602 1073926897 /nfs/dbraw/zinc/92/68/97/1073926897.db2.gz QLIRCCYGYYYVEC-NHYWBVRUSA-N 0 0 443.444 -0.177 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)CCO2 ZINC000807783603 1073926906 /nfs/dbraw/zinc/92/69/06/1073926906.db2.gz QLIRCCYGYYYVEC-XHDPSFHLSA-N 0 0 443.444 -0.177 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)[C@@H](C)C1 ZINC000807783619 1073926936 /nfs/dbraw/zinc/92/69/36/1073926936.db2.gz QXNABLZXQTZALC-JTQLQIEISA-N 0 0 428.433 -0.504 20 0 IBADRN CC(=O)N1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)[C@H](C)C1 ZINC000807783620 1073926960 /nfs/dbraw/zinc/92/69/60/1073926960.db2.gz QXNABLZXQTZALC-SNVBAGLBSA-N 0 0 428.433 -0.504 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCC1 ZINC000807783629 1073926933 /nfs/dbraw/zinc/92/69/33/1073926933.db2.gz RDGYGYDSGGFDHB-AREMUKBSSA-N 0 0 433.474 -0.166 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCC1 ZINC000807783630 1073926438 /nfs/dbraw/zinc/92/64/38/1073926438.db2.gz RDGYGYDSGGFDHB-SANMLTNESA-N 0 0 433.474 -0.166 20 0 IBADRN CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783729 1073926258 /nfs/dbraw/zinc/92/62/58/1073926258.db2.gz RSELNEZVEHDEQI-GFCCVEGCSA-N 0 0 442.460 -0.114 20 0 IBADRN CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807783730 1073926293 /nfs/dbraw/zinc/92/62/93/1073926293.db2.gz RSELNEZVEHDEQI-LBPRGKRZSA-N 0 0 442.460 -0.114 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000807783736 1073926447 /nfs/dbraw/zinc/92/64/47/1073926447.db2.gz RXWSPVAXXDVZGZ-UHFFFAOYSA-N 0 0 444.432 -0.283 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCCC2(C1)OCCO2 ZINC000807783739 1073926391 /nfs/dbraw/zinc/92/63/91/1073926391.db2.gz RZWROJXDYXRWTR-UHFFFAOYSA-N 0 0 429.417 -0.218 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000807783765 1073926422 /nfs/dbraw/zinc/92/64/22/1073926422.db2.gz SZPPWCXNANOJDT-UHFFFAOYSA-N 0 0 426.417 -0.750 20 0 IBADRN CCC(=O)N1CCCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000807783810 1073927369 /nfs/dbraw/zinc/92/73/69/1073927369.db2.gz UMHGDSMFRWHXHB-UHFFFAOYSA-N 0 0 442.460 -0.113 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000807783820 1073927389 /nfs/dbraw/zinc/92/73/89/1073927389.db2.gz UVTLBQQVTTYCQZ-NEPJUHHUSA-N 0 0 440.444 -0.314 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000807783821 1073927448 /nfs/dbraw/zinc/92/74/48/1073927448.db2.gz UVTLBQQVTTYCQZ-NWDGAFQWSA-N 0 0 440.444 -0.314 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000807783822 1073927533 /nfs/dbraw/zinc/92/75/33/1073927533.db2.gz UVTLBQQVTTYCQZ-RYUDHWBXSA-N 0 0 440.444 -0.314 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000807783823 1073927394 /nfs/dbraw/zinc/92/73/94/1073927394.db2.gz UVTLBQQVTTYCQZ-VXGBXAGGSA-N 0 0 440.444 -0.314 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CCCO1 ZINC000807783852 1073927453 /nfs/dbraw/zinc/92/74/53/1073927453.db2.gz VSJXMGJLOCHJTH-NEPJUHHUSA-N 0 0 431.433 -0.273 20 0 IBADRN COC[C@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CCCO1 ZINC000807783853 1073927380 /nfs/dbraw/zinc/92/73/80/1073927380.db2.gz VSJXMGJLOCHJTH-NWDGAFQWSA-N 0 0 431.433 -0.273 20 0 IBADRN COC[C@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CCCO1 ZINC000807783854 1073928065 /nfs/dbraw/zinc/92/80/65/1073928065.db2.gz VSJXMGJLOCHJTH-RYUDHWBXSA-N 0 0 431.433 -0.273 20 0 IBADRN COC[C@@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CCCO1 ZINC000807783855 1073928062 /nfs/dbraw/zinc/92/80/62/1073928062.db2.gz VSJXMGJLOCHJTH-VXGBXAGGSA-N 0 0 431.433 -0.273 20 0 IBADRN COCCN1CCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC000807783898 1073928033 /nfs/dbraw/zinc/92/80/33/1073928033.db2.gz XKCNYMHTJJPNQM-UHFFFAOYSA-N 0 0 430.449 -0.793 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000807783975 1073927536 /nfs/dbraw/zinc/92/75/36/1073927536.db2.gz YVNKQRNJTNSHOK-AWEZNQCLSA-N 0 0 429.417 -0.566 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000807783976 1073927479 /nfs/dbraw/zinc/92/74/79/1073927479.db2.gz YVNKQRNJTNSHOK-CQSZACIVSA-N 0 0 429.417 -0.566 20 0 IBADRN CCOCCOCCN(C)C(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000807784198 1073927505 /nfs/dbraw/zinc/92/75/05/1073927505.db2.gz BGOJFZRSJLVIRN-UHFFFAOYSA-N 0 0 433.449 -0.072 20 0 IBADRN C[C@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CN(C)CCO1 ZINC000807784460 1073927516 /nfs/dbraw/zinc/92/75/16/1073927516.db2.gz GCXKMHVGEOICIM-CMPLNLGQSA-N 0 0 430.449 -0.748 20 0 IBADRN C[C@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CN(C)CCO1 ZINC000807784461 1073927423 /nfs/dbraw/zinc/92/74/23/1073927423.db2.gz GCXKMHVGEOICIM-JQWIXIFHSA-N 0 0 430.449 -0.748 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CN(C)CCO1 ZINC000807784462 1073927462 /nfs/dbraw/zinc/92/74/62/1073927462.db2.gz GCXKMHVGEOICIM-PWSUYJOCSA-N 0 0 430.449 -0.748 20 0 IBADRN C[C@@H](NC(=O)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CN(C)CCO1 ZINC000807784463 1073927399 /nfs/dbraw/zinc/92/73/99/1073927399.db2.gz GCXKMHVGEOICIM-ZYHUDNBSSA-N 0 0 430.449 -0.748 20 0 IBADRN CCCN1CCCN(C(=O)C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1=O ZINC000807784616 1073928137 /nfs/dbraw/zinc/92/81/37/1073928137.db2.gz IJJRLCDXHWTKSS-UHFFFAOYSA-N 0 0 442.460 -0.113 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)C2CCN(C(=O)OCC)CC2)CC1 ZINC000807823225 1073930429 /nfs/dbraw/zinc/93/04/29/1073930429.db2.gz IAIIFJPMOIIPOH-HNNXBMFYSA-N 0 0 425.530 -0.360 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)C2CCN(C(=O)OCC)CC2)CC1 ZINC000807823226 1073930383 /nfs/dbraw/zinc/93/03/83/1073930383.db2.gz IAIIFJPMOIIPOH-OAHLLOKOSA-N 0 0 425.530 -0.360 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)CC1 ZINC000807823754 1073930353 /nfs/dbraw/zinc/93/03/53/1073930353.db2.gz OTMDBGMQMPQOGP-INIZCTEOSA-N 0 0 439.557 -0.018 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)CC1 ZINC000807823755 1073930316 /nfs/dbraw/zinc/93/03/16/1073930316.db2.gz OTMDBGMQMPQOGP-MRXNPFEDSA-N 0 0 439.557 -0.018 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)CC1 ZINC000807824006 1073930510 /nfs/dbraw/zinc/93/05/10/1073930510.db2.gz SSSUEBDHVXUIFU-AWEZNQCLSA-N 0 0 425.530 -0.232 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)CC1 ZINC000807824007 1073930445 /nfs/dbraw/zinc/93/04/45/1073930445.db2.gz SSSUEBDHVXUIFU-CQSZACIVSA-N 0 0 425.530 -0.232 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)C2CCN(C(=O)OCC)CC2)C1 ZINC000807825230 1073930406 /nfs/dbraw/zinc/93/04/06/1073930406.db2.gz IXPFGYBESRBBHK-DZGCQCFKSA-N 0 0 432.543 -0.100 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H](C)C2CCN(C(=O)OCC)CC2)C1 ZINC000807825231 1073930493 /nfs/dbraw/zinc/93/04/93/1073930493.db2.gz IXPFGYBESRBBHK-HIFRSBDPSA-N 0 0 432.543 -0.100 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)C2CCN(C(=O)OCC)CC2)C1 ZINC000807825233 1073930436 /nfs/dbraw/zinc/93/04/36/1073930436.db2.gz IXPFGYBESRBBHK-UKRRQHHQSA-N 0 0 432.543 -0.100 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H](C)C2CCN(C(=O)OCC)CC2)C1 ZINC000807825235 1073930368 /nfs/dbraw/zinc/93/03/68/1073930368.db2.gz IXPFGYBESRBBHK-ZFWWWQNUSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)CC1 ZINC000807825780 1073930377 /nfs/dbraw/zinc/93/03/77/1073930377.db2.gz MNIPWVIROXEUCB-HNNXBMFYSA-N 0 0 437.541 -0.218 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)CC1 ZINC000807825784 1073930420 /nfs/dbraw/zinc/93/04/20/1073930420.db2.gz MNIPWVIROXEUCB-OAHLLOKOSA-N 0 0 437.541 -0.218 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)CC1 ZINC000807826855 1073931203 /nfs/dbraw/zinc/93/12/03/1073931203.db2.gz VQVVCHNJTVBFTC-AWEZNQCLSA-N 0 0 434.497 -0.032 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)CC1 ZINC000807826856 1073931086 /nfs/dbraw/zinc/93/10/86/1073931086.db2.gz VQVVCHNJTVBFTC-CQSZACIVSA-N 0 0 434.497 -0.032 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)CC1 ZINC000807827045 1073931071 /nfs/dbraw/zinc/93/10/71/1073931071.db2.gz AABRKNYWWKFZMC-FKIZINRSSA-N 0 0 437.497 -0.194 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)CC1 ZINC000807827046 1073931138 /nfs/dbraw/zinc/93/11/38/1073931138.db2.gz AABRKNYWWKFZMC-MPBGBICISA-N 0 0 437.497 -0.194 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)CC1 ZINC000807827047 1073931195 /nfs/dbraw/zinc/93/11/95/1073931195.db2.gz AABRKNYWWKFZMC-ODXCJYRJSA-N 0 0 437.497 -0.194 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)CC1 ZINC000807827048 1073931106 /nfs/dbraw/zinc/93/11/06/1073931106.db2.gz AABRKNYWWKFZMC-YUNKPMOVSA-N 0 0 437.497 -0.194 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)CC1 ZINC000807827416 1073931005 /nfs/dbraw/zinc/93/10/05/1073931005.db2.gz AIZSFFYMKPUNNF-HNNXBMFYSA-N 0 0 448.524 -0.198 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)CC1 ZINC000807827420 1073931097 /nfs/dbraw/zinc/93/10/97/1073931097.db2.gz AIZSFFYMKPUNNF-OAHLLOKOSA-N 0 0 448.524 -0.198 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)CC1 ZINC000807827541 1073931214 /nfs/dbraw/zinc/93/12/14/1073931214.db2.gz BICAHHZLKFARGK-DOTOQJQBSA-N 0 0 428.530 -0.489 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)CC1 ZINC000807827560 1073930962 /nfs/dbraw/zinc/93/09/62/1073930962.db2.gz BICAHHZLKFARGK-NVXWUHKLSA-N 0 0 428.530 -0.489 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)CC1 ZINC000807827561 1073930986 /nfs/dbraw/zinc/93/09/86/1073930986.db2.gz BICAHHZLKFARGK-RDJZCZTQSA-N 0 0 428.530 -0.489 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)CC1 ZINC000807827562 1073931173 /nfs/dbraw/zinc/93/11/73/1073931173.db2.gz BICAHHZLKFARGK-WBVHZDCISA-N 0 0 428.530 -0.489 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000807828771 1073931027 /nfs/dbraw/zinc/93/10/27/1073931027.db2.gz QVUWICRSBSMCOR-AWEZNQCLSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)CC1 ZINC000807828775 1073931187 /nfs/dbraw/zinc/93/11/87/1073931187.db2.gz QVUWICRSBSMCOR-CQSZACIVSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)CC1 ZINC000807829247 1073931635 /nfs/dbraw/zinc/93/16/35/1073931635.db2.gz HZERTTRTCAHJPS-HNNXBMFYSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)CC1 ZINC000807829289 1073931714 /nfs/dbraw/zinc/93/17/14/1073931714.db2.gz HZERTTRTCAHJPS-OAHLLOKOSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)CC1 ZINC000807829302 1073931612 /nfs/dbraw/zinc/93/16/12/1073931612.db2.gz IHTFBAQEUGXJGH-HNNXBMFYSA-N 0 0 425.530 -0.408 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)CC1 ZINC000807829303 1073931695 /nfs/dbraw/zinc/93/16/95/1073931695.db2.gz IHTFBAQEUGXJGH-OAHLLOKOSA-N 0 0 425.530 -0.408 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)C2CCN(C(=O)OC)CC2)CC1 ZINC000807830041 1073931573 /nfs/dbraw/zinc/93/15/73/1073931573.db2.gz OROJHPOJMNLVPA-INIZCTEOSA-N 0 0 439.557 -0.018 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H](C)C2CCN(C(=O)OC)CC2)CC1 ZINC000807830043 1073931629 /nfs/dbraw/zinc/93/16/29/1073931629.db2.gz OROJHPOJMNLVPA-MRXNPFEDSA-N 0 0 439.557 -0.018 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000807830141 1073931775 /nfs/dbraw/zinc/93/17/75/1073931775.db2.gz LBWAQUZVFIPSIQ-DZGCQCFKSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000807830142 1073931642 /nfs/dbraw/zinc/93/16/42/1073931642.db2.gz LBWAQUZVFIPSIQ-UKRRQHHQSA-N 0 0 432.543 -0.100 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@H](C)C2CCN(C(=O)OC)CC2)C1 ZINC000807830266 1073931687 /nfs/dbraw/zinc/93/16/87/1073931687.db2.gz QMCYKMPZYBXWHZ-BFYDXBDKSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H](C)C2CCN(C(=O)OC)CC2)C1 ZINC000807830267 1073931708 /nfs/dbraw/zinc/93/17/08/1073931708.db2.gz QMCYKMPZYBXWHZ-HLLBOEOZSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H](C)C2CCN(C(=O)OC)CC2)C1 ZINC000807830268 1073931766 /nfs/dbraw/zinc/93/17/66/1073931766.db2.gz QMCYKMPZYBXWHZ-YQQAZPJKSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C(=O)N[C@@H](C)C2CCN(C(=O)OC)CC2)C1 ZINC000807830269 1073931724 /nfs/dbraw/zinc/93/17/24/1073931724.db2.gz QMCYKMPZYBXWHZ-ZMSDIMECSA-N 0 0 429.514 -0.158 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)CC1 ZINC000807831292 1073931596 /nfs/dbraw/zinc/93/15/96/1073931596.db2.gz SVVNDZPFLHJGDG-DZGCQCFKSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)CC1 ZINC000807831293 1073931667 /nfs/dbraw/zinc/93/16/67/1073931667.db2.gz SVVNDZPFLHJGDG-HIFRSBDPSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)CC1 ZINC000807831423 1073931815 /nfs/dbraw/zinc/93/18/15/1073931815.db2.gz SVVNDZPFLHJGDG-UKRRQHHQSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)CC1 ZINC000807831424 1073931654 /nfs/dbraw/zinc/93/16/54/1073931654.db2.gz SVVNDZPFLHJGDG-ZFWWWQNUSA-N 0 0 432.543 -0.100 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000807832022 1073932248 /nfs/dbraw/zinc/93/22/48/1073932248.db2.gz IAPFZSRVQGEKTC-GFCCVEGCSA-N 0 0 445.498 -0.807 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000807832023 1073932236 /nfs/dbraw/zinc/93/22/36/1073932236.db2.gz IAPFZSRVQGEKTC-LBPRGKRZSA-N 0 0 445.498 -0.807 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)CC1 ZINC000807832164 1073932307 /nfs/dbraw/zinc/93/23/07/1073932307.db2.gz ZXBNBXFVRBBPFB-DZGCQCFKSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)CC1 ZINC000807832165 1073932298 /nfs/dbraw/zinc/93/22/98/1073932298.db2.gz ZXBNBXFVRBBPFB-HIFRSBDPSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)CC1 ZINC000807832166 1073932311 /nfs/dbraw/zinc/93/23/11/1073932311.db2.gz ZXBNBXFVRBBPFB-UKRRQHHQSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)CC1 ZINC000807832167 1073932227 /nfs/dbraw/zinc/93/22/27/1073932227.db2.gz ZXBNBXFVRBBPFB-ZFWWWQNUSA-N 0 0 432.543 -0.100 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H](C)C2CCN(C(=O)OC)CC2)CC1 ZINC000807832501 1073932278 /nfs/dbraw/zinc/93/22/78/1073932278.db2.gz OSFDPFPUOYTWLA-AWEZNQCLSA-N 0 0 426.514 -0.029 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H](C)C2CCN(C(=O)OC)CC2)CC1 ZINC000807832502 1073932322 /nfs/dbraw/zinc/93/23/22/1073932322.db2.gz OSFDPFPUOYTWLA-CQSZACIVSA-N 0 0 426.514 -0.029 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)CC1 ZINC000807832575 1073932243 /nfs/dbraw/zinc/93/22/43/1073932243.db2.gz PYDYSIIWHPTJSF-AWEZNQCLSA-N 0 0 434.497 -0.588 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)CC1 ZINC000807832576 1073932290 /nfs/dbraw/zinc/93/22/90/1073932290.db2.gz PYDYSIIWHPTJSF-CQSZACIVSA-N 0 0 434.497 -0.588 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)CC1 ZINC000807833193 1073932275 /nfs/dbraw/zinc/93/22/75/1073932275.db2.gz WVWBZWNNYWVCMM-LLVKDONJSA-N 0 0 425.515 -0.248 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)CC1 ZINC000807833194 1073932252 /nfs/dbraw/zinc/93/22/52/1073932252.db2.gz WVWBZWNNYWVCMM-NSHDSACASA-N 0 0 425.515 -0.248 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)CC1 ZINC000807834974 1073932895 /nfs/dbraw/zinc/93/28/95/1073932895.db2.gz TXXDWAGKPHJXGY-KBPBESRZSA-N 0 0 432.543 -0.243 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)CC1 ZINC000807834975 1073932857 /nfs/dbraw/zinc/93/28/57/1073932857.db2.gz TXXDWAGKPHJXGY-KGLIPLIRSA-N 0 0 432.543 -0.243 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)CC1 ZINC000807834976 1073932847 /nfs/dbraw/zinc/93/28/47/1073932847.db2.gz TXXDWAGKPHJXGY-UONOGXRCSA-N 0 0 432.543 -0.243 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)CC1 ZINC000807834977 1073932941 /nfs/dbraw/zinc/93/29/41/1073932941.db2.gz TXXDWAGKPHJXGY-ZIAGYGMSSA-N 0 0 432.543 -0.243 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)CC1 ZINC000807834990 1073932872 /nfs/dbraw/zinc/93/28/72/1073932872.db2.gz UDJPRJUZABAGCP-CABCVRRESA-N 0 0 437.541 -0.219 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)CC1 ZINC000807834991 1073932891 /nfs/dbraw/zinc/93/28/91/1073932891.db2.gz UDJPRJUZABAGCP-GJZGRUSLSA-N 0 0 437.541 -0.219 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)CC1 ZINC000807834992 1073932911 /nfs/dbraw/zinc/93/29/11/1073932911.db2.gz UDJPRJUZABAGCP-HUUCEWRRSA-N 0 0 437.541 -0.219 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)CC1 ZINC000807834993 1073932916 /nfs/dbraw/zinc/93/29/16/1073932916.db2.gz UDJPRJUZABAGCP-LSDHHAIUSA-N 0 0 437.541 -0.219 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000807835002 1073932876 /nfs/dbraw/zinc/93/28/76/1073932876.db2.gz UOHOBMSDCOPJDQ-AWEZNQCLSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000807835003 1073932923 /nfs/dbraw/zinc/93/29/23/1073932923.db2.gz UOHOBMSDCOPJDQ-CQSZACIVSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)CC1 ZINC000807835370 1073932330 /nfs/dbraw/zinc/93/23/30/1073932330.db2.gz SNZDBYCUWIHZRH-INIZCTEOSA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)CC1 ZINC000807835371 1073932867 /nfs/dbraw/zinc/93/28/67/1073932867.db2.gz SNZDBYCUWIHZRH-MRXNPFEDSA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)CC1 ZINC000807835861 1073932861 /nfs/dbraw/zinc/93/28/61/1073932861.db2.gz BBRCSTNUXNJYNI-GDBMZVCRSA-N 0 0 444.554 -0.004 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)CC1 ZINC000807835863 1073932839 /nfs/dbraw/zinc/93/28/39/1073932839.db2.gz BBRCSTNUXNJYNI-GOEBONIOSA-N 0 0 444.554 -0.004 20 0 IBADRN COC(=O)N1CCC([C@H](C)NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)CC1 ZINC000807835864 1073932852 /nfs/dbraw/zinc/93/28/52/1073932852.db2.gz BBRCSTNUXNJYNI-HOCLYGCPSA-N 0 0 444.554 -0.004 20 0 IBADRN COC(=O)N1CCC([C@@H](C)NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)CC1 ZINC000807835865 1073932947 /nfs/dbraw/zinc/93/29/47/1073932947.db2.gz BBRCSTNUXNJYNI-ZBFHGGJFSA-N 0 0 444.554 -0.004 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)C1 ZINC000807840388 1073930453 /nfs/dbraw/zinc/93/04/53/1073930453.db2.gz BHJYKFCLEDQGQU-CABCVRRESA-N 0 0 425.530 -0.219 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)C1 ZINC000807840389 1073931155 /nfs/dbraw/zinc/93/11/55/1073931155.db2.gz BHJYKFCLEDQGQU-GJZGRUSLSA-N 0 0 425.530 -0.219 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)C1 ZINC000807840390 1073931165 /nfs/dbraw/zinc/93/11/65/1073931165.db2.gz BHJYKFCLEDQGQU-HUUCEWRRSA-N 0 0 425.530 -0.219 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)C1 ZINC000807840391 1073931146 /nfs/dbraw/zinc/93/11/46/1073931146.db2.gz BHJYKFCLEDQGQU-LSDHHAIUSA-N 0 0 425.530 -0.219 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000807840447 1073930463 /nfs/dbraw/zinc/93/04/63/1073930463.db2.gz CWGCJHNFCJVJFL-AWEZNQCLSA-N 0 0 447.517 -0.593 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000807840448 1073930343 /nfs/dbraw/zinc/93/03/43/1073930343.db2.gz CWGCJHNFCJVJFL-CQSZACIVSA-N 0 0 447.517 -0.593 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCc2cnnn2C)c1OC ZINC000807893841 1073932882 /nfs/dbraw/zinc/93/28/82/1073932882.db2.gz PMCFYYWFTOZCDQ-UHFFFAOYSA-N 0 0 425.467 -0.217 20 0 IBADRN Cn1nncc1CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000807894919 1073932901 /nfs/dbraw/zinc/93/29/01/1073932901.db2.gz JHGUFSAECKYCFT-UHFFFAOYSA-N 0 0 438.485 -0.116 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000807898711 1073932836 /nfs/dbraw/zinc/93/28/36/1073932836.db2.gz GRXKGYHXDKUPLE-CYBMUJFWSA-N 0 0 428.536 -0.196 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000807898712 1073932827 /nfs/dbraw/zinc/93/28/27/1073932827.db2.gz GRXKGYHXDKUPLE-ZDUSSCGKSA-N 0 0 428.536 -0.196 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000807902911 1073933271 /nfs/dbraw/zinc/93/32/71/1073933271.db2.gz NCQVGGZZAIYJEZ-CYBMUJFWSA-N 0 0 432.543 -0.052 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000807902914 1073933254 /nfs/dbraw/zinc/93/32/54/1073933254.db2.gz NCQVGGZZAIYJEZ-ZDUSSCGKSA-N 0 0 432.543 -0.052 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000807903388 1073933379 /nfs/dbraw/zinc/93/33/79/1073933379.db2.gz UGECDTPPTTYJFH-CYBMUJFWSA-N 0 0 428.536 -0.196 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000807903389 1073933430 /nfs/dbraw/zinc/93/34/30/1073933430.db2.gz UGECDTPPTTYJFH-ZDUSSCGKSA-N 0 0 428.536 -0.196 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000807905374 1073933368 /nfs/dbraw/zinc/93/33/68/1073933368.db2.gz KLCUFCXRNGERRL-QMMMGPOBSA-N 0 0 431.316 -0.124 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000807909714 1073933437 /nfs/dbraw/zinc/93/34/37/1073933437.db2.gz QNJXOZUCWQNDIC-AWEZNQCLSA-N 0 0 442.542 -0.316 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC000807909715 1073933302 /nfs/dbraw/zinc/93/33/02/1073933302.db2.gz QNJXOZUCWQNDIC-CQSZACIVSA-N 0 0 442.542 -0.316 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCn3cnnn3)CC2)o1 ZINC000807918529 1073933341 /nfs/dbraw/zinc/93/33/41/1073933341.db2.gz VJUPMATZYWURKK-UHFFFAOYSA-N 0 0 426.455 -0.340 20 0 IBADRN Cn1c(CCC(=O)NCCn2cnnn2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000807921245 1073933408 /nfs/dbraw/zinc/93/34/08/1073933408.db2.gz IPYAAPYYZGMPIU-UHFFFAOYSA-N 0 0 448.509 -0.670 20 0 IBADRN O=C(CC12CC3CC(C1)CC(CC(=O)NCCn1cnnn1)(C3)C2)NCCn1cnnn1 ZINC000807921327 1073933418 /nfs/dbraw/zinc/93/34/18/1073933418.db2.gz UKGIVUFRKLXDKY-UHFFFAOYSA-N 0 0 442.528 -0.041 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2(CO)COC(C)(C)OC2)cc1 ZINC000807928263 1073933450 /nfs/dbraw/zinc/93/34/50/1073933450.db2.gz COWGMUQQWZXMNI-UHFFFAOYSA-N 0 0 430.479 -0.228 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)NC3(C(N)=O)CN(C(=O)OC(C)(C)C)C3)c2C1 ZINC000807928672 1073933193 /nfs/dbraw/zinc/93/31/93/1073933193.db2.gz NKKGXRXWIGOSBS-CYBMUJFWSA-N 0 0 434.497 -0.214 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)NC3(C(N)=O)CN(C(=O)OC(C)(C)C)C3)c2C1 ZINC000807928673 1073933246 /nfs/dbraw/zinc/93/32/46/1073933246.db2.gz NKKGXRXWIGOSBS-ZDUSSCGKSA-N 0 0 434.497 -0.214 20 0 IBADRN CC1(C)OCC(CO)(NC(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CO1 ZINC000807929054 1073933331 /nfs/dbraw/zinc/93/33/31/1073933331.db2.gz MGIBYGISFHYRCK-GFCCVEGCSA-N 0 0 427.479 -0.683 20 0 IBADRN CC1(C)OCC(CO)(NC(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CO1 ZINC000807929062 1073933358 /nfs/dbraw/zinc/93/33/58/1073933358.db2.gz MGIBYGISFHYRCK-LBPRGKRZSA-N 0 0 427.479 -0.683 20 0 IBADRN Cc1ncc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)s1 ZINC000807933391 1073933391 /nfs/dbraw/zinc/93/33/91/1073933391.db2.gz APBGSLGONHOGGH-UHFFFAOYSA-N 0 0 427.508 -0.228 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)NCCc2cnc(C)s2)CC1 ZINC000807934547 1073933990 /nfs/dbraw/zinc/93/39/90/1073933990.db2.gz HKDAINXSNAAVAS-AWEZNQCLSA-N 0 0 425.555 -0.204 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)NCCc2cnc(C)s2)CC1 ZINC000807934550 1073934026 /nfs/dbraw/zinc/93/40/26/1073934026.db2.gz HKDAINXSNAAVAS-CQSZACIVSA-N 0 0 425.555 -0.204 20 0 IBADRN Cc1ncc(CCNC(=O)C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000807938089 1073933984 /nfs/dbraw/zinc/93/39/84/1073933984.db2.gz UOMZPNUYNWIRMZ-HNNXBMFYSA-N 0 0 430.552 -0.237 20 0 IBADRN Cc1ncc(CCNC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)s1 ZINC000807938090 1073933972 /nfs/dbraw/zinc/93/39/72/1073933972.db2.gz UOMZPNUYNWIRMZ-OAHLLOKOSA-N 0 0 430.552 -0.237 20 0 IBADRN Cc1ncc(CCNC(=O)C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)s1 ZINC000807941439 1073933957 /nfs/dbraw/zinc/93/39/57/1073933957.db2.gz OCCZODFRAPRGLV-UHFFFAOYSA-N 0 0 440.551 -0.315 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000807993832 1073933922 /nfs/dbraw/zinc/93/39/22/1073933922.db2.gz HJJIZMXIRRTDHN-GOSISDBHSA-N 0 0 440.497 -0.300 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)C1 ZINC000807993833 1073934047 /nfs/dbraw/zinc/93/40/47/1073934047.db2.gz HJJIZMXIRRTDHN-SFHVURJKSA-N 0 0 440.497 -0.300 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000808013693 1073935651 /nfs/dbraw/zinc/93/56/51/1073935651.db2.gz MEAWWSWBBYPWOJ-UHFFFAOYSA-N 0 0 429.506 -0.507 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C(=O)NCCc2ccnn2C)CC1 ZINC000808014239 1073935688 /nfs/dbraw/zinc/93/56/88/1073935688.db2.gz BWCWCRRCSWXFDH-UHFFFAOYSA-N 0 0 440.570 -0.268 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000808014609 1073935599 /nfs/dbraw/zinc/93/55/99/1073935599.db2.gz OABBNUJOMPRWOC-UHFFFAOYSA-N 0 0 445.505 -0.512 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000808015266 1073936307 /nfs/dbraw/zinc/93/63/07/1073936307.db2.gz JXBOJDQOQXBFQH-UHFFFAOYSA-N 0 0 430.490 -0.628 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC000808015712 1073936323 /nfs/dbraw/zinc/93/63/23/1073936323.db2.gz ONQFZVKLBNEDBM-UHFFFAOYSA-N 0 0 430.484 -0.208 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C(=O)NCCc2ccnn2C)CC1 ZINC000808015754 1073936363 /nfs/dbraw/zinc/93/63/63/1073936363.db2.gz PQUQSUMWOMAJJY-UHFFFAOYSA-N 0 0 428.559 -0.410 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000808015971 1073935720 /nfs/dbraw/zinc/93/57/20/1073935720.db2.gz TYTPZPZARZKTRW-UHFFFAOYSA-N 0 0 428.497 -0.946 20 0 IBADRN Cn1nccc1CCNC(=O)C(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000808018212 1073936396 /nfs/dbraw/zinc/93/63/96/1073936396.db2.gz IPJHXEIYJJHNGZ-UHFFFAOYSA-N 0 0 441.460 -0.110 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccnn3C)CC2)cc1 ZINC000808019166 1073936262 /nfs/dbraw/zinc/93/62/62/1073936262.db2.gz ULDSTIDZBCJSIK-UHFFFAOYSA-N 0 0 435.506 -0.380 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)NCCc3ccnn3C)CC2)cs1 ZINC000808020441 1073936378 /nfs/dbraw/zinc/93/63/78/1073936378.db2.gz WSYDFYJWMMFAPX-UHFFFAOYSA-N 0 0 426.524 -0.623 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)[C@@H]2CCCN(C(=O)OC)C2)CC1 ZINC000808025417 1073936223 /nfs/dbraw/zinc/93/62/23/1073936223.db2.gz KVTDJKFXCKYEDO-DLBZAZTESA-N 0 0 439.557 -0.018 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H](C)[C@@H]2CCCN(C(=O)OC)C2)CC1 ZINC000808025418 1073936296 /nfs/dbraw/zinc/93/62/96/1073936296.db2.gz KVTDJKFXCKYEDO-IAGOWNOFSA-N 0 0 439.557 -0.018 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)[C@H]2CCCN(C(=O)OC)C2)CC1 ZINC000808025419 1073936986 /nfs/dbraw/zinc/93/69/86/1073936986.db2.gz KVTDJKFXCKYEDO-IRXDYDNUSA-N 0 0 439.557 -0.018 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)C(=O)N[C@H](C)[C@H]2CCCN(C(=O)OC)C2)CC1 ZINC000808025420 1073936946 /nfs/dbraw/zinc/93/69/46/1073936946.db2.gz KVTDJKFXCKYEDO-SJORKVTESA-N 0 0 439.557 -0.018 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000808025553 1073936168 /nfs/dbraw/zinc/93/61/68/1073936168.db2.gz AFPXKCMOSGDLMJ-KQHSUYLTSA-N 0 0 437.497 -0.194 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000808025554 1073936233 /nfs/dbraw/zinc/93/62/33/1073936233.db2.gz AFPXKCMOSGDLMJ-MTJIALIYSA-N 0 0 437.497 -0.194 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000808025555 1073936391 /nfs/dbraw/zinc/93/63/91/1073936391.db2.gz AFPXKCMOSGDLMJ-QAJFTPDKSA-N 0 0 437.497 -0.194 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)C1 ZINC000808025556 1073936269 /nfs/dbraw/zinc/93/62/69/1073936269.db2.gz AFPXKCMOSGDLMJ-UVARLRKDSA-N 0 0 437.497 -0.194 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000808025566 1073936287 /nfs/dbraw/zinc/93/62/87/1073936287.db2.gz AJZOMYALOZUJOX-CABCVRRESA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000808025567 1073936276 /nfs/dbraw/zinc/93/62/76/1073936276.db2.gz AJZOMYALOZUJOX-GJZGRUSLSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000808025568 1073936214 /nfs/dbraw/zinc/93/62/14/1073936214.db2.gz AJZOMYALOZUJOX-HUUCEWRRSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(c3ncccc3C(N)=O)CC2)C1 ZINC000808025569 1073936208 /nfs/dbraw/zinc/93/62/08/1073936208.db2.gz AJZOMYALOZUJOX-LSDHHAIUSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)C1 ZINC000808027470 1073936935 /nfs/dbraw/zinc/93/69/35/1073936935.db2.gz KLKUUCUVFFDTIA-CVEARBPZSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)C1 ZINC000808027474 1073936940 /nfs/dbraw/zinc/93/69/40/1073936940.db2.gz KLKUUCUVFFDTIA-HOTGVXAUSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)C1 ZINC000808027476 1073936996 /nfs/dbraw/zinc/93/69/96/1073936996.db2.gz KLKUUCUVFFDTIA-HZPDHXFCSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC(C)C)CC2)C1 ZINC000808027478 1073936887 /nfs/dbraw/zinc/93/68/87/1073936887.db2.gz KLKUUCUVFFDTIA-JKSUJKDBSA-N 0 0 425.530 -0.362 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1 ZINC000808027887 1073936990 /nfs/dbraw/zinc/93/69/90/1073936990.db2.gz NSKJJPBBSMRXHL-CVEARBPZSA-N 0 0 425.530 -0.408 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1 ZINC000808027888 1073936949 /nfs/dbraw/zinc/93/69/49/1073936949.db2.gz NSKJJPBBSMRXHL-HOTGVXAUSA-N 0 0 425.530 -0.408 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1 ZINC000808027889 1073936924 /nfs/dbraw/zinc/93/69/24/1073936924.db2.gz NSKJJPBBSMRXHL-HZPDHXFCSA-N 0 0 425.530 -0.408 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1 ZINC000808027890 1073936978 /nfs/dbraw/zinc/93/69/78/1073936978.db2.gz NSKJJPBBSMRXHL-JKSUJKDBSA-N 0 0 425.530 -0.408 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H](C)[C@H]2CCCN(C(=O)OC)C2)C1 ZINC000808028054 1073936907 /nfs/dbraw/zinc/93/69/07/1073936907.db2.gz BQJWFCDMBQHNQF-LTIDMASMSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H](C)[C@H]2CCCN(C(=O)OC)C2)C1 ZINC000808028058 1073936919 /nfs/dbraw/zinc/93/69/19/1073936919.db2.gz BQJWFCDMBQHNQF-LUKYLMHMSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@@H](C)[C@@H]2CCCN(C(=O)OC)C2)C1 ZINC000808028061 1073936913 /nfs/dbraw/zinc/93/69/13/1073936913.db2.gz BQJWFCDMBQHNQF-MWDXBVQZSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C(=O)N[C@H](C)[C@@H]2CCCN(C(=O)OC)C2)C1 ZINC000808028064 1073936962 /nfs/dbraw/zinc/93/69/62/1073936962.db2.gz BQJWFCDMBQHNQF-YYIAUSFCSA-N 0 0 429.514 -0.158 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H](C)[C@@H]2CCCN(C(=O)OC)C2)CC1 ZINC000808030154 1073936968 /nfs/dbraw/zinc/93/69/68/1073936968.db2.gz WXWCAIGTKNPYHG-GDBMZVCRSA-N 0 0 426.514 -0.029 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H](C)[C@@H]2CCCN(C(=O)OC)C2)CC1 ZINC000808030155 1073937484 /nfs/dbraw/zinc/93/74/84/1073937484.db2.gz WXWCAIGTKNPYHG-GOEBONIOSA-N 0 0 426.514 -0.029 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@@H](C)[C@H]2CCCN(C(=O)OC)C2)CC1 ZINC000808030157 1073937489 /nfs/dbraw/zinc/93/74/89/1073937489.db2.gz WXWCAIGTKNPYHG-HOCLYGCPSA-N 0 0 426.514 -0.029 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)N[C@H](C)[C@H]2CCCN(C(=O)OC)C2)CC1 ZINC000808030160 1073937473 /nfs/dbraw/zinc/93/74/73/1073937473.db2.gz WXWCAIGTKNPYHG-ZBFHGGJFSA-N 0 0 426.514 -0.029 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000808031988 1073937442 /nfs/dbraw/zinc/93/74/42/1073937442.db2.gz PBPUTAWJNXLPMP-CHWSQXEVSA-N 0 0 445.498 -0.807 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000808031991 1073937526 /nfs/dbraw/zinc/93/75/26/1073937526.db2.gz PBPUTAWJNXLPMP-OLZOCXBDSA-N 0 0 445.498 -0.807 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000808031993 1073937477 /nfs/dbraw/zinc/93/74/77/1073937477.db2.gz PBPUTAWJNXLPMP-QWHCGFSZSA-N 0 0 445.498 -0.807 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000808031995 1073937494 /nfs/dbraw/zinc/93/74/94/1073937494.db2.gz PBPUTAWJNXLPMP-STQMWFEESA-N 0 0 445.498 -0.807 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000808032083 1073937413 /nfs/dbraw/zinc/93/74/13/1073937413.db2.gz QIUYDLQDVMXLIA-CABCVRRESA-N 0 0 434.497 -0.588 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000808032084 1073937453 /nfs/dbraw/zinc/93/74/53/1073937453.db2.gz QIUYDLQDVMXLIA-GJZGRUSLSA-N 0 0 434.497 -0.588 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000808032085 1073937377 /nfs/dbraw/zinc/93/73/77/1073937377.db2.gz QIUYDLQDVMXLIA-HUUCEWRRSA-N 0 0 434.497 -0.588 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000808032086 1073938070 /nfs/dbraw/zinc/93/80/70/1073938070.db2.gz QIUYDLQDVMXLIA-LSDHHAIUSA-N 0 0 434.497 -0.588 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1 ZINC000808032465 1073937456 /nfs/dbraw/zinc/93/74/56/1073937456.db2.gz TWEROXCDIPPVGR-NEPJUHHUSA-N 0 0 425.515 -0.248 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1 ZINC000808032467 1073937368 /nfs/dbraw/zinc/93/73/68/1073937368.db2.gz TWEROXCDIPPVGR-NWDGAFQWSA-N 0 0 425.515 -0.248 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1 ZINC000808032469 1073937512 /nfs/dbraw/zinc/93/75/12/1073937512.db2.gz TWEROXCDIPPVGR-RYUDHWBXSA-N 0 0 425.515 -0.248 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3nc(N)ns3)CC2)C1 ZINC000808032471 1073937312 /nfs/dbraw/zinc/93/73/12/1073937312.db2.gz TWEROXCDIPPVGR-VXGBXAGGSA-N 0 0 425.515 -0.248 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1 ZINC000808034036 1073938028 /nfs/dbraw/zinc/93/80/28/1073938028.db2.gz HNPFKKJUKUBIRP-ILXRZTDVSA-N 0 0 432.543 -0.243 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1 ZINC000808034037 1073938106 /nfs/dbraw/zinc/93/81/06/1073938106.db2.gz HNPFKKJUKUBIRP-KFWWJZLASA-N 0 0 432.543 -0.243 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)C1 ZINC000808034038 1073938089 /nfs/dbraw/zinc/93/80/89/1073938089.db2.gz HNPFKKJUKUBIRP-KKUMJFAQSA-N 0 0 432.543 -0.243 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)C1 ZINC000808034039 1073938074 /nfs/dbraw/zinc/93/80/74/1073938074.db2.gz HNPFKKJUKUBIRP-ZNMIVQPWSA-N 0 0 432.543 -0.243 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000808034296 1073938047 /nfs/dbraw/zinc/93/80/47/1073938047.db2.gz JDHZJHVDMMRQQC-HRCADAONSA-N 0 0 444.554 -0.004 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000808034302 1073938043 /nfs/dbraw/zinc/93/80/43/1073938043.db2.gz JDHZJHVDMMRQQC-JYJNAYRXSA-N 0 0 444.554 -0.004 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC000808034304 1073938002 /nfs/dbraw/zinc/93/80/02/1073938002.db2.gz JDHZJHVDMMRQQC-OWCLPIDISA-N 0 0 444.554 -0.004 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC000808034306 1073938051 /nfs/dbraw/zinc/93/80/51/1073938051.db2.gz JDHZJHVDMMRQQC-PMPSAXMXSA-N 0 0 444.554 -0.004 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000808034471 1073938097 /nfs/dbraw/zinc/93/80/97/1073938097.db2.gz UWWAMJOZMBTFKW-DLBZAZTESA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000808034472 1073938055 /nfs/dbraw/zinc/93/80/55/1073938055.db2.gz UWWAMJOZMBTFKW-IAGOWNOFSA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000808034473 1073938100 /nfs/dbraw/zinc/93/81/00/1073938100.db2.gz UWWAMJOZMBTFKW-IRXDYDNUSA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)C1 ZINC000808034474 1073938083 /nfs/dbraw/zinc/93/80/83/1073938083.db2.gz UWWAMJOZMBTFKW-SJORKVTESA-N 0 0 437.541 -0.264 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000808034778 1073938102 /nfs/dbraw/zinc/93/81/02/1073938102.db2.gz MEGWMWMWUNBJFU-GDBMZVCRSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000808034781 1073938018 /nfs/dbraw/zinc/93/80/18/1073938018.db2.gz MEGWMWMWUNBJFU-GOEBONIOSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000808034784 1073938085 /nfs/dbraw/zinc/93/80/85/1073938085.db2.gz MEGWMWMWUNBJFU-HOCLYGCPSA-N 0 0 446.508 -0.188 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000808034787 1073938037 /nfs/dbraw/zinc/93/80/37/1073938037.db2.gz MEGWMWMWUNBJFU-ZBFHGGJFSA-N 0 0 446.508 -0.188 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N(C)OC)c1 ZINC000808035277 1073934461 /nfs/dbraw/zinc/93/44/61/1073934461.db2.gz TXMDYZYPPGSYSY-HNNXBMFYSA-N 0 0 443.478 -0.611 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N(C)OC)c1 ZINC000808035278 1073934515 /nfs/dbraw/zinc/93/45/15/1073934515.db2.gz TXMDYZYPPGSYSY-OAHLLOKOSA-N 0 0 443.478 -0.611 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC000808035492 1073934067 /nfs/dbraw/zinc/93/40/67/1073934067.db2.gz AUCUUKFCFVDADT-CABCVRRESA-N 0 0 425.530 -0.232 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC000808035493 1073933908 /nfs/dbraw/zinc/93/39/08/1073933908.db2.gz AUCUUKFCFVDADT-GJZGRUSLSA-N 0 0 425.530 -0.232 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC000808035494 1073933950 /nfs/dbraw/zinc/93/39/50/1073933950.db2.gz AUCUUKFCFVDADT-HUUCEWRRSA-N 0 0 425.530 -0.232 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC000808035495 1073934063 /nfs/dbraw/zinc/93/40/63/1073934063.db2.gz AUCUUKFCFVDADT-LSDHHAIUSA-N 0 0 425.530 -0.232 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1 ZINC000808037403 1073934440 /nfs/dbraw/zinc/93/44/40/1073934440.db2.gz LRWVRIAUDYEOBW-DLBZAZTESA-N 0 0 439.557 -0.018 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1 ZINC000808037404 1073934535 /nfs/dbraw/zinc/93/45/35/1073934535.db2.gz LRWVRIAUDYEOBW-IAGOWNOFSA-N 0 0 439.557 -0.018 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1 ZINC000808037405 1073934496 /nfs/dbraw/zinc/93/44/96/1073934496.db2.gz LRWVRIAUDYEOBW-IRXDYDNUSA-N 0 0 439.557 -0.018 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)C1 ZINC000808037460 1073934567 /nfs/dbraw/zinc/93/45/67/1073934567.db2.gz WTXTZXXDALPFSF-JYJNAYRXSA-N 0 0 437.541 -0.219 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)C1 ZINC000808037461 1073934547 /nfs/dbraw/zinc/93/45/47/1073934547.db2.gz WTXTZXXDALPFSF-OAGGEKHMSA-N 0 0 437.541 -0.219 20 0 IBADRN COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)C1 ZINC000808037462 1073934504 /nfs/dbraw/zinc/93/45/04/1073934504.db2.gz WTXTZXXDALPFSF-PMPSAXMXSA-N 0 0 437.541 -0.219 20 0 IBADRN COC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)C1 ZINC000808037463 1073935076 /nfs/dbraw/zinc/93/50/76/1073935076.db2.gz WTXTZXXDALPFSF-XHSDSOJGSA-N 0 0 437.541 -0.219 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(CCC(=O)N(C)C)CC2)C1 ZINC000808037507 1073935102 /nfs/dbraw/zinc/93/51/02/1073935102.db2.gz LRWVRIAUDYEOBW-SJORKVTESA-N 0 0 439.557 -0.018 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC000808037550 1073934518 /nfs/dbraw/zinc/93/45/18/1073934518.db2.gz MDMGATLXXMZGGB-CVEARBPZSA-N 0 0 437.541 -0.218 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC000808037552 1073934432 /nfs/dbraw/zinc/93/44/32/1073934432.db2.gz MDMGATLXXMZGGB-HOTGVXAUSA-N 0 0 437.541 -0.218 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC000808037555 1073934453 /nfs/dbraw/zinc/93/44/53/1073934453.db2.gz MDMGATLXXMZGGB-HZPDHXFCSA-N 0 0 437.541 -0.218 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC000808037557 1073934419 /nfs/dbraw/zinc/93/44/19/1073934419.db2.gz MDMGATLXXMZGGB-JKSUJKDBSA-N 0 0 437.541 -0.218 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)[C@H]2CCCN(C(=O)OCC)C2)C1 ZINC000808038001 1073934511 /nfs/dbraw/zinc/93/45/11/1073934511.db2.gz OODMTECCAGIXMW-QLFBSQMISA-N 0 0 432.543 -0.100 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H](C)[C@@H]2CCCN(C(=O)OCC)C2)C1 ZINC000808038002 1073934480 /nfs/dbraw/zinc/93/44/80/1073934480.db2.gz OODMTECCAGIXMW-RBSFLKMASA-N 0 0 432.543 -0.100 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)[C@@H]2CCCN(C(=O)OCC)C2)C1 ZINC000808038003 1073934592 /nfs/dbraw/zinc/93/45/92/1073934592.db2.gz OODMTECCAGIXMW-RRFJBIMHSA-N 0 0 432.543 -0.100 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H](C)[C@H]2CCCN(C(=O)OCC)C2)C1 ZINC000808038004 1073934490 /nfs/dbraw/zinc/93/44/90/1073934490.db2.gz OODMTECCAGIXMW-SOUVJXGZSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1 ZINC000808038376 1073935162 /nfs/dbraw/zinc/93/51/62/1073935162.db2.gz QRIFVASHGTVVEV-CABCVRRESA-N 0 0 434.497 -0.032 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1 ZINC000808038377 1073935094 /nfs/dbraw/zinc/93/50/94/1073935094.db2.gz QRIFVASHGTVVEV-GJZGRUSLSA-N 0 0 434.497 -0.032 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1 ZINC000808038378 1073935130 /nfs/dbraw/zinc/93/51/30/1073935130.db2.gz QRIFVASHGTVVEV-HUUCEWRRSA-N 0 0 434.497 -0.032 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(c3cnn(C)c3)C(=O)C2)C1 ZINC000808038379 1073935201 /nfs/dbraw/zinc/93/52/01/1073935201.db2.gz QRIFVASHGTVVEV-LSDHHAIUSA-N 0 0 434.497 -0.032 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)[C@H]2CCCN(C(=O)OCC)C2)CC1 ZINC000808038987 1073935154 /nfs/dbraw/zinc/93/51/54/1073935154.db2.gz XCSQCENHIRWNDN-CVEARBPZSA-N 0 0 425.530 -0.360 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)[C@H]2CCCN(C(=O)OCC)C2)CC1 ZINC000808038988 1073935059 /nfs/dbraw/zinc/93/50/59/1073935059.db2.gz XCSQCENHIRWNDN-HOTGVXAUSA-N 0 0 425.530 -0.360 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H](C)[C@@H]2CCCN(C(=O)OCC)C2)CC1 ZINC000808038989 1073935147 /nfs/dbraw/zinc/93/51/47/1073935147.db2.gz XCSQCENHIRWNDN-HZPDHXFCSA-N 0 0 425.530 -0.360 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H](C)[C@@H]2CCCN(C(=O)OCC)C2)CC1 ZINC000808038990 1073935139 /nfs/dbraw/zinc/93/51/39/1073935139.db2.gz XCSQCENHIRWNDN-JKSUJKDBSA-N 0 0 425.530 -0.360 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)C1 ZINC000808039737 1073935179 /nfs/dbraw/zinc/93/51/79/1073935179.db2.gz HLUANGFCFPFNSR-ILXRZTDVSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC[C@H](S(=O)(=O)NC)C2)C1 ZINC000808039738 1073935067 /nfs/dbraw/zinc/93/50/67/1073935067.db2.gz HLUANGFCFPFNSR-KKUMJFAQSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)C1 ZINC000808039739 1073935208 /nfs/dbraw/zinc/93/52/08/1073935208.db2.gz HLUANGFCFPFNSR-QLFBSQMISA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC[C@@H](S(=O)(=O)NC)C2)C1 ZINC000808039740 1073935018 /nfs/dbraw/zinc/93/50/18/1073935018.db2.gz HLUANGFCFPFNSR-SOUVJXGZSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000808039848 1073935185 /nfs/dbraw/zinc/93/51/85/1073935185.db2.gz JQJIWKRRYOQGHH-CVEARBPZSA-N 0 0 448.524 -0.198 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000808039849 1073935042 /nfs/dbraw/zinc/93/50/42/1073935042.db2.gz JQJIWKRRYOQGHH-HOTGVXAUSA-N 0 0 448.524 -0.198 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000808039850 1073935171 /nfs/dbraw/zinc/93/51/71/1073935171.db2.gz JQJIWKRRYOQGHH-HZPDHXFCSA-N 0 0 448.524 -0.198 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)N2CCN(c3nccn(C)c3=O)CC2)C1 ZINC000808039851 1073935215 /nfs/dbraw/zinc/93/52/15/1073935215.db2.gz JQJIWKRRYOQGHH-JKSUJKDBSA-N 0 0 448.524 -0.198 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000808040149 1073935612 /nfs/dbraw/zinc/93/56/12/1073935612.db2.gz DKCOBAAFALHVBQ-QLFBSQMISA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000808040150 1073935714 /nfs/dbraw/zinc/93/57/14/1073935714.db2.gz DKCOBAAFALHVBQ-RBSFLKMASA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000808040151 1073935769 /nfs/dbraw/zinc/93/57/69/1073935769.db2.gz DKCOBAAFALHVBQ-RRFJBIMHSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000808040152 1073935082 /nfs/dbraw/zinc/93/50/82/1073935082.db2.gz DKCOBAAFALHVBQ-SOUVJXGZSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)C1 ZINC000808040230 1073935193 /nfs/dbraw/zinc/93/51/93/1073935193.db2.gz MTVOIKPJHWBZPF-ILXRZTDVSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC[C@H]2CS(=O)(=O)NC)C1 ZINC000808040231 1073935626 /nfs/dbraw/zinc/93/56/26/1073935626.db2.gz MTVOIKPJHWBZPF-KKUMJFAQSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)C1 ZINC000808040232 1073935707 /nfs/dbraw/zinc/93/57/07/1073935707.db2.gz MTVOIKPJHWBZPF-QLFBSQMISA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N2CCC[C@@H]2CS(=O)(=O)NC)C1 ZINC000808040233 1073935619 /nfs/dbraw/zinc/93/56/19/1073935619.db2.gz MTVOIKPJHWBZPF-SOUVJXGZSA-N 0 0 432.543 -0.100 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)C1 ZINC000808040414 1073935584 /nfs/dbraw/zinc/93/55/84/1073935584.db2.gz OLODFIWXUVTPPP-IKGGRYGDSA-N 0 0 428.530 -0.489 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1 ZINC000808040415 1073935634 /nfs/dbraw/zinc/93/56/34/1073935634.db2.gz OLODFIWXUVTPPP-IXDOHACOSA-N 0 0 428.530 -0.489 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N(C)C[C@H](O)CN2CCOCC2)C1 ZINC000808040416 1073935563 /nfs/dbraw/zinc/93/55/63/1073935563.db2.gz OLODFIWXUVTPPP-ULQDDVLXSA-N 0 0 428.530 -0.489 20 0 IBADRN CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)C(=O)N(C)C[C@@H](O)CN2CCOCC2)C1 ZINC000808040417 1073935741 /nfs/dbraw/zinc/93/57/41/1073935741.db2.gz OLODFIWXUVTPPP-YESZJQIVSA-N 0 0 428.530 -0.489 20 0 IBADRN CCCc1nnc(SCC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n1N ZINC000808055658 1073938077 /nfs/dbraw/zinc/93/80/77/1073938077.db2.gz UEIHSPAJSXLTEZ-UHFFFAOYSA-N 0 0 431.522 -0.075 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC3CC3)c[nH]2)CC1 ZINC000808074974 1073938465 /nfs/dbraw/zinc/93/84/65/1073938465.db2.gz GEEQEGTUGWFRJO-UHFFFAOYSA-N 0 0 447.539 -0.041 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC000808100948 1073938554 /nfs/dbraw/zinc/93/85/54/1073938554.db2.gz ODHLSECZXUUNBA-UHFFFAOYSA-N 0 0 435.524 -0.175 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC000808110313 1073938702 /nfs/dbraw/zinc/93/87/02/1073938702.db2.gz IEFBBOSYJPSITF-UHFFFAOYSA-N 0 0 435.347 -0.716 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cc(S(C)(=O)=O)ccc1Br ZINC000808110337 1073938598 /nfs/dbraw/zinc/93/85/98/1073938598.db2.gz JHPNZWBQRRHZPU-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC000808110364 1073938638 /nfs/dbraw/zinc/93/86/38/1073938638.db2.gz JZGHAXTWCJWTAY-UHFFFAOYSA-N 0 0 429.318 -0.778 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NCCNS(=O)(=O)N(C)C)ccc1Cl ZINC000808110471 1073938533 /nfs/dbraw/zinc/93/85/33/1073938533.db2.gz OWFINKHTRFXJCH-UHFFFAOYSA-N 0 0 428.920 -0.352 20 0 IBADRN O=C(Nc1ccc(-n2c(=O)[nH][nH]c2=O)cc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000808116092 1073938728 /nfs/dbraw/zinc/93/87/28/1073938728.db2.gz GQOITJORLSZSGG-GFCCVEGCSA-N 0 0 434.434 -0.218 20 0 IBADRN O=C(Nc1ccc(-n2c(=O)[nH][nH]c2=O)cc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000808116093 1073938647 /nfs/dbraw/zinc/93/86/47/1073938647.db2.gz GQOITJORLSZSGG-LBPRGKRZSA-N 0 0 434.434 -0.218 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC(C(=O)NCCOCCS(N)(=O)=O)=NO2)CC1 ZINC000808118372 1073939348 /nfs/dbraw/zinc/93/93/48/1073939348.db2.gz CTWFDSPHUBTZBX-UHFFFAOYSA-N 0 0 434.515 -0.046 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1cccc(NN2C(=O)[C@H]3CC=CC[C@@H]3C2=O)c1 ZINC000808118383 1073939235 /nfs/dbraw/zinc/93/92/35/1073939235.db2.gz DEUJLGWXMDVUEO-HOTGVXAUSA-N 0 0 436.490 0.000 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1cccc(NN2C(=O)[C@@H]3CC=CC[C@H]3C2=O)c1 ZINC000808118384 1073939265 /nfs/dbraw/zinc/93/92/65/1073939265.db2.gz DEUJLGWXMDVUEO-HZPDHXFCSA-N 0 0 436.490 0.000 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1cccc(NN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)c1 ZINC000808118385 1073939295 /nfs/dbraw/zinc/93/92/95/1073939295.db2.gz DEUJLGWXMDVUEO-IYBDPMFKSA-N 0 0 436.490 0.000 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)c1cccn2c(I)cnc12 ZINC000808118476 1073939242 /nfs/dbraw/zinc/93/92/42/1073939242.db2.gz DZXMSHYEYABXTF-UHFFFAOYSA-N 0 0 438.247 -0.026 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NCCOCCS(N)(=O)=O)ccc1Cl ZINC000808118720 1073938510 /nfs/dbraw/zinc/93/85/10/1073938510.db2.gz ODHYRKRATWCJTP-UHFFFAOYSA-N 0 0 429.904 -0.443 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)N[C@H]1CCCN(Cc2nccn2C)C1=O ZINC000808133479 1073939312 /nfs/dbraw/zinc/93/93/12/1073939312.db2.gz GICJSVNLTFVBFE-AWEZNQCLSA-N 0 0 427.527 -0.691 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)N[C@@H]1CCCN(Cc2nccn2C)C1=O ZINC000808133482 1073939279 /nfs/dbraw/zinc/93/92/79/1073939279.db2.gz GICJSVNLTFVBFE-CQSZACIVSA-N 0 0 427.527 -0.691 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000808135085 1073939305 /nfs/dbraw/zinc/93/93/05/1073939305.db2.gz FMZBHBBILYWWPD-HNNXBMFYSA-N 0 0 434.497 -0.282 20 0 IBADRN CCOC(=O)N1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000808135086 1073939343 /nfs/dbraw/zinc/93/93/43/1073939343.db2.gz FMZBHBBILYWWPD-OAHLLOKOSA-N 0 0 434.497 -0.282 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000808135314 1073939286 /nfs/dbraw/zinc/93/92/86/1073939286.db2.gz VBWQUKYOWIINKV-CABCVRRESA-N 0 0 434.497 -0.236 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000808135317 1073939317 /nfs/dbraw/zinc/93/93/17/1073939317.db2.gz VBWQUKYOWIINKV-GJZGRUSLSA-N 0 0 434.497 -0.236 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000808135319 1073939338 /nfs/dbraw/zinc/93/93/38/1073939338.db2.gz VBWQUKYOWIINKV-HUUCEWRRSA-N 0 0 434.497 -0.236 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)C1 ZINC000808135321 1073939300 /nfs/dbraw/zinc/93/93/00/1073939300.db2.gz VBWQUKYOWIINKV-LSDHHAIUSA-N 0 0 434.497 -0.236 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(Cn3nnn(C4CC4)c3=O)CC2)cc1 ZINC000808135874 1073939271 /nfs/dbraw/zinc/93/92/71/1073939271.db2.gz VQFAOUQFKYSXTN-UHFFFAOYSA-N 0 0 436.494 -0.085 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(Cn3nnn(C4CC4)c3=O)CC2)c1 ZINC000808136029 1073939246 /nfs/dbraw/zinc/93/92/46/1073939246.db2.gz AUTYLNZJFZRLAV-UHFFFAOYSA-N 0 0 428.496 -0.413 20 0 IBADRN CCOC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(C(=O)C(=O)NC(C)C)CC2)C1=O ZINC000808136739 1073939254 /nfs/dbraw/zinc/93/92/54/1073939254.db2.gz PBGQRGKCWXNPMO-IBGZPJMESA-N 0 0 425.486 -0.734 20 0 IBADRN CCOC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(C(=O)C(=O)NC(C)C)CC2)C1=O ZINC000808136742 1073939260 /nfs/dbraw/zinc/93/92/60/1073939260.db2.gz PBGQRGKCWXNPMO-LJQANCHMSA-N 0 0 425.486 -0.734 20 0 IBADRN Cn1cc([C@@H]2N(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)C(=O)C2(C)C)cn1 ZINC000808137477 1073939839 /nfs/dbraw/zinc/93/98/39/1073939839.db2.gz VVHLLWWHCYSRRN-INIZCTEOSA-N 0 0 426.543 -0.518 20 0 IBADRN Cn1cc([C@H]2N(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)C(=O)C2(C)C)cn1 ZINC000808137478 1073939726 /nfs/dbraw/zinc/93/97/26/1073939726.db2.gz VVHLLWWHCYSRRN-MRXNPFEDSA-N 0 0 426.543 -0.518 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000808137887 1073939227 /nfs/dbraw/zinc/93/92/27/1073939227.db2.gz FSHFTDYMRMPORC-INIZCTEOSA-N 0 0 448.524 -0.036 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3nccn3C)C2=O)CC1 ZINC000808137888 1073939924 /nfs/dbraw/zinc/93/99/24/1073939924.db2.gz FSHFTDYMRMPORC-MRXNPFEDSA-N 0 0 448.524 -0.036 20 0 IBADRN CCOC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)N(CC)CC)CC2)C1=O ZINC000808138939 1073939894 /nfs/dbraw/zinc/93/98/94/1073939894.db2.gz YMVIFQGALITIIJ-GOSISDBHSA-N 0 0 447.558 -0.198 20 0 IBADRN CCOC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)N(CC)CC)CC2)C1=O ZINC000808138940 1073939826 /nfs/dbraw/zinc/93/98/26/1073939826.db2.gz YMVIFQGALITIIJ-SFHVURJKSA-N 0 0 447.558 -0.198 20 0 IBADRN Cn1cc([C@@H]2N(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)C(=O)C2(C)C)cn1 ZINC000808139560 1073939884 /nfs/dbraw/zinc/93/98/84/1073939884.db2.gz IHRJCYGPSWFGIP-KRWDZBQOSA-N 0 0 439.586 -0.603 20 0 IBADRN Cn1cc([C@H]2N(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)C(=O)C2(C)C)cn1 ZINC000808139561 1073939899 /nfs/dbraw/zinc/93/98/99/1073939899.db2.gz IHRJCYGPSWFGIP-QGZVFWFLSA-N 0 0 439.586 -0.603 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(Cn2nnn(C3CC3)c2=O)CC1 ZINC000808140332 1073939909 /nfs/dbraw/zinc/93/99/09/1073939909.db2.gz GLOOUBONRFJZSH-UHFFFAOYSA-N 0 0 440.482 -0.265 20 0 IBADRN CCOC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000808140867 1073939733 /nfs/dbraw/zinc/93/97/33/1073939733.db2.gz PYESXBUYIRXFLE-FQEVSTJZSA-N 0 0 432.481 -0.086 20 0 IBADRN CCOC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(c3ccc(C(N)=O)cn3)CC2)C1=O ZINC000808140868 1073939767 /nfs/dbraw/zinc/93/97/67/1073939767.db2.gz PYESXBUYIRXFLE-HXUWFJFHSA-N 0 0 432.481 -0.086 20 0 IBADRN CCOC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000808141180 1073939818 /nfs/dbraw/zinc/93/98/18/1073939818.db2.gz LXZXYYYJBOZKMJ-FQEVSTJZSA-N 0 0 437.497 -0.636 20 0 IBADRN CCOC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000808141184 1073939795 /nfs/dbraw/zinc/93/97/95/1073939795.db2.gz LXZXYYYJBOZKMJ-HXUWFJFHSA-N 0 0 437.497 -0.636 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)CCOC(C)C)C2=O)cc1 ZINC000808143884 1073939918 /nfs/dbraw/zinc/93/99/18/1073939918.db2.gz ATMWNALUJPXUAZ-GOSISDBHSA-N 0 0 442.494 -0.162 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)CCOC(C)C)C2=O)cc1 ZINC000808143886 1073939713 /nfs/dbraw/zinc/93/97/13/1073939713.db2.gz ATMWNALUJPXUAZ-SFHVURJKSA-N 0 0 442.494 -0.162 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)C3CCN(S(N)(=O)=O)CC3)C2=O)cc1 ZINC000808147409 1073939774 /nfs/dbraw/zinc/93/97/74/1073939774.db2.gz JPIVIOSUWYBURP-KRWDZBQOSA-N 0 0 425.467 -0.591 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)C3CCN(S(N)(=O)=O)CC3)C2=O)cc1 ZINC000808147412 1073939872 /nfs/dbraw/zinc/93/98/72/1073939872.db2.gz JPIVIOSUWYBURP-QGZVFWFLSA-N 0 0 425.467 -0.591 20 0 IBADRN O=c1n(CN2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)nnn1C1CC1 ZINC000808149508 1073939804 /nfs/dbraw/zinc/93/98/04/1073939804.db2.gz OZPDFKRFAFCSPH-UHFFFAOYSA-N 0 0 436.494 -0.100 20 0 IBADRN O=c1n(CN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nnn1C1CC1 ZINC000808157035 1073939696 /nfs/dbraw/zinc/93/96/96/1073939696.db2.gz RBSKEXCODBLUGS-UHFFFAOYSA-N 0 0 435.466 -0.930 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3CCCN(Cc4ccccn4)C3=O)C[C@H]1COCC2 ZINC000808159596 1073940413 /nfs/dbraw/zinc/94/04/13/1073940413.db2.gz JAIAGLDQGHMECZ-GIMINZRKSA-N 0 0 444.488 -0.273 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3CCCN(Cc4ccccn4)C3=O)C[C@H]1COCC2 ZINC000808159699 1073940525 /nfs/dbraw/zinc/94/05/25/1073940525.db2.gz JAIAGLDQGHMECZ-LBUQITIBSA-N 0 0 444.488 -0.273 20 0 IBADRN CCN=c1nc(NCC)nc2[n-]nc(SCC(=O)N3CC[C@@H]([N@@H+]4CC[C@@H](O)C4)C3)n21 ZINC000808159983 1073939845 /nfs/dbraw/zinc/93/98/45/1073939845.db2.gz RYKBXBVTBPQXSV-CHWSQXEVSA-N 0 0 435.558 -0.436 20 0 IBADRN CCN=c1nc(NCC)nc2[n-]nc(SCC(=O)N3CC[C@@H]([N@@H+]4CC[C@H](O)C4)C3)n21 ZINC000808159984 1073939744 /nfs/dbraw/zinc/93/97/44/1073939744.db2.gz RYKBXBVTBPQXSV-OLZOCXBDSA-N 0 0 435.558 -0.436 20 0 IBADRN CCN=c1nc(NCC)nc2[n-]nc(SCC(=O)N3CC[C@H]([N@@H+]4CC[C@@H](O)C4)C3)n21 ZINC000808159985 1073940602 /nfs/dbraw/zinc/94/06/02/1073940602.db2.gz RYKBXBVTBPQXSV-QWHCGFSZSA-N 0 0 435.558 -0.436 20 0 IBADRN CCN=c1nc(NCC)nc2[n-]nc(SCC(=O)N3CC[C@H]([N@@H+]4CC[C@H](O)C4)C3)n21 ZINC000808159986 1073940493 /nfs/dbraw/zinc/94/04/93/1073940493.db2.gz RYKBXBVTBPQXSV-STQMWFEESA-N 0 0 435.558 -0.436 20 0 IBADRN Cc1cc(C)c(N2CC[C@H](NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)c(C)c1 ZINC000808202678 1073941626 /nfs/dbraw/zinc/94/16/26/1073941626.db2.gz OJEUYZUVNXHQFM-INIZCTEOSA-N 0 0 436.534 -0.063 20 0 IBADRN Cc1cc(C)c(N2CC[C@@H](NC(=O)C(=O)N3CCN(S(C)(=O)=O)CC3)C2=O)c(C)c1 ZINC000808202679 1073941641 /nfs/dbraw/zinc/94/16/41/1073941641.db2.gz OJEUYZUVNXHQFM-MRXNPFEDSA-N 0 0 436.534 -0.063 20 0 IBADRN Cc1cc(C)c(N2CC[C@H](NC(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2=O)c(C)c1 ZINC000808206008 1073941696 /nfs/dbraw/zinc/94/16/96/1073941696.db2.gz AXRMAXDQSZHJMP-INIZCTEOSA-N 0 0 436.534 -0.159 20 0 IBADRN Cc1cc(C)c(N2CC[C@@H](NC(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2=O)c(C)c1 ZINC000808206013 1073941748 /nfs/dbraw/zinc/94/17/48/1073941748.db2.gz AXRMAXDQSZHJMP-MRXNPFEDSA-N 0 0 436.534 -0.159 20 0 IBADRN Cc1cc(C)c(N2CC[C@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)c(C)c1 ZINC000808210466 1073941661 /nfs/dbraw/zinc/94/16/61/1073941661.db2.gz WEFZFWOAXRMXIM-MOPGFXCFSA-N 0 0 446.548 -0.015 20 0 IBADRN Cc1cc(C)c(N2CC[C@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)c(C)c1 ZINC000808210469 1073941733 /nfs/dbraw/zinc/94/17/33/1073941733.db2.gz WEFZFWOAXRMXIM-OALUTQOASA-N 0 0 446.548 -0.015 20 0 IBADRN Cc1cc(C)c(N2CC[C@@H](NC(=O)C(=O)N(C)C[C@H](O)CN3CCOCC3)C2=O)c(C)c1 ZINC000808210549 1073941515 /nfs/dbraw/zinc/94/15/15/1073941515.db2.gz WEFZFWOAXRMXIM-RBUKOAKNSA-N 0 0 446.548 -0.015 20 0 IBADRN Cc1cc(C)c(N2CC[C@@H](NC(=O)C(=O)N(C)C[C@@H](O)CN3CCOCC3)C2=O)c(C)c1 ZINC000808210550 1073941676 /nfs/dbraw/zinc/94/16/76/1073941676.db2.gz WEFZFWOAXRMXIM-RTBURBONSA-N 0 0 446.548 -0.015 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000808215725 1073940538 /nfs/dbraw/zinc/94/05/38/1073940538.db2.gz CSEYQXWGFZJFSR-KRWDZBQOSA-N 0 0 429.521 -0.298 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000808215726 1073940553 /nfs/dbraw/zinc/94/05/53/1073940553.db2.gz CSEYQXWGFZJFSR-QGZVFWFLSA-N 0 0 429.521 -0.298 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000808216037 1073940592 /nfs/dbraw/zinc/94/05/92/1073940592.db2.gz FCYKUKNGCHLVNW-DLBZAZTESA-N 0 0 436.534 -0.166 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000808216040 1073940562 /nfs/dbraw/zinc/94/05/62/1073940562.db2.gz FCYKUKNGCHLVNW-IAGOWNOFSA-N 0 0 436.534 -0.166 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000808216042 1073940516 /nfs/dbraw/zinc/94/05/16/1073940516.db2.gz FCYKUKNGCHLVNW-IRXDYDNUSA-N 0 0 436.534 -0.166 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000808216043 1073940484 /nfs/dbraw/zinc/94/04/84/1073940484.db2.gz FCYKUKNGCHLVNW-SJORKVTESA-N 0 0 436.534 -0.166 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000808216158 1073940425 /nfs/dbraw/zinc/94/04/25/1073940425.db2.gz FUARAOQDRJAQSC-IBGZPJMESA-N 0 0 443.548 -0.037 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000808216164 1073940572 /nfs/dbraw/zinc/94/05/72/1073940572.db2.gz FUARAOQDRJAQSC-LJQANCHMSA-N 0 0 443.548 -0.037 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1)NC1CC1 ZINC000808216442 1073940476 /nfs/dbraw/zinc/94/04/76/1073940476.db2.gz IDBNNWPBOALJPK-IBGZPJMESA-N 0 0 441.532 -0.283 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1)NC1CC1 ZINC000808216444 1073940399 /nfs/dbraw/zinc/94/03/99/1073940399.db2.gz IDBNNWPBOALJPK-LJQANCHMSA-N 0 0 441.532 -0.283 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000808218671 1073940580 /nfs/dbraw/zinc/94/05/80/1073940580.db2.gz YDHULMWTGUTMOL-GOSISDBHSA-N 0 0 429.521 -0.426 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC000808218673 1073940913 /nfs/dbraw/zinc/94/09/13/1073940913.db2.gz YDHULMWTGUTMOL-SFHVURJKSA-N 0 0 429.521 -0.426 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@@H]3CCCN(Cc4ccccc4)C3=O)CC2=O)cn1 ZINC000808218973 1073940445 /nfs/dbraw/zinc/94/04/45/1073940445.db2.gz MDNNXEAQWMQRTK-GOSISDBHSA-N 0 0 438.488 -0.097 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)N[C@H]3CCCN(Cc4ccccc4)C3=O)CC2=O)cn1 ZINC000808218974 1073940637 /nfs/dbraw/zinc/94/06/37/1073940637.db2.gz MDNNXEAQWMQRTK-SFHVURJKSA-N 0 0 438.488 -0.097 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1=O ZINC000808220323 1073940961 /nfs/dbraw/zinc/94/09/61/1073940961.db2.gz CTUHFWMTEOJWKF-MOPGFXCFSA-N 0 0 432.521 -0.555 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1=O ZINC000808220324 1073940895 /nfs/dbraw/zinc/94/08/95/1073940895.db2.gz CTUHFWMTEOJWKF-OALUTQOASA-N 0 0 432.521 -0.555 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1=O ZINC000808220325 1073941026 /nfs/dbraw/zinc/94/10/26/1073941026.db2.gz CTUHFWMTEOJWKF-RBUKOAKNSA-N 0 0 432.521 -0.555 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1=O ZINC000808220326 1073940866 /nfs/dbraw/zinc/94/08/66/1073940866.db2.gz CTUHFWMTEOJWKF-RTBURBONSA-N 0 0 432.521 -0.555 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000808221612 1073940852 /nfs/dbraw/zinc/94/08/52/1073940852.db2.gz SCHAWZWLYSYMCG-DLBZAZTESA-N 0 0 436.534 -0.166 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000808221614 1073940830 /nfs/dbraw/zinc/94/08/30/1073940830.db2.gz SCHAWZWLYSYMCG-IAGOWNOFSA-N 0 0 436.534 -0.166 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000808221617 1073940924 /nfs/dbraw/zinc/94/09/24/1073940924.db2.gz SCHAWZWLYSYMCG-IRXDYDNUSA-N 0 0 436.534 -0.166 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000808221620 1073940994 /nfs/dbraw/zinc/94/09/94/1073940994.db2.gz SCHAWZWLYSYMCG-SJORKVTESA-N 0 0 436.534 -0.166 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1=O ZINC000808222104 1073940982 /nfs/dbraw/zinc/94/09/82/1073940982.db2.gz JVEDINXWPLTBRJ-DLBZAZTESA-N 0 0 436.534 -0.166 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1=O ZINC000808222106 1073940844 /nfs/dbraw/zinc/94/08/44/1073940844.db2.gz JVEDINXWPLTBRJ-IAGOWNOFSA-N 0 0 436.534 -0.166 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1=O ZINC000808222108 1073941018 /nfs/dbraw/zinc/94/10/18/1073941018.db2.gz JVEDINXWPLTBRJ-IRXDYDNUSA-N 0 0 436.534 -0.166 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1=O ZINC000808222110 1073941003 /nfs/dbraw/zinc/94/10/03/1073941003.db2.gz JVEDINXWPLTBRJ-SJORKVTESA-N 0 0 436.534 -0.166 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1=O ZINC000808224132 1073940821 /nfs/dbraw/zinc/94/08/21/1073940821.db2.gz QAPAAGJCYQZPSP-IAGOWNOFSA-N 0 0 436.534 -0.166 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1=O ZINC000808224133 1073940884 /nfs/dbraw/zinc/94/08/84/1073940884.db2.gz QAPAAGJCYQZPSP-SJORKVTESA-N 0 0 436.534 -0.166 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3CCCN(CC(F)(F)F)C3=O)C[C@H]1COCC2 ZINC000808231055 1073940856 /nfs/dbraw/zinc/94/08/56/1073940856.db2.gz WUDFGCNWDSYYNJ-NVGCLXPQSA-N 0 0 435.399 -0.306 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3CCCN(CC(F)(F)F)C3=O)C[C@H]1COCC2 ZINC000808231056 1073941564 /nfs/dbraw/zinc/94/15/64/1073941564.db2.gz WUDFGCNWDSYYNJ-XWCIJXRUSA-N 0 0 435.399 -0.306 20 0 IBADRN CNC(=O)c1cc(O[C@@H]2CCCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)C2)ccn1 ZINC000808234591 1073941588 /nfs/dbraw/zinc/94/15/88/1073941588.db2.gz JKKVSPRNDXTOQJ-FYCOFBDGSA-N 0 0 427.461 -0.078 20 0 IBADRN CNC(=O)c1cc(O[C@H]2CCCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)C2)ccn1 ZINC000808234592 1073941650 /nfs/dbraw/zinc/94/16/50/1073941650.db2.gz JKKVSPRNDXTOQJ-MOEXGYKKSA-N 0 0 427.461 -0.078 20 0 IBADRN CNC(=O)c1cc(O[C@@H]2CCCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)C2)ccn1 ZINC000808234593 1073941717 /nfs/dbraw/zinc/94/17/17/1073941717.db2.gz JKKVSPRNDXTOQJ-MWWKQMNYSA-N 0 0 427.461 -0.078 20 0 IBADRN CNC(=O)c1cc(O[C@H]2CCCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)C2)ccn1 ZINC000808234594 1073941549 /nfs/dbraw/zinc/94/15/49/1073941549.db2.gz JKKVSPRNDXTOQJ-WLMCBFPDSA-N 0 0 427.461 -0.078 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000808235939 1073942302 /nfs/dbraw/zinc/94/23/02/1073942302.db2.gz LXIXMKINOYWDJC-UHFFFAOYSA-N 0 0 447.583 -0.344 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)C(=O)N(C)CCS(C)(=O)=O)C2)cc(OC)c1 ZINC000808245185 1073942394 /nfs/dbraw/zinc/94/23/94/1073942394.db2.gz IQLMBPWLVHLAHR-HNNXBMFYSA-N 0 0 427.523 -0.103 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)C(=O)N(C)CCS(C)(=O)=O)C2)cc(OC)c1 ZINC000808245188 1073942180 /nfs/dbraw/zinc/94/21/80/1073942180.db2.gz IQLMBPWLVHLAHR-OAHLLOKOSA-N 0 0 427.523 -0.103 20 0 IBADRN COC(=O)c1conc1C(C)(C)NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000808267303 1073942321 /nfs/dbraw/zinc/94/23/21/1073942321.db2.gz VTTAMPJYBOPGIT-JTQLQIEISA-N 0 0 426.451 -0.022 20 0 IBADRN COC(=O)c1conc1C(C)(C)NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000808267304 1073942385 /nfs/dbraw/zinc/94/23/85/1073942385.db2.gz VTTAMPJYBOPGIT-SNVBAGLBSA-N 0 0 426.451 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CN3C(=O)CN(C)C3=O)CC2)cc1 ZINC000808269099 1073942380 /nfs/dbraw/zinc/94/23/80/1073942380.db2.gz AELSAEHJRVKRBD-UHFFFAOYSA-N 0 0 442.519 -0.112 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CN(C)S(C)(=O)=O)CC2)cc1 ZINC000808269258 1073942345 /nfs/dbraw/zinc/94/23/45/1073942345.db2.gz DBRGUWLVRVXRBZ-UHFFFAOYSA-N 0 0 437.565 -0.115 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCS(=O)(=NS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000808269744 1073942940 /nfs/dbraw/zinc/94/29/40/1073942940.db2.gz JNMXALWMFUGDMX-UHFFFAOYSA-N 0 0 444.535 -0.754 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)Cn3ccc(=O)[nH]c3=O)CC2)cc1 ZINC000808269963 1073943028 /nfs/dbraw/zinc/94/30/28/1073943028.db2.gz NRYNXWTYSKJRQR-UHFFFAOYSA-N 0 0 440.503 -0.044 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)C[C@@H]3NC(=O)NC3=O)CC2)cc1 ZINC000808270496 1073943612 /nfs/dbraw/zinc/94/36/12/1073943612.db2.gz XMSMRTVYNPAIDU-ZDUSSCGKSA-N 0 0 428.492 -0.408 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)Cn3ncn(C)c3=O)CC2)cc1 ZINC000808270605 1073943244 /nfs/dbraw/zinc/94/32/44/1073943244.db2.gz ISVFDZSDEHDRGR-UHFFFAOYSA-N 0 0 427.508 -0.411 20 0 IBADRN COCC[N@H+](C)CCN1C[C@@H](NC(=O)Cn2c(=O)c3ccccc3[n-]c2=S)CC1=O ZINC000808270664 1073943134 /nfs/dbraw/zinc/94/31/34/1073943134.db2.gz KAHDPRIDRQOYJW-AWEZNQCLSA-N 0 0 433.534 -0.020 20 0 IBADRN COCC[N@H+](C)CCN1C[C@H](NC(=O)Cn2c(=O)c3ccccc3[n-]c2=S)CC1=O ZINC000808270666 1073942844 /nfs/dbraw/zinc/94/28/44/1073942844.db2.gz KAHDPRIDRQOYJW-CQSZACIVSA-N 0 0 433.534 -0.020 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCS(=O)(=NS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000808271176 1073942720 /nfs/dbraw/zinc/94/27/20/1073942720.db2.gz PFUFOEKVIIXHNI-UHFFFAOYSA-N 0 0 437.565 -0.067 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCCO[C@H]2CCOC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000808275429 1073943654 /nfs/dbraw/zinc/94/36/54/1073943654.db2.gz WIPKIYVTTMETOI-CVEARBPZSA-N 0 0 428.530 -0.035 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCCO[C@H]2CCOC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000808275430 1073943634 /nfs/dbraw/zinc/94/36/34/1073943634.db2.gz WIPKIYVTTMETOI-HOTGVXAUSA-N 0 0 428.530 -0.035 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCCO[C@@H]2CCOC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000808275431 1073943637 /nfs/dbraw/zinc/94/36/37/1073943637.db2.gz WIPKIYVTTMETOI-HZPDHXFCSA-N 0 0 428.530 -0.035 20 0 IBADRN CN1CCN(C(=O)C(=O)NCCCO[C@@H]2CCOC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000808275432 1073943585 /nfs/dbraw/zinc/94/35/85/1073943585.db2.gz WIPKIYVTTMETOI-JKSUJKDBSA-N 0 0 428.530 -0.035 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000808276894 1073943623 /nfs/dbraw/zinc/94/36/23/1073943623.db2.gz DAZGPUWNCXSLSZ-BZUAXINKSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000808276895 1073943615 /nfs/dbraw/zinc/94/36/15/1073943615.db2.gz DAZGPUWNCXSLSZ-OAGGEKHMSA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000808276897 1073943658 /nfs/dbraw/zinc/94/36/58/1073943658.db2.gz DAZGPUWNCXSLSZ-OWCLPIDISA-N 0 0 428.530 -0.036 20 0 IBADRN C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000808276898 1073943663 /nfs/dbraw/zinc/94/36/63/1073943663.db2.gz DAZGPUWNCXSLSZ-PMPSAXMXSA-N 0 0 428.530 -0.036 20 0 IBADRN Cc1ccc(CS(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000808283377 1073942377 /nfs/dbraw/zinc/94/23/77/1073942377.db2.gz IOTOZOXHFQNSBK-INIZCTEOSA-N 0 0 436.577 -0.041 20 0 IBADRN Cc1ccc(CS(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000808283378 1073942197 /nfs/dbraw/zinc/94/21/97/1073942197.db2.gz IOTOZOXHFQNSBK-MRXNPFEDSA-N 0 0 436.577 -0.041 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC000808287547 1073942369 /nfs/dbraw/zinc/94/23/69/1073942369.db2.gz QUJGGIQSJRRQOL-UHFFFAOYSA-N 0 0 440.565 -0.189 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCS(C)(=O)=O ZINC000808290251 1073942331 /nfs/dbraw/zinc/94/23/31/1073942331.db2.gz KZJXPNRNAXALMD-UHFFFAOYSA-N 0 0 433.552 -0.204 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)C[C@H]2C(F)F)c(=O)n(C)c1=O ZINC000808318412 1073943603 /nfs/dbraw/zinc/94/36/03/1073943603.db2.gz ITANVKGTENYAEE-JTQLQIEISA-N 0 0 438.453 -0.041 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)C[C@@H]2C(F)F)c(=O)n(C)c1=O ZINC000808318413 1073943684 /nfs/dbraw/zinc/94/36/84/1073943684.db2.gz ITANVKGTENYAEE-SNVBAGLBSA-N 0 0 438.453 -0.041 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2Cc3ccc(Cl)cc3C2)CC1)N1CCOCC1 ZINC000808323348 1073944211 /nfs/dbraw/zinc/94/42/11/1073944211.db2.gz ITXDVBNCPTVTHC-GOSISDBHSA-N 0 0 434.924 -0.074 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@H]2Cc3ccc(Cl)cc3C2)CC1)N1CCOCC1 ZINC000808323349 1073944320 /nfs/dbraw/zinc/94/43/20/1073944320.db2.gz ITXDVBNCPTVTHC-SFHVURJKSA-N 0 0 434.924 -0.074 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC000808324576 1073944743 /nfs/dbraw/zinc/94/47/43/1073944743.db2.gz ABDMRGYAJKCFHI-GOSISDBHSA-N 0 0 434.924 -0.074 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC000808324580 1073944731 /nfs/dbraw/zinc/94/47/31/1073944731.db2.gz ABDMRGYAJKCFHI-SFHVURJKSA-N 0 0 434.924 -0.074 20 0 IBADRN O=C(N[C@H]1Cc2ccc(Cl)cc2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000808324890 1073944289 /nfs/dbraw/zinc/94/42/89/1073944289.db2.gz CODYKSLSDHJPCI-KRWDZBQOSA-N 0 0 448.907 -0.547 20 0 IBADRN O=C(N[C@@H]1Cc2ccc(Cl)cc2C1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000808324895 1073944252 /nfs/dbraw/zinc/94/42/52/1073944252.db2.gz CODYKSLSDHJPCI-QGZVFWFLSA-N 0 0 448.907 -0.547 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@H]1Cc3ccc(Cl)cc3C1)C2 ZINC000808326830 1073944746 /nfs/dbraw/zinc/94/47/46/1073944746.db2.gz TWKZKNVEXOMMOF-HNNXBMFYSA-N 0 0 446.895 -0.588 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)N[C@@H]1Cc3ccc(Cl)cc3C1)C2 ZINC000808326831 1073944780 /nfs/dbraw/zinc/94/47/80/1073944780.db2.gz TWKZKNVEXOMMOF-OAHLLOKOSA-N 0 0 446.895 -0.588 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)CC1 ZINC000808326968 1073944735 /nfs/dbraw/zinc/94/47/35/1073944735.db2.gz VBVBTRJFNUKDKA-AWEZNQCLSA-N 0 0 438.572 -0.201 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)CC1 ZINC000808326969 1073944725 /nfs/dbraw/zinc/94/47/25/1073944725.db2.gz VBVBTRJFNUKDKA-CQSZACIVSA-N 0 0 438.572 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](N2CCN(S(=O)(=O)CCS(C)(=O)=O)CC2)C1 ZINC000808328258 1073944710 /nfs/dbraw/zinc/94/47/10/1073944710.db2.gz MMIJSMNDSPIEQC-AWEZNQCLSA-N 0 0 425.573 -0.012 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](N2CCN(S(=O)(=O)CCS(C)(=O)=O)CC2)C1 ZINC000808328259 1073944772 /nfs/dbraw/zinc/94/47/72/1073944772.db2.gz MMIJSMNDSPIEQC-CQSZACIVSA-N 0 0 425.573 -0.012 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NS(=O)(=O)CCCS(=O)(=O)N(C)C)C2=O)c1 ZINC000808329937 1073944704 /nfs/dbraw/zinc/94/47/04/1073944704.db2.gz GMJKKFNCKJYESR-INIZCTEOSA-N 0 0 448.523 -0.422 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NS(=O)(=O)CCCS(=O)(=O)N(C)C)C2=O)c1 ZINC000808329938 1073944716 /nfs/dbraw/zinc/94/47/16/1073944716.db2.gz GMJKKFNCKJYESR-MRXNPFEDSA-N 0 0 448.523 -0.422 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000808330098 1073944751 /nfs/dbraw/zinc/94/47/51/1073944751.db2.gz KNSZKRDPUNEBSR-HNNXBMFYSA-N 0 0 434.496 -0.764 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000808330099 1073944740 /nfs/dbraw/zinc/94/47/40/1073944740.db2.gz KNSZKRDPUNEBSR-OAHLLOKOSA-N 0 0 434.496 -0.764 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000808330518 1073944756 /nfs/dbraw/zinc/94/47/56/1073944756.db2.gz GAGTVWFWHDEWSH-KRWDZBQOSA-N 0 0 430.483 -0.194 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000808330519 1073944701 /nfs/dbraw/zinc/94/47/01/1073944701.db2.gz GAGTVWFWHDEWSH-QGZVFWFLSA-N 0 0 430.483 -0.194 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C1(S(=O)(=O)c2ccccc2)CCOCC1 ZINC000808332331 1073944758 /nfs/dbraw/zinc/94/47/58/1073944758.db2.gz XAPSXTGZRZYOJR-UHFFFAOYSA-N 0 0 446.547 -0.212 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2ccc(OC)c(S(N)(=O)=O)c2)CC1 ZINC000808338424 1073944765 /nfs/dbraw/zinc/94/47/65/1073944765.db2.gz RWJZPDAPUFOEDE-UHFFFAOYSA-N 0 0 436.512 -0.549 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)C(C)C)cc1[C@]1(C)NC(=O)NC1=O ZINC000808347628 1073944307 /nfs/dbraw/zinc/94/43/07/1073944307.db2.gz IOCGNPJNFNAKIJ-INIZCTEOSA-N 0 0 433.508 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)C(C)C)cc1[C@@]1(C)NC(=O)NC1=O ZINC000808347630 1073944235 /nfs/dbraw/zinc/94/42/35/1073944235.db2.gz IOCGNPJNFNAKIJ-MRXNPFEDSA-N 0 0 433.508 -0.149 20 0 IBADRN CC(C)S(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000808348022 1073944298 /nfs/dbraw/zinc/94/42/98/1073944298.db2.gz GTPMBTLTXJPTID-UHFFFAOYSA-N 0 0 440.565 -0.191 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000808366183 1073944786 /nfs/dbraw/zinc/94/47/86/1073944786.db2.gz NIDYZRHLEAOQGW-GASCZTMLSA-N 0 0 440.588 -0.509 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000808366184 1073945215 /nfs/dbraw/zinc/94/52/15/1073945215.db2.gz NIDYZRHLEAOQGW-GJZGRUSLSA-N 0 0 440.588 -0.509 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000808366185 1073945457 /nfs/dbraw/zinc/94/54/57/1073945457.db2.gz NIDYZRHLEAOQGW-HUUCEWRRSA-N 0 0 440.588 -0.509 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000808366464 1073945449 /nfs/dbraw/zinc/94/54/49/1073945449.db2.gz VFHJLPBHWYMMQX-UHFFFAOYSA-N 0 0 436.512 -0.782 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC000808366499 1073945265 /nfs/dbraw/zinc/94/52/65/1073945265.db2.gz VOLLPFRTZZXMQW-UHFFFAOYSA-N 0 0 426.543 -0.032 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCC2(NC(=O)OC(C)(C)C)CCC2)CC1 ZINC000808401868 1073945438 /nfs/dbraw/zinc/94/54/38/1073945438.db2.gz AQAMATBVMDUMNC-UHFFFAOYSA-N 0 0 425.530 -0.170 20 0 IBADRN CC(C)(C)OC(=O)NC1(CNC(=O)C(=O)N2CCN(C(C)(C)C(N)=O)CC2)CCC1 ZINC000808402317 1073945290 /nfs/dbraw/zinc/94/52/90/1073945290.db2.gz ACAXRSNEHCKJRE-UHFFFAOYSA-N 0 0 425.530 -0.042 20 0 IBADRN CC(C)(C)OC(=O)NC1(CNC(=O)C(=O)N2CCN(CC(=O)NC3CC3)CC2)CCC1 ZINC000808403258 1073945409 /nfs/dbraw/zinc/94/54/09/1073945409.db2.gz MNJUMJHITXBKTP-UHFFFAOYSA-N 0 0 437.541 -0.027 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCC1(NC(=O)OC(C)(C)C)CCC1 ZINC000808405297 1073945376 /nfs/dbraw/zinc/94/53/76/1073945376.db2.gz BAIWCGPABIUXSN-HNNXBMFYSA-N 0 0 428.530 -0.299 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCC1(NC(=O)OC(C)(C)C)CCC1 ZINC000808405304 1073945422 /nfs/dbraw/zinc/94/54/22/1073945422.db2.gz BAIWCGPABIUXSN-OAHLLOKOSA-N 0 0 428.530 -0.299 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCC3(NC(=O)OC(C)(C)C)CCC3)CC2)c1=O ZINC000808406113 1073945195 /nfs/dbraw/zinc/94/51/95/1073945195.db2.gz WLQKNMZUYWZNMA-UHFFFAOYSA-N 0 0 448.524 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000808413793 1073945314 /nfs/dbraw/zinc/94/53/14/1073945314.db2.gz MQPRZLLDERBDOU-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000808413794 1073945225 /nfs/dbraw/zinc/94/52/25/1073945225.db2.gz MQPRZLLDERBDOU-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000808416758 1073945322 /nfs/dbraw/zinc/94/53/22/1073945322.db2.gz MJGVWHYMQUHNMS-AWEZNQCLSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000808416759 1073945352 /nfs/dbraw/zinc/94/53/52/1073945352.db2.gz MJGVWHYMQUHNMS-CQSZACIVSA-N 0 0 432.543 -0.100 20 0 IBADRN C[C@@H]1CN(C(=O)CN2C(=O)N[C@@]3(CCS(=O)(=O)c4ccc(F)cc43)C2=O)CCO1 ZINC000808438533 1073945333 /nfs/dbraw/zinc/94/53/33/1073945333.db2.gz GRRRXDPLNHSBJN-ADLMAVQZSA-N 0 0 425.438 -0.003 20 0 IBADRN C[C@H]1CN(C(=O)CN2C(=O)N[C@@]3(CCS(=O)(=O)c4ccc(F)cc43)C2=O)CCO1 ZINC000808438538 1073945908 /nfs/dbraw/zinc/94/59/08/1073945908.db2.gz GRRRXDPLNHSBJN-BBATYDOGSA-N 0 0 425.438 -0.003 20 0 IBADRN C[C@H]1CN(C(=O)CN2C(=O)N[C@]3(CCS(=O)(=O)c4ccc(F)cc43)C2=O)CCO1 ZINC000808438540 1073945829 /nfs/dbraw/zinc/94/58/29/1073945829.db2.gz GRRRXDPLNHSBJN-VOJFVSQTSA-N 0 0 425.438 -0.003 20 0 IBADRN C[C@@H]1CN(C(=O)CN2C(=O)N[C@]3(CCS(=O)(=O)c4ccc(F)cc43)C2=O)CCO1 ZINC000808438542 1073945846 /nfs/dbraw/zinc/94/58/46/1073945846.db2.gz GRRRXDPLNHSBJN-ZMZPIMSZSA-N 0 0 425.438 -0.003 20 0 IBADRN COc1ccc(CNC(=O)CN2C(=O)c3ccccc3S2(=O)=O)cc1S(N)(=O)=O ZINC000808447536 1073945853 /nfs/dbraw/zinc/94/58/53/1073945853.db2.gz PTIXZUJYFGSEPZ-UHFFFAOYSA-N 0 0 439.471 -0.197 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)C(=O)N(C)CCS(C)(=O)=O)cc1 ZINC000808531429 1073946329 /nfs/dbraw/zinc/94/63/29/1073946329.db2.gz POWHAJRTZNLHPH-UHFFFAOYSA-N 0 0 433.552 -0.260 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3CCN(c4ccccc4OC)C3=O)C[C@H]1COCC2 ZINC000808542224 1073946348 /nfs/dbraw/zinc/94/63/48/1073946348.db2.gz GPZAGPCRPIBBIP-AYSMAOOMSA-N 0 0 445.472 -0.045 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3CCN(c4ccccc4OC)C3=O)C[C@H]1COCC2 ZINC000808542225 1073946973 /nfs/dbraw/zinc/94/69/73/1073946973.db2.gz GPZAGPCRPIBBIP-SMASLZHESA-N 0 0 445.472 -0.045 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1=O ZINC000808542789 1073947036 /nfs/dbraw/zinc/94/70/36/1073947036.db2.gz IOVQMNWRISSIIC-CABCVRRESA-N 0 0 433.461 -0.045 20 0 IBADRN COc1ccccc1N1CC[C@H](NC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)C1=O ZINC000808542792 1073947067 /nfs/dbraw/zinc/94/70/67/1073947067.db2.gz IOVQMNWRISSIIC-GJZGRUSLSA-N 0 0 433.461 -0.045 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)NC[C@@H]2COC3(CCOCC3)O2)C1=O ZINC000808542794 1073946870 /nfs/dbraw/zinc/94/68/70/1073946870.db2.gz IOVQMNWRISSIIC-HUUCEWRRSA-N 0 0 433.461 -0.045 20 0 IBADRN COc1ccccc1N1CC[C@@H](NC(=O)C(=O)NC[C@H]2COC3(CCOCC3)O2)C1=O ZINC000808542796 1073947026 /nfs/dbraw/zinc/94/70/26/1073947026.db2.gz IOVQMNWRISSIIC-LSDHHAIUSA-N 0 0 433.461 -0.045 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OCCN3CCNC3=O)ccc2F)CC1 ZINC000808547098 1073946949 /nfs/dbraw/zinc/94/69/49/1073946949.db2.gz GKIJNCHDEUSSPY-UHFFFAOYSA-N 0 0 442.469 -0.140 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N[C@@H]1CCN(c2cccc(Br)c2)C1=O ZINC000808577670 1073947019 /nfs/dbraw/zinc/94/70/19/1073947019.db2.gz AUCWKWRGCKNBBO-GFCCVEGCSA-N 0 0 432.296 -0.169 20 0 IBADRN CS(=O)(=O)CCNC(=O)C(=O)N[C@H]1CCN(c2cccc(Br)c2)C1=O ZINC000808577671 1073947007 /nfs/dbraw/zinc/94/70/07/1073947007.db2.gz AUCWKWRGCKNBBO-LBPRGKRZSA-N 0 0 432.296 -0.169 20 0 IBADRN NC(=O)c1ccccc1NCC(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000808581130 1073946932 /nfs/dbraw/zinc/94/69/32/1073946932.db2.gz LDZPPDHAACPUJY-UHFFFAOYSA-N 0 0 432.458 -0.023 20 0 IBADRN NC(=O)c1ccccc1NCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000808582899 1073947045 /nfs/dbraw/zinc/94/70/45/1073947045.db2.gz MKCMKFWOVCDSJC-AWEZNQCLSA-N 0 0 438.506 -0.928 20 0 IBADRN NC(=O)c1ccccc1NCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000808582900 1073946879 /nfs/dbraw/zinc/94/68/79/1073946879.db2.gz MKCMKFWOVCDSJC-CQSZACIVSA-N 0 0 438.506 -0.928 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CNc2ccccc2C(N)=O)CC1 ZINC000808583102 1073946921 /nfs/dbraw/zinc/94/69/21/1073946921.db2.gz RCAIIWFYTXERPE-UHFFFAOYSA-N 0 0 433.509 -0.201 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CNc2ccccc2C(N)=O)c(=O)n(C)c1=O ZINC000808583117 1073946911 /nfs/dbraw/zinc/94/69/11/1073946911.db2.gz RNNRVAYIFCHXOO-UHFFFAOYSA-N 0 0 431.449 -0.278 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC[C@H](C(F)(F)F)N1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000808606686 1073947071 /nfs/dbraw/zinc/94/70/71/1073947071.db2.gz GACSAOKFCMLJRC-HZSPNIEDSA-N 0 0 441.472 -0.066 20 0 IBADRN O=C(COC(=O)[C@H]1CCC[C@@H](C(F)(F)F)N1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000808606741 1073946895 /nfs/dbraw/zinc/94/68/95/1073946895.db2.gz GACSAOKFCMLJRC-MCIONIFRSA-N 0 0 441.472 -0.066 20 0 IBADRN O=C(COC(=O)[C@H]1CCC[C@H](C(F)(F)F)N1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000808606743 1073946966 /nfs/dbraw/zinc/94/69/66/1073946966.db2.gz GACSAOKFCMLJRC-MGPQQGTHSA-N 0 0 441.472 -0.066 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC[C@@H](C(F)(F)F)N1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000808606745 1073947085 /nfs/dbraw/zinc/94/70/85/1073947085.db2.gz GACSAOKFCMLJRC-RDBSUJKOSA-N 0 0 441.472 -0.066 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2cnc3[nH]c(=O)[nH]c3c2)CC1 ZINC000808636135 1073947500 /nfs/dbraw/zinc/94/75/00/1073947500.db2.gz GFZWEWNHCZXEEK-UHFFFAOYSA-N 0 0 437.420 -0.154 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H](COC)C(N)=O ZINC000808645054 1073947549 /nfs/dbraw/zinc/94/75/49/1073947549.db2.gz NGRJYIJFCYHMLD-HNNXBMFYSA-N 0 0 428.511 -0.749 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H](COC)C(N)=O ZINC000808645059 1073947529 /nfs/dbraw/zinc/94/75/29/1073947529.db2.gz NGRJYIJFCYHMLD-OAHLLOKOSA-N 0 0 428.511 -0.749 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)OCCCS(N)(=O)=O ZINC000808653315 1073947599 /nfs/dbraw/zinc/94/75/99/1073947599.db2.gz AOMGAWGUKZTJNS-UHFFFAOYSA-N 0 0 449.551 -0.143 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)O[C@H](C)C(=O)NC(N)=O ZINC000808653751 1073947473 /nfs/dbraw/zinc/94/74/73/1073947473.db2.gz GRIKFXFOBHKJAC-GFCCVEGCSA-N 0 0 442.494 -0.238 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)O[C@@H](C)C(=O)NC(N)=O ZINC000808653752 1073947554 /nfs/dbraw/zinc/94/75/54/1073947554.db2.gz GRIKFXFOBHKJAC-LBPRGKRZSA-N 0 0 442.494 -0.238 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)OCCNS(C)(=O)=O ZINC000808653929 1073947586 /nfs/dbraw/zinc/94/75/86/1073947586.db2.gz IJJJQVCLXPQADO-UHFFFAOYSA-N 0 0 449.551 -0.273 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)OCC(=O)NC(N)=O ZINC000808654342 1073947537 /nfs/dbraw/zinc/94/75/37/1073947537.db2.gz NAQHEWOSXXNQBN-UHFFFAOYSA-N 0 0 428.467 -0.627 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)OCc1nc(=O)n(C)[nH]1 ZINC000808654527 1073947450 /nfs/dbraw/zinc/94/74/50/1073947450.db2.gz PESLYSQDWHIQID-UHFFFAOYSA-N 0 0 439.494 -0.200 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)O[C@H]1CCC(=O)NC1=O ZINC000808655350 1073947593 /nfs/dbraw/zinc/94/75/93/1073947593.db2.gz ILKGRIDHRFGTDE-INIZCTEOSA-N 0 0 439.490 -0.017 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)O[C@@H]1CCC(=O)NC1=O ZINC000808655351 1073947495 /nfs/dbraw/zinc/94/74/95/1073947495.db2.gz ILKGRIDHRFGTDE-MRXNPFEDSA-N 0 0 439.490 -0.017 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)OCC(=O)NC(=O)NC ZINC000808655583 1073947581 /nfs/dbraw/zinc/94/75/81/1073947581.db2.gz RNRCVFGFMZZGDR-UHFFFAOYSA-N 0 0 442.494 -0.366 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cn(C)nn3)CC2)c1 ZINC000808659697 1073948147 /nfs/dbraw/zinc/94/81/47/1073948147.db2.gz UKCMXGXPVWQUQD-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN COc1ccc(NC(=O)COC(=O)c2cn(C)nn2)cc1S(=O)(=O)N1CCOCC1 ZINC000808660219 1073948124 /nfs/dbraw/zinc/94/81/24/1073948124.db2.gz GBLQATWWSSHRLR-UHFFFAOYSA-N 0 0 439.450 -0.360 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cn(C)nn3)CC2)cc1 ZINC000808660791 1073948048 /nfs/dbraw/zinc/94/80/48/1073948048.db2.gz RJJVJIPMIXYPSK-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3cn(C)nn3)CC2)cc1 ZINC000808661100 1073948032 /nfs/dbraw/zinc/94/80/32/1073948032.db2.gz QGXPUGPZYKPSTG-UHFFFAOYSA-N 0 0 437.478 -0.096 20 0 IBADRN CCN(C(=O)COC(=O)c1cn(C)nn1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000808661667 1073948218 /nfs/dbraw/zinc/94/82/18/1073948218.db2.gz XEPFRAWFQCZDKQ-UHFFFAOYSA-N 0 0 427.421 -0.082 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)nn1 ZINC000808662584 1073948139 /nfs/dbraw/zinc/94/81/39/1073948139.db2.gz FFMPZLAWDROASV-UHFFFAOYSA-N 0 0 429.405 -0.217 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)nn1 ZINC000808662708 1073948164 /nfs/dbraw/zinc/94/81/64/1073948164.db2.gz KFOOXWHCYPFKOX-UHFFFAOYSA-N 0 0 433.490 -0.006 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)c1cn(C)nn1 ZINC000808662873 1073948077 /nfs/dbraw/zinc/94/80/77/1073948077.db2.gz PNLBBQLKUWVEAL-UHFFFAOYSA-N 0 0 439.450 -0.360 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C[C@@H]2CCCC(=O)N2)c(N)n(Cc2ccccc2)c1=O ZINC000808685734 1073947486 /nfs/dbraw/zinc/94/74/86/1073947486.db2.gz KKJMWCQQKIKMFX-AWEZNQCLSA-N 0 0 428.445 -0.038 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)C[C@H]2CCCC(=O)N2)c(N)n(Cc2ccccc2)c1=O ZINC000808685736 1073947545 /nfs/dbraw/zinc/94/75/45/1073947545.db2.gz KKJMWCQQKIKMFX-CQSZACIVSA-N 0 0 428.445 -0.038 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC000808689181 1073947985 /nfs/dbraw/zinc/94/79/85/1073947985.db2.gz ZONKLEWTCPUPHJ-AWEZNQCLSA-N 0 0 442.494 -0.274 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC000808689182 1073948211 /nfs/dbraw/zinc/94/82/11/1073948211.db2.gz ZONKLEWTCPUPHJ-CQSZACIVSA-N 0 0 442.494 -0.274 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C[C@H]2CCCC(=O)N2)cc1)S(C)(=O)=O ZINC000808691018 1073948060 /nfs/dbraw/zinc/94/80/60/1073948060.db2.gz DDLNRAZHYYBUFT-CYBMUJFWSA-N 0 0 447.535 -0.034 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C[C@@H]2CCCC(=O)N2)cc1)S(C)(=O)=O ZINC000808691020 1073948197 /nfs/dbraw/zinc/94/81/97/1073948197.db2.gz DDLNRAZHYYBUFT-ZDUSSCGKSA-N 0 0 447.535 -0.034 20 0 IBADRN CC(C)(C)OC(=O)CS(=O)(=O)CCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000808720132 1073948180 /nfs/dbraw/zinc/94/81/80/1073948180.db2.gz NXGQRWAVJXXCMR-UHFFFAOYSA-N 0 0 431.511 -0.964 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnnn2-c2ccccc2)CC1 ZINC000808735163 1073948655 /nfs/dbraw/zinc/94/86/55/1073948655.db2.gz OZHYJEUSVVVZRG-KRWDZBQOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnnn2-c2ccccc2)CC1 ZINC000808735166 1073948677 /nfs/dbraw/zinc/94/86/77/1073948677.db2.gz OZHYJEUSVVVZRG-QGZVFWFLSA-N 0 0 425.536 -0.098 20 0 IBADRN COC(=O)[C@@](C)(O)CN1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000808735260 1073948790 /nfs/dbraw/zinc/94/87/90/1073948790.db2.gz QZBAKJCLWHDBMD-KRWDZBQOSA-N 0 0 434.536 -0.371 20 0 IBADRN COC(=O)[C@](C)(O)CN1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000808735262 1073948715 /nfs/dbraw/zinc/94/87/15/1073948715.db2.gz QZBAKJCLWHDBMD-QGZVFWFLSA-N 0 0 434.536 -0.371 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CCN2CCNC2=O)CC1 ZINC000808735395 1073948764 /nfs/dbraw/zinc/94/87/64/1073948764.db2.gz UQQSCMRVKMISCG-UHFFFAOYSA-N 0 0 430.552 -0.270 20 0 IBADRN C[C@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000808765195 1073948014 /nfs/dbraw/zinc/94/80/14/1073948014.db2.gz GORUWISHPNNBKK-KBPBESRZSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000808765198 1073948204 /nfs/dbraw/zinc/94/82/04/1073948204.db2.gz GORUWISHPNNBKK-KGLIPLIRSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000808765199 1073948068 /nfs/dbraw/zinc/94/80/68/1073948068.db2.gz GORUWISHPNNBKK-UONOGXRCSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000808765200 1073948667 /nfs/dbraw/zinc/94/86/67/1073948667.db2.gz GORUWISHPNNBKK-ZIAGYGMSSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000808766655 1073948739 /nfs/dbraw/zinc/94/87/39/1073948739.db2.gz AFUKCHBZOMQMFI-GXTWGEPZSA-N 0 0 432.543 -0.244 20 0 IBADRN C[C@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000808766658 1073948776 /nfs/dbraw/zinc/94/87/76/1073948776.db2.gz AFUKCHBZOMQMFI-JSGCOSHPSA-N 0 0 432.543 -0.244 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000808766662 1073948745 /nfs/dbraw/zinc/94/87/45/1073948745.db2.gz AFUKCHBZOMQMFI-OCCSQVGLSA-N 0 0 432.543 -0.244 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000808766664 1073948694 /nfs/dbraw/zinc/94/86/94/1073948694.db2.gz AFUKCHBZOMQMFI-TZMCWYRMSA-N 0 0 432.543 -0.244 20 0 IBADRN Cn1c(=O)cc(COC(=O)CNS(=O)(=O)c2ccc(Br)cc2)n(C)c1=O ZINC000808768703 1073949311 /nfs/dbraw/zinc/94/93/11/1073949311.db2.gz OUGPZHKRULVIRS-UHFFFAOYSA-N 0 0 446.279 -0.132 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000808771367 1073949117 /nfs/dbraw/zinc/94/91/17/1073949117.db2.gz XKIHEYSZCCZWCA-BRWVUGGUSA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000808771369 1073949202 /nfs/dbraw/zinc/94/92/02/1073949202.db2.gz XKIHEYSZCCZWCA-IKGGRYGDSA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000808771370 1073949244 /nfs/dbraw/zinc/94/92/44/1073949244.db2.gz XKIHEYSZCCZWCA-IXDOHACOSA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000808771372 1073949137 /nfs/dbraw/zinc/94/91/37/1073949137.db2.gz XKIHEYSZCCZWCA-ZACQAIPSSA-N 0 0 442.557 -0.100 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000808793252 1073949271 /nfs/dbraw/zinc/94/92/71/1073949271.db2.gz ZDFCEVXTLXITPU-UHFFFAOYSA-N 0 0 449.533 -0.442 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000808793443 1073949217 /nfs/dbraw/zinc/94/92/17/1073949217.db2.gz GLZNLHPMSNCGCF-AWEZNQCLSA-N 0 0 427.546 -0.068 20 0 IBADRN CCNC(=O)C(C)(C)CNC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000808793444 1073949359 /nfs/dbraw/zinc/94/93/59/1073949359.db2.gz GLZNLHPMSNCGCF-CQSZACIVSA-N 0 0 427.546 -0.068 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1 ZINC000808794796 1073949155 /nfs/dbraw/zinc/94/91/55/1073949155.db2.gz FLQWOYCMMDJGDC-UHFFFAOYSA-N 0 0 431.536 -0.264 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCc1ccc(N2CCCS2(=O)=O)cc1)C1CC1 ZINC000808797478 1073949187 /nfs/dbraw/zinc/94/91/87/1073949187.db2.gz SCTHLVWMMQLSAK-UHFFFAOYSA-N 0 0 443.547 -0.122 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC000808798313 1073949260 /nfs/dbraw/zinc/94/92/60/1073949260.db2.gz PUQZMPHOOSYJMV-UHFFFAOYSA-N 0 0 431.536 -0.264 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCc1cccc(N2CCCS2(=O)=O)c1)C1CC1 ZINC000808798741 1073949331 /nfs/dbraw/zinc/94/93/31/1073949331.db2.gz SEXWSFUEIHRPJH-UHFFFAOYSA-N 0 0 443.547 -0.122 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CCN1C(=O)OC(C)(C)C ZINC000808806779 1073949236 /nfs/dbraw/zinc/94/92/36/1073949236.db2.gz YCQJUSBMEIEXGT-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CCN1C(=O)OC(C)(C)C ZINC000808806780 1073949343 /nfs/dbraw/zinc/94/93/43/1073949343.db2.gz YCQJUSBMEIEXGT-KGLIPLIRSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CCN1C(=O)OC(C)(C)C ZINC000808806781 1073949129 /nfs/dbraw/zinc/94/91/29/1073949129.db2.gz YCQJUSBMEIEXGT-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CCN1C(=O)OC(C)(C)C ZINC000808806782 1073949321 /nfs/dbraw/zinc/94/93/21/1073949321.db2.gz YCQJUSBMEIEXGT-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CCN1C(=O)OC(C)(C)C ZINC000808810189 1073949298 /nfs/dbraw/zinc/94/92/98/1073949298.db2.gz YXXMCXAMJOMDIJ-GXTWGEPZSA-N 0 0 432.543 -0.102 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CCN1C(=O)OC(C)(C)C ZINC000808810196 1073949351 /nfs/dbraw/zinc/94/93/51/1073949351.db2.gz YXXMCXAMJOMDIJ-JSGCOSHPSA-N 0 0 432.543 -0.102 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CCN1C(=O)OC(C)(C)C ZINC000808810198 1073949826 /nfs/dbraw/zinc/94/98/26/1073949826.db2.gz YXXMCXAMJOMDIJ-OCCSQVGLSA-N 0 0 432.543 -0.102 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CCN1C(=O)OC(C)(C)C ZINC000808810200 1073949880 /nfs/dbraw/zinc/94/98/80/1073949880.db2.gz YXXMCXAMJOMDIJ-TZMCWYRMSA-N 0 0 432.543 -0.102 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000808814546 1073949888 /nfs/dbraw/zinc/94/98/88/1073949888.db2.gz ATSPCBDGZGFEMX-INIZCTEOSA-N 0 0 441.506 -0.182 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000808814547 1073949808 /nfs/dbraw/zinc/94/98/08/1073949808.db2.gz ATSPCBDGZGFEMX-MRXNPFEDSA-N 0 0 441.506 -0.182 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N[C@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000808815478 1073949745 /nfs/dbraw/zinc/94/97/45/1073949745.db2.gz RSHJPQUCFSEUTP-HNNXBMFYSA-N 0 0 427.479 -0.524 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N[C@@H]1CCN(c2cc(OC)cc(OC)c2)C1=O ZINC000808815479 1073949751 /nfs/dbraw/zinc/94/97/51/1073949751.db2.gz RSHJPQUCFSEUTP-OAHLLOKOSA-N 0 0 427.479 -0.524 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)C(=O)N(C)CCS(C)(=O)=O)C2=O)c1 ZINC000808817107 1073949777 /nfs/dbraw/zinc/94/97/77/1073949777.db2.gz XJEGYFVHSYHSFR-HNNXBMFYSA-N 0 0 427.479 -0.572 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)C(=O)N(C)CCS(C)(=O)=O)C2=O)c1 ZINC000808817108 1073949871 /nfs/dbraw/zinc/94/98/71/1073949871.db2.gz XJEGYFVHSYHSFR-OAHLLOKOSA-N 0 0 427.479 -0.572 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)o1 ZINC000808820566 1073949773 /nfs/dbraw/zinc/94/97/73/1073949773.db2.gz WRJNTRBYAOPLCK-JTQLQIEISA-N 0 0 431.405 -0.523 20 0 IBADRN CC(=O)NCc1ccc(C(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)o1 ZINC000808820567 1073949732 /nfs/dbraw/zinc/94/97/32/1073949732.db2.gz WRJNTRBYAOPLCK-SNVBAGLBSA-N 0 0 431.405 -0.523 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)cn1 ZINC000808832447 1073949721 /nfs/dbraw/zinc/94/97/21/1073949721.db2.gz MXZPULPRQRDYQM-INIZCTEOSA-N 0 0 438.529 -0.187 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)cn1 ZINC000808832448 1073949819 /nfs/dbraw/zinc/94/98/19/1073949819.db2.gz MXZPULPRQRDYQM-MRXNPFEDSA-N 0 0 438.529 -0.187 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)cn1 ZINC000808833498 1073949365 /nfs/dbraw/zinc/94/93/65/1073949365.db2.gz VBSZQHFFBIONRO-HNNXBMFYSA-N 0 0 425.486 -0.102 20 0 IBADRN COCCn1cc(CNC(=O)C(=O)N2CCO[C@H](CNC(=O)OC(C)(C)C)C2)cn1 ZINC000808833499 1073949170 /nfs/dbraw/zinc/94/91/70/1073949170.db2.gz VBSZQHFFBIONRO-OAHLLOKOSA-N 0 0 425.486 -0.102 20 0 IBADRN COc1ccc(CNC(=O)Nc2ccn(CCS(C)(=O)=O)n2)cc1S(N)(=O)=O ZINC000808835024 1073949896 /nfs/dbraw/zinc/94/98/96/1073949896.db2.gz HICOPTAHUBTWCG-UHFFFAOYSA-N 0 0 431.496 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)s1 ZINC000808841482 1073950424 /nfs/dbraw/zinc/95/04/24/1073950424.db2.gz RBRKIDHLKAKPDL-UHFFFAOYSA-N 0 0 437.565 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)C(=O)N(CCS(C)(=O)=O)C(C)C)s1 ZINC000808841715 1073950405 /nfs/dbraw/zinc/95/04/05/1073950405.db2.gz YQMIFAGJFDARHO-UHFFFAOYSA-N 0 0 439.581 -0.267 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)NCCNS(=O)(=O)c1ccc(C)s1 ZINC000808841760 1073950443 /nfs/dbraw/zinc/95/04/43/1073950443.db2.gz ZLVYBKFRFWQUKL-UHFFFAOYSA-N 0 0 425.554 -0.656 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC000808841776 1073950460 /nfs/dbraw/zinc/95/04/60/1073950460.db2.gz ZVCIKXDPLAHQLC-UHFFFAOYSA-N 0 0 432.524 -0.248 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)n1 ZINC000808867060 1073949836 /nfs/dbraw/zinc/94/98/36/1073949836.db2.gz BTRXOCKRGLFZCB-UHFFFAOYSA-N 0 0 427.465 -0.277 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)n1 ZINC000808870045 1073949716 /nfs/dbraw/zinc/94/97/16/1073949716.db2.gz RPICTEABNFAGEJ-HNNXBMFYSA-N 0 0 435.529 -0.174 20 0 IBADRN CN(C)c1nccc(CNC(=O)C(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)n1 ZINC000808870046 1073949690 /nfs/dbraw/zinc/94/96/90/1073949690.db2.gz RPICTEABNFAGEJ-OAHLLOKOSA-N 0 0 435.529 -0.174 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)OC2)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000808920241 1073950418 /nfs/dbraw/zinc/95/04/18/1073950418.db2.gz ATCZZIZDVJXBEG-UHFFFAOYSA-N 0 0 438.462 -0.983 20 0 IBADRN O=C(Nc1cccc2c1COC2=O)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000808920777 1073950466 /nfs/dbraw/zinc/95/04/66/1073950466.db2.gz NEPZTOBNYKRBCX-UHFFFAOYSA-N 0 0 438.462 -0.983 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)OC3)CC1 ZINC000808921931 1073951048 /nfs/dbraw/zinc/95/10/48/1073951048.db2.gz LCRKZLVGLGYLQR-UHFFFAOYSA-N 0 0 428.401 -0.885 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2cccc3c2COC3=O)CC1 ZINC000808922556 1073951084 /nfs/dbraw/zinc/95/10/84/1073951084.db2.gz WOWSLGMXSKXMNQ-UHFFFAOYSA-N 0 0 428.401 -0.885 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc2[nH]ccc21 ZINC000808923242 1073950978 /nfs/dbraw/zinc/95/09/78/1073950978.db2.gz KMJRSRZQHIQBEA-UHFFFAOYSA-N 0 0 438.462 -0.329 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1cccc2c1COC2=O ZINC000808924144 1073950873 /nfs/dbraw/zinc/95/08/73/1073950873.db2.gz KPGXEVNEEQTQDO-GFCCVEGCSA-N 0 0 425.463 -0.100 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1cccc2c1COC2=O ZINC000808924145 1073951019 /nfs/dbraw/zinc/95/10/19/1073951019.db2.gz KPGXEVNEEQTQDO-LBPRGKRZSA-N 0 0 425.463 -0.100 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)C(=O)Nc1ccc2c(c1)C(=O)OC2 ZINC000808924401 1073951075 /nfs/dbraw/zinc/95/10/75/1073951075.db2.gz OCEOCWKKLFECTH-AWEZNQCLSA-N 0 0 425.463 -0.100 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)C(=O)Nc1ccc2c(c1)C(=O)OC2 ZINC000808924403 1073951036 /nfs/dbraw/zinc/95/10/36/1073951036.db2.gz OCEOCWKKLFECTH-CQSZACIVSA-N 0 0 425.463 -0.100 20 0 IBADRN O=C(Nc1cccc2c1COC2=O)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000808926740 1073951064 /nfs/dbraw/zinc/95/10/64/1073951064.db2.gz DBTPYUDSBFTGGS-UHFFFAOYSA-N 0 0 434.430 -0.042 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)OC2)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000808927245 1073950890 /nfs/dbraw/zinc/95/08/90/1073950890.db2.gz RHXHPMCELHZNJB-UHFFFAOYSA-N 0 0 434.430 -0.042 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)OC3)CC1 ZINC000808928136 1073950956 /nfs/dbraw/zinc/95/09/56/1073950956.db2.gz DRORYWBWKKHDJJ-AWEZNQCLSA-N 0 0 432.477 -0.019 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)OC3)CC1 ZINC000808928138 1073951000 /nfs/dbraw/zinc/95/10/00/1073951000.db2.gz DRORYWBWKKHDJJ-CQSZACIVSA-N 0 0 432.477 -0.019 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(=O)Nc2cccc3c2COC3=O)CC1 ZINC000808929921 1073950971 /nfs/dbraw/zinc/95/09/71/1073950971.db2.gz POMQKZZSTXKUFX-AWEZNQCLSA-N 0 0 432.477 -0.019 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(=O)Nc2cccc3c2COC3=O)CC1 ZINC000808929922 1073950942 /nfs/dbraw/zinc/95/09/42/1073950942.db2.gz POMQKZZSTXKUFX-CQSZACIVSA-N 0 0 432.477 -0.019 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2COC3=O)CC1 ZINC000808931136 1073951013 /nfs/dbraw/zinc/95/10/13/1073951013.db2.gz PRDYYLDQITYXRT-UHFFFAOYSA-N 0 0 425.463 -0.194 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cccc4c3COC4=O)CC2)cn1C ZINC000808931334 1073950917 /nfs/dbraw/zinc/95/09/17/1073950917.db2.gz UAIBHSTVESMYDZ-UHFFFAOYSA-N 0 0 447.473 -0.129 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)C(=O)OC3)CC1 ZINC000808931706 1073950931 /nfs/dbraw/zinc/95/09/31/1073950931.db2.gz YHLUYYGAFLEKAX-UHFFFAOYSA-N 0 0 425.463 -0.194 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3ccc4c(c3)C(=O)OC4)CC2)cn1C ZINC000808931751 1073951006 /nfs/dbraw/zinc/95/10/06/1073951006.db2.gz ZQJLOLIAFJUYMI-UHFFFAOYSA-N 0 0 447.473 -0.129 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)OC2)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000808932424 1073950993 /nfs/dbraw/zinc/95/09/93/1073950993.db2.gz NLCWUWFAUODMLG-HNNXBMFYSA-N 0 0 437.474 -0.052 20 0 IBADRN O=C(Nc1ccc2c(c1)C(=O)OC2)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000808932425 1073951559 /nfs/dbraw/zinc/95/15/59/1073951559.db2.gz NLCWUWFAUODMLG-OAHLLOKOSA-N 0 0 437.474 -0.052 20 0 IBADRN O=C(Nc1cccc2c1COC2=O)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000808932616 1073951027 /nfs/dbraw/zinc/95/10/27/1073951027.db2.gz SFPMCPMRROWTSR-CYBMUJFWSA-N 0 0 437.474 -0.052 20 0 IBADRN O=C(Nc1cccc2c1COC2=O)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000808932617 1073950904 /nfs/dbraw/zinc/95/09/04/1073950904.db2.gz SFPMCPMRROWTSR-ZDUSSCGKSA-N 0 0 437.474 -0.052 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000808946132 1073950429 /nfs/dbraw/zinc/95/04/29/1073950429.db2.gz QIPPYOJVPAGCJQ-AWEZNQCLSA-N 0 0 441.529 -0.540 20 0 IBADRN CCN(C)C(=O)CNC(=O)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000808946133 1073950447 /nfs/dbraw/zinc/95/04/47/1073950447.db2.gz QIPPYOJVPAGCJQ-CQSZACIVSA-N 0 0 441.529 -0.540 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)OCc2cc(=O)n(C)c(=O)n2C)CC1 ZINC000808958273 1073952086 /nfs/dbraw/zinc/95/20/86/1073952086.db2.gz ZLBJUTUGGWJVPM-UHFFFAOYSA-N 0 0 439.494 -0.432 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)C(C)C)cc1 ZINC000808962202 1073952050 /nfs/dbraw/zinc/95/20/50/1073952050.db2.gz BCPUJFUCKTVIBT-UHFFFAOYSA-N 0 0 435.524 -0.511 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)C(C)C)cc1 ZINC000808962455 1073952121 /nfs/dbraw/zinc/95/21/21/1073952121.db2.gz OFIBSLHJNLSVFA-CYBMUJFWSA-N 0 0 449.551 -0.122 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCS(=O)(=O)C(C)C)cc1 ZINC000808962471 1073951970 /nfs/dbraw/zinc/95/19/70/1073951970.db2.gz OFIBSLHJNLSVFA-ZDUSSCGKSA-N 0 0 449.551 -0.122 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000808963198 1073952636 /nfs/dbraw/zinc/95/26/36/1073952636.db2.gz SIZBPGCDPLAGHM-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000808963218 1073952550 /nfs/dbraw/zinc/95/25/50/1073952550.db2.gz DTVXBESZVJFAJW-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCN(C)CC2)cc1 ZINC000808963341 1073951952 /nfs/dbraw/zinc/95/19/52/1073951952.db2.gz FGFPLGRGHWHYLO-UHFFFAOYSA-N 0 0 438.550 -0.119 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC000808963548 1073952111 /nfs/dbraw/zinc/95/21/11/1073952111.db2.gz ZRTXSBBSUKSCBO-UHFFFAOYSA-N 0 0 441.506 -0.198 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(NC(=O)CN2CCOCC2)cc1 ZINC000808963550 1073952004 /nfs/dbraw/zinc/95/20/04/1073952004.db2.gz ZSTLRWAOIDYCSA-UHFFFAOYSA-N 0 0 440.522 -0.165 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCS(=O)(=O)C(C)C ZINC000808963609 1073952036 /nfs/dbraw/zinc/95/20/36/1073952036.db2.gz LPOQUAMGVZMOGJ-UHFFFAOYSA-N 0 0 435.524 -0.177 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000808963767 1073952075 /nfs/dbraw/zinc/95/20/75/1073952075.db2.gz SPUOUCGTQAVETL-UHFFFAOYSA-N 0 0 448.567 -0.119 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC000808963776 1073952139 /nfs/dbraw/zinc/95/21/39/1073952139.db2.gz SXOCRBSZGVLPJU-UHFFFAOYSA-N 0 0 425.507 -0.034 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000808964089 1073952571 /nfs/dbraw/zinc/95/25/71/1073952571.db2.gz GDFRSBXDNKHYAZ-UHFFFAOYSA-N 0 0 431.536 -0.235 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000808964170 1073952645 /nfs/dbraw/zinc/95/26/45/1073952645.db2.gz JCFWKCAVAJLDDN-CABCVRRESA-N 0 0 437.518 -0.039 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)N1C[C@H](C[C@H]2COCC(=O)N2)c2ccccc21 ZINC000808964171 1073952491 /nfs/dbraw/zinc/95/24/91/1073952491.db2.gz JCFWKCAVAJLDDN-GJZGRUSLSA-N 0 0 437.518 -0.039 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)N1C[C@@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000808964172 1073952675 /nfs/dbraw/zinc/95/26/75/1073952675.db2.gz JCFWKCAVAJLDDN-HUUCEWRRSA-N 0 0 437.518 -0.039 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)C(=O)N1C[C@H](C[C@@H]2COCC(=O)N2)c2ccccc21 ZINC000808964173 1073952519 /nfs/dbraw/zinc/95/25/19/1073952519.db2.gz JCFWKCAVAJLDDN-LSDHHAIUSA-N 0 0 437.518 -0.039 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)NCCS(=O)(=O)C(C)C)CC2 ZINC000808964482 1073952505 /nfs/dbraw/zinc/95/25/05/1073952505.db2.gz UNTIYVLXDLXVSZ-UHFFFAOYSA-N 0 0 431.536 -0.187 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)C(=O)N2C[C@H]3COCC[C@@]3(C(=O)OC)C2)CC1 ZINC000808968055 1073951493 /nfs/dbraw/zinc/95/14/93/1073951493.db2.gz FAGJJJPAUSOMQN-VBKZILBWSA-N 0 0 425.482 -0.832 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2COc3ccccc3[C@H]2O)c1 ZINC000808968882 1073951566 /nfs/dbraw/zinc/95/15/66/1073951566.db2.gz UNQPGKYCYJPMAG-CRAIPNDOSA-N 0 0 426.429 -0.288 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2COc3ccccc3[C@H]2O)c1 ZINC000808968883 1073951506 /nfs/dbraw/zinc/95/15/06/1073951506.db2.gz UNQPGKYCYJPMAG-MAUKXSAKSA-N 0 0 426.429 -0.288 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@@H]2COc3ccccc3[C@@H]2O)c1 ZINC000808968884 1073951555 /nfs/dbraw/zinc/95/15/55/1073951555.db2.gz UNQPGKYCYJPMAG-QAPCUYQASA-N 0 0 426.429 -0.288 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)C(=O)N[C@H]2COc3ccccc3[C@@H]2O)c1 ZINC000808968885 1073951553 /nfs/dbraw/zinc/95/15/53/1073951553.db2.gz UNQPGKYCYJPMAG-YJBOKZPZSA-N 0 0 426.429 -0.288 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@@H]2COc3ccccc3[C@H]2O)cn1)N1CCOCC1 ZINC000808970079 1073951523 /nfs/dbraw/zinc/95/15/23/1073951523.db2.gz HIOHNEUMIVVQSY-CRAIPNDOSA-N 0 0 429.433 -0.709 20 0 IBADRN O=C(Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N[C@H]1COc2ccccc2[C@H]1O ZINC000808970084 1073951600 /nfs/dbraw/zinc/95/16/00/1073951600.db2.gz HIOHNEUMIVVQSY-MAUKXSAKSA-N 0 0 429.433 -0.709 20 0 IBADRN O=C(Nc1cnn(CC(=O)N2CCOCC2)c1)C(=O)N[C@@H]1COc2ccccc2[C@@H]1O ZINC000808970086 1073951532 /nfs/dbraw/zinc/95/15/32/1073951532.db2.gz HIOHNEUMIVVQSY-QAPCUYQASA-N 0 0 429.433 -0.709 20 0 IBADRN O=C(Cn1cc(NC(=O)C(=O)N[C@H]2COc3ccccc3[C@@H]2O)cn1)N1CCOCC1 ZINC000808970088 1073951596 /nfs/dbraw/zinc/95/15/96/1073951596.db2.gz HIOHNEUMIVVQSY-YJBOKZPZSA-N 0 0 429.433 -0.709 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3COc4ccccc4[C@H]3O)cnc2n(C)c1=O ZINC000808970470 1073951538 /nfs/dbraw/zinc/95/15/38/1073951538.db2.gz JGRIQHBDXLIUPB-DZGCQCFKSA-N 0 0 425.401 -0.819 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3COc4ccccc4[C@@H]3O)cnc2n(C)c1=O ZINC000808970475 1073951545 /nfs/dbraw/zinc/95/15/45/1073951545.db2.gz JGRIQHBDXLIUPB-HIFRSBDPSA-N 0 0 425.401 -0.819 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H]3COc4ccccc4[C@H]3O)cnc2n(C)c1=O ZINC000808970476 1073951472 /nfs/dbraw/zinc/95/14/72/1073951472.db2.gz JGRIQHBDXLIUPB-UKRRQHHQSA-N 0 0 425.401 -0.819 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H]3COc4ccccc4[C@@H]3O)cnc2n(C)c1=O ZINC000808970477 1073951581 /nfs/dbraw/zinc/95/15/81/1073951581.db2.gz JGRIQHBDXLIUPB-ZFWWWQNUSA-N 0 0 425.401 -0.819 20 0 IBADRN O=C(Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N[C@H]1COc2ccccc2[C@H]1O ZINC000808974122 1073952105 /nfs/dbraw/zinc/95/21/05/1073952105.db2.gz MVNGPLKEEMEBSI-KBXCAEBGSA-N 0 0 429.433 -0.709 20 0 IBADRN O=C(Nc1ccn(CC(=O)N2CCOCC2)n1)C(=O)N[C@@H]1COc2ccccc2[C@@H]1O ZINC000808974123 1073952115 /nfs/dbraw/zinc/95/21/15/1073952115.db2.gz MVNGPLKEEMEBSI-KDOFPFPSSA-N 0 0 429.433 -0.709 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@H]2COc3ccccc3[C@@H]2O)n1)N1CCOCC1 ZINC000808974124 1073952144 /nfs/dbraw/zinc/95/21/44/1073952144.db2.gz MVNGPLKEEMEBSI-KSSFIOAISA-N 0 0 429.433 -0.709 20 0 IBADRN O=C(Cn1ccc(NC(=O)C(=O)N[C@@H]2COc3ccccc3[C@H]2O)n1)N1CCOCC1 ZINC000808974125 1073951985 /nfs/dbraw/zinc/95/19/85/1073951985.db2.gz MVNGPLKEEMEBSI-RDTXWAMCSA-N 0 0 429.433 -0.709 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N[C@@H]2COc3ccccc3[C@H]2O)c1 ZINC000808974547 1073951570 /nfs/dbraw/zinc/95/15/70/1073951570.db2.gz QKCPHDCGFVLHGN-CRAIPNDOSA-N 0 0 426.429 -0.207 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N[C@H]2COc3ccccc3[C@H]2O)c1 ZINC000808974548 1073952128 /nfs/dbraw/zinc/95/21/28/1073952128.db2.gz QKCPHDCGFVLHGN-MAUKXSAKSA-N 0 0 426.429 -0.207 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N[C@@H]2COc3ccccc3[C@@H]2O)c1 ZINC000808974549 1073952043 /nfs/dbraw/zinc/95/20/43/1073952043.db2.gz QKCPHDCGFVLHGN-QAPCUYQASA-N 0 0 426.429 -0.207 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)C(=O)N[C@H]2COc3ccccc3[C@@H]2O)c1 ZINC000808974550 1073952132 /nfs/dbraw/zinc/95/21/32/1073952132.db2.gz QKCPHDCGFVLHGN-YJBOKZPZSA-N 0 0 426.429 -0.207 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)COC(=O)Cn2c3ccccc3c(=O)[nH]c2=O)c1 ZINC000809002557 1073953072 /nfs/dbraw/zinc/95/30/72/1073953072.db2.gz BBGMJDSWPDTBLH-UHFFFAOYSA-N 0 0 432.414 -0.069 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@H]2C[C@@H](O)c2cnn(C)c2)C(=O)N1c1cnn(C)c1 ZINC000809004003 1073953113 /nfs/dbraw/zinc/95/31/13/1073953113.db2.gz DUUVAPLLMLEPHO-HUHSQHJXSA-N 0 0 443.508 -0.122 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@H]2C[C@H](O)c2cnn(C)c2)C(=O)N1c1cnn(C)c1 ZINC000809004004 1073953119 /nfs/dbraw/zinc/95/31/19/1073953119.db2.gz DUUVAPLLMLEPHO-KCMWZYNZSA-N 0 0 443.508 -0.122 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@@H]2C[C@@H](O)c2cnn(C)c2)C(=O)N1c1cnn(C)c1 ZINC000809004005 1073953086 /nfs/dbraw/zinc/95/30/86/1073953086.db2.gz DUUVAPLLMLEPHO-LFVTVUPYSA-N 0 0 443.508 -0.122 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@@H]2C[C@H](O)c2cnn(C)c2)C(=O)N1c1cnn(C)c1 ZINC000809004006 1073953045 /nfs/dbraw/zinc/95/30/45/1073953045.db2.gz DUUVAPLLMLEPHO-WCZJQEMASA-N 0 0 443.508 -0.122 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@@H]([C@H](O)c3nccn3C)C2)C(=O)N1c1cnn(C)c1 ZINC000809004993 1073953007 /nfs/dbraw/zinc/95/30/07/1073953007.db2.gz ARKOYUOORMQSHV-JHNDHUHGSA-N 0 0 443.508 -0.264 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@H]([C@H](O)c3nccn3C)C2)C(=O)N1c1cnn(C)c1 ZINC000809004994 1073953093 /nfs/dbraw/zinc/95/30/93/1073953093.db2.gz ARKOYUOORMQSHV-OHFALNGGSA-N 0 0 443.508 -0.264 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@@H]([C@@H](O)c3nccn3C)C2)C(=O)N1c1cnn(C)c1 ZINC000809004995 1073953083 /nfs/dbraw/zinc/95/30/83/1073953083.db2.gz ARKOYUOORMQSHV-TXCZRRACSA-N 0 0 443.508 -0.264 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCC[C@H]([C@@H](O)c3nccn3C)C2)C(=O)N1c1cnn(C)c1 ZINC000809004996 1073953048 /nfs/dbraw/zinc/95/30/48/1073953048.db2.gz ARKOYUOORMQSHV-YQFWSFKMSA-N 0 0 443.508 -0.264 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2ccco2)C(=O)N1c1cnn(C)c1 ZINC000809006523 1073953052 /nfs/dbraw/zinc/95/30/52/1073953052.db2.gz FYGAPPBKMWPOLR-JHNDHUHGSA-N 0 0 445.476 -0.026 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2ccco2)C(=O)N1c1cnn(C)c1 ZINC000809006524 1073953038 /nfs/dbraw/zinc/95/30/38/1073953038.db2.gz FYGAPPBKMWPOLR-OHFALNGGSA-N 0 0 445.476 -0.026 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2ccco2)C(=O)N1c1cnn(C)c1 ZINC000809006525 1073953626 /nfs/dbraw/zinc/95/36/26/1073953626.db2.gz FYGAPPBKMWPOLR-TXCZRRACSA-N 0 0 445.476 -0.026 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccco2)C(=O)N1c1cnn(C)c1 ZINC000809006526 1073953105 /nfs/dbraw/zinc/95/31/05/1073953105.db2.gz FYGAPPBKMWPOLR-YQFWSFKMSA-N 0 0 445.476 -0.026 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000809007115 1073953637 /nfs/dbraw/zinc/95/36/37/1073953637.db2.gz PNXOXUBSAWAFCR-GDBMZVCRSA-N 0 0 434.497 -0.033 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000809007116 1073953684 /nfs/dbraw/zinc/95/36/84/1073953684.db2.gz PNXOXUBSAWAFCR-GOEBONIOSA-N 0 0 434.497 -0.033 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000809007117 1073953719 /nfs/dbraw/zinc/95/37/19/1073953719.db2.gz PNXOXUBSAWAFCR-HOCLYGCPSA-N 0 0 434.497 -0.033 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC000809007118 1073953692 /nfs/dbraw/zinc/95/36/92/1073953692.db2.gz PNXOXUBSAWAFCR-ZBFHGGJFSA-N 0 0 434.497 -0.033 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)C[C@H]1COCC2 ZINC000809008083 1073953679 /nfs/dbraw/zinc/95/36/79/1073953679.db2.gz NFLKSIRBEOMUKC-FCMVICDYSA-N 0 0 433.465 -0.932 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)C[C@H]1COCC2 ZINC000809008084 1073953630 /nfs/dbraw/zinc/95/36/30/1073953630.db2.gz NFLKSIRBEOMUKC-IKLNNYPDSA-N 0 0 433.465 -0.932 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)C[C@H]1COCC2 ZINC000809008085 1073953645 /nfs/dbraw/zinc/95/36/45/1073953645.db2.gz NFLKSIRBEOMUKC-UHTBIJDUSA-N 0 0 433.465 -0.932 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)C[C@H]1COCC2 ZINC000809008086 1073954265 /nfs/dbraw/zinc/95/42/65/1073954265.db2.gz NFLKSIRBEOMUKC-WWVJOHPTSA-N 0 0 433.465 -0.932 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000809008518 1073953650 /nfs/dbraw/zinc/95/36/50/1073953650.db2.gz BDABOROLWZUCCJ-AGIUHOORSA-N 0 0 434.565 -0.294 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000809008519 1073953674 /nfs/dbraw/zinc/95/36/74/1073953674.db2.gz BDABOROLWZUCCJ-FRRDWIJNSA-N 0 0 434.565 -0.294 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CC[C@H]2SCCS[C@H]12 ZINC000809008520 1073953664 /nfs/dbraw/zinc/95/36/64/1073953664.db2.gz BDABOROLWZUCCJ-JHJVBQTASA-N 0 0 434.565 -0.294 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N[C@@H]1CC[C@H]2SCCS[C@@H]12 ZINC000809008522 1073953669 /nfs/dbraw/zinc/95/36/69/1073953669.db2.gz BDABOROLWZUCCJ-UPJWGTAASA-N 0 0 434.565 -0.294 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000809008832 1073953715 /nfs/dbraw/zinc/95/37/15/1073953715.db2.gz HOAUTFZMTYTGLI-PBHICJAKSA-N 0 0 447.517 -0.239 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000809008833 1073953712 /nfs/dbraw/zinc/95/37/12/1073953712.db2.gz HOAUTFZMTYTGLI-RHSMWYFYSA-N 0 0 447.517 -0.239 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000809008834 1073953615 /nfs/dbraw/zinc/95/36/15/1073953615.db2.gz HOAUTFZMTYTGLI-WMLDXEAASA-N 0 0 447.517 -0.239 20 0 IBADRN C[C@H]1C[C@H](NC(=O)C(=O)NCCS(=O)(=O)Cc2ccccc2)C(=O)N1c1cnn(C)c1 ZINC000809008835 1073953705 /nfs/dbraw/zinc/95/37/05/1073953705.db2.gz HOAUTFZMTYTGLI-YOEHRIQHSA-N 0 0 447.517 -0.239 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N(C)[C@@H]2CCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000809009371 1073954251 /nfs/dbraw/zinc/95/42/51/1073954251.db2.gz FWBBETIYEOLTGE-KGYLQXTDSA-N 0 0 444.414 -0.348 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N(C)[C@@H]2CCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000809009372 1073954276 /nfs/dbraw/zinc/95/42/76/1073954276.db2.gz FWBBETIYEOLTGE-RAIGVLPGSA-N 0 0 444.414 -0.348 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C(=O)N(C)[C@H]2CCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000809009373 1073954271 /nfs/dbraw/zinc/95/42/71/1073954271.db2.gz FWBBETIYEOLTGE-RTXFEEFZSA-N 0 0 444.414 -0.348 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C(=O)N(C)[C@H]2CCN(CC(F)(F)F)C2=O)C(=O)N1c1cnn(C)c1 ZINC000809009374 1073954261 /nfs/dbraw/zinc/95/42/61/1073954261.db2.gz FWBBETIYEOLTGE-WXHSDQCUSA-N 0 0 444.414 -0.348 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N(CCO)Cc1cccnc1Cl ZINC000809010042 1073954225 /nfs/dbraw/zinc/95/42/25/1073954225.db2.gz FAPZXEIHLBERPZ-UHFFFAOYSA-N 0 0 445.885 -0.720 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000809010050 1073954300 /nfs/dbraw/zinc/95/43/00/1073954300.db2.gz FDBRGWMFILXGAH-GFCCVEGCSA-N 0 0 445.498 -0.664 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)C1 ZINC000809010055 1073954164 /nfs/dbraw/zinc/95/41/64/1073954164.db2.gz FDBRGWMFILXGAH-LBPRGKRZSA-N 0 0 445.498 -0.664 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cn3c(=O)[nH]c(=O)c4ccccc43)c2c(=O)n(C)c1=O ZINC000809010749 1073954243 /nfs/dbraw/zinc/95/42/43/1073954243.db2.gz HMUAJRMJLKBQPY-UHFFFAOYSA-N 0 0 426.389 -0.907 20 0 IBADRN CC1([C@@H]2CCCN(C(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)C2)OCCO1 ZINC000809011007 1073954236 /nfs/dbraw/zinc/95/42/36/1073954236.db2.gz WKQXPIMZCFZKLP-CYBMUJFWSA-N 0 0 430.483 -0.788 20 0 IBADRN CC1([C@H]2CCCN(C(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)C2)OCCO1 ZINC000809011011 1073954771 /nfs/dbraw/zinc/95/47/71/1073954771.db2.gz WKQXPIMZCFZKLP-ZDUSSCGKSA-N 0 0 430.483 -0.788 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC[C@H]1COC2(CCCCCC2)O1 ZINC000809011416 1073954291 /nfs/dbraw/zinc/95/42/91/1073954291.db2.gz YBTHTAKJKBCGEU-HNNXBMFYSA-N 0 0 444.510 -0.208 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)NC[C@@H]1COC2(CCCCCC2)O1 ZINC000809011421 1073954313 /nfs/dbraw/zinc/95/43/13/1073954313.db2.gz YBTHTAKJKBCGEU-OAHLLOKOSA-N 0 0 444.510 -0.208 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000809011872 1073954213 /nfs/dbraw/zinc/95/42/13/1073954213.db2.gz JGEDSMKTFZNHHH-UHFFFAOYSA-N 0 0 445.498 -0.711 20 0 IBADRN Cc1ccc(N2CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)cc1 ZINC000809011899 1073954178 /nfs/dbraw/zinc/95/41/78/1073954178.db2.gz JYHXPPHMECDVRE-UHFFFAOYSA-N 0 0 435.506 -0.133 20 0 IBADRN CC(C)COC(=O)N1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC000809011945 1073954304 /nfs/dbraw/zinc/95/43/04/1073954304.db2.gz MFIHDKCJOYDXRA-UHFFFAOYSA-N 0 0 445.498 -0.853 20 0 IBADRN CC(C)c1nnc2n1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)[C@@H]2C ZINC000809012488 1073954660 /nfs/dbraw/zinc/95/46/60/1073954660.db2.gz KGQNQHVEMAJCOM-GFCCVEGCSA-N 0 0 439.498 -0.472 20 0 IBADRN CC(C)c1nnc2n1CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc1ccon1)[C@H]2C ZINC000809012489 1073954646 /nfs/dbraw/zinc/95/46/46/1073954646.db2.gz KGQNQHVEMAJCOM-LBPRGKRZSA-N 0 0 439.498 -0.472 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCC[C@@H]1C[C@H](O)c1ccco1 ZINC000809013273 1073954673 /nfs/dbraw/zinc/95/46/73/1073954673.db2.gz MJTRGBBHOXVZDJ-CABCVRRESA-N 0 0 440.478 -0.082 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCC[C@H]1C[C@H](O)c1ccco1 ZINC000809013274 1073954651 /nfs/dbraw/zinc/95/46/51/1073954651.db2.gz MJTRGBBHOXVZDJ-GJZGRUSLSA-N 0 0 440.478 -0.082 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCC[C@@H]1C[C@@H](O)c1ccco1 ZINC000809013276 1073954627 /nfs/dbraw/zinc/95/46/27/1073954627.db2.gz MJTRGBBHOXVZDJ-HUUCEWRRSA-N 0 0 440.478 -0.082 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)C(=O)N1CCC[C@H]1C[C@@H](O)c1ccco1 ZINC000809013278 1073954599 /nfs/dbraw/zinc/95/45/99/1073954599.db2.gz MJTRGBBHOXVZDJ-LSDHHAIUSA-N 0 0 440.478 -0.082 20 0 IBADRN CC1(C2CCN(C(=O)C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)OCCO1 ZINC000809013599 1073954687 /nfs/dbraw/zinc/95/46/87/1073954687.db2.gz SYUQDVJYVDGNLZ-UHFFFAOYSA-N 0 0 430.483 -0.788 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)C(=O)N(C)CCS(C)(=O)=O)C1=O ZINC000809148288 1073955331 /nfs/dbraw/zinc/95/53/31/1073955331.db2.gz AMXJBDQOPZFPCG-LLVKDONJSA-N 0 0 431.492 -0.741 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)C(=O)N(C)CCS(C)(=O)=O)C1=O ZINC000809148293 1073955286 /nfs/dbraw/zinc/95/52/86/1073955286.db2.gz AMXJBDQOPZFPCG-NSHDSACASA-N 0 0 431.492 -0.741 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000809149673 1073955312 /nfs/dbraw/zinc/95/53/12/1073955312.db2.gz RJGOLPPPWFRQHL-GFCCVEGCSA-N 0 0 445.519 -0.351 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)N[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000809149674 1073955320 /nfs/dbraw/zinc/95/53/20/1073955320.db2.gz RJGOLPPPWFRQHL-LBPRGKRZSA-N 0 0 445.519 -0.351 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N[C@@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000809149981 1073955178 /nfs/dbraw/zinc/95/51/78/1073955178.db2.gz XWMFNGORYHDZKW-LLVKDONJSA-N 0 0 431.492 -0.693 20 0 IBADRN CCS(=O)(=O)CCNC(=O)C(=O)N[C@H]1CCN(c2sccc2C(=O)OC)C1=O ZINC000809149982 1073955352 /nfs/dbraw/zinc/95/53/52/1073955352.db2.gz XWMFNGORYHDZKW-NSHDSACASA-N 0 0 431.492 -0.693 20 0 IBADRN Cn1ccc(CCNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)n1 ZINC000809171706 1073955295 /nfs/dbraw/zinc/95/52/95/1073955295.db2.gz QWZUGBJXGAYIKY-UHFFFAOYSA-N 0 0 430.490 -0.628 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1cnnn1-c1ccccc1)S(C)(=O)=O ZINC000809174888 1073955306 /nfs/dbraw/zinc/95/53/06/1073955306.db2.gz CAWCCGXNPXVKIG-UHFFFAOYSA-N 0 0 429.524 -0.420 20 0 IBADRN C[C@@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809220666 1073955324 /nfs/dbraw/zinc/95/53/24/1073955324.db2.gz DSEDVKYVDBXZMC-BIXPNRAVSA-N 0 0 426.520 -0.837 20 0 IBADRN C[C@@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809220667 1073955215 /nfs/dbraw/zinc/95/52/15/1073955215.db2.gz DSEDVKYVDBXZMC-JWNZJDHWSA-N 0 0 426.520 -0.837 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809220668 1073955244 /nfs/dbraw/zinc/95/52/44/1073955244.db2.gz DSEDVKYVDBXZMC-KHXSAUHESA-N 0 0 426.520 -0.837 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809220669 1073955240 /nfs/dbraw/zinc/95/52/40/1073955240.db2.gz DSEDVKYVDBXZMC-MUDIAHQHSA-N 0 0 426.520 -0.837 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000809222329 1073955838 /nfs/dbraw/zinc/95/58/38/1073955838.db2.gz QAFABSSMPDAMHB-FZTYZDATSA-N 0 0 446.570 -0.251 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000809222330 1073955865 /nfs/dbraw/zinc/95/58/65/1073955865.db2.gz QAFABSSMPDAMHB-GKXFIBDASA-N 0 0 446.570 -0.251 20 0 IBADRN C[C@H](C[S@](C)=O)NC(=O)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000809222331 1073955849 /nfs/dbraw/zinc/95/58/49/1073955849.db2.gz QAFABSSMPDAMHB-PWNDQGHQSA-N 0 0 446.570 -0.251 20 0 IBADRN C[C@H](C[S@@](C)=O)NC(=O)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000809222332 1073955823 /nfs/dbraw/zinc/95/58/23/1073955823.db2.gz QAFABSSMPDAMHB-PWRODJMOSA-N 0 0 446.570 -0.251 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000809238635 1073955860 /nfs/dbraw/zinc/95/58/60/1073955860.db2.gz MGOKFSNFSRZOPE-KFWWJZLASA-N 0 0 425.530 -0.315 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000809238636 1073955854 /nfs/dbraw/zinc/95/58/54/1073955854.db2.gz MGOKFSNFSRZOPE-RBSFLKMASA-N 0 0 425.530 -0.315 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000809238637 1073955830 /nfs/dbraw/zinc/95/58/30/1073955830.db2.gz MGOKFSNFSRZOPE-RRFJBIMHSA-N 0 0 425.530 -0.315 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000809238638 1073955808 /nfs/dbraw/zinc/95/58/08/1073955808.db2.gz MGOKFSNFSRZOPE-ZNMIVQPWSA-N 0 0 425.530 -0.315 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809238639 1073955812 /nfs/dbraw/zinc/95/58/12/1073955812.db2.gz MGQGOBJRRZOCPP-DZGCQCFKSA-N 0 0 447.517 -0.689 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809238640 1073955818 /nfs/dbraw/zinc/95/58/18/1073955818.db2.gz MGQGOBJRRZOCPP-HIFRSBDPSA-N 0 0 447.517 -0.689 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809238641 1073955796 /nfs/dbraw/zinc/95/57/96/1073955796.db2.gz MGQGOBJRRZOCPP-UKRRQHHQSA-N 0 0 447.517 -0.689 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809238642 1073955844 /nfs/dbraw/zinc/95/58/44/1073955844.db2.gz MGQGOBJRRZOCPP-ZFWWWQNUSA-N 0 0 447.517 -0.689 20 0 IBADRN C[C@H]1CCN(C(=O)OC(C)(C)C)C[C@@H]1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000809241108 1073955766 /nfs/dbraw/zinc/95/57/66/1073955766.db2.gz DORXTMCJFRKXGZ-KBPBESRZSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@@H]1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000809241109 1073955782 /nfs/dbraw/zinc/95/57/82/1073955782.db2.gz DORXTMCJFRKXGZ-KGLIPLIRSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@H]1CCN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000809241110 1073955803 /nfs/dbraw/zinc/95/58/03/1073955803.db2.gz DORXTMCJFRKXGZ-UONOGXRCSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000809241111 1073955834 /nfs/dbraw/zinc/95/58/34/1073955834.db2.gz DORXTMCJFRKXGZ-ZIAGYGMSSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@H]1CCN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000809242818 1073956394 /nfs/dbraw/zinc/95/63/94/1073956394.db2.gz CUAVVJHQSJAOTN-GXTWGEPZSA-N 0 0 432.543 -0.244 20 0 IBADRN C[C@H]1CCN(C(=O)OC(C)(C)C)C[C@@H]1NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000809242819 1073956259 /nfs/dbraw/zinc/95/62/59/1073956259.db2.gz CUAVVJHQSJAOTN-JSGCOSHPSA-N 0 0 432.543 -0.244 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@@H]1NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000809242820 1073956224 /nfs/dbraw/zinc/95/62/24/1073956224.db2.gz CUAVVJHQSJAOTN-OCCSQVGLSA-N 0 0 432.543 -0.244 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000809242821 1073956375 /nfs/dbraw/zinc/95/63/75/1073956375.db2.gz CUAVVJHQSJAOTN-TZMCWYRMSA-N 0 0 432.543 -0.244 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)Nc2sc3c(c2C(N)=O)CCC3)c(=O)n(C)c1=O ZINC000809244448 1073956416 /nfs/dbraw/zinc/95/64/16/1073956416.db2.gz BGALXGBIRGVJRD-SREVYHEPSA-N 0 0 432.458 -0.072 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000809244530 1073956272 /nfs/dbraw/zinc/95/62/72/1073956272.db2.gz QNRLEVJWKDQAKH-BRWVUGGUSA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@@H]1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000809244532 1073956187 /nfs/dbraw/zinc/95/61/87/1073956187.db2.gz QNRLEVJWKDQAKH-IKGGRYGDSA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)C(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC000809244534 1073956341 /nfs/dbraw/zinc/95/63/41/1073956341.db2.gz QNRLEVJWKDQAKH-IXDOHACOSA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@@H]1NC(=O)C(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC000809244536 1073956290 /nfs/dbraw/zinc/95/62/90/1073956290.db2.gz QNRLEVJWKDQAKH-ZACQAIPSSA-N 0 0 442.557 -0.100 20 0 IBADRN CS(=O)(=O)N1CCN(Cc2nc(CN3C(=O)c4ccccc4S3(=O)=O)no2)CC1 ZINC000809247395 1073956311 /nfs/dbraw/zinc/95/63/11/1073956311.db2.gz NPRPWNGPQCRCNU-UHFFFAOYSA-N 0 0 441.491 -0.509 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)c(=O)n(C)c1=O ZINC000809247771 1073956358 /nfs/dbraw/zinc/95/63/58/1073956358.db2.gz CUXJNRNLJIEIGP-UTCJRWHESA-N 0 0 444.400 -0.240 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)C=Cc1cn(C)c(=O)n(C)c1=O)C2=O ZINC000809247840 1073956327 /nfs/dbraw/zinc/95/63/27/1073956327.db2.gz GBRBSIWSHRMXBG-PLNGDYQASA-N 0 0 447.448 -0.828 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O ZINC000809248122 1073956423 /nfs/dbraw/zinc/95/64/23/1073956423.db2.gz OXSXNGSUKFCEES-VURMDHGXSA-N 0 0 444.444 -0.288 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c1 ZINC000809248253 1073956781 /nfs/dbraw/zinc/95/67/81/1073956781.db2.gz BIFNXDVSKFAZTA-CMDGGOBGSA-N 0 0 444.444 -0.254 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c1 ZINC000809248254 1073956741 /nfs/dbraw/zinc/95/67/41/1073956741.db2.gz BIFNXDVSKFAZTA-HJWRWDBZSA-N 0 0 444.444 -0.254 20 0 IBADRN CCCCn1c2nc(COC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)n(C)c2c(=O)[nH]c1=O ZINC000809248410 1073956763 /nfs/dbraw/zinc/95/67/63/1073956763.db2.gz CODRVXZLAIMJIW-BQYQJAHWSA-N 0 0 444.448 -0.210 20 0 IBADRN CCCCn1c2nc(COC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)n(C)c2c(=O)[nH]c1=O ZINC000809248414 1073956789 /nfs/dbraw/zinc/95/67/89/1073956789.db2.gz CODRVXZLAIMJIW-FPLPWBNLSA-N 0 0 444.448 -0.210 20 0 IBADRN C[C@@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000809248739 1073956797 /nfs/dbraw/zinc/95/67/97/1073956797.db2.gz XLCLZJCHBNCYLS-KSHIFHCESA-N 0 0 436.446 -0.685 20 0 IBADRN C[C@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000809248740 1073956240 /nfs/dbraw/zinc/95/62/40/1073956240.db2.gz XLCLZJCHBNCYLS-NJHLSQGMSA-N 0 0 436.446 -0.685 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)COC(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)cc2C1=O ZINC000809248788 1073956794 /nfs/dbraw/zinc/95/67/94/1073956794.db2.gz KTBYVWOUKIEGLA-DAXSKMNVSA-N 0 0 426.385 -0.495 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)COC(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)cc2C1=O ZINC000809248789 1073956753 /nfs/dbraw/zinc/95/67/53/1073956753.db2.gz KTBYVWOUKIEGLA-QPJJXVBHSA-N 0 0 426.385 -0.495 20 0 IBADRN Cn1cc(C=CC(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000809248984 1073956162 /nfs/dbraw/zinc/95/61/62/1073956162.db2.gz AUZUUTNNDROILX-CMDGGOBGSA-N 0 0 449.485 -0.385 20 0 IBADRN Cn1cc(C=CC(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000809248985 1073956387 /nfs/dbraw/zinc/95/63/87/1073956387.db2.gz AUZUUTNNDROILX-HJWRWDBZSA-N 0 0 449.485 -0.385 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)C=Cc2cn(C)c(=O)n(C)c2=O)cc1)S(C)(=O)=O ZINC000809249124 1073956404 /nfs/dbraw/zinc/95/64/04/1073956404.db2.gz PUALZJLAGNTBRT-JXMROGBWSA-N 0 0 435.458 -0.081 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)C=Cc2cn(C)c(=O)n(C)c2=O)cc1)S(C)(=O)=O ZINC000809249125 1073956409 /nfs/dbraw/zinc/95/64/09/1073956409.db2.gz PUALZJLAGNTBRT-YFHOEESVSA-N 0 0 435.458 -0.081 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)cc1S(N)(=O)=O ZINC000809249257 1073956692 /nfs/dbraw/zinc/95/66/92/1073956692.db2.gz RUIKLJKGYPLENP-ALCCZGGFSA-N 0 0 436.446 -0.765 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)c(=O)n(C)c1=O ZINC000809249304 1073956769 /nfs/dbraw/zinc/95/67/69/1073956769.db2.gz SNBSBCGYBCKNJC-UTCJRWHESA-N 0 0 436.371 -0.327 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)N(CCC(N)=O)Cc2ccccc2)c(=O)n(C)c1=O ZINC000809249902 1073956698 /nfs/dbraw/zinc/95/66/98/1073956698.db2.gz NMXICIMWJLPSSL-HJWRWDBZSA-N 0 0 428.445 -0.455 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)c(=O)n(C)c1=O ZINC000809250608 1073956747 /nfs/dbraw/zinc/95/67/47/1073956747.db2.gz OFXSSFZOTGOTCW-UTCJRWHESA-N 0 0 430.373 -0.283 20 0 IBADRN C[C@@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000809250612 1073956776 /nfs/dbraw/zinc/95/67/76/1073956776.db2.gz OGCDTODXGBNHGZ-SKVAFPRGSA-N 0 0 436.446 -0.685 20 0 IBADRN C[C@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000809250614 1073956723 /nfs/dbraw/zinc/95/67/23/1073956723.db2.gz OGCDTODXGBNHGZ-TVRMLOFPSA-N 0 0 436.446 -0.685 20 0 IBADRN COc1cc(CNC(=O)COC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)cc(OC)c1OC ZINC000809251030 1073956711 /nfs/dbraw/zinc/95/67/11/1073956711.db2.gz WDJOXYDQQQMVEH-SREVYHEPSA-N 0 0 447.444 -0.017 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCOC[C@H]3CC(=O)OC)cc2S1(=O)=O ZINC000809255253 1073956785 /nfs/dbraw/zinc/95/67/85/1073956785.db2.gz XVWMYQNQYSEEHB-CYBMUJFWSA-N 0 0 426.447 -0.118 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCOC[C@@H]3CC(=O)OC)cc2S1(=O)=O ZINC000809255254 1073956734 /nfs/dbraw/zinc/95/67/34/1073956734.db2.gz XVWMYQNQYSEEHB-ZDUSSCGKSA-N 0 0 426.447 -0.118 20 0 IBADRN COC(=O)C[C@@H]1COCCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000809257120 1073957327 /nfs/dbraw/zinc/95/73/27/1073957327.db2.gz ZOAQTXYBUCLBRW-SECBINFHSA-N 0 0 428.243 -0.317 20 0 IBADRN COC(=O)C[C@H]1COCCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC000809257122 1073957206 /nfs/dbraw/zinc/95/72/06/1073957206.db2.gz ZOAQTXYBUCLBRW-VIFPVBQESA-N 0 0 428.243 -0.317 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(CCS(C)(=O)=O)C3CC3)C2)nc1 ZINC000809301101 1073957229 /nfs/dbraw/zinc/95/72/29/1073957229.db2.gz DBVPJUIXVNKZDH-UHFFFAOYSA-N 0 0 438.506 -0.401 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(CC)CCS(C)(=O)=O)C2)nc1 ZINC000809301433 1073957256 /nfs/dbraw/zinc/95/72/56/1073957256.db2.gz JYNVUALRNRLGTR-UHFFFAOYSA-N 0 0 426.495 -0.544 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N3CCN(C(=O)OCC)CC3)C2)nc1 ZINC000809301609 1073957399 /nfs/dbraw/zinc/95/73/99/1073957399.db2.gz RWURWTFMKAATKG-UHFFFAOYSA-N 0 0 433.465 -0.136 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)C(=O)N(CCS(C)(=O)=O)C(C)C)C2)nc1 ZINC000809301625 1073957178 /nfs/dbraw/zinc/95/71/78/1073957178.db2.gz SWVQRPYGUAFHHO-UHFFFAOYSA-N 0 0 440.522 -0.155 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CCC(O)(c3cccnc3)CC2)CC1)NC1CC1 ZINC000809325843 1073958461 /nfs/dbraw/zinc/95/84/61/1073958461.db2.gz FKXFKZHKVXKTFH-UHFFFAOYSA-N 0 0 429.521 -0.249 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NC2CCC(O)(c3cccnc3)CC2)CC1 ZINC000809326513 1073958448 /nfs/dbraw/zinc/95/84/48/1073958448.db2.gz PWROMWQEJSOTRR-UHFFFAOYSA-N 0 0 431.537 -0.050 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NC2CCC(O)(c3cccnc3)CC2)CC1 ZINC000809326740 1073958386 /nfs/dbraw/zinc/95/83/86/1073958386.db2.gz UISKWMJJGGCQLW-UHFFFAOYSA-N 0 0 431.537 -0.003 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NC3CCC(O)(c4cccnc4)CC3)CC2=O)cn1 ZINC000809327525 1073958885 /nfs/dbraw/zinc/95/88/85/1073958885.db2.gz HYNSHYANLMUWGJ-UHFFFAOYSA-N 0 0 426.477 -0.063 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)NC2CCC(O)(c3cccnc3)CC2)CC1 ZINC000809327747 1073958248 /nfs/dbraw/zinc/95/82/48/1073958248.db2.gz LXLIZOHXTMRGJI-UHFFFAOYSA-N 0 0 443.504 -0.723 20 0 IBADRN CCO/C=C/[C@](O)(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(F)(F)F ZINC000809341726 1073958332 /nfs/dbraw/zinc/95/83/32/1073958332.db2.gz WHUOQDAYBAMQSQ-AGWWVDPPSA-N 0 0 449.386 -0.307 20 0 IBADRN CCO/C=C\[C@](O)(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(F)(F)F ZINC000809341727 1073958415 /nfs/dbraw/zinc/95/84/15/1073958415.db2.gz WHUOQDAYBAMQSQ-BDLUEUELSA-N 0 0 449.386 -0.307 20 0 IBADRN CCO/C=C/[C@@](O)(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(F)(F)F ZINC000809341728 1073958409 /nfs/dbraw/zinc/95/84/09/1073958409.db2.gz WHUOQDAYBAMQSQ-HFJUYQMGSA-N 0 0 449.386 -0.307 20 0 IBADRN CCO/C=C\[C@@](O)(CNc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(F)(F)F ZINC000809341729 1073958396 /nfs/dbraw/zinc/95/83/96/1073958396.db2.gz WHUOQDAYBAMQSQ-YMYNXUCPSA-N 0 0 449.386 -0.307 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)C2CC2)ccc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000809343173 1073958350 /nfs/dbraw/zinc/95/83/50/1073958350.db2.gz BTXXBPUOQPXEIK-UHFFFAOYSA-N 0 0 436.534 -0.093 20 0 IBADRN Cn1c2[nH]c(NCc3ccc(S(=O)(=O)N4CCOCC4)cc3)nc2c(=O)n(C)c1=O ZINC000809343532 1073958285 /nfs/dbraw/zinc/95/82/85/1073958285.db2.gz HUAXUXJUHPGNMW-UHFFFAOYSA-N 0 0 434.478 -0.407 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)C2CC2)ccc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000809345437 1073958425 /nfs/dbraw/zinc/95/84/25/1073958425.db2.gz ZTCWSELXSKADTJ-UHFFFAOYSA-N 0 0 436.534 -0.093 20 0 IBADRN Cn1c2[nH]c(N3CCN(Cc4cc(=O)n5ccsc5n4)CC3)nc2c(=O)n(C)c1=O ZINC000809345863 1073958459 /nfs/dbraw/zinc/95/84/59/1073958459.db2.gz WFUXQMZJLXHUCU-UHFFFAOYSA-N 0 0 428.478 -0.648 20 0 IBADRN O=C(C(=O)N1CCN(c2ccc3c(c2)S(=O)(=O)N=C3Cl)CC1)N1CCOCC1 ZINC000809345871 1073958301 /nfs/dbraw/zinc/95/83/01/1073958301.db2.gz WNACJHWZNPWOHM-UHFFFAOYSA-N 0 0 426.882 -0.118 20 0 IBADRN Cn1c2[nH]c(N3CCN([C@H](C(N)=O)c4ccc(Cl)cc4)CC3)nc2c(=O)n(C)c1=O ZINC000809356760 1073958404 /nfs/dbraw/zinc/95/84/04/1073958404.db2.gz XNEMLDTZRVQBLY-AWEZNQCLSA-N 0 0 431.884 -0.038 20 0 IBADRN Cn1c2[nH]c(N3CCN([C@@H](C(N)=O)c4ccc(Cl)cc4)CC3)nc2c(=O)n(C)c1=O ZINC000809356761 1073958322 /nfs/dbraw/zinc/95/83/22/1073958322.db2.gz XNEMLDTZRVQBLY-CQSZACIVSA-N 0 0 431.884 -0.038 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1cc(S(C)(=O)=O)sc1Cl ZINC000809381651 1073958824 /nfs/dbraw/zinc/95/88/24/1073958824.db2.gz DOSYPFTXYJCEBY-UHFFFAOYSA-N 0 0 425.963 -0.521 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)N(C)C)ccc1Br ZINC000809381688 1073958894 /nfs/dbraw/zinc/95/88/94/1073958894.db2.gz GHGAPMXALMSMKH-UHFFFAOYSA-N 0 0 444.329 -0.090 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCOCCS(N)(=O)=O)ccc1Br ZINC000809381751 1073958927 /nfs/dbraw/zinc/95/89/27/1073958927.db2.gz JVIWGIGDEZDNPT-UHFFFAOYSA-N 0 0 445.313 -0.181 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)NCCOCCS(N)(=O)=O)c(Cl)s1 ZINC000809381831 1073958980 /nfs/dbraw/zinc/95/89/80/1073958980.db2.gz NEYWJMCXFHVUGL-UHFFFAOYSA-N 0 0 426.947 -0.612 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@@H](CS(=O)(=O)N2CCN(CCO)CC2)C1 ZINC000809388663 1073958905 /nfs/dbraw/zinc/95/89/05/1073958905.db2.gz WMPKVOZXUWZYKI-GOSISDBHSA-N 0 0 427.523 -0.036 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@H](CS(=O)(=O)N2CCN(CCO)CC2)C1 ZINC000809388668 1073958996 /nfs/dbraw/zinc/95/89/96/1073958996.db2.gz WMPKVOZXUWZYKI-SFHVURJKSA-N 0 0 427.523 -0.036 20 0 IBADRN NC(=O)[C@@H]1CN(S(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)CCO1 ZINC000809392097 1073958919 /nfs/dbraw/zinc/95/89/19/1073958919.db2.gz IFQVAFHTYKFUNW-CVEARBPZSA-N 0 0 427.479 -0.460 20 0 IBADRN NC(=O)[C@@H]1CN(S(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)CCO1 ZINC000809392098 1073958972 /nfs/dbraw/zinc/95/89/72/1073958972.db2.gz IFQVAFHTYKFUNW-HOTGVXAUSA-N 0 0 427.479 -0.460 20 0 IBADRN NC(=O)[C@H]1CN(S(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)CCO1 ZINC000809392099 1073958859 /nfs/dbraw/zinc/95/88/59/1073958859.db2.gz IFQVAFHTYKFUNW-HZPDHXFCSA-N 0 0 427.479 -0.460 20 0 IBADRN NC(=O)[C@H]1CN(S(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)CCO1 ZINC000809392100 1073958841 /nfs/dbraw/zinc/95/88/41/1073958841.db2.gz IFQVAFHTYKFUNW-JKSUJKDBSA-N 0 0 427.479 -0.460 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC000809393207 1073960365 /nfs/dbraw/zinc/96/03/65/1073960365.db2.gz WNUVKSWSOXNKIY-INIZCTEOSA-N 0 0 449.551 -0.117 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC000809393208 1073960330 /nfs/dbraw/zinc/96/03/30/1073960330.db2.gz WNUVKSWSOXNKIY-MRXNPFEDSA-N 0 0 449.551 -0.117 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1)CC2 ZINC000809408803 1073960291 /nfs/dbraw/zinc/96/02/91/1073960291.db2.gz JVLQGCBEADURQD-GFCCVEGCSA-N 0 0 446.430 -0.227 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1)CC2 ZINC000809408812 1073960355 /nfs/dbraw/zinc/96/03/55/1073960355.db2.gz JVLQGCBEADURQD-LBPRGKRZSA-N 0 0 446.430 -0.227 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCOC[C@@H]1C[C@H](O)c1ccco1)CC2 ZINC000809409265 1073960318 /nfs/dbraw/zinc/96/03/18/1073960318.db2.gz NZWWIXJACHNWPL-ILXRZTDVSA-N 0 0 433.465 -0.200 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCOC[C@H]1C[C@H](O)c1ccco1)CC2 ZINC000809409268 1073960411 /nfs/dbraw/zinc/96/04/11/1073960411.db2.gz NZWWIXJACHNWPL-KFWWJZLASA-N 0 0 433.465 -0.200 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccco1)CC2 ZINC000809409269 1073960312 /nfs/dbraw/zinc/96/03/12/1073960312.db2.gz NZWWIXJACHNWPL-QLFBSQMISA-N 0 0 433.465 -0.200 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCOC[C@H]1C[C@@H](O)c1ccco1)CC2 ZINC000809409270 1073960460 /nfs/dbraw/zinc/96/04/60/1073960460.db2.gz NZWWIXJACHNWPL-RBSFLKMASA-N 0 0 433.465 -0.200 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1Cc3c(OC)ccc(OC)c3[C@H](O)C1)CC2 ZINC000809410261 1073960957 /nfs/dbraw/zinc/96/09/57/1073960957.db2.gz ZAYRBLKBWHLINK-GXTWGEPZSA-N 0 0 445.476 -0.052 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1Cc3c(OC)ccc(OC)c3[C@@H](O)C1)CC2 ZINC000809410262 1073960882 /nfs/dbraw/zinc/96/08/82/1073960882.db2.gz ZAYRBLKBWHLINK-JSGCOSHPSA-N 0 0 445.476 -0.052 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1Cc3c(OC)ccc(OC)c3[C@@H](O)C1)CC2 ZINC000809410263 1073960920 /nfs/dbraw/zinc/96/09/20/1073960920.db2.gz ZAYRBLKBWHLINK-OCCSQVGLSA-N 0 0 445.476 -0.052 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1Cc3c(OC)ccc(OC)c3[C@H](O)C1)CC2 ZINC000809410264 1073961036 /nfs/dbraw/zinc/96/10/36/1073961036.db2.gz ZAYRBLKBWHLINK-TZMCWYRMSA-N 0 0 445.476 -0.052 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N1CCN(C3=NCC(C)(C)S3)CC1)CC2 ZINC000809411275 1073960982 /nfs/dbraw/zinc/96/09/82/1073960982.db2.gz TVIAQGRTZSSLPC-CYBMUJFWSA-N 0 0 435.554 -0.119 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N1CCN(C3=NCC(C)(C)S3)CC1)CC2 ZINC000809411276 1073960963 /nfs/dbraw/zinc/96/09/63/1073960963.db2.gz TVIAQGRTZSSLPC-ZDUSSCGKSA-N 0 0 435.554 -0.119 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N(C)[C@@H]1CCN(CC(F)(F)F)C1=O)CC2 ZINC000809411507 1073960891 /nfs/dbraw/zinc/96/08/91/1073960891.db2.gz ZZFLVOQXQIDDGY-GHMZBOCLSA-N 0 0 432.403 -0.523 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)C(=O)N(C)[C@H]1CCN(CC(F)(F)F)C1=O)CC2 ZINC000809411508 1073960912 /nfs/dbraw/zinc/96/09/12/1073960912.db2.gz ZZFLVOQXQIDDGY-MNOVXSKESA-N 0 0 432.403 -0.523 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N(C)[C@H]1CCN(CC(F)(F)F)C1=O)CC2 ZINC000809411509 1073960923 /nfs/dbraw/zinc/96/09/23/1073960923.db2.gz ZZFLVOQXQIDDGY-QWRGUYRKSA-N 0 0 432.403 -0.523 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)C(=O)N(C)[C@@H]1CCN(CC(F)(F)F)C1=O)CC2 ZINC000809411510 1073960916 /nfs/dbraw/zinc/96/09/16/1073960916.db2.gz ZZFLVOQXQIDDGY-WDEREUQCSA-N 0 0 432.403 -0.523 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCc2ccc(N3CCOC3=O)cc2)CC1 ZINC000809427085 1073958810 /nfs/dbraw/zinc/95/88/10/1073958810.db2.gz LFAIXAMJXXOCPL-UHFFFAOYSA-N 0 0 431.493 -0.072 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCc2ccc(N3CCOC3=O)cc2)CC1 ZINC000809427366 1073958868 /nfs/dbraw/zinc/95/88/68/1073958868.db2.gz QRCRQAHKLHUZSV-UHFFFAOYSA-N 0 0 431.493 -0.118 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2ccc(N3CCOC3=O)cc2)CC1)NC1CC1 ZINC000809427607 1073958853 /nfs/dbraw/zinc/95/88/53/1073958853.db2.gz WMIPIUOWJKRQFM-UHFFFAOYSA-N 0 0 429.477 -0.318 20 0 IBADRN Cn1ccnc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCOC4=O)cc3)CC2)c1=O ZINC000809428150 1073958935 /nfs/dbraw/zinc/95/89/35/1073958935.db2.gz DOBDFXVQMGQWBP-UHFFFAOYSA-N 0 0 440.460 -0.298 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCc3ccc(N4CCOC4=O)cc3)CC2=O)cn1 ZINC000809429184 1073959398 /nfs/dbraw/zinc/95/93/98/1073959398.db2.gz PSCJNJLIEJAWRB-UHFFFAOYSA-N 0 0 426.433 -0.132 20 0 IBADRN O=C(NCc1ccc(N2CCOC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000809429642 1073959480 /nfs/dbraw/zinc/95/94/80/1073959480.db2.gz UQFFZLSABKNCNZ-UHFFFAOYSA-N 0 0 443.460 -0.791 20 0 IBADRN CN(C[C@@H]1CCCN1C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC000809430400 1073959410 /nfs/dbraw/zinc/95/94/10/1073959410.db2.gz CGIOUJLXIPKOMF-AWEZNQCLSA-N 0 0 432.543 -0.148 20 0 IBADRN CN(C[C@H]1CCCN1C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC000809430501 1073959939 /nfs/dbraw/zinc/95/99/39/1073959939.db2.gz CGIOUJLXIPKOMF-CQSZACIVSA-N 0 0 432.543 -0.148 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000809430560 1073959463 /nfs/dbraw/zinc/95/94/63/1073959463.db2.gz DHCCUAIPPHMVAA-CABCVRRESA-N 0 0 444.554 -0.006 20 0 IBADRN CN(C[C@H]1CCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1)C(=O)OC(C)(C)C ZINC000809430596 1073959438 /nfs/dbraw/zinc/95/94/38/1073959438.db2.gz FXAGKZZHMBYYDE-CYBMUJFWSA-N 0 0 432.543 -0.291 20 0 IBADRN CN(C[C@@H]1CCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1)C(=O)OC(C)(C)C ZINC000809430597 1073959433 /nfs/dbraw/zinc/95/94/33/1073959433.db2.gz FXAGKZZHMBYYDE-ZDUSSCGKSA-N 0 0 432.543 -0.291 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CCCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000809430790 1073959429 /nfs/dbraw/zinc/95/94/29/1073959429.db2.gz JNTYNCKEJNCVGD-CYBMUJFWSA-N 0 0 432.543 -0.243 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CCCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000809430791 1073959453 /nfs/dbraw/zinc/95/94/53/1073959453.db2.gz JNTYNCKEJNCVGD-ZDUSSCGKSA-N 0 0 432.543 -0.243 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(C(=O)OCc3ccccc3)CC2)C1 ZINC000809430930 1073959472 /nfs/dbraw/zinc/95/94/72/1073959472.db2.gz KNCCJNPIUGSXDE-UHFFFAOYSA-N 0 0 438.506 -0.525 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@H](C)CN1C(=O)OC(C)(C)C ZINC000809431110 1073959468 /nfs/dbraw/zinc/95/94/68/1073959468.db2.gz LYASCBHKUAKWDA-CHWSQXEVSA-N 0 0 432.543 -0.150 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000809431111 1073959491 /nfs/dbraw/zinc/95/94/91/1073959491.db2.gz LYASCBHKUAKWDA-OLZOCXBDSA-N 0 0 432.543 -0.150 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC000809431112 1073959424 /nfs/dbraw/zinc/95/94/24/1073959424.db2.gz LYASCBHKUAKWDA-QWHCGFSZSA-N 0 0 432.543 -0.150 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC000809431113 1073959454 /nfs/dbraw/zinc/95/94/54/1073959454.db2.gz LYASCBHKUAKWDA-STQMWFEESA-N 0 0 432.543 -0.150 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNC(=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC000809431185 1073959418 /nfs/dbraw/zinc/95/94/18/1073959418.db2.gz OGZMNSXNMVFMQM-UHFFFAOYSA-N 0 0 428.458 -0.730 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)c(F)c1 ZINC000809431229 1073959442 /nfs/dbraw/zinc/95/94/42/1073959442.db2.gz OKMWZOQPBUABDO-UHFFFAOYSA-N 0 0 440.497 -0.316 20 0 IBADRN CCCCCCNC(=O)[C@H]1CCCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000809431368 1073959476 /nfs/dbraw/zinc/95/94/76/1073959476.db2.gz QCDODSDCIOWQPG-INIZCTEOSA-N 0 0 430.571 -0.071 20 0 IBADRN CCCCCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC000809431369 1073959405 /nfs/dbraw/zinc/95/94/05/1073959405.db2.gz QCDODSDCIOWQPG-MRXNPFEDSA-N 0 0 430.571 -0.071 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2ccccc2)C1 ZINC000809431507 1073959881 /nfs/dbraw/zinc/95/98/81/1073959881.db2.gz RAMLAVYNXPIUFG-DLBZAZTESA-N 0 0 425.507 -0.655 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2ccccc2)C1 ZINC000809431508 1073959927 /nfs/dbraw/zinc/95/99/27/1073959927.db2.gz RAMLAVYNXPIUFG-IAGOWNOFSA-N 0 0 425.507 -0.655 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2ccccc2)C1 ZINC000809431509 1073960422 /nfs/dbraw/zinc/96/04/22/1073960422.db2.gz RAMLAVYNXPIUFG-IRXDYDNUSA-N 0 0 425.507 -0.655 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2ccccc2)C1 ZINC000809431510 1073960463 /nfs/dbraw/zinc/96/04/63/1073960463.db2.gz RAMLAVYNXPIUFG-SJORKVTESA-N 0 0 425.507 -0.655 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCC(O)(Cc3cccc(F)c3)CC2)C1 ZINC000809431524 1073960270 /nfs/dbraw/zinc/96/02/70/1073960270.db2.gz RRGHUPRCUYLSMV-UHFFFAOYSA-N 0 0 427.498 -0.271 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)C1 ZINC000809431581 1073959857 /nfs/dbraw/zinc/95/98/57/1073959857.db2.gz UFAPNFLOVIFWGW-UHFFFAOYSA-N 0 0 435.428 -0.845 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2)C1 ZINC000809431821 1073959899 /nfs/dbraw/zinc/95/98/99/1073959899.db2.gz WMJDLAZBXXREHU-IBGZPJMESA-N 0 0 444.554 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(CCN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C2)C1 ZINC000809431823 1073959904 /nfs/dbraw/zinc/95/99/04/1073959904.db2.gz WMJDLAZBXXREHU-LJQANCHMSA-N 0 0 444.554 -0.147 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)C[C@H]2O ZINC000809431945 1073959920 /nfs/dbraw/zinc/95/99/20/1073959920.db2.gz YXFKILAQSLMLJT-CYBMUJFWSA-N 0 0 427.479 -0.913 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)NCC1CN(S(C)(=O)=O)C1)C[C@@H]2O ZINC000809431946 1073959925 /nfs/dbraw/zinc/95/99/25/1073959925.db2.gz YXFKILAQSLMLJT-ZDUSSCGKSA-N 0 0 427.479 -0.913 20 0 IBADRN CC(C)(C)OC(=O)NCC1CCN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC000809431952 1073959907 /nfs/dbraw/zinc/95/99/07/1073959907.db2.gz ZDNOFQRQZREREL-UHFFFAOYSA-N 0 0 432.543 -0.243 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCOC[C@@H]2C[C@H](O)c2cccs2)C1 ZINC000809432062 1073959932 /nfs/dbraw/zinc/95/99/32/1073959932.db2.gz CYQJKASIIBJKDI-KBPBESRZSA-N 0 0 431.536 -0.593 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCOC[C@H]2C[C@H](O)c2cccs2)C1 ZINC000809432064 1073959936 /nfs/dbraw/zinc/95/99/36/1073959936.db2.gz CYQJKASIIBJKDI-KGLIPLIRSA-N 0 0 431.536 -0.593 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCOC[C@@H]2C[C@@H](O)c2cccs2)C1 ZINC000809432067 1073959869 /nfs/dbraw/zinc/95/98/69/1073959869.db2.gz CYQJKASIIBJKDI-UONOGXRCSA-N 0 0 431.536 -0.593 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCOC[C@H]2C[C@@H](O)c2cccs2)C1 ZINC000809432069 1073959912 /nfs/dbraw/zinc/95/99/12/1073959912.db2.gz CYQJKASIIBJKDI-ZIAGYGMSSA-N 0 0 431.536 -0.593 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CCO1 ZINC000809432204 1073959944 /nfs/dbraw/zinc/95/99/44/1073959944.db2.gz FURITJJYLOGANJ-GXTWGEPZSA-N 0 0 448.542 -0.865 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CCO1 ZINC000809432205 1073959889 /nfs/dbraw/zinc/95/98/89/1073959889.db2.gz FURITJJYLOGANJ-JSGCOSHPSA-N 0 0 448.542 -0.865 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CCO1 ZINC000809432206 1073959949 /nfs/dbraw/zinc/95/99/49/1073959949.db2.gz FURITJJYLOGANJ-OCCSQVGLSA-N 0 0 448.542 -0.865 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)CCO1 ZINC000809432207 1073959952 /nfs/dbraw/zinc/95/99/52/1073959952.db2.gz FURITJJYLOGANJ-TZMCWYRMSA-N 0 0 448.542 -0.865 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC000809432887 1073960405 /nfs/dbraw/zinc/96/04/05/1073960405.db2.gz TVDMIMKRHAIZCE-BETUJISGSA-N 0 0 432.543 -0.150 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC000809432888 1073960417 /nfs/dbraw/zinc/96/04/17/1073960417.db2.gz TVDMIMKRHAIZCE-CHWSQXEVSA-N 0 0 432.543 -0.150 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NCC2CN(S(C)(=O)=O)C2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC000809432889 1073960322 /nfs/dbraw/zinc/96/03/22/1073960322.db2.gz TVDMIMKRHAIZCE-STQMWFEESA-N 0 0 432.543 -0.150 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)C(=O)N2CCN(Cc3ccc(Cl)nc3)CC2)C1 ZINC000809432895 1073960283 /nfs/dbraw/zinc/96/02/83/1073960283.db2.gz UCYMIPPOQMDBKW-UHFFFAOYSA-N 0 0 429.930 -0.613 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2CN(C(=O)C(=O)NCC3CN(S(C)(=O)=O)C3)C[C@H]2C1 ZINC000809434030 1073960346 /nfs/dbraw/zinc/96/03/46/1073960346.db2.gz VACLCGKAGBAPMW-OKILXGFUSA-N 0 0 430.527 -0.681 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CN(C)CCO1 ZINC000809440563 1073960929 /nfs/dbraw/zinc/96/09/29/1073960929.db2.gz JDGRTOGJOWSTGQ-DLBZAZTESA-N 0 0 441.573 -0.167 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CN(C)CCO1 ZINC000809440566 1073960824 /nfs/dbraw/zinc/96/08/24/1073960824.db2.gz JDGRTOGJOWSTGQ-IAGOWNOFSA-N 0 0 441.573 -0.167 20 0 IBADRN C[C@H](NC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CN(C)CCO1 ZINC000809440568 1073960988 /nfs/dbraw/zinc/96/09/88/1073960988.db2.gz JDGRTOGJOWSTGQ-IRXDYDNUSA-N 0 0 441.573 -0.167 20 0 IBADRN C[C@@H](NC(=O)C(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CN(C)CCO1 ZINC000809440570 1073960940 /nfs/dbraw/zinc/96/09/40/1073960940.db2.gz JDGRTOGJOWSTGQ-SJORKVTESA-N 0 0 441.573 -0.167 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1)[C@H]1CN(C)CCO1 ZINC000809440894 1073961002 /nfs/dbraw/zinc/96/10/02/1073961002.db2.gz NVSOAUNWISZRDR-JONQDZQNSA-N 0 0 428.530 -0.038 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1)[C@H]1CN(C)CCO1 ZINC000809440895 1073961021 /nfs/dbraw/zinc/96/10/21/1073961021.db2.gz NVSOAUNWISZRDR-QXSJWSMHSA-N 0 0 428.530 -0.038 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCO[C@H]([C@H](C)NC(=O)OC(C)(C)C)C1)[C@@H]1CN(C)CCO1 ZINC000809440896 1073960899 /nfs/dbraw/zinc/96/08/99/1073960899.db2.gz NVSOAUNWISZRDR-UGUYLWEFSA-N 0 0 428.530 -0.038 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)CCO1 ZINC000809440897 1073960847 /nfs/dbraw/zinc/96/08/47/1073960847.db2.gz NVSOAUNWISZRDR-VGWMRTNUSA-N 0 0 428.530 -0.038 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)[C@H]1CN(C)CCO1 ZINC000809441485 1073960969 /nfs/dbraw/zinc/96/09/69/1073960969.db2.gz FGRHLCDZYYIELZ-ARFHVFGLSA-N 0 0 427.546 -0.511 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)[C@H]1CN(C)CCO1 ZINC000809441486 1073960869 /nfs/dbraw/zinc/96/08/69/1073960869.db2.gz FGRHLCDZYYIELZ-HRCADAONSA-N 0 0 427.546 -0.511 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)[C@@H]1CN(C)CCO1 ZINC000809441487 1073961584 /nfs/dbraw/zinc/96/15/84/1073961584.db2.gz FGRHLCDZYYIELZ-JYJNAYRXSA-N 0 0 427.546 -0.511 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)[C@@H]1CN(C)CCO1 ZINC000809441488 1073961495 /nfs/dbraw/zinc/96/14/95/1073961495.db2.gz FGRHLCDZYYIELZ-XHSDSOJGSA-N 0 0 427.546 -0.511 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)[C@H]1CN(C)CCO1 ZINC000809442876 1073961546 /nfs/dbraw/zinc/96/15/46/1073961546.db2.gz WDZMPJVJBSPPJJ-GDBMZVCRSA-N 0 0 449.533 -0.885 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)[C@H]1CN(C)CCO1 ZINC000809442877 1073961484 /nfs/dbraw/zinc/96/14/84/1073961484.db2.gz WDZMPJVJBSPPJJ-GOEBONIOSA-N 0 0 449.533 -0.885 20 0 IBADRN C[C@H](NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)[C@@H]1CN(C)CCO1 ZINC000809442878 1073961639 /nfs/dbraw/zinc/96/16/39/1073961639.db2.gz WDZMPJVJBSPPJJ-HOCLYGCPSA-N 0 0 449.533 -0.885 20 0 IBADRN C[C@@H](NC(=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)[C@@H]1CN(C)CCO1 ZINC000809442879 1073961456 /nfs/dbraw/zinc/96/14/56/1073961456.db2.gz WDZMPJVJBSPPJJ-ZBFHGGJFSA-N 0 0 449.533 -0.885 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)[C@H]1CCCO1 ZINC000809450693 1073961560 /nfs/dbraw/zinc/96/15/60/1073961560.db2.gz AGBWGXHQAROLLK-HRCADAONSA-N 0 0 428.530 -0.036 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C)[C@@H]1CCCO1 ZINC000809450694 1073961574 /nfs/dbraw/zinc/96/15/74/1073961574.db2.gz AGBWGXHQAROLLK-JYJNAYRXSA-N 0 0 428.530 -0.036 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)[C@H]1CCCO1 ZINC000809450695 1073961566 /nfs/dbraw/zinc/96/15/66/1073961566.db2.gz AGBWGXHQAROLLK-OWCLPIDISA-N 0 0 428.530 -0.036 20 0 IBADRN COC[C@H](NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C)[C@@H]1CCCO1 ZINC000809450696 1073961569 /nfs/dbraw/zinc/96/15/69/1073961569.db2.gz AGBWGXHQAROLLK-PMPSAXMXSA-N 0 0 428.530 -0.036 20 0 IBADRN O=C(N[C@H]1CCn2ccnc2C1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809458189 1073961628 /nfs/dbraw/zinc/96/16/28/1073961628.db2.gz RXCDLTMGCQJTDZ-AWEZNQCLSA-N 0 0 442.501 -0.393 20 0 IBADRN O=C(N[C@@H]1CCn2ccnc2C1)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809458190 1073961612 /nfs/dbraw/zinc/96/16/12/1073961612.db2.gz RXCDLTMGCQJTDZ-CQSZACIVSA-N 0 0 442.501 -0.393 20 0 IBADRN COc1ccc(CC(=O)O[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000809498842 1073961606 /nfs/dbraw/zinc/96/16/06/1073961606.db2.gz AFSYLKNSFFEBQM-AWEZNQCLSA-N 0 0 433.504 -0.011 20 0 IBADRN COc1ccc(CC(=O)O[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000809498843 1073961536 /nfs/dbraw/zinc/96/15/36/1073961536.db2.gz AFSYLKNSFFEBQM-CQSZACIVSA-N 0 0 433.504 -0.011 20 0 IBADRN Cn1c2ncn(Cc3nc(N4CCN(C(=O)OC(C)(C)C)CC4)no3)c2c(=O)n(C)c1=O ZINC000809515618 1073962062 /nfs/dbraw/zinc/96/20/62/1073962062.db2.gz BDXKKYDAPGHDKJ-UHFFFAOYSA-N 0 0 446.468 -0.078 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1nc(N3CCN(C(=O)OC(C)(C)C)CC3)no1)c(=O)n2C ZINC000809517070 1073962098 /nfs/dbraw/zinc/96/20/98/1073962098.db2.gz BNEAZJNXPBTNQV-UHFFFAOYSA-N 0 0 446.468 -0.078 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@H]3SCCS[C@H]23)CC1 ZINC000809525105 1073962136 /nfs/dbraw/zinc/96/21/36/1073962136.db2.gz IEIHQRUMYUHNMS-BFYDXBDKSA-N 0 0 442.607 -0.515 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H]3SCCS[C@H]23)CC1 ZINC000809525112 1073962070 /nfs/dbraw/zinc/96/20/70/1073962070.db2.gz IEIHQRUMYUHNMS-HLLBOEOZSA-N 0 0 442.607 -0.515 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@H]3SCCS[C@@H]23)CC1 ZINC000809525114 1073962027 /nfs/dbraw/zinc/96/20/27/1073962027.db2.gz IEIHQRUMYUHNMS-INMHGKMJSA-N 0 0 442.607 -0.515 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H]3SCCS[C@@H]23)CC1 ZINC000809525116 1073962042 /nfs/dbraw/zinc/96/20/42/1073962042.db2.gz IEIHQRUMYUHNMS-VYDXJSESSA-N 0 0 442.607 -0.515 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC[C@H]3SCCS[C@H]23)CC1)N1CCOCC1 ZINC000809530627 1073962085 /nfs/dbraw/zinc/96/20/85/1073962085.db2.gz WGLBPSVOVRPULY-BFYDXBDKSA-N 0 0 442.607 -0.515 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H]3SCCS[C@H]23)CC1)N1CCOCC1 ZINC000809530629 1073962046 /nfs/dbraw/zinc/96/20/46/1073962046.db2.gz WGLBPSVOVRPULY-HLLBOEOZSA-N 0 0 442.607 -0.515 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC[C@H]3SCCS[C@@H]23)CC1)N1CCOCC1 ZINC000809530630 1073962142 /nfs/dbraw/zinc/96/21/42/1073962142.db2.gz WGLBPSVOVRPULY-INMHGKMJSA-N 0 0 442.607 -0.515 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H]3SCCS[C@@H]23)CC1)N1CCOCC1 ZINC000809530632 1073962130 /nfs/dbraw/zinc/96/21/30/1073962130.db2.gz WGLBPSVOVRPULY-VYDXJSESSA-N 0 0 442.607 -0.515 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H]3SCCS[C@H]23)CC1 ZINC000809531106 1073962005 /nfs/dbraw/zinc/96/20/05/1073962005.db2.gz ZYDXITDLCTYOOJ-HZSPNIEDSA-N 0 0 426.564 -0.568 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@H]3SCCS[C@@H]23)CC1 ZINC000809531107 1073962020 /nfs/dbraw/zinc/96/20/20/1073962020.db2.gz ZYDXITDLCTYOOJ-MCIONIFRSA-N 0 0 426.564 -0.568 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@H]3SCCS[C@H]23)CC1 ZINC000809531108 1073962056 /nfs/dbraw/zinc/96/20/56/1073962056.db2.gz ZYDXITDLCTYOOJ-MGPQQGTHSA-N 0 0 426.564 -0.568 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)N[C@@H]2CC[C@@H]3SCCS[C@@H]23)CC1 ZINC000809531109 1073962074 /nfs/dbraw/zinc/96/20/74/1073962074.db2.gz ZYDXITDLCTYOOJ-RDBSUJKOSA-N 0 0 426.564 -0.568 20 0 IBADRN CN(C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000809534060 1073962474 /nfs/dbraw/zinc/96/24/74/1073962474.db2.gz AZRTVODSPDTYHI-GHMZBOCLSA-N 0 0 428.433 -0.852 20 0 IBADRN CN(C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000809534064 1073962532 /nfs/dbraw/zinc/96/25/32/1073962532.db2.gz AZRTVODSPDTYHI-MNOVXSKESA-N 0 0 428.433 -0.852 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H]1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000809534252 1073962092 /nfs/dbraw/zinc/96/20/92/1073962092.db2.gz GBFTUYJRKFFWPY-KGLIPLIRSA-N 0 0 432.543 -0.006 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H]1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000809534256 1073962114 /nfs/dbraw/zinc/96/21/14/1073962114.db2.gz GBFTUYJRKFFWPY-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000809534301 1073962118 /nfs/dbraw/zinc/96/21/18/1073962118.db2.gz HFCHJNAIGHFCPJ-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN CN(C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000809534369 1073962110 /nfs/dbraw/zinc/96/21/10/1073962110.db2.gz HZPGXUIRJDWYMC-IAGOWNOFSA-N 0 0 436.534 -0.214 20 0 IBADRN CN(C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)[C@H]1CCN(Cc2ccccc2)C1=O ZINC000809534372 1073962125 /nfs/dbraw/zinc/96/21/25/1073962125.db2.gz HZPGXUIRJDWYMC-SJORKVTESA-N 0 0 436.534 -0.214 20 0 IBADRN CC1(C)CN=C(N2CCN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)CC2)S1 ZINC000809534459 1073962080 /nfs/dbraw/zinc/96/20/80/1073962080.db2.gz JUHRFQMWVNQUGO-CYBMUJFWSA-N 0 0 431.584 -0.448 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@@H]1C[C@H](O)c1cccs1 ZINC000809534581 1073962975 /nfs/dbraw/zinc/96/29/75/1073962975.db2.gz MTYJMXUTLWHFGV-ILXRZTDVSA-N 0 0 445.563 -0.061 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@H]1C[C@H](O)c1cccs1 ZINC000809534583 1073962993 /nfs/dbraw/zinc/96/29/93/1073962993.db2.gz MTYJMXUTLWHFGV-KFWWJZLASA-N 0 0 445.563 -0.061 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1cccs1 ZINC000809534584 1073962966 /nfs/dbraw/zinc/96/29/66/1073962966.db2.gz MTYJMXUTLWHFGV-QLFBSQMISA-N 0 0 445.563 -0.061 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@H]1C[C@@H](O)c1cccs1 ZINC000809534609 1073962537 /nfs/dbraw/zinc/96/25/37/1073962537.db2.gz MTYJMXUTLWHFGV-RBSFLKMASA-N 0 0 445.563 -0.061 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(Cc2ccc(Cl)nc2)CC1 ZINC000809534814 1073962467 /nfs/dbraw/zinc/96/24/67/1073962467.db2.gz QNQBBJMZGUVYAI-OAHLLOKOSA-N 0 0 443.957 -0.081 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2CN(C(=O)C(=O)NC[C@H]3CCCN3S(C)(=O)=O)C[C@H]2C1 ZINC000809534834 1073962552 /nfs/dbraw/zinc/96/25/52/1073962552.db2.gz RTRQJWUHGAWSCN-QLFBSQMISA-N 0 0 444.554 -0.148 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CCN1C(=O)OC(C)(C)C ZINC000809535017 1073962547 /nfs/dbraw/zinc/96/25/47/1073962547.db2.gz WEXLFEANCFJSRN-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CCN1C(=O)OC(C)(C)C ZINC000809535018 1073962481 /nfs/dbraw/zinc/96/24/81/1073962481.db2.gz WEXLFEANCFJSRN-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCCN(c2ncccc2F)CC1 ZINC000809535296 1073962528 /nfs/dbraw/zinc/96/25/28/1073962528.db2.gz DTZIKVBZTMHLIV-CQSZACIVSA-N 0 0 427.502 -0.200 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000809535369 1073962515 /nfs/dbraw/zinc/96/25/15/1073962515.db2.gz FTVQYQBBXCPYAZ-UONOGXRCSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)C1 ZINC000809535370 1073962558 /nfs/dbraw/zinc/96/25/58/1073962558.db2.gz FTVQYQBBXCPYAZ-ZIAGYGMSSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)COC(=O)N1CCCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000809535385 1073962502 /nfs/dbraw/zinc/96/25/02/1073962502.db2.gz GIWSCLXDSAUGEA-OAHLLOKOSA-N 0 0 432.543 -0.147 20 0 IBADRN C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000809535400 1073962460 /nfs/dbraw/zinc/96/24/60/1073962460.db2.gz HFCHJNAIGHFCPJ-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000809535433 1073962523 /nfs/dbraw/zinc/96/25/23/1073962523.db2.gz IICGIQPWDBWVCY-FGTMMUONSA-N 0 0 439.534 -0.122 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC000809535434 1073962509 /nfs/dbraw/zinc/96/25/09/1073962509.db2.gz IICGIQPWDBWVCY-KURKYZTESA-N 0 0 439.534 -0.122 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC000809535435 1073962518 /nfs/dbraw/zinc/96/25/18/1073962518.db2.gz IICGIQPWDBWVCY-KZNAEPCWSA-N 0 0 439.534 -0.122 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC000809535436 1073962554 /nfs/dbraw/zinc/96/25/54/1073962554.db2.gz IICGIQPWDBWVCY-SQNIBIBYSA-N 0 0 439.534 -0.122 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCC(CNC(=O)C(F)(F)F)CC1 ZINC000809535444 1073962484 /nfs/dbraw/zinc/96/24/84/1073962484.db2.gz ILNHNCDCSNFXAI-GFCCVEGCSA-N 0 0 442.460 -0.556 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)C[C@@H]2O ZINC000809535470 1073962498 /nfs/dbraw/zinc/96/24/98/1073962498.db2.gz JOXAVDCYLRWKED-OCCSQVGLSA-N 0 0 441.506 -0.380 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O)C[C@H]2O ZINC000809535471 1073962506 /nfs/dbraw/zinc/96/25/06/1073962506.db2.gz JOXAVDCYLRWKED-TZMCWYRMSA-N 0 0 441.506 -0.380 20 0 IBADRN Cn1cc([C@H](O)C[C@@H]2CCCN2C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cn1 ZINC000809535533 1073962957 /nfs/dbraw/zinc/96/29/57/1073962957.db2.gz MWOUDOMIFIJROU-ARFHVFGLSA-N 0 0 427.527 -0.625 20 0 IBADRN Cn1cc([C@H](O)C[C@H]2CCCN2C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cn1 ZINC000809535534 1073962997 /nfs/dbraw/zinc/96/29/97/1073962997.db2.gz MWOUDOMIFIJROU-BZUAXINKSA-N 0 0 427.527 -0.625 20 0 IBADRN Cn1cc([C@@H](O)C[C@H]2CCCN2C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cn1 ZINC000809535535 1073962911 /nfs/dbraw/zinc/96/29/11/1073962911.db2.gz MWOUDOMIFIJROU-OAGGEKHMSA-N 0 0 427.527 -0.625 20 0 IBADRN Cn1cc([C@@H](O)C[C@@H]2CCCN2C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)cn1 ZINC000809535536 1073962929 /nfs/dbraw/zinc/96/29/29/1073962929.db2.gz MWOUDOMIFIJROU-XHSDSOJGSA-N 0 0 427.527 -0.625 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNC(=O)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC000809535582 1073963002 /nfs/dbraw/zinc/96/30/02/1073963002.db2.gz OQZGQEVRIMMCMG-LLVKDONJSA-N 0 0 442.485 -0.197 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC000809535586 1073962899 /nfs/dbraw/zinc/96/28/99/1073962899.db2.gz OXGCGWLIPMZEGP-KGLIPLIRSA-N 0 0 448.542 -0.721 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC000809535587 1073962954 /nfs/dbraw/zinc/96/29/54/1073962954.db2.gz OXGCGWLIPMZEGP-ZIAGYGMSSA-N 0 0 448.542 -0.721 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000809535698 1073962918 /nfs/dbraw/zinc/96/29/18/1073962918.db2.gz UHBJGDRNEDLSPH-ILXRZTDVSA-N 0 0 429.495 -0.529 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC000809535699 1073962924 /nfs/dbraw/zinc/96/29/24/1073962924.db2.gz UHBJGDRNEDLSPH-KFWWJZLASA-N 0 0 429.495 -0.529 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000809535700 1073962972 /nfs/dbraw/zinc/96/29/72/1073962972.db2.gz UHBJGDRNEDLSPH-QLFBSQMISA-N 0 0 429.495 -0.529 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC000809535701 1073962940 /nfs/dbraw/zinc/96/29/40/1073962940.db2.gz UHBJGDRNEDLSPH-RBSFLKMASA-N 0 0 429.495 -0.529 20 0 IBADRN CC(C)(C)OC(=O)N1CCCN(C(=O)C(=O)NC[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000809535726 1073962979 /nfs/dbraw/zinc/96/29/79/1073962979.db2.gz UZTPDVCDKBIKDL-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)C(=O)N1CCN(c2nc(F)c(F)cc2F)CC1 ZINC000809535832 1073962933 /nfs/dbraw/zinc/96/29/33/1073962933.db2.gz XXRQEVBORMDVTJ-LLVKDONJSA-N 0 0 449.455 -0.312 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NN1CC(=O)NC1=O ZINC000809549085 1073963285 /nfs/dbraw/zinc/96/32/85/1073963285.db2.gz RXZIFEWLOSEFFS-UHFFFAOYSA-N 0 0 425.467 -0.782 20 0 IBADRN Cn1cc([C@H](O)C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)cn1 ZINC000809554687 1073964025 /nfs/dbraw/zinc/96/40/25/1073964025.db2.gz ROBMIJDNWMNTQT-KRWDZBQOSA-N 0 0 438.462 -0.344 20 0 IBADRN Cn1cc([C@@H](O)C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)cn1 ZINC000809554688 1073963937 /nfs/dbraw/zinc/96/39/37/1073963937.db2.gz ROBMIJDNWMNTQT-QGZVFWFLSA-N 0 0 438.462 -0.344 20 0 IBADRN Cn1cc([C@H](O)C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000809555110 1073963878 /nfs/dbraw/zinc/96/38/78/1073963878.db2.gz BAGTXFJOFYQPKG-KRWDZBQOSA-N 0 0 438.462 -0.344 20 0 IBADRN Cn1cc([C@@H](O)C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC000809555111 1073964015 /nfs/dbraw/zinc/96/40/15/1073964015.db2.gz BAGTXFJOFYQPKG-QGZVFWFLSA-N 0 0 438.462 -0.344 20 0 IBADRN Cn1cc([C@@H](O)C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000809557012 1073964033 /nfs/dbraw/zinc/96/40/33/1073964033.db2.gz VRYSWUDNGUOZDI-GOSISDBHSA-N 0 0 436.490 -0.329 20 0 IBADRN Cn1cc([C@H](O)C(=O)OCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC000809557013 1073964047 /nfs/dbraw/zinc/96/40/47/1073964047.db2.gz VRYSWUDNGUOZDI-SFHVURJKSA-N 0 0 436.490 -0.329 20 0 IBADRN O=C(N[C@@H]1CCC[C@@H]1CCO)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809557401 1073963950 /nfs/dbraw/zinc/96/39/50/1073963950.db2.gz RVAAATVMRHMNQO-GDBMZVCRSA-N 0 0 434.518 -0.053 20 0 IBADRN O=C(N[C@@H]1CCC[C@H]1CCO)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809557406 1073964006 /nfs/dbraw/zinc/96/40/06/1073964006.db2.gz RVAAATVMRHMNQO-GOEBONIOSA-N 0 0 434.518 -0.053 20 0 IBADRN O=C(N[C@H]1CCC[C@H]1CCO)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809557409 1073963976 /nfs/dbraw/zinc/96/39/76/1073963976.db2.gz RVAAATVMRHMNQO-HOCLYGCPSA-N 0 0 434.518 -0.053 20 0 IBADRN O=C(N[C@H]1CCC[C@@H]1CCO)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000809557412 1073963922 /nfs/dbraw/zinc/96/39/22/1073963922.db2.gz RVAAATVMRHMNQO-ZBFHGGJFSA-N 0 0 434.518 -0.053 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCOC[C@@H]3c3ncno3)C2=O)cc1 ZINC000809564355 1073962946 /nfs/dbraw/zinc/96/29/46/1073962946.db2.gz ZISNNWSBMPPPNW-AUUYWEPGSA-N 0 0 430.421 -0.050 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCOC[C@H]3c3ncno3)C2=O)cc1 ZINC000809564358 1073962961 /nfs/dbraw/zinc/96/29/61/1073962961.db2.gz ZISNNWSBMPPPNW-IFXJQAMLSA-N 0 0 430.421 -0.050 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCOC[C@@H]3c3ncno3)C2=O)cc1 ZINC000809564360 1073963394 /nfs/dbraw/zinc/96/33/94/1073963394.db2.gz ZISNNWSBMPPPNW-KUHUBIRLSA-N 0 0 430.421 -0.050 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCOC[C@H]3c3ncno3)C2=O)cc1 ZINC000809564363 1073963482 /nfs/dbraw/zinc/96/34/82/1073963482.db2.gz ZISNNWSBMPPPNW-LIRRHRJNSA-N 0 0 430.421 -0.050 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)NC)CC2)NC(=O)N[C@H]1c1ccco1 ZINC000809566757 1073963356 /nfs/dbraw/zinc/96/33/56/1073963356.db2.gz RSYPLGUKOCCSHS-HNNXBMFYSA-N 0 0 427.483 -0.468 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(S(=O)(=O)NC)CC2)NC(=O)N[C@@H]1c1ccco1 ZINC000809566758 1073963437 /nfs/dbraw/zinc/96/34/37/1073963437.db2.gz RSYPLGUKOCCSHS-OAHLLOKOSA-N 0 0 427.483 -0.468 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(CC(=O)N3CCNC(=O)C3)CC2)cc1 ZINC000809569477 1073963496 /nfs/dbraw/zinc/96/34/96/1073963496.db2.gz DNOBEQKNLOTULR-UHFFFAOYSA-N 0 0 428.536 -0.574 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCN1S(C)(=O)=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000809589127 1073964457 /nfs/dbraw/zinc/96/44/57/1073964457.db2.gz ICZKCTTZGSOEAZ-KBPBESRZSA-N 0 0 427.479 -0.080 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCN1S(C)(=O)=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000809589128 1073964531 /nfs/dbraw/zinc/96/45/31/1073964531.db2.gz ICZKCTTZGSOEAZ-KGLIPLIRSA-N 0 0 427.479 -0.080 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3C[C@@H](c4ccccc4)OC3=O)CC2)CC1 ZINC000809603375 1073964464 /nfs/dbraw/zinc/96/44/64/1073964464.db2.gz OCKWXKIRPMCDAD-MSOLQXFVSA-N 0 0 436.534 -0.073 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3C[C@H](c4ccccc4)OC3=O)CC2)CC1 ZINC000809603377 1073964429 /nfs/dbraw/zinc/96/44/29/1073964429.db2.gz OCKWXKIRPMCDAD-QZTJIDSGSA-N 0 0 436.534 -0.073 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3C[C@@H](c4ccccc4)OC3=O)CC2)CC1 ZINC000809603380 1073964471 /nfs/dbraw/zinc/96/44/71/1073964471.db2.gz OCKWXKIRPMCDAD-ROUUACIJSA-N 0 0 436.534 -0.073 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3C[C@H](c4ccccc4)OC3=O)CC2)CC1 ZINC000809603382 1073964479 /nfs/dbraw/zinc/96/44/79/1073964479.db2.gz OCKWXKIRPMCDAD-ZWKOTPCHSA-N 0 0 436.534 -0.073 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)c2cn(C)nn2)CC1 ZINC000809612870 1073964408 /nfs/dbraw/zinc/96/44/08/1073964408.db2.gz COCOVXIOLOOFHC-UHFFFAOYSA-N 0 0 427.508 -0.326 20 0 IBADRN COc1cccc2c(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)[nH]nc21 ZINC000809614366 1073964537 /nfs/dbraw/zinc/96/45/37/1073964537.db2.gz JUVAMJDLRZIYNM-GFCCVEGCSA-N 0 0 442.519 -0.154 20 0 IBADRN COc1cccc2c(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)[nH]nc21 ZINC000809614367 1073964442 /nfs/dbraw/zinc/96/44/42/1073964442.db2.gz JUVAMJDLRZIYNM-LBPRGKRZSA-N 0 0 442.519 -0.154 20 0 IBADRN COCCN(CCNC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC000809632035 1073964384 /nfs/dbraw/zinc/96/43/84/1073964384.db2.gz LUQVRIKUZLKGPM-UHFFFAOYSA-N 0 0 436.531 -0.910 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000809646892 1073964525 /nfs/dbraw/zinc/96/45/25/1073964525.db2.gz GUOAAYMCXOAIIX-DLBZAZTESA-N 0 0 436.534 -0.796 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000809646893 1073964419 /nfs/dbraw/zinc/96/44/19/1073964419.db2.gz GUOAAYMCXOAIIX-IRXDYDNUSA-N 0 0 436.534 -0.796 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000809648805 1073964513 /nfs/dbraw/zinc/96/45/13/1073964513.db2.gz WMNKHFKUQFGVPV-BPQIPLTHSA-N 0 0 427.505 -0.609 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000809648833 1073964491 /nfs/dbraw/zinc/96/44/91/1073964491.db2.gz WMNKHFKUQFGVPV-NJAFHUGGSA-N 0 0 427.505 -0.609 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000809648834 1073964519 /nfs/dbraw/zinc/96/45/19/1073964519.db2.gz WMNKHFKUQFGVPV-RYQLBKOJSA-N 0 0 427.505 -0.609 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)C(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC000809648835 1073964484 /nfs/dbraw/zinc/96/44/84/1073964484.db2.gz WMNKHFKUQFGVPV-SZMVWBNQSA-N 0 0 427.505 -0.609 20 0 IBADRN O=C(NC[C@H]1COC2(CCCCCC2)O1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000809674128 1073964495 /nfs/dbraw/zinc/96/44/95/1073964495.db2.gz GWBOJKIUKRAXLE-INIZCTEOSA-N 0 0 436.509 -0.482 20 0 IBADRN O=C(NC[C@@H]1COC2(CCCCCC2)O1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000809674130 1073965178 /nfs/dbraw/zinc/96/51/78/1073965178.db2.gz GWBOJKIUKRAXLE-MRXNPFEDSA-N 0 0 436.509 -0.482 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1 ZINC000809676521 1073965135 /nfs/dbraw/zinc/96/51/35/1073965135.db2.gz AJKHZROAFCMMIA-UHFFFAOYSA-N 0 0 430.502 -0.045 20 0 IBADRN CS(=O)(=O)CCN(C(=O)C(=O)NCc1cnc(N2CCOCC2)c(F)c1)C1CC1 ZINC000809678796 1073965058 /nfs/dbraw/zinc/96/50/58/1073965058.db2.gz PNJOFWRYHXINFM-UHFFFAOYSA-N 0 0 428.486 -0.291 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2C[C@@H](c3ccccc3)OC2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000809684928 1073965109 /nfs/dbraw/zinc/96/51/09/1073965109.db2.gz SLAHRULJQYRPQI-BZSNNMDCSA-N 0 0 449.529 -0.262 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2C[C@H](c3ccccc3)OC2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000809684929 1073965127 /nfs/dbraw/zinc/96/51/27/1073965127.db2.gz SLAHRULJQYRPQI-FGTMMUONSA-N 0 0 449.529 -0.262 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2C[C@H](c3ccccc3)OC2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000809684930 1073965002 /nfs/dbraw/zinc/96/50/02/1073965002.db2.gz SLAHRULJQYRPQI-OKZBNKHCSA-N 0 0 449.529 -0.262 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2C[C@@H](c3ccccc3)OC2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000809684931 1073965033 /nfs/dbraw/zinc/96/50/33/1073965033.db2.gz SLAHRULJQYRPQI-SQNIBIBYSA-N 0 0 449.529 -0.262 20 0 IBADRN CSCC[C@H](C(=O)OCC(=O)N1CCNC(=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000809689528 1073965098 /nfs/dbraw/zinc/96/50/98/1073965098.db2.gz PUAHZRJBELKFEX-HZSPNIEDSA-N 0 0 425.507 -0.215 20 0 IBADRN CSCC[C@H](C(=O)OCC(=O)N1CCNC(=O)C1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000809689529 1073964963 /nfs/dbraw/zinc/96/49/63/1073964963.db2.gz PUAHZRJBELKFEX-MELADBBJSA-N 0 0 425.507 -0.215 20 0 IBADRN CSCC[C@H](C(=O)OCC(=O)N1CCNC(=O)C1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000809689530 1073965143 /nfs/dbraw/zinc/96/51/43/1073965143.db2.gz PUAHZRJBELKFEX-MGPQQGTHSA-N 0 0 425.507 -0.215 20 0 IBADRN CSCC[C@@H](C(=O)OCC(=O)N1CCNC(=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000809689531 1073965079 /nfs/dbraw/zinc/96/50/79/1073965079.db2.gz PUAHZRJBELKFEX-MJBXVCDLSA-N 0 0 425.507 -0.215 20 0 IBADRN Cn1cc(/C=C\C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c(=O)n(C)c1=O ZINC000809705653 1073965018 /nfs/dbraw/zinc/96/50/18/1073965018.db2.gz YVCCWLULGNUOLD-SREVYHEPSA-N 0 0 434.474 -0.243 20 0 IBADRN CCNC(=O)C1(CNC(=O)C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)CCC1 ZINC000809728262 1073965158 /nfs/dbraw/zinc/96/51/58/1073965158.db2.gz QCHXKRLFAPEZHI-UHFFFAOYSA-N 0 0 431.493 -0.027 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(Cl)c1CN1CCN(C(=O)Cn2cnnn2)CC1 ZINC000809733634 1073965040 /nfs/dbraw/zinc/96/50/40/1073965040.db2.gz BGXSFHDILVGNMY-CYBMUJFWSA-N 0 0 442.933 -0.465 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(Cl)c1CN1CCN(C(=O)Cn2cnnn2)CC1 ZINC000809733635 1073965070 /nfs/dbraw/zinc/96/50/70/1073965070.db2.gz BGXSFHDILVGNMY-ZDUSSCGKSA-N 0 0 442.933 -0.465 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NN1CC(=O)N(C)C1=O ZINC000809742524 1073965604 /nfs/dbraw/zinc/96/56/04/1073965604.db2.gz BXXRHNKZAKJAQF-UHFFFAOYSA-N 0 0 439.494 -0.440 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000809749906 1073965632 /nfs/dbraw/zinc/96/56/32/1073965632.db2.gz SVNLZGHQNUZZJN-BAQGIRSFSA-N 0 0 436.465 -0.231 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCC2=CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000809773686 1073965087 /nfs/dbraw/zinc/96/50/87/1073965087.db2.gz XJMBYAOOEZPKLV-UHFFFAOYSA-N 0 0 437.541 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CC=C(CNC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000809773837 1073965537 /nfs/dbraw/zinc/96/55/37/1073965537.db2.gz YYGAJNHJWRXMRF-UHFFFAOYSA-N 0 0 430.527 -0.226 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C(=O)NCC1=CCN(C(=O)OC(C)(C)C)CC1 ZINC000809774234 1073965168 /nfs/dbraw/zinc/96/51/68/1073965168.db2.gz POTKWBKSSCWOHU-KRWDZBQOSA-N 0 0 440.541 -0.179 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C(=O)NCC1=CCN(C(=O)OC(C)(C)C)CC1 ZINC000809774235 1073964978 /nfs/dbraw/zinc/96/49/78/1073964978.db2.gz POTKWBKSSCWOHU-QGZVFWFLSA-N 0 0 440.541 -0.179 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNC(=O)C(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC000809796405 1073966775 /nfs/dbraw/zinc/96/67/75/1073966775.db2.gz IWKGXZQJADPYBS-UHFFFAOYSA-N 0 0 435.472 -0.329 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)C1 ZINC000809796660 1073966681 /nfs/dbraw/zinc/96/66/81/1073966681.db2.gz RKYYZZVGLDCWGQ-LLVKDONJSA-N 0 0 442.485 -0.197 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)C1 ZINC000809796661 1073966699 /nfs/dbraw/zinc/96/66/99/1073966699.db2.gz RKYYZZVGLDCWGQ-NSHDSACASA-N 0 0 442.485 -0.197 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)CC1 ZINC000809796731 1073966769 /nfs/dbraw/zinc/96/67/69/1073966769.db2.gz SVCKWEHUJADFKM-UHFFFAOYSA-N 0 0 435.472 -0.457 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNC(=O)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000809796748 1073966710 /nfs/dbraw/zinc/96/67/10/1073966710.db2.gz TZDJMBCNTIGFOI-UHFFFAOYSA-N 0 0 428.458 -0.634 20 0 IBADRN CN(C)C(=O)CCN1CCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)CC1 ZINC000809796867 1073965644 /nfs/dbraw/zinc/96/56/44/1073965644.db2.gz XOZHMHXRRZIKOA-UHFFFAOYSA-N 0 0 449.499 -0.115 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)CC1 ZINC000809796886 1073965555 /nfs/dbraw/zinc/96/55/55/1073965555.db2.gz XXOGAYRFKCWDPW-UHFFFAOYSA-N 0 0 449.499 -0.069 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CNC(=O)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC000809796953 1073965490 /nfs/dbraw/zinc/96/54/90/1073965490.db2.gz ZROVITLCROSTGL-UHFFFAOYSA-N 0 0 447.483 -0.315 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)C1 ZINC000809796966 1073965600 /nfs/dbraw/zinc/96/56/00/1073965600.db2.gz ADUBZCLNTCZNGE-LLVKDONJSA-N 0 0 442.485 -0.197 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)C1 ZINC000809796967 1073965621 /nfs/dbraw/zinc/96/56/21/1073965621.db2.gz ADUBZCLNTCZNGE-NSHDSACASA-N 0 0 442.485 -0.197 20 0 IBADRN COCCN1CCCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)CC1=O ZINC000809797176 1073965528 /nfs/dbraw/zinc/96/55/28/1073965528.db2.gz DIAVEZQSKBZSDJ-UHFFFAOYSA-N 0 0 436.456 -0.030 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C ZINC000809798110 1073965584 /nfs/dbraw/zinc/96/55/84/1073965584.db2.gz RMDHZJHSHZIOON-LLVKDONJSA-N 0 0 442.485 -0.197 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C ZINC000809798113 1073965562 /nfs/dbraw/zinc/96/55/62/1073965562.db2.gz RMDHZJHSHZIOON-NSHDSACASA-N 0 0 442.485 -0.197 20 0 IBADRN Cn1cc(N2CCN(C(=O)C(=O)NCC(F)(F)CNC(=O)OC(C)(C)C)CC2=O)cn1 ZINC000809798286 1073965594 /nfs/dbraw/zinc/96/55/94/1073965594.db2.gz VOZIZEFAKYCCLG-UHFFFAOYSA-N 0 0 444.439 -0.129 20 0 IBADRN CN1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000809799028 1073965514 /nfs/dbraw/zinc/96/55/14/1073965514.db2.gz GKPBPJSOUHHACX-CYBMUJFWSA-N 0 0 437.501 -0.908 20 0 IBADRN CN1CCN(C(=O)C(=O)NCc2nnc3n2CCOC3)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000809799032 1073965522 /nfs/dbraw/zinc/96/55/22/1073965522.db2.gz GKPBPJSOUHHACX-ZDUSSCGKSA-N 0 0 437.501 -0.908 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)C(=O)N1CCN(C(=O)OCc2ccccc2)CC1 ZINC000809799216 1073965496 /nfs/dbraw/zinc/96/54/96/1073965496.db2.gz IVUULLVJKZBDFG-UHFFFAOYSA-N 0 0 428.449 -0.095 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CCCC1 ZINC000809809543 1073966250 /nfs/dbraw/zinc/96/62/50/1073966250.db2.gz QAQSUPPUSDPBMQ-UHFFFAOYSA-N 0 0 429.495 -0.657 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(CCS(C)(=O)=O)C(C)C)(N2CCOCC2)C1 ZINC000809811249 1073966132 /nfs/dbraw/zinc/96/61/32/1073966132.db2.gz GUXGSAVSBKAOJZ-UHFFFAOYSA-N 0 0 433.571 -0.346 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC(OCC)C2)CC1 ZINC000809811370 1073966259 /nfs/dbraw/zinc/96/62/59/1073966259.db2.gz JELIUCSIQGTIEC-UHFFFAOYSA-N 0 0 426.514 -0.327 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N(CCS(C)(=O)=O)C2CC2)(N2CCOCC2)C1 ZINC000809812170 1073966206 /nfs/dbraw/zinc/96/62/06/1073966206.db2.gz MOVBCPREBWZVRY-UHFFFAOYSA-N 0 0 431.555 -0.592 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC[C@H](C3OCCO3)C2)(N2CCOCC2)C1 ZINC000809812284 1073966234 /nfs/dbraw/zinc/96/62/34/1073966234.db2.gz ONIWTYXZNZJQAA-BQJIDLTASA-N 0 0 425.526 -0.016 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC[C@@H](C3OCCO3)C2)(N2CCOCC2)C1 ZINC000809812288 1073966195 /nfs/dbraw/zinc/96/61/95/1073966195.db2.gz ONIWTYXZNZJQAA-ZGGTZUKQSA-N 0 0 425.526 -0.016 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)N2CCC(C3OCCO3)CC2)(N2CCOCC2)C1 ZINC000809812440 1073966238 /nfs/dbraw/zinc/96/62/38/1073966238.db2.gz RATQMTQZDQUISK-UHFFFAOYSA-N 0 0 425.526 -0.016 20 0 IBADRN CNC(=O)[C@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H](C)C[C@H](C)C2)cc1 ZINC000809837633 1073966756 /nfs/dbraw/zinc/96/67/56/1073966756.db2.gz DIOSNTFEIWGITI-DVOMOZLQSA-N 0 0 433.552 -0.262 20 0 IBADRN CNC(=O)[C@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@@H](C)C[C@H](C)C2)cc1 ZINC000809837634 1073966662 /nfs/dbraw/zinc/96/66/62/1073966662.db2.gz DIOSNTFEIWGITI-HEHGZKQESA-N 0 0 433.552 -0.262 20 0 IBADRN CNC(=O)[C@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H](C)C[C@@H](C)C2)cc1 ZINC000809837635 1073966721 /nfs/dbraw/zinc/96/67/21/1073966721.db2.gz DIOSNTFEIWGITI-XJKCOSOUSA-N 0 0 433.552 -0.262 20 0 IBADRN CNC(=O)[C@@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H](C)C[C@H](C)C2)cc1 ZINC000809837636 1073966655 /nfs/dbraw/zinc/96/66/55/1073966655.db2.gz DIOSNTFEIWGITI-ZENOOKHLSA-N 0 0 433.552 -0.262 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@@H](O)C(=O)NC)c1 ZINC000809837682 1073966725 /nfs/dbraw/zinc/96/67/25/1073966725.db2.gz FTIGINVFIMBTPS-GFCCVEGCSA-N 0 0 427.932 -0.244 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@H](O)C(=O)NC)c1 ZINC000809837683 1073966633 /nfs/dbraw/zinc/96/66/33/1073966633.db2.gz FTIGINVFIMBTPS-LBPRGKRZSA-N 0 0 427.932 -0.244 20 0 IBADRN CNC(=O)[C@H](O)CNS(=O)(=O)c1cc(Cl)c(OCC(=O)N(C)C)c(Cl)c1 ZINC000809837870 1073966650 /nfs/dbraw/zinc/96/66/50/1073966650.db2.gz KVWQPMLXNHBJPE-LLVKDONJSA-N 0 0 428.294 -0.154 20 0 IBADRN CNC(=O)[C@@H](O)CNS(=O)(=O)c1cc(Cl)c(OCC(=O)N(C)C)c(Cl)c1 ZINC000809837871 1073966695 /nfs/dbraw/zinc/96/66/95/1073966695.db2.gz KVWQPMLXNHBJPE-NSHDSACASA-N 0 0 428.294 -0.154 20 0 IBADRN CNC(=O)[C@@H](O)CNS(=O)(=O)c1ccc(I)c(C(=O)OC)c1 ZINC000809838060 1073966643 /nfs/dbraw/zinc/96/66/43/1073966643.db2.gz OXBTUSNDIFFNEJ-JTQLQIEISA-N 0 0 442.231 -0.537 20 0 IBADRN CNC(=O)[C@H](O)CNS(=O)(=O)c1ccc(I)c(C(=O)OC)c1 ZINC000809838061 1073966705 /nfs/dbraw/zinc/96/67/05/1073966705.db2.gz OXBTUSNDIFFNEJ-SNVBAGLBSA-N 0 0 442.231 -0.537 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)C(=O)NCC3(N4CCOCC4)CC3)CC2)ccn1 ZINC000809842374 1073967162 /nfs/dbraw/zinc/96/71/62/1073967162.db2.gz DUPCOXWWISWILN-UHFFFAOYSA-N 0 0 431.493 -0.469 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000809842804 1073967242 /nfs/dbraw/zinc/96/72/42/1073967242.db2.gz HXXXXDIEEOINIB-MBZYTVFJSA-N 0 0 431.537 -0.254 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000809842806 1073967249 /nfs/dbraw/zinc/96/72/49/1073967249.db2.gz HXXXXDIEEOINIB-SDKBWNRFSA-N 0 0 431.537 -0.254 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000809842807 1073967218 /nfs/dbraw/zinc/96/72/18/1073967218.db2.gz HXXXXDIEEOINIB-WZDWLKHJSA-N 0 0 431.537 -0.254 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)C1 ZINC000809842808 1073967290 /nfs/dbraw/zinc/96/72/90/1073967290.db2.gz HXXXXDIEEOINIB-YKZUCCNHSA-N 0 0 431.537 -0.254 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CCO1 ZINC000809843637 1073967235 /nfs/dbraw/zinc/96/72/35/1073967235.db2.gz OVTPUWAZBXVYNL-HNNXBMFYSA-N 0 0 426.514 -0.281 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)CCO1 ZINC000809843643 1073967143 /nfs/dbraw/zinc/96/71/43/1073967143.db2.gz OVTPUWAZBXVYNL-OAHLLOKOSA-N 0 0 426.514 -0.281 20 0 IBADRN CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000809844928 1073967274 /nfs/dbraw/zinc/96/72/74/1073967274.db2.gz YCLDYUAXTLYMEZ-INIZCTEOSA-N 0 0 439.557 -0.365 20 0 IBADRN CN1CCN(C(=O)C(=O)NCC2(N3CCOCC3)CC2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000809844932 1073967284 /nfs/dbraw/zinc/96/72/84/1073967284.db2.gz YCLDYUAXTLYMEZ-MRXNPFEDSA-N 0 0 439.557 -0.365 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@H]3CCCN(c4cc(C)nn4C)C3)C[C@H]1COCC2 ZINC000809871141 1073967258 /nfs/dbraw/zinc/96/72/58/1073967258.db2.gz FBGUDAMJBZRVRI-CKJXQJPGSA-N 0 0 433.509 -0.148 20 0 IBADRN COC(=O)[C@]12CN(C(=O)C(=O)N[C@@H]3CCCN(c4cc(C)nn4C)C3)C[C@H]1COCC2 ZINC000809871147 1073967170 /nfs/dbraw/zinc/96/71/70/1073967170.db2.gz FBGUDAMJBZRVRI-GCKMJXCFSA-N 0 0 433.509 -0.148 20 0 IBADRN C[S@@](=O)C1(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000809892705 1073967805 /nfs/dbraw/zinc/96/78/05/1073967805.db2.gz BXFMJNIRJKPKIU-MUUNZHRXSA-N 0 0 438.531 -0.693 20 0 IBADRN C[S@](=O)C1(CNC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000809892706 1073967729 /nfs/dbraw/zinc/96/77/29/1073967729.db2.gz BXFMJNIRJKPKIU-NDEPHWFRSA-N 0 0 438.531 -0.693 20 0 IBADRN Cc1nc2ccc(NC(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cn2n1 ZINC000809894489 1073967789 /nfs/dbraw/zinc/96/77/89/1073967789.db2.gz DADSLZMNAOQMJM-AWEZNQCLSA-N 0 0 442.523 -0.296 20 0 IBADRN Cc1nc2ccc(NC(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cn2n1 ZINC000809894490 1073967794 /nfs/dbraw/zinc/96/77/94/1073967794.db2.gz DADSLZMNAOQMJM-CQSZACIVSA-N 0 0 442.523 -0.296 20 0 IBADRN Cn1nncc1NC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000809900259 1073967767 /nfs/dbraw/zinc/96/77/67/1073967767.db2.gz NDGVYLNGICXKLL-UHFFFAOYSA-N 0 0 429.503 -0.086 20 0 IBADRN Cn1nncc1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000809903846 1073967756 /nfs/dbraw/zinc/96/77/56/1073967756.db2.gz RPFWIPLYGBNDCM-UHFFFAOYSA-N 0 0 429.484 -0.999 20 0 IBADRN Cn1nncc1NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000809909516 1073967303 /nfs/dbraw/zinc/96/73/03/1073967303.db2.gz DBDMBNJQNIREKU-UHFFFAOYSA-N 0 0 432.484 -0.259 20 0 IBADRN CC(C)(C)OC(=O)N1CC=C(CCNC(=O)C(=O)N2CC[C@H](S(N)(=O)=O)C2)CC1 ZINC000809930613 1073967797 /nfs/dbraw/zinc/96/77/97/1073967797.db2.gz SUJWXUIXPLKUAL-AWEZNQCLSA-N 0 0 430.527 -0.051 20 0 IBADRN CC(C)(C)OC(=O)N1CC=C(CCNC(=O)C(=O)N2CC[C@@H](S(N)(=O)=O)C2)CC1 ZINC000809930614 1073967801 /nfs/dbraw/zinc/96/78/01/1073967801.db2.gz SUJWXUIXPLKUAL-CQSZACIVSA-N 0 0 430.527 -0.051 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C[C@](C)(O)CNC(=O)OC(C)(C)C)CC2)CC1 ZINC000809935142 1073967701 /nfs/dbraw/zinc/96/77/01/1073967701.db2.gz IQVHKRIUBYAYLN-GOSISDBHSA-N 0 0 435.591 -0.628 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C[C@@](C)(O)CNC(=O)OC(C)(C)C)CC2)CC1 ZINC000809935143 1073967742 /nfs/dbraw/zinc/96/77/42/1073967742.db2.gz IQVHKRIUBYAYLN-SFHVURJKSA-N 0 0 435.591 -0.628 20 0 IBADRN COC(=O)[C@@H](NC(=O)Cn1c(Br)nc2c1n(C)c(=O)n(C)c2=O)C(C)C ZINC000809951228 1073967735 /nfs/dbraw/zinc/96/77/35/1073967735.db2.gz CCOHEMJYELILKB-VIFPVBQESA-N 0 0 430.259 -0.490 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1C(=O)N[C@](C)(c2cccc(-n3cnnn3)c2)C1=O)C(C)C ZINC000809954497 1073967750 /nfs/dbraw/zinc/96/77/50/1073967750.db2.gz UQSOKZBMEPBCAH-HNAYVOBHSA-N 0 0 429.437 -0.257 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1C(=O)N[C@@](C)(c2cccc(-n3cnnn3)c2)C1=O)C(C)C ZINC000809954498 1073967714 /nfs/dbraw/zinc/96/77/14/1073967714.db2.gz UQSOKZBMEPBCAH-KXBFYZLASA-N 0 0 429.437 -0.257 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@](C)(O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000809955857 1073967720 /nfs/dbraw/zinc/96/77/20/1073967720.db2.gz VIKLHMZRPQPJLP-GOSISDBHSA-N 0 0 446.526 -0.040 20 0 IBADRN CC(C)(C)OC(=O)NC[C@](C)(O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000809955858 1073967777 /nfs/dbraw/zinc/96/77/77/1073967777.db2.gz VIKLHMZRPQPJLP-SFHVURJKSA-N 0 0 446.526 -0.040 20 0 IBADRN CCOC(=O)c1c(C)onc1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000809956064 1073967815 /nfs/dbraw/zinc/96/78/15/1073967815.db2.gz BVYCBHOGPDQXCR-CYBMUJFWSA-N 0 0 435.524 -0.206 20 0 IBADRN CCOC(=O)c1c(C)onc1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000809956065 1073967772 /nfs/dbraw/zinc/96/77/72/1073967772.db2.gz BVYCBHOGPDQXCR-ZDUSSCGKSA-N 0 0 435.524 -0.206 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1C(=O)N[C@](C)(c2ccc(-n3cnnn3)cc2)C1=O)C(C)C ZINC000809957080 1073967808 /nfs/dbraw/zinc/96/78/08/1073967808.db2.gz ISJWIYIEEUXVSK-HNAYVOBHSA-N 0 0 429.437 -0.257 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1C(=O)N[C@@](C)(c2ccc(-n3cnnn3)cc2)C1=O)C(C)C ZINC000809957081 1073968317 /nfs/dbraw/zinc/96/83/17/1073968317.db2.gz ISJWIYIEEUXVSK-KXBFYZLASA-N 0 0 429.437 -0.257 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000809976129 1073968326 /nfs/dbraw/zinc/96/83/26/1073968326.db2.gz HPZNSQVCDZMJOA-HNNXBMFYSA-N 0 0 442.488 -0.279 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@@H](F)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC000809976130 1073968286 /nfs/dbraw/zinc/96/82/86/1073968286.db2.gz HPZNSQVCDZMJOA-OAHLLOKOSA-N 0 0 442.488 -0.279 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000809987844 1073968330 /nfs/dbraw/zinc/96/83/30/1073968330.db2.gz NMQHBXQDPDGBRM-PTNGSMBKSA-N 0 0 429.524 -0.304 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000809987845 1073968266 /nfs/dbraw/zinc/96/82/66/1073968266.db2.gz NMQHBXQDPDGBRM-RVDMUPIBSA-N 0 0 429.524 -0.304 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC000809987923 1073968296 /nfs/dbraw/zinc/96/82/96/1073968296.db2.gz QSVQYXWTRBZVPY-AWEZNQCLSA-N 0 0 428.515 -0.595 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC000809987924 1073968361 /nfs/dbraw/zinc/96/83/61/1073968361.db2.gz QSVQYXWTRBZVPY-CQSZACIVSA-N 0 0 428.515 -0.595 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000809988063 1073968255 /nfs/dbraw/zinc/96/82/55/1073968255.db2.gz WZAKMAJGICVAGT-UHFFFAOYSA-N 0 0 430.556 -0.226 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC000809988230 1073968380 /nfs/dbraw/zinc/96/83/80/1073968380.db2.gz DETWBGDOAXBHJB-UHFFFAOYSA-N 0 0 427.508 -0.242 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC000809988634 1073968351 /nfs/dbraw/zinc/96/83/51/1073968351.db2.gz NDYNNXBCTQURHH-UHFFFAOYSA-N 0 0 428.515 -0.547 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)OC3CCOCC3)CC2)cc1 ZINC000810036274 1073969496 /nfs/dbraw/zinc/96/94/96/1073969496.db2.gz ZRLAOJKZZMYCEE-UHFFFAOYSA-N 0 0 433.508 -0.044 20 0 IBADRN CCN(CC(=O)NC)S(=O)(=O)c1ccc(S(=O)(=O)N(CC)CC(=O)NC)cc1 ZINC000810040741 1073969479 /nfs/dbraw/zinc/96/94/79/1073969479.db2.gz AMEPGFQJEPBTBA-UHFFFAOYSA-N 0 0 434.540 -0.800 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2nc(CC3N=NC(=S)N3C)cs2)c1 ZINC000810056197 1073968824 /nfs/dbraw/zinc/96/88/24/1073968824.db2.gz HYFGBZDHWJIWMS-UHFFFAOYSA-N 0 0 428.525 -0.198 20 0 IBADRN CC1(CNS(=O)(=O)c2ccc(S(=O)(=O)NCC3(C)OCCO3)cc2)OCCO1 ZINC000810060010 1073969552 /nfs/dbraw/zinc/96/95/52/1073969552.db2.gz HSGGVCVJDDHOGD-UHFFFAOYSA-N 0 0 436.508 -0.231 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC[C@@H](CNC(=O)C(F)(F)F)C2)c(=O)[nH]c1=O ZINC000810067256 1073969419 /nfs/dbraw/zinc/96/94/19/1073969419.db2.gz ULXYZIXWNCDYKD-JTQLQIEISA-N 0 0 435.403 -0.249 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC[C@H](CNC(=O)C(F)(F)F)C2)c(=O)[nH]c1=O ZINC000810067257 1073969460 /nfs/dbraw/zinc/96/94/60/1073969460.db2.gz ULXYZIXWNCDYKD-SNVBAGLBSA-N 0 0 435.403 -0.249 20 0 IBADRN O=C(CN1CCC[C@H](CNC(=O)C(F)(F)F)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000810068784 1073969455 /nfs/dbraw/zinc/96/94/55/1073969455.db2.gz BVOOJKIBLVFEKR-GHMZBOCLSA-N 0 0 428.433 -0.610 20 0 IBADRN O=C(CN1CCC[C@H](CNC(=O)C(F)(F)F)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000810068785 1073969397 /nfs/dbraw/zinc/96/93/97/1073969397.db2.gz BVOOJKIBLVFEKR-MNOVXSKESA-N 0 0 428.433 -0.610 20 0 IBADRN O=C(CN1CCC[C@@H](CNC(=O)C(F)(F)F)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000810068786 1073969450 /nfs/dbraw/zinc/96/94/50/1073969450.db2.gz BVOOJKIBLVFEKR-QWRGUYRKSA-N 0 0 428.433 -0.610 20 0 IBADRN O=C(CN1CCC[C@@H](CNC(=O)C(F)(F)F)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000810068891 1073969510 /nfs/dbraw/zinc/96/95/10/1073969510.db2.gz BVOOJKIBLVFEKR-WDEREUQCSA-N 0 0 428.433 -0.610 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000810073008 1073969488 /nfs/dbraw/zinc/96/94/88/1073969488.db2.gz QJQHSGAITNWWGX-HNNXBMFYSA-N 0 0 430.571 -0.131 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000810073011 1073969507 /nfs/dbraw/zinc/96/95/07/1073969507.db2.gz QJQHSGAITNWWGX-OAHLLOKOSA-N 0 0 430.571 -0.131 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccn1)CCNS(=O)(=O)c1ccccn1 ZINC000810077730 1073969542 /nfs/dbraw/zinc/96/95/42/1073969542.db2.gz OTLCVDGKTYOYIY-UHFFFAOYSA-N 0 0 434.521 -0.852 20 0 IBADRN COC[C@]1(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CCN(C(=O)OC(C)(C)C)C1 ZINC000810082742 1073969388 /nfs/dbraw/zinc/96/93/88/1073969388.db2.gz VQMABSJIPDLYMP-IBGZPJMESA-N 0 0 437.501 -0.383 20 0 IBADRN COC[C@@]1(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)CCN(C(=O)OC(C)(C)C)C1 ZINC000810082751 1073969536 /nfs/dbraw/zinc/96/95/36/1073969536.db2.gz VQMABSJIPDLYMP-LJQANCHMSA-N 0 0 437.501 -0.383 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@H](CNC(=O)C(F)(F)F)C2)CC1 ZINC000810090434 1073970645 /nfs/dbraw/zinc/97/06/45/1073970645.db2.gz QLISPLCIOAVCOE-LLVKDONJSA-N 0 0 436.478 -0.801 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](CNC(=O)C(F)(F)F)C2)CC1 ZINC000810090435 1073970458 /nfs/dbraw/zinc/97/04/58/1073970458.db2.gz QLISPLCIOAVCOE-NSHDSACASA-N 0 0 436.478 -0.801 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCCN(S(=O)(=O)N(C)C)CC1)C2=O ZINC000810091330 1073970735 /nfs/dbraw/zinc/97/07/35/1073970735.db2.gz QEMLAHWKIRNHBU-UHFFFAOYSA-N 0 0 444.558 -0.668 20 0 IBADRN COCCN(C(=O)CN[C@@]1(C)CCOC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000810094412 1073970043 /nfs/dbraw/zinc/97/00/43/1073970043.db2.gz CHMTVCRGEXNKLM-NRFANRHFSA-N 0 0 445.476 -0.146 20 0 IBADRN COCCN(C(=O)CN[C@]1(C)CCOC1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000810094419 1073970173 /nfs/dbraw/zinc/97/01/73/1073970173.db2.gz CHMTVCRGEXNKLM-OAQYLSRUSA-N 0 0 445.476 -0.146 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN[C@@]3(C)CCOC3=O)CC2)cc1OC ZINC000810095621 1073970200 /nfs/dbraw/zinc/97/02/00/1073970200.db2.gz ROCNEHCPDHYBDJ-IBGZPJMESA-N 0 0 441.506 -0.168 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN[C@]3(C)CCOC3=O)CC2)cc1OC ZINC000810095622 1073970112 /nfs/dbraw/zinc/97/01/12/1073970112.db2.gz ROCNEHCPDHYBDJ-LJQANCHMSA-N 0 0 441.506 -0.168 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN[C@@]3(C)CCOC3=O)CC2)c1 ZINC000810098074 1073970101 /nfs/dbraw/zinc/97/01/01/1073970101.db2.gz NXTOEFHZBIGRIJ-IBGZPJMESA-N 0 0 441.506 -0.168 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN[C@]3(C)CCOC3=O)CC2)c1 ZINC000810098077 1073970154 /nfs/dbraw/zinc/97/01/54/1073970154.db2.gz NXTOEFHZBIGRIJ-LJQANCHMSA-N 0 0 441.506 -0.168 20 0 IBADRN C[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CCOC1=O ZINC000810098634 1073970178 /nfs/dbraw/zinc/97/01/78/1073970178.db2.gz RYYQNMZUGSGZIG-IBGZPJMESA-N 0 0 439.490 -0.414 20 0 IBADRN C[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CCOC1=O ZINC000810098637 1073970060 /nfs/dbraw/zinc/97/00/60/1073970060.db2.gz RYYQNMZUGSGZIG-LJQANCHMSA-N 0 0 439.490 -0.414 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(S(=O)(=O)c2ccc(F)c(S(C)(=O)=O)c2)CC1 ZINC000810100218 1073970077 /nfs/dbraw/zinc/97/00/77/1073970077.db2.gz AKIKVIXYGYWRSV-UHFFFAOYSA-N 0 0 443.544 -0.268 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c(Br)n1C ZINC000810100340 1073970025 /nfs/dbraw/zinc/97/00/25/1073970025.db2.gz FTDFTEVBDBKHRW-UHFFFAOYSA-N 0 0 444.377 -0.006 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC000810100913 1073970146 /nfs/dbraw/zinc/97/01/46/1073970146.db2.gz XMZZBORITJPAJD-UHFFFAOYSA-N 0 0 426.561 -0.249 20 0 IBADRN C[C@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N[C@@]3(C)CCOC3=O)cc2)CCOC1=O ZINC000810103907 1073970167 /nfs/dbraw/zinc/97/01/67/1073970167.db2.gz TWAVGGPPERABFJ-HOTGVXAUSA-N 0 0 432.476 -0.346 20 0 IBADRN C[C@@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N[C@]3(C)CCOC3=O)cc2)CCOC1=O ZINC000810103910 1073970086 /nfs/dbraw/zinc/97/00/86/1073970086.db2.gz TWAVGGPPERABFJ-HZPDHXFCSA-N 0 0 432.476 -0.346 20 0 IBADRN C[C@@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N[C@@]3(C)CCOC3=O)cc2)CCOC1=O ZINC000810103912 1073970185 /nfs/dbraw/zinc/97/01/85/1073970185.db2.gz TWAVGGPPERABFJ-IYBDPMFKSA-N 0 0 432.476 -0.346 20 0 IBADRN C[C@@H]1CN(CCS(=O)(=O)CCN2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)C[C@@H](C)S1(=O)=O ZINC000810111665 1073970008 /nfs/dbraw/zinc/97/00/08/1073970008.db2.gz SJVUDKFGBGRROM-FPCVCCKLSA-N 0 0 444.641 -0.584 20 0 IBADRN C[C@@H]1CN(CCS(=O)(=O)CCN2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)C[C@H](C)S1(=O)=O ZINC000810111666 1073970708 /nfs/dbraw/zinc/97/07/08/1073970708.db2.gz SJVUDKFGBGRROM-GEEKYZPCSA-N 0 0 444.641 -0.584 20 0 IBADRN C[C@@H]1CN(CCS(=O)(=O)CCN2C[C@H](C)S(=O)(=O)[C@@H](C)C2)C[C@@H](C)S1(=O)=O ZINC000810111667 1073970506 /nfs/dbraw/zinc/97/05/06/1073970506.db2.gz SJVUDKFGBGRROM-RUPPMWDTSA-N 0 0 444.641 -0.584 20 0 IBADRN C[C@@H]1CN(CCS(=O)(=O)CCN2C[C@H](C)S(=O)(=O)[C@@H](C)C2)C[C@H](C)S1(=O)=O ZINC000810111668 1073970520 /nfs/dbraw/zinc/97/05/20/1073970520.db2.gz SJVUDKFGBGRROM-YHUYYLMFSA-N 0 0 444.641 -0.584 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCCNS(=O)(=O)Cc1ccccc1 ZINC000810115812 1073970717 /nfs/dbraw/zinc/97/07/17/1073970717.db2.gz BTBIDYKGVHVCCJ-UHFFFAOYSA-N 0 0 430.508 -0.194 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000810119971 1073970538 /nfs/dbraw/zinc/97/05/38/1073970538.db2.gz LEHJPEWUMYUHHM-UHFFFAOYSA-N 0 0 428.554 -0.330 20 0 IBADRN Cn1nnc(Br)c1C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000810121662 1073970584 /nfs/dbraw/zinc/97/05/84/1073970584.db2.gz OEETWXVPYZENJT-UHFFFAOYSA-N 0 0 447.271 -0.584 20 0 IBADRN NC(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000810149221 1073970671 /nfs/dbraw/zinc/97/06/71/1073970671.db2.gz BWZHIMLYIAIKJT-UHFFFAOYSA-N 0 0 433.328 -0.253 20 0 IBADRN NC(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000810149265 1073970424 /nfs/dbraw/zinc/97/04/24/1073970424.db2.gz DUFUCGKICUFMPW-UHFFFAOYSA-N 0 0 438.428 -0.117 20 0 IBADRN NC(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000810149351 1073970623 /nfs/dbraw/zinc/97/06/23/1073970623.db2.gz HULYDBUYULCWRG-UHFFFAOYSA-N 0 0 433.328 -0.253 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000810158816 1073970694 /nfs/dbraw/zinc/97/06/94/1073970694.db2.gz UMBWQZWSTSCNFE-UHFFFAOYSA-N 0 0 449.514 -0.347 20 0 IBADRN O=C(c1c[nH]nc1-c1ccccn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000810163669 1073971291 /nfs/dbraw/zinc/97/12/91/1073971291.db2.gz CMZBIGMPRUHUHW-CYBMUJFWSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(c1c[nH]nc1-c1ccccn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000810163670 1073971138 /nfs/dbraw/zinc/97/11/38/1073971138.db2.gz CMZBIGMPRUHUHW-ZDUSSCGKSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1(c2ccccc2)CC(=O)C1 ZINC000810163831 1073971363 /nfs/dbraw/zinc/97/13/63/1073971363.db2.gz JJGRSSVTRBEGFX-KRWDZBQOSA-N 0 0 440.543 -0.052 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1(c2ccccc2)CC(=O)C1 ZINC000810163832 1073971160 /nfs/dbraw/zinc/97/11/60/1073971160.db2.gz JJGRSSVTRBEGFX-QGZVFWFLSA-N 0 0 440.543 -0.052 20 0 IBADRN COC(=O)Cc1occ(C)c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000810164065 1073971121 /nfs/dbraw/zinc/97/11/21/1073971121.db2.gz SLNQDPLWHRBNGZ-CYBMUJFWSA-N 0 0 448.519 -0.422 20 0 IBADRN COC(=O)Cc1occ(C)c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000810164076 1073971344 /nfs/dbraw/zinc/97/13/44/1073971344.db2.gz SLNQDPLWHRBNGZ-ZDUSSCGKSA-N 0 0 448.519 -0.422 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)NCCS(=O)(=O)N(C)C)cc2)CC1 ZINC000810179649 1073971174 /nfs/dbraw/zinc/97/11/74/1073971174.db2.gz VWEJPYPFIQRDRD-UHFFFAOYSA-N 0 0 427.527 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000810186761 1073971259 /nfs/dbraw/zinc/97/12/59/1073971259.db2.gz YECQNRSUFLZRRM-UHFFFAOYSA-N 0 0 441.510 -0.445 20 0 IBADRN COC[C@]1(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCN(C(=O)OC(C)(C)C)C1 ZINC000810194316 1073971322 /nfs/dbraw/zinc/97/13/22/1073971322.db2.gz SMTBNSDKKKTXEU-IBGZPJMESA-N 0 0 448.586 -0.047 20 0 IBADRN COC[C@@]1(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCN(C(=O)OC(C)(C)C)C1 ZINC000810194317 1073971365 /nfs/dbraw/zinc/97/13/65/1073971365.db2.gz SMTBNSDKKKTXEU-LJQANCHMSA-N 0 0 448.586 -0.047 20 0 IBADRN CN(C)c1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ncn1 ZINC000810219356 1073971249 /nfs/dbraw/zinc/97/12/49/1073971249.db2.gz IKQMBILDEMHWSR-GFCCVEGCSA-N 0 0 432.528 -0.791 20 0 IBADRN CN(C)c1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ncn1 ZINC000810219358 1073971812 /nfs/dbraw/zinc/97/18/12/1073971812.db2.gz IKQMBILDEMHWSR-LBPRGKRZSA-N 0 0 432.528 -0.791 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)NCC(=O)NCCS(=O)(=O)N2CCOCC2)c1C ZINC000810273626 1073971946 /nfs/dbraw/zinc/97/19/46/1073971946.db2.gz SQZTYHWZPMGFQR-UHFFFAOYSA-N 0 0 447.579 -0.023 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Cl)cc1)NCCS(=O)(=O)N1CCOCC1 ZINC000810273650 1073971925 /nfs/dbraw/zinc/97/19/25/1073971925.db2.gz UDRIFFCQDOQUEA-UHFFFAOYSA-N 0 0 425.916 -0.604 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2ccccc2c1)NCCS(=O)(=O)N1CCOCC1 ZINC000810274329 1073971954 /nfs/dbraw/zinc/97/19/54/1073971954.db2.gz QJGSJAGJTKCHNK-UHFFFAOYSA-N 0 0 441.531 -0.104 20 0 IBADRN Cn1nc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1C1CC1 ZINC000810276769 1073971870 /nfs/dbraw/zinc/97/18/70/1073971870.db2.gz BRMWHQPLIQMHDA-CYBMUJFWSA-N 0 0 431.540 -0.036 20 0 IBADRN Cn1nc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1C1CC1 ZINC000810276770 1073971798 /nfs/dbraw/zinc/97/17/98/1073971798.db2.gz BRMWHQPLIQMHDA-ZDUSSCGKSA-N 0 0 431.540 -0.036 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000810280870 1073971821 /nfs/dbraw/zinc/97/18/21/1073971821.db2.gz PCOCQEZJZLXSNH-ILXRZTDVSA-N 0 0 435.518 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000810280871 1073971983 /nfs/dbraw/zinc/97/19/83/1073971983.db2.gz PCOCQEZJZLXSNH-KFWWJZLASA-N 0 0 435.518 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC000810280872 1073971893 /nfs/dbraw/zinc/97/18/93/1073971893.db2.gz PCOCQEZJZLXSNH-KKUMJFAQSA-N 0 0 435.518 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC000810280873 1073971858 /nfs/dbraw/zinc/97/18/58/1073971858.db2.gz PCOCQEZJZLXSNH-ZNMIVQPWSA-N 0 0 435.518 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000810284863 1073971992 /nfs/dbraw/zinc/97/19/92/1073971992.db2.gz XSPSRIBOQSOOPP-ILXRZTDVSA-N 0 0 435.518 -0.195 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000810284866 1073972589 /nfs/dbraw/zinc/97/25/89/1073972589.db2.gz XSPSRIBOQSOOPP-KFWWJZLASA-N 0 0 435.518 -0.195 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC000810284869 1073972418 /nfs/dbraw/zinc/97/24/18/1073972418.db2.gz XSPSRIBOQSOOPP-KKUMJFAQSA-N 0 0 435.518 -0.195 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC000810284871 1073972575 /nfs/dbraw/zinc/97/25/75/1073972575.db2.gz XSPSRIBOQSOOPP-ZNMIVQPWSA-N 0 0 435.518 -0.195 20 0 IBADRN O=C([C@H]1CC[C@@H](C(=O)N2CCCNC(=O)C2)N1Cc1ccccc1)N1CCCNC(=O)C1 ZINC000810319483 1073972479 /nfs/dbraw/zinc/97/24/79/1073972479.db2.gz GAYHGPMGRXEEBH-KDURUIRLSA-N 0 0 441.532 -0.283 20 0 IBADRN Cn1nncc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000810350563 1073972507 /nfs/dbraw/zinc/97/25/07/1073972507.db2.gz RPIDQHPVBJTTTI-UHFFFAOYSA-N 0 0 436.479 -0.709 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc3c2OCC(=O)N3)CC1 ZINC000810350963 1073972647 /nfs/dbraw/zinc/97/26/47/1073972647.db2.gz BGLYJYLEJCBHPK-UHFFFAOYSA-N 0 0 439.494 -0.313 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnnn3C)CC2)c1 ZINC000810351563 1073972610 /nfs/dbraw/zinc/97/26/10/1073972610.db2.gz OIONBIBHPWZNNN-UHFFFAOYSA-N 0 0 438.466 -0.696 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C(=O)Nc3cnnn3C)CC2)c1 ZINC000810351886 1073972597 /nfs/dbraw/zinc/97/25/97/1073972597.db2.gz YDUMJCMTIYPHBU-UHFFFAOYSA-N 0 0 442.479 -0.865 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1C ZINC000810358651 1073972424 /nfs/dbraw/zinc/97/24/24/1073972424.db2.gz GMYCMUPWKUSDGJ-UHFFFAOYSA-N 0 0 431.442 -0.363 20 0 IBADRN COC(=O)c1c(F)ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1F ZINC000810358747 1073972540 /nfs/dbraw/zinc/97/25/40/1073972540.db2.gz KCDHUYMIXNEKBW-UHFFFAOYSA-N 0 0 435.405 -0.532 20 0 IBADRN Cn1nncc1NC(=O)C(=O)NC[C@H](O)COc1ccc(I)cc1 ZINC000810360593 1073972584 /nfs/dbraw/zinc/97/25/84/1073972584.db2.gz UPYKNFAIFKAJIQ-JTQLQIEISA-N 0 0 445.217 -0.086 20 0 IBADRN Cn1nncc1NC(=O)C(=O)NC[C@@H](O)COc1ccc(I)cc1 ZINC000810360594 1073972444 /nfs/dbraw/zinc/97/24/44/1073972444.db2.gz UPYKNFAIFKAJIQ-SNVBAGLBSA-N 0 0 445.217 -0.086 20 0 IBADRN Cn1nncc1NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000810370149 1073972531 /nfs/dbraw/zinc/97/25/31/1073972531.db2.gz BSRUYWMJCGUPHG-UHFFFAOYSA-N 0 0 432.384 -0.296 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCS(N)(=O)=O)c(C(=O)NCC(F)(F)F)cc1F ZINC000810370885 1073973671 /nfs/dbraw/zinc/97/36/71/1073973671.db2.gz QIDJZDLKPIKBJA-UHFFFAOYSA-N 0 0 428.364 -0.231 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000810373742 1073973616 /nfs/dbraw/zinc/97/36/16/1073973616.db2.gz ATYUVGHBJSNXMS-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC000810373886 1073973778 /nfs/dbraw/zinc/97/37/78/1073973778.db2.gz BYODDUIGVKQZHT-UHFFFAOYSA-N 0 0 433.430 -0.081 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000810374603 1073973753 /nfs/dbraw/zinc/97/37/53/1073973753.db2.gz ZHDZWDXKQYZCCB-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN COc1nc(N2CCN(C(=O)C(=O)Nc3cnnn3C)CC2)ncc1Br ZINC000810374787 1073973816 /nfs/dbraw/zinc/97/38/16/1073973816.db2.gz GENPERQWGHBXTG-UHFFFAOYSA-N 0 0 425.247 -0.337 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnnn2C)CC1 ZINC000810375704 1073973792 /nfs/dbraw/zinc/97/37/92/1073973792.db2.gz JTZRTVZGTGSJAL-UHFFFAOYSA-N 0 0 442.885 -0.051 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC000810376016 1073973716 /nfs/dbraw/zinc/97/37/16/1073973716.db2.gz JAFMMDULRIJJAH-UHFFFAOYSA-N 0 0 445.563 -0.207 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C(=O)Nc4cnnn4C)CC3)C2=O)n(C)n1 ZINC000810381942 1073973665 /nfs/dbraw/zinc/97/36/65/1073973665.db2.gz BPOSXKLBZSDAQU-AWEZNQCLSA-N 0 0 429.485 -0.865 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C(=O)Nc4cnnn4C)CC3)C2=O)n(C)n1 ZINC000810381943 1073973653 /nfs/dbraw/zinc/97/36/53/1073973653.db2.gz BPOSXKLBZSDAQU-CQSZACIVSA-N 0 0 429.485 -0.865 20 0 IBADRN Cc1cc(NC(=O)C(=O)NCCN2CCNC(=O)C2)c(C(=O)NCC(F)(F)F)cc1F ZINC000810382020 1073973637 /nfs/dbraw/zinc/97/36/37/1073973637.db2.gz FBNHLKQBQXOHGP-UHFFFAOYSA-N 0 0 447.389 -0.087 20 0 IBADRN Cn1nncc1NC(=O)C(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000810390240 1073973824 /nfs/dbraw/zinc/97/38/24/1073973824.db2.gz SDDIESHDGPLJNX-CYBMUJFWSA-N 0 0 435.485 -0.176 20 0 IBADRN Cn1nncc1NC(=O)C(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC000810390241 1073973701 /nfs/dbraw/zinc/97/37/01/1073973701.db2.gz SDDIESHDGPLJNX-ZDUSSCGKSA-N 0 0 435.485 -0.176 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000810396289 1073973083 /nfs/dbraw/zinc/97/30/83/1073973083.db2.gz JOJDARIRUQQBKW-UHFFFAOYSA-N 0 0 444.482 -0.050 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC000810396776 1073973133 /nfs/dbraw/zinc/97/31/33/1073973133.db2.gz OQPUWKWIIUPPDM-UHFFFAOYSA-N 0 0 426.467 -0.260 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)C(=O)Nc2cnnn2C)c1 ZINC000810415655 1073974317 /nfs/dbraw/zinc/97/43/17/1073974317.db2.gz DVMLWBXELOXWSY-UHFFFAOYSA-N 0 0 445.299 -0.081 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000810415725 1073973627 /nfs/dbraw/zinc/97/36/27/1073973627.db2.gz HPSQYGYJNVJNDV-UHFFFAOYSA-N 0 0 425.463 -0.599 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC000810416207 1073973689 /nfs/dbraw/zinc/97/36/89/1073973689.db2.gz RXFLGEJPUWEIMC-UHFFFAOYSA-N 0 0 440.478 -0.843 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC000810416405 1073973592 /nfs/dbraw/zinc/97/35/92/1073973592.db2.gz WQMIDTOSNNZBHW-UHFFFAOYSA-N 0 0 443.478 -0.784 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)n1 ZINC000810421223 1073974119 /nfs/dbraw/zinc/97/41/19/1073974119.db2.gz VVGNHHRKCLMELU-UHFFFAOYSA-N 0 0 448.505 -0.251 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)cc1C ZINC000810424401 1073974259 /nfs/dbraw/zinc/97/42/59/1073974259.db2.gz QNUNYOKAYYQXQK-UHFFFAOYSA-N 0 0 448.505 -0.284 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC[S@@](C)=O)CC2)cc1 ZINC000810430345 1073974128 /nfs/dbraw/zinc/97/41/28/1073974128.db2.gz AISVQKJFKIJBEC-HHHXNRCGSA-N 0 0 430.552 -0.554 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC[S@](C)=O)CC2)cc1 ZINC000810430346 1073974274 /nfs/dbraw/zinc/97/42/74/1073974274.db2.gz AISVQKJFKIJBEC-MHZLTWQESA-N 0 0 430.552 -0.554 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC[S@@](C)=O)CC2)cc1OC ZINC000810431539 1073974363 /nfs/dbraw/zinc/97/43/63/1073974363.db2.gz QJMRZTAOMRAESX-HHHXNRCGSA-N 0 0 433.552 -0.495 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC[S@](C)=O)CC2)cc1OC ZINC000810431543 1073974105 /nfs/dbraw/zinc/97/41/05/1073974105.db2.gz QJMRZTAOMRAESX-MHZLTWQESA-N 0 0 433.552 -0.495 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)Nc2ccn(CCS(C)(=O)=O)n2)cc1OC ZINC000810433106 1073974236 /nfs/dbraw/zinc/97/42/36/1073974236.db2.gz WQFACYSUGMKMJZ-UHFFFAOYSA-N 0 0 446.507 -0.138 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC000810438190 1073974919 /nfs/dbraw/zinc/97/49/19/1073974919.db2.gz FEPCRSWBAQFHHY-UHFFFAOYSA-N 0 0 443.341 -0.095 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCCn1cc(CO)nn1 ZINC000810438527 1073974948 /nfs/dbraw/zinc/97/49/48/1073974948.db2.gz IWCUPVRNRFVEIX-UHFFFAOYSA-N 0 0 436.494 -0.884 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC000810439028 1073974930 /nfs/dbraw/zinc/97/49/30/1073974930.db2.gz NVPGBLYAYKBYPF-UHFFFAOYSA-N 0 0 443.341 -0.095 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2C[C@@H](C)[C@](C)(CNC(=O)OC(C)(C)C)C2)n1 ZINC000810443432 1073974798 /nfs/dbraw/zinc/97/47/98/1073974798.db2.gz PNUSNDLATXBVHF-CWTRNNRKSA-N 0 0 437.501 -0.028 20 0 IBADRN COC[C@@]1(C(=O)N2CCN(CCS(N)(=O)=O)CC2)CCN(C(=O)OC(C)(C)C)C1 ZINC000810452275 1073974792 /nfs/dbraw/zinc/97/47/92/1073974792.db2.gz JWJOBSIZFYBTPZ-GOSISDBHSA-N 0 0 434.559 -0.307 20 0 IBADRN COC[C@]1(C(=O)N2CCN(CCS(N)(=O)=O)CC2)CCN(C(=O)OC(C)(C)C)C1 ZINC000810452276 1073974875 /nfs/dbraw/zinc/97/48/75/1073974875.db2.gz JWJOBSIZFYBTPZ-SFHVURJKSA-N 0 0 434.559 -0.307 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3cc4n(n3)CCO4)C2)CC1 ZINC000810457552 1073974356 /nfs/dbraw/zinc/97/43/56/1073974356.db2.gz LODXWAXBRSYFGM-UHFFFAOYSA-N 0 0 441.510 -0.018 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C(=O)N(C)C2CN(C(=O)OC(C)(C)C)C2)cn1 ZINC000810458979 1073974248 /nfs/dbraw/zinc/97/42/48/1073974248.db2.gz KNCMVSXGJKNOOM-UHFFFAOYSA-N 0 0 438.485 -0.338 20 0 IBADRN CN(C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)C1CN(C(=O)OC(C)(C)C)C1 ZINC000810459401 1073974168 /nfs/dbraw/zinc/97/41/68/1073974168.db2.gz VKPHQPAZLQMIGT-UHFFFAOYSA-N 0 0 446.464 -0.352 20 0 IBADRN CN(C(=O)C(=O)Nc1ccn(CCS(C)(=O)=O)n1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000810461878 1073974862 /nfs/dbraw/zinc/97/48/62/1073974862.db2.gz OBFWAFUDRCGQJX-UHFFFAOYSA-N 0 0 429.499 -0.056 20 0 IBADRN CN(C(=O)C(=O)Nc1cccc(C(=O)NCC(N)=O)c1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000810462079 1073974348 /nfs/dbraw/zinc/97/43/48/1073974348.db2.gz SACFANSXXWRGNH-UHFFFAOYSA-N 0 0 433.465 -0.082 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)CC1 ZINC000810474921 1073975358 /nfs/dbraw/zinc/97/53/58/1073975358.db2.gz ABCJGGZOSYYHHE-UHFFFAOYSA-N 0 0 439.494 -0.078 20 0 IBADRN CON(C)C(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000810475003 1073975376 /nfs/dbraw/zinc/97/53/76/1073975376.db2.gz CCMIEPFRKBSZQH-UHFFFAOYSA-N 0 0 441.510 -0.401 20 0 IBADRN Cc1nccn1-c1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cn1 ZINC000810475237 1073974825 /nfs/dbraw/zinc/97/48/25/1073974825.db2.gz HEIXSFXEQLOFPE-UHFFFAOYSA-N 0 0 435.510 -0.145 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccn(Cc3ccccn3)n2)CC1 ZINC000810475271 1073974940 /nfs/dbraw/zinc/97/49/40/1073974940.db2.gz HYRNXZFIQZDYLW-UHFFFAOYSA-N 0 0 435.510 -0.394 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)CC1 ZINC000810475282 1073974869 /nfs/dbraw/zinc/97/48/69/1073974869.db2.gz IIVGEKJJDHCVOO-UHFFFAOYSA-N 0 0 439.494 -0.078 20 0 IBADRN CN(C)C(=O)c1cc(F)ccc1NC(=O)C(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000810475454 1073974848 /nfs/dbraw/zinc/97/48/48/1073974848.db2.gz LDHOKUIGGUOLGG-UHFFFAOYSA-N 0 0 443.501 -0.193 20 0 IBADRN COC(=O)NCc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000810475710 1073975412 /nfs/dbraw/zinc/97/54/12/1073975412.db2.gz PMNICSHEVORCQQ-UHFFFAOYSA-N 0 0 441.510 -0.178 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC000810475733 1073975397 /nfs/dbraw/zinc/97/53/97/1073975397.db2.gz QTEITTFZPPGGSK-UHFFFAOYSA-N 0 0 439.538 -0.198 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C(=O)Nc2ccccc2N2CCOC2=O)CC1 ZINC000810475856 1073975406 /nfs/dbraw/zinc/97/54/06/1073975406.db2.gz RNRHUBSKCFQYDZ-UHFFFAOYSA-N 0 0 439.494 -0.078 20 0 IBADRN COC(=O)c1cc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)ccc1F ZINC000810476082 1073975452 /nfs/dbraw/zinc/97/54/52/1073975452.db2.gz VZSFJVAIBRIPPB-UHFFFAOYSA-N 0 0 430.458 -0.109 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000810476083 1073975417 /nfs/dbraw/zinc/97/54/17/1073975417.db2.gz WDHSNXIYAZMYRZ-UHFFFAOYSA-N 0 0 441.510 -0.449 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@]3(C)CCOC3=O)c2)CC1 ZINC000810477636 1073975459 /nfs/dbraw/zinc/97/54/59/1073975459.db2.gz RYGYWWGVVNZVGK-IBGZPJMESA-N 0 0 438.506 -0.227 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@]3(C)CCOC3=O)c2)CC1 ZINC000810477637 1073975425 /nfs/dbraw/zinc/97/54/25/1073975425.db2.gz RYGYWWGVVNZVGK-LJQANCHMSA-N 0 0 438.506 -0.227 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@]1(C)CCOC1=O ZINC000810477942 1073975347 /nfs/dbraw/zinc/97/53/47/1073975347.db2.gz XVBFYSZQOAHIIE-GOSISDBHSA-N 0 0 425.463 -0.224 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@]1(C)CCOC1=O ZINC000810477946 1073975455 /nfs/dbraw/zinc/97/54/55/1073975455.db2.gz XVBFYSZQOAHIIE-SFHVURJKSA-N 0 0 425.463 -0.224 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@@]3(C)CCOC3=O)c2)CC1 ZINC000810478529 1073975446 /nfs/dbraw/zinc/97/54/46/1073975446.db2.gz LLGWDPRWRCXRTO-IBGZPJMESA-N 0 0 438.506 -0.227 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(=O)N[C@]3(C)CCOC3=O)c2)CC1 ZINC000810478531 1073975479 /nfs/dbraw/zinc/97/54/79/1073975479.db2.gz LLGWDPRWRCXRTO-LJQANCHMSA-N 0 0 438.506 -0.227 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@]2(C)CCOC2=O)cc1 ZINC000810478797 1073975475 /nfs/dbraw/zinc/97/54/75/1073975475.db2.gz HISTXTPILFOZHL-FKIZINRSSA-N 0 0 435.433 -0.245 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@]2(C)CCOC2=O)cc1 ZINC000810478798 1073975369 /nfs/dbraw/zinc/97/53/69/1073975369.db2.gz HISTXTPILFOZHL-MPBGBICISA-N 0 0 435.433 -0.245 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@]2(C)CCOC2=O)cc1 ZINC000810478799 1073975384 /nfs/dbraw/zinc/97/53/84/1073975384.db2.gz HISTXTPILFOZHL-ODXCJYRJSA-N 0 0 435.433 -0.245 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N[C@@]2(C)CCOC2=O)cc1 ZINC000810478800 1073975483 /nfs/dbraw/zinc/97/54/83/1073975483.db2.gz HISTXTPILFOZHL-YUNKPMOVSA-N 0 0 435.433 -0.245 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@]1(C)CCOC1=O ZINC000810479055 1073975443 /nfs/dbraw/zinc/97/54/43/1073975443.db2.gz RKTONRQQCKAECO-GOSISDBHSA-N 0 0 441.462 -0.524 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C(=O)N[C@@]1(C)CCOC1=O ZINC000810479060 1073975389 /nfs/dbraw/zinc/97/53/89/1073975389.db2.gz RKTONRQQCKAECO-SFHVURJKSA-N 0 0 441.462 -0.524 20 0 IBADRN C[C@]1(NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCOC1=O ZINC000810479968 1073975436 /nfs/dbraw/zinc/97/54/36/1073975436.db2.gz RGHUKCNLSKLDPC-KRWDZBQOSA-N 0 0 429.426 -0.393 20 0 IBADRN C[C@@]1(NC(=O)C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCOC1=O ZINC000810479969 1073975959 /nfs/dbraw/zinc/97/59/59/1073975959.db2.gz RGHUKCNLSKLDPC-QGZVFWFLSA-N 0 0 429.426 -0.393 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C[C@H](C)S1(=O)=O ZINC000810486235 1073975939 /nfs/dbraw/zinc/97/59/39/1073975939.db2.gz IRNHLUJZLNEGQN-BETUJISGSA-N 0 0 443.547 -0.140 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C[C@@H](C)S1(=O)=O ZINC000810486238 1073976017 /nfs/dbraw/zinc/97/60/17/1073976017.db2.gz IRNHLUJZLNEGQN-CHWSQXEVSA-N 0 0 443.547 -0.140 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)C[C@H](C)S1(=O)=O ZINC000810486239 1073975914 /nfs/dbraw/zinc/97/59/14/1073975914.db2.gz IRNHLUJZLNEGQN-STQMWFEESA-N 0 0 443.547 -0.140 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000810486645 1073975951 /nfs/dbraw/zinc/97/59/51/1073975951.db2.gz MXSOHETYIFEJFG-RYUDHWBXSA-N 0 0 433.508 -0.159 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)cc1 ZINC000810486646 1073975928 /nfs/dbraw/zinc/97/59/28/1073975928.db2.gz MXSOHETYIFEJFG-TXEJJXNPSA-N 0 0 433.508 -0.159 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@@H](C)S(=O)(=O)[C@H](C)C2)cc1 ZINC000810486647 1073976058 /nfs/dbraw/zinc/97/60/58/1073976058.db2.gz MXSOHETYIFEJFG-VXGBXAGGSA-N 0 0 433.508 -0.159 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(CN2C(=O)CSC2=O)cc1 ZINC000810495382 1073974883 /nfs/dbraw/zinc/97/48/83/1073974883.db2.gz LALYLTSFTYSTIX-UHFFFAOYSA-N 0 0 428.492 -0.172 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1 ZINC000810496290 1073974838 /nfs/dbraw/zinc/97/48/38/1073974838.db2.gz XSCJHMKBNICHAI-UHFFFAOYSA-N 0 0 431.902 -0.778 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000810496352 1073974911 /nfs/dbraw/zinc/97/49/11/1073974911.db2.gz YVHHLAJOAIUFRQ-HJWRWDBZSA-N 0 0 432.502 -0.229 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000810499463 1073974902 /nfs/dbraw/zinc/97/49/02/1073974902.db2.gz WQFDSBZECMOVML-UHFFFAOYSA-N 0 0 430.430 -0.210 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC000810499570 1073974965 /nfs/dbraw/zinc/97/49/65/1073974965.db2.gz XTFBYJDKNBQTLJ-UHFFFAOYSA-N 0 0 448.445 0.000 20 0 IBADRN Cn1cc(/C=C\C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)n(C)c1=O ZINC000810526207 1073975894 /nfs/dbraw/zinc/97/58/94/1073975894.db2.gz LNONUYMPXSKJBO-YVMONPNESA-N 0 0 448.501 -0.566 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)c1 ZINC000810577696 1073976589 /nfs/dbraw/zinc/97/65/89/1073976589.db2.gz FCXAJNSALIYGIZ-UHFFFAOYSA-N 0 0 429.495 -0.594 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3c(Br)nnn3C)CC2)cn1C ZINC000810597788 1073976477 /nfs/dbraw/zinc/97/64/77/1073976477.db2.gz OFROAKCBMSITMC-UHFFFAOYSA-N 0 0 432.304 -0.234 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000810629428 1073977011 /nfs/dbraw/zinc/97/70/11/1073977011.db2.gz IDUCXKGOFIKIGU-WAYWQWQTSA-N 0 0 437.478 -0.765 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)OCCOCCOC(=O)[C@H]1CCc3nnn(C)c3C1)CC2 ZINC000810644747 1073977086 /nfs/dbraw/zinc/97/70/86/1073977086.db2.gz JQVRZBBFWGXNIR-KBPBESRZSA-N 0 0 432.481 -0.043 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)OCCOCCOC(=O)[C@H]1CCc3nnn(C)c3C1)CC2 ZINC000810644748 1073977032 /nfs/dbraw/zinc/97/70/32/1073977032.db2.gz JQVRZBBFWGXNIR-OKILXGFUSA-N 0 0 432.481 -0.043 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)OCCOCCOC(=O)[C@@H]1CCc3nnn(C)c3C1)CC2 ZINC000810644749 1073977003 /nfs/dbraw/zinc/97/70/03/1073977003.db2.gz JQVRZBBFWGXNIR-ZIAGYGMSSA-N 0 0 432.481 -0.043 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2cc3n(n2)CCO3)cc1)S(C)(=O)=O ZINC000810662461 1073977612 /nfs/dbraw/zinc/97/76/12/1073977612.db2.gz KOYNNNSJSCPFMZ-UHFFFAOYSA-N 0 0 444.491 -0.190 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)c(=O)n(C)c1=O ZINC000810703424 1073977535 /nfs/dbraw/zinc/97/75/35/1073977535.db2.gz JKBBSQKHAWGXHN-ARJAWSKDSA-N 0 0 442.501 -0.489 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)c(=O)n(C)c1=O ZINC000810703425 1073977631 /nfs/dbraw/zinc/97/76/31/1073977631.db2.gz JKBBSQKHAWGXHN-ONEGZZNKSA-N 0 0 442.501 -0.489 20 0 IBADRN Cn1c2ncn(CCC(=O)O[C@H]3CCCN(Cc4ncccn4)C3)c2c(=O)n(C)c1=O ZINC000810743614 1073978054 /nfs/dbraw/zinc/97/80/54/1073978054.db2.gz PBXIEIPSGHRKNH-AWEZNQCLSA-N 0 0 427.465 -0.178 20 0 IBADRN Cn1c2ncn(CCC(=O)O[C@@H]3CCCN(Cc4ncccn4)C3)c2c(=O)n(C)c1=O ZINC000810743616 1073978264 /nfs/dbraw/zinc/97/82/64/1073978264.db2.gz PBXIEIPSGHRKNH-CQSZACIVSA-N 0 0 427.465 -0.178 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)CC2)no1 ZINC000810885146 1073979355 /nfs/dbraw/zinc/97/93/55/1073979355.db2.gz IJCKOXIGPIKKIN-UHFFFAOYSA-N 0 0 434.478 -0.437 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@H](CNC(=O)C(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000810899503 1073979501 /nfs/dbraw/zinc/97/95/01/1073979501.db2.gz KWKYHZJKEBSKPO-LLVKDONJSA-N 0 0 444.414 -0.259 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@@H](CNC(=O)C(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000810899504 1073979302 /nfs/dbraw/zinc/97/93/02/1073979302.db2.gz KWKYHZJKEBSKPO-NSHDSACASA-N 0 0 444.414 -0.259 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@@H](CNC(=O)C(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000810901974 1073979450 /nfs/dbraw/zinc/97/94/50/1073979450.db2.gz AWFIWCVZQIDAGW-JTQLQIEISA-N 0 0 430.387 -0.649 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@H](CNC(=O)C(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000810901976 1073979388 /nfs/dbraw/zinc/97/93/88/1073979388.db2.gz AWFIWCVZQIDAGW-SNVBAGLBSA-N 0 0 430.387 -0.649 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC[C@@H](CNC(=O)C(F)(F)F)C1)c(=O)n2C ZINC000810903285 1073979420 /nfs/dbraw/zinc/97/94/20/1073979420.db2.gz WXUMWGUGUGDQBU-JTQLQIEISA-N 0 0 430.387 -0.649 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCC[C@H](CNC(=O)C(F)(F)F)C1)c(=O)n2C ZINC000810903288 1073979367 /nfs/dbraw/zinc/97/93/67/1073979367.db2.gz WXUMWGUGUGDQBU-SNVBAGLBSA-N 0 0 430.387 -0.649 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2COC3(CCOCC3)O2)cc1 ZINC000810922665 1073979407 /nfs/dbraw/zinc/97/94/07/1073979407.db2.gz LETUWKMBFCBQON-HNNXBMFYSA-N 0 0 427.479 -0.038 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2COC3(CCOCC3)O2)cc1 ZINC000810922666 1073979433 /nfs/dbraw/zinc/97/94/33/1073979433.db2.gz LETUWKMBFCBQON-OAHLLOKOSA-N 0 0 427.479 -0.038 20 0 IBADRN O=C(NN1CCCNC1=O)[C@H]1CC[C@@H](C(=O)NN2CCCNC2=O)N1Cc1ccccc1 ZINC000810941665 1073979472 /nfs/dbraw/zinc/97/94/72/1073979472.db2.gz CIYHBZHVBWCDPZ-CALCHBBNSA-N 0 0 443.508 -0.087 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CC(n3cc([C@@H](C)O)nn3)C2)cc1OC ZINC000810969768 1073979439 /nfs/dbraw/zinc/97/94/39/1073979439.db2.gz CXGRBEDKUMVARD-LLVKDONJSA-N 0 0 425.467 -0.290 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CC(n3cc([C@H](C)O)nn3)C2)cc1OC ZINC000810969769 1073979462 /nfs/dbraw/zinc/97/94/62/1073979462.db2.gz CXGRBEDKUMVARD-NSHDSACASA-N 0 0 425.467 -0.290 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@@H](F)C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000810991587 1073980109 /nfs/dbraw/zinc/98/01/09/1073980109.db2.gz FTPTVNQCGSZXLO-GFCCVEGCSA-N 0 0 432.478 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC000810991588 1073980181 /nfs/dbraw/zinc/98/01/81/1073980181.db2.gz FTPTVNQCGSZXLO-LBPRGKRZSA-N 0 0 432.478 -0.157 20 0 IBADRN COC[C@H](COC(=O)[C@H]1CCc2nnn(C)c2C1)OC(=O)[C@@H]1CCc2nnn(C)c2C1 ZINC000811011214 1073980175 /nfs/dbraw/zinc/98/01/75/1073980175.db2.gz ZTAPUMMSMSVJCU-BFHYXJOUSA-N 0 0 432.481 -0.045 20 0 IBADRN COC[C@H](COC(=O)[C@@H]1CCc2nnn(C)c2C1)OC(=O)[C@H]1CCc2nnn(C)c2C1 ZINC000811011217 1073980103 /nfs/dbraw/zinc/98/01/03/1073980103.db2.gz ZTAPUMMSMSVJCU-HZSPNIEDSA-N 0 0 432.481 -0.045 20 0 IBADRN COC[C@H](COC(=O)[C@H]1CCc2nnn(C)c2C1)OC(=O)[C@H]1CCc2nnn(C)c2C1 ZINC000811011220 1073980050 /nfs/dbraw/zinc/98/00/50/1073980050.db2.gz ZTAPUMMSMSVJCU-MELADBBJSA-N 0 0 432.481 -0.045 20 0 IBADRN COC[C@H](COC(=O)[C@@H]1CCc2nnn(C)c2C1)OC(=O)[C@@H]1CCc2nnn(C)c2C1 ZINC000811011221 1073979996 /nfs/dbraw/zinc/97/99/96/1073979996.db2.gz ZTAPUMMSMSVJCU-MGPQQGTHSA-N 0 0 432.481 -0.045 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(C)C ZINC000811030201 1073980636 /nfs/dbraw/zinc/98/06/36/1073980636.db2.gz KLXLFAYZKAPFAC-ABAIWWIYSA-N 0 0 431.467 -0.991 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(C)C ZINC000811030205 1073980681 /nfs/dbraw/zinc/98/06/81/1073980681.db2.gz KLXLFAYZKAPFAC-NHYWBVRUSA-N 0 0 431.467 -0.991 20 0 IBADRN COCCc1nc(COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)no1 ZINC000811059075 1073981130 /nfs/dbraw/zinc/98/11/30/1073981130.db2.gz PSIBGLXDEJEONU-UHFFFAOYSA-N 0 0 426.451 -0.018 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(C)C ZINC000811061903 1073981224 /nfs/dbraw/zinc/98/12/24/1073981224.db2.gz ASQFWUGOBHYWOC-INIZCTEOSA-N 0 0 425.463 -0.076 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(C)C ZINC000811066371 1073981281 /nfs/dbraw/zinc/98/12/81/1073981281.db2.gz YIPDKARTHRRLHO-INIZCTEOSA-N 0 0 445.494 -0.483 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(C)C ZINC000811077820 1073980577 /nfs/dbraw/zinc/98/05/77/1073980577.db2.gz QHKQLOCBRSKMCL-HNNXBMFYSA-N 0 0 432.451 -0.229 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)C(C)(C)N2C(=O)c3ccccc3C2=O)cn1 ZINC000811083054 1073980647 /nfs/dbraw/zinc/98/06/47/1073980647.db2.gz POTDFBIRBFDUHV-UHFFFAOYSA-N 0 0 448.457 -0.115 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)C(C)(C)N2C(=O)c3ccccc3C2=O)C(=O)C1=O ZINC000811083838 1073980551 /nfs/dbraw/zinc/98/05/51/1073980551.db2.gz GKLQVWNTFHWMMG-UHFFFAOYSA-N 0 0 429.429 -0.136 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)nn1 ZINC000811086893 1073981324 /nfs/dbraw/zinc/98/13/24/1073981324.db2.gz CLSLEIZFWJLOEK-QZTJIDSGSA-N 0 0 441.444 -0.021 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)nn1 ZINC000811087051 1073981097 /nfs/dbraw/zinc/98/10/97/1073981097.db2.gz RHAKSKBUQWEWKI-ZIAGYGMSSA-N 0 0 428.471 -0.682 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)nn1 ZINC000811087212 1073981107 /nfs/dbraw/zinc/98/11/07/1073981107.db2.gz HKTSWCKZKXPQSJ-ZIAGYGMSSA-N 0 0 430.421 -0.525 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)nn1 ZINC000811087731 1073981255 /nfs/dbraw/zinc/98/12/55/1073981255.db2.gz ARNKZQZSSZWYPT-HUUCEWRRSA-N 0 0 439.469 -0.013 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)OCc2cn([C@@H]3COC[C@H]3OC)nn2)c1 ZINC000811088938 1073981202 /nfs/dbraw/zinc/98/12/02/1073981202.db2.gz WFXFMBRABRQARH-HUUCEWRRSA-N 0 0 429.455 -0.526 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)nn1 ZINC000811090172 1073981311 /nfs/dbraw/zinc/98/13/11/1073981311.db2.gz IMCFXMJURPRFCL-IAGOWNOFSA-N 0 0 445.480 -0.173 20 0 IBADRN CCc1c(C(=O)OCc2cn([C@@H]3COC[C@H]3OC)nn2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000811090363 1073981187 /nfs/dbraw/zinc/98/11/87/1073981187.db2.gz MAVTWURWQGFGBQ-HUUCEWRRSA-N 0 0 444.448 -0.271 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000811093106 1073981340 /nfs/dbraw/zinc/98/13/40/1073981340.db2.gz UIDUHOZVOCSRID-KCPJHIHWSA-N 0 0 442.498 -0.375 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)nn1 ZINC000811093107 1073981272 /nfs/dbraw/zinc/98/12/72/1073981272.db2.gz UIDUHOZVOCSRID-NILFDRSVSA-N 0 0 442.498 -0.375 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)nn1 ZINC000811093516 1073981162 /nfs/dbraw/zinc/98/11/62/1073981162.db2.gz CKYBRWGKTXPHAS-UKRRQHHQSA-N 0 0 442.450 -0.165 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)nn1 ZINC000811093752 1073981242 /nfs/dbraw/zinc/98/12/42/1073981242.db2.gz WTHQOJAKYFTWSC-HUUCEWRRSA-N 0 0 435.462 -0.012 20 0 IBADRN CO[C@@H]1COC[C@H]1n1cc(COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)nn1 ZINC000811094631 1073981265 /nfs/dbraw/zinc/98/12/65/1073981265.db2.gz NHIIJGGRFHOUAU-ZIAGYGMSSA-N 0 0 430.421 -0.525 20 0 IBADRN CC(C)(C(=O)COC(=O)Cn1cc(S(N)(=O)=O)cn1)N1C(=O)c2ccccc2C1=O ZINC000811111950 1073981119 /nfs/dbraw/zinc/98/11/19/1073981119.db2.gz OFHNTTFMXFBKMV-UHFFFAOYSA-N 0 0 434.430 -0.282 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)C(C)C ZINC000811113203 1073981214 /nfs/dbraw/zinc/98/12/14/1073981214.db2.gz GRPPPBAPSNKFBY-KRWDZBQOSA-N 0 0 428.463 -0.148 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)C(C)C ZINC000811134879 1073981835 /nfs/dbraw/zinc/98/18/35/1073981835.db2.gz DXPKPCHRDPMAMG-FZMZJTMJSA-N 0 0 432.499 -0.439 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1c(C)nn(C)c1C)C(C)C ZINC000811134880 1073981749 /nfs/dbraw/zinc/98/17/49/1073981749.db2.gz DXPKPCHRDPMAMG-RISCZKNCSA-N 0 0 432.499 -0.439 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)C(C)(C)N2C(=O)c3ccccc3C2=O)c1 ZINC000811148173 1073982411 /nfs/dbraw/zinc/98/24/11/1073982411.db2.gz AQOYZTGXTZQNPX-UHFFFAOYSA-N 0 0 448.457 -0.115 20 0 IBADRN CCc1c(C(=O)OCC(=O)N[C@H](C(=O)OC)C(C)C)cnc2c1c(=O)n(C)c(=O)n2C ZINC000811160087 1073982910 /nfs/dbraw/zinc/98/29/10/1073982910.db2.gz BTRYFJLXRJNUBF-HNNXBMFYSA-N 0 0 434.449 -0.335 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2cc(S(=O)(=O)NC(C)C)c[nH]2)nn1 ZINC000811176777 1073982957 /nfs/dbraw/zinc/98/29/57/1073982957.db2.gz CHMNYJAYIALMNS-ZIAGYGMSSA-N 0 0 427.439 -0.124 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)CN(C)S(=O)(=O)c2cccnc2)nn1 ZINC000811179237 1073982890 /nfs/dbraw/zinc/98/28/90/1073982890.db2.gz ANTUGVKGBLHEIC-ZIAGYGMSSA-N 0 0 425.423 -0.737 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)CCNS(=O)(=O)c2cccs2)nn1 ZINC000811179501 1073982995 /nfs/dbraw/zinc/98/29/95/1073982995.db2.gz PQFOLMOEDQEAHN-VXGBXAGGSA-N 0 0 430.464 -0.022 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H]2COC[C@H]2n2cc(C(=O)OC)nn2)c1 ZINC000811179610 1073982918 /nfs/dbraw/zinc/98/29/18/1073982918.db2.gz QWADDCZINAOPMJ-ZIAGYGMSSA-N 0 0 443.438 -0.886 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)CCNS(=O)(=O)c2cccnc2)nn1 ZINC000811179737 1073982975 /nfs/dbraw/zinc/98/29/75/1073982975.db2.gz SJBWVRNHLFJCDC-ZIAGYGMSSA-N 0 0 425.423 -0.689 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2nc(S(C)(=O)=O)n3ccccc23)nn1 ZINC000811179745 1073982990 /nfs/dbraw/zinc/98/29/90/1073982990.db2.gz SMTPXKYXFZJURB-CHWSQXEVSA-N 0 0 435.418 -0.087 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)CNC(=O)c2cc(OC)cc(OC)c2)nn1 ZINC000811179872 1073982897 /nfs/dbraw/zinc/98/28/97/1073982897.db2.gz WMFKWZYITASVEW-HZPDHXFCSA-N 0 0 434.405 -0.005 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)CSc2nnnn2CC(F)(F)F)nn1 ZINC000811180092 1073982967 /nfs/dbraw/zinc/98/29/67/1073982967.db2.gz FCZLEVUPFHQNOA-RKDXNWHRSA-N 0 0 437.360 -0.111 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2cccc(CN3C(=O)CNC3=O)c2)nn1 ZINC000811180248 1073983422 /nfs/dbraw/zinc/98/34/22/1073983422.db2.gz LVZJLTOQOWGKJH-HUUCEWRRSA-N 0 0 429.389 -0.087 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2cc(S(=O)(=O)N(C)C)cn2C)nn1 ZINC000811180398 1073983377 /nfs/dbraw/zinc/98/33/77/1073983377.db2.gz MFSCKONWJAEKIG-ZIAGYGMSSA-N 0 0 427.439 -0.550 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)nn1 ZINC000811180734 1073983433 /nfs/dbraw/zinc/98/34/33/1073983433.db2.gz QNAHONDRSLREFE-CHWSQXEVSA-N 0 0 444.404 -0.884 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H]2COC[C@H]2n2cc(C(=O)OC)nn2)ccc1OC ZINC000811180951 1073983306 /nfs/dbraw/zinc/98/33/06/1073983306.db2.gz RYUIWPKCUPHIGK-TZMCWYRMSA-N 0 0 440.434 -0.222 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)nn1 ZINC000811180975 1073983256 /nfs/dbraw/zinc/98/32/56/1073983256.db2.gz UAWSHNZGLPTASP-ARFHVFGLSA-N 0 0 428.405 -0.332 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)nn1 ZINC000811180976 1073983400 /nfs/dbraw/zinc/98/34/00/1073983400.db2.gz UAWSHNZGLPTASP-BZUAXINKSA-N 0 0 428.405 -0.332 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2ccc(S(=O)(=O)N(C)C)nc2)nn1 ZINC000811181833 1073983453 /nfs/dbraw/zinc/98/34/53/1073983453.db2.gz PMOINNBLWWEFMO-CHWSQXEVSA-N 0 0 425.423 -0.493 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2ccc(CCNS(C)(=O)=O)cc2)nn1 ZINC000811181942 1073983465 /nfs/dbraw/zinc/98/34/65/1073983465.db2.gz UXFZYFGXKGYXPT-HZPDHXFCSA-N 0 0 438.462 -0.047 20 0 IBADRN CNC(=O)COc1ccc(C(=O)O[C@@H]2COC[C@H]2n2cc(C(=O)OC)nn2)cc1OC ZINC000811182343 1073984021 /nfs/dbraw/zinc/98/40/21/1073984021.db2.gz YWYWDCABOIHSHB-CZUORRHYSA-N 0 0 434.405 -0.005 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)nn1 ZINC000811182570 1073983957 /nfs/dbraw/zinc/98/39/57/1073983957.db2.gz IFYWHEGHJWWFIC-ZIAGYGMSSA-N 0 0 449.445 -0.371 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@@H]2COC[C@H]2n2cc(C(=O)OC)nn2)c1=O ZINC000811182800 1073983996 /nfs/dbraw/zinc/98/39/96/1073983996.db2.gz PUZSHQOSAZAPRS-HZPDHXFCSA-N 0 0 443.416 -0.256 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)nn1 ZINC000811182888 1073983349 /nfs/dbraw/zinc/98/33/49/1073983349.db2.gz QOBFBQBGOMIZFK-ARFHVFGLSA-N 0 0 446.395 -0.193 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)nn1 ZINC000811182889 1073983362 /nfs/dbraw/zinc/98/33/62/1073983362.db2.gz QOBFBQBGOMIZFK-BZUAXINKSA-N 0 0 446.395 -0.193 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)[C@H](C)NS(=O)(=O)c2cccs2)nn1 ZINC000811183099 1073983238 /nfs/dbraw/zinc/98/32/38/1073983238.db2.gz UPYCODZPEUEWBJ-MVWJERBFSA-N 0 0 430.464 -0.024 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)[C@@H](C)NS(=O)(=O)c2cccs2)nn1 ZINC000811183100 1073983274 /nfs/dbraw/zinc/98/32/74/1073983274.db2.gz UPYCODZPEUEWBJ-YUSALJHKSA-N 0 0 430.464 -0.024 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)nn1 ZINC000811183418 1073983896 /nfs/dbraw/zinc/98/38/96/1073983896.db2.gz NMHSKQPNZPVAAO-ZIAGYGMSSA-N 0 0 438.418 -0.879 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)nn1 ZINC000811183442 1073983866 /nfs/dbraw/zinc/98/38/66/1073983866.db2.gz OOUYJPSEWOJLRU-CHWSQXEVSA-N 0 0 444.404 -0.884 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)CN2C(=O)c3ccccc3S2(=O)=O)nn1 ZINC000811183669 1073983978 /nfs/dbraw/zinc/98/39/78/1073983978.db2.gz ZCTCOGANDXFDGW-CHWSQXEVSA-N 0 0 436.402 -0.608 20 0 IBADRN COC(=O)c1cn([C@@H]2COC[C@H]2OC(=O)CNC(=O)c2ccc(OC)c(OC)c2)nn1 ZINC000811184403 1073983968 /nfs/dbraw/zinc/98/39/68/1073983968.db2.gz PPRBSNDFJSNVDQ-CZUORRHYSA-N 0 0 434.405 -0.005 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(C)C ZINC000811192514 1073983804 /nfs/dbraw/zinc/98/38/04/1073983804.db2.gz YPBWZBMTEHUJMK-SCLBCKFNSA-N 0 0 427.454 -0.541 20 0 IBADRN COC(=O)[C@@H](NC(=O)COC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(C)C ZINC000811192518 1073983962 /nfs/dbraw/zinc/98/39/62/1073983962.db2.gz YPBWZBMTEHUJMK-UGSOOPFHSA-N 0 0 427.454 -0.541 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000811216573 1073984023 /nfs/dbraw/zinc/98/40/23/1073984023.db2.gz LFIPIFHAHKIOKW-CYBMUJFWSA-N 0 0 426.451 -0.463 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC000811216575 1073983926 /nfs/dbraw/zinc/98/39/26/1073983926.db2.gz LFIPIFHAHKIOKW-ZDUSSCGKSA-N 0 0 426.451 -0.463 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@]2(c3ccccc3)CNC(=O)C2)c(=O)n(C)c1=O ZINC000811235019 1073984547 /nfs/dbraw/zinc/98/45/47/1073984547.db2.gz ARODNYNOZRBURO-JOCHJYFZSA-N 0 0 442.472 -0.031 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@]2(c3ccccc3)CNC(=O)C2)c(=O)n(C)c1=O ZINC000811235020 1073984591 /nfs/dbraw/zinc/98/45/91/1073984591.db2.gz ARODNYNOZRBURO-QFIPXVFZSA-N 0 0 442.472 -0.031 20 0 IBADRN O=C(COC(=O)[C@@]1(c2ccccc2)CNC(=O)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000811235899 1073984609 /nfs/dbraw/zinc/98/46/09/1073984609.db2.gz HVJIUFAFFWITQC-DYESRHJHSA-N 0 0 449.529 -0.681 20 0 IBADRN O=C(COC(=O)[C@@]1(c2ccccc2)CNC(=O)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000811235901 1073984602 /nfs/dbraw/zinc/98/46/02/1073984602.db2.gz HVJIUFAFFWITQC-LAUBAEHRSA-N 0 0 449.529 -0.681 20 0 IBADRN O=C(COC(=O)[C@]1(c2ccccc2)CNC(=O)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000811235902 1073984526 /nfs/dbraw/zinc/98/45/26/1073984526.db2.gz HVJIUFAFFWITQC-UTKZUKDTSA-N 0 0 449.529 -0.681 20 0 IBADRN O=C(COC(=O)[C@]1(c2ccccc2)CNC(=O)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000811235903 1073984617 /nfs/dbraw/zinc/98/46/17/1073984617.db2.gz HVJIUFAFFWITQC-UWJYYQICSA-N 0 0 449.529 -0.681 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@]2(c3ccccc3)CNC(=O)C2)cc1 ZINC000811239250 1073984475 /nfs/dbraw/zinc/98/44/75/1073984475.db2.gz KRAGKIMSKORKHP-NRFANRHFSA-N 0 0 445.497 -0.006 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@@]2(c3ccccc3)CNC(=O)C2)cc1 ZINC000811239251 1073984561 /nfs/dbraw/zinc/98/45/61/1073984561.db2.gz KRAGKIMSKORKHP-OAQYLSRUSA-N 0 0 445.497 -0.006 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@]1(c3ccccc3)CNC(=O)C1)c(=O)n2C ZINC000811239627 1073984442 /nfs/dbraw/zinc/98/44/42/1073984442.db2.gz OVVFNAQPEFZATD-NRFANRHFSA-N 0 0 425.445 -0.175 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@]1(c3ccccc3)CNC(=O)C1)c(=O)n2C ZINC000811239628 1073984454 /nfs/dbraw/zinc/98/44/54/1073984454.db2.gz OVVFNAQPEFZATD-OAQYLSRUSA-N 0 0 425.445 -0.175 20 0 IBADRN Cc1cc(C(F)(F)F)nn1CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000811246630 1073984031 /nfs/dbraw/zinc/98/40/31/1073984031.db2.gz ZAULEDQYMLSGGV-SECBINFHSA-N 0 0 426.373 -0.234 20 0 IBADRN Cc1cc(C(F)(F)F)nn1CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000811246631 1073983843 /nfs/dbraw/zinc/98/38/43/1073983843.db2.gz ZAULEDQYMLSGGV-VIFPVBQESA-N 0 0 426.373 -0.234 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)SCC(=O)N2)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000811296944 1073984464 /nfs/dbraw/zinc/98/44/64/1073984464.db2.gz BMBDOXZSGORLKH-JTQLQIEISA-N 0 0 427.460 -0.100 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)SCC(=O)N2)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000811296945 1073984403 /nfs/dbraw/zinc/98/44/03/1073984403.db2.gz BMBDOXZSGORLKH-SNVBAGLBSA-N 0 0 427.460 -0.100 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cn(C3CCOCC3)nn2)CC1 ZINC000811301315 1073984507 /nfs/dbraw/zinc/98/45/07/1073984507.db2.gz RJKJXNKWCSBNNZ-UHFFFAOYSA-N 0 0 436.513 -0.155 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cn(C3CCOCC3)nn2)c(=O)n(C)c1=O ZINC000811302281 1073984571 /nfs/dbraw/zinc/98/45/71/1073984571.db2.gz UXAUPIYPDLTURO-UHFFFAOYSA-N 0 0 434.453 -0.232 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1cn(C2CCOCC2)nn1)S(C)(=O)=O ZINC000811303677 1073984418 /nfs/dbraw/zinc/98/44/18/1073984418.db2.gz ORDBCLSBRKTPQW-UHFFFAOYSA-N 0 0 431.515 -0.037 20 0 IBADRN Cn1nnnc1COC(=O)[C@H]1CC[C@@H](C(=O)OCc2nnnn2C)N1Cc1ccccc1 ZINC000811307038 1073984488 /nfs/dbraw/zinc/98/44/88/1073984488.db2.gz LSCXVPOCOVUKJJ-GASCZTMLSA-N 0 0 441.452 -0.447 20 0 IBADRN Cn1nnc(COC(=O)[C@H]2CC[C@@H](C(=O)OCc3nnn(C)n3)N2Cc2ccccc2)n1 ZINC000811307447 1073985130 /nfs/dbraw/zinc/98/51/30/1073985130.db2.gz WCUIMOXFUUZCQN-GASCZTMLSA-N 0 0 441.452 -0.447 20 0 IBADRN O=C(COC(=O)Cc1cncnc1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000811329267 1073985136 /nfs/dbraw/zinc/98/51/36/1073985136.db2.gz NBIAXGMACOGUKL-UHFFFAOYSA-N 0 0 434.474 -0.100 20 0 IBADRN O=C(COC(=O)Cc1cncnc1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000811331674 1073985090 /nfs/dbraw/zinc/98/50/90/1073985090.db2.gz RVARPTNAOIAXOI-UHFFFAOYSA-N 0 0 434.474 -0.100 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCc2nnn(C)c2C1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000811342402 1073985157 /nfs/dbraw/zinc/98/51/57/1073985157.db2.gz HHZHLSPGLYHLDK-GXTWGEPZSA-N 0 0 435.506 -0.142 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCc2nnn(C)c2C1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000811342606 1073985160 /nfs/dbraw/zinc/98/51/60/1073985160.db2.gz HHZHLSPGLYHLDK-JSGCOSHPSA-N 0 0 435.506 -0.142 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CCc2nnn(C)c2C1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000811342609 1073985015 /nfs/dbraw/zinc/98/50/15/1073985015.db2.gz HHZHLSPGLYHLDK-OCCSQVGLSA-N 0 0 435.506 -0.142 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCc2nnn(C)c2C1)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000811342610 1073985199 /nfs/dbraw/zinc/98/51/99/1073985199.db2.gz HHZHLSPGLYHLDK-TZMCWYRMSA-N 0 0 435.506 -0.142 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c3ccccc3)CC1)CC2 ZINC000811344524 1073985640 /nfs/dbraw/zinc/98/56/40/1073985640.db2.gz LIKGSMGKIPOXMF-HNNXBMFYSA-N 0 0 447.517 -0.004 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c3ccccc3)CC1)CC2 ZINC000811344527 1073985569 /nfs/dbraw/zinc/98/55/69/1073985569.db2.gz LIKGSMGKIPOXMF-OAHLLOKOSA-N 0 0 447.517 -0.004 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@@H]2CCc3nnn(C)c3C2)CC1 ZINC000811344751 1073985099 /nfs/dbraw/zinc/98/50/99/1073985099.db2.gz WZNGVRYJTDMJOD-CYBMUJFWSA-N 0 0 439.480 -0.511 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@H]2CCc3nnn(C)c3C2)CC1 ZINC000811344760 1073985189 /nfs/dbraw/zinc/98/51/89/1073985189.db2.gz WZNGVRYJTDMJOD-ZDUSSCGKSA-N 0 0 439.480 -0.511 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000811344862 1073985163 /nfs/dbraw/zinc/98/51/63/1073985163.db2.gz NQUGKYURXULZJJ-ILXRZTDVSA-N 0 0 440.522 -0.342 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000811344872 1073985144 /nfs/dbraw/zinc/98/51/44/1073985144.db2.gz NQUGKYURXULZJJ-KFWWJZLASA-N 0 0 440.522 -0.342 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC000811344876 1073985753 /nfs/dbraw/zinc/98/57/53/1073985753.db2.gz NQUGKYURXULZJJ-QLFBSQMISA-N 0 0 440.522 -0.342 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC000811344879 1073985661 /nfs/dbraw/zinc/98/56/61/1073985661.db2.gz NQUGKYURXULZJJ-RBSFLKMASA-N 0 0 440.522 -0.342 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)c2cc3n(n2)CCO3)c(N)n(Cc2ccccc2)c1=O ZINC000811350035 1073985000 /nfs/dbraw/zinc/98/50/00/1073985000.db2.gz DIQSSKSMWBXSFU-UHFFFAOYSA-N 0 0 425.401 -0.194 20 0 IBADRN O=C(COC(=O)c1cc2n(n1)CCO2)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000811351271 1073985669 /nfs/dbraw/zinc/98/56/69/1073985669.db2.gz IYLCNWHHEYNLSK-KRWDZBQOSA-N 0 0 442.428 -0.057 20 0 IBADRN O=C(COC(=O)c1cc2n(n1)CCO2)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000811351272 1073985746 /nfs/dbraw/zinc/98/57/46/1073985746.db2.gz IYLCNWHHEYNLSK-QGZVFWFLSA-N 0 0 442.428 -0.057 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)c3cc4n(n3)CCO4)C2=O)cc1 ZINC000811351407 1073985593 /nfs/dbraw/zinc/98/55/93/1073985593.db2.gz NWOGDOQZALFSMS-IBGZPJMESA-N 0 0 429.389 -0.061 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)c3cc4n(n3)CCO4)C2=O)cc1 ZINC000811351408 1073985721 /nfs/dbraw/zinc/98/57/21/1073985721.db2.gz NWOGDOQZALFSMS-LJQANCHMSA-N 0 0 429.389 -0.061 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2cc3n(n2)CCO3)CC1 ZINC000811352431 1073986128 /nfs/dbraw/zinc/98/61/28/1073986128.db2.gz DOTXXOYZYBWCEU-UHFFFAOYSA-N 0 0 439.450 -0.430 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3ncn(C)n3)CC2)cc1 ZINC000811356346 1073985702 /nfs/dbraw/zinc/98/57/02/1073985702.db2.gz SWNSVGWRXJROOL-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3ncn(C)n3)CC2)cc1 ZINC000811356849 1073985729 /nfs/dbraw/zinc/98/57/29/1073985729.db2.gz OBYLRQZMZISBOF-UHFFFAOYSA-N 0 0 437.478 -0.096 20 0 IBADRN Cn1cnc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)n1 ZINC000811357137 1073985542 /nfs/dbraw/zinc/98/55/42/1073985542.db2.gz BFKHPUHZXIITPA-UHFFFAOYSA-N 0 0 429.405 -0.217 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)c3ncn(C)n3)CC2)c1 ZINC000811357841 1073985648 /nfs/dbraw/zinc/98/56/48/1073985648.db2.gz KELFACKLTSGPCB-UHFFFAOYSA-N 0 0 435.462 -0.292 20 0 IBADRN Cn1cnc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)n1 ZINC000811358863 1073985624 /nfs/dbraw/zinc/98/56/24/1073985624.db2.gz YZTXJFZGWPOJBJ-UHFFFAOYSA-N 0 0 433.490 -0.006 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](F)C1CN(C(=O)OC(C)(C)C)C1)C2 ZINC000811359246 1073985695 /nfs/dbraw/zinc/98/56/95/1073985695.db2.gz VPEWTOIBRJRESZ-HNNXBMFYSA-N 0 0 440.476 -0.320 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](F)C1CN(C(=O)OC(C)(C)C)C1)C2 ZINC000811359251 1073985613 /nfs/dbraw/zinc/98/56/13/1073985613.db2.gz VPEWTOIBRJRESZ-OAHLLOKOSA-N 0 0 440.476 -0.320 20 0 IBADRN O=C(COC(=O)c1c[nH]nc1-c1ccccn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000811364918 1073985607 /nfs/dbraw/zinc/98/56/07/1073985607.db2.gz WLTAYSAPBSQNNV-AWEZNQCLSA-N 0 0 433.490 -0.040 20 0 IBADRN O=C(COC(=O)c1c[nH]nc1-c1ccccn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000811364931 1073985581 /nfs/dbraw/zinc/98/55/81/1073985581.db2.gz WLTAYSAPBSQNNV-CQSZACIVSA-N 0 0 433.490 -0.040 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H](NC(=O)OC(C)(C)C)C(F)(F)F)c(=O)n(C)c1=O ZINC000811424308 1073986152 /nfs/dbraw/zinc/98/61/52/1073986152.db2.gz JAFMLOMCVXQMQV-SECBINFHSA-N 0 0 438.359 -0.152 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H](NC(=O)OC(C)(C)C)C(F)(F)F)c(=O)n(C)c1=O ZINC000811424309 1073986301 /nfs/dbraw/zinc/98/63/01/1073986301.db2.gz JAFMLOMCVXQMQV-VIFPVBQESA-N 0 0 438.359 -0.152 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H](F)C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000811427542 1073986330 /nfs/dbraw/zinc/98/63/30/1073986330.db2.gz DRPYCCCZTWOXDK-KRWDZBQOSA-N 0 0 444.504 -0.033 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H](F)C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC000811427543 1073986140 /nfs/dbraw/zinc/98/61/40/1073986140.db2.gz DRPYCCCZTWOXDK-QGZVFWFLSA-N 0 0 444.504 -0.033 20 0 IBADRN COCC1(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CCOCC1 ZINC000811432570 1073986191 /nfs/dbraw/zinc/98/61/91/1073986191.db2.gz XTYALSAWBJMNBO-UHFFFAOYSA-N 0 0 432.481 -0.002 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H](F)C2CN(C(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000811432923 1073986117 /nfs/dbraw/zinc/98/61/17/1073986117.db2.gz KTYRKTROHCONIQ-GFCCVEGCSA-N 0 0 428.417 -0.403 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H](F)C2CN(C(=O)OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000811432924 1073986340 /nfs/dbraw/zinc/98/63/40/1073986340.db2.gz KTYRKTROHCONIQ-LBPRGKRZSA-N 0 0 428.417 -0.403 20 0 IBADRN Cn1nnc(Br)c1C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000811450546 1073986350 /nfs/dbraw/zinc/98/63/50/1073986350.db2.gz IXHWLULXHNILLR-UHFFFAOYSA-N 0 0 444.267 -0.029 20 0 IBADRN Cn1nnc(Br)c1C(=O)OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000811450591 1073986230 /nfs/dbraw/zinc/98/62/30/1073986230.db2.gz KVVOEYOVFJCTCG-UHFFFAOYSA-N 0 0 445.318 -0.207 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2c(Br)nnn2C)c(=O)n(C)c1=O ZINC000811450606 1073986164 /nfs/dbraw/zinc/98/61/64/1073986164.db2.gz LDVQVXGQCHDEOT-UHFFFAOYSA-N 0 0 443.258 -0.284 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1c(Br)nnn1C)S(C)(=O)=O ZINC000811451560 1073986219 /nfs/dbraw/zinc/98/62/19/1073986219.db2.gz IUIVMBAISTZQSB-UHFFFAOYSA-N 0 0 440.320 -0.089 20 0 IBADRN Cn1nnc(Br)c1C(=O)OCC(=O)NCc1ccc(OCC(N)=O)cc1 ZINC000811452380 1073986279 /nfs/dbraw/zinc/98/62/79/1073986279.db2.gz ZXMZSJQKVMNIQE-UHFFFAOYSA-N 0 0 426.227 -0.085 20 0 IBADRN Cn1nnc(Br)c1C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000811452797 1073986243 /nfs/dbraw/zinc/98/62/43/1073986243.db2.gz IZSBQTCOMJAAIR-UHFFFAOYSA-N 0 0 446.283 -0.259 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1c(Br)nnn1C)c(=O)n2C ZINC000811453605 1073986847 /nfs/dbraw/zinc/98/68/47/1073986847.db2.gz ZPLJYSVKWCBEAT-UHFFFAOYSA-N 0 0 426.231 -0.428 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000811456243 1073986770 /nfs/dbraw/zinc/98/67/70/1073986770.db2.gz ITUKDKQTBJYYPB-UHFFFAOYSA-N 0 0 438.535 -0.196 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2OC)CC1 ZINC000811473227 1073986836 /nfs/dbraw/zinc/98/68/36/1073986836.db2.gz YZIQZGRLCWUDPV-UHFFFAOYSA-N 0 0 434.540 -0.313 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CNCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000811475754 1073986855 /nfs/dbraw/zinc/98/68/55/1073986855.db2.gz STQVVNKHABPSOQ-UHFFFAOYSA-N 0 0 430.531 -0.294 20 0 IBADRN COC(=O)[C@]12CN(C(=O)CNS(=O)(=O)c3ccc(NC(C)=O)cc3)C[C@H]1COCC2 ZINC000811482947 1073986812 /nfs/dbraw/zinc/98/68/12/1073986812.db2.gz YFGHKFLKBNQKLA-IFXJQAMLSA-N 0 0 439.490 -0.039 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCS(=O)(=O)c2ccc(F)cc2)c(=O)n(C)c1=O ZINC000811517746 1073986751 /nfs/dbraw/zinc/98/67/51/1073986751.db2.gz BJPXLIJLVLPIKA-UHFFFAOYSA-N 0 0 427.410 -0.605 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CNS(=O)(=O)c2cccnc2)CC1 ZINC000811522914 1073986892 /nfs/dbraw/zinc/98/68/92/1073986892.db2.gz IFRIHXLFADSVQQ-UHFFFAOYSA-N 0 0 433.508 -0.671 20 0 IBADRN Cn1ncc(CNC(=O)[C@H]2CC[C@@H](C(=O)NCc3cnn(C)n3)N2Cc2ccccc2)n1 ZINC000811526893 1073986741 /nfs/dbraw/zinc/98/67/41/1073986741.db2.gz MJPVWRXKTXMHJT-KDURUIRLSA-N 0 0 437.508 -0.091 20 0 IBADRN Cn1nncc1CNC(=O)[C@H]1CC[C@@H](C(=O)NCc2cnnn2C)N1Cc1ccccc1 ZINC000811530536 1073986799 /nfs/dbraw/zinc/98/67/99/1073986799.db2.gz KAYHKCBFSFPYSV-KDURUIRLSA-N 0 0 437.508 -0.091 20 0 IBADRN COc1ccc(CNC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)cc1S(N)(=O)=O ZINC000811542956 1073987280 /nfs/dbraw/zinc/98/72/80/1073987280.db2.gz KOYNLOGCBJYVOP-UHFFFAOYSA-N 0 0 426.476 -0.544 20 0 IBADRN COc1ccc(CNC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)cc1S(N)(=O)=O ZINC000811543179 1073987411 /nfs/dbraw/zinc/98/74/11/1073987411.db2.gz PJDZCDOVYDNUCV-UHFFFAOYSA-N 0 0 426.476 -0.544 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)CNC(=O)OC(C)(C)C)cc1S(N)(=O)=O ZINC000811543449 1073987171 /nfs/dbraw/zinc/98/71/71/1073987171.db2.gz VZRWNWGEYOUQAK-UHFFFAOYSA-N 0 0 430.483 -0.400 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000811597603 1073987418 /nfs/dbraw/zinc/98/74/18/1073987418.db2.gz DPILSLFOUMVTCF-HNNXBMFYSA-N 0 0 449.489 -0.086 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000811597604 1073987441 /nfs/dbraw/zinc/98/74/41/1073987441.db2.gz DPILSLFOUMVTCF-OAHLLOKOSA-N 0 0 449.489 -0.086 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000811598641 1073987422 /nfs/dbraw/zinc/98/74/22/1073987422.db2.gz XIPBJNXGKFBXEM-UHFFFAOYSA-N 0 0 427.458 -0.250 20 0 IBADRN C[C@@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ncnc2[nH]cnc21 ZINC000811612765 1073987449 /nfs/dbraw/zinc/98/74/49/1073987449.db2.gz UPUROQIAUJALCW-SECBINFHSA-N 0 0 441.408 -0.940 20 0 IBADRN C[C@H](OC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)Nc1ncnc2[nH]cnc21 ZINC000811612769 1073987153 /nfs/dbraw/zinc/98/71/53/1073987153.db2.gz UPUROQIAUJALCW-VIFPVBQESA-N 0 0 441.408 -0.940 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCOCC1 ZINC000811676814 1073988035 /nfs/dbraw/zinc/98/80/35/1073988035.db2.gz JGZUZYDSGVRYRC-CYBMUJFWSA-N 0 0 427.479 -0.543 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCOCC1 ZINC000811676815 1073987928 /nfs/dbraw/zinc/98/79/28/1073987928.db2.gz JGZUZYDSGVRYRC-ZDUSSCGKSA-N 0 0 427.479 -0.543 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)NC[C@H]1CCCO1 ZINC000811677973 1073987976 /nfs/dbraw/zinc/98/79/76/1073987976.db2.gz OCBHFZBWYZBYAX-DZGCQCFKSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)NC[C@@H]1CCCO1 ZINC000811677974 1073987905 /nfs/dbraw/zinc/98/79/05/1073987905.db2.gz OCBHFZBWYZBYAX-HIFRSBDPSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)NC[C@H]1CCCO1 ZINC000811677975 1073987892 /nfs/dbraw/zinc/98/78/92/1073987892.db2.gz OCBHFZBWYZBYAX-UKRRQHHQSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)NC[C@@H]1CCCO1 ZINC000811677976 1073988026 /nfs/dbraw/zinc/98/80/26/1073988026.db2.gz OCBHFZBWYZBYAX-ZFWWWQNUSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCO[C@@H](C)C1 ZINC000811678333 1073987870 /nfs/dbraw/zinc/98/78/70/1073987870.db2.gz CPKSIRGPXUEUSF-KBPBESRZSA-N 0 0 441.506 -0.154 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCO[C@H](C)C1 ZINC000811678335 1073988005 /nfs/dbraw/zinc/98/80/05/1073988005.db2.gz CPKSIRGPXUEUSF-KGLIPLIRSA-N 0 0 441.506 -0.154 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCO[C@@H](C)C1 ZINC000811678337 1073987951 /nfs/dbraw/zinc/98/79/51/1073987951.db2.gz CPKSIRGPXUEUSF-UONOGXRCSA-N 0 0 441.506 -0.154 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCO[C@H](C)C1 ZINC000811678339 1073988013 /nfs/dbraw/zinc/98/80/13/1073988013.db2.gz CPKSIRGPXUEUSF-ZIAGYGMSSA-N 0 0 441.506 -0.154 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000811678434 1073987941 /nfs/dbraw/zinc/98/79/41/1073987941.db2.gz DMUSCWFYNRDGKU-NEPJUHHUSA-N 0 0 440.478 -0.461 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)N1CCNC1=O ZINC000811678438 1073987917 /nfs/dbraw/zinc/98/79/17/1073987917.db2.gz DMUSCWFYNRDGKU-NWDGAFQWSA-N 0 0 440.478 -0.461 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)O[C@@H](C)C(=O)N1CCNC1=O ZINC000811678440 1073987964 /nfs/dbraw/zinc/98/79/64/1073987964.db2.gz DMUSCWFYNRDGKU-RYUDHWBXSA-N 0 0 440.478 -0.461 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)O[C@H](C)C(=O)N1CCNC1=O ZINC000811678442 1073988074 /nfs/dbraw/zinc/98/80/74/1073988074.db2.gz DMUSCWFYNRDGKU-VXGBXAGGSA-N 0 0 440.478 -0.461 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NN=c1ccc(S(=O)(=O)NC2CC2)c[nH]1 ZINC000811679115 1073988442 /nfs/dbraw/zinc/98/84/42/1073988442.db2.gz LNMYBGXSHKXDFY-UHFFFAOYSA-N 0 0 441.557 -0.950 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811679409 1073988440 /nfs/dbraw/zinc/98/84/40/1073988440.db2.gz CGERBWUTDZZXCZ-GHMZBOCLSA-N 0 0 428.467 -0.558 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811679415 1073988392 /nfs/dbraw/zinc/98/83/92/1073988392.db2.gz CGERBWUTDZZXCZ-MNOVXSKESA-N 0 0 428.467 -0.558 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811679418 1073988425 /nfs/dbraw/zinc/98/84/25/1073988425.db2.gz CGERBWUTDZZXCZ-QWRGUYRKSA-N 0 0 428.467 -0.558 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811679420 1073988410 /nfs/dbraw/zinc/98/84/10/1073988410.db2.gz CGERBWUTDZZXCZ-WDEREUQCSA-N 0 0 428.467 -0.558 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCCC1=O ZINC000811679532 1073988419 /nfs/dbraw/zinc/98/84/19/1073988419.db2.gz CWCCRQFKOVEAIX-GFCCVEGCSA-N 0 0 425.463 -0.253 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCCC1=O ZINC000811679534 1073988430 /nfs/dbraw/zinc/98/84/30/1073988430.db2.gz CWCCRQFKOVEAIX-LBPRGKRZSA-N 0 0 425.463 -0.253 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCNC1=O ZINC000811679662 1073988061 /nfs/dbraw/zinc/98/80/61/1073988061.db2.gz MXJCSAHXARNVEW-LLVKDONJSA-N 0 0 426.451 -0.850 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)N1CCNC1=O ZINC000811679669 1073988397 /nfs/dbraw/zinc/98/83/97/1073988397.db2.gz MXJCSAHXARNVEW-NSHDSACASA-N 0 0 426.451 -0.850 20 0 IBADRN NS(=O)(=O)c1ccc(=NNS(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)[nH]c1 ZINC000811679758 1073988449 /nfs/dbraw/zinc/98/84/49/1073988449.db2.gz RMRWDUIILFYALP-UHFFFAOYSA-N 0 0 439.475 -0.338 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811680272 1073988416 /nfs/dbraw/zinc/98/84/16/1073988416.db2.gz KRJIGLOPLVGGAW-GFCCVEGCSA-N 0 0 442.494 -0.166 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811680275 1073988437 /nfs/dbraw/zinc/98/84/37/1073988437.db2.gz KRJIGLOPLVGGAW-LBPRGKRZSA-N 0 0 442.494 -0.166 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(=NNS(=O)(=O)CCCS(=O)(=O)N(C)C)[nH]c1 ZINC000811680379 1073988398 /nfs/dbraw/zinc/98/83/98/1073988398.db2.gz YQPZMQWXLULHBD-UHFFFAOYSA-N 0 0 443.573 -0.704 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811680499 1073988428 /nfs/dbraw/zinc/98/84/28/1073988428.db2.gz MMEKCBGBOZVIGL-NEPJUHHUSA-N 0 0 442.494 -0.167 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811680504 1073988407 /nfs/dbraw/zinc/98/84/07/1073988407.db2.gz MMEKCBGBOZVIGL-NWDGAFQWSA-N 0 0 442.494 -0.167 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811680506 1073988453 /nfs/dbraw/zinc/98/84/53/1073988453.db2.gz MMEKCBGBOZVIGL-RYUDHWBXSA-N 0 0 442.494 -0.167 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811680508 1073988434 /nfs/dbraw/zinc/98/84/34/1073988434.db2.gz MMEKCBGBOZVIGL-VXGBXAGGSA-N 0 0 442.494 -0.167 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)NC(=O)NC1CC1 ZINC000811680623 1073988413 /nfs/dbraw/zinc/98/84/13/1073988413.db2.gz VADYEISKNADKSZ-LLVKDONJSA-N 0 0 440.478 -0.413 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCC(=O)NC(=O)NC1CC1 ZINC000811680624 1073988432 /nfs/dbraw/zinc/98/84/32/1073988432.db2.gz VADYEISKNADKSZ-NSHDSACASA-N 0 0 440.478 -0.413 20 0 IBADRN CC(C)[C@@H](OC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)NC(N)=O ZINC000811681107 1073988457 /nfs/dbraw/zinc/98/84/57/1073988457.db2.gz UUOWDWLNOVFXDM-BXUZGUMPSA-N 0 0 442.494 -0.182 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)NC(N)=O ZINC000811681108 1073988404 /nfs/dbraw/zinc/98/84/04/1073988404.db2.gz UUOWDWLNOVFXDM-FZMZJTMJSA-N 0 0 442.494 -0.182 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)NC(N)=O ZINC000811681109 1073989062 /nfs/dbraw/zinc/98/90/62/1073989062.db2.gz UUOWDWLNOVFXDM-RISCZKNCSA-N 0 0 442.494 -0.182 20 0 IBADRN CC(C)[C@@H](OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)NC(N)=O ZINC000811681110 1073989017 /nfs/dbraw/zinc/98/90/17/1073989017.db2.gz UUOWDWLNOVFXDM-SMDDNHRTSA-N 0 0 442.494 -0.182 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)cc1 ZINC000811681150 1073988981 /nfs/dbraw/zinc/98/89/81/1073988981.db2.gz VWQFNCLIMUIHFS-UHFFFAOYSA-N 0 0 429.542 -0.108 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811681151 1073989055 /nfs/dbraw/zinc/98/90/55/1073989055.db2.gz VZQPGPPKYZDVAZ-LLVKDONJSA-N 0 0 428.467 -0.556 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000811681152 1073989078 /nfs/dbraw/zinc/98/90/78/1073989078.db2.gz VZQPGPPKYZDVAZ-NSHDSACASA-N 0 0 428.467 -0.556 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=S)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000811716071 1073989086 /nfs/dbraw/zinc/98/90/86/1073989086.db2.gz FWQVZBKHBNWXQS-UHFFFAOYSA-N 0 0 435.597 -0.477 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000811722199 1073989004 /nfs/dbraw/zinc/98/90/04/1073989004.db2.gz XQVMJCAPGMPRTJ-UHFFFAOYSA-N 0 0 436.581 -0.115 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CN2C(=O)N[C@@](C)(CCC(=O)OC)C2=O)CC1 ZINC000811729364 1073989496 /nfs/dbraw/zinc/98/94/96/1073989496.db2.gz BRWXHPUZXSPCTG-KRWDZBQOSA-N 0 0 433.531 -0.588 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CN2C(=O)N[C@](C)(CCC(=O)OC)C2=O)CC1 ZINC000811729367 1073989394 /nfs/dbraw/zinc/98/93/94/1073989394.db2.gz BRWXHPUZXSPCTG-QGZVFWFLSA-N 0 0 433.531 -0.588 20 0 IBADRN O=C1N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@H]([C@@H]2CCCO2)C12CCC2 ZINC000811730004 1073989378 /nfs/dbraw/zinc/98/93/78/1073989378.db2.gz UDRMRAJGASKHCD-DLBZAZTESA-N 0 0 428.555 -0.301 20 0 IBADRN O=C1N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@H]([C@H]2CCCO2)C12CCC2 ZINC000811730005 1073989454 /nfs/dbraw/zinc/98/94/54/1073989454.db2.gz UDRMRAJGASKHCD-IAGOWNOFSA-N 0 0 428.555 -0.301 20 0 IBADRN O=C1N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@@H]([C@@H]2CCCO2)C12CCC2 ZINC000811730006 1073989515 /nfs/dbraw/zinc/98/95/15/1073989515.db2.gz UDRMRAJGASKHCD-IRXDYDNUSA-N 0 0 428.555 -0.301 20 0 IBADRN O=C1N(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)[C@@H]([C@H]2CCCO2)C12CCC2 ZINC000811730007 1073989460 /nfs/dbraw/zinc/98/94/60/1073989460.db2.gz UDRMRAJGASKHCD-SJORKVTESA-N 0 0 428.555 -0.301 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C(C)(C)[C@H]3[C@@H]3CCCO3)CC2)CC1 ZINC000811730889 1073989521 /nfs/dbraw/zinc/98/95/21/1073989521.db2.gz AOKWEHIIOPVRNH-DLBZAZTESA-N 0 0 429.587 -0.530 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C(C)(C)[C@H]3[C@H]3CCCO3)CC2)CC1 ZINC000811730890 1073989482 /nfs/dbraw/zinc/98/94/82/1073989482.db2.gz AOKWEHIIOPVRNH-IAGOWNOFSA-N 0 0 429.587 -0.530 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C(C)(C)[C@@H]3[C@@H]3CCCO3)CC2)CC1 ZINC000811730891 1073989474 /nfs/dbraw/zinc/98/94/74/1073989474.db2.gz AOKWEHIIOPVRNH-IRXDYDNUSA-N 0 0 429.587 -0.530 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C(C)(C)[C@@H]3[C@H]3CCCO3)CC2)CC1 ZINC000811730892 1073989428 /nfs/dbraw/zinc/98/94/28/1073989428.db2.gz AOKWEHIIOPVRNH-SJORKVTESA-N 0 0 429.587 -0.530 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C4(CCC4)[C@@H]3[C@H]3CCCO3)CC2)CC1 ZINC000811730941 1073989404 /nfs/dbraw/zinc/98/94/04/1073989404.db2.gz BVXGBTXSZRFHMH-MSOLQXFVSA-N 0 0 441.598 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C4(CCC4)[C@H]3[C@H]3CCCO3)CC2)CC1 ZINC000811730942 1073989446 /nfs/dbraw/zinc/98/94/46/1073989446.db2.gz BVXGBTXSZRFHMH-QZTJIDSGSA-N 0 0 441.598 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C4(CCC4)[C@@H]3[C@@H]3CCCO3)CC2)CC1 ZINC000811730943 1073989360 /nfs/dbraw/zinc/98/93/60/1073989360.db2.gz BVXGBTXSZRFHMH-ROUUACIJSA-N 0 0 441.598 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)C4(CCC4)[C@H]3[C@@H]3CCCO3)CC2)CC1 ZINC000811730944 1073989501 /nfs/dbraw/zinc/98/95/01/1073989501.db2.gz BVXGBTXSZRFHMH-ZWKOTPCHSA-N 0 0 441.598 -0.386 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(CC(=O)Nc3cc(C)on3)CC2)C1=O ZINC000811731409 1073989488 /nfs/dbraw/zinc/98/94/88/1073989488.db2.gz QIJHBNCLEUSZQF-IBGZPJMESA-N 0 0 436.469 -0.240 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(CC(=O)Nc3cc(C)on3)CC2)C1=O ZINC000811731414 1073989371 /nfs/dbraw/zinc/98/93/71/1073989371.db2.gz QIJHBNCLEUSZQF-LJQANCHMSA-N 0 0 436.469 -0.240 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(c3ncnc4c3cnn4C)CC2)C1=O ZINC000811731777 1073989437 /nfs/dbraw/zinc/98/94/37/1073989437.db2.gz UIUZBUMAQJJIOC-IBGZPJMESA-N 0 0 430.469 -0.294 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(c3ncnc4c3cnn4C)CC2)C1=O ZINC000811731781 1073989507 /nfs/dbraw/zinc/98/95/07/1073989507.db2.gz UIUZBUMAQJJIOC-LJQANCHMSA-N 0 0 430.469 -0.294 20 0 IBADRN C[C@]1(c2ccc(F)cc2)CC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000811731841 1073989467 /nfs/dbraw/zinc/98/94/67/1073989467.db2.gz VDSDNMIKVHJSNH-JOCHJYFZSA-N 0 0 446.479 -0.197 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)CC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000811731843 1073989412 /nfs/dbraw/zinc/98/94/12/1073989412.db2.gz VDSDNMIKVHJSNH-QFIPXVFZSA-N 0 0 446.479 -0.197 20 0 IBADRN CC1(C)C(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)[C@@H]1[C@H]1CCCO1 ZINC000811737032 1073990069 /nfs/dbraw/zinc/99/00/69/1073990069.db2.gz XKGSQWBGMFEAOX-BZUAXINKSA-N 0 0 449.595 -0.506 20 0 IBADRN CC1(C)C(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)[C@H]1[C@H]1CCCO1 ZINC000811737034 1073990017 /nfs/dbraw/zinc/99/00/17/1073990017.db2.gz XKGSQWBGMFEAOX-OAGGEKHMSA-N 0 0 449.595 -0.506 20 0 IBADRN CC1(C)C(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)[C@@H]1[C@@H]1CCCO1 ZINC000811737036 1073990095 /nfs/dbraw/zinc/99/00/95/1073990095.db2.gz XKGSQWBGMFEAOX-OWCLPIDISA-N 0 0 449.595 -0.506 20 0 IBADRN CC1(C)C(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)[C@H]1[C@@H]1CCCO1 ZINC000811737038 1073989995 /nfs/dbraw/zinc/98/99/95/1073989995.db2.gz XKGSQWBGMFEAOX-PMPSAXMXSA-N 0 0 449.595 -0.506 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)C1=O ZINC000811750693 1073988968 /nfs/dbraw/zinc/98/89/68/1073988968.db2.gz CSOULQBACFNYSA-KRWDZBQOSA-N 0 0 443.482 -0.657 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)C1=O ZINC000811750694 1073988991 /nfs/dbraw/zinc/98/89/91/1073988991.db2.gz CSOULQBACFNYSA-QGZVFWFLSA-N 0 0 443.482 -0.657 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)CCOC(C)C)CC2)C1=O ZINC000811754482 1073990023 /nfs/dbraw/zinc/99/00/23/1073990023.db2.gz SEKMKDVQQCDWFR-GOSISDBHSA-N 0 0 448.542 -0.420 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)CCOC(C)C)CC2)C1=O ZINC000811754483 1073989984 /nfs/dbraw/zinc/98/99/84/1073989984.db2.gz SEKMKDVQQCDWFR-SFHVURJKSA-N 0 0 448.542 -0.420 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CN2C(=O)N[C@@](C)(CCC(=O)OC)C2=O)CC1 ZINC000811758252 1073990008 /nfs/dbraw/zinc/99/00/08/1073990008.db2.gz FSMNWKGVFRVNTA-KRWDZBQOSA-N 0 0 434.515 -0.809 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CN2C(=O)N[C@](C)(CCC(=O)OC)C2=O)CC1 ZINC000811758253 1073990089 /nfs/dbraw/zinc/99/00/89/1073990089.db2.gz FSMNWKGVFRVNTA-QGZVFWFLSA-N 0 0 434.515 -0.809 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(c3nccn4cnnc34)[C@H](C)C2)C1=O ZINC000811761055 1073990104 /nfs/dbraw/zinc/99/01/04/1073990104.db2.gz YXMYNICZBCUBRL-BFUOFWGJSA-N 0 0 430.469 -0.144 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(c3nccn4cnnc34)[C@@H](C)C2)C1=O ZINC000811761062 1073990084 /nfs/dbraw/zinc/99/00/84/1073990084.db2.gz YXMYNICZBCUBRL-DJJJIMSYSA-N 0 0 430.469 -0.144 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(c3nccn4cnnc34)[C@@H](C)C2)C1=O ZINC000811761065 1073990537 /nfs/dbraw/zinc/99/05/37/1073990537.db2.gz YXMYNICZBCUBRL-ORAYPTAESA-N 0 0 430.469 -0.144 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(c3nccn4cnnc34)[C@H](C)C2)C1=O ZINC000811761067 1073990577 /nfs/dbraw/zinc/99/05/77/1073990577.db2.gz YXMYNICZBCUBRL-YJYMSZOUSA-N 0 0 430.469 -0.144 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000811762945 1073990618 /nfs/dbraw/zinc/99/06/18/1073990618.db2.gz XUUMUSIEGJFNHD-KBXCAEBGSA-N 0 0 446.526 -0.666 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000811762946 1073990442 /nfs/dbraw/zinc/99/04/42/1073990442.db2.gz XUUMUSIEGJFNHD-KDOFPFPSSA-N 0 0 446.526 -0.666 20 0 IBADRN COC(=O)CC[C@]1(C)NC(=O)N(CN2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000811762948 1073990528 /nfs/dbraw/zinc/99/05/28/1073990528.db2.gz XUUMUSIEGJFNHD-KSSFIOAISA-N 0 0 446.526 -0.666 20 0 IBADRN COC(=O)CC[C@@]1(C)NC(=O)N(CN2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC000811762949 1073990608 /nfs/dbraw/zinc/99/06/08/1073990608.db2.gz XUUMUSIEGJFNHD-RDTXWAMCSA-N 0 0 446.526 -0.666 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CN2C(=O)N[C@](C)(CCC(=O)OC)C2=O)C1 ZINC000811768265 1073990030 /nfs/dbraw/zinc/99/00/30/1073990030.db2.gz UECLJCKDYYVHPS-KBXCAEBGSA-N 0 0 448.542 -0.420 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CN2C(=O)N[C@@](C)(CCC(=O)OC)C2=O)C1 ZINC000811768266 1073990065 /nfs/dbraw/zinc/99/00/65/1073990065.db2.gz UECLJCKDYYVHPS-KDOFPFPSSA-N 0 0 448.542 -0.420 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(CN2C(=O)N[C@@](C)(CCC(=O)OC)C2=O)C1 ZINC000811768268 1073990040 /nfs/dbraw/zinc/99/00/40/1073990040.db2.gz UECLJCKDYYVHPS-KSSFIOAISA-N 0 0 448.542 -0.420 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(CN2C(=O)N[C@](C)(CCC(=O)OC)C2=O)C1 ZINC000811768269 1073990047 /nfs/dbraw/zinc/99/00/47/1073990047.db2.gz UECLJCKDYYVHPS-RDTXWAMCSA-N 0 0 448.542 -0.420 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CN2C(=O)N[C@@](C)(CCC(=O)OC)C2=O)CC1 ZINC000811773293 1073990075 /nfs/dbraw/zinc/99/00/75/1073990075.db2.gz GTUZVOCFVCUNTJ-KRWDZBQOSA-N 0 0 447.510 -0.350 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CN2C(=O)N[C@](C)(CCC(=O)OC)C2=O)CC1 ZINC000811773295 1073990052 /nfs/dbraw/zinc/99/00/52/1073990052.db2.gz GTUZVOCFVCUNTJ-QGZVFWFLSA-N 0 0 447.510 -0.350 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(Cn2nc(C3CC3)n(CCC(N)=O)c2=S)CC1 ZINC000811788506 1073990567 /nfs/dbraw/zinc/99/05/67/1073990567.db2.gz DYQYGVPNVUERLW-UHFFFAOYSA-N 0 0 431.588 -0.062 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CN2CCCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000811788600 1073990601 /nfs/dbraw/zinc/99/06/01/1073990601.db2.gz IIYRQFXGSUROCX-KRWDZBQOSA-N 0 0 427.531 -0.651 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CN2CCCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000811788601 1073990416 /nfs/dbraw/zinc/99/04/16/1073990416.db2.gz IIYRQFXGSUROCX-QGZVFWFLSA-N 0 0 427.531 -0.651 20 0 IBADRN CCOC(=O)CC[C@]1(C)NC(=O)N(CN2CCCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000811788803 1073990612 /nfs/dbraw/zinc/99/06/12/1073990612.db2.gz MAACZDAKDHZDFE-KRWDZBQOSA-N 0 0 433.531 -0.588 20 0 IBADRN CCOC(=O)CC[C@@]1(C)NC(=O)N(CN2CCCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000811788804 1073990513 /nfs/dbraw/zinc/99/05/13/1073990513.db2.gz MAACZDAKDHZDFE-QGZVFWFLSA-N 0 0 433.531 -0.588 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CN2C(=O)C(=O)N(Cc3cccs3)C2=O)CC1 ZINC000811789180 1073990584 /nfs/dbraw/zinc/99/05/84/1073990584.db2.gz SJJDABAAERATDK-UHFFFAOYSA-N 0 0 429.524 -0.190 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(CN2C(=O)C(=O)N(CCc3cccs3)C2=O)CC1 ZINC000811790102 1073990482 /nfs/dbraw/zinc/99/04/82/1073990482.db2.gz HYNIOEMJHREYOO-UHFFFAOYSA-N 0 0 443.551 -0.147 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000811798937 1073990556 /nfs/dbraw/zinc/99/05/56/1073990556.db2.gz RJBYDPDJOXTDMY-GFCCVEGCSA-N 0 0 427.417 -0.209 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)C1=O ZINC000811798940 1073990591 /nfs/dbraw/zinc/99/05/91/1073990591.db2.gz RJBYDPDJOXTDMY-LBPRGKRZSA-N 0 0 427.417 -0.209 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000811826235 1073991706 /nfs/dbraw/zinc/99/17/06/1073991706.db2.gz OAJDKSHQOHNTKB-LLVKDONJSA-N 0 0 447.491 -0.927 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000811826241 1073991708 /nfs/dbraw/zinc/99/17/08/1073991708.db2.gz OAJDKSHQOHNTKB-NSHDSACASA-N 0 0 447.491 -0.927 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000811826623 1073991749 /nfs/dbraw/zinc/99/17/49/1073991749.db2.gz XFALBJCAZALJHR-UHFFFAOYSA-N 0 0 435.462 -0.493 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000811826644 1073991641 /nfs/dbraw/zinc/99/16/41/1073991641.db2.gz XXOLYERRRUXBIX-UHFFFAOYSA-N 0 0 449.489 -0.103 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000811827090 1073991702 /nfs/dbraw/zinc/99/17/02/1073991702.db2.gz PWKAKMGGPFWMBQ-UHFFFAOYSA-N 0 0 440.522 -0.708 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000811834645 1073991093 /nfs/dbraw/zinc/99/10/93/1073991093.db2.gz DJDRSFUAZGEERY-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000811839307 1073991759 /nfs/dbraw/zinc/99/17/59/1073991759.db2.gz XKVSDLALZLWHQG-HNNXBMFYSA-N 0 0 427.479 -0.366 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000811839309 1073991722 /nfs/dbraw/zinc/99/17/22/1073991722.db2.gz XKVSDLALZLWHQG-OAHLLOKOSA-N 0 0 427.479 -0.366 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000811839510 1073991074 /nfs/dbraw/zinc/99/10/74/1073991074.db2.gz IAGIXRFHZCXYIJ-GXTWGEPZSA-N 0 0 448.519 -0.540 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000811839511 1073991228 /nfs/dbraw/zinc/99/12/28/1073991228.db2.gz IAGIXRFHZCXYIJ-JSGCOSHPSA-N 0 0 448.519 -0.540 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000811839512 1073991200 /nfs/dbraw/zinc/99/12/00/1073991200.db2.gz IAGIXRFHZCXYIJ-OCCSQVGLSA-N 0 0 448.519 -0.540 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000811839513 1073991114 /nfs/dbraw/zinc/99/11/14/1073991114.db2.gz IAGIXRFHZCXYIJ-TZMCWYRMSA-N 0 0 448.519 -0.540 20 0 IBADRN CN(c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C1CN(C(=O)OC(C)(C)C)C1 ZINC000811857121 1073991658 /nfs/dbraw/zinc/99/16/58/1073991658.db2.gz XIZBSRAVTJHTBC-LSCFUAHRSA-N 0 0 436.469 -0.507 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000811870435 1073991734 /nfs/dbraw/zinc/99/17/34/1073991734.db2.gz JPDJSWLMKHCWIK-GFCCVEGCSA-N 0 0 430.449 -0.252 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000811870436 1073991666 /nfs/dbraw/zinc/99/16/66/1073991666.db2.gz JPDJSWLMKHCWIK-LBPRGKRZSA-N 0 0 430.449 -0.252 20 0 IBADRN COc1ccc(C[C@](C)(O)CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1OC ZINC000811871398 1073991766 /nfs/dbraw/zinc/99/17/66/1073991766.db2.gz PYSHBBKJYDDEKF-IBGZPJMESA-N 0 0 445.538 -0.042 20 0 IBADRN COc1ccc(C[C@@](C)(O)CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1OC ZINC000811871402 1073991769 /nfs/dbraw/zinc/99/17/69/1073991769.db2.gz PYSHBBKJYDDEKF-LJQANCHMSA-N 0 0 445.538 -0.042 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCC[C@H](CNC(=O)C(F)(F)F)C2)CC1 ZINC000811873000 1073991679 /nfs/dbraw/zinc/99/16/79/1073991679.db2.gz BHGBYYCCRIJOIB-CYBMUJFWSA-N 0 0 443.492 -0.336 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCC[C@@H](CNC(=O)C(F)(F)F)C2)CC1 ZINC000811873001 1073991726 /nfs/dbraw/zinc/99/17/26/1073991726.db2.gz BHGBYYCCRIJOIB-ZDUSSCGKSA-N 0 0 443.492 -0.336 20 0 IBADRN CN(C(=O)c1c(Br)nnn1C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC000811894806 1073992251 /nfs/dbraw/zinc/99/22/51/1073992251.db2.gz ATTLQMUQDRXBFT-UHFFFAOYSA-N 0 0 442.362 -0.112 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)N1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000811895152 1073992280 /nfs/dbraw/zinc/99/22/80/1073992280.db2.gz JPONDWDSKHYCEG-ZDUSSCGKSA-N 0 0 425.577 -0.348 20 0 IBADRN COCCNC(=O)CN1CCN(CCC(=O)NN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000811914139 1073991712 /nfs/dbraw/zinc/99/17/12/1073991712.db2.gz BJDKDQYOYCHNMO-UHFFFAOYSA-N 0 0 438.529 -0.957 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(CCC(=O)NN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000811917946 1073992320 /nfs/dbraw/zinc/99/23/20/1073992320.db2.gz VERGDTPRIUOVIA-UHFFFAOYSA-N 0 0 436.513 -0.669 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000811976460 1073992818 /nfs/dbraw/zinc/99/28/18/1073992818.db2.gz BOXDFLDTOYAPCN-UHFFFAOYSA-N 0 0 429.524 -0.646 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NCCS(=O)(=O)N(C)C)CC1 ZINC000811976677 1073992662 /nfs/dbraw/zinc/99/26/62/1073992662.db2.gz FDHJBLZNXIMXHZ-UHFFFAOYSA-N 0 0 435.547 -0.306 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000811978106 1073992715 /nfs/dbraw/zinc/99/27/15/1073992715.db2.gz VPVOPSNSOHBLIO-UHFFFAOYSA-N 0 0 433.556 -0.219 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000812003771 1073992691 /nfs/dbraw/zinc/99/26/91/1073992691.db2.gz JWMSYRXCDWQOIK-UHFFFAOYSA-N 0 0 447.583 -0.370 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCCn2cc(CO)nn2)CC1 ZINC000812009635 1073992724 /nfs/dbraw/zinc/99/27/24/1073992724.db2.gz CLQGLAIEOFREAZ-UHFFFAOYSA-N 0 0 425.490 -0.461 20 0 IBADRN COCCn1c(-c2cnn(C)c2)nnc1N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC000812087197 1073993484 /nfs/dbraw/zinc/99/34/84/1073993484.db2.gz ISDOPWDRNWXFGW-UHFFFAOYSA-N 0 0 449.541 -0.122 20 0 IBADRN COCCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N(C)CC(=O)N1CCOCC1 ZINC000812095351 1073993465 /nfs/dbraw/zinc/99/34/65/1073993465.db2.gz BNVCJLADAUTIKC-UHFFFAOYSA-N 0 0 427.469 -0.138 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCCS(=O)(=O)N(C)C)cc1 ZINC000812155873 1073993190 /nfs/dbraw/zinc/99/31/90/1073993190.db2.gz XDZFHFLINFJJRC-UHFFFAOYSA-N 0 0 435.524 -0.535 20 0 IBADRN COCCN(C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000812207015 1073993362 /nfs/dbraw/zinc/99/33/62/1073993362.db2.gz MEUCCWJBUPBXGJ-DOMZBBRYSA-N 0 0 445.925 -0.024 20 0 IBADRN COCCN(C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000812207019 1073993453 /nfs/dbraw/zinc/99/34/53/1073993453.db2.gz MEUCCWJBUPBXGJ-IUODEOHRSA-N 0 0 445.925 -0.024 20 0 IBADRN COCCN(C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1Cl)[C@H]1CCS(=O)(=O)C1 ZINC000812207021 1073993698 /nfs/dbraw/zinc/99/36/98/1073993698.db2.gz MEUCCWJBUPBXGJ-SWLSCSKDSA-N 0 0 445.925 -0.024 20 0 IBADRN COCCN(C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1Cl)[C@H]1CCS(=O)(=O)C1 ZINC000812207023 1073993766 /nfs/dbraw/zinc/99/37/66/1073993766.db2.gz MEUCCWJBUPBXGJ-WFASDCNBSA-N 0 0 445.925 -0.024 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cn(C)nc2C(F)F)c(=O)n1CC(=O)NCCOC ZINC000812241120 1073993660 /nfs/dbraw/zinc/99/36/60/1073993660.db2.gz CWMXZDVNPVMXDP-UHFFFAOYSA-N 0 0 444.460 -0.484 20 0 IBADRN CN1C(=O)Cc2cc(C(=O)CN3C(=O)C(=O)N(CCCN4CCOCC4)C3=O)ccc21 ZINC000812250139 1073993743 /nfs/dbraw/zinc/99/37/43/1073993743.db2.gz BACRLFXARVVBDN-UHFFFAOYSA-N 0 0 428.445 -0.099 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC(=O)CSc1nccnc1N ZINC000812252443 1073993755 /nfs/dbraw/zinc/99/37/55/1073993755.db2.gz WRDHEFIUFVXEIX-UHFFFAOYSA-N 0 0 440.507 -0.533 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)CC1 ZINC000812254014 1073993649 /nfs/dbraw/zinc/99/36/49/1073993649.db2.gz AYAQJUZFXBRKEB-FQEVSTJZSA-N 0 0 437.497 -0.001 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)CC1 ZINC000812254016 1073993706 /nfs/dbraw/zinc/99/37/06/1073993706.db2.gz AYAQJUZFXBRKEB-HXUWFJFHSA-N 0 0 437.497 -0.001 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@]2(CCCN(C(=O)OC(C)(C)C)C2)C1=O)C(=O)N(C)C ZINC000812255544 1073993733 /nfs/dbraw/zinc/99/37/33/1073993733.db2.gz SMYTVWQSTCQVAZ-BLVKFPJESA-N 0 0 425.486 -0.099 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@]2(CCCN(C(=O)OC(C)(C)C)C2)C1=O)C(=O)N(C)C ZINC000812255545 1073993714 /nfs/dbraw/zinc/99/37/14/1073993714.db2.gz SMYTVWQSTCQVAZ-BUXKBTBVSA-N 0 0 425.486 -0.099 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@@]2(CCCN(C(=O)OC(C)(C)C)C2)C1=O)C(=O)N(C)C ZINC000812255546 1073993676 /nfs/dbraw/zinc/99/36/76/1073993676.db2.gz SMYTVWQSTCQVAZ-CWTRNNRKSA-N 0 0 425.486 -0.099 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@@]2(CCCN(C(=O)OC(C)(C)C)C2)C1=O)C(=O)N(C)C ZINC000812255659 1073993776 /nfs/dbraw/zinc/99/37/76/1073993776.db2.gz SMYTVWQSTCQVAZ-HXPMCKFVSA-N 0 0 425.486 -0.099 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@H](CNC(=O)C(F)(F)F)C2)cn1C ZINC000812277432 1073994405 /nfs/dbraw/zinc/99/44/05/1073994405.db2.gz VQZPAOGEXQLJFB-LLVKDONJSA-N 0 0 425.433 -0.076 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@@H](CNC(=O)C(F)(F)F)C2)cn1C ZINC000812277437 1073994383 /nfs/dbraw/zinc/99/43/83/1073994383.db2.gz VQZPAOGEXQLJFB-NSHDSACASA-N 0 0 425.433 -0.076 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@]2(C1)NC(=O)N(CN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000812278094 1073994372 /nfs/dbraw/zinc/99/43/72/1073994372.db2.gz FKXCNUMAFUXNTN-GOSISDBHSA-N 0 0 445.542 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@]2(C1)NC(=O)N(CN1CCN(S(C)(=O)=O)CC1)C2=O ZINC000812278095 1073994418 /nfs/dbraw/zinc/99/44/18/1073994418.db2.gz FKXCNUMAFUXNTN-SFHVURJKSA-N 0 0 445.542 -0.157 20 0 IBADRN COC(=O)CN(CC(=O)OC)CN1C(=O)N[C@]2(CCCN(C(=O)OC(C)(C)C)C2)C1=O ZINC000812282561 1073994514 /nfs/dbraw/zinc/99/45/14/1073994514.db2.gz DUCTYULEQPCDSA-IBGZPJMESA-N 0 0 442.469 -0.087 20 0 IBADRN COC(=O)CN(CC(=O)OC)CN1C(=O)N[C@@]2(CCCN(C(=O)OC(C)(C)C)C2)C1=O ZINC000812282564 1073994497 /nfs/dbraw/zinc/99/44/97/1073994497.db2.gz DUCTYULEQPCDSA-LJQANCHMSA-N 0 0 442.469 -0.087 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@]2(C1)NC(=O)N(CN1CC[C@@H](NS(C)(=O)=O)C1)C2=O ZINC000812284292 1073994394 /nfs/dbraw/zinc/99/43/94/1073994394.db2.gz XJFXUSJJHVBXSC-ACJLOTCBSA-N 0 0 445.542 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@]2(C1)NC(=O)N(CN1CC[C@@H](NS(C)(=O)=O)C1)C2=O ZINC000812284293 1073994495 /nfs/dbraw/zinc/99/44/95/1073994495.db2.gz XJFXUSJJHVBXSC-FZKQIMNGSA-N 0 0 445.542 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@]2(C1)NC(=O)N(CN1CC[C@H](NS(C)(=O)=O)C1)C2=O ZINC000812284294 1073994502 /nfs/dbraw/zinc/99/45/02/1073994502.db2.gz XJFXUSJJHVBXSC-SCLBCKFNSA-N 0 0 445.542 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@]2(C1)NC(=O)N(CN1CC[C@H](NS(C)(=O)=O)C1)C2=O ZINC000812284295 1073994439 /nfs/dbraw/zinc/99/44/39/1073994439.db2.gz XJFXUSJJHVBXSC-UGSOOPFHSA-N 0 0 445.542 -0.111 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CN2C(=O)N[C@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)C1 ZINC000812286966 1073995006 /nfs/dbraw/zinc/99/50/06/1073995006.db2.gz LRIOCCIMVWQMRO-ACJLOTCBSA-N 0 0 445.542 -0.111 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CN2C(=O)N[C@@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)C1 ZINC000812286967 1073994838 /nfs/dbraw/zinc/99/48/38/1073994838.db2.gz LRIOCCIMVWQMRO-FZKQIMNGSA-N 0 0 445.542 -0.111 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CN2C(=O)N[C@@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)C1 ZINC000812286968 1073994925 /nfs/dbraw/zinc/99/49/25/1073994925.db2.gz LRIOCCIMVWQMRO-SCLBCKFNSA-N 0 0 445.542 -0.111 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CN2C(=O)N[C@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)C1 ZINC000812286969 1073994917 /nfs/dbraw/zinc/99/49/17/1073994917.db2.gz LRIOCCIMVWQMRO-UGSOOPFHSA-N 0 0 445.542 -0.111 20 0 IBADRN CNC(=O)[C@H]1CN(CN2C(=O)N[C@@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)CCO1 ZINC000812289143 1073994966 /nfs/dbraw/zinc/99/49/66/1073994966.db2.gz XOZLJVPVXDAWBJ-BFUOFWGJSA-N 0 0 425.486 -0.288 20 0 IBADRN CNC(=O)[C@@H]1CN(CN2C(=O)N[C@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)CCO1 ZINC000812289144 1073994809 /nfs/dbraw/zinc/99/48/09/1073994809.db2.gz XOZLJVPVXDAWBJ-DJJJIMSYSA-N 0 0 425.486 -0.288 20 0 IBADRN CNC(=O)[C@@H]1CN(CN2C(=O)N[C@@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)CCO1 ZINC000812289145 1073994879 /nfs/dbraw/zinc/99/48/79/1073994879.db2.gz XOZLJVPVXDAWBJ-ORAYPTAESA-N 0 0 425.486 -0.288 20 0 IBADRN CNC(=O)[C@H]1CN(CN2C(=O)N[C@]3(CCCN(C(=O)OC(C)(C)C)C3)C2=O)CCO1 ZINC000812289146 1073994873 /nfs/dbraw/zinc/99/48/73/1073994873.db2.gz XOZLJVPVXDAWBJ-YJYMSZOUSA-N 0 0 425.486 -0.288 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000812353936 1073994973 /nfs/dbraw/zinc/99/49/73/1073994973.db2.gz CRYGOIWZIYUDIF-INIZCTEOSA-N 0 0 440.501 -0.414 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000812353937 1073994821 /nfs/dbraw/zinc/99/48/21/1073994821.db2.gz CRYGOIWZIYUDIF-MRXNPFEDSA-N 0 0 440.501 -0.414 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C[C@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000812354045 1073994979 /nfs/dbraw/zinc/99/49/79/1073994979.db2.gz HWLRIIYJPGRYKY-AWEZNQCLSA-N 0 0 427.449 -0.099 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C[C@@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000812354046 1073995012 /nfs/dbraw/zinc/99/50/12/1073995012.db2.gz HWLRIIYJPGRYKY-CQSZACIVSA-N 0 0 427.449 -0.099 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCCN(S(=O)(=O)N(C)C)CC3)cc2S1(=O)=O ZINC000812354063 1073995001 /nfs/dbraw/zinc/99/50/01/1073995001.db2.gz IFDDCORTTWPRAV-UHFFFAOYSA-N 0 0 444.535 -0.195 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000812354067 1073994895 /nfs/dbraw/zinc/99/48/95/1073994895.db2.gz IIXBLKQGZRHAQQ-FQEVSTJZSA-N 0 0 449.533 -0.279 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000812354068 1073994986 /nfs/dbraw/zinc/99/49/86/1073994986.db2.gz IIXBLKQGZRHAQQ-HXUWFJFHSA-N 0 0 449.533 -0.279 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000812354223 1073994887 /nfs/dbraw/zinc/99/48/87/1073994887.db2.gz NERGVMYFEKJBFM-UHFFFAOYSA-N 0 0 447.558 -0.594 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C2=NO[C@@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000812354736 1073995426 /nfs/dbraw/zinc/99/54/26/1073995426.db2.gz HARBYVIEFSHNPI-CYBMUJFWSA-N 0 0 433.531 -0.003 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)C2=NO[C@H](CNC(=O)OC(C)(C)C)C2)CC1 ZINC000812354737 1073995403 /nfs/dbraw/zinc/99/54/03/1073995403.db2.gz HARBYVIEFSHNPI-ZDUSSCGKSA-N 0 0 433.531 -0.003 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000812354881 1073995416 /nfs/dbraw/zinc/99/54/16/1073995416.db2.gz MVZTWKKRMNKOHV-UHFFFAOYSA-N 0 0 434.540 -0.177 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1=O ZINC000812354989 1073995348 /nfs/dbraw/zinc/99/53/48/1073995348.db2.gz QTRNDOUCSQLBIG-UHFFFAOYSA-N 0 0 437.522 -0.476 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000812355117 1073995469 /nfs/dbraw/zinc/99/54/69/1073995469.db2.gz VCTLHVJWODUKPU-UHFFFAOYSA-N 0 0 434.540 -0.177 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C[C@H](C)S1(=O)=O ZINC000812373174 1073995456 /nfs/dbraw/zinc/99/54/56/1073995456.db2.gz ALIIAFLGSNHFIW-DTORHVGOSA-N 0 0 432.300 -0.074 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C[C@H](C)S1(=O)=O ZINC000812373175 1073995390 /nfs/dbraw/zinc/99/53/90/1073995390.db2.gz ALIIAFLGSNHFIW-IUCAKERBSA-N 0 0 432.300 -0.074 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C[C@@H](C)S1(=O)=O ZINC000812373177 1073995411 /nfs/dbraw/zinc/99/54/11/1073995411.db2.gz ALIIAFLGSNHFIW-RKDXNWHRSA-N 0 0 432.300 -0.074 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC000812390270 1073995479 /nfs/dbraw/zinc/99/54/79/1073995479.db2.gz VZUOFALHJBHDBD-UHFFFAOYSA-N 0 0 427.932 -0.114 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000812390771 1073995495 /nfs/dbraw/zinc/99/54/95/1073995495.db2.gz NHWZYSHCVAAEOE-CYBMUJFWSA-N 0 0 445.519 -0.369 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000812390772 1073995439 /nfs/dbraw/zinc/99/54/39/1073995439.db2.gz NHWZYSHCVAAEOE-ZDUSSCGKSA-N 0 0 445.519 -0.369 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000812391427 1073995951 /nfs/dbraw/zinc/99/59/51/1073995951.db2.gz FUZDCSLEFCPGCW-UHFFFAOYSA-N 0 0 428.536 -0.145 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCCS(=O)(=O)N(C)C)C1=O ZINC000812391550 1073995982 /nfs/dbraw/zinc/99/59/82/1073995982.db2.gz JQVGOWSBDASCOW-GOSISDBHSA-N 0 0 426.495 -0.222 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCCS(=O)(=O)N(C)C)C1=O ZINC000812391551 1073995883 /nfs/dbraw/zinc/99/58/83/1073995883.db2.gz JQVGOWSBDASCOW-SFHVURJKSA-N 0 0 426.495 -0.222 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000812392245 1073995892 /nfs/dbraw/zinc/99/58/92/1073995892.db2.gz YGCCIZCATDAUJD-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN CC(C)S(=O)(=O)CCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC000812440964 1073995927 /nfs/dbraw/zinc/99/59/27/1073995927.db2.gz RDTZXSMTDGFELP-UHFFFAOYSA-N 0 0 447.535 -0.047 20 0 IBADRN CCS(=O)(=O)CCS(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000812452829 1073995906 /nfs/dbraw/zinc/99/59/06/1073995906.db2.gz MBOJSZLVLXNVDN-UHFFFAOYSA-N 0 0 426.538 -0.275 20 0 IBADRN CCS(=O)(=O)CCS(=O)(=O)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000812454773 1073995901 /nfs/dbraw/zinc/99/59/01/1073995901.db2.gz RYIYSJAHEANEAK-AWEZNQCLSA-N 0 0 429.582 -0.206 20 0 IBADRN CCS(=O)(=O)CCS(=O)(=O)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000812454777 1073995996 /nfs/dbraw/zinc/99/59/96/1073995996.db2.gz RYIYSJAHEANEAK-CQSZACIVSA-N 0 0 429.582 -0.206 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC/C=C\CNC(=O)OC(C)(C)C)C2 ZINC000812463098 1073995963 /nfs/dbraw/zinc/99/59/63/1073995963.db2.gz CQNWDIKNPVKCTH-SREVYHEPSA-N 0 0 437.501 -0.261 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC/C=C/CNC(=O)OC(C)(C)C)C2 ZINC000812463099 1073995937 /nfs/dbraw/zinc/99/59/37/1073995937.db2.gz CQNWDIKNPVKCTH-VOTSOKGWSA-N 0 0 437.501 -0.261 20 0 IBADRN CC(C)(C)OC(=O)NC/C=C\CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000812465131 1073995948 /nfs/dbraw/zinc/99/59/48/1073995948.db2.gz GSRXKHVGJSMASM-PLNGDYQASA-N 0 0 447.558 -0.029 20 0 IBADRN CC(C)(C)OC(=O)NC/C=C/CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000812465132 1073995872 /nfs/dbraw/zinc/99/58/72/1073995872.db2.gz GSRXKHVGJSMASM-SNAWJCMRSA-N 0 0 447.558 -0.029 20 0 IBADRN CC(C)(C)OC(=O)NC/C=C\CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000812467647 1073995974 /nfs/dbraw/zinc/99/59/74/1073995974.db2.gz YDVCSGABOFRJSE-PLNGDYQASA-N 0 0 439.513 -0.220 20 0 IBADRN CC(C)(C)OC(=O)NC/C=C/CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000812467648 1073995886 /nfs/dbraw/zinc/99/58/86/1073995886.db2.gz YDVCSGABOFRJSE-SNAWJCMRSA-N 0 0 439.513 -0.220 20 0 IBADRN O=C([C@H]1CCCN1CC(F)(F)F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000812476210 1073995910 /nfs/dbraw/zinc/99/59/10/1073995910.db2.gz TWKGRMHVPDUFCT-CHWSQXEVSA-N 0 0 447.501 -0.326 20 0 IBADRN O=C([C@@H]1CCCN1CC(F)(F)F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000812476211 1073996487 /nfs/dbraw/zinc/99/64/87/1073996487.db2.gz TWKGRMHVPDUFCT-OLZOCXBDSA-N 0 0 447.501 -0.326 20 0 IBADRN O=C([C@H]1CCCN1CC(F)(F)F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000812476212 1073996481 /nfs/dbraw/zinc/99/64/81/1073996481.db2.gz TWKGRMHVPDUFCT-QWHCGFSZSA-N 0 0 447.501 -0.326 20 0 IBADRN O=C([C@@H]1CCCN1CC(F)(F)F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000812476213 1073996529 /nfs/dbraw/zinc/99/65/29/1073996529.db2.gz TWKGRMHVPDUFCT-STQMWFEESA-N 0 0 447.501 -0.326 20 0 IBADRN CON(C)C(=O)C1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC000812484209 1073996515 /nfs/dbraw/zinc/99/65/15/1073996515.db2.gz YGDQPHCOBZASCT-UHFFFAOYSA-N 0 0 434.540 -0.180 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)N(C)C2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000812493322 1073996447 /nfs/dbraw/zinc/99/64/47/1073996447.db2.gz FOECHQAAAKCERF-UHFFFAOYSA-N 0 0 433.575 -0.074 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000812499757 1073996460 /nfs/dbraw/zinc/99/64/60/1073996460.db2.gz LTBUOJIXGYMIRJ-UHFFFAOYSA-N 0 0 441.576 -0.616 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000812502641 1073996491 /nfs/dbraw/zinc/99/64/91/1073996491.db2.gz BOIRWBTWQBECCC-AWEZNQCLSA-N 0 0 445.542 -0.795 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000812502645 1073996538 /nfs/dbraw/zinc/99/65/38/1073996538.db2.gz BOIRWBTWQBECCC-CQSZACIVSA-N 0 0 445.542 -0.795 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC000812503841 1073996556 /nfs/dbraw/zinc/99/65/56/1073996556.db2.gz CJIFQSWOKSJHGV-CYBMUJFWSA-N 0 0 426.561 -0.075 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC000812503842 1073996582 /nfs/dbraw/zinc/99/65/82/1073996582.db2.gz CJIFQSWOKSJHGV-ZDUSSCGKSA-N 0 0 426.561 -0.075 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)NCCCN1CCNC(=O)C1 ZINC000812505130 1073996560 /nfs/dbraw/zinc/99/65/60/1073996560.db2.gz KJTOBKZVTIKOJM-UHFFFAOYSA-N 0 0 433.575 -0.026 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000812505442 1073996499 /nfs/dbraw/zinc/99/64/99/1073996499.db2.gz PGYHXOPBUIPSNE-CYBMUJFWSA-N 0 0 431.515 -0.202 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000812505446 1073996542 /nfs/dbraw/zinc/99/65/42/1073996542.db2.gz PGYHXOPBUIPSNE-ZDUSSCGKSA-N 0 0 431.515 -0.202 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CC(n2cc(C(N)=O)nn2)C1 ZINC000812505902 1073996473 /nfs/dbraw/zinc/99/64/73/1073996473.db2.gz UBQBXDUBYHMFAA-UHFFFAOYSA-N 0 0 443.530 -0.190 20 0 IBADRN COC(=O)c1nc(Br)ccc1OS(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000812514048 1073996580 /nfs/dbraw/zinc/99/65/80/1073996580.db2.gz YXNZLQOOGVIOCC-UHFFFAOYSA-N 0 0 434.224 -0.204 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000812535244 1073996534 /nfs/dbraw/zinc/99/65/34/1073996534.db2.gz UTQAQTNCMUMNKF-UHFFFAOYSA-N 0 0 431.405 -0.377 20 0 IBADRN COC(=O)N1CC(NC(=O)CN(CC(=O)NC2CN(C(=O)OC)C2)c2ccccc2)C1 ZINC000812536234 1073996887 /nfs/dbraw/zinc/99/68/87/1073996887.db2.gz VBIZFMYFIUZSAM-UHFFFAOYSA-N 0 0 433.465 -0.373 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)CN(CC(=O)N1CC(=O)N(C)C[C@@H]1C)c1ccccc1 ZINC000812554636 1073996942 /nfs/dbraw/zinc/99/69/42/1073996942.db2.gz IGKXAVCCEGWDRK-CALCHBBNSA-N 0 0 429.521 -0.129 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1C(=O)CN(CC(=O)N1CC(=O)N(C)C[C@H]1C)c1ccccc1 ZINC000812554637 1073996959 /nfs/dbraw/zinc/99/69/59/1073996959.db2.gz IGKXAVCCEGWDRK-IAGOWNOFSA-N 0 0 429.521 -0.129 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1C(=O)CN(CC(=O)N1CC(=O)N(C)C[C@@H]1C)c1ccccc1 ZINC000812554638 1073996988 /nfs/dbraw/zinc/99/69/88/1073996988.db2.gz IGKXAVCCEGWDRK-IRXDYDNUSA-N 0 0 429.521 -0.129 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000812569310 1073996953 /nfs/dbraw/zinc/99/69/53/1073996953.db2.gz ZUFOMVWQUKFOGS-INIZCTEOSA-N 0 0 447.517 -0.278 20 0 IBADRN O=C(Nc1cccc(CN2CCNC(=O)C2)c1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000812569311 1073996878 /nfs/dbraw/zinc/99/68/78/1073996878.db2.gz ZUFOMVWQUKFOGS-MRXNPFEDSA-N 0 0 447.517 -0.278 20 0 IBADRN CN1c2ccc(C(=O)COC(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)cc2CC1=O ZINC000812588058 1073996840 /nfs/dbraw/zinc/99/68/40/1073996840.db2.gz UUKNJRNQWINAHO-UHFFFAOYSA-N 0 0 434.430 -0.663 20 0 IBADRN CN1c2ccc(C(=O)COC(=O)c3ccc(S(=O)(=O)NCC(N)=O)o3)cc2CC1=O ZINC000812594264 1073996857 /nfs/dbraw/zinc/99/68/57/1073996857.db2.gz HODUDEJGMBNVJT-UHFFFAOYSA-N 0 0 435.414 -0.398 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1CC(F)(F)F)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000812685507 1073997360 /nfs/dbraw/zinc/99/73/60/1073997360.db2.gz YSMASRXZTYLJFN-KBPBESRZSA-N 0 0 441.472 -0.112 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1CC(F)(F)F)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000812685508 1073997472 /nfs/dbraw/zinc/99/74/72/1073997472.db2.gz YSMASRXZTYLJFN-KGLIPLIRSA-N 0 0 441.472 -0.112 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1CC(F)(F)F)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000812685509 1073997342 /nfs/dbraw/zinc/99/73/42/1073997342.db2.gz YSMASRXZTYLJFN-UONOGXRCSA-N 0 0 441.472 -0.112 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1CC(F)(F)F)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000812685510 1073997460 /nfs/dbraw/zinc/99/74/60/1073997460.db2.gz YSMASRXZTYLJFN-ZIAGYGMSSA-N 0 0 441.472 -0.112 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC000812687824 1073997496 /nfs/dbraw/zinc/99/74/96/1073997496.db2.gz HETQQSCPZIHFQU-UHFFFAOYSA-N 0 0 445.520 -0.889 20 0 IBADRN Cn1c2ncn(CCOC(=O)C(=O)N3CCN(c4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC000812688971 1073997432 /nfs/dbraw/zinc/99/74/32/1073997432.db2.gz WJMPCRGDAQVGRH-UHFFFAOYSA-N 0 0 440.460 -0.674 20 0 IBADRN C[C@H](OC(=O)C(=O)N1CCN(c2ccccc2)CC1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000812689310 1073997426 /nfs/dbraw/zinc/99/74/26/1073997426.db2.gz JCTNLAVMGBTKPU-DOTOQJQBSA-N 0 0 437.518 -0.088 20 0 IBADRN C[C@@H](OC(=O)C(=O)N1CCN(c2ccccc2)CC1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000812689311 1073997409 /nfs/dbraw/zinc/99/74/09/1073997409.db2.gz JCTNLAVMGBTKPU-NVXWUHKLSA-N 0 0 437.518 -0.088 20 0 IBADRN C[C@H](OC(=O)C(=O)N1CCN(c2ccccc2)CC1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000812689312 1073997437 /nfs/dbraw/zinc/99/74/37/1073997437.db2.gz JCTNLAVMGBTKPU-RDJZCZTQSA-N 0 0 437.518 -0.088 20 0 IBADRN C[C@@H](OC(=O)C(=O)N1CCN(c2ccccc2)CC1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000812689313 1073997398 /nfs/dbraw/zinc/99/73/98/1073997398.db2.gz JCTNLAVMGBTKPU-WBVHZDCISA-N 0 0 437.518 -0.088 20 0 IBADRN CN(CCCNC(=O)COC(=O)C(=O)N1CCN(c2ccccc2)CC1)S(C)(=O)=O ZINC000812690686 1073997415 /nfs/dbraw/zinc/99/74/15/1073997415.db2.gz CNJUDWQRVMUWAK-UHFFFAOYSA-N 0 0 440.522 -0.724 20 0 IBADRN O=C(COC(=O)C(=O)N1CCN(c2ccccc2)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC000812690854 1073997465 /nfs/dbraw/zinc/99/74/65/1073997465.db2.gz ZHWSKSKKWBDLAN-UHFFFAOYSA-N 0 0 428.489 -0.041 20 0 IBADRN CCN(C(=O)COC(=O)C(=O)N1CCN(c2ccccc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000812691284 1073997491 /nfs/dbraw/zinc/99/74/91/1073997491.db2.gz SVFOGEKIGYYZBP-KRWDZBQOSA-N 0 0 437.518 -0.086 20 0 IBADRN CCN(C(=O)COC(=O)C(=O)N1CCN(c2ccccc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000812691285 1073997440 /nfs/dbraw/zinc/99/74/40/1073997440.db2.gz SVFOGEKIGYYZBP-QGZVFWFLSA-N 0 0 437.518 -0.086 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000812691692 1073997387 /nfs/dbraw/zinc/99/73/87/1073997387.db2.gz DHCQPDTULUIENY-AWEZNQCLSA-N 0 0 449.574 -0.060 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000812691693 1073997487 /nfs/dbraw/zinc/99/74/87/1073997487.db2.gz DHCQPDTULUIENY-CQSZACIVSA-N 0 0 449.574 -0.060 20 0 IBADRN Cn1c2[nH]c(COC(=O)C(=O)N3CCN(c4ccccc4)CC3)nc2c(=O)n(C)c1=O ZINC000812692010 1073997502 /nfs/dbraw/zinc/99/75/02/1073997502.db2.gz IPAHTUGSPDKWIC-UHFFFAOYSA-N 0 0 426.433 -0.648 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC000812708929 1073998023 /nfs/dbraw/zinc/99/80/23/1073998023.db2.gz JCVGKWFNUMMQRS-UHFFFAOYSA-N 0 0 438.506 -0.321 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000812710143 1073998036 /nfs/dbraw/zinc/99/80/36/1073998036.db2.gz HJSQAOHRCLJNMK-GFCCVEGCSA-N 0 0 426.495 -0.289 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000812710144 1073997952 /nfs/dbraw/zinc/99/79/52/1073997952.db2.gz HJSQAOHRCLJNMK-LBPRGKRZSA-N 0 0 426.495 -0.289 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000812710558 1073997999 /nfs/dbraw/zinc/99/79/99/1073997999.db2.gz XSNFHAJTCNMBCP-UHFFFAOYSA-N 0 0 440.522 -0.075 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC000812712640 1073998045 /nfs/dbraw/zinc/99/80/45/1073998045.db2.gz IGSXAUUMUJRHNR-UHFFFAOYSA-N 0 0 438.506 -0.321 20 0 IBADRN COC(=O)c1cc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n(C)c1C ZINC000812744291 1073998406 /nfs/dbraw/zinc/99/84/06/1073998406.db2.gz MPYNWPFUYDBMHG-HNNXBMFYSA-N 0 0 433.552 -0.245 20 0 IBADRN COC(=O)c1cc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n(C)c1C ZINC000812744296 1073998529 /nfs/dbraw/zinc/99/85/29/1073998529.db2.gz MPYNWPFUYDBMHG-OAHLLOKOSA-N 0 0 433.552 -0.245 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000812746725 1073998470 /nfs/dbraw/zinc/99/84/70/1073998470.db2.gz HXCHLUJFKQGYGU-AWEZNQCLSA-N 0 0 433.534 -0.121 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000812746731 1073998381 /nfs/dbraw/zinc/99/83/81/1073998381.db2.gz HXCHLUJFKQGYGU-CQSZACIVSA-N 0 0 433.534 -0.121 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)c1=O ZINC000812757890 1073998525 /nfs/dbraw/zinc/99/85/25/1073998525.db2.gz XDWUOAJDTFKPGJ-HNNXBMFYSA-N 0 0 427.505 -0.006 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)c1=O ZINC000812757891 1073998484 /nfs/dbraw/zinc/99/84/84/1073998484.db2.gz XDWUOAJDTFKPGJ-OAHLLOKOSA-N 0 0 427.505 -0.006 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CNC3(CO)COC(C)(C)OC3)C2=O)c1 ZINC000812836881 1073999992 /nfs/dbraw/zinc/99/99/92/1073999992.db2.gz CBEFQVQVDLWFTR-IBGZPJMESA-N 0 0 436.465 -0.403 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CNC3(CO)COC(C)(C)OC3)C2=O)c1 ZINC000812836882 1074000147 /nfs/dbraw/zinc/00/01/47/1074000147.db2.gz CBEFQVQVDLWFTR-LJQANCHMSA-N 0 0 436.465 -0.403 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CNC2(CO)COC(C)(C)OC2)CC1 ZINC000812837169 1073999454 /nfs/dbraw/zinc/99/94/54/1073999454.db2.gz NLLHBAARGBPDPZ-UHFFFAOYSA-N 0 0 446.526 -0.772 20 0 IBADRN COc1ccc(NC(=O)CNC2(CO)COC(C)(C)OC2)cc1S(=O)(=O)N(C)C ZINC000812837335 1073999429 /nfs/dbraw/zinc/99/94/29/1073999429.db2.gz DPCSBBGFEVLFFK-UHFFFAOYSA-N 0 0 431.511 -0.012 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CO1 ZINC000812837415 1073999551 /nfs/dbraw/zinc/99/95/51/1073999551.db2.gz DRBJNYXKTZBCOI-GOSISDBHSA-N 0 0 449.504 -0.399 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CO1 ZINC000812837416 1073999503 /nfs/dbraw/zinc/99/95/03/1073999503.db2.gz DRBJNYXKTZBCOI-SFHVURJKSA-N 0 0 449.504 -0.399 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CO1 ZINC000812837617 1073999443 /nfs/dbraw/zinc/99/94/43/1073999443.db2.gz HVONSTMTNZQQBJ-UHFFFAOYSA-N 0 0 441.550 -0.236 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCNC1(CO)COC(C)(C)OC1 ZINC000812837695 1073999475 /nfs/dbraw/zinc/99/94/75/1073999475.db2.gz HQANDFDBQOTVKU-UHFFFAOYSA-N 0 0 436.552 -0.186 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CNC2(CO)COC(C)(C)OC2)c(=O)[nH]c1=O ZINC000812837717 1073999486 /nfs/dbraw/zinc/99/94/86/1073999486.db2.gz HVRQXRSMNGHAOI-UHFFFAOYSA-N 0 0 427.502 -0.212 20 0 IBADRN Cn1c(=O)c(C(=O)CNC2(CO)COC(C)(C)OC2)c(N)n(Cc2ccccc2)c1=O ZINC000812838346 1074000040 /nfs/dbraw/zinc/00/00/40/1074000040.db2.gz OLMRVAOITIJGHC-UHFFFAOYSA-N 0 0 432.477 -0.536 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CO1 ZINC000812838860 1074000071 /nfs/dbraw/zinc/00/00/71/1074000071.db2.gz YMLSPIBZRJKVOW-UHFFFAOYSA-N 0 0 445.513 -0.238 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CO1 ZINC000812838883 1074000173 /nfs/dbraw/zinc/00/01/73/1074000173.db2.gz XNRJKCUUJJRSJL-UHFFFAOYSA-N 0 0 445.513 -0.238 20 0 IBADRN CON(C)C(=O)C1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000812873063 1074000113 /nfs/dbraw/zinc/00/01/13/1074000113.db2.gz OINMHIFRRXMLKF-DLBZAZTESA-N 0 0 431.555 -0.087 20 0 IBADRN CON(C)C(=O)C1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000812873064 1074000424 /nfs/dbraw/zinc/00/04/24/1074000424.db2.gz OINMHIFRRXMLKF-IAGOWNOFSA-N 0 0 431.555 -0.087 20 0 IBADRN CON(C)C(=O)C1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000812873065 1074000546 /nfs/dbraw/zinc/00/05/46/1074000546.db2.gz OINMHIFRRXMLKF-IRXDYDNUSA-N 0 0 431.555 -0.087 20 0 IBADRN CON(C)C(=O)C1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000812873066 1074000514 /nfs/dbraw/zinc/00/05/14/1074000514.db2.gz OINMHIFRRXMLKF-SJORKVTESA-N 0 0 431.555 -0.087 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCCS(=O)(=O)Cc1ccccc1 ZINC000812881212 1074000505 /nfs/dbraw/zinc/00/05/05/1074000505.db2.gz NQQPVPJUKCHBPB-INIZCTEOSA-N 0 0 445.563 -0.064 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCCS(=O)(=O)Cc1ccccc1 ZINC000812881213 1074000474 /nfs/dbraw/zinc/00/04/74/1074000474.db2.gz NQQPVPJUKCHBPB-MRXNPFEDSA-N 0 0 445.563 -0.064 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H](CCSC)C(=O)N(C)OC ZINC000812895294 1074000446 /nfs/dbraw/zinc/00/04/46/1074000446.db2.gz WZUIOEBXCZBDOO-OLZOCXBDSA-N 0 0 438.572 -0.537 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N[C@@H](CCSC)C(=O)N(C)OC ZINC000812895295 1074000554 /nfs/dbraw/zinc/00/05/54/1074000554.db2.gz WZUIOEBXCZBDOO-STQMWFEESA-N 0 0 438.572 -0.537 20 0 IBADRN Cn1nnc(Br)c1C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000812908784 1074000458 /nfs/dbraw/zinc/00/04/58/1074000458.db2.gz QTQSSTYWRYZNAA-UHFFFAOYSA-N 0 0 443.283 -0.050 20 0 IBADRN Cc1cnc(Br)c(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000812962345 1074000830 /nfs/dbraw/zinc/00/08/30/1074000830.db2.gz AHDKMHZROULDCJ-UHFFFAOYSA-N 0 0 435.300 -0.131 20 0 IBADRN Cc1cnc(Br)c(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000812966695 1074000804 /nfs/dbraw/zinc/00/08/04/1074000804.db2.gz KJKUDLFJKNJUDZ-UHFFFAOYSA-N 0 0 448.343 -0.216 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)Nc2ncc(C(F)(F)F)s2)CC1 ZINC000812967068 1074001310 /nfs/dbraw/zinc/00/13/10/1074001310.db2.gz RDGYMGJLQRKMBV-UHFFFAOYSA-N 0 0 429.446 -0.206 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C)cnc1Br ZINC000812967626 1074000885 /nfs/dbraw/zinc/00/08/85/1074000885.db2.gz LUCSQEBMVWCBDE-LLVKDONJSA-N 0 0 426.271 -0.056 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)Nc1cc(C)cnc1Br ZINC000812967627 1074001412 /nfs/dbraw/zinc/00/14/12/1074001412.db2.gz LUCSQEBMVWCBDE-NSHDSACASA-N 0 0 426.271 -0.056 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(C)cnc2Br)CC1 ZINC000812970572 1074001367 /nfs/dbraw/zinc/00/13/67/1074001367.db2.gz NBXXDJJAYZXCLR-UHFFFAOYSA-N 0 0 442.314 -0.002 20 0 IBADRN Cc1cnc(Br)c(NC(=O)C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000812970987 1074001216 /nfs/dbraw/zinc/00/12/16/1074001216.db2.gz VKIRDBRRBPHMBF-UHFFFAOYSA-N 0 0 437.258 -0.551 20 0 IBADRN Cc1cnc(Br)c(NC(=O)C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC000812973246 1074001457 /nfs/dbraw/zinc/00/14/57/1074001457.db2.gz XDPNUOOUMBIQRD-UHFFFAOYSA-N 0 0 434.316 -0.476 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCS(=O)(=O)CC3CC3)c2)nn1 ZINC000812974336 1074001299 /nfs/dbraw/zinc/00/12/99/1074001299.db2.gz YKKVPWOMUHGDHP-UHFFFAOYSA-N 0 0 435.462 -0.067 20 0 IBADRN CCC1N=NC(=S)N1CCNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000812977290 1074001805 /nfs/dbraw/zinc/00/18/05/1074001805.db2.gz FBYAFMVBBPFFRA-UHFFFAOYSA-N 0 0 431.522 -0.184 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)NCCN3C(=S)N=NC3C)c2)nn1 ZINC000812977527 1074001320 /nfs/dbraw/zinc/00/13/20/1074001320.db2.gz JNCXOYKCOJXXNH-UHFFFAOYSA-N 0 0 430.450 -0.003 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c2)nn1 ZINC000812977556 1074001333 /nfs/dbraw/zinc/00/13/33/1074001333.db2.gz KHYBRVPBSGBJKA-UHFFFAOYSA-N 0 0 440.424 -0.583 20 0 IBADRN O=C(NC[C@H]1COC2(CCOCC2)O1)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000812977884 1074001387 /nfs/dbraw/zinc/00/13/87/1074001387.db2.gz VJLJHGYNTIFRGQ-KRWDZBQOSA-N 0 0 432.477 -0.405 20 0 IBADRN O=C(NC[C@@H]1COC2(CCOCC2)O1)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000812977885 1074001466 /nfs/dbraw/zinc/00/14/66/1074001466.db2.gz VJLJHGYNTIFRGQ-QGZVFWFLSA-N 0 0 432.477 -0.405 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)N3CCN(CCS(N)(=O)=O)CC3)c2)nn1 ZINC000812981035 1074001723 /nfs/dbraw/zinc/00/17/23/1074001723.db2.gz JFRCQPQLZAGOOZ-UHFFFAOYSA-N 0 0 437.482 -0.508 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)NCCS(=O)(=O)N3CCOCC3)c2)nn1 ZINC000812982094 1074001990 /nfs/dbraw/zinc/00/19/90/1074001990.db2.gz PEIYOFQCOFDJNP-UHFFFAOYSA-N 0 0 438.466 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N1CCOc2ccc(NC(=O)C(=O)NCC(=O)NCCO)cc2C1 ZINC000812985207 1074001789 /nfs/dbraw/zinc/00/17/89/1074001789.db2.gz MAFYAQFPHORCRT-UHFFFAOYSA-N 0 0 436.465 -0.021 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)C(=O)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC000812985261 1074001823 /nfs/dbraw/zinc/00/18/23/1074001823.db2.gz NXJJDEVKTBEIRB-UHFFFAOYSA-N 0 0 431.522 -0.184 20 0 IBADRN COC(=O)c1cn(-c2cccc(NC(=O)C(=O)N3CC[C@@H]4NC(=O)OC[C@H]4C3)c2)nn1 ZINC000812992397 1074001817 /nfs/dbraw/zinc/00/18/17/1074001817.db2.gz FJHLMZAWZASFPP-RISCZKNCSA-N 0 0 428.405 -0.051 20 0 IBADRN Cn1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C2CC2)n1 ZINC000812996570 1074001820 /nfs/dbraw/zinc/00/18/20/1074001820.db2.gz NMAPSMFSPSXNOP-CYBMUJFWSA-N 0 0 431.540 -0.036 20 0 IBADRN Cn1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C2CC2)n1 ZINC000812996571 1074001674 /nfs/dbraw/zinc/00/16/74/1074001674.db2.gz NMAPSMFSPSXNOP-ZDUSSCGKSA-N 0 0 431.540 -0.036 20 0 IBADRN Cn1cc(NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)nn1 ZINC000813008167 1074001767 /nfs/dbraw/zinc/00/17/67/1074001767.db2.gz WZQCJYWHHHYGLM-UHFFFAOYSA-N 0 0 429.484 -0.999 20 0 IBADRN Cn1cc(NC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)nn1 ZINC000813008540 1074001783 /nfs/dbraw/zinc/00/17/83/1074001783.db2.gz MMBTZWNCCDTSRD-UHFFFAOYSA-N 0 0 429.503 -0.086 20 0 IBADRN Cn1cc(NC(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)nn1 ZINC000813011459 1074001758 /nfs/dbraw/zinc/00/17/58/1074001758.db2.gz IJWJQXOIZFHUIK-UHFFFAOYSA-N 0 0 432.484 -0.259 20 0 IBADRN Cn1cc(NC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)c(=O)n(C)c1=O ZINC000813012961 1074002034 /nfs/dbraw/zinc/00/20/34/1074002034.db2.gz DOZJIYBQROKZDQ-BJIFSAINSA-N 0 0 425.445 -0.174 20 0 IBADRN Cn1cc(NC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)c(=O)n(C)c1=O ZINC000813012962 1074002103 /nfs/dbraw/zinc/00/21/03/1074002103.db2.gz DOZJIYBQROKZDQ-BSDKJSHOSA-N 0 0 425.445 -0.174 20 0 IBADRN Cn1cc(NC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)c(=O)n(C)c1=O ZINC000813012963 1074002506 /nfs/dbraw/zinc/00/25/06/1074002506.db2.gz DOZJIYBQROKZDQ-JCVNQNCUSA-N 0 0 425.445 -0.174 20 0 IBADRN Cn1cc(NC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)c(=O)n(C)c1=O ZINC000813012964 1074002562 /nfs/dbraw/zinc/00/25/62/1074002562.db2.gz DOZJIYBQROKZDQ-OJXHRBAXSA-N 0 0 425.445 -0.174 20 0 IBADRN Cn1cc(NC(=O)C2(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC000813013338 1074002396 /nfs/dbraw/zinc/00/23/96/1074002396.db2.gz QTAHOFVSXMTIAT-UHFFFAOYSA-N 0 0 444.510 -0.163 20 0 IBADRN CC1(C)[C@@H](O)CCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000813026273 1074002078 /nfs/dbraw/zinc/00/20/78/1074002078.db2.gz RKYXLGMXUIMTAA-AWEZNQCLSA-N 0 0 425.511 -0.527 20 0 IBADRN CC1(C)[C@H](O)CCN1C(=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC000813026274 1074002182 /nfs/dbraw/zinc/00/21/82/1074002182.db2.gz RKYXLGMXUIMTAA-CQSZACIVSA-N 0 0 425.511 -0.527 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)N2CCC(C(=O)N(C)OC)CC2)ccc1F ZINC000813027652 1074002092 /nfs/dbraw/zinc/00/20/92/1074002092.db2.gz UVRZYFYTAFRCLP-UHFFFAOYSA-N 0 0 430.458 -0.069 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)C(=O)Nc2cccc(CN3CCNC(=O)C3)c2)CC1 ZINC000813027809 1074002153 /nfs/dbraw/zinc/00/21/53/1074002153.db2.gz AKDXKWATVIUHCX-UHFFFAOYSA-N 0 0 431.493 -0.185 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)C(=O)Nc2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000813028389 1074002056 /nfs/dbraw/zinc/00/20/56/1074002056.db2.gz QDLQBFHDDZURAA-UHFFFAOYSA-N 0 0 431.449 -0.065 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C(=O)N2CCC(C(=O)N(C)OC)CC2)cc1 ZINC000813028424 1074001964 /nfs/dbraw/zinc/00/19/64/1074001964.db2.gz RUVPSTIQROOUCJ-UHFFFAOYSA-N 0 0 448.476 -0.285 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)C(=O)N1CCC(C(=O)N(C)OC)CC1)CC2 ZINC000813028601 1074002067 /nfs/dbraw/zinc/00/20/67/1074002067.db2.gz VPDFXEPZTBESGJ-UHFFFAOYSA-N 0 0 438.506 -0.258 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)N2CCC(C(=O)N(C)OC)CC2)cc1 ZINC000813028818 1074002020 /nfs/dbraw/zinc/00/20/20/1074002020.db2.gz RTOFCGINPLYLRE-UHFFFAOYSA-N 0 0 434.449 -0.214 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)C(=O)Nc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000813028938 1074002122 /nfs/dbraw/zinc/00/21/22/1074002122.db2.gz XWBUMBIKLJMWRL-UHFFFAOYSA-N 0 0 431.449 -0.065 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)C(=O)Nc2ccc(CNS(C)(=O)=O)cc2)CC1 ZINC000813028955 1074001976 /nfs/dbraw/zinc/00/19/76/1074001976.db2.gz YOONMMPIWCELTC-UHFFFAOYSA-N 0 0 426.495 -0.067 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N[C@@H]2CC(=O)N(c3ccccc3F)C2)n1 ZINC000813035785 1074002133 /nfs/dbraw/zinc/00/21/33/1074002133.db2.gz XVZNPCOZFPNLQJ-GFCCVEGCSA-N 0 0 437.453 -0.073 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N[C@H]2CC(=O)N(c3ccccc3F)C2)n1 ZINC000813035788 1074002110 /nfs/dbraw/zinc/00/21/10/1074002110.db2.gz XVZNPCOZFPNLQJ-LBPRGKRZSA-N 0 0 437.453 -0.073 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC000813055984 1074002517 /nfs/dbraw/zinc/00/25/17/1074002517.db2.gz FWHXUDMEAAUPTQ-UHFFFAOYSA-N 0 0 433.513 -0.043 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCn3cnc(Br)n3)c2c(=O)n(C)c1=O ZINC000813208088 1074002846 /nfs/dbraw/zinc/00/28/46/1074002846.db2.gz ACCLBPONDHEDJC-UHFFFAOYSA-N 0 0 426.231 -0.579 20 0 IBADRN O=C(OCCn1cnc(Br)n1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000813210994 1074003006 /nfs/dbraw/zinc/00/30/06/1074003006.db2.gz YWNSEAOTMHGQKH-SECBINFHSA-N 0 0 434.272 -0.251 20 0 IBADRN O=C(OCCn1cnc(Br)n1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000813210997 1074002947 /nfs/dbraw/zinc/00/29/47/1074002947.db2.gz YWNSEAOTMHGQKH-VIFPVBQESA-N 0 0 434.272 -0.251 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC000813235630 1074003042 /nfs/dbraw/zinc/00/30/42/1074003042.db2.gz TZABSDUQPQJKKK-DBWCEAHUSA-N 0 0 434.541 -0.197 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC000813235631 1074002905 /nfs/dbraw/zinc/00/29/05/1074002905.db2.gz TZABSDUQPQJKKK-FAGJFJLWSA-N 0 0 434.541 -0.197 20 0 IBADRN COC(=O)c1cc(C(C)(C)NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)no1 ZINC000813277732 1074003253 /nfs/dbraw/zinc/00/32/53/1074003253.db2.gz OBKPVGLFZZISRF-JTQLQIEISA-N 0 0 426.451 -0.022 20 0 IBADRN COC(=O)c1cc(C(C)(C)NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)no1 ZINC000813277733 1074003242 /nfs/dbraw/zinc/00/32/42/1074003242.db2.gz OBKPVGLFZZISRF-SNVBAGLBSA-N 0 0 426.451 -0.022 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C1(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC000813306707 1074003192 /nfs/dbraw/zinc/00/31/92/1074003192.db2.gz BRNBBWMBWYKDQI-UHFFFAOYSA-N 0 0 441.572 -0.192 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC000813307160 1074003264 /nfs/dbraw/zinc/00/32/64/1074003264.db2.gz KEVYLNYXMOBOMC-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN COC(=O)c1ccc(S(C)(=O)=O)cc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000813380898 1074003736 /nfs/dbraw/zinc/00/37/36/1074003736.db2.gz QGZGYSSXJZGXJE-UHFFFAOYSA-N 0 0 449.445 -0.738 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(C(=O)N=S(C)(C)=O)cc3)c2c(=O)n(C)c1=O ZINC000813383071 1074003633 /nfs/dbraw/zinc/00/36/33/1074003633.db2.gz MPYMEEKPMQHUEZ-UHFFFAOYSA-N 0 0 432.462 -0.060 20 0 IBADRN CCOC(=O)Nc1scnc1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000813387948 1074003606 /nfs/dbraw/zinc/00/36/06/1074003606.db2.gz BOKVLVRFEYGCKM-UHFFFAOYSA-N 0 0 425.467 -0.145 20 0 IBADRN CCOC(=O)Nc1scnc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000813407795 1074003661 /nfs/dbraw/zinc/00/36/61/1074003661.db2.gz MEUSOSZIARXQDZ-UHFFFAOYSA-N 0 0 446.555 -0.039 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000813411872 1074003710 /nfs/dbraw/zinc/00/37/10/1074003710.db2.gz FNGZDPJYLQRMPL-CHWSQXEVSA-N 0 0 436.531 -0.135 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCC[C@H](CNS(N)(=O)=O)C1 ZINC000813411873 1074003538 /nfs/dbraw/zinc/00/35/38/1074003538.db2.gz FNGZDPJYLQRMPL-OLZOCXBDSA-N 0 0 436.531 -0.135 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000813411874 1074003700 /nfs/dbraw/zinc/00/37/00/1074003700.db2.gz FNGZDPJYLQRMPL-QWHCGFSZSA-N 0 0 436.531 -0.135 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCC[C@@H](CNS(N)(=O)=O)C1 ZINC000813411875 1074003551 /nfs/dbraw/zinc/00/35/51/1074003551.db2.gz FNGZDPJYLQRMPL-STQMWFEESA-N 0 0 436.531 -0.135 20 0 IBADRN O=C(C[C@@H](O)Cc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000813414815 1074003527 /nfs/dbraw/zinc/00/35/27/1074003527.db2.gz VCZKZDJIQCJFJS-DLBZAZTESA-N 0 0 430.548 -0.359 20 0 IBADRN O=C(C[C@H](O)Cc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000813414816 1074003718 /nfs/dbraw/zinc/00/37/18/1074003718.db2.gz VCZKZDJIQCJFJS-IAGOWNOFSA-N 0 0 430.548 -0.359 20 0 IBADRN O=C(C[C@@H](O)Cc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000813414817 1074003580 /nfs/dbraw/zinc/00/35/80/1074003580.db2.gz VCZKZDJIQCJFJS-IRXDYDNUSA-N 0 0 430.548 -0.359 20 0 IBADRN O=C(C[C@H](O)Cc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000813414818 1074003748 /nfs/dbraw/zinc/00/37/48/1074003748.db2.gz VCZKZDJIQCJFJS-SJORKVTESA-N 0 0 430.548 -0.359 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000813429194 1074004138 /nfs/dbraw/zinc/00/41/38/1074004138.db2.gz CSAIRXVKNIITJA-CABCVRRESA-N 0 0 428.530 -0.001 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000813429201 1074004146 /nfs/dbraw/zinc/00/41/46/1074004146.db2.gz CSAIRXVKNIITJA-GJZGRUSLSA-N 0 0 428.530 -0.001 20 0 IBADRN CCCc1nnc(SCC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1N ZINC000813433491 1074003561 /nfs/dbraw/zinc/00/35/61/1074003561.db2.gz ONWJJOZPQZQTLI-UHFFFAOYSA-N 0 0 433.542 -0.318 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(Br)c(C(=O)N(CC(N)=O)CC(N)=O)c1 ZINC000813436861 1074003790 /nfs/dbraw/zinc/00/37/90/1074003790.db2.gz OFLWTXKQMQEMLM-UHFFFAOYSA-N 0 0 449.327 -0.204 20 0 IBADRN CCCc1nnc(SCC(=O)NCC(=O)Nc2cccc(S(=O)(=O)NC)c2)n1N ZINC000813439944 1074004063 /nfs/dbraw/zinc/00/40/63/1074004063.db2.gz DFNSEUPQESQTMZ-UHFFFAOYSA-N 0 0 441.539 -0.301 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)c1ccc(C(=O)NCCC2N=NC(=S)N2C)nc1 ZINC000813441025 1074004032 /nfs/dbraw/zinc/00/40/32/1074004032.db2.gz SXTHPUVOBAAKCK-UHFFFAOYSA-N 0 0 447.550 -0.139 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1cc(C)cc(C(=O)NCCS(=O)(=O)NCC)c1 ZINC000813446981 1074004123 /nfs/dbraw/zinc/00/41/23/1074004123.db2.gz MSCCDWJJZTXOTM-UHFFFAOYSA-N 0 0 448.567 -0.667 20 0 IBADRN COC[C@]1(C(=O)NCC(=O)NCCN2CCOCC2)CCN(C(=O)OC(C)(C)C)C1 ZINC000813448262 1074004083 /nfs/dbraw/zinc/00/40/83/1074004083.db2.gz DQEDCLIBJIUTFJ-FQEVSTJZSA-N 0 0 428.530 -0.175 20 0 IBADRN COC[C@@]1(C(=O)NCC(=O)NCCN2CCOCC2)CCN(C(=O)OC(C)(C)C)C1 ZINC000813448267 1074004116 /nfs/dbraw/zinc/00/41/16/1074004116.db2.gz DQEDCLIBJIUTFJ-HXUWFJFHSA-N 0 0 428.530 -0.175 20 0 IBADRN CCCc1nnc(SCC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)n1N ZINC000813450522 1074004016 /nfs/dbraw/zinc/00/40/16/1074004016.db2.gz VVBGZAJUNSXOLU-UHFFFAOYSA-N 0 0 425.544 -0.329 20 0 IBADRN CC1=C(C(=O)N2CCN(C(=O)C3=C(C)OCCS3(=O)=O)[C@@H](C)C2)S(=O)(=O)CCO1 ZINC000813454379 1074003992 /nfs/dbraw/zinc/00/39/92/1074003992.db2.gz OFXLSWXHSOLDNH-NSHDSACASA-N 0 0 448.519 -0.601 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)c2ccc(Cl)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000813456247 1074003976 /nfs/dbraw/zinc/00/39/76/1074003976.db2.gz BZTDMOQSLOCJOP-DOTOQJQBSA-N 0 0 429.926 -0.179 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)c2ccc(Cl)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000813456248 1074004102 /nfs/dbraw/zinc/00/41/02/1074004102.db2.gz BZTDMOQSLOCJOP-NVXWUHKLSA-N 0 0 429.926 -0.179 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](O)c2ccc(Cl)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000813456249 1074004152 /nfs/dbraw/zinc/00/41/52/1074004152.db2.gz BZTDMOQSLOCJOP-RDJZCZTQSA-N 0 0 429.926 -0.179 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](O)c2ccc(Cl)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000813456250 1074004039 /nfs/dbraw/zinc/00/40/39/1074004039.db2.gz BZTDMOQSLOCJOP-WBVHZDCISA-N 0 0 429.926 -0.179 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)c2ccc(Cl)cc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000813457822 1074004002 /nfs/dbraw/zinc/00/40/02/1074004002.db2.gz ZGLHAPGAUKWLOD-CXAGYDPISA-N 0 0 447.916 -0.040 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](O)c2ccc(Cl)cc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC000813457823 1074004097 /nfs/dbraw/zinc/00/40/97/1074004097.db2.gz ZGLHAPGAUKWLOD-DYVFJYSZSA-N 0 0 447.916 -0.040 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H](O)c2ccc(Cl)cc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000813457824 1074004024 /nfs/dbraw/zinc/00/40/24/1074004024.db2.gz ZGLHAPGAUKWLOD-GUYCJALGSA-N 0 0 447.916 -0.040 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](O)c2ccc(Cl)cc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC000813457825 1074004131 /nfs/dbraw/zinc/00/41/31/1074004131.db2.gz ZGLHAPGAUKWLOD-SUMWQHHRSA-N 0 0 447.916 -0.040 20 0 IBADRN CCCc1nnc(SCC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)n1N ZINC000813460685 1074004498 /nfs/dbraw/zinc/00/44/98/1074004498.db2.gz MRKYWJCNOBNXHL-UHFFFAOYSA-N 0 0 442.571 -0.261 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@H](C(=O)OCC)C3)cn2)CCO1 ZINC000813461099 1074004071 /nfs/dbraw/zinc/00/40/71/1074004071.db2.gz HLASFVCNWKRJSC-DLBZAZTESA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@@H](C(=O)OCC)C3)nc2)CCO1 ZINC000813461100 1074004108 /nfs/dbraw/zinc/00/41/08/1074004108.db2.gz HLASFVCNWKRJSC-IAGOWNOFSA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@H](C(=O)OCC)C3)nc2)CCO1 ZINC000813461101 1074004469 /nfs/dbraw/zinc/00/44/69/1074004469.db2.gz HLASFVCNWKRJSC-IRXDYDNUSA-N 0 0 449.460 -0.110 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@H](C(=O)OCC)C3)nc2)CCO1 ZINC000813461102 1074004334 /nfs/dbraw/zinc/00/43/34/1074004334.db2.gz HLASFVCNWKRJSC-SJORKVTESA-N 0 0 449.460 -0.110 20 0 IBADRN CCCc1nnc(SCC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1N ZINC000813464877 1074004377 /nfs/dbraw/zinc/00/43/77/1074004377.db2.gz IQRDOFGUGPZEQC-UHFFFAOYSA-N 0 0 429.528 -0.301 20 0 IBADRN CCCc1nnc(SCC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)n1N ZINC000813470144 1074004281 /nfs/dbraw/zinc/00/42/81/1074004281.db2.gz YDBJUMVMGGUGBC-UHFFFAOYSA-N 0 0 434.588 -0.065 20 0 IBADRN Cn1cnc(C(=O)NC[C@@H]2CN(C(=O)OC(C)(C)C)CCN2C(=O)c2ncn(C)n2)n1 ZINC000813471011 1074004269 /nfs/dbraw/zinc/00/42/69/1074004269.db2.gz AMZYHGQZOXCBFA-GFCCVEGCSA-N 0 0 433.473 -0.565 20 0 IBADRN Cn1cnc(C(=O)NC[C@H]2CN(C(=O)OC(C)(C)C)CCN2C(=O)c2ncn(C)n2)n1 ZINC000813471012 1074004309 /nfs/dbraw/zinc/00/43/09/1074004309.db2.gz AMZYHGQZOXCBFA-LBPRGKRZSA-N 0 0 433.473 -0.565 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CN(C(=O)OC(C)(C)C)CCN2C(=O)c2cn(C)nn2)nn1 ZINC000813472202 1074004417 /nfs/dbraw/zinc/00/44/17/1074004417.db2.gz QVGOCIJAXBYXDF-GFCCVEGCSA-N 0 0 433.473 -0.565 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2CN(C(=O)OC(C)(C)C)CCN2C(=O)c2cn(C)nn2)nn1 ZINC000813472203 1074004344 /nfs/dbraw/zinc/00/43/44/1074004344.db2.gz QVGOCIJAXBYXDF-LBPRGKRZSA-N 0 0 433.473 -0.565 20 0 IBADRN CCCc1nnc(SCC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1N ZINC000813488158 1074004427 /nfs/dbraw/zinc/00/44/27/1074004427.db2.gz WFELLXCIYPAWBX-UHFFFAOYSA-N 0 0 442.571 -0.388 20 0 IBADRN CCCc1nnc(SCC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1N ZINC000813512585 1074004365 /nfs/dbraw/zinc/00/43/65/1074004365.db2.gz WGEVCTWAPIERHB-CYBMUJFWSA-N 0 0 432.572 -0.311 20 0 IBADRN CCCc1nnc(SCC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1N ZINC000813512588 1074004477 /nfs/dbraw/zinc/00/44/77/1074004477.db2.gz WGEVCTWAPIERHB-ZDUSSCGKSA-N 0 0 432.572 -0.311 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)Nc1ccc(F)c(F)c1 ZINC000813535015 1074004705 /nfs/dbraw/zinc/00/47/05/1074004705.db2.gz MRSKRCQTVZUIQM-UHFFFAOYSA-N 0 0 439.419 -0.384 20 0 IBADRN CCCc1nnc(SCC(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)n1N ZINC000813536786 1074004805 /nfs/dbraw/zinc/00/48/05/1074004805.db2.gz KEKOGIGLACGZFL-CYBMUJFWSA-N 0 0 434.588 -0.065 20 0 IBADRN CCCc1nnc(SCC(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)n1N ZINC000813536787 1074004825 /nfs/dbraw/zinc/00/48/25/1074004825.db2.gz KEKOGIGLACGZFL-ZDUSSCGKSA-N 0 0 434.588 -0.065 20 0 IBADRN CC[C@]1(C)NC(=O)N(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)C1=O ZINC000813547645 1074004729 /nfs/dbraw/zinc/00/47/29/1074004729.db2.gz RUUMMZTZFXYGQR-HNNXBMFYSA-N 0 0 431.496 -0.586 20 0 IBADRN CC[C@@]1(C)NC(=O)N(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)C1=O ZINC000813547652 1074004843 /nfs/dbraw/zinc/00/48/43/1074004843.db2.gz RUUMMZTZFXYGQR-OAHLLOKOSA-N 0 0 431.496 -0.586 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000813548297 1074004796 /nfs/dbraw/zinc/00/47/96/1074004796.db2.gz BDUDWMKDRXVLAV-KRWDZBQOSA-N 0 0 428.467 -0.551 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000813548298 1074004765 /nfs/dbraw/zinc/00/47/65/1074004765.db2.gz BDUDWMKDRXVLAV-QGZVFWFLSA-N 0 0 428.467 -0.551 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)[C@H]3CCCN3C(=O)CNC(C)=O)C2=O)c1 ZINC000813549826 1074004717 /nfs/dbraw/zinc/00/47/17/1074004717.db2.gz VGRDQNDNJAPIAR-FOIQADDNSA-N 0 0 431.449 -0.380 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)C2=O)c1 ZINC000813549827 1074004755 /nfs/dbraw/zinc/00/47/55/1074004755.db2.gz VGRDQNDNJAPIAR-MGPUTAFESA-N 0 0 431.449 -0.380 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)[C@H]3CCCN3C(=O)CNC(C)=O)C2=O)c1 ZINC000813549828 1074004779 /nfs/dbraw/zinc/00/47/79/1074004779.db2.gz VGRDQNDNJAPIAR-QRWLVFNGSA-N 0 0 431.449 -0.380 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)C2=O)c1 ZINC000813549829 1074005189 /nfs/dbraw/zinc/00/51/89/1074005189.db2.gz VGRDQNDNJAPIAR-YWZLYKJASA-N 0 0 431.449 -0.380 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)c3cnn(C)c3)C2=O)c1 ZINC000813550316 1074004680 /nfs/dbraw/zinc/00/46/80/1074004680.db2.gz XWSGBPPSYYVGPK-KRWDZBQOSA-N 0 0 436.450 -0.795 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)c3cnn(C)c3)C2=O)c1 ZINC000813550317 1074004742 /nfs/dbraw/zinc/00/47/42/1074004742.db2.gz XWSGBPPSYYVGPK-QGZVFWFLSA-N 0 0 436.450 -0.795 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)c3cn(C)cn3)C2=O)c1 ZINC000813550405 1074004695 /nfs/dbraw/zinc/00/46/95/1074004695.db2.gz YJAYMADMRPIZGR-KRWDZBQOSA-N 0 0 436.450 -0.795 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)c3cn(C)cn3)C2=O)c1 ZINC000813550406 1074004786 /nfs/dbraw/zinc/00/47/86/1074004786.db2.gz YJAYMADMRPIZGR-QGZVFWFLSA-N 0 0 436.450 -0.795 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)CCn3cc(S(N)(=O)=O)cn3)C2=O)c1 ZINC000813550918 1074005158 /nfs/dbraw/zinc/00/51/58/1074005158.db2.gz HSBRFWPXNSFSLI-KRWDZBQOSA-N 0 0 436.450 -0.572 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)CCn3cc(S(N)(=O)=O)cn3)C2=O)c1 ZINC000813550919 1074005165 /nfs/dbraw/zinc/00/51/65/1074005165.db2.gz HSBRFWPXNSFSLI-QGZVFWFLSA-N 0 0 436.450 -0.572 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@@](C)(c2cccc(OC)c2)C1=O ZINC000813550992 1074005209 /nfs/dbraw/zinc/00/52/09/1074005209.db2.gz JKLWKOYMWVFZPX-KRWDZBQOSA-N 0 0 428.467 -0.551 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@](C)(c2cccc(OC)c2)C1=O ZINC000813550993 1074005204 /nfs/dbraw/zinc/00/52/04/1074005204.db2.gz JKLWKOYMWVFZPX-QGZVFWFLSA-N 0 0 428.467 -0.551 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)[C@@H](CC(N)=O)NC(=O)CC(C)C)C2=O)c1 ZINC000813551578 1074004388 /nfs/dbraw/zinc/00/43/88/1074004388.db2.gz REJPRDLSZJYLEG-JLTOFOAXSA-N 0 0 433.465 -0.100 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)[C@H](CC(N)=O)NC(=O)CC(C)C)C2=O)c1 ZINC000813551581 1074004489 /nfs/dbraw/zinc/00/44/89/1074004489.db2.gz REJPRDLSZJYLEG-VBKZILBWSA-N 0 0 433.465 -0.100 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)[C@@H](CC(N)=O)NC(=O)CC(C)C)C2=O)c1 ZINC000813551582 1074004458 /nfs/dbraw/zinc/00/44/58/1074004458.db2.gz REJPRDLSZJYLEG-VLIAUNLRSA-N 0 0 433.465 -0.100 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)[C@H](CC(N)=O)NC(=O)CC(C)C)C2=O)c1 ZINC000813551584 1074004508 /nfs/dbraw/zinc/00/45/08/1074004508.db2.gz REJPRDLSZJYLEG-XOBRGWDASA-N 0 0 433.465 -0.100 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000813577874 1074005036 /nfs/dbraw/zinc/00/50/36/1074005036.db2.gz FYHNFKBKUZFUDL-HNNXBMFYSA-N 0 0 432.477 -0.424 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)C1=O ZINC000813577875 1074005111 /nfs/dbraw/zinc/00/51/11/1074005111.db2.gz FYHNFKBKUZFUDL-OAHLLOKOSA-N 0 0 432.477 -0.424 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC000813579349 1074005011 /nfs/dbraw/zinc/00/50/11/1074005011.db2.gz AIUGXCSDPFWZLV-NEPJUHHUSA-N 0 0 439.446 -0.643 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000813579350 1074005022 /nfs/dbraw/zinc/00/50/22/1074005022.db2.gz AIUGXCSDPFWZLV-NWDGAFQWSA-N 0 0 439.446 -0.643 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC000813579351 1074005216 /nfs/dbraw/zinc/00/52/16/1074005216.db2.gz AIUGXCSDPFWZLV-RYUDHWBXSA-N 0 0 439.446 -0.643 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000813579352 1074005047 /nfs/dbraw/zinc/00/50/47/1074005047.db2.gz AIUGXCSDPFWZLV-VXGBXAGGSA-N 0 0 439.446 -0.643 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)NCCS(=O)(=O)N3CCN(c4ccccn4)CC3)C[C@@H]21 ZINC000813580923 1074005072 /nfs/dbraw/zinc/00/50/72/1074005072.db2.gz OXPQAPSPRSYJKI-QLPKVWCKSA-N 0 0 437.522 -0.406 20 0 IBADRN C[C@H](NC(=O)c1cccs1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000813595203 1074005173 /nfs/dbraw/zinc/00/51/73/1074005173.db2.gz RTGPHWGBLBWYRZ-KBPBESRZSA-N 0 0 443.547 -0.259 20 0 IBADRN C[C@@H](NC(=O)c1cccs1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000813595204 1074005129 /nfs/dbraw/zinc/00/51/29/1074005129.db2.gz RTGPHWGBLBWYRZ-KGLIPLIRSA-N 0 0 443.547 -0.259 20 0 IBADRN C[C@H](NC(=O)c1cccs1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000813595205 1074005222 /nfs/dbraw/zinc/00/52/22/1074005222.db2.gz RTGPHWGBLBWYRZ-UONOGXRCSA-N 0 0 443.547 -0.259 20 0 IBADRN C[C@@H](NC(=O)c1cccs1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000813595206 1074005178 /nfs/dbraw/zinc/00/51/78/1074005178.db2.gz RTGPHWGBLBWYRZ-ZIAGYGMSSA-N 0 0 443.547 -0.259 20 0 IBADRN CN(C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000813596796 1074005141 /nfs/dbraw/zinc/00/51/41/1074005141.db2.gz MMYRVKDUMMPFPL-AWEZNQCLSA-N 0 0 447.558 -0.259 20 0 IBADRN CN(C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000813596797 1074005058 /nfs/dbraw/zinc/00/50/58/1074005058.db2.gz MMYRVKDUMMPFPL-CQSZACIVSA-N 0 0 447.558 -0.259 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(c3nc(N4C[C@H]5[C@H](C(=O)OC)[C@H]5C4)nc(N4CCOCC4)n3)C[C@@H]21 ZINC000813621330 1074005556 /nfs/dbraw/zinc/00/55/56/1074005556.db2.gz SSZACSGNPVGXCW-FRMPLNAESA-N 0 0 444.492 -0.581 20 0 IBADRN COCCNc1nc(N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)nc(N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)n1 ZINC000813624726 1074005479 /nfs/dbraw/zinc/00/54/79/1074005479.db2.gz HHTHVVDGEBIUBY-AEZKZLSMSA-N 0 0 432.481 -0.938 20 0 IBADRN C[C@H]1CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000813634884 1074005385 /nfs/dbraw/zinc/00/53/85/1074005385.db2.gz HLGHAVXBNNUWID-OBJOEFQTSA-N 0 0 428.477 -0.132 20 0 IBADRN CC(C)NC(=O)OC1CN(C(=O)CC(O)CC(=O)N2CC(OC(=O)NC(C)C)C2)C1 ZINC000813639359 1074005353 /nfs/dbraw/zinc/00/53/53/1074005353.db2.gz OHPXDNDROVBRLJ-UHFFFAOYSA-N 0 0 428.486 -0.182 20 0 IBADRN CN(C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000813639644 1074005411 /nfs/dbraw/zinc/00/54/11/1074005411.db2.gz HKELRJIOIBZYDP-GFCCVEGCSA-N 0 0 432.515 -0.074 20 0 IBADRN CN(C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000813639645 1074005621 /nfs/dbraw/zinc/00/56/21/1074005621.db2.gz HKELRJIOIBZYDP-LBPRGKRZSA-N 0 0 432.515 -0.074 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)CCCCC1 ZINC000813643609 1074005599 /nfs/dbraw/zinc/00/55/99/1074005599.db2.gz AUQIJVDEKONZKF-UHFFFAOYSA-N 0 0 431.555 -0.084 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(C)cc1F ZINC000813651322 1074005549 /nfs/dbraw/zinc/00/55/49/1074005549.db2.gz PMXSEOMDCFYVJH-LLVKDONJSA-N 0 0 436.483 -0.108 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(C)cc1F ZINC000813651323 1074005466 /nfs/dbraw/zinc/00/54/66/1074005466.db2.gz PMXSEOMDCFYVJH-NSHDSACASA-N 0 0 436.483 -0.108 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c(C)cc1F ZINC000813652139 1074005439 /nfs/dbraw/zinc/00/54/39/1074005439.db2.gz ZOVCQTZMQGUGIK-LLVKDONJSA-N 0 0 436.483 -0.155 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c(C)cc1F ZINC000813652140 1074005425 /nfs/dbraw/zinc/00/54/25/1074005425.db2.gz ZOVCQTZMQGUGIK-NSHDSACASA-N 0 0 436.483 -0.155 20 0 IBADRN CCCNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000813652779 1074005871 /nfs/dbraw/zinc/00/58/71/1074005871.db2.gz MFSCKXYRPNKPTO-UHFFFAOYSA-N 0 0 439.581 -0.051 20 0 IBADRN C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CCN1C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000813653060 1074006040 /nfs/dbraw/zinc/00/60/40/1074006040.db2.gz UEOMXOIJNDUGKL-JQWIXIFHSA-N 0 0 429.499 -0.094 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CCCCC1 ZINC000813654159 1074006055 /nfs/dbraw/zinc/00/60/55/1074006055.db2.gz OWJWNRHVADWJNT-UHFFFAOYSA-N 0 0 443.522 -0.267 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)cc1F ZINC000813655534 1074005798 /nfs/dbraw/zinc/00/57/98/1074005798.db2.gz IFNBGICTWISVAE-UHFFFAOYSA-N 0 0 437.515 -0.224 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CCCCC1 ZINC000813657541 1074005929 /nfs/dbraw/zinc/00/59/29/1074005929.db2.gz AJOGKKIXDGZDFM-CABCVRRESA-N 0 0 438.568 -0.473 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CCCCC1 ZINC000813657548 1074005830 /nfs/dbraw/zinc/00/58/30/1074005830.db2.gz AJOGKKIXDGZDFM-GJZGRUSLSA-N 0 0 438.568 -0.473 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CCCCC1 ZINC000813657550 1074005897 /nfs/dbraw/zinc/00/58/97/1074005897.db2.gz AJOGKKIXDGZDFM-HUUCEWRRSA-N 0 0 438.568 -0.473 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CCCCC1 ZINC000813657551 1074005983 /nfs/dbraw/zinc/00/59/83/1074005983.db2.gz AJOGKKIXDGZDFM-LSDHHAIUSA-N 0 0 438.568 -0.473 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC000813658852 1074006008 /nfs/dbraw/zinc/00/60/08/1074006008.db2.gz AGBIKIVNLCKJJY-UHFFFAOYSA-N 0 0 436.508 -0.440 20 0 IBADRN CN(C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000813677856 1074006026 /nfs/dbraw/zinc/00/60/26/1074006026.db2.gz FJJZYTISACGEKR-LLVKDONJSA-N 0 0 436.478 -0.024 20 0 IBADRN CN(C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000813677860 1074005813 /nfs/dbraw/zinc/00/58/13/1074005813.db2.gz FJJZYTISACGEKR-NSHDSACASA-N 0 0 436.478 -0.024 20 0 IBADRN CCCNS(=O)(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000813679067 1074005883 /nfs/dbraw/zinc/00/58/83/1074005883.db2.gz XGKVPAXBLPUUNF-UHFFFAOYSA-N 0 0 426.561 -0.203 20 0 IBADRN CCCNS(=O)(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000813688442 1074005960 /nfs/dbraw/zinc/00/59/60/1074005960.db2.gz UKNAUKZEUYRDHD-UHFFFAOYSA-N 0 0 429.542 -0.375 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)c(F)cc1C ZINC000813690695 1074006034 /nfs/dbraw/zinc/00/60/34/1074006034.db2.gz TVUKDFAFFCLWGT-AWEZNQCLSA-N 0 0 446.453 -0.010 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)c(F)cc1C ZINC000813690698 1074005949 /nfs/dbraw/zinc/00/59/49/1074005949.db2.gz TVUKDFAFFCLWGT-CQSZACIVSA-N 0 0 446.453 -0.010 20 0 IBADRN COC(=O)[C@H](CF)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000813692491 1074006017 /nfs/dbraw/zinc/00/60/17/1074006017.db2.gz YAKWFVGTXYHKHP-AWEZNQCLSA-N 0 0 433.433 -0.081 20 0 IBADRN COC(=O)[C@@H](CF)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000813692494 1074006290 /nfs/dbraw/zinc/00/62/90/1074006290.db2.gz YAKWFVGTXYHKHP-CQSZACIVSA-N 0 0 433.433 -0.081 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)cnc1C ZINC000813697474 1074005917 /nfs/dbraw/zinc/00/59/17/1074005917.db2.gz CNLFMWYDRXZPFE-UHFFFAOYSA-N 0 0 428.467 -0.070 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000813702536 1074006327 /nfs/dbraw/zinc/00/63/27/1074006327.db2.gz CYERGJOHNDLAGF-NSHDSACASA-N 0 0 436.512 -0.775 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2C[C@H]3[C@H](CO)[C@H]3C2)cc1)S(C)(=O)=O ZINC000813702714 1074006387 /nfs/dbraw/zinc/00/63/87/1074006387.db2.gz IOANQJPKULXMHP-ZSHCYNCHSA-N 0 0 447.535 -0.329 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCO[C@H]3C[C@H]32)cc1)S(C)(=O)=O ZINC000813703550 1074006274 /nfs/dbraw/zinc/00/62/74/1074006274.db2.gz ZDERRETYRDNTHE-CABCVRRESA-N 0 0 433.508 -0.026 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CCO[C@@H]3C[C@@H]32)cc1)S(C)(=O)=O ZINC000813703553 1074006355 /nfs/dbraw/zinc/00/63/55/1074006355.db2.gz ZDERRETYRDNTHE-LSDHHAIUSA-N 0 0 433.508 -0.026 20 0 IBADRN CNC(=O)OC[C@H]1CCCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000813728373 1074006261 /nfs/dbraw/zinc/00/62/61/1074006261.db2.gz QSEWTKREVKOBML-LLVKDONJSA-N 0 0 426.451 -0.021 20 0 IBADRN CNC(=O)OC[C@@H]1CCCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000813728392 1074006249 /nfs/dbraw/zinc/00/62/49/1074006249.db2.gz QSEWTKREVKOBML-NSHDSACASA-N 0 0 426.451 -0.021 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](F)C[C@H]1CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000813737402 1074006222 /nfs/dbraw/zinc/00/62/22/1074006222.db2.gz DSVYNSCYIKDTGW-STQMWFEESA-N 0 0 444.551 -0.255 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@@H]21 ZINC000813741808 1074006314 /nfs/dbraw/zinc/00/63/14/1074006314.db2.gz MTMUEXMXZYKBKI-ZSHCYNCHSA-N 0 0 430.504 -0.253 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)c3cc(S(=O)(=O)N4C[C@H]5[C@H](C(=O)OC)[C@H]5C4)c[nH]3)C[C@@H]21 ZINC000813741895 1074006241 /nfs/dbraw/zinc/00/62/41/1074006241.db2.gz MPBYEPQSSATOCP-WNZRACMBSA-N 0 0 437.474 -0.455 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](F)C[C@H]1CNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000813813746 1074006341 /nfs/dbraw/zinc/00/63/41/1074006341.db2.gz CPJRDBUFPXCCRO-KBPBESRZSA-N 0 0 440.480 -0.126 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)NC3COCCOC3)C2)CC1 ZINC000813818870 1074006230 /nfs/dbraw/zinc/00/62/30/1074006230.db2.gz MJCFDFDMBBIJIP-UHFFFAOYSA-N 0 0 448.542 -0.322 20 0 IBADRN COc1ccc(CNC(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)cc1S(N)(=O)=O ZINC000813857675 1074006639 /nfs/dbraw/zinc/00/66/39/1074006639.db2.gz LZEONSWKBONCRD-HNNXBMFYSA-N 0 0 426.476 -0.311 20 0 IBADRN COc1ccc(CNC(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)cc1S(N)(=O)=O ZINC000813857736 1074006798 /nfs/dbraw/zinc/00/67/98/1074006798.db2.gz LZEONSWKBONCRD-OAHLLOKOSA-N 0 0 426.476 -0.311 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H]2C[C@@H](C(=O)OC)N(C(=O)OC(C)(C)C)C2)C(=O)C1=O ZINC000813894263 1074006808 /nfs/dbraw/zinc/00/68/08/1074006808.db2.gz GVVLYJNTLJLBQD-OLZOCXBDSA-N 0 0 427.454 -0.229 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC(=O)C2CCN(S(N)(=O)=O)CC2)CN1C(=O)OC(C)(C)C ZINC000813896687 1074006734 /nfs/dbraw/zinc/00/67/34/1074006734.db2.gz MPFAPTNKHYFHQB-OLZOCXBDSA-N 0 0 435.499 -0.004 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](OC(=O)CN2CCN(S(C)(=O)=O)CC2)CN1C(=O)OC(C)(C)C ZINC000813896785 1074006692 /nfs/dbraw/zinc/00/66/92/1074006692.db2.gz FIRQQBVLIMNJCU-KGLIPLIRSA-N 0 0 449.526 -0.342 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H]2C[C@H](C(=O)OC)N(C(=O)OC(C)(C)C)C2)C(=O)C1=O ZINC000813899068 1074006656 /nfs/dbraw/zinc/00/66/56/1074006656.db2.gz GVVLYJNTLJLBQD-CHWSQXEVSA-N 0 0 427.454 -0.229 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC(=O)C2CCN(S(N)(=O)=O)CC2)CN1C(=O)OC(C)(C)C ZINC000813899233 1074006667 /nfs/dbraw/zinc/00/66/67/1074006667.db2.gz MPFAPTNKHYFHQB-CHWSQXEVSA-N 0 0 435.499 -0.004 20 0 IBADRN COC(=O)[C@H]1C[C@@H](OC(=O)CN2CCN(S(C)(=O)=O)CC2)CN1C(=O)OC(C)(C)C ZINC000813900024 1074006604 /nfs/dbraw/zinc/00/66/04/1074006604.db2.gz FIRQQBVLIMNJCU-ZIAGYGMSSA-N 0 0 449.526 -0.342 20 0 IBADRN CC(=O)NCCOCCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000813938981 1074006789 /nfs/dbraw/zinc/00/67/89/1074006789.db2.gz LYLMVACBIGQRBT-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CC(=O)NCCOCCOC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC000813939282 1074006718 /nfs/dbraw/zinc/00/67/18/1074006718.db2.gz XJZWDMGRWBTPAJ-UHFFFAOYSA-N 0 0 427.523 -0.003 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1 ZINC000814059886 1074010549 /nfs/dbraw/zinc/01/05/49/1074010549.db2.gz FANHKEJMJNHNHB-UHFFFAOYSA-N 0 0 438.506 -0.054 20 0 IBADRN COc1ccc(C(=O)NCC(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1OC ZINC000814059928 1074010388 /nfs/dbraw/zinc/01/03/88/1074010388.db2.gz CHQARDRLIVCCSL-UHFFFAOYSA-N 0 0 434.449 -0.360 20 0 IBADRN CN(C)c1c(COC(=O)CCNS(=O)(=O)c2ccccc2F)c(=O)n(C)c(=O)n1C ZINC000814060159 1074010737 /nfs/dbraw/zinc/01/07/37/1074010737.db2.gz FYYWBCBRMLDOTI-UHFFFAOYSA-N 0 0 442.469 -0.299 20 0 IBADRN CN(C)c1c(COC(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)c(=O)n(C)c(=O)n1C ZINC000814061430 1074010800 /nfs/dbraw/zinc/01/08/00/1074010800.db2.gz OYUNOLYLIFNAMT-CABCVRRESA-N 0 0 434.493 -0.366 20 0 IBADRN CN(C)c1c(COC(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)c(=O)n(C)c(=O)n1C ZINC000814061431 1074010794 /nfs/dbraw/zinc/01/07/94/1074010794.db2.gz OYUNOLYLIFNAMT-GJZGRUSLSA-N 0 0 434.493 -0.366 20 0 IBADRN CN(C)c1c(COC(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)c(=O)n(C)c(=O)n1C ZINC000814061432 1074010835 /nfs/dbraw/zinc/01/08/35/1074010835.db2.gz OYUNOLYLIFNAMT-HUUCEWRRSA-N 0 0 434.493 -0.366 20 0 IBADRN CN(C)c1c(COC(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)c(=O)n(C)c(=O)n1C ZINC000814061433 1074010811 /nfs/dbraw/zinc/01/08/11/1074010811.db2.gz OYUNOLYLIFNAMT-LSDHHAIUSA-N 0 0 434.493 -0.366 20 0 IBADRN CN(C)c1c(COC(=O)CCNS(=O)(=O)c2cccs2)c(=O)n(C)c(=O)n1C ZINC000814061964 1074010790 /nfs/dbraw/zinc/01/07/90/1074010790.db2.gz AQRHNQPFMMGKHJ-UHFFFAOYSA-N 0 0 430.508 -0.377 20 0 IBADRN CN(C)c1c(COC(=O)CN2C(=O)c3ccccc3S2(=O)=O)c(=O)n(C)c(=O)n1C ZINC000814062062 1074010757 /nfs/dbraw/zinc/01/07/57/1074010757.db2.gz ASSPEXCOWYXZOX-UHFFFAOYSA-N 0 0 436.446 -0.962 20 0 IBADRN CN(C)c1c(COC(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)c(=O)n(C)c(=O)n1C ZINC000814062356 1074011182 /nfs/dbraw/zinc/01/11/82/1074011182.db2.gz JMZAQHYZSVLBNP-UHFFFAOYSA-N 0 0 438.506 -0.314 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1 ZINC000814062372 1074011204 /nfs/dbraw/zinc/01/12/04/1074011204.db2.gz IHIIQKDREVPEFE-UHFFFAOYSA-N 0 0 438.506 -0.130 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814062379 1074011050 /nfs/dbraw/zinc/01/10/50/1074011050.db2.gz JUTYWZCAOBMFTH-JTQLQIEISA-N 0 0 430.508 -0.378 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814062380 1074011188 /nfs/dbraw/zinc/01/11/88/1074011188.db2.gz JUTYWZCAOBMFTH-SNVBAGLBSA-N 0 0 430.508 -0.378 20 0 IBADRN CN(C)c1c(COC(=O)c2cccc(S(=O)(=O)NC3CC3)c2)c(=O)n(C)c(=O)n1C ZINC000814062386 1074011031 /nfs/dbraw/zinc/01/10/31/1074011031.db2.gz ISIHYLCDBPIMLL-UHFFFAOYSA-N 0 0 436.490 -0.052 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814062417 1074011061 /nfs/dbraw/zinc/01/10/61/1074011061.db2.gz LFLNKJFSPXLLJU-INIZCTEOSA-N 0 0 427.465 -0.028 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccccc1)C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814062418 1074011106 /nfs/dbraw/zinc/01/11/06/1074011106.db2.gz LFLNKJFSPXLLJU-MRXNPFEDSA-N 0 0 427.465 -0.028 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1 ZINC000814062535 1074011118 /nfs/dbraw/zinc/01/11/18/1074011118.db2.gz PRRCSCJXJXFGSR-UHFFFAOYSA-N 0 0 439.490 -0.073 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)c1 ZINC000814062587 1074011194 /nfs/dbraw/zinc/01/11/94/1074011194.db2.gz RWXBMXDHAYMQMJ-UHFFFAOYSA-N 0 0 434.449 -0.360 20 0 IBADRN CN(C)c1c(COC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)c(=O)n(C)c(=O)n1C ZINC000814062612 1074011134 /nfs/dbraw/zinc/01/11/34/1074011134.db2.gz SLESDRAVEVCXAM-YDHLFZDLSA-N 0 0 439.538 -0.081 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814062623 1074011209 /nfs/dbraw/zinc/01/12/09/1074011209.db2.gz SYDGTTZOTISSKT-GFCCVEGCSA-N 0 0 436.556 -0.746 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814062624 1074011214 /nfs/dbraw/zinc/01/12/14/1074011214.db2.gz SYDGTTZOTISSKT-LBPRGKRZSA-N 0 0 436.556 -0.746 20 0 IBADRN CN(C)c1c(COC(=O)c2cccc(CN3C(=O)CNC3=O)c2)c(=O)n(C)c(=O)n1C ZINC000814062632 1074011127 /nfs/dbraw/zinc/01/11/27/1074011127.db2.gz UFWRMFWMCVRYLQ-UHFFFAOYSA-N 0 0 429.433 -0.441 20 0 IBADRN CN(C)c1c(COC(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)c(=O)n(C)c(=O)n1C ZINC000814062646 1074011073 /nfs/dbraw/zinc/01/10/73/1074011073.db2.gz UWDVAJHHZHBHDZ-UHFFFAOYSA-N 0 0 440.478 -0.116 20 0 IBADRN CN(C)c1c(COC(=O)CCNS(=O)(=O)c2ccc(F)cc2)c(=O)n(C)c(=O)n1C ZINC000814062812 1074010766 /nfs/dbraw/zinc/01/07/66/1074010766.db2.gz ZVBQLKYYIYEHAB-UHFFFAOYSA-N 0 0 442.469 -0.299 20 0 IBADRN CN(C)c1c(COC(=O)c2cc(S(=O)(=O)N(C)C)cn2C)c(=O)n(C)c(=O)n1C ZINC000814062837 1074010749 /nfs/dbraw/zinc/01/07/49/1074010749.db2.gz JMLVJJRLCMKSHE-UHFFFAOYSA-N 0 0 427.483 -0.904 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C)C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1 ZINC000814062861 1074010866 /nfs/dbraw/zinc/01/08/66/1074010866.db2.gz ANFRUZRMYJSMAJ-CYBMUJFWSA-N 0 0 438.506 -0.131 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1 ZINC000814062863 1074011148 /nfs/dbraw/zinc/01/11/48/1074011148.db2.gz ANFRUZRMYJSMAJ-ZDUSSCGKSA-N 0 0 438.506 -0.131 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)CC1 ZINC000814062958 1074010784 /nfs/dbraw/zinc/01/07/84/1074010784.db2.gz LVCGSUKWWDOYPJ-UHFFFAOYSA-N 0 0 430.527 -0.309 20 0 IBADRN CN(C)c1c(COC(=O)[C@H]2CN(C(=O)OC(C)(C)C)CCO2)c(=O)n(C)c(=O)n1C ZINC000814063052 1074011165 /nfs/dbraw/zinc/01/11/65/1074011165.db2.gz NSBRKZBPVYCZSI-CYBMUJFWSA-N 0 0 426.470 -0.171 20 0 IBADRN CN(C)c1c(COC(=O)[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)c(=O)n(C)c(=O)n1C ZINC000814063054 1074011509 /nfs/dbraw/zinc/01/15/09/1074011509.db2.gz NSBRKZBPVYCZSI-ZDUSSCGKSA-N 0 0 426.470 -0.171 20 0 IBADRN CN(C)c1c(COC(=O)Cn2cc(Br)ccc2=O)c(=O)n(C)c(=O)n1C ZINC000814063093 1074011479 /nfs/dbraw/zinc/01/14/79/1074011479.db2.gz GSHLEFGKZHSNND-UHFFFAOYSA-N 0 0 427.255 -0.182 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C)C2=O ZINC000814063142 1074011378 /nfs/dbraw/zinc/01/13/78/1074011378.db2.gz HTMHRILROUWGBJ-CHWSQXEVSA-N 0 0 449.508 -0.060 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C)C2=O ZINC000814063145 1074011847 /nfs/dbraw/zinc/01/18/47/1074011847.db2.gz HTMHRILROUWGBJ-NGVSDPCNSA-N 0 0 449.508 -0.060 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C)C2=O ZINC000814063147 1074011926 /nfs/dbraw/zinc/01/19/26/1074011926.db2.gz HTMHRILROUWGBJ-STQMWFEESA-N 0 0 449.508 -0.060 20 0 IBADRN Cc1oc(C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1S(=O)(=O)N(C)C ZINC000814063192 1074011969 /nfs/dbraw/zinc/01/19/69/1074011969.db2.gz QKGYUTRBSXIYMU-UHFFFAOYSA-N 0 0 428.467 -0.341 20 0 IBADRN CN(C)c1c(COC(=O)c2ccc3c(c2)CCN3S(C)(=O)=O)c(=O)n(C)c(=O)n1C ZINC000814063238 1074011522 /nfs/dbraw/zinc/01/15/22/1074011522.db2.gz AQGYVFDDZDFWRU-UHFFFAOYSA-N 0 0 436.490 -0.171 20 0 IBADRN CN(C)c1c(COC(=O)CN2C(=O)N(C)C3(CCCCC3)C2=O)c(=O)n(C)c(=O)n1C ZINC000814063290 1074011421 /nfs/dbraw/zinc/01/14/21/1074011421.db2.gz CNOFVQBHBGMIKM-UHFFFAOYSA-N 0 0 435.481 -0.210 20 0 IBADRN CN(C)c1c(COC(=O)c2cnn(CC(=O)NC3CCCC3)c2)c(=O)n(C)c(=O)n1C ZINC000814063384 1074011543 /nfs/dbraw/zinc/01/15/43/1074011543.db2.gz JZIJOMZSGKSEDP-UHFFFAOYSA-N 0 0 432.481 -0.238 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814063484 1074011434 /nfs/dbraw/zinc/01/14/34/1074011434.db2.gz LVHRXSDXLGUATL-AWEZNQCLSA-N 0 0 430.527 -0.213 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814063485 1074011471 /nfs/dbraw/zinc/01/14/71/1074011471.db2.gz LVHRXSDXLGUATL-CQSZACIVSA-N 0 0 430.527 -0.213 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1 ZINC000814063498 1074011407 /nfs/dbraw/zinc/01/14/07/1074011407.db2.gz SBXKPMZKOHBBFO-UHFFFAOYSA-N 0 0 440.478 -0.311 20 0 IBADRN CN(C)c1c(COC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]32)c(=O)n(C)c(=O)n1C ZINC000814063561 1074011491 /nfs/dbraw/zinc/01/14/91/1074011491.db2.gz SLESDRAVEVCXAM-IPYPFGDCSA-N 0 0 439.538 -0.081 20 0 IBADRN CN(C)c1c(COC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)c(=O)n(C)c(=O)n1C ZINC000814063564 1074011445 /nfs/dbraw/zinc/01/14/45/1074011445.db2.gz SLESDRAVEVCXAM-KCQAQPDRSA-N 0 0 439.538 -0.081 20 0 IBADRN CN(C)c1c(COC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]32)c(=O)n(C)c(=O)n1C ZINC000814063567 1074011551 /nfs/dbraw/zinc/01/15/51/1074011551.db2.gz SLESDRAVEVCXAM-VNHYZAJKSA-N 0 0 439.538 -0.081 20 0 IBADRN CN(C)c1c(COC(=O)CSc2nnnn2CC(F)(F)F)c(=O)n(C)c(=O)n1C ZINC000814063639 1074011579 /nfs/dbraw/zinc/01/15/79/1074011579.db2.gz IXKJGVPDNMNEKZ-UHFFFAOYSA-N 0 0 437.404 -0.466 20 0 IBADRN CN(C)c1c(COC(=O)c2nc(S(C)(=O)=O)n3ccccc23)c(=O)n(C)c(=O)n1C ZINC000814063885 1074011516 /nfs/dbraw/zinc/01/15/16/1074011516.db2.gz WFAJMIALBJPAHN-UHFFFAOYSA-N 0 0 435.462 -0.442 20 0 IBADRN CN(C)c1c(COC(=O)c2cc(C(N)=O)n(-c3ccccc3)n2)c(=O)n(C)c(=O)n1C ZINC000814063893 1074011501 /nfs/dbraw/zinc/01/15/01/1074011501.db2.gz QBSASPFQOBJPDO-UHFFFAOYSA-N 0 0 426.433 -0.208 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C ZINC000814063952 1074011534 /nfs/dbraw/zinc/01/15/34/1074011534.db2.gz RGAMMDGEFNFKHS-UHFFFAOYSA-N 0 0 428.467 -0.341 20 0 IBADRN CN(C)c1c(COC(=O)c2ccc(CCNS(C)(=O)=O)cc2)c(=O)n(C)c(=O)n1C ZINC000814063974 1074011571 /nfs/dbraw/zinc/01/15/71/1074011571.db2.gz MZEJGVYKSKTRAP-UHFFFAOYSA-N 0 0 438.506 -0.401 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)c1 ZINC000814064064 1074011955 /nfs/dbraw/zinc/01/19/55/1074011955.db2.gz SSDXCOZWXTZRDF-UHFFFAOYSA-N 0 0 440.478 -0.311 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)OCc3c(=O)n(C)c(=O)n(C)c3N(C)C)c2C1 ZINC000814064118 1074011871 /nfs/dbraw/zinc/01/18/71/1074011871.db2.gz NXCQBKBCOFQAGA-CYBMUJFWSA-N 0 0 432.481 -0.598 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)OCc3c(=O)n(C)c(=O)n(C)c3N(C)C)c2C1 ZINC000814064120 1074011759 /nfs/dbraw/zinc/01/17/59/1074011759.db2.gz NXCQBKBCOFQAGA-ZDUSSCGKSA-N 0 0 432.481 -0.598 20 0 IBADRN CN(C)c1c(COC(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)c(=O)n(C)c(=O)n1C ZINC000814064470 1074011808 /nfs/dbraw/zinc/01/18/08/1074011808.db2.gz WPZVAPBGSQXMID-HNNXBMFYSA-N 0 0 428.449 -0.687 20 0 IBADRN CN(C)c1c(COC(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)c(=O)n(C)c(=O)n1C ZINC000814064471 1074011734 /nfs/dbraw/zinc/01/17/34/1074011734.db2.gz WPZVAPBGSQXMID-OAHLLOKOSA-N 0 0 428.449 -0.687 20 0 IBADRN CNC(=O)COc1ccc(C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1OC ZINC000814064547 1074011881 /nfs/dbraw/zinc/01/18/81/1074011881.db2.gz ZDKXBDWXEDBWQD-UHFFFAOYSA-N 0 0 434.449 -0.360 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C)C2=O ZINC000814064554 1074011941 /nfs/dbraw/zinc/01/19/41/1074011941.db2.gz ZNIHIOICVBAZHE-FKIZINRSSA-N 0 0 435.481 -0.306 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C)C2=O ZINC000814064555 1074011795 /nfs/dbraw/zinc/01/17/95/1074011795.db2.gz ZNIHIOICVBAZHE-MPBGBICISA-N 0 0 435.481 -0.306 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C)C2=O ZINC000814064556 1074011823 /nfs/dbraw/zinc/01/18/23/1074011823.db2.gz ZNIHIOICVBAZHE-ODXCJYRJSA-N 0 0 435.481 -0.306 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C)C2=O ZINC000814064557 1074011783 /nfs/dbraw/zinc/01/17/83/1074011783.db2.gz ZNIHIOICVBAZHE-YUNKPMOVSA-N 0 0 435.481 -0.306 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)ccc1OC ZINC000814065040 1074011857 /nfs/dbraw/zinc/01/18/57/1074011857.db2.gz QMMBMRFOSXIBMZ-UHFFFAOYSA-N 0 0 440.478 -0.576 20 0 IBADRN CN(C)c1c(COC(=O)CCn2c3ccccc3c(=O)[nH]c2=O)c(=O)n(C)c(=O)n1C ZINC000814065373 1074012203 /nfs/dbraw/zinc/01/22/03/1074012203.db2.gz BUFAYZFIUGUIJW-UHFFFAOYSA-N 0 0 429.433 -0.301 20 0 IBADRN CN(C)c1c(COC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)c(=O)n(C)c(=O)n1C ZINC000814065391 1074012271 /nfs/dbraw/zinc/01/22/71/1074012271.db2.gz CNQIAXDAQXDUCX-HNNXBMFYSA-N 0 0 446.439 -0.548 20 0 IBADRN CN(C)c1c(COC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)c(=O)n(C)c(=O)n1C ZINC000814065392 1074012190 /nfs/dbraw/zinc/01/21/90/1074012190.db2.gz CNQIAXDAQXDUCX-OAHLLOKOSA-N 0 0 446.439 -0.548 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1 ZINC000814065491 1074012259 /nfs/dbraw/zinc/01/22/59/1074012259.db2.gz GRCJLSFDLKERBE-UHFFFAOYSA-N 0 0 438.506 -0.266 20 0 IBADRN CN(C)c1c(COC(=O)c2c(I)cnn2C)c(=O)n(C)c(=O)n1C ZINC000814065500 1074012178 /nfs/dbraw/zinc/01/21/78/1074012178.db2.gz GZITTXIHAJMYJM-UHFFFAOYSA-N 0 0 447.233 -0.155 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)cc1 ZINC000814065518 1074012248 /nfs/dbraw/zinc/01/22/48/1074012248.db2.gz HXZHIFADYMXZDG-UHFFFAOYSA-N 0 0 438.506 -0.266 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)c1=O ZINC000814065598 1074012293 /nfs/dbraw/zinc/01/22/93/1074012293.db2.gz KJOGHJHBGZTKBI-UHFFFAOYSA-N 0 0 443.460 -0.610 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)OCc1c(=O)n(C)c(=O)n(C)c1N(C)C)C2=O ZINC000814065613 1074012101 /nfs/dbraw/zinc/01/21/01/1074012101.db2.gz LMADRYLJFYDUMB-UHFFFAOYSA-N 0 0 435.481 -0.306 20 0 IBADRN CN(C)c1c(COC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)c(=O)n(C)c(=O)n1C ZINC000814065685 1074012160 /nfs/dbraw/zinc/01/21/60/1074012160.db2.gz OGZOVIKJMIRRFC-UHFFFAOYSA-N 0 0 449.489 -0.726 20 0 IBADRN CN(C)c1c(COC(=O)c2ccc(S(=O)(=O)N(C)C)nc2)c(=O)n(C)c(=O)n1C ZINC000814065723 1074011890 /nfs/dbraw/zinc/01/18/90/1074011890.db2.gz QDHTWQOLFGOFDZ-UHFFFAOYSA-N 0 0 425.467 -0.848 20 0 IBADRN CN(C)c1c(COC(=O)CCC(=O)N2CC(=O)Nc3ccccc32)c(=O)n(C)c(=O)n1C ZINC000814065766 1074011901 /nfs/dbraw/zinc/01/19/01/1074011901.db2.gz RSCGFEGMXAPDCM-UHFFFAOYSA-N 0 0 443.460 -0.041 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)no1 ZINC000814065785 1074012140 /nfs/dbraw/zinc/01/21/40/1074012140.db2.gz SMCGWODKKKLNMU-UHFFFAOYSA-N 0 0 425.467 -0.138 20 0 IBADRN CN(C)c1c(COC(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)c(=O)n(C)c(=O)n1C ZINC000814065789 1074012214 /nfs/dbraw/zinc/01/22/14/1074012214.db2.gz SRUAYKXWSBWTLE-UHFFFAOYSA-N 0 0 436.490 -0.052 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCc2c(=O)n(C)c(=O)n(C)c2N(C)C)c1 ZINC000814066385 1074012541 /nfs/dbraw/zinc/01/25/41/1074012541.db2.gz HGYQUWNZOVGXDM-UHFFFAOYSA-N 0 0 427.483 -0.478 20 0 IBADRN CN(C)c1c(COC(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)c(=O)n(C)c(=O)n1C ZINC000814066581 1074012523 /nfs/dbraw/zinc/01/25/23/1074012523.db2.gz KUSGAIJERDZQCM-UHFFFAOYSA-N 0 0 442.432 -0.348 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)OCc3c(=O)n(C)c(=O)n(C)c3N(C)C)ccc2c1=O ZINC000814066651 1074012582 /nfs/dbraw/zinc/01/25/82/1074012582.db2.gz NAKPDZVNYGECTN-UHFFFAOYSA-N 0 0 429.433 -0.058 20 0 IBADRN CN(C)c1c(COC(=O)COC2CN(C(=O)OC(C)(C)C)C2)c(=O)n(C)c(=O)n1C ZINC000814066675 1074012573 /nfs/dbraw/zinc/01/25/73/1074012573.db2.gz NNZFFBRVLZMTBS-UHFFFAOYSA-N 0 0 426.470 -0.171 20 0 IBADRN CN(C)c1c(COC(=O)c2sccc2S(=O)(=O)N(C)C)c(=O)n(C)c(=O)n1C ZINC000814067019 1074012433 /nfs/dbraw/zinc/01/24/33/1074012433.db2.gz UFPSZEXCHQOFTC-UHFFFAOYSA-N 0 0 430.508 -0.181 20 0 IBADRN CC(C)(C)OC(=O)N1[C@@H]2C[C@@H]2C[C@H]1C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000814100745 1074012458 /nfs/dbraw/zinc/01/24/58/1074012458.db2.gz UOJGBOLKRQRGSH-OAGGEKHMSA-N 0 0 436.509 -0.086 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2c3ccccc3OC(F)(F)C2=O)CC1 ZINC000814103092 1074012548 /nfs/dbraw/zinc/01/25/48/1074012548.db2.gz WHXQKCZGXNZJKD-UHFFFAOYSA-N 0 0 426.420 -0.088 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CN2c3ccccc3OC(F)(F)C2=O)CC1 ZINC000814109458 1074012444 /nfs/dbraw/zinc/01/24/44/1074012444.db2.gz SDEVPVAMTSLDLP-UHFFFAOYSA-N 0 0 432.449 -0.302 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)[C@H]2C1 ZINC000814110830 1074012593 /nfs/dbraw/zinc/01/25/93/1074012593.db2.gz GJYOFLSSRGJIJI-UOIKSKOESA-N 0 0 434.493 -0.081 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1C[C@H]3C[C@H]3N1C(=O)OC(C)(C)C)C2 ZINC000814126327 1074012534 /nfs/dbraw/zinc/01/25/34/1074012534.db2.gz IQYDARVQPLBCCP-MCIONIFRSA-N 0 0 434.497 -0.127 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]31)C2 ZINC000814126480 1074012504 /nfs/dbraw/zinc/01/25/04/1074012504.db2.gz NOXUGHWGEGYFKC-VIKVFOODSA-N 0 0 434.497 -0.412 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnnn2Cc2ccccc2)CC1 ZINC000814168538 1074012911 /nfs/dbraw/zinc/01/29/11/1074012911.db2.gz OGJLTIMWEHRORC-GOSISDBHSA-N 0 0 439.563 -0.039 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnnn2Cc2ccccc2)CC1 ZINC000814168539 1074012872 /nfs/dbraw/zinc/01/28/72/1074012872.db2.gz OGJLTIMWEHRORC-SFHVURJKSA-N 0 0 439.563 -0.039 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)Nc2ccc(C(=O)NCCC(N)=O)cc2)CC1 ZINC000814214106 1074012805 /nfs/dbraw/zinc/01/28/05/1074012805.db2.gz RTLGVEVDTGOTJQ-UHFFFAOYSA-N 0 0 427.483 -0.277 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)CN1C(=O)C[C@H](Cc2cnn(C)c2)C1=O ZINC000814228735 1074012893 /nfs/dbraw/zinc/01/28/93/1074012893.db2.gz JGWHWFMCPZACKK-HNNXBMFYSA-N 0 0 435.506 -0.047 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)CN1C(=O)C[C@@H](Cc2cnn(C)c2)C1=O ZINC000814228736 1074012836 /nfs/dbraw/zinc/01/28/36/1074012836.db2.gz JGWHWFMCPZACKK-OAHLLOKOSA-N 0 0 435.506 -0.047 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(c2ncnc3c2ncn3C2CC2)CC1 ZINC000814258867 1074012916 /nfs/dbraw/zinc/01/29/16/1074012916.db2.gz OKNDSXHFXGFUEY-CYBMUJFWSA-N 0 0 426.524 -0.200 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(c2ncnc3c2ncn3C2CC2)CC1 ZINC000814258868 1074012900 /nfs/dbraw/zinc/01/29/00/1074012900.db2.gz OKNDSXHFXGFUEY-ZDUSSCGKSA-N 0 0 426.524 -0.200 20 0 IBADRN O=S(=O)(CCC1CCOCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000814268118 1074013217 /nfs/dbraw/zinc/01/32/17/1074013217.db2.gz WTFROJFPPQMSRM-HNNXBMFYSA-N 0 0 444.597 -0.733 20 0 IBADRN O=S(=O)(CCC1CCOCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000814268120 1074013137 /nfs/dbraw/zinc/01/31/37/1074013137.db2.gz WTFROJFPPQMSRM-OAHLLOKOSA-N 0 0 444.597 -0.733 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(Cl)nc2C(=O)OC)CC1 ZINC000814274886 1074013614 /nfs/dbraw/zinc/01/36/14/1074013614.db2.gz FPONFKZYGCQOHI-UHFFFAOYSA-N 0 0 426.904 -0.272 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000814274903 1074013484 /nfs/dbraw/zinc/01/34/84/1074013484.db2.gz FYNRTLGLUZOFEF-UHFFFAOYSA-N 0 0 447.507 -0.110 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2nc(C)n(C)c2Br)CC1 ZINC000814275059 1074013653 /nfs/dbraw/zinc/01/36/53/1074013653.db2.gz MMJLZRLMGIXZAH-UHFFFAOYSA-N 0 0 430.350 -0.302 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)C2CN(C(=O)OCc3ccccc3)C2)CC1 ZINC000814275134 1074013566 /nfs/dbraw/zinc/01/35/66/1074013566.db2.gz OSPVNGVSEOWSFR-UHFFFAOYSA-N 0 0 446.551 -0.145 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)CCNC(=O)OCc2ccccc2)CC1 ZINC000814275396 1074013629 /nfs/dbraw/zinc/01/36/29/1074013629.db2.gz VZPHRDCVGOYWOF-UHFFFAOYSA-N 0 0 434.540 -0.239 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCn3c(Br)cnc3C2)CC1 ZINC000814275663 1074013457 /nfs/dbraw/zinc/01/34/57/1074013457.db2.gz DFCXIUIKXDNXNR-UHFFFAOYSA-N 0 0 428.334 -0.717 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)S(=O)(=O)N1CCC2(CC1)OCCO2 ZINC000814283093 1074013496 /nfs/dbraw/zinc/01/34/96/1074013496.db2.gz AWPKAUKTROWKEQ-UHFFFAOYSA-N 0 0 435.524 -0.272 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)C(=O)OCc1ccccc1 ZINC000814285512 1074013639 /nfs/dbraw/zinc/01/36/39/1074013639.db2.gz WEAUGOCKFDDAED-ZBEGNZNMSA-N 0 0 433.442 -0.013 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)C(=O)OCc1ccccc1 ZINC000814286267 1074013515 /nfs/dbraw/zinc/01/35/15/1074013515.db2.gz FCWLZSXQEAZEEV-NHYWBVRUSA-N 0 0 448.457 -0.195 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCc3c(nnn3-c3ccccc3)C2)CC1 ZINC000814294941 1074013473 /nfs/dbraw/zinc/01/34/73/1074013473.db2.gz REVJCTBSFXHPPC-UHFFFAOYSA-N 0 0 433.538 -0.088 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1c2ccccc2OC(F)(F)C1=O ZINC000814306571 1074013607 /nfs/dbraw/zinc/01/36/07/1074013607.db2.gz CMVRURFBUZFFHY-LLVKDONJSA-N 0 0 431.417 -0.234 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1c2ccccc2OC(F)(F)C1=O ZINC000814306572 1074013585 /nfs/dbraw/zinc/01/35/85/1074013585.db2.gz CMVRURFBUZFFHY-NSHDSACASA-N 0 0 431.417 -0.234 20 0 IBADRN O=C(CCNC(=O)CN1c2ccccc2OC(F)(F)C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000814306775 1074013428 /nfs/dbraw/zinc/01/34/28/1074013428.db2.gz JFBRFFIUSOGMKW-LLVKDONJSA-N 0 0 431.417 -0.186 20 0 IBADRN O=C(CCNC(=O)CN1c2ccccc2OC(F)(F)C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000814306776 1074013442 /nfs/dbraw/zinc/01/34/42/1074013442.db2.gz JFBRFFIUSOGMKW-NSHDSACASA-N 0 0 431.417 -0.186 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CCOCC1 ZINC000814319149 1074014038 /nfs/dbraw/zinc/01/40/38/1074014038.db2.gz QJPSKBYTRCUCAT-UHFFFAOYSA-N 0 0 441.531 -0.127 20 0 IBADRN CNC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000814319852 1074013912 /nfs/dbraw/zinc/01/39/12/1074013912.db2.gz BXRKYBJKDUOOFI-UHFFFAOYSA-N 0 0 446.551 -0.449 20 0 IBADRN O=C(c1ccnc(-c2ncc[nH]2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000814323209 1074013959 /nfs/dbraw/zinc/01/39/59/1074013959.db2.gz LWYLWZLJAMSTCY-AWEZNQCLSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(c1ccnc(-c2ncc[nH]2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000814323210 1074014047 /nfs/dbraw/zinc/01/40/47/1074014047.db2.gz LWYLWZLJAMSTCY-CQSZACIVSA-N 0 0 439.519 -0.254 20 0 IBADRN CCn1nc(C)c(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000814323287 1074014513 /nfs/dbraw/zinc/01/45/13/1074014513.db2.gz OTJDAECCKLBQTK-HNNXBMFYSA-N 0 0 432.568 -0.277 20 0 IBADRN CCn1nc(C)c(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000814323288 1074014454 /nfs/dbraw/zinc/01/44/54/1074014454.db2.gz OTJDAECCKLBQTK-OAHLLOKOSA-N 0 0 432.568 -0.277 20 0 IBADRN COc1ccc(NC(C)=C2C(=O)NC(=S)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000814330127 1074013858 /nfs/dbraw/zinc/01/38/58/1074013858.db2.gz AJISZLDCHSUWIV-UHFFFAOYSA-N 0 0 440.503 -0.067 20 0 IBADRN COC(=O)[C@H](F)C(Nc1cccc(S(=O)(=O)N(C)C)c1)=C1C(=O)NC(=S)NC1=O ZINC000814332304 1074013928 /nfs/dbraw/zinc/01/39/28/1074013928.db2.gz NJQYIKNPIDOCMY-LLVKDONJSA-N 0 0 444.466 -0.355 20 0 IBADRN COC(=O)[C@@H](F)C(Nc1cccc(S(=O)(=O)N(C)C)c1)=C1C(=O)NC(=S)NC1=O ZINC000814332305 1074014068 /nfs/dbraw/zinc/01/40/68/1074014068.db2.gz NJQYIKNPIDOCMY-NSHDSACASA-N 0 0 444.466 -0.355 20 0 IBADRN CCC(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)=C1C(=O)NC(=S)NC1=O ZINC000814335119 1074014431 /nfs/dbraw/zinc/01/44/31/1074014431.db2.gz RYCMWYMHBMSAAC-UHFFFAOYSA-N 0 0 438.531 -0.008 20 0 IBADRN COC(=O)[C@H](F)C(Nc1ccc(S(N)(=O)=O)cc1)=C1C(=O)N(C)C(=O)N(C)C1=O ZINC000814336434 1074014414 /nfs/dbraw/zinc/01/44/14/1074014414.db2.gz CBJYRJGESABBTR-LLVKDONJSA-N 0 0 428.398 -0.439 20 0 IBADRN COC(=O)[C@@H](F)C(Nc1ccc(S(N)(=O)=O)cc1)=C1C(=O)N(C)C(=O)N(C)C1=O ZINC000814336435 1074014469 /nfs/dbraw/zinc/01/44/69/1074014469.db2.gz CBJYRJGESABBTR-NSHDSACASA-N 0 0 428.398 -0.439 20 0 IBADRN CCN1C(=O)C(=C(N[C@H]2CCS(=O)(=O)C2)[C@@H](F)C(=O)OC)C(=O)N(CC)C1=S ZINC000814338486 1074014508 /nfs/dbraw/zinc/01/45/08/1074014508.db2.gz NIXPCFYDOUJENW-GXSJLCMTSA-N 0 0 435.499 -0.476 20 0 IBADRN CCN1C(=O)C(=C(N[C@@H]2CCS(=O)(=O)C2)[C@H](F)C(=O)OC)C(=O)N(CC)C1=S ZINC000814338487 1074014337 /nfs/dbraw/zinc/01/43/37/1074014337.db2.gz NIXPCFYDOUJENW-KOLCDFICSA-N 0 0 435.499 -0.476 20 0 IBADRN CCN1C(=O)C(=C(N[C@@H]2CCS(=O)(=O)C2)[C@@H](F)C(=O)OC)C(=O)N(CC)C1=S ZINC000814338488 1074014574 /nfs/dbraw/zinc/01/45/74/1074014574.db2.gz NIXPCFYDOUJENW-MWLCHTKSSA-N 0 0 435.499 -0.476 20 0 IBADRN CCN1C(=O)C(=C(N[C@H]2CCS(=O)(=O)C2)[C@H](F)C(=O)OC)C(=O)N(CC)C1=S ZINC000814338489 1074014462 /nfs/dbraw/zinc/01/44/62/1074014462.db2.gz NIXPCFYDOUJENW-ONGXEEELSA-N 0 0 435.499 -0.476 20 0 IBADRN COC(=O)[C@H](F)C(N[C@H]1CCS(=O)(=O)C1)=C1C(=O)NC(=S)N(CC(C)C)C1=O ZINC000814338597 1074014582 /nfs/dbraw/zinc/01/45/82/1074014582.db2.gz QFZNXTXFGBIVTK-HPEQILSBSA-N 0 0 435.499 -0.572 20 0 IBADRN COC(=O)[C@H](F)C(N[C@@H]1CCS(=O)(=O)C1)=C1C(=O)NC(=S)N(CC(C)C)C1=O ZINC000814338598 1074014483 /nfs/dbraw/zinc/01/44/83/1074014483.db2.gz QFZNXTXFGBIVTK-NNDKVGPZSA-N 0 0 435.499 -0.572 20 0 IBADRN COC(=O)[C@H](F)C(N[C@H]1CCS(=O)(=O)C1)=C1C(=O)NC(=S)N(CC(C)C)C1=O ZINC000814338599 1074014527 /nfs/dbraw/zinc/01/45/27/1074014527.db2.gz QFZNXTXFGBIVTK-QXRIRWRNSA-N 0 0 435.499 -0.572 20 0 IBADRN COC(=O)[C@H](F)C(N[C@@H]1CCS(=O)(=O)C1)=C1C(=O)NC(=S)N(CC(C)C)C1=O ZINC000814338600 1074014540 /nfs/dbraw/zinc/01/45/40/1074014540.db2.gz QFZNXTXFGBIVTK-WVXMQTAASA-N 0 0 435.499 -0.572 20 0 IBADRN COCCN1C(=O)C(=C(Nc2ccccc2C(N)=O)[C@H](F)C(=O)OC)C(=O)NC1=S ZINC000814343567 1074014559 /nfs/dbraw/zinc/01/45/59/1074014559.db2.gz DEIITGLPONXDDH-KLDSGFLGSA-N 0 0 438.437 -0.148 20 0 IBADRN COCCN1C(=O)C(=C(Nc2ccccc2C(N)=O)[C@@H](F)C(=O)OC)C(=O)NC1=S ZINC000814343568 1074014399 /nfs/dbraw/zinc/01/43/99/1074014399.db2.gz DEIITGLPONXDDH-NPRUJURCSA-N 0 0 438.437 -0.148 20 0 IBADRN COCCN1C(=O)C(=C(C)NCCc2ccc(S(N)(=O)=O)cc2)C(=O)NC1=S ZINC000814345656 1074014812 /nfs/dbraw/zinc/01/48/12/1074014812.db2.gz PICXRRCTIRACMQ-KAMYIIQDSA-N 0 0 426.520 -0.370 20 0 IBADRN COCCN1C(=O)C(=C(C)NCCc2ccc(S(N)(=O)=O)cc2)C(=O)NC1=S ZINC000814345666 1074014911 /nfs/dbraw/zinc/01/49/11/1074014911.db2.gz PICXRRCTIRACMQ-SDNWHVSQSA-N 0 0 426.520 -0.370 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C2(S(C)(=O)=O)CCOCC2)CC1 ZINC000814346033 1074014520 /nfs/dbraw/zinc/01/45/20/1074014520.db2.gz IYVGGMSISXXVNB-UHFFFAOYSA-N 0 0 433.527 -0.224 20 0 IBADRN COCCN1C(=O)C(=C(C)Nc2ccc(OC)c(S(N)(=O)=O)c2)C(=O)NC1=S ZINC000814349495 1074014872 /nfs/dbraw/zinc/01/48/72/1074014872.db2.gz UUYUYTJBOAZBMZ-UKTHLTGXSA-N 0 0 428.492 -0.082 20 0 IBADRN CCC(NCc1ccc(S(N)(=O)=O)cc1)=C1C(=O)NC(=S)N(CCOC)C1=O ZINC000814350125 1074014975 /nfs/dbraw/zinc/01/49/75/1074014975.db2.gz KLBROVFXNSLAAE-BUHFOSPRSA-N 0 0 426.520 -0.023 20 0 IBADRN CCC(NCc1ccc(S(N)(=O)=O)cc1)=C1C(=O)NC(=S)N(CCOC)C1=O ZINC000814350130 1074014778 /nfs/dbraw/zinc/01/47/78/1074014778.db2.gz KLBROVFXNSLAAE-YPKPFQOOSA-N 0 0 426.520 -0.023 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](F)C[C@H]1CNC(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC000814350416 1074014843 /nfs/dbraw/zinc/01/48/43/1074014843.db2.gz OKNUBWXDQMWJDB-KBPBESRZSA-N 0 0 437.538 -0.050 20 0 IBADRN COC(=O)[C@H](F)C(NCc1ccc(S(N)(=O)=O)cc1)=C1C(=O)N(C)C(=O)N(C)C1=O ZINC000814351619 1074014838 /nfs/dbraw/zinc/01/48/38/1074014838.db2.gz YOPBBVGTHYVBPU-GFCCVEGCSA-N 0 0 442.425 -0.761 20 0 IBADRN COC(=O)[C@@H](F)C(NCc1ccc(S(N)(=O)=O)cc1)=C1C(=O)N(C)C(=O)N(C)C1=O ZINC000814351622 1074014867 /nfs/dbraw/zinc/01/48/67/1074014867.db2.gz YOPBBVGTHYVBPU-LBPRGKRZSA-N 0 0 442.425 -0.761 20 0 IBADRN COC(=O)c1cc(CNC(=C2C(=O)NC(=S)NC2=O)[C@@H](F)C(=O)OC)ccc1OC ZINC000814361404 1074014932 /nfs/dbraw/zinc/01/49/32/1074014932.db2.gz SCUAAGIQZQSOAS-GFCCVEGCSA-N 0 0 439.421 -0.133 20 0 IBADRN COC(=O)c1cc(CNC(=C2C(=O)NC(=S)NC2=O)[C@H](F)C(=O)OC)ccc1OC ZINC000814361405 1074014953 /nfs/dbraw/zinc/01/49/53/1074014953.db2.gz SCUAAGIQZQSOAS-LBPRGKRZSA-N 0 0 439.421 -0.133 20 0 IBADRN COC(=O)[C@H](F)C(NCCCN1CCOCC1)=C1C(=O)NC(=S)N(CC(C)C)C1=O ZINC000814363988 1074014357 /nfs/dbraw/zinc/01/43/57/1074014357.db2.gz YMALWASDLDBOCX-JNINTMOKSA-N 0 0 444.529 -0.037 20 0 IBADRN COC(=O)[C@@H](F)C(NCCCN1CCOCC1)=C1C(=O)NC(=S)N(CC(C)C)C1=O ZINC000814363989 1074014824 /nfs/dbraw/zinc/01/48/24/1074014824.db2.gz YMALWASDLDBOCX-MRPLLJTBSA-N 0 0 444.529 -0.037 20 0 IBADRN COC(=O)[C@@H](F)C(NCCCN1CCOCC1)=C1C(=O)NC(=S)N(CC(C)C)C1=O ZINC000814363990 1074014854 /nfs/dbraw/zinc/01/48/54/1074014854.db2.gz YMALWASDLDBOCX-RHIYTYFCSA-N 0 0 444.529 -0.037 20 0 IBADRN COC(=O)[C@H](F)C(NCCCN1CCOCC1)=C1C(=O)NC(=S)N(CC(C)C)C1=O ZINC000814363991 1074014891 /nfs/dbraw/zinc/01/48/91/1074014891.db2.gz YMALWASDLDBOCX-SXWSKBOKSA-N 0 0 444.529 -0.037 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c3nonc32)CC1)N1CCOCC1 ZINC000814377879 1074015332 /nfs/dbraw/zinc/01/53/32/1074015332.db2.gz GKLFTBFGTKZPAC-UHFFFAOYSA-N 0 0 443.869 -0.432 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCN(CC(N)=O)CC2)cc1 ZINC000814378400 1074015219 /nfs/dbraw/zinc/01/52/19/1074015219.db2.gz OKPPAHVCONKRDK-UHFFFAOYSA-N 0 0 432.568 -0.151 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc(Cl)c3nonc32)CC1 ZINC000814379668 1074015438 /nfs/dbraw/zinc/01/54/38/1074015438.db2.gz JKBPKVIFEUZPQR-UHFFFAOYSA-N 0 0 441.853 -0.142 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC000814382063 1074015308 /nfs/dbraw/zinc/01/53/08/1074015308.db2.gz JPFJZPPPWQFMRB-UHFFFAOYSA-N 0 0 427.570 -0.162 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(Cl)c2nonc21 ZINC000814383826 1074015382 /nfs/dbraw/zinc/01/53/82/1074015382.db2.gz ZPJMARJDKXHFOH-GHMZBOCLSA-N 0 0 436.899 -0.348 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(Cl)c2nonc21 ZINC000814383827 1074015286 /nfs/dbraw/zinc/01/52/86/1074015286.db2.gz ZPJMARJDKXHFOH-MNOVXSKESA-N 0 0 436.899 -0.348 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(Cl)c2nonc21 ZINC000814383828 1074015461 /nfs/dbraw/zinc/01/54/61/1074015461.db2.gz ZPJMARJDKXHFOH-QWRGUYRKSA-N 0 0 436.899 -0.348 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(Cl)c2nonc21 ZINC000814383829 1074015229 /nfs/dbraw/zinc/01/52/29/1074015229.db2.gz ZPJMARJDKXHFOH-WDEREUQCSA-N 0 0 436.899 -0.348 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)NCCOCCS(C)(=O)=O)cc1 ZINC000814385941 1074015277 /nfs/dbraw/zinc/01/52/77/1074015277.db2.gz CJJQHAMTPALKES-UHFFFAOYSA-N 0 0 442.581 -0.040 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814386623 1074015172 /nfs/dbraw/zinc/01/51/72/1074015172.db2.gz RKFHHCBKHJMKND-UHFFFAOYSA-N 0 0 435.547 -0.150 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(Cl)c2nonc21 ZINC000814390376 1074014768 /nfs/dbraw/zinc/01/47/68/1074014768.db2.gz UOUURECLPXSERX-JTQLQIEISA-N 0 0 432.842 -0.055 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(Cl)c2nonc21 ZINC000814390386 1074015321 /nfs/dbraw/zinc/01/53/21/1074015321.db2.gz UOUURECLPXSERX-SNVBAGLBSA-N 0 0 432.842 -0.055 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc(Cl)c3nonc31)C2 ZINC000814390576 1074014803 /nfs/dbraw/zinc/01/48/03/1074014803.db2.gz FRVPLGPVHXRUGZ-UHFFFAOYSA-N 0 0 441.857 -0.473 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814397470 1074015265 /nfs/dbraw/zinc/01/52/65/1074015265.db2.gz FRGOXSDVSHDFGZ-UHFFFAOYSA-N 0 0 427.545 -0.423 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814397516 1074015425 /nfs/dbraw/zinc/01/54/25/1074015425.db2.gz HXKBUYHPWVAGGD-UHFFFAOYSA-N 0 0 441.572 -0.081 20 0 IBADRN COC(=O)[C@@H](F)C(N[C@@H](C)c1cccc(S(N)(=O)=O)c1)=C1C(=O)NC(=S)NC1=O ZINC000814398102 1074015361 /nfs/dbraw/zinc/01/53/61/1074015361.db2.gz FXSQOEKTRBQWQH-CPCISQLKSA-N 0 0 444.466 -0.719 20 0 IBADRN COC(=O)[C@@H](F)C(N[C@H](C)c1cccc(S(N)(=O)=O)c1)=C1C(=O)NC(=S)NC1=O ZINC000814398103 1074015200 /nfs/dbraw/zinc/01/52/00/1074015200.db2.gz FXSQOEKTRBQWQH-HQJQHLMTSA-N 0 0 444.466 -0.719 20 0 IBADRN COC(=O)[C@H](F)C(N[C@H](C)c1cccc(S(N)(=O)=O)c1)=C1C(=O)NC(=S)NC1=O ZINC000814398104 1074015181 /nfs/dbraw/zinc/01/51/81/1074015181.db2.gz FXSQOEKTRBQWQH-RDDDGLTNSA-N 0 0 444.466 -0.719 20 0 IBADRN COC(=O)[C@H](F)C(N[C@@H](C)c1cccc(S(N)(=O)=O)c1)=C1C(=O)NC(=S)NC1=O ZINC000814398105 1074015404 /nfs/dbraw/zinc/01/54/04/1074015404.db2.gz FXSQOEKTRBQWQH-WRWORJQWSA-N 0 0 444.466 -0.719 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOC3(CN(C(=O)OC(C)(C)C)C3)C2)c(=O)n(C)c1=O ZINC000814399385 1074015449 /nfs/dbraw/zinc/01/54/49/1074015449.db2.gz VVDVRRWGNHWAJU-UHFFFAOYSA-N 0 0 430.483 -0.906 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCc3c(nnn3CCOC)C2)cn1C ZINC000814404170 1074015669 /nfs/dbraw/zinc/01/56/69/1074015669.db2.gz KBZIVOWIOMHXTE-UHFFFAOYSA-N 0 0 425.467 -0.244 20 0 IBADRN COc1cc(COC(=O)C2CC(=O)NC(=O)C2)cc(COC(=O)C2CC(=O)NC(=O)C2)c1 ZINC000814452094 1074015784 /nfs/dbraw/zinc/01/57/84/1074015784.db2.gz BEPSDJINKYPWOO-UHFFFAOYSA-N 0 0 446.412 -0.113 20 0 IBADRN CN(C/C=C\C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)CC(F)(F)F ZINC000814484969 1074015695 /nfs/dbraw/zinc/01/56/95/1074015695.db2.gz RHKLBLUKJCBZSR-ARJAWSKDSA-N 0 0 427.493 -0.327 20 0 IBADRN CN(C/C=C/C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)CC(F)(F)F ZINC000814484970 1074015657 /nfs/dbraw/zinc/01/56/57/1074015657.db2.gz RHKLBLUKJCBZSR-ONEGZZNKSA-N 0 0 427.493 -0.327 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc2c(c1)NC(=O)CNC2=O)[C@H]1CCS(=O)(=O)C1 ZINC000814520800 1074016115 /nfs/dbraw/zinc/01/61/15/1074016115.db2.gz AWIBFQXSXDUQOR-AAEUAGOBSA-N 0 0 437.474 -0.051 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc2c(c1)NC(=O)CNC2=O)[C@@H]1CCS(=O)(=O)C1 ZINC000814520801 1074016042 /nfs/dbraw/zinc/01/60/42/1074016042.db2.gz AWIBFQXSXDUQOR-DGCLKSJQSA-N 0 0 437.474 -0.051 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1ccc2c(c1)NC(=O)CNC2=O)[C@@H]1CCS(=O)(=O)C1 ZINC000814520802 1074015988 /nfs/dbraw/zinc/01/59/88/1074015988.db2.gz AWIBFQXSXDUQOR-WCQYABFASA-N 0 0 437.474 -0.051 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1ccc2c(c1)NC(=O)CNC2=O)[C@H]1CCS(=O)(=O)C1 ZINC000814520803 1074016149 /nfs/dbraw/zinc/01/61/49/1074016149.db2.gz AWIBFQXSXDUQOR-YPMHNXCESA-N 0 0 437.474 -0.051 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc2c(c1)NC(=O)CNC2=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000814520895 1074016083 /nfs/dbraw/zinc/01/60/83/1074016083.db2.gz AVRQVEGGBXSXAG-BLLLJJGKSA-N 0 0 437.474 -0.147 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc2c(c1)NC(=O)CNC2=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000814520896 1074016073 /nfs/dbraw/zinc/01/60/73/1074016073.db2.gz AVRQVEGGBXSXAG-LRDDRELGSA-N 0 0 437.474 -0.147 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc2c(c1)NC(=O)CNC2=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000814520897 1074016050 /nfs/dbraw/zinc/01/60/50/1074016050.db2.gz AVRQVEGGBXSXAG-MLGOLLRUSA-N 0 0 437.474 -0.147 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc2c(c1)NC(=O)CNC2=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000814520898 1074016157 /nfs/dbraw/zinc/01/61/57/1074016157.db2.gz AVRQVEGGBXSXAG-WBMJQRKESA-N 0 0 437.474 -0.147 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1ccc3c(c1)NC(=O)CNC3=O)c(=O)n2C ZINC000814521169 1074016035 /nfs/dbraw/zinc/01/60/35/1074016035.db2.gz IZUCVOHFIHPBHR-UHFFFAOYSA-N 0 0 440.416 -0.637 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)c2ccc3c(c2)NC(=O)CNC3=O)cc1 ZINC000814523558 1074015953 /nfs/dbraw/zinc/01/59/53/1074015953.db2.gz KTFVXQZIXGJWMT-UHFFFAOYSA-N 0 0 440.412 -0.294 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c[nH]1 ZINC000814532057 1074015960 /nfs/dbraw/zinc/01/59/60/1074015960.db2.gz MDWYGHCIOAUKFB-UHFFFAOYSA-N 0 0 427.421 -0.016 20 0 IBADRN CN(C/C=C/C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)CC(F)(F)F ZINC000814545269 1074016431 /nfs/dbraw/zinc/01/64/31/1074016431.db2.gz NHGUDLYRAWFESW-IBUXWKBASA-N 0 0 447.501 -0.302 20 0 IBADRN CN(C/C=C\C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)CC(F)(F)F ZINC000814545275 1074016421 /nfs/dbraw/zinc/01/64/21/1074016421.db2.gz NHGUDLYRAWFESW-XQJDBVBESA-N 0 0 447.501 -0.302 20 0 IBADRN CN(C/C=C/C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)CC(F)(F)F ZINC000814545277 1074016353 /nfs/dbraw/zinc/01/63/53/1074016353.db2.gz NHGUDLYRAWFESW-YWVDXFKGSA-N 0 0 447.501 -0.302 20 0 IBADRN CN(C/C=C\C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)CC(F)(F)F ZINC000814545280 1074016345 /nfs/dbraw/zinc/01/63/45/1074016345.db2.gz NHGUDLYRAWFESW-ZRMMWKCHSA-N 0 0 447.501 -0.302 20 0 IBADRN Cc1c(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)nnn1-c1ccn(C)n1 ZINC000814575917 1074016455 /nfs/dbraw/zinc/01/64/55/1074016455.db2.gz KQNODTHKLPLKQN-UHFFFAOYSA-N 0 0 427.425 -0.697 20 0 IBADRN Cc1c(C(=O)OCCOC(=O)c2nnn(-c3ccn(C)n3)c2C)nnn1-c1ccn(C)n1 ZINC000814576055 1074016389 /nfs/dbraw/zinc/01/63/89/1074016389.db2.gz XGYGODWGPZYBDH-UHFFFAOYSA-N 0 0 440.424 -0.054 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)nnn1-c1ccn(C)n1 ZINC000814576893 1074016656 /nfs/dbraw/zinc/01/66/56/1074016656.db2.gz IOUJPABSCHEEMA-UHFFFAOYSA-N 0 0 446.512 -0.475 20 0 IBADRN Cc1c(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)nnn1-c1ccn(C)n1 ZINC000814577087 1074016367 /nfs/dbraw/zinc/01/63/67/1074016367.db2.gz HXWOAEQOCPPASU-UHFFFAOYSA-N 0 0 441.514 -0.358 20 0 IBADRN Cc1c(C(=O)OCC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)nnn1-c1ccn(C)n1 ZINC000814577142 1074016812 /nfs/dbraw/zinc/01/68/12/1074016812.db2.gz HOMZHRJQZZHIBO-UHFFFAOYSA-N 0 0 444.452 -0.002 20 0 IBADRN Cc1c(C(=O)O[C@@H](C)C(=O)N2CCN(c3ncccn3)CC2)nnn1-c1ccn(C)n1 ZINC000814577179 1074016411 /nfs/dbraw/zinc/01/64/11/1074016411.db2.gz LOKIJFVZPYURIH-AWEZNQCLSA-N 0 0 425.453 -0.007 20 0 IBADRN Cc1c(C(=O)O[C@H](C)C(=O)N2CCN(c3ncccn3)CC2)nnn1-c1ccn(C)n1 ZINC000814577180 1074016405 /nfs/dbraw/zinc/01/64/05/1074016405.db2.gz LOKIJFVZPYURIH-CQSZACIVSA-N 0 0 425.453 -0.007 20 0 IBADRN Cc1c(C(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)nnn1-c1ccn(C)n1 ZINC000814577201 1074016384 /nfs/dbraw/zinc/01/63/84/1074016384.db2.gz MLJFZRQIOIOVPA-UHFFFAOYSA-N 0 0 444.452 -0.552 20 0 IBADRN Cc1c(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)nnn1-c1ccn(C)n1 ZINC000814577418 1074016398 /nfs/dbraw/zinc/01/63/98/1074016398.db2.gz LGMQGHAQMSZMEY-UHFFFAOYSA-N 0 0 427.421 -0.353 20 0 IBADRN Cc1c(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)nnn1-c1ccn(C)n1 ZINC000814577916 1074016727 /nfs/dbraw/zinc/01/67/27/1074016727.db2.gz QECBXEDSVXGMGA-UHFFFAOYSA-N 0 0 445.461 -0.297 20 0 IBADRN Cc1c(C(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)nnn1-c1ccn(C)n1 ZINC000814578211 1074016667 /nfs/dbraw/zinc/01/66/67/1074016667.db2.gz TWRNKFLBJPNONM-UHFFFAOYSA-N 0 0 447.477 -0.528 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(c2ccccc2)C1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000814608043 1074016822 /nfs/dbraw/zinc/01/68/22/1074016822.db2.gz JGABTOUCEIIRCM-DZGCQCFKSA-N 0 0 437.474 -0.014 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(c2ccccc2)C1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000814608045 1074016747 /nfs/dbraw/zinc/01/67/47/1074016747.db2.gz JGABTOUCEIIRCM-HIFRSBDPSA-N 0 0 437.474 -0.014 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(c2ccccc2)C1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000814608047 1074016701 /nfs/dbraw/zinc/01/67/01/1074016701.db2.gz JGABTOUCEIIRCM-UKRRQHHQSA-N 0 0 437.474 -0.014 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(c2ccccc2)C1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000814608049 1074016837 /nfs/dbraw/zinc/01/68/37/1074016837.db2.gz JGABTOUCEIIRCM-ZFWWWQNUSA-N 0 0 437.474 -0.014 20 0 IBADRN CCOC(=O)c1csc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000814626303 1074016781 /nfs/dbraw/zinc/01/67/81/1074016781.db2.gz QOSPTHRTTQQOLV-GFCCVEGCSA-N 0 0 437.565 -0.046 20 0 IBADRN CCOC(=O)c1csc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000814626304 1074016770 /nfs/dbraw/zinc/01/67/70/1074016770.db2.gz QOSPTHRTTQQOLV-LBPRGKRZSA-N 0 0 437.565 -0.046 20 0 IBADRN Cn1cc(Br)c(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000814626801 1074016829 /nfs/dbraw/zinc/01/68/29/1074016829.db2.gz XSNAIDXMAUYBHK-LLVKDONJSA-N 0 0 441.373 -0.183 20 0 IBADRN Cn1cc(Br)c(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000814626804 1074016644 /nfs/dbraw/zinc/01/66/44/1074016644.db2.gz XSNAIDXMAUYBHK-NSHDSACASA-N 0 0 441.373 -0.183 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN(C)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000814648106 1074017421 /nfs/dbraw/zinc/01/74/21/1074017421.db2.gz LSYCWDOVTYWLKJ-UHFFFAOYSA-N 0 0 428.511 -0.055 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814662352 1074017125 /nfs/dbraw/zinc/01/71/25/1074017125.db2.gz FJQDHPWQGOSELL-GFCCVEGCSA-N 0 0 437.497 -0.441 20 0 IBADRN C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000814662353 1074017189 /nfs/dbraw/zinc/01/71/89/1074017189.db2.gz FJQDHPWQGOSELL-LBPRGKRZSA-N 0 0 437.497 -0.441 20 0 IBADRN Cn1c2ncn(CCN3CCOC4(CN(C(=O)OC(C)(C)C)C4)C3)c2c(=O)n(C)c1=O ZINC000814662500 1074017139 /nfs/dbraw/zinc/01/71/39/1074017139.db2.gz IUTJPUUVIQXNQU-UHFFFAOYSA-N 0 0 434.497 -0.245 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)CN2CCOC3(CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC000814662705 1074017400 /nfs/dbraw/zinc/01/74/00/1074017400.db2.gz MCXPDECXRWUMPA-UHFFFAOYSA-N 0 0 439.557 -0.460 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CCO2 ZINC000814664351 1074017349 /nfs/dbraw/zinc/01/73/49/1074017349.db2.gz VGQUSHPGSLIPJJ-CYBMUJFWSA-N 0 0 446.526 -0.679 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CCO2 ZINC000814664352 1074017369 /nfs/dbraw/zinc/01/73/69/1074017369.db2.gz VGQUSHPGSLIPJJ-ZDUSSCGKSA-N 0 0 446.526 -0.679 20 0 IBADRN CN(CCCNC(=O)CN1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1)S(C)(=O)=O ZINC000814665742 1074017454 /nfs/dbraw/zinc/01/74/54/1074017454.db2.gz OVEHFCDXNNFPKF-UHFFFAOYSA-N 0 0 434.559 -0.294 20 0 IBADRN Cn1ncc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1I ZINC000814785633 1074017696 /nfs/dbraw/zinc/01/76/96/1074017696.db2.gz ZZQZNGGQJAXVFC-UHFFFAOYSA-N 0 0 447.277 -0.359 20 0 IBADRN Cn1ncc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1I ZINC000814790622 1074017898 /nfs/dbraw/zinc/01/78/98/1074017898.db2.gz VUGLRIRBGTWMDM-JTQLQIEISA-N 0 0 438.291 -0.030 20 0 IBADRN Cn1ncc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1I ZINC000814790623 1074017887 /nfs/dbraw/zinc/01/78/87/1074017887.db2.gz VUGLRIRBGTWMDM-SNVBAGLBSA-N 0 0 438.291 -0.030 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cnn(C)c2I)CC1 ZINC000814812631 1074017783 /nfs/dbraw/zinc/01/77/83/1074017783.db2.gz GMBCZYJDMJXAKK-UHFFFAOYSA-N 0 0 435.266 -0.455 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2cnn(C)c2I)CC1 ZINC000814833557 1074017865 /nfs/dbraw/zinc/01/78/65/1074017865.db2.gz HILKVLJCGNPULF-UHFFFAOYSA-N 0 0 433.250 -0.166 20 0 IBADRN Cn1ncc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1I ZINC000814852867 1074017752 /nfs/dbraw/zinc/01/77/52/1074017752.db2.gz SAPJTARYXMFPLA-UHFFFAOYSA-N 0 0 447.277 -0.359 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(F)cc1C ZINC000814989150 1074018302 /nfs/dbraw/zinc/01/83/02/1074018302.db2.gz GGZTZOWFTPQCGS-LLVKDONJSA-N 0 0 436.483 -0.108 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(F)cc1C ZINC000814989151 1074018246 /nfs/dbraw/zinc/01/82/46/1074018246.db2.gz GGZTZOWFTPQCGS-NSHDSACASA-N 0 0 436.483 -0.108 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c(F)cc1C ZINC000814989921 1074018212 /nfs/dbraw/zinc/01/82/12/1074018212.db2.gz UWJXADNKAPCUKT-LLVKDONJSA-N 0 0 436.483 -0.155 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c(F)cc1C ZINC000814989924 1074018513 /nfs/dbraw/zinc/01/85/13/1074018513.db2.gz UWJXADNKAPCUKT-NSHDSACASA-N 0 0 436.483 -0.155 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(F)cc1C ZINC000814997043 1074018537 /nfs/dbraw/zinc/01/85/37/1074018537.db2.gz FETKEJUWSSNPTL-UHFFFAOYSA-N 0 0 437.515 -0.224 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)c(C)cc1F ZINC000815030899 1074018596 /nfs/dbraw/zinc/01/85/96/1074018596.db2.gz UHSXRUUFIVUWGY-AWEZNQCLSA-N 0 0 446.453 -0.010 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)c(C)cc1F ZINC000815030900 1074018576 /nfs/dbraw/zinc/01/85/76/1074018576.db2.gz UHSXRUUFIVUWGY-CQSZACIVSA-N 0 0 446.453 -0.010 20 0 IBADRN Cn1ncc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1I ZINC000815037299 1074018617 /nfs/dbraw/zinc/01/86/17/1074018617.db2.gz HNWCWPQVVSTXGE-UHFFFAOYSA-N 0 0 431.234 -0.412 20 0 IBADRN Cn1ncc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1I ZINC000815040651 1074018629 /nfs/dbraw/zinc/01/86/29/1074018629.db2.gz JAZPSOAPUPNBJU-UHFFFAOYSA-N 0 0 445.261 -0.069 20 0 IBADRN CCc1ncnc(CC)c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000815050762 1074018473 /nfs/dbraw/zinc/01/84/73/1074018473.db2.gz HFFDLHGSFPTQFX-CYBMUJFWSA-N 0 0 430.552 -0.124 20 0 IBADRN CCc1ncnc(CC)c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000815050764 1074018613 /nfs/dbraw/zinc/01/86/13/1074018613.db2.gz HFFDLHGSFPTQFX-ZDUSSCGKSA-N 0 0 430.552 -0.124 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC000815050786 1074018600 /nfs/dbraw/zinc/01/86/00/1074018600.db2.gz HTSGHKULSQKPKX-AWEZNQCLSA-N 0 0 445.519 -0.467 20 0 IBADRN CCOC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC000815050788 1074018548 /nfs/dbraw/zinc/01/85/48/1074018548.db2.gz HTSGHKULSQKPKX-CQSZACIVSA-N 0 0 445.519 -0.467 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C ZINC000815051519 1074018554 /nfs/dbraw/zinc/01/85/54/1074018554.db2.gz UCOWVILDNKWTFD-GFCCVEGCSA-N 0 0 433.508 -0.914 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C ZINC000815051520 1074018622 /nfs/dbraw/zinc/01/86/22/1074018622.db2.gz UCOWVILDNKWTFD-LBPRGKRZSA-N 0 0 433.508 -0.914 20 0 IBADRN COC[C@@H](C(N)=O)N(C)S(=O)(=O)c1cc(S(C)(=O)=O)ccc1Br ZINC000815051567 1074018493 /nfs/dbraw/zinc/01/84/93/1074018493.db2.gz UKOGOANVZJXQPL-JTQLQIEISA-N 0 0 429.314 -0.027 20 0 IBADRN Cn1ncc(CCCNC(=O)c2cc(S(=O)(=O)NCCCc3cnn(C)n3)c[nH]2)n1 ZINC000815053301 1074018503 /nfs/dbraw/zinc/01/85/03/1074018503.db2.gz HFSJAVZTZSXKQD-UHFFFAOYSA-N 0 0 435.514 -0.455 20 0 IBADRN Cn1ncc(CCCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000815053328 1074018586 /nfs/dbraw/zinc/01/85/86/1074018586.db2.gz HOQXAIIVLPXTBK-UHFFFAOYSA-N 0 0 429.524 -0.253 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](n3cc(CO)nn3)C2)cn1C ZINC000815064393 1074018865 /nfs/dbraw/zinc/01/88/65/1074018865.db2.gz PUWDLYJKXCAEGF-CYBMUJFWSA-N 0 0 425.467 -0.120 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@H](n3cc(CO)nn3)C2)cn1C ZINC000815064402 1074018884 /nfs/dbraw/zinc/01/88/84/1074018884.db2.gz PUWDLYJKXCAEGF-ZDUSSCGKSA-N 0 0 425.467 -0.120 20 0 IBADRN Cn1ncc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1I ZINC000815097429 1074019268 /nfs/dbraw/zinc/01/92/68/1074019268.db2.gz CYNWBXUERLIOEX-UHFFFAOYSA-N 0 0 441.295 -0.668 20 0 IBADRN Cn1ncc(C(=O)NCCS(=O)(=O)N2CCOCC2)c1I ZINC000815159045 1074019252 /nfs/dbraw/zinc/01/92/52/1074019252.db2.gz GRNKEGJLTLCZCP-UHFFFAOYSA-N 0 0 428.252 -0.584 20 0 IBADRN CC(C)[C@H](NC(=O)COc1ccccc1)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000815159345 1074019304 /nfs/dbraw/zinc/01/93/04/1074019304.db2.gz QWTTYPAHXZMYKP-SFHVURJKSA-N 0 0 427.523 -0.016 20 0 IBADRN Cn1ncc(I)c1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC000815159375 1074019211 /nfs/dbraw/zinc/01/92/11/1074019211.db2.gz RRLWCLGKTUTPSN-UHFFFAOYSA-N 0 0 428.252 -0.584 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)[C@H]2C1 ZINC000815185482 1074019247 /nfs/dbraw/zinc/01/92/47/1074019247.db2.gz DDSIZHGCICJWKI-JKJDWNRSSA-N 0 0 429.539 -0.287 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)[C@H]2C1 ZINC000815185490 1074019157 /nfs/dbraw/zinc/01/91/57/1074019157.db2.gz DDSIZHGCICJWKI-LEOABGAYSA-N 0 0 429.539 -0.287 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)[C@H]2C1 ZINC000815185493 1074019336 /nfs/dbraw/zinc/01/93/36/1074019336.db2.gz DDSIZHGCICJWKI-XFIYOXNOSA-N 0 0 429.539 -0.287 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)[C@H]2C1 ZINC000815185497 1074019191 /nfs/dbraw/zinc/01/91/91/1074019191.db2.gz DDSIZHGCICJWKI-ZVDSWSACSA-N 0 0 429.539 -0.287 20 0 IBADRN O=C(CN1c2ccccc2OC(F)(F)C1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000815185936 1074019294 /nfs/dbraw/zinc/01/92/94/1074019294.db2.gz IUDFFVCKYKDIHH-GXTWGEPZSA-N 0 0 445.444 -0.381 20 0 IBADRN O=C(CN1c2ccccc2OC(F)(F)C1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000815185939 1074019237 /nfs/dbraw/zinc/01/92/37/1074019237.db2.gz IUDFFVCKYKDIHH-JSGCOSHPSA-N 0 0 445.444 -0.381 20 0 IBADRN O=C(CN1c2ccccc2OC(F)(F)C1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000815185941 1074019230 /nfs/dbraw/zinc/01/92/30/1074019230.db2.gz IUDFFVCKYKDIHH-OCCSQVGLSA-N 0 0 445.444 -0.381 20 0 IBADRN O=C(CN1c2ccccc2OC(F)(F)C1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000815185942 1074019177 /nfs/dbraw/zinc/01/91/77/1074019177.db2.gz IUDFFVCKYKDIHH-TZMCWYRMSA-N 0 0 445.444 -0.381 20 0 IBADRN Nc1c(C(=O)OCC(=O)N2CCOCC2)cccc1C(=O)OCC(=O)N1CCOCC1 ZINC000815249286 1074019325 /nfs/dbraw/zinc/01/93/25/1074019325.db2.gz JNOYGAZAMUXYCX-UHFFFAOYSA-N 0 0 435.433 -0.700 20 0 IBADRN Nc1c(C(=O)OCCN2C(=O)CCC2=O)cccc1C(=O)OCCN1C(=O)CCC1=O ZINC000815250989 1074019584 /nfs/dbraw/zinc/01/95/84/1074019584.db2.gz YAEHIDBTJAJBLZ-UHFFFAOYSA-N 0 0 431.401 -0.120 20 0 IBADRN Nc1c(C(=O)OCC(=O)N2CCCC2=O)cccc1C(=O)OCC(=O)N1CCCC1=O ZINC000815254772 1074019604 /nfs/dbraw/zinc/01/96/04/1074019604.db2.gz WOAYSGBXZFHNHI-UHFFFAOYSA-N 0 0 431.401 -0.120 20 0 IBADRN O=Cc1c[nH]c(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)c3cc(C=O)c[nH]3)CC2)c1 ZINC000815255639 1074019547 /nfs/dbraw/zinc/01/95/47/1074019547.db2.gz UQOAACBUBDXSCT-UHFFFAOYSA-N 0 0 444.400 -0.348 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815259214 1074019528 /nfs/dbraw/zinc/01/95/28/1074019528.db2.gz AAIDBSUCSLKQAP-LLVKDONJSA-N 0 0 444.495 -0.976 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815259226 1074019542 /nfs/dbraw/zinc/01/95/42/1074019542.db2.gz AAIDBSUCSLKQAP-NSHDSACASA-N 0 0 444.495 -0.976 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000815260060 1074019521 /nfs/dbraw/zinc/01/95/21/1074019521.db2.gz INVJEAOJYZHEBU-BFHYXJOUSA-N 0 0 427.479 -0.210 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000815260061 1074019613 /nfs/dbraw/zinc/01/96/13/1074019613.db2.gz INVJEAOJYZHEBU-IHRRRGAJSA-N 0 0 427.479 -0.210 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000815260062 1074019627 /nfs/dbraw/zinc/01/96/27/1074019627.db2.gz INVJEAOJYZHEBU-MELADBBJSA-N 0 0 427.479 -0.210 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000815260063 1074019560 /nfs/dbraw/zinc/01/95/60/1074019560.db2.gz INVJEAOJYZHEBU-MJBXVCDLSA-N 0 0 427.479 -0.210 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000815260064 1074019576 /nfs/dbraw/zinc/01/95/76/1074019576.db2.gz IPOUGCVAMBFKFK-HNNXBMFYSA-N 0 0 432.543 -0.450 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000815260065 1074019621 /nfs/dbraw/zinc/01/96/21/1074019621.db2.gz IPOUGCVAMBFKFK-OAHLLOKOSA-N 0 0 432.543 -0.450 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1)S(C)(=O)=O ZINC000815260482 1074019639 /nfs/dbraw/zinc/01/96/39/1074019639.db2.gz ATZSZUWHUAWSFH-CYBMUJFWSA-N 0 0 433.508 -0.276 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1)S(C)(=O)=O ZINC000815260483 1074019954 /nfs/dbraw/zinc/01/99/54/1074019954.db2.gz ATZSZUWHUAWSFH-ZDUSSCGKSA-N 0 0 433.508 -0.276 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000815260511 1074019918 /nfs/dbraw/zinc/01/99/18/1074019918.db2.gz BUTSMYYOPKJSJO-GXTWGEPZSA-N 0 0 444.485 -0.422 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000815260512 1074020030 /nfs/dbraw/zinc/02/00/30/1074020030.db2.gz BUTSMYYOPKJSJO-JSGCOSHPSA-N 0 0 444.485 -0.422 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000815260513 1074020007 /nfs/dbraw/zinc/02/00/07/1074020007.db2.gz BUTSMYYOPKJSJO-OCCSQVGLSA-N 0 0 444.485 -0.422 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000815260514 1074019946 /nfs/dbraw/zinc/01/99/46/1074019946.db2.gz BUTSMYYOPKJSJO-TZMCWYRMSA-N 0 0 444.485 -0.422 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000815260520 1074019991 /nfs/dbraw/zinc/01/99/91/1074019991.db2.gz ACRHXENWBHTSNI-INIZCTEOSA-N 0 0 446.570 -0.154 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000815260528 1074019995 /nfs/dbraw/zinc/01/99/95/1074019995.db2.gz ACRHXENWBHTSNI-MRXNPFEDSA-N 0 0 446.570 -0.154 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC000815260550 1074019975 /nfs/dbraw/zinc/01/99/75/1074019975.db2.gz AFHZGLAFQZSHSU-LLVKDONJSA-N 0 0 442.450 -0.435 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC000815260553 1074019941 /nfs/dbraw/zinc/01/99/41/1074019941.db2.gz AFHZGLAFQZSHSU-NSHDSACASA-N 0 0 442.450 -0.435 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)C1 ZINC000815260833 1074019555 /nfs/dbraw/zinc/01/95/55/1074019555.db2.gz DTJAYEUKMNRLNS-CYBMUJFWSA-N 0 0 428.467 -0.977 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)C1 ZINC000815260837 1074019566 /nfs/dbraw/zinc/01/95/66/1074019566.db2.gz DTJAYEUKMNRLNS-ZDUSSCGKSA-N 0 0 428.467 -0.977 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(c3ccc(F)cc3)CC2)C1 ZINC000815261163 1074019651 /nfs/dbraw/zinc/01/96/51/1074019651.db2.gz VYVRDQOUMMSVSC-AWEZNQCLSA-N 0 0 428.486 -0.067 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(c3ccc(F)cc3)CC2)C1 ZINC000815261167 1074019536 /nfs/dbraw/zinc/01/95/36/1074019536.db2.gz VYVRDQOUMMSVSC-CQSZACIVSA-N 0 0 428.486 -0.067 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000815261347 1074020397 /nfs/dbraw/zinc/02/03/97/1074020397.db2.gz FQWZPRXMLACBQU-DZGCQCFKSA-N 0 0 441.506 -0.036 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000815261351 1074020414 /nfs/dbraw/zinc/02/04/14/1074020414.db2.gz FQWZPRXMLACBQU-HIFRSBDPSA-N 0 0 441.506 -0.036 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000815261354 1074020401 /nfs/dbraw/zinc/02/04/01/1074020401.db2.gz FQWZPRXMLACBQU-UKRRQHHQSA-N 0 0 441.506 -0.036 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000815261356 1074020417 /nfs/dbraw/zinc/02/04/17/1074020417.db2.gz FQWZPRXMLACBQU-ZFWWWQNUSA-N 0 0 441.506 -0.036 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815261454 1074020667 /nfs/dbraw/zinc/02/06/67/1074020667.db2.gz GOLPDPPYTPMKEU-CYBMUJFWSA-N 0 0 428.467 -0.060 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815261455 1074020687 /nfs/dbraw/zinc/02/06/87/1074020687.db2.gz GOLPDPPYTPMKEU-ZDUSSCGKSA-N 0 0 428.467 -0.060 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000815261520 1074020421 /nfs/dbraw/zinc/02/04/21/1074020421.db2.gz IQEMYYOJPXTLPE-NEPJUHHUSA-N 0 0 433.508 -0.124 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000815261522 1074020378 /nfs/dbraw/zinc/02/03/78/1074020378.db2.gz IQEMYYOJPXTLPE-NWDGAFQWSA-N 0 0 433.508 -0.124 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000815261524 1074020357 /nfs/dbraw/zinc/02/03/57/1074020357.db2.gz IQEMYYOJPXTLPE-RYUDHWBXSA-N 0 0 433.508 -0.124 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000815261525 1074020315 /nfs/dbraw/zinc/02/03/15/1074020315.db2.gz IQEMYYOJPXTLPE-VXGBXAGGSA-N 0 0 433.508 -0.124 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)Nc2ccc(CN3CCOCC3)cc2)C1 ZINC000815261663 1074020327 /nfs/dbraw/zinc/02/03/27/1074020327.db2.gz CPOVXUTXGUCJRV-INIZCTEOSA-N 0 0 440.522 -0.084 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)Nc2ccc(CN3CCOCC3)cc2)C1 ZINC000815261665 1074020345 /nfs/dbraw/zinc/02/03/45/1074020345.db2.gz CPOVXUTXGUCJRV-MRXNPFEDSA-N 0 0 440.522 -0.084 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(COC(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)n12 ZINC000815261693 1074020387 /nfs/dbraw/zinc/02/03/87/1074020387.db2.gz BXEGVYCCHHVFAJ-GFCCVEGCSA-N 0 0 434.478 -0.152 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(COC(=O)[C@H]3CCCN(S(N)(=O)=O)C3)n12 ZINC000815261703 1074020322 /nfs/dbraw/zinc/02/03/22/1074020322.db2.gz BXEGVYCCHHVFAJ-LBPRGKRZSA-N 0 0 434.478 -0.152 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000815261704 1074020405 /nfs/dbraw/zinc/02/04/05/1074020405.db2.gz GRHPZIPIPXKCDA-KBPBESRZSA-N 0 0 439.556 -0.883 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000815261708 1074020306 /nfs/dbraw/zinc/02/03/06/1074020306.db2.gz GRHPZIPIPXKCDA-KGLIPLIRSA-N 0 0 439.556 -0.883 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000815261709 1074020339 /nfs/dbraw/zinc/02/03/39/1074020339.db2.gz GRHPZIPIPXKCDA-UONOGXRCSA-N 0 0 439.556 -0.883 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000815261710 1074020383 /nfs/dbraw/zinc/02/03/83/1074020383.db2.gz GRHPZIPIPXKCDA-ZIAGYGMSSA-N 0 0 439.556 -0.883 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815261876 1074020393 /nfs/dbraw/zinc/02/03/93/1074020393.db2.gz CZRHUHCHUOKXEK-AWEZNQCLSA-N 0 0 426.495 -0.149 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815261878 1074020410 /nfs/dbraw/zinc/02/04/10/1074020410.db2.gz CZRHUHCHUOKXEK-CQSZACIVSA-N 0 0 426.495 -0.149 20 0 IBADRN COCCOc1ccc(CNC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815262041 1074019966 /nfs/dbraw/zinc/01/99/66/1074019966.db2.gz ZVOVNNVOPCXXOM-HNNXBMFYSA-N 0 0 429.495 -0.213 20 0 IBADRN COCCOc1ccc(CNC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815262043 1074019924 /nfs/dbraw/zinc/01/99/24/1074019924.db2.gz ZVOVNNVOPCXXOM-OAHLLOKOSA-N 0 0 429.495 -0.213 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000815262062 1074019960 /nfs/dbraw/zinc/01/99/60/1074019960.db2.gz KFZMKPHIWVJZKG-JTQLQIEISA-N 0 0 428.423 -0.478 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000815262063 1074019914 /nfs/dbraw/zinc/01/99/14/1074019914.db2.gz KFZMKPHIWVJZKG-SNVBAGLBSA-N 0 0 428.423 -0.478 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000815262064 1074020012 /nfs/dbraw/zinc/02/00/12/1074020012.db2.gz KIGIILLRFQYZHU-CABCVRRESA-N 0 0 440.522 -0.112 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000815262065 1074020001 /nfs/dbraw/zinc/02/00/01/1074020001.db2.gz KIGIILLRFQYZHU-GJZGRUSLSA-N 0 0 440.522 -0.112 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000815262066 1074019933 /nfs/dbraw/zinc/01/99/33/1074019933.db2.gz KIGIILLRFQYZHU-HUUCEWRRSA-N 0 0 440.522 -0.112 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000815262067 1074019900 /nfs/dbraw/zinc/01/99/00/1074019900.db2.gz KIGIILLRFQYZHU-LSDHHAIUSA-N 0 0 440.522 -0.112 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(c3ccccc3F)CC2)C1 ZINC000815262128 1074020022 /nfs/dbraw/zinc/02/00/22/1074020022.db2.gz FLIQUVCYOXFXDL-AWEZNQCLSA-N 0 0 428.486 -0.067 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(c3ccccc3F)CC2)C1 ZINC000815262130 1074019972 /nfs/dbraw/zinc/01/99/72/1074019972.db2.gz FLIQUVCYOXFXDL-CQSZACIVSA-N 0 0 428.486 -0.067 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCC(C(=O)N3CCCC3)CC2)C1 ZINC000815262420 1074020746 /nfs/dbraw/zinc/02/07/46/1074020746.db2.gz GTBZQZUNCBMFPK-HNNXBMFYSA-N 0 0 430.527 -0.694 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCC(C(=O)N3CCCC3)CC2)C1 ZINC000815262421 1074020576 /nfs/dbraw/zinc/02/05/76/1074020576.db2.gz GTBZQZUNCBMFPK-OAHLLOKOSA-N 0 0 430.527 -0.694 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000815262728 1074020634 /nfs/dbraw/zinc/02/06/34/1074020634.db2.gz HYFFHXMEXZHEIZ-LLVKDONJSA-N 0 0 430.508 -0.339 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000815262729 1074020721 /nfs/dbraw/zinc/02/07/21/1074020721.db2.gz HYFFHXMEXZHEIZ-NSHDSACASA-N 0 0 430.508 -0.339 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000815262759 1074020728 /nfs/dbraw/zinc/02/07/28/1074020728.db2.gz IKQNEBFICYVLIW-DVOMOZLQSA-N 0 0 439.556 -0.837 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000815262767 1074020703 /nfs/dbraw/zinc/02/07/03/1074020703.db2.gz IKQNEBFICYVLIW-HEHGZKQESA-N 0 0 439.556 -0.837 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000815262768 1074020609 /nfs/dbraw/zinc/02/06/09/1074020609.db2.gz IKQNEBFICYVLIW-WWGRRREGSA-N 0 0 439.556 -0.837 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000815262769 1074020696 /nfs/dbraw/zinc/02/06/96/1074020696.db2.gz IKQNEBFICYVLIW-XEZPLFJOSA-N 0 0 439.556 -0.837 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)C1 ZINC000815262772 1074020569 /nfs/dbraw/zinc/02/05/69/1074020569.db2.gz MIWMWTINDCCKEH-JTQLQIEISA-N 0 0 434.421 -0.522 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)C1 ZINC000815262779 1074020553 /nfs/dbraw/zinc/02/05/53/1074020553.db2.gz MIWMWTINDCCKEH-SNVBAGLBSA-N 0 0 434.421 -0.522 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)Nc2ccc(N3CCOCC3)cc2)C1 ZINC000815262901 1074020587 /nfs/dbraw/zinc/02/05/87/1074020587.db2.gz IVEVCDSVYDCOEM-AWEZNQCLSA-N 0 0 426.495 -0.080 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)Nc2ccc(N3CCOCC3)cc2)C1 ZINC000815262902 1074020598 /nfs/dbraw/zinc/02/05/98/1074020598.db2.gz IVEVCDSVYDCOEM-CQSZACIVSA-N 0 0 426.495 -0.080 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815263104 1074020740 /nfs/dbraw/zinc/02/07/40/1074020740.db2.gz OABAOXSAHAKXMO-HNNXBMFYSA-N 0 0 447.535 -0.580 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815263120 1074020735 /nfs/dbraw/zinc/02/07/35/1074020735.db2.gz OABAOXSAHAKXMO-OAHLLOKOSA-N 0 0 447.535 -0.580 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)C1 ZINC000815263373 1074021117 /nfs/dbraw/zinc/02/11/17/1074021117.db2.gz LBHNTWZHWRCGDN-GFCCVEGCSA-N 0 0 429.451 -0.631 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)C1 ZINC000815263376 1074020939 /nfs/dbraw/zinc/02/09/39/1074020939.db2.gz LBHNTWZHWRCGDN-LBPRGKRZSA-N 0 0 429.451 -0.631 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815263439 1074020999 /nfs/dbraw/zinc/02/09/99/1074020999.db2.gz QNMANSQSVVGFSS-CYBMUJFWSA-N 0 0 442.494 -0.449 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815263447 1074021064 /nfs/dbraw/zinc/02/10/64/1074021064.db2.gz QNMANSQSVVGFSS-ZDUSSCGKSA-N 0 0 442.494 -0.449 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NCCc2cnn(-c3ccccc3)c2)C1 ZINC000815263457 1074021099 /nfs/dbraw/zinc/02/10/99/1074021099.db2.gz QIHDIVDRLLQQIL-INIZCTEOSA-N 0 0 435.506 -0.010 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NCCc2cnn(-c3ccccc3)c2)C1 ZINC000815263465 1074020956 /nfs/dbraw/zinc/02/09/56/1074020956.db2.gz QIHDIVDRLLQQIL-MRXNPFEDSA-N 0 0 435.506 -0.010 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000815263578 1074021121 /nfs/dbraw/zinc/02/11/21/1074021121.db2.gz LZCLKHFLIYADDN-JTQLQIEISA-N 0 0 427.418 -0.801 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2F)C1 ZINC000815263592 1074021056 /nfs/dbraw/zinc/02/10/56/1074021056.db2.gz LZCLKHFLIYADDN-SNVBAGLBSA-N 0 0 427.418 -0.801 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815263993 1074020970 /nfs/dbraw/zinc/02/09/70/1074020970.db2.gz SHDCBWBGJCQNJP-CYBMUJFWSA-N 0 0 435.524 -0.226 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815263998 1074021075 /nfs/dbraw/zinc/02/10/75/1074021075.db2.gz SHDCBWBGJCQNJP-ZDUSSCGKSA-N 0 0 435.524 -0.226 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NCC2(N3CCOCC3)CCCCC2)C1 ZINC000815264072 1074021083 /nfs/dbraw/zinc/02/10/83/1074021083.db2.gz NWWXFWOGEOPABX-INIZCTEOSA-N 0 0 446.570 -0.404 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NCC2(N3CCOCC3)CCCCC2)C1 ZINC000815264082 1074021036 /nfs/dbraw/zinc/02/10/36/1074021036.db2.gz NWWXFWOGEOPABX-MRXNPFEDSA-N 0 0 446.570 -0.404 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2Cl)C1 ZINC000815264097 1074020678 /nfs/dbraw/zinc/02/06/78/1074020678.db2.gz OAJQYZCQUVOSHQ-JTQLQIEISA-N 0 0 443.873 -0.287 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)Nc2cc(-n3cnnn3)ccc2Cl)C1 ZINC000815264108 1074020621 /nfs/dbraw/zinc/02/06/21/1074020621.db2.gz OAJQYZCQUVOSHQ-SNVBAGLBSA-N 0 0 443.873 -0.287 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(Cc3cccs3)CC2)C1 ZINC000815264230 1074020645 /nfs/dbraw/zinc/02/06/45/1074020645.db2.gz ULKQPYKZRQOBDM-AWEZNQCLSA-N 0 0 430.552 -0.149 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(Cc3cccs3)CC2)C1 ZINC000815264231 1074020882 /nfs/dbraw/zinc/02/08/82/1074020882.db2.gz ULKQPYKZRQOBDM-CQSZACIVSA-N 0 0 430.552 -0.149 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000815264295 1074021009 /nfs/dbraw/zinc/02/10/09/1074021009.db2.gz OMSHDEXQDIHBDC-BFHYXJOUSA-N 0 0 439.556 -0.741 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000815264305 1074020984 /nfs/dbraw/zinc/02/09/84/1074020984.db2.gz OMSHDEXQDIHBDC-IHRRRGAJSA-N 0 0 439.556 -0.741 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000815264311 1074021107 /nfs/dbraw/zinc/02/11/07/1074021107.db2.gz OMSHDEXQDIHBDC-MELADBBJSA-N 0 0 439.556 -0.741 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000815264315 1074021018 /nfs/dbraw/zinc/02/10/18/1074021018.db2.gz OMSHDEXQDIHBDC-MJBXVCDLSA-N 0 0 439.556 -0.741 20 0 IBADRN COc1cc(OC)c(CNC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)c(OC)c1 ZINC000815264354 1074020910 /nfs/dbraw/zinc/02/09/10/1074020910.db2.gz UUHQRINXQULHIZ-GFCCVEGCSA-N 0 0 445.494 -0.213 20 0 IBADRN COc1cc(OC)c(CNC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)c(OC)c1 ZINC000815264357 1074021027 /nfs/dbraw/zinc/02/10/27/1074021027.db2.gz UUHQRINXQULHIZ-LBPRGKRZSA-N 0 0 445.494 -0.213 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000815264639 1074021520 /nfs/dbraw/zinc/02/15/20/1074021520.db2.gz WKLZDYFQAQZXIB-AWEZNQCLSA-N 0 0 426.495 -0.238 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000815264651 1074021503 /nfs/dbraw/zinc/02/15/03/1074021503.db2.gz WKLZDYFQAQZXIB-CQSZACIVSA-N 0 0 426.495 -0.238 20 0 IBADRN CCCCn1c2nc(COC(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)n(C)c2c(=O)[nH]c1=O ZINC000815264652 1074021512 /nfs/dbraw/zinc/02/15/12/1074021512.db2.gz RANWDLXZKHYCMF-LLVKDONJSA-N 0 0 442.498 -0.406 20 0 IBADRN CCCCn1c2nc(COC(=O)[C@H]3CCCN(S(N)(=O)=O)C3)n(C)c2c(=O)[nH]c1=O ZINC000815264657 1074021407 /nfs/dbraw/zinc/02/14/07/1074021407.db2.gz RANWDLXZKHYCMF-NSHDSACASA-N 0 0 442.498 -0.406 20 0 IBADRN Cn1c2nnc(COC(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)n2c2ccsc2c1=O ZINC000815264660 1074021480 /nfs/dbraw/zinc/02/14/80/1074021480.db2.gz GZUWAYMAOCHQSU-SECBINFHSA-N 0 0 426.480 -0.399 20 0 IBADRN Cn1c2nnc(COC(=O)[C@H]3CCCN(S(N)(=O)=O)C3)n2c2ccsc2c1=O ZINC000815264665 1074021498 /nfs/dbraw/zinc/02/14/98/1074021498.db2.gz GZUWAYMAOCHQSU-VIFPVBQESA-N 0 0 426.480 -0.399 20 0 IBADRN COc1cc(CNC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc(OC)c1OC ZINC000815264697 1074021527 /nfs/dbraw/zinc/02/15/27/1074021527.db2.gz HFCDVOSXRYIEPU-CYBMUJFWSA-N 0 0 445.494 -0.213 20 0 IBADRN COc1cc(CNC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc(OC)c1OC ZINC000815264698 1074021361 /nfs/dbraw/zinc/02/13/61/1074021361.db2.gz HFCDVOSXRYIEPU-ZDUSSCGKSA-N 0 0 445.494 -0.213 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)ccc1C ZINC000815265043 1074021431 /nfs/dbraw/zinc/02/14/31/1074021431.db2.gz RTIFYBCALJVGEM-GFCCVEGCSA-N 0 0 448.523 -0.700 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)ccc1C ZINC000815265055 1074021466 /nfs/dbraw/zinc/02/14/66/1074021466.db2.gz RTIFYBCALJVGEM-LBPRGKRZSA-N 0 0 448.523 -0.700 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265226 1074020925 /nfs/dbraw/zinc/02/09/25/1074020925.db2.gz YWDFPEZZSDISPK-GFCCVEGCSA-N 0 0 445.494 -0.213 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265228 1074021489 /nfs/dbraw/zinc/02/14/89/1074021489.db2.gz YWDFPEZZSDISPK-LBPRGKRZSA-N 0 0 445.494 -0.213 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)C1 ZINC000815265356 1074021780 /nfs/dbraw/zinc/02/17/80/1074021780.db2.gz YYOUSLIBXVHWNV-HNNXBMFYSA-N 0 0 438.506 -0.346 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)C1 ZINC000815265357 1074021744 /nfs/dbraw/zinc/02/17/44/1074021744.db2.gz YYOUSLIBXVHWNV-OAHLLOKOSA-N 0 0 438.506 -0.346 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(Cc3ccsc3)CC2)C1 ZINC000815265640 1074021863 /nfs/dbraw/zinc/02/18/63/1074021863.db2.gz VIOZBEBQTFXAKI-HNNXBMFYSA-N 0 0 430.552 -0.149 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(Cc3ccsc3)CC2)C1 ZINC000815265642 1074021818 /nfs/dbraw/zinc/02/18/18/1074021818.db2.gz VIOZBEBQTFXAKI-OAHLLOKOSA-N 0 0 430.552 -0.149 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815265710 1074021767 /nfs/dbraw/zinc/02/17/67/1074021767.db2.gz WAWMYCDXFAPNRX-GFCCVEGCSA-N 0 0 448.523 -0.666 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815265711 1074021731 /nfs/dbraw/zinc/02/17/31/1074021731.db2.gz WAWMYCDXFAPNRX-LBPRGKRZSA-N 0 0 448.523 -0.666 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)CCC2 ZINC000815265715 1074021705 /nfs/dbraw/zinc/02/17/05/1074021705.db2.gz KVIAWOJNXKCGEK-SECBINFHSA-N 0 0 430.508 -0.267 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)CCC2 ZINC000815265716 1074021774 /nfs/dbraw/zinc/02/17/74/1074021774.db2.gz KVIAWOJNXKCGEK-VIFPVBQESA-N 0 0 430.508 -0.267 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265722 1074021720 /nfs/dbraw/zinc/02/17/20/1074021720.db2.gz LKHZOHOPIJBZPO-CYBMUJFWSA-N 0 0 442.494 -0.638 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265723 1074021714 /nfs/dbraw/zinc/02/17/14/1074021714.db2.gz LKHZOHOPIJBZPO-ZDUSSCGKSA-N 0 0 442.494 -0.638 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265727 1074021787 /nfs/dbraw/zinc/02/17/87/1074021787.db2.gz LNVHNZYLTCZMSK-CYBMUJFWSA-N 0 0 442.494 -0.483 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265728 1074021841 /nfs/dbraw/zinc/02/18/41/1074021841.db2.gz LNVHNZYLTCZMSK-ZDUSSCGKSA-N 0 0 442.494 -0.483 20 0 IBADRN COC(=O)c1sc(N)c(C(=O)OC)c1COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265846 1074021447 /nfs/dbraw/zinc/02/14/47/1074021447.db2.gz WSTRFZAFTUDPRX-MRVPVSSYSA-N 0 0 435.480 -0.138 20 0 IBADRN COC(=O)c1sc(N)c(C(=O)OC)c1COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265849 1074021472 /nfs/dbraw/zinc/02/14/72/1074021472.db2.gz WSTRFZAFTUDPRX-QMMMGPOBSA-N 0 0 435.480 -0.138 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815265885 1074021395 /nfs/dbraw/zinc/02/13/95/1074021395.db2.gz WYMYXOPCADOYNS-CYBMUJFWSA-N 0 0 433.508 -0.835 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815265890 1074021339 /nfs/dbraw/zinc/02/13/39/1074021339.db2.gz WYMYXOPCADOYNS-ZDUSSCGKSA-N 0 0 433.508 -0.835 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265947 1074021349 /nfs/dbraw/zinc/02/13/49/1074021349.db2.gz XWWDIKRKWHGPLR-HNNXBMFYSA-N 0 0 426.495 -0.651 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000815265948 1074021794 /nfs/dbraw/zinc/02/17/94/1074021794.db2.gz XWWDIKRKWHGPLR-OAHLLOKOSA-N 0 0 426.495 -0.651 20 0 IBADRN NC(=O)CCN(C(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000815265989 1074021372 /nfs/dbraw/zinc/02/13/72/1074021372.db2.gz MNTJGGUEUQTYSP-GFCCVEGCSA-N 0 0 430.458 -0.507 20 0 IBADRN NC(=O)CCN(C(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)c1ccc(F)cc1 ZINC000815265990 1074021384 /nfs/dbraw/zinc/02/13/84/1074021384.db2.gz MNTJGGUEUQTYSP-LBPRGKRZSA-N 0 0 430.458 -0.507 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)C1 ZINC000815266241 1074021322 /nfs/dbraw/zinc/02/13/22/1074021322.db2.gz YLOQLIOSPPQWDK-HNNXBMFYSA-N 0 0 438.506 -0.112 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)C1 ZINC000815266242 1074021439 /nfs/dbraw/zinc/02/14/39/1074021439.db2.gz YLOQLIOSPPQWDK-OAHLLOKOSA-N 0 0 438.506 -0.112 20 0 IBADRN C[C@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000815266407 1074021852 /nfs/dbraw/zinc/02/18/52/1074021852.db2.gz OIGZLHIELUDNDZ-KBPBESRZSA-N 0 0 442.494 -0.589 20 0 IBADRN C[C@@H](OC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000815266409 1074021806 /nfs/dbraw/zinc/02/18/06/1074021806.db2.gz OIGZLHIELUDNDZ-KGLIPLIRSA-N 0 0 442.494 -0.589 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000815266410 1074021800 /nfs/dbraw/zinc/02/18/00/1074021800.db2.gz OIGZLHIELUDNDZ-UONOGXRCSA-N 0 0 442.494 -0.589 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000815266411 1074021760 /nfs/dbraw/zinc/02/17/60/1074021760.db2.gz OIGZLHIELUDNDZ-ZIAGYGMSSA-N 0 0 442.494 -0.589 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815266422 1074022165 /nfs/dbraw/zinc/02/21/65/1074022165.db2.gz OROQHSNVYNJXBK-JTQLQIEISA-N 0 0 437.471 -0.374 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815266424 1074022121 /nfs/dbraw/zinc/02/21/21/1074022121.db2.gz OROQHSNVYNJXBK-SNVBAGLBSA-N 0 0 437.471 -0.374 20 0 IBADRN CCCCN(C(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000815266456 1074022142 /nfs/dbraw/zinc/02/21/42/1074022142.db2.gz PBTXGIDCWCRTQY-KBPBESRZSA-N 0 0 439.556 -0.739 20 0 IBADRN CCCCN(C(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000815266458 1074022156 /nfs/dbraw/zinc/02/21/56/1074022156.db2.gz PBTXGIDCWCRTQY-KGLIPLIRSA-N 0 0 439.556 -0.739 20 0 IBADRN CCCCN(C(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000815266459 1074022076 /nfs/dbraw/zinc/02/20/76/1074022076.db2.gz PBTXGIDCWCRTQY-UONOGXRCSA-N 0 0 439.556 -0.739 20 0 IBADRN CCCCN(C(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000815266461 1074022110 /nfs/dbraw/zinc/02/21/10/1074022110.db2.gz PBTXGIDCWCRTQY-ZIAGYGMSSA-N 0 0 439.556 -0.739 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815266614 1074021833 /nfs/dbraw/zinc/02/18/33/1074021833.db2.gz QCLZDTLMPICEAX-LLVKDONJSA-N 0 0 441.445 -0.493 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815266615 1074022209 /nfs/dbraw/zinc/02/22/09/1074022209.db2.gz QCLZDTLMPICEAX-NSHDSACASA-N 0 0 441.445 -0.493 20 0 IBADRN COCCOc1cccc(CNC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815266661 1074022173 /nfs/dbraw/zinc/02/21/73/1074022173.db2.gz QZHNCXDOIXVKPA-HNNXBMFYSA-N 0 0 429.495 -0.213 20 0 IBADRN COCCOc1cccc(CNC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)c1 ZINC000815266662 1074022134 /nfs/dbraw/zinc/02/21/34/1074022134.db2.gz QZHNCXDOIXVKPA-OAHLLOKOSA-N 0 0 429.495 -0.213 20 0 IBADRN COc1ccc(CCNC(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1OC ZINC000815267112 1074021753 /nfs/dbraw/zinc/02/17/53/1074021753.db2.gz VTOJZJMCXKIYSO-AWEZNQCLSA-N 0 0 429.495 -0.179 20 0 IBADRN COc1ccc(CCNC(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1OC ZINC000815267118 1074021812 /nfs/dbraw/zinc/02/18/12/1074021812.db2.gz VTOJZJMCXKIYSO-CQSZACIVSA-N 0 0 429.495 -0.179 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)c1=O ZINC000815267595 1074022214 /nfs/dbraw/zinc/02/22/14/1074022214.db2.gz HBANXXOSPWBPGD-UHFFFAOYSA-N 0 0 448.455 -0.563 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)c1=O ZINC000815268252 1074022101 /nfs/dbraw/zinc/02/21/01/1074022101.db2.gz GJBAWCGONPOIPB-UHFFFAOYSA-N 0 0 437.478 -0.952 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N([C@H]2CCS(=O)(=O)C2)C2CCCCC2)c1=O ZINC000815268279 1074022185 /nfs/dbraw/zinc/02/21/85/1074022185.db2.gz GNPJNCHLRNAQAZ-HNNXBMFYSA-N 0 0 428.511 -0.137 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N([C@@H]2CCS(=O)(=O)C2)C2CCCCC2)c1=O ZINC000815268280 1074022047 /nfs/dbraw/zinc/02/20/47/1074022047.db2.gz GNPJNCHLRNAQAZ-OAHLLOKOSA-N 0 0 428.511 -0.137 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](OC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)C(C)C)CC1 ZINC000815268574 1074022127 /nfs/dbraw/zinc/02/21/27/1074022127.db2.gz LASOIHMHKCMTPS-CABCVRRESA-N 0 0 433.527 -0.119 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](OC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)C(C)C)CC1 ZINC000815268579 1074022066 /nfs/dbraw/zinc/02/20/66/1074022066.db2.gz LASOIHMHKCMTPS-GJZGRUSLSA-N 0 0 433.527 -0.119 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](OC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)C(C)C)CC1 ZINC000815268581 1074022226 /nfs/dbraw/zinc/02/22/26/1074022226.db2.gz LASOIHMHKCMTPS-HUUCEWRRSA-N 0 0 433.527 -0.119 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](OC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)C(C)C)CC1 ZINC000815268583 1074022198 /nfs/dbraw/zinc/02/21/98/1074022198.db2.gz LASOIHMHKCMTPS-LSDHHAIUSA-N 0 0 433.527 -0.119 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)OC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815268804 1074022474 /nfs/dbraw/zinc/02/24/74/1074022474.db2.gz MFURPHKLDWIHRR-DOMZBBRYSA-N 0 0 427.479 -0.063 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)OC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815268805 1074022508 /nfs/dbraw/zinc/02/25/08/1074022508.db2.gz MFURPHKLDWIHRR-IUODEOHRSA-N 0 0 427.479 -0.063 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)OC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815268806 1074022570 /nfs/dbraw/zinc/02/25/70/1074022570.db2.gz MFURPHKLDWIHRR-SWLSCSKDSA-N 0 0 427.479 -0.063 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)OC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)cc1 ZINC000815268807 1074022536 /nfs/dbraw/zinc/02/25/36/1074022536.db2.gz MFURPHKLDWIHRR-WFASDCNBSA-N 0 0 427.479 -0.063 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CCn2ncn(C)c2=O)cc1S(=O)(=O)N(C)C ZINC000815268897 1074022517 /nfs/dbraw/zinc/02/25/17/1074022517.db2.gz VCTOHVVUDQIGHS-UHFFFAOYSA-N 0 0 441.466 -0.587 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCn2ncn(C)c2=O)C1=O ZINC000815268979 1074022151 /nfs/dbraw/zinc/02/21/51/1074022151.db2.gz XKUQKWOFNMCTID-IBGZPJMESA-N 0 0 430.421 -0.596 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCn2ncn(C)c2=O)C1=O ZINC000815268984 1074022573 /nfs/dbraw/zinc/02/25/73/1074022573.db2.gz XKUQKWOFNMCTID-LJQANCHMSA-N 0 0 430.421 -0.596 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CCn2ncn(C)c2=O)cc1S(=O)(=O)N(C)C ZINC000815269249 1074022058 /nfs/dbraw/zinc/02/20/58/1074022058.db2.gz ZXYIKNLEJMDLGN-UHFFFAOYSA-N 0 0 425.467 -0.287 20 0 IBADRN Cn1cnn(CCC(=O)OCCOc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1=O ZINC000815269279 1074022487 /nfs/dbraw/zinc/02/24/87/1074022487.db2.gz AGUUTLVGYKOXOU-UHFFFAOYSA-N 0 0 440.478 -0.385 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)c1=O ZINC000815269290 1074022437 /nfs/dbraw/zinc/02/24/37/1074022437.db2.gz AIMUVBKGKBIABJ-UHFFFAOYSA-N 0 0 429.477 -0.206 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)C1 ZINC000815269450 1074022546 /nfs/dbraw/zinc/02/25/46/1074022546.db2.gz STJKOSSJKRNYHB-GFCCVEGCSA-N 0 0 433.450 -0.607 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCn2nnc3c(cnn3-c3ccccc3)c2=O)C1 ZINC000815269458 1074022428 /nfs/dbraw/zinc/02/24/28/1074022428.db2.gz STJKOSSJKRNYHB-LBPRGKRZSA-N 0 0 433.450 -0.607 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)C1 ZINC000815269658 1074022467 /nfs/dbraw/zinc/02/24/67/1074022467.db2.gz VYBUKCNAAMGKTK-CYBMUJFWSA-N 0 0 444.535 -0.509 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)C1 ZINC000815269660 1074022563 /nfs/dbraw/zinc/02/25/63/1074022563.db2.gz VYBUKCNAAMGKTK-ZDUSSCGKSA-N 0 0 444.535 -0.509 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CCn3ncn(C)c3=O)C2=O)cc1 ZINC000815269679 1074022374 /nfs/dbraw/zinc/02/23/74/1074022374.db2.gz XJRZZYKPJUOYJH-IBGZPJMESA-N 0 0 446.420 -0.978 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CCn3ncn(C)c3=O)C2=O)cc1 ZINC000815269686 1074022495 /nfs/dbraw/zinc/02/24/95/1074022495.db2.gz XJRZZYKPJUOYJH-LJQANCHMSA-N 0 0 446.420 -0.978 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)c1=O ZINC000815269762 1074022527 /nfs/dbraw/zinc/02/25/27/1074022527.db2.gz YLPSBYFLKUGHEG-FQEVSTJZSA-N 0 0 444.448 -0.510 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)c1=O ZINC000815269767 1074022385 /nfs/dbraw/zinc/02/23/85/1074022385.db2.gz YLPSBYFLKUGHEG-HXUWFJFHSA-N 0 0 444.448 -0.510 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)COC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000815269954 1074022456 /nfs/dbraw/zinc/02/24/56/1074022456.db2.gz ZABITSWIQSAMFF-CYBMUJFWSA-N 0 0 446.551 -0.329 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)COC(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000815269955 1074022416 /nfs/dbraw/zinc/02/24/16/1074022416.db2.gz ZABITSWIQSAMFF-ZDUSSCGKSA-N 0 0 446.551 -0.329 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N(c2ccc(F)cc2)[C@H]2C=CS(=O)(=O)C2)c1=O ZINC000815270077 1074022400 /nfs/dbraw/zinc/02/24/00/1074022400.db2.gz MSJACOJMQIZWOE-HNNXBMFYSA-N 0 0 438.437 -0.002 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N(c2ccc(F)cc2)[C@@H]2C=CS(=O)(=O)C2)c1=O ZINC000815270078 1074022559 /nfs/dbraw/zinc/02/25/59/1074022559.db2.gz MSJACOJMQIZWOE-OAHLLOKOSA-N 0 0 438.437 -0.002 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CCn1ncn(C)c1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000815270305 1074022807 /nfs/dbraw/zinc/02/28/07/1074022807.db2.gz FLYCHFFYNVISAV-NEPJUHHUSA-N 0 0 425.467 -0.572 20 0 IBADRN C[C@@H](OC(=O)CCn1ncn(C)c1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000815270306 1074022711 /nfs/dbraw/zinc/02/27/11/1074022711.db2.gz FLYCHFFYNVISAV-NWDGAFQWSA-N 0 0 425.467 -0.572 20 0 IBADRN C[C@H](OC(=O)CCn1ncn(C)c1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000815270307 1074022699 /nfs/dbraw/zinc/02/26/99/1074022699.db2.gz FLYCHFFYNVISAV-RYUDHWBXSA-N 0 0 425.467 -0.572 20 0 IBADRN C[C@@H](OC(=O)CCn1ncn(C)c1=O)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000815270308 1074022792 /nfs/dbraw/zinc/02/27/92/1074022792.db2.gz FLYCHFFYNVISAV-VXGBXAGGSA-N 0 0 425.467 -0.572 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCCC3)c2)c1=O ZINC000815271048 1074022894 /nfs/dbraw/zinc/02/28/94/1074022894.db2.gz CAIQXKVBRJTTHD-UHFFFAOYSA-N 0 0 437.478 -0.062 20 0 IBADRN C[C@@H](OC(=O)CCn1ncn(C)c1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000815271051 1074022743 /nfs/dbraw/zinc/02/27/43/1074022743.db2.gz CEIKEUNEJGMDLL-GFCCVEGCSA-N 0 0 425.467 -0.207 20 0 IBADRN C[C@H](OC(=O)CCn1ncn(C)c1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000815271052 1074022824 /nfs/dbraw/zinc/02/28/24/1074022824.db2.gz CEIKEUNEJGMDLL-LBPRGKRZSA-N 0 0 425.467 -0.207 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N(Cc2cccs2)[C@@H]2CCS(=O)(=O)C2)c1=O ZINC000815271915 1074022857 /nfs/dbraw/zinc/02/28/57/1074022857.db2.gz YEAXJHSZLIYMPO-CYBMUJFWSA-N 0 0 442.519 -0.208 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)N(Cc2cccs2)[C@H]2CCS(=O)(=O)C2)c1=O ZINC000815271916 1074022844 /nfs/dbraw/zinc/02/28/44/1074022844.db2.gz YEAXJHSZLIYMPO-ZDUSSCGKSA-N 0 0 442.519 -0.208 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2cc(S(N)(=O)=O)cnc2C)CC1 ZINC000815272439 1074022766 /nfs/dbraw/zinc/02/27/66/1074022766.db2.gz QAHNILWSNRPXDR-GFCCVEGCSA-N 0 0 428.467 -0.117 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2cc(S(N)(=O)=O)cnc2C)CC1 ZINC000815272441 1074022834 /nfs/dbraw/zinc/02/28/34/1074022834.db2.gz QAHNILWSNRPXDR-LBPRGKRZSA-N 0 0 428.467 -0.117 20 0 IBADRN Cn1cnn(CCC(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)c1=O ZINC000815272699 1074022755 /nfs/dbraw/zinc/02/27/55/1074022755.db2.gz ZTRHZUWDKRQSJD-UHFFFAOYSA-N 0 0 431.449 -0.696 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000815272742 1074022816 /nfs/dbraw/zinc/02/28/16/1074022816.db2.gz VSGFYNCKPVHUOT-CYBMUJFWSA-N 0 0 434.478 -0.278 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000815272743 1074022877 /nfs/dbraw/zinc/02/28/77/1074022877.db2.gz VSGFYNCKPVHUOT-ZDUSSCGKSA-N 0 0 434.478 -0.278 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000815273162 1074022782 /nfs/dbraw/zinc/02/27/82/1074022782.db2.gz LLUNSFKGUWTDMW-UHFFFAOYSA-N 0 0 436.446 -0.228 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)OCC(=O)N(CC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000815273236 1074022885 /nfs/dbraw/zinc/02/28/85/1074022885.db2.gz BNBFQGXJMGDGTM-CYBMUJFWSA-N 0 0 447.535 -0.134 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)OCC(=O)N(CC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000815273238 1074022722 /nfs/dbraw/zinc/02/27/22/1074022722.db2.gz BNBFQGXJMGDGTM-ZDUSSCGKSA-N 0 0 447.535 -0.134 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)OCC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC000815273522 1074023121 /nfs/dbraw/zinc/02/31/21/1074023121.db2.gz DBMHGUCFPIYMEA-UHFFFAOYSA-N 0 0 441.487 -0.086 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)c2cc(S(N)(=O)=O)cnc2C)C(C)C)CC1 ZINC000815274171 1074022732 /nfs/dbraw/zinc/02/27/32/1074022732.db2.gz XWWGVQNKJFGWNM-INIZCTEOSA-N 0 0 426.495 -0.090 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)c2cc(S(N)(=O)=O)cnc2C)C(C)C)CC1 ZINC000815274175 1074022868 /nfs/dbraw/zinc/02/28/68/1074022868.db2.gz XWWGVQNKJFGWNM-MRXNPFEDSA-N 0 0 426.495 -0.090 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)cnc1C)[C@H]1CCS(=O)(=O)C1 ZINC000815274819 1074023060 /nfs/dbraw/zinc/02/30/60/1074023060.db2.gz ORRRMKRQBDBIKW-NEPJUHHUSA-N 0 0 433.508 -0.382 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)cnc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000815274823 1074023167 /nfs/dbraw/zinc/02/31/67/1074023167.db2.gz ORRRMKRQBDBIKW-NWDGAFQWSA-N 0 0 433.508 -0.382 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)cnc1C)[C@H]1CCS(=O)(=O)C1 ZINC000815274824 1074023150 /nfs/dbraw/zinc/02/31/50/1074023150.db2.gz ORRRMKRQBDBIKW-RYUDHWBXSA-N 0 0 433.508 -0.382 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)cnc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000815274825 1074023187 /nfs/dbraw/zinc/02/31/87/1074023187.db2.gz ORRRMKRQBDBIKW-VXGBXAGGSA-N 0 0 433.508 -0.382 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)c2cc(S(N)(=O)=O)cnc2C)CC1 ZINC000815275076 1074023129 /nfs/dbraw/zinc/02/31/29/1074023129.db2.gz PPQMCWGDCIBMLQ-UHFFFAOYSA-N 0 0 428.467 -0.069 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)C(C)C ZINC000815275295 1074023102 /nfs/dbraw/zinc/02/31/02/1074023102.db2.gz RTOPYYBCGOCDDP-BXUZGUMPSA-N 0 0 433.508 -0.478 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)C(C)C ZINC000815275296 1074023085 /nfs/dbraw/zinc/02/30/85/1074023085.db2.gz RTOPYYBCGOCDDP-FZMZJTMJSA-N 0 0 433.508 -0.478 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)C(C)C ZINC000815275297 1074023095 /nfs/dbraw/zinc/02/30/95/1074023095.db2.gz RTOPYYBCGOCDDP-RISCZKNCSA-N 0 0 433.508 -0.478 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)C(C)C ZINC000815275298 1074023134 /nfs/dbraw/zinc/02/31/34/1074023134.db2.gz RTOPYYBCGOCDDP-SMDDNHRTSA-N 0 0 433.508 -0.478 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)C(C)C ZINC000815275355 1074023178 /nfs/dbraw/zinc/02/31/78/1074023178.db2.gz SAACMVNBHVIJNV-DOMZBBRYSA-N 0 0 426.495 -0.057 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)C(C)C ZINC000815275357 1074023115 /nfs/dbraw/zinc/02/31/15/1074023115.db2.gz SAACMVNBHVIJNV-IUODEOHRSA-N 0 0 426.495 -0.057 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)C(C)C ZINC000815275359 1074023142 /nfs/dbraw/zinc/02/31/42/1074023142.db2.gz SAACMVNBHVIJNV-SWLSCSKDSA-N 0 0 426.495 -0.057 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)C(C)C ZINC000815275361 1074023076 /nfs/dbraw/zinc/02/30/76/1074023076.db2.gz SAACMVNBHVIJNV-WFASDCNBSA-N 0 0 426.495 -0.057 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@@H](C(=O)N[C@@]1(C)CCS(=O)(=O)C1)C(C)C ZINC000815275427 1074023070 /nfs/dbraw/zinc/02/30/70/1074023070.db2.gz SWWQFMFSXLRFDS-PBHICJAKSA-N 0 0 447.535 -0.088 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@@H](C(=O)N[C@]1(C)CCS(=O)(=O)C1)C(C)C ZINC000815275431 1074023255 /nfs/dbraw/zinc/02/32/55/1074023255.db2.gz SWWQFMFSXLRFDS-RHSMWYFYSA-N 0 0 447.535 -0.088 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@H](C(=O)N[C@]1(C)CCS(=O)(=O)C1)C(C)C ZINC000815275433 1074023159 /nfs/dbraw/zinc/02/31/59/1074023159.db2.gz SWWQFMFSXLRFDS-WMLDXEAASA-N 0 0 447.535 -0.088 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)O[C@H](C(=O)N[C@@]1(C)CCS(=O)(=O)C1)C(C)C ZINC000815275435 1074023108 /nfs/dbraw/zinc/02/31/08/1074023108.db2.gz SWWQFMFSXLRFDS-YOEHRIQHSA-N 0 0 447.535 -0.088 20 0 IBADRN Cc1ncc(S(N)(=O)=O)cc1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000815276856 1074023579 /nfs/dbraw/zinc/02/35/79/1074023579.db2.gz OXKQNEPGNKXLNU-UHFFFAOYSA-N 0 0 436.450 -0.968 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)C[C@@H]1CCCS(=O)(=O)C1)S(C)(=O)=O ZINC000815282985 1074023630 /nfs/dbraw/zinc/02/36/30/1074023630.db2.gz IACUVIKDTXNWBI-AWEZNQCLSA-N 0 0 426.557 -0.079 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)C[C@H]1CCCS(=O)(=O)C1)S(C)(=O)=O ZINC000815282994 1074023423 /nfs/dbraw/zinc/02/34/23/1074023423.db2.gz IACUVIKDTXNWBI-CQSZACIVSA-N 0 0 426.557 -0.079 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC000815284017 1074023530 /nfs/dbraw/zinc/02/35/30/1074023530.db2.gz WAAOPIGFJGIQLO-HNNXBMFYSA-N 0 0 431.555 -0.197 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC000815284029 1074023603 /nfs/dbraw/zinc/02/36/03/1074023603.db2.gz WAAOPIGFJGIQLO-OAHLLOKOSA-N 0 0 431.555 -0.197 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)C[C@H]2CCCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000815286628 1074023622 /nfs/dbraw/zinc/02/36/22/1074023622.db2.gz IJRMREGXMIQDBN-GFCCVEGCSA-N 0 0 429.495 -0.274 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)C[C@@H]2CCCS(=O)(=O)C2)c(=O)n(C)c1=O ZINC000815286636 1074023470 /nfs/dbraw/zinc/02/34/70/1074023470.db2.gz IJRMREGXMIQDBN-LBPRGKRZSA-N 0 0 429.495 -0.274 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)COCc2nncn2C)C1=O ZINC000815288280 1074023443 /nfs/dbraw/zinc/02/34/43/1074023443.db2.gz IAUQLXMUDVIMEL-IBGZPJMESA-N 0 0 430.421 -0.237 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)COCc2nncn2C)C1=O ZINC000815288282 1074023553 /nfs/dbraw/zinc/02/35/53/1074023553.db2.gz IAUQLXMUDVIMEL-LJQANCHMSA-N 0 0 430.421 -0.237 20 0 IBADRN Cn1cnnc1COCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000815289791 1074023614 /nfs/dbraw/zinc/02/36/14/1074023614.db2.gz KFVKMLGVHNFXEP-UHFFFAOYSA-N 0 0 437.478 -0.592 20 0 IBADRN Cn1cnnc1COCC(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000815291062 1074023433 /nfs/dbraw/zinc/02/34/33/1074023433.db2.gz ZXGHYLXHHBAURI-UHFFFAOYSA-N 0 0 448.455 -0.203 20 0 IBADRN Cn1cnnc1COCC(=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000815293574 1074023561 /nfs/dbraw/zinc/02/35/61/1074023561.db2.gz YLMRZDMYILWNMQ-UHFFFAOYSA-N 0 0 440.478 -0.025 20 0 IBADRN Cn1cnnc1COCC(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000815293708 1074023458 /nfs/dbraw/zinc/02/34/58/1074023458.db2.gz NXPMRDXRLAGMRA-UHFFFAOYSA-N 0 0 442.432 -0.751 20 0 IBADRN CCn1nc(C)c(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC000815298510 1074022088 /nfs/dbraw/zinc/02/20/88/1074022088.db2.gz QIRLLTSRYLKFSA-UHFFFAOYSA-N 0 0 440.482 -0.099 20 0 IBADRN Nc1c(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cnn1-c1ccccn1 ZINC000815307796 1074023496 /nfs/dbraw/zinc/02/34/96/1074023496.db2.gz YTJSASILXVVIPW-AWEZNQCLSA-N 0 0 448.505 -0.662 20 0 IBADRN Nc1c(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cnn1-c1ccccn1 ZINC000815307797 1074023521 /nfs/dbraw/zinc/02/35/21/1074023521.db2.gz YTJSASILXVVIPW-CQSZACIVSA-N 0 0 448.505 -0.662 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2cnn(-c3ccccn3)c2N)c(=O)n(C)c1=O ZINC000815308976 1074023541 /nfs/dbraw/zinc/02/35/41/1074023541.db2.gz RCZVBVYXXDRASC-UHFFFAOYSA-N 0 0 441.448 -0.012 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCCN3CCOCC3)cn2)CC1 ZINC000815319424 1074023479 /nfs/dbraw/zinc/02/34/79/1074023479.db2.gz FBPFPQLOJGQEHN-UHFFFAOYSA-N 0 0 434.497 -0.253 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NCCNC(C)=O)cc2C(F)(F)C1=O ZINC000815324907 1074023588 /nfs/dbraw/zinc/02/35/88/1074023588.db2.gz KLNHQMOLLKOTHS-UHFFFAOYSA-N 0 0 426.376 -0.121 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NCC(=O)N(C)C)cc2C(F)(F)C1=O ZINC000815327462 1074023643 /nfs/dbraw/zinc/02/36/43/1074023643.db2.gz DBFRDNSOQJTSKB-UHFFFAOYSA-N 0 0 426.376 -0.169 20 0 IBADRN CCNC(=O)CNC(=O)C(=O)Nc1ccc2c(c1)C(F)(F)C(=O)N2CC(=O)OCC ZINC000815327658 1074023511 /nfs/dbraw/zinc/02/35/11/1074023511.db2.gz LSONLXWGIYFRCJ-UHFFFAOYSA-N 0 0 426.376 -0.121 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC(=O)NCc3ccco3)cn2)CC1 ZINC000815330640 1074023924 /nfs/dbraw/zinc/02/39/24/1074023924.db2.gz DTQHLCWSILFVTG-UHFFFAOYSA-N 0 0 444.448 -0.066 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCC(=O)N3CCOCC3)cn2)CC1 ZINC000815336340 1074023813 /nfs/dbraw/zinc/02/38/13/1074023813.db2.gz VPKQVNWKLZGBKT-UHFFFAOYSA-N 0 0 448.480 -0.726 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)CN3CCOCC3)cn2)CC1 ZINC000815342605 1074023988 /nfs/dbraw/zinc/02/39/88/1074023988.db2.gz ZNWSCHHIUXKEHC-HNNXBMFYSA-N 0 0 434.497 -0.255 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)CN3CCOCC3)cn2)CC1 ZINC000815342606 1074023911 /nfs/dbraw/zinc/02/39/11/1074023911.db2.gz ZNWSCHHIUXKEHC-OAHLLOKOSA-N 0 0 434.497 -0.255 20 0 IBADRN C[C@@H](NC(=O)CN1c2ccccc2OC(F)(F)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000815352178 1074023838 /nfs/dbraw/zinc/02/38/38/1074023838.db2.gz DQWFECVVJYUDSW-LLVKDONJSA-N 0 0 431.417 -0.234 20 0 IBADRN C[C@H](NC(=O)CN1c2ccccc2OC(F)(F)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC000815352179 1074023873 /nfs/dbraw/zinc/02/38/73/1074023873.db2.gz DQWFECVVJYUDSW-NSHDSACASA-N 0 0 431.417 -0.234 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)cn2)CC1 ZINC000815353539 1074023965 /nfs/dbraw/zinc/02/39/65/1074023965.db2.gz GNRZDFQWQVVFJC-UHFFFAOYSA-N 0 0 446.386 -0.297 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000815353676 1074023901 /nfs/dbraw/zinc/02/39/01/1074023901.db2.gz LLDRNLWYXPCMEL-UHFFFAOYSA-N 0 0 431.449 -0.364 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(=O)C4CC4)CC3)cn2)CC1 ZINC000815368053 1074023882 /nfs/dbraw/zinc/02/38/82/1074023882.db2.gz BLIXXVXSAJUION-UHFFFAOYSA-N 0 0 444.492 -0.011 20 0 IBADRN O=C(Nc1ccc([C@H]2CCOC2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000815371872 1074023803 /nfs/dbraw/zinc/02/38/03/1074023803.db2.gz NYQBXPXARJQWKV-KRWDZBQOSA-N 0 0 444.488 -0.341 20 0 IBADRN O=C(Nc1ccc([C@@H]2CCOC2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000815371873 1074023999 /nfs/dbraw/zinc/02/39/99/1074023999.db2.gz NYQBXPXARJQWKV-QGZVFWFLSA-N 0 0 444.488 -0.341 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCCN(C(C)=O)CC3)cn2)CC1 ZINC000815372241 1074023944 /nfs/dbraw/zinc/02/39/44/1074023944.db2.gz CYCBNXQGDIVLOL-UHFFFAOYSA-N 0 0 432.481 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cn(Cc3ccccc3)nn2)CC1 ZINC000815372477 1074023829 /nfs/dbraw/zinc/02/38/29/1074023829.db2.gz MURAUKOASXPBFV-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000815373269 1074023956 /nfs/dbraw/zinc/02/39/56/1074023956.db2.gz RBKINPRJJVCGDM-UHFFFAOYSA-N 0 0 433.465 -0.654 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CC1 ZINC000815374377 1074023892 /nfs/dbraw/zinc/02/38/92/1074023892.db2.gz IIHBTDMSMVEDSZ-UHFFFAOYSA-N 0 0 448.480 -0.774 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(=O)N(C)C)CC3)cn2)CC1 ZINC000815376966 1074024189 /nfs/dbraw/zinc/02/41/89/1074024189.db2.gz CIJGNQMSSPMOBX-UHFFFAOYSA-N 0 0 447.496 -0.266 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N(C)CC(=O)NC)cc2C(F)(F)C1=O ZINC000815378059 1074024207 /nfs/dbraw/zinc/02/42/07/1074024207.db2.gz PLRRUACLEWKUCK-UHFFFAOYSA-N 0 0 426.376 -0.169 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NCCC(=O)NC)cc2C(F)(F)C1=O ZINC000815397564 1074024320 /nfs/dbraw/zinc/02/43/20/1074024320.db2.gz ZXLYVCOSSMAXKZ-UHFFFAOYSA-N 0 0 426.376 -0.121 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CC1 ZINC000815400049 1074024176 /nfs/dbraw/zinc/02/41/76/1074024176.db2.gz VKDRESQDLXPRHK-UHFFFAOYSA-N 0 0 434.453 -0.181 20 0 IBADRN COC(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1C ZINC000815401042 1074024255 /nfs/dbraw/zinc/02/42/55/1074024255.db2.gz JTLWDKAPGDKBOV-CYBMUJFWSA-N 0 0 427.479 -0.700 20 0 IBADRN COC(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1C ZINC000815401043 1074024334 /nfs/dbraw/zinc/02/43/34/1074024334.db2.gz JTLWDKAPGDKBOV-ZDUSSCGKSA-N 0 0 427.479 -0.700 20 0 IBADRN COC(=O)c1ccc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n1C ZINC000815401176 1074024306 /nfs/dbraw/zinc/02/43/06/1074024306.db2.gz PCHWICVTDIZZKB-UHFFFAOYSA-N 0 0 441.448 -0.006 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc([C@H]3CCOC3)cc2)CC1 ZINC000815407918 1074024268 /nfs/dbraw/zinc/02/42/68/1074024268.db2.gz NSZDKCBTXCIANP-INIZCTEOSA-N 0 0 442.472 -0.051 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C(=O)Nc2ccc([C@@H]3CCOC3)cc2)CC1 ZINC000815407919 1074024232 /nfs/dbraw/zinc/02/42/32/1074024232.db2.gz NSZDKCBTXCIANP-MRXNPFEDSA-N 0 0 442.472 -0.051 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000815407923 1074024275 /nfs/dbraw/zinc/02/42/75/1074024275.db2.gz NVWGEBLLEYLZCT-UHFFFAOYSA-N 0 0 445.432 -0.837 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H]3CCNC(=O)CC3)C2=O)cc1 ZINC000815418599 1074024239 /nfs/dbraw/zinc/02/42/39/1074024239.db2.gz BTQAOLYTEMDGJA-FKIZINRSSA-N 0 0 432.433 -0.047 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H]3CCNC(=O)CC3)C2=O)cc1 ZINC000815418600 1074024247 /nfs/dbraw/zinc/02/42/47/1074024247.db2.gz BTQAOLYTEMDGJA-MPBGBICISA-N 0 0 432.433 -0.047 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H]3CCNC(=O)CC3)C2=O)cc1 ZINC000815418601 1074024280 /nfs/dbraw/zinc/02/42/80/1074024280.db2.gz BTQAOLYTEMDGJA-ODXCJYRJSA-N 0 0 432.433 -0.047 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H]3CCNC(=O)CC3)C2=O)cc1 ZINC000815418602 1074024289 /nfs/dbraw/zinc/02/42/89/1074024289.db2.gz BTQAOLYTEMDGJA-YUNKPMOVSA-N 0 0 432.433 -0.047 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)CC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000815418836 1074024298 /nfs/dbraw/zinc/02/42/98/1074024298.db2.gz IMAROXVEXDRVRS-CRAIPNDOSA-N 0 0 445.472 -0.043 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)CC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000815418837 1074024200 /nfs/dbraw/zinc/02/42/00/1074024200.db2.gz IMAROXVEXDRVRS-MAUKXSAKSA-N 0 0 445.472 -0.043 20 0 IBADRN O=C(COC(=O)[C@H]1CCNC(=O)CC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000815418838 1074024213 /nfs/dbraw/zinc/02/42/13/1074024213.db2.gz IMAROXVEXDRVRS-QAPCUYQASA-N 0 0 445.472 -0.043 20 0 IBADRN O=C(COC(=O)[C@@H]1CCNC(=O)CC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000815418839 1074024262 /nfs/dbraw/zinc/02/42/62/1074024262.db2.gz IMAROXVEXDRVRS-YJBOKZPZSA-N 0 0 445.472 -0.043 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@@H]2CCNC(=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000815422758 1074024568 /nfs/dbraw/zinc/02/45/68/1074024568.db2.gz QVZVWBMIBAQPAQ-AWEZNQCLSA-N 0 0 428.445 -0.180 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)[C@H]2CCNC(=O)CC2)c(N)n(Cc2ccccc2)c1=O ZINC000815422759 1074024687 /nfs/dbraw/zinc/02/46/87/1074024687.db2.gz QVZVWBMIBAQPAQ-CQSZACIVSA-N 0 0 428.445 -0.180 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC000815424787 1074024618 /nfs/dbraw/zinc/02/46/18/1074024618.db2.gz IRXKOBOCVWWXOD-AWEZNQCLSA-N 0 0 442.494 -0.416 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC000815424788 1074024701 /nfs/dbraw/zinc/02/47/01/1074024701.db2.gz IRXKOBOCVWWXOD-CQSZACIVSA-N 0 0 442.494 -0.416 20 0 IBADRN CCn1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)n1 ZINC000815425778 1074024588 /nfs/dbraw/zinc/02/45/88/1074024588.db2.gz JOHUXNDYYAWVTR-UHFFFAOYSA-N 0 0 437.478 -0.004 20 0 IBADRN CCn1ncc(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)n1 ZINC000815426022 1074024725 /nfs/dbraw/zinc/02/47/25/1074024725.db2.gz SXJOIDKFGCUBQA-UHFFFAOYSA-N 0 0 437.478 -0.208 20 0 IBADRN CCn1ncc(C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)n1 ZINC000815426336 1074024597 /nfs/dbraw/zinc/02/45/97/1074024597.db2.gz HHNMAZULXSUFAJ-KRWDZBQOSA-N 0 0 429.433 -0.034 20 0 IBADRN CCn1ncc(C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)n1 ZINC000815426337 1074024716 /nfs/dbraw/zinc/02/47/16/1074024716.db2.gz HHNMAZULXSUFAJ-QGZVFWFLSA-N 0 0 429.433 -0.034 20 0 IBADRN CCn1ncc(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000815427038 1074024678 /nfs/dbraw/zinc/02/46/78/1074024678.db2.gz IRMPQYGKYAWNGD-UHFFFAOYSA-N 0 0 437.478 -0.208 20 0 IBADRN CCn1ncc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC000815429652 1074024640 /nfs/dbraw/zinc/02/46/40/1074024640.db2.gz FONDDNYUJGSQSS-UHFFFAOYSA-N 0 0 426.455 -0.407 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)nn1C ZINC000815448802 1074024577 /nfs/dbraw/zinc/02/45/77/1074024577.db2.gz UXPWAGJFUBIVOL-CYBMUJFWSA-N 0 0 437.501 -0.058 20 0 IBADRN Cc1nc(NC(=O)C(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)nn1C ZINC000815448803 1074024709 /nfs/dbraw/zinc/02/47/09/1074024709.db2.gz UXPWAGJFUBIVOL-ZDUSSCGKSA-N 0 0 437.501 -0.058 20 0 IBADRN COC(=O)C(C)(C)c1csc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)n1 ZINC000815449754 1074024342 /nfs/dbraw/zinc/02/43/42/1074024342.db2.gz AYUBEBGFSPNXHJ-UHFFFAOYSA-N 0 0 448.523 -0.690 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)Nc1nc(CC(F)(F)F)cs1 ZINC000815449945 1074024534 /nfs/dbraw/zinc/02/45/34/1074024534.db2.gz IOBDWDZVPFHONB-UHFFFAOYSA-N 0 0 430.430 -0.035 20 0 IBADRN COCc1cc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc(C(=O)OC)c1 ZINC000815449988 1074024629 /nfs/dbraw/zinc/02/46/29/1074024629.db2.gz JZNKHTLOPJNPHU-UHFFFAOYSA-N 0 0 443.478 -0.664 20 0 IBADRN CC(=O)N(c1ccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCOCC2)cc1)C1CC1 ZINC000815450007 1074024606 /nfs/dbraw/zinc/02/46/06/1074024606.db2.gz KTRNGWZWAAMKMX-UHFFFAOYSA-N 0 0 438.506 -0.081 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@H](O)COCC3CC3)cn2)CC1 ZINC000815452443 1074024931 /nfs/dbraw/zinc/02/49/31/1074024931.db2.gz UGNFNNRLXRGEPC-INIZCTEOSA-N 0 0 435.481 -0.188 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](O)COCC3CC3)cn2)CC1 ZINC000815452444 1074024991 /nfs/dbraw/zinc/02/49/91/1074024991.db2.gz UGNFNNRLXRGEPC-MRXNPFEDSA-N 0 0 435.481 -0.188 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCN3CCO[C@@H](C)C3)cn2)CC1 ZINC000815454086 1074025015 /nfs/dbraw/zinc/02/50/15/1074025015.db2.gz HTSSHZUUJXURRR-HNNXBMFYSA-N 0 0 434.497 -0.255 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCN3CCO[C@H](C)C3)cn2)CC1 ZINC000815454087 1074024954 /nfs/dbraw/zinc/02/49/54/1074024954.db2.gz HTSSHZUUJXURRR-OAHLLOKOSA-N 0 0 434.497 -0.255 20 0 IBADRN COC(=O)Cc1sccc1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000815455020 1074024923 /nfs/dbraw/zinc/02/49/23/1074024923.db2.gz OWKNVGFKXKBTBB-CYBMUJFWSA-N 0 0 444.531 -0.048 20 0 IBADRN COC(=O)Cc1sccc1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000815455021 1074025038 /nfs/dbraw/zinc/02/50/38/1074025038.db2.gz OWKNVGFKXKBTBB-ZDUSSCGKSA-N 0 0 444.531 -0.048 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000815458582 1074025002 /nfs/dbraw/zinc/02/50/02/1074025002.db2.gz VMPWMPORTSYZMG-UHFFFAOYSA-N 0 0 438.485 -0.186 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CCCNC(=O)C3)cc2C(F)(F)C1=O ZINC000815459604 1074024890 /nfs/dbraw/zinc/02/48/90/1074024890.db2.gz KMXYPYZCDMLSCW-UHFFFAOYSA-N 0 0 438.387 -0.025 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CCc4nc(C)nn4C3)cn2)CC1 ZINC000815461471 1074024982 /nfs/dbraw/zinc/02/49/82/1074024982.db2.gz YAFRGLUXQFYLPJ-HNNXBMFYSA-N 0 0 442.480 -0.060 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CCc4nc(C)nn4C3)cn2)CC1 ZINC000815461472 1074025081 /nfs/dbraw/zinc/02/50/81/1074025081.db2.gz YAFRGLUXQFYLPJ-OAHLLOKOSA-N 0 0 442.480 -0.060 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCCN3CCOC3=O)cn2)CC1 ZINC000815469123 1074025108 /nfs/dbraw/zinc/02/51/08/1074025108.db2.gz YCORJYDQOHATEN-UHFFFAOYSA-N 0 0 434.453 -0.133 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CCO1 ZINC000815469640 1074025284 /nfs/dbraw/zinc/02/52/84/1074025284.db2.gz RQPQEJZCBOPVBV-AWEZNQCLSA-N 0 0 435.437 -0.691 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CCO1 ZINC000815469641 1074025389 /nfs/dbraw/zinc/02/53/89/1074025389.db2.gz RQPQEJZCBOPVBV-CQSZACIVSA-N 0 0 435.437 -0.691 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCN3CCOC[C@@H]3C)cn2)CC1 ZINC000815471719 1074025477 /nfs/dbraw/zinc/02/54/77/1074025477.db2.gz RPCQHNAZKVFAAJ-HNNXBMFYSA-N 0 0 434.497 -0.255 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCN3CCOC[C@H]3C)cn2)CC1 ZINC000815471720 1074025432 /nfs/dbraw/zinc/02/54/32/1074025432.db2.gz RPCQHNAZKVFAAJ-OAHLLOKOSA-N 0 0 434.497 -0.255 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)CC1 ZINC000815472180 1074025456 /nfs/dbraw/zinc/02/54/56/1074025456.db2.gz MOQICTDAIILJMM-IYBDPMFKSA-N 0 0 448.520 -0.460 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000815472809 1074025344 /nfs/dbraw/zinc/02/53/44/1074025344.db2.gz PKOAKOHMBXZYDD-AYDFFVQHSA-N 0 0 426.491 -0.191 20 0 IBADRN CC(C)[C@@H](OC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000815472810 1074025381 /nfs/dbraw/zinc/02/53/81/1074025381.db2.gz PKOAKOHMBXZYDD-HGTKMLMNSA-N 0 0 426.491 -0.191 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000815472811 1074025363 /nfs/dbraw/zinc/02/53/63/1074025363.db2.gz PKOAKOHMBXZYDD-NYTXWWLZSA-N 0 0 426.491 -0.191 20 0 IBADRN CC(C)[C@@H](OC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000815472812 1074025467 /nfs/dbraw/zinc/02/54/67/1074025467.db2.gz PKOAKOHMBXZYDD-TTZDDIAXSA-N 0 0 426.491 -0.191 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)cc1 ZINC000815473161 1074025322 /nfs/dbraw/zinc/02/53/22/1074025322.db2.gz GEABNATUPJIWQS-IYBDPMFKSA-N 0 0 449.485 -0.513 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)S(C)(=O)=O ZINC000815473269 1074025400 /nfs/dbraw/zinc/02/54/00/1074025400.db2.gz LFOBXCVSODAFQI-GASCZTMLSA-N 0 0 443.522 -0.343 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)cc1 ZINC000815473357 1074025351 /nfs/dbraw/zinc/02/53/51/1074025351.db2.gz PRVKGCXONUOAMQ-IYBDPMFKSA-N 0 0 429.429 -0.339 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CC[C@H](C(N)=O)C3)cc2C(F)(F)C1=O ZINC000815473412 1074025412 /nfs/dbraw/zinc/02/54/12/1074025412.db2.gz RSJICCRMGXMYQB-JTQLQIEISA-N 0 0 438.387 -0.040 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CC[C@@H](C(N)=O)C3)cc2C(F)(F)C1=O ZINC000815473413 1074025446 /nfs/dbraw/zinc/02/54/46/1074025446.db2.gz RSJICCRMGXMYQB-SNVBAGLBSA-N 0 0 438.387 -0.040 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)OCCOC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000815473533 1074025336 /nfs/dbraw/zinc/02/53/36/1074025336.db2.gz XDWBNWJUDZXVBP-GEEKYZPCSA-N 0 0 444.440 -0.025 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000815473608 1074024970 /nfs/dbraw/zinc/02/49/70/1074024970.db2.gz AZKDSFCQYWXJOP-BARDWOONSA-N 0 0 426.491 -0.095 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000815473609 1074024881 /nfs/dbraw/zinc/02/48/81/1074024881.db2.gz AZKDSFCQYWXJOP-LJISPDSOSA-N 0 0 426.491 -0.095 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000815473610 1074024941 /nfs/dbraw/zinc/02/49/41/1074024941.db2.gz AZKDSFCQYWXJOP-TUVASFSCSA-N 0 0 426.491 -0.095 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000815473611 1074025052 /nfs/dbraw/zinc/02/50/52/1074025052.db2.gz AZKDSFCQYWXJOP-ZQDZILKHSA-N 0 0 426.491 -0.095 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000815473712 1074025025 /nfs/dbraw/zinc/02/50/25/1074025025.db2.gz FRRJNXJKYZTXEM-GASCZTMLSA-N 0 0 447.469 -0.283 20 0 IBADRN COC(=O)CSCCNC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815474075 1074024901 /nfs/dbraw/zinc/02/49/01/1074024901.db2.gz XMFDQSQYYWQBTQ-UHFFFAOYSA-N 0 0 439.494 -0.069 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)[C@@H]3CC=CC[C@@H]3C1=O)c(=O)n2C ZINC000815474148 1074025094 /nfs/dbraw/zinc/02/50/94/1074025094.db2.gz AOOHZZZUBPJMPM-BETUJISGSA-N 0 0 429.433 -0.682 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)NCCS(=O)(=O)N2CCSCC2)c1 ZINC000815477547 1074024912 /nfs/dbraw/zinc/02/49/12/1074024912.db2.gz MUKWXFDQQDJROY-UHFFFAOYSA-N 0 0 430.508 -0.162 20 0 IBADRN CC(C)C(=O)Nc1cc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)ccn1 ZINC000815481112 1074025841 /nfs/dbraw/zinc/02/58/41/1074025841.db2.gz UTKZTHLSMOFMEZ-GFCCVEGCSA-N 0 0 426.451 -0.154 20 0 IBADRN CC(C)C(=O)Nc1cc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)ccn1 ZINC000815481113 1074025851 /nfs/dbraw/zinc/02/58/51/1074025851.db2.gz UTKZTHLSMOFMEZ-LBPRGKRZSA-N 0 0 426.451 -0.154 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)C(=O)Nc1nnn(CCOc2ccc(F)cc2)c1C ZINC000815483186 1074025652 /nfs/dbraw/zinc/02/56/52/1074025652.db2.gz SPJSLPQKBWUASB-UHFFFAOYSA-N 0 0 442.473 -0.201 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)C1 ZINC000815484073 1074025315 /nfs/dbraw/zinc/02/53/15/1074025315.db2.gz BUJVHWKLOSRGFQ-AWEZNQCLSA-N 0 0 432.481 -0.107 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)C1 ZINC000815484074 1074025372 /nfs/dbraw/zinc/02/53/72/1074025372.db2.gz BUJVHWKLOSRGFQ-CQSZACIVSA-N 0 0 432.481 -0.107 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000815487060 1074025304 /nfs/dbraw/zinc/02/53/04/1074025304.db2.gz WLZGVRXXJSOAST-HNNXBMFYSA-N 0 0 442.494 -0.052 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000815487061 1074025420 /nfs/dbraw/zinc/02/54/20/1074025420.db2.gz WLZGVRXXJSOAST-OAHLLOKOSA-N 0 0 442.494 -0.052 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(C)[C@H](C)CS(C)(=O)=O)cn2)CC1 ZINC000815491190 1074025791 /nfs/dbraw/zinc/02/57/91/1074025791.db2.gz KTTVCLWPUIRBAC-CYBMUJFWSA-N 0 0 441.510 -0.200 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(C)[C@@H](C)CS(C)(=O)=O)cn2)CC1 ZINC000815491191 1074025931 /nfs/dbraw/zinc/02/59/31/1074025931.db2.gz KTTVCLWPUIRBAC-ZDUSSCGKSA-N 0 0 441.510 -0.200 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(C)CCN3CCCC3=O)cn2)CC1 ZINC000815495747 1074025869 /nfs/dbraw/zinc/02/58/69/1074025869.db2.gz MPSONDVINXPRCT-UHFFFAOYSA-N 0 0 432.481 -0.011 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815496856 1074025720 /nfs/dbraw/zinc/02/57/20/1074025720.db2.gz UVNDMVHNVFHNHW-CYBMUJFWSA-N 0 0 441.510 -0.152 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815496857 1074025884 /nfs/dbraw/zinc/02/58/84/1074025884.db2.gz UVNDMVHNVFHNHW-ZDUSSCGKSA-N 0 0 441.510 -0.152 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@H](OCCO)C3)cn2)CC1 ZINC000815497262 1074025770 /nfs/dbraw/zinc/02/57/70/1074025770.db2.gz FWTFQYBXOQGUGG-INIZCTEOSA-N 0 0 435.481 -0.092 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@@H](OCCO)C3)cn2)CC1 ZINC000815497263 1074025782 /nfs/dbraw/zinc/02/57/82/1074025782.db2.gz FWTFQYBXOQGUGG-MRXNPFEDSA-N 0 0 435.481 -0.092 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000815506286 1074025689 /nfs/dbraw/zinc/02/56/89/1074025689.db2.gz SAAQUFBSBODJJF-UHFFFAOYSA-N 0 0 427.483 -0.963 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NC[C@@H](O)COC)cc2C(F)(F)C1=O ZINC000815507413 1074025737 /nfs/dbraw/zinc/02/57/37/1074025737.db2.gz GSMPZRIMSFFQHK-LLVKDONJSA-N 0 0 429.376 -0.250 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@@H](CNC(C)=O)C3)cn2)CC1 ZINC000815507558 1074026159 /nfs/dbraw/zinc/02/61/59/1074026159.db2.gz MAVJYRIUXQBZDP-INIZCTEOSA-N 0 0 448.480 -0.728 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@H](CNC(C)=O)C3)cn2)CC1 ZINC000815507559 1074026146 /nfs/dbraw/zinc/02/61/46/1074026146.db2.gz MAVJYRIUXQBZDP-MRXNPFEDSA-N 0 0 448.480 -0.728 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NCCS(C)(=O)=O)cc2C(F)(F)C1=O ZINC000815508628 1074026251 /nfs/dbraw/zinc/02/62/51/1074026251.db2.gz BHCLFNXUAMMGIW-UHFFFAOYSA-N 0 0 447.416 -0.213 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCNc3cnccn3)cn2)CC1 ZINC000815508748 1074026134 /nfs/dbraw/zinc/02/61/34/1074026134.db2.gz GXZWJLJDFARPTP-UHFFFAOYSA-N 0 0 428.453 -0.073 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCCN3C(=O)CCC3=O)cn2)CC1 ZINC000815511123 1074026241 /nfs/dbraw/zinc/02/62/41/1074026241.db2.gz WOXRQTTUXYNBHP-UHFFFAOYSA-N 0 0 446.464 -0.436 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCN3C(=O)CCC3=O)cn2)CC1 ZINC000815512529 1074026121 /nfs/dbraw/zinc/02/61/21/1074026121.db2.gz ZAWOWGBLFFWXMG-UHFFFAOYSA-N 0 0 432.437 -0.826 20 0 IBADRN C[C@@H](OC(=O)c1cnn(C)c1I)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000815514065 1074026270 /nfs/dbraw/zinc/02/62/70/1074026270.db2.gz FGWOPTFSPZRJMX-HTQZYQBOSA-N 0 0 441.247 -0.127 20 0 IBADRN C[C@H](OC(=O)c1cnn(C)c1I)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000815514066 1074026653 /nfs/dbraw/zinc/02/66/53/1074026653.db2.gz FGWOPTFSPZRJMX-JGVFFNPUSA-N 0 0 441.247 -0.127 20 0 IBADRN C[C@@H](OC(=O)c1cnn(C)c1I)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000815514067 1074026711 /nfs/dbraw/zinc/02/67/11/1074026711.db2.gz FGWOPTFSPZRJMX-SFYZADRCSA-N 0 0 441.247 -0.127 20 0 IBADRN C[C@H](OC(=O)c1cnn(C)c1I)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000815514068 1074026717 /nfs/dbraw/zinc/02/67/17/1074026717.db2.gz FGWOPTFSPZRJMX-YUMQZZPRSA-N 0 0 441.247 -0.127 20 0 IBADRN Cn1cc(S(=O)(=O)NCCOC(=O)c2cnn(C)c2I)cn1 ZINC000815514855 1074026747 /nfs/dbraw/zinc/02/67/47/1074026747.db2.gz ILTIVCFTCBGREJ-UHFFFAOYSA-N 0 0 439.235 -0.107 20 0 IBADRN Cn1ncc(C(=O)OCc2nc3c([nH]2)n(C)c(=O)n(C)c3=O)c1I ZINC000815515028 1074026594 /nfs/dbraw/zinc/02/65/94/1074026594.db2.gz NWDCYTHSUGTDPL-UHFFFAOYSA-N 0 0 444.189 -0.345 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1I ZINC000815515456 1074026630 /nfs/dbraw/zinc/02/66/30/1074026630.db2.gz FKEODCQVKMMTAI-UHFFFAOYSA-N 0 0 447.189 -0.956 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@H](C)CN3CCOCC3)cn2)CC1 ZINC000815515852 1074026728 /nfs/dbraw/zinc/02/67/28/1074026728.db2.gz SLNCJCXZQPIMDV-INIZCTEOSA-N 0 0 448.524 -0.007 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NC[C@@H](C)CN3CCOCC3)cn2)CC1 ZINC000815515853 1074026479 /nfs/dbraw/zinc/02/64/79/1074026479.db2.gz SLNCJCXZQPIMDV-MRXNPFEDSA-N 0 0 448.524 -0.007 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N[C@@H]3CCN(C)C3=O)cc2C(F)(F)C1=O ZINC000815516139 1074026567 /nfs/dbraw/zinc/02/65/67/1074026567.db2.gz FFSQHFXCDJRWDF-GFCCVEGCSA-N 0 0 438.387 -0.027 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N[C@H]3CCN(C)C3=O)cc2C(F)(F)C1=O ZINC000815516140 1074026687 /nfs/dbraw/zinc/02/66/87/1074026687.db2.gz FFSQHFXCDJRWDF-LBPRGKRZSA-N 0 0 438.387 -0.027 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC000815517247 1074026539 /nfs/dbraw/zinc/02/65/39/1074026539.db2.gz UCGFCLRRBZHROR-UHFFFAOYSA-N 0 0 425.467 -0.835 20 0 IBADRN COc1cnc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)nc1 ZINC000815524794 1074026698 /nfs/dbraw/zinc/02/66/98/1074026698.db2.gz HXJWQPSBPPMIKG-UHFFFAOYSA-N 0 0 439.450 -0.220 20 0 IBADRN CCn1nncc1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000815526372 1074026550 /nfs/dbraw/zinc/02/65/50/1074026550.db2.gz QRFCYFLZFIMVNZ-UHFFFAOYSA-N 0 0 437.478 -0.208 20 0 IBADRN CCn1nncc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000815526478 1074026639 /nfs/dbraw/zinc/02/66/39/1074026639.db2.gz UOFDKWMIXLKYPZ-UHFFFAOYSA-N 0 0 437.478 -0.004 20 0 IBADRN CCn1nncc1C(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC000815526760 1074026988 /nfs/dbraw/zinc/02/69/88/1074026988.db2.gz FFOGIEPNGXUVEE-KRWDZBQOSA-N 0 0 429.433 -0.034 20 0 IBADRN CCn1nncc1C(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC000815526761 1074027010 /nfs/dbraw/zinc/02/70/10/1074027010.db2.gz FFOGIEPNGXUVEE-QGZVFWFLSA-N 0 0 429.433 -0.034 20 0 IBADRN CCn1nncc1C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000815526847 1074027108 /nfs/dbraw/zinc/02/71/08/1074027108.db2.gz HZBAQAUSCGBWME-UHFFFAOYSA-N 0 0 437.478 -0.208 20 0 IBADRN CCn1nncc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000815530462 1074026967 /nfs/dbraw/zinc/02/69/67/1074026967.db2.gz LDMQCFQBQSNYMI-UHFFFAOYSA-N 0 0 426.455 -0.407 20 0 IBADRN CCOC(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC000815532410 1074027058 /nfs/dbraw/zinc/02/70/58/1074027058.db2.gz JDTSJKNIJAOLEL-HNNXBMFYSA-N 0 0 439.490 -0.254 20 0 IBADRN CCOC(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC000815532411 1074027139 /nfs/dbraw/zinc/02/71/39/1074027139.db2.gz JDTSJKNIJAOLEL-OAHLLOKOSA-N 0 0 439.490 -0.254 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H](O)C3)cc2C(F)(F)C1=O ZINC000815536034 1074026202 /nfs/dbraw/zinc/02/62/02/1074026202.db2.gz HEDSHNCXHKJPTN-TXEJJXNPSA-N 0 0 441.387 -0.419 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC(C)(C)S(C)(=O)=O)cn2)CC1 ZINC000815536349 1074026301 /nfs/dbraw/zinc/02/63/01/1074026301.db2.gz RFZQOADLAMPFGS-UHFFFAOYSA-N 0 0 441.510 -0.152 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)cn2)CC1 ZINC000815536583 1074026187 /nfs/dbraw/zinc/02/61/87/1074026187.db2.gz YTZCDFKFVROWGY-UHFFFAOYSA-N 0 0 430.465 -0.258 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NCCS(N)(=O)=O)cc2C(F)(F)C1=O ZINC000815536882 1074026279 /nfs/dbraw/zinc/02/62/79/1074026279.db2.gz ILTCSGHBEXDBBW-UHFFFAOYSA-N 0 0 448.404 -0.969 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C)C3)cc2C(F)(F)C1=O ZINC000815537054 1074026180 /nfs/dbraw/zinc/02/61/80/1074026180.db2.gz NPFBQWGCNJXGHD-LLVKDONJSA-N 0 0 438.387 -0.027 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C)C3)cc2C(F)(F)C1=O ZINC000815537055 1074026311 /nfs/dbraw/zinc/02/63/11/1074026311.db2.gz NPFBQWGCNJXGHD-NSHDSACASA-N 0 0 438.387 -0.027 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)cn2)CC1 ZINC000815537489 1074026316 /nfs/dbraw/zinc/02/63/16/1074026316.db2.gz BSRFBYDHISIDDY-AWEZNQCLSA-N 0 0 430.465 -0.212 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)cn2)CC1 ZINC000815537490 1074026290 /nfs/dbraw/zinc/02/62/90/1074026290.db2.gz BSRFBYDHISIDDY-CQSZACIVSA-N 0 0 430.465 -0.212 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CCN(C)C(=O)C3)cc2C(F)(F)C1=O ZINC000815537815 1074027157 /nfs/dbraw/zinc/02/71/57/1074027157.db2.gz LXIFVEBVUIPNHP-UHFFFAOYSA-N 0 0 438.387 -0.073 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CCO1 ZINC000815539111 1074027432 /nfs/dbraw/zinc/02/74/32/1074027432.db2.gz ZNBVLOOXKMYXMF-HNNXBMFYSA-N 0 0 449.464 -0.301 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CCO1 ZINC000815539112 1074027349 /nfs/dbraw/zinc/02/73/49/1074027349.db2.gz ZNBVLOOXKMYXMF-OAHLLOKOSA-N 0 0 449.464 -0.301 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N[C@H](C)C(=O)NC)cc2C(F)(F)C1=O ZINC000815539140 1074027455 /nfs/dbraw/zinc/02/74/55/1074027455.db2.gz ARYBNYIWMLFBKF-SECBINFHSA-N 0 0 426.376 -0.123 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@H]4C3)cn2)CC1 ZINC000815539334 1074027147 /nfs/dbraw/zinc/02/71/47/1074027147.db2.gz HRMUBNBKSKOKDS-AWEZNQCLSA-N 0 0 431.453 -0.856 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)NC[C@@H]4C3)cn2)CC1 ZINC000815539335 1074027341 /nfs/dbraw/zinc/02/73/41/1074027341.db2.gz HRMUBNBKSKOKDS-CQSZACIVSA-N 0 0 431.453 -0.856 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1cnn(C)c1I ZINC000815539943 1074027474 /nfs/dbraw/zinc/02/74/74/1074027474.db2.gz FVPZWFXCLRERJW-UHFFFAOYSA-N 0 0 436.250 -0.028 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CC(=O)N(C(C)C)C3=O)cn2)CC1 ZINC000815542764 1074027398 /nfs/dbraw/zinc/02/73/98/1074027398.db2.gz RSUXSYQNCHWGSO-AWEZNQCLSA-N 0 0 446.464 -0.439 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CC(=O)N(C(C)C)C3=O)cn2)CC1 ZINC000815542765 1074027363 /nfs/dbraw/zinc/02/73/63/1074027363.db2.gz RSUXSYQNCHWGSO-CQSZACIVSA-N 0 0 446.464 -0.439 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@@H]4C3)cn2)CC1 ZINC000815542778 1074027388 /nfs/dbraw/zinc/02/73/88/1074027388.db2.gz SGBWFQJDZUGWPR-AWEZNQCLSA-N 0 0 432.437 -0.429 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)OC[C@H]4C3)cn2)CC1 ZINC000815542779 1074027443 /nfs/dbraw/zinc/02/74/43/1074027443.db2.gz SGBWFQJDZUGWPR-CQSZACIVSA-N 0 0 432.437 -0.429 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)C1 ZINC000815542793 1074027466 /nfs/dbraw/zinc/02/74/66/1074027466.db2.gz SMTVDOTWEZYROE-HNNXBMFYSA-N 0 0 447.496 -0.172 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)C1 ZINC000815542794 1074027495 /nfs/dbraw/zinc/02/74/95/1074027495.db2.gz SMTVDOTWEZYROE-OAHLLOKOSA-N 0 0 447.496 -0.172 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1 ZINC000815544080 1074027484 /nfs/dbraw/zinc/02/74/84/1074027484.db2.gz ITPUOHWCXDGMMR-UHFFFAOYSA-N 0 0 442.494 -0.146 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)[C@H]3CN(C)CCO3)cn2)CC1 ZINC000815544584 1074027284 /nfs/dbraw/zinc/02/72/84/1074027284.db2.gz ZGVASZTWOMGSNP-GDBMZVCRSA-N 0 0 434.497 -0.256 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)[C@H]3CN(C)CCO3)cn2)CC1 ZINC000815544585 1074027504 /nfs/dbraw/zinc/02/75/04/1074027504.db2.gz ZGVASZTWOMGSNP-GOEBONIOSA-N 0 0 434.497 -0.256 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H](C)[C@@H]3CN(C)CCO3)cn2)CC1 ZINC000815544586 1074027409 /nfs/dbraw/zinc/02/74/09/1074027409.db2.gz ZGVASZTWOMGSNP-HOCLYGCPSA-N 0 0 434.497 -0.256 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H](C)[C@@H]3CN(C)CCO3)cn2)CC1 ZINC000815544587 1074027312 /nfs/dbraw/zinc/02/73/12/1074027312.db2.gz ZGVASZTWOMGSNP-ZBFHGGJFSA-N 0 0 434.497 -0.256 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NC[C@]3(O)CCOC3)cc2C(F)(F)C1=O ZINC000815546867 1074027373 /nfs/dbraw/zinc/02/73/73/1074027373.db2.gz YYILRLFQSICBIP-GOSISDBHSA-N 0 0 441.387 -0.106 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NC[C@@]3(O)CCOC3)cc2C(F)(F)C1=O ZINC000815546868 1074027546 /nfs/dbraw/zinc/02/75/46/1074027546.db2.gz YYILRLFQSICBIP-SFHVURJKSA-N 0 0 441.387 -0.106 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC(OCCO)CC3)cn2)CC1 ZINC000815547119 1074027536 /nfs/dbraw/zinc/02/75/36/1074027536.db2.gz HABICXXOXDMVHL-UHFFFAOYSA-N 0 0 435.481 -0.092 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815548224 1074027893 /nfs/dbraw/zinc/02/78/93/1074027893.db2.gz QSNZVSGFHNTHMC-UHFFFAOYSA-N 0 0 441.510 -0.198 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815548824 1074027877 /nfs/dbraw/zinc/02/78/77/1074027877.db2.gz KIBPFCCQRBNDBC-CABCVRRESA-N 0 0 432.481 -0.061 20 0 IBADRN CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815548825 1074027919 /nfs/dbraw/zinc/02/79/19/1074027919.db2.gz KIBPFCCQRBNDBC-GJZGRUSLSA-N 0 0 432.481 -0.061 20 0 IBADRN CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815548826 1074027958 /nfs/dbraw/zinc/02/79/58/1074027958.db2.gz KIBPFCCQRBNDBC-HUUCEWRRSA-N 0 0 432.481 -0.061 20 0 IBADRN CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815548827 1074027760 /nfs/dbraw/zinc/02/77/60/1074027760.db2.gz KIBPFCCQRBNDBC-LSDHHAIUSA-N 0 0 432.481 -0.061 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)OCC[C@H]4C3)cn2)CC1 ZINC000815548867 1074027975 /nfs/dbraw/zinc/02/79/75/1074027975.db2.gz LHASADZQROTPNW-HNNXBMFYSA-N 0 0 446.464 -0.038 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)OCC[C@@H]4C3)cn2)CC1 ZINC000815548868 1074027945 /nfs/dbraw/zinc/02/79/45/1074027945.db2.gz LHASADZQROTPNW-OAHLLOKOSA-N 0 0 446.464 -0.038 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)cn2)CC1 ZINC000815548911 1074027883 /nfs/dbraw/zinc/02/78/83/1074027883.db2.gz MVAAPNIBOWVMHL-HNNXBMFYSA-N 0 0 430.465 -0.258 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)cn2)CC1 ZINC000815548912 1074027952 /nfs/dbraw/zinc/02/79/52/1074027952.db2.gz MVAAPNIBOWVMHL-OAHLLOKOSA-N 0 0 430.465 -0.258 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC(O)(C(=O)OC)CC3)cn2)CC1 ZINC000815549549 1074027129 /nfs/dbraw/zinc/02/71/29/1074027129.db2.gz KOBDNHNJQYNPGU-UHFFFAOYSA-N 0 0 449.464 -0.565 20 0 IBADRN COCCN1CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CC1=O ZINC000815549599 1074026999 /nfs/dbraw/zinc/02/69/99/1074026999.db2.gz MDJWCJWOQUNLBZ-UHFFFAOYSA-N 0 0 448.480 -0.774 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000815549908 1074027047 /nfs/dbraw/zinc/02/70/47/1074027047.db2.gz WQZZTTPIJAPZDR-UHFFFAOYSA-N 0 0 430.421 -0.291 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000815550475 1074027779 /nfs/dbraw/zinc/02/77/79/1074027779.db2.gz QLHIFIUXULJPED-UHFFFAOYSA-N 0 0 433.465 -0.654 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NCCn3ncnn3)cc2C(F)(F)C1=O ZINC000815551365 1074026957 /nfs/dbraw/zinc/02/69/57/1074026957.db2.gz ROCBSLOVDRXLGM-UHFFFAOYSA-N 0 0 437.363 -0.571 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC(OCC(=O)N(C)C)C3)cn2)CC1 ZINC000815551461 1074027020 /nfs/dbraw/zinc/02/70/20/1074027020.db2.gz VIEPQPDSGQAYJD-UHFFFAOYSA-N 0 0 448.480 -0.776 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCN3C(=S)N=NC3C)cn2)CC1 ZINC000815554000 1074027099 /nfs/dbraw/zinc/02/70/99/1074027099.db2.gz PGXJGXCBVZXMLT-UHFFFAOYSA-N 0 0 448.509 -0.086 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCNC(=O)c3ccn[nH]3)cn2)CC1 ZINC000815554625 1074027072 /nfs/dbraw/zinc/02/70/72/1074027072.db2.gz DLCWJFRCGXNJJH-UHFFFAOYSA-N 0 0 444.452 -0.822 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(C)C)C(=O)C3)cn2)CC1 ZINC000815556613 1074027038 /nfs/dbraw/zinc/02/70/38/1074027038.db2.gz ZLUVJTSZXXADDW-UHFFFAOYSA-N 0 0 432.481 -0.012 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCc3nnnn3CC3CC3)cn2)CC1 ZINC000815572386 1074028190 /nfs/dbraw/zinc/02/81/90/1074028190.db2.gz BTLCMHRJDUTWON-UHFFFAOYSA-N 0 0 443.468 -0.379 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CC(C(=O)NC)C3)cc2C(F)(F)C1=O ZINC000815572764 1074028364 /nfs/dbraw/zinc/02/83/64/1074028364.db2.gz MXCVSPBHXPMVOA-UHFFFAOYSA-N 0 0 438.387 -0.169 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)cn2)CC1 ZINC000815572867 1074028278 /nfs/dbraw/zinc/02/82/78/1074028278.db2.gz QFTDQXCOSNTYRG-FQEVSTJZSA-N 0 0 433.465 -0.074 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)cn2)CC1 ZINC000815572868 1074028648 /nfs/dbraw/zinc/02/86/48/1074028648.db2.gz QFTDQXCOSNTYRG-HXUWFJFHSA-N 0 0 433.465 -0.074 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCO[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000815575139 1074028700 /nfs/dbraw/zinc/02/87/00/1074028700.db2.gz KKEKALIAAGXQRU-LLVKDONJSA-N 0 0 425.442 -0.103 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCO[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000815575140 1074028598 /nfs/dbraw/zinc/02/85/98/1074028598.db2.gz KKEKALIAAGXQRU-NSHDSACASA-N 0 0 425.442 -0.103 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC[C@@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)nn1 ZINC000815575999 1074027789 /nfs/dbraw/zinc/02/77/89/1074027789.db2.gz GSCIFVWJMPNNKV-CYBMUJFWSA-N 0 0 435.485 -0.176 20 0 IBADRN Cn1cc(NC(=O)C(=O)N2CCC[C@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)nn1 ZINC000815576000 1074027978 /nfs/dbraw/zinc/02/79/78/1074027978.db2.gz GSCIFVWJMPNNKV-ZDUSSCGKSA-N 0 0 435.485 -0.176 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@H](n4cncn4)C3)cn2)CC1 ZINC000815576102 1074027964 /nfs/dbraw/zinc/02/79/64/1074027964.db2.gz JMJFKVLYXCLNIO-HNNXBMFYSA-N 0 0 428.453 -0.026 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@H](n4cncn4)C3)cn2)CC1 ZINC000815576103 1074027927 /nfs/dbraw/zinc/02/79/27/1074027927.db2.gz JMJFKVLYXCLNIO-OAHLLOKOSA-N 0 0 428.453 -0.026 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCC[C@@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)n1 ZINC000815576713 1074027842 /nfs/dbraw/zinc/02/78/42/1074027842.db2.gz WXLCBSADJJMJTM-CYBMUJFWSA-N 0 0 435.485 -0.176 20 0 IBADRN Cn1cnc(NC(=O)C(=O)N2CCC[C@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)n1 ZINC000815576714 1074027969 /nfs/dbraw/zinc/02/79/69/1074027969.db2.gz WXLCBSADJJMJTM-ZDUSSCGKSA-N 0 0 435.485 -0.176 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(CCO)[C@@H](C)C3)cn2)CC1 ZINC000815577286 1074027805 /nfs/dbraw/zinc/02/78/05/1074027805.db2.gz LXBIJDFNTQBNHQ-HNNXBMFYSA-N 0 0 434.497 -0.567 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(CCO)[C@H](C)C3)cn2)CC1 ZINC000815577287 1074027900 /nfs/dbraw/zinc/02/79/00/1074027900.db2.gz LXBIJDFNTQBNHQ-OAHLLOKOSA-N 0 0 434.497 -0.567 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N(CCO)CCO)cc2C(F)(F)C1=O ZINC000815578470 1074027935 /nfs/dbraw/zinc/02/79/35/1074027935.db2.gz OSYHJFSMWGSMGI-UHFFFAOYSA-N 0 0 429.376 -0.560 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(CCO)C(C)(C)C3)cn2)CC1 ZINC000815579097 1074027855 /nfs/dbraw/zinc/02/78/55/1074027855.db2.gz FBJKAEPNAHURMM-UHFFFAOYSA-N 0 0 448.524 -0.176 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815579843 1074028271 /nfs/dbraw/zinc/02/82/71/1074028271.db2.gz WLZBQQMGRFLFCT-GDBMZVCRSA-N 0 0 449.464 -0.567 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815579844 1074028176 /nfs/dbraw/zinc/02/81/76/1074028176.db2.gz WLZBQQMGRFLFCT-GOEBONIOSA-N 0 0 449.464 -0.567 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815579845 1074028236 /nfs/dbraw/zinc/02/82/36/1074028236.db2.gz WLZBQQMGRFLFCT-HOCLYGCPSA-N 0 0 449.464 -0.567 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815579846 1074028377 /nfs/dbraw/zinc/02/83/77/1074028377.db2.gz WLZBQQMGRFLFCT-ZBFHGGJFSA-N 0 0 449.464 -0.567 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCN3CCCOCC3)cn2)CC1 ZINC000815580811 1074028300 /nfs/dbraw/zinc/02/83/00/1074028300.db2.gz RCWWKLDLYHJINF-UHFFFAOYSA-N 0 0 434.497 -0.253 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(C)CC(=O)N3CCOCC3)cn2)CC1 ZINC000815587659 1074028346 /nfs/dbraw/zinc/02/83/46/1074028346.db2.gz PRRPWBSDBNMFBE-UHFFFAOYSA-N 0 0 448.480 -0.774 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3C)cc2C(F)(F)C1=O ZINC000815593082 1074028211 /nfs/dbraw/zinc/02/82/11/1074028211.db2.gz BDNZKMHHTOTKMD-JTQLQIEISA-N 0 0 438.387 -0.027 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@H]3C)cc2C(F)(F)C1=O ZINC000815593083 1074028289 /nfs/dbraw/zinc/02/82/89/1074028289.db2.gz BDNZKMHHTOTKMD-SNVBAGLBSA-N 0 0 438.387 -0.027 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(C)CCN3CCOCC3)cn2)CC1 ZINC000815595231 1074028148 /nfs/dbraw/zinc/02/81/48/1074028148.db2.gz LFKAELVMAGXFBA-UHFFFAOYSA-N 0 0 434.497 -0.301 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@@H]3C(C)C)cn2)CC1 ZINC000815596035 1074028201 /nfs/dbraw/zinc/02/82/01/1074028201.db2.gz IQHSKQJCKUYABO-INIZCTEOSA-N 0 0 432.481 -0.109 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCNC(=O)[C@H]3C(C)C)cn2)CC1 ZINC000815596036 1074028155 /nfs/dbraw/zinc/02/81/55/1074028155.db2.gz IQHSKQJCKUYABO-MRXNPFEDSA-N 0 0 432.481 -0.109 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CCNC(=O)CC3)cc2C(F)(F)C1=O ZINC000815597215 1074028221 /nfs/dbraw/zinc/02/82/21/1074028221.db2.gz PPCVSZLCIZUDOK-UHFFFAOYSA-N 0 0 438.387 -0.025 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3C[C@H](O)C[C@H]3CO)cc2C(F)(F)C1=O ZINC000815601537 1074028331 /nfs/dbraw/zinc/02/83/31/1074028331.db2.gz XSDWVMNRGQLWRX-NWDGAFQWSA-N 0 0 441.387 -0.419 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(C)=O)C[C@@H]3C)cn2)CC1 ZINC000815602517 1074028166 /nfs/dbraw/zinc/02/81/66/1074028166.db2.gz CMYBGBAYLTTWJE-AWEZNQCLSA-N 0 0 432.481 -0.012 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN(C(C)=O)C[C@H]3C)cn2)CC1 ZINC000815602518 1074028357 /nfs/dbraw/zinc/02/83/57/1074028357.db2.gz CMYBGBAYLTTWJE-CQSZACIVSA-N 0 0 432.481 -0.012 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@H]3C)cn2)CC1 ZINC000815602927 1074029434 /nfs/dbraw/zinc/02/94/34/1074029434.db2.gz OIEIHLDTPXGHES-CYBMUJFWSA-N 0 0 439.494 -0.446 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCS(=O)(=O)C[C@@H]3C)cn2)CC1 ZINC000815602928 1074029454 /nfs/dbraw/zinc/02/94/54/1074029454.db2.gz OIEIHLDTPXGHES-ZDUSSCGKSA-N 0 0 439.494 -0.446 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3C[C@@H](O)[C@@H](O)C3)cc2C(F)(F)C1=O ZINC000815603023 1074029473 /nfs/dbraw/zinc/02/94/73/1074029473.db2.gz RFLPVFXREREPMU-BETUJISGSA-N 0 0 427.360 -0.809 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCN4C(=O)N=NC4C3)cn2)CC1 ZINC000815603748 1074029532 /nfs/dbraw/zinc/02/95/32/1074029532.db2.gz PZWDWLIOCQOIRL-UHFFFAOYSA-N 0 0 430.425 -0.752 20 0 IBADRN CCOC(=O)[C@@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815607220 1074029525 /nfs/dbraw/zinc/02/95/25/1074029525.db2.gz SVYRKNAPMJDTSY-IBGZPJMESA-N 0 0 437.453 -0.661 20 0 IBADRN CCOC(=O)[C@](C)(O)CNC(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815607221 1074029466 /nfs/dbraw/zinc/02/94/66/1074029466.db2.gz SVYRKNAPMJDTSY-LJQANCHMSA-N 0 0 437.453 -0.661 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N(C)C[C@H](O)CO)cc2C(F)(F)C1=O ZINC000815608659 1074028660 /nfs/dbraw/zinc/02/86/60/1074028660.db2.gz JMDNBRLLIDVHAW-NSHDSACASA-N 0 0 429.376 -0.562 20 0 IBADRN CCN(CCS(C)(=O)=O)C(=O)C(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000815608866 1074028679 /nfs/dbraw/zinc/02/86/79/1074028679.db2.gz PDGQLPCCZJSUSQ-UHFFFAOYSA-N 0 0 441.510 -0.198 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC000815610621 1074028801 /nfs/dbraw/zinc/02/88/01/1074028801.db2.gz PBXMVHIQBSWNIO-GFCCVEGCSA-N 0 0 438.485 -0.188 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC000815610622 1074028779 /nfs/dbraw/zinc/02/87/79/1074028779.db2.gz PBXMVHIQBSWNIO-LBPRGKRZSA-N 0 0 438.485 -0.188 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N(C)[C@@H]3CCNC3=O)cc2C(F)(F)C1=O ZINC000815612230 1074028737 /nfs/dbraw/zinc/02/87/37/1074028737.db2.gz HNXMLNUBOKYHHR-CYBMUJFWSA-N 0 0 438.387 -0.027 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N(C)[C@H]3CCNC3=O)cc2C(F)(F)C1=O ZINC000815612231 1074028572 /nfs/dbraw/zinc/02/85/72/1074028572.db2.gz HNXMLNUBOKYHHR-ZDUSSCGKSA-N 0 0 438.387 -0.027 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@H]3CCCN(CCO)C3=O)cn2)CC1 ZINC000815612245 1074028725 /nfs/dbraw/zinc/02/87/25/1074028725.db2.gz HTQXGVWQKIITFZ-HNNXBMFYSA-N 0 0 448.480 -0.992 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N[C@@H]3CCCN(CCO)C3=O)cn2)CC1 ZINC000815612246 1074028711 /nfs/dbraw/zinc/02/87/11/1074028711.db2.gz HTQXGVWQKIITFZ-OAHLLOKOSA-N 0 0 448.480 -0.992 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@H]3C[C@@H](C)O)cn2)CC1 ZINC000815613252 1074028621 /nfs/dbraw/zinc/02/86/21/1074028621.db2.gz IYTMKVAQCNWCNB-GDBMZVCRSA-N 0 0 435.481 -0.093 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@H]3C[C@H](C)O)cn2)CC1 ZINC000815613253 1074028742 /nfs/dbraw/zinc/02/87/42/1074028742.db2.gz IYTMKVAQCNWCNB-GOEBONIOSA-N 0 0 435.481 -0.093 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@@H]3C[C@H](C)O)cn2)CC1 ZINC000815613254 1074028590 /nfs/dbraw/zinc/02/85/90/1074028590.db2.gz IYTMKVAQCNWCNB-HOCLYGCPSA-N 0 0 435.481 -0.093 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCOC[C@@H]3C[C@@H](C)O)cn2)CC1 ZINC000815613255 1074028692 /nfs/dbraw/zinc/02/86/92/1074028692.db2.gz IYTMKVAQCNWCNB-ZBFHGGJFSA-N 0 0 435.481 -0.093 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3C[C@@H](O)C[C@H]3CO)cc2C(F)(F)C1=O ZINC000815613807 1074028789 /nfs/dbraw/zinc/02/87/89/1074028789.db2.gz XSDWVMNRGQLWRX-RYUDHWBXSA-N 0 0 441.387 -0.419 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc([C@H]3CCOC3)cc1)C2 ZINC000815615880 1074028757 /nfs/dbraw/zinc/02/87/57/1074028757.db2.gz ZUUGGGHLUXJZTE-HNNXBMFYSA-N 0 0 442.476 -0.382 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C(=O)Nc1ccc([C@@H]3CCOC3)cc1)C2 ZINC000815615881 1074028769 /nfs/dbraw/zinc/02/87/69/1074028769.db2.gz ZUUGGGHLUXJZTE-OAHLLOKOSA-N 0 0 442.476 -0.382 20 0 IBADRN CCN1CCCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CC1=O ZINC000815616247 1074028630 /nfs/dbraw/zinc/02/86/30/1074028630.db2.gz JSLZQPMLLSETOS-UHFFFAOYSA-N 0 0 432.481 -0.011 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC3(N4CCOCC4)CC3)cn2)CC1 ZINC000815623763 1074029064 /nfs/dbraw/zinc/02/90/64/1074029064.db2.gz HBUJSEUVLNPUIK-UHFFFAOYSA-N 0 0 446.508 -0.111 20 0 IBADRN CCOC1CC(O)(CNC(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)C1 ZINC000815624861 1074029103 /nfs/dbraw/zinc/02/91/03/1074029103.db2.gz LNGODUCOQGOCON-UHFFFAOYSA-N 0 0 435.481 -0.045 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC(CO)(OC)CC3)cn2)CC1 ZINC000815625975 1074029082 /nfs/dbraw/zinc/02/90/82/1074029082.db2.gz RKAHGAHPFHRJBX-UHFFFAOYSA-N 0 0 435.481 -0.092 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NCc3nnn(C)n3)cc2C(F)(F)C1=O ZINC000815628052 1074029025 /nfs/dbraw/zinc/02/90/25/1074029025.db2.gz QBOSNJRLARGZRG-UHFFFAOYSA-N 0 0 437.363 -0.534 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@]4(CNC(=O)C4)C3)cn2)CC1 ZINC000815628657 1074029041 /nfs/dbraw/zinc/02/90/41/1074029041.db2.gz GWFQECAKJUPBCZ-FQEVSTJZSA-N 0 0 430.465 -0.353 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@]4(CNC(=O)C4)C3)cn2)CC1 ZINC000815628658 1074028997 /nfs/dbraw/zinc/02/89/97/1074028997.db2.gz GWFQECAKJUPBCZ-HXUWFJFHSA-N 0 0 430.465 -0.353 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC(=O)N(CC4CC4)C3)cn2)CC1 ZINC000815629194 1074029004 /nfs/dbraw/zinc/02/90/04/1074029004.db2.gz XBXWZGRFTSMKOU-UHFFFAOYSA-N 0 0 430.465 -0.053 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N[C@H](CO)COC)cc2C(F)(F)C1=O ZINC000815630689 1074029096 /nfs/dbraw/zinc/02/90/96/1074029096.db2.gz SGWKFOAVFNUNSO-LLVKDONJSA-N 0 0 429.376 -0.250 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N[C@@H](CO)COC)cc2C(F)(F)C1=O ZINC000815630690 1074029055 /nfs/dbraw/zinc/02/90/55/1074029055.db2.gz SGWKFOAVFNUNSO-NSHDSACASA-N 0 0 429.376 -0.250 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NC[C@](C)(O)CO)cc2C(F)(F)C1=O ZINC000815631273 1074029032 /nfs/dbraw/zinc/02/90/32/1074029032.db2.gz WLDGVNLWBJAUIA-KRWDZBQOSA-N 0 0 429.376 -0.514 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)NC[C@@](C)(O)CO)cc2C(F)(F)C1=O ZINC000815631274 1074029143 /nfs/dbraw/zinc/02/91/43/1074029143.db2.gz WLDGVNLWBJAUIA-QGZVFWFLSA-N 0 0 429.376 -0.514 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N3CC(CC(N)=O)C3)cc2C(F)(F)C1=O ZINC000815631319 1074029089 /nfs/dbraw/zinc/02/90/89/1074029089.db2.gz YUOQMVPQNRRZDN-UHFFFAOYSA-N 0 0 438.387 -0.040 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCC(=O)NCC(F)F)cn2)CC1 ZINC000815631363 1074029017 /nfs/dbraw/zinc/02/90/17/1074029017.db2.gz ARSAQNQACQSHHK-UHFFFAOYSA-N 0 0 428.396 -0.594 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(CCO)[C@@H]3CCO[C@@H]3C)cn2)CC1 ZINC000815631476 1074029135 /nfs/dbraw/zinc/02/91/35/1074029135.db2.gz FQSINFIPTIAPOH-GDBMZVCRSA-N 0 0 435.481 -0.093 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(CCO)[C@@H]3CCO[C@H]3C)cn2)CC1 ZINC000815631477 1074029048 /nfs/dbraw/zinc/02/90/48/1074029048.db2.gz FQSINFIPTIAPOH-GOEBONIOSA-N 0 0 435.481 -0.093 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(CCO)[C@H]3CCO[C@H]3C)cn2)CC1 ZINC000815631478 1074028991 /nfs/dbraw/zinc/02/89/91/1074028991.db2.gz FQSINFIPTIAPOH-HOCLYGCPSA-N 0 0 435.481 -0.093 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N(CCO)[C@H]3CCO[C@@H]3C)cn2)CC1 ZINC000815631479 1074029058 /nfs/dbraw/zinc/02/90/58/1074029058.db2.gz FQSINFIPTIAPOH-ZBFHGGJFSA-N 0 0 435.481 -0.093 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)C1 ZINC000815631867 1074029012 /nfs/dbraw/zinc/02/90/12/1074029012.db2.gz VKOHMBZISSRVBN-FQEVSTJZSA-N 0 0 432.481 -0.107 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)C1 ZINC000815631868 1074029400 /nfs/dbraw/zinc/02/94/00/1074029400.db2.gz VKOHMBZISSRVBN-HXUWFJFHSA-N 0 0 432.481 -0.107 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@H]4NC(=O)OC[C@H]4C3)cn2)CC1 ZINC000815633533 1074029508 /nfs/dbraw/zinc/02/95/08/1074029508.db2.gz QJRYXINMYLGCLM-HIFRSBDPSA-N 0 0 446.464 -0.135 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@H](S(C)(=O)=O)C3)cn2)CC1 ZINC000815637202 1074029393 /nfs/dbraw/zinc/02/93/93/1074029393.db2.gz QBKBVXGIBLCWKK-AWEZNQCLSA-N 0 0 439.494 -0.446 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CC[C@@H](S(C)(=O)=O)C3)cn2)CC1 ZINC000815637203 1074029410 /nfs/dbraw/zinc/02/94/10/1074029410.db2.gz QBKBVXGIBLCWKK-CQSZACIVSA-N 0 0 439.494 -0.446 20 0 IBADRN COCCN1CN(C(=O)C(=O)Nc2ccc(N3CCN(C(=O)OC)CC3)nc2)CC1=O ZINC000815639254 1074029458 /nfs/dbraw/zinc/02/94/58/1074029458.db2.gz RHWPGRLSHPPVPB-UHFFFAOYSA-N 0 0 434.453 -0.817 20 0 IBADRN CN(C/C=C/C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)CC(F)(F)F ZINC000815646718 1074029926 /nfs/dbraw/zinc/02/99/26/1074029926.db2.gz QMIWFRZKNLAJHF-BAABZTOOSA-N 0 0 440.488 -0.516 20 0 IBADRN CN(C/C=C/C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)CC(F)(F)F ZINC000815646719 1074029747 /nfs/dbraw/zinc/02/97/47/1074029747.db2.gz QMIWFRZKNLAJHF-HSWBROFVSA-N 0 0 440.488 -0.516 20 0 IBADRN CN(C/C=C\C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)CC(F)(F)F ZINC000815646720 1074029780 /nfs/dbraw/zinc/02/97/80/1074029780.db2.gz QMIWFRZKNLAJHF-PYLYLYNFSA-N 0 0 440.488 -0.516 20 0 IBADRN CN(C/C=C\C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)CC(F)(F)F ZINC000815646721 1074029871 /nfs/dbraw/zinc/02/98/71/1074029871.db2.gz QMIWFRZKNLAJHF-SFAKSCPVSA-N 0 0 440.488 -0.516 20 0 IBADRN C[C@@](O)(C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(Cl)cc1 ZINC000815646793 1074029428 /nfs/dbraw/zinc/02/94/28/1074029428.db2.gz SDRAWMJKTRUUFF-APWZRJJASA-N 0 0 443.953 -0.005 20 0 IBADRN C[C@@](O)(C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(Cl)cc1 ZINC000815646794 1074029423 /nfs/dbraw/zinc/02/94/23/1074029423.db2.gz SDRAWMJKTRUUFF-LPHOPBHVSA-N 0 0 443.953 -0.005 20 0 IBADRN C[C@](O)(C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(Cl)cc1 ZINC000815646795 1074029518 /nfs/dbraw/zinc/02/95/18/1074029518.db2.gz SDRAWMJKTRUUFF-QFBILLFUSA-N 0 0 443.953 -0.005 20 0 IBADRN C[C@](O)(C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(Cl)cc1 ZINC000815646796 1074029416 /nfs/dbraw/zinc/02/94/16/1074029416.db2.gz SDRAWMJKTRUUFF-VQIMIIECSA-N 0 0 443.953 -0.005 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(S(=O)(=O)N(C)C)C2)c1 ZINC000815648122 1074029758 /nfs/dbraw/zinc/02/97/58/1074029758.db2.gz FDIIDHRLPFUGMH-CYBMUJFWSA-N 0 0 427.483 -0.270 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCS(=O)(=O)C(C)C)cn2)CC1 ZINC000815651264 1074029801 /nfs/dbraw/zinc/02/98/01/1074029801.db2.gz ORXKCABFMVGNGU-UHFFFAOYSA-N 0 0 441.510 -0.152 20 0 IBADRN CNC(=O)Oc1cccc(NC(=O)C(=O)N2CCCN(S(=O)(=O)N(C)C)CC2)c1 ZINC000815654771 1074029477 /nfs/dbraw/zinc/02/94/77/1074029477.db2.gz YQUSWQQWFNEVJK-UHFFFAOYSA-N 0 0 427.483 -0.316 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000815681452 1074029880 /nfs/dbraw/zinc/02/98/80/1074029880.db2.gz UWOILIOXEWSTRM-CYBMUJFWSA-N 0 0 448.523 -0.701 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC000815681453 1074029891 /nfs/dbraw/zinc/02/98/91/1074029891.db2.gz UWOILIOXEWSTRM-ZDUSSCGKSA-N 0 0 448.523 -0.701 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2C[C@H]3[C@H](CO)[C@H]3C2)cc1 ZINC000815688389 1074029850 /nfs/dbraw/zinc/02/98/50/1074029850.db2.gz XXXFRANVAVYXBD-KKBVYLPWSA-N 0 0 433.461 -0.370 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)N2C[C@H]3[C@H](CO)[C@H]3C2)cc1 ZINC000815688390 1074029827 /nfs/dbraw/zinc/02/98/27/1074029827.db2.gz XXXFRANVAVYXBD-VZEFYGNVSA-N 0 0 433.461 -0.370 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)C(=O)NC[C@@H]2C[C@H](F)CN2C(=O)OC(C)(C)C)n1 ZINC000815697328 1074029859 /nfs/dbraw/zinc/02/98/59/1074029859.db2.gz WZUSDCWYLHPWTJ-RYUDHWBXSA-N 0 0 441.464 -0.232 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C(=O)NC2COCCOC2)cc1 ZINC000815698370 1074029790 /nfs/dbraw/zinc/02/97/90/1074029790.db2.gz IKONZNKTMLTYOZ-CYBMUJFWSA-N 0 0 437.449 -0.535 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C(=O)NC2COCCOC2)cc1 ZINC000815698371 1074029839 /nfs/dbraw/zinc/02/98/39/1074029839.db2.gz IKONZNKTMLTYOZ-ZDUSSCGKSA-N 0 0 437.449 -0.535 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccc(N4CCN(C(=O)OC)CC4)nc3)C[C@@H]21 ZINC000815705312 1074029900 /nfs/dbraw/zinc/02/99/00/1074029900.db2.gz GICHEQGVJGACEP-FOLVSLTJSA-N 0 0 431.449 -0.214 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cnn(CC(=O)N4C[C@H](C)O[C@@H](C)C4)c3)C[C@@H]21 ZINC000815705366 1074029736 /nfs/dbraw/zinc/02/97/36/1074029736.db2.gz IQFDANBKMZTAFZ-AORAQPTISA-N 0 0 433.465 -0.665 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cnn(CC(=O)N4C[C@@H](C)O[C@H](C)C4)c3)C[C@@H]21 ZINC000815705367 1074030118 /nfs/dbraw/zinc/03/01/18/1074030118.db2.gz IQFDANBKMZTAFZ-BOGLJMFRSA-N 0 0 433.465 -0.665 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cnn(CC(=O)N4C[C@@H](C)O[C@@H](C)C4)c3)C[C@@H]21 ZINC000815705368 1074030218 /nfs/dbraw/zinc/03/02/18/1074030218.db2.gz IQFDANBKMZTAFZ-IHKLRGMBSA-N 0 0 433.465 -0.665 20 0 IBADRN COCCOc1ccc(S(C)(=O)=O)cc1NC(=O)C(=O)N1C[C@H]2[C@H](C(=O)OC)[C@H]2C1 ZINC000815705491 1074029918 /nfs/dbraw/zinc/02/99/18/1074029918.db2.gz NMDBNLDEGTXVFV-VIKVFOODSA-N 0 0 440.474 -0.069 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cc(S(=O)(=O)N(C)C)ccc3OC)C[C@@H]21 ZINC000815705533 1074029818 /nfs/dbraw/zinc/02/98/18/1074029818.db2.gz PNLBRBUIVMZWJG-JYAVWHMHSA-N 0 0 425.463 -0.239 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccccc3OCC(=O)N3CCOCC3)C[C@@H]21 ZINC000815705559 1074029910 /nfs/dbraw/zinc/02/99/10/1074029910.db2.gz QOVOOHUXCCZVIX-UOIKSKOESA-N 0 0 431.445 -0.260 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)NC4=NCCC4)c3)C[C@@H]21 ZINC000815706246 1074030101 /nfs/dbraw/zinc/03/01/01/1074030101.db2.gz YHVPBKJLXDPCRV-FOLVSLTJSA-N 0 0 434.474 -0.027 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccc(NC(=O)CN4CCOCC4)cc3)C[C@@H]21 ZINC000815706249 1074030263 /nfs/dbraw/zinc/03/02/63/1074030263.db2.gz YKVWKRSRHWJNAY-VQFNDLOPSA-N 0 0 430.461 -0.227 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccc(S(=O)(=O)N(C)C)c(OC)c3)C[C@@H]21 ZINC000815706250 1074030137 /nfs/dbraw/zinc/03/01/37/1074030137.db2.gz YNCIYZPSDIZJER-JYAVWHMHSA-N 0 0 425.463 -0.239 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccc(CC(=O)N4CCN(C)CC4)cc3)C[C@@H]21 ZINC000815706320 1074030311 /nfs/dbraw/zinc/03/03/11/1074030311.db2.gz BLSLOKPDDMICTO-DZFIZOCASA-N 0 0 428.489 -0.181 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)N3C[C@@H](C(=O)N4CCOCC4)Oc4ccccc43)C[C@@H]21 ZINC000815706390 1074030184 /nfs/dbraw/zinc/03/01/84/1074030184.db2.gz GECNHLQKSLUUNM-IHETXDGRSA-N 0 0 443.456 -0.483 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)N3C[C@H](C(=O)N4CCOCC4)Oc4ccccc43)C[C@@H]21 ZINC000815706391 1074030269 /nfs/dbraw/zinc/03/02/69/1074030269.db2.gz GECNHLQKSLUUNM-NONVJHHQSA-N 0 0 443.456 -0.483 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cc(C(=O)N4CCN(C)CC4)ccc3OC)C[C@@H]21 ZINC000815706624 1074030323 /nfs/dbraw/zinc/03/03/23/1074030323.db2.gz QRERHKGHFZAHMQ-HWWDLCQESA-N 0 0 444.488 -0.101 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccc(C(=O)NCCN4CCOCC4)cc3)C[C@@H]21 ZINC000815706652 1074030284 /nfs/dbraw/zinc/03/02/84/1074030284.db2.gz ROSFENOJEQZIEK-PIIMJCKOSA-N 0 0 444.488 -0.436 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cc(S(=O)(=O)NC4CC4)ccc3OC)C[C@@H]21 ZINC000815706744 1074030561 /nfs/dbraw/zinc/03/05/61/1074030561.db2.gz WHDHMMWBIAEXQQ-VIKVFOODSA-N 0 0 437.474 -0.048 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cccc(S(=O)(=O)N4CCOCC4)c3)C[C@@H]21 ZINC000815706798 1074030128 /nfs/dbraw/zinc/03/01/28/1074030128.db2.gz YPHSKIFJXMTHCX-ZSHCYNCHSA-N 0 0 437.474 -0.477 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)N3C[C@H]4[C@H](C(=O)OC)[C@H]4C3)cc2)CC1 ZINC000815706990 1074030335 /nfs/dbraw/zinc/03/03/35/1074030335.db2.gz GIWDPYNMLMJZMJ-DZFIZOCASA-N 0 0 444.488 -0.203 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cc(C(=O)N4CCOCC4)ccc3OC)C[C@@H]21 ZINC000815707223 1074030299 /nfs/dbraw/zinc/03/02/99/1074030299.db2.gz PPHFPKDVYBDLTD-HALDLXJZSA-N 0 0 431.445 -0.017 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)cc1 ZINC000815707359 1074030149 /nfs/dbraw/zinc/03/01/49/1074030149.db2.gz WLQJJZPQVSFKIV-DANNLKNASA-N 0 0 439.490 -0.184 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)cc1 ZINC000815707360 1074030341 /nfs/dbraw/zinc/03/03/41/1074030341.db2.gz WLQJJZPQVSFKIV-FWYOQMDTSA-N 0 0 439.490 -0.184 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@@H]21 ZINC000815707503 1074030225 /nfs/dbraw/zinc/03/02/25/1074030225.db2.gz BWUUDQWXOWYTNY-ZSHCYNCHSA-N 0 0 437.474 -0.477 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccc(N4CCN(C(=O)C5CC5)CC4)nc3)C[C@@H]21 ZINC000815707913 1074030746 /nfs/dbraw/zinc/03/07/46/1074030746.db2.gz VXYSJCUEHWJKHP-VQFNDLOPSA-N 0 0 441.488 -0.044 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)C(=O)N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)cc1 ZINC000815707933 1074030715 /nfs/dbraw/zinc/03/07/15/1074030715.db2.gz WWTGRLIOYJITHV-FICVDOATSA-N 0 0 425.463 -0.573 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3cc(S(=O)(=O)N(C)C)ccc3N(C)C)C[C@@H]21 ZINC000815708183 1074030576 /nfs/dbraw/zinc/03/05/76/1074030576.db2.gz JMTTVIKHYKYBDR-VIKVFOODSA-N 0 0 438.506 -0.181 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)Nc3ccc(OC)c(S(=O)(=O)NC4CC4)c3)C[C@@H]21 ZINC000815708387 1074030647 /nfs/dbraw/zinc/03/06/47/1074030647.db2.gz SKPCTCKWKNFBFE-VIKVFOODSA-N 0 0 437.474 -0.048 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)N3C[C@H](C[C@H]4COCC(=O)N4)c4ccccc43)C[C@@H]21 ZINC000815708672 1074030640 /nfs/dbraw/zinc/03/06/40/1074030640.db2.gz DOZRPVWRCWBTTH-RMVLQADPSA-N 0 0 427.457 -0.101 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)N3C[C@H](C[C@@H]4COCC(=O)N4)c4ccccc43)C[C@@H]21 ZINC000815708673 1074030588 /nfs/dbraw/zinc/03/05/88/1074030588.db2.gz DOZRPVWRCWBTTH-TVYZAXJDSA-N 0 0 427.457 -0.101 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)N3C[C@@H](C[C@H]4COCC(=O)N4)c4ccccc43)C[C@@H]21 ZINC000815708674 1074030631 /nfs/dbraw/zinc/03/06/31/1074030631.db2.gz DOZRPVWRCWBTTH-XWRAYQHHSA-N 0 0 427.457 -0.101 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)N3C[C@@H](C[C@@H]4COCC(=O)N4)c4ccccc43)C[C@@H]21 ZINC000815708675 1074030679 /nfs/dbraw/zinc/03/06/79/1074030679.db2.gz DOZRPVWRCWBTTH-ZQRXOBFBSA-N 0 0 427.457 -0.101 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CC[C@@H](CS(C)(=O)=O)C1 ZINC000815721294 1074030613 /nfs/dbraw/zinc/03/06/13/1074030613.db2.gz GVCTYMFJBLEMMI-CYBMUJFWSA-N 0 0 443.547 -0.281 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CC[C@H](CS(C)(=O)=O)C1 ZINC000815721295 1074030685 /nfs/dbraw/zinc/03/06/85/1074030685.db2.gz GVCTYMFJBLEMMI-ZDUSSCGKSA-N 0 0 443.547 -0.281 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@@H](CS(C)(=O)=O)C2)cc1 ZINC000815721458 1074030738 /nfs/dbraw/zinc/03/07/38/1074030738.db2.gz LIXDQCRSTJUWLL-GFCCVEGCSA-N 0 0 433.508 -0.300 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CC[C@H](CS(C)(=O)=O)C2)cc1 ZINC000815721459 1074030705 /nfs/dbraw/zinc/03/07/05/1074030705.db2.gz LIXDQCRSTJUWLL-LBPRGKRZSA-N 0 0 433.508 -0.300 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@@H]3[C@H](CCN3C(=O)OC(C)(C)C)C2)n1 ZINC000815725504 1074030547 /nfs/dbraw/zinc/03/05/47/1074030547.db2.gz WJFWSSURNKRPOO-CHWSQXEVSA-N 0 0 435.485 -0.180 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@H]3[C@H](CCN3C(=O)OC(C)(C)C)C2)n1 ZINC000815725505 1074030607 /nfs/dbraw/zinc/03/06/07/1074030607.db2.gz WJFWSSURNKRPOO-OLZOCXBDSA-N 0 0 435.485 -0.180 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@@H]3[C@@H](CCN3C(=O)OC(C)(C)C)C2)n1 ZINC000815725506 1074030667 /nfs/dbraw/zinc/03/06/67/1074030667.db2.gz WJFWSSURNKRPOO-QWHCGFSZSA-N 0 0 435.485 -0.180 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)C(=O)N2CC[C@H]3[C@@H](CCN3C(=O)OC(C)(C)C)C2)n1 ZINC000815725507 1074030657 /nfs/dbraw/zinc/03/06/57/1074030657.db2.gz WJFWSSURNKRPOO-STQMWFEESA-N 0 0 435.485 -0.180 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@H]3CCNC(=O)CC3)C2)CC1 ZINC000815733086 1074031015 /nfs/dbraw/zinc/03/10/15/1074031015.db2.gz GLLWQYVVVNOZMH-AWEZNQCLSA-N 0 0 444.554 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CCNC(=O)CC3)C2)CC1 ZINC000815733087 1074031121 /nfs/dbraw/zinc/03/11/21/1074031121.db2.gz GLLWQYVVVNOZMH-CQSZACIVSA-N 0 0 444.554 -0.004 20 0 IBADRN CNC(=O)NCCC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC000815733184 1074031158 /nfs/dbraw/zinc/03/11/58/1074031158.db2.gz JRUCLBKVLAUKAU-UHFFFAOYSA-N 0 0 433.531 -0.601 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C(=O)Nc1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)nc1 ZINC000815752609 1074030727 /nfs/dbraw/zinc/03/07/27/1074030727.db2.gz ZDFYSAZCJVQMBJ-LBPRGKRZSA-N 0 0 435.485 -0.277 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)C(=O)N[C@@H](C)C(=O)NC)cc2C(F)(F)C1=O ZINC000815752655 1074030694 /nfs/dbraw/zinc/03/06/94/1074030694.db2.gz ARYBNYIWMLFBKF-VIFPVBQESA-N 0 0 426.376 -0.123 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)C(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC000815752668 1074030925 /nfs/dbraw/zinc/03/09/25/1074030925.db2.gz BDLMHVLZZYOPGS-NSHDSACASA-N 0 0 447.464 -0.450 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000815782927 1074030973 /nfs/dbraw/zinc/03/09/73/1074030973.db2.gz BPWXFFJXXIXXCD-UHFFFAOYSA-N 0 0 445.461 -0.214 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C(=O)N=c1ccnc2n(C)[nH]cc1-2 ZINC000815783022 1074031030 /nfs/dbraw/zinc/03/10/30/1074031030.db2.gz FLKUUDYSUCCAAS-UHFFFAOYSA-N 0 0 432.462 -0.535 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000815785961 1074031102 /nfs/dbraw/zinc/03/11/02/1074031102.db2.gz PZKQPFQSINVVFS-UHFFFAOYSA-N 0 0 445.501 -0.133 20 0 IBADRN CCn1nc(C)c(C(=O)N2CCN(C(=O)c3nn(CC)nc3C)[C@H](C(=O)N(C)C)C2)n1 ZINC000815786016 1074031169 /nfs/dbraw/zinc/03/11/69/1074031169.db2.gz QULKHNMQLAHYFU-AWEZNQCLSA-N 0 0 431.501 -0.419 20 0 IBADRN CCn1nc(C)c(C(=O)N2CCN(C(=O)c3nn(CC)nc3C)[C@@H](C(=O)N(C)C)C2)n1 ZINC000815786017 1074031364 /nfs/dbraw/zinc/03/13/64/1074031364.db2.gz QULKHNMQLAHYFU-CQSZACIVSA-N 0 0 431.501 -0.419 20 0 IBADRN COc1ncc(NC(=O)C(=O)N2CCOC3(CN(C(=O)OC(C)(C)C)C3)C2)cc1C(N)=O ZINC000815799363 1074030947 /nfs/dbraw/zinc/03/09/47/1074030947.db2.gz PWDFTPOMNFKDHC-UHFFFAOYSA-N 0 0 449.464 -0.024 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)C(=O)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000815799369 1074031070 /nfs/dbraw/zinc/03/10/70/1074031070.db2.gz QAZJEXWYXWLVEW-UHFFFAOYSA-N 0 0 437.453 -0.007 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C(=O)N2CCOC3(CN(C(=O)OC(C)(C)C)C3)C2)cn1 ZINC000815799602 1074030988 /nfs/dbraw/zinc/03/09/88/1074030988.db2.gz ZRVIAKNIMZHOKA-UHFFFAOYSA-N 0 0 436.469 -0.584 20 0 IBADRN CCOC(=O)[C@@H](F)C1CN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)C1 ZINC000815805275 1074030938 /nfs/dbraw/zinc/03/09/38/1074030938.db2.gz KPSJAKTWEDOTNZ-HNNXBMFYSA-N 0 0 427.454 -0.157 20 0 IBADRN CCOC(=O)[C@H](F)C1CN(C(=O)C(=O)N2CCc3ccc(S(=O)(=O)NC)cc32)C1 ZINC000815805276 1074030998 /nfs/dbraw/zinc/03/09/98/1074030998.db2.gz KPSJAKTWEDOTNZ-OAHLLOKOSA-N 0 0 427.454 -0.157 20 0 IBADRN CCOC(=O)[C@@H](F)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000815806330 1074031143 /nfs/dbraw/zinc/03/11/43/1074031143.db2.gz LREIABNPWLADTL-HNNXBMFYSA-N 0 0 445.469 -0.091 20 0 IBADRN CCOC(=O)[C@H](F)C1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)C1 ZINC000815806331 1074031133 /nfs/dbraw/zinc/03/11/33/1074031133.db2.gz LREIABNPWLADTL-OAHLLOKOSA-N 0 0 445.469 -0.091 20 0 IBADRN C[C@H](CNC(=O)C(=O)N1CC[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2CC1)S(N)(=O)=O ZINC000815809336 1074030961 /nfs/dbraw/zinc/03/09/61/1074030961.db2.gz NOTZEYSTHKTJRT-MCIONIFRSA-N 0 0 432.543 -0.115 20 0 IBADRN C[C@@H](CNC(=O)C(=O)N1CC[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2CC1)S(N)(=O)=O ZINC000815809337 1074031092 /nfs/dbraw/zinc/03/10/92/1074031092.db2.gz NOTZEYSTHKTJRT-MELADBBJSA-N 0 0 432.543 -0.115 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)C(=O)Nc1ccc(N3CCNC(=O)C3)cc1)C2 ZINC000815814576 1074031149 /nfs/dbraw/zinc/03/11/49/1074031149.db2.gz MYSJEPLJGRWJKF-UHFFFAOYSA-N 0 0 427.465 -0.616 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C(=O)NCCCc3cnn(C)n3)cn2)CC1 ZINC000815817987 1074031468 /nfs/dbraw/zinc/03/14/68/1074031468.db2.gz RQGRVZFDMKKWER-UHFFFAOYSA-N 0 0 430.469 -0.214 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cc2)n1 ZINC000815818020 1074031484 /nfs/dbraw/zinc/03/14/84/1074031484.db2.gz UCRNULSOKOWAMI-UHFFFAOYSA-N 0 0 449.537 -0.561 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)n1 ZINC000815818038 1074031437 /nfs/dbraw/zinc/03/14/37/1074031437.db2.gz UVYVCKCJVXDLCK-UHFFFAOYSA-N 0 0 430.465 -0.260 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)n1 ZINC000815818082 1074031499 /nfs/dbraw/zinc/03/14/99/1074031499.db2.gz WUPCNCXPRBPYIQ-UHFFFAOYSA-N 0 0 449.537 -0.561 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC2)cc1NC(=O)C(=O)NCCCc1cnn(C)n1 ZINC000815818163 1074031507 /nfs/dbraw/zinc/03/15/07/1074031507.db2.gz ADEJNKJIOCNECU-UHFFFAOYSA-N 0 0 436.494 -0.048 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)n1 ZINC000815818447 1074031445 /nfs/dbraw/zinc/03/14/45/1074031445.db2.gz OCUWMMFIDYRKLJ-UHFFFAOYSA-N 0 0 427.509 -0.181 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)C(=O)NCCCc1cnn(C)n1 ZINC000815818513 1074031543 /nfs/dbraw/zinc/03/15/43/1074031543.db2.gz RORVWGBDQVCISK-UHFFFAOYSA-N 0 0 443.508 -0.101 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)n1 ZINC000815818547 1074031378 /nfs/dbraw/zinc/03/13/78/1074031378.db2.gz TUMKDKKDPDOCIQ-UHFFFAOYSA-N 0 0 429.481 -0.227 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)n1 ZINC000815818551 1074031515 /nfs/dbraw/zinc/03/15/15/1074031515.db2.gz TWVMNIGVCYUFCZ-GOSISDBHSA-N 0 0 442.476 -0.483 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)n1 ZINC000815818552 1074031491 /nfs/dbraw/zinc/03/14/91/1074031491.db2.gz TWVMNIGVCYUFCZ-SFHVURJKSA-N 0 0 442.476 -0.483 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)n1 ZINC000815818615 1074031534 /nfs/dbraw/zinc/03/15/34/1074031534.db2.gz WNYXSOSYTTYWDG-UHFFFAOYSA-N 0 0 443.508 -0.435 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000815818626 1074031403 /nfs/dbraw/zinc/03/14/03/1074031403.db2.gz XAOUQCGTADLPGI-UHFFFAOYSA-N 0 0 436.494 -0.477 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)C(=O)NCCCc3cnn(C)n3)cc2)CC1 ZINC000815818830 1074031427 /nfs/dbraw/zinc/03/14/27/1074031427.db2.gz DNTMJDMBQWJKJO-UHFFFAOYSA-N 0 0 443.508 -0.202 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCc2cnn(C)n2)cc1 ZINC000815818915 1074032068 /nfs/dbraw/zinc/03/20/68/1074032068.db2.gz HKVANZDYDMXVQU-CYBMUJFWSA-N 0 0 438.510 -0.184 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)NCCCc2cnn(C)n2)cc1 ZINC000815818916 1074032103 /nfs/dbraw/zinc/03/21/03/1074032103.db2.gz HKVANZDYDMXVQU-ZDUSSCGKSA-N 0 0 438.510 -0.184 20 0 IBADRN COc1ccc(NC(=O)C(=O)NCCCc2cnn(C)n2)cc1S(=O)(=O)NC1CC1 ZINC000815819591 1074031526 /nfs/dbraw/zinc/03/15/26/1074031526.db2.gz DNNDPVOUIDFAAT-UHFFFAOYSA-N 0 0 436.494 -0.048 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000815819672 1074031413 /nfs/dbraw/zinc/03/14/13/1074031413.db2.gz ICGAJSMIBCHBOX-UHFFFAOYSA-N 0 0 436.494 -0.477 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)C(=O)NCCCc1cnn(C)n1 ZINC000815819757 1074031350 /nfs/dbraw/zinc/03/13/50/1074031350.db2.gz MFQVAEOGTRLDMO-UHFFFAOYSA-N 0 0 437.526 -0.181 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)N2C[C@@H](C[C@@H]3COCC(=O)N3)c3ccccc32)n1 ZINC000815820173 1074032026 /nfs/dbraw/zinc/03/20/26/1074032026.db2.gz DMYOTSNHDZKLAK-GDBMZVCRSA-N 0 0 426.477 -0.101 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)N2C[C@H](C[C@@H]3COCC(=O)N3)c3ccccc32)n1 ZINC000815820174 1074031821 /nfs/dbraw/zinc/03/18/21/1074031821.db2.gz DMYOTSNHDZKLAK-GOEBONIOSA-N 0 0 426.477 -0.101 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)N2C[C@H](C[C@H]3COCC(=O)N3)c3ccccc32)n1 ZINC000815820175 1074032017 /nfs/dbraw/zinc/03/20/17/1074032017.db2.gz DMYOTSNHDZKLAK-HOCLYGCPSA-N 0 0 426.477 -0.101 20 0 IBADRN Cn1ncc(CCCNC(=O)C(=O)N2C[C@@H](C[C@H]3COCC(=O)N3)c3ccccc32)n1 ZINC000815820176 1074032058 /nfs/dbraw/zinc/03/20/58/1074032058.db2.gz DMYOTSNHDZKLAK-ZBFHGGJFSA-N 0 0 426.477 -0.101 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCCNC(=O)[C@@H]2Cc2ccccc2)n1 ZINC000815829255 1074031372 /nfs/dbraw/zinc/03/13/72/1074031372.db2.gz NHRRZZLMBFYWKL-INIZCTEOSA-N 0 0 447.517 -0.174 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)C(=O)N2CCCNC(=O)[C@H]2Cc2ccccc2)n1 ZINC000815829256 1074031477 /nfs/dbraw/zinc/03/14/77/1074031477.db2.gz NHRRZZLMBFYWKL-MRXNPFEDSA-N 0 0 447.517 -0.174 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)C(=O)NCCCC2N=NC(=S)N2C)ccc1F ZINC000815832290 1074031391 /nfs/dbraw/zinc/03/13/91/1074031391.db2.gz KZRIUZGHCVGHBM-UHFFFAOYSA-N 0 0 430.487 -0.162 20 0 IBADRN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(S(=O)(=O)CCS(C)(=O)=O)cc2)C[C@H](C)N1C ZINC000815861911 1074032079 /nfs/dbraw/zinc/03/20/79/1074032079.db2.gz GERWJCRIBGFFCP-OKILXGFUSA-N 0 0 445.563 -0.006 20 0 IBADRN CN(C)C(=O)OC1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000815865536 1074032112 /nfs/dbraw/zinc/03/21/12/1074032112.db2.gz WRVWGQODQSUMQN-UHFFFAOYSA-N 0 0 432.437 -0.740 20 0 IBADRN CC[C@H]1CN(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000815871510 1074031931 /nfs/dbraw/zinc/03/19/31/1074031931.db2.gz NTVBAPURZPARLH-HNNXBMFYSA-N 0 0 445.563 -0.004 20 0 IBADRN CC[C@@H]1CN(C)CCN1C(=O)C(=O)Nc1ccc(S(=O)(=O)CCS(C)(=O)=O)cc1 ZINC000815871511 1074032034 /nfs/dbraw/zinc/03/20/34/1074032034.db2.gz NTVBAPURZPARLH-OAHLLOKOSA-N 0 0 445.563 -0.004 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@H](CO)CC3)cn2)CC1 ZINC000815882156 1074032473 /nfs/dbraw/zinc/03/24/73/1074032473.db2.gz MPSWBZIEIHBGRI-HNNXBMFYSA-N 0 0 439.538 -0.277 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C(=O)N3CCC[C@@H](CO)CC3)cn2)CC1 ZINC000815882157 1074032273 /nfs/dbraw/zinc/03/22/73/1074032273.db2.gz MPSWBZIEIHBGRI-OAHLLOKOSA-N 0 0 439.538 -0.277 20 0 IBADRN CN(C(=O)CCn1ncn(C)c1=O)c1ccc(CNC(=O)CCn2ncn(C)c2=O)cc1 ZINC000815889155 1074032443 /nfs/dbraw/zinc/03/24/43/1074032443.db2.gz MAFGIBXJAPJGBB-UHFFFAOYSA-N 0 0 442.480 -0.763 20 0 IBADRN CCn1nc(C)c(C(=O)NCCC(=O)N2CCN(C(=O)c3nn(CC)nc3C)CC2)n1 ZINC000815889189 1074032403 /nfs/dbraw/zinc/03/24/03/1074032403.db2.gz NKKZBQBLTAFUQH-UHFFFAOYSA-N 0 0 431.501 -0.369 20 0 IBADRN COC(=O)c1ccc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)n1C ZINC000815934967 1074032464 /nfs/dbraw/zinc/03/24/64/1074032464.db2.gz PCABCURNBXSYMS-UHFFFAOYSA-N 0 0 431.449 -0.480 20 0 IBADRN CCOC(=O)c1ccc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)nc1 ZINC000815935086 1074032432 /nfs/dbraw/zinc/03/24/32/1074032432.db2.gz UBSJJVWQRXIQMO-UHFFFAOYSA-N 0 0 443.460 -0.033 20 0 IBADRN CCn1ncc(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)n1 ZINC000815937748 1074032333 /nfs/dbraw/zinc/03/23/33/1074032333.db2.gz HCUWSASREUHIKV-UHFFFAOYSA-N 0 0 431.496 -0.168 20 0 IBADRN CCn1nncc1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000815938114 1074032309 /nfs/dbraw/zinc/03/23/09/1074032309.db2.gz RDHUCOQQXOSCNQ-UHFFFAOYSA-N 0 0 431.496 -0.168 20 0 IBADRN CNC(=O)NCCC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC000815938233 1074032322 /nfs/dbraw/zinc/03/23/22/1074032322.db2.gz VNSDSHDQYWFYOP-UHFFFAOYSA-N 0 0 436.512 -0.774 20 0 IBADRN CN(CCOC(=O)c1ccc2c(c1)NC(=O)CNC2=O)S(=O)(=O)N1CCOCC1 ZINC000815943797 1074032764 /nfs/dbraw/zinc/03/27/64/1074032764.db2.gz DCTIQPBUNHGUOK-UHFFFAOYSA-N 0 0 426.451 -0.966 20 0 IBADRN Cn1cnn(CCC(=O)Oc2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)c1=O ZINC000815952972 1074032781 /nfs/dbraw/zinc/03/27/81/1074032781.db2.gz AHKLCHVSCWVIKD-UHFFFAOYSA-N 0 0 437.478 -0.705 20 0 IBADRN CCn1ncc(C(=O)OCCNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000815998749 1074033138 /nfs/dbraw/zinc/03/31/38/1074033138.db2.gz AOUGSISTBJNXRU-UHFFFAOYSA-N 0 0 437.478 -0.094 20 0 IBADRN CCn1nncc1C(=O)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000815999054 1074033119 /nfs/dbraw/zinc/03/31/19/1074033119.db2.gz NXAUTDWNXAUEHW-UHFFFAOYSA-N 0 0 437.478 -0.094 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC000816011111 1074033304 /nfs/dbraw/zinc/03/33/04/1074033304.db2.gz GVLSRLZYVULGML-IYBDPMFKSA-N 0 0 425.507 -0.409 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1)n1cccn1 ZINC000816011390 1074033229 /nfs/dbraw/zinc/03/32/29/1074033229.db2.gz NXARQJMOTCQBDC-HNNXBMFYSA-N 0 0 427.527 -0.445 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)OCCN1CCN(S(=O)(=O)C2CC2)CC1)n1cccn1 ZINC000816011391 1074033127 /nfs/dbraw/zinc/03/31/27/1074033127.db2.gz NXARQJMOTCQBDC-OAHLLOKOSA-N 0 0 427.527 -0.445 20 0 IBADRN O=C(OCCN1CCN(S(=O)(=O)C2CC2)CC1)c1ccc2c(c1)NC(=O)CNC2=O ZINC000816012367 1074033164 /nfs/dbraw/zinc/03/31/64/1074033164.db2.gz MXLDDEUTVBPIDM-UHFFFAOYSA-N 0 0 436.490 -0.365 20 0 IBADRN O=C(CN1C(=O)C(F)(F)Oc2ccccc21)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC000816040375 1074033330 /nfs/dbraw/zinc/03/33/30/1074033330.db2.gz CFKZKAAILPLUDO-UHFFFAOYSA-N 0 0 442.404 -0.344 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)[C@H]2C1 ZINC000816040460 1074033145 /nfs/dbraw/zinc/03/31/45/1074033145.db2.gz GHHIKOGFDOILJX-ITGUQSILSA-N 0 0 426.499 -0.250 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCC[C@H]2OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)nn1 ZINC000816070372 1074033535 /nfs/dbraw/zinc/03/35/35/1074033535.db2.gz XGORGZFLKNGMLN-CHWSQXEVSA-N 0 0 445.436 -0.461 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCC[C@H]2OC(=O)CN2CCN(S(C)(=O)=O)CC2)nn1 ZINC000816071623 1074033755 /nfs/dbraw/zinc/03/37/55/1074033755.db2.gz CDQRHWRQFVDAOI-HUUCEWRRSA-N 0 0 429.499 -0.331 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCC[C@H]2OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)nn1 ZINC000816072014 1074033740 /nfs/dbraw/zinc/03/37/40/1074033740.db2.gz SLGXKBCFZCHCGM-CHWSQXEVSA-N 0 0 445.436 -0.461 20 0 IBADRN CN(C)c1c(COC(=O)c2cnn(C)c2I)c(=O)n(C)c(=O)n1C ZINC000816096660 1074033916 /nfs/dbraw/zinc/03/39/16/1074033916.db2.gz IGVXNYDRPQFBLS-UHFFFAOYSA-N 0 0 447.233 -0.155 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)O[C@H]1C[C@@H](OC(=O)CCC(=O)N2CCOCC2)C1 ZINC000816098282 1074034093 /nfs/dbraw/zinc/03/40/93/1074034093.db2.gz IQFLRAAMNHHSSB-IYBDPMFKSA-N 0 0 426.466 -0.118 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)O[C@H]1C[C@@H](OC(=O)CCN2CCCS2(=O)=O)C1 ZINC000816098484 1074033964 /nfs/dbraw/zinc/03/39/64/1074033964.db2.gz PUGKFPYYFYRFSX-OKILXGFUSA-N 0 0 438.524 -0.545 20 0 IBADRN CCn1nc(C)c(C(=O)NCCN(CCN2CCOCC2)C(=O)c2nn(CC)nc2C)n1 ZINC000816114889 1074034432 /nfs/dbraw/zinc/03/44/32/1074034432.db2.gz XFBOQRUGDLZAGF-UHFFFAOYSA-N 0 0 447.544 -0.269 20 0 IBADRN Cn1nnc(Br)c1COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000816144362 1074034706 /nfs/dbraw/zinc/03/47/06/1074034706.db2.gz VTMHVVXEMUGWFM-MRVPVSSYSA-N 0 0 434.272 -0.214 20 0 IBADRN Cn1nnc(Br)c1COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000816144363 1074034806 /nfs/dbraw/zinc/03/48/06/1074034806.db2.gz VTMHVVXEMUGWFM-QMMMGPOBSA-N 0 0 434.272 -0.214 20 0 IBADRN Cn1nnc(Br)c1COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000816147241 1074034478 /nfs/dbraw/zinc/03/44/78/1074034478.db2.gz IRRLDKKJAVFRBS-UHFFFAOYSA-N 0 0 426.231 -0.542 20 0 IBADRN O=CN1CCCN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC000816267520 1074035568 /nfs/dbraw/zinc/03/55/68/1074035568.db2.gz PEQWQOMKXMPCHZ-UHFFFAOYSA-N 0 0 436.534 -0.010 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCCn3cnccc3=O)cc2)CC1 ZINC000816277187 1074035764 /nfs/dbraw/zinc/03/57/64/1074035764.db2.gz FAHHHIXLMUFSKH-UHFFFAOYSA-N 0 0 434.474 -0.047 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)NCCn3cnnn3)CC2=O)cc1 ZINC000816286839 1074035852 /nfs/dbraw/zinc/03/58/52/1074035852.db2.gz CSRVFAXKUASLQK-AWEZNQCLSA-N 0 0 435.510 -0.127 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NCCn3cnnn3)CC2=O)cc1 ZINC000816286840 1074035960 /nfs/dbraw/zinc/03/59/60/1074035960.db2.gz CSRVFAXKUASLQK-CQSZACIVSA-N 0 0 435.510 -0.127 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NCCn2cnnn2)c1 ZINC000816286948 1074035951 /nfs/dbraw/zinc/03/59/51/1074035951.db2.gz IGWUYIHHXUIHCA-UHFFFAOYSA-N 0 0 433.288 -0.210 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)NCCn2cnnn2)c(=O)n(-c2ccccc2)n1C ZINC000816288251 1074035940 /nfs/dbraw/zinc/03/59/40/1074035940.db2.gz JYQKKIDRCKHLRE-UHFFFAOYSA-N 0 0 430.494 -0.041 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCn3cnnn3)CC2)c1 ZINC000816288916 1074035929 /nfs/dbraw/zinc/03/59/29/1074035929.db2.gz MSJOFZMSKCQDTC-UHFFFAOYSA-N 0 0 449.537 -0.281 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCn3cnnn3)CC2)cc1 ZINC000816288950 1074035918 /nfs/dbraw/zinc/03/59/18/1074035918.db2.gz NURLMWNITDAPOK-UHFFFAOYSA-N 0 0 435.510 -0.589 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)C(F)(F)F ZINC000816326484 1074036642 /nfs/dbraw/zinc/03/66/42/1074036642.db2.gz YKKVAFKLVKPKSD-AWEZNQCLSA-N 0 0 436.416 -0.184 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)C(F)(F)F ZINC000816326485 1074036487 /nfs/dbraw/zinc/03/64/87/1074036487.db2.gz YKKVAFKLVKPKSD-CQSZACIVSA-N 0 0 436.416 -0.184 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)=NO1 ZINC000816326919 1074036589 /nfs/dbraw/zinc/03/65/89/1074036589.db2.gz OZSKLLKSOZVSFF-GFCCVEGCSA-N 0 0 442.498 -0.088 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)=NO1 ZINC000816326920 1074036497 /nfs/dbraw/zinc/03/64/97/1074036497.db2.gz OZSKLLKSOZVSFF-LBPRGKRZSA-N 0 0 442.498 -0.088 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)[C@@H](NS(=O)(=O)c1ccc(Br)cc1)C(C)C ZINC000816327247 1074036557 /nfs/dbraw/zinc/03/65/57/1074036557.db2.gz BEXAOGDVZGIEMS-OLZOCXBDSA-N 0 0 436.328 -0.025 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)[C@@H](NS(=O)(=O)c1ccc(Br)cc1)C(C)C ZINC000816327248 1074036572 /nfs/dbraw/zinc/03/65/72/1074036572.db2.gz BEXAOGDVZGIEMS-STQMWFEESA-N 0 0 436.328 -0.025 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000816328272 1074036595 /nfs/dbraw/zinc/03/65/95/1074036595.db2.gz GRQSCHHRXJZOPZ-HNNXBMFYSA-N 0 0 441.506 -0.528 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000816328273 1074036581 /nfs/dbraw/zinc/03/65/81/1074036581.db2.gz GRQSCHHRXJZOPZ-OAHLLOKOSA-N 0 0 441.506 -0.528 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)CCCCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000816328632 1074036234 /nfs/dbraw/zinc/03/62/34/1074036234.db2.gz QALVGJYYCOLFGG-AWEZNQCLSA-N 0 0 429.495 -0.480 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)CCCCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000816328633 1074036268 /nfs/dbraw/zinc/03/62/68/1074036268.db2.gz QALVGJYYCOLFGG-CQSZACIVSA-N 0 0 429.495 -0.480 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(Br)cc1 ZINC000816328892 1074036164 /nfs/dbraw/zinc/03/61/64/1074036164.db2.gz YOBCDQGKMSWVCI-CHWSQXEVSA-N 0 0 434.312 -0.175 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(Br)cc1 ZINC000816328893 1074036257 /nfs/dbraw/zinc/03/62/57/1074036257.db2.gz YOBCDQGKMSWVCI-OLZOCXBDSA-N 0 0 434.312 -0.175 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(Br)cc1 ZINC000816328894 1074036211 /nfs/dbraw/zinc/03/62/11/1074036211.db2.gz YOBCDQGKMSWVCI-QWHCGFSZSA-N 0 0 434.312 -0.175 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(Br)cc1 ZINC000816328895 1074036184 /nfs/dbraw/zinc/03/61/84/1074036184.db2.gz YOBCDQGKMSWVCI-STQMWFEESA-N 0 0 434.312 -0.175 20 0 IBADRN Cc1nc(NC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)c(C(=O)NCCO)n1C ZINC000816331757 1074036224 /nfs/dbraw/zinc/03/62/24/1074036224.db2.gz RIGBSJJFJXEKJZ-UHFFFAOYSA-N 0 0 438.485 -0.532 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(I)c(C(=O)NC[C@H](O)C(N)=O)c1 ZINC000816362838 1074036522 /nfs/dbraw/zinc/03/65/22/1074036522.db2.gz VFCCQJZSQJKYPG-JTQLQIEISA-N 0 0 441.247 -0.883 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(I)c(C(=O)NC[C@@H](O)C(N)=O)c1 ZINC000816362839 1074036663 /nfs/dbraw/zinc/03/66/63/1074036663.db2.gz VFCCQJZSQJKYPG-SNVBAGLBSA-N 0 0 441.247 -0.883 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC000816467534 1074037175 /nfs/dbraw/zinc/03/71/75/1074037175.db2.gz CEUMQAXXTYOTBV-UHFFFAOYSA-N 0 0 433.531 -0.890 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000816468722 1074037372 /nfs/dbraw/zinc/03/73/72/1074037372.db2.gz LVLXTSHNBKITKS-GOSISDBHSA-N 0 0 441.485 -0.363 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000816468723 1074037217 /nfs/dbraw/zinc/03/72/17/1074037217.db2.gz LVLXTSHNBKITKS-SFHVURJKSA-N 0 0 441.485 -0.363 20 0 IBADRN O=C1COc2ccc(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc2N1 ZINC000816484462 1074037310 /nfs/dbraw/zinc/03/73/10/1074037310.db2.gz CXMVPHAKSMAKJH-AWEZNQCLSA-N 0 0 429.520 -0.348 20 0 IBADRN O=C1COc2ccc(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc2N1 ZINC000816484463 1074037432 /nfs/dbraw/zinc/03/74/32/1074037432.db2.gz CXMVPHAKSMAKJH-CQSZACIVSA-N 0 0 429.520 -0.348 20 0 IBADRN Cn1c2ccc(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc2oc1=O ZINC000816484905 1074037161 /nfs/dbraw/zinc/03/71/61/1074037161.db2.gz SGQUILLBLRUEKP-AWEZNQCLSA-N 0 0 429.520 -0.234 20 0 IBADRN Cn1c2ccc(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc2oc1=O ZINC000816484906 1074037324 /nfs/dbraw/zinc/03/73/24/1074037324.db2.gz SGQUILLBLRUEKP-CQSZACIVSA-N 0 0 429.520 -0.234 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NCC2(O)CN(C(=O)OC(C)(C)C)C2)c1 ZINC000816497316 1074037681 /nfs/dbraw/zinc/03/76/81/1074037681.db2.gz WOJURIGDTOCXPU-UHFFFAOYSA-N 0 0 432.499 -0.349 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCC1(O)CN(C(=O)OC(C)(C)C)C1 ZINC000816497422 1074037713 /nfs/dbraw/zinc/03/77/13/1074037713.db2.gz AUIXYYNRGHLJJZ-GFCCVEGCSA-N 0 0 445.542 -0.198 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCC1(O)CN(C(=O)OC(C)(C)C)C1 ZINC000816497423 1074037759 /nfs/dbraw/zinc/03/77/59/1074037759.db2.gz AUIXYYNRGHLJJZ-LBPRGKRZSA-N 0 0 445.542 -0.198 20 0 IBADRN O=C(NCCS(=O)(=O)CCNC(=O)[C@@H]1CCCN1C1CC1)[C@H]1CCCN1C1CC1 ZINC000816522629 1074037836 /nfs/dbraw/zinc/03/78/36/1074037836.db2.gz IAFBEVNZEBOXLA-HDICACEKSA-N 0 0 426.583 -0.113 20 0 IBADRN O=C(NCCS(=O)(=O)CCNC(=O)[C@H]1CCCN1C1CC1)[C@H]1CCCN1C1CC1 ZINC000816522630 1074037670 /nfs/dbraw/zinc/03/76/70/1074037670.db2.gz IAFBEVNZEBOXLA-QZTJIDSGSA-N 0 0 426.583 -0.113 20 0 IBADRN O=C(NCCS(=O)(=O)CCNC(=O)[C@@H]1CCCN1C1CC1)[C@@H]1CCCN1C1CC1 ZINC000816522631 1074037690 /nfs/dbraw/zinc/03/76/90/1074037690.db2.gz IAFBEVNZEBOXLA-ROUUACIJSA-N 0 0 426.583 -0.113 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC(n3cnc(C(N)=O)c3N)CC2)C(=O)C1 ZINC000816526503 1074037806 /nfs/dbraw/zinc/03/78/06/1074037806.db2.gz GHOQJKILJKOAAR-UHFFFAOYSA-N 0 0 449.512 -0.193 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000816527818 1074037825 /nfs/dbraw/zinc/03/78/25/1074037825.db2.gz AAPNPSBHUUYWGX-KRWDZBQOSA-N 0 0 427.458 -0.799 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000816527819 1074037769 /nfs/dbraw/zinc/03/77/69/1074037769.db2.gz AAPNPSBHUUYWGX-QGZVFWFLSA-N 0 0 427.458 -0.799 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000816528108 1074037659 /nfs/dbraw/zinc/03/76/59/1074037659.db2.gz MZIHHPUAGLCDBM-GOSISDBHSA-N 0 0 439.494 -0.929 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000816528109 1074037782 /nfs/dbraw/zinc/03/77/82/1074037782.db2.gz MZIHHPUAGLCDBM-SFHVURJKSA-N 0 0 439.494 -0.929 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(OC)c(I)n2)CC1 ZINC000816528695 1074037648 /nfs/dbraw/zinc/03/76/48/1074037648.db2.gz OALCHUUZYGKIEL-UHFFFAOYSA-N 0 0 440.263 -0.083 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CN2CCN(C(=O)OCc3ccccc3)CC2)CC1 ZINC000816528749 1074037725 /nfs/dbraw/zinc/03/77/25/1074037725.db2.gz QKVGAJYYYCVORM-UHFFFAOYSA-N 0 0 439.538 -0.451 20 0 IBADRN CN1C(=O)CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)CC1=O ZINC000816545104 1074037857 /nfs/dbraw/zinc/03/78/57/1074037857.db2.gz DMQMMQGCTSPYBC-AWEZNQCLSA-N 0 0 425.463 -0.457 20 0 IBADRN CN1C(=O)CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)CC1=O ZINC000816545105 1074037813 /nfs/dbraw/zinc/03/78/13/1074037813.db2.gz DMQMMQGCTSPYBC-CQSZACIVSA-N 0 0 425.463 -0.457 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)O[C@H]1CNOC1)c(=O)n2Cc1ccccc1 ZINC000816573583 1074038029 /nfs/dbraw/zinc/03/80/29/1074038029.db2.gz DOMOPXCIVKLATD-HNNXBMFYSA-N 0 0 429.433 -0.499 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC000816589311 1074038071 /nfs/dbraw/zinc/03/80/71/1074038071.db2.gz TYQZEWUDVWRBGX-UHFFFAOYSA-N 0 0 427.527 -0.547 20 0 IBADRN COc1ccc(C(=O)NCCNS(=O)(=O)N(C)C)nc1I ZINC000816589560 1074038267 /nfs/dbraw/zinc/03/82/67/1074038267.db2.gz BQLRBLBOLFNIED-UHFFFAOYSA-N 0 0 428.252 -0.179 20 0 IBADRN COc1ccc(C(=O)NCCOCCS(N)(=O)=O)nc1I ZINC000816594911 1074038165 /nfs/dbraw/zinc/03/81/65/1074038165.db2.gz SASYQKRBFNPPHW-UHFFFAOYSA-N 0 0 429.236 -0.270 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)c3cn(CC(N)=O)nn3)CC2)cc1 ZINC000816640125 1074038621 /nfs/dbraw/zinc/03/86/21/1074038621.db2.gz VPCVBNUIHFGETJ-UHFFFAOYSA-N 0 0 440.507 -0.615 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CS(=O)(=O)N(C)C)CC2)cc1 ZINC000816640562 1074038708 /nfs/dbraw/zinc/03/87/08/1074038708.db2.gz NPJLYXTWCVBHPE-UHFFFAOYSA-N 0 0 437.565 -0.115 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CN3CC(=O)NC3=O)CC2)cc1 ZINC000816640586 1074038468 /nfs/dbraw/zinc/03/84/68/1074038468.db2.gz OTXZEUJIWLMFIL-UHFFFAOYSA-N 0 0 428.492 -0.454 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CN3CCOCC3=O)CC2)cc1 ZINC000816640686 1074038675 /nfs/dbraw/zinc/03/86/75/1074038675.db2.gz SHMDGIGEHFUEHP-UHFFFAOYSA-N 0 0 429.520 -0.147 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)CC1 ZINC000816653181 1074038576 /nfs/dbraw/zinc/03/85/76/1074038576.db2.gz JBLKDPJJOBKYHZ-OKILXGFUSA-N 0 0 426.491 -0.094 20 0 IBADRN Cn1c(CCC(=O)N[C@@H]2COC(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000816677072 1074038447 /nfs/dbraw/zinc/03/84/47/1074038447.db2.gz CJNJICMYOBNFMP-ZDUSSCGKSA-N 0 0 436.490 -0.042 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N[C@@H]1COC(=O)C1)c(=O)n2Cc1ccccc1 ZINC000816679772 1074038663 /nfs/dbraw/zinc/03/86/63/1074038663.db2.gz KEWDMDVKHAUGIB-HNNXBMFYSA-N 0 0 441.444 -0.514 20 0 IBADRN Cn1cc([C@H](NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C2(CO)CCC2)cn1 ZINC000816687420 1074038567 /nfs/dbraw/zinc/03/85/67/1074038567.db2.gz YUBPCWSSZQVIGK-PBHICJAKSA-N 0 0 437.522 -0.095 20 0 IBADRN Cn1cc([C@@H](NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C2(CO)CCC2)cn1 ZINC000816687421 1074038698 /nfs/dbraw/zinc/03/86/98/1074038698.db2.gz YUBPCWSSZQVIGK-RHSMWYFYSA-N 0 0 437.522 -0.095 20 0 IBADRN Cn1cc([C@@H](NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C2(CO)CCC2)cn1 ZINC000816687422 1074038463 /nfs/dbraw/zinc/03/84/63/1074038463.db2.gz YUBPCWSSZQVIGK-WMLDXEAASA-N 0 0 437.522 -0.095 20 0 IBADRN Cn1cc([C@H](NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C2(CO)CCC2)cn1 ZINC000816687423 1074038455 /nfs/dbraw/zinc/03/84/55/1074038455.db2.gz YUBPCWSSZQVIGK-YOEHRIQHSA-N 0 0 437.522 -0.095 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000816713077 1074039477 /nfs/dbraw/zinc/03/94/77/1074039477.db2.gz ACWZDEJPUUFQOT-CABCVRRESA-N 0 0 433.552 -0.303 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000816713078 1074039451 /nfs/dbraw/zinc/03/94/51/1074039451.db2.gz ACWZDEJPUUFQOT-GJZGRUSLSA-N 0 0 433.552 -0.303 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@@H]2CC=CC[C@H]2C(=O)N2CCOCC2)CC1 ZINC000816713079 1074039427 /nfs/dbraw/zinc/03/94/27/1074039427.db2.gz ACWZDEJPUUFQOT-HUUCEWRRSA-N 0 0 433.552 -0.303 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@@H]2CC=CC[C@@H]2C(=O)N2CCOCC2)CC1 ZINC000816713080 1074039499 /nfs/dbraw/zinc/03/94/99/1074039499.db2.gz ACWZDEJPUUFQOT-LSDHHAIUSA-N 0 0 433.552 -0.303 20 0 IBADRN CCn1c2ccc(C(=O)N3CCS(=O)(=NS(C)(=O)=O)CC3)cc2[nH]c(=O)c1=O ZINC000816713165 1074039373 /nfs/dbraw/zinc/03/93/73/1074039373.db2.gz DNZZSHXCOOAIIJ-UHFFFAOYSA-N 0 0 428.492 -0.407 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)Cn2cc(Br)ccc2=O)CC1 ZINC000816713426 1074039514 /nfs/dbraw/zinc/03/95/14/1074039514.db2.gz OLQWYIHQNDJSIO-UHFFFAOYSA-N 0 0 426.314 -0.119 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)ccc1OC ZINC000816713480 1074039434 /nfs/dbraw/zinc/03/94/34/1074039434.db2.gz QTDCZIAYKYSQDM-UHFFFAOYSA-N 0 0 439.537 -0.513 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)c1 ZINC000816713512 1074039507 /nfs/dbraw/zinc/03/95/07/1074039507.db2.gz SBYMEXJXCNITIF-UHFFFAOYSA-N 0 0 439.537 -0.248 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1)C2=O ZINC000816713524 1074039443 /nfs/dbraw/zinc/03/94/43/1074039443.db2.gz SPUMJYNOPXAAKA-BLLLJJGKSA-N 0 0 434.540 -0.243 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1)C2=O ZINC000816713525 1074039482 /nfs/dbraw/zinc/03/94/82/1074039482.db2.gz SPUMJYNOPXAAKA-LRDDRELGSA-N 0 0 434.540 -0.243 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1)C2=O ZINC000816713526 1074039421 /nfs/dbraw/zinc/03/94/21/1074039421.db2.gz SPUMJYNOPXAAKA-MLGOLLRUSA-N 0 0 434.540 -0.243 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1)C2=O ZINC000816713527 1074039412 /nfs/dbraw/zinc/03/94/12/1074039412.db2.gz SPUMJYNOPXAAKA-WBMJQRKESA-N 0 0 434.540 -0.243 20 0 IBADRN CN1C(=O)N(CC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)C(=O)C12CCCCC2 ZINC000816713598 1074039458 /nfs/dbraw/zinc/03/94/58/1074039458.db2.gz VTSGUUIOTWJXCB-UHFFFAOYSA-N 0 0 434.540 -0.147 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000816713686 1074039490 /nfs/dbraw/zinc/03/94/90/1074039490.db2.gz AGDWHPOAMCPSIE-AWEZNQCLSA-N 0 0 445.498 -0.485 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000816713687 1074039465 /nfs/dbraw/zinc/03/94/65/1074039465.db2.gz AGDWHPOAMCPSIE-CQSZACIVSA-N 0 0 445.498 -0.485 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1)C2=O ZINC000816713996 1074039892 /nfs/dbraw/zinc/03/98/92/1074039892.db2.gz NDCURVZLMRVWJA-UHFFFAOYSA-N 0 0 434.540 -0.243 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000816714028 1074039964 /nfs/dbraw/zinc/03/99/64/1074039964.db2.gz OOFLCZSUUZVZHH-UHFFFAOYSA-N 0 0 441.528 -0.236 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1OC ZINC000816714065 1074039956 /nfs/dbraw/zinc/03/99/56/1074039956.db2.gz PXHVDWPHKSRROZ-UHFFFAOYSA-N 0 0 433.508 -0.297 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2cc(C(N)=O)n(-c3ccccc3)n2)CC1 ZINC000816714225 1074039922 /nfs/dbraw/zinc/03/99/22/1074039922.db2.gz XLBRUSMJUKBWLC-UHFFFAOYSA-N 0 0 425.492 -0.145 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC000816714292 1074039913 /nfs/dbraw/zinc/03/99/13/1074039913.db2.gz AKCVNGPLYZDLAM-UHFFFAOYSA-N 0 0 437.565 -0.203 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2ccc3c(c2)CCN3S(C)(=O)=O)CC1 ZINC000816714572 1074039961 /nfs/dbraw/zinc/03/99/61/1074039961.db2.gz MFXHQLHZADHCGK-UHFFFAOYSA-N 0 0 435.549 -0.108 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2nc(S(C)(=O)=O)n3ccccc23)CC1 ZINC000816714581 1074039941 /nfs/dbraw/zinc/03/99/41/1074039941.db2.gz MKYQKQDQLALRNI-UHFFFAOYSA-N 0 0 434.521 -0.379 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC000816714709 1074039952 /nfs/dbraw/zinc/03/99/52/1074039952.db2.gz QVRIZZSVKPFYJQ-UHFFFAOYSA-N 0 0 438.549 -0.010 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)=NO1 ZINC000816714977 1074039958 /nfs/dbraw/zinc/03/99/58/1074039958.db2.gz CMSRFZVIPCISPV-LLVKDONJSA-N 0 0 438.528 -0.074 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)=NO1 ZINC000816714978 1074039884 /nfs/dbraw/zinc/03/98/84/1074039884.db2.gz CMSRFZVIPCISPV-NSHDSACASA-N 0 0 438.528 -0.074 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CNC(=O)c2cnc3ccccc3c2O)CC1 ZINC000816715178 1074039945 /nfs/dbraw/zinc/03/99/45/1074039945.db2.gz KSVWETXJTPTMAF-UHFFFAOYSA-N 0 0 440.503 -0.472 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000816715290 1074039838 /nfs/dbraw/zinc/03/98/38/1074039838.db2.gz PNHGRNFMTUQCJQ-CYBMUJFWSA-N 0 0 429.586 -0.150 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000816715291 1074039937 /nfs/dbraw/zinc/03/99/37/1074039937.db2.gz PNHGRNFMTUQCJQ-ZDUSSCGKSA-N 0 0 429.586 -0.150 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CCn2c3ccccc3c(=O)[nH]c2=O)CC1 ZINC000816715348 1074039855 /nfs/dbraw/zinc/03/98/55/1074039855.db2.gz RTGHCTZPFQXMGX-UHFFFAOYSA-N 0 0 428.492 -0.238 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC000816715516 1074039864 /nfs/dbraw/zinc/03/98/64/1074039864.db2.gz ZBVXABYOAYGLGR-UHFFFAOYSA-N 0 0 439.537 -0.248 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)CC1 ZINC000816715822 1074039874 /nfs/dbraw/zinc/03/98/74/1074039874.db2.gz LJSWGHVVVFALPB-AWEZNQCLSA-N 0 0 427.508 -0.624 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)CC1 ZINC000816715823 1074040309 /nfs/dbraw/zinc/04/03/09/1074040309.db2.gz LJSWGHVVVFALPB-CQSZACIVSA-N 0 0 427.508 -0.624 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000816715830 1074040233 /nfs/dbraw/zinc/04/02/33/1074040233.db2.gz LPIRHSVMIFTPFG-NSHDSACASA-N 0 0 441.528 -0.238 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC000816715928 1074040195 /nfs/dbraw/zinc/04/01/95/1074040195.db2.gz ONPCJEJILVKPRJ-UHFFFAOYSA-N 0 0 437.565 -0.203 20 0 IBADRN CCn1ncn(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)c1=O ZINC000816734795 1074038903 /nfs/dbraw/zinc/03/89/03/1074038903.db2.gz DYLQCRGZLDKKQL-UHFFFAOYSA-N 0 0 436.494 -0.552 20 0 IBADRN CCn1ncn(NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)c1=O ZINC000816735238 1074039048 /nfs/dbraw/zinc/03/90/48/1074039048.db2.gz XYAASHFLZIAWMM-AWEZNQCLSA-N 0 0 425.467 -0.136 20 0 IBADRN CCn1ncn(NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)c1=O ZINC000816735239 1074038868 /nfs/dbraw/zinc/03/88/68/1074038868.db2.gz XYAASHFLZIAWMM-CQSZACIVSA-N 0 0 425.467 -0.136 20 0 IBADRN C[C@H](O)[C@H](NC(=O)CCc1nc2c([nH]1)n(C)c(=O)[nH]c2=O)C(=O)OCc1ccccc1 ZINC000816748424 1074040322 /nfs/dbraw/zinc/04/03/22/1074040322.db2.gz BORHKCFQNXGXPY-NHYWBVRUSA-N 0 0 429.433 -0.096 20 0 IBADRN C[C@H](O)[C@H](NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(=O)OCc1ccccc1 ZINC000816748594 1074040376 /nfs/dbraw/zinc/04/03/76/1074040376.db2.gz JVGJUEODJNUKFV-LRDDRELGSA-N 0 0 426.429 -0.145 20 0 IBADRN C[C@H](O)[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)OCc1ccccc1 ZINC000816748760 1074040353 /nfs/dbraw/zinc/04/03/53/1074040353.db2.gz PYIYBUQKIIQJKL-WFASDCNBSA-N 0 0 429.433 -0.957 20 0 IBADRN C[C@H](O)[C@H](NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C)C(=O)OCc1ccccc1 ZINC000816749691 1074040365 /nfs/dbraw/zinc/04/03/65/1074040365.db2.gz ZCMWPSSTWLYLJY-LRDDRELGSA-N 0 0 426.429 -0.145 20 0 IBADRN CN(C)S(=O)(=O)N1CCCN(C(=O)c2cnn(C)c2I)CC1 ZINC000816779071 1074040294 /nfs/dbraw/zinc/04/02/94/1074040294.db2.gz TVBTVKXQTAWEJR-UHFFFAOYSA-N 0 0 441.295 -0.021 20 0 IBADRN CN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816812214 1074040697 /nfs/dbraw/zinc/04/06/97/1074040697.db2.gz HPFUUCSHJXPRQR-CYBMUJFWSA-N 0 0 449.526 -0.048 20 0 IBADRN CN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816812215 1074040804 /nfs/dbraw/zinc/04/08/04/1074040804.db2.gz HPFUUCSHJXPRQR-ZDUSSCGKSA-N 0 0 449.526 -0.048 20 0 IBADRN CN(C(=O)[C@H](CC(N)=O)NC(=O)OCc1ccccc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816813591 1074040850 /nfs/dbraw/zinc/04/08/50/1074040850.db2.gz UKPJPVJFPHYGFW-CABCVRRESA-N 0 0 426.495 -0.351 20 0 IBADRN CN(C(=O)[C@H](CC(N)=O)NC(=O)OCc1ccccc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816813592 1074040822 /nfs/dbraw/zinc/04/08/22/1074040822.db2.gz UKPJPVJFPHYGFW-GJZGRUSLSA-N 0 0 426.495 -0.351 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000816814007 1074040606 /nfs/dbraw/zinc/04/06/06/1074040606.db2.gz FGDPFCOQEMTMJG-BFUOFWGJSA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000816814008 1074040842 /nfs/dbraw/zinc/04/08/42/1074040842.db2.gz FGDPFCOQEMTMJG-DJJJIMSYSA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000816814009 1074040858 /nfs/dbraw/zinc/04/08/58/1074040858.db2.gz FGDPFCOQEMTMJG-ORAYPTAESA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC000816814010 1074040834 /nfs/dbraw/zinc/04/08/34/1074040834.db2.gz FGDPFCOQEMTMJG-YJYMSZOUSA-N 0 0 438.506 -0.046 20 0 IBADRN CN(C(=O)Cn1cnc2c(c(Br)nn2C)c1=O)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816815915 1074041160 /nfs/dbraw/zinc/04/11/60/1074041160.db2.gz HVMGAVMVSCCGQD-SECBINFHSA-N 0 0 447.315 -0.615 20 0 IBADRN CN(C(=O)Cn1cnc2c(c(Br)nn2C)c1=O)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816815916 1074041181 /nfs/dbraw/zinc/04/11/81/1074041181.db2.gz HVMGAVMVSCCGQD-VIFPVBQESA-N 0 0 447.315 -0.615 20 0 IBADRN CN(C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816816401 1074040663 /nfs/dbraw/zinc/04/06/63/1074040663.db2.gz VSILDPQDLIXREA-AWEZNQCLSA-N 0 0 449.526 -0.048 20 0 IBADRN CN(C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816816402 1074040816 /nfs/dbraw/zinc/04/08/16/1074040816.db2.gz VSILDPQDLIXREA-CQSZACIVSA-N 0 0 449.526 -0.048 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N(C)[C@@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000816816478 1074041228 /nfs/dbraw/zinc/04/12/28/1074041228.db2.gz YERYUFNNWDRRPT-AUUYWEPGSA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N(C)[C@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000816816479 1074041066 /nfs/dbraw/zinc/04/10/66/1074041066.db2.gz YERYUFNNWDRRPT-IFXJQAMLSA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N(C)[C@@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000816816480 1074041222 /nfs/dbraw/zinc/04/12/22/1074041222.db2.gz YERYUFNNWDRRPT-KUHUBIRLSA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N(C)[C@H]3CCN(S(C)(=O)=O)C3)C2=O)cc1 ZINC000816816481 1074041196 /nfs/dbraw/zinc/04/11/96/1074041196.db2.gz YERYUFNNWDRRPT-LIRRHRJNSA-N 0 0 438.506 -0.046 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(C)[C@@H]3CCN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC000816817059 1074041097 /nfs/dbraw/zinc/04/10/97/1074041097.db2.gz RCEORTOLTZQNOS-CYBMUJFWSA-N 0 0 445.519 -0.417 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(C)[C@H]3CCN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC000816817060 1074041137 /nfs/dbraw/zinc/04/11/37/1074041137.db2.gz RCEORTOLTZQNOS-ZDUSSCGKSA-N 0 0 445.519 -0.417 20 0 IBADRN CN(C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816817742 1074041122 /nfs/dbraw/zinc/04/11/22/1074041122.db2.gz JGAMZMYRHBGICU-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN CN(C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816817743 1074041235 /nfs/dbraw/zinc/04/12/35/1074041235.db2.gz JGAMZMYRHBGICU-KGLIPLIRSA-N 0 0 432.543 -0.006 20 0 IBADRN CN(C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000816817744 1074041079 /nfs/dbraw/zinc/04/10/79/1074041079.db2.gz JGAMZMYRHBGICU-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN CN(C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000816817745 1074041088 /nfs/dbraw/zinc/04/10/88/1074041088.db2.gz JGAMZMYRHBGICU-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000816822590 1074041188 /nfs/dbraw/zinc/04/11/88/1074041188.db2.gz QCPZJHYJGGHKEC-UHFFFAOYSA-N 0 0 440.547 -0.179 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2coc(Sc3ncccn3)n2)CC1)N1CCOCC1 ZINC000816826300 1074041109 /nfs/dbraw/zinc/04/11/09/1074041109.db2.gz CKVANZXTKHDBHP-UHFFFAOYSA-N 0 0 432.462 -0.241 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@@H]2CSC(c3ccccc3O)=N2)CC1)N1CCOCC1 ZINC000816826590 1074041216 /nfs/dbraw/zinc/04/12/16/1074041216.db2.gz LZJABEZYEAWHHU-HNNXBMFYSA-N 0 0 432.502 -0.216 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)[C@H]2CSC(c3ccccc3O)=N2)CC1)N1CCOCC1 ZINC000816826591 1074041148 /nfs/dbraw/zinc/04/11/48/1074041148.db2.gz LZJABEZYEAWHHU-OAHLLOKOSA-N 0 0 432.502 -0.216 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c(Cl)cn1 ZINC000816826602 1074041238 /nfs/dbraw/zinc/04/12/38/1074041238.db2.gz MEBVACGBTYVJJJ-UHFFFAOYSA-N 0 0 444.897 -0.718 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000816827427 1074041203 /nfs/dbraw/zinc/04/12/03/1074041203.db2.gz RRKQQYWEAJGWBT-UHFFFAOYSA-N 0 0 434.547 -0.103 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC000816830872 1074040762 /nfs/dbraw/zinc/04/07/62/1074040762.db2.gz XIMWZUMQZQUAAE-UHFFFAOYSA-N 0 0 442.519 -0.152 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(Cl)cn1 ZINC000816837563 1074040788 /nfs/dbraw/zinc/04/07/88/1074040788.db2.gz YVLUXVLZGRAYPM-UHFFFAOYSA-N 0 0 430.914 -0.245 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CCN2C(=O)CCNC2=S)CC1 ZINC000816845158 1074041468 /nfs/dbraw/zinc/04/14/68/1074041468.db2.gz CMMNVRUULNJJHS-UHFFFAOYSA-N 0 0 429.524 -0.379 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CN(C)S(=O)(=O)N(C)C)CC1 ZINC000816871436 1074041396 /nfs/dbraw/zinc/04/13/96/1074041396.db2.gz JZLCRDDSJIAXRF-UHFFFAOYSA-N 0 0 435.547 -0.642 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000816871511 1074041429 /nfs/dbraw/zinc/04/14/29/1074041429.db2.gz LYYSXJHWXUNAGC-AWEZNQCLSA-N 0 0 447.558 -0.465 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000816871512 1074041574 /nfs/dbraw/zinc/04/15/74/1074041574.db2.gz LYYSXJHWXUNAGC-CQSZACIVSA-N 0 0 447.558 -0.465 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000816888832 1074041524 /nfs/dbraw/zinc/04/15/24/1074041524.db2.gz ZTECZODZSCCNSF-HNNXBMFYSA-N 0 0 433.575 -0.039 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC000816888833 1074041558 /nfs/dbraw/zinc/04/15/58/1074041558.db2.gz ZTECZODZSCCNSF-OAHLLOKOSA-N 0 0 433.575 -0.039 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)c1nc(N)nc(N2CCOCC2)n1)C(F)(F)F ZINC000816897869 1074041501 /nfs/dbraw/zinc/04/15/01/1074041501.db2.gz NTOXSECLKMLIBL-HNNXBMFYSA-N 0 0 430.391 -0.406 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)c1nc(N)nc(N2CCOCC2)n1)C(F)(F)F ZINC000816897870 1074041544 /nfs/dbraw/zinc/04/15/44/1074041544.db2.gz NTOXSECLKMLIBL-OAHLLOKOSA-N 0 0 430.391 -0.406 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](F)C[C@H]1CNC(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1 ZINC000816909501 1074041408 /nfs/dbraw/zinc/04/14/08/1074041408.db2.gz VQNUHEYEGLCPFG-QWRGUYRKSA-N 0 0 447.489 -0.144 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C1 ZINC000816911327 1074041888 /nfs/dbraw/zinc/04/18/88/1074041888.db2.gz JIBYQHYOELLXEH-DTZQCDIJSA-N 0 0 427.498 -0.721 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C1 ZINC000816911328 1074041841 /nfs/dbraw/zinc/04/18/41/1074041841.db2.gz JIBYQHYOELLXEH-LCGIIJARSA-N 0 0 427.498 -0.721 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000816911668 1074041978 /nfs/dbraw/zinc/04/19/78/1074041978.db2.gz UMJNFHHQHXDJOJ-AWEZNQCLSA-N 0 0 449.574 -0.396 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000816911669 1074041918 /nfs/dbraw/zinc/04/19/18/1074041918.db2.gz UMJNFHHQHXDJOJ-CQSZACIVSA-N 0 0 449.574 -0.396 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000816911719 1074041363 /nfs/dbraw/zinc/04/13/63/1074041363.db2.gz WHXGLKHSLOYZAK-CYBMUJFWSA-N 0 0 435.547 -0.738 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C1 ZINC000816911720 1074041899 /nfs/dbraw/zinc/04/18/99/1074041899.db2.gz WHXGLKHSLOYZAK-ZDUSSCGKSA-N 0 0 435.547 -0.738 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC1COCCOC1 ZINC000816921001 1074041374 /nfs/dbraw/zinc/04/13/74/1074041374.db2.gz IPTZWEFPYLERIP-CRVDFBCUSA-N 0 0 446.547 -0.088 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC1COCCOC1 ZINC000816921002 1074041385 /nfs/dbraw/zinc/04/13/85/1074041385.db2.gz IPTZWEFPYLERIP-PJCWUCBCSA-N 0 0 446.547 -0.088 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC1COCCOC1 ZINC000816921003 1074041594 /nfs/dbraw/zinc/04/15/94/1074041594.db2.gz IPTZWEFPYLERIP-SNQZOLJRSA-N 0 0 446.547 -0.088 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC1COCCOC1 ZINC000816921004 1074041515 /nfs/dbraw/zinc/04/15/15/1074041515.db2.gz IPTZWEFPYLERIP-UUKWBXHYSA-N 0 0 446.547 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCC(=O)NC1COCCOC1)C(=O)NC1COCCOC1 ZINC000816922538 1074041420 /nfs/dbraw/zinc/04/14/20/1074041420.db2.gz GVQUXNAEMHDRFF-INIZCTEOSA-N 0 0 445.513 -0.277 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c(Cl)cn1 ZINC000816928032 1074041987 /nfs/dbraw/zinc/04/19/87/1074041987.db2.gz SJNJHELHIVPCJU-GFCCVEGCSA-N 0 0 430.914 -0.199 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c(Cl)cn1 ZINC000816928033 1074041802 /nfs/dbraw/zinc/04/18/02/1074041802.db2.gz SJNJHELHIVPCJU-LBPRGKRZSA-N 0 0 430.914 -0.199 20 0 IBADRN Nc1nc(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)nc(N2CCOCC2)n1 ZINC000816945595 1074041865 /nfs/dbraw/zinc/04/18/65/1074041865.db2.gz KQTYHHQYQFWTEY-UHFFFAOYSA-N 0 0 439.420 -0.666 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c3ccccc3)C[C@@H]21 ZINC000816965891 1074042206 /nfs/dbraw/zinc/04/22/06/1074042206.db2.gz AUEGHZJQVDPERF-QXSJWSMHSA-N 0 0 444.531 -0.354 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c3ccccc3)C[C@@H]21 ZINC000816965892 1074042319 /nfs/dbraw/zinc/04/23/19/1074042319.db2.gz AUEGHZJQVDPERF-XUWVNRHRSA-N 0 0 444.531 -0.354 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)[C@@H]3CC(=O)C[C@H](C)[C@H]3C(=O)N3C[C@H]4[C@H](C(=O)OC)[C@H]4C3)C[C@@H]21 ZINC000816968693 1074041855 /nfs/dbraw/zinc/04/18/55/1074041855.db2.gz KAYGRUZYBXERTJ-FOXWYMLGSA-N 0 0 446.500 -0.027 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)[C@@H]3CC(=O)C[C@@H](C)[C@@H]3C(=O)N3C[C@H]4[C@H](C(=O)OC)[C@H]4C3)C[C@@H]21 ZINC000816968694 1074041829 /nfs/dbraw/zinc/04/18/29/1074041829.db2.gz KAYGRUZYBXERTJ-OBBJRCJZSA-N 0 0 446.500 -0.027 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)[C@@H]3CC(=O)C[C@H](C)[C@@H]3C(=O)N3C[C@H]4[C@H](C(=O)OC)[C@H]4C3)C[C@@H]21 ZINC000816968695 1074041967 /nfs/dbraw/zinc/04/19/67/1074041967.db2.gz KAYGRUZYBXERTJ-QAYVHYEYSA-N 0 0 446.500 -0.027 20 0 IBADRN COC(=O)[C@H]1[C@@H]2CN(C(=O)[C@@H]3CC(=O)C[C@@H](C)[C@H]3C(=O)N3C[C@H]4[C@H](C(=O)OC)[C@H]4C3)C[C@@H]21 ZINC000816968696 1074041939 /nfs/dbraw/zinc/04/19/39/1074041939.db2.gz KAYGRUZYBXERTJ-XDJAXLDYSA-N 0 0 446.500 -0.027 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCc3nnnn3C)ccc2F)CC1 ZINC000816971674 1074041911 /nfs/dbraw/zinc/04/19/11/1074041911.db2.gz MMAGQWVIGONQAV-UHFFFAOYSA-N 0 0 425.446 -0.868 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CCN(CC(N)=O)CC1 ZINC000816997513 1074042257 /nfs/dbraw/zinc/04/22/57/1074042257.db2.gz ODDUFYOUPNCCIY-UHFFFAOYSA-N 0 0 440.522 -0.604 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000817221988 1074042528 /nfs/dbraw/zinc/04/25/28/1074042528.db2.gz SYRGRMSSPMJGGT-UHFFFAOYSA-N 0 0 440.503 -0.331 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000817222137 1074042628 /nfs/dbraw/zinc/04/26/28/1074042628.db2.gz XUVGYRXASVXRKV-UHFFFAOYSA-N 0 0 440.503 -0.331 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000817222650 1074042647 /nfs/dbraw/zinc/04/26/47/1074042647.db2.gz QYCSRRZSYCLJBR-INIZCTEOSA-N 0 0 440.503 -0.099 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC000817222651 1074042621 /nfs/dbraw/zinc/04/26/21/1074042621.db2.gz QYCSRRZSYCLJBR-MRXNPFEDSA-N 0 0 440.503 -0.099 20 0 IBADRN C[C@H](O)[C@H](NC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)OCc1ccccc1 ZINC000817228465 1074042633 /nfs/dbraw/zinc/04/26/33/1074042633.db2.gz SXGPGVWORDERBN-LRDDRELGSA-N 0 0 428.445 -0.202 20 0 IBADRN C[C@H](O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)OCc1ccccc1 ZINC000817228773 1074042588 /nfs/dbraw/zinc/04/25/88/1074042588.db2.gz GAHOVKJADUMHHP-DNMXQMFDSA-N 0 0 438.502 -0.369 20 0 IBADRN C[C@H](O)[C@H](NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)OCc1ccccc1 ZINC000817228774 1074042538 /nfs/dbraw/zinc/04/25/38/1074042538.db2.gz GAHOVKJADUMHHP-MBGYTDRXSA-N 0 0 438.502 -0.369 20 0 IBADRN C[C@H](O)[C@H](NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)OCc1ccccc1 ZINC000817228775 1074042689 /nfs/dbraw/zinc/04/26/89/1074042689.db2.gz GAHOVKJADUMHHP-SCNOPHJPSA-N 0 0 438.502 -0.369 20 0 IBADRN C[C@H](O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)OCc1ccccc1 ZINC000817228776 1074042640 /nfs/dbraw/zinc/04/26/40/1074042640.db2.gz GAHOVKJADUMHHP-ZDRJDWQYSA-N 0 0 438.502 -0.369 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C(=O)Nc1ccc(S(N)(=O)=O)cc1)C(=O)OCc1ccccc1 ZINC000817228938 1074042701 /nfs/dbraw/zinc/04/27/01/1074042701.db2.gz LPYXTXQJHSXEJR-LRDDRELGSA-N 0 0 435.458 -0.119 20 0 IBADRN C[C@H](O)[C@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)OCc1ccccc1 ZINC000817229163 1074042674 /nfs/dbraw/zinc/04/26/74/1074042674.db2.gz VKROCQUSQWDQMS-BBRMVZONSA-N 0 0 443.460 -0.567 20 0 IBADRN C[C@H](O)[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)OCc1ccccc1 ZINC000817240948 1074042664 /nfs/dbraw/zinc/04/26/64/1074042664.db2.gz AABFMSSEUSONNO-WFASDCNBSA-N 0 0 429.433 -0.957 20 0 IBADRN CCNC(=O)NCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000817241068 1074042612 /nfs/dbraw/zinc/04/26/12/1074042612.db2.gz BNAMSWOPRARJJQ-UHFFFAOYSA-N 0 0 443.501 -0.273 20 0 IBADRN C[C@H](O)[C@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)OCc1ccccc1 ZINC000817242302 1074042548 /nfs/dbraw/zinc/04/25/48/1074042548.db2.gz WZWJWYMCZDMOIP-HQRMLTQVSA-N 0 0 433.505 -0.224 20 0 IBADRN C[C@H](O)[C@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)OCc1ccccc1 ZINC000817242303 1074042710 /nfs/dbraw/zinc/04/27/10/1074042710.db2.gz WZWJWYMCZDMOIP-QRFRQXIXSA-N 0 0 433.505 -0.224 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)CCn1cc(S(N)(=O)=O)cn1)CCO2 ZINC000817506410 1074043291 /nfs/dbraw/zinc/04/32/91/1074043291.db2.gz XYNWWUVDKZDBAH-UHFFFAOYSA-N 0 0 429.499 -0.231 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)CN1CCN(S(C)(=O)=O)CC1)CCO2 ZINC000817511214 1074043428 /nfs/dbraw/zinc/04/34/28/1074043428.db2.gz IYRLUZWBNQMDJB-UHFFFAOYSA-N 0 0 432.543 -0.588 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCOC3(CN(C(=O)OC(C)(C)C)C3)C2)cn1 ZINC000817514573 1074043712 /nfs/dbraw/zinc/04/37/12/1074043712.db2.gz AYUNEZLFURFCFN-UHFFFAOYSA-N 0 0 429.499 -0.453 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCOC3(CN(C(=O)OC(C)(C)C)C3)C2)cn1C ZINC000817541009 1074216271 /nfs/dbraw/zinc/21/62/71/1074216271.db2.gz AWBPVCNPWAVBAC-UHFFFAOYSA-N 0 0 443.526 -0.145 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCOC4(CN(C(=O)OC(C)(C)C)C4)C3)c2c(=O)n(C)c1=O ZINC000817541351 1074216221 /nfs/dbraw/zinc/21/62/21/1074216221.db2.gz GAZFNTPBMXCZCG-UHFFFAOYSA-N 0 0 448.480 -0.718 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCOC3(CN(C(=O)OC(C)(C)C)C3)C2)c1 ZINC000817542379 1074216191 /nfs/dbraw/zinc/21/61/91/1074216191.db2.gz RSBTYROHQODLBJ-UHFFFAOYSA-N 0 0 429.499 -0.453 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCOC3(CN(C(=O)OC(C)(C)C)C3)C1)c(=O)n2C ZINC000817542671 1074216261 /nfs/dbraw/zinc/21/62/61/1074216261.db2.gz ULUVJGQXVCXZIM-UHFFFAOYSA-N 0 0 448.480 -0.718 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000817542751 1074216255 /nfs/dbraw/zinc/21/62/55/1074216255.db2.gz VNJZOUVGULFWPM-CYBMUJFWSA-N 0 0 443.526 -0.065 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCOC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC000817542755 1074216209 /nfs/dbraw/zinc/21/62/09/1074216209.db2.gz VNJZOUVGULFWPM-ZDUSSCGKSA-N 0 0 443.526 -0.065 20 0 IBADRN CCOC(=O)CN1c2ccc(NC(=O)CNC(=O)CNC(C)=O)cc2C(F)(F)C1=O ZINC000817599356 1074043760 /nfs/dbraw/zinc/04/37/60/1074043760.db2.gz ZKJWDOSYEBMPPU-UHFFFAOYSA-N 0 0 426.376 -0.121 20 0 IBADRN CCOC(=O)[C@@H](F)C1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000817663875 1074043772 /nfs/dbraw/zinc/04/37/72/1074043772.db2.gz VANATSQXFVJXOH-INIZCTEOSA-N 0 0 427.454 -0.220 20 0 IBADRN CCOC(=O)[C@H](F)C1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC000817663878 1074043725 /nfs/dbraw/zinc/04/37/25/1074043725.db2.gz VANATSQXFVJXOH-MRXNPFEDSA-N 0 0 427.454 -0.220 20 0 IBADRN CCOC(=O)[C@@H](F)C1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000817673921 1074043816 /nfs/dbraw/zinc/04/38/16/1074043816.db2.gz JGKOSTCGMLLJAN-INIZCTEOSA-N 0 0 427.454 -0.220 20 0 IBADRN CCOC(=O)[C@H](F)C1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC000817673922 1074043838 /nfs/dbraw/zinc/04/38/38/1074043838.db2.gz JGKOSTCGMLLJAN-MRXNPFEDSA-N 0 0 427.454 -0.220 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc1ccccc1)C2 ZINC000817827087 1074044116 /nfs/dbraw/zinc/04/41/16/1074044116.db2.gz IGNOEFYWXBCDRT-HNNXBMFYSA-N 0 0 430.465 -0.020 20 0 IBADRN COC(=O)c1sc(NC(=O)CN2CCN(S(N)(=O)=O)CC2)c(C(=O)OC)c1C ZINC000817838680 1074044109 /nfs/dbraw/zinc/04/41/09/1074044109.db2.gz CDLAWERWZSZCDE-UHFFFAOYSA-N 0 0 434.496 -0.611 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)c1ccc3c(c1)S(=O)(=O)N(CCOC)C3=O)C2 ZINC000817841021 1074044062 /nfs/dbraw/zinc/04/40/62/1074044062.db2.gz RZSFEFVTXTXRPA-UHFFFAOYSA-N 0 0 449.489 -0.086 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000817936994 1074044120 /nfs/dbraw/zinc/04/41/20/1074044120.db2.gz TUBSAWZOPSDYFT-JSGCOSHPSA-N 0 0 425.463 -0.418 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000817937001 1074044021 /nfs/dbraw/zinc/04/40/21/1074044021.db2.gz TUBSAWZOPSDYFT-OCCSQVGLSA-N 0 0 425.463 -0.418 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000817939384 1074044100 /nfs/dbraw/zinc/04/41/00/1074044100.db2.gz BFPHJOBWLFVEPB-CABCVRRESA-N 0 0 428.511 -0.825 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc(C)c(C)c1 ZINC000817939385 1074044161 /nfs/dbraw/zinc/04/41/61/1074044161.db2.gz BFPHJOBWLFVEPB-GJZGRUSLSA-N 0 0 428.511 -0.825 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NCCCc2cnn(C)n2)[C@H]1C(=O)NCCCc1cnn(C)n1 ZINC000817990247 1074044168 /nfs/dbraw/zinc/04/41/68/1074044168.db2.gz JEILFQIXMQXWPB-CCKFTAQKSA-N 0 0 444.540 -0.027 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)NCCCc2cnn(C)n2)[C@@H]1C(=O)NCCCc1cnn(C)n1 ZINC000817990248 1074044091 /nfs/dbraw/zinc/04/40/91/1074044091.db2.gz JEILFQIXMQXWPB-MDASCCDHSA-N 0 0 444.540 -0.027 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NCCCc2cnn(C)n2)[C@@H]1C(=O)NCCCc1cnn(C)n1 ZINC000817990249 1074044137 /nfs/dbraw/zinc/04/41/37/1074044137.db2.gz JEILFQIXMQXWPB-NIKGAXFTSA-N 0 0 444.540 -0.027 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)NCCCc2cnn(C)n2)[C@H]1C(=O)NCCCc1cnn(C)n1 ZINC000817990250 1074044157 /nfs/dbraw/zinc/04/41/57/1074044157.db2.gz JEILFQIXMQXWPB-ZMYBRWDISA-N 0 0 444.540 -0.027 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000818060013 1074044519 /nfs/dbraw/zinc/04/45/19/1074044519.db2.gz SCTAWDQTEWTXCF-UHFFFAOYSA-N 0 0 428.478 -0.491 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)/C(=C/c2cccc(OC)c2)n2nnnc2C)CC1 ZINC000818073531 1074044467 /nfs/dbraw/zinc/04/44/67/1074044467.db2.gz GZVPRMOLXPCAJA-RGEXLXHISA-N 0 0 443.508 -0.105 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)/C(=C\c2cccc(OC)c2)n2nnnc2C)CC1 ZINC000818073532 1074044556 /nfs/dbraw/zinc/04/45/56/1074044556.db2.gz GZVPRMOLXPCAJA-XMHGGMMESA-N 0 0 443.508 -0.105 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCCCCS(N)(=O)=O)C1=O ZINC000818120084 1074044421 /nfs/dbraw/zinc/04/44/21/1074044421.db2.gz ZUPVCKWZMVBQHZ-GOSISDBHSA-N 0 0 426.495 -0.044 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCCCCS(N)(=O)=O)C1=O ZINC000818120085 1074044498 /nfs/dbraw/zinc/04/44/98/1074044498.db2.gz ZUPVCKWZMVBQHZ-SFHVURJKSA-N 0 0 426.495 -0.044 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CN2c3cc(Cl)ccc3OCC2=O)c(=O)n(C)c1=O ZINC000818124174 1074044794 /nfs/dbraw/zinc/04/47/94/1074044794.db2.gz QOQXJYDKDYBJLA-UHFFFAOYSA-N 0 0 436.808 -0.529 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000818124774 1074044981 /nfs/dbraw/zinc/04/49/81/1074044981.db2.gz MBFAZUKGPHHLAS-CYBMUJFWSA-N 0 0 445.519 -0.191 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000818124775 1074044953 /nfs/dbraw/zinc/04/49/53/1074044953.db2.gz MBFAZUKGPHHLAS-ZDUSSCGKSA-N 0 0 445.519 -0.191 20 0 IBADRN Cc1sc2ncn(CCC(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c(=O)c2c1C ZINC000818177645 1074044544 /nfs/dbraw/zinc/04/45/44/1074044544.db2.gz DFSLXAZDTUDMNA-UHFFFAOYSA-N 0 0 447.473 -0.129 20 0 IBADRN CCOc1ccc(-n2ncc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c2C)nn1 ZINC000818181350 1074045237 /nfs/dbraw/zinc/04/52/37/1074045237.db2.gz HLTNUTAUZRREJV-UHFFFAOYSA-N 0 0 443.420 -0.611 20 0 IBADRN Cc1nnnn1C(=Cc1ccc(F)cc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000818184745 1074045363 /nfs/dbraw/zinc/04/53/63/1074045363.db2.gz JFAQHGDJNZJIGZ-JYRVWZFOSA-N 0 0 443.395 -0.476 20 0 IBADRN Cc1nnnn1C(=Cc1ccc(F)cc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000818184746 1074045263 /nfs/dbraw/zinc/04/52/63/1074045263.db2.gz JFAQHGDJNZJIGZ-MDWZMJQESA-N 0 0 443.395 -0.476 20 0 IBADRN COC(=O)CNC(=O)COC(=O)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC000818192517 1074044929 /nfs/dbraw/zinc/04/49/29/1074044929.db2.gz VLJXGPHCWOJFHC-UHFFFAOYSA-N 0 0 433.870 -0.032 20 0 IBADRN O=C(COC(=O)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1)N1CCNC(=O)C1 ZINC000818193130 1074044829 /nfs/dbraw/zinc/04/48/29/1074044829.db2.gz XRNLRENHAJOUGM-UHFFFAOYSA-N 0 0 444.897 -0.363 20 0 IBADRN CN1C(=S)N=NC1CCCNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000818194051 1074044971 /nfs/dbraw/zinc/04/49/71/1074044971.db2.gz ZEZNTSXUCQTRNI-UHFFFAOYSA-N 0 0 438.535 -0.413 20 0 IBADRN Cc1nnnn1C(=Cc1cccc(F)c1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000818194797 1074044782 /nfs/dbraw/zinc/04/47/82/1074044782.db2.gz MPULXTFJGIVQMR-JYRVWZFOSA-N 0 0 443.395 -0.476 20 0 IBADRN Cc1nnnn1C(=Cc1cccc(F)c1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000818194799 1074044883 /nfs/dbraw/zinc/04/48/83/1074044883.db2.gz MPULXTFJGIVQMR-MDWZMJQESA-N 0 0 443.395 -0.476 20 0 IBADRN CN1C(=S)N=NC1CCCNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000818198705 1074044916 /nfs/dbraw/zinc/04/49/16/1074044916.db2.gz IMDGTKHUOVIMFI-LLVKDONJSA-N 0 0 438.535 -0.147 20 0 IBADRN CN1C(=S)N=NC1CCCNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000818198706 1074044990 /nfs/dbraw/zinc/04/49/90/1074044990.db2.gz IMDGTKHUOVIMFI-NSHDSACASA-N 0 0 438.535 -0.147 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCCC1N=NC(=S)N1C ZINC000818198795 1074044765 /nfs/dbraw/zinc/04/47/65/1074044765.db2.gz LCROFQYKSNQRKF-UHFFFAOYSA-N 0 0 428.540 -0.174 20 0 IBADRN CN1C(=S)N=NC1CCCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000818206890 1074044803 /nfs/dbraw/zinc/04/48/03/1074044803.db2.gz YVWXGXCWDQJOAL-UHFFFAOYSA-N 0 0 440.551 -0.050 20 0 IBADRN CN1C(=S)N=NC1CCCNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000818211455 1074044893 /nfs/dbraw/zinc/04/48/93/1074044893.db2.gz DQTZNJSOPKWUQH-UHFFFAOYSA-N 0 0 438.535 -0.413 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCCC2N=NC(=S)N2C)c1 ZINC000818217380 1074044817 /nfs/dbraw/zinc/04/48/17/1074044817.db2.gz KXTMWLJIQRDDHO-UHFFFAOYSA-N 0 0 440.551 -0.167 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCCC1N=NC(=S)N1C ZINC000818237396 1074044859 /nfs/dbraw/zinc/04/48/59/1074044859.db2.gz PBANWQZAOINSFW-JTQLQIEISA-N 0 0 426.524 -0.382 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)NCCCC1N=NC(=S)N1C ZINC000818237397 1074044946 /nfs/dbraw/zinc/04/49/46/1074044946.db2.gz PBANWQZAOINSFW-SNVBAGLBSA-N 0 0 426.524 -0.382 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=S)N2CCN(CC(=O)NC(N)=O)CC2)c1 ZINC000818266595 1074044872 /nfs/dbraw/zinc/04/48/72/1074044872.db2.gz ZDFKFWQRJVYYMD-UHFFFAOYSA-N 0 0 428.540 -0.554 20 0 IBADRN COC(=O)CCCNC(=S)NNc1ccc(S(=O)(=O)N2CCN(C)CC2)cn1 ZINC000818269296 1074045140 /nfs/dbraw/zinc/04/51/40/1074045140.db2.gz NQNPLDTUSLFNCR-UHFFFAOYSA-N 0 0 430.556 -0.238 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NNC(=S)NCCN3CCOCC3)nc2)CC1 ZINC000818269377 1074045157 /nfs/dbraw/zinc/04/51/57/1074045157.db2.gz RMSXPYOXYNEHJW-UHFFFAOYSA-N 0 0 443.599 -0.859 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H](CC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC000818342775 1074045281 /nfs/dbraw/zinc/04/52/81/1074045281.db2.gz DXVSRLJNANCAJS-HNNXBMFYSA-N 0 0 426.495 -0.303 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000818514792 1074045734 /nfs/dbraw/zinc/04/57/34/1074045734.db2.gz YNOPMCKGUMWEED-NRFANRHFSA-N 0 0 449.483 -0.501 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000818514793 1074045652 /nfs/dbraw/zinc/04/56/52/1074045652.db2.gz YNOPMCKGUMWEED-OAQYLSRUSA-N 0 0 449.483 -0.501 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000818598333 1074045549 /nfs/dbraw/zinc/04/55/49/1074045549.db2.gz UDQNJWNIOQNFIG-NRFANRHFSA-N 0 0 447.467 -0.212 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000818598334 1074045706 /nfs/dbraw/zinc/04/57/06/1074045706.db2.gz UDQNJWNIOQNFIG-OAQYLSRUSA-N 0 0 447.467 -0.212 20 0 IBADRN Cn1c2ccccc2n(CC(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1=O ZINC000818685735 1074045593 /nfs/dbraw/zinc/04/55/93/1074045593.db2.gz XQOBJGAWQGUTDG-UHFFFAOYSA-N 0 0 446.485 -0.111 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000818687425 1074045727 /nfs/dbraw/zinc/04/57/27/1074045727.db2.gz MFYBENBOBIXVSI-LLVKDONJSA-N 0 0 433.508 -0.124 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000818687426 1074045641 /nfs/dbraw/zinc/04/56/41/1074045641.db2.gz MFYBENBOBIXVSI-NSHDSACASA-N 0 0 433.508 -0.124 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)CCn3cc(S(N)(=O)=O)cn3)cn2)CC1 ZINC000818885971 1074046025 /nfs/dbraw/zinc/04/60/25/1074046025.db2.gz YMPBXXDBMHYEQW-UHFFFAOYSA-N 0 0 437.482 -0.157 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)cn2)CC1 ZINC000818892346 1074045966 /nfs/dbraw/zinc/04/59/66/1074045966.db2.gz ZXUVRRHYTIWTGI-UHFFFAOYSA-N 0 0 440.526 -0.514 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)CNS(=O)(=O)c3cnn(C)c3)cn2)CC1 ZINC000818897822 1074046076 /nfs/dbraw/zinc/04/60/76/1074046076.db2.gz SOFSEIIDMPQDSD-UHFFFAOYSA-N 0 0 437.482 -0.380 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000818914757 1074046044 /nfs/dbraw/zinc/04/60/44/1074046044.db2.gz QEHHFWPETLZSNV-UHFFFAOYSA-N 0 0 429.499 -0.136 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1ccc(N2CCN(C(=O)OC)CC2)nc1 ZINC000818918295 1074046126 /nfs/dbraw/zinc/04/61/26/1074046126.db2.gz DBXXLXRQUXRGRM-UHFFFAOYSA-N 0 0 429.499 -0.136 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)C3CCN(S(N)(=O)=O)CC3)cn2)CC1 ZINC000818918847 1074045994 /nfs/dbraw/zinc/04/59/94/1074045994.db2.gz HZTYQBPNZMBMMO-UHFFFAOYSA-N 0 0 426.499 -0.176 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)CNS(=O)(=O)c3cn(C)cn3)cn2)CC1 ZINC000818919605 1074046087 /nfs/dbraw/zinc/04/60/87/1074046087.db2.gz QLQXLZSHGMMATD-UHFFFAOYSA-N 0 0 437.482 -0.380 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000818980113 1074046105 /nfs/dbraw/zinc/04/61/05/1074046105.db2.gz GIZCFURQXLXHRY-UHFFFAOYSA-N 0 0 433.870 -0.620 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000818988985 1074046101 /nfs/dbraw/zinc/04/61/01/1074046101.db2.gz GUPDKDTZBSOBBI-GFCCVEGCSA-N 0 0 447.897 -0.184 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000818988986 1074046082 /nfs/dbraw/zinc/04/60/82/1074046082.db2.gz GUPDKDTZBSOBBI-LBPRGKRZSA-N 0 0 447.897 -0.184 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cc(S(=O)(=O)NC2CC2)ccc1Br ZINC000818991133 1074046094 /nfs/dbraw/zinc/04/60/94/1074046094.db2.gz XCJWWCDSNCPWON-UHFFFAOYSA-N 0 0 448.295 -0.091 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cn1cnc3sccc3c1=O)c(=O)n2C ZINC000819048581 1074046071 /nfs/dbraw/zinc/04/60/71/1074046071.db2.gz LHEAGILMYFVGOU-UHFFFAOYSA-N 0 0 430.446 -0.161 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)Cn2cnc3sccc3c2=O)c(=O)n(C)c1=O ZINC000819055406 1074046034 /nfs/dbraw/zinc/04/60/34/1074046034.db2.gz QGQYWUAWBWAGHG-UHFFFAOYSA-N 0 0 447.473 -0.017 20 0 IBADRN CC(CNC(=O)CS(=O)(=O)NC(C)(C)C)CNC(=O)CS(=O)(=O)NC(C)(C)C ZINC000819144174 1074046120 /nfs/dbraw/zinc/04/61/20/1074046120.db2.gz CUEMPSRTGJJTIQ-UHFFFAOYSA-N 0 0 442.604 -0.709 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)CNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000819144621 1074046110 /nfs/dbraw/zinc/04/61/10/1074046110.db2.gz PUEUTSLDHLPVRQ-BTTYYORXSA-N 0 0 442.480 -0.593 20 0 IBADRN CC(CNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1)CNC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000819144622 1074046091 /nfs/dbraw/zinc/04/60/91/1074046091.db2.gz PUEUTSLDHLPVRQ-KBPBESRZSA-N 0 0 442.480 -0.593 20 0 IBADRN CC(CNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1)CNC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000819144623 1074046007 /nfs/dbraw/zinc/04/60/07/1074046007.db2.gz PUEUTSLDHLPVRQ-ZIAGYGMSSA-N 0 0 442.480 -0.593 20 0 IBADRN CC1=C(C(=O)NCC(C)CNC(=O)C2=C(C)OCCS2(=O)=O)S(=O)(=O)CCO1 ZINC000819149644 1074046115 /nfs/dbraw/zinc/04/61/15/1074046115.db2.gz KVZYREHFEZUPSJ-UHFFFAOYSA-N 0 0 436.508 -0.792 20 0 IBADRN COc1ccc(CC(=O)N2CCCN(C=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000819436999 1074047296 /nfs/dbraw/zinc/04/72/96/1074047296.db2.gz UBNTUIMBOPZSOV-UHFFFAOYSA-N 0 0 425.507 -0.051 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000819480937 1074047276 /nfs/dbraw/zinc/04/72/76/1074047276.db2.gz HHLXMJQSGMSTDE-KRWDZBQOSA-N 0 0 431.478 -0.646 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cn(C)cn1 ZINC000819480938 1074047135 /nfs/dbraw/zinc/04/71/35/1074047135.db2.gz HHLXMJQSGMSTDE-QGZVFWFLSA-N 0 0 431.478 -0.646 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C1=O ZINC000819494067 1074047283 /nfs/dbraw/zinc/04/72/83/1074047283.db2.gz JZKUDCFNJMGZHK-IBGZPJMESA-N 0 0 446.489 -0.290 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C1=O ZINC000819494070 1074047196 /nfs/dbraw/zinc/04/71/96/1074047196.db2.gz JZKUDCFNJMGZHK-LJQANCHMSA-N 0 0 446.489 -0.290 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)c2ccc([C@]3(C)NC(=O)NC3=O)cc2)(C(N)=O)C1 ZINC000819502363 1074047241 /nfs/dbraw/zinc/04/72/41/1074047241.db2.gz CAGIGPRSVFEJKA-IBGZPJMESA-N 0 0 431.449 -0.054 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)c2ccc([C@@]3(C)NC(=O)NC3=O)cc2)(C(N)=O)C1 ZINC000819502365 1074047246 /nfs/dbraw/zinc/04/72/46/1074047246.db2.gz CAGIGPRSVFEJKA-LJQANCHMSA-N 0 0 431.449 -0.054 20 0 IBADRN Cc1nc(NC(=O)CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)c(C(=O)NCCO)n1C ZINC000819503813 1074047343 /nfs/dbraw/zinc/04/73/43/1074047343.db2.gz NVYQEDQSJGQROF-FQEVSTJZSA-N 0 0 428.449 -0.144 20 0 IBADRN Cc1nc(NC(=O)CN2C(=O)N[C@](C)(c3ccccc3)C2=O)c(C(=O)NCCO)n1C ZINC000819503816 1074047690 /nfs/dbraw/zinc/04/76/90/1074047690.db2.gz NVYQEDQSJGQROF-HXUWFJFHSA-N 0 0 428.449 -0.144 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NC1(C(N)=O)CN(C(=O)OC(C)(C)C)C1 ZINC000819508688 1074047944 /nfs/dbraw/zinc/04/79/44/1074047944.db2.gz BFUMMFOGTMCRSC-UHFFFAOYSA-N 0 0 429.474 -0.782 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)(C(N)=O)C1 ZINC000819508742 1074048044 /nfs/dbraw/zinc/04/80/44/1074048044.db2.gz BOZPVFNRFMKHSZ-UHFFFAOYSA-N 0 0 425.467 -0.925 20 0 IBADRN CC(C)(C)OC(=O)N1CC(NC(=O)C2CCN(c3ccc4nncn4n3)CC2)(C(N)=O)C1 ZINC000819509916 1074047999 /nfs/dbraw/zinc/04/79/99/1074047999.db2.gz LUMFTRLXFXGAEP-UHFFFAOYSA-N 0 0 444.496 -0.068 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)cn1 ZINC000819510807 1074048030 /nfs/dbraw/zinc/04/80/30/1074048030.db2.gz UURHJVCCOFLDQN-UHFFFAOYSA-N 0 0 427.483 -0.464 20 0 IBADRN COc1ccc(C(=O)NC2(CO)COC(C)(C)OC2)cc1S(=O)(=O)N1CCOCC1 ZINC000819514567 1074047983 /nfs/dbraw/zinc/04/79/83/1074047983.db2.gz UIUWZMYWWMNENO-UHFFFAOYSA-N 0 0 444.506 -0.040 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc(Br)c(S(=O)(=O)N(C)C)c1 ZINC000819578269 1074048010 /nfs/dbraw/zinc/04/80/10/1074048010.db2.gz DIHRFMWANOATRJ-UHFFFAOYSA-N 0 0 436.284 -0.282 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CS(=O)(=O)Nc1ccc(N2CCCCC2)cc1 ZINC000819580749 1074048315 /nfs/dbraw/zinc/04/83/15/1074048315.db2.gz PLXIMNPEVZRMHK-UHFFFAOYSA-N 0 0 426.495 -0.176 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000819581147 1074047960 /nfs/dbraw/zinc/04/79/60/1074047960.db2.gz UDCCZDFEIPPXLH-UHFFFAOYSA-N 0 0 433.575 -0.026 20 0 IBADRN O=C(NCCS(=O)(=O)CCNC(=O)C(F)(F)C1(O)CCC1)C(F)(F)C1(O)CCC1 ZINC000819679488 1074048786 /nfs/dbraw/zinc/04/87/86/1074048786.db2.gz CPXLBLPVMUGHLU-UHFFFAOYSA-N 0 0 448.435 -0.266 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC000819720464 1074048702 /nfs/dbraw/zinc/04/87/02/1074048702.db2.gz ADIYEJLZTOJYOK-BTYIYWSLSA-N 0 0 446.460 -0.640 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC000819720465 1074048526 /nfs/dbraw/zinc/04/85/26/1074048526.db2.gz ADIYEJLZTOJYOK-QVKFZJNVSA-N 0 0 446.460 -0.640 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC000819720466 1074048537 /nfs/dbraw/zinc/04/85/37/1074048537.db2.gz ADIYEJLZTOJYOK-VFNWGFHPSA-N 0 0 446.460 -0.640 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC000819720467 1074048622 /nfs/dbraw/zinc/04/86/22/1074048622.db2.gz ADIYEJLZTOJYOK-YCRPNKLZSA-N 0 0 446.460 -0.640 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(C(=O)NCCOCCS(N)(=O)=O)ccc1F ZINC000819786558 1074048601 /nfs/dbraw/zinc/04/86/01/1074048601.db2.gz AXOZCQIJZPUVRD-UHFFFAOYSA-N 0 0 425.504 -0.063 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CS(=O)(=O)Nc1ccc(N2CCCCC2)cc1 ZINC000819787396 1074048694 /nfs/dbraw/zinc/04/86/94/1074048694.db2.gz MHVUNZXXUWKSJZ-UHFFFAOYSA-N 0 0 447.583 -0.069 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CS(=O)(=O)Nc1ccc(N2CCCCC2)cc1 ZINC000819788332 1074048643 /nfs/dbraw/zinc/04/86/43/1074048643.db2.gz UEXBTYGNDGRDRQ-UHFFFAOYSA-N 0 0 448.567 -0.160 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCC(=O)N2CCNC2=O)c1 ZINC000819798534 1074049107 /nfs/dbraw/zinc/04/91/07/1074049107.db2.gz HSPLWNDIARYLPY-UHFFFAOYSA-N 0 0 440.478 -0.518 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000819798796 1074049118 /nfs/dbraw/zinc/04/91/18/1074049118.db2.gz INUQEFPNCQRKLR-UHFFFAOYSA-N 0 0 442.494 -0.224 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000819799128 1074049096 /nfs/dbraw/zinc/04/90/96/1074049096.db2.gz OMAHRVROXIFLSM-UHFFFAOYSA-N 0 0 443.478 -0.781 20 0 IBADRN CNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000819800190 1074048970 /nfs/dbraw/zinc/04/89/70/1074048970.db2.gz ZFTKNFJIPGFBOU-UHFFFAOYSA-N 0 0 428.467 -0.614 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCC(=O)N2CCOCC2)c1 ZINC000819800856 1074049176 /nfs/dbraw/zinc/04/91/76/1074049176.db2.gz AWAAJMIVMSGCGX-UHFFFAOYSA-N 0 0 441.506 -0.211 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000819801399 1074049127 /nfs/dbraw/zinc/04/91/27/1074049127.db2.gz QYLDLUNTCHZXCX-GFCCVEGCSA-N 0 0 442.494 -0.226 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC000819801401 1074049181 /nfs/dbraw/zinc/04/91/81/1074049181.db2.gz QYLDLUNTCHZXCX-LBPRGKRZSA-N 0 0 442.494 -0.226 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(=O)NC(N)=O ZINC000819801751 1074049155 /nfs/dbraw/zinc/04/91/55/1074049155.db2.gz WSEWCZMEVIMPLU-LLVKDONJSA-N 0 0 428.467 -0.486 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(=O)NC(N)=O ZINC000819801753 1074049168 /nfs/dbraw/zinc/04/91/68/1074049168.db2.gz WSEWCZMEVIMPLU-NSHDSACASA-N 0 0 428.467 -0.486 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000819803503 1074048954 /nfs/dbraw/zinc/04/89/54/1074048954.db2.gz PTMOERLFTVLICV-KRWDZBQOSA-N 0 0 428.467 -0.551 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000819803505 1074049146 /nfs/dbraw/zinc/04/91/46/1074049146.db2.gz PTMOERLFTVLICV-QGZVFWFLSA-N 0 0 428.467 -0.551 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)c3cn(C)cn3)C2=O)cc1 ZINC000819812337 1074048632 /nfs/dbraw/zinc/04/86/32/1074048632.db2.gz BASVZJDKIZFEKQ-KRWDZBQOSA-N 0 0 436.450 -0.795 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CNS(=O)(=O)c3cn(C)cn3)C2=O)cc1 ZINC000819812346 1074048584 /nfs/dbraw/zinc/04/85/84/1074048584.db2.gz BASVZJDKIZFEKQ-QGZVFWFLSA-N 0 0 436.450 -0.795 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000819815896 1074048781 /nfs/dbraw/zinc/04/87/81/1074048781.db2.gz WVSKVGKOUBRGEV-KRWDZBQOSA-N 0 0 428.467 -0.551 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000819815897 1074048731 /nfs/dbraw/zinc/04/87/31/1074048731.db2.gz WVSKVGKOUBRGEV-QGZVFWFLSA-N 0 0 428.467 -0.551 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC2)cc1 ZINC000819886865 1074049492 /nfs/dbraw/zinc/04/94/92/1074049492.db2.gz IJUPYEHELBUGRU-CYBMUJFWSA-N 0 0 437.565 -0.068 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC2)cc1 ZINC000819886872 1074049542 /nfs/dbraw/zinc/04/95/42/1074049542.db2.gz IJUPYEHELBUGRU-ZDUSSCGKSA-N 0 0 437.565 -0.068 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NC2CCN(CC(N)=O)CC2)cc1 ZINC000820001720 1074049892 /nfs/dbraw/zinc/04/98/92/1074049892.db2.gz ACFHBFQHYSUHHP-UHFFFAOYSA-N 0 0 432.568 -0.055 20 0 IBADRN NC(=O)CN1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC000820002512 1074049984 /nfs/dbraw/zinc/04/99/84/1074049984.db2.gz SJRPKYVFIPUMMB-UHFFFAOYSA-N 0 0 430.552 -0.301 20 0 IBADRN Nc1nccnc1C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000820227841 1074051760 /nfs/dbraw/zinc/05/17/60/1074051760.db2.gz UHOMLANHVJYKTG-UHFFFAOYSA-N 0 0 435.462 -0.447 20 0 IBADRN Cc1oc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC000820248850 1074050242 /nfs/dbraw/zinc/05/02/42/1074050242.db2.gz CWYKPBSVQGBMBE-UHFFFAOYSA-N 0 0 427.526 -0.278 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3s2)CC1 ZINC000820249271 1074050275 /nfs/dbraw/zinc/05/02/75/1074050275.db2.gz LNYHLRKHAYWYAQ-CHWSQXEVSA-N 0 0 433.533 -0.040 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3s2)CC1 ZINC000820249272 1074050305 /nfs/dbraw/zinc/05/03/05/1074050305.db2.gz LNYHLRKHAYWYAQ-OLZOCXBDSA-N 0 0 433.533 -0.040 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3s2)CC1 ZINC000820249273 1074050169 /nfs/dbraw/zinc/05/01/69/1074050169.db2.gz LNYHLRKHAYWYAQ-QWHCGFSZSA-N 0 0 433.533 -0.040 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3s2)CC1 ZINC000820249274 1074050158 /nfs/dbraw/zinc/05/01/58/1074050158.db2.gz LNYHLRKHAYWYAQ-STQMWFEESA-N 0 0 433.533 -0.040 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)C1=O ZINC000820249827 1074050204 /nfs/dbraw/zinc/05/02/04/1074050204.db2.gz SNZCWWUIFIUQLY-KRWDZBQOSA-N 0 0 442.519 -0.277 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)C1=O ZINC000820249828 1074050372 /nfs/dbraw/zinc/05/03/72/1074050372.db2.gz SNZCWWUIFIUQLY-QGZVFWFLSA-N 0 0 442.519 -0.277 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000820255066 1074050340 /nfs/dbraw/zinc/05/03/40/1074050340.db2.gz CJNLXUINQXWPHO-UHFFFAOYSA-N 0 0 427.526 -0.278 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CCn2[nH]c(=O)c3ccccc3c2=O)CC1 ZINC000820255204 1074050390 /nfs/dbraw/zinc/05/03/90/1074050390.db2.gz GRVSSRNYTGQTGL-UHFFFAOYSA-N 0 0 428.492 -0.238 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000820255258 1074050608 /nfs/dbraw/zinc/05/06/08/1074050608.db2.gz HRXQQMAJDRKCDB-CYBMUJFWSA-N 0 0 429.586 -0.150 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000820255260 1074050619 /nfs/dbraw/zinc/05/06/19/1074050619.db2.gz HRXQQMAJDRKCDB-ZDUSSCGKSA-N 0 0 429.586 -0.150 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000820255653 1074050634 /nfs/dbraw/zinc/05/06/34/1074050634.db2.gz ODDYTSBYMONYKH-UHFFFAOYSA-N 0 0 429.480 -0.211 20 0 IBADRN C[C@H]1NC(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)=NN(c2ccccc2)C1=O ZINC000820255691 1074050663 /nfs/dbraw/zinc/05/06/63/1074050663.db2.gz PGTQWIIGHPTBFA-GFCCVEGCSA-N 0 0 427.508 -0.405 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)=NN(c2ccccc2)C1=O ZINC000820255692 1074050798 /nfs/dbraw/zinc/05/07/98/1074050798.db2.gz PGTQWIIGHPTBFA-LBPRGKRZSA-N 0 0 427.508 -0.405 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000820255697 1074050746 /nfs/dbraw/zinc/05/07/46/1074050746.db2.gz PJXJSTPATRMQRT-UHFFFAOYSA-N 0 0 449.985 -0.050 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)ccc1F ZINC000820255920 1074050769 /nfs/dbraw/zinc/05/07/69/1074050769.db2.gz VIUVRIBMVHJBIC-UHFFFAOYSA-N 0 0 427.501 -0.383 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000820256171 1074050318 /nfs/dbraw/zinc/05/03/18/1074050318.db2.gz WUSRNHGWVRSLNT-JTQLQIEISA-N 0 0 429.567 -0.315 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000820256172 1074050763 /nfs/dbraw/zinc/05/07/63/1074050763.db2.gz WUSRNHGWVRSLNT-SNVBAGLBSA-N 0 0 429.567 -0.315 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)Nn2cnn(CC)c2=O)o1 ZINC000820388201 1074052176 /nfs/dbraw/zinc/05/21/76/1074052176.db2.gz LNOQRYGFBVZQRE-LLVKDONJSA-N 0 0 427.439 -0.242 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)Nn2cnn(CC)c2=O)o1 ZINC000820388202 1074052274 /nfs/dbraw/zinc/05/22/74/1074052274.db2.gz LNOQRYGFBVZQRE-NSHDSACASA-N 0 0 427.439 -0.242 20 0 IBADRN CCn1ncn(NC(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)c1=O ZINC000820397154 1074052281 /nfs/dbraw/zinc/05/22/81/1074052281.db2.gz ROBSAOZAPOJZNC-UHFFFAOYSA-N 0 0 425.467 -0.593 20 0 IBADRN CCN=c1nc(NCC)nc2[n-]nc(SCC(=O)N3CC(C[NH+]4CCN(C)CC4)C3)n21 ZINC000820459892 1074052046 /nfs/dbraw/zinc/05/20/46/1074052046.db2.gz XSVOTULDKVJDDC-UHFFFAOYSA-N 0 0 448.601 -0.397 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)CC1 ZINC000820504484 1074052098 /nfs/dbraw/zinc/05/20/98/1074052098.db2.gz XHXAENQCINIILW-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN CCN1CCN(CC(=O)N2NC(=O)CC23CCN(C(=O)OC(C)(C)C)CC3)C(=O)C1=O ZINC000820531155 1074052315 /nfs/dbraw/zinc/05/23/15/1074052315.db2.gz SPDUSSCMWZSKTD-UHFFFAOYSA-N 0 0 437.497 -0.290 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1NC(=O)CC12CCN(C(=O)OC(C)(C)C)CC2 ZINC000820531221 1074052080 /nfs/dbraw/zinc/05/20/80/1074052080.db2.gz VXMBAUZQADQUQO-UHFFFAOYSA-N 0 0 448.542 -0.025 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1NC(=O)CC12CCN(C(=O)OC(C)(C)C)CC2 ZINC000820537122 1074052207 /nfs/dbraw/zinc/05/22/07/1074052207.db2.gz NMFISBXCKZMKOO-UHFFFAOYSA-N 0 0 448.542 -0.025 20 0 IBADRN Cn1c(=O)cc(Cl)n(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1=O ZINC000820690869 1074052694 /nfs/dbraw/zinc/05/26/94/1074052694.db2.gz BVKLVNGVRLDHCA-UHFFFAOYSA-N 0 0 442.881 -0.140 20 0 IBADRN Cn1c(=O)cc(Cl)n(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c1=O ZINC000820696374 1074052705 /nfs/dbraw/zinc/05/27/05/1074052705.db2.gz XDXWTDVHVYNFJS-UHFFFAOYSA-N 0 0 442.881 -0.140 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(S(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000820723842 1074053134 /nfs/dbraw/zinc/05/31/34/1074053134.db2.gz ITRNNYJKITWWBL-UHFFFAOYSA-N 0 0 430.551 -0.478 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCS(=O)(=NS(C)(=O)=O)CC3)cc2n(C)c1=O ZINC000820723929 1074052670 /nfs/dbraw/zinc/05/26/70/1074052670.db2.gz MQNCAHPAXWKQBX-UHFFFAOYSA-N 0 0 436.537 -0.691 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC000820723987 1074052614 /nfs/dbraw/zinc/05/26/14/1074052614.db2.gz ONJUJNOQFWVRNS-UHFFFAOYSA-N 0 0 430.551 -0.478 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)s1 ZINC000820724002 1074052540 /nfs/dbraw/zinc/05/25/40/1074052540.db2.gz PFRDAAHWFZXLJM-UHFFFAOYSA-N 0 0 429.567 -0.184 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cn1C ZINC000820724085 1074052622 /nfs/dbraw/zinc/05/26/22/1074052622.db2.gz STHPTQVBCUJNAJ-UHFFFAOYSA-N 0 0 427.526 -0.366 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1OC ZINC000820724143 1074052656 /nfs/dbraw/zinc/05/26/56/1074052656.db2.gz VUPDXACOUMAPEN-UHFFFAOYSA-N 0 0 440.521 -0.086 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1C(N)=O ZINC000820724146 1074052662 /nfs/dbraw/zinc/05/26/62/1074052662.db2.gz VWCRCNVBRZHTNK-UHFFFAOYSA-N 0 0 425.510 -0.774 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000820724184 1074052553 /nfs/dbraw/zinc/05/25/53/1074052553.db2.gz XOZKQRXCRZLVAZ-UHFFFAOYSA-N 0 0 444.578 -0.170 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1 ZINC000820724196 1074052710 /nfs/dbraw/zinc/05/27/10/1074052710.db2.gz YHVRSEIAXVWHGI-UHFFFAOYSA-N 0 0 436.493 -0.527 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)NCc1cccc(CNS(=O)(=O)N=S(C)(C)=O)c1 ZINC000820749289 1074052924 /nfs/dbraw/zinc/05/29/24/1074052924.db2.gz WNFQBJFWIPUELW-UHFFFAOYSA-N 0 0 446.598 -0.189 20 0 IBADRN CCN(CC(=O)Nc1ccc2c(c1)OCCO2)S(=O)(=O)CCS(=O)(=O)N(C)C ZINC000820762339 1074053075 /nfs/dbraw/zinc/05/30/75/1074053075.db2.gz ICUNSXBJZROSOM-UHFFFAOYSA-N 0 0 435.524 -0.061 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000820782837 1074052975 /nfs/dbraw/zinc/05/29/75/1074052975.db2.gz BJLDWSRDOZHSGM-UHFFFAOYSA-N 0 0 433.508 -0.606 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCC(NS(=O)(=O)c2cccs2)CC1 ZINC000820783787 1074052954 /nfs/dbraw/zinc/05/29/54/1074052954.db2.gz MVDNKASYVOSQTQ-UHFFFAOYSA-N 0 0 445.610 -0.288 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC000820790820 1074052892 /nfs/dbraw/zinc/05/28/92/1074052892.db2.gz XNZBCGYZSDXYOG-UHFFFAOYSA-N 0 0 432.293 -0.095 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(Br)cc1F ZINC000820795042 1074053050 /nfs/dbraw/zinc/05/30/50/1074053050.db2.gz RXDAUNYYEFSNNQ-SSDOTTSWSA-N 0 0 449.258 -0.982 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(Br)cc1F ZINC000820795043 1074053099 /nfs/dbraw/zinc/05/30/99/1074053099.db2.gz RXDAUNYYEFSNNQ-ZETCQYMHSA-N 0 0 449.258 -0.982 20 0 IBADRN O=C1c2ccc(S(=O)(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)cc2CC1(Cl)Cl ZINC000820795149 1074053034 /nfs/dbraw/zinc/05/30/34/1074053034.db2.gz VCFRUPRRWXLYIE-JTQLQIEISA-N 0 0 432.285 -0.069 20 0 IBADRN O=C1c2ccc(S(=O)(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2CC1(Cl)Cl ZINC000820795150 1074053105 /nfs/dbraw/zinc/05/31/05/1074053105.db2.gz VCFRUPRRWXLYIE-SNVBAGLBSA-N 0 0 432.285 -0.069 20 0 IBADRN COc1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1S(=O)(=O)N(C)C ZINC000820833887 1074053124 /nfs/dbraw/zinc/05/31/24/1074053124.db2.gz LHNINBYFLJPTPH-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)ccc1OC ZINC000820849105 1074053090 /nfs/dbraw/zinc/05/30/90/1074053090.db2.gz FMBWLXCMMSVCKJ-GFCCVEGCSA-N 0 0 427.479 -0.161 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)ccc1OC ZINC000820849108 1074053004 /nfs/dbraw/zinc/05/30/04/1074053004.db2.gz FMBWLXCMMSVCKJ-LBPRGKRZSA-N 0 0 427.479 -0.161 20 0 IBADRN CCc1c(-c2nc(-c3cncc(S(N)(=O)=O)c3)no2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000820953062 1074053580 /nfs/dbraw/zinc/05/35/80/1074053580.db2.gz JXSOZTQEXAAKSS-UHFFFAOYSA-N 0 0 443.445 -0.046 20 0 IBADRN Cc1c(-c2nc(-c3cncc(S(N)(=O)=O)c3)no2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000820961752 1074053532 /nfs/dbraw/zinc/05/35/32/1074053532.db2.gz WLABDEFQXPEJMO-UHFFFAOYSA-N 0 0 429.418 -0.300 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cnc(N(C)C)n2C)c(=O)n1CC(=O)N(CC)CC ZINC000821031420 1074053780 /nfs/dbraw/zinc/05/37/80/1074053780.db2.gz JMGHVFCBGKCSFF-UHFFFAOYSA-N 0 0 435.550 -0.250 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2C(=O)N[C@@H](CCS(N)(=O)=O)C2=O)c1 ZINC000821047765 1074053741 /nfs/dbraw/zinc/05/37/41/1074053741.db2.gz OPVJLDWSSRODOH-AWEZNQCLSA-N 0 0 434.478 -0.801 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2C(=O)N[C@H](CCS(N)(=O)=O)C2=O)c1 ZINC000821047766 1074053940 /nfs/dbraw/zinc/05/39/40/1074053940.db2.gz OPVJLDWSSRODOH-CQSZACIVSA-N 0 0 434.478 -0.801 20 0 IBADRN O=C(N1CCN(c2cnccn2)CC1)C(F)(F)C(=O)N1CCN(c2cnccn2)CC1 ZINC000821228905 1074054030 /nfs/dbraw/zinc/05/40/30/1074054030.db2.gz GLZOGMBWGFIMKM-UHFFFAOYSA-N 0 0 432.435 -0.101 20 0 IBADRN O=C(N1CCN(c2ncccn2)CC1)C(F)(F)C(=O)N1CCN(c2ncccn2)CC1 ZINC000821230683 1074054009 /nfs/dbraw/zinc/05/40/09/1074054009.db2.gz VPJAMCUFYKBBPU-UHFFFAOYSA-N 0 0 432.435 -0.101 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCn3nnc(CO)c3C2)C1=O ZINC000821278825 1074053979 /nfs/dbraw/zinc/05/39/79/1074053979.db2.gz GSCZYFJVVDFPHK-FQEVSTJZSA-N 0 0 427.465 -0.440 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCn3nnc(CO)c3C2)C1=O ZINC000821278826 1074053887 /nfs/dbraw/zinc/05/38/87/1074053887.db2.gz GSCZYFJVVDFPHK-HXUWFJFHSA-N 0 0 427.465 -0.440 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2Cl)CC1 ZINC000821286948 1074054391 /nfs/dbraw/zinc/05/43/91/1074054391.db2.gz BVIMCRGSNUZJGZ-UHFFFAOYSA-N 0 0 447.930 -0.003 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000821287186 1074054282 /nfs/dbraw/zinc/05/42/82/1074054282.db2.gz CPJRULFHHFBRKC-UHFFFAOYSA-N 0 0 432.480 -0.193 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821287972 1074054540 /nfs/dbraw/zinc/05/45/40/1074054540.db2.gz KOJDSVUGGWBAKW-LLVKDONJSA-N 0 0 438.553 -0.596 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821287973 1074054461 /nfs/dbraw/zinc/05/44/61/1074054461.db2.gz KOJDSVUGGWBAKW-NSHDSACASA-N 0 0 438.553 -0.596 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821297808 1074054520 /nfs/dbraw/zinc/05/45/20/1074054520.db2.gz AHRWFUALHHBVAS-AWEZNQCLSA-N 0 0 430.556 -0.743 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821297825 1074054263 /nfs/dbraw/zinc/05/42/63/1074054263.db2.gz AHRWFUALHHBVAS-CQSZACIVSA-N 0 0 430.556 -0.743 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821297861 1074054291 /nfs/dbraw/zinc/05/42/91/1074054291.db2.gz AIYFOXJEHAPMJZ-INIZCTEOSA-N 0 0 443.547 -0.144 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821297866 1074054305 /nfs/dbraw/zinc/05/43/05/1074054305.db2.gz AIYFOXJEHAPMJZ-MRXNPFEDSA-N 0 0 443.547 -0.144 20 0 IBADRN CCCCn1c2nc(CN3CCS(=O)(=NS(C)(=O)=O)CC3)n(C)c2c(=O)[nH]c1=O ZINC000821298163 1074054416 /nfs/dbraw/zinc/05/44/16/1074054416.db2.gz CZVPBHCEMZYYGI-UHFFFAOYSA-N 0 0 446.555 -0.121 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821298538 1074054531 /nfs/dbraw/zinc/05/45/31/1074054531.db2.gz DHTUZOANQUQTMK-LLVKDONJSA-N 0 0 438.553 -0.596 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821298541 1074054314 /nfs/dbraw/zinc/05/43/14/1074054314.db2.gz DHTUZOANQUQTMK-NSHDSACASA-N 0 0 438.553 -0.596 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000821298951 1074054377 /nfs/dbraw/zinc/05/43/77/1074054377.db2.gz GVBIBUMAFMCEBK-UHFFFAOYSA-N 0 0 446.551 -0.198 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(CC(=O)Nc2ccccc2SCC(N)=O)CC1 ZINC000821299290 1074054326 /nfs/dbraw/zinc/05/43/26/1074054326.db2.gz JYUGYOOSOLQJQR-UHFFFAOYSA-N 0 0 434.565 -0.054 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCS(=O)(=NS(C)(=O)=O)CC1)C2=O ZINC000821299296 1074054489 /nfs/dbraw/zinc/05/44/89/1074054489.db2.gz KIGHMJXMIFJCAF-UHFFFAOYSA-N 0 0 449.555 -0.739 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(CC(=O)NCc2cccc(C(=O)NC3CC3)c2)CC1 ZINC000821299875 1074054509 /nfs/dbraw/zinc/05/45/09/1074054509.db2.gz OEEHDCUNWIZPAV-UHFFFAOYSA-N 0 0 442.563 -0.062 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3C[C@@H]4CN(C(C)=O)C[C@@H]4C3)C2=O)cc1 ZINC000821328293 1074054336 /nfs/dbraw/zinc/05/43/36/1074054336.db2.gz HQRMQIZNRBQVFP-LRAJWGHMSA-N 0 0 429.477 -0.097 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3C[C@@H]4CN(C(C)=O)C[C@@H]4C3)C2=O)cc1 ZINC000821328294 1074054430 /nfs/dbraw/zinc/05/44/30/1074054430.db2.gz HQRMQIZNRBQVFP-ZSDSOXJFSA-N 0 0 429.477 -0.097 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)Cn2cnc3ccccc3c2=O)cc1 ZINC000821507146 1074054478 /nfs/dbraw/zinc/05/44/78/1074054478.db2.gz QRWYVRXHWBKTCT-UHFFFAOYSA-N 0 0 444.469 -0.054 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC000821519223 1074054913 /nfs/dbraw/zinc/05/49/13/1074054913.db2.gz CNLDDIQDISNYOX-UHFFFAOYSA-N 0 0 446.507 -0.136 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000821535320 1074054930 /nfs/dbraw/zinc/05/49/30/1074054930.db2.gz ZFMXPVDJDJHIHH-UHFFFAOYSA-N 0 0 430.918 -0.110 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)Nc2cc(F)cc(S(N)(=O)=O)c2)CC1 ZINC000821559587 1074054876 /nfs/dbraw/zinc/05/48/76/1074054876.db2.gz MWLWOJBTDVBWMO-UHFFFAOYSA-N 0 0 428.489 -0.252 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)Nc2cnn(CCN3CCOCC3)c2)CC1 ZINC000821562974 1074054978 /nfs/dbraw/zinc/05/49/78/1074054978.db2.gz AQEQOQPXLXHKRM-UHFFFAOYSA-N 0 0 434.544 -0.510 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)nc1 ZINC000821566223 1074054850 /nfs/dbraw/zinc/05/48/50/1074054850.db2.gz VWGMENAWZXJJNW-UHFFFAOYSA-N 0 0 425.514 -0.735 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000821568780 1074054827 /nfs/dbraw/zinc/05/48/27/1074054827.db2.gz KCLZFCBVCDZWSU-AWEZNQCLSA-N 0 0 442.464 -0.212 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000821568781 1074054889 /nfs/dbraw/zinc/05/48/89/1074054889.db2.gz KCLZFCBVCDZWSU-CQSZACIVSA-N 0 0 442.464 -0.212 20 0 IBADRN CC(CO)(CO)NC(=O)C(=O)Nc1cccc(S(=O)(=O)NC2=NCCCCC2)c1 ZINC000821571141 1074054940 /nfs/dbraw/zinc/05/49/40/1074054940.db2.gz BVPQLDFOTFVFRS-UHFFFAOYSA-N 0 0 426.495 -0.265 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncc(NC(=O)C(=O)NC(C)(CO)CO)cn2)CC1 ZINC000821571363 1074054750 /nfs/dbraw/zinc/05/47/50/1074054750.db2.gz LCKPKXWYKLJSDP-UHFFFAOYSA-N 0 0 438.485 -0.668 20 0 IBADRN CN1CCN(c2ccc(NC(=O)C(=O)NC(C)(CO)CO)cc2Br)CC1 ZINC000821571536 1074054962 /nfs/dbraw/zinc/05/49/62/1074054962.db2.gz SSVJYPNBDBYQJH-UHFFFAOYSA-N 0 0 429.315 -0.001 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccccc3C(=O)N=S(C)(C)=O)c2c(=O)n(C)c1=O ZINC000821641806 1074055272 /nfs/dbraw/zinc/05/52/72/1074055272.db2.gz VNDFMIIRRJJWGV-UHFFFAOYSA-N 0 0 432.462 -0.060 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)nc1 ZINC000821649616 1074055503 /nfs/dbraw/zinc/05/55/03/1074055503.db2.gz ZBFXENAKUMLAQD-UHFFFAOYSA-N 0 0 438.487 -0.142 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CNC(=O)c2ccccc2)CC1 ZINC000821713592 1074055864 /nfs/dbraw/zinc/05/58/64/1074055864.db2.gz GSRGRIKJCCFKLQ-UHFFFAOYSA-N 0 0 437.460 -0.015 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)OC[C@@H]1CNC(=O)O1 ZINC000821735929 1074055546 /nfs/dbraw/zinc/05/55/46/1074055546.db2.gz MEPJLFMMQVJQQD-AWEZNQCLSA-N 0 0 425.463 -0.049 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)OC[C@H]1CNC(=O)O1 ZINC000821735962 1074055405 /nfs/dbraw/zinc/05/54/05/1074055405.db2.gz MEPJLFMMQVJQQD-CQSZACIVSA-N 0 0 425.463 -0.049 20 0 IBADRN C[C@@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000821739459 1074055536 /nfs/dbraw/zinc/05/55/36/1074055536.db2.gz IHFXLGZESFSMML-NEPJUHHUSA-N 0 0 431.492 -0.939 20 0 IBADRN C[C@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000821739476 1074055262 /nfs/dbraw/zinc/05/52/62/1074055262.db2.gz IHFXLGZESFSMML-NWDGAFQWSA-N 0 0 431.492 -0.939 20 0 IBADRN C[C@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000821739477 1074055556 /nfs/dbraw/zinc/05/55/56/1074055556.db2.gz IHFXLGZESFSMML-RYUDHWBXSA-N 0 0 431.492 -0.939 20 0 IBADRN C[C@@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000821739478 1074055889 /nfs/dbraw/zinc/05/58/89/1074055889.db2.gz IHFXLGZESFSMML-VXGBXAGGSA-N 0 0 431.492 -0.939 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)[C@@H]1CCS(=O)(=O)C1 ZINC000821740012 1074055806 /nfs/dbraw/zinc/05/58/06/1074055806.db2.gz YQSOKSZZXZHDEA-CHWSQXEVSA-N 0 0 445.519 -0.549 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)[C@H]1CCS(=O)(=O)C1 ZINC000821740013 1074055856 /nfs/dbraw/zinc/05/58/56/1074055856.db2.gz YQSOKSZZXZHDEA-OLZOCXBDSA-N 0 0 445.519 -0.549 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)[C@@H]1CCS(=O)(=O)C1 ZINC000821740019 1074055874 /nfs/dbraw/zinc/05/58/74/1074055874.db2.gz YQSOKSZZXZHDEA-QWHCGFSZSA-N 0 0 445.519 -0.549 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)[C@H]1CCS(=O)(=O)C1 ZINC000821740021 1074055839 /nfs/dbraw/zinc/05/58/39/1074055839.db2.gz YQSOKSZZXZHDEA-STQMWFEESA-N 0 0 445.519 -0.549 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000821851721 1074055846 /nfs/dbraw/zinc/05/58/46/1074055846.db2.gz FABVFMUEKHWRRJ-UHFFFAOYSA-N 0 0 449.489 -0.021 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000821933758 1074056114 /nfs/dbraw/zinc/05/61/14/1074056114.db2.gz FAMJGLKNOTZLBT-UHFFFAOYSA-N 0 0 449.489 -0.023 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)CC1 ZINC000822043491 1074056320 /nfs/dbraw/zinc/05/63/20/1074056320.db2.gz AZJUMXWOXXCAJX-UHFFFAOYSA-N 0 0 447.583 -0.215 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)CC1 ZINC000822048098 1074056261 /nfs/dbraw/zinc/05/62/61/1074056261.db2.gz SLPQYMUSTYSLSC-UHFFFAOYSA-N 0 0 428.515 -0.747 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1Cl ZINC000822141331 1074056618 /nfs/dbraw/zinc/05/66/18/1074056618.db2.gz BAWNDELIVKIDDD-UHFFFAOYSA-N 0 0 444.897 -0.869 20 0 IBADRN COC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000822865408 1074058971 /nfs/dbraw/zinc/05/89/71/1074058971.db2.gz BBPLNQVXPCBPEZ-FQEVSTJZSA-N 0 0 433.465 -0.716 20 0 IBADRN COC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000822865409 1074058891 /nfs/dbraw/zinc/05/88/91/1074058891.db2.gz BBPLNQVXPCBPEZ-HXUWFJFHSA-N 0 0 433.465 -0.716 20 0 IBADRN COC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000822865441 1074058562 /nfs/dbraw/zinc/05/85/62/1074058562.db2.gz CLIYQWJIFGFBNT-FQEVSTJZSA-N 0 0 433.465 -0.716 20 0 IBADRN COC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC000822865442 1074058583 /nfs/dbraw/zinc/05/85/83/1074058583.db2.gz CLIYQWJIFGFBNT-HXUWFJFHSA-N 0 0 433.465 -0.716 20 0 IBADRN COC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000822866622 1074059422 /nfs/dbraw/zinc/05/94/22/1074059422.db2.gz OBNOEWANUKFGOZ-NRFANRHFSA-N 0 0 431.493 -0.249 20 0 IBADRN COC(=O)CN1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000822866623 1074059249 /nfs/dbraw/zinc/05/92/49/1074059249.db2.gz OBNOEWANUKFGOZ-OAQYLSRUSA-N 0 0 431.493 -0.249 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000822971514 1074059315 /nfs/dbraw/zinc/05/93/15/1074059315.db2.gz URINUGKXTFETDU-LLVKDONJSA-N 0 0 432.426 -0.319 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000822971515 1074059412 /nfs/dbraw/zinc/05/94/12/1074059412.db2.gz URINUGKXTFETDU-NSHDSACASA-N 0 0 432.426 -0.319 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)NCC(=O)N1CCCC1 ZINC000823054109 1074060305 /nfs/dbraw/zinc/06/03/05/1074060305.db2.gz KEXCIDUJCJXTAB-UHFFFAOYSA-N 0 0 439.490 -0.397 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000823514082 1074061641 /nfs/dbraw/zinc/06/16/41/1074061641.db2.gz UVGUYVWWQLQOIK-UHFFFAOYSA-N 0 0 435.462 -0.411 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000823584198 1074062375 /nfs/dbraw/zinc/06/23/75/1074062375.db2.gz FNRPBADLTCAVII-GFCCVEGCSA-N 0 0 448.457 -0.009 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000823584209 1074062300 /nfs/dbraw/zinc/06/23/00/1074062300.db2.gz FNRPBADLTCAVII-LBPRGKRZSA-N 0 0 448.457 -0.009 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(CN4C(=O)CNC4=O)cc3)c2c(=O)n(C)c1=O ZINC000824002333 1074062780 /nfs/dbraw/zinc/06/27/80/1074062780.db2.gz JXPXVSVEPWJQDY-UHFFFAOYSA-N 0 0 440.416 -0.658 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc4c(c3)CCN4S(C)(=O)=O)c2c(=O)n(C)c1=O ZINC000824023497 1074062692 /nfs/dbraw/zinc/06/26/92/1074062692.db2.gz SOCSLIVCNNXOOS-UHFFFAOYSA-N 0 0 447.473 -0.387 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824394365 1074063206 /nfs/dbraw/zinc/06/32/06/1074063206.db2.gz VLRFABXYFDSAFS-UHFFFAOYSA-N 0 0 442.432 -0.683 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824397733 1074063120 /nfs/dbraw/zinc/06/31/20/1074063120.db2.gz ABJKPUGATUBMAC-LLVKDONJSA-N 0 0 442.432 -0.636 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824397744 1074063077 /nfs/dbraw/zinc/06/30/77/1074063077.db2.gz ABJKPUGATUBMAC-NSHDSACASA-N 0 0 442.432 -0.636 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824398438 1074063137 /nfs/dbraw/zinc/06/31/37/1074063137.db2.gz INVXKGBVFPHHMM-AWEZNQCLSA-N 0 0 443.460 -0.131 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824398442 1074063045 /nfs/dbraw/zinc/06/30/45/1074063045.db2.gz INVXKGBVFPHHMM-CQSZACIVSA-N 0 0 443.460 -0.131 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824398669 1074063063 /nfs/dbraw/zinc/06/30/63/1074063063.db2.gz MZWLRZZAPJNEGM-LLVKDONJSA-N 0 0 442.432 -0.038 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824398670 1074063147 /nfs/dbraw/zinc/06/31/47/1074063147.db2.gz MZWLRZZAPJNEGM-NSHDSACASA-N 0 0 442.432 -0.038 20 0 IBADRN COc1cccc(CNC(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824399561 1074063227 /nfs/dbraw/zinc/06/32/27/1074063227.db2.gz WSMXFPQVJPOKOW-GFCCVEGCSA-N 0 0 429.433 -0.310 20 0 IBADRN COc1cccc(CNC(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000824399562 1074063240 /nfs/dbraw/zinc/06/32/40/1074063240.db2.gz WSMXFPQVJPOKOW-LBPRGKRZSA-N 0 0 429.433 -0.310 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000824403777 1074063197 /nfs/dbraw/zinc/06/31/97/1074063197.db2.gz AXSLNOGWQWFOHU-CHWSQXEVSA-N 0 0 449.464 -0.833 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000824403778 1074063233 /nfs/dbraw/zinc/06/32/33/1074063233.db2.gz AXSLNOGWQWFOHU-OLZOCXBDSA-N 0 0 449.464 -0.833 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000824403779 1074063187 /nfs/dbraw/zinc/06/31/87/1074063187.db2.gz AXSLNOGWQWFOHU-QWHCGFSZSA-N 0 0 449.464 -0.833 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC000824403780 1074063272 /nfs/dbraw/zinc/06/32/72/1074063272.db2.gz AXSLNOGWQWFOHU-STQMWFEESA-N 0 0 449.464 -0.833 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(N4CCCS4(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000824514671 1074063214 /nfs/dbraw/zinc/06/32/14/1074063214.db2.gz BGWKZIFJJQUFNK-UHFFFAOYSA-N 0 0 447.473 -0.169 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C(=O)NC[C@@H](C)C1=O ZINC000824542803 1074063555 /nfs/dbraw/zinc/06/35/55/1074063555.db2.gz YBDWVCRPNNBCSE-GFCCVEGCSA-N 0 0 440.478 -0.158 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C(=O)NC[C@H](C)C1=O ZINC000824542807 1074063683 /nfs/dbraw/zinc/06/36/83/1074063683.db2.gz YBDWVCRPNNBCSE-LBPRGKRZSA-N 0 0 440.478 -0.158 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000824544813 1074063733 /nfs/dbraw/zinc/06/37/33/1074063733.db2.gz MHBUNFPHLKFISS-UHFFFAOYSA-N 0 0 433.490 -0.045 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)NCC(=O)N1CCCC1 ZINC000824789913 1074064119 /nfs/dbraw/zinc/06/41/19/1074064119.db2.gz SREHYNNHNLPHFD-UHFFFAOYSA-N 0 0 439.490 -0.397 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000825131437 1074065191 /nfs/dbraw/zinc/06/51/91/1074065191.db2.gz OBUYNRMJIYSXJQ-AWEZNQCLSA-N 0 0 442.490 -0.139 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000825131438 1074065208 /nfs/dbraw/zinc/06/52/08/1074065208.db2.gz OBUYNRMJIYSXJQ-CQSZACIVSA-N 0 0 442.490 -0.139 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2Br)CC1 ZINC000825145745 1074065149 /nfs/dbraw/zinc/06/51/49/1074065149.db2.gz NQAONVZBRYMOBM-UHFFFAOYSA-N 0 0 448.295 -0.056 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1cc(S(N)(=O)=O)ccc1F)c(=O)n2C ZINC000825150720 1074065218 /nfs/dbraw/zinc/06/52/18/1074065218.db2.gz IIMLLHHXOGAAMQ-UHFFFAOYSA-N 0 0 439.425 -0.533 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000825185724 1074065676 /nfs/dbraw/zinc/06/56/76/1074065676.db2.gz RGCMIJGZKAUUBE-CYBMUJFWSA-N 0 0 428.463 -0.150 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000825185725 1074065608 /nfs/dbraw/zinc/06/56/08/1074065608.db2.gz RGCMIJGZKAUUBE-ZDUSSCGKSA-N 0 0 428.463 -0.150 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000825214677 1074065682 /nfs/dbraw/zinc/06/56/82/1074065682.db2.gz JCHOBGAPWOPYQY-CYBMUJFWSA-N 0 0 428.463 -0.150 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000825214679 1074065659 /nfs/dbraw/zinc/06/56/59/1074065659.db2.gz JCHOBGAPWOPYQY-ZDUSSCGKSA-N 0 0 428.463 -0.150 20 0 IBADRN O=C(OCCN1CCOCC1)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000825224209 1074065746 /nfs/dbraw/zinc/06/57/46/1074065746.db2.gz QFSOZFYLFMAMCZ-AWEZNQCLSA-N 0 0 437.312 -0.036 20 0 IBADRN O=C(OCCN1CCOCC1)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000825224210 1074065596 /nfs/dbraw/zinc/06/55/96/1074065596.db2.gz QFSOZFYLFMAMCZ-CQSZACIVSA-N 0 0 437.312 -0.036 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)OCC(=O)N1CCNC1=O ZINC000825228615 1074065645 /nfs/dbraw/zinc/06/56/45/1074065645.db2.gz DNUCPWDBXAYHLP-UHFFFAOYSA-N 0 0 427.435 -0.389 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000825228723 1074065714 /nfs/dbraw/zinc/06/57/14/1074065714.db2.gz GXZDTVRAZNZOHW-LLVKDONJSA-N 0 0 429.451 -0.096 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000825228724 1074065729 /nfs/dbraw/zinc/06/57/29/1074065729.db2.gz GXZDTVRAZNZOHW-NSHDSACASA-N 0 0 429.451 -0.096 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)OCC(=O)N1CCOCC1 ZINC000825228918 1074065653 /nfs/dbraw/zinc/06/56/53/1074065653.db2.gz MDLHXMITGZEDCO-UHFFFAOYSA-N 0 0 428.463 -0.082 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000825229358 1074065686 /nfs/dbraw/zinc/06/56/86/1074065686.db2.gz ZQCYGQIHFAMKCK-UHFFFAOYSA-N 0 0 429.451 -0.095 20 0 IBADRN CNC(=O)NC(=O)COC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000825249200 1074065703 /nfs/dbraw/zinc/06/57/03/1074065703.db2.gz XPOKERMVFIKAEA-UHFFFAOYSA-N 0 0 429.451 -0.095 20 0 IBADRN O=C(COC(=O)C1=COCCO1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000825283388 1074065697 /nfs/dbraw/zinc/06/56/97/1074065697.db2.gz LKCWAUMDVLEKIB-UHFFFAOYSA-N 0 0 426.447 -0.245 20 0 IBADRN Cn1c2ncn(CCOC(=O)COc3ccc4c(c3)CCC(=O)N4)c2c(=O)n(C)c1=O ZINC000825357222 1074065987 /nfs/dbraw/zinc/06/59/87/1074065987.db2.gz AXLQQMOOYISRDO-UHFFFAOYSA-N 0 0 427.417 -0.059 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1OC ZINC000825366585 1074066553 /nfs/dbraw/zinc/06/65/53/1074066553.db2.gz AWPMZROLBPPZDN-UHFFFAOYSA-N 0 0 445.432 -0.690 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCc1ccc(Cl)cc1)c(=O)n2C ZINC000825366665 1074066573 /nfs/dbraw/zinc/06/65/73/1074066573.db2.gz DLPKOUUQRGBQRU-UHFFFAOYSA-N 0 0 433.852 -0.011 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000825366724 1074066391 /nfs/dbraw/zinc/06/63/91/1074066391.db2.gz FPFHVQWEBHWERU-UHFFFAOYSA-N 0 0 428.405 -0.426 20 0 IBADRN COc1ccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c(OC)c1 ZINC000825366758 1074066544 /nfs/dbraw/zinc/06/65/44/1074066544.db2.gz HLSSLSRYSLOMFM-UHFFFAOYSA-N 0 0 431.405 -0.367 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1ccc3c(c1)OCCCO3)c(=O)n2C ZINC000825366759 1074066519 /nfs/dbraw/zinc/06/65/19/1074066519.db2.gz HYBFUMVPKWISNG-UHFFFAOYSA-N 0 0 443.416 -0.223 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1ccnn1C1CCCC1)c(=O)n2C ZINC000825366889 1074066458 /nfs/dbraw/zinc/06/64/58/1074066458.db2.gz MEKDNZZDHWQEDP-UHFFFAOYSA-N 0 0 429.437 -0.073 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1ccc3c(c1)OCCO3)c(=O)n2C ZINC000825366904 1074066511 /nfs/dbraw/zinc/06/65/11/1074066511.db2.gz OGBVXOSOSBCPHV-UHFFFAOYSA-N 0 0 429.389 -0.613 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000825367018 1074066471 /nfs/dbraw/zinc/06/64/71/1074066471.db2.gz WREVCVKQSGKBOP-UHFFFAOYSA-N 0 0 429.389 -0.598 20 0 IBADRN COCCn1c(C)cc(C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1C ZINC000825369649 1074066829 /nfs/dbraw/zinc/06/68/29/1074066829.db2.gz BLMRZWRMKIYDOH-UHFFFAOYSA-N 0 0 431.449 -0.075 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1ccc3[nH]c(=O)[nH]c3c1)c(=O)n2C ZINC000825369665 1074066871 /nfs/dbraw/zinc/06/68/71/1074066871.db2.gz BSAYYWLJWBXTSL-UHFFFAOYSA-N 0 0 427.377 -0.803 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000825369911 1074066415 /nfs/dbraw/zinc/06/64/15/1074066415.db2.gz JNWMYVPJQXINMR-LLVKDONJSA-N 0 0 443.416 -0.225 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000825369912 1074066846 /nfs/dbraw/zinc/06/68/46/1074066846.db2.gz JNWMYVPJQXINMR-NSHDSACASA-N 0 0 443.416 -0.225 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NC1C3CC4CC(C3)CC1C4)c(=O)n2C ZINC000825369927 1074066427 /nfs/dbraw/zinc/06/64/27/1074066427.db2.gz KYFXWUMJKPGCAI-UHFFFAOYSA-N 0 0 429.477 -0.082 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000825370318 1074066789 /nfs/dbraw/zinc/06/67/89/1074066789.db2.gz PRHZGPLFLAIOGQ-GFCCVEGCSA-N 0 0 449.464 -0.833 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000825370334 1074066922 /nfs/dbraw/zinc/06/69/22/1074066922.db2.gz PRHZGPLFLAIOGQ-LBPRGKRZSA-N 0 0 449.464 -0.833 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1ccc2c(c1)OCO2 ZINC000825370426 1074066915 /nfs/dbraw/zinc/06/69/15/1074066915.db2.gz ROVSGTMBUSMWAR-JTQLQIEISA-N 0 0 429.389 -0.267 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1ccc2c(c1)OCO2 ZINC000825370439 1074066780 /nfs/dbraw/zinc/06/67/80/1074066780.db2.gz ROVSGTMBUSMWAR-SNVBAGLBSA-N 0 0 429.389 -0.267 20 0 IBADRN COC(=O)c1ccc(C)c(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000825370516 1074066819 /nfs/dbraw/zinc/06/68/19/1074066819.db2.gz URXAQFHYPZHIRD-UHFFFAOYSA-N 0 0 443.416 -0.289 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1ccc3c(c1)NC(=O)CO3)c(=O)n2C ZINC000825374690 1074066796 /nfs/dbraw/zinc/06/67/96/1074066796.db2.gz AGBATEMQPCROLT-UHFFFAOYSA-N 0 0 427.373 -0.809 20 0 IBADRN COC(=O)c1ccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000825374937 1074066885 /nfs/dbraw/zinc/06/68/85/1074066885.db2.gz DSJHEVZXBVTJBE-UHFFFAOYSA-N 0 0 429.389 -0.598 20 0 IBADRN COc1ccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1OC ZINC000825375049 1074066812 /nfs/dbraw/zinc/06/68/12/1074066812.db2.gz FZVDKRGWGNSWNU-UHFFFAOYSA-N 0 0 431.405 -0.367 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000825375053 1074066877 /nfs/dbraw/zinc/06/68/77/1074066877.db2.gz GDXOXNNQGIUYMG-UHFFFAOYSA-N 0 0 428.405 -0.426 20 0 IBADRN COc1ccc(OC)c(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000825375072 1074066895 /nfs/dbraw/zinc/06/68/95/1074066895.db2.gz HESLDRQQRNULEQ-UHFFFAOYSA-N 0 0 431.405 -0.367 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000825375081 1074066806 /nfs/dbraw/zinc/06/68/06/1074066806.db2.gz HRFXKPVJNATUBI-UHFFFAOYSA-N 0 0 442.432 -0.683 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCc1ccc3c(c1)OCO3)c(=O)n2C ZINC000825375616 1074067164 /nfs/dbraw/zinc/06/71/64/1074067164.db2.gz KWCJMCMDVQAPBU-UHFFFAOYSA-N 0 0 429.389 -0.978 20 0 IBADRN COc1cc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc(OC)c1 ZINC000825375655 1074067237 /nfs/dbraw/zinc/06/72/37/1074067237.db2.gz MLLHUHNUAJRLTR-UHFFFAOYSA-N 0 0 431.405 -0.367 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)Nc1cccc3nsnc31)c(=O)n2C ZINC000825375685 1074067364 /nfs/dbraw/zinc/06/73/64/1074067364.db2.gz QFPDIFSEDQSBEA-UHFFFAOYSA-N 0 0 429.418 -0.380 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000825375770 1074067290 /nfs/dbraw/zinc/06/72/90/1074067290.db2.gz SHJWWZKVSRBKJL-UHFFFAOYSA-N 0 0 429.433 -0.356 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000825375935 1074067192 /nfs/dbraw/zinc/06/71/92/1074067192.db2.gz UPQLOEHVHVAKJL-HNNXBMFYSA-N 0 0 441.444 -0.707 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000825375937 1074067375 /nfs/dbraw/zinc/06/73/75/1074067375.db2.gz UPQLOEHVHVAKJL-OAHLLOKOSA-N 0 0 441.444 -0.707 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000825375941 1074067356 /nfs/dbraw/zinc/06/73/56/1074067356.db2.gz UUWUCGDLXNZGQD-JTQLQIEISA-N 0 0 428.405 -0.897 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000825375942 1074067383 /nfs/dbraw/zinc/06/73/83/1074067383.db2.gz UUWUCGDLXNZGQD-SNVBAGLBSA-N 0 0 428.405 -0.897 20 0 IBADRN COC(=O)c1ccccc1NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000825375974 1074067391 /nfs/dbraw/zinc/06/73/91/1074067391.db2.gz UZLZKLWZSDZAKS-UHFFFAOYSA-N 0 0 429.389 -0.598 20 0 IBADRN COc1cccc(CN(C)C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000825375980 1074067256 /nfs/dbraw/zinc/06/72/56/1074067256.db2.gz VGSRYUFXQRYASA-UHFFFAOYSA-N 0 0 429.433 -0.356 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000825376212 1074067223 /nfs/dbraw/zinc/06/72/23/1074067223.db2.gz YMYDWFNZWUZCNX-UHFFFAOYSA-N 0 0 435.462 -0.573 20 0 IBADRN CCOC(=O)c1ccccc1NC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000825376223 1074067347 /nfs/dbraw/zinc/06/73/47/1074067347.db2.gz YOWJEKFVCVBREQ-UHFFFAOYSA-N 0 0 443.416 -0.208 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000825376224 1074067279 /nfs/dbraw/zinc/06/72/79/1074067279.db2.gz YZIBBJVGERFVOL-UHFFFAOYSA-N 0 0 443.416 -0.208 20 0 IBADRN COc1ccc(NC(=O)NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000825379108 1074067690 /nfs/dbraw/zinc/06/76/90/1074067690.db2.gz CIXTWQXVYVRKKO-UHFFFAOYSA-N 0 0 444.404 -0.666 20 0 IBADRN CCN(C(=O)COC(=O)c1cccc(CN2C(=O)CNC2=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC000825411402 1074067576 /nfs/dbraw/zinc/06/75/76/1074067576.db2.gz JTUIJNDHPLKRBC-HNNXBMFYSA-N 0 0 437.474 -0.069 20 0 IBADRN CCN(C(=O)COC(=O)c1cccc(CN2C(=O)CNC2=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000825411405 1074067603 /nfs/dbraw/zinc/06/76/03/1074067603.db2.gz JTUIJNDHPLKRBC-OAHLLOKOSA-N 0 0 437.474 -0.069 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC000825415790 1074067651 /nfs/dbraw/zinc/06/76/51/1074067651.db2.gz IWUDWPIWKPZBPJ-UHFFFAOYSA-N 0 0 445.476 -0.872 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cccc(CN4C(=O)CNC4=O)c3)c2c(=O)n(C)c1=O ZINC000825416167 1074067685 /nfs/dbraw/zinc/06/76/85/1074067685.db2.gz RCEYDBDPALSSIO-UHFFFAOYSA-N 0 0 440.416 -0.658 20 0 IBADRN C[C@@H](OC(=O)c1cccc(CN2C(=O)CNC2=O)c1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000825419498 1074067583 /nfs/dbraw/zinc/06/75/83/1074067583.db2.gz GGGKCIMIUMZDRZ-DOMZBBRYSA-N 0 0 437.474 -0.071 20 0 IBADRN C[C@@H](OC(=O)c1cccc(CN2C(=O)CNC2=O)c1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000825419499 1074067677 /nfs/dbraw/zinc/06/76/77/1074067677.db2.gz GGGKCIMIUMZDRZ-IUODEOHRSA-N 0 0 437.474 -0.071 20 0 IBADRN C[C@H](OC(=O)c1cccc(CN2C(=O)CNC2=O)c1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000825419500 1074068221 /nfs/dbraw/zinc/06/82/21/1074068221.db2.gz GGGKCIMIUMZDRZ-SWLSCSKDSA-N 0 0 437.474 -0.071 20 0 IBADRN C[C@H](OC(=O)c1cccc(CN2C(=O)CNC2=O)c1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000825419501 1074068194 /nfs/dbraw/zinc/06/81/94/1074068194.db2.gz GGGKCIMIUMZDRZ-WFASDCNBSA-N 0 0 437.474 -0.071 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C=Cc2ccc(NS(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000825430444 1074067660 /nfs/dbraw/zinc/06/76/60/1074067660.db2.gz IGLKICFTGHJRAY-RMKNXTFCSA-N 0 0 436.446 -0.523 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)C=Cc2ccc(NS(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000825430446 1074067634 /nfs/dbraw/zinc/06/76/34/1074067634.db2.gz IGLKICFTGHJRAY-TWGQIWQCSA-N 0 0 436.446 -0.523 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC000825441475 1074068211 /nfs/dbraw/zinc/06/82/11/1074068211.db2.gz OJBGFCPKLCTXIJ-UHFFFAOYSA-N 0 0 433.433 -0.133 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NN1CCCCC1 ZINC000825448015 1074068224 /nfs/dbraw/zinc/06/82/24/1074068224.db2.gz BIYIPPHVMWIAPV-AWEZNQCLSA-N 0 0 426.495 -0.113 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NN1CCCCC1 ZINC000825448019 1074068065 /nfs/dbraw/zinc/06/80/65/1074068065.db2.gz BIYIPPHVMWIAPV-CQSZACIVSA-N 0 0 426.495 -0.113 20 0 IBADRN C=C1c2ccccc2C(=O)N1CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000825450401 1074067992 /nfs/dbraw/zinc/06/79/92/1074067992.db2.gz ZWVLIYQHUWVLAI-INIZCTEOSA-N 0 0 447.513 -0.012 20 0 IBADRN C=C1c2ccccc2C(=O)N1CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000825450402 1074068022 /nfs/dbraw/zinc/06/80/22/1074068022.db2.gz ZWVLIYQHUWVLAI-MRXNPFEDSA-N 0 0 447.513 -0.012 20 0 IBADRN O=C(COC(=O)c1cc[n+]([O-])cc1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000825543579 1074419736 /nfs/dbraw/zinc/41/97/36/1074419736.db2.gz YUOOKIJFHRPODH-UHFFFAOYSA-N 0 0 435.458 -0.186 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000825629112 1074466387 /nfs/dbraw/zinc/46/63/87/1074466387.db2.gz RZBOAKHEUKANGU-LLVKDONJSA-N 0 0 432.426 -0.319 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000825629113 1074466944 /nfs/dbraw/zinc/46/69/44/1074466944.db2.gz RZBOAKHEUKANGU-NSHDSACASA-N 0 0 432.426 -0.319 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccc(-n3cncn3)nc2)c(=O)n(C)c1=O ZINC000825873076 1074468153 /nfs/dbraw/zinc/46/81/53/1074468153.db2.gz PHDYVTPRTNVPSW-UHFFFAOYSA-N 0 0 427.421 -0.199 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)N1CCN(C(=O)c3ccco3)CC1)c2=O ZINC000825987154 1074468506 /nfs/dbraw/zinc/46/85/06/1074468506.db2.gz GIPHOEVMARRGNU-UHFFFAOYSA-N 0 0 428.405 -0.749 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)Cn2cnc3c(cnn3C)c2=O)cc1)S(C)(=O)=O ZINC000826048714 1074469552 /nfs/dbraw/zinc/46/95/52/1074469552.db2.gz VWSMOFXCUROTKD-UHFFFAOYSA-N 0 0 433.446 -0.048 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)Cn2cnc3c(cnn3C)c2=O)c1 ZINC000826056795 1074470107 /nfs/dbraw/zinc/47/01/07/1074470107.db2.gz AGILQJZJRKQELY-UHFFFAOYSA-N 0 0 442.432 -0.221 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)Cn1cnc2c(cnn2C)c1=O ZINC000826056829 1074470086 /nfs/dbraw/zinc/47/00/86/1074470086.db2.gz AZQGOWROSJUAJX-UHFFFAOYSA-N 0 0 426.433 -0.010 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)NCC(=O)Nc1ccc(F)c(F)c1)c2=O ZINC000826057191 1074469516 /nfs/dbraw/zinc/46/95/16/1074469516.db2.gz DTEMNCJMMWFOMZ-UHFFFAOYSA-N 0 0 434.359 -0.294 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)NC(=O)Nc1ccc3c(c1)OCO3)c2=O ZINC000826058337 1074469605 /nfs/dbraw/zinc/46/96/05/1074469605.db2.gz QAHBMAIECSJYJZ-UHFFFAOYSA-N 0 0 428.361 -0.250 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)Cn2cnc3c(cnn3C)c2=O)ccc1C ZINC000826058635 1074469563 /nfs/dbraw/zinc/46/95/63/1074469563.db2.gz UJALDIXRULYMTH-UHFFFAOYSA-N 0 0 448.461 -0.472 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)c1ccc(CCNS(C)(=O)=O)cc1)c2=O ZINC000826063613 1074470182 /nfs/dbraw/zinc/47/01/82/1074470182.db2.gz UYTHYCXHYKBMOT-UHFFFAOYSA-N 0 0 447.473 -0.352 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000826807759 1072730717 /nfs/dbraw/zinc/73/07/17/1072730717.db2.gz AKDICUAXFWCHAS-GFCCVEGCSA-N 0 0 444.462 -0.449 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000826807789 1072731234 /nfs/dbraw/zinc/73/12/34/1072731234.db2.gz AKDICUAXFWCHAS-LBPRGKRZSA-N 0 0 444.462 -0.449 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000827867302 1072802860 /nfs/dbraw/zinc/80/28/60/1072802860.db2.gz WATZAGUQDWEOOC-CYVLTUHYSA-N 0 0 425.493 -0.017 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000827867303 1072802919 /nfs/dbraw/zinc/80/29/19/1072802919.db2.gz WATZAGUQDWEOOC-XDJHFCHBSA-N 0 0 425.493 -0.017 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1=O ZINC000827877991 1072805746 /nfs/dbraw/zinc/80/57/46/1072805746.db2.gz LNKWSKDLIMNKAL-JOCHJYFZSA-N 0 0 443.504 -0.543 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)C1=O ZINC000827877996 1072805790 /nfs/dbraw/zinc/80/57/90/1072805790.db2.gz LNKWSKDLIMNKAL-QFIPXVFZSA-N 0 0 443.504 -0.543 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000827883822 1072807268 /nfs/dbraw/zinc/80/72/68/1072807268.db2.gz QRTTTXFPUVRHCX-UHFFFAOYSA-N 0 0 442.513 -0.307 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000827906644 1072808278 /nfs/dbraw/zinc/80/82/78/1072808278.db2.gz ZRNYDFPWADQLNY-UHFFFAOYSA-N 0 0 449.533 -0.930 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000827919989 1072809305 /nfs/dbraw/zinc/80/93/05/1072809305.db2.gz QFAOUZUEFRYJEB-UHFFFAOYSA-N 0 0 440.522 -0.515 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3s2)CC1 ZINC000827920137 1072809268 /nfs/dbraw/zinc/80/92/68/1072809268.db2.gz SGZRJXJWZUQGJD-MSOLQXFVSA-N 0 0 434.518 -0.306 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3s2)CC1 ZINC000827920139 1072809293 /nfs/dbraw/zinc/80/92/93/1072809293.db2.gz SGZRJXJWZUQGJD-QZTJIDSGSA-N 0 0 434.518 -0.306 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3s2)CC1 ZINC000827920140 1072809217 /nfs/dbraw/zinc/80/92/17/1072809217.db2.gz SGZRJXJWZUQGJD-ROUUACIJSA-N 0 0 434.518 -0.306 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3s2)CC1 ZINC000827920142 1072809236 /nfs/dbraw/zinc/80/92/36/1072809236.db2.gz SGZRJXJWZUQGJD-ZWKOTPCHSA-N 0 0 434.518 -0.306 20 0 IBADRN Cn1c2ccccc2n(CCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1=O ZINC000828785403 1073064519 /nfs/dbraw/zinc/06/45/19/1073064519.db2.gz IMKKZRZWKNXYNI-GFCCVEGCSA-N 0 0 438.462 -0.714 20 0 IBADRN Cn1c2ccccc2n(CCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1=O ZINC000828785404 1073064509 /nfs/dbraw/zinc/06/45/09/1073064509.db2.gz IMKKZRZWKNXYNI-LBPRGKRZSA-N 0 0 438.462 -0.714 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCn3cnc4sccc4c3=O)c2c(=O)n(C)c1=O ZINC000830391048 1073065724 /nfs/dbraw/zinc/06/57/24/1073065724.db2.gz JUZVNHSPEWWZBG-UHFFFAOYSA-N 0 0 430.446 -0.161 20 0 IBADRN O=C(COC(=O)CCn1cnc2sccc2c1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000830391093 1073065674 /nfs/dbraw/zinc/06/56/74/1073065674.db2.gz MCIBEGMXHONJNE-JTQLQIEISA-N 0 0 442.475 -0.596 20 0 IBADRN O=C(COC(=O)CCn1cnc2sccc2c1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000830391094 1073065700 /nfs/dbraw/zinc/06/57/00/1073065700.db2.gz MCIBEGMXHONJNE-SNVBAGLBSA-N 0 0 442.475 -0.596 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cccc(N4CCCS4(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000830419469 1073065805 /nfs/dbraw/zinc/06/58/05/1073065805.db2.gz UWBFNWTYPZSXFK-UHFFFAOYSA-N 0 0 447.473 -0.169 20 0 IBADRN CC[C@]1(C)NC(=O)N(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC000830613678 1073065900 /nfs/dbraw/zinc/06/59/00/1073065900.db2.gz VTSNKHOAYSFXRO-KRWDZBQOSA-N 0 0 426.451 -0.122 20 0 IBADRN CC[C@@]1(C)NC(=O)N(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC000830613679 1073065845 /nfs/dbraw/zinc/06/58/45/1073065845.db2.gz VTSNKHOAYSFXRO-QGZVFWFLSA-N 0 0 426.451 -0.122 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)Nc2cc(F)ccc21)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000830638805 1073065711 /nfs/dbraw/zinc/06/57/11/1073065711.db2.gz TXANIIHEBWSDNS-CMPLNLGQSA-N 0 0 427.410 -0.192 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)Nc2cc(F)ccc21)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000830638806 1073065882 /nfs/dbraw/zinc/06/58/82/1073065882.db2.gz TXANIIHEBWSDNS-JQWIXIFHSA-N 0 0 427.410 -0.192 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)Nc2cc(F)ccc21)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000830638807 1073065861 /nfs/dbraw/zinc/06/58/61/1073065861.db2.gz TXANIIHEBWSDNS-PWSUYJOCSA-N 0 0 427.410 -0.192 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)Nc2cc(F)ccc21)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000830638808 1073066099 /nfs/dbraw/zinc/06/60/99/1073066099.db2.gz TXANIIHEBWSDNS-ZYHUDNBSSA-N 0 0 427.410 -0.192 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)C2=O)cc1 ZINC000830678728 1073066196 /nfs/dbraw/zinc/06/61/96/1073066196.db2.gz ODCPYMJAQLAJKN-CSBXVMIQSA-N 0 0 427.417 -0.396 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)C2=O)cc1 ZINC000830678733 1073066158 /nfs/dbraw/zinc/06/61/58/1073066158.db2.gz ODCPYMJAQLAJKN-JOCSGSGBSA-N 0 0 427.417 -0.396 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)C2=O)cc1 ZINC000830678735 1073066212 /nfs/dbraw/zinc/06/62/12/1073066212.db2.gz ODCPYMJAQLAJKN-KYRNDMNFSA-N 0 0 427.417 -0.396 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)C2=O)cc1 ZINC000830678738 1073066218 /nfs/dbraw/zinc/06/62/18/1073066218.db2.gz ODCPYMJAQLAJKN-URZUPRTMSA-N 0 0 427.417 -0.396 20 0 IBADRN O=C(COC(=O)CCNC(=O)Cc1ccccc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000830950531 1073066169 /nfs/dbraw/zinc/06/61/69/1073066169.db2.gz HDGIHESQOVLLPR-AWEZNQCLSA-N 0 0 425.463 -0.709 20 0 IBADRN O=C(COC(=O)CCNC(=O)Cc1ccccc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000830950542 1073066614 /nfs/dbraw/zinc/06/66/14/1073066614.db2.gz HDGIHESQOVLLPR-CQSZACIVSA-N 0 0 425.463 -0.709 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNS(=O)(=O)c2ccc(Cl)cc2)c(=O)n(C)c1=O ZINC000831217893 1073067002 /nfs/dbraw/zinc/06/70/02/1073067002.db2.gz NRAXJMUSHAPXLZ-UHFFFAOYSA-N 0 0 444.853 -0.976 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000831701163 1073067452 /nfs/dbraw/zinc/06/74/52/1073067452.db2.gz WXXCEHYKSPKAFR-UHFFFAOYSA-N 0 0 440.478 -0.843 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)NCC(C)C)cc1 ZINC000831722205 1073067463 /nfs/dbraw/zinc/06/74/63/1073067463.db2.gz UWCCRXLBKRFTPZ-UHFFFAOYSA-N 0 0 428.467 -0.052 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000831789535 1073067732 /nfs/dbraw/zinc/06/77/32/1073067732.db2.gz OVIYSWZAENASNU-LLVKDONJSA-N 0 0 444.466 -0.673 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000831789543 1073067319 /nfs/dbraw/zinc/06/73/19/1073067319.db2.gz OVIYSWZAENASNU-NSHDSACASA-N 0 0 444.466 -0.673 20 0 IBADRN O=C(OCCN1CCNC1=O)[C@@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000832639735 1073068767 /nfs/dbraw/zinc/06/87/67/1073068767.db2.gz ORMQUVHPAFZHRJ-GFCCVEGCSA-N 0 0 436.284 -0.343 20 0 IBADRN O=C(OCCN1CCNC1=O)[C@H](CO)NS(=O)(=O)c1ccc(Br)cc1 ZINC000832639736 1073069321 /nfs/dbraw/zinc/06/93/21/1073069321.db2.gz ORMQUVHPAFZHRJ-LBPRGKRZSA-N 0 0 436.284 -0.343 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)C2=O)c1 ZINC000832720846 1073069345 /nfs/dbraw/zinc/06/93/45/1073069345.db2.gz NQQKPJHCJQXJRV-GWQNQTGSSA-N 0 0 427.417 -0.396 20 0 IBADRN COc1cccc([C@]2(C)NC(=O)N(NC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)C2=O)c1 ZINC000832720859 1073069357 /nfs/dbraw/zinc/06/93/57/1073069357.db2.gz NQQKPJHCJQXJRV-IBDYFIJFSA-N 0 0 427.417 -0.396 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)C2=O)c1 ZINC000832720861 1073069250 /nfs/dbraw/zinc/06/92/50/1073069250.db2.gz NQQKPJHCJQXJRV-NDDJGDGRSA-N 0 0 427.417 -0.396 20 0 IBADRN COc1cccc([C@@]2(C)NC(=O)N(NC(=O)C=Cc3cn(C)c(=O)n(C)c3=O)C2=O)c1 ZINC000832720864 1073069415 /nfs/dbraw/zinc/06/94/15/1073069415.db2.gz NQQKPJHCJQXJRV-ZTBDEIDFSA-N 0 0 427.417 -0.396 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)OCCN1CCNC1=O ZINC000832823615 1073069725 /nfs/dbraw/zinc/06/97/25/1073069725.db2.gz SWKDGQVZFPTHRZ-UHFFFAOYSA-N 0 0 438.506 -0.132 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000833574487 1073070891 /nfs/dbraw/zinc/07/08/91/1073070891.db2.gz DYYHWYZYOUNMOK-CABCVRRESA-N 0 0 440.522 -0.751 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000833574493 1073070656 /nfs/dbraw/zinc/07/06/56/1073070656.db2.gz DYYHWYZYOUNMOK-GJZGRUSLSA-N 0 0 440.522 -0.751 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000833574495 1073071251 /nfs/dbraw/zinc/07/12/51/1073071251.db2.gz DYYHWYZYOUNMOK-HUUCEWRRSA-N 0 0 440.522 -0.751 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000833574497 1073071078 /nfs/dbraw/zinc/07/10/78/1073071078.db2.gz DYYHWYZYOUNMOK-LSDHHAIUSA-N 0 0 440.522 -0.751 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CCNS(=O)(=O)c1ccc(Cl)nc1 ZINC000834293274 1073071628 /nfs/dbraw/zinc/07/16/28/1073071628.db2.gz DCIICIXWWZZOQJ-JTQLQIEISA-N 0 0 436.874 -0.193 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CCNS(=O)(=O)c1ccc(Cl)nc1 ZINC000834293275 1073071848 /nfs/dbraw/zinc/07/18/48/1073071848.db2.gz DCIICIXWWZZOQJ-SNVBAGLBSA-N 0 0 436.874 -0.193 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2ccc(Cl)nc2)CC1 ZINC000834293833 1073071724 /nfs/dbraw/zinc/07/17/24/1073071724.db2.gz YKJGQTSMTZWAAP-UHFFFAOYSA-N 0 0 432.886 -0.363 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)CCNS(=O)(=O)c1ccc(Cl)nc1 ZINC000834297376 1073071813 /nfs/dbraw/zinc/07/18/13/1073071813.db2.gz YGFFLRMGSMRUPB-GFCCVEGCSA-N 0 0 432.886 -0.187 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)CCNS(=O)(=O)c1ccc(Cl)nc1 ZINC000834297377 1073071838 /nfs/dbraw/zinc/07/18/38/1073071838.db2.gz YGFFLRMGSMRUPB-LBPRGKRZSA-N 0 0 432.886 -0.187 20 0 IBADRN Cn1ccsc1=NC(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000834460206 1073072088 /nfs/dbraw/zinc/07/20/88/1073072088.db2.gz AFQRQJBCEXSZDZ-GFCCVEGCSA-N 0 0 440.503 -0.102 20 0 IBADRN Cn1ccsc1=NC(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000834460207 1073072226 /nfs/dbraw/zinc/07/22/26/1073072226.db2.gz AFQRQJBCEXSZDZ-LBPRGKRZSA-N 0 0 440.503 -0.102 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cccc(S(=O)(=O)NC4CC4)c3)c2c(=O)n(C)c1=O ZINC000834586667 1073072018 /nfs/dbraw/zinc/07/20/18/1073072018.db2.gz TWAMDYCAWUHPRM-UHFFFAOYSA-N 0 0 447.473 -0.269 20 0 IBADRN C[C@H]1C(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1 ZINC000835170196 1073072983 /nfs/dbraw/zinc/07/29/83/1073072983.db2.gz BLXCWKCSMDAPML-INIZCTEOSA-N 0 0 429.477 -0.196 20 0 IBADRN C[C@@H]1C(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C(=O)N1c1ccccc1 ZINC000835170203 1073073319 /nfs/dbraw/zinc/07/33/19/1073073319.db2.gz BLXCWKCSMDAPML-MRXNPFEDSA-N 0 0 429.477 -0.196 20 0 IBADRN C[C@@]1(C2CCCCC2)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000835171119 1073072937 /nfs/dbraw/zinc/07/29/37/1073072937.db2.gz FMZPCQZXFZGUGZ-NRFANRHFSA-N 0 0 435.525 -0.162 20 0 IBADRN C[C@]1(C2CCCCC2)NC(=O)N(CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1=O ZINC000835171124 1073072906 /nfs/dbraw/zinc/07/29/06/1073072906.db2.gz FMZPCQZXFZGUGZ-OAQYLSRUSA-N 0 0 435.525 -0.162 20 0 IBADRN Cc1cc2n(n1)c1ccccc1c(=O)n2CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000835174508 1073073400 /nfs/dbraw/zinc/07/34/00/1073073400.db2.gz ZVNVTPDLNNKEBL-UHFFFAOYSA-N 0 0 438.488 -0.082 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000836833445 1073075138 /nfs/dbraw/zinc/07/51/38/1073075138.db2.gz SHRBTUQUUGKHEM-UHFFFAOYSA-N 0 0 431.540 -0.785 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000838480090 1073077570 /nfs/dbraw/zinc/07/75/70/1073077570.db2.gz ABTKTYSTOGPYDA-UHFFFAOYSA-N 0 0 447.583 -0.210 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000838482693 1073077399 /nfs/dbraw/zinc/07/73/99/1073077399.db2.gz NUQFLVUZIYFYGP-UHFFFAOYSA-N 0 0 433.556 -0.252 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCc2ccc(S(N)(=O)=O)s2)CC1 ZINC000838625655 1073077916 /nfs/dbraw/zinc/07/79/16/1073077916.db2.gz GXCDVVMDVMEEBV-UHFFFAOYSA-N 0 0 439.585 -0.191 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)NCC1(N3CCOCC3)CCCCC1)c2=O ZINC000839171511 1073078208 /nfs/dbraw/zinc/07/82/08/1073078208.db2.gz AUTTYANHHAMZTO-UHFFFAOYSA-N 0 0 446.508 -0.176 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000839180907 1073078186 /nfs/dbraw/zinc/07/81/86/1073078186.db2.gz YRQPLXKCLITCGL-CYBMUJFWSA-N 0 0 442.432 -0.361 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000839180911 1073078153 /nfs/dbraw/zinc/07/81/53/1073078153.db2.gz YRQPLXKCLITCGL-ZDUSSCGKSA-N 0 0 442.432 -0.361 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2[nH]c(COC(=O)Cn3cnc4c(cnn4C)c3=O)nc2c1 ZINC000839180925 1073078292 /nfs/dbraw/zinc/07/82/92/1073078292.db2.gz ZBAFPPDSAFIQSM-UHFFFAOYSA-N 0 0 445.461 0.000 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(CC(N)=O)CC2)NC(=O)N[C@H]1c1ccc(OC)cc1 ZINC000839235994 1073078538 /nfs/dbraw/zinc/07/85/38/1073078538.db2.gz PSFZHLSNHMOERZ-IBGZPJMESA-N 0 0 431.493 -0.031 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(CC(N)=O)CC2)NC(=O)N[C@@H]1c1ccc(OC)cc1 ZINC000839235995 1073078723 /nfs/dbraw/zinc/07/87/23/1073078723.db2.gz PSFZHLSNHMOERZ-LJQANCHMSA-N 0 0 431.493 -0.031 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@H](C)C(=O)N(CC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000839269164 1073079006 /nfs/dbraw/zinc/07/90/06/1073079006.db2.gz SOCFGLCUIZIDQV-CVEARBPZSA-N 0 0 438.616 -0.385 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@@H](C)C(=O)N(CC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000839269165 1073079018 /nfs/dbraw/zinc/07/90/18/1073079018.db2.gz SOCFGLCUIZIDQV-HOTGVXAUSA-N 0 0 438.616 -0.385 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@H](C)C(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000839269166 1073078956 /nfs/dbraw/zinc/07/89/56/1073078956.db2.gz SOCFGLCUIZIDQV-HZPDHXFCSA-N 0 0 438.616 -0.385 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN([C@@H](C)C(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000839269167 1073078980 /nfs/dbraw/zinc/07/89/80/1073078980.db2.gz SOCFGLCUIZIDQV-JKSUJKDBSA-N 0 0 438.616 -0.385 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)N(CC)CC)CC2)CC1 ZINC000839269278 1073078942 /nfs/dbraw/zinc/07/89/42/1073078942.db2.gz SZXAJKSGDYGECW-UHFFFAOYSA-N 0 0 433.575 -0.072 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000839277748 1073079036 /nfs/dbraw/zinc/07/90/36/1073079036.db2.gz GCBVSATYJNIMGT-UHFFFAOYSA-N 0 0 425.530 -0.800 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000839292853 1073079011 /nfs/dbraw/zinc/07/90/11/1073079011.db2.gz IVMLODUJLCJTBX-UHFFFAOYSA-N 0 0 427.479 -0.221 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)c(=O)n(C)c1=O ZINC000839309186 1073078950 /nfs/dbraw/zinc/07/89/50/1073078950.db2.gz BMMQDGMQTYJFBN-HJWRWDBZSA-N 0 0 425.489 -0.442 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000841462960 1073085009 /nfs/dbraw/zinc/08/50/09/1073085009.db2.gz XYOWCULCKRYEMB-UHFFFAOYSA-N 0 0 435.462 -0.411 20 0 IBADRN COCCCN(C(=O)CN1C(=O)c2ccccc2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000841768647 1073089908 /nfs/dbraw/zinc/08/99/08/1073089908.db2.gz QOKLLGLGKGVMNK-CYBMUJFWSA-N 0 0 430.504 -0.117 20 0 IBADRN COCCCN(C(=O)CN1C(=O)c2ccccc2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000841768650 1073090064 /nfs/dbraw/zinc/09/00/64/1073090064.db2.gz QOKLLGLGKGVMNK-ZDUSSCGKSA-N 0 0 430.504 -0.117 20 0 IBADRN CN(C)CCN(C(=O)CN1C(=O)c2ccccc2S1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC000841772710 1073089683 /nfs/dbraw/zinc/08/96/83/1073089683.db2.gz ARMQEISLFVQPJZ-CYBMUJFWSA-N 0 0 429.520 -0.592 20 0 IBADRN CN(C)CCN(C(=O)CN1C(=O)c2ccccc2S1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC000841772711 1073089926 /nfs/dbraw/zinc/08/99/26/1073089926.db2.gz ARMQEISLFVQPJZ-ZDUSSCGKSA-N 0 0 429.520 -0.592 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC000842036020 1073000165 /nfs/dbraw/zinc/00/01/65/1073000165.db2.gz OEMWANIIOSCKPG-UHFFFAOYSA-N 0 0 437.268 -0.050 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000842472188 1073091498 /nfs/dbraw/zinc/09/14/98/1073091498.db2.gz ABQWSKANCMDXTQ-DDKJEQMHSA-N 0 0 445.563 -0.073 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000842472189 1073091629 /nfs/dbraw/zinc/09/16/29/1073091629.db2.gz ABQWSKANCMDXTQ-ISBHARSQSA-N 0 0 445.563 -0.073 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000842472190 1073091649 /nfs/dbraw/zinc/09/16/49/1073091649.db2.gz ABQWSKANCMDXTQ-IVOILVROSA-N 0 0 445.563 -0.073 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000842472191 1073091462 /nfs/dbraw/zinc/09/14/62/1073091462.db2.gz ABQWSKANCMDXTQ-QSOAKEGCSA-N 0 0 445.563 -0.073 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC000843332101 1073095812 /nfs/dbraw/zinc/09/58/12/1073095812.db2.gz HRAPTENDVQUGNN-INIZCTEOSA-N 0 0 437.928 -0.017 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC000843332102 1073096074 /nfs/dbraw/zinc/09/60/74/1073096074.db2.gz HRAPTENDVQUGNN-MRXNPFEDSA-N 0 0 437.928 -0.017 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NN2CC(=O)NC2=O)c1 ZINC000843386105 1073096661 /nfs/dbraw/zinc/09/66/61/1073096661.db2.gz IAKGEYQZFQCGTO-UHFFFAOYSA-N 0 0 439.494 -0.250 20 0 IBADRN CCN(CC)C(=O)CSc1nnc(SCC(=O)N2CCN(C(C)=O)CC2)n1N ZINC000843399101 1073097251 /nfs/dbraw/zinc/09/72/51/1073097251.db2.gz KIXKFEDJYNYBDU-UHFFFAOYSA-N 0 0 429.572 -0.265 20 0 IBADRN Cn1ccc(NC(=O)CNC(=O)c2ccc(C(=O)NCC(=O)Nc3ccn(C)n3)cc2)n1 ZINC000843450280 1073097121 /nfs/dbraw/zinc/09/71/21/1073097121.db2.gz AMGWJGRCNHGGJU-UHFFFAOYSA-N 0 0 438.448 -0.109 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H](C)C(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000843476298 1073097267 /nfs/dbraw/zinc/09/72/67/1073097267.db2.gz CKEMGQWVBJFDFO-CYBMUJFWSA-N 0 0 427.479 -0.404 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000843480060 1073097240 /nfs/dbraw/zinc/09/72/40/1073097240.db2.gz LOBHJEJWYQRVCA-NWDGAFQWSA-N 0 0 431.467 -0.234 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC000843480067 1073097136 /nfs/dbraw/zinc/09/71/36/1073097136.db2.gz LOBHJEJWYQRVCA-VXGBXAGGSA-N 0 0 431.467 -0.234 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C)C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000843483643 1073097400 /nfs/dbraw/zinc/09/74/00/1073097400.db2.gz UCIFSFJLOPUZHS-LLVKDONJSA-N 0 0 438.462 -0.932 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)COC(=O)CN1C(=O)CCC1=O ZINC000844174501 1074069129 /nfs/dbraw/zinc/06/91/29/1074069129.db2.gz HGQMANVUJNDKHL-UHFFFAOYSA-N 0 0 425.419 -0.037 20 0 IBADRN O=C(COC(=O)CN1C(=O)CCC1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000844176166 1074069064 /nfs/dbraw/zinc/06/90/64/1074069064.db2.gz XYQONBRVYWSHHY-UHFFFAOYSA-N 0 0 439.446 -0.662 20 0 IBADRN O=C(COC(=O)CN1C(=O)CCC1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000844177987 1074068894 /nfs/dbraw/zinc/06/88/94/1074068894.db2.gz RCYDMEVALNUABU-UHFFFAOYSA-N 0 0 439.446 -0.662 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000844198974 1074069644 /nfs/dbraw/zinc/06/96/44/1074069644.db2.gz QAMWHJBLXICAFJ-UHFFFAOYSA-N 0 0 447.473 -0.095 20 0 IBADRN COc1ccc(CNC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1OC ZINC000844199871 1074069571 /nfs/dbraw/zinc/06/95/71/1074069571.db2.gz XFUTWEBCQKLHPA-UHFFFAOYSA-N 0 0 436.446 -0.167 20 0 IBADRN O=C(OCCN1C(=O)c2ccccc2S1(=O)=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000844201124 1074069456 /nfs/dbraw/zinc/06/94/56/1074069456.db2.gz LCRDOTTYWJFLAA-UHFFFAOYSA-N 0 0 438.443 -0.656 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000844201557 1074069540 /nfs/dbraw/zinc/06/95/40/1074069540.db2.gz ALHRQMSKEAMLRT-GFCCVEGCSA-N 0 0 440.478 -0.311 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000844201558 1074069503 /nfs/dbraw/zinc/06/95/03/1074069503.db2.gz ALHRQMSKEAMLRT-LBPRGKRZSA-N 0 0 440.478 -0.311 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)c1 ZINC000844201635 1074069635 /nfs/dbraw/zinc/06/96/35/1074069635.db2.gz DUBJDDAVULLUPY-UHFFFAOYSA-N 0 0 426.476 -0.050 20 0 IBADRN CCOC(=O)C1=C(COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)NC(=O)N[C@@H]1C ZINC000844201638 1074069604 /nfs/dbraw/zinc/06/96/04/1074069604.db2.gz FBXGDELQKCEQTE-SECBINFHSA-N 0 0 425.423 -0.982 20 0 IBADRN CCOC(=O)C1=C(COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)NC(=O)N[C@H]1C ZINC000844201639 1074069397 /nfs/dbraw/zinc/06/93/97/1074069397.db2.gz FBXGDELQKCEQTE-VIFPVBQESA-N 0 0 425.423 -0.982 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)N1CCN(c2ccc(F)cc2)CC1 ZINC000844201868 1074069650 /nfs/dbraw/zinc/06/96/50/1074069650.db2.gz BDRZGEJSERAXQN-UHFFFAOYSA-N 0 0 449.464 -0.013 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000844203560 1074069602 /nfs/dbraw/zinc/06/96/02/1074069602.db2.gz KRBCUADUFFVFCY-HNNXBMFYSA-N 0 0 432.458 -0.184 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000844203561 1074069468 /nfs/dbraw/zinc/06/94/68/1074069468.db2.gz KRBCUADUFFVFCY-OAHLLOKOSA-N 0 0 432.458 -0.184 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000844203572 1074069412 /nfs/dbraw/zinc/06/94/12/1074069412.db2.gz KWWMWGZWTCUFJL-UHFFFAOYSA-N 0 0 426.451 -0.699 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)N1CCN(C(=O)c2ccco2)CC1 ZINC000844203724 1074069518 /nfs/dbraw/zinc/06/95/18/1074069518.db2.gz NFDYLWBIFHUUCL-UHFFFAOYSA-N 0 0 449.445 -0.923 20 0 IBADRN COc1ccc(NC(=O)NC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000844204276 1074069627 /nfs/dbraw/zinc/06/96/27/1074069627.db2.gz NCRBQYHSYZQKKK-UHFFFAOYSA-N 0 0 435.418 -0.144 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)Nc1ccc(N2CCOCC2)cc1 ZINC000844204662 1074069493 /nfs/dbraw/zinc/06/94/93/1074069493.db2.gz UDGHUHNFGVHDDT-UHFFFAOYSA-N 0 0 447.473 -0.025 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000844204794 1074069481 /nfs/dbraw/zinc/06/94/81/1074069481.db2.gz PWZIHHSYPCVNMR-UHFFFAOYSA-N 0 0 433.446 -0.160 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000844204909 1074069557 /nfs/dbraw/zinc/06/95/57/1074069557.db2.gz RUVXFRAGZMSVQH-NEPJUHHUSA-N 0 0 425.467 -0.096 20 0 IBADRN C[C@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000844204911 1074069529 /nfs/dbraw/zinc/06/95/29/1074069529.db2.gz RUVXFRAGZMSVQH-NWDGAFQWSA-N 0 0 425.467 -0.096 20 0 IBADRN C[C@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000844204912 1074069607 /nfs/dbraw/zinc/06/96/07/1074069607.db2.gz RUVXFRAGZMSVQH-RYUDHWBXSA-N 0 0 425.467 -0.096 20 0 IBADRN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12 ZINC000844204913 1074069549 /nfs/dbraw/zinc/06/95/49/1074069549.db2.gz RUVXFRAGZMSVQH-VXGBXAGGSA-N 0 0 425.467 -0.096 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000844239223 1074069893 /nfs/dbraw/zinc/06/98/93/1074069893.db2.gz DWWNJEFLMBNGDM-UHFFFAOYSA-N 0 0 438.462 -0.687 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)N1CCN(Cc2ccccc2)CC1 ZINC000844266618 1074069989 /nfs/dbraw/zinc/06/99/89/1074069989.db2.gz VASJRVPSKPBTPQ-UHFFFAOYSA-N 0 0 445.501 -0.156 20 0 IBADRN CCCCN(C(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C)[C@@H]1CCS(=O)(=O)C1 ZINC000844319873 1074070013 /nfs/dbraw/zinc/07/00/13/1074070013.db2.gz VBZMFMZESQNIBL-GFCCVEGCSA-N 0 0 435.524 -0.355 20 0 IBADRN CCCCN(C(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C)[C@H]1CCS(=O)(=O)C1 ZINC000844319874 1074070406 /nfs/dbraw/zinc/07/04/06/1074070406.db2.gz VBZMFMZESQNIBL-LBPRGKRZSA-N 0 0 435.524 -0.355 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000845946403 1074076682 /nfs/dbraw/zinc/07/66/82/1074076682.db2.gz PKLLDLJLCCSNHX-UHFFFAOYSA-N 0 0 439.490 -0.209 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000845964653 1074076721 /nfs/dbraw/zinc/07/67/21/1074076721.db2.gz KQIDMDLHLQGRTK-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCCC1 ZINC000846738454 1074082995 /nfs/dbraw/zinc/08/29/95/1074082995.db2.gz JCIGDNPBLGPPFG-UHFFFAOYSA-N 0 0 427.479 -0.017 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)CNS(=O)(=O)c2ccccc2F)cc1 ZINC000848387667 1074349215 /nfs/dbraw/zinc/34/92/15/1074349215.db2.gz NBVZUXBMFADABW-UHFFFAOYSA-N 0 0 445.450 -0.067 20 0 IBADRN CCN(C(=O)COC(=O)CNS(=O)(=O)c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000848389781 1074349695 /nfs/dbraw/zinc/34/96/95/1074349695.db2.gz NYLKVFNDHJCMJT-GFCCVEGCSA-N 0 0 436.483 -0.317 20 0 IBADRN CCN(C(=O)COC(=O)CNS(=O)(=O)c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC000848389782 1074349730 /nfs/dbraw/zinc/34/97/30/1074349730.db2.gz NYLKVFNDHJCMJT-LBPRGKRZSA-N 0 0 436.483 -0.317 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)CNS(=O)(=O)c2ccccc2F)CC1 ZINC000848389788 1074349743 /nfs/dbraw/zinc/34/97/43/1074349743.db2.gz OJHKKZQNTNISFQ-UHFFFAOYSA-N 0 0 431.442 -0.052 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2ccc(-n3cnnn3)cc2)cc1 ZINC000848979910 1074351133 /nfs/dbraw/zinc/35/11/33/1074351133.db2.gz QSRBHGWFICRISM-UHFFFAOYSA-N 0 0 430.446 -0.175 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)OCC(=O)NC(=O)NC2CC2)cc1 ZINC000849119570 1074363674 /nfs/dbraw/zinc/36/36/74/1074363674.db2.gz YYIVXGAORPGDJV-UHFFFAOYSA-N 0 0 426.451 -0.155 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)OCC(=O)NC(=O)NC2CC2)cc1 ZINC000849120119 1074363792 /nfs/dbraw/zinc/36/37/92/1074363792.db2.gz SZASIHQQCMKQKF-UHFFFAOYSA-N 0 0 426.451 -0.203 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc3c(c2)nc2n(c3=O)CCC2)c(=O)n(C)c1=O ZINC000849183883 1074363702 /nfs/dbraw/zinc/36/37/02/1074363702.db2.gz NODWEORLWWHIKP-UHFFFAOYSA-N 0 0 425.401 -0.638 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC000849189181 1074363549 /nfs/dbraw/zinc/36/35/49/1074363549.db2.gz GAMQVNMPQRFQHU-UHFFFAOYSA-N 0 0 430.303 -0.228 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NN1CC(=O)NC1=O ZINC000849193731 1074363615 /nfs/dbraw/zinc/36/36/15/1074363615.db2.gz YOAVYKJJTQLXKN-HNNXBMFYSA-N 0 0 426.451 -0.266 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)NN1CC(=O)NC1=O ZINC000849193732 1074363776 /nfs/dbraw/zinc/36/37/76/1074363776.db2.gz YOAVYKJJTQLXKN-OAHLLOKOSA-N 0 0 426.451 -0.266 20 0 IBADRN Cc1nnnn1C(=Cc1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000849195960 1074363824 /nfs/dbraw/zinc/36/38/24/1074363824.db2.gz WKZDHDCZVLOFCT-LCYFTJDESA-N 0 0 425.405 -0.615 20 0 IBADRN Cc1nnnn1C(=Cc1ccccc1)C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000849195961 1074363658 /nfs/dbraw/zinc/36/36/58/1074363658.db2.gz WKZDHDCZVLOFCT-UKTHLTGXSA-N 0 0 425.405 -0.615 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000849198229 1074363834 /nfs/dbraw/zinc/36/38/34/1074363834.db2.gz QHCXCXIJESIRJH-UHFFFAOYSA-N 0 0 444.897 -0.869 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC000849198954 1074363744 /nfs/dbraw/zinc/36/37/44/1074363744.db2.gz JAZIPQPXIUYEFQ-UHFFFAOYSA-N 0 0 437.268 -0.050 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CNC(=O)Cc2cccc3ccccc32)c(=O)n(C)c1=O ZINC000849199023 1074363719 /nfs/dbraw/zinc/36/37/19/1074363719.db2.gz OFGYUJCGYDXUCE-UHFFFAOYSA-N 0 0 438.440 -0.096 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCNS(=O)(=O)c1ccccc1)c(=O)n2C ZINC000849199188 1074363817 /nfs/dbraw/zinc/36/38/17/1074363817.db2.gz DHHPMLXPPHDVQE-UHFFFAOYSA-N 0 0 449.489 -0.264 20 0 IBADRN CCN(C(=O)COC(=O)CCNS(=O)(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000849199282 1074363575 /nfs/dbraw/zinc/36/35/75/1074363575.db2.gz PGNDNZLGSUDKFR-AWEZNQCLSA-N 0 0 432.520 -0.066 20 0 IBADRN CCN(C(=O)COC(=O)CCNS(=O)(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000849199283 1074363690 /nfs/dbraw/zinc/36/36/90/1074363690.db2.gz PGNDNZLGSUDKFR-CQSZACIVSA-N 0 0 432.520 -0.066 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCNC(=O)C1 ZINC000849201276 1074363729 /nfs/dbraw/zinc/36/37/29/1074363729.db2.gz LIQNVBPRNRTVNU-BLMSOEDDSA-N 0 0 429.520 -0.662 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCNC(=O)C1 ZINC000849201288 1074363755 /nfs/dbraw/zinc/36/37/55/1074363755.db2.gz LIQNVBPRNRTVNU-CUXKMMBLSA-N 0 0 429.520 -0.662 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCNC(=O)C1 ZINC000849201289 1074363831 /nfs/dbraw/zinc/36/38/31/1074363831.db2.gz LIQNVBPRNRTVNU-WASHYIJTSA-N 0 0 429.520 -0.662 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCNC(=O)C1 ZINC000849201290 1074363984 /nfs/dbraw/zinc/36/39/84/1074363984.db2.gz LIQNVBPRNRTVNU-XCHKQJEOSA-N 0 0 429.520 -0.662 20 0 IBADRN COCCCNC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000849202530 1074363995 /nfs/dbraw/zinc/36/39/95/1074363995.db2.gz AZPOAEVTZFMSCS-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCC(=O)N3CCCC3=O)c2)CC1 ZINC000849202594 1074364146 /nfs/dbraw/zinc/36/41/46/1074364146.db2.gz DZIMLDPEOFWNHU-UHFFFAOYSA-N 0 0 437.474 -0.155 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000849202626 1074364021 /nfs/dbraw/zinc/36/40/21/1074364021.db2.gz GUPVVXRGEJRLRL-UHFFFAOYSA-N 0 0 440.478 -0.458 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCC(=O)N3CCOCC3)c2)CC1 ZINC000849202630 1074364049 /nfs/dbraw/zinc/36/40/49/1074364049.db2.gz HCCHUCIFAIELMQ-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)O[C@H](C)C(=O)NC(N)=O)c2)CC1 ZINC000849202631 1074364006 /nfs/dbraw/zinc/36/40/06/1074364006.db2.gz HDZJDPYSBVIFPM-LLVKDONJSA-N 0 0 426.451 -0.720 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)O[C@@H](C)C(=O)NC(N)=O)c2)CC1 ZINC000849202632 1074364059 /nfs/dbraw/zinc/36/40/59/1074364059.db2.gz HDZJDPYSBVIFPM-NSHDSACASA-N 0 0 426.451 -0.720 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000849202809 1074364228 /nfs/dbraw/zinc/36/42/28/1074364228.db2.gz SQQZJMNLHDXXHS-GFCCVEGCSA-N 0 0 440.478 -0.460 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000849202810 1074364104 /nfs/dbraw/zinc/36/41/04/1074364104.db2.gz SQQZJMNLHDXXHS-LBPRGKRZSA-N 0 0 440.478 -0.460 20 0 IBADRN CNC(=O)NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC000849202820 1074364195 /nfs/dbraw/zinc/36/41/95/1074364195.db2.gz TYXFXOANDKYOND-UHFFFAOYSA-N 0 0 426.451 -0.848 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCC(=O)N3CCNC3=O)c2)CC1 ZINC000849202830 1074364135 /nfs/dbraw/zinc/36/41/35/1074364135.db2.gz ULEAIBQIZRVVMP-UHFFFAOYSA-N 0 0 438.462 -0.752 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)O[C@H]1CCOC1=O)c(=O)n2Cc1ccccc1 ZINC000849210167 1074364248 /nfs/dbraw/zinc/36/42/48/1074364248.db2.gz GNXWCABZPFINAZ-HNNXBMFYSA-N 0 0 442.428 -0.087 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)O[C@@H]1CCOC1=O)c(=O)n2Cc1ccccc1 ZINC000849210168 1074364032 /nfs/dbraw/zinc/36/40/32/1074364032.db2.gz GNXWCABZPFINAZ-OAHLLOKOSA-N 0 0 442.428 -0.087 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)OCC(=O)N(C)C)c(=O)n2Cc1ccccc1 ZINC000849210237 1074364095 /nfs/dbraw/zinc/36/40/95/1074364095.db2.gz LIOIVQQUWINSNV-UHFFFAOYSA-N 0 0 443.460 -0.314 20 0 IBADRN CNC(=O)COC(=O)Cn1c(=O)c2c(ncn2CCOC)n(Cc2ccccc2)c1=O ZINC000849210271 1074364070 /nfs/dbraw/zinc/36/40/70/1074364070.db2.gz NRNZJYHOQGDRDG-UHFFFAOYSA-N 0 0 429.433 -0.656 20 0 IBADRN Cc1c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)sc2nc3n(c(=O)c12)CCC3 ZINC000849211275 1074364212 /nfs/dbraw/zinc/36/42/12/1074364212.db2.gz YBJGVYQKVQAXHW-UHFFFAOYSA-N 0 0 445.457 -0.268 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000852489670 1074421674 /nfs/dbraw/zinc/42/16/74/1074421674.db2.gz KKBOSZFBAFHOEX-ZDUSSCGKSA-N 0 0 427.479 -0.609 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)OC(=O)CN1C(=O)NC(C)(C)C1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000852595289 1074422189 /nfs/dbraw/zinc/42/21/89/1074422189.db2.gz AQZDTIYYCGUVNA-GHMZBOCLSA-N 0 0 440.478 -0.227 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CN1C(=O)NC(C)(C)C1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000852595290 1074422220 /nfs/dbraw/zinc/42/22/20/1074422220.db2.gz AQZDTIYYCGUVNA-MNOVXSKESA-N 0 0 440.478 -0.227 20 0 IBADRN C[C@H](NC(=O)[C@H](C)OC(=O)CN1C(=O)NC(C)(C)C1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000852595291 1074422227 /nfs/dbraw/zinc/42/22/27/1074422227.db2.gz AQZDTIYYCGUVNA-QWRGUYRKSA-N 0 0 440.478 -0.227 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC(C)(C)C1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000852595292 1074422244 /nfs/dbraw/zinc/42/22/44/1074422244.db2.gz AQZDTIYYCGUVNA-WDEREUQCSA-N 0 0 440.478 -0.227 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1=O ZINC000852596659 1074422337 /nfs/dbraw/zinc/42/23/37/1074422337.db2.gz NOBVXBTZUDIBKS-UHFFFAOYSA-N 0 0 446.460 -0.351 20 0 IBADRN C[C@H](NC(=O)c1ccco1)C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000852663046 1074422944 /nfs/dbraw/zinc/42/29/44/1074422944.db2.gz BTIJJZPEUHIBQB-ZDUSSCGKSA-N 0 0 441.448 -0.033 20 0 IBADRN O=C(COC(=O)C1=NNC(=O)CC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000852696315 1074422996 /nfs/dbraw/zinc/42/29/96/1074422996.db2.gz YYURHMHVJJLJLO-UHFFFAOYSA-N 0 0 438.462 -0.867 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000852994920 1074423494 /nfs/dbraw/zinc/42/34/94/1074423494.db2.gz CPJCHZAQVXVISJ-GFCCVEGCSA-N 0 0 425.463 -0.173 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000852994921 1074423440 /nfs/dbraw/zinc/42/34/40/1074423440.db2.gz CPJCHZAQVXVISJ-LBPRGKRZSA-N 0 0 425.463 -0.173 20 0 IBADRN C[C@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCN(c2ncccn2)CC1 ZINC000852995363 1074423520 /nfs/dbraw/zinc/42/35/20/1074423520.db2.gz LNIBUASBOFZJRP-AWEZNQCLSA-N 0 0 446.489 -0.446 20 0 IBADRN C[C@@H](OC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCN(c2ncccn2)CC1 ZINC000852995364 1074423500 /nfs/dbraw/zinc/42/35/00/1074423500.db2.gz LNIBUASBOFZJRP-CQSZACIVSA-N 0 0 446.489 -0.446 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000852995911 1074423458 /nfs/dbraw/zinc/42/34/58/1074423458.db2.gz XIWUTRDXCZTCOI-CYBMUJFWSA-N 0 0 440.478 -0.284 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000852995912 1074423486 /nfs/dbraw/zinc/42/34/86/1074423486.db2.gz XIWUTRDXCZTCOI-ZDUSSCGKSA-N 0 0 440.478 -0.284 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000853861737 1074426601 /nfs/dbraw/zinc/42/66/01/1074426601.db2.gz DKQWJPMARRJPBB-UHFFFAOYSA-N 0 0 448.447 -0.155 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccccc2-n2cnnn2)CC1 ZINC000853954212 1074426748 /nfs/dbraw/zinc/42/67/48/1074426748.db2.gz WCDRJNDQTSVMHA-UHFFFAOYSA-N 0 0 448.447 -0.155 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CN2CCCCCC2=O)CC1 ZINC000854241589 1074428423 /nfs/dbraw/zinc/42/84/23/1074428423.db2.gz QNRJYUOYXAOVKN-UHFFFAOYSA-N 0 0 429.481 -0.042 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000854287640 1074428491 /nfs/dbraw/zinc/42/84/91/1074428491.db2.gz JHPBFCMKSGCXAE-UHFFFAOYSA-N 0 0 435.462 -0.362 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)c1 ZINC000854299642 1074428372 /nfs/dbraw/zinc/42/83/72/1074428372.db2.gz JOWMSQZGMYQUFD-AWEZNQCLSA-N 0 0 439.490 -0.210 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)c1 ZINC000854299644 1074428558 /nfs/dbraw/zinc/42/85/58/1074428558.db2.gz JOWMSQZGMYQUFD-CQSZACIVSA-N 0 0 439.490 -0.210 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000854398437 1074428478 /nfs/dbraw/zinc/42/84/78/1074428478.db2.gz YBYCTUIPGRTYSC-JTQLQIEISA-N 0 0 441.400 -0.421 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000854398438 1074428271 /nfs/dbraw/zinc/42/82/71/1074428271.db2.gz YBYCTUIPGRTYSC-SNVBAGLBSA-N 0 0 441.400 -0.421 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000854731338 1074429160 /nfs/dbraw/zinc/42/91/60/1074429160.db2.gz CSXLVKKOJCLMPZ-UHFFFAOYSA-N 0 0 448.447 -0.155 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000854881864 1074429826 /nfs/dbraw/zinc/42/98/26/1074429826.db2.gz CVRZBXDUPPVRIG-LLVKDONJSA-N 0 0 429.451 -0.514 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC000854881865 1074429854 /nfs/dbraw/zinc/42/98/54/1074429854.db2.gz CVRZBXDUPPVRIG-NSHDSACASA-N 0 0 429.451 -0.514 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CCNC(=O)c2ccco2)CC1 ZINC000855108229 1074430629 /nfs/dbraw/zinc/43/06/29/1074430629.db2.gz VKTFDFQRVPOLEY-UHFFFAOYSA-N 0 0 441.448 -0.032 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000855709860 1074432468 /nfs/dbraw/zinc/43/24/68/1074432468.db2.gz FLMVFJWPFNQTPN-AWEZNQCLSA-N 0 0 430.465 -0.079 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000855709865 1074432342 /nfs/dbraw/zinc/43/23/42/1074432342.db2.gz FLMVFJWPFNQTPN-CQSZACIVSA-N 0 0 430.465 -0.079 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856406841 1074435284 /nfs/dbraw/zinc/43/52/84/1074435284.db2.gz JHZUTYLBYPZQEX-UHFFFAOYSA-N 0 0 442.432 -0.683 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856407403 1074435229 /nfs/dbraw/zinc/43/52/29/1074435229.db2.gz QQIWZYCXBPGYBC-AWEZNQCLSA-N 0 0 443.460 -0.131 20 0 IBADRN Cc1cccc(OCCNC(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856407404 1074435887 /nfs/dbraw/zinc/43/58/87/1074435887.db2.gz QQIWZYCXBPGYBC-CQSZACIVSA-N 0 0 443.460 -0.131 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856407417 1074435880 /nfs/dbraw/zinc/43/58/80/1074435880.db2.gz QYGIJCJDXYPAOX-LLVKDONJSA-N 0 0 442.432 -0.636 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856407419 1074435920 /nfs/dbraw/zinc/43/59/20/1074435920.db2.gz QYGIJCJDXYPAOX-NSHDSACASA-N 0 0 442.432 -0.636 20 0 IBADRN COc1cccc(CNC(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856407581 1074435781 /nfs/dbraw/zinc/43/57/81/1074435781.db2.gz TYDYUUUINDBBIU-GFCCVEGCSA-N 0 0 429.433 -0.310 20 0 IBADRN COc1cccc(CNC(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856407582 1074435718 /nfs/dbraw/zinc/43/57/18/1074435718.db2.gz TYDYUUUINDBBIU-LBPRGKRZSA-N 0 0 429.433 -0.310 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856407895 1074435825 /nfs/dbraw/zinc/43/58/25/1074435825.db2.gz XMYGOZDBOJQBGE-LLVKDONJSA-N 0 0 442.432 -0.038 20 0 IBADRN CC(=O)Nc1cccc(NC(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000856407896 1074435805 /nfs/dbraw/zinc/43/58/05/1074435805.db2.gz XMYGOZDBOJQBGE-NSHDSACASA-N 0 0 442.432 -0.038 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000856409602 1074435914 /nfs/dbraw/zinc/43/59/14/1074435914.db2.gz VXXMMAUYPJKCFZ-CHWSQXEVSA-N 0 0 449.464 -0.833 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000856409603 1074435843 /nfs/dbraw/zinc/43/58/43/1074435843.db2.gz VXXMMAUYPJKCFZ-OLZOCXBDSA-N 0 0 449.464 -0.833 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000856409604 1074435742 /nfs/dbraw/zinc/43/57/42/1074435742.db2.gz VXXMMAUYPJKCFZ-QWHCGFSZSA-N 0 0 449.464 -0.833 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000856409605 1074435759 /nfs/dbraw/zinc/43/57/59/1074435759.db2.gz VXXMMAUYPJKCFZ-STQMWFEESA-N 0 0 449.464 -0.833 20 0 IBADRN CCN(CC)C(=O)CSc1nnc(SCC(=O)NCC(=O)N2CCCC2)n1N ZINC000856436362 1074435729 /nfs/dbraw/zinc/43/57/29/1074435729.db2.gz JBTJBHAAZWMSMR-UHFFFAOYSA-N 0 0 429.572 -0.217 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)OC(=O)CN1C(=O)CCC1=O ZINC000856437408 1074435897 /nfs/dbraw/zinc/43/58/97/1074435897.db2.gz AGBLKPWWDNMSOJ-LLVKDONJSA-N 0 0 441.462 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)OC(=O)CN1C(=O)CCC1=O ZINC000856437409 1074435788 /nfs/dbraw/zinc/43/57/88/1074435788.db2.gz AGBLKPWWDNMSOJ-NSHDSACASA-N 0 0 441.462 -0.035 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)c1 ZINC000856454430 1074435904 /nfs/dbraw/zinc/43/59/04/1074435904.db2.gz VZSSUFHFMZZULX-UHFFFAOYSA-N 0 0 433.446 -0.160 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)c1 ZINC000856455039 1074435851 /nfs/dbraw/zinc/43/58/51/1074435851.db2.gz PPFSDQUXMXUICS-LLVKDONJSA-N 0 0 433.446 -0.114 20 0 IBADRN CNC(=O)c1cccc(NC(=O)[C@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)c1 ZINC000856455040 1074435893 /nfs/dbraw/zinc/43/58/93/1074435893.db2.gz PPFSDQUXMXUICS-NSHDSACASA-N 0 0 433.446 -0.114 20 0 IBADRN C[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCN(c2ncccn2)CC1 ZINC000856456727 1074435692 /nfs/dbraw/zinc/43/56/92/1074435692.db2.gz SASSMSKWAUNCHR-CYBMUJFWSA-N 0 0 447.477 -0.973 20 0 IBADRN C[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCN(c2ncccn2)CC1 ZINC000856456728 1074436359 /nfs/dbraw/zinc/43/63/59/1074436359.db2.gz SASSMSKWAUNCHR-ZDUSSCGKSA-N 0 0 447.477 -0.973 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@@]23CCC(=O)N2CCS3)CC1 ZINC000856531628 1074436310 /nfs/dbraw/zinc/43/63/10/1074436310.db2.gz AZYRCTRLGBYDJB-IBGZPJMESA-N 0 0 445.505 -0.379 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@]23CCC(=O)N2CCS3)CC1 ZINC000856531632 1074436351 /nfs/dbraw/zinc/43/63/51/1074436351.db2.gz AZYRCTRLGBYDJB-LJQANCHMSA-N 0 0 445.505 -0.379 20 0 IBADRN C[C@H](NC(=O)[C@H](C)OC(=O)[C@@H]1CCCN1S(C)(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000856532182 1074436344 /nfs/dbraw/zinc/43/63/44/1074436344.db2.gz XHYNASQJKOVAMO-HUBLWGQQSA-N 0 0 447.535 -0.133 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)OC(=O)[C@@H]1CCCN1S(C)(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000856532183 1074436337 /nfs/dbraw/zinc/43/63/37/1074436337.db2.gz XHYNASQJKOVAMO-JMSVASOKSA-N 0 0 447.535 -0.133 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)[C@@H]1CCCN1S(C)(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000856532184 1074436402 /nfs/dbraw/zinc/43/64/02/1074436402.db2.gz XHYNASQJKOVAMO-XUJVJEKNSA-N 0 0 447.535 -0.133 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1CCCN1S(C)(=O)=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000856532185 1074436331 /nfs/dbraw/zinc/43/63/31/1074436331.db2.gz XHYNASQJKOVAMO-ZOWXZIJZSA-N 0 0 447.535 -0.133 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3Cc4cccnc4N4C[C@H](O)C[C@H]4C3)cnc21 ZINC000856532961 1074436273 /nfs/dbraw/zinc/43/62/73/1074436273.db2.gz HJLLOTAHLIPSHH-QWHCGFSZSA-N 0 0 444.473 -0.427 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)CC1 ZINC000856533267 1074437166 /nfs/dbraw/zinc/43/71/66/1074437166.db2.gz RCEYNXUXROTVBM-JKSUJKDBSA-N 0 0 425.511 -0.144 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)CC1 ZINC000856535753 1074436944 /nfs/dbraw/zinc/43/69/44/1074436944.db2.gz IEYZMDWUWCAUEL-RBUKOAKNSA-N 0 0 444.580 -0.104 20 0 IBADRN COCCN(C(=O)CN1Cc2cccnc2N2C[C@H](O)C[C@H]2C1)[C@@H]1CCS(=O)(=O)C1 ZINC000856538444 1074437104 /nfs/dbraw/zinc/43/71/04/1074437104.db2.gz WRIHVVBXQSTCLH-FGTMMUONSA-N 0 0 438.550 -0.501 20 0 IBADRN COCCN(C(=O)CN1Cc2cccnc2N2C[C@H](O)C[C@H]2C1)[C@H]1CCS(=O)(=O)C1 ZINC000856538445 1074436930 /nfs/dbraw/zinc/43/69/30/1074436930.db2.gz WRIHVVBXQSTCLH-OKZBNKHCSA-N 0 0 438.550 -0.501 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)cc1 ZINC000856544909 1074437060 /nfs/dbraw/zinc/43/70/60/1074437060.db2.gz SSMQWCXFLZYMJX-ZWKOTPCHSA-N 0 0 445.545 -0.157 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)c(=O)n(C)c1=O ZINC000856545657 1074437011 /nfs/dbraw/zinc/43/70/11/1074437011.db2.gz FEKWGSREHPDPIU-JKSUJKDBSA-N 0 0 442.520 -0.182 20 0 IBADRN COCCn1c(N)c(C(=O)CN2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)c(=O)[nH]c1=O ZINC000856546358 1074437140 /nfs/dbraw/zinc/43/71/40/1074437140.db2.gz LYEHNBAGKJIPML-UONOGXRCSA-N 0 0 430.465 -0.789 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)C2)n1 ZINC000856586059 1074437149 /nfs/dbraw/zinc/43/71/49/1074437149.db2.gz CVVMXRSMLRRAHR-GOSISDBHSA-N 0 0 435.462 -0.080 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)C2)n1 ZINC000856586060 1074437183 /nfs/dbraw/zinc/43/71/83/1074437183.db2.gz CVVMXRSMLRRAHR-SFHVURJKSA-N 0 0 435.462 -0.080 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)cn1C ZINC000856607706 1074437003 /nfs/dbraw/zinc/43/70/03/1074437003.db2.gz BUSVLBQUXJZOHE-JKSUJKDBSA-N 0 0 434.522 -0.226 20 0 IBADRN O=C([C@@H]1CC(=O)N(CCN2CCOCC2)C1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856608064 1074437093 /nfs/dbraw/zinc/43/70/93/1074437093.db2.gz IHNIANFCICJQMM-CEXWTWQISA-N 0 0 429.521 -0.456 20 0 IBADRN O=C([C@H]1CC(=O)N(CCN2CCOCC2)C1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856608065 1074436959 /nfs/dbraw/zinc/43/69/59/1074436959.db2.gz IHNIANFCICJQMM-GBESFXJTSA-N 0 0 429.521 -0.456 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)c1 ZINC000856610163 1074437672 /nfs/dbraw/zinc/43/76/72/1074437672.db2.gz NSBXHGRBOLNITF-LSDHHAIUSA-N 0 0 435.506 -0.070 20 0 IBADRN Cc1cc(C(=O)N2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)nc2c1c(=O)n(C)c(=O)n2C ZINC000856610504 1074437590 /nfs/dbraw/zinc/43/75/90/1074437590.db2.gz UXEGBERRKUBUTJ-LSDHHAIUSA-N 0 0 436.472 -0.069 20 0 IBADRN Cc1c(C(=O)N2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000856612382 1074437734 /nfs/dbraw/zinc/43/77/34/1074437734.db2.gz ZIUUWRANTPHLSV-LSDHHAIUSA-N 0 0 436.472 -0.069 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856613528 1074437606 /nfs/dbraw/zinc/43/76/06/1074437606.db2.gz GITZVSCGULPYMX-GCKMJXCFSA-N 0 0 443.504 -0.021 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856613529 1074437779 /nfs/dbraw/zinc/43/77/79/1074437779.db2.gz GITZVSCGULPYMX-MRUHUIDDSA-N 0 0 443.504 -0.021 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2cn(CCO)nc2C2CCOCC2)CC1)N1CCCC1 ZINC000856617314 1074437754 /nfs/dbraw/zinc/43/77/54/1074437754.db2.gz KVOOQOJONKJGPA-UHFFFAOYSA-N 0 0 433.509 -0.324 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cn(CCO)nc2C2CCOCC2)CC1 ZINC000856667746 1074437658 /nfs/dbraw/zinc/43/76/58/1074437658.db2.gz YNNDRAFVEICTED-UHFFFAOYSA-N 0 0 444.554 -0.107 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(CC(=O)N(CCOC)[C@@H]3CCS(=O)(=O)C3)C2)n1 ZINC000856671344 1074437772 /nfs/dbraw/zinc/43/77/72/1074437772.db2.gz ORNBNSMXXRJJBL-BEFAXECRSA-N 0 0 444.554 -0.337 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(CC(=O)N(CCOC)[C@@H]3CCS(=O)(=O)C3)C2)n1 ZINC000856671345 1074437727 /nfs/dbraw/zinc/43/77/27/1074437727.db2.gz ORNBNSMXXRJJBL-DNVCBOLYSA-N 0 0 444.554 -0.337 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(CC(=O)N(CCOC)[C@H]3CCS(=O)(=O)C3)C2)n1 ZINC000856671346 1074437651 /nfs/dbraw/zinc/43/76/51/1074437651.db2.gz ORNBNSMXXRJJBL-HNAYVOBHSA-N 0 0 444.554 -0.337 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(CC(=O)N(CCOC)[C@H]3CCS(=O)(=O)C3)C2)n1 ZINC000856671347 1074437597 /nfs/dbraw/zinc/43/75/97/1074437597.db2.gz ORNBNSMXXRJJBL-KXBFYZLASA-N 0 0 444.554 -0.337 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(CC(=O)c3c(N)n(CCOC)c(=O)[nH]c3=O)C2)n1 ZINC000856673070 1074437682 /nfs/dbraw/zinc/43/76/82/1074437682.db2.gz GHSBJGOKMMDIAY-IBGZPJMESA-N 0 0 436.469 -0.625 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(CC(=O)c3c(N)n(CCOC)c(=O)[nH]c3=O)C2)n1 ZINC000856673071 1074437745 /nfs/dbraw/zinc/43/77/45/1074437745.db2.gz GHSBJGOKMMDIAY-LJQANCHMSA-N 0 0 436.469 -0.625 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)C2)n1 ZINC000856675010 1074438418 /nfs/dbraw/zinc/43/84/18/1074438418.db2.gz XYGFGQYOFIESKW-NRFANRHFSA-N 0 0 448.524 -0.017 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(CC(=O)c3c(N)n(CC(C)C)c(=O)n(C)c3=O)C2)n1 ZINC000856675011 1074438408 /nfs/dbraw/zinc/43/84/08/1074438408.db2.gz XYGFGQYOFIESKW-OAQYLSRUSA-N 0 0 448.524 -0.017 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000856683752 1074438278 /nfs/dbraw/zinc/43/82/78/1074438278.db2.gz JZTVOIQEMFPTDO-RBZFPXEDSA-N 0 0 430.465 -0.223 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000856683753 1074438384 /nfs/dbraw/zinc/43/83/84/1074438384.db2.gz JZTVOIQEMFPTDO-RNODOKPDSA-N 0 0 430.465 -0.223 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000856683754 1074438468 /nfs/dbraw/zinc/43/84/68/1074438468.db2.gz JZTVOIQEMFPTDO-XCLFUZPHSA-N 0 0 430.465 -0.223 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000856683755 1074438499 /nfs/dbraw/zinc/43/84/99/1074438499.db2.gz JZTVOIQEMFPTDO-ZUOKHONESA-N 0 0 430.465 -0.223 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)c2cn(CCO)nc2C2CCOCC2)CC1 ZINC000856689371 1074438474 /nfs/dbraw/zinc/43/84/74/1074438474.db2.gz ZXWAJSXCGJYBHG-UHFFFAOYSA-N 0 0 429.543 -0.230 20 0 IBADRN O=C(COC(=O)Cn1ccccc1=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000856692109 1074438286 /nfs/dbraw/zinc/43/82/86/1074438286.db2.gz DTAHBLYXSIRNLA-UHFFFAOYSA-N 0 0 449.485 -0.271 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)C2)n1 ZINC000856698974 1074438436 /nfs/dbraw/zinc/43/84/36/1074438436.db2.gz CSQPSSNMKHHRSI-FQEVSTJZSA-N 0 0 428.449 -0.213 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)C2)n1 ZINC000856698975 1074438461 /nfs/dbraw/zinc/43/84/61/1074438461.db2.gz CSQPSSNMKHHRSI-HXUWFJFHSA-N 0 0 428.449 -0.213 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)n1 ZINC000856700859 1074438360 /nfs/dbraw/zinc/43/83/60/1074438360.db2.gz VZGKZMJYDXDEIU-FQEVSTJZSA-N 0 0 445.480 -0.635 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)C2)n1 ZINC000856700860 1074438394 /nfs/dbraw/zinc/43/83/94/1074438394.db2.gz VZGKZMJYDXDEIU-HXUWFJFHSA-N 0 0 445.480 -0.635 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)n1 ZINC000856701677 1074438298 /nfs/dbraw/zinc/43/82/98/1074438298.db2.gz WGOJOPTUCJZRAG-FQEVSTJZSA-N 0 0 428.449 -0.213 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)C2)n1 ZINC000856701678 1074438487 /nfs/dbraw/zinc/43/84/87/1074438487.db2.gz WGOJOPTUCJZRAG-HXUWFJFHSA-N 0 0 428.449 -0.213 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)C2)n1 ZINC000856701935 1074438351 /nfs/dbraw/zinc/43/83/51/1074438351.db2.gz BOMVLLSUSDKVFT-FQEVSTJZSA-N 0 0 430.465 -0.269 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)C2)n1 ZINC000856701936 1074438510 /nfs/dbraw/zinc/43/85/10/1074438510.db2.gz BOMVLLSUSDKVFT-HXUWFJFHSA-N 0 0 430.465 -0.269 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)C2)n1 ZINC000856702845 1074438450 /nfs/dbraw/zinc/43/84/50/1074438450.db2.gz BQPVEIWSSQRSSC-HRAATJIYSA-N 0 0 435.525 -0.291 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)C2)n1 ZINC000856702846 1074438330 /nfs/dbraw/zinc/43/83/30/1074438330.db2.gz BQPVEIWSSQRSSC-IERDGZPVSA-N 0 0 435.525 -0.291 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)C2)n1 ZINC000856702847 1074439054 /nfs/dbraw/zinc/43/90/54/1074439054.db2.gz BQPVEIWSSQRSSC-IIBYNOLFSA-N 0 0 435.525 -0.291 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)C2)n1 ZINC000856702848 1074438874 /nfs/dbraw/zinc/43/88/74/1074438874.db2.gz BQPVEIWSSQRSSC-KKSFZXQISA-N 0 0 435.525 -0.291 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)C2)n1 ZINC000856703874 1074438889 /nfs/dbraw/zinc/43/88/89/1074438889.db2.gz DPFGHWNERHFDGL-GOSISDBHSA-N 0 0 437.478 -0.186 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)C(=O)Nc3ccc(S(N)(=O)=O)cc3)C2)n1 ZINC000856703876 1074439095 /nfs/dbraw/zinc/43/90/95/1074439095.db2.gz DPFGHWNERHFDGL-SFHVURJKSA-N 0 0 437.478 -0.186 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)C2)n1 ZINC000856704610 1074439034 /nfs/dbraw/zinc/43/90/34/1074439034.db2.gz PYMMWPYFGAZTRK-GOSISDBHSA-N 0 0 440.526 -0.061 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)CCNS(=O)(=O)c3cn(C)c(C)n3)C2)n1 ZINC000856704619 1074439075 /nfs/dbraw/zinc/43/90/75/1074439075.db2.gz PYMMWPYFGAZTRK-SFHVURJKSA-N 0 0 440.526 -0.061 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)C2)n1 ZINC000856705593 1074438913 /nfs/dbraw/zinc/43/89/13/1074438913.db2.gz YGEBCZHPAVYGTP-KRWDZBQOSA-N 0 0 426.499 -0.452 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)C2)n1 ZINC000856705594 1074438841 /nfs/dbraw/zinc/43/88/41/1074438841.db2.gz YGEBCZHPAVYGTP-QGZVFWFLSA-N 0 0 426.499 -0.452 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)C2)n1 ZINC000856705879 1074438980 /nfs/dbraw/zinc/43/89/80/1074438980.db2.gz SUPWXVSHQAXABI-PXAZEXFGSA-N 0 0 426.499 -0.372 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)C2)n1 ZINC000856705880 1074439043 /nfs/dbraw/zinc/43/90/43/1074439043.db2.gz SUPWXVSHQAXABI-SJCJKPOMSA-N 0 0 426.499 -0.372 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)[C@@H](C)NS(=O)(=O)c3cnn(C)c3)C2)n1 ZINC000856705881 1074439110 /nfs/dbraw/zinc/43/91/10/1074439110.db2.gz SUPWXVSHQAXABI-SJKOYZFVSA-N 0 0 426.499 -0.372 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)[C@H](C)NS(=O)(=O)c3cnn(C)c3)C2)n1 ZINC000856705883 1074438938 /nfs/dbraw/zinc/43/89/38/1074438938.db2.gz SUPWXVSHQAXABI-YVEFUNNKSA-N 0 0 426.499 -0.372 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000857185323 1074442094 /nfs/dbraw/zinc/44/20/94/1074442094.db2.gz AUMQVYIUZIJHQA-GFCCVEGCSA-N 0 0 425.463 -0.173 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000857185324 1074442088 /nfs/dbraw/zinc/44/20/88/1074442088.db2.gz AUMQVYIUZIJHQA-LBPRGKRZSA-N 0 0 425.463 -0.173 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000857185349 1074442146 /nfs/dbraw/zinc/44/21/46/1074442146.db2.gz BSEGFOMKPLENRK-CYBMUJFWSA-N 0 0 440.478 -0.284 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000857185350 1074442062 /nfs/dbraw/zinc/44/20/62/1074442062.db2.gz BSEGFOMKPLENRK-ZDUSSCGKSA-N 0 0 440.478 -0.284 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCN(c2cnccn2)CC1 ZINC000857186357 1074442109 /nfs/dbraw/zinc/44/21/09/1074442109.db2.gz KHCBXWZIHFPVTH-UHFFFAOYSA-N 0 0 432.462 -0.834 20 0 IBADRN C[C@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000857186869 1074442142 /nfs/dbraw/zinc/44/21/42/1074442142.db2.gz QYATWNMTUNZXKO-AWEZNQCLSA-N 0 0 446.489 -0.446 20 0 IBADRN C[C@@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000857186870 1074442154 /nfs/dbraw/zinc/44/21/54/1074442154.db2.gz QYATWNMTUNZXKO-CQSZACIVSA-N 0 0 446.489 -0.446 20 0 IBADRN COCCCNC(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000857310687 1074442752 /nfs/dbraw/zinc/44/27/52/1074442752.db2.gz CORTYMMYIQFCCY-CYBMUJFWSA-N 0 0 429.495 -0.131 20 0 IBADRN COCCCNC(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000857310688 1074442829 /nfs/dbraw/zinc/44/28/29/1074442829.db2.gz CORTYMMYIQFCCY-ZDUSSCGKSA-N 0 0 429.495 -0.131 20 0 IBADRN O=C(COC(=O)CN1CCCC1=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000857318842 1074442817 /nfs/dbraw/zinc/44/28/17/1074442817.db2.gz WLDHOTIDVMXHKT-UHFFFAOYSA-N 0 0 439.490 -0.511 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000857334273 1074442609 /nfs/dbraw/zinc/44/26/09/1074442609.db2.gz RIXPOVZBFBGFEZ-GFCCVEGCSA-N 0 0 444.462 -0.449 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000857334274 1074442795 /nfs/dbraw/zinc/44/27/95/1074442795.db2.gz RIXPOVZBFBGFEZ-LBPRGKRZSA-N 0 0 444.462 -0.449 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000857432016 1074443202 /nfs/dbraw/zinc/44/32/02/1074443202.db2.gz WDUCBWHWADUBOD-UHFFFAOYSA-N 0 0 445.457 -0.487 20 0 IBADRN COc1ccc(NCC(=O)NCC(=O)N2CCCC2)cc1S(=O)(=O)N1CCOCC1 ZINC000857474737 1074443361 /nfs/dbraw/zinc/44/33/61/1074443361.db2.gz OKYNYEDYGAQIOE-UHFFFAOYSA-N 0 0 440.522 -0.133 20 0 IBADRN NC(=O)CN1CCN(C(=O)CS(=O)(=O)Nc2ccc(N3CCCCC3)cc2)CC1=O ZINC000857535913 1074443166 /nfs/dbraw/zinc/44/31/66/1074443166.db2.gz STJVZCGFZDSPNK-UHFFFAOYSA-N 0 0 437.522 -0.425 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000857614543 1074443304 /nfs/dbraw/zinc/44/33/04/1074443304.db2.gz HDUQUPVMYUESJB-CYBMUJFWSA-N 0 0 436.490 -0.310 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000857614544 1074444022 /nfs/dbraw/zinc/44/40/22/1074444022.db2.gz HDUQUPVMYUESJB-ZDUSSCGKSA-N 0 0 436.490 -0.310 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000857707493 1074444050 /nfs/dbraw/zinc/44/40/50/1074444050.db2.gz BAKCIFJBSJTCBL-KRWDZBQOSA-N 0 0 425.530 -0.848 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC000857707494 1074443907 /nfs/dbraw/zinc/44/39/07/1074443907.db2.gz BAKCIFJBSJTCBL-QGZVFWFLSA-N 0 0 425.530 -0.848 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1=O ZINC000857721620 1074443974 /nfs/dbraw/zinc/44/39/74/1074443974.db2.gz QRDOVQZTPDXUSB-UHFFFAOYSA-N 0 0 432.433 -0.788 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000857722058 1074443920 /nfs/dbraw/zinc/44/39/20/1074443920.db2.gz FLZQLXHDZQMELD-HNNXBMFYSA-N 0 0 432.477 -0.039 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000857722059 1074443888 /nfs/dbraw/zinc/44/38/88/1074443888.db2.gz FLZQLXHDZQMELD-OAHLLOKOSA-N 0 0 432.477 -0.039 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000857722091 1074444017 /nfs/dbraw/zinc/44/40/17/1074444017.db2.gz FXJBQCBFSLJRAH-GHMZBOCLSA-N 0 0 426.451 -0.663 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CN1C(=O)CN(C)C1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000857722092 1074444039 /nfs/dbraw/zinc/44/40/39/1074444039.db2.gz FXJBQCBFSLJRAH-MNOVXSKESA-N 0 0 426.451 -0.663 20 0 IBADRN C[C@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000857722094 1074443985 /nfs/dbraw/zinc/44/39/85/1074443985.db2.gz FXJBQCBFSLJRAH-QWRGUYRKSA-N 0 0 426.451 -0.663 20 0 IBADRN C[C@@H](OC(=O)CN1C(=O)CN(C)C1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000857722095 1074443931 /nfs/dbraw/zinc/44/39/31/1074443931.db2.gz FXJBQCBFSLJRAH-WDEREUQCSA-N 0 0 426.451 -0.663 20 0 IBADRN Cn1c(CCC(=O)NN2CCOCC2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC000857749949 1074443961 /nfs/dbraw/zinc/44/39/61/1074443961.db2.gz JVRWEZJAZDNGSV-UHFFFAOYSA-N 0 0 437.522 -0.110 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NN1CCOCC1)c(=O)n2Cc1ccccc1 ZINC000857750189 1074443991 /nfs/dbraw/zinc/44/39/91/1074443991.db2.gz VQWQXVMEHGYGCN-UHFFFAOYSA-N 0 0 442.476 -0.582 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000857750425 1074444000 /nfs/dbraw/zinc/44/40/00/1074444000.db2.gz RQLNCEOAFDBPMU-INIZCTEOSA-N 0 0 442.472 -0.319 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000857750426 1074444045 /nfs/dbraw/zinc/44/40/45/1074444045.db2.gz RQLNCEOAFDBPMU-MRXNPFEDSA-N 0 0 442.472 -0.319 20 0 IBADRN O=C(COC(=O)CCN1C(=O)CCC1=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000857750548 1074443859 /nfs/dbraw/zinc/44/38/59/1074443859.db2.gz BPGWNAAJVVSRDC-UHFFFAOYSA-N 0 0 431.445 -0.143 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CCN2C(=O)CCC2=O)CC1 ZINC000857750565 1074443937 /nfs/dbraw/zinc/44/39/37/1074443937.db2.gz DQNFXXNFJFYQST-UHFFFAOYSA-N 0 0 429.437 -0.906 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CCN1C(=O)CCC1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000857751055 1074444030 /nfs/dbraw/zinc/44/40/30/1074444030.db2.gz MYVMQWFEJXJXFQ-NEPJUHHUSA-N 0 0 425.463 -0.018 20 0 IBADRN C[C@@H](OC(=O)CCN1C(=O)CCC1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000857751056 1074444007 /nfs/dbraw/zinc/44/40/07/1074444007.db2.gz MYVMQWFEJXJXFQ-NWDGAFQWSA-N 0 0 425.463 -0.018 20 0 IBADRN C[C@H](OC(=O)CCN1C(=O)CCC1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000857751057 1074443876 /nfs/dbraw/zinc/44/38/76/1074443876.db2.gz MYVMQWFEJXJXFQ-RYUDHWBXSA-N 0 0 425.463 -0.018 20 0 IBADRN C[C@@H](OC(=O)CCN1C(=O)CCC1=O)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000857751058 1074443968 /nfs/dbraw/zinc/44/39/68/1074443968.db2.gz MYVMQWFEJXJXFQ-VXGBXAGGSA-N 0 0 425.463 -0.018 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000857755712 1074444435 /nfs/dbraw/zinc/44/44/35/1074444435.db2.gz QMZXMLSXIYGBQE-HNNXBMFYSA-N 0 0 429.481 -0.186 20 0 IBADRN CC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)C1 ZINC000857755713 1074444528 /nfs/dbraw/zinc/44/45/28/1074444528.db2.gz QMZXMLSXIYGBQE-OAHLLOKOSA-N 0 0 429.481 -0.186 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000857828819 1074444608 /nfs/dbraw/zinc/44/46/08/1074444608.db2.gz ONHZYGRGQTWVPW-UHFFFAOYSA-N 0 0 440.464 -0.212 20 0 IBADRN COc1cc(C(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)cc(OC)c1OCC(N)=O ZINC000857828984 1074444577 /nfs/dbraw/zinc/44/45/77/1074444577.db2.gz SQSQAVCLWNVXDE-GFCCVEGCSA-N 0 0 437.449 -0.196 20 0 IBADRN COc1cc(C(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)cc(OC)c1OCC(N)=O ZINC000857828985 1074444563 /nfs/dbraw/zinc/44/45/63/1074444563.db2.gz SQSQAVCLWNVXDE-LBPRGKRZSA-N 0 0 437.449 -0.196 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)c1=O ZINC000857831845 1074444450 /nfs/dbraw/zinc/44/44/50/1074444450.db2.gz BDVYYQBPGVJXFW-AWEZNQCLSA-N 0 0 430.461 -0.195 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)c1=O ZINC000857831846 1074444547 /nfs/dbraw/zinc/44/45/47/1074444547.db2.gz BDVYYQBPGVJXFW-CQSZACIVSA-N 0 0 430.461 -0.195 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N(CC)CC)CC2)CC1 ZINC000857831953 1074444455 /nfs/dbraw/zinc/44/44/55/1074444455.db2.gz CGHUHTPVEYYOLW-INIZCTEOSA-N 0 0 433.575 -0.120 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)N(CC)CC)CC2)CC1 ZINC000857831954 1074444467 /nfs/dbraw/zinc/44/44/67/1074444467.db2.gz CGHUHTPVEYYOLW-MRXNPFEDSA-N 0 0 433.575 -0.120 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000857832755 1074444478 /nfs/dbraw/zinc/44/44/78/1074444478.db2.gz WBNVYOIHNVLRTF-UHFFFAOYSA-N 0 0 425.559 -0.671 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000857833892 1074444445 /nfs/dbraw/zinc/44/44/45/1074444445.db2.gz HHHUUXCXBNMOCN-KRWDZBQOSA-N 0 0 425.530 -0.848 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000857833893 1074444473 /nfs/dbraw/zinc/44/44/73/1074444473.db2.gz HHHUUXCXBNMOCN-QGZVFWFLSA-N 0 0 425.530 -0.848 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000857838198 1074444583 /nfs/dbraw/zinc/44/45/83/1074444583.db2.gz YQAQDSRMWCRMGM-GFCCVEGCSA-N 0 0 449.464 -0.833 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC000857838199 1074445246 /nfs/dbraw/zinc/44/52/46/1074445246.db2.gz YQAQDSRMWCRMGM-LBPRGKRZSA-N 0 0 449.464 -0.833 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2csc(S(N)(=O)=O)c2)CC1 ZINC000857839723 1074445408 /nfs/dbraw/zinc/44/54/08/1074445408.db2.gz QSDBGNBZJATVTN-UHFFFAOYSA-N 0 0 446.551 -0.389 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)cn1C)[C@H]1CCS(=O)(=O)C1 ZINC000857843240 1074445231 /nfs/dbraw/zinc/44/52/31/1074445231.db2.gz PHRXPWFMHLTXQA-NEPJUHHUSA-N 0 0 435.524 -0.357 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)cn1C)[C@@H]1CCS(=O)(=O)C1 ZINC000857843241 1074445356 /nfs/dbraw/zinc/44/53/56/1074445356.db2.gz PHRXPWFMHLTXQA-NWDGAFQWSA-N 0 0 435.524 -0.357 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)cn1C)[C@H]1CCS(=O)(=O)C1 ZINC000857843242 1074445331 /nfs/dbraw/zinc/44/53/31/1074445331.db2.gz PHRXPWFMHLTXQA-RYUDHWBXSA-N 0 0 435.524 -0.357 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)cn1C)[C@@H]1CCS(=O)(=O)C1 ZINC000857843243 1074445254 /nfs/dbraw/zinc/44/52/54/1074445254.db2.gz PHRXPWFMHLTXQA-VXGBXAGGSA-N 0 0 435.524 -0.357 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000857843362 1074445380 /nfs/dbraw/zinc/44/53/80/1074445380.db2.gz WVCXBYYELBNQHV-UHFFFAOYSA-N 0 0 442.538 -0.019 20 0 IBADRN C[C@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000858004483 1074445325 /nfs/dbraw/zinc/44/53/25/1074445325.db2.gz ASMSOEIATOZERU-KJOMZIQVSA-N 0 0 429.429 -0.015 20 0 IBADRN C[C@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000858004484 1074445318 /nfs/dbraw/zinc/44/53/18/1074445318.db2.gz ASMSOEIATOZERU-YPSPLPASSA-N 0 0 429.429 -0.015 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000858004668 1074445311 /nfs/dbraw/zinc/44/53/11/1074445311.db2.gz JXARWKJSASNFTR-CZNUNWFBSA-N 0 0 441.506 -0.545 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000858004669 1074445294 /nfs/dbraw/zinc/44/52/94/1074445294.db2.gz JXARWKJSASNFTR-QDPGXPNISA-N 0 0 441.506 -0.545 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000858004670 1074445400 /nfs/dbraw/zinc/44/54/00/1074445400.db2.gz JXARWKJSASNFTR-RPWHMATFSA-N 0 0 441.506 -0.545 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000858004671 1074445651 /nfs/dbraw/zinc/44/56/51/1074445651.db2.gz JXARWKJSASNFTR-XQCKBBLNSA-N 0 0 441.506 -0.545 20 0 IBADRN C[C@@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000858005001 1074445726 /nfs/dbraw/zinc/44/57/26/1074445726.db2.gz WMIYGLYJEMYDAZ-GEXIGZQTSA-N 0 0 428.449 -0.832 20 0 IBADRN C[C@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000858005002 1074445731 /nfs/dbraw/zinc/44/57/31/1074445731.db2.gz WMIYGLYJEMYDAZ-GJBLVYBDSA-N 0 0 428.449 -0.832 20 0 IBADRN C[C@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000858005003 1074445644 /nfs/dbraw/zinc/44/56/44/1074445644.db2.gz WMIYGLYJEMYDAZ-GXMNPTEKSA-N 0 0 428.449 -0.832 20 0 IBADRN C[C@@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000858005004 1074445765 /nfs/dbraw/zinc/44/57/65/1074445765.db2.gz WMIYGLYJEMYDAZ-VBROQKIQSA-N 0 0 428.449 -0.832 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000858005266 1074445667 /nfs/dbraw/zinc/44/56/67/1074445667.db2.gz GOHXAFGMSAEWEH-AWEZNQCLSA-N 0 0 441.506 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000858005267 1074445737 /nfs/dbraw/zinc/44/57/37/1074445737.db2.gz GOHXAFGMSAEWEH-CQSZACIVSA-N 0 0 441.506 -0.153 20 0 IBADRN C[C@@H](OC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000858006779 1074445718 /nfs/dbraw/zinc/44/57/18/1074445718.db2.gz NDIMMHLVONWGBH-CYBMUJFWSA-N 0 0 426.437 -0.800 20 0 IBADRN C[C@H](OC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000858006780 1074445745 /nfs/dbraw/zinc/44/57/45/1074445745.db2.gz NDIMMHLVONWGBH-ZDUSSCGKSA-N 0 0 426.437 -0.800 20 0 IBADRN Cc1ccc(O[C@H]2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2)nc1 ZINC000858009871 1074445751 /nfs/dbraw/zinc/44/57/51/1074445751.db2.gz VDFHRIVUUFZXTB-JDLZUTDUSA-N 0 0 428.449 -0.201 20 0 IBADRN Cc1ccc(O[C@@H]2CCN(c3ncnc4c3ncn4[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)C2)nc1 ZINC000858009872 1074445686 /nfs/dbraw/zinc/44/56/86/1074445686.db2.gz VDFHRIVUUFZXTB-SXOQPLQPSA-N 0 0 428.449 -0.201 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000858086039 1074445659 /nfs/dbraw/zinc/44/56/59/1074445659.db2.gz WBBCBWSHCBFAAF-DZGCQCFKSA-N 0 0 426.495 -0.998 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000858086040 1074445757 /nfs/dbraw/zinc/44/57/57/1074445757.db2.gz WBBCBWSHCBFAAF-HIFRSBDPSA-N 0 0 426.495 -0.998 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000858086041 1074445675 /nfs/dbraw/zinc/44/56/75/1074445675.db2.gz WBBCBWSHCBFAAF-UKRRQHHQSA-N 0 0 426.495 -0.998 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000858086042 1074445608 /nfs/dbraw/zinc/44/56/08/1074445608.db2.gz WBBCBWSHCBFAAF-ZFWWWQNUSA-N 0 0 426.495 -0.998 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CCCCC1 ZINC000861084598 1074449323 /nfs/dbraw/zinc/44/93/23/1074449323.db2.gz YLACUUXNFXFLBR-CYBMUJFWSA-N 0 0 448.567 -0.480 20 0 IBADRN CS(=O)(=O)NC1(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CCCCC1 ZINC000861084607 1074449357 /nfs/dbraw/zinc/44/93/57/1074449357.db2.gz YLACUUXNFXFLBR-ZDUSSCGKSA-N 0 0 448.567 -0.480 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)N(C)C3=O)CC1 ZINC000862043560 1074450548 /nfs/dbraw/zinc/45/05/48/1074450548.db2.gz JFASDBMGIMYEML-UHFFFAOYSA-N 0 0 444.535 -0.195 20 0 IBADRN CN(CCS(=O)(=O)NC1CCN(CC(N)=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000862074782 1074450446 /nfs/dbraw/zinc/45/04/46/1074450446.db2.gz DBIFNLQULBTCQK-UHFFFAOYSA-N 0 0 436.531 -0.685 20 0 IBADRN CN(C(=O)CN1CCN(S(N)(=O)=O)CC1)c1ccccc1C(=O)NCc1ccco1 ZINC000862532806 1074450470 /nfs/dbraw/zinc/45/04/70/1074450470.db2.gz KKTIWCZIDLHQKZ-UHFFFAOYSA-N 0 0 435.506 -0.007 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)CN3CCN(S(N)(=O)=O)CC3)CC2)c(F)c1 ZINC000862548161 1074450639 /nfs/dbraw/zinc/45/06/39/1074450639.db2.gz YZXXYIIVTAGQEN-UHFFFAOYSA-N 0 0 427.502 -0.502 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCCN2CCNC2=O)c1 ZINC000862946804 1074451181 /nfs/dbraw/zinc/45/11/81/1074451181.db2.gz QVIDXCWNPHJJCA-UHFFFAOYSA-N 0 0 426.495 -0.045 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)OCCN2CCNC2=O)c1OC ZINC000862951797 1074451223 /nfs/dbraw/zinc/45/12/23/1074451223.db2.gz LENDHYADLJOMHE-UHFFFAOYSA-N 0 0 443.478 -0.093 20 0 IBADRN COc1ccc(CC(=O)OCCN2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000862952019 1074451265 /nfs/dbraw/zinc/45/12/65/1074451265.db2.gz UMCRXRZEZWOJFW-UHFFFAOYSA-N 0 0 427.479 -0.173 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccc(-n3cncn3)nc2)CC1 ZINC000863899934 1074451829 /nfs/dbraw/zinc/45/18/29/1074451829.db2.gz YCXQEDXFWOCDIL-UHFFFAOYSA-N 0 0 448.447 -0.155 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)OCC(=O)N3CCNC(=O)C3)C2)s1 ZINC000863950338 1074451947 /nfs/dbraw/zinc/45/19/47/1074451947.db2.gz NFXDCRDVCQMENW-CYBMUJFWSA-N 0 0 429.520 -0.041 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)OCC(=O)N3CCNC(=O)C3)C2)s1 ZINC000863950339 1074451942 /nfs/dbraw/zinc/45/19/42/1074451942.db2.gz NFXDCRDVCQMENW-ZDUSSCGKSA-N 0 0 429.520 -0.041 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000863964853 1074451849 /nfs/dbraw/zinc/45/18/49/1074451849.db2.gz CRKINFSTTRBLED-CYBMUJFWSA-N 0 0 432.426 -0.319 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)OC ZINC000863964854 1074451937 /nfs/dbraw/zinc/45/19/37/1074451937.db2.gz CRKINFSTTRBLED-ZDUSSCGKSA-N 0 0 432.426 -0.319 20 0 IBADRN COC(=O)C[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)C(=O)OC ZINC000863966127 1074451781 /nfs/dbraw/zinc/45/17/81/1074451781.db2.gz XGCUHLXSSSJSMR-AWEZNQCLSA-N 0 0 432.426 -0.319 20 0 IBADRN COC(=O)C[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)C(=O)OC ZINC000863966128 1074451910 /nfs/dbraw/zinc/45/19/10/1074451910.db2.gz XGCUHLXSSSJSMR-CQSZACIVSA-N 0 0 432.426 -0.319 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000864037645 1074452566 /nfs/dbraw/zinc/45/25/66/1074452566.db2.gz PRIMWCHLKKSMSK-HNNXBMFYSA-N 0 0 444.531 -0.422 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000864037646 1074452592 /nfs/dbraw/zinc/45/25/92/1074452592.db2.gz PRIMWCHLKKSMSK-OAHLLOKOSA-N 0 0 444.531 -0.422 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3cc(I)nn3C)C[C@H]21 ZINC000864061363 1074452517 /nfs/dbraw/zinc/45/25/17/1074452517.db2.gz XRANDJDSLLIJGX-SFGNSQDASA-N 0 0 425.248 -0.091 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)Cn1nc(Br)c(Br)n1 ZINC000864068238 1074452476 /nfs/dbraw/zinc/45/24/76/1074452476.db2.gz PPMBTZXAYMKCKM-NTSWFWBYSA-N 0 0 432.094 -0.269 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)Cn1nc(Br)c(Br)n1 ZINC000864068239 1074452551 /nfs/dbraw/zinc/45/25/51/1074452551.db2.gz PPMBTZXAYMKCKM-PHDIDXHHSA-N 0 0 432.094 -0.269 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)Cn1nc(Br)c(Br)n1 ZINC000864068240 1074452623 /nfs/dbraw/zinc/45/26/23/1074452623.db2.gz PPMBTZXAYMKCKM-RITPCOANSA-N 0 0 432.094 -0.269 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)Cn1nc(Br)c(Br)n1 ZINC000864068241 1074452588 /nfs/dbraw/zinc/45/25/88/1074452588.db2.gz PPMBTZXAYMKCKM-WDSKDSINSA-N 0 0 432.094 -0.269 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Cn2nc(Br)c(Br)n2)C1 ZINC000864078321 1074452608 /nfs/dbraw/zinc/45/26/08/1074452608.db2.gz WAWQLDRSRGLTNV-UHFFFAOYSA-N 0 0 446.125 -0.590 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC000864078326 1074453141 /nfs/dbraw/zinc/45/31/41/1074453141.db2.gz JIDNQGUSCNZFQX-MRVPVSSYSA-N 0 0 428.252 -0.287 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC000864078331 1074453038 /nfs/dbraw/zinc/45/30/38/1074453038.db2.gz JIDNQGUSCNZFQX-QMMMGPOBSA-N 0 0 428.252 -0.287 20 0 IBADRN Cn1nc(I)cc1C(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC000864078480 1074453130 /nfs/dbraw/zinc/45/31/30/1074453130.db2.gz KEJBZTIXRKOSKY-MRVPVSSYSA-N 0 0 428.252 -0.585 20 0 IBADRN Cn1nc(I)cc1C(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC000864078482 1074453105 /nfs/dbraw/zinc/45/31/05/1074453105.db2.gz KEJBZTIXRKOSKY-QMMMGPOBSA-N 0 0 428.252 -0.585 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)Cn1nc(Br)c(Br)n1 ZINC000864082759 1074453120 /nfs/dbraw/zinc/45/31/20/1074453120.db2.gz YRLSHUBXYBPKAV-SSDOTTSWSA-N 0 0 440.096 -0.041 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)Cn1nc(Br)c(Br)n1 ZINC000864082765 1074453134 /nfs/dbraw/zinc/45/31/34/1074453134.db2.gz YRLSHUBXYBPKAV-ZETCQYMHSA-N 0 0 440.096 -0.041 20 0 IBADRN CC(C)(C)OC(=O)NCC1(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC000864236164 1074453092 /nfs/dbraw/zinc/45/30/92/1074453092.db2.gz BQXZITQEOLUEBR-CABCVRRESA-N 0 0 431.555 -0.017 20 0 IBADRN CC(C)(C)OC(=O)NCC1(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC000864236170 1074452998 /nfs/dbraw/zinc/45/29/98/1074452998.db2.gz BQXZITQEOLUEBR-GJZGRUSLSA-N 0 0 431.555 -0.017 20 0 IBADRN CC(C)(C)OC(=O)NCC1(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC000864236172 1074452978 /nfs/dbraw/zinc/45/29/78/1074452978.db2.gz BQXZITQEOLUEBR-HUUCEWRRSA-N 0 0 431.555 -0.017 20 0 IBADRN CC(C)(C)OC(=O)NCC1(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC000864236173 1074453113 /nfs/dbraw/zinc/45/31/13/1074453113.db2.gz BQXZITQEOLUEBR-LSDHHAIUSA-N 0 0 431.555 -0.017 20 0 IBADRN Cc1nc(NC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)sc1C1N=NC(=S)N1C ZINC000869424375 1074461534 /nfs/dbraw/zinc/46/15/34/1074461534.db2.gz VQQCNSSZKHBGHH-UHFFFAOYSA-N 0 0 447.506 -0.078 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2F)CC1 ZINC000871758621 1074465267 /nfs/dbraw/zinc/46/52/67/1074465267.db2.gz FSLDNUTXHYWNLG-UHFFFAOYSA-N 0 0 436.531 -0.165 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(F)c2)CC1 ZINC000871759500 1074465443 /nfs/dbraw/zinc/46/54/43/1074465443.db2.gz NNOCWKCFZZJQKT-UHFFFAOYSA-N 0 0 436.531 -0.165 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000871764626 1074465420 /nfs/dbraw/zinc/46/54/20/1074465420.db2.gz YXFPVWHXJLOXNO-UHFFFAOYSA-N 0 0 437.544 -0.699 20 0 IBADRN O=C(COC(=O)c1ccccc1NCCO)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000874059530 1074467037 /nfs/dbraw/zinc/46/70/37/1074467037.db2.gz RMICCZHZBGBVRR-HNNXBMFYSA-N 0 0 425.507 -0.421 20 0 IBADRN O=C(COC(=O)c1ccccc1NCCO)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000874059531 1074467052 /nfs/dbraw/zinc/46/70/52/1074467052.db2.gz RMICCZHZBGBVRR-OAHLLOKOSA-N 0 0 425.507 -0.421 20 0 IBADRN O=C(Cn1ccc(C(F)(F)F)n1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000874436562 1074467087 /nfs/dbraw/zinc/46/70/87/1074467087.db2.gz KRQANWNXESWBPC-GFCCVEGCSA-N 0 0 438.428 -0.224 20 0 IBADRN O=C(Cn1ccc(C(F)(F)F)n1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000874436563 1074467102 /nfs/dbraw/zinc/46/71/02/1074467102.db2.gz KRQANWNXESWBPC-LBPRGKRZSA-N 0 0 438.428 -0.224 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCC(N)=O ZINC000875016109 1074466952 /nfs/dbraw/zinc/46/69/52/1074466952.db2.gz QBZTZJYOCRZCKE-GFCCVEGCSA-N 0 0 426.900 -0.160 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCCC(N)=O ZINC000875016110 1074467018 /nfs/dbraw/zinc/46/70/18/1074467018.db2.gz QBZTZJYOCRZCKE-LBPRGKRZSA-N 0 0 426.900 -0.160 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)ccc1OCC(N)=O ZINC000875041921 1074467022 /nfs/dbraw/zinc/46/70/22/1074467022.db2.gz FQWQKWKBVBRJDS-UHFFFAOYSA-N 0 0 429.433 -0.145 20 0 IBADRN COc1cc(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc(OC)c1OCC(N)=O ZINC000875100848 1074467058 /nfs/dbraw/zinc/46/70/58/1074467058.db2.gz HQGWCBQNOLOCFN-NEPJUHHUSA-N 0 0 437.449 -0.163 20 0 IBADRN COc1cc(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc(OC)c1OCC(N)=O ZINC000875100849 1074467495 /nfs/dbraw/zinc/46/74/95/1074467495.db2.gz HQGWCBQNOLOCFN-NWDGAFQWSA-N 0 0 437.449 -0.163 20 0 IBADRN COc1cc(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc(OC)c1OCC(N)=O ZINC000875100850 1074467647 /nfs/dbraw/zinc/46/76/47/1074467647.db2.gz HQGWCBQNOLOCFN-RYUDHWBXSA-N 0 0 437.449 -0.163 20 0 IBADRN COc1cc(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc(OC)c1OCC(N)=O ZINC000875100851 1074467642 /nfs/dbraw/zinc/46/76/42/1074467642.db2.gz HQGWCBQNOLOCFN-VXGBXAGGSA-N 0 0 437.449 -0.163 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)c1=O ZINC000875113606 1074467500 /nfs/dbraw/zinc/46/75/00/1074467500.db2.gz ICCWFOORNNOEHX-CYBMUJFWSA-N 0 0 430.461 -0.161 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)c1=O ZINC000875113607 1074467651 /nfs/dbraw/zinc/46/76/51/1074467651.db2.gz ICCWFOORNNOEHX-ZDUSSCGKSA-N 0 0 430.461 -0.161 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)c1=O ZINC000875114069 1074467457 /nfs/dbraw/zinc/46/74/57/1074467457.db2.gz OMTJGTCTKPYAHP-KBPBESRZSA-N 0 0 430.461 -0.161 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)c1=O ZINC000875114071 1074467639 /nfs/dbraw/zinc/46/76/39/1074467639.db2.gz OMTJGTCTKPYAHP-KGLIPLIRSA-N 0 0 430.461 -0.161 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)c1=O ZINC000875114074 1074467602 /nfs/dbraw/zinc/46/76/02/1074467602.db2.gz OMTJGTCTKPYAHP-UONOGXRCSA-N 0 0 430.461 -0.161 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)c1=O ZINC000875114076 1074467635 /nfs/dbraw/zinc/46/76/35/1074467635.db2.gz OMTJGTCTKPYAHP-ZIAGYGMSSA-N 0 0 430.461 -0.161 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1ccc(N3CCOCC3)nc1)c(=O)n2C ZINC000875168988 1074467621 /nfs/dbraw/zinc/46/76/21/1074467621.db2.gz FVQBXKHPUBHAPH-UHFFFAOYSA-N 0 0 428.449 -0.088 20 0 IBADRN O=C(COC(=O)c1ccc(Cn2cncn2)cc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000875199081 1074467549 /nfs/dbraw/zinc/46/75/49/1074467549.db2.gz ZMQGBHJXEJIDPE-GOSISDBHSA-N 0 0 447.517 -0.186 20 0 IBADRN O=C(COC(=O)c1ccc(Cn2cncn2)cc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000875199082 1074467648 /nfs/dbraw/zinc/46/76/48/1074467648.db2.gz ZMQGBHJXEJIDPE-SFHVURJKSA-N 0 0 447.517 -0.186 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cn1c3ccccc3n(C)c1=O)c(=O)n2C ZINC000875220184 1074467565 /nfs/dbraw/zinc/46/75/65/1074467565.db2.gz GFAZGSQHKJGQAB-UHFFFAOYSA-N 0 0 426.433 -0.279 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)C1CCN(S(C)(=O)=O)CC1)c(=O)n2C ZINC000875222661 1074467654 /nfs/dbraw/zinc/46/76/54/1074467654.db2.gz QNUWNSZHOYBUCZ-UHFFFAOYSA-N 0 0 427.483 -0.961 20 0 IBADRN Cc1nn(C)c2ncc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000875238222 1074467630 /nfs/dbraw/zinc/46/76/30/1074467630.db2.gz ZVLDOALCEGWVBT-HNNXBMFYSA-N 0 0 435.506 -0.235 20 0 IBADRN Cc1nn(C)c2ncc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000875238223 1074467592 /nfs/dbraw/zinc/46/75/92/1074467592.db2.gz ZVLDOALCEGWVBT-OAHLLOKOSA-N 0 0 435.506 -0.235 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cccn2)nc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000875239155 1074467579 /nfs/dbraw/zinc/46/75/79/1074467579.db2.gz FDHHJYAUMKALFL-INIZCTEOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1ccc(-n2cccn2)nc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000875239156 1074467661 /nfs/dbraw/zinc/46/76/61/1074467661.db2.gz FDHHJYAUMKALFL-MRXNPFEDSA-N 0 0 433.490 -0.245 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)NC(C(N)=O)C(N)=O)ccc2Cl)C[C@H](C)O1 ZINC000875739629 1074468235 /nfs/dbraw/zinc/46/82/35/1074468235.db2.gz FVAOUUKODFUCSR-DTORHVGOSA-N 0 0 432.886 -0.793 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cc(C(=O)NC(C(N)=O)C(N)=O)ccc2Cl)C[C@H](C)O1 ZINC000875739630 1074468227 /nfs/dbraw/zinc/46/82/27/1074468227.db2.gz FVAOUUKODFUCSR-IUCAKERBSA-N 0 0 432.886 -0.793 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)NC(C(N)=O)C(N)=O)ccc2Cl)C[C@@H](C)O1 ZINC000875739631 1074468203 /nfs/dbraw/zinc/46/82/03/1074468203.db2.gz FVAOUUKODFUCSR-RKDXNWHRSA-N 0 0 432.886 -0.793 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)O[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)C(C)C ZINC000876959929 1074468582 /nfs/dbraw/zinc/46/85/82/1074468582.db2.gz ZCFQJHGKDJJJHH-ABAIWWIYSA-N 0 0 448.519 -0.173 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)O[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)C(C)C ZINC000876959934 1074468556 /nfs/dbraw/zinc/46/85/56/1074468556.db2.gz ZCFQJHGKDJJJHH-IAQYHMDHSA-N 0 0 448.519 -0.173 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)O[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)C(C)C ZINC000876959936 1074468620 /nfs/dbraw/zinc/46/86/20/1074468620.db2.gz ZCFQJHGKDJJJHH-NHYWBVRUSA-N 0 0 448.519 -0.173 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)O[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)C(C)C ZINC000876959938 1074468547 /nfs/dbraw/zinc/46/85/47/1074468547.db2.gz ZCFQJHGKDJJJHH-XHDPSFHLSA-N 0 0 448.519 -0.173 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)cc1S(N)(=O)=O ZINC000877010933 1074468541 /nfs/dbraw/zinc/46/85/41/1074468541.db2.gz PSXHWKRGJGXQDQ-UHFFFAOYSA-N 0 0 435.462 -0.362 20 0 IBADRN COc1ccc(C(=O)O[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)cc1S(N)(=O)=O ZINC000877011848 1074468599 /nfs/dbraw/zinc/46/85/99/1074468599.db2.gz KMOMNSOLYBWBEM-DOMZBBRYSA-N 0 0 448.519 -0.173 20 0 IBADRN COc1ccc(C(=O)O[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)cc1S(N)(=O)=O ZINC000877011849 1074468576 /nfs/dbraw/zinc/46/85/76/1074468576.db2.gz KMOMNSOLYBWBEM-IUODEOHRSA-N 0 0 448.519 -0.173 20 0 IBADRN COc1ccc(C(=O)O[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)cc1S(N)(=O)=O ZINC000877011850 1074468609 /nfs/dbraw/zinc/46/86/09/1074468609.db2.gz KMOMNSOLYBWBEM-SWLSCSKDSA-N 0 0 448.519 -0.173 20 0 IBADRN COc1ccc(C(=O)O[C@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)cc1S(N)(=O)=O ZINC000877011851 1074468492 /nfs/dbraw/zinc/46/84/92/1074468492.db2.gz KMOMNSOLYBWBEM-WFASDCNBSA-N 0 0 448.519 -0.173 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000877886423 1074468560 /nfs/dbraw/zinc/46/85/60/1074468560.db2.gz NINCLJUZFUFVAZ-DZGCQCFKSA-N 0 0 445.519 -0.645 20 0 IBADRN CC(C)[C@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000877886424 1074468531 /nfs/dbraw/zinc/46/85/31/1074468531.db2.gz NINCLJUZFUFVAZ-HIFRSBDPSA-N 0 0 445.519 -0.645 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000877886425 1074468604 /nfs/dbraw/zinc/46/86/04/1074468604.db2.gz NINCLJUZFUFVAZ-UKRRQHHQSA-N 0 0 445.519 -0.645 20 0 IBADRN CC(C)[C@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000877886426 1074469209 /nfs/dbraw/zinc/46/92/09/1074469209.db2.gz NINCLJUZFUFVAZ-ZFWWWQNUSA-N 0 0 445.519 -0.645 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C(C)C)CC1 ZINC000877886492 1074469172 /nfs/dbraw/zinc/46/91/72/1074469172.db2.gz PETGJWSZBKKNKG-KRWDZBQOSA-N 0 0 438.506 -0.258 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C(C)C)CC1 ZINC000877886493 1074469198 /nfs/dbraw/zinc/46/91/98/1074469198.db2.gz PETGJWSZBKKNKG-QGZVFWFLSA-N 0 0 438.506 -0.258 20 0 IBADRN CC(C)[C@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000877886621 1074469181 /nfs/dbraw/zinc/46/91/81/1074469181.db2.gz UMYLJRPIZCVFOH-BBRMVZONSA-N 0 0 438.506 -0.224 20 0 IBADRN CC(C)[C@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000877886622 1074469212 /nfs/dbraw/zinc/46/92/12/1074469212.db2.gz UMYLJRPIZCVFOH-CJNGLKHVSA-N 0 0 438.506 -0.224 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000877886623 1074469189 /nfs/dbraw/zinc/46/91/89/1074469189.db2.gz UMYLJRPIZCVFOH-CZUORRHYSA-N 0 0 438.506 -0.224 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000877886624 1074469206 /nfs/dbraw/zinc/46/92/06/1074469206.db2.gz UMYLJRPIZCVFOH-XJKSGUPXSA-N 0 0 438.506 -0.224 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1c2ccccc2c(=O)[nH]c1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000878303857 1074469187 /nfs/dbraw/zinc/46/91/87/1074469187.db2.gz DAKHQADZSJDSDB-BLLLJJGKSA-N 0 0 437.474 -0.027 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1c2ccccc2c(=O)[nH]c1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000878303858 1074469165 /nfs/dbraw/zinc/46/91/65/1074469165.db2.gz DAKHQADZSJDSDB-LRDDRELGSA-N 0 0 437.474 -0.027 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1c2ccccc2c(=O)[nH]c1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000878303859 1074469211 /nfs/dbraw/zinc/46/92/11/1074469211.db2.gz DAKHQADZSJDSDB-MLGOLLRUSA-N 0 0 437.474 -0.027 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1c2ccccc2c(=O)[nH]c1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000878303860 1074469467 /nfs/dbraw/zinc/46/94/67/1074469467.db2.gz DAKHQADZSJDSDB-WBMJQRKESA-N 0 0 437.474 -0.027 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000878305076 1074469576 /nfs/dbraw/zinc/46/95/76/1074469576.db2.gz SMELYTYJSXDRQH-BBRMVZONSA-N 0 0 435.524 -0.453 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000878305077 1074469635 /nfs/dbraw/zinc/46/96/35/1074469635.db2.gz SMELYTYJSXDRQH-CJNGLKHVSA-N 0 0 435.524 -0.453 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000878305078 1074469547 /nfs/dbraw/zinc/46/95/47/1074469547.db2.gz SMELYTYJSXDRQH-CZUORRHYSA-N 0 0 435.524 -0.453 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(N)(=O)=O)cn1C)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000878305079 1074469529 /nfs/dbraw/zinc/46/95/29/1074469529.db2.gz SMELYTYJSXDRQH-XJKSGUPXSA-N 0 0 435.524 -0.453 20 0 IBADRN CC(C)[C@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000878374666 1074469599 /nfs/dbraw/zinc/46/95/99/1074469599.db2.gz HLKKEDAXAQGZGW-RYUWCBOHSA-N 0 0 441.506 -0.642 20 0 IBADRN CC(C)[C@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000878374671 1074469592 /nfs/dbraw/zinc/46/95/92/1074469592.db2.gz HLKKEDAXAQGZGW-UUKSILJHSA-N 0 0 441.506 -0.642 20 0 IBADRN CC(C)[C@H](OC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000878374673 1074469490 /nfs/dbraw/zinc/46/94/90/1074469490.db2.gz HLKKEDAXAQGZGW-XYUIJNNJSA-N 0 0 441.506 -0.642 20 0 IBADRN CC(C)[C@H](OC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000878374675 1074469475 /nfs/dbraw/zinc/46/94/75/1074469475.db2.gz HLKKEDAXAQGZGW-YFKVXENWSA-N 0 0 441.506 -0.642 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)O[C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1C ZINC000878589596 1074469499 /nfs/dbraw/zinc/46/94/99/1074469499.db2.gz QGGHCDZVPKBRJH-CYBMUJFWSA-N 0 0 436.490 -0.051 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)O[C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)c1C ZINC000878589597 1074470093 /nfs/dbraw/zinc/47/00/93/1074470093.db2.gz QGGHCDZVPKBRJH-ZDUSSCGKSA-N 0 0 436.490 -0.051 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c1C ZINC000878590206 1074470165 /nfs/dbraw/zinc/47/01/65/1074470165.db2.gz IDZWUPPWXSSZDB-UHFFFAOYSA-N 0 0 440.464 -0.421 20 0 IBADRN NC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC000880163248 1074470721 /nfs/dbraw/zinc/47/07/21/1074470721.db2.gz MAPDWJQCBPRFNA-UHFFFAOYSA-N 0 0 433.328 -0.207 20 0 IBADRN NC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000880164856 1074470865 /nfs/dbraw/zinc/47/08/65/1074470865.db2.gz IRAYFNIGXIVTFE-UHFFFAOYSA-N 0 0 438.428 -0.071 20 0 IBADRN NC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2cccc(Br)c2)CC1 ZINC000880166078 1074470669 /nfs/dbraw/zinc/47/06/69/1074470669.db2.gz MOFVMPICVIBMKF-UHFFFAOYSA-N 0 0 433.328 -0.207 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2CCN(CC(N)=O)CC2)cc1 ZINC000880167255 1074470749 /nfs/dbraw/zinc/47/07/49/1074470749.db2.gz PCQRFWUWOIXCNU-UHFFFAOYSA-N 0 0 426.495 -0.793 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC2CCN(CC(N)=O)CC2)cc1OC ZINC000880185059 1074470887 /nfs/dbraw/zinc/47/08/87/1074470887.db2.gz DJYCZQAWZRVPFJ-UHFFFAOYSA-N 0 0 428.511 -0.562 20 0 IBADRN NC(=O)CN1CCC(NC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000880188825 1074470910 /nfs/dbraw/zinc/47/09/10/1074470910.db2.gz RUIDNJVLLYOFLD-UHFFFAOYSA-N 0 0 433.534 -0.087 20 0 IBADRN NC(=O)CN1CCC(NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000880198269 1074470854 /nfs/dbraw/zinc/47/08/54/1074470854.db2.gz WOEOAXMPLBRYJV-UHFFFAOYSA-N 0 0 440.522 -0.418 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC2CCN(CC(N)=O)CC2)cc1OCC ZINC000880200185 1074470817 /nfs/dbraw/zinc/47/08/17/1074470817.db2.gz UJTYLEZNPAUJJI-UHFFFAOYSA-N 0 0 442.538 -0.172 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NC2CCN(CC(N)=O)CC2)c1 ZINC000880201990 1074470781 /nfs/dbraw/zinc/47/07/81/1074470781.db2.gz WDTXQIKKGGUJFW-UHFFFAOYSA-N 0 0 432.930 -0.056 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1 ZINC000883995831 1074475215 /nfs/dbraw/zinc/47/52/15/1074475215.db2.gz JZYIZAFIGPOHLN-UHFFFAOYSA-N 0 0 445.523 -0.066 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccccc2S(C)(=O)=O)CC1 ZINC000883995838 1074475090 /nfs/dbraw/zinc/47/50/90/1074475090.db2.gz KVQRKUUUNBNXTA-UHFFFAOYSA-N 0 0 439.581 -0.017 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CCc2nc3c(cnn3C)c(=O)[nH]2)CC1 ZINC000886031552 1074478060 /nfs/dbraw/zinc/47/80/60/1074478060.db2.gz LZNKJCPYMBCPET-UHFFFAOYSA-N 0 0 425.515 -0.268 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000886713868 1074478660 /nfs/dbraw/zinc/47/86/60/1074478660.db2.gz SEIZPFOSKBSGJH-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN C[C@H]1CCC[C@H](C)C12NC(=O)N(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000887545034 1074478671 /nfs/dbraw/zinc/47/86/71/1074478671.db2.gz AKGLEAFECHQAKN-HOTGVXAUSA-N 0 0 435.525 -0.306 20 0 IBADRN C[C@@H]1CCC[C@@H](C)C12NC(=O)N(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000887545035 1074478609 /nfs/dbraw/zinc/47/86/09/1074478609.db2.gz AKGLEAFECHQAKN-HZPDHXFCSA-N 0 0 435.525 -0.306 20 0 IBADRN C[C@@H]1CCC[C@H](C)[C@@]12NC(=O)N(CN1CCN(C(=O)C(=O)N3CCOCC3)CC1)C2=O ZINC000887545036 1074478666 /nfs/dbraw/zinc/47/86/66/1074478666.db2.gz AKGLEAFECHQAKN-JIPZTSHUSA-N 0 0 435.525 -0.306 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C(=O)N(C3CCCCC3)C2=O)CC1)N1CCOCC1 ZINC000887545387 1074478588 /nfs/dbraw/zinc/47/85/88/1074478588.db2.gz WNXLTYIXEFTUFP-UHFFFAOYSA-N 0 0 435.481 -0.930 20 0 IBADRN CC(C)CNC(=O)C1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000890509606 1074478632 /nfs/dbraw/zinc/47/86/32/1074478632.db2.gz RJNUEJAZXSEDQQ-GOSISDBHSA-N 0 0 428.599 -0.198 20 0 IBADRN CC(C)CNC(=O)C1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000890509613 1074479079 /nfs/dbraw/zinc/47/90/79/1074479079.db2.gz RJNUEJAZXSEDQQ-SFHVURJKSA-N 0 0 428.599 -0.198 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000891158650 1070908462 /nfs/dbraw/zinc/90/84/62/1070908462.db2.gz PUCRSCRSQOEUPM-CYBMUJFWSA-N 0 0 442.519 -0.753 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000891158653 1070908439 /nfs/dbraw/zinc/90/84/39/1070908439.db2.gz PUCRSCRSQOEUPM-ZDUSSCGKSA-N 0 0 442.519 -0.753 20 0 IBADRN CS(=O)(=O)NCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000893810948 1071531685 /nfs/dbraw/zinc/53/16/85/1071531685.db2.gz SGTNRGJSJROATR-UHFFFAOYSA-N 0 0 425.916 -0.360 20 0 IBADRN Cn1c2ncn(CCC(=O)NCC(=O)Nc3ccc4[nH]c(=O)[nH]c4c3)c2c(=O)n(C)c1=O ZINC000894089167 1071547389 /nfs/dbraw/zinc/54/73/89/1071547389.db2.gz MAXLOCCWABZHFM-UHFFFAOYSA-N 0 0 440.420 -0.839 20 0 IBADRN NC(=O)CN1CCC(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000895538036 1072531082 /nfs/dbraw/zinc/53/10/82/1072531082.db2.gz YSMPKUNYPLDZOV-UHFFFAOYSA-N 0 0 440.522 -0.418 20 0 IBADRN NC(=O)CN1CCC(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000895555924 1072530941 /nfs/dbraw/zinc/53/09/41/1072530941.db2.gz RAIHQHMYFRQITC-UHFFFAOYSA-N 0 0 428.486 -0.474 20 0 IBADRN NC(=O)CN1CCC(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000895557815 1072531497 /nfs/dbraw/zinc/53/14/97/1072531497.db2.gz HFFAXKFPZATZSI-UHFFFAOYSA-N 0 0 428.486 -0.474 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000898464789 1073313728 /nfs/dbraw/zinc/31/37/28/1073313728.db2.gz GVWNDVKWYJTKGL-JTQLQIEISA-N 0 0 441.400 -0.421 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)C(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000898464790 1073313775 /nfs/dbraw/zinc/31/37/75/1073313775.db2.gz GVWNDVKWYJTKGL-SNVBAGLBSA-N 0 0 441.400 -0.421 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC000899220941 1073356187 /nfs/dbraw/zinc/35/61/87/1073356187.db2.gz SFJSABMORZGVQZ-UHFFFAOYSA-N 0 0 442.472 -0.271 20 0 IBADRN Cc1cc(C)n(-c2nnc(SCC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)n2N)n1 ZINC000899271492 1073357296 /nfs/dbraw/zinc/35/72/96/1073357296.db2.gz YVDIMVNJSOVTEN-UHFFFAOYSA-N 0 0 449.585 -0.055 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)OCC(=O)NC2CCN(C(C)=O)CC2)c1=O ZINC000899330841 1073359116 /nfs/dbraw/zinc/35/91/16/1073359116.db2.gz BLFQCPYGHNPTAJ-UHFFFAOYSA-N 0 0 430.461 -0.147 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)OCC(=O)NC2CCN(C(C)=O)CC2)cc1 ZINC000899456309 1073364084 /nfs/dbraw/zinc/36/40/84/1073364084.db2.gz HFJYDBPRPYCXMM-UHFFFAOYSA-N 0 0 441.506 -0.105 20 0 IBADRN O=C(COC(=O)c1cccnc1-n1cccn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000899796285 1073381816 /nfs/dbraw/zinc/38/18/16/1073381816.db2.gz JETDHCQTGZPADZ-HNNXBMFYSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1cccnc1-n1cccn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000899796286 1073381669 /nfs/dbraw/zinc/38/16/69/1073381669.db2.gz JETDHCQTGZPADZ-OAHLLOKOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)Cn1cccc(C(F)(F)F)c1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000899883756 1073387405 /nfs/dbraw/zinc/38/74/05/1073387405.db2.gz GYJQYHHWPXEVID-SECBINFHSA-N 0 0 439.368 -0.577 20 0 IBADRN O=C(COC(=O)Cn1cccc(C(F)(F)F)c1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000899883760 1073387455 /nfs/dbraw/zinc/38/74/55/1073387455.db2.gz GYJQYHHWPXEVID-VIFPVBQESA-N 0 0 439.368 -0.577 20 0 IBADRN C[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)NC(=O)NCC(F)(F)F ZINC000899902172 1073390176 /nfs/dbraw/zinc/39/01/76/1073390176.db2.gz ALJVAQSBLFQDHF-SSDOTTSWSA-N 0 0 425.345 -0.724 20 0 IBADRN C[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)NC(=O)NCC(F)(F)F ZINC000899902173 1073390193 /nfs/dbraw/zinc/39/01/93/1073390193.db2.gz ALJVAQSBLFQDHF-ZETCQYMHSA-N 0 0 425.345 -0.724 20 0 IBADRN CCCS(=O)(=O)Nc1cccc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000900658367 1072666240 /nfs/dbraw/zinc/66/62/40/1072666240.db2.gz FVWOZNBCIATXTG-UHFFFAOYSA-N 0 0 438.462 -0.142 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCc1ccc(COC(=O)Cn2ccc(=O)[nH]c2=O)cc1 ZINC000901387432 1073419399 /nfs/dbraw/zinc/41/93/99/1073419399.db2.gz OSBQUVTZDJWOJA-UHFFFAOYSA-N 0 0 442.384 -0.302 20 0 IBADRN CN1CC(=O)N(CC(=O)OCc2ccc(COC(=O)CN3C(=O)CN(C)C3=O)cc2)C1=O ZINC000901414475 1072679081 /nfs/dbraw/zinc/67/90/81/1072679081.db2.gz GLIOTMZCISANSB-UHFFFAOYSA-N 0 0 446.416 -0.439 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000901414798 1073420286 /nfs/dbraw/zinc/42/02/86/1073420286.db2.gz UFQILDPARDUMNF-MRVPVSSYSA-N 0 0 430.361 -0.110 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000901414799 1073420416 /nfs/dbraw/zinc/42/04/16/1073420416.db2.gz UFQILDPARDUMNF-QMMMGPOBSA-N 0 0 430.361 -0.110 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)c3ccc(NC(N)=O)cc3)c2c(=O)n(C)c1=O ZINC000901886687 1072680960 /nfs/dbraw/zinc/68/09/60/1072680960.db2.gz VGPKKEDSBPVQJF-UHFFFAOYSA-N 0 0 428.405 -0.260 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC000904186981 1073495753 /nfs/dbraw/zinc/49/57/53/1073495753.db2.gz FIBACHWTBPOGQL-GFCCVEGCSA-N 0 0 438.487 -0.198 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC000904186982 1073495825 /nfs/dbraw/zinc/49/58/25/1073495825.db2.gz FIBACHWTBPOGQL-LBPRGKRZSA-N 0 0 438.487 -0.198 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1-n1cccc1 ZINC000904218940 1073497342 /nfs/dbraw/zinc/49/73/42/1073497342.db2.gz VHOGFKLMMDWFOV-HNNXBMFYSA-N 0 0 435.506 -0.301 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1-n1cccc1 ZINC000904218941 1073497042 /nfs/dbraw/zinc/49/70/42/1073497042.db2.gz VHOGFKLMMDWFOV-OAHLLOKOSA-N 0 0 435.506 -0.301 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000904256870 1073497834 /nfs/dbraw/zinc/49/78/34/1073497834.db2.gz KMIXJIVVVHAPEI-AWEZNQCLSA-N 0 0 443.453 -0.650 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000904256871 1073497924 /nfs/dbraw/zinc/49/79/24/1073497924.db2.gz KMIXJIVVVHAPEI-CQSZACIVSA-N 0 0 443.453 -0.650 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000904268402 1073497740 /nfs/dbraw/zinc/49/77/40/1073497740.db2.gz PPQKAUOPRCFYOL-HNNXBMFYSA-N 0 0 443.453 -0.650 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000904268403 1073497695 /nfs/dbraw/zinc/49/76/95/1073497695.db2.gz PPQKAUOPRCFYOL-OAHLLOKOSA-N 0 0 443.453 -0.650 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC000904488747 1073505802 /nfs/dbraw/zinc/50/58/02/1073505802.db2.gz RRTAYTKZISSIIV-UHFFFAOYSA-N 0 0 446.468 -0.420 20 0 IBADRN O=C(COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)NC(=O)NCc1ccco1 ZINC000904502822 1073505857 /nfs/dbraw/zinc/50/58/57/1073505857.db2.gz ISKSXNBONYFSLF-UHFFFAOYSA-N 0 0 428.409 -0.102 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)C(=O)OC ZINC000904533527 1073505921 /nfs/dbraw/zinc/50/59/21/1073505921.db2.gz NSTDSDMIHDOMOD-PXAZEXFGSA-N 0 0 433.469 -0.017 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)C(=O)OC ZINC000904533528 1073505758 /nfs/dbraw/zinc/50/57/58/1073505758.db2.gz NSTDSDMIHDOMOD-SJCJKPOMSA-N 0 0 433.469 -0.017 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)C(=O)OC ZINC000904533529 1073505815 /nfs/dbraw/zinc/50/58/15/1073505815.db2.gz NSTDSDMIHDOMOD-SJKOYZFVSA-N 0 0 433.469 -0.017 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)C(=O)OC ZINC000904533530 1073505831 /nfs/dbraw/zinc/50/58/31/1073505831.db2.gz NSTDSDMIHDOMOD-YVEFUNNKSA-N 0 0 433.469 -0.017 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCc2nc(-c3cn(C)c(=O)[nH]c3=O)no2)c1 ZINC000904839341 1073510454 /nfs/dbraw/zinc/51/04/54/1073510454.db2.gz OPGYPGSLXJUPTB-UHFFFAOYSA-N 0 0 434.434 -0.284 20 0 IBADRN Cn1cc(-c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)c(I)n1 ZINC000904848043 1073510464 /nfs/dbraw/zinc/51/04/64/1073510464.db2.gz DUGSFQVTAZQICQ-UHFFFAOYSA-N 0 0 430.162 -0.004 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(Cc2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)C1=O ZINC000904851502 1073510427 /nfs/dbraw/zinc/51/04/27/1073510427.db2.gz ZALRBUITJMDYPP-IBGZPJMESA-N 0 0 426.389 -0.042 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(Cc2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)C1=O ZINC000904851507 1073510447 /nfs/dbraw/zinc/51/04/47/1073510447.db2.gz ZALRBUITJMDYPP-LJQANCHMSA-N 0 0 426.389 -0.042 20 0 IBADRN Cn1cc(I)c(-c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)n1 ZINC000904851609 1073510403 /nfs/dbraw/zinc/51/04/03/1073510403.db2.gz CATHVVQTYGWXSY-UHFFFAOYSA-N 0 0 430.162 -0.004 20 0 IBADRN Cn1ncc(-c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)c1I ZINC000904853372 1073510309 /nfs/dbraw/zinc/51/03/09/1073510309.db2.gz OLERPVCAIRXURZ-UHFFFAOYSA-N 0 0 430.162 -0.004 20 0 IBADRN Cn1nc(I)cc1-c1nc(-c2cn(CCO)c(=O)[nH]c2=O)no1 ZINC000904853893 1073509825 /nfs/dbraw/zinc/50/98/25/1073509825.db2.gz SWGZKRFRKICJRJ-UHFFFAOYSA-N 0 0 430.162 -0.004 20 0 IBADRN Cn1ncc(I)c1-c1nc(-c2cn(CCO)c(=O)[nH]c2=O)no1 ZINC000904854134 1073509941 /nfs/dbraw/zinc/50/99/41/1073509941.db2.gz WDTSCZSWBSGDCK-UHFFFAOYSA-N 0 0 430.162 -0.004 20 0 IBADRN CCc1c(-c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)cnc2c1c(=O)n(C)c(=O)n2C ZINC000904855644 1073509982 /nfs/dbraw/zinc/50/99/82/1073509982.db2.gz LHZRUEZBCUZRBF-UHFFFAOYSA-N 0 0 441.404 -0.834 20 0 IBADRN CCN1C(=O)c2ccc(-c3nc(-c4cn(CCO)c(=O)[nH]c4=O)no3)cc2S1(=O)=O ZINC000904857562 1073509841 /nfs/dbraw/zinc/50/98/41/1073509841.db2.gz DUMKQMOOWBOEIB-UHFFFAOYSA-N 0 0 433.402 -0.177 20 0 IBADRN O=c1[nH]c(=O)n(CCO)cc1-c1noc(CCNS(=O)(=O)c2ccccc2F)n1 ZINC000904857940 1073509896 /nfs/dbraw/zinc/50/98/96/1073509896.db2.gz CZFRXZCNBLIVIN-UHFFFAOYSA-N 0 0 425.398 -0.349 20 0 IBADRN O=c1ccn(Cc2ccccc2)c(=O)n1Cc1nc(-c2cn(CCO)c(=O)[nH]c2=O)no1 ZINC000904858611 1073509785 /nfs/dbraw/zinc/50/97/85/1073509785.db2.gz GXTXDDFPVPSYMZ-UHFFFAOYSA-N 0 0 438.400 -0.589 20 0 IBADRN O=C1c2ccc(-c3nc(-c4cn(CCO)c(=O)[nH]c4=O)no3)cc2S(=O)(=O)N1C1CC1 ZINC000904858770 1073510395 /nfs/dbraw/zinc/51/03/95/1073510395.db2.gz OODPDAQIUCFQDG-UHFFFAOYSA-N 0 0 445.413 -0.035 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(Cc2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)C(=O)C1 ZINC000904859314 1073510858 /nfs/dbraw/zinc/51/08/58/1073510858.db2.gz BXNKRUPDFZSASH-UHFFFAOYSA-N 0 0 436.425 -0.430 20 0 IBADRN O=c1[nH]c(=O)n(CCO)cc1-c1noc(-c2cccc(CN3CCS(=O)(=O)CC3)c2)n1 ZINC000904859597 1073510812 /nfs/dbraw/zinc/51/08/12/1073510812.db2.gz LPHBBTADECJPLZ-UHFFFAOYSA-N 0 0 447.473 -0.111 20 0 IBADRN COCCNS(=O)(=O)c1cccc(-c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)c1 ZINC000904859741 1073510931 /nfs/dbraw/zinc/51/09/31/1073510931.db2.gz DNCNRLDMIUBHPG-UHFFFAOYSA-N 0 0 437.434 -0.417 20 0 IBADRN NC(=O)[C@H]1CC(c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)=NN1c1ccc(F)cc1 ZINC000904860101 1073510830 /nfs/dbraw/zinc/51/08/30/1073510830.db2.gz HCROYBKXPLLLBE-CYBMUJFWSA-N 0 0 429.368 -0.401 20 0 IBADRN NC(=O)[C@@H]1CC(c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)=NN1c1ccc(F)cc1 ZINC000904860103 1073510942 /nfs/dbraw/zinc/51/09/42/1073510942.db2.gz HCROYBKXPLLLBE-ZDUSSCGKSA-N 0 0 429.368 -0.401 20 0 IBADRN COCCNS(=O)(=O)c1ccc(-c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)cc1 ZINC000904860881 1073511005 /nfs/dbraw/zinc/51/10/05/1073511005.db2.gz LHLUXVFFNBMLOA-UHFFFAOYSA-N 0 0 437.434 -0.417 20 0 IBADRN O=c1[nH]c(=O)n(CCO)cc1-c1noc(CCNS(=O)(=O)c2ccc(F)cc2)n1 ZINC000904861363 1073511030 /nfs/dbraw/zinc/51/10/30/1073511030.db2.gz VBSBMCNUUPEJFU-UHFFFAOYSA-N 0 0 425.398 -0.349 20 0 IBADRN CCn1c(=O)c2ccccc2n(Cc2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)c1=O ZINC000904861544 1073511017 /nfs/dbraw/zinc/51/10/17/1073511017.db2.gz SIMQKWRTBWCAGV-UHFFFAOYSA-N 0 0 426.389 -0.464 20 0 IBADRN O=c1[nH]c(=O)n(CCO)cc1-c1noc(CCNS(=O)(=O)c2ccc(F)c(F)c2)n1 ZINC000904861694 1073510869 /nfs/dbraw/zinc/51/08/69/1073510869.db2.gz VUGASJFZWSCLHW-UHFFFAOYSA-N 0 0 443.388 -0.210 20 0 IBADRN CS(=O)(=O)NCCc1ccc(-c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)s1 ZINC000904862009 1073510845 /nfs/dbraw/zinc/51/08/45/1073510845.db2.gz WQIVYKSIVCOLJQ-UHFFFAOYSA-N 0 0 427.464 -0.189 20 0 IBADRN O=C1N[C@]2(CCc3ccccc32)C(=O)N1Cc1nc(-c2cn(CCO)c(=O)[nH]c2=O)no1 ZINC000904863221 1073510883 /nfs/dbraw/zinc/51/08/83/1073510883.db2.gz REGNOWZDVQMJKD-FQEVSTJZSA-N 0 0 438.400 -0.115 20 0 IBADRN O=C1N[C@@]2(CCc3ccccc32)C(=O)N1Cc1nc(-c2cn(CCO)c(=O)[nH]c2=O)no1 ZINC000904863222 1073511053 /nfs/dbraw/zinc/51/10/53/1073511053.db2.gz REGNOWZDVQMJKD-HXUWFJFHSA-N 0 0 438.400 -0.115 20 0 IBADRN COc1ccc(-c2nc(-c3cn(CCO)c(=O)[nH]c3=O)no2)cc1S(=O)(=O)N(C)C ZINC000904863657 1073511066 /nfs/dbraw/zinc/51/10/66/1073511066.db2.gz ZSNZDINZNABHDG-UHFFFAOYSA-N 0 0 437.434 -0.083 20 0 IBADRN O=c1[nH]c(=O)n(CCO)cc1-c1noc(-c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC000904864156 1073511446 /nfs/dbraw/zinc/51/14/46/1073511446.db2.gz IYVAVFAOYVDTAL-UHFFFAOYSA-N 0 0 449.445 -0.321 20 0 IBADRN O=c1[nH]c(=O)n(CCO)cc1-c1noc(-c2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC000904864705 1073511471 /nfs/dbraw/zinc/51/14/71/1073511471.db2.gz QRKNYBYNYSWCND-UHFFFAOYSA-N 0 0 449.445 -0.321 20 0 IBADRN Cc1c(-c2noc(-c3cc(S(N)(=O)=O)ccc3N3CCOCC3)n2)c(=O)[nH]c(=O)n1C ZINC000904901052 1073512732 /nfs/dbraw/zinc/51/27/32/1073512732.db2.gz DXVSQWWWYFNFLW-UHFFFAOYSA-N 0 0 448.461 -0.005 20 0 IBADRN Cc1c(-c2noc(-c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)n2)c(=O)[nH]c(=O)n1C ZINC000904906965 1073512094 /nfs/dbraw/zinc/51/20/94/1073512094.db2.gz QTHVDIVCRBOEMD-UHFFFAOYSA-N 0 0 446.445 -0.368 20 0 IBADRN Cc1c(-c2noc(-c3cc(S(=O)(=O)N4CCOCC4)cn3C)n2)c(=O)[nH]c(=O)n1C ZINC000904907298 1073512177 /nfs/dbraw/zinc/51/21/77/1073512177.db2.gz XHGRTMBDJYOQNC-UHFFFAOYSA-N 0 0 436.450 -0.129 20 0 IBADRN Cc1c(-c2noc(-c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)n2)c(=O)[nH]c(=O)n1C ZINC000904908954 1073512015 /nfs/dbraw/zinc/51/20/15/1073512015.db2.gz MGFTWHQPAFBRFR-UHFFFAOYSA-N 0 0 446.445 -0.368 20 0 IBADRN O=C(Nn1cnc2ccccc2c1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000906284063 1073533841 /nfs/dbraw/zinc/53/38/41/1073533841.db2.gz IETDCRZTGNTBSZ-UHFFFAOYSA-N 0 0 427.442 -0.099 20 0 IBADRN CN(CC(=O)NCc1ccco1)C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000906374728 1073534998 /nfs/dbraw/zinc/53/49/98/1073534998.db2.gz KXLHVDBVAIYOCK-UHFFFAOYSA-N 0 0 434.474 -0.212 20 0 IBADRN O=C(NN1C(=O)c2ccccc2C1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000906471871 1073535402 /nfs/dbraw/zinc/53/54/02/1073535402.db2.gz YACAMRJVPLBUSE-UHFFFAOYSA-N 0 0 428.426 -0.252 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCc2ccc(S(N)(=O)=O)s2)cc1 ZINC000906474122 1073535993 /nfs/dbraw/zinc/53/59/93/1073535993.db2.gz HOEXFSGTVUYLFA-UHFFFAOYSA-N 0 0 425.535 -0.218 20 0 IBADRN CCCCNC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC000906476548 1073535771 /nfs/dbraw/zinc/53/57/71/1073535771.db2.gz MXJHYVVCZGKLRL-UHFFFAOYSA-N 0 0 432.568 -0.183 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000906476634 1073535983 /nfs/dbraw/zinc/53/59/83/1073535983.db2.gz QIDWUTZXNFIHRW-GFCCVEGCSA-N 0 0 426.538 -0.581 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000906476636 1073535789 /nfs/dbraw/zinc/53/57/89/1073535789.db2.gz QIDWUTZXNFIHRW-LBPRGKRZSA-N 0 0 426.538 -0.581 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(CCCOC)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000906477117 1073535818 /nfs/dbraw/zinc/53/58/18/1073535818.db2.gz IYDHAZGNWHSDSR-CYBMUJFWSA-N 0 0 440.565 -0.191 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N(CCCOC)[C@H]2CCS(=O)(=O)C2)cc1 ZINC000906477118 1073535806 /nfs/dbraw/zinc/53/58/06/1073535806.db2.gz IYDHAZGNWHSDSR-ZDUSSCGKSA-N 0 0 440.565 -0.191 20 0 IBADRN O=C(NN1C(=O)NC2(CCCCC2)C1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000906477692 1073536040 /nfs/dbraw/zinc/53/60/40/1073536040.db2.gz AENPHNBNQKBWFE-UHFFFAOYSA-N 0 0 449.489 -0.293 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000906477765 1073535869 /nfs/dbraw/zinc/53/58/69/1073535869.db2.gz HEYFPERZRFZMIO-CYBMUJFWSA-N 0 0 437.565 -0.912 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000906477766 1073536444 /nfs/dbraw/zinc/53/64/44/1073536444.db2.gz HEYFPERZRFZMIO-ZDUSSCGKSA-N 0 0 437.565 -0.912 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2ccc3oc(=O)ccc3c2)CC1)N1CCOCC1 ZINC000906482089 1073536283 /nfs/dbraw/zinc/53/62/83/1073536283.db2.gz FXVKEXUPCCILIH-UHFFFAOYSA-N 0 0 435.458 -0.515 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC000906487248 1073535352 /nfs/dbraw/zinc/53/53/52/1073535352.db2.gz ROQKCJNLCKKTRU-UHFFFAOYSA-N 0 0 439.581 -0.017 20 0 IBADRN O=C(NCCc1nnc2ccccn21)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000906545929 1073536976 /nfs/dbraw/zinc/53/69/76/1073536976.db2.gz LVIFWSZJFZMFCJ-UHFFFAOYSA-N 0 0 428.474 -0.178 20 0 IBADRN Cc1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1NS(C)(=O)=O ZINC000907593774 1073547019 /nfs/dbraw/zinc/54/70/19/1073547019.db2.gz NENIBKNFKQWHQW-UHFFFAOYSA-N 0 0 435.462 -0.029 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cccc(NC(=O)[C@@H]3CCCO3)c2)c(=O)n(C)c1=O ZINC000907718913 1073548101 /nfs/dbraw/zinc/54/81/01/1073548101.db2.gz SSANUFDOCSVAEO-AWEZNQCLSA-N 0 0 430.417 -0.177 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2cccc(NC(=O)[C@H]3CCCO3)c2)c(=O)n(C)c1=O ZINC000907718914 1073548011 /nfs/dbraw/zinc/54/80/11/1073548011.db2.gz SSANUFDOCSVAEO-CQSZACIVSA-N 0 0 430.417 -0.177 20 0 IBADRN Cc1nc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)sc1C1N=NC(=S)N1C ZINC000911597188 1073595372 /nfs/dbraw/zinc/59/53/72/1073595372.db2.gz FVXDMABQYQJRDU-UHFFFAOYSA-N 0 0 447.506 -0.078 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC000912021231 1073601995 /nfs/dbraw/zinc/60/19/95/1073601995.db2.gz YYEMUQFEHZPUGT-BFVZDQMLSA-N 0 0 436.870 -0.411 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)O ZINC000912021232 1073601967 /nfs/dbraw/zinc/60/19/67/1073601967.db2.gz YYEMUQFEHZPUGT-BHDSKKPTSA-N 0 0 436.870 -0.411 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC000912021233 1073601841 /nfs/dbraw/zinc/60/18/41/1073601841.db2.gz YYEMUQFEHZPUGT-ISTVAULSSA-N 0 0 436.870 -0.411 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)O ZINC000912021234 1073601855 /nfs/dbraw/zinc/60/18/55/1073601855.db2.gz YYEMUQFEHZPUGT-PKFCDNJMSA-N 0 0 436.870 -0.411 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000912317011 1073609046 /nfs/dbraw/zinc/60/90/46/1073609046.db2.gz ZNTIXGCPNQCMBQ-BFUOFWGJSA-N 0 0 449.485 -0.631 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000912317012 1073608677 /nfs/dbraw/zinc/60/86/77/1073608677.db2.gz ZNTIXGCPNQCMBQ-DJJJIMSYSA-N 0 0 449.485 -0.631 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000912317013 1073608795 /nfs/dbraw/zinc/60/87/95/1073608795.db2.gz ZNTIXGCPNQCMBQ-ORAYPTAESA-N 0 0 449.485 -0.631 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc2ccccc2c1)C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000912317014 1073608943 /nfs/dbraw/zinc/60/89/43/1073608943.db2.gz ZNTIXGCPNQCMBQ-YJYMSZOUSA-N 0 0 449.485 -0.631 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@H](NS(=O)(=O)c1ccc(C)c(C)c1)[C@@H](C)O ZINC000912322573 1073608860 /nfs/dbraw/zinc/60/88/60/1073608860.db2.gz RXUAWSFVVOROAW-DVOMOZLQSA-N 0 0 430.479 -0.448 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@H](NS(=O)(=O)c1ccc(C)c(C)c1)[C@H](C)O ZINC000912322574 1073608915 /nfs/dbraw/zinc/60/89/15/1073608915.db2.gz RXUAWSFVVOROAW-HEHGZKQESA-N 0 0 430.479 -0.448 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H](NS(=O)(=O)c1ccc(C)c(C)c1)[C@@H](C)O ZINC000912322575 1073608931 /nfs/dbraw/zinc/60/89/31/1073608931.db2.gz RXUAWSFVVOROAW-WWGRRREGSA-N 0 0 430.479 -0.448 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H](NS(=O)(=O)c1ccc(C)c(C)c1)[C@H](C)O ZINC000912322576 1073608923 /nfs/dbraw/zinc/60/89/23/1073608923.db2.gz RXUAWSFVVOROAW-XEZPLFJOSA-N 0 0 430.479 -0.448 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H](CCSC)NS(=O)(=O)CC)CC1 ZINC000912516003 1073611741 /nfs/dbraw/zinc/61/17/41/1073611741.db2.gz OJPILHJBIODKGH-AWEZNQCLSA-N 0 0 444.645 -0.222 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@@H](CCSC)NS(=O)(=O)CC)CC1 ZINC000912516006 1073611633 /nfs/dbraw/zinc/61/16/33/1073611633.db2.gz OJPILHJBIODKGH-CQSZACIVSA-N 0 0 444.645 -0.222 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000912528316 1073612425 /nfs/dbraw/zinc/61/24/25/1073612425.db2.gz VDOYKRBQUHHECK-UHFFFAOYSA-N 0 0 444.491 -0.100 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000912528630 1073612238 /nfs/dbraw/zinc/61/22/38/1073612238.db2.gz ZJMVUWSQMZHOFV-UHFFFAOYSA-N 0 0 444.491 -0.304 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000912529420 1073612406 /nfs/dbraw/zinc/61/24/06/1073612406.db2.gz DAOKUXRALNWXSB-UHFFFAOYSA-N 0 0 439.475 -0.348 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000912530062 1073612321 /nfs/dbraw/zinc/61/23/21/1073612321.db2.gz LLLPPKZBALNNLD-UHFFFAOYSA-N 0 0 447.495 -0.114 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000912530069 1073612416 /nfs/dbraw/zinc/61/24/16/1073612416.db2.gz LOCRFXGWWZHIPM-UHFFFAOYSA-N 0 0 433.468 -0.504 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000912531061 1073612395 /nfs/dbraw/zinc/61/23/95/1073612395.db2.gz SXWAPBASDGVKBC-UHFFFAOYSA-N 0 0 438.491 -0.228 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC000912540476 1073612307 /nfs/dbraw/zinc/61/23/07/1073612307.db2.gz DHQGEUVANTWXFY-UHFFFAOYSA-N 0 0 430.464 -0.444 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NS(=O)(=O)c2n[nH]cc2C(=O)OC)c1 ZINC000912544611 1073612325 /nfs/dbraw/zinc/61/23/25/1073612325.db2.gz QAFMJBCAWKWYFO-SECBINFHSA-N 0 0 445.479 -0.590 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NS(=O)(=O)c2n[nH]cc2C(=O)OC)c1 ZINC000912544612 1073613012 /nfs/dbraw/zinc/61/30/12/1073613012.db2.gz QAFMJBCAWKWYFO-VIFPVBQESA-N 0 0 445.479 -0.590 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC000912546688 1073611687 /nfs/dbraw/zinc/61/16/87/1073611687.db2.gz GMCWHQWBSOQHMI-UHFFFAOYSA-N 0 0 426.476 -0.038 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC000912550596 1073613153 /nfs/dbraw/zinc/61/31/53/1073613153.db2.gz RPUOJTQKFQGKIP-UHFFFAOYSA-N 0 0 430.455 -0.124 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000912551670 1073613087 /nfs/dbraw/zinc/61/30/87/1073613087.db2.gz BYXHUJOYWXMSLF-UHFFFAOYSA-N 0 0 432.484 -0.687 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC000912551949 1073613047 /nfs/dbraw/zinc/61/30/47/1073613047.db2.gz FIDYAMZWSJSJCJ-UHFFFAOYSA-N 0 0 428.514 -0.673 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC000912553598 1073612980 /nfs/dbraw/zinc/61/29/80/1073612980.db2.gz MKVZYZQSKISIPQ-UHFFFAOYSA-N 0 0 429.480 -0.645 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC000912554806 1073613109 /nfs/dbraw/zinc/61/31/09/1073613109.db2.gz XOXOAENOPHKEKZ-CYBMUJFWSA-N 0 0 434.540 -0.222 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC000912554807 1073613036 /nfs/dbraw/zinc/61/30/36/1073613036.db2.gz XOXOAENOPHKEKZ-ZDUSSCGKSA-N 0 0 434.540 -0.222 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000912625210 1073615199 /nfs/dbraw/zinc/61/51/99/1073615199.db2.gz DTIBQQOKWTYZFC-UHFFFAOYSA-N 0 0 437.453 -0.214 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(=O)(=O)N(C)C)ccc1F ZINC000912663683 1073617858 /nfs/dbraw/zinc/61/78/58/1073617858.db2.gz OWYQXDOLLBQZOC-GFCCVEGCSA-N 0 0 449.526 -0.159 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(=O)(=O)N(C)C)ccc1F ZINC000912663684 1073617677 /nfs/dbraw/zinc/61/76/77/1073617677.db2.gz OWYQXDOLLBQZOC-LBPRGKRZSA-N 0 0 449.526 -0.159 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1C(=O)c1ccccc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000912682257 1073617611 /nfs/dbraw/zinc/61/76/11/1073617611.db2.gz QXNBYYMVGYBNLG-CABCVRRESA-N 0 0 437.474 -0.153 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1C(=O)c1ccccc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000912682258 1073617569 /nfs/dbraw/zinc/61/75/69/1073617569.db2.gz QXNBYYMVGYBNLG-GJZGRUSLSA-N 0 0 437.474 -0.153 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1C(=O)c1ccccc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000912682259 1073617741 /nfs/dbraw/zinc/61/77/41/1073617741.db2.gz QXNBYYMVGYBNLG-HUUCEWRRSA-N 0 0 437.474 -0.153 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1C(=O)c1ccccc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000912682260 1073617799 /nfs/dbraw/zinc/61/77/99/1073617799.db2.gz QXNBYYMVGYBNLG-LSDHHAIUSA-N 0 0 437.474 -0.153 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000912684767 1073617828 /nfs/dbraw/zinc/61/78/28/1073617828.db2.gz BSYVZKKQHYMPMR-KBPBESRZSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC000912684768 1073617874 /nfs/dbraw/zinc/61/78/74/1073617874.db2.gz BSYVZKKQHYMPMR-OKILXGFUSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H](C)O1 ZINC000912684769 1073617779 /nfs/dbraw/zinc/61/77/79/1073617779.db2.gz BSYVZKKQHYMPMR-ZIAGYGMSSA-N 0 0 439.490 -0.400 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685009 1073619646 /nfs/dbraw/zinc/61/96/46/1073619646.db2.gz OMLNVLPSVXRTRF-UHFFFAOYSA-N 0 0 440.478 -0.412 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NC[C@@H]1CCCO1 ZINC000912685186 1073619667 /nfs/dbraw/zinc/61/96/67/1073619667.db2.gz XJLJLGNLZIQZBY-AWEZNQCLSA-N 0 0 425.463 -0.741 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NC[C@H]1CCCO1 ZINC000912685187 1073619700 /nfs/dbraw/zinc/61/97/00/1073619700.db2.gz XJLJLGNLZIQZBY-CQSZACIVSA-N 0 0 425.463 -0.741 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685236 1073619704 /nfs/dbraw/zinc/61/97/04/1073619704.db2.gz ADUCIUIDCQKVFG-UHFFFAOYSA-N 0 0 426.451 -0.800 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685256 1073619725 /nfs/dbraw/zinc/61/97/25/1073619725.db2.gz BEGREXBVRRHORM-LLVKDONJSA-N 0 0 426.451 -0.802 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685257 1073619708 /nfs/dbraw/zinc/61/97/08/1073619708.db2.gz BEGREXBVRRHORM-NSHDSACASA-N 0 0 426.451 -0.802 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685300 1073619691 /nfs/dbraw/zinc/61/96/91/1073619691.db2.gz CUBSHVWCPQFVIF-UHFFFAOYSA-N 0 0 440.478 -0.554 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NC(=O)c1ccccc1 ZINC000912685302 1073619675 /nfs/dbraw/zinc/61/96/75/1073619675.db2.gz CZECOLLAALDKQO-UHFFFAOYSA-N 0 0 445.453 -0.080 20 0 IBADRN C[C@@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOCC1 ZINC000912685314 1073619715 /nfs/dbraw/zinc/61/97/15/1073619715.db2.gz DFOCQKQGLMLESH-CYBMUJFWSA-N 0 0 425.463 -0.789 20 0 IBADRN C[C@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)N1CCOCC1 ZINC000912685315 1073619799 /nfs/dbraw/zinc/61/97/99/1073619799.db2.gz DFOCQKQGLMLESH-ZDUSSCGKSA-N 0 0 425.463 -0.789 20 0 IBADRN CCCCNC(=O)NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685387 1073619729 /nfs/dbraw/zinc/61/97/29/1073619729.db2.gz HIEUPEHXYXZCRC-UHFFFAOYSA-N 0 0 440.478 -0.410 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685709 1073620342 /nfs/dbraw/zinc/62/03/42/1073620342.db2.gz VSTRJHJTORHXHI-UHFFFAOYSA-N 0 0 449.489 -0.047 20 0 IBADRN CC(C)[C@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC(N)=O ZINC000912685798 1073620337 /nfs/dbraw/zinc/62/03/37/1073620337.db2.gz YZTZOGLHSHQTLI-AWEZNQCLSA-N 0 0 426.451 -0.817 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC(N)=O ZINC000912685799 1073620321 /nfs/dbraw/zinc/62/03/21/1073620321.db2.gz YZTZOGLHSHQTLI-CQSZACIVSA-N 0 0 426.451 -0.817 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000912685854 1073620359 /nfs/dbraw/zinc/62/03/59/1073620359.db2.gz BASODWSXYAGXPH-CYBMUJFWSA-N 0 0 425.463 -0.789 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC000912685855 1073620345 /nfs/dbraw/zinc/62/03/45/1073620345.db2.gz BASODWSXYAGXPH-ZDUSSCGKSA-N 0 0 425.463 -0.789 20 0 IBADRN C[C@@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)Nc1ncccn1 ZINC000912685870 1073620366 /nfs/dbraw/zinc/62/03/66/1073620366.db2.gz BWMGDHOXDLXWHV-GFCCVEGCSA-N 0 0 433.446 -0.219 20 0 IBADRN C[C@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)Nc1ncccn1 ZINC000912685871 1073620301 /nfs/dbraw/zinc/62/03/01/1073620301.db2.gz BWMGDHOXDLXWHV-LBPRGKRZSA-N 0 0 433.446 -0.219 20 0 IBADRN Cc1cc(NC(=O)COC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n(C)n1 ZINC000912685900 1073620339 /nfs/dbraw/zinc/62/03/39/1073620339.db2.gz CSNRDHIESXDYMV-UHFFFAOYSA-N 0 0 435.462 -0.355 20 0 IBADRN CCOCCCNC(=O)[C@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685949 1073620355 /nfs/dbraw/zinc/62/03/55/1073620355.db2.gz FJZWARGOUSEUGC-AWEZNQCLSA-N 0 0 441.506 -0.105 20 0 IBADRN CCOCCCNC(=O)[C@@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912685950 1073620384 /nfs/dbraw/zinc/62/03/84/1073620384.db2.gz FJZWARGOUSEUGC-CQSZACIVSA-N 0 0 441.506 -0.105 20 0 IBADRN COCCCNC(=O)[C@@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912686044 1073620276 /nfs/dbraw/zinc/62/02/76/1073620276.db2.gz JXFZNFCXCVWOTG-CYBMUJFWSA-N 0 0 427.479 -0.495 20 0 IBADRN COCCCNC(=O)[C@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912686045 1073620371 /nfs/dbraw/zinc/62/03/71/1073620371.db2.gz JXFZNFCXCVWOTG-ZDUSSCGKSA-N 0 0 427.479 -0.495 20 0 IBADRN C[C@@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC(=O)NC1CC1 ZINC000912686062 1073620333 /nfs/dbraw/zinc/62/03/33/1073620333.db2.gz KUJIIFOGWCNDSC-LLVKDONJSA-N 0 0 438.462 -0.659 20 0 IBADRN C[C@H](OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NC(=O)NC1CC1 ZINC000912686063 1073620294 /nfs/dbraw/zinc/62/02/94/1073620294.db2.gz KUJIIFOGWCNDSC-NSHDSACASA-N 0 0 438.462 -0.659 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912686127 1073620329 /nfs/dbraw/zinc/62/03/29/1073620329.db2.gz MZSDFZOIZIXZDZ-GFCCVEGCSA-N 0 0 440.478 -0.413 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000912686128 1073620288 /nfs/dbraw/zinc/62/02/88/1073620288.db2.gz MZSDFZOIZIXZDZ-LBPRGKRZSA-N 0 0 440.478 -0.413 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1=O ZINC000912703021 1073621055 /nfs/dbraw/zinc/62/10/55/1073621055.db2.gz XXJOSSJNYBBUCM-AWEZNQCLSA-N 0 0 428.445 -0.228 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1=O ZINC000912703022 1073620925 /nfs/dbraw/zinc/62/09/25/1073620925.db2.gz XXJOSSJNYBBUCM-CQSZACIVSA-N 0 0 428.445 -0.228 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC000912703770 1073621665 /nfs/dbraw/zinc/62/16/65/1073621665.db2.gz PNSNIZAGSMDSHY-AWEZNQCLSA-N 0 0 442.494 -0.464 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC000912703771 1073621718 /nfs/dbraw/zinc/62/17/18/1073621718.db2.gz PNSNIZAGSMDSHY-CQSZACIVSA-N 0 0 442.494 -0.464 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H]3CCN(C)C(=O)C3)C2=O)cc1 ZINC000912704107 1073621779 /nfs/dbraw/zinc/62/17/79/1073621779.db2.gz ZKEMWOQISNWRSW-FKIZINRSSA-N 0 0 432.433 -0.095 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H]3CCN(C)C(=O)C3)C2=O)cc1 ZINC000912704112 1073621661 /nfs/dbraw/zinc/62/16/61/1073621661.db2.gz ZKEMWOQISNWRSW-MPBGBICISA-N 0 0 432.433 -0.095 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H]3CCN(C)C(=O)C3)C2=O)cc1 ZINC000912704114 1073621705 /nfs/dbraw/zinc/62/17/05/1073621705.db2.gz ZKEMWOQISNWRSW-ODXCJYRJSA-N 0 0 432.433 -0.095 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H]3CCN(C)C(=O)C3)C2=O)cc1 ZINC000912704117 1073621576 /nfs/dbraw/zinc/62/15/76/1073621576.db2.gz ZKEMWOQISNWRSW-YUNKPMOVSA-N 0 0 432.433 -0.095 20 0 IBADRN COc1ccc(NCC(=O)N2CCN(C(C)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000912726462 1073622373 /nfs/dbraw/zinc/62/23/73/1073622373.db2.gz HEFDIYXPLLCAIV-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN O=C(COC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NCC(=O)N1CCCC1 ZINC000912770710 1073623767 /nfs/dbraw/zinc/62/37/67/1073623767.db2.gz GUTYOPLYPSDTTA-NRFANRHFSA-N 0 0 428.445 -0.338 20 0 IBADRN O=C(COC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)NCC(=O)N1CCCC1 ZINC000912770711 1073623840 /nfs/dbraw/zinc/62/38/40/1073623840.db2.gz GUTYOPLYPSDTTA-OAQYLSRUSA-N 0 0 428.445 -0.338 20 0 IBADRN CC(C)[C@H](OC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)N1CCOCC1 ZINC000912815786 1073632064 /nfs/dbraw/zinc/63/20/64/1073632064.db2.gz PYZMFMLSLZCDPI-BBRMVZONSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)[C@H](OC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)N1CCOCC1 ZINC000912815787 1073631979 /nfs/dbraw/zinc/63/19/79/1073631979.db2.gz PYZMFMLSLZCDPI-CJNGLKHVSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)N1CCOCC1 ZINC000912815788 1073632035 /nfs/dbraw/zinc/63/20/35/1073632035.db2.gz PYZMFMLSLZCDPI-CZUORRHYSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(=O)N1CCOCC1 ZINC000912815789 1073632097 /nfs/dbraw/zinc/63/20/97/1073632097.db2.gz PYZMFMLSLZCDPI-XJKSGUPXSA-N 0 0 429.495 -0.422 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)O[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)C1=O ZINC000912829297 1073633466 /nfs/dbraw/zinc/63/34/66/1073633466.db2.gz UVBYKVQIEODBIK-GXTWGEPZSA-N 0 0 431.511 -0.032 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)O[C@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)C1=O ZINC000912829298 1073633424 /nfs/dbraw/zinc/63/34/24/1073633424.db2.gz UVBYKVQIEODBIK-JSGCOSHPSA-N 0 0 431.511 -0.032 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)O[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)C1=O ZINC000912829300 1073633458 /nfs/dbraw/zinc/63/34/58/1073633458.db2.gz UVBYKVQIEODBIK-OCCSQVGLSA-N 0 0 431.511 -0.032 20 0 IBADRN CCC1(CC)NC(=O)N(CC(=O)O[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)C1=O ZINC000912829302 1073633330 /nfs/dbraw/zinc/63/33/30/1073633330.db2.gz UVBYKVQIEODBIK-TZMCWYRMSA-N 0 0 431.511 -0.032 20 0 IBADRN CCOC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000912876980 1073637585 /nfs/dbraw/zinc/63/75/85/1073637585.db2.gz HGMIXPDQZWPBNU-CVEARBPZSA-N 0 0 445.538 -0.271 20 0 IBADRN CCOC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000912876981 1073637578 /nfs/dbraw/zinc/63/75/78/1073637578.db2.gz HGMIXPDQZWPBNU-HOTGVXAUSA-N 0 0 445.538 -0.271 20 0 IBADRN CCOC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000912876982 1073637535 /nfs/dbraw/zinc/63/75/35/1073637535.db2.gz HGMIXPDQZWPBNU-HZPDHXFCSA-N 0 0 445.538 -0.271 20 0 IBADRN CCOC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000912876983 1073637595 /nfs/dbraw/zinc/63/75/95/1073637595.db2.gz HGMIXPDQZWPBNU-JKSUJKDBSA-N 0 0 445.538 -0.271 20 0 IBADRN CCc1nnc(SCC(=O)NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)[nH]1 ZINC000912944328 1073639406 /nfs/dbraw/zinc/63/94/06/1073639406.db2.gz XWTOLRLQTSEPLA-UHFFFAOYSA-N 0 0 442.523 -0.225 20 0 IBADRN COc1ccc(C(=O)OCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000912957513 1073639441 /nfs/dbraw/zinc/63/94/41/1073639441.db2.gz BBPUEIQZZQZHOS-UHFFFAOYSA-N 0 0 427.435 -0.575 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCCN2C(=O)NC(C)(C)C2=O)c1 ZINC000912958355 1073639501 /nfs/dbraw/zinc/63/95/01/1073639501.db2.gz GAGHOULRSNPMFV-UHFFFAOYSA-N 0 0 442.494 -0.172 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC000912958432 1073639492 /nfs/dbraw/zinc/63/94/92/1073639492.db2.gz LSASNUFFOKUCHL-UHFFFAOYSA-N 0 0 441.462 0.000 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCCN3C(=O)CNC3=O)c2)CC1 ZINC000912958437 1073639528 /nfs/dbraw/zinc/63/95/28/1073639528.db2.gz LULHXZZQVOBWLS-UHFFFAOYSA-N 0 0 438.462 -0.752 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCCN2C(=O)NC(C)(C)C2=O)cc1 ZINC000912958536 1073639469 /nfs/dbraw/zinc/63/94/69/1073639469.db2.gz MVMDMTNGOMSLGZ-UHFFFAOYSA-N 0 0 426.451 -0.203 20 0 IBADRN CS(=O)(=O)NCCCNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000912969473 1073640062 /nfs/dbraw/zinc/64/00/62/1073640062.db2.gz YNELENDJYILWCK-UHFFFAOYSA-N 0 0 436.581 -0.067 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=NNS(=O)(=O)c2cc(C(N)=O)n(C)c2)[nH]c1 ZINC000912980461 1073640087 /nfs/dbraw/zinc/64/00/87/1073640087.db2.gz GKNMEFLUPLNICJ-UHFFFAOYSA-N 0 0 430.512 -0.145 20 0 IBADRN Cn1cc(S(=O)(=O)NN=c2ccc(S(=O)(=O)N3CCCCC3)c[nH]2)cc1C(N)=O ZINC000912980581 1073640100 /nfs/dbraw/zinc/64/01/00/1073640100.db2.gz RXBGUKPICZLASI-UHFFFAOYSA-N 0 0 442.523 -0.001 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000913010118 1073642951 /nfs/dbraw/zinc/64/29/51/1073642951.db2.gz NQKFBYZUVAXYIT-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000913010131 1073642960 /nfs/dbraw/zinc/64/29/60/1073642960.db2.gz OLKQWOZIYYQVRE-NRFANRHFSA-N 0 0 431.493 -0.258 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000913010132 1073643068 /nfs/dbraw/zinc/64/30/68/1073643068.db2.gz OLKQWOZIYYQVRE-OAQYLSRUSA-N 0 0 431.493 -0.258 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000913010213 1073642910 /nfs/dbraw/zinc/64/29/10/1073642910.db2.gz ZWVFGJXGKHAGCU-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000913016164 1073644348 /nfs/dbraw/zinc/64/43/48/1073644348.db2.gz PIGIKURHQOFWMF-CABCVRRESA-N 0 0 441.554 -0.789 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000913016165 1073644316 /nfs/dbraw/zinc/64/43/16/1073644316.db2.gz PIGIKURHQOFWMF-GJZGRUSLSA-N 0 0 441.554 -0.789 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000913016166 1073644434 /nfs/dbraw/zinc/64/44/34/1073644434.db2.gz PIGIKURHQOFWMF-HUUCEWRRSA-N 0 0 441.554 -0.789 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000913016167 1073644335 /nfs/dbraw/zinc/64/43/35/1073644335.db2.gz PIGIKURHQOFWMF-LSDHHAIUSA-N 0 0 441.554 -0.789 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000913033680 1073646714 /nfs/dbraw/zinc/64/67/14/1073646714.db2.gz VLXPWPLNKLOPCZ-OBGWFSINSA-N 0 0 447.521 -0.299 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000913033691 1073646889 /nfs/dbraw/zinc/64/68/89/1073646889.db2.gz VLXPWPLNKLOPCZ-SDXDJHTJSA-N 0 0 447.521 -0.299 20 0 IBADRN O=C(COc1ccc2ccc(=O)oc2c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000913033757 1073647410 /nfs/dbraw/zinc/64/74/10/1073647410.db2.gz UGHQIKRGTDUIFQ-UHFFFAOYSA-N 0 0 437.474 -0.107 20 0 IBADRN COc1ccc(C(=O)NC(=O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000913053276 1073649997 /nfs/dbraw/zinc/64/99/97/1073649997.db2.gz FVUMIOAVZJYROE-UHFFFAOYSA-N 0 0 426.495 -0.854 20 0 IBADRN C[C@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000913053410 1073649948 /nfs/dbraw/zinc/64/99/48/1073649948.db2.gz PJMKKXFYWBTZFS-CYBMUJFWSA-N 0 0 438.510 -0.210 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000913053411 1073650153 /nfs/dbraw/zinc/65/01/53/1073650153.db2.gz PJMKKXFYWBTZFS-ZDUSSCGKSA-N 0 0 438.510 -0.210 20 0 IBADRN C[C@H](C(=O)Nc1sccc1C(N)=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000913053501 1073650074 /nfs/dbraw/zinc/65/00/74/1073650074.db2.gz WINKLAIJEYEDLE-GFCCVEGCSA-N 0 0 431.540 -0.631 20 0 IBADRN C[C@@H](C(=O)Nc1sccc1C(N)=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000913053502 1073649961 /nfs/dbraw/zinc/64/99/61/1073649961.db2.gz WINKLAIJEYEDLE-LBPRGKRZSA-N 0 0 431.540 -0.631 20 0 IBADRN C[C@@H]1CCC[C@H](C)[C@@]12NC(=O)N(CN1CCN(S(=O)(=O)N3CCOCC3)CC1)C2=O ZINC000913063557 1073651399 /nfs/dbraw/zinc/65/13/99/1073651399.db2.gz CLMABODDWNAWSA-MNZLEMJZSA-N 0 0 443.570 -0.115 20 0 IBADRN O=c1oc(-c2ccc(F)cc2)nn1CN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000913064133 1073649415 /nfs/dbraw/zinc/64/94/15/1073649415.db2.gz QBSCEOLDSCRIAE-UHFFFAOYSA-N 0 0 427.458 -0.206 20 0 IBADRN O=S(=O)(N1CCOCC1)N1CCN(Cn2nnn(-c3ccccc3)c2=S)CC1 ZINC000913064326 1073649578 /nfs/dbraw/zinc/64/95/78/1073649578.db2.gz VXCQLQIAMQUSOD-UHFFFAOYSA-N 0 0 425.540 -0.050 20 0 IBADRN COCCNc1nn(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)c(=S)s1 ZINC000913064347 1073649485 /nfs/dbraw/zinc/64/94/85/1073649485.db2.gz WHXFRQMCIMQJAR-UHFFFAOYSA-N 0 0 438.601 -0.116 20 0 IBADRN Cn1cc(S(=O)(=O)Oc2ccc(S(=O)(=O)N3CCOCC3)cc2)cc1C(N)=O ZINC000913072417 1073650120 /nfs/dbraw/zinc/65/01/20/1073650120.db2.gz VRFCVDYONRMTBZ-UHFFFAOYSA-N 0 0 429.476 -0.087 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)N2CCCC[C@@H]2C(N)=O)c(=O)n(C)c1=O ZINC000913076675 1073651491 /nfs/dbraw/zinc/65/14/91/1073651491.db2.gz POOHUWQPMLOJHP-MRVPVSSYSA-N 0 0 427.259 -0.937 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)N2CCCC[C@H]2C(N)=O)c(=O)n(C)c1=O ZINC000913076676 1073651511 /nfs/dbraw/zinc/65/15/11/1073651511.db2.gz POOHUWQPMLOJHP-QMMMGPOBSA-N 0 0 427.259 -0.937 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(S(=O)(=O)N(CC)CC)CC2)CC1 ZINC000913077958 1073652098 /nfs/dbraw/zinc/65/20/98/1073652098.db2.gz UVUCCNFGYHAUCT-UHFFFAOYSA-N 0 0 440.588 -0.290 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1 ZINC000913087487 1073651999 /nfs/dbraw/zinc/65/19/99/1073651999.db2.gz RFLLOBCOCUDEFI-BBRMVZONSA-N 0 0 435.506 -0.742 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1 ZINC000913087490 1073652137 /nfs/dbraw/zinc/65/21/37/1073652137.db2.gz RFLLOBCOCUDEFI-CJNGLKHVSA-N 0 0 435.506 -0.742 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1 ZINC000913087492 1073652068 /nfs/dbraw/zinc/65/20/68/1073652068.db2.gz RFLLOBCOCUDEFI-CZUORRHYSA-N 0 0 435.506 -0.742 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1 ZINC000913087493 1073652121 /nfs/dbraw/zinc/65/21/21/1073652121.db2.gz RFLLOBCOCUDEFI-XJKSGUPXSA-N 0 0 435.506 -0.742 20 0 IBADRN O=c1ccc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2o1 ZINC000913094175 1073653544 /nfs/dbraw/zinc/65/35/44/1073653544.db2.gz MRGDOFGOUHONFW-UHFFFAOYSA-N 0 0 443.503 -0.324 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)=NN1c1ccc(F)cc1 ZINC000913125937 1073653449 /nfs/dbraw/zinc/65/34/49/1073653449.db2.gz DLODVYZBLDAOTP-GOSISDBHSA-N 0 0 446.483 -0.751 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)=NN1c1ccc(F)cc1 ZINC000913125939 1073653472 /nfs/dbraw/zinc/65/34/72/1073653472.db2.gz DLODVYZBLDAOTP-SFHVURJKSA-N 0 0 446.483 -0.751 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)=NN1c1ccccc1 ZINC000913127024 1073653540 /nfs/dbraw/zinc/65/35/40/1073653540.db2.gz YAWJYQBOUNIDHF-GOSISDBHSA-N 0 0 428.493 -0.890 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)=NN1c1ccccc1 ZINC000913127025 1073653458 /nfs/dbraw/zinc/65/34/58/1073653458.db2.gz YAWJYQBOUNIDHF-SFHVURJKSA-N 0 0 428.493 -0.890 20 0 IBADRN CN(C)CCN(C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000913128609 1073653486 /nfs/dbraw/zinc/65/34/86/1073653486.db2.gz BOFAOFFGBWUUBK-DOTOQJQBSA-N 0 0 439.513 -0.177 20 0 IBADRN CN(C)CCN(C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000913128610 1073653377 /nfs/dbraw/zinc/65/33/77/1073653377.db2.gz BOFAOFFGBWUUBK-NVXWUHKLSA-N 0 0 439.513 -0.177 20 0 IBADRN CN(C)CCN(C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000913128611 1073653496 /nfs/dbraw/zinc/65/34/96/1073653496.db2.gz BOFAOFFGBWUUBK-RDJZCZTQSA-N 0 0 439.513 -0.177 20 0 IBADRN CN(C)CCN(C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000913128612 1073653590 /nfs/dbraw/zinc/65/35/90/1073653590.db2.gz BOFAOFFGBWUUBK-WBVHZDCISA-N 0 0 439.513 -0.177 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000913128922 1073653518 /nfs/dbraw/zinc/65/35/18/1073653518.db2.gz IAQUQOVBBYPELS-INIZCTEOSA-N 0 0 432.456 -0.559 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000913128982 1073654279 /nfs/dbraw/zinc/65/42/79/1073654279.db2.gz IAQUQOVBBYPELS-MRXNPFEDSA-N 0 0 432.456 -0.559 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000913129480 1073654285 /nfs/dbraw/zinc/65/42/85/1073654285.db2.gz QMDJRUUAKGBWRN-KRWDZBQOSA-N 0 0 434.472 -0.848 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000913129481 1073654173 /nfs/dbraw/zinc/65/41/73/1073654173.db2.gz QMDJRUUAKGBWRN-QGZVFWFLSA-N 0 0 434.472 -0.848 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCc1nc3c(cnn3C)c(=O)[nH]1)c(=O)n2C ZINC000913199911 1073655511 /nfs/dbraw/zinc/65/55/11/1073655511.db2.gz WPSTXTZTVNRSPJ-UHFFFAOYSA-N 0 0 442.436 -0.618 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)OCC(=O)NCCCN2CCCC2=O)=NN1c1ccc(F)cc1 ZINC000913200151 1073655503 /nfs/dbraw/zinc/65/55/03/1073655503.db2.gz GVKJTQRKWVTAJF-INIZCTEOSA-N 0 0 433.440 -0.082 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)OCC(=O)NCCCN2CCCC2=O)=NN1c1ccc(F)cc1 ZINC000913200152 1073655476 /nfs/dbraw/zinc/65/54/76/1073655476.db2.gz GVKJTQRKWVTAJF-MRXNPFEDSA-N 0 0 433.440 -0.082 20 0 IBADRN C[C@@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N1CCC(C(N)=O)CC1 ZINC000913200212 1073655551 /nfs/dbraw/zinc/65/55/51/1073655551.db2.gz HIHRIDGFRFOIIR-BDJLRTHQSA-N 0 0 433.440 -0.099 20 0 IBADRN C[C@@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N1CCC(C(N)=O)CC1 ZINC000913200213 1073656110 /nfs/dbraw/zinc/65/61/10/1073656110.db2.gz HIHRIDGFRFOIIR-BZNIZROVSA-N 0 0 433.440 -0.099 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N1CCC(C(N)=O)CC1 ZINC000913200215 1073656156 /nfs/dbraw/zinc/65/61/56/1073656156.db2.gz HIHRIDGFRFOIIR-MEDUHNTESA-N 0 0 433.440 -0.099 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N1CCC(C(N)=O)CC1 ZINC000913200216 1073656140 /nfs/dbraw/zinc/65/61/40/1073656140.db2.gz HIHRIDGFRFOIIR-ZBEGNZNMSA-N 0 0 433.440 -0.099 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000913200786 1073656127 /nfs/dbraw/zinc/65/61/27/1073656127.db2.gz OSHOXDBDWXTYIM-KRWDZBQOSA-N 0 0 433.440 -0.084 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000913200787 1073656247 /nfs/dbraw/zinc/65/62/47/1073656247.db2.gz OSHOXDBDWXTYIM-QGZVFWFLSA-N 0 0 433.440 -0.084 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000913201557 1073656171 /nfs/dbraw/zinc/65/61/71/1073656171.db2.gz WISHLXJSEOZAIR-ITDIGPHOSA-N 0 0 440.453 -0.519 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000913201558 1073656118 /nfs/dbraw/zinc/65/61/18/1073656118.db2.gz WISHLXJSEOZAIR-JVLSTEMRSA-N 0 0 440.453 -0.519 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000913201559 1073656089 /nfs/dbraw/zinc/65/60/89/1073656089.db2.gz WISHLXJSEOZAIR-NVBFEUDRSA-N 0 0 440.453 -0.519 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000913201560 1073656163 /nfs/dbraw/zinc/65/61/63/1073656163.db2.gz WISHLXJSEOZAIR-WBIUFABUSA-N 0 0 440.453 -0.519 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000913202906 1073654760 /nfs/dbraw/zinc/65/47/60/1073654760.db2.gz DXTUMOLNAXYNQO-HWWQOWPSSA-N 0 0 433.440 -0.099 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000913202907 1073654971 /nfs/dbraw/zinc/65/49/71/1073654971.db2.gz DXTUMOLNAXYNQO-MKBNYLNASA-N 0 0 433.440 -0.099 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000913202908 1073654846 /nfs/dbraw/zinc/65/48/46/1073654846.db2.gz DXTUMOLNAXYNQO-MQIPJXDCSA-N 0 0 433.440 -0.099 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000913202909 1073654878 /nfs/dbraw/zinc/65/48/78/1073654878.db2.gz DXTUMOLNAXYNQO-OZVIIMIRSA-N 0 0 433.440 -0.099 20 0 IBADRN C[C@@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N(C)CC(=O)NC1CC1 ZINC000913203177 1073656271 /nfs/dbraw/zinc/65/62/71/1073656271.db2.gz GHKFSHLOIOBDKN-BDJLRTHQSA-N 0 0 433.440 -0.086 20 0 IBADRN C[C@@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N(C)CC(=O)NC1CC1 ZINC000913203178 1073656179 /nfs/dbraw/zinc/65/61/79/1073656179.db2.gz GHKFSHLOIOBDKN-BZNIZROVSA-N 0 0 433.440 -0.086 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)C(=O)N(C)CC(=O)NC1CC1 ZINC000913203179 1073656231 /nfs/dbraw/zinc/65/62/31/1073656231.db2.gz GHKFSHLOIOBDKN-MEDUHNTESA-N 0 0 433.440 -0.086 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)C(=O)N(C)CC(=O)NC1CC1 ZINC000913203180 1073656251 /nfs/dbraw/zinc/65/62/51/1073656251.db2.gz GHKFSHLOIOBDKN-ZBEGNZNMSA-N 0 0 433.440 -0.086 20 0 IBADRN COc1ccc(CC(=O)OCCN2C(=O)CCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000913203716 1073656846 /nfs/dbraw/zinc/65/68/46/1073656846.db2.gz LWQBAYJFMLNCRZ-UHFFFAOYSA-N 0 0 440.474 -0.049 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000913203831 1073656762 /nfs/dbraw/zinc/65/67/62/1073656762.db2.gz OLMCVGANKUPMDD-PXAZEXFGSA-N 0 0 433.440 -0.132 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC000913203832 1073656823 /nfs/dbraw/zinc/65/68/23/1073656823.db2.gz OLMCVGANKUPMDD-SJCJKPOMSA-N 0 0 433.440 -0.132 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000913203833 1073656793 /nfs/dbraw/zinc/65/67/93/1073656793.db2.gz OLMCVGANKUPMDD-SJKOYZFVSA-N 0 0 433.440 -0.132 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC000913203834 1073656816 /nfs/dbraw/zinc/65/68/16/1073656816.db2.gz OLMCVGANKUPMDD-YVEFUNNKSA-N 0 0 433.440 -0.132 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000913211504 1073657535 /nfs/dbraw/zinc/65/75/35/1073657535.db2.gz GTYUVEZLQWJJRS-UHFFFAOYSA-N 0 0 442.494 -0.483 20 0 IBADRN COc1ccc(CCNC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)cc1OC ZINC000913211746 1073657499 /nfs/dbraw/zinc/65/74/99/1073657499.db2.gz MSDCWPZZLFVNBP-UHFFFAOYSA-N 0 0 429.495 -0.179 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(c3ccccc3F)CC2)CC1 ZINC000913214528 1073656074 /nfs/dbraw/zinc/65/60/74/1073656074.db2.gz BBZGVLXCCFUQKV-UHFFFAOYSA-N 0 0 428.486 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCOC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000913214587 1073656221 /nfs/dbraw/zinc/65/62/21/1073656221.db2.gz FOSBGOOLEUYBHF-UHFFFAOYSA-N 0 0 435.524 -0.226 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC000913214606 1073656149 /nfs/dbraw/zinc/65/61/49/1073656149.db2.gz GNZOUAJZJGYSTG-UHFFFAOYSA-N 0 0 426.495 -0.080 20 0 IBADRN NC(=O)CCN(C(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1)c1ccc(F)cc1 ZINC000913214707 1073656212 /nfs/dbraw/zinc/65/62/12/1073656212.db2.gz ITLJZGWAHJAYGE-UHFFFAOYSA-N 0 0 430.458 -0.507 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC000913214733 1073656258 /nfs/dbraw/zinc/65/62/58/1073656258.db2.gz KFHDWBNJFXMHLD-UHFFFAOYSA-N 0 0 428.467 -0.977 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1)CCC2 ZINC000913214766 1073656103 /nfs/dbraw/zinc/65/61/03/1073656103.db2.gz MROCPUAYKZPZEM-UHFFFAOYSA-N 0 0 430.508 -0.267 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)CC1 ZINC000913214775 1073656240 /nfs/dbraw/zinc/65/62/40/1073656240.db2.gz NJOJZOFEOCMRQO-UHFFFAOYSA-N 0 0 444.535 -0.509 20 0 IBADRN CC(C)CN(C(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000913214942 1073656838 /nfs/dbraw/zinc/65/68/38/1073656838.db2.gz YYOIENIVYYUPQK-AWEZNQCLSA-N 0 0 439.556 -0.883 20 0 IBADRN CC(C)CN(C(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000913214943 1073656802 /nfs/dbraw/zinc/65/68/02/1073656802.db2.gz YYOIENIVYYUPQK-CQSZACIVSA-N 0 0 439.556 -0.883 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCS(=O)(=O)c3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000913219877 1073656841 /nfs/dbraw/zinc/65/68/41/1073656841.db2.gz ZDKMSGGQKPHPOX-UHFFFAOYSA-N 0 0 438.437 -0.020 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CSCC(=O)OCCO ZINC000913233876 1073657597 /nfs/dbraw/zinc/65/75/97/1073657597.db2.gz GADQNGNBXCVHQN-UHFFFAOYSA-N 0 0 448.519 -0.077 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)OCCN3C(=O)CCC3=O)c2)CC1 ZINC000913234881 1073657613 /nfs/dbraw/zinc/65/76/13/1073657613.db2.gz XCVBBGREYMLXRY-UHFFFAOYSA-N 0 0 437.474 -0.155 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCCN3C(=O)CCC3=O)cc2)CC1 ZINC000913239262 1073657596 /nfs/dbraw/zinc/65/75/96/1073657596.db2.gz NVFFMBHRVMLFFR-UHFFFAOYSA-N 0 0 437.474 -0.155 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000913239314 1073657490 /nfs/dbraw/zinc/65/74/90/1073657490.db2.gz IENWLKASMIACAD-UHFFFAOYSA-N 0 0 435.462 -0.411 20 0 IBADRN Cc1cc(=O)c(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)nn1-c1ccccc1 ZINC000913243143 1073658085 /nfs/dbraw/zinc/65/80/85/1073658085.db2.gz XXQKHDMUZMVUMF-UHFFFAOYSA-N 0 0 441.488 -0.070 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC000913243892 1073658193 /nfs/dbraw/zinc/65/81/93/1073658193.db2.gz SYTWZQPAUYZDMZ-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN Cc1ccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc1S(N)(=O)=O ZINC000913244068 1073658216 /nfs/dbraw/zinc/65/82/16/1073658216.db2.gz YZPBPWTWSJYCCY-UHFFFAOYSA-N 0 0 435.462 -0.363 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cn1c(=O)[nH]c(=O)c3ccccc31)c(=O)n2C ZINC000913247938 1073657559 /nfs/dbraw/zinc/65/75/59/1073657559.db2.gz PWQNWGVFIQUCSL-UHFFFAOYSA-N 0 0 440.416 -0.517 20 0 IBADRN Cn1c2ncn(CCOC(=O)C3=NN(c4ccccc4)[C@H](C(N)=O)C3)c2c(=O)n(C)c1=O ZINC000913254672 1073657509 /nfs/dbraw/zinc/65/75/09/1073657509.db2.gz NSBONNMXDACVHU-AWEZNQCLSA-N 0 0 439.432 -0.903 20 0 IBADRN Cn1c2ncn(CCOC(=O)C3=NN(c4ccccc4)[C@@H](C(N)=O)C3)c2c(=O)n(C)c1=O ZINC000913254673 1073657582 /nfs/dbraw/zinc/65/75/82/1073657582.db2.gz NSBONNMXDACVHU-CQSZACIVSA-N 0 0 439.432 -0.903 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000913255154 1073658243 /nfs/dbraw/zinc/65/82/43/1073658243.db2.gz UEOYTIWLXJWXCV-BJJXKVORSA-N 0 0 436.490 -0.316 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000913255155 1073658284 /nfs/dbraw/zinc/65/82/84/1073658284.db2.gz UEOYTIWLXJWXCV-DUVNUKRYSA-N 0 0 436.490 -0.316 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000913255156 1073658304 /nfs/dbraw/zinc/65/83/04/1073658304.db2.gz UEOYTIWLXJWXCV-JGGQBBKZSA-N 0 0 436.490 -0.316 20 0 IBADRN C[C@H](OC(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000913255157 1073658098 /nfs/dbraw/zinc/65/80/98/1073658098.db2.gz UEOYTIWLXJWXCV-NOLJZWGESA-N 0 0 436.490 -0.316 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)CC1 ZINC000913255236 1073658233 /nfs/dbraw/zinc/65/82/33/1073658233.db2.gz WBUGYWYHYHZWHR-INIZCTEOSA-N 0 0 431.449 -0.050 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)CC1 ZINC000913255237 1073658294 /nfs/dbraw/zinc/65/82/94/1073658294.db2.gz WBUGYWYHYHZWHR-MRXNPFEDSA-N 0 0 431.449 -0.050 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)=NN1c1ccccc1 ZINC000913259251 1073658070 /nfs/dbraw/zinc/65/80/70/1073658070.db2.gz SLRLFHQUJBHZCD-KRWDZBQOSA-N 0 0 437.460 -0.211 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)=NN1c1ccccc1 ZINC000913259252 1073658177 /nfs/dbraw/zinc/65/81/77/1073658177.db2.gz SLRLFHQUJBHZCD-QGZVFWFLSA-N 0 0 437.460 -0.211 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000913262122 1073658123 /nfs/dbraw/zinc/65/81/23/1073658123.db2.gz WCHDBCBNCQWREG-UHFFFAOYSA-N 0 0 449.489 -0.021 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)CC[C@@H]2NC(=O)NC2=O)CC1)Nc1ccc(F)cc1 ZINC000913266468 1073658188 /nfs/dbraw/zinc/65/81/88/1073658188.db2.gz BHUDJWTWWRBRCD-HNNXBMFYSA-N 0 0 449.439 -0.560 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)CC[C@H]2NC(=O)NC2=O)CC1)Nc1ccc(F)cc1 ZINC000913266469 1073658171 /nfs/dbraw/zinc/65/81/71/1073658171.db2.gz BHUDJWTWWRBRCD-OAHLLOKOSA-N 0 0 449.439 -0.560 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCOC1=O ZINC000913271325 1073658835 /nfs/dbraw/zinc/65/88/35/1073658835.db2.gz LUTFWUUAYSWDAY-AWEZNQCLSA-N 0 0 434.492 -0.236 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCOC1=O ZINC000913271326 1073658812 /nfs/dbraw/zinc/65/88/12/1073658812.db2.gz LUTFWUUAYSWDAY-CQSZACIVSA-N 0 0 434.492 -0.236 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)OCC(=O)N1CCCC1=O ZINC000913273489 1073658846 /nfs/dbraw/zinc/65/88/46/1073658846.db2.gz XFUHMMLCRHIADR-AWEZNQCLSA-N 0 0 440.474 -0.080 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)OCC(=O)N1CCCC1=O ZINC000913273490 1073658730 /nfs/dbraw/zinc/65/87/30/1073658730.db2.gz XFUHMMLCRHIADR-CQSZACIVSA-N 0 0 440.474 -0.080 20 0 IBADRN C[C@H](OC(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)c1)C(=O)N1CCNC1=O ZINC000913273771 1073658790 /nfs/dbraw/zinc/65/87/90/1073658790.db2.gz ZJEVCSINBDRRMO-RYUDHWBXSA-N 0 0 446.416 -0.119 20 0 IBADRN C[C@@H](OC(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)c1)C(=O)N1CCNC1=O ZINC000913273772 1073658762 /nfs/dbraw/zinc/65/87/62/1073658762.db2.gz ZJEVCSINBDRRMO-TXEJJXNPSA-N 0 0 446.416 -0.119 20 0 IBADRN C[C@@H](OC(=O)c1cccc(C(=O)O[C@H](C)C(=O)N2CCNC2=O)c1)C(=O)N1CCNC1=O ZINC000913273773 1073658827 /nfs/dbraw/zinc/65/88/27/1073658827.db2.gz ZJEVCSINBDRRMO-VXGBXAGGSA-N 0 0 446.416 -0.119 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1cccnc1N1CCOCC1)c(=O)n2C ZINC000913279491 1073658133 /nfs/dbraw/zinc/65/81/33/1073658133.db2.gz YPFCFVIEEOJQAE-UHFFFAOYSA-N 0 0 428.449 -0.088 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCN1C(=O)CCC1=O ZINC000913289451 1073659717 /nfs/dbraw/zinc/65/97/17/1073659717.db2.gz CSAHLHGNDCQLEO-GFCCVEGCSA-N 0 0 425.463 -0.253 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCN1C(=O)CCC1=O ZINC000913289452 1073659749 /nfs/dbraw/zinc/65/97/49/1073659749.db2.gz CSAHLHGNDCQLEO-LBPRGKRZSA-N 0 0 425.463 -0.253 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)C2CCN(C(=O)c3ccoc3)CC2)CC1 ZINC000913314279 1073660323 /nfs/dbraw/zinc/66/03/23/1073660323.db2.gz QXXUIZVEPGZEBF-UHFFFAOYSA-N 0 0 434.493 -0.093 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cccc(OCC(N)=O)c2)CC1 ZINC000913317711 1073659700 /nfs/dbraw/zinc/65/97/00/1073659700.db2.gz XKNLXBQETAETHQ-UHFFFAOYSA-N 0 0 434.493 -0.234 20 0 IBADRN NC(=O)COc1cccc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)c1 ZINC000913317966 1073659754 /nfs/dbraw/zinc/65/97/54/1073659754.db2.gz YHQOSNVNMIUTGV-UHFFFAOYSA-N 0 0 433.442 -0.056 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2=O)cc1 ZINC000913324535 1073660927 /nfs/dbraw/zinc/66/09/27/1073660927.db2.gz YCZGDUOTGZDYAB-INIZCTEOSA-N 0 0 446.504 -0.176 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)CC2=O)cc1 ZINC000913324536 1073660745 /nfs/dbraw/zinc/66/07/45/1073660745.db2.gz YCZGDUOTGZDYAB-MRXNPFEDSA-N 0 0 446.504 -0.176 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000913324658 1073660867 /nfs/dbraw/zinc/66/08/67/1073660867.db2.gz YXNALSPPOJGOAK-LLVKDONJSA-N 0 0 430.417 -0.546 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)CC2=O)cc1 ZINC000913324660 1073660923 /nfs/dbraw/zinc/66/09/23/1073660923.db2.gz YXNALSPPOJGOAK-NSHDSACASA-N 0 0 430.417 -0.546 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(S(=O)(=O)C(F)(F)F)cc2)c(=O)n(C)c1=O ZINC000913339364 1073661460 /nfs/dbraw/zinc/66/14/60/1073661460.db2.gz ZAMGISGHESCOCS-UHFFFAOYSA-N 0 0 449.363 -0.001 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000913340240 1073661551 /nfs/dbraw/zinc/66/15/51/1073661551.db2.gz HCOIOPXZYOOBHR-GXTWGEPZSA-N 0 0 448.519 -0.540 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000913340241 1073661490 /nfs/dbraw/zinc/66/14/90/1073661490.db2.gz HCOIOPXZYOOBHR-JSGCOSHPSA-N 0 0 448.519 -0.540 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000913340242 1073661445 /nfs/dbraw/zinc/66/14/45/1073661445.db2.gz HCOIOPXZYOOBHR-OCCSQVGLSA-N 0 0 448.519 -0.540 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000913340243 1073661570 /nfs/dbraw/zinc/66/15/70/1073661570.db2.gz HCOIOPXZYOOBHR-TZMCWYRMSA-N 0 0 448.519 -0.540 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)c1 ZINC000913340250 1073661559 /nfs/dbraw/zinc/66/15/59/1073661559.db2.gz HPEARXDHXHFJAK-UHFFFAOYSA-N 0 0 427.479 -0.493 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)OCC(=O)NC2CCN(C(C)=O)CC2)c1 ZINC000913341292 1073661314 /nfs/dbraw/zinc/66/13/14/1073661314.db2.gz RNACLBLRQQNWLM-UHFFFAOYSA-N 0 0 441.506 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)c1 ZINC000913343275 1073662144 /nfs/dbraw/zinc/66/21/44/1073662144.db2.gz DFMYWCYRFIVVDX-HNNXBMFYSA-N 0 0 427.479 -0.366 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)c1 ZINC000913343276 1073662033 /nfs/dbraw/zinc/66/20/33/1073662033.db2.gz DFMYWCYRFIVVDX-OAHLLOKOSA-N 0 0 427.479 -0.366 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)c1 ZINC000913343354 1073662163 /nfs/dbraw/zinc/66/21/63/1073662163.db2.gz GNWBCHJVNIIKAK-UHFFFAOYSA-N 0 0 427.479 -0.541 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000913343611 1073662093 /nfs/dbraw/zinc/66/20/93/1073662093.db2.gz PEPRIJPQCCCKCE-DZGCQCFKSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000913343612 1073662157 /nfs/dbraw/zinc/66/21/57/1073662157.db2.gz PEPRIJPQCCCKCE-HIFRSBDPSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000913343613 1073662110 /nfs/dbraw/zinc/66/21/10/1073662110.db2.gz PEPRIJPQCCCKCE-UKRRQHHQSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000913343614 1073662020 /nfs/dbraw/zinc/66/20/20/1073662020.db2.gz PEPRIJPQCCCKCE-ZFWWWQNUSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)c1 ZINC000913343784 1073661980 /nfs/dbraw/zinc/66/19/80/1073661980.db2.gz VJHGSJMVEYNZOZ-CYBMUJFWSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)c1 ZINC000913343785 1073662102 /nfs/dbraw/zinc/66/21/02/1073662102.db2.gz VJHGSJMVEYNZOZ-ZDUSSCGKSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)c1 ZINC000913343856 1073662116 /nfs/dbraw/zinc/66/21/16/1073662116.db2.gz YLXDUTUDNANJLG-AWEZNQCLSA-N 0 0 441.506 -0.153 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)c1 ZINC000913343857 1073662005 /nfs/dbraw/zinc/66/20/05/1073662005.db2.gz YLXDUTUDNANJLG-CQSZACIVSA-N 0 0 441.506 -0.153 20 0 IBADRN COc1cc(N2C[C@H](C(=O)OCC(=O)N3CCNC(=O)C3)CC2=O)cc(OC)c1OC ZINC000913362394 1073662599 /nfs/dbraw/zinc/66/25/99/1073662599.db2.gz KRPCPSSUDMXHIH-GFCCVEGCSA-N 0 0 435.433 -0.433 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)OCC(=O)N3CCNC(=O)C3)CC2=O)cc(OC)c1OC ZINC000913362395 1073662726 /nfs/dbraw/zinc/66/27/26/1073662726.db2.gz KRPCPSSUDMXHIH-LBPRGKRZSA-N 0 0 435.433 -0.433 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000913374018 1073663329 /nfs/dbraw/zinc/66/33/29/1073663329.db2.gz JZEZAKPTFSMRMD-GFCCVEGCSA-N 0 0 432.433 -0.409 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000913374019 1073663342 /nfs/dbraw/zinc/66/33/42/1073663342.db2.gz JZEZAKPTFSMRMD-LBPRGKRZSA-N 0 0 432.433 -0.409 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)NC[C@@H]3COc4ccccc4O3)nc2n(C)c1=O ZINC000913374338 1073663381 /nfs/dbraw/zinc/66/33/81/1073663381.db2.gz AQCHLVOIBZGTHB-GFCCVEGCSA-N 0 0 440.412 -0.255 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)NC[C@H]3COc4ccccc4O3)nc2n(C)c1=O ZINC000913374340 1073663446 /nfs/dbraw/zinc/66/34/46/1073663446.db2.gz AQCHLVOIBZGTHB-LBPRGKRZSA-N 0 0 440.412 -0.255 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)NCc3ccc4c(c3)OCO4)nc2n(C)c1=O ZINC000913374358 1073663488 /nfs/dbraw/zinc/66/34/88/1073663488.db2.gz BAICJKVHKURLIL-UHFFFAOYSA-N 0 0 426.385 -0.166 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)NC(=O)N[C@@H]1C ZINC000913374514 1073663482 /nfs/dbraw/zinc/66/34/82/1073663482.db2.gz HDNDYEXCHUPBKT-SECBINFHSA-N 0 0 431.405 -0.693 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)NC(=O)N[C@H]1C ZINC000913374515 1073663468 /nfs/dbraw/zinc/66/34/68/1073663468.db2.gz HDNDYEXCHUPBKT-VIFPVBQESA-N 0 0 431.405 -0.693 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913374613 1073663423 /nfs/dbraw/zinc/66/34/23/1073663423.db2.gz KFUCPJGHUYPEMT-UHFFFAOYSA-N 0 0 447.448 -0.474 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)NC(=O)NCc3ccccc3)nc2n(C)c1=O ZINC000913374629 1073663453 /nfs/dbraw/zinc/66/34/53/1073663453.db2.gz KUOHNOBHWUZZKW-UHFFFAOYSA-N 0 0 425.401 -0.185 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)Nc3ccc(S(C)(=O)=O)cc3)nc2n(C)c1=O ZINC000913374696 1073663387 /nfs/dbraw/zinc/66/33/87/1073663387.db2.gz BHERCZFOQLLSTB-UHFFFAOYSA-N 0 0 446.441 -0.169 20 0 IBADRN Cn1c2nnc(COC(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)n2c2ccccc2c1=O ZINC000913374716 1073663435 /nfs/dbraw/zinc/66/34/35/1073663435.db2.gz CJISCQRQYPMEFH-UHFFFAOYSA-N 0 0 447.411 -0.116 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)Nc3cccc(S(C)(=O)=O)c3)nc2n(C)c1=O ZINC000913374749 1073663462 /nfs/dbraw/zinc/66/34/62/1073663462.db2.gz DVOLFNKNTYCQLY-UHFFFAOYSA-N 0 0 446.441 -0.169 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000913374831 1073663245 /nfs/dbraw/zinc/66/32/45/1073663245.db2.gz OQNRXCANCXBTQT-AWEZNQCLSA-N 0 0 432.433 -0.267 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000913374832 1073663395 /nfs/dbraw/zinc/66/33/95/1073663395.db2.gz OQNRXCANCXBTQT-CQSZACIVSA-N 0 0 432.433 -0.267 20 0 IBADRN Cn1c2nc(C(=O)OCC(=O)Nc3cccc(S(N)(=O)=O)c3)ccc2c(=O)n(C)c1=O ZINC000913374953 1073663367 /nfs/dbraw/zinc/66/33/67/1073663367.db2.gz IEPRZCSIRZJYNR-UHFFFAOYSA-N 0 0 447.429 -0.925 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913375065 1073663314 /nfs/dbraw/zinc/66/33/14/1073663314.db2.gz TVNYPIDVMKIPRK-UHFFFAOYSA-N 0 0 433.421 -0.910 20 0 IBADRN C[C@@H](OC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O)C(=O)Nc1sccc1C(N)=O ZINC000913375108 1073663477 /nfs/dbraw/zinc/66/34/77/1073663477.db2.gz VCROCUCUYQMURB-MRVPVSSYSA-N 0 0 431.430 -0.023 20 0 IBADRN C[C@H](OC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O)C(=O)Nc1sccc1C(N)=O ZINC000913375109 1073663975 /nfs/dbraw/zinc/66/39/75/1073663975.db2.gz VCROCUCUYQMURB-QMMMGPOBSA-N 0 0 431.430 -0.023 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCCN3C(=O)c4ccccc4S3(=O)=O)nc2n(C)c1=O ZINC000913375201 1073663914 /nfs/dbraw/zinc/66/39/14/1073663914.db2.gz LJDWVEHQYUOVOD-UHFFFAOYSA-N 0 0 444.425 -0.366 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)cc1 ZINC000913375256 1073664005 /nfs/dbraw/zinc/66/40/05/1073664005.db2.gz NMJRXTMRBZUXRZ-UHFFFAOYSA-N 0 0 426.385 -0.246 20 0 IBADRN C[C@H](OC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000913375311 1073663889 /nfs/dbraw/zinc/66/38/89/1073663889.db2.gz YUJVBHPTVWZUGE-JTQLQIEISA-N 0 0 425.401 -0.085 20 0 IBADRN C[C@@H](OC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000913375312 1073663907 /nfs/dbraw/zinc/66/39/07/1073663907.db2.gz YUJVBHPTVWZUGE-SNVBAGLBSA-N 0 0 425.401 -0.085 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)NC(=O)NCc3cccs3)nc2n(C)c1=O ZINC000913375336 1073664082 /nfs/dbraw/zinc/66/40/82/1073664082.db2.gz ZNSXBQURZXSYPW-UHFFFAOYSA-N 0 0 431.430 -0.124 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913375337 1073663994 /nfs/dbraw/zinc/66/39/94/1073663994.db2.gz ZPIQTXKOIPOOAV-GFCCVEGCSA-N 0 0 446.460 -0.021 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913375338 1073663944 /nfs/dbraw/zinc/66/39/44/1073663944.db2.gz ZPIQTXKOIPOOAV-LBPRGKRZSA-N 0 0 446.460 -0.021 20 0 IBADRN Cn1c2nc(C(=O)OCCOc3ccc(S(N)(=O)=O)cc3)ccc2c(=O)n(C)c1=O ZINC000913375431 1073663931 /nfs/dbraw/zinc/66/39/31/1073663931.db2.gz RDFXSTKQJCTCQI-UHFFFAOYSA-N 0 0 434.430 -0.485 20 0 IBADRN Cn1c2nc(C(=O)OCC(=O)Nc3ccc(S(N)(=O)=O)cc3)ccc2c(=O)n(C)c1=O ZINC000913375709 1073663900 /nfs/dbraw/zinc/66/39/00/1073663900.db2.gz YRZDQAMWZORFRZ-UHFFFAOYSA-N 0 0 447.429 -0.925 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913375751 1073663986 /nfs/dbraw/zinc/66/39/86/1073663986.db2.gz ZEJRXKXNMJQHBH-UHFFFAOYSA-N 0 0 432.433 -0.409 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913378470 1073663951 /nfs/dbraw/zinc/66/39/51/1073663951.db2.gz ATOONDQGAQVBSR-LLVKDONJSA-N 0 0 432.433 -0.411 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913378471 1073664057 /nfs/dbraw/zinc/66/40/57/1073664057.db2.gz ATOONDQGAQVBSR-NSHDSACASA-N 0 0 432.433 -0.411 20 0 IBADRN C[C@@H](OC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(=O)NC(=O)NCC(F)(F)F ZINC000913378678 1073663964 /nfs/dbraw/zinc/66/39/64/1073663964.db2.gz HWSKCYYDQXHDBP-SSDOTTSWSA-N 0 0 431.327 -0.434 20 0 IBADRN C[C@H](OC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(=O)NC(=O)NCC(F)(F)F ZINC000913378679 1073664071 /nfs/dbraw/zinc/66/40/71/1073664071.db2.gz HWSKCYYDQXHDBP-ZETCQYMHSA-N 0 0 431.327 -0.434 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000913378789 1073664761 /nfs/dbraw/zinc/66/47/61/1073664761.db2.gz MHRWGSRJJKCWEH-CHWSQXEVSA-N 0 0 446.460 -0.021 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000913378790 1073664632 /nfs/dbraw/zinc/66/46/32/1073664632.db2.gz MHRWGSRJJKCWEH-OLZOCXBDSA-N 0 0 446.460 -0.021 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000913378791 1073664674 /nfs/dbraw/zinc/66/46/74/1073664674.db2.gz MHRWGSRJJKCWEH-QWHCGFSZSA-N 0 0 446.460 -0.021 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C1 ZINC000913378792 1073664620 /nfs/dbraw/zinc/66/46/20/1073664620.db2.gz MHRWGSRJJKCWEH-STQMWFEESA-N 0 0 446.460 -0.021 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C(C)C)CC1 ZINC000913378816 1073664740 /nfs/dbraw/zinc/66/47/40/1073664740.db2.gz NMWCJECNJTYRHU-INIZCTEOSA-N 0 0 445.476 -0.496 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)C(C)C)CC1 ZINC000913378817 1073664786 /nfs/dbraw/zinc/66/47/86/1073664786.db2.gz NMWCJECNJTYRHU-MRXNPFEDSA-N 0 0 445.476 -0.496 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000913378968 1073664638 /nfs/dbraw/zinc/66/46/38/1073664638.db2.gz SPHNPOSTLYRQIE-DOMZBBRYSA-N 0 0 445.476 -0.463 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000913378969 1073664777 /nfs/dbraw/zinc/66/47/77/1073664777.db2.gz SPHNPOSTLYRQIE-IUODEOHRSA-N 0 0 445.476 -0.463 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000913378970 1073664664 /nfs/dbraw/zinc/66/46/64/1073664664.db2.gz SPHNPOSTLYRQIE-SWLSCSKDSA-N 0 0 445.476 -0.463 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc2c(n1)n(C)c(=O)n(C)c2=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000913378971 1073664566 /nfs/dbraw/zinc/66/45/66/1073664566.db2.gz SPHNPOSTLYRQIE-WFASDCNBSA-N 0 0 445.476 -0.463 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913379051 1073664768 /nfs/dbraw/zinc/66/47/68/1073664768.db2.gz VYMFBSOECSSQBO-GFCCVEGCSA-N 0 0 447.448 -0.522 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC000913379052 1073664747 /nfs/dbraw/zinc/66/47/47/1073664747.db2.gz VYMFBSOECSSQBO-LBPRGKRZSA-N 0 0 447.448 -0.522 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1=O ZINC000913392138 1073665290 /nfs/dbraw/zinc/66/52/90/1073665290.db2.gz GXBZVEROVLKSGU-CRAIPNDOSA-N 0 0 445.472 -0.091 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1=O ZINC000913392139 1073665304 /nfs/dbraw/zinc/66/53/04/1073665304.db2.gz GXBZVEROVLKSGU-MAUKXSAKSA-N 0 0 445.472 -0.091 20 0 IBADRN CN1CC[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1=O ZINC000913392140 1073665298 /nfs/dbraw/zinc/66/52/98/1073665298.db2.gz GXBZVEROVLKSGU-QAPCUYQASA-N 0 0 445.472 -0.091 20 0 IBADRN CN1CC[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1=O ZINC000913392141 1073665353 /nfs/dbraw/zinc/66/53/53/1073665353.db2.gz GXBZVEROVLKSGU-YJBOKZPZSA-N 0 0 445.472 -0.091 20 0 IBADRN Nc1nc(Cl)cc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC000913408734 1073665251 /nfs/dbraw/zinc/66/52/51/1073665251.db2.gz BZWLRJIQKJUTTO-UHFFFAOYSA-N 0 0 432.915 -0.130 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000913484574 1073667902 /nfs/dbraw/zinc/66/79/02/1073667902.db2.gz ZOOQQOWMMUDQKS-UHFFFAOYSA-N 0 0 431.522 -0.398 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1nc(CC3N=NC(=S)N3C)cs1)c(=O)n2C ZINC000913489574 1073668450 /nfs/dbraw/zinc/66/84/50/1073668450.db2.gz GJHZXLUNBSQSNG-UHFFFAOYSA-N 0 0 447.506 -0.463 20 0 IBADRN CN1C(=S)N=NC1Cc1csc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)n1 ZINC000913489759 1073668544 /nfs/dbraw/zinc/66/85/44/1073668544.db2.gz SHGVXCBYFVAYMB-UHFFFAOYSA-N 0 0 447.506 -0.463 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC(=O)CSc1ncccn1 ZINC000913534543 1073672453 /nfs/dbraw/zinc/67/24/53/1073672453.db2.gz YEJKLBODWIHPNR-UHFFFAOYSA-N 0 0 425.492 -0.115 20 0 IBADRN CCn1ccc(=O)n(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)c1=O ZINC000913545388 1073674409 /nfs/dbraw/zinc/67/44/09/1073674409.db2.gz DECCZEVDVVWYNW-KRWDZBQOSA-N 0 0 428.445 -0.459 20 0 IBADRN CCn1ccc(=O)n(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)c1=O ZINC000913545389 1073674442 /nfs/dbraw/zinc/67/44/42/1073674442.db2.gz DECCZEVDVVWYNW-QGZVFWFLSA-N 0 0 428.445 -0.459 20 0 IBADRN CCn1ccc(=O)n(CC(=O)Nc2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)c1=O ZINC000913545638 1073674561 /nfs/dbraw/zinc/67/45/61/1073674561.db2.gz RJACBZZLQYJRKV-UHFFFAOYSA-N 0 0 436.490 -0.002 20 0 IBADRN CCn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)c1=O ZINC000913548934 1073674456 /nfs/dbraw/zinc/67/44/56/1073674456.db2.gz CKHSWRWSAYHUQJ-UHFFFAOYSA-N 0 0 436.490 -0.428 20 0 IBADRN CCn1ccc(=O)n(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)c1=O ZINC000913548935 1073674505 /nfs/dbraw/zinc/67/45/05/1073674505.db2.gz COJWAODUCBHRBF-UHFFFAOYSA-N 0 0 436.490 -0.633 20 0 IBADRN CCn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)c1=O ZINC000913548947 1073674517 /nfs/dbraw/zinc/67/45/17/1073674517.db2.gz DHHGDYDDWUCHKZ-UHFFFAOYSA-N 0 0 448.501 -0.234 20 0 IBADRN CCn1ccc(=O)n(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1=O ZINC000913549084 1073674570 /nfs/dbraw/zinc/67/45/70/1073674570.db2.gz HCRRMONZWPAHDI-UHFFFAOYSA-N 0 0 436.490 -0.633 20 0 IBADRN CCn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)c1=O ZINC000913549467 1073674479 /nfs/dbraw/zinc/67/44/79/1073674479.db2.gz VFPMTOFRTLAVQF-UHFFFAOYSA-N 0 0 442.444 -0.159 20 0 IBADRN CCn1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)c1=O ZINC000913549598 1073675161 /nfs/dbraw/zinc/67/51/61/1073675161.db2.gz XMJZFHBRXIZMFB-UHFFFAOYSA-N 0 0 425.467 -0.832 20 0 IBADRN CCc1ccc(C(=O)NC2CCN(CC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000913661035 1072583603 /nfs/dbraw/zinc/58/36/03/1072583603.db2.gz XBMZIPJCKYHRAP-UHFFFAOYSA-N 0 0 438.550 -0.051 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)O[C@@H](C(=O)N[C@@]2(C)CCS(=O)(=O)C2)C(C)C)C1=O ZINC000913708637 1072588530 /nfs/dbraw/zinc/58/85/30/1072588530.db2.gz CXFGGPBHFKRAJY-BVGQSLNGSA-N 0 0 431.511 -0.032 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)O[C@H](C(=O)N[C@@]2(C)CCS(=O)(=O)C2)C(C)C)C1=O ZINC000913708639 1072588575 /nfs/dbraw/zinc/58/85/75/1072588575.db2.gz CXFGGPBHFKRAJY-KKXDTOCCSA-N 0 0 431.511 -0.032 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)O[C@H](C(=O)N[C@]2(C)CCS(=O)(=O)C2)C(C)C)C1=O ZINC000913708641 1072588717 /nfs/dbraw/zinc/58/87/17/1072588717.db2.gz CXFGGPBHFKRAJY-VHSSKADRSA-N 0 0 431.511 -0.032 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)O[C@@H](C(=O)N[C@]2(C)CCS(=O)(=O)C2)C(C)C)C1=O ZINC000913708644 1072588626 /nfs/dbraw/zinc/58/86/26/1072588626.db2.gz CXFGGPBHFKRAJY-XWIAVFTESA-N 0 0 431.511 -0.032 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1 ZINC000913889608 1072593558 /nfs/dbraw/zinc/59/35/58/1072593558.db2.gz BNCFLSWLTZPECH-CYBMUJFWSA-N 0 0 439.490 -0.164 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1 ZINC000913889609 1072593669 /nfs/dbraw/zinc/59/36/69/1072593669.db2.gz BNCFLSWLTZPECH-ZDUSSCGKSA-N 0 0 439.490 -0.164 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000913889772 1072593585 /nfs/dbraw/zinc/59/35/85/1072593585.db2.gz FOHYVNYTNBWZJM-DZGCQCFKSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000913889773 1072593525 /nfs/dbraw/zinc/59/35/25/1072593525.db2.gz FOHYVNYTNBWZJM-HIFRSBDPSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000913889774 1072593693 /nfs/dbraw/zinc/59/36/93/1072593693.db2.gz FOHYVNYTNBWZJM-UKRRQHHQSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000913889775 1072593604 /nfs/dbraw/zinc/59/36/04/1072593604.db2.gz FOHYVNYTNBWZJM-ZFWWWQNUSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000913889955 1072593698 /nfs/dbraw/zinc/59/36/98/1072593698.db2.gz JIOBDUCMHYYCGZ-CYBMUJFWSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000913889956 1072593686 /nfs/dbraw/zinc/59/36/86/1072593686.db2.gz JIOBDUCMHYYCGZ-ZDUSSCGKSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000913890416 1072593626 /nfs/dbraw/zinc/59/36/26/1072593626.db2.gz TWJICULZVPKJIW-UHFFFAOYSA-N 0 0 425.463 -0.551 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000913893737 1072593638 /nfs/dbraw/zinc/59/36/38/1072593638.db2.gz AEGIEAWQEASPKD-UHFFFAOYSA-N 0 0 430.465 -0.077 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000913994259 1072598987 /nfs/dbraw/zinc/59/89/87/1072598987.db2.gz ACXLYRWOZGLCBM-UHFFFAOYSA-N 0 0 439.490 -0.161 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)c1 ZINC000914147064 1072603280 /nfs/dbraw/zinc/60/32/80/1072603280.db2.gz HCDQUXXESSZKOA-CYBMUJFWSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)c1 ZINC000914147065 1072603236 /nfs/dbraw/zinc/60/32/36/1072603236.db2.gz HCDQUXXESSZKOA-ZDUSSCGKSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)N2CCCC2)c1 ZINC000914147784 1072603995 /nfs/dbraw/zinc/60/39/95/1072603995.db2.gz ZZMPNXDNNUPOSA-UHFFFAOYSA-N 0 0 425.463 -0.551 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)c1 ZINC000914148173 1072603256 /nfs/dbraw/zinc/60/32/56/1072603256.db2.gz KUCDOUADNVYRLK-CYBMUJFWSA-N 0 0 439.490 -0.164 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)c1 ZINC000914148174 1072603268 /nfs/dbraw/zinc/60/32/68/1072603268.db2.gz KUCDOUADNVYRLK-ZDUSSCGKSA-N 0 0 439.490 -0.164 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000914148227 1072603209 /nfs/dbraw/zinc/60/32/09/1072603209.db2.gz MRLLHWOYCYXKQB-DZGCQCFKSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000914148228 1072603259 /nfs/dbraw/zinc/60/32/59/1072603259.db2.gz MRLLHWOYCYXKQB-HIFRSBDPSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)c1 ZINC000914148229 1072603216 /nfs/dbraw/zinc/60/32/16/1072603216.db2.gz MRLLHWOYCYXKQB-UKRRQHHQSA-N 0 0 439.490 -0.177 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)c1 ZINC000914148230 1072603219 /nfs/dbraw/zinc/60/32/19/1072603219.db2.gz MRLLHWOYCYXKQB-ZFWWWQNUSA-N 0 0 439.490 -0.177 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000914260296 1072608086 /nfs/dbraw/zinc/60/80/86/1072608086.db2.gz ORRXRBHVASRMAK-LLVKDONJSA-N 0 0 430.435 -0.653 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000914260297 1072608183 /nfs/dbraw/zinc/60/81/83/1072608183.db2.gz ORRXRBHVASRMAK-NSHDSACASA-N 0 0 430.435 -0.653 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000914346280 1072612103 /nfs/dbraw/zinc/61/21/03/1072612103.db2.gz QKCIVJGRYRVMOU-GFCCVEGCSA-N 0 0 444.462 -0.263 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC000914346281 1072612130 /nfs/dbraw/zinc/61/21/30/1072612130.db2.gz QKCIVJGRYRVMOU-LBPRGKRZSA-N 0 0 444.462 -0.263 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)ccc1OC ZINC000914427406 1072620453 /nfs/dbraw/zinc/62/04/53/1072620453.db2.gz KYDXCLSPTNXNEM-LLVKDONJSA-N 0 0 427.479 -0.128 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)ccc1OC ZINC000914427407 1072620969 /nfs/dbraw/zinc/62/09/69/1072620969.db2.gz KYDXCLSPTNXNEM-NSHDSACASA-N 0 0 427.479 -0.128 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)ccc1OC ZINC000914427426 1072620918 /nfs/dbraw/zinc/62/09/18/1072620918.db2.gz LTAJTMKCTHULMX-UHFFFAOYSA-N 0 0 449.489 -0.101 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)ccc1OC ZINC000914427784 1072621004 /nfs/dbraw/zinc/62/10/04/1072621004.db2.gz WHTCXYMWSKAAPO-LLVKDONJSA-N 0 0 427.479 -0.114 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)ccc1OC ZINC000914427785 1072620906 /nfs/dbraw/zinc/62/09/06/1072620906.db2.gz WHTCXYMWSKAAPO-NSHDSACASA-N 0 0 427.479 -0.114 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)ccc1OC ZINC000914427852 1072620826 /nfs/dbraw/zinc/62/08/26/1072620826.db2.gz YTQSMMSVSWGKJN-AAEUAGOBSA-N 0 0 427.479 -0.128 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)ccc1OC ZINC000914427853 1072620816 /nfs/dbraw/zinc/62/08/16/1072620816.db2.gz YTQSMMSVSWGKJN-DGCLKSJQSA-N 0 0 427.479 -0.128 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)ccc1OC ZINC000914427854 1072620873 /nfs/dbraw/zinc/62/08/73/1072620873.db2.gz YTQSMMSVSWGKJN-WCQYABFASA-N 0 0 427.479 -0.128 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)ccc1OC ZINC000914427855 1072620932 /nfs/dbraw/zinc/62/09/32/1072620932.db2.gz YTQSMMSVSWGKJN-YPMHNXCESA-N 0 0 427.479 -0.128 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(C)CC(=O)N2CCN(C)CC2)CC1 ZINC000914450057 1072643010 /nfs/dbraw/zinc/64/30/10/1072643010.db2.gz VAPUCEHWQLBOHI-UHFFFAOYSA-N 0 0 440.570 -0.340 20 0 IBADRN O=C(NN1C(=O)c2ccccc2C1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914459446 1072643241 /nfs/dbraw/zinc/64/32/41/1072643241.db2.gz JNTGUXNOVWEKMO-UHFFFAOYSA-N 0 0 428.426 -0.252 20 0 IBADRN O=C(NCCc1nnc2ccccn21)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914460837 1072643641 /nfs/dbraw/zinc/64/36/41/1072643641.db2.gz BXRRMNQFMASMHP-UHFFFAOYSA-N 0 0 428.474 -0.178 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000914465464 1072643778 /nfs/dbraw/zinc/64/37/78/1072643778.db2.gz GMRLNVPHPFCAEL-IBGZPJMESA-N 0 0 438.506 -0.044 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCCN(S(C)(=O)=O)CC3)C2=O)cc1 ZINC000914465465 1072643678 /nfs/dbraw/zinc/64/36/78/1072643678.db2.gz GMRLNVPHPFCAEL-LJQANCHMSA-N 0 0 438.506 -0.044 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCN1C(=O)c2ccccc2C1=O ZINC000914470137 1072643815 /nfs/dbraw/zinc/64/38/15/1072643815.db2.gz QKOSRGQSGPQFFW-NEPJUHHUSA-N 0 0 428.488 -0.611 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCN1C(=O)c2ccccc2C1=O ZINC000914470140 1072643662 /nfs/dbraw/zinc/64/36/62/1072643662.db2.gz QKOSRGQSGPQFFW-NWDGAFQWSA-N 0 0 428.488 -0.611 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCN1C(=O)c2ccccc2C1=O ZINC000914470141 1072643734 /nfs/dbraw/zinc/64/37/34/1072643734.db2.gz QKOSRGQSGPQFFW-RYUDHWBXSA-N 0 0 428.488 -0.611 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCN1C(=O)c2ccccc2C1=O ZINC000914470142 1072643761 /nfs/dbraw/zinc/64/37/61/1072643761.db2.gz QKOSRGQSGPQFFW-VXGBXAGGSA-N 0 0 428.488 -0.611 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000914470326 1072644342 /nfs/dbraw/zinc/64/43/42/1072644342.db2.gz AGWFVYKCCOCMMW-UHFFFAOYSA-N 0 0 442.513 -0.213 20 0 IBADRN COCCS(=O)(=O)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000914471273 1072644406 /nfs/dbraw/zinc/64/44/06/1072644406.db2.gz RRIRLKYKLRAADG-UHFFFAOYSA-N 0 0 436.508 -0.284 20 0 IBADRN CCOC(=O)Cc1ncccc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000914471663 1072644231 /nfs/dbraw/zinc/64/42/31/1072644231.db2.gz KGTIMKRUAIDKAE-UHFFFAOYSA-N 0 0 426.495 -0.478 20 0 IBADRN CC1(C)NC(=O)N(CCS(=O)(=O)CC(=O)N2CCN(C(=O)c3ccco3)CC2)C1=O ZINC000914473953 1072644444 /nfs/dbraw/zinc/64/44/44/1072644444.db2.gz XAIFYTBRUOUGJA-UHFFFAOYSA-N 0 0 440.478 -0.691 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000914492885 1072645377 /nfs/dbraw/zinc/64/53/77/1072645377.db2.gz BUWZPALLZUXPBP-IBGZPJMESA-N 0 0 435.506 -0.670 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000914492889 1072645528 /nfs/dbraw/zinc/64/55/28/1072645528.db2.gz BUWZPALLZUXPBP-LJQANCHMSA-N 0 0 435.506 -0.670 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000914493503 1072645371 /nfs/dbraw/zinc/64/53/71/1072645371.db2.gz ZWCBCBFKPHSHSA-DHDCSXOGSA-N 0 0 430.552 -0.083 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000914493504 1072645522 /nfs/dbraw/zinc/64/55/22/1072645522.db2.gz ZWCBCBFKPHSHSA-OQLLNIDSSA-N 0 0 430.552 -0.083 20 0 IBADRN Cn1cc(/C=C\C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)c(=O)n(C)c1=O ZINC000914501905 1072646010 /nfs/dbraw/zinc/64/60/10/1072646010.db2.gz POONMUUNTLZPPT-SREVYHEPSA-N 0 0 426.882 -0.155 20 0 IBADRN Cc1ccc(C2N=NC(=S)N2CC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000914502001 1072646036 /nfs/dbraw/zinc/64/60/36/1072646036.db2.gz AFAHUNBNYOAURZ-UHFFFAOYSA-N 0 0 427.494 -0.100 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000914503923 1072646847 /nfs/dbraw/zinc/64/68/47/1072646847.db2.gz QSHVOEDWFNRCFY-UHFFFAOYSA-N 0 0 429.524 -0.982 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC000914525187 1072647971 /nfs/dbraw/zinc/64/79/71/1072647971.db2.gz GIVXTHOBXNNXOC-AWEZNQCLSA-N 0 0 445.929 -0.736 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC000914525188 1072648080 /nfs/dbraw/zinc/64/80/80/1072648080.db2.gz GIVXTHOBXNNXOC-CQSZACIVSA-N 0 0 445.929 -0.736 20 0 IBADRN COc1cccc(/C=C(\C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n2nnnc2C)c1 ZINC000914525311 1072648026 /nfs/dbraw/zinc/64/80/26/1072648026.db2.gz NJNILFKJDPDXKW-GHRIWEEISA-N 0 0 435.510 -0.061 20 0 IBADRN COc1cccc(/C=C(/C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)n2nnnc2C)c1 ZINC000914525312 1072648069 /nfs/dbraw/zinc/64/80/69/1072648069.db2.gz NJNILFKJDPDXKW-LGMDPLHJSA-N 0 0 435.510 -0.061 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000914525483 1072648097 /nfs/dbraw/zinc/64/80/97/1072648097.db2.gz ZAXYQQVHTVJNQF-UHFFFAOYSA-N 0 0 434.515 -0.169 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C[S@](=O)CCN2C(=O)NC(C)(C)C2=O)c1 ZINC000914525648 1072647898 /nfs/dbraw/zinc/64/78/98/1072647898.db2.gz BETPONOBBYCYHZ-MUUNZHRXSA-N 0 0 444.535 -0.046 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)C[S@@](=O)CCN2C(=O)NC(C)(C)C2=O)c1 ZINC000914525651 1072647945 /nfs/dbraw/zinc/64/79/45/1072647945.db2.gz BETPONOBBYCYHZ-NDEPHWFRSA-N 0 0 444.535 -0.046 20 0 IBADRN Cn1cnc2c1c(=O)n(CCC[S@](=O)CCN1C(=O)c3ccccc3C1=O)c(=O)n2C ZINC000914530279 1072648638 /nfs/dbraw/zinc/64/86/38/1072648638.db2.gz GOUGTLDZANAZSP-HKBQPEDESA-N 0 0 443.485 -0.131 20 0 IBADRN Cn1cnc2c1c(=O)n(CCC[S@@](=O)CCN1C(=O)c3ccccc3C1=O)c(=O)n2C ZINC000914530280 1072648604 /nfs/dbraw/zinc/64/86/04/1072648604.db2.gz GOUGTLDZANAZSP-WJOKGBTCSA-N 0 0 443.485 -0.131 20 0 IBADRN O=C(NCc1ccc(-n2ccnc2)nc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000914534746 1072649312 /nfs/dbraw/zinc/64/93/12/1072649312.db2.gz JSTFBWPRAOEDEO-UHFFFAOYSA-N 0 0 435.510 -0.329 20 0 IBADRN O=C(NCc1ccc(-n2ccnc2)nc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000914535152 1072649403 /nfs/dbraw/zinc/64/94/03/1072649403.db2.gz LMEAVZZMTZXSNI-UHFFFAOYSA-N 0 0 427.465 -0.520 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000914535279 1072649285 /nfs/dbraw/zinc/64/92/85/1072649285.db2.gz YOJNPYLICANKPL-UHFFFAOYSA-N 0 0 425.577 -0.676 20 0 IBADRN O=C(NC[C@H](O)CN1CCOCC1)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC000914535728 1072649227 /nfs/dbraw/zinc/64/92/27/1072649227.db2.gz FUUCMKHMGXWLIT-HNNXBMFYSA-N 0 0 446.957 -0.055 20 0 IBADRN O=C(NC[C@@H](O)CN1CCOCC1)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC000914535729 1072649305 /nfs/dbraw/zinc/64/93/05/1072649305.db2.gz FUUCMKHMGXWLIT-OAHLLOKOSA-N 0 0 446.957 -0.055 20 0 IBADRN O=C(NC[C@H](O)CN1CCOCC1)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000914535799 1072649255 /nfs/dbraw/zinc/64/92/55/1072649255.db2.gz MDXRPPQVTGOBHQ-AWEZNQCLSA-N 0 0 431.486 -0.731 20 0 IBADRN O=C(NC[C@@H](O)CN1CCOCC1)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000914535800 1072649422 /nfs/dbraw/zinc/64/94/22/1072649422.db2.gz MDXRPPQVTGOBHQ-CQSZACIVSA-N 0 0 431.486 -0.731 20 0 IBADRN O=C(NC[C@H](O)CN1CCOCC1)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000914535843 1072649366 /nfs/dbraw/zinc/64/93/66/1072649366.db2.gz QUOYFUZWVUCGHA-AWEZNQCLSA-N 0 0 447.941 -0.216 20 0 IBADRN O=C(NC[C@@H](O)CN1CCOCC1)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC000914535844 1072649341 /nfs/dbraw/zinc/64/93/41/1072649341.db2.gz QUOYFUZWVUCGHA-CQSZACIVSA-N 0 0 447.941 -0.216 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NC[C@@H](O)CN2CCOCC2)c1 ZINC000914535863 1072649292 /nfs/dbraw/zinc/64/92/92/1072649292.db2.gz RZWOMBZTDJIDGT-CYBMUJFWSA-N 0 0 435.930 -0.312 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NC[C@H](O)CN2CCOCC2)c1 ZINC000914535864 1072649322 /nfs/dbraw/zinc/64/93/22/1072649322.db2.gz RZWOMBZTDJIDGT-ZDUSSCGKSA-N 0 0 435.930 -0.312 20 0 IBADRN O=C(NC[C@H](O)CN1CCOCC1)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000914535911 1072649245 /nfs/dbraw/zinc/64/92/45/1072649245.db2.gz WOBIHDQYZUQWSN-INIZCTEOSA-N 0 0 436.534 -0.343 20 0 IBADRN O=C(NC[C@@H](O)CN1CCOCC1)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000914535912 1072649331 /nfs/dbraw/zinc/64/93/31/1072649331.db2.gz WOBIHDQYZUQWSN-MRXNPFEDSA-N 0 0 436.534 -0.343 20 0 IBADRN O=C(NCc1cccnc1-n1ccnc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000914536579 1072649431 /nfs/dbraw/zinc/64/94/31/1072649431.db2.gz KANVMOISSXEZPB-UHFFFAOYSA-N 0 0 435.510 -0.329 20 0 IBADRN O=C(NCc1cccnc1-n1ccnc1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000914536661 1072649733 /nfs/dbraw/zinc/64/97/33/1072649733.db2.gz QMZSXLDHDBNRBM-UHFFFAOYSA-N 0 0 427.465 -0.520 20 0 IBADRN O=C(NC[C@@H](O)CN1CCOCC1)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC000914536742 1072649901 /nfs/dbraw/zinc/64/99/01/1072649901.db2.gz ANWXCAXEYMRKCU-CYBMUJFWSA-N 0 0 426.520 -0.279 20 0 IBADRN O=C(NC[C@H](O)CN1CCOCC1)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC000914536768 1072649768 /nfs/dbraw/zinc/64/97/68/1072649768.db2.gz ANWXCAXEYMRKCU-ZDUSSCGKSA-N 0 0 426.520 -0.279 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)CC)CC2)CC1 ZINC000914536981 1072649875 /nfs/dbraw/zinc/64/98/75/1072649875.db2.gz VLALZEXKDAIWBZ-UHFFFAOYSA-N 0 0 439.604 -0.286 20 0 IBADRN O=C(NC[C@H](O)CN1CCOCC1)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000914542036 1072649827 /nfs/dbraw/zinc/64/98/27/1072649827.db2.gz NCCKSVYWIGOJBS-HNNXBMFYSA-N 0 0 431.486 -0.731 20 0 IBADRN O=C(NC[C@@H](O)CN1CCOCC1)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000914542037 1072649891 /nfs/dbraw/zinc/64/98/91/1072649891.db2.gz NCCKSVYWIGOJBS-OAHLLOKOSA-N 0 0 431.486 -0.731 20 0 IBADRN CCOc1ccc(-c2nnn(CN3CCN(S(=O)(=O)N4CCOCC4)CC3)n2)cc1 ZINC000914565477 1072650474 /nfs/dbraw/zinc/65/04/74/1072650474.db2.gz MYNASMXILGDYIG-UHFFFAOYSA-N 0 0 437.526 -0.109 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCN(S(C)(=O)=O)CC2)c1=O ZINC000914565509 1072650426 /nfs/dbraw/zinc/65/04/26/1072650426.db2.gz FVWMFRMUZMKNMU-UHFFFAOYSA-N 0 0 445.567 -0.392 20 0 IBADRN CCOc1ccc(-c2nnn(CN3CCN(C(=O)C(=O)N4CCOCC4)CC3)n2)cc1 ZINC000914565939 1072650462 /nfs/dbraw/zinc/65/04/62/1072650462.db2.gz LDJOPEFJECOFRB-UHFFFAOYSA-N 0 0 429.481 -0.301 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C(=O)c3c2c(F)ccc3Cl)CC1)N1CCOCC1 ZINC000914566362 1072650481 /nfs/dbraw/zinc/65/04/81/1072650481.db2.gz ZDDPMLAJEZISKF-UHFFFAOYSA-N 0 0 438.843 -0.031 20 0 IBADRN CCOc1ccccc1N1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N(C)C)CC2)C1=O ZINC000914567702 1072651120 /nfs/dbraw/zinc/65/11/20/1072651120.db2.gz FYKNUVHBNXNSEI-UHFFFAOYSA-N 0 0 439.494 -0.238 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(Cn2nc(N3CCOCC3)n(C3CC3)c2=S)CC1 ZINC000914567708 1072651129 /nfs/dbraw/zinc/65/11/29/1072651129.db2.gz GPKJAOOFJAVVFS-UHFFFAOYSA-N 0 0 431.588 -0.033 20 0 IBADRN CC(C)Cn1c(CCC(N)=O)nn(CN2CCN(S(=O)(=O)N(C)C)CC2)c1=S ZINC000914568197 1072651126 /nfs/dbraw/zinc/65/11/26/1072651126.db2.gz XBMZGYZTIDRHBI-UHFFFAOYSA-N 0 0 433.604 -0.131 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000914575103 1072651008 /nfs/dbraw/zinc/65/10/08/1072651008.db2.gz PBPCUSDPCUBYCS-INIZCTEOSA-N 0 0 430.571 -0.130 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000914575104 1072650967 /nfs/dbraw/zinc/65/09/67/1072650967.db2.gz PBPCUSDPCUBYCS-MRXNPFEDSA-N 0 0 430.571 -0.130 20 0 IBADRN Cn1cnc(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)c1Cl ZINC000914576823 1072651552 /nfs/dbraw/zinc/65/15/52/1072651552.db2.gz JAARBYMWQUQCIW-CYBMUJFWSA-N 0 0 440.975 -0.026 20 0 IBADRN Cn1cnc(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)c1Cl ZINC000914576824 1072651630 /nfs/dbraw/zinc/65/16/30/1072651630.db2.gz JAARBYMWQUQCIW-ZDUSSCGKSA-N 0 0 440.975 -0.026 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1=O ZINC000914591569 1072652075 /nfs/dbraw/zinc/65/20/75/1072652075.db2.gz RHJZHPBGQMDUKG-HNNXBMFYSA-N 0 0 426.514 -0.917 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1=O ZINC000914591573 1072652699 /nfs/dbraw/zinc/65/26/99/1072652699.db2.gz RHJZHPBGQMDUKG-OAHLLOKOSA-N 0 0 426.514 -0.917 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000914591641 1072652680 /nfs/dbraw/zinc/65/26/80/1072652680.db2.gz SIAZCIMFXAJEQJ-BZUAXINKSA-N 0 0 446.522 -0.781 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000914591644 1072652708 /nfs/dbraw/zinc/65/27/08/1072652708.db2.gz SIAZCIMFXAJEQJ-OAGGEKHMSA-N 0 0 446.522 -0.781 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000914591646 1072652774 /nfs/dbraw/zinc/65/27/74/1072652774.db2.gz SIAZCIMFXAJEQJ-OWCLPIDISA-N 0 0 446.522 -0.781 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000914591649 1072652677 /nfs/dbraw/zinc/65/26/77/1072652677.db2.gz SIAZCIMFXAJEQJ-PMPSAXMXSA-N 0 0 446.522 -0.781 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000914592781 1072651574 /nfs/dbraw/zinc/65/15/74/1072651574.db2.gz WJCWXCVYDRHQDH-GDBMZVCRSA-N 0 0 430.523 -0.017 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC000914592782 1072651646 /nfs/dbraw/zinc/65/16/46/1072651646.db2.gz WJCWXCVYDRHQDH-GOEBONIOSA-N 0 0 430.523 -0.017 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000914592783 1072651478 /nfs/dbraw/zinc/65/14/78/1072651478.db2.gz WJCWXCVYDRHQDH-HOCLYGCPSA-N 0 0 430.523 -0.017 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)CC1=O ZINC000914592784 1072651522 /nfs/dbraw/zinc/65/15/22/1072651522.db2.gz WJCWXCVYDRHQDH-ZBFHGGJFSA-N 0 0 430.523 -0.017 20 0 IBADRN COc1ccc(CC(=O)OCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000914595923 1072652149 /nfs/dbraw/zinc/65/21/49/1072652149.db2.gz CJEIZTWNWLXBGY-UHFFFAOYSA-N 0 0 441.462 -0.646 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)OCCN2C(=O)CNC2=O)cc1 ZINC000914596967 1072652166 /nfs/dbraw/zinc/65/21/66/1072652166.db2.gz UTAKSMCZKWODJU-UHFFFAOYSA-N 0 0 440.478 -0.458 20 0 IBADRN CC(C)CN1C[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000914597845 1072652761 /nfs/dbraw/zinc/65/27/61/1072652761.db2.gz WRFHAIULKAZVHK-CVEARBPZSA-N 0 0 429.539 -0.635 20 0 IBADRN CC(C)CN1C[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000914597846 1072652690 /nfs/dbraw/zinc/65/26/90/1072652690.db2.gz WRFHAIULKAZVHK-HOTGVXAUSA-N 0 0 429.539 -0.635 20 0 IBADRN CC(C)CN1C[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000914597847 1072652769 /nfs/dbraw/zinc/65/27/69/1072652769.db2.gz WRFHAIULKAZVHK-HZPDHXFCSA-N 0 0 429.539 -0.635 20 0 IBADRN CC(C)CN1C[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000914597848 1072652724 /nfs/dbraw/zinc/65/27/24/1072652724.db2.gz WRFHAIULKAZVHK-JKSUJKDBSA-N 0 0 429.539 -0.635 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)OCCN1C(=O)CNC1=O ZINC000914598070 1072652715 /nfs/dbraw/zinc/65/27/15/1072652715.db2.gz VNILEVHGOAEKMR-UHFFFAOYSA-N 0 0 427.435 -0.389 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C2CCN(C(=O)c3cccnc3)CC2)CC1)N1CCOCC1 ZINC000914602982 1072652683 /nfs/dbraw/zinc/65/26/83/1072652683.db2.gz FPCKAOXVOJNAKO-UHFFFAOYSA-N 0 0 443.504 -0.537 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)CC1 ZINC000914602985 1072652719 /nfs/dbraw/zinc/65/27/19/1072652719.db2.gz GCDLYQPVPLUSDI-UHFFFAOYSA-N 0 0 426.451 -0.021 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)c(=O)n(C)c1=O ZINC000914604895 1072653227 /nfs/dbraw/zinc/65/32/27/1072653227.db2.gz AYIUVLUADHHGRZ-FPLPWBNLSA-N 0 0 435.488 -0.033 20 0 IBADRN CCOC(=O)[C@H]1CSCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000914607953 1072653303 /nfs/dbraw/zinc/65/33/03/1072653303.db2.gz RKIBHMOZQKZTND-GFCCVEGCSA-N 0 0 429.476 -0.251 20 0 IBADRN CCOC(=O)[C@@H]1CSCCN1C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC000914607954 1072653324 /nfs/dbraw/zinc/65/33/24/1072653324.db2.gz RKIBHMOZQKZTND-LBPRGKRZSA-N 0 0 429.476 -0.251 20 0 IBADRN O=C(CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCOCC1 ZINC000914608093 1072653289 /nfs/dbraw/zinc/65/32/89/1072653289.db2.gz ZMAPZSBELYHNPZ-UHFFFAOYSA-N 0 0 429.470 -0.175 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCCC[C@H]1CNS(C)(=O)=O)C2=O ZINC000914612507 1072653890 /nfs/dbraw/zinc/65/38/90/1072653890.db2.gz OLGPHLORQKPBKR-FVRSWCFKSA-N 0 0 429.543 -0.078 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCCC[C@@H]1CNS(C)(=O)=O)C2=O ZINC000914612508 1072653784 /nfs/dbraw/zinc/65/37/84/1072653784.db2.gz OLGPHLORQKPBKR-TXKKKEFDSA-N 0 0 429.543 -0.078 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000914614241 1072653772 /nfs/dbraw/zinc/65/37/72/1072653772.db2.gz WMYJMAIBPAQUQL-UHFFFAOYSA-N 0 0 433.556 -0.519 20 0 IBADRN CCN(C(=O)COC(=O)CCNS(=O)(=O)c1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC000914614866 1072653893 /nfs/dbraw/zinc/65/38/93/1072653893.db2.gz LWXIIAYGJYFNHT-CYBMUJFWSA-N 0 0 433.508 -0.671 20 0 IBADRN CCN(C(=O)COC(=O)CCNS(=O)(=O)c1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC000914614872 1072653758 /nfs/dbraw/zinc/65/37/58/1072653758.db2.gz LWXIIAYGJYFNHT-ZDUSSCGKSA-N 0 0 433.508 -0.671 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CCNS(=O)(=O)c1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC000914614955 1072653765 /nfs/dbraw/zinc/65/37/65/1072653765.db2.gz PCJKMZWUHUYOOM-KBPBESRZSA-N 0 0 447.535 -0.283 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CCNS(=O)(=O)c1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC000914614956 1072653737 /nfs/dbraw/zinc/65/37/37/1072653737.db2.gz PCJKMZWUHUYOOM-KGLIPLIRSA-N 0 0 447.535 -0.283 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CCNS(=O)(=O)c1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC000914614957 1072653948 /nfs/dbraw/zinc/65/39/48/1072653948.db2.gz PCJKMZWUHUYOOM-UONOGXRCSA-N 0 0 447.535 -0.283 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CCNS(=O)(=O)c1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC000914614958 1072653846 /nfs/dbraw/zinc/65/38/46/1072653846.db2.gz PCJKMZWUHUYOOM-ZIAGYGMSSA-N 0 0 447.535 -0.283 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)OCCN1C(=O)CNC1=O)n2C ZINC000914615401 1072653215 /nfs/dbraw/zinc/65/32/15/1072653215.db2.gz GTBCDDVGUXSZIL-UHFFFAOYSA-N 0 0 437.478 -0.149 20 0 IBADRN O=C(OCCN1C(=O)CNC1=O)c1cc(I)ccc1-n1cnnn1 ZINC000914615727 1072653309 /nfs/dbraw/zinc/65/33/09/1072653309.db2.gz OUEGTOZQRAZYER-UHFFFAOYSA-N 0 0 442.173 -0.025 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)OCCN1C(=O)CNC1=O ZINC000914616551 1072653330 /nfs/dbraw/zinc/65/33/30/1072653330.db2.gz WBPNASJWVVYZFW-UHFFFAOYSA-N 0 0 425.463 -0.265 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1=O ZINC000914617903 1072653257 /nfs/dbraw/zinc/65/32/57/1072653257.db2.gz QCKRLRGCJRKKHQ-UHFFFAOYSA-N 0 0 440.478 -0.342 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCCn2nc3ccccn3c2=O)c1 ZINC000914618023 1072653269 /nfs/dbraw/zinc/65/32/69/1072653269.db2.gz VKDZHLLIOUJAKE-UHFFFAOYSA-N 0 0 447.473 -0.163 20 0 IBADRN O=C(/C=C/C(=O)OCCn1nc2ccccn2c1=O)OCCn1nc2ccccn2c1=O ZINC000914618770 1072654340 /nfs/dbraw/zinc/65/43/40/1072654340.db2.gz XLMVFYUEMCRAFE-BQYQJAHWSA-N 0 0 438.400 -0.352 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCCN3C(=O)CNC3=O)cc2)CC1 ZINC000914618832 1072654197 /nfs/dbraw/zinc/65/41/97/1072654197.db2.gz LVXKCHCDEPXFRI-UHFFFAOYSA-N 0 0 438.462 -0.752 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCN1C(=O)CNC1=O ZINC000914619068 1072654302 /nfs/dbraw/zinc/65/43/02/1072654302.db2.gz YAUXMSDEDYRVOC-UHFFFAOYSA-N 0 0 427.435 -0.575 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCCN2C(=O)CNC2=O)c1 ZINC000914619124 1072654280 /nfs/dbraw/zinc/65/42/80/1072654280.db2.gz PVCDJBWALRXHEX-UHFFFAOYSA-N 0 0 440.478 -0.458 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCCn2nc3ccccn3c2=O)c1 ZINC000914621115 1072654184 /nfs/dbraw/zinc/65/41/84/1072654184.db2.gz CKKIRDNHOORQJB-UHFFFAOYSA-N 0 0 449.489 -0.068 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCCN2C(=O)NC(C)(C)C2=O)c1 ZINC000914621727 1072654204 /nfs/dbraw/zinc/65/42/04/1072654204.db2.gz VYKZJBOVMRSDHR-UHFFFAOYSA-N 0 0 442.494 -0.248 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCCN2C(=O)NC(C)(C)C2=O)c1 ZINC000914622176 1072654329 /nfs/dbraw/zinc/65/43/29/1072654329.db2.gz AQVXTWLHHZUCFT-UHFFFAOYSA-N 0 0 440.478 -0.460 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCCn2nc3ccccn3c2=O)cc1 ZINC000914622755 1072654258 /nfs/dbraw/zinc/65/42/58/1072654258.db2.gz NHRHZRVWRFHLAI-UHFFFAOYSA-N 0 0 433.446 -0.024 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCCn2nc3ccccn3c2=O)c1 ZINC000914623009 1072654271 /nfs/dbraw/zinc/65/42/71/1072654271.db2.gz UUCQPGVJWDCVGK-UHFFFAOYSA-N 0 0 447.473 -0.281 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)OCCN1C(=O)CNC1=O ZINC000914623150 1072654348 /nfs/dbraw/zinc/65/43/48/1072654348.db2.gz XXWIMOKODBWJOM-UHFFFAOYSA-N 0 0 427.435 -0.389 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)OCCN1C(=O)CNC1=O ZINC000914623653 1072654288 /nfs/dbraw/zinc/65/42/88/1072654288.db2.gz HLNUBOWEFLYRTA-FQEVSTJZSA-N 0 0 430.417 -0.293 20 0 IBADRN COCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)OCCN1C(=O)CNC1=O ZINC000914623654 1072654913 /nfs/dbraw/zinc/65/49/13/1072654913.db2.gz HLNUBOWEFLYRTA-HXUWFJFHSA-N 0 0 430.417 -0.293 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)OCCN2C(=O)CNC2=O)c1 ZINC000914624068 1072654869 /nfs/dbraw/zinc/65/48/69/1072654869.db2.gz FEDAWPATXQZHFI-UHFFFAOYSA-N 0 0 440.478 -0.518 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCCN2C(=O)NC(C)(C)C2=O)cc1OC ZINC000914624384 1072654786 /nfs/dbraw/zinc/65/47/86/1072654786.db2.gz JIOAIUGKEVNWEX-UHFFFAOYSA-N 0 0 429.451 -0.144 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCN1C(=O)CNC1=O ZINC000914624738 1072654833 /nfs/dbraw/zinc/65/48/33/1072654833.db2.gz ITSZIBZUUSUTQX-LLVKDONJSA-N 0 0 426.451 -0.850 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1)C(=O)OCCN1C(=O)CNC1=O ZINC000914624761 1072654921 /nfs/dbraw/zinc/65/49/21/1072654921.db2.gz ITSZIBZUUSUTQX-NSHDSACASA-N 0 0 426.451 -0.850 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCn1nc2ccccn2c1=O ZINC000914624777 1072654797 /nfs/dbraw/zinc/65/47/97/1072654797.db2.gz CSUZCNNNIYABGD-UHFFFAOYSA-N 0 0 435.462 -0.288 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCN1C(=O)NC(C)(C)C1=O ZINC000914625295 1072654940 /nfs/dbraw/zinc/65/49/40/1072654940.db2.gz PJGOGPCOULBHBB-UHFFFAOYSA-N 0 0 428.467 -0.467 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)OCCN1C(=O)CNC1=O ZINC000914625590 1072654974 /nfs/dbraw/zinc/65/49/74/1072654974.db2.gz UZSWNMDPXRVHPV-CYBMUJFWSA-N 0 0 445.881 -0.032 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)OCCN1C(=O)CNC1=O ZINC000914625591 1072654821 /nfs/dbraw/zinc/65/48/21/1072654821.db2.gz UZSWNMDPXRVHPV-ZDUSSCGKSA-N 0 0 445.881 -0.032 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@@H]2CCCN2c2ncccn2)cc1 ZINC000914625875 1072654930 /nfs/dbraw/zinc/65/49/30/1072654930.db2.gz PBNUHJMPWXRQIF-INIZCTEOSA-N 0 0 433.490 -0.005 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)[C@H]2CCCN2c2ncccn2)cc1 ZINC000914625876 1072654966 /nfs/dbraw/zinc/65/49/66/1072654966.db2.gz PBNUHJMPWXRQIF-MRXNPFEDSA-N 0 0 433.490 -0.005 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1=O ZINC000914626258 1072654878 /nfs/dbraw/zinc/65/48/78/1072654878.db2.gz BUUTWHNKFJRACC-UHFFFAOYSA-N 0 0 438.462 -0.706 20 0 IBADRN O=C(OCCn1nc2ccccn2c1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000914626318 1072654800 /nfs/dbraw/zinc/65/48/00/1072654800.db2.gz GSFTXCVPMKOMRI-UHFFFAOYSA-N 0 0 445.457 -0.527 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1c1ncccn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000914626335 1072654862 /nfs/dbraw/zinc/65/48/62/1072654862.db2.gz LAOWQDZUXLCVHL-CVEARBPZSA-N 0 0 437.522 -0.680 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1c1ncccn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000914626336 1072654811 /nfs/dbraw/zinc/65/48/11/1072654811.db2.gz LAOWQDZUXLCVHL-HOTGVXAUSA-N 0 0 437.522 -0.680 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1c1ncccn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000914626337 1072654772 /nfs/dbraw/zinc/65/47/72/1072654772.db2.gz LAOWQDZUXLCVHL-HZPDHXFCSA-N 0 0 437.522 -0.680 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1c1ncccn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000914626338 1072655313 /nfs/dbraw/zinc/65/53/13/1072655313.db2.gz LAOWQDZUXLCVHL-JKSUJKDBSA-N 0 0 437.522 -0.680 20 0 IBADRN CCCn1c(=O)[nH]c(=O)c2c(C(=O)OCC(=O)N3CCNC(=O)C3)cc(C3CC3)nc21 ZINC000914627422 1072655267 /nfs/dbraw/zinc/65/52/67/1072655267.db2.gz KLRKRTKZGLJSJN-UHFFFAOYSA-N 0 0 429.433 -0.100 20 0 IBADRN CCc1ccc(C(=O)OCCN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000914631816 1072655251 /nfs/dbraw/zinc/65/52/51/1072655251.db2.gz JHTJQSGHJNKEQP-UHFFFAOYSA-N 0 0 425.463 -0.022 20 0 IBADRN CCc1ccc(C(=O)OCC(=O)N2CCNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC000914636275 1072655483 /nfs/dbraw/zinc/65/54/83/1072655483.db2.gz BHBHHXZCOWKFCX-UHFFFAOYSA-N 0 0 439.490 -0.615 20 0 IBADRN CCc1ccc(C(=O)OCC(=O)NCC(=O)OC)cc1S(=O)(=O)N1CCOCC1 ZINC000914636304 1072655500 /nfs/dbraw/zinc/65/55/00/1072655500.db2.gz CBJAARCVLRGKBO-UHFFFAOYSA-N 0 0 428.463 -0.284 20 0 IBADRN CCc1ccc(C(=O)OCC(=O)N2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC000914636843 1072655506 /nfs/dbraw/zinc/65/55/06/1072655506.db2.gz FFQVJMXKVPPXKN-UHFFFAOYSA-N 0 0 425.463 -0.022 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000914639735 1072655511 /nfs/dbraw/zinc/65/55/11/1072655511.db2.gz WRGHRKMHSVHRCD-UHFFFAOYSA-N 0 0 429.477 -0.519 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)OCC(=O)NCC(=O)N3CCCC3)cc(C3CC3)nc21 ZINC000914643508 1072656022 /nfs/dbraw/zinc/65/60/22/1072656022.db2.gz KKHIJWLHKAQIEL-UHFFFAOYSA-N 0 0 429.433 -0.193 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)OCC(=O)N3CCCC[C@@H]3C(N)=O)cc(C3CC3)nc21 ZINC000914643659 1072656011 /nfs/dbraw/zinc/65/60/11/1072656011.db2.gz OBGBVPXHSWHSJH-CYBMUJFWSA-N 0 0 429.433 -0.065 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2c(C(=O)OCC(=O)N3CCCC[C@H]3C(N)=O)cc(C3CC3)nc21 ZINC000914643662 1072656148 /nfs/dbraw/zinc/65/61/48/1072656148.db2.gz OBGBVPXHSWHSJH-ZDUSSCGKSA-N 0 0 429.433 -0.065 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)CC1 ZINC000914644395 1072656159 /nfs/dbraw/zinc/65/61/59/1072656159.db2.gz ZOTAXZQCDKKQDU-UHFFFAOYSA-N 0 0 429.433 -0.241 20 0 IBADRN Cc1ccccc1[C@H](CC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)NC(N)=O ZINC000914644816 1072656042 /nfs/dbraw/zinc/65/60/42/1072656042.db2.gz QYINFSZPNDKIQH-KRWDZBQOSA-N 0 0 433.509 -0.130 20 0 IBADRN Cc1ccccc1[C@@H](CC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)NC(N)=O ZINC000914644820 1072656130 /nfs/dbraw/zinc/65/61/30/1072656130.db2.gz QYINFSZPNDKIQH-QGZVFWFLSA-N 0 0 433.509 -0.130 20 0 IBADRN Cc1cccc([C@H](CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)NC(N)=O)c1 ZINC000914644969 1072656074 /nfs/dbraw/zinc/65/60/74/1072656074.db2.gz VZPSQRVNFHZSHN-KRWDZBQOSA-N 0 0 433.509 -0.130 20 0 IBADRN Cc1cccc([C@@H](CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)NC(N)=O)c1 ZINC000914644971 1072655978 /nfs/dbraw/zinc/65/59/78/1072655978.db2.gz VZPSQRVNFHZSHN-QGZVFWFLSA-N 0 0 433.509 -0.130 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1cc(C2CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000914646011 1072655997 /nfs/dbraw/zinc/65/59/97/1072655997.db2.gz VPPKRAUINLRQFG-SECBINFHSA-N 0 0 433.421 -0.071 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1cc(C2CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000914646012 1072656154 /nfs/dbraw/zinc/65/61/54/1072656154.db2.gz VPPKRAUINLRQFG-VIFPVBQESA-N 0 0 433.421 -0.071 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000914647865 1072656087 /nfs/dbraw/zinc/65/60/87/1072656087.db2.gz JXXYPAARNZCQLN-UHFFFAOYSA-N 0 0 427.479 -0.742 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000914648626 1072656031 /nfs/dbraw/zinc/65/60/31/1072656031.db2.gz CWXCAWMPGFHOGM-UHFFFAOYSA-N 0 0 449.507 -0.872 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)NC(=O)NCC(F)(F)F ZINC000914648632 1072656510 /nfs/dbraw/zinc/65/65/10/1072656510.db2.gz CZRDAUXGKGPRSS-AEJSXWLSSA-N 0 0 443.400 -0.658 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)NC(=O)NCC(F)(F)F ZINC000914648633 1072656526 /nfs/dbraw/zinc/65/65/26/1072656526.db2.gz CZRDAUXGKGPRSS-GUBZILKMSA-N 0 0 443.400 -0.658 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)NC(=O)NCC(F)(F)F ZINC000914648634 1072656507 /nfs/dbraw/zinc/65/65/07/1072656507.db2.gz CZRDAUXGKGPRSS-IVZWLZJFSA-N 0 0 443.400 -0.658 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)NC(=O)NCC(F)(F)F ZINC000914648635 1072656523 /nfs/dbraw/zinc/65/65/23/1072656523.db2.gz CZRDAUXGKGPRSS-LPEHRKFASA-N 0 0 443.400 -0.658 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCNS(C)(=O)=O)C1=O ZINC000914649470 1072656536 /nfs/dbraw/zinc/65/65/36/1072656536.db2.gz NMTLQDPITMNSNN-KRWDZBQOSA-N 0 0 426.451 -0.643 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CCNS(C)(=O)=O)C1=O ZINC000914649473 1072656631 /nfs/dbraw/zinc/65/66/31/1072656631.db2.gz NMTLQDPITMNSNN-QGZVFWFLSA-N 0 0 426.451 -0.643 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000914649482 1072656476 /nfs/dbraw/zinc/65/64/76/1072656476.db2.gz NYBZIFKYVPVEAT-UHFFFAOYSA-N 0 0 447.535 -0.857 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)NCC(=O)Nc1ccccc1Br ZINC000914649498 1072656625 /nfs/dbraw/zinc/65/66/25/1072656625.db2.gz PDIGWZYCIQSQGR-UHFFFAOYSA-N 0 0 436.284 -0.014 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000914649717 1072656135 /nfs/dbraw/zinc/65/61/35/1072656135.db2.gz QRRLBEISTQRCRZ-UHFFFAOYSA-N 0 0 444.485 -0.609 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000914649775 1072658856 /nfs/dbraw/zinc/65/88/56/1072658856.db2.gz VGIUNVPHBBABAD-UHFFFAOYSA-N 0 0 433.508 -0.998 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CCNS(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000914649812 1072658893 /nfs/dbraw/zinc/65/88/93/1072658893.db2.gz XBULPGUHKGJIDB-UHFFFAOYSA-N 0 0 437.496 -0.634 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000914649871 1072658847 /nfs/dbraw/zinc/65/88/47/1072658847.db2.gz QMGIEMAASSITIK-UHFFFAOYSA-N 0 0 433.508 -0.108 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000914650272 1072659494 /nfs/dbraw/zinc/65/94/94/1072659494.db2.gz ZCHJWKZGTOLDJA-UHFFFAOYSA-N 0 0 449.507 -0.872 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)COC(=O)CCNS(C)(=O)=O ZINC000914650371 1072659480 /nfs/dbraw/zinc/65/94/80/1072659480.db2.gz BNQARYMHQWBTSN-UHFFFAOYSA-N 0 0 447.535 -0.301 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000914650451 1072659460 /nfs/dbraw/zinc/65/94/60/1072659460.db2.gz IVSHKTLXACKMJR-UHFFFAOYSA-N 0 0 436.508 -0.431 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000914650676 1072659503 /nfs/dbraw/zinc/65/95/03/1072659503.db2.gz YWBNALPXKIVUAO-GFCCVEGCSA-N 0 0 438.549 -0.254 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000914650677 1072659544 /nfs/dbraw/zinc/65/95/44/1072659544.db2.gz YWBNALPXKIVUAO-LBPRGKRZSA-N 0 0 438.549 -0.254 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1ccc2c(c1)NC(=O)CO2 ZINC000914650869 1072659484 /nfs/dbraw/zinc/65/94/84/1072659484.db2.gz CAVKJXSAHURQBZ-CHWSQXEVSA-N 0 0 436.442 -0.221 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1ccc2c(c1)NC(=O)CO2 ZINC000914650870 1072659454 /nfs/dbraw/zinc/65/94/54/1072659454.db2.gz CAVKJXSAHURQBZ-OLZOCXBDSA-N 0 0 436.442 -0.221 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1ccc2c(c1)NC(=O)CO2 ZINC000914650871 1072659510 /nfs/dbraw/zinc/65/95/10/1072659510.db2.gz CAVKJXSAHURQBZ-QWHCGFSZSA-N 0 0 436.442 -0.221 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1ccc2c(c1)NC(=O)CO2 ZINC000914650872 1072659436 /nfs/dbraw/zinc/65/94/36/1072659436.db2.gz CAVKJXSAHURQBZ-STQMWFEESA-N 0 0 436.442 -0.221 20 0 IBADRN COC(=O)c1ccccc1NC(=O)COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914651287 1072656483 /nfs/dbraw/zinc/65/64/83/1072656483.db2.gz IVYUKZPEVSTNAF-CHWSQXEVSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1ccccc1NC(=O)COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914651288 1072656517 /nfs/dbraw/zinc/65/65/17/1072656517.db2.gz IVYUKZPEVSTNAF-OLZOCXBDSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1ccccc1NC(=O)COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914651289 1072656532 /nfs/dbraw/zinc/65/65/32/1072656532.db2.gz IVYUKZPEVSTNAF-QWHCGFSZSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1ccccc1NC(=O)COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914651290 1072656568 /nfs/dbraw/zinc/65/65/68/1072656568.db2.gz IVYUKZPEVSTNAF-STQMWFEESA-N 0 0 438.458 -0.010 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC(=O)NC1CCCCC1 ZINC000914651345 1072657180 /nfs/dbraw/zinc/65/71/80/1072657180.db2.gz GXGHZVUCBMZLIO-GXTWGEPZSA-N 0 0 429.495 -0.276 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC(=O)NC1CCCCC1 ZINC000914651346 1072657243 /nfs/dbraw/zinc/65/72/43/1072657243.db2.gz GXGHZVUCBMZLIO-JSGCOSHPSA-N 0 0 429.495 -0.276 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC(=O)NC1CCCCC1 ZINC000914651347 1072657139 /nfs/dbraw/zinc/65/71/39/1072657139.db2.gz GXGHZVUCBMZLIO-OCCSQVGLSA-N 0 0 429.495 -0.276 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC(=O)NC1CCCCC1 ZINC000914651348 1072657215 /nfs/dbraw/zinc/65/72/15/1072657215.db2.gz GXGHZVUCBMZLIO-TZMCWYRMSA-N 0 0 429.495 -0.276 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1cccs1 ZINC000914651509 1072657223 /nfs/dbraw/zinc/65/72/23/1072657223.db2.gz ADULCQYUBMISCO-NEPJUHHUSA-N 0 0 443.503 -0.347 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1cccs1 ZINC000914651510 1072657257 /nfs/dbraw/zinc/65/72/57/1072657257.db2.gz ADULCQYUBMISCO-NWDGAFQWSA-N 0 0 443.503 -0.347 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1cccs1 ZINC000914651511 1072657175 /nfs/dbraw/zinc/65/71/75/1072657175.db2.gz ADULCQYUBMISCO-RYUDHWBXSA-N 0 0 443.503 -0.347 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1cccs1 ZINC000914651512 1072657108 /nfs/dbraw/zinc/65/71/08/1072657108.db2.gz ADULCQYUBMISCO-VXGBXAGGSA-N 0 0 443.503 -0.347 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914651641 1072657229 /nfs/dbraw/zinc/65/72/29/1072657229.db2.gz HYVOAWZVSKRVAU-KBPBESRZSA-N 0 0 438.458 -0.470 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914651642 1072657143 /nfs/dbraw/zinc/65/71/43/1072657143.db2.gz HYVOAWZVSKRVAU-KGLIPLIRSA-N 0 0 438.458 -0.470 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914651643 1072657153 /nfs/dbraw/zinc/65/71/53/1072657153.db2.gz HYVOAWZVSKRVAU-UONOGXRCSA-N 0 0 438.458 -0.470 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914651644 1072657163 /nfs/dbraw/zinc/65/71/63/1072657163.db2.gz HYVOAWZVSKRVAU-ZIAGYGMSSA-N 0 0 438.458 -0.470 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914651885 1072657114 /nfs/dbraw/zinc/65/71/14/1072657114.db2.gz CSQZXRFDMTUTBW-ILXRZTDVSA-N 0 0 444.506 -0.491 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914651886 1072657187 /nfs/dbraw/zinc/65/71/87/1072657187.db2.gz CSQZXRFDMTUTBW-KFWWJZLASA-N 0 0 444.506 -0.491 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914651887 1072657250 /nfs/dbraw/zinc/65/72/50/1072657250.db2.gz CSQZXRFDMTUTBW-KKUMJFAQSA-N 0 0 444.506 -0.491 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914651888 1072657132 /nfs/dbraw/zinc/65/71/32/1072657132.db2.gz CSQZXRFDMTUTBW-ZNMIVQPWSA-N 0 0 444.506 -0.491 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Nc1ccc2c(c1)OCCO2 ZINC000914651969 1072657763 /nfs/dbraw/zinc/65/77/63/1072657763.db2.gz RFDWETSVZYUWOX-GXTWGEPZSA-N 0 0 438.458 -0.025 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Nc1ccc2c(c1)OCCO2 ZINC000914651971 1072657773 /nfs/dbraw/zinc/65/77/73/1072657773.db2.gz RFDWETSVZYUWOX-JSGCOSHPSA-N 0 0 438.458 -0.025 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)Nc1ccc2c(c1)OCCO2 ZINC000914651973 1072657702 /nfs/dbraw/zinc/65/77/02/1072657702.db2.gz RFDWETSVZYUWOX-OCCSQVGLSA-N 0 0 438.458 -0.025 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)Nc1ccc2c(c1)OCCO2 ZINC000914651975 1072657720 /nfs/dbraw/zinc/65/77/20/1072657720.db2.gz RFDWETSVZYUWOX-TZMCWYRMSA-N 0 0 438.458 -0.025 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1ccco1 ZINC000914651977 1072657744 /nfs/dbraw/zinc/65/77/44/1072657744.db2.gz RIURXRAZAQYCSQ-NEPJUHHUSA-N 0 0 427.435 -0.816 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1ccco1 ZINC000914651979 1072657693 /nfs/dbraw/zinc/65/76/93/1072657693.db2.gz RIURXRAZAQYCSQ-NWDGAFQWSA-N 0 0 427.435 -0.816 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1ccco1 ZINC000914651981 1072657711 /nfs/dbraw/zinc/65/77/11/1072657711.db2.gz RIURXRAZAQYCSQ-RYUDHWBXSA-N 0 0 427.435 -0.816 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1ccco1 ZINC000914651983 1072657750 /nfs/dbraw/zinc/65/77/50/1072657750.db2.gz RIURXRAZAQYCSQ-VXGBXAGGSA-N 0 0 427.435 -0.816 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CC(=O)Nc2ccccc21 ZINC000914652250 1072657683 /nfs/dbraw/zinc/65/76/83/1072657683.db2.gz VGIUXVIJYZKDNT-CHWSQXEVSA-N 0 0 435.458 -0.450 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CC(=O)Nc2ccccc21 ZINC000914652257 1072657733 /nfs/dbraw/zinc/65/77/33/1072657733.db2.gz VGIUXVIJYZKDNT-OLZOCXBDSA-N 0 0 435.458 -0.450 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CC(=O)Nc2ccccc21 ZINC000914652260 1072657767 /nfs/dbraw/zinc/65/77/67/1072657767.db2.gz VGIUXVIJYZKDNT-QWHCGFSZSA-N 0 0 435.458 -0.450 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CC(=O)Nc2ccccc21 ZINC000914652264 1072657740 /nfs/dbraw/zinc/65/77/40/1072657740.db2.gz VGIUXVIJYZKDNT-STQMWFEESA-N 0 0 435.458 -0.450 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914652772 1072657794 /nfs/dbraw/zinc/65/77/94/1072657794.db2.gz HEODESFQWHWXKP-BARDWOONSA-N 0 0 443.522 -0.030 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914652773 1072657785 /nfs/dbraw/zinc/65/77/85/1072657785.db2.gz HEODESFQWHWXKP-KBXIAJHMSA-N 0 0 443.522 -0.030 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914652774 1072657728 /nfs/dbraw/zinc/65/77/28/1072657728.db2.gz HEODESFQWHWXKP-QPSCCSFWSA-N 0 0 443.522 -0.030 20 0 IBADRN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914652775 1072657723 /nfs/dbraw/zinc/65/77/23/1072657723.db2.gz HEODESFQWHWXKP-TUVASFSCSA-N 0 0 443.522 -0.030 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)OC ZINC000914653036 1072658217 /nfs/dbraw/zinc/65/82/17/1072658217.db2.gz ICSDBVWGABNWKL-JFILPPLUSA-N 0 0 432.495 -0.731 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)OC ZINC000914653037 1072658183 /nfs/dbraw/zinc/65/81/83/1072658183.db2.gz ICSDBVWGABNWKL-QCQGSNGOSA-N 0 0 432.495 -0.731 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)OC ZINC000914653038 1072658229 /nfs/dbraw/zinc/65/82/29/1072658229.db2.gz ICSDBVWGABNWKL-VLXAULBPSA-N 0 0 432.495 -0.731 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)OC ZINC000914653039 1072658251 /nfs/dbraw/zinc/65/82/51/1072658251.db2.gz ICSDBVWGABNWKL-ZWUHOBOKSA-N 0 0 432.495 -0.731 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000914653742 1072658201 /nfs/dbraw/zinc/65/82/01/1072658201.db2.gz LJABQZBWYPGOOJ-ILXRZTDVSA-N 0 0 444.506 -0.633 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC000914653745 1072658188 /nfs/dbraw/zinc/65/81/88/1072658188.db2.gz LJABQZBWYPGOOJ-KFWWJZLASA-N 0 0 444.506 -0.633 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000914653746 1072658255 /nfs/dbraw/zinc/65/82/55/1072658255.db2.gz LJABQZBWYPGOOJ-QLFBSQMISA-N 0 0 444.506 -0.633 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC000914653747 1072658256 /nfs/dbraw/zinc/65/82/56/1072658256.db2.gz LJABQZBWYPGOOJ-RBSFLKMASA-N 0 0 444.506 -0.633 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914654123 1072658249 /nfs/dbraw/zinc/65/82/49/1072658249.db2.gz SMPQCGXEOLDYKX-CHWSQXEVSA-N 0 0 446.503 -0.708 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914654128 1072658192 /nfs/dbraw/zinc/65/81/92/1072658192.db2.gz SMPQCGXEOLDYKX-OLZOCXBDSA-N 0 0 446.503 -0.708 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914654130 1072658212 /nfs/dbraw/zinc/65/82/12/1072658212.db2.gz SMPQCGXEOLDYKX-QWHCGFSZSA-N 0 0 446.503 -0.708 20 0 IBADRN NS(=O)(=O)c1ccc(OCCOC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914654133 1072658197 /nfs/dbraw/zinc/65/81/97/1072658197.db2.gz SMPQCGXEOLDYKX-STQMWFEESA-N 0 0 446.503 -0.708 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000914654379 1072658170 /nfs/dbraw/zinc/65/81/70/1072658170.db2.gz TXPAIULPLRKFSP-DZGCQCFKSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000914654380 1072658242 /nfs/dbraw/zinc/65/82/42/1072658242.db2.gz TXPAIULPLRKFSP-HIFRSBDPSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000914654382 1072658207 /nfs/dbraw/zinc/65/82/07/1072658207.db2.gz TXPAIULPLRKFSP-UKRRQHHQSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1cccc(NC(=O)COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000914654384 1072658181 /nfs/dbraw/zinc/65/81/81/1072658181.db2.gz TXPAIULPLRKFSP-ZFWWWQNUSA-N 0 0 438.458 -0.010 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)N1CC(=O)Nc2ccccc21 ZINC000914655052 1072658235 /nfs/dbraw/zinc/65/82/35/1072658235.db2.gz WQELQFNEZAWYRE-BFHYXJOUSA-N 0 0 449.485 -0.061 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)N1CC(=O)Nc2ccccc21 ZINC000914655053 1072658175 /nfs/dbraw/zinc/65/81/75/1072658175.db2.gz WQELQFNEZAWYRE-IHRRRGAJSA-N 0 0 449.485 -0.061 20 0 IBADRN C[C@H](OC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)N1CC(=O)Nc2ccccc21 ZINC000914655054 1072658222 /nfs/dbraw/zinc/65/82/22/1072658222.db2.gz WQELQFNEZAWYRE-MELADBBJSA-N 0 0 449.485 -0.061 20 0 IBADRN C[C@H](OC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)N1CC(=O)Nc2ccccc21 ZINC000914655055 1072658253 /nfs/dbraw/zinc/65/82/53/1072658253.db2.gz WQELQFNEZAWYRE-MJBXVCDLSA-N 0 0 449.485 -0.061 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCc1ccc2c(c1)OCO2 ZINC000914655411 1072658795 /nfs/dbraw/zinc/65/87/95/1072658795.db2.gz PLAZXLYOLTXDII-KBPBESRZSA-N 0 0 438.458 -0.390 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCc1ccc2c(c1)OCO2 ZINC000914655415 1072658821 /nfs/dbraw/zinc/65/88/21/1072658821.db2.gz PLAZXLYOLTXDII-KGLIPLIRSA-N 0 0 438.458 -0.390 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCc1ccc2c(c1)OCO2 ZINC000914655419 1072658868 /nfs/dbraw/zinc/65/88/68/1072658868.db2.gz PLAZXLYOLTXDII-UONOGXRCSA-N 0 0 438.458 -0.390 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCc1ccc2c(c1)OCO2 ZINC000914655423 1072658976 /nfs/dbraw/zinc/65/89/76/1072658976.db2.gz PLAZXLYOLTXDII-ZIAGYGMSSA-N 0 0 438.458 -0.390 20 0 IBADRN COc1ccccc1CCNC(=O)COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914655549 1072658948 /nfs/dbraw/zinc/65/89/48/1072658948.db2.gz PZVNLTQEWICSIY-CVEARBPZSA-N 0 0 438.502 -0.067 20 0 IBADRN COc1ccccc1CCNC(=O)COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914655551 1072658884 /nfs/dbraw/zinc/65/88/84/1072658884.db2.gz PZVNLTQEWICSIY-HOTGVXAUSA-N 0 0 438.502 -0.067 20 0 IBADRN COc1ccccc1CCNC(=O)COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914655553 1072658959 /nfs/dbraw/zinc/65/89/59/1072658959.db2.gz PZVNLTQEWICSIY-HZPDHXFCSA-N 0 0 438.502 -0.067 20 0 IBADRN COc1ccccc1CCNC(=O)COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914655555 1072658829 /nfs/dbraw/zinc/65/88/29/1072658829.db2.gz PZVNLTQEWICSIY-JKSUJKDBSA-N 0 0 438.502 -0.067 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1ccccc1 ZINC000914656908 1072658876 /nfs/dbraw/zinc/65/88/76/1072658876.db2.gz VDCRFEFBKMCSTB-CABCVRRESA-N 0 0 437.474 -0.409 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1ccccc1 ZINC000914656909 1072658969 /nfs/dbraw/zinc/65/89/69/1072658969.db2.gz VDCRFEFBKMCSTB-GJZGRUSLSA-N 0 0 437.474 -0.409 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1ccccc1 ZINC000914656910 1072658937 /nfs/dbraw/zinc/65/89/37/1072658937.db2.gz VDCRFEFBKMCSTB-HUUCEWRRSA-N 0 0 437.474 -0.409 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC(=O)NCc1ccccc1 ZINC000914656911 1072658899 /nfs/dbraw/zinc/65/88/99/1072658899.db2.gz VDCRFEFBKMCSTB-LSDHHAIUSA-N 0 0 437.474 -0.409 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914657487 1072658916 /nfs/dbraw/zinc/65/89/16/1072658916.db2.gz XEUXKXVLALUIGV-IHRRRGAJSA-N 0 0 432.495 -0.731 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914657488 1072658930 /nfs/dbraw/zinc/65/89/30/1072658930.db2.gz XEUXKXVLALUIGV-MCIONIFRSA-N 0 0 432.495 -0.731 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000914657489 1072658838 /nfs/dbraw/zinc/65/88/38/1072658838.db2.gz XEUXKXVLALUIGV-MJBXVCDLSA-N 0 0 432.495 -0.731 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000914657490 1072658810 /nfs/dbraw/zinc/65/88/10/1072658810.db2.gz XEUXKXVLALUIGV-RDBSUJKOSA-N 0 0 432.495 -0.731 20 0 IBADRN COC(=O)c1ccc(NC(=O)COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914657820 1072660128 /nfs/dbraw/zinc/66/01/28/1072660128.db2.gz YBNUATTUIKHECX-DZGCQCFKSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1ccc(NC(=O)COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914657821 1072659996 /nfs/dbraw/zinc/65/99/96/1072659996.db2.gz YBNUATTUIKHECX-HIFRSBDPSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1ccc(NC(=O)COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914657822 1072660069 /nfs/dbraw/zinc/66/00/69/1072660069.db2.gz YBNUATTUIKHECX-UKRRQHHQSA-N 0 0 438.458 -0.010 20 0 IBADRN COC(=O)c1ccc(NC(=O)COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000914657823 1072660112 /nfs/dbraw/zinc/66/01/12/1072660112.db2.gz YBNUATTUIKHECX-ZFWWWQNUSA-N 0 0 438.458 -0.010 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)NC(=O)N[C@@H]1C ZINC000914658176 1072660134 /nfs/dbraw/zinc/66/01/34/1072660134.db2.gz ZYONZJPZSPEZDP-IJLUTSLNSA-N 0 0 443.478 -0.916 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)NC(=O)N[C@H]1C ZINC000914658177 1072660138 /nfs/dbraw/zinc/66/01/38/1072660138.db2.gz ZYONZJPZSPEZDP-QJPTWQEYSA-N 0 0 443.478 -0.916 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)NC(=O)N[C@H]1C ZINC000914658178 1072660077 /nfs/dbraw/zinc/66/00/77/1072660077.db2.gz ZYONZJPZSPEZDP-TUAOUCFPSA-N 0 0 443.478 -0.916 20 0 IBADRN CCOC(=O)C1=C(COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)NC(=O)N[C@@H]1C ZINC000914658179 1072659961 /nfs/dbraw/zinc/65/99/61/1072659961.db2.gz ZYONZJPZSPEZDP-UTUOFQBUSA-N 0 0 443.478 -0.916 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1=O ZINC000914659322 1072660103 /nfs/dbraw/zinc/66/01/03/1072660103.db2.gz AIRZXZLPGHSVIV-CYBMUJFWSA-N 0 0 425.463 -0.739 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1=O ZINC000914659323 1072660035 /nfs/dbraw/zinc/66/00/35/1072660035.db2.gz AIRZXZLPGHSVIV-ZDUSSCGKSA-N 0 0 425.463 -0.739 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1=O ZINC000914659891 1072659970 /nfs/dbraw/zinc/65/99/70/1072659970.db2.gz GFRCGAJSWZMQRQ-GOSISDBHSA-N 0 0 447.488 -0.187 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1=O ZINC000914659892 1072660123 /nfs/dbraw/zinc/66/01/23/1072660123.db2.gz GFRCGAJSWZMQRQ-SFHVURJKSA-N 0 0 447.488 -0.187 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1=O ZINC000914660151 1072660096 /nfs/dbraw/zinc/66/00/96/1072660096.db2.gz HRPXPFITXILCCI-CYBMUJFWSA-N 0 0 427.479 -0.073 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)CC1=O ZINC000914660152 1072659947 /nfs/dbraw/zinc/65/99/47/1072659947.db2.gz HRPXPFITXILCCI-ZDUSSCGKSA-N 0 0 427.479 -0.073 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1=O ZINC000914661630 1072660738 /nfs/dbraw/zinc/66/07/38/1072660738.db2.gz NUIJQAPROFORAI-GXTWGEPZSA-N 0 0 439.490 -0.350 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CC1=O ZINC000914661631 1072660780 /nfs/dbraw/zinc/66/07/80/1072660780.db2.gz NUIJQAPROFORAI-JSGCOSHPSA-N 0 0 439.490 -0.350 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1=O ZINC000914661632 1072660659 /nfs/dbraw/zinc/66/06/59/1072660659.db2.gz NUIJQAPROFORAI-OCCSQVGLSA-N 0 0 439.490 -0.350 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CC1=O ZINC000914661633 1072660696 /nfs/dbraw/zinc/66/06/96/1072660696.db2.gz NUIJQAPROFORAI-TZMCWYRMSA-N 0 0 439.490 -0.350 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@@H]2CC(=O)N(CCOC)C2)ccc1C ZINC000914661868 1072659471 /nfs/dbraw/zinc/65/94/71/1072659471.db2.gz DABOSIWBGXGNRF-CYBMUJFWSA-N 0 0 427.479 -0.120 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)[C@H]2CC(=O)N(CCOC)C2)ccc1C ZINC000914661869 1072659489 /nfs/dbraw/zinc/65/94/89/1072659489.db2.gz DABOSIWBGXGNRF-ZDUSSCGKSA-N 0 0 427.479 -0.120 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1=O ZINC000914661872 1072659476 /nfs/dbraw/zinc/65/94/76/1072659476.db2.gz DHXUFHALNRIQDD-CYBMUJFWSA-N 0 0 427.479 -0.087 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1=O ZINC000914661873 1072659538 /nfs/dbraw/zinc/65/95/38/1072659538.db2.gz DHXUFHALNRIQDD-ZDUSSCGKSA-N 0 0 427.479 -0.087 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1=O ZINC000914662345 1072659530 /nfs/dbraw/zinc/65/95/30/1072659530.db2.gz RTXNDWRFDVHKLI-AWEZNQCLSA-N 0 0 445.480 -0.950 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1=O ZINC000914662347 1072659520 /nfs/dbraw/zinc/65/95/20/1072659520.db2.gz RTXNDWRFDVHKLI-CQSZACIVSA-N 0 0 445.480 -0.950 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H]2CC(=O)N(CCOC)C2)C1=O ZINC000914662546 1072659464 /nfs/dbraw/zinc/65/94/64/1072659464.db2.gz JLVVGKQTYDCMAW-LHSJRXKWSA-N 0 0 446.460 -0.087 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H]2CC(=O)N(CCOC)C2)C1=O ZINC000914662547 1072659514 /nfs/dbraw/zinc/65/95/14/1072659514.db2.gz JLVVGKQTYDCMAW-QKKBWIMNSA-N 0 0 446.460 -0.087 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H]2CC(=O)N(CCOC)C2)C1=O ZINC000914662548 1072659497 /nfs/dbraw/zinc/65/94/97/1072659497.db2.gz JLVVGKQTYDCMAW-SPLOXXLWSA-N 0 0 446.460 -0.087 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H]2CC(=O)N(CCOC)C2)C1=O ZINC000914662549 1072659509 /nfs/dbraw/zinc/65/95/09/1072659509.db2.gz JLVVGKQTYDCMAW-SZNDQCEHSA-N 0 0 446.460 -0.087 20 0 IBADRN COCCN1C[C@H](C(=O)O[C@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1=O ZINC000914663665 1072659451 /nfs/dbraw/zinc/65/94/51/1072659451.db2.gz YZAOCINWRHTWNK-GZBFAFLISA-N 0 0 441.506 -0.062 20 0 IBADRN COCCN1C[C@H](C(=O)O[C@@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1=O ZINC000914663666 1072660141 /nfs/dbraw/zinc/66/01/41/1072660141.db2.gz YZAOCINWRHTWNK-KCQAQPDRSA-N 0 0 441.506 -0.062 20 0 IBADRN COCCN1C[C@H](C(=O)O[C@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1=O ZINC000914663667 1072660118 /nfs/dbraw/zinc/66/01/18/1072660118.db2.gz YZAOCINWRHTWNK-UMVBOHGHSA-N 0 0 441.506 -0.062 20 0 IBADRN COCCN1C[C@H](C(=O)O[C@@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1=O ZINC000914663668 1072659937 /nfs/dbraw/zinc/65/99/37/1072659937.db2.gz YZAOCINWRHTWNK-VNHYZAJKSA-N 0 0 441.506 -0.062 20 0 IBADRN COCCCNC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914664899 1072661227 /nfs/dbraw/zinc/66/12/27/1072661227.db2.gz AZTRATINPIAXKA-CYBMUJFWSA-N 0 0 427.479 -0.495 20 0 IBADRN COCCCNC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914664900 1072661208 /nfs/dbraw/zinc/66/12/08/1072661208.db2.gz AZTRATINPIAXKA-ZDUSSCGKSA-N 0 0 427.479 -0.495 20 0 IBADRN CCCCNC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914665052 1072661235 /nfs/dbraw/zinc/66/12/35/1072661235.db2.gz HNTVPSJHEHNECU-UHFFFAOYSA-N 0 0 440.478 -0.410 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCOCC1 ZINC000914665062 1072661173 /nfs/dbraw/zinc/66/11/73/1072661173.db2.gz HRTNAPDJNOKECB-CYBMUJFWSA-N 0 0 425.463 -0.789 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)N1CCOCC1 ZINC000914665063 1072661215 /nfs/dbraw/zinc/66/12/15/1072661215.db2.gz HRTNAPDJNOKECB-ZDUSSCGKSA-N 0 0 425.463 -0.789 20 0 IBADRN O=C(OCCn1nc2ccccn2c1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914665097 1072661770 /nfs/dbraw/zinc/66/17/70/1072661770.db2.gz JBHUJVWNPAVCBD-UHFFFAOYSA-N 0 0 445.457 -0.527 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914665267 1072661735 /nfs/dbraw/zinc/66/17/35/1072661735.db2.gz QIAYPEIHLFQRFB-LLVKDONJSA-N 0 0 426.451 -0.802 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914665268 1072661744 /nfs/dbraw/zinc/66/17/44/1072661744.db2.gz QIAYPEIHLFQRFB-NSHDSACASA-N 0 0 426.451 -0.802 20 0 IBADRN CCOCCCNC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914665271 1072661749 /nfs/dbraw/zinc/66/17/49/1072661749.db2.gz QKPAXJHKXHHJLC-AWEZNQCLSA-N 0 0 441.506 -0.105 20 0 IBADRN CCOCCCNC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914665272 1072661777 /nfs/dbraw/zinc/66/17/77/1072661777.db2.gz QKPAXJHKXHHJLC-CQSZACIVSA-N 0 0 441.506 -0.105 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NC(N)=O ZINC000914665389 1072660616 /nfs/dbraw/zinc/66/06/16/1072660616.db2.gz UICXXIAKEAOIRU-AWEZNQCLSA-N 0 0 426.451 -0.817 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NC(N)=O ZINC000914665394 1072660627 /nfs/dbraw/zinc/66/06/27/1072660627.db2.gz UICXXIAKEAOIRU-CQSZACIVSA-N 0 0 426.451 -0.817 20 0 IBADRN Cc1cc(NC(=O)COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n(C)n1 ZINC000914665482 1072660775 /nfs/dbraw/zinc/66/07/75/1072660775.db2.gz VKGUBOCXPNBSOD-UHFFFAOYSA-N 0 0 435.462 -0.355 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NC(=O)c1ccccc1 ZINC000914665531 1072660675 /nfs/dbraw/zinc/66/06/75/1072660675.db2.gz VOVCXGKLOPAGBK-UHFFFAOYSA-N 0 0 445.453 -0.080 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000914665553 1072660647 /nfs/dbraw/zinc/66/06/47/1072660647.db2.gz WJFCYZBPUIRDOJ-KBPBESRZSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@H](C)O1 ZINC000914665554 1072660719 /nfs/dbraw/zinc/66/07/19/1072660719.db2.gz WJFCYZBPUIRDOJ-OKILXGFUSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@@H](C)O1 ZINC000914665555 1072660711 /nfs/dbraw/zinc/66/07/11/1072660711.db2.gz WJFCYZBPUIRDOJ-ZIAGYGMSSA-N 0 0 439.490 -0.400 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NC(=O)NC1CC1 ZINC000914665578 1072660768 /nfs/dbraw/zinc/66/07/68/1072660768.db2.gz YDJJTTOLOTVWDM-LLVKDONJSA-N 0 0 438.462 -0.659 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NC(=O)NC1CC1 ZINC000914665579 1072660637 /nfs/dbraw/zinc/66/06/37/1072660637.db2.gz YDJJTTOLOTVWDM-NSHDSACASA-N 0 0 438.462 -0.659 20 0 IBADRN CC1(C)NC(=O)N(CCOC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1=O ZINC000914665695 1072660591 /nfs/dbraw/zinc/66/05/91/1072660591.db2.gz ZUFYHRNOPAVFHY-UHFFFAOYSA-N 0 0 438.462 -0.706 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000914665696 1072660729 /nfs/dbraw/zinc/66/07/29/1072660729.db2.gz ZVIZNXKJVYEMLX-CYBMUJFWSA-N 0 0 425.463 -0.789 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCO1 ZINC000914665697 1072660607 /nfs/dbraw/zinc/66/06/07/1072660607.db2.gz ZVIZNXKJVYEMLX-ZDUSSCGKSA-N 0 0 425.463 -0.789 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914667730 1072661159 /nfs/dbraw/zinc/66/11/59/1072661159.db2.gz DRDQUCREGSVUNC-UHFFFAOYSA-N 0 0 449.489 -0.047 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914668091 1072661145 /nfs/dbraw/zinc/66/11/45/1072661145.db2.gz OPKKSRSDTYHTEL-GFCCVEGCSA-N 0 0 440.478 -0.413 20 0 IBADRN CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914668092 1072661223 /nfs/dbraw/zinc/66/12/23/1072661223.db2.gz OPKKSRSDTYHTEL-LBPRGKRZSA-N 0 0 440.478 -0.413 20 0 IBADRN CC(C)(C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914668350 1072661249 /nfs/dbraw/zinc/66/12/49/1072661249.db2.gz QKESBNBRLVDDEB-UHFFFAOYSA-N 0 0 440.478 -0.412 20 0 IBADRN CC(C)CNC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914668414 1072661255 /nfs/dbraw/zinc/66/12/55/1072661255.db2.gz RGTYGKGRWGNRAF-UHFFFAOYSA-N 0 0 440.478 -0.554 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000914668427 1072661242 /nfs/dbraw/zinc/66/12/42/1072661242.db2.gz RJSMYUXARPXHEV-UHFFFAOYSA-N 0 0 426.451 -0.800 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NC[C@@H]1CCCO1 ZINC000914668655 1072661189 /nfs/dbraw/zinc/66/11/89/1072661189.db2.gz WOZFOOPOJXQIGQ-AWEZNQCLSA-N 0 0 425.463 -0.741 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NC[C@H]1CCCO1 ZINC000914668656 1072661231 /nfs/dbraw/zinc/66/12/31/1072661231.db2.gz WOZFOOPOJXQIGQ-CQSZACIVSA-N 0 0 425.463 -0.741 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)Nc1ncccn1 ZINC000914668813 1072661259 /nfs/dbraw/zinc/66/12/59/1072661259.db2.gz XRYJOXVTKGDSIR-GFCCVEGCSA-N 0 0 433.446 -0.219 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)Nc1ncccn1 ZINC000914668818 1072661201 /nfs/dbraw/zinc/66/12/01/1072661201.db2.gz XRYJOXVTKGDSIR-LBPRGKRZSA-N 0 0 433.446 -0.219 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000914672618 1072661836 /nfs/dbraw/zinc/66/18/36/1072661836.db2.gz VSLZJOYTKRQKOQ-GFCCVEGCSA-N 0 0 430.483 -0.418 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000914672619 1072661701 /nfs/dbraw/zinc/66/17/01/1072661701.db2.gz VSLZJOYTKRQKOQ-LBPRGKRZSA-N 0 0 430.483 -0.418 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000914674856 1072661832 /nfs/dbraw/zinc/66/18/32/1072661832.db2.gz OKVCGQKDFOKFIB-AWEZNQCLSA-N 0 0 441.506 -0.014 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000914674857 1072661723 /nfs/dbraw/zinc/66/17/23/1072661723.db2.gz OKVCGQKDFOKFIB-CQSZACIVSA-N 0 0 441.506 -0.014 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000914674898 1072661648 /nfs/dbraw/zinc/66/16/48/1072661648.db2.gz QEYPKGYFPVZRHG-AWEZNQCLSA-N 0 0 441.506 -0.218 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000914674899 1072661783 /nfs/dbraw/zinc/66/17/83/1072661783.db2.gz QEYPKGYFPVZRHG-CQSZACIVSA-N 0 0 441.506 -0.218 20 0 IBADRN CCC(=O)N[C@@H](C)C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000914675417 1072661822 /nfs/dbraw/zinc/66/18/22/1072661822.db2.gz WLXNFBDDTHKJHC-AWEZNQCLSA-N 0 0 441.506 -0.218 20 0 IBADRN CCC(=O)N[C@H](C)C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000914675419 1072661797 /nfs/dbraw/zinc/66/17/97/1072661797.db2.gz WLXNFBDDTHKJHC-CQSZACIVSA-N 0 0 441.506 -0.218 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)COc2nsnc2N2CCOCC2)CC1 ZINC000914685379 1072662172 /nfs/dbraw/zinc/66/21/72/1072662172.db2.gz DZXFMNIPTYOXKB-UHFFFAOYSA-N 0 0 426.543 -0.186 20 0 IBADRN CN(C)CCN(C(=O)COc1nsnc1N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000914685518 1072662326 /nfs/dbraw/zinc/66/23/26/1072662326.db2.gz KWKABTHOUAKVMV-CYBMUJFWSA-N 0 0 433.556 -0.669 20 0 IBADRN CN(C)CCN(C(=O)COc1nsnc1N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC000914685519 1072662275 /nfs/dbraw/zinc/66/22/75/1072662275.db2.gz KWKABTHOUAKVMV-ZDUSSCGKSA-N 0 0 433.556 -0.669 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)COc2nsnc2N2CCOCC2)CC1 ZINC000914685538 1072662193 /nfs/dbraw/zinc/66/21/93/1072662193.db2.gz LIGAGCWCQYTVMI-UHFFFAOYSA-N 0 0 448.571 -0.516 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COc2nsnc2N2CCOCC2)CC1 ZINC000914685890 1072662422 /nfs/dbraw/zinc/66/24/22/1072662422.db2.gz RSLMRPBDPQIDJW-UHFFFAOYSA-N 0 0 445.509 -0.221 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC000914686036 1072662252 /nfs/dbraw/zinc/66/22/52/1072662252.db2.gz WUEXFYDGPKILIR-AWEZNQCLSA-N 0 0 425.511 -0.149 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC000914686042 1072662779 /nfs/dbraw/zinc/66/27/79/1072662779.db2.gz WUEXFYDGPKILIR-CQSZACIVSA-N 0 0 425.511 -0.149 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000914686168 1072662891 /nfs/dbraw/zinc/66/28/91/1072662891.db2.gz ZOHRLMJMMIEGIM-CYBMUJFWSA-N 0 0 431.540 -0.915 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000914686169 1072662786 /nfs/dbraw/zinc/66/27/86/1072662786.db2.gz ZOHRLMJMMIEGIM-ZDUSSCGKSA-N 0 0 431.540 -0.915 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COc2nsnc2N2CCOCC2)cc1 ZINC000914686454 1072662833 /nfs/dbraw/zinc/66/28/33/1072662833.db2.gz XQMCLSFLDYADTL-UHFFFAOYSA-N 0 0 427.508 -0.240 20 0 IBADRN O=C(CN1C(=O)N[C@@H](CC(=O)N2CCOCC2)C1=O)Nc1ccc(N2CCOCC2)cc1 ZINC000914686486 1072662900 /nfs/dbraw/zinc/66/29/00/1072662900.db2.gz YYAVIIAIWNPOKK-KRWDZBQOSA-N 0 0 445.476 -0.369 20 0 IBADRN O=C(CN1C(=O)N[C@H](CC(=O)N2CCOCC2)C1=O)Nc1ccc(N2CCOCC2)cc1 ZINC000914686487 1072662742 /nfs/dbraw/zinc/66/27/42/1072662742.db2.gz YYAVIIAIWNPOKK-QGZVFWFLSA-N 0 0 445.476 -0.369 20 0 IBADRN C[C@@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)NCC(=O)N2CCCC2)C1=O ZINC000914686870 1072662828 /nfs/dbraw/zinc/66/28/28/1072662828.db2.gz QRYFKYPTRZVQAY-IBGZPJMESA-N 0 0 426.437 -0.832 20 0 IBADRN C[C@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)NCC(=O)N2CCCC2)C1=O ZINC000914686871 1072662754 /nfs/dbraw/zinc/66/27/54/1072662754.db2.gz QRYFKYPTRZVQAY-LJQANCHMSA-N 0 0 426.437 -0.832 20 0 IBADRN C[C@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)N2CCCC[C@@H]2C(N)=O)C1=O ZINC000914686936 1072662398 /nfs/dbraw/zinc/66/23/98/1072662398.db2.gz XXRVANUXZWICDG-AUUYWEPGSA-N 0 0 426.437 -0.704 20 0 IBADRN C[C@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)N2CCCC[C@H]2C(N)=O)C1=O ZINC000914686937 1072662431 /nfs/dbraw/zinc/66/24/31/1072662431.db2.gz XXRVANUXZWICDG-IFXJQAMLSA-N 0 0 426.437 -0.704 20 0 IBADRN C[C@@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)N2CCCC[C@@H]2C(N)=O)C1=O ZINC000914686938 1072662377 /nfs/dbraw/zinc/66/23/77/1072662377.db2.gz XXRVANUXZWICDG-KUHUBIRLSA-N 0 0 426.437 -0.704 20 0 IBADRN C[C@@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)N2CCCC[C@H]2C(N)=O)C1=O ZINC000914686939 1072662392 /nfs/dbraw/zinc/66/23/92/1072662392.db2.gz XXRVANUXZWICDG-LIRRHRJNSA-N 0 0 426.437 -0.704 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)CC(=O)N2CCN(C)CC2)C1 ZINC000914687738 1072662207 /nfs/dbraw/zinc/66/22/07/1072662207.db2.gz BPYXDTVKJFBBKA-INIZCTEOSA-N 0 0 440.570 -0.340 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)CC(=O)N2CCN(C)CC2)C1 ZINC000914687739 1072662365 /nfs/dbraw/zinc/66/23/65/1072662365.db2.gz BPYXDTVKJFBBKA-MRXNPFEDSA-N 0 0 440.570 -0.340 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCOC[C@@H]2C)c(=O)[nH]c1=O ZINC000914736266 1072663569 /nfs/dbraw/zinc/66/35/69/1072663569.db2.gz UGVIJMKHNQQBCW-ZDUSSCGKSA-N 0 0 425.486 -0.052 20 0 IBADRN Cn1cc(S(=O)(=O)NN=c2ccc(S(=O)(=O)NC(C)(C)C)c[nH]2)cc1C(N)=O ZINC000914746870 1072663475 /nfs/dbraw/zinc/66/34/75/1072663475.db2.gz FZTYOUKIOOHZHS-UHFFFAOYSA-N 0 0 430.512 -0.099 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(Br)c(C(=O)NC(C(N)=O)C(N)=O)c1 ZINC000914756080 1072663497 /nfs/dbraw/zinc/66/34/97/1072663497.db2.gz SHPKEYBKTGCMHR-UHFFFAOYSA-N 0 0 435.300 -0.451 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CSc3cccc[n+]3[O-])CC2)C[C@H](C)O1 ZINC000914762767 1072664120 /nfs/dbraw/zinc/66/41/20/1072664120.db2.gz XERWKXKMPIQBIO-GASCZTMLSA-N 0 0 430.552 -0.090 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CSc3cccc[n+]3[O-])CC2)C[C@H](C)O1 ZINC000914762771 1072664037 /nfs/dbraw/zinc/66/40/37/1072664037.db2.gz XERWKXKMPIQBIO-GJZGRUSLSA-N 0 0 430.552 -0.090 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CSc3cccc[n+]3[O-])CC2)C[C@@H](C)O1 ZINC000914762773 1072663941 /nfs/dbraw/zinc/66/39/41/1072663941.db2.gz XERWKXKMPIQBIO-HUUCEWRRSA-N 0 0 430.552 -0.090 20 0 IBADRN CCOC(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000914771690 1072664067 /nfs/dbraw/zinc/66/40/67/1072664067.db2.gz UQFWBVFEFKZQDG-UHFFFAOYSA-N 0 0 431.559 -0.509 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)CC1)N1CCOCC1 ZINC000914778032 1072664060 /nfs/dbraw/zinc/66/40/60/1072664060.db2.gz WWINRDBZYHHELP-UHFFFAOYSA-N 0 0 440.522 -0.319 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)CC1 ZINC000914778121 1072664003 /nfs/dbraw/zinc/66/40/03/1072664003.db2.gz BBHACNKBAFZJBD-UHFFFAOYSA-N 0 0 426.495 -0.127 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)CC1 ZINC000914778787 1072663979 /nfs/dbraw/zinc/66/39/79/1072663979.db2.gz IBPMBTZFFQJDIK-UHFFFAOYSA-N 0 0 428.511 -0.416 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000914780143 1072664598 /nfs/dbraw/zinc/66/45/98/1072664598.db2.gz KYWBFGDBXQZJMR-NRFANRHFSA-N 0 0 431.493 -0.211 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000914780144 1072664616 /nfs/dbraw/zinc/66/46/16/1072664616.db2.gz KYWBFGDBXQZJMR-OAQYLSRUSA-N 0 0 431.493 -0.211 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000914780397 1072664558 /nfs/dbraw/zinc/66/45/58/1072664558.db2.gz BSJILMDZQSDHJI-UHFFFAOYSA-N 0 0 442.513 -0.213 20 0 IBADRN CN(CC(=O)Nc1ccc(N2CCOCC2)cc1)Cc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000914787699 1072664518 /nfs/dbraw/zinc/66/45/18/1072664518.db2.gz HFBSMQRSJRPMOX-UHFFFAOYSA-N 0 0 441.492 -0.133 20 0 IBADRN NC(=O)c1ccsc1NC(=O)CCN1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000914788069 1072665123 /nfs/dbraw/zinc/66/51/23/1072665123.db2.gz ZWOPIADAJGCZAJ-UHFFFAOYSA-N 0 0 431.540 -0.630 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000914788090 1072665093 /nfs/dbraw/zinc/66/50/93/1072665093.db2.gz PYLGTNJNHPKWRG-UHFFFAOYSA-N 0 0 445.520 -0.234 20 0 IBADRN COCCOC(=O)c1sc2[nH]c(CN3CCN(S(N)(=O)=O)CC3)nc(=O)c2c1C ZINC000914788143 1072665171 /nfs/dbraw/zinc/66/51/71/1072665171.db2.gz WZFUCSHOTIYAPK-UHFFFAOYSA-N 0 0 445.523 -0.583 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(CCC(=O)NN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000914789120 1072665111 /nfs/dbraw/zinc/66/51/11/1072665111.db2.gz JGEDYBVQYXURRZ-UHFFFAOYSA-N 0 0 430.531 -0.914 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)N(CC)CC)CC2)C1 ZINC000914792168 1072664572 /nfs/dbraw/zinc/66/45/72/1072664572.db2.gz DJCYFTSGBHXWHC-HNNXBMFYSA-N 0 0 440.588 -0.290 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)N(CC)CC)CC2)C1 ZINC000914792169 1072664610 /nfs/dbraw/zinc/66/46/10/1072664610.db2.gz DJCYFTSGBHXWHC-OAHLLOKOSA-N 0 0 440.588 -0.290 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(c3nc4c([nH]3)n(C)c(=O)n(C)c4=O)CC2)cc1 ZINC000914800531 1072665614 /nfs/dbraw/zinc/66/56/14/1072665614.db2.gz BLLYXODBCWAPNF-UHFFFAOYSA-N 0 0 434.478 -0.520 20 0 IBADRN CCN1C[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1=O ZINC000914801083 1072665671 /nfs/dbraw/zinc/66/56/71/1072665671.db2.gz RKMKCUDUYUMLLP-CRAIPNDOSA-N 0 0 445.472 -0.091 20 0 IBADRN CCN1C[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1=O ZINC000914801084 1072665665 /nfs/dbraw/zinc/66/56/65/1072665665.db2.gz RKMKCUDUYUMLLP-MAUKXSAKSA-N 0 0 445.472 -0.091 20 0 IBADRN CCN1C[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1=O ZINC000914801085 1072665651 /nfs/dbraw/zinc/66/56/51/1072665651.db2.gz RKMKCUDUYUMLLP-QAPCUYQASA-N 0 0 445.472 -0.091 20 0 IBADRN CCN1C[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1=O ZINC000914801087 1072665620 /nfs/dbraw/zinc/66/56/20/1072665620.db2.gz RKMKCUDUYUMLLP-YJBOKZPZSA-N 0 0 445.472 -0.091 20 0 IBADRN CC(C)(C)N1C[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000914801724 1072665657 /nfs/dbraw/zinc/66/56/57/1072665657.db2.gz KFOWAVQPTOIACT-CABCVRRESA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)(C)N1C[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000914801726 1072665669 /nfs/dbraw/zinc/66/56/69/1072665669.db2.gz KFOWAVQPTOIACT-GJZGRUSLSA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)(C)N1C[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000914801727 1072665658 /nfs/dbraw/zinc/66/56/58/1072665658.db2.gz KFOWAVQPTOIACT-HUUCEWRRSA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)(C)N1C[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000914801728 1072665646 /nfs/dbraw/zinc/66/56/46/1072665646.db2.gz KFOWAVQPTOIACT-LSDHHAIUSA-N 0 0 429.539 -0.492 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000914802322 1072665582 /nfs/dbraw/zinc/66/55/82/1072665582.db2.gz JJIFFXLALXGYSQ-CHWSQXEVSA-N 0 0 429.495 -0.276 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000914802330 1072665667 /nfs/dbraw/zinc/66/56/67/1072665667.db2.gz JJIFFXLALXGYSQ-OLZOCXBDSA-N 0 0 429.495 -0.276 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(C2CCCCC2)C1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000914802331 1072665654 /nfs/dbraw/zinc/66/56/54/1072665654.db2.gz JJIFFXLALXGYSQ-QWHCGFSZSA-N 0 0 429.495 -0.276 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(C2CCCCC2)C1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000914802333 1072666297 /nfs/dbraw/zinc/66/62/97/1072666297.db2.gz JJIFFXLALXGYSQ-STQMWFEESA-N 0 0 429.495 -0.276 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1CC(=O)N(C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000914806054 1072666264 /nfs/dbraw/zinc/66/62/64/1072666264.db2.gz RHPJQZOPGRATIK-GFCCVEGCSA-N 0 0 439.469 -0.812 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1CC(=O)N(C)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000914806061 1072666199 /nfs/dbraw/zinc/66/61/99/1072666199.db2.gz RHPJQZOPGRATIK-LBPRGKRZSA-N 0 0 439.469 -0.812 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1=O ZINC000914806537 1072666306 /nfs/dbraw/zinc/66/63/06/1072666306.db2.gz SLUJLNWYTVGVMO-PBHICJAKSA-N 0 0 431.445 -0.481 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1=O ZINC000914806539 1072666212 /nfs/dbraw/zinc/66/62/12/1072666212.db2.gz SLUJLNWYTVGVMO-RHSMWYFYSA-N 0 0 431.445 -0.481 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1=O ZINC000914806541 1072666303 /nfs/dbraw/zinc/66/63/03/1072666303.db2.gz SLUJLNWYTVGVMO-WMLDXEAASA-N 0 0 431.445 -0.481 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1=O ZINC000914806543 1072666234 /nfs/dbraw/zinc/66/62/34/1072666234.db2.gz SLUJLNWYTVGVMO-YOEHRIQHSA-N 0 0 431.445 -0.481 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1=O ZINC000914806602 1072666165 /nfs/dbraw/zinc/66/61/65/1072666165.db2.gz YSWFZQUKMYHTEP-CYBMUJFWSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CC1=O ZINC000914806636 1072666224 /nfs/dbraw/zinc/66/62/24/1072666224.db2.gz YSWFZQUKMYHTEP-ZDUSSCGKSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1=O ZINC000914806720 1072666278 /nfs/dbraw/zinc/66/62/78/1072666278.db2.gz ZMEKYFLJZZEOMN-CYBMUJFWSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)CC1=O ZINC000914806721 1072666191 /nfs/dbraw/zinc/66/61/91/1072666191.db2.gz ZMEKYFLJZZEOMN-ZDUSSCGKSA-N 0 0 427.454 -0.320 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3CC(=O)N(C)C3)CC2)cc1 ZINC000914806736 1072666285 /nfs/dbraw/zinc/66/62/85/1072666285.db2.gz ZVNUPADFLURGEP-AWEZNQCLSA-N 0 0 439.490 -0.450 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3CC(=O)N(C)C3)CC2)cc1 ZINC000914806776 1072666181 /nfs/dbraw/zinc/66/61/81/1072666181.db2.gz ZVNUPADFLURGEP-CQSZACIVSA-N 0 0 439.490 -0.450 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000914815387 1072667143 /nfs/dbraw/zinc/66/71/43/1072667143.db2.gz IDLZKZDMUAAOCN-LLVKDONJSA-N 0 0 433.439 -0.682 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000914815388 1072667287 /nfs/dbraw/zinc/66/72/87/1072667287.db2.gz IDLZKZDMUAAOCN-NSHDSACASA-N 0 0 433.439 -0.682 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000914816577 1072667177 /nfs/dbraw/zinc/66/71/77/1072667177.db2.gz OXVGPTFSYPJFCC-UHFFFAOYSA-N 0 0 444.462 -0.131 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)NC(=O)NCC(F)(F)F ZINC000914816719 1072667335 /nfs/dbraw/zinc/66/73/35/1072667335.db2.gz IJJCOTCWABVBGJ-UHFFFAOYSA-N 0 0 443.356 -0.155 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000914817097 1072667173 /nfs/dbraw/zinc/66/71/73/1072667173.db2.gz LICPJRKDMQUAIR-CYBMUJFWSA-N 0 0 443.478 -0.464 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000914817098 1072667252 /nfs/dbraw/zinc/66/72/52/1072667252.db2.gz LICPJRKDMQUAIR-ZDUSSCGKSA-N 0 0 443.478 -0.464 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC000914817286 1072667239 /nfs/dbraw/zinc/66/72/39/1072667239.db2.gz ORTZEHZBGCKURW-UHFFFAOYSA-N 0 0 429.451 -0.852 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000914817399 1072667299 /nfs/dbraw/zinc/66/72/99/1072667299.db2.gz WQOVNSJDOOMULM-GFCCVEGCSA-N 0 0 429.451 -0.676 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000914817400 1072667266 /nfs/dbraw/zinc/66/72/66/1072667266.db2.gz WQOVNSJDOOMULM-LBPRGKRZSA-N 0 0 429.451 -0.676 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000914818143 1072667165 /nfs/dbraw/zinc/66/71/65/1072667165.db2.gz URMPFXQUVLEQAB-UHFFFAOYSA-N 0 0 445.494 -0.170 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000914818611 1072667330 /nfs/dbraw/zinc/66/73/30/1072667330.db2.gz ZTTXKKDRQMTHCF-UHFFFAOYSA-N 0 0 431.467 -0.558 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(c2ccccc2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000914821978 1072667868 /nfs/dbraw/zinc/66/78/68/1072667868.db2.gz JXVHWZAKSXRPTE-AEFFLSMTSA-N 0 0 449.529 -0.086 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(c2ccccc2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000914821979 1072667938 /nfs/dbraw/zinc/66/79/38/1072667938.db2.gz JXVHWZAKSXRPTE-FUHWJXTLSA-N 0 0 449.529 -0.086 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N(c2ccccc2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000914822167 1072667795 /nfs/dbraw/zinc/66/77/95/1072667795.db2.gz JXVHWZAKSXRPTE-SJLPKXTDSA-N 0 0 449.529 -0.086 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N(c2ccccc2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000914822168 1072667876 /nfs/dbraw/zinc/66/78/76/1072667876.db2.gz JXVHWZAKSXRPTE-WMZOPIPTSA-N 0 0 449.529 -0.086 20 0 IBADRN CCN(C(=O)COC(=O)CN(C)S(=O)(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000914823522 1072667863 /nfs/dbraw/zinc/66/78/63/1072667863.db2.gz OVYNVDRBEDWMBC-AWEZNQCLSA-N 0 0 432.520 -0.114 20 0 IBADRN CCN(C(=O)COC(=O)CN(C)S(=O)(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000914823523 1072667909 /nfs/dbraw/zinc/66/79/09/1072667909.db2.gz OVYNVDRBEDWMBC-CQSZACIVSA-N 0 0 432.520 -0.114 20 0 IBADRN C[C@H](OC(=O)CN(C)S(=O)(=O)c1ccccc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000914824114 1072667925 /nfs/dbraw/zinc/66/79/25/1072667925.db2.gz WBCSKWWIMYNARM-KBPBESRZSA-N 0 0 432.520 -0.116 20 0 IBADRN C[C@@H](OC(=O)CN(C)S(=O)(=O)c1ccccc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000914824115 1072667923 /nfs/dbraw/zinc/66/79/23/1072667923.db2.gz WBCSKWWIMYNARM-KGLIPLIRSA-N 0 0 432.520 -0.116 20 0 IBADRN C[C@H](OC(=O)CN(C)S(=O)(=O)c1ccccc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000914824116 1072667790 /nfs/dbraw/zinc/66/77/90/1072667790.db2.gz WBCSKWWIMYNARM-UONOGXRCSA-N 0 0 432.520 -0.116 20 0 IBADRN C[C@@H](OC(=O)CN(C)S(=O)(=O)c1ccccc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000914824117 1072667914 /nfs/dbraw/zinc/66/79/14/1072667914.db2.gz WBCSKWWIMYNARM-ZIAGYGMSSA-N 0 0 432.520 -0.116 20 0 IBADRN COc1ccc2c(c1)C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CO2 ZINC000914827795 1072666840 /nfs/dbraw/zinc/66/68/40/1072666840.db2.gz NZMZRGXHQOUMBC-CHWSQXEVSA-N 0 0 426.447 -0.198 20 0 IBADRN COc1ccc2c(c1)C[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CO2 ZINC000914827799 1072666788 /nfs/dbraw/zinc/66/67/88/1072666788.db2.gz NZMZRGXHQOUMBC-OLZOCXBDSA-N 0 0 426.447 -0.198 20 0 IBADRN COc1ccc2c(c1)C[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)CO2 ZINC000914827801 1072666798 /nfs/dbraw/zinc/66/67/98/1072666798.db2.gz NZMZRGXHQOUMBC-QWHCGFSZSA-N 0 0 426.447 -0.198 20 0 IBADRN COc1ccc2c(c1)C[C@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)CO2 ZINC000914827802 1072666832 /nfs/dbraw/zinc/66/68/32/1072666832.db2.gz NZMZRGXHQOUMBC-STQMWFEESA-N 0 0 426.447 -0.198 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000914831452 1072666747 /nfs/dbraw/zinc/66/67/47/1072666747.db2.gz KLQNJRBKZPVFSW-CVEARBPZSA-N 0 0 428.555 -0.918 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000914831453 1072666728 /nfs/dbraw/zinc/66/67/28/1072666728.db2.gz KLQNJRBKZPVFSW-HOTGVXAUSA-N 0 0 428.555 -0.918 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000914831456 1072666774 /nfs/dbraw/zinc/66/67/74/1072666774.db2.gz KLQNJRBKZPVFSW-HZPDHXFCSA-N 0 0 428.555 -0.918 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC000914831460 1072666827 /nfs/dbraw/zinc/66/68/27/1072666827.db2.gz KLQNJRBKZPVFSW-JKSUJKDBSA-N 0 0 428.555 -0.918 20 0 IBADRN NC(=O)C(NC(=O)c1cc(S(=O)(=O)N2CCCCC2)ccc1Br)C(N)=O ZINC000914831828 1072666711 /nfs/dbraw/zinc/66/67/11/1072666711.db2.gz GBYZJIDTDJERSR-UHFFFAOYSA-N 0 0 447.311 -0.307 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000914832012 1072666821 /nfs/dbraw/zinc/66/68/21/1072666821.db2.gz XLDXHFRKVWMLKN-IAMHBRQHSA-N 0 0 448.501 -0.090 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000914832013 1072666769 /nfs/dbraw/zinc/66/67/69/1072666769.db2.gz XLDXHFRKVWMLKN-ZQGRQUNCSA-N 0 0 448.501 -0.090 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000914832135 1072666752 /nfs/dbraw/zinc/66/67/52/1072666752.db2.gz CYMHSIFLLOBORU-GOSISDBHSA-N 0 0 429.502 -0.145 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC000914832136 1072667305 /nfs/dbraw/zinc/66/73/05/1072667305.db2.gz CYMHSIFLLOBORU-SFHVURJKSA-N 0 0 429.502 -0.145 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000914832605 1072667132 /nfs/dbraw/zinc/66/71/32/1072667132.db2.gz PQXQDPQUXAUGFN-KRWDZBQOSA-N 0 0 429.502 -0.145 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000914832606 1072667356 /nfs/dbraw/zinc/66/73/56/1072667356.db2.gz PQXQDPQUXAUGFN-QGZVFWFLSA-N 0 0 429.502 -0.145 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000914832639 1072667277 /nfs/dbraw/zinc/66/72/77/1072667277.db2.gz QWZFVUTZDYEVTA-PTAUBWNISA-N 0 0 434.474 -0.480 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1=O ZINC000914832640 1072667291 /nfs/dbraw/zinc/66/72/91/1072667291.db2.gz QWZFVUTZDYEVTA-SPKLELGKSA-N 0 0 434.474 -0.480 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000914847390 1072668371 /nfs/dbraw/zinc/66/83/71/1072668371.db2.gz LGSZXPVNUDVHEU-UHFFFAOYSA-N 0 0 449.526 -0.046 20 0 IBADRN CCCN1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000914850275 1072669104 /nfs/dbraw/zinc/66/91/04/1072669104.db2.gz LSRBYYXMSHMSSO-KBPBESRZSA-N 0 0 431.515 -0.884 20 0 IBADRN CCCN1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000914850276 1072668870 /nfs/dbraw/zinc/66/88/70/1072668870.db2.gz LSRBYYXMSHMSSO-OKILXGFUSA-N 0 0 431.515 -0.884 20 0 IBADRN CCCN1C(=O)C(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1=O ZINC000914850278 1072668940 /nfs/dbraw/zinc/66/89/40/1072668940.db2.gz LSRBYYXMSHMSSO-ZIAGYGMSSA-N 0 0 431.515 -0.884 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)[C@@H]4CC=CC[C@H]4C3=O)CC2)C[C@@H](C)O1 ZINC000914850653 1072668948 /nfs/dbraw/zinc/66/89/48/1072668948.db2.gz RUGZUVDIFDDBSU-QBPKDAKJSA-N 0 0 426.539 -0.133 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)[C@@H]4CC=CC[C@@H]4C3=O)CC2)C[C@@H](C)O1 ZINC000914850654 1072668931 /nfs/dbraw/zinc/66/89/31/1072668931.db2.gz RUGZUVDIFDDBSU-VQHPVUNQSA-N 0 0 426.539 -0.133 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)[C@@H]4CC=CC[C@@H]4C3=O)CC2)C[C@H](C)O1 ZINC000914850655 1072668861 /nfs/dbraw/zinc/66/88/61/1072668861.db2.gz RUGZUVDIFDDBSU-WNKDZCFJSA-N 0 0 426.539 -0.133 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)[C@@H]4CC=CC[C@H]4C3=O)CC2)C[C@H](C)O1 ZINC000914850656 1072668997 /nfs/dbraw/zinc/66/89/97/1072668997.db2.gz RUGZUVDIFDDBSU-YYIAUSFCSA-N 0 0 426.539 -0.133 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)N[C@](C)(C4CC4)C3=O)CC2)C[C@@H](C)O1 ZINC000914850673 1072669058 /nfs/dbraw/zinc/66/90/58/1072669058.db2.gz UWDLJMJOAOEVRY-HBUWYVDXSA-N 0 0 429.543 -0.364 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)N[C@@](C)(C4CC4)C3=O)CC2)C[C@H](C)O1 ZINC000914850674 1072668897 /nfs/dbraw/zinc/66/88/97/1072668897.db2.gz UWDLJMJOAOEVRY-IYOUNJFTSA-N 0 0 429.543 -0.364 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)N[C@@](C)(C4CC4)C3=O)CC2)C[C@@H](C)O1 ZINC000914850675 1072669097 /nfs/dbraw/zinc/66/90/97/1072669097.db2.gz UWDLJMJOAOEVRY-LBTNJELSSA-N 0 0 429.543 -0.364 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CN3C(=O)N[C@](C)(C4CC4)C3=O)CC2)C[C@H](C)O1 ZINC000914850676 1072668907 /nfs/dbraw/zinc/66/89/07/1072668907.db2.gz UWDLJMJOAOEVRY-QWQRMKEZSA-N 0 0 429.543 -0.364 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1cccs1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000914854353 1072669015 /nfs/dbraw/zinc/66/90/15/1072669015.db2.gz PYTVCRSSUPVQCF-QJPTWQEYSA-N 0 0 438.549 -0.008 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)O[C@@H](C)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000914854354 1072669122 /nfs/dbraw/zinc/66/91/22/1072669122.db2.gz PYTVCRSSUPVQCF-SDDRHHMPSA-N 0 0 438.549 -0.008 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)O[C@@H](C)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000914854355 1072668833 /nfs/dbraw/zinc/66/88/33/1072668833.db2.gz PYTVCRSSUPVQCF-SRVKXCTJSA-N 0 0 438.549 -0.008 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)NS(=O)(=O)c1cccs1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000914854357 1072668957 /nfs/dbraw/zinc/66/89/57/1072668957.db2.gz PYTVCRSSUPVQCF-TUAOUCFPSA-N 0 0 438.549 -0.008 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000914855570 1072669589 /nfs/dbraw/zinc/66/95/89/1072669589.db2.gz QNLUSOASPMUTSG-NEPJUHHUSA-N 0 0 438.549 -0.006 20 0 IBADRN CCN(C(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000914855571 1072669715 /nfs/dbraw/zinc/66/97/15/1072669715.db2.gz QNLUSOASPMUTSG-NWDGAFQWSA-N 0 0 438.549 -0.006 20 0 IBADRN CCN(C(=O)COC(=O)[C@H](C)NS(=O)(=O)c1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC000914855572 1072669622 /nfs/dbraw/zinc/66/96/22/1072669622.db2.gz QNLUSOASPMUTSG-RYUDHWBXSA-N 0 0 438.549 -0.006 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H](C)NS(=O)(=O)c1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC000914855573 1072669709 /nfs/dbraw/zinc/66/97/09/1072669709.db2.gz QNLUSOASPMUTSG-VXGBXAGGSA-N 0 0 438.549 -0.006 20 0 IBADRN COc1ccc(-c2nnc(SCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)n2N)c(OC)c1 ZINC000914865836 1072670139 /nfs/dbraw/zinc/67/01/39/1072670139.db2.gz AVTGJLBAJLZGEM-UHFFFAOYSA-N 0 0 447.477 -0.369 20 0 IBADRN CCOC(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)nc1C ZINC000914878137 1072671165 /nfs/dbraw/zinc/67/11/65/1072671165.db2.gz SEEFVVIMABVDEB-LLVKDONJSA-N 0 0 427.435 -0.264 20 0 IBADRN CCOC(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)nc1C ZINC000914878138 1072670923 /nfs/dbraw/zinc/67/09/23/1072670923.db2.gz SEEFVVIMABVDEB-NSHDSACASA-N 0 0 427.435 -0.264 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000914878531 1072670276 /nfs/dbraw/zinc/67/02/76/1072670276.db2.gz MAFNPVSRTWMEGD-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000914897308 1072672058 /nfs/dbraw/zinc/67/20/58/1072672058.db2.gz ASASETYRFJBGRO-UHFFFAOYSA-N 0 0 428.423 -0.478 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)c1 ZINC000914897330 1072672118 /nfs/dbraw/zinc/67/21/18/1072672118.db2.gz BOHKDNMGTRAIQQ-UHFFFAOYSA-N 0 0 448.523 -0.666 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000914897392 1072672090 /nfs/dbraw/zinc/67/20/90/1072672090.db2.gz DVGNOJWEVZRNMA-UHFFFAOYSA-N 0 0 426.495 -0.238 20 0 IBADRN COc1cc(CNC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)cc(OC)c1OC ZINC000914897810 1072672067 /nfs/dbraw/zinc/67/20/67/1072672067.db2.gz GTNYSDLNHAOBBT-UHFFFAOYSA-N 0 0 445.494 -0.213 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)OC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000914897884 1072672138 /nfs/dbraw/zinc/67/21/38/1072672138.db2.gz JRIFSWNIHGMOQA-GFCCVEGCSA-N 0 0 427.479 -0.063 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)OC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000914897885 1072672070 /nfs/dbraw/zinc/67/20/70/1072672070.db2.gz JRIFSWNIHGMOQA-LBPRGKRZSA-N 0 0 427.479 -0.063 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000914898291 1072671968 /nfs/dbraw/zinc/67/19/68/1072671968.db2.gz MBVPOGSDSQXKBC-UHFFFAOYSA-N 0 0 428.486 -0.067 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC000914898337 1072672038 /nfs/dbraw/zinc/67/20/38/1072672038.db2.gz NTHDXENVADFAOK-UHFFFAOYSA-N 0 0 442.450 -0.435 20 0 IBADRN NC(=O)CCN(Cc1ccccc1)C(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000914898464 1072672109 /nfs/dbraw/zinc/67/21/09/1072672109.db2.gz AFCOKQSIWZVYPR-UHFFFAOYSA-N 0 0 426.495 -0.651 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000914898489 1072672077 /nfs/dbraw/zinc/67/20/77/1072672077.db2.gz AZGXCGUWHCRXIK-UHFFFAOYSA-N 0 0 426.495 -0.149 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)C1CCN(S(N)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000914898498 1072672098 /nfs/dbraw/zinc/67/20/98/1072672098.db2.gz BDPDBMWYQQTPQN-GXTWGEPZSA-N 0 0 439.556 -0.741 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)C1CCN(S(N)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000914898499 1072672084 /nfs/dbraw/zinc/67/20/84/1072672084.db2.gz BDPDBMWYQQTPQN-JSGCOSHPSA-N 0 0 439.556 -0.741 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)C1CCN(S(N)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000914898500 1072671978 /nfs/dbraw/zinc/67/19/78/1072671978.db2.gz BDPDBMWYQQTPQN-OCCSQVGLSA-N 0 0 439.556 -0.741 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)C1CCN(S(N)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000914898501 1072672048 /nfs/dbraw/zinc/67/20/48/1072672048.db2.gz BDPDBMWYQQTPQN-TZMCWYRMSA-N 0 0 439.556 -0.741 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)c1 ZINC000914898619 1072672010 /nfs/dbraw/zinc/67/20/10/1072672010.db2.gz SGZRMWGKDJNXNV-UHFFFAOYSA-N 0 0 442.494 -0.449 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)NCC2(N3CCOCC3)CCCCC2)CC1 ZINC000914898636 1072671994 /nfs/dbraw/zinc/67/19/94/1072671994.db2.gz SODGYPMUJFBEBC-UHFFFAOYSA-N 0 0 446.570 -0.404 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000914898661 1072672114 /nfs/dbraw/zinc/67/21/14/1072672114.db2.gz TYLBEBRIAAIXIA-CYBMUJFWSA-N 0 0 442.494 -0.589 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000914898668 1072672535 /nfs/dbraw/zinc/67/25/35/1072672535.db2.gz TYLBEBRIAAIXIA-ZDUSSCGKSA-N 0 0 442.494 -0.589 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2ccc(CN3CCOCC3)cc2)CC1 ZINC000914898725 1072672688 /nfs/dbraw/zinc/67/26/88/1072672688.db2.gz UNDKXZZGJLZOFH-UHFFFAOYSA-N 0 0 440.522 -0.084 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC000914898730 1072672485 /nfs/dbraw/zinc/67/24/85/1072672485.db2.gz UNIAQDOFRLNIBQ-UHFFFAOYSA-N 0 0 434.421 -0.522 20 0 IBADRN CCCCn1c2nc(COC(=O)C3CCN(S(N)(=O)=O)CC3)n(C)c2c(=O)[nH]c1=O ZINC000914898984 1072672731 /nfs/dbraw/zinc/67/27/31/1072672731.db2.gz FPNWQMIONZCEPW-UHFFFAOYSA-N 0 0 442.498 -0.406 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(Cc3cccs3)CC2)CC1 ZINC000914899074 1072672496 /nfs/dbraw/zinc/67/24/96/1072672496.db2.gz VLOFOVQAHQOCFQ-UHFFFAOYSA-N 0 0 430.552 -0.149 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)ccc1C ZINC000914899079 1072672513 /nfs/dbraw/zinc/67/25/13/1072672513.db2.gz VUXAKRJHGHCISE-UHFFFAOYSA-N 0 0 448.523 -0.700 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)NCCc2cnn(-c3ccccc3)c2)CC1 ZINC000914899097 1072672529 /nfs/dbraw/zinc/67/25/29/1072672529.db2.gz XHZLGUNMDRKBNU-UHFFFAOYSA-N 0 0 435.506 -0.010 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000914899148 1072672712 /nfs/dbraw/zinc/67/27/12/1072672712.db2.gz YHDSTHUPLDLWFS-UHFFFAOYSA-N 0 0 445.494 -0.213 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000914899307 1072672543 /nfs/dbraw/zinc/67/25/43/1072672543.db2.gz JQYHHRIHVKTQJS-GXTWGEPZSA-N 0 0 427.479 -0.210 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000914899309 1072672558 /nfs/dbraw/zinc/67/25/58/1072672558.db2.gz JQYHHRIHVKTQJS-JSGCOSHPSA-N 0 0 427.479 -0.210 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000914899312 1072672703 /nfs/dbraw/zinc/67/27/03/1072672703.db2.gz JQYHHRIHVKTQJS-OCCSQVGLSA-N 0 0 427.479 -0.210 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000914899313 1072672521 /nfs/dbraw/zinc/67/25/21/1072672521.db2.gz JQYHHRIHVKTQJS-TZMCWYRMSA-N 0 0 427.479 -0.210 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000914899336 1072672566 /nfs/dbraw/zinc/67/25/66/1072672566.db2.gz KLNAYXFGILJYIL-UHFFFAOYSA-N 0 0 442.494 -0.638 20 0 IBADRN CC(C)[C@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000914899423 1072672710 /nfs/dbraw/zinc/67/27/10/1072672710.db2.gz LOKXCBFVMZLFKA-BBRMVZONSA-N 0 0 439.556 -0.837 20 0 IBADRN CC(C)[C@@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000914899424 1072672573 /nfs/dbraw/zinc/67/25/73/1072672573.db2.gz LOKXCBFVMZLFKA-CJNGLKHVSA-N 0 0 439.556 -0.837 20 0 IBADRN CC(C)[C@@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000914899425 1072672550 /nfs/dbraw/zinc/67/25/50/1072672550.db2.gz LOKXCBFVMZLFKA-CZUORRHYSA-N 0 0 439.556 -0.837 20 0 IBADRN CC(C)[C@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000914899426 1072673076 /nfs/dbraw/zinc/67/30/76/1072673076.db2.gz LOKXCBFVMZLFKA-XJKSGUPXSA-N 0 0 439.556 -0.837 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000914899601 1072673189 /nfs/dbraw/zinc/67/31/89/1072673189.db2.gz NPNUTOGQPXYFAH-LLVKDONJSA-N 0 0 433.508 -0.124 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000914899602 1072673143 /nfs/dbraw/zinc/67/31/43/1072673143.db2.gz NPNUTOGQPXYFAH-NSHDSACASA-N 0 0 433.508 -0.124 20 0 IBADRN CCCCN(C(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000914899633 1072673166 /nfs/dbraw/zinc/67/31/66/1072673166.db2.gz OONDQTSYNYITPY-AWEZNQCLSA-N 0 0 439.556 -0.739 20 0 IBADRN CCCCN(C(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000914899634 1072673196 /nfs/dbraw/zinc/67/31/96/1072673196.db2.gz OONDQTSYNYITPY-CQSZACIVSA-N 0 0 439.556 -0.739 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](OC(=O)C2CCN(S(N)(=O)=O)CC2)C(C)C)CC1 ZINC000914899832 1072670955 /nfs/dbraw/zinc/67/09/55/1072670955.db2.gz RQXAMGHSBOKQTL-HNNXBMFYSA-N 0 0 433.527 -0.119 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](OC(=O)C2CCN(S(N)(=O)=O)CC2)C(C)C)CC1 ZINC000914899833 1072671048 /nfs/dbraw/zinc/67/10/48/1072671048.db2.gz RQXAMGHSBOKQTL-OAHLLOKOSA-N 0 0 433.527 -0.119 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)COC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000914899837 1072670939 /nfs/dbraw/zinc/67/09/39/1072670939.db2.gz RXRJBKLCGXADQS-UHFFFAOYSA-N 0 0 446.551 -0.329 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000914899966 1072671036 /nfs/dbraw/zinc/67/10/36/1072671036.db2.gz STNFQAZDDURPAK-AWEZNQCLSA-N 0 0 440.522 -0.112 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000914899967 1072671068 /nfs/dbraw/zinc/67/10/68/1072671068.db2.gz STNFQAZDDURPAK-CQSZACIVSA-N 0 0 440.522 -0.112 20 0 IBADRN COC(=O)c1sc(N)c(C(=O)OC)c1COC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000914900037 1072671092 /nfs/dbraw/zinc/67/10/92/1072671092.db2.gz VYNFDDHIFODHFE-UHFFFAOYSA-N 0 0 435.480 -0.138 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000914900330 1072670910 /nfs/dbraw/zinc/67/09/10/1072670910.db2.gz ZQWBSCWAHDEAFR-CYBMUJFWSA-N 0 0 441.506 -0.036 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(N)(=O)=O)CC1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000914900331 1072671415 /nfs/dbraw/zinc/67/14/15/1072671415.db2.gz ZQWBSCWAHDEAFR-ZDUSSCGKSA-N 0 0 441.506 -0.036 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)Nc1nnc(C2CC2)o1 ZINC000914900607 1072671395 /nfs/dbraw/zinc/67/13/95/1072671395.db2.gz VMGJCIUEOKGOQY-UHFFFAOYSA-N 0 0 449.445 -0.137 20 0 IBADRN O=C(COC(=O)c1cn(Cc2ccccc2)nn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000914902147 1072671403 /nfs/dbraw/zinc/67/14/03/1072671403.db2.gz HLXFFIPMNKZFFM-KRWDZBQOSA-N 0 0 447.517 -0.186 20 0 IBADRN O=C(COC(=O)c1cn(Cc2ccccc2)nn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000914902148 1072671457 /nfs/dbraw/zinc/67/14/57/1072671457.db2.gz HLXFFIPMNKZFFM-QGZVFWFLSA-N 0 0 447.517 -0.186 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000914903808 1072671387 /nfs/dbraw/zinc/67/13/87/1072671387.db2.gz BUKXZEUEUXEHJI-CHWSQXEVSA-N 0 0 433.508 -0.673 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000914903809 1072671421 /nfs/dbraw/zinc/67/14/21/1072671421.db2.gz BUKXZEUEUXEHJI-OLZOCXBDSA-N 0 0 433.508 -0.673 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000914903810 1072671466 /nfs/dbraw/zinc/67/14/66/1072671466.db2.gz BUKXZEUEUXEHJI-QWHCGFSZSA-N 0 0 433.508 -0.673 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000914903811 1072671468 /nfs/dbraw/zinc/67/14/68/1072671468.db2.gz BUKXZEUEUXEHJI-STQMWFEESA-N 0 0 433.508 -0.673 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CCNS(=O)(=O)c2cccnc2)NC(=O)N[C@@H]1C ZINC000914904167 1072671379 /nfs/dbraw/zinc/67/13/79/1072671379.db2.gz JHJIIJUUIOMUDR-LLVKDONJSA-N 0 0 426.451 -0.188 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CCNS(=O)(=O)c2cccnc2)NC(=O)N[C@H]1C ZINC000914904168 1072671451 /nfs/dbraw/zinc/67/14/51/1072671451.db2.gz JHJIIJUUIOMUDR-NSHDSACASA-N 0 0 426.451 -0.188 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000914904381 1072671452 /nfs/dbraw/zinc/67/14/52/1072671452.db2.gz LXYWYSZQOZVAEJ-UHFFFAOYSA-N 0 0 428.467 -0.406 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000914904483 1072671368 /nfs/dbraw/zinc/67/13/68/1072671368.db2.gz BHBQULSYSOVCNS-UHFFFAOYSA-N 0 0 434.478 -0.568 20 0 IBADRN C[C@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000914904552 1072671439 /nfs/dbraw/zinc/67/14/39/1072671439.db2.gz CVMPDVPPDXQVLT-HNNXBMFYSA-N 0 0 448.505 -0.180 20 0 IBADRN C[C@@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000914904554 1072671461 /nfs/dbraw/zinc/67/14/61/1072671461.db2.gz CVMPDVPPDXQVLT-OAHLLOKOSA-N 0 0 448.505 -0.180 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)Nc1nnc(C2CC2)o1 ZINC000914904837 1072671445 /nfs/dbraw/zinc/67/14/45/1072671445.db2.gz CNLYCNYUEDGJEX-UHFFFAOYSA-N 0 0 449.445 -0.137 20 0 IBADRN CC(C)[C@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000914905522 1072671437 /nfs/dbraw/zinc/67/14/37/1072671437.db2.gz JEJVQKXDQPQTCR-BBRMVZONSA-N 0 0 447.535 -0.379 20 0 IBADRN CC(C)[C@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000914905524 1072671454 /nfs/dbraw/zinc/67/14/54/1072671454.db2.gz JEJVQKXDQPQTCR-CJNGLKHVSA-N 0 0 447.535 -0.379 20 0 IBADRN CC(C)[C@@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000914905526 1072671427 /nfs/dbraw/zinc/67/14/27/1072671427.db2.gz JEJVQKXDQPQTCR-CZUORRHYSA-N 0 0 447.535 -0.379 20 0 IBADRN CC(C)[C@@H](OC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000914905528 1072671433 /nfs/dbraw/zinc/67/14/33/1072671433.db2.gz JEJVQKXDQPQTCR-XJKSGUPXSA-N 0 0 447.535 -0.379 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000914906576 1072671362 /nfs/dbraw/zinc/67/13/62/1072671362.db2.gz RRNWPIOCGNDHMG-AWEZNQCLSA-N 0 0 442.494 -0.018 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CCNS(=O)(=O)c2cccnc2)CC1 ZINC000914906579 1072672027 /nfs/dbraw/zinc/67/20/27/1072672027.db2.gz RRNWPIOCGNDHMG-CQSZACIVSA-N 0 0 442.494 -0.018 20 0 IBADRN CCN1C[C@@H](C(=O)OCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1=O ZINC000914911304 1072673064 /nfs/dbraw/zinc/67/30/64/1072673064.db2.gz RHTQNHLPVBXYSL-FKIZINRSSA-N 0 0 432.433 -0.095 20 0 IBADRN CCN1C[C@H](C(=O)OCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1=O ZINC000914911306 1072673045 /nfs/dbraw/zinc/67/30/45/1072673045.db2.gz RHTQNHLPVBXYSL-MPBGBICISA-N 0 0 432.433 -0.095 20 0 IBADRN CCN1C[C@H](C(=O)OCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1=O ZINC000914911308 1072673149 /nfs/dbraw/zinc/67/31/49/1072673149.db2.gz RHTQNHLPVBXYSL-ODXCJYRJSA-N 0 0 432.433 -0.095 20 0 IBADRN CCN1C[C@@H](C(=O)OCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1=O ZINC000914911310 1072673188 /nfs/dbraw/zinc/67/31/88/1072673188.db2.gz RHTQNHLPVBXYSL-YUNKPMOVSA-N 0 0 432.433 -0.095 20 0 IBADRN CCN1C[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1=O ZINC000914911849 1072673082 /nfs/dbraw/zinc/67/30/82/1072673082.db2.gz RBRPLVJKKCTQLN-AWEZNQCLSA-N 0 0 442.494 -0.464 20 0 IBADRN CCN1C[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1=O ZINC000914911850 1072673136 /nfs/dbraw/zinc/67/31/36/1072673136.db2.gz RBRPLVJKKCTQLN-CQSZACIVSA-N 0 0 442.494 -0.464 20 0 IBADRN CCN1C[C@@H](C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1=O ZINC000914912776 1072673740 /nfs/dbraw/zinc/67/37/40/1072673740.db2.gz RORQHEHLNFUSDP-AWEZNQCLSA-N 0 0 428.445 -0.228 20 0 IBADRN CCN1C[C@H](C(=O)OCC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)CC1=O ZINC000914912788 1072673804 /nfs/dbraw/zinc/67/38/04/1072673804.db2.gz RORQHEHLNFUSDP-CQSZACIVSA-N 0 0 428.445 -0.228 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)ccc1-n1cnnn1 ZINC000914913964 1072673781 /nfs/dbraw/zinc/67/37/81/1072673781.db2.gz BGNOUNOVNLMYTA-INIZCTEOSA-N 0 0 448.505 -0.541 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)ccc1-n1cnnn1 ZINC000914913965 1072673631 /nfs/dbraw/zinc/67/36/31/1072673631.db2.gz BGNOUNOVNLMYTA-MRXNPFEDSA-N 0 0 448.505 -0.541 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000914918133 1072673702 /nfs/dbraw/zinc/67/37/02/1072673702.db2.gz HLXNHLOBHWRJHQ-CYBMUJFWSA-N 0 0 425.463 -0.333 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000914918134 1072673763 /nfs/dbraw/zinc/67/37/63/1072673763.db2.gz HLXNHLOBHWRJHQ-ZDUSSCGKSA-N 0 0 425.463 -0.333 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1=O ZINC000914918530 1072673769 /nfs/dbraw/zinc/67/37/69/1072673769.db2.gz AUJYRZCIPDVOTQ-CYBMUJFWSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1=O ZINC000914918531 1072673775 /nfs/dbraw/zinc/67/37/75/1072673775.db2.gz AUJYRZCIPDVOTQ-ZDUSSCGKSA-N 0 0 427.454 -0.320 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000914919218 1072673069 /nfs/dbraw/zinc/67/30/69/1072673069.db2.gz SGPNNLSKEBNZQB-CYBMUJFWSA-N 0 0 425.463 -0.333 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC000914919219 1072673056 /nfs/dbraw/zinc/67/30/56/1072673056.db2.gz SGPNNLSKEBNZQB-ZDUSSCGKSA-N 0 0 425.463 -0.333 20 0 IBADRN CCN(C(=O)COC(=O)[C@H]1CC(=O)N(C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000914919339 1072673128 /nfs/dbraw/zinc/67/31/28/1072673128.db2.gz KXMUVJMLWHWPQY-AWEZNQCLSA-N 0 0 443.460 -0.046 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H]1CC(=O)N(C)C1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000914919341 1072673031 /nfs/dbraw/zinc/67/30/31/1072673031.db2.gz KXMUVJMLWHWPQY-CQSZACIVSA-N 0 0 443.460 -0.046 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1=O ZINC000914919629 1072674282 /nfs/dbraw/zinc/67/42/82/1072674282.db2.gz NEJBVBRXYFPNOS-GFCCVEGCSA-N 0 0 445.444 -0.181 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1=O ZINC000914919630 1072674185 /nfs/dbraw/zinc/67/41/85/1072674185.db2.gz NEJBVBRXYFPNOS-LBPRGKRZSA-N 0 0 445.444 -0.181 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000914919759 1072674290 /nfs/dbraw/zinc/67/42/90/1072674290.db2.gz PMNBIQNBSWEDDT-HNNXBMFYSA-N 0 0 439.490 -0.655 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC000914919765 1072674200 /nfs/dbraw/zinc/67/42/00/1072674200.db2.gz PMNBIQNBSWEDDT-OAHLLOKOSA-N 0 0 439.490 -0.655 20 0 IBADRN CN1C[C@@H](C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1=O ZINC000914920388 1072674159 /nfs/dbraw/zinc/67/41/59/1072674159.db2.gz ZAJUHGBONXAXPL-HNNXBMFYSA-N 0 0 439.490 -0.655 20 0 IBADRN CN1C[C@H](C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1=O ZINC000914920389 1072674194 /nfs/dbraw/zinc/67/41/94/1072674194.db2.gz ZAJUHGBONXAXPL-OAHLLOKOSA-N 0 0 439.490 -0.655 20 0 IBADRN CC(=O)N(C)CC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000914921588 1072674233 /nfs/dbraw/zinc/67/42/33/1072674233.db2.gz XFXYGRCUAULOQT-UHFFFAOYSA-N 0 0 427.479 -0.655 20 0 IBADRN CN(CC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)S(C)(=O)=O ZINC000914921741 1072674215 /nfs/dbraw/zinc/67/42/15/1072674215.db2.gz BNQUEFXAUPVLRK-UHFFFAOYSA-N 0 0 449.507 -0.919 20 0 IBADRN CN(CC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000914921755 1072674277 /nfs/dbraw/zinc/67/42/77/1072674277.db2.gz DCAMCWNNOINMSF-UHFFFAOYSA-N 0 0 449.507 -0.919 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC000914921780 1072674180 /nfs/dbraw/zinc/67/41/80/1072674180.db2.gz RZPFKCDHQAETRR-CYBMUJFWSA-N 0 0 428.467 -0.854 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC000914921781 1072674257 /nfs/dbraw/zinc/67/42/57/1072674257.db2.gz RZPFKCDHQAETRR-ZDUSSCGKSA-N 0 0 428.467 -0.854 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CN(C)C(C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000914921818 1072674268 /nfs/dbraw/zinc/67/42/68/1072674268.db2.gz FGNIWJBWWQFGCY-UHFFFAOYSA-N 0 0 443.478 -0.324 20 0 IBADRN CC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000914921926 1072674209 /nfs/dbraw/zinc/67/42/09/1072674209.db2.gz AHZILBVENVJBPJ-UHFFFAOYSA-N 0 0 433.433 -0.181 20 0 IBADRN CCN(C(=O)COC(=O)CN(C)C(C)=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000914922108 1072674147 /nfs/dbraw/zinc/67/41/47/1072674147.db2.gz KQEKWLZVBXZZGF-UHFFFAOYSA-N 0 0 431.449 -0.046 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)CN(C)C(C)=O ZINC000914922383 1072674248 /nfs/dbraw/zinc/67/42/48/1072674248.db2.gz LRSOMQGMUNVYQI-UHFFFAOYSA-N 0 0 443.478 -0.324 20 0 IBADRN CC(=O)N(C)CC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000914922414 1072674911 /nfs/dbraw/zinc/67/49/11/1072674911.db2.gz OGKSHYBYLDUBFP-UHFFFAOYSA-N 0 0 427.479 -0.655 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CN(C)C(C)=O)CC2)cc1 ZINC000914922424 1072674691 /nfs/dbraw/zinc/67/46/91/1072674691.db2.gz OQFVISMCNJWULG-UHFFFAOYSA-N 0 0 439.490 -0.256 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CN(C)C(C)=O)CC2)cc1 ZINC000914922456 1072674668 /nfs/dbraw/zinc/67/46/68/1072674668.db2.gz POTSUELUMIOFDE-UHFFFAOYSA-N 0 0 441.506 -0.060 20 0 IBADRN CN(CC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1)S(C)(=O)=O ZINC000914922522 1072674176 /nfs/dbraw/zinc/67/41/76/1072674176.db2.gz OSKQCXSOHOMAHK-UHFFFAOYSA-N 0 0 433.508 -0.156 20 0 IBADRN CN(CC(=O)OCc1cccc(COC(=O)CN(C)S(C)(=O)=O)c1)S(C)(=O)=O ZINC000914922671 1072674851 /nfs/dbraw/zinc/67/48/51/1072674851.db2.gz XXHGZCICAKKKHX-UHFFFAOYSA-N 0 0 436.508 -0.444 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CN(C)C(C)=O)CC2)cc1 ZINC000914922835 1072674697 /nfs/dbraw/zinc/67/46/97/1072674697.db2.gz TVTXELROQZXCLL-UHFFFAOYSA-N 0 0 427.479 -0.450 20 0 IBADRN CC(=O)N(C)CC(=O)OCC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000914922898 1072674815 /nfs/dbraw/zinc/67/48/15/1072674815.db2.gz UFMBSOIPHKHDME-UHFFFAOYSA-N 0 0 427.479 -0.024 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CN(C)C(C)=O)CC2)c1 ZINC000914923036 1072674755 /nfs/dbraw/zinc/67/47/55/1072674755.db2.gz WSZIAQFVRFQXGJ-UHFFFAOYSA-N 0 0 439.490 -0.256 20 0 IBADRN CN(CC(=O)OCc1ccc(COC(=O)CN(C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC000914923145 1072674722 /nfs/dbraw/zinc/67/47/22/1072674722.db2.gz ARDYBDWBGXKLAI-UHFFFAOYSA-N 0 0 436.508 -0.444 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)COC(=O)CN(C)S(C)(=O)=O ZINC000914923180 1072674834 /nfs/dbraw/zinc/67/48/34/1072674834.db2.gz BXFAEQVKWWQCDY-UHFFFAOYSA-N 0 0 447.535 -0.349 20 0 IBADRN CN(CC(=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000914923213 1072674888 /nfs/dbraw/zinc/67/48/88/1072674888.db2.gz CKZSJWKEBAEOPR-UHFFFAOYSA-N 0 0 436.508 -0.479 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CN(C)S(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC000914923214 1072674905 /nfs/dbraw/zinc/67/49/05/1072674905.db2.gz CXMKFQAYBRKZFG-UHFFFAOYSA-N 0 0 437.496 -0.681 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)NC)sc1NC(=O)COC(=O)CN(C)S(C)(=O)=O ZINC000914923259 1072674878 /nfs/dbraw/zinc/67/48/78/1072674878.db2.gz DUCFXFNZRNXRDW-UHFFFAOYSA-N 0 0 449.507 -0.034 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN(C)S(C)(=O)=O)C1=O ZINC000914923266 1072674843 /nfs/dbraw/zinc/67/48/43/1072674843.db2.gz FGNOLACOWOSRDJ-KRWDZBQOSA-N 0 0 426.451 -0.691 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN(C)S(C)(=O)=O)C1=O ZINC000914923268 1072674824 /nfs/dbraw/zinc/67/48/24/1072674824.db2.gz FGNOLACOWOSRDJ-QGZVFWFLSA-N 0 0 426.451 -0.691 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)S(C)(=O)=O ZINC000914923613 1072673793 /nfs/dbraw/zinc/67/37/93/1072673793.db2.gz LREDCADQPRBJKP-UHFFFAOYSA-N 0 0 447.535 -0.905 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)CN(C)S(C)(=O)=O)c(=O)[nH]c1=O ZINC000914923622 1072673809 /nfs/dbraw/zinc/67/38/09/1072673809.db2.gz MLFMPUHVRUWBOO-UHFFFAOYSA-N 0 0 433.487 -0.881 20 0 IBADRN CN(CC(=O)OCC(=O)NCC(=O)Nc1ccccc1Br)S(C)(=O)=O ZINC000914923714 1072673799 /nfs/dbraw/zinc/67/37/99/1072673799.db2.gz PWWCLPPRKVRYTH-UHFFFAOYSA-N 0 0 436.284 -0.062 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000914924029 1072673752 /nfs/dbraw/zinc/67/37/52/1072673752.db2.gz SVBDUNPYTVOYGE-UHFFFAOYSA-N 0 0 444.485 -0.657 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)COC(=O)CN(C)S(C)(=O)=O ZINC000914924044 1072673756 /nfs/dbraw/zinc/67/37/56/1072673756.db2.gz UMCXWZASAUAXGS-UHFFFAOYSA-N 0 0 435.480 -0.295 20 0 IBADRN C[C@@H](OC(=O)CN(C)S(C)(=O)=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000914924108 1072673677 /nfs/dbraw/zinc/67/36/77/1072673677.db2.gz WUZCZRWMGBHRAS-MRVPVSSYSA-N 0 0 425.385 -0.018 20 0 IBADRN C[C@H](OC(=O)CN(C)S(C)(=O)=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000914924110 1072673787 /nfs/dbraw/zinc/67/37/87/1072673787.db2.gz WUZCZRWMGBHRAS-QMMMGPOBSA-N 0 0 425.385 -0.018 20 0 IBADRN CN(CC(=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000914924116 1072673684 /nfs/dbraw/zinc/67/36/84/1072673684.db2.gz UFMVSPOIBRHJKE-GFCCVEGCSA-N 0 0 438.549 -0.302 20 0 IBADRN CN(CC(=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1)S(C)(=O)=O ZINC000914924118 1072673722 /nfs/dbraw/zinc/67/37/22/1072673722.db2.gz UFMVSPOIBRHJKE-LBPRGKRZSA-N 0 0 438.549 -0.302 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)COC(=O)CN(C)S(C)(=O)=O)ccc1Cl ZINC000914924413 1072673662 /nfs/dbraw/zinc/67/36/62/1072673662.db2.gz AMSKEDNWMBCNAH-UHFFFAOYSA-N 0 0 441.915 -0.037 20 0 IBADRN C[C@H](OC(=O)CN(C)S(C)(=O)=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000914925719 1072673645 /nfs/dbraw/zinc/67/36/45/1072673645.db2.gz YRVPAEBTFNRTMZ-HNNXBMFYSA-N 0 0 427.523 -0.042 20 0 IBADRN C[C@@H](OC(=O)CN(C)S(C)(=O)=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000914925720 1072674300 /nfs/dbraw/zinc/67/43/00/1072674300.db2.gz YRVPAEBTFNRTMZ-OAHLLOKOSA-N 0 0 427.523 -0.042 20 0 IBADRN CN(CC(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000914925723 1072674228 /nfs/dbraw/zinc/67/42/28/1072674228.db2.gz YWYVLPZQOIVIND-UHFFFAOYSA-N 0 0 427.479 -0.790 20 0 IBADRN CN(CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)c1ncccn1 ZINC000914928272 1072674806 /nfs/dbraw/zinc/67/48/06/1072674806.db2.gz MDGXPXBGICTJQG-CABCVRRESA-N 0 0 426.495 -0.349 20 0 IBADRN CN(CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)c1ncccn1 ZINC000914928275 1072674896 /nfs/dbraw/zinc/67/48/96/1072674896.db2.gz MDGXPXBGICTJQG-GJZGRUSLSA-N 0 0 426.495 -0.349 20 0 IBADRN CN(CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)c1ncccn1 ZINC000914928279 1072674686 /nfs/dbraw/zinc/67/46/86/1072674686.db2.gz MDGXPXBGICTJQG-HUUCEWRRSA-N 0 0 426.495 -0.349 20 0 IBADRN CN(CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)c1ncccn1 ZINC000914928281 1072674674 /nfs/dbraw/zinc/67/46/74/1072674674.db2.gz MDGXPXBGICTJQG-LSDHHAIUSA-N 0 0 426.495 -0.349 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)c1ncccn1 ZINC000914928781 1072675433 /nfs/dbraw/zinc/67/54/33/1072675433.db2.gz YNLHNZWEAHIJHN-UHFFFAOYSA-N 0 0 425.453 -0.519 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CN(C)c3ncccn3)C2=O)cc1 ZINC000914929940 1072675504 /nfs/dbraw/zinc/67/55/04/1072675504.db2.gz ZICFWTBNCIBZTE-FQEVSTJZSA-N 0 0 442.432 -0.037 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CN(C)c3ncccn3)C2=O)cc1 ZINC000914929943 1072675488 /nfs/dbraw/zinc/67/54/88/1072675488.db2.gz ZICFWTBNCIBZTE-HXUWFJFHSA-N 0 0 442.432 -0.037 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)COc2cccc(NC(=O)C3CC3)c2)c(=O)n(C)c1=O ZINC000914935473 1072674857 /nfs/dbraw/zinc/67/48/57/1072674857.db2.gz LYAOIAOHVPHAMF-UHFFFAOYSA-N 0 0 430.417 -0.180 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c(C)c12 ZINC000914937307 1072675531 /nfs/dbraw/zinc/67/55/31/1072675531.db2.gz SREKMMKMSPHECP-UHFFFAOYSA-N 0 0 444.448 -0.783 20 0 IBADRN O=C(COC(=O)c1cn(-c2ccccc2)nn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000914942905 1072675494 /nfs/dbraw/zinc/67/54/94/1072675494.db2.gz LJNVGOLPKHDFRJ-INIZCTEOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1cn(-c2ccccc2)nn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000914942906 1072675507 /nfs/dbraw/zinc/67/55/07/1072675507.db2.gz LJNVGOLPKHDFRJ-MRXNPFEDSA-N 0 0 433.490 -0.245 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000914943227 1072675526 /nfs/dbraw/zinc/67/55/26/1072675526.db2.gz QBTOEAZJTLDZNA-CYBMUJFWSA-N 0 0 442.494 -0.114 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000914943228 1072675478 /nfs/dbraw/zinc/67/54/78/1072675478.db2.gz QBTOEAZJTLDZNA-ZDUSSCGKSA-N 0 0 442.494 -0.114 20 0 IBADRN O=C(COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1)NCC(=O)N1CCCC1 ZINC000914944427 1072675511 /nfs/dbraw/zinc/67/55/11/1072675511.db2.gz UHISMCNIFDKJKQ-UHFFFAOYSA-N 0 0 438.506 -0.236 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC000914944895 1072675471 /nfs/dbraw/zinc/67/54/71/1072675471.db2.gz TZWDWOAMFIGPDU-UHFFFAOYSA-N 0 0 438.506 -0.284 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000914945178 1072675427 /nfs/dbraw/zinc/67/54/27/1072675427.db2.gz YAANTVXSMACLJJ-INIZCTEOSA-N 0 0 438.506 -0.108 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC000914945179 1072675392 /nfs/dbraw/zinc/67/53/92/1072675392.db2.gz YAANTVXSMACLJJ-MRXNPFEDSA-N 0 0 438.506 -0.108 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN(C(=O)Cc3ccccc3)C2)c(=O)n(C)c1=O ZINC000914945292 1072675516 /nfs/dbraw/zinc/67/55/16/1072675516.db2.gz ODNWUTWVXUENMJ-HNNXBMFYSA-N 0 0 442.472 -0.127 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCN(C(=O)Cc3ccccc3)C2)c(=O)n(C)c1=O ZINC000914945295 1072675401 /nfs/dbraw/zinc/67/54/01/1072675401.db2.gz ODNWUTWVXUENMJ-OAHLLOKOSA-N 0 0 442.472 -0.127 20 0 IBADRN CCc1cc(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)c3cc(CC)[nH]n3)CC2)n[nH]1 ZINC000914954796 1072676115 /nfs/dbraw/zinc/67/61/15/1072676115.db2.gz UZOXGGOHWKBXEG-UHFFFAOYSA-N 0 0 446.464 -0.058 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C(=O)c1cccs1 ZINC000914960421 1072676045 /nfs/dbraw/zinc/67/60/45/1072676045.db2.gz HNRZGEKMBXNPFJ-AWEZNQCLSA-N 0 0 443.547 -0.305 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C(=O)c1cccs1 ZINC000914960422 1072676181 /nfs/dbraw/zinc/67/61/81/1072676181.db2.gz HNRZGEKMBXNPFJ-CQSZACIVSA-N 0 0 443.547 -0.305 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)Cc1ccc(S(N)(=O)=O)s1)[C@@H]1CCS(=O)(=O)C1 ZINC000914961948 1072676175 /nfs/dbraw/zinc/67/61/75/1072676175.db2.gz XWEHNTQIWUIFPN-GHMZBOCLSA-N 0 0 438.549 -0.095 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)Cc1ccc(S(N)(=O)=O)s1)[C@H]1CCS(=O)(=O)C1 ZINC000914961956 1072676197 /nfs/dbraw/zinc/67/61/97/1072676197.db2.gz XWEHNTQIWUIFPN-MNOVXSKESA-N 0 0 438.549 -0.095 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)Cc1ccc(S(N)(=O)=O)s1)[C@H]1CCS(=O)(=O)C1 ZINC000914961957 1072676032 /nfs/dbraw/zinc/67/60/32/1072676032.db2.gz XWEHNTQIWUIFPN-QWRGUYRKSA-N 0 0 438.549 -0.095 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)Cc1ccc(S(N)(=O)=O)s1)[C@@H]1CCS(=O)(=O)C1 ZINC000914961959 1072676189 /nfs/dbraw/zinc/67/61/89/1072676189.db2.gz XWEHNTQIWUIFPN-WDEREUQCSA-N 0 0 438.549 -0.095 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)OCC(=O)N2CCN(c3cnccn3)CC2)s1 ZINC000914962440 1072676126 /nfs/dbraw/zinc/67/61/26/1072676126.db2.gz MZHRFRJZDGCZGR-UHFFFAOYSA-N 0 0 425.492 -0.380 20 0 IBADRN CC(C)[C@H](OC(=O)Cc1ccc(S(N)(=O)=O)s1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000914962533 1072676089 /nfs/dbraw/zinc/67/60/89/1072676089.db2.gz PVDYCTPBIPTJOV-HZMBPMFUSA-N 0 0 438.549 -0.191 20 0 IBADRN CC(C)[C@@H](OC(=O)Cc1ccc(S(N)(=O)=O)s1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000914962534 1072676846 /nfs/dbraw/zinc/67/68/46/1072676846.db2.gz PVDYCTPBIPTJOV-IINYFYTJSA-N 0 0 438.549 -0.191 20 0 IBADRN CC(C)[C@@H](OC(=O)Cc1ccc(S(N)(=O)=O)s1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000914962535 1072676789 /nfs/dbraw/zinc/67/67/89/1072676789.db2.gz PVDYCTPBIPTJOV-QMTHXVAHSA-N 0 0 438.549 -0.191 20 0 IBADRN CC(C)[C@H](OC(=O)Cc1ccc(S(N)(=O)=O)s1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000914962536 1072676776 /nfs/dbraw/zinc/67/67/76/1072676776.db2.gz PVDYCTPBIPTJOV-YGRLFVJLSA-N 0 0 438.549 -0.191 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cc1ccc(S(N)(=O)=O)s1)c(=O)n2C ZINC000914962932 1072676835 /nfs/dbraw/zinc/67/68/35/1072676835.db2.gz XGIGTBVPZGZOMB-UHFFFAOYSA-N 0 0 441.491 -0.681 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H]1CC(=O)N(CC(F)(F)F)C1)c(=O)n2C ZINC000914968936 1072676816 /nfs/dbraw/zinc/67/68/16/1072676816.db2.gz LOFNWLQGVBABHM-JTQLQIEISA-N 0 0 431.371 -0.222 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H]1CC(=O)N(CC(F)(F)F)C1)c(=O)n2C ZINC000914968937 1072676598 /nfs/dbraw/zinc/67/65/98/1072676598.db2.gz LOFNWLQGVBABHM-SNVBAGLBSA-N 0 0 431.371 -0.222 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H]2CC(=O)N(CC(F)(F)F)C2)c(=O)n(C)c1=O ZINC000914968958 1072676678 /nfs/dbraw/zinc/67/66/78/1072676678.db2.gz LYYUEAFEMUJAGG-JTQLQIEISA-N 0 0 448.398 -0.078 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H]2CC(=O)N(CC(F)(F)F)C2)c(=O)n(C)c1=O ZINC000914968959 1072676636 /nfs/dbraw/zinc/67/66/36/1072676636.db2.gz LYYUEAFEMUJAGG-SNVBAGLBSA-N 0 0 448.398 -0.078 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)NCC(=O)N1CCCC1 ZINC000914979160 1072676823 /nfs/dbraw/zinc/67/68/23/1072676823.db2.gz JPVOLHLCDVFPMP-UHFFFAOYSA-N 0 0 429.451 -0.804 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)NCCCN1CCCC1=O ZINC000914979478 1072676737 /nfs/dbraw/zinc/67/67/37/1072676737.db2.gz PVLGRCHISSKXKH-UHFFFAOYSA-N 0 0 443.478 -0.414 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000914980796 1072677309 /nfs/dbraw/zinc/67/73/09/1072677309.db2.gz FDMRJGWWDZCSIU-CHWSQXEVSA-N 0 0 443.478 -0.430 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000914980797 1072677379 /nfs/dbraw/zinc/67/73/79/1072677379.db2.gz FDMRJGWWDZCSIU-OLZOCXBDSA-N 0 0 443.478 -0.430 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000914980798 1072677245 /nfs/dbraw/zinc/67/72/45/1072677245.db2.gz FDMRJGWWDZCSIU-QWHCGFSZSA-N 0 0 443.478 -0.430 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000914980799 1072677361 /nfs/dbraw/zinc/67/73/61/1072677361.db2.gz FDMRJGWWDZCSIU-STQMWFEESA-N 0 0 443.478 -0.430 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(=O)N(C)CC(=O)NC1CC1 ZINC000914982115 1072677325 /nfs/dbraw/zinc/67/73/25/1072677325.db2.gz TZGJNJLAXCGTGK-GFCCVEGCSA-N 0 0 443.478 -0.417 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C(=O)N(C)CC(=O)NC1CC1 ZINC000914982117 1072677829 /nfs/dbraw/zinc/67/78/29/1072677829.db2.gz TZGJNJLAXCGTGK-LBPRGKRZSA-N 0 0 443.478 -0.417 20 0 IBADRN CCCC(=O)NCC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000914986928 1072677373 /nfs/dbraw/zinc/67/73/73/1072677373.db2.gz KMTFUQBBLZRVDO-UHFFFAOYSA-N 0 0 441.506 -0.217 20 0 IBADRN CCCC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000914987011 1072677352 /nfs/dbraw/zinc/67/73/52/1072677352.db2.gz NJLOVNMAYJWXAK-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN CCCC(=O)NCC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000914987230 1072677276 /nfs/dbraw/zinc/67/72/76/1072677276.db2.gz QUEXLAONWOJAKN-UHFFFAOYSA-N 0 0 441.506 -0.217 20 0 IBADRN CCCC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000914987924 1072677341 /nfs/dbraw/zinc/67/73/41/1072677341.db2.gz GXRYQPBWVPXKCM-UHFFFAOYSA-N 0 0 430.483 -0.416 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@@H]2CC(=O)N(c3ccc(F)cc3F)C2)c(=O)n(C)c1=O ZINC000914991231 1072677227 /nfs/dbraw/zinc/67/72/27/1072677227.db2.gz BYNNWEJWQJDOSG-SECBINFHSA-N 0 0 436.371 -0.277 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CC(=O)N(c3ccc(F)cc3F)C2)c(=O)n(C)c1=O ZINC000914991232 1072677303 /nfs/dbraw/zinc/67/73/03/1072677303.db2.gz BYNNWEJWQJDOSG-VIFPVBQESA-N 0 0 436.371 -0.277 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)c(=O)n(C)c1=O ZINC000914992188 1072677366 /nfs/dbraw/zinc/67/73/66/1072677366.db2.gz GSFXGRQWOHCTNW-UHFFFAOYSA-N 0 0 440.434 -0.917 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCCC2)o1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000914993121 1072677263 /nfs/dbraw/zinc/67/72/63/1072677263.db2.gz VMCPVBAPBWRMTB-NEPJUHHUSA-N 0 0 434.492 -0.087 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCCC2)o1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000914993122 1072677269 /nfs/dbraw/zinc/67/72/69/1072677269.db2.gz VMCPVBAPBWRMTB-NWDGAFQWSA-N 0 0 434.492 -0.087 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N2CCCC2)o1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000914993123 1072677257 /nfs/dbraw/zinc/67/72/57/1072677257.db2.gz VMCPVBAPBWRMTB-RYUDHWBXSA-N 0 0 434.492 -0.087 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N2CCCC2)o1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000914993124 1072677356 /nfs/dbraw/zinc/67/73/56/1072677356.db2.gz VMCPVBAPBWRMTB-VXGBXAGGSA-N 0 0 434.492 -0.087 20 0 IBADRN COc1ccc(C(=O)NCCCC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc1 ZINC000915004979 1072677808 /nfs/dbraw/zinc/67/78/08/1072677808.db2.gz MSSYDXFJWOXTEH-UHFFFAOYSA-N 0 0 432.433 -0.389 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000915005658 1072677950 /nfs/dbraw/zinc/67/79/50/1072677950.db2.gz NRAZYUMMIXYPMH-UHFFFAOYSA-N 0 0 439.490 -0.445 20 0 IBADRN O=C(COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1)NCC(=O)N1CCCC1 ZINC000915006242 1072677836 /nfs/dbraw/zinc/67/78/36/1072677836.db2.gz SNGHGGSJVANTIL-UHFFFAOYSA-N 0 0 439.490 -0.397 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000915006982 1072677983 /nfs/dbraw/zinc/67/79/83/1072677983.db2.gz XEGAOEMUPWCPIB-CYBMUJFWSA-N 0 0 443.478 -0.275 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000915006988 1072677937 /nfs/dbraw/zinc/67/79/37/1072677937.db2.gz XEGAOEMUPWCPIB-ZDUSSCGKSA-N 0 0 443.478 -0.275 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CN(C)S(=O)(=O)c2ccccc2)CC1 ZINC000915007536 1072677780 /nfs/dbraw/zinc/67/77/80/1072677780.db2.gz JKSJXKWDBNDJHD-UHFFFAOYSA-N 0 0 440.522 -0.917 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000915007809 1072677858 /nfs/dbraw/zinc/67/78/58/1072677858.db2.gz AGTYIQKJSWKBOL-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(c2cnccn2)CC1)S(=O)(=O)c1ccccc1 ZINC000915008016 1072677959 /nfs/dbraw/zinc/67/79/59/1072677959.db2.gz OXAMXECRKKMTTA-UHFFFAOYSA-N 0 0 433.490 -0.011 20 0 IBADRN CN(CC(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)S(=O)(=O)c1ccccc1 ZINC000915008066 1072677769 /nfs/dbraw/zinc/67/77/69/1072677769.db2.gz PRQFPKGHSNFYRO-UHFFFAOYSA-N 0 0 449.489 -0.312 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000915008448 1072678009 /nfs/dbraw/zinc/67/80/09/1072678009.db2.gz LBFPSVYHMJTDEU-HNNXBMFYSA-N 0 0 439.490 -0.269 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000915008454 1072677868 /nfs/dbraw/zinc/67/78/68/1072677868.db2.gz LBFPSVYHMJTDEU-OAHLLOKOSA-N 0 0 439.490 -0.269 20 0 IBADRN CN(CC(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)S(=O)(=O)c1ccccc1 ZINC000915008775 1072677849 /nfs/dbraw/zinc/67/78/49/1072677849.db2.gz SBUFZILJYTWVFN-UHFFFAOYSA-N 0 0 435.462 -0.702 20 0 IBADRN O=C(CNC(=O)C1CC1)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000915011369 1072678364 /nfs/dbraw/zinc/67/83/64/1072678364.db2.gz CICONYOPHFGLCT-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)CNC(=O)C1CC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915011960 1072678496 /nfs/dbraw/zinc/67/84/96/1072678496.db2.gz JJOAMYIDUBKJAR-UHFFFAOYSA-N 0 0 439.490 -0.607 20 0 IBADRN O=C(CNC(=O)C1CC1)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000915012006 1072678418 /nfs/dbraw/zinc/67/84/18/1072678418.db2.gz KMOKHLSANUEXJL-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000915012396 1072678456 /nfs/dbraw/zinc/67/84/56/1072678456.db2.gz AVCMORKKOYXVPC-CVEARBPZSA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000915012403 1072678393 /nfs/dbraw/zinc/67/83/93/1072678393.db2.gz AVCMORKKOYXVPC-HOTGVXAUSA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000915012406 1072678348 /nfs/dbraw/zinc/67/83/48/1072678348.db2.gz AVCMORKKOYXVPC-HZPDHXFCSA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000915012415 1072678441 /nfs/dbraw/zinc/67/84/41/1072678441.db2.gz AVCMORKKOYXVPC-JKSUJKDBSA-N 0 0 443.566 -0.102 20 0 IBADRN O=C(COC(=O)CNC(=O)C1CC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000915012613 1072678403 /nfs/dbraw/zinc/67/84/03/1072678403.db2.gz LORFYHISRVTQAF-UHFFFAOYSA-N 0 0 425.463 -0.285 20 0 IBADRN O=C(COC(=O)CNC(=O)C1CC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915013596 1072678383 /nfs/dbraw/zinc/67/83/83/1072678383.db2.gz VQGIEWHYPDLYPE-UHFFFAOYSA-N 0 0 425.463 -0.285 20 0 IBADRN O=C(CNC(=O)C1CC1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000915013600 1072678540 /nfs/dbraw/zinc/67/85/40/1072678540.db2.gz VVUABYFLTGUUMK-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(CNC(=O)C1CC1)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000915013604 1072678447 /nfs/dbraw/zinc/67/84/47/1072678447.db2.gz WBHHKDDGLCULFT-UHFFFAOYSA-N 0 0 445.444 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CNC(=O)C3CC3)CC2)cc1 ZINC000915014502 1072678332 /nfs/dbraw/zinc/67/83/32/1072678332.db2.gz CSPYWQFPKQOTOZ-UHFFFAOYSA-N 0 0 439.490 -0.403 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CNC(=O)C2CC2)CC1 ZINC000915014636 1072679073 /nfs/dbraw/zinc/67/90/73/1072679073.db2.gz RGYPYQKIMFPFDS-UHFFFAOYSA-N 0 0 428.467 -0.806 20 0 IBADRN O=C(COC(=O)CNC(=O)C1CC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000915015656 1072679078 /nfs/dbraw/zinc/67/90/78/1072679078.db2.gz UEIXMWKVFPWYNO-UHFFFAOYSA-N 0 0 439.490 -0.607 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000915020028 1072679006 /nfs/dbraw/zinc/67/90/06/1072679006.db2.gz FLGKSVIMWPFTDW-JTQLQIEISA-N 0 0 441.491 -0.595 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000915020029 1072679017 /nfs/dbraw/zinc/67/90/17/1072679017.db2.gz FLGKSVIMWPFTDW-SNVBAGLBSA-N 0 0 441.491 -0.595 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000915020917 1072679129 /nfs/dbraw/zinc/67/91/29/1072679129.db2.gz KEXFMZVKYFIDFF-CYBMUJFWSA-N 0 0 446.551 -0.810 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000915020924 1072679027 /nfs/dbraw/zinc/67/90/27/1072679027.db2.gz KEXFMZVKYFIDFF-ZDUSSCGKSA-N 0 0 446.551 -0.810 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(=O)n(-c3ccc(F)cc3)n2)c(=O)n(C)c1=O ZINC000915026453 1072679122 /nfs/dbraw/zinc/67/91/22/1072679122.db2.gz NPWJNABMYNUVEH-UHFFFAOYSA-N 0 0 429.364 -0.609 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(=O)n(-c4ccc(F)cc4)n3)c2c(=O)n(C)c1=O ZINC000915027155 1072678945 /nfs/dbraw/zinc/67/89/45/1072678945.db2.gz XGPWDYLEVRVIKP-UHFFFAOYSA-N 0 0 440.391 -0.024 20 0 IBADRN CCOC(=O)N[C@@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000915027316 1072679646 /nfs/dbraw/zinc/67/96/46/1072679646.db2.gz AGZSCVZWDPZRMI-GDBMZVCRSA-N 0 0 433.527 -0.368 20 0 IBADRN CCOC(=O)N[C@@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000915027317 1072679749 /nfs/dbraw/zinc/67/97/49/1072679749.db2.gz AGZSCVZWDPZRMI-GOEBONIOSA-N 0 0 433.527 -0.368 20 0 IBADRN CCOC(=O)N[C@H](C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000915027318 1072679694 /nfs/dbraw/zinc/67/96/94/1072679694.db2.gz AGZSCVZWDPZRMI-HOCLYGCPSA-N 0 0 433.527 -0.368 20 0 IBADRN CCOC(=O)N[C@H](C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000915027319 1072679629 /nfs/dbraw/zinc/67/96/29/1072679629.db2.gz AGZSCVZWDPZRMI-ZBFHGGJFSA-N 0 0 433.527 -0.368 20 0 IBADRN CCOC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000915028276 1072679669 /nfs/dbraw/zinc/67/96/69/1072679669.db2.gz WXWDAURHQXGULC-UHFFFAOYSA-N 0 0 446.482 -0.196 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)o1 ZINC000915029739 1072679090 /nfs/dbraw/zinc/67/90/90/1072679090.db2.gz HHSWCRMYTJGLCJ-UHFFFAOYSA-N 0 0 431.448 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)o1 ZINC000915030204 1072679741 /nfs/dbraw/zinc/67/97/41/1072679741.db2.gz HQOODTPSHRGTBV-UHFFFAOYSA-N 0 0 431.448 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)o1 ZINC000915030620 1072679774 /nfs/dbraw/zinc/67/97/74/1072679774.db2.gz KORBLJFLDVVITJ-UHFFFAOYSA-N 0 0 425.423 -0.866 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)o1 ZINC000915031714 1072680249 /nfs/dbraw/zinc/68/02/49/1072680249.db2.gz YCCIWYNVBIDUBV-UHFFFAOYSA-N 0 0 439.450 -0.476 20 0 IBADRN C[C@H]1CN(c2ccc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)cn2)C[C@H](C)O1 ZINC000915033566 1072680099 /nfs/dbraw/zinc/68/00/99/1072680099.db2.gz BXQUZYBWJREISP-RYUDHWBXSA-N 0 0 431.449 -0.286 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)cn2)C[C@H](C)O1 ZINC000915033568 1072680346 /nfs/dbraw/zinc/68/03/46/1072680346.db2.gz BXQUZYBWJREISP-TXEJJXNPSA-N 0 0 431.449 -0.286 20 0 IBADRN C[C@@H]1CN(c2ccc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)cn2)C[C@@H](C)O1 ZINC000915033569 1072680267 /nfs/dbraw/zinc/68/02/67/1072680267.db2.gz BXQUZYBWJREISP-VXGBXAGGSA-N 0 0 431.449 -0.286 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cn2cc(Br)ccc2=O)CC1 ZINC000915033877 1072680067 /nfs/dbraw/zinc/68/00/67/1072680067.db2.gz DAIVOTMVUVUJIC-UHFFFAOYSA-N 0 0 443.298 -0.614 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cn3cc(Br)ccc3=O)c2c(=O)n(C)c1=O ZINC000915034740 1072679736 /nfs/dbraw/zinc/67/97/36/1072679736.db2.gz PGCPEXHPWDWMJI-UHFFFAOYSA-N 0 0 438.238 -0.399 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)Cn2cc(Br)ccc2=O)c(=O)n(C)c1=O ZINC000915034789 1072679701 /nfs/dbraw/zinc/67/97/01/1072679701.db2.gz PPKSSPDQKXDCGJ-UHFFFAOYSA-N 0 0 427.211 -0.984 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)c2ccc(S(N)(=O)=O)o2)CC1 ZINC000915037255 1072680317 /nfs/dbraw/zinc/68/03/17/1072680317.db2.gz IPQSSSKJMPLMGW-UHFFFAOYSA-N 0 0 449.449 -0.891 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc(S(N)(=O)=O)o2)CC1 ZINC000915038342 1072680311 /nfs/dbraw/zinc/68/03/11/1072680311.db2.gz KZYFGLSRXJSGQS-UHFFFAOYSA-N 0 0 430.483 -0.857 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2sccc2-n2cnnn2)cc1 ZINC000915046770 1072680221 /nfs/dbraw/zinc/68/02/21/1072680221.db2.gz LWEYQRQQCOUCNH-UHFFFAOYSA-N 0 0 436.475 -0.113 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000915055562 1072680275 /nfs/dbraw/zinc/68/02/75/1072680275.db2.gz DCZGKEIFCIAUSM-UHFFFAOYSA-N 0 0 426.561 -0.680 20 0 IBADRN CCN(CC(=O)Nc1ccc(N2CCOCC2)cc1)C(=O)Cn1ccc(=O)n(C)c1=O ZINC000915061697 1072680681 /nfs/dbraw/zinc/68/06/81/1072680681.db2.gz HQURHOYXWAJPSW-UHFFFAOYSA-N 0 0 429.477 -0.129 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1cc(C(=O)NC(C(N)=O)C(N)=O)ccc1Br ZINC000915065739 1072680948 /nfs/dbraw/zinc/68/09/48/1072680948.db2.gz HROJTMSLWUEMIV-UHFFFAOYSA-N 0 0 435.300 -0.405 20 0 IBADRN Cc1cc(N2CCN(C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)CC2)n2ncnc2n1 ZINC000915067259 1072680794 /nfs/dbraw/zinc/68/07/94/1072680794.db2.gz RADVNTQYIJOBHW-UHFFFAOYSA-N 0 0 428.478 -0.421 20 0 IBADRN CCOC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000915068568 1072680909 /nfs/dbraw/zinc/68/09/09/1072680909.db2.gz PNEOINXDUMLPQH-INIZCTEOSA-N 0 0 431.559 -0.509 20 0 IBADRN CCOC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC000915068569 1072680934 /nfs/dbraw/zinc/68/09/34/1072680934.db2.gz PNEOINXDUMLPQH-MRXNPFEDSA-N 0 0 431.559 -0.509 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3=NN(c4ccccc4)C(=O)CC3)CC2)CC1 ZINC000915068737 1072680926 /nfs/dbraw/zinc/68/09/26/1072680926.db2.gz YLNAJJRHOGZIPP-UHFFFAOYSA-N 0 0 448.549 -0.194 20 0 IBADRN COc1ccc(OC)c([C@H](O)CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000915072053 1072680735 /nfs/dbraw/zinc/68/07/35/1072680735.db2.gz JMZCAFNBSKWZMS-DVOMOZLQSA-N 0 0 426.491 -0.111 20 0 IBADRN COc1ccc(OC)c([C@H](O)CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC000915072054 1072680829 /nfs/dbraw/zinc/68/08/29/1072680829.db2.gz JMZCAFNBSKWZMS-HEHGZKQESA-N 0 0 426.491 -0.111 20 0 IBADRN COc1ccc(OC)c([C@H](O)CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000915072055 1072680721 /nfs/dbraw/zinc/68/07/21/1072680721.db2.gz JMZCAFNBSKWZMS-WOSRLPQWSA-N 0 0 426.491 -0.111 20 0 IBADRN COc1ccc(OC)c([C@H](O)CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC000915072056 1072680860 /nfs/dbraw/zinc/68/08/60/1072680860.db2.gz JMZCAFNBSKWZMS-XJKCOSOUSA-N 0 0 426.491 -0.111 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000915088369 1072681499 /nfs/dbraw/zinc/68/14/99/1072681499.db2.gz OCZCPSJEIOPCSM-KBXCAEBGSA-N 0 0 444.579 -0.524 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000915088370 1072681486 /nfs/dbraw/zinc/68/14/86/1072681486.db2.gz OCZCPSJEIOPCSM-KDOFPFPSSA-N 0 0 444.579 -0.524 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000915088371 1072681995 /nfs/dbraw/zinc/68/19/95/1072681995.db2.gz OCZCPSJEIOPCSM-KSSFIOAISA-N 0 0 444.579 -0.524 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000915088372 1072682108 /nfs/dbraw/zinc/68/21/08/1072682108.db2.gz OCZCPSJEIOPCSM-RDTXWAMCSA-N 0 0 444.579 -0.524 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C2=O)cc1 ZINC000915089147 1072682229 /nfs/dbraw/zinc/68/22/29/1072682229.db2.gz YGGGWBPMPCDWNB-GOSISDBHSA-N 0 0 426.495 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCCN(C)S(C)(=O)=O)C2=O)cc1 ZINC000915089148 1072682226 /nfs/dbraw/zinc/68/22/26/1072682226.db2.gz YGGGWBPMPCDWNB-SFHVURJKSA-N 0 0 426.495 -0.140 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(N4CCNC(=O)C4)c(Cl)c3)c2c(=O)n(C)c1=O ZINC000915106357 1072682765 /nfs/dbraw/zinc/68/27/65/1072682765.db2.gz GBCFGEPUHYJSEV-UHFFFAOYSA-N 0 0 445.867 -0.338 20 0 IBADRN CN(CC(=O)Nc1cccc(C(=O)NCC(N)=O)c1)C1=NS(=O)(=O)c2ccccc21 ZINC000915108815 1072682137 /nfs/dbraw/zinc/68/21/37/1072682137.db2.gz KCZPWLRDQVJMOU-UHFFFAOYSA-N 0 0 429.458 -0.079 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC000915110223 1072682013 /nfs/dbraw/zinc/68/20/13/1072682013.db2.gz XUFSHNUOECBEKM-UHFFFAOYSA-N 0 0 433.556 -0.567 20 0 IBADRN C[NH+](C)CCN1CCN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC000915141826 1072683184 /nfs/dbraw/zinc/68/31/84/1072683184.db2.gz PFGIJYDCQQHSBR-AWEZNQCLSA-N 0 0 428.511 -0.163 20 0 IBADRN C[NH+](C)CCN1CCN(C(=O)[C@H]2CCCN2S(=O)(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC000915141828 1072683107 /nfs/dbraw/zinc/68/31/07/1072683107.db2.gz PFGIJYDCQQHSBR-CQSZACIVSA-N 0 0 428.511 -0.163 20 0 IBADRN CNC(=O)c1cc(OC)c(OC)cc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000915161721 1072683280 /nfs/dbraw/zinc/68/32/80/1072683280.db2.gz XPPKLAJWGZQZGQ-UHFFFAOYSA-N 0 0 430.421 -0.551 20 0 IBADRN CN(CCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)S(C)(=O)=O ZINC000915178769 1072683887 /nfs/dbraw/zinc/68/38/87/1072683887.db2.gz HMDWXQYBORUKQH-UHFFFAOYSA-N 0 0 437.515 -0.142 20 0 IBADRN CN(CCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)S(C)(=O)=O ZINC000915178815 1072683857 /nfs/dbraw/zinc/68/38/57/1072683857.db2.gz JAQMTDNJFLVHCU-UHFFFAOYSA-N 0 0 437.515 -0.142 20 0 IBADRN CN(CC(=O)NCCNS(=O)(=O)Cc1ccccc1)S(=O)(=O)c1cccnc1 ZINC000915181842 1072683863 /nfs/dbraw/zinc/68/38/63/1072683863.db2.gz LKBPTCCCFIJYKA-UHFFFAOYSA-N 0 0 426.520 -0.062 20 0 IBADRN O=C(CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NCc1ccccc1 ZINC000915185898 1072684357 /nfs/dbraw/zinc/68/43/57/1072684357.db2.gz OLWXNRCXBVKIPA-UHFFFAOYSA-N 0 0 430.486 -0.147 20 0 IBADRN O=C(CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NCc1ccccc1 ZINC000915185925 1072684280 /nfs/dbraw/zinc/68/42/80/1072684280.db2.gz SBTXXQOXUPXOMS-UHFFFAOYSA-N 0 0 430.486 -0.147 20 0 IBADRN CN(CC(=O)Nc1ccc(N2CCOCC2)cc1)C(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000915188631 1072684378 /nfs/dbraw/zinc/68/43/78/1072684378.db2.gz GDRSUIUQLGOPTB-UHFFFAOYSA-N 0 0 439.538 -0.164 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(Cn3cc(C(=O)OC)nn3)CC2)c1 ZINC000915201970 1072685103 /nfs/dbraw/zinc/68/51/03/1072685103.db2.gz JSAOJHWXWOXYQM-UHFFFAOYSA-N 0 0 429.480 -0.123 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(Cn2nc(N3CCOCC3)n(C)c2=S)CC1 ZINC000915203203 1072685815 /nfs/dbraw/zinc/68/58/15/1072685815.db2.gz WWPDKJACYTUIEG-UHFFFAOYSA-N 0 0 433.604 -0.051 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(Cn2nc(-c3ccco3)n(CCC(N)=O)c2=S)CC1 ZINC000915203732 1072685698 /nfs/dbraw/zinc/68/56/98/1072685698.db2.gz WWGWZOGJXLKKMA-UHFFFAOYSA-N 0 0 443.555 -0.069 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cn3nc(-c4ccc(F)cc4)oc3=O)CC2)CC1 ZINC000915207421 1072685801 /nfs/dbraw/zinc/68/58/01/1072685801.db2.gz ACOHSNGQKCPZBG-UHFFFAOYSA-N 0 0 440.501 -0.290 20 0 IBADRN COc1ccc2c(c1)N(CN1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)C(=O)C2=O ZINC000915207535 1072685806 /nfs/dbraw/zinc/68/58/06/1072685806.db2.gz GIJLWMFZVOUROE-UHFFFAOYSA-N 0 0 437.522 -0.708 20 0 IBADRN Cc1cc(C)n2c(nn(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c2=S)n1 ZINC000915207572 1072685774 /nfs/dbraw/zinc/68/57/74/1072685774.db2.gz CRFZDLZSNYCBJB-UHFFFAOYSA-N 0 0 440.599 -0.056 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cn3nc(-c4cccs4)oc3=O)CC2)CC1 ZINC000915207955 1072685751 /nfs/dbraw/zinc/68/57/51/1072685751.db2.gz JDXDDKZSPZKTEV-UHFFFAOYSA-N 0 0 428.540 -0.368 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cn3nnn(-c4ccccc4)c3=S)CC2)CC1 ZINC000915208518 1072685666 /nfs/dbraw/zinc/68/56/66/1072685666.db2.gz OJBKRRJPLOMZJH-UHFFFAOYSA-N 0 0 438.583 -0.134 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cn3ncc(Cl)c(Cl)c3=O)CC2)CC1 ZINC000915209173 1072685755 /nfs/dbraw/zinc/68/57/55/1072685755.db2.gz TUUZUQBJJCRTCF-UHFFFAOYSA-N 0 0 425.342 -0.383 20 0 IBADRN Cc1cc2c(c(F)c1)N(CN1CCN(S(=O)(=O)N3CCN(C)CC3)CC1)C(=O)C2=O ZINC000915209216 1072685705 /nfs/dbraw/zinc/68/57/05/1072685705.db2.gz UAYNDNBIVCUIAE-UHFFFAOYSA-N 0 0 439.513 -0.269 20 0 IBADRN Cc1cc(C)n2c(nc(=S)n2CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC000915209258 1072686463 /nfs/dbraw/zinc/68/64/63/1072686463.db2.gz UXTSMFOYXRNEOT-UHFFFAOYSA-N 0 0 440.599 -0.056 20 0 IBADRN Cc1cc2c(c(C)c1)C(=O)C(=O)N2CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000915209393 1072686383 /nfs/dbraw/zinc/68/63/83/1072686383.db2.gz VHECMQPFBHNXKX-UHFFFAOYSA-N 0 0 435.550 -0.100 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)N(C)C)CC3)C2=O)cc1 ZINC000915217199 1072686492 /nfs/dbraw/zinc/68/64/92/1072686492.db2.gz NUCUFYBBNMDTTL-FQEVSTJZSA-N 0 0 432.481 -0.207 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(C(=O)N(C)C)CC3)C2=O)cc1 ZINC000915217200 1072686410 /nfs/dbraw/zinc/68/64/10/1072686410.db2.gz NUCUFYBBNMDTTL-HXUWFJFHSA-N 0 0 432.481 -0.207 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000915219684 1072686401 /nfs/dbraw/zinc/68/64/01/1072686401.db2.gz JCXGCNDLCQCXKX-UHFFFAOYSA-N 0 0 425.507 -0.221 20 0 IBADRN O=C(COC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2)NCC(=O)N1CCCC1 ZINC000915221423 1072686395 /nfs/dbraw/zinc/68/63/95/1072686395.db2.gz OMXOYERBRFYTPX-UHFFFAOYSA-N 0 0 440.474 -0.097 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)c1=O ZINC000915234296 1072687535 /nfs/dbraw/zinc/68/75/35/1072687535.db2.gz FNAFUMMMNCCGLL-AWEZNQCLSA-N 0 0 427.479 -0.952 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)c1=O ZINC000915234300 1072687696 /nfs/dbraw/zinc/68/76/96/1072687696.db2.gz FNAFUMMMNCCGLL-CQSZACIVSA-N 0 0 427.479 -0.952 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)NCc2ccccc2CN2CCOCC2)c1=O ZINC000915235746 1072686975 /nfs/dbraw/zinc/68/69/75/1072686975.db2.gz LOLXKSMBUDGRQG-UHFFFAOYSA-N 0 0 430.461 -0.761 20 0 IBADRN C[C@@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000915236795 1072686924 /nfs/dbraw/zinc/68/69/24/1072686924.db2.gz VBPDVVIFWSKAHE-SECBINFHSA-N 0 0 442.350 -0.349 20 0 IBADRN C[C@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000915236796 1072687084 /nfs/dbraw/zinc/68/70/84/1072687084.db2.gz VBPDVVIFWSKAHE-VIFPVBQESA-N 0 0 442.350 -0.349 20 0 IBADRN CCOC(=O)C1=C(COC(=O)Cn2ccc(=O)n(C)c2=O)NC(=O)N[C@@H]1c1ccccc1 ZINC000915236982 1072686958 /nfs/dbraw/zinc/68/69/58/1072686958.db2.gz JKGLYJRTFXYDOB-GOSISDBHSA-N 0 0 442.428 -0.039 20 0 IBADRN CCOC(=O)C1=C(COC(=O)Cn2ccc(=O)n(C)c2=O)NC(=O)N[C@H]1c1ccccc1 ZINC000915236983 1072687089 /nfs/dbraw/zinc/68/70/89/1072687089.db2.gz JKGLYJRTFXYDOB-SFHVURJKSA-N 0 0 442.428 -0.039 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@@H](C)OC(=O)Cn2ccc(=O)n(C)c2=O)cc(C(=O)OC)c1 ZINC000915237047 1072687051 /nfs/dbraw/zinc/68/70/51/1072687051.db2.gz NPDOJNUGZBIFBF-LLVKDONJSA-N 0 0 447.400 -0.309 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@H](C)OC(=O)Cn2ccc(=O)n(C)c2=O)cc(C(=O)OC)c1 ZINC000915237048 1072687099 /nfs/dbraw/zinc/68/70/99/1072687099.db2.gz NPDOJNUGZBIFBF-NSHDSACASA-N 0 0 447.400 -0.309 20 0 IBADRN CC(=O)c1ccc(N2CCN(C(=O)COC(=O)Cn3ccc(=O)n(C)c3=O)CC2)c(F)c1 ZINC000915237285 1072687077 /nfs/dbraw/zinc/68/70/77/1072687077.db2.gz PQIPHJTXHAUMDE-UHFFFAOYSA-N 0 0 446.435 -0.219 20 0 IBADRN COC(=O)C1=C(COC(=O)Cn2ccc(=O)n(C)c2=O)N(C)C(=O)N[C@@H]1c1ccccc1 ZINC000915237340 1072687106 /nfs/dbraw/zinc/68/71/06/1072687106.db2.gz SPKHXCAMIPMERU-GOSISDBHSA-N 0 0 442.428 -0.086 20 0 IBADRN COC(=O)C1=C(COC(=O)Cn2ccc(=O)n(C)c2=O)N(C)C(=O)N[C@H]1c1ccccc1 ZINC000915237341 1072686882 /nfs/dbraw/zinc/68/68/82/1072686882.db2.gz SPKHXCAMIPMERU-SFHVURJKSA-N 0 0 442.428 -0.086 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N2CCC(C(=O)Nc3ccccc3)CC2)c1=O ZINC000915237347 1072687060 /nfs/dbraw/zinc/68/70/60/1072687060.db2.gz UBRDUMKUHAAEPW-UHFFFAOYSA-N 0 0 428.445 -0.033 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000915239071 1072687521 /nfs/dbraw/zinc/68/75/21/1072687521.db2.gz LAJGKVPQYZPFSX-NEPJUHHUSA-N 0 0 435.524 -0.154 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000915239087 1072688228 /nfs/dbraw/zinc/68/82/28/1072688228.db2.gz LAJGKVPQYZPFSX-NWDGAFQWSA-N 0 0 435.524 -0.154 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000915239089 1072688199 /nfs/dbraw/zinc/68/81/99/1072688199.db2.gz LAJGKVPQYZPFSX-RYUDHWBXSA-N 0 0 435.524 -0.154 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000915239091 1072688168 /nfs/dbraw/zinc/68/81/68/1072688168.db2.gz LAJGKVPQYZPFSX-VXGBXAGGSA-N 0 0 435.524 -0.154 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)c1 ZINC000915239303 1072688135 /nfs/dbraw/zinc/68/81/35/1072688135.db2.gz CZADOCASPLRKEK-UHFFFAOYSA-N 0 0 438.462 -0.001 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)o1 ZINC000915240698 1072687610 /nfs/dbraw/zinc/68/76/10/1072687610.db2.gz VQYBUKFWMDVVEH-UHFFFAOYSA-N 0 0 444.510 -0.597 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N(CCC(N)=O)c2ccccc2OC)c1 ZINC000915242954 1072687493 /nfs/dbraw/zinc/68/74/93/1072687493.db2.gz UOSMUWTWJUCAKT-UHFFFAOYSA-N 0 0 438.462 -0.003 20 0 IBADRN CCCCN(C(=O)COC(=O)c1cc(S(=O)(=O)NC)c[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000915243339 1072687701 /nfs/dbraw/zinc/68/77/01/1072687701.db2.gz ZHUDVCYAZMWIJH-GFCCVEGCSA-N 0 0 435.524 -0.105 20 0 IBADRN CCCCN(C(=O)COC(=O)c1cc(S(=O)(=O)NC)c[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000915243341 1072687557 /nfs/dbraw/zinc/68/75/57/1072687557.db2.gz ZHUDVCYAZMWIJH-LBPRGKRZSA-N 0 0 435.524 -0.105 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)c1 ZINC000915244278 1072687571 /nfs/dbraw/zinc/68/75/71/1072687571.db2.gz SDHXNWBNRNSRSR-UHFFFAOYSA-N 0 0 443.526 -0.862 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N(CC(C)C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000915244397 1072688227 /nfs/dbraw/zinc/68/82/27/1072688227.db2.gz SUTYGOJXJZELHJ-GFCCVEGCSA-N 0 0 435.524 -0.249 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N(CC(C)C)[C@H]2CCS(=O)(=O)C2)c1 ZINC000915244404 1072688131 /nfs/dbraw/zinc/68/81/31/1072688131.db2.gz SUTYGOJXJZELHJ-LBPRGKRZSA-N 0 0 435.524 -0.249 20 0 IBADRN O=C(COC(=O)c1cc(Cl)ccc1-n1cnnn1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915246000 1072688190 /nfs/dbraw/zinc/68/81/90/1072688190.db2.gz YZFFAWUTHFEDPZ-JTQLQIEISA-N 0 0 442.841 -0.515 20 0 IBADRN O=C(COC(=O)c1cc(Cl)ccc1-n1cnnn1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915246001 1072688011 /nfs/dbraw/zinc/68/80/11/1072688011.db2.gz YZFFAWUTHFEDPZ-SNVBAGLBSA-N 0 0 442.841 -0.515 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)o1 ZINC000915246593 1072688025 /nfs/dbraw/zinc/68/80/25/1072688025.db2.gz XDIXZHWDRMJTLM-UHFFFAOYSA-N 0 0 425.419 -0.078 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000915250286 1072688709 /nfs/dbraw/zinc/68/87/09/1072688709.db2.gz TXVOAHOOONSRNX-LLVKDONJSA-N 0 0 445.450 -0.002 20 0 IBADRN CCNC(=O)NC(=O)COC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000915250288 1072688632 /nfs/dbraw/zinc/68/86/32/1072688632.db2.gz TXVOAHOOONSRNX-NSHDSACASA-N 0 0 445.450 -0.002 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CCNC(=O)c2ccco2)CC(=O)N2CCO[C@@H](C)C2)CCO1 ZINC000915261541 1072689301 /nfs/dbraw/zinc/68/93/01/1072689301.db2.gz YDRVQSZBGKLFPJ-CALCHBBNSA-N 0 0 436.509 -0.194 20 0 IBADRN C[C@@H]1CN(C(=O)CN(CCNC(=O)c2ccco2)CC(=O)N2CCO[C@H](C)C2)CCO1 ZINC000915261542 1072689795 /nfs/dbraw/zinc/68/97/95/1072689795.db2.gz YDRVQSZBGKLFPJ-IAGOWNOFSA-N 0 0 436.509 -0.194 20 0 IBADRN C[C@H]1CN(C(=O)CN(CCNC(=O)c2ccco2)CC(=O)N2CCO[C@@H](C)C2)CCO1 ZINC000915261543 1072689862 /nfs/dbraw/zinc/68/98/62/1072689862.db2.gz YDRVQSZBGKLFPJ-IRXDYDNUSA-N 0 0 436.509 -0.194 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCN3CCS(=O)(=O)CC3)C2=O)cc1 ZINC000915264042 1072689837 /nfs/dbraw/zinc/68/98/37/1072689837.db2.gz GZSMBVLWQZFGNN-IBGZPJMESA-N 0 0 438.506 -0.691 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCN3CCS(=O)(=O)CC3)C2=O)cc1 ZINC000915264043 1072689775 /nfs/dbraw/zinc/68/97/75/1072689775.db2.gz GZSMBVLWQZFGNN-LJQANCHMSA-N 0 0 438.506 -0.691 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCCN2CCS(=O)(=O)CC2)C1=O ZINC000915264402 1072688728 /nfs/dbraw/zinc/68/87/28/1072688728.db2.gz RJTBMWOQMPMGEF-GOSISDBHSA-N 0 0 426.470 -0.561 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCCN2CCS(=O)(=O)CC2)C1=O ZINC000915264405 1072688547 /nfs/dbraw/zinc/68/85/47/1072688547.db2.gz RJTBMWOQMPMGEF-SFHVURJKSA-N 0 0 426.470 -0.561 20 0 IBADRN O=C1CSC(=O)N1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915268867 1072689222 /nfs/dbraw/zinc/68/92/22/1072689222.db2.gz IUWBQHPANDREQQ-UHFFFAOYSA-N 0 0 449.532 -0.319 20 0 IBADRN CC(=O)N/C(=C/c1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000915268883 1072689283 /nfs/dbraw/zinc/68/92/83/1072689283.db2.gz BOJTTZORIUUFLD-KNTRCKAVSA-N 0 0 435.550 -0.200 20 0 IBADRN CC(=O)N/C(=C\c1ccccc1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000915268884 1072689240 /nfs/dbraw/zinc/68/92/40/1072689240.db2.gz BOJTTZORIUUFLD-MNDPQUGUSA-N 0 0 435.550 -0.200 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CCCNC(=O)OC(C)(C)C)CC2)CC1 ZINC000915268889 1072689253 /nfs/dbraw/zinc/68/92/53/1072689253.db2.gz BSKAUNQGIKTYOT-UHFFFAOYSA-N 0 0 433.575 -0.072 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@]3(C)Cc4ccccc4C(=O)O3)CC2)CC1 ZINC000915269287 1072689808 /nfs/dbraw/zinc/68/98/08/1072689808.db2.gz OLDVPGADLPCRPV-FQEVSTJZSA-N 0 0 436.534 -0.205 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@]3(C)Cc4ccccc4C(=O)O3)CC2)CC1 ZINC000915269296 1072689767 /nfs/dbraw/zinc/68/97/67/1072689767.db2.gz OLDVPGADLPCRPV-HXUWFJFHSA-N 0 0 436.534 -0.205 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=S)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000915272073 1072689843 /nfs/dbraw/zinc/68/98/43/1072689843.db2.gz CRSKICOKPVBFQP-CYBMUJFWSA-N 0 0 448.592 -0.083 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=S)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000915272074 1072689736 /nfs/dbraw/zinc/68/97/36/1072689736.db2.gz CRSKICOKPVBFQP-ZDUSSCGKSA-N 0 0 448.592 -0.083 20 0 IBADRN COC(=O)CCCNC(=S)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC000915272529 1072689747 /nfs/dbraw/zinc/68/97/47/1072689747.db2.gz BBCSEMHDHWISII-UHFFFAOYSA-N 0 0 429.568 -0.049 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CN2C(=O)NC(C)(C)C2=O)CC1 ZINC000915286814 1072690359 /nfs/dbraw/zinc/69/03/59/1072690359.db2.gz YMBDPEJVMRUUON-UHFFFAOYSA-N 0 0 425.486 -0.050 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000915288337 1072690797 /nfs/dbraw/zinc/69/07/97/1072690797.db2.gz HDLWSBOIFZTMAY-BAQGIRSFSA-N 0 0 430.436 -0.014 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)c(=O)n(C)c1=O ZINC000915288338 1072690757 /nfs/dbraw/zinc/69/07/57/1072690757.db2.gz HDLWSBOIFZTMAY-FPYGCLRLSA-N 0 0 430.436 -0.014 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000915290692 1072691437 /nfs/dbraw/zinc/69/14/37/1072691437.db2.gz FUSJBHOVUCGGHJ-FPLPWBNLSA-N 0 0 449.508 -0.269 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c(=O)n(C)c1=O ZINC000915290757 1072691525 /nfs/dbraw/zinc/69/15/25/1072691525.db2.gz OOPLWDGQMLZAFU-BAQGIRSFSA-N 0 0 433.490 -0.907 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c(=O)n(C)c1=O ZINC000915290758 1072691408 /nfs/dbraw/zinc/69/14/08/1072691408.db2.gz OOPLWDGQMLZAFU-FPYGCLRLSA-N 0 0 433.490 -0.907 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNS(=O)(=O)c2cc(C(N)=O)n(C)c2)CC1 ZINC000915290865 1072691397 /nfs/dbraw/zinc/69/13/97/1072691397.db2.gz BQPPSABUVSODHD-UHFFFAOYSA-N 0 0 443.526 -0.080 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(N4CCOC4=O)cc3)CC2)CC1 ZINC000915292810 1072691531 /nfs/dbraw/zinc/69/15/31/1072691531.db2.gz NILLFRSNGBPGTL-UHFFFAOYSA-N 0 0 437.522 -0.107 20 0 IBADRN O=C(CCNC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915296341 1072691910 /nfs/dbraw/zinc/69/19/10/1072691910.db2.gz GVXHIPMODGCCSN-JLTOFOAXSA-N 0 0 448.501 -0.811 20 0 IBADRN O=C(CCNC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915296342 1072691944 /nfs/dbraw/zinc/69/19/44/1072691944.db2.gz GVXHIPMODGCCSN-VBKZILBWSA-N 0 0 448.501 -0.811 20 0 IBADRN O=C(CCNC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915296343 1072691959 /nfs/dbraw/zinc/69/19/59/1072691959.db2.gz GVXHIPMODGCCSN-VLIAUNLRSA-N 0 0 448.501 -0.811 20 0 IBADRN O=C(CCNC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915296344 1072691932 /nfs/dbraw/zinc/69/19/32/1072691932.db2.gz GVXHIPMODGCCSN-XOBRGWDASA-N 0 0 448.501 -0.811 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)n(C)c1 ZINC000915296345 1072692036 /nfs/dbraw/zinc/69/20/36/1072692036.db2.gz GWIKBINWBOISSM-CYBMUJFWSA-N 0 0 448.567 -0.521 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)n(C)c1 ZINC000915296346 1072691929 /nfs/dbraw/zinc/69/19/29/1072691929.db2.gz GWIKBINWBOISSM-ZDUSSCGKSA-N 0 0 448.567 -0.521 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C\c1ccccc1)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915296518 1072691924 /nfs/dbraw/zinc/69/19/24/1072691924.db2.gz KAWSLTBPUHKWFV-LPDYGMJQSA-N 0 0 443.547 -0.224 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C\c1ccccc1)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915296519 1072691888 /nfs/dbraw/zinc/69/18/88/1072691888.db2.gz KAWSLTBPUHKWFV-RQPMMQJISA-N 0 0 443.547 -0.224 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)=NN1c1ccc(F)cc1 ZINC000915297013 1072692599 /nfs/dbraw/zinc/69/25/99/1072692599.db2.gz VIFQVRMHYMVGCX-DOMZBBRYSA-N 0 0 439.469 -0.945 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)=NN1c1ccc(F)cc1 ZINC000915297014 1072692516 /nfs/dbraw/zinc/69/25/16/1072692516.db2.gz VIFQVRMHYMVGCX-IUODEOHRSA-N 0 0 439.469 -0.945 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)=NN1c1ccc(F)cc1 ZINC000915297015 1072692531 /nfs/dbraw/zinc/69/25/31/1072692531.db2.gz VIFQVRMHYMVGCX-SWLSCSKDSA-N 0 0 439.469 -0.945 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)=NN1c1ccc(F)cc1 ZINC000915297016 1072692540 /nfs/dbraw/zinc/69/25/40/1072692540.db2.gz VIFQVRMHYMVGCX-WFASDCNBSA-N 0 0 439.469 -0.945 20 0 IBADRN O=C(CCNC(=O)CN1C(=O)COc2ccc(Cl)cc21)N[C@@H]1CCS(=O)(=O)C1 ZINC000915297034 1072692503 /nfs/dbraw/zinc/69/25/03/1072692503.db2.gz WRCUCHRUXXKSEC-GFCCVEGCSA-N 0 0 429.882 -0.125 20 0 IBADRN O=C(CCNC(=O)CN1C(=O)COc2ccc(Cl)cc21)N[C@H]1CCS(=O)(=O)C1 ZINC000915297035 1072692559 /nfs/dbraw/zinc/69/25/59/1072692559.db2.gz WRCUCHRUXXKSEC-LBPRGKRZSA-N 0 0 429.882 -0.125 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3Cc4ccccc4C(=O)O3)CC2)o1 ZINC000915297211 1072692547 /nfs/dbraw/zinc/69/25/47/1072692547.db2.gz OTOSEEMHHYEPKI-HNNXBMFYSA-N 0 0 433.442 -0.007 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3Cc4ccccc4C(=O)O3)CC2)o1 ZINC000915297212 1072692430 /nfs/dbraw/zinc/69/24/30/1072692430.db2.gz OTOSEEMHHYEPKI-OAHLLOKOSA-N 0 0 433.442 -0.007 20 0 IBADRN CCOC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(C)C ZINC000915297217 1072692628 /nfs/dbraw/zinc/69/26/28/1072692628.db2.gz PMJMYHOCXCBLIC-AWEZNQCLSA-N 0 0 430.483 -0.018 20 0 IBADRN CCOC(=O)N[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)C(C)C ZINC000915297218 1072692584 /nfs/dbraw/zinc/69/25/84/1072692584.db2.gz PMJMYHOCXCBLIC-CQSZACIVSA-N 0 0 430.483 -0.018 20 0 IBADRN CCCC1N=NC(=S)N1CC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC000915298578 1072692955 /nfs/dbraw/zinc/69/29/55/1072692955.db2.gz LGUBEWFILALVOT-UHFFFAOYSA-N 0 0 442.523 -0.256 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c2ccccc2c1=O ZINC000915298824 1072692380 /nfs/dbraw/zinc/69/23/80/1072692380.db2.gz OLGWESSTMBVTOE-UHFFFAOYSA-N 0 0 445.457 -0.228 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000915332846 1072693683 /nfs/dbraw/zinc/69/36/83/1072693683.db2.gz KAZIJAGNOHXWFO-UHFFFAOYSA-N 0 0 435.528 -0.176 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000915344528 1072693589 /nfs/dbraw/zinc/69/35/89/1072693589.db2.gz HRQRWQCNTKBNSQ-UHFFFAOYSA-N 0 0 433.465 -0.194 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000915352755 1072694142 /nfs/dbraw/zinc/69/41/42/1072694142.db2.gz QPUHVBLPULXBEV-UHFFFAOYSA-N 0 0 430.552 -0.478 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(S(=O)(=O)NCCN2CCS(=O)(=O)CC2)c1 ZINC000915353169 1072694137 /nfs/dbraw/zinc/69/41/37/1072694137.db2.gz WKPWJPSIXSSTSB-UHFFFAOYSA-N 0 0 430.957 -0.248 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)cc1 ZINC000915354453 1072694200 /nfs/dbraw/zinc/69/42/00/1072694200.db2.gz YBSRSTBSAGNYJF-UHFFFAOYSA-N 0 0 444.579 -0.231 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000915354555 1072694225 /nfs/dbraw/zinc/69/42/25/1072694225.db2.gz IUFRVDQQSWHVHN-UHFFFAOYSA-N 0 0 444.579 -0.134 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)c1 ZINC000915354558 1072694191 /nfs/dbraw/zinc/69/41/91/1072694191.db2.gz IVWLIJBAOFJCRX-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNC(=O)c2cnc3ccccc3c2O)CC1 ZINC000915357874 1072694704 /nfs/dbraw/zinc/69/47/04/1072694704.db2.gz RUBVWDHFAIMIGG-UHFFFAOYSA-N 0 0 449.533 -0.011 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)C(=O)OC4)CC2)CC1 ZINC000915359247 1072694260 /nfs/dbraw/zinc/69/42/60/1072694260.db2.gz IDEOUKDZMJFOBR-UHFFFAOYSA-N 0 0 444.535 -0.845 20 0 IBADRN CCOC(=O)N1CCN(C(=O)CN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC000915360913 1072694181 /nfs/dbraw/zinc/69/41/81/1072694181.db2.gz UJXFHWWTHPZJOB-UHFFFAOYSA-N 0 0 439.538 -0.243 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)NC(=O)N[C@@H]1C ZINC000915361109 1072694269 /nfs/dbraw/zinc/69/42/69/1072694269.db2.gz ZLYDQFORTCXBBT-CYBMUJFWSA-N 0 0 437.522 -0.026 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)NC(=O)N[C@H]1C ZINC000915361111 1072694244 /nfs/dbraw/zinc/69/42/44/1072694244.db2.gz ZLYDQFORTCXBBT-ZDUSSCGKSA-N 0 0 437.522 -0.026 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(=O)n(-c3ccccc3)n2)CC1 ZINC000915385992 1072696302 /nfs/dbraw/zinc/69/63/02/1072696302.db2.gz NUNVSHNTSNXDHQ-UHFFFAOYSA-N 0 0 427.461 -0.378 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)cnc2ncnn21 ZINC000915391596 1072695315 /nfs/dbraw/zinc/69/53/15/1072695315.db2.gz NIOULBJDLJHTLR-UHFFFAOYSA-N 0 0 431.497 -0.098 20 0 IBADRN O=C(CNS(=O)(=O)c1cccs1)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000915392110 1072695281 /nfs/dbraw/zinc/69/52/81/1072695281.db2.gz CXPALUJTOUQCIJ-UHFFFAOYSA-N 0 0 425.492 -0.292 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(=O)n(-c3ccc(F)cc3)n2)CC1 ZINC000915392793 1072695786 /nfs/dbraw/zinc/69/57/86/1072695786.db2.gz POCXICQADNEYAT-UHFFFAOYSA-N 0 0 445.451 -0.239 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)Nc2ccccc2S(=O)(=O)C(F)F)c1=O ZINC000915393475 1072695775 /nfs/dbraw/zinc/69/57/75/1072695775.db2.gz BISUIWAGGBYOGJ-UHFFFAOYSA-N 0 0 431.373 -0.275 20 0 IBADRN CCOC(=O)C1=C(COC(=O)Cn2ccc(=O)n(C)c2=O)NC(=O)N[C@H]1c1ccco1 ZINC000915393488 1072695766 /nfs/dbraw/zinc/69/57/66/1072695766.db2.gz CSBOKCGJJFCPEU-INIZCTEOSA-N 0 0 432.389 -0.446 20 0 IBADRN CCOC(=O)C1=C(COC(=O)Cn2ccc(=O)n(C)c2=O)NC(=O)N[C@@H]1c1ccco1 ZINC000915393489 1072695782 /nfs/dbraw/zinc/69/57/82/1072695782.db2.gz CSBOKCGJJFCPEU-MRXNPFEDSA-N 0 0 432.389 -0.446 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)c1=O ZINC000915393570 1072695700 /nfs/dbraw/zinc/69/57/00/1072695700.db2.gz FAKJNHLTXTUPQY-UHFFFAOYSA-N 0 0 429.433 -0.534 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)c1=O ZINC000915393620 1072695711 /nfs/dbraw/zinc/69/57/11/1072695711.db2.gz FVYCVZAEIRBCMU-UHFFFAOYSA-N 0 0 431.373 -0.275 20 0 IBADRN C[C@@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000915393676 1072695695 /nfs/dbraw/zinc/69/56/95/1072695695.db2.gz GROZBTLTIZLRCA-LLVKDONJSA-N 0 0 432.389 -0.402 20 0 IBADRN C[C@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000915393678 1072695773 /nfs/dbraw/zinc/69/57/73/1072695773.db2.gz GROZBTLTIZLRCA-NSHDSACASA-N 0 0 432.389 -0.402 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)Cn1ccc(=O)n(C)c1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000915393784 1072695733 /nfs/dbraw/zinc/69/57/33/1072695733.db2.gz HQUOXWSIYGYYRM-NEPJUHHUSA-N 0 0 438.462 -0.997 20 0 IBADRN C[C@@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000915393786 1072695715 /nfs/dbraw/zinc/69/57/15/1072695715.db2.gz HQUOXWSIYGYYRM-NWDGAFQWSA-N 0 0 438.462 -0.997 20 0 IBADRN C[C@H](NC(=O)[C@H](C)OC(=O)Cn1ccc(=O)n(C)c1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000915393789 1072695749 /nfs/dbraw/zinc/69/57/49/1072695749.db2.gz HQUOXWSIYGYYRM-RYUDHWBXSA-N 0 0 438.462 -0.997 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)OC(=O)Cn1ccc(=O)n(C)c1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000915393791 1072695758 /nfs/dbraw/zinc/69/57/58/1072695758.db2.gz HQUOXWSIYGYYRM-VXGBXAGGSA-N 0 0 438.462 -0.997 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)s2)c1=O ZINC000915393800 1072695762 /nfs/dbraw/zinc/69/57/62/1072695762.db2.gz HZURPSRMWCFSJH-UHFFFAOYSA-N 0 0 429.476 -0.874 20 0 IBADRN COC(=O)c1cc(NC(=O)COC(=O)Cn2ccc(=O)n(C)c2=O)cc(C(=O)OC)c1 ZINC000915393807 1072695754 /nfs/dbraw/zinc/69/57/54/1072695754.db2.gz IAIQSGATDIQHGN-UHFFFAOYSA-N 0 0 433.373 -0.698 20 0 IBADRN CCCCn1c2nc(COC(=O)Cn3ccc(=O)n(C)c3=O)n(CC)c2c(=O)[nH]c1=O ZINC000915393846 1072695722 /nfs/dbraw/zinc/69/57/22/1072695722.db2.gz IASFXBRAQJFTAS-UHFFFAOYSA-N 0 0 432.437 -0.278 20 0 IBADRN C[C@@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)NCc1ccc(CN2CCOCC2)cc1 ZINC000915393848 1072695724 /nfs/dbraw/zinc/69/57/24/1072695724.db2.gz IDDFPFSCYHTYDG-MRXNPFEDSA-N 0 0 444.488 -0.373 20 0 IBADRN C[C@@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000915393870 1072695718 /nfs/dbraw/zinc/69/57/18/1072695718.db2.gz GGUISWPZSVDNBO-GFCCVEGCSA-N 0 0 438.462 -0.632 20 0 IBADRN C[C@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000915393871 1072695706 /nfs/dbraw/zinc/69/57/06/1072695706.db2.gz GGUISWPZSVDNBO-LBPRGKRZSA-N 0 0 438.462 -0.632 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)c2ccc3c(c2)CCCN3S(C)(=O)=O)c1=O ZINC000915393930 1072695747 /nfs/dbraw/zinc/69/57/47/1072695747.db2.gz JTKQWXOHTFWZNS-UHFFFAOYSA-N 0 0 435.458 -0.315 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@@H](C)OC(=O)Cn2ccc(=O)n(C)c2=O)c1 ZINC000915394052 1072696326 /nfs/dbraw/zinc/69/63/26/1072696326.db2.gz KDWIMDWGCFKRJW-LLVKDONJSA-N 0 0 447.400 -0.309 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@H](C)OC(=O)Cn2ccc(=O)n(C)c2=O)c1 ZINC000915394057 1072696322 /nfs/dbraw/zinc/69/63/22/1072696322.db2.gz KDWIMDWGCFKRJW-NSHDSACASA-N 0 0 447.400 -0.309 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000915394147 1072696315 /nfs/dbraw/zinc/69/63/15/1072696315.db2.gz NBJHZYCILRBOMP-KRWDZBQOSA-N 0 0 430.465 -0.746 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1ccc(=O)n(C)c1=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000915394149 1072696250 /nfs/dbraw/zinc/69/62/50/1072696250.db2.gz NBJHZYCILRBOMP-QGZVFWFLSA-N 0 0 430.465 -0.746 20 0 IBADRN CSc1ccccc1NC(=O)CN(C)C(=O)COC(=O)Cn1ccc(=O)n(C)c1=O ZINC000915394168 1072696309 /nfs/dbraw/zinc/69/63/09/1072696309.db2.gz IMWDHGPEZSQOAX-UHFFFAOYSA-N 0 0 434.474 -0.091 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)Cn2ccc(=O)n(C)c2=O)cc1S(=O)(=O)N(C)C ZINC000915394317 1072696306 /nfs/dbraw/zinc/69/63/06/1072696306.db2.gz KWFDIIWKPDNZGY-UHFFFAOYSA-N 0 0 438.462 -0.712 20 0 IBADRN Cc1c(NC(=O)[C@H](C)OC(=O)Cn2ccc(=O)n(C)c2=O)c(=O)n(-c2ccccc2)n1C ZINC000915394361 1072696279 /nfs/dbraw/zinc/69/62/79/1072696279.db2.gz WBADVPOFFNKURK-AWEZNQCLSA-N 0 0 441.444 -0.085 20 0 IBADRN Cc1c(NC(=O)[C@@H](C)OC(=O)Cn2ccc(=O)n(C)c2=O)c(=O)n(-c2ccccc2)n1C ZINC000915394373 1072696257 /nfs/dbraw/zinc/69/62/57/1072696257.db2.gz WBADVPOFFNKURK-CQSZACIVSA-N 0 0 441.444 -0.085 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)NCc2ccc(C(=O)N3CCCC3)cc2)c1=O ZINC000915394571 1072696263 /nfs/dbraw/zinc/69/62/63/1072696263.db2.gz YIXBOMHKAPQPHS-UHFFFAOYSA-N 0 0 428.445 -0.357 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)c1=O ZINC000915394691 1072696308 /nfs/dbraw/zinc/69/63/08/1072696308.db2.gz PIYQDKRMHCKMAR-UHFFFAOYSA-N 0 0 429.838 -0.214 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)c1=O ZINC000915394937 1072696298 /nfs/dbraw/zinc/69/62/98/1072696298.db2.gz UCGPCIFWSLFLSG-UHFFFAOYSA-N 0 0 435.458 -0.242 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2sccc2-n2cnnn2)CC1 ZINC000915395594 1072696287 /nfs/dbraw/zinc/69/62/87/1072696287.db2.gz GIULHQVRXSYLMG-UHFFFAOYSA-N 0 0 435.510 -0.061 20 0 IBADRN O=C(COC(=O)c1sccc1-n1cnnn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000915395807 1072696294 /nfs/dbraw/zinc/69/62/94/1072696294.db2.gz MDSUKTOOJZSFHD-GFCCVEGCSA-N 0 0 440.507 -0.788 20 0 IBADRN O=C(COC(=O)c1sccc1-n1cnnn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000915395809 1072696304 /nfs/dbraw/zinc/69/63/04/1072696304.db2.gz MDSUKTOOJZSFHD-LBPRGKRZSA-N 0 0 440.507 -0.788 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915395968 1072696282 /nfs/dbraw/zinc/69/62/82/1072696282.db2.gz AFGULRZLZDBMDJ-BXUZGUMPSA-N 0 0 438.549 -0.103 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1cccs1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915395969 1072696318 /nfs/dbraw/zinc/69/63/18/1072696318.db2.gz AFGULRZLZDBMDJ-FZMZJTMJSA-N 0 0 438.549 -0.103 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915395970 1072696624 /nfs/dbraw/zinc/69/66/24/1072696624.db2.gz AFGULRZLZDBMDJ-RISCZKNCSA-N 0 0 438.549 -0.103 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1cccs1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915395972 1072696620 /nfs/dbraw/zinc/69/66/20/1072696620.db2.gz AFGULRZLZDBMDJ-SMDDNHRTSA-N 0 0 438.549 -0.103 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CNS(=O)(=O)c1cccs1)c(=O)n2C ZINC000915396432 1072696602 /nfs/dbraw/zinc/69/66/02/1072696602.db2.gz IJSKXSSLEQKFIY-UHFFFAOYSA-N 0 0 441.491 -0.593 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCc2nc(-c3ccccn3)no2)CC1 ZINC000915396618 1072696635 /nfs/dbraw/zinc/69/66/35/1072696635.db2.gz DHHYFNODAZBAAQ-UHFFFAOYSA-N 0 0 430.465 -0.160 20 0 IBADRN Cc1cc(NC(=O)COC(=O)Cn2ccc(=O)n(C)c2=O)n(-c2nc(C)cc(C)n2)n1 ZINC000915397685 1072696642 /nfs/dbraw/zinc/69/66/42/1072696642.db2.gz ACDNDOWGVVGTPT-UHFFFAOYSA-N 0 0 427.421 -0.370 20 0 IBADRN CCn1c(COC(=O)Cn2ccc(=O)n(C)c2=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000915398205 1072696593 /nfs/dbraw/zinc/69/65/93/1072696593.db2.gz GAXXWXDNVCTGHK-UHFFFAOYSA-N 0 0 449.489 -0.090 20 0 IBADRN CCS(=O)(=O)N1CCc2cc(C(=O)COC(=O)Cn3ccc(=O)n(C)c3=O)ccc21 ZINC000915398223 1072696663 /nfs/dbraw/zinc/69/66/63/1072696663.db2.gz GWWQISPBNXDSNJ-UHFFFAOYSA-N 0 0 435.458 -0.315 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N(c2ccccc2)[C@H]2C=CS(=O)(=O)C2)c1=O ZINC000915398552 1072696728 /nfs/dbraw/zinc/69/67/28/1072696728.db2.gz OJVGFDJUIDWGSX-HNNXBMFYSA-N 0 0 433.442 -0.566 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N(c2ccccc2)[C@@H]2C=CS(=O)(=O)C2)c1=O ZINC000915398553 1072696667 /nfs/dbraw/zinc/69/66/67/1072696667.db2.gz OJVGFDJUIDWGSX-OAHLLOKOSA-N 0 0 433.442 -0.566 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N([C@H]2CCS(=O)(=O)C2)C2CCCCC2)c1=O ZINC000915398612 1072696654 /nfs/dbraw/zinc/69/66/54/1072696654.db2.gz RRQFMMQDIUYALM-HNNXBMFYSA-N 0 0 441.506 -0.561 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N([C@@H]2CCS(=O)(=O)C2)C2CCCCC2)c1=O ZINC000915398613 1072696672 /nfs/dbraw/zinc/69/66/72/1072696672.db2.gz RRQFMMQDIUYALM-OAHLLOKOSA-N 0 0 441.506 -0.561 20 0 IBADRN Cc1cc(C(=O)COC(=O)Cn2ccc(=O)n(C)c2=O)c(C)n1[C@H]1CCS(=O)(=O)C1 ZINC000915398673 1072696678 /nfs/dbraw/zinc/69/66/78/1072696678.db2.gz VNHDNTHVYFAJDE-AWEZNQCLSA-N 0 0 437.474 -0.249 20 0 IBADRN Cc1cc(C(=O)COC(=O)Cn2ccc(=O)n(C)c2=O)c(C)n1[C@@H]1CCS(=O)(=O)C1 ZINC000915398674 1072696631 /nfs/dbraw/zinc/69/66/31/1072696631.db2.gz VNHDNTHVYFAJDE-CQSZACIVSA-N 0 0 437.474 -0.249 20 0 IBADRN COc1ccc(-n2nnc(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)c2C)cc1 ZINC000915398696 1072696724 /nfs/dbraw/zinc/69/67/24/1072696724.db2.gz AGQIDAVNVPFZST-UHFFFAOYSA-N 0 0 444.492 -0.026 20 0 IBADRN COc1ccc(-n2nnc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c2C)cc1 ZINC000915398955 1072696683 /nfs/dbraw/zinc/69/66/83/1072696683.db2.gz FXRXQJYNCCMJIV-UHFFFAOYSA-N 0 0 428.405 -0.396 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(C(=O)OCC(=O)N2CCNC(=O)C2)c1 ZINC000915400779 1072696269 /nfs/dbraw/zinc/69/62/69/1072696269.db2.gz OVNCBCBJVNAOBL-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cn3c(=O)[nH]c4ccccc4c3=O)c2c(=O)n(C)c1=O ZINC000915402848 1072697252 /nfs/dbraw/zinc/69/72/52/1072697252.db2.gz CMEFABZWTYATIF-UHFFFAOYSA-N 0 0 426.389 -0.907 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)COC(=O)Cn2c(=O)[nH]c3ccccc3c2=O)cc1 ZINC000915402946 1072697175 /nfs/dbraw/zinc/69/71/75/1072697175.db2.gz HQWGKCOQGCBEDJ-UHFFFAOYSA-N 0 0 432.414 -0.069 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)COC(=O)Cn2c(=O)[nH]c3ccccc3c2=O)c1 ZINC000915403343 1072697185 /nfs/dbraw/zinc/69/71/85/1072697185.db2.gz SYEJQCUKJUXDOR-UHFFFAOYSA-N 0 0 432.414 -0.069 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915405356 1072697216 /nfs/dbraw/zinc/69/72/16/1072697216.db2.gz PSYSCZZSCZVYKK-BLLLJJGKSA-N 0 0 437.474 -0.027 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915405359 1072697206 /nfs/dbraw/zinc/69/72/06/1072697206.db2.gz PSYSCZZSCZVYKK-LRDDRELGSA-N 0 0 437.474 -0.027 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915405361 1072697256 /nfs/dbraw/zinc/69/72/56/1072697256.db2.gz PSYSCZZSCZVYKK-MLGOLLRUSA-N 0 0 437.474 -0.027 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915405364 1072697187 /nfs/dbraw/zinc/69/71/87/1072697187.db2.gz PSYSCZZSCZVYKK-WBMJQRKESA-N 0 0 437.474 -0.027 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)Cn1c(=O)[nH]c3ccccc3c1=O)c(=O)n2C ZINC000915405702 1072697236 /nfs/dbraw/zinc/69/72/36/1072697236.db2.gz WRVPRRVUWQYJBX-UHFFFAOYSA-N 0 0 440.416 -0.517 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)c1 ZINC000915408346 1072698699 /nfs/dbraw/zinc/69/86/99/1072698699.db2.gz ILHBWFNJAOERSR-UHFFFAOYSA-N 0 0 430.464 -0.292 20 0 IBADRN C[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000915408906 1072698737 /nfs/dbraw/zinc/69/87/37/1072698737.db2.gz KRSJOKBDBASWFR-CYBMUJFWSA-N 0 0 436.494 -0.051 20 0 IBADRN C[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000915408913 1072698635 /nfs/dbraw/zinc/69/86/35/1072698635.db2.gz KRSJOKBDBASWFR-ZDUSSCGKSA-N 0 0 436.494 -0.051 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000915409276 1072698927 /nfs/dbraw/zinc/69/89/27/1072698927.db2.gz NVYLNXQGYGFASP-UHFFFAOYSA-N 0 0 438.466 -0.741 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)c1 ZINC000915409763 1072698679 /nfs/dbraw/zinc/69/86/79/1072698679.db2.gz SBCGPQOYQOIXQE-UHFFFAOYSA-N 0 0 430.464 -0.292 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915410802 1072697676 /nfs/dbraw/zinc/69/76/76/1072697676.db2.gz ZOXSTVRWBNOKNZ-BXUZGUMPSA-N 0 0 435.524 -0.250 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915410806 1072697691 /nfs/dbraw/zinc/69/76/91/1072697691.db2.gz ZOXSTVRWBNOKNZ-FZMZJTMJSA-N 0 0 435.524 -0.250 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915410809 1072697704 /nfs/dbraw/zinc/69/77/04/1072697704.db2.gz ZOXSTVRWBNOKNZ-RISCZKNCSA-N 0 0 435.524 -0.250 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915410812 1072697608 /nfs/dbraw/zinc/69/76/08/1072697608.db2.gz ZOXSTVRWBNOKNZ-SMDDNHRTSA-N 0 0 435.524 -0.250 20 0 IBADRN C[C@H](OC(=O)[C@@H]1COCCO1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915412367 1072697629 /nfs/dbraw/zinc/69/76/29/1072697629.db2.gz DFUIJRMPAULKSQ-BBRMVZONSA-N 0 0 428.463 -0.007 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1COCCO1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915412369 1072697637 /nfs/dbraw/zinc/69/76/37/1072697637.db2.gz DFUIJRMPAULKSQ-CJNGLKHVSA-N 0 0 428.463 -0.007 20 0 IBADRN C[C@@H](OC(=O)[C@H]1COCCO1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915412371 1072697708 /nfs/dbraw/zinc/69/77/08/1072697708.db2.gz DFUIJRMPAULKSQ-CZUORRHYSA-N 0 0 428.463 -0.007 20 0 IBADRN C[C@H](OC(=O)[C@H]1COCCO1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915412373 1072697723 /nfs/dbraw/zinc/69/77/23/1072697723.db2.gz DFUIJRMPAULKSQ-XJKSGUPXSA-N 0 0 428.463 -0.007 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(Cl)ccc2-n2cnnn2)CC1 ZINC000915414320 1072697645 /nfs/dbraw/zinc/69/76/45/1072697645.db2.gz RPCHYUAPRRRTFK-UHFFFAOYSA-N 0 0 435.872 -0.295 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)[C@@H]1COCCO1 ZINC000915414933 1072697662 /nfs/dbraw/zinc/69/76/62/1072697662.db2.gz PXAMDDWDEVUNMJ-INIZCTEOSA-N 0 0 444.462 -0.387 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)COC(=O)[C@H]1COCCO1 ZINC000915414934 1072697617 /nfs/dbraw/zinc/69/76/17/1072697617.db2.gz PXAMDDWDEVUNMJ-MRXNPFEDSA-N 0 0 444.462 -0.387 20 0 IBADRN O=C(COC(=O)[C@@H]1COCCO1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915415074 1072697595 /nfs/dbraw/zinc/69/75/95/1072697595.db2.gz NBFOSBDZCPMQJF-INIZCTEOSA-N 0 0 428.463 -0.718 20 0 IBADRN O=C(COC(=O)[C@H]1COCCO1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915415082 1072697717 /nfs/dbraw/zinc/69/77/17/1072697717.db2.gz NBFOSBDZCPMQJF-MRXNPFEDSA-N 0 0 428.463 -0.718 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3COCCO3)CC2)cc1 ZINC000915415084 1072697673 /nfs/dbraw/zinc/69/76/73/1072697673.db2.gz NLRDNLJUNPBRTF-KRWDZBQOSA-N 0 0 440.474 -0.319 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3COCCO3)CC2)cc1 ZINC000915415085 1072697700 /nfs/dbraw/zinc/69/77/00/1072697700.db2.gz NLRDNLJUNPBRTF-QGZVFWFLSA-N 0 0 440.474 -0.319 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H]1COCCO1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000915415180 1072697696 /nfs/dbraw/zinc/69/76/96/1072697696.db2.gz SDAZLBRDMFOQDS-AWEZNQCLSA-N 0 0 432.433 -0.109 20 0 IBADRN CCN(C(=O)COC(=O)[C@H]1COCCO1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000915415181 1072698238 /nfs/dbraw/zinc/69/82/38/1072698238.db2.gz SDAZLBRDMFOQDS-CQSZACIVSA-N 0 0 432.433 -0.109 20 0 IBADRN O=C(COC(=O)[C@@H]1COCCO1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000915415580 1072698120 /nfs/dbraw/zinc/69/81/20/1072698120.db2.gz SRPZTEWZEGADTA-HNNXBMFYSA-N 0 0 428.463 -0.718 20 0 IBADRN O=C(COC(=O)[C@H]1COCCO1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000915415581 1072698113 /nfs/dbraw/zinc/69/81/13/1072698113.db2.gz SRPZTEWZEGADTA-OAHLLOKOSA-N 0 0 428.463 -0.718 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3COCCO3)CC2)c1 ZINC000915415617 1072698254 /nfs/dbraw/zinc/69/82/54/1072698254.db2.gz ZXUHWCQNQGONIT-KRWDZBQOSA-N 0 0 440.474 -0.319 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3COCCO3)CC2)c1 ZINC000915415620 1072698104 /nfs/dbraw/zinc/69/81/04/1072698104.db2.gz ZXUHWCQNQGONIT-QGZVFWFLSA-N 0 0 440.474 -0.319 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3COCCO3)CC2)cc1 ZINC000915415631 1072698129 /nfs/dbraw/zinc/69/81/29/1072698129.db2.gz UEXFXDSYIAGWEI-KRWDZBQOSA-N 0 0 442.490 -0.123 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3COCCO3)CC2)cc1 ZINC000915415632 1072698181 /nfs/dbraw/zinc/69/81/81/1072698181.db2.gz UEXFXDSYIAGWEI-QGZVFWFLSA-N 0 0 442.490 -0.123 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccc(S(=O)(=O)NC(C)(C)C)o2)c(=O)n(C)c1=O ZINC000915415715 1072698162 /nfs/dbraw/zinc/69/81/62/1072698162.db2.gz LUEAPAJQGCTBMP-UHFFFAOYSA-N 0 0 442.450 -0.624 20 0 IBADRN O=C(COC(=O)[C@H]1COCCO1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000915415784 1072698208 /nfs/dbraw/zinc/69/82/08/1072698208.db2.gz WREKQUXUXFCGJO-GOSISDBHSA-N 0 0 438.502 -0.033 20 0 IBADRN O=C(COC(=O)[C@@H]1COCCO1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000915415785 1072698227 /nfs/dbraw/zinc/69/82/27/1072698227.db2.gz WREKQUXUXFCGJO-SFHVURJKSA-N 0 0 438.502 -0.033 20 0 IBADRN O=C(COC(=O)[C@@H]1COCCO1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000915415804 1072698220 /nfs/dbraw/zinc/69/82/20/1072698220.db2.gz XEHSKLSRJOSXSS-AWEZNQCLSA-N 0 0 434.417 -0.244 20 0 IBADRN O=C(COC(=O)[C@H]1COCCO1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000915415805 1072698192 /nfs/dbraw/zinc/69/81/92/1072698192.db2.gz XEHSKLSRJOSXSS-CQSZACIVSA-N 0 0 434.417 -0.244 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3COCCO3)CC2)cc1 ZINC000915415811 1072698137 /nfs/dbraw/zinc/69/81/37/1072698137.db2.gz XOARNRSWXSYUQL-INIZCTEOSA-N 0 0 428.463 -0.513 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3COCCO3)CC2)cc1 ZINC000915415812 1072698185 /nfs/dbraw/zinc/69/81/85/1072698185.db2.gz XOARNRSWXSYUQL-MRXNPFEDSA-N 0 0 428.463 -0.513 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@@H]2COCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000915416312 1072698160 /nfs/dbraw/zinc/69/81/60/1072698160.db2.gz MNNNNPBDOZBIHY-HNNXBMFYSA-N 0 0 444.462 -0.387 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@H]2COCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000915416313 1072698061 /nfs/dbraw/zinc/69/80/61/1072698061.db2.gz MNNNNPBDOZBIHY-OAHLLOKOSA-N 0 0 444.462 -0.387 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)o1 ZINC000915416407 1072698037 /nfs/dbraw/zinc/69/80/37/1072698037.db2.gz FIVVPTCQJKAFRU-UHFFFAOYSA-N 0 0 443.459 -0.419 20 0 IBADRN COCCN(C(=O)COC(=O)[C@H]1COCCO1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000915416448 1072698245 /nfs/dbraw/zinc/69/82/45/1072698245.db2.gz OEJPRUUZCNMIKV-GFCCVEGCSA-N 0 0 428.442 -0.875 20 0 IBADRN COCCN(C(=O)COC(=O)[C@@H]1COCCO1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000915416449 1072698785 /nfs/dbraw/zinc/69/87/85/1072698785.db2.gz OEJPRUUZCNMIKV-LBPRGKRZSA-N 0 0 428.442 -0.875 20 0 IBADRN C[C@H](OC(=O)[C@@H]1COCCO1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000915416493 1072698687 /nfs/dbraw/zinc/69/86/87/1072698687.db2.gz QGINNWGAAVVHGB-BBRMVZONSA-N 0 0 428.463 -0.007 20 0 IBADRN C[C@@H](OC(=O)[C@@H]1COCCO1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000915416494 1072698892 /nfs/dbraw/zinc/69/88/92/1072698892.db2.gz QGINNWGAAVVHGB-CJNGLKHVSA-N 0 0 428.463 -0.007 20 0 IBADRN C[C@@H](OC(=O)[C@H]1COCCO1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000915416495 1072698715 /nfs/dbraw/zinc/69/87/15/1072698715.db2.gz QGINNWGAAVVHGB-CZUORRHYSA-N 0 0 428.463 -0.007 20 0 IBADRN C[C@H](OC(=O)[C@H]1COCCO1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000915416496 1072698918 /nfs/dbraw/zinc/69/89/18/1072698918.db2.gz QGINNWGAAVVHGB-XJKSGUPXSA-N 0 0 428.463 -0.007 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@@H]2COCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000915416837 1072698652 /nfs/dbraw/zinc/69/86/52/1072698652.db2.gz XKZCQVGYSDQHFC-HNNXBMFYSA-N 0 0 428.463 -0.087 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@H]2COCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC000915416847 1072698748 /nfs/dbraw/zinc/69/87/48/1072698748.db2.gz XKZCQVGYSDQHFC-OAHLLOKOSA-N 0 0 428.463 -0.087 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)o1 ZINC000915417227 1072698818 /nfs/dbraw/zinc/69/88/18/1072698818.db2.gz ZXUMZCQFWZPMQE-UHFFFAOYSA-N 0 0 425.423 -0.818 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)o1 ZINC000915420805 1072699435 /nfs/dbraw/zinc/69/94/35/1072699435.db2.gz NOBCIAFVRIZPCR-UHFFFAOYSA-N 0 0 442.450 -0.124 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)o1 ZINC000915421195 1072698798 /nfs/dbraw/zinc/69/87/98/1072698798.db2.gz SROPJMOVSLNDHK-UHFFFAOYSA-N 0 0 442.450 -0.674 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)c1 ZINC000915421955 1072698900 /nfs/dbraw/zinc/69/89/00/1072698900.db2.gz CJCVDPGHDHEGII-UHFFFAOYSA-N 0 0 441.466 -0.389 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)c1 ZINC000915422113 1072698723 /nfs/dbraw/zinc/69/87/23/1072698723.db2.gz NHXIHFVYUYJCTJ-UHFFFAOYSA-N 0 0 442.475 -0.684 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)NC)c[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000915422332 1072698837 /nfs/dbraw/zinc/69/88/37/1072698837.db2.gz ORMSDRAFLWWKGO-NEPJUHHUSA-N 0 0 435.524 -0.106 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)NC)c[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000915422335 1072698662 /nfs/dbraw/zinc/69/86/62/1072698662.db2.gz ORMSDRAFLWWKGO-NWDGAFQWSA-N 0 0 435.524 -0.106 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1cc(S(=O)(=O)NC)c[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000915422338 1072699386 /nfs/dbraw/zinc/69/93/86/1072699386.db2.gz ORMSDRAFLWWKGO-RYUDHWBXSA-N 0 0 435.524 -0.106 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1cc(S(=O)(=O)NC)c[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000915422340 1072699504 /nfs/dbraw/zinc/69/95/04/1072699504.db2.gz ORMSDRAFLWWKGO-VXGBXAGGSA-N 0 0 435.524 -0.106 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C(=O)N[C@@]2(C)CCS(=O)(=O)C2)C(C)C)c1 ZINC000915422556 1072699402 /nfs/dbraw/zinc/69/94/02/1072699402.db2.gz RYZAZNZHUOIBDI-BBRMVZONSA-N 0 0 435.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C(=O)N[C@@]2(C)CCS(=O)(=O)C2)C(C)C)c1 ZINC000915422558 1072699380 /nfs/dbraw/zinc/69/93/80/1072699380.db2.gz RYZAZNZHUOIBDI-CJNGLKHVSA-N 0 0 435.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)O[C@@H](C(=O)N[C@]2(C)CCS(=O)(=O)C2)C(C)C)c1 ZINC000915422559 1072699482 /nfs/dbraw/zinc/69/94/82/1072699482.db2.gz RYZAZNZHUOIBDI-CZUORRHYSA-N 0 0 435.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)O[C@H](C(=O)N[C@]2(C)CCS(=O)(=O)C2)C(C)C)c1 ZINC000915422561 1072699491 /nfs/dbraw/zinc/69/94/91/1072699491.db2.gz RYZAZNZHUOIBDI-XJKSGUPXSA-N 0 0 435.524 -0.202 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)c1 ZINC000915422880 1072699495 /nfs/dbraw/zinc/69/94/95/1072699495.db2.gz WJJSNORDJVCRSR-UHFFFAOYSA-N 0 0 444.491 -0.065 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)c1 ZINC000915422913 1072699408 /nfs/dbraw/zinc/69/94/08/1072699408.db2.gz XEAQLULZINESQX-UHFFFAOYSA-N 0 0 444.491 -0.031 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CCCNC(=O)C2CC2)CC1 ZINC000915423044 1072699328 /nfs/dbraw/zinc/69/93/28/1072699328.db2.gz FJRPMQUSMACCLM-UHFFFAOYSA-N 0 0 429.481 -0.139 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN2C(=O)c2ccccc2O)c(=O)n(C)c1=O ZINC000915426382 1072700057 /nfs/dbraw/zinc/70/00/57/1072700057.db2.gz SJNKSYGHIOGSOH-GFCCVEGCSA-N 0 0 430.417 -0.598 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CCCN3C(=O)c3ccccc3O)c2c(=O)n(C)c1=O ZINC000915426618 1072699958 /nfs/dbraw/zinc/69/99/58/1072699958.db2.gz YJQPJORKJYCCBS-AWEZNQCLSA-N 0 0 441.444 -0.013 20 0 IBADRN O=C(COC(=O)c1cc[nH]n1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000915426998 1072700539 /nfs/dbraw/zinc/70/05/39/1072700539.db2.gz VJFHIVABUSJAKR-UHFFFAOYSA-N 0 0 436.446 -0.129 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CCCN2C(=O)c2ccccc2O)CC1 ZINC000915427763 1072700548 /nfs/dbraw/zinc/70/05/48/1072700548.db2.gz XRYAYADTFXGNAC-KRWDZBQOSA-N 0 0 446.504 -0.228 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CCCN2C(=O)c2ccccc2O)CC1 ZINC000915427764 1072700372 /nfs/dbraw/zinc/70/03/72/1072700372.db2.gz XRYAYADTFXGNAC-QGZVFWFLSA-N 0 0 446.504 -0.228 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CN2CCCNC2=O)CC1 ZINC000915431948 1072699801 /nfs/dbraw/zinc/69/98/01/1072699801.db2.gz BYLBXYMWQYQEIO-UHFFFAOYSA-N 0 0 443.482 -0.917 20 0 IBADRN O=C(CN1CCCNC1=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000915432188 1072699845 /nfs/dbraw/zinc/69/98/45/1072699845.db2.gz BGCWRWXTPWXHFN-UHFFFAOYSA-N 0 0 438.506 -0.381 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CN3CCCNC3=O)C2=O)cc1 ZINC000915432885 1072699994 /nfs/dbraw/zinc/69/99/94/1072699994.db2.gz HLGGVMOYJWBFGQ-IBGZPJMESA-N 0 0 433.421 -0.548 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CN3CCCNC3=O)C2=O)cc1 ZINC000915432893 1072700014 /nfs/dbraw/zinc/70/00/14/1072700014.db2.gz HLGGVMOYJWBFGQ-LJQANCHMSA-N 0 0 433.421 -0.548 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CN2CCCNC2=O)cc1S(=O)(=O)N(C)C ZINC000915433442 1072700053 /nfs/dbraw/zinc/70/00/53/1072700053.db2.gz JZXAAABSUZDUEI-UHFFFAOYSA-N 0 0 428.467 -0.158 20 0 IBADRN O=C(CN1CCCNC1=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000915433444 1072699920 /nfs/dbraw/zinc/69/99/20/1072699920.db2.gz KAJYJFPBSARXHX-UHFFFAOYSA-N 0 0 442.469 -0.383 20 0 IBADRN O=C(COC(=O)CN1CCCNC1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000915434834 1072699981 /nfs/dbraw/zinc/69/99/81/1072699981.db2.gz JPSHQDWJGFZTHR-UHFFFAOYSA-N 0 0 440.478 -0.396 20 0 IBADRN O=C(CN1CCCNC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000915435113 1072700030 /nfs/dbraw/zinc/70/00/30/1072700030.db2.gz VOGNNGRWPZEBEE-UHFFFAOYSA-N 0 0 442.469 -0.383 20 0 IBADRN O=C(CN1CCCNC1=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000915435418 1072699824 /nfs/dbraw/zinc/69/98/24/1072699824.db2.gz ZILQQPIUYSTJDE-UHFFFAOYSA-N 0 0 442.469 -0.383 20 0 IBADRN O=C(CN1CCN(C(=O)COC(=O)CN2CCCNC2=O)CC1)Nc1ccc(F)cc1 ZINC000915435431 1072699890 /nfs/dbraw/zinc/69/98/90/1072699890.db2.gz ZQRWILMMCHZAGD-UHFFFAOYSA-N 0 0 435.456 -0.133 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)CN2CCCNC2=O)c(N)n(Cc2ccccc2)c1=O ZINC000915435738 1072700034 /nfs/dbraw/zinc/70/00/34/1072700034.db2.gz QTLRQQHDZDTACZ-UHFFFAOYSA-N 0 0 429.433 -0.681 20 0 IBADRN O=C(COC(=O)CN1CCCNC1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000915435938 1072699929 /nfs/dbraw/zinc/69/99/29/1072699929.db2.gz VXWRULXDJIJUPQ-UHFFFAOYSA-N 0 0 440.478 -0.396 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)c2ccccc2S(=O)(=O)C(F)(F)F)c(=O)n(C)c1=O ZINC000915438520 1072700496 /nfs/dbraw/zinc/70/04/96/1072700496.db2.gz NQIZAWKLIKPZQI-UHFFFAOYSA-N 0 0 449.363 -0.001 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)OCCN2C(=O)CNC2=O)o1 ZINC000915440961 1072700516 /nfs/dbraw/zinc/70/05/16/1072700516.db2.gz GRQNITNPRNESIJ-LLVKDONJSA-N 0 0 443.434 -0.296 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)OCC(=O)N2CCNC2=O)o1 ZINC000915442277 1072700319 /nfs/dbraw/zinc/70/03/19/1072700319.db2.gz WTCTXDPUMRXVLI-LLVKDONJSA-N 0 0 443.434 -0.296 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)OCC(=O)N2CCNC2=O)o1 ZINC000915442278 1072700469 /nfs/dbraw/zinc/70/04/69/1072700469.db2.gz WTCTXDPUMRXVLI-NSHDSACASA-N 0 0 443.434 -0.296 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)OCC(=O)NC(=O)NC)o1 ZINC000915442442 1072700528 /nfs/dbraw/zinc/70/05/28/1072700528.db2.gz YLSRVFUNHOXCEO-JTQLQIEISA-N 0 0 431.423 -0.392 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)OCC(=O)NC(=O)NC)o1 ZINC000915442443 1072700422 /nfs/dbraw/zinc/70/04/22/1072700422.db2.gz YLSRVFUNHOXCEO-SNVBAGLBSA-N 0 0 431.423 -0.392 20 0 IBADRN CN(C)C(=O)N1CCC(C(=O)OCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000915443539 1072700346 /nfs/dbraw/zinc/70/03/46/1072700346.db2.gz VSGMHXVYHCFLJM-UHFFFAOYSA-N 0 0 440.522 -0.071 20 0 IBADRN CN(C)C(=O)N1CCC(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)CC1 ZINC000915444939 1072700974 /nfs/dbraw/zinc/70/09/74/1072700974.db2.gz RVISBMXKMZKIKA-UHFFFAOYSA-N 0 0 439.557 -0.018 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)OCC(=O)NC(=O)NC)CC2)o1 ZINC000915445164 1072700953 /nfs/dbraw/zinc/70/09/53/1072700953.db2.gz YLYCOHIEASJMNW-UHFFFAOYSA-N 0 0 445.450 -0.144 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(Br)c(S(N)(=O)=O)c2)CC1 ZINC000915449515 1072700434 /nfs/dbraw/zinc/70/04/34/1072700434.db2.gz BDUWEVRRKGOUSK-UHFFFAOYSA-N 0 0 448.295 -0.056 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)OCC(=O)NCC(=O)N2CCCC2)ccc1Br ZINC000915449988 1072700506 /nfs/dbraw/zinc/70/05/06/1072700506.db2.gz GPXYERGZRVEWCZ-UHFFFAOYSA-N 0 0 448.295 -0.008 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CN2CCCCC2=O)CC1 ZINC000915454336 1072700879 /nfs/dbraw/zinc/70/08/79/1072700879.db2.gz AFPQDWRIQSYATP-UHFFFAOYSA-N 0 0 442.494 -0.320 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)CN2CCCCC2=O)c(N)n(Cc2ccccc2)c1=O ZINC000915458572 1072701037 /nfs/dbraw/zinc/70/10/37/1072701037.db2.gz DFHUTVWKCGVELR-UHFFFAOYSA-N 0 0 428.445 -0.084 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(F)c(S(N)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000915463006 1072700987 /nfs/dbraw/zinc/70/09/87/1072700987.db2.gz OORVFHPDIMSUSQ-UHFFFAOYSA-N 0 0 425.398 -0.923 20 0 IBADRN Cc1nc(C)c(CC(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)c(=O)[nH]1 ZINC000915464608 1072701543 /nfs/dbraw/zinc/70/15/43/1072701543.db2.gz IVVFOJQUHRNRIT-UHFFFAOYSA-N 0 0 440.464 -0.090 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)c1ccc(F)c(S(N)(=O)=O)c1)c(=O)n2C ZINC000915464749 1072701619 /nfs/dbraw/zinc/70/16/19/1072701619.db2.gz ALKOVQULULMFFY-UHFFFAOYSA-N 0 0 439.425 -0.533 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(F)c(S(N)(=O)=O)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915465160 1072701351 /nfs/dbraw/zinc/70/13/51/1072701351.db2.gz MMBQVXDHGKXURS-BXUZGUMPSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(F)c(S(N)(=O)=O)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915465161 1072701635 /nfs/dbraw/zinc/70/16/35/1072701635.db2.gz MMBQVXDHGKXURS-FZMZJTMJSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@H](OC(=O)c1ccc(F)c(S(N)(=O)=O)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915465162 1072701570 /nfs/dbraw/zinc/70/15/70/1072701570.db2.gz MMBQVXDHGKXURS-RISCZKNCSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@@H](OC(=O)c1ccc(F)c(S(N)(=O)=O)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915465163 1072701493 /nfs/dbraw/zinc/70/14/93/1072701493.db2.gz MMBQVXDHGKXURS-SMDDNHRTSA-N 0 0 436.483 -0.042 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000915472780 1072701966 /nfs/dbraw/zinc/70/19/66/1072701966.db2.gz CQWPPCQQBUIQEP-UHFFFAOYSA-N 0 0 442.490 -0.132 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000915473353 1072703465 /nfs/dbraw/zinc/70/34/65/1072703465.db2.gz QYUCOTSSASWCOF-UHFFFAOYSA-N 0 0 427.479 -0.853 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000915473745 1072703392 /nfs/dbraw/zinc/70/33/92/1072703392.db2.gz CDPCHYCVBZLURV-UHFFFAOYSA-N 0 0 427.479 -0.805 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000915473844 1072703419 /nfs/dbraw/zinc/70/34/19/1072703419.db2.gz FNXAQEXRYHBYIL-HNNXBMFYSA-N 0 0 427.479 -0.677 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000915473859 1072703450 /nfs/dbraw/zinc/70/34/50/1072703450.db2.gz FNXAQEXRYHBYIL-OAHLLOKOSA-N 0 0 427.479 -0.677 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000915474087 1072703535 /nfs/dbraw/zinc/70/35/35/1072703535.db2.gz LOWPINMDDIMZRH-AWEZNQCLSA-N 0 0 441.506 -0.465 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC000915474088 1072703363 /nfs/dbraw/zinc/70/33/63/1072703363.db2.gz LOWPINMDDIMZRH-CQSZACIVSA-N 0 0 441.506 -0.465 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C(=O)N(C)CC(=O)NC1CC1 ZINC000915474148 1072703404 /nfs/dbraw/zinc/70/34/04/1072703404.db2.gz NNYNEGNUSQHZDD-CYBMUJFWSA-N 0 0 441.506 -0.418 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C(=O)N(C)CC(=O)NC1CC1 ZINC000915474149 1072703413 /nfs/dbraw/zinc/70/34/13/1072703413.db2.gz NNYNEGNUSQHZDD-ZDUSSCGKSA-N 0 0 441.506 -0.418 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000915474247 1072702081 /nfs/dbraw/zinc/70/20/81/1072702081.db2.gz QQKGMIOYKSGMRA-DZGCQCFKSA-N 0 0 441.506 -0.431 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000915474248 1072702040 /nfs/dbraw/zinc/70/20/40/1072702040.db2.gz QQKGMIOYKSGMRA-HIFRSBDPSA-N 0 0 441.506 -0.431 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000915474249 1072702102 /nfs/dbraw/zinc/70/21/02/1072702102.db2.gz QQKGMIOYKSGMRA-UKRRQHHQSA-N 0 0 441.506 -0.431 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000915474250 1072702051 /nfs/dbraw/zinc/70/20/51/1072702051.db2.gz QQKGMIOYKSGMRA-ZFWWWQNUSA-N 0 0 441.506 -0.431 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)NC[C@H](C)O)cc1 ZINC000915474511 1072702008 /nfs/dbraw/zinc/70/20/08/1072702008.db2.gz AKIALBOKIYIFSC-NEPJUHHUSA-N 0 0 431.467 -0.637 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)NC[C@@H](C)O)cc1 ZINC000915474512 1072702070 /nfs/dbraw/zinc/70/20/70/1072702070.db2.gz AKIALBOKIYIFSC-NWDGAFQWSA-N 0 0 431.467 -0.637 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)NC[C@H](C)O)cc1 ZINC000915474513 1072702029 /nfs/dbraw/zinc/70/20/29/1072702029.db2.gz AKIALBOKIYIFSC-RYUDHWBXSA-N 0 0 431.467 -0.637 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)NC[C@@H](C)O)cc1 ZINC000915474514 1072702062 /nfs/dbraw/zinc/70/20/62/1072702062.db2.gz AKIALBOKIYIFSC-VXGBXAGGSA-N 0 0 431.467 -0.637 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC000915475091 1072702599 /nfs/dbraw/zinc/70/25/99/1072702599.db2.gz GJSURVDYHCZPKP-KBPBESRZSA-N 0 0 441.506 -0.418 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC000915475092 1072702592 /nfs/dbraw/zinc/70/25/92/1072702592.db2.gz GJSURVDYHCZPKP-KGLIPLIRSA-N 0 0 441.506 -0.418 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC000915475093 1072702548 /nfs/dbraw/zinc/70/25/48/1072702548.db2.gz GJSURVDYHCZPKP-UONOGXRCSA-N 0 0 441.506 -0.418 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC000915475094 1072702605 /nfs/dbraw/zinc/70/26/05/1072702605.db2.gz GJSURVDYHCZPKP-ZIAGYGMSSA-N 0 0 441.506 -0.418 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000915475466 1072702514 /nfs/dbraw/zinc/70/25/14/1072702514.db2.gz KLBIGIJOXAQRBE-CHWSQXEVSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000915475467 1072702578 /nfs/dbraw/zinc/70/25/78/1072702578.db2.gz KLBIGIJOXAQRBE-OLZOCXBDSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NC[C@H](C)O)cc1)C(=O)N1CCC(C(N)=O)CC1 ZINC000915475468 1072702542 /nfs/dbraw/zinc/70/25/42/1072702542.db2.gz KLBIGIJOXAQRBE-QWHCGFSZSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000915475469 1072702534 /nfs/dbraw/zinc/70/25/34/1072702534.db2.gz KLBIGIJOXAQRBE-STQMWFEESA-N 0 0 441.506 -0.385 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC000915475646 1072702583 /nfs/dbraw/zinc/70/25/83/1072702583.db2.gz LPMOYNPSYNXLJU-CYBMUJFWSA-N 0 0 442.490 -0.086 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC000915475647 1072702560 /nfs/dbraw/zinc/70/25/60/1072702560.db2.gz LPMOYNPSYNXLJU-ZDUSSCGKSA-N 0 0 442.490 -0.086 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000915475654 1072702572 /nfs/dbraw/zinc/70/25/72/1072702572.db2.gz LXDZAXOIYQXTSB-CYBMUJFWSA-N 0 0 427.479 -0.759 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000915475655 1072702502 /nfs/dbraw/zinc/70/25/02/1072702502.db2.gz LXDZAXOIYQXTSB-ZDUSSCGKSA-N 0 0 427.479 -0.759 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000915476026 1072702554 /nfs/dbraw/zinc/70/25/54/1072702554.db2.gz NPMCKBNWYMBTLR-OBJOEFQTSA-N 0 0 448.519 -0.806 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NC[C@H](C)O)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000915476027 1072702427 /nfs/dbraw/zinc/70/24/27/1072702427.db2.gz NPMCKBNWYMBTLR-OUCADQQQSA-N 0 0 448.519 -0.806 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NC[C@H](C)O)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000915476028 1072702480 /nfs/dbraw/zinc/70/24/80/1072702480.db2.gz NPMCKBNWYMBTLR-SCRDCRAPSA-N 0 0 448.519 -0.806 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000915476029 1072702465 /nfs/dbraw/zinc/70/24/65/1072702465.db2.gz NPMCKBNWYMBTLR-SGMGOOAPSA-N 0 0 448.519 -0.806 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)cc1 ZINC000915476038 1072702445 /nfs/dbraw/zinc/70/24/45/1072702445.db2.gz NZPVSMUKPYUXOI-SECBINFHSA-N 0 0 441.384 -0.109 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)cc1 ZINC000915476039 1072702621 /nfs/dbraw/zinc/70/26/21/1072702621.db2.gz NZPVSMUKPYUXOI-VIFPVBQESA-N 0 0 441.384 -0.109 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)cc1 ZINC000915476155 1072702527 /nfs/dbraw/zinc/70/25/27/1072702527.db2.gz RAONQOVGZQJOFB-UHFFFAOYSA-N 0 0 441.384 -0.156 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000915476376 1072702612 /nfs/dbraw/zinc/70/26/12/1072702612.db2.gz PCCRHGHZTGOQBK-DOMZBBRYSA-N 0 0 427.479 -0.631 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000915476379 1072702984 /nfs/dbraw/zinc/70/29/84/1072702984.db2.gz PCCRHGHZTGOQBK-IUODEOHRSA-N 0 0 427.479 -0.631 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000915476380 1072702998 /nfs/dbraw/zinc/70/29/98/1072702998.db2.gz PCCRHGHZTGOQBK-SWLSCSKDSA-N 0 0 427.479 -0.631 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000915476382 1072703006 /nfs/dbraw/zinc/70/30/06/1072703006.db2.gz PCCRHGHZTGOQBK-WFASDCNBSA-N 0 0 427.479 -0.631 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC000915476512 1072703093 /nfs/dbraw/zinc/70/30/93/1072703093.db2.gz UGQZXOGJYFECDF-GFCCVEGCSA-N 0 0 431.467 -0.683 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC000915476513 1072703026 /nfs/dbraw/zinc/70/30/26/1072703026.db2.gz UGQZXOGJYFECDF-LBPRGKRZSA-N 0 0 431.467 -0.683 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000915477091 1072702991 /nfs/dbraw/zinc/70/29/91/1072702991.db2.gz AVYHAWURMBLTGR-CYBMUJFWSA-N 0 0 427.479 -0.759 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000915477092 1072703032 /nfs/dbraw/zinc/70/30/32/1072703032.db2.gz AVYHAWURMBLTGR-ZDUSSCGKSA-N 0 0 427.479 -0.759 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1 ZINC000915477218 1072703078 /nfs/dbraw/zinc/70/30/78/1072703078.db2.gz BOCLZHCTALJPEE-CHWSQXEVSA-N 0 0 441.506 -0.372 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1 ZINC000915477219 1072703072 /nfs/dbraw/zinc/70/30/72/1072703072.db2.gz BOCLZHCTALJPEE-OLZOCXBDSA-N 0 0 441.506 -0.372 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N[C@@H](C)CO)cc1)C(=O)N(C)CC(=O)NC1CC1 ZINC000915477220 1072703088 /nfs/dbraw/zinc/70/30/88/1072703088.db2.gz BOCLZHCTALJPEE-QWHCGFSZSA-N 0 0 441.506 -0.372 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1 ZINC000915477221 1072703082 /nfs/dbraw/zinc/70/30/82/1072703082.db2.gz BOCLZHCTALJPEE-STQMWFEESA-N 0 0 441.506 -0.372 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1 ZINC000915477484 1072703012 /nfs/dbraw/zinc/70/30/12/1072703012.db2.gz URUILHBVMSEVHP-CHWSQXEVSA-N 0 0 441.506 -0.372 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1 ZINC000915477485 1072702931 /nfs/dbraw/zinc/70/29/31/1072702931.db2.gz URUILHBVMSEVHP-OLZOCXBDSA-N 0 0 441.506 -0.372 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NC[C@H](C)O)cc1)C(=O)N(C)CC(=O)NC1CC1 ZINC000915477486 1072703017 /nfs/dbraw/zinc/70/30/17/1072703017.db2.gz URUILHBVMSEVHP-QWHCGFSZSA-N 0 0 441.506 -0.372 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1 ZINC000915477487 1072703055 /nfs/dbraw/zinc/70/30/55/1072703055.db2.gz URUILHBVMSEVHP-STQMWFEESA-N 0 0 441.506 -0.372 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC000915477914 1072703050 /nfs/dbraw/zinc/70/30/50/1072703050.db2.gz YRSJTDNMQKFLRW-CYBMUJFWSA-N 0 0 427.479 -0.807 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC000915477915 1072702942 /nfs/dbraw/zinc/70/29/42/1072702942.db2.gz YRSJTDNMQKFLRW-ZDUSSCGKSA-N 0 0 427.479 -0.807 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NC[C@H](C)O)cc1)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000915478066 1072703511 /nfs/dbraw/zinc/70/35/11/1072703511.db2.gz WDSQAXNLNIOFMX-GUTXKFCHSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)NC[C@H](C)O)cc1)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000915478067 1072703382 /nfs/dbraw/zinc/70/33/82/1072703382.db2.gz WDSQAXNLNIOFMX-GZBFAFLISA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000915478068 1072703431 /nfs/dbraw/zinc/70/34/31/1072703431.db2.gz WDSQAXNLNIOFMX-KCQAQPDRSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000915478069 1072703439 /nfs/dbraw/zinc/70/34/39/1072703439.db2.gz WDSQAXNLNIOFMX-YDHLFZDLSA-N 0 0 441.506 -0.385 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)CC1 ZINC000915480794 1072703348 /nfs/dbraw/zinc/70/33/48/1072703348.db2.gz PYZMIPSYSCRHNK-KBPBESRZSA-N 0 0 441.506 -0.418 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)CC1 ZINC000915480796 1072703476 /nfs/dbraw/zinc/70/34/76/1072703476.db2.gz PYZMIPSYSCRHNK-KGLIPLIRSA-N 0 0 441.506 -0.418 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)CC1 ZINC000915480798 1072703503 /nfs/dbraw/zinc/70/35/03/1072703503.db2.gz PYZMIPSYSCRHNK-UONOGXRCSA-N 0 0 441.506 -0.418 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)CC1 ZINC000915480800 1072703519 /nfs/dbraw/zinc/70/35/19/1072703519.db2.gz PYZMIPSYSCRHNK-ZIAGYGMSSA-N 0 0 441.506 -0.418 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000915481366 1072703372 /nfs/dbraw/zinc/70/33/72/1072703372.db2.gz RXVHLXBGLITVHL-IPYPFGDCSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000915481367 1072703529 /nfs/dbraw/zinc/70/35/29/1072703529.db2.gz RXVHLXBGLITVHL-NFAWXSAZSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000915481368 1072703459 /nfs/dbraw/zinc/70/34/59/1072703459.db2.gz RXVHLXBGLITVHL-UMVBOHGHSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000915481370 1072703927 /nfs/dbraw/zinc/70/39/27/1072703927.db2.gz RXVHLXBGLITVHL-VNHYZAJKSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)cc1 ZINC000915481988 1072703826 /nfs/dbraw/zinc/70/38/26/1072703826.db2.gz UOGFGYSXWMCJNH-SECBINFHSA-N 0 0 441.384 -0.109 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)NCC(F)(F)F)cc1 ZINC000915481990 1072703820 /nfs/dbraw/zinc/70/38/20/1072703820.db2.gz UOGFGYSXWMCJNH-VIFPVBQESA-N 0 0 441.384 -0.109 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000915482788 1072703852 /nfs/dbraw/zinc/70/38/52/1072703852.db2.gz WYNSKTAGTWIDND-CHWSQXEVSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000915482789 1072703871 /nfs/dbraw/zinc/70/38/71/1072703871.db2.gz WYNSKTAGTWIDND-OLZOCXBDSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N[C@@H](C)CO)cc1)C(=O)N1CCC(C(N)=O)CC1 ZINC000915482790 1072703848 /nfs/dbraw/zinc/70/38/48/1072703848.db2.gz WYNSKTAGTWIDND-QWHCGFSZSA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000915482791 1072703829 /nfs/dbraw/zinc/70/38/29/1072703829.db2.gz WYNSKTAGTWIDND-STQMWFEESA-N 0 0 441.506 -0.385 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000915482798 1072703844 /nfs/dbraw/zinc/70/38/44/1072703844.db2.gz XBLHGDIGBXYGKS-DOMZBBRYSA-N 0 0 427.479 -0.631 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000915482799 1072703900 /nfs/dbraw/zinc/70/39/00/1072703900.db2.gz XBLHGDIGBXYGKS-IUODEOHRSA-N 0 0 427.479 -0.631 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1 ZINC000915482800 1072703916 /nfs/dbraw/zinc/70/39/16/1072703916.db2.gz XBLHGDIGBXYGKS-SWLSCSKDSA-N 0 0 427.479 -0.631 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1 ZINC000915482801 1072703834 /nfs/dbraw/zinc/70/38/34/1072703834.db2.gz XBLHGDIGBXYGKS-WFASDCNBSA-N 0 0 427.479 -0.631 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000915483397 1072704253 /nfs/dbraw/zinc/70/42/53/1072704253.db2.gz ZKBDTRFSFRRZAN-BZPMIXESSA-N 0 0 448.519 -0.806 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000915483398 1072704342 /nfs/dbraw/zinc/70/43/42/1072704342.db2.gz ZKBDTRFSFRRZAN-DYEKYZERSA-N 0 0 448.519 -0.806 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000915483399 1072704317 /nfs/dbraw/zinc/70/43/17/1072704317.db2.gz ZKBDTRFSFRRZAN-MBNYWOFBSA-N 0 0 448.519 -0.806 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000915483400 1072704242 /nfs/dbraw/zinc/70/42/42/1072704242.db2.gz ZKBDTRFSFRRZAN-YRGRVCCFSA-N 0 0 448.519 -0.806 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCS(=O)(=O)c2ccc3c(c2)CCC3)c(=O)n(C)c1=O ZINC000915483819 1072704777 /nfs/dbraw/zinc/70/47/77/1072704777.db2.gz WFICSRGLKNSXLX-UHFFFAOYSA-N 0 0 449.485 -0.255 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)CC1 ZINC000915483869 1072704772 /nfs/dbraw/zinc/70/47/72/1072704772.db2.gz RUUNZODYHGGVEX-CYBMUJFWSA-N 0 0 442.490 -0.086 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)CC1 ZINC000915483870 1072704726 /nfs/dbraw/zinc/70/47/26/1072704726.db2.gz RUUNZODYHGGVEX-ZDUSSCGKSA-N 0 0 442.490 -0.086 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N[C@H](C)CO)cc1 ZINC000915484737 1072704270 /nfs/dbraw/zinc/70/42/70/1072704270.db2.gz OOHDHZNLBFYGQZ-NEPJUHHUSA-N 0 0 431.467 -0.637 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)CO)cc1 ZINC000915484738 1072704329 /nfs/dbraw/zinc/70/43/29/1072704329.db2.gz OOHDHZNLBFYGQZ-NWDGAFQWSA-N 0 0 431.467 -0.637 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)CO)cc1 ZINC000915484740 1072704322 /nfs/dbraw/zinc/70/43/22/1072704322.db2.gz OOHDHZNLBFYGQZ-RYUDHWBXSA-N 0 0 431.467 -0.637 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N[C@H](C)CO)cc1 ZINC000915484742 1072704289 /nfs/dbraw/zinc/70/42/89/1072704289.db2.gz OOHDHZNLBFYGQZ-VXGBXAGGSA-N 0 0 431.467 -0.637 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)CC1 ZINC000915485219 1072704818 /nfs/dbraw/zinc/70/48/18/1072704818.db2.gz YDFOKEAIVQPZSD-CYBMUJFWSA-N 0 0 427.479 -0.807 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)CC1 ZINC000915485221 1072704797 /nfs/dbraw/zinc/70/47/97/1072704797.db2.gz YDFOKEAIVQPZSD-ZDUSSCGKSA-N 0 0 427.479 -0.807 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1 ZINC000915509563 1072708100 /nfs/dbraw/zinc/70/81/00/1072708100.db2.gz IWKBNZLRQBHFSL-UHFFFAOYSA-N 0 0 437.268 -0.098 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCNC(=O)C1)S(=O)(=O)c1ccc(Br)cc1 ZINC000915510148 1072708010 /nfs/dbraw/zinc/70/80/10/1072708010.db2.gz IUYHQNZCVPFATC-UHFFFAOYSA-N 0 0 448.295 -0.429 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CS(=O)(=O)CCCc2ccccc2)c(=O)n(C)c1=O ZINC000915522102 1072708054 /nfs/dbraw/zinc/70/80/54/1072708054.db2.gz IULYTBYSDZGYST-UHFFFAOYSA-N 0 0 437.474 -0.560 20 0 IBADRN O=C(CN1C(=O)COc2cc(Br)ccc21)OCC(=O)N1CCNC(=O)C1 ZINC000915528488 1072708598 /nfs/dbraw/zinc/70/85/98/1072708598.db2.gz KBQIWBWBGOQCKP-UHFFFAOYSA-N 0 0 426.223 -0.324 20 0 IBADRN O=C(CS(=O)(=O)Cc1ccc(Br)cc1)OCC(=O)N1CCNC(=O)C1 ZINC000915536945 1072708042 /nfs/dbraw/zinc/70/80/42/1072708042.db2.gz KVUOFGJTYVFXMG-UHFFFAOYSA-N 0 0 433.280 -0.135 20 0 IBADRN O=C(COCCOc1ccccc1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000915542471 1072708518 /nfs/dbraw/zinc/70/85/18/1072708518.db2.gz SHCAGMQBZYZWHJ-KRWDZBQOSA-N 0 0 440.518 -0.044 20 0 IBADRN O=C(COCCOc1ccccc1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000915542472 1072708533 /nfs/dbraw/zinc/70/85/33/1072708533.db2.gz SHCAGMQBZYZWHJ-QGZVFWFLSA-N 0 0 440.518 -0.044 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)NCC(=O)N2CCCC2)cc1S(N)(=O)=O ZINC000915543268 1072708575 /nfs/dbraw/zinc/70/85/75/1072708575.db2.gz FPQSFSAPRVZLFX-UHFFFAOYSA-N 0 0 431.442 -0.694 20 0 IBADRN COc1c(F)cc(CC(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1S(N)(=O)=O ZINC000915543548 1072708591 /nfs/dbraw/zinc/70/85/91/1072708591.db2.gz INJHXWDIHXZPJX-LLVKDONJSA-N 0 0 445.469 -0.353 20 0 IBADRN COc1c(F)cc(CC(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1S(N)(=O)=O ZINC000915543549 1072708508 /nfs/dbraw/zinc/70/85/08/1072708508.db2.gz INJHXWDIHXZPJX-NSHDSACASA-N 0 0 445.469 -0.353 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)NC(=O)NCC(F)(F)F)cc1S(N)(=O)=O ZINC000915543890 1072709050 /nfs/dbraw/zinc/70/90/50/1072709050.db2.gz NGOOAOJQXBERSU-UHFFFAOYSA-N 0 0 445.347 -0.045 20 0 IBADRN COc1c(F)cc(CC(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1S(N)(=O)=O ZINC000915544558 1072708924 /nfs/dbraw/zinc/70/89/24/1072708924.db2.gz SDMGHHPIVUUJDK-CMPLNLGQSA-N 0 0 445.469 -0.320 20 0 IBADRN COc1c(F)cc(CC(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1S(N)(=O)=O ZINC000915544559 1072709087 /nfs/dbraw/zinc/70/90/87/1072709087.db2.gz SDMGHHPIVUUJDK-JQWIXIFHSA-N 0 0 445.469 -0.320 20 0 IBADRN COc1c(F)cc(CC(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1S(N)(=O)=O ZINC000915544560 1072708951 /nfs/dbraw/zinc/70/89/51/1072708951.db2.gz SDMGHHPIVUUJDK-PWSUYJOCSA-N 0 0 445.469 -0.320 20 0 IBADRN COc1c(F)cc(CC(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1S(N)(=O)=O ZINC000915544561 1072709016 /nfs/dbraw/zinc/70/90/16/1072709016.db2.gz SDMGHHPIVUUJDK-ZYHUDNBSSA-N 0 0 445.469 -0.320 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)cc1S(N)(=O)=O ZINC000915544952 1072709574 /nfs/dbraw/zinc/70/95/74/1072709574.db2.gz VPLYWUIYAQCXLF-GFCCVEGCSA-N 0 0 431.442 -0.566 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)cc1S(N)(=O)=O ZINC000915544959 1072709481 /nfs/dbraw/zinc/70/94/81/1072709481.db2.gz VPLYWUIYAQCXLF-LBPRGKRZSA-N 0 0 431.442 -0.566 20 0 IBADRN COc1c(F)cc(CC(=O)O[C@@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1S(N)(=O)=O ZINC000915545297 1072709594 /nfs/dbraw/zinc/70/95/94/1072709594.db2.gz ZHMXJUWGWYONHH-JTQLQIEISA-N 0 0 445.469 -0.307 20 0 IBADRN COc1c(F)cc(CC(=O)O[C@H](C)C(=O)N(C)CC(=O)NC2CC2)cc1S(N)(=O)=O ZINC000915545298 1072709516 /nfs/dbraw/zinc/70/95/16/1072709516.db2.gz ZHMXJUWGWYONHH-SNVBAGLBSA-N 0 0 445.469 -0.307 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)CC1 ZINC000915545798 1072709551 /nfs/dbraw/zinc/70/95/51/1072709551.db2.gz SOVKDUCPWNORAI-UHFFFAOYSA-N 0 0 446.453 -0.021 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000915548888 1072709578 /nfs/dbraw/zinc/70/95/78/1072709578.db2.gz QFQIQBPVWWTDCX-SECBINFHSA-N 0 0 435.430 -0.572 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1 ZINC000915549066 1072709445 /nfs/dbraw/zinc/70/94/45/1072709445.db2.gz QFQIQBPVWWTDCX-VIFPVBQESA-N 0 0 435.430 -0.572 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)C2CCN(C(=O)N3CCCC3)CC2)CC1 ZINC000915553036 1072708560 /nfs/dbraw/zinc/70/85/60/1072708560.db2.gz UWGRVUSSYVIXIS-UHFFFAOYSA-N 0 0 437.541 -0.310 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000915554064 1072708608 /nfs/dbraw/zinc/70/86/08/1072708608.db2.gz KQFVYBHSKNJLJN-UHFFFAOYSA-N 0 0 448.519 -0.876 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC000915554415 1072708442 /nfs/dbraw/zinc/70/84/42/1072708442.db2.gz JFMLNLLDKMORIO-UHFFFAOYSA-N 0 0 439.557 -0.064 20 0 IBADRN COCCS(=O)(=O)CC(=O)O[C@H](C)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000915555134 1072708541 /nfs/dbraw/zinc/70/85/41/1072708541.db2.gz XANOPAUQCBTQCX-GFCCVEGCSA-N 0 0 436.508 -0.132 20 0 IBADRN COCCS(=O)(=O)CC(=O)O[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000915555137 1072708555 /nfs/dbraw/zinc/70/85/55/1072708555.db2.gz XANOPAUQCBTQCX-LBPRGKRZSA-N 0 0 436.508 -0.132 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)Nc1ccc(C)c(S(=O)(=O)N(C)C)c1 ZINC000915555212 1072708428 /nfs/dbraw/zinc/70/84/28/1072708428.db2.gz YRAKKPUOBCLVDB-UHFFFAOYSA-N 0 0 436.508 -0.212 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000915555739 1072708497 /nfs/dbraw/zinc/70/84/97/1072708497.db2.gz UNUCJIXPJXEGSQ-HNNXBMFYSA-N 0 0 439.552 -0.061 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000915555740 1072708547 /nfs/dbraw/zinc/70/85/47/1072708547.db2.gz UNUCJIXPJXEGSQ-OAHLLOKOSA-N 0 0 439.552 -0.061 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N(c1ccccc1)[C@H]1C=CS(=O)(=O)C1 ZINC000915555800 1072708976 /nfs/dbraw/zinc/70/89/76/1072708976.db2.gz XTGJAKVYZJHJPK-HNNXBMFYSA-N 0 0 431.488 -0.065 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N(c1ccccc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000915555801 1072709061 /nfs/dbraw/zinc/70/90/61/1072709061.db2.gz XTGJAKVYZJHJPK-OAHLLOKOSA-N 0 0 431.488 -0.065 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CCCN2C(=O)CCC2=O)CC1 ZINC000915555960 1072708936 /nfs/dbraw/zinc/70/89/36/1072708936.db2.gz DOGCIEYRFSPVCA-UHFFFAOYSA-N 0 0 443.464 -0.516 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC000915556123 1072709026 /nfs/dbraw/zinc/70/90/26/1072709026.db2.gz APSXBAZDBSNRCN-LLVKDONJSA-N 0 0 434.492 -0.784 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC000915556127 1072709076 /nfs/dbraw/zinc/70/90/76/1072709076.db2.gz APSXBAZDBSNRCN-NSHDSACASA-N 0 0 434.492 -0.784 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC000915557345 1072709008 /nfs/dbraw/zinc/70/90/08/1072709008.db2.gz JQRPIUOMQHLDMT-UHFFFAOYSA-N 0 0 427.479 -0.033 20 0 IBADRN COCCS(=O)(=O)CC(=O)O[C@H](C(=O)N1CCN(c2ncccn2)CC1)C(C)C ZINC000915557625 1072708968 /nfs/dbraw/zinc/70/89/68/1072708968.db2.gz KYPKJMHBIUJOOD-INIZCTEOSA-N 0 0 428.511 -0.246 20 0 IBADRN COCCS(=O)(=O)CC(=O)O[C@@H](C(=O)N1CCN(c2ncccn2)CC1)C(C)C ZINC000915557626 1072708999 /nfs/dbraw/zinc/70/89/99/1072708999.db2.gz KYPKJMHBIUJOOD-MRXNPFEDSA-N 0 0 428.511 -0.246 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000915557985 1072709044 /nfs/dbraw/zinc/70/90/44/1072709044.db2.gz NXSKYMMEEUPRKF-AWEZNQCLSA-N 0 0 425.525 -0.451 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000915557987 1072708990 /nfs/dbraw/zinc/70/89/90/1072708990.db2.gz NXSKYMMEEUPRKF-CQSZACIVSA-N 0 0 425.525 -0.451 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000915559443 1072709613 /nfs/dbraw/zinc/70/96/13/1072709613.db2.gz HCRXQJHLYDEBAG-CABCVRRESA-N 0 0 444.506 -0.347 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000915559444 1072709458 /nfs/dbraw/zinc/70/94/58/1072709458.db2.gz HCRXQJHLYDEBAG-GJZGRUSLSA-N 0 0 444.506 -0.347 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000915559445 1072709866 /nfs/dbraw/zinc/70/98/66/1072709866.db2.gz HCRXQJHLYDEBAG-HUUCEWRRSA-N 0 0 444.506 -0.347 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000915559446 1072709953 /nfs/dbraw/zinc/70/99/53/1072709953.db2.gz HCRXQJHLYDEBAG-LSDHHAIUSA-N 0 0 444.506 -0.347 20 0 IBADRN O=C(C[C@@H]1CCCO1)OCC(=O)N1CCN(C(=O)COC(=O)C[C@@H]2CCCO2)CC1 ZINC000915564840 1072709532 /nfs/dbraw/zinc/70/95/32/1072709532.db2.gz KKECVYHCMJDKJG-HOTGVXAUSA-N 0 0 426.466 -0.118 20 0 IBADRN O=C(C[C@H]1CCCO1)OCC(=O)N1CCN(C(=O)COC(=O)C[C@H]2CCCO2)CC1 ZINC000915564841 1072709433 /nfs/dbraw/zinc/70/94/33/1072709433.db2.gz KKECVYHCMJDKJG-HZPDHXFCSA-N 0 0 426.466 -0.118 20 0 IBADRN O=C(C[C@H]1CCCO1)OCC(=O)N1CCN(C(=O)COC(=O)C[C@@H]2CCCO2)CC1 ZINC000915564842 1072709600 /nfs/dbraw/zinc/70/96/00/1072709600.db2.gz KKECVYHCMJDKJG-IYBDPMFKSA-N 0 0 426.466 -0.118 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC000915573416 1072709936 /nfs/dbraw/zinc/70/99/36/1072709936.db2.gz CYVXDTYIEFOXCN-LLVKDONJSA-N 0 0 438.428 -0.073 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC000915573417 1072710024 /nfs/dbraw/zinc/71/00/24/1072710024.db2.gz CYVXDTYIEFOXCN-NSHDSACASA-N 0 0 438.428 -0.073 20 0 IBADRN CCC(CC)N1C[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000915583734 1072710002 /nfs/dbraw/zinc/71/00/02/1072710002.db2.gz RRTCNWPINIKPPR-DOTOQJQBSA-N 0 0 443.566 -0.102 20 0 IBADRN CCC(CC)N1C[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000915583735 1072709897 /nfs/dbraw/zinc/70/98/97/1072709897.db2.gz RRTCNWPINIKPPR-NVXWUHKLSA-N 0 0 443.566 -0.102 20 0 IBADRN CCC(CC)N1C[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000915583736 1072709980 /nfs/dbraw/zinc/70/99/80/1072709980.db2.gz RRTCNWPINIKPPR-RDJZCZTQSA-N 0 0 443.566 -0.102 20 0 IBADRN CCC(CC)N1C[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000915583737 1072709961 /nfs/dbraw/zinc/70/99/61/1072709961.db2.gz RRTCNWPINIKPPR-WBVHZDCISA-N 0 0 443.566 -0.102 20 0 IBADRN Cn1cc(/C=C/C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)c(=O)n(C)c1=O ZINC000915605603 1072710404 /nfs/dbraw/zinc/71/04/04/1072710404.db2.gz JPCDZFCAVROIJV-CMDGGOBGSA-N 0 0 425.489 -0.199 20 0 IBADRN Cn1cc(/C=C\C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)c(=O)n(C)c1=O ZINC000915605604 1072710466 /nfs/dbraw/zinc/71/04/66/1072710466.db2.gz JPCDZFCAVROIJV-HJWRWDBZSA-N 0 0 425.489 -0.199 20 0 IBADRN COc1cc(CNC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)ccc1OCC(=O)N(C)C ZINC000915606326 1072710370 /nfs/dbraw/zinc/71/03/70/1072710370.db2.gz QBGAUUKILJPNBE-CLFYSBASSA-N 0 0 430.461 -0.111 20 0 IBADRN COc1cc(CNC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)ccc1OCC(=O)N(C)C ZINC000915606327 1072710509 /nfs/dbraw/zinc/71/05/09/1072710509.db2.gz QBGAUUKILJPNBE-VQHVLOKHSA-N 0 0 430.461 -0.111 20 0 IBADRN C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(NS(C)(=O)=O)c1 ZINC000915611504 1072710435 /nfs/dbraw/zinc/71/04/35/1072710435.db2.gz OWKVIMNZJGMRST-LLVKDONJSA-N 0 0 434.478 -0.317 20 0 IBADRN C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(NS(C)(=O)=O)c1 ZINC000915611505 1072710459 /nfs/dbraw/zinc/71/04/59/1072710459.db2.gz OWKVIMNZJGMRST-NSHDSACASA-N 0 0 434.478 -0.317 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000915612351 1072710445 /nfs/dbraw/zinc/71/04/45/1072710445.db2.gz IJXXHOHGKNPWJW-UHFFFAOYSA-N 0 0 445.457 -0.183 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3ccc(N4CCNC(=O)C4)cc3)c2c(=O)n(C)c1=O ZINC000915612784 1072710383 /nfs/dbraw/zinc/71/03/83/1072710383.db2.gz VFALFWHUZHDXQP-UHFFFAOYSA-N 0 0 425.449 -0.601 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CCC(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000915612817 1072710537 /nfs/dbraw/zinc/71/05/37/1072710537.db2.gz XLRPFHNBMJGRAB-UHFFFAOYSA-N 0 0 435.462 -0.112 20 0 IBADRN O=C(NCc1ccc(-n2cncn2)nc1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000915612868 1072710521 /nfs/dbraw/zinc/71/05/21/1072710521.db2.gz BETBZVIVZDTMHO-UHFFFAOYSA-N 0 0 441.473 -0.287 20 0 IBADRN O=C(NCc1ccc(-n2cncn2)nc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000915612882 1072710503 /nfs/dbraw/zinc/71/05/03/1072710503.db2.gz BRZWRAVNRWVWEI-UHFFFAOYSA-N 0 0 441.473 -0.287 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCc2ccc(-n3cncn3)nc2)c1 ZINC000915613385 1072710876 /nfs/dbraw/zinc/71/08/76/1072710876.db2.gz IIKBSMKNDAUHFK-UHFFFAOYSA-N 0 0 443.489 -0.041 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1ccc(-n2cncn2)nc1 ZINC000915613828 1072710830 /nfs/dbraw/zinc/71/08/30/1072710830.db2.gz WHNYOEFBFYXQOT-UHFFFAOYSA-N 0 0 431.478 -0.048 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000915616683 1072710969 /nfs/dbraw/zinc/71/09/69/1072710969.db2.gz QTYGJLLPJJRDHA-UHFFFAOYSA-N 0 0 444.453 -0.002 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000915616720 1072710922 /nfs/dbraw/zinc/71/09/22/1072710922.db2.gz STKGXWMJQVHOTM-UHFFFAOYSA-N 0 0 426.463 -0.141 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC000915617911 1072710954 /nfs/dbraw/zinc/71/09/54/1072710954.db2.gz DCQAICCOEPBKAV-UHFFFAOYSA-N 0 0 426.463 -0.141 20 0 IBADRN COCCS(=O)(=O)CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC000915620051 1072710351 /nfs/dbraw/zinc/71/03/51/1072710351.db2.gz WVVRCYKJOHBCKR-UHFFFAOYSA-N 0 0 426.538 -0.554 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCN3CCN(C(C)=O)CC3)C2=O)cc1 ZINC000915625499 1072710883 /nfs/dbraw/zinc/71/08/83/1072710883.db2.gz XDQQEWLOFORJKK-NRFANRHFSA-N 0 0 431.493 -0.258 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000915635537 1072710964 /nfs/dbraw/zinc/71/09/64/1072710964.db2.gz AXOXFAIAUVRDCN-UHFFFAOYSA-N 0 0 448.548 -0.415 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000915635798 1072710900 /nfs/dbraw/zinc/71/09/00/1072710900.db2.gz PYDJJFPLVCBYIL-UHFFFAOYSA-N 0 0 432.520 -0.217 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000915636782 1072710932 /nfs/dbraw/zinc/71/09/32/1072710932.db2.gz UUHOLQWGCIPSEQ-UHFFFAOYSA-N 0 0 446.507 -0.837 20 0 IBADRN COCCS(=O)(=O)CC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC000915636803 1072710896 /nfs/dbraw/zinc/71/08/96/1072710896.db2.gz WGDRCDMMFJWESD-UHFFFAOYSA-N 0 0 434.536 -0.474 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)cn1 ZINC000915637735 1072711277 /nfs/dbraw/zinc/71/12/77/1072711277.db2.gz UQHUWBVEJCHRDT-GOSISDBHSA-N 0 0 434.478 -0.327 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)cn1 ZINC000915637736 1072711513 /nfs/dbraw/zinc/71/15/13/1072711513.db2.gz UQHUWBVEJCHRDT-SFHVURJKSA-N 0 0 434.478 -0.327 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC000915639430 1072711396 /nfs/dbraw/zinc/71/13/96/1072711396.db2.gz ASVWBCSXITYYBH-UHFFFAOYSA-N 0 0 425.573 -0.299 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2nc(CC3N=NC(=S)N3C)cs2)cn1 ZINC000915639606 1072711471 /nfs/dbraw/zinc/71/14/71/1072711471.db2.gz DOUQDSSBSXDSRA-UHFFFAOYSA-N 0 0 428.525 -0.198 20 0 IBADRN COCCS(=O)(=O)CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000915641402 1072711299 /nfs/dbraw/zinc/71/12/99/1072711299.db2.gz USQBVYSRAZEKRH-UHFFFAOYSA-N 0 0 436.508 -0.284 20 0 IBADRN Cc1cccc(C2N=NC(=S)N2CC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000915642092 1072711417 /nfs/dbraw/zinc/71/14/17/1072711417.db2.gz ZFFXXZXZKOVSJJ-UHFFFAOYSA-N 0 0 427.494 -0.100 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000915645329 1072711452 /nfs/dbraw/zinc/71/14/52/1072711452.db2.gz DFEALJHBFXVLEO-CYBMUJFWSA-N 0 0 429.495 -0.142 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000915645339 1072711495 /nfs/dbraw/zinc/71/14/95/1072711495.db2.gz DFEALJHBFXVLEO-ZDUSSCGKSA-N 0 0 429.495 -0.142 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)CC2)cc1 ZINC000915654100 1072711440 /nfs/dbraw/zinc/71/14/40/1072711440.db2.gz CPPLROSEVJNROP-UHFFFAOYSA-N 0 0 429.502 -0.073 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)CC2)cc1 ZINC000915654717 1072711260 /nfs/dbraw/zinc/71/12/60/1072711260.db2.gz KWRWACJXNQSLKX-UHFFFAOYSA-N 0 0 429.502 -0.073 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(CC(=O)OC)CC2)c1 ZINC000915668847 1072711483 /nfs/dbraw/zinc/71/14/83/1072711483.db2.gz YKHHPGKYCWHIRX-UHFFFAOYSA-N 0 0 428.511 -0.804 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)C2=NN(Cc3ccccc3)C(=O)CC2)CC1)N1CCOCC1 ZINC000915684660 1072711858 /nfs/dbraw/zinc/71/18/58/1072711858.db2.gz AFCCAZWLHWSPAJ-UHFFFAOYSA-N 0 0 441.488 -0.305 20 0 IBADRN O=C(C1=NN(Cc2ccccc2)C(=O)CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000915700304 1072712545 /nfs/dbraw/zinc/71/25/45/1072712545.db2.gz BTOBHICHXFZZES-UHFFFAOYSA-N 0 0 449.533 -0.114 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)cc1OC ZINC000915705232 1072712263 /nfs/dbraw/zinc/71/22/63/1072712263.db2.gz CCAAAQBKPJNBEN-CLFYSBASSA-N 0 0 430.461 -0.063 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC000915708889 1072712284 /nfs/dbraw/zinc/71/22/84/1072712284.db2.gz BHTBWHYGYANWHR-UHFFFAOYSA-N 0 0 426.481 -0.037 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)cc1 ZINC000915714456 1072712924 /nfs/dbraw/zinc/71/29/24/1072712924.db2.gz KAGFTZBRIALHHB-UHFFFAOYSA-N 0 0 445.501 -0.432 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(F)(F)F ZINC000915721608 1072713051 /nfs/dbraw/zinc/71/30/51/1072713051.db2.gz TVNZWVWXAUEQTR-HNNXBMFYSA-N 0 0 445.406 -0.899 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(F)(F)F ZINC000915721631 1072713027 /nfs/dbraw/zinc/71/30/27/1072713027.db2.gz TVNZWVWXAUEQTR-OAHLLOKOSA-N 0 0 445.406 -0.899 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NCC(=O)Nc2ccc(F)c(F)c2)c1 ZINC000915721691 1072712969 /nfs/dbraw/zinc/71/29/69/1072712969.db2.gz WZKRPDCHEXXYRX-UHFFFAOYSA-N 0 0 433.371 -0.127 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000915737922 1072713018 /nfs/dbraw/zinc/71/30/18/1072713018.db2.gz KRPFECVPAHEMOR-AWEZNQCLSA-N 0 0 435.506 -0.525 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000915737923 1072713060 /nfs/dbraw/zinc/71/30/60/1072713060.db2.gz KRPFECVPAHEMOR-CQSZACIVSA-N 0 0 435.506 -0.525 20 0 IBADRN CN(CC(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC000915747184 1072713456 /nfs/dbraw/zinc/71/34/56/1072713456.db2.gz MXWLJQCEJMYVNF-ZDUSSCGKSA-N 0 0 428.536 -0.145 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCOCC2)cc1 ZINC000915755165 1072713674 /nfs/dbraw/zinc/71/36/74/1072713674.db2.gz IOUSNDRDKPLGBB-UHFFFAOYSA-N 0 0 428.467 -0.828 20 0 IBADRN O=C(CCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)N1CCOCC1 ZINC000915755425 1072713691 /nfs/dbraw/zinc/71/36/91/1072713691.db2.gz VZGLHIIXEYROHK-UHFFFAOYSA-N 0 0 437.884 -0.420 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000915757093 1072713399 /nfs/dbraw/zinc/71/33/99/1072713399.db2.gz NDAMWEZIZGXTJT-UHFFFAOYSA-N 0 0 445.501 -0.344 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCN2CCN(C(C)=O)CC2)cc1 ZINC000915763983 1072714094 /nfs/dbraw/zinc/71/40/94/1072714094.db2.gz LBOWTZQWRDGOPF-UHFFFAOYSA-N 0 0 441.510 -0.913 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC000915764464 1072714170 /nfs/dbraw/zinc/71/41/70/1072714170.db2.gz ORYXILPNJSTTRY-UHFFFAOYSA-N 0 0 432.449 -0.098 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000915764508 1072714207 /nfs/dbraw/zinc/71/42/07/1072714207.db2.gz PWZNNLCNLMRFFY-UHFFFAOYSA-N 0 0 432.449 -0.098 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCNC(=O)c2cccnc2)cc1 ZINC000915764521 1072714674 /nfs/dbraw/zinc/71/46/74/1072714674.db2.gz FLEBECQVSTZEGA-UHFFFAOYSA-N 0 0 435.462 -0.252 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)c1 ZINC000915769028 1072714002 /nfs/dbraw/zinc/71/40/02/1072714002.db2.gz ZUHKFYATPTWRBQ-UHFFFAOYSA-N 0 0 445.501 -0.214 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000915775051 1072714786 /nfs/dbraw/zinc/71/47/86/1072714786.db2.gz VVRVMWAKPVMROV-UHFFFAOYSA-N 0 0 444.536 -0.332 20 0 IBADRN CN(CCCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)S(C)(=O)=O ZINC000915776936 1072714817 /nfs/dbraw/zinc/71/48/17/1072714817.db2.gz CPWSIVKGOYLABX-UHFFFAOYSA-N 0 0 445.929 -0.388 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000915776965 1072714650 /nfs/dbraw/zinc/71/46/50/1072714650.db2.gz CTCUOAVBWKUGMT-UHFFFAOYSA-N 0 0 436.512 -0.795 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000915777067 1072714769 /nfs/dbraw/zinc/71/47/69/1072714769.db2.gz GZNPZMSKXCRLIU-UHFFFAOYSA-N 0 0 425.511 -0.651 20 0 IBADRN CC(C)(C)NS(=O)(=O)CCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000915780932 1072714756 /nfs/dbraw/zinc/71/47/56/1072714756.db2.gz GJTZXPFMUNWMFY-UHFFFAOYSA-N 0 0 425.511 -0.605 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000915782527 1072714683 /nfs/dbraw/zinc/71/46/83/1072714683.db2.gz MMOIJUOVMINVER-NRFANRHFSA-N 0 0 447.561 -0.115 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000915782536 1072714662 /nfs/dbraw/zinc/71/46/62/1072714662.db2.gz MMOIJUOVMINVER-OAQYLSRUSA-N 0 0 447.561 -0.115 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCc2ccccc2)cc1 ZINC000915786561 1072714778 /nfs/dbraw/zinc/71/47/78/1072714778.db2.gz ZIYLNUWHQMMAMO-UHFFFAOYSA-N 0 0 426.429 -0.040 20 0 IBADRN Cn1c2c(nc(Br)n2CCCN2C(=O)NC(C)(C)C2=O)c(=O)n(C)c1=O ZINC000915794585 1072715209 /nfs/dbraw/zinc/71/52/09/1072715209.db2.gz XZGKZHOUFNBXKE-UHFFFAOYSA-N 0 0 427.259 -0.083 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)COc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000915794865 1072715089 /nfs/dbraw/zinc/71/50/89/1072715089.db2.gz PQTZQALQFPPWFS-UHFFFAOYSA-N 0 0 434.540 -0.556 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CC(=O)NCC(=O)N2CCCC2)C1=O ZINC000915797854 1072715171 /nfs/dbraw/zinc/71/51/71/1072715171.db2.gz HUUKARDQZHPLHW-IBGZPJMESA-N 0 0 436.490 -0.404 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CC(=O)NCC(=O)N2CCCC2)C1=O ZINC000915797856 1072715222 /nfs/dbraw/zinc/71/52/22/1072715222.db2.gz HUUKARDQZHPLHW-LJQANCHMSA-N 0 0 436.490 -0.404 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000915798582 1072715179 /nfs/dbraw/zinc/71/51/79/1072715179.db2.gz RKJSKQZYMOGRIJ-IBGZPJMESA-N 0 0 438.506 -0.158 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000915798583 1072715164 /nfs/dbraw/zinc/71/51/64/1072715164.db2.gz RKJSKQZYMOGRIJ-LJQANCHMSA-N 0 0 438.506 -0.158 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000915798934 1072715185 /nfs/dbraw/zinc/71/51/85/1072715185.db2.gz WORYBUJLKHVBCB-ADLMAVQZSA-N 0 0 440.478 -0.282 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000915798937 1072715227 /nfs/dbraw/zinc/71/52/27/1072715227.db2.gz WORYBUJLKHVBCB-BBATYDOGSA-N 0 0 440.478 -0.282 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000915798939 1072715117 /nfs/dbraw/zinc/71/51/17/1072715117.db2.gz WORYBUJLKHVBCB-VOJFVSQTSA-N 0 0 440.478 -0.282 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000915798941 1072715637 /nfs/dbraw/zinc/71/56/37/1072715637.db2.gz WORYBUJLKHVBCB-ZMZPIMSZSA-N 0 0 440.478 -0.282 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CC1 ZINC000915799214 1072715723 /nfs/dbraw/zinc/71/57/23/1072715723.db2.gz ZLJQHOCLYJJVIL-IBGZPJMESA-N 0 0 436.490 -0.452 20 0 IBADRN CC(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CC1 ZINC000915799215 1072715657 /nfs/dbraw/zinc/71/56/57/1072715657.db2.gz ZLJQHOCLYJJVIL-LJQANCHMSA-N 0 0 436.490 -0.452 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1C(=O)COCCOCC(=O)N1CCC[C@H]1C(=O)N(C)C ZINC000915836611 1072718588 /nfs/dbraw/zinc/71/85/88/1072718588.db2.gz IXCCBKPPPWKDEY-HOTGVXAUSA-N 0 0 426.514 -0.822 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)COCCOCC(=O)N1CCC[C@@H]1C(=O)N(C)C ZINC000915836612 1072718569 /nfs/dbraw/zinc/71/85/69/1072718569.db2.gz IXCCBKPPPWKDEY-HZPDHXFCSA-N 0 0 426.514 -0.822 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1C(=O)COCCOCC(=O)N1CCC[C@H]1C(=O)N(C)C ZINC000915836613 1072718517 /nfs/dbraw/zinc/71/85/17/1072718517.db2.gz IXCCBKPPPWKDEY-IYBDPMFKSA-N 0 0 426.514 -0.822 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)=NN1c1ccccc1 ZINC000915874940 1072720376 /nfs/dbraw/zinc/72/03/76/1072720376.db2.gz HODZONWAYZOBCP-IBGZPJMESA-N 0 0 448.483 -0.005 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)=NN1c1ccccc1 ZINC000915874941 1072720446 /nfs/dbraw/zinc/72/04/46/1072720446.db2.gz HODZONWAYZOBCP-LJQANCHMSA-N 0 0 448.483 -0.005 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)CC1 ZINC000915878702 1072722450 /nfs/dbraw/zinc/72/24/50/1072722450.db2.gz XJGCKFHBRZITLO-UHFFFAOYSA-N 0 0 433.531 -0.489 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000915878925 1072722476 /nfs/dbraw/zinc/72/24/76/1072722476.db2.gz VLGSJKJFNWDAAT-UHFFFAOYSA-N 0 0 446.574 -0.573 20 0 IBADRN O=C(CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1)Nc1ccc(F)c(F)c1 ZINC000915893747 1072724395 /nfs/dbraw/zinc/72/43/95/1072724395.db2.gz BSGBUIXERGLZSY-UHFFFAOYSA-N 0 0 447.464 -0.193 20 0 IBADRN CC(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000915895025 1072724515 /nfs/dbraw/zinc/72/45/15/1072724515.db2.gz UFWYRZAWJUIJPG-UHFFFAOYSA-N 0 0 446.551 -0.449 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)NCC(=O)N(C)C)CC1 ZINC000915910764 1072724382 /nfs/dbraw/zinc/72/43/82/1072724382.db2.gz ZJVNPXCSHDMPCA-UHFFFAOYSA-N 0 0 446.551 -0.497 20 0 IBADRN CCNC(=O)CNC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000915916260 1072724362 /nfs/dbraw/zinc/72/43/62/1072724362.db2.gz CBPRVOSIIQEFLY-UHFFFAOYSA-N 0 0 446.551 -0.449 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=S)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000916010335 1072725682 /nfs/dbraw/zinc/72/56/82/1072725682.db2.gz DSGNLHYPUQECDR-UHFFFAOYSA-N 0 0 438.539 -0.535 20 0 IBADRN CCS(=O)(=O)NCCNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000916011304 1072725479 /nfs/dbraw/zinc/72/54/79/1072725479.db2.gz YUCVVYNHESDIBM-UHFFFAOYSA-N 0 0 436.581 -0.067 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=S)NCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000916011663 1072725557 /nfs/dbraw/zinc/72/55/57/1072725557.db2.gz YBYXRTNUHREDTA-CALCHBBNSA-N 0 0 449.643 -0.445 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=S)NCCCN3CCOCC3)CC2)C[C@@H](C)O1 ZINC000916011681 1072725649 /nfs/dbraw/zinc/72/56/49/1072725649.db2.gz YBYXRTNUHREDTA-IAGOWNOFSA-N 0 0 449.643 -0.445 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=S)NCCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000916011682 1072725708 /nfs/dbraw/zinc/72/57/08/1072725708.db2.gz YBYXRTNUHREDTA-IRXDYDNUSA-N 0 0 449.643 -0.445 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=S)NCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000916013222 1072725509 /nfs/dbraw/zinc/72/55/09/1072725509.db2.gz PIQRZQJUXWPELD-HOTGVXAUSA-N 0 0 435.616 -0.835 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=S)NCCN3CCOCC3)CC2)C[C@@H](C)O1 ZINC000916013223 1072726076 /nfs/dbraw/zinc/72/60/76/1072726076.db2.gz PIQRZQJUXWPELD-HZPDHXFCSA-N 0 0 435.616 -0.835 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=S)NCCN3CCOCC3)CC2)C[C@H](C)O1 ZINC000916013224 1072726140 /nfs/dbraw/zinc/72/61/40/1072726140.db2.gz PIQRZQJUXWPELD-IYBDPMFKSA-N 0 0 435.616 -0.835 20 0 IBADRN CN(c1ccc(OC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1)S(C)(=O)=O ZINC000916059352 1072726551 /nfs/dbraw/zinc/72/65/51/1072726551.db2.gz BPUIWCSPRVSPFQ-UHFFFAOYSA-N 0 0 435.462 -0.175 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000916067930 1072726865 /nfs/dbraw/zinc/72/68/65/1072726865.db2.gz FWNKXLGPFOTPIA-UHFFFAOYSA-N 0 0 432.458 -0.046 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)cc1 ZINC000916067995 1072726753 /nfs/dbraw/zinc/72/67/53/1072726753.db2.gz HLWFLBBEBZKJPX-UHFFFAOYSA-N 0 0 432.458 -0.046 20 0 IBADRN C[C@H](OC(=O)CC[C@@H]1NC(=O)NC1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000916075621 1072726703 /nfs/dbraw/zinc/72/67/03/1072726703.db2.gz NXYDAUTXCRYZIS-GWCFXTLKSA-N 0 0 426.451 -0.205 20 0 IBADRN C[C@H](OC(=O)CC[C@H]1NC(=O)NC1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000916075622 1072726604 /nfs/dbraw/zinc/72/66/04/1072726604.db2.gz NXYDAUTXCRYZIS-GXFFZTMASA-N 0 0 426.451 -0.205 20 0 IBADRN C[C@@H](OC(=O)CC[C@@H]1NC(=O)NC1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000916075623 1072726790 /nfs/dbraw/zinc/72/67/90/1072726790.db2.gz NXYDAUTXCRYZIS-MFKMUULPSA-N 0 0 426.451 -0.205 20 0 IBADRN C[C@@H](OC(=O)CC[C@H]1NC(=O)NC1=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000916075624 1072726858 /nfs/dbraw/zinc/72/68/58/1072726858.db2.gz NXYDAUTXCRYZIS-ZWNOBZJWSA-N 0 0 426.451 -0.205 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC000916078878 1072726850 /nfs/dbraw/zinc/72/68/50/1072726850.db2.gz RDMKGFNLKMMRQS-AWEZNQCLSA-N 0 0 442.519 -0.160 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC000916078879 1072726796 /nfs/dbraw/zinc/72/67/96/1072726796.db2.gz RDMKGFNLKMMRQS-CQSZACIVSA-N 0 0 442.519 -0.160 20 0 IBADRN CCN1CCN(CC(=O)N(C)CC(=O)Nc2ccc(N3CCOCC3)cc2)C(=O)C1=O ZINC000916094995 1072727468 /nfs/dbraw/zinc/72/74/68/1072727468.db2.gz HGMCFGXFQQCIEY-UHFFFAOYSA-N 0 0 431.493 -0.389 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000916099869 1072727173 /nfs/dbraw/zinc/72/71/73/1072727173.db2.gz PSPGNRGTSDBGHE-AWNIVKPZSA-N 0 0 427.548 -0.138 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000916099870 1072727514 /nfs/dbraw/zinc/72/75/14/1072727514.db2.gz PSPGNRGTSDBGHE-MLPAPPSSSA-N 0 0 427.548 -0.138 20 0 IBADRN C[C@H]1CN(C(=S)NCCN2CCOCC2)CCN1C(=S)NCCN1CCOCC1 ZINC000916112608 1072728478 /nfs/dbraw/zinc/72/84/78/1072728478.db2.gz OGCUZTMAVCGOIJ-KRWDZBQOSA-N 0 0 444.671 -0.594 20 0 IBADRN CC(C)(CNC(=S)NCCN1CCOCC1)CNC(=S)NCCN1CCOCC1 ZINC000916114072 1072728574 /nfs/dbraw/zinc/72/85/74/1072728574.db2.gz FQMAHECRIOMAKL-UHFFFAOYSA-N 0 0 446.687 -0.395 20 0 IBADRN S=C(NCCN1CCOCC1)N1CCCN(C(=S)NCCN2CCOCC2)CC1 ZINC000916116306 1072727985 /nfs/dbraw/zinc/72/79/85/1072727985.db2.gz MNVSVMKYMDSJAC-UHFFFAOYSA-N 0 0 444.671 -0.593 20 0 IBADRN O=C(CS(=O)(=O)CC(=O)NN1CC(=O)NC1=O)Nc1cccc(Br)c1 ZINC000916127734 1072729135 /nfs/dbraw/zinc/72/91/35/1072729135.db2.gz WSXFCJHYDLCXOB-UHFFFAOYSA-N 0 0 433.240 -0.615 20 0 IBADRN CCN(CC(=O)Nc1sc2c(c1C(N)=O)CCC2)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916146966 1072729303 /nfs/dbraw/zinc/72/93/03/1072729303.db2.gz CTXPXECOFDPZEA-LLVKDONJSA-N 0 0 442.563 -0.101 20 0 IBADRN CCN(CC(=O)Nc1sc2c(c1C(N)=O)CCC2)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916146967 1072729026 /nfs/dbraw/zinc/72/90/26/1072729026.db2.gz CTXPXECOFDPZEA-NSHDSACASA-N 0 0 442.563 -0.101 20 0 IBADRN O=C(CN1CCNC(=O)C1)NCCN1C(=O)S/C(=C\c2ccc3c(c2)OCO3)C1=O ZINC000916146997 1072729054 /nfs/dbraw/zinc/72/90/54/1072729054.db2.gz JSLMFEZHJIAQEH-NVNXTCNLSA-N 0 0 432.458 0.000 20 0 IBADRN O=C(CN1CCNC(=O)C1)NCCN1C(=O)S/C(=C/c2ccc3c(c2)OCO3)C1=O ZINC000916146998 1072729338 /nfs/dbraw/zinc/72/93/38/1072729338.db2.gz JSLMFEZHJIAQEH-OVCLIPMQSA-N 0 0 432.458 0.000 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)=NN(c2ccccc2)C1=O ZINC000916156286 1072729736 /nfs/dbraw/zinc/72/97/36/1072729736.db2.gz REMUOWXDTBLYBN-INIZCTEOSA-N 0 0 428.493 -0.672 20 0 IBADRN C[C@H]1NC(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)=NN(c2ccccc2)C1=O ZINC000916156287 1072729556 /nfs/dbraw/zinc/72/95/56/1072729556.db2.gz REMUOWXDTBLYBN-MRXNPFEDSA-N 0 0 428.493 -0.672 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CCO)CC1 ZINC000916163906 1072729635 /nfs/dbraw/zinc/72/96/35/1072729635.db2.gz GDGASEKZCHALIG-KRWDZBQOSA-N 0 0 427.523 -0.147 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CCO)CC1 ZINC000916163907 1072729661 /nfs/dbraw/zinc/72/96/61/1072729661.db2.gz GDGASEKZCHALIG-QGZVFWFLSA-N 0 0 427.523 -0.147 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccc(-n4ccnc4)nc3)CC2)CC1 ZINC000916236161 1072732597 /nfs/dbraw/zinc/73/25/97/1072732597.db2.gz RDCAIEBDVYDYFE-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)/C=C/C(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000916236821 1072732650 /nfs/dbraw/zinc/73/26/50/1072732650.db2.gz AJAWSBQYSHGQGJ-LWHLLYOBSA-N 0 0 426.466 -0.099 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)/C=C/C(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000916236822 1072732665 /nfs/dbraw/zinc/73/26/65/1072732665.db2.gz AJAWSBQYSHGQGJ-QIPZNREISA-N 0 0 426.466 -0.099 20 0 IBADRN O=C(CCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N1CCOCC1 ZINC000916251240 1072733199 /nfs/dbraw/zinc/73/31/99/1072733199.db2.gz ALCVJCTXXYMTCL-UHFFFAOYSA-N 0 0 435.506 -0.288 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC000916295677 1072733302 /nfs/dbraw/zinc/73/33/02/1072733302.db2.gz QSGAVKYZKQVLMG-UHFFFAOYSA-N 0 0 447.583 -0.121 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000916369156 1072733143 /nfs/dbraw/zinc/73/31/43/1072733143.db2.gz SOGMKXMSUBBRRX-CYBMUJFWSA-N 0 0 449.526 -0.159 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC000916369157 1072733155 /nfs/dbraw/zinc/73/31/55/1072733155.db2.gz SOGMKXMSUBBRRX-ZDUSSCGKSA-N 0 0 449.526 -0.159 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000916373400 1072733276 /nfs/dbraw/zinc/73/32/76/1072733276.db2.gz FPBVCFXMMPDEHU-UHFFFAOYSA-N 0 0 442.513 -0.307 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000916374499 1072733894 /nfs/dbraw/zinc/73/38/94/1072733894.db2.gz HQERFCSFUOPLJD-UHFFFAOYSA-N 0 0 430.502 -0.404 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000916375132 1072733871 /nfs/dbraw/zinc/73/38/71/1072733871.db2.gz JOHRVRLBYDKGIW-UHFFFAOYSA-N 0 0 442.513 -0.307 20 0 IBADRN O=C(NC[C@H]1CCS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000916375509 1072733743 /nfs/dbraw/zinc/73/37/43/1072733743.db2.gz ZTTVJHSJKUBELU-CYBMUJFWSA-N 0 0 426.520 -0.103 20 0 IBADRN O=C(NC[C@@H]1CCS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000916375510 1072733657 /nfs/dbraw/zinc/73/36/57/1072733657.db2.gz ZTTVJHSJKUBELU-ZDUSSCGKSA-N 0 0 426.520 -0.103 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC000916376957 1072733830 /nfs/dbraw/zinc/73/38/30/1072733830.db2.gz XFQLAMIHKPKKBR-UHFFFAOYSA-N 0 0 428.486 -0.115 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)C(F)(F)F ZINC000916377109 1072733813 /nfs/dbraw/zinc/73/38/13/1072733813.db2.gz PJAJTIYWZMDUQR-KRWDZBQOSA-N 0 0 446.430 -0.308 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)C(F)(F)F ZINC000916377110 1072733772 /nfs/dbraw/zinc/73/37/72/1072733772.db2.gz PJAJTIYWZMDUQR-QGZVFWFLSA-N 0 0 446.430 -0.308 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000916379226 1072733757 /nfs/dbraw/zinc/73/37/57/1072733757.db2.gz AECFYDLKCPFTBT-AWEZNQCLSA-N 0 0 442.464 -0.306 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000916379227 1072733914 /nfs/dbraw/zinc/73/39/14/1072733914.db2.gz AECFYDLKCPFTBT-CQSZACIVSA-N 0 0 442.464 -0.306 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000916379434 1072733679 /nfs/dbraw/zinc/73/36/79/1072733679.db2.gz CUFBHHGSMKOWBT-KRWDZBQOSA-N 0 0 448.446 -0.062 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC000916379435 1072733821 /nfs/dbraw/zinc/73/38/21/1072733821.db2.gz CUFBHHGSMKOWBT-QGZVFWFLSA-N 0 0 448.446 -0.062 20 0 IBADRN O=C(NCc1ccc(-n2cncn2)nc1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000916394647 1072733858 /nfs/dbraw/zinc/73/38/58/1072733858.db2.gz DOQGTQMKNBJCED-UHFFFAOYSA-N 0 0 436.498 -0.934 20 0 IBADRN O=C(COC(=O)COc1ccc2ccc(=O)oc2c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916397777 1072734846 /nfs/dbraw/zinc/73/48/46/1072734846.db2.gz QMSCLJGMTYKDOM-GFCCVEGCSA-N 0 0 438.414 -0.272 20 0 IBADRN O=C(COC(=O)COc1ccc2ccc(=O)oc2c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916397778 1072734778 /nfs/dbraw/zinc/73/47/78/1072734778.db2.gz QMSCLJGMTYKDOM-LBPRGKRZSA-N 0 0 438.414 -0.272 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)NC[C@@H]1COc2ccccc2O1 ZINC000916397802 1072734885 /nfs/dbraw/zinc/73/48/85/1072734885.db2.gz SFUULQNLHVRTEB-CYBMUJFWSA-N 0 0 433.442 -0.017 20 0 IBADRN O=C(COC(=O)C1=CC=CN2CCS(=O)(=O)N=C12)NC[C@H]1COc2ccccc2O1 ZINC000916397803 1072734988 /nfs/dbraw/zinc/73/49/88/1072734988.db2.gz SFUULQNLHVRTEB-ZDUSSCGKSA-N 0 0 433.442 -0.017 20 0 IBADRN O=C(COC(=O)CCN1C(=O)c2ccccc2C1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916398373 1072734869 /nfs/dbraw/zinc/73/48/69/1072734869.db2.gz TZBRRCGVEUJNEX-LLVKDONJSA-N 0 0 437.430 -0.771 20 0 IBADRN O=C(COC(=O)CCN1C(=O)c2ccccc2C1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916398374 1072734954 /nfs/dbraw/zinc/73/49/54/1072734954.db2.gz TZBRRCGVEUJNEX-NSHDSACASA-N 0 0 437.430 -0.771 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000916400904 1072733904 /nfs/dbraw/zinc/73/39/04/1072733904.db2.gz OYNNVHAMQYBLJH-LLVKDONJSA-N 0 0 429.451 -0.022 20 0 IBADRN CCOC(=O)c1[nH]c(C)c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000916400905 1072734333 /nfs/dbraw/zinc/73/43/33/1072734333.db2.gz OYNNVHAMQYBLJH-NSHDSACASA-N 0 0 429.451 -0.022 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000916403533 1072734194 /nfs/dbraw/zinc/73/41/94/1072734194.db2.gz GLVKEEFMIDFZIZ-UHFFFAOYSA-N 0 0 449.489 -0.346 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000916404865 1072734367 /nfs/dbraw/zinc/73/43/67/1072734367.db2.gz GNJOJBMTDPMNAG-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN O=C(COC(=O)C1=NN(c2ccccc2)C(=O)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916405780 1072734219 /nfs/dbraw/zinc/73/42/19/1072734219.db2.gz YPOYKIHAAGFTEQ-GFCCVEGCSA-N 0 0 436.446 -0.275 20 0 IBADRN O=C(COC(=O)C1=NN(c2ccccc2)C(=O)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916405782 1072734302 /nfs/dbraw/zinc/73/43/02/1072734302.db2.gz YPOYKIHAAGFTEQ-LBPRGKRZSA-N 0 0 436.446 -0.275 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1C ZINC000916406958 1072734205 /nfs/dbraw/zinc/73/42/05/1072734205.db2.gz QACSXXWPALGTEY-UHFFFAOYSA-N 0 0 449.489 -0.428 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cccc(N4C(=O)CCC4=O)c3)c2c(=O)n(C)c1=O ZINC000916407477 1072734321 /nfs/dbraw/zinc/73/43/21/1072734321.db2.gz BIDYSZDKHZZJNS-UHFFFAOYSA-N 0 0 425.401 -0.056 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(N4C(=O)CCC4=O)cc3)c2c(=O)n(C)c1=O ZINC000916407723 1072734341 /nfs/dbraw/zinc/73/43/41/1072734341.db2.gz IWHXBCOIIJEGRR-UHFFFAOYSA-N 0 0 425.401 -0.056 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000916407737 1072734255 /nfs/dbraw/zinc/73/42/55/1072734255.db2.gz JSYURBHCKGVRHD-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)NC(=O)CS2)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916408362 1072734281 /nfs/dbraw/zinc/73/42/81/1072734281.db2.gz BNWZVSMHFICEDP-JTQLQIEISA-N 0 0 427.460 -0.100 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)NC(=O)CS2)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916408363 1072734295 /nfs/dbraw/zinc/73/42/95/1072734295.db2.gz BNWZVSMHFICEDP-SNVBAGLBSA-N 0 0 427.460 -0.100 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000916408777 1072734231 /nfs/dbraw/zinc/73/42/31/1072734231.db2.gz CDBFQUXJBGIHEF-NRFANRHFSA-N 0 0 427.461 -0.597 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000916408779 1072734363 /nfs/dbraw/zinc/73/43/63/1072734363.db2.gz CDBFQUXJBGIHEF-OAQYLSRUSA-N 0 0 427.461 -0.597 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1F ZINC000916408854 1072734184 /nfs/dbraw/zinc/73/41/84/1072734184.db2.gz DQTKTPZJRNUFTB-UHFFFAOYSA-N 0 0 426.470 -0.361 20 0 IBADRN COc1cccc(/C=C(/C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n2nnnc2C)c1 ZINC000916408969 1072734351 /nfs/dbraw/zinc/73/43/51/1072734351.db2.gz KCOKWFDKROIQFX-AQTBWJFISA-N 0 0 439.476 -0.062 20 0 IBADRN COc1cccc(/C=C(\C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n2nnnc2C)c1 ZINC000916408970 1072734242 /nfs/dbraw/zinc/73/42/42/1072734242.db2.gz KCOKWFDKROIQFX-QGOAFFKASA-N 0 0 439.476 -0.062 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000916408972 1072734312 /nfs/dbraw/zinc/73/43/12/1072734312.db2.gz KIHFZCIMQQDAGD-OAQYLSRUSA-N 0 0 445.451 -0.458 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000916408991 1072734270 /nfs/dbraw/zinc/73/42/70/1072734270.db2.gz LBHPBNZLHFOIJM-JOCHJYFZSA-N 0 0 441.488 -0.289 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000916408992 1072734820 /nfs/dbraw/zinc/73/48/20/1072734820.db2.gz LBHPBNZLHFOIJM-QFIPXVFZSA-N 0 0 441.488 -0.289 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)=NN1c1ccc(F)cc1 ZINC000916409205 1072734834 /nfs/dbraw/zinc/73/48/34/1072734834.db2.gz YLJXFKVRHPNYKC-INIZCTEOSA-N 0 0 430.440 -0.805 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)=NN1c1ccc(F)cc1 ZINC000916409206 1072734725 /nfs/dbraw/zinc/73/47/25/1072734725.db2.gz YLJXFKVRHPNYKC-MRXNPFEDSA-N 0 0 430.440 -0.805 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000916409700 1072734710 /nfs/dbraw/zinc/73/47/10/1072734710.db2.gz FRNJYMAKUSIFRU-HNNXBMFYSA-N 0 0 449.895 -0.737 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000916409701 1072734739 /nfs/dbraw/zinc/73/47/39/1072734739.db2.gz FRNJYMAKUSIFRU-OAHLLOKOSA-N 0 0 449.895 -0.737 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)n(C)c1 ZINC000916409718 1072734963 /nfs/dbraw/zinc/73/49/63/1072734963.db2.gz GVRGVVBIYCFYJA-UHFFFAOYSA-N 0 0 439.538 -0.381 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000916409929 1072734857 /nfs/dbraw/zinc/73/48/57/1072734857.db2.gz OMNWSOOQNMGOOT-UHFFFAOYSA-N 0 0 433.490 -0.983 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000916409935 1072734750 /nfs/dbraw/zinc/73/47/50/1072734750.db2.gz ONKXTUNMKXJFKO-JOCHJYFZSA-N 0 0 439.472 -0.671 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000916409939 1072734763 /nfs/dbraw/zinc/73/47/63/1072734763.db2.gz ONKXTUNMKXJFKO-QFIPXVFZSA-N 0 0 439.472 -0.671 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)NC3CC3)c2)CC1 ZINC000916410334 1072734971 /nfs/dbraw/zinc/73/49/71/1072734971.db2.gz ZCJKHPKBFCUSQA-UHFFFAOYSA-N 0 0 438.481 -0.171 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC3CCC4(CC3)OCCO4)CC2)CC1 ZINC000916412213 1072735500 /nfs/dbraw/zinc/73/55/00/1072735500.db2.gz AMRXAAOLUYYPBN-UHFFFAOYSA-N 0 0 431.559 -0.509 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCN3C(=O)[C@@H]4CCCC[C@@H]4C3=O)c2c(=O)n(C)c1=O ZINC000916412915 1072735433 /nfs/dbraw/zinc/73/54/33/1072735433.db2.gz SZZJPZWZKVXXPB-BETUJISGSA-N 0 0 431.449 -0.458 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCN3C(=O)[C@H]4CCCC[C@@H]4C3=O)c2c(=O)n(C)c1=O ZINC000916412916 1072735417 /nfs/dbraw/zinc/73/54/17/1072735417.db2.gz SZZJPZWZKVXXPB-STQMWFEESA-N 0 0 431.449 -0.458 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000916413745 1072735528 /nfs/dbraw/zinc/73/55/28/1072735528.db2.gz GNNHHEODNIOMGP-LLVKDONJSA-N 0 0 429.451 -0.022 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000916413747 1072735474 /nfs/dbraw/zinc/73/54/74/1072735474.db2.gz GNNHHEODNIOMGP-NSHDSACASA-N 0 0 429.451 -0.022 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)c1cccs1 ZINC000916415562 1072735984 /nfs/dbraw/zinc/73/59/84/1072735984.db2.gz WFIXDHGEUAOFHE-JTQLQIEISA-N 0 0 427.460 -0.287 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NC(=O)c1cccs1 ZINC000916415563 1072736048 /nfs/dbraw/zinc/73/60/48/1072736048.db2.gz WFIXDHGEUAOFHE-SNVBAGLBSA-N 0 0 427.460 -0.287 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3cccnc3-n3ccnc3)CC2)CC1 ZINC000916416220 1072735899 /nfs/dbraw/zinc/73/58/99/1072735899.db2.gz PEWCQBMYWBORHY-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000916424839 1072735551 /nfs/dbraw/zinc/73/55/51/1072735551.db2.gz CKZLQTZFUMLYKI-UHFFFAOYSA-N 0 0 449.489 -0.023 20 0 IBADRN COc1cc(C=O)ccc1OCC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916425590 1072735521 /nfs/dbraw/zinc/73/55/21/1072735521.db2.gz NOGNNARIZLEPSN-GFCCVEGCSA-N 0 0 428.419 -0.557 20 0 IBADRN COc1cc(C=O)ccc1OCC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916425591 1072735544 /nfs/dbraw/zinc/73/55/44/1072735544.db2.gz NOGNNARIZLEPSN-LBPRGKRZSA-N 0 0 428.419 -0.557 20 0 IBADRN Cn1c2ncn(CCOC(=O)CN3C(=O)NC4(CCCCC4)C3=O)c2c(=O)n(C)c1=O ZINC000916428399 1072735578 /nfs/dbraw/zinc/73/55/78/1072735578.db2.gz BSDOXQDQXIPUIA-UHFFFAOYSA-N 0 0 432.437 -0.768 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)OCCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000916428820 1072735535 /nfs/dbraw/zinc/73/55/35/1072735535.db2.gz KLZLFKYYPUUNOO-UHFFFAOYSA-N 0 0 446.464 -0.522 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)no1 ZINC000916431418 1072736620 /nfs/dbraw/zinc/73/66/20/1072736620.db2.gz IQLCAPRMRFTPSI-UHFFFAOYSA-N 0 0 436.450 -0.355 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)=NN1c1ccc(F)cc1 ZINC000916433194 1072736598 /nfs/dbraw/zinc/73/65/98/1072736598.db2.gz FXLYJMYOPSYCIU-KRWDZBQOSA-N 0 0 444.467 -0.461 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)=NN1c1ccc(F)cc1 ZINC000916433195 1072736525 /nfs/dbraw/zinc/73/65/25/1072736525.db2.gz FXLYJMYOPSYCIU-QGZVFWFLSA-N 0 0 444.467 -0.461 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000916433219 1072736552 /nfs/dbraw/zinc/73/65/52/1072736552.db2.gz HHJZHQXEGDTHTE-UHFFFAOYSA-N 0 0 448.549 -0.373 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000916433436 1072736375 /nfs/dbraw/zinc/73/63/75/1072736375.db2.gz QQOSTJCKMANWDU-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000916433477 1072736582 /nfs/dbraw/zinc/73/65/82/1072736582.db2.gz WRHNECWYWATAQF-JOCHJYFZSA-N 0 0 441.488 -0.253 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)C1=O ZINC000916433478 1072736633 /nfs/dbraw/zinc/73/66/33/1072736633.db2.gz WRHNECWYWATAQF-QFIPXVFZSA-N 0 0 441.488 -0.253 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1F ZINC000916434475 1072736607 /nfs/dbraw/zinc/73/66/07/1072736607.db2.gz GGWKBOVQFNUKSK-UHFFFAOYSA-N 0 0 440.497 -0.017 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000916434696 1072736916 /nfs/dbraw/zinc/73/69/16/1072736916.db2.gz PNOUQQZASCRXDG-UHFFFAOYSA-N 0 0 447.517 -0.640 20 0 IBADRN O=C(C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)CC1)N1CCCC1 ZINC000916434709 1072736944 /nfs/dbraw/zinc/73/69/44/1072736944.db2.gz QYBIEERFPOCEJG-UHFFFAOYSA-N 0 0 438.506 -0.029 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000916434713 1072736952 /nfs/dbraw/zinc/73/69/52/1072736952.db2.gz RLHKOCQMRBQDHX-UHFFFAOYSA-N 0 0 438.506 -0.225 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)=NN1c1ccccc1 ZINC000916434741 1072736956 /nfs/dbraw/zinc/73/69/56/1072736956.db2.gz WTJSPGPECBLESH-KRWDZBQOSA-N 0 0 426.477 -0.600 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)=NN1c1ccccc1 ZINC000916434742 1072736971 /nfs/dbraw/zinc/73/69/71/1072736971.db2.gz WTJSPGPECBLESH-QGZVFWFLSA-N 0 0 426.477 -0.600 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1=O ZINC000916434754 1072736982 /nfs/dbraw/zinc/73/69/82/1072736982.db2.gz YFWWNZGHBUUVTF-UHFFFAOYSA-N 0 0 441.488 -0.524 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000916435457 1072737040 /nfs/dbraw/zinc/73/70/40/1072737040.db2.gz FAGIEDHLHNABNE-FKIZINRSSA-N 0 0 446.464 -0.522 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000916435458 1072737054 /nfs/dbraw/zinc/73/70/54/1072737054.db2.gz FAGIEDHLHNABNE-MPBGBICISA-N 0 0 446.464 -0.522 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)OCCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000916435459 1072736923 /nfs/dbraw/zinc/73/69/23/1072736923.db2.gz FAGIEDHLHNABNE-ODXCJYRJSA-N 0 0 446.464 -0.522 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)OCCn1cnc3c1c(=O)n(C)c(=O)n3C)C2=O ZINC000916435460 1072736962 /nfs/dbraw/zinc/73/69/62/1072736962.db2.gz FAGIEDHLHNABNE-YUNKPMOVSA-N 0 0 446.464 -0.522 20 0 IBADRN Cn1c2ncn(CCOC(=O)CNS(=O)(=O)c3cccc(F)c3)c2c(=O)n(C)c1=O ZINC000916437808 1072735959 /nfs/dbraw/zinc/73/59/59/1072735959.db2.gz JDPHOXWQYYSCGX-UHFFFAOYSA-N 0 0 439.425 -0.906 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000916440504 1072736030 /nfs/dbraw/zinc/73/60/30/1072736030.db2.gz DARBFMPSSATUKB-GFCCVEGCSA-N 0 0 447.491 -0.893 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000916440505 1072735919 /nfs/dbraw/zinc/73/59/19/1072735919.db2.gz DARBFMPSSATUKB-LBPRGKRZSA-N 0 0 447.491 -0.893 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000916440515 1072736644 /nfs/dbraw/zinc/73/66/44/1072736644.db2.gz DRAYEEXGOAPQCL-UHFFFAOYSA-N 0 0 435.462 -0.459 20 0 IBADRN COc1cc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1OC ZINC000916443533 1072736459 /nfs/dbraw/zinc/73/64/59/1072736459.db2.gz KBLRHMMYDSDNSP-LLVKDONJSA-N 0 0 430.435 -0.118 20 0 IBADRN COc1cc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1OC ZINC000916443534 1072736590 /nfs/dbraw/zinc/73/65/90/1072736590.db2.gz KBLRHMMYDSDNSP-NSHDSACASA-N 0 0 430.435 -0.118 20 0 IBADRN COc1cc(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1OC ZINC000916443576 1072736397 /nfs/dbraw/zinc/73/63/97/1072736397.db2.gz NCYDWMBWDOGXIR-GFCCVEGCSA-N 0 0 444.462 -0.189 20 0 IBADRN COc1cc(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1OC ZINC000916443578 1072736575 /nfs/dbraw/zinc/73/65/75/1072736575.db2.gz NCYDWMBWDOGXIR-LBPRGKRZSA-N 0 0 444.462 -0.189 20 0 IBADRN COc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c(OC)c1OC ZINC000916445566 1072736546 /nfs/dbraw/zinc/73/65/46/1072736546.db2.gz BENIZPCTAOPSMX-JTQLQIEISA-N 0 0 430.435 -0.118 20 0 IBADRN COc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c(OC)c1OC ZINC000916445567 1072736539 /nfs/dbraw/zinc/73/65/39/1072736539.db2.gz BENIZPCTAOPSMX-SNVBAGLBSA-N 0 0 430.435 -0.118 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3ccc(NS(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000916446431 1072736412 /nfs/dbraw/zinc/73/64/12/1072736412.db2.gz GXCDLERTIUBJIV-UHFFFAOYSA-N 0 0 449.445 -0.769 20 0 IBADRN COc1ccccc1CN(C)C(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000916447163 1072736474 /nfs/dbraw/zinc/73/64/74/1072736474.db2.gz OOHUEQAKJZZSJY-UHFFFAOYSA-N 0 0 429.433 -0.356 20 0 IBADRN Cc1cc(C)cc(C(=O)NCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000916449093 1072737384 /nfs/dbraw/zinc/73/73/84/1072737384.db2.gz WZCPLCAGTWULEO-AWEZNQCLSA-N 0 0 425.463 -0.411 20 0 IBADRN Cc1cc(C)cc(C(=O)NCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000916449096 1072737461 /nfs/dbraw/zinc/73/74/61/1072737461.db2.gz WZCPLCAGTWULEO-CQSZACIVSA-N 0 0 425.463 -0.411 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916451113 1072737518 /nfs/dbraw/zinc/73/75/18/1072737518.db2.gz CDWPYZPVFKKKFR-GFCCVEGCSA-N 0 0 441.462 -0.629 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916451114 1072737415 /nfs/dbraw/zinc/73/74/15/1072737415.db2.gz CDWPYZPVFKKKFR-LBPRGKRZSA-N 0 0 441.462 -0.629 20 0 IBADRN COc1cc(OC)c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC000916452258 1072737026 /nfs/dbraw/zinc/73/70/26/1072737026.db2.gz FSSUJYDCUJDOLX-JTQLQIEISA-N 0 0 430.435 -0.118 20 0 IBADRN COc1cc(OC)c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC000916452259 1072736935 /nfs/dbraw/zinc/73/69/35/1072736935.db2.gz FSSUJYDCUJDOLX-SNVBAGLBSA-N 0 0 430.435 -0.118 20 0 IBADRN C[C@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1C(=O)c2ccccc2C1=O ZINC000916459272 1072736987 /nfs/dbraw/zinc/73/69/87/1072736987.db2.gz QLKPJEOXGPGZIJ-GHMZBOCLSA-N 0 0 437.430 -0.773 20 0 IBADRN C[C@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1C(=O)c2ccccc2C1=O ZINC000916459273 1072736976 /nfs/dbraw/zinc/73/69/76/1072736976.db2.gz QLKPJEOXGPGZIJ-MNOVXSKESA-N 0 0 437.430 -0.773 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)N1C(=O)c2ccccc2C1=O ZINC000916459274 1072736993 /nfs/dbraw/zinc/73/69/93/1072736993.db2.gz QLKPJEOXGPGZIJ-QWRGUYRKSA-N 0 0 437.430 -0.773 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)N1C(=O)c2ccccc2C1=O ZINC000916459275 1072736966 /nfs/dbraw/zinc/73/69/66/1072736966.db2.gz QLKPJEOXGPGZIJ-WDEREUQCSA-N 0 0 437.430 -0.773 20 0 IBADRN COc1ccc(C(=O)NCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000916459280 1072736904 /nfs/dbraw/zinc/73/69/04/1072736904.db2.gz REIJQWFQMXTKTG-UHFFFAOYSA-N 0 0 445.432 -0.576 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000916459554 1072737444 /nfs/dbraw/zinc/73/74/44/1072737444.db2.gz QWODQKCUSIFGNK-GFCCVEGCSA-N 0 0 447.491 -0.893 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000916459555 1072737390 /nfs/dbraw/zinc/73/73/90/1072737390.db2.gz QWODQKCUSIFGNK-LBPRGKRZSA-N 0 0 447.491 -0.893 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(Cl)c(S(N)(=O)=O)c3)c2c(=O)n(C)c1=O ZINC000916467253 1072737404 /nfs/dbraw/zinc/73/74/04/1072737404.db2.gz QAFQRXZKITXQFG-UHFFFAOYSA-N 0 0 441.853 -0.409 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000916476078 1072737546 /nfs/dbraw/zinc/73/75/46/1072737546.db2.gz NWFYQCVPLFYQNJ-AWEZNQCLSA-N 0 0 426.520 -0.296 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000916476083 1072737424 /nfs/dbraw/zinc/73/74/24/1072737424.db2.gz NWFYQCVPLFYQNJ-CQSZACIVSA-N 0 0 426.520 -0.296 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000916476553 1072737509 /nfs/dbraw/zinc/73/75/09/1072737509.db2.gz TZRYRZUYOJHOHR-UHFFFAOYSA-N 0 0 440.547 -0.049 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000916476573 1072737490 /nfs/dbraw/zinc/73/74/90/1072737490.db2.gz QGRCERPEWFQYBR-UHFFFAOYSA-N 0 0 426.458 -0.211 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1=O ZINC000916476959 1072737537 /nfs/dbraw/zinc/73/75/37/1072737537.db2.gz VISPCJPMEWZZBE-UHFFFAOYSA-N 0 0 433.490 -0.046 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)N1CCN(C3=NS(=O)(=O)c4ccccc43)CC1)c2=O ZINC000916476971 1072737527 /nfs/dbraw/zinc/73/75/27/1072737527.db2.gz VVLYZEGNRDKHHF-UHFFFAOYSA-N 0 0 441.473 -0.577 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCNS(=O)(=O)c3cccs3)c2c(=O)n(C)c1=O ZINC000916482990 1072737943 /nfs/dbraw/zinc/73/79/43/1072737943.db2.gz PTWBZANWHBUKRX-UHFFFAOYSA-N 0 0 441.491 -0.593 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000916484583 1072737980 /nfs/dbraw/zinc/73/79/80/1072737980.db2.gz BYRFVNZOUDVHDU-UHFFFAOYSA-N 0 0 445.432 -0.576 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC000916485284 1072737885 /nfs/dbraw/zinc/73/78/85/1072737885.db2.gz RBHWGVLRCVFUFV-AWEZNQCLSA-N 0 0 425.463 -0.411 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC000916485285 1072737993 /nfs/dbraw/zinc/73/79/93/1072737993.db2.gz RBHWGVLRCVFUFV-CQSZACIVSA-N 0 0 425.463 -0.411 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000916485345 1072737959 /nfs/dbraw/zinc/73/79/59/1072737959.db2.gz VIGXIHPCGAFXAI-CYBMUJFWSA-N 0 0 441.462 -0.629 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000916485346 1072738036 /nfs/dbraw/zinc/73/80/36/1072738036.db2.gz VIGXIHPCGAFXAI-ZDUSSCGKSA-N 0 0 441.462 -0.629 20 0 IBADRN Cn1c2ncn(CCOC(=O)CNC(=O)c3ccc4c(c3)OCO4)c2c(=O)n(C)c1=O ZINC000916485777 1072737895 /nfs/dbraw/zinc/73/78/95/1072737895.db2.gz ZSQPIWOGSBKHLM-UHFFFAOYSA-N 0 0 429.389 -0.864 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cc(S(N)(=O)=O)ccc3Cl)c2c(=O)n(C)c1=O ZINC000916488399 1072737849 /nfs/dbraw/zinc/73/78/49/1072737849.db2.gz KALGIHOTLCWNIV-UHFFFAOYSA-N 0 0 441.853 -0.409 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cc(S(N)(=O)=O)ccc3F)c2c(=O)n(C)c1=O ZINC000916490990 1072737906 /nfs/dbraw/zinc/73/79/06/1072737906.db2.gz SEKYLVQUTFVPPT-UHFFFAOYSA-N 0 0 425.398 -0.923 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000916495739 1072738030 /nfs/dbraw/zinc/73/80/30/1072738030.db2.gz UFEXVAMDRUHMOI-GFCCVEGCSA-N 0 0 447.491 -0.846 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000916495741 1072737936 /nfs/dbraw/zinc/73/79/36/1072737936.db2.gz UFEXVAMDRUHMOI-LBPRGKRZSA-N 0 0 447.491 -0.846 20 0 IBADRN Cn1c2ncn(CCOC(=O)CNS(=O)(=O)/C=C/c3ccccc3)c2c(=O)n(C)c1=O ZINC000916495861 1072737950 /nfs/dbraw/zinc/73/79/50/1072737950.db2.gz WUFTZSCHZHLLFR-DHZHZOJOSA-N 0 0 447.473 -0.433 20 0 IBADRN Cn1c2ncn(CCOC(=O)CNS(=O)(=O)/C=C\c3ccccc3)c2c(=O)n(C)c1=O ZINC000916495863 1072738063 /nfs/dbraw/zinc/73/80/63/1072738063.db2.gz WUFTZSCHZHLLFR-FLIBITNWSA-N 0 0 447.473 -0.433 20 0 IBADRN CS(=O)(=O)Nc1ccc(C(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000916497003 1072738386 /nfs/dbraw/zinc/73/83/86/1072738386.db2.gz CXAVNLLMWYXQKM-UHFFFAOYSA-N 0 0 440.459 -0.246 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)NC[C@@H]1COc2ccccc2O1 ZINC000916497326 1072738368 /nfs/dbraw/zinc/73/83/68/1072738368.db2.gz RFRSVVPPLAEVJJ-GFCCVEGCSA-N 0 0 434.430 -0.545 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)NC[C@H]1COc2ccccc2O1 ZINC000916497327 1072738468 /nfs/dbraw/zinc/73/84/68/1072738468.db2.gz RFRSVVPPLAEVJJ-LBPRGKRZSA-N 0 0 434.430 -0.545 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000916497498 1072738348 /nfs/dbraw/zinc/73/83/48/1072738348.db2.gz WRISYHUPKAEIGQ-UHFFFAOYSA-N 0 0 449.489 -0.103 20 0 IBADRN CS(=O)(=O)Nc1cccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000916501213 1072738810 /nfs/dbraw/zinc/73/88/10/1072738810.db2.gz ALTCNIIGCDJGOR-GFCCVEGCSA-N 0 0 433.464 -0.772 20 0 IBADRN CS(=O)(=O)Nc1cccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000916501214 1072738978 /nfs/dbraw/zinc/73/89/78/1072738978.db2.gz ALTCNIIGCDJGOR-LBPRGKRZSA-N 0 0 433.464 -0.772 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000916502292 1072738904 /nfs/dbraw/zinc/73/89/04/1072738904.db2.gz ROXVQNABHVVXLD-UHFFFAOYSA-N 0 0 449.489 -0.428 20 0 IBADRN Cn1c2ncn(CCOC(=O)CNS(=O)(=O)c3ccccc3F)c2c(=O)n(C)c1=O ZINC000916503903 1072738984 /nfs/dbraw/zinc/73/89/84/1072738984.db2.gz KOUHOJGHHRENNZ-UHFFFAOYSA-N 0 0 439.425 -0.906 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc4c(c3)nc3n(c4=O)CCC3)c2c(=O)n(C)c1=O ZINC000916509640 1072738456 /nfs/dbraw/zinc/73/84/56/1072738456.db2.gz NAOIXMMUTAFRKG-UHFFFAOYSA-N 0 0 436.428 -0.053 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)nc1n(c2=O)CCC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916509901 1072738437 /nfs/dbraw/zinc/73/84/37/1072738437.db2.gz ZATLZRKDVUYJRZ-GFCCVEGCSA-N 0 0 448.457 -0.488 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)nc1n(c2=O)CCC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916509902 1072738473 /nfs/dbraw/zinc/73/84/73/1072738473.db2.gz ZATLZRKDVUYJRZ-LBPRGKRZSA-N 0 0 448.457 -0.488 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000916510917 1072738395 /nfs/dbraw/zinc/73/83/95/1072738395.db2.gz HFLODSDLZGDLDG-PTNGSMBKSA-N 0 0 436.432 -0.030 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000916510938 1072738412 /nfs/dbraw/zinc/73/84/12/1072738412.db2.gz HFLODSDLZGDLDG-RVDMUPIBSA-N 0 0 436.432 -0.030 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916511176 1072738433 /nfs/dbraw/zinc/73/84/33/1072738433.db2.gz LNPBMEDQPFSNFK-AHSSXEFNSA-N 0 0 448.461 -0.464 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000916511177 1072738465 /nfs/dbraw/zinc/73/84/65/1072738465.db2.gz LNPBMEDQPFSNFK-BUYRESAOSA-N 0 0 448.461 -0.464 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916511178 1072738418 /nfs/dbraw/zinc/73/84/18/1072738418.db2.gz LNPBMEDQPFSNFK-UWZYDCLUSA-N 0 0 448.461 -0.464 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000916511179 1072738454 /nfs/dbraw/zinc/73/84/54/1072738454.db2.gz LNPBMEDQPFSNFK-WJQTUHTMSA-N 0 0 448.461 -0.464 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000916515921 1072738357 /nfs/dbraw/zinc/73/83/57/1072738357.db2.gz AUFOMOGOPBDMGK-UHFFFAOYSA-N 0 0 429.524 -0.598 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000916516878 1072738379 /nfs/dbraw/zinc/73/83/79/1072738379.db2.gz MFNBSIIABKKFKQ-UHFFFAOYSA-N 0 0 439.538 -0.516 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000916517024 1072738443 /nfs/dbraw/zinc/73/84/43/1072738443.db2.gz WFUPGMCRAPTHKK-UHFFFAOYSA-N 0 0 437.522 -0.762 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000916517158 1072738423 /nfs/dbraw/zinc/73/84/23/1072738423.db2.gz VBSNKCFJTYNPIY-UHFFFAOYSA-N 0 0 448.549 -0.327 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000916517167 1072738913 /nfs/dbraw/zinc/73/89/13/1072738913.db2.gz VWKUBPJLMNSIJV-UHFFFAOYSA-N 0 0 425.555 -0.042 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000916517380 1072738839 /nfs/dbraw/zinc/73/88/39/1072738839.db2.gz UJWCDQRIGJRXKS-UHFFFAOYSA-N 0 0 433.556 -0.760 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)OCC(=O)NC(N)=O)CC2=O)cc1 ZINC000916522616 1072738799 /nfs/dbraw/zinc/73/87/99/1072738799.db2.gz HRLLEHRDKHQVPN-GFCCVEGCSA-N 0 0 440.478 -0.192 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)OCC(=O)NC(N)=O)CC2=O)cc1 ZINC000916522617 1072738848 /nfs/dbraw/zinc/73/88/48/1072738848.db2.gz HRLLEHRDKHQVPN-LBPRGKRZSA-N 0 0 440.478 -0.192 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC000916523567 1072738772 /nfs/dbraw/zinc/73/87/72/1072738772.db2.gz IBJCKHNNAVRDES-LLVKDONJSA-N 0 0 437.430 -0.853 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC000916523568 1072738830 /nfs/dbraw/zinc/73/88/30/1072738830.db2.gz IBJCKHNNAVRDES-NSHDSACASA-N 0 0 437.430 -0.853 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000916523666 1072738868 /nfs/dbraw/zinc/73/88/68/1072738868.db2.gz GYHPBFWJXUMMBU-AUUYWEPGSA-N 0 0 443.522 -0.078 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000916523669 1072738754 /nfs/dbraw/zinc/73/87/54/1072738754.db2.gz GYHPBFWJXUMMBU-IFXJQAMLSA-N 0 0 443.522 -0.078 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000916523670 1072738781 /nfs/dbraw/zinc/73/87/81/1072738781.db2.gz GYHPBFWJXUMMBU-KUHUBIRLSA-N 0 0 443.522 -0.078 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000916523671 1072738884 /nfs/dbraw/zinc/73/88/84/1072738884.db2.gz GYHPBFWJXUMMBU-LIRRHRJNSA-N 0 0 443.522 -0.078 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)C1=O ZINC000916523774 1072738855 /nfs/dbraw/zinc/73/88/55/1072738855.db2.gz KBCVUESWBGFFTK-FQEVSTJZSA-N 0 0 432.433 -0.172 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)C1=O ZINC000916523775 1072738894 /nfs/dbraw/zinc/73/88/94/1072738894.db2.gz KBCVUESWBGFFTK-HXUWFJFHSA-N 0 0 432.433 -0.172 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)OCCCn1c(=O)c3c(ncn3C)n(C)c1=O)C2=O ZINC000916524240 1072739380 /nfs/dbraw/zinc/73/93/80/1072739380.db2.gz YPEXYLQEWDYOJJ-UHFFFAOYSA-N 0 0 439.428 -0.028 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)N[C@@](C)(C3CC3)C1=O)c(=O)n2C ZINC000916526503 1072739393 /nfs/dbraw/zinc/73/93/93/1072739393.db2.gz SWICEQQOEKYZHP-IBGZPJMESA-N 0 0 432.437 -0.913 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CN1C(=O)N[C@](C)(C3CC3)C1=O)c(=O)n2C ZINC000916526504 1072739324 /nfs/dbraw/zinc/73/93/24/1072739324.db2.gz SWICEQQOEKYZHP-LJQANCHMSA-N 0 0 432.437 -0.913 20 0 IBADRN CCc1[nH]c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c(C)c1C(=O)OC ZINC000916528296 1072739363 /nfs/dbraw/zinc/73/93/63/1072739363.db2.gz ZRAVASGWKGBOAV-JTQLQIEISA-N 0 0 429.451 -0.158 20 0 IBADRN CCc1[nH]c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c(C)c1C(=O)OC ZINC000916528297 1072739422 /nfs/dbraw/zinc/73/94/22/1072739422.db2.gz ZRAVASGWKGBOAV-SNVBAGLBSA-N 0 0 429.451 -0.158 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCC(=O)N2CC(=O)Nc3ccccc32)c(=O)n(C)c1=O ZINC000916530080 1072739480 /nfs/dbraw/zinc/73/94/80/1072739480.db2.gz QEXNAQXCXMGMMV-UHFFFAOYSA-N 0 0 443.416 -0.842 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@H]1CCCN1S(C)(=O)=O)C2=O ZINC000916530372 1072739460 /nfs/dbraw/zinc/73/94/60/1072739460.db2.gz BBAIXBIALBTIMX-VMBJYNEYSA-N 0 0 430.483 -0.515 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)[C@H]2CCCN2S(C)(=O)=O)c1 ZINC000916531190 1072739518 /nfs/dbraw/zinc/73/95/18/1072739518.db2.gz WNGCFSVAKRJWQF-CQSZACIVSA-N 0 0 433.508 -0.157 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)NC(=O)NC2CC2)c1 ZINC000916531644 1072739558 /nfs/dbraw/zinc/73/95/58/1072739558.db2.gz DCESAWICPVIQFR-UHFFFAOYSA-N 0 0 426.451 -0.802 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)NCC(F)(F)F)c1 ZINC000916532977 1072739539 /nfs/dbraw/zinc/73/95/39/1072739539.db2.gz BXIPWCOVOGQESH-UHFFFAOYSA-N 0 0 425.385 -0.112 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@H](C)O1 ZINC000916533678 1072739450 /nfs/dbraw/zinc/73/94/50/1072739450.db2.gz ULCPCBLQDAVBTC-KBPBESRZSA-N 0 0 441.506 -0.154 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@H](C)O1 ZINC000916533679 1072739345 /nfs/dbraw/zinc/73/93/45/1072739345.db2.gz ULCPCBLQDAVBTC-OKILXGFUSA-N 0 0 441.506 -0.154 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@@H](C)O1 ZINC000916533680 1072739530 /nfs/dbraw/zinc/73/95/30/1072739530.db2.gz ULCPCBLQDAVBTC-ZIAGYGMSSA-N 0 0 441.506 -0.154 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)NC[C@@H]2CCCO2)c1 ZINC000916533681 1072739506 /nfs/dbraw/zinc/73/95/06/1072739506.db2.gz ULZDICZIXJTBHY-AWEZNQCLSA-N 0 0 427.479 -0.495 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)OCC(=O)NC[C@H]2CCCO2)c1 ZINC000916533682 1072739474 /nfs/dbraw/zinc/73/94/74/1072739474.db2.gz ULZDICZIXJTBHY-CQSZACIVSA-N 0 0 427.479 -0.495 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CS(=O)(=O)Cc2ccc(Cl)s2)c(=O)n(C)c1=O ZINC000916534618 1072739435 /nfs/dbraw/zinc/73/94/35/1072739435.db2.gz KYWUCBWIAXMWFB-UHFFFAOYSA-N 0 0 449.894 -0.278 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC000916558137 1072739404 /nfs/dbraw/zinc/73/94/04/1072739404.db2.gz AVWINXYHQLOTDB-UHFFFAOYSA-N 0 0 442.513 -0.307 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000916558187 1072739916 /nfs/dbraw/zinc/73/99/16/1072739916.db2.gz HDOFRGVQNQMKKZ-UHFFFAOYSA-N 0 0 442.513 -0.307 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N(C)CC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000916558562 1072740027 /nfs/dbraw/zinc/74/00/27/1072740027.db2.gz UYAMFEDVCHRBPN-NRFANRHFSA-N 0 0 431.493 -0.305 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N(C)CC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000916558563 1072740097 /nfs/dbraw/zinc/74/00/97/1072740097.db2.gz UYAMFEDVCHRBPN-OAQYLSRUSA-N 0 0 431.493 -0.305 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCCN(C)S(=O)(=O)CC)CC1 ZINC000916580072 1072739960 /nfs/dbraw/zinc/73/99/60/1072739960.db2.gz CSQWZUPUZUFXRX-UHFFFAOYSA-N 0 0 427.593 -0.428 20 0 IBADRN CN(CCCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)S(C)(=O)=O ZINC000916580129 1072739859 /nfs/dbraw/zinc/73/98/59/1072739859.db2.gz FIGTUDWGGBCMDA-UHFFFAOYSA-N 0 0 443.551 -0.256 20 0 IBADRN CN(CCCNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(=O)OC(C)(C)C ZINC000916580222 1072739899 /nfs/dbraw/zinc/73/98/99/1072739899.db2.gz YYIKMDVULCJPCW-UHFFFAOYSA-N 0 0 441.529 -0.044 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)OC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000916600761 1072740084 /nfs/dbraw/zinc/74/00/84/1072740084.db2.gz GRVBCRYZKUIHGP-KBPBESRZSA-N 0 0 428.511 -0.752 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)OC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000916600762 1072740044 /nfs/dbraw/zinc/74/00/44/1072740044.db2.gz GRVBCRYZKUIHGP-KGLIPLIRSA-N 0 0 428.511 -0.752 20 0 IBADRN CCN1CCN(C(=O)[C@H](C)OC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000916600763 1072739824 /nfs/dbraw/zinc/73/98/24/1072739824.db2.gz GRVBCRYZKUIHGP-UONOGXRCSA-N 0 0 428.511 -0.752 20 0 IBADRN CCN1CCN(C(=O)[C@@H](C)OC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000916600764 1072739843 /nfs/dbraw/zinc/73/98/43/1072739843.db2.gz GRVBCRYZKUIHGP-ZIAGYGMSSA-N 0 0 428.511 -0.752 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)CN1C(=O)C(=O)N(C)C1=O ZINC000916602083 1072740622 /nfs/dbraw/zinc/74/06/22/1072740622.db2.gz VEAYUOQEHSDSPT-UHFFFAOYSA-N 0 0 426.407 -0.682 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C1 ZINC000916620333 1072740547 /nfs/dbraw/zinc/74/05/47/1072740547.db2.gz CKJDRSWVMXDFRM-CYBMUJFWSA-N 0 0 425.463 -0.171 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C1 ZINC000916620334 1072740562 /nfs/dbraw/zinc/74/05/62/1072740562.db2.gz CKJDRSWVMXDFRM-ZDUSSCGKSA-N 0 0 425.463 -0.171 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NC(C)(C)C)CC1 ZINC000916622427 1072741078 /nfs/dbraw/zinc/74/10/78/1072741078.db2.gz QHCFKOYMMXGOIV-UHFFFAOYSA-N 0 0 427.593 -0.382 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCc2cccc(S(N)(=O)=O)c2)CC1 ZINC000916623941 1072741333 /nfs/dbraw/zinc/74/13/33/1072741333.db2.gz HQIIPCMQBDPKMR-UHFFFAOYSA-N 0 0 433.556 -0.252 20 0 IBADRN Cn1nc(C(=O)OCC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)ccc1=O ZINC000916629177 1072741401 /nfs/dbraw/zinc/74/14/01/1072741401.db2.gz AKXSDWJZFQQSAR-UHFFFAOYSA-N 0 0 436.446 -0.403 20 0 IBADRN Cn1nc(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)ccc1=O ZINC000916629192 1072741416 /nfs/dbraw/zinc/74/14/16/1072741416.db2.gz BQQSHVCZWJXLAI-UHFFFAOYSA-N 0 0 436.446 -0.403 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)CCS(=O)(=O)c2ccc(Cl)cc2)c(=O)n(C)c1=O ZINC000916629396 1072741068 /nfs/dbraw/zinc/74/10/68/1072741068.db2.gz IJEYIBNNGFRJJL-UHFFFAOYSA-N 0 0 443.865 -0.091 20 0 IBADRN CCOC(=O)N[C@H](CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)CC(C)C ZINC000916630976 1072741318 /nfs/dbraw/zinc/74/13/18/1072741318.db2.gz IOXVKNJMBDTAJP-INIZCTEOSA-N 0 0 441.529 -0.140 20 0 IBADRN CCOC(=O)N[C@@H](CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1)CC(C)C ZINC000916630978 1072741057 /nfs/dbraw/zinc/74/10/57/1072741057.db2.gz IOXVKNJMBDTAJP-MRXNPFEDSA-N 0 0 441.529 -0.140 20 0 IBADRN COC(=O)CCCCCCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000916633815 1072741037 /nfs/dbraw/zinc/74/10/37/1072741037.db2.gz LGPGOIJXWSBZKM-UHFFFAOYSA-N 0 0 433.575 -0.071 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Nc3ccc(-n4nccn4)nc3)CC2)o1 ZINC000916636158 1072742407 /nfs/dbraw/zinc/74/24/07/1072742407.db2.gz PIPNTZQYKZTNIE-UHFFFAOYSA-N 0 0 446.449 -0.107 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCS(=O)(=O)Cc2ccccc2)CC1 ZINC000916636977 1072743024 /nfs/dbraw/zinc/74/30/24/1072743024.db2.gz RLJSCWHXUGINLD-UHFFFAOYSA-N 0 0 438.550 -0.213 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000916639590 1072742558 /nfs/dbraw/zinc/74/25/58/1072742558.db2.gz MZXYNHFPWXKZAP-UHFFFAOYSA-N 0 0 447.558 -0.210 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCCn2nc3n(c2=O)CCCC3)CC1 ZINC000916650283 1072742962 /nfs/dbraw/zinc/74/29/62/1072742962.db2.gz ZVFSAUAIJLZCMY-UHFFFAOYSA-N 0 0 443.574 -0.315 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)c1=O ZINC000916659707 1072744164 /nfs/dbraw/zinc/74/41/64/1072744164.db2.gz ZNPMKAFGIXUIKK-UHFFFAOYSA-N 0 0 437.456 -0.285 20 0 IBADRN CC1CCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000916661133 1072744153 /nfs/dbraw/zinc/74/41/53/1072744153.db2.gz ZURHRELSTUAVMI-AWEZNQCLSA-N 0 0 429.586 -0.902 20 0 IBADRN CC1CCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC000916661134 1072744176 /nfs/dbraw/zinc/74/41/76/1072744176.db2.gz ZURHRELSTUAVMI-CQSZACIVSA-N 0 0 429.586 -0.902 20 0 IBADRN CC(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000916661269 1072743985 /nfs/dbraw/zinc/74/39/85/1072743985.db2.gz PZGARELOUNOCCN-INIZCTEOSA-N 0 0 429.520 -0.080 20 0 IBADRN CC(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000916661270 1072744055 /nfs/dbraw/zinc/74/40/55/1072744055.db2.gz PZGARELOUNOCCN-MRXNPFEDSA-N 0 0 429.520 -0.080 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000916673601 1072745169 /nfs/dbraw/zinc/74/51/69/1072745169.db2.gz AUACRJGSKCSQIB-UHFFFAOYSA-N 0 0 439.494 -0.177 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000916675813 1072745288 /nfs/dbraw/zinc/74/52/88/1072745288.db2.gz YBRJZHDLKQOMPE-KBPBESRZSA-N 0 0 448.567 -0.528 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000916675814 1072745218 /nfs/dbraw/zinc/74/52/18/1072745218.db2.gz YBRJZHDLKQOMPE-KGLIPLIRSA-N 0 0 448.567 -0.528 20 0 IBADRN CS(=O)(=O)NCC[C@@H]1CCCCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000916675815 1072745226 /nfs/dbraw/zinc/74/52/26/1072745226.db2.gz YBRJZHDLKQOMPE-UONOGXRCSA-N 0 0 448.567 -0.528 20 0 IBADRN CS(=O)(=O)NCC[C@H]1CCCCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000916675816 1072745186 /nfs/dbraw/zinc/74/51/86/1072745186.db2.gz YBRJZHDLKQOMPE-ZIAGYGMSSA-N 0 0 448.567 -0.528 20 0 IBADRN O=C(NCCN1C(=O)CSC1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000916676215 1072745866 /nfs/dbraw/zinc/74/58/66/1072745866.db2.gz CGUMLAVYRIOUAN-UHFFFAOYSA-N 0 0 426.476 -0.768 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1 ZINC000916688976 1072746288 /nfs/dbraw/zinc/74/62/88/1072746288.db2.gz MNHFUBQIBSERHG-UHFFFAOYSA-N 0 0 444.492 -0.253 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CCN(C(C)=O)CC1 ZINC000916705255 1072747630 /nfs/dbraw/zinc/74/76/30/1072747630.db2.gz JYGYUCRSIPMNNC-UHFFFAOYSA-N 0 0 440.478 -0.412 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CC[C@H](C(=O)OC)C1 ZINC000916705749 1072747371 /nfs/dbraw/zinc/74/73/71/1072747371.db2.gz ZMKYNIBUJPEWPR-JTQLQIEISA-N 0 0 441.462 -0.081 20 0 IBADRN COCCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)C(=O)N1CC[C@@H](C(=O)OC)C1 ZINC000916705750 1072747343 /nfs/dbraw/zinc/74/73/43/1072747343.db2.gz ZMKYNIBUJPEWPR-SNVBAGLBSA-N 0 0 441.462 -0.081 20 0 IBADRN C[C@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000916732090 1072748084 /nfs/dbraw/zinc/74/80/84/1072748084.db2.gz FSCBZPWLOSWXTE-GFCCVEGCSA-N 0 0 428.449 -0.111 20 0 IBADRN C[C@@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000916732091 1072748006 /nfs/dbraw/zinc/74/80/06/1072748006.db2.gz FSCBZPWLOSWXTE-LBPRGKRZSA-N 0 0 428.449 -0.111 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Nc1ccccc1C(=O)NC1CC1 ZINC000916732098 1072748092 /nfs/dbraw/zinc/74/80/92/1072748092.db2.gz GHYSVAYTTBWJGH-UHFFFAOYSA-N 0 0 441.488 -0.190 20 0 IBADRN CS(=O)(=O)c1cccc(NC(=O)CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000916732154 1072748106 /nfs/dbraw/zinc/74/81/06/1072748106.db2.gz NCLSUMBYIILRQM-UHFFFAOYSA-N 0 0 436.490 -0.678 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)Nc1ccc(N2CCOCC2)cc1 ZINC000916732185 1072748154 /nfs/dbraw/zinc/74/81/54/1072748154.db2.gz QBXTVDDODIQOAP-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CN2c3ccccc3OCCC2=O)CC1 ZINC000916732870 1072748160 /nfs/dbraw/zinc/74/81/60/1072748160.db2.gz FGCKRCDUGGSXHB-UHFFFAOYSA-N 0 0 428.445 -0.378 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C ZINC000916732996 1072748115 /nfs/dbraw/zinc/74/81/15/1072748115.db2.gz SJCNVCODRAKKPD-UHFFFAOYSA-N 0 0 448.476 -0.132 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CN2C(=O)COc3ccc(Cl)cc32)CC1 ZINC000916733031 1072748131 /nfs/dbraw/zinc/74/81/31/1072748131.db2.gz WRZAFGOMYRDXTM-UHFFFAOYSA-N 0 0 448.863 -0.115 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CC1 ZINC000916746254 1072748027 /nfs/dbraw/zinc/74/80/27/1072748027.db2.gz GLTVSHGLPHXSJP-UHFFFAOYSA-N 0 0 448.501 -0.198 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2S1(=O)=O ZINC000916746321 1072748122 /nfs/dbraw/zinc/74/81/22/1072748122.db2.gz KUMWEKALDOCZPC-UHFFFAOYSA-N 0 0 431.492 -0.805 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)CC1 ZINC000916831155 1072752606 /nfs/dbraw/zinc/75/26/06/1072752606.db2.gz AUYIBOMQSKKPJQ-UHFFFAOYSA-N 0 0 433.328 -0.058 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000916831367 1072752445 /nfs/dbraw/zinc/75/24/45/1072752445.db2.gz NTHHHSOHLRRCDO-UHFFFAOYSA-N 0 0 442.513 -0.307 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000916831487 1072752579 /nfs/dbraw/zinc/75/25/79/1072752579.db2.gz PZYQOSPDTUVIEI-UHFFFAOYSA-N 0 0 442.513 -0.307 20 0 IBADRN CN(C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)S(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000916832823 1072752982 /nfs/dbraw/zinc/75/29/82/1072752982.db2.gz IVDNJGYNWMZADV-UHFFFAOYSA-N 0 0 449.445 -0.948 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C)C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000916833059 1072753088 /nfs/dbraw/zinc/75/30/88/1072753088.db2.gz BOKPFNNFVUJKCO-CYBMUJFWSA-N 0 0 449.489 -0.348 20 0 IBADRN C[C@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000916833204 1072752935 /nfs/dbraw/zinc/75/29/35/1072752935.db2.gz INCGWUNLVQBQIM-AGIUHOORSA-N 0 0 431.449 -0.459 20 0 IBADRN C[C@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000916833206 1072753020 /nfs/dbraw/zinc/75/30/20/1072753020.db2.gz INCGWUNLVQBQIM-JHJVBQTASA-N 0 0 431.449 -0.459 20 0 IBADRN C[C@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000916833207 1072752959 /nfs/dbraw/zinc/75/29/59/1072752959.db2.gz INCGWUNLVQBQIM-UPJWGTAASA-N 0 0 431.449 -0.459 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@H]3CCCN(C(=O)N4CCCC4)C3)c2c(=O)n(C)c1=O ZINC000916833481 1072753012 /nfs/dbraw/zinc/75/30/12/1072753012.db2.gz LDVXPGBPVBBHKZ-AWEZNQCLSA-N 0 0 432.481 -0.095 20 0 IBADRN Cn1c2ncn(CCOC(=O)[C@@H]3CCCN(C(=O)N4CCCC4)C3)c2c(=O)n(C)c1=O ZINC000916833482 1072752888 /nfs/dbraw/zinc/75/28/88/1072752888.db2.gz LDVXPGBPVBBHKZ-CQSZACIVSA-N 0 0 432.481 -0.095 20 0 IBADRN Cn1c2ncn(CCOC(=O)CSc3nnnn3C3CCCC3)c2c(=O)n(C)c1=O ZINC000916833517 1072752964 /nfs/dbraw/zinc/75/29/64/1072752964.db2.gz OMVBEAMSABSORZ-UHFFFAOYSA-N 0 0 434.482 -0.129 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCn3c(=O)[nH]c(=O)c4ccccc43)c2c(=O)n(C)c1=O ZINC000916833549 1072753028 /nfs/dbraw/zinc/75/30/28/1072753028.db2.gz RNWUPLFRMUCRMV-UHFFFAOYSA-N 0 0 440.416 -0.517 20 0 IBADRN CN(C(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)S(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000916833598 1072752915 /nfs/dbraw/zinc/75/29/15/1072752915.db2.gz RMACAWNCGDVJJA-UHFFFAOYSA-N 0 0 449.445 -0.948 20 0 IBADRN CN(C(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)S(=O)(=O)c1ccc2c(c1)OCCO2 ZINC000916833601 1072753003 /nfs/dbraw/zinc/75/30/03/1072753003.db2.gz RMGBDNYBFVAWJS-UHFFFAOYSA-N 0 0 446.441 -0.136 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1CCCS1(=O)=O ZINC000916834936 1072753580 /nfs/dbraw/zinc/75/35/80/1072753580.db2.gz YFWIXGOSBYFCTM-UHFFFAOYSA-N 0 0 429.520 -0.138 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCCN(S(C)(=O)=O)CC3)cc2S1(=O)=O ZINC000916951996 1072754073 /nfs/dbraw/zinc/75/40/73/1072754073.db2.gz MYWRLPJACHADRS-UHFFFAOYSA-N 0 0 445.519 -0.415 20 0 IBADRN CS(=O)(=O)c1ccc([C@@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000916972053 1072754684 /nfs/dbraw/zinc/75/46/84/1072754684.db2.gz JQVZFJWLAUBGJY-KRWDZBQOSA-N 0 0 425.507 -0.874 20 0 IBADRN CS(=O)(=O)c1ccc([C@H](O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000916972077 1072754626 /nfs/dbraw/zinc/75/46/26/1072754626.db2.gz JQVZFJWLAUBGJY-QGZVFWFLSA-N 0 0 425.507 -0.874 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)NCc2ccc(N3CCCC3=O)cc2)CC1 ZINC000916972527 1072754661 /nfs/dbraw/zinc/75/46/61/1072754661.db2.gz YTYLWKRXLPERLB-UHFFFAOYSA-N 0 0 431.537 -0.190 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCOCC2)CC1)NCc1ccc(N2CCCC2=O)cc1 ZINC000916975757 1072754572 /nfs/dbraw/zinc/75/45/72/1072754572.db2.gz ZPNZHAGOTYZGGB-UHFFFAOYSA-N 0 0 443.548 -0.094 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000916979681 1072754607 /nfs/dbraw/zinc/75/46/07/1072754607.db2.gz RDPGJEVAMZGOIQ-UHFFFAOYSA-N 0 0 435.462 -0.320 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CCN(CC(N)=O)CC3)CC2)cc1 ZINC000916982328 1072754538 /nfs/dbraw/zinc/75/45/38/1072754538.db2.gz CWYZZLOQXLZZHW-UHFFFAOYSA-N 0 0 437.566 -0.633 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000916982573 1072754632 /nfs/dbraw/zinc/75/46/32/1072754632.db2.gz FGCIQYLRUZATTA-UHFFFAOYSA-N 0 0 433.446 -0.516 20 0 IBADRN NC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000916983078 1072755114 /nfs/dbraw/zinc/75/51/14/1072755114.db2.gz XOPCAXOLQDRJNA-UHFFFAOYSA-N 0 0 426.495 -0.808 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)C(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000916983644 1072755152 /nfs/dbraw/zinc/75/51/52/1072755152.db2.gz WKRGNBCJALETKU-UHFFFAOYSA-N 0 0 433.446 -0.516 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000916983645 1072755051 /nfs/dbraw/zinc/75/50/51/1072755051.db2.gz WKTXPKPTSZMBRQ-UHFFFAOYSA-N 0 0 447.473 -0.126 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000916983870 1072755073 /nfs/dbraw/zinc/75/50/73/1072755073.db2.gz XTQQLSSGMCOCIO-CHWSQXEVSA-N 0 0 430.504 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000916983871 1072755222 /nfs/dbraw/zinc/75/52/22/1072755222.db2.gz XTQQLSSGMCOCIO-OLZOCXBDSA-N 0 0 430.504 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000916983872 1072755129 /nfs/dbraw/zinc/75/51/29/1072755129.db2.gz XTQQLSSGMCOCIO-QWHCGFSZSA-N 0 0 430.504 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC000916983873 1072755163 /nfs/dbraw/zinc/75/51/63/1072755163.db2.gz XTQQLSSGMCOCIO-STQMWFEESA-N 0 0 430.504 -0.122 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCOCC2)CC1)NCc1ccc(N2CCCC2=O)cc1 ZINC000916984503 1072754904 /nfs/dbraw/zinc/75/49/04/1072754904.db2.gz KVLISFJXGQBJOO-UHFFFAOYSA-N 0 0 443.548 -0.094 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC000916987136 1072754516 /nfs/dbraw/zinc/75/45/16/1072754516.db2.gz SLIUGURLUMGVMO-UHFFFAOYSA-N 0 0 445.339 -0.286 20 0 IBADRN CS(=O)(=O)c1ccc([C@@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000916999718 1072755036 /nfs/dbraw/zinc/75/50/36/1072755036.db2.gz OSZWBPNDXQLRFF-KRWDZBQOSA-N 0 0 433.552 -0.682 20 0 IBADRN CS(=O)(=O)c1ccc([C@H](O)CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000916999719 1072755203 /nfs/dbraw/zinc/75/52/03/1072755203.db2.gz OSZWBPNDXQLRFF-QGZVFWFLSA-N 0 0 433.552 -0.682 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCC(=O)N3CCOCC3)cc2S1(=O)=O ZINC000917010258 1072754959 /nfs/dbraw/zinc/75/49/59/1072754959.db2.gz FGMWCUDAEMHDBX-UHFFFAOYSA-N 0 0 425.463 -0.544 20 0 IBADRN CC(=O)c1ccc(OC[C@@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000917052976 1072756264 /nfs/dbraw/zinc/75/62/64/1072756264.db2.gz ILKLROQYEAEULC-IBGZPJMESA-N 0 0 440.566 -0.261 20 0 IBADRN CC(=O)c1ccc(OC[C@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000917052977 1072756244 /nfs/dbraw/zinc/75/62/44/1072756244.db2.gz ILKLROQYEAEULC-LJQANCHMSA-N 0 0 440.566 -0.261 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C[C@@H](O)c3ccc(S(C)(=O)=O)cc3)CC2)CC1 ZINC000917053006 1072756199 /nfs/dbraw/zinc/75/61/99/1072756199.db2.gz LBXHQKUQFVFXMP-GOSISDBHSA-N 0 0 446.595 -0.767 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C[C@H](O)c3ccc(S(C)(=O)=O)cc3)CC2)CC1 ZINC000917053007 1072756234 /nfs/dbraw/zinc/75/62/34/1072756234.db2.gz LBXHQKUQFVFXMP-SFHVURJKSA-N 0 0 446.595 -0.767 20 0 IBADRN COc1ccc(OC)c([C@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000917053214 1072756378 /nfs/dbraw/zinc/75/63/78/1072756378.db2.gz SSAVOTUYVVKUMU-GOSISDBHSA-N 0 0 428.555 -0.153 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000917053215 1072756086 /nfs/dbraw/zinc/75/60/86/1072756086.db2.gz SSAVOTUYVVKUMU-SFHVURJKSA-N 0 0 428.555 -0.153 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000917054661 1072756168 /nfs/dbraw/zinc/75/61/68/1072756168.db2.gz LLGROOWTVQILHQ-UHFFFAOYSA-N 0 0 433.509 -0.043 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NC2CC2)CC1)NCc1ccc(N2CCCC2=O)cc1 ZINC000917059025 1072756225 /nfs/dbraw/zinc/75/62/25/1072756225.db2.gz DDPDZGLCAYLJHF-UHFFFAOYSA-N 0 0 427.505 -0.148 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(CC(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000917064931 1072756889 /nfs/dbraw/zinc/75/68/89/1072756889.db2.gz WOHRNOSKLPZTMN-UHFFFAOYSA-N 0 0 428.511 -0.037 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N=c2cc[nH]n2Cc2cccc(C)c2)CC1 ZINC000917064986 1072756722 /nfs/dbraw/zinc/75/67/22/1072756722.db2.gz OKXRBVDWBJOVFG-UHFFFAOYSA-N 0 0 428.537 -0.020 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2CC(=O)NCCCN(C)S(C)(=O)=O ZINC000917070541 1072756740 /nfs/dbraw/zinc/75/67/40/1072756740.db2.gz GPMDJGBHHBWLQU-UHFFFAOYSA-N 0 0 432.568 -0.303 20 0 IBADRN COC(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)CC1 ZINC000917072945 1072756857 /nfs/dbraw/zinc/75/68/57/1072756857.db2.gz HZIJIOBADIUWBB-GOSISDBHSA-N 0 0 432.477 -0.119 20 0 IBADRN COC(=O)N1CCN(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)CC1 ZINC000917072948 1072756841 /nfs/dbraw/zinc/75/68/41/1072756841.db2.gz HZIJIOBADIUWBB-SFHVURJKSA-N 0 0 432.477 -0.119 20 0 IBADRN COC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)CC1 ZINC000917072955 1072756693 /nfs/dbraw/zinc/75/66/93/1072756693.db2.gz KEQWXMQAOWYRTD-UHFFFAOYSA-N 0 0 440.522 -0.088 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)OC)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000917074734 1072756897 /nfs/dbraw/zinc/75/68/97/1072756897.db2.gz MSOIYPKCNRXNPC-UHFFFAOYSA-N 0 0 440.501 -0.450 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000917075092 1072756848 /nfs/dbraw/zinc/75/68/48/1072756848.db2.gz FZDWTVFAOXEUEQ-UHFFFAOYSA-N 0 0 447.492 -0.516 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000917079017 1072757434 /nfs/dbraw/zinc/75/74/34/1072757434.db2.gz BOGWCMUDIKNOFA-UHFFFAOYSA-N 0 0 425.530 -0.266 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000917079236 1072757458 /nfs/dbraw/zinc/75/74/58/1072757458.db2.gz IDUKIMZZTIZARS-AWEZNQCLSA-N 0 0 432.543 -0.699 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000917079237 1072757361 /nfs/dbraw/zinc/75/73/61/1072757361.db2.gz IDUKIMZZTIZARS-CQSZACIVSA-N 0 0 432.543 -0.699 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CCC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC000917079782 1072757316 /nfs/dbraw/zinc/75/73/16/1072757316.db2.gz DRHOMLKBXWDCSC-UHFFFAOYSA-N 0 0 447.583 -0.133 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)CC1 ZINC000917079853 1072757134 /nfs/dbraw/zinc/75/71/34/1072757134.db2.gz GJWWZAZZIHNGCB-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CC1 ZINC000917079882 1072757489 /nfs/dbraw/zinc/75/74/89/1072757489.db2.gz FMQIZGROZDPNCY-UHFFFAOYSA-N 0 0 441.554 -0.629 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)CC1 ZINC000917080029 1072757343 /nfs/dbraw/zinc/75/73/43/1072757343.db2.gz KDZBZKWNRYUWBA-UHFFFAOYSA-N 0 0 438.506 -0.629 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC000917080203 1072757189 /nfs/dbraw/zinc/75/71/89/1072757189.db2.gz RYMXDQNDNOFDDA-AWEZNQCLSA-N 0 0 432.543 -0.651 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000917080204 1072757240 /nfs/dbraw/zinc/75/72/40/1072757240.db2.gz RYMXDQNDNOFDDA-CQSZACIVSA-N 0 0 432.543 -0.651 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC000917080341 1072757395 /nfs/dbraw/zinc/75/73/95/1072757395.db2.gz GHJFZVNJZWDJCT-UHFFFAOYSA-N 0 0 441.558 -0.972 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000917080394 1072757211 /nfs/dbraw/zinc/75/72/11/1072757211.db2.gz JDLPTDJNVYYYRO-UHFFFAOYSA-N 0 0 446.595 -0.090 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC000917080478 1072757423 /nfs/dbraw/zinc/75/74/23/1072757423.db2.gz VRHCHKHFHKQFER-UHFFFAOYSA-N 0 0 439.557 -0.458 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1 ZINC000917080575 1072757290 /nfs/dbraw/zinc/75/72/90/1072757290.db2.gz YMXAKGZKKOCACM-UHFFFAOYSA-N 0 0 437.541 -0.168 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)N[C@@H](C(=O)NC)c2ccccc2)CC1 ZINC000917080993 1072757445 /nfs/dbraw/zinc/75/74/45/1072757445.db2.gz OWHRQLKFAXSNJV-GOSISDBHSA-N 0 0 425.555 -0.206 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)N[C@H](C(=O)NC)c2ccccc2)CC1 ZINC000917080996 1072757476 /nfs/dbraw/zinc/75/74/76/1072757476.db2.gz OWHRQLKFAXSNJV-SFHVURJKSA-N 0 0 425.555 -0.206 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000917084937 1072757157 /nfs/dbraw/zinc/75/71/57/1072757157.db2.gz FYXJXFPDYRFVSD-UHFFFAOYSA-N 0 0 433.509 -0.043 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc2S1(=O)=O ZINC000917095405 1072757853 /nfs/dbraw/zinc/75/78/53/1072757853.db2.gz JSIGQLSPLPTZCH-UHFFFAOYSA-N 0 0 444.535 -0.196 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CC1 ZINC000917095413 1072757913 /nfs/dbraw/zinc/75/79/13/1072757913.db2.gz KHRRVWAYAFXXMH-UHFFFAOYSA-N 0 0 442.519 -0.442 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000917096891 1072757903 /nfs/dbraw/zinc/75/79/03/1072757903.db2.gz HOJFOYWTXPKMLP-UHFFFAOYSA-N 0 0 441.901 -0.439 20 0 IBADRN COc1ccc(C2N=NC(=S)N2CC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC000917096952 1072758426 /nfs/dbraw/zinc/75/84/26/1072758426.db2.gz LVEZMJBCVKUXTA-UHFFFAOYSA-N 0 0 443.493 -0.400 20 0 IBADRN CCOc1ccc(N2C[C@@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)cc1 ZINC000917097054 1072758358 /nfs/dbraw/zinc/75/83/58/1072758358.db2.gz TXHHNZRBISTQBJ-HNNXBMFYSA-N 0 0 427.465 -0.204 20 0 IBADRN CCOc1ccc(N2C[C@H](C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)cc1 ZINC000917097055 1072758365 /nfs/dbraw/zinc/75/83/65/1072758365.db2.gz TXHHNZRBISTQBJ-OAHLLOKOSA-N 0 0 427.465 -0.204 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n(C)c1 ZINC000917097113 1072758298 /nfs/dbraw/zinc/75/82/98/1072758298.db2.gz ZRCKNAPNJGHQJJ-UHFFFAOYSA-N 0 0 438.514 -0.973 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)N3CCC4(CC3)OCCO4)CC2)C[C@H](C)O1 ZINC000917099817 1072758390 /nfs/dbraw/zinc/75/83/90/1072758390.db2.gz XVQUYSKHPKXJDO-CALCHBBNSA-N 0 0 446.570 -0.677 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(CC(=O)N3CCC4(CC3)OCCO4)CC2)C[C@@H](C)O1 ZINC000917099819 1072758332 /nfs/dbraw/zinc/75/83/32/1072758332.db2.gz XVQUYSKHPKXJDO-IAGOWNOFSA-N 0 0 446.570 -0.677 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(CC(=O)N3CCC4(CC3)OCCO4)CC2)C[C@H](C)O1 ZINC000917099821 1072758436 /nfs/dbraw/zinc/75/84/36/1072758436.db2.gz XVQUYSKHPKXJDO-IRXDYDNUSA-N 0 0 446.570 -0.677 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCS(=O)(=O)NC(C)(C)C)cc2S1(=O)=O ZINC000917100177 1072758305 /nfs/dbraw/zinc/75/83/05/1072758305.db2.gz LZVKLJPXCMVYGE-UHFFFAOYSA-N 0 0 447.535 -0.075 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(N4CCOC4=O)c3)CC2)CC1 ZINC000917101355 1072758792 /nfs/dbraw/zinc/75/87/92/1072758792.db2.gz SPFCPPORRRDVTN-UHFFFAOYSA-N 0 0 437.522 -0.107 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC000917102644 1072758909 /nfs/dbraw/zinc/75/89/09/1072758909.db2.gz VLDFPVWBNLAFRO-BEFAXECRSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC000917102645 1072758823 /nfs/dbraw/zinc/75/88/23/1072758823.db2.gz VLDFPVWBNLAFRO-DNVCBOLYSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H](NS(C)(=O)=O)C2)C1=O ZINC000917102646 1072758840 /nfs/dbraw/zinc/75/88/40/1072758840.db2.gz VLDFPVWBNLAFRO-HNAYVOBHSA-N 0 0 437.522 -0.112 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H](NS(C)(=O)=O)C2)C1=O ZINC000917102647 1072758831 /nfs/dbraw/zinc/75/88/31/1072758831.db2.gz VLDFPVWBNLAFRO-KXBFYZLASA-N 0 0 437.522 -0.112 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCN(c3ccc(C(N)=O)cn3)CC1)C2=O ZINC000917103603 1072758781 /nfs/dbraw/zinc/75/87/81/1072758781.db2.gz MGOJSHLXJOIHKF-UHFFFAOYSA-N 0 0 443.508 -0.166 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC[C@@H](CNS(C)(=O)=O)C1)C2=O ZINC000917104387 1072758811 /nfs/dbraw/zinc/75/88/11/1072758811.db2.gz OHIHVYPOKULFTA-FVRSWCFKSA-N 0 0 429.543 -0.221 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCC[C@H](CNS(C)(=O)=O)C1)C2=O ZINC000917104388 1072758804 /nfs/dbraw/zinc/75/88/04/1072758804.db2.gz OHIHVYPOKULFTA-TXKKKEFDSA-N 0 0 429.543 -0.221 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)o1 ZINC000917104798 1072758774 /nfs/dbraw/zinc/75/87/74/1072758774.db2.gz AYNBEYJFAUFSIK-UHFFFAOYSA-N 0 0 446.507 -0.272 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)Nc3ccccc3C(N)=O)CC2)o1 ZINC000917105919 1072758761 /nfs/dbraw/zinc/75/87/61/1072758761.db2.gz QLGBOTQJSKOGTH-UHFFFAOYSA-N 0 0 435.462 -0.578 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)Nc3ccc4[nH]c(=O)[nH]c4c3)CC2)o1 ZINC000917106277 1072758868 /nfs/dbraw/zinc/75/88/68/1072758868.db2.gz SOEZRGGCKUTOBW-UHFFFAOYSA-N 0 0 448.461 -0.095 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000917106732 1072758349 /nfs/dbraw/zinc/75/83/49/1072758349.db2.gz BNUQFGQLFRPXGO-HNNXBMFYSA-N 0 0 426.495 -0.284 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000917106733 1072758374 /nfs/dbraw/zinc/75/83/74/1072758374.db2.gz BNUQFGQLFRPXGO-OAHLLOKOSA-N 0 0 426.495 -0.284 20 0 IBADRN CN(CC(=O)Nc1sc2c(c1C(N)=O)CCCC2)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000917106807 1072758413 /nfs/dbraw/zinc/75/84/13/1072758413.db2.gz KMWZELXBTSMJCL-LLVKDONJSA-N 0 0 442.563 -0.101 20 0 IBADRN CN(CC(=O)Nc1sc2c(c1C(N)=O)CCCC2)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000917106808 1072758384 /nfs/dbraw/zinc/75/83/84/1072758384.db2.gz KMWZELXBTSMJCL-NSHDSACASA-N 0 0 442.563 -0.101 20 0 IBADRN CN(CC(=O)NCc1ccc(N2CCCC2=O)cc1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000917106926 1072758287 /nfs/dbraw/zinc/75/82/87/1072758287.db2.gz MHEJRQNMQXLJKK-INIZCTEOSA-N 0 0 436.534 -0.335 20 0 IBADRN CN(CC(=O)NCc1ccc(N2CCCC2=O)cc1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000917106927 1072758322 /nfs/dbraw/zinc/75/83/22/1072758322.db2.gz MHEJRQNMQXLJKK-MRXNPFEDSA-N 0 0 436.534 -0.335 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)cn1 ZINC000917115564 1072759864 /nfs/dbraw/zinc/75/98/64/1072759864.db2.gz MAZIOUNJFFUJGB-UHFFFAOYSA-N 0 0 426.886 -0.073 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000917117218 1072759296 /nfs/dbraw/zinc/75/92/96/1072759296.db2.gz GAIIXOPVAROVFI-HNNXBMFYSA-N 0 0 441.531 -0.117 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000917117219 1072759333 /nfs/dbraw/zinc/75/93/33/1072759333.db2.gz GAIIXOPVAROVFI-OAHLLOKOSA-N 0 0 441.531 -0.117 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000917118415 1072759343 /nfs/dbraw/zinc/75/93/43/1072759343.db2.gz IDJQDKJEZNDIQC-CVEARBPZSA-N 0 0 428.532 -0.247 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000917118416 1072759483 /nfs/dbraw/zinc/75/94/83/1072759483.db2.gz IDJQDKJEZNDIQC-HOTGVXAUSA-N 0 0 428.532 -0.247 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000917118417 1072759235 /nfs/dbraw/zinc/75/92/35/1072759235.db2.gz IDJQDKJEZNDIQC-HZPDHXFCSA-N 0 0 428.532 -0.247 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000917118418 1072759361 /nfs/dbraw/zinc/75/93/61/1072759361.db2.gz IDJQDKJEZNDIQC-JKSUJKDBSA-N 0 0 428.532 -0.247 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@@H](NS(C)(=O)=O)C3)cc2S1(=O)=O ZINC000917119004 1072759443 /nfs/dbraw/zinc/75/94/43/1072759443.db2.gz RELQYNKSUIUNMH-CYBMUJFWSA-N 0 0 445.519 -0.369 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC[C@H](NS(C)(=O)=O)C3)cc2S1(=O)=O ZINC000917119005 1072759309 /nfs/dbraw/zinc/75/93/09/1072759309.db2.gz RELQYNKSUIUNMH-ZDUSSCGKSA-N 0 0 445.519 -0.369 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCS(=O)(=O)Cc3ccccc3)c2c(=O)n(C)c1=O ZINC000917119125 1072759205 /nfs/dbraw/zinc/75/92/05/1072759205.db2.gz TUGZQNPLLDIIEM-UHFFFAOYSA-N 0 0 433.490 -0.445 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCCN(C)S(C)(=O)=O)cc2S1(=O)=O ZINC000917119891 1072759406 /nfs/dbraw/zinc/75/94/06/1072759406.db2.gz IUYXVSMSIGVSEI-UHFFFAOYSA-N 0 0 433.508 -0.511 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000917120044 1072759182 /nfs/dbraw/zinc/75/91/82/1072759182.db2.gz SLOXWGHGEZIBIF-NRFANRHFSA-N 0 0 447.492 -0.280 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000917120045 1072759194 /nfs/dbraw/zinc/75/91/94/1072759194.db2.gz SLOXWGHGEZIBIF-OAQYLSRUSA-N 0 0 447.492 -0.280 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(C(=O)C(=O)NC3CC3)CC2)NC(=O)N[C@H]1c1ccco1 ZINC000917124709 1072759787 /nfs/dbraw/zinc/75/97/87/1072759787.db2.gz QHIVPISFFHXBLU-KRWDZBQOSA-N 0 0 445.476 -0.127 20 0 IBADRN CCOC(=O)C1=C(CN2CCN(C(=O)C(=O)NC3CC3)CC2)NC(=O)N[C@@H]1c1ccco1 ZINC000917124710 1072759951 /nfs/dbraw/zinc/75/99/51/1072759951.db2.gz QHIVPISFFHXBLU-QGZVFWFLSA-N 0 0 445.476 -0.127 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)CN2CCC(NC(=O)OC(C)(C)C)CC2)CC1 ZINC000917125686 1072759974 /nfs/dbraw/zinc/75/99/74/1072759974.db2.gz SJNBWFBESOMGHU-UHFFFAOYSA-N 0 0 433.575 -0.074 20 0 IBADRN COCC(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1 ZINC000917126535 1072759812 /nfs/dbraw/zinc/75/98/12/1072759812.db2.gz HTXQAGDYXHZVTG-UHFFFAOYSA-N 0 0 437.522 -0.114 20 0 IBADRN COCC(=O)N1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000917126732 1072759889 /nfs/dbraw/zinc/75/98/89/1072759889.db2.gz MZWBQGGIBNARRI-FQEVSTJZSA-N 0 0 433.465 -0.716 20 0 IBADRN COCC(=O)N1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC000917126733 1072759824 /nfs/dbraw/zinc/75/98/24/1072759824.db2.gz MZWBQGGIBNARRI-HXUWFJFHSA-N 0 0 433.465 -0.716 20 0 IBADRN COCC(=O)N1CCN(CC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CC1 ZINC000917126741 1072759848 /nfs/dbraw/zinc/75/98/48/1072759848.db2.gz NRPRWJHGEKVXIB-NRFANRHFSA-N 0 0 431.493 -0.249 20 0 IBADRN COCC(=O)N1CCN(CC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CC1 ZINC000917126742 1072759893 /nfs/dbraw/zinc/75/98/93/1072759893.db2.gz NRPRWJHGEKVXIB-OAQYLSRUSA-N 0 0 431.493 -0.249 20 0 IBADRN O=C(CCN1CCN(C(=O)C(=O)N2CCCC2)CC1)NN1C(=O)NC2(CCCCC2)C1=O ZINC000917130890 1072759767 /nfs/dbraw/zinc/75/97/67/1072759767.db2.gz ONCMUBPVRWAYKZ-UHFFFAOYSA-N 0 0 448.524 -0.571 20 0 IBADRN O=C(CCN1CCN(C(=O)C(=O)NC2CC2)CC1)NN1C(=O)NC2(CCCCC2)C1=O ZINC000917130996 1072760364 /nfs/dbraw/zinc/76/03/64/1072760364.db2.gz FOYRLBBWXKFBBE-UHFFFAOYSA-N 0 0 434.497 -0.915 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCN(C(=O)C(=O)N3CCCC3)CC1)C2=O ZINC000917131364 1072760267 /nfs/dbraw/zinc/76/02/67/1072760267.db2.gz YPWWPNZSNKMCAY-UHFFFAOYSA-N 0 0 448.524 -0.715 20 0 IBADRN CC(C)(CNC(=O)CN1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N1CCOCC1 ZINC000917132045 1072760190 /nfs/dbraw/zinc/76/01/90/1072760190.db2.gz HCZJVGRQUSAOQL-UHFFFAOYSA-N 0 0 449.577 -0.020 20 0 IBADRN O=C(CN1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)NCCCN1CCCC1=O ZINC000917132216 1072760228 /nfs/dbraw/zinc/76/02/28/1072760228.db2.gz KTQYXXNXAPVWPA-UHFFFAOYSA-N 0 0 433.534 -0.118 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000917132308 1072760412 /nfs/dbraw/zinc/76/04/12/1072760412.db2.gz NGQUEENVIUKKIA-UHFFFAOYSA-N 0 0 433.534 -0.120 20 0 IBADRN CCn1c(N)c(C(=O)CN2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c(=O)[nH]c1=O ZINC000917132324 1072760295 /nfs/dbraw/zinc/76/02/95/1072760295.db2.gz NTCCAKJLVQYGAN-UHFFFAOYSA-N 0 0 446.489 -0.500 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000917133030 1072760281 /nfs/dbraw/zinc/76/02/81/1072760281.db2.gz CKANSNGPHNKQSL-CYBMUJFWSA-N 0 0 427.479 -0.805 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000917133031 1072760470 /nfs/dbraw/zinc/76/04/70/1072760470.db2.gz CKANSNGPHNKQSL-ZDUSSCGKSA-N 0 0 427.479 -0.805 20 0 IBADRN O=C(CN1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC000917133050 1072760333 /nfs/dbraw/zinc/76/03/33/1072760333.db2.gz DLRTZPLYPAKKRZ-UHFFFAOYSA-N 0 0 445.545 -0.166 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)COc2ccc(Cl)cc21 ZINC000917133103 1072760352 /nfs/dbraw/zinc/76/03/52/1072760352.db2.gz ISTQWFXJSKPFPX-GFCCVEGCSA-N 0 0 429.882 -0.173 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)COc2ccc(Cl)cc21 ZINC000917133104 1072760399 /nfs/dbraw/zinc/76/03/99/1072760399.db2.gz ISTQWFXJSKPFPX-LBPRGKRZSA-N 0 0 429.882 -0.173 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC000917133105 1072760459 /nfs/dbraw/zinc/76/04/59/1072760459.db2.gz IVFNQOYFBJBKQX-DOMZBBRYSA-N 0 0 439.469 -0.993 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC000917133106 1072760150 /nfs/dbraw/zinc/76/01/50/1072760150.db2.gz IVFNQOYFBJBKQX-IUODEOHRSA-N 0 0 439.469 -0.993 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC000917133107 1072760165 /nfs/dbraw/zinc/76/01/65/1072760165.db2.gz IVFNQOYFBJBKQX-SWLSCSKDSA-N 0 0 439.469 -0.993 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC000917133108 1072760176 /nfs/dbraw/zinc/76/01/76/1072760176.db2.gz IVFNQOYFBJBKQX-WFASDCNBSA-N 0 0 439.469 -0.993 20 0 IBADRN Cn1c2[nH]c(CN3CCN(C4=NS(=O)(=O)c5ccccc54)CC3)nc2c(=O)n(C)c1=O ZINC000917133195 1072760258 /nfs/dbraw/zinc/76/02/58/1072760258.db2.gz GCHVLFNEJGOTFU-UHFFFAOYSA-N 0 0 443.489 -0.773 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000917133196 1072760208 /nfs/dbraw/zinc/76/02/08/1072760208.db2.gz GDCLKHMOPUILRL-INIZCTEOSA-N 0 0 433.534 -0.120 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC000917133197 1072760762 /nfs/dbraw/zinc/76/07/62/1072760762.db2.gz GDCLKHMOPUILRL-MRXNPFEDSA-N 0 0 433.534 -0.120 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917133199 1072760852 /nfs/dbraw/zinc/76/08/52/1072760852.db2.gz GKBQWZVCBIHURD-CABCVRRESA-N 0 0 433.534 -0.135 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917133200 1072760724 /nfs/dbraw/zinc/76/07/24/1072760724.db2.gz GKBQWZVCBIHURD-GJZGRUSLSA-N 0 0 433.534 -0.135 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917133201 1072760818 /nfs/dbraw/zinc/76/08/18/1072760818.db2.gz GKBQWZVCBIHURD-HUUCEWRRSA-N 0 0 433.534 -0.135 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917133202 1072760662 /nfs/dbraw/zinc/76/06/62/1072760662.db2.gz GKBQWZVCBIHURD-LSDHHAIUSA-N 0 0 433.534 -0.135 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)/C=C\c1ccccc1 ZINC000917133404 1072760676 /nfs/dbraw/zinc/76/06/76/1072760676.db2.gz NZWMQSYMGMQZFB-IYCSXQJISA-N 0 0 443.547 -0.272 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)/C=C\c1ccccc1 ZINC000917133405 1072760805 /nfs/dbraw/zinc/76/08/05/1072760805.db2.gz NZWMQSYMGMQZFB-LJEUOOAZSA-N 0 0 443.547 -0.272 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)/C=C/c1ccccc1 ZINC000917133406 1072760689 /nfs/dbraw/zinc/76/06/89/1072760689.db2.gz NZWMQSYMGMQZFB-OQRGYWNNSA-N 0 0 443.547 -0.272 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCNS(=O)(=O)/C=C/c1ccccc1 ZINC000917133407 1072760746 /nfs/dbraw/zinc/76/07/46/1072760746.db2.gz NZWMQSYMGMQZFB-RCLKMUNOSA-N 0 0 443.547 -0.272 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1C ZINC000917133697 1072760790 /nfs/dbraw/zinc/76/07/90/1072760790.db2.gz SXAKCNJAKMAHFA-CYBMUJFWSA-N 0 0 429.495 -0.190 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1C ZINC000917133698 1072760780 /nfs/dbraw/zinc/76/07/80/1072760780.db2.gz SXAKCNJAKMAHFA-ZDUSSCGKSA-N 0 0 429.495 -0.190 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000917133742 1072760834 /nfs/dbraw/zinc/76/08/34/1072760834.db2.gz VEKVOCUJAOYRLS-JTQLQIEISA-N 0 0 437.927 -0.377 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000917133743 1072760890 /nfs/dbraw/zinc/76/08/90/1072760890.db2.gz VEKVOCUJAOYRLS-SNVBAGLBSA-N 0 0 437.927 -0.377 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000917133818 1072760733 /nfs/dbraw/zinc/76/07/33/1072760733.db2.gz NDYRHIPKSWDVRT-JLTOFOAXSA-N 0 0 448.501 -0.858 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000917133820 1072760712 /nfs/dbraw/zinc/76/07/12/1072760712.db2.gz NDYRHIPKSWDVRT-VBKZILBWSA-N 0 0 448.501 -0.858 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000917133822 1072760877 /nfs/dbraw/zinc/76/08/77/1072760877.db2.gz NDYRHIPKSWDVRT-VLIAUNLRSA-N 0 0 448.501 -0.858 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000917133823 1072760862 /nfs/dbraw/zinc/76/08/62/1072760862.db2.gz NDYRHIPKSWDVRT-XOBRGWDASA-N 0 0 448.501 -0.858 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000917134665 1072760700 /nfs/dbraw/zinc/76/07/00/1072760700.db2.gz IUWUXJLCUJYCDX-UHFFFAOYSA-N 0 0 447.535 -0.121 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000917134798 1072760905 /nfs/dbraw/zinc/76/09/05/1072760905.db2.gz WCNMCZRYRHYHIS-HNNXBMFYSA-N 0 0 433.534 -0.168 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000917134799 1072760651 /nfs/dbraw/zinc/76/06/51/1072760651.db2.gz WCNMCZRYRHYHIS-OAHLLOKOSA-N 0 0 433.534 -0.168 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917135085 1072761319 /nfs/dbraw/zinc/76/13/19/1072761319.db2.gz XBLVXLGYYNADIV-AWEZNQCLSA-N 0 0 433.534 -0.135 20 0 IBADRN C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917135092 1072761291 /nfs/dbraw/zinc/76/12/91/1072761291.db2.gz XBLVXLGYYNADIV-CQSZACIVSA-N 0 0 433.534 -0.135 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN([C@H](C)C(=O)NC(N)=O)CC1 ZINC000917137905 1072761444 /nfs/dbraw/zinc/76/14/44/1072761444.db2.gz BAJPHWWEVKZTFR-GFCCVEGCSA-N 0 0 432.524 -0.712 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN([C@@H](C)C(=O)NC(N)=O)CC1 ZINC000917137906 1072761257 /nfs/dbraw/zinc/76/12/57/1072761257.db2.gz BAJPHWWEVKZTFR-LBPRGKRZSA-N 0 0 432.524 -0.712 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917137952 1072761359 /nfs/dbraw/zinc/76/13/59/1072761359.db2.gz FYBSHJUHRIHVHG-CYBMUJFWSA-N 0 0 446.551 -0.451 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917137953 1072761273 /nfs/dbraw/zinc/76/12/73/1072761273.db2.gz FYBSHJUHRIHVHG-ZDUSSCGKSA-N 0 0 446.551 -0.451 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(Cc2nc(N)nc(N)n2)CC1 ZINC000917137980 1072761304 /nfs/dbraw/zinc/76/13/04/1072761304.db2.gz IYOGMITWCXSBKH-UHFFFAOYSA-N 0 0 441.539 -0.746 20 0 IBADRN CCNC(=O)NC(=O)CN1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917138045 1072761159 /nfs/dbraw/zinc/76/11/59/1072761159.db2.gz RFIYSPSQUXUNRD-UHFFFAOYSA-N 0 0 446.551 -0.449 20 0 IBADRN CNC(=O)NC(=O)CN1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917138121 1072761348 /nfs/dbraw/zinc/76/13/48/1072761348.db2.gz XLFAKRSOKAFRRA-UHFFFAOYSA-N 0 0 432.524 -0.840 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CC(=O)N2CCNC2=O)CC1 ZINC000917138123 1072761201 /nfs/dbraw/zinc/76/12/01/1072761201.db2.gz XLMWLSCQLXSTSL-UHFFFAOYSA-N 0 0 444.535 -0.743 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)NCCN3CCS(=O)(=O)CC3)cc2S1(=O)=O ZINC000917138864 1072761458 /nfs/dbraw/zinc/76/14/58/1072761458.db2.gz CNWMSKRDFIOIIY-UHFFFAOYSA-N 0 0 429.520 -0.300 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)NCCN2CCS(=O)(=O)CC2)c1 ZINC000917138914 1072761186 /nfs/dbraw/zinc/76/11/86/1072761186.db2.gz HJLWWBUVVLBEJE-UHFFFAOYSA-N 0 0 440.341 -0.443 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC000917138922 1072761232 /nfs/dbraw/zinc/76/12/32/1072761232.db2.gz IEPCDKZTTCOGDM-UHFFFAOYSA-N 0 0 427.504 -0.546 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC000917139353 1072761216 /nfs/dbraw/zinc/76/12/16/1072761216.db2.gz VXFQRZZRWMDXFX-UHFFFAOYSA-N 0 0 444.560 -0.242 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2cc(S(N)(=O)=O)ccc2Br)CC1 ZINC000917140114 1072761372 /nfs/dbraw/zinc/76/13/72/1072761372.db2.gz JYKKFFJPISYNOV-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CCN2C(=O)CCC2=O)CC1 ZINC000917140263 1072761729 /nfs/dbraw/zinc/76/17/29/1072761729.db2.gz NAKARCBPNCLUSS-UHFFFAOYSA-N 0 0 443.547 -0.146 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCN3CCN(C(C)=O)CC3)cc2S1(=O)=O ZINC000917140418 1072761851 /nfs/dbraw/zinc/76/18/51/1072761851.db2.gz RNUBBOOUKGKVJN-UHFFFAOYSA-N 0 0 438.506 -0.629 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CCC(=O)NC(N)=O)CC1 ZINC000917140570 1072761929 /nfs/dbraw/zinc/76/19/29/1072761929.db2.gz UPVMJETVTOWPBC-UHFFFAOYSA-N 0 0 432.524 -0.710 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(CCN2C(=O)CNC2=O)CC1 ZINC000917140675 1072761648 /nfs/dbraw/zinc/76/16/48/1072761648.db2.gz VCIRGXFSZYBASC-UHFFFAOYSA-N 0 0 444.535 -0.743 20 0 IBADRN CNC(=O)NC(=O)CCN1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917140684 1072761717 /nfs/dbraw/zinc/76/17/17/1072761717.db2.gz VUGDRXIZGVMMAC-UHFFFAOYSA-N 0 0 446.551 -0.449 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CN2CCN(CC)C(=O)C2=O)CC1 ZINC000917144703 1072761747 /nfs/dbraw/zinc/76/17/47/1072761747.db2.gz DIIOECPCNOGTOM-UHFFFAOYSA-N 0 0 439.513 -0.689 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CN2CCS(=O)(=O)CC2)CC1 ZINC000917145064 1072761788 /nfs/dbraw/zinc/76/17/88/1072761788.db2.gz HFTVESOCHRTSDW-UHFFFAOYSA-N 0 0 432.543 -0.650 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)cc1 ZINC000917150443 1072762152 /nfs/dbraw/zinc/76/21/52/1072762152.db2.gz MCSIQNSMVDGDIG-HSZRJFAPSA-N 0 0 449.467 -0.089 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)cc1 ZINC000917150444 1072762208 /nfs/dbraw/zinc/76/22/08/1072762208.db2.gz MCSIQNSMVDGDIG-QHCPKHFHSA-N 0 0 449.467 -0.089 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000917151375 1072762432 /nfs/dbraw/zinc/76/24/32/1072762432.db2.gz HGRWZEICKAIZLA-AUUYWEPGSA-N 0 0 436.490 -0.737 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC000917151376 1072762170 /nfs/dbraw/zinc/76/21/70/1072762170.db2.gz HGRWZEICKAIZLA-IFXJQAMLSA-N 0 0 436.490 -0.737 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000917151377 1072762356 /nfs/dbraw/zinc/76/23/56/1072762356.db2.gz HGRWZEICKAIZLA-KUHUBIRLSA-N 0 0 436.490 -0.737 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC000917151378 1072762224 /nfs/dbraw/zinc/76/22/24/1072762224.db2.gz HGRWZEICKAIZLA-LIRRHRJNSA-N 0 0 436.490 -0.737 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC000917152986 1072762826 /nfs/dbraw/zinc/76/28/26/1072762826.db2.gz UZTIKMMHMOIPEG-AUUYWEPGSA-N 0 0 436.490 -0.785 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC000917152987 1072762867 /nfs/dbraw/zinc/76/28/67/1072762867.db2.gz UZTIKMMHMOIPEG-IFXJQAMLSA-N 0 0 436.490 -0.785 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC000917152988 1072762911 /nfs/dbraw/zinc/76/29/11/1072762911.db2.gz UZTIKMMHMOIPEG-KUHUBIRLSA-N 0 0 436.490 -0.785 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC000917152989 1072762787 /nfs/dbraw/zinc/76/27/87/1072762787.db2.gz UZTIKMMHMOIPEG-LIRRHRJNSA-N 0 0 436.490 -0.785 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)C1=O ZINC000917153297 1072762676 /nfs/dbraw/zinc/76/26/76/1072762676.db2.gz WUKRBQVJVDDIQZ-JOCHJYFZSA-N 0 0 437.456 -0.015 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)NCc2ccc(C(=O)NCC(N)=O)cc2)C1=O ZINC000917153298 1072762805 /nfs/dbraw/zinc/76/28/05/1072762805.db2.gz WUKRBQVJVDDIQZ-QFIPXVFZSA-N 0 0 437.456 -0.015 20 0 IBADRN CN(CCCNC(=O)CN1C(=O)N[C@](C)(c2ccc3c(c2)OCO3)C1=O)S(C)(=O)=O ZINC000917162321 1072761918 /nfs/dbraw/zinc/76/19/18/1072761918.db2.gz VFHRXYXYWLCOAC-GOSISDBHSA-N 0 0 440.478 -0.420 20 0 IBADRN CN(CCCNC(=O)CN1C(=O)N[C@@](C)(c2ccc3c(c2)OCO3)C1=O)S(C)(=O)=O ZINC000917162322 1072762303 /nfs/dbraw/zinc/76/23/03/1072762303.db2.gz VFHRXYXYWLCOAC-SFHVURJKSA-N 0 0 440.478 -0.420 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC(N4CCNC4=O)CC3)C2=O)cc1 ZINC000917163994 1072762415 /nfs/dbraw/zinc/76/24/15/1072762415.db2.gz JKFLMEDWXHWSTI-NRFANRHFSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC(N4CCNC4=O)CC3)C2=O)cc1 ZINC000917163996 1072762373 /nfs/dbraw/zinc/76/23/73/1072762373.db2.gz JKFLMEDWXHWSTI-OAQYLSRUSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1cc(C=O)ccc1OCC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000917166917 1072762288 /nfs/dbraw/zinc/76/22/88/1072762288.db2.gz MHGLJZUSPRLJBR-UHFFFAOYSA-N 0 0 427.479 -0.392 20 0 IBADRN CC(C)N(CCCNC(=O)Cn1c(Cl)nc2c1c(=O)n(C)c(=O)n2C)S(C)(=O)=O ZINC000917167325 1072762180 /nfs/dbraw/zinc/76/21/80/1072762180.db2.gz CDXBYZVEVPEQKG-UHFFFAOYSA-N 0 0 448.933 -0.737 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)NCc3ccc(OCC(N)=O)cc3)c2c(=O)n(C)c1=O ZINC000917167375 1072762452 /nfs/dbraw/zinc/76/24/52/1072762452.db2.gz GFJCBHQXEOKFMT-UHFFFAOYSA-N 0 0 434.840 -0.732 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)NCc3ccc(S(C)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000917167388 1072762313 /nfs/dbraw/zinc/76/23/13/1072762313.db2.gz HAXYQMZLEJRHAO-UHFFFAOYSA-N 0 0 439.881 -0.193 20 0 IBADRN Cn1c2nc(Cl)n(CC(=O)N3CCC(C(=O)N4CCCC4)CC3)c2c(=O)n(C)c1=O ZINC000917167606 1072762199 /nfs/dbraw/zinc/76/21/99/1072762199.db2.gz RIWPHTSONMWGKE-UHFFFAOYSA-N 0 0 436.900 -0.052 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000917170884 1072762695 /nfs/dbraw/zinc/76/26/95/1072762695.db2.gz DQLXALXJFQGOET-UHFFFAOYSA-N 0 0 434.474 -0.876 20 0 IBADRN COC(=O)N1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC000917171210 1072763246 /nfs/dbraw/zinc/76/32/46/1072763246.db2.gz HQQLKKNPTPJMJL-UHFFFAOYSA-N 0 0 440.522 -0.292 20 0 IBADRN COC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC000917171223 1072763352 /nfs/dbraw/zinc/76/33/52/1072763352.db2.gz JHGXCSBJZVGEGG-UHFFFAOYSA-N 0 0 429.499 -0.492 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)CN2C(=O)c3ccccc3S2(=O)=O)cc1 ZINC000917171518 1072763452 /nfs/dbraw/zinc/76/34/52/1072763452.db2.gz MRHAKAWKRMLPIL-UHFFFAOYSA-N 0 0 430.442 -0.637 20 0 IBADRN COC(=O)N1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000917172236 1072763310 /nfs/dbraw/zinc/76/33/10/1072763310.db2.gz BXWWGDJBRRQGPI-UHFFFAOYSA-N 0 0 440.522 -0.292 20 0 IBADRN CCCCn1c(N)c(N(CCOC)C(=O)CN2CCN(C(=O)OC)CC2)c(=O)[nH]c1=O ZINC000917172270 1072763324 /nfs/dbraw/zinc/76/33/24/1072763324.db2.gz JJEOBOCSRJZKIJ-UHFFFAOYSA-N 0 0 440.501 -0.305 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)N2CCC3(CC2)OCCO3)c(=O)n(C)c1=O ZINC000917187316 1072762737 /nfs/dbraw/zinc/76/27/37/1072762737.db2.gz ADNXEBWRJXBLKT-UHFFFAOYSA-N 0 0 442.270 -0.438 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)Cn2c(Br)nc3c2n(C)c(=O)n(C)c3=O)C1 ZINC000917187327 1072762815 /nfs/dbraw/zinc/76/28/15/1072762815.db2.gz ALBATWIJCWYAKM-JTQLQIEISA-N 0 0 441.286 -0.677 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)Cn2c(Br)nc3c2n(C)c(=O)n(C)c3=O)C1 ZINC000917187328 1072762779 /nfs/dbraw/zinc/76/27/79/1072762779.db2.gz ALBATWIJCWYAKM-SNVBAGLBSA-N 0 0 441.286 -0.677 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)NCC(=O)NC(C)(C)C)c(=O)n(C)c1=O ZINC000917187346 1072762855 /nfs/dbraw/zinc/76/28/55/1072762855.db2.gz BORLVJZSJMKOKU-UHFFFAOYSA-N 0 0 429.275 -0.773 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000917190768 1072764409 /nfs/dbraw/zinc/76/44/09/1072764409.db2.gz RWONDTSNQWHILK-CYBMUJFWSA-N 0 0 427.504 -0.258 20 0 IBADRN O=C(NCCS(=O)(=O)c1ccccc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000917190769 1072764282 /nfs/dbraw/zinc/76/42/82/1072764282.db2.gz RWONDTSNQWHILK-ZDUSSCGKSA-N 0 0 427.504 -0.258 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2S1(=O)=O ZINC000917200105 1072763362 /nfs/dbraw/zinc/76/33/62/1072763362.db2.gz AJZQAAIBRKQLGU-UHFFFAOYSA-N 0 0 448.501 -0.198 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C\c1ccccc1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000917200177 1072763342 /nfs/dbraw/zinc/76/33/42/1072763342.db2.gz AYJSCKSQXGDMST-DHDCSXOGSA-N 0 0 434.518 -0.084 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C/c1ccccc1)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000917200178 1072763425 /nfs/dbraw/zinc/76/34/25/1072763425.db2.gz AYJSCKSQXGDMST-OQLLNIDSSA-N 0 0 434.518 -0.084 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000917200217 1072763415 /nfs/dbraw/zinc/76/34/15/1072763415.db2.gz CAVYCLSRXJNNTI-UHFFFAOYSA-N 0 0 428.898 -0.189 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CC1 ZINC000917201802 1072763399 /nfs/dbraw/zinc/76/33/99/1072763399.db2.gz QNPNFQJNQSPLKO-UHFFFAOYSA-N 0 0 446.485 -0.444 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2C(=O)N[C@](C)(c3cccc(-n4cnnn4)c3)C2=O)C1 ZINC000917202620 1072763293 /nfs/dbraw/zinc/76/32/93/1072763293.db2.gz BIDGEOLGKXHCDL-FOIQADDNSA-N 0 0 440.464 -0.444 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2C(=O)N[C@](C)(c3cccc(-n4cnnn4)c3)C2=O)C1 ZINC000917202621 1072763738 /nfs/dbraw/zinc/76/37/38/1072763738.db2.gz BIDGEOLGKXHCDL-MGPUTAFESA-N 0 0 440.464 -0.444 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2C(=O)N[C@@](C)(c3cccc(-n4cnnn4)c3)C2=O)C1 ZINC000917202622 1072763778 /nfs/dbraw/zinc/76/37/78/1072763778.db2.gz BIDGEOLGKXHCDL-QRWLVFNGSA-N 0 0 440.464 -0.444 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2C(=O)N[C@@](C)(c3cccc(-n4cnnn4)c3)C2=O)C1 ZINC000917202623 1072763787 /nfs/dbraw/zinc/76/37/87/1072763787.db2.gz BIDGEOLGKXHCDL-YWZLYKJASA-N 0 0 440.464 -0.444 20 0 IBADRN C[C@@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)N2CCC3(CC2)OCCO3)C1=O ZINC000917202898 1072763898 /nfs/dbraw/zinc/76/38/98/1072763898.db2.gz FFNKILHIZRDGKS-IBGZPJMESA-N 0 0 441.448 -0.205 20 0 IBADRN C[C@]1(c2cccc(-n3cnnn3)c2)NC(=O)N(CC(=O)N2CCC3(CC2)OCCO3)C1=O ZINC000917202899 1072763716 /nfs/dbraw/zinc/76/37/16/1072763716.db2.gz FFNKILHIZRDGKS-LJQANCHMSA-N 0 0 441.448 -0.205 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000917203685 1072763944 /nfs/dbraw/zinc/76/39/44/1072763944.db2.gz QQKOFHVXQUALOD-IBGZPJMESA-N 0 0 428.453 -0.540 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1C(=O)N[C@](C)(c2cccc(-n3cnnn3)c2)C1=O ZINC000917203686 1072763751 /nfs/dbraw/zinc/76/37/51/1072763751.db2.gz QQKOFHVXQUALOD-LJQANCHMSA-N 0 0 428.453 -0.540 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2C(=O)N[C@@H](CCS(C)(=O)=O)C2=O)c1 ZINC000917204193 1072763883 /nfs/dbraw/zinc/76/38/83/1072763883.db2.gz ONUPABHTLQCTRM-HNNXBMFYSA-N 0 0 433.490 -0.045 20 0 IBADRN Cc1cccc(Cn2[nH]ccc2=NC(=O)CN2C(=O)N[C@H](CCS(C)(=O)=O)C2=O)c1 ZINC000917204194 1072763924 /nfs/dbraw/zinc/76/39/24/1072763924.db2.gz ONUPABHTLQCTRM-OAHLLOKOSA-N 0 0 433.490 -0.045 20 0 IBADRN NS(=O)(=O)CCNC(=O)c1cc(S(=O)(=O)NC2CC2)ccc1Br ZINC000917209894 1072763725 /nfs/dbraw/zinc/76/37/25/1072763725.db2.gz CNWSPRFDDBQPHG-UHFFFAOYSA-N 0 0 426.314 -0.092 20 0 IBADRN NS(=O)(=O)CCNC(=O)c1cccc(S(=O)(=O)NCc2ccc3c(c2)OCO3)c1 ZINC000917211201 1072763911 /nfs/dbraw/zinc/76/39/11/1072763911.db2.gz YENHMVABIWYJGG-UHFFFAOYSA-N 0 0 441.487 -0.088 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)cc1 ZINC000917215177 1072766176 /nfs/dbraw/zinc/76/61/76/1072766176.db2.gz JTJWVOULMIHSEO-KRWDZBQOSA-N 0 0 440.435 -0.223 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)cc1 ZINC000917215178 1072766117 /nfs/dbraw/zinc/76/61/17/1072766117.db2.gz JTJWVOULMIHSEO-QGZVFWFLSA-N 0 0 440.435 -0.223 20 0 IBADRN COc1ccc(C=O)c(OCC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c1 ZINC000917215273 1072766180 /nfs/dbraw/zinc/76/61/80/1072766180.db2.gz MTTZACGBBYXFCA-UHFFFAOYSA-N 0 0 427.479 -0.392 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000917217877 1072764354 /nfs/dbraw/zinc/76/43/54/1072764354.db2.gz VMBADKQATCKQTK-UHFFFAOYSA-N 0 0 438.510 -0.953 20 0 IBADRN NC(=O)CNC(=O)c1ccc(CNC(=O)COc2nsnc2N2CCOCC2)cc1 ZINC000917218149 1072764376 /nfs/dbraw/zinc/76/43/76/1072764376.db2.gz XSIVBVZQCJRBBE-UHFFFAOYSA-N 0 0 434.478 -0.715 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC000917219221 1072764733 /nfs/dbraw/zinc/76/47/33/1072764733.db2.gz KGLIBNOOZNNSAW-UHFFFAOYSA-N 0 0 440.522 -0.674 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CN2C(=O)c3ccccc3C2=O)CC1 ZINC000917219432 1072764694 /nfs/dbraw/zinc/76/46/94/1072764694.db2.gz ITPSPUMCEUWACZ-UHFFFAOYSA-N 0 0 444.488 -0.115 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C(=O)c2ccccc2C1=O ZINC000917221988 1072764816 /nfs/dbraw/zinc/76/48/16/1072764816.db2.gz RGABUWKAUXGEAZ-AWEZNQCLSA-N 0 0 430.461 -0.553 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)N1C(=O)c2ccccc2C1=O ZINC000917221989 1072764757 /nfs/dbraw/zinc/76/47/57/1072764757.db2.gz RGABUWKAUXGEAZ-CQSZACIVSA-N 0 0 430.461 -0.553 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(CC(=O)N(C)C)CC3)C2=O)cc1 ZINC000917221993 1072764702 /nfs/dbraw/zinc/76/47/02/1072764702.db2.gz RPFKMEZOOGTHHI-NRFANRHFSA-N 0 0 431.493 -0.305 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(CC(=O)N(C)C)CC3)C2=O)cc1 ZINC000917221994 1072764773 /nfs/dbraw/zinc/76/47/73/1072764773.db2.gz RPFKMEZOOGTHHI-OAQYLSRUSA-N 0 0 431.493 -0.305 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000917222202 1072764864 /nfs/dbraw/zinc/76/48/64/1072764864.db2.gz SOYZEDZSRJQRAY-UHFFFAOYSA-N 0 0 440.522 -0.951 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC000917222312 1072765195 /nfs/dbraw/zinc/76/51/95/1072765195.db2.gz OCBXNQCSHUALCD-UHFFFAOYSA-N 0 0 440.522 -0.674 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCN2C(=O)c3ccccc3C2=O)CC1 ZINC000917222619 1072765284 /nfs/dbraw/zinc/76/52/84/1072765284.db2.gz HNHPBQJDLDYUBV-UHFFFAOYSA-N 0 0 430.461 -0.552 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000917222650 1072765298 /nfs/dbraw/zinc/76/52/98/1072765298.db2.gz NBULIHHGVRCIPN-UHFFFAOYSA-N 0 0 434.493 -0.409 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(Cl)c(S(N)(=O)=O)c2)CC1 ZINC000917222888 1072765229 /nfs/dbraw/zinc/76/52/29/1072765229.db2.gz PNGKRELOEPMHBJ-UHFFFAOYSA-N 0 0 446.913 -0.623 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCN2C(=O)[C@H]3CCCC[C@@H]3C2=O)CC1 ZINC000917222945 1072765270 /nfs/dbraw/zinc/76/52/70/1072765270.db2.gz PBWZFOIFHMQUJY-HOTGVXAUSA-N 0 0 436.509 -0.673 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCN2C(=O)[C@@H]3CCCC[C@H]3C2=O)CC1 ZINC000917222946 1072765308 /nfs/dbraw/zinc/76/53/08/1072765308.db2.gz PBWZFOIFHMQUJY-HZPDHXFCSA-N 0 0 436.509 -0.673 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC000917222947 1072765267 /nfs/dbraw/zinc/76/52/67/1072765267.db2.gz PBWZFOIFHMQUJY-IYBDPMFKSA-N 0 0 436.509 -0.673 20 0 IBADRN Cc1cc(C)n2nc(C(=O)OCC(=O)N3CCN(CC(=O)NC(C)(C)C)CC3)nc2n1 ZINC000917223460 1072765218 /nfs/dbraw/zinc/76/52/18/1072765218.db2.gz MMFZRVGPNDOOKT-UHFFFAOYSA-N 0 0 431.497 -0.043 20 0 IBADRN CCOc1ccccc1C(=O)NCC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000917223632 1072765246 /nfs/dbraw/zinc/76/52/46/1072765246.db2.gz YPEOPEKFXKTLLR-UHFFFAOYSA-N 0 0 434.493 -0.409 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC000917223764 1072765350 /nfs/dbraw/zinc/76/53/50/1072765350.db2.gz HWSFKYMAGGRGNQ-UHFFFAOYSA-N 0 0 444.488 -0.162 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cccc(NS(C)(=O)=O)c2)CC1 ZINC000917224229 1072765257 /nfs/dbraw/zinc/76/52/57/1072765257.db2.gz BVEIMWLNTSMXBG-UHFFFAOYSA-N 0 0 426.495 -0.553 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)Cn2cnc3ccccc3c2=O)CC1 ZINC000917224585 1072765338 /nfs/dbraw/zinc/76/53/38/1072765338.db2.gz XBJQJTKHFQCOCQ-UHFFFAOYSA-N 0 0 443.504 -0.001 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000917225084 1072765857 /nfs/dbraw/zinc/76/58/57/1072765857.db2.gz UAPVZLICPFSNIH-GOSISDBHSA-N 0 0 430.505 -0.189 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CC(=O)N(Cc3ccccc3)C2)CC1 ZINC000917225085 1072765755 /nfs/dbraw/zinc/76/57/55/1072765755.db2.gz UAPVZLICPFSNIH-SFHVURJKSA-N 0 0 430.505 -0.189 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(N3C(=O)CCC3=O)cc2)CC1 ZINC000917225224 1072765714 /nfs/dbraw/zinc/76/57/14/1072765714.db2.gz ONSQEXRBWQXGJY-UHFFFAOYSA-N 0 0 430.461 -0.271 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(=O)n(Cc3ccccc3)n2)CC1 ZINC000917226056 1072765792 /nfs/dbraw/zinc/76/57/92/1072765792.db2.gz UZEGCNAOBYFPOL-UHFFFAOYSA-N 0 0 441.488 -0.319 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)C2=NN(c3ccccc3)C(=O)CC2)CC1 ZINC000917226433 1072765651 /nfs/dbraw/zinc/76/56/51/1072765651.db2.gz YTJQLNDRNPWCKW-UHFFFAOYSA-N 0 0 429.477 -0.055 20 0 IBADRN COc1ccccc1N1C[C@@H](C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1=O ZINC000917226460 1072765728 /nfs/dbraw/zinc/76/57/28/1072765728.db2.gz KFWYBRUBTNDFCC-INIZCTEOSA-N 0 0 446.504 -0.176 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)CC1=O ZINC000917226461 1072765668 /nfs/dbraw/zinc/76/56/68/1072765668.db2.gz KFWYBRUBTNDFCC-MRXNPFEDSA-N 0 0 446.504 -0.176 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2C(=O)NC3(CCCC3)C2=O)cc1S(N)(=O)=O ZINC000917226952 1072765767 /nfs/dbraw/zinc/76/57/67/1072765767.db2.gz VTNHXGZSCOJXFE-UHFFFAOYSA-N 0 0 438.462 -0.011 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc(-n3cnnn3)cc2)CC1 ZINC000917227243 1072765781 /nfs/dbraw/zinc/76/57/81/1072765781.db2.gz QNBUGNLNBVQGOQ-UHFFFAOYSA-N 0 0 429.481 -0.122 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC000917227285 1072765838 /nfs/dbraw/zinc/76/58/38/1072765838.db2.gz XELUPVXOKCXGKC-UHFFFAOYSA-N 0 0 440.522 -0.869 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000917227303 1072765704 /nfs/dbraw/zinc/76/57/04/1072765704.db2.gz YRNSOFMAPMWGOK-AQTBWJFISA-N 0 0 441.492 -0.245 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000917227304 1072765742 /nfs/dbraw/zinc/76/57/42/1072765742.db2.gz YRNSOFMAPMWGOK-QGOAFFKASA-N 0 0 441.492 -0.245 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)COc2ccc3ccc(=O)oc3c2)CC1 ZINC000917227367 1072766089 /nfs/dbraw/zinc/76/60/89/1072766089.db2.gz MHJYJEXXVDBWBR-UHFFFAOYSA-N 0 0 431.445 -0.053 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(C(=O)COC)CC3)cc2S1(=O)=O ZINC000917227959 1072766102 /nfs/dbraw/zinc/76/61/02/1072766102.db2.gz LQPHVBLSYJPHFN-UHFFFAOYSA-N 0 0 425.463 -0.592 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)CN2C(=O)NC(C)(C)C2=O)c1C ZINC000917228056 1072764874 /nfs/dbraw/zinc/76/48/74/1072764874.db2.gz ZZHKACFUTZFBCF-UHFFFAOYSA-N 0 0 426.451 -0.237 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc3c(c2)nc2n(c3=O)CCC2)CC1 ZINC000917228787 1072766544 /nfs/dbraw/zinc/76/65/44/1072766544.db2.gz QSSLRXQIFJSCQP-UHFFFAOYSA-N 0 0 441.488 -0.268 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCS(=O)(=O)c2ccc(F)cc2)CC1 ZINC000917229071 1072766584 /nfs/dbraw/zinc/76/65/84/1072766584.db2.gz ORBCTEWKVBOOQK-UHFFFAOYSA-N 0 0 443.497 -0.235 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)C1=O ZINC000917229142 1072766622 /nfs/dbraw/zinc/76/66/22/1072766622.db2.gz XCAYTVQEZJJAPC-KRWDZBQOSA-N 0 0 426.451 -0.155 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)Nc2ccc(C)c(S(N)(=O)=O)c2)C1=O ZINC000917229143 1072766592 /nfs/dbraw/zinc/76/65/92/1072766592.db2.gz XCAYTVQEZJJAPC-QGZVFWFLSA-N 0 0 426.451 -0.155 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccccc2-n2cnnn2)CC1 ZINC000917229433 1072766557 /nfs/dbraw/zinc/76/65/57/1072766557.db2.gz YNYFEUJEOGJBGU-UHFFFAOYSA-N 0 0 429.481 -0.122 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC000917229670 1072766688 /nfs/dbraw/zinc/76/66/88/1072766688.db2.gz JQAMDWHDFHAONT-NRFANRHFSA-N 0 0 430.461 -0.092 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000917229671 1072766733 /nfs/dbraw/zinc/76/67/33/1072766733.db2.gz JQAMDWHDFHAONT-OAQYLSRUSA-N 0 0 430.461 -0.092 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000917230077 1072766674 /nfs/dbraw/zinc/76/66/74/1072766674.db2.gz IOBVOBWJUHFPPD-UHFFFAOYSA-N 0 0 444.485 -0.877 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000917230343 1072766574 /nfs/dbraw/zinc/76/65/74/1072766574.db2.gz SENKHBRUWLEXOR-UHFFFAOYSA-N 0 0 440.522 -0.626 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000917230509 1072766659 /nfs/dbraw/zinc/76/66/59/1072766659.db2.gz XHVOGEKMEAUBDG-UHFFFAOYSA-N 0 0 440.522 -0.450 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(CS(C)(=O)=O)cc2)CC1 ZINC000917230623 1072766564 /nfs/dbraw/zinc/76/65/64/1072766564.db2.gz OSBBCARLMHNVRX-UHFFFAOYSA-N 0 0 425.507 -0.380 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2cccs2)CC1 ZINC000917230899 1072767083 /nfs/dbraw/zinc/76/70/83/1072767083.db2.gz QTGXGDUWRQMFND-UHFFFAOYSA-N 0 0 446.551 -0.808 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC000917231314 1072767102 /nfs/dbraw/zinc/76/71/02/1072767102.db2.gz UGTYFHLETZDBCH-UHFFFAOYSA-N 0 0 437.497 -0.983 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2Cl)CC1 ZINC000917231675 1072767055 /nfs/dbraw/zinc/76/70/55/1072767055.db2.gz ANGBVZJLZIMYGD-UHFFFAOYSA-N 0 0 446.913 -0.623 20 0 IBADRN Cc1sc2ncn(CC(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)c(=O)c2c1C ZINC000917231916 1072767050 /nfs/dbraw/zinc/76/70/50/1072767050.db2.gz ABNNZLVRQBOWTN-UHFFFAOYSA-N 0 0 449.533 -0.150 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000917232068 1072767098 /nfs/dbraw/zinc/76/70/98/1072767098.db2.gz ORWUEGSMCIVOAN-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1 ZINC000917232375 1072767026 /nfs/dbraw/zinc/76/70/26/1072767026.db2.gz CNJRJMMQKOHMGY-UHFFFAOYSA-N 0 0 440.522 -0.626 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)[C@H]2CCCN2S(C)(=O)=O)c1C ZINC000917234685 1072767072 /nfs/dbraw/zinc/76/70/72/1072767072.db2.gz IYDKPTKVWAMRFZ-CQSZACIVSA-N 0 0 433.508 -0.143 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000917234707 1072767022 /nfs/dbraw/zinc/76/70/22/1072767022.db2.gz LWOIPNLYPJJJEE-UHFFFAOYSA-N 0 0 425.507 -0.212 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)no1 ZINC000917234997 1072767035 /nfs/dbraw/zinc/76/70/35/1072767035.db2.gz XQQATCOKYRTVEG-UHFFFAOYSA-N 0 0 441.510 -0.570 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)C2=O ZINC000917239395 1072766125 /nfs/dbraw/zinc/76/61/25/1072766125.db2.gz YFDBSIGYTZNTTN-UHFFFAOYSA-N 0 0 430.461 -0.633 20 0 IBADRN CN1C(=O)N(CC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)C(=O)C12CCCCC2 ZINC000917241567 1072766168 /nfs/dbraw/zinc/76/61/68/1072766168.db2.gz QJRNEJWEYDSFMU-UHFFFAOYSA-N 0 0 446.464 -0.426 20 0 IBADRN CC(=O)N1CCC[C@@H]1C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000917243091 1072766131 /nfs/dbraw/zinc/76/61/31/1072766131.db2.gz UONLWEGJTKUOQT-OAHLLOKOSA-N 0 0 428.445 -0.086 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000917243761 1072766160 /nfs/dbraw/zinc/76/61/60/1072766160.db2.gz NIMUKDBSXLFBBC-UHFFFAOYSA-N 0 0 426.437 -0.336 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000917243859 1072766211 /nfs/dbraw/zinc/76/62/11/1072766211.db2.gz WHKAOUBPSQRGHS-UHFFFAOYSA-N 0 0 431.497 -0.551 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC000917244208 1072766151 /nfs/dbraw/zinc/76/61/51/1072766151.db2.gz ZPQWIBGWGHCIGX-UHFFFAOYSA-N 0 0 447.492 -0.007 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000917244253 1072766153 /nfs/dbraw/zinc/76/61/53/1072766153.db2.gz IHUHKEYMNBSVPP-UHFFFAOYSA-N 0 0 440.522 -0.958 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCc1ccc(S(N)(=O)=O)cc1)c(=O)n2C ZINC000917244307 1072766529 /nfs/dbraw/zinc/76/65/29/1072766529.db2.gz SOQHBGXEONLXTL-UHFFFAOYSA-N 0 0 449.489 -0.353 20 0 IBADRN CCN(C(=O)COC(=O)CCc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000917244349 1072766603 /nfs/dbraw/zinc/76/66/03/1072766603.db2.gz YGXZROHDHVXQKD-AWEZNQCLSA-N 0 0 432.520 -0.155 20 0 IBADRN CCN(C(=O)COC(=O)CCc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000917244350 1072766611 /nfs/dbraw/zinc/76/66/11/1072766611.db2.gz YGXZROHDHVXQKD-CQSZACIVSA-N 0 0 432.520 -0.155 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCc3ccc(S(N)(=O)=O)cc3)c2c(=O)n(C)c1=O ZINC000917244352 1072766517 /nfs/dbraw/zinc/76/65/17/1072766517.db2.gz YQJQUNPDXQAGRN-UHFFFAOYSA-N 0 0 435.462 -0.743 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000917244377 1072766636 /nfs/dbraw/zinc/76/66/36/1072766636.db2.gz GWFDEMHWHQGJGX-UHFFFAOYSA-N 0 0 449.489 -0.482 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc(S(N)(=O)=O)c1C ZINC000917244805 1072767438 /nfs/dbraw/zinc/76/74/38/1072767438.db2.gz BNAVTYLBZVAIET-UHFFFAOYSA-N 0 0 440.522 -0.660 20 0 IBADRN Cc1cc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc(S(N)(=O)=O)c1C ZINC000917244809 1072767526 /nfs/dbraw/zinc/76/75/26/1072767526.db2.gz BZEIRBDDZRECDR-UHFFFAOYSA-N 0 0 435.462 -0.445 20 0 IBADRN Cc1cc(C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)cc(S(N)(=O)=O)c1C ZINC000917244882 1072767361 /nfs/dbraw/zinc/76/73/61/1072767361.db2.gz SDUPHPFNKUNBSF-UHFFFAOYSA-N 0 0 449.489 -0.055 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000917252966 1072767009 /nfs/dbraw/zinc/76/70/09/1072767009.db2.gz SWYQMIXFHYAKQY-IBGZPJMESA-N 0 0 438.506 -0.112 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000917252967 1072767378 /nfs/dbraw/zinc/76/73/78/1072767378.db2.gz SWYQMIXFHYAKQY-LJQANCHMSA-N 0 0 438.506 -0.112 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000917255482 1072767413 /nfs/dbraw/zinc/76/74/13/1072767413.db2.gz GSMVZFQAQVJYAJ-UHFFFAOYSA-N 0 0 444.558 -0.243 20 0 IBADRN COCCS(=O)(=O)CC(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000917273475 1072767951 /nfs/dbraw/zinc/76/79/51/1072767951.db2.gz CGGMTZIKTYZNLW-HNNXBMFYSA-N 0 0 434.536 -0.179 20 0 IBADRN COCCS(=O)(=O)CC(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000917273485 1072767903 /nfs/dbraw/zinc/76/79/03/1072767903.db2.gz CGGMTZIKTYZNLW-OAHLLOKOSA-N 0 0 434.536 -0.179 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc21)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000917274581 1072768020 /nfs/dbraw/zinc/76/80/20/1072768020.db2.gz LMMYIQLYDYOTTN-AWEZNQCLSA-N 0 0 436.490 -0.384 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc21)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000917274589 1072768083 /nfs/dbraw/zinc/76/80/83/1072768083.db2.gz LMMYIQLYDYOTTN-CQSZACIVSA-N 0 0 436.490 -0.384 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cs1 ZINC000917292751 1072768485 /nfs/dbraw/zinc/76/84/85/1072768485.db2.gz NPENXPBFJAEIHK-JTQLQIEISA-N 0 0 446.507 -0.164 20 0 IBADRN CC(C)C(=O)Nc1nc(CC(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cs1 ZINC000917292763 1072768569 /nfs/dbraw/zinc/76/85/69/1072768569.db2.gz NPENXPBFJAEIHK-SNVBAGLBSA-N 0 0 446.507 -0.164 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917293610 1072768649 /nfs/dbraw/zinc/76/86/49/1072768649.db2.gz GDUKLOMXXFBCJU-KBPBESRZSA-N 0 0 440.547 -0.555 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917293612 1072768548 /nfs/dbraw/zinc/76/85/48/1072768548.db2.gz GDUKLOMXXFBCJU-KGLIPLIRSA-N 0 0 440.547 -0.555 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917293616 1072768618 /nfs/dbraw/zinc/76/86/18/1072768618.db2.gz GDUKLOMXXFBCJU-UONOGXRCSA-N 0 0 440.547 -0.555 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917293619 1072768510 /nfs/dbraw/zinc/76/85/10/1072768510.db2.gz GDUKLOMXXFBCJU-ZIAGYGMSSA-N 0 0 440.547 -0.555 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(C)(=O)=O ZINC000917294144 1072768444 /nfs/dbraw/zinc/76/84/44/1072768444.db2.gz GTGSMAUGOJGCIH-GFCCVEGCSA-N 0 0 432.476 -0.432 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(C)(=O)=O ZINC000917294150 1072768594 /nfs/dbraw/zinc/76/85/94/1072768594.db2.gz GTGSMAUGOJGCIH-LBPRGKRZSA-N 0 0 432.476 -0.432 20 0 IBADRN O=C(COC(=O)CNC(=O)Cc1cccc(F)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000917294820 1072768561 /nfs/dbraw/zinc/76/85/61/1072768561.db2.gz YLEOQIRMUVESSJ-CYBMUJFWSA-N 0 0 429.426 -0.960 20 0 IBADRN O=C(COC(=O)CNC(=O)Cc1cccc(F)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000917294822 1072768496 /nfs/dbraw/zinc/76/84/96/1072768496.db2.gz YLEOQIRMUVESSJ-ZDUSSCGKSA-N 0 0 429.426 -0.960 20 0 IBADRN O=C(Cn1cc(Br)cn1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000917315486 1072768469 /nfs/dbraw/zinc/76/84/69/1072768469.db2.gz UXDIHXOXYNKEIV-CYBMUJFWSA-N 0 0 449.327 -0.480 20 0 IBADRN O=C(Cn1cc(Br)cn1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000917315501 1072768478 /nfs/dbraw/zinc/76/84/78/1072768478.db2.gz UXDIHXOXYNKEIV-ZDUSSCGKSA-N 0 0 449.327 -0.480 20 0 IBADRN Cc1nc2ccnn2c(C)c1CCC(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000917316864 1072768943 /nfs/dbraw/zinc/76/89/43/1072768943.db2.gz RXAAQVFZUAIPCO-CYBMUJFWSA-N 0 0 437.478 -0.165 20 0 IBADRN Cc1nc2ccnn2c(C)c1CCC(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000917316866 1072768882 /nfs/dbraw/zinc/76/88/82/1072768882.db2.gz RXAAQVFZUAIPCO-ZDUSSCGKSA-N 0 0 437.478 -0.165 20 0 IBADRN O=C(COC(=O)C[C@@H]1Oc2ccccc2NC1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000917317131 1072768990 /nfs/dbraw/zinc/76/89/90/1072768990.db2.gz UWGIPNHPMHTEKS-GWCFXTLKSA-N 0 0 425.419 -0.668 20 0 IBADRN O=C(COC(=O)C[C@H]1Oc2ccccc2NC1=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000917317132 1072768981 /nfs/dbraw/zinc/76/89/81/1072768981.db2.gz UWGIPNHPMHTEKS-GXFFZTMASA-N 0 0 425.419 -0.668 20 0 IBADRN O=C(COC(=O)C[C@@H]1Oc2ccccc2NC1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000917317133 1072769003 /nfs/dbraw/zinc/76/90/03/1072769003.db2.gz UWGIPNHPMHTEKS-MFKMUULPSA-N 0 0 425.419 -0.668 20 0 IBADRN O=C(COC(=O)C[C@H]1Oc2ccccc2NC1=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000917317134 1072769570 /nfs/dbraw/zinc/76/95/70/1072769570.db2.gz UWGIPNHPMHTEKS-ZWNOBZJWSA-N 0 0 425.419 -0.668 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N1CCN(c2ccc(C(C)=O)cc2F)CC1 ZINC000917324275 1072769445 /nfs/dbraw/zinc/76/94/45/1072769445.db2.gz BLIWHOFREFWZFR-UHFFFAOYSA-N 0 0 436.440 -0.528 20 0 IBADRN COC(=O)C1=C(COC(=O)CNC(=O)CNC(C)=O)N(C)C(=O)N[C@@H]1c1ccccc1 ZINC000917324703 1072769521 /nfs/dbraw/zinc/76/95/21/1072769521.db2.gz DGESBSSNUYEDBF-GOSISDBHSA-N 0 0 432.433 -0.395 20 0 IBADRN COC(=O)C1=C(COC(=O)CNC(=O)CNC(C)=O)N(C)C(=O)N[C@H]1c1ccccc1 ZINC000917324704 1072769420 /nfs/dbraw/zinc/76/94/20/1072769420.db2.gz DGESBSSNUYEDBF-SFHVURJKSA-N 0 0 432.433 -0.395 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N1CCN(c2cc(C)nc(C(C)C)n2)CC1 ZINC000917326102 1072769530 /nfs/dbraw/zinc/76/95/30/1072769530.db2.gz RIOGPMYSVHFJKE-UHFFFAOYSA-N 0 0 434.497 -0.647 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N1CCN(c2ncc(Cl)cc2Cl)CC1 ZINC000917326363 1072769504 /nfs/dbraw/zinc/76/95/04/1072769504.db2.gz XWLVRYNJAUBRCG-UHFFFAOYSA-N 0 0 446.291 -0.168 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)O[C@H](C)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000917330030 1072769054 /nfs/dbraw/zinc/76/90/54/1072769054.db2.gz YOBKWJNERDXKLX-MRVPVSSYSA-N 0 0 432.355 -0.657 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)O[C@@H](C)C(=O)NCC(=O)Nc1ccc(F)c(F)c1F ZINC000917330040 1072769024 /nfs/dbraw/zinc/76/90/24/1072769024.db2.gz YOBKWJNERDXKLX-QMMMGPOBSA-N 0 0 432.355 -0.657 20 0 IBADRN COc1cccc(OCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000917332530 1072769012 /nfs/dbraw/zinc/76/90/12/1072769012.db2.gz XFYOFHHMJBTANA-HNNXBMFYSA-N 0 0 426.491 -0.052 20 0 IBADRN COc1cccc(OCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000917332531 1072768915 /nfs/dbraw/zinc/76/89/15/1072768915.db2.gz XFYOFHHMJBTANA-OAHLLOKOSA-N 0 0 426.491 -0.052 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000917339987 1072769068 /nfs/dbraw/zinc/76/90/68/1072769068.db2.gz FEIAXWNKOQVUNR-UHFFFAOYSA-N 0 0 428.898 -0.106 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1)C(F)(F)F ZINC000917341014 1072769081 /nfs/dbraw/zinc/76/90/81/1072769081.db2.gz MMHIDPDDPRXHTI-KRWDZBQOSA-N 0 0 431.415 -0.147 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1)C(F)(F)F ZINC000917341016 1072768873 /nfs/dbraw/zinc/76/88/73/1072768873.db2.gz MMHIDPDDPRXHTI-QGZVFWFLSA-N 0 0 431.415 -0.147 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000917341893 1072768971 /nfs/dbraw/zinc/76/89/71/1072768971.db2.gz KMMDEFCISRNNRQ-CYBMUJFWSA-N 0 0 438.510 -0.947 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000917341894 1072769045 /nfs/dbraw/zinc/76/90/45/1072769045.db2.gz KMMDEFCISRNNRQ-ZDUSSCGKSA-N 0 0 438.510 -0.947 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000917342673 1072769595 /nfs/dbraw/zinc/76/95/95/1072769595.db2.gz CWEAOMWJKQFNEY-UHFFFAOYSA-N 0 0 443.485 -0.628 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000917343013 1072769607 /nfs/dbraw/zinc/76/96/07/1072769607.db2.gz OHARVTGWNIBDFA-UHFFFAOYSA-N 0 0 443.485 -0.628 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cccc(N3C(=O)CCC3=O)c2)CC1 ZINC000917348383 1072769994 /nfs/dbraw/zinc/76/99/94/1072769994.db2.gz SNMOZIMXKVKCOR-UHFFFAOYSA-N 0 0 430.461 -0.271 20 0 IBADRN Cn1c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc2c1nc1ccccn1c2=O ZINC000917350943 1072769879 /nfs/dbraw/zinc/76/98/79/1072769879.db2.gz PDNQFCYZZRCJNH-UHFFFAOYSA-N 0 0 438.400 -0.795 20 0 IBADRN Cn1c(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc2c1nc1ccccn1c2=O ZINC000917351901 1072769938 /nfs/dbraw/zinc/76/99/38/1072769938.db2.gz LUGJVMHZVFKJPT-UHFFFAOYSA-N 0 0 449.427 -0.210 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000917369665 1072770876 /nfs/dbraw/zinc/77/08/76/1072770876.db2.gz JJBAKBIASBQNLF-CABCVRRESA-N 0 0 429.520 -0.321 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000917369667 1072770989 /nfs/dbraw/zinc/77/09/89/1072770989.db2.gz JJBAKBIASBQNLF-GJZGRUSLSA-N 0 0 429.520 -0.321 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000917369668 1072770911 /nfs/dbraw/zinc/77/09/11/1072770911.db2.gz JJBAKBIASBQNLF-HUUCEWRRSA-N 0 0 429.520 -0.321 20 0 IBADRN O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000917369669 1072770853 /nfs/dbraw/zinc/77/08/53/1072770853.db2.gz JJBAKBIASBQNLF-LSDHHAIUSA-N 0 0 429.520 -0.321 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)N1CCN(C(=O)c2ccco2)CC1 ZINC000917370163 1072770958 /nfs/dbraw/zinc/77/09/58/1072770958.db2.gz JCQUDYVKHUKTCI-UHFFFAOYSA-N 0 0 448.457 -0.395 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C1 ZINC000917370188 1072770918 /nfs/dbraw/zinc/77/09/18/1072770918.db2.gz UOWPIAXLOWWDJI-CYBMUJFWSA-N 0 0 425.463 -0.171 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C1 ZINC000917370189 1072770905 /nfs/dbraw/zinc/77/09/05/1072770905.db2.gz UOWPIAXLOWWDJI-ZDUSSCGKSA-N 0 0 425.463 -0.171 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NN2C(=O)c3ccccc3C2=O)c1 ZINC000917374894 1072770921 /nfs/dbraw/zinc/77/09/21/1072770921.db2.gz MGYLBPMMJXYXEK-UHFFFAOYSA-N 0 0 430.442 -0.006 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)Cn2cncn2)CC1 ZINC000917381616 1072771895 /nfs/dbraw/zinc/77/18/95/1072771895.db2.gz CCPZKBMQQCVSBV-UHFFFAOYSA-N 0 0 427.508 -0.477 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CCn2cncn2)CC1 ZINC000917382011 1072771866 /nfs/dbraw/zinc/77/18/66/1072771866.db2.gz INADBOCRQDHYSR-UHFFFAOYSA-N 0 0 441.535 -0.087 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CN2CCCC2=O)CC1 ZINC000917382192 1072771881 /nfs/dbraw/zinc/77/18/81/1072771881.db2.gz MEACSDRNVAEGBB-UHFFFAOYSA-N 0 0 443.547 -0.146 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC000917382408 1072771903 /nfs/dbraw/zinc/77/19/03/1072771903.db2.gz QTLZSLXWUFHTEN-AWEZNQCLSA-N 0 0 443.547 -0.242 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC000917382409 1072771951 /nfs/dbraw/zinc/77/19/51/1072771951.db2.gz QTLZSLXWUFHTEN-CQSZACIVSA-N 0 0 443.547 -0.242 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC000917382431 1072771934 /nfs/dbraw/zinc/77/19/34/1072771934.db2.gz RVZPLEGSCRLGJB-AWEZNQCLSA-N 0 0 443.547 -0.290 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC000917382439 1072771888 /nfs/dbraw/zinc/77/18/88/1072771888.db2.gz RVZPLEGSCRLGJB-CQSZACIVSA-N 0 0 443.547 -0.290 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CCNC(N)=O)CC1 ZINC000917382799 1072771985 /nfs/dbraw/zinc/77/19/85/1072771985.db2.gz SZURIMFWAIXBGC-UHFFFAOYSA-N 0 0 432.524 -0.710 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H](C)NC(N)=O)CC1 ZINC000917382952 1072771940 /nfs/dbraw/zinc/77/19/40/1072771940.db2.gz UOJBJGKSWOBGQX-GFCCVEGCSA-N 0 0 432.524 -0.712 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CNC(=O)C2CC2)CC1 ZINC000917383076 1072771876 /nfs/dbraw/zinc/77/18/76/1072771876.db2.gz VPYKNXUARKEELE-UHFFFAOYSA-N 0 0 443.547 -0.242 20 0 IBADRN COC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917383471 1072771858 /nfs/dbraw/zinc/77/18/58/1072771858.db2.gz WTBZTYUNJVTBAA-UHFFFAOYSA-N 0 0 447.535 -0.070 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)c2nccnc2N)CC1 ZINC000917383476 1072772461 /nfs/dbraw/zinc/77/24/61/1072772461.db2.gz XBSTYHGUXIUMSA-UHFFFAOYSA-N 0 0 439.519 -0.083 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2COCCO2)CC1 ZINC000917383821 1072772469 /nfs/dbraw/zinc/77/24/69/1072772469.db2.gz YNEGWILKOCBCPG-HNNXBMFYSA-N 0 0 432.520 -0.353 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2COCCO2)CC1 ZINC000917383824 1072772525 /nfs/dbraw/zinc/77/25/25/1072772525.db2.gz YNEGWILKOCBCPG-OAHLLOKOSA-N 0 0 432.520 -0.353 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917384917 1072772532 /nfs/dbraw/zinc/77/25/32/1072772532.db2.gz JGNUXMMNVRZXAD-UHFFFAOYSA-N 0 0 426.520 -0.990 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917385275 1072772402 /nfs/dbraw/zinc/77/24/02/1072772402.db2.gz MQPCPASNUYRVBI-UHFFFAOYSA-N 0 0 437.503 -0.421 20 0 IBADRN CC(=O)N[C@H](C)C(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917385405 1072772514 /nfs/dbraw/zinc/77/25/14/1072772514.db2.gz MWNDZVTVEPBCJV-CYBMUJFWSA-N 0 0 431.536 -0.244 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917385406 1072772410 /nfs/dbraw/zinc/77/24/10/1072772410.db2.gz MWNDZVTVEPBCJV-ZDUSSCGKSA-N 0 0 431.536 -0.244 20 0 IBADRN CN(CC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000917385767 1072772506 /nfs/dbraw/zinc/77/25/06/1072772506.db2.gz RLQHDWGXERRDMO-AWEZNQCLSA-N 0 0 440.547 -0.601 20 0 IBADRN CN(CC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000917385770 1072772476 /nfs/dbraw/zinc/77/24/76/1072772476.db2.gz RLQHDWGXERRDMO-CQSZACIVSA-N 0 0 440.547 -0.601 20 0 IBADRN COCCn1nc(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)ccc1=O ZINC000917385879 1072772543 /nfs/dbraw/zinc/77/25/43/1072772543.db2.gz VYNVDRARYWEKAN-UHFFFAOYSA-N 0 0 431.474 -0.203 20 0 IBADRN CCN=c1nc(NCC)nc2[n-]nc(SCC(=O)N3CCN(CC[NH+](C)C)CC3)n21 ZINC000917391997 1072773053 /nfs/dbraw/zinc/77/30/53/1072773053.db2.gz LKEZALMGEKQOHH-UHFFFAOYSA-N 0 0 436.590 -0.397 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)/C=C/c1cn(C)c(=O)n(C)c1=O ZINC000917408796 1072773669 /nfs/dbraw/zinc/77/36/69/1072773669.db2.gz ONXGCPHWAUSWLA-BQYQJAHWSA-N 0 0 449.508 -0.365 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)/C=C\c1cn(C)c(=O)n(C)c1=O ZINC000917408800 1072773609 /nfs/dbraw/zinc/77/36/09/1072773609.db2.gz ONXGCPHWAUSWLA-FPLPWBNLSA-N 0 0 449.508 -0.365 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)CN(/C=C/c3ccccc3)C2=O)CC1)N1CCOCC1 ZINC000917421700 1072774579 /nfs/dbraw/zinc/77/45/79/1072774579.db2.gz FBDOVSLOHPOPLD-VOTSOKGWSA-N 0 0 441.488 -0.118 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000917421968 1072774666 /nfs/dbraw/zinc/77/46/66/1072774666.db2.gz NMSFUMZMRVWPMT-KRWDZBQOSA-N 0 0 444.535 -0.608 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000917421969 1072774626 /nfs/dbraw/zinc/77/46/26/1072774626.db2.gz NMSFUMZMRVWPMT-QGZVFWFLSA-N 0 0 444.535 -0.608 20 0 IBADRN COc1cc2c(c(OC)c1)C(=O)C(=O)N2CN1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000917422086 1072774687 /nfs/dbraw/zinc/77/46/87/1072774687.db2.gz RWTZLYHULBFDMH-UHFFFAOYSA-N 0 0 446.460 -0.806 20 0 IBADRN COc1ccc(CN2C(=O)C(=O)N(CN3CCN(C(=O)C(=O)NC(C)C)CC3)C2=O)cc1 ZINC000917422419 1072774608 /nfs/dbraw/zinc/77/46/08/1072774608.db2.gz VJNJCQYLUCKNFM-UHFFFAOYSA-N 0 0 445.476 -0.388 20 0 IBADRN CC(C)[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000917427677 1072775188 /nfs/dbraw/zinc/77/51/88/1072775188.db2.gz FXUYYSJSOARYNF-DAYGRLMNSA-N 0 0 431.559 -0.118 20 0 IBADRN CC(C)[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1=O ZINC000917427678 1072775078 /nfs/dbraw/zinc/77/50/78/1072775078.db2.gz FXUYYSJSOARYNF-IIDMSEBBSA-N 0 0 431.559 -0.118 20 0 IBADRN CC(C)[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1=O ZINC000917427679 1072775087 /nfs/dbraw/zinc/77/50/87/1072775087.db2.gz FXUYYSJSOARYNF-RKVPGOIHSA-N 0 0 431.559 -0.118 20 0 IBADRN CC(C)[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1=O ZINC000917427680 1072775168 /nfs/dbraw/zinc/77/51/68/1072775168.db2.gz FXUYYSJSOARYNF-RVKKMQEKSA-N 0 0 431.559 -0.118 20 0 IBADRN COc1ccc(CN2C(=O)C(=O)N(CN3CCN(S(=O)(=O)N(C)C)CC3)C2=O)cc1 ZINC000917427777 1072775051 /nfs/dbraw/zinc/77/50/51/1072775051.db2.gz KXBWSJFHDYMUND-UHFFFAOYSA-N 0 0 439.494 -0.633 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000917443200 1072776227 /nfs/dbraw/zinc/77/62/27/1072776227.db2.gz FTFHNFIYXZUGCH-KRWDZBQOSA-N 0 0 425.467 -0.637 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000917443201 1072776124 /nfs/dbraw/zinc/77/61/24/1072776124.db2.gz FTFHNFIYXZUGCH-QGZVFWFLSA-N 0 0 425.467 -0.637 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CN3C(=O)NC4(CCCCC4)C3=O)CC2)o1 ZINC000917443587 1072776037 /nfs/dbraw/zinc/77/60/37/1072776037.db2.gz GKYNALUZSRNNKI-UHFFFAOYSA-N 0 0 439.494 -0.103 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CN3C(=O)c4cccc(N)c4C3=O)CC2)o1 ZINC000917443712 1072776078 /nfs/dbraw/zinc/77/60/78/1072776078.db2.gz PBRPBBUSAGOMDF-UHFFFAOYSA-N 0 0 433.446 -0.479 20 0 IBADRN COCCn1cnn(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1=S ZINC000917443717 1072776135 /nfs/dbraw/zinc/77/61/35/1072776135.db2.gz PDYNJJHPBCJEIK-UHFFFAOYSA-N 0 0 430.512 -0.284 20 0 IBADRN CC(C)CN1C(=O)C(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000917443926 1072776206 /nfs/dbraw/zinc/77/62/06/1072776206.db2.gz QWASCXJWQBMKMO-UHFFFAOYSA-N 0 0 441.466 -0.911 20 0 IBADRN CC(C)C[C@H]1NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000917444689 1072776103 /nfs/dbraw/zinc/77/61/03/1072776103.db2.gz ZPSHQSSANZKXPB-GFCCVEGCSA-N 0 0 427.483 -0.391 20 0 IBADRN CC(C)C[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000917444690 1072776006 /nfs/dbraw/zinc/77/60/06/1072776006.db2.gz ZPSHQSSANZKXPB-LBPRGKRZSA-N 0 0 427.483 -0.391 20 0 IBADRN COc1ccc2c(c1)N(CN1CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC1)C(=O)C2=O ZINC000917446001 1072776680 /nfs/dbraw/zinc/77/66/80/1072776680.db2.gz RGNNMRXUOPQVEW-UHFFFAOYSA-N 0 0 448.457 -0.120 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(CN2C(=O)C(=O)N(C)C2=O)CC1 ZINC000917446005 1072776700 /nfs/dbraw/zinc/77/67/00/1072776700.db2.gz ROUUSZRFDNGJHI-UHFFFAOYSA-N 0 0 442.450 -0.633 20 0 IBADRN CC(C)[C@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000917446126 1072776622 /nfs/dbraw/zinc/77/66/22/1072776622.db2.gz VJLQUWDMNHYMEJ-KRWDZBQOSA-N 0 0 427.483 -0.391 20 0 IBADRN CC(C)[C@@]1(C)NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000917446127 1072776552 /nfs/dbraw/zinc/77/65/52/1072776552.db2.gz VJLQUWDMNHYMEJ-QGZVFWFLSA-N 0 0 427.483 -0.391 20 0 IBADRN COCC(=O)N1CCN(CN2C(=O)N[C@@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CC1 ZINC000917464486 1072777725 /nfs/dbraw/zinc/77/77/25/1072777725.db2.gz LZYBZXJGPIUWAQ-IBGZPJMESA-N 0 0 438.506 -0.395 20 0 IBADRN COCC(=O)N1CCN(CN2C(=O)N[C@](C)(c3ccc(S(C)(=O)=O)cc3)C2=O)CC1 ZINC000917464505 1072777743 /nfs/dbraw/zinc/77/77/43/1072777743.db2.gz LZYBZXJGPIUWAQ-LJQANCHMSA-N 0 0 438.506 -0.395 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCN(C(=O)COC)CC2)c1=O ZINC000917465422 1072777563 /nfs/dbraw/zinc/77/75/63/1072777563.db2.gz ZRHOVLRAIDQBKA-UHFFFAOYSA-N 0 0 439.538 -0.179 20 0 IBADRN COc1ccc(CN2C(=O)C(=O)N(CN3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)cc1 ZINC000917466798 1072777705 /nfs/dbraw/zinc/77/77/05/1072777705.db2.gz ACXIQEHOVYNIRI-UHFFFAOYSA-N 0 0 443.460 -0.634 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(CN2C(=O)C(=O)N(CCc3cccs3)C2=O)CC1 ZINC000917467403 1072777696 /nfs/dbraw/zinc/77/76/96/1072777696.db2.gz ANTJQWMGZWBKJC-UHFFFAOYSA-N 0 0 433.490 -0.538 20 0 IBADRN NC(=O)CCn1c(C2CC2)nn(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c1=S ZINC000917467785 1072777603 /nfs/dbraw/zinc/77/76/03/1072777603.db2.gz GCSULPFUJSNPPW-UHFFFAOYSA-N 0 0 435.554 -0.109 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(CN2C(=O)N[C@@]3(CCc4ccccc4C3)C2=O)CC1 ZINC000917467809 1072777668 /nfs/dbraw/zinc/77/76/68/1072777668.db2.gz GFQYBNNEUSVUSF-JOCHJYFZSA-N 0 0 425.489 -0.154 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(CN2C(=O)N[C@]3(CCc4ccccc4C3)C2=O)CC1 ZINC000917467813 1072777770 /nfs/dbraw/zinc/77/77/70/1072777770.db2.gz GFQYBNNEUSVUSF-QFIPXVFZSA-N 0 0 425.489 -0.154 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C(=O)N(CCc3cccs3)C2=O)CC1)N1CCCC1 ZINC000917468006 1072777629 /nfs/dbraw/zinc/77/76/29/1072777629.db2.gz BXKMBJLPUMPGOY-UHFFFAOYSA-N 0 0 447.517 -0.195 20 0 IBADRN O=C(C(=O)N1CCN(CN2c3cc4c(cc3C(=O)C2=O)OCCO4)CC1)N1CCCC1 ZINC000917468629 1072777761 /nfs/dbraw/zinc/77/77/61/1072777761.db2.gz IRGDOOAVLIZRQB-UHFFFAOYSA-N 0 0 428.445 -0.289 20 0 IBADRN COc1cc2c(c(OC)c1)C(=O)C(=O)N2CN1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000917469299 1072778231 /nfs/dbraw/zinc/77/82/31/1072778231.db2.gz QABIUUYEPVBWHS-UHFFFAOYSA-N 0 0 430.461 -0.043 20 0 IBADRN CCn1c(N2CCOCC2)nn(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c1=S ZINC000917469309 1072778102 /nfs/dbraw/zinc/77/81/02/1072778102.db2.gz SUCUNWANIVSYFW-UHFFFAOYSA-N 0 0 437.570 -0.005 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(Cn2nc(N3CCOCC3)n(C3CC3)c2=S)CC1 ZINC000917469320 1072778248 /nfs/dbraw/zinc/77/82/48/1072778248.db2.gz QWUOJXIGEACFDH-UHFFFAOYSA-N 0 0 435.554 -0.034 20 0 IBADRN C[C@@H](c1ccccc1)N1C(=O)C(=O)N(CN2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000917469497 1072778072 /nfs/dbraw/zinc/77/80/72/1072778072.db2.gz RVBJIQOOOOUESR-AWEZNQCLSA-N 0 0 427.461 -0.081 20 0 IBADRN C[C@H](c1ccccc1)N1C(=O)C(=O)N(CN2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000917469498 1072778207 /nfs/dbraw/zinc/77/82/07/1072778207.db2.gz RVBJIQOOOOUESR-CQSZACIVSA-N 0 0 427.461 -0.081 20 0 IBADRN COc1cc2c(cc1OC)N(CN1CCN(C(=O)C(=O)N3CCCC3)CC1)C(=O)C2=O ZINC000917469539 1072778219 /nfs/dbraw/zinc/77/82/19/1072778219.db2.gz SUDBSWAEPGFJLA-UHFFFAOYSA-N 0 0 430.461 -0.043 20 0 IBADRN CNC(=O)c1nn(CN2CCN(C(=O)C(=O)N3CCCC3)CC2)c(=O)c2ccccc21 ZINC000917469711 1072778042 /nfs/dbraw/zinc/77/80/42/1072778042.db2.gz WVZRYBJXWIBADP-UHFFFAOYSA-N 0 0 426.477 -0.520 20 0 IBADRN Cc1ccc(N2C(=O)C(=O)N(CN3CCN(C(=O)C(=O)NC4CC4)CC3)C2=O)c(C)c1 ZINC000917469749 1072778177 /nfs/dbraw/zinc/77/81/77/1072778177.db2.gz VKNVPYPCYGIHKS-UHFFFAOYSA-N 0 0 427.461 -0.021 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C(=O)N(Cc3cccs3)C2=O)CC1)N1CCCC1 ZINC000917469777 1072778025 /nfs/dbraw/zinc/77/80/25/1072778025.db2.gz XPSDIXOTAXNVCO-UHFFFAOYSA-N 0 0 433.490 -0.237 20 0 IBADRN CC(C)Cn1c(CCC(N)=O)nn(CN2CCN(C(=O)C(=O)NC3CC3)CC2)c1=S ZINC000917469840 1072778129 /nfs/dbraw/zinc/77/81/29/1072778129.db2.gz WRJBPWKVGCWBKQ-UHFFFAOYSA-N 0 0 437.570 -0.132 20 0 IBADRN O=C(C(=O)N1CCN(CN2C(=O)C(=O)N(Cc3ccccc3)C2=O)CC1)N1CCCC1 ZINC000917469872 1072778239 /nfs/dbraw/zinc/77/82/39/1072778239.db2.gz ZOVSLDKTXHEOKB-UHFFFAOYSA-N 0 0 427.461 -0.299 20 0 IBADRN NC(=O)CCn1c(-c2ccco2)nn(CN2CCN(C(=O)C(=O)NC3CC3)CC2)c1=S ZINC000917469959 1072778082 /nfs/dbraw/zinc/77/80/82/1072778082.db2.gz XWCFDJMSPYVUJH-UHFFFAOYSA-N 0 0 447.521 -0.070 20 0 IBADRN CCOc1ccccc1N1C(=O)C(=O)N(CN2CCN(C(=O)C(=O)NC3CC3)CC2)C1=O ZINC000917469981 1072778057 /nfs/dbraw/zinc/77/80/57/1072778057.db2.gz ZRWIPLUVKWMOGI-UHFFFAOYSA-N 0 0 443.460 -0.239 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNC(=O)OCC(F)(F)F)CC2)o1 ZINC000917495409 1072779170 /nfs/dbraw/zinc/77/91/70/1072779170.db2.gz KTZAYYLMOBJOIX-UHFFFAOYSA-N 0 0 442.372 -0.500 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@@H](CO)NC(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000917500336 1072778499 /nfs/dbraw/zinc/77/84/99/1072778499.db2.gz IGDDHVRCFOEJKP-DLBZAZTESA-N 0 0 440.518 -0.008 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@@H](CO)NC(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000917500337 1072778605 /nfs/dbraw/zinc/77/86/05/1072778605.db2.gz IGDDHVRCFOEJKP-IAGOWNOFSA-N 0 0 440.518 -0.008 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@H](CO)NC(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC000917500339 1072778506 /nfs/dbraw/zinc/77/85/06/1072778506.db2.gz IGDDHVRCFOEJKP-IRXDYDNUSA-N 0 0 440.518 -0.008 20 0 IBADRN CC(C)CN(C(=O)COC(=O)[C@H](CO)NC(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC000917500340 1072778732 /nfs/dbraw/zinc/77/87/32/1072778732.db2.gz IGDDHVRCFOEJKP-SJORKVTESA-N 0 0 440.518 -0.008 20 0 IBADRN Cc1n[nH]c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1Br ZINC000917500558 1072778707 /nfs/dbraw/zinc/77/87/07/1072778707.db2.gz UKLXMQCZCQBEBK-UHFFFAOYSA-N 0 0 435.348 -0.269 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@@H](CO)NC(=O)c1ccccc1)C2=O ZINC000917502262 1072778639 /nfs/dbraw/zinc/77/86/39/1072778639.db2.gz LLJMURQPLDMSAV-DYDLGINISA-N 0 0 446.460 -0.148 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@H](CO)NC(=O)c1ccccc1)C2=O ZINC000917502268 1072778619 /nfs/dbraw/zinc/77/86/19/1072778619.db2.gz LLJMURQPLDMSAV-FDTVQSNTSA-N 0 0 446.460 -0.148 20 0 IBADRN O=C(COC(=O)[C@H](CO)NC(=O)c1ccccc1)N1CCN(C(=O)c2ccco2)CC1 ZINC000917503151 1072778560 /nfs/dbraw/zinc/77/85/60/1072778560.db2.gz ZSWPBROHZKURCH-INIZCTEOSA-N 0 0 429.429 -0.102 20 0 IBADRN O=C(COC(=O)[C@@H](CO)NC(=O)c1ccccc1)N1CCN(C(=O)c2ccco2)CC1 ZINC000917503155 1072778655 /nfs/dbraw/zinc/77/86/55/1072778655.db2.gz ZSWPBROHZKURCH-MRXNPFEDSA-N 0 0 429.429 -0.102 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC000917512100 1072779621 /nfs/dbraw/zinc/77/96/21/1072779621.db2.gz AKIFICGNWTXEMY-UHFFFAOYSA-N 0 0 427.874 -0.781 20 0 IBADRN CSCC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000917512220 1072779683 /nfs/dbraw/zinc/77/96/83/1072779683.db2.gz CMGBPECGVHDIIF-CYBMUJFWSA-N 0 0 427.531 -0.010 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2S1(=O)=O ZINC000917512247 1072779787 /nfs/dbraw/zinc/77/97/87/1072779787.db2.gz CVLWUJSWXCOBNQ-UHFFFAOYSA-N 0 0 447.477 -0.789 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2=O)cc1 ZINC000917512609 1072779663 /nfs/dbraw/zinc/77/96/63/1072779663.db2.gz IGEIKGQTUFQRRO-FQEVSTJZSA-N 0 0 440.464 -0.880 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)C2=O)cc1 ZINC000917512612 1072779652 /nfs/dbraw/zinc/77/96/52/1072779652.db2.gz IGEIKGQTUFQRRO-HXUWFJFHSA-N 0 0 440.464 -0.880 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc(S(=O)(=O)C(F)(F)F)cc2)CC1 ZINC000917512694 1072780201 /nfs/dbraw/zinc/78/02/01/1072780201.db2.gz MMNYJOFYQSTKTR-UHFFFAOYSA-N 0 0 432.384 -0.049 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C/c1ccccc1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000917513048 1072780318 /nfs/dbraw/zinc/78/03/18/1072780318.db2.gz VUVFEBPJCFCJAD-NTUHNPAUSA-N 0 0 433.494 -0.676 20 0 IBADRN O=C(CCNS(=O)(=O)/C=C\c1ccccc1)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC000917513049 1072780173 /nfs/dbraw/zinc/78/01/73/1072780173.db2.gz VUVFEBPJCFCJAD-QPEQYQDCSA-N 0 0 433.494 -0.676 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cccc(CN3C(=O)CSC3=O)c2)CC1 ZINC000917513069 1072780276 /nfs/dbraw/zinc/78/02/76/1072780276.db2.gz XWCIEMJXXLHRSD-UHFFFAOYSA-N 0 0 429.462 -0.147 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCN(CC(=O)NCCOC)CC3)cc2S1(=O)=O ZINC000917521289 1072779260 /nfs/dbraw/zinc/77/92/60/1072779260.db2.gz YFHCJUSOIUGBHW-UHFFFAOYSA-N 0 0 438.506 -0.629 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCN(C(=O)C(=O)NC(C)C)CC3)cc2S1(=O)=O ZINC000917521298 1072779017 /nfs/dbraw/zinc/77/90/17/1072779017.db2.gz YYKDXJFGIYOSNO-UHFFFAOYSA-N 0 0 436.490 -0.340 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)CC1 ZINC000917522460 1072779053 /nfs/dbraw/zinc/77/90/53/1072779053.db2.gz DTHCMUNISMZYSA-UHFFFAOYSA-N 0 0 444.941 -0.135 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000917522967 1072779109 /nfs/dbraw/zinc/77/91/09/1072779109.db2.gz MBZBGOGYAOMSOP-HNNXBMFYSA-N 0 0 441.554 -0.788 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000917522969 1072779032 /nfs/dbraw/zinc/77/90/32/1072779032.db2.gz MBZBGOGYAOMSOP-OAHLLOKOSA-N 0 0 441.554 -0.788 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000917523376 1072779270 /nfs/dbraw/zinc/77/92/70/1072779270.db2.gz QJLHMBNWXQWKGC-UHFFFAOYSA-N 0 0 428.486 -0.650 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC000917523424 1072779249 /nfs/dbraw/zinc/77/92/49/1072779249.db2.gz RIOCDWXXWVXYQD-UHFFFAOYSA-N 0 0 428.486 -0.650 20 0 IBADRN CNC(=O)CN1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000917524419 1072779234 /nfs/dbraw/zinc/77/92/34/1072779234.db2.gz PJNMEZICAAAWDR-UHFFFAOYSA-N 0 0 433.534 -0.262 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1=O ZINC000917524629 1072779643 /nfs/dbraw/zinc/77/96/43/1072779643.db2.gz DDPDVZAMXSKZRB-JOCHJYFZSA-N 0 0 443.504 -0.543 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)C1=O ZINC000917524633 1072779796 /nfs/dbraw/zinc/77/97/96/1072779796.db2.gz DDPDVZAMXSKZRB-QFIPXVFZSA-N 0 0 443.504 -0.543 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000917524965 1072779736 /nfs/dbraw/zinc/77/97/36/1072779736.db2.gz UQRVVKUUXLLFPW-CYVLTUHYSA-N 0 0 425.493 -0.017 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000917524972 1072779675 /nfs/dbraw/zinc/77/96/75/1072779675.db2.gz UQRVVKUUXLLFPW-XDJHFCHBSA-N 0 0 425.493 -0.017 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000917524974 1072779607 /nfs/dbraw/zinc/77/96/07/1072779607.db2.gz UVVLETIGHMAIEH-UHFFFAOYSA-N 0 0 442.513 -0.307 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(CC(=O)N(C)C)CC3)cc2S1(=O)=O ZINC000917615515 1072783782 /nfs/dbraw/zinc/78/37/82/1072783782.db2.gz VFFHAUGNYISABH-UHFFFAOYSA-N 0 0 438.506 -0.677 20 0 IBADRN COC(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC000917631360 1072784813 /nfs/dbraw/zinc/78/48/13/1072784813.db2.gz OEGSAYTZKDZVPH-UHFFFAOYSA-N 0 0 446.869 -0.140 20 0 IBADRN CN(C)c1ccc(N2C(=O)C[C@H](N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)cc1 ZINC000917636202 1072783728 /nfs/dbraw/zinc/78/37/28/1072783728.db2.gz RFSUXPXEVHZWDK-IBGZPJMESA-N 0 0 429.521 -0.139 20 0 IBADRN CN(C)c1ccc(N2C(=O)C[C@@H](N3CCN(C(=O)CN4CCOCC4)CC3)C2=O)cc1 ZINC000917636205 1072783880 /nfs/dbraw/zinc/78/38/80/1072783880.db2.gz RFSUXPXEVHZWDK-LJQANCHMSA-N 0 0 429.521 -0.139 20 0 IBADRN CN(C)c1ccc(N2C(=O)C[C@H](N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000917636238 1072783836 /nfs/dbraw/zinc/78/38/36/1072783836.db2.gz RWGGICYWGCHQPM-IBGZPJMESA-N 0 0 429.521 -0.139 20 0 IBADRN CN(C)c1ccc(N2C(=O)C[C@@H](N3CCN(CC(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000917636241 1072783681 /nfs/dbraw/zinc/78/36/81/1072783681.db2.gz RWGGICYWGCHQPM-LJQANCHMSA-N 0 0 429.521 -0.139 20 0 IBADRN CN(C)c1ccc(N2C(=O)C[C@@H](N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000917637374 1072784255 /nfs/dbraw/zinc/78/42/55/1072784255.db2.gz YQNVKBJFVBBZQC-GOSISDBHSA-N 0 0 443.504 -0.613 20 0 IBADRN CN(C)c1ccc(N2C(=O)C[C@H](N3CCN(C(=O)C(=O)N4CCOCC4)CC3)C2=O)cc1 ZINC000917637375 1072784323 /nfs/dbraw/zinc/78/43/23/1072784323.db2.gz YQNVKBJFVBBZQC-SFHVURJKSA-N 0 0 443.504 -0.613 20 0 IBADRN O=C(CS(=O)(=O)CCN1C(=O)c2ccccc2C1=O)N1CCN(C(=O)C2CC2)CC1 ZINC000917646027 1072784272 /nfs/dbraw/zinc/78/42/72/1072784272.db2.gz WNKFOESQZJQBDB-UHFFFAOYSA-N 0 0 433.486 -0.222 20 0 IBADRN CCCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000917647098 1072784294 /nfs/dbraw/zinc/78/42/94/1072784294.db2.gz FEIKIBBCHKVFRH-GASCZTMLSA-N 0 0 425.573 -0.301 20 0 IBADRN CCCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000917647109 1072784283 /nfs/dbraw/zinc/78/42/83/1072784283.db2.gz FEIKIBBCHKVFRH-GJZGRUSLSA-N 0 0 425.573 -0.301 20 0 IBADRN CCCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000917647111 1072784298 /nfs/dbraw/zinc/78/42/98/1072784298.db2.gz FEIKIBBCHKVFRH-HUUCEWRRSA-N 0 0 425.573 -0.301 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC000917648950 1072784277 /nfs/dbraw/zinc/78/42/77/1072784277.db2.gz HCDWTQCQXMUDBD-UHFFFAOYSA-N 0 0 438.550 -0.470 20 0 IBADRN Cc1nn(C)c(Cl)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC000917651918 1072784205 /nfs/dbraw/zinc/78/42/05/1072784205.db2.gz GUSWBPBXSXOCRW-UHFFFAOYSA-N 0 0 440.979 -0.820 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)cc2S1(=O)=O ZINC000917653620 1072785372 /nfs/dbraw/zinc/78/53/72/1072785372.db2.gz LKZXOQOAUFHZHF-UHFFFAOYSA-N 0 0 430.508 -0.585 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2c(Cl)nc3ccccn32)CC1 ZINC000917658523 1072784716 /nfs/dbraw/zinc/78/47/16/1072784716.db2.gz JYBGGBANLWHBCH-UHFFFAOYSA-N 0 0 439.881 -0.030 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3oc(=O)ccc3c2)CC1 ZINC000917658835 1072784668 /nfs/dbraw/zinc/78/46/68/1072784668.db2.gz RZFJOQFLZGLMBV-UHFFFAOYSA-N 0 0 433.442 -0.225 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)CC1 ZINC000917663851 1072785453 /nfs/dbraw/zinc/78/54/53/1072785453.db2.gz IFDPYSCIWSORRA-UHFFFAOYSA-N 0 0 438.506 -0.582 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000917669129 1072785479 /nfs/dbraw/zinc/78/54/79/1072785479.db2.gz PGWJSPZFGACOAG-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCN(C(=O)C(=O)NC4CC4)CC3)cc2S1(=O)=O ZINC000917679560 1072786098 /nfs/dbraw/zinc/78/60/98/1072786098.db2.gz PRRKZVRMRWWUKV-UHFFFAOYSA-N 0 0 434.474 -0.586 20 0 IBADRN CC(=O)NCc1ccc(C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)s1 ZINC000917683224 1072785238 /nfs/dbraw/zinc/78/52/38/1072785238.db2.gz FGOBPOOLGKXZHZ-UHFFFAOYSA-N 0 0 433.446 -0.443 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1F ZINC000917683246 1072785361 /nfs/dbraw/zinc/78/53/61/1072785361.db2.gz GESIGKSCFNIXQX-UHFFFAOYSA-N 0 0 447.423 -0.217 20 0 IBADRN Cn1c2ncn(CC(=O)OCCCN3C(=O)c4ccccc4C3=O)c2c(=O)n(C)c1=O ZINC000917683744 1072786173 /nfs/dbraw/zinc/78/61/73/1072786173.db2.gz UXPLTFUNPRWASF-UHFFFAOYSA-N 0 0 425.401 -0.337 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCN(C(=O)C(=O)N4CCCC4)CC3)cc2S1(=O)=O ZINC000917690724 1072786153 /nfs/dbraw/zinc/78/61/53/1072786153.db2.gz GWLOXPHUDSQGSJ-UHFFFAOYSA-N 0 0 448.501 -0.242 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC000917727407 1072787298 /nfs/dbraw/zinc/78/72/98/1072787298.db2.gz JEGJEIBWCODYKX-AWEZNQCLSA-N 0 0 436.490 -0.293 20 0 IBADRN COc1ccc(C(=O)NCCNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC000917727408 1072787185 /nfs/dbraw/zinc/78/71/85/1072787185.db2.gz JEGJEIBWCODYKX-CQSZACIVSA-N 0 0 436.490 -0.293 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)NN=c2ccc(S(N)(=O)=O)c[nH]2)cc1 ZINC000917737276 1072787340 /nfs/dbraw/zinc/78/73/40/1072787340.db2.gz SEOBEBYSHGCEBY-UHFFFAOYSA-N 0 0 430.464 -0.024 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000917808185 1072790506 /nfs/dbraw/zinc/79/05/06/1072790506.db2.gz KNRLWLZSYYGBAH-CHWSQXEVSA-N 0 0 445.494 -0.945 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC000917808187 1072790557 /nfs/dbraw/zinc/79/05/57/1072790557.db2.gz KNRLWLZSYYGBAH-OLZOCXBDSA-N 0 0 445.494 -0.945 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000917808188 1072790521 /nfs/dbraw/zinc/79/05/21/1072790521.db2.gz KNRLWLZSYYGBAH-QWHCGFSZSA-N 0 0 445.494 -0.945 20 0 IBADRN CC1(C)NC(=O)N(CC(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1=O ZINC000917808191 1072790564 /nfs/dbraw/zinc/79/05/64/1072790564.db2.gz KNRLWLZSYYGBAH-STQMWFEESA-N 0 0 445.494 -0.945 20 0 IBADRN Cn1c2c(nc(Br)n2CC(=O)NCCCN2CCCC2=O)c(=O)n(C)c1=O ZINC000917828879 1072791631 /nfs/dbraw/zinc/79/16/31/1072791631.db2.gz VWPUBPSSWHJKAP-UHFFFAOYSA-N 0 0 441.286 -0.675 20 0 IBADRN COCCCNC(=O)CN1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000917864154 1072791664 /nfs/dbraw/zinc/79/16/64/1072791664.db2.gz JCBGXKDZRKRFLC-UHFFFAOYSA-N 0 0 447.579 -0.142 20 0 IBADRN O=C(C(=O)N1CCN(S(=O)(=O)c2c(Cl)nc3ccccn32)CC1)N1CCOCC1 ZINC000917864929 1072791677 /nfs/dbraw/zinc/79/16/77/1072791677.db2.gz MBSLUJDHEVTYLN-UHFFFAOYSA-N 0 0 441.897 -0.321 20 0 IBADRN CC(C)N(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000917867684 1072792591 /nfs/dbraw/zinc/79/25/91/1072792591.db2.gz XRKRSKCMXMVUTN-UHFFFAOYSA-N 0 0 440.569 -0.775 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc2c(cc1Br)CCN2C(C)=O ZINC000917869841 1072792541 /nfs/dbraw/zinc/79/25/41/1072792541.db2.gz GDUCGYNBUDBULQ-UHFFFAOYSA-N 0 0 448.295 -0.074 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000917870922 1072792713 /nfs/dbraw/zinc/79/27/13/1072792713.db2.gz URIHRGBXMZAEAA-UHFFFAOYSA-N 0 0 429.499 -0.940 20 0 IBADRN O=C1OCc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)cc21 ZINC000917873423 1072792703 /nfs/dbraw/zinc/79/27/03/1072792703.db2.gz JWRMTSUZUVGFEK-UHFFFAOYSA-N 0 0 431.492 -0.760 20 0 IBADRN Cc1nn(C)c(Cl)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000917873494 1072792725 /nfs/dbraw/zinc/79/27/25/1072792725.db2.gz MUCFAQMDNLJYNV-UHFFFAOYSA-N 0 0 427.936 -0.735 20 0 IBADRN O=S(=O)(c1c(Cl)nc2ccccn21)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000917873648 1072792685 /nfs/dbraw/zinc/79/26/85/1072792685.db2.gz PONLQMOWWKSRIQ-UHFFFAOYSA-N 0 0 449.942 -0.129 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000917883794 1072792047 /nfs/dbraw/zinc/79/20/47/1072792047.db2.gz FTXVYMQNQHHXCH-IBGZPJMESA-N 0 0 425.486 -0.098 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000917883795 1072791986 /nfs/dbraw/zinc/79/19/86/1072791986.db2.gz FTXVYMQNQHHXCH-LJQANCHMSA-N 0 0 425.486 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)Cn2nnc3ccccc3c2=O)CC1 ZINC000917884892 1072792093 /nfs/dbraw/zinc/79/20/93/1072792093.db2.gz QCAOIYWULYXPES-UHFFFAOYSA-N 0 0 430.465 -0.013 20 0 IBADRN CN1CC(=O)N(CCCC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC000917885497 1072792181 /nfs/dbraw/zinc/79/21/81/1072792181.db2.gz XFFSIKHNFMPMLY-UHFFFAOYSA-N 0 0 425.486 -0.144 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)c2=O ZINC000917886262 1072792077 /nfs/dbraw/zinc/79/20/77/1072792077.db2.gz AVNYVWCZVCBBAV-UHFFFAOYSA-N 0 0 433.469 -0.675 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)CN2C(=O)N[C@@](C)(C3CC3)C2=O)CC1 ZINC000917886533 1072792149 /nfs/dbraw/zinc/79/21/49/1072792149.db2.gz ZSCSQKGNQIPEKA-FQEVSTJZSA-N 0 0 437.497 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)CN2C(=O)N[C@](C)(C3CC3)C2=O)CC1 ZINC000917886534 1072792105 /nfs/dbraw/zinc/79/21/05/1072792105.db2.gz ZSCSQKGNQIPEKA-HXUWFJFHSA-N 0 0 437.497 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000917886852 1072792163 /nfs/dbraw/zinc/79/21/63/1072792163.db2.gz MZJLSYFTRKOUDT-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000917886853 1072792173 /nfs/dbraw/zinc/79/21/73/1072792173.db2.gz MZJLSYFTRKOUDT-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C2CCN(S(C)(=O)=O)CC2)CC1 ZINC000917887947 1072793580 /nfs/dbraw/zinc/79/35/80/1072793580.db2.gz ZNAQRSWODJRNLB-UHFFFAOYSA-N 0 0 432.543 -0.147 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000917904916 1072793216 /nfs/dbraw/zinc/79/32/16/1072793216.db2.gz ADIIWNRTHYNZEU-UHFFFAOYSA-N 0 0 449.938 -0.145 20 0 IBADRN Cn1cc(S(=O)(=O)Oc2cccc(NC(=O)CN3CCCC3=O)c2)c(=O)n(C)c1=O ZINC000917910786 1072794175 /nfs/dbraw/zinc/79/41/75/1072794175.db2.gz PSACOUPMYMLNCK-UHFFFAOYSA-N 0 0 436.446 -0.587 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000917913228 1072794184 /nfs/dbraw/zinc/79/41/84/1072794184.db2.gz UAOMAELTUGVRQU-UHFFFAOYSA-N 0 0 446.551 -0.407 20 0 IBADRN COC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000917926282 1072793654 /nfs/dbraw/zinc/79/36/54/1072793654.db2.gz BQLMZMLXDTUZCN-KRWDZBQOSA-N 0 0 426.451 -0.178 20 0 IBADRN COC(=O)N1CCN(S(=O)(=O)c2ccc(OC)c([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC000917926283 1072793571 /nfs/dbraw/zinc/79/35/71/1072793571.db2.gz BQLMZMLXDTUZCN-QGZVFWFLSA-N 0 0 426.451 -0.178 20 0 IBADRN COC(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCN(C(=O)OC)CC3)c[nH]2)CC1 ZINC000917926796 1072794130 /nfs/dbraw/zinc/79/41/30/1072794130.db2.gz JXEWUNGJMKEEBB-UHFFFAOYSA-N 0 0 443.482 -0.388 20 0 IBADRN COC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000917926893 1072794161 /nfs/dbraw/zinc/79/41/61/1072794161.db2.gz QMZUAFHSZZXCRD-UHFFFAOYSA-N 0 0 433.508 -0.220 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000917929787 1072795610 /nfs/dbraw/zinc/79/56/10/1072795610.db2.gz GPUOYENFFBUNIR-UHFFFAOYSA-N 0 0 438.547 -0.505 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1C ZINC000917930449 1072795562 /nfs/dbraw/zinc/79/55/62/1072795562.db2.gz OLNWOFJBPVFPBR-UHFFFAOYSA-N 0 0 443.526 -0.258 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cc1C(N)=O ZINC000917931012 1072795718 /nfs/dbraw/zinc/79/57/18/1072795718.db2.gz RLRZGXYQXUYBQN-UHFFFAOYSA-N 0 0 429.499 -0.518 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000917931503 1072795762 /nfs/dbraw/zinc/79/57/62/1072795762.db2.gz XNZCLYZVRWPWDR-UHFFFAOYSA-N 0 0 448.542 -0.215 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NCCOc1ccccc1 ZINC000917932165 1072795744 /nfs/dbraw/zinc/79/57/44/1072795744.db2.gz YGCKBKIWDIBGMR-AWEZNQCLSA-N 0 0 437.474 -0.110 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NCCOc1ccccc1 ZINC000917932166 1072795546 /nfs/dbraw/zinc/79/55/46/1072795546.db2.gz YGCKBKIWDIBGMR-CQSZACIVSA-N 0 0 437.474 -0.110 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(C)CC(=O)N3CCN(C)CC3)cc2S1(=O)=O ZINC000917955156 1072795495 /nfs/dbraw/zinc/79/54/95/1072795495.db2.gz WWNASIKYAVENIV-UHFFFAOYSA-N 0 0 438.506 -0.677 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c1 ZINC000917963874 1072796113 /nfs/dbraw/zinc/79/61/13/1072796113.db2.gz DRWSLEITFXHOEW-UHFFFAOYSA-N 0 0 428.486 -0.067 20 0 IBADRN CCC[C@H](C)N1C[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000917980100 1072796643 /nfs/dbraw/zinc/79/66/43/1072796643.db2.gz BKYZWCXNORCUKJ-BBWFWOEESA-N 0 0 443.566 -0.102 20 0 IBADRN CCC[C@H](C)N1C[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000917980101 1072796586 /nfs/dbraw/zinc/79/65/86/1072796586.db2.gz BKYZWCXNORCUKJ-GVDBMIGSSA-N 0 0 443.566 -0.102 20 0 IBADRN CCC[C@H](C)N1C[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000917980102 1072796530 /nfs/dbraw/zinc/79/65/30/1072796530.db2.gz BKYZWCXNORCUKJ-ULQDDVLXSA-N 0 0 443.566 -0.102 20 0 IBADRN CCC[C@H](C)N1C[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000917980103 1072796576 /nfs/dbraw/zinc/79/65/76/1072796576.db2.gz BKYZWCXNORCUKJ-YESZJQIVSA-N 0 0 443.566 -0.102 20 0 IBADRN COC(=O)COc1cccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000917983553 1072796980 /nfs/dbraw/zinc/79/69/80/1072796980.db2.gz JTAQHRYPWRUMFX-GFCCVEGCSA-N 0 0 428.419 -0.592 20 0 IBADRN COC(=O)COc1cccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000917983554 1072797104 /nfs/dbraw/zinc/79/71/04/1072797104.db2.gz JTAQHRYPWRUMFX-LBPRGKRZSA-N 0 0 428.419 -0.592 20 0 IBADRN CCCC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000917984524 1072796029 /nfs/dbraw/zinc/79/60/29/1072796029.db2.gz XQVMCPFKKWSAQI-DLBZAZTESA-N 0 0 443.566 -0.100 20 0 IBADRN CCCC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000917984526 1072796181 /nfs/dbraw/zinc/79/61/81/1072796181.db2.gz XQVMCPFKKWSAQI-IAGOWNOFSA-N 0 0 443.566 -0.100 20 0 IBADRN CCCC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000917984527 1072796090 /nfs/dbraw/zinc/79/60/90/1072796090.db2.gz XQVMCPFKKWSAQI-IRXDYDNUSA-N 0 0 443.566 -0.100 20 0 IBADRN CCCC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000917984528 1072796259 /nfs/dbraw/zinc/79/62/59/1072796259.db2.gz XQVMCPFKKWSAQI-SJORKVTESA-N 0 0 443.566 -0.100 20 0 IBADRN CC(C)C(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000917987903 1072795973 /nfs/dbraw/zinc/79/59/73/1072795973.db2.gz GQFIUWRIDXCBRG-CVEARBPZSA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)C(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000917987904 1072796077 /nfs/dbraw/zinc/79/60/77/1072796077.db2.gz GQFIUWRIDXCBRG-HOTGVXAUSA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)C(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000917987905 1072796269 /nfs/dbraw/zinc/79/62/69/1072796269.db2.gz GQFIUWRIDXCBRG-HZPDHXFCSA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)C(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000917987906 1072796239 /nfs/dbraw/zinc/79/62/39/1072796239.db2.gz GQFIUWRIDXCBRG-JKSUJKDBSA-N 0 0 429.539 -0.492 20 0 IBADRN CCCC(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000917989038 1072796206 /nfs/dbraw/zinc/79/62/06/1072796206.db2.gz AVAGRFUMUDPJCB-CVEARBPZSA-N 0 0 429.539 -0.348 20 0 IBADRN CCCC(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000917989039 1072796634 /nfs/dbraw/zinc/79/66/34/1072796634.db2.gz AVAGRFUMUDPJCB-HOTGVXAUSA-N 0 0 429.539 -0.348 20 0 IBADRN CCCC(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000917989040 1072796793 /nfs/dbraw/zinc/79/67/93/1072796793.db2.gz AVAGRFUMUDPJCB-HZPDHXFCSA-N 0 0 429.539 -0.348 20 0 IBADRN CCCC(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000917989041 1072796565 /nfs/dbraw/zinc/79/65/65/1072796565.db2.gz AVAGRFUMUDPJCB-JKSUJKDBSA-N 0 0 429.539 -0.348 20 0 IBADRN O=C(COC(=O)CNC(=O)c1ccc(F)c(F)c1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000917989931 1072796682 /nfs/dbraw/zinc/79/66/82/1072796682.db2.gz BQNJYRGFQIWTHJ-JTQLQIEISA-N 0 0 433.389 -0.749 20 0 IBADRN O=C(COC(=O)CNC(=O)c1ccc(F)c(F)c1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000917989932 1072796768 /nfs/dbraw/zinc/79/67/68/1072796768.db2.gz BQNJYRGFQIWTHJ-SNVBAGLBSA-N 0 0 433.389 -0.749 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H](CC(=O)OC)C(=O)OC)cc2S1(=O)=O ZINC000917999853 1072797088 /nfs/dbraw/zinc/79/70/88/1072797088.db2.gz LSIZKNGUMDCKLD-GFCCVEGCSA-N 0 0 428.419 -0.688 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H](CC(=O)OC)C(=O)OC)cc2S1(=O)=O ZINC000917999854 1072797280 /nfs/dbraw/zinc/79/72/80/1072797280.db2.gz LSIZKNGUMDCKLD-LBPRGKRZSA-N 0 0 428.419 -0.688 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC000918005658 1072797261 /nfs/dbraw/zinc/79/72/61/1072797261.db2.gz DCJPZEGTUWOPIQ-UHFFFAOYSA-N 0 0 447.558 -0.211 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000918008989 1072797143 /nfs/dbraw/zinc/79/71/43/1072797143.db2.gz YKLMYAXBCUKBSB-UHFFFAOYSA-N 0 0 440.545 -0.425 20 0 IBADRN CC(=O)NCc1ccc(C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)s1 ZINC000918009086 1072797271 /nfs/dbraw/zinc/79/72/71/1072797271.db2.gz DWZXTVHYRSULPS-UHFFFAOYSA-N 0 0 433.446 -0.443 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1F ZINC000918010031 1072797189 /nfs/dbraw/zinc/79/71/89/1072797189.db2.gz UIKFLKOJIUSLFE-UHFFFAOYSA-N 0 0 447.423 -0.217 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000918011182 1072797069 /nfs/dbraw/zinc/79/70/69/1072797069.db2.gz QIILDZAKTSIJKT-CYBMUJFWSA-N 0 0 429.520 0.000 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC3CCN(S(C)(=O)=O)CC3)cc2S1(=O)=O ZINC000918011428 1072797121 /nfs/dbraw/zinc/79/71/21/1072797121.db2.gz XVIDVNRTGWVZEA-UHFFFAOYSA-N 0 0 445.519 -0.369 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000918015934 1072797853 /nfs/dbraw/zinc/79/78/53/1072797853.db2.gz HHDFRRFCVCSGLC-GFCCVEGCSA-N 0 0 449.526 -0.111 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000918015936 1072797578 /nfs/dbraw/zinc/79/75/78/1072797578.db2.gz HHDFRRFCVCSGLC-LBPRGKRZSA-N 0 0 449.526 -0.111 20 0 IBADRN Cn1c2nnc(COC(=O)C3=NC=CN4CCS(=O)(=O)N=C34)n2c2ccccc2c1=O ZINC000918017410 1072797611 /nfs/dbraw/zinc/79/76/11/1072797611.db2.gz UNNNDDOYIZHJTK-UHFFFAOYSA-N 0 0 441.429 -0.406 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CCN2C(=O)NC(C)(C)C2=O)cc1S(N)(=O)=O ZINC000918020864 1072797172 /nfs/dbraw/zinc/79/71/72/1072797172.db2.gz FDCJHTHSSFQYCK-UHFFFAOYSA-N 0 0 426.451 -0.155 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CCN1C(=O)NC(C)(C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000918021130 1072797013 /nfs/dbraw/zinc/79/70/13/1072797013.db2.gz XBZZYXPFQUPCKH-CYBMUJFWSA-N 0 0 431.511 -0.078 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CCN1C(=O)NC(C)(C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000918021131 1072796999 /nfs/dbraw/zinc/79/69/99/1072796999.db2.gz XBZZYXPFQUPCKH-ZDUSSCGKSA-N 0 0 431.511 -0.078 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CN(CC)CC(=O)NC)CC2)cc1 ZINC000918022815 1072797549 /nfs/dbraw/zinc/79/75/49/1072797549.db2.gz PIVGONSCHGMNLP-UHFFFAOYSA-N 0 0 426.539 -0.014 20 0 IBADRN O=C(NC[C@H]1COCCO1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000918029820 1072797634 /nfs/dbraw/zinc/79/76/34/1072797634.db2.gz SFPHEDLQLFFGHI-KBPBESRZSA-N 0 0 433.508 -0.311 20 0 IBADRN O=C(NC[C@H]1COCCO1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000918029841 1072797805 /nfs/dbraw/zinc/79/78/05/1072797805.db2.gz SFPHEDLQLFFGHI-KGLIPLIRSA-N 0 0 433.508 -0.311 20 0 IBADRN O=C(NC[C@@H]1COCCO1)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000918029843 1072797563 /nfs/dbraw/zinc/79/75/63/1072797563.db2.gz SFPHEDLQLFFGHI-UONOGXRCSA-N 0 0 433.508 -0.311 20 0 IBADRN O=C(NC[C@@H]1COCCO1)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000918029844 1072797599 /nfs/dbraw/zinc/79/75/99/1072797599.db2.gz SFPHEDLQLFFGHI-ZIAGYGMSSA-N 0 0 433.508 -0.311 20 0 IBADRN O=C(COC(=O)C1CCOCC1)N1CCN(C(=O)COC(=O)C2CCOCC2)CC1 ZINC000918041624 1072798252 /nfs/dbraw/zinc/79/82/52/1072798252.db2.gz JFDSZCMLQQVIGC-UHFFFAOYSA-N 0 0 426.466 -0.403 20 0 IBADRN O=C(NC[C@H](O)COc1cccc(F)c1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000918045523 1072798310 /nfs/dbraw/zinc/79/83/10/1072798310.db2.gz ZXRWJZSTQVEJQZ-KBPBESRZSA-N 0 0 427.454 -0.153 20 0 IBADRN O=C(NC[C@H](O)COc1cccc(F)c1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000918045540 1072798240 /nfs/dbraw/zinc/79/82/40/1072798240.db2.gz ZXRWJZSTQVEJQZ-KGLIPLIRSA-N 0 0 427.454 -0.153 20 0 IBADRN O=C(NC[C@@H](O)COc1cccc(F)c1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000918045541 1072798155 /nfs/dbraw/zinc/79/81/55/1072798155.db2.gz ZXRWJZSTQVEJQZ-UONOGXRCSA-N 0 0 427.454 -0.153 20 0 IBADRN O=C(NC[C@@H](O)COc1cccc(F)c1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000918045542 1072798803 /nfs/dbraw/zinc/79/88/03/1072798803.db2.gz ZXRWJZSTQVEJQZ-ZIAGYGMSSA-N 0 0 427.454 -0.153 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NCCO)c(C(=O)OCC(=O)NC(=O)NC2CC2)c1 ZINC000918058571 1072798761 /nfs/dbraw/zinc/79/87/61/1072798761.db2.gz SPKLWXQFKYJNIQ-UHFFFAOYSA-N 0 0 428.467 -0.514 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCN1C(=O)NC3(CCCC3)C1=O)c(=O)n2C ZINC000918058675 1072798931 /nfs/dbraw/zinc/79/89/31/1072798931.db2.gz FDJLOSDWDIYRGP-UHFFFAOYSA-N 0 0 446.464 -0.378 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000918058760 1072799396 /nfs/dbraw/zinc/79/93/96/1072799396.db2.gz HQQLNSMFTOPDAS-UHFFFAOYSA-N 0 0 437.497 -0.983 20 0 IBADRN CCN(C(=O)COC(=O)CCN1C(=O)NC2(CCCC2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000918058784 1072799435 /nfs/dbraw/zinc/79/94/35/1072799435.db2.gz KDXRLSXWWNGLPK-CYBMUJFWSA-N 0 0 429.495 -0.180 20 0 IBADRN CCN(C(=O)COC(=O)CCN1C(=O)NC2(CCCC2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000918058785 1072799280 /nfs/dbraw/zinc/79/92/80/1072799280.db2.gz KDXRLSXWWNGLPK-ZDUSSCGKSA-N 0 0 429.495 -0.180 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCN3C(=O)NC4(CCCC4)C3=O)c2c(=O)n(C)c1=O ZINC000918059108 1072799373 /nfs/dbraw/zinc/79/93/73/1072799373.db2.gz JBZKSLAICJSWLT-UHFFFAOYSA-N 0 0 432.437 -0.768 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)NCc3ccc(C(=O)NCC(N)=O)cc3)cc2S1(=O)=O ZINC000918065583 1072799272 /nfs/dbraw/zinc/79/92/72/1072799272.db2.gz RLKHGOPKQUCSGC-UHFFFAOYSA-N 0 0 444.469 -0.004 20 0 IBADRN COC(=O)N1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC000918067019 1072799770 /nfs/dbraw/zinc/79/97/70/1072799770.db2.gz USFOEQLYRFCZPA-UHFFFAOYSA-N 0 0 440.526 -0.373 20 0 IBADRN NS(=O)(=O)CCNC(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC000918067513 1072799915 /nfs/dbraw/zinc/79/99/15/1072799915.db2.gz IAAXVNKQLPBFFG-LLVKDONJSA-N 0 0 425.916 -0.184 20 0 IBADRN NS(=O)(=O)CCNC(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC000918067514 1072799762 /nfs/dbraw/zinc/79/97/62/1072799762.db2.gz IAAXVNKQLPBFFG-NSHDSACASA-N 0 0 425.916 -0.184 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NCCS(N)(=O)=O)c1 ZINC000918068104 1072799889 /nfs/dbraw/zinc/79/98/89/1072799889.db2.gz ZHIREAOZPZMNNX-UHFFFAOYSA-N 0 0 444.329 -0.608 20 0 IBADRN CS(=O)(=O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000918069654 1072798875 /nfs/dbraw/zinc/79/88/75/1072798875.db2.gz BKAZTDIZJLAERF-UHFFFAOYSA-N 0 0 431.536 -0.540 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCS(C)(=O)=O)CC2)cc1 ZINC000918069761 1072798900 /nfs/dbraw/zinc/79/89/00/1072798900.db2.gz IUNWDBJIIVGDRA-UHFFFAOYSA-N 0 0 445.563 -0.231 20 0 IBADRN CS(=O)(=O)CCNC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC000918069764 1072798726 /nfs/dbraw/zinc/79/87/26/1072798726.db2.gz JCPDLYUHJKLREB-UHFFFAOYSA-N 0 0 445.519 -0.070 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCS(C)(=O)=O)c1OC ZINC000918070486 1072798704 /nfs/dbraw/zinc/79/87/04/1072798704.db2.gz HWHZBKKCQVDMPE-UHFFFAOYSA-N 0 0 436.508 -0.501 20 0 IBADRN CS(=O)(=O)CCNC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC000918071565 1072798688 /nfs/dbraw/zinc/79/86/88/1072798688.db2.gz RQKVFEGXYQSSKC-UHFFFAOYSA-N 0 0 443.503 -0.264 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(NS(C)(=O)=O)c1 ZINC000918084505 1072799817 /nfs/dbraw/zinc/79/98/17/1072799817.db2.gz UCXYBGNOQCBCQQ-CYBMUJFWSA-N 0 0 436.498 -0.340 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1cccc(NS(C)(=O)=O)c1 ZINC000918084547 1072799843 /nfs/dbraw/zinc/79/98/43/1072799843.db2.gz UCXYBGNOQCBCQQ-ZDUSSCGKSA-N 0 0 436.498 -0.340 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NCCN2CCN(c3ncccn3)CC2)c1=O ZINC000918107088 1072800432 /nfs/dbraw/zinc/80/04/32/1072800432.db2.gz FNHNLPKIBLQIOK-UHFFFAOYSA-N 0 0 437.504 -0.089 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCN2CCN(c3ncccn3)CC2)=NN1c1ccc(F)cc1 ZINC000918107879 1072800263 /nfs/dbraw/zinc/80/02/63/1072800263.db2.gz ZGGHXEXHPBTICY-GOSISDBHSA-N 0 0 440.483 -0.026 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCN2CCN(c3ncccn3)CC2)=NN1c1ccc(F)cc1 ZINC000918107880 1072800468 /nfs/dbraw/zinc/80/04/68/1072800468.db2.gz ZGGHXEXHPBTICY-SFHVURJKSA-N 0 0 440.483 -0.026 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cn1 ZINC000918111489 1072800354 /nfs/dbraw/zinc/80/03/54/1072800354.db2.gz MQCMRGHXUCTNQT-UHFFFAOYSA-N 0 0 449.537 -0.020 20 0 IBADRN Cc1nccn1-c1ccc(CNC(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC000918111895 1072800102 /nfs/dbraw/zinc/80/01/02/1072800102.db2.gz BPZXDKNOEBLLDZ-UHFFFAOYSA-N 0 0 441.492 -0.212 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCCN(CC)S(C)(=O)=O)CC1 ZINC000918119172 1072800743 /nfs/dbraw/zinc/80/07/43/1072800743.db2.gz NUTKPYBQDIEJAM-UHFFFAOYSA-N 0 0 427.593 -0.428 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)NCCN3CCN(C(=O)OC(C)(C)C)CC3)nc2n(C)c1=O ZINC000918127827 1072800767 /nfs/dbraw/zinc/80/07/67/1072800767.db2.gz MVECAMADCBDLAD-UHFFFAOYSA-N 0 0 446.508 -0.085 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1)c(=O)n2C ZINC000918128347 1072801829 /nfs/dbraw/zinc/80/18/29/1072801829.db2.gz PAWYSMZPBLQWSZ-UHFFFAOYSA-N 0 0 449.512 -0.897 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCN3CCN(C(=O)OC(C)(C)C)CC3)cnc2n(C)c1=O ZINC000918128599 1072801998 /nfs/dbraw/zinc/80/19/98/1072801998.db2.gz XSTPQSBDZJBTMT-UHFFFAOYSA-N 0 0 446.508 -0.085 20 0 IBADRN O=C(CS[C@@H]1CCS(=O)(=O)C1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000918133134 1072802087 /nfs/dbraw/zinc/80/20/87/1072802087.db2.gz SUMYDACZKSMNGD-CYBMUJFWSA-N 0 0 447.560 -0.334 20 0 IBADRN O=C(CS[C@H]1CCS(=O)(=O)C1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000918133135 1072802107 /nfs/dbraw/zinc/80/21/07/1072802107.db2.gz SUMYDACZKSMNGD-ZDUSSCGKSA-N 0 0 447.560 -0.334 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCS(=O)(=O)NC2CC2)CC1 ZINC000918135619 1072801737 /nfs/dbraw/zinc/80/17/37/1072801737.db2.gz RGBODKDUZRCXGQ-UHFFFAOYSA-N 0 0 433.531 -0.553 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC000918138183 1072802012 /nfs/dbraw/zinc/80/20/12/1072802012.db2.gz OAJXVMDBXRCRBQ-UHFFFAOYSA-N 0 0 433.531 -0.648 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC[C@H](NS(C)(=O)=O)C2)CC1 ZINC000918139053 1072802075 /nfs/dbraw/zinc/80/20/75/1072802075.db2.gz DRWWBRTVPJUOQU-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)CC1 ZINC000918139054 1072801788 /nfs/dbraw/zinc/80/17/88/1072801788.db2.gz DRWWBRTVPJUOQU-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN COCC(=O)N1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000918139226 1072801870 /nfs/dbraw/zinc/80/18/70/1072801870.db2.gz FFFJIZSEPFONMA-UHFFFAOYSA-N 0 0 427.502 -0.434 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000918139739 1072802053 /nfs/dbraw/zinc/80/20/53/1072802053.db2.gz MGDULNXMUQWICM-UHFFFAOYSA-N 0 0 440.545 -0.471 20 0 IBADRN CN(CCCNC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC000918139760 1072802026 /nfs/dbraw/zinc/80/20/26/1072802026.db2.gz NEGMFSFNKOCELH-UHFFFAOYSA-N 0 0 435.547 -0.354 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000918139763 1072801965 /nfs/dbraw/zinc/80/19/65/1072801965.db2.gz NGNUYPBOAUMNON-UHFFFAOYSA-N 0 0 440.545 -0.471 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCCN(S(C)(=O)=O)CC2)CC1 ZINC000918140204 1072801755 /nfs/dbraw/zinc/80/17/55/1072801755.db2.gz SDXXWYVOBVJJFW-UHFFFAOYSA-N 0 0 447.558 -0.257 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCC(=O)N2CCOCC2)CC1 ZINC000918140503 1072801912 /nfs/dbraw/zinc/80/19/12/1072801912.db2.gz XLQCCORFXQRYDM-UHFFFAOYSA-N 0 0 427.502 -0.386 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000918149347 1072802527 /nfs/dbraw/zinc/80/25/27/1072802527.db2.gz NTFYIKLDVMJZTQ-UHFFFAOYSA-N 0 0 427.454 -0.628 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)CN(c4ccccc4)C3=O)CC2)CC1 ZINC000918176319 1072802384 /nfs/dbraw/zinc/80/23/84/1072802384.db2.gz OVORTQCPKUNRQT-UHFFFAOYSA-N 0 0 436.538 -0.478 20 0 IBADRN NC(=O)CCn1c(C2CC2)nn(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1=S ZINC000918179015 1072802426 /nfs/dbraw/zinc/80/24/26/1072802426.db2.gz AHTGFGWBCBWZLP-UHFFFAOYSA-N 0 0 449.537 -0.582 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2c3cc4c(cc3C(=O)C2=O)OCCO4)CC1 ZINC000918179125 1072802367 /nfs/dbraw/zinc/80/23/67/1072802367.db2.gz BEWSBEJLIIKBCA-UHFFFAOYSA-N 0 0 442.428 -0.762 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)N[C@@H](Cc3ccccc3)C2=O)CC1 ZINC000918179137 1072802306 /nfs/dbraw/zinc/80/23/06/1072802306.db2.gz BHIIXUJHFJEAPX-INIZCTEOSA-N 0 0 427.461 -0.600 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)N[C@H](Cc3ccccc3)C2=O)CC1 ZINC000918179139 1072802475 /nfs/dbraw/zinc/80/24/75/1072802475.db2.gz BHIIXUJHFJEAPX-MRXNPFEDSA-N 0 0 427.461 -0.600 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)C(=O)N(Cc3ccccc3)C2=O)CC1 ZINC000918179220 1072802560 /nfs/dbraw/zinc/80/25/60/1072802560.db2.gz BQELETGOFYXARH-UHFFFAOYSA-N 0 0 441.444 -0.772 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)C(=O)N(Cc3cccs3)C2=O)CC1 ZINC000918180540 1072802517 /nfs/dbraw/zinc/80/25/17/1072802517.db2.gz LRWQZPLPOIKMDA-UHFFFAOYSA-N 0 0 447.473 -0.710 20 0 IBADRN C[C@H]1CCC[C@H](C)C12NC(=O)N(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000918180606 1072802970 /nfs/dbraw/zinc/80/29/70/1072802970.db2.gz LWEAPOUWBMGFKY-GJZGRUSLSA-N 0 0 433.509 -0.016 20 0 IBADRN C[C@@H]1CCC[C@@H](C)C12NC(=O)N(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000918180612 1072802929 /nfs/dbraw/zinc/80/29/29/1072802929.db2.gz LWEAPOUWBMGFKY-HUUCEWRRSA-N 0 0 433.509 -0.016 20 0 IBADRN C[C@@H]1CCC[C@H](C)[C@@]12NC(=O)N(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C2=O ZINC000918180615 1072802946 /nfs/dbraw/zinc/80/29/46/1072802946.db2.gz LWEAPOUWBMGFKY-PBFBIOBFSA-N 0 0 433.509 -0.016 20 0 IBADRN CCOc1ccc(-c2nnn(CN3CCN(C(=O)CN4C(=O)CCC4=O)CC3)n2)cc1 ZINC000918180698 1072802888 /nfs/dbraw/zinc/80/28/88/1072802888.db2.gz MYACEFLKTFPJRP-UHFFFAOYSA-N 0 0 427.465 -0.011 20 0 IBADRN COc1cc2c(cc1OC)N(CN1CCN(C(=O)CN3C(=O)CCC3=O)CC1)C(=O)C2=O ZINC000918180803 1072802737 /nfs/dbraw/zinc/80/27/37/1072802737.db2.gz NHEVAIGTSVGGIQ-UHFFFAOYSA-N 0 0 444.444 -0.516 20 0 IBADRN COc1cc2c(c(OC)c1)C(=O)C(=O)N2CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000918181558 1072802872 /nfs/dbraw/zinc/80/28/72/1072802872.db2.gz PNQWKDGCBOXXDM-UHFFFAOYSA-N 0 0 444.444 -0.516 20 0 IBADRN COc1ccc(-c2nnn(CN3CCN(C(=O)CN4C(=O)CCC4=O)CC3)n2)cc1OC ZINC000918182107 1072802753 /nfs/dbraw/zinc/80/27/53/1072802753.db2.gz UIIZEZVAVUTTCD-UHFFFAOYSA-N 0 0 443.464 -0.392 20 0 IBADRN COCCNc1nn(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(=S)s1 ZINC000918182127 1072802856 /nfs/dbraw/zinc/80/28/56/1072802856.db2.gz VEJHWBWXONMNMB-UHFFFAOYSA-N 0 0 428.540 -0.017 20 0 IBADRN Cn1c(N2CCOCC2)nn(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1=S ZINC000918182505 1072802769 /nfs/dbraw/zinc/80/27/69/1072802769.db2.gz ZKAWNBRYHSHIPA-UHFFFAOYSA-N 0 0 437.526 -0.962 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CN2C(=O)C(=O)N(C3CCCCC3)C2=O)CC1 ZINC000918182598 1072802839 /nfs/dbraw/zinc/80/28/39/1072802839.db2.gz ZYCPQBUJCQXONE-UHFFFAOYSA-N 0 0 433.465 -0.639 20 0 IBADRN O=C(NC[C@H](O)c1ccccc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000918240523 1072803905 /nfs/dbraw/zinc/80/39/05/1072803905.db2.gz YAXKTAGMKNVZGA-KRWDZBQOSA-N 0 0 446.485 -0.405 20 0 IBADRN O=C(CNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1)Nc1ccc(F)c(F)c1 ZINC000918240555 1072803818 /nfs/dbraw/zinc/80/38/18/1072803818.db2.gz CGGJIZDOVFXXTK-UHFFFAOYSA-N 0 0 432.387 -0.011 20 0 IBADRN O=C(NC[C@@H](O)c1ccccc1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000918240556 1072803653 /nfs/dbraw/zinc/80/36/53/1072803653.db2.gz YAXKTAGMKNVZGA-QGZVFWFLSA-N 0 0 446.485 -0.405 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NCC(=O)Nc3ccc(F)c(F)c3)cnc2n(C)c1=O ZINC000918240759 1072805325 /nfs/dbraw/zinc/80/53/25/1072805325.db2.gz IHHUQMVLCLRQMW-UHFFFAOYSA-N 0 0 446.370 -0.396 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)CC1 ZINC000918243777 1072804165 /nfs/dbraw/zinc/80/41/65/1072804165.db2.gz RVKLJPMXCNJSFI-UHFFFAOYSA-N 0 0 432.437 -0.692 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000918245893 1072804385 /nfs/dbraw/zinc/80/43/85/1072804385.db2.gz PATIXEOOWPIQJH-UHFFFAOYSA-N 0 0 426.437 -0.028 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC(=O)NCc1ccco1 ZINC000918249395 1072804524 /nfs/dbraw/zinc/80/45/24/1072804524.db2.gz WXKFICWAUUUBSX-UHFFFAOYSA-N 0 0 434.474 -0.148 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCC(=O)N1CCOCC1 ZINC000918253041 1072804459 /nfs/dbraw/zinc/80/44/59/1072804459.db2.gz HQBSHWJLPRSPTL-UHFFFAOYSA-N 0 0 438.506 -0.809 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)C(=O)Nc2ccc(-n3nccn3)nc2)cc1 ZINC000918253443 1072804306 /nfs/dbraw/zinc/80/43/06/1072804306.db2.gz CJGAZKSFPKTZGH-UHFFFAOYSA-N 0 0 429.462 -0.034 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCNC(=O)c1ccco1 ZINC000918254399 1072804293 /nfs/dbraw/zinc/80/42/93/1072804293.db2.gz ITMCRODLSZEXMT-UHFFFAOYSA-N 0 0 434.474 -0.035 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000918255724 1072804707 /nfs/dbraw/zinc/80/47/07/1072804707.db2.gz VQNPTTFCHCICJH-UHFFFAOYSA-N 0 0 436.480 -0.281 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c1 ZINC000918261928 1072804953 /nfs/dbraw/zinc/80/49/53/1072804953.db2.gz ICTRQTYTWPUYHQ-INIZCTEOSA-N 0 0 443.460 -0.177 20 0 IBADRN COc1ccc(OC)c([C@H](O)CNC(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)c1 ZINC000918261930 1072804996 /nfs/dbraw/zinc/80/49/96/1072804996.db2.gz ICTRQTYTWPUYHQ-MRXNPFEDSA-N 0 0 443.460 -0.177 20 0 IBADRN O=C(NC[C@H](O)c1ccco1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000918262132 1072804774 /nfs/dbraw/zinc/80/47/74/1072804774.db2.gz IRADRQMSIWMWNU-AWEZNQCLSA-N 0 0 436.446 -0.812 20 0 IBADRN O=C(NC[C@@H](O)c1ccco1)C(=O)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000918262133 1072804820 /nfs/dbraw/zinc/80/48/20/1072804820.db2.gz IRADRQMSIWMWNU-CQSZACIVSA-N 0 0 436.446 -0.812 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCNC(=O)c1cccnc1 ZINC000918262274 1072804967 /nfs/dbraw/zinc/80/49/67/1072804967.db2.gz YYYHHXIEVPBFAR-UHFFFAOYSA-N 0 0 445.501 -0.233 20 0 IBADRN COc1ccccc1[C@@H](O)CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000918265095 1072805680 /nfs/dbraw/zinc/80/56/80/1072805680.db2.gz FVERFZLDVXLARF-AWEZNQCLSA-N 0 0 427.417 -0.571 20 0 IBADRN COc1ccccc1[C@H](O)CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000918265200 1072806434 /nfs/dbraw/zinc/80/64/34/1072806434.db2.gz FVERFZLDVXLARF-CQSZACIVSA-N 0 0 427.417 -0.571 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCCCN(C)S(C)(=O)=O ZINC000918265959 1072806532 /nfs/dbraw/zinc/80/65/32/1072806532.db2.gz LYMDTWDPHUUBMU-UHFFFAOYSA-N 0 0 446.551 -0.776 20 0 IBADRN COc1ccc([C@@H](O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000918266023 1072806545 /nfs/dbraw/zinc/80/65/45/1072806545.db2.gz KPKVARMVQUALIP-HNNXBMFYSA-N 0 0 427.417 -0.571 20 0 IBADRN COc1ccc([C@H](O)CNC(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC000918266027 1072806283 /nfs/dbraw/zinc/80/62/83/1072806283.db2.gz KPKVARMVQUALIP-OAHLLOKOSA-N 0 0 427.417 -0.571 20 0 IBADRN CCOC(=O)N[C@@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)CC(C)C ZINC000918269874 1072805434 /nfs/dbraw/zinc/80/54/34/1072805434.db2.gz PBVXVANENLUKIR-GFCCVEGCSA-N 0 0 448.480 -0.152 20 0 IBADRN CCOC(=O)N[C@H](CNC(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C)CC(C)C ZINC000918269881 1072805490 /nfs/dbraw/zinc/80/54/90/1072805490.db2.gz PBVXVANENLUKIR-LBPRGKRZSA-N 0 0 448.480 -0.152 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000918271956 1072805231 /nfs/dbraw/zinc/80/52/31/1072805231.db2.gz SDICFQOYHBCLER-UHFFFAOYSA-N 0 0 429.462 -0.163 20 0 IBADRN O=C(Nc1ccc(-n2nccn2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000918272261 1072805483 /nfs/dbraw/zinc/80/54/83/1072805483.db2.gz BBAINYPLDFKXFL-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC(=O)NCC(F)(F)F ZINC000918272284 1072805275 /nfs/dbraw/zinc/80/52/75/1072805275.db2.gz CJSUTCHZYLHCFQ-UHFFFAOYSA-N 0 0 436.412 -0.379 20 0 IBADRN Cc1nccn1-c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cn1 ZINC000918272352 1072805290 /nfs/dbraw/zinc/80/52/90/1072805290.db2.gz GDMJEBJIMRWAHX-UHFFFAOYSA-N 0 0 439.476 -0.193 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCC(=O)NCC(F)(F)F)cc1 ZINC000918272612 1072805506 /nfs/dbraw/zinc/80/55/06/1072805506.db2.gz NIHOVEOESZIQRT-UHFFFAOYSA-N 0 0 426.373 -0.398 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc1 ZINC000918272789 1072805458 /nfs/dbraw/zinc/80/54/58/1072805458.db2.gz SAHVZFFSDVLNRE-UHFFFAOYSA-N 0 0 445.476 -0.497 20 0 IBADRN CN(C)C(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000918272798 1072805341 /nfs/dbraw/zinc/80/53/41/1072805341.db2.gz SJVNHOMCVNYTND-UHFFFAOYSA-N 0 0 429.477 -0.380 20 0 IBADRN O=C(Nc1ccc(-n2ccnc2)nc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000918272805 1072805425 /nfs/dbraw/zinc/80/54/25/1072805425.db2.gz SZDGOBYYRQIHHM-UHFFFAOYSA-N 0 0 425.449 -0.501 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000918272822 1072805240 /nfs/dbraw/zinc/80/52/40/1072805240.db2.gz UUWNKRIXEUXPEN-UHFFFAOYSA-N 0 0 443.504 -0.245 20 0 IBADRN O=C(Nc1cccc(N2CCOC2=O)c1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000918272970 1072805408 /nfs/dbraw/zinc/80/54/08/1072805408.db2.gz WTYXIAJBPCKTOI-UHFFFAOYSA-N 0 0 443.460 -0.125 20 0 IBADRN O=C(Nc1ccc(N2CCOC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC000918272976 1072805948 /nfs/dbraw/zinc/80/59/48/1072805948.db2.gz XECYDOQLFUGTMS-UHFFFAOYSA-N 0 0 443.460 -0.125 20 0 IBADRN Cc1nc(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)no1 ZINC000918273070 1072805798 /nfs/dbraw/zinc/80/57/98/1072805798.db2.gz BDRZUTUYGXFYSV-UHFFFAOYSA-N 0 0 426.433 -0.067 20 0 IBADRN O=C(Nc1cccc(CN2CCOC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000918273074 1072805873 /nfs/dbraw/zinc/80/58/73/1072805873.db2.gz BGMIVQBQDSNESO-UHFFFAOYSA-N 0 0 443.460 -0.473 20 0 IBADRN O=C(Nc1ccc(N2CCOC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000918273707 1072805960 /nfs/dbraw/zinc/80/59/60/1072805960.db2.gz MBTVLIAIWWKIGL-UHFFFAOYSA-N 0 0 429.433 -0.469 20 0 IBADRN Cc1nccn1-c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cn1 ZINC000918274078 1072805748 /nfs/dbraw/zinc/80/57/48/1072805748.db2.gz PHXLOCFLPRSXNT-UHFFFAOYSA-N 0 0 425.449 -0.536 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000918274201 1072805886 /nfs/dbraw/zinc/80/58/86/1072805886.db2.gz SRAXKZXKRGXCNA-UHFFFAOYSA-N 0 0 449.895 -0.070 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000918274642 1072805734 /nfs/dbraw/zinc/80/57/34/1072805734.db2.gz VXWJOMPRKDFDIT-UHFFFAOYSA-N 0 0 429.477 -0.589 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000918274706 1072805937 /nfs/dbraw/zinc/80/59/37/1072805937.db2.gz XBBCSTCLHBFRNA-UHFFFAOYSA-N 0 0 431.449 -0.841 20 0 IBADRN O=C(Nc1cccc(N2CCOC2=O)c1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000918275053 1072805719 /nfs/dbraw/zinc/80/57/19/1072805719.db2.gz ZBMIGFSDUDVMLG-UHFFFAOYSA-N 0 0 429.433 -0.469 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@@H](Cn3ccnc3)C(C)(C)C)cnc2n(C)c1=O ZINC000918276744 1072805780 /nfs/dbraw/zinc/80/57/80/1072805780.db2.gz VUMNXBSEMHEVNA-AWEZNQCLSA-N 0 0 427.465 -0.002 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N[C@H](Cn3ccnc3)C(C)(C)C)cnc2n(C)c1=O ZINC000918276745 1072805839 /nfs/dbraw/zinc/80/58/39/1072805839.db2.gz VUMNXBSEMHEVNA-CQSZACIVSA-N 0 0 427.465 -0.002 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000918285185 1072805862 /nfs/dbraw/zinc/80/58/62/1072805862.db2.gz CKZDXXPZAHRIQI-GFCCVEGCSA-N 0 0 428.898 -0.140 20 0 IBADRN CN(C(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)c(Cl)c1)[C@H]1CCS(=O)(=O)C1 ZINC000918285186 1072805813 /nfs/dbraw/zinc/80/58/13/1072805813.db2.gz CKZDXXPZAHRIQI-LBPRGKRZSA-N 0 0 428.898 -0.140 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000918285914 1072805898 /nfs/dbraw/zinc/80/58/98/1072805898.db2.gz WABQDTCWCZIORI-CYBMUJFWSA-N 0 0 429.520 -0.529 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000918285915 1072805827 /nfs/dbraw/zinc/80/58/27/1072805827.db2.gz WABQDTCWCZIORI-ZDUSSCGKSA-N 0 0 429.520 -0.529 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000918288403 1072805921 /nfs/dbraw/zinc/80/59/21/1072805921.db2.gz ABMJOXOAOAJTMO-UHFFFAOYSA-N 0 0 444.517 -0.035 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC000918289295 1072805967 /nfs/dbraw/zinc/80/59/67/1072805967.db2.gz JRHNNHQDFAELCZ-UHFFFAOYSA-N 0 0 434.478 -0.054 20 0 IBADRN CCOC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC000918290245 1072805908 /nfs/dbraw/zinc/80/59/08/1072805908.db2.gz YCTRRBMTROEFRB-UHFFFAOYSA-N 0 0 434.449 -0.243 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000918298663 1072807227 /nfs/dbraw/zinc/80/72/27/1072807227.db2.gz WJNNHDRMFZHPDT-KRWDZBQOSA-N 0 0 429.477 -0.589 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000918298665 1072807447 /nfs/dbraw/zinc/80/74/47/1072807447.db2.gz WJNNHDRMFZHPDT-QGZVFWFLSA-N 0 0 429.477 -0.589 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000918299726 1072807407 /nfs/dbraw/zinc/80/74/07/1072807407.db2.gz KHYODQVYOXWUCC-UHFFFAOYSA-N 0 0 434.518 -0.093 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1)N1CCCC1 ZINC000918304792 1072806520 /nfs/dbraw/zinc/80/65/20/1072806520.db2.gz LDZGXXKNNPEDGA-UHFFFAOYSA-N 0 0 442.520 -0.672 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC000918305676 1072806450 /nfs/dbraw/zinc/80/64/50/1072806450.db2.gz ZNNFYYNTSSHPOK-UHFFFAOYSA-N 0 0 431.493 -0.023 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000918306285 1072806396 /nfs/dbraw/zinc/80/63/96/1072806396.db2.gz ZLDMGENBEDRLER-UHFFFAOYSA-N 0 0 430.509 -0.770 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000918313384 1072806956 /nfs/dbraw/zinc/80/69/56/1072806956.db2.gz FAKDRQBDUBUICN-UHFFFAOYSA-N 0 0 443.913 -0.682 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)CC1 ZINC000918313858 1072806915 /nfs/dbraw/zinc/80/69/15/1072806915.db2.gz COIBGEDKULKIMW-UHFFFAOYSA-N 0 0 431.449 -0.223 20 0 IBADRN COc1cc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c(C(=O)N(C)C)cc1OC ZINC000918313939 1072806782 /nfs/dbraw/zinc/80/67/82/1072806782.db2.gz PDUCSIFDZNZHEO-UHFFFAOYSA-N 0 0 442.494 -0.552 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC000918313993 1072806998 /nfs/dbraw/zinc/80/69/98/1072806998.db2.gz FNDIAFWLRDLLGC-UHFFFAOYSA-N 0 0 431.493 -0.343 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1)N1CCCCC1 ZINC000918314121 1072806743 /nfs/dbraw/zinc/80/67/43/1072806743.db2.gz RZEJMORYSNCVMR-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000918314205 1072806755 /nfs/dbraw/zinc/80/67/55/1072806755.db2.gz TXUAVPYWDGNJPQ-UHFFFAOYSA-N 0 0 425.435 -0.275 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cc1 ZINC000918314265 1072806771 /nfs/dbraw/zinc/80/67/71/1072806771.db2.gz JOVURBUPXPAMDL-UHFFFAOYSA-N 0 0 433.465 -0.595 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC000918314357 1072806801 /nfs/dbraw/zinc/80/68/01/1072806801.db2.gz VZTAAYMMLHDXMY-UHFFFAOYSA-N 0 0 425.435 -0.275 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)CC1 ZINC000918314531 1072806721 /nfs/dbraw/zinc/80/67/21/1072806721.db2.gz OIZAMBGYCSNZFR-UHFFFAOYSA-N 0 0 431.449 -0.223 20 0 IBADRN Cc1nccn1-c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)cn1 ZINC000918314652 1072806886 /nfs/dbraw/zinc/80/68/86/1072806886.db2.gz PGFSNAVJCTXAFS-UHFFFAOYSA-N 0 0 427.465 -0.290 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000918314876 1072806812 /nfs/dbraw/zinc/80/68/12/1072806812.db2.gz DLBZAGVBFIMOER-UHFFFAOYSA-N 0 0 447.448 -0.667 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCOC3=O)c2)CC1 ZINC000918314947 1072806857 /nfs/dbraw/zinc/80/68/57/1072806857.db2.gz VLWZQCQCNMCTQH-UHFFFAOYSA-N 0 0 445.476 -0.227 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1C ZINC000918315943 1072807215 /nfs/dbraw/zinc/80/72/15/1072807215.db2.gz RHWTXNFTAMNXMW-UHFFFAOYSA-N 0 0 445.476 -0.578 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)ccc1N(C)C ZINC000918316291 1072808769 /nfs/dbraw/zinc/80/87/69/1072808769.db2.gz TVZVXMBCBRDEAC-UHFFFAOYSA-N 0 0 431.493 -0.471 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cc1 ZINC000918316333 1072809294 /nfs/dbraw/zinc/80/92/94/1072809294.db2.gz VSTMLFLSPMJAJU-UHFFFAOYSA-N 0 0 447.448 -0.667 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(OCC(N)=O)c2)CC1 ZINC000918316536 1072809435 /nfs/dbraw/zinc/80/94/35/1072809435.db2.gz OWFSJYJRBJGOIO-UHFFFAOYSA-N 0 0 441.510 -0.780 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)cc2)CC1 ZINC000918316578 1072809244 /nfs/dbraw/zinc/80/92/44/1072809244.db2.gz RAUVHMQLFOIYSF-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000918317082 1072809269 /nfs/dbraw/zinc/80/92/69/1072809269.db2.gz DQONDAALHLYZIM-UHFFFAOYSA-N 0 0 439.494 -0.313 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2S(C)(=O)=O)CC1 ZINC000918317305 1072809329 /nfs/dbraw/zinc/80/93/29/1072809329.db2.gz GGLIJNLHZDVVDO-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(-n3cnnn3)c2)CC1 ZINC000918317361 1072809300 /nfs/dbraw/zinc/80/93/00/1072809300.db2.gz HLORBGGSLOHMKH-UHFFFAOYSA-N 0 0 436.498 -0.668 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NC)cc2)CC1 ZINC000918317413 1072809280 /nfs/dbraw/zinc/80/92/80/1072809280.db2.gz HWLUJMZEMQSWDP-UHFFFAOYSA-N 0 0 425.511 -0.285 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(C)(=O)=O)c2)CC1 ZINC000918317440 1072809341 /nfs/dbraw/zinc/80/93/41/1072809341.db2.gz IDYBCURNYOZUSX-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)NC)cc2)CC1 ZINC000918317698 1072809226 /nfs/dbraw/zinc/80/92/26/1072809226.db2.gz KROLBJNBPKAVLQ-UHFFFAOYSA-N 0 0 439.538 -0.356 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)nc2)CC1 ZINC000918317725 1072809386 /nfs/dbraw/zinc/80/93/86/1072809386.db2.gz MFCWXUSRDROYOF-UHFFFAOYSA-N 0 0 447.539 -0.846 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cncn3)nc2)CC1 ZINC000918317730 1072809399 /nfs/dbraw/zinc/80/93/99/1072809399.db2.gz MHGSPNOMWRSZPC-UHFFFAOYSA-N 0 0 436.498 -0.668 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCC(N)=O)cc2)CC1 ZINC000918317734 1072809368 /nfs/dbraw/zinc/80/93/68/1072809368.db2.gz MMCMLFPTHSZYBU-UHFFFAOYSA-N 0 0 441.510 -0.780 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnc3)nc2)CC1 ZINC000918317752 1072809323 /nfs/dbraw/zinc/80/93/23/1072809323.db2.gz NJWCMXYUOLFMHC-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2Cn2cncn2)CC1 ZINC000918317755 1072809375 /nfs/dbraw/zinc/80/93/75/1072809375.db2.gz NSOHMYCQYGIVFW-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2-n2ccnn2)CC1 ZINC000918317758 1072809312 /nfs/dbraw/zinc/80/93/12/1072809312.db2.gz NYFUXONXXXFPHS-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(N)=O)cc2)CC1 ZINC000918317989 1072809256 /nfs/dbraw/zinc/80/92/56/1072809256.db2.gz PSUMPDRHYFCYLO-UHFFFAOYSA-N 0 0 425.511 -0.616 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000918317991 1072809355 /nfs/dbraw/zinc/80/93/55/1072809355.db2.gz QEHLVPFIXVJRTN-UHFFFAOYSA-N 0 0 436.498 -0.668 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccnc2-n2cccn2)CC1 ZINC000918318048 1072809773 /nfs/dbraw/zinc/80/97/73/1072809773.db2.gz RWPSZFIXHZMMNA-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(Cn3cncn3)cc2)CC1 ZINC000918318066 1072809902 /nfs/dbraw/zinc/80/99/02/1072809902.db2.gz SBYWIUVJRTXQRF-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(Cn3cncn3)c2)CC1 ZINC000918318082 1072809785 /nfs/dbraw/zinc/80/97/85/1072809785.db2.gz SWNUKQCDBBSBNV-UHFFFAOYSA-N 0 0 449.537 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(C)(=O)=O)cc2)CC1 ZINC000918318358 1072809969 /nfs/dbraw/zinc/80/99/69/1072809969.db2.gz VQLKNTNCISMYDG-UHFFFAOYSA-N 0 0 446.551 -0.241 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cnc3c(c2)c(C)nn3C)CC1 ZINC000918318372 1072809728 /nfs/dbraw/zinc/80/97/28/1072809728.db2.gz WAYNCRFGHMYJAQ-UHFFFAOYSA-N 0 0 437.526 -0.054 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cnnn3)cc2)CC1 ZINC000918318379 1072809884 /nfs/dbraw/zinc/80/98/84/1072809884.db2.gz WLIWCURYIHEJKF-UHFFFAOYSA-N 0 0 436.498 -0.668 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccccc2-n2cncn2)CC1 ZINC000918318396 1072809854 /nfs/dbraw/zinc/80/98/54/1072809854.db2.gz WSTVZOUMWMPTJG-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(-n3cccn3)nc2)CC1 ZINC000918318409 1072809705 /nfs/dbraw/zinc/80/97/05/1072809705.db2.gz WXEUGLZUOONVDL-UHFFFAOYSA-N 0 0 435.510 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(OCCOC)nc2)CC1 ZINC000918318440 1072809798 /nfs/dbraw/zinc/80/97/98/1072809798.db2.gz XIKGKAPTZMLLQR-UHFFFAOYSA-N 0 0 443.526 -0.224 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(F)c(C(N)=O)c2)CC1 ZINC000918318485 1072809937 /nfs/dbraw/zinc/80/99/37/1072809937.db2.gz XZMWHJFSDCBTQJ-UHFFFAOYSA-N 0 0 429.474 -0.406 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(C(N)=O)ccc2F)CC1 ZINC000918318526 1072809761 /nfs/dbraw/zinc/80/97/61/1072809761.db2.gz ZEQBVDJSZSPQJS-UHFFFAOYSA-N 0 0 429.474 -0.406 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NC)c2)CC1 ZINC000918318532 1072809808 /nfs/dbraw/zinc/80/98/08/1072809808.db2.gz ZMFXJVYZYLSBEP-UHFFFAOYSA-N 0 0 425.511 -0.285 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)C(=O)Nc3ccc(-n4nccn4)nc3)CC2)no1 ZINC000918319141 1072809868 /nfs/dbraw/zinc/80/98/68/1072809868.db2.gz UFYFTYWBYSYUJA-UHFFFAOYSA-N 0 0 439.436 -0.320 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000918319378 1072809820 /nfs/dbraw/zinc/80/98/20/1072809820.db2.gz MEHNUJUYSWPSAX-UHFFFAOYSA-N 0 0 438.488 -0.116 20 0 IBADRN COC(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000918324009 1072810356 /nfs/dbraw/zinc/81/03/56/1072810356.db2.gz UXLQTWKLIVQDLW-UHFFFAOYSA-N 0 0 433.508 -0.413 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000918324750 1072807280 /nfs/dbraw/zinc/80/72/80/1072807280.db2.gz WBRCQVQBFRCTRJ-UHFFFAOYSA-N 0 0 426.520 -0.295 20 0 IBADRN CCNC(=O)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000918326375 1072807852 /nfs/dbraw/zinc/80/78/52/1072807852.db2.gz YZXNOVCUKFNNTJ-DDKJEQMHSA-N 0 0 445.563 -0.026 20 0 IBADRN CCNC(=O)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000918326377 1072807822 /nfs/dbraw/zinc/80/78/22/1072807822.db2.gz YZXNOVCUKFNNTJ-ISBHARSQSA-N 0 0 445.563 -0.026 20 0 IBADRN CCNC(=O)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC000918326378 1072807863 /nfs/dbraw/zinc/80/78/63/1072807863.db2.gz YZXNOVCUKFNNTJ-IVOILVROSA-N 0 0 445.563 -0.026 20 0 IBADRN CCNC(=O)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC000918326379 1072807875 /nfs/dbraw/zinc/80/78/75/1072807875.db2.gz YZXNOVCUKFNNTJ-QSOAKEGCSA-N 0 0 445.563 -0.026 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1C(=O)CSC1=O ZINC000918332121 1072808349 /nfs/dbraw/zinc/80/83/49/1072808349.db2.gz KTFZSONSRRYYJZ-UHFFFAOYSA-N 0 0 429.476 -0.062 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000918333290 1072808227 /nfs/dbraw/zinc/80/82/27/1072808227.db2.gz CLADXTHBPZXXQH-CYBMUJFWSA-N 0 0 436.494 -0.701 20 0 IBADRN COc1ccnc(N2CCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)CC2)n1 ZINC000918333295 1072808360 /nfs/dbraw/zinc/80/83/60/1072808360.db2.gz CLADXTHBPZXXQH-ZDUSSCGKSA-N 0 0 436.494 -0.701 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCN3C(=O)CSC3=O)cc2S1(=O)=O ZINC000918333626 1072808779 /nfs/dbraw/zinc/80/87/79/1072808779.db2.gz BUJGBKDTIZXBBU-UHFFFAOYSA-N 0 0 427.460 -0.097 20 0 IBADRN O=C(NCCN1C(=O)CSC1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000918333730 1072808862 /nfs/dbraw/zinc/80/88/62/1072808862.db2.gz COCNZWTWXXYMTJ-UHFFFAOYSA-N 0 0 426.476 -0.768 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCN2C(=O)CSC2=O)c1 ZINC000918336268 1072808822 /nfs/dbraw/zinc/80/88/22/1072808822.db2.gz OAOCYYJNOXBEKN-UHFFFAOYSA-N 0 0 428.492 -0.522 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC000918346771 1072811082 /nfs/dbraw/zinc/81/10/82/1072811082.db2.gz GNKHHBBRSNABMX-UHFFFAOYSA-N 0 0 442.881 -0.579 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C2=NN(c3ccccc3)C(=O)CC2)CC1 ZINC000918346777 1072811058 /nfs/dbraw/zinc/81/10/58/1072811058.db2.gz GRGALABTYFTEPE-UHFFFAOYSA-N 0 0 425.445 -0.011 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(F)(F)F ZINC000918347617 1072811010 /nfs/dbraw/zinc/81/10/10/1072811010.db2.gz PISKVLLXJMRWRQ-KRWDZBQOSA-N 0 0 445.398 -0.620 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(F)(F)F ZINC000918347618 1072810878 /nfs/dbraw/zinc/81/08/78/1072810878.db2.gz PISKVLLXJMRWRQ-QGZVFWFLSA-N 0 0 445.398 -0.620 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)Oc2ccccc21 ZINC000918348054 1072811087 /nfs/dbraw/zinc/81/10/87/1072811087.db2.gz UIZGHQJTUYTFNV-KRWDZBQOSA-N 0 0 428.445 -0.380 20 0 IBADRN CC(=O)N1C[C@H](C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)Oc2ccccc21 ZINC000918348055 1072811025 /nfs/dbraw/zinc/81/10/25/1072811025.db2.gz UIZGHQJTUYTFNV-QGZVFWFLSA-N 0 0 428.445 -0.380 20 0 IBADRN O=C(COc1ccc2ccc(=O)oc2c1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000918348067 1072810957 /nfs/dbraw/zinc/81/09/57/1072810957.db2.gz UQMUZOHCFOKRDX-UHFFFAOYSA-N 0 0 427.413 -0.008 20 0 IBADRN O=C(NC1=NCC(=O)N1)c1cc(S(=O)(=O)N2CCOCC2)ccc1Br ZINC000918354181 1072810970 /nfs/dbraw/zinc/81/09/70/1072810970.db2.gz CNPCXXMAQXRSLL-UHFFFAOYSA-N 0 0 431.268 -0.314 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(I)c(C(=O)NC2=NCC(=O)N2)c1 ZINC000918354665 1072811259 /nfs/dbraw/zinc/81/12/59/1072811259.db2.gz FVMCWTVPDWJKQC-UHFFFAOYSA-N 0 0 436.231 -0.243 20 0 IBADRN COC(=O)N1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000918363033 1072810385 /nfs/dbraw/zinc/81/03/85/1072810385.db2.gz YJMFUBJBACFJAN-HNNXBMFYSA-N 0 0 447.535 -0.321 20 0 IBADRN COC(=O)N1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC000918363034 1072810281 /nfs/dbraw/zinc/81/02/81/1072810281.db2.gz YJMFUBJBACFJAN-OAHLLOKOSA-N 0 0 447.535 -0.321 20 0 IBADRN CCN(CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O)S(C)(=O)=O ZINC000918372293 1072811460 /nfs/dbraw/zinc/81/14/60/1072811460.db2.gz JFOLGZULHZFLQX-UHFFFAOYSA-N 0 0 447.535 -0.121 20 0 IBADRN CN(CC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000918382630 1072812607 /nfs/dbraw/zinc/81/26/07/1072812607.db2.gz AXGIYQFWNWHSCT-AWEZNQCLSA-N 0 0 432.543 -0.699 20 0 IBADRN CN(CC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000918382631 1072812561 /nfs/dbraw/zinc/81/25/61/1072812561.db2.gz AXGIYQFWNWHSCT-CQSZACIVSA-N 0 0 432.543 -0.699 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)o1 ZINC000918382674 1072812701 /nfs/dbraw/zinc/81/27/01/1072812701.db2.gz CHLUAGSSPGFGAB-UHFFFAOYSA-N 0 0 430.483 -0.003 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000918384355 1072813341 /nfs/dbraw/zinc/81/33/41/1072813341.db2.gz YFBBDVOBVMAXMF-UHFFFAOYSA-N 0 0 429.499 -0.518 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC000918394554 1072812633 /nfs/dbraw/zinc/81/26/33/1072812633.db2.gz VFKHJHIFEHGPSJ-UHFFFAOYSA-N 0 0 430.508 -0.543 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC000918400345 1072813197 /nfs/dbraw/zinc/81/31/97/1072813197.db2.gz BEVOFBBQTGWCAX-UHFFFAOYSA-N 0 0 445.520 -0.535 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000918400607 1072815508 /nfs/dbraw/zinc/81/55/08/1072815508.db2.gz GNRMANJZQOGTRS-UHFFFAOYSA-N 0 0 434.478 -0.054 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000918400673 1072815373 /nfs/dbraw/zinc/81/53/73/1072815373.db2.gz IRSGAAZJUHKJLQ-UHFFFAOYSA-N 0 0 428.493 -0.079 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)N1CCN(c2cnccn2)CC1 ZINC000918400842 1072815462 /nfs/dbraw/zinc/81/54/62/1072815462.db2.gz NBXDNHRBMBOFQG-UHFFFAOYSA-N 0 0 444.517 -0.035 20 0 IBADRN CN(C)C(=O)c1ccccc1NC(=O)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000918401981 1072813754 /nfs/dbraw/zinc/81/37/54/1072813754.db2.gz GPGRFLHSOUMFTO-UHFFFAOYSA-N 0 0 431.493 -0.670 20 0 IBADRN NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000918402368 1072813904 /nfs/dbraw/zinc/81/39/04/1072813904.db2.gz PNZTXKFWNDYVHJ-GOSISDBHSA-N 0 0 434.460 -0.028 20 0 IBADRN NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000918402370 1072813926 /nfs/dbraw/zinc/81/39/26/1072813926.db2.gz PNZTXKFWNDYVHJ-SFHVURJKSA-N 0 0 434.460 -0.028 20 0 IBADRN Cc1nc(-c2ccccc2NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)no1 ZINC000918402475 1072813878 /nfs/dbraw/zinc/81/38/78/1072813878.db2.gz KEVQXDZPAQELGJ-UHFFFAOYSA-N 0 0 442.476 -0.013 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)CC1 ZINC000918402495 1072813817 /nfs/dbraw/zinc/81/38/17/1072813817.db2.gz LGSQNECNPOFUOR-UHFFFAOYSA-N 0 0 445.476 -0.415 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)CC1 ZINC000918402545 1072813721 /nfs/dbraw/zinc/81/37/21/1072813721.db2.gz MNFCFLHZDHKMDC-UHFFFAOYSA-N 0 0 445.476 -0.415 20 0 IBADRN COC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000918403156 1072813698 /nfs/dbraw/zinc/81/36/98/1072813698.db2.gz PZMRYJUOSZQPDX-UHFFFAOYSA-N 0 0 433.465 -0.194 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)N3CCN(C(=O)OC(C)(C)C)CC3)cnc2n(C)c1=O ZINC000918403226 1072813827 /nfs/dbraw/zinc/81/38/27/1072813827.db2.gz WWPOVMHRRTVXOL-UHFFFAOYSA-N 0 0 446.464 -0.350 20 0 IBADRN COC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000918403553 1072813889 /nfs/dbraw/zinc/81/38/89/1072813889.db2.gz QPHCOEYFNUUWEZ-UHFFFAOYSA-N 0 0 433.465 -0.194 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3ccnc3)nc2)CC1 ZINC000918403634 1072813796 /nfs/dbraw/zinc/81/37/96/1072813796.db2.gz RFEHFLAMOQPDEP-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)cc2)CC1 ZINC000918403682 1072813737 /nfs/dbraw/zinc/81/37/37/1072813737.db2.gz RYEWPRXJNIWYFP-UHFFFAOYSA-N 0 0 427.465 -0.791 20 0 IBADRN CC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1C ZINC000918403873 1072813857 /nfs/dbraw/zinc/81/38/57/1072813857.db2.gz UDVPGJRSAXRQPL-UHFFFAOYSA-N 0 0 431.493 -0.105 20 0 IBADRN Cc1nccn1-c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC000918403905 1072813775 /nfs/dbraw/zinc/81/37/75/1072813775.db2.gz UTZOMQYHUHDEIP-UHFFFAOYSA-N 0 0 441.492 -0.483 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000918404091 1072813899 /nfs/dbraw/zinc/81/38/99/1072813899.db2.gz VUNLPFVWTGBNIM-UHFFFAOYSA-N 0 0 447.492 -0.787 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)Nc2cccc(C(=O)NCC(N)=O)c2)CC1 ZINC000918404201 1072813808 /nfs/dbraw/zinc/81/38/08/1072813808.db2.gz VAVZGORXOLPDCY-UHFFFAOYSA-N 0 0 433.465 -0.081 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1 ZINC000918404325 1072813933 /nfs/dbraw/zinc/81/39/33/1072813933.db2.gz YHQMZNCJHVPNGU-UHFFFAOYSA-N 0 0 433.436 -0.374 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC000918406703 1072814341 /nfs/dbraw/zinc/81/43/41/1072814341.db2.gz WSFMNXRTGPIROL-UHFFFAOYSA-N 0 0 448.523 -0.699 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000918407161 1072814475 /nfs/dbraw/zinc/81/44/75/1072814475.db2.gz VWMMYLJRMXHWRZ-UHFFFAOYSA-N 0 0 431.493 -0.438 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(CN3CCOC3=O)c2)CC1 ZINC000918409325 1072814467 /nfs/dbraw/zinc/81/44/67/1072814467.db2.gz KAQKTIRBQFRQCG-UHFFFAOYSA-N 0 0 439.494 -0.472 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)N(C)C)CC1 ZINC000918409516 1072814322 /nfs/dbraw/zinc/81/43/22/1072814322.db2.gz OMTNBQMZEGCYBK-UHFFFAOYSA-N 0 0 436.465 -0.339 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000918409524 1072814513 /nfs/dbraw/zinc/81/45/13/1072814513.db2.gz OWOKVVZJKSHKFM-UHFFFAOYSA-N 0 0 427.483 -0.839 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC000918409959 1072814486 /nfs/dbraw/zinc/81/44/86/1072814486.db2.gz SHLFDTYVORNUQE-UHFFFAOYSA-N 0 0 425.511 -0.588 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCOC3=O)cc2)CC1 ZINC000918409967 1072814498 /nfs/dbraw/zinc/81/44/98/1072814498.db2.gz SLBZTRXGTQUMFY-UHFFFAOYSA-N 0 0 425.467 -0.468 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(=O)n(Cc3ccccc3)c2)CC1 ZINC000918410267 1072814337 /nfs/dbraw/zinc/81/43/37/1072814337.db2.gz WAZLBVXECMFBBT-UHFFFAOYSA-N 0 0 447.517 -0.214 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cccc(N3CCOC3=O)c2)CC1 ZINC000918410273 1072814331 /nfs/dbraw/zinc/81/43/31/1072814331.db2.gz WDPRLUAOSWWXTC-UHFFFAOYSA-N 0 0 425.467 -0.468 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)c(Cl)c2)CC1 ZINC000918410335 1072814457 /nfs/dbraw/zinc/81/44/57/1072814457.db2.gz WWUMPBULOHKVPJ-UHFFFAOYSA-N 0 0 437.884 -0.468 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000918410341 1072814350 /nfs/dbraw/zinc/81/43/50/1072814350.db2.gz WYXRRSBSSNDWKO-UHFFFAOYSA-N 0 0 428.467 -0.876 20 0 IBADRN COCC(=O)N1CCN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CC1 ZINC000918410442 1072815001 /nfs/dbraw/zinc/81/50/01/1072815001.db2.gz ZGJDTHNFRJIUHV-UHFFFAOYSA-N 0 0 438.506 -0.857 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)cc1NC(=O)C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC000918410460 1072815108 /nfs/dbraw/zinc/81/51/08/1072815108.db2.gz ZZTKHOPLRURLPD-UHFFFAOYSA-N 0 0 445.929 -0.069 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3C(=O)CCCC3=O)cc2)CC1 ZINC000918412385 1072815041 /nfs/dbraw/zinc/81/50/41/1072815041.db2.gz ADGNCYXSRPSURN-UHFFFAOYSA-N 0 0 429.477 -0.051 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000918412421 1072815102 /nfs/dbraw/zinc/81/51/02/1072815102.db2.gz BVGMJZNQCINKJM-INIZCTEOSA-N 0 0 444.536 -0.380 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000918412460 1072815049 /nfs/dbraw/zinc/81/50/49/1072815049.db2.gz BVGMJZNQCINKJM-MRXNPFEDSA-N 0 0 444.536 -0.380 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000918412479 1072814899 /nfs/dbraw/zinc/81/48/99/1072814899.db2.gz CFXNSETXGGGKBK-UHFFFAOYSA-N 0 0 432.449 -0.098 20 0 IBADRN O=C(CN1CCCN(C(=O)C(=O)Nc2ccc(-n3nccn3)nc2)CC1)N1CCCC1 ZINC000918412779 1072815009 /nfs/dbraw/zinc/81/50/09/1072815009.db2.gz HKJSADJMSKPLHP-UHFFFAOYSA-N 0 0 426.481 -0.243 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC000918413364 1072815056 /nfs/dbraw/zinc/81/50/56/1072815056.db2.gz QJWMPNINTLSDGK-UHFFFAOYSA-N 0 0 432.449 -0.098 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2cc(OC)c(OC)cc2C(=O)N(C)C)CC1 ZINC000918413447 1072815115 /nfs/dbraw/zinc/81/51/15/1072815115.db2.gz TXUMLEGAFABXSS-UHFFFAOYSA-N 0 0 449.508 -0.376 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC000918413892 1072815032 /nfs/dbraw/zinc/81/50/32/1072815032.db2.gz XYHHERRFHDHEBT-UHFFFAOYSA-N 0 0 441.510 -0.913 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccccc2S(=O)(=O)C(F)F)CC1 ZINC000918419182 1072816056 /nfs/dbraw/zinc/81/60/56/1072816056.db2.gz ASVXSCHAUNFABP-UHFFFAOYSA-N 0 0 432.449 -0.098 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)C(=O)N2CCc3cc(S(=O)(=O)N(C)C)ccc32)CC1 ZINC000918419527 1072816015 /nfs/dbraw/zinc/81/60/15/1072816015.db2.gz HZSANQLCNLPSRN-UHFFFAOYSA-N 0 0 437.522 -0.348 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)N(C)C)CC2)cc1 ZINC000918419842 1072816159 /nfs/dbraw/zinc/81/61/59/1072816159.db2.gz NFRFMKNEDKHVNQ-UHFFFAOYSA-N 0 0 427.483 -0.367 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC000918419887 1072816202 /nfs/dbraw/zinc/81/62/02/1072816202.db2.gz OKRJYKSTCFRJNN-UHFFFAOYSA-N 0 0 441.510 -0.913 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC000918420835 1072816081 /nfs/dbraw/zinc/81/60/81/1072816081.db2.gz WWXIPCQKLTZIDS-UHFFFAOYSA-N 0 0 432.449 -0.098 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@@H](O)COc3cccc(F)c3)cnc2n(C)c1=O ZINC000918421210 1072816220 /nfs/dbraw/zinc/81/62/20/1072816220.db2.gz HPTPFHNJNKUHEY-CYBMUJFWSA-N 0 0 445.407 -0.734 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)C(=O)NC[C@H](O)COc3cccc(F)c3)cnc2n(C)c1=O ZINC000918421211 1072816823 /nfs/dbraw/zinc/81/68/23/1072816823.db2.gz HPTPFHNJNKUHEY-ZDUSSCGKSA-N 0 0 445.407 -0.734 20 0 IBADRN CC(C)COC[C@@H](O)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000918423461 1072816720 /nfs/dbraw/zinc/81/67/20/1072816720.db2.gz MVKATXXOXBCPGO-HNNXBMFYSA-N 0 0 427.523 -0.024 20 0 IBADRN CC(C)COC[C@H](O)CNC(=O)C(=O)N1CCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000918423463 1072816706 /nfs/dbraw/zinc/81/67/06/1072816706.db2.gz MVKATXXOXBCPGO-OAHLLOKOSA-N 0 0 427.523 -0.024 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000918423623 1072816843 /nfs/dbraw/zinc/81/68/43/1072816843.db2.gz DKUKIJBGBLPOOC-LLVKDONJSA-N 0 0 432.437 -0.692 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)Nc2cnc3c(c2)c(=O)n(C)c(=O)n3C)C1 ZINC000918423624 1072816680 /nfs/dbraw/zinc/81/66/80/1072816680.db2.gz DKUKIJBGBLPOOC-NSHDSACASA-N 0 0 432.437 -0.692 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@H](O)COc2cccc(F)c2)c1 ZINC000918423817 1072816772 /nfs/dbraw/zinc/81/67/72/1072816772.db2.gz RWPYRGRHTTUCFD-HNNXBMFYSA-N 0 0 432.408 -0.465 20 0 IBADRN NC(=O)CNC(=O)c1cccc(NC(=O)C(=O)NC[C@@H](O)COc2cccc(F)c2)c1 ZINC000918423819 1072816761 /nfs/dbraw/zinc/81/67/61/1072816761.db2.gz RWPYRGRHTTUCFD-OAHLLOKOSA-N 0 0 432.408 -0.465 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC000918424736 1072816802 /nfs/dbraw/zinc/81/68/02/1072816802.db2.gz MDEPYMSSUCXHEK-CYBMUJFWSA-N 0 0 426.495 -0.002 20 0 IBADRN COCC(=O)Nc1ccc(NC(=O)C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC000918424737 1072816663 /nfs/dbraw/zinc/81/66/63/1072816663.db2.gz MDEPYMSSUCXHEK-ZDUSSCGKSA-N 0 0 426.495 -0.002 20 0 IBADRN O=C(NC[C@H](O)COc1cccc(F)c1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000918425084 1072816811 /nfs/dbraw/zinc/81/68/11/1072816811.db2.gz ZIPNCXFQNUVLIK-HNNXBMFYSA-N 0 0 431.424 -0.349 20 0 IBADRN O=C(NC[C@@H](O)COc1cccc(F)c1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000918425086 1072816629 /nfs/dbraw/zinc/81/66/29/1072816629.db2.gz ZIPNCXFQNUVLIK-OAHLLOKOSA-N 0 0 431.424 -0.349 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000918425199 1072817374 /nfs/dbraw/zinc/81/73/74/1072817374.db2.gz MXYBIDRPHCNRKW-AWEZNQCLSA-N 0 0 437.522 -0.651 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)C1 ZINC000918425207 1072817345 /nfs/dbraw/zinc/81/73/45/1072817345.db2.gz MXYBIDRPHCNRKW-CQSZACIVSA-N 0 0 437.522 -0.651 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1 ZINC000918426527 1072817309 /nfs/dbraw/zinc/81/73/09/1072817309.db2.gz YNPNKHIXQRAKSR-CYBMUJFWSA-N 0 0 448.523 -0.653 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1 ZINC000918426528 1072817330 /nfs/dbraw/zinc/81/73/30/1072817330.db2.gz YNPNKHIXQRAKSR-ZDUSSCGKSA-N 0 0 448.523 -0.653 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)C(=O)Nc3ccc(-n4nccn4)nc3)CC2)CC1 ZINC000918427533 1072817294 /nfs/dbraw/zinc/81/72/94/1072817294.db2.gz MCDALOOSJYLOFY-UHFFFAOYSA-N 0 0 426.481 -0.387 20 0 IBADRN O=C(NCCNC(=O)c1ccc(O)cc1)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000918427755 1072817249 /nfs/dbraw/zinc/81/72/49/1072817249.db2.gz VMXAENGHJISECK-UHFFFAOYSA-N 0 0 425.445 -0.187 20 0 IBADRN O=C(Nc1ccc(N2CCNC(=O)C2)cc1)C(=O)N1CCN(C(=O)c2ccccn2)CC1 ZINC000918428982 1072815419 /nfs/dbraw/zinc/81/54/19/1072815419.db2.gz GLVRWYMFDABMLZ-UHFFFAOYSA-N 0 0 436.472 -0.059 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1 ZINC000918429166 1072815435 /nfs/dbraw/zinc/81/54/35/1072815435.db2.gz FWJQMOCZJLXMGH-UHFFFAOYSA-N 0 0 425.511 -0.588 20 0 IBADRN Cc1cc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1N(C)C ZINC000918431201 1072815480 /nfs/dbraw/zinc/81/54/80/1072815480.db2.gz PKPSBMWMISDCOR-UHFFFAOYSA-N 0 0 439.538 -0.279 20 0 IBADRN COc1ccc(NC(=O)C(=O)N2CCOC[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC000918435316 1072816174 /nfs/dbraw/zinc/81/61/74/1072816174.db2.gz KATPLPWLPHOHJB-ZDUSSCGKSA-N 0 0 427.479 -0.098 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC000918435975 1072815978 /nfs/dbraw/zinc/81/59/78/1072815978.db2.gz PEBXSJQUFUPEAL-UHFFFAOYSA-N 0 0 438.554 -0.673 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)NCC1(C(N)=O)CCOCC1 ZINC000918436242 1072816028 /nfs/dbraw/zinc/81/60/28/1072816028.db2.gz AZWSGLWAXHNFRI-UHFFFAOYSA-N 0 0 438.506 -0.776 20 0 IBADRN CN(Cc1cnn(-c2ccccc2)n1)C(=O)C(=O)Nc1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000918438114 1072818405 /nfs/dbraw/zinc/81/84/05/1072818405.db2.gz OPTBHXDRPLWJME-UHFFFAOYSA-N 0 0 448.443 -0.190 20 0 IBADRN COCCn1cc(NC(=O)C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)cn1 ZINC000918439572 1072818455 /nfs/dbraw/zinc/81/84/55/1072818455.db2.gz SVUVHZUGDANYOX-UHFFFAOYSA-N 0 0 446.489 -0.239 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000918442140 1072818618 /nfs/dbraw/zinc/81/86/18/1072818618.db2.gz FFESAVIJJYUTKU-UHFFFAOYSA-N 0 0 437.522 -0.508 20 0 IBADRN O=C(NCCS(=O)(=O)Cc1ccccc1)C(=O)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC000918444334 1072817262 /nfs/dbraw/zinc/81/72/62/1072817262.db2.gz QDTOKQXJQBWDHU-UHFFFAOYSA-N 0 0 445.501 -0.313 20 0 IBADRN CN(C)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)cc1 ZINC000918444707 1072817987 /nfs/dbraw/zinc/81/79/87/1072817987.db2.gz UWPDCVXIVRLMPG-UHFFFAOYSA-N 0 0 449.489 -0.084 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000918447410 1072817836 /nfs/dbraw/zinc/81/78/36/1072817836.db2.gz GQOCBEMFCHAVBY-UHFFFAOYSA-N 0 0 441.492 -0.538 20 0 IBADRN COC[C@@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)OC)CC2)cc1 ZINC000918452322 1072817896 /nfs/dbraw/zinc/81/78/96/1072817896.db2.gz AJSWHDAWHDKOPO-CYBMUJFWSA-N 0 0 442.494 -0.151 20 0 IBADRN COC[C@H](C)NS(=O)(=O)c1ccc(NC(=O)C(=O)N2CCN(C(=O)OC)CC2)cc1 ZINC000918452323 1072818035 /nfs/dbraw/zinc/81/80/35/1072818035.db2.gz AJSWHDAWHDKOPO-ZDUSSCGKSA-N 0 0 442.494 -0.151 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000918452325 1072817767 /nfs/dbraw/zinc/81/77/67/1072817767.db2.gz ATTPVMATPMHNJU-UHFFFAOYSA-N 0 0 440.478 -0.443 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2OC)CC1 ZINC000918452871 1072817908 /nfs/dbraw/zinc/81/79/08/1072817908.db2.gz KQQPZLPEIOATTD-UHFFFAOYSA-N 0 0 428.467 -0.205 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC000918452959 1072817737 /nfs/dbraw/zinc/81/77/37/1072817737.db2.gz JRZBCUOGPGXYQE-UHFFFAOYSA-N 0 0 433.465 -0.194 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CC1 ZINC000918453421 1072818047 /nfs/dbraw/zinc/81/80/47/1072818047.db2.gz QBGUIQMBCNVTLM-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC000918453833 1072818593 /nfs/dbraw/zinc/81/85/93/1072818593.db2.gz XRUCCTWBERCFNE-UHFFFAOYSA-N 0 0 440.478 -0.443 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC000918453875 1072817923 /nfs/dbraw/zinc/81/79/23/1072817923.db2.gz WBMMFRXJHRDYOI-UHFFFAOYSA-N 0 0 441.510 -0.148 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)N2C[C@@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000918453934 1072818439 /nfs/dbraw/zinc/81/84/39/1072818439.db2.gz YZKGKWJTSCYHHU-KRWDZBQOSA-N 0 0 446.460 -0.450 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)N2C[C@H](C(=O)N3CCOCC3)Oc3ccccc32)CC1 ZINC000918453935 1072818606 /nfs/dbraw/zinc/81/86/06/1072818606.db2.gz YZKGKWJTSCYHHU-QGZVFWFLSA-N 0 0 446.460 -0.450 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(CC(=O)N3CCN(C)CC3)cc2)CC1 ZINC000918457001 1072818470 /nfs/dbraw/zinc/81/84/70/1072818470.db2.gz XIAHHHJYDJJTLB-UHFFFAOYSA-N 0 0 431.493 -0.148 20 0 IBADRN CCN(CCCNC(=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1)S(C)(=O)=O ZINC000918465411 1072819192 /nfs/dbraw/zinc/81/91/92/1072819192.db2.gz MASSXYCKUMABGT-UHFFFAOYSA-N 0 0 425.511 -0.651 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)C(=O)Nc2ccc(N3CCNC(=O)C3)cc2)CC1 ZINC000918470883 1072819626 /nfs/dbraw/zinc/81/96/26/1072819626.db2.gz IXWDHHRVYSQTGJ-UHFFFAOYSA-N 0 0 445.520 -0.047 20 0 IBADRN C[C@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)S(=O)(=O)c1ccc(F)cc1 ZINC000918479915 1072820612 /nfs/dbraw/zinc/82/06/12/1072820612.db2.gz CNYMYIHKNBQJRQ-LLVKDONJSA-N 0 0 438.437 -0.022 20 0 IBADRN C[C@@H](C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C)S(=O)(=O)c1ccc(F)cc1 ZINC000918479916 1072820679 /nfs/dbraw/zinc/82/06/79/1072820679.db2.gz CNYMYIHKNBQJRQ-NSHDSACASA-N 0 0 438.437 -0.022 20 0 IBADRN Cn1c2ncn(CCOC(=O)C3CCN(C(=O)c4cccnc4)CC3)c2c(=O)n(C)c1=O ZINC000918480660 1072820389 /nfs/dbraw/zinc/82/03/89/1072820389.db2.gz NQZHFTFGXCWEBP-UHFFFAOYSA-N 0 0 440.460 -0.076 20 0 IBADRN Cc1cc(C)n2nc(CCCOC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)nc2n1 ZINC000918499049 1072823100 /nfs/dbraw/zinc/82/31/00/1072823100.db2.gz PADZCKQLRSTHHG-UHFFFAOYSA-N 0 0 426.437 -0.336 20 0 IBADRN Cc1cc(C)n2nc(CCCOC(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)nc2n1 ZINC000918502693 1072822466 /nfs/dbraw/zinc/82/24/66/1072822466.db2.gz DEEDKGJRIGQFOE-UHFFFAOYSA-N 0 0 426.437 -0.336 20 0 IBADRN CCN1CCN(CC(=O)OCCc2cc(C(N)=O)c(=O)[nH]c2C(F)(F)F)C(=O)C1=O ZINC000918511541 1072823370 /nfs/dbraw/zinc/82/33/70/1072823370.db2.gz FTFHUSZQIPABKX-UHFFFAOYSA-N 0 0 432.355 -0.319 20 0 IBADRN NC(=O)c1cc(CCOC(=O)C2CCN(S(N)(=O)=O)CC2)c(C(F)(F)F)[nH]c1=O ZINC000918512566 1072823596 /nfs/dbraw/zinc/82/35/96/1072823596.db2.gz KIBZCWLCNODJAW-UHFFFAOYSA-N 0 0 440.400 -0.094 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000918551876 1072824671 /nfs/dbraw/zinc/82/46/71/1072824671.db2.gz ZJDWZPGZLLUVIU-CHWSQXEVSA-N 0 0 428.488 -0.073 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000918551878 1072824707 /nfs/dbraw/zinc/82/47/07/1072824707.db2.gz ZJDWZPGZLLUVIU-OLZOCXBDSA-N 0 0 428.488 -0.073 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000918551879 1072824497 /nfs/dbraw/zinc/82/44/97/1072824497.db2.gz ZJDWZPGZLLUVIU-QWHCGFSZSA-N 0 0 428.488 -0.073 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000918551880 1072824577 /nfs/dbraw/zinc/82/45/77/1072824577.db2.gz ZJDWZPGZLLUVIU-STQMWFEESA-N 0 0 428.488 -0.073 20 0 IBADRN COCCNC(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000918552900 1072824587 /nfs/dbraw/zinc/82/45/87/1072824587.db2.gz AAWGHMZMKBZGFB-CYBMUJFWSA-N 0 0 425.463 -0.498 20 0 IBADRN COCCNC(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000918552901 1072824663 /nfs/dbraw/zinc/82/46/63/1072824663.db2.gz AAWGHMZMKBZGFB-ZDUSSCGKSA-N 0 0 425.463 -0.498 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)CSCCOc1ccc(S(N)(=O)=O)cc1 ZINC000918554836 1072825107 /nfs/dbraw/zinc/82/51/07/1072825107.db2.gz SCQNIETXPXNDPL-UHFFFAOYSA-N 0 0 444.579 -0.322 20 0 IBADRN CS(=O)(=O)NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000918556313 1072824084 /nfs/dbraw/zinc/82/40/84/1072824084.db2.gz NDAKRXIMYGXBFD-GFCCVEGCSA-N 0 0 431.492 -0.711 20 0 IBADRN COCCS(=O)(=O)CC(=O)Nc1cc(S(=O)(=O)N2CCN(C)CC2)ccc1OC ZINC000918556416 1072824053 /nfs/dbraw/zinc/82/40/53/1072824053.db2.gz STTZGSPCXQHQTF-UHFFFAOYSA-N 0 0 449.551 -0.369 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000918557898 1072824025 /nfs/dbraw/zinc/82/40/25/1072824025.db2.gz NOBHHYMUPJDOHX-CYBMUJFWSA-N 0 0 445.519 -0.321 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000918557924 1072824035 /nfs/dbraw/zinc/82/40/35/1072824035.db2.gz NOBHHYMUPJDOHX-ZDUSSCGKSA-N 0 0 445.519 -0.321 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000918561559 1072825812 /nfs/dbraw/zinc/82/58/12/1072825812.db2.gz CMTWIZPFVCEKEC-CYBMUJFWSA-N 0 0 445.519 -0.321 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000918561561 1072825748 /nfs/dbraw/zinc/82/57/48/1072825748.db2.gz CMTWIZPFVCEKEC-ZDUSSCGKSA-N 0 0 445.519 -0.321 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000918569098 1072825085 /nfs/dbraw/zinc/82/50/85/1072825085.db2.gz ULBXSFVFOSFUFW-LLVKDONJSA-N 0 0 435.499 -0.453 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000918569099 1072825034 /nfs/dbraw/zinc/82/50/34/1072825034.db2.gz ULBXSFVFOSFUFW-NSHDSACASA-N 0 0 435.499 -0.453 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000918569929 1072825186 /nfs/dbraw/zinc/82/51/86/1072825186.db2.gz LWOOWHMXTIWDJW-GFCCVEGCSA-N 0 0 449.526 -0.064 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000918569930 1072825236 /nfs/dbraw/zinc/82/52/36/1072825236.db2.gz LWOOWHMXTIWDJW-LBPRGKRZSA-N 0 0 449.526 -0.064 20 0 IBADRN COc1cccc(/C=C(\C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)n2nnnc2C)c1 ZINC000918569982 1072825097 /nfs/dbraw/zinc/82/50/97/1072825097.db2.gz OASPFMRYHFKFFN-QAEAHILHSA-N 0 0 448.505 -0.202 20 0 IBADRN COc1cccc(/C=C(/C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)n2nnnc2C)c1 ZINC000918569983 1072825129 /nfs/dbraw/zinc/82/51/29/1072825129.db2.gz OASPFMRYHFKFFN-QJISPJEISA-N 0 0 448.505 -0.202 20 0 IBADRN COc1cccc(/C=C(\C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)n2nnnc2C)c1 ZINC000918569984 1072825136 /nfs/dbraw/zinc/82/51/36/1072825136.db2.gz OASPFMRYHFKFFN-VUVCFOEZSA-N 0 0 448.505 -0.202 20 0 IBADRN COc1cccc(/C=C(/C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)n2nnnc2C)c1 ZINC000918569985 1072825141 /nfs/dbraw/zinc/82/51/41/1072825141.db2.gz OASPFMRYHFKFFN-ZCQLHAAUSA-N 0 0 448.505 -0.202 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C\C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000918570245 1072825072 /nfs/dbraw/zinc/82/50/72/1072825072.db2.gz PXBNIKYDTVHHQA-IJVDHGTGSA-N 0 0 429.520 -0.119 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C/C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000918570246 1072825044 /nfs/dbraw/zinc/82/50/44/1072825044.db2.gz PXBNIKYDTVHHQA-NFBGWVBBSA-N 0 0 429.520 -0.119 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C\C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000918570247 1072825229 /nfs/dbraw/zinc/82/52/29/1072825229.db2.gz PXBNIKYDTVHHQA-QZDDGCDVSA-N 0 0 429.520 -0.119 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C/C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000918570248 1072825150 /nfs/dbraw/zinc/82/51/50/1072825150.db2.gz PXBNIKYDTVHHQA-SZTZYQKNSA-N 0 0 429.520 -0.119 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000918570270 1072825173 /nfs/dbraw/zinc/82/51/73/1072825173.db2.gz QPLHVRQGWVNWTJ-CYBMUJFWSA-N 0 0 449.526 -0.064 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000918570271 1072825795 /nfs/dbraw/zinc/82/57/95/1072825795.db2.gz QPLHVRQGWVNWTJ-ZDUSSCGKSA-N 0 0 449.526 -0.064 20 0 IBADRN Cc1nnnn1/C(=C/c1ccc(F)cc1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000918570775 1072825514 /nfs/dbraw/zinc/82/55/14/1072825514.db2.gz XQRHKDRIZMIMGN-BMANGOCTSA-N 0 0 436.469 -0.072 20 0 IBADRN Cc1nnnn1/C(=C\c1ccc(F)cc1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000918570797 1072825455 /nfs/dbraw/zinc/82/54/55/1072825455.db2.gz XQRHKDRIZMIMGN-CCAPLTMGSA-N 0 0 436.469 -0.072 20 0 IBADRN Cc1nnnn1/C(=C\c1ccc(F)cc1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000918570799 1072825507 /nfs/dbraw/zinc/82/55/07/1072825507.db2.gz XQRHKDRIZMIMGN-HEUOVEHFSA-N 0 0 436.469 -0.072 20 0 IBADRN Cc1nnnn1/C(=C/c1ccc(F)cc1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000918570802 1072825862 /nfs/dbraw/zinc/82/58/62/1072825862.db2.gz XQRHKDRIZMIMGN-VGVSSDJJSA-N 0 0 436.469 -0.072 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CS[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000918574851 1072827295 /nfs/dbraw/zinc/82/72/95/1072827295.db2.gz XRLDXQVVHZQNAN-AWEZNQCLSA-N 0 0 433.577 -0.097 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CS[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000918574852 1072827174 /nfs/dbraw/zinc/82/71/74/1072827174.db2.gz XRLDXQVVHZQNAN-CQSZACIVSA-N 0 0 433.577 -0.097 20 0 IBADRN NC(=O)Cn1cc(NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)cn1 ZINC000918580974 1072826927 /nfs/dbraw/zinc/82/69/27/1072826927.db2.gz HADCJYIFLQXVNZ-CYBMUJFWSA-N 0 0 433.446 -0.056 20 0 IBADRN NC(=O)Cn1cc(NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)cn1 ZINC000918580975 1072826914 /nfs/dbraw/zinc/82/69/14/1072826914.db2.gz HADCJYIFLQXVNZ-ZDUSSCGKSA-N 0 0 433.446 -0.056 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CS[C@@H]2CCS(=O)(=O)C2)c1 ZINC000918581133 1072826754 /nfs/dbraw/zinc/82/67/54/1072826754.db2.gz HEPRXPRKXKAISL-GFCCVEGCSA-N 0 0 436.533 -0.003 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)CS[C@H]2CCS(=O)(=O)C2)c1 ZINC000918581135 1072826849 /nfs/dbraw/zinc/82/68/49/1072826849.db2.gz HEPRXPRKXKAISL-LBPRGKRZSA-N 0 0 436.533 -0.003 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000918581353 1072826691 /nfs/dbraw/zinc/82/66/91/1072826691.db2.gz NSMVNKIIOWFHHA-UHFFFAOYSA-N 0 0 428.536 -0.002 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c1 ZINC000918581466 1072826890 /nfs/dbraw/zinc/82/68/90/1072826890.db2.gz LNFDCHOTAZHWRS-BQYQJAHWSA-N 0 0 436.446 -0.813 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c1 ZINC000918581467 1072826867 /nfs/dbraw/zinc/82/68/67/1072826867.db2.gz LNFDCHOTAZHWRS-FPLPWBNLSA-N 0 0 436.446 -0.813 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)c(=O)n(C)c1=O ZINC000918598052 1072827385 /nfs/dbraw/zinc/82/73/85/1072827385.db2.gz SEKRQYPMRFTVFR-BQYQJAHWSA-N 0 0 437.500 -0.169 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)c(=O)n(C)c1=O ZINC000918598056 1072827249 /nfs/dbraw/zinc/82/72/49/1072827249.db2.gz SEKRQYPMRFTVFR-FPLPWBNLSA-N 0 0 437.500 -0.169 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000918598126 1072827198 /nfs/dbraw/zinc/82/71/98/1072827198.db2.gz SFYBWBUHVUFJTK-UHFFFAOYSA-N 0 0 433.575 -0.039 20 0 IBADRN CCN1CCN(CC(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)C(=O)C1=O ZINC000918598148 1072827311 /nfs/dbraw/zinc/82/73/11/1072827311.db2.gz TXCIHFLFMSXESM-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)NCC(N)=O)cc1)C1=NS(=O)(=O)c2ccccc21 ZINC000918598238 1072827409 /nfs/dbraw/zinc/82/74/09/1072827409.db2.gz UQBKUDOMHODFGF-UHFFFAOYSA-N 0 0 443.485 -0.401 20 0 IBADRN C[C@@H]1NC(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)=NN(c2ccccc2)C1=O ZINC000918603832 1072828461 /nfs/dbraw/zinc/82/84/61/1072828461.db2.gz FRZDVJXYGKDYBW-HNNXBMFYSA-N 0 0 426.477 -0.382 20 0 IBADRN C[C@H]1NC(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)=NN(c2ccccc2)C1=O ZINC000918603834 1072828445 /nfs/dbraw/zinc/82/84/45/1072828445.db2.gz FRZDVJXYGKDYBW-OAHLLOKOSA-N 0 0 426.477 -0.382 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)c1 ZINC000918604454 1072828432 /nfs/dbraw/zinc/82/84/32/1072828432.db2.gz KIKOPNYEXGIUMH-UHFFFAOYSA-N 0 0 440.497 -0.017 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000918604928 1072828681 /nfs/dbraw/zinc/82/86/81/1072828681.db2.gz XQSINNUXYWFAOH-RMKNXTFCSA-N 0 0 436.490 -0.662 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)cc1 ZINC000918604929 1072828420 /nfs/dbraw/zinc/82/84/20/1072828420.db2.gz XQSINNUXYWFAOH-TWGQIWQCSA-N 0 0 436.490 -0.662 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC000918605175 1072828594 /nfs/dbraw/zinc/82/85/94/1072828594.db2.gz XGOLFPYUTAVSHX-UHFFFAOYSA-N 0 0 426.470 -0.361 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)ccc1F ZINC000918609585 1072828615 /nfs/dbraw/zinc/82/86/15/1072828615.db2.gz SITQFBAAHURNNS-UHFFFAOYSA-N 0 0 434.449 -0.025 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c(=O)n(C)c1=O ZINC000918612184 1072829088 /nfs/dbraw/zinc/82/90/88/1072829088.db2.gz LKFLSIQKQRYMJE-BQYQJAHWSA-N 0 0 443.485 -0.610 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c(=O)n(C)c1=O ZINC000918612185 1072829306 /nfs/dbraw/zinc/82/93/06/1072829306.db2.gz LKFLSIQKQRYMJE-FPLPWBNLSA-N 0 0 443.485 -0.610 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000918612737 1072829038 /nfs/dbraw/zinc/82/90/38/1072829038.db2.gz QMWQPVULWZJMLL-UHFFFAOYSA-N 0 0 441.535 -0.805 20 0 IBADRN CC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000918613046 1072829209 /nfs/dbraw/zinc/82/92/09/1072829209.db2.gz RQSIWRUGVHYXEH-UHFFFAOYSA-N 0 0 431.536 -0.290 20 0 IBADRN CN(CC(=O)NCCN1CCN(c2ncccn2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000918623266 1072831145 /nfs/dbraw/zinc/83/11/45/1072831145.db2.gz MGQVIQSODGMZEI-UHFFFAOYSA-N 0 0 443.533 -0.204 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCCN1CCN(c2ncccn2)CC1 ZINC000918623713 1072831055 /nfs/dbraw/zinc/83/10/55/1072831055.db2.gz VGCQMSBBSMWKBH-UHFFFAOYSA-N 0 0 430.490 -0.441 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC000918648913 1072832647 /nfs/dbraw/zinc/83/26/47/1072832647.db2.gz JNCDYERXWISUBR-UHFFFAOYSA-N 0 0 430.531 -0.633 20 0 IBADRN NC(=O)Cn1ccc(NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)n1 ZINC000918668207 1072833574 /nfs/dbraw/zinc/83/35/74/1072833574.db2.gz AONQZODEYPUVPP-GFCCVEGCSA-N 0 0 433.446 -0.056 20 0 IBADRN NC(=O)Cn1ccc(NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)n1 ZINC000918668208 1072833728 /nfs/dbraw/zinc/83/37/28/1072833728.db2.gz AONQZODEYPUVPP-LBPRGKRZSA-N 0 0 433.446 -0.056 20 0 IBADRN CN(CC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)c1ncnc2nc[nH]c21 ZINC000918678997 1072835087 /nfs/dbraw/zinc/83/50/87/1072835087.db2.gz JCSRCZIUXXTUKV-UHFFFAOYSA-N 0 0 432.485 -0.015 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)CSCC(=O)N2CCOCC2)CC1 ZINC000918679154 1072834810 /nfs/dbraw/zinc/83/48/10/1072834810.db2.gz OQURMLUPYBQDPV-UHFFFAOYSA-N 0 0 444.554 -0.226 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(C(=O)NCC(=O)N3CCN(C(=O)OC(C)(C)C)CC3)cnc21 ZINC000918679158 1072834822 /nfs/dbraw/zinc/83/48/22/1072834822.db2.gz OVBPVNJFJNANLD-UHFFFAOYSA-N 0 0 446.464 -0.157 20 0 IBADRN Cn1cc(/C=C\C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC000918679301 1072834850 /nfs/dbraw/zinc/83/48/50/1072834850.db2.gz RAIGEGVTWICXKT-SREVYHEPSA-N 0 0 435.481 -0.707 20 0 IBADRN Cn1cc(/C=C/C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC000918679302 1072834836 /nfs/dbraw/zinc/83/48/36/1072834836.db2.gz RAIGEGVTWICXKT-VOTSOKGWSA-N 0 0 435.481 -0.707 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000918679762 1072835012 /nfs/dbraw/zinc/83/50/12/1072835012.db2.gz XSLUWOWISMZJSO-UHFFFAOYSA-N 0 0 433.531 -0.903 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000918698033 1072834776 /nfs/dbraw/zinc/83/47/76/1072834776.db2.gz WICVGSAYCOKTSZ-NRFANRHFSA-N 0 0 431.493 -0.258 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCC(=O)N3CCN(C)CC3)C2=O)cc1 ZINC000918698034 1072834979 /nfs/dbraw/zinc/83/49/79/1072834979.db2.gz WICVGSAYCOKTSZ-OAQYLSRUSA-N 0 0 431.493 -0.258 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000918702263 1072835028 /nfs/dbraw/zinc/83/50/28/1072835028.db2.gz RSANWKNULWJHKZ-GHRIWEEISA-N 0 0 437.460 -0.201 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000918702265 1072835064 /nfs/dbraw/zinc/83/50/64/1072835064.db2.gz RSANWKNULWJHKZ-LGMDPLHJSA-N 0 0 437.460 -0.201 20 0 IBADRN CN(C)C(=O)CNC(=S)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC000918716196 1072835302 /nfs/dbraw/zinc/83/53/02/1072835302.db2.gz BHULADYWYSYWKF-UHFFFAOYSA-N 0 0 428.565 -0.040 20 0 IBADRN CN(C)C(=O)CNC(=S)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC000918716522 1072835396 /nfs/dbraw/zinc/83/53/96/1072835396.db2.gz GEYDGNKJWYZKAS-UHFFFAOYSA-N 0 0 425.536 -0.550 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=S)NCC(=O)N(C)C)CC2)c1 ZINC000918717107 1072835585 /nfs/dbraw/zinc/83/55/85/1072835585.db2.gz QAMOFNUEKHINGN-UHFFFAOYSA-N 0 0 430.552 -0.027 20 0 IBADRN CN(C)C(=O)CNC(=S)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000918717254 1072835439 /nfs/dbraw/zinc/83/54/39/1072835439.db2.gz RYRYDGIRTPTQHP-UHFFFAOYSA-N 0 0 427.552 -0.053 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1NC(=S)NNC(=S)NCC(=O)N(C)C ZINC000918717678 1072835478 /nfs/dbraw/zinc/83/54/78/1072835478.db2.gz YVQDSFFUPSIMOM-UHFFFAOYSA-N 0 0 432.597 -0.001 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=S)NCC(=O)N(C)C)CC1 ZINC000918718079 1072835869 /nfs/dbraw/zinc/83/58/69/1072835869.db2.gz LXVOWCONHSVAOW-UHFFFAOYSA-N 0 0 446.551 -0.048 20 0 IBADRN CN(C)C(=O)CNC(=S)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000918718547 1072836016 /nfs/dbraw/zinc/83/60/16/1072836016.db2.gz GRDZHYFIAUGJMS-UHFFFAOYSA-N 0 0 426.524 -0.463 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=S)NCC(=O)N(C)C)CC2)c1 ZINC000918719939 1072836083 /nfs/dbraw/zinc/83/60/83/1072836083.db2.gz OPMODBJTOPXURU-UHFFFAOYSA-N 0 0 434.565 -0.196 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1nnnn1-c1ccc(OC(F)F)cc1 ZINC000918737781 1072836625 /nfs/dbraw/zinc/83/66/25/1072836625.db2.gz PCJITVLXWJVDGB-LLVKDONJSA-N 0 0 430.437 -0.001 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1nnnn1-c1ccc(OC(F)F)cc1 ZINC000918737782 1072836403 /nfs/dbraw/zinc/83/64/03/1072836403.db2.gz PCJITVLXWJVDGB-NSHDSACASA-N 0 0 430.437 -0.001 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4c3COC4=O)CC2)CC1 ZINC000918742603 1072836539 /nfs/dbraw/zinc/83/65/39/1072836539.db2.gz BUITZNAJUJZIIT-UHFFFAOYSA-N 0 0 444.535 -0.845 20 0 IBADRN O=C1OCc2c1cccc2S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000918744704 1072836586 /nfs/dbraw/zinc/83/65/86/1072836586.db2.gz ZHTPMBXYKQEYMU-UHFFFAOYSA-N 0 0 431.492 -0.760 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NCCN1C(=O)S/C(=C\c2ccc3c(c2)OCO3)C1=O ZINC000918755813 1072837407 /nfs/dbraw/zinc/83/74/07/1072837407.db2.gz LKQJHGOHMSZJOQ-CHHVJCJISA-N 0 0 448.457 -0.180 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000918759520 1072837752 /nfs/dbraw/zinc/83/77/52/1072837752.db2.gz HHRZWVGLVXOQGG-UHFFFAOYSA-N 0 0 440.478 -0.795 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000918759831 1072838238 /nfs/dbraw/zinc/83/82/38/1072838238.db2.gz NZUMWORGPVDQKM-HNNXBMFYSA-N 0 0 431.511 -0.870 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000918759832 1072838271 /nfs/dbraw/zinc/83/82/71/1072838271.db2.gz NZUMWORGPVDQKM-OAHLLOKOSA-N 0 0 431.511 -0.870 20 0 IBADRN CCn1c(COC(=O)CNC(=O)CNC(C)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC000918760053 1072838210 /nfs/dbraw/zinc/83/82/10/1072838210.db2.gz SZAKJQFIKXJXIC-UHFFFAOYSA-N 0 0 439.494 -0.398 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)NCC(=O)Nc1ccccc1Br ZINC000918760329 1072838182 /nfs/dbraw/zinc/83/81/82/1072838182.db2.gz WJKGLISABJNMOU-UHFFFAOYSA-N 0 0 443.254 -0.701 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)Nc1ccc(Cl)c(S(=O)(=O)N(C)C)c1 ZINC000918760705 1072838086 /nfs/dbraw/zinc/83/80/86/1072838086.db2.gz YFMJEVWIIBDHKS-UHFFFAOYSA-N 0 0 448.885 -0.676 20 0 IBADRN CC1(C)NC(=O)N(CCC(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918765384 1072837309 /nfs/dbraw/zinc/83/73/09/1072837309.db2.gz OHEHBDVYIFWCGU-UHFFFAOYSA-N 0 0 436.450 -0.171 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918765581 1072838381 /nfs/dbraw/zinc/83/83/81/1072838381.db2.gz GRXIGAJGHGOSTO-GOSISDBHSA-N 0 0 448.461 -0.171 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918765587 1072838147 /nfs/dbraw/zinc/83/81/47/1072838147.db2.gz GRXIGAJGHGOSTO-SFHVURJKSA-N 0 0 448.461 -0.171 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)Cn2nnc3ccccc3c2=O)cc1 ZINC000918766662 1072838736 /nfs/dbraw/zinc/83/87/36/1072838736.db2.gz OEPSJMMXHMMDLK-UHFFFAOYSA-N 0 0 441.429 -0.086 20 0 IBADRN CN1CC(=O)N(CCCC(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918767379 1072838918 /nfs/dbraw/zinc/83/89/18/1072838918.db2.gz AQIHODVFFNQWDR-UHFFFAOYSA-N 0 0 436.450 -0.217 20 0 IBADRN Cn1cc(/C=C/C(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000918767399 1072838897 /nfs/dbraw/zinc/83/88/97/1072838897.db2.gz CEULWFFRMAONGR-RUDMXATFSA-N 0 0 446.445 -0.780 20 0 IBADRN Cn1cc(/C=C\C(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC000918767400 1072838685 /nfs/dbraw/zinc/83/86/85/1072838685.db2.gz CEULWFFRMAONGR-WTKPLQERSA-N 0 0 446.445 -0.780 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@@H]2CCCN2S(C)(=O)=O)cc1 ZINC000918768401 1072838811 /nfs/dbraw/zinc/83/88/11/1072838811.db2.gz RMTREADAOHVTSF-ZDUSSCGKSA-N 0 0 429.480 -0.467 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)o1 ZINC000918768522 1072838838 /nfs/dbraw/zinc/83/88/38/1072838838.db2.gz FCLCCHSTLSFGBN-UHFFFAOYSA-N 0 0 441.447 -0.076 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)c1 ZINC000918769205 1072838672 /nfs/dbraw/zinc/83/86/72/1072838672.db2.gz KQQKKIHNBZGYSU-UHFFFAOYSA-N 0 0 440.463 -0.341 20 0 IBADRN COC(=O)COc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000918774091 1072838803 /nfs/dbraw/zinc/83/88/03/1072838803.db2.gz XUINCVVBDSOQRW-GFCCVEGCSA-N 0 0 428.419 -0.592 20 0 IBADRN COC(=O)COc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000918774092 1072838770 /nfs/dbraw/zinc/83/87/70/1072838770.db2.gz XUINCVVBDSOQRW-LBPRGKRZSA-N 0 0 428.419 -0.592 20 0 IBADRN C[C@H](NC(=O)C1CCCCC1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000918774173 1072838923 /nfs/dbraw/zinc/83/89/23/1072838923.db2.gz ISUZOCNNHRGQLU-DOTOQJQBSA-N 0 0 443.566 -0.054 20 0 IBADRN C[C@@H](NC(=O)C1CCCCC1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000918774174 1072838907 /nfs/dbraw/zinc/83/89/07/1072838907.db2.gz ISUZOCNNHRGQLU-NVXWUHKLSA-N 0 0 443.566 -0.054 20 0 IBADRN C[C@H](NC(=O)C1CCCCC1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000918774175 1072838798 /nfs/dbraw/zinc/83/87/98/1072838798.db2.gz ISUZOCNNHRGQLU-RDJZCZTQSA-N 0 0 443.566 -0.054 20 0 IBADRN C[C@@H](NC(=O)C1CCCCC1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000918774176 1072838785 /nfs/dbraw/zinc/83/87/85/1072838785.db2.gz ISUZOCNNHRGQLU-WBVHZDCISA-N 0 0 443.566 -0.054 20 0 IBADRN NS(=O)(=O)CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000918782787 1072838314 /nfs/dbraw/zinc/83/83/14/1072838314.db2.gz RDNGPPRMXHITCR-GFCCVEGCSA-N 0 0 431.492 -0.582 20 0 IBADRN NS(=O)(=O)CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000918783134 1072838722 /nfs/dbraw/zinc/83/87/22/1072838722.db2.gz RDNGPPRMXHITCR-LBPRGKRZSA-N 0 0 431.492 -0.582 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)=NN1c1ccc(F)cc1 ZINC000918784223 1072838758 /nfs/dbraw/zinc/83/87/58/1072838758.db2.gz BWCWIVFWPLRKSB-KRWDZBQOSA-N 0 0 445.451 -0.130 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)=NN1c1ccc(F)cc1 ZINC000918784224 1072838707 /nfs/dbraw/zinc/83/87/07/1072838707.db2.gz BWCWIVFWPLRKSB-QGZVFWFLSA-N 0 0 445.451 -0.130 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)C1 ZINC000918784543 1072838220 /nfs/dbraw/zinc/83/82/20/1072838220.db2.gz DUOMLEILHRDYJB-PBHICJAKSA-N 0 0 433.440 -0.084 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)C1 ZINC000918784544 1072838370 /nfs/dbraw/zinc/83/83/70/1072838370.db2.gz DUOMLEILHRDYJB-RHSMWYFYSA-N 0 0 433.440 -0.084 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)C1 ZINC000918784545 1072838355 /nfs/dbraw/zinc/83/83/55/1072838355.db2.gz DUOMLEILHRDYJB-WMLDXEAASA-N 0 0 433.440 -0.084 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)C1 ZINC000918784546 1072838129 /nfs/dbraw/zinc/83/81/29/1072838129.db2.gz DUOMLEILHRDYJB-YOEHRIQHSA-N 0 0 433.440 -0.084 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1)S(C)(=O)=O ZINC000918784683 1072839282 /nfs/dbraw/zinc/83/92/82/1072839282.db2.gz SPLVHLDDHCAEHP-INIZCTEOSA-N 0 0 425.507 -0.024 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1)S(C)(=O)=O ZINC000918784684 1072839259 /nfs/dbraw/zinc/83/92/59/1072839259.db2.gz SPLVHLDDHCAEHP-MRXNPFEDSA-N 0 0 425.507 -0.024 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCN1C(=O)c2ccccc2C1=O)S(C)(=O)=O ZINC000918791316 1072839821 /nfs/dbraw/zinc/83/98/21/1072839821.db2.gz LTVMDQCZFBQYBJ-UHFFFAOYSA-N 0 0 425.463 -0.386 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C ZINC000918798224 1072839267 /nfs/dbraw/zinc/83/92/67/1072839267.db2.gz MDDISYFTEVLXQY-FIXISWKDSA-N 0 0 449.913 -0.496 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C ZINC000918798225 1072839363 /nfs/dbraw/zinc/83/93/63/1072839363.db2.gz MDDISYFTEVLXQY-PGUXBMHVSA-N 0 0 449.913 -0.496 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C ZINC000918798226 1072839215 /nfs/dbraw/zinc/83/92/15/1072839215.db2.gz MDDISYFTEVLXQY-RWSFTLGLSA-N 0 0 449.913 -0.496 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C ZINC000918798227 1072839276 /nfs/dbraw/zinc/83/92/76/1072839276.db2.gz MDDISYFTEVLXQY-ZIBATOQPSA-N 0 0 449.913 -0.496 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cccc(N2C(=O)CCC2=O)c1)S(C)(=O)=O ZINC000918800275 1072839246 /nfs/dbraw/zinc/83/92/46/1072839246.db2.gz QZKUHKBDUJVUNU-UHFFFAOYSA-N 0 0 425.463 -0.106 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(N2C(=O)CCC2=O)cc1)S(C)(=O)=O ZINC000918800762 1072839372 /nfs/dbraw/zinc/83/93/72/1072839372.db2.gz ZCKKBNMRIHRZGG-UHFFFAOYSA-N 0 0 425.463 -0.106 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)[C@@H](C)O)cc1C ZINC000918803690 1072839177 /nfs/dbraw/zinc/83/91/77/1072839177.db2.gz ALDBUSJERKBVKQ-CPUCHLNUSA-N 0 0 443.522 -0.533 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)[C@H](C)O)cc1C ZINC000918803691 1072839340 /nfs/dbraw/zinc/83/93/40/1072839340.db2.gz ALDBUSJERKBVKQ-KEYYUXOJSA-N 0 0 443.522 -0.533 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)[C@@H](C)O)cc1C ZINC000918803692 1072839207 /nfs/dbraw/zinc/83/92/07/1072839207.db2.gz ALDBUSJERKBVKQ-VBQJREDUSA-N 0 0 443.522 -0.533 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)[C@H](C)O)cc1C ZINC000918803693 1072839308 /nfs/dbraw/zinc/83/93/08/1072839308.db2.gz ALDBUSJERKBVKQ-ZQIUZPCESA-N 0 0 443.522 -0.533 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1C ZINC000918804433 1072839731 /nfs/dbraw/zinc/83/97/31/1072839731.db2.gz GDPAYISZZBBSDS-UHFFFAOYSA-N 0 0 449.551 -0.477 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCN1C(=O)[C@H]2CCCC[C@@H]2C1=O)S(C)(=O)=O ZINC000918808287 1072840486 /nfs/dbraw/zinc/84/04/86/1072840486.db2.gz LUQXNVOAMJGCEA-KBPBESRZSA-N 0 0 431.511 -0.507 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)S(C)(=O)=O ZINC000918808288 1072840245 /nfs/dbraw/zinc/84/02/45/1072840245.db2.gz LUQXNVOAMJGCEA-OKILXGFUSA-N 0 0 431.511 -0.507 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)S(C)(=O)=O ZINC000918808289 1072840275 /nfs/dbraw/zinc/84/02/75/1072840275.db2.gz LUQXNVOAMJGCEA-ZIAGYGMSSA-N 0 0 431.511 -0.507 20 0 IBADRN CC1(C)NC(=O)N(CCCOC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1=O ZINC000918813414 1072840339 /nfs/dbraw/zinc/84/03/39/1072840339.db2.gz BIZJLATWZMQXBL-LLVKDONJSA-N 0 0 428.467 -0.584 20 0 IBADRN CC1(C)NC(=O)N(CCCOC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1=O ZINC000918813415 1072840295 /nfs/dbraw/zinc/84/02/95/1072840295.db2.gz BIZJLATWZMQXBL-NSHDSACASA-N 0 0 428.467 -0.584 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000918814498 1072840492 /nfs/dbraw/zinc/84/04/92/1072840492.db2.gz KCRUTUYQZNSHHS-CWRNSKLLSA-N 0 0 441.506 -0.135 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCO[C@@H]2CCCC[C@H]21 ZINC000918814500 1072840547 /nfs/dbraw/zinc/84/05/47/1072840547.db2.gz KCRUTUYQZNSHHS-FVQBIDKESA-N 0 0 441.506 -0.135 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCO[C@@H]2CCCC[C@H]21 ZINC000918814501 1072840564 /nfs/dbraw/zinc/84/05/64/1072840564.db2.gz KCRUTUYQZNSHHS-NUEKZKHPSA-N 0 0 441.506 -0.135 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000918814502 1072840223 /nfs/dbraw/zinc/84/02/23/1072840223.db2.gz KCRUTUYQZNSHHS-VNQPRFMTSA-N 0 0 441.506 -0.135 20 0 IBADRN CN(CCCNC(=O)COC(=O)CN1C(=O)NC2(CCCCC2)C1=O)S(C)(=O)=O ZINC000918814884 1072840196 /nfs/dbraw/zinc/84/01/96/1072840196.db2.gz UHVFFBDRSIIOON-UHFFFAOYSA-N 0 0 432.499 -0.818 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)CN2C(=O)NC3(CCCCC3)C2=O)cc1 ZINC000918814892 1072840352 /nfs/dbraw/zinc/84/03/52/1072840352.db2.gz UPMZARRPYFYKLF-UHFFFAOYSA-N 0 0 446.460 -0.035 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000918814967 1072840465 /nfs/dbraw/zinc/84/04/65/1072840465.db2.gz WKPLVWXFDKUPEN-UHFFFAOYSA-N 0 0 446.526 -0.572 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NC[C@@H]1Cc2ccccc2O1 ZINC000918815387 1072840307 /nfs/dbraw/zinc/84/03/07/1072840307.db2.gz OQFXUIOATFQJMT-CABCVRRESA-N 0 0 449.485 -0.185 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NC[C@@H]1Cc2ccccc2O1 ZINC000918815390 1072840318 /nfs/dbraw/zinc/84/03/18/1072840318.db2.gz OQFXUIOATFQJMT-GJZGRUSLSA-N 0 0 449.485 -0.185 20 0 IBADRN O=C(COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)NC[C@H]1Cc2ccccc2O1 ZINC000918815392 1072840368 /nfs/dbraw/zinc/84/03/68/1072840368.db2.gz OQFXUIOATFQJMT-HUUCEWRRSA-N 0 0 449.485 -0.185 20 0 IBADRN O=C(COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)NC[C@H]1Cc2ccccc2O1 ZINC000918815395 1072840475 /nfs/dbraw/zinc/84/04/75/1072840475.db2.gz OQFXUIOATFQJMT-LSDHHAIUSA-N 0 0 449.485 -0.185 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)o1 ZINC000918815514 1072841119 /nfs/dbraw/zinc/84/11/19/1072841119.db2.gz PYPLYCWYGQVPPP-LLVKDONJSA-N 0 0 447.491 -0.261 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)o1 ZINC000918815550 1072841052 /nfs/dbraw/zinc/84/10/52/1072841052.db2.gz PYPLYCWYGQVPPP-NSHDSACASA-N 0 0 447.491 -0.261 20 0 IBADRN O=C(OCCc1nnnn1-c1ccccc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000918816682 1072840969 /nfs/dbraw/zinc/84/09/69/1072840969.db2.gz YQEIMFCQYCLCLN-AWEZNQCLSA-N 0 0 432.462 -0.087 20 0 IBADRN O=C(OCCc1nnnn1-c1ccccc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000918816683 1072840929 /nfs/dbraw/zinc/84/09/29/1072840929.db2.gz YQEIMFCQYCLCLN-CQSZACIVSA-N 0 0 432.462 -0.087 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc1 ZINC000918816732 1072840901 /nfs/dbraw/zinc/84/09/01/1072840901.db2.gz TXAMQEHBPJWTTJ-GFCCVEGCSA-N 0 0 427.479 -0.306 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc1 ZINC000918816733 1072841075 /nfs/dbraw/zinc/84/10/75/1072841075.db2.gz TXAMQEHBPJWTTJ-LBPRGKRZSA-N 0 0 427.479 -0.306 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918822913 1072839857 /nfs/dbraw/zinc/83/98/57/1072839857.db2.gz GNDJIMBFRGEXBI-GOSISDBHSA-N 0 0 425.463 -0.030 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918822935 1072839750 /nfs/dbraw/zinc/83/97/50/1072839750.db2.gz GNDJIMBFRGEXBI-SFHVURJKSA-N 0 0 425.463 -0.030 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918824035 1072839777 /nfs/dbraw/zinc/83/97/77/1072839777.db2.gz NLNPFZXVXKBLTC-KRWDZBQOSA-N 0 0 436.450 -0.171 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)OCc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918824036 1072839953 /nfs/dbraw/zinc/83/99/53/1072839953.db2.gz NLNPFZXVXKBLTC-QGZVFWFLSA-N 0 0 436.450 -0.171 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000918824215 1072840326 /nfs/dbraw/zinc/84/03/26/1072840326.db2.gz SHHFYQZMNNKJLN-UHFFFAOYSA-N 0 0 449.551 -0.072 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2ccc(C(C)=O)cc2)C1 ZINC000918827337 1072842061 /nfs/dbraw/zinc/84/20/61/1072842061.db2.gz ULFPFOCIAOUUOQ-INIZCTEOSA-N 0 0 439.490 -0.162 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CNS(=O)(=O)c2ccc(C(C)=O)cc2)C1 ZINC000918827338 1072841835 /nfs/dbraw/zinc/84/18/35/1072841835.db2.gz ULFPFOCIAOUUOQ-MRXNPFEDSA-N 0 0 439.490 -0.162 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000918827400 1072841984 /nfs/dbraw/zinc/84/19/84/1072841984.db2.gz XCZYRDFDZCADCT-UHFFFAOYSA-N 0 0 427.479 -0.258 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)C(=O)N(C)C ZINC000918827424 1072841819 /nfs/dbraw/zinc/84/18/19/1072841819.db2.gz JYDVHZKZRVLLRS-GFCCVEGCSA-N 0 0 445.469 -0.404 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)C(=O)N(C)C ZINC000918827425 1072842097 /nfs/dbraw/zinc/84/20/97/1072842097.db2.gz JYDVHZKZRVLLRS-LBPRGKRZSA-N 0 0 445.469 -0.404 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000918828337 1072842445 /nfs/dbraw/zinc/84/24/45/1072842445.db2.gz MGQCJWHCLFOIAN-AWEZNQCLSA-N 0 0 449.551 -0.397 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000918828953 1072842583 /nfs/dbraw/zinc/84/25/83/1072842583.db2.gz ZZXCDKRSYJKUQX-LBPRGKRZSA-N 0 0 429.495 -0.064 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O ZINC000918829459 1072842625 /nfs/dbraw/zinc/84/26/25/1072842625.db2.gz LRAANXHVEJIHIQ-UHFFFAOYSA-N 0 0 449.551 -0.024 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1C(=O)OCC(=O)NCc1ccc(OCC(N)=O)cc1 ZINC000918830059 1072842542 /nfs/dbraw/zinc/84/25/42/1072842542.db2.gz QRXRSWUEVCKMDL-UHFFFAOYSA-N 0 0 435.458 -0.020 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000918836116 1072841477 /nfs/dbraw/zinc/84/14/77/1072841477.db2.gz MBTZHGDECNLZPE-UHFFFAOYSA-N 0 0 435.524 -0.786 20 0 IBADRN C[C@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)N1C(=O)c2ccccc2C1=O ZINC000918836509 1072841406 /nfs/dbraw/zinc/84/14/06/1072841406.db2.gz VHNCZPUAMCRPPP-GFCCVEGCSA-N 0 0 425.463 -0.388 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)N1C(=O)c2ccccc2C1=O ZINC000918836512 1072841472 /nfs/dbraw/zinc/84/14/72/1072841472.db2.gz VHNCZPUAMCRPPP-LBPRGKRZSA-N 0 0 425.463 -0.388 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000918839053 1072841413 /nfs/dbraw/zinc/84/14/13/1072841413.db2.gz LNTLMDGLULKJQH-UHFFFAOYSA-N 0 0 435.524 -0.509 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C ZINC000918840740 1072841365 /nfs/dbraw/zinc/84/13/65/1072841365.db2.gz LKGGOFQEMHSJGO-AWEZNQCLSA-N 0 0 441.506 -0.234 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C ZINC000918840751 1072841400 /nfs/dbraw/zinc/84/14/00/1072841400.db2.gz LKGGOFQEMHSJGO-CQSZACIVSA-N 0 0 441.506 -0.234 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)NCCN1CCc2ccccc21 ZINC000918842110 1072841502 /nfs/dbraw/zinc/84/15/02/1072841502.db2.gz CRIMJHLTCAWEMZ-UHFFFAOYSA-N 0 0 431.474 -0.322 20 0 IBADRN CCNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000918842111 1072841460 /nfs/dbraw/zinc/84/14/60/1072841460.db2.gz CTBJOEOEECOQFN-UHFFFAOYSA-N 0 0 449.551 -0.152 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)NCCCN1CCCCCC1=O ZINC000918842125 1072841514 /nfs/dbraw/zinc/84/15/14/1072841514.db2.gz DDHFNRBEMGPQBR-UHFFFAOYSA-N 0 0 439.494 -0.592 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)c1ccccc1 ZINC000918842480 1072841938 /nfs/dbraw/zinc/84/19/38/1072841938.db2.gz LPHKFOBQYDYAKE-AWEZNQCLSA-N 0 0 433.446 -0.897 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)c1ccccc1 ZINC000918842485 1072841921 /nfs/dbraw/zinc/84/19/21/1072841921.db2.gz LPHKFOBQYDYAKE-CQSZACIVSA-N 0 0 433.446 -0.897 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000918842499 1072841930 /nfs/dbraw/zinc/84/19/30/1072841930.db2.gz LTFDKDSUMKJJLN-UHFFFAOYSA-N 0 0 449.445 -0.006 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CCCCC1 ZINC000918842723 1072842045 /nfs/dbraw/zinc/84/20/45/1072842045.db2.gz OUJDHGQWECRNEJ-UHFFFAOYSA-N 0 0 426.451 -0.509 20 0 IBADRN O=C(COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)NC1CCC2(CC1)OCCO2 ZINC000918842757 1072841912 /nfs/dbraw/zinc/84/19/12/1072841912.db2.gz QJCYPJZETMONMO-UHFFFAOYSA-N 0 0 426.451 -0.699 20 0 IBADRN C[C@@H](NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCCCC1 ZINC000918842923 1072841844 /nfs/dbraw/zinc/84/18/44/1072841844.db2.gz UAJHTATVXUHFER-GFCCVEGCSA-N 0 0 425.467 -0.984 20 0 IBADRN C[C@H](NC(=O)COC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCCCC1 ZINC000918842924 1072842110 /nfs/dbraw/zinc/84/21/10/1072842110.db2.gz UAJHTATVXUHFER-LBPRGKRZSA-N 0 0 425.467 -0.984 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)cc1 ZINC000918843013 1072842436 /nfs/dbraw/zinc/84/24/36/1072842436.db2.gz XKQGVSPYGOJCER-UHFFFAOYSA-N 0 0 426.432 -0.970 20 0 IBADRN Cn1c2nnc(COC(=O)C3=NC=CN4CCS(=O)(=O)N=C34)n2c2ccsc2c1=O ZINC000918843042 1072841428 /nfs/dbraw/zinc/84/14/28/1072841428.db2.gz YDAMBTPFSVKMEM-UHFFFAOYSA-N 0 0 447.458 -0.344 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000918843367 1072842485 /nfs/dbraw/zinc/84/24/85/1072842485.db2.gz KHGCUJUKNRDUGL-AWEZNQCLSA-N 0 0 441.506 -0.234 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC000918843368 1072842419 /nfs/dbraw/zinc/84/24/19/1072842419.db2.gz KHGCUJUKNRDUGL-CQSZACIVSA-N 0 0 441.506 -0.234 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)c1 ZINC000918843447 1072842427 /nfs/dbraw/zinc/84/24/27/1072842427.db2.gz JSXQMYNKGSAMAH-UHFFFAOYSA-N 0 0 435.458 -0.068 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)c1 ZINC000918843664 1072842495 /nfs/dbraw/zinc/84/24/95/1072842495.db2.gz REHIWNKPVGNOPS-UHFFFAOYSA-N 0 0 449.551 -0.072 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000918847036 1072842458 /nfs/dbraw/zinc/84/24/58/1072842458.db2.gz ZZCZQCVJUYJSJT-UHFFFAOYSA-N 0 0 435.524 -0.509 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)C ZINC000918848688 1072843019 /nfs/dbraw/zinc/84/30/19/1072843019.db2.gz PAFNUKLDJDNBIL-GFCCVEGCSA-N 0 0 445.469 -0.404 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)C ZINC000918848689 1072842988 /nfs/dbraw/zinc/84/29/88/1072842988.db2.gz PAFNUKLDJDNBIL-LBPRGKRZSA-N 0 0 445.469 -0.404 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000918850312 1072842975 /nfs/dbraw/zinc/84/29/75/1072842975.db2.gz JQCJQVPCJQOJKF-UHFFFAOYSA-N 0 0 441.506 -0.105 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)C ZINC000918850603 1072843069 /nfs/dbraw/zinc/84/30/69/1072843069.db2.gz PJZYILXMMAFKGS-CYBMUJFWSA-N 0 0 427.479 -0.543 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1)C(=O)N(C)C ZINC000918850604 1072842942 /nfs/dbraw/zinc/84/29/42/1072842942.db2.gz PJZYILXMMAFKGS-ZDUSSCGKSA-N 0 0 427.479 -0.543 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)CN2C(=O)[C@H]3CCCC[C@@H]3C2=O)cc1 ZINC000918856247 1072843468 /nfs/dbraw/zinc/84/34/68/1072843468.db2.gz PERRLRUUPWKFFW-HOTGVXAUSA-N 0 0 431.445 -0.115 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)CN2C(=O)[C@@H]3CCCC[C@H]3C2=O)cc1 ZINC000918856248 1072843605 /nfs/dbraw/zinc/84/36/05/1072843605.db2.gz PERRLRUUPWKFFW-HZPDHXFCSA-N 0 0 431.445 -0.115 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)CN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)cc1 ZINC000918856249 1072843398 /nfs/dbraw/zinc/84/33/98/1072843398.db2.gz PERRLRUUPWKFFW-IYBDPMFKSA-N 0 0 431.445 -0.115 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)S(C)(=O)=O ZINC000918856675 1072843534 /nfs/dbraw/zinc/84/35/34/1072843534.db2.gz XQCOGGOBWKIORE-GASCZTMLSA-N 0 0 445.538 -0.119 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)S(C)(=O)=O ZINC000918856676 1072843431 /nfs/dbraw/zinc/84/34/31/1072843431.db2.gz XQCOGGOBWKIORE-GJZGRUSLSA-N 0 0 445.538 -0.119 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)S(C)(=O)=O ZINC000918856677 1072843476 /nfs/dbraw/zinc/84/34/76/1072843476.db2.gz XQCOGGOBWKIORE-HUUCEWRRSA-N 0 0 445.538 -0.119 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)o1 ZINC000918863733 1072844001 /nfs/dbraw/zinc/84/40/01/1072844001.db2.gz CTOWOVMRADHXJQ-UHFFFAOYSA-N 0 0 425.423 -0.980 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCCOC3CCCCC3)c2c(=O)n(C)c1=O ZINC000918863771 1072843934 /nfs/dbraw/zinc/84/39/34/1072843934.db2.gz DXECVKKJORJDSG-UHFFFAOYSA-N 0 0 435.481 -0.168 20 0 IBADRN CCOc1cccc(CNC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000918863787 1072843978 /nfs/dbraw/zinc/84/39/78/1072843978.db2.gz FCBMTMSGHZGSFN-UHFFFAOYSA-N 0 0 429.433 -0.308 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)N3CCC[C@H](C(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000918863832 1072843939 /nfs/dbraw/zinc/84/39/39/1072843939.db2.gz GELKANWQJDPWEU-JTQLQIEISA-N 0 0 431.371 -0.222 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)N3CCC[C@@H](C(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC000918863835 1072843955 /nfs/dbraw/zinc/84/39/55/1072843955.db2.gz GELKANWQJDPWEU-SNVBAGLBSA-N 0 0 431.371 -0.222 20 0 IBADRN Cc1cccc(OCCCNC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000918863885 1072843983 /nfs/dbraw/zinc/84/39/83/1072843983.db2.gz IHZOWJZZBMQWKH-UHFFFAOYSA-N 0 0 443.460 -0.129 20 0 IBADRN COCc1cccc(CNC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000918864048 1072843993 /nfs/dbraw/zinc/84/39/93/1072843993.db2.gz JWEAJOQAWQZATI-UHFFFAOYSA-N 0 0 429.433 -0.560 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCOc3cccc(Cl)c3)c2c(=O)n(C)c1=O ZINC000918864074 1072843914 /nfs/dbraw/zinc/84/39/14/1072843914.db2.gz KRJVULAELDXNNW-UHFFFAOYSA-N 0 0 449.851 -0.175 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864112 1072843965 /nfs/dbraw/zinc/84/39/65/1072843965.db2.gz MCZHHQVZOSKUAE-UHFFFAOYSA-N 0 0 449.851 -0.045 20 0 IBADRN COCc1ccc(CNC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000918864114 1072843970 /nfs/dbraw/zinc/84/39/70/1072843970.db2.gz MEEISJYBLQYXGO-UHFFFAOYSA-N 0 0 429.433 -0.560 20 0 IBADRN CCOCc1ccc(CNC(=O)COC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000918864134 1072843929 /nfs/dbraw/zinc/84/39/29/1072843929.db2.gz MYQRNEYTUTWPIB-UHFFFAOYSA-N 0 0 443.460 -0.170 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCOc3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000918864135 1072843921 /nfs/dbraw/zinc/84/39/21/1072843921.db2.gz NAVGKQASLNMPGV-UHFFFAOYSA-N 0 0 433.396 -0.689 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCN3CCc4ccccc43)c2c(=O)n(C)c1=O ZINC000918864163 1072843946 /nfs/dbraw/zinc/84/39/46/1072843946.db2.gz NNYFEMBBWBRYOF-UHFFFAOYSA-N 0 0 440.460 -0.844 20 0 IBADRN COCc1ccccc1CNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864165 1072843951 /nfs/dbraw/zinc/84/39/51/1072843951.db2.gz NPQAZMASISFGIB-UHFFFAOYSA-N 0 0 429.433 -0.560 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCCOc3ccccc3F)c2c(=O)n(C)c1=O ZINC000918864170 1072843997 /nfs/dbraw/zinc/84/39/97/1072843997.db2.gz OGCFHGYCCDIMLL-UHFFFAOYSA-N 0 0 447.423 -0.299 20 0 IBADRN C[C@H]1CCCC[C@@H]1OCCNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864193 1072843988 /nfs/dbraw/zinc/84/39/88/1072843988.db2.gz QBAJBZNGIXFIFX-KBPBESRZSA-N 0 0 435.481 -0.312 20 0 IBADRN C[C@@H]1CCCC[C@@H]1OCCNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864194 1072843974 /nfs/dbraw/zinc/84/39/74/1072843974.db2.gz QBAJBZNGIXFIFX-KGLIPLIRSA-N 0 0 435.481 -0.312 20 0 IBADRN C[C@H]1CCCC[C@H]1OCCNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864195 1072843962 /nfs/dbraw/zinc/84/39/62/1072843962.db2.gz QBAJBZNGIXFIFX-UONOGXRCSA-N 0 0 435.481 -0.312 20 0 IBADRN C[C@@H]1CCCC[C@H]1OCCNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864196 1072843968 /nfs/dbraw/zinc/84/39/68/1072843968.db2.gz QBAJBZNGIXFIFX-ZIAGYGMSSA-N 0 0 435.481 -0.312 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3ccc4c(c3)CCN4C=O)c2c(=O)n(C)c1=O ZINC000918864377 1072843958 /nfs/dbraw/zinc/84/39/58/1072843958.db2.gz RUFCSRFUZRAQQW-UHFFFAOYSA-N 0 0 425.401 -0.621 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCc3c(F)cccc3F)c2c(=O)n(C)c1=O ZINC000918864393 1072844382 /nfs/dbraw/zinc/84/43/82/1072844382.db2.gz SHBSOSDJSQJPLY-UHFFFAOYSA-N 0 0 435.387 -0.386 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NC[C@H]3Cc4ccccc4O3)c2c(=O)n(C)c1=O ZINC000918864423 1072844477 /nfs/dbraw/zinc/84/44/77/1072844477.db2.gz UGKZGCWMLGLIGF-CYBMUJFWSA-N 0 0 427.417 -0.903 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NC[C@@H]3Cc4ccccc4O3)c2c(=O)n(C)c1=O ZINC000918864425 1072844419 /nfs/dbraw/zinc/84/44/19/1072844419.db2.gz UGKZGCWMLGLIGF-ZDUSSCGKSA-N 0 0 427.417 -0.903 20 0 IBADRN CN(CCCOc1ccccc1)C(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864430 1072844298 /nfs/dbraw/zinc/84/42/98/1072844298.db2.gz UXZBAFTVHXPTEG-UHFFFAOYSA-N 0 0 443.460 -0.096 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864432 1072844440 /nfs/dbraw/zinc/84/44/40/1072844440.db2.gz VAUWLQJVCHQVPR-UHFFFAOYSA-N 0 0 443.460 -0.211 20 0 IBADRN CCOCc1ccccc1CNC(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864436 1072844391 /nfs/dbraw/zinc/84/43/91/1072844391.db2.gz VDXFTSAZQIKIRN-UHFFFAOYSA-N 0 0 443.460 -0.170 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)c3cc4c(cc3F)NC(=O)CC4)c2c(=O)n(C)c1=O ZINC000918864466 1072844446 /nfs/dbraw/zinc/84/44/46/1072844446.db2.gz XJIMPUDGRIUFLN-UHFFFAOYSA-N 0 0 443.391 -0.116 20 0 IBADRN Cn1c2ncn(CC(=O)OCC(=O)NCCOc3cccc(F)c3)c2c(=O)n(C)c1=O ZINC000918864467 1072844403 /nfs/dbraw/zinc/84/44/03/1072844403.db2.gz XLZAAQNIJLDNON-UHFFFAOYSA-N 0 0 433.396 -0.689 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)COC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000918864478 1072844469 /nfs/dbraw/zinc/84/44/69/1072844469.db2.gz XZQUGYAAIPDMBH-UHFFFAOYSA-N 0 0 447.423 -0.347 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc1 ZINC000918875005 1072844758 /nfs/dbraw/zinc/84/47/58/1072844758.db2.gz KGOKMPGETSJIEE-GFCCVEGCSA-N 0 0 442.494 -0.598 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc1 ZINC000918875006 1072844827 /nfs/dbraw/zinc/84/48/27/1072844827.db2.gz KGOKMPGETSJIEE-LBPRGKRZSA-N 0 0 442.494 -0.598 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918875213 1072844832 /nfs/dbraw/zinc/84/48/32/1072844832.db2.gz LGVUKXBTERFHCY-IBGZPJMESA-N 0 0 437.474 -0.030 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918875215 1072844790 /nfs/dbraw/zinc/84/47/90/1072844790.db2.gz LGVUKXBTERFHCY-LJQANCHMSA-N 0 0 437.474 -0.030 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000918876951 1072844820 /nfs/dbraw/zinc/84/48/20/1072844820.db2.gz WRXAVEWYYPNXHU-UHFFFAOYSA-N 0 0 441.506 -0.105 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N(C)C ZINC000918877095 1072843589 /nfs/dbraw/zinc/84/35/89/1072843589.db2.gz YSMDMWBQGCJWJK-CYBMUJFWSA-N 0 0 427.479 -0.543 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(=O)N(C)C ZINC000918877096 1072844371 /nfs/dbraw/zinc/84/43/71/1072844371.db2.gz YSMDMWBQGCJWJK-ZDUSSCGKSA-N 0 0 427.479 -0.543 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc1 ZINC000918878232 1072844889 /nfs/dbraw/zinc/84/48/89/1072844889.db2.gz VNRZTWYMXIQTKF-GFCCVEGCSA-N 0 0 442.494 -0.551 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc1 ZINC000918878233 1072844745 /nfs/dbraw/zinc/84/47/45/1072844745.db2.gz VNRZTWYMXIQTKF-LBPRGKRZSA-N 0 0 442.494 -0.551 20 0 IBADRN Cc1nnnn1/C(=C/c1ccccc1)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000918879811 1072844864 /nfs/dbraw/zinc/84/48/64/1072844864.db2.gz CDGAHADASCINNV-FOWTUZBSSA-N 0 0 436.494 -0.079 20 0 IBADRN Cc1nnnn1/C(=C\c1ccccc1)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000918879812 1072844916 /nfs/dbraw/zinc/84/49/16/1072844916.db2.gz CDGAHADASCINNV-VBKFSLOCSA-N 0 0 436.494 -0.079 20 0 IBADRN COc1ccccc1N1C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)CC1=O ZINC000918882523 1072844923 /nfs/dbraw/zinc/84/49/23/1072844923.db2.gz IRRFOYFRKFFDTQ-AWEZNQCLSA-N 0 0 441.506 -0.011 20 0 IBADRN COc1ccccc1N1C[C@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)CC1=O ZINC000918882525 1072844937 /nfs/dbraw/zinc/84/49/37/1072844937.db2.gz IRRFOYFRKFFDTQ-CQSZACIVSA-N 0 0 441.506 -0.011 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc2c(c1)nc1n(c2=O)CCC1)S(C)(=O)=O ZINC000918886015 1072845328 /nfs/dbraw/zinc/84/53/28/1072845328.db2.gz XRVUTFDLTONJTP-UHFFFAOYSA-N 0 0 436.490 -0.103 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1nc(-c2ccccc2)oc1=O)S(C)(=O)=O ZINC000918890385 1072845249 /nfs/dbraw/zinc/84/52/49/1072845249.db2.gz QTZMMYTUSKTNHA-UHFFFAOYSA-N 0 0 426.451 -0.556 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cccc(S(=O)(=O)NC2CC2)c1)S(C)(=O)=O ZINC000918890612 1072845205 /nfs/dbraw/zinc/84/52/05/1072845205.db2.gz QRSFNHQRKKRURT-UHFFFAOYSA-N 0 0 447.535 -0.318 20 0 IBADRN CN(CCCNC(=O)COC(=O)CN1C(=O)N(C)C2(CCCCC2)C1=O)S(C)(=O)=O ZINC000918894785 1072845346 /nfs/dbraw/zinc/84/53/46/1072845346.db2.gz XCRUHVWWHRDNGL-UHFFFAOYSA-N 0 0 446.526 -0.476 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)c1ccccc1 ZINC000918895214 1072845469 /nfs/dbraw/zinc/84/54/69/1072845469.db2.gz DJCMLDHOWXYXNV-KRWDZBQOSA-N 0 0 432.458 -0.369 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)c1ccccc1 ZINC000918895215 1072845480 /nfs/dbraw/zinc/84/54/80/1072845480.db2.gz DJCMLDHOWXYXNV-QGZVFWFLSA-N 0 0 432.458 -0.369 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)cc1 ZINC000918895425 1072845230 /nfs/dbraw/zinc/84/52/30/1072845230.db2.gz MPJSJRLKFPNKTL-UHFFFAOYSA-N 0 0 425.444 -0.443 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)cc1 ZINC000918895455 1072845385 /nfs/dbraw/zinc/84/53/85/1072845385.db2.gz OOVYUZQQCOWNAV-UHFFFAOYSA-N 0 0 448.457 -0.792 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)NCCOc1ccc2c(c1)OCO2 ZINC000918895704 1072845365 /nfs/dbraw/zinc/84/53/65/1072845365.db2.gz XWYCKOROSBVTLH-UHFFFAOYSA-N 0 0 449.441 -0.049 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO)C(=O)N(C)C ZINC000918895710 1072845434 /nfs/dbraw/zinc/84/54/34/1072845434.db2.gz XZTHNDFGFXYBJK-GFCCVEGCSA-N 0 0 444.510 -0.909 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N(C)C)ccc1NCCO)C(=O)N(C)C ZINC000918895711 1072845277 /nfs/dbraw/zinc/84/52/77/1072845277.db2.gz XZTHNDFGFXYBJK-LBPRGKRZSA-N 0 0 444.510 -0.909 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)NCCCN1CCCCCC1=O ZINC000918895720 1072845513 /nfs/dbraw/zinc/84/55/13/1072845513.db2.gz YWGSRKGIMMGXRL-UHFFFAOYSA-N 0 0 438.506 -0.064 20 0 IBADRN O=C(COC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)NC1CCC2(CC1)OCCO2 ZINC000918895724 1072846101 /nfs/dbraw/zinc/84/61/01/1072846101.db2.gz ZGSKZRVSRKNZMF-UHFFFAOYSA-N 0 0 425.463 -0.171 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000918896602 1072845399 /nfs/dbraw/zinc/84/53/99/1072845399.db2.gz PHXAGKWYQBRMEO-UHFFFAOYSA-N 0 0 426.499 -0.385 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)Oc2ccccc21 ZINC000918897563 1072847113 /nfs/dbraw/zinc/84/71/13/1072847113.db2.gz XAJHADDMMLBKCF-INIZCTEOSA-N 0 0 427.479 -0.259 20 0 IBADRN CC(=O)N1C[C@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)Oc2ccccc21 ZINC000918897569 1072847096 /nfs/dbraw/zinc/84/70/96/1072847096.db2.gz XAJHADDMMLBKCF-MRXNPFEDSA-N 0 0 427.479 -0.259 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(S(=O)(=O)NC2CC2)cc1)S(C)(=O)=O ZINC000918899950 1072845937 /nfs/dbraw/zinc/84/59/37/1072845937.db2.gz NOLJTTQMXFRTKG-UHFFFAOYSA-N 0 0 447.535 -0.318 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000918901461 1072846077 /nfs/dbraw/zinc/84/60/77/1072846077.db2.gz CYSZTOUVRYAQCN-CYBMUJFWSA-N 0 0 439.490 -0.080 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000918901463 1072846025 /nfs/dbraw/zinc/84/60/25/1072846025.db2.gz CYSZTOUVRYAQCN-ZDUSSCGKSA-N 0 0 439.490 -0.080 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)CN1C(=O)c2ccccc2S1(=O)=O)c1ccccc1 ZINC000918902421 1072846007 /nfs/dbraw/zinc/84/60/07/1072846007.db2.gz PBOZSKOREMWUFK-GOSISDBHSA-N 0 0 445.453 -0.022 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)CN1C(=O)c2ccccc2S1(=O)=O)c1ccccc1 ZINC000918902422 1072845957 /nfs/dbraw/zinc/84/59/57/1072845957.db2.gz PBOZSKOREMWUFK-SFHVURJKSA-N 0 0 445.453 -0.022 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000918902486 1072846093 /nfs/dbraw/zinc/84/60/93/1072846093.db2.gz QIUVPWDZKOIILG-UHFFFAOYSA-N 0 0 435.458 -0.545 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)COC(=O)CN2C(=O)c3ccccc3S2(=O)=O)cc1 ZINC000918902503 1072845858 /nfs/dbraw/zinc/84/58/58/1072845858.db2.gz URLUFJPLDBSPER-UHFFFAOYSA-N 0 0 438.439 -0.095 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(=O)N1CCCCC1 ZINC000918902738 1072846043 /nfs/dbraw/zinc/84/60/43/1072846043.db2.gz VRIWVZVSGLPUFE-CYBMUJFWSA-N 0 0 437.474 -0.109 20 0 IBADRN C[C@H](NC(=O)COC(=O)CN1C(=O)c2ccccc2S1(=O)=O)C(=O)N1CCCCC1 ZINC000918902739 1072845829 /nfs/dbraw/zinc/84/58/29/1072845829.db2.gz VRIWVZVSGLPUFE-ZDUSSCGKSA-N 0 0 437.474 -0.109 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)cc1 ZINC000918904184 1072845892 /nfs/dbraw/zinc/84/58/92/1072845892.db2.gz HUSNGYSQMPNVGC-UHFFFAOYSA-N 0 0 435.458 -0.068 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)cc1 ZINC000918904971 1072846475 /nfs/dbraw/zinc/84/64/75/1072846475.db2.gz PFSUZWOVVJFALL-UHFFFAOYSA-N 0 0 449.551 -0.072 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000918908158 1072846646 /nfs/dbraw/zinc/84/66/46/1072846646.db2.gz HEPQOXYSWZHVGM-UHFFFAOYSA-N 0 0 428.467 -0.806 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000918908892 1072846712 /nfs/dbraw/zinc/84/67/12/1072846712.db2.gz UNKHBMZETDPAFZ-GFCCVEGCSA-N 0 0 430.483 -0.370 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000918908897 1072846308 /nfs/dbraw/zinc/84/63/08/1072846308.db2.gz UNKHBMZETDPAFZ-LBPRGKRZSA-N 0 0 430.483 -0.370 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)CNS(=O)(=O)c1c(C)noc1C)c1ccccc1 ZINC000918909203 1072846593 /nfs/dbraw/zinc/84/65/93/1072846593.db2.gz XORAJBVYZUYYBX-INIZCTEOSA-N 0 0 438.462 -0.284 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)CNS(=O)(=O)c1c(C)noc1C)c1ccccc1 ZINC000918909204 1072846559 /nfs/dbraw/zinc/84/65/59/1072846559.db2.gz XORAJBVYZUYYBX-MRXNPFEDSA-N 0 0 438.462 -0.284 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCC(=O)NC1CCC2(CC1)OCCO2 ZINC000918909287 1072846493 /nfs/dbraw/zinc/84/64/93/1072846493.db2.gz YPYYSUKYYKFABW-UHFFFAOYSA-N 0 0 431.467 -0.085 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCC[C@H](NC(C)=O)C2)cc(OC)c1OCC(N)=O ZINC000918909461 1072846368 /nfs/dbraw/zinc/84/63/68/1072846368.db2.gz FWHTZXLOSKGVKV-AWEZNQCLSA-N 0 0 437.449 -0.148 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCC[C@@H](NC(C)=O)C2)cc(OC)c1OCC(N)=O ZINC000918909462 1072846334 /nfs/dbraw/zinc/84/63/34/1072846334.db2.gz FWHTZXLOSKGVKV-CQSZACIVSA-N 0 0 437.449 -0.148 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)cc(OC)c1OCC(N)=O ZINC000918911896 1072846701 /nfs/dbraw/zinc/84/67/01/1072846701.db2.gz XBMHJUOTIFAWQX-UHFFFAOYSA-N 0 0 449.460 -0.195 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCc1nc2ccccc2c(=O)n1C)S(C)(=O)=O ZINC000918912578 1072846621 /nfs/dbraw/zinc/84/66/21/1072846621.db2.gz KOASAHKDUSWRJI-UHFFFAOYSA-N 0 0 438.506 -0.193 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(N2CCCS2(=O)=O)cc1)S(C)(=O)=O ZINC000918912885 1072846920 /nfs/dbraw/zinc/84/69/20/1072846920.db2.gz GGUAXBQBMLTEMR-UHFFFAOYSA-N 0 0 447.535 -0.219 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)cc1S(N)(=O)=O ZINC000918913137 1072846998 /nfs/dbraw/zinc/84/69/98/1072846998.db2.gz KYEQZNXGSQKHAH-UHFFFAOYSA-N 0 0 449.551 -0.024 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)cc1S(N)(=O)=O ZINC000918914118 1072847024 /nfs/dbraw/zinc/84/70/24/1072847024.db2.gz XNYHKXZHRZLWAW-UHFFFAOYSA-N 0 0 435.458 -0.020 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)NCCOc1ccc2c(c1)OCO2 ZINC000918917782 1072848160 /nfs/dbraw/zinc/84/81/60/1072848160.db2.gz DYVXADFDHKZUAY-UHFFFAOYSA-N 0 0 425.419 -0.247 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1cc(S(N)(=O)=O)cn1C)S(C)(=O)=O ZINC000918918341 1072848457 /nfs/dbraw/zinc/84/84/57/1072848457.db2.gz IZZAUGQCBIJOSV-UHFFFAOYSA-N 0 0 438.528 -0.994 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)Cn1nnc2ccccc2c1=O)S(C)(=O)=O ZINC000918918984 1072848127 /nfs/dbraw/zinc/84/81/27/1072848127.db2.gz JDSQIHIPEPUYJL-UHFFFAOYSA-N 0 0 439.494 -0.489 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)Cn2nnc3ccccc3c2=O)cc1 ZINC000918919693 1072848528 /nfs/dbraw/zinc/84/85/28/1072848528.db2.gz SZAFSCPVRVURDS-UHFFFAOYSA-N 0 0 425.401 -0.485 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC000918919722 1072848331 /nfs/dbraw/zinc/84/83/31/1072848331.db2.gz WJQHEWFZYUMZNP-UHFFFAOYSA-N 0 0 440.463 -0.591 20 0 IBADRN CCCS(=O)(=O)N1CCC[C@@H]1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000918919844 1072848318 /nfs/dbraw/zinc/84/83/18/1072848318.db2.gz LFTDRXBTMPAXPL-CYBMUJFWSA-N 0 0 427.545 -0.869 20 0 IBADRN CCCS(=O)(=O)N1CCC[C@H]1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000918919861 1072848298 /nfs/dbraw/zinc/84/82/98/1072848298.db2.gz LFTDRXBTMPAXPL-ZDUSSCGKSA-N 0 0 427.545 -0.869 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCn2c3ccccc3c(=O)[nH]c2=O)CC1 ZINC000918920062 1072848279 /nfs/dbraw/zinc/84/82/79/1072848279.db2.gz KWUJJKDCPKYMSU-UHFFFAOYSA-N 0 0 445.476 -0.732 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCn1c2ccccc2c(=O)[nH]c1=O)S(C)(=O)=O ZINC000918921368 1072848504 /nfs/dbraw/zinc/84/85/04/1072848504.db2.gz RVWDDXBUTWCPGX-UHFFFAOYSA-N 0 0 440.478 -0.567 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)Cn2c3ccccc3c(=O)[nH]c2=O)cc1 ZINC000918924025 1072848856 /nfs/dbraw/zinc/84/88/56/1072848856.db2.gz MGXVFTQPINBLSM-UHFFFAOYSA-N 0 0 440.412 -0.174 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1c2ccccc2c(=O)[nH]c1=O)S(C)(=O)=O ZINC000918924804 1072849012 /nfs/dbraw/zinc/84/90/12/1072849012.db2.gz ZPTDYDZUWPZAMS-UHFFFAOYSA-N 0 0 426.451 -0.957 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCn1c2ccccc2n(C)c1=O)S(C)(=O)=O ZINC000918930518 1072847834 /nfs/dbraw/zinc/84/78/34/1072847834.db2.gz UPUWWCPXUCSLLS-UHFFFAOYSA-N 0 0 426.495 -0.329 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)C1CCN(S(C)(=O)=O)CC1)S(C)(=O)=O ZINC000918930809 1072847963 /nfs/dbraw/zinc/84/79/63/1072847963.db2.gz OJQVCHSHNGSBJB-UHFFFAOYSA-N 0 0 441.572 -0.623 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cccc(N2CCCS2(=O)=O)c1)S(C)(=O)=O ZINC000918934531 1072847851 /nfs/dbraw/zinc/84/78/51/1072847851.db2.gz TZTCVIFNPJXWOO-UHFFFAOYSA-N 0 0 447.535 -0.219 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCn1cnc2sccc2c1=O)S(C)(=O)=O ZINC000918935457 1072848238 /nfs/dbraw/zinc/84/82/38/1072848238.db2.gz CXVYTEFPPXXXRC-UHFFFAOYSA-N 0 0 430.508 -0.211 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)CCNS(=O)(=O)c1ccc(Cl)nc1 ZINC000918936508 1072848141 /nfs/dbraw/zinc/84/81/41/1072848141.db2.gz CYLJNIIATPEROV-UHFFFAOYSA-N 0 0 434.902 -0.023 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1cccc(C(F)(F)F)c1=O)S(C)(=O)=O ZINC000918943278 1072849565 /nfs/dbraw/zinc/84/95/65/1072849565.db2.gz CWRWWOOZOCSZEA-UHFFFAOYSA-N 0 0 427.401 -0.192 20 0 IBADRN CN(CCCNC(=O)COC(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1)S(C)(=O)=O ZINC000918943718 1072849708 /nfs/dbraw/zinc/84/97/08/1072849708.db2.gz FGNULQUUZDXALX-HNNXBMFYSA-N 0 0 439.494 -0.953 20 0 IBADRN CN(CCCNC(=O)COC(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1)S(C)(=O)=O ZINC000918943719 1072849801 /nfs/dbraw/zinc/84/98/01/1072849801.db2.gz FGNULQUUZDXALX-OAHLLOKOSA-N 0 0 439.494 -0.953 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1NS(C)(=O)=O ZINC000918944028 1072849540 /nfs/dbraw/zinc/84/95/40/1072849540.db2.gz USAKFRWKHZWZTB-UHFFFAOYSA-N 0 0 435.524 -0.079 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)=NN1c1ccccc1 ZINC000918947323 1072850503 /nfs/dbraw/zinc/85/05/03/1072850503.db2.gz ZZDOCYOEYUKGQM-KRWDZBQOSA-N 0 0 427.461 -0.269 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)=NN1c1ccccc1 ZINC000918947324 1072850116 /nfs/dbraw/zinc/85/01/16/1072850116.db2.gz ZZDOCYOEYUKGQM-QGZVFWFLSA-N 0 0 427.461 -0.269 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000918950373 1072848937 /nfs/dbraw/zinc/84/89/37/1072848937.db2.gz JJYATTJMLGZGHU-UHFFFAOYSA-N 0 0 425.463 -0.420 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000918954386 1072851387 /nfs/dbraw/zinc/85/13/87/1072851387.db2.gz STIXGVCJVJGFLF-ACJLOTCBSA-N 0 0 446.526 -0.572 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000918954387 1072851609 /nfs/dbraw/zinc/85/16/09/1072851609.db2.gz STIXGVCJVJGFLF-FZKQIMNGSA-N 0 0 446.526 -0.572 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000918954388 1072851431 /nfs/dbraw/zinc/85/14/31/1072851431.db2.gz STIXGVCJVJGFLF-SCLBCKFNSA-N 0 0 446.526 -0.572 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000918954389 1072851493 /nfs/dbraw/zinc/85/14/93/1072851493.db2.gz STIXGVCJVJGFLF-UGSOOPFHSA-N 0 0 446.526 -0.572 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N(C)C ZINC000918954750 1072851877 /nfs/dbraw/zinc/85/18/77/1072851877.db2.gz WXFNIDPOXWGLFD-GFCCVEGCSA-N 0 0 443.478 -0.738 20 0 IBADRN C[C@H](NC(=O)COC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N(C)C ZINC000918954751 1072851831 /nfs/dbraw/zinc/85/18/31/1072851831.db2.gz WXFNIDPOXWGLFD-LBPRGKRZSA-N 0 0 443.478 -0.738 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)ccc1OC ZINC000918956980 1072850302 /nfs/dbraw/zinc/85/03/02/1072850302.db2.gz NVLXYULKMXSHBZ-UHFFFAOYSA-N 0 0 439.490 -0.159 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC000918957329 1072850493 /nfs/dbraw/zinc/85/04/93/1072850493.db2.gz MODXAUQWZBAFSM-OAQYLSRUSA-N 0 0 430.461 -0.046 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCC[C@H](NC(C)=O)C2)ccc1OC ZINC000918957353 1072850185 /nfs/dbraw/zinc/85/01/85/1072850185.db2.gz UGGVYQHHKYOJPM-AWEZNQCLSA-N 0 0 427.479 -0.113 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)N2CCC[C@@H](NC(C)=O)C2)ccc1OC ZINC000918957355 1072850483 /nfs/dbraw/zinc/85/04/83/1072850483.db2.gz UGGVYQHHKYOJPM-CQSZACIVSA-N 0 0 427.479 -0.113 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000918958383 1072850204 /nfs/dbraw/zinc/85/02/04/1072850204.db2.gz GUAPAIQSUWAGLV-UHFFFAOYSA-N 0 0 435.524 -0.461 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1ccc(S(N)(=O)=O)cc1)S(C)(=O)=O ZINC000918958568 1072850153 /nfs/dbraw/zinc/85/01/53/1072850153.db2.gz VKEOBOAHKBCPDO-UHFFFAOYSA-N 0 0 435.524 -0.333 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)cc1S(N)(=O)=O ZINC000918958579 1072851085 /nfs/dbraw/zinc/85/10/85/1072851085.db2.gz WKQRIAZCMLHPPA-UHFFFAOYSA-N 0 0 425.463 -0.420 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000918960041 1072850741 /nfs/dbraw/zinc/85/07/41/1072850741.db2.gz BOWIUDBGQMAGNI-UHFFFAOYSA-N 0 0 449.551 -0.072 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)c1 ZINC000918960596 1072850863 /nfs/dbraw/zinc/85/08/63/1072850863.db2.gz WYYAJOUSPOWITF-UHFFFAOYSA-N 0 0 427.454 -0.029 20 0 IBADRN CNS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000918961044 1072851116 /nfs/dbraw/zinc/85/11/16/1072851116.db2.gz YLTVZUQKMMJYPT-UHFFFAOYSA-N 0 0 439.487 -0.712 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)c1 ZINC000918962382 1072850940 /nfs/dbraw/zinc/85/09/40/1072850940.db2.gz RNZBAYZJNGEWCN-CYBMUJFWSA-N 0 0 444.510 -0.985 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)c1 ZINC000918962383 1072850928 /nfs/dbraw/zinc/85/09/28/1072850928.db2.gz RNZBAYZJNGEWCN-ZDUSSCGKSA-N 0 0 444.510 -0.985 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N(C)C ZINC000918962448 1072851150 /nfs/dbraw/zinc/85/11/50/1072851150.db2.gz WPUWZEXCBNWCSE-GFCCVEGCSA-N 0 0 428.463 -0.242 20 0 IBADRN C[C@H](NC(=O)COC(=O)CCS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N(C)C ZINC000918962449 1072851137 /nfs/dbraw/zinc/85/11/37/1072851137.db2.gz WPUWZEXCBNWCSE-LBPRGKRZSA-N 0 0 428.463 -0.242 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)c1 ZINC000918963244 1072851423 /nfs/dbraw/zinc/85/14/23/1072851423.db2.gz MBJRCCMQIZNDAW-CYBMUJFWSA-N 0 0 429.495 -0.331 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)c1 ZINC000918963246 1072851460 /nfs/dbraw/zinc/85/14/60/1072851460.db2.gz MBJRCCMQIZNDAW-ZDUSSCGKSA-N 0 0 429.495 -0.331 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1Br)C(=O)N(C)C ZINC000918965181 1072851465 /nfs/dbraw/zinc/85/14/65/1072851465.db2.gz BAVFPSCORIYVOU-MRVPVSSYSA-N 0 0 436.284 -0.154 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1Br)C(=O)N(C)C ZINC000918965182 1072851531 /nfs/dbraw/zinc/85/15/31/1072851531.db2.gz BAVFPSCORIYVOU-QMMMGPOBSA-N 0 0 436.284 -0.154 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1F)S(C)(=O)=O ZINC000918965550 1072851543 /nfs/dbraw/zinc/85/15/43/1072851543.db2.gz HNKJBYNQZIQJMX-UHFFFAOYSA-N 0 0 425.460 -0.972 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)c2cc(S(N)(=O)=O)ccc2F)cc1 ZINC000918966071 1072850992 /nfs/dbraw/zinc/85/09/92/1072850992.db2.gz OKVSHDVDMBVIBC-UHFFFAOYSA-N 0 0 439.421 -0.190 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cc(S(N)(=O)=O)ccc1Cl)S(C)(=O)=O ZINC000918967147 1072851912 /nfs/dbraw/zinc/85/19/12/1072851912.db2.gz GEAKRIHOFUMKQM-UHFFFAOYSA-N 0 0 441.915 -0.458 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc1 ZINC000918967720 1072852333 /nfs/dbraw/zinc/85/23/33/1072852333.db2.gz DCVMACMBJWQIFC-LLVKDONJSA-N 0 0 428.467 -0.941 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc1 ZINC000918967721 1072852484 /nfs/dbraw/zinc/85/24/84/1072852484.db2.gz DCVMACMBJWQIFC-NSHDSACASA-N 0 0 428.467 -0.941 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000918968085 1072852304 /nfs/dbraw/zinc/85/23/04/1072852304.db2.gz RPSFPSZKXUJLCZ-UHFFFAOYSA-N 0 0 442.494 -0.503 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N(C)Cc2cnn(C)c2)cc1 ZINC000918968184 1072852355 /nfs/dbraw/zinc/85/23/55/1072852355.db2.gz ZHUAKKOWVBFUGU-UHFFFAOYSA-N 0 0 437.478 -0.141 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)c1 ZINC000918968967 1072852380 /nfs/dbraw/zinc/85/23/80/1072852380.db2.gz SRYIRWANTVGECG-GFCCVEGCSA-N 0 0 427.479 -0.306 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)c1 ZINC000918968969 1072852518 /nfs/dbraw/zinc/85/25/18/1072852518.db2.gz SRYIRWANTVGECG-LBPRGKRZSA-N 0 0 427.479 -0.306 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)CN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000918969122 1072852268 /nfs/dbraw/zinc/85/22/68/1072852268.db2.gz DJMKPNCSUCVPMQ-UHFFFAOYSA-N 0 0 448.461 -0.026 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)C(=O)N(C)C ZINC000918969595 1072852500 /nfs/dbraw/zinc/85/25/00/1072852500.db2.gz IPLVAXPXMQESJE-DZGCQCFKSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)C(=O)N(C)C ZINC000918969599 1072852346 /nfs/dbraw/zinc/85/23/46/1072852346.db2.gz IPLVAXPXMQESJE-HIFRSBDPSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1)C(=O)N(C)C ZINC000918969602 1072852449 /nfs/dbraw/zinc/85/24/49/1072852449.db2.gz IPLVAXPXMQESJE-UKRRQHHQSA-N 0 0 441.506 -0.106 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1)C(=O)N(C)C ZINC000918969605 1072852318 /nfs/dbraw/zinc/85/23/18/1072852318.db2.gz IPLVAXPXMQESJE-ZFWWWQNUSA-N 0 0 441.506 -0.106 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc1 ZINC000918970478 1072852374 /nfs/dbraw/zinc/85/23/74/1072852374.db2.gz PWYXZPJXYHXLRZ-UHFFFAOYSA-N 0 0 435.524 -0.461 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)CN1C(=O)NC2(CCCC2)C1=O)S(C)(=O)=O ZINC000918971183 1072852430 /nfs/dbraw/zinc/85/24/30/1072852430.db2.gz ULTDZVVPJVHBEH-UHFFFAOYSA-N 0 0 446.526 -0.430 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)CN2C(=O)NC3(CCCC3)C2=O)cc1 ZINC000918971554 1072852288 /nfs/dbraw/zinc/85/22/88/1072852288.db2.gz XSNBXDLECAIKBB-UHFFFAOYSA-N 0 0 432.433 -0.425 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCOc1cccc(F)c1)c(=O)n2C ZINC000918971825 1072852413 /nfs/dbraw/zinc/85/24/13/1072852413.db2.gz AJGJCLOHYOSXCD-UHFFFAOYSA-N 0 0 433.396 -0.689 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1cc3c(cc1F)NC(=O)CC3)c(=O)n2C ZINC000918971925 1072852457 /nfs/dbraw/zinc/85/24/57/1072852457.db2.gz BPYJUWZFFQMUFF-UHFFFAOYSA-N 0 0 443.391 -0.116 20 0 IBADRN COCc1ccc(CNC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000918972007 1072852789 /nfs/dbraw/zinc/85/27/89/1072852789.db2.gz FTUFCPNVAPCNBD-UHFFFAOYSA-N 0 0 429.433 -0.560 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(=O)n(Cc2ccccc2)n1)S(C)(=O)=O ZINC000918972052 1072852758 /nfs/dbraw/zinc/85/27/58/1072852758.db2.gz ANNGNDSKNQVUMU-UHFFFAOYSA-N 0 0 436.490 -0.154 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCOc1cccc(Cl)c1)c(=O)n2C ZINC000918972313 1072852777 /nfs/dbraw/zinc/85/27/77/1072852777.db2.gz GRFHMKPGOFPKDM-UHFFFAOYSA-N 0 0 449.851 -0.175 20 0 IBADRN Cc1cccc(OCCCNC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000918972321 1072852663 /nfs/dbraw/zinc/85/26/63/1072852663.db2.gz HBXOVEHTZCJCMD-UHFFFAOYSA-N 0 0 443.460 -0.129 20 0 IBADRN Cc1cccc(C)c1OCCNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918972326 1072852819 /nfs/dbraw/zinc/85/28/19/1072852819.db2.gz HPFPTJITANFUQS-UHFFFAOYSA-N 0 0 443.460 -0.211 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)c1ccc3c(c1)CCN3C=O)c(=O)n2C ZINC000918972331 1072852799 /nfs/dbraw/zinc/85/27/99/1072852799.db2.gz HYLCGVUMHGFGIA-UHFFFAOYSA-N 0 0 425.401 -0.621 20 0 IBADRN CCOCc1ccccc1CNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918972367 1072852680 /nfs/dbraw/zinc/85/26/80/1072852680.db2.gz JMIONKVQMGBXQA-UHFFFAOYSA-N 0 0 443.460 -0.170 20 0 IBADRN CCOCc1ccc(CNC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)cc1 ZINC000918972385 1072852767 /nfs/dbraw/zinc/85/27/67/1072852767.db2.gz KGCGJYLWICPWOL-UHFFFAOYSA-N 0 0 443.460 -0.170 20 0 IBADRN CN(CCCOc1ccccc1)C(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918973358 1072852826 /nfs/dbraw/zinc/85/28/26/1072852826.db2.gz MAMYZXOECZLLCF-UHFFFAOYSA-N 0 0 443.460 -0.096 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)N1CCC[C@@H](C(F)(F)F)C1)c(=O)n2C ZINC000918973372 1072852807 /nfs/dbraw/zinc/85/28/07/1072852807.db2.gz MFIPRSLRYNCHRF-SNVBAGLBSA-N 0 0 431.371 -0.222 20 0 IBADRN CCOc1cccc(CNC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000918973389 1072852743 /nfs/dbraw/zinc/85/27/43/1072852743.db2.gz MPLIFWBMXYXDLH-UHFFFAOYSA-N 0 0 429.433 -0.308 20 0 IBADRN C[C@H]1CCCC[C@@H]1OCCNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918973465 1072852646 /nfs/dbraw/zinc/85/26/46/1072852646.db2.gz NOOHYPWMVPEPKB-KBPBESRZSA-N 0 0 435.481 -0.312 20 0 IBADRN C[C@@H]1CCCC[C@@H]1OCCNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918973469 1072852728 /nfs/dbraw/zinc/85/27/28/1072852728.db2.gz NOOHYPWMVPEPKB-KGLIPLIRSA-N 0 0 435.481 -0.312 20 0 IBADRN C[C@H]1CCCC[C@H]1OCCNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918973472 1072852695 /nfs/dbraw/zinc/85/26/95/1072852695.db2.gz NOOHYPWMVPEPKB-UONOGXRCSA-N 0 0 435.481 -0.312 20 0 IBADRN C[C@@H]1CCCC[C@H]1OCCNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918973475 1072852752 /nfs/dbraw/zinc/85/27/52/1072852752.db2.gz NOOHYPWMVPEPKB-ZIAGYGMSSA-N 0 0 435.481 -0.312 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000918973477 1072852711 /nfs/dbraw/zinc/85/27/11/1072852711.db2.gz LJFYUACBBOCHQS-UHFFFAOYSA-N 0 0 449.551 -0.477 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918974205 1072853053 /nfs/dbraw/zinc/85/30/53/1072853053.db2.gz RBMMUXAHPKEPFV-UHFFFAOYSA-N 0 0 447.423 -0.347 20 0 IBADRN COCc1cccc(CNC(=O)COC(=O)Cn2c(=O)c3c(ncn3C)n(C)c2=O)c1 ZINC000918974279 1072853034 /nfs/dbraw/zinc/85/30/34/1072853034.db2.gz SYKNFSYKHYVWIL-UHFFFAOYSA-N 0 0 429.433 -0.560 20 0 IBADRN COCc1ccccc1CNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918974287 1072853136 /nfs/dbraw/zinc/85/31/36/1072853136.db2.gz UECOTRIUVZXKCY-UHFFFAOYSA-N 0 0 429.433 -0.560 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCN1CCc3ccccc31)c(=O)n2C ZINC000918974953 1072853070 /nfs/dbraw/zinc/85/30/70/1072853070.db2.gz WIPUZVYLIZAHTA-UHFFFAOYSA-N 0 0 440.460 -0.844 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCOc1ccc(F)cc1)c(=O)n2C ZINC000918974961 1072852988 /nfs/dbraw/zinc/85/29/88/1072852988.db2.gz WRZKWQGNOXKMIG-UHFFFAOYSA-N 0 0 433.396 -0.689 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCCOc1ccccc1F)c(=O)n2C ZINC000918974969 1072853118 /nfs/dbraw/zinc/85/31/18/1072853118.db2.gz WYSSFKBUDJZUBO-UHFFFAOYSA-N 0 0 447.423 -0.299 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NCCCOC1CCCCC1)c(=O)n2C ZINC000918974970 1072853321 /nfs/dbraw/zinc/85/33/21/1072853321.db2.gz WZQIBJKULSFCBW-UHFFFAOYSA-N 0 0 435.481 -0.168 20 0 IBADRN CN(CCCNC(=O)COC(=O)CNC(=O)c1ccc2c(c1)OCO2)S(C)(=O)=O ZINC000918975124 1072852968 /nfs/dbraw/zinc/85/29/68/1072852968.db2.gz WTPNPPUBXOMEDB-UHFFFAOYSA-N 0 0 429.451 -0.914 20 0 IBADRN COc1ccc(Cl)cc1CNC(=O)COC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000918975180 1072853253 /nfs/dbraw/zinc/85/32/53/1072853253.db2.gz YIZAXUDCQLSIDW-UHFFFAOYSA-N 0 0 449.851 -0.045 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NC[C@H]1Cc3ccccc3O1)c(=O)n2C ZINC000918975266 1072853269 /nfs/dbraw/zinc/85/32/69/1072853269.db2.gz ZTBIEIKDUQDJSI-CYBMUJFWSA-N 0 0 427.417 -0.903 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCC(=O)NC[C@@H]1Cc3ccccc3O1)c(=O)n2C ZINC000918975269 1072853104 /nfs/dbraw/zinc/85/31/04/1072853104.db2.gz ZTBIEIKDUQDJSI-ZDUSSCGKSA-N 0 0 427.417 -0.903 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000918975710 1072853089 /nfs/dbraw/zinc/85/30/89/1072853089.db2.gz UMABHPOFCZKBMJ-BFHYXJOUSA-N 0 0 431.511 -0.509 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000918975711 1072853235 /nfs/dbraw/zinc/85/32/35/1072853235.db2.gz UMABHPOFCZKBMJ-IHRRRGAJSA-N 0 0 431.511 -0.509 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000918975712 1072853306 /nfs/dbraw/zinc/85/33/06/1072853306.db2.gz UMABHPOFCZKBMJ-MELADBBJSA-N 0 0 431.511 -0.509 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000918984951 1072851853 /nfs/dbraw/zinc/85/18/53/1072851853.db2.gz KBXXLESUYAEWBL-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN CN(CCCNC(=O)COC(=O)CNS(=O)(=O)c1ccccc1F)S(C)(=O)=O ZINC000918985423 1072852114 /nfs/dbraw/zinc/85/21/14/1072852114.db2.gz ZNYJKEWFBHGLLE-UHFFFAOYSA-N 0 0 439.487 -0.955 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OC/C=C\COC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000918988199 1072853961 /nfs/dbraw/zinc/85/39/61/1072853961.db2.gz CFWATNXCADNHBN-NMEQGOOXSA-N 0 0 438.524 -0.523 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OC/C=C/COC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000918988200 1072854310 /nfs/dbraw/zinc/85/43/10/1072854310.db2.gz CFWATNXCADNHBN-NVFZZLLCSA-N 0 0 438.524 -0.523 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C2=O ZINC000918988252 1072853937 /nfs/dbraw/zinc/85/39/37/1072853937.db2.gz FSILHOVYDZJPIX-UHFFFAOYSA-N 0 0 425.463 -0.468 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)[C@@H]1CCCN1S(C)(=O)=O)S(C)(=O)=O ZINC000918989487 1072853979 /nfs/dbraw/zinc/85/39/79/1072853979.db2.gz UDSSQSNSBVENLA-ZDUSSCGKSA-N 0 0 427.545 -0.870 20 0 IBADRN O=C(CC[C@@H]1NC(=O)NC1=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000918990763 1072854141 /nfs/dbraw/zinc/85/41/41/1072854141.db2.gz PMWKQUCKYCPOCI-INIZCTEOSA-N 0 0 430.461 -0.203 20 0 IBADRN O=C(CC[C@H]1NC(=O)NC1=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000918990769 1072854081 /nfs/dbraw/zinc/85/40/81/1072854081.db2.gz PMWKQUCKYCPOCI-MRXNPFEDSA-N 0 0 430.461 -0.203 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cc2c(nc3ccccn3c2=O)n1C)S(C)(=O)=O ZINC000918996028 1072853156 /nfs/dbraw/zinc/85/31/56/1072853156.db2.gz YXJXKUHHPHOJMC-UHFFFAOYSA-N 0 0 449.489 -0.259 20 0 IBADRN CC1(C)NC(=O)N(CCC(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000918997933 1072853199 /nfs/dbraw/zinc/85/31/99/1072853199.db2.gz NRMGUKDPGYGPMS-UHFFFAOYSA-N 0 0 425.463 -0.030 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCN1C(=O)NC2(CCCC2)C1=O)S(C)(=O)=O ZINC000918997942 1072853218 /nfs/dbraw/zinc/85/32/18/1072853218.db2.gz OGPDDHSRJHWFAA-UHFFFAOYSA-N 0 0 432.499 -0.818 20 0 IBADRN Cc1cc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)cc(S(N)(=O)=O)c1C ZINC000918998255 1072853009 /nfs/dbraw/zinc/85/30/09/1072853009.db2.gz KFXDJGHZMWXCNU-UHFFFAOYSA-N 0 0 435.524 -0.495 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000918999358 1072853697 /nfs/dbraw/zinc/85/36/97/1072853697.db2.gz YCWHGDWKJHJYNG-AWEZNQCLSA-N 0 0 435.568 -0.329 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000918999359 1072853448 /nfs/dbraw/zinc/85/34/48/1072853448.db2.gz YCWHGDWKJHJYNG-CQSZACIVSA-N 0 0 435.568 -0.329 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc1S(=O)(=O)NC1CC1 ZINC000919001684 1072853660 /nfs/dbraw/zinc/85/36/60/1072853660.db2.gz MDZPUOZRFZCRIA-LLVKDONJSA-N 0 0 427.479 -0.114 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc1S(=O)(=O)NC1CC1 ZINC000919001700 1072853820 /nfs/dbraw/zinc/85/38/20/1072853820.db2.gz MDZPUOZRFZCRIA-NSHDSACASA-N 0 0 427.479 -0.114 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)S(C)(=O)=O ZINC000919002385 1072853774 /nfs/dbraw/zinc/85/37/74/1072853774.db2.gz VLPZBYPTODZZOK-UHFFFAOYSA-N 0 0 440.478 -0.707 20 0 IBADRN O=C(COC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)N1CCN(C(=O)C2CC2)CC1 ZINC000919002412 1072853596 /nfs/dbraw/zinc/85/35/96/1072853596.db2.gz WLPXPJSCZCYQEY-UHFFFAOYSA-N 0 0 428.445 -0.024 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000919004757 1072853574 /nfs/dbraw/zinc/85/35/74/1072853574.db2.gz GKXGVEWUNGAWSN-AWEZNQCLSA-N 0 0 436.490 -0.262 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C1 ZINC000919004758 1072853756 /nfs/dbraw/zinc/85/37/56/1072853756.db2.gz GKXGVEWUNGAWSN-CQSZACIVSA-N 0 0 436.490 -0.262 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCC2(CC1)OCCO2)C1=NS(=O)(=O)c2ccccc21 ZINC000919004982 1072853679 /nfs/dbraw/zinc/85/36/79/1072853679.db2.gz HUZXXYBXRSYGPA-UHFFFAOYSA-N 0 0 437.474 -0.024 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(C(=O)C2CC2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000919005012 1072854063 /nfs/dbraw/zinc/85/40/63/1072854063.db2.gz IQJODONJHHYHSY-UHFFFAOYSA-N 0 0 448.501 -0.309 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc2c(c1)CCN2S(C)(=O)=O)S(C)(=O)=O ZINC000919006096 1072854211 /nfs/dbraw/zinc/85/42/11/1072854211.db2.gz MVHVFKWCNCWUFP-UHFFFAOYSA-N 0 0 447.535 -0.437 20 0 IBADRN CN1CC(=O)N(CCCC(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)C1=O ZINC000919006163 1072854283 /nfs/dbraw/zinc/85/42/83/1072854283.db2.gz CANIRFMLXKERSK-UHFFFAOYSA-N 0 0 425.463 -0.076 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCN1C(=O)COc2ccccc21)S(C)(=O)=O ZINC000919008163 1072854265 /nfs/dbraw/zinc/85/42/65/1072854265.db2.gz OIYCDQPOYFKCBZ-UHFFFAOYSA-N 0 0 427.479 -0.257 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1nc(-c2ccc(F)cc2)oc1=O)S(C)(=O)=O ZINC000919010433 1072854605 /nfs/dbraw/zinc/85/46/05/1072854605.db2.gz WHINICNRWODRGX-UHFFFAOYSA-N 0 0 444.441 -0.417 20 0 IBADRN CN(CCCNC(=O)COC(=O)CN1c2ccccc2OCCC1=O)S(C)(=O)=O ZINC000919011672 1072855249 /nfs/dbraw/zinc/85/52/49/1072855249.db2.gz ICHLLRMAPBBECN-UHFFFAOYSA-N 0 0 427.479 -0.257 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)CC1 ZINC000919011943 1072855230 /nfs/dbraw/zinc/85/52/30/1072855230.db2.gz NEKQXTJYKYKEGF-CYBMUJFWSA-N 0 0 443.526 -0.437 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)CC1 ZINC000919011971 1072855131 /nfs/dbraw/zinc/85/51/31/1072855131.db2.gz NEKQXTJYKYKEGF-ZDUSSCGKSA-N 0 0 443.526 -0.437 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)CC1 ZINC000919012443 1072855020 /nfs/dbraw/zinc/85/50/20/1072855020.db2.gz HCRRVHIUGFBPPE-UHFFFAOYSA-N 0 0 441.506 -0.228 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cc1csc(N2CCCC2=O)n1)S(C)(=O)=O ZINC000919013573 1072854906 /nfs/dbraw/zinc/85/49/06/1072854906.db2.gz ZVJPNFMWMFACHA-UHFFFAOYSA-N 0 0 432.524 -0.247 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000919015377 1072855114 /nfs/dbraw/zinc/85/51/14/1072855114.db2.gz KYDDTXZENOVCDE-GOSISDBHSA-N 0 0 442.472 -0.271 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1 ZINC000919015378 1072854928 /nfs/dbraw/zinc/85/49/28/1072854928.db2.gz KYDDTXZENOVCDE-SFHVURJKSA-N 0 0 442.472 -0.271 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000919015858 1072855191 /nfs/dbraw/zinc/85/51/91/1072855191.db2.gz LVSZYMJSSFWQFS-UHFFFAOYSA-N 0 0 425.445 -0.006 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC000919016229 1072855067 /nfs/dbraw/zinc/85/50/67/1072855067.db2.gz MKGFBCPSKBMBHS-UHFFFAOYSA-N 0 0 430.461 -0.368 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)OCC(=O)N1CCC2(CC1)OCCO2 ZINC000919016487 1072855154 /nfs/dbraw/zinc/85/51/54/1072855154.db2.gz SXKCSWMUZAYGOK-UHFFFAOYSA-N 0 0 443.456 -0.033 20 0 IBADRN CN1CC(=O)N(CC(=O)OCc2ccccc2COC(=O)CN2C(=O)CN(C)C2=O)C1=O ZINC000919018366 1072854883 /nfs/dbraw/zinc/85/48/83/1072854883.db2.gz CPNJNUPGZWMNRT-UHFFFAOYSA-N 0 0 446.416 -0.439 20 0 IBADRN Cc1cc(N2CCN(C(=O)COC(=O)CN3C(=O)CN(C)C3=O)CC2)nc(C(C)C)n1 ZINC000919018508 1072855357 /nfs/dbraw/zinc/85/53/57/1072855357.db2.gz HGNMWHGXGYVWEC-UHFFFAOYSA-N 0 0 432.481 -0.006 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1csc(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000919018623 1072855755 /nfs/dbraw/zinc/85/57/55/1072855755.db2.gz AEFPYYHMISWKOP-UHFFFAOYSA-N 0 0 441.553 -0.271 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)c2csc(S(N)(=O)=O)c2)cc1 ZINC000919018737 1072855498 /nfs/dbraw/zinc/85/54/98/1072855498.db2.gz JWOYLOVLADHOQP-UHFFFAOYSA-N 0 0 427.460 -0.267 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)CC1 ZINC000919019056 1072855665 /nfs/dbraw/zinc/85/56/65/1072855665.db2.gz FHIDHMQMIPTSPN-GFCCVEGCSA-N 0 0 444.510 -0.172 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)CC1 ZINC000919019078 1072855645 /nfs/dbraw/zinc/85/56/45/1072855645.db2.gz FHIDHMQMIPTSPN-LBPRGKRZSA-N 0 0 444.510 -0.172 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)OCC(=O)N2CCC[C@H](NC(C)=O)C2)c1=O ZINC000919019109 1072855633 /nfs/dbraw/zinc/85/56/33/1072855633.db2.gz WRKZYQJFHIAPKD-HNNXBMFYSA-N 0 0 430.461 -0.147 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)OCC(=O)N2CCC[C@@H](NC(C)=O)C2)c1=O ZINC000919019110 1072855464 /nfs/dbraw/zinc/85/54/64/1072855464.db2.gz WRKZYQJFHIAPKD-OAHLLOKOSA-N 0 0 430.461 -0.147 20 0 IBADRN CN1CC(=O)N(CC(=O)OCC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)C1=O ZINC000919019119 1072855582 /nfs/dbraw/zinc/85/55/82/1072855582.db2.gz PHPKVPOKWSELCD-UHFFFAOYSA-N 0 0 430.461 -0.297 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)c1=O ZINC000919020232 1072855616 /nfs/dbraw/zinc/85/56/16/1072855616.db2.gz IMOSWNSLYXQYSC-UHFFFAOYSA-N 0 0 442.472 -0.193 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCCN1C(=O)NC(C)(C)C1=O ZINC000919021207 1072855779 /nfs/dbraw/zinc/85/57/79/1072855779.db2.gz XRVVPSOYIIKDFR-UHFFFAOYSA-N 0 0 442.494 -0.077 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCn1nnc2ccccc2c1=O)S(C)(=O)=O ZINC000919021459 1072855515 /nfs/dbraw/zinc/85/55/15/1072855515.db2.gz ZJPBTEHYSBVDCH-UHFFFAOYSA-N 0 0 425.467 -0.878 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NCCOc3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000919022342 1072855395 /nfs/dbraw/zinc/85/53/95/1072855395.db2.gz JFLQXUFZQIVOKV-UHFFFAOYSA-N 0 0 447.423 -0.299 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NCc3cc(F)cc(F)c3)c2c(=O)n(C)c1=O ZINC000919022351 1072856128 /nfs/dbraw/zinc/85/61/28/1072856128.db2.gz JKABUYALJNUGTL-UHFFFAOYSA-N 0 0 435.387 -0.038 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC000919023306 1072853910 /nfs/dbraw/zinc/85/39/10/1072853910.db2.gz GSDKYIAORPBAGZ-UHFFFAOYSA-N 0 0 439.494 -0.405 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)NCCCn1cccn1 ZINC000919023352 1072854118 /nfs/dbraw/zinc/85/41/18/1072854118.db2.gz ITKQHOVUHKHXCY-UHFFFAOYSA-N 0 0 439.494 -0.394 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCC(=O)NCC(=O)NC(C)(C)C ZINC000919023955 1072854046 /nfs/dbraw/zinc/85/40/46/1072854046.db2.gz LJYACQKZXKYPRM-UHFFFAOYSA-N 0 0 444.510 -0.766 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)N3CCO[C@H]4CCCC[C@@H]43)c2c(=O)n(C)c1=O ZINC000919024582 1072853925 /nfs/dbraw/zinc/85/39/25/1072853925.db2.gz BZEKTQHNALIHRN-KBPBESRZSA-N 0 0 433.465 -0.463 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)N3CCO[C@H]4CCCC[C@H]43)c2c(=O)n(C)c1=O ZINC000919024586 1072854194 /nfs/dbraw/zinc/85/41/94/1072854194.db2.gz BZEKTQHNALIHRN-KGLIPLIRSA-N 0 0 433.465 -0.463 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)N3CCO[C@@H]4CCCC[C@@H]43)c2c(=O)n(C)c1=O ZINC000919024587 1072854464 /nfs/dbraw/zinc/85/44/64/1072854464.db2.gz BZEKTQHNALIHRN-UONOGXRCSA-N 0 0 433.465 -0.463 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)N3CCO[C@@H]4CCCC[C@H]43)c2c(=O)n(C)c1=O ZINC000919024589 1072854689 /nfs/dbraw/zinc/85/46/89/1072854689.db2.gz BZEKTQHNALIHRN-ZIAGYGMSSA-N 0 0 433.465 -0.463 20 0 IBADRN COCc1ccccc1CNC(=O)COC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000919024641 1072854710 /nfs/dbraw/zinc/85/47/10/1072854710.db2.gz DHEXQZLCTKSFQZ-UHFFFAOYSA-N 0 0 443.460 -0.170 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)o1 ZINC000919024924 1072854664 /nfs/dbraw/zinc/85/46/64/1072854664.db2.gz HEJBUGKTHYHYOX-UHFFFAOYSA-N 0 0 439.450 -0.590 20 0 IBADRN COCc1cccc(CNC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC000919024952 1072854572 /nfs/dbraw/zinc/85/45/72/1072854572.db2.gz HLPKRCMFUXOXKP-UHFFFAOYSA-N 0 0 443.460 -0.170 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NC[C@@H]3Cc4ccccc4O3)c2c(=O)n(C)c1=O ZINC000919025194 1072854531 /nfs/dbraw/zinc/85/45/31/1072854531.db2.gz NAJFUAHCWNLQOM-AWEZNQCLSA-N 0 0 441.444 -0.513 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NC[C@H]3Cc4ccccc4O3)c2c(=O)n(C)c1=O ZINC000919025195 1072854787 /nfs/dbraw/zinc/85/47/87/1072854787.db2.gz NAJFUAHCWNLQOM-CQSZACIVSA-N 0 0 441.444 -0.513 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)C1 ZINC000919025228 1072854555 /nfs/dbraw/zinc/85/45/55/1072854555.db2.gz OIOLIOQKXFYEIT-GXTWGEPZSA-N 0 0 444.510 -0.172 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)C1 ZINC000919025229 1072854419 /nfs/dbraw/zinc/85/44/19/1072854419.db2.gz OIOLIOQKXFYEIT-JSGCOSHPSA-N 0 0 444.510 -0.172 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)C1 ZINC000919025230 1072854590 /nfs/dbraw/zinc/85/45/90/1072854590.db2.gz OIOLIOQKXFYEIT-OCCSQVGLSA-N 0 0 444.510 -0.172 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)C1 ZINC000919025231 1072854441 /nfs/dbraw/zinc/85/44/41/1072854441.db2.gz OIOLIOQKXFYEIT-TZMCWYRMSA-N 0 0 444.510 -0.172 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NC3CCC4(CC3)OCCO4)c2c(=O)n(C)c1=O ZINC000919025372 1072854728 /nfs/dbraw/zinc/85/47/28/1072854728.db2.gz UHFIUZKXQQXEBU-UHFFFAOYSA-N 0 0 449.464 -0.831 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CCCCC1 ZINC000919025377 1072854799 /nfs/dbraw/zinc/85/47/99/1072854799.db2.gz UQMLLDDARCXLFJ-UHFFFAOYSA-N 0 0 449.464 -0.641 20 0 IBADRN COCc1ccc(CNC(=O)COC(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC000919025389 1072854743 /nfs/dbraw/zinc/85/47/43/1072854743.db2.gz VDEXQHBRJPFYPA-UHFFFAOYSA-N 0 0 443.460 -0.170 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)c3ccc4c(c3)CCN4C=O)c2c(=O)n(C)c1=O ZINC000919025451 1072854944 /nfs/dbraw/zinc/85/49/44/1072854944.db2.gz XNSGSJUZBSAACI-UHFFFAOYSA-N 0 0 439.428 -0.231 20 0 IBADRN Cn1c2ncn(CCC(=O)OCC(=O)NCCOc3cccc(F)c3)c2c(=O)n(C)c1=O ZINC000919025500 1072855730 /nfs/dbraw/zinc/85/57/30/1072855730.db2.gz ZVGHTBNHOISQHI-UHFFFAOYSA-N 0 0 447.423 -0.299 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1)C(=O)N(C)C ZINC000919026361 1072856211 /nfs/dbraw/zinc/85/62/11/1072856211.db2.gz CDDIOHDTCCNPEI-LLVKDONJSA-N 0 0 433.508 -0.481 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1)C(=O)N(C)C ZINC000919026366 1072856079 /nfs/dbraw/zinc/85/60/79/1072856079.db2.gz CDDIOHDTCCNPEI-NSHDSACASA-N 0 0 433.508 -0.481 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(CN2C(=O)CCC2=O)cc1)S(C)(=O)=O ZINC000919026545 1072856304 /nfs/dbraw/zinc/85/63/04/1072856304.db2.gz CVZPRPMOPHBODR-UHFFFAOYSA-N 0 0 439.490 -0.110 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC000919027797 1072856142 /nfs/dbraw/zinc/85/61/42/1072856142.db2.gz OZRJYLKRIMYOHU-UHFFFAOYSA-N 0 0 447.535 -0.043 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N(C)Cc2cnn(C)c2)cc1OC ZINC000919028874 1072856007 /nfs/dbraw/zinc/85/60/07/1072856007.db2.gz AZRVBVMBHUZKTK-UHFFFAOYSA-N 0 0 440.478 -0.083 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc1OC ZINC000919029319 1072856175 /nfs/dbraw/zinc/85/61/75/1072856175.db2.gz KDVZJUTXSGRCAS-LLVKDONJSA-N 0 0 431.467 -0.882 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc1OC ZINC000919029320 1072856062 /nfs/dbraw/zinc/85/60/62/1072856062.db2.gz KDVZJUTXSGRCAS-NSHDSACASA-N 0 0 431.467 -0.882 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(Cl)c(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000919034688 1072856564 /nfs/dbraw/zinc/85/65/64/1072856564.db2.gz YNWYBYMOOSJQNR-UHFFFAOYSA-N 0 0 441.915 -0.458 20 0 IBADRN CCn1c2ccccc2n(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1=O ZINC000919034983 1072856549 /nfs/dbraw/zinc/85/65/49/1072856549.db2.gz KYPZCRMIZZFVHF-UHFFFAOYSA-N 0 0 426.495 -0.236 20 0 IBADRN CN(CCCNC(=O)COC(=O)CNS(=O)(=O)c1cccc(F)c1)S(C)(=O)=O ZINC000919039254 1072856739 /nfs/dbraw/zinc/85/67/39/1072856739.db2.gz GTONPTRXQSFQKQ-UHFFFAOYSA-N 0 0 439.487 -0.955 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000919039954 1072856509 /nfs/dbraw/zinc/85/65/09/1072856509.db2.gz PUCLQCKZTRHRFG-UHFFFAOYSA-N 0 0 427.454 -0.272 20 0 IBADRN COc1cc(OC)c(CNC(=O)COC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)c(OC)c1 ZINC000919042412 1072855897 /nfs/dbraw/zinc/85/58/97/1072855897.db2.gz NKOARQTXBGYQIS-SREVYHEPSA-N 0 0 447.444 -0.017 20 0 IBADRN COc1cc(OC)c(CNC(=O)COC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)c(OC)c1 ZINC000919042413 1072856286 /nfs/dbraw/zinc/85/62/86/1072856286.db2.gz NKOARQTXBGYQIS-VOTSOKGWSA-N 0 0 447.444 -0.017 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)c(=O)n(C)c1=O ZINC000919042493 1072856160 /nfs/dbraw/zinc/85/61/60/1072856160.db2.gz QWWUZKJPJRGKGQ-UTCJRWHESA-N 0 0 431.401 -0.436 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)c(=O)n(C)c1=O ZINC000919042494 1072856044 /nfs/dbraw/zinc/85/60/44/1072856044.db2.gz QWWUZKJPJRGKGQ-ZZXKWVIFSA-N 0 0 431.401 -0.436 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1sccc1S(N)(=O)=O)S(C)(=O)=O ZINC000919043360 1072856631 /nfs/dbraw/zinc/85/66/31/1072856631.db2.gz SPLUBWCCITXLRJ-UHFFFAOYSA-N 0 0 441.553 -0.271 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)c(=O)n(C)c1=O ZINC000919043421 1072856531 /nfs/dbraw/zinc/85/65/31/1072856531.db2.gz XRGNKOZSMVZBCE-RMKNXTFCSA-N 0 0 440.456 -0.151 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)c(=O)n(C)c1=O ZINC000919043422 1072856453 /nfs/dbraw/zinc/85/64/53/1072856453.db2.gz XRGNKOZSMVZBCE-TWGQIWQCSA-N 0 0 440.456 -0.151 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)NCc1cccc(C(=O)NC3CC3)c1)c2=O ZINC000919045372 1072856753 /nfs/dbraw/zinc/85/67/53/1072856753.db2.gz FPFKEANDGLNOCQ-UHFFFAOYSA-N 0 0 438.444 -0.118 20 0 IBADRN Cn1cc(/C=C\C(=O)OCc2nnc3n2c2ccsc2c(=O)n3C)c(=O)n(C)c1=O ZINC000919045968 1072857027 /nfs/dbraw/zinc/85/70/27/1072857027.db2.gz JVMDEDUOKLALLQ-PLNGDYQASA-N 0 0 428.430 -0.203 20 0 IBADRN Cn1cc(/C=C/C(=O)OCc2nnc3n2c2ccsc2c(=O)n3C)c(=O)n(C)c1=O ZINC000919045969 1072857334 /nfs/dbraw/zinc/85/73/34/1072857334.db2.gz JVMDEDUOKLALLQ-SNAWJCMRSA-N 0 0 428.430 -0.203 20 0 IBADRN Cn1ncc2c1ncn(CC(=O)OCC(=O)NCCOc1ccc3c(c1)OCO3)c2=O ZINC000919046122 1072857315 /nfs/dbraw/zinc/85/73/15/1072857315.db2.gz LYLZWWVNNNZMJL-UHFFFAOYSA-N 0 0 429.389 -0.403 20 0 IBADRN C[C@H]1Oc2ccccc2N(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C1=O ZINC000919048356 1072857259 /nfs/dbraw/zinc/85/72/59/1072857259.db2.gz IRKKWEZUWAVCPI-CYBMUJFWSA-N 0 0 427.479 -0.259 20 0 IBADRN C[C@@H]1Oc2ccccc2N(CC(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)C1=O ZINC000919048357 1072857339 /nfs/dbraw/zinc/85/73/39/1072857339.db2.gz IRKKWEZUWAVCPI-ZDUSSCGKSA-N 0 0 427.479 -0.259 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCC[C@H](NC(C)=O)C2)cc1 ZINC000919048913 1072857273 /nfs/dbraw/zinc/85/72/73/1072857273.db2.gz YJPWXBSKTJZMTJ-INIZCTEOSA-N 0 0 441.506 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCC[C@@H](NC(C)=O)C2)cc1 ZINC000919048914 1072857326 /nfs/dbraw/zinc/85/73/26/1072857326.db2.gz YJPWXBSKTJZMTJ-MRXNPFEDSA-N 0 0 441.506 -0.105 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC000919049189 1072856974 /nfs/dbraw/zinc/85/69/74/1072856974.db2.gz WFNLAXURXVURHN-UHFFFAOYSA-N 0 0 435.524 -0.542 20 0 IBADRN CN(CCCNC(=O)COC(=O)COc1ccc2c(c1)CCC(=O)N2)S(C)(=O)=O ZINC000919051381 1072857673 /nfs/dbraw/zinc/85/76/73/1072857673.db2.gz CDQKTNHULRPCSG-UHFFFAOYSA-N 0 0 427.479 -0.109 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cccc(CN2C(=O)CNC2=O)c1)S(C)(=O)=O ZINC000919053403 1072857658 /nfs/dbraw/zinc/85/76/58/1072857658.db2.gz KYVYNKJNNMCAKD-UHFFFAOYSA-N 0 0 440.478 -0.707 20 0 IBADRN O=C(COC(=O)c1cccc(CN2C(=O)CNC2=O)c1)N1CCN(C(=O)C2CC2)CC1 ZINC000919053619 1072857773 /nfs/dbraw/zinc/85/77/73/1072857773.db2.gz VKTOOUADBLWMGB-UHFFFAOYSA-N 0 0 428.445 -0.024 20 0 IBADRN CN(CC(F)(F)F)C(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000919058314 1072857575 /nfs/dbraw/zinc/85/75/75/1072857575.db2.gz FVISNZZYCWITGY-UHFFFAOYSA-N 0 0 437.396 -0.015 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC000919058698 1072857823 /nfs/dbraw/zinc/85/78/23/1072857823.db2.gz IMRWSSSMGFGQRI-UHFFFAOYSA-N 0 0 449.489 -0.644 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)NCCCn1cccn1 ZINC000919059472 1072857645 /nfs/dbraw/zinc/85/76/45/1072857645.db2.gz NUKXFNAAJUORQX-UHFFFAOYSA-N 0 0 449.489 -0.633 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)CC2=O)cc1 ZINC000919063068 1072859201 /nfs/dbraw/zinc/85/92/01/1072859201.db2.gz PIBIHLAORDGAAO-AWEZNQCLSA-N 0 0 441.506 -0.011 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)CC2=O)cc1 ZINC000919063069 1072859025 /nfs/dbraw/zinc/85/90/25/1072859025.db2.gz PIBIHLAORDGAAO-CQSZACIVSA-N 0 0 441.506 -0.011 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)CC1 ZINC000919063633 1072859273 /nfs/dbraw/zinc/85/92/73/1072859273.db2.gz BUYCKHFHQODWGX-UHFFFAOYSA-N 0 0 438.506 -0.112 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000919063634 1072859069 /nfs/dbraw/zinc/85/90/69/1072859069.db2.gz BVELYPIWEIZBNM-UHFFFAOYSA-N 0 0 433.508 -0.835 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)CC1 ZINC000919063756 1072859064 /nfs/dbraw/zinc/85/90/64/1072859064.db2.gz DKYNDINRNFOALP-UHFFFAOYSA-N 0 0 438.506 -0.346 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(COC(=O)C3CCN(S(N)(=O)=O)CC3)n12 ZINC000919063800 1072859468 /nfs/dbraw/zinc/85/94/68/1072859468.db2.gz GLJKJUUHHZUYAP-UHFFFAOYSA-N 0 0 434.478 -0.152 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000919063809 1072859768 /nfs/dbraw/zinc/85/97/68/1072859768.db2.gz GRGCJMULPGHXNZ-UHFFFAOYSA-N 0 0 428.467 -0.060 20 0 IBADRN Cn1c2nnc(COC(=O)C3CCN(S(N)(=O)=O)CC3)n2c2ccsc2c1=O ZINC000919063842 1072859507 /nfs/dbraw/zinc/85/95/07/1072859507.db2.gz HTVZSLIOSZDOPI-UHFFFAOYSA-N 0 0 426.480 -0.399 20 0 IBADRN COCCOc1cccc(CNC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)c1 ZINC000919063933 1072859527 /nfs/dbraw/zinc/85/95/27/1072859527.db2.gz IJSWYCZIFSPATK-UHFFFAOYSA-N 0 0 429.495 -0.213 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC000919064280 1072859546 /nfs/dbraw/zinc/85/95/46/1072859546.db2.gz NWGVKPGBPVDEEU-UHFFFAOYSA-N 0 0 446.570 -0.154 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000919064323 1072859592 /nfs/dbraw/zinc/85/95/92/1072859592.db2.gz QCWWLVZFTFLRHN-UHFFFAOYSA-N 0 0 444.495 -0.976 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(Cc3ccsc3)CC2)CC1 ZINC000919064325 1072859608 /nfs/dbraw/zinc/85/96/08/1072859608.db2.gz QEDYHADAOQXFEN-UHFFFAOYSA-N 0 0 430.552 -0.149 20 0 IBADRN COCCOc1ccc(CNC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)cc1 ZINC000919064509 1072859668 /nfs/dbraw/zinc/85/96/68/1072859668.db2.gz UUWNIMNCJRJNFS-UHFFFAOYSA-N 0 0 429.495 -0.213 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)CC1 ZINC000919064577 1072859698 /nfs/dbraw/zinc/85/96/98/1072859698.db2.gz WDHZPAPEKIQKLH-UHFFFAOYSA-N 0 0 429.451 -0.631 20 0 IBADRN COc1cc(OC)c(CNC(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)c(OC)c1 ZINC000919064742 1072859634 /nfs/dbraw/zinc/85/96/34/1072859634.db2.gz WLSCBAZUWIFOTG-UHFFFAOYSA-N 0 0 445.494 -0.213 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)NC3CCC4(CC3)OCCO4)nc2n(C)c1=O ZINC000919064916 1072859793 /nfs/dbraw/zinc/85/97/93/1072859793.db2.gz GUXRCQKBFQKONX-UHFFFAOYSA-N 0 0 432.433 -0.409 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)OCC(=O)N2CCC[C@H](NC(C)=O)C2)c1 ZINC000919065144 1072859682 /nfs/dbraw/zinc/85/96/82/1072859682.db2.gz CLNDHWYEFOIYAF-INIZCTEOSA-N 0 0 441.506 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)OCC(=O)N2CCC[C@@H](NC(C)=O)C2)c1 ZINC000919065145 1072859780 /nfs/dbraw/zinc/85/97/80/1072859780.db2.gz CLNDHWYEFOIYAF-MRXNPFEDSA-N 0 0 441.506 -0.105 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)c1ccccc1 ZINC000919065762 1072859738 /nfs/dbraw/zinc/85/97/38/1072859738.db2.gz UCNZNRWYMFKJTF-INIZCTEOSA-N 0 0 439.428 -0.608 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)c1ccccc1 ZINC000919065809 1072859488 /nfs/dbraw/zinc/85/94/88/1072859488.db2.gz UCNZNRWYMFKJTF-MRXNPFEDSA-N 0 0 439.428 -0.608 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(=O)N1CCCCC1 ZINC000919065923 1072859575 /nfs/dbraw/zinc/85/95/75/1072859575.db2.gz VHPQGCXUMLRAJP-GFCCVEGCSA-N 0 0 431.449 -0.694 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1)C(=O)N1CCCCC1 ZINC000919066005 1072859652 /nfs/dbraw/zinc/85/96/52/1072859652.db2.gz VHPQGCXUMLRAJP-LBPRGKRZSA-N 0 0 431.449 -0.694 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)NCCCN3CCCCCC3=O)nc2n(C)c1=O ZINC000919066051 1072860100 /nfs/dbraw/zinc/86/01/00/1072860100.db2.gz WHBAPCAOXQHKIB-UHFFFAOYSA-N 0 0 445.476 -0.302 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)OCC(=O)NCCN3CCc4ccccc43)nc2n(C)c1=O ZINC000919067689 1072858127 /nfs/dbraw/zinc/85/81/27/1072858127.db2.gz LHDBHASGWLWDQT-UHFFFAOYSA-N 0 0 437.456 -0.032 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)c2ccc3c(=O)n(C)c(=O)n(C)c3n2)CCCCC1 ZINC000919069794 1072858109 /nfs/dbraw/zinc/85/81/09/1072858109.db2.gz SULRBPNSBALKNM-UHFFFAOYSA-N 0 0 432.433 -0.219 20 0 IBADRN Cn1c2nc(C(=O)OCC(=O)c3ccc(S(N)(=O)=O)cc3)ccc2c(=O)n(C)c1=O ZINC000919070290 1072858292 /nfs/dbraw/zinc/85/82/92/1072858292.db2.gz XZRNJIFTQXZYAM-UHFFFAOYSA-N 0 0 432.414 -0.681 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCCCC1 ZINC000919070647 1072858174 /nfs/dbraw/zinc/85/81/74/1072858174.db2.gz DXYFUIIXMSIHJE-KBPBESRZSA-N 0 0 444.506 -0.443 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCCCC1 ZINC000919070664 1072858215 /nfs/dbraw/zinc/85/82/15/1072858215.db2.gz DXYFUIIXMSIHJE-KGLIPLIRSA-N 0 0 444.506 -0.443 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCCCC1 ZINC000919070671 1072858278 /nfs/dbraw/zinc/85/82/78/1072858278.db2.gz DXYFUIIXMSIHJE-UONOGXRCSA-N 0 0 444.506 -0.443 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCCCC1 ZINC000919070678 1072858203 /nfs/dbraw/zinc/85/82/03/1072858203.db2.gz DXYFUIIXMSIHJE-ZIAGYGMSSA-N 0 0 444.506 -0.443 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC[C@H]1Cc2ccccc2O1 ZINC000919071504 1072858311 /nfs/dbraw/zinc/85/83/11/1072858311.db2.gz IFFJRLJBZHAISW-BZUAXINKSA-N 0 0 436.486 -0.315 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC[C@@H]1Cc2ccccc2O1 ZINC000919071507 1072858029 /nfs/dbraw/zinc/85/80/29/1072858029.db2.gz IFFJRLJBZHAISW-OAGGEKHMSA-N 0 0 436.486 -0.315 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC[C@H]1Cc2ccccc2O1 ZINC000919071508 1072858246 /nfs/dbraw/zinc/85/82/46/1072858246.db2.gz IFFJRLJBZHAISW-OWCLPIDISA-N 0 0 436.486 -0.315 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC[C@@H]1Cc2ccccc2O1 ZINC000919071509 1072858013 /nfs/dbraw/zinc/85/80/13/1072858013.db2.gz IFFJRLJBZHAISW-PMPSAXMXSA-N 0 0 436.486 -0.315 20 0 IBADRN CS(=O)(=O)NCCC(=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000919071563 1072858144 /nfs/dbraw/zinc/85/81/44/1072858144.db2.gz IMOKJNMSGCJOHI-UHFFFAOYSA-N 0 0 425.507 -0.252 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCCOc1cccc(F)c1 ZINC000919072119 1072858188 /nfs/dbraw/zinc/85/81/88/1072858188.db2.gz KAWYITHBXJBILF-DZGCQCFKSA-N 0 0 442.465 -0.101 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCCOc1cccc(F)c1 ZINC000919072149 1072858257 /nfs/dbraw/zinc/85/82/57/1072858257.db2.gz KAWYITHBXJBILF-HIFRSBDPSA-N 0 0 442.465 -0.101 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCCOc1cccc(F)c1 ZINC000919072150 1072858073 /nfs/dbraw/zinc/85/80/73/1072858073.db2.gz KAWYITHBXJBILF-UKRRQHHQSA-N 0 0 442.465 -0.101 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCCOc1cccc(F)c1 ZINC000919072151 1072858092 /nfs/dbraw/zinc/85/80/92/1072858092.db2.gz KAWYITHBXJBILF-ZFWWWQNUSA-N 0 0 442.465 -0.101 20 0 IBADRN O=CN1CCc2cc(C(=O)COC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)ccc21 ZINC000919072573 1072858230 /nfs/dbraw/zinc/85/82/30/1072858230.db2.gz OGQHSWBPPOISRC-CVEARBPZSA-N 0 0 434.470 -0.033 20 0 IBADRN O=CN1CCc2cc(C(=O)COC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)ccc21 ZINC000919072576 1072858049 /nfs/dbraw/zinc/85/80/49/1072858049.db2.gz OGQHSWBPPOISRC-HOTGVXAUSA-N 0 0 434.470 -0.033 20 0 IBADRN O=CN1CCc2cc(C(=O)COC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)ccc21 ZINC000919072578 1072858267 /nfs/dbraw/zinc/85/82/67/1072858267.db2.gz OGQHSWBPPOISRC-HZPDHXFCSA-N 0 0 434.470 -0.033 20 0 IBADRN O=CN1CCc2cc(C(=O)COC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)ccc21 ZINC000919072580 1072858753 /nfs/dbraw/zinc/85/87/53/1072858753.db2.gz OGQHSWBPPOISRC-JKSUJKDBSA-N 0 0 434.470 -0.033 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCCOc1ccc(F)cc1 ZINC000919073022 1072858776 /nfs/dbraw/zinc/85/87/76/1072858776.db2.gz SEFYTKZMFNVKQL-DZGCQCFKSA-N 0 0 442.465 -0.101 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCCOc1ccc(F)cc1 ZINC000919073023 1072858562 /nfs/dbraw/zinc/85/85/62/1072858562.db2.gz SEFYTKZMFNVKQL-HIFRSBDPSA-N 0 0 442.465 -0.101 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCCOc1ccc(F)cc1 ZINC000919073024 1072858765 /nfs/dbraw/zinc/85/87/65/1072858765.db2.gz SEFYTKZMFNVKQL-UKRRQHHQSA-N 0 0 442.465 -0.101 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCCOc1ccc(F)cc1 ZINC000919073025 1072858816 /nfs/dbraw/zinc/85/88/16/1072858816.db2.gz SEFYTKZMFNVKQL-ZFWWWQNUSA-N 0 0 442.465 -0.101 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCCN1CCc2ccccc21 ZINC000919073835 1072858693 /nfs/dbraw/zinc/85/86/93/1072858693.db2.gz XKHTZGUECBSIOQ-DLBZAZTESA-N 0 0 449.529 -0.256 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NCCN1CCc2ccccc21 ZINC000919073836 1072858501 /nfs/dbraw/zinc/85/85/01/1072858501.db2.gz XKHTZGUECBSIOQ-IAGOWNOFSA-N 0 0 449.529 -0.256 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCCN1CCc2ccccc21 ZINC000919073837 1072858737 /nfs/dbraw/zinc/85/87/37/1072858737.db2.gz XKHTZGUECBSIOQ-IRXDYDNUSA-N 0 0 449.529 -0.256 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NCCN1CCc2ccccc21 ZINC000919073838 1072858616 /nfs/dbraw/zinc/85/86/16/1072858616.db2.gz XKHTZGUECBSIOQ-SJORKVTESA-N 0 0 449.529 -0.256 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000919074060 1072858631 /nfs/dbraw/zinc/85/86/31/1072858631.db2.gz YDDONYBKOYGERR-FXUDXRNXSA-N 0 0 428.507 -0.265 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000919074063 1072858587 /nfs/dbraw/zinc/85/85/87/1072858587.db2.gz YDDONYBKOYGERR-LVQVYYBASA-N 0 0 428.507 -0.265 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000919074064 1072858678 /nfs/dbraw/zinc/85/86/78/1072858678.db2.gz YDDONYBKOYGERR-XUWVNRHRSA-N 0 0 428.507 -0.265 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000919074065 1072858527 /nfs/dbraw/zinc/85/85/27/1072858527.db2.gz YDDONYBKOYGERR-YHUYYLMFSA-N 0 0 428.507 -0.265 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)NCCCn1cccn1 ZINC000919076343 1072858797 /nfs/dbraw/zinc/85/87/97/1072858797.db2.gz HWPLZAUVPHKULN-UHFFFAOYSA-N 0 0 449.489 -0.633 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000919076878 1072858721 /nfs/dbraw/zinc/85/87/21/1072858721.db2.gz PMDGOYMZCUJDHV-UHFFFAOYSA-N 0 0 449.489 -0.644 20 0 IBADRN C[C@H](NC(=O)COC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N1CCCCC1 ZINC000919077463 1072859160 /nfs/dbraw/zinc/85/91/60/1072859160.db2.gz HIUGAXXKKJORCB-AWEZNQCLSA-N 0 0 426.495 -0.190 20 0 IBADRN C[C@@H](NC(=O)COC(=O)CCNS(=O)(=O)c1cccnc1)C(=O)N1CCCCC1 ZINC000919077464 1072859085 /nfs/dbraw/zinc/85/90/85/1072859085.db2.gz HIUGAXXKKJORCB-CQSZACIVSA-N 0 0 426.495 -0.190 20 0 IBADRN CN(CC(F)(F)F)C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC000919078130 1072859252 /nfs/dbraw/zinc/85/92/52/1072859252.db2.gz YNFFSXKYDFREHF-UHFFFAOYSA-N 0 0 437.396 -0.015 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)CCNS(=O)(=O)c1cccnc1)c1ccccc1 ZINC000919079480 1072858979 /nfs/dbraw/zinc/85/89/79/1072858979.db2.gz RRQKNOHUJSRGNS-GOSISDBHSA-N 0 0 434.474 -0.103 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)CCNS(=O)(=O)c1cccnc1)c1ccccc1 ZINC000919079486 1072859138 /nfs/dbraw/zinc/85/91/38/1072859138.db2.gz RRQKNOHUJSRGNS-SFHVURJKSA-N 0 0 434.474 -0.103 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)COC(=O)CCNS(=O)(=O)c2cccnc2)cc1 ZINC000919081857 1072858996 /nfs/dbraw/zinc/85/89/96/1072858996.db2.gz YRIQKGHSHDCMPW-UHFFFAOYSA-N 0 0 427.460 -0.177 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1)S(C)(=O)=O ZINC000919082965 1072859214 /nfs/dbraw/zinc/85/92/14/1072859214.db2.gz HNZJREHBJUNBEK-UHFFFAOYSA-N 0 0 425.507 -0.300 20 0 IBADRN Cc1cc(N2CCN(C(=O)COC(=O)CN(C)S(C)(=O)=O)CC2)nc(C(C)C)n1 ZINC000919082996 1072859037 /nfs/dbraw/zinc/85/90/37/1072859037.db2.gz JBPVNZRJKYOMSJ-UHFFFAOYSA-N 0 0 427.527 -0.008 20 0 IBADRN CN(CC(=O)OCc1ccccc1COC(=O)CN(C)S(C)(=O)=O)S(C)(=O)=O ZINC000919083399 1072859240 /nfs/dbraw/zinc/85/92/40/1072859240.db2.gz UZVUPMGZWXHPJX-UHFFFAOYSA-N 0 0 436.508 -0.444 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@H]2CC(=O)N(C)C2)c(N)n(Cc2ccccc2)c1=O ZINC000919084338 1072859263 /nfs/dbraw/zinc/85/92/63/1072859263.db2.gz SCQVTFFKKDJFMS-AWEZNQCLSA-N 0 0 428.445 -0.135 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@@H]2CC(=O)N(C)C2)c(N)n(Cc2ccccc2)c1=O ZINC000919084339 1072859013 /nfs/dbraw/zinc/85/90/13/1072859013.db2.gz SCQVTFFKKDJFMS-CQSZACIVSA-N 0 0 428.445 -0.135 20 0 IBADRN C[C@@H](NC(=O)COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1)C(=O)N(C)C ZINC000919084371 1072859172 /nfs/dbraw/zinc/85/91/72/1072859172.db2.gz DWFFHFNCOPJILV-CYBMUJFWSA-N 0 0 426.495 -0.382 20 0 IBADRN C[C@H](NC(=O)COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1)C(=O)N(C)C ZINC000919084380 1072859120 /nfs/dbraw/zinc/85/91/20/1072859120.db2.gz DWFFHFNCOPJILV-ZDUSSCGKSA-N 0 0 426.495 -0.382 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC000919090438 1072860700 /nfs/dbraw/zinc/86/07/00/1072860700.db2.gz DBPOSLXUXQKPGZ-AWEZNQCLSA-N 0 0 443.478 -0.416 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC000919090478 1072860594 /nfs/dbraw/zinc/86/05/94/1072860594.db2.gz DBPOSLXUXQKPGZ-CQSZACIVSA-N 0 0 443.478 -0.416 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)NCCCn1cccn1 ZINC000919090748 1072860473 /nfs/dbraw/zinc/86/04/73/1072860473.db2.gz FWUSLOHZTVXKPK-UHFFFAOYSA-N 0 0 426.451 -0.140 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCC2(CC1)OCCO2 ZINC000919091163 1072860134 /nfs/dbraw/zinc/86/01/34/1072860134.db2.gz JWQDPMOMIHQTQB-UHFFFAOYSA-N 0 0 444.462 -0.177 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000919092035 1072860159 /nfs/dbraw/zinc/86/01/59/1072860159.db2.gz SHFQUAQQFWTGKB-UHFFFAOYSA-N 0 0 431.467 -0.512 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC000919092327 1072860085 /nfs/dbraw/zinc/86/00/85/1072860085.db2.gz YBXRTXMBWVEDQH-UHFFFAOYSA-N 0 0 426.451 -0.151 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000919095027 1072860115 /nfs/dbraw/zinc/86/01/15/1072860115.db2.gz JHYAOFGLJWACJC-UHFFFAOYSA-N 0 0 441.506 -0.105 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1cc(Br)ccc1=O)S(C)(=O)=O ZINC000919095155 1072860036 /nfs/dbraw/zinc/86/00/36/1072860036.db2.gz BOSPHVMQISLYOP-UHFFFAOYSA-N 0 0 438.300 -0.448 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1)C(=O)N(C)C ZINC000919096841 1072860256 /nfs/dbraw/zinc/86/02/56/1072860256.db2.gz VAWRKIDADJKUQM-CYBMUJFWSA-N 0 0 427.479 -0.543 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1)C(=O)N(C)C ZINC000919096842 1072860045 /nfs/dbraw/zinc/86/00/45/1072860045.db2.gz VAWRKIDADJKUQM-ZDUSSCGKSA-N 0 0 427.479 -0.543 20 0 IBADRN CN(CCCNC(=O)COC(=O)CN(C)S(=O)(=O)c1ccccc1)S(C)(=O)=O ZINC000919097636 1072859982 /nfs/dbraw/zinc/85/99/82/1072859982.db2.gz IYHNAFSNLNVMJI-UHFFFAOYSA-N 0 0 435.524 -0.752 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C)C(=O)N(C)C ZINC000919097832 1072859994 /nfs/dbraw/zinc/85/99/94/1072859994.db2.gz SKEJRMOVTFEOLA-CYBMUJFWSA-N 0 0 428.511 -0.051 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C)C(=O)N(C)C ZINC000919097833 1072860205 /nfs/dbraw/zinc/86/02/05/1072860205.db2.gz SKEJRMOVTFEOLA-ZDUSSCGKSA-N 0 0 428.511 -0.051 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(=O)n(-c2ccc(F)cc2)n1)S(C)(=O)=O ZINC000919098135 1072860005 /nfs/dbraw/zinc/86/00/05/1072860005.db2.gz SYAFQTSXZGKYCO-UHFFFAOYSA-N 0 0 440.453 -0.074 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000919098628 1072860580 /nfs/dbraw/zinc/86/05/80/1072860580.db2.gz UTYDUZAUXVLYIR-LLVKDONJSA-N 0 0 441.553 -0.644 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000919098629 1072860682 /nfs/dbraw/zinc/86/06/82/1072860682.db2.gz UTYDUZAUXVLYIR-NSHDSACASA-N 0 0 441.553 -0.644 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)o1 ZINC000919099241 1072860566 /nfs/dbraw/zinc/86/05/66/1072860566.db2.gz BMMYHDKYBSWKPI-UHFFFAOYSA-N 0 0 425.485 -0.916 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)o1 ZINC000919101172 1072860540 /nfs/dbraw/zinc/86/05/40/1072860540.db2.gz VPUQBDLYPLVJJR-UHFFFAOYSA-N 0 0 439.446 -0.133 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)c1ccc(S(N)(=O)=O)o1)S(C)(=O)=O ZINC000919101483 1072860491 /nfs/dbraw/zinc/86/04/91/1072860491.db2.gz MANSXARJEZUBRS-UHFFFAOYSA-N 0 0 425.485 -0.740 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)S(C)(=O)=O ZINC000919101631 1072860655 /nfs/dbraw/zinc/86/06/55/1072860655.db2.gz AJVXPFLAHLWOJN-UHFFFAOYSA-N 0 0 426.451 -0.957 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)c1=O ZINC000919101905 1072860549 /nfs/dbraw/zinc/86/05/49/1072860549.db2.gz DGWVTIWXZPFVPA-UHFFFAOYSA-N 0 0 442.472 -0.631 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N2CCC(NC(=O)c3ccccc3)CC2)c1=O ZINC000919102137 1072860511 /nfs/dbraw/zinc/86/05/11/1072860511.db2.gz FIHDKYIQSHKGEC-UHFFFAOYSA-N 0 0 428.445 -0.489 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)N2CCC(C(=O)N3CCCCCC3)CC2)c1=O ZINC000919102148 1072860610 /nfs/dbraw/zinc/86/06/10/1072860610.db2.gz GBVDOYFPHLJVRI-UHFFFAOYSA-N 0 0 434.493 -0.269 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)NCc2ccccc2CN2CCCC2=O)c1=O ZINC000919102191 1072860718 /nfs/dbraw/zinc/86/07/18/1072860718.db2.gz HRCWIJGAJSVXJO-UHFFFAOYSA-N 0 0 428.445 -0.471 20 0 IBADRN Cc1cc(N2CCN(C(=O)COC(=O)Cn3ccc(=O)n(C)c3=O)CC2)nc(C(C)C)n1 ZINC000919102226 1072860625 /nfs/dbraw/zinc/86/06/25/1072860625.db2.gz IXBMILCHLWDHOU-UHFFFAOYSA-N 0 0 444.492 -0.339 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)Cn2c(=O)[nH]c3ccccc3c2=O)cc1 ZINC000919102651 1072860767 /nfs/dbraw/zinc/86/07/67/1072860767.db2.gz YGHGAGPYNBHXAZ-UHFFFAOYSA-N 0 0 440.412 -0.174 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCCO3)c1=O ZINC000919102797 1072860748 /nfs/dbraw/zinc/86/07/48/1072860748.db2.gz NABGRUHAHSYVKM-UHFFFAOYSA-N 0 0 432.389 -0.400 20 0 IBADRN Cn1c(=O)ccn(CC(=O)OCC(=O)NC2CCN(C(=O)C3CCCCC3)CC2)c1=O ZINC000919103148 1072861284 /nfs/dbraw/zinc/86/12/84/1072861284.db2.gz RQGFZGCTCRTNOO-UHFFFAOYSA-N 0 0 434.493 -0.222 20 0 IBADRN Cc1ccccc1C(=O)NC1CCN(C(=O)COC(=O)Cn2ccc(=O)n(C)c2=O)CC1 ZINC000919103161 1072861047 /nfs/dbraw/zinc/86/10/47/1072861047.db2.gz SHLKXDMRHUOWCD-UHFFFAOYSA-N 0 0 442.472 -0.180 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)COC(=O)Cn3ccc(=O)n(C)c3=O)CC2)C1 ZINC000919103451 1072861300 /nfs/dbraw/zinc/86/13/00/1072861300.db2.gz XHDGSZRHEJYQQI-HNNXBMFYSA-N 0 0 434.493 -0.413 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)COC(=O)Cn3ccc(=O)n(C)c3=O)CC2)C1 ZINC000919103452 1072860986 /nfs/dbraw/zinc/86/09/86/1072860986.db2.gz XHDGSZRHEJYQQI-OAHLLOKOSA-N 0 0 434.493 -0.413 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)o1 ZINC000919103784 1072861029 /nfs/dbraw/zinc/86/10/29/1072861029.db2.gz GYDKRMZXFTXULC-UHFFFAOYSA-N 0 0 425.419 -0.475 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cc(Cl)ccc1-n1cnnn1)S(C)(=O)=O ZINC000919104556 1072861246 /nfs/dbraw/zinc/86/12/46/1072861246.db2.gz HVOKNTJNJRMATD-UHFFFAOYSA-N 0 0 430.874 -0.130 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)c1 ZINC000919105097 1072861165 /nfs/dbraw/zinc/86/11/65/1072861165.db2.gz BUOCTNCZXSBKEP-UHFFFAOYSA-N 0 0 429.476 -0.201 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)c1 ZINC000919106170 1072861121 /nfs/dbraw/zinc/86/11/21/1072861121.db2.gz YVMBJVASHNOJPM-UHFFFAOYSA-N 0 0 438.462 -0.398 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)o1 ZINC000919106477 1072861261 /nfs/dbraw/zinc/86/12/61/1072861261.db2.gz DQTMWQSYLDJKHC-UHFFFAOYSA-N 0 0 439.512 -0.479 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)NCCCN(C(C)C)S(C)(=O)=O)c1 ZINC000919106638 1072861081 /nfs/dbraw/zinc/86/10/81/1072861081.db2.gz ILHXYSWARBXXMK-UHFFFAOYSA-N 0 0 438.528 -0.744 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CCCN1C(=O)c1ccccc1O)S(C)(=O)=O ZINC000919108808 1072861556 /nfs/dbraw/zinc/86/15/56/1072861556.db2.gz KSHKERSWGIFIFL-HNNXBMFYSA-N 0 0 441.506 -0.062 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CCCN1C(=O)c1ccccc1O)S(C)(=O)=O ZINC000919108809 1072861736 /nfs/dbraw/zinc/86/17/36/1072861736.db2.gz KSHKERSWGIFIFL-OAHLLOKOSA-N 0 0 441.506 -0.062 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)CN2CCCNC2=O)c(N)n(Cc2ccccc2)c1=O ZINC000919109259 1072861782 /nfs/dbraw/zinc/86/17/82/1072861782.db2.gz NMBPFYGBBITRGR-UHFFFAOYSA-N 0 0 443.460 -0.198 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(Cl)nn1 ZINC000919115374 1072861620 /nfs/dbraw/zinc/86/16/20/1072861620.db2.gz XPORPWUMDNWSNW-CYBMUJFWSA-N 0 0 445.929 -0.559 20 0 IBADRN CN(CC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccc(Cl)nn1 ZINC000919115375 1072861671 /nfs/dbraw/zinc/86/16/71/1072861671.db2.gz XPORPWUMDNWSNW-ZDUSSCGKSA-N 0 0 445.929 -0.559 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1ccc(Br)c(S(N)(=O)=O)c1)C(=O)N(C)C ZINC000919115533 1072861594 /nfs/dbraw/zinc/86/15/94/1072861594.db2.gz LNKKXOMYEBXSDK-MRVPVSSYSA-N 0 0 436.284 -0.154 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1ccc(Br)c(S(N)(=O)=O)c1)C(=O)N(C)C ZINC000919115536 1072861822 /nfs/dbraw/zinc/86/18/22/1072861822.db2.gz LNKKXOMYEBXSDK-QMMMGPOBSA-N 0 0 436.284 -0.154 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)COC(=O)c2ccc(F)c(S(N)(=O)=O)c2)cc1 ZINC000919122722 1072864351 /nfs/dbraw/zinc/86/43/51/1072864351.db2.gz JSFNMXDFOKLIKH-UHFFFAOYSA-N 0 0 439.421 -0.190 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(F)c(S(N)(=O)=O)c1)S(C)(=O)=O ZINC000919123094 1072864458 /nfs/dbraw/zinc/86/44/58/1072864458.db2.gz XIVUMEAZPAYEIU-UHFFFAOYSA-N 0 0 425.460 -0.972 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N(CCC(N)=O)c2ccc(F)cc2)cn1 ZINC000919128149 1072864329 /nfs/dbraw/zinc/86/43/29/1072864329.db2.gz ABTPDERBQTVXIM-UHFFFAOYSA-N 0 0 441.441 -0.711 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccccc2C(=O)NC2CC2)cn1 ZINC000919128236 1072864342 /nfs/dbraw/zinc/86/43/42/1072864342.db2.gz DCROWOAMSSWUTN-UHFFFAOYSA-N 0 0 435.462 -0.228 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(Cc3cccs3)CC2)cn1 ZINC000919128259 1072864967 /nfs/dbraw/zinc/86/49/67/1072864967.db2.gz FIYXZQPFUVFUSF-UHFFFAOYSA-N 0 0 441.535 -0.353 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000919128654 1072864949 /nfs/dbraw/zinc/86/49/49/1072864949.db2.gz HNSOUPALFGMRTM-GFCCVEGCSA-N 0 0 438.462 -0.267 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000919128657 1072864904 /nfs/dbraw/zinc/86/49/04/1072864904.db2.gz HNSOUPALFGMRTM-LBPRGKRZSA-N 0 0 438.462 -0.267 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc(S(C)(=O)=O)cc2)cn1 ZINC000919128837 1072864839 /nfs/dbraw/zinc/86/48/39/1072864839.db2.gz KLOSDVHHQHJPKL-UHFFFAOYSA-N 0 0 430.464 -0.716 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cccc(S(C)(=O)=O)c2)cn1 ZINC000919128851 1072864990 /nfs/dbraw/zinc/86/49/90/1072864990.db2.gz KXCGHPWKLUNRGA-UHFFFAOYSA-N 0 0 430.464 -0.716 20 0 IBADRN COc1ccc(NC(=O)NC(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000919128939 1072864919 /nfs/dbraw/zinc/86/49/19/1072864919.db2.gz MONJWAJLAPCWJM-UHFFFAOYSA-N 0 0 425.423 -0.402 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(c3ccccc3F)CC2)cn1 ZINC000919128945 1072864889 /nfs/dbraw/zinc/86/48/89/1072864889.db2.gz MYPNNJSGCGUTHC-UHFFFAOYSA-N 0 0 439.469 -0.271 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccccc2SCC(N)=O)cn1 ZINC000919129404 1072865000 /nfs/dbraw/zinc/86/50/00/1072865000.db2.gz QSRQLCOGOOSBAR-UHFFFAOYSA-N 0 0 441.491 -0.542 20 0 IBADRN CCn1c2nnc(COC(=O)CNS(=O)(=O)c3cnn(C)c3)n2c2ccccc2c1=O ZINC000919129582 1072864958 /nfs/dbraw/zinc/86/49/58/1072864958.db2.gz SCYHVOPHABKHEB-UHFFFAOYSA-N 0 0 445.461 -0.181 20 0 IBADRN CN(c1ccc(C(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1)S(C)(=O)=O ZINC000919129594 1072864866 /nfs/dbraw/zinc/86/48/66/1072864866.db2.gz SVUOOQBNQVQMNR-UHFFFAOYSA-N 0 0 444.491 -0.480 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)COC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000919129596 1072864942 /nfs/dbraw/zinc/86/49/42/1072864942.db2.gz SYHJHJNXIJGOSU-UHFFFAOYSA-N 0 0 437.478 -0.441 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(c3ccc(F)cc3)CC2)cn1 ZINC000919129838 1072864781 /nfs/dbraw/zinc/86/47/81/1072864781.db2.gz UWXKPTQTBRNIDV-UHFFFAOYSA-N 0 0 439.469 -0.271 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCc2nnc3n2c2ccccc2c(=O)n3C)cn1 ZINC000919129854 1072864764 /nfs/dbraw/zinc/86/47/64/1072864764.db2.gz WDFFAHNPZPTCPI-UHFFFAOYSA-N 0 0 431.434 -0.664 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCO3)cn1 ZINC000919130056 1072864878 /nfs/dbraw/zinc/86/48/78/1072864878.db2.gz YWHXJRMAYTUCOJ-UHFFFAOYSA-N 0 0 439.406 -0.681 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2cccc(C(=O)NC3CC3)c2)cn1 ZINC000919130107 1072864932 /nfs/dbraw/zinc/86/49/32/1072864932.db2.gz ZIHSEIUUNTZMOW-UHFFFAOYSA-N 0 0 435.462 -0.228 20 0 IBADRN COc1cc(NC(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)cc(OC)c1OC ZINC000919130183 1072864798 /nfs/dbraw/zinc/86/47/98/1072864798.db2.gz GOGINSXGINMVJF-UHFFFAOYSA-N 0 0 442.450 -0.094 20 0 IBADRN COc1ccc(CN(C)C(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1OC ZINC000919130203 1072864981 /nfs/dbraw/zinc/86/49/81/1072864981.db2.gz IGJQGZWKCOKBPF-UHFFFAOYSA-N 0 0 440.478 -0.083 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000919130330 1072864812 /nfs/dbraw/zinc/86/48/12/1072864812.db2.gz UNEMERUQBMXOHD-KRWDZBQOSA-N 0 0 437.541 -0.310 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CCCN(C(=O)N3CCCC3)C2)CC1 ZINC000919130332 1072865412 /nfs/dbraw/zinc/86/54/12/1072865412.db2.gz UNEMERUQBMXOHD-QGZVFWFLSA-N 0 0 437.541 -0.310 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc(N3CCOCC3)cc2)cn1 ZINC000919130596 1072865176 /nfs/dbraw/zinc/86/51/76/1072865176.db2.gz KNEWIFYAAFKSAB-UHFFFAOYSA-N 0 0 437.478 -0.283 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2sc3c(c2C(N)=O)CCC3)cn1 ZINC000919130691 1072865386 /nfs/dbraw/zinc/86/53/86/1072865386.db2.gz PHQHAHAGJRGKMG-UHFFFAOYSA-N 0 0 441.491 -0.471 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000919130981 1072865402 /nfs/dbraw/zinc/86/54/02/1072865402.db2.gz UGCDYRPIPVPYJB-LLVKDONJSA-N 0 0 444.491 -0.116 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000919130982 1072865336 /nfs/dbraw/zinc/86/53/36/1072865336.db2.gz UGCDYRPIPVPYJB-NSHDSACASA-N 0 0 444.491 -0.116 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000919131115 1072865364 /nfs/dbraw/zinc/86/53/64/1072865364.db2.gz XPDTVNTXICEKQH-GFCCVEGCSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000919131116 1072865259 /nfs/dbraw/zinc/86/52/59/1072865259.db2.gz XPDTVNTXICEKQH-LBPRGKRZSA-N 0 0 430.483 -0.568 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NCCCN2CCCCCC2=O)cn1 ZINC000919131303 1072865244 /nfs/dbraw/zinc/86/52/44/1072865244.db2.gz CXJDMEVBNFWUAT-UHFFFAOYSA-N 0 0 429.499 -0.850 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)C1 ZINC000919131317 1072865228 /nfs/dbraw/zinc/86/52/28/1072865228.db2.gz DJHHGAPRXRBSGD-CHWSQXEVSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)C1 ZINC000919131319 1072865295 /nfs/dbraw/zinc/86/52/95/1072865295.db2.gz DJHHGAPRXRBSGD-OLZOCXBDSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)C1 ZINC000919131320 1072865350 /nfs/dbraw/zinc/86/53/50/1072865350.db2.gz DJHHGAPRXRBSGD-QWHCGFSZSA-N 0 0 430.483 -0.568 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2cnn(C)c2)C1 ZINC000919131322 1072865433 /nfs/dbraw/zinc/86/54/33/1072865433.db2.gz DJHHGAPRXRBSGD-STQMWFEESA-N 0 0 430.483 -0.568 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@H]1CCCN(C(=O)N2CCCC2)C1)S(C)(=O)=O ZINC000919131446 1072865270 /nfs/dbraw/zinc/86/52/70/1072865270.db2.gz OONCIYYYCHXABW-HNNXBMFYSA-N 0 0 432.543 -0.145 20 0 IBADRN CN(CCCNC(=O)COC(=O)[C@@H]1CCCN(C(=O)N2CCCC2)C1)S(C)(=O)=O ZINC000919131447 1072865213 /nfs/dbraw/zinc/86/52/13/1072865213.db2.gz OONCIYYYCHXABW-OAHLLOKOSA-N 0 0 432.543 -0.145 20 0 IBADRN CN(CCOc1ccccc1F)C(=O)COC(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC000919131627 1072865193 /nfs/dbraw/zinc/86/51/93/1072865193.db2.gz HAKQFCVIKMZTMA-UHFFFAOYSA-N 0 0 428.442 -0.082 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](OC(=O)CNS(=O)(=O)c2cnn(C)c2)C(C)C)CC1 ZINC000919131628 1072865306 /nfs/dbraw/zinc/86/53/06/1072865306.db2.gz HBAFUASTACQMIB-INIZCTEOSA-N 0 0 444.510 -0.322 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](OC(=O)CNS(=O)(=O)c2cnn(C)c2)C(C)C)CC1 ZINC000919131629 1072865377 /nfs/dbraw/zinc/86/53/77/1072865377.db2.gz HBAFUASTACQMIB-MRXNPFEDSA-N 0 0 444.510 -0.322 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000919131646 1072865422 /nfs/dbraw/zinc/86/54/22/1072865422.db2.gz HUANHRSRZFJGHT-LLVKDONJSA-N 0 0 444.491 -0.328 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)Nc1ccccc1S(C)(=O)=O ZINC000919131647 1072865805 /nfs/dbraw/zinc/86/58/05/1072865805.db2.gz HUANHRSRZFJGHT-NSHDSACASA-N 0 0 444.491 -0.328 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@@H]2CCN(c3ccccc3)C2)cn1 ZINC000919131664 1072865765 /nfs/dbraw/zinc/86/57/65/1072865765.db2.gz IRKRVUSJOQEZJJ-HNNXBMFYSA-N 0 0 435.506 -0.116 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NC[C@H]2CCN(c3ccccc3)C2)cn1 ZINC000919131665 1072865636 /nfs/dbraw/zinc/86/56/36/1072865636.db2.gz IRKRVUSJOQEZJJ-OAHLLOKOSA-N 0 0 435.506 -0.116 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)cn1 ZINC000919131671 1072865898 /nfs/dbraw/zinc/86/58/98/1072865898.db2.gz JARXFAWOHAEMOT-UHFFFAOYSA-N 0 0 449.489 -0.315 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NCc2ccccc2Cn2cccn2)cn1 ZINC000919131859 1072861718 /nfs/dbraw/zinc/86/17/18/1072861718.db2.gz AOMJVDPOSPBTEH-UHFFFAOYSA-N 0 0 446.489 -0.197 20 0 IBADRN Cc1ccccc1CN1CCN(C(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000919131896 1072861797 /nfs/dbraw/zinc/86/17/97/1072861797.db2.gz MORMTSGDRUDCJB-UHFFFAOYSA-N 0 0 449.533 -0.106 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCCN2C(=O)c3ccccc3S2(=O)=O)cn1 ZINC000919131951 1072861768 /nfs/dbraw/zinc/86/17/68/1072861768.db2.gz DIDGIXTWMVCZJA-UHFFFAOYSA-N 0 0 428.448 -0.914 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC000919131952 1072861635 /nfs/dbraw/zinc/86/16/35/1072861635.db2.gz DKJXHYUBPZCNIR-INIZCTEOSA-N 0 0 441.506 -0.417 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC000919131953 1072862106 /nfs/dbraw/zinc/86/21/06/1072862106.db2.gz DKJXHYUBPZCNIR-MRXNPFEDSA-N 0 0 441.506 -0.417 20 0 IBADRN CC(=O)NCCc1ccc(C(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)s1 ZINC000919132147 1072862253 /nfs/dbraw/zinc/86/22/53/1072862253.db2.gz HAHXESKVJOXPCD-UHFFFAOYSA-N 0 0 428.492 -0.135 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)cn1 ZINC000919132223 1072862114 /nfs/dbraw/zinc/86/21/14/1072862114.db2.gz RBTKQBLHSQUACM-UHFFFAOYSA-N 0 0 449.489 -0.550 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000919132247 1072862237 /nfs/dbraw/zinc/86/22/37/1072862237.db2.gz RJZAEAVGANPNNJ-CHWSQXEVSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000919132252 1072862160 /nfs/dbraw/zinc/86/21/60/1072862160.db2.gz RJZAEAVGANPNNJ-OLZOCXBDSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000919132254 1072862090 /nfs/dbraw/zinc/86/20/90/1072862090.db2.gz RJZAEAVGANPNNJ-QWHCGFSZSA-N 0 0 438.462 -0.414 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000919132256 1072862272 /nfs/dbraw/zinc/86/22/72/1072862272.db2.gz RJZAEAVGANPNNJ-STQMWFEESA-N 0 0 438.462 -0.414 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000919132275 1072862171 /nfs/dbraw/zinc/86/21/71/1072862171.db2.gz KTUSZAMFCXSEMT-UHFFFAOYSA-N 0 0 429.495 -0.513 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCc2ccc(S(=O)(=O)N3CCCC3)o2)cn1 ZINC000919132286 1072862080 /nfs/dbraw/zinc/86/20/80/1072862080.db2.gz SGMLDTJQXCJPMZ-UHFFFAOYSA-N 0 0 432.480 -0.181 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)cc1 ZINC000919132425 1072862183 /nfs/dbraw/zinc/86/21/83/1072862183.db2.gz MSMNCUAXWVZNOO-UHFFFAOYSA-N 0 0 442.490 -0.178 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)cn1 ZINC000919132436 1072862069 /nfs/dbraw/zinc/86/20/69/1072862069.db2.gz SMUOUWZEGIZIMS-UHFFFAOYSA-N 0 0 445.404 -0.725 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NCc2cnn(-c3ccccc3)c2)cn1 ZINC000919132439 1072862140 /nfs/dbraw/zinc/86/21/40/1072862140.db2.gz MZPNZAVXJIIVCG-UHFFFAOYSA-N 0 0 432.462 -0.256 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NCCc2cnn(-c3ccccc3)c2)cn1 ZINC000919132466 1072862221 /nfs/dbraw/zinc/86/22/21/1072862221.db2.gz SUKHPPNUZISDAU-UHFFFAOYSA-N 0 0 446.489 -0.214 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCc2nnc3n2c2ccsc2c(=O)n3C)cn1 ZINC000919132504 1072862192 /nfs/dbraw/zinc/86/21/92/1072862192.db2.gz TZKJRPBBBNCBFA-UHFFFAOYSA-N 0 0 437.463 -0.602 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000919132507 1072862291 /nfs/dbraw/zinc/86/22/91/1072862291.db2.gz UENYAOLCVBYNHD-UHFFFAOYSA-N 0 0 439.450 -0.264 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000919132527 1072862152 /nfs/dbraw/zinc/86/21/52/1072862152.db2.gz AWOZLPFSHZZKSD-GFCCVEGCSA-N 0 0 429.495 -0.467 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000919132529 1072862208 /nfs/dbraw/zinc/86/22/08/1072862208.db2.gz AWOZLPFSHZZKSD-LBPRGKRZSA-N 0 0 429.495 -0.467 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)cc1 ZINC000919132606 1072862129 /nfs/dbraw/zinc/86/21/29/1072862129.db2.gz OHOWWSHOSJXTFW-UHFFFAOYSA-N 0 0 437.478 -0.353 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)cc1 ZINC000919132612 1072862120 /nfs/dbraw/zinc/86/21/20/1072862120.db2.gz CDRHKGBLWUNDJD-AWEZNQCLSA-N 0 0 442.490 -0.132 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)cc1 ZINC000919132613 1072862101 /nfs/dbraw/zinc/86/21/01/1072862101.db2.gz CDRHKGBLWUNDJD-CQSZACIVSA-N 0 0 442.490 -0.132 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)NCCOc2ccc3c(c2)OCO3)cn1 ZINC000919132756 1072862581 /nfs/dbraw/zinc/86/25/81/1072862581.db2.gz WNLVYBXVPNNGTD-UHFFFAOYSA-N 0 0 440.434 -0.835 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@H](c3ccc(F)cc3)C2)cn1 ZINC000919132785 1072862599 /nfs/dbraw/zinc/86/25/99/1072862599.db2.gz YKSWXOHHGJHJNP-INIZCTEOSA-N 0 0 440.453 -0.019 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCO[C@@H](c3ccc(F)cc3)C2)cn1 ZINC000919132886 1072862571 /nfs/dbraw/zinc/86/25/71/1072862571.db2.gz YKSWXOHHGJHJNP-MRXNPFEDSA-N 0 0 440.453 -0.019 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(COC(=O)CNS(=O)(=O)c3cnn(C)c3)n12 ZINC000919132902 1072862614 /nfs/dbraw/zinc/86/26/14/1072862614.db2.gz ZMJKBUSPYWQBRO-UHFFFAOYSA-N 0 0 445.461 -0.355 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)Nc2ccc3c(c2)C(=O)N(C)C3=O)cn1 ZINC000919132930 1072862606 /nfs/dbraw/zinc/86/26/06/1072862606.db2.gz QRTQZKFWRASVMG-UHFFFAOYSA-N 0 0 435.418 -0.894 20 0 IBADRN Cc1cccc(N2CCN(C(=O)COC(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)c1 ZINC000919132995 1072862750 /nfs/dbraw/zinc/86/27/50/1072862750.db2.gz UVEGNZBUXZJVOL-UHFFFAOYSA-N 0 0 435.506 -0.101 20 0 IBADRN C[C@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000919133112 1072862590 /nfs/dbraw/zinc/86/25/90/1072862590.db2.gz VEUWWZDKUOQKPW-HNNXBMFYSA-N 0 0 435.506 -0.021 20 0 IBADRN C[C@@H](OC(=O)CNS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000919133113 1072862699 /nfs/dbraw/zinc/86/26/99/1072862699.db2.gz VEUWWZDKUOQKPW-OAHLLOKOSA-N 0 0 435.506 -0.021 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)C1 ZINC000919133418 1072862810 /nfs/dbraw/zinc/86/28/10/1072862810.db2.gz NDAKSRSNSINPKX-BBRMVZONSA-N 0 0 441.506 -0.370 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)C1 ZINC000919133419 1072862776 /nfs/dbraw/zinc/86/27/76/1072862776.db2.gz NDAKSRSNSINPKX-CJNGLKHVSA-N 0 0 441.506 -0.370 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)C1 ZINC000919133420 1072862791 /nfs/dbraw/zinc/86/27/91/1072862791.db2.gz NDAKSRSNSINPKX-CZUORRHYSA-N 0 0 441.506 -0.370 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)C1 ZINC000919133421 1072862736 /nfs/dbraw/zinc/86/27/36/1072862736.db2.gz NDAKSRSNSINPKX-XJKSGUPXSA-N 0 0 441.506 -0.370 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)cc1 ZINC000919133866 1072862766 /nfs/dbraw/zinc/86/27/66/1072862766.db2.gz RCYVIZKSVIXUBV-AWEZNQCLSA-N 0 0 442.490 -0.132 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCC3(CC2)OCCO3)cc1 ZINC000919133867 1072862651 /nfs/dbraw/zinc/86/26/51/1072862651.db2.gz RCYVIZKSVIXUBV-CQSZACIVSA-N 0 0 442.490 -0.132 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000919133891 1072862625 /nfs/dbraw/zinc/86/26/25/1072862625.db2.gz RRCFEGNHVQAEAM-GFCCVEGCSA-N 0 0 429.495 -0.467 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1 ZINC000919133892 1072862675 /nfs/dbraw/zinc/86/26/75/1072862675.db2.gz RRCFEGNHVQAEAM-LBPRGKRZSA-N 0 0 429.495 -0.467 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)C1 ZINC000919134386 1072863110 /nfs/dbraw/zinc/86/31/10/1072863110.db2.gz ZDFUOTTWHVMECU-BBRMVZONSA-N 0 0 441.506 -0.370 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)C1 ZINC000919134387 1072863092 /nfs/dbraw/zinc/86/30/92/1072863092.db2.gz ZDFUOTTWHVMECU-CJNGLKHVSA-N 0 0 441.506 -0.370 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)C1 ZINC000919134388 1072863161 /nfs/dbraw/zinc/86/31/61/1072863161.db2.gz ZDFUOTTWHVMECU-CZUORRHYSA-N 0 0 441.506 -0.370 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)C1 ZINC000919134389 1072863121 /nfs/dbraw/zinc/86/31/21/1072863121.db2.gz ZDFUOTTWHVMECU-XJKSGUPXSA-N 0 0 441.506 -0.370 20 0 IBADRN Cc1oc(C)c(S(N)(=O)=O)c1C(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC000919135036 1072863156 /nfs/dbraw/zinc/86/31/56/1072863156.db2.gz YTWNTBUDZRGRDO-UHFFFAOYSA-N 0 0 439.450 -0.462 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)CN2CCS(=O)(=O)CC2)c1 ZINC000919135315 1072863117 /nfs/dbraw/zinc/86/31/17/1072863117.db2.gz ANYPQJFLCLTTKC-UHFFFAOYSA-N 0 0 433.508 -0.851 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000919135508 1072863140 /nfs/dbraw/zinc/86/31/40/1072863140.db2.gz FXKSZQFGIYKVGC-CYBMUJFWSA-N 0 0 447.535 -0.463 20 0 IBADRN C[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000919135511 1072863129 /nfs/dbraw/zinc/86/31/29/1072863129.db2.gz FXKSZQFGIYKVGC-ZDUSSCGKSA-N 0 0 447.535 -0.463 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)COC(=O)CN1CCS(=O)(=O)CC1)CCCC2 ZINC000919135641 1072863170 /nfs/dbraw/zinc/86/31/70/1072863170.db2.gz BQDPEVDBUSLVIL-UHFFFAOYSA-N 0 0 429.520 -0.062 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)CN1CCS(=O)(=O)CC1 ZINC000919135651 1072863102 /nfs/dbraw/zinc/86/31/02/1072863102.db2.gz JEPQXWRZKWLIMC-UHFFFAOYSA-N 0 0 427.479 -0.668 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)COC(=O)CN1CCS(=O)(=O)CC1 ZINC000919135670 1072863097 /nfs/dbraw/zinc/86/30/97/1072863097.db2.gz CATPTFOHXSZWNL-UHFFFAOYSA-N 0 0 431.536 -0.514 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)COC(=O)CN1CCS(=O)(=O)CC1)CC2 ZINC000919135690 1072863056 /nfs/dbraw/zinc/86/30/56/1072863056.db2.gz DDDZYJWPBQVLRP-UHFFFAOYSA-N 0 0 426.491 -0.138 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)NCC1(N2CCOCC2)CCCCC1 ZINC000919135697 1072863073 /nfs/dbraw/zinc/86/30/73/1072863073.db2.gz DGGLGIURIHNPNU-UHFFFAOYSA-N 0 0 431.555 -0.589 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000919135874 1072863036 /nfs/dbraw/zinc/86/30/36/1072863036.db2.gz PAZBMJQZNAOVGK-UHFFFAOYSA-N 0 0 427.435 -0.621 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)CN2CCS(=O)(=O)CC2)ccc1C ZINC000919135890 1072863085 /nfs/dbraw/zinc/86/30/85/1072863085.db2.gz IQVACGYYUMNXFF-UHFFFAOYSA-N 0 0 433.508 -0.885 20 0 IBADRN COC(=O)c1cc(NC(=O)COC(=O)CN2CCS(=O)(=O)CC2)cc(C(=O)OC)c1 ZINC000919135897 1072863123 /nfs/dbraw/zinc/86/31/23/1072863123.db2.gz PZGPIMWDTRYMQX-UHFFFAOYSA-N 0 0 442.446 -0.528 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)N1CCN(C(=O)c2cccs2)CC1 ZINC000919135929 1072863134 /nfs/dbraw/zinc/86/31/34/1072863134.db2.gz QEKSGWUSBSVDAI-UHFFFAOYSA-N 0 0 429.520 -0.694 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)N(c1ccccc1)[C@H]1C=CS(=O)(=O)C1 ZINC000919135946 1072863401 /nfs/dbraw/zinc/86/34/01/1072863401.db2.gz RBUIQNZDXASNMW-INIZCTEOSA-N 0 0 442.515 -0.396 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)N(c1ccccc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000919135947 1072863532 /nfs/dbraw/zinc/86/35/32/1072863532.db2.gz RBUIQNZDXASNMW-MRXNPFEDSA-N 0 0 442.515 -0.396 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000919135963 1072863429 /nfs/dbraw/zinc/86/34/29/1072863429.db2.gz RUHDYQWNSRBYBZ-HNNXBMFYSA-N 0 0 436.552 -0.782 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000919136009 1072863524 /nfs/dbraw/zinc/86/35/24/1072863524.db2.gz RUHDYQWNSRBYBZ-OAHLLOKOSA-N 0 0 436.552 -0.782 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)NCc1ccccc1CN1CCOCC1 ZINC000919136126 1072863463 /nfs/dbraw/zinc/86/34/63/1072863463.db2.gz ANWLYVCABSPIAY-UHFFFAOYSA-N 0 0 439.534 -0.591 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC000919136208 1072863582 /nfs/dbraw/zinc/86/35/82/1072863582.db2.gz JYDFEZAWFKRYIQ-UHFFFAOYSA-N 0 0 438.506 -0.364 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000919136280 1072863492 /nfs/dbraw/zinc/86/34/92/1072863492.db2.gz ZOTDXXRNJIRPSI-UHFFFAOYSA-N 0 0 444.531 -0.073 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(NC(=O)COC(=O)CN2CCS(=O)(=O)CC2)c1 ZINC000919136282 1072863445 /nfs/dbraw/zinc/86/34/45/1072863445.db2.gz ZXOJWOFLRYKCTL-UHFFFAOYSA-N 0 0 438.911 -0.044 20 0 IBADRN Cc1c(Cl)cccc1NC(=O)CNC(=O)COC(=O)CN1CCS(=O)(=O)CC1 ZINC000919136466 1072863502 /nfs/dbraw/zinc/86/35/02/1072863502.db2.gz MXCXPTJQYCAGOP-UHFFFAOYSA-N 0 0 431.898 -0.023 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919136977 1072863330 /nfs/dbraw/zinc/86/33/30/1072863330.db2.gz JXXWLTOVSNSPLX-CHWSQXEVSA-N 0 0 447.535 -0.827 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CN1CCS(=O)(=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC000919136978 1072863412 /nfs/dbraw/zinc/86/34/12/1072863412.db2.gz JXXWLTOVSNSPLX-OLZOCXBDSA-N 0 0 447.535 -0.827 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919136979 1072863366 /nfs/dbraw/zinc/86/33/66/1072863366.db2.gz JXXWLTOVSNSPLX-QWHCGFSZSA-N 0 0 447.535 -0.827 20 0 IBADRN C[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919136980 1072863514 /nfs/dbraw/zinc/86/35/14/1072863514.db2.gz JXXWLTOVSNSPLX-STQMWFEESA-N 0 0 447.535 -0.827 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)Nc1ccc(CN2CCOCC2)cc1 ZINC000919136989 1072863541 /nfs/dbraw/zinc/86/35/41/1072863541.db2.gz KCSXJBKATJRQBF-UHFFFAOYSA-N 0 0 425.507 -0.269 20 0 IBADRN CCS(=O)(=O)N1CCc2cc(C(=O)COC(=O)CN3CCS(=O)(=O)CC3)ccc21 ZINC000919136997 1072863353 /nfs/dbraw/zinc/86/33/53/1072863353.db2.gz UGDGCSGRDHFXBR-UHFFFAOYSA-N 0 0 444.531 -0.145 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N(C)C ZINC000919137023 1072863482 /nfs/dbraw/zinc/86/34/82/1072863482.db2.gz VLAGBQXSYPCXRA-UHFFFAOYSA-N 0 0 447.535 -0.543 20 0 IBADRN Cc1cc(C)c(NC(=O)CNC(=O)COC(=O)CN2CCS(=O)(=O)CC2)c(C)c1 ZINC000919137029 1072863574 /nfs/dbraw/zinc/86/35/74/1072863574.db2.gz VRWPIXFQWBPIHE-UHFFFAOYSA-N 0 0 425.507 -0.060 20 0 IBADRN C[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000919137080 1072863564 /nfs/dbraw/zinc/86/35/64/1072863564.db2.gz XXAKPPFEAGMNTN-AWEZNQCLSA-N 0 0 427.479 -0.774 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000919137081 1072863476 /nfs/dbraw/zinc/86/34/76/1072863476.db2.gz XXAKPPFEAGMNTN-CQSZACIVSA-N 0 0 427.479 -0.774 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)CN2CCS(=O)(=O)CC2)s1 ZINC000919137147 1072863890 /nfs/dbraw/zinc/86/38/90/1072863890.db2.gz MYXNQXUVGNMUNQ-UHFFFAOYSA-N 0 0 438.549 -0.704 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)Nc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000919137171 1072863934 /nfs/dbraw/zinc/86/39/34/1072863934.db2.gz OFQQAOBQCVAWQY-UHFFFAOYSA-N 0 0 440.446 -0.105 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000919137174 1072864007 /nfs/dbraw/zinc/86/40/07/1072864007.db2.gz ZZEADWKNMJLYKZ-UHFFFAOYSA-N 0 0 441.462 -0.230 20 0 IBADRN CCCCn1c2nc(COC(=O)CN3CCS(=O)(=O)CC3)n(CC)c2c(=O)[nH]c1=O ZINC000919137179 1072864032 /nfs/dbraw/zinc/86/40/32/1072864032.db2.gz OUNXVSYMODDWDL-UHFFFAOYSA-N 0 0 441.510 -0.108 20 0 IBADRN Cc1oc(C)c(S(N)(=O)=O)c1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000919137185 1072863829 /nfs/dbraw/zinc/86/38/29/1072863829.db2.gz HTEJNNYUZFLPQJ-UHFFFAOYSA-N 0 0 425.485 -0.902 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)CN2CCS(=O)(=O)CC2)c1 ZINC000919137484 1072864046 /nfs/dbraw/zinc/86/40/46/1072864046.db2.gz TWUVSXLTASXNDI-UHFFFAOYSA-N 0 0 427.479 -0.634 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000919137497 1072863971 /nfs/dbraw/zinc/86/39/71/1072863971.db2.gz UUFVAUZPRKFXMK-GFCCVEGCSA-N 0 0 441.462 -0.232 20 0 IBADRN C[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC000919137498 1072863997 /nfs/dbraw/zinc/86/39/97/1072863997.db2.gz UUFVAUZPRKFXMK-LBPRGKRZSA-N 0 0 441.462 -0.232 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)CN1CCS(=O)(=O)CC1 ZINC000919137541 1072863847 /nfs/dbraw/zinc/86/38/47/1072863847.db2.gz WVFPSVONXZSGBX-UHFFFAOYSA-N 0 0 427.479 -0.823 20 0 IBADRN CS(=O)(=O)N1CCCc2cc(C(=O)COC(=O)CN3CCS(=O)(=O)CC3)ccc21 ZINC000919137544 1072863987 /nfs/dbraw/zinc/86/39/87/1072863987.db2.gz WWXPAOBGXMYXBP-UHFFFAOYSA-N 0 0 444.531 -0.145 20 0 IBADRN C[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000919137550 1072863906 /nfs/dbraw/zinc/86/39/06/1072863906.db2.gz XBVIBEAFAKUHKY-HNNXBMFYSA-N 0 0 425.507 -0.297 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000919137551 1072863860 /nfs/dbraw/zinc/86/38/60/1072863860.db2.gz XBVIBEAFAKUHKY-OAHLLOKOSA-N 0 0 425.507 -0.297 20 0 IBADRN Cc1cc(C(=O)COC(=O)CN2CCS(=O)(=O)CC2)c(C)n1[C@H]1CCS(=O)(=O)C1 ZINC000919137706 1072863961 /nfs/dbraw/zinc/86/39/61/1072863961.db2.gz XSQNMWKJXPDXRX-HNNXBMFYSA-N 0 0 446.547 -0.079 20 0 IBADRN Cc1cc(C(=O)COC(=O)CN2CCS(=O)(=O)CC2)c(C)n1[C@@H]1CCS(=O)(=O)C1 ZINC000919137707 1072863873 /nfs/dbraw/zinc/86/38/73/1072863873.db2.gz XSQNMWKJXPDXRX-OAHLLOKOSA-N 0 0 446.547 -0.079 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)Nc1ccccc1S(=O)(=O)C(F)F ZINC000919137725 1072863919 /nfs/dbraw/zinc/86/39/19/1072863919.db2.gz YRJPUIKZJKISBD-UHFFFAOYSA-N 0 0 440.446 -0.105 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000919137732 1072864019 /nfs/dbraw/zinc/86/40/19/1072864019.db2.gz YWSZDONHCGEVIV-UHFFFAOYSA-N 0 0 437.518 -0.188 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N(C)C[C@H]1COc2ccccc2O1 ZINC000919137761 1072863902 /nfs/dbraw/zinc/86/39/02/1072863902.db2.gz ZVKJATQVLDBIBY-CABCVRRESA-N 0 0 426.491 -0.053 20 0 IBADRN C[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N(C)C[C@H]1COc2ccccc2O1 ZINC000919137765 1072863815 /nfs/dbraw/zinc/86/38/15/1072863815.db2.gz ZVKJATQVLDBIBY-GJZGRUSLSA-N 0 0 426.491 -0.053 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N(C)C[C@@H]1COc2ccccc2O1 ZINC000919137767 1072863802 /nfs/dbraw/zinc/86/38/02/1072863802.db2.gz ZVKJATQVLDBIBY-HUUCEWRRSA-N 0 0 426.491 -0.053 20 0 IBADRN C[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N(C)C[C@@H]1COc2ccccc2O1 ZINC000919137769 1072864404 /nfs/dbraw/zinc/86/44/04/1072864404.db2.gz ZVKJATQVLDBIBY-LSDHHAIUSA-N 0 0 426.491 -0.053 20 0 IBADRN Cc1oc(C)c(S(N)(=O)=O)c1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000919138099 1072864386 /nfs/dbraw/zinc/86/43/86/1072864386.db2.gz SINNMILIFWOUPE-UHFFFAOYSA-N 0 0 425.423 -0.852 20 0 IBADRN CC(C)[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000919141630 1072864410 /nfs/dbraw/zinc/86/44/10/1072864410.db2.gz FAARWIPOIDEBTC-KRWDZBQOSA-N 0 0 439.538 -0.577 20 0 IBADRN CC(C)[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000919141631 1072864322 /nfs/dbraw/zinc/86/43/22/1072864322.db2.gz FAARWIPOIDEBTC-QGZVFWFLSA-N 0 0 439.538 -0.577 20 0 IBADRN C[C@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000919141871 1072864826 /nfs/dbraw/zinc/86/48/26/1072864826.db2.gz LXIVVAJQOMAHFQ-AWEZNQCLSA-N 0 0 426.491 -0.221 20 0 IBADRN C[C@@H](OC(=O)CN1CCS(=O)(=O)CC1)C(=O)NCCc1ccc2c(c1)OCCO2 ZINC000919141872 1072864746 /nfs/dbraw/zinc/86/47/46/1072864746.db2.gz LXIVVAJQOMAHFQ-CQSZACIVSA-N 0 0 426.491 -0.221 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)NCc1ccccc1CN1CCCC1=O ZINC000919141884 1072865322 /nfs/dbraw/zinc/86/53/22/1072865322.db2.gz MYENNCNECBTVMV-UHFFFAOYSA-N 0 0 437.518 -0.301 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)COC(=O)CN2CCS(=O)(=O)CC2)CC1 ZINC000919141907 1072862642 /nfs/dbraw/zinc/86/26/42/1072862642.db2.gz OBLBWZJNEXWFQH-UHFFFAOYSA-N 0 0 431.555 -0.339 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)N1CCC(NC(=O)c2ccccc2)CC1 ZINC000919141909 1072862686 /nfs/dbraw/zinc/86/26/86/1072862686.db2.gz OMKHTJMILTZLRL-UHFFFAOYSA-N 0 0 437.518 -0.319 20 0 IBADRN COc1cc(OC)c(CNC(=O)COC(=O)CN2CCS(=O)(=O)CC2)c(OC)c1 ZINC000919142043 1072865750 /nfs/dbraw/zinc/86/57/50/1072865750.db2.gz QJOLSNUJQYIWDE-UHFFFAOYSA-N 0 0 430.479 -0.398 20 0 IBADRN COc1cc(CNC(=O)COC(=O)CN2CCS(=O)(=O)CC2)cc(OC)c1OC ZINC000919142051 1072865719 /nfs/dbraw/zinc/86/57/19/1072865719.db2.gz RAXHSLPCJXLAIK-UHFFFAOYSA-N 0 0 430.479 -0.398 20 0 IBADRN O=C(COC(=O)CN1CCS(=O)(=O)CC1)NC1CCN(C(=O)C2CCCCC2)CC1 ZINC000919142084 1072865842 /nfs/dbraw/zinc/86/58/42/1072865842.db2.gz ULMKMEMIVIWJNJ-UHFFFAOYSA-N 0 0 443.566 -0.053 20 0 IBADRN Cn1c(=O)c(C(=O)COC(=O)Cc2nc3ncccn3n2)c(N)n(Cc2ccccc2)c1=O ZINC000919143590 1072866335 /nfs/dbraw/zinc/86/63/35/1072866335.db2.gz FZOBONMBPHOZMW-UHFFFAOYSA-N 0 0 449.427 -0.416 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2cc(S(N)(=O)=O)cc(C)c2C)C(=O)C1=O ZINC000919145632 1072866268 /nfs/dbraw/zinc/86/62/68/1072866268.db2.gz AGJNKUPKCHELFV-UHFFFAOYSA-N 0 0 440.478 -0.877 20 0 IBADRN CCN1CCN(CC(=O)OCCOc2ccc(S(=O)(=O)N(C)C)cc2)C(=O)C1=O ZINC000919145653 1072866288 /nfs/dbraw/zinc/86/62/88/1072866288.db2.gz AZBDJSSAPZMJQD-UHFFFAOYSA-N 0 0 427.479 -0.450 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N(c2ccccc2)[C@H]2C=CS(=O)(=O)C2)C(=O)C1=O ZINC000919145655 1072866356 /nfs/dbraw/zinc/86/63/56/1072866356.db2.gz BBRBGCGPQLFIBK-INIZCTEOSA-N 0 0 449.485 -0.436 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N(c2ccccc2)[C@@H]2C=CS(=O)(=O)C2)C(=O)C1=O ZINC000919145656 1072866173 /nfs/dbraw/zinc/86/61/73/1072866173.db2.gz BBRBGCGPQLFIBK-MRXNPFEDSA-N 0 0 449.485 -0.436 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2sc3c(c2C(N)=O)CCCC3)C(=O)C1=O ZINC000919145671 1072866304 /nfs/dbraw/zinc/86/63/04/1072866304.db2.gz BPVPXFYFGYQNGO-UHFFFAOYSA-N 0 0 436.490 -0.102 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCC(=O)Nc2cccc(Cl)c2C)C(=O)C1=O ZINC000919145683 1072866161 /nfs/dbraw/zinc/86/61/61/1072866161.db2.gz BXTXHLMMWWICNN-UHFFFAOYSA-N 0 0 438.868 -0.063 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)c2ccc(NS(C)(=O)=O)cc2)C(=O)C1=O ZINC000919145692 1072866371 /nfs/dbraw/zinc/86/63/71/1072866371.db2.gz CLDKRJRQKPJXIR-GFCCVEGCSA-N 0 0 425.463 -0.137 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)c2ccc(NS(C)(=O)=O)cc2)C(=O)C1=O ZINC000919145693 1072866255 /nfs/dbraw/zinc/86/62/55/1072866255.db2.gz CLDKRJRQKPJXIR-LBPRGKRZSA-N 0 0 425.463 -0.137 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N2CCc3cc(OC)c(OC)cc3C2)C(=O)C1=O ZINC000919145723 1072866132 /nfs/dbraw/zinc/86/61/32/1072866132.db2.gz DTJLJDXEJJDMFG-UHFFFAOYSA-N 0 0 433.461 -0.178 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)C(=O)C1=O ZINC000919145725 1072866242 /nfs/dbraw/zinc/86/62/42/1072866242.db2.gz DWVGGKAHEVKPMD-UHFFFAOYSA-N 0 0 436.490 -0.734 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N2CCN(Cc3cccc(C)c3)CC2)C(=O)C1=O ZINC000919145741 1072866387 /nfs/dbraw/zinc/86/63/87/1072866387.db2.gz FUGZBGXNJLJLLC-UHFFFAOYSA-N 0 0 430.505 -0.127 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCC2(N3CCOCC3)CCCCC2)C(=O)C1=O ZINC000919145892 1072866188 /nfs/dbraw/zinc/86/61/88/1072866188.db2.gz HRETZPHHLRRJHH-UHFFFAOYSA-N 0 0 438.525 -0.628 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2ccc(C)c(S(=O)(=O)NC)c2)C(=O)C1=O ZINC000919146018 1072866412 /nfs/dbraw/zinc/86/64/12/1072866412.db2.gz KIYKTEPQEMSPGG-UHFFFAOYSA-N 0 0 440.478 -0.924 20 0 IBADRN CCCCN(C(=O)COC(=O)CN1CCN(CC)C(=O)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000919146020 1072866402 /nfs/dbraw/zinc/86/64/02/1072866402.db2.gz KXNGOJHYAZLYQG-AWEZNQCLSA-N 0 0 431.511 -0.964 20 0 IBADRN CCCCN(C(=O)COC(=O)CN1CCN(CC)C(=O)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000919146021 1072866312 /nfs/dbraw/zinc/86/63/12/1072866312.db2.gz KXNGOJHYAZLYQG-CQSZACIVSA-N 0 0 431.511 -0.964 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NC(=O)c2ccc(OC(F)F)cc2)C(=O)C1=O ZINC000919146023 1072866216 /nfs/dbraw/zinc/86/62/16/1072866216.db2.gz LAQUKJYEJFBNFS-UHFFFAOYSA-N 0 0 427.360 -0.222 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2CCN(CC)C(=O)C2=O)NC(=O)N[C@H]1c1ccco1 ZINC000919146263 1072866780 /nfs/dbraw/zinc/86/67/80/1072866780.db2.gz OVOFEAKNBTUJKD-INIZCTEOSA-N 0 0 448.432 -0.315 20 0 IBADRN CCOC(=O)C1=C(COC(=O)CN2CCN(CC)C(=O)C2=O)NC(=O)N[C@@H]1c1ccco1 ZINC000919146264 1072866686 /nfs/dbraw/zinc/86/66/86/1072866686.db2.gz OVOFEAKNBTUJKD-MRXNPFEDSA-N 0 0 448.432 -0.315 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N(C)CC(=O)Nc2ccccc2Cl)C(=O)C1=O ZINC000919146265 1072866764 /nfs/dbraw/zinc/86/67/64/1072866764.db2.gz OVTXOQHEGMMORG-UHFFFAOYSA-N 0 0 438.868 -0.029 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)N2CCN(C(=O)c3ccco3)CC2)C(=O)C1=O ZINC000919146291 1072866694 /nfs/dbraw/zinc/86/66/94/1072866694.db2.gz QINSWDUYMZPNQG-AWEZNQCLSA-N 0 0 434.449 -0.814 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)N2CCN(C(=O)c3ccco3)CC2)C(=O)C1=O ZINC000919146292 1072866709 /nfs/dbraw/zinc/86/67/09/1072866709.db2.gz QINSWDUYMZPNQG-CQSZACIVSA-N 0 0 434.449 -0.814 20 0 IBADRN CCCCn1c2nc(COC(=O)CN3CCN(CC)C(=O)C3=O)n(C)c2c(=O)[nH]c1=O ZINC000919146298 1072866742 /nfs/dbraw/zinc/86/67/42/1072866742.db2.gz QUVCWCQSGBKFMW-UHFFFAOYSA-N 0 0 434.453 -0.630 20 0 IBADRN O=C(Cc1nc2ncccn2n1)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000919146338 1072866716 /nfs/dbraw/zinc/86/67/16/1072866716.db2.gz ODZPJYGNILRURN-UHFFFAOYSA-N 0 0 444.473 -0.257 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCC(=O)Nc2c(C)cc(C)cc2C)C(=O)C1=O ZINC000919146465 1072866730 /nfs/dbraw/zinc/86/67/30/1072866730.db2.gz SJWLTDPAQCVBCN-UHFFFAOYSA-N 0 0 432.477 -0.100 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCC(=O)Nc2cc(C)ccc2OC)C(=O)C1=O ZINC000919146483 1072866720 /nfs/dbraw/zinc/86/67/20/1072866720.db2.gz UPHUKKQIQSQCTK-UHFFFAOYSA-N 0 0 434.449 -0.708 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)c2ccc(N(C)S(C)(=O)=O)cc2)C(=O)C1=O ZINC000919146489 1072866737 /nfs/dbraw/zinc/86/67/37/1072866737.db2.gz VDUKRYSHUHMYQD-UHFFFAOYSA-N 0 0 425.463 -0.501 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)C(=O)C1=O ZINC000919146524 1072866753 /nfs/dbraw/zinc/86/67/53/1072866753.db2.gz XCEJMVZKBWGUJD-HNNXBMFYSA-N 0 0 443.522 -0.821 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)C(=O)C1=O ZINC000919146525 1072866746 /nfs/dbraw/zinc/86/67/46/1072866746.db2.gz XCEJMVZKBWGUJD-OAHLLOKOSA-N 0 0 443.522 -0.821 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCCO3)C(=O)C1=O ZINC000919146529 1072866786 /nfs/dbraw/zinc/86/67/86/1072866786.db2.gz XJRIWKLKYAKRTL-UHFFFAOYSA-N 0 0 448.432 -0.270 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2cc(S(C)(=O)=O)ccc2Cl)C(=O)C1=O ZINC000919146530 1072866772 /nfs/dbraw/zinc/86/67/72/1072866772.db2.gz XJUOHNOZQBULRH-UHFFFAOYSA-N 0 0 445.881 -0.084 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C(=O)C1=O ZINC000919146574 1072866702 /nfs/dbraw/zinc/86/67/02/1072866702.db2.gz BRLCMZZUQMZLOF-UHFFFAOYSA-N 0 0 440.478 -0.891 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)C(=O)C1=O ZINC000919146587 1072866734 /nfs/dbraw/zinc/86/67/34/1072866734.db2.gz ZDVZNCPUMFDCTR-UHFFFAOYSA-N 0 0 445.476 -0.403 20 0 IBADRN CCN1CCN(CC(=O)OCc2nnc3n2c2ccccc2c(=O)n3CC)C(=O)C1=O ZINC000919146590 1072866726 /nfs/dbraw/zinc/86/67/26/1072866726.db2.gz ZPDXJRLLJALLSY-UHFFFAOYSA-N 0 0 426.433 -0.202 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)C(=O)C1=O ZINC000919146621 1072867184 /nfs/dbraw/zinc/86/71/84/1072867184.db2.gz DPNURYISSVINER-UHFFFAOYSA-N 0 0 430.461 -0.571 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N2CCC(C(=O)NCCC(C)C)CC2)C(=O)C1=O ZINC000919146657 1072867017 /nfs/dbraw/zinc/86/70/17/1072867017.db2.gz GIAILHJRCQWXBC-UHFFFAOYSA-N 0 0 438.525 -0.379 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N2CCC(NC(=O)c3ccccc3)CC2)C(=O)C1=O ZINC000919146660 1072866974 /nfs/dbraw/zinc/86/69/74/1072866974.db2.gz HFKSLWMAQYTICE-UHFFFAOYSA-N 0 0 444.488 -0.359 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCc2ccccc2CN2CCCC2=O)C(=O)C1=O ZINC000919146719 1072867056 /nfs/dbraw/zinc/86/70/56/1072867056.db2.gz KIYDBWRIQNGYAR-UHFFFAOYSA-N 0 0 444.488 -0.341 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)C(=O)C1=O ZINC000919146747 1072867104 /nfs/dbraw/zinc/86/71/04/1072867104.db2.gz MFQHHMUXVZLCNG-UHFFFAOYSA-N 0 0 430.461 -0.337 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)C(=O)C1=O ZINC000919146765 1072867090 /nfs/dbraw/zinc/86/70/90/1072867090.db2.gz NGJKCTHFTQYBLN-UHFFFAOYSA-N 0 0 434.405 -0.660 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCCc2cnn(-c3ccccc3)c2)C(=O)C1=O ZINC000919146772 1072867077 /nfs/dbraw/zinc/86/70/77/1072867077.db2.gz AGPQMHXWKXUHKP-UHFFFAOYSA-N 0 0 427.461 -0.235 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)NCCc2ccc3c(c2)OCCO3)C(=O)C1=O ZINC000919146834 1072867213 /nfs/dbraw/zinc/86/72/13/1072867213.db2.gz DLMYGCUJRYILNP-AWEZNQCLSA-N 0 0 433.461 -0.261 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)NCCc2ccc3c(c2)OCCO3)C(=O)C1=O ZINC000919146835 1072867128 /nfs/dbraw/zinc/86/71/28/1072867128.db2.gz DLMYGCUJRYILNP-CQSZACIVSA-N 0 0 433.461 -0.261 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2ccccc2S(=O)(=O)C(F)F)C(=O)C1=O ZINC000919146851 1072867100 /nfs/dbraw/zinc/86/71/00/1072867100.db2.gz FCJDKQJDIGJCQH-UHFFFAOYSA-N 0 0 447.416 -0.145 20 0 IBADRN CCN1CCN(CC(=O)OCc2c(C(=O)OC)sc(N)c2C(=O)OC)C(=O)C1=O ZINC000919146954 1072867139 /nfs/dbraw/zinc/86/71/39/1072867139.db2.gz UWQMVAYLBUWJRB-UHFFFAOYSA-N 0 0 427.435 -0.363 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCc2ccc(C(=O)N3CCCC3)cc2)C(=O)C1=O ZINC000919147017 1072867151 /nfs/dbraw/zinc/86/71/51/1072867151.db2.gz DICNAPUDQYSLNG-UHFFFAOYSA-N 0 0 444.488 -0.227 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)C(=O)C1=O ZINC000919147098 1072867030 /nfs/dbraw/zinc/86/70/30/1072867030.db2.gz GZVQGRVCYARXPK-UHFFFAOYSA-N 0 0 447.416 -0.145 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C(=O)N2CCN(c3ncccn3)CC2)C(C)C)C(=O)C1=O ZINC000919147239 1072866990 /nfs/dbraw/zinc/86/69/90/1072866990.db2.gz JVTVVVRBQLFPKE-KRWDZBQOSA-N 0 0 446.508 -0.616 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C(=O)N2CCN(c3ncccn3)CC2)C(C)C)C(=O)C1=O ZINC000919147240 1072867045 /nfs/dbraw/zinc/86/70/45/1072867045.db2.gz JVTVVVRBQLFPKE-QGZVFWFLSA-N 0 0 446.508 -0.616 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C(=O)N2CCC(C(=O)OC)CC2)C(C)C)C(=O)C1=O ZINC000919147273 1072867201 /nfs/dbraw/zinc/86/72/01/1072867201.db2.gz KQHMPYODOSNKIH-INIZCTEOSA-N 0 0 425.482 -0.344 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C(=O)N2CCC(C(=O)OC)CC2)C(C)C)C(=O)C1=O ZINC000919147274 1072867117 /nfs/dbraw/zinc/86/71/17/1072867117.db2.gz KQHMPYODOSNKIH-MRXNPFEDSA-N 0 0 425.482 -0.344 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)s2)C(=O)C1=O ZINC000919147337 1072867070 /nfs/dbraw/zinc/86/70/70/1072867070.db2.gz INESGOOUFHOGPH-UHFFFAOYSA-N 0 0 445.519 -0.744 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)N(C)C[C@H]2COc3ccccc3O2)C(=O)C1=O ZINC000919147378 1072867006 /nfs/dbraw/zinc/86/70/06/1072867006.db2.gz KMGYVUTXLCNNDT-CABCVRRESA-N 0 0 433.461 -0.093 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)N(C)C[C@H]2COc3ccccc3O2)C(=O)C1=O ZINC000919147379 1072867171 /nfs/dbraw/zinc/86/71/71/1072867171.db2.gz KMGYVUTXLCNNDT-GJZGRUSLSA-N 0 0 433.461 -0.093 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)N(C)C[C@@H]2COc3ccccc3O2)C(=O)C1=O ZINC000919147380 1072867683 /nfs/dbraw/zinc/86/76/83/1072867683.db2.gz KMGYVUTXLCNNDT-HUUCEWRRSA-N 0 0 433.461 -0.093 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)N(C)C[C@@H]2COc3ccccc3O2)C(=O)C1=O ZINC000919147381 1072867480 /nfs/dbraw/zinc/86/74/80/1072867480.db2.gz KMGYVUTXLCNNDT-LSDHHAIUSA-N 0 0 433.461 -0.093 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)Nc2ccccc2S(C)(=O)=O)C(=O)C1=O ZINC000919147400 1072867607 /nfs/dbraw/zinc/86/76/07/1072867607.db2.gz MWODEFCJQSOYTQ-GFCCVEGCSA-N 0 0 425.463 -0.349 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)Nc2ccccc2S(C)(=O)=O)C(=O)C1=O ZINC000919147402 1072867716 /nfs/dbraw/zinc/86/77/16/1072867716.db2.gz MWODEFCJQSOYTQ-LBPRGKRZSA-N 0 0 425.463 -0.349 20 0 IBADRN CCN1CCN(CC(=O)OCc2nnc3n2c2ccc(C)cc2c(=O)n3C)C(=O)C1=O ZINC000919147432 1072867618 /nfs/dbraw/zinc/86/76/18/1072867618.db2.gz OJZPPVAORUNHEH-UHFFFAOYSA-N 0 0 426.433 -0.376 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)NCC(=O)Nc2c(C)cccc2C)C(=O)C1=O ZINC000919147541 1072867691 /nfs/dbraw/zinc/86/76/91/1072867691.db2.gz ASUZCDNOUORUTG-HNNXBMFYSA-N 0 0 432.477 -0.019 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)NCC(=O)Nc2c(C)cccc2C)C(=O)C1=O ZINC000919147550 1072867648 /nfs/dbraw/zinc/86/76/48/1072867648.db2.gz ASUZCDNOUORUTG-OAHLLOKOSA-N 0 0 432.477 -0.019 20 0 IBADRN CCCCn1c2nc(COC(=O)CN3CCN(CC)C(=O)C3=O)n(CC)c2c(=O)[nH]c1=O ZINC000919147636 1072867665 /nfs/dbraw/zinc/86/76/65/1072867665.db2.gz PRMLMYWIWDLGCI-UHFFFAOYSA-N 0 0 448.480 -0.148 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCc2ccccc2CN2CCOCC2)C(=O)C1=O ZINC000919147653 1072867527 /nfs/dbraw/zinc/86/75/27/1072867527.db2.gz RZFFRIPJIFUBGK-UHFFFAOYSA-N 0 0 446.504 -0.631 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCc2ccccc2Cn2cccn2)C(=O)C1=O ZINC000919147686 1072867462 /nfs/dbraw/zinc/86/74/62/1072867462.db2.gz PXMFYPPUBSWRAV-UHFFFAOYSA-N 0 0 427.461 -0.219 20 0 IBADRN CCN1CCN(CC(=O)OCc2nc(N)nc(Nc3ccccc3OC)n2)C(=O)C1=O ZINC000919147701 1072867446 /nfs/dbraw/zinc/86/74/46/1072867446.db2.gz SKMCJIZSXQRABR-UHFFFAOYSA-N 0 0 429.437 -0.060 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)CN1CCN(CC)C(=O)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000919147966 1072867637 /nfs/dbraw/zinc/86/76/37/1072867637.db2.gz SMSUMHXIUQPHDL-KBPBESRZSA-N 0 0 431.511 -0.966 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)CN1CCN(CC)C(=O)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC000919147967 1072867582 /nfs/dbraw/zinc/86/75/82/1072867582.db2.gz SMSUMHXIUQPHDL-KGLIPLIRSA-N 0 0 431.511 -0.966 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)CN1CCN(CC)C(=O)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000919147968 1072867572 /nfs/dbraw/zinc/86/75/72/1072867572.db2.gz SMSUMHXIUQPHDL-UONOGXRCSA-N 0 0 431.511 -0.966 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)CN1CCN(CC)C(=O)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000919147969 1072867432 /nfs/dbraw/zinc/86/74/32/1072867432.db2.gz SMSUMHXIUQPHDL-ZIAGYGMSSA-N 0 0 431.511 -0.966 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)NC(=O)Nc2ccc3c(c2)OCCO3)C(=O)C1=O ZINC000919148022 1072867511 /nfs/dbraw/zinc/86/75/11/1072867511.db2.gz UZHQSUHLRVXVJT-GFCCVEGCSA-N 0 0 448.432 -0.272 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)NC(=O)Nc2ccc3c(c2)OCCO3)C(=O)C1=O ZINC000919148024 1072867597 /nfs/dbraw/zinc/86/75/97/1072867597.db2.gz UZHQSUHLRVXVJT-LBPRGKRZSA-N 0 0 448.432 -0.272 20 0 IBADRN CCN1CCN(CC(=O)O[C@@H](C)C(=O)N2CCN(c3ccccc3O)CC2)C(=O)C1=O ZINC000919148035 1072867554 /nfs/dbraw/zinc/86/75/54/1072867554.db2.gz VGHDGQIHVFKDDR-HNNXBMFYSA-N 0 0 432.477 -0.337 20 0 IBADRN CCN1CCN(CC(=O)O[C@H](C)C(=O)N2CCN(c3ccccc3O)CC2)C(=O)C1=O ZINC000919148036 1072867495 /nfs/dbraw/zinc/86/74/95/1072867495.db2.gz VGHDGQIHVFKDDR-OAHLLOKOSA-N 0 0 432.477 -0.337 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N(C)CC(=O)Nc2cccc(OC)c2)C(=O)C1=O ZINC000919148165 1072867538 /nfs/dbraw/zinc/86/75/38/1072867538.db2.gz VHARLLBODIKYMT-UHFFFAOYSA-N 0 0 434.449 -0.674 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2ccc(CN3CCOCC3)cc2)C(=O)C1=O ZINC000919148202 1072867890 /nfs/dbraw/zinc/86/78/90/1072867890.db2.gz WKRKRRGMKNKXEU-UHFFFAOYSA-N 0 0 432.477 -0.309 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)Cc1nc2ncccn2n1 ZINC000919148446 1072868009 /nfs/dbraw/zinc/86/80/09/1072868009.db2.gz DBDPWKAOMPMBSC-LLVKDONJSA-N 0 0 430.446 -0.165 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)Cc1nc2ncccn2n1 ZINC000919148447 1072867977 /nfs/dbraw/zinc/86/79/77/1072867977.db2.gz DBDPWKAOMPMBSC-NSHDSACASA-N 0 0 430.446 -0.165 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)C(=O)C1=O ZINC000919148601 1072868059 /nfs/dbraw/zinc/86/80/59/1072868059.db2.gz YOSVIPHESZJLCK-UHFFFAOYSA-N 0 0 426.376 -0.747 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N2CCN(Cc3ccccc3C)CC2)C(=O)C1=O ZINC000919148611 1072867920 /nfs/dbraw/zinc/86/79/20/1072867920.db2.gz ZBFRYKUVUFLUBC-UHFFFAOYSA-N 0 0 430.505 -0.127 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)Nc2cc(C)nn2-c2nc(C)cc(C)n2)C(=O)C1=O ZINC000919149578 1072867926 /nfs/dbraw/zinc/86/79/26/1072867926.db2.gz VDWXQAIEPBDKTR-UHFFFAOYSA-N 0 0 443.464 -0.240 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)NCC(=O)NC(C)(C)C)cc1S(N)(=O)=O ZINC000919152182 1072868084 /nfs/dbraw/zinc/86/80/84/1072868084.db2.gz GVKCKTUFPSENBL-UHFFFAOYSA-N 0 0 433.458 -0.402 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)N2CCC[C@@H](NC(C)=O)C2)cc1S(N)(=O)=O ZINC000919152920 1072868103 /nfs/dbraw/zinc/86/81/03/1072868103.db2.gz SKXHVBIECCTWPS-CYBMUJFWSA-N 0 0 445.469 -0.306 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)N2CCC[C@H](NC(C)=O)C2)cc1S(N)(=O)=O ZINC000919152921 1072868119 /nfs/dbraw/zinc/86/81/19/1072868119.db2.gz SKXHVBIECCTWPS-ZDUSSCGKSA-N 0 0 445.469 -0.306 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)N(C)Cc2cnn(C)c2)cc1S(N)(=O)=O ZINC000919153065 1072867939 /nfs/dbraw/zinc/86/79/39/1072867939.db2.gz XGYSOQLAJQUOSM-UHFFFAOYSA-N 0 0 428.442 -0.041 20 0 IBADRN CN(CCCNC(=O)COC(=O)C1CCN(C(=O)N2CCCC2)CC1)S(C)(=O)=O ZINC000919153604 1072868070 /nfs/dbraw/zinc/86/80/70/1072868070.db2.gz XHUYTKFURVYNOK-UHFFFAOYSA-N 0 0 432.543 -0.145 20 0 IBADRN COCCS(=O)(=O)CC(=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000919154155 1072865863 /nfs/dbraw/zinc/86/58/63/1072865863.db2.gz BVVHINKYVPYVQX-UHFFFAOYSA-N 0 0 440.518 -0.130 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1C ZINC000919171366 1072868542 /nfs/dbraw/zinc/86/85/42/1072868542.db2.gz SBQHEQUHMDRDAE-UHFFFAOYSA-N 0 0 436.421 -0.546 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC000919179705 1072868896 /nfs/dbraw/zinc/86/88/96/1072868896.db2.gz IKAREZCDJSCNHA-BHYGNILZSA-N 0 0 435.452 -0.260 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC000919179706 1072868878 /nfs/dbraw/zinc/86/88/78/1072868878.db2.gz IKAREZCDJSCNHA-HYVNUMGLSA-N 0 0 435.452 -0.260 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC000919179707 1072868933 /nfs/dbraw/zinc/86/89/33/1072868933.db2.gz IKAREZCDJSCNHA-PVAVHDDUSA-N 0 0 435.452 -0.260 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC000919179708 1072868734 /nfs/dbraw/zinc/86/87/34/1072868734.db2.gz IKAREZCDJSCNHA-XIRDDKMYSA-N 0 0 435.452 -0.260 20 0 IBADRN O=C(COC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)NCC(=O)N1CCCC1 ZINC000919180144 1072868795 /nfs/dbraw/zinc/86/87/95/1072868795.db2.gz FHNJMMHVUVUHOA-DOTOQJQBSA-N 0 0 435.452 -0.388 20 0 IBADRN O=C(COC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)NCC(=O)N1CCCC1 ZINC000919180148 1072868964 /nfs/dbraw/zinc/86/89/64/1072868964.db2.gz FHNJMMHVUVUHOA-NVXWUHKLSA-N 0 0 435.452 -0.388 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)NCC(=O)N1CCCC1 ZINC000919180149 1072868889 /nfs/dbraw/zinc/86/88/89/1072868889.db2.gz FHNJMMHVUVUHOA-RDJZCZTQSA-N 0 0 435.452 -0.388 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)NCC(=O)N1CCCC1 ZINC000919180153 1072868689 /nfs/dbraw/zinc/86/86/89/1072868689.db2.gz FHNJMMHVUVUHOA-WBVHZDCISA-N 0 0 435.452 -0.388 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC000919180596 1072868844 /nfs/dbraw/zinc/86/88/44/1072868844.db2.gz GYKAQKNPWUYNLX-AEFFLSMTSA-N 0 0 435.452 -0.436 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC000919180600 1072868851 /nfs/dbraw/zinc/86/88/51/1072868851.db2.gz GYKAQKNPWUYNLX-FUHWJXTLSA-N 0 0 435.452 -0.436 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC000919180602 1072868772 /nfs/dbraw/zinc/86/87/72/1072868772.db2.gz GYKAQKNPWUYNLX-SJLPKXTDSA-N 0 0 435.452 -0.436 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC000919180604 1072868715 /nfs/dbraw/zinc/86/87/15/1072868715.db2.gz GYKAQKNPWUYNLX-WMZOPIPTSA-N 0 0 435.452 -0.436 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC000919181688 1072868923 /nfs/dbraw/zinc/86/89/23/1072868923.db2.gz MCMNKFAIKDTABQ-DOTOQJQBSA-N 0 0 437.468 -0.142 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC000919181692 1072868754 /nfs/dbraw/zinc/86/87/54/1072868754.db2.gz MCMNKFAIKDTABQ-NVXWUHKLSA-N 0 0 437.468 -0.142 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC000919181693 1072868911 /nfs/dbraw/zinc/86/89/11/1072868911.db2.gz MCMNKFAIKDTABQ-RDJZCZTQSA-N 0 0 437.468 -0.142 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC000919181694 1072868969 /nfs/dbraw/zinc/86/89/69/1072868969.db2.gz MCMNKFAIKDTABQ-WBVHZDCISA-N 0 0 437.468 -0.142 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC000919183415 1072869335 /nfs/dbraw/zinc/86/93/35/1072869335.db2.gz VIZUPCNFQVXLKG-GDBMZVCRSA-N 0 0 437.468 -0.096 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC000919183417 1072869553 /nfs/dbraw/zinc/86/95/53/1072869553.db2.gz VIZUPCNFQVXLKG-GOEBONIOSA-N 0 0 437.468 -0.096 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC000919183418 1072869297 /nfs/dbraw/zinc/86/92/97/1072869297.db2.gz VIZUPCNFQVXLKG-HOCLYGCPSA-N 0 0 437.468 -0.096 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC000919183420 1072869175 /nfs/dbraw/zinc/86/91/75/1072869175.db2.gz VIZUPCNFQVXLKG-ZBFHGGJFSA-N 0 0 437.468 -0.096 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC000919184437 1072869251 /nfs/dbraw/zinc/86/92/51/1072869251.db2.gz XZYBVBFODGVJLR-BJJXKVORSA-N 0 0 439.440 -0.266 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC000919184438 1072869158 /nfs/dbraw/zinc/86/91/58/1072869158.db2.gz XZYBVBFODGVJLR-DUVNUKRYSA-N 0 0 439.440 -0.266 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC000919184439 1072869280 /nfs/dbraw/zinc/86/92/80/1072869280.db2.gz XZYBVBFODGVJLR-JGGQBBKZSA-N 0 0 439.440 -0.266 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC000919184440 1072869223 /nfs/dbraw/zinc/86/92/23/1072869223.db2.gz XZYBVBFODGVJLR-NOLJZWGESA-N 0 0 439.440 -0.266 20 0 IBADRN COCCN(C(=O)COC(=O)c1ccc(=O)n(C)c1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000919198736 1072869961 /nfs/dbraw/zinc/86/99/61/1072869961.db2.gz BPUIONFTBXIPGL-UHFFFAOYSA-N 0 0 449.464 -0.278 20 0 IBADRN Cn1cc(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)ccc1=O ZINC000919201177 1072869938 /nfs/dbraw/zinc/86/99/38/1072869938.db2.gz HYDXEVKESSTNKQ-UHFFFAOYSA-N 0 0 449.485 -0.121 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2ccc(=O)n(C)c2)CC1 ZINC000919203087 1072869736 /nfs/dbraw/zinc/86/97/36/1072869736.db2.gz WVWQWZQZSUDOEH-UHFFFAOYSA-N 0 0 438.462 -0.320 20 0 IBADRN Cn1cc(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)ccc1=O ZINC000919204282 1072869914 /nfs/dbraw/zinc/86/99/14/1072869914.db2.gz NWYWWXYIMWYOPI-UHFFFAOYSA-N 0 0 449.485 -0.121 20 0 IBADRN NC(=O)NC(=O)COC(=O)CS(=O)(=O)CC(=O)Nc1cccc(Br)c1 ZINC000919208022 1072869353 /nfs/dbraw/zinc/86/93/53/1072869353.db2.gz VHOXPDHBVAAYDH-UHFFFAOYSA-N 0 0 436.240 -0.460 20 0 IBADRN COCCOCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000919223308 1072869811 /nfs/dbraw/zinc/86/98/11/1072869811.db2.gz MXQWQFDUELMTGM-UHFFFAOYSA-N 0 0 442.490 -0.072 20 0 IBADRN COCCOCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000919224203 1072869774 /nfs/dbraw/zinc/86/97/74/1072869774.db2.gz WXMWGMHTYCBIFB-UHFFFAOYSA-N 0 0 430.479 -0.266 20 0 IBADRN CNC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC000919225247 1072870191 /nfs/dbraw/zinc/87/01/91/1072870191.db2.gz XPJAPDLESGNVRX-UHFFFAOYSA-N 0 0 445.450 -0.663 20 0 IBADRN COCCOCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000919226171 1072870506 /nfs/dbraw/zinc/87/05/06/1072870506.db2.gz HVBCKWIIRJYZND-UHFFFAOYSA-N 0 0 442.490 -0.072 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)OCC(=O)NC(N)=O)c1OC ZINC000919227044 1072870310 /nfs/dbraw/zinc/87/03/10/1072870310.db2.gz MKZBLXBJBHRCRC-UHFFFAOYSA-N 0 0 431.423 -0.924 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)O[C@@H](C)C(=O)NC(N)=O)c1OC ZINC000919227732 1072870371 /nfs/dbraw/zinc/87/03/71/1072870371.db2.gz VXTSPYOEDFQJJP-JTQLQIEISA-N 0 0 445.450 -0.535 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)O[C@H](C)C(=O)NC(N)=O)c1OC ZINC000919227735 1072870496 /nfs/dbraw/zinc/87/04/96/1072870496.db2.gz VXTSPYOEDFQJJP-SNVBAGLBSA-N 0 0 445.450 -0.535 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)ccc1=O ZINC000919235534 1072871221 /nfs/dbraw/zinc/87/12/21/1072871221.db2.gz IIVVKZBTOZHGTE-UHFFFAOYSA-N 0 0 438.437 -0.391 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)ccc1=O ZINC000919235627 1072871244 /nfs/dbraw/zinc/87/12/44/1072871244.db2.gz MBHQEXSRSNGJFB-UHFFFAOYSA-N 0 0 431.424 -0.141 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)ccc1=O ZINC000919235833 1072871158 /nfs/dbraw/zinc/87/11/58/1072871158.db2.gz IDBVKRFGPHXQAU-UHFFFAOYSA-N 0 0 438.437 -0.391 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)c3ccc(=O)n(C)n3)C2=O)cc1 ZINC000919235846 1072871255 /nfs/dbraw/zinc/87/12/55/1072871255.db2.gz JMROODBICKBQCD-IBGZPJMESA-N 0 0 429.389 -0.556 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)c3ccc(=O)n(C)n3)C2=O)cc1 ZINC000919235847 1072871206 /nfs/dbraw/zinc/87/12/06/1072871206.db2.gz JMROODBICKBQCD-LJQANCHMSA-N 0 0 429.389 -0.556 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000919241462 1072870483 /nfs/dbraw/zinc/87/04/83/1072870483.db2.gz FQCNLWUDHXVNRL-HNNXBMFYSA-N 0 0 446.570 -0.597 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@H]2CCCCN2S(C)(=O)=O)CC1 ZINC000919241463 1072870328 /nfs/dbraw/zinc/87/03/28/1072870328.db2.gz FQCNLWUDHXVNRL-OAHLLOKOSA-N 0 0 446.570 -0.597 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H]1CCCCN1S(C)(=O)=O)c(=O)n2C ZINC000919242923 1072870281 /nfs/dbraw/zinc/87/02/81/1072870281.db2.gz OLHKDZPPAIUMTD-GFCCVEGCSA-N 0 0 427.483 -0.819 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H]1CCCCN1S(C)(=O)=O)c(=O)n2C ZINC000919242925 1072870437 /nfs/dbraw/zinc/87/04/37/1072870437.db2.gz OLHKDZPPAIUMTD-LBPRGKRZSA-N 0 0 427.483 -0.819 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000919244636 1072870422 /nfs/dbraw/zinc/87/04/22/1072870422.db2.gz UVQNSIWWQRANIO-FQEVSTJZSA-N 0 0 434.424 -0.173 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC000919244645 1072870800 /nfs/dbraw/zinc/87/08/00/1072870800.db2.gz UVQNSIWWQRANIO-HXUWFJFHSA-N 0 0 434.424 -0.173 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)OCC(=O)NCC(=O)N2CCCC2)C1=O ZINC000919244738 1072870293 /nfs/dbraw/zinc/87/02/93/1072870293.db2.gz JHTJHCZYVBSZLK-FQEVSTJZSA-N 0 0 434.424 -0.126 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)OCC(=O)NCC(=O)N2CCCC2)C1=O ZINC000919244739 1072870181 /nfs/dbraw/zinc/87/01/81/1072870181.db2.gz JHTJHCZYVBSZLK-HXUWFJFHSA-N 0 0 434.424 -0.126 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC000919244778 1072870926 /nfs/dbraw/zinc/87/09/26/1072870926.db2.gz KJGHOTFFKHCKGA-JEOXALJRSA-N 0 0 438.412 -0.003 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC000919244799 1072870899 /nfs/dbraw/zinc/87/08/99/1072870899.db2.gz KJGHOTFFKHCKGA-NSPYISDASA-N 0 0 438.412 -0.003 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC000919244801 1072870834 /nfs/dbraw/zinc/87/08/34/1072870834.db2.gz KJGHOTFFKHCKGA-WLRWDXFRSA-N 0 0 438.412 -0.003 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000919245003 1072870760 /nfs/dbraw/zinc/87/07/60/1072870760.db2.gz HRBVXAJGFPILOF-AWEZNQCLSA-N 0 0 427.479 -0.079 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000919245004 1072870782 /nfs/dbraw/zinc/87/07/82/1072870782.db2.gz HRBVXAJGFPILOF-CQSZACIVSA-N 0 0 427.479 -0.079 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000919245366 1072870791 /nfs/dbraw/zinc/87/07/91/1072870791.db2.gz LSTQNNDRDWYHRE-AWEZNQCLSA-N 0 0 443.507 -0.077 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@H]2CCCCN2S(C)(=O)=O)cc1 ZINC000919245367 1072870824 /nfs/dbraw/zinc/87/08/24/1072870824.db2.gz LSTQNNDRDWYHRE-CQSZACIVSA-N 0 0 443.507 -0.077 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)[C@@H]1CCCCN1S(C)(=O)=O)S(C)(=O)=O ZINC000919245578 1072870774 /nfs/dbraw/zinc/87/07/74/1072870774.db2.gz OKSBKGXNNZCEJB-AWEZNQCLSA-N 0 0 441.572 -0.480 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)[C@H]1CCCCN1S(C)(=O)=O)S(C)(=O)=O ZINC000919245580 1072870766 /nfs/dbraw/zinc/87/07/66/1072870766.db2.gz OKSBKGXNNZCEJB-CQSZACIVSA-N 0 0 441.572 -0.480 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000919245970 1072870721 /nfs/dbraw/zinc/87/07/21/1072870721.db2.gz CCWATRIOHOMNCT-HNNXBMFYSA-N 0 0 447.535 -0.650 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)OCC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000919245978 1072870876 /nfs/dbraw/zinc/87/08/76/1072870876.db2.gz CCWATRIOHOMNCT-OAHLLOKOSA-N 0 0 447.535 -0.650 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000919246352 1072870737 /nfs/dbraw/zinc/87/07/37/1072870737.db2.gz VUVJUAVSDPWYGE-HNNXBMFYSA-N 0 0 445.519 -0.420 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000919246353 1072870864 /nfs/dbraw/zinc/87/08/64/1072870864.db2.gz VUVJUAVSDPWYGE-OAHLLOKOSA-N 0 0 445.519 -0.420 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@@H]1CCCCN1S(C)(=O)=O)C2=O ZINC000919246471 1072870810 /nfs/dbraw/zinc/87/08/10/1072870810.db2.gz GFAWUPMVJADBDB-XYEKJYRLSA-N 0 0 444.510 -0.124 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)[C@H]1CCCCN1S(C)(=O)=O)C2=O ZINC000919246472 1072870747 /nfs/dbraw/zinc/87/07/47/1072870747.db2.gz GFAWUPMVJADBDB-YNAXMUEKSA-N 0 0 444.510 -0.124 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCCN2S(C)(=O)=O)c(=O)n(C)c1=O ZINC000919246790 1072870913 /nfs/dbraw/zinc/87/09/13/1072870913.db2.gz ZESRLLSWNBVTIS-GFCCVEGCSA-N 0 0 444.510 -0.675 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)[C@@H]2CCCCN2S(C)(=O)=O)c(=O)n(C)c1=O ZINC000919246791 1072870708 /nfs/dbraw/zinc/87/07/08/1072870708.db2.gz ZESRLLSWNBVTIS-LBPRGKRZSA-N 0 0 444.510 -0.675 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000919248099 1072871339 /nfs/dbraw/zinc/87/13/39/1072871339.db2.gz VURJFNLAUGXOPT-CYBMUJFWSA-N 0 0 433.508 -0.062 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@@H]2CCCCN2S(C)(=O)=O)cc1S(N)(=O)=O ZINC000919248100 1072871273 /nfs/dbraw/zinc/87/12/73/1072871273.db2.gz VURJFNLAUGXOPT-ZDUSSCGKSA-N 0 0 433.508 -0.062 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)OCC(=O)NCc1ccc(OCC(N)=O)cc1 ZINC000919248323 1072871215 /nfs/dbraw/zinc/87/12/15/1072871215.db2.gz XQADKSXUGUICRF-HNNXBMFYSA-N 0 0 427.479 -0.476 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)OCC(=O)NCc1ccc(OCC(N)=O)cc1 ZINC000919248326 1072871203 /nfs/dbraw/zinc/87/12/03/1072871203.db2.gz XQADKSXUGUICRF-OAHLLOKOSA-N 0 0 427.479 -0.476 20 0 IBADRN O=C(CCn1ccccc1=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000919254947 1072871903 /nfs/dbraw/zinc/87/19/03/1072871903.db2.gz CZASGMIEAZFXGE-CVEARBPZSA-N 0 0 426.491 -0.024 20 0 IBADRN O=C(CCn1ccccc1=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000919254950 1072872331 /nfs/dbraw/zinc/87/23/31/1072872331.db2.gz CZASGMIEAZFXGE-HOTGVXAUSA-N 0 0 426.491 -0.024 20 0 IBADRN O=C(CCn1ccccc1=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000919254953 1072872247 /nfs/dbraw/zinc/87/22/47/1072872247.db2.gz CZASGMIEAZFXGE-HZPDHXFCSA-N 0 0 426.491 -0.024 20 0 IBADRN O=C(CCn1ccccc1=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000919254956 1072872257 /nfs/dbraw/zinc/87/22/57/1072872257.db2.gz CZASGMIEAZFXGE-JKSUJKDBSA-N 0 0 426.491 -0.024 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)CCn2ccccc2=O)CC1 ZINC000919256038 1072872174 /nfs/dbraw/zinc/87/21/74/1072872174.db2.gz IJTQNJULRAQAFA-UHFFFAOYSA-N 0 0 425.449 -0.193 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)N1C(=O)CCC1=O ZINC000919257678 1072872115 /nfs/dbraw/zinc/87/21/15/1072872115.db2.gz NLSGQUCKPKNUNN-AWEZNQCLSA-N 0 0 448.451 -0.011 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)N1C(=O)CCC1=O ZINC000919257679 1072872273 /nfs/dbraw/zinc/87/22/73/1072872273.db2.gz NLSGQUCKPKNUNN-CQSZACIVSA-N 0 0 448.451 -0.011 20 0 IBADRN C[C@H](C(=O)O[C@@H](C)C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1C(=O)CCC1=O ZINC000919257822 1072872293 /nfs/dbraw/zinc/87/22/93/1072872293.db2.gz OYWBHRDXBOXTON-NEPJUHHUSA-N 0 0 425.463 -0.538 20 0 IBADRN C[C@@H](OC(=O)[C@H](C)N1C(=O)CCC1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000919257823 1072872144 /nfs/dbraw/zinc/87/21/44/1072872144.db2.gz OYWBHRDXBOXTON-NWDGAFQWSA-N 0 0 425.463 -0.538 20 0 IBADRN C[C@H](OC(=O)[C@H](C)N1C(=O)CCC1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000919257824 1072872796 /nfs/dbraw/zinc/87/27/96/1072872796.db2.gz OYWBHRDXBOXTON-RYUDHWBXSA-N 0 0 425.463 -0.538 20 0 IBADRN C[C@@H](OC(=O)[C@@H](C)N1C(=O)CCC1=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000919257825 1072872591 /nfs/dbraw/zinc/87/25/91/1072872591.db2.gz OYWBHRDXBOXTON-VXGBXAGGSA-N 0 0 425.463 -0.538 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)N1C(=O)CCC1=O ZINC000919258096 1072872678 /nfs/dbraw/zinc/87/26/78/1072872678.db2.gz JSMRNYBGZLMVCT-AWEZNQCLSA-N 0 0 431.445 -0.144 20 0 IBADRN C[C@H](C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)N1C(=O)CCC1=O ZINC000919258097 1072872652 /nfs/dbraw/zinc/87/26/52/1072872652.db2.gz JSMRNYBGZLMVCT-CQSZACIVSA-N 0 0 431.445 -0.144 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C(=O)CCC1=O ZINC000919258479 1072872809 /nfs/dbraw/zinc/87/28/09/1072872809.db2.gz ZXMCURIBFNDVAG-AWEZNQCLSA-N 0 0 437.474 -0.400 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C(=O)CCC1=O ZINC000919258481 1072872621 /nfs/dbraw/zinc/87/26/21/1072872621.db2.gz ZXMCURIBFNDVAG-CQSZACIVSA-N 0 0 437.474 -0.400 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1C(=O)CCC1=O ZINC000919258877 1072872702 /nfs/dbraw/zinc/87/27/02/1072872702.db2.gz FOSXHPZPLNHRHI-GFCCVEGCSA-N 0 0 429.437 -0.907 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1)N1C(=O)CCC1=O ZINC000919258882 1072872757 /nfs/dbraw/zinc/87/27/57/1072872757.db2.gz FOSXHPZPLNHRHI-LBPRGKRZSA-N 0 0 429.437 -0.907 20 0 IBADRN C[C@H](OC(=O)[C@H](C)N1C(=O)CCC1=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919259259 1072872631 /nfs/dbraw/zinc/87/26/31/1072872631.db2.gz QCPGVXNTYWSTGO-SRVKXCTJSA-N 0 0 425.463 -0.020 20 0 IBADRN C[C@H](C(=O)O[C@@H](C)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1C(=O)CCC1=O ZINC000919259260 1072872840 /nfs/dbraw/zinc/87/28/40/1072872840.db2.gz QCPGVXNTYWSTGO-TUAOUCFPSA-N 0 0 425.463 -0.020 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)[C@@H](C)N1C(=O)CCC1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000919259261 1072872646 /nfs/dbraw/zinc/87/26/46/1072872646.db2.gz QCPGVXNTYWSTGO-UTUOFQBUSA-N 0 0 425.463 -0.020 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)[C@H](C)N1C(=O)CCC1=O)c1ccc(S(N)(=O)=O)cc1 ZINC000919259262 1072872687 /nfs/dbraw/zinc/87/26/87/1072872687.db2.gz QCPGVXNTYWSTGO-WOPDTQHZSA-N 0 0 425.463 -0.020 20 0 IBADRN C[C@H](C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)N1C(=O)CCC1=O ZINC000919259844 1072872782 /nfs/dbraw/zinc/87/27/82/1072872782.db2.gz MOVZLTGMICZWJU-HZSPNIEDSA-N 0 0 430.479 -0.738 20 0 IBADRN C[C@H](C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)N1C(=O)CCC1=O ZINC000919259853 1072872824 /nfs/dbraw/zinc/87/28/24/1072872824.db2.gz MOVZLTGMICZWJU-MCIONIFRSA-N 0 0 430.479 -0.738 20 0 IBADRN C[C@H](C(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)N1C(=O)CCC1=O ZINC000919259854 1072872858 /nfs/dbraw/zinc/87/28/58/1072872858.db2.gz MOVZLTGMICZWJU-MGPQQGTHSA-N 0 0 430.479 -0.738 20 0 IBADRN C[C@H](C(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)N1C(=O)CCC1=O ZINC000919259856 1072872573 /nfs/dbraw/zinc/87/25/73/1072872573.db2.gz MOVZLTGMICZWJU-RDBSUJKOSA-N 0 0 430.479 -0.738 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)[C@@H](C)N2C(=O)CCC2=O)c(=O)[nH]c1=O ZINC000919260024 1072873354 /nfs/dbraw/zinc/87/33/54/1072873354.db2.gz QGZBUQUVXUKGQE-LLVKDONJSA-N 0 0 437.453 -0.235 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)[C@H](C)N2C(=O)CCC2=O)c(=O)[nH]c1=O ZINC000919260026 1072873156 /nfs/dbraw/zinc/87/31/56/1072873156.db2.gz QGZBUQUVXUKGQE-NSHDSACASA-N 0 0 437.453 -0.235 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@@H](C)N2C(=O)CCC2=O)cc1S(=O)(=O)N(C)C ZINC000919260399 1072873174 /nfs/dbraw/zinc/87/31/74/1072873174.db2.gz NAKPHMKEPXFFFI-LLVKDONJSA-N 0 0 441.462 -0.035 20 0 IBADRN COc1ccc(NC(=O)COC(=O)[C@H](C)N2C(=O)CCC2=O)cc1S(=O)(=O)N(C)C ZINC000919260400 1072873307 /nfs/dbraw/zinc/87/33/07/1072873307.db2.gz NAKPHMKEPXFFFI-NSHDSACASA-N 0 0 441.462 -0.035 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](C)N3C(=O)CCC3=O)C2=O)cc1 ZINC000919261104 1072873337 /nfs/dbraw/zinc/87/33/37/1072873337.db2.gz ZOIYBODBYGYTET-BIBXISHDSA-N 0 0 446.416 -0.426 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](C)N3C(=O)CCC3=O)C2=O)cc1 ZINC000919261105 1072873398 /nfs/dbraw/zinc/87/33/98/1072873398.db2.gz ZOIYBODBYGYTET-GIPWTMENSA-N 0 0 446.416 -0.426 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](C)N3C(=O)CCC3=O)C2=O)cc1 ZINC000919261106 1072873378 /nfs/dbraw/zinc/87/33/78/1072873378.db2.gz ZOIYBODBYGYTET-PRWKNARSSA-N 0 0 446.416 -0.426 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](C)N3C(=O)CCC3=O)C2=O)cc1 ZINC000919261108 1072873261 /nfs/dbraw/zinc/87/32/61/1072873261.db2.gz ZOIYBODBYGYTET-YBTHPKLGSA-N 0 0 446.416 -0.426 20 0 IBADRN C[C@H](C(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O)N1C(=O)CCC1=O ZINC000919261208 1072873135 /nfs/dbraw/zinc/87/31/35/1072873135.db2.gz SNZBGHGAJLRQSM-GFCCVEGCSA-N 0 0 442.428 -0.559 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H](C)N2C(=O)CCC2=O)C1=O ZINC000919261240 1072873244 /nfs/dbraw/zinc/87/32/44/1072873244.db2.gz UTTIZLNQCKXUJE-FKIZINRSSA-N 0 0 430.417 -0.044 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H](C)N2C(=O)CCC2=O)C1=O ZINC000919261241 1072873126 /nfs/dbraw/zinc/87/31/26/1072873126.db2.gz UTTIZLNQCKXUJE-MPBGBICISA-N 0 0 430.417 -0.044 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@@H](C)N2C(=O)CCC2=O)C1=O ZINC000919261242 1072873365 /nfs/dbraw/zinc/87/33/65/1072873365.db2.gz UTTIZLNQCKXUJE-ODXCJYRJSA-N 0 0 430.417 -0.044 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)[C@H](C)N2C(=O)CCC2=O)C1=O ZINC000919261243 1072873754 /nfs/dbraw/zinc/87/37/54/1072873754.db2.gz UTTIZLNQCKXUJE-YUNKPMOVSA-N 0 0 430.417 -0.044 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)Cn2ncc3ccccc3c2=O)CC1 ZINC000919262581 1072873705 /nfs/dbraw/zinc/87/37/05/1072873705.db2.gz LKZLCRFTPQHWAP-UHFFFAOYSA-N 0 0 443.504 -0.001 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2cnc3sccn3c2=O)CC1 ZINC000919265107 1072873628 /nfs/dbraw/zinc/87/36/28/1072873628.db2.gz BTZJAEDMJQQBAU-UHFFFAOYSA-N 0 0 435.506 -0.028 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)c2cnc3sccn3c2=O)cc1 ZINC000919266805 1072871815 /nfs/dbraw/zinc/87/18/15/1072871815.db2.gz YAIPALCQFCBEFZ-UHFFFAOYSA-N 0 0 436.471 -0.081 20 0 IBADRN COCCN(C(=O)COC(=O)c1ccn(C)c(=O)c1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000919268013 1072871881 /nfs/dbraw/zinc/87/18/81/1072871881.db2.gz RZYHZZWKZACGHS-UHFFFAOYSA-N 0 0 449.464 -0.278 20 0 IBADRN Cn1ccc(C(=O)OCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)cc1=O ZINC000919269598 1072876299 /nfs/dbraw/zinc/87/62/99/1072876299.db2.gz HYDZQFLULJTRIF-UHFFFAOYSA-N 0 0 449.485 -0.121 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)c2ccn(C)c(=O)c2)CC1 ZINC000919270003 1072876105 /nfs/dbraw/zinc/87/61/05/1072876105.db2.gz IVBFEWXLIKNPLS-UHFFFAOYSA-N 0 0 438.462 -0.320 20 0 IBADRN Cn1ccc(C(=O)OCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cc1=O ZINC000919270846 1072876744 /nfs/dbraw/zinc/87/67/44/1072876744.db2.gz ZFAOZIOPPMCLPH-UHFFFAOYSA-N 0 0 449.485 -0.121 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)COCC(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000919274423 1072874701 /nfs/dbraw/zinc/87/47/01/1072874701.db2.gz BYRNLSILOJIKKY-FPCVCCKLSA-N 0 0 444.481 -0.639 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)COCC(=O)OCC(=O)N2C[C@@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000919274424 1072874937 /nfs/dbraw/zinc/87/49/37/1072874937.db2.gz BYRNLSILOJIKKY-GEEKYZPCSA-N 0 0 444.481 -0.639 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)COCC(=O)OCC(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@@H](C)O1 ZINC000919274425 1072874673 /nfs/dbraw/zinc/87/46/73/1072874673.db2.gz BYRNLSILOJIKKY-RUPPMWDTSA-N 0 0 444.481 -0.639 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)COCC(=O)OCC(=O)N2C[C@H](C)O[C@@H](C)C2)C[C@H](C)O1 ZINC000919274426 1072874714 /nfs/dbraw/zinc/87/47/14/1072874714.db2.gz BYRNLSILOJIKKY-YHUYYLMFSA-N 0 0 444.481 -0.639 20 0 IBADRN CNC(=O)NC(=O)[C@H](C)OC(=O)C1CCC(C(=O)O[C@@H](C)C(=O)NC(=O)NC)CC1 ZINC000919275008 1072874775 /nfs/dbraw/zinc/87/47/75/1072874775.db2.gz JMDWGLPQFGSEGX-JYBOHDQNSA-N 0 0 428.442 -0.433 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)C1CCC(C(=O)O[C@@H](C)C(=O)NC(=O)NC)CC1 ZINC000919275009 1072874726 /nfs/dbraw/zinc/87/47/26/1072874726.db2.gz JMDWGLPQFGSEGX-ZYANWLCNSA-N 0 0 428.442 -0.433 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919275846 1072874882 /nfs/dbraw/zinc/87/48/82/1072874882.db2.gz NYLBISBQEXWZLY-HNNXBMFYSA-N 0 0 438.568 -0.678 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919275848 1072874870 /nfs/dbraw/zinc/87/48/70/1072874870.db2.gz NYLBISBQEXWZLY-OAHLLOKOSA-N 0 0 438.568 -0.678 20 0 IBADRN O=C(COC(=O)C1CCC(C(=O)OCC(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC000919276240 1072874896 /nfs/dbraw/zinc/87/48/96/1072874896.db2.gz SWWVJPUTIBPXBX-UHFFFAOYSA-N 0 0 426.466 -0.403 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2ccc(C(=O)OCC(=O)N3CCO[C@@H](C)C3)nc2)CCO1 ZINC000919276620 1072874784 /nfs/dbraw/zinc/87/47/84/1072874784.db2.gz XZJHTIOUIQJUGT-CABCVRRESA-N 0 0 449.460 -0.110 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2ccc(C(=O)OCC(=O)N3CCO[C@@H](C)C3)nc2)CCO1 ZINC000919276621 1072874792 /nfs/dbraw/zinc/87/47/92/1072874792.db2.gz XZJHTIOUIQJUGT-GJZGRUSLSA-N 0 0 449.460 -0.110 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2ccc(C(=O)OCC(=O)N3CCO[C@H](C)C3)nc2)CCO1 ZINC000919276622 1072875298 /nfs/dbraw/zinc/87/52/98/1072875298.db2.gz XZJHTIOUIQJUGT-HUUCEWRRSA-N 0 0 449.460 -0.110 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2ccc(C(=O)OCC(=O)N3CCO[C@@H](C)C3)cn2)CCO1 ZINC000919276623 1072875130 /nfs/dbraw/zinc/87/51/30/1072875130.db2.gz XZJHTIOUIQJUGT-LSDHHAIUSA-N 0 0 449.460 -0.110 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CS(=O)(=O)C2CCCC2)c(=O)n(C)c1=O ZINC000919277273 1072875281 /nfs/dbraw/zinc/87/52/81/1072875281.db2.gz PBARHYPOAKKNPA-UHFFFAOYSA-N 0 0 429.495 -0.132 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)COC(=O)CS(=O)(=O)C2CCCC2)cc1 ZINC000919278381 1072875308 /nfs/dbraw/zinc/87/53/08/1072875308.db2.gz AGKGVEFYPWOYKL-UHFFFAOYSA-N 0 0 432.520 -0.107 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CS(=O)(=O)C2CCCC2)CC1 ZINC000919282291 1072875836 /nfs/dbraw/zinc/87/58/36/1072875836.db2.gz WEYODHBICYVWOX-UHFFFAOYSA-N 0 0 431.555 -0.054 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000919289594 1072877633 /nfs/dbraw/zinc/87/76/33/1072877633.db2.gz ZGVPSTYHYIYERE-UHFFFAOYSA-N 0 0 432.455 -0.634 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000919289598 1072877840 /nfs/dbraw/zinc/87/78/40/1072877840.db2.gz ZUALUTBLTBXJDA-UHFFFAOYSA-N 0 0 429.451 -0.113 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000919289608 1072877802 /nfs/dbraw/zinc/87/78/02/1072877802.db2.gz JWJHMZRYJXHBMZ-UHFFFAOYSA-N 0 0 443.478 -0.435 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919289616 1072877929 /nfs/dbraw/zinc/87/79/29/1072877929.db2.gz KKYCJLZOAVNNIT-UHFFFAOYSA-N 0 0 443.478 -0.435 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000919289630 1072877821 /nfs/dbraw/zinc/87/78/21/1072877821.db2.gz LJSRNJHYNNGZRG-UHFFFAOYSA-N 0 0 431.442 -0.100 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000919290434 1072878133 /nfs/dbraw/zinc/87/81/33/1072878133.db2.gz DJOSQAJNZQNUCW-UHFFFAOYSA-N 0 0 443.478 -0.231 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919290514 1072878289 /nfs/dbraw/zinc/87/82/89/1072878289.db2.gz GUXBSXRLODOYSR-UHFFFAOYSA-N 0 0 429.451 -0.113 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000919290534 1072878163 /nfs/dbraw/zinc/87/81/63/1072878163.db2.gz IDADXAHKJILYLL-UHFFFAOYSA-N 0 0 431.442 -0.100 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000919290923 1072878122 /nfs/dbraw/zinc/87/81/22/1072878122.db2.gz SLJJQEQRCBHCQU-UHFFFAOYSA-N 0 0 431.442 -0.100 20 0 IBADRN COC(=O)N(C)CC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000919291384 1072878229 /nfs/dbraw/zinc/87/82/29/1072878229.db2.gz SEPACYMMWSULPM-UHFFFAOYSA-N 0 0 427.479 -0.098 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)C2=NN(Cc3ccccc3)C(=O)CC2)CC1 ZINC000919292197 1072878303 /nfs/dbraw/zinc/87/83/03/1072878303.db2.gz BFAMGVPAVRZRFS-UHFFFAOYSA-N 0 0 443.504 -0.059 20 0 IBADRN Cc1nn(C)c2nc(C)c(CC(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)c(C)c12 ZINC000919301264 1072877160 /nfs/dbraw/zinc/87/71/60/1072877160.db2.gz SYRDFOHXEKHOHC-UHFFFAOYSA-N 0 0 428.449 -0.158 20 0 IBADRN O=C(CN1CCCCCCC1=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919308164 1072879204 /nfs/dbraw/zinc/87/92/04/1072879204.db2.gz ITWPNKOEHRHEKK-INIZCTEOSA-N 0 0 429.539 -0.346 20 0 IBADRN O=C(CN1CCCCCCC1=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919308165 1072879225 /nfs/dbraw/zinc/87/92/25/1072879225.db2.gz ITWPNKOEHRHEKK-MRXNPFEDSA-N 0 0 429.539 -0.346 20 0 IBADRN Cc1nn(C)c(C)c1-c1cc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)n[nH]1 ZINC000919322856 1072881440 /nfs/dbraw/zinc/88/14/40/1072881440.db2.gz KOLZCXVDRISUDD-UHFFFAOYSA-N 0 0 426.499 -0.018 20 0 IBADRN Cc1nn(C)c(C)c1-c1cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)n[nH]1 ZINC000919323659 1072881343 /nfs/dbraw/zinc/88/13/43/1072881343.db2.gz WSAKXONSTTYXNU-UHFFFAOYSA-N 0 0 431.497 -0.184 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000919333002 1072882389 /nfs/dbraw/zinc/88/23/89/1072882389.db2.gz CBWUGPAWTFLZHN-UHFFFAOYSA-N 0 0 438.510 -0.374 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000919333860 1072882439 /nfs/dbraw/zinc/88/24/39/1072882439.db2.gz WKQCXSXQLVHPLX-CABCVRRESA-N 0 0 439.552 -0.205 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000919333869 1072882411 /nfs/dbraw/zinc/88/24/11/1072882411.db2.gz WKQCXSXQLVHPLX-GJZGRUSLSA-N 0 0 439.552 -0.205 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000919333870 1072882497 /nfs/dbraw/zinc/88/24/97/1072882497.db2.gz WKQCXSXQLVHPLX-HUUCEWRRSA-N 0 0 439.552 -0.205 20 0 IBADRN CC(C)CS(=O)(=O)CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000919333871 1072882469 /nfs/dbraw/zinc/88/24/69/1072882469.db2.gz WKQCXSXQLVHPLX-LSDHHAIUSA-N 0 0 439.552 -0.205 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919336953 1072881509 /nfs/dbraw/zinc/88/15/09/1072881509.db2.gz FBHIAGIJAIMGQT-CYBMUJFWSA-N 0 0 425.463 -0.285 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919336954 1072881466 /nfs/dbraw/zinc/88/14/66/1072881466.db2.gz FBHIAGIJAIMGQT-ZDUSSCGKSA-N 0 0 425.463 -0.285 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H]3CCC(=O)NC3)CC2)cc1 ZINC000919337152 1072881430 /nfs/dbraw/zinc/88/14/30/1072881430.db2.gz MCVACZZTCBZLGU-AWEZNQCLSA-N 0 0 439.490 -0.403 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H]3CCC(=O)NC3)CC2)cc1 ZINC000919337154 1072881321 /nfs/dbraw/zinc/88/13/21/1072881321.db2.gz MCVACZZTCBZLGU-CQSZACIVSA-N 0 0 439.490 -0.403 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919338296 1072881422 /nfs/dbraw/zinc/88/14/22/1072881422.db2.gz DICMDKFOPZKRHW-HNNXBMFYSA-N 0 0 439.490 -0.607 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919338297 1072881555 /nfs/dbraw/zinc/88/15/55/1072881555.db2.gz DICMDKFOPZKRHW-OAHLLOKOSA-N 0 0 439.490 -0.607 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)OCC(=O)NCC(=O)NC(C)(C)C ZINC000919338522 1072882037 /nfs/dbraw/zinc/88/20/37/1072882037.db2.gz AIZJMNFFEFFIFS-UHFFFAOYSA-N 0 0 432.481 -0.048 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)OCC(=O)NCC(=O)N1CCCC1 ZINC000919339407 1072882008 /nfs/dbraw/zinc/88/20/08/1072882008.db2.gz JITMKQJRWJNOCS-UHFFFAOYSA-N 0 0 430.465 -0.341 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)OCC(=O)N1CCCC[C@H]1C(N)=O ZINC000919339512 1072881872 /nfs/dbraw/zinc/88/18/72/1072881872.db2.gz XNRSJXQDUKYZMG-HNNXBMFYSA-N 0 0 430.465 -0.213 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)OCC(=O)N1CCCC[C@@H]1C(N)=O ZINC000919339518 1072882107 /nfs/dbraw/zinc/88/21/07/1072882107.db2.gz XNRSJXQDUKYZMG-OAHLLOKOSA-N 0 0 430.465 -0.213 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)CCc2c(C)nc3c(C(N)=O)cnn3c2C)CC1 ZINC000919339680 1072881991 /nfs/dbraw/zinc/88/19/91/1072881991.db2.gz TZZHISNPHBYTQA-UHFFFAOYSA-N 0 0 430.465 -0.388 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000919339855 1072881978 /nfs/dbraw/zinc/88/19/78/1072881978.db2.gz CPDATVYSZATBKV-CYBMUJFWSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000919339856 1072882049 /nfs/dbraw/zinc/88/20/49/1072882049.db2.gz CPDATVYSZATBKV-ZDUSSCGKSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000919340074 1072881862 /nfs/dbraw/zinc/88/18/62/1072881862.db2.gz ALEJWMUIAZNNPT-HNNXBMFYSA-N 0 0 439.490 -0.607 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000919340075 1072881886 /nfs/dbraw/zinc/88/18/86/1072881886.db2.gz ALEJWMUIAZNNPT-OAHLLOKOSA-N 0 0 439.490 -0.607 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC000919340129 1072881931 /nfs/dbraw/zinc/88/19/31/1072881931.db2.gz DGOGMUHXQOBRRP-CYBMUJFWSA-N 0 0 428.467 -0.806 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H]2CCC(=O)NC2)CC1 ZINC000919340130 1072882004 /nfs/dbraw/zinc/88/20/04/1072882004.db2.gz DGOGMUHXQOBRRP-ZDUSSCGKSA-N 0 0 428.467 -0.806 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000919340180 1072881918 /nfs/dbraw/zinc/88/19/18/1072881918.db2.gz HMDHVYYYDSXLFN-CYBMUJFWSA-N 0 0 425.463 -0.285 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000919340181 1072881947 /nfs/dbraw/zinc/88/19/47/1072881947.db2.gz HMDHVYYYDSXLFN-ZDUSSCGKSA-N 0 0 425.463 -0.285 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@H]2CCC(=O)NC2)c(N)n(Cc2ccccc2)c1=O ZINC000919340320 1072882083 /nfs/dbraw/zinc/88/20/83/1072882083.db2.gz LJTYLBIZELIXLN-AWEZNQCLSA-N 0 0 428.445 -0.088 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000919340347 1072882095 /nfs/dbraw/zinc/88/20/95/1072882095.db2.gz OCIWCEGRBKGLRI-CYBMUJFWSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000919340348 1072882028 /nfs/dbraw/zinc/88/20/28/1072882028.db2.gz OCIWCEGRBKGLRI-ZDUSSCGKSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000919340397 1072881959 /nfs/dbraw/zinc/88/19/59/1072881959.db2.gz JQLNGBFVSWFUHO-GFCCVEGCSA-N 0 0 445.444 -0.133 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000919340398 1072881896 /nfs/dbraw/zinc/88/18/96/1072881896.db2.gz JQLNGBFVSWFUHO-LBPRGKRZSA-N 0 0 445.444 -0.133 20 0 IBADRN O=C(Cc1cnn(-c2ccccc2)n1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919340955 1072882483 /nfs/dbraw/zinc/88/24/83/1072882483.db2.gz ZOADPMCGMBHPAS-GOSISDBHSA-N 0 0 447.517 -0.316 20 0 IBADRN O=C(Cc1cnn(-c2ccccc2)n1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919340959 1072882450 /nfs/dbraw/zinc/88/24/50/1072882450.db2.gz ZOADPMCGMBHPAS-SFHVURJKSA-N 0 0 447.517 -0.316 20 0 IBADRN O=C(COC(=O)[C@@H]1CCC(=O)NC1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000919341083 1072882314 /nfs/dbraw/zinc/88/23/14/1072882314.db2.gz ZXBIWLAVZUJLOL-CYBMUJFWSA-N 0 0 427.454 -0.272 20 0 IBADRN O=C(COC(=O)[C@H]1CCC(=O)NC1)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000919341084 1072882535 /nfs/dbraw/zinc/88/25/35/1072882535.db2.gz ZXBIWLAVZUJLOL-ZDUSSCGKSA-N 0 0 427.454 -0.272 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)CCc1c(C)nc2c(C(N)=O)cnn2c1C ZINC000919341179 1072882429 /nfs/dbraw/zinc/88/24/29/1072882429.db2.gz YCUMBHAEJAWIKL-JTQLQIEISA-N 0 0 434.453 -0.218 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)CCc1c(C)nc2c(C(N)=O)cnn2c1C ZINC000919341180 1072882522 /nfs/dbraw/zinc/88/25/22/1072882522.db2.gz YCUMBHAEJAWIKL-SNVBAGLBSA-N 0 0 434.453 -0.218 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@@H](C)S(C)(=O)=O)CC2)cc1 ZINC000919345077 1072882936 /nfs/dbraw/zinc/88/29/36/1072882936.db2.gz HMJDMQUSJGHSTM-CYBMUJFWSA-N 0 0 448.519 -0.496 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)[C@H](C)S(C)(=O)=O)CC2)cc1 ZINC000919345078 1072883474 /nfs/dbraw/zinc/88/34/74/1072883474.db2.gz HMJDMQUSJGHSTM-ZDUSSCGKSA-N 0 0 448.519 -0.496 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)S(C)(=O)=O ZINC000919345285 1072883501 /nfs/dbraw/zinc/88/35/01/1072883501.db2.gz KTPWJOVLIBRSJF-GFCCVEGCSA-N 0 0 436.483 -0.365 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)S(C)(=O)=O ZINC000919345287 1072883464 /nfs/dbraw/zinc/88/34/64/1072883464.db2.gz KTPWJOVLIBRSJF-LBPRGKRZSA-N 0 0 436.483 -0.365 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000919345324 1072883498 /nfs/dbraw/zinc/88/34/98/1072883498.db2.gz LCQZMPWBMQQVRC-GFCCVEGCSA-N 0 0 436.483 -0.365 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000919345326 1072883375 /nfs/dbraw/zinc/88/33/75/1072883375.db2.gz LCQZMPWBMQQVRC-LBPRGKRZSA-N 0 0 436.483 -0.365 20 0 IBADRN C[C@H](C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000919345459 1072883435 /nfs/dbraw/zinc/88/34/35/1072883435.db2.gz LSNRDEYCBGHUGN-GFCCVEGCSA-N 0 0 434.492 -0.378 20 0 IBADRN C[C@@H](C(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000919345461 1072883352 /nfs/dbraw/zinc/88/33/52/1072883352.db2.gz LSNRDEYCBGHUGN-LBPRGKRZSA-N 0 0 434.492 -0.378 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000919345833 1072883324 /nfs/dbraw/zinc/88/33/24/1072883324.db2.gz ROXSIKZMNTYPPQ-CYBMUJFWSA-N 0 0 429.470 -0.115 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1)S(C)(=O)=O ZINC000919345835 1072883339 /nfs/dbraw/zinc/88/33/39/1072883339.db2.gz ROXSIKZMNTYPPQ-ZDUSSCGKSA-N 0 0 429.470 -0.115 20 0 IBADRN C[C@@H](OC(=O)c1cncc(C(=O)O[C@H](C)C(=O)N2CCNC2=O)c1)C(=O)N1CCNC1=O ZINC000919346464 1072883451 /nfs/dbraw/zinc/88/34/51/1072883451.db2.gz GJALQKCWKOHKLY-GHMZBOCLSA-N 0 0 447.404 -0.724 20 0 IBADRN C[C@@H](OC(=O)c1cncc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)c1)C(=O)N1CCNC1=O ZINC000919346465 1072883306 /nfs/dbraw/zinc/88/33/06/1072883306.db2.gz GJALQKCWKOHKLY-PHIMTYICSA-N 0 0 447.404 -0.724 20 0 IBADRN C[C@H](OC(=O)c1cncc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)c1)C(=O)N1CCNC1=O ZINC000919346466 1072883489 /nfs/dbraw/zinc/88/34/89/1072883489.db2.gz GJALQKCWKOHKLY-QWRGUYRKSA-N 0 0 447.404 -0.724 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cncc(C(=O)OCC(=O)N3CCO[C@@H](C)C3)c2)CCO1 ZINC000919346563 1072883458 /nfs/dbraw/zinc/88/34/58/1072883458.db2.gz JFNZFEGGIILJCN-GASCZTMLSA-N 0 0 449.460 -0.110 20 0 IBADRN C[C@H]1CN(C(=O)COC(=O)c2cncc(C(=O)OCC(=O)N3CCO[C@@H](C)C3)c2)CCO1 ZINC000919346565 1072883429 /nfs/dbraw/zinc/88/34/29/1072883429.db2.gz JFNZFEGGIILJCN-GJZGRUSLSA-N 0 0 449.460 -0.110 20 0 IBADRN C[C@@H]1CN(C(=O)COC(=O)c2cncc(C(=O)OCC(=O)N3CCO[C@H](C)C3)c2)CCO1 ZINC000919346567 1072883403 /nfs/dbraw/zinc/88/34/03/1072883403.db2.gz JFNZFEGGIILJCN-HUUCEWRRSA-N 0 0 449.460 -0.110 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)S(C)(=O)=O ZINC000919346754 1072883479 /nfs/dbraw/zinc/88/34/79/1072883479.db2.gz BSKPXPWWFQZYEO-AWEZNQCLSA-N 0 0 432.520 -0.363 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)S(C)(=O)=O ZINC000919346755 1072883443 /nfs/dbraw/zinc/88/34/43/1072883443.db2.gz BSKPXPWWFQZYEO-CQSZACIVSA-N 0 0 432.520 -0.363 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@@H](C)S(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000919346794 1072883390 /nfs/dbraw/zinc/88/33/90/1072883390.db2.gz DRJYVEKTVBRKIT-CYBMUJFWSA-N 0 0 448.519 -0.069 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)[C@H](C)S(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000919346795 1072883892 /nfs/dbraw/zinc/88/38/92/1072883892.db2.gz DRJYVEKTVBRKIT-ZDUSSCGKSA-N 0 0 448.519 -0.069 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@@H](C)S(C)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000919348723 1072883125 /nfs/dbraw/zinc/88/31/25/1072883125.db2.gz DGDQPFIBMZRYFB-GFCCVEGCSA-N 0 0 437.474 -0.181 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)[C@H](C)S(C)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC000919348724 1072882964 /nfs/dbraw/zinc/88/29/64/1072882964.db2.gz DGDQPFIBMZRYFB-LBPRGKRZSA-N 0 0 437.474 -0.181 20 0 IBADRN C[C@H](C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000919349030 1072883725 /nfs/dbraw/zinc/88/37/25/1072883725.db2.gz AKZQTZVSPBRGJL-CYBMUJFWSA-N 0 0 448.519 -0.700 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)S(C)(=O)=O ZINC000919349031 1072883798 /nfs/dbraw/zinc/88/37/98/1072883798.db2.gz AKZQTZVSPBRGJL-ZDUSSCGKSA-N 0 0 448.519 -0.700 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](C)S(C)(=O)=O)C2=O)cc1 ZINC000919349157 1072883889 /nfs/dbraw/zinc/88/38/89/1072883889.db2.gz CUPSGFVKGFSNOD-BMLIUANNSA-N 0 0 427.435 -0.530 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](C)S(C)(=O)=O)C2=O)cc1 ZINC000919349158 1072883904 /nfs/dbraw/zinc/88/39/04/1072883904.db2.gz CUPSGFVKGFSNOD-BTDLBPIBSA-N 0 0 427.435 -0.530 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)[C@H](C)S(C)(=O)=O)C2=O)cc1 ZINC000919349159 1072883753 /nfs/dbraw/zinc/88/37/53/1072883753.db2.gz CUPSGFVKGFSNOD-DYZYQPBXSA-N 0 0 427.435 -0.530 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)[C@@H](C)S(C)(=O)=O)C2=O)cc1 ZINC000919349160 1072883740 /nfs/dbraw/zinc/88/37/40/1072883740.db2.gz CUPSGFVKGFSNOD-QGHHPUGFSA-N 0 0 427.435 -0.530 20 0 IBADRN C[C@H](C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)S(C)(=O)=O ZINC000919349660 1072883813 /nfs/dbraw/zinc/88/38/13/1072883813.db2.gz WQHUKCBALLSDKG-GFCCVEGCSA-N 0 0 434.492 -0.378 20 0 IBADRN C[C@@H](C(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)S(C)(=O)=O ZINC000919349661 1072883841 /nfs/dbraw/zinc/88/38/41/1072883841.db2.gz WQHUKCBALLSDKG-LBPRGKRZSA-N 0 0 434.492 -0.378 20 0 IBADRN C[C@H](C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)S(C)(=O)=O ZINC000919349863 1072883866 /nfs/dbraw/zinc/88/38/66/1072883866.db2.gz LEDAFLCRAQKRHN-CYBMUJFWSA-N 0 0 448.519 -0.700 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)S(C)(=O)=O ZINC000919349864 1072883689 /nfs/dbraw/zinc/88/36/89/1072883689.db2.gz LEDAFLCRAQKRHN-ZDUSSCGKSA-N 0 0 448.519 -0.700 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@@H](C)S(C)(=O)=O)CC1 ZINC000919349933 1072883898 /nfs/dbraw/zinc/88/38/98/1072883898.db2.gz NYVHIUHTVPOALN-GFCCVEGCSA-N 0 0 437.496 -0.899 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)[C@H](C)S(C)(=O)=O)CC1 ZINC000919349935 1072883827 /nfs/dbraw/zinc/88/38/27/1072883827.db2.gz NYVHIUHTVPOALN-LBPRGKRZSA-N 0 0 437.496 -0.899 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)S(C)(=O)=O ZINC000919350074 1072883879 /nfs/dbraw/zinc/88/38/79/1072883879.db2.gz SFZDGAIGUXGQGX-GFCCVEGCSA-N 0 0 436.483 -0.365 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1)S(C)(=O)=O ZINC000919350076 1072883708 /nfs/dbraw/zinc/88/37/08/1072883708.db2.gz SFZDGAIGUXGQGX-LBPRGKRZSA-N 0 0 436.483 -0.365 20 0 IBADRN C[C@@H](NC(=O)C1CCCC1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919353295 1072884207 /nfs/dbraw/zinc/88/42/07/1072884207.db2.gz FULKWZRWQUJHFA-GDBMZVCRSA-N 0 0 429.539 -0.444 20 0 IBADRN C[C@H](NC(=O)C1CCCC1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919353296 1072884194 /nfs/dbraw/zinc/88/41/94/1072884194.db2.gz FULKWZRWQUJHFA-GOEBONIOSA-N 0 0 429.539 -0.444 20 0 IBADRN C[C@H](NC(=O)C1CCCC1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919353297 1072884431 /nfs/dbraw/zinc/88/44/31/1072884431.db2.gz FULKWZRWQUJHFA-HOCLYGCPSA-N 0 0 429.539 -0.444 20 0 IBADRN C[C@@H](NC(=O)C1CCCC1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919353298 1072884420 /nfs/dbraw/zinc/88/44/20/1072884420.db2.gz FULKWZRWQUJHFA-ZBFHGGJFSA-N 0 0 429.539 -0.444 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CN2CCCS2(=O)=O)cc1S(=O)(=O)N(C)C ZINC000919358234 1072884177 /nfs/dbraw/zinc/88/41/77/1072884177.db2.gz CAZUOZMRNZXUQW-UHFFFAOYSA-N 0 0 433.508 -0.237 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCCS2(=O)=O)C1=O ZINC000919358602 1072884230 /nfs/dbraw/zinc/88/42/30/1072884230.db2.gz LQABDJIIUFXYMT-GOSISDBHSA-N 0 0 438.462 -0.546 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)COC(=O)CN2CCCS2(=O)=O)C1=O ZINC000919358604 1072884273 /nfs/dbraw/zinc/88/42/73/1072884273.db2.gz LQABDJIIUFXYMT-SFHVURJKSA-N 0 0 438.462 -0.546 20 0 IBADRN O=C(CN1CCCS1(=O)=O)OCC(=O)N(c1ccccc1)[C@H]1C=CS(=O)(=O)C1 ZINC000919358814 1072884164 /nfs/dbraw/zinc/88/41/64/1072884164.db2.gz AIKMREQQJXOSGN-HNNXBMFYSA-N 0 0 428.488 -0.091 20 0 IBADRN O=C(CN1CCCS1(=O)=O)OCC(=O)N(c1ccccc1)[C@@H]1C=CS(=O)(=O)C1 ZINC000919358815 1072884320 /nfs/dbraw/zinc/88/43/20/1072884320.db2.gz AIKMREQQJXOSGN-OAHLLOKOSA-N 0 0 428.488 -0.091 20 0 IBADRN C[C@@H](OC(=O)CN1CCCS1(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000919358829 1072884311 /nfs/dbraw/zinc/88/43/11/1072884311.db2.gz AUBISRBHBDLQHF-GFCCVEGCSA-N 0 0 433.508 -0.157 20 0 IBADRN C[C@H](OC(=O)CN1CCCS1(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000919358830 1072884333 /nfs/dbraw/zinc/88/43/33/1072884333.db2.gz AUBISRBHBDLQHF-LBPRGKRZSA-N 0 0 433.508 -0.157 20 0 IBADRN COc1ccc(NC(=O)COC(=O)CN2CCCS2(=O)=O)cc1S(=O)(=O)N(C)C ZINC000919359250 1072884694 /nfs/dbraw/zinc/88/46/94/1072884694.db2.gz SOYZKORWRVNQKI-UHFFFAOYSA-N 0 0 449.507 -0.537 20 0 IBADRN COC(=O)c1cc(NC(=O)COC(=O)CN2CCCS2(=O)=O)cc(C(=O)OC)c1 ZINC000919359615 1072884799 /nfs/dbraw/zinc/88/47/99/1072884799.db2.gz JBQQXLNWOQAVCS-UHFFFAOYSA-N 0 0 428.419 -0.223 20 0 IBADRN O=C(CN1CCCS1(=O)=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000919359963 1072884856 /nfs/dbraw/zinc/88/48/56/1072884856.db2.gz XZSVUJMFVUMYMF-UHFFFAOYSA-N 0 0 445.519 -0.902 20 0 IBADRN CCOC(=O)c1c(C)c(C(N)=O)sc1NC(=O)COC(=O)CN1CCCS1(=O)=O ZINC000919359966 1072884907 /nfs/dbraw/zinc/88/49/07/1072884907.db2.gz YHPVMMLVIFJUMA-UHFFFAOYSA-N 0 0 447.491 -0.151 20 0 IBADRN O=C(CN1CCCS1(=O)=O)OCC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000919359967 1072884756 /nfs/dbraw/zinc/88/47/56/1072884756.db2.gz YJVPEJNLHPIRBZ-HNNXBMFYSA-N 0 0 436.552 -0.087 20 0 IBADRN O=C(CN1CCCS1(=O)=O)OCC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000919359968 1072884874 /nfs/dbraw/zinc/88/48/74/1072884874.db2.gz YJVPEJNLHPIRBZ-OAHLLOKOSA-N 0 0 436.552 -0.087 20 0 IBADRN CC(C)[C@H](OC(=O)CN1CCCS1(=O)=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000919360557 1072884788 /nfs/dbraw/zinc/88/47/88/1072884788.db2.gz NZNDHWSMGJSYDS-INIZCTEOSA-N 0 0 425.511 -0.272 20 0 IBADRN CC(C)[C@@H](OC(=O)CN1CCCS1(=O)=O)C(=O)N1CCN(c2ncccn2)CC1 ZINC000919360558 1072884773 /nfs/dbraw/zinc/88/47/73/1072884773.db2.gz NZNDHWSMGJSYDS-MRXNPFEDSA-N 0 0 425.511 -0.272 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CN1CCCS1(=O)=O ZINC000919360564 1072884893 /nfs/dbraw/zinc/88/48/93/1072884893.db2.gz OJBKITLDEDUHHI-LLVKDONJSA-N 0 0 431.492 -0.810 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CN1CCCS1(=O)=O ZINC000919360565 1072884839 /nfs/dbraw/zinc/88/48/39/1072884839.db2.gz OJBKITLDEDUHHI-NSHDSACASA-N 0 0 431.492 -0.810 20 0 IBADRN O=C(COC(=O)CN1CCCS1(=O)=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC000919361159 1072884638 /nfs/dbraw/zinc/88/46/38/1072884638.db2.gz ZYVXZWYMKBFNIR-UHFFFAOYSA-N 0 0 439.490 -0.646 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)CC1 ZINC000919361213 1072884609 /nfs/dbraw/zinc/88/46/09/1072884609.db2.gz LWRLAMYZEBZCDR-UHFFFAOYSA-N 0 0 444.510 -0.766 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000919361304 1072883853 /nfs/dbraw/zinc/88/38/53/1072883853.db2.gz LMPPDZBHMORZAN-CYBMUJFWSA-N 0 0 441.510 -0.429 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000919361309 1072883782 /nfs/dbraw/zinc/88/37/82/1072883782.db2.gz LMPPDZBHMORZAN-ZDUSSCGKSA-N 0 0 441.510 -0.429 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000919362124 1072885403 /nfs/dbraw/zinc/88/54/03/1072885403.db2.gz UYLVBFDRNRLNMU-INIZCTEOSA-N 0 0 446.570 -0.644 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000919362125 1072885285 /nfs/dbraw/zinc/88/52/85/1072885285.db2.gz UYLVBFDRNRLNMU-MRXNPFEDSA-N 0 0 446.570 -0.644 20 0 IBADRN O=C(CN1CCCS1(=O)=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919362142 1072885086 /nfs/dbraw/zinc/88/50/86/1072885086.db2.gz VNBMXYVRHLHTOP-UHFFFAOYSA-N 0 0 448.519 -0.335 20 0 IBADRN O=C(COC(=O)CN1CCCS1(=O)=O)NCc1ccccc1CN1CCOCC1 ZINC000919362655 1072885329 /nfs/dbraw/zinc/88/53/29/1072885329.db2.gz FBSDKXSGSIFUCR-UHFFFAOYSA-N 0 0 425.507 -0.286 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)COC(=O)CN2CCCS2(=O)=O)c(=O)[nH]c1=O ZINC000919362887 1072885272 /nfs/dbraw/zinc/88/52/72/1072885272.db2.gz MCIUZTMIKFKQLV-UHFFFAOYSA-N 0 0 445.498 -0.737 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CN1CCCS1(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000919362906 1072885291 /nfs/dbraw/zinc/88/52/91/1072885291.db2.gz NCLXHDFIXWSRQS-NEPJUHHUSA-N 0 0 433.508 -0.522 20 0 IBADRN C[C@@H](OC(=O)CN1CCCS1(=O)=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919362907 1072885182 /nfs/dbraw/zinc/88/51/82/1072885182.db2.gz NCLXHDFIXWSRQS-NWDGAFQWSA-N 0 0 433.508 -0.522 20 0 IBADRN C[C@H](OC(=O)CN1CCCS1(=O)=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919362908 1072885071 /nfs/dbraw/zinc/88/50/71/1072885071.db2.gz NCLXHDFIXWSRQS-RYUDHWBXSA-N 0 0 433.508 -0.522 20 0 IBADRN C[C@@H](OC(=O)CN1CCCS1(=O)=O)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919362909 1072885303 /nfs/dbraw/zinc/88/53/03/1072885303.db2.gz NCLXHDFIXWSRQS-VXGBXAGGSA-N 0 0 433.508 -0.522 20 0 IBADRN O=C(CN1CCCS1(=O)=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000919363143 1072885145 /nfs/dbraw/zinc/88/51/45/1072885145.db2.gz VMKPHOIWPIHJBZ-UHFFFAOYSA-N 0 0 437.518 -0.156 20 0 IBADRN O=C(COC(=O)CN1CCCS1(=O)=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC000919363148 1072885381 /nfs/dbraw/zinc/88/53/81/1072885381.db2.gz VNIZMDCWXDQMSA-UHFFFAOYSA-N 0 0 445.519 -0.012 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1sccc1NS(C)(=O)=O)S(C)(=O)=O ZINC000919371910 1072884726 /nfs/dbraw/zinc/88/47/26/1072884726.db2.gz KXHVGXQAFCKQAM-UHFFFAOYSA-N 0 0 427.526 -0.326 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2sccc2NS(C)(=O)=O)CC1 ZINC000919372234 1072884649 /nfs/dbraw/zinc/88/46/49/1072884649.db2.gz LWDOJOMTDYQBMV-UHFFFAOYSA-N 0 0 432.524 -0.491 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000919382202 1072886358 /nfs/dbraw/zinc/88/63/58/1072886358.db2.gz QAALNCKGFYOAMQ-UHFFFAOYSA-N 0 0 449.811 -0.656 20 0 IBADRN COc1ccc(S(=O)(=O)NC(C)(C)C)c(CC(=O)OCC(=O)N2CCNC(=O)C2)c1 ZINC000919389230 1072885681 /nfs/dbraw/zinc/88/56/81/1072885681.db2.gz COMBWIICFUTPEY-UHFFFAOYSA-N 0 0 441.506 -0.184 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1S(C)(=O)=O ZINC000919400724 1072886288 /nfs/dbraw/zinc/88/62/88/1072886288.db2.gz ZHYFYCQXXIEMOY-UHFFFAOYSA-N 0 0 425.507 -0.212 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CNC(=O)Cc1cccc(F)c1)c(=O)n2C ZINC000919404738 1072887243 /nfs/dbraw/zinc/88/72/43/1072887243.db2.gz GSRFYBSVZFYNEJ-UHFFFAOYSA-N 0 0 431.424 -0.135 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000919412087 1072886750 /nfs/dbraw/zinc/88/67/50/1072886750.db2.gz ISIKNHUOUBBQCE-GHMZBOCLSA-N 0 0 436.483 -0.028 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000919412088 1072886947 /nfs/dbraw/zinc/88/69/47/1072886947.db2.gz ISIKNHUOUBBQCE-MNOVXSKESA-N 0 0 436.483 -0.028 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000919412089 1072886806 /nfs/dbraw/zinc/88/68/06/1072886806.db2.gz ISIKNHUOUBBQCE-QWRGUYRKSA-N 0 0 436.483 -0.028 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000919412090 1072887331 /nfs/dbraw/zinc/88/73/31/1072887331.db2.gz ISIKNHUOUBBQCE-WDEREUQCSA-N 0 0 436.483 -0.028 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)c1 ZINC000919412646 1072887226 /nfs/dbraw/zinc/88/72/26/1072887226.db2.gz HLQZXIIITLWNSK-UHFFFAOYSA-N 0 0 442.425 -0.857 20 0 IBADRN O=C(COC(=O)c1c[nH]nc1-c1ccncc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919428556 1072888273 /nfs/dbraw/zinc/88/82/73/1072888273.db2.gz LWADWUMHQBPSDC-HNNXBMFYSA-N 0 0 433.490 -0.040 20 0 IBADRN O=C(COC(=O)c1c[nH]nc1-c1ccncc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919428557 1072888381 /nfs/dbraw/zinc/88/83/81/1072888381.db2.gz LWADWUMHQBPSDC-OAHLLOKOSA-N 0 0 433.490 -0.040 20 0 IBADRN CC(C)(C)n1cc(C(=O)C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000919434530 1072887656 /nfs/dbraw/zinc/88/76/56/1072887656.db2.gz KUHAAZQKNKSECP-HNNXBMFYSA-N 0 0 440.522 -0.305 20 0 IBADRN CC(C)(C)n1cc(C(=O)C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000919434531 1072887768 /nfs/dbraw/zinc/88/77/68/1072887768.db2.gz KUHAAZQKNKSECP-OAHLLOKOSA-N 0 0 440.522 -0.305 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)CCN2CCCS2(=O)=O)cc1 ZINC000919434847 1072887824 /nfs/dbraw/zinc/88/78/24/1072887824.db2.gz BOZQMCFCWUCDPA-UHFFFAOYSA-N 0 0 429.480 -0.465 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CCN1CCCS1(=O)=O ZINC000919434863 1072887813 /nfs/dbraw/zinc/88/78/13/1072887813.db2.gz CFJNTYKMRNWMBS-GFCCVEGCSA-N 0 0 445.519 -0.420 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)COC(=O)CCN1CCCS1(=O)=O ZINC000919434864 1072887919 /nfs/dbraw/zinc/88/79/19/1072887919.db2.gz CFJNTYKMRNWMBS-LBPRGKRZSA-N 0 0 445.519 -0.420 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)COC(=O)CCN2CCCS2(=O)=O)c1 ZINC000919435450 1072887743 /nfs/dbraw/zinc/88/77/43/1072887743.db2.gz GRJUOXUCBYSIIO-UHFFFAOYSA-N 0 0 433.508 -0.156 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)COC(=O)CCN1CCCS1(=O)=O ZINC000919436742 1072887939 /nfs/dbraw/zinc/88/79/39/1072887939.db2.gz PFXIIPNSLYBDDD-UHFFFAOYSA-N 0 0 431.492 -0.808 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)COC(=O)CCN2CCCS2(=O)=O)s1 ZINC000919437382 1072887675 /nfs/dbraw/zinc/88/76/75/1072887675.db2.gz QIORUEXSQPJLLG-UHFFFAOYSA-N 0 0 438.549 -0.009 20 0 IBADRN C[C@@H](OC(=O)CCN1CCCS1(=O)=O)C(=O)N[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919437561 1072887800 /nfs/dbraw/zinc/88/78/00/1072887800.db2.gz SKZRIZACPAGWFC-CHWSQXEVSA-N 0 0 447.535 -0.132 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)OC(=O)CCN1CCCS1(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC000919437564 1072887890 /nfs/dbraw/zinc/88/78/90/1072887890.db2.gz SKZRIZACPAGWFC-OLZOCXBDSA-N 0 0 447.535 -0.132 20 0 IBADRN C[C@@H](OC(=O)CCN1CCCS1(=O)=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919437566 1072887907 /nfs/dbraw/zinc/88/79/07/1072887907.db2.gz SKZRIZACPAGWFC-QWHCGFSZSA-N 0 0 447.535 -0.132 20 0 IBADRN C[C@H](OC(=O)CCN1CCCS1(=O)=O)C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000919437569 1072887838 /nfs/dbraw/zinc/88/78/38/1072887838.db2.gz SKZRIZACPAGWFC-STQMWFEESA-N 0 0 447.535 -0.132 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)CCN1CCCS1(=O)=O)C2=O ZINC000919437799 1072887877 /nfs/dbraw/zinc/88/78/77/1072887877.db2.gz VZWSARBKNVFMNS-UHFFFAOYSA-N 0 0 430.483 -0.513 20 0 IBADRN Cc1cc(S(N)(=O)=O)cc(NC(=O)COC(=O)CCN2CCCS2(=O)=O)c1C ZINC000919439155 1072888315 /nfs/dbraw/zinc/88/83/15/1072888315.db2.gz LFUUQYUXWGEGBV-UHFFFAOYSA-N 0 0 433.508 -0.142 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)CCN2CCCS2(=O)=O)CC1 ZINC000919440249 1072888393 /nfs/dbraw/zinc/88/83/93/1072888393.db2.gz GAEGNJZURAVOFX-UHFFFAOYSA-N 0 0 432.543 -0.986 20 0 IBADRN C[C@H](OC(=O)CCN1CCCS1(=O)=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000919440712 1072888202 /nfs/dbraw/zinc/88/82/02/1072888202.db2.gz HVBFJGUUQBHGGB-AWEZNQCLSA-N 0 0 427.479 -0.079 20 0 IBADRN C[C@@H](OC(=O)CCN1CCCS1(=O)=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000919440714 1072888294 /nfs/dbraw/zinc/88/82/94/1072888294.db2.gz HVBFJGUUQBHGGB-CQSZACIVSA-N 0 0 427.479 -0.079 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)OCC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000919440722 1072888254 /nfs/dbraw/zinc/88/82/54/1072888254.db2.gz YFMXRJGKFPVTLP-HNNXBMFYSA-N 0 0 436.552 -0.087 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)OCC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000919440723 1072888407 /nfs/dbraw/zinc/88/84/07/1072888407.db2.gz YFMXRJGKFPVTLP-OAHLLOKOSA-N 0 0 436.552 -0.087 20 0 IBADRN C[C@@H](OC(=O)CCN1CCCS1(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000919441655 1072889240 /nfs/dbraw/zinc/88/92/40/1072889240.db2.gz ONXGDEFRQCADHI-CYBMUJFWSA-N 0 0 447.535 -0.650 20 0 IBADRN C[C@H](OC(=O)CCN1CCCS1(=O)=O)C(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC000919441656 1072889463 /nfs/dbraw/zinc/88/94/63/1072889463.db2.gz ONXGDEFRQCADHI-ZDUSSCGKSA-N 0 0 447.535 -0.650 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)CCN2CCCS2(=O)=O)ccc1C ZINC000919442398 1072889345 /nfs/dbraw/zinc/88/93/45/1072889345.db2.gz YSQRGGSZYCGWPN-UHFFFAOYSA-N 0 0 433.508 -0.190 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)ccc1F ZINC000919444074 1072889215 /nfs/dbraw/zinc/88/92/15/1072889215.db2.gz ATOLYVBTCJMMJB-UHFFFAOYSA-N 0 0 442.425 -0.905 20 0 IBADRN C[C@H](OC(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000919445389 1072889314 /nfs/dbraw/zinc/88/93/14/1072889314.db2.gz LFTFHOSLXINVQP-CMPLNLGQSA-N 0 0 436.483 -0.075 20 0 IBADRN C[C@H](OC(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000919445390 1072889377 /nfs/dbraw/zinc/88/93/77/1072889377.db2.gz LFTFHOSLXINVQP-JQWIXIFHSA-N 0 0 436.483 -0.075 20 0 IBADRN C[C@@H](OC(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000919445391 1072889226 /nfs/dbraw/zinc/88/92/26/1072889226.db2.gz LFTFHOSLXINVQP-PWSUYJOCSA-N 0 0 436.483 -0.075 20 0 IBADRN C[C@@H](OC(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000919445392 1072889201 /nfs/dbraw/zinc/88/92/01/1072889201.db2.gz LFTFHOSLXINVQP-ZYHUDNBSSA-N 0 0 436.483 -0.075 20 0 IBADRN O=C(COC(=O)c1cc2c(cc1O)OCO2)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919452554 1072890345 /nfs/dbraw/zinc/89/03/45/1072890345.db2.gz ZYBWBZYUOOUCDA-GFCCVEGCSA-N 0 0 426.447 -0.391 20 0 IBADRN O=C(COC(=O)c1cc2c(cc1O)OCO2)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919452555 1072890173 /nfs/dbraw/zinc/89/01/73/1072890173.db2.gz ZYBWBZYUOOUCDA-LBPRGKRZSA-N 0 0 426.447 -0.391 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)OCC(=O)c3c(N)n(C)c(=O)n(C)c3=O)ccc2c1=O ZINC000919458973 1072890592 /nfs/dbraw/zinc/89/05/92/1072890592.db2.gz CDCVOCUETGWXCB-UHFFFAOYSA-N 0 0 429.389 -0.859 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)ccc2c1=O ZINC000919459527 1072890849 /nfs/dbraw/zinc/89/08/49/1072890849.db2.gz UPYSYVMKFGHECV-UHFFFAOYSA-N 0 0 440.478 -0.324 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)OCC(=O)N3CCN(CC(=O)N(C)C)CC3)ccc2c1=O ZINC000919460185 1072890806 /nfs/dbraw/zinc/89/08/06/1072890806.db2.gz KZQVHYTXZFOWBJ-UHFFFAOYSA-N 0 0 445.476 -0.489 20 0 IBADRN CCn1c(=O)[nH]c2cc(C(=O)OCCn3cnc4c3c(=O)n(C)c(=O)n4C)ccc2c1=O ZINC000919460491 1072891222 /nfs/dbraw/zinc/89/12/22/1072891222.db2.gz JOSLYRHKEWJUGW-UHFFFAOYSA-N 0 0 440.416 -0.274 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(C(F)(F)F)n1 ZINC000919461094 1072891126 /nfs/dbraw/zinc/89/11/26/1072891126.db2.gz IBHUQCCURUHMKI-LLVKDONJSA-N 0 0 438.428 -0.073 20 0 IBADRN Cn1cc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(C(F)(F)F)n1 ZINC000919461095 1072891289 /nfs/dbraw/zinc/89/12/89/1072891289.db2.gz IBHUQCCURUHMKI-NSHDSACASA-N 0 0 438.428 -0.073 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cnn3c2[nH]c(=O)c2ccccc23)CC1 ZINC000919464129 1072891205 /nfs/dbraw/zinc/89/12/05/1072891205.db2.gz XXWVQODXTOITAG-UHFFFAOYSA-N 0 0 440.460 -0.435 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1cnn2c1[nH]c(=O)c1ccccc12)S(C)(=O)=O ZINC000919464290 1072891174 /nfs/dbraw/zinc/89/11/74/1072891174.db2.gz OPZVSHQTZQSTLY-UHFFFAOYSA-N 0 0 435.462 -0.270 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccc(NCC(N)=O)cc2)c(=O)n(C)c1=O ZINC000919465884 1072891620 /nfs/dbraw/zinc/89/16/20/1072891620.db2.gz VQBGHDSQALRKJF-UHFFFAOYSA-N 0 0 431.449 -0.278 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3cnn4c3[nH]c(=O)c3ccccc34)c2c(=O)n(C)c1=O ZINC000919466549 1072891564 /nfs/dbraw/zinc/89/15/64/1072891564.db2.gz MMLGXSCZNPRNGM-UHFFFAOYSA-N 0 0 435.400 -0.220 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc(NCC(N)=O)cc2)CC1 ZINC000919467843 1072891643 /nfs/dbraw/zinc/89/16/43/1072891643.db2.gz IPPXMKLAHCGMSD-UHFFFAOYSA-N 0 0 433.509 -0.201 20 0 IBADRN NC(=O)CNc1ccc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cc1 ZINC000919469467 1072891539 /nfs/dbraw/zinc/89/15/39/1072891539.db2.gz PZLAVUODHRHRAO-UHFFFAOYSA-N 0 0 432.458 -0.023 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000919471349 1072892040 /nfs/dbraw/zinc/89/20/40/1072892040.db2.gz IMDDUCFICXHPBV-INIZCTEOSA-N 0 0 446.570 -0.644 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC000919471351 1072892211 /nfs/dbraw/zinc/89/22/11/1072892211.db2.gz IMDDUCFICXHPBV-MRXNPFEDSA-N 0 0 446.570 -0.644 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000919473221 1072890680 /nfs/dbraw/zinc/89/06/80/1072890680.db2.gz MUFRTZWJMLIQMW-CYBMUJFWSA-N 0 0 441.510 -0.429 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000919473222 1072890696 /nfs/dbraw/zinc/89/06/96/1072890696.db2.gz MUFRTZWJMLIQMW-ZDUSSCGKSA-N 0 0 441.510 -0.429 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@H]1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000919473862 1072890706 /nfs/dbraw/zinc/89/07/06/1072890706.db2.gz RHFOPNIOSLYDFI-AWEZNQCLSA-N 0 0 441.572 -0.478 20 0 IBADRN CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O ZINC000919473868 1072890818 /nfs/dbraw/zinc/89/08/18/1072890818.db2.gz RHFOPNIOSLYDFI-CQSZACIVSA-N 0 0 441.572 -0.478 20 0 IBADRN CCC(=O)N1CCCC[C@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919474856 1072892084 /nfs/dbraw/zinc/89/20/84/1072892084.db2.gz KUDMFYACEGQHNQ-CVEARBPZSA-N 0 0 429.539 -0.348 20 0 IBADRN CCC(=O)N1CCCC[C@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919474872 1072892018 /nfs/dbraw/zinc/89/20/18/1072892018.db2.gz KUDMFYACEGQHNQ-HOTGVXAUSA-N 0 0 429.539 -0.348 20 0 IBADRN CCC(=O)N1CCCC[C@@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919474875 1072892001 /nfs/dbraw/zinc/89/20/01/1072892001.db2.gz KUDMFYACEGQHNQ-HZPDHXFCSA-N 0 0 429.539 -0.348 20 0 IBADRN CCC(=O)N1CCCC[C@@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919474879 1072892136 /nfs/dbraw/zinc/89/21/36/1072892136.db2.gz KUDMFYACEGQHNQ-JKSUJKDBSA-N 0 0 429.539 -0.348 20 0 IBADRN Cc1occc1C(=O)NCC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000919480293 1072892543 /nfs/dbraw/zinc/89/25/43/1072892543.db2.gz CFWLEUSYPIXSQZ-UHFFFAOYSA-N 0 0 441.448 -0.113 20 0 IBADRN COCCN(C(=O)COC(=O)c1cnsn1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000919483985 1072893109 /nfs/dbraw/zinc/89/31/09/1072893109.db2.gz AVRQQTCVRPLLIE-UHFFFAOYSA-N 0 0 426.455 -0.125 20 0 IBADRN O=C(COC(=O)c1cnsn1)N1CCN(C(=O)COC(=O)c2cnsn2)CC1 ZINC000919484534 1072892986 /nfs/dbraw/zinc/89/29/86/1072892986.db2.gz NPJDNDAFRSUEPQ-UHFFFAOYSA-N 0 0 426.436 -0.926 20 0 IBADRN C[C@@H](NC(=O)c1ccoc1)C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000919485380 1072893083 /nfs/dbraw/zinc/89/30/83/1072893083.db2.gz SDILIUCIBFIAEN-CYBMUJFWSA-N 0 0 441.448 -0.033 20 0 IBADRN C[C@H](NC(=O)c1ccoc1)C(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC000919485382 1072893000 /nfs/dbraw/zinc/89/30/00/1072893000.db2.gz SDILIUCIBFIAEN-ZDUSSCGKSA-N 0 0 441.448 -0.033 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC000919506998 1072893762 /nfs/dbraw/zinc/89/37/62/1072893762.db2.gz DOOCJJZBQCDTPU-UHFFFAOYSA-N 0 0 445.450 -0.446 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000919507139 1072893548 /nfs/dbraw/zinc/89/35/48/1072893548.db2.gz FWEQYYZFZJRGFU-UHFFFAOYSA-N 0 0 429.451 -0.573 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC000919508209 1072893754 /nfs/dbraw/zinc/89/37/54/1072893754.db2.gz XJEWZAWCGFHEON-UHFFFAOYSA-N 0 0 441.462 -0.379 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CNC(=O)OC)CC2)cc1 ZINC000919508213 1072893472 /nfs/dbraw/zinc/89/34/72/1072893472.db2.gz XKJLDYAESYIRKF-UHFFFAOYSA-N 0 0 443.478 -0.183 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N1CCN(Cc2c(F)cccc2Cl)CC1 ZINC000919508268 1072893489 /nfs/dbraw/zinc/89/34/89/1072893489.db2.gz PORNLYXBKYKRHV-UHFFFAOYSA-N 0 0 442.875 -0.081 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)O[C@H](C)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000919508452 1072893668 /nfs/dbraw/zinc/89/36/68/1072893668.db2.gz RWRDFZQEMGBQFI-LLVKDONJSA-N 0 0 428.467 -0.941 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)O[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC000919508453 1072893699 /nfs/dbraw/zinc/89/36/99/1072893699.db2.gz RWRDFZQEMGBQFI-NSHDSACASA-N 0 0 428.467 -0.941 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000919508468 1072893722 /nfs/dbraw/zinc/89/37/22/1072893722.db2.gz SGDHXOJDKMHTKW-UHFFFAOYSA-N 0 0 432.477 -0.939 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)O[C@H](C)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000919508726 1072893568 /nfs/dbraw/zinc/89/35/68/1072893568.db2.gz UOXRAGBGUIXQKG-GFCCVEGCSA-N 0 0 442.494 -0.504 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)O[C@@H](C)C(=O)Nc1ccc(S(=O)(=O)NC(C)C)cc1 ZINC000919508727 1072893534 /nfs/dbraw/zinc/89/35/34/1072893534.db2.gz UOXRAGBGUIXQKG-LBPRGKRZSA-N 0 0 442.494 -0.504 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000919509920 1072894297 /nfs/dbraw/zinc/89/42/97/1072894297.db2.gz DMJLYMGECVQULI-UHFFFAOYSA-N 0 0 429.451 -0.777 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000919510507 1072894267 /nfs/dbraw/zinc/89/42/67/1072894267.db2.gz PXPUNQJKAUMREV-UHFFFAOYSA-N 0 0 435.405 -0.303 20 0 IBADRN COC(=O)NCC(=O)O[C@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000919511089 1072894185 /nfs/dbraw/zinc/89/41/85/1072894185.db2.gz FOKULABPHVHZKN-GFCCVEGCSA-N 0 0 429.451 -0.066 20 0 IBADRN COC(=O)NCC(=O)O[C@@H](C)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000919511098 1072894233 /nfs/dbraw/zinc/89/42/33/1072894233.db2.gz FOKULABPHVHZKN-LBPRGKRZSA-N 0 0 429.451 -0.066 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919511128 1072894654 /nfs/dbraw/zinc/89/46/54/1072894654.db2.gz HXZJJOJUOOPPHS-UHFFFAOYSA-N 0 0 429.451 -0.777 20 0 IBADRN CCN(C(=O)COC(=O)CNC(=O)OC)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC000919511332 1072894711 /nfs/dbraw/zinc/89/47/11/1072894711.db2.gz HNBXLICTBBKLJK-UHFFFAOYSA-N 0 0 433.421 -0.169 20 0 IBADRN COC(=O)NCC(=O)O[C@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919511356 1072894745 /nfs/dbraw/zinc/89/47/45/1072894745.db2.gz JQDXHWWWIWRVMH-GFCCVEGCSA-N 0 0 429.451 -0.066 20 0 IBADRN COC(=O)NCC(=O)O[C@@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000919511359 1072894795 /nfs/dbraw/zinc/89/47/95/1072894795.db2.gz JQDXHWWWIWRVMH-LBPRGKRZSA-N 0 0 429.451 -0.066 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000919511690 1072894787 /nfs/dbraw/zinc/89/47/87/1072894787.db2.gz RSPPQNXQUKYGAC-UHFFFAOYSA-N 0 0 429.451 -0.146 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC000919511793 1072894626 /nfs/dbraw/zinc/89/46/26/1072894626.db2.gz PGWRTCOVLVZKKD-UHFFFAOYSA-N 0 0 445.450 -0.446 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC000919511894 1072894544 /nfs/dbraw/zinc/89/45/44/1072894544.db2.gz VMNZZQFKMCOZPF-UHFFFAOYSA-N 0 0 441.462 -0.379 20 0 IBADRN COC(=O)NCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000919511944 1072894598 /nfs/dbraw/zinc/89/45/98/1072894598.db2.gz ZAJKBHFEIBKUKE-UHFFFAOYSA-N 0 0 439.490 -0.093 20 0 IBADRN CCc1noc(CCCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000919516563 1072894613 /nfs/dbraw/zinc/89/46/13/1072894613.db2.gz YATGQPMYEVILOF-AWEZNQCLSA-N 0 0 428.511 -0.171 20 0 IBADRN CCc1noc(CCCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000919516569 1072894514 /nfs/dbraw/zinc/89/45/14/1072894514.db2.gz YATGQPMYEVILOF-CQSZACIVSA-N 0 0 428.511 -0.171 20 0 IBADRN Cc1cc2nc(C)cc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)n2n1 ZINC000919531110 1072895183 /nfs/dbraw/zinc/89/51/83/1072895183.db2.gz GKWBDHFVPXSCNF-HNNXBMFYSA-N 0 0 435.506 -0.166 20 0 IBADRN Cc1cc2nc(C)cc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)n2n1 ZINC000919531197 1072895284 /nfs/dbraw/zinc/89/52/84/1072895284.db2.gz GKWBDHFVPXSCNF-OAHLLOKOSA-N 0 0 435.506 -0.166 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc2S1(=O)=O ZINC000919539033 1072895163 /nfs/dbraw/zinc/89/51/63/1072895163.db2.gz ACVQOMXABUHLQX-LLVKDONJSA-N 0 0 441.462 -0.773 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc2S1(=O)=O ZINC000919539034 1072895352 /nfs/dbraw/zinc/89/53/52/1072895352.db2.gz ACVQOMXABUHLQX-NSHDSACASA-N 0 0 441.462 -0.773 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCC(=O)N3CCO[C@H](C)C3)cc2S1(=O)=O ZINC000919539190 1072895270 /nfs/dbraw/zinc/89/52/70/1072895270.db2.gz NFBUIOHUDMUVKW-GFCCVEGCSA-N 0 0 426.447 -0.118 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCC(=O)N3CCO[C@@H](C)C3)cc2S1(=O)=O ZINC000919539191 1072895536 /nfs/dbraw/zinc/89/55/36/1072895536.db2.gz NFBUIOHUDMUVKW-LBPRGKRZSA-N 0 0 426.447 -0.118 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CC1 ZINC000919539275 1072895839 /nfs/dbraw/zinc/89/58/39/1072895839.db2.gz PBAIEQRJFPMFCE-UHFFFAOYSA-N 0 0 435.458 -0.159 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCc3cc(=O)n(C)c(=O)n3C)cc2S1(=O)=O ZINC000919539540 1072895636 /nfs/dbraw/zinc/89/56/36/1072895636.db2.gz PXYRPCGXADMOEH-UHFFFAOYSA-N 0 0 437.430 -0.768 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)cc2S1(=O)=O ZINC000919539766 1072895700 /nfs/dbraw/zinc/89/57/00/1072895700.db2.gz RPYJVMVZDLQGMS-LLVKDONJSA-N 0 0 425.463 -0.011 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)cc2S1(=O)=O ZINC000919539767 1072895655 /nfs/dbraw/zinc/89/56/55/1072895655.db2.gz RPYJVMVZDLQGMS-NSHDSACASA-N 0 0 425.463 -0.011 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCC(=O)NC[C@H]3CCCO3)cc2S1(=O)=O ZINC000919539861 1072895618 /nfs/dbraw/zinc/89/56/18/1072895618.db2.gz VCODSVLYLIVVFC-CYBMUJFWSA-N 0 0 426.447 -0.071 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCC(=O)NC[C@@H]3CCCO3)cc2S1(=O)=O ZINC000919539865 1072895709 /nfs/dbraw/zinc/89/57/09/1072895709.db2.gz VCODSVLYLIVVFC-ZDUSSCGKSA-N 0 0 426.447 -0.071 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCc3nc(N)nc(N(C)C)n3)cc2S1(=O)=O ZINC000919539871 1072895648 /nfs/dbraw/zinc/89/56/48/1072895648.db2.gz VDCMFJLVBLSTRT-UHFFFAOYSA-N 0 0 436.450 -0.332 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@@H](C)C(=O)NCC(=O)OC)cc2S1(=O)=O ZINC000919540442 1072895756 /nfs/dbraw/zinc/89/57/56/1072895756.db2.gz PIJXALVBCMBLBK-JTQLQIEISA-N 0 0 428.419 -0.688 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@H](C)C(=O)NCC(=O)OC)cc2S1(=O)=O ZINC000919540443 1072895590 /nfs/dbraw/zinc/89/55/90/1072895590.db2.gz PIJXALVBCMBLBK-SNVBAGLBSA-N 0 0 428.419 -0.688 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCCN3C(=O)NC(C)(C)C3=O)cc2S1(=O)=O ZINC000919540461 1072895575 /nfs/dbraw/zinc/89/55/75/1072895575.db2.gz QCMUKGXEEWFYLE-UHFFFAOYSA-N 0 0 439.446 -0.035 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@@H](C(=O)NC(N)=O)C(C)C)cc2S1(=O)=O ZINC000919540745 1072895560 /nfs/dbraw/zinc/89/55/60/1072895560.db2.gz AKSRYOYZLHDZDC-CYBMUJFWSA-N 0 0 427.435 -0.146 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@H](C(=O)NC(N)=O)C(C)C)cc2S1(=O)=O ZINC000919540748 1072895723 /nfs/dbraw/zinc/89/57/23/1072895723.db2.gz AKSRYOYZLHDZDC-ZDUSSCGKSA-N 0 0 427.435 -0.146 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@@H](C)C(=O)N3CCNC3=O)cc2S1(=O)=O ZINC000919540897 1072895787 /nfs/dbraw/zinc/89/57/87/1072895787.db2.gz DYJOUSBEYMXHKN-JTQLQIEISA-N 0 0 425.419 -0.425 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@H](C)C(=O)N3CCNC3=O)cc2S1(=O)=O ZINC000919540898 1072895810 /nfs/dbraw/zinc/89/58/10/1072895810.db2.gz DYJOUSBEYMXHKN-SNVBAGLBSA-N 0 0 425.419 -0.425 20 0 IBADRN CCNC(=O)NC(=O)[C@H](C)OC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000919541435 1072895820 /nfs/dbraw/zinc/89/58/20/1072895820.db2.gz JAWYTBBUAHGEHO-JTQLQIEISA-N 0 0 427.435 -0.132 20 0 IBADRN CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000919541437 1072895680 /nfs/dbraw/zinc/89/56/80/1072895680.db2.gz JAWYTBBUAHGEHO-SNVBAGLBSA-N 0 0 427.435 -0.132 20 0 IBADRN CCCNC(=O)NC(=O)COC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC000919542258 1072895771 /nfs/dbraw/zinc/89/57/71/1072895771.db2.gz RZCLGJHEDUVPFQ-UHFFFAOYSA-N 0 0 427.435 -0.130 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)OCC(=O)NC(=O)NC3CC3)cc2S1(=O)=O ZINC000919542298 1072895738 /nfs/dbraw/zinc/89/57/38/1072895738.db2.gz TWLSLYIVSRCSEE-UHFFFAOYSA-N 0 0 425.419 -0.378 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O)NCC(=O)N1CCCC1 ZINC000919542396 1072896095 /nfs/dbraw/zinc/89/60/95/1072896095.db2.gz OHPMKSWBUJRAIA-UHFFFAOYSA-N 0 0 435.458 -0.111 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@H](C)C(=O)N3CCOCC3)cc2S1(=O)=O ZINC000919542505 1072895326 /nfs/dbraw/zinc/89/53/26/1072895326.db2.gz VUPNGSJBGMXKHU-GFCCVEGCSA-N 0 0 426.447 -0.118 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)O[C@@H](C)C(=O)N3CCOCC3)cc2S1(=O)=O ZINC000919542506 1072895086 /nfs/dbraw/zinc/89/50/86/1072895086.db2.gz VUPNGSJBGMXKHU-LBPRGKRZSA-N 0 0 426.447 -0.118 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cn2nc(-c3ccccc3)ccc2=O)CC1 ZINC000919546429 1072896129 /nfs/dbraw/zinc/89/61/29/1072896129.db2.gz MVBCOHNKBOAHRL-UHFFFAOYSA-N 0 0 441.488 -0.314 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1nc(-c2ccccc2)ccc1=O)S(C)(=O)=O ZINC000919547681 1072896657 /nfs/dbraw/zinc/89/66/57/1072896657.db2.gz IGWNHLLAAXMELG-UHFFFAOYSA-N 0 0 436.490 -0.149 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)CCCS(=O)(=O)N1CCCC1)c(=O)n2C ZINC000919555319 1072897045 /nfs/dbraw/zinc/89/70/45/1072897045.db2.gz PQGRBLCOCZJVQO-UHFFFAOYSA-N 0 0 441.510 -0.427 20 0 IBADRN O=C(CCCS(=O)(=O)N1CCCC1)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000919555650 1072897060 /nfs/dbraw/zinc/89/70/60/1072897060.db2.gz RBBVTGOXZYRGKN-UHFFFAOYSA-N 0 0 425.511 -0.126 20 0 IBADRN Cn1c2ncn(CCOC(=O)CCCS(=O)(=O)N3CCCC3)c2c(=O)n(C)c1=O ZINC000919556061 1072897121 /nfs/dbraw/zinc/89/71/21/1072897121.db2.gz WPWSAZBKMVXMKG-UHFFFAOYSA-N 0 0 427.483 -0.817 20 0 IBADRN O=C(CNC(=O)OCC(F)(F)F)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919557351 1072896055 /nfs/dbraw/zinc/89/60/55/1072896055.db2.gz SNZRTQVDQVMMCB-LLVKDONJSA-N 0 0 445.416 -0.851 20 0 IBADRN O=C(CNC(=O)OCC(F)(F)F)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919557352 1072896088 /nfs/dbraw/zinc/89/60/88/1072896088.db2.gz SNZRTQVDQVMMCB-NSHDSACASA-N 0 0 445.416 -0.851 20 0 IBADRN CCCS(=O)(=O)Nc1ccccc1C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000919559868 1072897068 /nfs/dbraw/zinc/89/70/68/1072897068.db2.gz IOHDQVUFBHACBY-UHFFFAOYSA-N 0 0 438.462 -0.142 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cn2cc(C(N)=O)c3ccccc32)CC1 ZINC000919568508 1072897478 /nfs/dbraw/zinc/89/74/78/1072897478.db2.gz DVNYBOUSXQKVRH-UHFFFAOYSA-N 0 0 429.477 -0.484 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCn2cc(Br)cn2)CC1 ZINC000919571019 1072897889 /nfs/dbraw/zinc/89/78/89/1072897889.db2.gz GXJUJWCNMZJYCN-UHFFFAOYSA-N 0 0 430.303 -0.189 20 0 IBADRN CN(CCCNC(=O)COC(=O)CCn1cc(Br)cn1)S(C)(=O)=O ZINC000919576652 1072897091 /nfs/dbraw/zinc/89/70/91/1072897091.db2.gz XVOFMFQFGMWLPU-UHFFFAOYSA-N 0 0 425.305 -0.023 20 0 IBADRN COCCn1cc(C(=O)OCC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)ccc1=O ZINC000919576934 1072896979 /nfs/dbraw/zinc/89/69/79/1072896979.db2.gz ITUPYVLICJXYSZ-UHFFFAOYSA-N 0 0 436.509 -0.290 20 0 IBADRN COCCn1cc(C(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)ccc1=O ZINC000919577816 1072897147 /nfs/dbraw/zinc/89/71/47/1072897147.db2.gz GRYGWOIYFZVLAW-UHFFFAOYSA-N 0 0 435.458 -0.112 20 0 IBADRN COCCn1cc(C(=O)OCC(=O)c2c(N)n(CC(C)C)c(=O)n(C)c2=O)ccc1=O ZINC000919579331 1072897993 /nfs/dbraw/zinc/89/79/93/1072897993.db2.gz FFHRNIHVAORAFJ-UHFFFAOYSA-N 0 0 434.449 -0.367 20 0 IBADRN CCCNC(=O)CN(C)C(=O)COC(=O)c1ccc2c(c1)S(=O)(=O)N(CC)C2=O ZINC000919581731 1072897850 /nfs/dbraw/zinc/89/78/50/1072897850.db2.gz WERONQQUBQXINJ-UHFFFAOYSA-N 0 0 425.463 -0.008 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)OCC(=O)NC(=O)N[C@@H](C)COC)cc2S1(=O)=O ZINC000919584125 1072898521 /nfs/dbraw/zinc/89/85/21/1072898521.db2.gz VUKXWQXELKLPBI-JTQLQIEISA-N 0 0 427.435 -0.132 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)OCC(=O)NC(=O)N[C@H](C)COC)cc2S1(=O)=O ZINC000919584128 1072898535 /nfs/dbraw/zinc/89/85/35/1072898535.db2.gz VUKXWQXELKLPBI-SNVBAGLBSA-N 0 0 427.435 -0.132 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC000919584236 1072898322 /nfs/dbraw/zinc/89/83/22/1072898322.db2.gz XOODQJPULMOUTB-CMPLNLGQSA-N 0 0 444.487 -0.300 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)O[C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC000919584237 1072898488 /nfs/dbraw/zinc/89/84/88/1072898488.db2.gz XOODQJPULMOUTB-JQWIXIFHSA-N 0 0 444.487 -0.300 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)O[C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC000919584238 1072898312 /nfs/dbraw/zinc/89/83/12/1072898312.db2.gz XOODQJPULMOUTB-PWSUYJOCSA-N 0 0 444.487 -0.300 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)O[C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC000919584239 1072898285 /nfs/dbraw/zinc/89/82/85/1072898285.db2.gz XOODQJPULMOUTB-ZYHUDNBSSA-N 0 0 444.487 -0.300 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)c3cc(C)nn3C)CC2)n(C)n1 ZINC000919584661 1072898561 /nfs/dbraw/zinc/89/85/61/1072898561.db2.gz JLBGPNINEHNXFN-UHFFFAOYSA-N 0 0 446.464 -0.545 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN(C(=O)COC(=O)c3cc(C)n(C)n3)CC2)nn1C ZINC000919588184 1072898469 /nfs/dbraw/zinc/89/84/69/1072898469.db2.gz CKLNUOXTWIXFNE-UHFFFAOYSA-N 0 0 446.464 -0.545 20 0 IBADRN CO[C@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000919594363 1072897959 /nfs/dbraw/zinc/89/79/59/1072897959.db2.gz YISYZEVFZSRQQU-CYBMUJFWSA-N 0 0 428.463 -0.131 20 0 IBADRN CO[C@@H](C)C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000919594364 1072897933 /nfs/dbraw/zinc/89/79/33/1072897933.db2.gz YISYZEVFZSRQQU-ZDUSSCGKSA-N 0 0 428.463 -0.131 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000919600149 1072898933 /nfs/dbraw/zinc/89/89/33/1072898933.db2.gz FVLLOOIMMOVCDM-UHFFFAOYSA-N 0 0 437.474 -0.273 20 0 IBADRN CC(C)C(=O)N1CCCC[C@@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919600610 1072898856 /nfs/dbraw/zinc/89/88/56/1072898856.db2.gz NRBHIKOGOMFGCZ-DLBZAZTESA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)C(=O)N1CCCC[C@@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919600613 1072898896 /nfs/dbraw/zinc/89/88/96/1072898896.db2.gz NRBHIKOGOMFGCZ-IAGOWNOFSA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)C(=O)N1CCCC[C@H]1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919600617 1072898904 /nfs/dbraw/zinc/89/89/04/1072898904.db2.gz NRBHIKOGOMFGCZ-IRXDYDNUSA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)C(=O)N1CCCC[C@H]1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919600619 1072899318 /nfs/dbraw/zinc/89/93/18/1072899318.db2.gz NRBHIKOGOMFGCZ-SJORKVTESA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000919602743 1072899333 /nfs/dbraw/zinc/89/93/33/1072899333.db2.gz KBNNPKAFXWDAIJ-UHFFFAOYSA-N 0 0 432.520 -0.114 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000919602887 1072899399 /nfs/dbraw/zinc/89/93/99/1072899399.db2.gz PECSEOZBZCFJKW-KBPBESRZSA-N 0 0 425.525 -0.453 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000919602888 1072899564 /nfs/dbraw/zinc/89/95/64/1072899564.db2.gz PECSEOZBZCFJKW-KGLIPLIRSA-N 0 0 425.525 -0.453 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000919602889 1072899586 /nfs/dbraw/zinc/89/95/86/1072899586.db2.gz PECSEOZBZCFJKW-UONOGXRCSA-N 0 0 425.525 -0.453 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000919602990 1072899487 /nfs/dbraw/zinc/89/94/87/1072899487.db2.gz PECSEOZBZCFJKW-ZIAGYGMSSA-N 0 0 425.525 -0.453 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)COC(=O)CS(=O)(=O)C(C)C)C2=O)cc1 ZINC000919603031 1072899538 /nfs/dbraw/zinc/89/95/38/1072899538.db2.gz RYQARIHDHVIRPW-GOSISDBHSA-N 0 0 441.462 -0.140 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)COC(=O)CS(=O)(=O)C(C)C)C2=O)cc1 ZINC000919603032 1072899551 /nfs/dbraw/zinc/89/95/51/1072899551.db2.gz RYQARIHDHVIRPW-SFHVURJKSA-N 0 0 441.462 -0.140 20 0 IBADRN Cc1cnn(CC(=O)OCC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)c1 ZINC000919610986 1072898947 /nfs/dbraw/zinc/89/89/47/1072898947.db2.gz CJXIEBIVSMYMFS-UHFFFAOYSA-N 0 0 425.467 -0.127 20 0 IBADRN Cc1cnn(CC(=O)OCC(=O)N2CCN(C(=O)COC(=O)Cn3cc(C)cn3)CC2)c1 ZINC000919611914 1072898908 /nfs/dbraw/zinc/89/89/08/1072898908.db2.gz WDXQJBNUUFTLJA-UHFFFAOYSA-N 0 0 446.464 -0.846 20 0 IBADRN Cc1c(CC(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)nnn1-c1ccc(C)cc1 ZINC000919612456 1072899049 /nfs/dbraw/zinc/89/90/49/1072899049.db2.gz WSHMVHPRKOFUKY-UHFFFAOYSA-N 0 0 426.433 -0.168 20 0 IBADRN Cc1c(CC(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)nnn1-c1ccccc1 ZINC000919612557 1072899471 /nfs/dbraw/zinc/89/94/71/1072899471.db2.gz YOVIJSNFJVGOEG-UHFFFAOYSA-N 0 0 428.493 -0.106 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CNC(=O)c2ccc(F)c(F)c2)CC1 ZINC000919616479 1072899928 /nfs/dbraw/zinc/89/99/28/1072899928.db2.gz QYSKOMXRZMSBEO-UHFFFAOYSA-N 0 0 426.420 -0.530 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(S(C)(=O)=O)ccc2F)CC1 ZINC000919624832 1072900056 /nfs/dbraw/zinc/90/00/56/1072900056.db2.gz GNDQKNZMKOYQQN-UHFFFAOYSA-N 0 0 429.470 -0.382 20 0 IBADRN C[C@H](Sc1ncccn1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919629307 1072899914 /nfs/dbraw/zinc/89/99/14/1072899914.db2.gz ILTHQLDHTAGHSA-KBPBESRZSA-N 0 0 428.536 -0.168 20 0 IBADRN C[C@@H](Sc1ncccn1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919629308 1072900116 /nfs/dbraw/zinc/90/01/16/1072900116.db2.gz ILTHQLDHTAGHSA-KGLIPLIRSA-N 0 0 428.536 -0.168 20 0 IBADRN C[C@H](Sc1ncccn1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919629309 1072900042 /nfs/dbraw/zinc/90/00/42/1072900042.db2.gz ILTHQLDHTAGHSA-UONOGXRCSA-N 0 0 428.536 -0.168 20 0 IBADRN C[C@@H](Sc1ncccn1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919629310 1072900032 /nfs/dbraw/zinc/90/00/32/1072900032.db2.gz ILTHQLDHTAGHSA-ZIAGYGMSSA-N 0 0 428.536 -0.168 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)O[C@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)C1 ZINC000919645127 1072900960 /nfs/dbraw/zinc/90/09/60/1072900960.db2.gz FXRGEHVNXYWCHZ-KKUMJFAQSA-N 0 0 438.568 -0.081 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)O[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)C1 ZINC000919645128 1072901099 /nfs/dbraw/zinc/90/10/99/1072901099.db2.gz FXRGEHVNXYWCHZ-RRFJBIMHSA-N 0 0 438.568 -0.081 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)O[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)C1 ZINC000919645129 1072901001 /nfs/dbraw/zinc/90/10/01/1072901001.db2.gz FXRGEHVNXYWCHZ-SOUVJXGZSA-N 0 0 438.568 -0.081 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)O[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)C1 ZINC000919645130 1072900903 /nfs/dbraw/zinc/90/09/03/1072900903.db2.gz FXRGEHVNXYWCHZ-ZNMIVQPWSA-N 0 0 438.568 -0.081 20 0 IBADRN CNC(=O)NC(=O)COC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000919645765 1072900856 /nfs/dbraw/zinc/90/08/56/1072900856.db2.gz MOMPSAJBXQQPSD-LLVKDONJSA-N 0 0 425.419 -0.378 20 0 IBADRN CNC(=O)NC(=O)COC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000919645766 1072900916 /nfs/dbraw/zinc/90/09/16/1072900916.db2.gz MOMPSAJBXQQPSD-NSHDSACASA-N 0 0 425.419 -0.378 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)N1CCNC1=O ZINC000919646082 1072899949 /nfs/dbraw/zinc/89/99/49/1072899949.db2.gz FQNCMEUVKJOLGX-GFCCVEGCSA-N 0 0 437.430 -0.281 20 0 IBADRN O=C(COC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)N1CCNC1=O ZINC000919646085 1072899890 /nfs/dbraw/zinc/89/98/90/1072899890.db2.gz FQNCMEUVKJOLGX-LBPRGKRZSA-N 0 0 437.430 -0.281 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)C1 ZINC000919646405 1072899985 /nfs/dbraw/zinc/89/99/85/1072899985.db2.gz QYJIXBJNMWFAMV-HNNXBMFYSA-N 0 0 425.511 -0.270 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)C1 ZINC000919646417 1072899957 /nfs/dbraw/zinc/89/99/57/1072899957.db2.gz QYJIXBJNMWFAMV-OAHLLOKOSA-N 0 0 425.511 -0.270 20 0 IBADRN O=C(OCCN1C(=O)CNC1=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000919646707 1072899992 /nfs/dbraw/zinc/89/99/92/1072899992.db2.gz PPKSMWLMJNLKRV-GFCCVEGCSA-N 0 0 437.430 -0.281 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC000919647211 1072900002 /nfs/dbraw/zinc/90/00/02/1072900002.db2.gz XDTXTUBCCIOODF-GFCCVEGCSA-N 0 0 433.508 -0.123 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC000919647212 1072899941 /nfs/dbraw/zinc/89/99/41/1072899941.db2.gz XDTXTUBCCIOODF-LBPRGKRZSA-N 0 0 433.508 -0.123 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)C1 ZINC000919647264 1072899906 /nfs/dbraw/zinc/89/99/06/1072899906.db2.gz ZNMQFOCNIKTCCZ-GFCCVEGCSA-N 0 0 433.508 -0.123 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)C1 ZINC000919647265 1072900458 /nfs/dbraw/zinc/90/04/58/1072900458.db2.gz ZNMQFOCNIKTCCZ-LBPRGKRZSA-N 0 0 433.508 -0.123 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC000919647636 1072900422 /nfs/dbraw/zinc/90/04/22/1072900422.db2.gz KIYKCXYGLWKZQO-GFCCVEGCSA-N 0 0 440.430 -0.544 20 0 IBADRN COC(=O)CNC(=O)COC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC000919647637 1072900401 /nfs/dbraw/zinc/90/04/01/1072900401.db2.gz KIYKCXYGLWKZQO-LBPRGKRZSA-N 0 0 440.430 -0.544 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)C1 ZINC000919648669 1072900327 /nfs/dbraw/zinc/90/03/27/1072900327.db2.gz HMGZEICSHFUTPP-HNNXBMFYSA-N 0 0 441.506 -0.228 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCC(=O)NCc2ccc(OCC(N)=O)cc2)C1 ZINC000919648670 1072900464 /nfs/dbraw/zinc/90/04/64/1072900464.db2.gz HMGZEICSHFUTPP-OAHLLOKOSA-N 0 0 441.506 -0.228 20 0 IBADRN O=C(CS(=O)(=O)Cc1nnnn1-c1ccc(OC(F)F)cc1)N1CCNC(=O)C1 ZINC000919650888 1072900390 /nfs/dbraw/zinc/90/03/90/1072900390.db2.gz NYNMEUURSOYPSM-UHFFFAOYSA-N 0 0 430.393 -0.863 20 0 IBADRN C[C@H](OC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)C(=O)NC(N)=O ZINC000919650943 1072900309 /nfs/dbraw/zinc/90/03/09/1072900309.db2.gz XAAUUWQSYLILOC-GXSJLCMTSA-N 0 0 425.419 -0.250 20 0 IBADRN C[C@@H](OC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)C(=O)NC(N)=O ZINC000919650944 1072900467 /nfs/dbraw/zinc/90/04/67/1072900467.db2.gz XAAUUWQSYLILOC-KOLCDFICSA-N 0 0 425.419 -0.250 20 0 IBADRN C[C@@H](OC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)C(=O)NC(N)=O ZINC000919650945 1072900336 /nfs/dbraw/zinc/90/03/36/1072900336.db2.gz XAAUUWQSYLILOC-MWLCHTKSSA-N 0 0 425.419 -0.250 20 0 IBADRN C[C@H](OC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)C(=O)NC(N)=O ZINC000919650946 1072900413 /nfs/dbraw/zinc/90/04/13/1072900413.db2.gz XAAUUWQSYLILOC-ONGXEEELSA-N 0 0 425.419 -0.250 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000919652084 1072900491 /nfs/dbraw/zinc/90/04/91/1072900491.db2.gz VPFUOJUPVWGGMR-CYBMUJFWSA-N 0 0 441.510 -0.571 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)OCCCn2c(=O)c3c(ncn3C)n(C)c2=O)C1 ZINC000919652085 1072900508 /nfs/dbraw/zinc/90/05/08/1072900508.db2.gz VPFUOJUPVWGGMR-ZDUSSCGKSA-N 0 0 441.510 -0.571 20 0 IBADRN O=C(NCc1cccc(N2CCOC2=O)c1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000919657753 1072900379 /nfs/dbraw/zinc/90/03/79/1072900379.db2.gz GGRNLWKYWDBZJR-UHFFFAOYSA-N 0 0 445.476 -0.144 20 0 IBADRN O=C(Nc1ccc(CN2CCOC2=O)cc1)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000919660038 1072902413 /nfs/dbraw/zinc/90/24/13/1072902413.db2.gz JOPHZFQSMNBYPK-UHFFFAOYSA-N 0 0 443.460 -0.473 20 0 IBADRN O=C(Nc1cc(N2CCCC2=O)ccc1F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC000919660616 1072902494 /nfs/dbraw/zinc/90/24/94/1072902494.db2.gz WBTBIRYBQQTMSH-UHFFFAOYSA-N 0 0 445.451 -0.160 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3CCOC3=O)cc2)CC1 ZINC000919662950 1072902365 /nfs/dbraw/zinc/90/23/65/1072902365.db2.gz BLOLZXPLRUTJSK-UHFFFAOYSA-N 0 0 439.494 -0.472 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2cc(N3CCCC3=O)ccc2F)CC1 ZINC000919663758 1072902336 /nfs/dbraw/zinc/90/23/36/1072902336.db2.gz PRUWGAKTEJMSGS-UHFFFAOYSA-N 0 0 441.485 -0.158 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(=O)Nc2ccc3c(c2)OCC(=O)N3)CC1 ZINC000919663788 1072902482 /nfs/dbraw/zinc/90/24/82/1072902482.db2.gz RKJIQORNMVGCQB-UHFFFAOYSA-N 0 0 439.494 -0.313 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)Nc2ccc(CN3CCOC3=O)cc2)CC1 ZINC000919663970 1072903063 /nfs/dbraw/zinc/90/30/63/1072903063.db2.gz UKFABHIIVOZGFK-UHFFFAOYSA-N 0 0 445.476 -0.227 20 0 IBADRN CCCS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000919673485 1072903105 /nfs/dbraw/zinc/90/31/05/1072903105.db2.gz BSHFVJMDMPSRTC-UHFFFAOYSA-N 0 0 439.581 -0.535 20 0 IBADRN Cn1c(C(=O)NCC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000919708406 1072905340 /nfs/dbraw/zinc/90/53/40/1072905340.db2.gz WYVHWHAIKIXQMX-UHFFFAOYSA-N 0 0 425.405 -0.474 20 0 IBADRN Cn1c(C(=O)NCCNS(=O)(=O)Cc2ccccc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000919713370 1072904869 /nfs/dbraw/zinc/90/48/69/1072904869.db2.gz AGGGUFRKQKOBQU-UHFFFAOYSA-N 0 0 433.490 -0.575 20 0 IBADRN Cn1c(N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC000919722024 1072906899 /nfs/dbraw/zinc/90/68/99/1072906899.db2.gz NTZNUEJRFHWCBB-UHFFFAOYSA-N 0 0 429.462 -0.356 20 0 IBADRN COCCNC(=O)CNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1Br ZINC000919722394 1072907359 /nfs/dbraw/zinc/90/73/59/1072907359.db2.gz ITTKRYKFHDMWMM-UHFFFAOYSA-N 0 0 429.314 -0.107 20 0 IBADRN CNC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC000919724607 1072907378 /nfs/dbraw/zinc/90/73/78/1072907378.db2.gz ITKVQVLUJGPUTE-UHFFFAOYSA-N 0 0 426.451 -0.848 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1cc(S(C)(=O)=O)ccc1Br ZINC000919724922 1072907858 /nfs/dbraw/zinc/90/78/58/1072907858.db2.gz OCSOIZLPPCUZPP-UHFFFAOYSA-N 0 0 443.297 -0.580 20 0 IBADRN Cc1cc(F)ccc1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919726178 1072907780 /nfs/dbraw/zinc/90/77/80/1072907780.db2.gz AJPCZVOEDIKRKS-AWEZNQCLSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1cc(F)ccc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919726180 1072907797 /nfs/dbraw/zinc/90/77/97/1072907797.db2.gz AJPCZVOEDIKRKS-CQSZACIVSA-N 0 0 440.540 -0.043 20 0 IBADRN O=S(=O)(c1cc(F)cc(F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919726265 1072907892 /nfs/dbraw/zinc/90/78/92/1072907892.db2.gz BOBHEUQEKICNDO-CYBMUJFWSA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)(c1cc(F)cc(F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919726267 1072907812 /nfs/dbraw/zinc/90/78/12/1072907812.db2.gz BOBHEUQEKICNDO-ZDUSSCGKSA-N 0 0 444.503 -0.212 20 0 IBADRN Cc1ccc(F)c(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919726303 1072907866 /nfs/dbraw/zinc/90/78/66/1072907866.db2.gz DJBZWZPUIRXTJM-CYBMUJFWSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1ccc(F)c(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919726304 1072908423 /nfs/dbraw/zinc/90/84/23/1072908423.db2.gz DJBZWZPUIRXTJM-ZDUSSCGKSA-N 0 0 440.540 -0.043 20 0 IBADRN O=S(=O)(Cc1ccc(F)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919726317 1072908410 /nfs/dbraw/zinc/90/84/10/1072908410.db2.gz DYRDATYUEKUEND-HNNXBMFYSA-N 0 0 440.540 -0.210 20 0 IBADRN O=S(=O)(Cc1ccc(F)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919726318 1072908450 /nfs/dbraw/zinc/90/84/50/1072908450.db2.gz DYRDATYUEKUEND-OAHLLOKOSA-N 0 0 440.540 -0.210 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919726332 1072908397 /nfs/dbraw/zinc/90/83/97/1072908397.db2.gz FIGVJJZOIVPSRD-GFCCVEGCSA-N 0 0 427.526 -0.885 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919726334 1072908382 /nfs/dbraw/zinc/90/83/82/1072908382.db2.gz FIGVJJZOIVPSRD-LBPRGKRZSA-N 0 0 427.526 -0.885 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919726575 1072908378 /nfs/dbraw/zinc/90/83/78/1072908378.db2.gz HNQYIMZQUPLIPK-KRWDZBQOSA-N 0 0 448.588 -0.002 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919726576 1072908402 /nfs/dbraw/zinc/90/84/02/1072908402.db2.gz HNQYIMZQUPLIPK-QGZVFWFLSA-N 0 0 448.588 -0.002 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919726591 1072908361 /nfs/dbraw/zinc/90/83/61/1072908361.db2.gz IFKGHOWQQQEQLZ-KFWWJZLASA-N 0 0 443.613 -0.656 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919726592 1072908435 /nfs/dbraw/zinc/90/84/35/1072908435.db2.gz IFKGHOWQQQEQLZ-QLFBSQMISA-N 0 0 443.613 -0.656 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919726593 1072908428 /nfs/dbraw/zinc/90/84/28/1072908428.db2.gz IFKGHOWQQQEQLZ-RBSFLKMASA-N 0 0 443.613 -0.656 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919726594 1072908441 /nfs/dbraw/zinc/90/84/41/1072908441.db2.gz IFKGHOWQQQEQLZ-ZNMIVQPWSA-N 0 0 443.613 -0.656 20 0 IBADRN O=S(=O)(c1cc(F)ccc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919726907 1072908392 /nfs/dbraw/zinc/90/83/92/1072908392.db2.gz KSHOUDQNUJJMOW-GFCCVEGCSA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)(c1cc(F)ccc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919726908 1072908347 /nfs/dbraw/zinc/90/83/47/1072908347.db2.gz KSHOUDQNUJJMOW-LBPRGKRZSA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)(CCc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919727324 1072909030 /nfs/dbraw/zinc/90/90/30/1072909030.db2.gz PYLGAHLEWIYUJY-INIZCTEOSA-N 0 0 436.577 -0.307 20 0 IBADRN O=S(=O)(CCc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919727325 1072909033 /nfs/dbraw/zinc/90/90/33/1072909033.db2.gz PYLGAHLEWIYUJY-MRXNPFEDSA-N 0 0 436.577 -0.307 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000919727376 1072909021 /nfs/dbraw/zinc/90/90/21/1072909021.db2.gz QXUFIESHHQGJMB-GFCCVEGCSA-N 0 0 428.579 -0.120 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000919727381 1072909001 /nfs/dbraw/zinc/90/90/01/1072909001.db2.gz QXUFIESHHQGJMB-LBPRGKRZSA-N 0 0 428.579 -0.120 20 0 IBADRN C[C@H]1CCCCN1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919727419 1072908939 /nfs/dbraw/zinc/90/89/39/1072908939.db2.gz SEDLKLNCQKWVBP-KBPBESRZSA-N 0 0 429.586 -0.760 20 0 IBADRN C[C@@H]1CCCCN1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919727420 1072908853 /nfs/dbraw/zinc/90/88/53/1072908853.db2.gz SEDLKLNCQKWVBP-KGLIPLIRSA-N 0 0 429.586 -0.760 20 0 IBADRN C[C@H]1CCCCN1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919727421 1072908913 /nfs/dbraw/zinc/90/89/13/1072908913.db2.gz SEDLKLNCQKWVBP-UONOGXRCSA-N 0 0 429.586 -0.760 20 0 IBADRN C[C@@H]1CCCCN1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919727422 1072908865 /nfs/dbraw/zinc/90/88/65/1072908865.db2.gz SEDLKLNCQKWVBP-ZIAGYGMSSA-N 0 0 429.586 -0.760 20 0 IBADRN Cc1ccc(F)cc1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919727424 1072908930 /nfs/dbraw/zinc/90/89/30/1072908930.db2.gz SPMSZLLELUYRSW-AWEZNQCLSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1ccc(F)cc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919727425 1072909012 /nfs/dbraw/zinc/90/90/12/1072909012.db2.gz SPMSZLLELUYRSW-CQSZACIVSA-N 0 0 440.540 -0.043 20 0 IBADRN O=S(=O)(c1ccc(Cl)nc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919727449 1072908993 /nfs/dbraw/zinc/90/89/93/1072908993.db2.gz UPWVAXHNFOLQPS-GFCCVEGCSA-N 0 0 443.956 -0.442 20 0 IBADRN O=S(=O)(c1ccc(Cl)nc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919727450 1072909035 /nfs/dbraw/zinc/90/90/35/1072909035.db2.gz UPWVAXHNFOLQPS-LBPRGKRZSA-N 0 0 443.956 -0.442 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919727678 1072905327 /nfs/dbraw/zinc/90/53/27/1072905327.db2.gz XEJIURHJKVGDJG-HNNXBMFYSA-N 0 0 443.613 -0.370 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919727679 1072905427 /nfs/dbraw/zinc/90/54/27/1072905427.db2.gz XEJIURHJKVGDJG-OAHLLOKOSA-N 0 0 443.613 -0.370 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919727681 1072905378 /nfs/dbraw/zinc/90/53/78/1072905378.db2.gz XFWUODIFQMYVRU-KBPBESRZSA-N 0 0 429.586 -0.902 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919727682 1072905383 /nfs/dbraw/zinc/90/53/83/1072905383.db2.gz XFWUODIFQMYVRU-KGLIPLIRSA-N 0 0 429.586 -0.902 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919727683 1072905419 /nfs/dbraw/zinc/90/54/19/1072905419.db2.gz XFWUODIFQMYVRU-UONOGXRCSA-N 0 0 429.586 -0.902 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919727684 1072905442 /nfs/dbraw/zinc/90/54/42/1072905442.db2.gz XFWUODIFQMYVRU-ZIAGYGMSSA-N 0 0 429.586 -0.902 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000919727695 1072905390 /nfs/dbraw/zinc/90/53/90/1072905390.db2.gz XSJLNVVRAQPHIC-AWEZNQCLSA-N 0 0 440.540 -0.043 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000919727696 1072905352 /nfs/dbraw/zinc/90/53/52/1072905352.db2.gz XSJLNVVRAQPHIC-CQSZACIVSA-N 0 0 440.540 -0.043 20 0 IBADRN O=S(=O)(c1c(F)cccc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919727752 1072905440 /nfs/dbraw/zinc/90/54/40/1072905440.db2.gz ZGRXPXFEXZMUKC-LLVKDONJSA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)(c1c(F)cccc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919727753 1072905360 /nfs/dbraw/zinc/90/53/60/1072905360.db2.gz ZGRXPXFEXZMUKC-NSHDSACASA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000919727760 1072905449 /nfs/dbraw/zinc/90/54/49/1072905449.db2.gz ZRNWORZVIBNPNR-AWEZNQCLSA-N 0 0 429.586 -0.758 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(S(=O)(=O)N2CCCCCC2)CC1 ZINC000919727761 1072905454 /nfs/dbraw/zinc/90/54/54/1072905454.db2.gz ZRNWORZVIBNPNR-CQSZACIVSA-N 0 0 429.586 -0.758 20 0 IBADRN COc1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919727762 1072905396 /nfs/dbraw/zinc/90/53/96/1072905396.db2.gz ZSYBVRVIRMJBPH-CYBMUJFWSA-N 0 0 438.549 -0.482 20 0 IBADRN COc1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919727763 1072905404 /nfs/dbraw/zinc/90/54/04/1072905404.db2.gz ZSYBVRVIRMJBPH-ZDUSSCGKSA-N 0 0 438.549 -0.482 20 0 IBADRN O=S(=O)(c1ccc(F)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919728373 1072905457 /nfs/dbraw/zinc/90/54/57/1072905457.db2.gz BQXWECKWDDFRRF-AWEZNQCLSA-N 0 0 426.513 -0.351 20 0 IBADRN O=S(=O)(c1ccc(F)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919728374 1072905434 /nfs/dbraw/zinc/90/54/34/1072905434.db2.gz BQXWECKWDDFRRF-CQSZACIVSA-N 0 0 426.513 -0.351 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919728554 1072905411 /nfs/dbraw/zinc/90/54/11/1072905411.db2.gz DYOOLPOTVGDPHH-HNNXBMFYSA-N 0 0 438.549 -0.482 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919728555 1072905848 /nfs/dbraw/zinc/90/58/48/1072905848.db2.gz DYOOLPOTVGDPHH-OAHLLOKOSA-N 0 0 438.549 -0.482 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2C(=O)CSC2=O)cc1[C@]1(C)NC(=O)NC1=O ZINC000919728914 1072905754 /nfs/dbraw/zinc/90/57/54/1072905754.db2.gz MFUBMGZHJIPXCH-INIZCTEOSA-N 0 0 442.475 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2C(=O)CSC2=O)cc1[C@@]1(C)NC(=O)NC1=O ZINC000919728915 1072905715 /nfs/dbraw/zinc/90/57/15/1072905715.db2.gz MFUBMGZHJIPXCH-MRXNPFEDSA-N 0 0 442.475 -0.277 20 0 IBADRN O=S(=O)(c1ccccc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919728943 1072905709 /nfs/dbraw/zinc/90/57/09/1072905709.db2.gz OJRGJIUBBXWUFZ-GFCCVEGCSA-N 0 0 426.513 -0.351 20 0 IBADRN O=S(=O)(c1ccccc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919728944 1072905823 /nfs/dbraw/zinc/90/58/23/1072905823.db2.gz OJRGJIUBBXWUFZ-LBPRGKRZSA-N 0 0 426.513 -0.351 20 0 IBADRN O=S(=O)(c1ccc(F)cc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919729639 1072905799 /nfs/dbraw/zinc/90/57/99/1072905799.db2.gz XTBGEDAEYPPINI-GFCCVEGCSA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)(c1ccc(F)cc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919729641 1072905771 /nfs/dbraw/zinc/90/57/71/1072905771.db2.gz XTBGEDAEYPPINI-LBPRGKRZSA-N 0 0 444.503 -0.212 20 0 IBADRN O=S(=O)(c1cccc(F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919729673 1072905724 /nfs/dbraw/zinc/90/57/24/1072905724.db2.gz YNENXSJVWARJNS-AWEZNQCLSA-N 0 0 426.513 -0.351 20 0 IBADRN O=S(=O)(c1cccc(F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919729677 1072905834 /nfs/dbraw/zinc/90/58/34/1072905834.db2.gz YNENXSJVWARJNS-CQSZACIVSA-N 0 0 426.513 -0.351 20 0 IBADRN O=C(COC(=O)CCNC(=O)c1ccc(F)cc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000919734210 1072910340 /nfs/dbraw/zinc/91/03/40/1072910340.db2.gz ADNZYJGFGSXSQV-CYBMUJFWSA-N 0 0 429.426 -0.498 20 0 IBADRN O=C(COC(=O)CCNC(=O)c1ccc(F)cc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000919734211 1072911103 /nfs/dbraw/zinc/91/11/03/1072911103.db2.gz ADNZYJGFGSXSQV-ZDUSSCGKSA-N 0 0 429.426 -0.498 20 0 IBADRN COCCOc1ncccc1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000919739108 1072909342 /nfs/dbraw/zinc/90/93/42/1072909342.db2.gz FBOBIBVNKGODGQ-HNNXBMFYSA-N 0 0 441.506 -0.405 20 0 IBADRN COCCOc1ncccc1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919739109 1072909387 /nfs/dbraw/zinc/90/93/87/1072909387.db2.gz FBOBIBVNKGODGQ-OAHLLOKOSA-N 0 0 441.506 -0.405 20 0 IBADRN CCOC(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC000919749563 1072912501 /nfs/dbraw/zinc/91/25/01/1072912501.db2.gz JUQWJQULUQIOMN-UHFFFAOYSA-N 0 0 447.535 -0.022 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CS(C)(=O)=O)CC1 ZINC000919749739 1072913033 /nfs/dbraw/zinc/91/30/33/1072913033.db2.gz YTMRWEKCDKKTJN-UHFFFAOYSA-N 0 0 438.549 -0.724 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CN1CCS(=O)(=O)CC1 ZINC000919753122 1072913374 /nfs/dbraw/zinc/91/33/74/1072913374.db2.gz ATCWPGZMPWKQSI-UHFFFAOYSA-N 0 0 432.543 -0.746 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)Cn1cnc2c(cnn2C)c1=O ZINC000919753748 1072913410 /nfs/dbraw/zinc/91/34/10/1072913410.db2.gz LNWPAMDJHHOCQC-UHFFFAOYSA-N 0 0 447.496 -0.332 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CN(C)[C@H]1CCS(=O)(=O)C1 ZINC000919753766 1072913439 /nfs/dbraw/zinc/91/34/39/1072913439.db2.gz MLCIQDSCZOSFKP-HNNXBMFYSA-N 0 0 446.570 -0.357 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CN(C)[C@@H]1CCS(=O)(=O)C1 ZINC000919753767 1072913322 /nfs/dbraw/zinc/91/33/22/1072913322.db2.gz MLCIQDSCZOSFKP-OAHLLOKOSA-N 0 0 446.570 -0.357 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CCN1CCCS1(=O)=O ZINC000919754289 1072913337 /nfs/dbraw/zinc/91/33/37/1072913337.db2.gz VHZGKYMQYKYHSI-UHFFFAOYSA-N 0 0 432.543 -0.050 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC000919754540 1072913345 /nfs/dbraw/zinc/91/33/45/1072913345.db2.gz UNTKGHWFCOXPDL-UHFFFAOYSA-N 0 0 443.526 -0.176 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000919754926 1072913388 /nfs/dbraw/zinc/91/33/88/1072913388.db2.gz YLGORJFOFLVFNC-AWEZNQCLSA-N 0 0 432.543 -0.052 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)[C@H]1CCCN1S(C)(=O)=O ZINC000919754927 1072913350 /nfs/dbraw/zinc/91/33/50/1072913350.db2.gz YLGORJFOFLVFNC-CQSZACIVSA-N 0 0 432.543 -0.052 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000919754986 1072913366 /nfs/dbraw/zinc/91/33/66/1072913366.db2.gz ZXXORTZWGGWWFL-UHFFFAOYSA-N 0 0 427.546 -0.020 20 0 IBADRN O=C(NCCN1C(=O)c2ccccc2C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000919761805 1072914333 /nfs/dbraw/zinc/91/43/33/1072914333.db2.gz HAXDPAJGBOSNTP-GFCCVEGCSA-N 0 0 432.458 -0.436 20 0 IBADRN O=C(NCCN1C(=O)c2ccccc2C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000919761806 1072914901 /nfs/dbraw/zinc/91/49/01/1072914901.db2.gz HAXDPAJGBOSNTP-LBPRGKRZSA-N 0 0 432.458 -0.436 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000919780021 1072916055 /nfs/dbraw/zinc/91/60/55/1072916055.db2.gz YCJDBNPIKRNTNI-UHFFFAOYSA-N 0 0 441.492 -0.212 20 0 IBADRN Cc1nccn1-c1ncccc1CNC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000919781082 1072915881 /nfs/dbraw/zinc/91/58/81/1072915881.db2.gz CLFOZDUXBQPJGN-UHFFFAOYSA-N 0 0 449.537 -0.020 20 0 IBADRN O=C(NCCN1C(=O)c2ccccc2C1=O)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC000919800329 1072917508 /nfs/dbraw/zinc/91/75/08/1072917508.db2.gz IWDPIQWNRBPDSN-UHFFFAOYSA-N 0 0 435.440 -0.026 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC3CCN(CC(N)=O)CC3)CC2)c1 ZINC000919810469 1072921463 /nfs/dbraw/zinc/92/14/63/1072921463.db2.gz WEQYATPDTKPWCH-UHFFFAOYSA-N 0 0 437.566 -0.487 20 0 IBADRN COc1ccc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000919815923 1072917951 /nfs/dbraw/zinc/91/79/51/1072917951.db2.gz HVZOZPWDJCYYIG-HNNXBMFYSA-N 0 0 446.547 -0.093 20 0 IBADRN COc1ccc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000919815924 1072917919 /nfs/dbraw/zinc/91/79/19/1072917919.db2.gz HVZOZPWDJCYYIG-OAHLLOKOSA-N 0 0 446.547 -0.093 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000919816082 1072917932 /nfs/dbraw/zinc/91/79/32/1072917932.db2.gz LPWCDRHPUGTCIC-AWEZNQCLSA-N 0 0 432.520 -0.022 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC000919816083 1072918048 /nfs/dbraw/zinc/91/80/48/1072918048.db2.gz LPWCDRHPUGTCIC-CQSZACIVSA-N 0 0 432.520 -0.022 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(OC)c1 ZINC000919816135 1072917973 /nfs/dbraw/zinc/91/79/73/1072917973.db2.gz OHHDYEDBZYFVEX-AWEZNQCLSA-N 0 0 432.520 -0.022 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(OC)c1 ZINC000919816136 1072918055 /nfs/dbraw/zinc/91/80/55/1072918055.db2.gz OHHDYEDBZYFVEX-CQSZACIVSA-N 0 0 432.520 -0.022 20 0 IBADRN COc1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1OC ZINC000919816194 1072917980 /nfs/dbraw/zinc/91/79/80/1072917980.db2.gz RQOHKBFIWSUXKR-CYBMUJFWSA-N 0 0 432.520 -0.022 20 0 IBADRN COc1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1OC ZINC000919816195 1072918035 /nfs/dbraw/zinc/91/80/35/1072918035.db2.gz RQOHKBFIWSUXKR-ZDUSSCGKSA-N 0 0 432.520 -0.022 20 0 IBADRN COCCOc1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919816200 1072918041 /nfs/dbraw/zinc/91/80/41/1072918041.db2.gz SBDULLDYMRWQTB-KRWDZBQOSA-N 0 0 446.547 -0.014 20 0 IBADRN COCCOc1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919816201 1072917991 /nfs/dbraw/zinc/91/79/91/1072917991.db2.gz SBDULLDYMRWQTB-QGZVFWFLSA-N 0 0 446.547 -0.014 20 0 IBADRN COCCOc1ccccc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816250 1072917961 /nfs/dbraw/zinc/91/79/61/1072917961.db2.gz VJPCEOVWSPTMDG-HNNXBMFYSA-N 0 0 446.547 -0.014 20 0 IBADRN COCCOc1ccccc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816251 1072918006 /nfs/dbraw/zinc/91/80/06/1072918006.db2.gz VJPCEOVWSPTMDG-OAHLLOKOSA-N 0 0 446.547 -0.014 20 0 IBADRN O=C(CNC(=O)c1ccsc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816252 1072918019 /nfs/dbraw/zinc/91/80/19/1072918019.db2.gz VLXTZPMGVZMLSV-CYBMUJFWSA-N 0 0 435.549 -0.861 20 0 IBADRN O=C(CNC(=O)c1ccsc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816253 1072918028 /nfs/dbraw/zinc/91/80/28/1072918028.db2.gz VLXTZPMGVZMLSV-ZDUSSCGKSA-N 0 0 435.549 -0.861 20 0 IBADRN O=C(CNC(=O)c1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816297 1072918462 /nfs/dbraw/zinc/91/84/62/1072918462.db2.gz YIHFNIAOLYXCMX-HNNXBMFYSA-N 0 0 429.520 -0.923 20 0 IBADRN O=C(CNC(=O)c1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816298 1072918352 /nfs/dbraw/zinc/91/83/52/1072918352.db2.gz YIHFNIAOLYXCMX-OAHLLOKOSA-N 0 0 429.520 -0.923 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C(F)(F)F)n1 ZINC000919816396 1072918303 /nfs/dbraw/zinc/91/83/03/1072918303.db2.gz CLBZKCTWHICVKA-JTQLQIEISA-N 0 0 444.457 -0.286 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C(F)(F)F)n1 ZINC000919816397 1072918479 /nfs/dbraw/zinc/91/84/79/1072918479.db2.gz CLBZKCTWHICVKA-SNVBAGLBSA-N 0 0 444.457 -0.286 20 0 IBADRN O=C(c1cc2c(cc1O)OCO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816440 1072918328 /nfs/dbraw/zinc/91/83/28/1072918328.db2.gz DJOBGWIRYKDDAB-LLVKDONJSA-N 0 0 432.476 -0.604 20 0 IBADRN O=C(c1cc2c(cc1O)OCO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816441 1072918280 /nfs/dbraw/zinc/91/82/80/1072918280.db2.gz DJOBGWIRYKDDAB-NSHDSACASA-N 0 0 432.476 -0.604 20 0 IBADRN C[C@H](NC(=O)c1ccoc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816446 1072918412 /nfs/dbraw/zinc/91/84/12/1072918412.db2.gz DLBHFSFMQRKJDU-GXTWGEPZSA-N 0 0 433.508 -0.941 20 0 IBADRN C[C@H](NC(=O)c1ccoc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816447 1072918360 /nfs/dbraw/zinc/91/83/60/1072918360.db2.gz DLBHFSFMQRKJDU-JSGCOSHPSA-N 0 0 433.508 -0.941 20 0 IBADRN C[C@@H](NC(=O)c1ccoc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816448 1072918297 /nfs/dbraw/zinc/91/82/97/1072918297.db2.gz DLBHFSFMQRKJDU-OCCSQVGLSA-N 0 0 433.508 -0.941 20 0 IBADRN C[C@@H](NC(=O)c1ccoc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816449 1072918472 /nfs/dbraw/zinc/91/84/72/1072918472.db2.gz DLBHFSFMQRKJDU-TZMCWYRMSA-N 0 0 433.508 -0.941 20 0 IBADRN O=C(c1ccc2c(c1)CCC(=O)N2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816460 1072918395 /nfs/dbraw/zinc/91/83/95/1072918395.db2.gz AITCCDLZLXVFNM-HNNXBMFYSA-N 0 0 441.531 -0.154 20 0 IBADRN O=C(c1ccc2c(c1)CCC(=O)N2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816461 1072918422 /nfs/dbraw/zinc/91/84/22/1072918422.db2.gz AITCCDLZLXVFNM-OAHLLOKOSA-N 0 0 441.531 -0.154 20 0 IBADRN CC(C)(C)n1cc(C(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000919816489 1072918382 /nfs/dbraw/zinc/91/83/82/1072918382.db2.gz FLYNKARQDJXKNJ-AWEZNQCLSA-N 0 0 446.551 -0.518 20 0 IBADRN CC(C)(C)n1cc(C(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000919816491 1072918369 /nfs/dbraw/zinc/91/83/69/1072918369.db2.gz FLYNKARQDJXKNJ-CQSZACIVSA-N 0 0 446.551 -0.518 20 0 IBADRN CCCC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919816534 1072918402 /nfs/dbraw/zinc/91/84/02/1072918402.db2.gz GYZUQZSXZQVOST-CVEARBPZSA-N 0 0 449.595 -0.314 20 0 IBADRN O=C(c1cc(-c2ccco2)n[nH]1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816556 1072918914 /nfs/dbraw/zinc/91/89/14/1072918914.db2.gz BPDRIJJXCPLWLU-GFCCVEGCSA-N 0 0 428.492 -0.056 20 0 IBADRN CCCC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919816557 1072918291 /nfs/dbraw/zinc/91/82/91/1072918291.db2.gz GYZUQZSXZQVOST-HOTGVXAUSA-N 0 0 449.595 -0.314 20 0 IBADRN CCCC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919816558 1072918310 /nfs/dbraw/zinc/91/83/10/1072918310.db2.gz GYZUQZSXZQVOST-HZPDHXFCSA-N 0 0 449.595 -0.314 20 0 IBADRN CCCC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919816559 1072918451 /nfs/dbraw/zinc/91/84/51/1072918451.db2.gz GYZUQZSXZQVOST-JKSUJKDBSA-N 0 0 449.595 -0.314 20 0 IBADRN O=C(c1cc(-c2ccco2)n[nH]1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816576 1072918910 /nfs/dbraw/zinc/91/89/10/1072918910.db2.gz BPDRIJJXCPLWLU-LBPRGKRZSA-N 0 0 428.492 -0.056 20 0 IBADRN CCC[C@H](C)N1C[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919816589 1072918904 /nfs/dbraw/zinc/91/89/04/1072918904.db2.gz IIFXGQQPQPYMAY-ARFHVFGLSA-N 0 0 449.595 -0.315 20 0 IBADRN CCC[C@H](C)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919816590 1072918926 /nfs/dbraw/zinc/91/89/26/1072918926.db2.gz IIFXGQQPQPYMAY-HRCADAONSA-N 0 0 449.595 -0.315 20 0 IBADRN CCC[C@H](C)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919816591 1072918847 /nfs/dbraw/zinc/91/88/47/1072918847.db2.gz IIFXGQQPQPYMAY-JYJNAYRXSA-N 0 0 449.595 -0.315 20 0 IBADRN CCC[C@H](C)N1C[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919816592 1072918887 /nfs/dbraw/zinc/91/88/87/1072918887.db2.gz IIFXGQQPQPYMAY-XHSDSOJGSA-N 0 0 449.595 -0.315 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(=O)c1cccs1 ZINC000919816604 1072918929 /nfs/dbraw/zinc/91/89/29/1072918929.db2.gz BYRATTQZDSWVSD-CYBMUJFWSA-N 0 0 449.576 -0.519 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(=O)c1cccs1 ZINC000919816605 1072918921 /nfs/dbraw/zinc/91/89/21/1072918921.db2.gz BYRATTQZDSWVSD-ZDUSSCGKSA-N 0 0 449.576 -0.519 20 0 IBADRN O=C(c1c[nH]nc1-c1ccncc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816682 1072918897 /nfs/dbraw/zinc/91/88/97/1072918897.db2.gz JDMLTWFLWSGYEX-AWEZNQCLSA-N 0 0 439.519 -0.254 20 0 IBADRN O=C(c1c[nH]nc1-c1ccncc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816683 1072918901 /nfs/dbraw/zinc/91/89/01/1072918901.db2.gz JDMLTWFLWSGYEX-CQSZACIVSA-N 0 0 439.519 -0.254 20 0 IBADRN COc1cccc(COCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919816706 1072918857 /nfs/dbraw/zinc/91/88/57/1072918857.db2.gz JJVLQMDHNFIMNZ-KRWDZBQOSA-N 0 0 446.547 -0.127 20 0 IBADRN COc1cccc(COCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919816707 1072918892 /nfs/dbraw/zinc/91/88/92/1072918892.db2.gz JJVLQMDHNFIMNZ-QGZVFWFLSA-N 0 0 446.547 -0.127 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919816846 1072918880 /nfs/dbraw/zinc/91/88/80/1072918880.db2.gz CZZMYTSFAPZVOH-INIZCTEOSA-N 0 0 432.520 -0.022 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919816847 1072918865 /nfs/dbraw/zinc/91/88/65/1072918865.db2.gz CZZMYTSFAPZVOH-MRXNPFEDSA-N 0 0 432.520 -0.022 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816854 1072919203 /nfs/dbraw/zinc/91/92/03/1072919203.db2.gz DGMBVPUECSQGNK-CABCVRRESA-N 0 0 449.595 -0.315 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816855 1072919232 /nfs/dbraw/zinc/91/92/32/1072919232.db2.gz DGMBVPUECSQGNK-GJZGRUSLSA-N 0 0 449.595 -0.315 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919816856 1072919218 /nfs/dbraw/zinc/91/92/18/1072919218.db2.gz DGMBVPUECSQGNK-HUUCEWRRSA-N 0 0 449.595 -0.315 20 0 IBADRN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919816857 1072919211 /nfs/dbraw/zinc/91/92/11/1072919211.db2.gz DGMBVPUECSQGNK-LSDHHAIUSA-N 0 0 449.595 -0.315 20 0 IBADRN COc1ccc(OC)c(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919816868 1072919173 /nfs/dbraw/zinc/91/91/73/1072919173.db2.gz DQOAAFGXPOMYTJ-INIZCTEOSA-N 0 0 446.547 -0.093 20 0 IBADRN COc1ccc(OC)c(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919816869 1072919284 /nfs/dbraw/zinc/91/92/84/1072919284.db2.gz DQOAAFGXPOMYTJ-MRXNPFEDSA-N 0 0 446.547 -0.093 20 0 IBADRN COc1ccc(COCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919816960 1072919238 /nfs/dbraw/zinc/91/92/38/1072919238.db2.gz LLMQIQWAJDXOJG-KRWDZBQOSA-N 0 0 446.547 -0.127 20 0 IBADRN COc1ccc(COCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919816962 1072919275 /nfs/dbraw/zinc/91/92/75/1072919275.db2.gz LLMQIQWAJDXOJG-QGZVFWFLSA-N 0 0 446.547 -0.127 20 0 IBADRN COCCOc1ncccc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817045 1072919265 /nfs/dbraw/zinc/91/92/65/1072919265.db2.gz NDLTZUAXDRXMLA-AWEZNQCLSA-N 0 0 447.535 -0.619 20 0 IBADRN COCCOc1ncccc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817046 1072919224 /nfs/dbraw/zinc/91/92/24/1072919224.db2.gz NDLTZUAXDRXMLA-CQSZACIVSA-N 0 0 447.535 -0.619 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC000919817086 1072919263 /nfs/dbraw/zinc/91/92/63/1072919263.db2.gz OCEJPPAWPDDZQL-JTQLQIEISA-N 0 0 444.457 -0.286 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC000919817087 1072919269 /nfs/dbraw/zinc/91/92/69/1072919269.db2.gz OCEJPPAWPDDZQL-SNVBAGLBSA-N 0 0 444.457 -0.286 20 0 IBADRN O=C([C@H]1COc2ccccc2C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817127 1072919189 /nfs/dbraw/zinc/91/91/89/1072919189.db2.gz GBGRBCOFBPQISU-CVEARBPZSA-N 0 0 428.532 -0.101 20 0 IBADRN O=C([C@@H]1COc2ccccc2C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817128 1072919244 /nfs/dbraw/zinc/91/92/44/1072919244.db2.gz GBGRBCOFBPQISU-HOTGVXAUSA-N 0 0 428.532 -0.101 20 0 IBADRN O=C([C@H]1COc2ccccc2C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817129 1072919196 /nfs/dbraw/zinc/91/91/96/1072919196.db2.gz GBGRBCOFBPQISU-HZPDHXFCSA-N 0 0 428.532 -0.101 20 0 IBADRN O=C([C@@H]1COc2ccccc2C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817130 1072919289 /nfs/dbraw/zinc/91/92/89/1072919289.db2.gz GBGRBCOFBPQISU-JKSUJKDBSA-N 0 0 428.532 -0.101 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817182 1072919255 /nfs/dbraw/zinc/91/92/55/1072919255.db2.gz HUJPTPQIDXVPOY-CYBMUJFWSA-N 0 0 442.519 -0.597 20 0 IBADRN O=C(Cn1c(=O)[nH]c2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817183 1072919298 /nfs/dbraw/zinc/91/92/98/1072919298.db2.gz HUJPTPQIDXVPOY-ZDUSSCGKSA-N 0 0 442.519 -0.597 20 0 IBADRN CC(=O)Nc1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919817188 1072919260 /nfs/dbraw/zinc/91/92/60/1072919260.db2.gz IAIQPABZLOAJQZ-INIZCTEOSA-N 0 0 429.520 -0.080 20 0 IBADRN CC(=O)Nc1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919817189 1072919249 /nfs/dbraw/zinc/91/92/49/1072919249.db2.gz IAIQPABZLOAJQZ-MRXNPFEDSA-N 0 0 429.520 -0.080 20 0 IBADRN O=C(Cc1cn2ccccc2n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817192 1072919687 /nfs/dbraw/zinc/91/96/87/1072919687.db2.gz ICGVBLOQSCSCAI-HNNXBMFYSA-N 0 0 426.520 -0.462 20 0 IBADRN O=C(Cc1cn2ccccc2n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817193 1072919601 /nfs/dbraw/zinc/91/96/01/1072919601.db2.gz ICGVBLOQSCSCAI-OAHLLOKOSA-N 0 0 426.520 -0.462 20 0 IBADRN O=C(c1ccc(-n2cccn2)nc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817212 1072919661 /nfs/dbraw/zinc/91/96/61/1072919661.db2.gz IKCWQCHKOLPBMX-HNNXBMFYSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1ccc(-n2cccn2)nc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817213 1072919633 /nfs/dbraw/zinc/91/96/33/1072919633.db2.gz IKCWQCHKOLPBMX-OAHLLOKOSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cn(-c2ccccc2)nn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817270 1072919720 /nfs/dbraw/zinc/91/97/20/1072919720.db2.gz QFRUIETWGLAFJV-HNNXBMFYSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cn(-c2ccccc2)nn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817271 1072919647 /nfs/dbraw/zinc/91/96/47/1072919647.db2.gz QFRUIETWGLAFJV-OAHLLOKOSA-N 0 0 439.519 -0.458 20 0 IBADRN CC(C)C(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817374 1072919581 /nfs/dbraw/zinc/91/95/81/1072919581.db2.gz SCFNDSYAHWHSLG-CVEARBPZSA-N 0 0 449.595 -0.315 20 0 IBADRN CC(C)C(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817375 1072919695 /nfs/dbraw/zinc/91/96/95/1072919695.db2.gz SCFNDSYAHWHSLG-HOTGVXAUSA-N 0 0 449.595 -0.315 20 0 IBADRN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817376 1072919568 /nfs/dbraw/zinc/91/95/68/1072919568.db2.gz SCFNDSYAHWHSLG-HZPDHXFCSA-N 0 0 449.595 -0.315 20 0 IBADRN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817377 1072919679 /nfs/dbraw/zinc/91/96/79/1072919679.db2.gz SCFNDSYAHWHSLG-JKSUJKDBSA-N 0 0 449.595 -0.315 20 0 IBADRN CC(C)(C)c1noc(CCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000919817388 1072919625 /nfs/dbraw/zinc/91/96/25/1072919625.db2.gz SJAYAXXLIFMQRR-CYBMUJFWSA-N 0 0 448.567 -0.039 20 0 IBADRN CC(C)(C)c1noc(CCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000919817389 1072919608 /nfs/dbraw/zinc/91/96/08/1072919608.db2.gz SJAYAXXLIFMQRR-ZDUSSCGKSA-N 0 0 448.567 -0.039 20 0 IBADRN O=C(CSCc1ccncc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817433 1072919655 /nfs/dbraw/zinc/91/96/55/1072919655.db2.gz UHWVXBNUZGOSHD-HNNXBMFYSA-N 0 0 433.577 -0.024 20 0 IBADRN O=C(CSCc1ccncc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817434 1072919707 /nfs/dbraw/zinc/91/97/07/1072919707.db2.gz UHWVXBNUZGOSHD-OAHLLOKOSA-N 0 0 433.577 -0.024 20 0 IBADRN O=C(C[C@@H]1OC(=O)c2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817456 1072919714 /nfs/dbraw/zinc/91/97/14/1072919714.db2.gz IXKVOPZJBIZBKL-BBRMVZONSA-N 0 0 442.515 -0.051 20 0 IBADRN O=C(C[C@@H]1OC(=O)c2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817457 1072919588 /nfs/dbraw/zinc/91/95/88/1072919588.db2.gz IXKVOPZJBIZBKL-CJNGLKHVSA-N 0 0 442.515 -0.051 20 0 IBADRN O=C(C[C@H]1OC(=O)c2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817458 1072920010 /nfs/dbraw/zinc/92/00/10/1072920010.db2.gz IXKVOPZJBIZBKL-CZUORRHYSA-N 0 0 442.515 -0.051 20 0 IBADRN O=C(C[C@H]1OC(=O)c2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817459 1072920094 /nfs/dbraw/zinc/92/00/94/1072920094.db2.gz IXKVOPZJBIZBKL-XJKSGUPXSA-N 0 0 442.515 -0.051 20 0 IBADRN C[C@@H]1Oc2ccccc2O[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817551 1072920055 /nfs/dbraw/zinc/92/00/55/1072920055.db2.gz AVCQTBDQMOARIO-GRDNDAEWSA-N 0 0 444.531 -0.124 20 0 IBADRN C[C@@H]1Oc2ccccc2O[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817553 1072919970 /nfs/dbraw/zinc/91/99/70/1072919970.db2.gz AVCQTBDQMOARIO-JJRVBVJISA-N 0 0 444.531 -0.124 20 0 IBADRN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817554 1072920113 /nfs/dbraw/zinc/92/01/13/1072920113.db2.gz AVCQTBDQMOARIO-VBQJREDUSA-N 0 0 444.531 -0.124 20 0 IBADRN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817555 1072920104 /nfs/dbraw/zinc/92/01/04/1072920104.db2.gz AVCQTBDQMOARIO-ZQIUZPCESA-N 0 0 444.531 -0.124 20 0 IBADRN Cc1cc2nc(C)cc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)n2n1 ZINC000919817600 1072919948 /nfs/dbraw/zinc/91/99/48/1072919948.db2.gz BMPGSULAQPHOKM-AWEZNQCLSA-N 0 0 441.535 -0.379 20 0 IBADRN Cc1cc2nc(C)cc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)n2n1 ZINC000919817601 1072919991 /nfs/dbraw/zinc/91/99/91/1072919991.db2.gz BMPGSULAQPHOKM-CQSZACIVSA-N 0 0 441.535 -0.379 20 0 IBADRN O=C(CSCc1ccccn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817648 1072919962 /nfs/dbraw/zinc/91/99/62/1072919962.db2.gz UOTNVGQVWBZVLM-HNNXBMFYSA-N 0 0 433.577 -0.024 20 0 IBADRN O=C(CSCc1ccccn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817649 1072920086 /nfs/dbraw/zinc/92/00/86/1072920086.db2.gz UOTNVGQVWBZVLM-OAHLLOKOSA-N 0 0 433.577 -0.024 20 0 IBADRN O=C(COCCOc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817665 1072920000 /nfs/dbraw/zinc/92/00/00/1072920000.db2.gz UWOBQNZBGKIMQV-KRWDZBQOSA-N 0 0 446.547 -0.257 20 0 IBADRN O=C(COCCOc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817666 1072920042 /nfs/dbraw/zinc/92/00/42/1072920042.db2.gz UWOBQNZBGKIMQV-QGZVFWFLSA-N 0 0 446.547 -0.257 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817673 1072920028 /nfs/dbraw/zinc/92/00/28/1072920028.db2.gz VFPOQTTZXZUQGY-AWEZNQCLSA-N 0 0 444.597 -0.892 20 0 IBADRN CC(C)CS(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817674 1072920033 /nfs/dbraw/zinc/92/00/33/1072920033.db2.gz VFPOQTTZXZUQGY-CQSZACIVSA-N 0 0 444.597 -0.892 20 0 IBADRN O=C(c1ccc2[nH]c(=O)[nH]c2c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817708 1072920121 /nfs/dbraw/zinc/92/01/21/1072920121.db2.gz WCLAZKANUPBGKV-GFCCVEGCSA-N 0 0 428.492 -0.457 20 0 IBADRN O=C(c1ccc2[nH]c(=O)[nH]c2c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817709 1072920015 /nfs/dbraw/zinc/92/00/15/1072920015.db2.gz WCLAZKANUPBGKV-LBPRGKRZSA-N 0 0 428.492 -0.457 20 0 IBADRN C[C@@H](NC(=O)c1ccco1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817754 1072919980 /nfs/dbraw/zinc/91/99/80/1072919980.db2.gz CUMNQENZRDJUBI-CHWSQXEVSA-N 0 0 433.508 -0.941 20 0 IBADRN C[C@@H](NC(=O)c1ccco1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817755 1072920593 /nfs/dbraw/zinc/92/05/93/1072920593.db2.gz CUMNQENZRDJUBI-OLZOCXBDSA-N 0 0 433.508 -0.941 20 0 IBADRN C[C@H](NC(=O)c1ccco1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817756 1072920604 /nfs/dbraw/zinc/92/06/04/1072920604.db2.gz CUMNQENZRDJUBI-QWHCGFSZSA-N 0 0 433.508 -0.941 20 0 IBADRN C[C@H](NC(=O)c1ccco1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817757 1072920585 /nfs/dbraw/zinc/92/05/85/1072920585.db2.gz CUMNQENZRDJUBI-STQMWFEESA-N 0 0 433.508 -0.941 20 0 IBADRN COc1ccc(OCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919817794 1072920599 /nfs/dbraw/zinc/92/05/99/1072920599.db2.gz FDDAOHGXJLSHAK-INIZCTEOSA-N 0 0 432.520 -0.265 20 0 IBADRN COc1ccc(OCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919817796 1072920538 /nfs/dbraw/zinc/92/05/38/1072920538.db2.gz FDDAOHGXJLSHAK-MRXNPFEDSA-N 0 0 432.520 -0.265 20 0 IBADRN O=C(CN1CCCCCCC1=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919817913 1072920506 /nfs/dbraw/zinc/92/05/06/1072920506.db2.gz MVHIMQZPHZXYLT-HNNXBMFYSA-N 0 0 435.568 -0.560 20 0 IBADRN O=C(CN1CCCCCCC1=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919817914 1072920576 /nfs/dbraw/zinc/92/05/76/1072920576.db2.gz MVHIMQZPHZXYLT-OAHLLOKOSA-N 0 0 435.568 -0.560 20 0 IBADRN COC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919817942 1072920619 /nfs/dbraw/zinc/92/06/19/1072920619.db2.gz NKRGTFQSIHOWJK-HNNXBMFYSA-N 0 0 430.504 -0.252 20 0 IBADRN COC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919817943 1072920515 /nfs/dbraw/zinc/92/05/15/1072920515.db2.gz NKRGTFQSIHOWJK-OAHLLOKOSA-N 0 0 430.504 -0.252 20 0 IBADRN Cc1nn(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C)c1Cl ZINC000919817954 1072920529 /nfs/dbraw/zinc/92/05/29/1072920529.db2.gz XZNUSXHYSRHRPE-CYBMUJFWSA-N 0 0 438.959 -0.186 20 0 IBADRN Cc1nn(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C)c1Cl ZINC000919817955 1072920561 /nfs/dbraw/zinc/92/05/61/1072920561.db2.gz XZNUSXHYSRHRPE-ZDUSSCGKSA-N 0 0 438.959 -0.186 20 0 IBADRN O=C(Cc1ccccc1)NCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818014 1072920564 /nfs/dbraw/zinc/92/05/64/1072920564.db2.gz HUGBDPCHRGRRHK-INIZCTEOSA-N 0 0 443.547 -0.994 20 0 IBADRN O=C(Cc1ccccc1)NCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818015 1072920549 /nfs/dbraw/zinc/92/05/49/1072920549.db2.gz HUGBDPCHRGRRHK-MRXNPFEDSA-N 0 0 443.547 -0.994 20 0 IBADRN O=C(Cc1ccc2c(c1)CCO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818046 1072920473 /nfs/dbraw/zinc/92/04/73/1072920473.db2.gz IMPMVEJWMNFCLG-INIZCTEOSA-N 0 0 428.532 -0.175 20 0 IBADRN O=C(Cc1ccc2c(c1)CCO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818047 1072920524 /nfs/dbraw/zinc/92/05/24/1072920524.db2.gz IMPMVEJWMNFCLG-MRXNPFEDSA-N 0 0 428.532 -0.175 20 0 IBADRN C[C@H](NC(=O)C1CCCC1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818115 1072920493 /nfs/dbraw/zinc/92/04/93/1072920493.db2.gz NVPOAICBLHRPQA-DZGCQCFKSA-N 0 0 435.568 -0.658 20 0 IBADRN C[C@@H](NC(=O)C1CCCC1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818117 1072920612 /nfs/dbraw/zinc/92/06/12/1072920612.db2.gz NVPOAICBLHRPQA-HIFRSBDPSA-N 0 0 435.568 -0.658 20 0 IBADRN C[C@@H](NC(=O)C1CCCC1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818119 1072920580 /nfs/dbraw/zinc/92/05/80/1072920580.db2.gz NVPOAICBLHRPQA-UKRRQHHQSA-N 0 0 435.568 -0.658 20 0 IBADRN C[C@H](NC(=O)C1CCCC1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818121 1072921070 /nfs/dbraw/zinc/92/10/70/1072921070.db2.gz NVPOAICBLHRPQA-ZFWWWQNUSA-N 0 0 435.568 -0.658 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000919818356 1072920900 /nfs/dbraw/zinc/92/09/00/1072920900.db2.gz KIYHRTUWLLBVFM-CYBMUJFWSA-N 0 0 447.535 -0.307 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC000919818357 1072921038 /nfs/dbraw/zinc/92/10/38/1072921038.db2.gz KIYHRTUWLLBVFM-ZDUSSCGKSA-N 0 0 447.535 -0.307 20 0 IBADRN O=C(c1ccc2c(c1)OCC(=O)N2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818381 1072920911 /nfs/dbraw/zinc/92/09/11/1072920911.db2.gz KXJQAVXWUUQKNN-CYBMUJFWSA-N 0 0 443.503 -0.708 20 0 IBADRN O=C(c1ccc2c(c1)OCC(=O)N2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818382 1072920883 /nfs/dbraw/zinc/92/08/83/1072920883.db2.gz KXJQAVXWUUQKNN-ZDUSSCGKSA-N 0 0 443.503 -0.708 20 0 IBADRN Cc1ccn2cc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)nc2c1 ZINC000919818458 1072921016 /nfs/dbraw/zinc/92/10/16/1072921016.db2.gz QPRGUFDWOVVYNX-AWEZNQCLSA-N 0 0 426.520 -0.083 20 0 IBADRN Cc1ccn2cc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)nc2c1 ZINC000919818459 1072921049 /nfs/dbraw/zinc/92/10/49/1072921049.db2.gz QPRGUFDWOVVYNX-CQSZACIVSA-N 0 0 426.520 -0.083 20 0 IBADRN O=C(c1ccc(Cn2cccn2)o1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818462 1072920992 /nfs/dbraw/zinc/92/09/92/1072920992.db2.gz QTKRGVJOSHGTNN-HNNXBMFYSA-N 0 0 442.519 -0.201 20 0 IBADRN O=C(c1ccc(Cn2cccn2)o1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818463 1072920952 /nfs/dbraw/zinc/92/09/52/1072920952.db2.gz QTKRGVJOSHGTNN-OAHLLOKOSA-N 0 0 442.519 -0.201 20 0 IBADRN Cc1cc(C)nc(SCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000919818496 1072920971 /nfs/dbraw/zinc/92/09/71/1072920971.db2.gz RIORQDITUBRHSG-AWEZNQCLSA-N 0 0 448.592 -0.153 20 0 IBADRN Cc1cc(C)nc(SCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC000919818500 1072921055 /nfs/dbraw/zinc/92/10/55/1072921055.db2.gz RIORQDITUBRHSG-CQSZACIVSA-N 0 0 448.592 -0.153 20 0 IBADRN O=C(Cc1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818569 1072921023 /nfs/dbraw/zinc/92/10/23/1072921023.db2.gz RYUASXUUDYMUJL-AWEZNQCLSA-N 0 0 430.504 -0.381 20 0 IBADRN O=C(Cc1ccc2c(c1)OCO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818571 1072921059 /nfs/dbraw/zinc/92/10/59/1072921059.db2.gz RYUASXUUDYMUJL-CQSZACIVSA-N 0 0 430.504 -0.381 20 0 IBADRN COc1ccc(OC)c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919818651 1072921000 /nfs/dbraw/zinc/92/10/00/1072921000.db2.gz MKFQKKZENSOPEO-AWEZNQCLSA-N 0 0 432.520 -0.022 20 0 IBADRN COc1ccc(OC)c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919818652 1072920963 /nfs/dbraw/zinc/92/09/63/1072920963.db2.gz MKFQKKZENSOPEO-CQSZACIVSA-N 0 0 432.520 -0.022 20 0 IBADRN O=C(CNC(=O)c1ccc(F)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818657 1072921032 /nfs/dbraw/zinc/92/10/32/1072921032.db2.gz MPPCIXABTGIVEL-HNNXBMFYSA-N 0 0 447.510 -0.783 20 0 IBADRN O=C(CNC(=O)c1ccc(F)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818658 1072920931 /nfs/dbraw/zinc/92/09/31/1072920931.db2.gz MPPCIXABTGIVEL-OAHLLOKOSA-N 0 0 447.510 -0.783 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818675 1072921393 /nfs/dbraw/zinc/92/13/93/1072921393.db2.gz NAEANJPWDBYBDE-CYBMUJFWSA-N 0 0 439.556 -0.438 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818676 1072921431 /nfs/dbraw/zinc/92/14/31/1072921431.db2.gz NAEANJPWDBYBDE-ZDUSSCGKSA-N 0 0 439.556 -0.438 20 0 IBADRN O=C(c1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818689 1072921471 /nfs/dbraw/zinc/92/14/71/1072921471.db2.gz NOAAZTQEHWHKSG-AWEZNQCLSA-N 0 0 430.504 -0.268 20 0 IBADRN O=C(c1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818690 1072921378 /nfs/dbraw/zinc/92/13/78/1072921378.db2.gz NOAAZTQEHWHKSG-CQSZACIVSA-N 0 0 430.504 -0.268 20 0 IBADRN O=C(c1cc(F)cc2nc[nH]c21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818711 1072921483 /nfs/dbraw/zinc/92/14/83/1072921483.db2.gz OEZBXCMHQKZLLN-GFCCVEGCSA-N 0 0 430.483 -0.023 20 0 IBADRN O=C(c1cc(F)cc2nc[nH]c21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818712 1072921385 /nfs/dbraw/zinc/92/13/85/1072921385.db2.gz OEZBXCMHQKZLLN-LBPRGKRZSA-N 0 0 430.483 -0.023 20 0 IBADRN CCOC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000919818863 1072921444 /nfs/dbraw/zinc/92/14/44/1072921444.db2.gz STVDVVKJVQPVTD-KBPBESRZSA-N 0 0 439.556 -0.582 20 0 IBADRN CCOC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000919818864 1072921856 /nfs/dbraw/zinc/92/18/56/1072921856.db2.gz STVDVVKJVQPVTD-KGLIPLIRSA-N 0 0 439.556 -0.582 20 0 IBADRN CCOC(=O)N[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000919818865 1072921918 /nfs/dbraw/zinc/92/19/18/1072921918.db2.gz STVDVVKJVQPVTD-UONOGXRCSA-N 0 0 439.556 -0.582 20 0 IBADRN CCOC(=O)N[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(C)C ZINC000919818866 1072921986 /nfs/dbraw/zinc/92/19/86/1072921986.db2.gz STVDVVKJVQPVTD-ZIAGYGMSSA-N 0 0 439.556 -0.582 20 0 IBADRN O=C(CS(=O)(=O)C1CCCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919818871 1072921948 /nfs/dbraw/zinc/92/19/48/1072921948.db2.gz SWGVEZPZWNBYMZ-AWEZNQCLSA-N 0 0 442.581 -0.995 20 0 IBADRN O=C(CS(=O)(=O)C1CCCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919818872 1072921999 /nfs/dbraw/zinc/92/19/99/1072921999.db2.gz SWGVEZPZWNBYMZ-CQSZACIVSA-N 0 0 442.581 -0.995 20 0 IBADRN CC(C)CN1C[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919818877 1072917615 /nfs/dbraw/zinc/91/76/15/1072917615.db2.gz TUZOJFSIRHKEIW-CABCVRRESA-N 0 0 435.568 -0.848 20 0 IBADRN CC(C)CN1C[C@@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919818878 1072917470 /nfs/dbraw/zinc/91/74/70/1072917470.db2.gz TUZOJFSIRHKEIW-GJZGRUSLSA-N 0 0 435.568 -0.848 20 0 IBADRN CC(C)CN1C[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919818879 1072917582 /nfs/dbraw/zinc/91/75/82/1072917582.db2.gz TUZOJFSIRHKEIW-HUUCEWRRSA-N 0 0 435.568 -0.848 20 0 IBADRN CC(C)CN1C[C@@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919818880 1072917576 /nfs/dbraw/zinc/91/75/76/1072917576.db2.gz TUZOJFSIRHKEIW-LSDHHAIUSA-N 0 0 435.568 -0.848 20 0 IBADRN O=C(CNC(=O)C1CCCCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819078 1072922061 /nfs/dbraw/zinc/92/20/61/1072922061.db2.gz OXZSNPSNNSBATM-HNNXBMFYSA-N 0 0 435.568 -0.656 20 0 IBADRN O=C(CNC(=O)C1CCCCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819084 1072921941 /nfs/dbraw/zinc/92/19/41/1072921941.db2.gz OXZSNPSNNSBATM-OAHLLOKOSA-N 0 0 435.568 -0.656 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919819102 1072921881 /nfs/dbraw/zinc/92/18/81/1072921881.db2.gz PFDGWPNAKOVCTI-HNNXBMFYSA-N 0 0 430.504 -0.252 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919819104 1072922482 /nfs/dbraw/zinc/92/24/82/1072922482.db2.gz PFDGWPNAKOVCTI-OAHLLOKOSA-N 0 0 430.504 -0.252 20 0 IBADRN CC(=O)c1cccc(OCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919819106 1072922632 /nfs/dbraw/zinc/92/26/32/1072922632.db2.gz PHZUNTPXHKKXTD-KRWDZBQOSA-N 0 0 444.531 -0.071 20 0 IBADRN CC(=O)c1cccc(OCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919819111 1072922537 /nfs/dbraw/zinc/92/25/37/1072922537.db2.gz PHZUNTPXHKKXTD-QGZVFWFLSA-N 0 0 444.531 -0.071 20 0 IBADRN Cc1cc2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c(C)n2n1 ZINC000919819139 1072922489 /nfs/dbraw/zinc/92/24/89/1072922489.db2.gz PPOHBOUPEMBQTA-AWEZNQCLSA-N 0 0 441.535 -0.379 20 0 IBADRN Cc1cc2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c(C)n2n1 ZINC000919819141 1072922610 /nfs/dbraw/zinc/92/26/10/1072922610.db2.gz PPOHBOUPEMBQTA-CQSZACIVSA-N 0 0 441.535 -0.379 20 0 IBADRN C[C@@H](NC(=O)c1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819156 1072922623 /nfs/dbraw/zinc/92/26/23/1072922623.db2.gz PVXUBMZBUGZQLI-GDBMZVCRSA-N 0 0 443.547 -0.534 20 0 IBADRN C[C@H](NC(=O)c1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819157 1072922514 /nfs/dbraw/zinc/92/25/14/1072922514.db2.gz PVXUBMZBUGZQLI-GOEBONIOSA-N 0 0 443.547 -0.534 20 0 IBADRN C[C@H](NC(=O)c1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819159 1072922566 /nfs/dbraw/zinc/92/25/66/1072922566.db2.gz PVXUBMZBUGZQLI-HOCLYGCPSA-N 0 0 443.547 -0.534 20 0 IBADRN C[C@@H](NC(=O)c1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819161 1072922465 /nfs/dbraw/zinc/92/24/65/1072922465.db2.gz PVXUBMZBUGZQLI-ZBFHGGJFSA-N 0 0 443.547 -0.534 20 0 IBADRN C[C@@H](NC(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819185 1072922455 /nfs/dbraw/zinc/92/24/55/1072922455.db2.gz QATXSPGQYDVXFL-CHWSQXEVSA-N 0 0 449.576 -0.473 20 0 IBADRN C[C@@H](NC(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819197 1072922543 /nfs/dbraw/zinc/92/25/43/1072922543.db2.gz QATXSPGQYDVXFL-OLZOCXBDSA-N 0 0 449.576 -0.473 20 0 IBADRN C[C@H](NC(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819199 1072922600 /nfs/dbraw/zinc/92/26/00/1072922600.db2.gz QATXSPGQYDVXFL-QWHCGFSZSA-N 0 0 449.576 -0.473 20 0 IBADRN C[C@H](NC(=O)c1cccs1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819201 1072922474 /nfs/dbraw/zinc/92/24/74/1072922474.db2.gz QATXSPGQYDVXFL-STQMWFEESA-N 0 0 449.576 -0.473 20 0 IBADRN CC(=O)Nc1ccc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919819243 1072922578 /nfs/dbraw/zinc/92/25/78/1072922578.db2.gz QPJPOWGJMPZFNY-KRWDZBQOSA-N 0 0 443.547 -0.151 20 0 IBADRN CC(=O)Nc1ccc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919819244 1072922637 /nfs/dbraw/zinc/92/26/37/1072922637.db2.gz QPJPOWGJMPZFNY-QGZVFWFLSA-N 0 0 443.547 -0.151 20 0 IBADRN O=C(CCNC(=O)c1ccco1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819564 1072922433 /nfs/dbraw/zinc/92/24/33/1072922433.db2.gz SZYMKIGXSAHRNV-CYBMUJFWSA-N 0 0 433.508 -0.939 20 0 IBADRN O=C(c1ccc2c(c1)NC(=O)CO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819566 1072922447 /nfs/dbraw/zinc/92/24/47/1072922447.db2.gz WLOFFMAZEFMKEX-CYBMUJFWSA-N 0 0 443.503 -0.708 20 0 IBADRN O=C(c1ccc2c(c1)NC(=O)CO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819567 1072922557 /nfs/dbraw/zinc/92/25/57/1072922557.db2.gz WLOFFMAZEFMKEX-ZDUSSCGKSA-N 0 0 443.503 -0.708 20 0 IBADRN O=C(CCNC(=O)c1ccco1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819568 1072922588 /nfs/dbraw/zinc/92/25/88/1072922588.db2.gz SZYMKIGXSAHRNV-ZDUSSCGKSA-N 0 0 433.508 -0.939 20 0 IBADRN NC(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919819572 1072923011 /nfs/dbraw/zinc/92/30/11/1072923011.db2.gz TVBYURJHCPFDJR-AWEZNQCLSA-N 0 0 430.508 -0.548 20 0 IBADRN NC(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000919819573 1072923024 /nfs/dbraw/zinc/92/30/24/1072923024.db2.gz TVBYURJHCPFDJR-CQSZACIVSA-N 0 0 430.508 -0.548 20 0 IBADRN COc1cccc(OCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919819596 1072922991 /nfs/dbraw/zinc/92/29/91/1072922991.db2.gz WZSVUFDGXCPFGY-INIZCTEOSA-N 0 0 432.520 -0.265 20 0 IBADRN COc1cccc(OCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919819597 1072923055 /nfs/dbraw/zinc/92/30/55/1072923055.db2.gz WZSVUFDGXCPFGY-MRXNPFEDSA-N 0 0 432.520 -0.265 20 0 IBADRN O=C(CSCc1cccnc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819602 1072923019 /nfs/dbraw/zinc/92/30/19/1072923019.db2.gz XBQKGLROWLKIDF-HNNXBMFYSA-N 0 0 433.577 -0.024 20 0 IBADRN O=C(CSCc1cccnc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819603 1072922965 /nfs/dbraw/zinc/92/29/65/1072922965.db2.gz XBQKGLROWLKIDF-OAHLLOKOSA-N 0 0 433.577 -0.024 20 0 IBADRN O=C([C@@H]1CC(=O)N(C2CCCC2)C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819623 1072922916 /nfs/dbraw/zinc/92/29/16/1072922916.db2.gz UJJCSFMNIRLWIS-GDBMZVCRSA-N 0 0 447.579 -0.561 20 0 IBADRN O=C([C@H]1CC(=O)N(C2CCCC2)C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819624 1072922937 /nfs/dbraw/zinc/92/29/37/1072922937.db2.gz UJJCSFMNIRLWIS-GOEBONIOSA-N 0 0 447.579 -0.561 20 0 IBADRN O=C([C@H]1CC(=O)N(C2CCCC2)C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819625 1072922909 /nfs/dbraw/zinc/92/29/09/1072922909.db2.gz UJJCSFMNIRLWIS-HOCLYGCPSA-N 0 0 447.579 -0.561 20 0 IBADRN O=C([C@@H]1CC(=O)N(C2CCCC2)C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819626 1072923045 /nfs/dbraw/zinc/92/30/45/1072923045.db2.gz UJJCSFMNIRLWIS-ZBFHGGJFSA-N 0 0 447.579 -0.561 20 0 IBADRN COc1ccccc1OCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819869 1072922951 /nfs/dbraw/zinc/92/29/51/1072922951.db2.gz VTXXGBVDOPORNG-AWEZNQCLSA-N 0 0 432.520 -0.265 20 0 IBADRN COc1ccccc1OCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819870 1072922957 /nfs/dbraw/zinc/92/29/57/1072922957.db2.gz VTXXGBVDOPORNG-CQSZACIVSA-N 0 0 432.520 -0.265 20 0 IBADRN O=C(CCNC(=O)c1ccsc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819875 1072923033 /nfs/dbraw/zinc/92/30/33/1072923033.db2.gz VXCAQCJDBLKPNF-AWEZNQCLSA-N 0 0 449.576 -0.471 20 0 IBADRN O=C(CCNC(=O)c1ccsc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819876 1072922934 /nfs/dbraw/zinc/92/29/34/1072922934.db2.gz VXCAQCJDBLKPNF-CQSZACIVSA-N 0 0 449.576 -0.471 20 0 IBADRN O=C(CNC(=O)c1cccc(F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819897 1072922975 /nfs/dbraw/zinc/92/29/75/1072922975.db2.gz WOBQTNLJNNHAMP-HNNXBMFYSA-N 0 0 447.510 -0.783 20 0 IBADRN O=C(CNC(=O)c1cccc(F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819898 1072923002 /nfs/dbraw/zinc/92/30/02/1072923002.db2.gz WOBQTNLJNNHAMP-OAHLLOKOSA-N 0 0 447.510 -0.783 20 0 IBADRN O=C(CNC(=O)c1cccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919819915 1072922930 /nfs/dbraw/zinc/92/29/30/1072922930.db2.gz XCBYUIZOYWCQNO-GFCCVEGCSA-N 0 0 435.549 -0.861 20 0 IBADRN O=C(CNC(=O)c1cccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919819916 1072922926 /nfs/dbraw/zinc/92/29/26/1072922926.db2.gz XCBYUIZOYWCQNO-LBPRGKRZSA-N 0 0 435.549 -0.861 20 0 IBADRN CC(C)(C)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919820027 1072923064 /nfs/dbraw/zinc/92/30/64/1072923064.db2.gz YDLFAUVLJVHOHI-KBPBESRZSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)(C)N1C[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919820031 1072922921 /nfs/dbraw/zinc/92/29/21/1072922921.db2.gz YDLFAUVLJVHOHI-KGLIPLIRSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)(C)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919820033 1072923516 /nfs/dbraw/zinc/92/35/16/1072923516.db2.gz YDLFAUVLJVHOHI-UONOGXRCSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)(C)N1C[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC000919820036 1072923421 /nfs/dbraw/zinc/92/34/21/1072923421.db2.gz YDLFAUVLJVHOHI-ZIAGYGMSSA-N 0 0 435.568 -0.706 20 0 IBADRN O=C(c1cnn(-c2ccccc2)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919820086 1072923526 /nfs/dbraw/zinc/92/35/26/1072923526.db2.gz YSYWQJLPSDEQNZ-HNNXBMFYSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cnn(-c2ccccc2)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919820087 1072923474 /nfs/dbraw/zinc/92/34/74/1072923474.db2.gz YSYWQJLPSDEQNZ-OAHLLOKOSA-N 0 0 439.519 -0.458 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000919820104 1072923369 /nfs/dbraw/zinc/92/33/69/1072923369.db2.gz ZBMGCIQLCHNWHA-LLVKDONJSA-N 0 0 436.533 -0.191 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC000919820105 1072923431 /nfs/dbraw/zinc/92/34/31/1072923431.db2.gz ZBMGCIQLCHNWHA-NSHDSACASA-N 0 0 436.533 -0.191 20 0 IBADRN O=C([C@H]1Cc2ccccc2C(=O)O1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919820110 1072923401 /nfs/dbraw/zinc/92/34/01/1072923401.db2.gz ZDULAOZHIIVLOH-GDBMZVCRSA-N 0 0 442.515 -0.571 20 0 IBADRN O=C([C@H]1Cc2ccccc2C(=O)O1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919820111 1072923448 /nfs/dbraw/zinc/92/34/48/1072923448.db2.gz ZDULAOZHIIVLOH-GOEBONIOSA-N 0 0 442.515 -0.571 20 0 IBADRN O=C([C@@H]1Cc2ccccc2C(=O)O1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919820112 1072923560 /nfs/dbraw/zinc/92/35/60/1072923560.db2.gz ZDULAOZHIIVLOH-HOCLYGCPSA-N 0 0 442.515 -0.571 20 0 IBADRN O=C([C@@H]1Cc2ccccc2C(=O)O1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919820113 1072923382 /nfs/dbraw/zinc/92/33/82/1072923382.db2.gz ZDULAOZHIIVLOH-ZBFHGGJFSA-N 0 0 442.515 -0.571 20 0 IBADRN Cn1nc(C(C)(C)C)cc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919820156 1072923440 /nfs/dbraw/zinc/92/34/40/1072923440.db2.gz ZXFTYOOUIDVKTR-CYBMUJFWSA-N 0 0 432.568 -0.008 20 0 IBADRN Cn1nc(C(C)(C)C)cc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919820158 1072923390 /nfs/dbraw/zinc/92/33/90/1072923390.db2.gz ZXFTYOOUIDVKTR-ZDUSSCGKSA-N 0 0 432.568 -0.008 20 0 IBADRN O=C(CCNC(=O)c1cccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919820286 1072923461 /nfs/dbraw/zinc/92/34/61/1072923461.db2.gz XZFVQQGXJSJAGL-CYBMUJFWSA-N 0 0 449.576 -0.471 20 0 IBADRN O=C(CCNC(=O)c1cccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919820287 1072923359 /nfs/dbraw/zinc/92/33/59/1072923359.db2.gz XZFVQQGXJSJAGL-ZDUSSCGKSA-N 0 0 449.576 -0.471 20 0 IBADRN Cc1ccccc1C(=O)NCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919820427 1072923548 /nfs/dbraw/zinc/92/35/48/1072923548.db2.gz ZRILTDCYKBDQCL-HNNXBMFYSA-N 0 0 443.547 -0.614 20 0 IBADRN Cc1ccccc1C(=O)NCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919820428 1072923487 /nfs/dbraw/zinc/92/34/87/1072923487.db2.gz ZRILTDCYKBDQCL-OAHLLOKOSA-N 0 0 443.547 -0.614 20 0 IBADRN O=C(Cc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919820433 1072924002 /nfs/dbraw/zinc/92/40/02/1072924002.db2.gz ZVXNKNAIBGIAFN-HNNXBMFYSA-N 0 0 444.531 -0.339 20 0 IBADRN O=C(Cc1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919820434 1072924056 /nfs/dbraw/zinc/92/40/56/1072924056.db2.gz ZVXNKNAIBGIAFN-OAHLLOKOSA-N 0 0 444.531 -0.339 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(Cc2cscn2)CC1 ZINC000919824907 1072921960 /nfs/dbraw/zinc/92/19/60/1072921960.db2.gz XJHJVLOIKFEWSE-AWEZNQCLSA-N 0 0 425.536 -0.047 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(Cc2cscn2)CC1 ZINC000919824909 1072921888 /nfs/dbraw/zinc/92/18/88/1072921888.db2.gz XJHJVLOIKFEWSE-CQSZACIVSA-N 0 0 425.536 -0.047 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC000919845577 1072925055 /nfs/dbraw/zinc/92/50/55/1072925055.db2.gz GUMLHAXWMNNTSF-UHFFFAOYSA-N 0 0 428.536 -0.002 20 0 IBADRN Cn1c(C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000919849078 1072924635 /nfs/dbraw/zinc/92/46/35/1072924635.db2.gz GGVUMHFWMPIGJE-UHFFFAOYSA-N 0 0 448.524 -0.142 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CCCN1C(=O)CNC1=O ZINC000919882701 1072925777 /nfs/dbraw/zinc/92/57/77/1072925777.db2.gz XBOHNQCDAKFKCQ-UHFFFAOYSA-N 0 0 425.486 -0.144 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)C1CCN(S(N)(=O)=O)CC1 ZINC000919887128 1072926326 /nfs/dbraw/zinc/92/63/26/1072926326.db2.gz CUMWWAAUJQZLSR-UHFFFAOYSA-N 0 0 447.558 -0.560 20 0 IBADRN CCN1CCN(CC(=O)N(C)CC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C(=O)C1=O ZINC000919887370 1072926284 /nfs/dbraw/zinc/92/62/84/1072926284.db2.gz IJYMHDGFOJLHKN-UHFFFAOYSA-N 0 0 439.513 -0.785 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CNC(=O)c2ccc(F)cc2F)CC1 ZINC000919902971 1072926300 /nfs/dbraw/zinc/92/63/00/1072926300.db2.gz ICUIPMUMCDEHDC-UHFFFAOYSA-N 0 0 426.420 -0.530 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000919930703 1072927306 /nfs/dbraw/zinc/92/73/06/1072927306.db2.gz CTITUSWUZPKKKB-UHFFFAOYSA-N 0 0 443.526 -0.176 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N(CCCOC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919938297 1072927332 /nfs/dbraw/zinc/92/73/32/1072927332.db2.gz IKQAVAOOIZSAEA-AWEZNQCLSA-N 0 0 427.545 -0.469 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N(CCCOC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919938298 1072927374 /nfs/dbraw/zinc/92/73/74/1072927374.db2.gz IKQAVAOOIZSAEA-CQSZACIVSA-N 0 0 427.545 -0.469 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC000919938370 1072927407 /nfs/dbraw/zinc/92/74/07/1072927407.db2.gz LWWFGVUKYLRSGN-UHFFFAOYSA-N 0 0 429.499 -0.940 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2ccc(CS(=O)(=O)NC)cc2)CC1 ZINC000919938380 1072927398 /nfs/dbraw/zinc/92/73/98/1072927398.db2.gz BUIOOELMJNSDTM-UHFFFAOYSA-N 0 0 434.540 -0.156 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1F ZINC000919938394 1072927364 /nfs/dbraw/zinc/92/73/64/1072927364.db2.gz MSUCREUIHYXSRR-UHFFFAOYSA-N 0 0 449.526 -0.606 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC000919938709 1072927380 /nfs/dbraw/zinc/92/73/80/1072927380.db2.gz YZFPIHIEPUCOBN-UHFFFAOYSA-N 0 0 431.515 -0.150 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)CC1 ZINC000919938877 1072927210 /nfs/dbraw/zinc/92/72/10/1072927210.db2.gz ZGLPLUYBWTUVQE-UHFFFAOYSA-N 0 0 444.514 -0.442 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(F)c(S(C)(=O)=O)c2)CC1 ZINC000919939080 1072927288 /nfs/dbraw/zinc/92/72/88/1072927288.db2.gz LQGZQYLQWIHBRX-UHFFFAOYSA-N 0 0 435.499 -0.413 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC000919939484 1072927233 /nfs/dbraw/zinc/92/72/33/1072927233.db2.gz VXWFSZZIGSFHTE-UHFFFAOYSA-N 0 0 438.514 -0.496 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC000919939510 1072927389 /nfs/dbraw/zinc/92/73/89/1072927389.db2.gz YNBGOFFZWBIJBU-UHFFFAOYSA-N 0 0 434.540 -0.118 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2ccc(S(=O)(=O)NC)s2)CC1 ZINC000919939814 1072927194 /nfs/dbraw/zinc/92/71/94/1072927194.db2.gz LBXSRHCPRNTVRH-UHFFFAOYSA-N 0 0 426.542 -0.235 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC000919939823 1072927314 /nfs/dbraw/zinc/92/73/14/1072927314.db2.gz LNJUDTOVVZTWND-UHFFFAOYSA-N 0 0 441.558 -0.403 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(F)c(S(C)(=O)=O)c2)CC1 ZINC000919940586 1072927940 /nfs/dbraw/zinc/92/79/40/1072927940.db2.gz UTWFMSRZBDYXBK-UHFFFAOYSA-N 0 0 429.517 -0.658 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCCN(CC(=O)N3CCCC3)CC2)CC1 ZINC000919940674 1072927868 /nfs/dbraw/zinc/92/78/68/1072927868.db2.gz XTWMPCAQDXEYKU-UHFFFAOYSA-N 0 0 431.559 -0.365 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1F ZINC000919940908 1072928039 /nfs/dbraw/zinc/92/80/39/1072928039.db2.gz OYFFFYKQENNPER-UHFFFAOYSA-N 0 0 449.526 -0.606 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(Cn3nc(-c4ccccc4)ccc3=O)CC2)CC1 ZINC000919941021 1072927853 /nfs/dbraw/zinc/92/78/53/1072927853.db2.gz RWPXRZUMAFHAOZ-UHFFFAOYSA-N 0 0 432.550 -0.022 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)CC1 ZINC000919941148 1072927948 /nfs/dbraw/zinc/92/79/48/1072927948.db2.gz DALLSZPUQGXTFT-UHFFFAOYSA-N 0 0 431.559 -0.509 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCC2(NS(C)(=O)=O)CCCCC2)CC1 ZINC000919941216 1072927977 /nfs/dbraw/zinc/92/79/77/1072927977.db2.gz AXKXLFYFNRBGIL-UHFFFAOYSA-N 0 0 426.561 -0.153 20 0 IBADRN CCCS(=O)(=O)NC1CCN(S(=O)(=O)N2CCN(C(=O)OCC)CC2)CC1 ZINC000919941288 1072928025 /nfs/dbraw/zinc/92/80/25/1072928025.db2.gz FYBHSCSVFBVIDN-UHFFFAOYSA-N 0 0 426.561 -0.201 20 0 IBADRN COC(=O)c1ccc2c(cnn2CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC000919941300 1072927963 /nfs/dbraw/zinc/92/79/63/1072927963.db2.gz UNCKNPDGVVBEQC-UHFFFAOYSA-N 0 0 436.538 -0.110 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC000919941841 1072928028 /nfs/dbraw/zinc/92/80/28/1072928028.db2.gz WFBIAMRCJNTTEV-UHFFFAOYSA-N 0 0 434.540 -0.286 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)N2CCN(C(=O)OCC)CC2)CC1 ZINC000919942297 1072928022 /nfs/dbraw/zinc/92/80/22/1072928022.db2.gz QEBJHYHNDPRJBP-UHFFFAOYSA-N 0 0 426.561 -0.201 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC000919942580 1072928017 /nfs/dbraw/zinc/92/80/17/1072928017.db2.gz AOPSAAWGYHNKIU-UHFFFAOYSA-N 0 0 434.540 -0.286 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC000919942930 1072928003 /nfs/dbraw/zinc/92/80/03/1072928003.db2.gz GHGCZFQCXUUTHP-UHFFFAOYSA-N 0 0 426.499 -0.679 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1F ZINC000919943317 1072928035 /nfs/dbraw/zinc/92/80/35/1072928035.db2.gz OEZKBOJYVXKVTC-UHFFFAOYSA-N 0 0 447.510 -0.316 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(Cn2ncc3cn(-c4ccccc4)nc3c2=O)CC1 ZINC000919943623 1072927837 /nfs/dbraw/zinc/92/78/37/1072927837.db2.gz NETHSSIFJUQTMB-UHFFFAOYSA-N 0 0 449.471 -0.167 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)ccc1F ZINC000919943689 1072928037 /nfs/dbraw/zinc/92/80/37/1072928037.db2.gz QMLUJRSHXNWSPY-UHFFFAOYSA-N 0 0 433.483 -0.659 20 0 IBADRN O=C(CN1CCc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919968405 1072929596 /nfs/dbraw/zinc/92/95/96/1072929596.db2.gz LSXYPPBOWBAZIU-INIZCTEOSA-N 0 0 427.548 -0.290 20 0 IBADRN O=C(CN1CCc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919968406 1072929756 /nfs/dbraw/zinc/92/97/56/1072929756.db2.gz LSXYPPBOWBAZIU-MRXNPFEDSA-N 0 0 427.548 -0.290 20 0 IBADRN O=C(CCNC(=O)OCC(F)(F)F)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000919976893 1072929085 /nfs/dbraw/zinc/92/90/85/1072929085.db2.gz GKZRIQJGXWEJKV-UHFFFAOYSA-N 0 0 432.421 -0.614 20 0 IBADRN O=C(c1cccnc1-n1cccn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919985713 1072930795 /nfs/dbraw/zinc/93/07/95/1072930795.db2.gz BXMQSDSSFALUFJ-AWEZNQCLSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cccnc1-n1cccn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919985716 1072930689 /nfs/dbraw/zinc/93/06/89/1072930689.db2.gz BXMQSDSSFALUFJ-CQSZACIVSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(Cn1cnc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919985792 1072930801 /nfs/dbraw/zinc/93/08/01/1072930801.db2.gz DFMOTYCDLDPNFZ-AWEZNQCLSA-N 0 0 426.520 -0.303 20 0 IBADRN O=C(Cn1cnc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919985793 1072930806 /nfs/dbraw/zinc/93/08/06/1072930806.db2.gz DFMOTYCDLDPNFZ-CQSZACIVSA-N 0 0 426.520 -0.303 20 0 IBADRN CC(=O)NC1(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCCCC1 ZINC000919985812 1072930655 /nfs/dbraw/zinc/93/06/55/1072930655.db2.gz DIXYQWYJBHEHNG-HNNXBMFYSA-N 0 0 435.568 -0.514 20 0 IBADRN CC(=O)NC1(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCCCC1 ZINC000919985817 1072930798 /nfs/dbraw/zinc/93/07/98/1072930798.db2.gz DIXYQWYJBHEHNG-OAHLLOKOSA-N 0 0 435.568 -0.514 20 0 IBADRN O=C(c1cc(-c2ccoc2)n[nH]1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986302 1072930779 /nfs/dbraw/zinc/93/07/79/1072930779.db2.gz FZMXJJPSANWUKU-CYBMUJFWSA-N 0 0 428.492 -0.056 20 0 IBADRN O=C(c1cc(-c2ccoc2)n[nH]1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986304 1072930644 /nfs/dbraw/zinc/93/06/44/1072930644.db2.gz FZMXJJPSANWUKU-ZDUSSCGKSA-N 0 0 428.492 -0.056 20 0 IBADRN O=C(c1ccc(NC2CC2)nc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986331 1072930630 /nfs/dbraw/zinc/93/06/30/1072930630.db2.gz GRBUKGVNOGWERH-HNNXBMFYSA-N 0 0 428.536 -0.069 20 0 IBADRN O=C(c1ccc(NC2CC2)nc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986335 1072930738 /nfs/dbraw/zinc/93/07/38/1072930738.db2.gz GRBUKGVNOGWERH-OAHLLOKOSA-N 0 0 428.536 -0.069 20 0 IBADRN Cc1[nH]nc2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000919986437 1072931202 /nfs/dbraw/zinc/93/12/02/1072931202.db2.gz HTTWCKHGYBBZSE-CYBMUJFWSA-N 0 0 427.508 -0.459 20 0 IBADRN Cc1[nH]nc2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000919986439 1072931118 /nfs/dbraw/zinc/93/11/18/1072931118.db2.gz HTTWCKHGYBBZSE-ZDUSSCGKSA-N 0 0 427.508 -0.459 20 0 IBADRN O=C(Cn1ccc(C(F)(F)F)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986585 1072931238 /nfs/dbraw/zinc/93/12/38/1072931238.db2.gz VYGDEKAYGKNOPL-LLVKDONJSA-N 0 0 444.457 -0.437 20 0 IBADRN O=C(Cn1ccc(C(F)(F)F)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986586 1072931162 /nfs/dbraw/zinc/93/11/62/1072931162.db2.gz VYGDEKAYGKNOPL-NSHDSACASA-N 0 0 444.457 -0.437 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000919986589 1072931086 /nfs/dbraw/zinc/93/10/86/1072931086.db2.gz IXTZIPNDOUMBOP-CVEARBPZSA-N 0 0 435.568 -0.658 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000919986591 1072931154 /nfs/dbraw/zinc/93/11/54/1072931154.db2.gz IXTZIPNDOUMBOP-HOTGVXAUSA-N 0 0 435.568 -0.658 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000919986592 1072931137 /nfs/dbraw/zinc/93/11/37/1072931137.db2.gz IXTZIPNDOUMBOP-HZPDHXFCSA-N 0 0 435.568 -0.658 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1CCCC1 ZINC000919986593 1072931147 /nfs/dbraw/zinc/93/11/47/1072931147.db2.gz IXTZIPNDOUMBOP-JKSUJKDBSA-N 0 0 435.568 -0.658 20 0 IBADRN CCCC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986630 1072931220 /nfs/dbraw/zinc/93/12/20/1072931220.db2.gz JKIVCXSDZQXNOZ-CABCVRRESA-N 0 0 435.568 -0.561 20 0 IBADRN CCCC(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986631 1072931228 /nfs/dbraw/zinc/93/12/28/1072931228.db2.gz JKIVCXSDZQXNOZ-GJZGRUSLSA-N 0 0 435.568 -0.561 20 0 IBADRN CCCC(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986632 1072931251 /nfs/dbraw/zinc/93/12/51/1072931251.db2.gz JKIVCXSDZQXNOZ-HUUCEWRRSA-N 0 0 435.568 -0.561 20 0 IBADRN CCCC(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986633 1072931208 /nfs/dbraw/zinc/93/12/08/1072931208.db2.gz JKIVCXSDZQXNOZ-LSDHHAIUSA-N 0 0 435.568 -0.561 20 0 IBADRN C[C@@H](NC(=O)C1CCCCC1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986671 1072931094 /nfs/dbraw/zinc/93/10/94/1072931094.db2.gz KQNUTGDMMWVING-GDBMZVCRSA-N 0 0 449.595 -0.268 20 0 IBADRN C[C@H](NC(=O)C1CCCCC1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986672 1072931173 /nfs/dbraw/zinc/93/11/73/1072931173.db2.gz KQNUTGDMMWVING-GOEBONIOSA-N 0 0 449.595 -0.268 20 0 IBADRN C[C@H](NC(=O)C1CCCCC1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986673 1072931100 /nfs/dbraw/zinc/93/11/00/1072931100.db2.gz KQNUTGDMMWVING-HOCLYGCPSA-N 0 0 449.595 -0.268 20 0 IBADRN C[C@@H](NC(=O)C1CCCCC1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986674 1072931180 /nfs/dbraw/zinc/93/11/80/1072931180.db2.gz KQNUTGDMMWVING-ZBFHGGJFSA-N 0 0 449.595 -0.268 20 0 IBADRN O=C(Nc1ccc(Cl)cc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986679 1072931110 /nfs/dbraw/zinc/93/11/10/1072931110.db2.gz KZHDTRFSDPYJMQ-AWEZNQCLSA-N 0 0 449.938 -0.060 20 0 IBADRN O=C(Nc1ccc(Cl)cc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986680 1072931127 /nfs/dbraw/zinc/93/11/27/1072931127.db2.gz KZHDTRFSDPYJMQ-CQSZACIVSA-N 0 0 449.938 -0.060 20 0 IBADRN O=C(c1cccnc1N1CCCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986692 1072931105 /nfs/dbraw/zinc/93/11/05/1072931105.db2.gz LKYMJOIKKVMDBA-HNNXBMFYSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C(c1cccnc1N1CCCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986693 1072931675 /nfs/dbraw/zinc/93/16/75/1072931675.db2.gz LKYMJOIKKVMDBA-OAHLLOKOSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C([C@H]1CC(c2ccccc2)=NO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986926 1072931635 /nfs/dbraw/zinc/93/16/35/1072931635.db2.gz NZODSQJWTUVVMX-DOTOQJQBSA-N 0 0 441.531 -0.159 20 0 IBADRN O=C([C@H]1CC(c2ccccc2)=NO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986927 1072931576 /nfs/dbraw/zinc/93/15/76/1072931576.db2.gz NZODSQJWTUVVMX-NVXWUHKLSA-N 0 0 441.531 -0.159 20 0 IBADRN O=C([C@@H]1CC(c2ccccc2)=NO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919986928 1072931648 /nfs/dbraw/zinc/93/16/48/1072931648.db2.gz NZODSQJWTUVVMX-RDJZCZTQSA-N 0 0 441.531 -0.159 20 0 IBADRN O=C([C@@H]1CC(c2ccccc2)=NO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919986929 1072931654 /nfs/dbraw/zinc/93/16/54/1072931654.db2.gz NZODSQJWTUVVMX-WBVHZDCISA-N 0 0 441.531 -0.159 20 0 IBADRN CC(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919987052 1072931681 /nfs/dbraw/zinc/93/16/81/1072931681.db2.gz OTOAUIVGWZUCKD-CABCVRRESA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)C(=O)N1CCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919987053 1072931588 /nfs/dbraw/zinc/93/15/88/1072931588.db2.gz OTOAUIVGWZUCKD-GJZGRUSLSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919987054 1072931612 /nfs/dbraw/zinc/93/16/12/1072931612.db2.gz OTOAUIVGWZUCKD-HUUCEWRRSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(C)C(=O)N1CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919987055 1072931567 /nfs/dbraw/zinc/93/15/67/1072931567.db2.gz OTOAUIVGWZUCKD-LSDHHAIUSA-N 0 0 435.568 -0.706 20 0 IBADRN O=C(CNC(=O)Nc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919987056 1072931668 /nfs/dbraw/zinc/93/16/68/1072931668.db2.gz OUKNLQSGOCQXBL-HNNXBMFYSA-N 0 0 444.535 -0.531 20 0 IBADRN O=C(CNC(=O)Nc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919987057 1072931594 /nfs/dbraw/zinc/93/15/94/1072931594.db2.gz OUKNLQSGOCQXBL-OAHLLOKOSA-N 0 0 444.535 -0.531 20 0 IBADRN CC(C)(C)C(=O)NCCCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000919987265 1072931620 /nfs/dbraw/zinc/93/16/20/1072931620.db2.gz RXDUDABJRNDHJL-AWEZNQCLSA-N 0 0 437.584 -0.410 20 0 IBADRN CC(C)(C)C(=O)NCCCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000919987266 1072931627 /nfs/dbraw/zinc/93/16/27/1072931627.db2.gz RXDUDABJRNDHJL-CQSZACIVSA-N 0 0 437.584 -0.410 20 0 IBADRN CCC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919987343 1072931639 /nfs/dbraw/zinc/93/16/39/1072931639.db2.gz WCZCJLABJTYDIB-CABCVRRESA-N 0 0 435.568 -0.704 20 0 IBADRN CCC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919987344 1072931553 /nfs/dbraw/zinc/93/15/53/1072931553.db2.gz WCZCJLABJTYDIB-GJZGRUSLSA-N 0 0 435.568 -0.704 20 0 IBADRN CCC(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919987345 1072931644 /nfs/dbraw/zinc/93/16/44/1072931644.db2.gz WCZCJLABJTYDIB-HUUCEWRRSA-N 0 0 435.568 -0.704 20 0 IBADRN CCC(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC000919987346 1072931663 /nfs/dbraw/zinc/93/16/63/1072931663.db2.gz WCZCJLABJTYDIB-LSDHHAIUSA-N 0 0 435.568 -0.704 20 0 IBADRN NC(=O)Nc1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919987508 1072931686 /nfs/dbraw/zinc/93/16/86/1072931686.db2.gz XOZXDRDQWRMHFS-AWEZNQCLSA-N 0 0 430.508 -0.548 20 0 IBADRN NC(=O)Nc1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000919987509 1072931600 /nfs/dbraw/zinc/93/16/00/1072931600.db2.gz XOZXDRDQWRMHFS-CQSZACIVSA-N 0 0 430.508 -0.548 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1-n1cccc1 ZINC000919987658 1072931673 /nfs/dbraw/zinc/93/16/73/1072931673.db2.gz ZWERXRFYIZKFCS-AWEZNQCLSA-N 0 0 441.535 -0.515 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1-n1cccc1 ZINC000919987659 1072932040 /nfs/dbraw/zinc/93/20/40/1072932040.db2.gz ZWERXRFYIZKFCS-CQSZACIVSA-N 0 0 441.535 -0.515 20 0 IBADRN Cc1ccc(C)n1-c1c(C(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnn1C ZINC000919992239 1072930188 /nfs/dbraw/zinc/93/01/88/1072930188.db2.gz CYSKGOSKFXZOFG-UHFFFAOYSA-N 0 0 428.493 -0.029 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)c2)CC1 ZINC000919994433 1072930165 /nfs/dbraw/zinc/93/01/65/1072930165.db2.gz ZGEPPVSJMREPAJ-BQYQJAHWSA-N 0 0 447.517 -0.328 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)c2)CC1 ZINC000919994434 1072930252 /nfs/dbraw/zinc/93/02/52/1072930252.db2.gz ZGEPPVSJMREPAJ-FPLPWBNLSA-N 0 0 447.517 -0.328 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000920000562 1072932047 /nfs/dbraw/zinc/93/20/47/1072932047.db2.gz HPNUSJPAZVLHRE-KFWWJZLASA-N 0 0 442.538 -0.458 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000920000563 1072932029 /nfs/dbraw/zinc/93/20/29/1072932029.db2.gz HPNUSJPAZVLHRE-RBSFLKMASA-N 0 0 442.538 -0.458 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000920000564 1072932194 /nfs/dbraw/zinc/93/21/94/1072932194.db2.gz HPNUSJPAZVLHRE-RRFJBIMHSA-N 0 0 442.538 -0.458 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC000920000565 1072932110 /nfs/dbraw/zinc/93/21/10/1072932110.db2.gz HPNUSJPAZVLHRE-ZNMIVQPWSA-N 0 0 442.538 -0.458 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)NC[C@@H](O)CN2CCOCC2)cc1 ZINC000920024795 1072933317 /nfs/dbraw/zinc/93/33/17/1072933317.db2.gz NSQCAAUWKJWBNU-DLLBQFDASA-N 0 0 430.505 -0.401 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)NC[C@H](O)CN2CCOCC2)cc1 ZINC000920024796 1072933300 /nfs/dbraw/zinc/93/33/00/1072933300.db2.gz NSQCAAUWKJWBNU-GYOZQUQXSA-N 0 0 430.505 -0.401 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)NC[C@@H](O)CN2CCOCC2)cc1 ZINC000920024797 1072933164 /nfs/dbraw/zinc/93/31/64/1072933164.db2.gz NSQCAAUWKJWBNU-HRNLXLLQSA-N 0 0 430.505 -0.401 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)NC[C@H](O)CN2CCOCC2)cc1 ZINC000920024798 1072933400 /nfs/dbraw/zinc/93/34/00/1072933400.db2.gz NSQCAAUWKJWBNU-PUWBTRCYSA-N 0 0 430.505 -0.401 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000920032033 1072933659 /nfs/dbraw/zinc/93/36/59/1072933659.db2.gz MCHPLTIPUYANJS-NADMHLTPSA-N 0 0 434.518 -0.107 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000920032034 1072933790 /nfs/dbraw/zinc/93/37/90/1072933790.db2.gz MCHPLTIPUYANJS-RCMYXZNBSA-N 0 0 434.518 -0.107 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000920032035 1072933843 /nfs/dbraw/zinc/93/38/43/1072933843.db2.gz MCHPLTIPUYANJS-SKHCZCESSA-N 0 0 434.518 -0.107 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000920032036 1072933692 /nfs/dbraw/zinc/93/36/92/1072933692.db2.gz MCHPLTIPUYANJS-UXHZXRBQSA-N 0 0 434.518 -0.107 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000920033292 1072933856 /nfs/dbraw/zinc/93/38/56/1072933856.db2.gz NOBLZLIGMXCGGB-GNJLHFKMSA-N 0 0 427.505 -0.144 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000920033294 1072933757 /nfs/dbraw/zinc/93/37/57/1072933757.db2.gz NOBLZLIGMXCGGB-KRZKXXONSA-N 0 0 427.505 -0.144 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000920033295 1072933670 /nfs/dbraw/zinc/93/36/70/1072933670.db2.gz NOBLZLIGMXCGGB-MOTZXUDYSA-N 0 0 427.505 -0.144 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000920033296 1072934181 /nfs/dbraw/zinc/93/41/81/1072934181.db2.gz NOBLZLIGMXCGGB-MTIYJEKLSA-N 0 0 427.505 -0.144 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000920034897 1072934327 /nfs/dbraw/zinc/93/43/27/1072934327.db2.gz JFBLWOPDAFDREK-GNJLHFKMSA-N 0 0 448.545 -0.364 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000920034899 1072934359 /nfs/dbraw/zinc/93/43/59/1072934359.db2.gz JFBLWOPDAFDREK-KRZKXXONSA-N 0 0 448.545 -0.364 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@H]2C(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000920034902 1072934421 /nfs/dbraw/zinc/93/44/21/1072934421.db2.gz JFBLWOPDAFDREK-MOTZXUDYSA-N 0 0 448.545 -0.364 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@H]2C(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC000920034904 1072934427 /nfs/dbraw/zinc/93/44/27/1072934427.db2.gz JFBLWOPDAFDREK-MTIYJEKLSA-N 0 0 448.545 -0.364 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000920035137 1072934348 /nfs/dbraw/zinc/93/43/48/1072934348.db2.gz JZTHXMSIIWTGLM-AHKGRUIUSA-N 0 0 427.505 -0.320 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000920035138 1072934367 /nfs/dbraw/zinc/93/43/67/1072934367.db2.gz JZTHXMSIIWTGLM-KKMIYCERSA-N 0 0 427.505 -0.320 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000920035139 1072934374 /nfs/dbraw/zinc/93/43/74/1072934374.db2.gz JZTHXMSIIWTGLM-OTIVFRFGSA-N 0 0 427.505 -0.320 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000920035140 1072934384 /nfs/dbraw/zinc/93/43/84/1072934384.db2.gz JZTHXMSIIWTGLM-YOCVDRLZSA-N 0 0 427.505 -0.320 20 0 IBADRN COC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC000920051331 1072935365 /nfs/dbraw/zinc/93/53/65/1072935365.db2.gz LGNXEBZMYVMDIU-UHFFFAOYSA-N 0 0 443.482 -0.375 20 0 IBADRN COC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000920051532 1072935495 /nfs/dbraw/zinc/93/54/95/1072935495.db2.gz QSXHXWBYLKGWRO-GASCZTMLSA-N 0 0 447.558 -0.102 20 0 IBADRN COC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC000920051536 1072935489 /nfs/dbraw/zinc/93/54/89/1072935489.db2.gz QSXHXWBYLKGWRO-GJZGRUSLSA-N 0 0 447.558 -0.102 20 0 IBADRN COC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC000920051538 1072935342 /nfs/dbraw/zinc/93/53/42/1072935342.db2.gz QSXHXWBYLKGWRO-HUUCEWRRSA-N 0 0 447.558 -0.102 20 0 IBADRN COC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1 ZINC000920052036 1072935901 /nfs/dbraw/zinc/93/59/01/1072935901.db2.gz ZVEBAYQCRXWBHZ-UHFFFAOYSA-N 0 0 432.547 -0.963 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cc2nn(C)c(=O)c3ccccc23)CC1 ZINC000920054867 1072935772 /nfs/dbraw/zinc/93/57/72/1072935772.db2.gz DSEOGEKKOPALEM-UHFFFAOYSA-N 0 0 429.477 -0.748 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)Oc2ccccc21 ZINC000920058180 1072934843 /nfs/dbraw/zinc/93/48/43/1072934843.db2.gz BFRNEIZGTKDQTD-HNNXBMFYSA-N 0 0 427.417 -0.209 20 0 IBADRN CC(=O)N1C[C@H](C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)Oc2ccccc21 ZINC000920058181 1072934881 /nfs/dbraw/zinc/93/48/81/1072934881.db2.gz BFRNEIZGTKDQTD-OAHLLOKOSA-N 0 0 427.417 -0.209 20 0 IBADRN CC(=O)N1C[C@H](C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)Oc2ccccc21 ZINC000920058888 1072934896 /nfs/dbraw/zinc/93/48/96/1072934896.db2.gz ZMMRVFCCRCJUBT-GOSISDBHSA-N 0 0 432.477 -0.424 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)Oc2ccccc21 ZINC000920058889 1072934781 /nfs/dbraw/zinc/93/47/81/1072934781.db2.gz ZMMRVFCCRCJUBT-SFHVURJKSA-N 0 0 432.477 -0.424 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)C2=O ZINC000920062810 1072935322 /nfs/dbraw/zinc/93/53/22/1072935322.db2.gz ZWZDSYFKPJOZCV-HNNXBMFYSA-N 0 0 444.488 -0.245 20 0 IBADRN Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)C2=O ZINC000920062811 1072935403 /nfs/dbraw/zinc/93/54/03/1072935403.db2.gz ZWZDSYFKPJOZCV-OAHLLOKOSA-N 0 0 444.488 -0.245 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2c[nH]nc2C(C)(C)C)c(=O)n1CC(=O)N1CCOCC1 ZINC000920065480 1072935430 /nfs/dbraw/zinc/93/54/30/1072935430.db2.gz YNNYIAKXHSEDLA-UHFFFAOYSA-N 0 0 448.545 -0.038 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)c1 ZINC000920071790 1072935915 /nfs/dbraw/zinc/93/59/15/1072935915.db2.gz BPOCFQQBGMWZRE-UHFFFAOYSA-N 0 0 448.501 -0.455 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)c1 ZINC000920071828 1072935725 /nfs/dbraw/zinc/93/57/25/1072935725.db2.gz IJXWGLJEKNNEFQ-UHFFFAOYSA-N 0 0 437.478 -0.654 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(OC)cc3)CC2)c1 ZINC000920071881 1072935703 /nfs/dbraw/zinc/93/57/03/1072935703.db2.gz ALUXJHXVVMSCEB-UHFFFAOYSA-N 0 0 448.501 -0.250 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)c1 ZINC000920071900 1072935969 /nfs/dbraw/zinc/93/59/69/1072935969.db2.gz DBLHEKIHUVRICX-UHFFFAOYSA-N 0 0 436.465 -0.120 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)c1 ZINC000920071918 1072935935 /nfs/dbraw/zinc/93/59/35/1072935935.db2.gz GMHHHDHARDVWBX-UHFFFAOYSA-N 0 0 436.465 -0.120 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c1 ZINC000920072087 1072935793 /nfs/dbraw/zinc/93/57/93/1072935793.db2.gz NTOPVGHIISPJKV-UHFFFAOYSA-N 0 0 436.465 -0.120 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1 ZINC000920072120 1072935965 /nfs/dbraw/zinc/93/59/65/1072935965.db2.gz OUUNUBCDIKQNAY-UHFFFAOYSA-N 0 0 448.501 -0.455 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)c1 ZINC000920072222 1072935844 /nfs/dbraw/zinc/93/58/44/1072935844.db2.gz XJDMVOHBGGLWQK-GOSISDBHSA-N 0 0 440.456 -0.281 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)c1 ZINC000920072223 1072935880 /nfs/dbraw/zinc/93/58/80/1072935880.db2.gz XJDMVOHBGGLWQK-SFHVURJKSA-N 0 0 440.456 -0.281 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c1 ZINC000920072237 1072935954 /nfs/dbraw/zinc/93/59/54/1072935954.db2.gz YPSJDPRRZALQPW-UHFFFAOYSA-N 0 0 432.502 -0.118 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)c1 ZINC000920072385 1072936409 /nfs/dbraw/zinc/93/64/09/1072936409.db2.gz HXIFVVQNNZDNBE-UHFFFAOYSA-N 0 0 434.474 -0.133 20 0 IBADRN CNC(=O)c1ccc(=O)n(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c1 ZINC000920072426 1072936436 /nfs/dbraw/zinc/93/64/36/1072936436.db2.gz MPMKETFFVUXPJW-UHFFFAOYSA-N 0 0 434.474 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCCN(CC(N)=O)CC1 ZINC000920093103 1072937003 /nfs/dbraw/zinc/93/70/03/1072937003.db2.gz MMZDVCSQHZATGC-UHFFFAOYSA-N 0 0 440.522 -0.651 20 0 IBADRN CCc1ccc(C(=O)N2CCCN(CC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000920093201 1072937043 /nfs/dbraw/zinc/93/70/43/1072937043.db2.gz RJZSXNUWGSTECX-UHFFFAOYSA-N 0 0 438.550 -0.097 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CS(=O)(=O)CCN2C(=O)NC(C)(C)C2=O)cc1 ZINC000920094738 1072937015 /nfs/dbraw/zinc/93/70/15/1072937015.db2.gz GTNZYHADDAPMHR-CYBMUJFWSA-N 0 0 428.463 -0.042 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CS(=O)(=O)CCN2C(=O)NC(C)(C)C2=O)cc1 ZINC000920094739 1072937024 /nfs/dbraw/zinc/93/70/24/1072937024.db2.gz GTNZYHADDAPMHR-ZDUSSCGKSA-N 0 0 428.463 -0.042 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCCN(CC(N)=O)CC2)cc1 ZINC000920096796 1072936813 /nfs/dbraw/zinc/93/68/13/1072936813.db2.gz AFBUJCPCOCZLKE-UHFFFAOYSA-N 0 0 425.511 -0.715 20 0 IBADRN NC(=O)CN1CCCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC000920096885 1072936979 /nfs/dbraw/zinc/93/69/79/1072936979.db2.gz GGSKCZKKSJYSFX-UHFFFAOYSA-N 0 0 438.550 -0.340 20 0 IBADRN COc1ccc(C(=O)N2CCCN(CC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC000920097292 1072937033 /nfs/dbraw/zinc/93/70/33/1072937033.db2.gz OWJRPUJBCJJRTR-UHFFFAOYSA-N 0 0 440.522 -0.651 20 0 IBADRN CNC(=O)COc1ccc(C(=O)OCCn2cnc3c2c(=O)n(C)c(=O)n3C)cc1OC ZINC000920107868 1072937320 /nfs/dbraw/zinc/93/73/20/1072937320.db2.gz ZIRPUYCMJYOIKK-UHFFFAOYSA-N 0 0 445.432 -0.576 20 0 IBADRN COC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)cc1 ZINC000920187385 1072939637 /nfs/dbraw/zinc/93/96/37/1072939637.db2.gz GKASTPDWTHWGME-UHFFFAOYSA-N 0 0 431.449 -0.223 20 0 IBADRN COC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC000920190922 1072940098 /nfs/dbraw/zinc/94/00/98/1072940098.db2.gz BPTOIIZXSNVAQR-UHFFFAOYSA-N 0 0 427.483 -0.222 20 0 IBADRN COC(=O)N(C)c1ccc(NC(=O)C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC000920191439 1072940240 /nfs/dbraw/zinc/94/02/40/1072940240.db2.gz ISUSYXGKNHIFNC-UHFFFAOYSA-N 0 0 447.492 -0.169 20 0 IBADRN COc1cccc(OC)c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920213982 1072940217 /nfs/dbraw/zinc/94/02/17/1072940217.db2.gz WLWASYHQIKQIDN-CYBMUJFWSA-N 0 0 432.520 -0.022 20 0 IBADRN COc1cccc(OC)c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920213983 1072940192 /nfs/dbraw/zinc/94/01/92/1072940192.db2.gz WLWASYHQIKQIDN-ZDUSSCGKSA-N 0 0 432.520 -0.022 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000920239258 1072940704 /nfs/dbraw/zinc/94/07/04/1072940704.db2.gz ZHNKINUNADQJKL-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000920239260 1072940586 /nfs/dbraw/zinc/94/05/86/1072940586.db2.gz ZHNKINUNADQJKL-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000920240657 1072940666 /nfs/dbraw/zinc/94/06/66/1072940666.db2.gz ZMFHNMQLJHDNKC-AWEZNQCLSA-N 0 0 425.577 -0.676 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC000920240662 1072940647 /nfs/dbraw/zinc/94/06/47/1072940647.db2.gz ZMFHNMQLJHDNKC-CQSZACIVSA-N 0 0 425.577 -0.676 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)CC1 ZINC000920247373 1072940138 /nfs/dbraw/zinc/94/01/38/1072940138.db2.gz PBIAXZPAYITJHM-UHFFFAOYSA-N 0 0 441.514 -0.974 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C2=NN(Cc3ccc(F)cc3)C(=O)CC2)CC1 ZINC000920250716 1072940171 /nfs/dbraw/zinc/94/01/71/1072940171.db2.gz FBXAVLAYYHJGFT-UHFFFAOYSA-N 0 0 439.513 -0.003 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CN2C(=O)COc3ccc(Cl)cc32)CC1 ZINC000920251783 1072940088 /nfs/dbraw/zinc/94/00/88/1072940088.db2.gz MRYMXHDZLFMCKZ-UHFFFAOYSA-N 0 0 430.914 -0.241 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC000920252258 1072940107 /nfs/dbraw/zinc/94/01/07/1072940107.db2.gz QKYTUMZAFVVCRR-FQEVSTJZSA-N 0 0 449.533 -0.927 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC000920252262 1072940232 /nfs/dbraw/zinc/94/02/32/1072940232.db2.gz QKYTUMZAFVVCRR-HXUWFJFHSA-N 0 0 449.533 -0.927 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CN2C(=S)N=NC2c2cccs2)CC1 ZINC000920252280 1072940058 /nfs/dbraw/zinc/94/00/58/1072940058.db2.gz RDBXBCUMWJINIH-UHFFFAOYSA-N 0 0 430.581 -0.011 20 0 IBADRN COCCOC(=O)c1c(C)[nH]c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1C ZINC000920252399 1072940262 /nfs/dbraw/zinc/94/02/62/1072940262.db2.gz VCFNQWLFQWPRHU-UHFFFAOYSA-N 0 0 430.527 -0.258 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C/C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000920252782 1072940077 /nfs/dbraw/zinc/94/00/77/1072940077.db2.gz WXQHBONKQHUGPZ-VMPITWQZSA-N 0 0 430.552 -0.235 20 0 IBADRN CS(=O)(=O)Nc1ccc(/C=C\C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000920252790 1072940256 /nfs/dbraw/zinc/94/02/56/1072940256.db2.gz WXQHBONKQHUGPZ-YVMONPNESA-N 0 0 430.552 -0.235 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cn2nc3ccccn3c2=S)CC1 ZINC000920255269 1072940660 /nfs/dbraw/zinc/94/06/60/1072940660.db2.gz DCXLDXGNKYKRBA-CYBMUJFWSA-N 0 0 431.565 -0.043 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cn2nc3ccccn3c2=S)CC1 ZINC000920255273 1072940598 /nfs/dbraw/zinc/94/05/98/1072940598.db2.gz DCXLDXGNKYKRBA-ZDUSSCGKSA-N 0 0 431.565 -0.043 20 0 IBADRN O=C1CC2(CCCC2)C(=O)N1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920256228 1072940684 /nfs/dbraw/zinc/94/06/84/1072940684.db2.gz SXDXUUHFJIFCJJ-AWEZNQCLSA-N 0 0 433.552 -0.602 20 0 IBADRN O=C1CC2(CCCC2)C(=O)N1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920256229 1072940630 /nfs/dbraw/zinc/94/06/30/1072940630.db2.gz SXDXUUHFJIFCJJ-CQSZACIVSA-N 0 0 433.552 -0.602 20 0 IBADRN COC(=O)c1ccc(Cl)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000920257706 1072940720 /nfs/dbraw/zinc/94/07/20/1072940720.db2.gz ILLSEVHSELLGLE-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC(C(=O)NCCO)CC2)C1=O ZINC000920257834 1072940711 /nfs/dbraw/zinc/94/07/11/1072940711.db2.gz MONGGYMVPQPMHM-JOCHJYFZSA-N 0 0 445.520 -0.219 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC(C(=O)NCCO)CC2)C1=O ZINC000920257838 1072940619 /nfs/dbraw/zinc/94/06/19/1072940619.db2.gz MONGGYMVPQPMHM-QFIPXVFZSA-N 0 0 445.520 -0.219 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(S(=O)(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000920257895 1072940690 /nfs/dbraw/zinc/94/06/90/1072940690.db2.gz OCNYOOYXXPSSHO-UHFFFAOYSA-N 0 0 439.943 -0.530 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1F ZINC000920257983 1072940740 /nfs/dbraw/zinc/94/07/40/1072940740.db2.gz RJQFRGHVOZSOHX-UHFFFAOYSA-N 0 0 443.544 -0.915 20 0 IBADRN COc1ccc(NC(=O)CN2CCC(C(=O)NCCO)CC2)cc1S(=O)(=O)N(C)C ZINC000920258340 1072940671 /nfs/dbraw/zinc/94/06/71/1072940671.db2.gz RQJUDRHDFCVYCT-UHFFFAOYSA-N 0 0 442.538 -0.296 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2cccc(-n3cnnn3)c2)CC1 ZINC000920260036 1072940696 /nfs/dbraw/zinc/94/06/96/1072940696.db2.gz QGKSATMOAKNWGR-UHFFFAOYSA-N 0 0 429.528 -0.445 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)c2ccnc(-n3cncn3)c2)c(=O)n(C)c1=O ZINC000920261015 1072940729 /nfs/dbraw/zinc/94/07/29/1072940729.db2.gz LNDQZQZOIRNWHB-UHFFFAOYSA-N 0 0 427.421 -0.199 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCC(=O)NCCN2CCOCC2)n(C)c1 ZINC000920267748 1072941202 /nfs/dbraw/zinc/94/12/02/1072941202.db2.gz BHKIFLOAJYTXJS-UHFFFAOYSA-N 0 0 429.543 -0.766 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(Cl)c(C(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC000920269065 1072941189 /nfs/dbraw/zinc/94/11/89/1072941189.db2.gz MSWLSSZTTCNJIU-UHFFFAOYSA-N 0 0 432.930 -0.232 20 0 IBADRN COc1ccc(C2N=NC(=S)N2CC(=O)NCC(=O)NCCN2CCOCC2)cc1 ZINC000920270393 1072941144 /nfs/dbraw/zinc/94/11/44/1072941144.db2.gz WURBGCLKVIFXPN-UHFFFAOYSA-N 0 0 434.522 -0.193 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920277709 1072941257 /nfs/dbraw/zinc/94/12/57/1072941257.db2.gz BNMBFAIWSZRNNJ-HNNXBMFYSA-N 0 0 429.520 -0.405 20 0 IBADRN Cc1ccc(NC(=O)C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920277711 1072941102 /nfs/dbraw/zinc/94/11/02/1072941102.db2.gz BNMBFAIWSZRNNJ-OAHLLOKOSA-N 0 0 429.520 -0.405 20 0 IBADRN COCCn1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000920278158 1072941091 /nfs/dbraw/zinc/94/10/91/1072941091.db2.gz XIQWXGDNWAMAAE-AWEZNQCLSA-N 0 0 435.528 -0.804 20 0 IBADRN COCCn1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC000920278159 1072941239 /nfs/dbraw/zinc/94/12/39/1072941239.db2.gz XIQWXGDNWAMAAE-CQSZACIVSA-N 0 0 435.528 -0.804 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000920289749 1072941733 /nfs/dbraw/zinc/94/17/33/1072941733.db2.gz BGLVAVYISWEELF-GFCCVEGCSA-N 0 0 449.526 -0.112 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000920289750 1072941588 /nfs/dbraw/zinc/94/15/88/1072941588.db2.gz BGLVAVYISWEELF-LBPRGKRZSA-N 0 0 449.526 -0.112 20 0 IBADRN Cn1c2ccc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc2[nH]c1=O ZINC000920290427 1072941621 /nfs/dbraw/zinc/94/16/21/1072941621.db2.gz FPRURTUBBPLCIL-CYBMUJFWSA-N 0 0 442.519 -0.446 20 0 IBADRN Cn1c2ccc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc2[nH]c1=O ZINC000920290433 1072941677 /nfs/dbraw/zinc/94/16/77/1072941677.db2.gz FPRURTUBBPLCIL-ZDUSSCGKSA-N 0 0 442.519 -0.446 20 0 IBADRN CCC(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920290884 1072941729 /nfs/dbraw/zinc/94/17/29/1072941729.db2.gz NKZOXGGWRODCCS-CABCVRRESA-N 0 0 435.568 -0.561 20 0 IBADRN CCC(=O)N1CCCC[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920290885 1072941752 /nfs/dbraw/zinc/94/17/52/1072941752.db2.gz NKZOXGGWRODCCS-GJZGRUSLSA-N 0 0 435.568 -0.561 20 0 IBADRN CCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920290886 1072941608 /nfs/dbraw/zinc/94/16/08/1072941608.db2.gz NKZOXGGWRODCCS-HUUCEWRRSA-N 0 0 435.568 -0.561 20 0 IBADRN CCC(=O)N1CCCC[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920290887 1072941748 /nfs/dbraw/zinc/94/17/48/1072941748.db2.gz NKZOXGGWRODCCS-LSDHHAIUSA-N 0 0 435.568 -0.561 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC000920290999 1072941597 /nfs/dbraw/zinc/94/15/97/1072941597.db2.gz SBZCMVFGCBPQRH-LLVKDONJSA-N 0 0 435.499 -0.501 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC000920291000 1072942093 /nfs/dbraw/zinc/94/20/93/1072942093.db2.gz SBZCMVFGCBPQRH-NSHDSACASA-N 0 0 435.499 -0.501 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC000920291017 1072942119 /nfs/dbraw/zinc/94/21/19/1072942119.db2.gz SVFJDLFDYHEYSO-CYBMUJFWSA-N 0 0 449.526 -0.112 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1F ZINC000920291018 1072942132 /nfs/dbraw/zinc/94/21/32/1072942132.db2.gz SVFJDLFDYHEYSO-ZDUSSCGKSA-N 0 0 449.526 -0.112 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H]3CCCN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC000920294437 1072942255 /nfs/dbraw/zinc/94/22/55/1072942255.db2.gz HHQVQCCALCRPRU-CYBMUJFWSA-N 0 0 445.519 -0.369 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H]3CCCN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC000920294438 1072942230 /nfs/dbraw/zinc/94/22/30/1072942230.db2.gz HHQVQCCALCRPRU-ZDUSSCGKSA-N 0 0 445.519 -0.369 20 0 IBADRN O=C(C(=O)N1CCN(Cn2nc(N3CCOCC3)sc2=S)CC1)N1CCOCC1 ZINC000920303799 1072941130 /nfs/dbraw/zinc/94/11/30/1072941130.db2.gz YZNBYPFJBNZQED-UHFFFAOYSA-N 0 0 442.567 -0.529 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)ccc2Cl)CC1 ZINC000920304832 1072941708 /nfs/dbraw/zinc/94/17/08/1072941708.db2.gz FJSKXNAQAKLRHR-UHFFFAOYSA-N 0 0 432.930 -0.232 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(Cn2nc(N3CCOCC3)sc2=S)CC1 ZINC000920305039 1072941576 /nfs/dbraw/zinc/94/15/76/1072941576.db2.gz FYXOXGRDJLACSS-UHFFFAOYSA-N 0 0 440.551 -0.239 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(C(=O)OC)ccc1F ZINC000920314967 1072942664 /nfs/dbraw/zinc/94/26/64/1072942664.db2.gz SFCSYAKJYYBVAP-GFCCVEGCSA-N 0 0 436.483 -0.074 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(C(=O)OC)ccc1F ZINC000920314968 1072942762 /nfs/dbraw/zinc/94/27/62/1072942762.db2.gz SFCSYAKJYYBVAP-LBPRGKRZSA-N 0 0 436.483 -0.074 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)ccc1Cl ZINC000920315507 1072942695 /nfs/dbraw/zinc/94/26/95/1072942695.db2.gz UFHKWWHFMXWMBI-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC000920315543 1072942674 /nfs/dbraw/zinc/94/26/74/1072942674.db2.gz JIVZCJCBBKPOLH-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000920315940 1072942775 /nfs/dbraw/zinc/94/27/75/1072942775.db2.gz RXSATQIZEHRYIY-UHFFFAOYSA-N 0 0 444.941 -0.135 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000920315941 1072942678 /nfs/dbraw/zinc/94/26/78/1072942678.db2.gz QNFJIGMYYKTIME-UHFFFAOYSA-N 0 0 429.470 -0.223 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(=O)C(=O)N3CCOCC3)CC2)c1 ZINC000920316010 1072942753 /nfs/dbraw/zinc/94/27/53/1072942753.db2.gz VBHNCIBBOXYQIK-UHFFFAOYSA-N 0 0 443.453 -0.696 20 0 IBADRN COCCOC(=O)Nc1cccc(NC(=O)C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC000920319317 1072942713 /nfs/dbraw/zinc/94/27/13/1072942713.db2.gz JSOQWMCQSFXMHL-UHFFFAOYSA-N 0 0 428.467 -0.076 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000920321302 1072942638 /nfs/dbraw/zinc/94/26/38/1072942638.db2.gz ZLYYWSUQBIEAPB-CYBMUJFWSA-N 0 0 448.523 -0.653 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)C(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)cc1 ZINC000920321303 1072942752 /nfs/dbraw/zinc/94/27/52/1072942752.db2.gz ZLYYWSUQBIEAPB-ZDUSSCGKSA-N 0 0 448.523 -0.653 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)OCO2)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000920322020 1072942767 /nfs/dbraw/zinc/94/27/67/1072942767.db2.gz TWTSJBWQMAWOCZ-CYBMUJFWSA-N 0 0 426.389 -0.114 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)OCO2)C(=O)Nc1ccc(-n2nccn2)nc1 ZINC000920322024 1072942742 /nfs/dbraw/zinc/94/27/42/1072942742.db2.gz TWTSJBWQMAWOCZ-ZDUSSCGKSA-N 0 0 426.389 -0.114 20 0 IBADRN COC(=O)N[C@@H](CC(C)C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920342572 1072942080 /nfs/dbraw/zinc/94/20/80/1072942080.db2.gz AEEGXCRBXJYTMU-KBPBESRZSA-N 0 0 439.556 -0.582 20 0 IBADRN COC(=O)N[C@@H](CC(C)C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920342573 1072942240 /nfs/dbraw/zinc/94/22/40/1072942240.db2.gz AEEGXCRBXJYTMU-KGLIPLIRSA-N 0 0 439.556 -0.582 20 0 IBADRN COC(=O)N[C@H](CC(C)C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920342574 1072942150 /nfs/dbraw/zinc/94/21/50/1072942150.db2.gz AEEGXCRBXJYTMU-UONOGXRCSA-N 0 0 439.556 -0.582 20 0 IBADRN COC(=O)N[C@H](CC(C)C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920342575 1072942158 /nfs/dbraw/zinc/94/21/58/1072942158.db2.gz AEEGXCRBXJYTMU-ZIAGYGMSSA-N 0 0 439.556 -0.582 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000920402900 1072942655 /nfs/dbraw/zinc/94/26/55/1072942655.db2.gz BLCRIQBOIIIHFW-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1 ZINC000920402901 1072942778 /nfs/dbraw/zinc/94/27/78/1072942778.db2.gz BLCRIQBOIIIHFW-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN C[C@H](C(=O)NCc1ccc(F)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920407725 1072943169 /nfs/dbraw/zinc/94/31/69/1072943169.db2.gz ACIVSTKRSNSHMJ-PBHICJAKSA-N 0 0 447.554 -0.035 20 0 IBADRN C[C@H](C(=O)NCc1ccc(F)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920407728 1072943204 /nfs/dbraw/zinc/94/32/04/1072943204.db2.gz ACIVSTKRSNSHMJ-RHSMWYFYSA-N 0 0 447.554 -0.035 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(F)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920407731 1072943191 /nfs/dbraw/zinc/94/31/91/1072943191.db2.gz ACIVSTKRSNSHMJ-WMLDXEAASA-N 0 0 447.554 -0.035 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(F)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920407735 1072943110 /nfs/dbraw/zinc/94/31/10/1072943110.db2.gz ACIVSTKRSNSHMJ-YOEHRIQHSA-N 0 0 447.554 -0.035 20 0 IBADRN C[C@@H](C(=O)NCc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920407765 1072943157 /nfs/dbraw/zinc/94/31/57/1072943157.db2.gz GVFBBPIOYBVART-DOTOQJQBSA-N 0 0 429.564 -0.174 20 0 IBADRN C[C@H](C(=O)NCc1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920407768 1072943142 /nfs/dbraw/zinc/94/31/42/1072943142.db2.gz GVFBBPIOYBVART-NVXWUHKLSA-N 0 0 429.564 -0.174 20 0 IBADRN C[C@@H](C(=O)NCc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920407770 1072943173 /nfs/dbraw/zinc/94/31/73/1072943173.db2.gz GVFBBPIOYBVART-RDJZCZTQSA-N 0 0 429.564 -0.174 20 0 IBADRN C[C@H](C(=O)NCc1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920407771 1072943116 /nfs/dbraw/zinc/94/31/16/1072943116.db2.gz GVFBBPIOYBVART-WBVHZDCISA-N 0 0 429.564 -0.174 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)Nc1ccc2c(c1)OCO2 ZINC000920407843 1072943125 /nfs/dbraw/zinc/94/31/25/1072943125.db2.gz AWNAHCRIWKUQOA-AWEZNQCLSA-N 0 0 445.519 -0.512 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)Nc1ccc2c(c1)OCO2 ZINC000920407847 1072943153 /nfs/dbraw/zinc/94/31/53/1072943153.db2.gz AWNAHCRIWKUQOA-CQSZACIVSA-N 0 0 445.519 -0.512 20 0 IBADRN Cc1ccc(CNC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920407849 1072943147 /nfs/dbraw/zinc/94/31/47/1072943147.db2.gz AWQYFJNUXUAQFJ-KRWDZBQOSA-N 0 0 429.564 -0.254 20 0 IBADRN Cc1ccc(CNC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920407857 1072943130 /nfs/dbraw/zinc/94/31/30/1072943130.db2.gz AWQYFJNUXUAQFJ-QGZVFWFLSA-N 0 0 429.564 -0.254 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@@H](C)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920407967 1072943163 /nfs/dbraw/zinc/94/31/63/1072943163.db2.gz JUDLCRYQWZHXPX-CABCVRRESA-N 0 0 447.583 -0.075 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@H](C)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920407969 1072943136 /nfs/dbraw/zinc/94/31/36/1072943136.db2.gz JUDLCRYQWZHXPX-GJZGRUSLSA-N 0 0 447.583 -0.075 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@@H](C)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920407972 1072943212 /nfs/dbraw/zinc/94/32/12/1072943212.db2.gz JUDLCRYQWZHXPX-HUUCEWRRSA-N 0 0 447.583 -0.075 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@H](C)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920407974 1072943196 /nfs/dbraw/zinc/94/31/96/1072943196.db2.gz JUDLCRYQWZHXPX-LSDHHAIUSA-N 0 0 447.583 -0.075 20 0 IBADRN Cc1cccn2c(=O)cc(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)nc12 ZINC000920407989 1072943604 /nfs/dbraw/zinc/94/36/04/1072943604.db2.gz BOGMYSXVABSPCL-INIZCTEOSA-N 0 0 440.547 -0.363 20 0 IBADRN Cc1cccn2c(=O)cc(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)nc12 ZINC000920407993 1072943587 /nfs/dbraw/zinc/94/35/87/1072943587.db2.gz BOGMYSXVABSPCL-MRXNPFEDSA-N 0 0 440.547 -0.363 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)COc2ccc(F)cc2)CC1 ZINC000920407999 1072943575 /nfs/dbraw/zinc/94/35/75/1072943575.db2.gz BQQUAFQFEXJGAQ-DOTOQJQBSA-N 0 0 436.527 -0.300 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)COc2ccc(F)cc2)CC1 ZINC000920408002 1072943626 /nfs/dbraw/zinc/94/36/26/1072943626.db2.gz BQQUAFQFEXJGAQ-NVXWUHKLSA-N 0 0 436.527 -0.300 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)COc2ccc(F)cc2)CC1 ZINC000920408004 1072943597 /nfs/dbraw/zinc/94/35/97/1072943597.db2.gz BQQUAFQFEXJGAQ-RDJZCZTQSA-N 0 0 436.527 -0.300 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)COc2ccc(F)cc2)CC1 ZINC000920408006 1072943619 /nfs/dbraw/zinc/94/36/19/1072943619.db2.gz BQQUAFQFEXJGAQ-WBVHZDCISA-N 0 0 436.527 -0.300 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N1CCc2ccccc21 ZINC000920408061 1072943616 /nfs/dbraw/zinc/94/36/16/1072943616.db2.gz DCQZDPDGZHOCKD-INIZCTEOSA-N 0 0 427.548 -0.290 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCc2ccccc21 ZINC000920408063 1072943612 /nfs/dbraw/zinc/94/36/12/1072943612.db2.gz DCQZDPDGZHOCKD-MRXNPFEDSA-N 0 0 427.548 -0.290 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NCCOc1ccccc1 ZINC000920408065 1072943562 /nfs/dbraw/zinc/94/35/62/1072943562.db2.gz DDCIILDVQJUBFH-KRWDZBQOSA-N 0 0 445.563 -0.684 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NCCOc1ccccc1 ZINC000920408067 1072943548 /nfs/dbraw/zinc/94/35/48/1072943548.db2.gz DDCIILDVQJUBFH-QGZVFWFLSA-N 0 0 445.563 -0.684 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920408379 1072943580 /nfs/dbraw/zinc/94/35/80/1072943580.db2.gz DIELBWPVHUODQE-KRWDZBQOSA-N 0 0 445.563 -0.554 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920408381 1072943642 /nfs/dbraw/zinc/94/36/42/1072943642.db2.gz DIELBWPVHUODQE-QGZVFWFLSA-N 0 0 445.563 -0.554 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2Cc2ccccc2)CC1 ZINC000920408389 1072943534 /nfs/dbraw/zinc/94/35/34/1072943534.db2.gz DLWNJLITZHJTTD-INIZCTEOSA-N 0 0 440.551 -0.644 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2Cc2ccccc2)CC1 ZINC000920408390 1072943592 /nfs/dbraw/zinc/94/35/92/1072943592.db2.gz DLWNJLITZHJTTD-MRXNPFEDSA-N 0 0 440.551 -0.644 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920408438 1072943607 /nfs/dbraw/zinc/94/36/07/1072943607.db2.gz FCUCNMGHPVCEES-AWEZNQCLSA-N 0 0 431.536 -0.232 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920408440 1072943556 /nfs/dbraw/zinc/94/35/56/1072943556.db2.gz FCUCNMGHPVCEES-CQSZACIVSA-N 0 0 431.536 -0.232 20 0 IBADRN Cc1ccc2nc(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc(=O)n2c1 ZINC000920408441 1072943633 /nfs/dbraw/zinc/94/36/33/1072943633.db2.gz PLOPOHBFDZGRLD-INIZCTEOSA-N 0 0 440.547 -0.363 20 0 IBADRN Cc1ccc2nc(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc(=O)n2c1 ZINC000920408443 1072943569 /nfs/dbraw/zinc/94/35/69/1072943569.db2.gz PLOPOHBFDZGRLD-MRXNPFEDSA-N 0 0 440.547 -0.363 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NC(=O)Nc1ccccc1 ZINC000920408446 1072944058 /nfs/dbraw/zinc/94/40/58/1072944058.db2.gz FDHVCSZGZAOUBE-HNNXBMFYSA-N 0 0 444.535 -0.531 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NC(=O)Nc1ccccc1 ZINC000920408447 1072944064 /nfs/dbraw/zinc/94/40/64/1072944064.db2.gz FDHVCSZGZAOUBE-OAHLLOKOSA-N 0 0 444.535 -0.531 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920408451 1072944019 /nfs/dbraw/zinc/94/40/19/1072944019.db2.gz FERNGZLHXRRGRZ-AWEZNQCLSA-N 0 0 441.531 -0.583 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920408452 1072943954 /nfs/dbraw/zinc/94/39/54/1072943954.db2.gz FERNGZLHXRRGRZ-CQSZACIVSA-N 0 0 441.531 -0.583 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NCCc1ccc(F)cc1 ZINC000920408475 1072944035 /nfs/dbraw/zinc/94/40/35/1072944035.db2.gz FYVUACLEAHZLOY-KRWDZBQOSA-N 0 0 447.554 -0.381 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NCCc1ccc(F)cc1 ZINC000920408476 1072944003 /nfs/dbraw/zinc/94/40/03/1072944003.db2.gz FYVUACLEAHZLOY-QGZVFWFLSA-N 0 0 447.554 -0.381 20 0 IBADRN CN(C)C(=O)[C@@H](c1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920408491 1072943970 /nfs/dbraw/zinc/94/39/70/1072943970.db2.gz GJQGMCRJLGOFJQ-DLBZAZTESA-N 0 0 429.564 -0.050 20 0 IBADRN CN(C)C(=O)[C@@H](c1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920408492 1072944025 /nfs/dbraw/zinc/94/40/25/1072944025.db2.gz GJQGMCRJLGOFJQ-IAGOWNOFSA-N 0 0 429.564 -0.050 20 0 IBADRN CN(C)C(=O)[C@H](c1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920408493 1072944031 /nfs/dbraw/zinc/94/40/31/1072944031.db2.gz GJQGMCRJLGOFJQ-IRXDYDNUSA-N 0 0 429.564 -0.050 20 0 IBADRN CN(C)C(=O)[C@H](c1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920408494 1072943978 /nfs/dbraw/zinc/94/39/78/1072943978.db2.gz GJQGMCRJLGOFJQ-SJORKVTESA-N 0 0 429.564 -0.050 20 0 IBADRN Cc1csc2nc(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc(=O)n12 ZINC000920408612 1072944082 /nfs/dbraw/zinc/94/40/82/1072944082.db2.gz RJRPKDWJVBJIKO-AWEZNQCLSA-N 0 0 446.576 -0.301 20 0 IBADRN Cc1csc2nc(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc(=O)n12 ZINC000920408613 1072943946 /nfs/dbraw/zinc/94/39/46/1072943946.db2.gz RJRPKDWJVBJIKO-CQSZACIVSA-N 0 0 446.576 -0.301 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NCCCc1ccccc1 ZINC000920408645 1072944044 /nfs/dbraw/zinc/94/40/44/1072944044.db2.gz GNFMQFUYZJGOAQ-GOSISDBHSA-N 0 0 443.591 -0.130 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NCCCc1ccccc1 ZINC000920408647 1072944050 /nfs/dbraw/zinc/94/40/50/1072944050.db2.gz GNFMQFUYZJGOAQ-SFHVURJKSA-N 0 0 443.591 -0.130 20 0 IBADRN CN(Cc1ccccc1)C(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920408711 1072944517 /nfs/dbraw/zinc/94/45/17/1072944517.db2.gz HHLUKMUAZRJOHC-KRWDZBQOSA-N 0 0 429.564 -0.221 20 0 IBADRN CN(Cc1ccccc1)C(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920408713 1072944490 /nfs/dbraw/zinc/94/44/90/1072944490.db2.gz HHLUKMUAZRJOHC-QGZVFWFLSA-N 0 0 429.564 -0.221 20 0 IBADRN O=S(=O)(CCN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000920408784 1072944407 /nfs/dbraw/zinc/94/44/07/1072944407.db2.gz WTTYEFFAKTZNQS-INIZCTEOSA-N 0 0 436.577 -0.405 20 0 IBADRN O=S(=O)(CCN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC000920408785 1072944484 /nfs/dbraw/zinc/94/44/84/1072944484.db2.gz WTTYEFFAKTZNQS-MRXNPFEDSA-N 0 0 436.577 -0.405 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCc2ccccc2C1 ZINC000920408862 1072944451 /nfs/dbraw/zinc/94/44/51/1072944451.db2.gz JNPUMKDUTJOSQE-GOSISDBHSA-N 0 0 441.575 -0.294 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N1CCc2ccccc2C1 ZINC000920408863 1072944444 /nfs/dbraw/zinc/94/44/44/1072944444.db2.gz JNPUMKDUTJOSQE-SFHVURJKSA-N 0 0 441.575 -0.294 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(Cl)cn1 ZINC000920408881 1072944509 /nfs/dbraw/zinc/94/45/09/1072944509.db2.gz JWKPKEOHEJJKAY-CYBMUJFWSA-N 0 0 436.943 -0.192 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(Cl)cn1 ZINC000920408882 1072944433 /nfs/dbraw/zinc/94/44/33/1072944433.db2.gz JWKPKEOHEJJKAY-ZDUSSCGKSA-N 0 0 436.943 -0.192 20 0 IBADRN CN(Cc1ccccc1F)C(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920408890 1072944502 /nfs/dbraw/zinc/94/45/02/1072944502.db2.gz KADLXELLNCBSEU-INIZCTEOSA-N 0 0 447.554 -0.081 20 0 IBADRN CN(Cc1ccccc1F)C(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920408891 1072944459 /nfs/dbraw/zinc/94/44/59/1072944459.db2.gz KADLXELLNCBSEU-MRXNPFEDSA-N 0 0 447.554 -0.081 20 0 IBADRN Cc1ccc(CNC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000920408892 1072944497 /nfs/dbraw/zinc/94/44/97/1072944497.db2.gz KAMHNNPRQFUSAT-INIZCTEOSA-N 0 0 447.554 -0.115 20 0 IBADRN Cc1ccc(CNC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC000920408893 1072944523 /nfs/dbraw/zinc/94/45/23/1072944523.db2.gz KAMHNNPRQFUSAT-MRXNPFEDSA-N 0 0 447.554 -0.115 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NCc1ccc(F)cc1 ZINC000920408924 1072944424 /nfs/dbraw/zinc/94/44/24/1072944424.db2.gz LDDDNIVBEBUCGA-INIZCTEOSA-N 0 0 433.527 -0.424 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NCc1ccc(F)cc1 ZINC000920408925 1072944512 /nfs/dbraw/zinc/94/45/12/1072944512.db2.gz LDDDNIVBEBUCGA-MRXNPFEDSA-N 0 0 433.527 -0.424 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)N1CCc2sccc2C1 ZINC000920408991 1072945019 /nfs/dbraw/zinc/94/50/19/1072945019.db2.gz OJSMACYKNPWINH-HNNXBMFYSA-N 0 0 447.604 -0.233 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCc2sccc2C1 ZINC000920408992 1072944992 /nfs/dbraw/zinc/94/49/92/1072944992.db2.gz OJSMACYKNPWINH-OAHLLOKOSA-N 0 0 447.604 -0.233 20 0 IBADRN Cc1ccccc1CCNC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409029 1072944971 /nfs/dbraw/zinc/94/49/71/1072944971.db2.gz PLTLGTPCGBBDEX-GOSISDBHSA-N 0 0 443.591 -0.212 20 0 IBADRN Cc1ccccc1CCNC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409030 1072944988 /nfs/dbraw/zinc/94/49/88/1072944988.db2.gz PLTLGTPCGBBDEX-SFHVURJKSA-N 0 0 443.591 -0.212 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NCc1ccccc1F ZINC000920409055 1072944995 /nfs/dbraw/zinc/94/49/95/1072944995.db2.gz QIGVMZJATZUFHB-HNNXBMFYSA-N 0 0 433.527 -0.424 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NCc1ccccc1F ZINC000920409056 1072944966 /nfs/dbraw/zinc/94/49/66/1072944966.db2.gz QIGVMZJATZUFHB-OAHLLOKOSA-N 0 0 433.527 -0.424 20 0 IBADRN COc1ccccc1CNC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409080 1072944945 /nfs/dbraw/zinc/94/49/45/1072944945.db2.gz RBOOMDXHRRJVEB-INIZCTEOSA-N 0 0 445.563 -0.554 20 0 IBADRN COc1ccccc1CNC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409081 1072945014 /nfs/dbraw/zinc/94/50/14/1072945014.db2.gz RBOOMDXHRRJVEB-MRXNPFEDSA-N 0 0 445.563 -0.554 20 0 IBADRN CC(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409101 1072944959 /nfs/dbraw/zinc/94/49/59/1072944959.db2.gz RTFKWPSFQVOHDC-KRWDZBQOSA-N 0 0 443.547 -0.038 20 0 IBADRN CC(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409102 1072945026 /nfs/dbraw/zinc/94/50/26/1072945026.db2.gz RTFKWPSFQVOHDC-QGZVFWFLSA-N 0 0 443.547 -0.038 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920409114 1072944984 /nfs/dbraw/zinc/94/49/84/1072944984.db2.gz SETOPMMJQQBSDY-INIZCTEOSA-N 0 0 431.536 -0.232 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920409115 1072945001 /nfs/dbraw/zinc/94/50/01/1072945001.db2.gz SETOPMMJQQBSDY-MRXNPFEDSA-N 0 0 431.536 -0.232 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)Nc1cccnc1Cl ZINC000920409131 1072945051 /nfs/dbraw/zinc/94/50/51/1072945051.db2.gz SYRCJYLASGFUGV-GFCCVEGCSA-N 0 0 436.943 -0.192 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)Nc1cccnc1Cl ZINC000920409132 1072944956 /nfs/dbraw/zinc/94/49/56/1072944956.db2.gz SYRCJYLASGFUGV-LBPRGKRZSA-N 0 0 436.943 -0.192 20 0 IBADRN C[C@@H](C(=O)NCc1cccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409136 1072945010 /nfs/dbraw/zinc/94/50/10/1072945010.db2.gz TUTQBRDAUSSQPY-DZGCQCFKSA-N 0 0 435.593 -0.113 20 0 IBADRN C[C@H](C(=O)NCc1cccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409137 1072945043 /nfs/dbraw/zinc/94/50/43/1072945043.db2.gz TUTQBRDAUSSQPY-HIFRSBDPSA-N 0 0 435.593 -0.113 20 0 IBADRN C[C@H](C(=O)NCc1cccs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409138 1072945337 /nfs/dbraw/zinc/94/53/37/1072945337.db2.gz TUTQBRDAUSSQPY-UKRRQHHQSA-N 0 0 435.593 -0.113 20 0 IBADRN C[C@@H](C(=O)NCc1cccs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409139 1072945382 /nfs/dbraw/zinc/94/53/82/1072945382.db2.gz TUTQBRDAUSSQPY-ZFWWWQNUSA-N 0 0 435.593 -0.113 20 0 IBADRN COc1cccc(CNC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409146 1072945358 /nfs/dbraw/zinc/94/53/58/1072945358.db2.gz UFBIOWYYWFWXQG-KRWDZBQOSA-N 0 0 445.563 -0.554 20 0 IBADRN COc1cccc(CNC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409147 1072945352 /nfs/dbraw/zinc/94/53/52/1072945352.db2.gz UFBIOWYYWFWXQG-QGZVFWFLSA-N 0 0 445.563 -0.554 20 0 IBADRN Cc1ccccc1CNC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409148 1072945409 /nfs/dbraw/zinc/94/54/09/1072945409.db2.gz UKBJREQIQPBEGX-KRWDZBQOSA-N 0 0 429.564 -0.254 20 0 IBADRN Cc1ccccc1CNC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409149 1072945345 /nfs/dbraw/zinc/94/53/45/1072945345.db2.gz UKBJREQIQPBEGX-QGZVFWFLSA-N 0 0 429.564 -0.254 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000920409155 1072945369 /nfs/dbraw/zinc/94/53/69/1072945369.db2.gz UQMLQBIUQKQISJ-HNNXBMFYSA-N 0 0 426.524 -0.703 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000920409156 1072945410 /nfs/dbraw/zinc/94/54/10/1072945410.db2.gz UQMLQBIUQKQISJ-OAHLLOKOSA-N 0 0 426.524 -0.703 20 0 IBADRN CC(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920409174 1072945324 /nfs/dbraw/zinc/94/53/24/1072945324.db2.gz VFZJVJZAMRJKOI-KRWDZBQOSA-N 0 0 443.547 -0.038 20 0 IBADRN CC(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920409175 1072945436 /nfs/dbraw/zinc/94/54/36/1072945436.db2.gz VFZJVJZAMRJKOI-QGZVFWFLSA-N 0 0 443.547 -0.038 20 0 IBADRN CCOCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409202 1072945418 /nfs/dbraw/zinc/94/54/18/1072945418.db2.gz VYHBUCCBQSJOFZ-CABCVRRESA-N 0 0 425.573 -0.948 20 0 IBADRN CCOCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409203 1072945316 /nfs/dbraw/zinc/94/53/16/1072945316.db2.gz VYHBUCCBQSJOFZ-GJZGRUSLSA-N 0 0 425.573 -0.948 20 0 IBADRN CCOCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409204 1072945396 /nfs/dbraw/zinc/94/53/96/1072945396.db2.gz VYHBUCCBQSJOFZ-HUUCEWRRSA-N 0 0 425.573 -0.948 20 0 IBADRN CCOCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409205 1072945363 /nfs/dbraw/zinc/94/53/63/1072945363.db2.gz VYHBUCCBQSJOFZ-LSDHHAIUSA-N 0 0 425.573 -0.948 20 0 IBADRN COc1cccc(NC(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409253 1072945331 /nfs/dbraw/zinc/94/53/31/1072945331.db2.gz WSTOBPGUGMTOPA-INIZCTEOSA-N 0 0 431.536 -0.232 20 0 IBADRN COc1cccc(NC(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409254 1072945408 /nfs/dbraw/zinc/94/54/08/1072945408.db2.gz WSTOBPGUGMTOPA-MRXNPFEDSA-N 0 0 431.536 -0.232 20 0 IBADRN O=c1cc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc2ccccn12 ZINC000920409324 1072945801 /nfs/dbraw/zinc/94/58/01/1072945801.db2.gz ZCSCWEHFTDZUMJ-HNNXBMFYSA-N 0 0 426.520 -0.671 20 0 IBADRN O=c1cc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc2ccccn12 ZINC000920409325 1072945890 /nfs/dbraw/zinc/94/58/90/1072945890.db2.gz ZCSCWEHFTDZUMJ-OAHLLOKOSA-N 0 0 426.520 -0.671 20 0 IBADRN C[C@H](C(=O)NCc1ccccc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409343 1072945877 /nfs/dbraw/zinc/94/58/77/1072945877.db2.gz ZOMGJKOKRKFAIR-GDBMZVCRSA-N 0 0 447.554 -0.035 20 0 IBADRN C[C@@H](C(=O)NCc1ccccc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409344 1072945818 /nfs/dbraw/zinc/94/58/18/1072945818.db2.gz ZOMGJKOKRKFAIR-GOEBONIOSA-N 0 0 447.554 -0.035 20 0 IBADRN C[C@@H](C(=O)NCc1ccccc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409346 1072945845 /nfs/dbraw/zinc/94/58/45/1072945845.db2.gz ZOMGJKOKRKFAIR-HOCLYGCPSA-N 0 0 447.554 -0.035 20 0 IBADRN C[C@H](C(=O)NCc1ccccc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409348 1072945930 /nfs/dbraw/zinc/94/59/30/1072945930.db2.gz ZOMGJKOKRKFAIR-ZBFHGGJFSA-N 0 0 447.554 -0.035 20 0 IBADRN C[C@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409366 1072945853 /nfs/dbraw/zinc/94/58/53/1072945853.db2.gz ZWTWGAAGXAQSAQ-CHWSQXEVSA-N 0 0 438.572 -0.866 20 0 IBADRN C[C@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409367 1072945945 /nfs/dbraw/zinc/94/59/45/1072945945.db2.gz ZWTWGAAGXAQSAQ-OLZOCXBDSA-N 0 0 438.572 -0.866 20 0 IBADRN C[C@@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409382 1072945859 /nfs/dbraw/zinc/94/58/59/1072945859.db2.gz ZWTWGAAGXAQSAQ-QWHCGFSZSA-N 0 0 438.572 -0.866 20 0 IBADRN C[C@@H](C(=O)NC(=O)NC(C)(C)C)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409384 1072945811 /nfs/dbraw/zinc/94/58/11/1072945811.db2.gz ZWTWGAAGXAQSAQ-STQMWFEESA-N 0 0 438.572 -0.866 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnn(-c3ccccc3)n2)CC1 ZINC000920409400 1072945864 /nfs/dbraw/zinc/94/58/64/1072945864.db2.gz COOGDQIBUJDSLU-KRWDZBQOSA-N 0 0 425.536 -0.098 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnn(-c3ccccc3)n2)CC1 ZINC000920409401 1072945883 /nfs/dbraw/zinc/94/58/83/1072945883.db2.gz COOGDQIBUJDSLU-QGZVFWFLSA-N 0 0 425.536 -0.098 20 0 IBADRN CCCCOCCNC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409569 1072945907 /nfs/dbraw/zinc/94/59/07/1072945907.db2.gz HHLGPXMVHCWHPQ-HNNXBMFYSA-N 0 0 425.573 -0.946 20 0 IBADRN CCCCOCCNC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409570 1072945951 /nfs/dbraw/zinc/94/59/51/1072945951.db2.gz HHLGPXMVHCWHPQ-OAHLLOKOSA-N 0 0 425.573 -0.946 20 0 IBADRN Cc1nn(C)c2ncc(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000920409573 1072945957 /nfs/dbraw/zinc/94/59/57/1072945957.db2.gz HOPMCYSFVZSTIQ-HNNXBMFYSA-N 0 0 427.552 -0.089 20 0 IBADRN Cc1nn(C)c2ncc(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC000920409574 1072945898 /nfs/dbraw/zinc/94/58/98/1072945898.db2.gz HOPMCYSFVZSTIQ-OAHLLOKOSA-N 0 0 427.552 -0.089 20 0 IBADRN COc1ccc(OC)c([C@H](O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409613 1072945830 /nfs/dbraw/zinc/94/58/30/1072945830.db2.gz IVJOLOMUVBIILI-DOTOQJQBSA-N 0 0 448.563 -0.128 20 0 IBADRN COc1ccc(OC)c([C@H](O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409617 1072945919 /nfs/dbraw/zinc/94/59/19/1072945919.db2.gz IVJOLOMUVBIILI-NVXWUHKLSA-N 0 0 448.563 -0.128 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409619 1072946328 /nfs/dbraw/zinc/94/63/28/1072946328.db2.gz IVJOLOMUVBIILI-RDJZCZTQSA-N 0 0 448.563 -0.128 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920409621 1072946286 /nfs/dbraw/zinc/94/62/86/1072946286.db2.gz IVJOLOMUVBIILI-WBVHZDCISA-N 0 0 448.563 -0.128 20 0 IBADRN CC(C)CCOCCNC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409702 1072946249 /nfs/dbraw/zinc/94/62/49/1072946249.db2.gz KTWIHZQGTMURLF-INIZCTEOSA-N 0 0 439.600 -0.700 20 0 IBADRN CC(C)CCOCCNC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409704 1072946322 /nfs/dbraw/zinc/94/63/22/1072946322.db2.gz KTWIHZQGTMURLF-MRXNPFEDSA-N 0 0 439.600 -0.700 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)Nc1nc2c(s1)CCC2 ZINC000920409875 1072946277 /nfs/dbraw/zinc/94/62/77/1072946277.db2.gz LMGPCDFLDOJVLE-GFCCVEGCSA-N 0 0 448.592 -0.295 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)Nc1nc2c(s1)CCC2 ZINC000920409876 1072946239 /nfs/dbraw/zinc/94/62/39/1072946239.db2.gz LMGPCDFLDOJVLE-LBPRGKRZSA-N 0 0 448.592 -0.295 20 0 IBADRN Cc1ccsc1CNC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920409882 1072946338 /nfs/dbraw/zinc/94/63/38/1072946338.db2.gz MFIXNYLHQADIQQ-AWEZNQCLSA-N 0 0 435.593 -0.193 20 0 IBADRN Cc1ccsc1CNC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920409883 1072946283 /nfs/dbraw/zinc/94/62/83/1072946283.db2.gz MFIXNYLHQADIQQ-CQSZACIVSA-N 0 0 435.593 -0.193 20 0 IBADRN Cc1ccc(C)n1-c1c(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cnn1C ZINC000920410136 1072946243 /nfs/dbraw/zinc/94/62/43/1072946243.db2.gz RJEKYMZVOBIDFY-CYBMUJFWSA-N 0 0 437.478 -0.003 20 0 IBADRN Cc1ccc(C)n1-c1c(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cnn1C ZINC000920410138 1072946280 /nfs/dbraw/zinc/94/62/80/1072946280.db2.gz RJEKYMZVOBIDFY-ZDUSSCGKSA-N 0 0 437.478 -0.003 20 0 IBADRN CCOC(=O)c1nc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000920410224 1072946274 /nfs/dbraw/zinc/94/62/74/1072946274.db2.gz QMUUTEGAIGVALC-CYBMUJFWSA-N 0 0 437.565 -0.046 20 0 IBADRN CCOC(=O)c1nc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC000920410228 1072946279 /nfs/dbraw/zinc/94/62/79/1072946279.db2.gz QMUUTEGAIGVALC-ZDUSSCGKSA-N 0 0 437.565 -0.046 20 0 IBADRN CC(C)N(CC(F)(F)F)C(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920410232 1072946304 /nfs/dbraw/zinc/94/63/04/1072946304.db2.gz QOUWGXTTXOAPNO-CYBMUJFWSA-N 0 0 449.517 -0.080 20 0 IBADRN CC(C)N(CC(F)(F)F)C(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920410233 1072946282 /nfs/dbraw/zinc/94/62/82/1072946282.db2.gz QOUWGXTTXOAPNO-ZDUSSCGKSA-N 0 0 449.517 -0.080 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(CCc2nnnn2-c2ccccc2)CC1 ZINC000920410249 1072946312 /nfs/dbraw/zinc/94/63/12/1072946312.db2.gz RRVLYIDTRWMXOI-INIZCTEOSA-N 0 0 440.551 -0.661 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(CCc2nnnn2-c2ccccc2)CC1 ZINC000920410250 1072946343 /nfs/dbraw/zinc/94/63/43/1072946343.db2.gz RRVLYIDTRWMXOI-MRXNPFEDSA-N 0 0 440.551 -0.661 20 0 IBADRN Cc1ccccc1OC[C@@H](O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920410257 1072946810 /nfs/dbraw/zinc/94/68/10/1072946810.db2.gz RYGZUIANGQUYFW-DLBZAZTESA-N 0 0 432.564 -0.131 20 0 IBADRN Cc1ccccc1OC[C@H](O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920410258 1072946733 /nfs/dbraw/zinc/94/67/33/1072946733.db2.gz RYGZUIANGQUYFW-IAGOWNOFSA-N 0 0 432.564 -0.131 20 0 IBADRN Cc1ccccc1OC[C@@H](O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920410259 1072946780 /nfs/dbraw/zinc/94/67/80/1072946780.db2.gz RYGZUIANGQUYFW-IRXDYDNUSA-N 0 0 432.564 -0.131 20 0 IBADRN Cc1ccccc1OC[C@H](O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920410260 1072946716 /nfs/dbraw/zinc/94/67/16/1072946716.db2.gz RYGZUIANGQUYFW-SJORKVTESA-N 0 0 432.564 -0.131 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCO[C@@H]2CCCC[C@H]21 ZINC000920410271 1072946741 /nfs/dbraw/zinc/94/67/41/1072946741.db2.gz SCBPIDIIATZYPB-BRWVUGGUSA-N 0 0 449.595 -0.709 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCO[C@H]2CCCC[C@@H]21 ZINC000920410274 1072946772 /nfs/dbraw/zinc/94/67/72/1072946772.db2.gz SCBPIDIIATZYPB-IKGGRYGDSA-N 0 0 449.595 -0.709 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000920410275 1072946766 /nfs/dbraw/zinc/94/67/66/1072946766.db2.gz SCBPIDIIATZYPB-IXDOHACOSA-N 0 0 449.595 -0.709 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)N1CCO[C@H]2CCCC[C@H]21 ZINC000920410277 1072946721 /nfs/dbraw/zinc/94/67/21/1072946721.db2.gz SCBPIDIIATZYPB-ZACQAIPSSA-N 0 0 449.595 -0.709 20 0 IBADRN C[C@H](c1nnnn1-c1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920410481 1072946702 /nfs/dbraw/zinc/94/67/02/1072946702.db2.gz UTRHPUXSYUOGCD-GDBMZVCRSA-N 0 0 440.551 -0.142 20 0 IBADRN C[C@@H](c1nnnn1-c1ccccc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920410484 1072946754 /nfs/dbraw/zinc/94/67/54/1072946754.db2.gz UTRHPUXSYUOGCD-GOEBONIOSA-N 0 0 440.551 -0.142 20 0 IBADRN C[C@@H](c1nnnn1-c1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920410486 1072946729 /nfs/dbraw/zinc/94/67/29/1072946729.db2.gz UTRHPUXSYUOGCD-HOCLYGCPSA-N 0 0 440.551 -0.142 20 0 IBADRN C[C@H](c1nnnn1-c1ccccc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920410488 1072946805 /nfs/dbraw/zinc/94/68/05/1072946805.db2.gz UTRHPUXSYUOGCD-ZBFHGGJFSA-N 0 0 440.551 -0.142 20 0 IBADRN C[C@H](C1CC1)N(C(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC000920410571 1072946706 /nfs/dbraw/zinc/94/67/06/1072946706.db2.gz YHEKQRGPAUBROG-PBHICJAKSA-N 0 0 433.596 -0.090 20 0 IBADRN C[C@H](C1CC1)N(C(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC000920410572 1072946745 /nfs/dbraw/zinc/94/67/45/1072946745.db2.gz YHEKQRGPAUBROG-RHSMWYFYSA-N 0 0 433.596 -0.090 20 0 IBADRN C[C@@H](C1CC1)N(C(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC000920410573 1072946725 /nfs/dbraw/zinc/94/67/25/1072946725.db2.gz YHEKQRGPAUBROG-WMLDXEAASA-N 0 0 433.596 -0.090 20 0 IBADRN C[C@@H](C1CC1)N(C(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC000920410574 1072946795 /nfs/dbraw/zinc/94/67/95/1072946795.db2.gz YHEKQRGPAUBROG-YOEHRIQHSA-N 0 0 433.596 -0.090 20 0 IBADRN COC(=O)c1cccc(OCCN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920410607 1072946800 /nfs/dbraw/zinc/94/68/00/1072946800.db2.gz ZPUUEOQIOFSKLX-KRWDZBQOSA-N 0 0 446.547 -0.014 20 0 IBADRN COC(=O)c1cccc(OCCN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920410608 1072946786 /nfs/dbraw/zinc/94/67/86/1072946786.db2.gz ZPUUEOQIOFSKLX-QGZVFWFLSA-N 0 0 446.547 -0.014 20 0 IBADRN O=C(COC(=O)CCCNC(=O)c1ccc(F)cc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000920411084 1072946709 /nfs/dbraw/zinc/94/67/09/1072946709.db2.gz KILPWMJBMJUZTQ-AWEZNQCLSA-N 0 0 443.453 -0.108 20 0 IBADRN O=C(COC(=O)CCCNC(=O)c1ccc(F)cc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000920411103 1072946759 /nfs/dbraw/zinc/94/67/59/1072946759.db2.gz KILPWMJBMJUZTQ-CQSZACIVSA-N 0 0 443.453 -0.108 20 0 IBADRN O=C(CNC(=O)c1ccsc1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000920412701 1072947287 /nfs/dbraw/zinc/94/72/87/1072947287.db2.gz BYFVWVYOGVZLFP-AWEZNQCLSA-N 0 0 429.520 -0.648 20 0 IBADRN O=C(CNC(=O)c1ccsc1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920412704 1072947296 /nfs/dbraw/zinc/94/72/96/1072947296.db2.gz BYFVWVYOGVZLFP-CQSZACIVSA-N 0 0 429.520 -0.648 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2ccc(Br)c(S(N)(=O)=O)c2)CC1 ZINC000920425609 1072947354 /nfs/dbraw/zinc/94/73/54/1072947354.db2.gz RNJWLCJTPGEOCA-UHFFFAOYSA-N 0 0 433.328 -0.058 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)ccc1Cl ZINC000920428449 1072947727 /nfs/dbraw/zinc/94/77/27/1072947727.db2.gz IHRJUHNTUBJVML-UHFFFAOYSA-N 0 0 430.914 -0.396 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC000920431146 1072948515 /nfs/dbraw/zinc/94/85/15/1072948515.db2.gz RYUBKZNOOLVYQC-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(C)CC(=O)N3CCOCC3)cc2S1(=O)=O ZINC000920432382 1072948308 /nfs/dbraw/zinc/94/83/08/1072948308.db2.gz WYOYUTKBYPIDSR-UHFFFAOYSA-N 0 0 425.463 -0.592 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2ccc(Br)c(S(N)(=O)=O)c2)CC1 ZINC000920433425 1072948382 /nfs/dbraw/zinc/94/83/82/1072948382.db2.gz DJSZKJQFVRAIFJ-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N2CCN(CC(=O)NCCOC)CC2)c1 ZINC000920434899 1072948484 /nfs/dbraw/zinc/94/84/84/1072948484.db2.gz AFAAXOPFZWDOAN-UHFFFAOYSA-N 0 0 430.502 -0.356 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C(=O)N3CCCC3)CC2)ccc1Cl ZINC000920437949 1072948524 /nfs/dbraw/zinc/94/85/24/1072948524.db2.gz HSDMREXRGFWROD-UHFFFAOYSA-N 0 0 428.898 -0.106 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000920438743 1072948449 /nfs/dbraw/zinc/94/84/49/1072948449.db2.gz ZNMZZHMTQXEHKL-LLVKDONJSA-N 0 0 426.451 -0.021 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC000920438750 1072948548 /nfs/dbraw/zinc/94/85/48/1072948548.db2.gz ZNMZZHMTQXEHKL-NSHDSACASA-N 0 0 426.451 -0.021 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCCN(CC(N)=O)CC2)c1 ZINC000920449688 1072947304 /nfs/dbraw/zinc/94/73/04/1072947304.db2.gz KABSSDXUJCIOTM-UHFFFAOYSA-N 0 0 432.930 -0.102 20 0 IBADRN NC(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000920450319 1072947323 /nfs/dbraw/zinc/94/73/23/1072947323.db2.gz WMJSYZQCAPZVKZ-UHFFFAOYSA-N 0 0 426.495 -0.854 20 0 IBADRN NC(=O)CN1CCCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC000920450347 1072947146 /nfs/dbraw/zinc/94/71/46/1072947146.db2.gz ABFRNMQLUUOAOJ-UHFFFAOYSA-N 0 0 433.534 -0.133 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCCN(CC(N)=O)CC2)C1 ZINC000920450428 1072947209 /nfs/dbraw/zinc/94/72/09/1072947209.db2.gz INQASMCKTFVEKC-HNNXBMFYSA-N 0 0 427.527 -0.288 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCCN(CC(N)=O)CC2)C1 ZINC000920450432 1072947836 /nfs/dbraw/zinc/94/78/36/1072947836.db2.gz INQASMCKTFVEKC-OAHLLOKOSA-N 0 0 427.527 -0.288 20 0 IBADRN NC(=O)CN1CCCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC000920450489 1072948002 /nfs/dbraw/zinc/94/80/02/1072948002.db2.gz MXZDINYQVRAEDI-UHFFFAOYSA-N 0 0 440.522 -0.464 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCCN(CC(N)=O)CC2)cc1OC ZINC000920450516 1072947876 /nfs/dbraw/zinc/94/78/76/1072947876.db2.gz PFUHUANZIOXLDW-UHFFFAOYSA-N 0 0 428.511 -0.608 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000920450989 1072947944 /nfs/dbraw/zinc/94/79/44/1072947944.db2.gz RWLQUBFFHPZKTI-AHKGRUIUSA-N 0 0 427.505 -0.191 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000920450992 1072947748 /nfs/dbraw/zinc/94/77/48/1072947748.db2.gz RWLQUBFFHPZKTI-KKMIYCERSA-N 0 0 427.505 -0.191 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC000920450995 1072947793 /nfs/dbraw/zinc/94/77/93/1072947793.db2.gz RWLQUBFFHPZKTI-OTIVFRFGSA-N 0 0 427.505 -0.191 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC000920450997 1072947681 /nfs/dbraw/zinc/94/76/81/1072947681.db2.gz RWLQUBFFHPZKTI-YOCVDRLZSA-N 0 0 427.505 -0.191 20 0 IBADRN COc1cccc(/C=C(\C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)n2nnnc2C)c1 ZINC000920463549 1072947652 /nfs/dbraw/zinc/94/76/52/1072947652.db2.gz RLDQSGSVTQMNJY-ADFUCPMPSA-N 0 0 448.505 -0.250 20 0 IBADRN COc1cccc(/C=C(/C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n2nnnc2C)c1 ZINC000920463551 1072947965 /nfs/dbraw/zinc/94/79/65/1072947965.db2.gz RLDQSGSVTQMNJY-DISPHTKASA-N 0 0 448.505 -0.250 20 0 IBADRN COc1cccc(/C=C(/C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)n2nnnc2C)c1 ZINC000920463553 1072947931 /nfs/dbraw/zinc/94/79/31/1072947931.db2.gz RLDQSGSVTQMNJY-HAKPAVFJSA-N 0 0 448.505 -0.250 20 0 IBADRN COc1cccc(/C=C(\C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n2nnnc2C)c1 ZINC000920463555 1072947827 /nfs/dbraw/zinc/94/78/27/1072947827.db2.gz RLDQSGSVTQMNJY-ZXMBATIKSA-N 0 0 448.505 -0.250 20 0 IBADRN Cc1nnnn1/C(=C\c1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000920463946 1072947627 /nfs/dbraw/zinc/94/76/27/1072947627.db2.gz XINCGLXOXIABQE-AVIKPIRVSA-N 0 0 436.469 -0.120 20 0 IBADRN Cc1nnnn1/C(=C\c1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000920463947 1072947779 /nfs/dbraw/zinc/94/77/79/1072947779.db2.gz XINCGLXOXIABQE-HVVQPJKBSA-N 0 0 436.469 -0.120 20 0 IBADRN Cc1nnnn1/C(=C/c1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000920463948 1072947712 /nfs/dbraw/zinc/94/77/12/1072947712.db2.gz XINCGLXOXIABQE-INQNZLQJSA-N 0 0 436.469 -0.120 20 0 IBADRN Cc1nnnn1/C(=C/c1ccc(F)cc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000920463949 1072947675 /nfs/dbraw/zinc/94/76/75/1072947675.db2.gz XINCGLXOXIABQE-WNLSXJKCSA-N 0 0 436.469 -0.120 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)CN3C(=O)c4ccccc4S3(=O)=O)CC2)CC1 ZINC000920464071 1072947973 /nfs/dbraw/zinc/94/79/73/1072947973.db2.gz IWOIVMHHTFMTLG-UHFFFAOYSA-N 0 0 434.518 -0.156 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000920466286 1072948942 /nfs/dbraw/zinc/94/89/42/1072948942.db2.gz HDLQUQXPJOPYRV-AWEZNQCLSA-N 0 0 446.485 -0.075 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000920466540 1072949045 /nfs/dbraw/zinc/94/90/45/1072949045.db2.gz HDLQUQXPJOPYRV-CQSZACIVSA-N 0 0 446.485 -0.075 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000920467273 1072948926 /nfs/dbraw/zinc/94/89/26/1072948926.db2.gz OVGZBIKWJQJICJ-HNNXBMFYSA-N 0 0 446.485 -0.075 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC000920467277 1072949053 /nfs/dbraw/zinc/94/90/53/1072949053.db2.gz OVGZBIKWJQJICJ-OAHLLOKOSA-N 0 0 446.485 -0.075 20 0 IBADRN CCn1cnnc1S(=O)(=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000920488818 1072948954 /nfs/dbraw/zinc/94/89/54/1072948954.db2.gz HVXYDYAXBAXLJO-UHFFFAOYSA-N 0 0 443.507 -0.269 20 0 IBADRN O=C(OCCNS(=O)(=O)c1ccccc1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000920491425 1072949059 /nfs/dbraw/zinc/94/90/59/1072949059.db2.gz BIWLNAQXLOSZNU-CYBMUJFWSA-N 0 0 443.503 -0.326 20 0 IBADRN O=C(OCCNS(=O)(=O)c1ccccc1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC000920491427 1072949034 /nfs/dbraw/zinc/94/90/34/1072949034.db2.gz BIWLNAQXLOSZNU-ZDUSSCGKSA-N 0 0 443.503 -0.326 20 0 IBADRN Cn1c2ncn(CC(=O)OCCCS(=O)(=O)c3ccc(F)cc3)c2c(=O)n(C)c1=O ZINC000920498469 1072948910 /nfs/dbraw/zinc/94/89/10/1072948910.db2.gz WSGZKQGZLPUSRZ-UHFFFAOYSA-N 0 0 438.437 -0.020 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)OCCCS(=O)(=O)c1ccc(F)cc1)c(=O)n2C ZINC000920511701 1072949004 /nfs/dbraw/zinc/94/90/04/1072949004.db2.gz WZZMKFXKWUAMLJ-UHFFFAOYSA-N 0 0 438.437 -0.020 20 0 IBADRN CN(C(=O)COC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C1CC1 ZINC000920513713 1072949497 /nfs/dbraw/zinc/94/94/97/1072949497.db2.gz MWZWGZBHGYYHNJ-HNNXBMFYSA-N 0 0 432.520 -0.068 20 0 IBADRN CN(C(=O)COC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C1CC1 ZINC000920513716 1072949479 /nfs/dbraw/zinc/94/94/79/1072949479.db2.gz MWZWGZBHGYYHNJ-OAHLLOKOSA-N 0 0 432.520 -0.068 20 0 IBADRN Cn1c2ncn(CCC(=O)OCCNS(=O)(=O)c3ccccc3)c2c(=O)n(C)c1=O ZINC000920516468 1072949370 /nfs/dbraw/zinc/94/93/70/1072949370.db2.gz NQRNJRRQIQCKOQ-UHFFFAOYSA-N 0 0 435.462 -0.655 20 0 IBADRN Cn1ncc2c1nc(COC(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)[nH]c2=O ZINC000920519427 1072949425 /nfs/dbraw/zinc/94/94/25/1072949425.db2.gz WJIBLGOTHVBMAZ-UHFFFAOYSA-N 0 0 446.445 -0.454 20 0 IBADRN O=C(OCCNS(=O)(=O)c1ccccc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000920521064 1072949388 /nfs/dbraw/zinc/94/93/88/1072949388.db2.gz DQZHTVSIBCWMDD-KBPBESRZSA-N 0 0 430.504 -0.456 20 0 IBADRN O=C(OCCNS(=O)(=O)c1ccccc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000920521070 1072949490 /nfs/dbraw/zinc/94/94/90/1072949490.db2.gz DQZHTVSIBCWMDD-KGLIPLIRSA-N 0 0 430.504 -0.456 20 0 IBADRN O=C(OCCNS(=O)(=O)c1ccccc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000920521072 1072949576 /nfs/dbraw/zinc/94/95/76/1072949576.db2.gz DQZHTVSIBCWMDD-UONOGXRCSA-N 0 0 430.504 -0.456 20 0 IBADRN O=C(OCCNS(=O)(=O)c1ccccc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000920521073 1072949583 /nfs/dbraw/zinc/94/95/83/1072949583.db2.gz DQZHTVSIBCWMDD-ZIAGYGMSSA-N 0 0 430.504 -0.456 20 0 IBADRN Cn1ncc2c1nc(COC(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)[nH]c2=O ZINC000920521719 1072949505 /nfs/dbraw/zinc/94/95/05/1072949505.db2.gz SWEJOKXUPXCQNA-UHFFFAOYSA-N 0 0 446.445 -0.454 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NN2C(=O)N[C@@](C)(CC)C2=O)cc1 ZINC000920523723 1072949560 /nfs/dbraw/zinc/94/95/60/1072949560.db2.gz FYKQKOOMBXFRMK-KRWDZBQOSA-N 0 0 426.451 -0.107 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NN2C(=O)N[C@](C)(CC)C2=O)cc1 ZINC000920523724 1072949458 /nfs/dbraw/zinc/94/94/58/1072949458.db2.gz FYKQKOOMBXFRMK-QGZVFWFLSA-N 0 0 426.451 -0.107 20 0 IBADRN CC[C@]1(C)NC(=O)N(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1=O ZINC000920524690 1072949441 /nfs/dbraw/zinc/94/94/41/1072949441.db2.gz XMCCILVQDVUSPE-KRWDZBQOSA-N 0 0 425.467 -0.582 20 0 IBADRN CC[C@@]1(C)NC(=O)N(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1=O ZINC000920524692 1072949579 /nfs/dbraw/zinc/94/95/79/1072949579.db2.gz XMCCILVQDVUSPE-QGZVFWFLSA-N 0 0 425.467 -0.582 20 0 IBADRN C[C@H](C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000920531648 1072949517 /nfs/dbraw/zinc/94/95/17/1072949517.db2.gz YYIOIXLLASQESE-SECBINFHSA-N 0 0 427.410 -0.606 20 0 IBADRN C[C@@H](C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000920531649 1072949568 /nfs/dbraw/zinc/94/95/68/1072949568.db2.gz YYIOIXLLASQESE-VIFPVBQESA-N 0 0 427.410 -0.606 20 0 IBADRN C[C@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000920532883 1072949527 /nfs/dbraw/zinc/94/95/27/1072949527.db2.gz IUPDFJLVVWFLTR-GFCCVEGCSA-N 0 0 438.499 -0.071 20 0 IBADRN C[C@@H](C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000920532900 1072949540 /nfs/dbraw/zinc/94/95/40/1072949540.db2.gz IUPDFJLVVWFLTR-LBPRGKRZSA-N 0 0 438.499 -0.071 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000920532912 1072949349 /nfs/dbraw/zinc/94/93/49/1072949349.db2.gz PYGGGMWIIINQTG-AWEZNQCLSA-N 0 0 443.497 -0.237 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC000920532920 1072949989 /nfs/dbraw/zinc/94/99/89/1072949989.db2.gz PYGGGMWIIINQTG-CQSZACIVSA-N 0 0 443.497 -0.237 20 0 IBADRN CC(=O)NC1(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CCCCC1 ZINC000920534592 1072949078 /nfs/dbraw/zinc/94/90/78/1072949078.db2.gz QNAVZFOVKDITAR-INIZCTEOSA-N 0 0 429.539 -0.300 20 0 IBADRN CC(=O)NC1(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CCCCC1 ZINC000920534593 1072948971 /nfs/dbraw/zinc/94/89/71/1072948971.db2.gz QNAVZFOVKDITAR-MRXNPFEDSA-N 0 0 429.539 -0.300 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@H](CO)NC(=O)c1ccccc1)c(=O)n2C ZINC000920538593 1072949016 /nfs/dbraw/zinc/94/90/16/1072949016.db2.gz KZCYDSDMWKZSNE-AWEZNQCLSA-N 0 0 429.433 -0.842 20 0 IBADRN Cn1cnc2c1c(=O)n(CCCOC(=O)[C@@H](CO)NC(=O)c1ccccc1)c(=O)n2C ZINC000920538597 1072949027 /nfs/dbraw/zinc/94/90/27/1072949027.db2.gz KZCYDSDMWKZSNE-CQSZACIVSA-N 0 0 429.433 -0.842 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@H](CO)NC(=O)c2ccccc2)CC1 ZINC000920538947 1072949062 /nfs/dbraw/zinc/94/90/62/1072949062.db2.gz OVAMRTRJQMYRGX-KRWDZBQOSA-N 0 0 448.520 -0.621 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@H](CO)NC(=O)c2ccccc2)CC1 ZINC000920538959 1072949070 /nfs/dbraw/zinc/94/90/70/1072949070.db2.gz OVAMRTRJQMYRGX-QGZVFWFLSA-N 0 0 448.520 -0.621 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@H](CO)NC(=O)c2ccccc2)cc1 ZINC000920540154 1072949912 /nfs/dbraw/zinc/94/99/12/1072949912.db2.gz ZMBXHZGLGZSVDC-INIZCTEOSA-N 0 0 445.457 -0.100 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)[C@@H](CO)NC(=O)c2ccccc2)cc1 ZINC000920540156 1072950028 /nfs/dbraw/zinc/95/00/28/1072950028.db2.gz ZMBXHZGLGZSVDC-MRXNPFEDSA-N 0 0 445.457 -0.100 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN(C)C(=O)[C@@H](C)n2cccn2)c(=O)n(C)c1=O ZINC000920542234 1072949902 /nfs/dbraw/zinc/94/99/02/1072949902.db2.gz SYWCUMMCMBNJQT-CYBMUJFWSA-N 0 0 448.480 -0.573 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)CN(C)C(=O)[C@H](C)n2cccn2)c(=O)n(C)c1=O ZINC000920542235 1072949970 /nfs/dbraw/zinc/94/99/70/1072949970.db2.gz SYWCUMMCMBNJQT-ZDUSSCGKSA-N 0 0 448.480 -0.573 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21)n1cccn1 ZINC000920542290 1072949891 /nfs/dbraw/zinc/94/98/91/1072949891.db2.gz BDMHSNIFXGWEKR-CYBMUJFWSA-N 0 0 449.489 -0.318 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)OCC(=O)N1CCc2cc(S(N)(=O)=O)ccc21)n1cccn1 ZINC000920542291 1072949919 /nfs/dbraw/zinc/94/99/19/1072949919.db2.gz BDMHSNIFXGWEKR-ZDUSSCGKSA-N 0 0 449.489 -0.318 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)O[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1)n1cccn1 ZINC000920542649 1072949881 /nfs/dbraw/zinc/94/98/81/1072949881.db2.gz GLMIBYXYGSNGAW-CVEARBPZSA-N 0 0 429.481 -0.027 20 0 IBADRN C[C@H](OC(=O)CN(C)C(=O)[C@H](C)n1cccn1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000920542653 1072949870 /nfs/dbraw/zinc/94/98/70/1072949870.db2.gz GLMIBYXYGSNGAW-HOTGVXAUSA-N 0 0 429.481 -0.027 20 0 IBADRN C[C@@H](OC(=O)CN(C)C(=O)[C@@H](C)n1cccn1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000920542655 1072950015 /nfs/dbraw/zinc/95/00/15/1072950015.db2.gz GLMIBYXYGSNGAW-HZPDHXFCSA-N 0 0 429.481 -0.027 20 0 IBADRN C[C@@H](OC(=O)CN(C)C(=O)[C@H](C)n1cccn1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000920542657 1072950077 /nfs/dbraw/zinc/95/00/77/1072950077.db2.gz GLMIBYXYGSNGAW-JKSUJKDBSA-N 0 0 429.481 -0.027 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O)n1cccn1 ZINC000920544414 1072950049 /nfs/dbraw/zinc/95/00/49/1072950049.db2.gz XGDLJEHHQRXLMC-DMAKZNTKSA-N 0 0 448.480 -0.022 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)OCC(=O)NN1C(=O)NC2(CCC(C)CC2)C1=O)n1cccn1 ZINC000920544417 1072950034 /nfs/dbraw/zinc/95/00/34/1072950034.db2.gz XGDLJEHHQRXLMC-LQZXRHCMSA-N 0 0 448.480 -0.022 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN(C)C(=O)[C@@H](C)n1cccn1)[C@H]1CCS(=O)(=O)C1 ZINC000920544834 1072950023 /nfs/dbraw/zinc/95/00/23/1072950023.db2.gz YWKAPHXTRMVTJW-ILXRZTDVSA-N 0 0 428.511 -0.130 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN(C)C(=O)[C@H](C)n1cccn1)[C@H]1CCS(=O)(=O)C1 ZINC000920544835 1072950069 /nfs/dbraw/zinc/95/00/69/1072950069.db2.gz YWKAPHXTRMVTJW-KKUMJFAQSA-N 0 0 428.511 -0.130 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN(C)C(=O)[C@@H](C)n1cccn1)[C@@H]1CCS(=O)(=O)C1 ZINC000920544836 1072949932 /nfs/dbraw/zinc/94/99/32/1072949932.db2.gz YWKAPHXTRMVTJW-QLFBSQMISA-N 0 0 428.511 -0.130 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CN(C)C(=O)[C@H](C)n1cccn1)[C@@H]1CCS(=O)(=O)C1 ZINC000920544837 1072949996 /nfs/dbraw/zinc/94/99/96/1072949996.db2.gz YWKAPHXTRMVTJW-SOUVJXGZSA-N 0 0 428.511 -0.130 20 0 IBADRN CC(C)[C@H](OC(=O)CN(C)C(=O)[C@H](C)n1cccn1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000920548567 1072950059 /nfs/dbraw/zinc/95/00/59/1072950059.db2.gz MQNNITIYPAWWBC-DZKIICNBSA-N 0 0 428.511 -0.226 20 0 IBADRN CC(C)[C@H](OC(=O)CN(C)C(=O)[C@@H](C)n1cccn1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000920548574 1072950570 /nfs/dbraw/zinc/95/05/70/1072950570.db2.gz MQNNITIYPAWWBC-FMKPAKJESA-N 0 0 428.511 -0.226 20 0 IBADRN CC(C)[C@H](OC(=O)CN(C)C(=O)[C@H](C)n1cccn1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000920548577 1072950561 /nfs/dbraw/zinc/95/05/61/1072950561.db2.gz MQNNITIYPAWWBC-LZWOXQAQSA-N 0 0 428.511 -0.226 20 0 IBADRN CC(C)[C@H](OC(=O)CN(C)C(=O)[C@@H](C)n1cccn1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000920548578 1072950389 /nfs/dbraw/zinc/95/03/89/1072950389.db2.gz MQNNITIYPAWWBC-YCPHGPKFSA-N 0 0 428.511 -0.226 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)n1cccn1 ZINC000920548698 1072950483 /nfs/dbraw/zinc/95/04/83/1072950483.db2.gz OBUDLRIMECMLJK-CYBMUJFWSA-N 0 0 431.453 -0.717 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)OCCCn1c(=O)c2c(ncn2C)n(C)c1=O)n1cccn1 ZINC000920548701 1072950449 /nfs/dbraw/zinc/95/04/49/1072950449.db2.gz OBUDLRIMECMLJK-ZDUSSCGKSA-N 0 0 431.453 -0.717 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCN(c3ccc(F)c(F)c3)C2=O)c(=O)n(C)c1=O ZINC000920567266 1072950597 /nfs/dbraw/zinc/95/05/97/1072950597.db2.gz NIQBEJSHXSIWAA-JTQLQIEISA-N 0 0 436.371 -0.277 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CCN(c3ccc(F)cc3)C2=O)CC1 ZINC000920571025 1072949978 /nfs/dbraw/zinc/94/99/78/1072949978.db2.gz PBTPYBCJPVMMNU-KRWDZBQOSA-N 0 0 434.468 -0.046 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CCN(c3ccc(F)cc3)C2=O)CC1 ZINC000920571029 1072949947 /nfs/dbraw/zinc/94/99/47/1072949947.db2.gz PBTPYBCJPVMMNU-QGZVFWFLSA-N 0 0 434.468 -0.046 20 0 IBADRN CC(C)[C@@H](C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)n1nnc(-c2ccccc2)n1 ZINC000920583500 1072950432 /nfs/dbraw/zinc/95/04/32/1072950432.db2.gz FFLIIRGABFXTGM-HNNXBMFYSA-N 0 0 441.448 -0.057 20 0 IBADRN CC(C)[C@H](C(=O)OCC(=O)c1c(N)n(C)c(=O)n(C)c1=O)n1nnc(-c2ccccc2)n1 ZINC000920583502 1072950542 /nfs/dbraw/zinc/95/05/42/1072950542.db2.gz FFLIIRGABFXTGM-OAHLLOKOSA-N 0 0 441.448 -0.057 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CC(=O)N(c3cc(F)cc(F)c3)C2)c(=O)n(C)c1=O ZINC000920587565 1072950409 /nfs/dbraw/zinc/95/04/09/1072950409.db2.gz KBLHUNSDRZEKKK-VIFPVBQESA-N 0 0 436.371 -0.277 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC000920591720 1072950551 /nfs/dbraw/zinc/95/05/51/1072950551.db2.gz HTDBCTAPYGOXAT-UHFFFAOYSA-N 0 0 444.531 -0.404 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC000920592236 1072950488 /nfs/dbraw/zinc/95/04/88/1072950488.db2.gz LKGQQTDJYTZLJB-UHFFFAOYSA-N 0 0 444.940 -0.178 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000920592359 1072950529 /nfs/dbraw/zinc/95/05/29/1072950529.db2.gz AIHKSBOGOPFZMX-UHFFFAOYSA-N 0 0 434.492 -0.376 20 0 IBADRN CCn1c(=O)c(C(=O)COC(=O)CS(=O)(=O)CC)c(N)n(Cc2ccccc2)c1=O ZINC000920592413 1072950400 /nfs/dbraw/zinc/95/04/00/1072950400.db2.gz CBVAMTOTSIGEMX-UHFFFAOYSA-N 0 0 437.474 -0.179 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)Nc1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC000920592524 1072950463 /nfs/dbraw/zinc/95/04/63/1072950463.db2.gz HHGHHGATIBKWAZ-UHFFFAOYSA-N 0 0 448.519 -0.068 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)COC(=O)CS(C)(=O)=O)CC1 ZINC000920592788 1072950507 /nfs/dbraw/zinc/95/05/07/1072950507.db2.gz PYLRAWYZIQDXKU-UHFFFAOYSA-N 0 0 425.507 -0.026 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC000920593087 1072950498 /nfs/dbraw/zinc/95/04/98/1072950498.db2.gz RLRRWJQEFSEYMY-UHFFFAOYSA-N 0 0 448.519 -0.698 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CS(C)(=O)=O)CC2)c1 ZINC000920593143 1072950585 /nfs/dbraw/zinc/95/05/85/1072950585.db2.gz QGWQALCWMLWLSY-UHFFFAOYSA-N 0 0 446.503 -0.690 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)CS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000920593165 1072950998 /nfs/dbraw/zinc/95/09/98/1072950998.db2.gz CGHBAXRXIFERHA-UHFFFAOYSA-N 0 0 434.492 -0.458 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000920593187 1072951058 /nfs/dbraw/zinc/95/10/58/1072951058.db2.gz URLVSKIWSCTALF-UHFFFAOYSA-N 0 0 434.492 -0.376 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)COC(=O)CS(C)(=O)=O)CC1 ZINC000920593513 1072950422 /nfs/dbraw/zinc/95/04/22/1072950422.db2.gz LXCQDJPJRYKVIC-UHFFFAOYSA-N 0 0 425.507 -0.081 20 0 IBADRN C[C@@H](OC(=O)CS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000920593528 1072951017 /nfs/dbraw/zinc/95/10/17/1072951017.db2.gz MJKPFHKBZDJYEU-GFCCVEGCSA-N 0 0 434.492 -0.378 20 0 IBADRN C[C@H](OC(=O)CS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000920593529 1072951010 /nfs/dbraw/zinc/95/10/10/1072951010.db2.gz MJKPFHKBZDJYEU-LBPRGKRZSA-N 0 0 434.492 -0.378 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC000920593621 1072951091 /nfs/dbraw/zinc/95/10/91/1072951091.db2.gz TXCCPHXHZXPROC-UHFFFAOYSA-N 0 0 440.446 -0.615 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CS(C)(=O)=O)CC2)cc1C ZINC000920593633 1072951069 /nfs/dbraw/zinc/95/10/69/1072951069.db2.gz FHYUNJZTSTVCGM-UHFFFAOYSA-N 0 0 432.520 -0.276 20 0 IBADRN C[C@@H](OC(=O)CS(C)(=O)=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000920593686 1072950974 /nfs/dbraw/zinc/95/09/74/1072950974.db2.gz GJEJKMJRGKKSQF-GFCCVEGCSA-N 0 0 434.492 -0.378 20 0 IBADRN C[C@H](OC(=O)CS(C)(=O)=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000920593687 1072950874 /nfs/dbraw/zinc/95/08/74/1072950874.db2.gz GJEJKMJRGKKSQF-LBPRGKRZSA-N 0 0 434.492 -0.378 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000920593765 1072950968 /nfs/dbraw/zinc/95/09/68/1072950968.db2.gz HULWFJPAYDYRBD-GHXNOFRVSA-N 0 0 430.504 -0.281 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000920593767 1072950921 /nfs/dbraw/zinc/95/09/21/1072950921.db2.gz HULWFJPAYDYRBD-KPKJPENVSA-N 0 0 430.504 -0.281 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CS(C)(=O)=O)CC2)cc1 ZINC000920593802 1072951025 /nfs/dbraw/zinc/95/10/25/1072951025.db2.gz WVUPNLNIZZLJEM-UHFFFAOYSA-N 0 0 446.503 -0.690 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)OC(=O)CS(C)(=O)=O)CC2)cc1 ZINC000920594163 1072951103 /nfs/dbraw/zinc/95/11/03/1072951103.db2.gz ZMLOHZMHRFLDMP-AWEZNQCLSA-N 0 0 432.520 -0.196 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)OC(=O)CS(C)(=O)=O)CC2)cc1 ZINC000920594166 1072950946 /nfs/dbraw/zinc/95/09/46/1072950946.db2.gz ZMLOHZMHRFLDMP-CQSZACIVSA-N 0 0 432.520 -0.196 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(OC)cc2)CC1 ZINC000920594767 1072950938 /nfs/dbraw/zinc/95/09/38/1072950938.db2.gz OHOOTWJKCNYBQN-UHFFFAOYSA-N 0 0 448.519 -0.494 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000920594799 1072950982 /nfs/dbraw/zinc/95/09/82/1072950982.db2.gz PHNLEPBWTMMONY-KRWDZBQOSA-N 0 0 427.435 -0.529 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000920594803 1072950988 /nfs/dbraw/zinc/95/09/88/1072950988.db2.gz PHNLEPBWTMMONY-QGZVFWFLSA-N 0 0 427.435 -0.529 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000920594899 1072950912 /nfs/dbraw/zinc/95/09/12/1072950912.db2.gz RTMJHSZNUAEMFM-UHFFFAOYSA-N 0 0 429.470 -0.114 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CS(C)(=O)=O)CC2)cc1 ZINC000920594936 1072950964 /nfs/dbraw/zinc/95/09/64/1072950964.db2.gz XHZHUGNEQUWTPA-UHFFFAOYSA-N 0 0 434.492 -0.884 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)NCCN1C(=O)S/C(=C\c2cccnc2)C1=O ZINC000920594944 1072951562 /nfs/dbraw/zinc/95/15/62/1072951562.db2.gz PSVPHDOVXOJXCR-GHXNOFRVSA-N 0 0 427.460 -0.178 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)NCCN1C(=O)S/C(=C/c2cccnc2)C1=O ZINC000920594945 1072951418 /nfs/dbraw/zinc/95/14/18/1072951418.db2.gz PSVPHDOVXOJXCR-KPKJPENVSA-N 0 0 427.460 -0.178 20 0 IBADRN CS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000920594968 1072951553 /nfs/dbraw/zinc/95/15/53/1072951553.db2.gz QGVYHMBMOJIWMN-UHFFFAOYSA-N 0 0 438.911 -0.239 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CS(C)(=O)=O)CC2)cc1 ZINC000920595382 1072951614 /nfs/dbraw/zinc/95/16/14/1072951614.db2.gz QWEXDHCTSFKKML-UHFFFAOYSA-N 0 0 448.519 -0.494 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)OC(=O)CS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000920595392 1072951445 /nfs/dbraw/zinc/95/14/45/1072951445.db2.gz QYHZTTITBQUWCC-CYBMUJFWSA-N 0 0 448.519 -0.069 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)OC(=O)CS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC000920595396 1072951471 /nfs/dbraw/zinc/95/14/71/1072951471.db2.gz QYHZTTITBQUWCC-ZDUSSCGKSA-N 0 0 448.519 -0.069 20 0 IBADRN CC(C)CN(C(=O)COC(=O)CS(C)(=O)=O)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC000920595736 1072951618 /nfs/dbraw/zinc/95/16/18/1072951618.db2.gz MZYZCQBVCZKOGN-UHFFFAOYSA-N 0 0 432.499 -0.236 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC000920596326 1072951606 /nfs/dbraw/zinc/95/16/06/1072951606.db2.gz LSXCOZUTHPTIMS-UHFFFAOYSA-N 0 0 432.520 -0.362 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000920596380 1072951424 /nfs/dbraw/zinc/95/14/24/1072951424.db2.gz QTDSZTILFRDTHM-UHFFFAOYSA-N 0 0 448.519 -0.698 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC000920596520 1072951476 /nfs/dbraw/zinc/95/14/76/1072951476.db2.gz HEWUHTPDSNKWJG-UHFFFAOYSA-N 0 0 436.483 -0.364 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC000920596887 1072951485 /nfs/dbraw/zinc/95/14/85/1072951485.db2.gz SQLLZGCELOJENV-UHFFFAOYSA-N 0 0 436.483 -0.364 20 0 IBADRN CCS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC000920596914 1072951434 /nfs/dbraw/zinc/95/14/34/1072951434.db2.gz VKQWTHRQZZCBRX-UHFFFAOYSA-N 0 0 436.483 -0.364 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)ccc1N1CCNC1=O ZINC000920597458 1072951504 /nfs/dbraw/zinc/95/15/04/1072951504.db2.gz XAFOEWDLDYYSHN-UHFFFAOYSA-N 0 0 431.493 -0.086 20 0 IBADRN NC(=O)NC1CCC(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920600575 1072951541 /nfs/dbraw/zinc/95/15/41/1072951541.db2.gz LIZCQGIVGIGMQW-CKUJCDMFSA-N 0 0 445.538 -0.049 20 0 IBADRN NC(=O)NC1CCC(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920600576 1072951442 /nfs/dbraw/zinc/95/14/42/1072951442.db2.gz LIZCQGIVGIGMQW-FJBKBRRZSA-N 0 0 445.538 -0.049 20 0 IBADRN NC(=O)NC1CCC(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920600577 1072951531 /nfs/dbraw/zinc/95/15/31/1072951531.db2.gz LIZCQGIVGIGMQW-QDIHITRGSA-N 0 0 445.538 -0.049 20 0 IBADRN NC(=O)NC1CCC(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000920600578 1072951427 /nfs/dbraw/zinc/95/14/27/1072951427.db2.gz LIZCQGIVGIGMQW-SSHXOBKSSA-N 0 0 445.538 -0.049 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)C2CCC(NC(N)=O)CC2)CC1 ZINC000920601213 1072951518 /nfs/dbraw/zinc/95/15/18/1072951518.db2.gz WTIXELZHZNCJQE-UHFFFAOYSA-N 0 0 425.530 -0.184 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C2CCC(NC(N)=O)CC2)CC1 ZINC000920601712 1072951577 /nfs/dbraw/zinc/95/15/77/1072951577.db2.gz BYCYCZKUVBFVQA-UHFFFAOYSA-N 0 0 444.496 -0.218 20 0 IBADRN COc1ccc(C(=O)NC(=O)COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC000920604286 1072951886 /nfs/dbraw/zinc/95/18/86/1072951886.db2.gz FEAPYWQRSUSENI-UHFFFAOYSA-N 0 0 428.401 -0.302 20 0 IBADRN C[C@@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)Nc1sccc1C(N)=O ZINC000920604493 1072951898 /nfs/dbraw/zinc/95/18/98/1072951898.db2.gz JPNGZWRLVZFGKC-MRVPVSSYSA-N 0 0 433.446 -0.080 20 0 IBADRN C[C@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)Nc1sccc1C(N)=O ZINC000920604495 1072951893 /nfs/dbraw/zinc/95/18/93/1072951893.db2.gz JPNGZWRLVZFGKC-QMMMGPOBSA-N 0 0 433.446 -0.080 20 0 IBADRN Cn1c(C(=O)OCC(=O)NC(=O)NCc2cccs2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920604579 1072952002 /nfs/dbraw/zinc/95/20/02/1072952002.db2.gz IKZJVJYRAVRBCP-UHFFFAOYSA-N 0 0 433.446 -0.180 20 0 IBADRN Cn1c(C(=O)OCc2nnc3n2c2ccccc2c(=O)n3C)cc2c1n(C)c(=O)n(C)c2=O ZINC000920604688 1072952060 /nfs/dbraw/zinc/95/20/60/1072952060.db2.gz BTEJJZYQAIAJRQ-UHFFFAOYSA-N 0 0 449.427 -0.173 20 0 IBADRN Cn1c(C(=O)OCC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920604773 1072952014 /nfs/dbraw/zinc/95/20/14/1072952014.db2.gz IYERJKRHRUPEJF-UHFFFAOYSA-N 0 0 426.389 -0.047 20 0 IBADRN Cn1c(C(=O)OCC(=O)c2ccc3c(c2)NC(=O)CO3)cc2c1n(C)c(=O)n(C)c2=O ZINC000920604916 1072951990 /nfs/dbraw/zinc/95/19/90/1072951990.db2.gz KMIODNBIOCUJDG-UHFFFAOYSA-N 0 0 426.385 -0.054 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920605232 1072952555 /nfs/dbraw/zinc/95/25/55/1072952555.db2.gz GVAXAISYAUQKRM-UHFFFAOYSA-N 0 0 435.437 -0.967 20 0 IBADRN Cn1c(C(=O)OCC(=O)Nc2ccc(S(C)(=O)=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920605302 1072952699 /nfs/dbraw/zinc/95/26/99/1072952699.db2.gz ICYLXMRPKNUKNU-UHFFFAOYSA-N 0 0 448.457 -0.225 20 0 IBADRN CCOC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000920605309 1072952541 /nfs/dbraw/zinc/95/25/41/1072952541.db2.gz IDZVVJPMRXJKCI-CYBMUJFWSA-N 0 0 434.449 -0.323 20 0 IBADRN CCOC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O ZINC000920605312 1072952491 /nfs/dbraw/zinc/95/24/91/1072952491.db2.gz IDZVVJPMRXJKCI-ZDUSSCGKSA-N 0 0 434.449 -0.323 20 0 IBADRN Cn1c(C(=O)OCC(=O)NC(=O)NCc2ccccc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920605334 1072952623 /nfs/dbraw/zinc/95/26/23/1072952623.db2.gz IMEHHUQORQUUHV-UHFFFAOYSA-N 0 0 427.417 -0.242 20 0 IBADRN Cn1c(C(=O)OCC(=O)NCc2ccc3c(c2)OCO3)cc2c1n(C)c(=O)n(C)c2=O ZINC000920605534 1072952662 /nfs/dbraw/zinc/95/26/62/1072952662.db2.gz RGGOJGQXLZGMEP-UHFFFAOYSA-N 0 0 428.401 -0.222 20 0 IBADRN Cn1c(C(=O)OCCOc2ccc(S(N)(=O)=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920605604 1072952677 /nfs/dbraw/zinc/95/26/77/1072952677.db2.gz JNXUGFXBFOQVLD-UHFFFAOYSA-N 0 0 436.446 -0.541 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920605645 1072953070 /nfs/dbraw/zinc/95/30/70/1072953070.db2.gz KZHBCPVKTOABMR-GFCCVEGCSA-N 0 0 448.476 -0.077 20 0 IBADRN CCOC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920605646 1072953225 /nfs/dbraw/zinc/95/32/25/1072953225.db2.gz KZHBCPVKTOABMR-LBPRGKRZSA-N 0 0 448.476 -0.077 20 0 IBADRN Cn1c(C(=O)OCC(=O)Nc2ccc(S(N)(=O)=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920605661 1072953203 /nfs/dbraw/zinc/95/32/03/1072953203.db2.gz LNKUOFUEMFLRNZ-UHFFFAOYSA-N 0 0 449.445 -0.981 20 0 IBADRN Cn1c(C(=O)OCC(=O)Nc2cccc(S(N)(=O)=O)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920605751 1072953182 /nfs/dbraw/zinc/95/31/82/1072953182.db2.gz UQIKYCVRCYVIGV-UHFFFAOYSA-N 0 0 449.445 -0.981 20 0 IBADRN Cn1c(C(=O)OCC(=O)NC[C@@H]2COc3ccccc3O2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920605761 1072953080 /nfs/dbraw/zinc/95/30/80/1072953080.db2.gz UUCHCVUVCAFGGL-GFCCVEGCSA-N 0 0 442.428 -0.311 20 0 IBADRN Cn1c(C(=O)OCC(=O)NC[C@H]2COc3ccccc3O2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920605765 1072953189 /nfs/dbraw/zinc/95/31/89/1072953189.db2.gz UUCHCVUVCAFGGL-LBPRGKRZSA-N 0 0 442.428 -0.311 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1 ZINC000920605794 1072953154 /nfs/dbraw/zinc/95/31/54/1072953154.db2.gz VEBZJZMKKKQKRV-CHWSQXEVSA-N 0 0 448.476 -0.077 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1 ZINC000920605798 1072953085 /nfs/dbraw/zinc/95/30/85/1072953085.db2.gz VEBZJZMKKKQKRV-OLZOCXBDSA-N 0 0 448.476 -0.077 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1 ZINC000920605801 1072953093 /nfs/dbraw/zinc/95/30/93/1072953093.db2.gz VEBZJZMKKKQKRV-QWHCGFSZSA-N 0 0 448.476 -0.077 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1 ZINC000920605805 1072953115 /nfs/dbraw/zinc/95/31/15/1072953115.db2.gz VEBZJZMKKKQKRV-STQMWFEESA-N 0 0 448.476 -0.077 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1 ZINC000920605962 1072953238 /nfs/dbraw/zinc/95/32/38/1072953238.db2.gz WKEHUSDFLGCXEC-GFCCVEGCSA-N 0 0 434.449 -0.466 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C1 ZINC000920605964 1072953123 /nfs/dbraw/zinc/95/31/23/1072953123.db2.gz WKEHUSDFLGCXEC-LBPRGKRZSA-N 0 0 434.449 -0.466 20 0 IBADRN C[C@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000920606022 1072953231 /nfs/dbraw/zinc/95/32/31/1072953231.db2.gz NYNVWIJQKHNQAH-JTQLQIEISA-N 0 0 427.417 -0.141 20 0 IBADRN C[C@@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000920606023 1072953214 /nfs/dbraw/zinc/95/32/14/1072953214.db2.gz NYNVWIJQKHNQAH-SNVBAGLBSA-N 0 0 427.417 -0.141 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920606029 1072953163 /nfs/dbraw/zinc/95/31/63/1072953163.db2.gz OBKROROOPXZWDN-UHFFFAOYSA-N 0 0 434.449 -0.466 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)NC(=O)N[C@@H]1C ZINC000920606067 1072953147 /nfs/dbraw/zinc/95/31/47/1072953147.db2.gz PJNLLSNJKLCURG-SECBINFHSA-N 0 0 433.421 -0.749 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)NC(=O)N[C@H]1C ZINC000920606068 1072953172 /nfs/dbraw/zinc/95/31/72/1072953172.db2.gz PJNLLSNJKLCURG-VIFPVBQESA-N 0 0 433.421 -0.749 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920606384 1072953198 /nfs/dbraw/zinc/95/31/98/1072953198.db2.gz ZWGXMQSSGZILEF-GFCCVEGCSA-N 0 0 449.464 -0.578 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920606387 1072953136 /nfs/dbraw/zinc/95/31/36/1072953136.db2.gz ZWGXMQSSGZILEF-LBPRGKRZSA-N 0 0 449.464 -0.578 20 0 IBADRN Cn1c(C(=O)OCC(=O)NCCCN2CCCCCC2=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000920606426 1072953100 /nfs/dbraw/zinc/95/31/00/1072953100.db2.gz AUDGIAUVQVSCEN-UHFFFAOYSA-N 0 0 447.492 -0.359 20 0 IBADRN Cn1c(C(=O)OCC(=O)Nc2cccc(S(C)(=O)=O)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920606494 1072953534 /nfs/dbraw/zinc/95/35/34/1072953534.db2.gz QNSABGHNXSQKPS-UHFFFAOYSA-N 0 0 448.457 -0.225 20 0 IBADRN Cn1c(C(=O)OCC(=O)N2CC(=O)Nc3ccccc32)cc2c1n(C)c(=O)n(C)c2=O ZINC000920606632 1072953605 /nfs/dbraw/zinc/95/36/05/1072953605.db2.gz TXLQXHJROZBPQY-UHFFFAOYSA-N 0 0 425.401 -0.282 20 0 IBADRN C[C@@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)Nc1ncnc2[nH]cnc21 ZINC000920606960 1072953584 /nfs/dbraw/zinc/95/35/84/1072953584.db2.gz VGKXKRKBFGULOD-MRVPVSSYSA-N 0 0 426.393 -0.574 20 0 IBADRN C[C@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)Nc1ncnc2[nH]cnc21 ZINC000920606968 1072953688 /nfs/dbraw/zinc/95/36/88/1072953688.db2.gz VGKXKRKBFGULOD-QMMMGPOBSA-N 0 0 426.393 -0.574 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920606980 1072953645 /nfs/dbraw/zinc/95/36/45/1072953645.db2.gz VMWHALREPSVMKL-UHFFFAOYSA-N 0 0 449.464 -0.530 20 0 IBADRN Cn1c(C(=O)OCCN2C(=O)c3ccccc3S2(=O)=O)cc2c1n(C)c(=O)n(C)c2=O ZINC000920607198 1072953575 /nfs/dbraw/zinc/95/35/75/1072953575.db2.gz ZNFVARVAYKZDKA-UHFFFAOYSA-N 0 0 446.441 -0.423 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)N1CCCCC1 ZINC000920607211 1072953594 /nfs/dbraw/zinc/95/35/94/1072953594.db2.gz HHNMSIPZTMHOBS-GFCCVEGCSA-N 0 0 433.465 -0.750 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)N1CCCCC1 ZINC000920607270 1072953613 /nfs/dbraw/zinc/95/36/13/1072953613.db2.gz HHNMSIPZTMHOBS-LBPRGKRZSA-N 0 0 433.465 -0.750 20 0 IBADRN Cn1c(C(=O)OCC(=O)c2ccc(S(N)(=O)=O)cc2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920607321 1072953552 /nfs/dbraw/zinc/95/35/52/1072953552.db2.gz IFFFAHSIRGWGGM-UHFFFAOYSA-N 0 0 434.430 -0.737 20 0 IBADRN Cn1c(C(=O)OCC(=O)NCCN2CCc3ccccc32)cc2c1n(C)c(=O)n(C)c2=O ZINC000920607728 1072953567 /nfs/dbraw/zinc/95/35/67/1072953567.db2.gz LOJBLBSFINOTPE-UHFFFAOYSA-N 0 0 439.472 -0.089 20 0 IBADRN CNC(=O)[C@@H](NC(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)c1ccccc1 ZINC000920607763 1072953560 /nfs/dbraw/zinc/95/35/60/1072953560.db2.gz MNZJLHOUXCGGKX-INIZCTEOSA-N 0 0 441.444 -0.664 20 0 IBADRN CNC(=O)[C@H](NC(=O)COC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)c1ccccc1 ZINC000920607765 1072953524 /nfs/dbraw/zinc/95/35/24/1072953524.db2.gz MNZJLHOUXCGGKX-MRXNPFEDSA-N 0 0 441.444 -0.664 20 0 IBADRN COC(=O)C1(NC(=O)COC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CCCCC1 ZINC000920607771 1072953665 /nfs/dbraw/zinc/95/36/65/1072953665.db2.gz MQCHSDWTYPIBKN-UHFFFAOYSA-N 0 0 434.449 -0.275 20 0 IBADRN Cn1c(C(=O)OCC(=O)NC[C@H]2Cc3ccccc3O2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920608085 1072953519 /nfs/dbraw/zinc/95/35/19/1072953519.db2.gz PGMYQPAHGTZMLI-CYBMUJFWSA-N 0 0 426.429 -0.148 20 0 IBADRN Cn1c(C(=O)OCC(=O)NC[C@@H]2Cc3ccccc3O2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920608087 1072953639 /nfs/dbraw/zinc/95/36/39/1072953639.db2.gz PGMYQPAHGTZMLI-ZDUSSCGKSA-N 0 0 426.429 -0.148 20 0 IBADRN C[C@@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)NC(=O)NCC(F)(F)F ZINC000920608176 1072953673 /nfs/dbraw/zinc/95/36/73/1072953673.db2.gz QUDHCBFVYXHINO-SSDOTTSWSA-N 0 0 433.343 -0.491 20 0 IBADRN C[C@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)NC(=O)NCC(F)(F)F ZINC000920608179 1072953626 /nfs/dbraw/zinc/95/36/26/1072953626.db2.gz QUDHCBFVYXHINO-ZETCQYMHSA-N 0 0 433.343 -0.491 20 0 IBADRN Cn1c(C(=O)OCC(=O)NC2CCC3(CC2)OCCO3)cc2c1n(C)c(=O)n(C)c2=O ZINC000920608181 1072953692 /nfs/dbraw/zinc/95/36/92/1072953692.db2.gz QUERAWNLFLLVAQ-UHFFFAOYSA-N 0 0 434.449 -0.466 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN2C(=O)Cc2cccs2)c(=O)n(C)c1=O ZINC000920608395 1072952084 /nfs/dbraw/zinc/95/20/84/1072952084.db2.gz CDQMUHNZIMIMIK-GFCCVEGCSA-N 0 0 434.474 -0.313 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C(C)C)CC1 ZINC000920608571 1072951971 /nfs/dbraw/zinc/95/19/71/1072951971.db2.gz UHWGMLTZSDVDPF-INIZCTEOSA-N 0 0 447.492 -0.552 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)C(C)C)CC1 ZINC000920608577 1072951982 /nfs/dbraw/zinc/95/19/82/1072951982.db2.gz UHWGMLTZSDVDPF-MRXNPFEDSA-N 0 0 447.492 -0.552 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000920608607 1072951879 /nfs/dbraw/zinc/95/18/79/1072951879.db2.gz UMKFGUYMLBLOPX-DOMZBBRYSA-N 0 0 447.492 -0.519 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000920608614 1072951883 /nfs/dbraw/zinc/95/18/83/1072951883.db2.gz UMKFGUYMLBLOPX-IUODEOHRSA-N 0 0 447.492 -0.519 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000920608615 1072951959 /nfs/dbraw/zinc/95/19/59/1072951959.db2.gz UMKFGUYMLBLOPX-SWLSCSKDSA-N 0 0 447.492 -0.519 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc2c(n1C)n(C)c(=O)n(C)c2=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000920608616 1072951936 /nfs/dbraw/zinc/95/19/36/1072951936.db2.gz UMKFGUYMLBLOPX-WFASDCNBSA-N 0 0 447.492 -0.519 20 0 IBADRN Cn1c(C(=O)OCC(=O)N2CCN(Cc3ccco3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC000920608982 1072951874 /nfs/dbraw/zinc/95/18/74/1072951874.db2.gz VUSWOPVMEHLMAA-UHFFFAOYSA-N 0 0 443.460 -0.330 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920609053 1072952690 /nfs/dbraw/zinc/95/26/90/1072952690.db2.gz ZGCXPJWSUWMNSJ-LLVKDONJSA-N 0 0 434.449 -0.467 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)CC1 ZINC000920609054 1072952685 /nfs/dbraw/zinc/95/26/85/1072952685.db2.gz ZGCXPJWSUWMNSJ-NSHDSACASA-N 0 0 434.449 -0.467 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000920614367 1072952514 /nfs/dbraw/zinc/95/25/14/1072952514.db2.gz OYFNWWYDAVPXCZ-UHFFFAOYSA-N 0 0 441.506 -0.331 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)O[C@H](C)C(=O)N3CCNC3=O)CC2)c1 ZINC000920615107 1072952571 /nfs/dbraw/zinc/95/25/71/1072952571.db2.gz VVZGAQIRCSBPEX-OAHLLOKOSA-N 0 0 438.506 -0.070 20 0 IBADRN COC(=O)CNC(=O)COC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000920615654 1072952598 /nfs/dbraw/zinc/95/25/98/1072952598.db2.gz YSJWRUVOLQOYTH-UHFFFAOYSA-N 0 0 427.479 -0.721 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000920620650 1072952589 /nfs/dbraw/zinc/95/25/89/1072952589.db2.gz IUINMEJFZVNGSX-HNNXBMFYSA-N 0 0 441.506 -0.332 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000920620651 1072952506 /nfs/dbraw/zinc/95/25/06/1072952506.db2.gz IUINMEJFZVNGSX-OAHLLOKOSA-N 0 0 441.506 -0.332 20 0 IBADRN CNC(=O)NC(=O)[C@@H](C)OC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000920620809 1072952498 /nfs/dbraw/zinc/95/24/98/1072952498.db2.gz KIJNMQLISDJKSA-CQSZACIVSA-N 0 0 426.495 -0.166 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)OCC(=O)NC(=O)NC3CC3)CC2)c1 ZINC000920621712 1072952524 /nfs/dbraw/zinc/95/25/24/1072952524.db2.gz RIWIFEDHIQFUBW-UHFFFAOYSA-N 0 0 438.506 -0.022 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)OCC(=O)N3CCOCC3)CC2)c1 ZINC000920622268 1072952532 /nfs/dbraw/zinc/95/25/32/1072952532.db2.gz ZHXGHQZSMUBPMR-UHFFFAOYSA-N 0 0 425.507 -0.151 20 0 IBADRN O=C(CS(=O)(=O)Cc1cccc(Br)c1)OCC(=O)N1CCNC(=O)C1 ZINC000920626840 1072952638 /nfs/dbraw/zinc/95/26/38/1072952638.db2.gz TWQKQNDHAWGUNV-UHFFFAOYSA-N 0 0 433.280 -0.135 20 0 IBADRN CC(=O)Nc1ccc(O)c(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920627610 1072955814 /nfs/dbraw/zinc/95/58/14/1072955814.db2.gz RCFMXKJCFJIOEF-HNNXBMFYSA-N 0 0 439.490 -0.161 20 0 IBADRN CC(=O)Nc1ccc(O)c(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC000920627614 1072955895 /nfs/dbraw/zinc/95/58/95/1072955895.db2.gz RCFMXKJCFJIOEF-OAHLLOKOSA-N 0 0 439.490 -0.161 20 0 IBADRN CCCS(=O)(=O)CC(=O)OCC(=O)NN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC000920630005 1072955854 /nfs/dbraw/zinc/95/58/54/1072955854.db2.gz YIAAHNCFIXKQLS-GOSISDBHSA-N 0 0 441.462 -0.139 20 0 IBADRN CCCS(=O)(=O)CC(=O)OCC(=O)NN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC000920630063 1072955820 /nfs/dbraw/zinc/95/58/20/1072955820.db2.gz YIAAHNCFIXKQLS-SFHVURJKSA-N 0 0 441.462 -0.139 20 0 IBADRN CCCS(=O)(=O)CC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC000920630476 1072955876 /nfs/dbraw/zinc/95/58/76/1072955876.db2.gz FGVJPGOZYNRTIB-UHFFFAOYSA-N 0 0 432.520 -0.113 20 0 IBADRN CCCS(=O)(=O)CC(=O)OCC(=O)c1c(N)n(Cc2ccccc2)c(=O)n(C)c1=O ZINC000920630482 1072955724 /nfs/dbraw/zinc/95/57/24/1072955724.db2.gz FXTSVPLINOKYCO-UHFFFAOYSA-N 0 0 437.474 -0.272 20 0 IBADRN CCCS(=O)(=O)CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000920631009 1072955746 /nfs/dbraw/zinc/95/57/46/1072955746.db2.gz MJHHXHCKSYYNRR-KBPBESRZSA-N 0 0 425.525 -0.451 20 0 IBADRN CCCS(=O)(=O)CC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000920631011 1072955780 /nfs/dbraw/zinc/95/57/80/1072955780.db2.gz MJHHXHCKSYYNRR-KGLIPLIRSA-N 0 0 425.525 -0.451 20 0 IBADRN CCCS(=O)(=O)CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC000920631013 1072955888 /nfs/dbraw/zinc/95/58/88/1072955888.db2.gz MJHHXHCKSYYNRR-UONOGXRCSA-N 0 0 425.525 -0.451 20 0 IBADRN CCCS(=O)(=O)CC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC000920631015 1072955771 /nfs/dbraw/zinc/95/57/71/1072955771.db2.gz MJHHXHCKSYYNRR-ZIAGYGMSSA-N 0 0 425.525 -0.451 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)nn1 ZINC000920640584 1072954520 /nfs/dbraw/zinc/95/45/20/1072954520.db2.gz HTSPPMKFHQGVQL-UHFFFAOYSA-N 0 0 432.393 -0.357 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)NCC2(N3CCOCC3)CCCCC2)nn1 ZINC000920640587 1072954542 /nfs/dbraw/zinc/95/45/42/1072954542.db2.gz IAVSXCJIUJCJPT-UHFFFAOYSA-N 0 0 436.513 -0.326 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCCOc2ccc(S(=O)(=O)N(C)C)cc2)nn1 ZINC000920641238 1072954418 /nfs/dbraw/zinc/95/44/18/1072954418.db2.gz OKVXMKGTZZMSDH-UHFFFAOYSA-N 0 0 425.467 -0.148 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1cn(CC(=O)N(C)C)nn1)[C@@H]1CCS(=O)(=O)C1 ZINC000920641360 1072954484 /nfs/dbraw/zinc/95/44/84/1072954484.db2.gz IWWRUGLLQXDRIH-CYBMUJFWSA-N 0 0 429.499 -0.805 20 0 IBADRN CC(C)CN(C(=O)COC(=O)c1cn(CC(=O)N(C)C)nn1)[C@H]1CCS(=O)(=O)C1 ZINC000920641361 1072954586 /nfs/dbraw/zinc/95/45/86/1072954586.db2.gz IWWRUGLLQXDRIH-ZDUSSCGKSA-N 0 0 429.499 -0.805 20 0 IBADRN CCN(C(=O)COC(=O)c1nc(S(C)(=O)=O)n2ccccc12)[C@@H]1CCS(=O)(=O)C1 ZINC000920641418 1072954566 /nfs/dbraw/zinc/95/45/66/1072954566.db2.gz AVMIOYIYMNJZOI-GFCCVEGCSA-N 0 0 443.503 -0.070 20 0 IBADRN CCN(C(=O)COC(=O)c1nc(S(C)(=O)=O)n2ccccc12)[C@H]1CCS(=O)(=O)C1 ZINC000920641419 1072954389 /nfs/dbraw/zinc/95/43/89/1072954389.db2.gz AVMIOYIYMNJZOI-LBPRGKRZSA-N 0 0 443.503 -0.070 20 0 IBADRN C[C@H](OC(=O)c1cn(CC(=O)N(C)C)nn1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000920641563 1072954471 /nfs/dbraw/zinc/95/44/71/1072954471.db2.gz RMKLVLLIGBEFKA-AWEZNQCLSA-N 0 0 430.465 -0.034 20 0 IBADRN C[C@@H](OC(=O)c1cn(CC(=O)N(C)C)nn1)C(=O)N1CCN(c2ccccc2O)CC1 ZINC000920641572 1072954445 /nfs/dbraw/zinc/95/44/45/1072954445.db2.gz RMKLVLLIGBEFKA-CQSZACIVSA-N 0 0 430.465 -0.034 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)N2CCN(C(=O)Nc3ccccc3)CC2)nn1 ZINC000920641641 1072954405 /nfs/dbraw/zinc/95/44/05/1072954405.db2.gz UMSWDODDFKPJFQ-UHFFFAOYSA-N 0 0 443.464 -0.101 20 0 IBADRN C[C@@H](OC(=O)c1cn(CC(=O)N(C)C)nn1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000920641678 1072954536 /nfs/dbraw/zinc/95/45/36/1072954536.db2.gz WLTYZWWLLUNAJN-CYBMUJFWSA-N 0 0 432.437 -0.511 20 0 IBADRN C[C@H](OC(=O)c1cn(CC(=O)N(C)C)nn1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000920641680 1072954590 /nfs/dbraw/zinc/95/45/90/1072954590.db2.gz WLTYZWWLLUNAJN-ZDUSSCGKSA-N 0 0 432.437 -0.511 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)COC(=O)c1cn(CC(=O)N(C)C)nn1)C2=O ZINC000920641684 1072954555 /nfs/dbraw/zinc/95/45/55/1072954555.db2.gz WXUPYBLWHLJDSP-UHFFFAOYSA-N 0 0 435.441 -0.945 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)COC(=O)c1cn(CC(=O)N(C)C)nn1 ZINC000920641700 1072954431 /nfs/dbraw/zinc/95/44/31/1072954431.db2.gz XMQUUOUCBGNQBK-UHFFFAOYSA-N 0 0 432.437 -0.405 20 0 IBADRN O=C(COC(=O)c1ccccc1OCCO)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000920641748 1072954512 /nfs/dbraw/zinc/95/45/12/1072954512.db2.gz RMWZXXPFRINRKA-HNNXBMFYSA-N 0 0 426.491 -0.454 20 0 IBADRN O=C(COC(=O)c1ccccc1OCCO)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920641750 1072954494 /nfs/dbraw/zinc/95/44/94/1072954494.db2.gz RMWZXXPFRINRKA-OAHLLOKOSA-N 0 0 426.491 -0.454 20 0 IBADRN COC(=O)c1sc(N)c(C(=O)OC)c1COC(=O)c1cn(CC(=O)N(C)C)nn1 ZINC000920641825 1072954935 /nfs/dbraw/zinc/95/49/35/1072954935.db2.gz NSJWKMDTZLWZDX-UHFFFAOYSA-N 0 0 425.423 -0.060 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)Nc2ccc(CN3CCOCC3)cc2)nn1 ZINC000920641832 1072955025 /nfs/dbraw/zinc/95/50/25/1072955025.db2.gz NWTDNZQAWVSPHV-UHFFFAOYSA-N 0 0 430.465 -0.006 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)N(c2ccccc2)[C@H]2C=CS(=O)(=O)C2)nn1 ZINC000920641839 1072955007 /nfs/dbraw/zinc/95/50/07/1072955007.db2.gz OAGQYDVHINNLSZ-HNNXBMFYSA-N 0 0 447.473 -0.133 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)N(c2ccccc2)[C@@H]2C=CS(=O)(=O)C2)nn1 ZINC000920641840 1072954915 /nfs/dbraw/zinc/95/49/15/1072954915.db2.gz OAGQYDVHINNLSZ-OAHLLOKOSA-N 0 0 447.473 -0.133 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1cn(CC(=O)N(C)C)nn1)[C@@H]1CCS(=O)(=O)C1 ZINC000920641846 1072954976 /nfs/dbraw/zinc/95/49/76/1072954976.db2.gz YPSIIWUAZHXSQN-CHWSQXEVSA-N 0 0 429.499 -0.663 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)c1cn(CC(=O)N(C)C)nn1)[C@H]1CCS(=O)(=O)C1 ZINC000920641852 1072954983 /nfs/dbraw/zinc/95/49/83/1072954983.db2.gz YPSIIWUAZHXSQN-OLZOCXBDSA-N 0 0 429.499 -0.663 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1cn(CC(=O)N(C)C)nn1)[C@@H]1CCS(=O)(=O)C1 ZINC000920641854 1072954956 /nfs/dbraw/zinc/95/49/56/1072954956.db2.gz YPSIIWUAZHXSQN-QWHCGFSZSA-N 0 0 429.499 -0.663 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)c1cn(CC(=O)N(C)C)nn1)[C@H]1CCS(=O)(=O)C1 ZINC000920641857 1072954903 /nfs/dbraw/zinc/95/49/03/1072954903.db2.gz YPSIIWUAZHXSQN-STQMWFEESA-N 0 0 429.499 -0.663 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)c2cn(CC(=O)N(C)C)nn2)c1 ZINC000920641913 1072955039 /nfs/dbraw/zinc/95/50/39/1072955039.db2.gz RAMDUYORNWMTPL-UHFFFAOYSA-N 0 0 432.437 -0.371 20 0 IBADRN CCOC(=O)C1=C(COC(=O)c2cn(CC(=O)N(C)C)nn2)NC(=O)N[C@H]1c1ccco1 ZINC000920642084 1072955041 /nfs/dbraw/zinc/95/50/41/1072955041.db2.gz KAMMDAGZJMGDKG-INIZCTEOSA-N 0 0 446.420 -0.013 20 0 IBADRN C[C@@H](OC(=O)c1nc(S(C)(=O)=O)n2ccccc12)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000920642189 1072954999 /nfs/dbraw/zinc/95/49/99/1072954999.db2.gz MRBQYURIQFNEAL-NEPJUHHUSA-N 0 0 443.503 -0.071 20 0 IBADRN C[C@H](OC(=O)c1nc(S(C)(=O)=O)n2ccccc12)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000920642190 1072955034 /nfs/dbraw/zinc/95/50/34/1072955034.db2.gz MRBQYURIQFNEAL-NWDGAFQWSA-N 0 0 443.503 -0.071 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)COC(=O)c2cn(CC(=O)N(C)C)nn2)ccc1C ZINC000920642279 1072954990 /nfs/dbraw/zinc/95/49/90/1072954990.db2.gz TXSBIDMDMYEMOX-UHFFFAOYSA-N 0 0 438.466 -0.622 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)c2ccc(CCNS(C)(=O)=O)s2)nn1 ZINC000920642315 1072954945 /nfs/dbraw/zinc/95/49/45/1072954945.db2.gz VDDRPTAEQNUNMF-UHFFFAOYSA-N 0 0 443.507 -0.441 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)N2CCC(NC(=O)c3ccccc3)CC2)nn1 ZINC000920642349 1072955014 /nfs/dbraw/zinc/95/50/14/1072955014.db2.gz BIXZOEJSDSPWEM-UHFFFAOYSA-N 0 0 442.476 -0.056 20 0 IBADRN CC(C)[C@H](OC(=O)c1cn(CC(=O)N(C)C)nn1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000920642350 1072954924 /nfs/dbraw/zinc/95/49/24/1072954924.db2.gz WJVXGJNXNORSNQ-KRWDZBQOSA-N 0 0 444.496 -0.314 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cn(CC(=O)N(C)C)nn1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000920642352 1072954967 /nfs/dbraw/zinc/95/49/67/1072954967.db2.gz WJVXGJNXNORSNQ-QGZVFWFLSA-N 0 0 444.496 -0.314 20 0 IBADRN CCCCN(C(=O)COC(=O)c1cn(CC(=O)N(C)C)nn1)[C@@H]1CCS(=O)(=O)C1 ZINC000920642379 1072955048 /nfs/dbraw/zinc/95/50/48/1072955048.db2.gz XGNRTPKZIPCWCY-CYBMUJFWSA-N 0 0 429.499 -0.661 20 0 IBADRN CCCCN(C(=O)COC(=O)c1cn(CC(=O)N(C)C)nn1)[C@H]1CCS(=O)(=O)C1 ZINC000920642381 1072955477 /nfs/dbraw/zinc/95/54/77/1072955477.db2.gz XGNRTPKZIPCWCY-ZDUSSCGKSA-N 0 0 429.499 -0.661 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)NCc2cccc(C(=O)NC3CC3)c2)nn1 ZINC000920642489 1072955515 /nfs/dbraw/zinc/95/55/15/1072955515.db2.gz GMOIAVBGXGOIEU-UHFFFAOYSA-N 0 0 428.449 -0.268 20 0 IBADRN CCCCn1c2nc(COC(=O)c3cn(CC(=O)N(C)C)nn3)n(C)c2c(=O)[nH]c1=O ZINC000920642499 1072955535 /nfs/dbraw/zinc/95/55/35/1072955535.db2.gz OHHWQSHXVMOGRQ-UHFFFAOYSA-N 0 0 432.441 -0.328 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)N2CCN(C(=O)c3cccs3)CC2)nn1 ZINC000920642518 1072955331 /nfs/dbraw/zinc/95/53/31/1072955331.db2.gz PJFVJNXEWFMJMC-UHFFFAOYSA-N 0 0 434.478 -0.431 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)N(C2CCCC2)[C@H]2CCS(=O)(=O)C2)nn1 ZINC000920642527 1072955442 /nfs/dbraw/zinc/95/54/42/1072955442.db2.gz QEVMKAYRLBKARY-AWEZNQCLSA-N 0 0 441.510 -0.519 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)N(C2CCCC2)[C@@H]2CCS(=O)(=O)C2)nn1 ZINC000920642528 1072955425 /nfs/dbraw/zinc/95/54/25/1072955425.db2.gz QEVMKAYRLBKARY-CQSZACIVSA-N 0 0 441.510 -0.519 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)NCc2ccccc2CN2CCOCC2)nn1 ZINC000920642545 1072955406 /nfs/dbraw/zinc/95/54/06/1072955406.db2.gz ZBDOVJMKRQVCJU-UHFFFAOYSA-N 0 0 444.492 -0.328 20 0 IBADRN C[C@H](OC(=O)c1nc(S(C)(=O)=O)n2ccccc12)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000920642556 1072955054 /nfs/dbraw/zinc/95/50/54/1072955054.db2.gz MRBQYURIQFNEAL-RYUDHWBXSA-N 0 0 443.503 -0.071 20 0 IBADRN C[C@@H](OC(=O)c1nc(S(C)(=O)=O)n2ccccc12)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000920642557 1072955543 /nfs/dbraw/zinc/95/55/43/1072955543.db2.gz MRBQYURIQFNEAL-VXGBXAGGSA-N 0 0 443.503 -0.071 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)nn1 ZINC000920642565 1072955467 /nfs/dbraw/zinc/95/54/67/1072955467.db2.gz RKVXSRAIBMHHOL-UHFFFAOYSA-N 0 0 438.466 -0.588 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)COC(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC000920642832 1072955483 /nfs/dbraw/zinc/95/54/83/1072955483.db2.gz IPDYUKWMBZXGPZ-UHFFFAOYSA-N 0 0 436.513 -0.076 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)NCc2ccc(N3CCCC3=O)cc2)nn1 ZINC000920642875 1072955527 /nfs/dbraw/zinc/95/55/27/1072955527.db2.gz JTRTVFGUDUBPBE-UHFFFAOYSA-N 0 0 428.449 -0.034 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)OCC(=O)N2CCN(C(=O)C3CC3)CC2)c2ccccn21 ZINC000920643485 1072955505 /nfs/dbraw/zinc/95/55/05/1072955505.db2.gz HQIGWRHFIFEBAX-UHFFFAOYSA-N 0 0 434.474 -0.025 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1nc(S(C)(=O)=O)n2ccccc12)S(C)(=O)=O ZINC000920644039 1072955547 /nfs/dbraw/zinc/95/55/47/1072955547.db2.gz YIBLAMCBQSUCGZ-UHFFFAOYSA-N 0 0 446.507 -0.708 20 0 IBADRN COc1cc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c(N)c(OC)c1OC ZINC000920646002 1072955375 /nfs/dbraw/zinc/95/53/75/1072955375.db2.gz PSTCVISMABRKAN-UHFFFAOYSA-N 0 0 438.481 -0.316 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@@H](CO)NC(=O)c1cccc(Br)c1 ZINC000920651802 1072955398 /nfs/dbraw/zinc/95/53/98/1072955398.db2.gz NWSTYSQFHHLYOD-BXKDBHETSA-N 0 0 431.239 -0.239 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@H](CO)NC(=O)c1cccc(Br)c1 ZINC000920651804 1072955348 /nfs/dbraw/zinc/95/53/48/1072955348.db2.gz NWSTYSQFHHLYOD-CABZTGNLSA-N 0 0 431.239 -0.239 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H](CO)NC(=O)c1cccc(Br)c1 ZINC000920651805 1072955500 /nfs/dbraw/zinc/95/55/00/1072955500.db2.gz NWSTYSQFHHLYOD-JOYOIKCWSA-N 0 0 431.239 -0.239 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@H](CO)NC(=O)c1cccc(Br)c1 ZINC000920651807 1072955387 /nfs/dbraw/zinc/95/53/87/1072955387.db2.gz NWSTYSQFHHLYOD-SKDRFNHKSA-N 0 0 431.239 -0.239 20 0 IBADRN Cn1c(=O)cc(COC(=O)[C@@H](CO)NC(=O)c2cccc(Br)c2)n(C)c1=O ZINC000920652098 1072955715 /nfs/dbraw/zinc/95/57/15/1072955715.db2.gz OZCJZXUHVWWDBN-CYBMUJFWSA-N 0 0 440.250 -0.319 20 0 IBADRN O=C(COC(=O)[C@@H](CO)NC(=O)c1cccc(Br)c1)N1CCNC(=O)C1 ZINC000920652913 1072955904 /nfs/dbraw/zinc/95/59/04/1072955904.db2.gz DQJWARIAYKGXDB-GFCCVEGCSA-N 0 0 428.239 -0.959 20 0 IBADRN O=C(COC(=O)[C@H](CO)NC(=O)c1cccc(Br)c1)N1CCNC(=O)C1 ZINC000920652939 1072955738 /nfs/dbraw/zinc/95/57/38/1072955738.db2.gz DQJWARIAYKGXDB-LBPRGKRZSA-N 0 0 428.239 -0.959 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CNC(=O)c2cc(F)cc(F)c2)CC1 ZINC000920655518 1072956411 /nfs/dbraw/zinc/95/64/11/1072956411.db2.gz WHBACPQTLHSXJD-UHFFFAOYSA-N 0 0 426.420 -0.530 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H](CO)NC(=O)c1cccc(Br)c1)C(=O)N(C)C ZINC000920655662 1072956468 /nfs/dbraw/zinc/95/64/68/1072956468.db2.gz QRICQXYCNNYAEA-GWCFXTLKSA-N 0 0 444.282 -0.324 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H](CO)NC(=O)c1cccc(Br)c1)C(=O)N(C)C ZINC000920655664 1072956393 /nfs/dbraw/zinc/95/63/93/1072956393.db2.gz QRICQXYCNNYAEA-GXFFZTMASA-N 0 0 444.282 -0.324 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@H](CO)NC(=O)c1cccc(Br)c1)C(=O)N(C)C ZINC000920655665 1072956360 /nfs/dbraw/zinc/95/63/60/1072956360.db2.gz QRICQXYCNNYAEA-MFKMUULPSA-N 0 0 444.282 -0.324 20 0 IBADRN C[C@@H](NC(=O)COC(=O)[C@@H](CO)NC(=O)c1cccc(Br)c1)C(=O)N(C)C ZINC000920655667 1072956450 /nfs/dbraw/zinc/95/64/50/1072956450.db2.gz QRICQXYCNNYAEA-ZWNOBZJWSA-N 0 0 444.282 -0.324 20 0 IBADRN Cn1c(N)c(C(=O)COC(=O)[C@H]2CCCN2C(=O)Cc2ccccc2)c(=O)n(C)c1=O ZINC000920680184 1072955790 /nfs/dbraw/zinc/95/57/90/1072955790.db2.gz DGVBAOSVEKOHCO-CQSZACIVSA-N 0 0 428.445 -0.374 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)OCC(=O)N2CCNC(=O)C2)cc1 ZINC000920682002 1072955923 /nfs/dbraw/zinc/95/59/23/1072955923.db2.gz LEQKRQHRAFJSSZ-CDVVCGDMSA-N 0 0 428.445 -0.709 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)OCC(=O)N2CCNC(=O)C2)cc1 ZINC000920682006 1072955843 /nfs/dbraw/zinc/95/58/43/1072955843.db2.gz LEQKRQHRAFJSSZ-KQQSKNTNSA-N 0 0 428.445 -0.709 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@H]2C(=O)OCC(=O)N2CCNC(=O)C2)cc1 ZINC000920682007 1072955917 /nfs/dbraw/zinc/95/59/17/1072955917.db2.gz LEQKRQHRAFJSSZ-SBSDFTTDSA-N 0 0 428.445 -0.709 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@H]2C(=O)OCC(=O)N2CCNC(=O)C2)cc1 ZINC000920682009 1072956460 /nfs/dbraw/zinc/95/64/60/1072956460.db2.gz LEQKRQHRAFJSSZ-WHWKNOJMSA-N 0 0 428.445 -0.709 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CCCN2C(=O)Cc2ccccc2)CC1 ZINC000920683053 1072956334 /nfs/dbraw/zinc/95/63/34/1072956334.db2.gz RITBUTMUDNRDDD-IBGZPJMESA-N 0 0 444.532 -0.004 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@H]2CCCN2C(=O)Cc2ccccc2)CC1 ZINC000920683071 1072956457 /nfs/dbraw/zinc/95/64/57/1072956457.db2.gz RITBUTMUDNRDDD-LJQANCHMSA-N 0 0 444.532 -0.004 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H]1CCCN1C(=O)/C=C\c1ccc(C(N)=O)cc1)C(=O)N(C)C ZINC000920684105 1072956342 /nfs/dbraw/zinc/95/63/42/1072956342.db2.gz PYBOYGLMZYRGOX-CIZGOSNJSA-N 0 0 444.488 -0.074 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1CCCN1C(=O)/C=C\c1ccc(C(N)=O)cc1)C(=O)N(C)C ZINC000920684108 1072956400 /nfs/dbraw/zinc/95/64/00/1072956400.db2.gz PYBOYGLMZYRGOX-DCMZOXEDSA-N 0 0 444.488 -0.074 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H]1CCCN1C(=O)/C=C/c1ccc(C(N)=O)cc1)C(=O)N(C)C ZINC000920684111 1072956404 /nfs/dbraw/zinc/95/64/04/1072956404.db2.gz PYBOYGLMZYRGOX-FVXSSJTDSA-N 0 0 444.488 -0.074 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1CCCN1C(=O)/C=C/c1ccc(C(N)=O)cc1)C(=O)N(C)C ZINC000920684115 1072956352 /nfs/dbraw/zinc/95/63/52/1072956352.db2.gz PYBOYGLMZYRGOX-RMHUIEEYSA-N 0 0 444.488 -0.074 20 0 IBADRN Cn1c(COC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)cc(=O)n(C)c1=O ZINC000920686178 1072956374 /nfs/dbraw/zinc/95/63/74/1072956374.db2.gz WDLVXYNCZZPLTQ-CGQZWFTASA-N 0 0 440.456 -0.070 20 0 IBADRN Cn1c(COC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)cc(=O)n(C)c1=O ZINC000920686182 1072956443 /nfs/dbraw/zinc/95/64/43/1072956443.db2.gz WDLVXYNCZZPLTQ-JEJOPICUSA-N 0 0 440.456 -0.070 20 0 IBADRN Cn1c(COC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)cc(=O)n(C)c1=O ZINC000920686185 1072956316 /nfs/dbraw/zinc/95/63/16/1072956316.db2.gz WDLVXYNCZZPLTQ-JEZWAEDTSA-N 0 0 440.456 -0.070 20 0 IBADRN Cn1c(COC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)cc(=O)n(C)c1=O ZINC000920686190 1072956381 /nfs/dbraw/zinc/95/63/81/1072956381.db2.gz WDLVXYNCZZPLTQ-PYUISTEWSA-N 0 0 440.456 -0.070 20 0 IBADRN CN(CCCNC(=O)COC(=O)c1ccc(N2NC(=O)CCC2=O)cc1)S(C)(=O)=O ZINC000920695801 1072956957 /nfs/dbraw/zinc/95/69/57/1072956957.db2.gz PKYWFRJBVRLMRA-UHFFFAOYSA-N 0 0 440.478 -0.601 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1 ZINC000920697118 1072957090 /nfs/dbraw/zinc/95/70/90/1072957090.db2.gz YUGYBFOKAPKPJD-UHFFFAOYSA-N 0 0 445.476 -0.766 20 0 IBADRN Cn1c2ccc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)cc2[nH]c1=O ZINC000920697239 1072957007 /nfs/dbraw/zinc/95/70/07/1072957007.db2.gz AVHJSZKUEXHOIU-AWEZNQCLSA-N 0 0 436.490 -0.233 20 0 IBADRN Cn1c2ccc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)cc2[nH]c1=O ZINC000920697240 1072957100 /nfs/dbraw/zinc/95/71/00/1072957100.db2.gz AVHJSZKUEXHOIU-CQSZACIVSA-N 0 0 436.490 -0.233 20 0 IBADRN COCCOCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000920712106 1072956946 /nfs/dbraw/zinc/95/69/46/1072956946.db2.gz GANOEGKEXXVPAR-UHFFFAOYSA-N 0 0 433.483 -0.279 20 0 IBADRN COC(=O)N[C@@H](CC(C)C)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920713398 1072957049 /nfs/dbraw/zinc/95/70/49/1072957049.db2.gz GWBZMVDNPRVQFT-CABCVRRESA-N 0 0 433.527 -0.368 20 0 IBADRN COC(=O)N[C@@H](CC(C)C)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000920713402 1072956879 /nfs/dbraw/zinc/95/68/79/1072956879.db2.gz GWBZMVDNPRVQFT-GJZGRUSLSA-N 0 0 433.527 -0.368 20 0 IBADRN COC(=O)N[C@H](CC(C)C)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000920713404 1072957000 /nfs/dbraw/zinc/95/70/00/1072957000.db2.gz GWBZMVDNPRVQFT-HUUCEWRRSA-N 0 0 433.527 -0.368 20 0 IBADRN COC(=O)N[C@H](CC(C)C)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000920713408 1072956873 /nfs/dbraw/zinc/95/68/73/1072956873.db2.gz GWBZMVDNPRVQFT-LSDHHAIUSA-N 0 0 433.527 -0.368 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)COc2ccc(C(N)=O)cc2)CC1 ZINC000920718421 1072957415 /nfs/dbraw/zinc/95/74/15/1072957415.db2.gz PITSIPCOAPOQQB-UHFFFAOYSA-N 0 0 434.493 -0.234 20 0 IBADRN NC(=O)c1ccc(OCC(=O)OCC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cc1 ZINC000920718425 1072957322 /nfs/dbraw/zinc/95/73/22/1072957322.db2.gz PMOHFXYKHYSFTQ-UHFFFAOYSA-N 0 0 433.442 -0.056 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)COC(=O)COc2ccc(C(N)=O)cc2)c(=O)n(C)c1=O ZINC000920720396 1072957361 /nfs/dbraw/zinc/95/73/61/1072957361.db2.gz TWPPYHPABAMJBY-UHFFFAOYSA-N 0 0 432.433 -0.311 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)C(=O)CN1C(=O)NC(C)(C)C1=O ZINC000920741321 1072957059 /nfs/dbraw/zinc/95/70/59/1072957059.db2.gz KFUROIVDAHGLDB-UHFFFAOYSA-N 0 0 425.486 -0.146 20 0 IBADRN CCCC[C@H]1NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000920758110 1072958013 /nfs/dbraw/zinc/95/80/13/1072958013.db2.gz FSFHVLOGKKOAQL-GFCCVEGCSA-N 0 0 427.483 -0.247 20 0 IBADRN CCCC[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1=O ZINC000920758111 1072957902 /nfs/dbraw/zinc/95/79/02/1072957902.db2.gz FSFHVLOGKKOAQL-LBPRGKRZSA-N 0 0 427.483 -0.247 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H](N4CCNC4=O)C3)C2=O)cc1 ZINC000920767678 1072957930 /nfs/dbraw/zinc/95/79/30/1072957930.db2.gz KFTJSYDYBNHYCY-BTYIYWSLSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H](N4CCNC4=O)C3)C2=O)cc1 ZINC000920767681 1072958027 /nfs/dbraw/zinc/95/80/27/1072958027.db2.gz KFTJSYDYBNHYCY-QVKFZJNVSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H](N4CCNC4=O)C3)C2=O)cc1 ZINC000920767683 1072957912 /nfs/dbraw/zinc/95/79/12/1072957912.db2.gz KFTJSYDYBNHYCY-VFNWGFHPSA-N 0 0 444.492 -0.017 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H](N4CCNC4=O)C3)C2=O)cc1 ZINC000920767685 1072958039 /nfs/dbraw/zinc/95/80/39/1072958039.db2.gz KFTJSYDYBNHYCY-YCRPNKLZSA-N 0 0 444.492 -0.017 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)c2nonc2N)cc1S(=O)(=O)N1CCOCC1 ZINC000920769668 1072957985 /nfs/dbraw/zinc/95/79/85/1072957985.db2.gz FRXDAVCARNABFI-UHFFFAOYSA-N 0 0 425.423 -0.223 20 0 IBADRN Nc1nonc1C(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC000920769876 1072957990 /nfs/dbraw/zinc/95/79/90/1072957990.db2.gz JNYQUXMZIWAPAQ-UHFFFAOYSA-N 0 0 429.842 -0.005 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC000920786152 1072957347 /nfs/dbraw/zinc/95/73/47/1072957347.db2.gz AKNHWIBBEUPXRW-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1 ZINC000920786195 1072957502 /nfs/dbraw/zinc/95/75/02/1072957502.db2.gz BFISILXULMMRIP-UHFFFAOYSA-N 0 0 439.538 -0.454 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N(C)C ZINC000920786257 1072957437 /nfs/dbraw/zinc/95/74/37/1072957437.db2.gz DAGMONBAAUJWAU-UHFFFAOYSA-N 0 0 427.527 -0.074 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@H](C)COC)cc1 ZINC000920786364 1072957494 /nfs/dbraw/zinc/95/74/94/1072957494.db2.gz GWZREPPOJBXLOD-CYBMUJFWSA-N 0 0 428.511 -0.077 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N[C@@H](C)COC)cc1 ZINC000920786366 1072957424 /nfs/dbraw/zinc/95/74/24/1072957424.db2.gz GWZREPPOJBXLOD-ZDUSSCGKSA-N 0 0 428.511 -0.077 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)N1C[C@@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000920786679 1072957544 /nfs/dbraw/zinc/95/75/44/1072957544.db2.gz SGPGACJPJLTSRV-KRWDZBQOSA-N 0 0 432.477 -0.376 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)N1C[C@H](C(=O)N2CCOCC2)Oc2ccccc21 ZINC000920786686 1072957470 /nfs/dbraw/zinc/95/74/70/1072957470.db2.gz SGPGACJPJLTSRV-QGZVFWFLSA-N 0 0 432.477 -0.376 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC000920786735 1072957461 /nfs/dbraw/zinc/95/74/61/1072957461.db2.gz UNMYHFOPAZVPPE-UHFFFAOYSA-N 0 0 444.485 -0.230 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC000920786856 1072957375 /nfs/dbraw/zinc/95/73/75/1072957375.db2.gz CHXQLFHOSGUGMH-UHFFFAOYSA-N 0 0 440.522 -0.061 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000920787180 1072957511 /nfs/dbraw/zinc/95/75/11/1072957511.db2.gz JWKIWNFFEBRINH-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC000920787781 1072957896 /nfs/dbraw/zinc/95/78/96/1072957896.db2.gz RUSKAMNBKTTWCN-UHFFFAOYSA-N 0 0 426.495 -0.369 20 0 IBADRN Cn1cc(C(=O)OCc2ccc(COC(=O)c3cn(C)c(=O)[nH]c3=O)cc2)c(=O)[nH]c1=O ZINC000920794733 1072957936 /nfs/dbraw/zinc/95/79/36/1072957936.db2.gz BGKMLFVSDPPROJ-UHFFFAOYSA-N 0 0 442.384 -0.001 20 0 IBADRN CCN(CC)C(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000920807296 1072957945 /nfs/dbraw/zinc/95/79/45/1072957945.db2.gz AVJIMXUCEBKCJO-HNNXBMFYSA-N 0 0 433.552 -0.247 20 0 IBADRN CCN(CC)C(=O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC000920807297 1072958020 /nfs/dbraw/zinc/95/80/20/1072958020.db2.gz AVJIMXUCEBKCJO-OAHLLOKOSA-N 0 0 433.552 -0.247 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC000920807612 1072958443 /nfs/dbraw/zinc/95/84/43/1072958443.db2.gz CVODEBVSJHVGMP-AWEZNQCLSA-N 0 0 436.490 -0.407 20 0 IBADRN COc1ccc(CNC(=O)CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC000920807613 1072958455 /nfs/dbraw/zinc/95/84/55/1072958455.db2.gz CVODEBVSJHVGMP-CQSZACIVSA-N 0 0 436.490 -0.407 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(Cn2nc(C)n(CC(=O)N(C)C)c2=S)CC1 ZINC000920834054 1072958392 /nfs/dbraw/zinc/95/83/92/1072958392.db2.gz CAZVJHSVFXPGIQ-UHFFFAOYSA-N 0 0 433.604 -0.028 20 0 IBADRN Cc1nn(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(=S)n1CC(=O)N(C)C ZINC000920834164 1072958492 /nfs/dbraw/zinc/95/84/92/1072958492.db2.gz FSIOBQHRHRYRPP-UHFFFAOYSA-N 0 0 437.526 -0.939 20 0 IBADRN CCN(CC)C(=O)CNC(=O)C(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC000920922887 1072958506 /nfs/dbraw/zinc/95/85/06/1072958506.db2.gz NQTVIWJQBNQPJG-UHFFFAOYSA-N 0 0 433.509 -0.328 20 0 IBADRN COC(=O)N1CCN(C(=O)C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)CC1 ZINC000920923109 1072958517 /nfs/dbraw/zinc/95/85/17/1072958517.db2.gz QGJXWEWUPYWETG-UHFFFAOYSA-N 0 0 447.492 -0.402 20 0 IBADRN CN(CC(=O)N1CCC(N(C)S(C)(=O)=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC000920925431 1072958451 /nfs/dbraw/zinc/95/84/51/1072958451.db2.gz DDEZXAFDSXFCPO-UHFFFAOYSA-N 0 0 428.536 -0.050 20 0 IBADRN NC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920940399 1072958348 /nfs/dbraw/zinc/95/83/48/1072958348.db2.gz HTPFQAXGSXMFRW-AWEZNQCLSA-N 0 0 430.508 -0.548 20 0 IBADRN NC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC000920940400 1072958429 /nfs/dbraw/zinc/95/84/29/1072958429.db2.gz HTPFQAXGSXMFRW-CQSZACIVSA-N 0 0 430.508 -0.548 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1 ZINC000920940717 1072958405 /nfs/dbraw/zinc/95/84/05/1072958405.db2.gz VYJZLHFTIYOCJK-UHFFFAOYSA-N 0 0 438.510 -0.563 20 0 IBADRN CCn1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ccc1=O ZINC000920940777 1072958435 /nfs/dbraw/zinc/95/84/35/1072958435.db2.gz YSBVTBHEDYWFLK-AWEZNQCLSA-N 0 0 432.524 -0.465 20 0 IBADRN CCn1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ccc1=O ZINC000920940778 1072958462 /nfs/dbraw/zinc/95/84/62/1072958462.db2.gz YSBVTBHEDYWFLK-CQSZACIVSA-N 0 0 432.524 -0.465 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=S)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000920995921 1072958903 /nfs/dbraw/zinc/95/89/03/1072958903.db2.gz OZUPRLRPIAEQOX-UHFFFAOYSA-N 0 0 449.624 -0.200 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)c1 ZINC000921025229 1072958962 /nfs/dbraw/zinc/95/89/62/1072958962.db2.gz JOCJMDYGJHYKJP-AWEZNQCLSA-N 0 0 447.897 -0.232 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCNC(=O)[C@H]2CC(=O)OC)c1 ZINC000921025230 1072958939 /nfs/dbraw/zinc/95/89/39/1072958939.db2.gz JOCJMDYGJHYKJP-CQSZACIVSA-N 0 0 447.897 -0.232 20 0 IBADRN Cn1c2ccccc2n(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC000921044469 1072959045 /nfs/dbraw/zinc/95/90/45/1072959045.db2.gz BOXRQDURCVCHGW-AWEZNQCLSA-N 0 0 428.536 -0.568 20 0 IBADRN Cn1c2ccccc2n(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC000921044473 1072958851 /nfs/dbraw/zinc/95/88/51/1072958851.db2.gz BOXRQDURCVCHGW-CQSZACIVSA-N 0 0 428.536 -0.568 20 0 IBADRN Cc1nn(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(=O)c2ccccc12 ZINC000921044544 1072959006 /nfs/dbraw/zinc/95/90/06/1072959006.db2.gz CVCVWWRNNOCQMZ-HNNXBMFYSA-N 0 0 440.547 -0.203 20 0 IBADRN Cc1nn(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(=O)c2ccccc12 ZINC000921044545 1072958820 /nfs/dbraw/zinc/95/88/20/1072958820.db2.gz CVCVWWRNNOCQMZ-OAHLLOKOSA-N 0 0 440.547 -0.203 20 0 IBADRN O=C1c2ccccc2C(=O)N1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921044848 1072958952 /nfs/dbraw/zinc/95/89/52/1072958952.db2.gz DXMBFRDZXXVQHY-CYBMUJFWSA-N 0 0 427.504 -0.625 20 0 IBADRN O=C1c2ccccc2C(=O)N1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000921044851 1072958970 /nfs/dbraw/zinc/95/89/70/1072958970.db2.gz DXMBFRDZXXVQHY-ZDUSSCGKSA-N 0 0 427.504 -0.625 20 0 IBADRN CC(C)(C)n1nnn(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1=S ZINC000921044876 1072958843 /nfs/dbraw/zinc/95/88/43/1072958843.db2.gz FDJQTWJSPOPRIV-GFCCVEGCSA-N 0 0 438.601 -0.344 20 0 IBADRN CC(C)(C)n1nnn(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1=S ZINC000921044882 1072958928 /nfs/dbraw/zinc/95/89/28/1072958928.db2.gz FDJQTWJSPOPRIV-LBPRGKRZSA-N 0 0 438.601 -0.344 20 0 IBADRN O=C1CC2(CCCCC2)C(=O)N1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000921045006 1072958893 /nfs/dbraw/zinc/95/88/93/1072958893.db2.gz HYKJAQJVZIEVFL-HNNXBMFYSA-N 0 0 447.579 -0.212 20 0 IBADRN O=C1CC2(CCCCC2)C(=O)N1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921045007 1072959288 /nfs/dbraw/zinc/95/92/88/1072959288.db2.gz HYKJAQJVZIEVFL-OAHLLOKOSA-N 0 0 447.579 -0.212 20 0 IBADRN O=C1[C@@H]2CC=CC[C@@H]2C(=O)N1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921045010 1072959415 /nfs/dbraw/zinc/95/94/15/1072959415.db2.gz HZEGVQCIWZQBIT-KFWWJZLASA-N 0 0 431.536 -0.970 20 0 IBADRN O=C1[C@@H]2CC=CC[C@H]2C(=O)N1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921045011 1072959327 /nfs/dbraw/zinc/95/93/27/1072959327.db2.gz HZEGVQCIWZQBIT-RBSFLKMASA-N 0 0 431.536 -0.970 20 0 IBADRN O=C1[C@@H]2CC=CC[C@H]2C(=O)N1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000921045012 1072959398 /nfs/dbraw/zinc/95/93/98/1072959398.db2.gz HZEGVQCIWZQBIT-RRFJBIMHSA-N 0 0 431.536 -0.970 20 0 IBADRN O=C1[C@@H]2CC=CC[C@@H]2C(=O)N1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000921045013 1072959405 /nfs/dbraw/zinc/95/94/05/1072959405.db2.gz HZEGVQCIWZQBIT-SOUVJXGZSA-N 0 0 431.536 -0.970 20 0 IBADRN Cc1ccc2oc(=O)n(CN3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c2c1 ZINC000921045241 1072959368 /nfs/dbraw/zinc/95/93/68/1072959368.db2.gz IYGQPPNPJDKSEC-AWEZNQCLSA-N 0 0 429.520 -0.005 20 0 IBADRN Cc1ccc2oc(=O)n(CN3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c2c1 ZINC000921045242 1072959375 /nfs/dbraw/zinc/95/93/75/1072959375.db2.gz IYGQPPNPJDKSEC-CQSZACIVSA-N 0 0 429.520 -0.005 20 0 IBADRN O=c1oc(-c2ccccc2)nn1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000921045245 1072959334 /nfs/dbraw/zinc/95/93/34/1072959334.db2.gz JDLQMCZEHNHNJR-HNNXBMFYSA-N 0 0 442.519 -0.405 20 0 IBADRN O=c1oc(-c2ccccc2)nn1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921045246 1072959312 /nfs/dbraw/zinc/95/93/12/1072959312.db2.gz JDLQMCZEHNHNJR-OAHLLOKOSA-N 0 0 442.519 -0.405 20 0 IBADRN CCCC[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921045279 1072959380 /nfs/dbraw/zinc/95/93/80/1072959380.db2.gz KFRIBAMAOOIGKT-KBPBESRZSA-N 0 0 436.556 -0.811 20 0 IBADRN CCCC[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921045280 1072959345 /nfs/dbraw/zinc/95/93/45/1072959345.db2.gz KFRIBAMAOOIGKT-KGLIPLIRSA-N 0 0 436.556 -0.811 20 0 IBADRN CCCC[C@H]1NC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921045281 1072959389 /nfs/dbraw/zinc/95/93/89/1072959389.db2.gz KFRIBAMAOOIGKT-UONOGXRCSA-N 0 0 436.556 -0.811 20 0 IBADRN CCCC[C@H]1NC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921045282 1072959295 /nfs/dbraw/zinc/95/92/95/1072959295.db2.gz KFRIBAMAOOIGKT-ZIAGYGMSSA-N 0 0 436.556 -0.811 20 0 IBADRN CC(C)C[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921045311 1072959441 /nfs/dbraw/zinc/95/94/41/1072959441.db2.gz LDUHVIPQJXGVAQ-KBPBESRZSA-N 0 0 436.556 -0.955 20 0 IBADRN CC(C)C[C@@H]1NC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921045313 1072959272 /nfs/dbraw/zinc/95/92/72/1072959272.db2.gz LDUHVIPQJXGVAQ-KGLIPLIRSA-N 0 0 436.556 -0.955 20 0 IBADRN CC(C)C[C@H]1NC(=O)N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921045315 1072959350 /nfs/dbraw/zinc/95/93/50/1072959350.db2.gz LDUHVIPQJXGVAQ-UONOGXRCSA-N 0 0 436.556 -0.955 20 0 IBADRN CC(C)C[C@H]1NC(=O)N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921045316 1072959360 /nfs/dbraw/zinc/95/93/60/1072959360.db2.gz LDUHVIPQJXGVAQ-ZIAGYGMSSA-N 0 0 436.556 -0.955 20 0 IBADRN Cn1c(C2CC2)nn(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1=S ZINC000921045782 1072959425 /nfs/dbraw/zinc/95/94/25/1072959425.db2.gz MQOUBLYADGZXBK-CYBMUJFWSA-N 0 0 435.597 -0.080 20 0 IBADRN Cn1c(C2CC2)nn(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1=S ZINC000921045785 1072959447 /nfs/dbraw/zinc/95/94/47/1072959447.db2.gz MQOUBLYADGZXBK-ZDUSSCGKSA-N 0 0 435.597 -0.080 20 0 IBADRN COCCn1cnn(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1=S ZINC000921046181 1072959302 /nfs/dbraw/zinc/95/93/02/1072959302.db2.gz PCXGHQBWDBTOOZ-CYBMUJFWSA-N 0 0 439.585 -0.848 20 0 IBADRN COCCn1cnn(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1=S ZINC000921046185 1072959821 /nfs/dbraw/zinc/95/98/21/1072959821.db2.gz PCXGHQBWDBTOOZ-ZDUSSCGKSA-N 0 0 439.585 -0.848 20 0 IBADRN COCCCNC(=O)CN(C)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000921047255 1072959998 /nfs/dbraw/zinc/95/99/98/1072959998.db2.gz NIOIDJIOLLIVLI-IBGZPJMESA-N 0 0 440.522 -0.101 20 0 IBADRN COCCCNC(=O)CN(C)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC000921047260 1072959918 /nfs/dbraw/zinc/95/99/18/1072959918.db2.gz NIOIDJIOLLIVLI-LJQANCHMSA-N 0 0 440.522 -0.101 20 0 IBADRN O=C1c2ccccc2N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921047393 1072959972 /nfs/dbraw/zinc/95/99/72/1072959972.db2.gz ZBWGZSMGMRFPBH-CYBMUJFWSA-N 0 0 427.504 -0.692 20 0 IBADRN O=C1c2ccccc2N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921047394 1072959870 /nfs/dbraw/zinc/95/98/70/1072959870.db2.gz ZBWGZSMGMRFPBH-ZDUSSCGKSA-N 0 0 427.504 -0.692 20 0 IBADRN O=c1oc(-c2cccs2)nn1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921047405 1072959836 /nfs/dbraw/zinc/95/98/36/1072959836.db2.gz ZTWJEFZBLTXYLH-GFCCVEGCSA-N 0 0 448.548 -0.343 20 0 IBADRN O=c1oc(-c2cccs2)nn1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000921047407 1072959808 /nfs/dbraw/zinc/95/98/08/1072959808.db2.gz ZTWJEFZBLTXYLH-LBPRGKRZSA-N 0 0 448.548 -0.343 20 0 IBADRN O=C(CNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000921055337 1072959750 /nfs/dbraw/zinc/95/97/50/1072959750.db2.gz KUXNFUOCLPDFNY-UHFFFAOYSA-N 0 0 444.429 -0.407 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000921076637 1072959851 /nfs/dbraw/zinc/95/98/51/1072959851.db2.gz XPKPCZPSYFOKMM-AWEZNQCLSA-N 0 0 428.511 -0.751 20 0 IBADRN CCOC(=O)CN1CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC000921076638 1072960056 /nfs/dbraw/zinc/96/00/56/1072960056.db2.gz XPKPCZPSYFOKMM-CQSZACIVSA-N 0 0 428.511 -0.751 20 0 IBADRN NC(=O)[C@@H]1CCCCN1C(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000921099627 1072959956 /nfs/dbraw/zinc/95/99/56/1072959956.db2.gz AFLVCTVSMIPVAL-AWEZNQCLSA-N 0 0 444.535 -0.225 20 0 IBADRN NC(=O)[C@H]1CCCCN1C(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000921099629 1072959942 /nfs/dbraw/zinc/95/99/42/1072959942.db2.gz AFLVCTVSMIPVAL-CQSZACIVSA-N 0 0 444.535 -0.225 20 0 IBADRN Cn1c(=O)cc(COC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)n(C)c1=O ZINC000921099696 1072959881 /nfs/dbraw/zinc/95/98/81/1072959881.db2.gz AUQUVEYZDDUJAG-UHFFFAOYSA-N 0 0 428.492 -0.493 20 0 IBADRN CC(=O)N1CCN(C(=O)COC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC000921100306 1072960026 /nfs/dbraw/zinc/96/00/26/1072960026.db2.gz DYYITNHJMAILHT-UHFFFAOYSA-N 0 0 444.535 -0.400 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000921100491 1072960041 /nfs/dbraw/zinc/96/00/41/1072960041.db2.gz HOMTXTDNELRPIK-LLVKDONJSA-N 0 0 448.523 -0.230 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000921100493 1072960539 /nfs/dbraw/zinc/96/05/39/1072960539.db2.gz HOMTXTDNELRPIK-NSHDSACASA-N 0 0 448.523 -0.230 20 0 IBADRN CN(C)c1nc(N)nc(COC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)n1 ZINC000921102013 1072960413 /nfs/dbraw/zinc/96/04/13/1072960413.db2.gz UAGVCHFXVAABST-UHFFFAOYSA-N 0 0 427.512 -0.058 20 0 IBADRN O=C(COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)NCC(=O)N1CCCC1 ZINC000921102401 1072960505 /nfs/dbraw/zinc/96/05/05/1072960505.db2.gz VXHYPZBLPZMPMT-UHFFFAOYSA-N 0 0 444.535 -0.352 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)C(=O)N(C)C ZINC000921103680 1072960482 /nfs/dbraw/zinc/96/04/82/1072960482.db2.gz LLYZIMBQULLFFD-LLVKDONJSA-N 0 0 432.524 -0.498 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)C(=O)N(C)C ZINC000921103681 1072960405 /nfs/dbraw/zinc/96/04/05/1072960405.db2.gz LLYZIMBQULLFFD-NSHDSACASA-N 0 0 432.524 -0.498 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)COC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000921103897 1072960397 /nfs/dbraw/zinc/96/03/97/1072960397.db2.gz LXSCIKBTGQIYPB-UHFFFAOYSA-N 0 0 446.551 -0.060 20 0 IBADRN O=C(NCc1nc(Cc2ccccc2)no1)N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000921124580 1072960517 /nfs/dbraw/zinc/96/05/17/1072960517.db2.gz PWMMJMVZJGGYCY-UHFFFAOYSA-N 0 0 442.476 -0.127 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)COC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)cc2C1=O ZINC000921164193 1072960441 /nfs/dbraw/zinc/96/04/41/1072960441.db2.gz RIEDHLWTVLSKQZ-UHFFFAOYSA-N 0 0 444.425 -0.108 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc2S1(=O)=O ZINC000921164985 1072960377 /nfs/dbraw/zinc/96/03/77/1072960377.db2.gz CMBMFWYQUCXCLQ-UHFFFAOYSA-N 0 0 444.535 -0.842 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(S(=O)(=O)N3CCCC3)o2)CC1 ZINC000921165404 1072960464 /nfs/dbraw/zinc/96/04/64/1072960464.db2.gz HQCRVZVNFQOVAU-UHFFFAOYSA-N 0 0 434.540 -0.629 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000921165434 1072960456 /nfs/dbraw/zinc/96/04/56/1072960456.db2.gz ITZZLCDHRUYAEX-IBGZPJMESA-N 0 0 437.522 -0.853 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1=O ZINC000921165435 1072960450 /nfs/dbraw/zinc/96/04/50/1072960450.db2.gz ITZZLCDHRUYAEX-LJQANCHMSA-N 0 0 437.522 -0.853 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000921165441 1072960977 /nfs/dbraw/zinc/96/09/77/1072960977.db2.gz JCNVUTWVRSYRGY-UHFFFAOYSA-N 0 0 436.531 -0.617 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000921165467 1072960860 /nfs/dbraw/zinc/96/08/60/1072960860.db2.gz KESSVJPCKPTSME-UHFFFAOYSA-N 0 0 436.531 -0.569 20 0 IBADRN C[C@H](OC[C@H]1CCCCO1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921165709 1072960960 /nfs/dbraw/zinc/96/09/60/1072960960.db2.gz XCMVEKFYIMQTDO-ARFHVFGLSA-N 0 0 438.568 -0.378 20 0 IBADRN C[C@H](OC[C@@H]1CCCCO1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921165710 1072960919 /nfs/dbraw/zinc/96/09/19/1072960919.db2.gz XCMVEKFYIMQTDO-HRCADAONSA-N 0 0 438.568 -0.378 20 0 IBADRN C[C@H](OC[C@@H]1CCCCO1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000921165711 1072960931 /nfs/dbraw/zinc/96/09/31/1072960931.db2.gz XCMVEKFYIMQTDO-JYJNAYRXSA-N 0 0 438.568 -0.378 20 0 IBADRN C[C@H](OC[C@H]1CCCCO1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000921165712 1072960964 /nfs/dbraw/zinc/96/09/64/1072960964.db2.gz XCMVEKFYIMQTDO-XHSDSOJGSA-N 0 0 438.568 -0.378 20 0 IBADRN COc1cccc(/C=C(/C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n2nnnc2C)c1 ZINC000921165771 1072960849 /nfs/dbraw/zinc/96/08/49/1072960849.db2.gz NMSGXGPYNQRZBD-JXAWBTAJSA-N 0 0 449.537 -0.318 20 0 IBADRN COc1cccc(/C=C(\C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n2nnnc2C)c1 ZINC000921165772 1072960979 /nfs/dbraw/zinc/96/09/79/1072960979.db2.gz NMSGXGPYNQRZBD-NBVRZTHBSA-N 0 0 449.537 -0.318 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC000921165873 1072960956 /nfs/dbraw/zinc/96/09/56/1072960956.db2.gz OQKNDVOWWWGTGI-UHFFFAOYSA-N 0 0 434.540 -0.825 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC000921166327 1072960896 /nfs/dbraw/zinc/96/08/96/1072960896.db2.gz VHQIWEUQRAEVST-UHFFFAOYSA-N 0 0 434.540 -0.825 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC000921166369 1072960926 /nfs/dbraw/zinc/96/09/26/1072960926.db2.gz VYRJQIYKYFXHOV-UHFFFAOYSA-N 0 0 425.479 -0.010 20 0 IBADRN Cc1nnnn1/C(=C/c1ccc(F)cc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000921166595 1072960886 /nfs/dbraw/zinc/96/08/86/1072960886.db2.gz YAOVKOHDXVQIGO-GHRIWEEISA-N 0 0 437.501 -0.188 20 0 IBADRN Cc1nnnn1/C(=C\c1ccc(F)cc1)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC000921166596 1072960987 /nfs/dbraw/zinc/96/09/87/1072960987.db2.gz YAOVKOHDXVQIGO-LGMDPLHJSA-N 0 0 437.501 -0.188 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)COC(=O)C3=NC=CN4CCS(=O)(=O)N=C34)cc2C1=O ZINC000921171503 1072961345 /nfs/dbraw/zinc/96/13/45/1072961345.db2.gz HGSILELDAVNJHG-UHFFFAOYSA-N 0 0 445.413 -0.636 20 0 IBADRN Cn1c2ncn(CCOC(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)c2c(=O)n(C)c1=O ZINC000921175874 1072961464 /nfs/dbraw/zinc/96/14/64/1072961464.db2.gz RNUUISAYGZLZBH-UHFFFAOYSA-N 0 0 447.473 -0.269 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CN2C(=O)CSc3ccccc32)CC1 ZINC000921179893 1072961398 /nfs/dbraw/zinc/96/13/98/1072961398.db2.gz TZZAWXJKAGDNDY-UHFFFAOYSA-N 0 0 434.518 -0.099 20 0 IBADRN O=C(COC(=O)CN1C(=O)CSc2ccccc21)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000921180139 1072961521 /nfs/dbraw/zinc/96/15/21/1072961521.db2.gz IMZFIQHRIDHYEO-LLVKDONJSA-N 0 0 441.487 -0.319 20 0 IBADRN O=C(COC(=O)CN1C(=O)CSc2ccccc21)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000921180140 1072961479 /nfs/dbraw/zinc/96/14/79/1072961479.db2.gz IMZFIQHRIDHYEO-NSHDSACASA-N 0 0 441.487 -0.319 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(CN3C(=O)CCC3=O)cc2)CC1 ZINC000921181151 1072961415 /nfs/dbraw/zinc/96/14/15/1072961415.db2.gz PRNIEKJULJQQDZ-UHFFFAOYSA-N 0 0 444.488 -0.275 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC000921182761 1072961531 /nfs/dbraw/zinc/96/15/31/1072961531.db2.gz UJEZJBVDUIOGFN-UHFFFAOYSA-N 0 0 445.476 -0.872 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(Cn3cnc(C(=O)OC)n3)CC2)c1 ZINC000921184775 1072961379 /nfs/dbraw/zinc/96/13/79/1072961379.db2.gz WOWXQBZAFASHQS-UHFFFAOYSA-N 0 0 429.480 -0.123 20 0 IBADRN COC(=O)c1nn(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(C)c1Cl ZINC000921186515 1072961437 /nfs/dbraw/zinc/96/14/37/1072961437.db2.gz RVHDYBNRZRLVCR-UHFFFAOYSA-N 0 0 434.950 -0.301 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cn2cc(Br)cn2)CC1 ZINC000921187951 1072962003 /nfs/dbraw/zinc/96/20/03/1072962003.db2.gz RVBDADQHUWKRMO-GFCCVEGCSA-N 0 0 427.346 -0.262 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cn2cc(Br)cn2)CC1 ZINC000921187952 1072961953 /nfs/dbraw/zinc/96/19/53/1072961953.db2.gz RVBDADQHUWKRMO-LBPRGKRZSA-N 0 0 427.346 -0.262 20 0 IBADRN CC(=O)N1CCN(C(=O)CN(C)CC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC000921191940 1072961999 /nfs/dbraw/zinc/96/19/99/1072961999.db2.gz FRMPEEPXNVGOEW-UHFFFAOYSA-N 0 0 425.530 -0.312 20 0 IBADRN COC[C@H](C)NC(=O)NC(=O)CN(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000921192013 1072962058 /nfs/dbraw/zinc/96/20/58/1072962058.db2.gz IAOWNMNNEDXQDK-AWEZNQCLSA-N 0 0 429.518 -0.142 20 0 IBADRN COC[C@@H](C)NC(=O)NC(=O)CN(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000921192014 1072961990 /nfs/dbraw/zinc/96/19/90/1072961990.db2.gz IAOWNMNNEDXQDK-CQSZACIVSA-N 0 0 429.518 -0.142 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)Cc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000921192019 1072962050 /nfs/dbraw/zinc/96/20/50/1072962050.db2.gz IFLUZWNRYGXVQN-UHFFFAOYSA-N 0 0 449.512 -0.529 20 0 IBADRN CN(CC(=O)NCC(=O)N1CCCC1)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000921192886 1072961963 /nfs/dbraw/zinc/96/19/63/1072961963.db2.gz RBPDQXWJEQMJRR-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN CCCNC(=O)CN(C)C(=O)CN(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC000921193243 1072962066 /nfs/dbraw/zinc/96/20/66/1072962066.db2.gz VRVXSENCQAXBFO-UHFFFAOYSA-N 0 0 427.546 -0.018 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC000921203567 1072961512 /nfs/dbraw/zinc/96/15/12/1072961512.db2.gz DYSNGXJYMUVTRM-AWEZNQCLSA-N 0 0 427.449 -0.747 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC000921203570 1072961499 /nfs/dbraw/zinc/96/14/99/1072961499.db2.gz DYSNGXJYMUVTRM-CQSZACIVSA-N 0 0 427.449 -0.747 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CCNS(=O)(=O)/C=C\c2ccccc2)CC1 ZINC000921204272 1072961425 /nfs/dbraw/zinc/96/14/25/1072961425.db2.gz WTCAHKXKPRAJBA-PXNMLYILSA-N 0 0 444.579 -0.340 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1)CC(=O)N1CCOCC1 ZINC000921208034 1072962008 /nfs/dbraw/zinc/96/20/08/1072962008.db2.gz DUAKTCKRXSPNRB-UHFFFAOYSA-N 0 0 437.522 -0.114 20 0 IBADRN O=C(COC(=O)[C@H]1CCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000921215894 1072962023 /nfs/dbraw/zinc/96/20/23/1072962023.db2.gz IQOUOMJFAQONAR-AWEZNQCLSA-N 0 0 440.474 -0.130 20 0 IBADRN O=C(COC(=O)[C@@H]1CCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC000921215895 1072962014 /nfs/dbraw/zinc/96/20/14/1072962014.db2.gz IQOUOMJFAQONAR-CQSZACIVSA-N 0 0 440.474 -0.130 20 0 IBADRN C[C@H](OC[C@@H]1CCCCO1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921223135 1072962053 /nfs/dbraw/zinc/96/20/53/1072962053.db2.gz NMFUSEDYVKTZCO-BBWFWOEESA-N 0 0 432.539 -0.165 20 0 IBADRN C[C@H](OC[C@H]1CCCCO1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921223138 1072961968 /nfs/dbraw/zinc/96/19/68/1072961968.db2.gz NMFUSEDYVKTZCO-GVDBMIGSSA-N 0 0 432.539 -0.165 20 0 IBADRN C[C@H](OC[C@@H]1CCCCO1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000921223141 1072962045 /nfs/dbraw/zinc/96/20/45/1072962045.db2.gz NMFUSEDYVKTZCO-ULQDDVLXSA-N 0 0 432.539 -0.165 20 0 IBADRN C[C@H](OC[C@H]1CCCCO1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000921223144 1072961945 /nfs/dbraw/zinc/96/19/45/1072961945.db2.gz NMFUSEDYVKTZCO-YESZJQIVSA-N 0 0 432.539 -0.165 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000921223820 1072961979 /nfs/dbraw/zinc/96/19/79/1072961979.db2.gz KIDGXVSNVJRPCX-AWEZNQCLSA-N 0 0 427.479 -0.160 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC000921223823 1072962029 /nfs/dbraw/zinc/96/20/29/1072962029.db2.gz KIDGXVSNVJRPCX-CQSZACIVSA-N 0 0 427.479 -0.160 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921245470 1072962489 /nfs/dbraw/zinc/96/24/89/1072962489.db2.gz AHJPXBHVLOYZBO-JXFKEZNVSA-N 0 0 434.518 -0.215 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921245471 1072962451 /nfs/dbraw/zinc/96/24/51/1072962451.db2.gz AHJPXBHVLOYZBO-OXJNMPFZSA-N 0 0 434.518 -0.215 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921245472 1072962499 /nfs/dbraw/zinc/96/24/99/1072962499.db2.gz AHJPXBHVLOYZBO-OXQOHEQNSA-N 0 0 434.518 -0.215 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC000921245473 1072962408 /nfs/dbraw/zinc/96/24/08/1072962408.db2.gz AHJPXBHVLOYZBO-UZLBHIALSA-N 0 0 434.518 -0.215 20 0 IBADRN O=C(NN1CC(=O)NC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Br ZINC000921245678 1072962466 /nfs/dbraw/zinc/96/24/66/1072962466.db2.gz SIVYOWWWMFDXJD-UHFFFAOYSA-N 0 0 447.267 -0.333 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921245808 1072962494 /nfs/dbraw/zinc/96/24/94/1072962494.db2.gz LSDMFHDDJIBAGJ-CYBMUJFWSA-N 0 0 427.504 -0.838 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000921245812 1072962420 /nfs/dbraw/zinc/96/24/20/1072962420.db2.gz LSDMFHDDJIBAGJ-ZDUSSCGKSA-N 0 0 427.504 -0.838 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000921246070 1072962399 /nfs/dbraw/zinc/96/23/99/1072962399.db2.gz YWVLJAHRIQOQAO-HRAATJIYSA-N 0 0 446.529 -0.289 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921246071 1072962514 /nfs/dbraw/zinc/96/25/14/1072962514.db2.gz YWVLJAHRIQOQAO-IERDGZPVSA-N 0 0 446.529 -0.289 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC000921246072 1072962458 /nfs/dbraw/zinc/96/24/58/1072962458.db2.gz YWVLJAHRIQOQAO-IIBYNOLFSA-N 0 0 446.529 -0.289 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC000921246073 1072962381 /nfs/dbraw/zinc/96/23/81/1072962381.db2.gz YWVLJAHRIQOQAO-KKSFZXQISA-N 0 0 446.529 -0.289 20 0 IBADRN O=C(CNC(=O)c1ccc2c(c1)OCO2)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000921933483 1073165141 /nfs/dbraw/zinc/16/51/41/1073165141.db2.gz LPRRXXGTBPAFPW-UHFFFAOYSA-N 0 0 427.417 -0.173 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000922108906 1073189882 /nfs/dbraw/zinc/18/98/82/1073189882.db2.gz YCPGDZORWFCAGH-UHFFFAOYSA-N 0 0 440.478 -0.795 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000922141673 1073193042 /nfs/dbraw/zinc/19/30/42/1073193042.db2.gz WXTAITSOAXCLMQ-ZDUSSCGKSA-N 0 0 427.479 -0.356 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)OCC(=O)NCC(=O)N2CCCC2)c1 ZINC000922225855 1073210826 /nfs/dbraw/zinc/21/08/26/1073210826.db2.gz VQMILRWXNTZHAA-UHFFFAOYSA-N 0 0 439.490 -0.161 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000922348756 1073221302 /nfs/dbraw/zinc/22/13/02/1073221302.db2.gz XNTUNMCCLYPTRT-AWEZNQCLSA-N 0 0 429.520 -0.412 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)N1CCNC(=O)C1 ZINC000922348757 1073221332 /nfs/dbraw/zinc/22/13/32/1073221332.db2.gz XNTUNMCCLYPTRT-CQSZACIVSA-N 0 0 429.520 -0.412 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)OCC(=O)N1CCNC(=O)C1 ZINC000922391912 1073223704 /nfs/dbraw/zinc/22/37/04/1073223704.db2.gz CAHZETHBTCUEOC-UHFFFAOYSA-N 0 0 434.268 -0.771 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000922394204 1073223998 /nfs/dbraw/zinc/22/39/98/1073223998.db2.gz JGQPCNVLWTWASB-UHFFFAOYSA-N 0 0 437.453 -0.214 20 0 IBADRN CC(C)[C@@H](OC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000923063109 1073321705 /nfs/dbraw/zinc/32/17/05/1073321705.db2.gz AXIANMSECWXOGV-GXTWGEPZSA-N 0 0 429.495 -0.278 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000923063110 1073321517 /nfs/dbraw/zinc/32/15/17/1073321517.db2.gz AXIANMSECWXOGV-JSGCOSHPSA-N 0 0 429.495 -0.278 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000923063111 1073321612 /nfs/dbraw/zinc/32/16/12/1073321612.db2.gz AXIANMSECWXOGV-OCCSQVGLSA-N 0 0 429.495 -0.278 20 0 IBADRN CC(C)[C@@H](OC(=O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000923063112 1073321572 /nfs/dbraw/zinc/32/15/72/1073321572.db2.gz AXIANMSECWXOGV-TZMCWYRMSA-N 0 0 429.495 -0.278 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)OCC(=O)NCC(=O)N2CCCC2)c1 ZINC000923249245 1073337583 /nfs/dbraw/zinc/33/75/83/1073337583.db2.gz NWHRAHUSCMSEDU-UHFFFAOYSA-N 0 0 441.506 -0.185 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)OCC(=O)NCC(=O)N2CCCC2)c1 ZINC000923511280 1073352924 /nfs/dbraw/zinc/35/29/24/1073352924.db2.gz ITXURYPYPKVRNM-UHFFFAOYSA-N 0 0 448.295 -0.008 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000923514236 1073353290 /nfs/dbraw/zinc/35/32/90/1073353290.db2.gz ZKVSEKNZNCSZNV-HZMBPMFUSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000923514237 1073353045 /nfs/dbraw/zinc/35/30/45/1073353045.db2.gz ZKVSEKNZNCSZNV-IINYFYTJSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@@H](OC(=O)c1cc(S(N)(=O)=O)ccc1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000923514238 1073353314 /nfs/dbraw/zinc/35/33/14/1073353314.db2.gz ZKVSEKNZNCSZNV-QMTHXVAHSA-N 0 0 436.483 -0.042 20 0 IBADRN CC(C)[C@H](OC(=O)c1cc(S(N)(=O)=O)ccc1F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000923514239 1073353193 /nfs/dbraw/zinc/35/31/93/1073353193.db2.gz ZKVSEKNZNCSZNV-YGRLFVJLSA-N 0 0 436.483 -0.042 20 0 IBADRN O=C(COC(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1)NCC(=O)N1CCCC1 ZINC000923637099 1074466374 /nfs/dbraw/zinc/46/63/74/1074466374.db2.gz OLAYAKYFLAGKML-UHFFFAOYSA-N 0 0 433.433 -0.085 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000923665181 1074469176 /nfs/dbraw/zinc/46/91/76/1074469176.db2.gz GSJLYZGEJZBHNI-GXTWGEPZSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000923665182 1074469214 /nfs/dbraw/zinc/46/92/14/1074469214.db2.gz GSJLYZGEJZBHNI-JSGCOSHPSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC000923665183 1074469215 /nfs/dbraw/zinc/46/92/15/1074469215.db2.gz GSJLYZGEJZBHNI-OCCSQVGLSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC000923665184 1074469184 /nfs/dbraw/zinc/46/91/84/1074469184.db2.gz GSJLYZGEJZBHNI-TZMCWYRMSA-N 0 0 428.463 -0.022 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000923867868 1072884377 /nfs/dbraw/zinc/88/43/77/1072884377.db2.gz GGICHVNZLBVXMR-GFCCVEGCSA-N 0 0 441.466 -0.812 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000923867888 1072884253 /nfs/dbraw/zinc/88/42/53/1072884253.db2.gz GGICHVNZLBVXMR-LBPRGKRZSA-N 0 0 441.466 -0.812 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)C1 ZINC000923869180 1072904264 /nfs/dbraw/zinc/90/42/64/1072904264.db2.gz ZAAMXDKEUHCAIO-GFCCVEGCSA-N 0 0 426.451 -0.699 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)COC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)C1 ZINC000923869183 1072904358 /nfs/dbraw/zinc/90/43/58/1072904358.db2.gz ZAAMXDKEUHCAIO-LBPRGKRZSA-N 0 0 426.451 -0.699 20 0 IBADRN C[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000923869207 1074683225 /nfs/dbraw/zinc/68/32/25/1074683225.db2.gz ZUDYVURRJWYELH-CHWSQXEVSA-N 0 0 448.457 -0.156 20 0 IBADRN C[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000923869217 1074683264 /nfs/dbraw/zinc/68/32/64/1074683264.db2.gz ZUDYVURRJWYELH-OLZOCXBDSA-N 0 0 448.457 -0.156 20 0 IBADRN C[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC000923869219 1074683268 /nfs/dbraw/zinc/68/32/68/1074683268.db2.gz ZUDYVURRJWYELH-QWHCGFSZSA-N 0 0 448.457 -0.156 20 0 IBADRN C[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)NC[C@H]1COc2ccccc2O1 ZINC000923869221 1074683213 /nfs/dbraw/zinc/68/32/13/1074683213.db2.gz ZUDYVURRJWYELH-STQMWFEESA-N 0 0 448.457 -0.156 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000923869320 1074683232 /nfs/dbraw/zinc/68/32/32/1074683232.db2.gz OXJHSOHWCVMVBO-GXTWGEPZSA-N 0 0 439.494 -0.752 20 0 IBADRN CC(C)[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000923869326 1074683247 /nfs/dbraw/zinc/68/32/47/1074683247.db2.gz OXJHSOHWCVMVBO-JSGCOSHPSA-N 0 0 439.494 -0.752 20 0 IBADRN CC(C)[C@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000923869327 1074683203 /nfs/dbraw/zinc/68/32/03/1074683203.db2.gz OXJHSOHWCVMVBO-OCCSQVGLSA-N 0 0 439.494 -0.752 20 0 IBADRN CC(C)[C@@H](OC(=O)C1=NC=CN2CCS(=O)(=O)N=C12)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000923869328 1074683254 /nfs/dbraw/zinc/68/32/54/1074683254.db2.gz OXJHSOHWCVMVBO-TZMCWYRMSA-N 0 0 439.494 -0.752 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)C1 ZINC000923869444 1074683244 /nfs/dbraw/zinc/68/32/44/1074683244.db2.gz REZAJWFVZHSXCA-CHWSQXEVSA-N 0 0 440.478 -0.311 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)C1 ZINC000923869447 1074683228 /nfs/dbraw/zinc/68/32/28/1074683228.db2.gz REZAJWFVZHSXCA-OLZOCXBDSA-N 0 0 440.478 -0.311 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)[C@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)C1 ZINC000923869448 1074683194 /nfs/dbraw/zinc/68/31/94/1074683194.db2.gz REZAJWFVZHSXCA-QWHCGFSZSA-N 0 0 440.478 -0.311 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)[C@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)C1 ZINC000923869450 1074683211 /nfs/dbraw/zinc/68/32/11/1074683211.db2.gz REZAJWFVZHSXCA-STQMWFEESA-N 0 0 440.478 -0.311 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)C(C)C)CC1 ZINC000923869641 1074683251 /nfs/dbraw/zinc/68/32/51/1074683251.db2.gz SXLCIYVQFRYOFD-HNNXBMFYSA-N 0 0 439.494 -0.785 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)C(C)C)CC1 ZINC000923869642 1074683236 /nfs/dbraw/zinc/68/32/36/1074683236.db2.gz SXLCIYVQFRYOFD-OAHLLOKOSA-N 0 0 439.494 -0.785 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000923870127 1074683258 /nfs/dbraw/zinc/68/32/58/1074683258.db2.gz WNEVUVZGQDICND-LLVKDONJSA-N 0 0 426.451 -0.701 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)C2=NC=CN3CCS(=O)(=O)N=C23)CC1 ZINC000923870130 1074683753 /nfs/dbraw/zinc/68/37/53/1074683753.db2.gz WNEVUVZGQDICND-NSHDSACASA-N 0 0 426.451 -0.701 20 0 IBADRN CS(=O)(=O)N1CCC[C@H]1C(=O)OCCCOC(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000924069775 1074683731 /nfs/dbraw/zinc/68/37/31/1074683731.db2.gz GLSOPBSJDAQUAG-STQMWFEESA-N 0 0 426.513 -0.689 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000924224648 1074686813 /nfs/dbraw/zinc/68/68/13/1074686813.db2.gz NYBIZTJYAZDZFM-IAISJRAMSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000924224649 1074686798 /nfs/dbraw/zinc/68/67/98/1074686798.db2.gz NYBIZTJYAZDZFM-UVBSCNOISA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000924224650 1074687304 /nfs/dbraw/zinc/68/73/04/1074687304.db2.gz NYBIZTJYAZDZFM-XAYKYTGQSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000924224652 1074687295 /nfs/dbraw/zinc/68/72/95/1074687295.db2.gz NYBIZTJYAZDZFM-YEWDVWPNSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000924228671 1074687377 /nfs/dbraw/zinc/68/73/77/1074687377.db2.gz HMECEXFNYVCCGA-GDIGMMSISA-N 0 0 443.522 -0.032 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000924228674 1074687318 /nfs/dbraw/zinc/68/73/18/1074687318.db2.gz HMECEXFNYVCCGA-JVPBZIDWSA-N 0 0 443.522 -0.032 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000924228677 1074687307 /nfs/dbraw/zinc/68/73/07/1074687307.db2.gz HMECEXFNYVCCGA-KYNGSXCRSA-N 0 0 443.522 -0.032 20 0 IBADRN CC(C)[C@H](OC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000924228679 1074687257 /nfs/dbraw/zinc/68/72/57/1074687257.db2.gz HMECEXFNYVCCGA-ZOCIIQOWSA-N 0 0 443.522 -0.032 20 0 IBADRN O=C(CC[C@@H]1NC(=O)NC1=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000924426351 1074696465 /nfs/dbraw/zinc/69/64/65/1074696465.db2.gz PVZTWLCKPHWOST-HNNXBMFYSA-N 0 0 441.462 -0.382 20 0 IBADRN O=C(CC[C@H]1NC(=O)NC1=O)OCCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000924426354 1074696426 /nfs/dbraw/zinc/69/64/26/1074696426.db2.gz PVZTWLCKPHWOST-OAHLLOKOSA-N 0 0 441.462 -0.382 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000924473643 1074697993 /nfs/dbraw/zinc/69/79/93/1074697993.db2.gz ZWBWUMBTBGXLJW-LLVKDONJSA-N 0 0 447.539 -0.962 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)OCCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC000924473645 1074697912 /nfs/dbraw/zinc/69/79/12/1074697912.db2.gz ZWBWUMBTBGXLJW-NSHDSACASA-N 0 0 447.539 -0.962 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000924475571 1074697880 /nfs/dbraw/zinc/69/78/80/1074697880.db2.gz DMEZPMXKTCYNOI-AWEZNQCLSA-N 0 0 445.567 -0.271 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000924475584 1074697983 /nfs/dbraw/zinc/69/79/83/1074697983.db2.gz DMEZPMXKTCYNOI-CQSZACIVSA-N 0 0 445.567 -0.271 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)OCC(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC000924572325 1074700825 /nfs/dbraw/zinc/70/08/25/1074700825.db2.gz ZBIYMEQBUKZMRB-UHFFFAOYSA-N 0 0 433.490 -0.051 20 0 IBADRN CC(C)[C@@H](OC(=O)CCN1C(=O)NC(C)(C)C1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000925005883 1074706911 /nfs/dbraw/zinc/70/69/11/1074706911.db2.gz QPDKUHGRAKEJTB-ACJLOTCBSA-N 0 0 431.511 -0.032 20 0 IBADRN CC(C)[C@@H](OC(=O)CCN1C(=O)NC(C)(C)C1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000925005884 1074706720 /nfs/dbraw/zinc/70/67/20/1074706720.db2.gz QPDKUHGRAKEJTB-FZKQIMNGSA-N 0 0 431.511 -0.032 20 0 IBADRN CC(C)[C@H](OC(=O)CCN1C(=O)NC(C)(C)C1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000925005885 1074706742 /nfs/dbraw/zinc/70/67/42/1074706742.db2.gz QPDKUHGRAKEJTB-SCLBCKFNSA-N 0 0 431.511 -0.032 20 0 IBADRN CC(C)[C@H](OC(=O)CCN1C(=O)NC(C)(C)C1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000925005886 1074706871 /nfs/dbraw/zinc/70/68/71/1074706871.db2.gz QPDKUHGRAKEJTB-UGSOOPFHSA-N 0 0 431.511 -0.032 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000925015705 1074707154 /nfs/dbraw/zinc/70/71/54/1074707154.db2.gz KRGVLQGMRKYTRV-UHFFFAOYSA-N 0 0 430.465 -0.077 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000925213876 1074712430 /nfs/dbraw/zinc/71/24/30/1074712430.db2.gz WZOWWKPPTGSPOP-LLVKDONJSA-N 0 0 429.451 -0.995 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC000925213885 1074712408 /nfs/dbraw/zinc/71/24/08/1074712408.db2.gz WZOWWKPPTGSPOP-NSHDSACASA-N 0 0 429.451 -0.995 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)OCc1cccc(COC(=O)Cn2ccc(=O)[nH]c2=O)c1 ZINC000925891894 1074727912 /nfs/dbraw/zinc/72/79/12/1074727912.db2.gz WGYURVLIWMIXNO-UHFFFAOYSA-N 0 0 442.384 -0.302 20 0 IBADRN CC(C)[C@@H](OC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000926060560 1072163085 /nfs/dbraw/zinc/16/30/85/1072163085.db2.gz NPLIFOFIJMOOCS-CRAIPNDOSA-N 0 0 431.511 -0.078 20 0 IBADRN CC(C)[C@H](OC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000926060564 1072163080 /nfs/dbraw/zinc/16/30/80/1072163080.db2.gz NPLIFOFIJMOOCS-MAUKXSAKSA-N 0 0 431.511 -0.078 20 0 IBADRN CC(C)[C@@H](OC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000926060567 1072162931 /nfs/dbraw/zinc/16/29/31/1072162931.db2.gz NPLIFOFIJMOOCS-QAPCUYQASA-N 0 0 431.511 -0.078 20 0 IBADRN CC(C)[C@H](OC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000926060568 1072163040 /nfs/dbraw/zinc/16/30/40/1072163040.db2.gz NPLIFOFIJMOOCS-YJBOKZPZSA-N 0 0 431.511 -0.078 20 0 IBADRN C[C@@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000926198474 1072164880 /nfs/dbraw/zinc/16/48/80/1072164880.db2.gz LKWPYBZVWKERKB-CHWSQXEVSA-N 0 0 436.490 -0.277 20 0 IBADRN C[C@@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000926198477 1072165640 /nfs/dbraw/zinc/16/56/40/1072165640.db2.gz LKWPYBZVWKERKB-OLZOCXBDSA-N 0 0 436.490 -0.277 20 0 IBADRN C[C@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000926198479 1072165794 /nfs/dbraw/zinc/16/57/94/1072165794.db2.gz LKWPYBZVWKERKB-QWHCGFSZSA-N 0 0 436.490 -0.277 20 0 IBADRN C[C@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000926198482 1072165632 /nfs/dbraw/zinc/16/56/32/1072165632.db2.gz LKWPYBZVWKERKB-STQMWFEESA-N 0 0 436.490 -0.277 20 0 IBADRN C[C@@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N(C)CC(=O)NC1CC1 ZINC000926200590 1072165430 /nfs/dbraw/zinc/16/54/30/1072165430.db2.gz VAOKYCYOFKRFTB-GFCCVEGCSA-N 0 0 436.490 -0.264 20 0 IBADRN C[C@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N(C)CC(=O)NC1CC1 ZINC000926200592 1072165472 /nfs/dbraw/zinc/16/54/72/1072165472.db2.gz VAOKYCYOFKRFTB-LBPRGKRZSA-N 0 0 436.490 -0.264 20 0 IBADRN C[C@@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N1CCC(C(N)=O)CC1 ZINC000926200809 1072165661 /nfs/dbraw/zinc/16/56/61/1072165661.db2.gz XBFBCXGAXWCTLL-GFCCVEGCSA-N 0 0 436.490 -0.277 20 0 IBADRN C[C@H](OC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21)C(=O)N1CCC(C(N)=O)CC1 ZINC000926200811 1072165674 /nfs/dbraw/zinc/16/56/74/1072165674.db2.gz XBFBCXGAXWCTLL-LBPRGKRZSA-N 0 0 436.490 -0.277 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000926607035 1072168826 /nfs/dbraw/zinc/16/88/26/1072168826.db2.gz CRQZBHUUKDNUQU-UHFFFAOYSA-N 0 0 425.511 -0.270 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)O[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)CC1 ZINC000926611046 1072168876 /nfs/dbraw/zinc/16/88/76/1072168876.db2.gz IFXCPQSSERLORZ-CABCVRRESA-N 0 0 438.568 -0.081 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)O[C@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)CC1 ZINC000926611047 1072168963 /nfs/dbraw/zinc/16/89/63/1072168963.db2.gz IFXCPQSSERLORZ-GJZGRUSLSA-N 0 0 438.568 -0.081 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)O[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)CC1 ZINC000926611048 1072168723 /nfs/dbraw/zinc/16/87/23/1072168723.db2.gz IFXCPQSSERLORZ-HUUCEWRRSA-N 0 0 438.568 -0.081 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)O[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)CC1 ZINC000926611049 1072168979 /nfs/dbraw/zinc/16/89/79/1072168979.db2.gz IFXCPQSSERLORZ-LSDHHAIUSA-N 0 0 438.568 -0.081 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)N2CCCC2)cc1OC ZINC000926671665 1072169585 /nfs/dbraw/zinc/16/95/85/1072169585.db2.gz PMXMHMLHFDGHAE-UHFFFAOYSA-N 0 0 443.478 -0.736 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000926752451 1072170126 /nfs/dbraw/zinc/17/01/26/1072170126.db2.gz GUICJRLVLIWCDG-UHFFFAOYSA-N 0 0 438.466 -0.748 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000926752673 1072170364 /nfs/dbraw/zinc/17/03/64/1072170364.db2.gz HQQGCCGQRVAVBJ-CYBMUJFWSA-N 0 0 446.482 -0.198 20 0 IBADRN CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000926752679 1072170191 /nfs/dbraw/zinc/17/01/91/1072170191.db2.gz HQQGCCGQRVAVBJ-ZDUSSCGKSA-N 0 0 446.482 -0.198 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000926753643 1072170326 /nfs/dbraw/zinc/17/03/26/1072170326.db2.gz OIUSPBNCJJRGSN-GFCCVEGCSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c2c(C)noc2C)CC1 ZINC000926753645 1072170375 /nfs/dbraw/zinc/17/03/75/1072170375.db2.gz OIUSPBNCJJRGSN-LBPRGKRZSA-N 0 0 431.467 -0.087 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](OC(=O)CNS(=O)(=O)c2c(C)noc2C)C(C)C)CC1 ZINC000926754237 1072170355 /nfs/dbraw/zinc/17/03/55/1072170355.db2.gz NGFCFDCLOVTPOZ-INIZCTEOSA-N 0 0 444.510 -0.172 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](OC(=O)CNS(=O)(=O)c2c(C)noc2C)C(C)C)CC1 ZINC000926754241 1072170164 /nfs/dbraw/zinc/17/01/64/1072170164.db2.gz NGFCFDCLOVTPOZ-MRXNPFEDSA-N 0 0 444.510 -0.172 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)C(C)C ZINC000926755253 1072170148 /nfs/dbraw/zinc/17/01/48/1072170148.db2.gz WHUGIEFBEFLKLO-DZGCQCFKSA-N 0 0 444.510 -0.139 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)C(C)C ZINC000926755259 1072170984 /nfs/dbraw/zinc/17/09/84/1072170984.db2.gz WHUGIEFBEFLKLO-HIFRSBDPSA-N 0 0 444.510 -0.139 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)C(C)C ZINC000926755260 1072170909 /nfs/dbraw/zinc/17/09/09/1072170909.db2.gz WHUGIEFBEFLKLO-UKRRQHHQSA-N 0 0 444.510 -0.139 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)O[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)C(C)C ZINC000926755262 1072170661 /nfs/dbraw/zinc/17/06/61/1072170661.db2.gz WHUGIEFBEFLKLO-ZFWWWQNUSA-N 0 0 444.510 -0.139 20 0 IBADRN CN1CC(=O)N(CC(=O)OCc2cccc(COC(=O)CN3C(=O)CN(C)C3=O)c2)C1=O ZINC000926777237 1072170707 /nfs/dbraw/zinc/17/07/07/1072170707.db2.gz BCYMSABNRHTHAX-UHFFFAOYSA-N 0 0 446.416 -0.439 20 0 IBADRN O=C(COC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)NCC(=O)N1CCCC1 ZINC000926930886 1072171536 /nfs/dbraw/zinc/17/15/36/1072171536.db2.gz MNWJCERHHODHKU-UHFFFAOYSA-N 0 0 428.445 -0.660 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N(C)CC(=O)NC1CC1 ZINC000926933354 1072171657 /nfs/dbraw/zinc/17/16/57/1072171657.db2.gz GIDBWQQACZAFCI-HNNXBMFYSA-N 0 0 442.472 -0.273 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N(C)CC(=O)NC1CC1 ZINC000926933355 1072171526 /nfs/dbraw/zinc/17/15/26/1072171526.db2.gz GIDBWQQACZAFCI-OAHLLOKOSA-N 0 0 442.472 -0.273 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N1CCC(C(N)=O)CC1 ZINC000926937531 1072171676 /nfs/dbraw/zinc/17/16/76/1072171676.db2.gz HVBCTXYBKCARQH-HNNXBMFYSA-N 0 0 442.472 -0.286 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N1CCC(C(N)=O)CC1 ZINC000926937532 1072171649 /nfs/dbraw/zinc/17/16/49/1072171649.db2.gz HVBCTXYBKCARQH-OAHLLOKOSA-N 0 0 442.472 -0.286 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000926937915 1072171634 /nfs/dbraw/zinc/17/16/34/1072171634.db2.gz IQAULEUIERNHKW-DOTOQJQBSA-N 0 0 442.472 -0.286 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000926937918 1072172268 /nfs/dbraw/zinc/17/22/68/1072172268.db2.gz IQAULEUIERNHKW-NVXWUHKLSA-N 0 0 442.472 -0.286 20 0 IBADRN C[C@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000926937920 1072172305 /nfs/dbraw/zinc/17/23/05/1072172305.db2.gz IQAULEUIERNHKW-RDJZCZTQSA-N 0 0 442.472 -0.286 20 0 IBADRN C[C@@H](OC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000926937922 1072172374 /nfs/dbraw/zinc/17/23/74/1072172374.db2.gz IQAULEUIERNHKW-WBVHZDCISA-N 0 0 442.472 -0.286 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000927300781 1072175468 /nfs/dbraw/zinc/17/54/68/1072175468.db2.gz QZQXSUIFARROQD-GFCCVEGCSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC000927300782 1072175656 /nfs/dbraw/zinc/17/56/56/1072175656.db2.gz QZQXSUIFARROQD-LBPRGKRZSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000927309187 1072175628 /nfs/dbraw/zinc/17/56/28/1072175628.db2.gz YZBRAWLSPUPMEC-GFCCVEGCSA-N 0 0 430.483 -0.352 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC000927309188 1072175674 /nfs/dbraw/zinc/17/56/74/1072175674.db2.gz YZBRAWLSPUPMEC-LBPRGKRZSA-N 0 0 430.483 -0.352 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)COc2ccccc2C(N)=O)CC1 ZINC000927338287 1072176244 /nfs/dbraw/zinc/17/62/44/1072176244.db2.gz OMAMFUWCQZKBIH-UHFFFAOYSA-N 0 0 434.493 -0.234 20 0 IBADRN Cc1cc(C)n(-c2nnc(SCCCn3c(=O)c4c(ncn4C)n(C)c3=O)n2N)n1 ZINC000927341303 1072176312 /nfs/dbraw/zinc/17/63/12/1072176312.db2.gz LMXYRUVMLQFBRU-UHFFFAOYSA-N 0 0 430.498 -0.276 20 0 IBADRN Cc1cc(C(=O)OCC(=O)N2CCNC(=O)C2)c(C)n1Cc1ccc(S(N)(=O)=O)cc1 ZINC000927378854 1072176268 /nfs/dbraw/zinc/17/62/68/1072176268.db2.gz ZOJLADZPGDTLLV-UHFFFAOYSA-N 0 0 448.501 -0.084 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000927393735 1072176279 /nfs/dbraw/zinc/17/62/79/1072176279.db2.gz GGQYDTCXSZOQLQ-GFCCVEGCSA-N 0 0 441.462 -0.687 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccc(N2CCCC2=O)cc1 ZINC000927393736 1072176350 /nfs/dbraw/zinc/17/63/50/1072176350.db2.gz GGQYDTCXSZOQLQ-LBPRGKRZSA-N 0 0 441.462 -0.687 20 0 IBADRN CCCS(=O)(=O)N1CCC[C@H]1C(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000927501316 1072178796 /nfs/dbraw/zinc/17/87/96/1072178796.db2.gz AHWWJZJUOITCQW-HNNXBMFYSA-N 0 0 425.511 -0.127 20 0 IBADRN CCCS(=O)(=O)N1CCC[C@@H]1C(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC000927501317 1072178985 /nfs/dbraw/zinc/17/89/85/1072178985.db2.gz AHWWJZJUOITCQW-OAHLLOKOSA-N 0 0 425.511 -0.127 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000927647972 1072181972 /nfs/dbraw/zinc/18/19/72/1072181972.db2.gz YVTCAPDJLDXWCR-CHWSQXEVSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000927647974 1072181847 /nfs/dbraw/zinc/18/18/47/1072181847.db2.gz YVTCAPDJLDXWCR-OLZOCXBDSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000927647975 1072182551 /nfs/dbraw/zinc/18/25/51/1072182551.db2.gz YVTCAPDJLDXWCR-QWHCGFSZSA-N 0 0 431.467 -0.087 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)noc2C)C1 ZINC000927647976 1072182548 /nfs/dbraw/zinc/18/25/48/1072182548.db2.gz YVTCAPDJLDXWCR-STQMWFEESA-N 0 0 431.467 -0.087 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)OCC(=O)NCC(=O)N2CCCC2)n(C)c1 ZINC000927654608 1072182529 /nfs/dbraw/zinc/18/25/29/1072182529.db2.gz VPNWKACJNKCXJT-UHFFFAOYSA-N 0 0 428.511 -0.049 20 0 IBADRN O=C(COC(=O)c1sccc1S(=O)(=O)N1CCOCC1)NCC(=O)N1CCCC1 ZINC000927808454 1072190524 /nfs/dbraw/zinc/19/05/24/1072190524.db2.gz UHJQZIJQRPFAIO-UHFFFAOYSA-N 0 0 445.519 -0.336 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000928403992 1072226411 /nfs/dbraw/zinc/22/64/11/1072226411.db2.gz VBYWATNAEIBLBA-NEPJUHHUSA-N 0 0 443.478 -0.724 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000928403993 1072226450 /nfs/dbraw/zinc/22/64/50/1072226450.db2.gz VBYWATNAEIBLBA-NWDGAFQWSA-N 0 0 443.478 -0.724 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000928403994 1072226264 /nfs/dbraw/zinc/22/62/64/1072226264.db2.gz VBYWATNAEIBLBA-RYUDHWBXSA-N 0 0 443.478 -0.724 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000928403995 1072226402 /nfs/dbraw/zinc/22/64/02/1072226402.db2.gz VBYWATNAEIBLBA-VXGBXAGGSA-N 0 0 443.478 -0.724 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC000928537754 1072228419 /nfs/dbraw/zinc/22/84/19/1072228419.db2.gz HFQMJRGEMWYUMN-UHFFFAOYSA-N 0 0 427.479 -0.493 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000928538022 1072228504 /nfs/dbraw/zinc/22/85/04/1072228504.db2.gz IKRYOCSZAXACNV-CYBMUJFWSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC(C(N)=O)CC2)cc1 ZINC000928538023 1072228520 /nfs/dbraw/zinc/22/85/20/1072228520.db2.gz IKRYOCSZAXACNV-ZDUSSCGKSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000928542271 1072228446 /nfs/dbraw/zinc/22/84/46/1072228446.db2.gz KMIJVNIFCVLHHO-DZGCQCFKSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000928542272 1072228568 /nfs/dbraw/zinc/22/85/68/1072228568.db2.gz KMIJVNIFCVLHHO-HIFRSBDPSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)cc1 ZINC000928542273 1072228486 /nfs/dbraw/zinc/22/84/86/1072228486.db2.gz KMIJVNIFCVLHHO-UKRRQHHQSA-N 0 0 441.506 -0.120 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)cc1 ZINC000928542274 1072228436 /nfs/dbraw/zinc/22/84/36/1072228436.db2.gz KMIJVNIFCVLHHO-ZFWWWQNUSA-N 0 0 441.506 -0.120 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000928713203 1072232521 /nfs/dbraw/zinc/23/25/21/1072232521.db2.gz GJPDMAATYCWTNK-KBXCAEBGSA-N 0 0 439.494 -0.609 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000928713204 1072232513 /nfs/dbraw/zinc/23/25/13/1072232513.db2.gz GJPDMAATYCWTNK-KDOFPFPSSA-N 0 0 439.494 -0.609 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000928713205 1072232559 /nfs/dbraw/zinc/23/25/59/1072232559.db2.gz GJPDMAATYCWTNK-KSSFIOAISA-N 0 0 439.494 -0.609 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1cnc2c(cnn2C)c1=O)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000928713206 1072232630 /nfs/dbraw/zinc/23/26/30/1072232630.db2.gz GJPDMAATYCWTNK-RDTXWAMCSA-N 0 0 439.494 -0.609 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)Cn1cnc2c(cnn2C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000928713734 1072232529 /nfs/dbraw/zinc/23/25/29/1072232529.db2.gz YJRCBWYUVFINPW-CHWSQXEVSA-N 0 0 439.494 -0.513 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)Cn1cnc2c(cnn2C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000928713737 1072232593 /nfs/dbraw/zinc/23/25/93/1072232593.db2.gz YJRCBWYUVFINPW-OLZOCXBDSA-N 0 0 439.494 -0.513 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)Cn1cnc2c(cnn2C)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000928713739 1072232487 /nfs/dbraw/zinc/23/24/87/1072232487.db2.gz YJRCBWYUVFINPW-QWHCGFSZSA-N 0 0 439.494 -0.513 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)Cn1cnc2c(cnn2C)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000928713742 1072232543 /nfs/dbraw/zinc/23/25/43/1072232543.db2.gz YJRCBWYUVFINPW-STQMWFEESA-N 0 0 439.494 -0.513 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCn2c3ccccc3n(C)c2=O)CC1 ZINC000928973473 1072236969 /nfs/dbraw/zinc/23/69/69/1072236969.db2.gz YWRPCUNMXQQPSN-UHFFFAOYSA-N 0 0 431.493 -0.494 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCn2cnc3sccc3c2=O)CC1 ZINC000928976572 1072237473 /nfs/dbraw/zinc/23/74/73/1072237473.db2.gz IATXKYDEPVZIIM-UHFFFAOYSA-N 0 0 435.506 -0.376 20 0 IBADRN O=C(COC(=O)CCNS(=O)(=O)c1ccc(Cl)nc1)NCC(=O)N1CCCC1 ZINC000928978550 1072237939 /nfs/dbraw/zinc/23/79/39/1072237939.db2.gz NMQDSTIEQZLUKW-UHFFFAOYSA-N 0 0 432.886 -0.315 20 0 IBADRN CC(C)[C@@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000928979751 1072238026 /nfs/dbraw/zinc/23/80/26/1072238026.db2.gz PWTJSEWCNVATBY-PBHICJAKSA-N 0 0 438.568 -0.081 20 0 IBADRN CC(C)[C@@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000928979752 1072237862 /nfs/dbraw/zinc/23/78/62/1072237862.db2.gz PWTJSEWCNVATBY-RHSMWYFYSA-N 0 0 438.568 -0.081 20 0 IBADRN CC(C)[C@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000928979753 1072237827 /nfs/dbraw/zinc/23/78/27/1072237827.db2.gz PWTJSEWCNVATBY-WMLDXEAASA-N 0 0 438.568 -0.081 20 0 IBADRN CC(C)[C@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000928979754 1072237996 /nfs/dbraw/zinc/23/79/96/1072237996.db2.gz PWTJSEWCNVATBY-YOEHRIQHSA-N 0 0 438.568 -0.081 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC000928980462 1072237985 /nfs/dbraw/zinc/23/79/85/1072237985.db2.gz IXOWHMPJRMFJJD-UHFFFAOYSA-N 0 0 426.495 -0.553 20 0 IBADRN CS(=O)(=O)Nc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000928982134 1072237850 /nfs/dbraw/zinc/23/78/50/1072237850.db2.gz WUFJDYABYLRHSV-GFCCVEGCSA-N 0 0 433.464 -0.772 20 0 IBADRN CS(=O)(=O)Nc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC000928982138 1072238002 /nfs/dbraw/zinc/23/80/02/1072238002.db2.gz WUFJDYABYLRHSV-LBPRGKRZSA-N 0 0 433.464 -0.772 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)c2ccc(-n3cncn3)nc2)CC1 ZINC000928984354 1072238466 /nfs/dbraw/zinc/23/84/66/1072238466.db2.gz ZCMKZZJVZCNWOQ-UHFFFAOYSA-N 0 0 429.481 -0.122 20 0 IBADRN O=C(COC(=O)COc1ccc2c(c1)CCC(=O)N2)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000928984930 1072238482 /nfs/dbraw/zinc/23/84/82/1072238482.db2.gz UNVICWGERDFTAG-GFCCVEGCSA-N 0 0 439.446 -0.494 20 0 IBADRN O=C(COC(=O)COc1ccc2c(c1)CCC(=O)N2)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000928984931 1072238494 /nfs/dbraw/zinc/23/84/94/1072238494.db2.gz UNVICWGERDFTAG-LBPRGKRZSA-N 0 0 439.446 -0.494 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC000928984940 1072238513 /nfs/dbraw/zinc/23/85/13/1072238513.db2.gz UWKMHNUQRFDMPE-UHFFFAOYSA-N 0 0 432.477 -0.274 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1C ZINC000928987881 1072238393 /nfs/dbraw/zinc/23/83/93/1072238393.db2.gz ITFMSRUZAAADFU-CABCVRRESA-N 0 0 441.506 -0.251 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1C ZINC000928987884 1072238476 /nfs/dbraw/zinc/23/84/76/1072238476.db2.gz ITFMSRUZAAADFU-GJZGRUSLSA-N 0 0 441.506 -0.251 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1C ZINC000928987887 1072238430 /nfs/dbraw/zinc/23/84/30/1072238430.db2.gz ITFMSRUZAAADFU-HUUCEWRRSA-N 0 0 441.506 -0.251 20 0 IBADRN Cc1nn(C)c(=O)c(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1C ZINC000928987889 1072238413 /nfs/dbraw/zinc/23/84/13/1072238413.db2.gz ITFMSRUZAAADFU-LSDHHAIUSA-N 0 0 441.506 -0.251 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000928990777 1072238325 /nfs/dbraw/zinc/23/83/25/1072238325.db2.gz WUQYKDBNKIZEGO-UHFFFAOYSA-N 0 0 432.485 -0.348 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000928991388 1072238352 /nfs/dbraw/zinc/23/83/52/1072238352.db2.gz RJMBEODCAWRTTB-AWEZNQCLSA-N 0 0 433.469 -0.017 20 0 IBADRN COC(=O)[C@@H](CC(C)C)NC(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000928991389 1072238440 /nfs/dbraw/zinc/23/84/40/1072238440.db2.gz RJMBEODCAWRTTB-CQSZACIVSA-N 0 0 433.469 -0.017 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1NS(C)(=O)=O ZINC000928993463 1072238978 /nfs/dbraw/zinc/23/89/78/1072238978.db2.gz HTADANHRZFEOND-GFCCVEGCSA-N 0 0 447.491 -0.464 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cc1NS(C)(=O)=O ZINC000928993464 1072238989 /nfs/dbraw/zinc/23/89/89/1072238989.db2.gz HTADANHRZFEOND-LBPRGKRZSA-N 0 0 447.491 -0.464 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cn2cccc(C(F)(F)F)c2=O)CC1 ZINC000928993759 1072238938 /nfs/dbraw/zinc/23/89/38/1072238938.db2.gz TURIJQLUPIICPM-UHFFFAOYSA-N 0 0 432.399 -0.357 20 0 IBADRN Cc1ccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)cc1NS(C)(=O)=O ZINC000928993880 1072239407 /nfs/dbraw/zinc/23/94/07/1072239407.db2.gz WSCXJTNMXRWQNK-UHFFFAOYSA-N 0 0 440.522 -0.244 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)c(=O)n(C)c1=O ZINC000933612150 1072325344 /nfs/dbraw/zinc/32/53/44/1072325344.db2.gz XQVGHKWVWFZZOX-XQRVVYSFSA-N 0 0 426.429 -0.190 20 0 IBADRN CCN(C(=O)COC(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000934248714 1072325819 /nfs/dbraw/zinc/32/58/19/1072325819.db2.gz YBGJBBSQUCMIQO-GDBMZVCRSA-N 0 0 436.490 -0.315 20 0 IBADRN CCN(C(=O)COC(=O)C1=NN(c2ccccc2)[C@@H](C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000934248724 1072325701 /nfs/dbraw/zinc/32/57/01/1072325701.db2.gz YBGJBBSQUCMIQO-GOEBONIOSA-N 0 0 436.490 -0.315 20 0 IBADRN CCN(C(=O)COC(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC000934248725 1072325718 /nfs/dbraw/zinc/32/57/18/1072325718.db2.gz YBGJBBSQUCMIQO-HOCLYGCPSA-N 0 0 436.490 -0.315 20 0 IBADRN CCN(C(=O)COC(=O)C1=NN(c2ccccc2)[C@H](C(N)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000934248727 1072325757 /nfs/dbraw/zinc/32/57/57/1072325757.db2.gz YBGJBBSQUCMIQO-ZBFHGGJFSA-N 0 0 436.490 -0.315 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@H]1NC(=O)c1coc(S(N)(=O)=O)c1 ZINC000942459854 1073040133 /nfs/dbraw/zinc/04/01/33/1073040133.db2.gz YQFDDSRZJBKMQJ-RQIPRSKVSA-N 0 0 425.463 -0.694 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@H]1NC(=O)c1ccc(-n2cnnn2)cn1 ZINC000943420362 1073045527 /nfs/dbraw/zinc/04/55/27/1073045527.db2.gz XIKGEWPFZVHZFE-JVDCXKHPSA-N 0 0 425.449 -0.564 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@H]1NC(=O)c1ccc(S(N)(=O)=O)o1 ZINC000943465703 1073045655 /nfs/dbraw/zinc/04/56/55/1073045655.db2.gz LCGZFGQNVMFHRR-POHKZFGMSA-N 0 0 425.463 -0.694 20 0 IBADRN CO[C@@H]1CN(C(=O)c2ccc(S(N)(=O)=O)o2)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000944024953 1073048107 /nfs/dbraw/zinc/04/81/07/1073048107.db2.gz KJVIBPWVLWDZSG-POHKZFGMSA-N 0 0 425.463 -0.694 20 0 IBADRN CO[C@@H]1CN(C(=O)c2ccc3c(c2)C(=O)NC3=O)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000944038119 1073048619 /nfs/dbraw/zinc/04/86/19/1073048619.db2.gz NFTSYXOCVMRMIS-WJLHXFHNSA-N 0 0 425.441 -0.051 20 0 IBADRN CO[C@@H]1CN(C(=O)c2ccc(-n3cnnn3)cn2)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000944043949 1073048250 /nfs/dbraw/zinc/04/82/50/1073048250.db2.gz KDVUXJVNQYNGOI-JVDCXKHPSA-N 0 0 425.449 -0.564 20 0 IBADRN CO[C@@H]1CN(C(=O)c2coc(S(N)(=O)=O)c2)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000944066307 1073049216 /nfs/dbraw/zinc/04/92/16/1073049216.db2.gz ULDIHDZATUZSFP-RQIPRSKVSA-N 0 0 425.463 -0.694 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@H]1NC(=O)c1ccc2c(c1)C(=O)NC2=O ZINC000944075231 1073049172 /nfs/dbraw/zinc/04/91/72/1073049172.db2.gz XOGAHTODVOGXAR-WJLHXFHNSA-N 0 0 425.441 -0.051 20 0 IBADRN NC(=O)c1ccc(CC(=O)N2CCO[C@@H]3CN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)C[C@@H]32)cn1 ZINC000960572032 1072651462 /nfs/dbraw/zinc/65/14/62/1072651462.db2.gz OEFNPWSCLZZCBW-CVKUVNKFSA-N 0 0 426.473 -0.415 20 0 IBADRN O=C(c1ccc2n[nH]c(=O)n2c1)N1CCO[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]21 ZINC000960618164 1072651436 /nfs/dbraw/zinc/65/14/36/1072651436.db2.gz HZIZSNJAJJOOHG-MBQAYPMFSA-N 0 0 425.445 -0.090 20 0 IBADRN O=C(c1cccn2c(=O)[nH]nc12)N1CCO[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]21 ZINC000960652602 1072651623 /nfs/dbraw/zinc/65/16/23/1072651623.db2.gz KJIOYHUXUBIGKR-FAMDUTLLSA-N 0 0 425.445 -0.090 20 0 IBADRN CNC(=O)c1ccc(C(=O)N2CCO[C@@H]3CN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)C[C@@H]32)cn1 ZINC000960665547 1072651530 /nfs/dbraw/zinc/65/15/30/1072651530.db2.gz DJLQMSKLDMPBQX-AUCOVLEWSA-N 0 0 426.473 -0.084 20 0 IBADRN CNC(=O)c1cccc(C(=O)N2C[C@H]3OCCN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)[C@H]3C2)n1 ZINC000960691880 1072651551 /nfs/dbraw/zinc/65/15/51/1072651551.db2.gz ABUOADWPIQTNIL-LVAHZWEQSA-N 0 0 426.473 -0.084 20 0 IBADRN CNC(=O)c1ccc(C(=O)N2C[C@H]3OCCN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)[C@H]3C2)cn1 ZINC000960693403 1072651405 /nfs/dbraw/zinc/65/14/05/1072651405.db2.gz NZPGDDHTFJOYGJ-AUCOVLEWSA-N 0 0 426.473 -0.084 20 0 IBADRN O=C(c1ccc2n[nH]c(=O)n2c1)N1C[C@H]2OCCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@H]2C1 ZINC000960693417 1072651651 /nfs/dbraw/zinc/65/16/51/1072651651.db2.gz OCGFEBALZWGTHV-MBQAYPMFSA-N 0 0 425.445 -0.090 20 0 IBADRN O=C(c1cccn2c(=O)[nH]nc12)N1C[C@H]2OCCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@H]2C1 ZINC000960694819 1072651387 /nfs/dbraw/zinc/65/13/87/1072651387.db2.gz YJJYDDOOKJMCCM-FAMDUTLLSA-N 0 0 425.445 -0.090 20 0 IBADRN Cc1cc(C(=O)N2C[C@H]3OCCN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)[C@H]3C2)ncc1C(N)=O ZINC000960699135 1072651563 /nfs/dbraw/zinc/65/15/63/1072651563.db2.gz KALDCKQCGVPTFJ-LVAHZWEQSA-N 0 0 426.473 -0.036 20 0 IBADRN NC(=O)c1ccc(CC(=O)N2C[C@H]3OCCN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)[C@H]3C2)cn1 ZINC000960708605 1072651378 /nfs/dbraw/zinc/65/13/78/1072651378.db2.gz YBLHFKUPUPPSQK-CVKUVNKFSA-N 0 0 426.473 -0.415 20 0 IBADRN CNC(=O)c1cccc(C(=O)N2CCO[C@@H]3CN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)C[C@@H]32)n1 ZINC000960759057 1072651577 /nfs/dbraw/zinc/65/15/77/1072651577.db2.gz OAQLJQMCLGFRSK-LVAHZWEQSA-N 0 0 426.473 -0.084 20 0 IBADRN Cc1cc(C(=O)N2CCO[C@@H]3CN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)C[C@@H]32)ncc1C(N)=O ZINC000961004242 1072651494 /nfs/dbraw/zinc/65/14/94/1072651494.db2.gz LMKHRTUMMIPWIA-LVAHZWEQSA-N 0 0 426.473 -0.036 20 0 IBADRN O=C([C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)N1CC(N2CCN(C(=O)[C@@H]3OC[C@@H]4COCC[C@H]34)CC2)C1 ZINC000967233150 1072652081 /nfs/dbraw/zinc/65/20/81/1072652081.db2.gz CQDPQOAYDSTHTR-XLLWQBDJSA-N 0 0 431.533 -0.184 20 0 IBADRN O=C([C@@H]1OC[C@@H]2COCC[C@H]12)N1CC(N2CCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)CC2)C1 ZINC000967235260 1072652105 /nfs/dbraw/zinc/65/21/05/1072652105.db2.gz KVQRYPIWDWAWEF-XLLWQBDJSA-N 0 0 431.533 -0.184 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N[C@@H]1CCCC[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000976688799 1071422491 /nfs/dbraw/zinc/42/24/91/1071422491.db2.gz XSBAHLJHYXIQJZ-OKAVUVSYSA-N 0 0 425.551 -0.316 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N[C@@H]1CCCC[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000977022383 1071424739 /nfs/dbraw/zinc/42/47/39/1071424739.db2.gz YBHJGLLLRKWIFR-MNHMMDCCSA-N 0 0 426.477 -0.142 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N[C@@H]1CC[C@H](CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000981839839 1071468859 /nfs/dbraw/zinc/46/88/59/1071468859.db2.gz OJKITYFKLBBPFD-IABQLKSGSA-N 0 0 426.477 -0.285 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N[C@@H]1CC[C@H](CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000981841091 1071469772 /nfs/dbraw/zinc/46/97/72/1071469772.db2.gz WDQQCFNSTRMCBJ-XENJLOSESA-N 0 0 425.551 -0.459 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)CCn3cncn3)C[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)cn1 ZINC000982292130 1071489218 /nfs/dbraw/zinc/48/92/18/1071489218.db2.gz XKGKAYPCXGQHJU-XWEXYQFDSA-N 0 0 425.493 -0.064 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)c3cnn(C)c3N)C[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)cn1 ZINC000982306516 1071498705 /nfs/dbraw/zinc/49/87/05/1071498705.db2.gz KESFQUJGQANHFZ-GMKBBOLHSA-N 0 0 425.493 -0.116 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)CCn3ccnn3)C[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)cn1 ZINC000982307290 1071498598 /nfs/dbraw/zinc/49/85/98/1071498598.db2.gz JPLNOJBQOPMISF-XWEXYQFDSA-N 0 0 425.493 -0.064 20 0 IBADRN Cc1nnc(CC(=O)N2C[C@@H](c3cnn(C)c3)[C@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)[nH]1 ZINC000982310689 1071500081 /nfs/dbraw/zinc/50/00/81/1071500081.db2.gz FUXKAPXGMWTWEQ-IBZGSYRLSA-N 0 0 425.493 -0.077 20 0 IBADRN Cc1nnc(CC(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2c2cnn(C)c2)[nH]1 ZINC000982371240 1071512075 /nfs/dbraw/zinc/51/20/75/1071512075.db2.gz HNHLMTTZCKTFQW-IBZGSYRLSA-N 0 0 425.493 -0.077 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2NC(=O)c2cnn(C)c2N)cn1 ZINC000982371935 1071512672 /nfs/dbraw/zinc/51/26/72/1071512672.db2.gz NRFVNJQWOGTNBN-GMKBBOLHSA-N 0 0 425.493 -0.116 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)CCn3cnnn3)C[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)cn1 ZINC000982402778 1071516467 /nfs/dbraw/zinc/51/64/67/1071516467.db2.gz DPMBLUJNEBIUAE-DDVYYSQMSA-N 0 0 426.481 -0.669 20 0 IBADRN CCO[C@@H]1CN(C(=O)CC2CS(=O)(=O)C2)C[C@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000983035290 1071697474 /nfs/dbraw/zinc/69/74/74/1071697474.db2.gz MCFFEUNITXISTG-RHLWYQJKSA-N 0 0 426.535 -0.176 20 0 IBADRN CC[C@@H]1[C@@H](NC(=O)CN2CCS(=O)(=O)CC2)CCN1C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000984890838 1072554751 /nfs/dbraw/zinc/55/47/51/1072554751.db2.gz AXUYUHGRLAOHMY-DDVYYSQMSA-N 0 0 425.551 -0.364 20 0 IBADRN CC[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CCN1C(=O)Cn1ncn2nccc2c1=O ZINC000985206233 1072595552 /nfs/dbraw/zinc/59/55/52/1072595552.db2.gz QVPBQFQERBFIOK-QQGJESHFSA-N 0 0 426.477 -0.190 20 0 IBADRN CC[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CCN1C(=O)CN1CCS(=O)(=O)CC1 ZINC000985207679 1072595789 /nfs/dbraw/zinc/59/57/89/1072595789.db2.gz ZJVKNVXXPZIHGG-DDVYYSQMSA-N 0 0 425.551 -0.364 20 0 IBADRN CC[C@@H]1[C@@H](NC(=O)Cn2ncn3nccc3c2=O)CCN1C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000985540213 1072634257 /nfs/dbraw/zinc/63/42/57/1072634257.db2.gz DIRKRKBTFFGIRX-QQGJESHFSA-N 0 0 426.477 -0.190 20 0 IBADRN COc1cncc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)n1 ZINC000990871044 1073417512 /nfs/dbraw/zinc/41/75/12/1073417512.db2.gz PHZWXTXJGZCFKW-HLOYOFSLSA-N 0 0 425.449 -0.318 20 0 IBADRN NC(=O)c1cc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)c[nH]1 ZINC000990872077 1073417402 /nfs/dbraw/zinc/41/74/02/1073417402.db2.gz CSFNWTMEGRMZGK-VKBLOTRZSA-N 0 0 425.449 -0.690 20 0 IBADRN COc1cc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)ncn1 ZINC000990875752 1073418933 /nfs/dbraw/zinc/41/89/33/1073418933.db2.gz AQXIZDZWTJKDKO-HLOYOFSLSA-N 0 0 425.449 -0.318 20 0 IBADRN Cc1c(CC(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)cnn1C ZINC000990883409 1073421598 /nfs/dbraw/zinc/42/15/98/1073421598.db2.gz HPVLGAQOKIUKOH-FGIYMWPYSA-N 0 0 425.493 -0.146 20 0 IBADRN CCn1nnc(C)c1C(=O)N[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@@H]1n1ccnn1 ZINC000990883779 1073420971 /nfs/dbraw/zinc/42/09/71/1073420971.db2.gz MKGJTLFELWLXMK-FTBKSCEHSA-N 0 0 426.481 -0.197 20 0 IBADRN Cc1nn(C)cc1CC(=O)N[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@@H]1n1ccnn1 ZINC000990897313 1073426572 /nfs/dbraw/zinc/42/65/72/1073426572.db2.gz SZZUDNOYRXWROS-FGIYMWPYSA-N 0 0 425.493 -0.146 20 0 IBADRN O=C(N[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@@H]1n1ccnn1)c1cc2n(n1)CCO2 ZINC000990897801 1073426632 /nfs/dbraw/zinc/42/66/32/1073426632.db2.gz WVCHRNUZHCAUHZ-HLOYOFSLSA-N 0 0 425.449 -0.528 20 0 IBADRN CCn1nc(C)c(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)n1 ZINC000990900063 1073427486 /nfs/dbraw/zinc/42/74/86/1073427486.db2.gz BWXMLGDOIUWEMV-FTBKSCEHSA-N 0 0 426.481 -0.197 20 0 IBADRN Cc1cc(CC(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)n(C)n1 ZINC000990902855 1073428069 /nfs/dbraw/zinc/42/80/69/1073428069.db2.gz MTRFBXQMTBYMGQ-FGIYMWPYSA-N 0 0 425.493 -0.146 20 0 IBADRN NC(=O)c1cc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)co1 ZINC000990903532 1073427615 /nfs/dbraw/zinc/42/76/15/1073427615.db2.gz DGHZZTRXFNIQLB-MFAUZPSPSA-N 0 0 426.433 -0.425 20 0 IBADRN COc1nccc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)n1 ZINC000990910870 1073428593 /nfs/dbraw/zinc/42/85/93/1073428593.db2.gz DHFBSAKPQOZWPZ-VKBLOTRZSA-N 0 0 425.449 -0.318 20 0 IBADRN COc1cnc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)nc1 ZINC000990911389 1073429973 /nfs/dbraw/zinc/42/99/73/1073429973.db2.gz GOOBCJVXZAZLDL-KDNLLOOPSA-N 0 0 425.449 -0.318 20 0 IBADRN NC(=O)c1ccc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)o1 ZINC000990912180 1073428701 /nfs/dbraw/zinc/42/87/01/1073428701.db2.gz OIBDXTQONCBVQS-GEWFSEQOSA-N 0 0 426.433 -0.425 20 0 IBADRN Cc1nc[nH]c(=O)c1C(=O)N1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](n2ccnn2)C1 ZINC000990913453 1073428868 /nfs/dbraw/zinc/42/88/68/1073428868.db2.gz NKSKIICAHKMRBE-MFAUZPSPSA-N 0 0 425.449 -0.313 20 0 IBADRN COc1cncc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)n1 ZINC000990913838 1073429258 /nfs/dbraw/zinc/42/92/58/1073429258.db2.gz AHNFFTZPSNTGML-HLOYOFSLSA-N 0 0 425.449 -0.318 20 0 IBADRN CCn1cc(CC(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)nn1 ZINC000990913861 1073429329 /nfs/dbraw/zinc/42/93/29/1073429329.db2.gz AVVUKWXQRXBGIY-WZSRKYPNSA-N 0 0 426.481 -0.576 20 0 IBADRN COc1nccc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)n1 ZINC000990913921 1073429404 /nfs/dbraw/zinc/42/94/04/1073429404.db2.gz CXQCOFIUAXXPCB-VKBLOTRZSA-N 0 0 425.449 -0.318 20 0 IBADRN CCn1nc(C)c(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)n1 ZINC000990914004 1073429240 /nfs/dbraw/zinc/42/92/40/1073429240.db2.gz WRFOVCMEYVGALL-FTBKSCEHSA-N 0 0 426.481 -0.197 20 0 IBADRN Cn1cc(CCC(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)nn1 ZINC000990914198 1073429355 /nfs/dbraw/zinc/42/93/55/1073429355.db2.gz ZWBGDDDEORYVNT-WZSRKYPNSA-N 0 0 426.481 -0.669 20 0 IBADRN Cn1cncc1CCC(=O)N1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](n2ccnn2)C1 ZINC000990914207 1073429168 /nfs/dbraw/zinc/42/91/68/1073429168.db2.gz GJSUJTYXEGDWQN-FGIYMWPYSA-N 0 0 425.493 -0.064 20 0 IBADRN Cc1c(CC(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)cnn1C ZINC000990914303 1073429347 /nfs/dbraw/zinc/42/93/47/1073429347.db2.gz JGAVCVLAQREASG-FGIYMWPYSA-N 0 0 425.493 -0.146 20 0 IBADRN NC(=O)c1ccc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)o1 ZINC000990914477 1073429339 /nfs/dbraw/zinc/42/93/39/1073429339.db2.gz MAHHSGYQFXCDHW-GEWFSEQOSA-N 0 0 426.433 -0.425 20 0 IBADRN Cn1ncc(CCC(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)n1 ZINC000990914481 1073429198 /nfs/dbraw/zinc/42/91/98/1073429198.db2.gz MJQGVSRCTDZZMO-WZSRKYPNSA-N 0 0 426.481 -0.669 20 0 IBADRN COc1ccc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)nn1 ZINC000990914507 1073429230 /nfs/dbraw/zinc/42/92/30/1073429230.db2.gz NQHXMLRHOQPEER-HLOYOFSLSA-N 0 0 425.449 -0.318 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)c1 ZINC000990914514 1073429382 /nfs/dbraw/zinc/42/93/82/1073429382.db2.gz NXVHSZXTKYBMQR-VKBLOTRZSA-N 0 0 425.449 -0.690 20 0 IBADRN Cn1ccc(CCC(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)n1 ZINC000990914523 1073429394 /nfs/dbraw/zinc/42/93/94/1073429394.db2.gz OFAVTZNGVXMGCS-FGIYMWPYSA-N 0 0 425.493 -0.064 20 0 IBADRN NC(=O)c1ccc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)[nH]1 ZINC000990914876 1073429411 /nfs/dbraw/zinc/42/94/11/1073429411.db2.gz QWMDOYRDKBFURN-JVLQMKNASA-N 0 0 425.449 -0.690 20 0 IBADRN NC(=O)c1cc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)c[nH]1 ZINC000990914896 1073430115 /nfs/dbraw/zinc/43/01/15/1073430115.db2.gz RUSBMBVTPLMJTL-VKBLOTRZSA-N 0 0 425.449 -0.690 20 0 IBADRN COc1ncc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)cn1 ZINC000990914916 1073430141 /nfs/dbraw/zinc/43/01/41/1073430141.db2.gz SMCYMTCZJKKWSI-KDNLLOOPSA-N 0 0 425.449 -0.318 20 0 IBADRN CCCn1cc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)nn1 ZINC000990915063 1073430097 /nfs/dbraw/zinc/43/00/97/1073430097.db2.gz VQDYPAWALCABCM-DFSZXBOBSA-N 0 0 426.481 -0.115 20 0 IBADRN CCn1nnc(C)c1C(=O)N1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](n2ccnn2)C1 ZINC000990915105 1073428774 /nfs/dbraw/zinc/42/87/74/1073428774.db2.gz WICHJOYGYOGBNC-FTBKSCEHSA-N 0 0 426.481 -0.197 20 0 IBADRN Cn1nccc1CCC(=O)N1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](n2ccnn2)C1 ZINC000990915153 1073430125 /nfs/dbraw/zinc/43/01/25/1073430125.db2.gz XMTWEWVLXQNJCS-FGIYMWPYSA-N 0 0 425.493 -0.064 20 0 IBADRN COc1cc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)ncn1 ZINC000990915209 1073430088 /nfs/dbraw/zinc/43/00/88/1073430088.db2.gz YKTTVOPZDCFCIU-HLOYOFSLSA-N 0 0 425.449 -0.318 20 0 IBADRN NC(=O)c1coc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)c1 ZINC000990915234 1073430590 /nfs/dbraw/zinc/43/05/90/1073430590.db2.gz ZDGWEEYFAJIITQ-MFAUZPSPSA-N 0 0 426.433 -0.425 20 0 IBADRN Cn1ccnc1CCC(=O)N1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](n2ccnn2)C1 ZINC000990915237 1073430628 /nfs/dbraw/zinc/43/06/28/1073430628.db2.gz ZFXCDAXPUKLCJY-PZLSSOPGSA-N 0 0 425.493 -0.064 20 0 IBADRN COc1cnc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)nc1 ZINC000990915243 1073430649 /nfs/dbraw/zinc/43/06/49/1073430649.db2.gz ZOWNIEBTZVGXJN-KDNLLOOPSA-N 0 0 425.449 -0.318 20 0 IBADRN O=C(N[C@@H]1CN(C(=O)c2cc3n(n2)CCO3)C[C@@H]1n1ccnn1)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000990915253 1073430678 /nfs/dbraw/zinc/43/06/78/1073430678.db2.gz ABAFKDVXKTYXEO-HLOYOFSLSA-N 0 0 425.449 -0.528 20 0 IBADRN NC(=O)c1cc(C(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)co1 ZINC000990915581 1073430669 /nfs/dbraw/zinc/43/06/69/1073430669.db2.gz FYGPPWULWTZDDF-MFAUZPSPSA-N 0 0 426.433 -0.425 20 0 IBADRN Cn1cc(CCC(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)cn1 ZINC000990916411 1073430058 /nfs/dbraw/zinc/43/00/58/1073430058.db2.gz PFXMCWLEDZRRCO-FGIYMWPYSA-N 0 0 425.493 -0.064 20 0 IBADRN Cc1cc(CC(=O)N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)n(C)n1 ZINC000990916604 1073430163 /nfs/dbraw/zinc/43/01/63/1073430163.db2.gz SENOMQPINMHBGE-FGIYMWPYSA-N 0 0 425.493 -0.146 20 0 IBADRN Cc1nn(C)cc1CC(=O)N1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](n2ccnn2)C1 ZINC000990917394 1073430170 /nfs/dbraw/zinc/43/01/70/1073430170.db2.gz XWIIAFOCXRNNAF-FGIYMWPYSA-N 0 0 425.493 -0.146 20 0 IBADRN CCn1cc(CC(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)nn1 ZINC000990927009 1073431113 /nfs/dbraw/zinc/43/11/13/1073431113.db2.gz YTCJQHKEFVFCBF-WZSRKYPNSA-N 0 0 426.481 -0.576 20 0 IBADRN Cc1nc[nH]c(=O)c1C(=O)N[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@@H]1n1ccnn1 ZINC000990935620 1073431777 /nfs/dbraw/zinc/43/17/77/1073431777.db2.gz POUNQSKLLMAGPB-MFAUZPSPSA-N 0 0 425.449 -0.313 20 0 IBADRN NC(=O)c1c[nH]c(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)c1 ZINC000990940864 1073433335 /nfs/dbraw/zinc/43/33/35/1073433335.db2.gz VFYQVAQIIVIVIG-VKBLOTRZSA-N 0 0 425.449 -0.690 20 0 IBADRN COc1ncc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)cn1 ZINC000990944126 1073433987 /nfs/dbraw/zinc/43/39/87/1073433987.db2.gz BHFFVSCOJYVUBP-KDNLLOOPSA-N 0 0 425.449 -0.318 20 0 IBADRN CCCn1cc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)nn1 ZINC000990947756 1073433919 /nfs/dbraw/zinc/43/39/19/1073433919.db2.gz JWJSQZRZWKNUNV-DFSZXBOBSA-N 0 0 426.481 -0.115 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)nn1 ZINC000990958635 1073435242 /nfs/dbraw/zinc/43/52/42/1073435242.db2.gz BWVYEKCOJBCJHG-HLOYOFSLSA-N 0 0 425.449 -0.318 20 0 IBADRN NC(=O)c1coc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)c1 ZINC000990959453 1073435397 /nfs/dbraw/zinc/43/53/97/1073435397.db2.gz KIUFBTKKDCVHLU-MFAUZPSPSA-N 0 0 426.433 -0.425 20 0 IBADRN NC(=O)c1ccc(C(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)[nH]1 ZINC000990962113 1073435216 /nfs/dbraw/zinc/43/52/16/1073435216.db2.gz MXTBAEYJFLZQFN-JVLQMKNASA-N 0 0 425.449 -0.690 20 0 IBADRN Cn1cnc([C@@H]2CN(C(=O)CCn3cncn3)C[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c1 ZINC000993523925 1072722294 /nfs/dbraw/zinc/72/22/94/1072722294.db2.gz VFYRUGXEQQKILU-GITGPQGGSA-N 0 0 425.493 -0.064 20 0 IBADRN Cn1cnc([C@@H]2CN(C(=O)c3cnn(C)c3N)C[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c1 ZINC000993534670 1072722389 /nfs/dbraw/zinc/72/23/89/1072722389.db2.gz RLXLUVYLQXMCPN-JEYHMKGESA-N 0 0 425.493 -0.116 20 0 IBADRN Cn1cnc([C@@H]2CN(C(=O)CCn3ccnn3)C[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c1 ZINC000993535413 1072722224 /nfs/dbraw/zinc/72/22/24/1072722224.db2.gz WXUSMTBAZFTQMN-GITGPQGGSA-N 0 0 425.493 -0.064 20 0 IBADRN Cc1nnc(CC(=O)N2C[C@@H](c3cn(C)cn3)[C@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)[nH]1 ZINC000993540791 1072722763 /nfs/dbraw/zinc/72/27/63/1072722763.db2.gz VCUPMGJBBFOBQS-RXTOHJBHSA-N 0 0 425.493 -0.077 20 0 IBADRN Cn1cnc([C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2NC(=O)c2cnn(C)c2N)c1 ZINC000993547438 1072722990 /nfs/dbraw/zinc/72/29/90/1072722990.db2.gz DKAKVTWCFSHMRB-JEYHMKGESA-N 0 0 425.493 -0.116 20 0 IBADRN Cc1nnc(CC(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2c2cn(C)cn2)[nH]1 ZINC000993549958 1072722799 /nfs/dbraw/zinc/72/27/99/1072722799.db2.gz PHKMTJNGFZATHA-RXTOHJBHSA-N 0 0 425.493 -0.077 20 0 IBADRN Cn1cnc([C@@H]2CN(C(=O)CCn3cnnn3)C[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c1 ZINC000993553344 1072722897 /nfs/dbraw/zinc/72/28/97/1072722897.db2.gz IYGBEXBKZGLLTG-CLAZTRKBSA-N 0 0 426.481 -0.669 20 0 IBADRN Cn1cncc1[C@@H]1CN(C(=O)CCn2cncn2)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000993585530 1072722774 /nfs/dbraw/zinc/72/27/74/1072722774.db2.gz BVXBQFRIBWRSCO-JPZLZDEASA-N 0 0 425.493 -0.064 20 0 IBADRN Cc1nnc(CC(=O)N2C[C@@H](c3cncn3C)[C@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)[nH]1 ZINC000993605129 1072722908 /nfs/dbraw/zinc/72/29/08/1072722908.db2.gz FHUWEQNEZXPWGL-LTIWMGKSSA-N 0 0 425.493 -0.077 20 0 IBADRN Cn1cncc1[C@@H]1CN(C(=O)c2cnn(C)c2N)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000993606242 1072722817 /nfs/dbraw/zinc/72/28/17/1072722817.db2.gz KHZMAHWDWPKVHY-AJSPFTQQSA-N 0 0 425.493 -0.116 20 0 IBADRN Cn1cncc1[C@@H]1CN(C(=O)CCn2ccnn2)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000993606655 1072722871 /nfs/dbraw/zinc/72/28/71/1072722871.db2.gz NJGMHTUHZRQIMH-JPZLZDEASA-N 0 0 425.493 -0.064 20 0 IBADRN Cn1cncc1[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@H]1NC(=O)c1cnn(C)c1N ZINC000993617976 1072722922 /nfs/dbraw/zinc/72/29/22/1072722922.db2.gz FNEVKDCJBVZLEK-AJSPFTQQSA-N 0 0 425.493 -0.116 20 0 IBADRN Cc1nnc(CC(=O)N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2c2cncn2C)[nH]1 ZINC000993618819 1072723003 /nfs/dbraw/zinc/72/30/03/1072723003.db2.gz KWLDIDQNAUBHQR-LTIWMGKSSA-N 0 0 425.493 -0.077 20 0 IBADRN Cn1cncc1[C@@H]1CN(C(=O)CCn2cnnn2)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000993625990 1072723035 /nfs/dbraw/zinc/72/30/35/1072723035.db2.gz LSVSIAIHAOJDIQ-MNHMMDCCSA-N 0 0 426.481 -0.669 20 0 IBADRN Cc1nc(C(=O)NC[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2C)nn1-c1nc[nH]n1 ZINC000994349723 1072746921 /nfs/dbraw/zinc/74/69/21/1072746921.db2.gz JSNHGFYOBUPLCW-UDAXSTDGSA-N 0 0 426.481 -0.058 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2ncn3nccc3c2=O)C[C@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000994370177 1072747536 /nfs/dbraw/zinc/74/75/36/1072747536.db2.gz CDTCJLZWDXIBJS-RPHUDFNSSA-N 0 0 426.477 -0.475 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCS(=O)(=O)CC2)C[C@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000994373602 1072748032 /nfs/dbraw/zinc/74/80/32/1072748032.db2.gz LNTUNCXMFQXHDW-FNDPAJJVSA-N 0 0 425.551 -0.649 20 0 IBADRN Cc1nc(C(=O)N2C[C@@H](CNC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@H](C)C2)nn1-c1nc[nH]n1 ZINC000994379718 1072752022 /nfs/dbraw/zinc/75/20/22/1072752022.db2.gz XWTYEYBUTVMNSV-UDAXSTDGSA-N 0 0 426.481 -0.058 20 0 IBADRN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C1(n2cnnn2)CCOCC1 ZINC000996915119 1073076497 /nfs/dbraw/zinc/07/64/97/1073076497.db2.gz QOGMCIJGRLZOOE-MBVGCQLBSA-N 0 0 428.493 -0.150 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)nn1 ZINC000997365498 1073091079 /nfs/dbraw/zinc/09/10/79/1073091079.db2.gz VNFIQRPUIWIGCO-SESWGDBVSA-N 0 0 428.493 -0.349 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H]2C[C@@H]4O[C@H]2[C@H]2C[C@H]24)C3)nn1 ZINC000998932524 1073127845 /nfs/dbraw/zinc/12/78/45/1073127845.db2.gz RRJXZFGOKUYCBX-SESWGDBVSA-N 0 0 428.493 -0.349 20 0 IBADRN O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)C1(n2cnnn2)CCOCC1)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000998941181 1073127809 /nfs/dbraw/zinc/12/78/09/1073127809.db2.gz XJJIAMQYAIJOGH-MBVGCQLBSA-N 0 0 428.493 -0.150 20 0 IBADRN CS(=O)(=O)CC(=O)N1CCC([C@@H]2OCC[C@H]2NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC001001826763 1074335969 /nfs/dbraw/zinc/33/59/69/1074335969.db2.gz NJALORKVYDHELY-WTPKRDNOSA-N 0 0 426.535 -0.033 20 0 IBADRN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C1(n2cnnn2)CCOCC1 ZINC001003071375 1073194713 /nfs/dbraw/zinc/19/47/13/1073194713.db2.gz QOGMCIJGRLZOOE-QPZWGXJQSA-N 0 0 428.493 -0.150 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)nn1 ZINC001003373162 1073201337 /nfs/dbraw/zinc/20/13/37/1073201337.db2.gz VNFIQRPUIWIGCO-BBXGFBQTSA-N 0 0 428.493 -0.349 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H]2C[C@@H]4O[C@H]2[C@H]2C[C@H]24)C3)nn1 ZINC001004722519 1073239465 /nfs/dbraw/zinc/23/94/65/1073239465.db2.gz RRJXZFGOKUYCBX-BBXGFBQTSA-N 0 0 428.493 -0.349 20 0 IBADRN O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)C1(n2cnnn2)CCOCC1)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001004723346 1073239521 /nfs/dbraw/zinc/23/95/21/1073239521.db2.gz XJJIAMQYAIJOGH-QPZWGXJQSA-N 0 0 428.493 -0.150 20 0 IBADRN COC(=O)c1nccc(N[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2n2ccnn2)n1 ZINC001039642202 1072657837 /nfs/dbraw/zinc/65/78/37/1072657837.db2.gz GUVKCBNTPZWUJG-MFAUZPSPSA-N 0 0 425.449 -0.436 20 0 IBADRN Cc1nc(N(C)C)nc(N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)n1 ZINC001051479724 1072753762 /nfs/dbraw/zinc/75/37/62/1072753762.db2.gz GURGYJXCMOSPLX-KDNLLOOPSA-N 0 0 425.497 -0.193 20 0 IBADRN COC(=O)c1nccc(N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)n1 ZINC001051479770 1072753748 /nfs/dbraw/zinc/75/37/48/1072753748.db2.gz IOVNTCFYKGSJSU-MFAUZPSPSA-N 0 0 425.449 -0.176 20 0 IBADRN COC(=O)c1cnc(N2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](n3ccnn3)C2)nc1 ZINC001051479773 1072753835 /nfs/dbraw/zinc/75/38/35/1072753835.db2.gz IRZXZKPODCWNFD-KDNLLOOPSA-N 0 0 425.449 -0.176 20 0 IBADRN O=C(N[C@@H]1CN(Cc2nnc3n2CCOC3)C[C@@H]1n1ccnn1)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001069982520 1072811399 /nfs/dbraw/zinc/81/13/99/1072811399.db2.gz GOXNUMYLABOHSW-OKCUJJGMSA-N 0 0 426.481 -0.635 20 0 IBADRN O=C([C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)N1C[C@@H](NCc2nnc3n2CCOC3)[C@@H](n2ccnn2)C1 ZINC001070239565 1072823521 /nfs/dbraw/zinc/82/35/21/1072823521.db2.gz WEJVVCCCWAHSHZ-OKCUJJGMSA-N 0 0 426.481 -0.635 20 0 IBADRN O=C(CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1)N1CC(=O)Nc2ccccc21 ZINC001077413240 1073487700 /nfs/dbraw/zinc/48/77/00/1073487700.db2.gz MDPOWAQEZIAGCI-KPCRWFGOSA-N 0 0 426.473 -0.444 20 0 IBADRN CN(C)S(=O)(=O)c1cc(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)co1 ZINC001077413249 1073487549 /nfs/dbraw/zinc/48/75/49/1073487549.db2.gz MMWYJIKVGABNRO-HKXJQTKSSA-N 0 0 425.507 -0.385 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)o1 ZINC001077414521 1073488453 /nfs/dbraw/zinc/48/84/53/1073488453.db2.gz ZVDRBBKXGQIBRI-HKXJQTKSSA-N 0 0 425.507 -0.385 20 0 IBADRN CO[C@@H]1CN(Cc2nc(CS(C)(=O)=O)no2)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001081959572 1073370977 /nfs/dbraw/zinc/37/09/77/1073370977.db2.gz NEIOUYZKSCKUKT-UPSITMQBSA-N 0 0 426.495 -0.647 20 0 IBADRN Cn1ncc2c1nc(CN1C[C@H]3OCCN(C(=O)[C@@H]4C[C@@H]5O[C@H]4[C@H]4C[C@H]45)[C@H]3C1)[nH]c2=O ZINC001083148088 1073380734 /nfs/dbraw/zinc/38/07/34/1073380734.db2.gz UFYVXSRJYBTJDD-ZTBHVSEPSA-N 0 0 426.477 -0.096 20 0 IBADRN O=C(CN1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](O)C1)N1CC(=O)Nc2ccccc21 ZINC001083653438 1073610462 /nfs/dbraw/zinc/61/04/62/1073610462.db2.gz MDPOWAQEZIAGCI-FWABSGTNSA-N 0 0 426.473 -0.444 20 0 IBADRN CN(C)S(=O)(=O)c1cc(CN2C[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H](O)C2)co1 ZINC001083653448 1073610477 /nfs/dbraw/zinc/61/04/77/1073610477.db2.gz MMWYJIKVGABNRO-FTBKSCEHSA-N 0 0 425.507 -0.385 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001108478245 1073600771 /nfs/dbraw/zinc/60/07/71/1073600771.db2.gz JHSXJQXRCUJLIQ-BCHHIPDFSA-N 0 0 425.551 -0.366 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001108478259 1073600695 /nfs/dbraw/zinc/60/06/95/1073600695.db2.gz KRIRQLDODYFNLZ-DDVYYSQMSA-N 0 0 425.551 -0.364 20 0 IBADRN CC(C)N(C)C(=O)CN1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001108478341 1073600713 /nfs/dbraw/zinc/60/07/13/1073600713.db2.gz PEHGHTBISKFOHJ-DDVYYSQMSA-N 0 0 425.551 -0.414 20 0 IBADRN CC(C)CNC(=O)CN1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001108478395 1073600863 /nfs/dbraw/zinc/60/08/63/1073600863.db2.gz SHPSEMSRHJAMCN-DDVYYSQMSA-N 0 0 425.551 -0.508 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001108478495 1073600818 /nfs/dbraw/zinc/60/08/18/1073600818.db2.gz ZBBTUAXGPNEGIT-DDVYYSQMSA-N 0 0 425.551 -0.412 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H]2C[C@@H]4O[C@H]2[C@H]2C[C@H]24)C3)cn1 ZINC001109538592 1073656000 /nfs/dbraw/zinc/65/60/00/1073656000.db2.gz JMIAVVYUIUHHJT-RAMDOIFKSA-N 0 0 435.550 -0.157 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H]2C[C@@H]4O[C@H]2[C@H]2C[C@H]24)C3)cn1 ZINC001110830726 1074479030 /nfs/dbraw/zinc/47/90/30/1074479030.db2.gz JMIAVVYUIUHHJT-SMTILKTDSA-N 0 0 435.550 -0.157 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001115812004 1073022505 /nfs/dbraw/zinc/02/25/05/1073022505.db2.gz YIJZXGVJFMIGDF-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC001115814056 1073022540 /nfs/dbraw/zinc/02/25/40/1073022540.db2.gz RMKHXDKRZWMUQX-UHFFFAOYSA-N 0 0 432.399 -0.357 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CC(=O)NCCCOC)c(=O)c1=O ZINC001115814764 1073022385 /nfs/dbraw/zinc/02/23/85/1073022385.db2.gz CKBPMRBGVZHCFK-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN O=C(CC[C@@H]1NC(=O)NC1=O)OCC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC001115814828 1073022426 /nfs/dbraw/zinc/02/24/26/1073022426.db2.gz LNJPXWUSVFUWFA-KBPBESRZSA-N 0 0 429.495 -0.134 20 0 IBADRN O=C(CC[C@@H]1NC(=O)NC1=O)OCC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC001115814829 1073022409 /nfs/dbraw/zinc/02/24/09/1073022409.db2.gz LNJPXWUSVFUWFA-KGLIPLIRSA-N 0 0 429.495 -0.134 20 0 IBADRN O=C(CC[C@H]1NC(=O)NC1=O)OCC(=O)N([C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC001115814830 1073022563 /nfs/dbraw/zinc/02/25/63/1073022563.db2.gz LNJPXWUSVFUWFA-UONOGXRCSA-N 0 0 429.495 -0.134 20 0 IBADRN O=C(CC[C@H]1NC(=O)NC1=O)OCC(=O)N([C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC001115814831 1073022552 /nfs/dbraw/zinc/02/25/52/1073022552.db2.gz LNJPXWUSVFUWFA-ZIAGYGMSSA-N 0 0 429.495 -0.134 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC001115814981 1073022495 /nfs/dbraw/zinc/02/24/95/1073022495.db2.gz LNYORCHESLMFOJ-UHFFFAOYSA-N 0 0 439.534 -0.138 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001115817275 1073022470 /nfs/dbraw/zinc/02/24/70/1073022470.db2.gz RLKHRVJTOUFGRC-HNNXBMFYSA-N 0 0 431.536 -0.187 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001115817276 1073022395 /nfs/dbraw/zinc/02/23/95/1073022395.db2.gz RLKHRVJTOUFGRC-OAHLLOKOSA-N 0 0 431.536 -0.187 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1C(=O)N[C@H](CCS(C)(=O)=O)C1=O ZINC001115817844 1073022480 /nfs/dbraw/zinc/02/24/80/1073022480.db2.gz YGNRCIGYRUALLV-CYBMUJFWSA-N 0 0 444.535 -0.432 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)CN1C(=O)N[C@@H](CCS(C)(=O)=O)C1=O ZINC001115817845 1073022591 /nfs/dbraw/zinc/02/25/91/1073022591.db2.gz YGNRCIGYRUALLV-ZDUSSCGKSA-N 0 0 444.535 -0.432 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)NCCc2cnn(-c3ccccc3)c2)C1=O ZINC001115826179 1073022519 /nfs/dbraw/zinc/02/25/19/1073022519.db2.gz MHMYWCMJLMWBJW-INIZCTEOSA-N 0 0 433.490 -0.114 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)NCCc2cnn(-c3ccccc3)c2)C1=O ZINC001115826180 1073022575 /nfs/dbraw/zinc/02/25/75/1073022575.db2.gz MHMYWCMJLMWBJW-MRXNPFEDSA-N 0 0 433.490 -0.114 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC(=O)N1CCCC1)c(=O)n2CC(C)C ZINC001115826311 1073022911 /nfs/dbraw/zinc/02/29/11/1073022911.db2.gz AVWUAGPXXZOMKC-UHFFFAOYSA-N 0 0 434.497 -0.599 20 0 IBADRN O=C(COC(=O)Cn1ccc(=O)[nH]c1=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001115827067 1073022840 /nfs/dbraw/zinc/02/28/40/1073022840.db2.gz YMPLTANYYQMVDB-UHFFFAOYSA-N 0 0 430.417 -0.719 20 0 IBADRN O=C(CCNC(=O)c1ccco1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001115827218 1073022899 /nfs/dbraw/zinc/02/28/99/1073022899.db2.gz KJGMVPYBKUODQK-AWEZNQCLSA-N 0 0 427.479 -0.726 20 0 IBADRN O=C(CCNC(=O)c1ccco1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001115827219 1073022787 /nfs/dbraw/zinc/02/27/87/1073022787.db2.gz KJGMVPYBKUODQK-CQSZACIVSA-N 0 0 427.479 -0.726 20 0 IBADRN C[S@@](=O)c1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001115827252 1073022947 /nfs/dbraw/zinc/02/29/47/1073022947.db2.gz MYUFNJYTQGXFLZ-KUNJGFBQSA-N 0 0 428.532 -0.088 20 0 IBADRN C[S@](=O)c1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001115827253 1073022925 /nfs/dbraw/zinc/02/29/25/1073022925.db2.gz MYUFNJYTQGXFLZ-QZXCRCNTSA-N 0 0 428.532 -0.088 20 0 IBADRN C[S@](=O)c1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001115827254 1073022937 /nfs/dbraw/zinc/02/29/37/1073022937.db2.gz MYUFNJYTQGXFLZ-RXAIFQJESA-N 0 0 428.532 -0.088 20 0 IBADRN C[S@@](=O)c1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001115827255 1073022960 /nfs/dbraw/zinc/02/29/60/1073022960.db2.gz MYUFNJYTQGXFLZ-YVORESIASA-N 0 0 428.532 -0.088 20 0 IBADRN CS(=O)(=O)c1ccccc1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001115827976 1073022860 /nfs/dbraw/zinc/02/28/60/1073022860.db2.gz XEOQSJWDKIIUCK-AWEZNQCLSA-N 0 0 444.531 -0.422 20 0 IBADRN CS(=O)(=O)c1ccccc1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001115827977 1073022803 /nfs/dbraw/zinc/02/28/03/1073022803.db2.gz XEOQSJWDKIIUCK-CQSZACIVSA-N 0 0 444.531 -0.422 20 0 IBADRN CC(C)C(=O)N1CCC(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001115829813 1073022980 /nfs/dbraw/zinc/02/29/80/1073022980.db2.gz PIIDQCXVDDPLLR-KRWDZBQOSA-N 0 0 443.566 -0.245 20 0 IBADRN CC(C)C(=O)N1CCC(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001115829818 1073022815 /nfs/dbraw/zinc/02/28/15/1073022815.db2.gz PIIDQCXVDDPLLR-QGZVFWFLSA-N 0 0 443.566 -0.245 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)OCC(=O)NC2CCN(C(C)=O)CC2)cc1 ZINC001115831956 1073022873 /nfs/dbraw/zinc/02/28/73/1073022873.db2.gz YEZXXZABULNSKA-UHFFFAOYSA-N 0 0 439.490 -0.162 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N(C)OC)cc1 ZINC001115832530 1073022773 /nfs/dbraw/zinc/02/27/73/1073022773.db2.gz WCDQMEZGUWQOPQ-AWEZNQCLSA-N 0 0 447.535 -0.366 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N(C)OC)cc1 ZINC001115832535 1073022969 /nfs/dbraw/zinc/02/29/69/1073022969.db2.gz WCDQMEZGUWQOPQ-CQSZACIVSA-N 0 0 447.535 -0.366 20 0 IBADRN Cn1c(C[C@H]2CCS(=O)(=O)C2)nn(CN2CCCN(S(C)(=O)=O)CC2)c1=S ZINC001115832679 1073022792 /nfs/dbraw/zinc/02/27/92/1073022792.db2.gz BPZNBXSXBXBOAG-CYBMUJFWSA-N 0 0 437.613 -0.147 20 0 IBADRN Cn1c(C[C@@H]2CCS(=O)(=O)C2)nn(CN2CCCN(S(C)(=O)=O)CC2)c1=S ZINC001115832680 1073022852 /nfs/dbraw/zinc/02/28/52/1073022852.db2.gz BPZNBXSXBXBOAG-ZDUSSCGKSA-N 0 0 437.613 -0.147 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001115833954 1073022987 /nfs/dbraw/zinc/02/29/87/1073022987.db2.gz XKWYPSUDEYHIHH-BBRMVZONSA-N 0 0 428.511 -0.358 20 0 IBADRN CC(C)[C@H](OC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001115833955 1073023232 /nfs/dbraw/zinc/02/32/32/1073023232.db2.gz XKWYPSUDEYHIHH-CJNGLKHVSA-N 0 0 428.511 -0.358 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001115833956 1073023224 /nfs/dbraw/zinc/02/32/24/1073023224.db2.gz XKWYPSUDEYHIHH-CZUORRHYSA-N 0 0 428.511 -0.358 20 0 IBADRN CC(C)[C@@H](OC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001115833957 1073023132 /nfs/dbraw/zinc/02/31/32/1073023132.db2.gz XKWYPSUDEYHIHH-XJKSGUPXSA-N 0 0 428.511 -0.358 20 0 IBADRN NC(=O)COc1cccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001115834184 1073023136 /nfs/dbraw/zinc/02/31/36/1073023136.db2.gz MZRDBESCUYTRAJ-HNNXBMFYSA-N 0 0 439.490 -0.961 20 0 IBADRN NC(=O)COc1cccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001115834185 1073023147 /nfs/dbraw/zinc/02/31/47/1073023147.db2.gz MZRDBESCUYTRAJ-OAHLLOKOSA-N 0 0 439.490 -0.961 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)[S@@](=O)Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC001115835136 1073023160 /nfs/dbraw/zinc/02/31/60/1073023160.db2.gz NMZCXAWAMDPRNZ-CIRKLDFFSA-N 0 0 436.577 -0.123 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)[S@](=O)Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC001115835137 1073023263 /nfs/dbraw/zinc/02/32/63/1073023263.db2.gz NMZCXAWAMDPRNZ-CLEYPRHESA-N 0 0 436.577 -0.123 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[S@@](=O)Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC001115835138 1073023208 /nfs/dbraw/zinc/02/32/08/1073023208.db2.gz NMZCXAWAMDPRNZ-GLAPUPDPSA-N 0 0 436.577 -0.123 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[S@](=O)Cc1cccc(S(=O)(=O)N(C)C)c1 ZINC001115835139 1073023237 /nfs/dbraw/zinc/02/32/37/1073023237.db2.gz NMZCXAWAMDPRNZ-QQERXFAXSA-N 0 0 436.577 -0.123 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@@H]1CCS(=O)(=O)C1 ZINC001115836063 1073023242 /nfs/dbraw/zinc/02/32/42/1073023242.db2.gz WBFCSJMENNLSSH-HNNXBMFYSA-N 0 0 431.536 -0.059 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@H]1CCS(=O)(=O)C1 ZINC001115836064 1073023187 /nfs/dbraw/zinc/02/31/87/1073023187.db2.gz WBFCSJMENNLSSH-OAHLLOKOSA-N 0 0 431.536 -0.059 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c[nH]1)N1CCCC1 ZINC001115836119 1073023274 /nfs/dbraw/zinc/02/32/74/1073023274.db2.gz ZEWXBQYBCZBNFX-AWEZNQCLSA-N 0 0 430.552 -0.256 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c[nH]1)N1CCCC1 ZINC001115836126 1073023166 /nfs/dbraw/zinc/02/31/66/1073023166.db2.gz ZEWXBQYBCZBNFX-CQSZACIVSA-N 0 0 430.552 -0.256 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001115836887 1073023217 /nfs/dbraw/zinc/02/32/17/1073023217.db2.gz AMFMWMCSNVFVSE-AEGPPILISA-N 0 0 444.506 -0.635 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001115836888 1073023140 /nfs/dbraw/zinc/02/31/40/1073023140.db2.gz AMFMWMCSNVFVSE-CFVMTHIKSA-N 0 0 444.506 -0.635 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001115836889 1073023196 /nfs/dbraw/zinc/02/31/96/1073023196.db2.gz AMFMWMCSNVFVSE-NWANDNLSSA-N 0 0 444.506 -0.635 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)OC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001115836890 1073023154 /nfs/dbraw/zinc/02/31/54/1073023154.db2.gz AMFMWMCSNVFVSE-QEJZJMRPSA-N 0 0 444.506 -0.635 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)NCC2CC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001115837033 1073023282 /nfs/dbraw/zinc/02/32/82/1073023282.db2.gz ORKKBWAUHITKKB-AWEZNQCLSA-N 0 0 430.504 -0.165 20 0 IBADRN O=C(COC(=O)c1cccc(S(=O)(=O)NCC2CC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001115837034 1073023251 /nfs/dbraw/zinc/02/32/51/1073023251.db2.gz ORKKBWAUHITKKB-CQSZACIVSA-N 0 0 430.504 -0.165 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC001115838741 1073023176 /nfs/dbraw/zinc/02/31/76/1073023176.db2.gz CSTVVSLMWMTSEP-UHFFFAOYSA-N 0 0 430.552 -0.024 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(C(=O)NCc4cccnc4)CC3)c2c(=O)n(C)c1=O ZINC001115839823 1073023586 /nfs/dbraw/zinc/02/35/86/1073023586.db2.gz YGUTYUBJMGAIJS-UHFFFAOYSA-N 0 0 439.476 -0.616 20 0 IBADRN Cn1cnnc1CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC001115839877 1073023624 /nfs/dbraw/zinc/02/36/24/1073023624.db2.gz JHXUHAZCKYWGJT-UHFFFAOYSA-N 0 0 447.521 -0.028 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCc2nncn2C)c1OC ZINC001115839902 1073023580 /nfs/dbraw/zinc/02/35/80/1073023580.db2.gz LCLLYFVEODRXIE-UHFFFAOYSA-N 0 0 425.467 -0.217 20 0 IBADRN C[C@@]1(NC(=O)c2cccc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)n2)CCS(=O)(=O)C1 ZINC001115841915 1073023533 /nfs/dbraw/zinc/02/35/33/1073023533.db2.gz KMDJXWKDMPKAFX-CALCHBBNSA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@@]1(NC(=O)c2cccc(C(=O)N[C@]3(C)CCS(=O)(=O)C3)n2)CCS(=O)(=O)C1 ZINC001115841920 1073023643 /nfs/dbraw/zinc/02/36/43/1073023643.db2.gz KMDJXWKDMPKAFX-IAGOWNOFSA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@]1(NC(=O)c2cccc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)n2)CCS(=O)(=O)C1 ZINC001115841921 1073023594 /nfs/dbraw/zinc/02/35/94/1073023594.db2.gz KMDJXWKDMPKAFX-IRXDYDNUSA-N 0 0 429.520 -0.304 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CCNC(=O)[C@H]2CC(=O)OC)c1 ZINC001115843231 1073023635 /nfs/dbraw/zinc/02/36/35/1073023635.db2.gz ZJNQWSQGKVPWTJ-GFCCVEGCSA-N 0 0 448.295 -0.139 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CCNC(=O)[C@@H]2CC(=O)OC)c1 ZINC001115843232 1073023553 /nfs/dbraw/zinc/02/35/53/1073023553.db2.gz ZJNQWSQGKVPWTJ-LBPRGKRZSA-N 0 0 448.295 -0.139 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccco1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001115844426 1073023615 /nfs/dbraw/zinc/02/36/15/1073023615.db2.gz BIHBYOKPCPVLOI-CHWSQXEVSA-N 0 0 431.536 -0.059 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001115844427 1073023599 /nfs/dbraw/zinc/02/35/99/1073023599.db2.gz BIHBYOKPCPVLOI-OLZOCXBDSA-N 0 0 431.536 -0.059 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccco1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001115844428 1073023566 /nfs/dbraw/zinc/02/35/66/1073023566.db2.gz BIHBYOKPCPVLOI-QWHCGFSZSA-N 0 0 431.536 -0.059 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001115844429 1073023560 /nfs/dbraw/zinc/02/35/60/1073023560.db2.gz BIHBYOKPCPVLOI-STQMWFEESA-N 0 0 431.536 -0.059 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001115844705 1073023607 /nfs/dbraw/zinc/02/36/07/1073023607.db2.gz UHIKNHFHAADPPN-INIZCTEOSA-N 0 0 446.570 -0.261 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001115844706 1073023537 /nfs/dbraw/zinc/02/35/37/1073023537.db2.gz UHIKNHFHAADPPN-MRXNPFEDSA-N 0 0 446.570 -0.261 20 0 IBADRN COCC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001115846587 1073023575 /nfs/dbraw/zinc/02/35/75/1073023575.db2.gz ALEZIKWXRBHNRO-UHFFFAOYSA-N 0 0 445.469 -0.646 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cnc2ncnn21 ZINC001115846633 1073023515 /nfs/dbraw/zinc/02/35/15/1073023515.db2.gz XEHRQSDQIVLSGQ-CYBMUJFWSA-N 0 0 436.494 -0.825 20 0 IBADRN CCc1c(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cnc2ncnn21 ZINC001115846637 1073023546 /nfs/dbraw/zinc/02/35/46/1073023546.db2.gz XEHRQSDQIVLSGQ-ZDUSSCGKSA-N 0 0 436.494 -0.825 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC001115847024 1073023569 /nfs/dbraw/zinc/02/35/69/1073023569.db2.gz SXQBJTUUEIBBGR-HNNXBMFYSA-N 0 0 429.529 -0.205 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC001115847025 1073023526 /nfs/dbraw/zinc/02/35/26/1073023526.db2.gz SXQBJTUUEIBBGR-OAHLLOKOSA-N 0 0 429.529 -0.205 20 0 IBADRN COCC(=O)NCCC(=O)OCC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001115847352 1073023997 /nfs/dbraw/zinc/02/39/97/1073023997.db2.gz NYBSXDANUUXFJO-UHFFFAOYSA-N 0 0 441.506 -0.088 20 0 IBADRN COCC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001115847492 1073023986 /nfs/dbraw/zinc/02/39/86/1073023986.db2.gz VYZLHKHFUOIBFM-UHFFFAOYSA-N 0 0 445.469 -0.646 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001115848034 1073023894 /nfs/dbraw/zinc/02/38/94/1073023894.db2.gz SWZXNGUEVMDKAO-KBPBESRZSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001115848035 1073024023 /nfs/dbraw/zinc/02/40/23/1073024023.db2.gz SWZXNGUEVMDKAO-KGLIPLIRSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001115848037 1073024015 /nfs/dbraw/zinc/02/40/15/1073024015.db2.gz SWZXNGUEVMDKAO-UONOGXRCSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001115848038 1073023958 /nfs/dbraw/zinc/02/39/58/1073023958.db2.gz SWZXNGUEVMDKAO-ZIAGYGMSSA-N 0 0 429.520 -0.290 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccccc3NS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC001115848769 1073024001 /nfs/dbraw/zinc/02/40/01/1073024001.db2.gz VLYUSOZWSNQUQR-UHFFFAOYSA-N 0 0 434.478 -0.488 20 0 IBADRN Cn1c(=O)cc(CS(=O)(=O)Cc2ccc(S(=O)(=O)N3CCCC3)o2)n(C)c1=O ZINC001115848896 1073023916 /nfs/dbraw/zinc/02/39/16/1073023916.db2.gz HQHQSQYQLVLKFI-UHFFFAOYSA-N 0 0 431.492 -0.424 20 0 IBADRN CN(C)C(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC1 ZINC001115850440 1073024011 /nfs/dbraw/zinc/02/40/11/1073024011.db2.gz QVRPEFWAPXQNGT-UHFFFAOYSA-N 0 0 441.510 -0.395 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)c2)C1=O ZINC001115852502 1073023844 /nfs/dbraw/zinc/02/38/44/1073023844.db2.gz RRNMDMMQXLYGHC-UHFFFAOYSA-N 0 0 425.405 -0.529 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001115853905 1073023947 /nfs/dbraw/zinc/02/39/47/1073023947.db2.gz RWIBRCGYMBDBGX-UHFFFAOYSA-N 0 0 437.522 -0.518 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001115854558 1073023904 /nfs/dbraw/zinc/02/39/04/1073023904.db2.gz IYSGNTPEDDWVIH-UHFFFAOYSA-N 0 0 430.509 -0.732 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)s1 ZINC001115854774 1073023869 /nfs/dbraw/zinc/02/38/69/1073023869.db2.gz OVAPODVHMXZNAU-UHFFFAOYSA-N 0 0 431.540 -0.064 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCN2C(=O)CSC2=O)c1 ZINC001115856777 1073023978 /nfs/dbraw/zinc/02/39/78/1073023978.db2.gz HFBCASBEJJJACD-UHFFFAOYSA-N 0 0 428.492 -0.404 20 0 IBADRN O=C(CN1CCC(N2CCNC2=O)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001115859033 1073023931 /nfs/dbraw/zinc/02/39/31/1073023931.db2.gz PFGUFSGWHBFPEG-DLBZAZTESA-N 0 0 428.555 -0.329 20 0 IBADRN O=C(CN1CCC(N2CCNC2=O)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001115859035 1073023965 /nfs/dbraw/zinc/02/39/65/1073023965.db2.gz PFGUFSGWHBFPEG-IAGOWNOFSA-N 0 0 428.555 -0.329 20 0 IBADRN O=C(CN1CCC(N2CCNC2=O)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001115859036 1073023940 /nfs/dbraw/zinc/02/39/40/1073023940.db2.gz PFGUFSGWHBFPEG-IRXDYDNUSA-N 0 0 428.555 -0.329 20 0 IBADRN O=C(CN1CCC(N2CCNC2=O)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001115859037 1073023856 /nfs/dbraw/zinc/02/38/56/1073023856.db2.gz PFGUFSGWHBFPEG-SJORKVTESA-N 0 0 428.555 -0.329 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001115859604 1073023925 /nfs/dbraw/zinc/02/39/25/1073023925.db2.gz LVEPSSXBBVIPDC-HNNXBMFYSA-N 0 0 437.584 -0.410 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001115859605 1073023882 /nfs/dbraw/zinc/02/38/82/1073023882.db2.gz LVEPSSXBBVIPDC-OAHLLOKOSA-N 0 0 437.584 -0.410 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC001115859833 1073024342 /nfs/dbraw/zinc/02/43/42/1073024342.db2.gz YGLPROZPQFTREJ-HNNXBMFYSA-N 0 0 431.536 -0.846 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC001115859834 1073024464 /nfs/dbraw/zinc/02/44/64/1073024464.db2.gz YGLPROZPQFTREJ-OAHLLOKOSA-N 0 0 431.536 -0.846 20 0 IBADRN O=C(C[C@@H]1CCS(=O)(=O)C1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001115860022 1073024310 /nfs/dbraw/zinc/02/43/10/1073024310.db2.gz KMSAPTANZWQZND-HNNXBMFYSA-N 0 0 430.552 -0.526 20 0 IBADRN O=C(C[C@H]1CCS(=O)(=O)C1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001115860023 1073024253 /nfs/dbraw/zinc/02/42/53/1073024253.db2.gz KMSAPTANZWQZND-OAHLLOKOSA-N 0 0 430.552 -0.526 20 0 IBADRN COCC(=O)NC1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC001115860142 1073024244 /nfs/dbraw/zinc/02/42/44/1073024244.db2.gz QYEBPDVQFYAHNJ-UHFFFAOYSA-N 0 0 428.511 -0.587 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCC[C@H](NC(C)=O)C1)c(=O)n2CC(C)C ZINC001115861114 1073024271 /nfs/dbraw/zinc/02/42/71/1073024271.db2.gz AZCUCNZRCIJQEZ-INIZCTEOSA-N 0 0 448.524 -0.211 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCC[C@@H](NC(C)=O)C1)c(=O)n2CC(C)C ZINC001115861115 1073024291 /nfs/dbraw/zinc/02/42/91/1073024291.db2.gz AZCUCNZRCIJQEZ-MRXNPFEDSA-N 0 0 448.524 -0.211 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(=O)n(CC(=O)NCc2ccc(S(C)(=O)=O)cc2)c1 ZINC001115861329 1073024417 /nfs/dbraw/zinc/02/44/17/1073024417.db2.gz GLPCULLUNAWFOJ-UHFFFAOYSA-N 0 0 427.504 -0.182 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001115861499 1073024301 /nfs/dbraw/zinc/02/43/01/1073024301.db2.gz KQNXLHIFAZWMRK-DZGCQCFKSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001115861503 1073024281 /nfs/dbraw/zinc/02/42/81/1073024281.db2.gz KQNXLHIFAZWMRK-HIFRSBDPSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001115861504 1073024326 /nfs/dbraw/zinc/02/43/26/1073024326.db2.gz KQNXLHIFAZWMRK-UKRRQHHQSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001115861505 1073024386 /nfs/dbraw/zinc/02/43/86/1073024386.db2.gz KQNXLHIFAZWMRK-ZFWWWQNUSA-N 0 0 429.520 -0.290 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC(N2CCNC2=O)CC1 ZINC001115862465 1073024374 /nfs/dbraw/zinc/02/43/74/1073024374.db2.gz RWNKOWKQDKNBNF-UHFFFAOYSA-N 0 0 435.506 -0.563 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(N3CCNC3=O)CC2)c1 ZINC001115862689 1073024364 /nfs/dbraw/zinc/02/43/64/1073024364.db2.gz CTXOLMREXXRHLC-UHFFFAOYSA-N 0 0 439.538 -0.105 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)N2CCN(C(=O)C3CC3)CC2)o1 ZINC001115863954 1073024353 /nfs/dbraw/zinc/02/43/53/1073024353.db2.gz QQANDHRKWGWXNP-UHFFFAOYSA-N 0 0 447.535 -0.475 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001115865851 1073024335 /nfs/dbraw/zinc/02/43/35/1073024335.db2.gz KWSOTTFEFMXHNM-HNNXBMFYSA-N 0 0 431.536 -0.711 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001115865853 1073024234 /nfs/dbraw/zinc/02/42/34/1073024234.db2.gz KWSOTTFEFMXHNM-OAHLLOKOSA-N 0 0 431.536 -0.711 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001115866461 1073024448 /nfs/dbraw/zinc/02/44/48/1073024448.db2.gz CJHMWMNOEDZLPC-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN Cn1cnnc1[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001115868403 1073024437 /nfs/dbraw/zinc/02/44/37/1073024437.db2.gz ANFVAWVHBFSTIT-HNNXBMFYSA-N 0 0 432.506 -0.045 20 0 IBADRN Cn1cnnc1[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001115868404 1073024402 /nfs/dbraw/zinc/02/44/02/1073024402.db2.gz ANFVAWVHBFSTIT-OAHLLOKOSA-N 0 0 432.506 -0.045 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC001115869066 1073024703 /nfs/dbraw/zinc/02/47/03/1073024703.db2.gz AMXCMIAQIXIVQC-CMPLNLGQSA-N 0 0 447.922 -0.046 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC001115869068 1073024736 /nfs/dbraw/zinc/02/47/36/1073024736.db2.gz AMXCMIAQIXIVQC-JQWIXIFHSA-N 0 0 447.922 -0.046 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC001115869069 1073024768 /nfs/dbraw/zinc/02/47/68/1073024768.db2.gz AMXCMIAQIXIVQC-PWSUYJOCSA-N 0 0 447.922 -0.046 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC001115869071 1073024788 /nfs/dbraw/zinc/02/47/88/1073024788.db2.gz AMXCMIAQIXIVQC-ZYHUDNBSSA-N 0 0 447.922 -0.046 20 0 IBADRN COc1ccccc1-c1nnc(S(=O)(=O)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n1C ZINC001115869787 1073024745 /nfs/dbraw/zinc/02/47/45/1073024745.db2.gz OXKSXEWLODMANK-LLVKDONJSA-N 0 0 428.492 -0.432 20 0 IBADRN COc1ccccc1-c1nnc(S(=O)(=O)CC(=O)N[C@H]2CCS(=O)(=O)C2)n1C ZINC001115869790 1073024853 /nfs/dbraw/zinc/02/48/53/1073024853.db2.gz OXKSXEWLODMANK-NSHDSACASA-N 0 0 428.492 -0.432 20 0 IBADRN CC(=O)N1CCN(C(=O)CS(=O)(=O)Cc2cc(=O)n3cc(Cl)ccc3n2)CC1 ZINC001115869992 1073024862 /nfs/dbraw/zinc/02/48/62/1073024862.db2.gz PYRFHOXPSLEWFS-UHFFFAOYSA-N 0 0 426.882 -0.047 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Cn2nc(-c3ccccc3)ccc2=O)CC1 ZINC001115872966 1073024843 /nfs/dbraw/zinc/02/48/43/1073024843.db2.gz PMCREIWTUHFTTH-UHFFFAOYSA-N 0 0 437.456 -0.270 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(N3CCNC3=O)CC2)c1 ZINC001115873083 1073024797 /nfs/dbraw/zinc/02/47/97/1073024797.db2.gz SAHOVCWSEDTYJR-UHFFFAOYSA-N 0 0 437.522 -0.317 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001115873757 1073024819 /nfs/dbraw/zinc/02/48/19/1073024819.db2.gz GEOOZTOPSNTNJS-LLVKDONJSA-N 0 0 435.499 -0.501 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001115873759 1073024833 /nfs/dbraw/zinc/02/48/33/1073024833.db2.gz GEOOZTOPSNTNJS-NSHDSACASA-N 0 0 435.499 -0.501 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cn2)CCO1 ZINC001115878997 1073024776 /nfs/dbraw/zinc/02/47/76/1073024776.db2.gz UUFFNRGDUBOGEF-GDBMZVCRSA-N 0 0 439.538 -0.201 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cn2)CCO1 ZINC001115878998 1073024779 /nfs/dbraw/zinc/02/47/79/1073024779.db2.gz UUFFNRGDUBOGEF-GOEBONIOSA-N 0 0 439.538 -0.201 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cn2)CCO1 ZINC001115878999 1073024726 /nfs/dbraw/zinc/02/47/26/1073024726.db2.gz UUFFNRGDUBOGEF-HOCLYGCPSA-N 0 0 439.538 -0.201 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cn2)CCO1 ZINC001115879000 1073024693 /nfs/dbraw/zinc/02/46/93/1073024693.db2.gz UUFFNRGDUBOGEF-ZBFHGGJFSA-N 0 0 439.538 -0.201 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)OCC(=O)N1CCC(C(=O)N2CCCCCC2)CC1 ZINC001115880187 1073024716 /nfs/dbraw/zinc/02/47/16/1073024716.db2.gz DWADINZXCJLKHY-UHFFFAOYSA-N 0 0 443.566 -0.099 20 0 IBADRN O=C(COC(=O)Cc1nc2ncccn2n1)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001115880522 1073024871 /nfs/dbraw/zinc/02/48/71/1073024871.db2.gz NGAJUSMIZKDXHX-UHFFFAOYSA-N 0 0 438.444 -0.001 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)CNS(=O)(=O)c2cnn(C)c2)CC1 ZINC001115880851 1073024757 /nfs/dbraw/zinc/02/47/57/1073024757.db2.gz WGPSUFDAYFOPQW-UHFFFAOYSA-N 0 0 443.526 -0.653 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)N1CCCCC1 ZINC001115881028 1073024801 /nfs/dbraw/zinc/02/48/01/1073024801.db2.gz XNSOUJDKCRYUCM-KKUMJFAQSA-N 0 0 443.522 -0.918 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)N1CCCCC1 ZINC001115881029 1073024810 /nfs/dbraw/zinc/02/48/10/1073024810.db2.gz XNSOUJDKCRYUCM-RRFJBIMHSA-N 0 0 443.522 -0.918 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)N1CCCCC1 ZINC001115881030 1073025208 /nfs/dbraw/zinc/02/52/08/1073025208.db2.gz XNSOUJDKCRYUCM-SOUVJXGZSA-N 0 0 443.522 -0.918 20 0 IBADRN C[C@H](NC(=O)COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)N1CCCCC1 ZINC001115881031 1073025267 /nfs/dbraw/zinc/02/52/67/1073025267.db2.gz XNSOUJDKCRYUCM-ZNMIVQPWSA-N 0 0 443.522 -0.918 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)N2CCC3(CC2)OCCO3)cc1S(N)(=O)=O ZINC001115881180 1073025243 /nfs/dbraw/zinc/02/52/43/1073025243.db2.gz FXJSDNJYPLYGTK-UHFFFAOYSA-N 0 0 446.453 -0.067 20 0 IBADRN O=C(CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCCC2)o1)NCC(=O)N1CCCC1 ZINC001115883757 1073025284 /nfs/dbraw/zinc/02/52/84/1073025284.db2.gz LRRVCUZORRPHNZ-UHFFFAOYSA-N 0 0 447.535 -0.283 20 0 IBADRN Cc1cc(C)n2nc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)nc2n1 ZINC001115885329 1073025256 /nfs/dbraw/zinc/02/52/56/1073025256.db2.gz BQJFFCZDNHDUOM-CYBMUJFWSA-N 0 0 442.523 -0.984 20 0 IBADRN Cc1cc(C)n2nc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)nc2n1 ZINC001115885330 1073025128 /nfs/dbraw/zinc/02/51/28/1073025128.db2.gz BQJFFCZDNHDUOM-ZDUSSCGKSA-N 0 0 442.523 -0.984 20 0 IBADRN Cc1cc(C)n2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001115885720 1073025112 /nfs/dbraw/zinc/02/51/12/1073025112.db2.gz ZANDUENDLYEVBW-AWEZNQCLSA-N 0 0 441.535 -0.379 20 0 IBADRN Cc1cc(C)n2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001115885721 1073025237 /nfs/dbraw/zinc/02/52/37/1073025237.db2.gz ZANDUENDLYEVBW-CQSZACIVSA-N 0 0 441.535 -0.379 20 0 IBADRN Cc1sc(=O)n(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC001115886106 1073025135 /nfs/dbraw/zinc/02/51/35/1073025135.db2.gz DJJPTIVUSXLYHX-CYBMUJFWSA-N 0 0 437.565 -0.812 20 0 IBADRN Cc1sc(=O)n(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC001115886107 1073025297 /nfs/dbraw/zinc/02/52/97/1073025297.db2.gz DJJPTIVUSXLYHX-ZDUSSCGKSA-N 0 0 437.565 -0.812 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC001115888243 1073025153 /nfs/dbraw/zinc/02/51/53/1073025153.db2.gz QVWFBJCUVDVUAS-CYBMUJFWSA-N 0 0 431.492 -0.857 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC001115888245 1073025293 /nfs/dbraw/zinc/02/52/93/1073025293.db2.gz QVWFBJCUVDVUAS-ZDUSSCGKSA-N 0 0 431.492 -0.857 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC001115890051 1073025103 /nfs/dbraw/zinc/02/51/03/1073025103.db2.gz MDUPZGMRNQURPQ-UHFFFAOYSA-N 0 0 439.557 -0.458 20 0 IBADRN Cc1nn2cccnc2c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001115890530 1073025145 /nfs/dbraw/zinc/02/51/45/1073025145.db2.gz MTOUEWWKNGXBLJ-CYBMUJFWSA-N 0 0 427.508 -0.688 20 0 IBADRN Cc1nn2cccnc2c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001115890531 1073025087 /nfs/dbraw/zinc/02/50/87/1073025087.db2.gz MTOUEWWKNGXBLJ-ZDUSSCGKSA-N 0 0 427.508 -0.688 20 0 IBADRN O=C(CNC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1)NCCN1CCOCC1 ZINC001115890713 1073025192 /nfs/dbraw/zinc/02/51/92/1073025192.db2.gz NQHNMHYHQXPTAX-UHFFFAOYSA-N 0 0 439.538 -0.953 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CSCc1cc(=O)n2ccsc2n1 ZINC001115890819 1073025182 /nfs/dbraw/zinc/02/51/82/1073025182.db2.gz QWMCDEDBYWZHDI-LLVKDONJSA-N 0 0 444.560 -0.249 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CSCc1cc(=O)n2ccsc2n1 ZINC001115890830 1073025276 /nfs/dbraw/zinc/02/52/76/1073025276.db2.gz QWMCDEDBYWZHDI-NSHDSACASA-N 0 0 444.560 -0.249 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCN1CCOCC1 ZINC001115893194 1073025165 /nfs/dbraw/zinc/02/51/65/1073025165.db2.gz MMONAEWJVJLEBV-INIZCTEOSA-N 0 0 427.523 -0.323 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCCN1CCOCC1 ZINC001115893195 1073025621 /nfs/dbraw/zinc/02/56/21/1073025621.db2.gz MMONAEWJVJLEBV-MRXNPFEDSA-N 0 0 427.523 -0.323 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCC(=O)N1CC(=O)Nc2ccccc21 ZINC001115893366 1073025638 /nfs/dbraw/zinc/02/56/38/1073025638.db2.gz XIRDLMKXSNTDFS-CYBMUJFWSA-N 0 0 436.490 -0.486 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCC(=O)N1CC(=O)Nc2ccccc21 ZINC001115893367 1073025718 /nfs/dbraw/zinc/02/57/18/1073025718.db2.gz XIRDLMKXSNTDFS-ZDUSSCGKSA-N 0 0 436.490 -0.486 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)CC1 ZINC001115895292 1073025668 /nfs/dbraw/zinc/02/56/68/1073025668.db2.gz WZWHWNRLDGNLCT-DLBZAZTESA-N 0 0 440.566 -0.965 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)CC1 ZINC001115895295 1073025656 /nfs/dbraw/zinc/02/56/56/1073025656.db2.gz WZWHWNRLDGNLCT-IAGOWNOFSA-N 0 0 440.566 -0.965 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)CC1 ZINC001115895297 1073025520 /nfs/dbraw/zinc/02/55/20/1073025520.db2.gz WZWHWNRLDGNLCT-IRXDYDNUSA-N 0 0 440.566 -0.965 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)CC1 ZINC001115895299 1073025682 /nfs/dbraw/zinc/02/56/82/1073025682.db2.gz WZWHWNRLDGNLCT-SJORKVTESA-N 0 0 440.566 -0.965 20 0 IBADRN CN(CC(N)=O)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC001115896630 1073025588 /nfs/dbraw/zinc/02/55/88/1073025588.db2.gz DVZVGFBDUPLPBU-UHFFFAOYSA-N 0 0 426.495 -0.899 20 0 IBADRN Cc1sc(=O)n(CC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC001115898387 1073025610 /nfs/dbraw/zinc/02/56/10/1073025610.db2.gz QEEQCQRUKORVJM-AWEZNQCLSA-N 0 0 431.536 -0.599 20 0 IBADRN Cc1sc(=O)n(CC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC001115898388 1073025506 /nfs/dbraw/zinc/02/55/06/1073025506.db2.gz QEEQCQRUKORVJM-CQSZACIVSA-N 0 0 431.536 -0.599 20 0 IBADRN O=C(NC[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001115899029 1073025576 /nfs/dbraw/zinc/02/55/76/1073025576.db2.gz JPWFISXVVHIVHR-BBWFWOEESA-N 0 0 442.559 -0.301 20 0 IBADRN O=C(N[C@H](CNC(=O)[C@@H]1CCS(=O)(=O)C1)Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001115899030 1073025648 /nfs/dbraw/zinc/02/56/48/1073025648.db2.gz JPWFISXVVHIVHR-IKGGRYGDSA-N 0 0 442.559 -0.301 20 0 IBADRN O=C(NC[C@H](Cc1ccccc1)NC(=O)[C@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001115899031 1073025543 /nfs/dbraw/zinc/02/55/43/1073025543.db2.gz JPWFISXVVHIVHR-ULQDDVLXSA-N 0 0 442.559 -0.301 20 0 IBADRN O=C(NC[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001115899032 1073025746 /nfs/dbraw/zinc/02/57/46/1073025746.db2.gz JPWFISXVVHIVHR-ZACQAIPSSA-N 0 0 442.559 -0.301 20 0 IBADRN C[C@H](C(=O)NCCS(=O)(=O)N1CCN(C(=O)[C@H](C)n2ccnc2)CC1)n1ccnc1 ZINC001115899283 1073025629 /nfs/dbraw/zinc/02/56/29/1073025629.db2.gz BTCUNJKJYPWDNT-CVEARBPZSA-N 0 0 437.526 -0.508 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)N1CCN(C(=O)[C@H](C)n2ccnc2)CC1)n1ccnc1 ZINC001115899288 1073025754 /nfs/dbraw/zinc/02/57/54/1073025754.db2.gz BTCUNJKJYPWDNT-HOTGVXAUSA-N 0 0 437.526 -0.508 20 0 IBADRN C[C@H](C(=O)NCCS(=O)(=O)N1CCN(C(=O)[C@@H](C)n2ccnc2)CC1)n1ccnc1 ZINC001115899289 1073025598 /nfs/dbraw/zinc/02/55/98/1073025598.db2.gz BTCUNJKJYPWDNT-HZPDHXFCSA-N 0 0 437.526 -0.508 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)CCNC(=O)[C@H](C)n2ccnc2)CC1)n1ccnc1 ZINC001115899291 1073026142 /nfs/dbraw/zinc/02/61/42/1073026142.db2.gz BTCUNJKJYPWDNT-JKSUJKDBSA-N 0 0 437.526 -0.508 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CC2(CC(=O)N3CCOCC3)CCCC2)CC1 ZINC001115901072 1073026073 /nfs/dbraw/zinc/02/60/73/1073026073.db2.gz GENYORIZEZJGNP-UHFFFAOYSA-N 0 0 444.598 -0.121 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1nc(S(C)(=O)=O)n2ccccc12 ZINC001115916153 1073026038 /nfs/dbraw/zinc/02/60/38/1073026038.db2.gz YIZLVBUUVXFJJC-GFCCVEGCSA-N 0 0 442.519 -0.497 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1nc(S(C)(=O)=O)n2ccccc12 ZINC001115916154 1073026186 /nfs/dbraw/zinc/02/61/86/1073026186.db2.gz YIZLVBUUVXFJJC-LBPRGKRZSA-N 0 0 442.519 -0.497 20 0 IBADRN CN(C1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1)S(C)(=O)=O ZINC001115918484 1073025982 /nfs/dbraw/zinc/02/59/82/1073025982.db2.gz SFESATWNQBUNQS-UHFFFAOYSA-N 0 0 448.567 -0.458 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCN3CCCS3(=O)=O)CC2)cn1C ZINC001115919361 1073026054 /nfs/dbraw/zinc/02/60/54/1073026054.db2.gz GJZZQDFFFWAUGL-UHFFFAOYSA-N 0 0 433.556 -0.719 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCO[C@H](C(N)=O)C2)c1OC ZINC001115919532 1073026004 /nfs/dbraw/zinc/02/60/04/1073026004.db2.gz NUBDHVLKLCKOSY-HNNXBMFYSA-N 0 0 443.478 -0.949 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCO[C@@H](C(N)=O)C2)c1OC ZINC001115919533 1073026195 /nfs/dbraw/zinc/02/61/95/1073026195.db2.gz NUBDHVLKLCKOSY-OAHLLOKOSA-N 0 0 443.478 -0.949 20 0 IBADRN O=C1COc2cc(S(=O)(=O)NCCS(=O)(=O)N3CCOCC3)c(Cl)cc2N1 ZINC001115921671 1073026027 /nfs/dbraw/zinc/02/60/27/1073026027.db2.gz PLJSWZKTUOSAMT-UHFFFAOYSA-N 0 0 439.899 -0.389 20 0 IBADRN O=C(CN1C(=O)CNC1=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001115922084 1073025970 /nfs/dbraw/zinc/02/59/70/1073025970.db2.gz ZKEVQAWXXSHROE-UHFFFAOYSA-N 0 0 438.462 -0.767 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)CC1 ZINC001115922161 1073026015 /nfs/dbraw/zinc/02/60/15/1073026015.db2.gz OPGCNYPYVCCITR-UHFFFAOYSA-N 0 0 440.541 -0.079 20 0 IBADRN O=C(NCCN1C(=O)COc2ccccc21)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001115925692 1073026104 /nfs/dbraw/zinc/02/61/04/1073026104.db2.gz SUMFNSQEYYGFBP-CYBMUJFWSA-N 0 0 434.474 -0.306 20 0 IBADRN O=C(NCCN1C(=O)COc2ccccc21)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001115925693 1073026154 /nfs/dbraw/zinc/02/61/54/1073026154.db2.gz SUMFNSQEYYGFBP-ZDUSSCGKSA-N 0 0 434.474 -0.306 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1cc(S(=O)(=O)NC)ccc1Br ZINC001115926685 1073026066 /nfs/dbraw/zinc/02/60/66/1073026066.db2.gz ABCKWRNNSNTDAO-UHFFFAOYSA-N 0 0 436.284 -0.152 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001115927548 1073026175 /nfs/dbraw/zinc/02/61/75/1073026175.db2.gz VLHWAEVLZVIZBG-AWEZNQCLSA-N 0 0 432.520 -0.470 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001115927549 1073026412 /nfs/dbraw/zinc/02/64/12/1073026412.db2.gz VLHWAEVLZVIZBG-CQSZACIVSA-N 0 0 432.520 -0.470 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cccc(N3C(=O)CCC3=O)c2)CC1 ZINC001115927611 1073026595 /nfs/dbraw/zinc/02/65/95/1073026595.db2.gz BPDFWFCIGSPRAQ-UHFFFAOYSA-N 0 0 426.429 -0.227 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1 ZINC001115927922 1073026478 /nfs/dbraw/zinc/02/64/78/1073026478.db2.gz IBWOXDLKLKMTPB-UHFFFAOYSA-N 0 0 441.444 -0.722 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)CCOC)cc1 ZINC001115928155 1073026494 /nfs/dbraw/zinc/02/64/94/1073026494.db2.gz OVUFYQRIELNSHL-HNNXBMFYSA-N 0 0 446.547 -0.128 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)CCOC)cc1 ZINC001115928156 1073026613 /nfs/dbraw/zinc/02/66/13/1073026613.db2.gz OVUFYQRIELNSHL-OAHLLOKOSA-N 0 0 446.547 -0.128 20 0 IBADRN Cc1cc(CN2CCCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)no1 ZINC001115932157 1073026587 /nfs/dbraw/zinc/02/65/87/1073026587.db2.gz MUNPUNXCICYSGF-UHFFFAOYSA-N 0 0 429.481 -0.145 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001115935479 1073026535 /nfs/dbraw/zinc/02/65/35/1073026535.db2.gz MWSLOGWAOKHWHT-MSOLQXFVSA-N 0 0 438.506 -0.481 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001115935482 1073026513 /nfs/dbraw/zinc/02/65/13/1073026513.db2.gz MWSLOGWAOKHWHT-QZTJIDSGSA-N 0 0 438.506 -0.481 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001115935483 1073026429 /nfs/dbraw/zinc/02/64/29/1073026429.db2.gz MWSLOGWAOKHWHT-ROUUACIJSA-N 0 0 438.506 -0.481 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001115935484 1073026472 /nfs/dbraw/zinc/02/64/72/1073026472.db2.gz MWSLOGWAOKHWHT-ZWKOTPCHSA-N 0 0 438.506 -0.481 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCC[C@H](N3CCNC3=O)C2)c1 ZINC001115938877 1073026448 /nfs/dbraw/zinc/02/64/48/1073026448.db2.gz AFNUGFIXKQBCLS-AWEZNQCLSA-N 0 0 437.522 -0.200 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCC[C@@H](N3CCNC3=O)C2)c1 ZINC001115938878 1073026525 /nfs/dbraw/zinc/02/65/25/1073026525.db2.gz AFNUGFIXKQBCLS-CQSZACIVSA-N 0 0 437.522 -0.200 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c(NS(C)(=O)=O)c1 ZINC001115939065 1073026459 /nfs/dbraw/zinc/02/64/59/1073026459.db2.gz INTXQIDLINAWGM-GFCCVEGCSA-N 0 0 435.499 -0.037 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c(NS(C)(=O)=O)c1 ZINC001115939066 1073026576 /nfs/dbraw/zinc/02/65/76/1073026576.db2.gz INTXQIDLINAWGM-LBPRGKRZSA-N 0 0 435.499 -0.037 20 0 IBADRN CC(C)(C)n1ncc2c1nc(N1CCN(CCO)CC1)nc2N1CCN(CCO)CC1 ZINC001115939514 1073026541 /nfs/dbraw/zinc/02/65/41/1073026541.db2.gz TXMGYWWZJHOTGK-UHFFFAOYSA-N 0 0 432.573 -0.190 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@H]2CCCN(C(=O)c3ccccc3)C2)CC1 ZINC001115946910 1073026500 /nfs/dbraw/zinc/02/65/00/1073026500.db2.gz XSIQTCIPLJVFPN-KRWDZBQOSA-N 0 0 426.481 -0.168 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)N[C@@H]2CCCN(C(=O)c3ccccc3)C2)CC1 ZINC001115946911 1073026567 /nfs/dbraw/zinc/02/65/67/1073026567.db2.gz XSIQTCIPLJVFPN-QGZVFWFLSA-N 0 0 426.481 -0.168 20 0 IBADRN COc1ccc(CC(=O)N2CCCN(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001115948861 1073026418 /nfs/dbraw/zinc/02/64/18/1073026418.db2.gz DYFXBRHECDUVMH-UHFFFAOYSA-N 0 0 440.522 -0.128 20 0 IBADRN C[C@@]1(c2cccc(CNC(=O)N3CCN(CC(=O)NC4CC4)CC3)c2)NC(=O)NC1=O ZINC001115951755 1073026440 /nfs/dbraw/zinc/02/64/40/1073026440.db2.gz BVYRXFHRIUJMHN-NRFANRHFSA-N 0 0 428.493 -0.153 20 0 IBADRN C[C@]1(c2cccc(CNC(=O)N3CCN(CC(=O)NC4CC4)CC3)c2)NC(=O)NC1=O ZINC001115951756 1073026466 /nfs/dbraw/zinc/02/64/66/1073026466.db2.gz BVYRXFHRIUJMHN-OAQYLSRUSA-N 0 0 428.493 -0.153 20 0 IBADRN O=C(CS[C@H]1CCS(=O)(=O)C1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001115951951 1073026963 /nfs/dbraw/zinc/02/69/63/1073026963.db2.gz CBXUYOMMEQMENQ-AWEZNQCLSA-N 0 0 427.552 -0.448 20 0 IBADRN O=C(CS[C@@H]1CCS(=O)(=O)C1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001115951952 1073027021 /nfs/dbraw/zinc/02/70/21/1073027021.db2.gz CBXUYOMMEQMENQ-CQSZACIVSA-N 0 0 427.552 -0.448 20 0 IBADRN COCC(=O)NC1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001115959844 1073026909 /nfs/dbraw/zinc/02/69/09/1073026909.db2.gz WBAUNNZUNIPDSU-UHFFFAOYSA-N 0 0 433.513 -0.548 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H](CNC(N)=O)C1)c2=O ZINC001115960133 1073026898 /nfs/dbraw/zinc/02/68/98/1073026898.db2.gz LOEVCHDIYMJNSG-SECBINFHSA-N 0 0 426.275 -0.201 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H](CNC(N)=O)C1)c2=O ZINC001115960135 1073027028 /nfs/dbraw/zinc/02/70/28/1073027028.db2.gz LOEVCHDIYMJNSG-VIFPVBQESA-N 0 0 426.275 -0.201 20 0 IBADRN COCC(=O)NC1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC001115962753 1073026954 /nfs/dbraw/zinc/02/69/54/1073026954.db2.gz VBSBFZCPAZNFDS-UHFFFAOYSA-N 0 0 441.286 -0.354 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC001115962769 1073027003 /nfs/dbraw/zinc/02/70/03/1073027003.db2.gz WGLFZAWOKSKJOO-UHFFFAOYSA-N 0 0 440.302 -0.781 20 0 IBADRN Cc1occc1C(=O)NC1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001115965014 1073026887 /nfs/dbraw/zinc/02/68/87/1073026887.db2.gz SJNIMZGEXBROPV-AWEZNQCLSA-N 0 0 426.495 -0.205 20 0 IBADRN Cc1occc1C(=O)NC1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001115965015 1073026980 /nfs/dbraw/zinc/02/69/80/1073026980.db2.gz SJNIMZGEXBROPV-CQSZACIVSA-N 0 0 426.495 -0.205 20 0 IBADRN O=C(CN1C(=O)CNC1=O)NC[C@H](O)COc1ccc(I)cc1 ZINC001115965173 1073026837 /nfs/dbraw/zinc/02/68/37/1073026837.db2.gz ZRUSUOKAFHXTQY-JTQLQIEISA-N 0 0 433.202 -0.301 20 0 IBADRN O=C(CN1C(=O)CNC1=O)NC[C@@H](O)COc1ccc(I)cc1 ZINC001115965174 1073026943 /nfs/dbraw/zinc/02/69/43/1073026943.db2.gz ZRUSUOKAFHXTQY-SNVBAGLBSA-N 0 0 433.202 -0.301 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)cn1 ZINC001115968897 1073026922 /nfs/dbraw/zinc/02/69/22/1073026922.db2.gz YBGWFSDLWXJNQS-UHFFFAOYSA-N 0 0 436.494 -0.292 20 0 IBADRN COC(=O)CCNC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001115973101 1073026991 /nfs/dbraw/zinc/02/69/91/1073026991.db2.gz GAVFTJRXYDHQTF-UHFFFAOYSA-N 0 0 434.492 -0.270 20 0 IBADRN O=C(c1ccc(N2CCCC2)nn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001115973199 1073026973 /nfs/dbraw/zinc/02/69/73/1073026973.db2.gz KHKCGPXIXICMPR-AWEZNQCLSA-N 0 0 443.551 -0.649 20 0 IBADRN O=C(c1ccc(N2CCCC2)nn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001115973200 1073026875 /nfs/dbraw/zinc/02/68/75/1073026875.db2.gz KHKCGPXIXICMPR-CQSZACIVSA-N 0 0 443.551 -0.649 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)NCCN1CCS(=O)(=O)CC1 ZINC001115974017 1073026932 /nfs/dbraw/zinc/02/69/32/1073026932.db2.gz GIZRYORHTAPXRM-UHFFFAOYSA-N 0 0 428.536 -0.573 20 0 IBADRN CN(C)C(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001115978605 1073026865 /nfs/dbraw/zinc/02/68/65/1073026865.db2.gz VDSCTOITLUJJBJ-KRWDZBQOSA-N 0 0 437.518 -0.124 20 0 IBADRN CN(C)C(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001115978606 1073026826 /nfs/dbraw/zinc/02/68/26/1073026826.db2.gz VDSCTOITLUJJBJ-QGZVFWFLSA-N 0 0 437.518 -0.124 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(S(N)(=O)=O)CC2)c1 ZINC001115979946 1073026855 /nfs/dbraw/zinc/02/68/55/1073026855.db2.gz FVFFIEDNDZJKBC-UHFFFAOYSA-N 0 0 434.540 -0.842 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC(NS(C)(=O)=O)CC1)c2=O ZINC001115980272 1073027280 /nfs/dbraw/zinc/02/72/80/1073027280.db2.gz SHPANTCVFXCUKK-UHFFFAOYSA-N 0 0 447.315 -0.567 20 0 IBADRN CN(C)C(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001115983795 1073027407 /nfs/dbraw/zinc/02/74/07/1073027407.db2.gz YZVWBHFRWHKEBH-INIZCTEOSA-N 0 0 443.547 -0.337 20 0 IBADRN CN(C)C(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001115983796 1073027310 /nfs/dbraw/zinc/02/73/10/1073027310.db2.gz YZVWBHFRWHKEBH-MRXNPFEDSA-N 0 0 443.547 -0.337 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)N1CCCC1 ZINC001115986235 1073027466 /nfs/dbraw/zinc/02/74/66/1073027466.db2.gz FOGROBZQFRXBQW-HNNXBMFYSA-N 0 0 431.536 -0.493 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)N1CCCC1 ZINC001115986236 1073027294 /nfs/dbraw/zinc/02/72/94/1073027294.db2.gz FOGROBZQFRXBQW-OAHLLOKOSA-N 0 0 431.536 -0.493 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001115986356 1073027440 /nfs/dbraw/zinc/02/74/40/1073027440.db2.gz HUDKKFPHUXRREO-BWJWTDLKSA-N 0 0 446.595 -0.674 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001115986361 1073027343 /nfs/dbraw/zinc/02/73/43/1073027343.db2.gz HUDKKFPHUXRREO-CLBVLKOUSA-N 0 0 446.595 -0.674 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001115986363 1073027247 /nfs/dbraw/zinc/02/72/47/1073027247.db2.gz HUDKKFPHUXRREO-JBXGNFEISA-N 0 0 446.595 -0.674 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001115986364 1073027416 /nfs/dbraw/zinc/02/74/16/1073027416.db2.gz HUDKKFPHUXRREO-PFFSRIRZSA-N 0 0 446.595 -0.674 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001115986551 1073027425 /nfs/dbraw/zinc/02/74/25/1073027425.db2.gz NLKCPSACTSKFIU-DLBZAZTESA-N 0 0 446.547 -0.527 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001115986552 1073027462 /nfs/dbraw/zinc/02/74/62/1073027462.db2.gz NLKCPSACTSKFIU-IAGOWNOFSA-N 0 0 446.547 -0.527 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001115986553 1073027328 /nfs/dbraw/zinc/02/73/28/1073027328.db2.gz NLKCPSACTSKFIU-IRXDYDNUSA-N 0 0 446.547 -0.527 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001115986554 1073027386 /nfs/dbraw/zinc/02/73/86/1073027386.db2.gz NLKCPSACTSKFIU-SJORKVTESA-N 0 0 446.547 -0.527 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCS(=O)(=O)CC3)c2)CC1 ZINC001115986562 1073027397 /nfs/dbraw/zinc/02/73/97/1073027397.db2.gz NSGNILVGKWRDKR-UHFFFAOYSA-N 0 0 429.520 -0.590 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC001115986675 1073027452 /nfs/dbraw/zinc/02/74/52/1073027452.db2.gz UEBXSFJUQUYKQP-CABCVRRESA-N 0 0 441.506 -0.952 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1OC ZINC001115986676 1073027713 /nfs/dbraw/zinc/02/77/13/1073027713.db2.gz UEBXSFJUQUYKQP-GJZGRUSLSA-N 0 0 441.506 -0.952 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC001115986677 1073027659 /nfs/dbraw/zinc/02/76/59/1073027659.db2.gz UEBXSFJUQUYKQP-HUUCEWRRSA-N 0 0 441.506 -0.952 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1OC ZINC001115986678 1073027620 /nfs/dbraw/zinc/02/76/20/1073027620.db2.gz UEBXSFJUQUYKQP-LSDHHAIUSA-N 0 0 441.506 -0.952 20 0 IBADRN CS(=O)(=O)CCNC(=S)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001115988572 1073027852 /nfs/dbraw/zinc/02/78/52/1073027852.db2.gz NLEGZOPCYSYGRF-UHFFFAOYSA-N 0 0 448.592 -0.096 20 0 IBADRN COc1ccc(CCNC(=O)CN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)cc1OC ZINC001115988611 1073027602 /nfs/dbraw/zinc/02/76/02/1073027602.db2.gz NZAPTZRCQASUGH-UHFFFAOYSA-N 0 0 439.490 -0.138 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CCCN(CC(N)=O)CC2)c1 ZINC001115989891 1073027768 /nfs/dbraw/zinc/02/77/68/1073027768.db2.gz GQWQIDMPWBHEQI-UHFFFAOYSA-N 0 0 433.328 -0.010 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)c1 ZINC001115992737 1073027568 /nfs/dbraw/zinc/02/75/68/1073027568.db2.gz OYHBPUIFJKYKMO-UHFFFAOYSA-N 0 0 439.490 -0.173 20 0 IBADRN O=C(CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)NCc1cnn(-c2ccccc2)c1 ZINC001115992768 1073027609 /nfs/dbraw/zinc/02/76/09/1073027609.db2.gz PVRSNWVPKWAUKO-UHFFFAOYSA-N 0 0 431.474 -0.012 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nc(C(N)=O)ccc3=O)CC2)cc1C ZINC001115993139 1073027796 /nfs/dbraw/zinc/02/77/96/1073027796.db2.gz WWTKOHAZZFGAMA-UHFFFAOYSA-N 0 0 433.490 -0.508 20 0 IBADRN COc1ccc(NC(=O)Cn2cnnc2[S@@](C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001115993718 1073027841 /nfs/dbraw/zinc/02/78/41/1073027841.db2.gz CSGRJBRJUPFCGC-MUUNZHRXSA-N 0 0 443.507 -0.316 20 0 IBADRN COc1ccc(NC(=O)Cn2cnnc2[S@](C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001115993719 1073027830 /nfs/dbraw/zinc/02/78/30/1073027830.db2.gz CSGRJBRJUPFCGC-NDEPHWFRSA-N 0 0 443.507 -0.316 20 0 IBADRN Cn1c(CCC(=O)N2CC[C@H](C(N)=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001115994604 1073027581 /nfs/dbraw/zinc/02/75/81/1073027581.db2.gz BPULJYVCKLTXHR-AWEZNQCLSA-N 0 0 449.533 -0.139 20 0 IBADRN Cn1c(CCC(=O)N2CC[C@@H](C(N)=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001115994605 1073027633 /nfs/dbraw/zinc/02/76/33/1073027633.db2.gz BPULJYVCKLTXHR-CQSZACIVSA-N 0 0 449.533 -0.139 20 0 IBADRN C[C@@]1(c2cccc(CNC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC001115995241 1073027861 /nfs/dbraw/zinc/02/78/61/1073027861.db2.gz NFIXWFAHMZHJPZ-AOMKIAJQSA-N 0 0 443.504 -0.462 20 0 IBADRN C[C@]1(c2cccc(CNC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC001115995257 1073027725 /nfs/dbraw/zinc/02/77/25/1073027725.db2.gz NFIXWFAHMZHJPZ-KSFYIVLOSA-N 0 0 443.504 -0.462 20 0 IBADRN C[C@]1(c2cccc(CNC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC001115995260 1073027673 /nfs/dbraw/zinc/02/76/73/1073027673.db2.gz NFIXWFAHMZHJPZ-OPAMFIHVSA-N 0 0 443.504 -0.462 20 0 IBADRN C[C@@]1(c2cccc(CNC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c2)NC(=O)NC1=O ZINC001115995266 1073027806 /nfs/dbraw/zinc/02/78/06/1073027806.db2.gz NFIXWFAHMZHJPZ-ZHRRBRCNSA-N 0 0 443.504 -0.462 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001115995534 1073027782 /nfs/dbraw/zinc/02/77/82/1073027782.db2.gz TZCPHRYENZEWRF-FZKCQIBNSA-N 0 0 448.563 -0.783 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001115995541 1073027644 /nfs/dbraw/zinc/02/76/44/1073027644.db2.gz TZCPHRYENZEWRF-JJXSEGSLSA-N 0 0 448.563 -0.783 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001115995543 1073028112 /nfs/dbraw/zinc/02/81/12/1073028112.db2.gz TZCPHRYENZEWRF-JONQDZQNSA-N 0 0 448.563 -0.783 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001115995544 1073028202 /nfs/dbraw/zinc/02/82/02/1073028202.db2.gz TZCPHRYENZEWRF-VGWMRTNUSA-N 0 0 448.563 -0.783 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001115998748 1073028266 /nfs/dbraw/zinc/02/82/66/1073028266.db2.gz PLSWULSPMUPSSA-GFCCVEGCSA-N 0 0 440.551 -0.025 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001115998749 1073028161 /nfs/dbraw/zinc/02/81/61/1073028161.db2.gz PLSWULSPMUPSSA-LBPRGKRZSA-N 0 0 440.551 -0.025 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCCN(C(=O)[C@H]3CCC(=O)N3)CC2)c1)[C@H]1CCC(=O)N1 ZINC001116000123 1073028087 /nfs/dbraw/zinc/02/80/87/1073028087.db2.gz SDSYIUILJFJIGC-IAGOWNOFSA-N 0 0 441.488 -0.143 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1cccc(NS(C)(=O)=O)c1 ZINC001116002776 1073028315 /nfs/dbraw/zinc/02/83/15/1073028315.db2.gz ZMZNQNNCMCWAIR-HNNXBMFYSA-N 0 0 431.536 -0.248 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1cccc(NS(C)(=O)=O)c1 ZINC001116002777 1073028258 /nfs/dbraw/zinc/02/82/58/1073028258.db2.gz ZMZNQNNCMCWAIR-OAHLLOKOSA-N 0 0 431.536 -0.248 20 0 IBADRN CCN(C(=O)C[S@](=O)Cc1ccc(S(=O)(=O)N(C)C)o1)[C@H]1CCS(=O)(=O)C1 ZINC001116002971 1073028060 /nfs/dbraw/zinc/02/80/60/1073028060.db2.gz JKAJCCBEQAYZGX-UOXLDJKESA-N 0 0 440.565 -0.186 20 0 IBADRN CCN(C(=O)C[S@@](=O)Cc1ccc(S(=O)(=O)N(C)C)o1)[C@H]1CCS(=O)(=O)C1 ZINC001116002972 1073028134 /nfs/dbraw/zinc/02/81/34/1073028134.db2.gz JKAJCCBEQAYZGX-VDBVYFBLSA-N 0 0 440.565 -0.186 20 0 IBADRN CCN(C(=O)C[S@](=O)Cc1ccc(S(=O)(=O)N(C)C)o1)[C@@H]1CCS(=O)(=O)C1 ZINC001116002973 1073028124 /nfs/dbraw/zinc/02/81/24/1073028124.db2.gz JKAJCCBEQAYZGX-XELLLNAOSA-N 0 0 440.565 -0.186 20 0 IBADRN CCN(C(=O)C[S@@](=O)Cc1ccc(S(=O)(=O)N(C)C)o1)[C@@H]1CCS(=O)(=O)C1 ZINC001116002974 1073028101 /nfs/dbraw/zinc/02/81/01/1073028101.db2.gz JKAJCCBEQAYZGX-YDBLSZJJSA-N 0 0 440.565 -0.186 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001116006021 1073028188 /nfs/dbraw/zinc/02/81/88/1073028188.db2.gz YUPNXRYBCBBHGR-UHFFFAOYSA-N 0 0 435.572 -0.856 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)CC1 ZINC001116012104 1073028235 /nfs/dbraw/zinc/02/82/35/1073028235.db2.gz ZGTCSOZXFNIROP-MPGHIAIKSA-N 0 0 425.555 -0.091 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCC1N=NC(=S)N1C)c2=O ZINC001116012439 1073028744 /nfs/dbraw/zinc/02/87/44/1073028744.db2.gz AFUXGERNCOUHBE-UHFFFAOYSA-N 0 0 427.288 -0.331 20 0 IBADRN C[C@@H](CC(=O)OC(C)(C)C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001116015253 1073028558 /nfs/dbraw/zinc/02/85/58/1073028558.db2.gz IOUIFDXRIKVEOO-HNNXBMFYSA-N 0 0 433.575 -0.074 20 0 IBADRN C[C@H](CC(=O)OC(C)(C)C)NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001116015258 1073028715 /nfs/dbraw/zinc/02/87/15/1073028715.db2.gz IOUIFDXRIKVEOO-OAHLLOKOSA-N 0 0 433.575 -0.074 20 0 IBADRN COCCN(C(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001116015625 1073028672 /nfs/dbraw/zinc/02/86/72/1073028672.db2.gz KSVYSEUPXFMCDD-GFCCVEGCSA-N 0 0 438.499 -0.314 20 0 IBADRN COCCN(C(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC001116015631 1073028734 /nfs/dbraw/zinc/02/87/34/1073028734.db2.gz KSVYSEUPXFMCDD-LBPRGKRZSA-N 0 0 438.499 -0.314 20 0 IBADRN C[C@@H](NC(=O)c1ccc(N2CCC[C@@H](C(N)=O)C2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC001116019195 1073028645 /nfs/dbraw/zinc/02/86/45/1073028645.db2.gz SKZSSSXUZIWBSS-GDBMZVCRSA-N 0 0 436.534 -0.236 20 0 IBADRN C[C@H](NC(=O)c1ccc(N2CCC[C@@H](C(N)=O)C2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC001116019196 1073028598 /nfs/dbraw/zinc/02/85/98/1073028598.db2.gz SKZSSSXUZIWBSS-GOEBONIOSA-N 0 0 436.534 -0.236 20 0 IBADRN C[C@H](NC(=O)c1ccc(N2CCC[C@H](C(N)=O)C2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC001116019197 1073028612 /nfs/dbraw/zinc/02/86/12/1073028612.db2.gz SKZSSSXUZIWBSS-HOCLYGCPSA-N 0 0 436.534 -0.236 20 0 IBADRN C[C@@H](NC(=O)c1ccc(N2CCC[C@H](C(N)=O)C2)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC001116019198 1073028692 /nfs/dbraw/zinc/02/86/92/1073028692.db2.gz SKZSSSXUZIWBSS-ZBFHGGJFSA-N 0 0 436.534 -0.236 20 0 IBADRN C[C@H](NC(=O)CC1(CC(=O)N2CCOCC2)CCCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC001116019283 1073028660 /nfs/dbraw/zinc/02/86/60/1073028660.db2.gz WOLUYIDGVKZQKM-INIZCTEOSA-N 0 0 443.566 -0.053 20 0 IBADRN C[C@@H](NC(=O)CC1(CC(=O)N2CCOCC2)CCCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC001116019284 1073028764 /nfs/dbraw/zinc/02/87/64/1073028764.db2.gz WOLUYIDGVKZQKM-MRXNPFEDSA-N 0 0 443.566 -0.053 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)Cc1ccncc1 ZINC001116022327 1073028623 /nfs/dbraw/zinc/02/86/23/1073028623.db2.gz WSXCOYXMIWFGPC-CABCVRRESA-N 0 0 436.490 -0.305 20 0 IBADRN COC(=O)[C@H](CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)Cc1ccncc1 ZINC001116022328 1073028637 /nfs/dbraw/zinc/02/86/37/1073028637.db2.gz WSXCOYXMIWFGPC-GJZGRUSLSA-N 0 0 436.490 -0.305 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)Cc1ccncc1 ZINC001116022329 1073028703 /nfs/dbraw/zinc/02/87/03/1073028703.db2.gz WSXCOYXMIWFGPC-HUUCEWRRSA-N 0 0 436.490 -0.305 20 0 IBADRN COC(=O)[C@H](CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)Cc1ccncc1 ZINC001116022330 1073028547 /nfs/dbraw/zinc/02/85/47/1073028547.db2.gz WSXCOYXMIWFGPC-LSDHHAIUSA-N 0 0 436.490 -0.305 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC001116022602 1073028775 /nfs/dbraw/zinc/02/87/75/1073028775.db2.gz VLYUVVZBWOLEKS-UHFFFAOYSA-N 0 0 438.616 -0.001 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)C1=O ZINC001116024843 1073028587 /nfs/dbraw/zinc/02/85/87/1073028587.db2.gz CMFVQULRSTVXNI-INIZCTEOSA-N 0 0 444.554 -0.601 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC001116024844 1073028605 /nfs/dbraw/zinc/02/86/05/1073028605.db2.gz CMFVQULRSTVXNI-MRXNPFEDSA-N 0 0 444.554 -0.601 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)NCC(=O)NCCN1CCOCC1 ZINC001116025775 1073029136 /nfs/dbraw/zinc/02/91/36/1073029136.db2.gz SHZYVKAEFRIIOF-UHFFFAOYSA-N 0 0 437.522 -0.855 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001116027921 1073029126 /nfs/dbraw/zinc/02/91/26/1073029126.db2.gz HAVFPXPHTBMNSD-AGIUHOORSA-N 0 0 427.552 -0.155 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001116027922 1073029037 /nfs/dbraw/zinc/02/90/37/1073029037.db2.gz HAVFPXPHTBMNSD-FRRDWIJNSA-N 0 0 427.552 -0.155 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001116027923 1073029003 /nfs/dbraw/zinc/02/90/03/1073029003.db2.gz HAVFPXPHTBMNSD-JHJVBQTASA-N 0 0 427.552 -0.155 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001116027924 1073029047 /nfs/dbraw/zinc/02/90/47/1073029047.db2.gz HAVFPXPHTBMNSD-UPJWGTAASA-N 0 0 427.552 -0.155 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCCS(=O)(=O)CC3)c2)CC1 ZINC001116031946 1073029168 /nfs/dbraw/zinc/02/91/68/1073029168.db2.gz JKLIEDSCXMSHAL-UHFFFAOYSA-N 0 0 443.547 -0.200 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@@H]2CCC(=O)NC2)CC1)[C@H]1CCC(=O)NC1 ZINC001116033612 1073029150 /nfs/dbraw/zinc/02/91/50/1073029150.db2.gz VPKWIVIAMDGCCN-DLBZAZTESA-N 0 0 442.520 -0.601 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@@H]2CCC(=O)NC2)CC1)[C@@H]1CCC(=O)NC1 ZINC001116033613 1073029094 /nfs/dbraw/zinc/02/90/94/1073029094.db2.gz VPKWIVIAMDGCCN-IAGOWNOFSA-N 0 0 442.520 -0.601 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@H]2CCC(=O)NC2)CC1)[C@H]1CCC(=O)NC1 ZINC001116033614 1073029235 /nfs/dbraw/zinc/02/92/35/1073029235.db2.gz VPKWIVIAMDGCCN-IRXDYDNUSA-N 0 0 442.520 -0.601 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@H]2CCC(=O)NC2)CC1)[C@@H]1CCC(=O)NC1 ZINC001116033615 1073029249 /nfs/dbraw/zinc/02/92/49/1073029249.db2.gz VPKWIVIAMDGCCN-SJORKVTESA-N 0 0 442.520 -0.601 20 0 IBADRN COCCn1cc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)ccc1=O ZINC001116034264 1073029060 /nfs/dbraw/zinc/02/90/60/1073029060.db2.gz MMENZCLXWNLCEM-GOSISDBHSA-N 0 0 441.550 -0.154 20 0 IBADRN COCCn1cc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)ccc1=O ZINC001116034265 1073029158 /nfs/dbraw/zinc/02/91/58/1073029158.db2.gz MMENZCLXWNLCEM-SFHVURJKSA-N 0 0 441.550 -0.154 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001116034583 1073029073 /nfs/dbraw/zinc/02/90/73/1073029073.db2.gz XJQJUVIULJMEHI-INIZCTEOSA-N 0 0 426.539 -0.042 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001116034584 1073029113 /nfs/dbraw/zinc/02/91/13/1073029113.db2.gz XJQJUVIULJMEHI-MRXNPFEDSA-N 0 0 426.539 -0.042 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC001116039512 1073029016 /nfs/dbraw/zinc/02/90/16/1073029016.db2.gz DKASAJCABBXURS-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001116041592 1073029228 /nfs/dbraw/zinc/02/92/28/1073029228.db2.gz MQEYZQPAOONXJG-ILXRZTDVSA-N 0 0 434.518 -0.484 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001116041604 1073029259 /nfs/dbraw/zinc/02/92/59/1073029259.db2.gz MQEYZQPAOONXJG-KKUMJFAQSA-N 0 0 434.518 -0.484 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001116041605 1073029184 /nfs/dbraw/zinc/02/91/84/1073029184.db2.gz MQEYZQPAOONXJG-QLFBSQMISA-N 0 0 434.518 -0.484 20 0 IBADRN NC(=O)c1ccccc1N1CC[C@H](NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001116041608 1073029702 /nfs/dbraw/zinc/02/97/02/1073029702.db2.gz MQEYZQPAOONXJG-SOUVJXGZSA-N 0 0 434.518 -0.484 20 0 IBADRN O=C(COC(=O)Cn1ncn2nccc2c1=O)NCc1ccccc1CN1CCOCC1 ZINC001116046058 1073029583 /nfs/dbraw/zinc/02/95/83/1073029583.db2.gz BXFLQBJPTMIHKW-UHFFFAOYSA-N 0 0 440.460 -0.417 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC001116046133 1073029555 /nfs/dbraw/zinc/02/95/55/1073029555.db2.gz BACDGEDPBGOXID-AWEZNQCLSA-N 0 0 434.453 0.000 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC001116046134 1073029602 /nfs/dbraw/zinc/02/96/02/1073029602.db2.gz BACDGEDPBGOXID-CQSZACIVSA-N 0 0 434.453 0.000 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)NCCCN2C(=O)CNC2=O)CC1 ZINC001116049021 1073029692 /nfs/dbraw/zinc/02/96/92/1073029692.db2.gz FOMLMPZNVZJDFV-UHFFFAOYSA-N 0 0 443.448 -0.074 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)nn1 ZINC001116049463 1073029708 /nfs/dbraw/zinc/02/97/08/1073029708.db2.gz VVBPUCOITZHJEF-UHFFFAOYSA-N 0 0 440.448 -0.205 20 0 IBADRN O=C(CN1CCCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1)N1CCCC1 ZINC001116050448 1073029469 /nfs/dbraw/zinc/02/94/69/1073029469.db2.gz SGIVQFVREXDIBK-UHFFFAOYSA-N 0 0 441.540 -0.108 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H]1CCCN(CC(N)=O)C1 ZINC001116050689 1073029497 /nfs/dbraw/zinc/02/94/97/1073029497.db2.gz UNHSTCMXMKVJQD-AWEZNQCLSA-N 0 0 440.522 -0.604 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CCCN(CC(N)=O)C1 ZINC001116050691 1073029740 /nfs/dbraw/zinc/02/97/40/1073029740.db2.gz UNHSTCMXMKVJQD-CQSZACIVSA-N 0 0 440.522 -0.604 20 0 IBADRN COCC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2c(C)oc(C)c2C(=O)OC)CC1 ZINC001116050729 1073029728 /nfs/dbraw/zinc/02/97/28/1073029728.db2.gz VJPUVSZOACIVDB-UHFFFAOYSA-N 0 0 445.494 -0.331 20 0 IBADRN C[S@@](=O)c1nncn1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001116050851 1073029570 /nfs/dbraw/zinc/02/95/70/1073029570.db2.gz LSHBVIMKFHKYPD-HHHXNRCGSA-N 0 0 427.508 -0.647 20 0 IBADRN C[S@](=O)c1nncn1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001116050852 1073029761 /nfs/dbraw/zinc/02/97/61/1073029761.db2.gz LSHBVIMKFHKYPD-MHZLTWQESA-N 0 0 427.508 -0.647 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC001116051682 1073029611 /nfs/dbraw/zinc/02/96/11/1073029611.db2.gz PKXAVHOKIRUGNW-CYBMUJFWSA-N 0 0 430.552 -0.623 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)C1 ZINC001116051683 1073029642 /nfs/dbraw/zinc/02/96/42/1073029642.db2.gz PKXAVHOKIRUGNW-ZDUSSCGKSA-N 0 0 430.552 -0.623 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)Cn3nc4n(c3=O)CCCCC4)cn2)CC1 ZINC001116051980 1073029535 /nfs/dbraw/zinc/02/95/35/1073029535.db2.gz XBHFRYNGKRICKF-UHFFFAOYSA-N 0 0 449.537 -0.114 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001116053131 1073029675 /nfs/dbraw/zinc/02/96/75/1073029675.db2.gz DYEZMCIGERXILK-UHFFFAOYSA-N 0 0 435.524 -0.476 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC001116053145 1073029743 /nfs/dbraw/zinc/02/97/43/1073029743.db2.gz FNAIDUZAYVMVPL-UHFFFAOYSA-N 0 0 434.359 -0.204 20 0 IBADRN CCc1ccc(C(=O)N[C@H]2CCCN(CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001116054069 1073030223 /nfs/dbraw/zinc/03/02/23/1073030223.db2.gz ILYOVTPPYDLXDZ-KRWDZBQOSA-N 0 0 438.550 -0.051 20 0 IBADRN CCc1ccc(C(=O)N[C@@H]2CCCN(CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001116054070 1073030021 /nfs/dbraw/zinc/03/00/21/1073030021.db2.gz ILYOVTPPYDLXDZ-QGZVFWFLSA-N 0 0 438.550 -0.051 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N(C)CC(=O)NC1CC1 ZINC001116054944 1073030183 /nfs/dbraw/zinc/03/01/83/1073030183.db2.gz WYONZPPAPGABME-HNNXBMFYSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N(C)CC(=O)NC1CC1 ZINC001116054945 1073030282 /nfs/dbraw/zinc/03/02/82/1073030282.db2.gz WYONZPPAPGABME-OAHLLOKOSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccc(C(=O)N[C@H]2CCCN(CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001116055159 1073030175 /nfs/dbraw/zinc/03/01/75/1073030175.db2.gz ZFIPQHFMXNLILY-HNNXBMFYSA-N 0 0 440.522 -0.604 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CCCN(CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001116055161 1073030039 /nfs/dbraw/zinc/03/00/39/1073030039.db2.gz ZFIPQHFMXNLILY-OAHLLOKOSA-N 0 0 440.522 -0.604 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC(N2CCNC2=O)CC1 ZINC001116056280 1073030260 /nfs/dbraw/zinc/03/02/60/1073030260.db2.gz UMXSNDGVNLHHSH-UHFFFAOYSA-N 0 0 439.538 -0.001 20 0 IBADRN Cc1ccc(NC(=O)CN(C)CCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001116056829 1073029999 /nfs/dbraw/zinc/02/99/99/1073029999.db2.gz MTQLTFRSTGOTSG-UHFFFAOYSA-N 0 0 448.567 -0.565 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N(C)CCNS(C)(=O)=O)n2C ZINC001116059498 1073030231 /nfs/dbraw/zinc/03/02/31/1073030231.db2.gz AMBUDMKADWVSJU-UHFFFAOYSA-N 0 0 445.567 -0.236 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(CN3C(=O)CN(C4CCCC4)C3=O)CC2)CC1 ZINC001116059804 1073030138 /nfs/dbraw/zinc/03/01/38/1073030138.db2.gz QLYXNFFMSKBVFQ-UHFFFAOYSA-N 0 0 428.559 -0.740 20 0 IBADRN O=C(OCCCN1C(=O)CNC1=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001116061393 1073030071 /nfs/dbraw/zinc/03/00/71/1073030071.db2.gz WJDNLUDGZPXWDA-UHFFFAOYSA-N 0 0 431.492 -0.008 20 0 IBADRN CNC(=O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001116072337 1073030062 /nfs/dbraw/zinc/03/00/62/1073030062.db2.gz CDLXHUMNNYKMBE-CYBMUJFWSA-N 0 0 439.943 -0.326 20 0 IBADRN CNC(=O)CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001116072338 1073029974 /nfs/dbraw/zinc/02/99/74/1073029974.db2.gz CDLXHUMNNYKMBE-ZDUSSCGKSA-N 0 0 439.943 -0.326 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc21 ZINC001116074483 1073030195 /nfs/dbraw/zinc/03/01/95/1073030195.db2.gz SARMPTHULPTTHE-AWEZNQCLSA-N 0 0 429.520 -0.433 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc21 ZINC001116074484 1073030207 /nfs/dbraw/zinc/03/02/07/1073030207.db2.gz SARMPTHULPTTHE-CQSZACIVSA-N 0 0 429.520 -0.433 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(CC(=O)N3CCC(C(N)=O)CC3)CC2)c1 ZINC001116074906 1073030048 /nfs/dbraw/zinc/03/00/48/1073030048.db2.gz YWLRIBNEOQINNE-UHFFFAOYSA-N 0 0 436.534 -0.428 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C(=O)N(C)C ZINC001116078361 1073030545 /nfs/dbraw/zinc/03/05/45/1073030545.db2.gz GWUJQOILKXXYSM-GFCCVEGCSA-N 0 0 447.535 -0.631 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C(=O)N(C)C ZINC001116078363 1073030617 /nfs/dbraw/zinc/03/06/17/1073030617.db2.gz GWUJQOILKXXYSM-LBPRGKRZSA-N 0 0 447.535 -0.631 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CC[C@H](NS(C)(=O)=O)C3)CC2)cn1C ZINC001116079846 1073030647 /nfs/dbraw/zinc/03/06/47/1073030647.db2.gz SVMVIOGYXOTODL-AWEZNQCLSA-N 0 0 433.556 -0.721 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)CC2)cn1C ZINC001116079849 1073030716 /nfs/dbraw/zinc/03/07/16/1073030716.db2.gz SVMVIOGYXOTODL-CQSZACIVSA-N 0 0 433.556 -0.721 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1F ZINC001116080315 1073030707 /nfs/dbraw/zinc/03/07/07/1073030707.db2.gz CBBSZODTCWRJNL-GFCCVEGCSA-N 0 0 448.494 -0.436 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1F ZINC001116080319 1073030696 /nfs/dbraw/zinc/03/06/96/1073030696.db2.gz CBBSZODTCWRJNL-LBPRGKRZSA-N 0 0 448.494 -0.436 20 0 IBADRN O=C(CCCn1ccccc1=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116085834 1073030568 /nfs/dbraw/zinc/03/05/68/1073030568.db2.gz WXSCLWZITYPDOM-HNNXBMFYSA-N 0 0 431.536 -0.710 20 0 IBADRN O=C(CCCn1ccccc1=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116085835 1073030518 /nfs/dbraw/zinc/03/05/18/1073030518.db2.gz WXSCLWZITYPDOM-OAHLLOKOSA-N 0 0 431.536 -0.710 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@H]2CCN(c3cnn(C)c3)C2)c1 ZINC001116088479 1073030497 /nfs/dbraw/zinc/03/04/97/1073030497.db2.gz MCAJIEKBUPEEML-AWEZNQCLSA-N 0 0 435.510 -0.155 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2)c1 ZINC001116088484 1073030535 /nfs/dbraw/zinc/03/05/35/1073030535.db2.gz MCAJIEKBUPEEML-CQSZACIVSA-N 0 0 435.510 -0.155 20 0 IBADRN CS(=O)(=O)c1ccccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116091287 1073030634 /nfs/dbraw/zinc/03/06/34/1073030634.db2.gz GEDIPLYUXJHECX-AWEZNQCLSA-N 0 0 443.547 -0.849 20 0 IBADRN CS(=O)(=O)c1ccccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116091288 1073030529 /nfs/dbraw/zinc/03/05/29/1073030529.db2.gz GEDIPLYUXJHECX-CQSZACIVSA-N 0 0 443.547 -0.849 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nnc1-c1ccccn1 ZINC001116091459 1073030558 /nfs/dbraw/zinc/03/05/58/1073030558.db2.gz YSVBDTSUPPMOFD-CYBMUJFWSA-N 0 0 437.551 -0.523 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nnc1-c1ccccn1 ZINC001116091463 1073030725 /nfs/dbraw/zinc/03/07/25/1073030725.db2.gz YSVBDTSUPPMOFD-ZDUSSCGKSA-N 0 0 437.551 -0.523 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)cn1 ZINC001116091794 1073030576 /nfs/dbraw/zinc/03/05/76/1073030576.db2.gz IPYOEOFIFXHSCI-HNNXBMFYSA-N 0 0 432.506 -0.451 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)cn1 ZINC001116091796 1073030686 /nfs/dbraw/zinc/03/06/86/1073030686.db2.gz IPYOEOFIFXHSCI-OAHLLOKOSA-N 0 0 432.506 -0.451 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(OCC3CC3)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001116092766 1073030628 /nfs/dbraw/zinc/03/06/28/1073030628.db2.gz ZMNZAEKQCWILSF-KRWDZBQOSA-N 0 0 436.534 -0.069 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(OCC3CC3)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001116092767 1073030507 /nfs/dbraw/zinc/03/05/07/1073030507.db2.gz ZMNZAEKQCWILSF-QGZVFWFLSA-N 0 0 436.534 -0.069 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2c(=O)oc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001116094425 1073030906 /nfs/dbraw/zinc/03/09/06/1073030906.db2.gz GURZPSPJIGXJER-AWEZNQCLSA-N 0 0 436.490 -0.958 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2c(=O)oc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001116094426 1073030924 /nfs/dbraw/zinc/03/09/24/1073030924.db2.gz GURZPSPJIGXJER-CQSZACIVSA-N 0 0 436.490 -0.958 20 0 IBADRN CCC(=O)N[C@@H](CCSC)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116094480 1073031021 /nfs/dbraw/zinc/03/10/21/1073031021.db2.gz JOGATFPJQRTEKP-CABCVRRESA-N 0 0 448.611 -0.918 20 0 IBADRN CCC(=O)N[C@@H](CCSC)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116094481 1073031033 /nfs/dbraw/zinc/03/10/33/1073031033.db2.gz JOGATFPJQRTEKP-GJZGRUSLSA-N 0 0 448.611 -0.918 20 0 IBADRN CCC(=O)N[C@H](CCSC)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116094482 1073030927 /nfs/dbraw/zinc/03/09/27/1073030927.db2.gz JOGATFPJQRTEKP-HUUCEWRRSA-N 0 0 448.611 -0.918 20 0 IBADRN CCC(=O)N[C@H](CCSC)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116094483 1073031123 /nfs/dbraw/zinc/03/11/23/1073031123.db2.gz JOGATFPJQRTEKP-LSDHHAIUSA-N 0 0 448.611 -0.918 20 0 IBADRN CN(CC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)c1ncnc2nc[nH]c21 ZINC001116095343 1073031062 /nfs/dbraw/zinc/03/10/62/1073031062.db2.gz NNTIWPBDRHLDHK-UHFFFAOYSA-N 0 0 437.526 -0.122 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1=O ZINC001116095518 1073030979 /nfs/dbraw/zinc/03/09/79/1073030979.db2.gz NWEJPKDTCPIUKE-GOSISDBHSA-N 0 0 430.527 -0.204 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1=O ZINC001116095519 1073030987 /nfs/dbraw/zinc/03/09/87/1073030987.db2.gz NWEJPKDTCPIUKE-SFHVURJKSA-N 0 0 430.527 -0.204 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)n1cccn1 ZINC001116095714 1073031094 /nfs/dbraw/zinc/03/10/94/1073031094.db2.gz NPBUHHRSTLYCQN-HNNXBMFYSA-N 0 0 441.554 -0.008 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)n1cccn1 ZINC001116095715 1073031040 /nfs/dbraw/zinc/03/10/40/1073031040.db2.gz NPBUHHRSTLYCQN-OAHLLOKOSA-N 0 0 441.554 -0.008 20 0 IBADRN CC(C)n1cnnc1SCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116096835 1073030995 /nfs/dbraw/zinc/03/09/95/1073030995.db2.gz JIQOMGGEXMHKRY-AWEZNQCLSA-N 0 0 444.583 -0.601 20 0 IBADRN CC(C)n1cnnc1SCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116096836 1073031082 /nfs/dbraw/zinc/03/10/82/1073031082.db2.gz JIQOMGGEXMHKRY-CQSZACIVSA-N 0 0 444.583 -0.601 20 0 IBADRN O=C(NCCC(=O)N1CCOCC1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001116097762 1073031137 /nfs/dbraw/zinc/03/11/37/1073031137.db2.gz CFMQRVFCQMHWBQ-UHFFFAOYSA-N 0 0 432.543 -0.492 20 0 IBADRN COCC(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001116098042 1073031104 /nfs/dbraw/zinc/03/11/04/1073031104.db2.gz DPEGPCIQMYYAAW-UHFFFAOYSA-N 0 0 432.543 -0.540 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)o1 ZINC001116099124 1073030946 /nfs/dbraw/zinc/03/09/46/1073030946.db2.gz NGHDTLKCFTWIBY-UHFFFAOYSA-N 0 0 435.524 -0.109 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC001116100060 1073030965 /nfs/dbraw/zinc/03/09/65/1073030965.db2.gz BOFQQCUIOCDBFP-INIZCTEOSA-N 0 0 439.534 -0.092 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC001116100061 1073031617 /nfs/dbraw/zinc/03/16/17/1073031617.db2.gz BOFQQCUIOCDBFP-MRXNPFEDSA-N 0 0 439.534 -0.092 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(-c2ccncc2)n1 ZINC001116100649 1073031504 /nfs/dbraw/zinc/03/15/04/1073031504.db2.gz UPKWVBWICDJPSG-INIZCTEOSA-N 0 0 446.533 -0.457 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(-c2ccncc2)n1 ZINC001116100650 1073031445 /nfs/dbraw/zinc/03/14/45/1073031445.db2.gz UPKWVBWICDJPSG-MRXNPFEDSA-N 0 0 446.533 -0.457 20 0 IBADRN COc1cc(F)cc(F)c1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001116101633 1073031453 /nfs/dbraw/zinc/03/14/53/1073031453.db2.gz NXQCPJIKUMDQQM-UHFFFAOYSA-N 0 0 431.417 -0.045 20 0 IBADRN O=C(CNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)NCC(F)(F)F ZINC001116102658 1073031394 /nfs/dbraw/zinc/03/13/94/1073031394.db2.gz CNOLXHSBYAGOAG-UHFFFAOYSA-N 0 0 430.449 -0.063 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc2c1CCC2 ZINC001116102813 1073031413 /nfs/dbraw/zinc/03/14/13/1073031413.db2.gz JRMUTIWYUMEDHY-HNNXBMFYSA-N 0 0 427.548 -0.232 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc2c1CCC2 ZINC001116102814 1073031558 /nfs/dbraw/zinc/03/15/58/1073031558.db2.gz JRMUTIWYUMEDHY-OAHLLOKOSA-N 0 0 427.548 -0.232 20 0 IBADRN CS(=O)(=O)N1CCCC[C@H]1C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001116102932 1073031407 /nfs/dbraw/zinc/03/14/07/1073031407.db2.gz OWNNJTPZJZJUQA-INIZCTEOSA-N 0 0 437.584 -0.110 20 0 IBADRN CS(=O)(=O)N1CCCC[C@@H]1C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001116102933 1073031511 /nfs/dbraw/zinc/03/15/11/1073031511.db2.gz OWNNJTPZJZJUQA-MRXNPFEDSA-N 0 0 437.584 -0.110 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1=O ZINC001116103202 1073031536 /nfs/dbraw/zinc/03/15/36/1073031536.db2.gz WBSJVNXOTRMWKP-IBGZPJMESA-N 0 0 442.538 -0.204 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1=O ZINC001116103204 1073031466 /nfs/dbraw/zinc/03/14/66/1073031466.db2.gz WBSJVNXOTRMWKP-LJQANCHMSA-N 0 0 442.538 -0.204 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001116105237 1073031476 /nfs/dbraw/zinc/03/14/76/1073031476.db2.gz USBPBSXFAJSQKX-UHFFFAOYSA-N 0 0 442.524 -0.253 20 0 IBADRN O=C(C1CCC2(CC1)NC(=O)NC2=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001116106272 1073031422 /nfs/dbraw/zinc/03/14/22/1073031422.db2.gz ZPKPOTKBZCEZSS-UHFFFAOYSA-N 0 0 443.508 -0.270 20 0 IBADRN O=C(COC(=O)c1ccnc(-n2cncn2)c1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001116106601 1073031546 /nfs/dbraw/zinc/03/15/46/1073031546.db2.gz NPFLJPPZDWEFCY-HNNXBMFYSA-N 0 0 434.478 -0.850 20 0 IBADRN O=C(COC(=O)c1ccnc(-n2cncn2)c1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116106602 1073031388 /nfs/dbraw/zinc/03/13/88/1073031388.db2.gz NPFLJPPZDWEFCY-OAHLLOKOSA-N 0 0 434.478 -0.850 20 0 IBADRN COC(=O)c1ccc(=O)n(CN2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)n1 ZINC001116110311 1073031592 /nfs/dbraw/zinc/03/15/92/1073031592.db2.gz MCJJQVPSCHZGEW-UHFFFAOYSA-N 0 0 434.474 -0.151 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC001116113578 1073031570 /nfs/dbraw/zinc/03/15/70/1073031570.db2.gz WTCJZQLNNXUCIK-GFCCVEGCSA-N 0 0 438.474 -0.013 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC001116113579 1073031606 /nfs/dbraw/zinc/03/16/06/1073031606.db2.gz WTCJZQLNNXUCIK-LBPRGKRZSA-N 0 0 438.474 -0.013 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)n1 ZINC001116113983 1073031400 /nfs/dbraw/zinc/03/14/00/1073031400.db2.gz AJADPBYYMSDZHB-UHFFFAOYSA-N 0 0 438.437 -0.298 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116115072 1073031521 /nfs/dbraw/zinc/03/15/21/1073031521.db2.gz GZJYYZBLXSVYTN-DZKIICNBSA-N 0 0 433.486 -0.134 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116115073 1073031896 /nfs/dbraw/zinc/03/18/96/1073031896.db2.gz GZJYYZBLXSVYTN-LZWOXQAQSA-N 0 0 433.486 -0.134 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116115074 1073032053 /nfs/dbraw/zinc/03/20/53/1073032053.db2.gz GZJYYZBLXSVYTN-OFQRWUPVSA-N 0 0 433.486 -0.134 20 0 IBADRN O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116115153 1073032007 /nfs/dbraw/zinc/03/20/07/1073032007.db2.gz GZJYYZBLXSVYTN-SQWLQELKSA-N 0 0 433.486 -0.134 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)NCCCNS(=O)(=O)N(C)C1CCOCC1 ZINC001116116056 1073031944 /nfs/dbraw/zinc/03/19/44/1073031944.db2.gz SMERLEZOEFBPFB-UHFFFAOYSA-N 0 0 428.577 -0.733 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(CCn2cncn2)CC1 ZINC001116118133 1073032061 /nfs/dbraw/zinc/03/20/61/1073032061.db2.gz YGWGFCLDYSFVOI-UHFFFAOYSA-N 0 0 430.513 -0.304 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(N2CCOC2=O)cc1 ZINC001116119780 1073032003 /nfs/dbraw/zinc/03/20/03/1073032003.db2.gz OPUCLPQPQBOAPK-GFCCVEGCSA-N 0 0 431.492 -0.433 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(N2CCOC2=O)cc1 ZINC001116119781 1073031930 /nfs/dbraw/zinc/03/19/30/1073031930.db2.gz OPUCLPQPQBOAPK-LBPRGKRZSA-N 0 0 431.492 -0.433 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001116120800 1073031958 /nfs/dbraw/zinc/03/19/58/1073031958.db2.gz CZCZINIZMVLNHV-UHFFFAOYSA-N 0 0 435.343 -0.051 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001116122010 1073031860 /nfs/dbraw/zinc/03/18/60/1073031860.db2.gz VZIBOBMNEOTJJD-AWEZNQCLSA-N 0 0 443.547 -0.042 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001116122012 1073031845 /nfs/dbraw/zinc/03/18/45/1073031845.db2.gz VZIBOBMNEOTJJD-CQSZACIVSA-N 0 0 443.547 -0.042 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCCN(S(C)(=O)=O)CC3)CC2)cn1 ZINC001116124281 1073031883 /nfs/dbraw/zinc/03/18/83/1073031883.db2.gz MGQREBXGGSYZFU-UHFFFAOYSA-N 0 0 448.571 -0.750 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCN3C(=O)CSC3=O)CC2)cn1 ZINC001116124713 1073031908 /nfs/dbraw/zinc/03/19/08/1073031908.db2.gz CWXKCZQRIQATGA-UHFFFAOYSA-N 0 0 430.512 -0.432 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1N1CCNC1=O ZINC001116125524 1073031870 /nfs/dbraw/zinc/03/18/70/1073031870.db2.gz HDLVFXVLPCSIRR-GDBMZVCRSA-N 0 0 438.506 -0.548 20 0 IBADRN COc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1N1CCNC1=O ZINC001116125525 1073032025 /nfs/dbraw/zinc/03/20/25/1073032025.db2.gz HDLVFXVLPCSIRR-GOEBONIOSA-N 0 0 438.506 -0.548 20 0 IBADRN COc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1N1CCNC1=O ZINC001116125526 1073032033 /nfs/dbraw/zinc/03/20/33/1073032033.db2.gz HDLVFXVLPCSIRR-HOCLYGCPSA-N 0 0 438.506 -0.548 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1N1CCNC1=O ZINC001116125527 1073032094 /nfs/dbraw/zinc/03/20/94/1073032094.db2.gz HDLVFXVLPCSIRR-ZBFHGGJFSA-N 0 0 438.506 -0.548 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC001116126287 1073032041 /nfs/dbraw/zinc/03/20/41/1073032041.db2.gz MDIBFOFRIJABEC-AWEZNQCLSA-N 0 0 441.554 -0.199 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC001116126295 1073032089 /nfs/dbraw/zinc/03/20/89/1073032089.db2.gz MDIBFOFRIJABEC-CQSZACIVSA-N 0 0 441.554 -0.199 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)cn1 ZINC001116126330 1073031977 /nfs/dbraw/zinc/03/19/77/1073031977.db2.gz QPFJMJNTMGOKPF-UHFFFAOYSA-N 0 0 443.551 -0.094 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC001116127292 1073032392 /nfs/dbraw/zinc/03/23/92/1073032392.db2.gz VGZHBVPJNJVPTC-CYBMUJFWSA-N 0 0 429.543 -0.295 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC001116127293 1073032406 /nfs/dbraw/zinc/03/24/06/1073032406.db2.gz VGZHBVPJNJVPTC-ZDUSSCGKSA-N 0 0 429.543 -0.295 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001116127570 1073032417 /nfs/dbraw/zinc/03/24/17/1073032417.db2.gz FOYDOLLOYGNAIO-UHFFFAOYSA-N 0 0 430.571 -0.511 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)o1 ZINC001116127591 1073032294 /nfs/dbraw/zinc/03/22/94/1073032294.db2.gz FXQVADZMWIWDCT-UHFFFAOYSA-N 0 0 442.538 -0.122 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)C1=O ZINC001116128040 1073032459 /nfs/dbraw/zinc/03/24/59/1073032459.db2.gz XSWIRADYEOTVMS-UHFFFAOYSA-N 0 0 437.541 -0.262 20 0 IBADRN COC[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001116130721 1073032380 /nfs/dbraw/zinc/03/23/80/1073032380.db2.gz TYBAXMURGJXOPX-GDBMZVCRSA-N 0 0 434.536 -0.358 20 0 IBADRN COC[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001116130722 1073032346 /nfs/dbraw/zinc/03/23/46/1073032346.db2.gz TYBAXMURGJXOPX-GOEBONIOSA-N 0 0 434.536 -0.358 20 0 IBADRN COC[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001116130723 1073032364 /nfs/dbraw/zinc/03/23/64/1073032364.db2.gz TYBAXMURGJXOPX-HOCLYGCPSA-N 0 0 434.536 -0.358 20 0 IBADRN COC[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001116130724 1073032286 /nfs/dbraw/zinc/03/22/86/1073032286.db2.gz TYBAXMURGJXOPX-ZBFHGGJFSA-N 0 0 434.536 -0.358 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001116131476 1073032351 /nfs/dbraw/zinc/03/23/51/1073032351.db2.gz RSSZTDMHDJZIEX-UHFFFAOYSA-N 0 0 436.425 -0.310 20 0 IBADRN Cc1nnc(S(=O)(=O)CC(=O)N2CCO[C@H](c3ccc(F)cc3)C2)n1CC(N)=O ZINC001116132347 1073032371 /nfs/dbraw/zinc/03/23/71/1073032371.db2.gz DPDIMPGZDRHLBW-AWEZNQCLSA-N 0 0 425.442 -0.415 20 0 IBADRN Cc1nnc(S(=O)(=O)CC(=O)N2CCO[C@@H](c3ccc(F)cc3)C2)n1CC(N)=O ZINC001116132348 1073032320 /nfs/dbraw/zinc/03/23/20/1073032320.db2.gz DPDIMPGZDRHLBW-CQSZACIVSA-N 0 0 425.442 -0.415 20 0 IBADRN CCn1c(N2CCOCC2)nnc1S(=O)(=O)Cc1ccc(S(=O)(=O)N(C)C)o1 ZINC001116132434 1073032440 /nfs/dbraw/zinc/03/24/40/1073032440.db2.gz HFYXIPXNXWYOTB-UHFFFAOYSA-N 0 0 433.512 -0.048 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)n1 ZINC001116134383 1073032705 /nfs/dbraw/zinc/03/27/05/1073032705.db2.gz WSJVKFOYELXHNW-UHFFFAOYSA-N 0 0 438.246 -0.789 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001116134817 1073032812 /nfs/dbraw/zinc/03/28/12/1073032812.db2.gz KGAOKTIVNBVKME-MUUNZHRXSA-N 0 0 444.579 -0.693 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001116134818 1073032829 /nfs/dbraw/zinc/03/28/29/1073032829.db2.gz KGAOKTIVNBVKME-NDEPHWFRSA-N 0 0 444.579 -0.693 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n(C)n1 ZINC001116136171 1073032787 /nfs/dbraw/zinc/03/27/87/1073032787.db2.gz VEUOIJMNJKCQPF-GXTWGEPZSA-N 0 0 436.494 -0.884 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n(C)n1 ZINC001116136172 1073032716 /nfs/dbraw/zinc/03/27/16/1073032716.db2.gz VEUOIJMNJKCQPF-JSGCOSHPSA-N 0 0 436.494 -0.884 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n(C)n1 ZINC001116136173 1073032891 /nfs/dbraw/zinc/03/28/91/1073032891.db2.gz VEUOIJMNJKCQPF-OCCSQVGLSA-N 0 0 436.494 -0.884 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2=O)n(C)n1 ZINC001116136174 1073032836 /nfs/dbraw/zinc/03/28/36/1073032836.db2.gz VEUOIJMNJKCQPF-TZMCWYRMSA-N 0 0 436.494 -0.884 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001116136264 1073032796 /nfs/dbraw/zinc/03/27/96/1073032796.db2.gz UBSYCSUIDRUEFU-GOSISDBHSA-N 0 0 442.520 -0.137 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001116136265 1073032874 /nfs/dbraw/zinc/03/28/74/1073032874.db2.gz UBSYCSUIDRUEFU-SFHVURJKSA-N 0 0 442.520 -0.137 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001116139225 1073032864 /nfs/dbraw/zinc/03/28/64/1073032864.db2.gz VGUHGGHKJAJLKP-UHFFFAOYSA-N 0 0 438.549 -0.778 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)[C@@H](C)CS(C)(=O)=O)s1 ZINC001116139569 1073033338 /nfs/dbraw/zinc/03/33/38/1073033338.db2.gz YBGMALPLGVMYLD-JTQLQIEISA-N 0 0 425.554 -0.446 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)[C@H](C)CS(C)(=O)=O)s1 ZINC001116139570 1073033207 /nfs/dbraw/zinc/03/32/07/1073033207.db2.gz YBGMALPLGVMYLD-SNVBAGLBSA-N 0 0 425.554 -0.446 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccn2Cc2ccncc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001116144762 1073033261 /nfs/dbraw/zinc/03/32/61/1073033261.db2.gz CIRIGRZPWFHWEA-GOSISDBHSA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccn2Cc2ccncc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001116144763 1073033303 /nfs/dbraw/zinc/03/33/03/1073033303.db2.gz CIRIGRZPWFHWEA-SFHVURJKSA-N 0 0 445.545 -0.008 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(-n3cccn3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001116144850 1073033161 /nfs/dbraw/zinc/03/31/61/1073033161.db2.gz GKACILHQGLYOHA-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(-n3cccn3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001116144851 1073033297 /nfs/dbraw/zinc/03/32/97/1073033297.db2.gz GKACILHQGLYOHA-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2c[nH]c(Br)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001116144884 1073033248 /nfs/dbraw/zinc/03/32/48/1073033248.db2.gz HQWOKWWAYKJVNB-GFCCVEGCSA-N 0 0 433.328 -0.162 20 0 IBADRN O=C(CN1CCN(C(=O)c2c[nH]c(Br)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001116144885 1073033316 /nfs/dbraw/zinc/03/33/16/1073033316.db2.gz HQWOKWWAYKJVNB-LBPRGKRZSA-N 0 0 433.328 -0.162 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC(CS(N)(=O)=O)CC2)cc1 ZINC001116145039 1073033197 /nfs/dbraw/zinc/03/31/97/1073033197.db2.gz NHLXDXIXTHZRCB-UHFFFAOYSA-N 0 0 431.536 -0.311 20 0 IBADRN NC(=O)COC1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001116153473 1073033327 /nfs/dbraw/zinc/03/33/27/1073033327.db2.gz JBAMLFIIKJTHBE-UHFFFAOYSA-N 0 0 427.479 -0.381 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(OCC(N)=O)CC2)c1 ZINC001116153545 1073033283 /nfs/dbraw/zinc/03/32/83/1073033283.db2.gz MEVQKAQVZQVEPS-UHFFFAOYSA-N 0 0 428.511 -0.628 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CCC(OCC(N)=O)CC2)CC1 ZINC001116153774 1073033597 /nfs/dbraw/zinc/03/35/97/1073033597.db2.gz RCAOXLAPIUTOBX-UHFFFAOYSA-N 0 0 427.502 -0.258 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC(OCC(N)=O)CC3)cc2S1(=O)=O ZINC001116160914 1073033582 /nfs/dbraw/zinc/03/35/82/1073033582.db2.gz GPBPWHXYKAZJQB-UHFFFAOYSA-N 0 0 425.463 -0.416 20 0 IBADRN COc1ccc(C(=O)N2CCC(OCC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001116161070 1073033610 /nfs/dbraw/zinc/03/36/10/1073033610.db2.gz HXWJTVFTCKCUNC-UHFFFAOYSA-N 0 0 441.506 -0.177 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC(OCC(N)=O)CC2)cc1 ZINC001116161293 1073033666 /nfs/dbraw/zinc/03/36/66/1073033666.db2.gz MYUJPADBPQFRML-UHFFFAOYSA-N 0 0 426.495 -0.242 20 0 IBADRN NC(=O)COC1CCN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC001116165241 1073033777 /nfs/dbraw/zinc/03/37/77/1073033777.db2.gz ZMPLEUPQHQLEPG-INIZCTEOSA-N 0 0 447.540 -0.042 20 0 IBADRN NC(=O)COC1CCN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC001116165242 1073033636 /nfs/dbraw/zinc/03/36/36/1073033636.db2.gz ZMPLEUPQHQLEPG-MRXNPFEDSA-N 0 0 447.540 -0.042 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001116171981 1073033675 /nfs/dbraw/zinc/03/36/75/1073033675.db2.gz SENUBAOMEMKRCU-CYBMUJFWSA-N 0 0 430.504 -0.005 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001116171982 1073033705 /nfs/dbraw/zinc/03/37/05/1073033705.db2.gz SENUBAOMEMKRCU-ZDUSSCGKSA-N 0 0 430.504 -0.005 20 0 IBADRN O=C(COC(=O)c1cn(Cc2ccccn2)nn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001116173242 1073033714 /nfs/dbraw/zinc/03/37/14/1073033714.db2.gz SINNRJRVEOKUGP-INIZCTEOSA-N 0 0 448.505 -0.791 20 0 IBADRN O=C(COC(=O)c1cn(Cc2ccccn2)nn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116173249 1073033765 /nfs/dbraw/zinc/03/37/65/1073033765.db2.gz SINNRJRVEOKUGP-MRXNPFEDSA-N 0 0 448.505 -0.791 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NCC(F)(F)F ZINC001116174386 1073033741 /nfs/dbraw/zinc/03/37/41/1073033741.db2.gz HCPPIBNXXXFTID-NXEZZACHSA-N 0 0 436.412 -0.130 20 0 IBADRN C[C@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NCC(F)(F)F ZINC001116174390 1073033726 /nfs/dbraw/zinc/03/37/26/1073033726.db2.gz HCPPIBNXXXFTID-UWVGGRQHSA-N 0 0 436.412 -0.130 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NCC(F)(F)F ZINC001116174391 1073033752 /nfs/dbraw/zinc/03/37/52/1073033752.db2.gz HCPPIBNXXXFTID-VHSXEESVSA-N 0 0 436.412 -0.130 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)C(=O)NCC(F)(F)F ZINC001116174394 1073033619 /nfs/dbraw/zinc/03/36/19/1073033619.db2.gz HCPPIBNXXXFTID-ZJUUUORDSA-N 0 0 436.412 -0.130 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001116179416 1073034242 /nfs/dbraw/zinc/03/42/42/1073034242.db2.gz RFZBSUAADRWDEN-UHFFFAOYSA-N 0 0 429.499 -0.851 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)Cc2nnc3n2CCC3)s1 ZINC001116179437 1073034117 /nfs/dbraw/zinc/03/41/17/1073034117.db2.gz RXUYYRVTVWYNQW-UHFFFAOYSA-N 0 0 426.524 -0.141 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N2CCCN(Cc3cscn3)CC2)o1 ZINC001116180245 1073034223 /nfs/dbraw/zinc/03/42/23/1073034223.db2.gz ZIPHOZXUOALIFH-UHFFFAOYSA-N 0 0 427.508 -0.152 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)c1 ZINC001116181121 1073034061 /nfs/dbraw/zinc/03/40/61/1073034061.db2.gz HSOFKUSOWFMCEW-UHFFFAOYSA-N 0 0 441.554 -0.434 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001116183178 1073034082 /nfs/dbraw/zinc/03/40/82/1073034082.db2.gz MOWCQMCKLNMWKR-HNNXBMFYSA-N 0 0 428.449 -0.406 20 0 IBADRN O=C(NCCCN1C(=O)CNC1=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001116183179 1073034141 /nfs/dbraw/zinc/03/41/41/1073034141.db2.gz MOWCQMCKLNMWKR-OAHLLOKOSA-N 0 0 428.449 -0.406 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116183219 1073034325 /nfs/dbraw/zinc/03/43/25/1073034325.db2.gz NLPRDJRVHQOSIS-PBHICJAKSA-N 0 0 428.493 -0.398 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116183220 1073034293 /nfs/dbraw/zinc/03/42/93/1073034293.db2.gz NLPRDJRVHQOSIS-RHSMWYFYSA-N 0 0 428.493 -0.398 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116183221 1073034160 /nfs/dbraw/zinc/03/41/60/1073034160.db2.gz NLPRDJRVHQOSIS-WMLDXEAASA-N 0 0 428.493 -0.398 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116183222 1073034030 /nfs/dbraw/zinc/03/40/30/1073034030.db2.gz NLPRDJRVHQOSIS-YOEHRIQHSA-N 0 0 428.493 -0.398 20 0 IBADRN Cc1nc(C(=O)N2CCN(CC(=O)NC3CC3)CC2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC001116183798 1073034272 /nfs/dbraw/zinc/03/42/72/1073034272.db2.gz LHTQAFRGAXPJAS-UHFFFAOYSA-N 0 0 427.391 -0.251 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116185322 1073034209 /nfs/dbraw/zinc/03/42/09/1073034209.db2.gz ZUVXGLNAHODTPF-ARFHVFGLSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116185323 1073034045 /nfs/dbraw/zinc/03/40/45/1073034045.db2.gz ZUVXGLNAHODTPF-BZUAXINKSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116185324 1073034200 /nfs/dbraw/zinc/03/42/00/1073034200.db2.gz ZUVXGLNAHODTPF-OAGGEKHMSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116185325 1073034148 /nfs/dbraw/zinc/03/41/48/1073034148.db2.gz ZUVXGLNAHODTPF-XHSDSOJGSA-N 0 0 449.595 -0.364 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116192974 1073034095 /nfs/dbraw/zinc/03/40/95/1073034095.db2.gz GAVBKSDPEFSDEM-DZGCQCFKSA-N 0 0 433.552 -0.391 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116192975 1073034130 /nfs/dbraw/zinc/03/41/30/1073034130.db2.gz GAVBKSDPEFSDEM-HIFRSBDPSA-N 0 0 433.552 -0.391 20 0 IBADRN CNC(=O)[C@H](C)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116192976 1073034107 /nfs/dbraw/zinc/03/41/07/1073034107.db2.gz GAVBKSDPEFSDEM-UKRRQHHQSA-N 0 0 433.552 -0.391 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116192977 1073034753 /nfs/dbraw/zinc/03/47/53/1073034753.db2.gz GAVBKSDPEFSDEM-ZFWWWQNUSA-N 0 0 433.552 -0.391 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)Cc2nn(CCO)c(=O)c3ccccc23)CC1 ZINC001116193526 1073034652 /nfs/dbraw/zinc/03/46/52/1073034652.db2.gz BSNQWLULICEHFO-UHFFFAOYSA-N 0 0 431.445 -0.114 20 0 IBADRN O=C(COC(=O)CO[C@H]1CCOC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001116193720 1073034682 /nfs/dbraw/zinc/03/46/82/1073034682.db2.gz CCIQHMFNBXMJQU-INIZCTEOSA-N 0 0 442.490 -0.328 20 0 IBADRN O=C(COC(=O)CO[C@@H]1CCOC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001116193726 1073034784 /nfs/dbraw/zinc/03/47/84/1073034784.db2.gz CCIQHMFNBXMJQU-MRXNPFEDSA-N 0 0 442.490 -0.328 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)c2cc(Br)c(C=O)n2C)CC1 ZINC001116198413 1073034641 /nfs/dbraw/zinc/03/46/41/1073034641.db2.gz YNPGAAYQLMJSMI-UHFFFAOYSA-N 0 0 443.298 -0.011 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001116199478 1073034630 /nfs/dbraw/zinc/03/46/30/1073034630.db2.gz PLHFIZHQRFCGRN-DLBZAZTESA-N 0 0 425.555 -0.754 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001116199480 1073034560 /nfs/dbraw/zinc/03/45/60/1073034560.db2.gz PLHFIZHQRFCGRN-IAGOWNOFSA-N 0 0 425.555 -0.754 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001116199482 1073034674 /nfs/dbraw/zinc/03/46/74/1073034674.db2.gz PLHFIZHQRFCGRN-IRXDYDNUSA-N 0 0 425.555 -0.754 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001116199484 1073034745 /nfs/dbraw/zinc/03/47/45/1073034745.db2.gz PLHFIZHQRFCGRN-SJORKVTESA-N 0 0 425.555 -0.754 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1CCN(Cc2nccn2C)CC1 ZINC001116200326 1073034763 /nfs/dbraw/zinc/03/47/63/1073034763.db2.gz WCDOCZADSFJJKW-INIZCTEOSA-N 0 0 425.555 -0.216 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1CCN(Cc2nccn2C)CC1 ZINC001116200328 1073034539 /nfs/dbraw/zinc/03/45/39/1073034539.db2.gz WCDOCZADSFJJKW-MRXNPFEDSA-N 0 0 425.555 -0.216 20 0 IBADRN O=C(COC(=O)CO[C@H]1CCOC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001116201701 1073034600 /nfs/dbraw/zinc/03/46/00/1073034600.db2.gz XKXTZQWTRWJJPE-INIZCTEOSA-N 0 0 442.490 -0.328 20 0 IBADRN O=C(COC(=O)CO[C@@H]1CCOC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001116201702 1073034739 /nfs/dbraw/zinc/03/47/39/1073034739.db2.gz XKXTZQWTRWJJPE-MRXNPFEDSA-N 0 0 442.490 -0.328 20 0 IBADRN CNC(=O)Cc1noc(CNc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)n1 ZINC001116203608 1073034711 /nfs/dbraw/zinc/03/47/11/1073034711.db2.gz QPLZGBFRNAKTPD-UHFFFAOYSA-N 0 0 425.467 0.000 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCOC2)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001116207143 1073034518 /nfs/dbraw/zinc/03/45/18/1073034518.db2.gz QCSYODMZMHTNIF-FHWLQOOXSA-N 0 0 429.583 -0.165 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCOC2)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001116207144 1073034720 /nfs/dbraw/zinc/03/47/20/1073034720.db2.gz QCSYODMZMHTNIF-GBESFXJTSA-N 0 0 429.583 -0.165 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCOC2)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001116207145 1073034773 /nfs/dbraw/zinc/03/47/73/1073034773.db2.gz QCSYODMZMHTNIF-IPMKNSEASA-N 0 0 429.583 -0.165 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCOC2)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001116207147 1073034574 /nfs/dbraw/zinc/03/45/74/1073034574.db2.gz QCSYODMZMHTNIF-OTWHNJEPSA-N 0 0 429.583 -0.165 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)N1CCN(CCn2cncn2)CC1 ZINC001116208625 1073034700 /nfs/dbraw/zinc/03/47/00/1073034700.db2.gz ARSWHPQOQWTDEG-UHFFFAOYSA-N 0 0 429.466 -0.853 20 0 IBADRN O=C(CCCN1C(=O)CCC1=O)N1CCCN(C(=O)CCCN2C(=O)CCC2=O)CC1 ZINC001116208770 1073035035 /nfs/dbraw/zinc/03/50/35/1073035035.db2.gz HJJKRTKFCVEWDQ-UHFFFAOYSA-N 0 0 434.493 -0.094 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC001116208778 1073035046 /nfs/dbraw/zinc/03/50/46/1073035046.db2.gz HNACRXBTFVDHJF-CYBMUJFWSA-N 0 0 425.511 -0.148 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC001116208779 1073035084 /nfs/dbraw/zinc/03/50/84/1073035084.db2.gz HNACRXBTFVDHJF-ZDUSSCGKSA-N 0 0 425.511 -0.148 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCc3nn(C)c(=O)cc3C1)c2=O ZINC001116209697 1073035057 /nfs/dbraw/zinc/03/50/57/1073035057.db2.gz RWNJYVQVYSOZHM-UHFFFAOYSA-N 0 0 434.254 -0.429 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC001116210602 1073034993 /nfs/dbraw/zinc/03/49/93/1073034993.db2.gz NTYSEBGEIXTGJA-UHFFFAOYSA-N 0 0 439.581 -0.012 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)CCc2nnc[nH]2)CC1 ZINC001116210871 1073035012 /nfs/dbraw/zinc/03/50/12/1073035012.db2.gz PRWZEYJMZMYQCD-UHFFFAOYSA-N 0 0 441.535 -0.018 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)Cc2nn(CCO)c(=O)c3ccccc23)C1 ZINC001116211361 1073035148 /nfs/dbraw/zinc/03/51/48/1073035148.db2.gz ZOMXWKNAWSDRLW-HNNXBMFYSA-N 0 0 430.461 -0.398 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)Cc2nn(CCO)c(=O)c3ccccc23)C1 ZINC001116211362 1073035130 /nfs/dbraw/zinc/03/51/30/1073035130.db2.gz ZOMXWKNAWSDRLW-OAHLLOKOSA-N 0 0 430.461 -0.398 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC001116215521 1073035192 /nfs/dbraw/zinc/03/51/92/1073035192.db2.gz YZLKOUAZROZVFJ-CYBMUJFWSA-N 0 0 431.492 -0.857 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC001116215522 1073035070 /nfs/dbraw/zinc/03/50/70/1073035070.db2.gz YZLKOUAZROZVFJ-ZDUSSCGKSA-N 0 0 431.492 -0.857 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NCCNS(=O)(=O)Cc3ccon3)CC2)CC1 ZINC001116216641 1073035096 /nfs/dbraw/zinc/03/50/96/1073035096.db2.gz UWSUDIHFCMFMJJ-UHFFFAOYSA-N 0 0 442.542 -0.710 20 0 IBADRN Cc1cc(NC(=O)CN(C)C[C@H](O)CN2CCOCC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001116217237 1073034965 /nfs/dbraw/zinc/03/49/65/1073034965.db2.gz PVUISSZCNHSDNM-CVEARBPZSA-N 0 0 429.543 -0.885 20 0 IBADRN Cc1cc(NC(=O)CN(C)C[C@H](O)CN2CCOCC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001116217238 1073035157 /nfs/dbraw/zinc/03/51/57/1073035157.db2.gz PVUISSZCNHSDNM-HOTGVXAUSA-N 0 0 429.543 -0.885 20 0 IBADRN Cc1cc(NC(=O)CN(C)C[C@@H](O)CN2CCOCC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001116217239 1073034941 /nfs/dbraw/zinc/03/49/41/1073034941.db2.gz PVUISSZCNHSDNM-HZPDHXFCSA-N 0 0 429.543 -0.885 20 0 IBADRN Cc1cc(NC(=O)CN(C)C[C@@H](O)CN2CCOCC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001116217240 1073034975 /nfs/dbraw/zinc/03/49/75/1073034975.db2.gz PVUISSZCNHSDNM-JKSUJKDBSA-N 0 0 429.543 -0.885 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC001116224645 1073035182 /nfs/dbraw/zinc/03/51/82/1073035182.db2.gz KTRWQECUUFFHNV-DZGCQCFKSA-N 0 0 438.506 -0.536 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC001116224646 1073035008 /nfs/dbraw/zinc/03/50/08/1073035008.db2.gz KTRWQECUUFFHNV-HIFRSBDPSA-N 0 0 438.506 -0.536 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC001116224647 1073035121 /nfs/dbraw/zinc/03/51/21/1073035121.db2.gz KTRWQECUUFFHNV-UKRRQHHQSA-N 0 0 438.506 -0.536 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC001116224649 1073034954 /nfs/dbraw/zinc/03/49/54/1073034954.db2.gz KTRWQECUUFFHNV-ZFWWWQNUSA-N 0 0 438.506 -0.536 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)Nc3cc(C)nn3[C@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC001116225111 1073034986 /nfs/dbraw/zinc/03/49/86/1073034986.db2.gz LNQPNZDOFNNXMD-KBPBESRZSA-N 0 0 438.510 -0.542 20 0 IBADRN CCN1C(=O)[C@@H]2CN(CC(=O)Nc3cc(C)nn3[C@@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC001116225112 1073035467 /nfs/dbraw/zinc/03/54/67/1073035467.db2.gz LNQPNZDOFNNXMD-KGLIPLIRSA-N 0 0 438.510 -0.542 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)Nc3cc(C)nn3[C@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC001116225115 1073035418 /nfs/dbraw/zinc/03/54/18/1073035418.db2.gz LNQPNZDOFNNXMD-UONOGXRCSA-N 0 0 438.510 -0.542 20 0 IBADRN CCN1C(=O)[C@H]2CN(CC(=O)Nc3cc(C)nn3[C@@H]3CCS(=O)(=O)C3)CCN2C1=O ZINC001116225116 1073035414 /nfs/dbraw/zinc/03/54/14/1073035414.db2.gz LNQPNZDOFNNXMD-ZIAGYGMSSA-N 0 0 438.510 -0.542 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CC(OCC(=O)N(C)C)C3)CC2)cn1C ZINC001116226263 1073035458 /nfs/dbraw/zinc/03/54/58/1073035458.db2.gz BBULHLWHVBGDQD-UHFFFAOYSA-N 0 0 427.527 -0.555 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCO1 ZINC001116226335 1073035490 /nfs/dbraw/zinc/03/54/90/1073035490.db2.gz WHEDWIRMNBKCOW-HNNXBMFYSA-N 0 0 428.511 -0.080 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCO1 ZINC001116226339 1073035333 /nfs/dbraw/zinc/03/53/33/1073035333.db2.gz WHEDWIRMNBKCOW-OAHLLOKOSA-N 0 0 428.511 -0.080 20 0 IBADRN CC[C@H](NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)C(=O)N1CCOCC1 ZINC001116227157 1073035495 /nfs/dbraw/zinc/03/54/95/1073035495.db2.gz AOEDQUYPDYLVOF-KRWDZBQOSA-N 0 0 446.570 -0.104 20 0 IBADRN CC[C@@H](NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)C(=O)N1CCOCC1 ZINC001116227158 1073035392 /nfs/dbraw/zinc/03/53/92/1073035392.db2.gz AOEDQUYPDYLVOF-QGZVFWFLSA-N 0 0 446.570 -0.104 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)C3CCN(S(=O)(=O)c4cccnc4)CC3)CCN2C1=O ZINC001116230116 1073035452 /nfs/dbraw/zinc/03/54/52/1073035452.db2.gz FAPNWPVPBHJWQX-INIZCTEOSA-N 0 0 435.506 -0.023 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)C3CCN(S(=O)(=O)c4cccnc4)CC3)CCN2C1=O ZINC001116230117 1073035319 /nfs/dbraw/zinc/03/53/19/1073035319.db2.gz FAPNWPVPBHJWQX-MRXNPFEDSA-N 0 0 435.506 -0.023 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC001116232384 1073035345 /nfs/dbraw/zinc/03/53/45/1073035345.db2.gz ZIRZPYFOOAPTBN-UHFFFAOYSA-N 0 0 436.600 -0.249 20 0 IBADRN CCOCCNC(=O)Cn1c2cc(S(=O)(=O)N(C)C)ccc2n(CC)c(=O)c1=O ZINC001116235985 1073035471 /nfs/dbraw/zinc/03/54/71/1073035471.db2.gz ZKSJAPURAYJOJN-UHFFFAOYSA-N 0 0 426.495 -0.414 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(C(=O)Nc2nncs2)CC1 ZINC001116238105 1073035429 /nfs/dbraw/zinc/03/54/29/1073035429.db2.gz AUXXNALKTQBUQA-JTQLQIEISA-N 0 0 427.512 -0.184 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(C(=O)Nc2nncs2)CC1 ZINC001116238106 1073035487 /nfs/dbraw/zinc/03/54/87/1073035487.db2.gz AUXXNALKTQBUQA-SNVBAGLBSA-N 0 0 427.512 -0.184 20 0 IBADRN Cc1cnc(N2CCC(NS(C)(=O)=O)CC2)nc1N1CCC(NS(C)(=O)=O)CC1 ZINC001116239198 1073035498 /nfs/dbraw/zinc/03/54/98/1073035498.db2.gz HOCADORYGPXNCY-UHFFFAOYSA-N 0 0 446.599 -0.179 20 0 IBADRN C[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)CN(C)C(=O)OC(C)(C)C ZINC001116240216 1073035480 /nfs/dbraw/zinc/03/54/80/1073035480.db2.gz ZSOLQWDZWQRTMN-CYBMUJFWSA-N 0 0 428.577 -0.099 20 0 IBADRN C[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)CN(C)C(=O)OC(C)(C)C ZINC001116240217 1073035379 /nfs/dbraw/zinc/03/53/79/1073035379.db2.gz ZSOLQWDZWQRTMN-ZDUSSCGKSA-N 0 0 428.577 -0.099 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC001116240872 1073035438 /nfs/dbraw/zinc/03/54/38/1073035438.db2.gz PTRVAVWXYCIZQN-AWEZNQCLSA-N 0 0 426.543 -0.901 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC001116240873 1073035675 /nfs/dbraw/zinc/03/56/75/1073035675.db2.gz PTRVAVWXYCIZQN-CQSZACIVSA-N 0 0 426.543 -0.901 20 0 IBADRN Cc1cc(NC(=O)CN(CCO)CCN2CCOCC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001116242340 1073035904 /nfs/dbraw/zinc/03/59/04/1073035904.db2.gz WVICWQUHRDDHNN-INIZCTEOSA-N 0 0 429.543 -0.884 20 0 IBADRN Cc1cc(NC(=O)CN(CCO)CCN2CCOCC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001116242341 1073035694 /nfs/dbraw/zinc/03/56/94/1073035694.db2.gz WVICWQUHRDDHNN-MRXNPFEDSA-N 0 0 429.543 -0.884 20 0 IBADRN CCc1ccc(C(=O)NC[C@H](O)CN2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001116252570 1073035777 /nfs/dbraw/zinc/03/57/77/1073035777.db2.gz NPBPBASTAVLVII-KRWDZBQOSA-N 0 0 439.534 -0.017 20 0 IBADRN CCc1ccc(C(=O)NC[C@@H](O)CN2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001116252571 1073035932 /nfs/dbraw/zinc/03/59/32/1073035932.db2.gz NPBPBASTAVLVII-QGZVFWFLSA-N 0 0 439.534 -0.017 20 0 IBADRN CN(C)CCN(C(=O)C1CCN(c2ccc3nncn3n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001116255520 1073035916 /nfs/dbraw/zinc/03/59/16/1073035916.db2.gz BHTOXLYZILPXIT-INIZCTEOSA-N 0 0 435.554 -0.082 20 0 IBADRN CN(C)CCN(C(=O)C1CCN(c2ccc3nncn3n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001116255521 1073035868 /nfs/dbraw/zinc/03/58/68/1073035868.db2.gz BHTOXLYZILPXIT-MRXNPFEDSA-N 0 0 435.554 -0.082 20 0 IBADRN COc1cc(C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)sc1S(N)(=O)=O ZINC001116255760 1073035795 /nfs/dbraw/zinc/03/57/95/1073035795.db2.gz KIQABTCEVFSWLB-JTQLQIEISA-N 0 0 425.554 -0.405 20 0 IBADRN COc1cc(C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)sc1S(N)(=O)=O ZINC001116255761 1073035712 /nfs/dbraw/zinc/03/57/12/1073035712.db2.gz KIQABTCEVFSWLB-SNVBAGLBSA-N 0 0 425.554 -0.405 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1)CC2 ZINC001116255843 1073035822 /nfs/dbraw/zinc/03/58/22/1073035822.db2.gz MTLSZWXEDWPWON-LLVKDONJSA-N 0 0 427.458 -0.107 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1)CC2 ZINC001116255844 1073035952 /nfs/dbraw/zinc/03/59/52/1073035952.db2.gz MTLSZWXEDWPWON-NSHDSACASA-N 0 0 427.458 -0.107 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCc2nnc[nH]2)c1OC ZINC001116259743 1073035744 /nfs/dbraw/zinc/03/57/44/1073035744.db2.gz QHXKLBTWELNXKY-UHFFFAOYSA-N 0 0 425.467 -0.185 20 0 IBADRN Cc1noc(CCC(=O)N2CCN(C(=O)CCc3nc(C)no3)[C@H](C(=O)N(C)C)C2)n1 ZINC001116262312 1073035894 /nfs/dbraw/zinc/03/58/94/1073035894.db2.gz RZXPVNICPBZGMX-AWEZNQCLSA-N 0 0 433.469 -0.238 20 0 IBADRN Cc1noc(CCC(=O)N2CCN(C(=O)CCc3nc(C)no3)[C@@H](C(=O)N(C)C)C2)n1 ZINC001116262313 1073035849 /nfs/dbraw/zinc/03/58/49/1073035849.db2.gz RZXPVNICPBZGMX-CQSZACIVSA-N 0 0 433.469 -0.238 20 0 IBADRN CN(C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)C1CCN(S(C)(=O)=O)CC1 ZINC001116262412 1073035656 /nfs/dbraw/zinc/03/56/56/1073035656.db2.gz VMEWIWDMAVXDHF-UHFFFAOYSA-N 0 0 440.507 -0.406 20 0 IBADRN C[C@@]1(C2CCN(C(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)CC2)NC(=O)NC1=O ZINC001116263817 1073035831 /nfs/dbraw/zinc/03/58/31/1073035831.db2.gz GCHBLLAYPKGHBK-IBGZPJMESA-N 0 0 436.490 -0.168 20 0 IBADRN C[C@]1(C2CCN(C(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)CC2)NC(=O)NC1=O ZINC001116263818 1073036075 /nfs/dbraw/zinc/03/60/75/1073036075.db2.gz GCHBLLAYPKGHBK-LJQANCHMSA-N 0 0 436.490 -0.168 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)CN2CCS(=O)(=O)CC2)cc1 ZINC001116264178 1073036340 /nfs/dbraw/zinc/03/63/40/1073036340.db2.gz WIPMGJKJPWQIBF-UHFFFAOYSA-N 0 0 432.520 -0.879 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)N1CCN(CC(N)=O)CC1 ZINC001116265145 1073036200 /nfs/dbraw/zinc/03/62/00/1073036200.db2.gz YOIBKVZPSJBRES-HNNXBMFYSA-N 0 0 444.941 -0.497 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1CCN(CC(N)=O)CC1 ZINC001116265147 1073036331 /nfs/dbraw/zinc/03/63/31/1073036331.db2.gz YOIBKVZPSJBRES-OAHLLOKOSA-N 0 0 444.941 -0.497 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC001116267246 1073036306 /nfs/dbraw/zinc/03/63/06/1073036306.db2.gz LSFLOJUJDXTMEO-UHFFFAOYSA-N 0 0 433.430 -0.129 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N(C)CCNS(C)(=O)=O)cc1 ZINC001116269090 1073036291 /nfs/dbraw/zinc/03/62/91/1073036291.db2.gz XDYZQEMBEOYAQK-UHFFFAOYSA-N 0 0 435.524 -0.535 20 0 IBADRN NC(=O)COC1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC001116269954 1073036350 /nfs/dbraw/zinc/03/63/50/1073036350.db2.gz VILCBPJCHPWMBK-UHFFFAOYSA-N 0 0 429.470 -0.047 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CS(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)o2)C1 ZINC001116284123 1073036061 /nfs/dbraw/zinc/03/60/61/1073036061.db2.gz TVAPGPMGRJMFDK-CYBMUJFWSA-N 0 0 435.524 -0.428 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CS(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)o2)C1 ZINC001116284126 1073036100 /nfs/dbraw/zinc/03/61/00/1073036100.db2.gz TVAPGPMGRJMFDK-ZDUSSCGKSA-N 0 0 435.524 -0.428 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001116284263 1073036358 /nfs/dbraw/zinc/03/63/58/1073036358.db2.gz KXSTYNZWQPACHR-CYBMUJFWSA-N 0 0 438.510 -0.125 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001116284265 1073036167 /nfs/dbraw/zinc/03/61/67/1073036167.db2.gz KXSTYNZWQPACHR-ZDUSSCGKSA-N 0 0 438.510 -0.125 20 0 IBADRN CNC(=O)c1nn(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)c(=O)c2ccccc21 ZINC001116285987 1073036253 /nfs/dbraw/zinc/03/62/53/1073036253.db2.gz QDZWYTTZPSMEFQ-UHFFFAOYSA-N 0 0 437.522 -0.068 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CS(=O)(=O)CCN2C(=O)NC(C)(C)C2=O)CC1 ZINC001116286538 1073036183 /nfs/dbraw/zinc/03/61/83/1073036183.db2.gz VEKJGNMHNWADGM-UHFFFAOYSA-N 0 0 444.554 -0.163 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1csc(-c2cnn(C)c2)n1)S(C)(=O)=O ZINC001116286877 1073036265 /nfs/dbraw/zinc/03/62/65/1073036265.db2.gz ZOXNHMWILAMUHY-UHFFFAOYSA-N 0 0 449.580 -0.144 20 0 IBADRN CNC(=O)Cc1noc(CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC001116289041 1073036276 /nfs/dbraw/zinc/03/62/76/1073036276.db2.gz RZIIZJZEACHZPG-UHFFFAOYSA-N 0 0 439.469 -0.037 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C1CCN(S(C)(=O)=O)CC1 ZINC001116293859 1073036612 /nfs/dbraw/zinc/03/66/12/1073036612.db2.gz JVDHQFLBGBRRAX-UHFFFAOYSA-N 0 0 438.554 -0.212 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)S(=O)(=O)C1CCOCC1 ZINC001116294182 1073036606 /nfs/dbraw/zinc/03/66/06/1073036606.db2.gz CFDWRQNXTJHTGT-CYBMUJFWSA-N 0 0 435.524 -0.369 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)S(=O)(=O)C1CCOCC1 ZINC001116294184 1073036596 /nfs/dbraw/zinc/03/65/96/1073036596.db2.gz CFDWRQNXTJHTGT-ZDUSSCGKSA-N 0 0 435.524 -0.369 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N(C)S(C)(=O)=O)CC2)cc1 ZINC001116296728 1073036708 /nfs/dbraw/zinc/03/67/08/1073036708.db2.gz UWMUAWKGKWNEOF-UHFFFAOYSA-N 0 0 447.535 -0.274 20 0 IBADRN O=C(CCN1C(=O)c2ccccc2C1=O)CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O ZINC001116304426 1073036662 /nfs/dbraw/zinc/03/66/62/1073036662.db2.gz KIYZZTGYFCLTBT-UHFFFAOYSA-N 0 0 433.442 -0.259 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)Nc2nncs2)CC1)S(=O)(=O)C1CCOCC1 ZINC001116304657 1073036704 /nfs/dbraw/zinc/03/67/04/1073036704.db2.gz OOWCDGIHFRIDBJ-GFCCVEGCSA-N 0 0 431.540 -0.397 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)Nc2nncs2)CC1)S(=O)(=O)C1CCOCC1 ZINC001116304658 1073036656 /nfs/dbraw/zinc/03/66/56/1073036656.db2.gz OOWCDGIHFRIDBJ-LBPRGKRZSA-N 0 0 431.540 -0.397 20 0 IBADRN COc1ccc(C(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001116307675 1073036588 /nfs/dbraw/zinc/03/65/88/1073036588.db2.gz ZAKBMMFPKDQNKP-CYBMUJFWSA-N 0 0 433.508 -0.781 20 0 IBADRN COc1ccc(C(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001116307681 1073036642 /nfs/dbraw/zinc/03/66/42/1073036642.db2.gz ZAKBMMFPKDQNKP-ZDUSSCGKSA-N 0 0 433.508 -0.781 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC001116311306 1073036624 /nfs/dbraw/zinc/03/66/24/1073036624.db2.gz KSSPODXHJGQGKV-AWEZNQCLSA-N 0 0 446.551 -0.724 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC001116311307 1073036578 /nfs/dbraw/zinc/03/65/78/1073036578.db2.gz KSSPODXHJGQGKV-CQSZACIVSA-N 0 0 446.551 -0.724 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCC(C(=O)NCCCO)CC2)c1 ZINC001116313608 1073036680 /nfs/dbraw/zinc/03/66/80/1073036680.db2.gz YNOXNHHHNBTPIF-UHFFFAOYSA-N 0 0 427.523 -0.038 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC001116315099 1073036731 /nfs/dbraw/zinc/03/67/31/1073036731.db2.gz ZQJLHTIFJSWUKS-AWEZNQCLSA-N 0 0 439.421 -0.046 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC001116315100 1073036748 /nfs/dbraw/zinc/03/67/48/1073036748.db2.gz ZQJLHTIFJSWUKS-CQSZACIVSA-N 0 0 439.421 -0.046 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)CN2CCS(=O)(=O)CC2)cc1Cl ZINC001116315850 1073036758 /nfs/dbraw/zinc/03/67/58/1073036758.db2.gz VIZVYZSABRJWBW-UHFFFAOYSA-N 0 0 430.914 -0.173 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN([C@H]3CCS(=O)(=O)C3)CC2)ccc1C ZINC001116318340 1073036674 /nfs/dbraw/zinc/03/66/74/1073036674.db2.gz DFMOEGWNIGXGQO-INIZCTEOSA-N 0 0 444.579 -0.354 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN([C@@H]3CCS(=O)(=O)C3)CC2)ccc1C ZINC001116318341 1073036631 /nfs/dbraw/zinc/03/66/31/1073036631.db2.gz DFMOEGWNIGXGQO-MRXNPFEDSA-N 0 0 444.579 -0.354 20 0 IBADRN C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)[C@H]1CN(C)CCO1 ZINC001116318372 1073036647 /nfs/dbraw/zinc/03/66/47/1073036647.db2.gz FTKLEZMSJIETOK-BHYGNILZSA-N 0 0 447.579 -0.397 20 0 IBADRN C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)[C@@H]1CN(C)CCO1 ZINC001116318373 1073037066 /nfs/dbraw/zinc/03/70/66/1073037066.db2.gz FTKLEZMSJIETOK-UAGQMJEPSA-N 0 0 447.579 -0.397 20 0 IBADRN C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)[C@H]1CN(C)CCO1 ZINC001116318374 1073037073 /nfs/dbraw/zinc/03/70/73/1073037073.db2.gz FTKLEZMSJIETOK-USXIJHARSA-N 0 0 447.579 -0.397 20 0 IBADRN C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)[C@@H]1CN(C)CCO1 ZINC001116318375 1073037101 /nfs/dbraw/zinc/03/71/01/1073037101.db2.gz FTKLEZMSJIETOK-XIRDDKMYSA-N 0 0 447.579 -0.397 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)N2CCOCC2)CC1 ZINC001116318636 1073037095 /nfs/dbraw/zinc/03/70/95/1073037095.db2.gz RDLUYASSDRWVGJ-UHFFFAOYSA-N 0 0 425.511 -0.349 20 0 IBADRN COCCCS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001116319531 1073037054 /nfs/dbraw/zinc/03/70/54/1073037054.db2.gz SAOYUSYNECWILY-UHFFFAOYSA-N 0 0 448.567 -0.409 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)CC2)c(C(N)=O)c1C ZINC001116320094 1073036978 /nfs/dbraw/zinc/03/69/78/1073036978.db2.gz CBTQEXDPGILVPN-AWEZNQCLSA-N 0 0 426.543 -0.195 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)CC2)c(C(N)=O)c1C ZINC001116320095 1073037048 /nfs/dbraw/zinc/03/70/48/1073037048.db2.gz CBTQEXDPGILVPN-CQSZACIVSA-N 0 0 426.543 -0.195 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(CC(=O)NC2CC2)CC1 ZINC001116321231 1073037084 /nfs/dbraw/zinc/03/70/84/1073037084.db2.gz CDWWBZQRNUZYRJ-UHFFFAOYSA-N 0 0 425.511 -0.823 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(CC(=O)N2CCOCC2)CC1 ZINC001116321735 1073036970 /nfs/dbraw/zinc/03/69/70/1073036970.db2.gz MCAIRKIDMLLHOP-UHFFFAOYSA-N 0 0 439.538 -0.943 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001116322824 1073036933 /nfs/dbraw/zinc/03/69/33/1073036933.db2.gz QMMJKDCKJDICQU-UHFFFAOYSA-N 0 0 440.522 -0.002 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NC[C@@H](O)CN1CCCC1=O ZINC001116323276 1073036949 /nfs/dbraw/zinc/03/69/49/1073036949.db2.gz IRSRUVCCWVXANX-SECBINFHSA-N 0 0 440.341 -0.112 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NC[C@H](O)CN1CCCC1=O ZINC001116323277 1073036989 /nfs/dbraw/zinc/03/69/89/1073036989.db2.gz IRSRUVCCWVXANX-VIFPVBQESA-N 0 0 440.341 -0.112 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NC[C@@H](O)CN1CCCC1=O ZINC001116323502 1073037036 /nfs/dbraw/zinc/03/70/36/1073037036.db2.gz PROIONUPYKAUEH-CYBMUJFWSA-N 0 0 431.536 -0.589 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NC[C@H](O)CN1CCCC1=O ZINC001116323503 1073037121 /nfs/dbraw/zinc/03/71/21/1073037121.db2.gz PROIONUPYKAUEH-ZDUSSCGKSA-N 0 0 431.536 -0.589 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001116323809 1073037114 /nfs/dbraw/zinc/03/71/14/1073037114.db2.gz GEOWXZVHRSWXOJ-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001116323811 1073037044 /nfs/dbraw/zinc/03/70/44/1073037044.db2.gz GEOWXZVHRSWXOJ-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN CCN(C(=O)CN1CCN(CCO)CC1)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC001116324332 1073037139 /nfs/dbraw/zinc/03/71/39/1073037139.db2.gz RJRWXGUOBUQZKK-UHFFFAOYSA-N 0 0 430.509 -0.458 20 0 IBADRN O=C(CN1CCC[C@H](c2nnc3n2CCC3)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116325966 1073037471 /nfs/dbraw/zinc/03/74/71/1073037471.db2.gz QKPZYCJHXZYWJD-DLBZAZTESA-N 0 0 436.582 -0.265 20 0 IBADRN O=C(CN1CCC[C@@H](c2nnc3n2CCC3)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116325967 1073037320 /nfs/dbraw/zinc/03/73/20/1073037320.db2.gz QKPZYCJHXZYWJD-IAGOWNOFSA-N 0 0 436.582 -0.265 20 0 IBADRN O=C(CN1CCC[C@H](c2nnc3n2CCC3)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001116325968 1073037515 /nfs/dbraw/zinc/03/75/15/1073037515.db2.gz QKPZYCJHXZYWJD-IRXDYDNUSA-N 0 0 436.582 -0.265 20 0 IBADRN O=C(CN1CCC[C@@H](c2nnc3n2CCC3)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001116325969 1073037364 /nfs/dbraw/zinc/03/73/64/1073037364.db2.gz QKPZYCJHXZYWJD-SJORKVTESA-N 0 0 436.582 -0.265 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cnn(CC(=O)NC2CCCC2)c1 ZINC001116326634 1073037489 /nfs/dbraw/zinc/03/74/89/1073037489.db2.gz GVBABVUZOSLCQU-HNNXBMFYSA-N 0 0 425.511 -0.683 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cnn(CC(=O)NC2CCCC2)c1 ZINC001116326635 1073037425 /nfs/dbraw/zinc/03/74/25/1073037425.db2.gz GVBABVUZOSLCQU-OAHLLOKOSA-N 0 0 425.511 -0.683 20 0 IBADRN COc1ccc(NC(=O)CN2CCC(OCC(N)=O)CC2)cc1S(=O)(=O)N(C)C ZINC001116328495 1073037499 /nfs/dbraw/zinc/03/74/99/1073037499.db2.gz VYIMSQKNZQKVGH-UHFFFAOYSA-N 0 0 428.511 -0.150 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)Nc1cccc(S(=O)(=O)NC2=NCCC2)c1 ZINC001116329242 1073037479 /nfs/dbraw/zinc/03/74/79/1073037479.db2.gz DPQXWSPUHRVGIN-UHFFFAOYSA-N 0 0 431.540 -0.423 20 0 IBADRN CCn1c(=O)c(C(=O)CN(C)CCNS(C)(=O)=O)c(N)n(Cc2ccccc2)c1=O ZINC001116329379 1073037461 /nfs/dbraw/zinc/03/74/61/1073037461.db2.gz JOFTULUTWZAMFM-UHFFFAOYSA-N 0 0 437.522 -0.676 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC001116329898 1073037354 /nfs/dbraw/zinc/03/73/54/1073037354.db2.gz SDPWOXLHKAUJKH-UHFFFAOYSA-N 0 0 429.518 -0.611 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001116330092 1073037417 /nfs/dbraw/zinc/03/74/17/1073037417.db2.gz SRUBGXGNXVSNLJ-UHFFFAOYSA-N 0 0 434.540 -0.873 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN(C)CCS(C)(=O)=O)nc(=O)c12 ZINC001116330126 1073037447 /nfs/dbraw/zinc/03/74/47/1073037447.db2.gz TVVOCAUEXPXFIR-UHFFFAOYSA-N 0 0 431.492 -0.037 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)C1CCN(c2ccc3nnnn3n2)CC1 ZINC001116334958 1073037371 /nfs/dbraw/zinc/03/73/71/1073037371.db2.gz FKRQPQSZDQQTLX-UHFFFAOYSA-N 0 0 436.523 -0.108 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116339152 1073037390 /nfs/dbraw/zinc/03/73/90/1073037390.db2.gz UMWUJFSWENYYRR-MWDXBVQZSA-N 0 0 444.550 -0.131 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116339153 1073037438 /nfs/dbraw/zinc/03/74/38/1073037438.db2.gz UMWUJFSWENYYRR-QBPKDAKJSA-N 0 0 444.550 -0.131 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116339154 1073037383 /nfs/dbraw/zinc/03/73/83/1073037383.db2.gz UMWUJFSWENYYRR-YLFCFFPRSA-N 0 0 444.550 -0.131 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116339155 1073037760 /nfs/dbraw/zinc/03/77/60/1073037760.db2.gz UMWUJFSWENYYRR-YYIAUSFCSA-N 0 0 444.550 -0.131 20 0 IBADRN COCCN(C(=O)CN1CCC(N(C)S(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001116339331 1073037862 /nfs/dbraw/zinc/03/78/62/1073037862.db2.gz BUGLKDDZMXVAFS-HNNXBMFYSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C(=O)CN1CCC(N(C)S(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001116339332 1073037875 /nfs/dbraw/zinc/03/78/75/1073037875.db2.gz BUGLKDDZMXVAFS-OAHLLOKOSA-N 0 0 425.573 -0.996 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN([C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001116339730 1073037824 /nfs/dbraw/zinc/03/78/24/1073037824.db2.gz LJFXCJMJWMXAHN-KFWWJZLASA-N 0 0 429.543 -0.024 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN([C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001116339731 1073037844 /nfs/dbraw/zinc/03/78/44/1073037844.db2.gz LJFXCJMJWMXAHN-RBSFLKMASA-N 0 0 429.543 -0.024 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN([C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001116339732 1073037852 /nfs/dbraw/zinc/03/78/52/1073037852.db2.gz LJFXCJMJWMXAHN-RRFJBIMHSA-N 0 0 429.543 -0.024 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN([C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001116339733 1073037889 /nfs/dbraw/zinc/03/78/89/1073037889.db2.gz LJFXCJMJWMXAHN-ZNMIVQPWSA-N 0 0 429.543 -0.024 20 0 IBADRN C[C@H]1CN(CC(=O)N(C)Cc2cnn(C)c2)CCN1CC(=O)N(C)Cc1cnn(C)c1 ZINC001116341039 1073037816 /nfs/dbraw/zinc/03/78/16/1073037816.db2.gz YCCZOVJRSIDRFR-KRWDZBQOSA-N 0 0 430.557 -0.223 20 0 IBADRN C[C@@H]1CN(CC(=O)N(C)Cc2cnn(C)c2)CCN1CC(=O)N(C)Cc1cnn(C)c1 ZINC001116341041 1073037796 /nfs/dbraw/zinc/03/77/96/1073037796.db2.gz YCCZOVJRSIDRFR-QGZVFWFLSA-N 0 0 430.557 -0.223 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001116341567 1073037871 /nfs/dbraw/zinc/03/78/71/1073037871.db2.gz CDIKTNQBASOMDW-AWEZNQCLSA-N 0 0 440.522 -0.707 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001116341568 1073037835 /nfs/dbraw/zinc/03/78/35/1073037835.db2.gz CDIKTNQBASOMDW-CQSZACIVSA-N 0 0 440.522 -0.707 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116341779 1073037723 /nfs/dbraw/zinc/03/77/23/1073037723.db2.gz HXAMJHDTHIQWAQ-CABCVRRESA-N 0 0 447.583 -0.502 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116341780 1073037777 /nfs/dbraw/zinc/03/77/77/1073037777.db2.gz HXAMJHDTHIQWAQ-GJZGRUSLSA-N 0 0 447.583 -0.502 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116341781 1073037771 /nfs/dbraw/zinc/03/77/71/1073037771.db2.gz HXAMJHDTHIQWAQ-HUUCEWRRSA-N 0 0 447.583 -0.502 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116341782 1073037754 /nfs/dbraw/zinc/03/77/54/1073037754.db2.gz HXAMJHDTHIQWAQ-LSDHHAIUSA-N 0 0 447.583 -0.502 20 0 IBADRN COC(=O)c1sc2nc(CN(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)[nH]c(=O)c2c1C ZINC001116343421 1073037883 /nfs/dbraw/zinc/03/78/83/1073037883.db2.gz GYDWKSSMOVVPTB-JTQLQIEISA-N 0 0 442.519 -0.185 20 0 IBADRN COC(=O)c1sc2nc(CN(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)[nH]c(=O)c2c1C ZINC001116343422 1073037731 /nfs/dbraw/zinc/03/77/31/1073037731.db2.gz GYDWKSSMOVVPTB-SNVBAGLBSA-N 0 0 442.519 -0.185 20 0 IBADRN CCCCN(C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001116343608 1073037784 /nfs/dbraw/zinc/03/77/84/1073037784.db2.gz IYKCUHVNQQFHKD-CABCVRRESA-N 0 0 437.584 -0.963 20 0 IBADRN CCCCN(C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001116343610 1073037741 /nfs/dbraw/zinc/03/77/41/1073037741.db2.gz IYKCUHVNQQFHKD-GJZGRUSLSA-N 0 0 437.584 -0.963 20 0 IBADRN CCCCN(C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001116343612 1073037804 /nfs/dbraw/zinc/03/78/04/1073037804.db2.gz IYKCUHVNQQFHKD-HUUCEWRRSA-N 0 0 437.584 -0.963 20 0 IBADRN CCCCN(C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001116343613 1073038189 /nfs/dbraw/zinc/03/81/89/1073038189.db2.gz IYKCUHVNQQFHKD-LSDHHAIUSA-N 0 0 437.584 -0.963 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN4C(=O)NC[C@@H]4C3)nc(=O)c12 ZINC001116343752 1073038251 /nfs/dbraw/zinc/03/82/51/1073038251.db2.gz DMCWHOKERVSFHX-SECBINFHSA-N 0 0 435.462 -0.304 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCN4C(=O)NC[C@H]4C3)nc(=O)c12 ZINC001116343754 1073038200 /nfs/dbraw/zinc/03/82/00/1073038200.db2.gz DMCWHOKERVSFHX-VIFPVBQESA-N 0 0 435.462 -0.304 20 0 IBADRN CN(C1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1)S(C)(=O)=O ZINC001116345124 1073038143 /nfs/dbraw/zinc/03/81/43/1073038143.db2.gz FZVWBPLYTCGRJJ-UHFFFAOYSA-N 0 0 432.568 -0.652 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C)s1 ZINC001116345229 1073038287 /nfs/dbraw/zinc/03/82/87/1073038287.db2.gz LCVHTEOMDIKPKJ-AWEZNQCLSA-N 0 0 435.593 -0.025 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C)s1 ZINC001116345230 1073038334 /nfs/dbraw/zinc/03/83/34/1073038334.db2.gz LCVHTEOMDIKPKJ-CQSZACIVSA-N 0 0 435.593 -0.025 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)Nc1cc(F)ccc1F ZINC001116345601 1073038121 /nfs/dbraw/zinc/03/81/21/1073038121.db2.gz BLUZYRUWCIMIDL-AWEZNQCLSA-N 0 0 430.477 -0.176 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)Nc1cc(F)ccc1F ZINC001116345602 1073038239 /nfs/dbraw/zinc/03/82/39/1073038239.db2.gz BLUZYRUWCIMIDL-CQSZACIVSA-N 0 0 430.477 -0.176 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(CC[S@@](C)=O)CC1 ZINC001116345672 1073038267 /nfs/dbraw/zinc/03/82/67/1073038267.db2.gz DYLQNKTUJIHZBQ-KUNJGFBQSA-N 0 0 430.596 -0.623 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(CC[S@](C)=O)CC1 ZINC001116345673 1073038294 /nfs/dbraw/zinc/03/82/94/1073038294.db2.gz DYLQNKTUJIHZBQ-QZXCRCNTSA-N 0 0 430.596 -0.623 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(CC[S@](C)=O)CC1 ZINC001116345674 1073038126 /nfs/dbraw/zinc/03/81/26/1073038126.db2.gz DYLQNKTUJIHZBQ-RXAIFQJESA-N 0 0 430.596 -0.623 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(CC[S@@](C)=O)CC1 ZINC001116345675 1073038105 /nfs/dbraw/zinc/03/81/05/1073038105.db2.gz DYLQNKTUJIHZBQ-YVORESIASA-N 0 0 430.596 -0.623 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(CC[S@@](C)=O)CC2)cc1S(=O)(=O)N(C)C ZINC001116345713 1073038361 /nfs/dbraw/zinc/03/83/61/1073038361.db2.gz FTRHNOKZKXFFLT-MUUNZHRXSA-N 0 0 446.595 -0.120 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(CC[S@](C)=O)CC2)cc1S(=O)(=O)N(C)C ZINC001116345714 1073038322 /nfs/dbraw/zinc/03/83/22/1073038322.db2.gz FTRHNOKZKXFFLT-NDEPHWFRSA-N 0 0 446.595 -0.120 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)N2CCC(C(=O)Nc3ccccc3)CC2)CC1 ZINC001116345805 1073038352 /nfs/dbraw/zinc/03/83/52/1073038352.db2.gz HOFKWNFIUFIAAS-UHFFFAOYSA-N 0 0 437.566 -0.230 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001116346285 1073038307 /nfs/dbraw/zinc/03/83/07/1073038307.db2.gz RBBPNFVJWXRPDF-MSOLQXFVSA-N 0 0 444.598 -0.821 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001116346286 1073038220 /nfs/dbraw/zinc/03/82/20/1073038220.db2.gz RBBPNFVJWXRPDF-QZTJIDSGSA-N 0 0 444.598 -0.821 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001116346287 1073038173 /nfs/dbraw/zinc/03/81/73/1073038173.db2.gz RBBPNFVJWXRPDF-ROUUACIJSA-N 0 0 444.598 -0.821 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001116346288 1073038087 /nfs/dbraw/zinc/03/80/87/1073038087.db2.gz RBBPNFVJWXRPDF-ZWKOTPCHSA-N 0 0 444.598 -0.821 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(CC[S@](C)=O)CC2)c(=O)[nH]c1=O ZINC001116346327 1073038341 /nfs/dbraw/zinc/03/83/41/1073038341.db2.gz SATGLHNNPJEKKT-PMERELPUSA-N 0 0 442.586 -0.320 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(CC[S@@](C)=O)CC2)c(=O)[nH]c1=O ZINC001116346328 1073038718 /nfs/dbraw/zinc/03/87/18/1073038718.db2.gz SATGLHNNPJEKKT-SSEXGKCCSA-N 0 0 442.586 -0.320 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001116346332 1073038680 /nfs/dbraw/zinc/03/86/80/1073038680.db2.gz SCDPXCAABGLPGH-KRWDZBQOSA-N 0 0 438.550 -0.768 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001116346333 1073038656 /nfs/dbraw/zinc/03/86/56/1073038656.db2.gz SCDPXCAABGLPGH-QGZVFWFLSA-N 0 0 438.550 -0.768 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001116346386 1073038593 /nfs/dbraw/zinc/03/85/93/1073038593.db2.gz JPPJTSDDQAHMNV-KRWDZBQOSA-N 0 0 438.550 -0.654 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001116346387 1073038701 /nfs/dbraw/zinc/03/87/01/1073038701.db2.gz JPPJTSDDQAHMNV-QGZVFWFLSA-N 0 0 438.550 -0.654 20 0 IBADRN COc1ccc(C)cc1NC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116346707 1073038569 /nfs/dbraw/zinc/03/85/69/1073038569.db2.gz YFXFWMZHCQZSEG-INIZCTEOSA-N 0 0 438.550 -0.137 20 0 IBADRN COc1ccc(C)cc1NC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116346708 1073038691 /nfs/dbraw/zinc/03/86/91/1073038691.db2.gz YFXFWMZHCQZSEG-MRXNPFEDSA-N 0 0 438.550 -0.137 20 0 IBADRN COc1cccc(NC(=O)CCN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001116346730 1073038637 /nfs/dbraw/zinc/03/86/37/1073038637.db2.gz YWDCFKFVRMBXBW-KRWDZBQOSA-N 0 0 438.550 -0.055 20 0 IBADRN COc1cccc(NC(=O)CCN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001116346731 1073038729 /nfs/dbraw/zinc/03/87/29/1073038729.db2.gz YWDCFKFVRMBXBW-QGZVFWFLSA-N 0 0 438.550 -0.055 20 0 IBADRN COCCN(C(C)=O)c1nc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cs1 ZINC001116348852 1073038546 /nfs/dbraw/zinc/03/85/46/1073038546.db2.gz AUZQMCGIPVDSBF-CVEARBPZSA-N 0 0 432.568 -0.270 20 0 IBADRN COCCN(C(C)=O)c1nc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cs1 ZINC001116348854 1073038649 /nfs/dbraw/zinc/03/86/49/1073038649.db2.gz AUZQMCGIPVDSBF-HOTGVXAUSA-N 0 0 432.568 -0.270 20 0 IBADRN COCCN(C(C)=O)c1nc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cs1 ZINC001116348856 1073038608 /nfs/dbraw/zinc/03/86/08/1073038608.db2.gz AUZQMCGIPVDSBF-HZPDHXFCSA-N 0 0 432.568 -0.270 20 0 IBADRN COCCN(C(C)=O)c1nc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cs1 ZINC001116348858 1073038961 /nfs/dbraw/zinc/03/89/61/1073038961.db2.gz AUZQMCGIPVDSBF-JKSUJKDBSA-N 0 0 432.568 -0.270 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)Nc1cccnc1Cl ZINC001116349414 1073038971 /nfs/dbraw/zinc/03/89/71/1073038971.db2.gz KVLPAVZAZPVLCL-CYBMUJFWSA-N 0 0 429.930 -0.406 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)Nc1cccnc1Cl ZINC001116349418 1073038965 /nfs/dbraw/zinc/03/89/65/1073038965.db2.gz KVLPAVZAZPVLCL-ZDUSSCGKSA-N 0 0 429.930 -0.406 20 0 IBADRN Cc1ccsc1CNC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116350526 1073038914 /nfs/dbraw/zinc/03/89/14/1073038914.db2.gz DPYHMGZPHIFANE-HNNXBMFYSA-N 0 0 428.580 -0.406 20 0 IBADRN Cc1ccsc1CNC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116350527 1073038925 /nfs/dbraw/zinc/03/89/25/1073038925.db2.gz DPYHMGZPHIFANE-OAHLLOKOSA-N 0 0 428.580 -0.406 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ncc(C(N)=O)cc3Cl)CC2)C[C@H](C)O1 ZINC001116352720 1073038946 /nfs/dbraw/zinc/03/89/46/1073038946.db2.gz VWTVUYYIAPTAPK-RYUDHWBXSA-N 0 0 445.929 -0.054 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ncc(C(N)=O)cc3Cl)CC2)C[C@H](C)O1 ZINC001116352721 1073038937 /nfs/dbraw/zinc/03/89/37/1073038937.db2.gz VWTVUYYIAPTAPK-TXEJJXNPSA-N 0 0 445.929 -0.054 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3ncc(C(N)=O)cc3Cl)CC2)C[C@@H](C)O1 ZINC001116352722 1073039031 /nfs/dbraw/zinc/03/90/31/1073039031.db2.gz VWTVUYYIAPTAPK-VXGBXAGGSA-N 0 0 445.929 -0.054 20 0 IBADRN O=C1CCCN1C[C@@H](O)CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001116354159 1073038993 /nfs/dbraw/zinc/03/89/93/1073038993.db2.gz VBLIOPWUFIYENN-AWEZNQCLSA-N 0 0 431.536 -0.267 20 0 IBADRN O=C1CCCN1C[C@H](O)CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001116354160 1073038985 /nfs/dbraw/zinc/03/89/85/1073038985.db2.gz VBLIOPWUFIYENN-CQSZACIVSA-N 0 0 431.536 -0.267 20 0 IBADRN O=C(c1cccc2[nH]c(=O)oc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116355577 1073039289 /nfs/dbraw/zinc/03/92/89/1073039289.db2.gz DYKKKONTLGWHAR-LLVKDONJSA-N 0 0 429.476 -0.192 20 0 IBADRN O=C(c1cccc2[nH]c(=O)oc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116355578 1073039452 /nfs/dbraw/zinc/03/94/52/1073039452.db2.gz DYKKKONTLGWHAR-NSHDSACASA-N 0 0 429.476 -0.192 20 0 IBADRN CS(=O)(=O)c1ccc(OCCCN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001116369920 1073039426 /nfs/dbraw/zinc/03/94/26/1073039426.db2.gz HPNRIKRUYZFJET-MSOLQXFVSA-N 0 0 432.564 -0.366 20 0 IBADRN CS(=O)(=O)c1ccc(OCCCN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001116369921 1073039490 /nfs/dbraw/zinc/03/94/90/1073039490.db2.gz HPNRIKRUYZFJET-QZTJIDSGSA-N 0 0 432.564 -0.366 20 0 IBADRN CS(=O)(=O)c1ccc(OCCCN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001116369922 1073039330 /nfs/dbraw/zinc/03/93/30/1073039330.db2.gz HPNRIKRUYZFJET-ROUUACIJSA-N 0 0 432.564 -0.366 20 0 IBADRN CS(=O)(=O)c1ccc(OCCCN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001116369923 1073039438 /nfs/dbraw/zinc/03/94/38/1073039438.db2.gz HPNRIKRUYZFJET-ZWKOTPCHSA-N 0 0 432.564 -0.366 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC001116370120 1073039319 /nfs/dbraw/zinc/03/93/19/1073039319.db2.gz NVYXJZGDZIZRFC-HNNXBMFYSA-N 0 0 437.522 -0.661 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC001116370121 1073039272 /nfs/dbraw/zinc/03/92/72/1073039272.db2.gz NVYXJZGDZIZRFC-OAHLLOKOSA-N 0 0 437.522 -0.661 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC001116372728 1073039351 /nfs/dbraw/zinc/03/93/51/1073039351.db2.gz YAJXURKJOSNMAK-CQSZACIVSA-N 0 0 427.527 -0.200 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116373072 1073039372 /nfs/dbraw/zinc/03/93/72/1073039372.db2.gz RDNQWEGZTSPRDQ-PBHICJAKSA-N 0 0 449.533 -0.066 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116373073 1073039340 /nfs/dbraw/zinc/03/93/40/1073039340.db2.gz RDNQWEGZTSPRDQ-RHSMWYFYSA-N 0 0 449.533 -0.066 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116373074 1073039252 /nfs/dbraw/zinc/03/92/52/1073039252.db2.gz RDNQWEGZTSPRDQ-WMLDXEAASA-N 0 0 449.533 -0.066 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116373075 1073039397 /nfs/dbraw/zinc/03/93/97/1073039397.db2.gz RDNQWEGZTSPRDQ-YOEHRIQHSA-N 0 0 449.533 -0.066 20 0 IBADRN CC(=O)Nc1ccc(O)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001116374744 1073039235 /nfs/dbraw/zinc/03/92/35/1073039235.db2.gz OLEYGYYJZCTPRG-HNNXBMFYSA-N 0 0 438.506 -0.588 20 0 IBADRN CC(=O)Nc1ccc(O)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001116374745 1073039300 /nfs/dbraw/zinc/03/93/00/1073039300.db2.gz OLEYGYYJZCTPRG-OAHLLOKOSA-N 0 0 438.506 -0.588 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC3CCS(=O)(=O)CC3)CC2)cn1C ZINC001116385771 1073039472 /nfs/dbraw/zinc/03/94/72/1073039472.db2.gz AOGWCYIGJCWVSN-UHFFFAOYSA-N 0 0 433.556 -0.431 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(Cn3nc(CO)n(C4CC4)c3=S)CC2)o1 ZINC001116388531 1073039259 /nfs/dbraw/zinc/03/92/59/1073039259.db2.gz QDCSMBCZWYGAAB-UHFFFAOYSA-N 0 0 442.523 -0.103 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)N1CC[C@H](CO)C1 ZINC001116389506 1073039811 /nfs/dbraw/zinc/03/98/11/1073039811.db2.gz DJVPVJNNYRSSIA-INIZCTEOSA-N 0 0 439.534 -0.002 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)N1CC[C@@H](CO)C1 ZINC001116389507 1073039925 /nfs/dbraw/zinc/03/99/25/1073039925.db2.gz DJVPVJNNYRSSIA-MRXNPFEDSA-N 0 0 439.534 -0.002 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC001116392331 1073039821 /nfs/dbraw/zinc/03/98/21/1073039821.db2.gz UGOASWIVMQVZTR-INIZCTEOSA-N 0 0 437.460 -0.096 20 0 IBADRN CCn1cc(C(=O)C(=O)NCC2(NC(=O)C(=O)c3cnn(CC)c3)CCOCC2)cn1 ZINC001116394767 1073039682 /nfs/dbraw/zinc/03/96/82/1073039682.db2.gz MRRPEFKQPPSSDI-UHFFFAOYSA-N 0 0 430.465 -0.033 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)c1=O ZINC001116399811 1073039674 /nfs/dbraw/zinc/03/96/74/1073039674.db2.gz MOUJGEUJRHBIKL-UHFFFAOYSA-N 0 0 426.476 -0.671 20 0 IBADRN NS(=O)(=O)CC1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001116401963 1073039706 /nfs/dbraw/zinc/03/97/06/1073039706.db2.gz UPUIKFSHOSDPNV-UHFFFAOYSA-N 0 0 438.550 -0.224 20 0 IBADRN Cn1cc(I)c(=O)n(Cc2nc(CS(C)(=O)=O)no2)c1=O ZINC001116409313 1073039691 /nfs/dbraw/zinc/03/96/91/1073039691.db2.gz KNCNNWYWKAJYMK-UHFFFAOYSA-N 0 0 426.192 -0.873 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@@H](NC(N)=O)C2)c1 ZINC001116411104 1073039905 /nfs/dbraw/zinc/03/99/05/1073039905.db2.gz DEZDMJQCHDXORP-CYBMUJFWSA-N 0 0 425.511 -0.344 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@H](NC(N)=O)C2)c1 ZINC001116411105 1073039880 /nfs/dbraw/zinc/03/98/80/1073039880.db2.gz DEZDMJQCHDXORP-ZDUSSCGKSA-N 0 0 425.511 -0.344 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(C3OCCO3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001116413568 1073039794 /nfs/dbraw/zinc/03/97/94/1073039794.db2.gz PPPNUEKPIBILNN-KRWDZBQOSA-N 0 0 437.518 -0.207 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(C3OCCO3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001116413569 1073039916 /nfs/dbraw/zinc/03/99/16/1073039916.db2.gz PPPNUEKPIBILNN-QGZVFWFLSA-N 0 0 437.518 -0.207 20 0 IBADRN O=C(N1CCOCC1)N1CCN(CCCN2CCN(C(=O)N3CCOCC3)CC2)CC1 ZINC001116414663 1073040241 /nfs/dbraw/zinc/04/02/41/1073040241.db2.gz VRFSFDLSNQQETR-UHFFFAOYSA-N 0 0 438.573 -0.484 20 0 IBADRN CC(=O)Nc1c[nH]c(C)c1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001116414923 1073040297 /nfs/dbraw/zinc/04/02/97/1073040297.db2.gz YSASESJEIVKSFD-AWEZNQCLSA-N 0 0 426.495 -0.230 20 0 IBADRN CC(=O)Nc1c[nH]c(C)c1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116414924 1073040336 /nfs/dbraw/zinc/04/03/36/1073040336.db2.gz YSASESJEIVKSFD-CQSZACIVSA-N 0 0 426.495 -0.230 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)N(CCO)CCN1CCOCC1 ZINC001116415831 1073040377 /nfs/dbraw/zinc/04/03/77/1073040377.db2.gz NHZIGQZEKOIFTK-UHFFFAOYSA-N 0 0 448.586 -0.704 20 0 IBADRN CCn1c2nnc(CN(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)n2c2ccccc2c1=O ZINC001116418244 1073040400 /nfs/dbraw/zinc/04/04/00/1073040400.db2.gz SDTRYERRPPIUQD-CYBMUJFWSA-N 0 0 432.506 -0.201 20 0 IBADRN CCn1c2nnc(CN(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)n2c2ccccc2c1=O ZINC001116418247 1073040381 /nfs/dbraw/zinc/04/03/81/1073040381.db2.gz SDTRYERRPPIUQD-ZDUSSCGKSA-N 0 0 432.506 -0.201 20 0 IBADRN COC(=O)Cn1nc(C)c(CNC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)c1C ZINC001116419324 1073040280 /nfs/dbraw/zinc/04/02/80/1073040280.db2.gz FYXXAJMIZXKNMC-UHFFFAOYSA-N 0 0 428.449 -0.143 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)[S@@](=O)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC001116421759 1073040219 /nfs/dbraw/zinc/04/02/19/1073040219.db2.gz CHEDOECFSPHXFB-CIRKLDFFSA-N 0 0 436.577 -0.123 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)[S@](=O)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC001116421760 1073040150 /nfs/dbraw/zinc/04/01/50/1073040150.db2.gz CHEDOECFSPHXFB-CLEYPRHESA-N 0 0 436.577 -0.123 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[S@@](=O)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC001116421761 1073040229 /nfs/dbraw/zinc/04/02/29/1073040229.db2.gz CHEDOECFSPHXFB-GLAPUPDPSA-N 0 0 436.577 -0.123 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)[S@](=O)Cc1ccc(S(=O)(=O)N(C)C)cc1 ZINC001116421762 1073040346 /nfs/dbraw/zinc/04/03/46/1073040346.db2.gz CHEDOECFSPHXFB-QQERXFAXSA-N 0 0 436.577 -0.123 20 0 IBADRN COc1ccc(COC[C@H](O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001116423452 1073040208 /nfs/dbraw/zinc/04/02/08/1073040208.db2.gz FLRZZMDUOCWJGQ-FGTMMUONSA-N 0 0 444.550 -0.643 20 0 IBADRN COc1ccc(COC[C@H](O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001116423453 1073040200 /nfs/dbraw/zinc/04/02/00/1073040200.db2.gz FLRZZMDUOCWJGQ-KURKYZTESA-N 0 0 444.550 -0.643 20 0 IBADRN COc1ccc(COC[C@H](O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001116423454 1073040325 /nfs/dbraw/zinc/04/03/25/1073040325.db2.gz FLRZZMDUOCWJGQ-KZNAEPCWSA-N 0 0 444.550 -0.643 20 0 IBADRN COc1ccc(COC[C@H](O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001116423455 1073040135 /nfs/dbraw/zinc/04/01/35/1073040135.db2.gz FLRZZMDUOCWJGQ-SQNIBIBYSA-N 0 0 444.550 -0.643 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCc2[nH]c3ccccc3c2C1 ZINC001116423572 1073040174 /nfs/dbraw/zinc/04/01/74/1073040174.db2.gz KDQCLTUWHOLRCZ-PMACEKPBSA-N 0 0 432.546 -0.172 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N1CCc2[nH]c3ccccc3c2C1 ZINC001116423573 1073040165 /nfs/dbraw/zinc/04/01/65/1073040165.db2.gz KDQCLTUWHOLRCZ-UXHICEINSA-N 0 0 432.546 -0.172 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCc2[nH]c3ccccc3c2C1 ZINC001116423574 1073040763 /nfs/dbraw/zinc/04/07/63/1073040763.db2.gz KDQCLTUWHOLRCZ-VQTJNVASSA-N 0 0 432.546 -0.172 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N1CCc2[nH]c3ccccc3c2C1 ZINC001116423575 1073040655 /nfs/dbraw/zinc/04/06/55/1073040655.db2.gz KDQCLTUWHOLRCZ-WOJBJXKFSA-N 0 0 432.546 -0.172 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001116423949 1073040739 /nfs/dbraw/zinc/04/07/39/1073040739.db2.gz XCROOYABBMUTLH-DLBZAZTESA-N 0 0 441.550 -0.562 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001116423950 1073040746 /nfs/dbraw/zinc/04/07/46/1073040746.db2.gz XCROOYABBMUTLH-IAGOWNOFSA-N 0 0 441.550 -0.562 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001116423951 1073040818 /nfs/dbraw/zinc/04/08/18/1073040818.db2.gz XCROOYABBMUTLH-IRXDYDNUSA-N 0 0 441.550 -0.562 20 0 IBADRN COc1ccc(CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001116423952 1073040730 /nfs/dbraw/zinc/04/07/30/1073040730.db2.gz XCROOYABBMUTLH-SJORKVTESA-N 0 0 441.550 -0.562 20 0 IBADRN COc1cc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(OC)c1OC ZINC001116424522 1073040847 /nfs/dbraw/zinc/04/08/47/1073040847.db2.gz MAJOXMBZWLVGJJ-CABCVRRESA-N 0 0 443.522 -0.574 20 0 IBADRN COc1cc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(OC)c1OC ZINC001116424523 1073040755 /nfs/dbraw/zinc/04/07/55/1073040755.db2.gz MAJOXMBZWLVGJJ-GJZGRUSLSA-N 0 0 443.522 -0.574 20 0 IBADRN COc1cc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(OC)c1OC ZINC001116424524 1073040686 /nfs/dbraw/zinc/04/06/86/1073040686.db2.gz MAJOXMBZWLVGJJ-HUUCEWRRSA-N 0 0 443.522 -0.574 20 0 IBADRN COc1cc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(OC)c1OC ZINC001116424683 1073040646 /nfs/dbraw/zinc/04/06/46/1073040646.db2.gz MAJOXMBZWLVGJJ-LSDHHAIUSA-N 0 0 443.522 -0.574 20 0 IBADRN O=C1CC[C@H]2CN(c3nc(N4CCOCC4)nc(N4CCN5C(=O)CC[C@H]5C4)n3)CCN12 ZINC001116424685 1073040707 /nfs/dbraw/zinc/04/07/07/1073040707.db2.gz MBSFRVOPZNCMLE-HOTGVXAUSA-N 0 0 442.524 -0.670 20 0 IBADRN O=C1CC[C@@H]2CN(c3nc(N4CCOCC4)nc(N4CCN5C(=O)CC[C@@H]5C4)n3)CCN12 ZINC001116424696 1073040788 /nfs/dbraw/zinc/04/07/88/1073040788.db2.gz MBSFRVOPZNCMLE-HZPDHXFCSA-N 0 0 442.524 -0.670 20 0 IBADRN O=C1CC[C@@H]2CN(c3nc(N4CCOCC4)nc(N4CCN5C(=O)CC[C@H]5C4)n3)CCN12 ZINC001116424697 1073040779 /nfs/dbraw/zinc/04/07/79/1073040779.db2.gz MBSFRVOPZNCMLE-IYBDPMFKSA-N 0 0 442.524 -0.670 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC001116424781 1073040769 /nfs/dbraw/zinc/04/07/69/1073040769.db2.gz NYIOWHOFRINUNW-MSOLQXFVSA-N 0 0 436.534 -0.707 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC001116424782 1073040825 /nfs/dbraw/zinc/04/08/25/1073040825.db2.gz NYIOWHOFRINUNW-QZTJIDSGSA-N 0 0 436.534 -0.707 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC001116424783 1073040838 /nfs/dbraw/zinc/04/08/38/1073040838.db2.gz NYIOWHOFRINUNW-ROUUACIJSA-N 0 0 436.534 -0.707 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1cccc(C(=O)NC2CC2)c1 ZINC001116424784 1073041101 /nfs/dbraw/zinc/04/11/01/1073041101.db2.gz NYIOWHOFRINUNW-ZWKOTPCHSA-N 0 0 436.534 -0.707 20 0 IBADRN COc1ccc(OC)c(NC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001116424794 1073041023 /nfs/dbraw/zinc/04/10/23/1073041023.db2.gz OMGOHRPYPCCYGR-IAOVAPTHSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(OC)c(NC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001116424795 1073041199 /nfs/dbraw/zinc/04/11/99/1073041199.db2.gz OMGOHRPYPCCYGR-JQFCIGGWSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(OC)c(NC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001116424796 1073041033 /nfs/dbraw/zinc/04/10/33/1073041033.db2.gz OMGOHRPYPCCYGR-RRQGHBQHSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(OC)c(NC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001116424797 1073041152 /nfs/dbraw/zinc/04/11/52/1073041152.db2.gz OMGOHRPYPCCYGR-XKQJLSEDSA-N 0 0 427.523 -0.194 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC001116426079 1073041161 /nfs/dbraw/zinc/04/11/61/1073041161.db2.gz BNJYCEANDUXDQI-UHFFFAOYSA-N 0 0 436.512 -0.794 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCc1ccccc1Cn1cccn1 ZINC001116426568 1073041082 /nfs/dbraw/zinc/04/10/82/1073041082.db2.gz KQNGTEHWXWYZTP-PMACEKPBSA-N 0 0 447.561 -0.677 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCc1ccccc1Cn1cccn1 ZINC001116426571 1073041168 /nfs/dbraw/zinc/04/11/68/1073041168.db2.gz KQNGTEHWXWYZTP-UXHICEINSA-N 0 0 447.561 -0.677 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCc1ccccc1Cn1cccn1 ZINC001116426574 1073041108 /nfs/dbraw/zinc/04/11/08/1073041108.db2.gz KQNGTEHWXWYZTP-VQTJNVASSA-N 0 0 447.561 -0.677 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCc1ccccc1Cn1cccn1 ZINC001116426575 1073041176 /nfs/dbraw/zinc/04/11/76/1073041176.db2.gz KQNGTEHWXWYZTP-WOJBJXKFSA-N 0 0 447.561 -0.677 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001116429437 1073041185 /nfs/dbraw/zinc/04/11/85/1073041185.db2.gz PBCAHXCNGSPMJQ-DLBZAZTESA-N 0 0 441.550 -0.862 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001116429438 1073041043 /nfs/dbraw/zinc/04/10/43/1073041043.db2.gz PBCAHXCNGSPMJQ-IAGOWNOFSA-N 0 0 441.550 -0.862 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001116429439 1073041064 /nfs/dbraw/zinc/04/10/64/1073041064.db2.gz PBCAHXCNGSPMJQ-IRXDYDNUSA-N 0 0 441.550 -0.862 20 0 IBADRN COc1ccc(CCNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001116429440 1073041094 /nfs/dbraw/zinc/04/10/94/1073041094.db2.gz PBCAHXCNGSPMJQ-SJORKVTESA-N 0 0 441.550 -0.862 20 0 IBADRN COC(=O)CN(C)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC001116454231 1073041143 /nfs/dbraw/zinc/04/11/43/1073041143.db2.gz LPQFPKXQTBSXHV-UHFFFAOYSA-N 0 0 429.495 -0.449 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(c2ccc3nnc(C(F)F)n3n2)CC1 ZINC001116456872 1073041134 /nfs/dbraw/zinc/04/11/34/1073041134.db2.gz ACJYKYYGUQBLNE-JTQLQIEISA-N 0 0 436.466 -0.299 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(c2ccc3nnc(C(F)F)n3n2)CC1 ZINC001116456873 1073041608 /nfs/dbraw/zinc/04/16/08/1073041608.db2.gz ACJYKYYGUQBLNE-SNVBAGLBSA-N 0 0 436.466 -0.299 20 0 IBADRN COCCCN(C(=O)NCC1CCN(S(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001116465776 1073041435 /nfs/dbraw/zinc/04/14/35/1073041435.db2.gz XGWJBFSBHOAXQB-HNNXBMFYSA-N 0 0 425.573 -0.107 20 0 IBADRN COCCCN(C(=O)NCC1CCN(S(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001116465777 1073041584 /nfs/dbraw/zinc/04/15/84/1073041584.db2.gz XGWJBFSBHOAXQB-OAHLLOKOSA-N 0 0 425.573 -0.107 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)CC2)C1=O ZINC001116470094 1073041469 /nfs/dbraw/zinc/04/14/69/1073041469.db2.gz KOXNTTAMWIMOQL-UHFFFAOYSA-N 0 0 442.476 -0.516 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116472943 1073041411 /nfs/dbraw/zinc/04/14/11/1073041411.db2.gz JZQVAQCXFZZBRS-HNNXBMFYSA-N 0 0 448.567 -0.502 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116472944 1073041390 /nfs/dbraw/zinc/04/13/90/1073041390.db2.gz JZQVAQCXFZZBRS-OAHLLOKOSA-N 0 0 448.567 -0.502 20 0 IBADRN COCCCN(C(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O)[C@H]1CCS(=O)(=O)C1 ZINC001116473506 1073041515 /nfs/dbraw/zinc/04/15/15/1073041515.db2.gz LDEJRGLHILKTMK-DEYYWGMASA-N 0 0 430.527 -0.058 20 0 IBADRN COCCCN(C(=O)NC[C@@H]1CCC[C@]12NC(=O)N(C)C2=O)[C@@H]1CCS(=O)(=O)C1 ZINC001116473507 1073041487 /nfs/dbraw/zinc/04/14/87/1073041487.db2.gz LDEJRGLHILKTMK-IYOUNJFTSA-N 0 0 430.527 -0.058 20 0 IBADRN COCCCN(C(=O)NC[C@@H]1CCC[C@@]12NC(=O)N(C)C2=O)[C@@H]1CCS(=O)(=O)C1 ZINC001116473508 1073041420 /nfs/dbraw/zinc/04/14/20/1073041420.db2.gz LDEJRGLHILKTMK-PMUMKWKESA-N 0 0 430.527 -0.058 20 0 IBADRN COCCCN(C(=O)NC[C@@H]1CCC[C@@]12NC(=O)N(C)C2=O)[C@H]1CCS(=O)(=O)C1 ZINC001116473509 1073041455 /nfs/dbraw/zinc/04/14/55/1073041455.db2.gz LDEJRGLHILKTMK-SUNYJGFJSA-N 0 0 430.527 -0.058 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)C(=O)N1c1cnn(C)c1 ZINC001116474505 1073041446 /nfs/dbraw/zinc/04/14/46/1073041446.db2.gz DZEDBECBYLADMF-ACXGAUJLSA-N 0 0 425.511 -0.051 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)C(=O)N1c1cnn(C)c1 ZINC001116474506 1073041477 /nfs/dbraw/zinc/04/14/77/1073041477.db2.gz DZEDBECBYLADMF-ONUSSAAZSA-N 0 0 425.511 -0.051 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)C(=O)N1c1cnn(C)c1 ZINC001116474509 1073041593 /nfs/dbraw/zinc/04/15/93/1073041593.db2.gz DZEDBECBYLADMF-SDCOAXJVSA-N 0 0 425.511 -0.051 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)C(=O)N1c1cnn(C)c1 ZINC001116474513 1073041617 /nfs/dbraw/zinc/04/16/17/1073041617.db2.gz DZEDBECBYLADMF-VAGZCVSGSA-N 0 0 425.511 -0.051 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001116475064 1073041524 /nfs/dbraw/zinc/04/15/24/1073041524.db2.gz RXTQBDAZLPITED-KBXCAEBGSA-N 0 0 427.527 -0.193 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001116475065 1073041497 /nfs/dbraw/zinc/04/14/97/1073041497.db2.gz RXTQBDAZLPITED-KDOFPFPSSA-N 0 0 427.527 -0.193 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001116475066 1073041507 /nfs/dbraw/zinc/04/15/07/1073041507.db2.gz RXTQBDAZLPITED-KSSFIOAISA-N 0 0 427.527 -0.193 20 0 IBADRN O=C(NCCCn1nc2n(c1=O)CCCC2)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001116475067 1073041540 /nfs/dbraw/zinc/04/15/40/1073041540.db2.gz RXTQBDAZLPITED-RDTXWAMCSA-N 0 0 427.527 -0.193 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)O1 ZINC001116477670 1073042019 /nfs/dbraw/zinc/04/20/19/1073042019.db2.gz HVXIRWVOFJWZRV-DOTOQJQBSA-N 0 0 446.570 -0.105 20 0 IBADRN CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)O1 ZINC001116477671 1073042034 /nfs/dbraw/zinc/04/20/34/1073042034.db2.gz HVXIRWVOFJWZRV-NVXWUHKLSA-N 0 0 446.570 -0.105 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)O1 ZINC001116477672 1073042006 /nfs/dbraw/zinc/04/20/06/1073042006.db2.gz HVXIRWVOFJWZRV-RDJZCZTQSA-N 0 0 446.570 -0.105 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)O1 ZINC001116477673 1073041996 /nfs/dbraw/zinc/04/19/96/1073041996.db2.gz HVXIRWVOFJWZRV-WBVHZDCISA-N 0 0 446.570 -0.105 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001116477693 1073041873 /nfs/dbraw/zinc/04/18/73/1073041873.db2.gz IJXFULOEFPYHCN-UHFFFAOYSA-N 0 0 434.522 -0.567 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCc3cccc([C@]4(C)NC(=O)NC4=O)c3)CC2)C1=O ZINC001116480034 1073041971 /nfs/dbraw/zinc/04/19/71/1073041971.db2.gz PNUNKCDUTCWRRC-IBGZPJMESA-N 0 0 428.449 -0.033 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCc3cccc([C@@]4(C)NC(=O)NC4=O)c3)CC2)C1=O ZINC001116480035 1073041820 /nfs/dbraw/zinc/04/18/20/1073041820.db2.gz PNUNKCDUTCWRRC-LJQANCHMSA-N 0 0 428.449 -0.033 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N(C)CCNC(=O)N(C)C ZINC001116482344 1073042067 /nfs/dbraw/zinc/04/20/67/1073042067.db2.gz GHQMXYRAYWGETB-HNNXBMFYSA-N 0 0 442.538 -0.042 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N(C)CCNC(=O)N(C)C ZINC001116482345 1073042052 /nfs/dbraw/zinc/04/20/52/1073042052.db2.gz GHQMXYRAYWGETB-OAHLLOKOSA-N 0 0 442.538 -0.042 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001116483891 1073041958 /nfs/dbraw/zinc/04/19/58/1073041958.db2.gz WNCVWQJMQSUOTL-DOMZBBRYSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001116483892 1073041833 /nfs/dbraw/zinc/04/18/33/1073041833.db2.gz WNCVWQJMQSUOTL-IUODEOHRSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001116483893 1073041918 /nfs/dbraw/zinc/04/19/18/1073041918.db2.gz WNCVWQJMQSUOTL-SWLSCSKDSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001116483894 1073041945 /nfs/dbraw/zinc/04/19/45/1073041945.db2.gz WNCVWQJMQSUOTL-WFASDCNBSA-N 0 0 438.549 -0.391 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001116486322 1073041853 /nfs/dbraw/zinc/04/18/53/1073041853.db2.gz FYPPKLQKNOTVOZ-GDBMZVCRSA-N 0 0 449.595 -0.412 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001116486330 1073041930 /nfs/dbraw/zinc/04/19/30/1073041930.db2.gz FYPPKLQKNOTVOZ-GOEBONIOSA-N 0 0 449.595 -0.412 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001116486331 1073041983 /nfs/dbraw/zinc/04/19/83/1073041983.db2.gz FYPPKLQKNOTVOZ-HOCLYGCPSA-N 0 0 449.595 -0.412 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001116486332 1073041862 /nfs/dbraw/zinc/04/18/62/1073041862.db2.gz FYPPKLQKNOTVOZ-ZBFHGGJFSA-N 0 0 449.595 -0.412 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)Cn3nc4n(c3=O)CCCCC4)C2)cc1 ZINC001116495017 1073042654 /nfs/dbraw/zinc/04/26/54/1073042654.db2.gz NZUFWERQXQFZAB-UHFFFAOYSA-N 0 0 435.506 -0.031 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2COC3(CCOCC3)O2)s1 ZINC001116496739 1073042376 /nfs/dbraw/zinc/04/23/76/1073042376.db2.gz QXOZNXFPYRSDHK-CYBMUJFWSA-N 0 0 447.535 -0.299 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2COC3(CCOCC3)O2)s1 ZINC001116496740 1073042494 /nfs/dbraw/zinc/04/24/94/1073042494.db2.gz QXOZNXFPYRSDHK-ZDUSSCGKSA-N 0 0 447.535 -0.299 20 0 IBADRN CN1C(=O)CN(NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)C1=O ZINC001116502326 1073042527 /nfs/dbraw/zinc/04/25/27/1073042527.db2.gz RPWOCXHQTFNCFK-GFCCVEGCSA-N 0 0 430.870 -0.202 20 0 IBADRN CN1C(=O)CN(NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)C1=O ZINC001116502327 1073042642 /nfs/dbraw/zinc/04/26/42/1073042642.db2.gz RPWOCXHQTFNCFK-LBPRGKRZSA-N 0 0 430.870 -0.202 20 0 IBADRN NC(=O)c1cc2c([nH]c1=O)CCC[C@@H]2NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001116504328 1073042327 /nfs/dbraw/zinc/04/23/27/1073042327.db2.gz ZDSBCDCCUGDTCG-BBRMVZONSA-N 0 0 431.493 -0.440 20 0 IBADRN NC(=O)c1cc2c([nH]c1=O)CCC[C@@H]2NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001116504329 1073042342 /nfs/dbraw/zinc/04/23/42/1073042342.db2.gz ZDSBCDCCUGDTCG-CJNGLKHVSA-N 0 0 431.493 -0.440 20 0 IBADRN NC(=O)c1cc2c([nH]c1=O)CCC[C@H]2NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001116504330 1073042667 /nfs/dbraw/zinc/04/26/67/1073042667.db2.gz ZDSBCDCCUGDTCG-CZUORRHYSA-N 0 0 431.493 -0.440 20 0 IBADRN NC(=O)c1cc2c([nH]c1=O)CCC[C@H]2NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001116504331 1073042574 /nfs/dbraw/zinc/04/25/74/1073042574.db2.gz ZDSBCDCCUGDTCG-XJKSGUPXSA-N 0 0 431.493 -0.440 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001116505437 1073042362 /nfs/dbraw/zinc/04/23/62/1073042362.db2.gz ZGVDSDICBOQWRV-HNNXBMFYSA-N 0 0 427.527 -0.576 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001116505438 1073042481 /nfs/dbraw/zinc/04/24/81/1073042481.db2.gz ZGVDSDICBOQWRV-OAHLLOKOSA-N 0 0 427.527 -0.576 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)n(C)c1 ZINC001116506801 1073042929 /nfs/dbraw/zinc/04/29/29/1073042929.db2.gz HKXCLIMVURPGDD-UHFFFAOYSA-N 0 0 430.508 -0.266 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)CC1 ZINC001116508112 1073043091 /nfs/dbraw/zinc/04/30/91/1073043091.db2.gz AITGJHRKRWWDBB-AWEZNQCLSA-N 0 0 440.482 -0.027 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)CC1 ZINC001116508113 1073042896 /nfs/dbraw/zinc/04/28/96/1073042896.db2.gz AITGJHRKRWWDBB-CQSZACIVSA-N 0 0 440.482 -0.027 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cnn1-c1ccc(=O)[nH]n1 ZINC001116510204 1073043133 /nfs/dbraw/zinc/04/31/33/1073043133.db2.gz FJBRFQWYZYOFFE-AWEZNQCLSA-N 0 0 436.494 -0.057 20 0 IBADRN Cc1c(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cnn1-c1ccc(=O)[nH]n1 ZINC001116510205 1073042997 /nfs/dbraw/zinc/04/29/97/1073042997.db2.gz FJBRFQWYZYOFFE-CQSZACIVSA-N 0 0 436.494 -0.057 20 0 IBADRN COC(=O)c1ccc(=O)n(CN2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC001116511449 1073043137 /nfs/dbraw/zinc/04/31/37/1073043137.db2.gz UGCJOYSQTAYLOY-KRWDZBQOSA-N 0 0 443.508 -0.157 20 0 IBADRN COC(=O)c1ccc(=O)n(CN2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)n1 ZINC001116511450 1073043050 /nfs/dbraw/zinc/04/30/50/1073043050.db2.gz UGCJOYSQTAYLOY-QGZVFWFLSA-N 0 0 443.508 -0.157 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NC3CCN(S(C)(=O)=O)CC3)no2)cn1 ZINC001116512535 1073043026 /nfs/dbraw/zinc/04/30/26/1073043026.db2.gz SABFWXPCUKYRMC-AWEZNQCLSA-N 0 0 439.498 -0.023 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NC3CCN(S(C)(=O)=O)CC3)no2)cn1 ZINC001116512536 1073042914 /nfs/dbraw/zinc/04/29/14/1073042914.db2.gz SABFWXPCUKYRMC-CQSZACIVSA-N 0 0 439.498 -0.023 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3S(=O)(=O)N3CCC4(CC3)OCCO4)no2)cn1 ZINC001116513068 1073043067 /nfs/dbraw/zinc/04/30/67/1073043067.db2.gz JRTVKIRIPXMECH-AWEZNQCLSA-N 0 0 440.482 -0.073 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3S(=O)(=O)N3CCC4(CC3)OCCO4)no2)cn1 ZINC001116513069 1073043112 /nfs/dbraw/zinc/04/31/12/1073043112.db2.gz JRTVKIRIPXMECH-CQSZACIVSA-N 0 0 440.482 -0.073 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2Cl)CCO1 ZINC001116530496 1073042870 /nfs/dbraw/zinc/04/28/70/1073042870.db2.gz LLNJOVQMYKACEV-CABCVRRESA-N 0 0 445.925 -0.154 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2Cl)CCO1 ZINC001116530497 1073043082 /nfs/dbraw/zinc/04/30/82/1073043082.db2.gz LLNJOVQMYKACEV-GJZGRUSLSA-N 0 0 445.925 -0.154 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2Cl)CCO1 ZINC001116530498 1073042981 /nfs/dbraw/zinc/04/29/81/1073042981.db2.gz LLNJOVQMYKACEV-HUUCEWRRSA-N 0 0 445.925 -0.154 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2Cl)CCO1 ZINC001116530499 1073043039 /nfs/dbraw/zinc/04/30/39/1073043039.db2.gz LLNJOVQMYKACEV-LSDHHAIUSA-N 0 0 445.925 -0.154 20 0 IBADRN CCO[C@@H](CNC(=O)[C@@H]1CCc2nnc(C)n2C1)CNC(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001116532281 1073043485 /nfs/dbraw/zinc/04/34/85/1073043485.db2.gz OJYFQBOFNSUTPT-FVQHAEBGSA-N 0 0 444.540 -0.051 20 0 IBADRN CCOC(CNC(=O)[C@H]1CCc2nnc(C)n2C1)CNC(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001116532282 1073043399 /nfs/dbraw/zinc/04/33/99/1073043399.db2.gz OJYFQBOFNSUTPT-HOTGVXAUSA-N 0 0 444.540 -0.051 20 0 IBADRN CCOC(CNC(=O)[C@@H]1CCc2nnc(C)n2C1)CNC(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001116532283 1073043338 /nfs/dbraw/zinc/04/33/38/1073043338.db2.gz OJYFQBOFNSUTPT-HZPDHXFCSA-N 0 0 444.540 -0.051 20 0 IBADRN O=C(c1cc2c(cn1)OCCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116532730 1073043385 /nfs/dbraw/zinc/04/33/85/1073043385.db2.gz RENQWNJKLYRXBU-AWEZNQCLSA-N 0 0 429.520 -0.319 20 0 IBADRN O=C(c1cc2c(cn1)OCCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116532732 1073043543 /nfs/dbraw/zinc/04/35/43/1073043543.db2.gz RENQWNJKLYRXBU-CQSZACIVSA-N 0 0 429.520 -0.319 20 0 IBADRN C[C@@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC001116537296 1073043425 /nfs/dbraw/zinc/04/34/25/1073043425.db2.gz HLDODJQEFFLZRQ-GDBMZVCRSA-N 0 0 444.579 -0.684 20 0 IBADRN C[C@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC001116537297 1073043564 /nfs/dbraw/zinc/04/35/64/1073043564.db2.gz HLDODJQEFFLZRQ-GOEBONIOSA-N 0 0 444.579 -0.684 20 0 IBADRN C[C@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC001116537298 1073043447 /nfs/dbraw/zinc/04/34/47/1073043447.db2.gz HLDODJQEFFLZRQ-HOCLYGCPSA-N 0 0 444.579 -0.684 20 0 IBADRN C[C@@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1cccc(S(N)(=O)=O)c1 ZINC001116537299 1073043364 /nfs/dbraw/zinc/04/33/64/1073043364.db2.gz HLDODJQEFFLZRQ-ZBFHGGJFSA-N 0 0 444.579 -0.684 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC001116546422 1073043510 /nfs/dbraw/zinc/04/35/10/1073043510.db2.gz ZYVYAMGZRPJLLZ-OLZOCXBDSA-N 0 0 425.394 -0.389 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC001116546426 1073043410 /nfs/dbraw/zinc/04/34/10/1073043410.db2.gz ZYVYAMGZRPJLLZ-STQMWFEESA-N 0 0 425.394 -0.389 20 0 IBADRN CCS(=O)(=O)CC(=O)N1CCN(C(=O)CS(=O)(=O)CC)[C@H](c2cnn(C)c2)C1 ZINC001116550572 1073043603 /nfs/dbraw/zinc/04/36/03/1073043603.db2.gz CWHIHYNUASSPSS-AWEZNQCLSA-N 0 0 434.540 -0.999 20 0 IBADRN CCS(=O)(=O)CC(=O)N1CCN(C(=O)CS(=O)(=O)CC)[C@@H](c2cnn(C)c2)C1 ZINC001116550573 1073043551 /nfs/dbraw/zinc/04/35/51/1073043551.db2.gz CWHIHYNUASSPSS-CQSZACIVSA-N 0 0 434.540 -0.999 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001116554687 1073043586 /nfs/dbraw/zinc/04/35/86/1073043586.db2.gz BCPXQXCRVUEFFH-UHFFFAOYSA-N 0 0 425.496 -0.536 20 0 IBADRN O=C(Cc1ccc2c(c1)NC(=O)C2)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001116561249 1073044024 /nfs/dbraw/zinc/04/40/24/1073044024.db2.gz QCKILOAFXCBNNX-INIZCTEOSA-N 0 0 435.502 -0.402 20 0 IBADRN O=C(Cc1ccc2c(c1)NC(=O)C2)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116561250 1073043866 /nfs/dbraw/zinc/04/38/66/1073043866.db2.gz QCKILOAFXCBNNX-MRXNPFEDSA-N 0 0 435.502 -0.402 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116565149 1073044037 /nfs/dbraw/zinc/04/40/37/1073044037.db2.gz JIDFZTACPLVCMZ-CHWSQXEVSA-N 0 0 441.531 -0.017 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116565150 1073043950 /nfs/dbraw/zinc/04/39/50/1073043950.db2.gz JIDFZTACPLVCMZ-OLZOCXBDSA-N 0 0 441.531 -0.017 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116565151 1073043976 /nfs/dbraw/zinc/04/39/76/1073043976.db2.gz JIDFZTACPLVCMZ-QWHCGFSZSA-N 0 0 441.531 -0.017 20 0 IBADRN CS(=O)(=O)N1CCc2c1cccc2NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116565152 1073044060 /nfs/dbraw/zinc/04/40/60/1073044060.db2.gz JIDFZTACPLVCMZ-STQMWFEESA-N 0 0 441.531 -0.017 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001116568150 1073044016 /nfs/dbraw/zinc/04/40/16/1073044016.db2.gz TUELQGIFUNAGPI-CABCVRRESA-N 0 0 435.568 -0.800 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001116568152 1073043925 /nfs/dbraw/zinc/04/39/25/1073043925.db2.gz TUELQGIFUNAGPI-GJZGRUSLSA-N 0 0 435.568 -0.800 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001116568155 1073043835 /nfs/dbraw/zinc/04/38/35/1073043835.db2.gz TUELQGIFUNAGPI-HUUCEWRRSA-N 0 0 435.568 -0.800 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001116568157 1073043987 /nfs/dbraw/zinc/04/39/87/1073043987.db2.gz TUELQGIFUNAGPI-LSDHHAIUSA-N 0 0 435.568 -0.800 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC001116571057 1073044048 /nfs/dbraw/zinc/04/40/48/1073044048.db2.gz PKUIOKBJYZHAFM-DOTOQJQBSA-N 0 0 445.563 -0.689 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC001116571058 1073043878 /nfs/dbraw/zinc/04/38/78/1073043878.db2.gz PKUIOKBJYZHAFM-NVXWUHKLSA-N 0 0 445.563 -0.689 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC001116571059 1073043963 /nfs/dbraw/zinc/04/39/63/1073043963.db2.gz PKUIOKBJYZHAFM-RDJZCZTQSA-N 0 0 445.563 -0.689 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2CCOC[C@H]2C1 ZINC001116571060 1073043907 /nfs/dbraw/zinc/04/39/07/1073043907.db2.gz PKUIOKBJYZHAFM-WBVHZDCISA-N 0 0 445.563 -0.689 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)CC1 ZINC001116571298 1073043896 /nfs/dbraw/zinc/04/38/96/1073043896.db2.gz UFMXNPCMYVDQPL-UHFFFAOYSA-N 0 0 431.559 -0.173 20 0 IBADRN CC(=O)N1CCN(C(=O)CNc2cc(C(N)=O)ccc2CN2C(=O)CN(C)C2=O)CC1 ZINC001116573482 1073044001 /nfs/dbraw/zinc/04/40/01/1073044001.db2.gz LECIVAUTAYVLDS-UHFFFAOYSA-N 0 0 430.465 -0.718 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](CO)C(=O)OCc2ccccc2)cc1 ZINC001116573687 1073043935 /nfs/dbraw/zinc/04/39/35/1073043935.db2.gz NAPIRLXBCPEEPH-INIZCTEOSA-N 0 0 428.488 -0.023 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](CO)C(=O)OCc2ccccc2)cc1 ZINC001116573688 1073043851 /nfs/dbraw/zinc/04/38/51/1073043851.db2.gz NAPIRLXBCPEEPH-MRXNPFEDSA-N 0 0 428.488 -0.023 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)CC2)nn1 ZINC001116575002 1073043821 /nfs/dbraw/zinc/04/38/21/1073043821.db2.gz ZPHZIKJLCMDIKL-UHFFFAOYSA-N 0 0 435.485 -0.508 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cc1 ZINC001116576167 1073043921 /nfs/dbraw/zinc/04/39/21/1073043921.db2.gz ZQBMZJIJDJOFJW-UHFFFAOYSA-N 0 0 442.519 -0.201 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001116578645 1073044370 /nfs/dbraw/zinc/04/43/70/1073044370.db2.gz AFZXWEDKPVDEGI-UHFFFAOYSA-N 0 0 429.564 -0.012 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)CCc3c(C)nc(N4CCOCC4)[nH]c3=O)C2)nn1 ZINC001116579548 1073044410 /nfs/dbraw/zinc/04/44/10/1073044410.db2.gz TXCLIUXVMDQKKF-UHFFFAOYSA-N 0 0 431.453 -0.279 20 0 IBADRN Cc1c(C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001116579894 1073044394 /nfs/dbraw/zinc/04/43/94/1073044394.db2.gz ZJQNBHACQTWKJI-UHFFFAOYSA-N 0 0 449.533 -0.724 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001116581532 1073044432 /nfs/dbraw/zinc/04/44/32/1073044432.db2.gz MMCCBKDPVQYPRH-AWEZNQCLSA-N 0 0 448.542 -0.720 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001116581533 1073044262 /nfs/dbraw/zinc/04/42/62/1073044262.db2.gz MMCCBKDPVQYPRH-CQSZACIVSA-N 0 0 448.542 -0.720 20 0 IBADRN COc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1O ZINC001116592119 1073044509 /nfs/dbraw/zinc/04/45/09/1073044509.db2.gz GERAIRLSEKOJKD-AWEZNQCLSA-N 0 0 441.506 -0.530 20 0 IBADRN COc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1O ZINC001116592120 1073044349 /nfs/dbraw/zinc/04/43/49/1073044349.db2.gz GERAIRLSEKOJKD-CQSZACIVSA-N 0 0 441.506 -0.530 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001116596086 1073044500 /nfs/dbraw/zinc/04/45/00/1073044500.db2.gz GGJJHVOTNXKOFK-AWEZNQCLSA-N 0 0 431.536 -0.711 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001116596088 1073044313 /nfs/dbraw/zinc/04/43/13/1073044313.db2.gz GGJJHVOTNXKOFK-CQSZACIVSA-N 0 0 431.536 -0.711 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccnc1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001116599512 1073044295 /nfs/dbraw/zinc/04/42/95/1073044295.db2.gz ZPXITWFEDRHJGI-UHFFFAOYSA-N 0 0 430.552 -0.529 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC001116600552 1073044461 /nfs/dbraw/zinc/04/44/61/1073044461.db2.gz MMEBKAZBMBBIGB-UHFFFAOYSA-N 0 0 446.533 -0.218 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001116607462 1073044305 /nfs/dbraw/zinc/04/43/05/1073044305.db2.gz UZBWZIAXGNBMCW-UHFFFAOYSA-N 0 0 438.550 -0.638 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1OC(F)F ZINC001116610121 1073044488 /nfs/dbraw/zinc/04/44/88/1073044488.db2.gz PQLAJSGOBMZEMW-JTQLQIEISA-N 0 0 442.466 -0.704 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1OC(F)F ZINC001116610123 1073044446 /nfs/dbraw/zinc/04/44/46/1073044446.db2.gz PQLAJSGOBMZEMW-SNVBAGLBSA-N 0 0 442.466 -0.704 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC(n4cc([C@@H](C)O)nn4)C3)cc2S1(=O)=O ZINC001116615584 1073044279 /nfs/dbraw/zinc/04/42/79/1073044279.db2.gz GFIVFPUTOMBPDB-LLVKDONJSA-N 0 0 435.462 -0.181 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC(n4cc([C@H](C)O)nn4)C3)cc2S1(=O)=O ZINC001116615585 1073044478 /nfs/dbraw/zinc/04/44/78/1073044478.db2.gz GFIVFPUTOMBPDB-NSHDSACASA-N 0 0 435.462 -0.181 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCc1noc(CN(C)C2=NS(=O)(=O)c3ccccc32)n1 ZINC001116618196 1073044323 /nfs/dbraw/zinc/04/43/23/1073044323.db2.gz METDHXOMWRYSKP-UHFFFAOYSA-N 0 0 442.523 -0.069 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CNC(=O)c3ccc4c(c3)OCO4)CC2)c(C(N)=O)c1C ZINC001116618998 1073044534 /nfs/dbraw/zinc/04/45/34/1073044534.db2.gz OLSGSVMGZPPPED-UHFFFAOYSA-N 0 0 440.460 0.000 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1[nH]ncc1I ZINC001116619438 1073044853 /nfs/dbraw/zinc/04/48/53/1073044853.db2.gz NQFGQOQBVYOYKU-MRVPVSSYSA-N 0 0 440.263 -0.220 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1[nH]ncc1I ZINC001116619440 1073044839 /nfs/dbraw/zinc/04/48/39/1073044839.db2.gz NQFGQOQBVYOYKU-QMMMGPOBSA-N 0 0 440.263 -0.220 20 0 IBADRN O=C(CCNS(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2)N[C@H]1CCS(=O)(=O)C1 ZINC001116624137 1073044776 /nfs/dbraw/zinc/04/47/76/1073044776.db2.gz FAFOTPHMDBVGEU-AWEZNQCLSA-N 0 0 441.531 -0.506 20 0 IBADRN O=C(CCNS(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2)N[C@@H]1CCS(=O)(=O)C1 ZINC001116624138 1073044911 /nfs/dbraw/zinc/04/49/11/1073044911.db2.gz FAFOTPHMDBVGEU-CQSZACIVSA-N 0 0 441.531 -0.506 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)cn1 ZINC001116629534 1073044873 /nfs/dbraw/zinc/04/48/73/1073044873.db2.gz KBPAVWUZFOFYNE-UHFFFAOYSA-N 0 0 428.492 -0.210 20 0 IBADRN Cc1nc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)cc2o1 ZINC001116634761 1073044920 /nfs/dbraw/zinc/04/49/20/1073044920.db2.gz VOIFYSYKLIEBPC-UHFFFAOYSA-N 0 0 443.551 -0.065 20 0 IBADRN O=C(c1cccc(N2CCCS2(=O)=O)c1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001116637524 1073044745 /nfs/dbraw/zinc/04/47/45/1073044745.db2.gz BUAZDRVWYKPPKZ-DLBZAZTESA-N 0 0 443.547 -0.858 20 0 IBADRN O=C(c1cccc(N2CCCS2(=O)=O)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001116637525 1073044881 /nfs/dbraw/zinc/04/48/81/1073044881.db2.gz BUAZDRVWYKPPKZ-IAGOWNOFSA-N 0 0 443.547 -0.858 20 0 IBADRN O=C(c1cccc(N2CCCS2(=O)=O)c1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001116637526 1073044957 /nfs/dbraw/zinc/04/49/57/1073044957.db2.gz BUAZDRVWYKPPKZ-IRXDYDNUSA-N 0 0 443.547 -0.858 20 0 IBADRN O=C(c1cccc(N2CCCS2(=O)=O)c1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001116637527 1073044864 /nfs/dbraw/zinc/04/48/64/1073044864.db2.gz BUAZDRVWYKPPKZ-SJORKVTESA-N 0 0 443.547 -0.858 20 0 IBADRN O=C(C1CCN(C(=O)N2CCCC2)CC1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001116637760 1073044949 /nfs/dbraw/zinc/04/49/49/1073044949.db2.gz LENHIUKYKVQGJX-DLBZAZTESA-N 0 0 428.555 -0.784 20 0 IBADRN O=C(C1CCN(C(=O)N2CCCC2)CC1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001116637762 1073044902 /nfs/dbraw/zinc/04/49/02/1073044902.db2.gz LENHIUKYKVQGJX-IAGOWNOFSA-N 0 0 428.555 -0.784 20 0 IBADRN O=C(C1CCN(C(=O)N2CCCC2)CC1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001116637763 1073044768 /nfs/dbraw/zinc/04/47/68/1073044768.db2.gz LENHIUKYKVQGJX-IRXDYDNUSA-N 0 0 428.555 -0.784 20 0 IBADRN O=C(C1CCN(C(=O)N2CCCC2)CC1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001116637765 1073044831 /nfs/dbraw/zinc/04/48/31/1073044831.db2.gz LENHIUKYKVQGJX-SJORKVTESA-N 0 0 428.555 -0.784 20 0 IBADRN CN(CCNS(C)(=O)=O)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001116640644 1073045355 /nfs/dbraw/zinc/04/53/55/1073045355.db2.gz CUDZOMBBKZTBIO-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN Cc1nn(CC(F)(F)F)c(C)c1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001116641706 1073045224 /nfs/dbraw/zinc/04/52/24/1073045224.db2.gz KWAYVSQROPWMJA-CABCVRRESA-N 0 0 438.472 -0.093 20 0 IBADRN Cc1nn(CC(F)(F)F)c(C)c1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001116641708 1073045270 /nfs/dbraw/zinc/04/52/70/1073045270.db2.gz KWAYVSQROPWMJA-GJZGRUSLSA-N 0 0 438.472 -0.093 20 0 IBADRN Cc1nn(CC(F)(F)F)c(C)c1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001116641710 1073045260 /nfs/dbraw/zinc/04/52/60/1073045260.db2.gz KWAYVSQROPWMJA-HUUCEWRRSA-N 0 0 438.472 -0.093 20 0 IBADRN Cc1nn(CC(F)(F)F)c(C)c1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001116641712 1073045186 /nfs/dbraw/zinc/04/51/86/1073045186.db2.gz KWAYVSQROPWMJA-LSDHHAIUSA-N 0 0 438.472 -0.093 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2C[C@@H](O)C[C@H]2CO)CC1 ZINC001116647529 1073045330 /nfs/dbraw/zinc/04/53/30/1073045330.db2.gz AYOFZIHJQKBQBF-GJZGRUSLSA-N 0 0 426.491 -0.172 20 0 IBADRN COC(=O)NC1CN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001116648314 1073045311 /nfs/dbraw/zinc/04/53/11/1073045311.db2.gz AAXOBYAPASZHMU-UHFFFAOYSA-N 0 0 427.479 -0.175 20 0 IBADRN COC(=O)NC1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC001116648832 1073045322 /nfs/dbraw/zinc/04/53/22/1073045322.db2.gz HNDXHTGMQGMESN-UHFFFAOYSA-N 0 0 426.495 -0.046 20 0 IBADRN C[C@@H](NC(=O)c1[nH]ncc1I)C(=O)N1CCS(=O)(=O)CC1 ZINC001116648937 1073045172 /nfs/dbraw/zinc/04/51/72/1073045172.db2.gz PYNKHCLPPUFAHY-SSDOTTSWSA-N 0 0 426.236 -0.610 20 0 IBADRN C[C@H](NC(=O)c1[nH]ncc1I)C(=O)N1CCS(=O)(=O)CC1 ZINC001116648938 1073045367 /nfs/dbraw/zinc/04/53/67/1073045367.db2.gz PYNKHCLPPUFAHY-ZETCQYMHSA-N 0 0 426.236 -0.610 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116654211 1073045342 /nfs/dbraw/zinc/04/53/42/1073045342.db2.gz AREGSRAHWDVLIO-AWEZNQCLSA-N 0 0 449.555 -0.242 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116654212 1073045349 /nfs/dbraw/zinc/04/53/49/1073045349.db2.gz AREGSRAHWDVLIO-CQSZACIVSA-N 0 0 449.555 -0.242 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001116655276 1073045250 /nfs/dbraw/zinc/04/52/50/1073045250.db2.gz OMKOQNURIXFZDV-AAEUAGOBSA-N 0 0 433.508 -0.477 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001116655277 1073045237 /nfs/dbraw/zinc/04/52/37/1073045237.db2.gz OMKOQNURIXFZDV-DGCLKSJQSA-N 0 0 433.508 -0.477 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001116655278 1073045289 /nfs/dbraw/zinc/04/52/89/1073045289.db2.gz OMKOQNURIXFZDV-WCQYABFASA-N 0 0 433.508 -0.477 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001116655279 1073045279 /nfs/dbraw/zinc/04/52/79/1073045279.db2.gz OMKOQNURIXFZDV-YPMHNXCESA-N 0 0 433.508 -0.477 20 0 IBADRN CC1(C)CC(=O)c2cc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c(=O)[nH]c2C1 ZINC001116664236 1073045373 /nfs/dbraw/zinc/04/53/73/1073045373.db2.gz AJDMOZKPXAMZIW-DOTOQJQBSA-N 0 0 437.518 -0.142 20 0 IBADRN CC1(C)CC(=O)c2cc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c(=O)[nH]c2C1 ZINC001116664237 1073045195 /nfs/dbraw/zinc/04/51/95/1073045195.db2.gz AJDMOZKPXAMZIW-NVXWUHKLSA-N 0 0 437.518 -0.142 20 0 IBADRN CC1(C)CC(=O)c2cc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(=O)[nH]c2C1 ZINC001116664238 1073045849 /nfs/dbraw/zinc/04/58/49/1073045849.db2.gz AJDMOZKPXAMZIW-RDJZCZTQSA-N 0 0 437.518 -0.142 20 0 IBADRN CC1(C)CC(=O)c2cc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(=O)[nH]c2C1 ZINC001116664239 1073045646 /nfs/dbraw/zinc/04/56/46/1073045646.db2.gz AJDMOZKPXAMZIW-WBVHZDCISA-N 0 0 437.518 -0.142 20 0 IBADRN O=C(c1ccccc1)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC001116665693 1073045738 /nfs/dbraw/zinc/04/57/38/1073045738.db2.gz NHNDMINYZZASCL-MOPGFXCFSA-N 0 0 435.546 -0.159 20 0 IBADRN O=C(c1ccccc1)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC001116665694 1073045727 /nfs/dbraw/zinc/04/57/27/1073045727.db2.gz NHNDMINYZZASCL-OALUTQOASA-N 0 0 435.546 -0.159 20 0 IBADRN O=C(c1ccccc1)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC001116665695 1073045714 /nfs/dbraw/zinc/04/57/14/1073045714.db2.gz NHNDMINYZZASCL-RBUKOAKNSA-N 0 0 435.546 -0.159 20 0 IBADRN O=C(c1ccccc1)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC001116665696 1073045854 /nfs/dbraw/zinc/04/58/54/1073045854.db2.gz NHNDMINYZZASCL-RTBURBONSA-N 0 0 435.546 -0.159 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001116667869 1073045822 /nfs/dbraw/zinc/04/58/22/1073045822.db2.gz NRSNDAYTQNYAEB-UHFFFAOYSA-N 0 0 429.564 -0.141 20 0 IBADRN CN(CC(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1)S(=O)(=O)c1cccnc1 ZINC001116668100 1073045788 /nfs/dbraw/zinc/04/57/88/1073045788.db2.gz ONOJHLAFHVOAIL-UHFFFAOYSA-N 0 0 430.552 -0.577 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116676127 1073045829 /nfs/dbraw/zinc/04/58/29/1073045829.db2.gz IXQFRPJOLBZLJS-INIZCTEOSA-N 0 0 435.506 -0.456 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001116676129 1073045701 /nfs/dbraw/zinc/04/57/01/1073045701.db2.gz IXQFRPJOLBZLJS-MRXNPFEDSA-N 0 0 435.506 -0.456 20 0 IBADRN O=C(Nc1ccnn1[C@H]1CCS(=O)(=O)C1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001116677558 1073045755 /nfs/dbraw/zinc/04/57/55/1073045755.db2.gz FSEFDJJRUVQBLZ-AWEZNQCLSA-N 0 0 426.499 -0.156 20 0 IBADRN O=C(Nc1ccnn1[C@@H]1CCS(=O)(=O)C1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001116677559 1073045631 /nfs/dbraw/zinc/04/56/31/1073045631.db2.gz FSEFDJJRUVQBLZ-CQSZACIVSA-N 0 0 426.499 -0.156 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116677595 1073045813 /nfs/dbraw/zinc/04/58/13/1073045813.db2.gz GQOSXVGDTZKIOF-HNNXBMFYSA-N 0 0 440.526 -0.749 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116677596 1073045588 /nfs/dbraw/zinc/04/55/88/1073045588.db2.gz GQOSXVGDTZKIOF-OAHLLOKOSA-N 0 0 440.526 -0.749 20 0 IBADRN COc1cc(OC)cc(-n2nnnc2CN(C)C(=O)NCC2CN(S(C)(=O)=O)C2)c1 ZINC001116681361 1073045804 /nfs/dbraw/zinc/04/58/04/1073045804.db2.gz LYAFTCSALHWSTN-UHFFFAOYSA-N 0 0 439.498 -0.288 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001116686318 1073045664 /nfs/dbraw/zinc/04/56/64/1073045664.db2.gz KLMRWPFSBWEENZ-FMKPAKJESA-N 0 0 428.486 -0.985 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001116686319 1073046219 /nfs/dbraw/zinc/04/62/19/1073046219.db2.gz KLMRWPFSBWEENZ-LZWOXQAQSA-N 0 0 428.486 -0.985 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001116687008 1073046212 /nfs/dbraw/zinc/04/62/12/1073046212.db2.gz VTPOUBIBUTXPMI-DLBZAZTESA-N 0 0 445.542 -0.307 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001116687012 1073046284 /nfs/dbraw/zinc/04/62/84/1073046284.db2.gz VTPOUBIBUTXPMI-IAGOWNOFSA-N 0 0 445.542 -0.307 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001116687014 1073046264 /nfs/dbraw/zinc/04/62/64/1073046264.db2.gz VTPOUBIBUTXPMI-IRXDYDNUSA-N 0 0 445.542 -0.307 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001116687016 1073046281 /nfs/dbraw/zinc/04/62/81/1073046281.db2.gz VTPOUBIBUTXPMI-SJORKVTESA-N 0 0 445.542 -0.307 20 0 IBADRN O=C(NCC1CCS(=O)(=O)CC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001116688912 1073046253 /nfs/dbraw/zinc/04/62/53/1073046253.db2.gz ZVQZBHHEIPOYGZ-ZBFHGGJFSA-N 0 0 434.511 -0.109 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC001116689794 1073046268 /nfs/dbraw/zinc/04/62/68/1073046268.db2.gz GYTPYGAJPHUYFL-UHFFFAOYSA-N 0 0 432.524 -0.727 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN[C@@H](CO)c2cnn(C)c2)CC1 ZINC001116690149 1073046108 /nfs/dbraw/zinc/04/61/08/1073046108.db2.gz VIWZYNWLUUYFOV-HNNXBMFYSA-N 0 0 426.499 -0.819 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN[C@H](CO)c2cnn(C)c2)CC1 ZINC001116690150 1073046144 /nfs/dbraw/zinc/04/61/44/1073046144.db2.gz VIWZYNWLUUYFOV-OAHLLOKOSA-N 0 0 426.499 -0.819 20 0 IBADRN O=C(CN1CCN(CCC(=O)N2CCc3ccccc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001116691609 1073046130 /nfs/dbraw/zinc/04/61/30/1073046130.db2.gz LQLPBDAGHXEUKQ-FQEVSTJZSA-N 0 0 448.589 -0.118 20 0 IBADRN O=C(CN1CCN(CCC(=O)N2CCc3ccccc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001116691610 1073046185 /nfs/dbraw/zinc/04/61/85/1073046185.db2.gz LQLPBDAGHXEUKQ-HXUWFJFHSA-N 0 0 448.589 -0.118 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001116691909 1073046086 /nfs/dbraw/zinc/04/60/86/1073046086.db2.gz PQDPXAUCLGJMIB-HNNXBMFYSA-N 0 0 442.513 -0.554 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001116691910 1073046160 /nfs/dbraw/zinc/04/61/60/1073046160.db2.gz PQDPXAUCLGJMIB-OAHLLOKOSA-N 0 0 442.513 -0.554 20 0 IBADRN O=C(NC1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1)C1CC1 ZINC001116700322 1073046237 /nfs/dbraw/zinc/04/62/37/1073046237.db2.gz JGWAELGXPWWBPH-UHFFFAOYSA-N 0 0 434.518 -0.062 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NC[C@H]3CCC[C@@]34NC(=O)N(C)C4=O)CC2)n1 ZINC001116702473 1073046170 /nfs/dbraw/zinc/04/61/70/1073046170.db2.gz FZLVSMICMXATDL-BFUOFWGJSA-N 0 0 435.485 -0.236 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NC[C@@H]3CCC[C@]34NC(=O)N(C)C4=O)CC2)n1 ZINC001116702474 1073046246 /nfs/dbraw/zinc/04/62/46/1073046246.db2.gz FZLVSMICMXATDL-DJJJIMSYSA-N 0 0 435.485 -0.236 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NC[C@@H]3CCC[C@@]34NC(=O)N(C)C4=O)CC2)n1 ZINC001116702475 1073046558 /nfs/dbraw/zinc/04/65/58/1073046558.db2.gz FZLVSMICMXATDL-ORAYPTAESA-N 0 0 435.485 -0.236 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NC[C@H]3CCC[C@]34NC(=O)N(C)C4=O)CC2)n1 ZINC001116702476 1073046476 /nfs/dbraw/zinc/04/64/76/1073046476.db2.gz FZLVSMICMXATDL-YJYMSZOUSA-N 0 0 435.485 -0.236 20 0 IBADRN COC(=O)CN(C)CCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001116707562 1073046487 /nfs/dbraw/zinc/04/64/87/1073046487.db2.gz JMFFUKBWLHJJJP-UHFFFAOYSA-N 0 0 447.457 -0.090 20 0 IBADRN COC(=O)CC1(NC(=O)CSc2nnnn2CC(F)(F)F)CCS(=O)(=O)CC1 ZINC001116709415 1073046593 /nfs/dbraw/zinc/04/65/93/1073046593.db2.gz OTZWZNOTNYKDIN-UHFFFAOYSA-N 0 0 445.445 -0.046 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC001116717946 1073046580 /nfs/dbraw/zinc/04/65/80/1073046580.db2.gz AORFAPNDLWYWER-UHFFFAOYSA-N 0 0 435.499 -0.260 20 0 IBADRN Cc1ccc(C(=O)N2CCC(S(N)(=O)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001116719040 1073046527 /nfs/dbraw/zinc/04/65/27/1073046527.db2.gz RWYVPTGIPCTQRW-UHFFFAOYSA-N 0 0 431.536 -0.091 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC1CCN(C(=O)c3ccco3)CC1)C2 ZINC001116719605 1073046568 /nfs/dbraw/zinc/04/65/68/1073046568.db2.gz LGOBNRCZWXNFRJ-UHFFFAOYSA-N 0 0 445.480 -0.444 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCCC2CS(=O)(=O)C2)CC1 ZINC001116722472 1073046654 /nfs/dbraw/zinc/04/66/54/1073046654.db2.gz MLJYPCIUPYOVIS-UHFFFAOYSA-N 0 0 430.552 -0.139 20 0 IBADRN Cc1nnc(N2CCN(C(=O)c3cc(N4CCNC(=O)C4)ccn3)CC2)c(C(N)=O)c1C ZINC001116724143 1073046693 /nfs/dbraw/zinc/04/66/93/1073046693.db2.gz QIEZRMXFYHJHPF-UHFFFAOYSA-N 0 0 438.492 -0.514 20 0 IBADRN O=C(c1cc(N2CCNC(=O)C2)ccn1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001116724362 1073046665 /nfs/dbraw/zinc/04/66/65/1073046665.db2.gz SHDRDXFVGYMHEB-UHFFFAOYSA-N 0 0 434.478 -0.706 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cn1cc(C(F)(F)F)ccc1=O)C2 ZINC001116727727 1073046682 /nfs/dbraw/zinc/04/66/82/1073046682.db2.gz BQUKIUZVHPWMPP-UHFFFAOYSA-N 0 0 428.371 -0.644 20 0 IBADRN NC(=O)[C@]1(O)CCN(C(=O)C2CCN(c3ccc4nnc(C(F)(F)F)n4n3)CC2)C1 ZINC001116736965 1073046506 /nfs/dbraw/zinc/04/65/06/1073046506.db2.gz FODBKAVQWHQLRL-INIZCTEOSA-N 0 0 427.387 -0.192 20 0 IBADRN NC(=O)[C@@]1(O)CCN(C(=O)C2CCN(c3ccc4nnc(C(F)(F)F)n4n3)CC2)C1 ZINC001116736970 1073046715 /nfs/dbraw/zinc/04/67/15/1073046715.db2.gz FODBKAVQWHQLRL-MRXNPFEDSA-N 0 0 427.387 -0.192 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(C(=O)N(C)C)c1)C2 ZINC001116737669 1073046704 /nfs/dbraw/zinc/04/67/04/1073046704.db2.gz CCZXRRRNLUARPS-UHFFFAOYSA-N 0 0 429.481 -0.440 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cnn(CC(F)(F)F)c1)C2 ZINC001116737997 1073046698 /nfs/dbraw/zinc/04/66/98/1073046698.db2.gz OECSLBLJGKFIGZ-UHFFFAOYSA-N 0 0 430.391 -0.383 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCN1Cc1cccnc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001116738939 1073046646 /nfs/dbraw/zinc/04/66/46/1073046646.db2.gz GENILGYIWRCPJA-CVEARBPZSA-N 0 0 438.506 -0.008 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCCN1Cc1cccnc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001116738940 1073046636 /nfs/dbraw/zinc/04/66/36/1073046636.db2.gz GENILGYIWRCPJA-HOTGVXAUSA-N 0 0 438.506 -0.008 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCN1Cc1cccnc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001116738941 1073046674 /nfs/dbraw/zinc/04/66/74/1073046674.db2.gz GENILGYIWRCPJA-HZPDHXFCSA-N 0 0 438.506 -0.008 20 0 IBADRN O=C(COC(=O)[C@H]1CCCCN1Cc1cccnc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001116738942 1073047011 /nfs/dbraw/zinc/04/70/11/1073047011.db2.gz GENILGYIWRCPJA-JKSUJKDBSA-N 0 0 438.506 -0.008 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)C2)nn1 ZINC001116740392 1073046997 /nfs/dbraw/zinc/04/69/97/1073046997.db2.gz ABNXJXVQLVZIHU-AWEZNQCLSA-N 0 0 434.478 -0.218 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)C2)nn1 ZINC001116740393 1073047105 /nfs/dbraw/zinc/04/71/05/1073047105.db2.gz ABNXJXVQLVZIHU-CQSZACIVSA-N 0 0 434.478 -0.218 20 0 IBADRN NC(=O)c1cccnc1N1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC001116741961 1073046933 /nfs/dbraw/zinc/04/69/33/1073046933.db2.gz ZVPITVQERSPELU-UHFFFAOYSA-N 0 0 444.517 -0.227 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(N3C(=O)CCC3=O)cc1)C2 ZINC001116743808 1073047124 /nfs/dbraw/zinc/04/71/24/1073047124.db2.gz YVWLLQCXTJANDL-UHFFFAOYSA-N 0 0 426.433 -0.558 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001116746655 1073047065 /nfs/dbraw/zinc/04/70/65/1073047065.db2.gz PUYXPVMCAFCJGR-UHFFFAOYSA-N 0 0 426.539 -0.196 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001116746911 1073047134 /nfs/dbraw/zinc/04/71/34/1073047134.db2.gz XJEYTMNGBFMCHU-HNNXBMFYSA-N 0 0 443.512 -0.097 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001116746912 1073047169 /nfs/dbraw/zinc/04/71/69/1073047169.db2.gz XJEYTMNGBFMCHU-OAHLLOKOSA-N 0 0 443.512 -0.097 20 0 IBADRN O=C(c1cc(N2CCOC2=O)ccc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001116748763 1073047160 /nfs/dbraw/zinc/04/71/60/1073047160.db2.gz RLHICPPKVVEANQ-CVEARBPZSA-N 0 0 427.454 -0.302 20 0 IBADRN O=C(c1cc(N2CCOC2=O)ccc1F)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001116748764 1073047047 /nfs/dbraw/zinc/04/70/47/1073047047.db2.gz RLHICPPKVVEANQ-HOTGVXAUSA-N 0 0 427.454 -0.302 20 0 IBADRN O=C(c1cc(N2CCOC2=O)ccc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001116748765 1073046972 /nfs/dbraw/zinc/04/69/72/1073046972.db2.gz RLHICPPKVVEANQ-HZPDHXFCSA-N 0 0 427.454 -0.302 20 0 IBADRN O=C(c1cc(N2CCOC2=O)ccc1F)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001116748766 1073046949 /nfs/dbraw/zinc/04/69/49/1073046949.db2.gz RLHICPPKVVEANQ-JKSUJKDBSA-N 0 0 427.454 -0.302 20 0 IBADRN CS(=O)(=O)N(CCN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Cc1ccccc1 ZINC001116753206 1073047059 /nfs/dbraw/zinc/04/70/59/1073047059.db2.gz NPSKEBCDFVEZEE-MSOLQXFVSA-N 0 0 431.580 -0.776 20 0 IBADRN CS(=O)(=O)N(CCN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Cc1ccccc1 ZINC001116753210 1073047155 /nfs/dbraw/zinc/04/71/55/1073047155.db2.gz NPSKEBCDFVEZEE-QZTJIDSGSA-N 0 0 431.580 -0.776 20 0 IBADRN CS(=O)(=O)N(CCN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Cc1ccccc1 ZINC001116753212 1073047099 /nfs/dbraw/zinc/04/70/99/1073047099.db2.gz NPSKEBCDFVEZEE-ROUUACIJSA-N 0 0 431.580 -0.776 20 0 IBADRN CS(=O)(=O)N(CCN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Cc1ccccc1 ZINC001116753213 1073046983 /nfs/dbraw/zinc/04/69/83/1073046983.db2.gz NPSKEBCDFVEZEE-ZWKOTPCHSA-N 0 0 431.580 -0.776 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC001116756237 1073047111 /nfs/dbraw/zinc/04/71/11/1073047111.db2.gz INQSAMMAKKTAAX-HBIPHATFSA-N 0 0 444.539 -0.174 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC001116756238 1073047037 /nfs/dbraw/zinc/04/70/37/1073047037.db2.gz INQSAMMAKKTAAX-JMGYQRAPSA-N 0 0 444.539 -0.174 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC001116756240 1073047023 /nfs/dbraw/zinc/04/70/23/1073047023.db2.gz INQSAMMAKKTAAX-OHZJNBGDSA-N 0 0 444.539 -0.174 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)NCCNS(=O)(=O)Cc2ccon2)cn1 ZINC001116756241 1073047088 /nfs/dbraw/zinc/04/70/88/1073047088.db2.gz INQSAMMAKKTAAX-WQIZZMQYSA-N 0 0 444.539 -0.174 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccccc2F)n1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001116757489 1073047551 /nfs/dbraw/zinc/04/75/51/1073047551.db2.gz ZNXAZXBSLUQQHE-UHFFFAOYSA-N 0 0 433.425 -0.364 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)c2C1 ZINC001116757793 1073047428 /nfs/dbraw/zinc/04/74/28/1073047428.db2.gz DXKZQLSHDWNQRV-AWEZNQCLSA-N 0 0 446.537 -0.170 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(CC(=O)Nc4nncs4)CC3)c2C1 ZINC001116757794 1073047442 /nfs/dbraw/zinc/04/74/42/1073047442.db2.gz DXKZQLSHDWNQRV-CQSZACIVSA-N 0 0 446.537 -0.170 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@H](O)C(F)(F)F ZINC001116758616 1073047584 /nfs/dbraw/zinc/04/75/84/1073047584.db2.gz YZZFIXFZWKAOHX-NEPJUHHUSA-N 0 0 432.442 -0.192 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@H](O)C(F)(F)F ZINC001116758617 1073047474 /nfs/dbraw/zinc/04/74/74/1073047474.db2.gz YZZFIXFZWKAOHX-RYUDHWBXSA-N 0 0 432.442 -0.192 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cn1 ZINC001116758963 1073047405 /nfs/dbraw/zinc/04/74/05/1073047405.db2.gz ITMCKSUJMRJFMC-FCWXKRFKSA-N 0 0 442.563 -0.429 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cn1 ZINC001116758964 1073047386 /nfs/dbraw/zinc/04/73/86/1073047386.db2.gz ITMCKSUJMRJFMC-IJMAESFNSA-N 0 0 442.563 -0.429 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cn1 ZINC001116758965 1073047485 /nfs/dbraw/zinc/04/74/85/1073047485.db2.gz ITMCKSUJMRJFMC-MXCSCCQASA-N 0 0 442.563 -0.429 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cn1 ZINC001116758966 1073047418 /nfs/dbraw/zinc/04/74/18/1073047418.db2.gz ITMCKSUJMRJFMC-UWUGFVBXSA-N 0 0 442.563 -0.429 20 0 IBADRN C[C@@H](C(=O)NCCN1C(=O)CSC1=O)[C@H](C)C(=O)NCCN1C(=O)CSC1=O ZINC001116760451 1073047574 /nfs/dbraw/zinc/04/75/74/1073047574.db2.gz LAJHODSYOOVMTF-AOOOYVTPSA-N 0 0 430.508 -0.118 20 0 IBADRN C[C@@H](C(=O)NCCN1C(=O)CSC1=O)[C@@H](C)C(=O)NCCN1C(=O)CSC1=O ZINC001116760452 1073047503 /nfs/dbraw/zinc/04/75/03/1073047503.db2.gz LAJHODSYOOVMTF-NXEZZACHSA-N 0 0 430.508 -0.118 20 0 IBADRN C[C@H](C(=O)NCCN1C(=O)CSC1=O)[C@H](C)C(=O)NCCN1C(=O)CSC1=O ZINC001116760453 1073047541 /nfs/dbraw/zinc/04/75/41/1073047541.db2.gz LAJHODSYOOVMTF-UWVGGRQHSA-N 0 0 430.508 -0.118 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC001116761834 1073047491 /nfs/dbraw/zinc/04/74/91/1073047491.db2.gz QVPKCPFBCYDDQQ-UHFFFAOYSA-N 0 0 446.493 -0.099 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001116765382 1073047523 /nfs/dbraw/zinc/04/75/23/1073047523.db2.gz JWYIZQGGDALROO-UHFFFAOYSA-N 0 0 425.442 -0.102 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ncn(CC(=O)N[C@@H]3CCS(=O)(=O)C3)c(=O)c2CC1 ZINC001116769542 1073047452 /nfs/dbraw/zinc/04/74/52/1073047452.db2.gz NTXQZLVGXSWHHI-CYBMUJFWSA-N 0 0 440.522 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ncn(CC(=O)N[C@H]3CCS(=O)(=O)C3)c(=O)c2CC1 ZINC001116769543 1073047465 /nfs/dbraw/zinc/04/74/65/1073047465.db2.gz NTXQZLVGXSWHHI-ZDUSSCGKSA-N 0 0 440.522 -0.118 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cn1 ZINC001116773442 1073047372 /nfs/dbraw/zinc/04/73/72/1073047372.db2.gz QLXRYKKMTPXQDK-HBIPHATFSA-N 0 0 437.503 -0.073 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cn1 ZINC001116773443 1073047396 /nfs/dbraw/zinc/04/73/96/1073047396.db2.gz QLXRYKKMTPXQDK-JMGYQRAPSA-N 0 0 437.503 -0.073 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cn1 ZINC001116773444 1073047783 /nfs/dbraw/zinc/04/77/83/1073047783.db2.gz QLXRYKKMTPXQDK-OHZJNBGDSA-N 0 0 437.503 -0.073 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2S(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)cn1 ZINC001116773445 1073047811 /nfs/dbraw/zinc/04/78/11/1073047811.db2.gz QLXRYKKMTPXQDK-WQIZZMQYSA-N 0 0 437.503 -0.073 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cn1 ZINC001116773673 1073047987 /nfs/dbraw/zinc/04/79/87/1073047987.db2.gz UEODPTLFVVZWDM-BJLXPSRBSA-N 0 0 438.554 -0.201 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cn1 ZINC001116773674 1073047941 /nfs/dbraw/zinc/04/79/41/1073047941.db2.gz UEODPTLFVVZWDM-NOVUIFNWSA-N 0 0 438.554 -0.201 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cn1 ZINC001116773675 1073047883 /nfs/dbraw/zinc/04/78/83/1073047883.db2.gz UEODPTLFVVZWDM-ZOKDDAQRSA-N 0 0 438.554 -0.201 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cn1 ZINC001116773676 1073047978 /nfs/dbraw/zinc/04/79/78/1073047978.db2.gz UEODPTLFVVZWDM-ZQHDKMAOSA-N 0 0 438.554 -0.201 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001116775541 1073047867 /nfs/dbraw/zinc/04/78/67/1073047867.db2.gz PGTBDRZQAOXICV-INIZCTEOSA-N 0 0 441.506 -0.660 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001116775542 1073047838 /nfs/dbraw/zinc/04/78/38/1073047838.db2.gz PGTBDRZQAOXICV-MRXNPFEDSA-N 0 0 441.506 -0.660 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@@H](CO)COC(C)=O)CC1 ZINC001116776480 1073047895 /nfs/dbraw/zinc/04/78/95/1073047895.db2.gz YVYMTFDLIFEBGT-HNNXBMFYSA-N 0 0 442.490 -0.086 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@H](CO)COC(C)=O)CC1 ZINC001116776481 1073047955 /nfs/dbraw/zinc/04/79/55/1073047955.db2.gz YVYMTFDLIFEBGT-OAHLLOKOSA-N 0 0 442.490 -0.086 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CCc2nc3c(cnn3C)c(=O)[nH]2)C1 ZINC001116776628 1073047796 /nfs/dbraw/zinc/04/77/96/1073047796.db2.gz RDKVRJHXGPUPKY-CYBMUJFWSA-N 0 0 440.526 -0.100 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CCc2nc3c(cnn3C)c(=O)[nH]2)C1 ZINC001116776630 1073047876 /nfs/dbraw/zinc/04/78/76/1073047876.db2.gz RDKVRJHXGPUPKY-ZDUSSCGKSA-N 0 0 440.526 -0.100 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC001116777951 1073047822 /nfs/dbraw/zinc/04/78/22/1073047822.db2.gz LPLUWMAMXXQRCQ-CYBMUJFWSA-N 0 0 448.567 -0.290 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCOC)c(NC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)c1 ZINC001116777952 1073047858 /nfs/dbraw/zinc/04/78/58/1073047858.db2.gz LPLUWMAMXXQRCQ-ZDUSSCGKSA-N 0 0 448.567 -0.290 20 0 IBADRN CC(=O)Nc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC001116778197 1073047919 /nfs/dbraw/zinc/04/79/19/1073047919.db2.gz XOQJDCUEGQGBBQ-HNNXBMFYSA-N 0 0 440.497 -0.155 20 0 IBADRN CC(=O)Nc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC001116778198 1073047933 /nfs/dbraw/zinc/04/79/33/1073047933.db2.gz XOQJDCUEGQGBBQ-OAHLLOKOSA-N 0 0 440.497 -0.155 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC1CCN(c3cccnn3)CC1)C2 ZINC001116782638 1073047905 /nfs/dbraw/zinc/04/79/05/1073047905.db2.gz ZSYCCGPECUPVHS-UHFFFAOYSA-N 0 0 429.485 -0.883 20 0 IBADRN CCS(=O)(=O)C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001116785518 1073047965 /nfs/dbraw/zinc/04/79/65/1073047965.db2.gz XSXOEWXBKVMEMQ-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS(=O)(=O)C1 ZINC001116788337 1073047845 /nfs/dbraw/zinc/04/78/45/1073047845.db2.gz JJBJOQATCRXORT-CYBMUJFWSA-N 0 0 429.520 -0.686 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS(=O)(=O)C1 ZINC001116788338 1073048402 /nfs/dbraw/zinc/04/84/02/1073048402.db2.gz JJBJOQATCRXORT-ZDUSSCGKSA-N 0 0 429.520 -0.686 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS(=O)(=O)C1 ZINC001116788997 1073048229 /nfs/dbraw/zinc/04/82/29/1073048229.db2.gz VDQHSBDRHFLULB-SECBINFHSA-N 0 0 432.300 -0.214 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS(=O)(=O)C1 ZINC001116788998 1073048357 /nfs/dbraw/zinc/04/83/57/1073048357.db2.gz VDQHSBDRHFLULB-VIFPVBQESA-N 0 0 432.300 -0.214 20 0 IBADRN O=C(OCc1nnnn1CC1CCOCC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116790189 1073048297 /nfs/dbraw/zinc/04/82/97/1073048297.db2.gz FOCIBPSNORIQIO-KBPBESRZSA-N 0 0 427.483 -0.822 20 0 IBADRN O=C(OCc1nnnn1CC1CCOCC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116790190 1073048419 /nfs/dbraw/zinc/04/84/19/1073048419.db2.gz FOCIBPSNORIQIO-KGLIPLIRSA-N 0 0 427.483 -0.822 20 0 IBADRN O=C(OCc1nnnn1CC1CCOCC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116790191 1073048324 /nfs/dbraw/zinc/04/83/24/1073048324.db2.gz FOCIBPSNORIQIO-UONOGXRCSA-N 0 0 427.483 -0.822 20 0 IBADRN O=C(OCc1nnnn1CC1CCOCC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116790192 1073048382 /nfs/dbraw/zinc/04/83/82/1073048382.db2.gz FOCIBPSNORIQIO-ZIAGYGMSSA-N 0 0 427.483 -0.822 20 0 IBADRN CCS(=O)(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001116791983 1073048310 /nfs/dbraw/zinc/04/83/10/1073048310.db2.gz WWLRUPJMGJVXIA-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN CCS(=O)(=O)C1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC001116792282 1073048269 /nfs/dbraw/zinc/04/82/69/1073048269.db2.gz MVUWGTATHJEEGM-UHFFFAOYSA-N 0 0 435.554 -0.089 20 0 IBADRN COc1ccc(CCNC(=O)Cc2noc(Cn3ccc(=O)n(C)c3=O)n2)cc1OC ZINC001116794604 1073048337 /nfs/dbraw/zinc/04/83/37/1073048337.db2.gz JXYFLDGKAWDLNU-UHFFFAOYSA-N 0 0 429.433 -0.103 20 0 IBADRN CN(C)S(=O)(=O)c1cc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)n(C)c1 ZINC001116795717 1073048442 /nfs/dbraw/zinc/04/84/42/1073048442.db2.gz FTHQMNYOKXANQH-UHFFFAOYSA-N 0 0 431.496 -0.875 20 0 IBADRN COc1ccc(S(=O)(=O)CCc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001116796026 1073048432 /nfs/dbraw/zinc/04/84/32/1073048432.db2.gz IOPPBCCXGFZLES-UHFFFAOYSA-N 0 0 443.503 -0.106 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001116797068 1073048449 /nfs/dbraw/zinc/04/84/49/1073048449.db2.gz ARWPEHVCQRJSFH-AWEZNQCLSA-N 0 0 431.536 -0.027 20 0 IBADRN CCS(=O)(=O)c1ccc(CNC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001116797069 1073048369 /nfs/dbraw/zinc/04/83/69/1073048369.db2.gz ARWPEHVCQRJSFH-CQSZACIVSA-N 0 0 431.536 -0.027 20 0 IBADRN C[C@@H](NC(=O)C[S@](=O)Cc1nnnn1CC1CCOCC1)C(=O)N1CCCCC1 ZINC001116797497 1073048457 /nfs/dbraw/zinc/04/84/57/1073048457.db2.gz UEPSPOJTRWCLTA-IZPCHGGPSA-N 0 0 426.543 -0.134 20 0 IBADRN C[C@H](NC(=O)C[S@](=O)Cc1nnnn1CC1CCOCC1)C(=O)N1CCCCC1 ZINC001116797498 1073048425 /nfs/dbraw/zinc/04/84/25/1073048425.db2.gz UEPSPOJTRWCLTA-MLNJSSBLSA-N 0 0 426.543 -0.134 20 0 IBADRN C[C@H](NC(=O)C[S@@](=O)Cc1nnnn1CC1CCOCC1)C(=O)N1CCCCC1 ZINC001116797499 1073048409 /nfs/dbraw/zinc/04/84/09/1073048409.db2.gz UEPSPOJTRWCLTA-MMEWPQADSA-N 0 0 426.543 -0.134 20 0 IBADRN C[C@@H](NC(=O)C[S@@](=O)Cc1nnnn1CC1CCOCC1)C(=O)N1CCCCC1 ZINC001116797501 1073048205 /nfs/dbraw/zinc/04/82/05/1073048205.db2.gz UEPSPOJTRWCLTA-ONSXPEOJSA-N 0 0 426.543 -0.134 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1)NCc1ccco1 ZINC001116810070 1073048254 /nfs/dbraw/zinc/04/82/54/1073048254.db2.gz HAAKXWUHPZCUFJ-HNNXBMFYSA-N 0 0 439.494 -0.023 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1)NCc1ccco1 ZINC001116810071 1073048752 /nfs/dbraw/zinc/04/87/52/1073048752.db2.gz HAAKXWUHPZCUFJ-OAHLLOKOSA-N 0 0 439.494 -0.023 20 0 IBADRN COCCCNC(=O)[C@@H](C)S(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1 ZINC001116810156 1073048933 /nfs/dbraw/zinc/04/89/33/1073048933.db2.gz KIXLEHMZXUDHCS-CABCVRRESA-N 0 0 445.542 -0.391 20 0 IBADRN COCCCNC(=O)[C@H](C)S(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1 ZINC001116810157 1073048908 /nfs/dbraw/zinc/04/89/08/1073048908.db2.gz KIXLEHMZXUDHCS-GJZGRUSLSA-N 0 0 445.542 -0.391 20 0 IBADRN COCCCNC(=O)[C@@H](C)S(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1 ZINC001116810158 1073048726 /nfs/dbraw/zinc/04/87/26/1073048726.db2.gz KIXLEHMZXUDHCS-HUUCEWRRSA-N 0 0 445.542 -0.391 20 0 IBADRN COCCCNC(=O)[C@H](C)S(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1 ZINC001116810159 1073048763 /nfs/dbraw/zinc/04/87/63/1073048763.db2.gz KIXLEHMZXUDHCS-LSDHHAIUSA-N 0 0 445.542 -0.391 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC001116810216 1073048814 /nfs/dbraw/zinc/04/88/14/1073048814.db2.gz LSCWCQYVHXQREF-GDBMZVCRSA-N 0 0 425.511 -0.088 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC001116810217 1073048686 /nfs/dbraw/zinc/04/86/86/1073048686.db2.gz LSCWCQYVHXQREF-GOEBONIOSA-N 0 0 425.511 -0.088 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC001116810218 1073048856 /nfs/dbraw/zinc/04/88/56/1073048856.db2.gz LSCWCQYVHXQREF-HOCLYGCPSA-N 0 0 425.511 -0.088 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)C1 ZINC001116810219 1073048835 /nfs/dbraw/zinc/04/88/35/1073048835.db2.gz LSCWCQYVHXQREF-ZBFHGGJFSA-N 0 0 425.511 -0.088 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)c1nnc(N2CCOCC2)n1CC(F)(F)F ZINC001116810431 1073048924 /nfs/dbraw/zinc/04/89/24/1073048924.db2.gz RMUAREJFVIMPQS-UHFFFAOYSA-N 0 0 449.477 -0.268 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1CC(F)(F)F)NC[C@H]1CCCO1 ZINC001116810451 1073048867 /nfs/dbraw/zinc/04/88/67/1073048867.db2.gz RYKDOBFKWTYRHZ-LLVKDONJSA-N 0 0 441.432 -0.254 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1CC(F)(F)F)NC[C@@H]1CCCO1 ZINC001116810452 1073048822 /nfs/dbraw/zinc/04/88/22/1073048822.db2.gz RYKDOBFKWTYRHZ-NSHDSACASA-N 0 0 441.432 -0.254 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC001116811357 1073048666 /nfs/dbraw/zinc/04/86/66/1073048666.db2.gz QCNVLYYGXTZQFP-CABCVRRESA-N 0 0 432.495 -0.214 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC001116811366 1073048842 /nfs/dbraw/zinc/04/88/42/1073048842.db2.gz QCNVLYYGXTZQFP-GJZGRUSLSA-N 0 0 432.495 -0.214 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC001116811368 1073048780 /nfs/dbraw/zinc/04/87/80/1073048780.db2.gz QCNVLYYGXTZQFP-HUUCEWRRSA-N 0 0 432.495 -0.214 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC001116811369 1073048806 /nfs/dbraw/zinc/04/88/06/1073048806.db2.gz QCNVLYYGXTZQFP-LSDHHAIUSA-N 0 0 432.495 -0.214 20 0 IBADRN Cc1cc(CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)ccc1S(N)(=O)=O ZINC001116815594 1073048880 /nfs/dbraw/zinc/04/88/80/1073048880.db2.gz SPJNGLFGAFHIPH-UHFFFAOYSA-N 0 0 432.568 -0.049 20 0 IBADRN O=C(NCC1(NC(=O)c2cnc3n(c2=O)CCS3)CC1)c1cnc2n(c1=O)CCS2 ZINC001116817703 1073048794 /nfs/dbraw/zinc/04/87/94/1073048794.db2.gz XGWFHAWTSNIRNN-UHFFFAOYSA-N 0 0 446.514 -0.296 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)OCC(=O)N2CCN(c3cnccn3)CC2)cc1 ZINC001116818150 1073048737 /nfs/dbraw/zinc/04/87/37/1073048737.db2.gz OJQADQSNQUUDBR-UHFFFAOYSA-N 0 0 433.490 -0.181 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(C[C@H](O)CO[C@@H](C)COC)c(=O)c1=O ZINC001116818981 1073048703 /nfs/dbraw/zinc/04/87/03/1073048703.db2.gz ICEWFIGVPFHJIN-KBPBESRZSA-N 0 0 443.522 -0.154 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(C[C@H](O)CO[C@H](C)COC)c(=O)c1=O ZINC001116818982 1073049220 /nfs/dbraw/zinc/04/92/20/1073049220.db2.gz ICEWFIGVPFHJIN-KGLIPLIRSA-N 0 0 443.522 -0.154 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(C[C@@H](O)CO[C@@H](C)COC)c(=O)c1=O ZINC001116818985 1073049134 /nfs/dbraw/zinc/04/91/34/1073049134.db2.gz ICEWFIGVPFHJIN-UONOGXRCSA-N 0 0 443.522 -0.154 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(C[C@@H](O)CO[C@H](C)COC)c(=O)c1=O ZINC001116818987 1073049229 /nfs/dbraw/zinc/04/92/29/1073049229.db2.gz ICEWFIGVPFHJIN-ZIAGYGMSSA-N 0 0 443.522 -0.154 20 0 IBADRN Cn1c2ncn(CC(=O)N(CC(F)F)C3CCS(=O)(=O)CC3)c2c(=O)n(C)c1=O ZINC001116819619 1073049168 /nfs/dbraw/zinc/04/91/68/1073049168.db2.gz WMNOZPZGPWCHGV-UHFFFAOYSA-N 0 0 433.437 -0.895 20 0 IBADRN CC1(C)NC(=O)N(CCS(=O)(=O)CC(=O)N2CCC(C(=O)N3CCCC3)CC2)C1=O ZINC001116819726 1073049209 /nfs/dbraw/zinc/04/92/09/1073049209.db2.gz RMMFVFYLLPBMPW-UHFFFAOYSA-N 0 0 442.538 -0.407 20 0 IBADRN C[C@H](OC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001116825141 1073049240 /nfs/dbraw/zinc/04/92/40/1073049240.db2.gz HUKDOLLNLYZJAB-DZGCQCFKSA-N 0 0 447.531 -0.428 20 0 IBADRN C[C@@H](OC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001116825142 1073049199 /nfs/dbraw/zinc/04/91/99/1073049199.db2.gz HUKDOLLNLYZJAB-HIFRSBDPSA-N 0 0 447.531 -0.428 20 0 IBADRN C[C@@H](OC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001116825143 1073049272 /nfs/dbraw/zinc/04/92/72/1073049272.db2.gz HUKDOLLNLYZJAB-UKRRQHHQSA-N 0 0 447.531 -0.428 20 0 IBADRN C[C@H](OC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001116825145 1073049141 /nfs/dbraw/zinc/04/91/41/1073049141.db2.gz HUKDOLLNLYZJAB-ZFWWWQNUSA-N 0 0 447.531 -0.428 20 0 IBADRN CC(C)N(CCCNC(=O)CS(=O)(=O)Cc1nnc2n1CCCC2)S(C)(=O)=O ZINC001116829539 1073049123 /nfs/dbraw/zinc/04/91/23/1073049123.db2.gz INMFOPRUKWFPGJ-UHFFFAOYSA-N 0 0 435.572 -0.295 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N(CC(F)F)C3CCS(=O)(=O)CC3)cnc2n(C)c1=O ZINC001116834407 1073049193 /nfs/dbraw/zinc/04/91/93/1073049193.db2.gz KNUXREWAJBDGGQ-UHFFFAOYSA-N 0 0 430.433 -0.083 20 0 IBADRN Cc1nc(Cn2cc(C(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)nn2)no1 ZINC001116834833 1073049159 /nfs/dbraw/zinc/04/91/59/1073049159.db2.gz VVYWJPODAOUCJC-CYBMUJFWSA-N 0 0 425.471 -0.716 20 0 IBADRN Cc1nc(Cn2cc(C(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)nn2)no1 ZINC001116834834 1073049150 /nfs/dbraw/zinc/04/91/50/1073049150.db2.gz VVYWJPODAOUCJC-ZDUSSCGKSA-N 0 0 425.471 -0.716 20 0 IBADRN Cn1nnc(CNC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)n1 ZINC001116834966 1073049251 /nfs/dbraw/zinc/04/92/51/1073049251.db2.gz PQSSUDKYKAWJFB-UHFFFAOYSA-N 0 0 448.509 -0.633 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)Cc2ccc(S(=O)(=O)CCO)cc2)C1 ZINC001116838221 1073049262 /nfs/dbraw/zinc/04/92/62/1073049262.db2.gz AGZVCHMOWIGLNB-INIZCTEOSA-N 0 0 426.491 -0.335 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)Cc2ccc(S(=O)(=O)CCO)cc2)C1 ZINC001116838222 1073049181 /nfs/dbraw/zinc/04/91/81/1073049181.db2.gz AGZVCHMOWIGLNB-MRXNPFEDSA-N 0 0 426.491 -0.335 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc(-c3cnn(C)c3)nn1)C2 ZINC001116840263 1073049608 /nfs/dbraw/zinc/04/96/08/1073049608.db2.gz HTETXRCPSNFZGC-UHFFFAOYSA-N 0 0 426.441 -0.629 20 0 IBADRN Cc1cn(C)c(CC(=O)NCCN2C(=O)CCC2=O)c1C(=O)NCCN1C(=O)CCC1=O ZINC001116842663 1073049741 /nfs/dbraw/zinc/04/97/41/1073049741.db2.gz DZANTCMSLPLSKO-UHFFFAOYSA-N 0 0 445.476 -0.980 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001116843549 1073049713 /nfs/dbraw/zinc/04/97/13/1073049713.db2.gz CYRCPXMUPCMKBI-GOSISDBHSA-N 0 0 440.453 -0.339 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001116843556 1073049552 /nfs/dbraw/zinc/04/95/52/1073049552.db2.gz CYRCPXMUPCMKBI-SFHVURJKSA-N 0 0 440.453 -0.339 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001116843639 1073049494 /nfs/dbraw/zinc/04/94/94/1073049494.db2.gz DZVMYKHIODKXFB-GFCCVEGCSA-N 0 0 447.535 -0.009 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001116843640 1073049728 /nfs/dbraw/zinc/04/97/28/1073049728.db2.gz DZVMYKHIODKXFB-LBPRGKRZSA-N 0 0 447.535 -0.009 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)c2C)no1 ZINC001116850554 1073049530 /nfs/dbraw/zinc/04/95/30/1073049530.db2.gz VBIMRZMGXQQAPW-AWEZNQCLSA-N 0 0 439.498 -0.407 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)c2C)no1 ZINC001116850555 1073049686 /nfs/dbraw/zinc/04/96/86/1073049686.db2.gz VBIMRZMGXQQAPW-CQSZACIVSA-N 0 0 439.498 -0.407 20 0 IBADRN Cn1ncc2c1n(C)cc(C(=O)Nc1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)c2=O ZINC001116854948 1073049622 /nfs/dbraw/zinc/04/96/22/1073049622.db2.gz QVCRFFJJHIAEQT-UHFFFAOYSA-N 0 0 444.473 -0.355 20 0 IBADRN O=C(c1cc(Br)c(C(=O)N2C[C@H](O)C[C@H](O)C2)o1)N1C[C@H](O)C[C@H](O)C1 ZINC001116858680 1073049758 /nfs/dbraw/zinc/04/97/58/1073049758.db2.gz FIDIMWDPHXJKBW-DTIDVZRVSA-N 0 0 433.255 -0.823 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc1F ZINC001116859956 1073049700 /nfs/dbraw/zinc/04/97/00/1073049700.db2.gz DRENQLUOMUGGCV-UHFFFAOYSA-N 0 0 428.486 -0.051 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC001116861868 1073049513 /nfs/dbraw/zinc/04/95/13/1073049513.db2.gz AEBHBDOVIXUEHW-AWEZNQCLSA-N 0 0 429.520 -0.414 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC001116861872 1073049772 /nfs/dbraw/zinc/04/97/72/1073049772.db2.gz AEBHBDOVIXUEHW-CQSZACIVSA-N 0 0 429.520 -0.414 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001116864041 1073049503 /nfs/dbraw/zinc/04/95/03/1073049503.db2.gz XHJVSWYYKFRTFC-IBGZPJMESA-N 0 0 427.523 -0.311 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001116864042 1073049570 /nfs/dbraw/zinc/04/95/70/1073049570.db2.gz XHJVSWYYKFRTFC-LJQANCHMSA-N 0 0 427.523 -0.311 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)c3csc(C)n3)CC2)CC1 ZINC001116868718 1073049592 /nfs/dbraw/zinc/04/95/92/1073049592.db2.gz KDRDQXBIFPYOJK-UHFFFAOYSA-N 0 0 429.568 -0.115 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCN(C)C(=O)C1 ZINC001116870719 1073049563 /nfs/dbraw/zinc/04/95/63/1073049563.db2.gz ZEMIGEKYRQETSJ-DZGCQCFKSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCN(C)C(=O)C1 ZINC001116870721 1073049541 /nfs/dbraw/zinc/04/95/41/1073049541.db2.gz ZEMIGEKYRQETSJ-HIFRSBDPSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCN(C)C(=O)C1 ZINC001116870724 1073049980 /nfs/dbraw/zinc/04/99/80/1073049980.db2.gz ZEMIGEKYRQETSJ-UKRRQHHQSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCN(C)C(=O)C1 ZINC001116870727 1073050087 /nfs/dbraw/zinc/05/00/87/1073050087.db2.gz ZEMIGEKYRQETSJ-ZFWWWQNUSA-N 0 0 425.507 -0.035 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001116870994 1073050061 /nfs/dbraw/zinc/05/00/61/1073050061.db2.gz YUVOHTCVJGEDPB-FQEVSTJZSA-N 0 0 441.550 -0.003 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001116870996 1073050049 /nfs/dbraw/zinc/05/00/49/1073050049.db2.gz YUVOHTCVJGEDPB-HXUWFJFHSA-N 0 0 441.550 -0.003 20 0 IBADRN CC(C)[C@H](NC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001116874724 1073049999 /nfs/dbraw/zinc/04/99/99/1073049999.db2.gz PIEREAOIKGDDKK-BLGFXRMMSA-N 0 0 443.508 -0.566 20 0 IBADRN CC(C)[C@@H](NC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001116874725 1073049986 /nfs/dbraw/zinc/04/99/86/1073049986.db2.gz PIEREAOIKGDDKK-CNZFZVQHSA-N 0 0 443.508 -0.566 20 0 IBADRN CC(C)[C@@H](NC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001116874726 1073050149 /nfs/dbraw/zinc/05/01/49/1073050149.db2.gz PIEREAOIKGDDKK-GFOMBABLSA-N 0 0 443.508 -0.566 20 0 IBADRN CC(C)[C@H](NC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001116874727 1073050024 /nfs/dbraw/zinc/05/00/24/1073050024.db2.gz PIEREAOIKGDDKK-GIFJBRJJSA-N 0 0 443.508 -0.566 20 0 IBADRN NC(=O)c1ccccc1CCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116876142 1073050103 /nfs/dbraw/zinc/05/01/03/1073050103.db2.gz WVJBOSXPPVUYSN-INIZCTEOSA-N 0 0 436.534 -0.834 20 0 IBADRN NC(=O)c1ccccc1CCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116876148 1073050027 /nfs/dbraw/zinc/05/00/27/1073050027.db2.gz WVJBOSXPPVUYSN-MRXNPFEDSA-N 0 0 436.534 -0.834 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)OCC(=O)N1CCC(C(N)=O)CC1 ZINC001116877484 1073050009 /nfs/dbraw/zinc/05/00/09/1073050009.db2.gz AYOUKIPXYRBJEN-KRWDZBQOSA-N 0 0 433.383 -0.115 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)OCC(=O)N1CCC(C(N)=O)CC1 ZINC001116877498 1073050073 /nfs/dbraw/zinc/05/00/73/1073050073.db2.gz AYOUKIPXYRBJEN-QGZVFWFLSA-N 0 0 433.383 -0.115 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001116879526 1073050038 /nfs/dbraw/zinc/05/00/38/1073050038.db2.gz DLAODRFOADPGQS-AWEZNQCLSA-N 0 0 431.536 -0.759 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001116879527 1073050097 /nfs/dbraw/zinc/05/00/97/1073050097.db2.gz DLAODRFOADPGQS-CQSZACIVSA-N 0 0 431.536 -0.759 20 0 IBADRN Cn1cc(C(=O)CCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001116879604 1073050154 /nfs/dbraw/zinc/05/01/54/1073050154.db2.gz HMTANJNRJVBCHY-AWEZNQCLSA-N 0 0 432.524 -0.956 20 0 IBADRN Cn1cc(C(=O)CCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001116879605 1073050128 /nfs/dbraw/zinc/05/01/28/1073050128.db2.gz HMTANJNRJVBCHY-CQSZACIVSA-N 0 0 432.524 -0.956 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC001116887847 1073050428 /nfs/dbraw/zinc/05/04/28/1073050428.db2.gz LQUJQKPSBOFJQJ-DOTOQJQBSA-N 0 0 431.536 -0.409 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC001116887848 1073050433 /nfs/dbraw/zinc/05/04/33/1073050433.db2.gz LQUJQKPSBOFJQJ-NVXWUHKLSA-N 0 0 431.536 -0.409 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC001116887849 1073050414 /nfs/dbraw/zinc/05/04/14/1073050414.db2.gz LQUJQKPSBOFJQJ-RDJZCZTQSA-N 0 0 431.536 -0.409 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC001116887850 1073050530 /nfs/dbraw/zinc/05/05/30/1073050530.db2.gz LQUJQKPSBOFJQJ-WBVHZDCISA-N 0 0 431.536 -0.409 20 0 IBADRN Cc1ccc(-n2cnnc2)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001116889144 1073050424 /nfs/dbraw/zinc/05/04/24/1073050424.db2.gz DZLRAVPFCAVXED-INIZCTEOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1ccc(-n2cnnc2)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001116889145 1073050474 /nfs/dbraw/zinc/05/04/74/1073050474.db2.gz DZLRAVPFCAVXED-MRXNPFEDSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)OC(=O)C2(CO)COC2)cc1S(=O)(=O)N1CCOCC1 ZINC001116889246 1073050391 /nfs/dbraw/zinc/05/03/91/1073050391.db2.gz IYYVABYUPGONGH-AWEZNQCLSA-N 0 0 442.490 -0.105 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)OC(=O)C2(CO)COC2)cc1S(=O)(=O)N1CCOCC1 ZINC001116889247 1073050496 /nfs/dbraw/zinc/05/04/96/1073050496.db2.gz IYYVABYUPGONGH-CQSZACIVSA-N 0 0 442.490 -0.105 20 0 IBADRN COc1ccc(-n2c(C)nnc2S(=O)(=O)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001116889517 1073050509 /nfs/dbraw/zinc/05/05/09/1073050509.db2.gz CSNSHEXJTQGFAJ-GFCCVEGCSA-N 0 0 428.492 -0.339 20 0 IBADRN COc1ccc(-n2c(C)nnc2S(=O)(=O)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001116889518 1073050435 /nfs/dbraw/zinc/05/04/35/1073050435.db2.gz CSNSHEXJTQGFAJ-LBPRGKRZSA-N 0 0 428.492 -0.339 20 0 IBADRN O=C(COC(=O)C1(CO)COC1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001116889820 1073050515 /nfs/dbraw/zinc/05/05/15/1073050515.db2.gz RVYQVPQPNLDXHN-UHFFFAOYSA-N 0 0 434.417 -0.650 20 0 IBADRN O=C(COC(=O)C1(CO)COC1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC001116890091 1073050409 /nfs/dbraw/zinc/05/04/09/1073050409.db2.gz YYMXKZLLQXUIIS-UHFFFAOYSA-N 0 0 448.881 -0.149 20 0 IBADRN CNS(=O)(=O)NC1CCN(c2ccc(S(C)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC001116893662 1073050468 /nfs/dbraw/zinc/05/04/68/1073050468.db2.gz QHKLQSOYAFSEHQ-UHFFFAOYSA-N 0 0 425.554 -0.484 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2ccc(C(=O)NCC3(S(C)(=O)=O)CC3)nc2)CC1 ZINC001116896507 1073050490 /nfs/dbraw/zinc/05/04/90/1073050490.db2.gz PRAGHXRSLNRRGR-UHFFFAOYSA-N 0 0 429.520 -0.304 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)nc1 ZINC001116899300 1073050929 /nfs/dbraw/zinc/05/09/29/1073050929.db2.gz MLDHXRRBFTUZBW-UHFFFAOYSA-N 0 0 426.499 -0.066 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cn1 ZINC001116901099 1073050820 /nfs/dbraw/zinc/05/08/20/1073050820.db2.gz XTBGSSWWADUBCI-GMYLUUGSSA-N 0 0 442.519 -0.020 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cn1 ZINC001116901100 1073050755 /nfs/dbraw/zinc/05/07/55/1073050755.db2.gz XTBGSSWWADUBCI-HJFSHJIFSA-N 0 0 442.519 -0.020 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cn1 ZINC001116901101 1073050935 /nfs/dbraw/zinc/05/09/35/1073050935.db2.gz XTBGSSWWADUBCI-POXGOYDTSA-N 0 0 442.519 -0.020 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)cn1 ZINC001116901102 1073050876 /nfs/dbraw/zinc/05/08/76/1073050876.db2.gz XTBGSSWWADUBCI-SUMNFNSASA-N 0 0 442.519 -0.020 20 0 IBADRN O=C(NCC1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)C(F)(F)F ZINC001116904575 1073050775 /nfs/dbraw/zinc/05/07/75/1073050775.db2.gz BFTXRWXSNUVDKA-CHWSQXEVSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NCC1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)C(F)(F)F ZINC001116904576 1073050870 /nfs/dbraw/zinc/05/08/70/1073050870.db2.gz BFTXRWXSNUVDKA-OLZOCXBDSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NCC1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)C(F)(F)F ZINC001116904577 1073050721 /nfs/dbraw/zinc/05/07/21/1073050721.db2.gz BFTXRWXSNUVDKA-QWHCGFSZSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NCC1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)C(F)(F)F ZINC001116904578 1073050894 /nfs/dbraw/zinc/05/08/94/1073050894.db2.gz BFTXRWXSNUVDKA-STQMWFEESA-N 0 0 439.456 -0.061 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CS(=O)(=O)CCN1C(=O)NC(C)(C)C1=O ZINC001116904749 1073050915 /nfs/dbraw/zinc/05/09/15/1073050915.db2.gz WSIXPQYCDAIMOH-UHFFFAOYSA-N 0 0 434.540 -0.210 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001116905748 1073050905 /nfs/dbraw/zinc/05/09/05/1073050905.db2.gz MMURLPJJVNITKR-DLBZAZTESA-N 0 0 434.584 -0.868 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001116905749 1073050796 /nfs/dbraw/zinc/05/07/96/1073050796.db2.gz MMURLPJJVNITKR-IAGOWNOFSA-N 0 0 434.584 -0.868 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001116905750 1073050921 /nfs/dbraw/zinc/05/09/21/1073050921.db2.gz MMURLPJJVNITKR-IRXDYDNUSA-N 0 0 434.584 -0.868 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001116905751 1073050856 /nfs/dbraw/zinc/05/08/56/1073050856.db2.gz MMURLPJJVNITKR-SJORKVTESA-N 0 0 434.584 -0.868 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(Cc4nnc5n4CCOC5)CC3)cc2N1 ZINC001116905754 1073050831 /nfs/dbraw/zinc/05/08/31/1073050831.db2.gz MSQFVZMRYZIROV-UHFFFAOYSA-N 0 0 434.478 -0.354 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116909567 1073050927 /nfs/dbraw/zinc/05/09/27/1073050927.db2.gz ZHFPATXAECRINS-DZGCQCFKSA-N 0 0 433.596 -0.014 20 0 IBADRN CSC[C@@H](C)C(=O)N1CCC(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116909568 1073050841 /nfs/dbraw/zinc/05/08/41/1073050841.db2.gz ZHFPATXAECRINS-HIFRSBDPSA-N 0 0 433.596 -0.014 20 0 IBADRN CSC[C@@H](C)C(=O)N1CCC(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001116909569 1073050912 /nfs/dbraw/zinc/05/09/12/1073050912.db2.gz ZHFPATXAECRINS-UKRRQHHQSA-N 0 0 433.596 -0.014 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001116909570 1073050889 /nfs/dbraw/zinc/05/08/89/1073050889.db2.gz ZHFPATXAECRINS-ZFWWWQNUSA-N 0 0 433.596 -0.014 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001116909697 1073050883 /nfs/dbraw/zinc/05/08/83/1073050883.db2.gz FWSPRVOLJYMEPX-INIZCTEOSA-N 0 0 445.563 -0.756 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001116909698 1073051229 /nfs/dbraw/zinc/05/12/29/1073051229.db2.gz FWSPRVOLJYMEPX-MRXNPFEDSA-N 0 0 445.563 -0.756 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC001116910455 1073051305 /nfs/dbraw/zinc/05/13/05/1073051305.db2.gz HGLWWFAAKXVGOW-GFCCVEGCSA-N 0 0 437.565 -0.090 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC001116910456 1073051204 /nfs/dbraw/zinc/05/12/04/1073051204.db2.gz HGLWWFAAKXVGOW-LBPRGKRZSA-N 0 0 437.565 -0.090 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC[C@H](n2cncn2)C1)N1CC[C@@H](n2cncn2)C1 ZINC001116911224 1073051095 /nfs/dbraw/zinc/05/10/95/1073051095.db2.gz FXEAXKWPCQKKJK-GASCZTMLSA-N 0 0 444.543 -0.887 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC[C@H](n2cncn2)C1)N1CC[C@H](n2cncn2)C1 ZINC001116911228 1073051243 /nfs/dbraw/zinc/05/12/43/1073051243.db2.gz FXEAXKWPCQKKJK-GJZGRUSLSA-N 0 0 444.543 -0.887 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N1CC[C@@H](n2cncn2)C1)N1CC[C@@H](n2cncn2)C1 ZINC001116911229 1073051126 /nfs/dbraw/zinc/05/11/26/1073051126.db2.gz FXEAXKWPCQKKJK-HUUCEWRRSA-N 0 0 444.543 -0.887 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116912521 1073051217 /nfs/dbraw/zinc/05/12/17/1073051217.db2.gz RYRYAHVIISKZAL-BPLDGKMQSA-N 0 0 431.536 -0.591 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116912522 1073051139 /nfs/dbraw/zinc/05/11/39/1073051139.db2.gz RYRYAHVIISKZAL-SNPRPXQTSA-N 0 0 431.536 -0.591 20 0 IBADRN C[C@@H]1CCNC(=O)[C@@H]1NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116912523 1073051322 /nfs/dbraw/zinc/05/13/22/1073051322.db2.gz RYRYAHVIISKZAL-VHDGCEQUSA-N 0 0 431.536 -0.591 20 0 IBADRN C[C@@H]1CCNC(=O)[C@H]1NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001116912524 1073051114 /nfs/dbraw/zinc/05/11/14/1073051114.db2.gz RYRYAHVIISKZAL-YUELXQCFSA-N 0 0 431.536 -0.591 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NCCCO)CC2)cc1 ZINC001116913240 1073051269 /nfs/dbraw/zinc/05/12/69/1073051269.db2.gz UBNHXBUJLHRBQS-UHFFFAOYSA-N 0 0 427.523 -0.350 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@H](CO)[C@@H](O)C3)c2)CC1 ZINC001116914708 1073051353 /nfs/dbraw/zinc/05/13/53/1073051353.db2.gz BKSJQLZIMJJOAC-AEFFLSMTSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@H](CO)[C@H](O)C3)c2)CC1 ZINC001116914709 1073051284 /nfs/dbraw/zinc/05/12/84/1073051284.db2.gz BKSJQLZIMJJOAC-FUHWJXTLSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@H](CO)[C@H](O)C3)c2)CC1 ZINC001116914710 1073051331 /nfs/dbraw/zinc/05/13/31/1073051331.db2.gz BKSJQLZIMJJOAC-SJLPKXTDSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@H](CO)[C@@H](O)C3)c2)CC1 ZINC001116914711 1073051253 /nfs/dbraw/zinc/05/12/53/1073051253.db2.gz BKSJQLZIMJJOAC-WMZOPIPTSA-N 0 0 425.507 -0.645 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)cn1 ZINC001116914764 1073051295 /nfs/dbraw/zinc/05/12/95/1073051295.db2.gz DNJZABHSUMOEHS-IZPCHGGPSA-N 0 0 447.502 -0.223 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)cn1 ZINC001116914765 1073051315 /nfs/dbraw/zinc/05/13/15/1073051315.db2.gz DNJZABHSUMOEHS-MLNJSSBLSA-N 0 0 447.502 -0.223 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)cn1 ZINC001116914766 1073051340 /nfs/dbraw/zinc/05/13/40/1073051340.db2.gz DNJZABHSUMOEHS-MMEWPQADSA-N 0 0 447.502 -0.223 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)cn1 ZINC001116914767 1073051151 /nfs/dbraw/zinc/05/11/51/1073051151.db2.gz DNJZABHSUMOEHS-ONSXPEOJSA-N 0 0 447.502 -0.223 20 0 IBADRN C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C(=O)N1CCCC1 ZINC001116915496 1073051725 /nfs/dbraw/zinc/05/17/25/1073051725.db2.gz HNXWUHWKIXNBLJ-GOEBONIOSA-N 0 0 445.563 -0.105 20 0 IBADRN C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C(=O)N1CCCC1 ZINC001116915497 1073051678 /nfs/dbraw/zinc/05/16/78/1073051678.db2.gz HNXWUHWKIXNBLJ-HOCLYGCPSA-N 0 0 445.563 -0.105 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)no1 ZINC001116917349 1073051748 /nfs/dbraw/zinc/05/17/48/1073051748.db2.gz HBXKTYIGQMWNPU-CHWSQXEVSA-N 0 0 431.515 -0.318 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)no1 ZINC001116917353 1073051638 /nfs/dbraw/zinc/05/16/38/1073051638.db2.gz HBXKTYIGQMWNPU-OLZOCXBDSA-N 0 0 431.515 -0.318 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)no1 ZINC001116917356 1073051644 /nfs/dbraw/zinc/05/16/44/1073051644.db2.gz HBXKTYIGQMWNPU-QWHCGFSZSA-N 0 0 431.515 -0.318 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)no1 ZINC001116917358 1073051761 /nfs/dbraw/zinc/05/17/61/1073051761.db2.gz HBXKTYIGQMWNPU-STQMWFEESA-N 0 0 431.515 -0.318 20 0 IBADRN COC(=O)C1(NCC(=O)NCc2cccc(C(=O)NC3CC3)c2)CCS(=O)(=O)CC1 ZINC001116918999 1073051736 /nfs/dbraw/zinc/05/17/36/1073051736.db2.gz UGDSPUCLSOCIMK-UHFFFAOYSA-N 0 0 437.518 -0.095 20 0 IBADRN COC(=O)C1(NCC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CCS(=O)(=O)CC1 ZINC001116919141 1073051688 /nfs/dbraw/zinc/05/16/88/1073051688.db2.gz ZNZITLUKEYYIOY-UHFFFAOYSA-N 0 0 433.433 -0.271 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001116921314 1073051653 /nfs/dbraw/zinc/05/16/53/1073051653.db2.gz CXNOZJRZWVGLIV-BBWFWOEESA-N 0 0 446.566 -0.230 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001116921315 1073051622 /nfs/dbraw/zinc/05/16/22/1073051622.db2.gz CXNOZJRZWVGLIV-BRWVUGGUSA-N 0 0 446.566 -0.230 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001116921316 1073051609 /nfs/dbraw/zinc/05/16/09/1073051609.db2.gz CXNOZJRZWVGLIV-GVDBMIGSSA-N 0 0 446.566 -0.230 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001116921317 1073051596 /nfs/dbraw/zinc/05/15/96/1073051596.db2.gz CXNOZJRZWVGLIV-ZACQAIPSSA-N 0 0 446.566 -0.230 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)c1 ZINC001116921322 1073051706 /nfs/dbraw/zinc/05/17/06/1073051706.db2.gz CZDCFTLFWZPOTB-UHFFFAOYSA-N 0 0 436.483 -0.026 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CCS(=O)(=O)CC1 ZINC001116922286 1073051716 /nfs/dbraw/zinc/05/17/16/1073051716.db2.gz WPDOIJMUCYGSTN-UHFFFAOYSA-N 0 0 430.504 -0.023 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2cnn(-c3ccccn3)c2C)CCS(=O)(=O)CC1 ZINC001116924141 1073051586 /nfs/dbraw/zinc/05/15/86/1073051586.db2.gz FUDAVOAGRGRMMB-UHFFFAOYSA-N 0 0 428.492 -0.026 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)CC1 ZINC001116924152 1073051561 /nfs/dbraw/zinc/05/15/61/1073051561.db2.gz GIIPZJWKCHSYSB-UHFFFAOYSA-N 0 0 426.513 -0.353 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H]1C(=O)NCC[C@@H]1C ZINC001116924934 1073051698 /nfs/dbraw/zinc/05/16/98/1073051698.db2.gz JKPVOSSQKALOBY-BJJXKVORSA-N 0 0 425.507 -0.131 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@H]1C(=O)NCC[C@@H]1C ZINC001116924935 1073052071 /nfs/dbraw/zinc/05/20/71/1073052071.db2.gz JKPVOSSQKALOBY-JGGQBBKZSA-N 0 0 425.507 -0.131 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@H]1C(=O)NCC[C@H]1C ZINC001116924936 1073052099 /nfs/dbraw/zinc/05/20/99/1073052099.db2.gz JKPVOSSQKALOBY-XNRPHZJLSA-N 0 0 425.507 -0.131 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H]1C(=O)NCC[C@H]1C ZINC001116924937 1073052035 /nfs/dbraw/zinc/05/20/35/1073052035.db2.gz JKPVOSSQKALOBY-XPKDYRNWSA-N 0 0 425.507 -0.131 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@H](CS(N)(=O)=O)C3)CC2)cn1C ZINC001116925084 1073052001 /nfs/dbraw/zinc/05/20/01/1073052001.db2.gz LBTIYAAHOMBFCF-AWEZNQCLSA-N 0 0 447.583 -0.344 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@@H](CS(N)(=O)=O)C3)CC2)cn1C ZINC001116925085 1073052081 /nfs/dbraw/zinc/05/20/81/1073052081.db2.gz LBTIYAAHOMBFCF-CQSZACIVSA-N 0 0 447.583 -0.344 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001116926595 1073052059 /nfs/dbraw/zinc/05/20/59/1073052059.db2.gz MZAKFXXIZWMWON-UHFFFAOYSA-N 0 0 435.499 -0.260 20 0 IBADRN CCOC(=O)c1ncc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001116926845 1073051975 /nfs/dbraw/zinc/05/19/75/1073051975.db2.gz LWMFXKPADBOZFD-GFCCVEGCSA-N 0 0 445.519 -0.192 20 0 IBADRN CCOC(=O)c1ncc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001116926847 1073052091 /nfs/dbraw/zinc/05/20/91/1073052091.db2.gz LWMFXKPADBOZFD-LBPRGKRZSA-N 0 0 445.519 -0.192 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC3(CO)COC3)ccc2F)CC1 ZINC001116927075 1073052048 /nfs/dbraw/zinc/05/20/48/1073052048.db2.gz UOGZKJXUNRCJGP-UHFFFAOYSA-N 0 0 429.470 -0.583 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@H](S(C)(=O)=O)C3)c2)CC1 ZINC001116931862 1073052123 /nfs/dbraw/zinc/05/21/23/1073052123.db2.gz QIHVLXYCWPRXTD-KRWDZBQOSA-N 0 0 443.547 -0.202 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@H](S(C)(=O)=O)C3)c2)CC1 ZINC001116931863 1073051961 /nfs/dbraw/zinc/05/19/61/1073051961.db2.gz QIHVLXYCWPRXTD-QGZVFWFLSA-N 0 0 443.547 -0.202 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001116932273 1073052115 /nfs/dbraw/zinc/05/21/15/1073052115.db2.gz YVKYYQKGPRUAJA-HNNXBMFYSA-N 0 0 443.547 -0.154 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001116932274 1073052013 /nfs/dbraw/zinc/05/20/13/1073052013.db2.gz YVKYYQKGPRUAJA-OAHLLOKOSA-N 0 0 443.547 -0.154 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC2(OCCO)CCOCC2)c1 ZINC001116933705 1073052106 /nfs/dbraw/zinc/05/21/06/1073052106.db2.gz PJYCUBDEUNSXHP-UHFFFAOYSA-N 0 0 443.522 -0.659 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)c1 ZINC001116933945 1073051984 /nfs/dbraw/zinc/05/19/84/1073051984.db2.gz UEBRNHTVPITUBJ-UHFFFAOYSA-N 0 0 448.567 -0.030 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)NCC1(OCCO)CCOCC1 ZINC001116934185 1073051995 /nfs/dbraw/zinc/05/19/95/1073051995.db2.gz XFEIPSCBPHFCMO-UHFFFAOYSA-N 0 0 434.493 -0.384 20 0 IBADRN O=C(CCNC(=O)NCC1(OCCO)CCOCC1)N1CCN(c2ncccn2)CC1 ZINC001116934316 1073052390 /nfs/dbraw/zinc/05/23/90/1073052390.db2.gz ZNHFRKMGVVCNFA-UHFFFAOYSA-N 0 0 436.513 -0.627 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NCCC1CCN(C(=O)CCN2C(=O)CCC2=O)CC1 ZINC001116936409 1073052319 /nfs/dbraw/zinc/05/23/19/1073052319.db2.gz GARURSXCAQAQKO-UHFFFAOYSA-N 0 0 434.493 -0.191 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC001116936525 1073052405 /nfs/dbraw/zinc/05/24/05/1073052405.db2.gz GYXYVMDXKQLEFK-GFCCVEGCSA-N 0 0 435.499 -0.549 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1F ZINC001116936526 1073052377 /nfs/dbraw/zinc/05/23/77/1073052377.db2.gz GYXYVMDXKQLEFK-LBPRGKRZSA-N 0 0 435.499 -0.549 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCc3nncn3C)ccc2F)CC1 ZINC001116936982 1073052439 /nfs/dbraw/zinc/05/24/39/1073052439.db2.gz NEOSNZYBSXSODY-UHFFFAOYSA-N 0 0 438.485 -0.221 20 0 IBADRN C[C@@H](C(=O)NCCC1CCN(C(=O)[C@H](C)N2C(=O)CCC2=O)CC1)N1C(=O)CCC1=O ZINC001116937461 1073052469 /nfs/dbraw/zinc/05/24/69/1073052469.db2.gz ZYGFZJIEUDOIQI-KBPBESRZSA-N 0 0 434.493 -0.194 20 0 IBADRN C[C@H](C(=O)NCCC1CCN(C(=O)[C@H](C)N2C(=O)CCC2=O)CC1)N1C(=O)CCC1=O ZINC001116937462 1073052573 /nfs/dbraw/zinc/05/25/73/1073052573.db2.gz ZYGFZJIEUDOIQI-KGLIPLIRSA-N 0 0 434.493 -0.194 20 0 IBADRN C[C@H](C(=O)N1CCC(CCNC(=O)[C@H](C)N2C(=O)CCC2=O)CC1)N1C(=O)CCC1=O ZINC001116937463 1073052609 /nfs/dbraw/zinc/05/26/09/1073052609.db2.gz ZYGFZJIEUDOIQI-UONOGXRCSA-N 0 0 434.493 -0.194 20 0 IBADRN C[C@H](C(=O)NCCC1CCN(C(=O)[C@@H](C)N2C(=O)CCC2=O)CC1)N1C(=O)CCC1=O ZINC001116937464 1073052481 /nfs/dbraw/zinc/05/24/81/1073052481.db2.gz ZYGFZJIEUDOIQI-ZIAGYGMSSA-N 0 0 434.493 -0.194 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC001116939693 1073052560 /nfs/dbraw/zinc/05/25/60/1073052560.db2.gz OURCLKBONKMBPK-UHFFFAOYSA-N 0 0 432.515 -0.580 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC001116940524 1073052492 /nfs/dbraw/zinc/05/24/92/1073052492.db2.gz XQDCJPWMGVQEOV-UHFFFAOYSA-N 0 0 426.486 -0.463 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001116944019 1073052421 /nfs/dbraw/zinc/05/24/21/1073052421.db2.gz FHTZYLKCHVLMFG-CABCVRRESA-N 0 0 425.555 -0.370 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001116944020 1073052352 /nfs/dbraw/zinc/05/23/52/1073052352.db2.gz FHTZYLKCHVLMFG-GJZGRUSLSA-N 0 0 425.555 -0.370 20 0 IBADRN C[C@H](CS(C)(=O)=O)C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001116944021 1073052580 /nfs/dbraw/zinc/05/25/80/1073052580.db2.gz FHTZYLKCHVLMFG-HUUCEWRRSA-N 0 0 425.555 -0.370 20 0 IBADRN C[C@@H](CS(C)(=O)=O)C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001116944022 1073052332 /nfs/dbraw/zinc/05/23/32/1073052332.db2.gz FHTZYLKCHVLMFG-LSDHHAIUSA-N 0 0 425.555 -0.370 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCOC1 ZINC001116945792 1073052605 /nfs/dbraw/zinc/05/26/05/1073052605.db2.gz HKUUKAIDUYYETJ-KBPBESRZSA-N 0 0 440.464 -0.891 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCOC1 ZINC001116945825 1073052516 /nfs/dbraw/zinc/05/25/16/1073052516.db2.gz HKUUKAIDUYYETJ-KGLIPLIRSA-N 0 0 440.464 -0.891 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCOC1 ZINC001116945830 1073052447 /nfs/dbraw/zinc/05/24/47/1073052447.db2.gz HKUUKAIDUYYETJ-UONOGXRCSA-N 0 0 440.464 -0.891 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCOC1 ZINC001116945834 1073052525 /nfs/dbraw/zinc/05/25/25/1073052525.db2.gz HKUUKAIDUYYETJ-ZIAGYGMSSA-N 0 0 440.464 -0.891 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CCCN2C(=O)CCC2=O)CC3)CC1 ZINC001116946307 1073052538 /nfs/dbraw/zinc/05/25/38/1073052538.db2.gz YVDAISKNBCZGGU-INIZCTEOSA-N 0 0 444.536 -0.122 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CCCN2C(=O)CCC2=O)CC3)CC1 ZINC001116946308 1073052804 /nfs/dbraw/zinc/05/28/04/1073052804.db2.gz YVDAISKNBCZGGU-MRXNPFEDSA-N 0 0 444.536 -0.122 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCCO1)N1CCCC1 ZINC001116946487 1073052988 /nfs/dbraw/zinc/05/29/88/1073052988.db2.gz LCAKVEZSYQLOJO-CABCVRRESA-N 0 0 431.497 -0.770 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCCCO1)N1CCCC1 ZINC001116946488 1073052879 /nfs/dbraw/zinc/05/28/79/1073052879.db2.gz LCAKVEZSYQLOJO-GJZGRUSLSA-N 0 0 431.497 -0.770 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCCCO1)N1CCCC1 ZINC001116946489 1073053046 /nfs/dbraw/zinc/05/30/46/1073053046.db2.gz LCAKVEZSYQLOJO-HUUCEWRRSA-N 0 0 431.497 -0.770 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCCCO1)N1CCCC1 ZINC001116946490 1073053037 /nfs/dbraw/zinc/05/30/37/1073053037.db2.gz LCAKVEZSYQLOJO-LSDHHAIUSA-N 0 0 431.497 -0.770 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cn1 ZINC001116954375 1073053001 /nfs/dbraw/zinc/05/30/01/1073053001.db2.gz UXGDWLSMQVQCPN-CABCVRRESA-N 0 0 426.495 -0.652 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cn1 ZINC001116954376 1073052960 /nfs/dbraw/zinc/05/29/60/1073052960.db2.gz UXGDWLSMQVQCPN-GJZGRUSLSA-N 0 0 426.495 -0.652 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cn1 ZINC001116954377 1073052937 /nfs/dbraw/zinc/05/29/37/1073052937.db2.gz UXGDWLSMQVQCPN-HUUCEWRRSA-N 0 0 426.495 -0.652 20 0 IBADRN CC(C)(C)OC(=O)c1cnc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cn1 ZINC001116954378 1073052977 /nfs/dbraw/zinc/05/29/77/1073052977.db2.gz UXGDWLSMQVQCPN-LSDHHAIUSA-N 0 0 426.495 -0.652 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(O)(C(N)=O)CC1 ZINC001116970845 1073052828 /nfs/dbraw/zinc/05/28/28/1073052828.db2.gz YISREXCOSXNALM-UHFFFAOYSA-N 0 0 427.479 -0.832 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC001116973241 1073052892 /nfs/dbraw/zinc/05/28/92/1073052892.db2.gz ZUGRQZCMAVHASR-UHFFFAOYSA-N 0 0 446.551 -0.793 20 0 IBADRN C[C@@H]1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CCS1(=O)=O ZINC001116998501 1073053024 /nfs/dbraw/zinc/05/30/24/1073053024.db2.gz AVQUVVKMZRUPCL-LLVKDONJSA-N 0 0 425.554 -0.402 20 0 IBADRN C[C@H]1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CCS1(=O)=O ZINC001116998502 1073052845 /nfs/dbraw/zinc/05/28/45/1073052845.db2.gz AVQUVVKMZRUPCL-NSHDSACASA-N 0 0 425.554 -0.402 20 0 IBADRN COCCN(C(=O)CNc1cccc(C(=O)N(C)CC(N)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC001117047625 1073052861 /nfs/dbraw/zinc/05/28/61/1073052861.db2.gz WDSMWHGTZILURR-INIZCTEOSA-N 0 0 440.522 -0.682 20 0 IBADRN COCCN(C(=O)CNc1cccc(C(=O)N(C)CC(N)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001117047626 1073053055 /nfs/dbraw/zinc/05/30/55/1073053055.db2.gz WDSMWHGTZILURR-MRXNPFEDSA-N 0 0 440.522 -0.682 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)ccc1OC ZINC001117055679 1073052911 /nfs/dbraw/zinc/05/29/11/1073052911.db2.gz LNVPJVMAYJFPOI-UHFFFAOYSA-N 0 0 434.536 -0.061 20 0 IBADRN CCN(CCNC(=O)N(C)[C@H]1CCS(=O)(=O)C1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001117065830 1073052949 /nfs/dbraw/zinc/05/29/49/1073052949.db2.gz XKWDWEVVIXUYHC-KBPBESRZSA-N 0 0 438.572 -0.624 20 0 IBADRN CCN(CCNC(=O)N(C)[C@@H]1CCS(=O)(=O)C1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001117065831 1073053011 /nfs/dbraw/zinc/05/30/11/1073053011.db2.gz XKWDWEVVIXUYHC-KGLIPLIRSA-N 0 0 438.572 -0.624 20 0 IBADRN CCN(CCNC(=O)N(C)[C@H]1CCS(=O)(=O)C1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001117065832 1073052923 /nfs/dbraw/zinc/05/29/23/1073052923.db2.gz XKWDWEVVIXUYHC-UONOGXRCSA-N 0 0 438.572 -0.624 20 0 IBADRN CCN(CCNC(=O)N(C)[C@@H]1CCS(=O)(=O)C1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001117065833 1073053064 /nfs/dbraw/zinc/05/30/64/1073053064.db2.gz XKWDWEVVIXUYHC-ZIAGYGMSSA-N 0 0 438.572 -0.624 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001117069161 1073053442 /nfs/dbraw/zinc/05/34/42/1073053442.db2.gz SMQLHPIVDZEGFG-KBPBESRZSA-N 0 0 443.499 -0.148 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001117069164 1073053387 /nfs/dbraw/zinc/05/33/87/1073053387.db2.gz SMQLHPIVDZEGFG-KGLIPLIRSA-N 0 0 443.499 -0.148 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001117069166 1073053280 /nfs/dbraw/zinc/05/32/80/1073053280.db2.gz SMQLHPIVDZEGFG-UONOGXRCSA-N 0 0 443.499 -0.148 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001117069169 1073053340 /nfs/dbraw/zinc/05/33/40/1073053340.db2.gz SMQLHPIVDZEGFG-ZIAGYGMSSA-N 0 0 443.499 -0.148 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)c1 ZINC001117080225 1073053366 /nfs/dbraw/zinc/05/33/66/1073053366.db2.gz AOFPVAZNISTJRU-UHFFFAOYSA-N 0 0 431.941 -0.039 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCC3(O)CCS(=O)(=O)CC3)ccc2O1 ZINC001117081534 1073053326 /nfs/dbraw/zinc/05/33/26/1073053326.db2.gz QPYZTPOOEAGWKR-HNNXBMFYSA-N 0 0 433.504 -0.229 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCC3(O)CCS(=O)(=O)CC3)ccc2O1 ZINC001117081535 1073053264 /nfs/dbraw/zinc/05/32/64/1073053264.db2.gz QPYZTPOOEAGWKR-OAHLLOKOSA-N 0 0 433.504 -0.229 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)c1 ZINC001117081852 1073053456 /nfs/dbraw/zinc/05/34/56/1073053456.db2.gz VLBGSTDWPCXBGJ-UHFFFAOYSA-N 0 0 425.550 -0.075 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1ccc(S(C)(=O)=O)cc1)S(C)(=O)=O ZINC001117090349 1073053236 /nfs/dbraw/zinc/05/32/36/1073053236.db2.gz MYSMXJXQVOMCRY-UHFFFAOYSA-N 0 0 440.565 -0.597 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)CC1 ZINC001117102760 1073053319 /nfs/dbraw/zinc/05/33/19/1073053319.db2.gz JWOPMCWBSBNKRL-UHFFFAOYSA-N 0 0 428.515 -0.758 20 0 IBADRN O=C(C[S@](=O)CCOCCN1C(=O)c2ccccc2C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC001117102785 1073053419 /nfs/dbraw/zinc/05/34/19/1073053419.db2.gz KXYUEFYSJXQOEN-CFJPQMKISA-N 0 0 442.515 -0.649 20 0 IBADRN O=C(C[S@](=O)CCOCCN1C(=O)c2ccccc2C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001117102786 1073053352 /nfs/dbraw/zinc/05/33/52/1073053352.db2.gz KXYUEFYSJXQOEN-DBDZUICISA-N 0 0 442.515 -0.649 20 0 IBADRN O=C(C[S@@](=O)CCOCCN1C(=O)c2ccccc2C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC001117102787 1073053431 /nfs/dbraw/zinc/05/34/31/1073053431.db2.gz KXYUEFYSJXQOEN-OIJAAMDRSA-N 0 0 442.515 -0.649 20 0 IBADRN O=C(C[S@@](=O)CCOCCN1C(=O)c2ccccc2C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001117102788 1073053468 /nfs/dbraw/zinc/05/34/68/1073053468.db2.gz KXYUEFYSJXQOEN-QYSRSOONSA-N 0 0 442.515 -0.649 20 0 IBADRN CCCCN(C(=O)CS(=O)(=O)c1nnc(CO)n1C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001117104910 1073053212 /nfs/dbraw/zinc/05/32/12/1073053212.db2.gz GFDAVBSGGQVOPV-CYBMUJFWSA-N 0 0 434.540 -0.305 20 0 IBADRN CCCCN(C(=O)CS(=O)(=O)c1nnc(CO)n1C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001117104913 1073053409 /nfs/dbraw/zinc/05/34/09/1073053409.db2.gz GFDAVBSGGQVOPV-ZDUSSCGKSA-N 0 0 434.540 -0.305 20 0 IBADRN CCN(C(=O)CS(=O)(=O)c1nnc(-c2ccccn2)n1C)[C@@H]1CCS(=O)(=O)C1 ZINC001117105220 1073053379 /nfs/dbraw/zinc/05/33/79/1073053379.db2.gz LFIVODNVKGGIPA-GFCCVEGCSA-N 0 0 427.508 -0.314 20 0 IBADRN CCN(C(=O)CS(=O)(=O)c1nnc(-c2ccccn2)n1C)[C@H]1CCS(=O)(=O)C1 ZINC001117105221 1073053255 /nfs/dbraw/zinc/05/32/55/1073053255.db2.gz LFIVODNVKGGIPA-LBPRGKRZSA-N 0 0 427.508 -0.314 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001117105854 1073053670 /nfs/dbraw/zinc/05/36/70/1073053670.db2.gz JKNYTCXUZYIOEN-UHFFFAOYSA-N 0 0 437.522 -0.949 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2ccc(OCC(=O)N(C)C)c(OC)c2)CC1 ZINC001117105900 1073053885 /nfs/dbraw/zinc/05/38/85/1073053885.db2.gz LPAFAIYSKFTCBJ-UHFFFAOYSA-N 0 0 442.538 -0.045 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@](O)(C(N)=O)C2)c(Br)c1 ZINC001117109887 1073053892 /nfs/dbraw/zinc/05/38/92/1073053892.db2.gz MKJIQAWGDOIQET-GFCCVEGCSA-N 0 0 427.298 -0.537 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@](O)(C(N)=O)C2)c(Br)c1 ZINC001117109888 1073053852 /nfs/dbraw/zinc/05/38/52/1073053852.db2.gz MKJIQAWGDOIQET-LBPRGKRZSA-N 0 0 427.298 -0.537 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C(C)(C)NS(C)(=O)=O)CC3)CC1 ZINC001117121201 1073053717 /nfs/dbraw/zinc/05/37/17/1073053717.db2.gz BMBVMRGZMZQUQM-AWEZNQCLSA-N 0 0 440.570 -0.723 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C(C)(C)NS(C)(=O)=O)CC3)CC1 ZINC001117121212 1073053789 /nfs/dbraw/zinc/05/37/89/1073053789.db2.gz BMBVMRGZMZQUQM-CQSZACIVSA-N 0 0 440.570 -0.723 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC001117130295 1073053744 /nfs/dbraw/zinc/05/37/44/1073053744.db2.gz HYHUGAUYWXFCQP-UHFFFAOYSA-N 0 0 426.495 -0.367 20 0 IBADRN Cc1ncc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001117130709 1073053810 /nfs/dbraw/zinc/05/38/10/1073053810.db2.gz PRUGQUHLHQVJRO-CVEARBPZSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1ncc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001117130710 1073053819 /nfs/dbraw/zinc/05/38/19/1073053819.db2.gz PRUGQUHLHQVJRO-HOTGVXAUSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1ncc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001117130711 1073053706 /nfs/dbraw/zinc/05/37/06/1073053706.db2.gz PRUGQUHLHQVJRO-HZPDHXFCSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1ncc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001117130712 1073053734 /nfs/dbraw/zinc/05/37/34/1073053734.db2.gz PRUGQUHLHQVJRO-JKSUJKDBSA-N 0 0 431.536 -0.105 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)c1OC ZINC001117133688 1073053680 /nfs/dbraw/zinc/05/36/80/1073053680.db2.gz PEDKADRHECMYEG-UHFFFAOYSA-N 0 0 440.927 -0.074 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCC(=O)N1CCNC(=O)CC1)C(=O)N1CCNC(=O)CC1 ZINC001117137282 1073053898 /nfs/dbraw/zinc/05/38/98/1073053898.db2.gz ZUMWGBSNYXVHJF-AWEZNQCLSA-N 0 0 439.513 -0.643 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001117137569 1073053863 /nfs/dbraw/zinc/05/38/63/1073053863.db2.gz INARCRZEZPQHPK-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001117137570 1073053840 /nfs/dbraw/zinc/05/38/40/1073053840.db2.gz INARCRZEZPQHPK-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001117137571 1073053827 /nfs/dbraw/zinc/05/38/27/1073053827.db2.gz INARCRZEZPQHPK-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001117137572 1073053874 /nfs/dbraw/zinc/05/38/74/1073053874.db2.gz INARCRZEZPQHPK-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)OCCN2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001117139195 1073053756 /nfs/dbraw/zinc/05/37/56/1073053756.db2.gz HISDCSZMLQWNPI-UHFFFAOYSA-N 0 0 445.563 -0.210 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2ccc(S(C)(=O)=O)cc2)CC1 ZINC001117140561 1073053773 /nfs/dbraw/zinc/05/37/73/1073053773.db2.gz NMFNDGSDTHGQHC-UHFFFAOYSA-N 0 0 433.508 -0.252 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCc2nnc3n2c2ccccc2c(=O)n3C)CC1 ZINC001117141095 1073054224 /nfs/dbraw/zinc/05/42/24/1073054224.db2.gz QWLKEAFUIPAPFZ-UHFFFAOYSA-N 0 0 434.478 -0.199 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC001117150300 1073054361 /nfs/dbraw/zinc/05/43/61/1073054361.db2.gz KVPJUASZQYRBCQ-UHFFFAOYSA-N 0 0 429.471 -0.952 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC001117159230 1073054150 /nfs/dbraw/zinc/05/41/50/1073054150.db2.gz DVHZGISADXMDSS-UHFFFAOYSA-N 0 0 432.520 -0.623 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC001117159665 1073054423 /nfs/dbraw/zinc/05/44/23/1073054423.db2.gz MTRWFZLOXCIXOG-CVEARBPZSA-N 0 0 426.491 -0.186 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001117166497 1073054332 /nfs/dbraw/zinc/05/43/32/1073054332.db2.gz AHGIIWPFIVTPID-UHFFFAOYSA-N 0 0 448.542 -0.215 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)OCC(=O)N3CCN(C(=O)C4CC4)CC3)c2C1 ZINC001117166805 1073054322 /nfs/dbraw/zinc/05/43/22/1073054322.db2.gz FROHEBBZYGZZEP-HNNXBMFYSA-N 0 0 431.493 -0.181 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)OCC(=O)N3CCN(C(=O)C4CC4)CC3)c2C1 ZINC001117166807 1073054126 /nfs/dbraw/zinc/05/41/26/1073054126.db2.gz FROHEBBZYGZZEP-OAHLLOKOSA-N 0 0 431.493 -0.181 20 0 IBADRN CCN1CCN(Cc2nnc(-c3cccc(S(=O)(=O)N4CCOCC4)c3)o2)C(=O)C1=O ZINC001117182911 1073054252 /nfs/dbraw/zinc/05/42/52/1073054252.db2.gz USXIOPIOGVCZOR-UHFFFAOYSA-N 0 0 449.489 -0.052 20 0 IBADRN O=C(CC(O)CC(=O)N1CCO[C@]2(CCCOC2)C1)N1CCO[C@]2(CCCOC2)C1 ZINC001117184961 1073054164 /nfs/dbraw/zinc/05/41/64/1073054164.db2.gz QAXDTAAFWZKMQN-NHCUHLMSSA-N 0 0 426.510 -0.057 20 0 IBADRN O=C(CC(O)CC(=O)N1CCO[C@@]2(CCCOC2)C1)N1CCO[C@@]2(CCCOC2)C1 ZINC001117184962 1073054175 /nfs/dbraw/zinc/05/41/75/1073054175.db2.gz QAXDTAAFWZKMQN-SFTDATJTSA-N 0 0 426.510 -0.057 20 0 IBADRN O=C(C[C@H](O)CC(=O)N1CCO[C@@]2(CCCOC2)C1)N1CCO[C@]2(CCCOC2)C1 ZINC001117184963 1073054267 /nfs/dbraw/zinc/05/42/67/1073054267.db2.gz QAXDTAAFWZKMQN-ZHPFXUNLSA-N 0 0 426.510 -0.057 20 0 IBADRN O=C(CCN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)N1CCN(c2ccccn2)CC1 ZINC001117216715 1073054340 /nfs/dbraw/zinc/05/43/40/1073054340.db2.gz KTEKAVZNNORVGP-UHFFFAOYSA-N 0 0 435.506 -0.381 20 0 IBADRN CN(CCN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)S(=O)(=O)c1ccc(F)cc1 ZINC001117224925 1073054383 /nfs/dbraw/zinc/05/43/83/1073054383.db2.gz VWFMYJBRQYZWKL-UHFFFAOYSA-N 0 0 433.483 -0.055 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(Cc3nnc(CN4C(=O)CNC4=O)o3)CC2)cc1 ZINC001117239426 1073054181 /nfs/dbraw/zinc/05/41/81/1073054181.db2.gz GCSMDACRPSWGHT-UHFFFAOYSA-N 0 0 434.478 -0.064 20 0 IBADRN COCCNC(=O)C1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC001117243618 1073054395 /nfs/dbraw/zinc/05/43/95/1073054395.db2.gz IBZKUWHLKINXMV-UHFFFAOYSA-N 0 0 448.567 -0.437 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(I)c(C(=O)N[C@@H](CO)C(N)=O)c1 ZINC001117247447 1073054279 /nfs/dbraw/zinc/05/42/79/1073054279.db2.gz RPABHSYXIBXSMX-JTQLQIEISA-N 0 0 441.247 -0.883 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001117266588 1073054723 /nfs/dbraw/zinc/05/47/23/1073054723.db2.gz MHTKKJLYPHKLKD-SREVYHEPSA-N 0 0 427.479 -0.934 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001117266592 1073054645 /nfs/dbraw/zinc/05/46/45/1073054645.db2.gz MHTKKJLYPHKLKD-VOTSOKGWSA-N 0 0 427.479 -0.934 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@@H](S(C)(=O)=O)CC2)c(S(N)(=O)=O)c1 ZINC001117267436 1073054801 /nfs/dbraw/zinc/05/48/01/1073054801.db2.gz ULFRJUUBVHZSEX-GFCCVEGCSA-N 0 0 439.581 -0.012 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC[C@H](S(C)(=O)=O)CC2)c(S(N)(=O)=O)c1 ZINC001117267438 1073054743 /nfs/dbraw/zinc/05/47/43/1073054743.db2.gz ULFRJUUBVHZSEX-LBPRGKRZSA-N 0 0 439.581 -0.012 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC(S(C)(=O)=O)CC2)c(S(N)(=O)=O)c1 ZINC001117296538 1073054690 /nfs/dbraw/zinc/05/46/90/1073054690.db2.gz GOBNNJHGHHQBOH-UHFFFAOYSA-N 0 0 425.554 -0.402 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC([C@H](O)C(F)(F)F)CC2)[C@H](O)[C@@H]1O ZINC001117298080 1073054753 /nfs/dbraw/zinc/05/47/53/1073054753.db2.gz ZXUXDNIBPOKCRD-BVEFZAQCSA-N 0 0 433.387 -0.423 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC([C@@H](O)C(F)(F)F)CC2)[C@H](O)[C@@H]1O ZINC001117298082 1073054661 /nfs/dbraw/zinc/05/46/61/1073054661.db2.gz ZXUXDNIBPOKCRD-WNWFFLTNSA-N 0 0 433.387 -0.423 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCOCCCO)ccc2F)CC1 ZINC001117298611 1073054797 /nfs/dbraw/zinc/05/47/97/1073054797.db2.gz UHSBUCJCHJMRED-UHFFFAOYSA-N 0 0 431.486 -0.193 20 0 IBADRN C[C@@](O)(C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1)C(F)(F)F ZINC001117301360 1073054634 /nfs/dbraw/zinc/05/46/34/1073054634.db2.gz IFIYOSDMBWLNHJ-BOFATEMHSA-N 0 0 447.414 -0.033 20 0 IBADRN C[C@](O)(C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1)C(F)(F)F ZINC001117301362 1073054698 /nfs/dbraw/zinc/05/46/98/1073054698.db2.gz IFIYOSDMBWLNHJ-MXNCWBMWSA-N 0 0 447.414 -0.033 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)CC1 ZINC001117306847 1073054669 /nfs/dbraw/zinc/05/46/69/1073054669.db2.gz WFKDPXAVCJUMJN-AWEZNQCLSA-N 0 0 431.511 -0.918 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@H]2CCCN2C(=O)CNC(C)=O)CC1 ZINC001117306852 1073054704 /nfs/dbraw/zinc/05/47/04/1073054704.db2.gz WFKDPXAVCJUMJN-CQSZACIVSA-N 0 0 431.511 -0.918 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(C[S@](C)=O)cc1)C2 ZINC001117355593 1073054731 /nfs/dbraw/zinc/05/47/31/1073054731.db2.gz AMXWGIUYAVLFIP-PMERELPUSA-N 0 0 434.522 -0.263 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(C[S@@](C)=O)cc1)C2 ZINC001117355594 1073054739 /nfs/dbraw/zinc/05/47/39/1073054739.db2.gz AMXWGIUYAVLFIP-SSEXGKCCSA-N 0 0 434.522 -0.263 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)NCC3(O)CCS(=O)(=O)CC3)cc2)CC1 ZINC001117370482 1073054777 /nfs/dbraw/zinc/05/47/77/1073054777.db2.gz CXSVVRFTBCNPHN-UHFFFAOYSA-N 0 0 438.550 -0.187 20 0 IBADRN CCOC(=O)c1ncc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001117375598 1073055208 /nfs/dbraw/zinc/05/52/08/1073055208.db2.gz RDYUTNPODBDFIO-GFCCVEGCSA-N 0 0 444.535 -0.619 20 0 IBADRN CCOC(=O)c1ncc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001117375599 1073055325 /nfs/dbraw/zinc/05/53/25/1073055325.db2.gz RDYUTNPODBDFIO-LBPRGKRZSA-N 0 0 444.535 -0.619 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001117392035 1073055242 /nfs/dbraw/zinc/05/52/42/1073055242.db2.gz WCVRJZSERSONDJ-CYBMUJFWSA-N 0 0 439.556 -0.580 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)CC1 ZINC001117392048 1073055050 /nfs/dbraw/zinc/05/50/50/1073055050.db2.gz VMLAKBXVUFVGQT-UHFFFAOYSA-N 0 0 430.552 -0.029 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001117392181 1073055064 /nfs/dbraw/zinc/05/50/64/1073055064.db2.gz WCVRJZSERSONDJ-ZDUSSCGKSA-N 0 0 439.556 -0.580 20 0 IBADRN CN(CCNC(=O)NCC1(O)CCS(=O)(=O)CC1)S(=O)(=O)c1cccs1 ZINC001117414481 1073055157 /nfs/dbraw/zinc/05/51/57/1073055157.db2.gz VKZAQKUCOIWFBQ-UHFFFAOYSA-N 0 0 425.554 -0.393 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC001117415817 1073055198 /nfs/dbraw/zinc/05/51/98/1073055198.db2.gz OJQXIBLMDZRRBJ-UHFFFAOYSA-N 0 0 432.515 -0.457 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCc3c(cccc3S(=O)(=O)N(C)C)C2)CC1 ZINC001117418225 1073055253 /nfs/dbraw/zinc/05/52/53/1073055253.db2.gz ZQPLSZVRJIDMSI-UHFFFAOYSA-N 0 0 444.579 -0.002 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC001117430685 1073055302 /nfs/dbraw/zinc/05/53/02/1073055302.db2.gz HEXBSWMHLBVBEI-UHFFFAOYSA-N 0 0 427.469 -0.284 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)N2CC(O)(C3CC3)C2)cc1)S(C)(=O)=O ZINC001117441077 1073055290 /nfs/dbraw/zinc/05/52/90/1073055290.db2.gz FBOWXIQJCHOTLX-UHFFFAOYSA-N 0 0 447.535 -0.043 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)c2ccccc21)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001117449001 1073055335 /nfs/dbraw/zinc/05/53/35/1073055335.db2.gz PYARBTLZRLSSQA-CYBMUJFWSA-N 0 0 425.492 -0.401 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)c2ccccc21)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001117449002 1073055076 /nfs/dbraw/zinc/05/50/76/1073055076.db2.gz PYARBTLZRLSSQA-ZDUSSCGKSA-N 0 0 425.492 -0.401 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)NCC1(O)CCS(=O)(=O)CC1 ZINC001117464719 1073055187 /nfs/dbraw/zinc/05/51/87/1073055187.db2.gz XSGAFLRYHKRULP-UHFFFAOYSA-N 0 0 426.463 -0.311 20 0 IBADRN COCCN(C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001117474487 1073055013 /nfs/dbraw/zinc/05/50/13/1073055013.db2.gz PGNFYXLGHTXRNH-HMQMGEFJSA-N 0 0 430.571 -0.085 20 0 IBADRN COCCN(C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001117474488 1073055268 /nfs/dbraw/zinc/05/52/68/1073055268.db2.gz PGNFYXLGHTXRNH-NPYXIHBLSA-N 0 0 430.571 -0.085 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)[C@](C)(O)CN1C(=O)NC3(CCCC3)C1=O)C2 ZINC001117485170 1073055114 /nfs/dbraw/zinc/05/51/14/1073055114.db2.gz KQBISZHULLNVGG-JLTOFOAXSA-N 0 0 433.509 -0.227 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)[C@](C)(O)CN1C(=O)NC3(CCCC3)C1=O)C2 ZINC001117485171 1073055225 /nfs/dbraw/zinc/05/52/25/1073055225.db2.gz KQBISZHULLNVGG-VBKZILBWSA-N 0 0 433.509 -0.227 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)[C@@](C)(O)CN1C(=O)NC3(CCCC3)C1=O)C2 ZINC001117485172 1073055089 /nfs/dbraw/zinc/05/50/89/1073055089.db2.gz KQBISZHULLNVGG-VLIAUNLRSA-N 0 0 433.509 -0.227 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)[C@@](C)(O)CN1C(=O)NC3(CCCC3)C1=O)C2 ZINC001117485173 1073055574 /nfs/dbraw/zinc/05/55/74/1073055574.db2.gz KQBISZHULLNVGG-XOBRGWDASA-N 0 0 433.509 -0.227 20 0 IBADRN O=C(COC(=O)C1(S(=O)(=O)c2ccccc2)CCOCC1)N[C@H]1CCS(=O)(=O)C1 ZINC001117502001 1073055602 /nfs/dbraw/zinc/05/56/02/1073055602.db2.gz GQYZVMNSTVUDAO-AWEZNQCLSA-N 0 0 445.515 -0.144 20 0 IBADRN O=C(COC(=O)C1(S(=O)(=O)c2ccccc2)CCOCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001117502002 1073055594 /nfs/dbraw/zinc/05/55/94/1073055594.db2.gz GQYZVMNSTVUDAO-CQSZACIVSA-N 0 0 445.515 -0.144 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H]2CCCS(=O)(=O)C2)c1 ZINC001117529409 1073055586 /nfs/dbraw/zinc/05/55/86/1073055586.db2.gz WLVRPPQQTUDOGC-AWEZNQCLSA-N 0 0 433.552 -0.180 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H]2CCCS(=O)(=O)C2)c1 ZINC001117529410 1073055626 /nfs/dbraw/zinc/05/56/26/1073055626.db2.gz WLVRPPQQTUDOGC-CQSZACIVSA-N 0 0 433.552 -0.180 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(CCN(CCO)C(=O)[C@H](C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC001117532544 1073055608 /nfs/dbraw/zinc/05/56/08/1073055608.db2.gz GXSGQCZWPLXRQN-KBPBESRZSA-N 0 0 448.563 -0.145 20 0 IBADRN C[C@H](C(=O)Nc1ccc(CCN(CCO)C(=O)[C@H](C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC001117532545 1073055644 /nfs/dbraw/zinc/05/56/44/1073055644.db2.gz GXSGQCZWPLXRQN-KGLIPLIRSA-N 0 0 448.563 -0.145 20 0 IBADRN C[C@H](C(=O)N(CCO)CCc1ccc(NC(=O)[C@H](C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC001117532546 1073055562 /nfs/dbraw/zinc/05/55/62/1073055562.db2.gz GXSGQCZWPLXRQN-UONOGXRCSA-N 0 0 448.563 -0.145 20 0 IBADRN C[C@H](C(=O)Nc1ccc(CCN(CCO)C(=O)[C@@H](C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC001117532547 1073055631 /nfs/dbraw/zinc/05/56/31/1073055631.db2.gz GXSGQCZWPLXRQN-ZIAGYGMSSA-N 0 0 448.563 -0.145 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCNC1=O ZINC001117537824 1073055665 /nfs/dbraw/zinc/05/56/65/1073055665.db2.gz INDWZSUNGUOEEL-AWEZNQCLSA-N 0 0 433.508 -0.663 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCNC1=O ZINC001117537825 1073055690 /nfs/dbraw/zinc/05/56/90/1073055690.db2.gz INDWZSUNGUOEEL-CQSZACIVSA-N 0 0 433.508 -0.663 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)c2C)no1 ZINC001117554872 1073055685 /nfs/dbraw/zinc/05/56/85/1073055685.db2.gz VJVSKWXIAQBEKX-SECBINFHSA-N 0 0 425.393 -0.122 20 0 IBADRN Cc1nc(Cn2nnc(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)c2C)no1 ZINC001117554886 1073055637 /nfs/dbraw/zinc/05/56/37/1073055637.db2.gz VJVSKWXIAQBEKX-VIFPVBQESA-N 0 0 425.393 -0.122 20 0 IBADRN O=C(CN1CCN(Cc2cnc(C3OCCO3)s2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001117578055 1073055651 /nfs/dbraw/zinc/05/56/51/1073055651.db2.gz ZSCGAICADMQIIQ-CYBMUJFWSA-N 0 0 430.552 -0.391 20 0 IBADRN O=C(CN1CCN(Cc2cnc(C3OCCO3)s2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001117578057 1073056061 /nfs/dbraw/zinc/05/60/61/1073056061.db2.gz ZSCGAICADMQIIQ-ZDUSSCGKSA-N 0 0 430.552 -0.391 20 0 IBADRN CC(C)(C)OC(=O)NC[C@](C)(O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001117629742 1073055954 /nfs/dbraw/zinc/05/59/54/1073055954.db2.gz PIHICYXBNHIHCP-BEFAXECRSA-N 0 0 448.586 -0.817 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@](C)(O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001117629743 1073056062 /nfs/dbraw/zinc/05/60/62/1073056062.db2.gz PIHICYXBNHIHCP-DNVCBOLYSA-N 0 0 448.586 -0.817 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@](C)(O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001117629744 1073056011 /nfs/dbraw/zinc/05/60/11/1073056011.db2.gz PIHICYXBNHIHCP-HNAYVOBHSA-N 0 0 448.586 -0.817 20 0 IBADRN CC(C)(C)OC(=O)NC[C@](C)(O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001117629745 1073055932 /nfs/dbraw/zinc/05/59/32/1073055932.db2.gz PIHICYXBNHIHCP-KXBFYZLASA-N 0 0 448.586 -0.817 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC001117635089 1073055882 /nfs/dbraw/zinc/05/58/82/1073055882.db2.gz NBENDPHWJVIOFN-UHFFFAOYSA-N 0 0 447.579 -0.011 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)c3c(Br)nnn3C)CC2)cn1 ZINC001117635491 1073056068 /nfs/dbraw/zinc/05/60/68/1073056068.db2.gz BRJANFWMQXGBLV-UHFFFAOYSA-N 0 0 432.304 -0.106 20 0 IBADRN COC(=O)Cc1occc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001117635504 1073055943 /nfs/dbraw/zinc/05/59/43/1073055943.db2.gz CHAQPJSIHJONNM-CYBMUJFWSA-N 0 0 427.479 -0.944 20 0 IBADRN COC(=O)Cc1occc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001117635505 1073056037 /nfs/dbraw/zinc/05/60/37/1073056037.db2.gz CHAQPJSIHJONNM-ZDUSSCGKSA-N 0 0 427.479 -0.944 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2CCc3nnn(C)c3C2)CCN1C(=O)[C@H]1CCc2nnn(C)c2C1 ZINC001117638777 1073056017 /nfs/dbraw/zinc/05/60/17/1073056017.db2.gz XMJMXDVVDGXFCL-ILXRZTDVSA-N 0 0 426.525 -0.087 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CCc3nnn(C)c3C2)CCN1C(=O)[C@H]1CCc2nnn(C)c2C1 ZINC001117638778 1073056073 /nfs/dbraw/zinc/05/60/73/1073056073.db2.gz XMJMXDVVDGXFCL-KFWWJZLASA-N 0 0 426.525 -0.087 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2CCc3nnn(C)c3C2)CCN1C(=O)[C@@H]1CCc2nnn(C)c2C1 ZINC001117638779 1073055983 /nfs/dbraw/zinc/05/59/83/1073055983.db2.gz XMJMXDVVDGXFCL-QLFBSQMISA-N 0 0 426.525 -0.087 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CCc3nnn(C)c3C2)CCN1C(=O)[C@@H]1CCc2nnn(C)c2C1 ZINC001117638780 1073056001 /nfs/dbraw/zinc/05/60/01/1073056001.db2.gz XMJMXDVVDGXFCL-RBSFLKMASA-N 0 0 426.525 -0.087 20 0 IBADRN COc1ccc(C(=O)NCCCn2cc(CO)nn2)cc1S(=O)(=O)N1CCOCC1 ZINC001117644494 1073056028 /nfs/dbraw/zinc/05/60/28/1073056028.db2.gz MBLFTDTYHUOJFI-UHFFFAOYSA-N 0 0 439.494 -0.380 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)c2ncn(C)n2)CC1 ZINC001117666387 1073056056 /nfs/dbraw/zinc/05/60/56/1073056056.db2.gz LPMNHRUQWNFDOL-UHFFFAOYSA-N 0 0 427.508 -0.326 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)C(C)(C)O ZINC001117667329 1073055908 /nfs/dbraw/zinc/05/59/08/1073055908.db2.gz XSCYWWVMDLWBAL-MRXNPFEDSA-N 0 0 428.530 -0.346 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2cncc(C(=O)NCC3(S(C)(=O)=O)CC3)c2)CC1 ZINC001117670196 1073055920 /nfs/dbraw/zinc/05/59/20/1073055920.db2.gz ZFMZNUHTBHAMDK-UHFFFAOYSA-N 0 0 429.520 -0.304 20 0 IBADRN COC(=O)c1cc(C(C)(C)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC001117673823 1073056340 /nfs/dbraw/zinc/05/63/40/1073056340.db2.gz UPKDQMWAMPCJHP-CYBMUJFWSA-N 0 0 428.511 -0.383 20 0 IBADRN COC(=O)c1cc(C(C)(C)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC001117673824 1073056560 /nfs/dbraw/zinc/05/65/60/1073056560.db2.gz UPKDQMWAMPCJHP-ZDUSSCGKSA-N 0 0 428.511 -0.383 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)CCOCC1 ZINC001117681056 1073056291 /nfs/dbraw/zinc/05/62/91/1073056291.db2.gz CWPMJTMXSSBRRC-UHFFFAOYSA-N 0 0 428.463 -0.299 20 0 IBADRN C[C@@H]1CN(C(=O)C[C@@H](O)CC(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)C[C@@H](C)S1(=O)=O ZINC001117682415 1073056620 /nfs/dbraw/zinc/05/66/20/1073056620.db2.gz YXDTUFDLRSWUBR-ARILJUKYSA-N 0 0 438.568 -0.805 20 0 IBADRN C[C@@H]1CN(C(=O)C[C@@H](O)CC(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)C[C@@H](C)S1(=O)=O ZINC001117682416 1073056597 /nfs/dbraw/zinc/05/65/97/1073056597.db2.gz YXDTUFDLRSWUBR-JLQSVIJNSA-N 0 0 438.568 -0.805 20 0 IBADRN C[C@@H]1CN(C(=O)CC(O)CC(=O)N2C[C@@H](C)S(=O)(=O)[C@@H](C)C2)C[C@H](C)S1(=O)=O ZINC001117682417 1073056327 /nfs/dbraw/zinc/05/63/27/1073056327.db2.gz YXDTUFDLRSWUBR-KPWCQOOUSA-N 0 0 438.568 -0.805 20 0 IBADRN C[C@@H]1CN(C(=O)C[C@@H](O)CC(=O)N2C[C@H](C)S(=O)(=O)[C@@H](C)C2)C[C@H](C)S1(=O)=O ZINC001117682418 1073056548 /nfs/dbraw/zinc/05/65/48/1073056548.db2.gz YXDTUFDLRSWUBR-LXFSFDBISA-N 0 0 438.568 -0.805 20 0 IBADRN CC(C)(C)OC(=O)NCC[C@H](O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001117682765 1073056353 /nfs/dbraw/zinc/05/63/53/1073056353.db2.gz HMPJWQIBWFRBGB-CVEARBPZSA-N 0 0 448.586 -0.817 20 0 IBADRN CC(C)(C)OC(=O)NCC[C@H](O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001117682767 1073056491 /nfs/dbraw/zinc/05/64/91/1073056491.db2.gz HMPJWQIBWFRBGB-HOTGVXAUSA-N 0 0 448.586 -0.817 20 0 IBADRN CC(C)(C)OC(=O)NCC[C@@H](O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001117682769 1073056307 /nfs/dbraw/zinc/05/63/07/1073056307.db2.gz HMPJWQIBWFRBGB-HZPDHXFCSA-N 0 0 448.586 -0.817 20 0 IBADRN CC(C)(C)OC(=O)NCC[C@@H](O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001117682770 1073056539 /nfs/dbraw/zinc/05/65/39/1073056539.db2.gz HMPJWQIBWFRBGB-JKSUJKDBSA-N 0 0 448.586 -0.817 20 0 IBADRN NC(=O)c1ccc(N2CCN(c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)CC2)nc1 ZINC001117684634 1073056606 /nfs/dbraw/zinc/05/66/06/1073056606.db2.gz RAKOZRSJWXDUCM-UHFFFAOYSA-N 0 0 444.517 -0.227 20 0 IBADRN COc1ccc(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1S(N)(=O)=O ZINC001117690827 1073056415 /nfs/dbraw/zinc/05/64/15/1073056415.db2.gz BXOFQGMGNQHELJ-UHFFFAOYSA-N 0 0 441.510 -0.378 20 0 IBADRN CN(CCNS(C)(=O)=O)CN1C(=O)N[C@]2(CCCN(C(=O)OC(C)(C)C)C2)C1=O ZINC001117693576 1073056315 /nfs/dbraw/zinc/05/63/15/1073056315.db2.gz VTXDAQLVXWKEJE-KRWDZBQOSA-N 0 0 433.531 -0.254 20 0 IBADRN CN(CCNS(C)(=O)=O)CN1C(=O)N[C@@]2(CCCN(C(=O)OC(C)(C)C)C2)C1=O ZINC001117693577 1073056483 /nfs/dbraw/zinc/05/64/83/1073056483.db2.gz VTXDAQLVXWKEJE-QGZVFWFLSA-N 0 0 433.531 -0.254 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)NCCS(=O)(=O)N1CCOCC1)CCO2 ZINC001117698439 1073056995 /nfs/dbraw/zinc/05/69/95/1073056995.db2.gz GDFJJFNFZCUQTF-UHFFFAOYSA-N 0 0 448.542 -0.320 20 0 IBADRN CN(C(=O)c1cn(C2CCOCC2)nn1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001117705979 1073057015 /nfs/dbraw/zinc/05/70/15/1073057015.db2.gz PDBRVWOANOLPFE-UHFFFAOYSA-N 0 0 433.557 -0.060 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)c1cncc(C(=O)N[C@H]2CCCS(=O)(=O)C2)c1 ZINC001117707880 1073056833 /nfs/dbraw/zinc/05/68/33/1073056833.db2.gz YFBNMPKFMYJRQA-GASCZTMLSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(N[C@H]1CCCS(=O)(=O)C1)c1cncc(C(=O)N[C@H]2CCCS(=O)(=O)C2)c1 ZINC001117707881 1073056820 /nfs/dbraw/zinc/05/68/20/1073056820.db2.gz YFBNMPKFMYJRQA-GJZGRUSLSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)c1cncc(C(=O)N[C@@H]2CCCS(=O)(=O)C2)c1 ZINC001117707882 1073056854 /nfs/dbraw/zinc/05/68/54/1073056854.db2.gz YFBNMPKFMYJRQA-HUUCEWRRSA-N 0 0 429.520 -0.304 20 0 IBADRN CC(C)N(C(=O)CCn1ncn(C)c1=O)C1CCN(C(=O)CCn2ncn(C)c2=O)CC1 ZINC001117708697 1073056940 /nfs/dbraw/zinc/05/69/40/1073056940.db2.gz YSRMDXXNQFXGKY-UHFFFAOYSA-N 0 0 448.528 -0.815 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC[C@@H](CS(C)(=O)=O)C3)CC2)cn1 ZINC001117711324 1073057043 /nfs/dbraw/zinc/05/70/43/1073057043.db2.gz WLCQPBCSGLMQNY-CYBMUJFWSA-N 0 0 433.556 -0.351 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC[C@H](CS(C)(=O)=O)C3)CC2)cn1 ZINC001117711325 1073056885 /nfs/dbraw/zinc/05/68/85/1073056885.db2.gz WLCQPBCSGLMQNY-ZDUSSCGKSA-N 0 0 433.556 -0.351 20 0 IBADRN Cc1c(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)nnn1-c1ccn(C)n1 ZINC001117714096 1073056923 /nfs/dbraw/zinc/05/69/23/1073056923.db2.gz ZFIIAYUIFOKWEP-UHFFFAOYSA-N 0 0 444.540 0.000 20 0 IBADRN O=C(COC(=O)CCn1cnccc1=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001117721515 1073056965 /nfs/dbraw/zinc/05/69/65/1073056965.db2.gz PVEUKPJTVOJAKA-UHFFFAOYSA-N 0 0 428.445 -0.035 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)C1(S(C)(=O)=O)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001117721666 1073056980 /nfs/dbraw/zinc/05/69/80/1073056980.db2.gz VGIYLHLMXAVANP-KBPBESRZSA-N 0 0 439.552 -0.062 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)C1(S(C)(=O)=O)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001117721667 1073056875 /nfs/dbraw/zinc/05/68/75/1073056875.db2.gz VGIYLHLMXAVANP-KGLIPLIRSA-N 0 0 439.552 -0.062 20 0 IBADRN CCCN(C(=O)[C@H](C)OC(=O)C1(S(C)(=O)=O)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001117721668 1073056870 /nfs/dbraw/zinc/05/68/70/1073056870.db2.gz VGIYLHLMXAVANP-UONOGXRCSA-N 0 0 439.552 -0.062 20 0 IBADRN CCCN(C(=O)[C@@H](C)OC(=O)C1(S(C)(=O)=O)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001117721669 1073056988 /nfs/dbraw/zinc/05/69/88/1073056988.db2.gz VGIYLHLMXAVANP-ZIAGYGMSSA-N 0 0 439.552 -0.062 20 0 IBADRN CS(=O)(=O)CC1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001117722031 1073056862 /nfs/dbraw/zinc/05/68/62/1073056862.db2.gz HLMHWMNAJPENLW-UHFFFAOYSA-N 0 0 443.547 -0.296 20 0 IBADRN CS(=O)(=O)C[C@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001117726472 1073056843 /nfs/dbraw/zinc/05/68/43/1073056843.db2.gz BEVNHRCUZCOPIH-BJJXKVORSA-N 0 0 434.511 -0.157 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001117726476 1073057363 /nfs/dbraw/zinc/05/73/63/1073057363.db2.gz BEVNHRCUZCOPIH-XPKDYRNWSA-N 0 0 434.511 -0.157 20 0 IBADRN CS(=O)(=O)C1(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOCC1 ZINC001117732967 1073057425 /nfs/dbraw/zinc/05/74/25/1073057425.db2.gz BOSZYAQRXSPAQP-UHFFFAOYSA-N 0 0 446.547 -0.083 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001117742254 1073057415 /nfs/dbraw/zinc/05/74/15/1073057415.db2.gz NSLMVCHTPZZCRB-NEPJUHHUSA-N 0 0 438.549 -0.598 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001117742255 1073057502 /nfs/dbraw/zinc/05/75/02/1073057502.db2.gz NSLMVCHTPZZCRB-NWDGAFQWSA-N 0 0 438.549 -0.598 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001117742256 1073057371 /nfs/dbraw/zinc/05/73/71/1073057371.db2.gz NSLMVCHTPZZCRB-RYUDHWBXSA-N 0 0 438.549 -0.598 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001117742257 1073057513 /nfs/dbraw/zinc/05/75/13/1073057513.db2.gz NSLMVCHTPZZCRB-VXGBXAGGSA-N 0 0 438.549 -0.598 20 0 IBADRN CN(C(=O)C1(S(C)(=O)=O)CCOCC1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001117748999 1073057522 /nfs/dbraw/zinc/05/75/22/1073057522.db2.gz TWNWTWZFDHCHFM-UHFFFAOYSA-N 0 0 444.598 -0.723 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCc2cncnc2)CCN1C(=O)CCc1cncnc1 ZINC001117755061 1073057447 /nfs/dbraw/zinc/05/74/47/1073057447.db2.gz RAKIIKAJAVHARL-GOSISDBHSA-N 0 0 425.493 -0.040 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCc2cncnc2)CCN1C(=O)CCc1cncnc1 ZINC001117755062 1073057540 /nfs/dbraw/zinc/05/75/40/1073057540.db2.gz RAKIIKAJAVHARL-SFHVURJKSA-N 0 0 425.493 -0.040 20 0 IBADRN Cn1cnnc1COCC(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC001117758050 1073057253 /nfs/dbraw/zinc/05/72/53/1073057253.db2.gz IDJFKBGUUXIBMQ-UHFFFAOYSA-N 0 0 437.478 -0.345 20 0 IBADRN O=C(NC[C@@H]1CSCCN1C(=O)[C@H]1CCc2nncn2C1)[C@@H]1CCc2nncn2C1 ZINC001117758368 1073057306 /nfs/dbraw/zinc/05/73/06/1073057306.db2.gz NTMUBASHMXGPGM-QLFBSQMISA-N 0 0 430.538 -0.245 20 0 IBADRN O=C(NC[C@@H]1CSCCN1C(=O)[C@@H]1CCc2nncn2C1)[C@@H]1CCc2nncn2C1 ZINC001117758373 1073057436 /nfs/dbraw/zinc/05/74/36/1073057436.db2.gz NTMUBASHMXGPGM-RBSFLKMASA-N 0 0 430.538 -0.245 20 0 IBADRN O=C(NC[C@@H]1CSCCN1C(=O)[C@@H]1CCc2nncn2C1)[C@H]1CCc2nncn2C1 ZINC001117758375 1073057326 /nfs/dbraw/zinc/05/73/26/1073057326.db2.gz NTMUBASHMXGPGM-RRFJBIMHSA-N 0 0 430.538 -0.245 20 0 IBADRN O=C(NC[C@@H]1CSCCN1C(=O)[C@H]1CCc2nncn2C1)[C@H]1CCc2nncn2C1 ZINC001117758377 1073057298 /nfs/dbraw/zinc/05/72/98/1073057298.db2.gz NTMUBASHMXGPGM-SOUVJXGZSA-N 0 0 430.538 -0.245 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@H]2CCc4nncn4C2)CC3)CC1 ZINC001117760229 1073057946 /nfs/dbraw/zinc/05/79/46/1073057946.db2.gz RNFBLFOYDIZDNU-CVEARBPZSA-N 0 0 426.525 -0.197 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@H]2CCc4nncn4C2)CC3)CC1 ZINC001117760230 1073057855 /nfs/dbraw/zinc/05/78/55/1073057855.db2.gz RNFBLFOYDIZDNU-HOTGVXAUSA-N 0 0 426.525 -0.197 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@@H]2CCc4nncn4C2)CC3)CC1 ZINC001117760231 1073058000 /nfs/dbraw/zinc/05/80/00/1073058000.db2.gz RNFBLFOYDIZDNU-HZPDHXFCSA-N 0 0 426.525 -0.197 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@@H]2CCc4nncn4C2)CC3)CC1 ZINC001117760232 1073057934 /nfs/dbraw/zinc/05/79/34/1073057934.db2.gz RNFBLFOYDIZDNU-JKSUJKDBSA-N 0 0 426.525 -0.197 20 0 IBADRN CN(C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001117763221 1073057925 /nfs/dbraw/zinc/05/79/25/1073057925.db2.gz BNTCWDTVTMOIQQ-CYBMUJFWSA-N 0 0 446.551 -0.724 20 0 IBADRN CN(C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001117763222 1073057970 /nfs/dbraw/zinc/05/79/70/1073057970.db2.gz BNTCWDTVTMOIQQ-ZDUSSCGKSA-N 0 0 446.551 -0.724 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)CNC(=O)c2cc(OC)c(OC)c(OC)c2)CC1 ZINC001117767924 1073057870 /nfs/dbraw/zinc/05/78/70/1073057870.db2.gz KOJXPPSTTAEPGJ-UHFFFAOYSA-N 0 0 429.495 -0.018 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)Cc1cc(F)c(OC)c(S(N)(=O)=O)c1)C2 ZINC001117767997 1073058065 /nfs/dbraw/zinc/05/80/65/1073058065.db2.gz MQMGDEQSOLXOEQ-UHFFFAOYSA-N 0 0 427.458 -0.153 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001117768201 1073058022 /nfs/dbraw/zinc/05/80/22/1073058022.db2.gz QALYMRYRMQZOEB-GOSISDBHSA-N 0 0 445.563 -0.018 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001117768202 1073058068 /nfs/dbraw/zinc/05/80/68/1073058068.db2.gz QALYMRYRMQZOEB-SFHVURJKSA-N 0 0 445.563 -0.018 20 0 IBADRN CC1(C)NC(=O)N(CCN2CCN(CCN3C(=O)NC(C)(C)C3=O)[C@@H](CCO)C2)C1=O ZINC001117776658 1073057787 /nfs/dbraw/zinc/05/77/87/1073057787.db2.gz ATNHWACEEMQWPO-AWEZNQCLSA-N 0 0 438.529 -0.984 20 0 IBADRN CC1(C)NC(=O)N(CCN2CCN(CCN3C(=O)NC(C)(C)C3=O)[C@H](CCO)C2)C1=O ZINC001117776659 1073058047 /nfs/dbraw/zinc/05/80/47/1073058047.db2.gz ATNHWACEEMQWPO-CQSZACIVSA-N 0 0 438.529 -0.984 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCCC2N=NC(=S)N2C)s1 ZINC001117781958 1073057918 /nfs/dbraw/zinc/05/79/18/1073057918.db2.gz ORRISOTWIUFCFD-UHFFFAOYSA-N 0 0 446.580 -0.171 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)CCC(=O)Nc1ccc3c(n1)n(C)c(=O)n3C)C2 ZINC001117791133 1073057842 /nfs/dbraw/zinc/05/78/42/1073057842.db2.gz QCBXBPIAGMQSKA-UHFFFAOYSA-N 0 0 442.480 -0.187 20 0 IBADRN O=C(CCC(=O)N1CCC[C@H](n2cc(CO)nn2)C1)N1CCN(c2ncccn2)CC1 ZINC001117805901 1073057833 /nfs/dbraw/zinc/05/78/33/1073057833.db2.gz KYXYDYJVUFRKTJ-KRWDZBQOSA-N 0 0 428.497 -0.147 20 0 IBADRN O=C(CCC(=O)N1CCC[C@@H](n2cc(CO)nn2)C1)N1CCN(c2ncccn2)CC1 ZINC001117805902 1073057959 /nfs/dbraw/zinc/05/79/59/1073057959.db2.gz KYXYDYJVUFRKTJ-QGZVFWFLSA-N 0 0 428.497 -0.147 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@@H](CS(C)(=O)=O)C1)c2=O ZINC001117885628 1073058036 /nfs/dbraw/zinc/05/80/36/1073058036.db2.gz XTDAWNXCTUDOAL-SECBINFHSA-N 0 0 432.300 -0.214 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@H](CS(C)(=O)=O)C1)c2=O ZINC001117885629 1073058546 /nfs/dbraw/zinc/05/85/46/1073058546.db2.gz XTDAWNXCTUDOAL-VIFPVBQESA-N 0 0 432.300 -0.214 20 0 IBADRN CCOC(CNC(=O)C1(S(C)(=O)=O)CCC1)CNC(=O)C1(S(C)(=O)=O)CCC1 ZINC001117936471 1073058371 /nfs/dbraw/zinc/05/83/71/1073058371.db2.gz ZRDAQAISYHBIPF-UHFFFAOYSA-N 0 0 438.568 -0.442 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCCC[C@H]1C(N)=O)c(=O)n2CC(C)C ZINC001117942305 1073058351 /nfs/dbraw/zinc/05/83/51/1073058351.db2.gz VIQNOAJDQIIOEQ-AWEZNQCLSA-N 0 0 434.497 -0.472 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCCC[C@@H]1C(N)=O)c(=O)n2CC(C)C ZINC001117942306 1073058503 /nfs/dbraw/zinc/05/85/03/1073058503.db2.gz VIQNOAJDQIIOEQ-CQSZACIVSA-N 0 0 434.497 -0.472 20 0 IBADRN Cn1cnn(Cc2nnc(CCc3ccc(S(=O)(=O)N4CCOCC4)cc3)o2)c1=O ZINC001118134019 1073058446 /nfs/dbraw/zinc/05/84/46/1073058446.db2.gz WFCIXDLAVBHUFT-UHFFFAOYSA-N 0 0 434.478 -0.181 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(Cc3nnc(Cn4ncn(C)c4=O)o3)CC2)cc1 ZINC001118136209 1073058485 /nfs/dbraw/zinc/05/84/85/1073058485.db2.gz UEOGPAUNLNXLNW-UHFFFAOYSA-N 0 0 433.494 -0.172 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001118274803 1073058279 /nfs/dbraw/zinc/05/82/79/1073058279.db2.gz NMZAXZGFIIQRCK-CVEARBPZSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001118274808 1073058515 /nfs/dbraw/zinc/05/85/15/1073058515.db2.gz NMZAXZGFIIQRCK-HOTGVXAUSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001118274809 1073058472 /nfs/dbraw/zinc/05/84/72/1073058472.db2.gz NMZAXZGFIIQRCK-HZPDHXFCSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001118274810 1073058239 /nfs/dbraw/zinc/05/82/39/1073058239.db2.gz NMZAXZGFIIQRCK-JKSUJKDBSA-N 0 0 425.507 -0.143 20 0 IBADRN NS(=O)(=O)c1ccc(F)c(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001118298727 1073058410 /nfs/dbraw/zinc/05/84/10/1073058410.db2.gz NVMZRAKULXZZFQ-UHFFFAOYSA-N 0 0 429.474 -0.248 20 0 IBADRN Cn1cc(CNC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)nn1 ZINC001118299628 1073058385 /nfs/dbraw/zinc/05/83/85/1073058385.db2.gz IHEZXHKABFDTFM-UHFFFAOYSA-N 0 0 447.521 -0.028 20 0 IBADRN O=C(CN(CC(=O)NC1(CO)CCOCC1)Cc1ccccc1)NC1(CO)CCOCC1 ZINC001118368452 1073058307 /nfs/dbraw/zinc/05/83/07/1073058307.db2.gz KLZWDDUROYZRDD-UHFFFAOYSA-N 0 0 449.548 -0.196 20 0 IBADRN CN(CCCNC(=O)NCc1cccnc1N1CCC(C(N)=O)CC1)S(C)(=O)=O ZINC001118492636 1073058844 /nfs/dbraw/zinc/05/88/44/1073058844.db2.gz NNAFETNHRFZROT-UHFFFAOYSA-N 0 0 426.543 -0.136 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NC3CCN(C(=O)C4CCCCC4)CC3)C(=O)[C@@H]2C1 ZINC001118517103 1073058992 /nfs/dbraw/zinc/05/89/92/1073058992.db2.gz RQYMEACIGBXXIF-INIZCTEOSA-N 0 0 448.524 -0.299 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NC3CCN(C(=O)C4CCCCC4)CC3)C(=O)[C@H]2C1 ZINC001118517104 1073058822 /nfs/dbraw/zinc/05/88/22/1073058822.db2.gz RQYMEACIGBXXIF-MRXNPFEDSA-N 0 0 448.524 -0.299 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCOC2)CC1 ZINC001118619336 1073058812 /nfs/dbraw/zinc/05/88/12/1073058812.db2.gz ZNDXHXDZHGLSRI-HNNXBMFYSA-N 0 0 441.558 -0.521 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCOC2)CC1 ZINC001118619347 1073058985 /nfs/dbraw/zinc/05/89/85/1073058985.db2.gz ZNDXHXDZHGLSRI-OAHLLOKOSA-N 0 0 441.558 -0.521 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001118741021 1073058873 /nfs/dbraw/zinc/05/88/73/1073058873.db2.gz VZIDAVDBTONIJU-HRCADAONSA-N 0 0 447.517 -0.471 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001118741042 1073059003 /nfs/dbraw/zinc/05/90/03/1073059003.db2.gz VZIDAVDBTONIJU-OWCLPIDISA-N 0 0 447.517 -0.471 20 0 IBADRN CN(CC(=O)N1CCCC1)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001118771426 1073058794 /nfs/dbraw/zinc/05/87/94/1073058794.db2.gz FTAQMYJEVIQNPX-INIZCTEOSA-N 0 0 445.563 -0.151 20 0 IBADRN CN(CC(=O)N1CCCC1)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001118771427 1073058854 /nfs/dbraw/zinc/05/88/54/1073058854.db2.gz FTAQMYJEVIQNPX-MRXNPFEDSA-N 0 0 445.563 -0.151 20 0 IBADRN CNS(=O)(=O)C1CCN(c2nccnc2N2CCC(S(=O)(=O)NC)CC2)CC1 ZINC001118796995 1073059042 /nfs/dbraw/zinc/05/90/42/1073059042.db2.gz DRKNWWXLMLNJMH-UHFFFAOYSA-N 0 0 432.572 -0.487 20 0 IBADRN Cn1c2ncn(CCC(=O)N3Cc4cccnc4N4C[C@H](O)C[C@H]4C3)c2c(=O)n(C)c1=O ZINC001118799589 1073058970 /nfs/dbraw/zinc/05/89/70/1073058970.db2.gz ZZTDAJXRZTXVGG-LSDHHAIUSA-N 0 0 439.476 -0.799 20 0 IBADRN COc1ccc(C(=O)NC2(C(N)=O)CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC001118842408 1073059027 /nfs/dbraw/zinc/05/90/27/1073059027.db2.gz ADVMRKMASJJJBD-UHFFFAOYSA-N 0 0 427.479 -0.520 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1(C(N)=O)CCOCC1 ZINC001118843740 1073058941 /nfs/dbraw/zinc/05/89/41/1073058941.db2.gz UOFYWXYUVIAEFZ-UHFFFAOYSA-N 0 0 427.479 -0.520 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC001118981101 1073058886 /nfs/dbraw/zinc/05/88/86/1073058886.db2.gz DLTXQYSILBHWTN-UHFFFAOYSA-N 0 0 443.497 -0.193 20 0 IBADRN NC(=O)CC[C@H](C(=O)N[C@H]1CCN(C2CCOCC2)C1=O)N1C(=O)c2ccccc2C1=O ZINC001118981235 1073059021 /nfs/dbraw/zinc/05/90/21/1073059021.db2.gz IVCDUJHXPRHBOR-DLBZAZTESA-N 0 0 442.472 -0.187 20 0 IBADRN NC(=O)CC[C@H](C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O)N1C(=O)c2ccccc2C1=O ZINC001118981236 1073059013 /nfs/dbraw/zinc/05/90/13/1073059013.db2.gz IVCDUJHXPRHBOR-IAGOWNOFSA-N 0 0 442.472 -0.187 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N[C@H]1CCN(C2CCOCC2)C1=O)N1C(=O)c2ccccc2C1=O ZINC001118981237 1073058861 /nfs/dbraw/zinc/05/88/61/1073058861.db2.gz IVCDUJHXPRHBOR-IRXDYDNUSA-N 0 0 442.472 -0.187 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O)N1C(=O)c2ccccc2C1=O ZINC001118981238 1073059464 /nfs/dbraw/zinc/05/94/64/1073059464.db2.gz IVCDUJHXPRHBOR-SJORKVTESA-N 0 0 442.472 -0.187 20 0 IBADRN O=C1CCCCN1[C@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC001118984649 1073059496 /nfs/dbraw/zinc/05/94/96/1073059496.db2.gz IBOQNMYKJFQCHN-JDLZUTDUSA-N 0 0 432.481 -0.581 20 0 IBADRN O=C1CCCCN1[C@@H]1CCCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC001118984654 1073059508 /nfs/dbraw/zinc/05/95/08/1073059508.db2.gz IBOQNMYKJFQCHN-SXOQPLQPSA-N 0 0 432.481 -0.581 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001119041339 1073059538 /nfs/dbraw/zinc/05/95/38/1073059538.db2.gz OQYMULKSAFDZLG-INIZCTEOSA-N 0 0 440.522 -0.444 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001119041347 1073059470 /nfs/dbraw/zinc/05/94/70/1073059470.db2.gz OQYMULKSAFDZLG-MRXNPFEDSA-N 0 0 440.522 -0.444 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001119042222 1073059546 /nfs/dbraw/zinc/05/95/46/1073059546.db2.gz LGURANZYMDZPES-UHFFFAOYSA-N 0 0 425.507 -0.332 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001119043023 1073059513 /nfs/dbraw/zinc/05/95/13/1073059513.db2.gz ZFGFGZUXZJGOAG-UHFFFAOYSA-N 0 0 443.497 -0.193 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)Cn2nc3n(c2=O)CCCCC3)CC1 ZINC001119102485 1073059457 /nfs/dbraw/zinc/05/94/57/1073059457.db2.gz DKTIBVWXEFKCGZ-UHFFFAOYSA-N 0 0 434.522 -0.233 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCCNC(=O)C1 ZINC001119112168 1073059461 /nfs/dbraw/zinc/05/94/61/1073059461.db2.gz UMRZMKYVSACCGW-LPDYGMJQSA-N 0 0 443.547 -0.272 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCCNC(=O)C1 ZINC001119112169 1073059424 /nfs/dbraw/zinc/05/94/24/1073059424.db2.gz UMRZMKYVSACCGW-OIQJVACTSA-N 0 0 443.547 -0.272 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCCNC(=O)C1 ZINC001119112170 1073059487 /nfs/dbraw/zinc/05/94/87/1073059487.db2.gz UMRZMKYVSACCGW-RQPMMQJISA-N 0 0 443.547 -0.272 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCCNC(=O)C1 ZINC001119112171 1073059466 /nfs/dbraw/zinc/05/94/66/1073059466.db2.gz UMRZMKYVSACCGW-WDBUWWRISA-N 0 0 443.547 -0.272 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001119218193 1073059440 /nfs/dbraw/zinc/05/94/40/1073059440.db2.gz GTWZPSMXSARSFD-INIZCTEOSA-N 0 0 439.480 -0.240 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001119218196 1073059979 /nfs/dbraw/zinc/05/99/79/1073059979.db2.gz GTWZPSMXSARSFD-MRXNPFEDSA-N 0 0 439.480 -0.240 20 0 IBADRN CCN1CC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001119271741 1073059996 /nfs/dbraw/zinc/05/99/96/1073059996.db2.gz IONNXNCTRZPBMN-CABCVRRESA-N 0 0 431.536 -0.495 20 0 IBADRN CCN1CC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001119271742 1073059923 /nfs/dbraw/zinc/05/99/23/1073059923.db2.gz IONNXNCTRZPBMN-GJZGRUSLSA-N 0 0 431.536 -0.495 20 0 IBADRN CCN1CC[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001119271743 1073059968 /nfs/dbraw/zinc/05/99/68/1073059968.db2.gz IONNXNCTRZPBMN-HUUCEWRRSA-N 0 0 431.536 -0.495 20 0 IBADRN CCN1CC[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001119271744 1073059914 /nfs/dbraw/zinc/05/99/14/1073059914.db2.gz IONNXNCTRZPBMN-LSDHHAIUSA-N 0 0 431.536 -0.495 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cc1S(N)(=O)=O ZINC001119326932 1073059974 /nfs/dbraw/zinc/05/99/74/1073059974.db2.gz DBBVYCAAEZGADN-AWEZNQCLSA-N 0 0 429.470 -0.080 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cc1S(N)(=O)=O ZINC001119326934 1073059947 /nfs/dbraw/zinc/05/99/47/1073059947.db2.gz DBBVYCAAEZGADN-CQSZACIVSA-N 0 0 429.470 -0.080 20 0 IBADRN O=C(CN1CCC(C(=O)NCCO)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001119328875 1073060010 /nfs/dbraw/zinc/06/00/10/1073060010.db2.gz WRXDVHONSORWKJ-DLBZAZTESA-N 0 0 431.555 -0.998 20 0 IBADRN O=C(CN1CCC(C(=O)NCCO)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001119328876 1073060018 /nfs/dbraw/zinc/06/00/18/1073060018.db2.gz WRXDVHONSORWKJ-IAGOWNOFSA-N 0 0 431.555 -0.998 20 0 IBADRN O=C(CN1CCC(C(=O)NCCO)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001119328877 1073059984 /nfs/dbraw/zinc/05/99/84/1073059984.db2.gz WRXDVHONSORWKJ-IRXDYDNUSA-N 0 0 431.555 -0.998 20 0 IBADRN O=C(CN1CCC(C(=O)NCCO)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001119328878 1073060046 /nfs/dbraw/zinc/06/00/46/1073060046.db2.gz WRXDVHONSORWKJ-SJORKVTESA-N 0 0 431.555 -0.998 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)s1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001119566373 1073059903 /nfs/dbraw/zinc/05/99/03/1073059903.db2.gz AYPRGRIQRHDBAH-CHWSQXEVSA-N 0 0 428.536 -0.369 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)s1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001119566374 1073059998 /nfs/dbraw/zinc/05/99/98/1073059998.db2.gz AYPRGRIQRHDBAH-OLZOCXBDSA-N 0 0 428.536 -0.369 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)s1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001119566375 1073060038 /nfs/dbraw/zinc/06/00/38/1073060038.db2.gz AYPRGRIQRHDBAH-QWHCGFSZSA-N 0 0 428.536 -0.369 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)s1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001119566376 1073060003 /nfs/dbraw/zinc/06/00/03/1073060003.db2.gz AYPRGRIQRHDBAH-STQMWFEESA-N 0 0 428.536 -0.369 20 0 IBADRN Cn1cc(O)c(=O)cc1CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001119574201 1073060026 /nfs/dbraw/zinc/06/00/26/1073060026.db2.gz RCLBRYBKBLTHCL-HIFRSBDPSA-N 0 0 425.438 -0.330 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCCC[C@H]1CS(N)(=O)=O ZINC001119591640 1073059928 /nfs/dbraw/zinc/05/99/28/1073059928.db2.gz QZQZAZZBASMQAD-AWEZNQCLSA-N 0 0 427.527 -0.071 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCCC[C@@H]1CS(N)(=O)=O ZINC001119591641 1073059939 /nfs/dbraw/zinc/05/99/39/1073059939.db2.gz QZQZAZZBASMQAD-CQSZACIVSA-N 0 0 427.527 -0.071 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC001119593939 1073059886 /nfs/dbraw/zinc/05/98/86/1073059886.db2.gz ZKAALQWQZWVJMP-AWEZNQCLSA-N 0 0 430.914 -0.146 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC001119593940 1073060422 /nfs/dbraw/zinc/06/04/22/1073060422.db2.gz ZKAALQWQZWVJMP-CQSZACIVSA-N 0 0 430.914 -0.146 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001119642537 1073060407 /nfs/dbraw/zinc/06/04/07/1073060407.db2.gz AWYAOKJDMNINSX-DZGCQCFKSA-N 0 0 435.568 -0.658 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001119642538 1073060462 /nfs/dbraw/zinc/06/04/62/1073060462.db2.gz AWYAOKJDMNINSX-HIFRSBDPSA-N 0 0 435.568 -0.658 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001119642540 1073060534 /nfs/dbraw/zinc/06/05/34/1073060534.db2.gz AWYAOKJDMNINSX-UKRRQHHQSA-N 0 0 435.568 -0.658 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001119642541 1073060432 /nfs/dbraw/zinc/06/04/32/1073060432.db2.gz AWYAOKJDMNINSX-ZFWWWQNUSA-N 0 0 435.568 -0.658 20 0 IBADRN O=C(NCC1(O)CCC2(CC1)OCCO2)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001119989469 1073060546 /nfs/dbraw/zinc/06/05/46/1073060546.db2.gz IUWMFLDWBBOUGL-CYBMUJFWSA-N 0 0 429.495 -0.684 20 0 IBADRN O=C(NCC1(O)CCC2(CC1)OCCO2)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001119989470 1073060569 /nfs/dbraw/zinc/06/05/69/1073060569.db2.gz IUWMFLDWBBOUGL-ZDUSSCGKSA-N 0 0 429.495 -0.684 20 0 IBADRN CS(=O)(=O)NC1CCN(c2ccnc(N3CCC(NS(C)(=O)=O)CC3)n2)CC1 ZINC001120007500 1073060443 /nfs/dbraw/zinc/06/04/43/1073060443.db2.gz SUANASGCJKWHCQ-UHFFFAOYSA-N 0 0 432.572 -0.487 20 0 IBADRN CCS(=O)(=O)N1CCN(Cn2nc(C[C@H]3CCS(=O)(=O)C3)n(C)c2=S)CC1 ZINC001120272681 1073060470 /nfs/dbraw/zinc/06/04/70/1073060470.db2.gz IKJGFPUTLWDTQM-CYBMUJFWSA-N 0 0 437.613 -0.147 20 0 IBADRN CCS(=O)(=O)N1CCN(Cn2nc(C[C@@H]3CCS(=O)(=O)C3)n(C)c2=S)CC1 ZINC001120272686 1073060525 /nfs/dbraw/zinc/06/05/25/1073060525.db2.gz IKJGFPUTLWDTQM-ZDUSSCGKSA-N 0 0 437.613 -0.147 20 0 IBADRN CCN1CCOC[C@H]1C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001120698589 1073060509 /nfs/dbraw/zinc/06/05/09/1073060509.db2.gz OXXRGAXIRPKTTN-INIZCTEOSA-N 0 0 446.570 -0.200 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001120698596 1073060577 /nfs/dbraw/zinc/06/05/77/1073060577.db2.gz OXXRGAXIRPKTTN-MRXNPFEDSA-N 0 0 446.570 -0.200 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)/C=C/c1ccccc1 ZINC001120717026 1073060438 /nfs/dbraw/zinc/06/04/38/1073060438.db2.gz QISVFKVCTHEACK-KUCQQTCKSA-N 0 0 430.504 -0.235 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)/C=C\c1ccccc1 ZINC001120717031 1073060382 /nfs/dbraw/zinc/06/03/82/1073060382.db2.gz QISVFKVCTHEACK-MEODZPNASA-N 0 0 430.504 -0.235 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)/C=C\c1ccccc1 ZINC001120717032 1073061086 /nfs/dbraw/zinc/06/10/86/1073061086.db2.gz QISVFKVCTHEACK-QIENPNARSA-N 0 0 430.504 -0.235 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)/C=C/c1ccccc1 ZINC001120717033 1073061054 /nfs/dbraw/zinc/06/10/54/1073061054.db2.gz QISVFKVCTHEACK-SHQCLWGWSA-N 0 0 430.504 -0.235 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001120720029 1073060992 /nfs/dbraw/zinc/06/09/92/1073060992.db2.gz VGSJSCAWXCRFAM-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001120720030 1073060933 /nfs/dbraw/zinc/06/09/33/1073060933.db2.gz VGSJSCAWXCRFAM-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC001120795825 1073061105 /nfs/dbraw/zinc/06/11/05/1073061105.db2.gz FKOXLQGTENNDDK-CYBMUJFWSA-N 0 0 442.471 -0.594 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC001120795826 1073060966 /nfs/dbraw/zinc/06/09/66/1073060966.db2.gz FKOXLQGTENNDDK-ZDUSSCGKSA-N 0 0 442.471 -0.594 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)CC1 ZINC001120795875 1073061027 /nfs/dbraw/zinc/06/10/27/1073061027.db2.gz GHTLVWQQDZZIED-NRXISQOPSA-N 0 0 434.511 -0.877 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)CC1 ZINC001120795876 1073060954 /nfs/dbraw/zinc/06/09/54/1073060954.db2.gz GHTLVWQQDZZIED-YMAMQOFZSA-N 0 0 434.511 -0.877 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@](C)(c2ccc(C)cc2)C1=O ZINC001120796210 1073061037 /nfs/dbraw/zinc/06/10/37/1073061037.db2.gz PNOHVAWAIHKTPJ-AUUYWEPGSA-N 0 0 437.474 -0.439 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@](C)(c2ccc(C)cc2)C1=O ZINC001120796211 1073061000 /nfs/dbraw/zinc/06/10/00/1073061000.db2.gz PNOHVAWAIHKTPJ-IFXJQAMLSA-N 0 0 437.474 -0.439 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@](C)(c2ccc(C)cc2)C1=O ZINC001120796212 1073060976 /nfs/dbraw/zinc/06/09/76/1073060976.db2.gz PNOHVAWAIHKTPJ-KUHUBIRLSA-N 0 0 437.474 -0.439 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@](C)(c2ccc(C)cc2)C1=O ZINC001120796213 1073061114 /nfs/dbraw/zinc/06/11/14/1073061114.db2.gz PNOHVAWAIHKTPJ-LIRRHRJNSA-N 0 0 437.474 -0.439 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC001120796297 1073061095 /nfs/dbraw/zinc/06/10/95/1073061095.db2.gz SKLAXTZPIBYDIX-AUUYWEPGSA-N 0 0 435.458 -0.822 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC001120796298 1073060941 /nfs/dbraw/zinc/06/09/41/1073060941.db2.gz SKLAXTZPIBYDIX-IFXJQAMLSA-N 0 0 435.458 -0.822 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC001120796299 1073061065 /nfs/dbraw/zinc/06/10/65/1073061065.db2.gz SKLAXTZPIBYDIX-KUHUBIRLSA-N 0 0 435.458 -0.822 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC001120796300 1073061075 /nfs/dbraw/zinc/06/10/75/1073061075.db2.gz SKLAXTZPIBYDIX-LIRRHRJNSA-N 0 0 435.458 -0.822 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CCc1c(C)nc(-c2ccncc2)[nH]c1=O ZINC001120796410 1073061042 /nfs/dbraw/zinc/06/10/42/1073061042.db2.gz WIZQPYLMTWLARL-HNNXBMFYSA-N 0 0 434.474 -0.128 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CCc1c(C)nc(-c2ccncc2)[nH]c1=O ZINC001120796411 1073060984 /nfs/dbraw/zinc/06/09/84/1073060984.db2.gz WIZQPYLMTWLARL-OAHLLOKOSA-N 0 0 434.474 -0.128 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC001122007044 1073061649 /nfs/dbraw/zinc/06/16/49/1073061649.db2.gz AGNDOZWPOUYMAM-UHFFFAOYSA-N 0 0 425.486 -0.192 20 0 IBADRN CC(=O)Nc1nc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)cs1 ZINC001122406365 1073061601 /nfs/dbraw/zinc/06/16/01/1073061601.db2.gz FOYYOVQJUVKWRC-UHFFFAOYSA-N 0 0 434.478 -0.190 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3cccn4c(=O)[nH]nc34)CC2)ccc1=O ZINC001122502683 1073061678 /nfs/dbraw/zinc/06/16/78/1073061678.db2.gz ULDCVEYQGOGUFK-UHFFFAOYSA-N 0 0 427.421 -0.857 20 0 IBADRN Cc1nc2nc[nH]n2c(=O)c1CCOC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC001122640661 1073061537 /nfs/dbraw/zinc/06/15/37/1073061537.db2.gz JEMJHUQESAVZRP-UHFFFAOYSA-N 0 0 440.464 -0.269 20 0 IBADRN COC[C@H](Cn1cncn1)OC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001122713551 1073061558 /nfs/dbraw/zinc/06/15/58/1073061558.db2.gz AVXWDCUWXDZNEF-HNNXBMFYSA-N 0 0 426.499 -0.021 20 0 IBADRN COC[C@@H](Cn1cncn1)OC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001122713554 1073061505 /nfs/dbraw/zinc/06/15/05/1073061505.db2.gz AVXWDCUWXDZNEF-OAHLLOKOSA-N 0 0 426.499 -0.021 20 0 IBADRN C/C(=C\C(=O)Nc1cnn(CC(=O)N(C)C)c1)C(=O)Nc1cnn(CC(=O)N(C)C)c1 ZINC001123522772 1073061611 /nfs/dbraw/zinc/06/16/11/1073061611.db2.gz VMYVTMQKDUWVRU-AWNIVKPZSA-N 0 0 430.469 -0.221 20 0 IBADRN C/C(=C/C(=O)Nc1cnn(CC(=O)N(C)C)c1)C(=O)Nc1cnn(CC(=O)N(C)C)c1 ZINC001123522773 1073062195 /nfs/dbraw/zinc/06/21/95/1073062195.db2.gz VMYVTMQKDUWVRU-MLPAPPSSSA-N 0 0 430.469 -0.221 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC001123641852 1073062087 /nfs/dbraw/zinc/06/20/87/1073062087.db2.gz IQSSBVFZRKHYNX-UHFFFAOYSA-N 0 0 432.547 -0.379 20 0 IBADRN CC[C@H](NC(=O)/C=C(/C)C(=O)N[C@@H](CC)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC001123642668 1073062114 /nfs/dbraw/zinc/06/21/14/1073062114.db2.gz PGIZOPBFYLGKOS-RALMIJTQSA-N 0 0 438.525 -0.560 20 0 IBADRN CC[C@@H](NC(=O)/C(C)=C\C(=O)N[C@@H](CC)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC001123642669 1073062007 /nfs/dbraw/zinc/06/20/07/1073062007.db2.gz PGIZOPBFYLGKOS-RMJCYXJZSA-N 0 0 438.525 -0.560 20 0 IBADRN CC[C@@H](NC(=O)/C(C)=C/C(=O)N[C@@H](CC)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC001123642670 1073062021 /nfs/dbraw/zinc/06/20/21/1073062021.db2.gz PGIZOPBFYLGKOS-WEEZADPSSA-N 0 0 438.525 -0.560 20 0 IBADRN CC[C@H](NC(=O)/C=C(\C)C(=O)N[C@@H](CC)C(=O)N1CCOCC1)C(=O)N1CCOCC1 ZINC001123642671 1073062121 /nfs/dbraw/zinc/06/21/21/1073062121.db2.gz PGIZOPBFYLGKOS-WLFRDLTRSA-N 0 0 438.525 -0.560 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1COc3c(F)cc(F)cc3C1)C2 ZINC001123648553 1073062182 /nfs/dbraw/zinc/06/21/82/1073062182.db2.gz MAPCATVIZGXTSI-CYBMUJFWSA-N 0 0 436.419 -0.060 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1COc3c(F)cc(F)cc3C1)C2 ZINC001123648554 1073062144 /nfs/dbraw/zinc/06/21/44/1073062144.db2.gz MAPCATVIZGXTSI-ZDUSSCGKSA-N 0 0 436.419 -0.060 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC001123733883 1073062205 /nfs/dbraw/zinc/06/22/05/1073062205.db2.gz BGGXILKUMCVEKZ-UHFFFAOYSA-N 0 0 429.485 -0.085 20 0 IBADRN O=C(CN1C(=O)CCC1=O)NCC[C@H](NC(=O)CN1C(=O)CCC1=O)c1ccccc1 ZINC001123734393 1073062044 /nfs/dbraw/zinc/06/20/44/1073062044.db2.gz BOLYUCCAQOKCBI-HNNXBMFYSA-N 0 0 428.445 -0.352 20 0 IBADRN O=C(CN1C(=O)CCC1=O)NCC[C@@H](NC(=O)CN1C(=O)CCC1=O)c1ccccc1 ZINC001123734394 1073062032 /nfs/dbraw/zinc/06/20/32/1073062032.db2.gz BOLYUCCAQOKCBI-OAHLLOKOSA-N 0 0 428.445 -0.352 20 0 IBADRN CN1CCN(C(=O)CNC(=O)c2sccc2S(=O)(=O)N2CCSCC2)CC1 ZINC001123917876 1073062236 /nfs/dbraw/zinc/06/22/36/1073062236.db2.gz AAXUBKACYNIZDT-UHFFFAOYSA-N 0 0 432.593 -0.011 20 0 IBADRN CN1CCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC001123995986 1073062073 /nfs/dbraw/zinc/06/20/73/1073062073.db2.gz SURBUKYZWXETMK-AHKGRUIUSA-N 0 0 427.505 -0.320 20 0 IBADRN CN1CCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC001123995987 1073062271 /nfs/dbraw/zinc/06/22/71/1073062271.db2.gz SURBUKYZWXETMK-KKMIYCERSA-N 0 0 427.505 -0.320 20 0 IBADRN CN1CCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)CC1 ZINC001123995988 1073062051 /nfs/dbraw/zinc/06/20/51/1073062051.db2.gz SURBUKYZWXETMK-OTIVFRFGSA-N 0 0 427.505 -0.320 20 0 IBADRN CN1CCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)CC1 ZINC001123995989 1073062128 /nfs/dbraw/zinc/06/21/28/1073062128.db2.gz SURBUKYZWXETMK-YOCVDRLZSA-N 0 0 427.505 -0.320 20 0 IBADRN O=C([C@@H]1CSCC(=O)N1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC001124072079 1073062102 /nfs/dbraw/zinc/06/21/02/1073062102.db2.gz ZJNMQEHMRRSSRG-NSHDSACASA-N 0 0 427.533 -0.244 20 0 IBADRN CN1CCN(C(=O)CNC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC001124080147 1073062154 /nfs/dbraw/zinc/06/21/54/1073062154.db2.gz GLPBEKAERAZEQC-UHFFFAOYSA-N 0 0 430.552 -0.798 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CC(=O)N(C)C1=O ZINC001124220678 1073062693 /nfs/dbraw/zinc/06/26/93/1073062693.db2.gz QRKZFFTUJNCMAE-UHFFFAOYSA-N 0 0 426.451 -0.451 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(CC)CC)cc1NC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001124280540 1073062586 /nfs/dbraw/zinc/06/25/86/1073062586.db2.gz FGVFZAWZQHMJMG-AWEZNQCLSA-N 0 0 447.535 -0.033 20 0 IBADRN COC(=O)C[C@H]1c2cc(OC)c(OC)cc2CCN1C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001124284507 1073062744 /nfs/dbraw/zinc/06/27/44/1073062744.db2.gz KIJWLAMEAYRNGQ-KBPBESRZSA-N 0 0 440.474 -0.394 20 0 IBADRN COC(=O)C[C@@H]1c2cc(OC)c(OC)cc2CCN1C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001124284508 1073062553 /nfs/dbraw/zinc/06/25/53/1073062553.db2.gz KIJWLAMEAYRNGQ-UONOGXRCSA-N 0 0 440.474 -0.394 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001124298620 1073062652 /nfs/dbraw/zinc/06/26/52/1073062652.db2.gz AYYUNRLLYSFQDM-ZDUSSCGKSA-N 0 0 435.911 -0.914 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(=O)n(C)cc1Br ZINC001124381351 1073062721 /nfs/dbraw/zinc/06/27/21/1073062721.db2.gz UOIIWFCUYXGNKR-JTQLQIEISA-N 0 0 434.312 -0.087 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(=O)n(C)cc1Br ZINC001124381352 1073062599 /nfs/dbraw/zinc/06/25/99/1073062599.db2.gz UOIIWFCUYXGNKR-SNVBAGLBSA-N 0 0 434.312 -0.087 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3nc4n(c3=O)CCCC4)CC2)cc1 ZINC001124383932 1073062609 /nfs/dbraw/zinc/06/26/09/1073062609.db2.gz NPADEGLEIBVKFA-UHFFFAOYSA-N 0 0 435.506 -0.077 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCOC(=O)CNC(=O)C(C)(C)C ZINC001124441226 1073062755 /nfs/dbraw/zinc/06/27/55/1073062755.db2.gz OGTULSJNPDCIGX-CYBMUJFWSA-N 0 0 433.527 -0.766 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCOC(=O)CNC(=O)C(C)(C)C ZINC001124441227 1073062643 /nfs/dbraw/zinc/06/26/43/1073062643.db2.gz OGTULSJNPDCIGX-ZDUSSCGKSA-N 0 0 433.527 -0.766 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)[C@H]1COC(=O)N1 ZINC001124503857 1073062663 /nfs/dbraw/zinc/06/26/63/1073062663.db2.gz HPTGQPUIXTUDGD-OAHLLOKOSA-N 0 0 440.478 -0.409 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001124530249 1073062678 /nfs/dbraw/zinc/06/26/78/1073062678.db2.gz GKNDHYOQZKCDOU-UHFFFAOYSA-N 0 0 438.549 -0.778 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(C)(=O)=O)CC2)c(CN2C(=O)c3ccccc3C2=O)n1 ZINC001124532373 1073062730 /nfs/dbraw/zinc/06/27/30/1073062730.db2.gz CUWVCJPBBAGVNK-UHFFFAOYSA-N 0 0 431.474 -0.066 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001124537977 1073062704 /nfs/dbraw/zinc/06/27/04/1073062704.db2.gz NHFKDUWNIDVGOA-HNNXBMFYSA-N 0 0 427.552 -0.026 20 0 IBADRN C[C@@]1(CC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CCS(=O)(=O)N1 ZINC001124539154 1073062628 /nfs/dbraw/zinc/06/26/28/1073062628.db2.gz LSVAMIVBSUFUSH-KRWDZBQOSA-N 0 0 425.536 -0.128 20 0 IBADRN C[C@]1(CC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CCS(=O)(=O)N1 ZINC001124539155 1073062714 /nfs/dbraw/zinc/06/27/14/1073062714.db2.gz LSVAMIVBSUFUSH-QGZVFWFLSA-N 0 0 425.536 -0.128 20 0 IBADRN CO[C@@]1(C(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)CCS(=O)(=O)C1 ZINC001124557330 1073063066 /nfs/dbraw/zinc/06/30/66/1073063066.db2.gz KLYSFYGZJGFRDT-INIZCTEOSA-N 0 0 435.524 -0.282 20 0 IBADRN CO[C@]1(C(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)CCS(=O)(=O)C1 ZINC001124557331 1073063180 /nfs/dbraw/zinc/06/31/80/1073063180.db2.gz KLYSFYGZJGFRDT-MRXNPFEDSA-N 0 0 435.524 -0.282 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC(=O)N(c2cnn(CCOC)c2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001124557573 1073063165 /nfs/dbraw/zinc/06/31/65/1073063165.db2.gz PXZJHFJPPVKXCH-CVEARBPZSA-N 0 0 442.538 -0.065 20 0 IBADRN COCCCN(C(=O)[C@H]1CC(=O)N(c2cnn(CCOC)c2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001124557574 1073063188 /nfs/dbraw/zinc/06/31/88/1073063188.db2.gz PXZJHFJPPVKXCH-HOTGVXAUSA-N 0 0 442.538 -0.065 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC(=O)N(c2cnn(CCOC)c2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001124557575 1073062960 /nfs/dbraw/zinc/06/29/60/1073062960.db2.gz PXZJHFJPPVKXCH-HZPDHXFCSA-N 0 0 442.538 -0.065 20 0 IBADRN COCCCN(C(=O)[C@H]1CC(=O)N(c2cnn(CCOC)c2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001124557576 1073063099 /nfs/dbraw/zinc/06/30/99/1073063099.db2.gz PXZJHFJPPVKXCH-JKSUJKDBSA-N 0 0 442.538 -0.065 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(CC(=O)N4CCCCCC4)CC3)cc2S1(=O)=O ZINC001124583752 1073063137 /nfs/dbraw/zinc/06/31/37/1073063137.db2.gz VOGWGSXHWXSDLK-UHFFFAOYSA-N 0 0 438.554 -0.322 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC001124585854 1073063027 /nfs/dbraw/zinc/06/30/27/1073063027.db2.gz VCHYHVPUWJBJMV-JKSUJKDBSA-N 0 0 426.495 -0.397 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)cc2)C1 ZINC001124593465 1073063158 /nfs/dbraw/zinc/06/31/58/1073063158.db2.gz SOHWANOQFBQYRM-DOMZBBRYSA-N 0 0 429.520 -0.041 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2ccc(NC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)cc2)C1 ZINC001124593466 1073062991 /nfs/dbraw/zinc/06/29/91/1073062991.db2.gz SOHWANOQFBQYRM-WFASDCNBSA-N 0 0 429.520 -0.041 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2cc3n(n2)CCN(C)S3(=O)=O)cc1 ZINC001124611490 1073063015 /nfs/dbraw/zinc/06/30/15/1073063015.db2.gz JFFFOXVXDRFMNQ-UHFFFAOYSA-N 0 0 427.508 -0.504 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001124620317 1073063046 /nfs/dbraw/zinc/06/30/46/1073063046.db2.gz YZXIFLCFMFERFT-AWEZNQCLSA-N 0 0 429.520 -0.481 20 0 IBADRN O=C([C@@H]1COC(=O)N1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC001124659881 1073063036 /nfs/dbraw/zinc/06/30/36/1073063036.db2.gz ACVLSYBENCFHAM-NSHDSACASA-N 0 0 444.853 -0.388 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001124707364 1073063121 /nfs/dbraw/zinc/06/31/21/1073063121.db2.gz BFNUVEBQUWJQJJ-AWEZNQCLSA-N 0 0 428.511 -0.847 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001124707365 1073063110 /nfs/dbraw/zinc/06/31/10/1073063110.db2.gz BFNUVEBQUWJQJJ-CQSZACIVSA-N 0 0 428.511 -0.847 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001124725551 1073063003 /nfs/dbraw/zinc/06/30/03/1073063003.db2.gz ABRPFPMOZCNJCF-UHFFFAOYSA-N 0 0 448.519 -0.648 20 0 IBADRN CO[C@]1(C(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)CCS(=O)(=O)C1 ZINC001124731804 1073063056 /nfs/dbraw/zinc/06/30/56/1073063056.db2.gz SZAFVJLXADBRQG-GOSISDBHSA-N 0 0 444.531 -0.074 20 0 IBADRN CO[C@@]1(C(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)CCS(=O)(=O)C1 ZINC001124731805 1073062973 /nfs/dbraw/zinc/06/29/73/1073062973.db2.gz SZAFVJLXADBRQG-SFHVURJKSA-N 0 0 444.531 -0.074 20 0 IBADRN O=C([C@@H]1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001124790745 1073063079 /nfs/dbraw/zinc/06/30/79/1073063079.db2.gz GDZZMAOTUIRVIT-INIZCTEOSA-N 0 0 430.504 -0.132 20 0 IBADRN O=C([C@H]1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001124790746 1073063089 /nfs/dbraw/zinc/06/30/89/1073063089.db2.gz GDZZMAOTUIRVIT-MRXNPFEDSA-N 0 0 430.504 -0.132 20 0 IBADRN COC1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CS(=O)(=O)C1 ZINC001124790795 1073063550 /nfs/dbraw/zinc/06/35/50/1073063550.db2.gz HZHCZVGVPOBXHR-UHFFFAOYSA-N 0 0 446.503 -0.896 20 0 IBADRN NC(=O)c1ncn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)n1 ZINC001124852942 1073063534 /nfs/dbraw/zinc/06/35/34/1073063534.db2.gz JSDAHUNMDNSKTI-UHFFFAOYSA-N 0 0 432.384 -0.673 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@H]2OCC(=O)N(CC)[C@@H]2c2ccnn2C)CC1 ZINC001124965815 1073063618 /nfs/dbraw/zinc/06/36/18/1073063618.db2.gz HVNRKIJZLFVZOK-UXHICEINSA-N 0 0 434.541 -0.185 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC001124966354 1073063637 /nfs/dbraw/zinc/06/36/37/1073063637.db2.gz UPFARWVSOIUDKQ-ZDUSSCGKSA-N 0 0 444.535 -0.583 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)[C@@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001124997516 1073048898 /nfs/dbraw/zinc/04/88/98/1073048898.db2.gz QDECEWCXXLBVGT-GOSISDBHSA-N 0 0 433.527 -0.176 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)[C@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001124997517 1073048598 /nfs/dbraw/zinc/04/85/98/1073048598.db2.gz QDECEWCXXLBVGT-SFHVURJKSA-N 0 0 433.527 -0.176 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)CC2CS(=O)(=O)C2)CC1 ZINC001124998058 1073063499 /nfs/dbraw/zinc/06/34/99/1073063499.db2.gz LBSLVEJIVVGDTN-UHFFFAOYSA-N 0 0 448.519 -0.049 20 0 IBADRN C[C@@]1(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCCS1(=O)=O ZINC001125027772 1073063565 /nfs/dbraw/zinc/06/35/65/1073063565.db2.gz YUKPBSKZFHVIAI-KRWDZBQOSA-N 0 0 430.552 -0.383 20 0 IBADRN C[C@]1(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCCS1(=O)=O ZINC001125027773 1073063648 /nfs/dbraw/zinc/06/36/48/1073063648.db2.gz YUKPBSKZFHVIAI-QGZVFWFLSA-N 0 0 430.552 -0.383 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H]1C ZINC001125030561 1073063432 /nfs/dbraw/zinc/06/34/32/1073063432.db2.gz AMDHDIHQZICNGV-DJSGYFEHSA-N 0 0 425.463 -0.288 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2CSCC(=O)N2)CC1 ZINC001125064421 1073063390 /nfs/dbraw/zinc/06/33/90/1073063390.db2.gz JPFSTUKQELVQHV-LBPRGKRZSA-N 0 0 445.519 -0.252 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1ccnc(N2CCOCC2)n1)C(=O)NCCN1CCOCC1 ZINC001125071356 1073063606 /nfs/dbraw/zinc/06/36/06/1073063606.db2.gz MZRGENZOKZPHDA-AEFFLSMTSA-N 0 0 434.541 -0.094 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1ccnc(N2CCOCC2)n1)C(=O)NCCN1CCOCC1 ZINC001125071357 1073063367 /nfs/dbraw/zinc/06/33/67/1073063367.db2.gz MZRGENZOKZPHDA-FUHWJXTLSA-N 0 0 434.541 -0.094 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1ccnc(N2CCOCC2)n1)C(=O)NCCN1CCOCC1 ZINC001125071358 1073063379 /nfs/dbraw/zinc/06/33/79/1073063379.db2.gz MZRGENZOKZPHDA-SJLPKXTDSA-N 0 0 434.541 -0.094 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1ccnc(N2CCOCC2)n1)C(=O)NCCN1CCOCC1 ZINC001125071359 1073063419 /nfs/dbraw/zinc/06/34/19/1073063419.db2.gz MZRGENZOKZPHDA-WMZOPIPTSA-N 0 0 434.541 -0.094 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1CCC[C@H](C(=O)OC)C1=O)C(=O)NCCN1CCOCC1 ZINC001125071368 1073063595 /nfs/dbraw/zinc/06/35/95/1073063595.db2.gz NDOKGLZHITUKQI-BQFCYCMXSA-N 0 0 440.541 -0.623 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1CCC[C@@H](C(=O)OC)C1=O)C(=O)NCCN1CCOCC1 ZINC001125071369 1073063584 /nfs/dbraw/zinc/06/35/84/1073063584.db2.gz NDOKGLZHITUKQI-JZXOWHBKSA-N 0 0 440.541 -0.623 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1CCC[C@@H](C(=O)OC)C1=O)C(=O)NCCN1CCOCC1 ZINC001125071370 1073063521 /nfs/dbraw/zinc/06/35/21/1073063521.db2.gz NDOKGLZHITUKQI-LZLYRXPVSA-N 0 0 440.541 -0.623 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1CCC[C@H](C(=O)OC)C1=O)C(=O)NCCN1CCOCC1 ZINC001125071371 1073063491 /nfs/dbraw/zinc/06/34/91/1073063491.db2.gz NDOKGLZHITUKQI-XYJFISCASA-N 0 0 440.541 -0.623 20 0 IBADRN O=C(CN1CCCCC(=O)C1=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001125091162 1073063912 /nfs/dbraw/zinc/06/39/12/1073063912.db2.gz BQNKYDFHQPYOAW-UHFFFAOYSA-N 0 0 437.522 -0.769 20 0 IBADRN O=C(c1cccc(C(F)(F)F)c1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001125117309 1073063898 /nfs/dbraw/zinc/06/38/98/1073063898.db2.gz WQSOFLQWFRRDAQ-ZDUSSCGKSA-N 0 0 433.408 -0.097 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC001125126440 1073063969 /nfs/dbraw/zinc/06/39/69/1073063969.db2.gz WRJPGJTYTQIMBR-AWEZNQCLSA-N 0 0 440.547 -0.049 20 0 IBADRN COC(=O)c1cccn(CC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c1=O ZINC001125190140 1073064102 /nfs/dbraw/zinc/06/41/02/1073064102.db2.gz ATRCCNWTSAKYHN-UHFFFAOYSA-N 0 0 444.469 -0.072 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)NCC(=O)N3CCN(C(=O)OC(C)(C)C)CC3)CC2=O)cn1 ZINC001125264210 1073064017 /nfs/dbraw/zinc/06/40/17/1073064017.db2.gz ZOBSZJDRVWBTDC-AWEZNQCLSA-N 0 0 434.497 -0.032 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)NCC(=O)N3CCN(C(=O)OC(C)(C)C)CC3)CC2=O)cn1 ZINC001125264211 1073064031 /nfs/dbraw/zinc/06/40/31/1073064031.db2.gz ZOBSZJDRVWBTDC-CQSZACIVSA-N 0 0 434.497 -0.032 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)Cn3nc4n(c3=O)CCCC4)c2)CC1 ZINC001125336256 1070861782 /nfs/dbraw/zinc/86/17/82/1070861782.db2.gz UYTNBBWSNYEXEW-UHFFFAOYSA-N 0 0 434.522 -0.044 20 0 IBADRN CO[C@@]1(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CCS(=O)(=O)C1 ZINC001125375806 1070884923 /nfs/dbraw/zinc/88/49/23/1070884923.db2.gz BEZMRIAUSNGASB-KRWDZBQOSA-N 0 0 442.519 -0.401 20 0 IBADRN CO[C@]1(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CCS(=O)(=O)C1 ZINC001125375811 1070885413 /nfs/dbraw/zinc/88/54/13/1070885413.db2.gz BEZMRIAUSNGASB-QGZVFWFLSA-N 0 0 442.519 -0.401 20 0 IBADRN CC[C@@H](Sc1nc(CC(=O)NCCS(=O)(=O)N2CCOCC2)cs1)C(N)=O ZINC001125511280 1070981430 /nfs/dbraw/zinc/98/14/30/1070981430.db2.gz OPGSUVGFFJDKHF-GFCCVEGCSA-N 0 0 436.581 -0.180 20 0 IBADRN CC[C@H](Sc1nc(CC(=O)NCCS(=O)(=O)N2CCOCC2)cs1)C(N)=O ZINC001125511281 1070981411 /nfs/dbraw/zinc/98/14/11/1070981411.db2.gz OPGSUVGFFJDKHF-LBPRGKRZSA-N 0 0 436.581 -0.180 20 0 IBADRN Cn1cc(C(=O)NCCS(=O)(=O)N2CCOCC2)c(I)n1 ZINC001125511354 1070981531 /nfs/dbraw/zinc/98/15/31/1070981531.db2.gz RJJZTQGXUKIASS-UHFFFAOYSA-N 0 0 428.252 -0.584 20 0 IBADRN O=C(NCc1cccc(S(=O)(=O)N2CCCCC2)c1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001125652842 1071156657 /nfs/dbraw/zinc/15/66/57/1071156657.db2.gz NJKNLPDDZJLKNI-HNNXBMFYSA-N 0 0 429.520 -0.609 20 0 IBADRN CN1CCn2nc(C(=O)N3CSC[C@@H]3C(=O)N3CCSCC3)cc2S1(=O)=O ZINC001125788789 1071263351 /nfs/dbraw/zinc/26/33/51/1071263351.db2.gz HHIRSXNFFNYXHJ-GFCCVEGCSA-N 0 0 431.565 -0.392 20 0 IBADRN CN1CCn2nc(C(=O)N3CSC[C@H]3C(=O)N3CCSCC3)cc2S1(=O)=O ZINC001125788790 1071263478 /nfs/dbraw/zinc/26/34/78/1071263478.db2.gz HHIRSXNFFNYXHJ-LBPRGKRZSA-N 0 0 431.565 -0.392 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)s1 ZINC001125911674 1071363529 /nfs/dbraw/zinc/36/35/29/1071363529.db2.gz AJGSASWHUHIBOU-LBPRGKRZSA-N 0 0 437.565 -0.650 20 0 IBADRN COC(=O)c1ccn(CC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)n1 ZINC001126019640 1071417205 /nfs/dbraw/zinc/41/72/05/1071417205.db2.gz MDKDTBABQZZDFE-UHFFFAOYSA-N 0 0 435.506 -0.078 20 0 IBADRN COC(=O)c1cnn(CC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)c1 ZINC001126019989 1071417183 /nfs/dbraw/zinc/41/71/83/1071417183.db2.gz RCWCFCZPXIIJPJ-UHFFFAOYSA-N 0 0 435.506 -0.078 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)c3cn(C[C@@H]4CNC(=O)O4)nn3)CC2)CC1 ZINC001126048136 1071419763 /nfs/dbraw/zinc/41/97/63/1071419763.db2.gz ZKONDGLXPWZJCN-INIZCTEOSA-N 0 0 433.513 -0.207 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)c3cn(C[C@H]4CNC(=O)O4)nn3)CC2)CC1 ZINC001126048137 1071419731 /nfs/dbraw/zinc/41/97/31/1071419731.db2.gz ZKONDGLXPWZJCN-MRXNPFEDSA-N 0 0 433.513 -0.207 20 0 IBADRN Cn1ncc(CCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC001126066078 1071420870 /nfs/dbraw/zinc/42/08/70/1071420870.db2.gz QTSXSLNKFIMOLV-UHFFFAOYSA-N 0 0 434.478 -0.388 20 0 IBADRN COc1c(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cnn1C ZINC001126066191 1071420932 /nfs/dbraw/zinc/42/09/32/1071420932.db2.gz SLFACZQFXISXGO-UHFFFAOYSA-N 0 0 435.462 -0.094 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)[C@@H]3CSCC(=O)N3)cc2)CC1 ZINC001126126614 1071426278 /nfs/dbraw/zinc/42/62/78/1071426278.db2.gz LODDPWSWGCWXMV-AWEZNQCLSA-N 0 0 427.504 -0.459 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)[C@H]3CC[C@@H](C(N)=O)O3)cc2)CC1 ZINC001126127122 1071426420 /nfs/dbraw/zinc/42/64/20/1071426420.db2.gz OMHFRTSFVNCVQF-LSDHHAIUSA-N 0 0 425.463 -0.658 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)Oc1ccc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc1 ZINC001126128103 1071426334 /nfs/dbraw/zinc/42/63/34/1071426334.db2.gz VDKANJUZWBVFLR-UHFFFAOYSA-N 0 0 447.535 -0.409 20 0 IBADRN CN1C(=O)CN(CC(=O)Oc2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)C1=O ZINC001126128973 1071426972 /nfs/dbraw/zinc/42/69/72/1071426972.db2.gz ZODIKYKRIWUHQC-UHFFFAOYSA-N 0 0 438.462 -0.797 20 0 IBADRN CCc1ccccc1O[C@@H](C)C(=O)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001126147828 1071429421 /nfs/dbraw/zinc/42/94/21/1071429421.db2.gz DWUORQSQVCPCHD-HOCLYGCPSA-N 0 0 437.518 -0.400 20 0 IBADRN CCc1ccccc1O[C@H](C)C(=O)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001126147829 1071429488 /nfs/dbraw/zinc/42/94/88/1071429488.db2.gz DWUORQSQVCPCHD-ZBFHGGJFSA-N 0 0 437.518 -0.400 20 0 IBADRN CS(=O)(=O)N1CCC(CC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)CC1 ZINC001126179611 1071432871 /nfs/dbraw/zinc/43/28/71/1071432871.db2.gz NGPJRUQTCJDETO-HNNXBMFYSA-N 0 0 425.573 -0.347 20 0 IBADRN CS(=O)(=O)N1CCC(CC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)CC1 ZINC001126179613 1071433130 /nfs/dbraw/zinc/43/31/30/1071433130.db2.gz NGPJRUQTCJDETO-OAHLLOKOSA-N 0 0 425.573 -0.347 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1cccc(S(=O)(=O)N=S(C)(C)=O)c1 ZINC001126206712 1071438428 /nfs/dbraw/zinc/43/84/28/1071438428.db2.gz CKWCEIVSKBLHIV-UHFFFAOYSA-N 0 0 426.538 -0.106 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)C2(n3cnnn3)CCOCC2)CC1 ZINC001126236245 1071442340 /nfs/dbraw/zinc/44/23/40/1071442340.db2.gz DTPSHLQVHRCUEK-UHFFFAOYSA-N 0 0 440.448 -0.006 20 0 IBADRN Cn1nc2c(c1C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)CS(=O)(=O)CC2 ZINC001126253057 1071443004 /nfs/dbraw/zinc/44/30/04/1071443004.db2.gz FWSBIQNLFAYWSQ-GFCCVEGCSA-N 0 0 434.540 -0.891 20 0 IBADRN Cn1nc2c(c1C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)CS(=O)(=O)CC2 ZINC001126253058 1071443027 /nfs/dbraw/zinc/44/30/27/1071443027.db2.gz FWSBIQNLFAYWSQ-LBPRGKRZSA-N 0 0 434.540 -0.891 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)nn1 ZINC001126264543 1071444422 /nfs/dbraw/zinc/44/44/22/1071444422.db2.gz NUNHZRLTYWGATI-UHFFFAOYSA-N 0 0 428.515 -0.931 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001126278231 1071445860 /nfs/dbraw/zinc/44/58/60/1071445860.db2.gz OTUCLYUOSNDCIX-ZDUSSCGKSA-N 0 0 433.508 -0.424 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1nn(C)cc1I ZINC001126302747 1071457738 /nfs/dbraw/zinc/45/77/38/1071457738.db2.gz FEUPTVUWRVCBLR-UHFFFAOYSA-N 0 0 436.250 -0.028 20 0 IBADRN COCCCn1nc(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)ccc1=O ZINC001126333785 1071476598 /nfs/dbraw/zinc/47/65/98/1071476598.db2.gz KYYQLXLBYJIIGS-UHFFFAOYSA-N 0 0 442.538 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)C3(S(C)(=O)=O)CCOCC3)CC2)cn1 ZINC001126373856 1071571046 /nfs/dbraw/zinc/57/10/46/1071571046.db2.gz MVIBICHTACPQNS-UHFFFAOYSA-N 0 0 434.540 -0.717 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)[C@@H]3CC[C@H](CN4CCOCC4)O3)CC2)cn1 ZINC001126374016 1071570442 /nfs/dbraw/zinc/57/04/42/1071570442.db2.gz RINOGGOYXVKXJF-AEFFLSMTSA-N 0 0 441.554 -0.431 20 0 IBADRN O=C([C@@H]1CC[C@H](CN2CCOCC2)O1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001126589640 1072613639 /nfs/dbraw/zinc/61/36/39/1072613639.db2.gz AGJCJKKURIFDSK-SJORKVTESA-N 0 0 428.511 -0.472 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CCN1C(=O)Cc1cccc(Cl)c1 ZINC001126615541 1072632359 /nfs/dbraw/zinc/63/23/59/1072632359.db2.gz ZYCIBHGYTRRRQX-DOMZBBRYSA-N 0 0 427.910 -0.145 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CCN1C(=O)Cc1cccc(Cl)c1 ZINC001126615542 1072632457 /nfs/dbraw/zinc/63/24/57/1072632457.db2.gz ZYCIBHGYTRRRQX-WFASDCNBSA-N 0 0 427.910 -0.145 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)[C@H]1c1cccnc1 ZINC001126670973 1072873442 /nfs/dbraw/zinc/87/34/42/1072873442.db2.gz VFUJPLJVPDMFKA-MSOLQXFVSA-N 0 0 449.489 -0.358 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@@H](CC(N)=O)C3CCOCC3)CC2)cn1C ZINC001126711014 1072876685 /nfs/dbraw/zinc/87/66/85/1072876685.db2.gz FWMLJBYPXVBPMS-INIZCTEOSA-N 0 0 441.554 -0.084 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@H](CC(N)=O)C3CCOCC3)CC2)cn1C ZINC001126711169 1072876608 /nfs/dbraw/zinc/87/66/08/1072876608.db2.gz FWMLJBYPXVBPMS-MRXNPFEDSA-N 0 0 441.554 -0.084 20 0 IBADRN COc1ccc(NC(=O)C2(NC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CCCC2)cc1OC ZINC001126722908 1072880291 /nfs/dbraw/zinc/88/02/91/1072880291.db2.gz RXXDCFFVMSPJMM-ZDUSSCGKSA-N 0 0 439.490 -0.016 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3CC(=O)N(C)C3=O)CC2)c(OC)c1OC ZINC001126805507 1073096515 /nfs/dbraw/zinc/09/65/15/1073096515.db2.gz DZXRFNRCUZCTHW-UHFFFAOYSA-N 0 0 434.449 -0.109 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc1 ZINC001127008114 1073540016 /nfs/dbraw/zinc/54/00/16/1073540016.db2.gz YAJCHRXHXXRVOB-INIZCTEOSA-N 0 0 438.506 -0.919 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2CCC(=O)N(c3cnn(C)c3)C2)CC1 ZINC001127026966 1073569038 /nfs/dbraw/zinc/56/90/38/1073569038.db2.gz GIWMVROSHZHAIV-HNNXBMFYSA-N 0 0 427.527 -0.326 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H]2CCC(=O)N(c3cnn(C)c3)C2)CC1 ZINC001127026969 1073569266 /nfs/dbraw/zinc/56/92/66/1073569266.db2.gz GIWMVROSHZHAIV-OAHLLOKOSA-N 0 0 427.527 -0.326 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CNC(=O)C[C@@H]3C(F)(F)F)CC2)cn1C ZINC001127028192 1073570492 /nfs/dbraw/zinc/57/04/92/1073570492.db2.gz MKXDUHZGBJZVMR-NEPJUHHUSA-N 0 0 437.444 -0.124 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CNC(=O)C[C@H]3C(F)(F)F)CC2)cn1C ZINC001127028200 1073570529 /nfs/dbraw/zinc/57/05/29/1073570529.db2.gz MKXDUHZGBJZVMR-NWDGAFQWSA-N 0 0 437.444 -0.124 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CNC(=O)C[C@@H]3C(F)(F)F)CC2)cn1C ZINC001127028202 1073570884 /nfs/dbraw/zinc/57/08/84/1073570884.db2.gz MKXDUHZGBJZVMR-RYUDHWBXSA-N 0 0 437.444 -0.124 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CNC(=O)C[C@H]3C(F)(F)F)CC2)cn1C ZINC001127028204 1073570803 /nfs/dbraw/zinc/57/08/03/1073570803.db2.gz MKXDUHZGBJZVMR-VXGBXAGGSA-N 0 0 437.444 -0.124 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)C2(S(C)(=O)=O)CCOCC2)cc1 ZINC001127068932 1073623143 /nfs/dbraw/zinc/62/31/43/1073623143.db2.gz ZZWDFCDBZAOIAY-UHFFFAOYSA-N 0 0 447.531 -0.016 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H]2OCC(=O)N(C)[C@@H]2c2cccnc2)CC1 ZINC001127094939 1073678138 /nfs/dbraw/zinc/67/81/38/1073678138.db2.gz BRLUALGGGAQDSI-MSOLQXFVSA-N 0 0 440.522 -0.510 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)[C@]2(OC)CCS(=O)(=O)C2)cc1 ZINC001127136670 1072231509 /nfs/dbraw/zinc/23/15/09/1072231509.db2.gz JWTNUCJXMAJYKS-KRWDZBQOSA-N 0 0 433.504 -0.406 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)[C@@]2(OC)CCS(=O)(=O)C2)cc1 ZINC001127136671 1072231346 /nfs/dbraw/zinc/23/13/46/1072231346.db2.gz JWTNUCJXMAJYKS-QGZVFWFLSA-N 0 0 433.504 -0.406 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001127164822 1072264523 /nfs/dbraw/zinc/26/45/23/1072264523.db2.gz GBPYLVPYTFXOEA-KBPBESRZSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001127164837 1072264617 /nfs/dbraw/zinc/26/46/17/1072264617.db2.gz GBPYLVPYTFXOEA-KGLIPLIRSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001127164840 1072264624 /nfs/dbraw/zinc/26/46/24/1072264624.db2.gz GBPYLVPYTFXOEA-UONOGXRCSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@H](C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001127164843 1072264649 /nfs/dbraw/zinc/26/46/49/1072264649.db2.gz GBPYLVPYTFXOEA-ZIAGYGMSSA-N 0 0 432.543 -0.148 20 0 IBADRN O=C(NCCOc1ccc(S(=O)(=O)C(F)(F)F)cc1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001127206793 1072331522 /nfs/dbraw/zinc/33/15/22/1072331522.db2.gz DGDTZHTVTVFIFH-NSHDSACASA-N 0 0 444.409 -0.612 20 0 IBADRN CN(CCNC(=O)c1cc2n(n1)CCN(C)S2(=O)=O)S(=O)(=O)c1cccs1 ZINC001127273708 1072603787 /nfs/dbraw/zinc/60/37/87/1072603787.db2.gz FXMDXQQFGZJAFV-UHFFFAOYSA-N 0 0 433.537 -0.371 20 0 IBADRN COC1(C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)CS(=O)(=O)C1 ZINC001127351582 1072689896 /nfs/dbraw/zinc/68/98/96/1072689896.db2.gz GVYLQPXPVYPRPF-UHFFFAOYSA-N 0 0 447.535 -0.474 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC001127352578 1072690825 /nfs/dbraw/zinc/69/08/25/1072690825.db2.gz ORVTWWHCPKUIBS-JKSUJKDBSA-N 0 0 426.495 -0.255 20 0 IBADRN COCC[C@H](NC(=O)c1ccc(I)cc1-n1cnnn1)C(N)=O ZINC001127429313 1072775732 /nfs/dbraw/zinc/77/57/32/1072775732.db2.gz DNQIRGSFAJUNOF-JTQLQIEISA-N 0 0 430.206 -0.113 20 0 IBADRN COCC[C@@H](NC(=O)c1ccc(I)cc1-n1cnnn1)C(N)=O ZINC001127429315 1072775659 /nfs/dbraw/zinc/77/56/59/1072775659.db2.gz DNQIRGSFAJUNOF-SNVBAGLBSA-N 0 0 430.206 -0.113 20 0 IBADRN Cn1ccc(=O)c(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)c1 ZINC001127510687 1072899972 /nfs/dbraw/zinc/89/99/72/1072899972.db2.gz WWCAGHAJXOTAJV-UHFFFAOYSA-N 0 0 443.503 -0.080 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001127544613 1072957335 /nfs/dbraw/zinc/95/73/35/1072957335.db2.gz TVTBUCTVCXEQSW-CXAGYDPISA-N 0 0 448.519 -0.233 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001127544614 1072957400 /nfs/dbraw/zinc/95/74/00/1072957400.db2.gz TVTBUCTVCXEQSW-DYVFJYSZSA-N 0 0 448.519 -0.233 20 0 IBADRN O=C(N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001127544615 1072957532 /nfs/dbraw/zinc/95/75/32/1072957532.db2.gz TVTBUCTVCXEQSW-GUYCJALGSA-N 0 0 448.519 -0.233 20 0 IBADRN O=C(N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001127544616 1072957887 /nfs/dbraw/zinc/95/78/87/1072957887.db2.gz TVTBUCTVCXEQSW-SUMWQHHRSA-N 0 0 448.519 -0.233 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCNS(=O)(=O)c2cccnc2)[C@H]1c1nccn1C ZINC001127583631 1072995741 /nfs/dbraw/zinc/99/57/41/1072995741.db2.gz ZYYBTSJOAZOELR-HOTGVXAUSA-N 0 0 436.494 -0.802 20 0 IBADRN O=C(NCCCN(C1CC1)S(=O)(=O)c1cccc(F)c1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001127611042 1073009886 /nfs/dbraw/zinc/00/98/86/1073009886.db2.gz ALMBUSZZMXAFJY-HNNXBMFYSA-N 0 0 447.510 -0.602 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2c3c(nn2C)CCS(=O)(=O)C3)s1 ZINC001127666121 1073018698 /nfs/dbraw/zinc/01/86/98/1073018698.db2.gz MLJSMSNOSCPWRF-UHFFFAOYSA-N 0 0 432.549 -0.031 20 0 IBADRN Cn1nc(I)cc1C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC001127666764 1073018596 /nfs/dbraw/zinc/01/85/96/1073018596.db2.gz TZTXRRWLUULIOY-UHFFFAOYSA-N 0 0 439.235 -0.138 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)NCCNS(=O)(=O)c3cccnc3)CC2=O)cn1 ZINC001127668536 1073019353 /nfs/dbraw/zinc/01/93/53/1073019353.db2.gz CYMVTNPPMZGVNI-AWEZNQCLSA-N 0 0 436.494 -0.628 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NCCNS(=O)(=O)c3cccnc3)CC2=O)cn1 ZINC001127668539 1073019245 /nfs/dbraw/zinc/01/92/45/1073019245.db2.gz CYMVTNPPMZGVNI-CQSZACIVSA-N 0 0 436.494 -0.628 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCC2CCN(S(C)(=O)=O)CC2)[C@H]1c1ccnn1C ZINC001127688128 1073022663 /nfs/dbraw/zinc/02/26/63/1073022663.db2.gz IUOIBHRAZCECPZ-SJORKVTESA-N 0 0 427.527 -0.504 20 0 IBADRN O=C(N[C@H]1CC(=O)N(Cc2ccccc2C(F)(F)F)C1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001127794050 1073073727 /nfs/dbraw/zinc/07/37/27/1073073727.db2.gz VXGQELPNSHURKK-AAEUAGOBSA-N 0 0 433.408 -0.164 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(Cc2ccccc2C(F)(F)F)C1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001127794052 1073073845 /nfs/dbraw/zinc/07/38/45/1073073845.db2.gz VXGQELPNSHURKK-YPMHNXCESA-N 0 0 433.408 -0.164 20 0 IBADRN COc1ccc(C(=O)c2c(NC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)nc3n2CCC3)cc1 ZINC001127849131 1073077094 /nfs/dbraw/zinc/07/70/94/1073077094.db2.gz WTJSQIFSTYDVMR-ZDUSSCGKSA-N 0 0 432.458 -0.079 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(S(C)(=O)=O)c4ccccc4C3)cc2S1(=O)=O ZINC001127850714 1073077474 /nfs/dbraw/zinc/07/74/74/1073077474.db2.gz RKLKTZFKFGKDSI-UHFFFAOYSA-N 0 0 439.519 -0.061 20 0 IBADRN CC(C)(C)C(=O)N1CCO[C@H](C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001127870994 1073079103 /nfs/dbraw/zinc/07/91/03/1073079103.db2.gz IAOGBXGPBUJIGG-CVEARBPZSA-N 0 0 431.555 -0.087 20 0 IBADRN CC(C)(C)C(=O)N1CCO[C@H](C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001127870995 1073079118 /nfs/dbraw/zinc/07/91/18/1073079118.db2.gz IAOGBXGPBUJIGG-HOTGVXAUSA-N 0 0 431.555 -0.087 20 0 IBADRN CC(C)(C)C(=O)N1CCO[C@@H](C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001127870996 1073079044 /nfs/dbraw/zinc/07/90/44/1073079044.db2.gz IAOGBXGPBUJIGG-HZPDHXFCSA-N 0 0 431.555 -0.087 20 0 IBADRN CC(C)(C)C(=O)N1CCO[C@@H](C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001127870997 1073079068 /nfs/dbraw/zinc/07/90/68/1073079068.db2.gz IAOGBXGPBUJIGG-JKSUJKDBSA-N 0 0 431.555 -0.087 20 0 IBADRN O=C(CN1CCCC2(CC2)S1(=O)=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001127871794 1073079026 /nfs/dbraw/zinc/07/90/26/1073079026.db2.gz NZMRGKPAJTTYSF-HNNXBMFYSA-N 0 0 435.568 -0.402 20 0 IBADRN O=C(CN1CCCC2(CC2)S1(=O)=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001127871796 1073079473 /nfs/dbraw/zinc/07/94/73/1073079473.db2.gz NZMRGKPAJTTYSF-OAHLLOKOSA-N 0 0 435.568 -0.402 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCC2CCN(S(=O)(=O)CC)CC2)[C@H]1c1ccnn1C ZINC001127957238 1073091624 /nfs/dbraw/zinc/09/16/24/1073091624.db2.gz DDRIQPVGDAQETK-MSOLQXFVSA-N 0 0 441.554 -0.114 20 0 IBADRN O=C(c1ccnc(N2CCOCC2)n1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001127974394 1073104140 /nfs/dbraw/zinc/10/41/40/1073104140.db2.gz LXDZZXBQKTVWLE-HNNXBMFYSA-N 0 0 425.511 -0.420 20 0 IBADRN O=C(c1ccnc(N2CCOCC2)n1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001127974395 1073104128 /nfs/dbraw/zinc/10/41/28/1073104128.db2.gz LXDZZXBQKTVWLE-OAHLLOKOSA-N 0 0 425.511 -0.420 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NCCC(=O)N1CCOCC1 ZINC001128329738 1073188274 /nfs/dbraw/zinc/18/82/74/1073188274.db2.gz NIPSLGUTAGPANW-UHFFFAOYSA-N 0 0 432.520 -0.516 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)CC1 ZINC001128344445 1073190335 /nfs/dbraw/zinc/19/03/35/1073190335.db2.gz SRDURUAZXFDFAX-UHFFFAOYSA-N 0 0 448.538 -0.439 20 0 IBADRN CN1CCn2nc(C(=O)N[C@H]3CCN(C(=O)COc4ccccc4)C3)cc2S1(=O)=O ZINC001128357480 1073191474 /nfs/dbraw/zinc/19/14/74/1073191474.db2.gz DMOIAZBMKOQWKA-AWEZNQCLSA-N 0 0 433.490 -0.073 20 0 IBADRN CN1CCn2nc(C(=O)N[C@@H]3CCN(C(=O)COc4ccccc4)C3)cc2S1(=O)=O ZINC001128357481 1073191962 /nfs/dbraw/zinc/19/19/62/1073191962.db2.gz DMOIAZBMKOQWKA-CQSZACIVSA-N 0 0 433.490 -0.073 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC001128368309 1073193071 /nfs/dbraw/zinc/19/30/71/1073193071.db2.gz OFCXUGCAIKZPSL-UHFFFAOYSA-N 0 0 434.540 -0.300 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCC1CN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001128368313 1073192910 /nfs/dbraw/zinc/19/29/10/1073192910.db2.gz OLDNPWWJIPVVOR-KRWDZBQOSA-N 0 0 431.536 -0.551 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCC1CN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001128368314 1073193097 /nfs/dbraw/zinc/19/30/97/1073193097.db2.gz OLDNPWWJIPVVOR-QGZVFWFLSA-N 0 0 431.536 -0.551 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)[C@H]2CC(=O)N(c3cnn(CCOC)c3)C2)c1 ZINC001128375016 1073193691 /nfs/dbraw/zinc/19/36/91/1073193691.db2.gz IYNFWEHGDPOVDY-HNNXBMFYSA-N 0 0 442.476 -0.003 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N(c3cnn(CCOC)c3)C2)c1 ZINC001128375017 1073193423 /nfs/dbraw/zinc/19/34/23/1073193423.db2.gz IYNFWEHGDPOVDY-OAHLLOKOSA-N 0 0 442.476 -0.003 20 0 IBADRN CN1CCn2nc(C(=O)NCCNS(=O)(=O)c3ccc(F)cc3F)cc2S1(=O)=O ZINC001128384291 1073193652 /nfs/dbraw/zinc/19/36/52/1073193652.db2.gz PETNUQAGLPMIJB-UHFFFAOYSA-N 0 0 449.461 -0.496 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)c2cn(C[C@H]3CNC(=O)O3)nn2)CC1 ZINC001128438088 1073200233 /nfs/dbraw/zinc/20/02/33/1073200233.db2.gz SOBCFNJKNYQNLR-LLVKDONJSA-N 0 0 436.441 -0.482 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)c2cn(C[C@@H]3CNC(=O)O3)nn2)CC1 ZINC001128438091 1073200433 /nfs/dbraw/zinc/20/04/33/1073200433.db2.gz SOBCFNJKNYQNLR-NSHDSACASA-N 0 0 436.441 -0.482 20 0 IBADRN CN1CCn2nc(C(=O)NCCS(=O)(=O)CCc3ccccc3)cc2S1(=O)=O ZINC001128445666 1073200491 /nfs/dbraw/zinc/20/04/91/1073200491.db2.gz SPNDTVOSBKWXNC-UHFFFAOYSA-N 0 0 426.520 -0.096 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001128449422 1073201246 /nfs/dbraw/zinc/20/12/46/1073201246.db2.gz XYROFSIMHPWTTD-AGIUHOORSA-N 0 0 428.486 -0.363 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001128449423 1073201421 /nfs/dbraw/zinc/20/14/21/1073201421.db2.gz XYROFSIMHPWTTD-AVGNSLFASA-N 0 0 428.486 -0.363 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)[C@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001128449424 1073201404 /nfs/dbraw/zinc/20/14/04/1073201404.db2.gz XYROFSIMHPWTTD-FRRDWIJNSA-N 0 0 428.486 -0.363 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001128449425 1073201287 /nfs/dbraw/zinc/20/12/87/1073201287.db2.gz XYROFSIMHPWTTD-RWMBFGLXSA-N 0 0 428.486 -0.363 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)C1=O ZINC001128487202 1073216892 /nfs/dbraw/zinc/21/68/92/1073216892.db2.gz MAPCMTXHMNIQGG-HNNXBMFYSA-N 0 0 429.470 -0.026 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)C1=O ZINC001128487203 1073217025 /nfs/dbraw/zinc/21/70/25/1073217025.db2.gz MAPCMTXHMNIQGG-OAHLLOKOSA-N 0 0 429.470 -0.026 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)CC2=O)cn1 ZINC001128518497 1073239025 /nfs/dbraw/zinc/23/90/25/1073239025.db2.gz FZYXYLKHQOWTSO-CVEARBPZSA-N 0 0 439.538 -0.091 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)CC2=O)cn1 ZINC001128518498 1073238942 /nfs/dbraw/zinc/23/89/42/1073238942.db2.gz FZYXYLKHQOWTSO-HOTGVXAUSA-N 0 0 439.538 -0.091 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)CC2=O)cn1 ZINC001128518499 1073238922 /nfs/dbraw/zinc/23/89/22/1073238922.db2.gz FZYXYLKHQOWTSO-HZPDHXFCSA-N 0 0 439.538 -0.091 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)CC2=O)cn1 ZINC001128518500 1073239088 /nfs/dbraw/zinc/23/90/88/1073239088.db2.gz FZYXYLKHQOWTSO-JKSUJKDBSA-N 0 0 439.538 -0.091 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)cc1C(F)(F)F ZINC001128540832 1073244337 /nfs/dbraw/zinc/24/43/37/1073244337.db2.gz JGTCXPOEDYVTCC-JTQLQIEISA-N 0 0 428.410 -0.362 20 0 IBADRN CC(C)[C@H](NC(=O)CN1CCCCS1(=O)=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001128561568 1073249920 /nfs/dbraw/zinc/24/99/20/1073249920.db2.gz ZPNXHAPQLOFUPD-KRWDZBQOSA-N 0 0 426.543 -0.251 20 0 IBADRN CC(C)[C@@H](NC(=O)CN1CCCCS1(=O)=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001128561572 1073249875 /nfs/dbraw/zinc/24/98/75/1073249875.db2.gz ZPNXHAPQLOFUPD-QGZVFWFLSA-N 0 0 426.543 -0.251 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)[C@]2(OC)CCS(=O)(=O)C2)ccc1OC ZINC001128639649 1073260432 /nfs/dbraw/zinc/26/04/32/1073260432.db2.gz WUKNMVXVFCJBLN-INIZCTEOSA-N 0 0 436.508 -0.238 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)[C@@]2(OC)CCS(=O)(=O)C2)ccc1OC ZINC001128639651 1073260420 /nfs/dbraw/zinc/26/04/20/1073260420.db2.gz WUKNMVXVFCJBLN-MRXNPFEDSA-N 0 0 436.508 -0.238 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)C2(S(C)(=O)=O)CCOCC2)CC1 ZINC001128737735 1073269454 /nfs/dbraw/zinc/26/94/54/1073269454.db2.gz KEQHKOJSHIIIAX-UHFFFAOYSA-N 0 0 425.525 -0.451 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1c2c(nn1C)CCS(=O)(=O)C2)C(F)(F)F ZINC001128805451 1073272370 /nfs/dbraw/zinc/27/23/70/1073272370.db2.gz CVIAKWXOIPPIGI-JTQLQIEISA-N 0 0 432.446 -0.557 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1c2c(nn1C)CCS(=O)(=O)C2)C(F)(F)F ZINC001128805452 1073272291 /nfs/dbraw/zinc/27/22/91/1073272291.db2.gz CVIAKWXOIPPIGI-SNVBAGLBSA-N 0 0 432.446 -0.557 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC001128806174 1073272434 /nfs/dbraw/zinc/27/24/34/1073272434.db2.gz OSITWNBHTDGSJY-JTQLQIEISA-N 0 0 432.465 -0.266 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC001128806175 1073272310 /nfs/dbraw/zinc/27/23/10/1073272310.db2.gz OSITWNBHTDGSJY-SNVBAGLBSA-N 0 0 432.465 -0.266 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN2CCC[C@@H](C(=O)OC)C2=O)CC1 ZINC001128833159 1073273687 /nfs/dbraw/zinc/27/36/87/1073273687.db2.gz CRKJQEJSKOXCGD-CYBMUJFWSA-N 0 0 432.495 -0.633 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN2CCC[C@H](C(=O)OC)C2=O)CC1 ZINC001128833160 1073273663 /nfs/dbraw/zinc/27/36/63/1073273663.db2.gz CRKJQEJSKOXCGD-ZDUSSCGKSA-N 0 0 432.495 -0.633 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC001128833469 1073273733 /nfs/dbraw/zinc/27/37/33/1073273733.db2.gz IYFABXFIYVQMQA-CYBMUJFWSA-N 0 0 439.552 -0.062 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CC1 ZINC001128833471 1073273795 /nfs/dbraw/zinc/27/37/95/1073273795.db2.gz IYFABXFIYVQMQA-ZDUSSCGKSA-N 0 0 439.552 -0.062 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN2CCCC3(CC3)S2(=O)=O)CC1 ZINC001128837296 1073273810 /nfs/dbraw/zinc/27/38/10/1073273810.db2.gz KFQONKCMHCRDKN-UHFFFAOYSA-N 0 0 436.552 -0.087 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(S(=O)(=O)CC)C[C@H]2C)[C@H]1c1nccn1C ZINC001128855951 1073273777 /nfs/dbraw/zinc/27/37/77/1073273777.db2.gz JTWGFUKOTLRAJS-KBMXLJTQSA-N 0 0 427.527 -0.409 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC([C@@H]3OCC[C@H]3NC(=O)C(F)(F)F)CC2)C1=O ZINC001128871063 1073274148 /nfs/dbraw/zinc/27/41/48/1073274148.db2.gz GIMXHZDJUSHQNZ-PWSUYJOCSA-N 0 0 434.371 -0.518 20 0 IBADRN CN1CCn2nc(C(=O)N3CCn4ncc(I)c43)cc2S1(=O)=O ZINC001128896603 1073274124 /nfs/dbraw/zinc/27/41/24/1073274124.db2.gz QDYJWIGNTNXBMW-UHFFFAOYSA-N 0 0 448.246 -0.021 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)Nc2cc(Br)cnc2N2CCN(C)CC2)C1=O ZINC001128930784 1073274644 /nfs/dbraw/zinc/27/46/44/1073274644.db2.gz IQSUYAHBGRWBSU-UHFFFAOYSA-N 0 0 439.270 -0.045 20 0 IBADRN Cn1ccnc1[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001128956532 1073276198 /nfs/dbraw/zinc/27/61/98/1073276198.db2.gz PEWLODXEBAHJBM-QWHCGFSZSA-N 0 0 441.510 -0.546 20 0 IBADRN Cn1ccnc1[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001128956542 1073276871 /nfs/dbraw/zinc/27/68/71/1073276871.db2.gz PEWLODXEBAHJBM-STQMWFEESA-N 0 0 441.510 -0.546 20 0 IBADRN COC(=O)C1(NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOCC1 ZINC001129029083 1073281646 /nfs/dbraw/zinc/28/16/46/1073281646.db2.gz QIVBXMPWLILOPX-UHFFFAOYSA-N 0 0 425.463 -0.741 20 0 IBADRN O=C(CN1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](n2ccnn2)C1)NCc1ccco1 ZINC001129106872 1073286632 /nfs/dbraw/zinc/28/66/32/1073286632.db2.gz UGKPEXLHNRAZQJ-FGIYMWPYSA-N 0 0 426.477 -0.048 20 0 IBADRN COCCOC1(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CCOCC1 ZINC001129126860 1073287442 /nfs/dbraw/zinc/28/74/42/1073287442.db2.gz WQVDKVFLOANKMD-AWEZNQCLSA-N 0 0 431.511 -0.520 20 0 IBADRN COCCOC1(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CCOCC1 ZINC001129126861 1073287353 /nfs/dbraw/zinc/28/73/53/1073287353.db2.gz WQVDKVFLOANKMD-CQSZACIVSA-N 0 0 431.511 -0.520 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001129127733 1073287348 /nfs/dbraw/zinc/28/73/48/1073287348.db2.gz AOKBDYQQQJOPKK-AWEZNQCLSA-N 0 0 435.572 -0.427 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001129127734 1073287360 /nfs/dbraw/zinc/28/73/60/1073287360.db2.gz AOKBDYQQQJOPKK-CQSZACIVSA-N 0 0 435.572 -0.427 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001129128376 1073287928 /nfs/dbraw/zinc/28/79/28/1073287928.db2.gz ICCMNNXDTWYVNU-GFCCVEGCSA-N 0 0 437.515 -0.096 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001129128380 1073287786 /nfs/dbraw/zinc/28/77/86/1073287786.db2.gz ICCMNNXDTWYVNU-LBPRGKRZSA-N 0 0 437.515 -0.096 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)CN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC001129128924 1073286991 /nfs/dbraw/zinc/28/69/91/1073286991.db2.gz LUYIPDDJTLPDKQ-ACJLOTCBSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)CN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC001129128925 1073286999 /nfs/dbraw/zinc/28/69/99/1073286999.db2.gz LUYIPDDJTLPDKQ-FZKQIMNGSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)CN1C(=O)N[C@](C)(c2ccc(OC)cc2)C1=O ZINC001129128926 1073287026 /nfs/dbraw/zinc/28/70/26/1073287026.db2.gz LUYIPDDJTLPDKQ-SCLBCKFNSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)CN1C(=O)N[C@@](C)(c2ccc(OC)cc2)C1=O ZINC001129128927 1073286909 /nfs/dbraw/zinc/28/69/09/1073286909.db2.gz LUYIPDDJTLPDKQ-UGSOOPFHSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC001129129954 1073287402 /nfs/dbraw/zinc/28/74/02/1073287402.db2.gz UZZROZUWOQUJCI-GFCCVEGCSA-N 0 0 433.508 -0.465 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC001129129958 1073287366 /nfs/dbraw/zinc/28/73/66/1073287366.db2.gz UZZROZUWOQUJCI-LBPRGKRZSA-N 0 0 433.508 -0.465 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001129287951 1073364386 /nfs/dbraw/zinc/36/43/86/1073364386.db2.gz MABQOYGCCLHUIY-KBPBESRZSA-N 0 0 432.543 -0.054 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001129287953 1073364425 /nfs/dbraw/zinc/36/44/25/1073364425.db2.gz MABQOYGCCLHUIY-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001129287954 1073364412 /nfs/dbraw/zinc/36/44/12/1073364412.db2.gz MABQOYGCCLHUIY-UONOGXRCSA-N 0 0 432.543 -0.054 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001129287955 1073364399 /nfs/dbraw/zinc/36/43/99/1073364399.db2.gz MABQOYGCCLHUIY-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN Cn1c(C(=O)NCCn2cc(Br)ccc2=O)cc2c1n(C)c(=O)n(C)c2=O ZINC001129299534 1073364629 /nfs/dbraw/zinc/36/46/29/1073364629.db2.gz NECHDBWEONQATR-UHFFFAOYSA-N 0 0 436.266 -0.070 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001129501568 1073845454 /nfs/dbraw/zinc/84/54/54/1073845454.db2.gz LHJCLLAQPFTLSM-HUUCEWRRSA-N 0 0 427.454 -0.424 20 0 IBADRN COCCNC(=O)C1(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)CC1 ZINC001129536260 1073846666 /nfs/dbraw/zinc/84/66/66/1073846666.db2.gz FJADUVGOKDIASK-UHFFFAOYSA-N 0 0 441.506 -0.259 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001129612761 1073849507 /nfs/dbraw/zinc/84/95/07/1073849507.db2.gz LUBOTPNLJJHTGT-HZPDHXFCSA-N 0 0 427.454 -0.424 20 0 IBADRN NC(=O)CC[C@@H](NC(=O)CCCc1ccccc1)C(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001129617139 1073849431 /nfs/dbraw/zinc/84/94/31/1073849431.db2.gz NLPWPRQLENMYEQ-KZNAEPCWSA-N 0 0 430.505 -0.125 20 0 IBADRN NC(=O)CC[C@H](NC(=O)CCCc1ccccc1)C(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001129617140 1073849455 /nfs/dbraw/zinc/84/94/55/1073849455.db2.gz NLPWPRQLENMYEQ-RCCFBDPRSA-N 0 0 430.505 -0.125 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC001129786367 1073853091 /nfs/dbraw/zinc/85/30/91/1073853091.db2.gz DDEMMKXWTQHTBM-CYBMUJFWSA-N 0 0 445.519 -0.549 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC001129786368 1073853234 /nfs/dbraw/zinc/85/32/34/1073853234.db2.gz DDEMMKXWTQHTBM-ZDUSSCGKSA-N 0 0 445.519 -0.549 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C ZINC001129789225 1073853175 /nfs/dbraw/zinc/85/31/75/1073853175.db2.gz YGGIDSQARAYFQZ-CYBMUJFWSA-N 0 0 447.554 -0.237 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C ZINC001129789226 1073853219 /nfs/dbraw/zinc/85/32/19/1073853219.db2.gz YGGIDSQARAYFQZ-ZDUSSCGKSA-N 0 0 447.554 -0.237 20 0 IBADRN Cn1c(CCC(=O)NC[C@H]2CNC(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001129853057 1073853917 /nfs/dbraw/zinc/85/39/17/1073853917.db2.gz WBKGWTJODPFTPY-AWEZNQCLSA-N 0 0 449.533 -0.221 20 0 IBADRN Cn1c(CCC(=O)NC[C@@H]2CNC(=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001129853063 1073853927 /nfs/dbraw/zinc/85/39/27/1073853927.db2.gz WBKGWTJODPFTPY-CQSZACIVSA-N 0 0 449.533 -0.221 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC001129886748 1073854414 /nfs/dbraw/zinc/85/44/14/1073854414.db2.gz BCINIBXZXBIWGI-JLTOFOAXSA-N 0 0 434.424 -0.127 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC001129886750 1073854306 /nfs/dbraw/zinc/85/43/06/1073854306.db2.gz BCINIBXZXBIWGI-VBKZILBWSA-N 0 0 434.424 -0.127 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC001129886751 1073854375 /nfs/dbraw/zinc/85/43/75/1073854375.db2.gz BCINIBXZXBIWGI-VLIAUNLRSA-N 0 0 434.424 -0.127 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC001129886752 1073854407 /nfs/dbraw/zinc/85/44/07/1073854407.db2.gz BCINIBXZXBIWGI-XOBRGWDASA-N 0 0 434.424 -0.127 20 0 IBADRN CNC(=O)[C@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC001129903994 1073854883 /nfs/dbraw/zinc/85/48/83/1073854883.db2.gz DOQMBMHTDLWPDU-HNNXBMFYSA-N 0 0 440.497 -0.113 20 0 IBADRN CNC(=O)[C@@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC001129903996 1073854773 /nfs/dbraw/zinc/85/47/73/1073854773.db2.gz DOQMBMHTDLWPDU-OAHLLOKOSA-N 0 0 440.497 -0.113 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)C1=O ZINC001129972162 1073857673 /nfs/dbraw/zinc/85/76/73/1073857673.db2.gz LWJAVHBJGLXSDA-GXTWGEPZSA-N 0 0 435.458 -0.113 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)C1=O ZINC001129972163 1073857518 /nfs/dbraw/zinc/85/75/18/1073857518.db2.gz LWJAVHBJGLXSDA-JSGCOSHPSA-N 0 0 435.458 -0.113 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)C1=O ZINC001129972164 1073857467 /nfs/dbraw/zinc/85/74/67/1073857467.db2.gz LWJAVHBJGLXSDA-OCCSQVGLSA-N 0 0 435.458 -0.113 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)C1=O ZINC001129972165 1073857346 /nfs/dbraw/zinc/85/73/46/1073857346.db2.gz LWJAVHBJGLXSDA-TZMCWYRMSA-N 0 0 435.458 -0.113 20 0 IBADRN CN1CCn2nc(C(=O)NC[C@@H]3CS(=O)(=O)c4ccccc4O3)cc2S1(=O)=O ZINC001130019343 1073861986 /nfs/dbraw/zinc/86/19/86/1073861986.db2.gz QDAKNMUJXRKGSV-LLVKDONJSA-N 0 0 426.476 -0.518 20 0 IBADRN CN1CCn2nc(C(=O)NC[C@H]3CS(=O)(=O)c4ccccc4O3)cc2S1(=O)=O ZINC001130019344 1073861909 /nfs/dbraw/zinc/86/19/09/1073861909.db2.gz QDAKNMUJXRKGSV-NSHDSACASA-N 0 0 426.476 -0.518 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001130080021 1073869542 /nfs/dbraw/zinc/86/95/42/1073869542.db2.gz JREQTJAPPZTTNC-CYBMUJFWSA-N 0 0 426.470 -0.654 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001130080022 1073869729 /nfs/dbraw/zinc/86/97/29/1073869729.db2.gz JREQTJAPPZTTNC-ZDUSSCGKSA-N 0 0 426.470 -0.654 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CC[C@H](Nc2ncccn2)C1 ZINC001130141097 1073871477 /nfs/dbraw/zinc/87/14/77/1073871477.db2.gz NTHPSSRYSIASAB-HNNXBMFYSA-N 0 0 430.490 -0.076 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CC[C@@H](Nc2ncccn2)C1 ZINC001130141098 1073871374 /nfs/dbraw/zinc/87/13/74/1073871374.db2.gz NTHPSSRYSIASAB-OAHLLOKOSA-N 0 0 430.490 -0.076 20 0 IBADRN COc1ccc(C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1S(=O)(=O)N(C)C ZINC001130149474 1073871793 /nfs/dbraw/zinc/87/17/93/1073871793.db2.gz JZFYJOZAOSBXHP-KRWDZBQOSA-N 0 0 432.520 -0.025 20 0 IBADRN COc1ccc(C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1S(=O)(=O)N(C)C ZINC001130149475 1073871846 /nfs/dbraw/zinc/87/18/46/1073871846.db2.gz JZFYJOZAOSBXHP-QGZVFWFLSA-N 0 0 432.520 -0.025 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCO[C@@]4(CCS(=O)(=O)C4)C3)cc2S1(=O)=O ZINC001130149854 1073871469 /nfs/dbraw/zinc/87/14/69/1073871469.db2.gz RLVAXGXENUHBDQ-KRWDZBQOSA-N 0 0 428.488 -0.119 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCO[C@]4(CCS(=O)(=O)C4)C3)cc2S1(=O)=O ZINC001130149855 1073871286 /nfs/dbraw/zinc/87/12/86/1073871286.db2.gz RLVAXGXENUHBDQ-QGZVFWFLSA-N 0 0 428.488 -0.119 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)C1=O ZINC001130149950 1073871270 /nfs/dbraw/zinc/87/12/70/1073871270.db2.gz UIHJMURUEWZQGD-AUUYWEPGSA-N 0 0 430.523 -0.017 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)C1=O ZINC001130149951 1073871422 /nfs/dbraw/zinc/87/14/22/1073871422.db2.gz UIHJMURUEWZQGD-IFXJQAMLSA-N 0 0 430.523 -0.017 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)C1=O ZINC001130149952 1073871388 /nfs/dbraw/zinc/87/13/88/1073871388.db2.gz UIHJMURUEWZQGD-KUHUBIRLSA-N 0 0 430.523 -0.017 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)C1=O ZINC001130149953 1073871197 /nfs/dbraw/zinc/87/11/97/1073871197.db2.gz UIHJMURUEWZQGD-LIRRHRJNSA-N 0 0 430.523 -0.017 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001130150051 1073871427 /nfs/dbraw/zinc/87/14/27/1073871427.db2.gz WICONJYNBVKTIF-INIZCTEOSA-N 0 0 434.492 -0.670 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001130150052 1073871401 /nfs/dbraw/zinc/87/14/01/1073871401.db2.gz WICONJYNBVKTIF-MRXNPFEDSA-N 0 0 434.492 -0.670 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H]3CCC(=O)N(C)C3=O)c2)CC1 ZINC001130159687 1073872010 /nfs/dbraw/zinc/87/20/10/1073872010.db2.gz LTPMFOGDEAXDMJ-INIZCTEOSA-N 0 0 436.490 -0.583 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H]3CCC(=O)N(C)C3=O)c2)CC1 ZINC001130159688 1073871781 /nfs/dbraw/zinc/87/17/81/1073871781.db2.gz LTPMFOGDEAXDMJ-MRXNPFEDSA-N 0 0 436.490 -0.583 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@@H]1CN(C3CC3)C(=O)O1)c2=O ZINC001130217948 1073876509 /nfs/dbraw/zinc/87/65/09/1073876509.db2.gz OJYUFYWGAPIHJX-SECBINFHSA-N 0 0 425.243 -0.008 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@H]1CN(C3CC3)C(=O)O1)c2=O ZINC001130217949 1073876454 /nfs/dbraw/zinc/87/64/54/1073876454.db2.gz OJYUFYWGAPIHJX-VIFPVBQESA-N 0 0 425.243 -0.008 20 0 IBADRN CNC(=O)[C@@H]1Cc2ccccc2CN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001130226095 1073876468 /nfs/dbraw/zinc/87/64/68/1073876468.db2.gz XXPUREDXEYRXKU-RDJZCZTQSA-N 0 0 432.502 -0.149 20 0 IBADRN CNC(=O)[C@@H]1Cc2ccccc2CN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001130226096 1073876394 /nfs/dbraw/zinc/87/63/94/1073876394.db2.gz XXPUREDXEYRXKU-WBVHZDCISA-N 0 0 432.502 -0.149 20 0 IBADRN O=C(Nc1cc(C(F)(F)F)ccc1N1CCNC(=O)C1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001130277775 1073878023 /nfs/dbraw/zinc/87/80/23/1073878023.db2.gz KXNPYTMAZTXAKM-NSHDSACASA-N 0 0 434.396 -0.507 20 0 IBADRN Cn1nccc1[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001130330749 1073878714 /nfs/dbraw/zinc/87/87/14/1073878714.db2.gz ASBMZPXVSZZYNK-KRWDZBQOSA-N 0 0 433.490 -0.246 20 0 IBADRN Cn1nccc1[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001130330750 1073879182 /nfs/dbraw/zinc/87/91/82/1073879182.db2.gz ASBMZPXVSZZYNK-QGZVFWFLSA-N 0 0 433.490 -0.246 20 0 IBADRN CNC(=O)[C@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccc(F)cc1C ZINC001130339063 1073879219 /nfs/dbraw/zinc/87/92/19/1073879219.db2.gz AYFWTMXQGNNJJN-AWEZNQCLSA-N 0 0 444.467 -0.398 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccc(F)cc1C ZINC001130339064 1073879073 /nfs/dbraw/zinc/87/90/73/1073879073.db2.gz AYFWTMXQGNNJJN-CQSZACIVSA-N 0 0 444.467 -0.398 20 0 IBADRN COc1cc(C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc(OC)c1OCC(N)=O ZINC001130359647 1073879277 /nfs/dbraw/zinc/87/92/77/1073879277.db2.gz NRNPLUGBMDYGEZ-GOSISDBHSA-N 0 0 428.463 -0.402 20 0 IBADRN COc1cc(C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc(OC)c1OCC(N)=O ZINC001130359648 1073879301 /nfs/dbraw/zinc/87/93/01/1073879301.db2.gz NRNPLUGBMDYGEZ-SFHVURJKSA-N 0 0 428.463 -0.402 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001130360197 1073879290 /nfs/dbraw/zinc/87/92/90/1073879290.db2.gz SHGNSLMLIRXBDK-IBGZPJMESA-N 0 0 435.506 -0.206 20 0 IBADRN Cc1nc2c(C(N)=O)cnn2c(C)c1CCC(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001130360198 1073879311 /nfs/dbraw/zinc/87/93/11/1073879311.db2.gz SHGNSLMLIRXBDK-LJQANCHMSA-N 0 0 435.506 -0.206 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2COC[C@H]2OC)c1OC ZINC001130372170 1073879563 /nfs/dbraw/zinc/87/95/63/1073879563.db2.gz ORILYZCUHZSWNX-GDBMZVCRSA-N 0 0 430.479 -0.132 20 0 IBADRN CO[C@@H]1COC[C@H]1NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001130374908 1073879821 /nfs/dbraw/zinc/87/98/21/1073879821.db2.gz CKZJSRDUMUZZKH-HZPDHXFCSA-N 0 0 429.470 -0.178 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001130447886 1073881890 /nfs/dbraw/zinc/88/18/90/1073881890.db2.gz BPMMVBVKSSKVMF-GFCCVEGCSA-N 0 0 435.499 -0.390 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001130447887 1073881790 /nfs/dbraw/zinc/88/17/90/1073881790.db2.gz BPMMVBVKSSKVMF-LBPRGKRZSA-N 0 0 435.499 -0.390 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001130448039 1073881866 /nfs/dbraw/zinc/88/18/66/1073881866.db2.gz HKZFZFXDGNKJDB-BFUOFWGJSA-N 0 0 438.506 -0.079 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001130448040 1073881944 /nfs/dbraw/zinc/88/19/44/1073881944.db2.gz HKZFZFXDGNKJDB-DJJJIMSYSA-N 0 0 438.506 -0.079 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001130448041 1073881903 /nfs/dbraw/zinc/88/19/03/1073881903.db2.gz HKZFZFXDGNKJDB-ORAYPTAESA-N 0 0 438.506 -0.079 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001130448042 1073881932 /nfs/dbraw/zinc/88/19/32/1073881932.db2.gz HKZFZFXDGNKJDB-YJYMSZOUSA-N 0 0 438.506 -0.079 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC001130448167 1073881917 /nfs/dbraw/zinc/88/19/17/1073881917.db2.gz LJYAXWKGGJARTQ-AWEZNQCLSA-N 0 0 440.547 -0.002 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC001130448168 1073881742 /nfs/dbraw/zinc/88/17/42/1073881742.db2.gz LJYAXWKGGJARTQ-CQSZACIVSA-N 0 0 440.547 -0.002 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001130448282 1073881970 /nfs/dbraw/zinc/88/19/70/1073881970.db2.gz OZWPBWCPTXDRFM-INIZCTEOSA-N 0 0 445.563 -0.210 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001130448283 1073881960 /nfs/dbraw/zinc/88/19/60/1073881960.db2.gz OZWPBWCPTXDRFM-MRXNPFEDSA-N 0 0 445.563 -0.210 20 0 IBADRN COc1ccc(C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001130448489 1073881761 /nfs/dbraw/zinc/88/17/61/1073881761.db2.gz VOKZFHSHQKEJJY-AWEZNQCLSA-N 0 0 447.535 -0.520 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001130448490 1073881828 /nfs/dbraw/zinc/88/18/28/1073881828.db2.gz VOKZFHSHQKEJJY-CQSZACIVSA-N 0 0 447.535 -0.520 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N[C@@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001130448625 1073882341 /nfs/dbraw/zinc/88/23/41/1073882341.db2.gz ZUIKNAUDNITVBA-PBHICJAKSA-N 0 0 431.536 -0.409 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N[C@@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001130448626 1073882235 /nfs/dbraw/zinc/88/22/35/1073882235.db2.gz ZUIKNAUDNITVBA-RHSMWYFYSA-N 0 0 431.536 -0.409 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N[C@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001130448627 1073882311 /nfs/dbraw/zinc/88/23/11/1073882311.db2.gz ZUIKNAUDNITVBA-WMLDXEAASA-N 0 0 431.536 -0.409 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N[C@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001130448628 1073882246 /nfs/dbraw/zinc/88/22/46/1073882246.db2.gz ZUIKNAUDNITVBA-YOEHRIQHSA-N 0 0 431.536 -0.409 20 0 IBADRN CNC(=O)[C@]1(C(F)(F)F)CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001130469263 1073884332 /nfs/dbraw/zinc/88/43/32/1073884332.db2.gz IBBBJTXIHORGFQ-BMIGLBTASA-N 0 0 438.428 -0.321 20 0 IBADRN CNC(=O)[C@]1(C(F)(F)F)CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001130469264 1073884159 /nfs/dbraw/zinc/88/41/59/1073884159.db2.gz IBBBJTXIHORGFQ-BONVTDFDSA-N 0 0 438.428 -0.321 20 0 IBADRN CNC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001130469265 1073884305 /nfs/dbraw/zinc/88/43/05/1073884305.db2.gz IBBBJTXIHORGFQ-MEBBXXQBSA-N 0 0 438.428 -0.321 20 0 IBADRN CNC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001130469266 1073884100 /nfs/dbraw/zinc/88/41/00/1073884100.db2.gz IBBBJTXIHORGFQ-ZUZCIYMTSA-N 0 0 438.428 -0.321 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Cn2nc(C(F)(F)F)c3c2CCOC3)CC1 ZINC001130636309 1073894229 /nfs/dbraw/zinc/89/42/29/1073894229.db2.gz HNCULPMRUBBROO-UHFFFAOYSA-N 0 0 425.433 -0.075 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cccn(CC(=O)OC(C)(C)C)c2=O)CC1 ZINC001130637298 1073893941 /nfs/dbraw/zinc/89/39/41/1073893941.db2.gz WNLHIJHHMNWGTA-UHFFFAOYSA-N 0 0 428.511 -0.246 20 0 IBADRN CCc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCS(=O)(=O)CC1 ZINC001130676044 1073895349 /nfs/dbraw/zinc/89/53/49/1073895349.db2.gz LYFVPLGMEBOKGJ-UHFFFAOYSA-N 0 0 445.563 -0.061 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC3(CS(C)(=O)=O)COC3)cc2S1(=O)=O ZINC001130776481 1073905407 /nfs/dbraw/zinc/90/54/07/1073905407.db2.gz BLRLHLSTRMBQKM-UHFFFAOYSA-N 0 0 432.476 -0.979 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001130800900 1073908065 /nfs/dbraw/zinc/90/80/65/1073908065.db2.gz DAKNKKOAEDLCTI-KBPBESRZSA-N 0 0 429.520 -0.224 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001130800901 1073908147 /nfs/dbraw/zinc/90/81/47/1073908147.db2.gz DAKNKKOAEDLCTI-KGLIPLIRSA-N 0 0 429.520 -0.224 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001130800902 1073908049 /nfs/dbraw/zinc/90/80/49/1073908049.db2.gz DAKNKKOAEDLCTI-UONOGXRCSA-N 0 0 429.520 -0.224 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001130800903 1073908079 /nfs/dbraw/zinc/90/80/79/1073908079.db2.gz DAKNKKOAEDLCTI-ZIAGYGMSSA-N 0 0 429.520 -0.224 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001130801160 1073908133 /nfs/dbraw/zinc/90/81/33/1073908133.db2.gz GTPKVTZZUFVDJH-DLBZAZTESA-N 0 0 446.547 -0.432 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001130801161 1073908006 /nfs/dbraw/zinc/90/80/06/1073908006.db2.gz GTPKVTZZUFVDJH-IAGOWNOFSA-N 0 0 446.547 -0.432 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001130801162 1073908181 /nfs/dbraw/zinc/90/81/81/1073908181.db2.gz GTPKVTZZUFVDJH-IRXDYDNUSA-N 0 0 446.547 -0.432 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001130801163 1073908172 /nfs/dbraw/zinc/90/81/72/1073908172.db2.gz GTPKVTZZUFVDJH-SJORKVTESA-N 0 0 446.547 -0.432 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC001130801565 1073907990 /nfs/dbraw/zinc/90/79/90/1073907990.db2.gz OXBLAYHQLBLKRE-CMPLNLGQSA-N 0 0 431.517 -0.160 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC001130801566 1073908140 /nfs/dbraw/zinc/90/81/40/1073908140.db2.gz OXBLAYHQLBLKRE-JQWIXIFHSA-N 0 0 431.517 -0.160 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC001130801567 1073908056 /nfs/dbraw/zinc/90/80/56/1073908056.db2.gz OXBLAYHQLBLKRE-PWSUYJOCSA-N 0 0 431.517 -0.160 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC001130801568 1073908159 /nfs/dbraw/zinc/90/81/59/1073908159.db2.gz OXBLAYHQLBLKRE-ZYHUDNBSSA-N 0 0 431.517 -0.160 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC(Cn2cnnc2)CC1 ZINC001130807329 1073907618 /nfs/dbraw/zinc/90/76/18/1073907618.db2.gz JNZRNEPIQRLHGB-UHFFFAOYSA-N 0 0 432.506 -0.049 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C3)nc2n(C)c1=O ZINC001130919648 1073916480 /nfs/dbraw/zinc/91/64/80/1073916480.db2.gz DXMRWEXYPQXLBX-MWLCHTKSSA-N 0 0 434.396 -0.320 20 0 IBADRN CCc1ccc(C(=O)NC2(CS(C)(=O)=O)COC2)cc1S(=O)(=O)N1CCOCC1 ZINC001130977571 1073919989 /nfs/dbraw/zinc/91/99/89/1073919989.db2.gz RCJUTVMWNJSNAN-UHFFFAOYSA-N 0 0 446.547 -0.187 20 0 IBADRN COc1ccc(C(=O)NC2(CS(C)(=O)=O)COC2)cc1S(=O)(=O)N1CCOCC1 ZINC001130977780 1073920024 /nfs/dbraw/zinc/92/00/24/1073920024.db2.gz ZHHUIUYDTVGMIN-UHFFFAOYSA-N 0 0 448.519 -0.741 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001131003746 1073920625 /nfs/dbraw/zinc/92/06/25/1073920625.db2.gz LFFGCJXJKDOXHE-KBPBESRZSA-N 0 0 436.483 -0.612 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001131003747 1073920556 /nfs/dbraw/zinc/92/05/56/1073920556.db2.gz LFFGCJXJKDOXHE-KGLIPLIRSA-N 0 0 436.483 -0.612 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001131003848 1073920671 /nfs/dbraw/zinc/92/06/71/1073920671.db2.gz LFFGCJXJKDOXHE-UONOGXRCSA-N 0 0 436.483 -0.612 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001131003849 1073920614 /nfs/dbraw/zinc/92/06/14/1073920614.db2.gz LFFGCJXJKDOXHE-ZIAGYGMSSA-N 0 0 436.483 -0.612 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@](OC)(C(=O)OC)C2)c1 ZINC001131172195 1073940380 /nfs/dbraw/zinc/94/03/80/1073940380.db2.gz GICADPJSMCHVQW-GOSISDBHSA-N 0 0 429.495 -0.331 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@@](OC)(C(=O)OC)C2)c1 ZINC001131172196 1073940625 /nfs/dbraw/zinc/94/06/25/1073940625.db2.gz GICADPJSMCHVQW-SFHVURJKSA-N 0 0 429.495 -0.331 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)[C@H]3CC[C@@H](C(N)=O)O3)CC2)CC1 ZINC001131220150 1073943643 /nfs/dbraw/zinc/94/36/43/1073943643.db2.gz IGDYXBCVYACJLF-LSDHHAIUSA-N 0 0 439.513 -0.164 20 0 IBADRN CCS(=O)(=O)C1(CNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC001131293138 1073945362 /nfs/dbraw/zinc/94/53/62/1073945362.db2.gz OSCZBERSJUWUDR-UHFFFAOYSA-N 0 0 432.300 -0.024 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3C[C@H]4[C@@H](C3)[C@H](OC)CS4(=O)=O)cc2S1(=O)=O ZINC001131343787 1073946369 /nfs/dbraw/zinc/94/63/69/1073946369.db2.gz FLXXLKSCKCXTMO-GUTXKFCHSA-N 0 0 428.488 -0.265 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)C[C@H]21 ZINC001131344235 1073946381 /nfs/dbraw/zinc/94/63/81/1073946381.db2.gz PHJXLZPVMPEDJK-BBWFWOEESA-N 0 0 444.531 -0.409 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3nn(C)cc3I)C[C@H]21 ZINC001131346706 1073946432 /nfs/dbraw/zinc/94/64/32/1073946432.db2.gz WWEPVSBWYOZLPK-SFGNSQDASA-N 0 0 425.248 -0.091 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)C1 ZINC001131396205 1073947558 /nfs/dbraw/zinc/94/75/58/1073947558.db2.gz OFGZAFYPPRVXMY-KRWDZBQOSA-N 0 0 433.504 -0.091 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)C1 ZINC001131396206 1073947566 /nfs/dbraw/zinc/94/75/66/1073947566.db2.gz OFGZAFYPPRVXMY-QGZVFWFLSA-N 0 0 433.504 -0.091 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001131433365 1073948720 /nfs/dbraw/zinc/94/87/20/1073948720.db2.gz MILWBBFCQRRHHS-UHFFFAOYSA-N 0 0 441.529 -0.092 20 0 IBADRN Cn1c(CCC(=O)N[C@H]2CCNC2=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001131447506 1073948685 /nfs/dbraw/zinc/94/86/85/1073948685.db2.gz DFVGUDCXSJTHMD-AWEZNQCLSA-N 0 0 435.506 -0.469 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](NS(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001131613321 1073955728 /nfs/dbraw/zinc/95/57/28/1073955728.db2.gz XGMGDFOPNNEZBW-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](NS(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001131613322 1073955756 /nfs/dbraw/zinc/95/57/56/1073955756.db2.gz XGMGDFOPNNEZBW-KGLIPLIRSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001131613323 1073955778 /nfs/dbraw/zinc/95/57/78/1073955778.db2.gz XGMGDFOPNNEZBW-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](NS(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001131613324 1073955790 /nfs/dbraw/zinc/95/57/90/1073955790.db2.gz XGMGDFOPNNEZBW-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN O=C(N[C@H]1CCNC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC001131649323 1073958267 /nfs/dbraw/zinc/95/82/67/1073958267.db2.gz ACYNHQXLJFZSIE-INIZCTEOSA-N 0 0 438.506 -0.838 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)C1 ZINC001131762788 1073963506 /nfs/dbraw/zinc/96/35/06/1073963506.db2.gz DEBFDZDMLOFMEY-UHFFFAOYSA-N 0 0 428.492 -0.786 20 0 IBADRN CN(C)C(=O)COc1ccc(C(F)(F)C(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1 ZINC001131762829 1073963418 /nfs/dbraw/zinc/96/34/18/1073963418.db2.gz DXNZGHLQVVIINA-UHFFFAOYSA-N 0 0 434.465 -0.148 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@H]2CCCCN2S(=O)(=O)c2ccccc2)C1 ZINC001131762984 1073963479 /nfs/dbraw/zinc/96/34/79/1073963479.db2.gz GFXYLWSABOOSBY-INIZCTEOSA-N 0 0 430.552 -0.163 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@H]2CCCCN2S(=O)(=O)c2ccccc2)C1 ZINC001131762986 1073963317 /nfs/dbraw/zinc/96/33/17/1073963317.db2.gz GFXYLWSABOOSBY-MRXNPFEDSA-N 0 0 430.552 -0.163 20 0 IBADRN COc1ccc(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1S(=O)(=O)NC(C)(C)C ZINC001131763141 1073963869 /nfs/dbraw/zinc/96/38/69/1073963869.db2.gz JVBGTDBEJSSFHA-UHFFFAOYSA-N 0 0 448.567 -0.008 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@H]2CCCCN2S(=O)(=O)c2cccs2)C1 ZINC001131763808 1073963967 /nfs/dbraw/zinc/96/39/67/1073963967.db2.gz TXXLHIQYRMYLHK-CYBMUJFWSA-N 0 0 436.581 -0.102 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@H]2CCCCN2S(=O)(=O)c2cccs2)C1 ZINC001131763809 1073963908 /nfs/dbraw/zinc/96/39/08/1073963908.db2.gz TXXLHIQYRMYLHK-ZDUSSCGKSA-N 0 0 436.581 -0.102 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)C1 ZINC001131763811 1073964000 /nfs/dbraw/zinc/96/40/00/1073964000.db2.gz TZCJXQHGTSGHFC-KRWDZBQOSA-N 0 0 427.458 -0.801 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)C1 ZINC001131763812 1073964041 /nfs/dbraw/zinc/96/40/41/1073964041.db2.gz TZCJXQHGTSGHFC-QGZVFWFLSA-N 0 0 427.458 -0.801 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2ccc3c(c2)C(=O)N(C[C@@H]2CCCO2)C3=O)C1 ZINC001131763872 1073963945 /nfs/dbraw/zinc/96/39/45/1073963945.db2.gz WHVITTCUPMTHSH-AWEZNQCLSA-N 0 0 436.490 -0.318 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2ccc3c(c2)C(=O)N(C[C@H]2CCCO2)C3=O)C1 ZINC001131763873 1073963958 /nfs/dbraw/zinc/96/39/58/1073963958.db2.gz WHVITTCUPMTHSH-CQSZACIVSA-N 0 0 436.490 -0.318 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@H]2CCCN(S(=O)(=O)c3ccc(F)cc3)C2)C1 ZINC001131763917 1073964019 /nfs/dbraw/zinc/96/40/19/1073964019.db2.gz YDJPFFUIDBDINS-CYBMUJFWSA-N 0 0 448.542 -0.167 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@H]2CCCN(S(=O)(=O)c3ccc(F)cc3)C2)C1 ZINC001131763918 1073963895 /nfs/dbraw/zinc/96/38/95/1073963895.db2.gz YDJPFFUIDBDINS-ZDUSSCGKSA-N 0 0 448.542 -0.167 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001131774975 1073963446 /nfs/dbraw/zinc/96/34/46/1073963446.db2.gz LYSNJZPNBMPNAI-HNNXBMFYSA-N 0 0 447.535 -0.902 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001131774976 1073963514 /nfs/dbraw/zinc/96/35/14/1073963514.db2.gz LYSNJZPNBMPNAI-OAHLLOKOSA-N 0 0 447.535 -0.902 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CC1(CC(=O)N2CCOCC2)CCCC1 ZINC001131775206 1073963488 /nfs/dbraw/zinc/96/34/88/1073963488.db2.gz VDYOMZCQKFJGLD-INIZCTEOSA-N 0 0 431.555 -0.038 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CC1(CC(=O)N2CCOCC2)CCCC1 ZINC001131775207 1073963521 /nfs/dbraw/zinc/96/35/21/1073963521.db2.gz VDYOMZCQKFJGLD-MRXNPFEDSA-N 0 0 431.555 -0.038 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)CC2=O)c1 ZINC001131775291 1073963428 /nfs/dbraw/zinc/96/34/28/1073963428.db2.gz XSCHXELWNDGRES-DZGCQCFKSA-N 0 0 441.506 -0.167 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)CC2=O)c1 ZINC001131775292 1073963457 /nfs/dbraw/zinc/96/34/57/1073963457.db2.gz XSCHXELWNDGRES-HIFRSBDPSA-N 0 0 441.506 -0.167 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)CC2=O)c1 ZINC001131775293 1073963405 /nfs/dbraw/zinc/96/34/05/1073963405.db2.gz XSCHXELWNDGRES-UKRRQHHQSA-N 0 0 441.506 -0.167 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)CC2=O)c1 ZINC001131775294 1073963467 /nfs/dbraw/zinc/96/34/67/1073963467.db2.gz XSCHXELWNDGRES-ZFWWWQNUSA-N 0 0 441.506 -0.167 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001131775330 1073963472 /nfs/dbraw/zinc/96/34/72/1073963472.db2.gz YTGDUXQEGVIQTB-HNNXBMFYSA-N 0 0 431.536 -0.075 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001131775331 1073963519 /nfs/dbraw/zinc/96/35/19/1073963519.db2.gz YTGDUXQEGVIQTB-OAHLLOKOSA-N 0 0 431.536 -0.075 20 0 IBADRN O=C(Nc1ccc2c(c1Br)S(=O)(=O)CC2)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001131814498 1073964399 /nfs/dbraw/zinc/96/43/99/1073964399.db2.gz XRRSNMKGEZKEHY-VIFPVBQESA-N 0 0 437.293 -0.369 20 0 IBADRN CN(CC(=O)Nc1ccc2c(c1)OCCO2)C(=O)c1cc2n(n1)CCN(C)S2(=O)=O ZINC001131819652 1073965151 /nfs/dbraw/zinc/96/51/51/1073965151.db2.gz MBFRPJYWADDJIY-UHFFFAOYSA-N 0 0 435.462 -0.001 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCCCC2)cn1C ZINC001131863204 1073966225 /nfs/dbraw/zinc/96/62/25/1073966225.db2.gz GCBQOZNXKGEPMJ-STQMWFEESA-N 0 0 428.511 -0.004 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001131863374 1073966268 /nfs/dbraw/zinc/96/62/68/1073966268.db2.gz NEVXSWVTRURUDC-RYUDHWBXSA-N 0 0 445.469 -0.357 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001131863749 1073966275 /nfs/dbraw/zinc/96/62/75/1073966275.db2.gz TWPOJIJYJOQBCS-QWRGUYRKSA-N 0 0 433.508 -0.435 20 0 IBADRN C[C@@H](C(=O)NCCCN1CCCS1(=O)=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001131890313 1073967296 /nfs/dbraw/zinc/96/72/96/1073967296.db2.gz FPIFPUXGGPNFGV-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN C[C@H](C(=O)NCCCN1CCCS1(=O)=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001131890314 1073967210 /nfs/dbraw/zinc/96/72/10/1073967210.db2.gz FPIFPUXGGPNFGV-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001131993580 1073968344 /nfs/dbraw/zinc/96/83/44/1073968344.db2.gz AWDPRTBOXUUGEP-UHFFFAOYSA-N 0 0 446.551 -0.764 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(F)(F)C(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001131993599 1073968376 /nfs/dbraw/zinc/96/83/76/1073968376.db2.gz BHTCNQGBBRZGJG-UHFFFAOYSA-N 0 0 434.465 -0.148 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CN2C(=O)c3ccc(Br)cc3C2=O)C1 ZINC001131993601 1073968321 /nfs/dbraw/zinc/96/83/21/1073968321.db2.gz BIQPNVJCBTWTBP-UHFFFAOYSA-N 0 0 445.295 -0.348 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cn(C)nc2CN2C(=O)c3ccccc3C2=O)C1 ZINC001131993671 1073968371 /nfs/dbraw/zinc/96/83/71/1073968371.db2.gz DNZOXCQBCIKVNE-UHFFFAOYSA-N 0 0 446.489 -0.563 20 0 IBADRN CC(C)C[C@H](C(=O)NC1CN(S(=O)(=O)N(C)C)C1)N1C(=O)[C@@H]2CC=CC[C@H]2C1=O ZINC001131993696 1073968278 /nfs/dbraw/zinc/96/82/78/1073968278.db2.gz FLXRZNRQDAMZIK-BZUAXINKSA-N 0 0 426.539 -0.041 20 0 IBADRN CC(C)C[C@H](C(=O)NC1CN(S(=O)(=O)N(C)C)C1)N1C(=O)[C@H]2CC=CC[C@@H]2C1=O ZINC001131993697 1073968335 /nfs/dbraw/zinc/96/83/35/1073968335.db2.gz FLXRZNRQDAMZIK-HRCADAONSA-N 0 0 426.539 -0.041 20 0 IBADRN CC(C)C[C@H](C(=O)NC1CN(S(=O)(=O)N(C)C)C1)N1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC001131993698 1073968338 /nfs/dbraw/zinc/96/83/38/1073968338.db2.gz FLXRZNRQDAMZIK-OWCLPIDISA-N 0 0 426.539 -0.041 20 0 IBADRN CC(C)C[C@@H](C(=O)NC1CN(S(=O)(=O)N(C)C)C1)N1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC001131993699 1073968231 /nfs/dbraw/zinc/96/82/31/1073968231.db2.gz FLXRZNRQDAMZIK-XHSDSOJGSA-N 0 0 426.539 -0.041 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1 ZINC001131993835 1073968365 /nfs/dbraw/zinc/96/83/65/1073968365.db2.gz KJNNUIZEXZGYHH-UHFFFAOYSA-N 0 0 430.552 -0.863 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001132004385 1073968870 /nfs/dbraw/zinc/96/88/70/1073968870.db2.gz CMMUUSHSSGPRQJ-MRVPVSSYSA-N 0 0 428.252 -0.585 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001132004386 1073968838 /nfs/dbraw/zinc/96/88/38/1073968838.db2.gz CMMUUSHSSGPRQJ-QMMMGPOBSA-N 0 0 428.252 -0.585 20 0 IBADRN Cn1cc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c(I)n1 ZINC001132004484 1073968929 /nfs/dbraw/zinc/96/89/29/1073968929.db2.gz HLPYVXLNQKGKMM-MRVPVSSYSA-N 0 0 428.252 -0.585 20 0 IBADRN Cn1cc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c(I)n1 ZINC001132004485 1073968876 /nfs/dbraw/zinc/96/88/76/1073968876.db2.gz HLPYVXLNQKGKMM-QMMMGPOBSA-N 0 0 428.252 -0.585 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001132004516 1073968741 /nfs/dbraw/zinc/96/87/41/1073968741.db2.gz IQHHSVDMZCNJMF-INIZCTEOSA-N 0 0 445.563 -0.136 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001132004517 1073968932 /nfs/dbraw/zinc/96/89/32/1073968932.db2.gz IQHHSVDMZCNJMF-MRXNPFEDSA-N 0 0 445.563 -0.136 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001132004868 1073968857 /nfs/dbraw/zinc/96/88/57/1073968857.db2.gz SRZFAQJPLFYIFQ-AWEZNQCLSA-N 0 0 443.526 -0.964 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001132004869 1073968937 /nfs/dbraw/zinc/96/89/37/1073968937.db2.gz SRZFAQJPLFYIFQ-CQSZACIVSA-N 0 0 443.526 -0.964 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001132011262 1073968851 /nfs/dbraw/zinc/96/88/51/1073968851.db2.gz CDZXZSBFAUBCIG-UHFFFAOYSA-N 0 0 445.469 -0.354 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001132012084 1073968814 /nfs/dbraw/zinc/96/88/14/1073968814.db2.gz PRZAHZXSIYTXCQ-UHFFFAOYSA-N 0 0 445.469 -0.354 20 0 IBADRN COc1ccc(CC(=O)N(C)[C@H]2C[C@@H](C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001132048169 1073969445 /nfs/dbraw/zinc/96/94/45/1073969445.db2.gz LPJPOEGZUWLBKZ-GASCZTMLSA-N 0 0 425.507 -0.019 20 0 IBADRN O=C(NCc1ccnc(-n2cncn2)c1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001132148577 1073971192 /nfs/dbraw/zinc/97/11/92/1073971192.db2.gz NMGIERVPSXOHJG-UHFFFAOYSA-N 0 0 441.473 -0.287 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001132230746 1073973064 /nfs/dbraw/zinc/97/30/64/1073973064.db2.gz RPZAICWBRQRKGM-UHFFFAOYSA-N 0 0 447.535 -0.503 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001132230873 1073973148 /nfs/dbraw/zinc/97/31/48/1073973148.db2.gz WDIYPIVRPYBMKP-CYBMUJFWSA-N 0 0 428.486 -0.360 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001132230874 1073973183 /nfs/dbraw/zinc/97/31/83/1073973183.db2.gz WDIYPIVRPYBMKP-ZDUSSCGKSA-N 0 0 428.486 -0.360 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC001132249239 1073973045 /nfs/dbraw/zinc/97/30/45/1073973045.db2.gz IHMMPASKWBQEBW-CYBMUJFWSA-N 0 0 448.519 -0.252 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC001132249240 1073973266 /nfs/dbraw/zinc/97/32/66/1073973266.db2.gz IHMMPASKWBQEBW-ZDUSSCGKSA-N 0 0 448.519 -0.252 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N(C)OC)c1 ZINC001132249632 1073973240 /nfs/dbraw/zinc/97/32/40/1073973240.db2.gz LDTAJUYNTAOVEC-CYBMUJFWSA-N 0 0 434.492 -0.329 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N(C)OC)c1 ZINC001132249635 1073973191 /nfs/dbraw/zinc/97/31/91/1073973191.db2.gz LDTAJUYNTAOVEC-ZDUSSCGKSA-N 0 0 434.492 -0.329 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N(C)OC)cc1 ZINC001132250935 1073973257 /nfs/dbraw/zinc/97/32/57/1073973257.db2.gz VPEWJLAPOZPJLJ-CYBMUJFWSA-N 0 0 434.492 -0.329 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N(C)OC)cc1 ZINC001132250936 1073973245 /nfs/dbraw/zinc/97/32/45/1073973245.db2.gz VPEWJLAPOZPJLJ-ZDUSSCGKSA-N 0 0 434.492 -0.329 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O ZINC001132251161 1073973155 /nfs/dbraw/zinc/97/31/55/1073973155.db2.gz WSZBSFNBAHTKEK-LJPSSGMGSA-N 0 0 443.522 -0.078 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)NC2(C[C@@H](C)C[C@H](C)C2)C1=O ZINC001132251163 1073973286 /nfs/dbraw/zinc/97/32/86/1073973286.db2.gz WSZBSFNBAHTKEK-MELADBBJSA-N 0 0 443.522 -0.078 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)NC2(C[C@H](C)C[C@@H](C)C2)C1=O ZINC001132251166 1073973112 /nfs/dbraw/zinc/97/31/12/1073973112.db2.gz WSZBSFNBAHTKEK-MGPQQGTHSA-N 0 0 443.522 -0.078 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(C[C@H](C)C[C@H](C)C2)C1=O ZINC001132251168 1073973232 /nfs/dbraw/zinc/97/32/32/1073973232.db2.gz WSZBSFNBAHTKEK-ZYKCCNLVSA-N 0 0 443.522 -0.078 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1ccc(CN3CCNC3=O)cc1)c(=O)n2C ZINC001132341138 1073976449 /nfs/dbraw/zinc/97/64/49/1073976449.db2.gz MGBRDPYUUPFEDB-UHFFFAOYSA-N 0 0 425.449 -0.725 20 0 IBADRN Cn1c2ncn(CCC(=O)NCc3ccc(CN4CCNC4=O)cc3)c2c(=O)n(C)c1=O ZINC001132341232 1073976574 /nfs/dbraw/zinc/97/65/74/1073976574.db2.gz QEYUNBFQVWYPLM-UHFFFAOYSA-N 0 0 439.476 -0.335 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001132364144 1073976569 /nfs/dbraw/zinc/97/65/69/1073976569.db2.gz CONURIKHRSCYFY-GOSISDBHSA-N 0 0 429.470 -0.130 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001132364147 1073976491 /nfs/dbraw/zinc/97/64/91/1073976491.db2.gz CONURIKHRSCYFY-SFHVURJKSA-N 0 0 429.470 -0.130 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)c1cc(Br)ccc1-n1cnnn1 ZINC001132364700 1073976583 /nfs/dbraw/zinc/97/65/83/1073976583.db2.gz MXWZTIHEKBIAHN-INIZCTEOSA-N 0 0 437.254 -0.218 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)c1cc(Br)ccc1-n1cnnn1 ZINC001132364705 1073977071 /nfs/dbraw/zinc/97/70/71/1073977071.db2.gz MXWZTIHEKBIAHN-MRXNPFEDSA-N 0 0 437.254 -0.218 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001132364968 1073976983 /nfs/dbraw/zinc/97/69/83/1073976983.db2.gz RDZPAUQWZSIIRH-DYESRHJHSA-N 0 0 430.461 -0.072 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@H](C(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001132364969 1073976974 /nfs/dbraw/zinc/97/69/74/1073976974.db2.gz RDZPAUQWZSIIRH-LAUBAEHRSA-N 0 0 430.461 -0.072 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001132364970 1073977018 /nfs/dbraw/zinc/97/70/18/1073977018.db2.gz RDZPAUQWZSIIRH-UTKZUKDTSA-N 0 0 430.461 -0.072 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@H](C(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001132364971 1073977037 /nfs/dbraw/zinc/97/70/37/1073977037.db2.gz RDZPAUQWZSIIRH-UWJYYQICSA-N 0 0 430.461 -0.072 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)c1ccc(Br)cc1-n1cnnn1 ZINC001132365420 1073977098 /nfs/dbraw/zinc/97/70/98/1073977098.db2.gz ZKBXETISPYRQRX-INIZCTEOSA-N 0 0 437.254 -0.218 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)c1ccc(Br)cc1-n1cnnn1 ZINC001132365424 1073977093 /nfs/dbraw/zinc/97/70/93/1073977093.db2.gz ZKBXETISPYRQRX-MRXNPFEDSA-N 0 0 437.254 -0.218 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@@]34COC(=O)N3CCOC4)CC2)cn1C ZINC001132491170 1073978636 /nfs/dbraw/zinc/97/86/36/1073978636.db2.gz BYOYVDISYJQEHO-GOSISDBHSA-N 0 0 441.510 -0.533 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@]34COC(=O)N3CCOC4)CC2)cn1C ZINC001132491171 1073979338 /nfs/dbraw/zinc/97/93/38/1073979338.db2.gz BYOYVDISYJQEHO-SFHVURJKSA-N 0 0 441.510 -0.533 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@]23COC(=O)N2CCOC3)cc1 ZINC001132491315 1073979344 /nfs/dbraw/zinc/97/93/44/1073979344.db2.gz GWHAEXYQPVQWAI-GOSISDBHSA-N 0 0 425.463 -0.501 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@]23COC(=O)N2CCOC3)cc1 ZINC001132491316 1073979428 /nfs/dbraw/zinc/97/94/28/1073979428.db2.gz GWHAEXYQPVQWAI-SFHVURJKSA-N 0 0 425.463 -0.501 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001132579407 1073980573 /nfs/dbraw/zinc/98/05/73/1073980573.db2.gz CNITYCKJFONNTO-IBGZPJMESA-N 0 0 439.490 -0.935 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001132579408 1073980533 /nfs/dbraw/zinc/98/05/33/1073980533.db2.gz CNITYCKJFONNTO-LJQANCHMSA-N 0 0 439.490 -0.935 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NC[C@]13COCCN1C(=O)COC3)C2=O ZINC001132579411 1073980528 /nfs/dbraw/zinc/98/05/28/1073980528.db2.gz CQQYREBBTYQLDE-IFZYUDKTSA-N 0 0 436.509 -0.133 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NC[C@@]13COCCN1C(=O)COC3)C2=O ZINC001132579412 1073980565 /nfs/dbraw/zinc/98/05/65/1073980565.db2.gz CQQYREBBTYQLDE-OREJSRFESA-N 0 0 436.509 -0.133 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NC[C@@]13COCCN1C(=O)COC3)C2=O ZINC001132579413 1073980668 /nfs/dbraw/zinc/98/06/68/1073980668.db2.gz CQQYREBBTYQLDE-PUCZYUMASA-N 0 0 436.509 -0.133 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NC[C@]13COCCN1C(=O)COC3)C2=O ZINC001132579414 1073980691 /nfs/dbraw/zinc/98/06/91/1073980691.db2.gz CQQYREBBTYQLDE-XMDBHEJHSA-N 0 0 436.509 -0.133 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001132580207 1073980676 /nfs/dbraw/zinc/98/06/76/1073980676.db2.gz NNWJKTXOSWVFPR-LEWJYISDSA-N 0 0 446.460 -0.794 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NC[C@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001132580208 1073980517 /nfs/dbraw/zinc/98/05/17/1073980517.db2.gz NNWJKTXOSWVFPR-NHCUHLMSSA-N 0 0 446.460 -0.794 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NC[C@@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001132580209 1073980541 /nfs/dbraw/zinc/98/05/41/1073980541.db2.gz NNWJKTXOSWVFPR-RTWAWAEBSA-N 0 0 446.460 -0.794 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001132580210 1073980698 /nfs/dbraw/zinc/98/06/98/1073980698.db2.gz NNWJKTXOSWVFPR-SFTDATJTSA-N 0 0 446.460 -0.794 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001132582722 1073980074 /nfs/dbraw/zinc/98/00/74/1073980074.db2.gz AIVBOJDZCOFKKK-IBGZPJMESA-N 0 0 438.506 -0.774 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001132582723 1073980064 /nfs/dbraw/zinc/98/00/64/1073980064.db2.gz AIVBOJDZCOFKKK-LJQANCHMSA-N 0 0 438.506 -0.774 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NC[C@]23COCCN2C(=O)COC3)n(C)c1 ZINC001132583041 1073979951 /nfs/dbraw/zinc/97/99/51/1073979951.db2.gz IDCVKZPPHJEBIB-GOSISDBHSA-N 0 0 428.511 -0.587 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NC[C@@]23COCCN2C(=O)COC3)n(C)c1 ZINC001132583042 1073980057 /nfs/dbraw/zinc/98/00/57/1073980057.db2.gz IDCVKZPPHJEBIB-SFHVURJKSA-N 0 0 428.511 -0.587 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC001132583410 1073980013 /nfs/dbraw/zinc/98/00/13/1073980013.db2.gz MBAOGFLGYOILDU-JXFKEZNVSA-N 0 0 437.518 -0.169 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC001132583412 1073979985 /nfs/dbraw/zinc/97/99/85/1073979985.db2.gz MBAOGFLGYOILDU-OXJNMPFZSA-N 0 0 437.518 -0.169 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC001132583415 1073980138 /nfs/dbraw/zinc/98/01/38/1073980138.db2.gz MBAOGFLGYOILDU-OXQOHEQNSA-N 0 0 437.518 -0.169 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC001132583418 1073980186 /nfs/dbraw/zinc/98/01/86/1073980186.db2.gz MBAOGFLGYOILDU-UZLBHIALSA-N 0 0 437.518 -0.169 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@]23COC(=O)N2CCOC3)C1=O ZINC001132713862 1073983990 /nfs/dbraw/zinc/98/39/90/1073983990.db2.gz DIDWNZRGLNPEPN-PMACEKPBSA-N 0 0 432.433 -0.200 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@]23COC(=O)N2CCOC3)C1=O ZINC001132713863 1073983911 /nfs/dbraw/zinc/98/39/11/1073983911.db2.gz DIDWNZRGLNPEPN-UXHICEINSA-N 0 0 432.433 -0.200 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@@]23COC(=O)N2CCOC3)C1=O ZINC001132713865 1073984585 /nfs/dbraw/zinc/98/45/85/1073984585.db2.gz DIDWNZRGLNPEPN-VQTJNVASSA-N 0 0 432.433 -0.200 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@@]23COC(=O)N2CCOC3)C1=O ZINC001132713867 1073984537 /nfs/dbraw/zinc/98/45/37/1073984537.db2.gz DIDWNZRGLNPEPN-WOJBJXKFSA-N 0 0 432.433 -0.200 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001132714840 1073984433 /nfs/dbraw/zinc/98/44/33/1073984433.db2.gz YCKOHBMUIQVSRP-INIZCTEOSA-N 0 0 431.492 -0.280 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001132714841 1073984520 /nfs/dbraw/zinc/98/45/20/1073984520.db2.gz YCKOHBMUIQVSRP-MRXNPFEDSA-N 0 0 431.492 -0.280 20 0 IBADRN CN(CC(=O)NC1(C(N)=O)CCS(=O)(=O)CC1)C(=O)c1ccc(Br)o1 ZINC001132752678 1073985151 /nfs/dbraw/zinc/98/51/51/1073985151.db2.gz AIZCIOZACUIXLD-UHFFFAOYSA-N 0 0 436.284 -0.337 20 0 IBADRN NC(=O)C1(NC(=O)C2CCN(C(=O)COc3ccccc3)CC2)CCS(=O)(=O)CC1 ZINC001132753269 1073985078 /nfs/dbraw/zinc/98/50/78/1073985078.db2.gz JJLKCEIZCFOMGA-UHFFFAOYSA-N 0 0 437.518 -0.147 20 0 IBADRN Cn1nc(I)cc1C(=O)NC1(C(N)=O)CCS(=O)(=O)CC1 ZINC001132756894 1073985023 /nfs/dbraw/zinc/98/50/23/1073985023.db2.gz FYMOIQZMXXKSSV-UHFFFAOYSA-N 0 0 426.236 -0.813 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC(=O)NCC13COC3)c2=O ZINC001132853629 1073987402 /nfs/dbraw/zinc/98/74/02/1073987402.db2.gz COAILZLHCAEIOE-UHFFFAOYSA-N 0 0 425.243 -0.990 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NCc3nnc4n3CCNC4=O)cc2)C[C@H](C)O1 ZINC001132954987 1073989071 /nfs/dbraw/zinc/98/90/71/1073989071.db2.gz HZXNBPQTFQGBHX-BETUJISGSA-N 0 0 448.505 -0.251 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NCc3nnc4n3CCNC4=O)cc2)C[C@@H](C)O1 ZINC001132954988 1073988998 /nfs/dbraw/zinc/98/89/98/1073988998.db2.gz HZXNBPQTFQGBHX-CHWSQXEVSA-N 0 0 448.505 -0.251 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)NCc3nnc4n3CCNC4=O)cc2)C[C@H](C)O1 ZINC001132954989 1073988902 /nfs/dbraw/zinc/98/89/02/1073988902.db2.gz HZXNBPQTFQGBHX-STQMWFEESA-N 0 0 448.505 -0.251 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3CCCN(S(C)(=O)=O)C3)CC2)cc1 ZINC001132969665 1073989041 /nfs/dbraw/zinc/98/90/41/1073989041.db2.gz SOBTVQMXXDYZMO-HNNXBMFYSA-N 0 0 444.579 -0.085 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCC(=O)NCC34COC4)CC2)cn1C ZINC001133074914 1073991648 /nfs/dbraw/zinc/99/16/48/1073991648.db2.gz XIPXOWJEGXNAGU-UHFFFAOYSA-N 0 0 425.511 -0.753 20 0 IBADRN C[C@H](C(=O)N1CC(=O)NCC12CN(C(=O)OC(C)(C)C)C2)S(=O)(=O)C1CCOCC1 ZINC001133085437 1073992161 /nfs/dbraw/zinc/99/21/61/1073992161.db2.gz QYLGLQIIROAHPY-CYBMUJFWSA-N 0 0 445.538 -0.083 20 0 IBADRN C[C@@H](C(=O)N1CC(=O)NCC12CN(C(=O)OC(C)(C)C)C2)S(=O)(=O)C1CCOCC1 ZINC001133085438 1073992309 /nfs/dbraw/zinc/99/23/09/1073992309.db2.gz QYLGLQIIROAHPY-ZDUSSCGKSA-N 0 0 445.538 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2C(=O)CC1CCN(S(C)(=O)=O)CC1 ZINC001133089683 1073992185 /nfs/dbraw/zinc/99/21/85/1073992185.db2.gz WMXRKBVHJMCANA-UHFFFAOYSA-N 0 0 444.554 -0.004 20 0 IBADRN CN(CC(=O)N1CC(=O)NCC12COC2)S(=O)(=O)c1ccc(Br)cc1 ZINC001133141286 1073993325 /nfs/dbraw/zinc/99/33/25/1073993325.db2.gz CXMFAUHGJRKLCK-UHFFFAOYSA-N 0 0 432.296 -0.203 20 0 IBADRN O=C(Cn1cnc2ccc(Br)cc2c1=O)NCc1nnc2n1CCNC2=O ZINC001133176601 1073993439 /nfs/dbraw/zinc/99/34/39/1073993439.db2.gz FFFPGYWTDHAFDM-UHFFFAOYSA-N 0 0 432.238 -0.190 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(C(=O)NCc2nnc3n2CCNC3=O)c1 ZINC001133176906 1073993204 /nfs/dbraw/zinc/99/32/04/1073993204.db2.gz LNPYHSASAWFTEA-UHFFFAOYSA-N 0 0 436.494 -0.009 20 0 IBADRN COc1ccc(C(=O)NCc2nnc3n2CCNC3=O)cc1S(=O)(=O)N1CCCC1 ZINC001133177079 1073993494 /nfs/dbraw/zinc/99/34/94/1073993494.db2.gz OSXJNKKJQWNTTE-UHFFFAOYSA-N 0 0 434.478 -0.255 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCc3nnc4n3CCNC4=O)n(C)c2)C1 ZINC001133177131 1073993234 /nfs/dbraw/zinc/99/32/34/1073993234.db2.gz PVTOLWQFCBZEIL-GFCCVEGCSA-N 0 0 435.510 -0.289 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCc3nnc4n3CCNC4=O)n(C)c2)C1 ZINC001133177136 1073993227 /nfs/dbraw/zinc/99/32/27/1073993227.db2.gz PVTOLWQFCBZEIL-LBPRGKRZSA-N 0 0 435.510 -0.289 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCc1nnc2n1CCNC2=O ZINC001133177150 1073993420 /nfs/dbraw/zinc/99/34/20/1073993420.db2.gz PZLQCBIDWADQGF-UHFFFAOYSA-N 0 0 448.505 -0.709 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC12COC2 ZINC001133180117 1073993155 /nfs/dbraw/zinc/99/31/55/1073993155.db2.gz JGVKDLNYMHJCOK-HNNXBMFYSA-N 0 0 441.506 -0.302 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC12COC2 ZINC001133180118 1073993259 /nfs/dbraw/zinc/99/32/59/1073993259.db2.gz JGVKDLNYMHJCOK-OAHLLOKOSA-N 0 0 441.506 -0.302 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1 ZINC001133215767 1073993803 /nfs/dbraw/zinc/99/38/03/1073993803.db2.gz BDAVAIWHONNPBF-INIZCTEOSA-N 0 0 429.564 -0.072 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1 ZINC001133215768 1073993786 /nfs/dbraw/zinc/99/37/86/1073993786.db2.gz BDAVAIWHONNPBF-MRXNPFEDSA-N 0 0 429.564 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC001133215799 1073993796 /nfs/dbraw/zinc/99/37/96/1073993796.db2.gz BZLAXEOWRSBWOX-AWEZNQCLSA-N 0 0 448.567 -0.724 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@H](CNS(C)(=O)=O)C2)c1 ZINC001133215800 1073993686 /nfs/dbraw/zinc/99/36/86/1073993686.db2.gz BZLAXEOWRSBWOX-CQSZACIVSA-N 0 0 448.567 -0.724 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)C1=O ZINC001133216293 1073993640 /nfs/dbraw/zinc/99/36/40/1073993640.db2.gz NDQQUQHKSJKOGT-KPZWWZAWSA-N 0 0 426.470 -0.010 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CC[C@H](CNS(C)(=O)=O)C2)C1=O ZINC001133216294 1073993854 /nfs/dbraw/zinc/99/38/54/1073993854.db2.gz NDQQUQHKSJKOGT-KZULUSFZSA-N 0 0 426.470 -0.010 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)C1=O ZINC001133216295 1073993844 /nfs/dbraw/zinc/99/38/44/1073993844.db2.gz NDQQUQHKSJKOGT-SGTLLEGYSA-N 0 0 426.470 -0.010 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CC[C@H](CNS(C)(=O)=O)C2)C1=O ZINC001133216296 1073993725 /nfs/dbraw/zinc/99/37/25/1073993725.db2.gz NDQQUQHKSJKOGT-XIKOKIGWSA-N 0 0 426.470 -0.010 20 0 IBADRN Cn1ccn2c(CNC(=O)CN3C(=O)N[C@@](C)(c4ccc(F)cc4)C3=O)nnc2c1=O ZINC001133264008 1073995463 /nfs/dbraw/zinc/99/54/63/1073995463.db2.gz KLJJDLBNCAUPAX-IBGZPJMESA-N 0 0 427.396 -0.350 20 0 IBADRN Cn1ccn2c(CNC(=O)CN3C(=O)N[C@](C)(c4ccc(F)cc4)C3=O)nnc2c1=O ZINC001133264009 1073995312 /nfs/dbraw/zinc/99/53/12/1073995312.db2.gz KLJJDLBNCAUPAX-LJQANCHMSA-N 0 0 427.396 -0.350 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2C(=O)Cn1nc2n(c1=O)CCCCC2 ZINC001133308952 1073995989 /nfs/dbraw/zinc/99/59/89/1073995989.db2.gz GZMNVMZCGFRNLT-UHFFFAOYSA-N 0 0 434.497 -0.281 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001133309645 1073995967 /nfs/dbraw/zinc/99/59/67/1073995967.db2.gz XREQRIDQMLYGRJ-UHFFFAOYSA-N 0 0 430.527 -0.394 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2C(=O)Cn1nnc2ccccc2c1=O ZINC001133309649 1073995914 /nfs/dbraw/zinc/99/59/14/1073995914.db2.gz XUCAJDBRAWKAFW-UHFFFAOYSA-N 0 0 428.449 -0.261 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2C(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1 ZINC001133312542 1073996547 /nfs/dbraw/zinc/99/65/47/1073996547.db2.gz HJGJBIRFKAENQI-CVEARBPZSA-N 0 0 438.525 -0.186 20 0 IBADRN O=C(CNC(=O)CN1C(=O)NC2(CCCCC2)C1=O)NCC(=O)NCc1ccccc1 ZINC001133373155 1073996868 /nfs/dbraw/zinc/99/68/68/1073996868.db2.gz CIQLZAZDRDXCSA-UHFFFAOYSA-N 0 0 429.477 -0.210 20 0 IBADRN O=C(CNC(=O)c1cccc(CN2C(=O)CNC2=O)c1)NCC(=O)NCc1ccccc1 ZINC001133374733 1073996850 /nfs/dbraw/zinc/99/68/50/1073996850.db2.gz XOPSSGXEIDPESI-UHFFFAOYSA-N 0 0 437.456 -0.099 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001133432150 1073998497 /nfs/dbraw/zinc/99/84/97/1073998497.db2.gz PDNOZKKDDMOTKQ-AWEZNQCLSA-N 0 0 431.536 -0.281 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001133432151 1073998519 /nfs/dbraw/zinc/99/85/19/1073998519.db2.gz PDNOZKKDDMOTKQ-CQSZACIVSA-N 0 0 431.536 -0.281 20 0 IBADRN COc1ccc(CC(=O)NCC[C@@H]2CNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001133500225 1074000622 /nfs/dbraw/zinc/00/06/22/1074000622.db2.gz ZZJCGNNJRVJXQG-HNNXBMFYSA-N 0 0 425.507 -0.099 20 0 IBADRN COc1ccc(CC(=O)NCC[C@H]2CNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001133500226 1074000493 /nfs/dbraw/zinc/00/04/93/1074000493.db2.gz ZZJCGNNJRVJXQG-OAHLLOKOSA-N 0 0 425.507 -0.099 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC[C@@H]3CNC(=O)C3)ccc2F)CC1 ZINC001133501737 1074000615 /nfs/dbraw/zinc/00/06/15/1074000615.db2.gz IOKPYDMMFWEMOG-AWEZNQCLSA-N 0 0 440.497 -0.066 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC[C@H]3CNC(=O)C3)ccc2F)CC1 ZINC001133501738 1074000605 /nfs/dbraw/zinc/00/06/05/1074000605.db2.gz IOKPYDMMFWEMOG-CQSZACIVSA-N 0 0 440.497 -0.066 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC001133586368 1074002343 /nfs/dbraw/zinc/00/23/43/1074002343.db2.gz RAOOSASQJAHFKW-UHFFFAOYSA-N 0 0 438.550 -0.061 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCC1(S(=O)(=O)N(C)C)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001133587814 1074002416 /nfs/dbraw/zinc/00/24/16/1074002416.db2.gz CGUGVHHXNVKMJN-KRWDZBQOSA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCC1(S(=O)(=O)N(C)C)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001133587815 1074002495 /nfs/dbraw/zinc/00/24/95/1074002495.db2.gz CGUGVHHXNVKMJN-QGZVFWFLSA-N 0 0 445.563 -0.018 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001133588539 1074002456 /nfs/dbraw/zinc/00/24/56/1074002456.db2.gz WKEMPSLAGIDEMS-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CC(C)(CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)CS(C)(=O)=O ZINC001133588749 1074002381 /nfs/dbraw/zinc/00/23/81/1074002381.db2.gz HNRPNSBBUHAGPN-UHFFFAOYSA-N 0 0 431.536 -0.392 20 0 IBADRN CNC(=O)C1(NC(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)CCOCC1 ZINC001133592119 1074002481 /nfs/dbraw/zinc/00/24/81/1074002481.db2.gz VPOVZUOFZSCMCP-UHFFFAOYSA-N 0 0 438.550 -0.142 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)cc1 ZINC001133601469 1074002569 /nfs/dbraw/zinc/00/25/69/1074002569.db2.gz FSIDXLGXAQTIDK-UHFFFAOYSA-N 0 0 445.501 -0.046 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCNS(=O)(=O)Cc2ccccc2)[C@H]1c1ccnn1C ZINC001133612207 1074002551 /nfs/dbraw/zinc/00/25/51/1074002551.db2.gz DRNVPHGBNAGJAX-MOPGFXCFSA-N 0 0 449.533 -0.056 20 0 IBADRN Cn1ccn2c(CCNC(=O)CN3C(=O)N[C@]4(CCCC(C)(C)C4)C3=O)nnc2c1=O ZINC001133626265 1074002893 /nfs/dbraw/zinc/00/28/93/1074002893.db2.gz DAROQBYURJWXMH-FQEVSTJZSA-N 0 0 429.481 -0.023 20 0 IBADRN Cn1ccn2c(CCNC(=O)CN3C(=O)N[C@@]4(CCCC(C)(C)C4)C3=O)nnc2c1=O ZINC001133626266 1074002765 /nfs/dbraw/zinc/00/27/65/1074002765.db2.gz DAROQBYURJWXMH-HXUWFJFHSA-N 0 0 429.481 -0.023 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001133631562 1074002836 /nfs/dbraw/zinc/00/28/36/1074002836.db2.gz YCSXRGGAYAIXJD-CYBMUJFWSA-N 0 0 441.572 -0.192 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001133631563 1074002857 /nfs/dbraw/zinc/00/28/57/1074002857.db2.gz YCSXRGGAYAIXJD-ZDUSSCGKSA-N 0 0 441.572 -0.192 20 0 IBADRN Cn1c(C(=O)NCCN2Cc3ccccc3OCC2=O)cc2c1n(C)c(=O)n(C)c2=O ZINC001133650544 1074002865 /nfs/dbraw/zinc/00/28/65/1074002865.db2.gz CSYUMFDWDVEESC-UHFFFAOYSA-N 0 0 425.445 -0.273 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)C1=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC1)CCO2 ZINC001133675956 1074003294 /nfs/dbraw/zinc/00/32/94/1074003294.db2.gz IKBBCDSMCHCFIW-AWTNTWDUSA-N 0 0 441.506 -0.231 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)C1=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC1)CCO2 ZINC001133675957 1074003230 /nfs/dbraw/zinc/00/32/30/1074003230.db2.gz IKBBCDSMCHCFIW-IXVUQVCVSA-N 0 0 441.506 -0.231 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)C1=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC1)CCO2 ZINC001133675958 1074003358 /nfs/dbraw/zinc/00/33/58/1074003358.db2.gz IKBBCDSMCHCFIW-SGJMOYSZSA-N 0 0 441.506 -0.231 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)C1=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC1)CCO2 ZINC001133675959 1074003275 /nfs/dbraw/zinc/00/32/75/1074003275.db2.gz IKBBCDSMCHCFIW-UWCWSIQASA-N 0 0 441.506 -0.231 20 0 IBADRN Cn1c(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc2c1nc1ccccn1c2=O ZINC001133680322 1074003202 /nfs/dbraw/zinc/00/32/02/1074003202.db2.gz MHWVVILBIISUJC-UHFFFAOYSA-N 0 0 425.492 -0.027 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC001133680615 1074003306 /nfs/dbraw/zinc/00/33/06/1074003306.db2.gz PYSSKRDSGJASEC-GFCCVEGCSA-N 0 0 445.519 -0.016 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC001133680618 1074003212 /nfs/dbraw/zinc/00/32/12/1074003212.db2.gz PYSSKRDSGJASEC-LBPRGKRZSA-N 0 0 445.519 -0.016 20 0 IBADRN COc1ccc(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc1S(=O)(=O)N(C)C ZINC001133680732 1074003283 /nfs/dbraw/zinc/00/32/83/1074003283.db2.gz QGSSUZZIDSVDHR-UHFFFAOYSA-N 0 0 441.553 -0.267 20 0 IBADRN Cn1nc(I)cc1C(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001133683142 1074002975 /nfs/dbraw/zinc/00/29/75/1074002975.db2.gz OBULVKNIEPLHCQ-UHFFFAOYSA-N 0 0 434.281 -0.188 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)c1nc2ncc(Br)cn2n1 ZINC001133683217 1074003182 /nfs/dbraw/zinc/00/31/82/1074003182.db2.gz OZXIIUKTKZASNK-UHFFFAOYSA-N 0 0 425.290 -0.326 20 0 IBADRN CO[C@]1(CNC(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCS(=O)(=O)C1 ZINC001133762811 1074003590 /nfs/dbraw/zinc/00/35/90/1074003590.db2.gz KLXVDQPGPIFWHQ-MSOLQXFVSA-N 0 0 446.547 -0.239 20 0 IBADRN CO[C@@]1(CNC(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCS(=O)(=O)C1 ZINC001133762812 1074003775 /nfs/dbraw/zinc/00/37/75/1074003775.db2.gz KLXVDQPGPIFWHQ-QZTJIDSGSA-N 0 0 446.547 -0.239 20 0 IBADRN CO[C@]1(CNC(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCS(=O)(=O)C1 ZINC001133762813 1074003652 /nfs/dbraw/zinc/00/36/52/1074003652.db2.gz KLXVDQPGPIFWHQ-ROUUACIJSA-N 0 0 446.547 -0.239 20 0 IBADRN CO[C@@]1(CNC(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCS(=O)(=O)C1 ZINC001133762814 1074003688 /nfs/dbraw/zinc/00/36/88/1074003688.db2.gz KLXVDQPGPIFWHQ-ZWKOTPCHSA-N 0 0 446.547 -0.239 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001133808211 1074004401 /nfs/dbraw/zinc/00/44/01/1074004401.db2.gz NPLCLDYXZMVLKE-UHFFFAOYSA-N 0 0 437.565 -0.077 20 0 IBADRN CNC(=O)C1(NC(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)CCOCC1 ZINC001133814313 1074004833 /nfs/dbraw/zinc/00/48/33/1074004833.db2.gz OMTGNXDAMKTYCU-UHFFFAOYSA-N 0 0 425.463 -0.498 20 0 IBADRN Cn1nc2c(c1C(=O)NCCNS(=O)(=O)Cc1ccccc1)CS(=O)(=O)CC2 ZINC001133835497 1074005148 /nfs/dbraw/zinc/00/51/48/1074005148.db2.gz PSIIUUPYNHJQBB-UHFFFAOYSA-N 0 0 426.520 -0.260 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)s1 ZINC001133904676 1074006201 /nfs/dbraw/zinc/00/62/01/1074006201.db2.gz JTWHSNVPGJHLTM-UHFFFAOYSA-N 0 0 431.583 -0.373 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC001133904878 1074006378 /nfs/dbraw/zinc/00/63/78/1074006378.db2.gz OADAYUYNSYIWSP-UHFFFAOYSA-N 0 0 442.519 -0.768 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC(C)(C)CNS(C)(=O)=O)CC2)cn1C ZINC001133919669 1074006300 /nfs/dbraw/zinc/00/63/00/1074006300.db2.gz CTIVWUMYCVHBNI-UHFFFAOYSA-N 0 0 435.572 -0.427 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC(C)(C)CNS(C)(=O)=O)cc2S1(=O)=O ZINC001133920423 1074006207 /nfs/dbraw/zinc/00/62/07/1074006207.db2.gz XHLBXRVJFSTQJS-UHFFFAOYSA-N 0 0 433.508 -0.465 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)Nc3ccn(CCN4CC[NH+]=C4[O-])n3)CC2=O)cn1 ZINC001133961647 1074006583 /nfs/dbraw/zinc/00/65/83/1074006583.db2.gz CYZFBFLONGAFGM-AWEZNQCLSA-N 0 0 430.469 -0.257 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)Nc3ccn(CCN4CC[NH+]=C4[O-])n3)CC2=O)cn1 ZINC001133961648 1074006680 /nfs/dbraw/zinc/00/66/80/1074006680.db2.gz CYZFBFLONGAFGM-CQSZACIVSA-N 0 0 430.469 -0.257 20 0 IBADRN O=C(Nc1ccn(CCN2CC[NH+]=C2[O-])n1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001133961914 1074006572 /nfs/dbraw/zinc/00/65/72/1074006572.db2.gz LDVRBBPUEJOACV-UHFFFAOYSA-N 0 0 438.466 -0.226 20 0 IBADRN O=C(N=S1(=O)CCN(C(=O)OCc2ccccc2)CC1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001134116980 1074012561 /nfs/dbraw/zinc/01/25/61/1074012561.db2.gz ZIIOYUFFRRNYBD-AWEZNQCLSA-N 0 0 443.503 -0.453 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC(C)(C)CNS(C)(=O)=O)c2=O ZINC001134144547 1074012865 /nfs/dbraw/zinc/01/28/65/1074012865.db2.gz RPDALULAHXJSPE-UHFFFAOYSA-N 0 0 435.304 -0.664 20 0 IBADRN COc1ccc(C(=O)NC(C)(C)CNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001134144677 1074012921 /nfs/dbraw/zinc/01/29/21/1074012921.db2.gz XCXAGOLYHLOFJC-UHFFFAOYSA-N 0 0 449.551 -0.226 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)Nc2ccn(CCN3CC[NH+]=C3[O-])n2)[C@H]1c1ccnn1C ZINC001134187582 1074012845 /nfs/dbraw/zinc/01/28/45/1074012845.db2.gz WOIDAUMHOQMCDC-SJORKVTESA-N 0 0 430.469 -0.431 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001134192073 1074012877 /nfs/dbraw/zinc/01/28/77/1074012877.db2.gz QMERUDJPNJDXFW-UHFFFAOYSA-N 0 0 434.540 -0.799 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCCS(=O)(=O)C[C@@H]2C)c1 ZINC001134203713 1074013557 /nfs/dbraw/zinc/01/35/57/1074013557.db2.gz SMNNFWOLHUMUKH-AWEZNQCLSA-N 0 0 433.552 -0.086 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCCS(=O)(=O)C[C@H]2C)c1 ZINC001134203714 1074013414 /nfs/dbraw/zinc/01/34/14/1074013414.db2.gz SMNNFWOLHUMUKH-CQSZACIVSA-N 0 0 433.552 -0.086 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC(CN2CCNC2=O)CC1 ZINC001134268072 1074013947 /nfs/dbraw/zinc/01/39/47/1074013947.db2.gz UBOPXUKGRKOQTL-AWEZNQCLSA-N 0 0 425.511 -0.584 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC(CN2CCNC2=O)CC1 ZINC001134268073 1074014143 /nfs/dbraw/zinc/01/41/43/1074014143.db2.gz UBOPXUKGRKOQTL-CQSZACIVSA-N 0 0 425.511 -0.584 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)cc1 ZINC001134293908 1074014475 /nfs/dbraw/zinc/01/44/75/1074014475.db2.gz BZMMIQYSOIECOK-HNNXBMFYSA-N 0 0 447.535 -0.463 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)cc1 ZINC001134293909 1074014383 /nfs/dbraw/zinc/01/43/83/1074014383.db2.gz BZMMIQYSOIECOK-OAHLLOKOSA-N 0 0 447.535 -0.463 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC001134295083 1074014885 /nfs/dbraw/zinc/01/48/85/1074014885.db2.gz MWXWUVXQZMHWFO-HNNXBMFYSA-N 0 0 432.520 -0.407 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC001134295084 1074014850 /nfs/dbraw/zinc/01/48/50/1074014850.db2.gz MWXWUVXQZMHWFO-OAHLLOKOSA-N 0 0 432.520 -0.407 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3C[C@@H]4CS(=O)(=O)C[C@@H]4C3)CC2)cn1C ZINC001134329358 1074015347 /nfs/dbraw/zinc/01/53/47/1074015347.db2.gz IWDHUMJABZOZNC-GASCZTMLSA-N 0 0 430.552 -0.368 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)c1 ZINC001134332515 1074015625 /nfs/dbraw/zinc/01/56/25/1074015625.db2.gz LWFXSVFJAUITNG-OKILXGFUSA-N 0 0 431.536 -0.618 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001134332602 1074015608 /nfs/dbraw/zinc/01/56/08/1074015608.db2.gz PJYQOVAAJZZZHN-AGUYFDCRSA-N 0 0 431.536 -0.116 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCS(=O)(=O)C[C@@H]1C1CC1 ZINC001134363516 1074015595 /nfs/dbraw/zinc/01/55/95/1074015595.db2.gz GTGPGOOSKVJHHH-DZGCQCFKSA-N 0 0 431.536 -0.422 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCS(=O)(=O)C[C@H]1C1CC1 ZINC001134363517 1074015641 /nfs/dbraw/zinc/01/56/41/1074015641.db2.gz GTGPGOOSKVJHHH-HIFRSBDPSA-N 0 0 431.536 -0.422 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCS(=O)(=O)C[C@@H]1C1CC1 ZINC001134363518 1074015585 /nfs/dbraw/zinc/01/55/85/1074015585.db2.gz GTGPGOOSKVJHHH-UKRRQHHQSA-N 0 0 431.536 -0.422 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCCS(=O)(=O)C[C@H]1C1CC1 ZINC001134363519 1074015768 /nfs/dbraw/zinc/01/57/68/1074015768.db2.gz GTGPGOOSKVJHHH-ZFWWWQNUSA-N 0 0 431.536 -0.422 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001134411359 1074016140 /nfs/dbraw/zinc/01/61/40/1074016140.db2.gz MOILLFBLTPRMIL-UHFFFAOYSA-N 0 0 431.536 -0.137 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCS(=O)(=O)N3CCCC3)cc2S1(=O)=O ZINC001134411579 1074016060 /nfs/dbraw/zinc/01/60/60/1074016060.db2.gz WMJICRLCJLZEAP-UHFFFAOYSA-N 0 0 445.519 -0.367 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001134424717 1074015599 /nfs/dbraw/zinc/01/55/99/1074015599.db2.gz VCCKQCOVACSXHW-GFCCVEGCSA-N 0 0 435.499 -0.403 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001134424718 1074015635 /nfs/dbraw/zinc/01/56/35/1074015635.db2.gz VCCKQCOVACSXHW-LBPRGKRZSA-N 0 0 435.499 -0.403 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001134424729 1074015743 /nfs/dbraw/zinc/01/57/43/1074015743.db2.gz VIFKMOPTOXXJIV-GFCCVEGCSA-N 0 0 435.499 -0.403 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001134424730 1074015729 /nfs/dbraw/zinc/01/57/29/1074015729.db2.gz VIFKMOPTOXXJIV-LBPRGKRZSA-N 0 0 435.499 -0.403 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CCO1 ZINC001134519350 1074016713 /nfs/dbraw/zinc/01/67/13/1074016713.db2.gz GHKFEESTEDUGGZ-HNNXBMFYSA-N 0 0 431.536 -0.246 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CCO1 ZINC001134519351 1074016756 /nfs/dbraw/zinc/01/67/56/1074016756.db2.gz GHKFEESTEDUGGZ-OAHLLOKOSA-N 0 0 431.536 -0.246 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001134519502 1074016681 /nfs/dbraw/zinc/01/66/81/1074016681.db2.gz HUHPFPYXSGTSBR-AWEZNQCLSA-N 0 0 432.520 -0.407 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001134519504 1074016796 /nfs/dbraw/zinc/01/67/96/1074016796.db2.gz HUHPFPYXSGTSBR-CQSZACIVSA-N 0 0 432.520 -0.407 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CCO1 ZINC001134520082 1074016787 /nfs/dbraw/zinc/01/67/87/1074016787.db2.gz OMSMOLOOHYCTQH-KRWDZBQOSA-N 0 0 430.548 -0.197 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CCO1 ZINC001134520083 1074016764 /nfs/dbraw/zinc/01/67/64/1074016764.db2.gz OMSMOLOOHYCTQH-QGZVFWFLSA-N 0 0 430.548 -0.197 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)CCS(=O)(=O)CC1 ZINC001134520118 1074016804 /nfs/dbraw/zinc/01/68/04/1074016804.db2.gz PLPYIFHSIDOQES-CYBMUJFWSA-N 0 0 439.552 -0.205 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)N2CCO[C@H](CS(C)(=O)=O)C2)CCS(=O)(=O)CC1 ZINC001134520119 1074016737 /nfs/dbraw/zinc/01/67/37/1074016737.db2.gz PLPYIFHSIDOQES-ZDUSSCGKSA-N 0 0 439.552 -0.205 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001134535199 1074017149 /nfs/dbraw/zinc/01/71/49/1074017149.db2.gz FVGGDSOMDYIYGM-CHWSQXEVSA-N 0 0 447.510 -0.747 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001134535200 1074017030 /nfs/dbraw/zinc/01/70/30/1074017030.db2.gz FVGGDSOMDYIYGM-OLZOCXBDSA-N 0 0 447.510 -0.747 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001134535201 1074017116 /nfs/dbraw/zinc/01/71/16/1074017116.db2.gz FVGGDSOMDYIYGM-QWHCGFSZSA-N 0 0 447.510 -0.747 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001134535202 1074017210 /nfs/dbraw/zinc/01/72/10/1074017210.db2.gz FVGGDSOMDYIYGM-STQMWFEESA-N 0 0 447.510 -0.747 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cn(C)nc1I ZINC001134535307 1074017360 /nfs/dbraw/zinc/01/73/60/1074017360.db2.gz JVJCTBDPIRTLFN-MRVPVSSYSA-N 0 0 427.220 -0.563 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cn(C)nc1I ZINC001134535308 1074017493 /nfs/dbraw/zinc/01/74/93/1074017493.db2.gz JVJCTBDPIRTLFN-QMMMGPOBSA-N 0 0 427.220 -0.563 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001134546928 1074017086 /nfs/dbraw/zinc/01/70/86/1074017086.db2.gz BOLMKFVHQWNDMI-HNNXBMFYSA-N 0 0 425.463 -0.837 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001134546929 1074017158 /nfs/dbraw/zinc/01/71/58/1074017158.db2.gz BOLMKFVHQWNDMI-OAHLLOKOSA-N 0 0 425.463 -0.837 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc2c(c1)C(=O)N(C[C@@H]1CCCO1)C2=O ZINC001134547479 1074017076 /nfs/dbraw/zinc/01/70/76/1074017076.db2.gz SVZJVQHXYVWAJD-BBRMVZONSA-N 0 0 429.429 -0.083 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc2c(c1)C(=O)N(C[C@H]1CCCO1)C2=O ZINC001134547480 1074017109 /nfs/dbraw/zinc/01/71/09/1074017109.db2.gz SVZJVQHXYVWAJD-CJNGLKHVSA-N 0 0 429.429 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc2c(c1)C(=O)N(C[C@H]1CCCO1)C2=O ZINC001134547481 1074017179 /nfs/dbraw/zinc/01/71/79/1074017179.db2.gz SVZJVQHXYVWAJD-CZUORRHYSA-N 0 0 429.429 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc2c(c1)C(=O)N(C[C@@H]1CCCO1)C2=O ZINC001134547482 1074017053 /nfs/dbraw/zinc/01/70/53/1074017053.db2.gz SVZJVQHXYVWAJD-XJKSGUPXSA-N 0 0 429.429 -0.083 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001134548287 1074017217 /nfs/dbraw/zinc/01/72/17/1074017217.db2.gz NYZGFHGCDNBGKA-OKILXGFUSA-N 0 0 444.531 -0.167 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OC2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)C1 ZINC001134620097 1074017411 /nfs/dbraw/zinc/01/74/11/1074017411.db2.gz BHXVLNRFPLJHQI-AWEZNQCLSA-N 0 0 431.511 -0.474 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H](CS(N)(=O)=O)C1 ZINC001134645216 1074017407 /nfs/dbraw/zinc/01/74/07/1074017407.db2.gz CUVZPEHNMPWKLB-CYBMUJFWSA-N 0 0 447.535 -0.533 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H](CS(N)(=O)=O)C1 ZINC001134645217 1074017387 /nfs/dbraw/zinc/01/73/87/1074017387.db2.gz CUVZPEHNMPWKLB-ZDUSSCGKSA-N 0 0 447.535 -0.533 20 0 IBADRN COc1ccc(C(=O)N2CC[C@@H](CS(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001134645690 1074017500 /nfs/dbraw/zinc/01/75/00/1074017500.db2.gz RSEMBHRIUAMDDT-CYBMUJFWSA-N 0 0 447.535 -0.533 20 0 IBADRN COc1ccc(C(=O)N2CC[C@H](CS(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001134645691 1074017468 /nfs/dbraw/zinc/01/74/68/1074017468.db2.gz RSEMBHRIUAMDDT-ZDUSSCGKSA-N 0 0 447.535 -0.533 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CN1CCCC3(CC3)S1(=O)=O)CCS2(=O)=O ZINC001134654393 1074017851 /nfs/dbraw/zinc/01/78/51/1074017851.db2.gz FLKOFMIQTZXEHA-FVRSWCFKSA-N 0 0 448.563 -0.040 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CN1CCCC3(CC3)S1(=O)=O)CCS2(=O)=O ZINC001134654394 1074017776 /nfs/dbraw/zinc/01/77/76/1074017776.db2.gz FLKOFMIQTZXEHA-TXKKKEFDSA-N 0 0 448.563 -0.040 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCC[C@H](C)C2)cn1C ZINC001134759937 1074018134 /nfs/dbraw/zinc/01/81/34/1074018134.db2.gz HFVADULSQKPLTB-BBRMVZONSA-N 0 0 440.522 -0.099 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C)C2)cn1C ZINC001134759938 1074018309 /nfs/dbraw/zinc/01/83/09/1074018309.db2.gz HFVADULSQKPLTB-CJNGLKHVSA-N 0 0 440.522 -0.099 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C)C2)cn1C ZINC001134759939 1074018255 /nfs/dbraw/zinc/01/82/55/1074018255.db2.gz HFVADULSQKPLTB-CZUORRHYSA-N 0 0 440.522 -0.099 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCC[C@H](C)C2)cn1C ZINC001134759940 1074018277 /nfs/dbraw/zinc/01/82/77/1074018277.db2.gz HFVADULSQKPLTB-XJKSGUPXSA-N 0 0 440.522 -0.099 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001134760136 1074018197 /nfs/dbraw/zinc/01/81/97/1074018197.db2.gz KFGKMJTUBRUBSH-AWEZNQCLSA-N 0 0 443.453 -0.698 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001134760137 1074018267 /nfs/dbraw/zinc/01/82/67/1074018267.db2.gz KFGKMJTUBRUBSH-CQSZACIVSA-N 0 0 443.453 -0.698 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC001134760476 1074018222 /nfs/dbraw/zinc/01/82/22/1074018222.db2.gz NDQFYBICKYCFPG-AWEZNQCLSA-N 0 0 425.463 -0.996 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC001134760481 1074018173 /nfs/dbraw/zinc/01/81/73/1074018173.db2.gz NDQFYBICKYCFPG-CQSZACIVSA-N 0 0 425.463 -0.996 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC001134761524 1074018261 /nfs/dbraw/zinc/01/82/61/1074018261.db2.gz YYOUVNYMLOVYLK-HNNXBMFYSA-N 0 0 440.478 -0.892 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CN(C)S(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC001134761525 1074018206 /nfs/dbraw/zinc/01/82/06/1074018206.db2.gz YYOUVNYMLOVYLK-OAHLLOKOSA-N 0 0 440.478 -0.892 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCN(S(C)(=O)=O)C1)CCS2(=O)=O ZINC001134858948 1074018875 /nfs/dbraw/zinc/01/88/75/1074018875.db2.gz CWXJEYZAOARGSL-PEUXKQIOSA-N 0 0 436.552 -0.327 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@H]1CCCN(S(C)(=O)=O)C1)CCS2(=O)=O ZINC001134858949 1074018921 /nfs/dbraw/zinc/01/89/21/1074018921.db2.gz CWXJEYZAOARGSL-XCCIUEIHSA-N 0 0 436.552 -0.327 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CN1CCC[C@H](C(=O)OC)C1=O)CCS2(=O)=O ZINC001134859253 1074019005 /nfs/dbraw/zinc/01/90/05/1074019005.db2.gz KWVVBZCYSVKZJT-AWTNTWDUSA-N 0 0 444.506 -0.587 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CN1CCC[C@@H](C(=O)OC)C1=O)CCS2(=O)=O ZINC001134859254 1074018838 /nfs/dbraw/zinc/01/88/38/1074018838.db2.gz KWVVBZCYSVKZJT-IXVUQVCVSA-N 0 0 444.506 -0.587 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CN1CCC[C@H](C(=O)OC)C1=O)CCS2(=O)=O ZINC001134859255 1074018896 /nfs/dbraw/zinc/01/88/96/1074018896.db2.gz KWVVBZCYSVKZJT-SGJMOYSZSA-N 0 0 444.506 -0.587 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CN1CCC[C@@H](C(=O)OC)C1=O)CCS2(=O)=O ZINC001134859256 1074018852 /nfs/dbraw/zinc/01/88/52/1074018852.db2.gz KWVVBZCYSVKZJT-UWCWSIQASA-N 0 0 444.506 -0.587 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCCN1S(C)(=O)=O)CCS2(=O)=O ZINC001134859788 1074018998 /nfs/dbraw/zinc/01/89/98/1074018998.db2.gz YXPMJRIYHBMXRI-CWVKQELPSA-N 0 0 436.552 -0.184 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCCN1S(C)(=O)=O)CCS2(=O)=O ZINC001134859789 1074019013 /nfs/dbraw/zinc/01/90/13/1074019013.db2.gz YXPMJRIYHBMXRI-DLOXAQCQSA-N 0 0 436.552 -0.184 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCCN1S(C)(=O)=O)CCS2(=O)=O ZINC001134859790 1074018992 /nfs/dbraw/zinc/01/89/92/1074018992.db2.gz YXPMJRIYHBMXRI-YRTQGLMCSA-N 0 0 436.552 -0.184 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@H]1CCCCN1S(C)(=O)=O)CCS2(=O)=O ZINC001134859791 1074018905 /nfs/dbraw/zinc/01/89/05/1074018905.db2.gz YXPMJRIYHBMXRI-YXXKGXSTSA-N 0 0 436.552 -0.184 20 0 IBADRN C[C@](Cn1cccn1)(NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC001134987927 1074023852 /nfs/dbraw/zinc/02/38/52/1074023852.db2.gz AIJDWXWXASBVTA-GOSISDBHSA-N 0 0 439.469 -0.283 20 0 IBADRN C[C@@](Cn1cccn1)(NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC001134987928 1074023975 /nfs/dbraw/zinc/02/39/75/1074023975.db2.gz AIJDWXWXASBVTA-SFHVURJKSA-N 0 0 439.469 -0.283 20 0 IBADRN COc1cc(C(=O)N2CCOC3(CCS(=O)(=O)CC3)C2)cc(OC)c1OCC(N)=O ZINC001135000914 1074023795 /nfs/dbraw/zinc/02/37/95/1074023795.db2.gz OFNZZQHRQCXAPU-UHFFFAOYSA-N 0 0 442.490 -0.012 20 0 IBADRN COc1ccc(C(=O)N2CCS(=O)(=O)CCO2)cc1S(=O)(=O)N1CCOCC1 ZINC001135023569 1074024221 /nfs/dbraw/zinc/02/42/21/1074024221.db2.gz ANUVRDAKNFGSGB-UHFFFAOYSA-N 0 0 434.492 -0.482 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CCS(=O)(=O)CCO1 ZINC001135208009 1074031080 /nfs/dbraw/zinc/03/10/80/1074031080.db2.gz YSWCZYHSSIVISD-UHFFFAOYSA-N 0 0 425.506 -0.693 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)N1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC001135319124 1074032754 /nfs/dbraw/zinc/03/27/54/1074032754.db2.gz HSLCNSVHKMEVDU-LLVKDONJSA-N 0 0 431.521 -0.784 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)N1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC001135319125 1074033221 /nfs/dbraw/zinc/03/32/21/1074033221.db2.gz HSLCNSVHKMEVDU-NSHDSACASA-N 0 0 431.521 -0.784 20 0 IBADRN CS(=O)(=O)CC1(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)COC1 ZINC001135361509 1074033697 /nfs/dbraw/zinc/03/36/97/1074033697.db2.gz FKYGVYIESJXDPB-UHFFFAOYSA-N 0 0 436.483 -0.154 20 0 IBADRN O=C(CCNC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCOCC1 ZINC001135402402 1074034715 /nfs/dbraw/zinc/03/47/15/1074034715.db2.gz FKNUEUGZCHIFJI-UHFFFAOYSA-N 0 0 425.507 -0.189 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001135569152 1074036622 /nfs/dbraw/zinc/03/66/22/1074036622.db2.gz FDEKQOQCCRVJLL-CYBMUJFWSA-N 0 0 432.524 -0.439 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001135569153 1074036611 /nfs/dbraw/zinc/03/66/11/1074036611.db2.gz FDEKQOQCCRVJLL-ZDUSSCGKSA-N 0 0 432.524 -0.439 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CCN(CC(=O)N3CCCC3)CC2)c(F)c1 ZINC001135599552 1074037412 /nfs/dbraw/zinc/03/74/12/1074037412.db2.gz MYAPUGSMTQYDTE-UHFFFAOYSA-N 0 0 427.502 -0.348 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)[C@@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001135602714 1074037238 /nfs/dbraw/zinc/03/72/38/1074037238.db2.gz CDYSLMPXQOOAGQ-MRXNPFEDSA-N 0 0 428.497 -0.422 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)[C@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001135602912 1074037641 /nfs/dbraw/zinc/03/76/41/1074037641.db2.gz LIWAEOPMHCJVOW-HNNXBMFYSA-N 0 0 428.497 -0.477 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001135619053 1074037793 /nfs/dbraw/zinc/03/77/93/1074037793.db2.gz PCCRPHFDYABHEJ-HNNXBMFYSA-N 0 0 446.570 -0.357 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001135619060 1074037700 /nfs/dbraw/zinc/03/77/00/1074037700.db2.gz PCCRPHFDYABHEJ-OAHLLOKOSA-N 0 0 446.570 -0.357 20 0 IBADRN COc1cc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1OCC(N)=O ZINC001135633261 1074038222 /nfs/dbraw/zinc/03/82/22/1074038222.db2.gz PUVUJQFUQUVURC-AWEZNQCLSA-N 0 0 441.506 -0.487 20 0 IBADRN COc1cc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1OCC(N)=O ZINC001135633262 1074038035 /nfs/dbraw/zinc/03/80/35/1074038035.db2.gz PUVUJQFUQUVURC-CQSZACIVSA-N 0 0 441.506 -0.487 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001135633442 1074038118 /nfs/dbraw/zinc/03/81/18/1074038118.db2.gz VWFQMFNVHDIUMG-BBRMVZONSA-N 0 0 440.497 -0.869 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001135633443 1074038152 /nfs/dbraw/zinc/03/81/52/1074038152.db2.gz VWFQMFNVHDIUMG-CJNGLKHVSA-N 0 0 440.497 -0.869 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001135633444 1074038190 /nfs/dbraw/zinc/03/81/90/1074038190.db2.gz VWFQMFNVHDIUMG-CZUORRHYSA-N 0 0 440.497 -0.869 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001135633445 1074038254 /nfs/dbraw/zinc/03/82/54/1074038254.db2.gz VWFQMFNVHDIUMG-XJKSGUPXSA-N 0 0 440.497 -0.869 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001135633758 1074038084 /nfs/dbraw/zinc/03/80/84/1074038084.db2.gz GZOSBBZYQCPMIU-CYBMUJFWSA-N 0 0 427.479 -0.185 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001135633759 1074038178 /nfs/dbraw/zinc/03/81/78/1074038178.db2.gz GZOSBBZYQCPMIU-ZDUSSCGKSA-N 0 0 427.479 -0.185 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC001135669673 1074038490 /nfs/dbraw/zinc/03/84/90/1074038490.db2.gz AVWQUQLPFSNHBZ-UHFFFAOYSA-N 0 0 440.494 -0.464 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CCCS(N)(=O)=O)CC2)c1 ZINC001135670611 1074039384 /nfs/dbraw/zinc/03/93/84/1074039384.db2.gz VDLDJWQRZMSLAU-UHFFFAOYSA-N 0 0 435.524 -0.395 20 0 IBADRN CC(=O)N1CCC(NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001135672947 1074040119 /nfs/dbraw/zinc/04/01/19/1074040119.db2.gz QQGIAYCIMKVHDU-KRWDZBQOSA-N 0 0 445.563 -0.105 20 0 IBADRN CC(=O)N1CCC(NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001135672948 1074040260 /nfs/dbraw/zinc/04/02/60/1074040260.db2.gz QQGIAYCIMKVHDU-QGZVFWFLSA-N 0 0 445.563 -0.105 20 0 IBADRN Cc1sc2ncn(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)c(=O)c2c1C ZINC001135674979 1074038995 /nfs/dbraw/zinc/03/89/95/1074038995.db2.gz UQUVCGHHTOIALV-UHFFFAOYSA-N 0 0 429.524 -0.021 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C2=NOC3(CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001135702583 1074040634 /nfs/dbraw/zinc/04/06/34/1074040634.db2.gz GBRVZQSWCKUPRL-UHFFFAOYSA-N 0 0 439.513 -0.341 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H](CC(=O)OC)NC(=O)OC(C)(C)C)CC1 ZINC001135702993 1074040731 /nfs/dbraw/zinc/04/07/31/1074040731.db2.gz OYZVNUUFRJPDOD-AWEZNQCLSA-N 0 0 430.502 -0.650 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C2(O)CN(C(=O)OCc3ccccc3)C2)CC1 ZINC001135702998 1074040866 /nfs/dbraw/zinc/04/08/66/1074040866.db2.gz PBJQXDILIWBJPI-UHFFFAOYSA-N 0 0 434.493 -0.723 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cccn(CC(=O)OC(C)(C)C)c2=O)CC1 ZINC001135703320 1074040683 /nfs/dbraw/zinc/04/06/83/1074040683.db2.gz YUAPLGPVDVQLKK-UHFFFAOYSA-N 0 0 436.509 -0.290 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)c3cn(C[C@H]4CNC(=O)O4)nn3)CC2)C1 ZINC001135726746 1074041589 /nfs/dbraw/zinc/04/15/89/1074041589.db2.gz XLMZCCNVGDGYMP-BZUAXINKSA-N 0 0 433.513 -0.351 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)c3cn(C[C@@H]4CNC(=O)O4)nn3)CC2)C1 ZINC001135726747 1074041455 /nfs/dbraw/zinc/04/14/55/1074041455.db2.gz XLMZCCNVGDGYMP-OAGGEKHMSA-N 0 0 433.513 -0.351 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)c3cn(C[C@H]4CNC(=O)O4)nn3)CC2)C1 ZINC001135726748 1074041547 /nfs/dbraw/zinc/04/15/47/1074041547.db2.gz XLMZCCNVGDGYMP-OWCLPIDISA-N 0 0 433.513 -0.351 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)c3cn(C[C@@H]4CNC(=O)O4)nn3)CC2)C1 ZINC001135726749 1074041442 /nfs/dbraw/zinc/04/14/42/1074041442.db2.gz XLMZCCNVGDGYMP-XHSDSOJGSA-N 0 0 433.513 -0.351 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C[C@@H]3CC(=O)NC3=O)CC2)c1 ZINC001135773186 1074041876 /nfs/dbraw/zinc/04/18/76/1074041876.db2.gz AOILLYWXNIIVBA-JTQLQIEISA-N 0 0 429.476 -0.580 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C[C@H]3CC(=O)NC3=O)CC2)c1 ZINC001135773187 1074041763 /nfs/dbraw/zinc/04/17/63/1074041763.db2.gz AOILLYWXNIIVBA-SNVBAGLBSA-N 0 0 429.476 -0.580 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C3=C4CCCN=C4NN3)CC2)c1 ZINC001135775598 1074042001 /nfs/dbraw/zinc/04/20/01/1074042001.db2.gz DWYOZZPRSDKOTJ-UHFFFAOYSA-N 0 0 439.519 -0.078 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC001135777597 1074041955 /nfs/dbraw/zinc/04/19/55/1074041955.db2.gz PYBKBDZFVMVXHK-INIZCTEOSA-N 0 0 438.550 -0.274 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC001135777599 1074042010 /nfs/dbraw/zinc/04/20/10/1074042010.db2.gz PYBKBDZFVMVXHK-MRXNPFEDSA-N 0 0 438.550 -0.274 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001135777858 1074041932 /nfs/dbraw/zinc/04/19/32/1074041932.db2.gz SUZDEJKLZRCAFR-BBRMVZONSA-N 0 0 432.568 -0.555 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001135777859 1074041789 /nfs/dbraw/zinc/04/17/89/1074041789.db2.gz SUZDEJKLZRCAFR-CJNGLKHVSA-N 0 0 432.568 -0.555 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001135777860 1074042338 /nfs/dbraw/zinc/04/23/38/1074042338.db2.gz SUZDEJKLZRCAFR-CZUORRHYSA-N 0 0 432.568 -0.555 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001135777861 1074042389 /nfs/dbraw/zinc/04/23/89/1074042389.db2.gz SUZDEJKLZRCAFR-XJKSGUPXSA-N 0 0 432.568 -0.555 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(CC(=O)N3CCCCC3)CC2)[C@H]1c1nccn1C ZINC001135794111 1074042402 /nfs/dbraw/zinc/04/24/02/1074042402.db2.gz AOPJLVKPMPFTAE-PMACEKPBSA-N 0 0 446.552 -0.135 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCN(c2cnn(-c3ccccc3)c(=O)c2Cl)CC1 ZINC001135797934 1074042328 /nfs/dbraw/zinc/04/23/28/1074042328.db2.gz WQHFGWZUNJNKSZ-ZHALLVOQSA-N 0 0 446.891 -0.053 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)[C@H](C)NC(=O)Cn3cnnn3)CC2)c1C ZINC001135799756 1074042358 /nfs/dbraw/zinc/04/23/58/1074042358.db2.gz KEGDSIGKKHUEJI-INIZCTEOSA-N 0 0 428.497 -0.422 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)CC1 ZINC001135802179 1074042277 /nfs/dbraw/zinc/04/22/77/1074042277.db2.gz HLEDDGLBLJKSGA-UHFFFAOYSA-N 0 0 431.555 -0.245 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@H]2OCC(=O)N(CC)[C@@H]2c2nccn2C)CC1 ZINC001135803307 1074042228 /nfs/dbraw/zinc/04/22/28/1074042228.db2.gz YPFSFYBPRKHRCA-OALUTQOASA-N 0 0 434.541 -0.279 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC1 ZINC001135809401 1074042297 /nfs/dbraw/zinc/04/22/97/1074042297.db2.gz UXUXYOKBFIOHAK-UHFFFAOYSA-N 0 0 437.544 -0.747 20 0 IBADRN O=C(N[C@H](CO)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)OCc1ccccc1 ZINC001135819655 1074042219 /nfs/dbraw/zinc/04/22/19/1074042219.db2.gz BVGXULIFHFWZLJ-DLBZAZTESA-N 0 0 425.507 -0.395 20 0 IBADRN O=C(N[C@H](CO)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)OCc1ccccc1 ZINC001135819656 1074042196 /nfs/dbraw/zinc/04/21/96/1074042196.db2.gz BVGXULIFHFWZLJ-IAGOWNOFSA-N 0 0 425.507 -0.395 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)OCc1ccccc1 ZINC001135819657 1074042380 /nfs/dbraw/zinc/04/23/80/1074042380.db2.gz BVGXULIFHFWZLJ-IRXDYDNUSA-N 0 0 425.507 -0.395 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)OCc1ccccc1 ZINC001135819658 1074042370 /nfs/dbraw/zinc/04/23/70/1074042370.db2.gz BVGXULIFHFWZLJ-SJORKVTESA-N 0 0 425.507 -0.395 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC001135820177 1074042347 /nfs/dbraw/zinc/04/23/47/1074042347.db2.gz SRBAXKAZRBBRAI-GFCCVEGCSA-N 0 0 434.515 -0.784 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC001135820178 1074042654 /nfs/dbraw/zinc/04/26/54/1074042654.db2.gz SRBAXKAZRBBRAI-LBPRGKRZSA-N 0 0 434.515 -0.784 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001135820243 1074042579 /nfs/dbraw/zinc/04/25/79/1074042579.db2.gz VXVMCOWLWMGZNN-CYBMUJFWSA-N 0 0 435.593 -0.130 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001135820244 1074042594 /nfs/dbraw/zinc/04/25/94/1074042594.db2.gz VXVMCOWLWMGZNN-ZDUSSCGKSA-N 0 0 435.593 -0.130 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC001135874258 1074042602 /nfs/dbraw/zinc/04/26/02/1074042602.db2.gz VSAUKOLUJLPIBP-BLLLJJGKSA-N 0 0 430.552 -0.801 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC001135874259 1074042970 /nfs/dbraw/zinc/04/29/70/1074042970.db2.gz VSAUKOLUJLPIBP-LRDDRELGSA-N 0 0 430.552 -0.801 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC001135874260 1074042998 /nfs/dbraw/zinc/04/29/98/1074042998.db2.gz VSAUKOLUJLPIBP-MLGOLLRUSA-N 0 0 430.552 -0.801 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC001135874261 1074042992 /nfs/dbraw/zinc/04/29/92/1074042992.db2.gz VSAUKOLUJLPIBP-WBMJQRKESA-N 0 0 430.552 -0.801 20 0 IBADRN O=C(c1cnn(C[C@H](O)CO)c1)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001135915295 1074043007 /nfs/dbraw/zinc/04/30/07/1074043007.db2.gz GMSILVIWQGWDHH-INIZCTEOSA-N 0 0 426.470 -0.088 20 0 IBADRN O=C(c1cnn(C[C@@H](O)CO)c1)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001135915296 1074042952 /nfs/dbraw/zinc/04/29/52/1074042952.db2.gz GMSILVIWQGWDHH-MRXNPFEDSA-N 0 0 426.470 -0.088 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@]23COC[C@H]2CN(C(=O)OC(C)(C)C)C3)CC1 ZINC001135918155 1074042929 /nfs/dbraw/zinc/04/29/29/1074042929.db2.gz JKRYYYNYQVXPJH-IIBYNOLFSA-N 0 0 440.541 -0.223 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2Cc3c(cnn3-c3ccccc3)C2=O)CC1 ZINC001135918676 1074042960 /nfs/dbraw/zinc/04/29/60/1074042960.db2.gz AVGLVWVQXUJBHZ-UHFFFAOYSA-N 0 0 440.504 -0.265 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@]2(C)CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001135918705 1074043012 /nfs/dbraw/zinc/04/30/12/1074043012.db2.gz BVYPALOSRPSKJN-FQEVSTJZSA-N 0 0 428.530 -0.081 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@]2(C)CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001135918706 1074042939 /nfs/dbraw/zinc/04/29/39/1074042939.db2.gz BVYPALOSRPSKJN-HXUWFJFHSA-N 0 0 428.530 -0.081 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Cc2csc([C@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001135918721 1074043049 /nfs/dbraw/zinc/04/30/49/1074043049.db2.gz CRXYGRSNBNKRDP-AWEZNQCLSA-N 0 0 444.579 -0.506 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Cc2csc([C@@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001135918722 1074043105 /nfs/dbraw/zinc/04/31/05/1074043105.db2.gz CRXYGRSNBNKRDP-CQSZACIVSA-N 0 0 444.579 -0.506 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cn(C)nc2I)CC1 ZINC001135918932 1074043098 /nfs/dbraw/zinc/04/30/98/1074043098.db2.gz MTQVBTHMGLSPOF-UHFFFAOYSA-N 0 0 435.266 -0.455 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2cc(I)nn2C)CC1 ZINC001135919221 1074043038 /nfs/dbraw/zinc/04/30/38/1074043038.db2.gz ZSMSYMLIUMWGNV-UHFFFAOYSA-N 0 0 435.266 -0.455 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)Nc3cccc(S(=O)(=O)NC4CC4)c3)[C@@H](O)C(=O)N2C)cn1 ZINC001135925518 1074043401 /nfs/dbraw/zinc/04/34/01/1074043401.db2.gz FLRQUYCKMWDHAW-BRWVUGGUSA-N 0 0 433.490 -0.010 20 0 IBADRN CNC(=O)NCCC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931202 1074043058 /nfs/dbraw/zinc/04/30/58/1074043058.db2.gz FIDSJNNATSEDIR-UHFFFAOYSA-N 0 0 440.526 -0.930 20 0 IBADRN Cn1cncc1CC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931236 1074042976 /nfs/dbraw/zinc/04/29/76/1074042976.db2.gz GJNGDMSGBZSEQO-UHFFFAOYSA-N 0 0 434.522 -0.273 20 0 IBADRN CCNC(=O)CCC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931278 1074043395 /nfs/dbraw/zinc/04/33/95/1074043395.db2.gz HUUJNLWMHIOEQQ-UHFFFAOYSA-N 0 0 439.538 -0.333 20 0 IBADRN Cn1cc(C(=O)N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)ccc1=O ZINC001135931313 1074043347 /nfs/dbraw/zinc/04/33/47/1074043347.db2.gz JELSAEQUPSRKRX-UHFFFAOYSA-N 0 0 447.517 -0.236 20 0 IBADRN CSCC[C@H](O)C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931342 1074043355 /nfs/dbraw/zinc/04/33/55/1074043355.db2.gz KIJXVXSJRMFFJX-INIZCTEOSA-N 0 0 444.579 -0.135 20 0 IBADRN CSCC[C@@H](O)C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931343 1074043263 /nfs/dbraw/zinc/04/32/63/1074043263.db2.gz KIJXVXSJRMFFJX-MRXNPFEDSA-N 0 0 444.579 -0.135 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931410 1074043336 /nfs/dbraw/zinc/04/33/36/1074043336.db2.gz NGDUJZGNAGYTQR-INIZCTEOSA-N 0 0 437.522 -0.628 20 0 IBADRN O=C(N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1)[C@@]1(O)CCSC1 ZINC001135931514 1074043270 /nfs/dbraw/zinc/04/32/70/1074043270.db2.gz RBOACKGTZSZYIG-GOSISDBHSA-N 0 0 442.563 -0.381 20 0 IBADRN O=C(N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1)[C@]1(O)CCSC1 ZINC001135931515 1074043455 /nfs/dbraw/zinc/04/34/55/1074043455.db2.gz RBOACKGTZSZYIG-SFHVURJKSA-N 0 0 442.563 -0.381 20 0 IBADRN Cn1cc(CC(=O)N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)cn1 ZINC001135931545 1074043467 /nfs/dbraw/zinc/04/34/67/1074043467.db2.gz SORGMCSLVGEQFK-UHFFFAOYSA-N 0 0 434.522 -0.273 20 0 IBADRN O=C([C@H]1CCCC(=O)N1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931561 1074043415 /nfs/dbraw/zinc/04/34/15/1074043415.db2.gz UILJZDSXMXVRTQ-MRXNPFEDSA-N 0 0 437.522 -0.580 20 0 IBADRN NC(=O)CCCCC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931584 1074043251 /nfs/dbraw/zinc/04/32/51/1074043251.db2.gz VQXANGMZIHLAHF-UHFFFAOYSA-N 0 0 439.538 -0.203 20 0 IBADRN C[C@@H](CC(N)=O)C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931616 1074043302 /nfs/dbraw/zinc/04/33/02/1074043302.db2.gz WOGCIOVSWQWNAN-AWEZNQCLSA-N 0 0 425.511 -0.737 20 0 IBADRN C[C@H](CC(N)=O)C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001135931617 1074043311 /nfs/dbraw/zinc/04/33/11/1074043311.db2.gz WOGCIOVSWQWNAN-CQSZACIVSA-N 0 0 425.511 -0.737 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCCCC2)cc1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001135933429 1074043325 /nfs/dbraw/zinc/04/33/25/1074043325.db2.gz GSSFPZPANTXSNP-HNNXBMFYSA-N 0 0 429.520 -0.609 20 0 IBADRN O=C(C[C@@H]1CC(=O)N1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC001135939780 1074043362 /nfs/dbraw/zinc/04/33/62/1074043362.db2.gz OMTDMNXIYMQRMJ-JTQLQIEISA-N 0 0 442.881 -0.218 20 0 IBADRN O=C(C[C@H]1CC(=O)N1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC001135939781 1074043279 /nfs/dbraw/zinc/04/32/79/1074043279.db2.gz OMTDMNXIYMQRMJ-SNVBAGLBSA-N 0 0 442.881 -0.218 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1CCN(C(=O)c2ccco2)CC1 ZINC001135950912 1074043753 /nfs/dbraw/zinc/04/37/53/1074043753.db2.gz IHSMOVAMKQNLIA-UHFFFAOYSA-N 0 0 428.511 -0.166 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCN(CC(=O)NCc3ccccc3)CC1)CS(=O)(=O)CC2 ZINC001135986180 1074043744 /nfs/dbraw/zinc/04/37/44/1074043744.db2.gz OXWJVFBHQFQOCF-UHFFFAOYSA-N 0 0 445.545 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001135987156 1074043670 /nfs/dbraw/zinc/04/36/70/1074043670.db2.gz XRPKYZFZSVUVOV-DZGCQCFKSA-N 0 0 446.547 -0.126 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001135987157 1074043826 /nfs/dbraw/zinc/04/38/26/1074043826.db2.gz XRPKYZFZSVUVOV-HIFRSBDPSA-N 0 0 446.547 -0.126 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001135987158 1074043802 /nfs/dbraw/zinc/04/38/02/1074043802.db2.gz XRPKYZFZSVUVOV-UKRRQHHQSA-N 0 0 446.547 -0.126 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001135987159 1074043810 /nfs/dbraw/zinc/04/38/10/1074043810.db2.gz XRPKYZFZSVUVOV-ZFWWWQNUSA-N 0 0 446.547 -0.126 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCO[C@@H]1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001135990203 1074043704 /nfs/dbraw/zinc/04/37/04/1074043704.db2.gz DJJNOLSOFIPUTG-WBVHZDCISA-N 0 0 426.514 -0.328 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H](O)[C@@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001135990804 1074043792 /nfs/dbraw/zinc/04/37/92/1074043792.db2.gz PNIPNIRPRKMLLY-HKUYNNGSSA-N 0 0 445.438 -0.200 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H](O)[C@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001135990805 1074043682 /nfs/dbraw/zinc/04/36/82/1074043682.db2.gz PNIPNIRPRKMLLY-IEBWSBKVSA-N 0 0 445.438 -0.200 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H](O)[C@@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001135990806 1074043737 /nfs/dbraw/zinc/04/37/37/1074043737.db2.gz PNIPNIRPRKMLLY-MJGOQNOKSA-N 0 0 445.438 -0.200 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H](O)[C@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001135990807 1074043853 /nfs/dbraw/zinc/04/38/53/1074043853.db2.gz PNIPNIRPRKMLLY-PKOBYXMFSA-N 0 0 445.438 -0.200 20 0 IBADRN COCC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001135991148 1074043780 /nfs/dbraw/zinc/04/37/80/1074043780.db2.gz XINJNSCLXXQMIZ-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN COCC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001135991149 1074043843 /nfs/dbraw/zinc/04/38/43/1074043843.db2.gz XINJNSCLXXQMIZ-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)Cn2nc(Br)c(Br)n2)CC1 ZINC001136000939 1074043690 /nfs/dbraw/zinc/04/36/90/1074043690.db2.gz HZYRSUAUYFTLMF-UHFFFAOYSA-N 0 0 431.110 -0.093 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)c2c(OC)ccc(S(N)(=O)=O)c2OC)CC1 ZINC001136003245 1074044032 /nfs/dbraw/zinc/04/40/32/1074044032.db2.gz XCIAAKKRUBLWLS-UHFFFAOYSA-N 0 0 429.495 -0.034 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001136026672 1074044043 /nfs/dbraw/zinc/04/40/43/1074044043.db2.gz FBWADHICFNBOQO-GOSISDBHSA-N 0 0 449.527 -0.259 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001136026673 1074044123 /nfs/dbraw/zinc/04/41/23/1074044123.db2.gz FBWADHICFNBOQO-SFHVURJKSA-N 0 0 449.527 -0.259 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@H]2OCC(=O)N(CC)[C@@H]2c2ccnn2C)CC1 ZINC001136026824 1074044192 /nfs/dbraw/zinc/04/41/92/1074044192.db2.gz KGICESYZNINOFZ-UXHICEINSA-N 0 0 434.541 -0.279 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)N2CCCCC2)CC1 ZINC001136086076 1074044385 /nfs/dbraw/zinc/04/43/85/1074044385.db2.gz YXEDNEHZNBGCEV-UHFFFAOYSA-N 0 0 431.559 -0.429 20 0 IBADRN NS(=O)(=O)CCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001136109736 1074044599 /nfs/dbraw/zinc/04/45/99/1074044599.db2.gz LAIFVZDDORARET-UHFFFAOYSA-N 0 0 433.508 -0.641 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001136109784 1074044589 /nfs/dbraw/zinc/04/45/89/1074044589.db2.gz MLKOAUOJZPTPJK-UHFFFAOYSA-N 0 0 447.535 -0.428 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC(C(=O)N3CCOCC3)CC2)[C@H]1c1nccn1C ZINC001136114597 1074044905 /nfs/dbraw/zinc/04/49/05/1074044905.db2.gz LQJMWKWNWVRENN-ROUUACIJSA-N 0 0 433.509 -0.194 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC001136117784 1074044844 /nfs/dbraw/zinc/04/48/44/1074044844.db2.gz YGLNEADNJRQCJF-UHFFFAOYSA-N 0 0 438.959 -0.089 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001136120836 1074044481 /nfs/dbraw/zinc/04/44/81/1074044481.db2.gz HVJKMVPFNHDHAN-UHFFFAOYSA-N 0 0 440.503 -0.612 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001136121466 1074044564 /nfs/dbraw/zinc/04/45/64/1074044564.db2.gz SBGNPIDXOGWGNT-KZNAEPCWSA-N 0 0 447.517 -0.557 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001136126100 1074044369 /nfs/dbraw/zinc/04/43/69/1074044369.db2.gz KDHSSSIPQLGXDQ-DVOMOZLQSA-N 0 0 444.579 -0.413 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001136126101 1074044574 /nfs/dbraw/zinc/04/45/74/1074044574.db2.gz KDHSSSIPQLGXDQ-HEHGZKQESA-N 0 0 444.579 -0.413 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001136126102 1074044448 /nfs/dbraw/zinc/04/44/48/1074044448.db2.gz KDHSSSIPQLGXDQ-WWGRRREGSA-N 0 0 444.579 -0.413 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001136126103 1074044400 /nfs/dbraw/zinc/04/44/00/1074044400.db2.gz KDHSSSIPQLGXDQ-XEZPLFJOSA-N 0 0 444.579 -0.413 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001136126463 1074044581 /nfs/dbraw/zinc/04/45/81/1074044581.db2.gz YYGKPYOLDHXYDK-GDBMZVCRSA-N 0 0 437.541 -0.125 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001136126464 1074044408 /nfs/dbraw/zinc/04/44/08/1074044408.db2.gz YYGKPYOLDHXYDK-GOEBONIOSA-N 0 0 437.541 -0.125 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001136126465 1074044494 /nfs/dbraw/zinc/04/44/94/1074044494.db2.gz YYGKPYOLDHXYDK-HOCLYGCPSA-N 0 0 437.541 -0.125 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001136126466 1074044510 /nfs/dbraw/zinc/04/45/10/1074044510.db2.gz YYGKPYOLDHXYDK-ZBFHGGJFSA-N 0 0 437.541 -0.125 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC001136128409 1074044961 /nfs/dbraw/zinc/04/49/61/1074044961.db2.gz MOGSCBVGPSCFRO-UHFFFAOYSA-N 0 0 433.494 -0.618 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c(F)c1 ZINC001136134294 1074045250 /nfs/dbraw/zinc/04/52/50/1074045250.db2.gz LIHPTNQHXRDYTQ-UHFFFAOYSA-N 0 0 429.474 -0.519 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)N3CCOCC3)CC2)[C@H]1c1nccn1C ZINC001136134726 1074045385 /nfs/dbraw/zinc/04/53/85/1074045385.db2.gz ZIRRUIIRMCHHMI-IRXDYDNUSA-N 0 0 434.497 -0.695 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3NC(=O)C[C@@H]3C)CC2)cc1 ZINC001136140895 1074045216 /nfs/dbraw/zinc/04/52/16/1074045216.db2.gz KWGQGFCKTQSXGA-AWEZNQCLSA-N 0 0 438.506 -0.040 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3NC(=O)C[C@H]3C)CC2)cc1 ZINC001136140896 1074045320 /nfs/dbraw/zinc/04/53/20/1074045320.db2.gz KWGQGFCKTQSXGA-CQSZACIVSA-N 0 0 438.506 -0.040 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CC1 ZINC001136144406 1074045575 /nfs/dbraw/zinc/04/55/75/1074045575.db2.gz SCZICQWIBAQVOH-UHFFFAOYSA-N 0 0 444.579 -0.166 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N[C@@H]3CCS(=O)(=O)C3)CS(=O)(=O)[C@@H]2C1 ZINC001136145185 1074045346 /nfs/dbraw/zinc/04/53/46/1074045346.db2.gz WKTSSCLEOUPBTE-AAVRWANBSA-N 0 0 436.552 -0.040 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N[C@H]1CCS(=O)(=O)C1)CS2(=O)=O ZINC001136145186 1074045227 /nfs/dbraw/zinc/04/52/27/1074045227.db2.gz WKTSSCLEOUPBTE-RQJABVFESA-N 0 0 436.552 -0.040 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N[C@@H]1CCS(=O)(=O)C1)CS2(=O)=O ZINC001136145187 1074045177 /nfs/dbraw/zinc/04/51/77/1074045177.db2.gz WKTSSCLEOUPBTE-SYQHCUMBSA-N 0 0 436.552 -0.040 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N[C@H]3CCS(=O)(=O)C3)CS(=O)(=O)[C@@H]2C1 ZINC001136145188 1074045203 /nfs/dbraw/zinc/04/52/03/1074045203.db2.gz WKTSSCLEOUPBTE-XJFOESAGSA-N 0 0 436.552 -0.040 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC001136150431 1074045611 /nfs/dbraw/zinc/04/56/11/1074045611.db2.gz SEDOXWPYNBCGIT-ZDUSSCGKSA-N 0 0 441.572 -0.145 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001136151541 1074045660 /nfs/dbraw/zinc/04/56/60/1074045660.db2.gz JIGHUPPSGUDTGE-CQSZACIVSA-N 0 0 447.521 -0.800 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC001136156946 1074045742 /nfs/dbraw/zinc/04/57/42/1074045742.db2.gz SYARBMIUZJVUID-UHFFFAOYSA-N 0 0 438.959 -0.089 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001136161169 1074045714 /nfs/dbraw/zinc/04/57/14/1074045714.db2.gz FMDRGKZYGCAFQJ-PBHICJAKSA-N 0 0 435.500 -0.142 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001136161170 1074045670 /nfs/dbraw/zinc/04/56/70/1074045670.db2.gz FMDRGKZYGCAFQJ-RHSMWYFYSA-N 0 0 435.500 -0.142 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001136161171 1074045681 /nfs/dbraw/zinc/04/56/81/1074045681.db2.gz FMDRGKZYGCAFQJ-WMLDXEAASA-N 0 0 435.500 -0.142 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001136161172 1074045698 /nfs/dbraw/zinc/04/56/98/1074045698.db2.gz FMDRGKZYGCAFQJ-YOEHRIQHSA-N 0 0 435.500 -0.142 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001136164592 1074045720 /nfs/dbraw/zinc/04/57/20/1074045720.db2.gz AKLCAPUBFULAEM-HNNXBMFYSA-N 0 0 438.554 -0.324 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001136164593 1074045690 /nfs/dbraw/zinc/04/56/90/1074045690.db2.gz AKLCAPUBFULAEM-OAHLLOKOSA-N 0 0 438.554 -0.324 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001136168414 1074046054 /nfs/dbraw/zinc/04/60/54/1074046054.db2.gz ZSAPFIVZLKPZBZ-UHFFFAOYSA-N 0 0 445.563 -0.601 20 0 IBADRN O=C(Cc1ccc(NC(=O)[C@@H]2CCS(=O)(=O)N2)cc1)N1CCN(c2ncccn2)CC1 ZINC001136191079 1074046471 /nfs/dbraw/zinc/04/64/71/1074046471.db2.gz YYAUUHDSRHWDOR-KRWDZBQOSA-N 0 0 444.517 -0.002 20 0 IBADRN O=C(Cc1ccc(NC(=O)[C@H]2CCS(=O)(=O)N2)cc1)N1CCN(c2ncccn2)CC1 ZINC001136191080 1074046460 /nfs/dbraw/zinc/04/64/60/1074046460.db2.gz YYAUUHDSRHWDOR-QGZVFWFLSA-N 0 0 444.517 -0.002 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCc2cccc(S(=O)(=O)NC)c2)[C@H]1c1ccnn1C ZINC001136191715 1074046575 /nfs/dbraw/zinc/04/65/75/1074046575.db2.gz YBZDFFHEYQSZOS-MSOLQXFVSA-N 0 0 435.506 -0.067 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3CN(C(=O)C4CCCCC4)C3)CC2)CC1 ZINC001136201359 1074046478 /nfs/dbraw/zinc/04/64/78/1074046478.db2.gz HKGDNEUSXGOWOW-UHFFFAOYSA-N 0 0 441.598 -0.339 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(Cn4ccnn4)cc3)CC2)CC1 ZINC001136201382 1074046389 /nfs/dbraw/zinc/04/63/89/1074046389.db2.gz HYGULGJOCMGFHM-UHFFFAOYSA-N 0 0 433.538 -0.424 20 0 IBADRN Cc1nn(-c2cccnc2)nc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001136201408 1074046495 /nfs/dbraw/zinc/04/64/95/1074046495.db2.gz INZBTQMWCYJJCN-UHFFFAOYSA-N 0 0 434.526 -0.779 20 0 IBADRN COC(=O)c1coc(/C=C\C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC001136201613 1074046441 /nfs/dbraw/zinc/04/64/41/1074046441.db2.gz PBUCEXKZLFMEPQ-ARJAWSKDSA-N 0 0 426.495 -0.284 20 0 IBADRN COC(=O)c1coc(/C=C/C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC001136201614 1074046292 /nfs/dbraw/zinc/04/62/92/1074046292.db2.gz PBUCEXKZLFMEPQ-ONEGZZNKSA-N 0 0 426.495 -0.284 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnc4c(c3)NC(=O)CS4)CC2)CC1 ZINC001136201644 1074046484 /nfs/dbraw/zinc/04/64/84/1074046484.db2.gz QQGAZAXNZLDGBE-UHFFFAOYSA-N 0 0 440.551 -0.624 20 0 IBADRN C[C@H](c1ccccc1F)[C@@H](O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001136201786 1074046523 /nfs/dbraw/zinc/04/65/23/1074046523.db2.gz WCZAGTJZZHWXQL-CRAIPNDOSA-N 0 0 428.530 -0.074 20 0 IBADRN C[C@@H](c1ccccc1F)[C@@H](O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001136201787 1074046545 /nfs/dbraw/zinc/04/65/45/1074046545.db2.gz WCZAGTJZZHWXQL-MAUKXSAKSA-N 0 0 428.530 -0.074 20 0 IBADRN C[C@H](c1ccccc1F)[C@H](O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001136201788 1074046353 /nfs/dbraw/zinc/04/63/53/1074046353.db2.gz WCZAGTJZZHWXQL-QAPCUYQASA-N 0 0 428.530 -0.074 20 0 IBADRN C[C@@H](c1ccccc1F)[C@H](O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001136201789 1074046418 /nfs/dbraw/zinc/04/64/18/1074046418.db2.gz WCZAGTJZZHWXQL-YJBOKZPZSA-N 0 0 428.530 -0.074 20 0 IBADRN CC(=O)N/C(=C/c1cccs1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001136201801 1074046510 /nfs/dbraw/zinc/04/65/10/1074046510.db2.gz WYNUSUFBODBZSC-SAPNQHFASA-N 0 0 441.579 -0.139 20 0 IBADRN CC(=O)N/C(=C\c1cccs1)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001136201802 1074046558 /nfs/dbraw/zinc/04/65/58/1074046558.db2.gz WYNUSUFBODBZSC-VKAVYKQESA-N 0 0 441.579 -0.139 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC[C@H]3C(=O)OC(C)(C)C)CC2)CC1 ZINC001136201848 1074046969 /nfs/dbraw/zinc/04/69/69/1074046969.db2.gz ZBJZIIVOWUIMNP-HZPDHXFCSA-N 0 0 430.571 -0.009 20 0 IBADRN CN1CCn2ncc(C(=O)NCCN3CCN(C(=O)OC(C)(C)C)CC3)c2S1(=O)=O ZINC001136202805 1074046537 /nfs/dbraw/zinc/04/65/37/1074046537.db2.gz DHJNDYPWENPGTN-UHFFFAOYSA-N 0 0 442.542 -0.200 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn([C@@H]4CC[C@H]4O)nn3)CC2)C[C@H](C)O1 ZINC001136206207 1074047190 /nfs/dbraw/zinc/04/71/90/1074047190.db2.gz BFSPTOOQSVNWDJ-OCVGTWLNSA-N 0 0 428.515 -0.914 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn([C@@H]4CC[C@H]4O)nn3)CC2)C[C@@H](C)O1 ZINC001136206208 1074047184 /nfs/dbraw/zinc/04/71/84/1074047184.db2.gz BFSPTOOQSVNWDJ-RRCSTGOVSA-N 0 0 428.515 -0.914 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cn([C@@H]4CC[C@H]4O)nn3)CC2)C[C@H](C)O1 ZINC001136206209 1074047205 /nfs/dbraw/zinc/04/72/05/1074047205.db2.gz BFSPTOOQSVNWDJ-WMHQRMGPSA-N 0 0 428.515 -0.914 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001136206276 1074047268 /nfs/dbraw/zinc/04/72/68/1074047268.db2.gz CRSAPUXNAFULIR-INIZCTEOSA-N 0 0 439.490 -0.664 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001136206277 1074047251 /nfs/dbraw/zinc/04/72/51/1074047251.db2.gz CRSAPUXNAFULIR-MRXNPFEDSA-N 0 0 439.490 -0.664 20 0 IBADRN COC(=O)c1ccn(CC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC001136206762 1074046854 /nfs/dbraw/zinc/04/68/54/1074046854.db2.gz PEYVWEZDABKSJK-KBPBESRZSA-N 0 0 429.499 -0.832 20 0 IBADRN COC(=O)c1ccn(CC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC001136206763 1074046861 /nfs/dbraw/zinc/04/68/61/1074046861.db2.gz PEYVWEZDABKSJK-OKILXGFUSA-N 0 0 429.499 -0.832 20 0 IBADRN COC(=O)c1ccn(CC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC001136206764 1074046805 /nfs/dbraw/zinc/04/68/05/1074046805.db2.gz PEYVWEZDABKSJK-ZIAGYGMSSA-N 0 0 429.499 -0.832 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H](C3)S4(=O)=O)CC2)C[C@@H](C)O1 ZINC001136206769 1074046962 /nfs/dbraw/zinc/04/69/62/1074046962.db2.gz PGEGEENERVEYDA-HHARLNAUSA-N 0 0 449.595 -0.160 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H](C3)S4(=O)=O)CC2)C[C@H](C)O1 ZINC001136206770 1074046933 /nfs/dbraw/zinc/04/69/33/1074046933.db2.gz PGEGEENERVEYDA-QUSNUVHPSA-N 0 0 449.595 -0.160 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H](C3)S4(=O)=O)CC2)C[C@H](C)O1 ZINC001136206771 1074046917 /nfs/dbraw/zinc/04/69/17/1074046917.db2.gz PGEGEENERVEYDA-XABIJQAGSA-N 0 0 449.595 -0.160 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCc4nnnn4CC3)CC2)C[C@@H](C)O1 ZINC001136206986 1074046845 /nfs/dbraw/zinc/04/68/45/1074046845.db2.gz VQPMYRMUMNRXTF-KFWWJZLASA-N 0 0 427.531 -0.876 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCc4nnnn4CC3)CC2)C[C@H](C)O1 ZINC001136206987 1074046906 /nfs/dbraw/zinc/04/69/06/1074046906.db2.gz VQPMYRMUMNRXTF-QLFBSQMISA-N 0 0 427.531 -0.876 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCc4nnnn4CC3)CC2)C[C@@H](C)O1 ZINC001136206988 1074046948 /nfs/dbraw/zinc/04/69/48/1074046948.db2.gz VQPMYRMUMNRXTF-RBSFLKMASA-N 0 0 427.531 -0.876 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCc4nnnn4CC3)CC2)C[C@H](C)O1 ZINC001136206989 1074046877 /nfs/dbraw/zinc/04/68/77/1074046877.db2.gz VQPMYRMUMNRXTF-ZNMIVQPWSA-N 0 0 427.531 -0.876 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(n4cnnn4)CCCCC3)CC2)C[C@H](C)O1 ZINC001136207002 1074046892 /nfs/dbraw/zinc/04/68/92/1074046892.db2.gz VYJPQGJTGIKDBN-HOTGVXAUSA-N 0 0 441.558 -0.169 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(n4cnnn4)CCCCC3)CC2)C[C@@H](C)O1 ZINC001136207003 1074046955 /nfs/dbraw/zinc/04/69/55/1074046955.db2.gz VYJPQGJTGIKDBN-HZPDHXFCSA-N 0 0 441.558 -0.169 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)C3(n4cnnn4)CCCCC3)CC2)C[C@H](C)O1 ZINC001136207004 1074046895 /nfs/dbraw/zinc/04/68/95/1074046895.db2.gz VYJPQGJTGIKDBN-IYBDPMFKSA-N 0 0 441.558 -0.169 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)COCC(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC001136207009 1074046926 /nfs/dbraw/zinc/04/69/26/1074046926.db2.gz WCVCKHQYRRKXIE-GASCZTMLSA-N 0 0 435.543 -0.157 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)COCC(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC001136207010 1074046818 /nfs/dbraw/zinc/04/68/18/1074046818.db2.gz WCVCKHQYRRKXIE-GJZGRUSLSA-N 0 0 435.543 -0.157 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)COCC(=O)OC(C)(C)C)CC2)C[C@@H](C)O1 ZINC001136207011 1074046885 /nfs/dbraw/zinc/04/68/85/1074046885.db2.gz WCVCKHQYRRKXIE-HUUCEWRRSA-N 0 0 435.543 -0.157 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC(C(=O)NCC(F)(F)F)CC1 ZINC001136207109 1074046941 /nfs/dbraw/zinc/04/69/41/1074046941.db2.gz YWWNEJMVPRZGLA-UHFFFAOYSA-N 0 0 444.476 -0.262 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)CNc2ccc(S(N)(=O)=O)cc2F)CC1 ZINC001136214982 1074047165 /nfs/dbraw/zinc/04/71/65/1074047165.db2.gz VCHUJGORJMUDKQ-UHFFFAOYSA-N 0 0 429.518 -0.008 20 0 IBADRN CC[NH+]1CCN(CCCNC(=O)c2[n-]n(-c3nc(C)cc(C)n3)c(=O)c2CCO)CC1 ZINC001136216235 1074047212 /nfs/dbraw/zinc/04/72/12/1074047212.db2.gz CGPXKMGDKJPVGM-UHFFFAOYSA-N 0 0 431.541 -0.135 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)c3ccc(S(=O)(=O)CC(N)=O)cc3)CC2)CC1 ZINC001136226543 1074047546 /nfs/dbraw/zinc/04/75/46/1074047546.db2.gz BZPGWNFGRMHXMQ-UHFFFAOYSA-N 0 0 436.534 -0.428 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC(NS(=O)(=O)CC)CC2)[C@H]1c1ccnn1C ZINC001136227160 1074047330 /nfs/dbraw/zinc/04/73/30/1074047330.db2.gz AZLJYWHOQYMYSN-SJORKVTESA-N 0 0 427.527 -0.361 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001136227879 1074047234 /nfs/dbraw/zinc/04/72/34/1074047234.db2.gz WMPRONWRYQUNEL-HNNXBMFYSA-N 0 0 428.486 -0.270 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001136227880 1074047263 /nfs/dbraw/zinc/04/72/63/1074047263.db2.gz WMPRONWRYQUNEL-OAHLLOKOSA-N 0 0 428.486 -0.270 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)CCCN2C(=O)CNC2=O)CC1 ZINC001136230791 1074047703 /nfs/dbraw/zinc/04/77/03/1074047703.db2.gz UWFOXKYUZABEFX-UHFFFAOYSA-N 0 0 425.486 -0.048 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CSCCSC3)CC2)o1 ZINC001136233216 1074048023 /nfs/dbraw/zinc/04/80/23/1074048023.db2.gz LJVDYWLFPCRTED-UHFFFAOYSA-N 0 0 434.565 -0.092 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)C1 ZINC001136234212 1074047716 /nfs/dbraw/zinc/04/77/16/1074047716.db2.gz YFZVQGONYYZEFI-AWEZNQCLSA-N 0 0 428.486 -0.209 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)C1 ZINC001136234213 1074047630 /nfs/dbraw/zinc/04/76/30/1074047630.db2.gz YFZVQGONYYZEFI-CQSZACIVSA-N 0 0 428.486 -0.209 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@]1(O)CCCN(C(=O)OC(C)(C)C)C1 ZINC001136234894 1074047568 /nfs/dbraw/zinc/04/75/68/1074047568.db2.gz BBBINEKQFOPJGT-AUUYWEPGSA-N 0 0 447.554 -0.100 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@]1(O)CCCN(C(=O)OC(C)(C)C)C1 ZINC001136234895 1074047602 /nfs/dbraw/zinc/04/76/02/1074047602.db2.gz BBBINEKQFOPJGT-IFXJQAMLSA-N 0 0 447.554 -0.100 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@@]1(O)CCCN(C(=O)OC(C)(C)C)C1 ZINC001136234896 1074047640 /nfs/dbraw/zinc/04/76/40/1074047640.db2.gz BBBINEKQFOPJGT-KUHUBIRLSA-N 0 0 447.554 -0.100 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@@]1(O)CCCN(C(=O)OC(C)(C)C)C1 ZINC001136234897 1074047610 /nfs/dbraw/zinc/04/76/10/1074047610.db2.gz BBBINEKQFOPJGT-LIRRHRJNSA-N 0 0 447.554 -0.100 20 0 IBADRN C[C@@H](Sc1nc(CC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cs1)C(N)=O ZINC001136234947 1074047648 /nfs/dbraw/zinc/04/76/48/1074047648.db2.gz CDBFEQCESVFNOD-NXEZZACHSA-N 0 0 434.565 -0.587 20 0 IBADRN C[C@H](Sc1nc(CC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cs1)C(N)=O ZINC001136234948 1074047507 /nfs/dbraw/zinc/04/75/07/1074047507.db2.gz CDBFEQCESVFNOD-UWVGGRQHSA-N 0 0 434.565 -0.587 20 0 IBADRN C[C@H](Sc1nc(CC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cs1)C(N)=O ZINC001136234949 1074047580 /nfs/dbraw/zinc/04/75/80/1074047580.db2.gz CDBFEQCESVFNOD-VHSXEESVSA-N 0 0 434.565 -0.587 20 0 IBADRN C[C@@H](Sc1nc(CC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cs1)C(N)=O ZINC001136234950 1074047525 /nfs/dbraw/zinc/04/75/25/1074047525.db2.gz CDBFEQCESVFNOD-ZJUUUORDSA-N 0 0 434.565 -0.587 20 0 IBADRN O=C(/C=C/CN1CCOCC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC001136235038 1074047679 /nfs/dbraw/zinc/04/76/79/1074047679.db2.gz FIHYEUBGUFJUGR-OWOJBTEDSA-N 0 0 435.506 -0.010 20 0 IBADRN O=C(/C=C\CN1CCOCC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC001136235039 1074047487 /nfs/dbraw/zinc/04/74/87/1074047487.db2.gz FIHYEUBGUFJUGR-UPHRSURJSA-N 0 0 435.506 -0.010 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@]12COC[C@H]1CN(C(=O)OC(C)(C)C)C2 ZINC001136235200 1074047620 /nfs/dbraw/zinc/04/76/20/1074047620.db2.gz JDLUZHOLMUPZDJ-BIENJYKASA-N 0 0 445.538 -0.368 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@]12COC[C@H]1CN(C(=O)OC(C)(C)C)C2 ZINC001136235201 1074047589 /nfs/dbraw/zinc/04/75/89/1074047589.db2.gz JDLUZHOLMUPZDJ-PJIJBLCYSA-N 0 0 445.538 -0.368 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001136235318 1074047534 /nfs/dbraw/zinc/04/75/34/1074047534.db2.gz NIFOJIYYZQGTKU-DVZHBHJUSA-N 0 0 432.568 -0.526 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12 ZINC001136235319 1074047666 /nfs/dbraw/zinc/04/76/66/1074047666.db2.gz NIFOJIYYZQGTKU-FOCJUVANSA-N 0 0 432.568 -0.526 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21 ZINC001136235320 1074047655 /nfs/dbraw/zinc/04/76/55/1074047655.db2.gz NIFOJIYYZQGTKU-LMOYCYGVSA-N 0 0 432.568 -0.526 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12 ZINC001136235321 1074047878 /nfs/dbraw/zinc/04/78/78/1074047878.db2.gz NIFOJIYYZQGTKU-NFFDBFGFSA-N 0 0 432.568 -0.526 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCO[C@@H]1CNC(=O)OC(C)(C)C ZINC001136235600 1074047919 /nfs/dbraw/zinc/04/79/19/1074047919.db2.gz VZDXBLNYVWNTIM-HZSPNIEDSA-N 0 0 433.527 -0.322 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCO[C@H]1CNC(=O)OC(C)(C)C ZINC001136235601 1074048058 /nfs/dbraw/zinc/04/80/58/1074048058.db2.gz VZDXBLNYVWNTIM-MCIONIFRSA-N 0 0 433.527 -0.322 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCO[C@@H]1CNC(=O)OC(C)(C)C ZINC001136235602 1074047993 /nfs/dbraw/zinc/04/79/93/1074047993.db2.gz VZDXBLNYVWNTIM-MGPQQGTHSA-N 0 0 433.527 -0.322 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCO[C@H]1CNC(=O)OC(C)(C)C ZINC001136235603 1074048064 /nfs/dbraw/zinc/04/80/64/1074048064.db2.gz VZDXBLNYVWNTIM-RDBSUJKOSA-N 0 0 433.527 -0.322 20 0 IBADRN CS(=O)(=O)CC1(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)COC1 ZINC001136236802 1074048267 /nfs/dbraw/zinc/04/82/67/1074048267.db2.gz IAYSPIWQBNOABN-UHFFFAOYSA-N 0 0 446.547 -0.474 20 0 IBADRN CCC[C@@H](C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1)S(N)(=O)=O ZINC001136237404 1074048279 /nfs/dbraw/zinc/04/82/79/1074048279.db2.gz YNUZCXSUYQFKEN-INIZCTEOSA-N 0 0 433.552 -0.078 20 0 IBADRN CCC[C@H](C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1)S(N)(=O)=O ZINC001136237405 1074048325 /nfs/dbraw/zinc/04/83/25/1074048325.db2.gz YNUZCXSUYQFKEN-MRXNPFEDSA-N 0 0 433.552 -0.078 20 0 IBADRN O=C(CCNC(=O)[C@H](O)[C@](O)(c1ccccc1)C(F)(F)F)N[C@H]1CCS(=O)(=O)C1 ZINC001136238812 1074048039 /nfs/dbraw/zinc/04/80/39/1074048039.db2.gz CZYRFRHBDAODEM-DUVNUKRYSA-N 0 0 438.424 -0.393 20 0 IBADRN O=C(CCNC(=O)[C@H](O)[C@@](O)(c1ccccc1)C(F)(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC001136238813 1074047928 /nfs/dbraw/zinc/04/79/28/1074047928.db2.gz CZYRFRHBDAODEM-INWMFGNUSA-N 0 0 438.424 -0.393 20 0 IBADRN O=C(CCNC(=O)[C@H](O)[C@](O)(c1ccccc1)C(F)(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC001136238814 1074047935 /nfs/dbraw/zinc/04/79/35/1074047935.db2.gz CZYRFRHBDAODEM-IVMMDQJWSA-N 0 0 438.424 -0.393 20 0 IBADRN O=C(CCNC(=O)[C@H](O)[C@@](O)(c1ccccc1)C(F)(F)F)N[C@H]1CCS(=O)(=O)C1 ZINC001136238815 1074047977 /nfs/dbraw/zinc/04/79/77/1074047977.db2.gz CZYRFRHBDAODEM-NOLJZWGESA-N 0 0 438.424 -0.393 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001136238820 1074048052 /nfs/dbraw/zinc/04/80/52/1074048052.db2.gz DEBNAAKABUPPCC-CYBMUJFWSA-N 0 0 431.536 -0.331 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001136238821 1074047890 /nfs/dbraw/zinc/04/78/90/1074047890.db2.gz DEBNAAKABUPPCC-ZDUSSCGKSA-N 0 0 431.536 -0.331 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CC1 ZINC001136269434 1074048981 /nfs/dbraw/zinc/04/89/81/1074048981.db2.gz BLORGEZKHLMSGH-UHFFFAOYSA-N 0 0 431.536 -0.176 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)[C@H]2C[C@H](O)CN2c2ccncn2)cc1 ZINC001136273063 1074049137 /nfs/dbraw/zinc/04/91/37/1074049137.db2.gz GJHGMGTVKAHWTC-DOTOQJQBSA-N 0 0 435.506 -0.343 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)[C@H]2C[C@@H](O)CN2c2ccncn2)cc1 ZINC001136273064 1074048942 /nfs/dbraw/zinc/04/89/42/1074048942.db2.gz GJHGMGTVKAHWTC-NVXWUHKLSA-N 0 0 435.506 -0.343 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2C[C@H](O)CN2c2ccncn2)cc1 ZINC001136273065 1074048992 /nfs/dbraw/zinc/04/89/92/1074048992.db2.gz GJHGMGTVKAHWTC-RDJZCZTQSA-N 0 0 435.506 -0.343 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2C[C@@H](O)CN2c2ccncn2)cc1 ZINC001136273066 1074049003 /nfs/dbraw/zinc/04/90/03/1074049003.db2.gz GJHGMGTVKAHWTC-WBVHZDCISA-N 0 0 435.506 -0.343 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC001136274053 1074049536 /nfs/dbraw/zinc/04/95/36/1074049536.db2.gz OIGUXUAUFSKVOH-HNNXBMFYSA-N 0 0 431.536 -0.349 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC001136274054 1074049366 /nfs/dbraw/zinc/04/93/66/1074049366.db2.gz OIGUXUAUFSKVOH-OAHLLOKOSA-N 0 0 431.536 -0.349 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCC[C@H]2C(=O)NC2CC2)c1 ZINC001136280616 1074049550 /nfs/dbraw/zinc/04/95/50/1074049550.db2.gz ZOMFAPWLBFEKJM-AWEZNQCLSA-N 0 0 428.898 -0.013 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCC[C@@H]2C(=O)NC2CC2)c1 ZINC001136280617 1074049572 /nfs/dbraw/zinc/04/95/72/1074049572.db2.gz ZOMFAPWLBFEKJM-CQSZACIVSA-N 0 0 428.898 -0.013 20 0 IBADRN O=C(c1cn(C(F)(F)CO)nn1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001136281716 1074049409 /nfs/dbraw/zinc/04/94/09/1074049409.db2.gz NFAPQCGPGXRPDZ-UHFFFAOYSA-N 0 0 426.405 -0.273 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001136282041 1074049322 /nfs/dbraw/zinc/04/93/22/1074049322.db2.gz WWNVFYZSPHRUEW-UHFFFAOYSA-N 0 0 429.524 -0.982 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C[C@@H]2CCNC2=O)CC1 ZINC001136285190 1074049529 /nfs/dbraw/zinc/04/95/29/1074049529.db2.gz UANRBXKZGBZDPD-AWEZNQCLSA-N 0 0 443.547 -0.242 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C[C@H]2CCNC2=O)CC1 ZINC001136285191 1074049609 /nfs/dbraw/zinc/04/96/09/1074049609.db2.gz UANRBXKZGBZDPD-CQSZACIVSA-N 0 0 443.547 -0.242 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)Cc2nnc[nH]2)CC1 ZINC001136285329 1074049944 /nfs/dbraw/zinc/04/99/44/1074049944.db2.gz ZXYFRLLUSOIIAZ-UHFFFAOYSA-N 0 0 427.508 -0.408 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NCc1ccc(S(=O)(=O)N2CCC[C@@H](C)C2)cc1 ZINC001136293064 1074049922 /nfs/dbraw/zinc/04/99/22/1074049922.db2.gz GBLJYTCIXPNOBA-HUUCEWRRSA-N 0 0 449.537 -0.085 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NCc1ccc(S(=O)(=O)N2CCC[C@H](C)C2)cc1 ZINC001136293065 1074049774 /nfs/dbraw/zinc/04/97/74/1074049774.db2.gz GBLJYTCIXPNOBA-LSDHHAIUSA-N 0 0 449.537 -0.085 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1 ZINC001136298711 1074050031 /nfs/dbraw/zinc/05/00/31/1074050031.db2.gz CYHJQURXEBIKOQ-CVEARBPZSA-N 0 0 442.513 -0.341 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1 ZINC001136298712 1074049860 /nfs/dbraw/zinc/04/98/60/1074049860.db2.gz CYHJQURXEBIKOQ-HOTGVXAUSA-N 0 0 442.513 -0.341 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1 ZINC001136298713 1074049995 /nfs/dbraw/zinc/04/99/95/1074049995.db2.gz CYHJQURXEBIKOQ-HZPDHXFCSA-N 0 0 442.513 -0.341 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1 ZINC001136298714 1074050004 /nfs/dbraw/zinc/05/00/04/1074050004.db2.gz CYHJQURXEBIKOQ-JKSUJKDBSA-N 0 0 442.513 -0.341 20 0 IBADRN O=C(c1cc(C(F)(F)F)ncn1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317052 1074050796 /nfs/dbraw/zinc/05/07/96/1074050796.db2.gz AVRIFPCCSUBQSX-JTQLQIEISA-N 0 0 442.441 -0.230 20 0 IBADRN O=C(c1cc(C(F)(F)F)ncn1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317053 1074050653 /nfs/dbraw/zinc/05/06/53/1074050653.db2.gz AVRIFPCCSUBQSX-SNVBAGLBSA-N 0 0 442.441 -0.230 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc21 ZINC001136317162 1074050704 /nfs/dbraw/zinc/05/07/04/1074050704.db2.gz FSLVZVZBMBVSFX-CYBMUJFWSA-N 0 0 426.520 -0.152 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c2ccccc21 ZINC001136317163 1074050644 /nfs/dbraw/zinc/05/06/44/1074050644.db2.gz FSLVZVZBMBVSFX-ZDUSSCGKSA-N 0 0 426.520 -0.152 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001136317179 1074050669 /nfs/dbraw/zinc/05/06/69/1074050669.db2.gz GEGNXHKQNUBBAE-HNNXBMFYSA-N 0 0 431.536 -0.316 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001136317180 1074050809 /nfs/dbraw/zinc/05/08/09/1074050809.db2.gz GEGNXHKQNUBBAE-OAHLLOKOSA-N 0 0 431.536 -0.316 20 0 IBADRN CCCOc1ccnc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001136317184 1074050814 /nfs/dbraw/zinc/05/08/14/1074050814.db2.gz GGINEPFUBOXSLN-AWEZNQCLSA-N 0 0 446.551 -0.531 20 0 IBADRN CCCOc1ccnc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001136317185 1074050626 /nfs/dbraw/zinc/05/06/26/1074050626.db2.gz GGINEPFUBOXSLN-CQSZACIVSA-N 0 0 446.551 -0.531 20 0 IBADRN O=C([C@H]1CC(=O)N[C@@H]2CCCC[C@H]21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317207 1074050679 /nfs/dbraw/zinc/05/06/79/1074050679.db2.gz HALXPZOKDHGOQU-FXUDXRNXSA-N 0 0 447.579 -0.658 20 0 IBADRN O=C([C@H]1CC(=O)N[C@@H]2CCCC[C@@H]21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317208 1074050737 /nfs/dbraw/zinc/05/07/37/1074050737.db2.gz HALXPZOKDHGOQU-LVQVYYBASA-N 0 0 447.579 -0.658 20 0 IBADRN O=C([C@H]1CC(=O)N[C@H]2CCCC[C@H]21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317209 1074051027 /nfs/dbraw/zinc/05/10/27/1074051027.db2.gz HALXPZOKDHGOQU-UGUYLWEFSA-N 0 0 447.579 -0.658 20 0 IBADRN O=C([C@H]1CC(=O)N[C@H]2CCCC[C@@H]21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317210 1074051092 /nfs/dbraw/zinc/05/10/92/1074051092.db2.gz HALXPZOKDHGOQU-WCVJEAGWSA-N 0 0 447.579 -0.658 20 0 IBADRN CC(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC001136317218 1074051131 /nfs/dbraw/zinc/05/11/31/1074051131.db2.gz HHDRPWZHTUSBKP-AWEZNQCLSA-N 0 0 430.508 -0.685 20 0 IBADRN CC(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC001136317219 1074051174 /nfs/dbraw/zinc/05/11/74/1074051174.db2.gz HHDRPWZHTUSBKP-CQSZACIVSA-N 0 0 430.508 -0.685 20 0 IBADRN Cn1ncc(C(F)(F)F)c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317229 1074051149 /nfs/dbraw/zinc/05/11/49/1074051149.db2.gz HRVCKKMCDBLFRI-JTQLQIEISA-N 0 0 444.457 -0.286 20 0 IBADRN Cn1ncc(C(F)(F)F)c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317230 1074051116 /nfs/dbraw/zinc/05/11/16/1074051116.db2.gz HRVCKKMCDBLFRI-SNVBAGLBSA-N 0 0 444.457 -0.286 20 0 IBADRN O=C(c1cc2n(n1)CCCC2=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317238 1074051108 /nfs/dbraw/zinc/05/11/08/1074051108.db2.gz ICKRMWOQBIWDLI-GFCCVEGCSA-N 0 0 430.508 -0.866 20 0 IBADRN O=C(c1cc2n(n1)CCCC2=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317239 1074051058 /nfs/dbraw/zinc/05/10/58/1074051058.db2.gz ICKRMWOQBIWDLI-LBPRGKRZSA-N 0 0 430.508 -0.866 20 0 IBADRN O=C(c1ccn(CC(F)(F)F)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317246 1074051166 /nfs/dbraw/zinc/05/11/66/1074051166.db2.gz IIMVFOGZXSVQEQ-LLVKDONJSA-N 0 0 444.457 -0.280 20 0 IBADRN O=C(c1ccn(CC(F)(F)F)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317247 1074051100 /nfs/dbraw/zinc/05/11/00/1074051100.db2.gz IIMVFOGZXSVQEQ-NSHDSACASA-N 0 0 444.457 -0.280 20 0 IBADRN COc1cccn2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c12 ZINC001136317256 1074051188 /nfs/dbraw/zinc/05/11/88/1074051188.db2.gz IMLZKEGMYRFZGI-CYBMUJFWSA-N 0 0 442.519 -0.382 20 0 IBADRN COc1cccn2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c12 ZINC001136317257 1074051179 /nfs/dbraw/zinc/05/11/79/1074051179.db2.gz IMLZKEGMYRFZGI-ZDUSSCGKSA-N 0 0 442.519 -0.382 20 0 IBADRN CC(C)(C)n1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC001136317295 1074051066 /nfs/dbraw/zinc/05/10/66/1074051066.db2.gz JONWIMYTRAABGT-AWEZNQCLSA-N 0 0 445.563 -0.122 20 0 IBADRN CC(C)(C)n1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC001136317296 1074051050 /nfs/dbraw/zinc/05/10/50/1074051050.db2.gz JONWIMYTRAABGT-CQSZACIVSA-N 0 0 445.563 -0.122 20 0 IBADRN Cc1nc(C)c(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(=O)[nH]1 ZINC001136317361 1074051075 /nfs/dbraw/zinc/05/10/75/1074051075.db2.gz LDNOKRVVTPPPCV-CYBMUJFWSA-N 0 0 432.524 -0.997 20 0 IBADRN Cc1nc(C)c(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(=O)[nH]1 ZINC001136317362 1074051138 /nfs/dbraw/zinc/05/11/38/1074051138.db2.gz LDNOKRVVTPPPCV-ZDUSSCGKSA-N 0 0 432.524 -0.997 20 0 IBADRN Cn1cnc2ccc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)nc21 ZINC001136317451 1074051121 /nfs/dbraw/zinc/05/11/21/1074051121.db2.gz OBNPNSHHCHAADB-GFCCVEGCSA-N 0 0 427.508 -0.757 20 0 IBADRN Cn1cnc2ccc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)nc21 ZINC001136317452 1074051160 /nfs/dbraw/zinc/05/11/60/1074051160.db2.gz OBNPNSHHCHAADB-LBPRGKRZSA-N 0 0 427.508 -0.757 20 0 IBADRN CC(=O)Nc1cccc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136317486 1074051185 /nfs/dbraw/zinc/05/11/85/1074051185.db2.gz PORUCCSDWBTUFC-KRWDZBQOSA-N 0 0 443.547 -0.151 20 0 IBADRN CC(=O)Nc1cccc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136317487 1074051035 /nfs/dbraw/zinc/05/10/35/1074051035.db2.gz PORUCCSDWBTUFC-QGZVFWFLSA-N 0 0 443.547 -0.151 20 0 IBADRN O=C(c1cc(=O)[nH]c2c1CCCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317522 1074051340 /nfs/dbraw/zinc/05/13/40/1074051340.db2.gz QPWCFGPJDQGOFT-CYBMUJFWSA-N 0 0 443.547 -0.059 20 0 IBADRN O=C(c1cc(=O)[nH]c2c1CCCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317523 1074051482 /nfs/dbraw/zinc/05/14/82/1074051482.db2.gz QPWCFGPJDQGOFT-ZDUSSCGKSA-N 0 0 443.547 -0.059 20 0 IBADRN CCO[C@@H]1COCC[C@H]1CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317565 1074051501 /nfs/dbraw/zinc/05/15/01/1074051501.db2.gz SCSVGCNKHNHOON-ARFHVFGLSA-N 0 0 438.568 -0.521 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317566 1074051463 /nfs/dbraw/zinc/05/14/63/1074051463.db2.gz SCSVGCNKHNHOON-BZUAXINKSA-N 0 0 438.568 -0.521 20 0 IBADRN CCO[C@@H]1COCC[C@H]1CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317567 1074051377 /nfs/dbraw/zinc/05/13/77/1074051377.db2.gz SCSVGCNKHNHOON-HRCADAONSA-N 0 0 438.568 -0.521 20 0 IBADRN CCO[C@@H]1COCC[C@@H]1CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317568 1074051401 /nfs/dbraw/zinc/05/14/01/1074051401.db2.gz SCSVGCNKHNHOON-OWCLPIDISA-N 0 0 438.568 -0.521 20 0 IBADRN O=C(C[C@@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317569 1074051353 /nfs/dbraw/zinc/05/13/53/1074051353.db2.gz SEHHDRHBROWTKI-CABCVRRESA-N 0 0 444.531 -0.122 20 0 IBADRN O=C(C[C@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317570 1074051491 /nfs/dbraw/zinc/05/14/91/1074051491.db2.gz SEHHDRHBROWTKI-GJZGRUSLSA-N 0 0 444.531 -0.122 20 0 IBADRN O=C(C[C@@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317571 1074051365 /nfs/dbraw/zinc/05/13/65/1074051365.db2.gz SEHHDRHBROWTKI-HUUCEWRRSA-N 0 0 444.531 -0.122 20 0 IBADRN O=C(C[C@H]1COc2ccccc2O1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317572 1074051413 /nfs/dbraw/zinc/05/14/13/1074051413.db2.gz SEHHDRHBROWTKI-LSDHHAIUSA-N 0 0 444.531 -0.122 20 0 IBADRN Cc1cc(C)n(CCCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001136317576 1074051472 /nfs/dbraw/zinc/05/14/72/1074051472.db2.gz SJSJBWJIBMGICH-INIZCTEOSA-N 0 0 432.568 -0.059 20 0 IBADRN Cc1cc(C)n(CCCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001136317577 1074051446 /nfs/dbraw/zinc/05/14/46/1074051446.db2.gz SJSJBWJIBMGICH-MRXNPFEDSA-N 0 0 432.568 -0.059 20 0 IBADRN CNC(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001136317613 1074051537 /nfs/dbraw/zinc/05/15/37/1074051537.db2.gz UJVDFFJGEDGQRF-HNNXBMFYSA-N 0 0 444.535 -0.287 20 0 IBADRN CNC(=O)Nc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001136317614 1074051453 /nfs/dbraw/zinc/05/14/53/1074051453.db2.gz UJVDFFJGEDGQRF-OAHLLOKOSA-N 0 0 444.535 -0.287 20 0 IBADRN CC1(C)[C@@]2(C)CC[C@]1(C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)OC2=O ZINC001136317622 1074051521 /nfs/dbraw/zinc/05/15/21/1074051521.db2.gz UUEJNZWWNQMTIC-DOPJRALCSA-N 0 0 448.563 -0.231 20 0 IBADRN CC1(C)[C@@]2(C)CC[C@]1(C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)OC2=O ZINC001136317623 1074051422 /nfs/dbraw/zinc/05/14/22/1074051422.db2.gz UUEJNZWWNQMTIC-JEBQAFNWSA-N 0 0 448.563 -0.231 20 0 IBADRN CNC(=O)Nc1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136317652 1074051388 /nfs/dbraw/zinc/05/13/88/1074051388.db2.gz VKOPRSZFYYUDSM-HNNXBMFYSA-N 0 0 444.535 -0.287 20 0 IBADRN CNC(=O)Nc1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136317653 1074051529 /nfs/dbraw/zinc/05/15/29/1074051529.db2.gz VKOPRSZFYYUDSM-OAHLLOKOSA-N 0 0 444.535 -0.287 20 0 IBADRN COC(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001136317677 1074051434 /nfs/dbraw/zinc/05/14/34/1074051434.db2.gz WKBZONIABYCRJC-AWEZNQCLSA-N 0 0 445.519 -0.928 20 0 IBADRN COC(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001136317678 1074051512 /nfs/dbraw/zinc/05/15/12/1074051512.db2.gz WKBZONIABYCRJC-CQSZACIVSA-N 0 0 445.519 -0.928 20 0 IBADRN O=C(c1ccc(OC(F)F)nc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136317705 1074051804 /nfs/dbraw/zinc/05/18/04/1074051804.db2.gz XHMKSVFYOVSBLO-GFCCVEGCSA-N 0 0 439.462 -0.042 20 0 IBADRN O=C(c1ccc(OC(F)F)nc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136317706 1074051742 /nfs/dbraw/zinc/05/17/42/1074051742.db2.gz XHMKSVFYOVSBLO-LBPRGKRZSA-N 0 0 439.462 -0.042 20 0 IBADRN COC(=O)c1coc(/C=C/C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136317767 1074051813 /nfs/dbraw/zinc/05/18/13/1074051813.db2.gz ZSYPNVCVKUOBAX-FAAWYNLUSA-N 0 0 446.503 -0.260 20 0 IBADRN COC(=O)c1coc(/C=C\C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136317768 1074051841 /nfs/dbraw/zinc/05/18/41/1074051841.db2.gz ZSYPNVCVKUOBAX-GWQWAINWSA-N 0 0 446.503 -0.260 20 0 IBADRN COC(=O)c1coc(/C=C\C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136317769 1074051892 /nfs/dbraw/zinc/05/18/92/1074051892.db2.gz ZSYPNVCVKUOBAX-MPNWYJEXSA-N 0 0 446.503 -0.260 20 0 IBADRN COC(=O)c1coc(/C=C/C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136317770 1074051883 /nfs/dbraw/zinc/05/18/83/1074051883.db2.gz ZSYPNVCVKUOBAX-XYBNCVKDSA-N 0 0 446.503 -0.260 20 0 IBADRN COCC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001136325576 1074051796 /nfs/dbraw/zinc/05/17/96/1074051796.db2.gz AYUOAFAIKPKFPM-AWEZNQCLSA-N 0 0 440.497 -0.264 20 0 IBADRN COCC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001136325577 1074051734 /nfs/dbraw/zinc/05/17/34/1074051734.db2.gz AYUOAFAIKPKFPM-CQSZACIVSA-N 0 0 440.497 -0.264 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2c[nH]nc2I)CC1 ZINC001136325691 1074051712 /nfs/dbraw/zinc/05/17/12/1074051712.db2.gz FQQNZUAVIYOVLX-UHFFFAOYSA-N 0 0 445.217 -0.552 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cc(=O)[nH]cc2Br)CC1 ZINC001136325780 1074051831 /nfs/dbraw/zinc/05/18/31/1074051831.db2.gz ISJYBMXCGWOUTA-UHFFFAOYSA-N 0 0 425.239 -0.017 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H](C[C@@H]2COCCO2)C(F)(F)F)CC1 ZINC001136325986 1074051864 /nfs/dbraw/zinc/05/18/64/1074051864.db2.gz PGGQXXLZSQSJGU-CHWSQXEVSA-N 0 0 435.399 -0.210 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H](C[C@@H]2COCCO2)C(F)(F)F)CC1 ZINC001136325987 1074051750 /nfs/dbraw/zinc/05/17/50/1074051750.db2.gz PGGQXXLZSQSJGU-OLZOCXBDSA-N 0 0 435.399 -0.210 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H](C[C@H]2COCCO2)C(F)(F)F)CC1 ZINC001136325988 1074051850 /nfs/dbraw/zinc/05/18/50/1074051850.db2.gz PGGQXXLZSQSJGU-QWHCGFSZSA-N 0 0 435.399 -0.210 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H](C[C@H]2COCCO2)C(F)(F)F)CC1 ZINC001136325989 1074051771 /nfs/dbraw/zinc/05/17/71/1074051771.db2.gz PGGQXXLZSQSJGU-STQMWFEESA-N 0 0 435.399 -0.210 20 0 IBADRN Cn1nc(C(=O)OC(C)(C)C)cc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001136325992 1074051725 /nfs/dbraw/zinc/05/17/25/1074051725.db2.gz PLONVCJHECCRLR-UHFFFAOYSA-N 0 0 433.465 -0.191 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cccc(C(=O)N3CCCC3)n2)CC1 ZINC001136325995 1074051858 /nfs/dbraw/zinc/05/18/58/1074051858.db2.gz POKRGSVRHTVUCV-UHFFFAOYSA-N 0 0 427.461 -0.249 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C2(n3cc(Br)cn3)CC2)CC1 ZINC001136326016 1074051822 /nfs/dbraw/zinc/05/18/22/1074051822.db2.gz QFANCTYVCLSKRN-UHFFFAOYSA-N 0 0 438.282 -0.046 20 0 IBADRN CCOC(Cn1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cn1)OCC ZINC001136326179 1074052237 /nfs/dbraw/zinc/05/22/37/1074052237.db2.gz VMXQUCKYRLXXJZ-UHFFFAOYSA-N 0 0 435.481 -0.284 20 0 IBADRN CC(C)(C)OC(=O)NC1(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)COC1 ZINC001136326242 1074052255 /nfs/dbraw/zinc/05/22/55/1074052255.db2.gz XTOQKSXOCNZYMH-UHFFFAOYSA-N 0 0 438.481 -0.510 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)[C@@H]3CCS(=O)(=O)N3)C2)CC1 ZINC001136343516 1074052246 /nfs/dbraw/zinc/05/22/46/1074052246.db2.gz AKRWYELYIHIXKK-CABCVRRESA-N 0 0 444.554 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)[C@@H]3CCS(=O)(=O)N3)C2)CC1 ZINC001136343517 1074052263 /nfs/dbraw/zinc/05/22/63/1074052263.db2.gz AKRWYELYIHIXKK-GJZGRUSLSA-N 0 0 444.554 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)[C@H]3CCS(=O)(=O)N3)C2)CC1 ZINC001136343518 1074052269 /nfs/dbraw/zinc/05/22/69/1074052269.db2.gz AKRWYELYIHIXKK-HUUCEWRRSA-N 0 0 444.554 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)[C@H]3CCS(=O)(=O)N3)C2)CC1 ZINC001136343519 1074052194 /nfs/dbraw/zinc/05/21/94/1074052194.db2.gz AKRWYELYIHIXKK-LSDHHAIUSA-N 0 0 444.554 -0.004 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC2)c(OC)c1 ZINC001136369513 1074052567 /nfs/dbraw/zinc/05/25/67/1074052567.db2.gz OIARVNHQGMNBJM-UHFFFAOYSA-N 0 0 428.511 -0.274 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001136381467 1074053059 /nfs/dbraw/zinc/05/30/59/1074053059.db2.gz DCHOWVUDVXVGEK-UXLLHSPISA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001136381468 1074052967 /nfs/dbraw/zinc/05/29/67/1074052967.db2.gz DCHOWVUDVXVGEK-YQQAZPJKSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001136381469 1074053067 /nfs/dbraw/zinc/05/30/67/1074053067.db2.gz DCHOWVUDVXVGEK-ZMSDIMECSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001136381470 1074052860 /nfs/dbraw/zinc/05/28/60/1074052860.db2.gz DCHOWVUDVXVGEK-ZOBUZTSGSA-N 0 0 427.498 -0.130 20 0 IBADRN NS(=O)(=O)c1nc(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)n2ccccc12 ZINC001136393138 1074053113 /nfs/dbraw/zinc/05/31/13/1074053113.db2.gz FPJNFWUHZXHVBS-KRWDZBQOSA-N 0 0 425.536 -0.081 20 0 IBADRN NS(=O)(=O)c1nc(C(=O)NC[C@]2(N3CCOCC3)CCSC2)n2ccccc12 ZINC001136393139 1074053023 /nfs/dbraw/zinc/05/30/23/1074053023.db2.gz FPJNFWUHZXHVBS-QGZVFWFLSA-N 0 0 425.536 -0.081 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cc(Cl)sc2S(N)(=O)=O)CC1 ZINC001136406892 1074053548 /nfs/dbraw/zinc/05/35/48/1074053548.db2.gz GGWIMXPPBXVIDN-UHFFFAOYSA-N 0 0 430.961 -0.644 20 0 IBADRN C[C@@H](C(=O)NCCN1CCN(S(C)(=O)=O)CC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001136406939 1074053415 /nfs/dbraw/zinc/05/34/15/1074053415.db2.gz HPRVSLSGGAUING-CABCVRRESA-N 0 0 436.575 -0.456 20 0 IBADRN C[C@H](C(=O)NCCN1CCN(S(C)(=O)=O)CC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001136406940 1074053384 /nfs/dbraw/zinc/05/33/84/1074053384.db2.gz HPRVSLSGGAUING-GJZGRUSLSA-N 0 0 436.575 -0.456 20 0 IBADRN C[C@@H](C(=O)NCCN1CCN(S(C)(=O)=O)CC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001136406941 1074053330 /nfs/dbraw/zinc/05/33/30/1074053330.db2.gz HPRVSLSGGAUING-HUUCEWRRSA-N 0 0 436.575 -0.456 20 0 IBADRN C[C@H](C(=O)NCCN1CCN(S(C)(=O)=O)CC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001136406942 1074053514 /nfs/dbraw/zinc/05/35/14/1074053514.db2.gz HPRVSLSGGAUING-LSDHHAIUSA-N 0 0 436.575 -0.456 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2n[nH]cc2[C@H]1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001136407245 1074053523 /nfs/dbraw/zinc/05/35/23/1074053523.db2.gz ROWWBXRTVRNPKG-HNNXBMFYSA-N 0 0 442.542 -0.105 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2n[nH]cc2[C@@H]1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001136407246 1074053468 /nfs/dbraw/zinc/05/34/68/1074053468.db2.gz ROWWBXRTVRNPKG-OAHLLOKOSA-N 0 0 442.542 -0.105 20 0 IBADRN COc1ccc(Cn2nnc(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c2C)cc1 ZINC001136407399 1074053480 /nfs/dbraw/zinc/05/34/80/1074053480.db2.gz YAZYORXLTBYZBP-UHFFFAOYSA-N 0 0 436.538 -0.050 20 0 IBADRN CC(C)(C)OC(=O)Cn1cccc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1=O ZINC001136407441 1074053590 /nfs/dbraw/zinc/05/35/90/1074053590.db2.gz ZSLDHSLRJVETAQ-UHFFFAOYSA-N 0 0 442.538 -0.503 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)Nc1ccc(C(=O)N2CCN(C)CC2)cc1 ZINC001136407726 1074053918 /nfs/dbraw/zinc/05/39/18/1074053918.db2.gz NNUBBINHOYVQKQ-UHFFFAOYSA-N 0 0 433.490 -0.119 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)Cn1nc(Br)c(Br)n1 ZINC001136420063 1074053991 /nfs/dbraw/zinc/05/39/91/1074053991.db2.gz AIXQFZSJUAOECT-UHFFFAOYSA-N 0 0 427.053 -0.320 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(CCCOCC(F)(F)F)CC1 ZINC001136426201 1074053828 /nfs/dbraw/zinc/05/38/28/1074053828.db2.gz OPBZSIRWRZQYOZ-UHFFFAOYSA-N 0 0 440.444 -0.032 20 0 IBADRN COCCCNC(=O)CN(C)C(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001136426870 1074053963 /nfs/dbraw/zinc/05/39/63/1074053963.db2.gz RIAMABYMPHRJTQ-AUUYWEPGSA-N 0 0 447.554 -0.121 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)[C@H]1c1nccn1C ZINC001136440725 1074054403 /nfs/dbraw/zinc/05/44/03/1074054403.db2.gz AINPDGBSCUYAHN-HOTGVXAUSA-N 0 0 427.527 -0.409 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NCC1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001136457161 1074054998 /nfs/dbraw/zinc/05/49/98/1074054998.db2.gz ATXOBKRNTSYLPP-CQSZACIVSA-N 0 0 435.510 -0.605 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)C2CN(S(C)(=O)=O)C2)C1 ZINC001136460940 1074054950 /nfs/dbraw/zinc/05/49/50/1074054950.db2.gz SGAJGCKTAWGCRU-CYBMUJFWSA-N 0 0 432.543 -0.243 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)C2CN(S(C)(=O)=O)C2)C1 ZINC001136460941 1074054901 /nfs/dbraw/zinc/05/49/01/1074054901.db2.gz SGAJGCKTAWGCRU-ZDUSSCGKSA-N 0 0 432.543 -0.243 20 0 IBADRN NC(=O)c1cn(CCC(=O)NC[C@@H](c2cccc(F)c2)N2CCOCC2)c(=O)[nH]c1=O ZINC001136472477 1074055529 /nfs/dbraw/zinc/05/55/29/1074055529.db2.gz DOVKNWZDPWXHCN-INIZCTEOSA-N 0 0 433.440 -0.233 20 0 IBADRN NC(=O)c1cn(CCC(=O)NC[C@H](c2cccc(F)c2)N2CCOCC2)c(=O)[nH]c1=O ZINC001136472478 1074055367 /nfs/dbraw/zinc/05/53/67/1074055367.db2.gz DOVKNWZDPWXHCN-MRXNPFEDSA-N 0 0 433.440 -0.233 20 0 IBADRN COc1cc2ncc(C(=O)NCCS(=O)(=O)N3CCOCC3)c(O)c2c(OC)c1 ZINC001136476749 1074054783 /nfs/dbraw/zinc/05/47/83/1074054783.db2.gz ICAUAKZOTZFYAQ-UHFFFAOYSA-N 0 0 425.463 -0.063 20 0 IBADRN O=C(C1CS(=O)(=O)C1)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001136476891 1074054732 /nfs/dbraw/zinc/05/47/32/1074054732.db2.gz MYHOYARKHHGDOO-UHFFFAOYSA-N 0 0 430.504 -0.275 20 0 IBADRN NS(=O)(=O)C1(C(=O)N2CCCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1 ZINC001136477174 1074054839 /nfs/dbraw/zinc/05/48/39/1074054839.db2.gz ZPRBGFAMAYGKGT-UHFFFAOYSA-N 0 0 445.519 -0.498 20 0 IBADRN CC(C)CC(=O)N[C@@H](CC(N)=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC001136477926 1074055303 /nfs/dbraw/zinc/05/53/03/1074055303.db2.gz ALZDRHPGMQMTAU-INIZCTEOSA-N 0 0 432.525 -0.357 20 0 IBADRN CC(C)CC(=O)N[C@H](CC(N)=O)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC001136477927 1074055566 /nfs/dbraw/zinc/05/55/66/1074055566.db2.gz ALZDRHPGMQMTAU-MRXNPFEDSA-N 0 0 432.525 -0.357 20 0 IBADRN CN1CCn2ncc(C(=O)NCC(=O)N3CCN(c4ccccc4)CC3)c2S1(=O)=O ZINC001136487346 1074055341 /nfs/dbraw/zinc/05/53/41/1074055341.db2.gz IQZRIQBLSCQMMS-UHFFFAOYSA-N 0 0 432.506 -0.404 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001136504176 1074055814 /nfs/dbraw/zinc/05/58/14/1074055814.db2.gz QHDCEJULFNAZRH-CYBMUJFWSA-N 0 0 435.549 -0.642 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001136504177 1074055860 /nfs/dbraw/zinc/05/58/60/1074055860.db2.gz QHDCEJULFNAZRH-ZDUSSCGKSA-N 0 0 435.549 -0.642 20 0 IBADRN CN1CCn2ncc(C(=O)NCCNS(=O)(=O)c3cccc(Cl)c3)c2S1(=O)=O ZINC001136508578 1074055793 /nfs/dbraw/zinc/05/57/93/1074055793.db2.gz GSZDYQUXFPIHQI-UHFFFAOYSA-N 0 0 447.926 -0.121 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)CCNC(=O)CO)CC2)cc1OCC ZINC001136508789 1074055834 /nfs/dbraw/zinc/05/58/34/1074055834.db2.gz OMGCPRJVNJVEBD-UHFFFAOYSA-N 0 0 443.522 -0.185 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)C1 ZINC001136516616 1074055882 /nfs/dbraw/zinc/05/58/82/1074055882.db2.gz DIHAIVSLFIOKTR-CYBMUJFWSA-N 0 0 441.510 -0.609 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)C1 ZINC001136516617 1074056143 /nfs/dbraw/zinc/05/61/43/1074056143.db2.gz DIHAIVSLFIOKTR-ZDUSSCGKSA-N 0 0 441.510 -0.609 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@H](CC(N)=O)NC(=O)CC(C)C)C1 ZINC001136516820 1074056132 /nfs/dbraw/zinc/05/61/32/1074056132.db2.gz JMRRZKOUASTTTD-CABCVRRESA-N 0 0 426.514 -0.347 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@H](CC(N)=O)NC(=O)CC(C)C)C1 ZINC001136516821 1074056252 /nfs/dbraw/zinc/05/62/52/1074056252.db2.gz JMRRZKOUASTTTD-GJZGRUSLSA-N 0 0 426.514 -0.347 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@@H](CC(N)=O)NC(=O)CC(C)C)C1 ZINC001136516822 1074056181 /nfs/dbraw/zinc/05/61/81/1074056181.db2.gz JMRRZKOUASTTTD-HUUCEWRRSA-N 0 0 426.514 -0.347 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@@H](CC(N)=O)NC(=O)CC(C)C)C1 ZINC001136516823 1074056155 /nfs/dbraw/zinc/05/61/55/1074056155.db2.gz JMRRZKOUASTTTD-LSDHHAIUSA-N 0 0 426.514 -0.347 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCN(C(=O)c4ccc(=O)[nH]c4)CC3)CC2=O)cn1 ZINC001136518955 1074055800 /nfs/dbraw/zinc/05/58/00/1074055800.db2.gz YXEWKUTVMOHGLE-INIZCTEOSA-N 0 0 442.476 -0.032 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCN(C(=O)c4ccc(=O)[nH]c4)CC3)CC2=O)cn1 ZINC001136518956 1074055879 /nfs/dbraw/zinc/05/58/79/1074055879.db2.gz YXEWKUTVMOHGLE-MRXNPFEDSA-N 0 0 442.476 -0.032 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)c1 ZINC001136522911 1074056375 /nfs/dbraw/zinc/05/63/75/1074056375.db2.gz DEFSNDYATCYWLZ-CVEARBPZSA-N 0 0 444.941 -0.225 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)c1 ZINC001136522912 1074056291 /nfs/dbraw/zinc/05/62/91/1074056291.db2.gz DEFSNDYATCYWLZ-HOTGVXAUSA-N 0 0 444.941 -0.225 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)c1 ZINC001136522913 1074056227 /nfs/dbraw/zinc/05/62/27/1074056227.db2.gz DEFSNDYATCYWLZ-HZPDHXFCSA-N 0 0 444.941 -0.225 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)c1 ZINC001136522914 1074056351 /nfs/dbraw/zinc/05/63/51/1074056351.db2.gz DEFSNDYATCYWLZ-JKSUJKDBSA-N 0 0 444.941 -0.225 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(c3cccnn3)CC2)c1 ZINC001136527994 1074056304 /nfs/dbraw/zinc/05/63/04/1074056304.db2.gz REDFMOXWSAVPBZ-UHFFFAOYSA-N 0 0 438.897 -0.144 20 0 IBADRN O=C(CNC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1)N1CCN(c2cccc(Cl)c2)CC1 ZINC001136528918 1074056362 /nfs/dbraw/zinc/05/63/62/1074056362.db2.gz DGPNBSPZCXWEPD-AWEZNQCLSA-N 0 0 428.898 -0.982 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001136561429 1074056599 /nfs/dbraw/zinc/05/65/99/1074056599.db2.gz OBNSLKMBTFRCHA-MSOLQXFVSA-N 0 0 437.518 -0.613 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001136561430 1074056708 /nfs/dbraw/zinc/05/67/08/1074056708.db2.gz OBNSLKMBTFRCHA-QZTJIDSGSA-N 0 0 437.518 -0.613 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001136561431 1074056680 /nfs/dbraw/zinc/05/66/80/1074056680.db2.gz OBNSLKMBTFRCHA-ROUUACIJSA-N 0 0 437.518 -0.613 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001136561432 1074056701 /nfs/dbraw/zinc/05/67/01/1074056701.db2.gz OBNSLKMBTFRCHA-ZWKOTPCHSA-N 0 0 437.518 -0.613 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC001136561588 1074056608 /nfs/dbraw/zinc/05/66/08/1074056608.db2.gz RTVXSPKWFJFEJJ-CLCXKQKWSA-N 0 0 447.554 -0.638 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC001136561589 1074056651 /nfs/dbraw/zinc/05/66/51/1074056651.db2.gz RTVXSPKWFJFEJJ-DOXZYTNZSA-N 0 0 447.554 -0.638 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)C1 ZINC001136561590 1074056584 /nfs/dbraw/zinc/05/65/84/1074056584.db2.gz RTVXSPKWFJFEJJ-KHYOSLBOSA-N 0 0 447.554 -0.638 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)C1 ZINC001136561591 1074056659 /nfs/dbraw/zinc/05/66/59/1074056659.db2.gz RTVXSPKWFJFEJJ-VCBZYWHSSA-N 0 0 447.554 -0.638 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CSC(c2ccccc2O)=N1 ZINC001136561664 1074056666 /nfs/dbraw/zinc/05/66/66/1074056666.db2.gz UNNOMRBWLVOFAI-KFWWJZLASA-N 0 0 425.532 -0.132 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CSC(c2ccccc2O)=N1 ZINC001136561665 1074056727 /nfs/dbraw/zinc/05/67/27/1074056727.db2.gz UNNOMRBWLVOFAI-RBSFLKMASA-N 0 0 425.532 -0.132 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CSC(c2ccccc2O)=N1 ZINC001136561666 1074056693 /nfs/dbraw/zinc/05/66/93/1074056693.db2.gz UNNOMRBWLVOFAI-RRFJBIMHSA-N 0 0 425.532 -0.132 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CSC(c2ccccc2O)=N1 ZINC001136561667 1074056643 /nfs/dbraw/zinc/05/66/43/1074056643.db2.gz UNNOMRBWLVOFAI-ZNMIVQPWSA-N 0 0 425.532 -0.132 20 0 IBADRN O=C(CCNC(=O)c1cc2ccccc2[nH]1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001136561682 1074056686 /nfs/dbraw/zinc/05/66/86/1074056686.db2.gz UZTRUHHTFUJXDW-MSOLQXFVSA-N 0 0 434.518 -0.098 20 0 IBADRN O=C(CCNC(=O)c1cc2ccccc2[nH]1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001136561683 1074056721 /nfs/dbraw/zinc/05/67/21/1074056721.db2.gz UZTRUHHTFUJXDW-QZTJIDSGSA-N 0 0 434.518 -0.098 20 0 IBADRN O=C(CCNC(=O)c1cc2ccccc2[nH]1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001136561684 1074056733 /nfs/dbraw/zinc/05/67/33/1074056733.db2.gz UZTRUHHTFUJXDW-ROUUACIJSA-N 0 0 434.518 -0.098 20 0 IBADRN O=C(CCNC(=O)c1cc2ccccc2[nH]1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001136561685 1074056633 /nfs/dbraw/zinc/05/66/33/1074056633.db2.gz UZTRUHHTFUJXDW-ZWKOTPCHSA-N 0 0 434.518 -0.098 20 0 IBADRN Cn1cc(Br)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1=O ZINC001136561711 1074056714 /nfs/dbraw/zinc/05/67/14/1074056714.db2.gz VQGVPCRLBCFJMK-CHWSQXEVSA-N 0 0 434.312 -0.625 20 0 IBADRN Cn1cc(Br)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1=O ZINC001136561712 1074056964 /nfs/dbraw/zinc/05/69/64/1074056964.db2.gz VQGVPCRLBCFJMK-OLZOCXBDSA-N 0 0 434.312 -0.625 20 0 IBADRN Cn1cc(Br)c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1=O ZINC001136561713 1074056987 /nfs/dbraw/zinc/05/69/87/1074056987.db2.gz VQGVPCRLBCFJMK-QWHCGFSZSA-N 0 0 434.312 -0.625 20 0 IBADRN Cn1cc(Br)c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1=O ZINC001136561714 1074056999 /nfs/dbraw/zinc/05/69/99/1074056999.db2.gz VQGVPCRLBCFJMK-STQMWFEESA-N 0 0 434.312 -0.625 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC001136561721 1074056944 /nfs/dbraw/zinc/05/69/44/1074056944.db2.gz VSJXYKXUHWVIMH-CSMYWGQOSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC001136561722 1074057097 /nfs/dbraw/zinc/05/70/97/1074057097.db2.gz VSJXYKXUHWVIMH-JCURWCKSSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC001136561723 1074057021 /nfs/dbraw/zinc/05/70/21/1074057021.db2.gz VSJXYKXUHWVIMH-JLZZUVOBSA-N 0 0 443.472 -0.068 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC001136561724 1074057036 /nfs/dbraw/zinc/05/70/36/1074057036.db2.gz VSJXYKXUHWVIMH-VUCTXSBTSA-N 0 0 443.472 -0.068 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C2=CN(C)S(=O)(=O)N=C2C)CC1 ZINC001136566932 1074057050 /nfs/dbraw/zinc/05/70/50/1074057050.db2.gz HCGSYCQIODHSOM-UHFFFAOYSA-N 0 0 441.535 -0.222 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)CN2CCCCS2(=O)=O)CC1 ZINC001136567079 1074056956 /nfs/dbraw/zinc/05/69/56/1074056956.db2.gz MVHFFHXRFNEIIG-UHFFFAOYSA-N 0 0 430.552 -0.331 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC001136567180 1074056931 /nfs/dbraw/zinc/05/69/31/1074056931.db2.gz QZHYGHVTSYVFIZ-HNNXBMFYSA-N 0 0 444.579 -0.085 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CNC(=O)C[C@H]1C(F)(F)F)N1CCN(c2ncccn2)CC1 ZINC001136573095 1074056977 /nfs/dbraw/zinc/05/69/77/1074056977.db2.gz AUACJTHZYMCYFZ-CHWSQXEVSA-N 0 0 428.415 -0.054 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CCN(c2ncccn2)CC1 ZINC001136573096 1074057009 /nfs/dbraw/zinc/05/70/09/1074057009.db2.gz AUACJTHZYMCYFZ-OLZOCXBDSA-N 0 0 428.415 -0.054 20 0 IBADRN O=C(CCNC(=O)[C@H]1CNC(=O)C[C@H]1C(F)(F)F)N1CCN(c2ncccn2)CC1 ZINC001136573097 1074056893 /nfs/dbraw/zinc/05/68/93/1074056893.db2.gz AUACJTHZYMCYFZ-QWHCGFSZSA-N 0 0 428.415 -0.054 20 0 IBADRN O=C(CCNC(=O)[C@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CCN(c2ncccn2)CC1 ZINC001136573098 1074057030 /nfs/dbraw/zinc/05/70/30/1074057030.db2.gz AUACJTHZYMCYFZ-STQMWFEESA-N 0 0 428.415 -0.054 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CCCC1)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001136573120 1074056920 /nfs/dbraw/zinc/05/69/20/1074056920.db2.gz BPDVPRQBFBQVAK-CRAIPNDOSA-N 0 0 432.525 -0.313 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CCCC1)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001136573121 1074056882 /nfs/dbraw/zinc/05/68/82/1074056882.db2.gz BPDVPRQBFBQVAK-MAUKXSAKSA-N 0 0 432.525 -0.313 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CCCC1)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001136573122 1074057044 /nfs/dbraw/zinc/05/70/44/1074057044.db2.gz BPDVPRQBFBQVAK-QAPCUYQASA-N 0 0 432.525 -0.313 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CCCC1)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001136573123 1074056869 /nfs/dbraw/zinc/05/68/69/1074056869.db2.gz BPDVPRQBFBQVAK-YJBOKZPZSA-N 0 0 432.525 -0.313 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001136573229 1074056909 /nfs/dbraw/zinc/05/69/09/1074056909.db2.gz GKOGYVWFOYLRKB-UHFFFAOYSA-N 0 0 447.540 -0.559 20 0 IBADRN CCOC(=O)[C@H]1CCCN(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001136573484 1074057063 /nfs/dbraw/zinc/05/70/63/1074057063.db2.gz PCEYJLNFEKYXKH-KRWDZBQOSA-N 0 0 432.525 -0.093 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001136573485 1074057423 /nfs/dbraw/zinc/05/74/23/1074057423.db2.gz PCEYJLNFEKYXKH-QGZVFWFLSA-N 0 0 432.525 -0.093 20 0 IBADRN CC(C)(C)C(=O)N1CCO[C@H](C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001136573565 1074057361 /nfs/dbraw/zinc/05/73/61/1074057361.db2.gz RXIKNPPRMNJWRC-INIZCTEOSA-N 0 0 432.525 -0.095 20 0 IBADRN CC(C)(C)C(=O)N1CCO[C@@H](C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001136573566 1074057355 /nfs/dbraw/zinc/05/73/55/1074057355.db2.gz RXIKNPPRMNJWRC-MRXNPFEDSA-N 0 0 432.525 -0.095 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)cc2)CC1 ZINC001136575809 1074057311 /nfs/dbraw/zinc/05/73/11/1074057311.db2.gz LDHRXWYZULJTHV-YPAWHYETSA-N 0 0 447.532 -0.699 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC001136584451 1074057494 /nfs/dbraw/zinc/05/74/94/1074057494.db2.gz GRSYJVIYIBFQOA-INIZCTEOSA-N 0 0 439.538 -0.397 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)C1 ZINC001136584452 1074057503 /nfs/dbraw/zinc/05/75/03/1074057503.db2.gz GRSYJVIYIBFQOA-MRXNPFEDSA-N 0 0 439.538 -0.397 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001136591717 1074057449 /nfs/dbraw/zinc/05/74/49/1074057449.db2.gz AHTOHISIGBRYLV-UHFFFAOYSA-N 0 0 437.268 -0.098 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@@H]1CCCN(CCNC(=O)OC(C)(C)C)C1=O ZINC001136592410 1074057396 /nfs/dbraw/zinc/05/73/96/1074057396.db2.gz YRNSSLJJUPALLN-CYBMUJFWSA-N 0 0 429.470 -0.076 20 0 IBADRN COC(=O)CN(CC(=O)OC)C(=O)[C@H]1CCCN(CCNC(=O)OC(C)(C)C)C1=O ZINC001136592411 1074057405 /nfs/dbraw/zinc/05/74/05/1074057405.db2.gz YRNSSLJJUPALLN-ZDUSSCGKSA-N 0 0 429.470 -0.076 20 0 IBADRN C[C@@H](C(=O)NCCN1CCS(=O)(=O)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001136609915 1074057369 /nfs/dbraw/zinc/05/73/69/1074057369.db2.gz GDVKMVNWSRREMT-AWEZNQCLSA-N 0 0 432.543 -0.699 20 0 IBADRN C[C@H](C(=O)NCCN1CCS(=O)(=O)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001136609916 1074057388 /nfs/dbraw/zinc/05/73/88/1074057388.db2.gz GDVKMVNWSRREMT-CQSZACIVSA-N 0 0 432.543 -0.699 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001136610312 1074057513 /nfs/dbraw/zinc/05/75/13/1074057513.db2.gz XAIZLDMJDMGSGI-UHFFFAOYSA-N 0 0 445.563 -0.708 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C)C(=O)N1CCS(=O)(=O)CC1 ZINC001136613450 1074057475 /nfs/dbraw/zinc/05/74/75/1074057475.db2.gz UHNAOGOATWBPKA-KBPBESRZSA-N 0 0 432.543 -0.701 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C)C(=O)N1CCS(=O)(=O)CC1 ZINC001136613451 1074057326 /nfs/dbraw/zinc/05/73/26/1074057326.db2.gz UHNAOGOATWBPKA-KGLIPLIRSA-N 0 0 432.543 -0.701 20 0 IBADRN C[C@H](NC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C)C(=O)N1CCS(=O)(=O)CC1 ZINC001136613452 1074057378 /nfs/dbraw/zinc/05/73/78/1074057378.db2.gz UHNAOGOATWBPKA-UONOGXRCSA-N 0 0 432.543 -0.701 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C)C(=O)N1CCS(=O)(=O)CC1 ZINC001136613453 1074057348 /nfs/dbraw/zinc/05/73/48/1074057348.db2.gz UHNAOGOATWBPKA-ZIAGYGMSSA-N 0 0 432.543 -0.701 20 0 IBADRN C[C@@H](NC(=O)[C@]1(C)CN(C(=O)OC(C)(C)C)CCO1)C(=O)N1CCS(=O)(=O)CC1 ZINC001136613520 1074057336 /nfs/dbraw/zinc/05/73/36/1074057336.db2.gz WBOCNDHEYZCNJU-ACJLOTCBSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@@H](NC(=O)[C@@]1(C)CN(C(=O)OC(C)(C)C)CCO1)C(=O)N1CCS(=O)(=O)CC1 ZINC001136613521 1074057670 /nfs/dbraw/zinc/05/76/70/1074057670.db2.gz WBOCNDHEYZCNJU-FZKQIMNGSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@H](NC(=O)[C@@]1(C)CN(C(=O)OC(C)(C)C)CCO1)C(=O)N1CCS(=O)(=O)CC1 ZINC001136613522 1074057830 /nfs/dbraw/zinc/05/78/30/1074057830.db2.gz WBOCNDHEYZCNJU-SCLBCKFNSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@H](NC(=O)[C@]1(C)CN(C(=O)OC(C)(C)C)CCO1)C(=O)N1CCS(=O)(=O)CC1 ZINC001136613523 1074057767 /nfs/dbraw/zinc/05/77/67/1074057767.db2.gz WBOCNDHEYZCNJU-UGSOOPFHSA-N 0 0 433.527 -0.226 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1 ZINC001136617933 1074057754 /nfs/dbraw/zinc/05/77/54/1074057754.db2.gz QGZLBQORISSJJR-GAEVZRCVSA-N 0 0 435.506 -0.304 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1 ZINC001136617934 1074057810 /nfs/dbraw/zinc/05/78/10/1074057810.db2.gz QGZLBQORISSJJR-KSHAWTQOSA-N 0 0 435.506 -0.304 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(S(N)(=O)=O)CC1 ZINC001136620587 1074057708 /nfs/dbraw/zinc/05/77/08/1074057708.db2.gz TVRXNVCMYCKDNM-UHFFFAOYSA-N 0 0 431.536 -0.091 20 0 IBADRN CC1(C)COCCN1CCNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001136623975 1074057718 /nfs/dbraw/zinc/05/77/18/1074057718.db2.gz DKFIAWGOIXXDHJ-UHFFFAOYSA-N 0 0 433.552 -0.405 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)c2cnn(C[C@H](O)CO)c2)cc1 ZINC001136625613 1074057682 /nfs/dbraw/zinc/05/76/82/1074057682.db2.gz PXOFATJLVIDTKA-IBGZPJMESA-N 0 0 430.509 -0.301 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)c2cnn(C[C@@H](O)CO)c2)cc1 ZINC001136625614 1074057796 /nfs/dbraw/zinc/05/77/96/1074057796.db2.gz PXOFATJLVIDTKA-LJQANCHMSA-N 0 0 430.509 -0.301 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001136625627 1074057774 /nfs/dbraw/zinc/05/77/74/1074057774.db2.gz QJQOWQJAHWJOSV-UHFFFAOYSA-N 0 0 440.570 -0.427 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1coc(CS(C)(=O)=O)n1)C(=O)NCCN1CCOCC1 ZINC001136627019 1074057819 /nfs/dbraw/zinc/05/78/19/1074057819.db2.gz UVQGPADCIGUSET-BBRMVZONSA-N 0 0 430.527 -0.188 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1coc(CS(C)(=O)=O)n1)C(=O)NCCN1CCOCC1 ZINC001136627020 1074058176 /nfs/dbraw/zinc/05/81/76/1074058176.db2.gz UVQGPADCIGUSET-CJNGLKHVSA-N 0 0 430.527 -0.188 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1coc(CS(C)(=O)=O)n1)C(=O)NCCN1CCOCC1 ZINC001136627021 1074058362 /nfs/dbraw/zinc/05/83/62/1074058362.db2.gz UVQGPADCIGUSET-CZUORRHYSA-N 0 0 430.527 -0.188 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1coc(CS(C)(=O)=O)n1)C(=O)NCCN1CCOCC1 ZINC001136627022 1074058169 /nfs/dbraw/zinc/05/81/69/1074058169.db2.gz UVQGPADCIGUSET-XJKSGUPXSA-N 0 0 430.527 -0.188 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)c1cn(C)c2c(cnn2C)c1=O)C(=O)NCCN1CCOCC1 ZINC001136627036 1074058293 /nfs/dbraw/zinc/05/82/93/1074058293.db2.gz VLACFUSLSBIYRW-PBHICJAKSA-N 0 0 432.525 -0.135 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)c1cn(C)c2c(cnn2C)c1=O)C(=O)NCCN1CCOCC1 ZINC001136627037 1074058163 /nfs/dbraw/zinc/05/81/63/1074058163.db2.gz VLACFUSLSBIYRW-RHSMWYFYSA-N 0 0 432.525 -0.135 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)c1cn(C)c2c(cnn2C)c1=O)C(=O)NCCN1CCOCC1 ZINC001136627038 1074058133 /nfs/dbraw/zinc/05/81/33/1074058133.db2.gz VLACFUSLSBIYRW-WMLDXEAASA-N 0 0 432.525 -0.135 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)c1cn(C)c2c(cnn2C)c1=O)C(=O)NCCN1CCOCC1 ZINC001136627039 1074058189 /nfs/dbraw/zinc/05/81/89/1074058189.db2.gz VLACFUSLSBIYRW-YOEHRIQHSA-N 0 0 432.525 -0.135 20 0 IBADRN CO[C@@H]1C[C@@H](C(=O)NCC(=O)NCCN2CCOCC2)N(C(=O)OCc2ccccc2)C1 ZINC001136627475 1074058209 /nfs/dbraw/zinc/05/82/09/1074058209.db2.gz KXGGYUGKCBBFEQ-MOPGFXCFSA-N 0 0 448.520 -0.023 20 0 IBADRN COC[C@]1(C(=O)NCC(=O)NCCN2CCOCC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001136627566 1074058153 /nfs/dbraw/zinc/05/81/53/1074058153.db2.gz OQTWZNKDEAWCSI-FQEVSTJZSA-N 0 0 444.529 -0.797 20 0 IBADRN COC[C@@]1(C(=O)NCC(=O)NCCN2CCOCC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001136627567 1074058184 /nfs/dbraw/zinc/05/81/84/1074058184.db2.gz OQTWZNKDEAWCSI-HXUWFJFHSA-N 0 0 444.529 -0.797 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CCOC[C@]2(C(=O)NCC(=O)NCCN2CCOCC2)C1 ZINC001136627703 1074058107 /nfs/dbraw/zinc/05/81/07/1074058107.db2.gz WHXIOFKTJHWKRQ-HRAATJIYSA-N 0 0 440.541 -0.175 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CCC(=O)NCC(=O)NCCN1CCOCC1 ZINC001136627704 1074058218 /nfs/dbraw/zinc/05/82/18/1074058218.db2.gz WIHJJBOFUQSRSY-UHFFFAOYSA-N 0 0 428.493 -0.362 20 0 IBADRN O=C(CNC(=O)CNC(=O)c1cccc(Br)c1)NCCN1CCOCC1 ZINC001136627760 1074058259 /nfs/dbraw/zinc/05/82/59/1074058259.db2.gz YKRDGWZJQSJTRD-UHFFFAOYSA-N 0 0 427.299 -0.257 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CC2)no1 ZINC001136631087 1074057854 /nfs/dbraw/zinc/05/78/54/1074057854.db2.gz HRMPMHAAVUXGJM-INIZCTEOSA-N 0 0 432.456 -0.236 20 0 IBADRN Cc1nc(CN2CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CC2)no1 ZINC001136631088 1074057677 /nfs/dbraw/zinc/05/76/77/1074057677.db2.gz HRMPMHAAVUXGJM-MRXNPFEDSA-N 0 0 432.456 -0.236 20 0 IBADRN CN(C(=O)c1cn(C)c2c(cnn2C)c1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001136638448 1074058642 /nfs/dbraw/zinc/05/86/42/1074058642.db2.gz AKIIGFLZGRZJDQ-UHFFFAOYSA-N 0 0 443.552 -0.418 20 0 IBADRN CN(C(=O)[C@@H]1CNC(=O)C[C@@H]1C(F)(F)F)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001136638756 1074058778 /nfs/dbraw/zinc/05/87/78/1074058778.db2.gz LCKUSSGOESQSMX-CVEARBPZSA-N 0 0 447.502 -0.002 20 0 IBADRN CN(C(=O)[C@H]1CNC(=O)C[C@@H]1C(F)(F)F)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001136638757 1074058899 /nfs/dbraw/zinc/05/88/99/1074058899.db2.gz LCKUSSGOESQSMX-HOTGVXAUSA-N 0 0 447.502 -0.002 20 0 IBADRN CN(C(=O)[C@@H]1CNC(=O)C[C@H]1C(F)(F)F)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001136638758 1074058731 /nfs/dbraw/zinc/05/87/31/1074058731.db2.gz LCKUSSGOESQSMX-HZPDHXFCSA-N 0 0 447.502 -0.002 20 0 IBADRN CN(C(=O)[C@H]1CNC(=O)C[C@H]1C(F)(F)F)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001136638759 1074058866 /nfs/dbraw/zinc/05/88/66/1074058866.db2.gz LCKUSSGOESQSMX-JKSUJKDBSA-N 0 0 447.502 -0.002 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001136638826 1074058847 /nfs/dbraw/zinc/05/88/47/1074058847.db2.gz OFXBRYHDRGUTIM-HNNXBMFYSA-N 0 0 425.530 -0.267 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001136638827 1074058947 /nfs/dbraw/zinc/05/89/47/1074058947.db2.gz OFXBRYHDRGUTIM-OAHLLOKOSA-N 0 0 425.530 -0.267 20 0 IBADRN CN(C(=O)c1ccsc1S(N)(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001136638872 1074058666 /nfs/dbraw/zinc/05/86/66/1074058666.db2.gz PPNFUJWQZZBUCL-UHFFFAOYSA-N 0 0 443.595 -0.294 20 0 IBADRN CN(C(=O)[C@@H]1CC(c2cnn(C)c2)=NO1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001136638958 1074058958 /nfs/dbraw/zinc/05/89/58/1074058958.db2.gz UKTKRIOHTJZVKV-IBGZPJMESA-N 0 0 431.541 -0.390 20 0 IBADRN CN(C(=O)[C@H]1CC(c2cnn(C)c2)=NO1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001136638959 1074058815 /nfs/dbraw/zinc/05/88/15/1074058815.db2.gz UKTKRIOHTJZVKV-LJQANCHMSA-N 0 0 431.541 -0.390 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC001136639031 1074058619 /nfs/dbraw/zinc/05/86/19/1074058619.db2.gz XMPLJIAEIXRNHG-UHFFFAOYSA-N 0 0 428.511 -0.366 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCN(Cc3ccc(C(N)=O)cc3)CC1)CS(=O)(=O)CC2 ZINC001136646326 1074059436 /nfs/dbraw/zinc/05/94/36/1074059436.db2.gz UMINMNJTZVABLP-UHFFFAOYSA-N 0 0 431.518 -0.052 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)NCCCN3CCC[N@@H+](C)CC3)c(CCO)c2=O)n1 ZINC001136653525 1074059339 /nfs/dbraw/zinc/05/93/39/1074059339.db2.gz XWNZKHPEIJHPTE-UHFFFAOYSA-N 0 0 431.541 -0.135 20 0 IBADRN Cc1[nH][nH]c(=O)c1CCNC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001136653852 1074059174 /nfs/dbraw/zinc/05/91/74/1074059174.db2.gz JSONIJCMQXVSHE-FHSNZYRGSA-N 0 0 428.511 -0.104 20 0 IBADRN Cc1[nH][nH]c(=O)c1CCNC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001136653853 1074059262 /nfs/dbraw/zinc/05/92/62/1074059262.db2.gz JSONIJCMQXVSHE-SNUQEOBHSA-N 0 0 428.511 -0.104 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC001136658897 1074059279 /nfs/dbraw/zinc/05/92/79/1074059279.db2.gz MWROVALQILWOCB-UHFFFAOYSA-N 0 0 427.527 -0.742 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC001136659385 1074059220 /nfs/dbraw/zinc/05/92/20/1074059220.db2.gz BZUPPXFTQFTFJP-KRWDZBQOSA-N 0 0 433.509 -0.173 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)[C@H]1c1nccn1C ZINC001136660015 1074059196 /nfs/dbraw/zinc/05/91/96/1074059196.db2.gz YMQOAKKPOLGPKO-PMACEKPBSA-N 0 0 446.552 -0.135 20 0 IBADRN CN(C)c1ncc(CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)n1C ZINC001136660866 1074060457 /nfs/dbraw/zinc/06/04/57/1074060457.db2.gz IKFJKCYKENEPAC-UHFFFAOYSA-N 0 0 429.524 -0.516 20 0 IBADRN C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)N3CCN(C)CC3)CC1)C2=O ZINC001136663633 1074059752 /nfs/dbraw/zinc/05/97/52/1074059752.db2.gz VEHKJJUNHOVJHS-HRAATJIYSA-N 0 0 434.541 -0.001 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)N3CCN(C)CC3)CC1)C2=O ZINC001136663634 1074059984 /nfs/dbraw/zinc/05/99/84/1074059984.db2.gz VEHKJJUNHOVJHS-IERDGZPVSA-N 0 0 434.541 -0.001 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N1CCN(C(=O)N3CCN(C)CC3)CC1)C2=O ZINC001136663635 1074059952 /nfs/dbraw/zinc/05/99/52/1074059952.db2.gz VEHKJJUNHOVJHS-IIBYNOLFSA-N 0 0 434.541 -0.001 20 0 IBADRN C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)N1CCN(C(=O)N3CCN(C)CC3)CC1)C2=O ZINC001136663636 1074059673 /nfs/dbraw/zinc/05/96/73/1074059673.db2.gz VEHKJJUNHOVJHS-KKSFZXQISA-N 0 0 434.541 -0.001 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)[C@H]3OCC(=O)N(C)[C@@H]3c3cccnc3)CC2)CC1 ZINC001136663924 1074059851 /nfs/dbraw/zinc/05/98/51/1074059851.db2.gz HCZUKCFWOLNGTG-MOPGFXCFSA-N 0 0 430.509 -0.509 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2)CC1 ZINC001136663961 1074059838 /nfs/dbraw/zinc/05/98/38/1074059838.db2.gz IWVJODJMSUXFAK-UHFFFAOYSA-N 0 0 426.518 -0.861 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001136664025 1074059736 /nfs/dbraw/zinc/05/97/36/1074059736.db2.gz LOHKKRKYFXDWPU-UHFFFAOYSA-N 0 0 443.508 -0.934 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)c1 ZINC001136664028 1074059767 /nfs/dbraw/zinc/05/97/67/1074059767.db2.gz LSVYBNKKVGHORU-UHFFFAOYSA-N 0 0 442.542 -0.935 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)[C@H](CC(N)=O)NC(=O)c3ccccc3F)CC2)CC1 ZINC001136664037 1074059999 /nfs/dbraw/zinc/05/99/99/1074059999.db2.gz LZQOEJHEPNZASZ-KRWDZBQOSA-N 0 0 448.499 -0.689 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c3ccccc3F)CC2)CC1 ZINC001136664038 1074059916 /nfs/dbraw/zinc/05/99/16/1074059916.db2.gz LZQOEJHEPNZASZ-QGZVFWFLSA-N 0 0 448.499 -0.689 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)[C@@H]3CCCCN3C(=O)c3ccc(=O)[nH]n3)CC2)CC1 ZINC001136664046 1074059969 /nfs/dbraw/zinc/05/99/69/1074059969.db2.gz METJXNKMMIIWFO-KRWDZBQOSA-N 0 0 445.524 -0.312 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)[C@H]3CCCCN3C(=O)c3ccc(=O)[nH]n3)CC2)CC1 ZINC001136664047 1074059865 /nfs/dbraw/zinc/05/98/65/1074059865.db2.gz METJXNKMMIIWFO-QGZVFWFLSA-N 0 0 445.524 -0.312 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)CC3(O)CN(C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC001136664150 1074059900 /nfs/dbraw/zinc/05/99/00/1074059900.db2.gz QFRNWCOFTQQLIT-UHFFFAOYSA-N 0 0 425.530 -0.130 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3cc4c(c(S(N)(=O)=O)c3)CCC4)CC2)CC1 ZINC001136664235 1074060367 /nfs/dbraw/zinc/06/03/67/1074060367.db2.gz TUASFSKBVHIDAR-UHFFFAOYSA-N 0 0 435.550 -0.052 20 0 IBADRN CCC[C@@H](C(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1)S(N)(=O)=O ZINC001136664309 1074060350 /nfs/dbraw/zinc/06/03/50/1074060350.db2.gz WYYBSQUXVSBXIP-KRWDZBQOSA-N 0 0 446.595 -0.125 20 0 IBADRN CCC[C@H](C(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1)S(N)(=O)=O ZINC001136664310 1074060282 /nfs/dbraw/zinc/06/02/82/1074060282.db2.gz WYYBSQUXVSBXIP-QGZVFWFLSA-N 0 0 446.595 -0.125 20 0 IBADRN O=C(CN1CCCCC(=O)C1=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001136665051 1074060263 /nfs/dbraw/zinc/06/02/63/1074060263.db2.gz BESTZYWZPRUVTP-INIZCTEOSA-N 0 0 429.539 -0.694 20 0 IBADRN O=C(CN1CCCCC(=O)C1=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001136665052 1074060435 /nfs/dbraw/zinc/06/04/35/1074060435.db2.gz BESTZYWZPRUVTP-MRXNPFEDSA-N 0 0 429.539 -0.694 20 0 IBADRN CCOC(=O)[C@H](CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)C(C)=O ZINC001136665069 1074060479 /nfs/dbraw/zinc/06/04/79/1074060479.db2.gz BSCSTVFIASBFBY-DLBZAZTESA-N 0 0 432.539 -0.117 20 0 IBADRN CCOC(=O)[C@H](CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)C(C)=O ZINC001136665070 1074060426 /nfs/dbraw/zinc/06/04/26/1074060426.db2.gz BSCSTVFIASBFBY-IAGOWNOFSA-N 0 0 432.539 -0.117 20 0 IBADRN CCOC(=O)[C@@H](CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)C(C)=O ZINC001136665071 1074060399 /nfs/dbraw/zinc/06/03/99/1074060399.db2.gz BSCSTVFIASBFBY-IRXDYDNUSA-N 0 0 432.539 -0.117 20 0 IBADRN CCOC(=O)[C@@H](CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)C(C)=O ZINC001136665072 1074060493 /nfs/dbraw/zinc/06/04/93/1074060493.db2.gz BSCSTVFIASBFBY-SJORKVTESA-N 0 0 432.539 -0.117 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001136665275 1074060448 /nfs/dbraw/zinc/06/04/48/1074060448.db2.gz IDDWWPXAMFRUMR-HNNXBMFYSA-N 0 0 446.595 -0.779 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001136665276 1074060486 /nfs/dbraw/zinc/06/04/86/1074060486.db2.gz IDDWWPXAMFRUMR-OAHLLOKOSA-N 0 0 446.595 -0.779 20 0 IBADRN CN(C)CCn1nccc1C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001136665310 1074060272 /nfs/dbraw/zinc/06/02/72/1074060272.db2.gz IYKFRLMDSGSYBO-KRWDZBQOSA-N 0 0 427.571 -0.204 20 0 IBADRN CN(C)CCn1nccc1C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001136665311 1074060407 /nfs/dbraw/zinc/06/04/07/1074060407.db2.gz IYKFRLMDSGSYBO-QGZVFWFLSA-N 0 0 427.571 -0.204 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)C[C@H]1C ZINC001136665732 1074060355 /nfs/dbraw/zinc/06/03/55/1074060355.db2.gz YGIKSWPISNUVLU-QBPKDAKJSA-N 0 0 432.539 -0.309 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)C[C@H]1C ZINC001136665733 1074060470 /nfs/dbraw/zinc/06/04/70/1074060470.db2.gz YGIKSWPISNUVLU-YYIAUSFCSA-N 0 0 432.539 -0.309 20 0 IBADRN COc1cc(N)c(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1S(C)(=O)=O ZINC001136669144 1074060974 /nfs/dbraw/zinc/06/09/74/1074060974.db2.gz QRJIRYGCZQBDFT-UHFFFAOYSA-N 0 0 433.552 -0.080 20 0 IBADRN CC(C)(CNC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1)NS(C)(=O)=O ZINC001136669551 1074060752 /nfs/dbraw/zinc/06/07/52/1074060752.db2.gz HLCIQTXTOQFFHC-UHFFFAOYSA-N 0 0 439.581 -0.049 20 0 IBADRN CC(C)(CNC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NS(C)(=O)=O ZINC001136670081 1074060926 /nfs/dbraw/zinc/06/09/26/1074060926.db2.gz ZNQYPACFOSPZEZ-UHFFFAOYSA-N 0 0 449.551 -0.040 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H](NC(=O)C2CCCC2)[C@H](C)O)CC1 ZINC001136684206 1074060834 /nfs/dbraw/zinc/06/08/34/1074060834.db2.gz DMUPFBBVGPYSJA-BQFCYCMXSA-N 0 0 426.558 -0.272 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H](NC(=O)C2CCCC2)[C@@H](C)O)CC1 ZINC001136684207 1074060842 /nfs/dbraw/zinc/06/08/42/1074060842.db2.gz DMUPFBBVGPYSJA-JZXOWHBKSA-N 0 0 426.558 -0.272 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H](NC(=O)C2CCCC2)[C@@H](C)O)CC1 ZINC001136684208 1074060967 /nfs/dbraw/zinc/06/09/67/1074060967.db2.gz DMUPFBBVGPYSJA-LZLYRXPVSA-N 0 0 426.558 -0.272 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H](NC(=O)C2CCCC2)[C@H](C)O)CC1 ZINC001136684209 1074060712 /nfs/dbraw/zinc/06/07/12/1074060712.db2.gz DMUPFBBVGPYSJA-XYJFISCASA-N 0 0 426.558 -0.272 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001136684643 1074060890 /nfs/dbraw/zinc/06/08/90/1074060890.db2.gz UEUWYUXGGGOKSD-INIZCTEOSA-N 0 0 432.587 -0.267 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001136684644 1074060895 /nfs/dbraw/zinc/06/08/95/1074060895.db2.gz UEUWYUXGGGOKSD-MRXNPFEDSA-N 0 0 432.587 -0.267 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CC[C@H](CN3CCOCC3)O2)CC1 ZINC001136685441 1074060864 /nfs/dbraw/zinc/06/08/64/1074060864.db2.gz ZABOPNPEFLRDMN-OTWHNJEPSA-N 0 0 426.558 -0.448 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@@H]2CC[C@H](CN3CCOCC3)O2)CC1 ZINC001136685442 1074060877 /nfs/dbraw/zinc/06/08/77/1074060877.db2.gz ZABOPNPEFLRDMN-QRVBRYPASA-N 0 0 426.558 -0.448 20 0 IBADRN COc1cc(N)c(C(=O)NCCS(=O)(=O)N2CCSCC2)cc1S(C)(=O)=O ZINC001136688744 1074061318 /nfs/dbraw/zinc/06/13/18/1074061318.db2.gz IYQWWKUFYPVQKE-UHFFFAOYSA-N 0 0 437.565 -0.211 20 0 IBADRN Cc1c(F)cc(S(N)(=O)=O)cc1C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC001136689029 1074061400 /nfs/dbraw/zinc/06/14/00/1074061400.db2.gz SALBILUJEMFMCR-UHFFFAOYSA-N 0 0 425.529 -0.110 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)NCCS(=O)(=O)N2CCSCC2)c(F)c1 ZINC001136689112 1074061260 /nfs/dbraw/zinc/06/12/60/1074061260.db2.gz WJKMYHUCSACKPY-UHFFFAOYSA-N 0 0 440.544 -0.620 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCn2cnnc2C1 ZINC001136692751 1074061327 /nfs/dbraw/zinc/06/13/27/1074061327.db2.gz JFQMQGAGZMRZQV-AWEZNQCLSA-N 0 0 427.508 -0.598 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCn2cnnc2C1 ZINC001136692752 1074061427 /nfs/dbraw/zinc/06/14/27/1074061427.db2.gz JFQMQGAGZMRZQV-CQSZACIVSA-N 0 0 427.508 -0.598 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)c3cc4n(n3)CCN(C)S4(=O)=O)CC2)CC1 ZINC001136694986 1074061405 /nfs/dbraw/zinc/06/14/05/1074061405.db2.gz HTKVDGOXYGZMSH-UHFFFAOYSA-N 0 0 438.554 -0.467 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC001136696423 1074061347 /nfs/dbraw/zinc/06/13/47/1074061347.db2.gz KWMCUGWZQMTMFN-UHFFFAOYSA-N 0 0 438.554 -0.424 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)C3(OC)CS(=O)(=O)C3)CC2)cc1 ZINC001136698006 1074061265 /nfs/dbraw/zinc/06/12/65/1074061265.db2.gz PFQNFDBILJUXAO-UHFFFAOYSA-N 0 0 425.507 -0.617 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)CN3CCCCS3(=O)=O)CC2)cc1 ZINC001136698035 1074061276 /nfs/dbraw/zinc/06/12/76/1074061276.db2.gz QQBYVKWEURPQDW-UHFFFAOYSA-N 0 0 438.550 -0.005 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCCS(=O)(=O)CC2)C1 ZINC001136700459 1074061286 /nfs/dbraw/zinc/06/12/86/1074061286.db2.gz NBLDQBFDUROWIK-CXAGYDPISA-N 0 0 436.552 -0.085 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H]2OCCO[C@@H]2C(F)(F)F)c1 ZINC001136711477 1074061386 /nfs/dbraw/zinc/06/13/86/1074061386.db2.gz UZEVKJANPZUFLD-OLZOCXBDSA-N 0 0 425.385 -0.004 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H]2CN(C(=O)C(C)(C)C)CCO2)c1 ZINC001136711581 1074061704 /nfs/dbraw/zinc/06/17/04/1074061704.db2.gz ZCXUHKQHBZGSOP-HNNXBMFYSA-N 0 0 440.522 -0.077 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@H]2CN(C(=O)C(C)(C)C)CCO2)c1 ZINC001136711582 1074061716 /nfs/dbraw/zinc/06/17/16/1074061716.db2.gz ZCXUHKQHBZGSOP-OAHLLOKOSA-N 0 0 440.522 -0.077 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)c2nc(S(N)(=O)=O)c3ccccn32)CC1 ZINC001136726188 1074061663 /nfs/dbraw/zinc/06/16/63/1074061663.db2.gz QIDDBVCTZKJSDP-UHFFFAOYSA-N 0 0 429.524 -0.132 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1sccc1S(=O)(=O)N1CCCC[C@@H]1CCO ZINC001136728020 1074061848 /nfs/dbraw/zinc/06/18/48/1074061848.db2.gz JWCVDBDWAJIPQP-GFCCVEGCSA-N 0 0 444.535 -0.045 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1sccc1S(=O)(=O)N1CCCC[C@H]1CCO ZINC001136728021 1074061690 /nfs/dbraw/zinc/06/16/90/1074061690.db2.gz JWCVDBDWAJIPQP-LBPRGKRZSA-N 0 0 444.535 -0.045 20 0 IBADRN CCN(C1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1)S(C)(=O)=O ZINC001136731574 1074061915 /nfs/dbraw/zinc/06/19/15/1074061915.db2.gz BGBUWHQGCSQNHN-UHFFFAOYSA-N 0 0 440.588 -0.907 20 0 IBADRN CC1=C(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)S(=O)(=O)CCO1 ZINC001136751277 1074062266 /nfs/dbraw/zinc/06/22/66/1074062266.db2.gz BEENBCXVDJIRBV-UHFFFAOYSA-N 0 0 443.547 -0.085 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)C1 ZINC001136751484 1074062202 /nfs/dbraw/zinc/06/22/02/1074062202.db2.gz JUONWURMWKTCDT-LLVKDONJSA-N 0 0 437.565 -0.343 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)C1 ZINC001136751485 1074062387 /nfs/dbraw/zinc/06/23/87/1074062387.db2.gz JUONWURMWKTCDT-NSHDSACASA-N 0 0 437.565 -0.343 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@H]1CN(C)CCN1C ZINC001136761131 1074062324 /nfs/dbraw/zinc/06/23/24/1074062324.db2.gz CHQLLISTBSYSSD-DOTOQJQBSA-N 0 0 440.566 -0.410 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@@H]1CN(C)CCN1C ZINC001136761132 1074062347 /nfs/dbraw/zinc/06/23/47/1074062347.db2.gz CHQLLISTBSYSSD-NVXWUHKLSA-N 0 0 440.566 -0.410 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@H]1CN(C)CCN1C ZINC001136761133 1074062330 /nfs/dbraw/zinc/06/23/30/1074062330.db2.gz CHQLLISTBSYSSD-RDJZCZTQSA-N 0 0 440.566 -0.410 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@@H]1CN(C)CCN1C ZINC001136761134 1074062232 /nfs/dbraw/zinc/06/22/32/1074062232.db2.gz CHQLLISTBSYSSD-WBVHZDCISA-N 0 0 440.566 -0.410 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCC1CCN(C(=O)C(F)(F)F)CC1 ZINC001136780906 1074062237 /nfs/dbraw/zinc/06/22/37/1074062237.db2.gz ZGMXSJIDRPACFK-UHFFFAOYSA-N 0 0 444.476 -0.262 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCC(=O)NCCCc2c[nH]nc2C)[C@H]1c1ccnn1C ZINC001136783758 1074062310 /nfs/dbraw/zinc/06/23/10/1074062310.db2.gz RDSJLYUDZOYZDI-UXHICEINSA-N 0 0 445.524 -0.005 20 0 IBADRN CN1CCn2ncc(C(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)c2S1(=O)=O ZINC001136809637 1074062831 /nfs/dbraw/zinc/06/28/31/1074062831.db2.gz YHFWCKKAIITXIW-UHFFFAOYSA-N 0 0 441.482 -0.489 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NCCN2C(=O)CCC2=O)C1 ZINC001136815352 1074062565 /nfs/dbraw/zinc/06/25/65/1074062565.db2.gz LXEFMRLBRLTZTE-KZULUSFZSA-N 0 0 429.495 -0.467 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001136832474 1074062650 /nfs/dbraw/zinc/06/26/50/1074062650.db2.gz LQZSIVFUVFLPKP-INIZCTEOSA-N 0 0 445.563 -0.052 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001136832475 1074062625 /nfs/dbraw/zinc/06/26/25/1074062625.db2.gz LQZSIVFUVFLPKP-MRXNPFEDSA-N 0 0 445.563 -0.052 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@H]1C[C@H](O)CN1c1ccncn1 ZINC001136851724 1074062590 /nfs/dbraw/zinc/06/25/90/1074062590.db2.gz KSUJXBVECMZPBA-DOTOQJQBSA-N 0 0 439.538 -0.494 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@H]1C[C@@H](O)CN1c1ccncn1 ZINC001136851725 1074062792 /nfs/dbraw/zinc/06/27/92/1074062792.db2.gz KSUJXBVECMZPBA-NVXWUHKLSA-N 0 0 439.538 -0.494 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@@H]1C[C@H](O)CN1c1ccncn1 ZINC001136851726 1074062638 /nfs/dbraw/zinc/06/26/38/1074062638.db2.gz KSUJXBVECMZPBA-RDJZCZTQSA-N 0 0 439.538 -0.494 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@@H]1C[C@@H](O)CN1c1ccncn1 ZINC001136851727 1074062838 /nfs/dbraw/zinc/06/28/38/1074062838.db2.gz KSUJXBVECMZPBA-WBVHZDCISA-N 0 0 439.538 -0.494 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)CCNC(=O)CO)CC2)cc1OC ZINC001136879077 1074063091 /nfs/dbraw/zinc/06/30/91/1074063091.db2.gz SCDHBAWOASMBQW-UHFFFAOYSA-N 0 0 429.495 -0.528 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CCN2C(=O)CCNC2=S)c1 ZINC001136884756 1074063258 /nfs/dbraw/zinc/06/32/58/1074063258.db2.gz RTUYTTAAOGCADS-UHFFFAOYSA-N 0 0 428.536 -0.276 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(F)cc1)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC001136896909 1074063702 /nfs/dbraw/zinc/06/37/02/1074063702.db2.gz HOLSBOQGKIEGPK-UHFFFAOYSA-N 0 0 425.442 -0.202 20 0 IBADRN O=C(C[C@@H]1NC(=O)c2ccccc2NC1=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC001136897332 1074063649 /nfs/dbraw/zinc/06/36/49/1074063649.db2.gz RJTKSDGWVGYUIT-INIZCTEOSA-N 0 0 426.433 -0.179 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)Nc2cnn(CC(=O)N3CCOCC3)c2)[C@H]1c1cccnc1 ZINC001136897398 1074063570 /nfs/dbraw/zinc/06/35/70/1074063570.db2.gz UQSKJDDMIATZCY-MOPGFXCFSA-N 0 0 428.449 -0.326 20 0 IBADRN CCOC(=O)c1c(CN2CCCn3c(=O)cc(CNC(=O)COC)nc3C2)cnn1C ZINC001136904935 1074063597 /nfs/dbraw/zinc/06/35/97/1074063597.db2.gz SSPSJUAJSBPNEG-UHFFFAOYSA-N 0 0 432.481 -0.178 20 0 IBADRN CCOC(=O)c1c(CN2CCOC[C@@]3(CN(c4cnn(C)c4)C(=O)CO3)C2)cnn1C ZINC001136906027 1074063585 /nfs/dbraw/zinc/06/35/85/1074063585.db2.gz SEXOYHAIKGLXIV-FQEVSTJZSA-N 0 0 432.481 -0.035 20 0 IBADRN CCOC(=O)c1c(CN2CCOC[C@]3(CN(c4cnn(C)c4)C(=O)CO3)C2)cnn1C ZINC001136906028 1074063673 /nfs/dbraw/zinc/06/36/73/1074063673.db2.gz SEXOYHAIKGLXIV-HXUWFJFHSA-N 0 0 432.481 -0.035 20 0 IBADRN CCOC(=O)c1c(CN2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)cnn1C ZINC001136907654 1074063470 /nfs/dbraw/zinc/06/34/70/1074063470.db2.gz VAJHOVAOMMVDAO-GDBMZVCRSA-N 0 0 441.554 -0.089 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NCCN1C(=O)CCCC1=O ZINC001136911068 1074063691 /nfs/dbraw/zinc/06/36/91/1074063691.db2.gz NSGWHLNCARHPOP-UHFFFAOYSA-N 0 0 430.504 -0.226 20 0 IBADRN COCCn1nc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)ccc1=O ZINC001136924969 1074063504 /nfs/dbraw/zinc/06/35/04/1074063504.db2.gz DVHUXUHCZQACRM-UHFFFAOYSA-N 0 0 435.525 -0.420 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCC2)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001136925103 1074063661 /nfs/dbraw/zinc/06/36/61/1074063661.db2.gz JNBOMNNEULADJS-UHFFFAOYSA-N 0 0 434.541 -0.542 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](O)C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001136925149 1074063629 /nfs/dbraw/zinc/06/36/29/1074063629.db2.gz LDQJXHPZQMGFDK-KRWDZBQOSA-N 0 0 442.557 -0.051 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001136925387 1074063710 /nfs/dbraw/zinc/06/37/10/1074063710.db2.gz WLTFGFZOXSDTOK-KRWDZBQOSA-N 0 0 432.587 -0.363 20 0 IBADRN COCCCn1nc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)ccc1=O ZINC001136925445 1074063608 /nfs/dbraw/zinc/06/36/08/1074063608.db2.gz YXXQSJJHBOOILW-UHFFFAOYSA-N 0 0 449.552 -0.030 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001136942414 1074063915 /nfs/dbraw/zinc/06/39/15/1074063915.db2.gz GHTDFLFLBABOKS-UHFFFAOYSA-N 0 0 433.538 -0.541 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)OCc1ccccc1 ZINC001136947536 1074064053 /nfs/dbraw/zinc/06/40/53/1074064053.db2.gz GWTJGYRDQCGOJZ-MSOLQXFVSA-N 0 0 434.493 -0.677 20 0 IBADRN O=C(N[C@H](CO)C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)OCc1ccccc1 ZINC001136947537 1074064000 /nfs/dbraw/zinc/06/40/00/1074064000.db2.gz GWTJGYRDQCGOJZ-QZTJIDSGSA-N 0 0 434.493 -0.677 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)OCc1ccccc1 ZINC001136947538 1074064072 /nfs/dbraw/zinc/06/40/72/1074064072.db2.gz GWTJGYRDQCGOJZ-ROUUACIJSA-N 0 0 434.493 -0.677 20 0 IBADRN O=C(N[C@H](CO)C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)OCc1ccccc1 ZINC001136947539 1074064031 /nfs/dbraw/zinc/06/40/31/1074064031.db2.gz GWTJGYRDQCGOJZ-ZWKOTPCHSA-N 0 0 434.493 -0.677 20 0 IBADRN Cn1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c(I)n1 ZINC001136948301 1074063946 /nfs/dbraw/zinc/06/39/46/1074063946.db2.gz AFYDDOZKLPNMTF-LLVKDONJSA-N 0 0 447.277 -0.312 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c(I)n1 ZINC001136948302 1074063968 /nfs/dbraw/zinc/06/39/68/1074063968.db2.gz AFYDDOZKLPNMTF-NSHDSACASA-N 0 0 447.277 -0.312 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(=O)[nH]c3c2CCCC3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136948528 1074064040 /nfs/dbraw/zinc/06/40/40/1074064040.db2.gz DDXCKTAYOIXZDT-AWEZNQCLSA-N 0 0 436.534 -0.273 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(=O)[nH]c3c2CCCC3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136948530 1074063987 /nfs/dbraw/zinc/06/39/87/1074063987.db2.gz DDXCKTAYOIXZDT-CQSZACIVSA-N 0 0 436.534 -0.273 20 0 IBADRN CS(=O)(=O)C1CCC(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001136948571 1074064107 /nfs/dbraw/zinc/06/41/07/1074064107.db2.gz DTTBYIISTIAYPY-HWOWSKLDSA-N 0 0 449.595 -0.963 20 0 IBADRN CS(=O)(=O)C1CCC(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001136948575 1074064099 /nfs/dbraw/zinc/06/40/99/1074064099.db2.gz DTTBYIISTIAYPY-PCKAHOCUSA-N 0 0 449.595 -0.963 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(C(F)(F)F)ncn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136948656 1074064037 /nfs/dbraw/zinc/06/40/37/1074064037.db2.gz FOLHBDMRACWKFD-LLVKDONJSA-N 0 0 435.428 -0.444 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(C(F)(F)F)ncn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136948657 1074064036 /nfs/dbraw/zinc/06/40/36/1074064036.db2.gz FOLHBDMRACWKFD-NSHDSACASA-N 0 0 435.428 -0.444 20 0 IBADRN CN(C)c1nc(Cl)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001136948660 1074063903 /nfs/dbraw/zinc/06/39/03/1074063903.db2.gz FSXCJNTXZLTBMT-LLVKDONJSA-N 0 0 449.986 -0.076 20 0 IBADRN CN(C)c1nc(Cl)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001136948661 1074064114 /nfs/dbraw/zinc/06/41/14/1074064114.db2.gz FSXCJNTXZLTBMT-NSHDSACASA-N 0 0 449.986 -0.076 20 0 IBADRN C[C@H](c1ccccc1F)[C@H](O)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136948670 1074064131 /nfs/dbraw/zinc/06/41/31/1074064131.db2.gz GAIMRSGQBBJEES-CLCXKQKWSA-N 0 0 441.525 -0.262 20 0 IBADRN C[C@H](c1ccccc1F)[C@@H](O)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136948671 1074064020 /nfs/dbraw/zinc/06/40/20/1074064020.db2.gz GAIMRSGQBBJEES-SPYBWZPUSA-N 0 0 441.525 -0.262 20 0 IBADRN C[C@H](c1ccccc1F)[C@H](O)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136948672 1074064065 /nfs/dbraw/zinc/06/40/65/1074064065.db2.gz GAIMRSGQBBJEES-VCBZYWHSSA-N 0 0 441.525 -0.262 20 0 IBADRN C[C@H](c1ccccc1F)[C@@H](O)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136948673 1074064524 /nfs/dbraw/zinc/06/45/24/1074064524.db2.gz GAIMRSGQBBJEES-ZRGWGRIASA-N 0 0 441.525 -0.262 20 0 IBADRN CC1(C)[C@@H]2CC[C@@]1(C(=O)N1CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC1)C(=O)C2 ZINC001136948811 1074064408 /nfs/dbraw/zinc/06/44/08/1074064408.db2.gz HJNPBVIWLSLCHN-SIFCLUCFSA-N 0 0 425.551 -0.171 20 0 IBADRN CC1(C)[C@@H]2CC[C@@]1(C(=O)N1CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC1)C(=O)C2 ZINC001136948812 1074064377 /nfs/dbraw/zinc/06/43/77/1074064377.db2.gz HJNPBVIWLSLCHN-SXGZJXTBSA-N 0 0 425.551 -0.171 20 0 IBADRN CCc1nccn1[C@H](C)CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136948838 1074064460 /nfs/dbraw/zinc/06/44/60/1074064460.db2.gz IFMVNDGUFGQVNY-CVEARBPZSA-N 0 0 425.555 -0.156 20 0 IBADRN CCc1nccn1[C@@H](C)CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136948839 1074064553 /nfs/dbraw/zinc/06/45/53/1074064553.db2.gz IFMVNDGUFGQVNY-HOTGVXAUSA-N 0 0 425.555 -0.156 20 0 IBADRN CCc1nccn1[C@H](C)CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136948840 1074064532 /nfs/dbraw/zinc/06/45/32/1074064532.db2.gz IFMVNDGUFGQVNY-HZPDHXFCSA-N 0 0 425.555 -0.156 20 0 IBADRN CCc1nccn1[C@@H](C)CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136948841 1074064439 /nfs/dbraw/zinc/06/44/39/1074064439.db2.gz IFMVNDGUFGQVNY-JKSUJKDBSA-N 0 0 425.555 -0.156 20 0 IBADRN O=C(CN1CCN(C(=O)[C@]2(C3CCCC3)CCNC2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136949076 1074064345 /nfs/dbraw/zinc/06/43/45/1074064345.db2.gz JYZDVCSFLDREAQ-JXFKEZNVSA-N 0 0 440.566 -0.870 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@]2(C3CCCC3)CCNC2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136949077 1074064504 /nfs/dbraw/zinc/06/45/04/1074064504.db2.gz JYZDVCSFLDREAQ-OXJNMPFZSA-N 0 0 440.566 -0.870 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@]2(C3CCCC3)CCNC2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136949078 1074064424 /nfs/dbraw/zinc/06/44/24/1074064424.db2.gz JYZDVCSFLDREAQ-OXQOHEQNSA-N 0 0 440.566 -0.870 20 0 IBADRN O=C(CN1CCN(C(=O)[C@]2(C3CCCC3)CCNC2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136949079 1074064395 /nfs/dbraw/zinc/06/43/95/1074064395.db2.gz JYZDVCSFLDREAQ-UZLBHIALSA-N 0 0 440.566 -0.870 20 0 IBADRN CCO[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001136949146 1074064492 /nfs/dbraw/zinc/06/44/92/1074064492.db2.gz LIEKRJBPEKVZID-AEFFLSMTSA-N 0 0 431.555 -0.734 20 0 IBADRN CCO[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001136949147 1074064570 /nfs/dbraw/zinc/06/45/70/1074064570.db2.gz LIEKRJBPEKVZID-FUHWJXTLSA-N 0 0 431.555 -0.734 20 0 IBADRN CCO[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001136949148 1074064576 /nfs/dbraw/zinc/06/45/76/1074064576.db2.gz LIEKRJBPEKVZID-SJLPKXTDSA-N 0 0 431.555 -0.734 20 0 IBADRN CCO[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001136949149 1074064429 /nfs/dbraw/zinc/06/44/29/1074064429.db2.gz LIEKRJBPEKVZID-WMZOPIPTSA-N 0 0 431.555 -0.734 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1c[nH]nc1I ZINC001136949221 1074064474 /nfs/dbraw/zinc/06/44/74/1074064474.db2.gz NWRFQKPDQDFNNW-JTQLQIEISA-N 0 0 433.250 -0.323 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1c[nH]nc1I ZINC001136949222 1074064361 /nfs/dbraw/zinc/06/43/61/1074064361.db2.gz NWRFQKPDQDFNNW-SNVBAGLBSA-N 0 0 433.250 -0.323 20 0 IBADRN CCn1c2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)ccc2[nH]c1=O ZINC001136949281 1074064514 /nfs/dbraw/zinc/06/45/14/1074064514.db2.gz PUMRUKNFJLKWSP-HNNXBMFYSA-N 0 0 449.533 -0.177 20 0 IBADRN CCn1c2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)ccc2[nH]c1=O ZINC001136949282 1074064923 /nfs/dbraw/zinc/06/49/23/1074064923.db2.gz PUMRUKNFJLKWSP-OAHLLOKOSA-N 0 0 449.533 -0.177 20 0 IBADRN Cn1cc(Br)c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1=O ZINC001136949337 1074064830 /nfs/dbraw/zinc/06/48/30/1074064830.db2.gz RZFXPBVPKHQMQZ-GFCCVEGCSA-N 0 0 427.299 -0.189 20 0 IBADRN Cn1cc(Br)c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1=O ZINC001136949338 1074064801 /nfs/dbraw/zinc/06/48/01/1074064801.db2.gz RZFXPBVPKHQMQZ-LBPRGKRZSA-N 0 0 427.299 -0.189 20 0 IBADRN COc1ccnc(OC)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136949389 1074064935 /nfs/dbraw/zinc/06/49/35/1074064935.db2.gz SQVLJEIAQAYQEU-CYBMUJFWSA-N 0 0 426.495 -0.840 20 0 IBADRN COc1ccnc(OC)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136949390 1074064926 /nfs/dbraw/zinc/06/49/26/1074064926.db2.gz SQVLJEIAQAYQEU-ZDUSSCGKSA-N 0 0 426.495 -0.840 20 0 IBADRN O=C(Cn1cnc(-c2ccccc2)cc1=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001136949412 1074064946 /nfs/dbraw/zinc/06/49/46/1074064946.db2.gz UGNWOSXRCGYLNN-GOSISDBHSA-N 0 0 425.489 -0.040 20 0 IBADRN O=C(Cn1cnc(-c2ccccc2)cc1=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001136949413 1074064789 /nfs/dbraw/zinc/06/47/89/1074064789.db2.gz UGNWOSXRCGYLNN-SFHVURJKSA-N 0 0 425.489 -0.040 20 0 IBADRN CC(=O)NCc1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136949441 1074064910 /nfs/dbraw/zinc/06/49/10/1074064910.db2.gz VBDDCQWQKMFKKD-GOSISDBHSA-N 0 0 436.534 -0.616 20 0 IBADRN CC(=O)NCc1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001136949442 1074064814 /nfs/dbraw/zinc/06/48/14/1074064814.db2.gz VBDDCQWQKMFKKD-SFHVURJKSA-N 0 0 436.534 -0.616 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](O)(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC001136949450 1074064881 /nfs/dbraw/zinc/06/48/81/1074064881.db2.gz VLZXWJWBFZEVLV-HRAATJIYSA-N 0 0 440.541 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC001136949451 1074064867 /nfs/dbraw/zinc/06/48/67/1074064867.db2.gz VLZXWJWBFZEVLV-IERDGZPVSA-N 0 0 440.541 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](O)(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC001136949452 1074064848 /nfs/dbraw/zinc/06/48/48/1074064848.db2.gz VLZXWJWBFZEVLV-IIBYNOLFSA-N 0 0 440.541 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC001136949453 1074064898 /nfs/dbraw/zinc/06/48/98/1074064898.db2.gz VLZXWJWBFZEVLV-KKSFZXQISA-N 0 0 440.541 -0.202 20 0 IBADRN O=C(CN1CCN(C(=O)c2ncc(Br)cn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136950435 1074064927 /nfs/dbraw/zinc/06/49/27/1074064927.db2.gz GTDDFHDVUWXRGL-GFCCVEGCSA-N 0 0 446.327 -0.700 20 0 IBADRN O=C(CN1CCN(C(=O)c2ncc(Br)cn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136950441 1074064782 /nfs/dbraw/zinc/06/47/82/1074064782.db2.gz GTDDFHDVUWXRGL-LBPRGKRZSA-N 0 0 446.327 -0.700 20 0 IBADRN COC(=O)c1sccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136950613 1074064917 /nfs/dbraw/zinc/06/49/17/1074064917.db2.gz KVZIZGOADUPJDJ-GFCCVEGCSA-N 0 0 429.520 -0.404 20 0 IBADRN COC(=O)c1sccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136950614 1074064860 /nfs/dbraw/zinc/06/48/60/1074064860.db2.gz KVZIZGOADUPJDJ-LBPRGKRZSA-N 0 0 429.520 -0.404 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H]2CC(=O)Nc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136950639 1074064820 /nfs/dbraw/zinc/06/48/20/1074064820.db2.gz MBRGHVQAPSRYEG-CVEARBPZSA-N 0 0 448.545 -0.050 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H]2CC(=O)Nc3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136950640 1074065187 /nfs/dbraw/zinc/06/51/87/1074065187.db2.gz MBRGHVQAPSRYEG-HOTGVXAUSA-N 0 0 448.545 -0.050 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H]2CC(=O)Nc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136950641 1074065321 /nfs/dbraw/zinc/06/53/21/1074065321.db2.gz MBRGHVQAPSRYEG-HZPDHXFCSA-N 0 0 448.545 -0.050 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H]2CC(=O)Nc3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136950642 1074065139 /nfs/dbraw/zinc/06/51/39/1074065139.db2.gz MBRGHVQAPSRYEG-JKSUJKDBSA-N 0 0 448.545 -0.050 20 0 IBADRN O=C(CN1CCN(C(=O)[C@]2([C@@H]3CCCCO3)CCCO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136950880 1074065243 /nfs/dbraw/zinc/06/52/43/1074065243.db2.gz SHJPFPZTJCHMCH-ABSDTBQOSA-N 0 0 443.566 -0.448 20 0 IBADRN O=C(CN1CCN(C(=O)[C@]2([C@@H]3CCCCO3)CCCO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136950881 1074065284 /nfs/dbraw/zinc/06/52/84/1074065284.db2.gz SHJPFPZTJCHMCH-FUHIMQAGSA-N 0 0 443.566 -0.448 20 0 IBADRN O=C(CN1CCN(C(=O)[C@]2([C@H]3CCCCO3)CCCO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136950882 1074065341 /nfs/dbraw/zinc/06/53/41/1074065341.db2.gz SHJPFPZTJCHMCH-MBOZVWFJSA-N 0 0 443.566 -0.448 20 0 IBADRN O=C(CN1CCN(C(=O)[C@]2([C@H]3CCCCO3)CCCO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136950883 1074065232 /nfs/dbraw/zinc/06/52/32/1074065232.db2.gz SHJPFPZTJCHMCH-SQGPQFPESA-N 0 0 443.566 -0.448 20 0 IBADRN Cn1nc(-c2ccncc2)cc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001136950992 1074065349 /nfs/dbraw/zinc/06/53/49/1074065349.db2.gz WPGQNPNJPNXCCI-INIZCTEOSA-N 0 0 446.533 -0.457 20 0 IBADRN Cn1nc(-c2ccncc2)cc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001136950993 1074065295 /nfs/dbraw/zinc/06/52/95/1074065295.db2.gz WPGQNPNJPNXCCI-MRXNPFEDSA-N 0 0 446.533 -0.457 20 0 IBADRN O=C(CN1CCN(C(=O)/C=C\COC2CCOCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136951053 1074065176 /nfs/dbraw/zinc/06/51/76/1074065176.db2.gz YICZJYWTSLXRCA-AIMAZDRCSA-N 0 0 429.539 -0.814 20 0 IBADRN O=C(CN1CCN(C(=O)/C=C/COC2CCOCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001136951054 1074065330 /nfs/dbraw/zinc/06/53/30/1074065330.db2.gz YICZJYWTSLXRCA-PYKQWIPUSA-N 0 0 429.539 -0.814 20 0 IBADRN O=C(CN1CCN(C(=O)/C=C\COC2CCOCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136951055 1074065118 /nfs/dbraw/zinc/06/51/18/1074065118.db2.gz YICZJYWTSLXRCA-STOZHHMFSA-N 0 0 429.539 -0.814 20 0 IBADRN O=C(CN1CCN(C(=O)/C=C/COC2CCOCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001136951056 1074065280 /nfs/dbraw/zinc/06/52/80/1074065280.db2.gz YICZJYWTSLXRCA-XGBNDESESA-N 0 0 429.539 -0.814 20 0 IBADRN C[C@@H](CNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)CN1CCOCC1 ZINC001136960605 1074065309 /nfs/dbraw/zinc/06/53/09/1074065309.db2.gz AOJCSRMVDHQAJC-AWEZNQCLSA-N 0 0 433.552 -0.547 20 0 IBADRN C[C@H](CNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)CN1CCOCC1 ZINC001136960606 1074065161 /nfs/dbraw/zinc/06/51/61/1074065161.db2.gz AOJCSRMVDHQAJC-CQSZACIVSA-N 0 0 433.552 -0.547 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CN3CCOC3=O)C2)CC1 ZINC001136995691 1074065734 /nfs/dbraw/zinc/06/57/34/1074065734.db2.gz AWOTTZSJKSODNQ-UHFFFAOYSA-N 0 0 432.499 -0.468 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CCc3cn[nH]n3)C2)CC1 ZINC001136995806 1074065630 /nfs/dbraw/zinc/06/56/30/1074065630.db2.gz GPFIKXVCSCLRCU-UHFFFAOYSA-N 0 0 428.515 -0.169 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C3=CCS(=O)(=O)C3)C2)CC1 ZINC001136995817 1074065740 /nfs/dbraw/zinc/06/57/40/1074065740.db2.gz HAIFAQURZBIGFM-UHFFFAOYSA-N 0 0 449.551 -0.566 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CC[C@@H](C(N)=O)O3)C2)CC1 ZINC001136996094 1074065692 /nfs/dbraw/zinc/06/56/92/1074065692.db2.gz QJKRDPUFOORFJE-UONOGXRCSA-N 0 0 446.526 -0.887 20 0 IBADRN O=C(Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1)[C@@H]1CC(=O)NC(=O)N1 ZINC001137032324 1074067695 /nfs/dbraw/zinc/06/76/95/1074067695.db2.gz KNNGZXIMPDWJQC-LBPRGKRZSA-N 0 0 431.421 -0.102 20 0 IBADRN COC(=O)CN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)C1CCOCC1 ZINC001137035551 1074068143 /nfs/dbraw/zinc/06/81/43/1074068143.db2.gz XRRHZURMPOVGKE-UHFFFAOYSA-N 0 0 448.519 -0.451 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC001137048514 1074409896 /nfs/dbraw/zinc/40/98/96/1074409896.db2.gz VSZSSTFSANTHKM-KRWDZBQOSA-N 0 0 427.546 -0.068 20 0 IBADRN CNC(=O)CN1CCN(C(=O)CCNC(=O)[C@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC001137048515 1074410027 /nfs/dbraw/zinc/41/00/27/1074410027.db2.gz VSZSSTFSANTHKM-QGZVFWFLSA-N 0 0 427.546 -0.068 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CCO1 ZINC001137057196 1074465747 /nfs/dbraw/zinc/46/57/47/1074465747.db2.gz SOTHNMWABMHQOW-CYBMUJFWSA-N 0 0 433.504 -0.091 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CCO1 ZINC001137057197 1074465711 /nfs/dbraw/zinc/46/57/11/1074465711.db2.gz SOTHNMWABMHQOW-ZDUSSCGKSA-N 0 0 433.504 -0.091 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)C1 ZINC001137061797 1074465674 /nfs/dbraw/zinc/46/56/74/1074465674.db2.gz MAWCQZZWUVQAAJ-FTLABTOESA-N 0 0 442.494 -0.969 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)C1 ZINC001137061798 1074465723 /nfs/dbraw/zinc/46/57/23/1074465723.db2.gz MAWCQZZWUVQAAJ-SEDUGSJDSA-N 0 0 442.494 -0.969 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](NS(=O)(=O)c1cccs1)[C@H](C)O ZINC001137061991 1074465773 /nfs/dbraw/zinc/46/57/73/1074465773.db2.gz RDEIORCEGIWFPV-GMXVVIOVSA-N 0 0 425.554 -0.684 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H](NS(=O)(=O)c1cccs1)[C@H](C)O ZINC001137061992 1074466344 /nfs/dbraw/zinc/46/63/44/1074466344.db2.gz RDEIORCEGIWFPV-GVXVVHGQSA-N 0 0 425.554 -0.684 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H](NS(=O)(=O)c1cccs1)[C@@H](C)O ZINC001137061993 1074466444 /nfs/dbraw/zinc/46/64/44/1074466444.db2.gz RDEIORCEGIWFPV-MDZLAQPJSA-N 0 0 425.554 -0.684 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](NS(=O)(=O)c1cccs1)[C@@H](C)O ZINC001137061994 1074466426 /nfs/dbraw/zinc/46/64/26/1074466426.db2.gz RDEIORCEGIWFPV-NTZNESFSSA-N 0 0 425.554 -0.684 20 0 IBADRN C[S@](=O)C1(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001137062044 1074466410 /nfs/dbraw/zinc/46/64/10/1074466410.db2.gz SHBDMRMVODRSST-AOAWJKDVSA-N 0 0 428.511 -0.103 20 0 IBADRN C[S@@](=O)C1(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001137062045 1074466408 /nfs/dbraw/zinc/46/64/08/1074466408.db2.gz SHBDMRMVODRSST-WUTCKBNWSA-N 0 0 428.511 -0.103 20 0 IBADRN C[S@@](=O)C1(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001137062046 1074466336 /nfs/dbraw/zinc/46/63/36/1074466336.db2.gz SHBDMRMVODRSST-XETJZTBLSA-N 0 0 428.511 -0.103 20 0 IBADRN C[S@](=O)C1(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001137062047 1074466450 /nfs/dbraw/zinc/46/64/50/1074466450.db2.gz SHBDMRMVODRSST-ZNNHIBJESA-N 0 0 428.511 -0.103 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)c1ccccc1S(=O)(=O)CCS(C)(=O)=O)CC2 ZINC001137074076 1074467077 /nfs/dbraw/zinc/46/70/77/1074467077.db2.gz YENQFGFLPLACOK-UHFFFAOYSA-N 0 0 439.515 -0.203 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC001137101460 1074468223 /nfs/dbraw/zinc/46/82/23/1074468223.db2.gz LRVLAWGTUSNKML-KBPBESRZSA-N 0 0 448.542 -0.087 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC001137101461 1074468220 /nfs/dbraw/zinc/46/82/20/1074468220.db2.gz LRVLAWGTUSNKML-KGLIPLIRSA-N 0 0 448.542 -0.087 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC001137101462 1074468216 /nfs/dbraw/zinc/46/82/16/1074468216.db2.gz LRVLAWGTUSNKML-UONOGXRCSA-N 0 0 448.542 -0.087 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC001137101463 1074468178 /nfs/dbraw/zinc/46/81/78/1074468178.db2.gz LRVLAWGTUSNKML-ZIAGYGMSSA-N 0 0 448.542 -0.087 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC001137110242 1074468165 /nfs/dbraw/zinc/46/81/65/1074468165.db2.gz LGGCVEBKDNGXEU-HZSPNIEDSA-N 0 0 428.515 -0.627 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)[C@H]3CCCN(S(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC001137110243 1074468148 /nfs/dbraw/zinc/46/81/48/1074468148.db2.gz LGGCVEBKDNGXEU-MCIONIFRSA-N 0 0 428.515 -0.627 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC001137110245 1074468110 /nfs/dbraw/zinc/46/81/10/1074468110.db2.gz LGGCVEBKDNGXEU-MGPQQGTHSA-N 0 0 428.515 -0.627 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)[C@H]3CCCN(S(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC001137110247 1074468487 /nfs/dbraw/zinc/46/84/87/1074468487.db2.gz LGGCVEBKDNGXEU-MJBXVCDLSA-N 0 0 428.515 -0.627 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC001137130634 1074469628 /nfs/dbraw/zinc/46/96/28/1074469628.db2.gz OAVXQXXDPNORCI-UHFFFAOYSA-N 0 0 448.567 -0.554 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC001137130661 1074469412 /nfs/dbraw/zinc/46/94/12/1074469412.db2.gz PBNAWVPRYFPKLP-HNNXBMFYSA-N 0 0 425.573 -0.443 20 0 IBADRN COC(=O)c1ccc(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)cn1 ZINC001137141347 1074470131 /nfs/dbraw/zinc/47/01/31/1074470131.db2.gz QCIYLFCYHWVNKE-UHFFFAOYSA-N 0 0 432.458 -0.081 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@H]1CCS(=O)(=O)N1 ZINC001137153848 1074470738 /nfs/dbraw/zinc/47/07/38/1074470738.db2.gz MZGGYODPFGDODO-CYBMUJFWSA-N 0 0 433.508 -0.264 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@@H]1CCS(=O)(=O)N1 ZINC001137153849 1074471199 /nfs/dbraw/zinc/47/11/99/1074471199.db2.gz MZGGYODPFGDODO-ZDUSSCGKSA-N 0 0 433.508 -0.264 20 0 IBADRN CC[C@H](NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCOCC1 ZINC001137164673 1074471276 /nfs/dbraw/zinc/47/12/76/1074471276.db2.gz GMAPQBPDLFLJDM-HNNXBMFYSA-N 0 0 441.506 -0.120 20 0 IBADRN CC[C@@H](NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N1CCOCC1 ZINC001137164674 1074471386 /nfs/dbraw/zinc/47/13/86/1074471386.db2.gz GMAPQBPDLFLJDM-OAHLLOKOSA-N 0 0 441.506 -0.120 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CNS(=O)(=O)N(C)C)CC2)c(OC)c1OC ZINC001137172659 1074472005 /nfs/dbraw/zinc/47/20/05/1074472005.db2.gz QLBCJUDANWUKBT-UHFFFAOYSA-N 0 0 444.510 -0.607 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N(CCN(C)C)[C@H]3CCS(=O)(=O)C3)c2C1 ZINC001137189642 1074473617 /nfs/dbraw/zinc/47/36/17/1074473617.db2.gz ZQFFCYGJXJPFMY-CVEARBPZSA-N 0 0 425.555 -0.228 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N(CCN(C)C)[C@H]3CCS(=O)(=O)C3)c2C1 ZINC001137189643 1074473587 /nfs/dbraw/zinc/47/35/87/1074473587.db2.gz ZQFFCYGJXJPFMY-HOTGVXAUSA-N 0 0 425.555 -0.228 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N(CCN(C)C)[C@@H]3CCS(=O)(=O)C3)c2C1 ZINC001137189644 1074473634 /nfs/dbraw/zinc/47/36/34/1074473634.db2.gz ZQFFCYGJXJPFMY-HZPDHXFCSA-N 0 0 425.555 -0.228 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N(CCN(C)C)[C@@H]3CCS(=O)(=O)C3)c2C1 ZINC001137189645 1074473623 /nfs/dbraw/zinc/47/36/23/1074473623.db2.gz ZQFFCYGJXJPFMY-JKSUJKDBSA-N 0 0 425.555 -0.228 20 0 IBADRN CN(C)CCCN(C(=O)c1c2c(nn1C)CCS(=O)(=O)C2)[C@@H]1CCS(=O)(=O)C1 ZINC001137204406 1074477074 /nfs/dbraw/zinc/47/70/74/1074477074.db2.gz FFTWKXXTIWGZJE-CYBMUJFWSA-N 0 0 432.568 -0.528 20 0 IBADRN CN(C)CCCN(C(=O)c1c2c(nn1C)CCS(=O)(=O)C2)[C@H]1CCS(=O)(=O)C1 ZINC001137204407 1074477057 /nfs/dbraw/zinc/47/70/57/1074477057.db2.gz FFTWKXXTIWGZJE-ZDUSSCGKSA-N 0 0 432.568 -0.528 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC001137220599 1074477600 /nfs/dbraw/zinc/47/76/00/1074477600.db2.gz RBYCDBBEDRONNM-RDJZCZTQSA-N 0 0 430.546 -0.197 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC001137220600 1074477630 /nfs/dbraw/zinc/47/76/30/1074477630.db2.gz RBYCDBBEDRONNM-WBVHZDCISA-N 0 0 430.546 -0.197 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1cn(C)nc1CN1C(=O)c2ccccc2C1=O ZINC001137220675 1074477661 /nfs/dbraw/zinc/47/76/61/1074477661.db2.gz SMAIORXMBQWQEW-HNNXBMFYSA-N 0 0 441.488 -0.019 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1cn(C)nc1CN1C(=O)c2ccccc2C1=O ZINC001137220676 1074478033 /nfs/dbraw/zinc/47/80/33/1074478033.db2.gz SMAIORXMBQWQEW-OAHLLOKOSA-N 0 0 441.488 -0.019 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccnc(N2CCOCC2)n1 ZINC001137273330 1072730512 /nfs/dbraw/zinc/73/05/12/1072730512.db2.gz UEBJZGVSXSONTH-KRWDZBQOSA-N 0 0 426.477 -0.354 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccnc(N2CCOCC2)n1 ZINC001137273331 1072730616 /nfs/dbraw/zinc/73/06/16/1072730616.db2.gz UEBJZGVSXSONTH-QGZVFWFLSA-N 0 0 426.477 -0.354 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N1CCN(CC(=O)Nc2ccc(Br)cc2)CC1 ZINC001137286405 1072731297 /nfs/dbraw/zinc/73/12/97/1072731297.db2.gz FWKZXHSPFGWCKA-CYBMUJFWSA-N 0 0 428.287 -0.439 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N1CCN(CC(=O)Nc2ccc(Br)cc2)CC1 ZINC001137286406 1072731301 /nfs/dbraw/zinc/73/13/01/1072731301.db2.gz FWKZXHSPFGWCKA-ZDUSSCGKSA-N 0 0 428.287 -0.439 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)c1cn(C[C@H]2CNC(=O)O2)nn1 ZINC001137314885 1072736619 /nfs/dbraw/zinc/73/66/19/1072736619.db2.gz RZZOTQLVULPKNJ-SECBINFHSA-N 0 0 426.377 -0.570 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)c1cn(C[C@@H]2CNC(=O)O2)nn1 ZINC001137314889 1072736605 /nfs/dbraw/zinc/73/66/05/1072736605.db2.gz RZZOTQLVULPKNJ-VIFPVBQESA-N 0 0 426.377 -0.570 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3=CN(C)S(=O)(=O)N=C3C)CC2)cn1C ZINC001137330336 1072739963 /nfs/dbraw/zinc/73/99/63/1072739963.db2.gz KMEYWXFVLARDPU-UHFFFAOYSA-N 0 0 430.512 -0.904 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccsc3S(N)(=O)=O)CC2)cn1C ZINC001137330520 1072740047 /nfs/dbraw/zinc/74/00/47/1072740047.db2.gz RMJWQFPZEBYBRK-UHFFFAOYSA-N 0 0 433.537 -0.416 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3(O)CN(C(=O)OC(C)(C)C)C3)CC2)cn1C ZINC001137330594 1072740132 /nfs/dbraw/zinc/74/01/32/1072740132.db2.gz VEYKPIHEQXPVJS-UHFFFAOYSA-N 0 0 443.526 -0.457 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC001137338041 1072740669 /nfs/dbraw/zinc/74/06/69/1072740669.db2.gz WRPXEQCRVKDZPQ-CABCVRRESA-N 0 0 431.511 -0.235 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC001137338042 1072740696 /nfs/dbraw/zinc/74/06/96/1072740696.db2.gz WRPXEQCRVKDZPQ-GJZGRUSLSA-N 0 0 431.511 -0.235 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC001137338043 1072740643 /nfs/dbraw/zinc/74/06/43/1072740643.db2.gz WRPXEQCRVKDZPQ-HUUCEWRRSA-N 0 0 431.511 -0.235 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC001137338044 1072740621 /nfs/dbraw/zinc/74/06/21/1072740621.db2.gz WRPXEQCRVKDZPQ-LSDHHAIUSA-N 0 0 431.511 -0.235 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)CN2CCNC(=O)C2)CC1 ZINC001137338148 1072740634 /nfs/dbraw/zinc/74/06/34/1072740634.db2.gz ZNZPBIQGRCPSSC-UHFFFAOYSA-N 0 0 430.914 -0.387 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCN(CC(N)=O)CC2)cc1 ZINC001137350308 1072747091 /nfs/dbraw/zinc/74/70/91/1072747091.db2.gz JJKZRHVGTWDNIB-AEFFLSMTSA-N 0 0 438.550 -0.437 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)N2CCN(CC(N)=O)CC2)cc1 ZINC001137350309 1072747739 /nfs/dbraw/zinc/74/77/39/1072747739.db2.gz JJKZRHVGTWDNIB-FUHWJXTLSA-N 0 0 438.550 -0.437 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CCN(CC(N)=O)CC2)cc1 ZINC001137350310 1072747587 /nfs/dbraw/zinc/74/75/87/1072747587.db2.gz JJKZRHVGTWDNIB-SJLPKXTDSA-N 0 0 438.550 -0.437 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CCN(CC(N)=O)CC2)cc1 ZINC001137350311 1072747454 /nfs/dbraw/zinc/74/74/54/1072747454.db2.gz JJKZRHVGTWDNIB-WMZOPIPTSA-N 0 0 438.550 -0.437 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001137418609 1072779126 /nfs/dbraw/zinc/77/91/26/1072779126.db2.gz JDSNDNCMVYGLLU-UHFFFAOYSA-N 0 0 448.563 -0.494 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)CC1 ZINC001137418719 1072779057 /nfs/dbraw/zinc/77/90/57/1072779057.db2.gz MTDAWLPWZNKXNB-KRWDZBQOSA-N 0 0 445.513 -0.644 20 0 IBADRN CCS(=O)(=O)c1c[nH]c(=O)c(C(=O)N2CCN(S(=O)(=O)CCCOC)CC2)c1 ZINC001137418803 1072779003 /nfs/dbraw/zinc/77/90/03/1072779003.db2.gz PLHDYRCFHNRBSU-UHFFFAOYSA-N 0 0 435.524 -0.295 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)CC2=O)cn1 ZINC001137427059 1072780831 /nfs/dbraw/zinc/78/08/31/1072780831.db2.gz OABHIMCOPBXFTR-AWEZNQCLSA-N 0 0 439.538 -0.045 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)CC2=O)cn1 ZINC001137427060 1072780713 /nfs/dbraw/zinc/78/07/13/1072780713.db2.gz OABHIMCOPBXFTR-CQSZACIVSA-N 0 0 439.538 -0.045 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@H](O)CN3CCCC3=O)CC2)cn1C ZINC001137456632 1072788246 /nfs/dbraw/zinc/78/82/46/1072788246.db2.gz VZYMKJGINXTXQH-HNNXBMFYSA-N 0 0 427.527 -0.771 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@@H](O)CN3CCCC3=O)CC2)cn1C ZINC001137456633 1072788069 /nfs/dbraw/zinc/78/80/69/1072788069.db2.gz VZYMKJGINXTXQH-OAHLLOKOSA-N 0 0 427.527 -0.771 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(C(=O)NCCCO)CC1 ZINC001137463273 1072790833 /nfs/dbraw/zinc/79/08/33/1072790833.db2.gz CLRCQOYGGJOLBV-UHFFFAOYSA-N 0 0 442.538 -0.603 20 0 IBADRN O=C(NCCCO)C1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001137463695 1072791082 /nfs/dbraw/zinc/79/10/82/1072791082.db2.gz WJEAMRLYRQUWAT-INIZCTEOSA-N 0 0 447.941 -0.284 20 0 IBADRN O=C(NCCCO)C1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001137463696 1072790939 /nfs/dbraw/zinc/79/09/39/1072790939.db2.gz WJEAMRLYRQUWAT-MRXNPFEDSA-N 0 0 447.941 -0.284 20 0 IBADRN CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)C1CCN(S(C)(=O)=O)CC1 ZINC001137484882 1072793491 /nfs/dbraw/zinc/79/34/91/1072793491.db2.gz WVALMECHYJBOTE-INIZCTEOSA-N 0 0 442.513 -0.389 20 0 IBADRN CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)C1CCN(S(C)(=O)=O)CC1 ZINC001137484883 1072793364 /nfs/dbraw/zinc/79/33/64/1072793364.db2.gz WVALMECHYJBOTE-MRXNPFEDSA-N 0 0 442.513 -0.389 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)Nc2ccc(CC(=O)NCCO)cc2)[C@H]1c1nccn1C ZINC001137494840 1072801395 /nfs/dbraw/zinc/80/13/95/1072801395.db2.gz YGCCXAHDHPMZQV-OALUTQOASA-N 0 0 429.477 -0.002 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@H]3CCC[C@@H]3NS(C)(=O)=O)CC2=O)cn1 ZINC001137537429 1073063986 /nfs/dbraw/zinc/06/39/86/1073063986.db2.gz NTGXJSCXAQYVLA-FMKPAKJESA-N 0 0 427.527 -0.284 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@H]3CCC[C@H]3NS(C)(=O)=O)CC2=O)cn1 ZINC001137537430 1073063883 /nfs/dbraw/zinc/06/38/83/1073063883.db2.gz NTGXJSCXAQYVLA-IIAWOOMASA-N 0 0 427.527 -0.284 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@@H]3CCC[C@@H]3NS(C)(=O)=O)CC2=O)cn1 ZINC001137537431 1073063998 /nfs/dbraw/zinc/06/39/98/1073063998.db2.gz NTGXJSCXAQYVLA-LZWOXQAQSA-N 0 0 427.527 -0.284 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@@H]3CCC[C@H]3NS(C)(=O)=O)CC2=O)cn1 ZINC001137537432 1073063868 /nfs/dbraw/zinc/06/38/68/1073063868.db2.gz NTGXJSCXAQYVLA-SQWLQELKSA-N 0 0 427.527 -0.284 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)C[C@@H]2CCN(C(C)=O)C2)s1 ZINC001137552806 1073064039 /nfs/dbraw/zinc/06/40/39/1073064039.db2.gz FGISJOVUSMWYSW-AWEZNQCLSA-N 0 0 430.552 -0.011 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C)C[C@H]2CCN(C(C)=O)C2)s1 ZINC001137552807 1073064131 /nfs/dbraw/zinc/06/41/31/1073064131.db2.gz FGISJOVUSMWYSW-CQSZACIVSA-N 0 0 430.552 -0.011 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC001137554501 1073063834 /nfs/dbraw/zinc/06/38/34/1073063834.db2.gz HPFDSCKVUGMGRH-DMDPSCGWSA-N 0 0 425.554 -0.827 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001137554502 1073064009 /nfs/dbraw/zinc/06/40/09/1073064009.db2.gz HPFDSCKVUGMGRH-GMXVVIOVSA-N 0 0 425.554 -0.827 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001137554503 1073063932 /nfs/dbraw/zinc/06/39/32/1073063932.db2.gz HPFDSCKVUGMGRH-GVXVVHGQSA-N 0 0 425.554 -0.827 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC001137554504 1073970661 /nfs/dbraw/zinc/97/06/61/1073970661.db2.gz HPFDSCKVUGMGRH-LOWVWBTDSA-N 0 0 425.554 -0.827 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCc1ccccc1CS(=O)(=O)N1CCCC1 ZINC001137570290 1073064066 /nfs/dbraw/zinc/06/40/66/1073064066.db2.gz SPLKBHOKUGJJFQ-UHFFFAOYSA-N 0 0 432.568 -0.033 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)C1 ZINC001137584705 1073064549 /nfs/dbraw/zinc/06/45/49/1073064549.db2.gz CUHFNEABMFHDDT-GFCCVEGCSA-N 0 0 437.565 -0.213 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)C1 ZINC001137584706 1073064460 /nfs/dbraw/zinc/06/44/60/1073064460.db2.gz CUHFNEABMFHDDT-LBPRGKRZSA-N 0 0 437.565 -0.213 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001137586360 1073064558 /nfs/dbraw/zinc/06/45/58/1073064558.db2.gz JMZAQMIQPAECPL-UHFFFAOYSA-N 0 0 426.491 -0.028 20 0 IBADRN COCCN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CC1=O ZINC001137611498 1073064408 /nfs/dbraw/zinc/06/44/08/1073064408.db2.gz YWNCJHOXHFXHIW-UHFFFAOYSA-N 0 0 431.536 -0.176 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@H](C)S(C)(=O)=O ZINC001137634889 1073064583 /nfs/dbraw/zinc/06/45/83/1073064583.db2.gz PXFYENKELLWTAF-GXTWGEPZSA-N 0 0 434.536 -0.222 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@H](C)S(C)(=O)=O ZINC001137634890 1073064440 /nfs/dbraw/zinc/06/44/40/1073064440.db2.gz PXFYENKELLWTAF-JSGCOSHPSA-N 0 0 434.536 -0.222 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC001137634891 1073064451 /nfs/dbraw/zinc/06/44/51/1073064451.db2.gz PXFYENKELLWTAF-OCCSQVGLSA-N 0 0 434.536 -0.222 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC001137634892 1073064527 /nfs/dbraw/zinc/06/45/27/1073064527.db2.gz PXFYENKELLWTAF-TZMCWYRMSA-N 0 0 434.536 -0.222 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC001137686769 1073064469 /nfs/dbraw/zinc/06/44/69/1073064469.db2.gz KVQMGBOHYSEDJZ-UHFFFAOYSA-N 0 0 433.421 -0.023 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)Cn2nc(Br)c(Br)n2)C1 ZINC001137705425 1073065121 /nfs/dbraw/zinc/06/51/21/1073065121.db2.gz OXYHIUVZGUJZIQ-LURJTMIESA-N 0 0 431.110 -0.047 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)Cn2nc(Br)c(Br)n2)C1 ZINC001137705426 1073065043 /nfs/dbraw/zinc/06/50/43/1073065043.db2.gz OXYHIUVZGUJZIQ-ZCFIWIBFSA-N 0 0 431.110 -0.047 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001137705673 1073064791 /nfs/dbraw/zinc/06/47/91/1073064791.db2.gz WKZLKHWRAUVHJF-INIZCTEOSA-N 0 0 431.536 -0.404 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001137705674 1073065021 /nfs/dbraw/zinc/06/50/21/1073065021.db2.gz WKZLKHWRAUVHJF-MRXNPFEDSA-N 0 0 431.536 -0.404 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NC1CCN([C@@H]2CCOC2=O)CC1 ZINC001137736795 1073064808 /nfs/dbraw/zinc/06/48/08/1073064808.db2.gz CQNDDZUDUUXVTQ-GOSISDBHSA-N 0 0 426.473 -0.046 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NC1CCN([C@H]2CCOC2=O)CC1 ZINC001137736796 1073065049 /nfs/dbraw/zinc/06/50/49/1073065049.db2.gz CQNDDZUDUUXVTQ-SFHVURJKSA-N 0 0 426.473 -0.046 20 0 IBADRN O=C(NC1CCN([C@H]2CCOC2=O)CC1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001137738824 1073065028 /nfs/dbraw/zinc/06/50/28/1073065028.db2.gz HSODRWKCYDGUCH-AWEZNQCLSA-N 0 0 427.479 -0.190 20 0 IBADRN O=C(NC1CCN([C@@H]2CCOC2=O)CC1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001137738825 1073064940 /nfs/dbraw/zinc/06/49/40/1073064940.db2.gz HSODRWKCYDGUCH-CQSZACIVSA-N 0 0 427.479 -0.190 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC2CCN([C@H]3CCOC3=O)CC2)cc1 ZINC001137739502 1073065098 /nfs/dbraw/zinc/06/50/98/1073065098.db2.gz WCRJEGIYIVCPAT-KRWDZBQOSA-N 0 0 425.507 -0.191 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC2CCN([C@@H]3CCOC3=O)CC2)cc1 ZINC001137739503 1073065002 /nfs/dbraw/zinc/06/50/02/1073065002.db2.gz WCRJEGIYIVCPAT-QGZVFWFLSA-N 0 0 425.507 -0.191 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)[C@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001137744801 1073065111 /nfs/dbraw/zinc/06/51/11/1073065111.db2.gz POAJQBDMJGNKSV-IBGZPJMESA-N 0 0 425.507 -0.018 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)[C@@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001137744802 1073065063 /nfs/dbraw/zinc/06/50/63/1073065063.db2.gz POAJQBDMJGNKSV-LJQANCHMSA-N 0 0 425.507 -0.018 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1)c1cccc(F)n1 ZINC001137762733 1073064962 /nfs/dbraw/zinc/06/49/62/1073064962.db2.gz OHMPANNIVAEPSQ-INIZCTEOSA-N 0 0 448.476 -0.085 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCCC(=O)NCc1ccccn1 ZINC001137808788 1073065346 /nfs/dbraw/zinc/06/53/46/1073065346.db2.gz GWJIJXAPHOYPCU-BLLLJJGKSA-N 0 0 426.520 -0.007 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCCC(=O)NCc1ccccn1 ZINC001137808789 1073065455 /nfs/dbraw/zinc/06/54/55/1073065455.db2.gz GWJIJXAPHOYPCU-LRDDRELGSA-N 0 0 426.520 -0.007 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCCC(=O)NCc1ccccn1 ZINC001137808790 1073065461 /nfs/dbraw/zinc/06/54/61/1073065461.db2.gz GWJIJXAPHOYPCU-MLGOLLRUSA-N 0 0 426.520 -0.007 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCCC(=O)NCc1ccccn1 ZINC001137808791 1073065337 /nfs/dbraw/zinc/06/53/37/1073065337.db2.gz GWJIJXAPHOYPCU-WBMJQRKESA-N 0 0 426.520 -0.007 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@@H]2CCCN2C=O)cc1)S(C)(=O)=O ZINC001137858513 1073065359 /nfs/dbraw/zinc/06/53/59/1073065359.db2.gz MCFNAAUXZJOXLF-HNNXBMFYSA-N 0 0 433.508 -0.472 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@H]2CCCN2C=O)cc1)S(C)(=O)=O ZINC001137858514 1073065416 /nfs/dbraw/zinc/06/54/16/1073065416.db2.gz MCFNAAUXZJOXLF-OAHLLOKOSA-N 0 0 433.508 -0.472 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001137871593 1073065400 /nfs/dbraw/zinc/06/54/00/1073065400.db2.gz GGZHCBUNTFUBDI-UHFFFAOYSA-N 0 0 443.547 -0.719 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1)c1ccc(F)cc1 ZINC001137871701 1073065433 /nfs/dbraw/zinc/06/54/33/1073065433.db2.gz KZDKOJBRUGEKQS-KRWDZBQOSA-N 0 0 427.498 -0.362 20 0 IBADRN O=C(N[C@H](CO)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1)OCc1ccccc1 ZINC001137871980 1073065392 /nfs/dbraw/zinc/06/53/92/1073065392.db2.gz XQGVQTYGXHJKGJ-GOSISDBHSA-N 0 0 439.534 -0.005 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1)OCc1ccccc1 ZINC001137871981 1073065486 /nfs/dbraw/zinc/06/54/86/1073065486.db2.gz XQGVQTYGXHJKGJ-SFHVURJKSA-N 0 0 439.534 -0.005 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)[C@H]1c1cccnc1 ZINC001137873130 1073065439 /nfs/dbraw/zinc/06/54/39/1073065439.db2.gz RQCNOMUMABWHTM-MOPGFXCFSA-N 0 0 436.534 -0.299 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)C[C@H]2C(=O)OC)cc1 ZINC001137874628 1073065406 /nfs/dbraw/zinc/06/54/06/1073065406.db2.gz QSIZBZXMKWMKPB-WBVHZDCISA-N 0 0 444.506 -0.282 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)[C@H]1c1ccnn1C ZINC001137884749 1073065368 /nfs/dbraw/zinc/06/53/68/1073065368.db2.gz JZSGKXYEQLXLLJ-VHSSKADRSA-N 0 0 437.497 -0.471 20 0 IBADRN O=C(C[C@H]1CCCS1(=O)=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001137922089 1073065450 /nfs/dbraw/zinc/06/54/50/1073065450.db2.gz HHFSDXUKRKJLBK-CYBMUJFWSA-N 0 0 430.504 -0.086 20 0 IBADRN O=C(C[C@@H]1CCCS1(=O)=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001137922090 1073065305 /nfs/dbraw/zinc/06/53/05/1073065305.db2.gz HHFSDXUKRKJLBK-ZDUSSCGKSA-N 0 0 430.504 -0.086 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C[C@H]1C ZINC001137922295 1073065381 /nfs/dbraw/zinc/06/53/81/1073065381.db2.gz QCKQBGCYWAPWDZ-SSKCKEOISA-N 0 0 440.474 -0.087 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H](CO)c1cnn(C)c1 ZINC001137942952 1073065495 /nfs/dbraw/zinc/06/54/95/1073065495.db2.gz JVRFWOIINVLESH-CVEARBPZSA-N 0 0 438.506 -0.188 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H](CO)c1cnn(C)c1 ZINC001137942953 1073065837 /nfs/dbraw/zinc/06/58/37/1073065837.db2.gz JVRFWOIINVLESH-HOTGVXAUSA-N 0 0 438.506 -0.188 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@H](CO)c1cnn(C)c1 ZINC001137942954 1073065890 /nfs/dbraw/zinc/06/58/90/1073065890.db2.gz JVRFWOIINVLESH-HZPDHXFCSA-N 0 0 438.506 -0.188 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@H](CO)c1cnn(C)c1 ZINC001137942955 1073065797 /nfs/dbraw/zinc/06/57/97/1073065797.db2.gz JVRFWOIINVLESH-JKSUJKDBSA-N 0 0 438.506 -0.188 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001137954910 1073065831 /nfs/dbraw/zinc/06/58/31/1073065831.db2.gz YKKPZQCBLFLLME-HNNXBMFYSA-N 0 0 428.486 -0.270 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001137954911 1073065783 /nfs/dbraw/zinc/06/57/83/1073065783.db2.gz YKKPZQCBLFLLME-OAHLLOKOSA-N 0 0 428.486 -0.270 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC001138099576 1073066089 /nfs/dbraw/zinc/06/60/89/1073066089.db2.gz ADQLBTYTJJOFKQ-CYBMUJFWSA-N 0 0 431.190 -0.079 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC001138099577 1073066135 /nfs/dbraw/zinc/06/61/35/1073066135.db2.gz ADQLBTYTJJOFKQ-ZDUSSCGKSA-N 0 0 431.190 -0.079 20 0 IBADRN O=C(CN1CC[C@@H](c2ccccc2)NC1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001138143503 1073066129 /nfs/dbraw/zinc/06/61/29/1073066129.db2.gz OEIAPSASMBBULQ-HNNXBMFYSA-N 0 0 433.494 -0.206 20 0 IBADRN O=C(CN1CC[C@H](c2ccccc2)NC1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001138143504 1073066187 /nfs/dbraw/zinc/06/61/87/1073066187.db2.gz OEIAPSASMBBULQ-OAHLLOKOSA-N 0 0 433.494 -0.206 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)C1(n2cc(Br)cn2)CC1 ZINC001138144385 1073066204 /nfs/dbraw/zinc/06/62/04/1073066204.db2.gz AFONIUUJSZZUBO-UHFFFAOYSA-N 0 0 430.288 -0.214 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CCO1 ZINC001138144667 1073066233 /nfs/dbraw/zinc/06/62/33/1073066233.db2.gz GDZLHQTUXISKDJ-FHSNZYRGSA-N 0 0 431.511 -0.759 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CCO1 ZINC001138144671 1073066103 /nfs/dbraw/zinc/06/61/03/1073066103.db2.gz GDZLHQTUXISKDJ-SNUQEOBHSA-N 0 0 431.511 -0.759 20 0 IBADRN O=C(Cn1ccc(Br)cc1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001138144791 1073066120 /nfs/dbraw/zinc/06/61/20/1073066120.db2.gz HEWNBVLNRFNTEB-UHFFFAOYSA-N 0 0 431.272 -0.738 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2sccc2S(=O)(=O)N2CCC(CO)CC2)CCO1 ZINC001138144836 1073066074 /nfs/dbraw/zinc/06/60/74/1073066074.db2.gz HWRYTYSAQLNRLQ-CYBMUJFWSA-N 0 0 431.536 -0.272 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2sccc2S(=O)(=O)N2CCC(CO)CC2)CCO1 ZINC001138144837 1073066242 /nfs/dbraw/zinc/06/62/42/1073066242.db2.gz HWRYTYSAQLNRLQ-ZDUSSCGKSA-N 0 0 431.536 -0.272 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2CCNC(=O)C2)cc1 ZINC001138147391 1073066143 /nfs/dbraw/zinc/06/61/43/1073066143.db2.gz NEHQDJSVUYKQOV-AEFFLSMTSA-N 0 0 438.550 -0.518 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)NCCN2CCNC(=O)C2)cc1 ZINC001138147394 1073066176 /nfs/dbraw/zinc/06/61/76/1073066176.db2.gz NEHQDJSVUYKQOV-FUHWJXTLSA-N 0 0 438.550 -0.518 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NCCN2CCNC(=O)C2)cc1 ZINC001138147396 1073066149 /nfs/dbraw/zinc/06/61/49/1073066149.db2.gz NEHQDJSVUYKQOV-SJLPKXTDSA-N 0 0 438.550 -0.518 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2CCNC(=O)C2)cc1 ZINC001138147398 1073066109 /nfs/dbraw/zinc/06/61/09/1073066109.db2.gz NEHQDJSVUYKQOV-WMZOPIPTSA-N 0 0 438.550 -0.518 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(Cc3cnc(N)nc3)CC[C@@H]12 ZINC001138162790 1073066583 /nfs/dbraw/zinc/06/65/83/1073066583.db2.gz QPJIZXIBVJEKRQ-APWZRJJASA-N 0 0 438.554 -0.466 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CCCS(N)(=O)=O)CC3)C2=O)n(C)n1 ZINC001138173987 1073066559 /nfs/dbraw/zinc/06/65/59/1073066559.db2.gz KQZFGWSRZPXNCE-HNNXBMFYSA-N 0 0 426.543 -0.563 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CCCS(N)(=O)=O)CC3)C2=O)n(C)n1 ZINC001138173988 1073066526 /nfs/dbraw/zinc/06/65/26/1073066526.db2.gz KQZFGWSRZPXNCE-OAHLLOKOSA-N 0 0 426.543 -0.563 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)c1ccc(I)cc1-n1cnnn1 ZINC001138192455 1073066497 /nfs/dbraw/zinc/06/64/97/1073066497.db2.gz QQWJNOKJKQNGMZ-JTQLQIEISA-N 0 0 431.190 -0.079 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)c1ccc(I)cc1-n1cnnn1 ZINC001138192456 1073066488 /nfs/dbraw/zinc/06/64/88/1073066488.db2.gz QQWJNOKJKQNGMZ-SNVBAGLBSA-N 0 0 431.190 -0.079 20 0 IBADRN C[C@@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CCS1(=O)=O ZINC001138202223 1073066438 /nfs/dbraw/zinc/06/64/38/1073066438.db2.gz AHUDKQJBSIFVPV-GFCCVEGCSA-N 0 0 438.549 -0.596 20 0 IBADRN C[C@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CCS1(=O)=O ZINC001138202224 1073066548 /nfs/dbraw/zinc/06/65/48/1073066548.db2.gz AHUDKQJBSIFVPV-LBPRGKRZSA-N 0 0 438.549 -0.596 20 0 IBADRN COC[C@]1(C(=O)NCCNS(=O)(=O)NC(C)C)CN(C(=O)OC(C)(C)C)CCO1 ZINC001138204993 1073066622 /nfs/dbraw/zinc/06/66/22/1073066622.db2.gz LVSDXQHJAADPQC-KRWDZBQOSA-N 0 0 438.547 -0.413 20 0 IBADRN COC[C@@]1(C(=O)NCCNS(=O)(=O)NC(C)C)CN(C(=O)OC(C)(C)C)CCO1 ZINC001138204994 1073066670 /nfs/dbraw/zinc/06/66/70/1073066670.db2.gz LVSDXQHJAADPQC-QGZVFWFLSA-N 0 0 438.547 -0.413 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@](O)(C(F)(F)F)C2)c1 ZINC001138210500 1073066432 /nfs/dbraw/zinc/06/64/32/1073066432.db2.gz KVBMCLFZYWHLAT-CYBMUJFWSA-N 0 0 430.426 -0.106 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@@](O)(C(F)(F)F)C2)c1 ZINC001138210501 1073066474 /nfs/dbraw/zinc/06/64/74/1073066474.db2.gz KVBMCLFZYWHLAT-ZDUSSCGKSA-N 0 0 430.426 -0.106 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC001138215924 1073066647 /nfs/dbraw/zinc/06/66/47/1073066647.db2.gz BMTPCYQXSIQSQH-UHFFFAOYSA-N 0 0 427.508 -0.227 20 0 IBADRN CC1CCC(NS(=O)(=O)CC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC001138215957 1073066570 /nfs/dbraw/zinc/06/65/70/1073066570.db2.gz CWTFTYMYDBQEAC-UHFFFAOYSA-N 0 0 433.556 -0.259 20 0 IBADRN CC(C)(C)OC(=O)NC1(CC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)COC1 ZINC001138216189 1073066677 /nfs/dbraw/zinc/06/66/77/1073066677.db2.gz MYFFRWXOKZLLGX-UHFFFAOYSA-N 0 0 429.499 -0.074 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001138216217 1073066656 /nfs/dbraw/zinc/06/66/56/1073066656.db2.gz OKRYSLAPVAEQNY-AWEZNQCLSA-N 0 0 441.535 -0.082 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001138216345 1073066899 /nfs/dbraw/zinc/06/68/99/1073066899.db2.gz UEOXFTUERPOKDJ-NXEZZACHSA-N 0 0 430.430 -0.534 20 0 IBADRN O=C([C@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001138216346 1073066950 /nfs/dbraw/zinc/06/69/50/1073066950.db2.gz UEOXFTUERPOKDJ-UWVGGRQHSA-N 0 0 430.430 -0.534 20 0 IBADRN O=C([C@H]1CS(=O)(=O)C[C@H]1C(F)(F)F)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001138216347 1073066990 /nfs/dbraw/zinc/06/69/90/1073066990.db2.gz UEOXFTUERPOKDJ-VHSXEESVSA-N 0 0 430.430 -0.534 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001138216348 1073067031 /nfs/dbraw/zinc/06/70/31/1073067031.db2.gz UEOXFTUERPOKDJ-ZJUUUORDSA-N 0 0 430.430 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1C ZINC001138216382 1073066983 /nfs/dbraw/zinc/06/69/83/1073066983.db2.gz VJBZJSMOLZSYSE-UHFFFAOYSA-N 0 0 441.535 -0.162 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001138216395 1073067044 /nfs/dbraw/zinc/06/70/44/1073067044.db2.gz VOODYJUJQLQFEW-UHFFFAOYSA-N 0 0 441.535 -0.264 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCn2c(Br)cnc2C1 ZINC001138224467 1073066920 /nfs/dbraw/zinc/06/69/20/1073066920.db2.gz YZKVJPSZTYVBFX-UHFFFAOYSA-N 0 0 436.332 -0.178 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C1(n3cc(Br)cn3)CC1)C2 ZINC001138250080 1073066914 /nfs/dbraw/zinc/06/69/14/1073066914.db2.gz NKLKXCOJXDTDFB-UHFFFAOYSA-N 0 0 438.286 -0.377 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](O)c1cccc(Br)c1)C2 ZINC001138250217 1073067022 /nfs/dbraw/zinc/06/70/22/1073067022.db2.gz SDJJDODSNOWRDX-HNNXBMFYSA-N 0 0 438.282 -0.029 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H](O)c1cccc(Br)c1)C2 ZINC001138250218 1073066930 /nfs/dbraw/zinc/06/69/30/1073066930.db2.gz SDJJDODSNOWRDX-OAHLLOKOSA-N 0 0 438.282 -0.029 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCCN(C)C(=O)c1ccncc1)C2 ZINC001138250225 1073066944 /nfs/dbraw/zinc/06/69/44/1073066944.db2.gz SGFQQZSXVYLUDW-UHFFFAOYSA-N 0 0 429.481 -0.577 20 0 IBADRN CCCN1CCCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1=O ZINC001138257252 1073067435 /nfs/dbraw/zinc/06/74/35/1073067435.db2.gz CBVRKULRJCAVQU-UHFFFAOYSA-N 0 0 431.536 -0.161 20 0 IBADRN COCc1noc(CN2CCN(C(=O)CNS(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC001138284705 1073067392 /nfs/dbraw/zinc/06/73/92/1073067392.db2.gz YHXGKQULPKBOCM-UHFFFAOYSA-N 0 0 427.458 -0.022 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)CCC(=O)NCCNC(C)=O ZINC001138395634 1073067336 /nfs/dbraw/zinc/06/73/36/1073067336.db2.gz QQCUXTFONAXLEO-UHFFFAOYSA-N 0 0 440.522 -0.099 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC[C@@H](n2cncn2)C1 ZINC001138432056 1073067374 /nfs/dbraw/zinc/06/73/74/1073067374.db2.gz MEJFUMLQOVKRSI-GDBMZVCRSA-N 0 0 441.535 -0.167 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC[C@H](n2cncn2)C1 ZINC001138432057 1073067299 /nfs/dbraw/zinc/06/72/99/1073067299.db2.gz MEJFUMLQOVKRSI-GOEBONIOSA-N 0 0 441.535 -0.167 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC[C@H](n2cncn2)C1 ZINC001138432059 1073067311 /nfs/dbraw/zinc/06/73/11/1073067311.db2.gz MEJFUMLQOVKRSI-HOCLYGCPSA-N 0 0 441.535 -0.167 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC[C@@H](n2cncn2)C1 ZINC001138432061 1073067357 /nfs/dbraw/zinc/06/73/57/1073067357.db2.gz MEJFUMLQOVKRSI-ZBFHGGJFSA-N 0 0 441.535 -0.167 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CN1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001138464889 1073067812 /nfs/dbraw/zinc/06/78/12/1073067812.db2.gz BEHYDWBTUSPHKA-LSDHHAIUSA-N 0 0 448.505 -0.281 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001138466047 1073068112 /nfs/dbraw/zinc/06/81/12/1073068112.db2.gz ZLJWLOHICJAAEA-BPLDGKMQSA-N 0 0 426.495 -0.710 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001138466048 1073067844 /nfs/dbraw/zinc/06/78/44/1073067844.db2.gz ZLJWLOHICJAAEA-NWANDNLSSA-N 0 0 426.495 -0.710 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CN1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001138468558 1073067775 /nfs/dbraw/zinc/06/77/75/1073067775.db2.gz UCSYBSNTRROYOZ-UHFFFAOYSA-N 0 0 440.464 -0.178 20 0 IBADRN CC(C)CN1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1=O ZINC001138499958 1073068101 /nfs/dbraw/zinc/06/81/01/1073068101.db2.gz AKOZNXDBBSYHSJ-UHFFFAOYSA-N 0 0 431.536 -0.305 20 0 IBADRN COc1cc(CN2C[C@@H](NC(=O)c3cnccn3)[C@H]3COC[C@H]32)ccc1OCC(N)=O ZINC001138593194 1073067644 /nfs/dbraw/zinc/06/76/44/1073067644.db2.gz NKBFGPYTMPMAGT-DJIMGWMZSA-N 0 0 427.461 -0.022 20 0 IBADRN COc1cc(CN2CCn3c(COCC(=O)N(C)C)nnc3C2)ccc1OCC(N)=O ZINC001138593933 1073068034 /nfs/dbraw/zinc/06/80/34/1073068034.db2.gz FIHFUSHNORGTAR-UHFFFAOYSA-N 0 0 432.481 -0.229 20 0 IBADRN CCN1C[C@@]23CN(Cc4ccc(OCC(N)=O)c(OC)c4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001138594554 1073067952 /nfs/dbraw/zinc/06/79/52/1073067952.db2.gz IUUUPJXPMPMINE-LJHODMEESA-N 0 0 425.507 -0.064 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCCC[C@H]1CNC(=O)CCNC(=O)OC(C)(C)C ZINC001138644045 1073067719 /nfs/dbraw/zinc/06/77/19/1073067719.db2.gz BZZYIYRFLSRJMJ-AWEZNQCLSA-N 0 0 449.574 -0.206 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCCC[C@@H]1CNC(=O)CCNC(=O)OC(C)(C)C ZINC001138644046 1073068004 /nfs/dbraw/zinc/06/80/04/1073068004.db2.gz BZZYIYRFLSRJMJ-CQSZACIVSA-N 0 0 449.574 -0.206 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H](CO)CN2CCOCC2)c1 ZINC001138758627 1073068406 /nfs/dbraw/zinc/06/84/06/1073068406.db2.gz BLUHIWBREHRJCA-LLVKDONJSA-N 0 0 436.328 -0.220 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@H](CO)CN2CCOCC2)c1 ZINC001138758629 1073068321 /nfs/dbraw/zinc/06/83/21/1073068321.db2.gz BLUHIWBREHRJCA-NSHDSACASA-N 0 0 436.328 -0.220 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N[C@@H](CO)CN2CCOCC2)C1 ZINC001138764560 1073068553 /nfs/dbraw/zinc/06/85/53/1073068553.db2.gz JIGFDTLMGDHELM-CABCVRRESA-N 0 0 435.543 -0.780 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N[C@H](CO)CN2CCOCC2)C1 ZINC001138764561 1073068496 /nfs/dbraw/zinc/06/84/96/1073068496.db2.gz JIGFDTLMGDHELM-GJZGRUSLSA-N 0 0 435.543 -0.780 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N[C@@H](CO)CN2CCOCC2)C1 ZINC001138764562 1073068456 /nfs/dbraw/zinc/06/84/56/1073068456.db2.gz JIGFDTLMGDHELM-HUUCEWRRSA-N 0 0 435.543 -0.780 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N[C@H](CO)CN2CCOCC2)C1 ZINC001138764563 1073068430 /nfs/dbraw/zinc/06/84/30/1073068430.db2.gz JIGFDTLMGDHELM-LSDHHAIUSA-N 0 0 435.543 -0.780 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N[C@@H](CO)CN2CCOCC2)C1=O ZINC001138764598 1073068531 /nfs/dbraw/zinc/06/85/31/1073068531.db2.gz KMYDLOQSDLFDNJ-CVEARBPZSA-N 0 0 428.530 -0.441 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N[C@H](CO)CN2CCOCC2)C1=O ZINC001138764599 1073068392 /nfs/dbraw/zinc/06/83/92/1073068392.db2.gz KMYDLOQSDLFDNJ-HOTGVXAUSA-N 0 0 428.530 -0.441 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N[C@@H](CO)CN2CCOCC2)C1=O ZINC001138764600 1073068468 /nfs/dbraw/zinc/06/84/68/1073068468.db2.gz KMYDLOQSDLFDNJ-HZPDHXFCSA-N 0 0 428.530 -0.441 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N[C@H](CO)CN2CCOCC2)C1=O ZINC001138764601 1073068338 /nfs/dbraw/zinc/06/83/38/1073068338.db2.gz KMYDLOQSDLFDNJ-JKSUJKDBSA-N 0 0 428.530 -0.441 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001138835693 1073068378 /nfs/dbraw/zinc/06/83/78/1073068378.db2.gz RBFAQIZSXRYPFX-FRFSOERESA-N 0 0 427.498 -0.143 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001138835702 1073068417 /nfs/dbraw/zinc/06/84/17/1073068417.db2.gz RBFAQIZSXRYPFX-KMFMINBZSA-N 0 0 427.498 -0.143 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001138835704 1073068547 /nfs/dbraw/zinc/06/85/47/1073068547.db2.gz RBFAQIZSXRYPFX-UKPHBRMFSA-N 0 0 427.498 -0.143 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001138835705 1073068520 /nfs/dbraw/zinc/06/85/20/1073068520.db2.gz RBFAQIZSXRYPFX-UNEWFSDZSA-N 0 0 427.498 -0.143 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC001138840415 1073068365 /nfs/dbraw/zinc/06/83/65/1073068365.db2.gz LAERPUGHZBJGMW-UHFFFAOYSA-N 0 0 430.911 -0.388 20 0 IBADRN CCOC(=O)c1n[nH]cc1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001138854368 1073068801 /nfs/dbraw/zinc/06/88/01/1073068801.db2.gz TUJPXFFJQXJVBD-ARFHVFGLSA-N 0 0 440.522 -0.320 20 0 IBADRN CCOC(=O)c1n[nH]cc1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001138854371 1073068930 /nfs/dbraw/zinc/06/89/30/1073068930.db2.gz TUJPXFFJQXJVBD-BZUAXINKSA-N 0 0 440.522 -0.320 20 0 IBADRN CCOC(=O)c1n[nH]cc1CN1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001138855260 1073069004 /nfs/dbraw/zinc/06/90/04/1073069004.db2.gz IENMZUZHIQAXOE-GJZGRUSLSA-N 0 0 436.513 -0.317 20 0 IBADRN CCOC(=O)c1n[nH]cc1CN1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001138855993 1073068974 /nfs/dbraw/zinc/06/89/74/1073068974.db2.gz GFRFIHQVSDYCFC-UKRRQHHQSA-N 0 0 427.527 -0.099 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(Cc1ccc(OCC(N)=O)cc1)CCC2 ZINC001138870356 1073068869 /nfs/dbraw/zinc/06/88/69/1073068869.db2.gz KWKAYVGPUBWPRJ-UHFFFAOYSA-N 0 0 429.477 -0.224 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(Cc4ccc(OCC(N)=O)cc4)C3)OCC2=O)cn1 ZINC001138871183 1073069019 /nfs/dbraw/zinc/06/90/19/1073069019.db2.gz ICSUWRNUUFBICH-NRFANRHFSA-N 0 0 429.477 -0.081 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(Cc4ccc(OCC(N)=O)cc4)C3)OCC2=O)cn1 ZINC001138871184 1073068945 /nfs/dbraw/zinc/06/89/45/1073068945.db2.gz ICSUWRNUUFBICH-OAQYLSRUSA-N 0 0 429.477 -0.081 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1Cc1ccc(OCC(N)=O)cc1)C(=O)NCC(N)=O ZINC001138871973 1073068816 /nfs/dbraw/zinc/06/88/16/1073068816.db2.gz UYTFQMYNYSVEQX-ROUUACIJSA-N 0 0 447.536 -0.353 20 0 IBADRN COCCN1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)CC1=O ZINC001138894023 1073068920 /nfs/dbraw/zinc/06/89/20/1073068920.db2.gz VKTYFDSDNHIDTC-INIZCTEOSA-N 0 0 441.506 -0.009 20 0 IBADRN COCCN1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)CC1=O ZINC001138894024 1073068905 /nfs/dbraw/zinc/06/89/05/1073068905.db2.gz VKTYFDSDNHIDTC-MRXNPFEDSA-N 0 0 441.506 -0.009 20 0 IBADRN COC(=O)C1(NC(=O)c2cnn3c2C[C@H](CNC(C)=O)CC3)CCS(=O)(=O)CC1 ZINC001139004884 1073068860 /nfs/dbraw/zinc/06/88/60/1073068860.db2.gz GATNBRPRSADMBH-CYBMUJFWSA-N 0 0 426.495 -0.568 20 0 IBADRN COC(=O)C1(NC(=O)c2cnn3c2C[C@@H](CNC(C)=O)CC3)CCS(=O)(=O)CC1 ZINC001139004885 1073068685 /nfs/dbraw/zinc/06/86/85/1073068685.db2.gz GATNBRPRSADMBH-ZDUSSCGKSA-N 0 0 426.495 -0.568 20 0 IBADRN COC(=O)C1(NC(=O)C[C@@]2(O)CCN(C(=O)OC(C)(C)C)C2)CCS(=O)(=O)CC1 ZINC001139013092 1073068783 /nfs/dbraw/zinc/06/87/83/1073068783.db2.gz YMKNDUWIGDAJJM-KRWDZBQOSA-N 0 0 434.511 -0.015 20 0 IBADRN COC(=O)C1(NC(=O)C[C@]2(O)CCN(C(=O)OC(C)(C)C)C2)CCS(=O)(=O)CC1 ZINC001139013094 1073069012 /nfs/dbraw/zinc/06/90/12/1073069012.db2.gz YMKNDUWIGDAJJM-QGZVFWFLSA-N 0 0 434.511 -0.015 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)Nc3ccc(F)c(N4C(=O)CNC4=O)c3)[C@@H](O)C(=O)N2C)cn1 ZINC001139021321 1073068736 /nfs/dbraw/zinc/06/87/36/1073068736.db2.gz PZQACKMDPIHZJC-BZUAXINKSA-N 0 0 430.396 -0.256 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC001139073121 1073069490 /nfs/dbraw/zinc/06/94/90/1073069490.db2.gz XPPQRLMZGAOWBX-BNEJOLLZSA-N 0 0 449.533 -0.216 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC001139073122 1073069370 /nfs/dbraw/zinc/06/93/70/1073069370.db2.gz XPPQRLMZGAOWBX-OKDNKWQNSA-N 0 0 449.533 -0.216 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1=O ZINC001139085830 1073069236 /nfs/dbraw/zinc/06/92/36/1073069236.db2.gz ZMWNGNVKRWPTMF-AWEZNQCLSA-N 0 0 437.474 -0.268 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1=O ZINC001139085841 1073069396 /nfs/dbraw/zinc/06/93/96/1073069396.db2.gz ZMWNGNVKRWPTMF-CQSZACIVSA-N 0 0 437.474 -0.268 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(Cc3ccc(-c4nn[nH]n4)cc3)C[C@@H]21)N1CCOCC1 ZINC001139091317 1073069438 /nfs/dbraw/zinc/06/94/38/1073069438.db2.gz YGKWAFKJHVLHHB-KZNAEPCWSA-N 0 0 446.533 -0.039 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(Cc3ccc(-c4nn[nH]n4)cc3)C[C@@H]21)N1CCOCC1 ZINC001139091319 1073069500 /nfs/dbraw/zinc/06/95/00/1073069500.db2.gz YGKWAFKJHVLHHB-RCCFBDPRSA-N 0 0 446.533 -0.039 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1Cc1ccc(-c2nn[nH]n2)cc1)C(=O)NCC(N)=O ZINC001139092874 1073069278 /nfs/dbraw/zinc/06/92/78/1073069278.db2.gz JRNLHAVWGZEWKG-IRXDYDNUSA-N 0 0 442.524 -0.037 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1)c1cccc(F)n1 ZINC001139101982 1073069481 /nfs/dbraw/zinc/06/94/81/1073069481.db2.gz GGYUEEKZSVJLQV-HNNXBMFYSA-N 0 0 428.486 -0.272 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C[C@@H]1COCCN1C(=O)OC(C)(C)C ZINC001139111257 1073069265 /nfs/dbraw/zinc/06/92/65/1073069265.db2.gz FNMRQKIEBOKFOB-CABCVRRESA-N 0 0 443.497 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C[C@H]1COCCN1C(=O)OC(C)(C)C ZINC001139111258 1073069331 /nfs/dbraw/zinc/06/93/31/1073069331.db2.gz FNMRQKIEBOKFOB-GJZGRUSLSA-N 0 0 443.497 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C[C@@H]1COCCN1C(=O)OC(C)(C)C ZINC001139111259 1073069406 /nfs/dbraw/zinc/06/94/06/1073069406.db2.gz FNMRQKIEBOKFOB-HUUCEWRRSA-N 0 0 443.497 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C[C@H]1COCCN1C(=O)OC(C)(C)C ZINC001139111260 1073069391 /nfs/dbraw/zinc/06/93/91/1073069391.db2.gz FNMRQKIEBOKFOB-LSDHHAIUSA-N 0 0 443.497 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc2c(c1)nc1n(c2=O)CCC1 ZINC001139111274 1073069222 /nfs/dbraw/zinc/06/92/22/1073069222.db2.gz FWTJXCFLFIIPPG-INIZCTEOSA-N 0 0 428.445 -0.137 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc2c(c1)nc1n(c2=O)CCC1 ZINC001139111275 1073069424 /nfs/dbraw/zinc/06/94/24/1073069424.db2.gz FWTJXCFLFIIPPG-MRXNPFEDSA-N 0 0 428.445 -0.137 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC(=O)N(C)C(=O)C2)c1OC ZINC001139111352 1073069473 /nfs/dbraw/zinc/06/94/73/1073069473.db2.gz IMIDTTFYGGXFFX-UHFFFAOYSA-N 0 0 441.462 -0.835 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1F ZINC001139111406 1073069862 /nfs/dbraw/zinc/06/98/62/1073069862.db2.gz JZYLXILYFNISRX-HNNXBMFYSA-N 0 0 445.469 -0.404 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1F ZINC001139111407 1073069855 /nfs/dbraw/zinc/06/98/55/1073069855.db2.gz JZYLXILYFNISRX-OAHLLOKOSA-N 0 0 445.469 -0.404 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CC1(O)CN(C(=O)OC(C)(C)C)C1 ZINC001139111554 1073069743 /nfs/dbraw/zinc/06/97/43/1073069743.db2.gz OCARJUPBSZZVHA-CYBMUJFWSA-N 0 0 429.470 -0.735 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CC1(O)CN(C(=O)OC(C)(C)C)C1 ZINC001139111555 1073069764 /nfs/dbraw/zinc/06/97/64/1073069764.db2.gz OCARJUPBSZZVHA-ZDUSSCGKSA-N 0 0 429.470 -0.735 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(F)cc1 ZINC001139111584 1073069699 /nfs/dbraw/zinc/06/96/99/1073069699.db2.gz PLBVXPHZLXQORM-AWEZNQCLSA-N 0 0 431.442 -0.989 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(F)cc1 ZINC001139111585 1073069909 /nfs/dbraw/zinc/06/99/09/1073069909.db2.gz PLBVXPHZLXQORM-CQSZACIVSA-N 0 0 431.442 -0.989 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1C ZINC001139111641 1073069878 /nfs/dbraw/zinc/06/98/78/1073069878.db2.gz QWFVRHQEJGCZLJ-INIZCTEOSA-N 0 0 441.506 -0.234 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1C ZINC001139111642 1073069918 /nfs/dbraw/zinc/06/99/18/1073069918.db2.gz QWFVRHQEJGCZLJ-MRXNPFEDSA-N 0 0 441.506 -0.234 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CN1C(=O)COc2ccc(Cl)cc21 ZINC001139111682 1073069871 /nfs/dbraw/zinc/06/98/71/1073069871.db2.gz RYVFIBGMZTWAKP-AWEZNQCLSA-N 0 0 439.852 -0.028 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CN1C(=O)COc2ccc(Cl)cc21 ZINC001139111683 1073069892 /nfs/dbraw/zinc/06/98/92/1073069892.db2.gz RYVFIBGMZTWAKP-CQSZACIVSA-N 0 0 439.852 -0.028 20 0 IBADRN CN1C(=O)CN(C(=O)c2cc(I)ccc2-n2cnnn2)CC1=O ZINC001139111694 1073069887 /nfs/dbraw/zinc/06/98/87/1073069887.db2.gz SKENOSFSHDSLEV-UHFFFAOYSA-N 0 0 426.174 -0.292 20 0 IBADRN CN1C(=O)CN(C(=O)c2ccc(I)cc2-n2cnnn2)CC1=O ZINC001139117569 1073069900 /nfs/dbraw/zinc/06/99/00/1073069900.db2.gz PHYGOHSZBACCPP-UHFFFAOYSA-N 0 0 426.174 -0.292 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCc2ccc(S(N)(=O)=O)cc2C)[C@H]1c1nccn1C ZINC001139200345 1073069838 /nfs/dbraw/zinc/06/98/38/1073069838.db2.gz GMFBPPXVQKMCBB-IRXDYDNUSA-N 0 0 435.506 -0.019 20 0 IBADRN COc1ncc(CN2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)c(OC)n1 ZINC001139232258 1073069798 /nfs/dbraw/zinc/06/97/98/1073069798.db2.gz XVRPXGFAPLMLQH-UKRRQHHQSA-N 0 0 427.527 -0.192 20 0 IBADRN COc1ncc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c(OC)n1 ZINC001139232730 1073069825 /nfs/dbraw/zinc/06/98/25/1073069825.db2.gz DQBBYTKDMAATGL-GJZGRUSLSA-N 0 0 436.513 -0.409 20 0 IBADRN COc1ncc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c(OC)n1 ZINC001139235119 1073069792 /nfs/dbraw/zinc/06/97/92/1073069792.db2.gz UGVCPYNEMIVLGO-ARFHVFGLSA-N 0 0 440.522 -0.412 20 0 IBADRN COc1ncc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c(OC)n1 ZINC001139235120 1073069753 /nfs/dbraw/zinc/06/97/53/1073069753.db2.gz UGVCPYNEMIVLGO-BZUAXINKSA-N 0 0 440.522 -0.412 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCC(O)(c2scnc2Br)CC1 ZINC001139293234 1073070263 /nfs/dbraw/zinc/07/02/63/1073070263.db2.gz XDVCWZGQGSNTMK-QMMMGPOBSA-N 0 0 438.325 -0.371 20 0 IBADRN COC(=O)c1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1C ZINC001139372685 1073070305 /nfs/dbraw/zinc/07/03/05/1073070305.db2.gz YTQNJUGCALQNEB-JFIYKMOQSA-N 0 0 439.534 -0.094 20 0 IBADRN COC(=O)c1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1C ZINC001139372686 1073070338 /nfs/dbraw/zinc/07/03/38/1073070338.db2.gz YTQNJUGCALQNEB-LZLYRXPVSA-N 0 0 439.534 -0.094 20 0 IBADRN COC(=O)c1cc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1C ZINC001139373196 1073070155 /nfs/dbraw/zinc/07/01/55/1073070155.db2.gz HSBPATQBHFULHB-HOTGVXAUSA-N 0 0 435.525 -0.092 20 0 IBADRN Nc1ncnc(Cl)c1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001139439530 1073070295 /nfs/dbraw/zinc/07/02/95/1073070295.db2.gz SGIDTQDSUOQFKU-OUCADQQQSA-N 0 0 429.930 -0.194 20 0 IBADRN Nc1ncnc(Cl)c1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001139439531 1073070130 /nfs/dbraw/zinc/07/01/30/1073070130.db2.gz SGIDTQDSUOQFKU-YRGRVCCFSA-N 0 0 429.930 -0.194 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1Cc1c(N)ncnc1Cl)C(=O)NCC(N)=O ZINC001139440914 1073070362 /nfs/dbraw/zinc/07/03/62/1073070362.db2.gz MLGYVNBRRNXKBX-STQMWFEESA-N 0 0 425.921 -0.191 20 0 IBADRN COC(=O)c1ccc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc1 ZINC001139493013 1073070149 /nfs/dbraw/zinc/07/01/49/1073070149.db2.gz BBONDMXZDUAYMW-KZNAEPCWSA-N 0 0 437.518 -0.038 20 0 IBADRN COC(=O)c1ccc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc1 ZINC001139493014 1073070248 /nfs/dbraw/zinc/07/02/48/1073070248.db2.gz BBONDMXZDUAYMW-RCCFBDPRSA-N 0 0 437.518 -0.038 20 0 IBADRN COC(=O)c1ccc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nc1 ZINC001139493884 1073070377 /nfs/dbraw/zinc/07/03/77/1073070377.db2.gz YFGOFQJRIWOCJQ-IRXDYDNUSA-N 0 0 433.509 -0.035 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N[C@H]1CCN(c2cccc(Br)c2)C1=O ZINC001139505774 1073070289 /nfs/dbraw/zinc/07/02/89/1073070289.db2.gz GPDJNRRBHPSNOA-GWCFXTLKSA-N 0 0 436.270 -0.138 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N[C@@H]1CCN(c2cccc(Br)c2)C1=O ZINC001139505775 1073070138 /nfs/dbraw/zinc/07/01/38/1073070138.db2.gz GPDJNRRBHPSNOA-GXFFZTMASA-N 0 0 436.270 -0.138 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(C(=O)C3CCN(S(=O)(=O)NC)CC3)CC2)n1 ZINC001139569408 1073070178 /nfs/dbraw/zinc/07/01/78/1073070178.db2.gz ACJNAMAVEAOGND-UHFFFAOYSA-N 0 0 428.515 -0.136 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3ncc[nH]3)CC2)s1 ZINC001139589228 1073070224 /nfs/dbraw/zinc/07/02/24/1073070224.db2.gz CUKAETGITKRNIX-UHFFFAOYSA-N 0 0 426.524 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC(=O)Nc1ccn(C)n1 ZINC001139593674 1073070281 /nfs/dbraw/zinc/07/02/81/1073070281.db2.gz CZCQCAUXHSHHFJ-UHFFFAOYSA-N 0 0 437.478 -0.182 20 0 IBADRN Cn1ccc(NC(=O)CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)n1 ZINC001139593856 1073070274 /nfs/dbraw/zinc/07/02/74/1073070274.db2.gz GXAMXACPLCRPKX-OCCSQVGLSA-N 0 0 425.442 -0.562 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC(=O)Nc3ccn(C)n3)c2)CC1 ZINC001139594109 1073070253 /nfs/dbraw/zinc/07/02/53/1073070253.db2.gz IYONIFQHOHBKAO-UHFFFAOYSA-N 0 0 448.505 -0.359 20 0 IBADRN Cn1ccc(NC(=O)CNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC001139595138 1073070113 /nfs/dbraw/zinc/07/01/13/1073070113.db2.gz UNUZXSRWIGECFJ-UHFFFAOYSA-N 0 0 425.442 -0.051 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCn3c(nnc3C(F)F)C2)c1 ZINC001139612565 1073070213 /nfs/dbraw/zinc/07/02/13/1073070213.db2.gz MEGFMVIZAOUFIP-UHFFFAOYSA-N 0 0 449.461 -0.060 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(Cc1ccc(S(C)(=O)=O)nc1)CCC2 ZINC001139635083 1073070728 /nfs/dbraw/zinc/07/07/28/1073070728.db2.gz LXJWJFMGBQVPOX-UHFFFAOYSA-N 0 0 435.506 -0.290 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(Cc3ccc(S(C)(=O)=O)nc3)CC[C@H]2S1(=O)=O ZINC001139638674 1073070865 /nfs/dbraw/zinc/07/08/65/1073070865.db2.gz IOPKEZBBLRZHDK-HZPDHXFCSA-N 0 0 444.579 -0.201 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(Cc4ccc(S(C)(=O)=O)nc4)C3)OCC2=O)cn1 ZINC001139640147 1073070828 /nfs/dbraw/zinc/07/08/28/1073070828.db2.gz YWTJRFSCVCVJQG-IBGZPJMESA-N 0 0 435.506 -0.147 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(Cc4ccc(S(C)(=O)=O)nc4)C3)OCC2=O)cn1 ZINC001139640149 1073070781 /nfs/dbraw/zinc/07/07/81/1073070781.db2.gz YWTJRFSCVCVJQG-LJQANCHMSA-N 0 0 435.506 -0.147 20 0 IBADRN CCOC1CC(CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)(N2CCOCC2)C1 ZINC001139641560 1073070670 /nfs/dbraw/zinc/07/06/70/1073070670.db2.gz AFAOLRIOOZVGQQ-ZXXPYMPCSA-N 0 0 435.525 -0.704 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001139652794 1073070570 /nfs/dbraw/zinc/07/05/70/1073070570.db2.gz YTHMFIOEKJPVTI-QLFBSQMISA-N 0 0 431.511 -0.976 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001139652796 1073070592 /nfs/dbraw/zinc/07/05/92/1073070592.db2.gz YTHMFIOEKJPVTI-RBSFLKMASA-N 0 0 431.511 -0.976 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001139652798 1073070703 /nfs/dbraw/zinc/07/07/03/1073070703.db2.gz YTHMFIOEKJPVTI-RRFJBIMHSA-N 0 0 431.511 -0.976 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001139652799 1073070717 /nfs/dbraw/zinc/07/07/17/1073070717.db2.gz YTHMFIOEKJPVTI-SOUVJXGZSA-N 0 0 431.511 -0.976 20 0 IBADRN CCn1cccc(CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)c1=O ZINC001139658198 1073070766 /nfs/dbraw/zinc/07/07/66/1073070766.db2.gz NMBCBFRYXDNQSX-UHFFFAOYSA-N 0 0 427.504 -0.134 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC001139716223 1073070794 /nfs/dbraw/zinc/07/07/94/1073070794.db2.gz NBIPQAXCTJFCHO-HNNXBMFYSA-N 0 0 440.444 -0.337 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC001139716224 1073070839 /nfs/dbraw/zinc/07/08/39/1073070839.db2.gz NBIPQAXCTJFCHO-OAHLLOKOSA-N 0 0 440.444 -0.337 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C(C)C)C3=O)CC1 ZINC001139716238 1073070854 /nfs/dbraw/zinc/07/08/54/1073070854.db2.gz NNWDLSYWHZYDSD-UHFFFAOYSA-N 0 0 444.535 -0.102 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cc(I)nn2C)CC1 ZINC001139716475 1073070631 /nfs/dbraw/zinc/07/06/31/1073070631.db2.gz SNHCKUZVRADCQZ-UHFFFAOYSA-N 0 0 427.268 -0.317 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(Cc3cnc4c(c3)OCCO4)C[C@@H]21)N1CCOCC1 ZINC001139734173 1073070644 /nfs/dbraw/zinc/07/06/44/1073070644.db2.gz IDKGMKNIYZUENO-JFIYKMOQSA-N 0 0 437.518 -0.053 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(Cc3cnc4c(c3)OCCO4)C[C@@H]21)N1CCOCC1 ZINC001139734179 1073070878 /nfs/dbraw/zinc/07/08/78/1073070878.db2.gz IDKGMKNIYZUENO-LZLYRXPVSA-N 0 0 437.518 -0.053 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1Cc1cnc2c(c1)OCCO2)C(=O)NCC(N)=O ZINC001139736446 1073070812 /nfs/dbraw/zinc/07/08/12/1073070812.db2.gz HPDNKNRQFTUOHM-HOTGVXAUSA-N 0 0 433.509 -0.050 20 0 IBADRN COC[C@]1(C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)CN(C(=O)OC(C)(C)C)CCO1 ZINC001139758402 1073071403 /nfs/dbraw/zinc/07/14/03/1073071403.db2.gz CAEAKHWSEQCYCW-MOPGFXCFSA-N 0 0 426.470 -0.161 20 0 IBADRN COC[C@]1(C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)CN(C(=O)OC(C)(C)C)CCO1 ZINC001139758403 1073071383 /nfs/dbraw/zinc/07/13/83/1073071383.db2.gz CAEAKHWSEQCYCW-OALUTQOASA-N 0 0 426.470 -0.161 20 0 IBADRN COC[C@@]1(C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)CN(C(=O)OC(C)(C)C)CCO1 ZINC001139758404 1073071350 /nfs/dbraw/zinc/07/13/50/1073071350.db2.gz CAEAKHWSEQCYCW-RBUKOAKNSA-N 0 0 426.470 -0.161 20 0 IBADRN COC[C@@]1(C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)CN(C(=O)OC(C)(C)C)CCO1 ZINC001139758405 1073071184 /nfs/dbraw/zinc/07/11/84/1073071184.db2.gz CAEAKHWSEQCYCW-RTBURBONSA-N 0 0 426.470 -0.161 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)CCS(=O)(=O)CC1 ZINC001139759346 1073071174 /nfs/dbraw/zinc/07/11/74/1073071174.db2.gz QGYFGVYYMNRDCY-GOSISDBHSA-N 0 0 429.495 -0.276 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)CCS(=O)(=O)CC1 ZINC001139759347 1073071266 /nfs/dbraw/zinc/07/12/66/1073071266.db2.gz QGYFGVYYMNRDCY-SFHVURJKSA-N 0 0 429.495 -0.276 20 0 IBADRN COCCn1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001139779724 1073071330 /nfs/dbraw/zinc/07/13/30/1073071330.db2.gz AKGMQJWERZJNKC-KZNAEPCWSA-N 0 0 426.539 -0.377 20 0 IBADRN COCCn1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001139779725 1073071162 /nfs/dbraw/zinc/07/11/62/1073071162.db2.gz AKGMQJWERZJNKC-RCCFBDPRSA-N 0 0 426.539 -0.377 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCO[C@H](C(=O)C3CC3)C2)c1 ZINC001139828328 1073071393 /nfs/dbraw/zinc/07/13/93/1073071393.db2.gz QSHJJOJZGOENFJ-HNNXBMFYSA-N 0 0 430.504 -0.425 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](C(=O)C3CC3)C2)c1 ZINC001139828330 1073071146 /nfs/dbraw/zinc/07/11/46/1073071146.db2.gz QSHJJOJZGOENFJ-OAHLLOKOSA-N 0 0 430.504 -0.425 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(Cc3cccc(-c4nn[nH]n4)c3)C[C@@H]21)N1CCOCC1 ZINC001139852038 1073071199 /nfs/dbraw/zinc/07/11/99/1073071199.db2.gz PXZXFFYKZVOAJS-KZNAEPCWSA-N 0 0 446.533 -0.039 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(Cc3cccc(-c4nn[nH]n4)c3)C[C@@H]21)N1CCOCC1 ZINC001139852039 1073071280 /nfs/dbraw/zinc/07/12/80/1073071280.db2.gz PXZXFFYKZVOAJS-RCCFBDPRSA-N 0 0 446.533 -0.039 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1Cc1cccc(-c2nn[nH]n2)c1)C(=O)NCC(N)=O ZINC001139853788 1073071098 /nfs/dbraw/zinc/07/10/98/1073071098.db2.gz MAKPKHPZYKUBNW-IRXDYDNUSA-N 0 0 442.524 -0.037 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)NCCCn3c(=O)ccn(C)c3=O)cc2S1(=O)=O ZINC001139874321 1073071788 /nfs/dbraw/zinc/07/17/88/1073071788.db2.gz UQAXRXKNWXAUJJ-UHFFFAOYSA-N 0 0 434.474 -0.080 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC1(S(C)(=O)=O)CC1 ZINC001139880553 1073071802 /nfs/dbraw/zinc/07/18/02/1073071802.db2.gz TYPBNEARGSXKQN-AWEZNQCLSA-N 0 0 446.547 -0.078 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCC1(S(C)(=O)=O)CC1 ZINC001139880554 1073071776 /nfs/dbraw/zinc/07/17/76/1073071776.db2.gz TYPBNEARGSXKQN-CQSZACIVSA-N 0 0 446.547 -0.078 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(Cc3nccnc3N)CC[C@@H]12 ZINC001140009843 1073071738 /nfs/dbraw/zinc/07/17/38/1073071738.db2.gz PINLPFFYXZKMSU-APWZRJJASA-N 0 0 438.554 -0.466 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC001140013173 1073071689 /nfs/dbraw/zinc/07/16/89/1073071689.db2.gz DDOJICKDBYDPBN-GDBMZVCRSA-N 0 0 447.471 -0.849 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC001140013174 1073071586 /nfs/dbraw/zinc/07/15/86/1073071586.db2.gz DDOJICKDBYDPBN-ZBFHGGJFSA-N 0 0 447.471 -0.849 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C2(CS(C)(=O)=O)COC2)CC1 ZINC001140103062 1073071606 /nfs/dbraw/zinc/07/16/06/1073071606.db2.gz PQDFTPXEZCDPCG-UHFFFAOYSA-N 0 0 425.573 -0.441 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCCCN3C(=O)c4ccccc4C3=O)[C@@H](O)C(=O)N2C)cn1 ZINC001140193344 1073071558 /nfs/dbraw/zinc/07/15/58/1073071558.db2.gz OGSJTGAZIDJPAH-BRWVUGGUSA-N 0 0 425.445 -0.287 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CS(=O)(=O)c1cccc(Br)c1 ZINC001140211702 1073071572 /nfs/dbraw/zinc/07/15/72/1073071572.db2.gz CJNMTVUTVRVWSI-UHFFFAOYSA-N 0 0 428.330 -0.265 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001140211914 1073071643 /nfs/dbraw/zinc/07/16/43/1073071643.db2.gz LONRWWZTJLXGOQ-UHFFFAOYSA-N 0 0 443.345 -0.760 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1ccc(Br)c(S(C)(=O)=O)c1 ZINC001140211956 1073072057 /nfs/dbraw/zinc/07/20/57/1073072057.db2.gz NMHXGASEZRSELU-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CCN1C(=O)c2ccc(Br)cc2C1=O ZINC001140232028 1073072212 /nfs/dbraw/zinc/07/22/12/1073072212.db2.gz WLGMEAQPVLAQIN-UHFFFAOYSA-N 0 0 448.295 -0.144 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)Cn1nc(Br)c(Br)n1 ZINC001140236092 1073072183 /nfs/dbraw/zinc/07/21/83/1073072183.db2.gz AXAUPCWUHUEWAY-UHFFFAOYSA-N 0 0 435.098 -0.776 20 0 IBADRN C[C@H](C(=O)NCCOCCS(N)(=O)=O)N1C(=O)c2ccc(Br)cc2C1=O ZINC001140236205 1073072266 /nfs/dbraw/zinc/07/22/66/1073072266.db2.gz CLYYHWKIEMCTNS-SECBINFHSA-N 0 0 448.295 -0.145 20 0 IBADRN C[C@@H](C(=O)NCCOCCS(N)(=O)=O)N1C(=O)c2ccc(Br)cc2C1=O ZINC001140236207 1073072237 /nfs/dbraw/zinc/07/22/37/1073072237.db2.gz CLYYHWKIEMCTNS-VIFPVBQESA-N 0 0 448.295 -0.145 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)NCCOCCS(N)(=O)=O)ccc1Br ZINC001140236411 1073072198 /nfs/dbraw/zinc/07/21/98/1073072198.db2.gz HHMFCQVBONPWKJ-UHFFFAOYSA-N 0 0 429.314 -0.113 20 0 IBADRN CCN(CC)C(=O)CN1CCC(NC(=O)c2cnn3c2S(=O)(=O)N(C)CC3)CC1 ZINC001140237766 1073072252 /nfs/dbraw/zinc/07/22/52/1073072252.db2.gz ZQWNQNNTQYHDAO-UHFFFAOYSA-N 0 0 426.543 -0.420 20 0 IBADRN CSc1ncc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c(N)n1 ZINC001140246319 1073072035 /nfs/dbraw/zinc/07/20/35/1073072035.db2.gz DKXFOBPAJKPZHF-RBSFLKMASA-N 0 0 441.579 -0.125 20 0 IBADRN CSc1ncc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c(N)n1 ZINC001140246320 1073072120 /nfs/dbraw/zinc/07/21/20/1073072120.db2.gz DKXFOBPAJKPZHF-RRFJBIMHSA-N 0 0 441.579 -0.125 20 0 IBADRN CSc1ncc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c(N)n1 ZINC001140252408 1073072045 /nfs/dbraw/zinc/07/20/45/1073072045.db2.gz NCUVCRGJBAGLBE-KBPBESRZSA-N 0 0 437.570 -0.123 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C2(CS(C)(=O)=O)COC2)cc1 ZINC001140338466 1073072308 /nfs/dbraw/zinc/07/23/08/1073072308.db2.gz AVNKKLJRGWZXOF-CYBMUJFWSA-N 0 0 442.490 -0.006 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C2(CS(C)(=O)=O)COC2)cc1 ZINC001140338467 1073072133 /nfs/dbraw/zinc/07/21/33/1073072133.db2.gz AVNKKLJRGWZXOF-ZDUSSCGKSA-N 0 0 442.490 -0.006 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1F)C2 ZINC001140346480 1073072159 /nfs/dbraw/zinc/07/21/59/1073072159.db2.gz SLZDNDWQOOQUMD-CXAGYDPISA-N 0 0 431.468 -0.030 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1F)C2 ZINC001140346481 1073072100 /nfs/dbraw/zinc/07/21/00/1073072100.db2.gz SLZDNDWQOOQUMD-DYVFJYSZSA-N 0 0 431.468 -0.030 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1F)C2 ZINC001140346483 1073072068 /nfs/dbraw/zinc/07/20/68/1073072068.db2.gz SLZDNDWQOOQUMD-GUYCJALGSA-N 0 0 431.468 -0.030 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1F)C2 ZINC001140346484 1073072278 /nfs/dbraw/zinc/07/22/78/1073072278.db2.gz SLZDNDWQOOQUMD-SUMWQHHRSA-N 0 0 431.468 -0.030 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)c2ccoc2CC(N)=O)CC3)CC1 ZINC001140377586 1073072673 /nfs/dbraw/zinc/07/26/73/1073072673.db2.gz HHJUSCZHIQGHSX-AWEZNQCLSA-N 0 0 428.493 -0.116 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)c2ccoc2CC(N)=O)CC3)CC1 ZINC001140377588 1073072700 /nfs/dbraw/zinc/07/27/00/1073072700.db2.gz HHJUSCZHIQGHSX-CQSZACIVSA-N 0 0 428.493 -0.116 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)C[C@H]1C ZINC001140378150 1073072597 /nfs/dbraw/zinc/07/25/97/1073072597.db2.gz RHRDKABMMLRCSF-OHDICMOHSA-N 0 0 447.536 -0.084 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)C[C@H]1C ZINC001140378151 1073072623 /nfs/dbraw/zinc/07/26/23/1073072623.db2.gz RHRDKABMMLRCSF-WTLGNFPFSA-N 0 0 447.536 -0.084 20 0 IBADRN CO[C@@]1(C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc2)CCS(=O)(=O)C1 ZINC001140389703 1073072581 /nfs/dbraw/zinc/07/25/81/1073072581.db2.gz BVUSGKUVDWVXHZ-PBHICJAKSA-N 0 0 432.520 -0.026 20 0 IBADRN CO[C@]1(C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@@H]3CO)cc2)CCS(=O)(=O)C1 ZINC001140389704 1073072730 /nfs/dbraw/zinc/07/27/30/1073072730.db2.gz BVUSGKUVDWVXHZ-RHSMWYFYSA-N 0 0 432.520 -0.026 20 0 IBADRN CO[C@]1(C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@H]3CO)cc2)CCS(=O)(=O)C1 ZINC001140389705 1073072495 /nfs/dbraw/zinc/07/24/95/1073072495.db2.gz BVUSGKUVDWVXHZ-WMLDXEAASA-N 0 0 432.520 -0.026 20 0 IBADRN CO[C@@]1(C(=O)Nc2ccc(S(=O)(=O)N3CCC[C@H]3CO)cc2)CCS(=O)(=O)C1 ZINC001140389706 1073072469 /nfs/dbraw/zinc/07/24/69/1073072469.db2.gz BVUSGKUVDWVXHZ-YOEHRIQHSA-N 0 0 432.520 -0.026 20 0 IBADRN COC(=O)[C@@H](CNC(=O)Cn1cnc(C(N)=O)n1)Oc1ccc(Br)cc1 ZINC001140429085 1073072445 /nfs/dbraw/zinc/07/24/45/1073072445.db2.gz HQYYUTIQXMKTFV-LLVKDONJSA-N 0 0 426.227 -0.124 20 0 IBADRN COC(=O)[C@H](CNC(=O)Cn1cnc(C(N)=O)n1)Oc1ccc(Br)cc1 ZINC001140429089 1073072542 /nfs/dbraw/zinc/07/25/42/1073072542.db2.gz HQYYUTIQXMKTFV-NSHDSACASA-N 0 0 426.227 -0.124 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)C1)S(C)(=O)=O ZINC001140467086 1073072557 /nfs/dbraw/zinc/07/25/57/1073072557.db2.gz HOXXTJIAPZEFSG-BBRMVZONSA-N 0 0 442.513 -0.071 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)C1)S(C)(=O)=O ZINC001140467087 1073072567 /nfs/dbraw/zinc/07/25/67/1073072567.db2.gz HOXXTJIAPZEFSG-CJNGLKHVSA-N 0 0 442.513 -0.071 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)C1)S(C)(=O)=O ZINC001140467088 1073072484 /nfs/dbraw/zinc/07/24/84/1073072484.db2.gz HOXXTJIAPZEFSG-CZUORRHYSA-N 0 0 442.513 -0.071 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)C1)S(C)(=O)=O ZINC001140467090 1073072417 /nfs/dbraw/zinc/07/24/17/1073072417.db2.gz HOXXTJIAPZEFSG-XJKSGUPXSA-N 0 0 442.513 -0.071 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)C1)S(C)(=O)=O ZINC001140468202 1073072530 /nfs/dbraw/zinc/07/25/30/1073072530.db2.gz ZSUZZVKGHDSTIK-RDJZCZTQSA-N 0 0 429.514 -0.025 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)C1)S(C)(=O)=O ZINC001140468203 1073072952 /nfs/dbraw/zinc/07/29/52/1073072952.db2.gz ZSUZZVKGHDSTIK-WBVHZDCISA-N 0 0 429.514 -0.025 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(CC1C(=O)N=CNC1=O)CCC2 ZINC001140475537 1073073009 /nfs/dbraw/zinc/07/30/09/1073073009.db2.gz NJKAOMJTQPYUEH-UHFFFAOYSA-N 0 0 426.437 -0.486 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@H](CO)NC(=O)Cc1ccc(F)cc1)C(F)(F)F ZINC001140484005 1073072965 /nfs/dbraw/zinc/07/29/65/1073072965.db2.gz PERZBUWQZHYJKK-QWHCGFSZSA-N 0 0 443.419 -0.216 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@H](CO)NC(=O)Cc1ccc(F)cc1)C(F)(F)F ZINC001140484006 1073073094 /nfs/dbraw/zinc/07/30/94/1073073094.db2.gz PERZBUWQZHYJKK-STQMWFEESA-N 0 0 443.419 -0.216 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1cnn2c1S(=O)(=O)N(C)CC2)C(F)(F)F ZINC001140484888 1073072851 /nfs/dbraw/zinc/07/28/51/1073072851.db2.gz YMNAJDMWLKYEKT-SECBINFHSA-N 0 0 433.434 -0.931 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1cnn2c1S(=O)(=O)N(C)CC2)C(F)(F)F ZINC001140484895 1073072863 /nfs/dbraw/zinc/07/28/63/1073072863.db2.gz YMNAJDMWLKYEKT-VIFPVBQESA-N 0 0 433.434 -0.931 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC(CNS(C)(=O)=O)CC2)[C@H]1c1nccn1C ZINC001140500772 1073072928 /nfs/dbraw/zinc/07/29/28/1073072928.db2.gz SKZNCFCUPZQYTM-HOTGVXAUSA-N 0 0 427.527 -0.504 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC[C@@H]3C[C@@]3(C)NC(=O)NC3=O)CC2=O)cn1 ZINC001140504854 1073073118 /nfs/dbraw/zinc/07/31/18/1073073118.db2.gz FICGCAUFOPSFNU-ARGWCVDVSA-N 0 0 432.481 -0.138 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC[C@H]3C[C@]3(C)NC(=O)NC3=O)CC2=O)cn1 ZINC001140504855 1073073082 /nfs/dbraw/zinc/07/30/82/1073073082.db2.gz FICGCAUFOPSFNU-CKNLXJGOSA-N 0 0 432.481 -0.138 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC[C@@H]3C[C@]3(C)NC(=O)NC3=O)CC2=O)cn1 ZINC001140504856 1073073049 /nfs/dbraw/zinc/07/30/49/1073073049.db2.gz FICGCAUFOPSFNU-JZKQVHKSSA-N 0 0 432.481 -0.138 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC[C@H]3C[C@@]3(C)NC(=O)NC3=O)CC2=O)cn1 ZINC001140504857 1073072998 /nfs/dbraw/zinc/07/29/98/1073072998.db2.gz FICGCAUFOPSFNU-LAPQFRIASA-N 0 0 432.481 -0.138 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)NC(=O)NC1=O ZINC001140504968 1073073109 /nfs/dbraw/zinc/07/31/09/1073073109.db2.gz HTUZGBHHDVLSFS-OVSNFEJUSA-N 0 0 426.495 -0.999 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)NC(=O)NC1=O ZINC001140504969 1073072893 /nfs/dbraw/zinc/07/28/93/1073072893.db2.gz HTUZGBHHDVLSFS-PAKFGRKTSA-N 0 0 426.495 -0.999 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)NC(=O)NC1=O ZINC001140504970 1073073062 /nfs/dbraw/zinc/07/30/62/1073073062.db2.gz HTUZGBHHDVLSFS-SJBDTSRBSA-N 0 0 426.495 -0.999 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)NC(=O)NC1=O ZINC001140504971 1073073075 /nfs/dbraw/zinc/07/30/75/1073073075.db2.gz HTUZGBHHDVLSFS-ZVZLLHMNSA-N 0 0 426.495 -0.999 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)ccc1F ZINC001140545440 1073073452 /nfs/dbraw/zinc/07/34/52/1073073452.db2.gz WZEIPZRQOQBLFH-BZUAXINKSA-N 0 0 439.469 -0.062 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC001140548279 1073073221 /nfs/dbraw/zinc/07/32/21/1073073221.db2.gz CIWKKTLTJQPLMQ-BBRMVZONSA-N 0 0 430.914 -0.320 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC001140548285 1073073251 /nfs/dbraw/zinc/07/32/51/1073073251.db2.gz CIWKKTLTJQPLMQ-CJNGLKHVSA-N 0 0 430.914 -0.320 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC001140548286 1073073381 /nfs/dbraw/zinc/07/33/81/1073073381.db2.gz CIWKKTLTJQPLMQ-CZUORRHYSA-N 0 0 430.914 -0.320 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC001140548288 1073073427 /nfs/dbraw/zinc/07/34/27/1073073427.db2.gz CIWKKTLTJQPLMQ-XJKSGUPXSA-N 0 0 430.914 -0.320 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)c1cc3n(n1)CCN(C)S3(=O)=O)C2 ZINC001140567508 1073073298 /nfs/dbraw/zinc/07/32/98/1073073298.db2.gz OOLJSCYIAITLIM-UHFFFAOYSA-N 0 0 439.519 -0.376 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)NCC(=O)Nc1ccc(I)cc1 ZINC001140597858 1073073206 /nfs/dbraw/zinc/07/32/06/1073073206.db2.gz HMBOQFHQFAAFEI-UHFFFAOYSA-N 0 0 440.263 -0.258 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001140607924 1073073285 /nfs/dbraw/zinc/07/32/85/1073073285.db2.gz BFJWZXISBCOZHQ-UHFFFAOYSA-N 0 0 438.549 -0.562 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](CSC)N2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC001140607991 1073073273 /nfs/dbraw/zinc/07/32/73/1073073273.db2.gz FKKAFLLOOHRGBO-HZSPNIEDSA-N 0 0 432.568 -0.498 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CSC)N2C(=O)[C@H]3CCCC[C@@H]3C2=O)CC1 ZINC001140607992 1073073236 /nfs/dbraw/zinc/07/32/36/1073073236.db2.gz FKKAFLLOOHRGBO-IHRRRGAJSA-N 0 0 432.568 -0.498 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CSC)N2C(=O)[C@@H]3CCCC[C@H]3C2=O)CC1 ZINC001140607993 1073073261 /nfs/dbraw/zinc/07/32/61/1073073261.db2.gz FKKAFLLOOHRGBO-MCIONIFRSA-N 0 0 432.568 -0.498 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CSC)N2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC001140607994 1073073343 /nfs/dbraw/zinc/07/33/43/1073073343.db2.gz FKKAFLLOOHRGBO-MJBXVCDLSA-N 0 0 432.568 -0.498 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC001140608003 1073073370 /nfs/dbraw/zinc/07/33/70/1073073370.db2.gz FSWHLRQZDSDODT-HNNXBMFYSA-N 0 0 449.574 -0.350 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNC(=O)[C@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC001140608004 1073073439 /nfs/dbraw/zinc/07/34/39/1073073439.db2.gz FSWHLRQZDSDODT-OAHLLOKOSA-N 0 0 449.574 -0.350 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(-n3cc(Br)cn3)nn2)CC1 ZINC001140608035 1073073390 /nfs/dbraw/zinc/07/33/90/1073073390.db2.gz HFNAMYODMYDJQR-UHFFFAOYSA-N 0 0 430.288 -0.353 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC001140608182 1073073415 /nfs/dbraw/zinc/07/34/15/1073073415.db2.gz NJPBQESIRQWDPK-AWEZNQCLSA-N 0 0 447.558 -0.499 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC001140608183 1073073330 /nfs/dbraw/zinc/07/33/30/1073073330.db2.gz NJPBQESIRQWDPK-CQSZACIVSA-N 0 0 447.558 -0.499 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC001140608245 1073073357 /nfs/dbraw/zinc/07/33/57/1073073357.db2.gz PVZTXCCSVXNDQH-AWEZNQCLSA-N 0 0 448.571 -0.964 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC001140608246 1073073582 /nfs/dbraw/zinc/07/35/82/1073073582.db2.gz PVZTXCCSVXNDQH-CQSZACIVSA-N 0 0 448.571 -0.964 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCC3)ccc2Cl)CC1 ZINC001140608323 1073073589 /nfs/dbraw/zinc/07/35/89/1073073589.db2.gz RXUXJJQFLSYFRP-UHFFFAOYSA-N 0 0 436.943 -0.044 20 0 IBADRN CCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)N1CCN(S(=O)(=O)NC)CC1 ZINC001140608383 1073073659 /nfs/dbraw/zinc/07/36/59/1073073659.db2.gz UUZPTQWDRWTZBK-FQEVSTJZSA-N 0 0 449.533 -0.016 20 0 IBADRN CCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)N1CCN(S(=O)(=O)NC)CC1 ZINC001140608384 1073073631 /nfs/dbraw/zinc/07/36/31/1073073631.db2.gz UUZPTQWDRWTZBK-HXUWFJFHSA-N 0 0 449.533 -0.016 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CCSC)N2C(=O)[C@@H]3CCCC[C@H]3C2=O)CC1 ZINC001140608407 1073073680 /nfs/dbraw/zinc/07/36/80/1073073680.db2.gz VMRNSOWIKYXVFL-KFWWJZLASA-N 0 0 446.595 -0.108 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CCSC)N2C(=O)[C@H]3CCCC[C@@H]3C2=O)CC1 ZINC001140608408 1073073614 /nfs/dbraw/zinc/07/36/14/1073073614.db2.gz VMRNSOWIKYXVFL-KKUMJFAQSA-N 0 0 446.595 -0.108 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](CCSC)N2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC001140608409 1073073730 /nfs/dbraw/zinc/07/37/30/1073073730.db2.gz VMRNSOWIKYXVFL-QLFBSQMISA-N 0 0 446.595 -0.108 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CCSC)N2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC001140608410 1073073640 /nfs/dbraw/zinc/07/36/40/1073073640.db2.gz VMRNSOWIKYXVFL-ZNMIVQPWSA-N 0 0 446.595 -0.108 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2c(C)c(C)cc(C)c2C)CC1 ZINC001140608432 1073073723 /nfs/dbraw/zinc/07/37/23/1073073723.db2.gz WXKRXFSKZXRRPA-UHFFFAOYSA-N 0 0 432.568 -0.193 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CC[C@@H]4CN(C(=O)C(F)(F)F)C[C@@H]4C3)[C@@H](O)C(=O)N2C)cn1 ZINC001140679355 1073073601 /nfs/dbraw/zinc/07/36/01/1073073601.db2.gz PUHBFFNPLRPCFB-COWZWYNKSA-N 0 0 443.426 -0.221 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CC[C@@H]4CN(C(=O)C(F)(F)F)C[C@H]4C3)[C@@H](O)C(=O)N2C)cn1 ZINC001140679364 1073073690 /nfs/dbraw/zinc/07/36/90/1073073690.db2.gz PUHBFFNPLRPCFB-DIAXPKBXSA-N 0 0 443.426 -0.221 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CC[C@H]4CN(C(=O)C(F)(F)F)C[C@H]4C3)[C@@H](O)C(=O)N2C)cn1 ZINC001140679366 1073073712 /nfs/dbraw/zinc/07/37/12/1073073712.db2.gz PUHBFFNPLRPCFB-URWOTSEESA-N 0 0 443.426 -0.221 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CC[C@H]4CN(C(=O)C(F)(F)F)C[C@@H]4C3)[C@@H](O)C(=O)N2C)cn1 ZINC001140679367 1073073700 /nfs/dbraw/zinc/07/37/00/1073073700.db2.gz PUHBFFNPLRPCFB-VNUKXSOBSA-N 0 0 443.426 -0.221 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001140679418 1073073771 /nfs/dbraw/zinc/07/37/71/1073073771.db2.gz QOVNFIUSRNDSPX-NXEZZACHSA-N 0 0 436.412 -0.276 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001140679419 1073073576 /nfs/dbraw/zinc/07/35/76/1073073576.db2.gz QOVNFIUSRNDSPX-UWVGGRQHSA-N 0 0 436.412 -0.276 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001140679420 1073073624 /nfs/dbraw/zinc/07/36/24/1073073624.db2.gz QOVNFIUSRNDSPX-VHSXEESVSA-N 0 0 436.412 -0.276 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001140679421 1073073563 /nfs/dbraw/zinc/07/35/63/1073073563.db2.gz QOVNFIUSRNDSPX-ZJUUUORDSA-N 0 0 436.412 -0.276 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2ccc(N3CCOCC3)nc2)CC1 ZINC001140713518 1073073648 /nfs/dbraw/zinc/07/36/48/1073073648.db2.gz DWHXNVKYFRGMEV-UHFFFAOYSA-N 0 0 431.540 -0.352 20 0 IBADRN Cc1csc2ncc(C(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)c(=O)n12 ZINC001140713566 1073073670 /nfs/dbraw/zinc/07/36/70/1073073670.db2.gz GYSFNHHESMDMBK-UHFFFAOYSA-N 0 0 433.537 -0.205 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001140713567 1073074049 /nfs/dbraw/zinc/07/40/49/1073074049.db2.gz HDUVIDREGGXOTR-INIZCTEOSA-N 0 0 434.540 -0.976 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001140713568 1073073952 /nfs/dbraw/zinc/07/39/52/1073073952.db2.gz HDUVIDREGGXOTR-MRXNPFEDSA-N 0 0 434.540 -0.976 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCN2C(=O)c3ccccc3C2=O)CC1 ZINC001140713624 1073073983 /nfs/dbraw/zinc/07/39/83/1073073983.db2.gz IYSFXFDOZXNXAQ-UHFFFAOYSA-N 0 0 442.519 -0.211 20 0 IBADRN Cc1nc2ccccc2c(=O)n1CC(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001140713814 1073074018 /nfs/dbraw/zinc/07/40/18/1073074018.db2.gz KXOJAINKNHKMJX-UHFFFAOYSA-N 0 0 441.535 -0.178 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2cccnc2N2CCOCC2)CC1 ZINC001140713891 1073074028 /nfs/dbraw/zinc/07/40/28/1073074028.db2.gz MAYMVUPFBHQTCU-UHFFFAOYSA-N 0 0 431.540 -0.352 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001140713918 1073074084 /nfs/dbraw/zinc/07/40/84/1073074084.db2.gz MTRWKLXZXBGWIS-UHFFFAOYSA-N 0 0 446.551 -0.116 20 0 IBADRN CSCc1noc(CCC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)n1 ZINC001140714035 1073074000 /nfs/dbraw/zinc/07/40/00/1073074000.db2.gz MZXVNLVASMQBRQ-UHFFFAOYSA-N 0 0 425.558 -0.018 20 0 IBADRN Cn1cc(-c2nn(C)cc2C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cn1 ZINC001140714084 1073073977 /nfs/dbraw/zinc/07/39/77/1073073977.db2.gz OOVCTUUQWBTASU-UHFFFAOYSA-N 0 0 429.528 -0.449 20 0 IBADRN Cc1ccc(C(=O)NCCC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c(O)c1 ZINC001140714090 1073073914 /nfs/dbraw/zinc/07/39/14/1073073914.db2.gz OVUFADCTHSVSBU-UHFFFAOYSA-N 0 0 446.551 -0.063 20 0 IBADRN COc1cccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001140714238 1073074037 /nfs/dbraw/zinc/07/40/37/1073074037.db2.gz QZILVCMYRYWVNH-UHFFFAOYSA-N 0 0 432.524 -0.458 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2ccc(N3CCNC3=O)cc2)CC1 ZINC001140714256 1073073944 /nfs/dbraw/zinc/07/39/44/1073073944.db2.gz RKDKNYKSXUHNEH-UHFFFAOYSA-N 0 0 429.524 -0.054 20 0 IBADRN COC(=O)COc1cccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001140714267 1073074091 /nfs/dbraw/zinc/07/40/91/1073074091.db2.gz SEFDMBZKQQBEHH-UHFFFAOYSA-N 0 0 433.508 -0.031 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CC1 ZINC001140714438 1073073960 /nfs/dbraw/zinc/07/39/60/1073073960.db2.gz VOPPUHKZERAQAX-UHFFFAOYSA-N 0 0 444.601 -0.835 20 0 IBADRN Cc1cn2c(ncc(C(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)c2=O)s1 ZINC001140714450 1073073901 /nfs/dbraw/zinc/07/39/01/1073073901.db2.gz WMIWTQBJKOMBHQ-UHFFFAOYSA-N 0 0 433.537 -0.205 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCS(=O)(=O)C2CCCC2)CC1 ZINC001140714451 1073073932 /nfs/dbraw/zinc/07/39/32/1073073932.db2.gz WNHMCXIXFOVKLZ-UHFFFAOYSA-N 0 0 429.586 -0.150 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC001140714485 1073074008 /nfs/dbraw/zinc/07/40/08/1073074008.db2.gz XQACFCSVJINHNM-UHFFFAOYSA-N 0 0 449.555 -0.642 20 0 IBADRN Cc1nn(C)cc1C1=NO[C@H](C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1 ZINC001140718767 1073073992 /nfs/dbraw/zinc/07/39/92/1073073992.db2.gz IEKASZJFKPUKBG-AWEZNQCLSA-N 0 0 432.528 -0.662 20 0 IBADRN Cc1nn(C)cc1C1=NO[C@@H](C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1 ZINC001140718768 1073074043 /nfs/dbraw/zinc/07/40/43/1073074043.db2.gz IEKASZJFKPUKBG-CQSZACIVSA-N 0 0 432.528 -0.662 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H](C2)S3(=O)=O)CC1 ZINC001140718882 1073073968 /nfs/dbraw/zinc/07/39/68/1073073968.db2.gz JNVSNTHDSKCFIO-XYYAHUGASA-N 0 0 427.570 -0.541 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2ncc(Br)cn2)CC1 ZINC001140719334 1073074332 /nfs/dbraw/zinc/07/43/32/1073074332.db2.gz LOHBDWZUPHUXFJ-UHFFFAOYSA-N 0 0 426.318 -0.031 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2cnc3c(c2)NC(=O)CS3)CC1 ZINC001140720078 1073074368 /nfs/dbraw/zinc/07/43/68/1073074368.db2.gz UKLOFPWXQOBFTD-UHFFFAOYSA-N 0 0 433.537 -0.144 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001140720247 1073074307 /nfs/dbraw/zinc/07/43/07/1073074307.db2.gz VUYSNZLAYFPFFT-LBPRGKRZSA-N 0 0 442.560 -0.357 20 0 IBADRN COC(=O)c1ccn(CCCC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)n1 ZINC001140720280 1073074323 /nfs/dbraw/zinc/07/43/23/1073074323.db2.gz WEBBCQWLJAJWGR-UHFFFAOYSA-N 0 0 435.528 -0.434 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1CSC1 ZINC001140743628 1073074443 /nfs/dbraw/zinc/07/44/43/1073074443.db2.gz SVEAAXWZYOAXKM-CYBMUJFWSA-N 0 0 429.520 -0.151 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)NCC1CSC1 ZINC001140743629 1073074343 /nfs/dbraw/zinc/07/43/43/1073074343.db2.gz SVEAAXWZYOAXKM-ZDUSSCGKSA-N 0 0 429.520 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001140750547 1073074264 /nfs/dbraw/zinc/07/42/64/1073074264.db2.gz QGSFKPJCBCQELO-AAVRWANBSA-N 0 0 431.511 -0.882 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001140750548 1073074351 /nfs/dbraw/zinc/07/43/51/1073074351.db2.gz QGSFKPJCBCQELO-IGQOVBAYSA-N 0 0 431.511 -0.882 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001140750549 1073074249 /nfs/dbraw/zinc/07/42/49/1073074249.db2.gz QGSFKPJCBCQELO-REWJHTLYSA-N 0 0 431.511 -0.882 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001140750550 1073074315 /nfs/dbraw/zinc/07/43/15/1073074315.db2.gz QGSFKPJCBCQELO-XJFOESAGSA-N 0 0 431.511 -0.882 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1 ZINC001140750581 1073074226 /nfs/dbraw/zinc/07/42/26/1073074226.db2.gz RNTJXQJYHVMUAL-NXEZZACHSA-N 0 0 433.259 -0.192 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]3O)CC2=O)cn1 ZINC001140753726 1073074356 /nfs/dbraw/zinc/07/43/56/1073074356.db2.gz MUHUKRFIHXTZLN-FVQBIDKESA-N 0 0 437.497 -0.021 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]3O)CC2=O)cn1 ZINC001140753727 1073074492 /nfs/dbraw/zinc/07/44/92/1073074492.db2.gz MUHUKRFIHXTZLN-NUEKZKHPSA-N 0 0 437.497 -0.021 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]2O)[C@H]1c1ccnn1C ZINC001140754141 1073074431 /nfs/dbraw/zinc/07/44/31/1073074431.db2.gz YERXBJQGAMPGNF-WNOSKKOXSA-N 0 0 437.497 -0.195 20 0 IBADRN COc1ccc(Br)cc1C[C@@H](CO)CNC(=O)CNC(=O)CNC(C)=O ZINC001140828547 1073074378 /nfs/dbraw/zinc/07/43/78/1073074378.db2.gz MJJWSJWFLICHAS-GFCCVEGCSA-N 0 0 430.299 -0.023 20 0 IBADRN COc1ccc(Br)cc1C[C@H](CO)CNC(=O)CNC(=O)CNC(C)=O ZINC001140828554 1073074255 /nfs/dbraw/zinc/07/42/55/1073074255.db2.gz MJJWSJWFLICHAS-LBPRGKRZSA-N 0 0 430.299 -0.023 20 0 IBADRN Cc1ncc(CO)c(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1O ZINC001140866368 1073074391 /nfs/dbraw/zinc/07/43/91/1073074391.db2.gz MNVQLJLENWUYJI-CGTJXYLNSA-N 0 0 439.534 -0.318 20 0 IBADRN Cc1ncc(CO)c(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1O ZINC001140866369 1073074454 /nfs/dbraw/zinc/07/44/54/1073074454.db2.gz MNVQLJLENWUYJI-KBAYOESNSA-N 0 0 439.534 -0.318 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3COC[C@H]3O)CC2)cc1 ZINC001140869332 1073074628 /nfs/dbraw/zinc/07/46/28/1073074628.db2.gz JIICEJSNRNUJQU-IAGOWNOFSA-N 0 0 425.507 -0.516 20 0 IBADRN Cc1ncc(CO)c(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1O ZINC001140869574 1073074665 /nfs/dbraw/zinc/07/46/65/1073074665.db2.gz RRLLHPCCWLRXQV-IRXDYDNUSA-N 0 0 435.525 -0.315 20 0 IBADRN O=C(NC[C@]1(O)CCS(=O)(=O)C1)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001140885744 1073074767 /nfs/dbraw/zinc/07/47/67/1073074767.db2.gz VOCDLJRJYCJTGE-GOSISDBHSA-N 0 0 441.531 -0.487 20 0 IBADRN O=C(NC[C@@]1(O)CCS(=O)(=O)C1)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001140885749 1073074856 /nfs/dbraw/zinc/07/48/56/1073074856.db2.gz VOCDLJRJYCJTGE-SFHVURJKSA-N 0 0 441.531 -0.487 20 0 IBADRN Cn1cc(I)c(CNC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)n1 ZINC001140972986 1073074715 /nfs/dbraw/zinc/07/47/15/1073074715.db2.gz YATBIGOTHVZMHQ-PLNGDYQASA-N 0 0 429.218 -0.248 20 0 IBADRN Cn1cc(I)c(CNC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)n1 ZINC001140972987 1073074866 /nfs/dbraw/zinc/07/48/66/1073074866.db2.gz YATBIGOTHVZMHQ-SNAWJCMRSA-N 0 0 429.218 -0.248 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001140997918 1073074779 /nfs/dbraw/zinc/07/47/79/1073074779.db2.gz IMFMVGCVDSTCMU-HHHXNRCGSA-N 0 0 432.524 -0.566 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001140997919 1073074756 /nfs/dbraw/zinc/07/47/56/1073074756.db2.gz IMFMVGCVDSTCMU-MHZLTWQESA-N 0 0 432.524 -0.566 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC001140998506 1073074848 /nfs/dbraw/zinc/07/48/48/1073074848.db2.gz YIIHSSOMHHMRQR-GDLZYMKVSA-N 0 0 433.527 -0.070 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC001140998507 1073074885 /nfs/dbraw/zinc/07/48/85/1073074885.db2.gz YIIHSSOMHHMRQR-LJAQVGFWSA-N 0 0 433.527 -0.070 20 0 IBADRN COCCOC1(CNC(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CCOCC1 ZINC001141030032 1073074875 /nfs/dbraw/zinc/07/48/75/1073074875.db2.gz LIELQENXWZCOLX-GOSISDBHSA-N 0 0 427.498 -0.070 20 0 IBADRN COCCOC1(CNC(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CCOCC1 ZINC001141030033 1073075082 /nfs/dbraw/zinc/07/50/82/1073075082.db2.gz LIELQENXWZCOLX-SFHVURJKSA-N 0 0 427.498 -0.070 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001141037817 1073075020 /nfs/dbraw/zinc/07/50/20/1073075020.db2.gz ALTCJFYDRSAXOL-BARDWOONSA-N 0 0 437.584 -0.460 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001141037818 1073075179 /nfs/dbraw/zinc/07/51/79/1073075179.db2.gz ALTCJFYDRSAXOL-KBUPBQIOSA-N 0 0 437.584 -0.460 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001141037819 1073075040 /nfs/dbraw/zinc/07/50/40/1073075040.db2.gz ALTCJFYDRSAXOL-LXTVHRRPSA-N 0 0 437.584 -0.460 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001141037820 1073075091 /nfs/dbraw/zinc/07/50/91/1073075091.db2.gz ALTCJFYDRSAXOL-TUVASFSCSA-N 0 0 437.584 -0.460 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001141039112 1073075101 /nfs/dbraw/zinc/07/51/01/1073075101.db2.gz ZMIQDNBWRJIGIL-CYBMUJFWSA-N 0 0 449.551 -0.040 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001141039113 1073075012 /nfs/dbraw/zinc/07/50/12/1073075012.db2.gz ZMIQDNBWRJIGIL-ZDUSSCGKSA-N 0 0 449.551 -0.040 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC(=O)N[C@@H](C)C3)CC2)cc1 ZINC001141073012 1073075055 /nfs/dbraw/zinc/07/50/55/1073075055.db2.gz LYVAPKRGZAEWHD-INIZCTEOSA-N 0 0 436.534 -0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC(=O)N[C@H](C)C3)CC2)cc1 ZINC001141073014 1073075115 /nfs/dbraw/zinc/07/51/15/1073075115.db2.gz LYVAPKRGZAEWHD-MRXNPFEDSA-N 0 0 436.534 -0.045 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001141203707 1073075030 /nfs/dbraw/zinc/07/50/30/1073075030.db2.gz AIFSYQATBLYJLG-BETUJISGSA-N 0 0 427.866 -0.295 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001141204008 1073075153 /nfs/dbraw/zinc/07/51/53/1073075153.db2.gz KBKWXMXTOJBVRL-LOWVWBTDSA-N 0 0 429.495 -0.043 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001141204009 1073075006 /nfs/dbraw/zinc/07/50/06/1073075006.db2.gz KBKWXMXTOJBVRL-NTZNESFSSA-N 0 0 429.495 -0.043 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001141204111 1073075124 /nfs/dbraw/zinc/07/51/24/1073075124.db2.gz NFNIEERCWQALKI-DEQVHRJGSA-N 0 0 427.866 -0.805 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001141204112 1073075068 /nfs/dbraw/zinc/07/50/68/1073075068.db2.gz NFNIEERCWQALKI-ZZVYKPCYSA-N 0 0 427.866 -0.805 20 0 IBADRN CNC(=O)C1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001141232426 1073075168 /nfs/dbraw/zinc/07/51/68/1073075168.db2.gz WXXVJNTYCSESJP-INIZCTEOSA-N 0 0 445.563 -0.247 20 0 IBADRN CNC(=O)C1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001141232438 1073075161 /nfs/dbraw/zinc/07/51/61/1073075161.db2.gz WXXVJNTYCSESJP-MRXNPFEDSA-N 0 0 445.563 -0.247 20 0 IBADRN O=C(c1cn[nH]c(=O)c1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001141241566 1073074990 /nfs/dbraw/zinc/07/49/90/1073074990.db2.gz DXSPEFDJTIMTPU-UHFFFAOYSA-N 0 0 434.478 -0.440 20 0 IBADRN COC[C@H](OC)C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001141241822 1073075377 /nfs/dbraw/zinc/07/53/77/1073075377.db2.gz LLPGFYPUFIVCOW-INIZCTEOSA-N 0 0 428.511 -0.588 20 0 IBADRN COC[C@@H](OC)C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001141241824 1073075315 /nfs/dbraw/zinc/07/53/15/1073075315.db2.gz LLPGFYPUFIVCOW-MRXNPFEDSA-N 0 0 428.511 -0.588 20 0 IBADRN CN1C(=O)CC[C@@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001141242004 1073075493 /nfs/dbraw/zinc/07/54/93/1073075493.db2.gz NGDUJZGNAGYTQR-MRXNPFEDSA-N 0 0 437.522 -0.628 20 0 IBADRN COC(=O)NCC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001141242054 1073075342 /nfs/dbraw/zinc/07/53/42/1073075342.db2.gz PIIWVNFIIHHQNO-UHFFFAOYSA-N 0 0 427.483 -0.893 20 0 IBADRN Cn1c(C(=O)N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)c[nH]c1=O ZINC001141242058 1073075394 /nfs/dbraw/zinc/07/53/94/1073075394.db2.gz PPCJIVKMXGZGGX-UHFFFAOYSA-N 0 0 436.494 -0.496 20 0 IBADRN CNC(=O)C1(C(=O)N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)CC1 ZINC001141242211 1073075385 /nfs/dbraw/zinc/07/53/85/1073075385.db2.gz RRGSLNOHSDRNBZ-UHFFFAOYSA-N 0 0 437.522 -0.723 20 0 IBADRN O=C([C@H]1CCNC(=O)C1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001141242256 1073075402 /nfs/dbraw/zinc/07/54/02/1073075402.db2.gz UURZHKZXLNKHMQ-HNNXBMFYSA-N 0 0 437.522 -0.723 20 0 IBADRN O=C([C@@H]1CCNC(=O)C1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001141242257 1073075354 /nfs/dbraw/zinc/07/53/54/1073075354.db2.gz UURZHKZXLNKHMQ-OAHLLOKOSA-N 0 0 437.522 -0.723 20 0 IBADRN CC(=O)N(C)CC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001141242367 1073075506 /nfs/dbraw/zinc/07/55/06/1073075506.db2.gz ZVVYUUUUPBFDJW-UHFFFAOYSA-N 0 0 425.511 -0.771 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(c3ncns3)CC2)c1 ZINC001141244837 1073075323 /nfs/dbraw/zinc/07/53/23/1073075323.db2.gz RWYBTOVZBRXJMK-UHFFFAOYSA-N 0 0 444.926 -0.083 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(c2ncns2)CC1 ZINC001141245173 1073075462 /nfs/dbraw/zinc/07/54/62/1073075462.db2.gz YQLLGHMDVUGRGU-UHFFFAOYSA-N 0 0 436.519 -0.379 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)c1cnn2c1S(=O)(=O)N(C)CC2 ZINC001141245567 1073075473 /nfs/dbraw/zinc/07/54/73/1073075473.db2.gz ADNMFDCZEJZBCK-UHFFFAOYSA-N 0 0 427.508 -0.303 20 0 IBADRN NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC001141251262 1073075519 /nfs/dbraw/zinc/07/55/19/1073075519.db2.gz YLGMRKRCTGMPOV-ZJUUUORDSA-N 0 0 442.881 -0.375 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC(C2CS(=O)(=O)C2)C1 ZINC001141254849 1073075364 /nfs/dbraw/zinc/07/53/64/1073075364.db2.gz ICTWTLAHXIFXJZ-UHFFFAOYSA-N 0 0 444.531 -0.167 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CC(C2CS(=O)(=O)C2)C1 ZINC001141255378 1073075332 /nfs/dbraw/zinc/07/53/32/1073075332.db2.gz RGWDZBUYMYJCSH-HOCLYGCPSA-N 0 0 448.950 -0.033 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CC(C2CS(=O)(=O)C2)C1 ZINC001141255379 1073075449 /nfs/dbraw/zinc/07/54/49/1073075449.db2.gz RGWDZBUYMYJCSH-ZBFHGGJFSA-N 0 0 448.950 -0.033 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CC(C3CS(=O)(=O)C3)C2)cc1 ZINC001141255751 1073075304 /nfs/dbraw/zinc/07/53/04/1073075304.db2.gz YUICCEOCQRTXRI-UHFFFAOYSA-N 0 0 430.504 -0.355 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CC(C2CS(=O)(=O)C2)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001141256670 1073075479 /nfs/dbraw/zinc/07/54/79/1073075479.db2.gz KQKHNYNNSDNVKQ-GOSISDBHSA-N 0 0 428.532 -0.056 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CC(C2CS(=O)(=O)C2)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001141256671 1073075415 /nfs/dbraw/zinc/07/54/15/1073075415.db2.gz KQKHNYNNSDNVKQ-SFHVURJKSA-N 0 0 428.532 -0.056 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCN(CC(=O)NCc4ccccc4)CC3)c2S1(=O)=O ZINC001141276414 1073075769 /nfs/dbraw/zinc/07/57/69/1073075769.db2.gz SEXRARRUVSKTJO-UHFFFAOYSA-N 0 0 446.533 -0.409 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(CC(=O)NCc4ccccc4)CC3)cc2S1(=O)=O ZINC001141278721 1073075842 /nfs/dbraw/zinc/07/58/42/1073075842.db2.gz ZDZRKBRYZOROQU-UHFFFAOYSA-N 0 0 446.533 -0.409 20 0 IBADRN NS(=O)(=O)c1ccc(SCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC001141280156 1073075820 /nfs/dbraw/zinc/07/58/20/1073075820.db2.gz GSMLZVHNWYTOFX-UHFFFAOYSA-N 0 0 442.563 -0.571 20 0 IBADRN O=C(Cn1ccc(Br)cc1=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001141280440 1073075716 /nfs/dbraw/zinc/07/57/16/1073075716.db2.gz TYJPOSAQCQXOED-UHFFFAOYSA-N 0 0 427.299 -0.386 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)cn2)CC1 ZINC001141324046 1073075832 /nfs/dbraw/zinc/07/58/32/1073075832.db2.gz RZJHDKXCLZDXJU-CYBMUJFWSA-N 0 0 426.499 -0.176 20 0 IBADRN COC(=O)N1CCN(c2ccc(NC(=O)[C@H]3CCCN(S(N)(=O)=O)C3)cn2)CC1 ZINC001141324047 1073075892 /nfs/dbraw/zinc/07/58/92/1073075892.db2.gz RZJHDKXCLZDXJU-ZDUSSCGKSA-N 0 0 426.499 -0.176 20 0 IBADRN CCC[C@@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)S(N)(=O)=O ZINC001141342132 1073075656 /nfs/dbraw/zinc/07/56/56/1073075656.db2.gz GRMPNZJTPCMGTM-INIZCTEOSA-N 0 0 447.535 -0.252 20 0 IBADRN CCC[C@H](C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)S(N)(=O)=O ZINC001141342133 1073075663 /nfs/dbraw/zinc/07/56/63/1073075663.db2.gz GRMPNZJTPCMGTM-MRXNPFEDSA-N 0 0 447.535 -0.252 20 0 IBADRN CN(C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001141347769 1073075699 /nfs/dbraw/zinc/07/56/99/1073075699.db2.gz KGLGQRHYIATEIH-GXTWGEPZSA-N 0 0 439.943 -0.529 20 0 IBADRN CN(C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001141347770 1073076303 /nfs/dbraw/zinc/07/63/03/1073076303.db2.gz KGLGQRHYIATEIH-JSGCOSHPSA-N 0 0 439.943 -0.529 20 0 IBADRN CN(C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001141347771 1073076066 /nfs/dbraw/zinc/07/60/66/1073076066.db2.gz KGLGQRHYIATEIH-OCCSQVGLSA-N 0 0 439.943 -0.529 20 0 IBADRN CN(C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001141347772 1073076135 /nfs/dbraw/zinc/07/61/35/1073076135.db2.gz KGLGQRHYIATEIH-TZMCWYRMSA-N 0 0 439.943 -0.529 20 0 IBADRN CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001141348074 1073076200 /nfs/dbraw/zinc/07/62/00/1073076200.db2.gz SIMJTDYBSCZVSA-CABCVRRESA-N 0 0 428.486 -0.779 20 0 IBADRN CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001141348075 1073076124 /nfs/dbraw/zinc/07/61/24/1073076124.db2.gz SIMJTDYBSCZVSA-GJZGRUSLSA-N 0 0 428.486 -0.779 20 0 IBADRN CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001141348076 1073076145 /nfs/dbraw/zinc/07/61/45/1073076145.db2.gz SIMJTDYBSCZVSA-HUUCEWRRSA-N 0 0 428.486 -0.779 20 0 IBADRN CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001141348077 1073076291 /nfs/dbraw/zinc/07/62/91/1073076291.db2.gz SIMJTDYBSCZVSA-LSDHHAIUSA-N 0 0 428.486 -0.779 20 0 IBADRN CN(C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001141348136 1073076036 /nfs/dbraw/zinc/07/60/36/1073076036.db2.gz UTMDOMPNBUSQGQ-HNNXBMFYSA-N 0 0 445.563 -0.062 20 0 IBADRN CN(C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001141348137 1073076229 /nfs/dbraw/zinc/07/62/29/1073076229.db2.gz UTMDOMPNBUSQGQ-OAHLLOKOSA-N 0 0 445.563 -0.062 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCC(C(=O)N4CCOCC4)CC3)CC2=O)cn1 ZINC001141349194 1073076112 /nfs/dbraw/zinc/07/61/12/1073076112.db2.gz JYIKFFVJKKNRKO-KRWDZBQOSA-N 0 0 433.509 -0.020 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC(C(=O)N4CCOCC4)CC3)CC2=O)cn1 ZINC001141349195 1073076182 /nfs/dbraw/zinc/07/61/82/1073076182.db2.gz JYIKFFVJKKNRKO-QGZVFWFLSA-N 0 0 433.509 -0.020 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001141359931 1073076194 /nfs/dbraw/zinc/07/61/94/1073076194.db2.gz XADZNQCHQKAAFK-CYBMUJFWSA-N 0 0 429.520 -0.686 20 0 IBADRN CS(=O)(=O)C[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001141359934 1073076052 /nfs/dbraw/zinc/07/60/52/1073076052.db2.gz XADZNQCHQKAAFK-ZDUSSCGKSA-N 0 0 429.520 -0.686 20 0 IBADRN COc1cc(N)c(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1S(C)(=O)=O ZINC001141370449 1073076170 /nfs/dbraw/zinc/07/61/70/1073076170.db2.gz LCMFLWNUVUSYLQ-UHFFFAOYSA-N 0 0 426.495 -0.109 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCN(C(=O)N4CCOCC4)CC3)CC2=O)cn1 ZINC001141370640 1073076157 /nfs/dbraw/zinc/07/61/57/1073076157.db2.gz RRFJSHBAGWKYED-INIZCTEOSA-N 0 0 434.497 -0.521 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCN(C(=O)N4CCOCC4)CC3)CC2=O)cn1 ZINC001141370641 1073076212 /nfs/dbraw/zinc/07/62/12/1073076212.db2.gz RRFJSHBAGWKYED-MRXNPFEDSA-N 0 0 434.497 -0.521 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccn1CCN1CCOCC1 ZINC001141374523 1073076487 /nfs/dbraw/zinc/07/64/87/1073076487.db2.gz GNUXWOIPMAVWOI-INIZCTEOSA-N 0 0 426.539 -0.414 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccn1CCN1CCOCC1 ZINC001141374524 1073076751 /nfs/dbraw/zinc/07/67/51/1073076751.db2.gz GNUXWOIPMAVWOI-MRXNPFEDSA-N 0 0 426.539 -0.414 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001141375406 1073076460 /nfs/dbraw/zinc/07/64/60/1073076460.db2.gz ZNWNUVFRGNHFIP-KBPBESRZSA-N 0 0 444.531 -0.237 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001141375407 1073076550 /nfs/dbraw/zinc/07/65/50/1073076550.db2.gz ZNWNUVFRGNHFIP-KGLIPLIRSA-N 0 0 444.531 -0.237 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001141375408 1073076562 /nfs/dbraw/zinc/07/65/62/1073076562.db2.gz ZNWNUVFRGNHFIP-UONOGXRCSA-N 0 0 444.531 -0.237 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001141375409 1073076589 /nfs/dbraw/zinc/07/65/89/1073076589.db2.gz ZNWNUVFRGNHFIP-ZIAGYGMSSA-N 0 0 444.531 -0.237 20 0 IBADRN Cn1c(CCC(=O)N2CCOCCN2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001141406377 1073076471 /nfs/dbraw/zinc/07/64/71/1073076471.db2.gz ABPIHXMFSZJCGR-UHFFFAOYSA-N 0 0 437.522 -0.110 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC(CN3CCOCC3)C1)c2=O ZINC001141410561 1073077053 /nfs/dbraw/zinc/07/70/53/1073077053.db2.gz DTALSCPVNYEWIX-UHFFFAOYSA-N 0 0 425.287 -0.317 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)C2(O)CN(C(=O)OCc3ccccc3)C2)CC1 ZINC001141410947 1073077140 /nfs/dbraw/zinc/07/71/40/1073077140.db2.gz MEHPBBVWXUZJIG-UHFFFAOYSA-N 0 0 445.519 -0.360 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2ccc(CCNS(C)(=O)=O)cc2)CC1 ZINC001141411154 1073077161 /nfs/dbraw/zinc/07/71/61/1073077161.db2.gz PYEBJSFPMKEWNI-UHFFFAOYSA-N 0 0 437.565 -0.338 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001141411158 1073076992 /nfs/dbraw/zinc/07/69/92/1073076992.db2.gz QIEPBPMOOXMWRV-UHFFFAOYSA-N 0 0 446.292 -0.092 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCC(NS(C)(=O)=O)CC1 ZINC001141411736 1073077090 /nfs/dbraw/zinc/07/70/90/1073077090.db2.gz KGYYPXJSDSQTSP-UHFFFAOYSA-N 0 0 427.527 -0.200 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)cn1C ZINC001141415458 1073077174 /nfs/dbraw/zinc/07/71/74/1073077174.db2.gz LWSJEKRQONWMKO-UHFFFAOYSA-N 0 0 447.583 -0.331 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001141419017 1073076966 /nfs/dbraw/zinc/07/69/66/1073076966.db2.gz JIGHUPPSGUDTGE-AWEZNQCLSA-N 0 0 447.521 -0.800 20 0 IBADRN COc1ccc(OC)c(CN2CCN(C(=O)CCn3cc(C(N)=O)c(=O)[nH]c3=O)CC2)c1 ZINC001141420928 1073076945 /nfs/dbraw/zinc/07/69/45/1073076945.db2.gz QGLLQLVXGRQYPY-UHFFFAOYSA-N 0 0 445.476 -0.201 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC001141433881 1073076983 /nfs/dbraw/zinc/07/69/83/1073076983.db2.gz DAZFZZDMVWXMKB-LBPRGKRZSA-N 0 0 435.911 -0.914 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCN(S(=O)(=O)c2ccccc2Cl)CC1 ZINC001141434161 1073076973 /nfs/dbraw/zinc/07/69/73/1073076973.db2.gz PMBDRMYGZFIOEN-LBPRGKRZSA-N 0 0 441.901 -0.636 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)CNc2ccc(S(N)(=O)=O)cc2F)CC1 ZINC001141445294 1073076956 /nfs/dbraw/zinc/07/69/56/1073076956.db2.gz LYCLOFZVTAHSSY-CYBMUJFWSA-N 0 0 429.518 -0.056 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)CNc2ccc(S(N)(=O)=O)cc2F)CC1 ZINC001141445295 1073077115 /nfs/dbraw/zinc/07/71/15/1073077115.db2.gz LYCLOFZVTAHSSY-ZDUSSCGKSA-N 0 0 429.518 -0.056 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001141445621 1073077150 /nfs/dbraw/zinc/07/71/50/1073077150.db2.gz RHBZDSWLDFUDFW-GRDNDAEWSA-N 0 0 446.595 -0.165 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001141445625 1073077043 /nfs/dbraw/zinc/07/70/43/1073077043.db2.gz RHBZDSWLDFUDFW-JJRVBVJISA-N 0 0 446.595 -0.165 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001141445627 1073077033 /nfs/dbraw/zinc/07/70/33/1073077033.db2.gz RHBZDSWLDFUDFW-VBQJREDUSA-N 0 0 446.595 -0.165 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001141445628 1073077481 /nfs/dbraw/zinc/07/74/81/1073077481.db2.gz RHBZDSWLDFUDFW-ZQIUZPCESA-N 0 0 446.595 -0.165 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC001141459439 1073077590 /nfs/dbraw/zinc/07/75/90/1073077590.db2.gz ZMKJRKHJSFKYJE-HUYLIWGRSA-N 0 0 446.552 -0.545 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC001141459443 1073077514 /nfs/dbraw/zinc/07/75/14/1073077514.db2.gz ZMKJRKHJSFKYJE-YGWPLHOASA-N 0 0 446.552 -0.545 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001141467787 1073077435 /nfs/dbraw/zinc/07/74/35/1073077435.db2.gz HYRPRMFIPJNOKG-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001141467788 1073077544 /nfs/dbraw/zinc/07/75/44/1073077544.db2.gz HYRPRMFIPJNOKG-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)CCCN1C(=O)CN(C)C1=O ZINC001141476303 1073077496 /nfs/dbraw/zinc/07/74/96/1073077496.db2.gz REJFJKAIKWVBJQ-UHFFFAOYSA-N 0 0 425.511 -0.097 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001141483718 1073077424 /nfs/dbraw/zinc/07/74/24/1073077424.db2.gz FYKZPXVGBFPAPY-MQYQWHSLSA-N 0 0 433.527 -0.385 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001141483719 1073077487 /nfs/dbraw/zinc/07/74/87/1073077487.db2.gz FYKZPXVGBFPAPY-RFGFWPKPSA-N 0 0 433.527 -0.385 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12 ZINC001141483720 1073077507 /nfs/dbraw/zinc/07/75/07/1073077507.db2.gz FYKZPXVGBFPAPY-YIYPIFLZSA-N 0 0 433.527 -0.385 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12 ZINC001141483721 1073077413 /nfs/dbraw/zinc/07/74/13/1073077413.db2.gz FYKZPXVGBFPAPY-ZOBORPQBSA-N 0 0 433.527 -0.385 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C2(CS(C)(=O)=O)COC2)CC1 ZINC001141492229 1073077454 /nfs/dbraw/zinc/07/74/54/1073077454.db2.gz IDOYQTHTQROQIM-UHFFFAOYSA-N 0 0 437.584 -0.299 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)c2cnn(C[C@H](O)CO)c2)CC1 ZINC001141492256 1073077867 /nfs/dbraw/zinc/07/78/67/1073077867.db2.gz JIVANKJVXHATJX-KRWDZBQOSA-N 0 0 429.543 -0.497 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)c2cnn(C[C@@H](O)CO)c2)CC1 ZINC001141492257 1073077856 /nfs/dbraw/zinc/07/78/56/1073077856.db2.gz JIVANKJVXHATJX-QGZVFWFLSA-N 0 0 429.543 -0.497 20 0 IBADRN CCO/C=C\[C@](O)(CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(F)(F)F ZINC001141493564 1073077982 /nfs/dbraw/zinc/07/79/82/1073077982.db2.gz FMRNDZHJOLVEOI-CNZYNVRCSA-N 0 0 428.429 -0.018 20 0 IBADRN CCO/C=C/[C@](O)(CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(F)(F)F ZINC001141493565 1073077875 /nfs/dbraw/zinc/07/78/75/1073077875.db2.gz FMRNDZHJOLVEOI-PWNYICNTSA-N 0 0 428.429 -0.018 20 0 IBADRN CCO/C=C\[C@](O)(CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(F)(F)F ZINC001141493566 1073077820 /nfs/dbraw/zinc/07/78/20/1073077820.db2.gz FMRNDZHJOLVEOI-QFVBDXEVSA-N 0 0 428.429 -0.018 20 0 IBADRN CCO/C=C/[C@](O)(CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(F)(F)F ZINC001141493567 1073077990 /nfs/dbraw/zinc/07/79/90/1073077990.db2.gz FMRNDZHJOLVEOI-RYMGSSAJSA-N 0 0 428.429 -0.018 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001141508509 1073077931 /nfs/dbraw/zinc/07/79/31/1073077931.db2.gz BLUVUTGYOHGUEB-UHFFFAOYSA-N 0 0 428.330 -0.088 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001141513997 1073077888 /nfs/dbraw/zinc/07/78/88/1073077888.db2.gz INDLCDDFCOOBDL-AAEUAGOBSA-N 0 0 446.323 -0.237 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001141513998 1073077808 /nfs/dbraw/zinc/07/78/08/1073077808.db2.gz INDLCDDFCOOBDL-DGCLKSJQSA-N 0 0 446.323 -0.237 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001141514091 1073077798 /nfs/dbraw/zinc/07/77/98/1073077798.db2.gz INDLCDDFCOOBDL-WCQYABFASA-N 0 0 446.323 -0.237 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001141514093 1073077789 /nfs/dbraw/zinc/07/77/89/1073077789.db2.gz INDLCDDFCOOBDL-YPMHNXCESA-N 0 0 446.323 -0.237 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCOC4(CN(C(=O)OC(C)(C)C)C4)C3)[C@@H](O)C(=O)N2C)cn1 ZINC001141516244 1073077896 /nfs/dbraw/zinc/07/78/96/1073077896.db2.gz FKVHTWBQTGWRMY-BZUAXINKSA-N 0 0 449.508 -0.241 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC001141523014 1073077968 /nfs/dbraw/zinc/07/79/68/1073077968.db2.gz YSZFCPGYQINLNE-UHFFFAOYSA-N 0 0 427.527 -0.200 20 0 IBADRN O=S1(=O)Cc2cnc(N3CCOCC3)nc2[C@H]2CN(Cc3cn4c(n3)COCC4)C[C@H]21 ZINC001141529421 1073077906 /nfs/dbraw/zinc/07/79/06/1073077906.db2.gz LMMWGVLRZMKCKA-DLBZAZTESA-N 0 0 446.533 -0.064 20 0 IBADRN NC(=O)c1cn(CCC(=O)NCCN2CCN(c3ccc(F)cc3)CC2)c(=O)[nH]c1=O ZINC001141546212 1073078000 /nfs/dbraw/zinc/07/80/00/1073078000.db2.gz ILNCXRAHFNWCHI-UHFFFAOYSA-N 0 0 432.456 -0.485 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3(C)CN(C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC001141571679 1073078360 /nfs/dbraw/zinc/07/83/60/1073078360.db2.gz DXNPVRREWLCIMG-UHFFFAOYSA-N 0 0 445.586 -0.120 20 0 IBADRN CNc1ncnc2cc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)ccc21 ZINC001141571745 1073078341 /nfs/dbraw/zinc/07/83/41/1073078341.db2.gz HGLTUJPQBQOIBQ-UHFFFAOYSA-N 0 0 433.538 -0.079 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@]3(C(=O)OC(C)(C)C)CCCO3)CC2)CC1 ZINC001141571851 1073078260 /nfs/dbraw/zinc/07/82/60/1073078260.db2.gz LXXDRHGVJOZTHZ-IBGZPJMESA-N 0 0 446.570 -0.486 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@]3(C(=O)OC(C)(C)C)CCCO3)CC2)CC1 ZINC001141571852 1073078303 /nfs/dbraw/zinc/07/83/03/1073078303.db2.gz LXXDRHGVJOZTHZ-LJQANCHMSA-N 0 0 446.570 -0.486 20 0 IBADRN Cn1ncc(Br)c1CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001141572122 1073078166 /nfs/dbraw/zinc/07/81/66/1073078166.db2.gz XNBDWHNOUIHZLV-UHFFFAOYSA-N 0 0 449.375 -0.639 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCC(NS(=O)(=O)c2ccc(F)cc2)CC1 ZINC001141576908 1073078230 /nfs/dbraw/zinc/07/82/30/1073078230.db2.gz JGAYBAKSTOIHLB-LBPRGKRZSA-N 0 0 439.473 -0.714 20 0 IBADRN Cc1c[nH]c(CC(N)=O)c1C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001141586646 1073078237 /nfs/dbraw/zinc/07/82/37/1073078237.db2.gz KLJHUUPHJQSPDS-KBPBESRZSA-N 0 0 427.527 -0.537 20 0 IBADRN Cc1c[nH]c(CC(N)=O)c1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001141586647 1073078373 /nfs/dbraw/zinc/07/83/73/1073078373.db2.gz KLJHUUPHJQSPDS-OKILXGFUSA-N 0 0 427.527 -0.537 20 0 IBADRN Cc1c[nH]c(CC(N)=O)c1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001141586648 1073078177 /nfs/dbraw/zinc/07/81/77/1073078177.db2.gz KLJHUUPHJQSPDS-ZIAGYGMSSA-N 0 0 427.527 -0.537 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)S(=O)(=O)CCO1 ZINC001141586891 1073078196 /nfs/dbraw/zinc/07/81/96/1073078196.db2.gz QSBMAZHBEOOFGQ-BETUJISGSA-N 0 0 437.540 -0.839 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)S(=O)(=O)CCO1 ZINC001141586892 1073078284 /nfs/dbraw/zinc/07/82/84/1073078284.db2.gz QSBMAZHBEOOFGQ-CHWSQXEVSA-N 0 0 437.540 -0.839 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)S(=O)(=O)CCO1 ZINC001141586893 1073078689 /nfs/dbraw/zinc/07/86/89/1073078689.db2.gz QSBMAZHBEOOFGQ-STQMWFEESA-N 0 0 437.540 -0.839 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H](c3cncn3C)N(C)C)CC2)C[C@@H](C)O1 ZINC001141586900 1073078620 /nfs/dbraw/zinc/07/86/20/1073078620.db2.gz REMXSTPJMQRMOP-BFYDXBDKSA-N 0 0 428.559 -0.479 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H](c3cncn3C)N(C)C)CC2)C[C@H](C)O1 ZINC001141586901 1073078679 /nfs/dbraw/zinc/07/86/79/1073078679.db2.gz REMXSTPJMQRMOP-HLLBOEOZSA-N 0 0 428.559 -0.479 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H](c3cncn3C)N(C)C)CC2)C[C@@H](C)O1 ZINC001141586902 1073078570 /nfs/dbraw/zinc/07/85/70/1073078570.db2.gz REMXSTPJMQRMOP-INMHGKMJSA-N 0 0 428.559 -0.479 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H](c3cncn3C)N(C)C)CC2)C[C@H](C)O1 ZINC001141586903 1073078668 /nfs/dbraw/zinc/07/86/68/1073078668.db2.gz REMXSTPJMQRMOP-UXLLHSPISA-N 0 0 428.559 -0.479 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001141586970 1073078706 /nfs/dbraw/zinc/07/87/06/1073078706.db2.gz TYEXXYHVFCRSSM-RYUDHWBXSA-N 0 0 429.499 -0.763 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001141586971 1073078524 /nfs/dbraw/zinc/07/85/24/1073078524.db2.gz TYEXXYHVFCRSSM-TXEJJXNPSA-N 0 0 429.499 -0.763 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001141586972 1073078643 /nfs/dbraw/zinc/07/86/43/1073078643.db2.gz TYEXXYHVFCRSSM-VXGBXAGGSA-N 0 0 429.499 -0.763 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3nnn(C(C)(C)C)n3)CC2)C[C@H](C)O1 ZINC001141587145 1073078696 /nfs/dbraw/zinc/07/86/96/1073078696.db2.gz YPMDFXWLJNDRRY-KBPBESRZSA-N 0 0 429.547 -0.531 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3nnn(C(C)(C)C)n3)CC2)C[C@H](C)O1 ZINC001141587146 1073078594 /nfs/dbraw/zinc/07/85/94/1073078594.db2.gz YPMDFXWLJNDRRY-OKILXGFUSA-N 0 0 429.547 -0.531 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cc3nnn(C(C)(C)C)n3)CC2)C[C@@H](C)O1 ZINC001141587147 1073078579 /nfs/dbraw/zinc/07/85/79/1073078579.db2.gz YPMDFXWLJNDRRY-ZIAGYGMSSA-N 0 0 429.547 -0.531 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cccc3cc(C)cnc32)CC1 ZINC001141590225 1073078633 /nfs/dbraw/zinc/07/86/33/1073078633.db2.gz CGEHWDCDCQOCRQ-UHFFFAOYSA-N 0 0 441.535 -0.570 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)NC(=O)N3)CC2)c1 ZINC001141590490 1073078605 /nfs/dbraw/zinc/07/86/05/1073078605.db2.gz KIIPXGPDMAZJHK-AWEZNQCLSA-N 0 0 431.474 -0.026 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)CN3CCNC(=O)C3)CC2)c1 ZINC001141590572 1073079043 /nfs/dbraw/zinc/07/90/43/1073079043.db2.gz NLBXFJCGYZXUCG-UHFFFAOYSA-N 0 0 431.518 -0.192 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)C1 ZINC001141608177 1073078959 /nfs/dbraw/zinc/07/89/59/1073078959.db2.gz PLWABDCILMJLFJ-DZGCQCFKSA-N 0 0 425.511 -0.035 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)C1 ZINC001141608178 1073078984 /nfs/dbraw/zinc/07/89/84/1073078984.db2.gz PLWABDCILMJLFJ-HIFRSBDPSA-N 0 0 425.511 -0.035 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)C1 ZINC001141608179 1073079002 /nfs/dbraw/zinc/07/90/02/1073079002.db2.gz PLWABDCILMJLFJ-UKRRQHHQSA-N 0 0 425.511 -0.035 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)C1 ZINC001141608180 1073078992 /nfs/dbraw/zinc/07/89/92/1073078992.db2.gz PLWABDCILMJLFJ-ZFWWWQNUSA-N 0 0 425.511 -0.035 20 0 IBADRN Cc1cccc([C@H](CNC(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)N2CCOCC2)c1 ZINC001141610387 1073078974 /nfs/dbraw/zinc/07/89/74/1073078974.db2.gz LATQYUSGZNSUNS-KRWDZBQOSA-N 0 0 429.477 -0.064 20 0 IBADRN Cc1cccc([C@@H](CNC(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)N2CCOCC2)c1 ZINC001141610388 1073079452 /nfs/dbraw/zinc/07/94/52/1073079452.db2.gz LATQYUSGZNSUNS-QGZVFWFLSA-N 0 0 429.477 -0.064 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)c3cn[nH]c3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC001141651320 1073079344 /nfs/dbraw/zinc/07/93/44/1073079344.db2.gz FQVYUZCZAFJWTF-UHFFFAOYSA-N 0 0 425.449 -0.302 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NC1CCN(C(=O)c2cn[nH]c2)CC1 ZINC001141651325 1073079248 /nfs/dbraw/zinc/07/92/48/1073079248.db2.gz FWJBGXTUYVCZOE-GOSISDBHSA-N 0 0 432.525 -0.156 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NC1CCN(C(=O)c2cn[nH]c2)CC1 ZINC001141651326 1073079416 /nfs/dbraw/zinc/07/94/16/1073079416.db2.gz FWJBGXTUYVCZOE-SFHVURJKSA-N 0 0 432.525 -0.156 20 0 IBADRN CN(CCN(C)C(=O)C1(CS(C)(=O)=O)COC1)CCN1C(=O)c2ccccc2C1=O ZINC001141657443 1073079401 /nfs/dbraw/zinc/07/94/01/1073079401.db2.gz QLFXIGYRJQYMBI-UHFFFAOYSA-N 0 0 437.518 -0.266 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC001141662757 1073079384 /nfs/dbraw/zinc/07/93/84/1073079384.db2.gz BWUGWTPNZDVDGP-SFHVURJKSA-N 0 0 433.509 -0.173 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2OCCN(C)C2=O)CC1 ZINC001141663180 1073079235 /nfs/dbraw/zinc/07/92/35/1073079235.db2.gz UKNMCYIGISBESF-AWEZNQCLSA-N 0 0 443.478 -0.627 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)[C@@H]2OCCN(C)C2=O)CC1 ZINC001141663181 1073079393 /nfs/dbraw/zinc/07/93/93/1073079393.db2.gz UKNMCYIGISBESF-CQSZACIVSA-N 0 0 443.478 -0.627 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)C2CS(=O)(=O)C2)CC1 ZINC001141663212 1073079350 /nfs/dbraw/zinc/07/93/50/1073079350.db2.gz VTDHAZVISHAUEP-UHFFFAOYSA-N 0 0 434.492 -0.439 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC001141667283 1073079430 /nfs/dbraw/zinc/07/94/30/1073079430.db2.gz RVSSCLNTDWLQJK-GFCCVEGCSA-N 0 0 438.437 -0.751 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC001141667284 1073079360 /nfs/dbraw/zinc/07/93/60/1073079360.db2.gz RVSSCLNTDWLQJK-LBPRGKRZSA-N 0 0 438.437 -0.751 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CC1(O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001141669429 1073079223 /nfs/dbraw/zinc/07/92/23/1073079223.db2.gz OODWWGQYUGBPSD-AWEZNQCLSA-N 0 0 447.554 -0.100 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CC1(O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001141669432 1073079241 /nfs/dbraw/zinc/07/92/41/1073079241.db2.gz OODWWGQYUGBPSD-CQSZACIVSA-N 0 0 447.554 -0.100 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001141669705 1073079216 /nfs/dbraw/zinc/07/92/16/1073079216.db2.gz SDVCGQJQKJJYBK-BFHYXJOUSA-N 0 0 435.543 -0.388 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001141669709 1073079305 /nfs/dbraw/zinc/07/93/05/1073079305.db2.gz SDVCGQJQKJJYBK-IHRRRGAJSA-N 0 0 435.543 -0.388 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001141669711 1073079311 /nfs/dbraw/zinc/07/93/11/1073079311.db2.gz SDVCGQJQKJJYBK-MELADBBJSA-N 0 0 435.543 -0.388 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001141669715 1073079315 /nfs/dbraw/zinc/07/93/15/1073079315.db2.gz SDVCGQJQKJJYBK-MJBXVCDLSA-N 0 0 435.543 -0.388 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cn(C)nc1I ZINC001141669928 1073079595 /nfs/dbraw/zinc/07/95/95/1073079595.db2.gz VSULJWSOEJSNAQ-MRVPVSSYSA-N 0 0 440.263 -0.600 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cn(C)nc1I ZINC001141669929 1073079601 /nfs/dbraw/zinc/07/96/01/1073079601.db2.gz VSULJWSOEJSNAQ-QMMMGPOBSA-N 0 0 440.263 -0.600 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)c1cn(C(F)(F)CO)nn1 ZINC001141675688 1073079732 /nfs/dbraw/zinc/07/97/32/1073079732.db2.gz XGNAYPQXBISSOX-UHFFFAOYSA-N 0 0 445.448 -0.088 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001141680523 1073079719 /nfs/dbraw/zinc/07/97/19/1073079719.db2.gz GDBVYXLVRICIDH-DVZHBHJUSA-N 0 0 432.568 -0.478 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001141680524 1073079642 /nfs/dbraw/zinc/07/96/42/1073079642.db2.gz GDBVYXLVRICIDH-QCQGSNGOSA-N 0 0 432.568 -0.478 20 0 IBADRN O=C(CCNC(=O)c1cc2ncc(Br)cn2n1)N[C@H]1CCS(=O)(=O)C1 ZINC001141680630 1073079607 /nfs/dbraw/zinc/07/96/07/1073079607.db2.gz JWFSRTJEVZTKFX-JTQLQIEISA-N 0 0 430.284 -0.085 20 0 IBADRN O=C(CCNC(=O)c1cc2ncc(Br)cn2n1)N[C@@H]1CCS(=O)(=O)C1 ZINC001141680631 1073079677 /nfs/dbraw/zinc/07/96/77/1073079677.db2.gz JWFSRTJEVZTKFX-SNVBAGLBSA-N 0 0 430.284 -0.085 20 0 IBADRN Cn1ncc(I)c1C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001141680793 1073079656 /nfs/dbraw/zinc/07/96/56/1073079656.db2.gz OGCLELXPLWNNGD-MRVPVSSYSA-N 0 0 440.263 -0.552 20 0 IBADRN Cn1ncc(I)c1C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001141680794 1073079688 /nfs/dbraw/zinc/07/96/88/1073079688.db2.gz OGCLELXPLWNNGD-QMMMGPOBSA-N 0 0 440.263 -0.552 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001141680977 1073079667 /nfs/dbraw/zinc/07/96/67/1073079667.db2.gz URWBRFVYMLFHNF-LLVKDONJSA-N 0 0 437.565 -0.652 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001141680978 1073079584 /nfs/dbraw/zinc/07/95/84/1073079584.db2.gz URWBRFVYMLFHNF-NSHDSACASA-N 0 0 437.565 -0.652 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC1CCS(=O)(=O)CC1 ZINC001141685649 1073079699 /nfs/dbraw/zinc/07/96/99/1073079699.db2.gz MMMLBWSZJDLHDM-HNNXBMFYSA-N 0 0 446.547 -0.078 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC1CCS(=O)(=O)CC1 ZINC001141685650 1073079745 /nfs/dbraw/zinc/07/97/45/1073079745.db2.gz MMMLBWSZJDLHDM-OAHLLOKOSA-N 0 0 446.547 -0.078 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCCc3cc(=O)[nH][nH]3)c2)CC1 ZINC001141691600 1073079618 /nfs/dbraw/zinc/07/96/18/1073079618.db2.gz YSIULGCVIJKCFN-UHFFFAOYSA-N 0 0 435.506 -0.075 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CN3CCNC(=O)C3)CC2)cc1 ZINC001141739163 1073080331 /nfs/dbraw/zinc/08/03/31/1073080331.db2.gz HINXYYXOQGLICA-UHFFFAOYSA-N 0 0 428.536 -0.574 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CN3CCC(=O)NC3=O)CC2)cc1 ZINC001141739170 1073079970 /nfs/dbraw/zinc/07/99/70/1073079970.db2.gz HIUOAXKQZUQTFT-UHFFFAOYSA-N 0 0 442.519 -0.064 20 0 IBADRN Cc1nn(CC(=O)N2CCS(=O)(=NS(=O)(=O)c3ccc(C)cc3)CC2)c(=O)n1C ZINC001141739595 1073080321 /nfs/dbraw/zinc/08/03/21/1073080321.db2.gz LLNSESCXPYMANO-UHFFFAOYSA-N 0 0 441.535 -0.102 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C2=CN(C)S(=O)(=O)N=C2C)cc1 ZINC001141776617 1073080229 /nfs/dbraw/zinc/08/02/29/1073080229.db2.gz FMFUYEUEVLAHJR-UHFFFAOYSA-N 0 0 430.508 -0.238 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001141789661 1072525395 /nfs/dbraw/zinc/52/53/95/1072525395.db2.gz MGPCLWIHYCLWIX-DOMZBBRYSA-N 0 0 426.470 -0.790 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001141789662 1072525360 /nfs/dbraw/zinc/52/53/60/1072525360.db2.gz MGPCLWIHYCLWIX-WFASDCNBSA-N 0 0 426.470 -0.790 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2cscn2)[C@@H](C)C1 ZINC001141822657 1073080345 /nfs/dbraw/zinc/08/03/45/1073080345.db2.gz DRBVDUIVQJRYBC-ZDUSSCGKSA-N 0 0 445.567 -0.350 20 0 IBADRN O=C(CCn1cccn1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001141823752 1073080337 /nfs/dbraw/zinc/08/03/37/1073080337.db2.gz MSBWTCNFJMKPRD-JKSUJKDBSA-N 0 0 432.506 -0.177 20 0 IBADRN COC(=O)c1cnc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001141825751 1073080296 /nfs/dbraw/zinc/08/02/96/1073080296.db2.gz YHLZKMHUOAWACL-BFYDXBDKSA-N 0 0 438.506 -0.643 20 0 IBADRN COC(=O)c1cnc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001141825752 1073080750 /nfs/dbraw/zinc/08/07/50/1073080750.db2.gz YHLZKMHUOAWACL-ZMSDIMECSA-N 0 0 438.506 -0.643 20 0 IBADRN Cn1nc2c(c1C(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)CS(=O)(=O)CC2 ZINC001141827301 1073080564 /nfs/dbraw/zinc/08/05/64/1073080564.db2.gz CPEXCDKNGHHWKV-UHFFFAOYSA-N 0 0 445.501 -0.607 20 0 IBADRN O=C(CC1(n2cnnn2)CCOCC1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001141827528 1073080653 /nfs/dbraw/zinc/08/06/53/1073080653.db2.gz MCHWQNUHTMLNSH-UHFFFAOYSA-N 0 0 427.465 -0.543 20 0 IBADRN COC(=O)c1cnc(CN2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)cn1 ZINC001141828328 1073080687 /nfs/dbraw/zinc/08/06/87/1073080687.db2.gz RNUUJSSMUNJRFE-CZUORRHYSA-N 0 0 425.511 -0.423 20 0 IBADRN COC(=O)c1cnc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1 ZINC001141829806 1073080596 /nfs/dbraw/zinc/08/05/96/1073080596.db2.gz KXXMYADSAHUWCE-HOCLYGCPSA-N 0 0 434.497 -0.640 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)CC1 ZINC001141833359 1073080764 /nfs/dbraw/zinc/08/07/64/1073080764.db2.gz BOOKZVBGFKVSBI-HUUCEWRRSA-N 0 0 425.511 -0.457 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001141833750 1073080581 /nfs/dbraw/zinc/08/05/81/1073080581.db2.gz LKKZTJGZAJQNRF-ZIAGYGMSSA-N 0 0 425.463 -0.758 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)CCCC1 ZINC001141834265 1073080712 /nfs/dbraw/zinc/08/07/12/1073080712.db2.gz ZAVIIHKXOXEOPP-ZIAGYGMSSA-N 0 0 426.495 -0.002 20 0 IBADRN CC[C@](COC)(NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)OC ZINC001141836436 1072533868 /nfs/dbraw/zinc/53/38/68/1072533868.db2.gz NDJUIMSGNJSLHK-GOSISDBHSA-N 0 0 429.495 -0.249 20 0 IBADRN CC[C@@](COC)(NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)OC ZINC001141836437 1072533696 /nfs/dbraw/zinc/53/36/96/1072533696.db2.gz NDJUIMSGNJSLHK-SFHVURJKSA-N 0 0 429.495 -0.249 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCn1cccn1)C(N)=O ZINC001141849610 1073080611 /nfs/dbraw/zinc/08/06/11/1073080611.db2.gz RPHGCYSHHOGCSM-GJZGRUSLSA-N 0 0 440.570 -0.357 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1F ZINC001141863665 1073080676 /nfs/dbraw/zinc/08/06/76/1073080676.db2.gz PFACJZXFWHUWAN-DOMZBBRYSA-N 0 0 428.486 -0.270 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1F ZINC001141863666 1073080545 /nfs/dbraw/zinc/08/05/45/1073080545.db2.gz PFACJZXFWHUWAN-IUODEOHRSA-N 0 0 428.486 -0.270 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1F ZINC001141863667 1073080555 /nfs/dbraw/zinc/08/05/55/1073080555.db2.gz PFACJZXFWHUWAN-SWLSCSKDSA-N 0 0 428.486 -0.270 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1F ZINC001141863668 1073080744 /nfs/dbraw/zinc/08/07/44/1073080744.db2.gz PFACJZXFWHUWAN-WFASDCNBSA-N 0 0 428.486 -0.270 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC001141864291 1073080666 /nfs/dbraw/zinc/08/06/66/1073080666.db2.gz GYSCQLGGKPBHJD-NEPJUHHUSA-N 0 0 426.495 -0.180 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC001141864294 1073080642 /nfs/dbraw/zinc/08/06/42/1073080642.db2.gz GYSCQLGGKPBHJD-NWDGAFQWSA-N 0 0 426.495 -0.180 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC001141864295 1073080514 /nfs/dbraw/zinc/08/05/14/1073080514.db2.gz GYSCQLGGKPBHJD-RYUDHWBXSA-N 0 0 426.495 -0.180 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC001141864296 1073080758 /nfs/dbraw/zinc/08/07/58/1073080758.db2.gz GYSCQLGGKPBHJD-VXGBXAGGSA-N 0 0 426.495 -0.180 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)CSCC(=O)N1CCOCC1 ZINC001141864694 1073080731 /nfs/dbraw/zinc/08/07/31/1073080731.db2.gz KNYDJNJEHBXSIR-CHWSQXEVSA-N 0 0 433.552 -0.452 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CSCC(=O)N1CCOCC1 ZINC001141864695 1073080736 /nfs/dbraw/zinc/08/07/36/1073080736.db2.gz KNYDJNJEHBXSIR-OLZOCXBDSA-N 0 0 433.552 -0.452 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)CSCC(=O)N1CCOCC1 ZINC001141864696 1073080986 /nfs/dbraw/zinc/08/09/86/1073080986.db2.gz KNYDJNJEHBXSIR-QWHCGFSZSA-N 0 0 433.552 -0.452 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CSCC(=O)N1CCOCC1 ZINC001141864697 1073080629 /nfs/dbraw/zinc/08/06/29/1073080629.db2.gz KNYDJNJEHBXSIR-STQMWFEESA-N 0 0 433.552 -0.452 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CNS(=O)(=O)c1cccnc1 ZINC001141864836 1073081065 /nfs/dbraw/zinc/08/10/65/1073081065.db2.gz LXCYUVMRSPYUTB-AAEUAGOBSA-N 0 0 430.508 -0.671 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)CNS(=O)(=O)c1cccnc1 ZINC001141864839 1073081010 /nfs/dbraw/zinc/08/10/10/1073081010.db2.gz LXCYUVMRSPYUTB-DGCLKSJQSA-N 0 0 430.508 -0.671 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)CNS(=O)(=O)c1cccnc1 ZINC001141864840 1073080977 /nfs/dbraw/zinc/08/09/77/1073080977.db2.gz LXCYUVMRSPYUTB-WCQYABFASA-N 0 0 430.508 -0.671 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CNS(=O)(=O)c1cccnc1 ZINC001141864842 1073080931 /nfs/dbraw/zinc/08/09/31/1073080931.db2.gz LXCYUVMRSPYUTB-YPMHNXCESA-N 0 0 430.508 -0.671 20 0 IBADRN Cn1cc(CCC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)cn1 ZINC001141867272 1073080937 /nfs/dbraw/zinc/08/09/37/1073080937.db2.gz OATQHBNLQHPHNL-DLBZAZTESA-N 0 0 446.533 -0.098 20 0 IBADRN O=C(Nc1nncs1)C1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001141870998 1072539731 /nfs/dbraw/zinc/53/97/31/1072539731.db2.gz VRXUVMAQJAOVRX-CHWSQXEVSA-N 0 0 441.535 -0.249 20 0 IBADRN O=C(Nc1nncs1)C1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001141870999 1072540487 /nfs/dbraw/zinc/54/04/87/1072540487.db2.gz VRXUVMAQJAOVRX-OLZOCXBDSA-N 0 0 441.535 -0.249 20 0 IBADRN O=C(Nc1nncs1)C1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001141871000 1072540523 /nfs/dbraw/zinc/54/05/23/1072540523.db2.gz VRXUVMAQJAOVRX-QWHCGFSZSA-N 0 0 441.535 -0.249 20 0 IBADRN O=C(Nc1nncs1)C1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001141871001 1072540218 /nfs/dbraw/zinc/54/02/18/1072540218.db2.gz VRXUVMAQJAOVRX-STQMWFEESA-N 0 0 441.535 -0.249 20 0 IBADRN O=C(Cn1ccccc1=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001141897681 1073080956 /nfs/dbraw/zinc/08/09/56/1073080956.db2.gz BCDMWXUEGQLDOD-JKSUJKDBSA-N 0 0 445.501 -0.602 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)Cn2ccccc2=O)CC3)C1=O ZINC001141898155 1073081050 /nfs/dbraw/zinc/08/10/50/1073081050.db2.gz OLWXCFKZWHFIDI-FQEVSTJZSA-N 0 0 436.534 -0.419 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)Cn2ccccc2=O)CC3)C1=O ZINC001141898157 1073081079 /nfs/dbraw/zinc/08/10/79/1073081079.db2.gz OLWXCFKZWHFIDI-HXUWFJFHSA-N 0 0 436.534 -0.419 20 0 IBADRN O=C(Cn1ccccc1=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001141898675 1073080946 /nfs/dbraw/zinc/08/09/46/1073080946.db2.gz XONPEWWYZDRNIG-UWVAXJGDSA-N 0 0 430.486 -0.173 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)Cn3ccccc3=O)CC2)c2nonc21 ZINC001141906952 1073081057 /nfs/dbraw/zinc/08/10/57/1073081057.db2.gz SSYSYGPIKULQOV-UHFFFAOYSA-N 0 0 446.489 -0.016 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)cn1C ZINC001141907385 1073081040 /nfs/dbraw/zinc/08/10/40/1073081040.db2.gz YYBATFDGMBUWEW-CYBMUJFWSA-N 0 0 431.515 -0.241 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@H]2CN(C(=O)OC(C)(C)C)CCO2)cn1C ZINC001141907386 1073081089 /nfs/dbraw/zinc/08/10/89/1073081089.db2.gz YYBATFDGMBUWEW-ZDUSSCGKSA-N 0 0 431.515 -0.241 20 0 IBADRN Cc1nn(CC(F)F)cc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141917692 1073081016 /nfs/dbraw/zinc/08/10/16/1073081016.db2.gz AYRKNDPRULXCIU-GFCCVEGCSA-N 0 0 440.494 -0.269 20 0 IBADRN Cc1nn(CC(F)F)cc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141917693 1073081024 /nfs/dbraw/zinc/08/10/24/1073081024.db2.gz AYRKNDPRULXCIU-LBPRGKRZSA-N 0 0 440.494 -0.269 20 0 IBADRN Cc1csc2nc(CC(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cn12 ZINC001141917933 1073081372 /nfs/dbraw/zinc/08/13/72/1073081372.db2.gz JKHZHKWCFIAFMO-AWEZNQCLSA-N 0 0 446.576 -0.092 20 0 IBADRN Cc1csc2nc(CC(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cn12 ZINC001141917934 1073081328 /nfs/dbraw/zinc/08/13/28/1073081328.db2.gz JKHZHKWCFIAFMO-CQSZACIVSA-N 0 0 446.576 -0.092 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(-c2ccco2)n1 ZINC001141917979 1073081273 /nfs/dbraw/zinc/08/12/73/1073081273.db2.gz LDEKNASMWPDMGG-CYBMUJFWSA-N 0 0 442.519 -0.045 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(-c2ccco2)n1 ZINC001141917980 1073081265 /nfs/dbraw/zinc/08/12/65/1073081265.db2.gz LDEKNASMWPDMGG-ZDUSSCGKSA-N 0 0 442.519 -0.045 20 0 IBADRN O=C(Cc1cn2c(n1)CCCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141917984 1073081281 /nfs/dbraw/zinc/08/12/81/1073081281.db2.gz LELFHLQKETWGMF-HNNXBMFYSA-N 0 0 430.552 -0.577 20 0 IBADRN O=C(Cc1cn2c(n1)CCCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141917985 1073081297 /nfs/dbraw/zinc/08/12/97/1073081297.db2.gz LELFHLQKETWGMF-OAHLLOKOSA-N 0 0 430.552 -0.577 20 0 IBADRN COc1ccccc1[C@H](O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141918058 1073081316 /nfs/dbraw/zinc/08/13/16/1073081316.db2.gz NFFZPMZOTCQCAD-BBRMVZONSA-N 0 0 432.520 -0.610 20 0 IBADRN COc1ccccc1[C@H](O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141918059 1073081387 /nfs/dbraw/zinc/08/13/87/1073081387.db2.gz NFFZPMZOTCQCAD-CJNGLKHVSA-N 0 0 432.520 -0.610 20 0 IBADRN COc1ccccc1[C@@H](O)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141918060 1073081322 /nfs/dbraw/zinc/08/13/22/1073081322.db2.gz NFFZPMZOTCQCAD-CZUORRHYSA-N 0 0 432.520 -0.610 20 0 IBADRN COc1ccccc1[C@@H](O)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141918061 1073081368 /nfs/dbraw/zinc/08/13/68/1073081368.db2.gz NFFZPMZOTCQCAD-XJKSGUPXSA-N 0 0 432.520 -0.610 20 0 IBADRN CO[C@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141918078 1073081390 /nfs/dbraw/zinc/08/13/90/1073081390.db2.gz NWGGVIMYPDFSSA-DLBZAZTESA-N 0 0 430.548 -0.095 20 0 IBADRN CO[C@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141918079 1073081253 /nfs/dbraw/zinc/08/12/53/1073081253.db2.gz NWGGVIMYPDFSSA-IAGOWNOFSA-N 0 0 430.548 -0.095 20 0 IBADRN CO[C@@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141918080 1073081345 /nfs/dbraw/zinc/08/13/45/1073081345.db2.gz NWGGVIMYPDFSSA-IRXDYDNUSA-N 0 0 430.548 -0.095 20 0 IBADRN CO[C@@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141918081 1073081340 /nfs/dbraw/zinc/08/13/40/1073081340.db2.gz NWGGVIMYPDFSSA-SJORKVTESA-N 0 0 430.548 -0.095 20 0 IBADRN CC(=O)Nc1cccnc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141918084 1073081379 /nfs/dbraw/zinc/08/13/79/1073081379.db2.gz NXRYEFQYMSVSEZ-CYBMUJFWSA-N 0 0 430.508 -0.685 20 0 IBADRN CC(=O)Nc1cccnc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141918085 1073081335 /nfs/dbraw/zinc/08/13/35/1073081335.db2.gz NXRYEFQYMSVSEZ-ZDUSSCGKSA-N 0 0 430.508 -0.685 20 0 IBADRN O=C(c1[nH]cnc1C(F)(F)F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141918163 1073081351 /nfs/dbraw/zinc/08/13/51/1073081351.db2.gz QXPAOHGEYOIXLK-SECBINFHSA-N 0 0 430.430 -0.297 20 0 IBADRN O=C(c1[nH]cnc1C(F)(F)F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141918164 1073081212 /nfs/dbraw/zinc/08/12/12/1073081212.db2.gz QXPAOHGEYOIXLK-VIFPVBQESA-N 0 0 430.430 -0.297 20 0 IBADRN O=C(c1ccnc(OC(F)F)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001141918377 1073081573 /nfs/dbraw/zinc/08/15/73/1073081573.db2.gz XTEWNVHBMVPUMK-GFCCVEGCSA-N 0 0 439.462 -0.042 20 0 IBADRN O=C(c1ccnc(OC(F)F)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001141918378 1073081629 /nfs/dbraw/zinc/08/16/29/1073081629.db2.gz XTEWNVHBMVPUMK-LBPRGKRZSA-N 0 0 439.462 -0.042 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)Cn2ccccc2=O)CC1 ZINC001141921259 1073081781 /nfs/dbraw/zinc/08/17/81/1073081781.db2.gz BZBLKQLUBSCFBW-UHFFFAOYSA-N 0 0 428.511 -0.153 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NC[C@@H](O)Cn2ccnn2)cc1 ZINC001141930338 1073081545 /nfs/dbraw/zinc/08/15/45/1073081545.db2.gz DRXIGUAURJWXMZ-JFIYKMOQSA-N 0 0 437.522 -0.297 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@H](O)Cn2ccnn2)cc1 ZINC001141930339 1073081725 /nfs/dbraw/zinc/08/17/25/1073081725.db2.gz DRXIGUAURJWXMZ-NUJGCVRESA-N 0 0 437.522 -0.297 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@H](O)Cn2ccnn2)cc1 ZINC001141930340 1073081694 /nfs/dbraw/zinc/08/16/94/1073081694.db2.gz DRXIGUAURJWXMZ-RYRKJORJSA-N 0 0 437.522 -0.297 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NC[C@H](O)Cn2ccnn2)cc1 ZINC001141930341 1073081666 /nfs/dbraw/zinc/08/16/66/1073081666.db2.gz DRXIGUAURJWXMZ-SOLBZPMBSA-N 0 0 437.522 -0.297 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)c2cnn(C[C@H](O)CO)c2)c1 ZINC001141935318 1073081590 /nfs/dbraw/zinc/08/15/90/1073081590.db2.gz STSNQRIAMDGUEW-IBGZPJMESA-N 0 0 431.493 -0.173 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)c2cnn(C[C@@H](O)CO)c2)c1 ZINC001141935319 1073081738 /nfs/dbraw/zinc/08/17/38/1073081738.db2.gz STSNQRIAMDGUEW-LJQANCHMSA-N 0 0 431.493 -0.173 20 0 IBADRN O=C(Cn1cccnc1=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001141935670 1073081717 /nfs/dbraw/zinc/08/17/17/1073081717.db2.gz IDUYZXHFWWZQHQ-GJYPPUQNSA-N 0 0 431.474 -0.778 20 0 IBADRN O=C(Cn1cccnc1=O)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001141935868 1073081761 /nfs/dbraw/zinc/08/17/61/1073081761.db2.gz PNVLTFJUMUGERI-LQWHRVPQSA-N 0 0 444.513 -0.130 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)Cn3cccnc3=O)CC2)c2nonc21 ZINC001141943026 1073081707 /nfs/dbraw/zinc/08/17/07/1073081707.db2.gz FGUZJXPYJZLAMN-UHFFFAOYSA-N 0 0 447.477 -0.621 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](O)CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001141944806 1073081642 /nfs/dbraw/zinc/08/16/42/1073081642.db2.gz BCSAPNQXCHESQH-CYBMUJFWSA-N 0 0 426.470 -0.918 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H](O)CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001141944807 1073081681 /nfs/dbraw/zinc/08/16/81/1073081681.db2.gz BCSAPNQXCHESQH-ZDUSSCGKSA-N 0 0 426.470 -0.918 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cc(Br)c[nH]c2=O)CC1 ZINC001141945314 1073081769 /nfs/dbraw/zinc/08/17/69/1073081769.db2.gz TXZKTMIGQYMNIC-UHFFFAOYSA-N 0 0 425.239 -0.017 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@]2(c3ccccc3)CCC(=O)NC2)CC1 ZINC001141945331 1073081584 /nfs/dbraw/zinc/08/15/84/1073081584.db2.gz UMZCNRVOXSCXSV-JOCHJYFZSA-N 0 0 426.473 -0.346 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@]2(c3ccccc3)CCC(=O)NC2)CC1 ZINC001141945332 1073081616 /nfs/dbraw/zinc/08/16/16/1073081616.db2.gz UMZCNRVOXSCXSV-QFIPXVFZSA-N 0 0 426.473 -0.346 20 0 IBADRN Cc1nnnn1-c1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(F)c1 ZINC001141945357 1073081655 /nfs/dbraw/zinc/08/16/55/1073081655.db2.gz VIZZAYWIMHEAMN-UHFFFAOYSA-N 0 0 429.412 -0.457 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cc(Br)cn3ncnc23)CC1 ZINC001141945388 1073082097 /nfs/dbraw/zinc/08/20/97/1073082097.db2.gz XBZITXVSJZENQS-UHFFFAOYSA-N 0 0 449.265 -0.075 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)Cn2cccnc2=O)CC1 ZINC001141954150 1073082053 /nfs/dbraw/zinc/08/20/53/1073082053.db2.gz KXEPAQMSRBGVOL-UHFFFAOYSA-N 0 0 429.499 -0.758 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1 ZINC001141967894 1073081940 /nfs/dbraw/zinc/08/19/40/1073081940.db2.gz FHAUIHZEPJVVKI-CVEARBPZSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1 ZINC001141967895 1073082111 /nfs/dbraw/zinc/08/21/11/1073082111.db2.gz FHAUIHZEPJVVKI-HOTGVXAUSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1 ZINC001141967896 1073081981 /nfs/dbraw/zinc/08/19/81/1073081981.db2.gz FHAUIHZEPJVVKI-HZPDHXFCSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1 ZINC001141967897 1073081918 /nfs/dbraw/zinc/08/19/18/1073081918.db2.gz FHAUIHZEPJVVKI-JKSUJKDBSA-N 0 0 432.520 -0.361 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001141969058 1073081948 /nfs/dbraw/zinc/08/19/48/1073081948.db2.gz SOYFWSFFHNCJTF-CVEARBPZSA-N 0 0 441.531 -0.005 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001141969059 1073081909 /nfs/dbraw/zinc/08/19/09/1073081909.db2.gz SOYFWSFFHNCJTF-HOTGVXAUSA-N 0 0 441.531 -0.005 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001141969060 1073082039 /nfs/dbraw/zinc/08/20/39/1073082039.db2.gz SOYFWSFFHNCJTF-HZPDHXFCSA-N 0 0 441.531 -0.005 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001141969061 1073082022 /nfs/dbraw/zinc/08/20/22/1073082022.db2.gz SOYFWSFFHNCJTF-JKSUJKDBSA-N 0 0 441.531 -0.005 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)c1 ZINC001141969190 1073082070 /nfs/dbraw/zinc/08/20/70/1073082070.db2.gz VDEIASYXZPDYJF-DLBZAZTESA-N 0 0 446.547 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)c1 ZINC001141969191 1073081934 /nfs/dbraw/zinc/08/19/34/1073081934.db2.gz VDEIASYXZPDYJF-IAGOWNOFSA-N 0 0 446.547 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)c1 ZINC001141969192 1073081992 /nfs/dbraw/zinc/08/19/92/1073081992.db2.gz VDEIASYXZPDYJF-IRXDYDNUSA-N 0 0 446.547 -0.052 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)c1 ZINC001141969193 1073081971 /nfs/dbraw/zinc/08/19/71/1073081971.db2.gz VDEIASYXZPDYJF-SJORKVTESA-N 0 0 446.547 -0.052 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1S(N)(=O)=O ZINC001141969350 1073082082 /nfs/dbraw/zinc/08/20/82/1073082082.db2.gz WQKUQPXIJVTPNK-GXTWGEPZSA-N 0 0 436.483 -0.561 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1S(N)(=O)=O ZINC001141969351 1073082125 /nfs/dbraw/zinc/08/21/25/1073082125.db2.gz WQKUQPXIJVTPNK-JSGCOSHPSA-N 0 0 436.483 -0.561 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1S(N)(=O)=O ZINC001141969352 1073082016 /nfs/dbraw/zinc/08/20/16/1073082016.db2.gz WQKUQPXIJVTPNK-OCCSQVGLSA-N 0 0 436.483 -0.561 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1S(N)(=O)=O ZINC001141969353 1073081959 /nfs/dbraw/zinc/08/19/59/1073081959.db2.gz WQKUQPXIJVTPNK-TZMCWYRMSA-N 0 0 436.483 -0.561 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)Cn3cccnc3=O)ccc12 ZINC001141970276 1073081926 /nfs/dbraw/zinc/08/19/26/1073081926.db2.gz XEFCHUJATRAFDX-UHFFFAOYSA-N 0 0 425.401 -0.471 20 0 IBADRN C[C@H](C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001141971320 1073082241 /nfs/dbraw/zinc/08/22/41/1073082241.db2.gz BGTPGCSTRXSXMU-ILXRZTDVSA-N 0 0 445.538 -0.131 20 0 IBADRN C[C@H](C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001141971321 1073082481 /nfs/dbraw/zinc/08/24/81/1073082481.db2.gz BGTPGCSTRXSXMU-KFWWJZLASA-N 0 0 445.538 -0.131 20 0 IBADRN C[C@H](C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001141971322 1073082283 /nfs/dbraw/zinc/08/22/83/1073082283.db2.gz BGTPGCSTRXSXMU-QLFBSQMISA-N 0 0 445.538 -0.131 20 0 IBADRN C[C@H](C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001141971323 1073082475 /nfs/dbraw/zinc/08/24/75/1073082475.db2.gz BGTPGCSTRXSXMU-RBSFLKMASA-N 0 0 445.538 -0.131 20 0 IBADRN Cc1nnc(CC(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)o1 ZINC001141974920 1073082357 /nfs/dbraw/zinc/08/23/57/1073082357.db2.gz YVVBSNTVHWGENF-GOSISDBHSA-N 0 0 425.511 -0.347 20 0 IBADRN Cc1nnc(CC(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)o1 ZINC001141974921 1073082443 /nfs/dbraw/zinc/08/24/43/1073082443.db2.gz YVVBSNTVHWGENF-SFHVURJKSA-N 0 0 425.511 -0.347 20 0 IBADRN Cc1nnc(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)o1 ZINC001141975225 1073082345 /nfs/dbraw/zinc/08/23/45/1073082345.db2.gz LENJVHKZBWACQF-UONOGXRCSA-N 0 0 434.478 -0.530 20 0 IBADRN CCC[C@H](O)[C@@H](CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001141984452 1072589863 /nfs/dbraw/zinc/58/98/63/1072589863.db2.gz NLQUUUJCYVRPPL-CVEARBPZSA-N 0 0 430.523 -0.103 20 0 IBADRN CCC[C@H](O)[C@H](CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001141984453 1072589817 /nfs/dbraw/zinc/58/98/17/1072589817.db2.gz NLQUUUJCYVRPPL-HOTGVXAUSA-N 0 0 430.523 -0.103 20 0 IBADRN CCC[C@@H](O)[C@@H](CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001141984454 1072590055 /nfs/dbraw/zinc/59/00/55/1072590055.db2.gz NLQUUUJCYVRPPL-HZPDHXFCSA-N 0 0 430.523 -0.103 20 0 IBADRN CCC[C@@H](O)[C@H](CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001141984455 1072590524 /nfs/dbraw/zinc/59/05/24/1072590524.db2.gz NLQUUUJCYVRPPL-JKSUJKDBSA-N 0 0 430.523 -0.103 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](CO)[C@H](O)C3)ccc2F)CC1 ZINC001141989027 1073082397 /nfs/dbraw/zinc/08/23/97/1073082397.db2.gz JZQFGPIETOJDKX-GDBMZVCRSA-N 0 0 429.470 -0.896 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@@H](O)[C@H](CO)C3)ccc2F)CC1 ZINC001141989028 1073082255 /nfs/dbraw/zinc/08/22/55/1073082255.db2.gz JZQFGPIETOJDKX-GOEBONIOSA-N 0 0 429.470 -0.896 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](O)[C@H](CO)C3)ccc2F)CC1 ZINC001141989029 1073082426 /nfs/dbraw/zinc/08/24/26/1073082426.db2.gz JZQFGPIETOJDKX-HOCLYGCPSA-N 0 0 429.470 -0.896 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](O)[C@@H](CO)C3)ccc2F)CC1 ZINC001141989030 1073082432 /nfs/dbraw/zinc/08/24/32/1073082432.db2.gz JZQFGPIETOJDKX-ZBFHGGJFSA-N 0 0 429.470 -0.896 20 0 IBADRN CCC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001142002350 1073082372 /nfs/dbraw/zinc/08/23/72/1073082372.db2.gz GWQVAWMILHKAGA-UHFFFAOYSA-N 0 0 431.536 -0.161 20 0 IBADRN CN(CC(=O)N1CCN(CC(N)=O)C(=O)C1)S(=O)(=O)c1ccc(Br)cc1 ZINC001142005883 1072602786 /nfs/dbraw/zinc/60/27/86/1072602786.db2.gz LTBCKZWMLYAFAI-UHFFFAOYSA-N 0 0 447.311 -0.774 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCCCC3)c2)CC1=O ZINC001142006434 1073082270 /nfs/dbraw/zinc/08/22/70/1073082270.db2.gz XGKSMDXNSGSPGQ-UHFFFAOYSA-N 0 0 426.470 -0.230 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1nnc(C)o1)C(N)=O ZINC001142007340 1073082385 /nfs/dbraw/zinc/08/23/85/1073082385.db2.gz BEWDPLWFUKFCBO-STQMWFEESA-N 0 0 442.542 -0.709 20 0 IBADRN O=C(Cc1ccc(F)cn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001142015138 1073082493 /nfs/dbraw/zinc/08/24/93/1073082493.db2.gz DKDUBIWUDRMQIY-BRWVUGGUSA-N 0 0 425.482 -0.116 20 0 IBADRN O=C(Cc1ccc(F)cn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001142015142 1073082310 /nfs/dbraw/zinc/08/23/10/1073082310.db2.gz DKDUBIWUDRMQIY-GVDBMIGSSA-N 0 0 425.482 -0.116 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)C2CCN(c3ccc4nncn4n3)CC2)CC1 ZINC001142019522 1073082756 /nfs/dbraw/zinc/08/27/56/1073082756.db2.gz JQXQWJZIDDAKLO-UHFFFAOYSA-N 0 0 436.542 -0.272 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)[C@@H]2CN(C(=O)OC(C)(C)C)CCN2C)CC1 ZINC001142021466 1073082784 /nfs/dbraw/zinc/08/27/84/1073082784.db2.gz IBMTXZIVJSOTDK-HNNXBMFYSA-N 0 0 433.575 -0.076 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)[C@H]2CN(C(=O)OC(C)(C)C)CCN2C)CC1 ZINC001142021501 1073082683 /nfs/dbraw/zinc/08/26/83/1073082683.db2.gz IBMTXZIVJSOTDK-OAHLLOKOSA-N 0 0 433.575 -0.076 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)[C@H]1c1ccnn1C ZINC001142021683 1073082626 /nfs/dbraw/zinc/08/26/26/1073082626.db2.gz LVCIKMZKNGDCQT-SJORKVTESA-N 0 0 442.542 -0.905 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)[C@H]1c1nccn1C ZINC001142021751 1073082744 /nfs/dbraw/zinc/08/27/44/1073082744.db2.gz NPRYFPUUJCLPDW-HOTGVXAUSA-N 0 0 442.542 -0.905 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)c1c2c(nn1C)CCS(=O)(=O)C2)C1CCCC1 ZINC001142024221 1073082869 /nfs/dbraw/zinc/08/28/69/1073082869.db2.gz GKMREJSPTMFCAS-HNNXBMFYSA-N 0 0 447.583 -0.424 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)c1c2c(nn1C)CCS(=O)(=O)C2)C1CCCC1 ZINC001142024222 1073082846 /nfs/dbraw/zinc/08/28/46/1073082846.db2.gz GKMREJSPTMFCAS-OAHLLOKOSA-N 0 0 447.583 -0.424 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)c1cc(N2CCOCC2)ncn1)C1CCCC1 ZINC001142024294 1073082836 /nfs/dbraw/zinc/08/28/36/1073082836.db2.gz HYRMURQKKGYWGL-INIZCTEOSA-N 0 0 426.543 -0.002 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)c1cc(N2CCOCC2)ncn1)C1CCCC1 ZINC001142024295 1073082670 /nfs/dbraw/zinc/08/26/70/1073082670.db2.gz HYRMURQKKGYWGL-MRXNPFEDSA-N 0 0 426.543 -0.002 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)C1(S(C)(=O)=O)CCOCC1)C1CCCC1 ZINC001142025094 1073082771 /nfs/dbraw/zinc/08/27/71/1073082771.db2.gz ZAZCCMSRNUIZQR-AWEZNQCLSA-N 0 0 425.573 -0.349 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)C1(S(C)(=O)=O)CCOCC1)C1CCCC1 ZINC001142025095 1073082642 /nfs/dbraw/zinc/08/26/42/1073082642.db2.gz ZAZCCMSRNUIZQR-CQSZACIVSA-N 0 0 425.573 -0.349 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC(S(N)(=O)=O)C3)CC2)cc1OC ZINC001142053379 1073082811 /nfs/dbraw/zinc/08/28/11/1073082811.db2.gz LURZPPGYIYQDPQ-UHFFFAOYSA-N 0 0 447.535 -0.396 20 0 IBADRN NS(=O)(=O)C1CN(C(=O)CCNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001142053477 1073082797 /nfs/dbraw/zinc/08/27/97/1073082797.db2.gz OQVOCPWGXBMKNZ-UHFFFAOYSA-N 0 0 426.314 -0.383 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2nc1CCC(=O)N1CC(S(N)(=O)=O)C1 ZINC001142053490 1073082853 /nfs/dbraw/zinc/08/28/53/1073082853.db2.gz OVRXKSRADTVZEV-UHFFFAOYSA-N 0 0 443.551 -0.262 20 0 IBADRN Cn1ccc(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001142060732 1073082700 /nfs/dbraw/zinc/08/27/00/1073082700.db2.gz WQQBUAHDMFAVBX-JKSUJKDBSA-N 0 0 432.506 -0.488 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001142067092 1073082713 /nfs/dbraw/zinc/08/27/13/1073082713.db2.gz CYQQDXXVEQZSIX-AWEZNQCLSA-N 0 0 425.504 -0.116 20 0 IBADRN COCCn1nc(C(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2F)ccc1=O ZINC001142067509 1073082725 /nfs/dbraw/zinc/08/27/25/1073082725.db2.gz XNHJLASKFMRPJV-UHFFFAOYSA-N 0 0 428.442 -0.173 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1F)C(=O)[C@H]1CCCN(S(C)(=O)=O)C1 ZINC001142070203 1073082656 /nfs/dbraw/zinc/08/26/56/1073082656.db2.gz DVOWHZJHZVBPDU-LBPRGKRZSA-N 0 0 437.515 -0.018 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1F)C(=O)Cn1nc2n(c1=O)CCCC2 ZINC001142070244 1073082860 /nfs/dbraw/zinc/08/28/60/1073082860.db2.gz GJHZPIBRPYVBDE-UHFFFAOYSA-N 0 0 427.458 -0.295 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1F)C(=O)CCN1C(=O)CCNC1=S ZINC001142070610 1073083160 /nfs/dbraw/zinc/08/31/60/1073083160.db2.gz VZVUYHZIAMUYOA-UHFFFAOYSA-N 0 0 432.499 -0.193 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCO[C@@H]([C@H](C)O)C3)cc2)CC1 ZINC001142075580 1073083117 /nfs/dbraw/zinc/08/31/17/1073083117.db2.gz JKRWVZLWNXGCFY-KBXCAEBGSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCO[C@H]([C@@H](C)O)C3)cc2)CC1 ZINC001142075581 1073082995 /nfs/dbraw/zinc/08/29/95/1073082995.db2.gz JKRWVZLWNXGCFY-KDOFPFPSSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCO[C@H]([C@H](C)O)C3)cc2)CC1 ZINC001142075582 1073083257 /nfs/dbraw/zinc/08/32/57/1073083257.db2.gz JKRWVZLWNXGCFY-KSSFIOAISA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCO[C@@H]([C@@H](C)O)C3)cc2)CC1 ZINC001142075583 1073083236 /nfs/dbraw/zinc/08/32/36/1073083236.db2.gz JKRWVZLWNXGCFY-RDTXWAMCSA-N 0 0 425.507 -0.239 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCO[C@@H]([C@@H](C)O)C2)c1OC ZINC001142075926 1073083224 /nfs/dbraw/zinc/08/32/24/1073083224.db2.gz RTUVEBCBMJPGTE-CXAGYDPISA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCO[C@H]([C@@H](C)O)C2)c1OC ZINC001142075927 1073083144 /nfs/dbraw/zinc/08/31/44/1073083144.db2.gz RTUVEBCBMJPGTE-DYVFJYSZSA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCO[C@H]([C@H](C)O)C2)c1OC ZINC001142075928 1073083249 /nfs/dbraw/zinc/08/32/49/1073083249.db2.gz RTUVEBCBMJPGTE-GUYCJALGSA-N 0 0 444.506 -0.054 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCO[C@@H]([C@H](C)O)C2)c1OC ZINC001142075929 1073083129 /nfs/dbraw/zinc/08/31/29/1073083129.db2.gz RTUVEBCBMJPGTE-SUMWQHHRSA-N 0 0 444.506 -0.054 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001142077311 1073083207 /nfs/dbraw/zinc/08/32/07/1073083207.db2.gz AMMQOTGSKQFMAP-GXTWGEPZSA-N 0 0 445.519 -0.316 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001142077312 1073083188 /nfs/dbraw/zinc/08/31/88/1073083188.db2.gz AMMQOTGSKQFMAP-JSGCOSHPSA-N 0 0 445.519 -0.316 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001142077313 1073083175 /nfs/dbraw/zinc/08/31/75/1073083175.db2.gz AMMQOTGSKQFMAP-OCCSQVGLSA-N 0 0 445.519 -0.316 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001142077314 1073083096 /nfs/dbraw/zinc/08/30/96/1073083096.db2.gz AMMQOTGSKQFMAP-TZMCWYRMSA-N 0 0 445.519 -0.316 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C)n1cccn1 ZINC001142077622 1073083265 /nfs/dbraw/zinc/08/32/65/1073083265.db2.gz FHCAVIZJSFJVFJ-KBPBESRZSA-N 0 0 428.511 -0.130 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C)n1cccn1 ZINC001142077623 1073083051 /nfs/dbraw/zinc/08/30/51/1073083051.db2.gz FHCAVIZJSFJVFJ-KGLIPLIRSA-N 0 0 428.511 -0.130 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C)n1cccn1 ZINC001142077624 1073083011 /nfs/dbraw/zinc/08/30/11/1073083011.db2.gz FHCAVIZJSFJVFJ-UONOGXRCSA-N 0 0 428.511 -0.130 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C)n1cccn1 ZINC001142077625 1073083086 /nfs/dbraw/zinc/08/30/86/1073083086.db2.gz FHCAVIZJSFJVFJ-ZIAGYGMSSA-N 0 0 428.511 -0.130 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1cccs1 ZINC001142078619 1073083217 /nfs/dbraw/zinc/08/32/17/1073083217.db2.gz YSNKCNSMICIOQK-LLVKDONJSA-N 0 0 438.549 -0.006 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1cccs1 ZINC001142078620 1073083066 /nfs/dbraw/zinc/08/30/66/1073083066.db2.gz YSNKCNSMICIOQK-NSHDSACASA-N 0 0 438.549 -0.006 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1 ZINC001142079137 1073083036 /nfs/dbraw/zinc/08/30/36/1073083036.db2.gz HMXZBRQSJHOKOO-UHFFFAOYSA-N 0 0 427.508 -0.713 20 0 IBADRN O=C(c1cn(C(F)(F)CO)nn1)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC001142079480 1073083606 /nfs/dbraw/zinc/08/36/06/1073083606.db2.gz UCEXMJLDTFPZRV-UHFFFAOYSA-N 0 0 441.420 -0.157 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1ccn(C)n1)C(N)=O ZINC001142082147 1073083543 /nfs/dbraw/zinc/08/35/43/1073083543.db2.gz DHPXNDIWXUNKRV-GJZGRUSLSA-N 0 0 440.570 -0.667 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CN(C(=O)CN3CC(=O)NC3=O)C[C@H]2c2ccccc2)C1=O ZINC001142086891 1073083487 /nfs/dbraw/zinc/08/34/87/1073083487.db2.gz HUBROUSXCYXSFA-KSFYIVLOSA-N 0 0 441.488 -0.529 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)CN(C(=O)CN1CC(=O)NC1=O)Cc1ncnn12 ZINC001142087204 1073083426 /nfs/dbraw/zinc/08/34/26/1073083426.db2.gz MQFAFIDDPFPPAH-UHFFFAOYSA-N 0 0 433.469 -0.102 20 0 IBADRN O=C(CN1CC(=O)NC1=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001142088112 1073083529 /nfs/dbraw/zinc/08/35/29/1073083529.db2.gz AIHOACBSVQTVBA-UHFFFAOYSA-N 0 0 428.405 -0.950 20 0 IBADRN O=C(CN1CC(=O)NC1=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001142088269 1073083500 /nfs/dbraw/zinc/08/35/00/1073083500.db2.gz BEBNDEFILJFFCQ-YQQAZPJKSA-N 0 0 429.477 -0.454 20 0 IBADRN O=C(CN1CC(=O)NC1=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001142088411 1073083395 /nfs/dbraw/zinc/08/33/95/1073083395.db2.gz DPGWWNPWSPZIQE-IXDOHACOSA-N 0 0 429.477 -0.454 20 0 IBADRN O=C(CN1CC(=O)NC1=O)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001142088633 1073083459 /nfs/dbraw/zinc/08/34/59/1073083459.db2.gz HVICKKOUWYNGDP-GUXCAODWSA-N 0 0 448.501 -0.835 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CN1CC(=O)NC1=O)CC2 ZINC001142088766 1073083412 /nfs/dbraw/zinc/08/34/12/1073083412.db2.gz KHQHEMQXYMNBES-CYBMUJFWSA-N 0 0 428.511 -0.640 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CN1CC(=O)NC1=O)CC2 ZINC001142088767 1073083655 /nfs/dbraw/zinc/08/36/55/1073083655.db2.gz KHQHEMQXYMNBES-ZDUSSCGKSA-N 0 0 428.511 -0.640 20 0 IBADRN COC(=O)c1cc2c(nc1-c1cnn(C)c1)CCN(C(=O)CN1CC(=O)NC1=O)CC2 ZINC001142089129 1073083639 /nfs/dbraw/zinc/08/36/39/1073083639.db2.gz SBJDDCBYAFTAPB-UHFFFAOYSA-N 0 0 426.433 -0.252 20 0 IBADRN O=C(CN1CC(=O)NC1=O)N1CC[C@H]2[C@H](C[C@@H](Cn3cncn3)N2c2nccs2)C1 ZINC001142089235 1073083622 /nfs/dbraw/zinc/08/36/22/1073083622.db2.gz UQSUPKGPYXWBMD-RDBSUJKOSA-N 0 0 430.494 -0.218 20 0 IBADRN CC(C)(C)OC(=O)N1CCCn2c(=O)cc(CNC(=O)CN3CC(=O)NC3=O)nc2C1 ZINC001142093005 1073083468 /nfs/dbraw/zinc/08/34/68/1073083468.db2.gz PIWLDFBTMCRIBK-UHFFFAOYSA-N 0 0 434.453 -0.448 20 0 IBADRN O=C(CN1CC(=O)NC1=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1 ZINC001142093125 1073083517 /nfs/dbraw/zinc/08/35/17/1073083517.db2.gz SMISPTFCOQULSL-ZDUSSCGKSA-N 0 0 435.412 -0.345 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@H](CNC(=O)CN3CC(=O)NC3=O)CO2)C1 ZINC001142093197 1073083594 /nfs/dbraw/zinc/08/35/94/1073083594.db2.gz URNDQEKCPPSSIK-BFUOFWGJSA-N 0 0 426.470 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@@H](CNC(=O)CN3CC(=O)NC3=O)CO2)C1 ZINC001142093200 1073083669 /nfs/dbraw/zinc/08/36/69/1073083669.db2.gz URNDQEKCPPSSIK-DJJJIMSYSA-N 0 0 426.470 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@@H](CNC(=O)CN3CC(=O)NC3=O)CO2)C1 ZINC001142093201 1073083567 /nfs/dbraw/zinc/08/35/67/1073083567.db2.gz URNDQEKCPPSSIK-ORAYPTAESA-N 0 0 426.470 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@H](CNC(=O)CN3CC(=O)NC3=O)CO2)C1 ZINC001142093204 1073084148 /nfs/dbraw/zinc/08/41/48/1073084148.db2.gz URNDQEKCPPSSIK-YJYMSZOUSA-N 0 0 426.470 -0.303 20 0 IBADRN Cn1nc(Cl)c(I)c(NC(=O)CN2CC(=O)NC2=O)c1=O ZINC001142093509 1073084024 /nfs/dbraw/zinc/08/40/24/1073084024.db2.gz JKHDKBQHTPADBG-UHFFFAOYSA-N 0 0 425.570 -0.471 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN(CCO)C[C@@H]3C)cc2)CC1 ZINC001142103214 1073083808 /nfs/dbraw/zinc/08/38/08/1073083808.db2.gz CTOVFDGQEHZASJ-INIZCTEOSA-N 0 0 438.550 -0.322 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN(CCO)C[C@H]3C)cc2)CC1 ZINC001142103215 1073084056 /nfs/dbraw/zinc/08/40/56/1073084056.db2.gz CTOVFDGQEHZASJ-MRXNPFEDSA-N 0 0 438.550 -0.322 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)CNC(=O)c1cccnc1)CC2 ZINC001142107179 1073083852 /nfs/dbraw/zinc/08/38/52/1073083852.db2.gz BGPFYBKXNCHRQZ-UHFFFAOYSA-N 0 0 425.493 -0.483 20 0 IBADRN O=C(CNC(=O)c1cccnc1)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001142107319 1073084107 /nfs/dbraw/zinc/08/41/07/1073084107.db2.gz GWCSKRXQNDWQLO-HNNXBMFYSA-N 0 0 434.460 -0.114 20 0 IBADRN O=C(CNC(=O)c1cccnc1)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001142107320 1073083931 /nfs/dbraw/zinc/08/39/31/1073083931.db2.gz GWCSKRXQNDWQLO-OAHLLOKOSA-N 0 0 434.460 -0.114 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=O)CNC(=O)c4cccnc4)CC[C@@H]32)cn1 ZINC001142107450 1073083916 /nfs/dbraw/zinc/08/39/16/1073083916.db2.gz LCYFGILTZGJFAC-OKZBNKHCSA-N 0 0 426.477 -0.162 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)CNC(=O)c1cccnc1)CC2 ZINC001142107472 1073083982 /nfs/dbraw/zinc/08/39/82/1073083982.db2.gz MFIGRHRVPIIIFY-UHFFFAOYSA-N 0 0 436.476 -0.244 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)CNC(=O)c1cccnc1)CCC2)N1CCCC1 ZINC001142107738 1073083968 /nfs/dbraw/zinc/08/39/68/1073083968.db2.gz XBAVTCDTUQMXNQ-UHFFFAOYSA-N 0 0 441.492 -0.026 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)CNC(=O)c2cccnc2)cc1Cl ZINC001142110525 1073083905 /nfs/dbraw/zinc/08/39/05/1073083905.db2.gz SLCFMJKKIBWFMP-UHFFFAOYSA-N 0 0 447.882 -0.602 20 0 IBADRN CCOC(=O)[C@H](NC(=O)CNC(=O)c1cccnc1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001142112081 1073084118 /nfs/dbraw/zinc/08/41/18/1073084118.db2.gz MSYNCTQADXVLBY-MSOLQXFVSA-N 0 0 449.485 -0.004 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)CNC(=O)c1cccnc1)C(N)=O ZINC001142113076 1073083889 /nfs/dbraw/zinc/08/38/89/1073083889.db2.gz VIFDZXVMTUGBJF-MJGOQNOKSA-N 0 0 441.488 -0.129 20 0 IBADRN O=C(CNC(=O)c1ccco1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001142116136 1073083952 /nfs/dbraw/zinc/08/39/52/1073083952.db2.gz UVDSMXBQZYRERN-IIAWOOMASA-N 0 0 439.490 -0.870 20 0 IBADRN O=C(CNC(=O)c1ccco1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001142116137 1073083874 /nfs/dbraw/zinc/08/38/74/1073083874.db2.gz UVDSMXBQZYRERN-SQWLQELKSA-N 0 0 439.490 -0.870 20 0 IBADRN O=C(CNC(=O)c1ccco1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001142116244 1073083997 /nfs/dbraw/zinc/08/39/97/1073083997.db2.gz XQPNJWHNJXRJBI-SYNHAJSKSA-N 0 0 446.485 -0.012 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)CNC(=O)c2ccco2)cc1Cl ZINC001142117343 1073084131 /nfs/dbraw/zinc/08/41/31/1073084131.db2.gz JLZIQSSEQDQYPH-UHFFFAOYSA-N 0 0 436.855 -0.404 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)CNC(=O)c1ccco1)CC2 ZINC001142117850 1073084251 /nfs/dbraw/zinc/08/42/51/1073084251.db2.gz ISMZPHYFEBITTA-UHFFFAOYSA-N 0 0 425.449 -0.046 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccco1)C(=O)NCC(N)=O ZINC001142117887 1073084462 /nfs/dbraw/zinc/08/44/62/1073084462.db2.gz KOEAEADVRNGQLO-KBPBESRZSA-N 0 0 435.481 -0.867 20 0 IBADRN O=C(Cn1cncn1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001142125883 1073084447 /nfs/dbraw/zinc/08/44/47/1073084447.db2.gz HFKGMCKHULNCJL-UWJYYQICSA-N 0 0 440.508 -0.328 20 0 IBADRN O=C(Cn1cnnn1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001142135666 1073084287 /nfs/dbraw/zinc/08/42/87/1073084287.db2.gz LHKDZHQCTZBZBP-JXFKEZNVSA-N 0 0 441.496 -0.933 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001142139243 1073084272 /nfs/dbraw/zinc/08/42/72/1073084272.db2.gz LQIXQMGKZQNUOS-DZGCQCFKSA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001142139244 1073084377 /nfs/dbraw/zinc/08/43/77/1073084377.db2.gz LQIXQMGKZQNUOS-HIFRSBDPSA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001142139245 1073084362 /nfs/dbraw/zinc/08/43/62/1073084362.db2.gz LQIXQMGKZQNUOS-UKRRQHHQSA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001142139246 1073084504 /nfs/dbraw/zinc/08/45/04/1073084504.db2.gz LQIXQMGKZQNUOS-ZFWWWQNUSA-N 0 0 433.552 -0.952 20 0 IBADRN NS(=O)(=O)c1nc(C(=O)N2CCC(N3CCCS3(=O)=O)CC2)n2ccccc12 ZINC001142139722 1073084420 /nfs/dbraw/zinc/08/44/20/1073084420.db2.gz UIXATOVDHSDARS-UHFFFAOYSA-N 0 0 427.508 -0.378 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1cccnc1)C(N)=O ZINC001142150347 1073084519 /nfs/dbraw/zinc/08/45/19/1073084519.db2.gz ZXVMMNDGCVPQTR-HOTGVXAUSA-N 0 0 437.566 -0.006 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cn1cccn1)C(N)=O ZINC001142158987 1073084477 /nfs/dbraw/zinc/08/44/77/1073084477.db2.gz MEMSBEZBMXWNJN-KBPBESRZSA-N 0 0 426.543 -0.747 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1ccccn1)C(N)=O ZINC001142168517 1073084315 /nfs/dbraw/zinc/08/43/15/1073084315.db2.gz SKUORHZMPRLPCC-HOTGVXAUSA-N 0 0 437.566 -0.006 20 0 IBADRN Cc1cnn(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)c1 ZINC001142172064 1073084704 /nfs/dbraw/zinc/08/47/04/1073084704.db2.gz FEQKUSYXMCOGTC-JKSUJKDBSA-N 0 0 432.506 -0.259 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cn1cc(C)cn1)C(N)=O ZINC001142178619 1073084863 /nfs/dbraw/zinc/08/48/63/1073084863.db2.gz IWQBYFUFYZVIBR-GJZGRUSLSA-N 0 0 440.570 -0.438 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1ccncc1)C(N)=O ZINC001142185559 1073084833 /nfs/dbraw/zinc/08/48/33/1073084833.db2.gz DUUIXHGWPFHJIB-HOTGVXAUSA-N 0 0 437.566 -0.006 20 0 IBADRN CCN(Cc1c(CO)cnc(C)c1O)C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001142186355 1073084821 /nfs/dbraw/zinc/08/48/21/1073084821.db2.gz QJEBIOCALFXQIK-DZGCQCFKSA-N 0 0 425.507 -0.028 20 0 IBADRN CCN(Cc1c(CO)cnc(C)c1O)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001142186356 1073084659 /nfs/dbraw/zinc/08/46/59/1073084659.db2.gz QJEBIOCALFXQIK-HIFRSBDPSA-N 0 0 425.507 -0.028 20 0 IBADRN CCN(Cc1c(CO)cnc(C)c1O)C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001142186357 1073084650 /nfs/dbraw/zinc/08/46/50/1073084650.db2.gz QJEBIOCALFXQIK-UKRRQHHQSA-N 0 0 425.507 -0.028 20 0 IBADRN CCN(Cc1c(CO)cnc(C)c1O)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001142186358 1073084801 /nfs/dbraw/zinc/08/48/01/1073084801.db2.gz QJEBIOCALFXQIK-ZFWWWQNUSA-N 0 0 425.507 -0.028 20 0 IBADRN O=C(Cn1nccn1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001142189274 1073084632 /nfs/dbraw/zinc/08/46/32/1073084632.db2.gz MJTMDHLPUAPELT-UWJYYQICSA-N 0 0 440.508 -0.328 20 0 IBADRN Cc1noc(C)c1CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001142199542 1073084781 /nfs/dbraw/zinc/08/47/81/1073084781.db2.gz BMFKJHIYXSEEBP-DJIMGWMZSA-N 0 0 425.507 -0.046 20 0 IBADRN Cc1noc(C)c1CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001142199545 1073084673 /nfs/dbraw/zinc/08/46/73/1073084673.db2.gz BMFKJHIYXSEEBP-USXIJHARSA-N 0 0 425.507 -0.046 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1cc(C)no1)C(N)=O ZINC001142215886 1073084687 /nfs/dbraw/zinc/08/46/87/1073084687.db2.gz LOBGHXCAHCLFPV-GJZGRUSLSA-N 0 0 441.554 -0.104 20 0 IBADRN CC(C)(CN1CCOCC1)NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001142225757 1073084717 /nfs/dbraw/zinc/08/47/17/1073084717.db2.gz XCHUEHJPCDIOQD-UHFFFAOYSA-N 0 0 433.552 -0.405 20 0 IBADRN CC(C)NC(=O)[C@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001142229934 1073084845 /nfs/dbraw/zinc/08/48/45/1073084845.db2.gz RHUHYMBKHKSPFK-DZGCQCFKSA-N 0 0 433.552 -0.203 20 0 IBADRN CC(C)NC(=O)[C@@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001142229935 1073084857 /nfs/dbraw/zinc/08/48/57/1073084857.db2.gz RHUHYMBKHKSPFK-HIFRSBDPSA-N 0 0 433.552 -0.203 20 0 IBADRN CC(C)NC(=O)[C@@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001142229936 1073084812 /nfs/dbraw/zinc/08/48/12/1073084812.db2.gz RHUHYMBKHKSPFK-UKRRQHHQSA-N 0 0 433.552 -0.203 20 0 IBADRN CC(C)NC(=O)[C@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001142229937 1073084772 /nfs/dbraw/zinc/08/47/72/1073084772.db2.gz RHUHYMBKHKSPFK-ZFWWWQNUSA-N 0 0 433.552 -0.203 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC[C@@H]1CNC(=O)C1 ZINC001142242489 1073085132 /nfs/dbraw/zinc/08/51/32/1073085132.db2.gz HBTQRNGIWILEHI-MOVUHUBBSA-N 0 0 443.547 -0.368 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC[C@H]1CNC(=O)C1 ZINC001142242492 1073084998 /nfs/dbraw/zinc/08/49/98/1073084998.db2.gz HBTQRNGIWILEHI-SELBCVKXSA-N 0 0 443.547 -0.368 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC[C@@H]1CNC(=O)C1 ZINC001142242493 1073085101 /nfs/dbraw/zinc/08/51/01/1073085101.db2.gz HBTQRNGIWILEHI-WDSKNZHCSA-N 0 0 443.547 -0.368 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC[C@H]1CNC(=O)C1 ZINC001142242494 1073085073 /nfs/dbraw/zinc/08/50/73/1073085073.db2.gz HBTQRNGIWILEHI-ZHANPKHBSA-N 0 0 443.547 -0.368 20 0 IBADRN Cc1cc(CCC(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)ccn1 ZINC001142256502 1073085218 /nfs/dbraw/zinc/08/52/18/1073085218.db2.gz PPLGZKLWGMVMMB-AUSMBZDKSA-N 0 0 441.492 -0.036 20 0 IBADRN O=C(C=Cc1c[nH]cn1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001142270949 1073085173 /nfs/dbraw/zinc/08/51/73/1073085173.db2.gz TTZFYRPEKRBDFZ-WJGOZPTBSA-N 0 0 430.490 -0.028 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C=Cc1c[nH]cn1)C(N)=O ZINC001142277194 1073085061 /nfs/dbraw/zinc/08/50/61/1073085061.db2.gz IMWGTMYROCJWFN-WFVIONGDSA-N 0 0 438.554 -0.207 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2c[nH]nc2I)CC1 ZINC001142289553 1073085207 /nfs/dbraw/zinc/08/52/07/1073085207.db2.gz ILQNLWOIBHTFAV-UHFFFAOYSA-N 0 0 427.268 -0.679 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC001142289971 1073085047 /nfs/dbraw/zinc/08/50/47/1073085047.db2.gz RERXKAVPRHIZCA-UHFFFAOYSA-N 0 0 433.575 -0.768 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CSc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001142290351 1073084954 /nfs/dbraw/zinc/08/49/54/1073084954.db2.gz YULYUDWPPGQVTJ-UHFFFAOYSA-N 0 0 436.581 -0.881 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)c1ccco1)C(N)=O ZINC001142290761 1073085160 /nfs/dbraw/zinc/08/51/60/1073085160.db2.gz CAIZEFCOZGEKPS-STQMWFEESA-N 0 0 440.522 -0.167 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)/C=C/c2ccccn2)[C@H]1O ZINC001142299129 1073085021 /nfs/dbraw/zinc/08/50/21/1073085021.db2.gz DVJQLYHZWULLOG-QPDLKWIESA-N 0 0 425.449 -0.264 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC2(CCC2)S(=O)(=O)CC1 ZINC001142311913 1073084966 /nfs/dbraw/zinc/08/49/66/1073084966.db2.gz XXDLKKIBMSIOOG-CYBMUJFWSA-N 0 0 431.536 -0.278 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC2(CCC2)S(=O)(=O)CC1 ZINC001142311914 1073085117 /nfs/dbraw/zinc/08/51/17/1073085117.db2.gz XXDLKKIBMSIOOG-ZDUSSCGKSA-N 0 0 431.536 -0.278 20 0 IBADRN Cc1cn(CC(=O)Nc2ncc(I)cc2C(N)=O)c(=O)[nH]c1=O ZINC001142313011 1073084979 /nfs/dbraw/zinc/08/49/79/1073084979.db2.gz BQKKCNQSBXJEAI-UHFFFAOYSA-N 0 0 429.174 -0.005 20 0 IBADRN Cc1cn(CC(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@@]3(CCNC3=O)C2)c(=O)[nH]c1=O ZINC001142313369 1073085144 /nfs/dbraw/zinc/08/51/44/1073085144.db2.gz RZJPLZMSDXNYIF-NRFANRHFSA-N 0 0 447.492 -0.157 20 0 IBADRN Cc1cn(CC(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@]3(CCNC3=O)C2)c(=O)[nH]c1=O ZINC001142313370 1073085466 /nfs/dbraw/zinc/08/54/66/1073085466.db2.gz RZJPLZMSDXNYIF-OAQYLSRUSA-N 0 0 447.492 -0.157 20 0 IBADRN Cc1cn(CC(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)c(=O)[nH]c1=O ZINC001142315071 1073085586 /nfs/dbraw/zinc/08/55/86/1073085586.db2.gz FADJURRWPGMMRH-HRCADAONSA-N 0 0 430.465 -0.716 20 0 IBADRN Cc1cn(CC(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)c(=O)[nH]c1=O ZINC001142315207 1073085495 /nfs/dbraw/zinc/08/54/95/1073085495.db2.gz IYZJUMWHTDAADV-UHFFFAOYSA-N 0 0 428.449 -0.419 20 0 IBADRN Cc1cn(CC(=O)N2C[C@H]3OCC[C@H]3[C@H](C(=O)NCc3cccnc3)C2)c(=O)[nH]c1=O ZINC001142315221 1073085507 /nfs/dbraw/zinc/08/55/07/1073085507.db2.gz JXCURCCOOZXMKQ-GVDBMIGSSA-N 0 0 427.461 -0.168 20 0 IBADRN Cc1cn(CC(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)c(=O)[nH]c1=O ZINC001142315259 1073085547 /nfs/dbraw/zinc/08/55/47/1073085547.db2.gz LCBFWORUEZFGQK-UHFFFAOYSA-N 0 0 440.464 -0.798 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)Cn1cc(C)c(=O)[nH]c1=O)C2 ZINC001142315346 1073085534 /nfs/dbraw/zinc/08/55/34/1073085534.db2.gz OFQDSFYHZPCSCY-NRFANRHFSA-N 0 0 440.460 -0.481 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)Cn1cc(C)c(=O)[nH]c1=O)C2 ZINC001142315347 1073085362 /nfs/dbraw/zinc/08/53/62/1073085362.db2.gz OFQDSFYHZPCSCY-OAQYLSRUSA-N 0 0 440.460 -0.481 20 0 IBADRN Cc1cn(CC(=O)N2CCn3cc(CNC(=O)c4cccn4C)nc3C2)c(=O)[nH]c1=O ZINC001142315356 1073085561 /nfs/dbraw/zinc/08/55/61/1073085561.db2.gz OPRQJKTUZGSPTE-UHFFFAOYSA-N 0 0 425.449 -0.235 20 0 IBADRN Cc1cn(CC(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)c(=O)[nH]c1=O ZINC001142315401 1073085349 /nfs/dbraw/zinc/08/53/49/1073085349.db2.gz QEYOSGWKMRQDMP-AWEZNQCLSA-N 0 0 438.448 -0.668 20 0 IBADRN Cc1cn(CC(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)c(=O)[nH]c1=O ZINC001142315402 1073085483 /nfs/dbraw/zinc/08/54/83/1073085483.db2.gz QEYOSGWKMRQDMP-CQSZACIVSA-N 0 0 438.448 -0.668 20 0 IBADRN Cc1cn(CC(=O)N2CCCn3c(=O)cc(CNC(=O)CC(C)C)nc3C2)c(=O)[nH]c1=O ZINC001142315449 1073085574 /nfs/dbraw/zinc/08/55/74/1073085574.db2.gz RTCNXWNIHKJHFC-UHFFFAOYSA-N 0 0 444.492 -0.091 20 0 IBADRN Cc1cn(CC(=O)N2Cc3ncn(C)c3[C@H](COCCN3CCOCC3)C2)c(=O)[nH]c1=O ZINC001142315513 1073085408 /nfs/dbraw/zinc/08/54/08/1073085408.db2.gz UTCFTOOUKNPFSO-INIZCTEOSA-N 0 0 446.508 -0.534 20 0 IBADRN Cc1cn(CC(=O)N2Cc3ncn(C)c3[C@@H](COCCN3CCOCC3)C2)c(=O)[nH]c1=O ZINC001142315514 1073085318 /nfs/dbraw/zinc/08/53/18/1073085318.db2.gz UTCFTOOUKNPFSO-MRXNPFEDSA-N 0 0 446.508 -0.534 20 0 IBADRN Cc1cn(CC(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@H]32)c(=O)[nH]c1=O ZINC001142315557 1073085450 /nfs/dbraw/zinc/08/54/50/1073085450.db2.gz WFOLCGJHSSNUDM-FRFSOERESA-N 0 0 428.449 -0.517 20 0 IBADRN Cc1cn(CC(=O)N2CCO[C@@H]3[C@H](CNC(=O)c4ccnnc4)CC[C@@H]32)c(=O)[nH]c1=O ZINC001142315558 1073085395 /nfs/dbraw/zinc/08/53/95/1073085395.db2.gz WFOLCGJHSSNUDM-JLJPHGGASA-N 0 0 428.449 -0.517 20 0 IBADRN Cc1cn(CC(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@@H]32)c(=O)[nH]c1=O ZINC001142315559 1073085371 /nfs/dbraw/zinc/08/53/71/1073085371.db2.gz WFOLCGJHSSNUDM-UKPHBRMFSA-N 0 0 428.449 -0.517 20 0 IBADRN Cc1cn(CC(=O)N2CCO[C@H]3[C@H]2CC[C@H]3CNC(=O)c2ccnnc2)c(=O)[nH]c1=O ZINC001142315560 1073085439 /nfs/dbraw/zinc/08/54/39/1073085439.db2.gz WFOLCGJHSSNUDM-YSVLISHTSA-N 0 0 428.449 -0.517 20 0 IBADRN Cc1cn(CC(=O)N2CCC3(CC2)OCC(=O)N(c2cnn(C)c2)[C@H]3C)c(=O)[nH]c1=O ZINC001142315581 1073085337 /nfs/dbraw/zinc/08/53/37/1073085337.db2.gz WYOCEOPBVHXRKB-AWEZNQCLSA-N 0 0 430.465 -0.196 20 0 IBADRN Cc1cn(CC(=O)N2CCC3(CC2)OCC(=O)N(c2cnn(C)c2)[C@@H]3C)c(=O)[nH]c1=O ZINC001142315582 1073085760 /nfs/dbraw/zinc/08/57/60/1073085760.db2.gz WYOCEOPBVHXRKB-CQSZACIVSA-N 0 0 430.465 -0.196 20 0 IBADRN Cc1cn(CC(=O)N2CCOC[C@@]3(CN(c4ccsc4)C(=O)CO3)C2)c(=O)[nH]c1=O ZINC001142315584 1073085793 /nfs/dbraw/zinc/08/57/93/1073085793.db2.gz XGFXOFMVCQJCDG-IBGZPJMESA-N 0 0 434.474 -0.020 20 0 IBADRN Cc1cn(CC(=O)N2CCOC[C@]3(CN(c4ccsc4)C(=O)CO3)C2)c(=O)[nH]c1=O ZINC001142315585 1073085945 /nfs/dbraw/zinc/08/59/45/1073085945.db2.gz XGFXOFMVCQJCDG-LJQANCHMSA-N 0 0 434.474 -0.020 20 0 IBADRN Cc1cn(CC(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)c(=O)[nH]c1=O ZINC001142315789 1073085729 /nfs/dbraw/zinc/08/57/29/1073085729.db2.gz YVVLUHSKCXLVRG-UHFFFAOYSA-N 0 0 445.480 -0.580 20 0 IBADRN Cc1cn(CC(=O)N2CC[C@H]3CO[C@H](CNC(=O)c4ccncc4)[C@H]3C2)c(=O)[nH]c1=O ZINC001142315838 1073085748 /nfs/dbraw/zinc/08/57/48/1073085748.db2.gz ZNDAKMRJUIHTIG-YESZJQIVSA-N 0 0 427.461 -0.054 20 0 IBADRN Cc1cn(CC(=O)N2CC[C@H]3OCC[C@@]3(C(=O)NCc3ccccn3)C2)c(=O)[nH]c1=O ZINC001142315840 1073085820 /nfs/dbraw/zinc/08/58/20/1073085820.db2.gz ZNXOXNZOUHQIAK-IIBYNOLFSA-N 0 0 427.461 -0.024 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)Cn4cc(C)c(=O)[nH]c4=O)C3)C2=O)cc1 ZINC001142317393 1073085741 /nfs/dbraw/zinc/08/57/41/1073085741.db2.gz XVBCCAAQWRKXSR-NRFANRHFSA-N 0 0 441.444 -0.011 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)Cn4cc(C)c(=O)[nH]c4=O)C3)C2=O)cc1 ZINC001142317394 1073085683 /nfs/dbraw/zinc/08/56/83/1073085683.db2.gz XVBCCAAQWRKXSR-OAQYLSRUSA-N 0 0 441.444 -0.011 20 0 IBADRN COc1nccn(C(=O)Cn2cc(C)c(=O)[nH]c2=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001142318105 1073085988 /nfs/dbraw/zinc/08/59/88/1073085988.db2.gz IBYRIIAUQUNEMJ-UHFFFAOYSA-N 0 0 446.445 -0.325 20 0 IBADRN Cc1cn(CC(=O)N[C@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)C(C)C)c(=O)[nH]c1=O ZINC001142322476 1073085879 /nfs/dbraw/zinc/08/58/79/1073085879.db2.gz UHQRYBGWHXHBEV-WBVHZDCISA-N 0 0 445.476 -0.683 20 0 IBADRN O=C(CCC(=O)Nc1ccnc2c(N(CCO)CCO)cnn21)NCc1cccnc1 ZINC001142324831 1073085973 /nfs/dbraw/zinc/08/59/73/1073085973.db2.gz UHMAOLSAFFXXSJ-UHFFFAOYSA-N 0 0 427.465 -0.050 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)CCC(=O)NCc1cccnc1)C2 ZINC001142328290 1073085959 /nfs/dbraw/zinc/08/59/59/1073085959.db2.gz GPMYLLVQMULLQT-UHFFFAOYSA-N 0 0 429.481 -0.283 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)CCC(=O)NCc3cccnc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001142330659 1073085833 /nfs/dbraw/zinc/08/58/33/1073085833.db2.gz OBLLEYREZRRCKL-HDMKZQKVSA-N 0 0 431.449 -0.486 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCC(=O)NCc1cccnc1 ZINC001142332741 1073085894 /nfs/dbraw/zinc/08/58/94/1073085894.db2.gz MQBMZKZRNKADGD-IRXDYDNUSA-N 0 0 441.492 -0.595 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CCc2cnccc2C(F)(F)F)c(=O)n1 ZINC001142342036 1073085698 /nfs/dbraw/zinc/08/56/98/1073085698.db2.gz YNIUHLNPTPMECF-RAEVTNRLSA-N 0 0 443.382 -0.150 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001142363539 1073085848 /nfs/dbraw/zinc/08/58/48/1073085848.db2.gz JVEYBQFOWLDBTA-UHFFFAOYSA-N 0 0 425.385 -0.139 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001142379419 1073086384 /nfs/dbraw/zinc/08/63/84/1073086384.db2.gz SUEFLEBEFPIXMK-CRAIPNDOSA-N 0 0 441.550 -0.163 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@@H](O)[C@H](CO)C1 ZINC001142379420 1073086234 /nfs/dbraw/zinc/08/62/34/1073086234.db2.gz SUEFLEBEFPIXMK-MAUKXSAKSA-N 0 0 441.550 -0.163 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@H](O)[C@@H](CO)C1 ZINC001142379421 1073086221 /nfs/dbraw/zinc/08/62/21/1073086221.db2.gz SUEFLEBEFPIXMK-QAPCUYQASA-N 0 0 441.550 -0.163 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@H](O)[C@H](CO)C1 ZINC001142379520 1073086289 /nfs/dbraw/zinc/08/62/89/1073086289.db2.gz SUEFLEBEFPIXMK-YJBOKZPZSA-N 0 0 441.550 -0.163 20 0 IBADRN Cn1c2ncn(CC(=O)NC3CCN(c4ccc(Cl)nn4)CC3)c2c(=O)n(C)c1=O ZINC001142384346 1073086194 /nfs/dbraw/zinc/08/61/94/1073086194.db2.gz DLJNUTVBLLICPD-UHFFFAOYSA-N 0 0 432.872 -0.338 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(Cl)nc(N4CCOCC4)c3)c2c(=O)n(C)c1=O ZINC001142384360 1073086317 /nfs/dbraw/zinc/08/63/17/1073086317.db2.gz BFCJBKXJVVGRDZ-UHFFFAOYSA-N 0 0 433.856 -0.043 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC4CC3(CNC(=O)OC(C)(C)C)C4)c2c(=O)n(C)c1=O ZINC001142384390 1073086274 /nfs/dbraw/zinc/08/62/74/1073086274.db2.gz BRYSGZYORJEHQN-UHFFFAOYSA-N 0 0 432.481 -0.051 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3c(N)c(F)cnc3Br)c2c(=O)n(C)c1=O ZINC001142384396 1073086332 /nfs/dbraw/zinc/08/63/32/1073086332.db2.gz FTPHTPVLQXOBLS-UHFFFAOYSA-N 0 0 426.206 -0.049 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ncnn4c(Br)ccc34)c2c(=O)n(C)c1=O ZINC001142384412 1073086346 /nfs/dbraw/zinc/08/63/46/1073086346.db2.gz GBAADBPRFYAJLL-UHFFFAOYSA-N 0 0 433.226 -0.701 20 0 IBADRN CCOC(=O)c1cc2n(n1)CCCN(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)C2 ZINC001142384427 1073086259 /nfs/dbraw/zinc/08/62/59/1073086259.db2.gz CQMBIZLVUBLZRV-UHFFFAOYSA-N 0 0 429.437 -0.761 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H]4CCN(C(=O)OC(C)(C)C)[C@@H]4C3)c2c(=O)n(C)c1=O ZINC001142384460 1073086098 /nfs/dbraw/zinc/08/60/98/1073086098.db2.gz DUVQPEOAYMQNFP-QWHCGFSZSA-N 0 0 432.481 -0.099 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)CSc2nc3ccccc3c(=O)[nH]2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001142384545 1073086083 /nfs/dbraw/zinc/08/60/83/1073086083.db2.gz KONXRKLPUOHKRG-NLYHGNEKSA-N 0 0 425.463 -0.612 20 0 IBADRN CC(=O)N1CCC(n2nccc2NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC001142384578 1073086246 /nfs/dbraw/zinc/08/62/46/1073086246.db2.gz FTEKVVBIIRSZKD-UHFFFAOYSA-N 0 0 428.453 -0.548 20 0 IBADRN Cc1noc([C@@H]2C[C@@H]3CCN(C(=O)Cn4cnc5c4c(=O)n(C)c(=O)n5C)C[C@H]3O2)n1 ZINC001142384584 1073086399 /nfs/dbraw/zinc/08/63/99/1073086399.db2.gz GDEDIVHQEGLFRP-RWMBFGLXSA-N 0 0 429.437 -0.496 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ncnc4c3ncn4[C@H]3CCCO3)c2c(=O)n(C)c1=O ZINC001142384590 1073086208 /nfs/dbraw/zinc/08/62/08/1073086208.db2.gz GNFGLOLSGLXXQS-LLVKDONJSA-N 0 0 425.409 -0.479 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ncnc4c3ncn4[C@@H]3CCCO3)c2c(=O)n(C)c1=O ZINC001142384591 1073086370 /nfs/dbraw/zinc/08/63/70/1073086370.db2.gz GNFGLOLSGLXXQS-NSHDSACASA-N 0 0 425.409 -0.479 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H](N4CCCC4=O)[C@H]4OCCC[C@H]4C3)c2c(=O)n(C)c1=O ZINC001142384598 1073086302 /nfs/dbraw/zinc/08/63/02/1073086302.db2.gz GQZGNNDFCPWCHJ-IYOUNJFTSA-N 0 0 444.492 -0.938 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@H](Oc4cccnc4)[C@H]4OCCC[C@@H]43)c2c(=O)n(C)c1=O ZINC001142384677 1073086645 /nfs/dbraw/zinc/08/66/45/1073086645.db2.gz INTJQPKGSZUHNB-MPGHIAIKSA-N 0 0 440.460 -0.334 20 0 IBADRN CCOC(=O)c1ncn2c1cccc2NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001142384740 1073086504 /nfs/dbraw/zinc/08/65/04/1073086504.db2.gz QURBGJBOUAMLIF-UHFFFAOYSA-N 0 0 425.405 -0.103 20 0 IBADRN Cn1cc([C@@H]2COC3(C2)CCN(C(=O)Cn2cnc4c2c(=O)n(C)c(=O)n4C)CC3)cn1 ZINC001142384786 1073086764 /nfs/dbraw/zinc/08/67/64/1073086764.db2.gz KMVCGHWHDNZSMY-AWEZNQCLSA-N 0 0 441.492 -0.268 20 0 IBADRN Cn1cc([C@H]2COC3(C2)CCN(C(=O)Cn2cnc4c2c(=O)n(C)c(=O)n4C)CC3)cn1 ZINC001142384793 1073086688 /nfs/dbraw/zinc/08/66/88/1073086688.db2.gz KMVCGHWHDNZSMY-CQSZACIVSA-N 0 0 441.492 -0.268 20 0 IBADRN CC(C)n1nc(CN(C)C)c2c1CN(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC001142384795 1073086538 /nfs/dbraw/zinc/08/65/38/1073086538.db2.gz KVAWXKYHYJANII-UHFFFAOYSA-N 0 0 442.524 -0.142 20 0 IBADRN CCOC(=O)c1oc2nccnc2c1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001142384797 1073086586 /nfs/dbraw/zinc/08/65/86/1073086586.db2.gz UCOPCYOGHAPFJK-UHFFFAOYSA-N 0 0 427.377 -0.215 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3nc(Br)cn4ccnc34)c2c(=O)n(C)c1=O ZINC001142384820 1073086621 /nfs/dbraw/zinc/08/66/21/1073086621.db2.gz UTHDIEHPYLWOKZ-UHFFFAOYSA-N 0 0 433.226 -0.122 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@@H]4[C@H]3CC(=O)N4Cc3cccnc3)c2c(=O)n(C)c1=O ZINC001142384867 1073086575 /nfs/dbraw/zinc/08/65/75/1073086575.db2.gz MGOOLBRRBWYTME-HUUCEWRRSA-N 0 0 437.460 -0.769 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@H]4[C@H]3CC(=O)N4Cc3cccnc3)c2c(=O)n(C)c1=O ZINC001142384870 1073086676 /nfs/dbraw/zinc/08/66/76/1073086676.db2.gz MGOOLBRRBWYTME-LSDHHAIUSA-N 0 0 437.460 -0.769 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3c(Cl)nc(Cl)cc3C(N)=O)c2c(=O)n(C)c1=O ZINC001142385021 1073086637 /nfs/dbraw/zinc/08/66/37/1073086637.db2.gz QBKMNNAUCKBKJH-UHFFFAOYSA-N 0 0 426.220 -0.127 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H](C(=O)N4CCCC4)C[C@H]4OCC[C@H]43)c2c(=O)n(C)c1=O ZINC001142385056 1073086564 /nfs/dbraw/zinc/08/65/64/1073086564.db2.gz REOFZBLHAMWORZ-RRFJBIMHSA-N 0 0 444.492 -0.938 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC4(CCCN4c4ncccn4)CC3)c2c(=O)n(C)c1=O ZINC001142385068 1073086728 /nfs/dbraw/zinc/08/67/28/1073086728.db2.gz SCDUTUYKBGAAJK-UHFFFAOYSA-N 0 0 438.492 -0.115 20 0 IBADRN Cn1c2ncn(CC(=O)n3ccc(=NC(=O)OC(C)(C)C)c(N)c3)c2c(=O)n(C)c1=O ZINC001142385088 1073086700 /nfs/dbraw/zinc/08/67/00/1073086700.db2.gz UKCISMCHTQSZAD-UHFFFAOYSA-N 0 0 429.437 -0.006 20 0 IBADRN CC(C)Oc1ncnc2c1CCN(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC001142385103 1073086524 /nfs/dbraw/zinc/08/65/24/1073086524.db2.gz VHMGZDYYSNCPQX-UHFFFAOYSA-N 0 0 427.465 -0.362 20 0 IBADRN CC(C)N(C)C(=O)c1noc2c1CN(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)CC2 ZINC001142385169 1073086598 /nfs/dbraw/zinc/08/65/98/1073086598.db2.gz XWWDGAOPZIFABX-UHFFFAOYSA-N 0 0 443.464 -0.513 20 0 IBADRN Cn1c2ncn(CC(=O)N(c3ccc(N)cn3)[C@H]3CC[C@H](O)CC3)c2c(=O)n(C)c1=O ZINC001142385171 1073086610 /nfs/dbraw/zinc/08/66/10/1073086610.db2.gz XXHQQKPDXFYJSH-HDJSIYSDSA-N 0 0 427.465 -0.252 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@H]4[C@H]3CC(=O)N4c3ccsc3)c2c(=O)n(C)c1=O ZINC001142385253 1073086664 /nfs/dbraw/zinc/08/66/64/1073086664.db2.gz BBWHHSZTDIRKAR-QWHCGFSZSA-N 0 0 428.474 -0.098 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC4(CC3)OC(=O)Nc3ncccc34)c2c(=O)n(C)c1=O ZINC001142385303 1073086747 /nfs/dbraw/zinc/08/67/47/1073086747.db2.gz DDKBUICKZHNGDC-UHFFFAOYSA-N 0 0 439.432 -0.091 20 0 IBADRN Cc1noc([C@@H]2CC[C@@H]3[C@@H](CCN3C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)O2)n1 ZINC001142385315 1073086714 /nfs/dbraw/zinc/08/67/14/1073086714.db2.gz DQICAVVGELMEKI-UPJWGTAASA-N 0 0 429.437 -0.354 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H]4C(=O)N(c5ccsc5)C[C@@H]4C3)c2c(=O)n(C)c1=O ZINC001142385334 1073087094 /nfs/dbraw/zinc/08/70/94/1073087094.db2.gz DZIUYDLDDOYXFU-AAEUAGOBSA-N 0 0 428.474 -0.383 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(Oc4cc(Cl)ncn4)CC3)c2c(=O)n(C)c1=O ZINC001142385338 1073087055 /nfs/dbraw/zinc/08/70/55/1073087055.db2.gz FDDQZVSKRMEVBM-UHFFFAOYSA-N 0 0 433.856 -0.053 20 0 IBADRN Cn1c2ncn(CC(=O)N(Cc3cn4c(n3)CNCC4)c3ccccn3)c2c(=O)n(C)c1=O ZINC001142385359 1073087072 /nfs/dbraw/zinc/08/70/72/1073087072.db2.gz GHRUTBQARUNNKX-UHFFFAOYSA-N 0 0 449.475 -0.638 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@]4(C[C@@H](Oc5cccnc5)CO4)C3)c2c(=O)n(C)c1=O ZINC001142385361 1073087107 /nfs/dbraw/zinc/08/71/07/1073087107.db2.gz GJYJUBLHHHVPJL-VFNWGFHPSA-N 0 0 440.460 -0.332 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H]4C[C@H](C(=O)NC5CCC5)C[C@@H]4C3)c2c(=O)n(C)c1=O ZINC001142385367 1073086928 /nfs/dbraw/zinc/08/69/28/1073086928.db2.gz GVXSZUDDBLCONR-ZSOGYDGISA-N 0 0 428.493 -0.413 20 0 IBADRN Cn1c2ncn(CC(=O)N3Cc4nccn4C[C@H](Cn4cccn4)C3)c2c(=O)n(C)c1=O ZINC001142385372 1073086992 /nfs/dbraw/zinc/08/69/92/1073086992.db2.gz HNRXFVRHRLDTEB-AWEZNQCLSA-N 0 0 437.464 -0.815 20 0 IBADRN Cn1c2ncn(CC(=O)N3Cc4nccn4C[C@@H](Cn4cccn4)C3)c2c(=O)n(C)c1=O ZINC001142385373 1073087027 /nfs/dbraw/zinc/08/70/27/1073087027.db2.gz HNRXFVRHRLDTEB-CQSZACIVSA-N 0 0 437.464 -0.815 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@@H](NC(=O)OC(C)(C)C)[C@@H](F)C3)c2c(=O)n(C)c1=O ZINC001142385390 1073086915 /nfs/dbraw/zinc/08/69/15/1073086915.db2.gz IHVMTGKUAKLPPC-NWDGAFQWSA-N 0 0 438.460 -0.103 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(C(=O)c4c[nH]c5ccccc45)CC3)c2c(=O)n(C)c1=O ZINC001142385403 1073086898 /nfs/dbraw/zinc/08/68/98/1073086898.db2.gz IXHLRBXHZLENTN-UHFFFAOYSA-N 0 0 449.471 -0.100 20 0 IBADRN Cn1c2ncn(CC(=O)N3Cc4nccn4C[C@H](COCC4CC4)C3)c2c(=O)n(C)c1=O ZINC001142385417 1073086955 /nfs/dbraw/zinc/08/69/55/1073086955.db2.gz JJBGLFXOFAZUAF-HNNXBMFYSA-N 0 0 441.492 -0.285 20 0 IBADRN Cn1c2ncn(CC(=O)N3Cc4nccn4C[C@@H](COCC4CC4)C3)c2c(=O)n(C)c1=O ZINC001142385418 1073087038 /nfs/dbraw/zinc/08/70/38/1073087038.db2.gz JJBGLFXOFAZUAF-OAHLLOKOSA-N 0 0 441.492 -0.285 20 0 IBADRN CCN1C(C)=N[C@@]2(CC[C@@H]3CN(C(=O)Cn4cnc5c4c(=O)n(C)c(=O)n5C)C[C@@H]32)C1=O ZINC001142385434 1073087007 /nfs/dbraw/zinc/08/70/07/1073087007.db2.gz KORNYHAKUCXUNH-HKZYLEAXSA-N 0 0 441.492 -0.679 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H](OCc4cccnc4)[C@H]4COC[C@H]43)c2c(=O)n(C)c1=O ZINC001142385481 1073086882 /nfs/dbraw/zinc/08/68/82/1073086882.db2.gz MGFPEHBOSOVZJF-ARFHVFGLSA-N 0 0 440.460 -0.729 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC4CC3(C(=O)NCc3ccco3)C4)c2c(=O)n(C)c1=O ZINC001142385537 1073086966 /nfs/dbraw/zinc/08/69/66/1073086966.db2.gz OICBERRTWSNXKO-UHFFFAOYSA-N 0 0 426.433 -0.666 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCn4cnc(Cn5cccn5)c4C3)c2c(=O)n(C)c1=O ZINC001142385539 1073086942 /nfs/dbraw/zinc/08/69/42/1073086942.db2.gz OKQLGKIDIDFPHB-UHFFFAOYSA-N 0 0 437.464 -0.693 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(Cc4c[nH]c5ncccc45)CC3)c2c(=O)n(C)c1=O ZINC001142385568 1073087271 /nfs/dbraw/zinc/08/72/71/1073087271.db2.gz PNINXBATOVSJJI-UHFFFAOYSA-N 0 0 436.476 -0.346 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H]4CCN(C(=O)c5ccco5)[C@@H]4C3)c2c(=O)n(C)c1=O ZINC001142385669 1073087645 /nfs/dbraw/zinc/08/76/45/1073087645.db2.gz UUKYVILUZCWIQM-QWHCGFSZSA-N 0 0 426.433 -0.600 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCc4ncc(C[NH+]5CCCC5)n4CC3)c2c(=O)n(C)c1=O ZINC001142385746 1073087402 /nfs/dbraw/zinc/08/74/02/1073087402.db2.gz VUUAPGFQMUENQD-UHFFFAOYSA-N 0 0 440.508 -0.689 20 0 IBADRN CC(C)CC(=O)NC[C@]12COC[C@H]1CN(C(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)C2 ZINC001142385817 1073087484 /nfs/dbraw/zinc/08/74/84/1073087484.db2.gz WUHXLTCHGMDRFO-SZNDQCEHSA-N 0 0 446.508 -0.929 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@H]4O[C@H](C(=O)N5CCCC5)CC[C@H]43)c2c(=O)n(C)c1=O ZINC001142385958 1073087661 /nfs/dbraw/zinc/08/76/61/1073087661.db2.gz ZTAGZSGADHXOHR-KFWWJZLASA-N 0 0 444.492 -0.795 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(F)ccc3N3CC[NH+](C)CC3)c2c(=O)n(C)c1=O ZINC001142387877 1073087455 /nfs/dbraw/zinc/08/74/55/1073087455.db2.gz AUAOCQJKWPXCEY-UHFFFAOYSA-N 0 0 429.456 -0.037 20 0 IBADRN COc1ccc(O[C@H]2CCCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)nc1 ZINC001142387902 1073088050 /nfs/dbraw/zinc/08/80/50/1073088050.db2.gz BWSNAIIMPJEWLI-AWEZNQCLSA-N 0 0 428.449 -0.093 20 0 IBADRN COc1ccc(O[C@@H]2CCCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)C2)nc1 ZINC001142387903 1073087839 /nfs/dbraw/zinc/08/78/39/1073087839.db2.gz BWSNAIIMPJEWLI-CQSZACIVSA-N 0 0 428.449 -0.093 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@](F)(CNC(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC001142387909 1073087960 /nfs/dbraw/zinc/08/79/60/1073087960.db2.gz BZPXTSMUDQHBDK-IBGZPJMESA-N 0 0 438.460 -0.101 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@@](F)(CNC(=O)OC(C)(C)C)C3)c2c(=O)n(C)c1=O ZINC001142387910 1073087880 /nfs/dbraw/zinc/08/78/80/1073087880.db2.gz BZPXTSMUDQHBDK-LJQANCHMSA-N 0 0 438.460 -0.101 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(Oc4ccc(Cl)nn4)CC3)c2c(=O)n(C)c1=O ZINC001142387911 1073087994 /nfs/dbraw/zinc/08/79/94/1073087994.db2.gz CFASKGHULBETIY-UHFFFAOYSA-N 0 0 433.856 -0.053 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC(NC(=O)c4cccc(F)c4)CC3)c2c(=O)n(C)c1=O ZINC001142387919 1073088034 /nfs/dbraw/zinc/08/80/34/1073088034.db2.gz COFKZSTVJZGZNT-UHFFFAOYSA-N 0 0 442.451 -0.006 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC4(CCN(CC5CC5)C4=O)CC3)c2c(=O)n(C)c1=O ZINC001142388063 1073087987 /nfs/dbraw/zinc/08/79/87/1073087987.db2.gz FLLNKGUPYJFGRS-UHFFFAOYSA-N 0 0 428.493 -0.315 20 0 IBADRN COc1ccnc(OC2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC001142388077 1073088020 /nfs/dbraw/zinc/08/80/20/1073088020.db2.gz GQJXZQCDUNEKDZ-UHFFFAOYSA-N 0 0 429.437 -0.698 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C[C@@H]1c1ccc(F)cc1 ZINC001142388125 1073087891 /nfs/dbraw/zinc/08/78/91/1073087891.db2.gz HLAFRBHMQDGJGA-CABCVRRESA-N 0 0 443.435 -0.012 20 0 IBADRN COc1cccc(OC2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)n1 ZINC001142388132 1073087854 /nfs/dbraw/zinc/08/78/54/1073087854.db2.gz HRARMKOFPRBAOH-UHFFFAOYSA-N 0 0 428.449 -0.093 20 0 IBADRN CO[C@@H]1CN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C[C@@H]1NC(=O)OC(C)(C)C ZINC001142388243 1073087764 /nfs/dbraw/zinc/08/77/64/1073087764.db2.gz JAVFOBZHVAJPSZ-NWDGAFQWSA-N 0 0 436.469 -0.816 20 0 IBADRN CCS(=O)(=O)c1ccc(OC)c(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC001142388304 1073088005 /nfs/dbraw/zinc/08/80/05/1073088005.db2.gz KCDXTNZCDFQTFM-UHFFFAOYSA-N 0 0 435.462 -0.125 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@@H](n4cc(Br)cn4)C3)c2c(=O)n(C)c1=O ZINC001142388307 1073087827 /nfs/dbraw/zinc/08/78/27/1073087827.db2.gz KJDZSVOJPPEWDJ-LLVKDONJSA-N 0 0 436.270 -0.134 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCN(C(=O)Cc4ccccc4)CC3)c2c(=O)n(C)c1=O ZINC001142388327 1073087867 /nfs/dbraw/zinc/08/78/67/1073087867.db2.gz LCTUNIIBOZISSI-UHFFFAOYSA-N 0 0 438.488 -0.263 20 0 IBADRN COC(=O)c1cc2c(ncn2C)c(F)c1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001142388379 1073087972 /nfs/dbraw/zinc/08/79/72/1073087972.db2.gz OCUVNVPLGPFACG-UHFFFAOYSA-N 0 0 443.395 -0.115 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCC[C@H]3C(=O)NC(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC001142388404 1073087806 /nfs/dbraw/zinc/08/78/06/1073087806.db2.gz OXXYTMAAIXBEPC-NSHDSACASA-N 0 0 434.453 -0.524 20 0 IBADRN CO[C@@H](CN1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1)c1ccccc1 ZINC001142388410 1073087906 /nfs/dbraw/zinc/08/79/06/1073087906.db2.gz PBWCBKLUPHZBKO-KRWDZBQOSA-N 0 0 440.504 -0.034 20 0 IBADRN CO[C@H](CN1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1)c1ccccc1 ZINC001142388411 1073087936 /nfs/dbraw/zinc/08/79/36/1073087936.db2.gz PBWCBKLUPHZBKO-QGZVFWFLSA-N 0 0 440.504 -0.034 20 0 IBADRN COC(=O)c1cccc(C(=O)OC)c1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001142388517 1073087776 /nfs/dbraw/zinc/08/77/76/1073087776.db2.gz PVKAYBWRIANVLM-UHFFFAOYSA-N 0 0 429.389 -0.354 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCNC(=O)[C@@H]3c3ccc(Cl)cc3)c2c(=O)n(C)c1=O ZINC001142388549 1073088320 /nfs/dbraw/zinc/08/83/20/1073088320.db2.gz QNVAJNHIQLSNDD-AWEZNQCLSA-N 0 0 430.852 -0.213 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCNC(=O)[C@H]3c3ccc(Cl)cc3)c2c(=O)n(C)c1=O ZINC001142388557 1073088307 /nfs/dbraw/zinc/08/83/07/1073088307.db2.gz QNVAJNHIQLSNDD-CQSZACIVSA-N 0 0 430.852 -0.213 20 0 IBADRN CN(C(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)[C@@H]1[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001142388571 1073088280 /nfs/dbraw/zinc/08/82/80/1073088280.db2.gz QUGGLWCQLIRZPM-IMRBUKKESA-N 0 0 432.481 -0.243 20 0 IBADRN CNS(=O)(=O)CCc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC001142388581 1073088345 /nfs/dbraw/zinc/08/83/45/1073088345.db2.gz RDDFRMVEVUDAIO-UHFFFAOYSA-N 0 0 434.478 -0.836 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCN(c4ccc5c(c4)CCC5=O)CC3)c2c(=O)n(C)c1=O ZINC001142388612 1073088266 /nfs/dbraw/zinc/08/82/66/1073088266.db2.gz TUXYTAVVULUIOS-UHFFFAOYSA-N 0 0 436.472 -0.089 20 0 IBADRN CCOC(=O)[C@H]1Cn2nccc2[C@H](C)N(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC001142388619 1073088293 /nfs/dbraw/zinc/08/82/93/1073088293.db2.gz UDEHMCXQJGPNIX-QWHCGFSZSA-N 0 0 443.464 -0.587 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(Br)cc3C(N)=O)c2c(=O)n(C)c1=O ZINC001142388625 1073088334 /nfs/dbraw/zinc/08/83/34/1073088334.db2.gz UKSVSWUXLCHPHN-UHFFFAOYSA-N 0 0 435.238 -0.066 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@@H](NC(=O)OC(C)(C)C)C4(COC4)C3)c2c(=O)n(C)c1=O ZINC001142388668 1073088153 /nfs/dbraw/zinc/08/81/53/1073088153.db2.gz WUVFFRXKSFEJSZ-GFCCVEGCSA-N 0 0 448.480 -0.814 20 0 IBADRN Cn1c2ncn(CC(=O)N3C[C@H](NC(=O)OC(C)(C)C)C4(COC4)C3)c2c(=O)n(C)c1=O ZINC001142388669 1073088254 /nfs/dbraw/zinc/08/82/54/1073088254.db2.gz WUVFFRXKSFEJSZ-LBPRGKRZSA-N 0 0 448.480 -0.814 20 0 IBADRN CC(C)NS(=O)(=O)c1ccccc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001142388703 1073088202 /nfs/dbraw/zinc/08/82/02/1073088202.db2.gz YSYQVYZTXPBENJ-UHFFFAOYSA-N 0 0 434.478 -0.241 20 0 IBADRN COc1cc2c(cc1NC(=O)Cn1cnc3c1c(=O)n(C)c(=O)n3C)N(C(C)=O)CC2 ZINC001142388759 1073088357 /nfs/dbraw/zinc/08/83/57/1073088357.db2.gz BDDKPTMGPHXLNN-UHFFFAOYSA-N 0 0 426.433 -0.010 20 0 IBADRN Cn1c2ncn(CC(=O)n3ccc(Oc4ccc(N)cc4F)nc3=N)c2c(=O)n(C)c1=O ZINC001142388820 1073088191 /nfs/dbraw/zinc/08/81/91/1073088191.db2.gz DWFYIYXGPDRGKV-UHFFFAOYSA-N 0 0 440.395 -0.036 20 0 IBADRN COC(=O)c1ccc(N(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C2CC2)c(N)c1 ZINC001142388888 1073088233 /nfs/dbraw/zinc/08/82/33/1073088233.db2.gz IJEBKWZIOLRRHJ-UHFFFAOYSA-N 0 0 426.433 -0.002 20 0 IBADRN Cn1c2ncn(CC(=O)N[C@H]3CO[C@@H]4CN(C(=O)OC(C)(C)C)C[C@H]34)c2c(=O)n(C)c1=O ZINC001142388959 1073088244 /nfs/dbraw/zinc/08/82/44/1073088244.db2.gz NEFGUXWDWLIYBR-FRRDWIJNSA-N 0 0 448.480 -0.816 20 0 IBADRN COC(=O)C1CN(c2ccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cc2)C1 ZINC001142388988 1073088164 /nfs/dbraw/zinc/08/81/64/1073088164.db2.gz OUDPUYPTNLJGAK-UHFFFAOYSA-N 0 0 426.433 -0.318 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(S(=O)(=O)CCCO)cc3)c2c(=O)n(C)c1=O ZINC001142389001 1073088780 /nfs/dbraw/zinc/08/87/80/1073088780.db2.gz PQBFVZTXOSLVMM-UHFFFAOYSA-N 0 0 435.462 -0.772 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3cc(F)c(S(C)(=O)=O)cc3F)c2c(=O)n(C)c1=O ZINC001142389010 1073088492 /nfs/dbraw/zinc/08/84/92/1073088492.db2.gz PYAGDLMADLFDMR-UHFFFAOYSA-N 0 0 427.389 -0.246 20 0 IBADRN COC(=O)c1nn(C)c2ccc(NC(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)cc21 ZINC001142389014 1073088548 /nfs/dbraw/zinc/08/85/48/1073088548.db2.gz QKRYCANXWBPANL-UHFFFAOYSA-N 0 0 425.405 -0.254 20 0 IBADRN COc1cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1N1CCOCC1 ZINC001142389038 1073088962 /nfs/dbraw/zinc/08/89/62/1073088962.db2.gz RLAXCCNHKKUSCV-UHFFFAOYSA-N 0 0 428.449 -0.082 20 0 IBADRN Cc1nn(CCNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c(C)c1Br ZINC001142389134 1073088792 /nfs/dbraw/zinc/08/87/92/1073088792.db2.gz XWCXQHGJRHFGKY-UHFFFAOYSA-N 0 0 438.286 -0.174 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(C)C[C@H](C(=O)OC)C3)cc2S1(=O)=O ZINC001142389244 1073088669 /nfs/dbraw/zinc/08/86/69/1073088669.db2.gz CHWPOHBOSOYPJK-AWEZNQCLSA-N 0 0 439.490 -0.346 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(C)C[C@@H](C(=O)OC)C3)cc2S1(=O)=O ZINC001142389245 1073088561 /nfs/dbraw/zinc/08/85/61/1073088561.db2.gz CHWPOHBOSOYPJK-CQSZACIVSA-N 0 0 439.490 -0.346 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001142390083 1073088616 /nfs/dbraw/zinc/08/86/16/1073088616.db2.gz KRZMVFGXPXRCPV-INIZCTEOSA-N 0 0 425.507 -0.116 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001142390084 1073088920 /nfs/dbraw/zinc/08/89/20/1073088920.db2.gz KRZMVFGXPXRCPV-MRXNPFEDSA-N 0 0 425.507 -0.116 20 0 IBADRN CCOC(=O)C[C@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(O)c1 ZINC001142390937 1073088952 /nfs/dbraw/zinc/08/89/52/1073088952.db2.gz ABFRKVBYWMDQIZ-AWEZNQCLSA-N 0 0 429.433 -0.050 20 0 IBADRN CCOC(=O)C[C@@H](NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C)c1cccc(O)c1 ZINC001142390938 1073088852 /nfs/dbraw/zinc/08/88/52/1073088852.db2.gz ABFRKVBYWMDQIZ-CQSZACIVSA-N 0 0 429.433 -0.050 20 0 IBADRN COc1cc(CNC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)ccc1OC1COC1 ZINC001142391097 1073088598 /nfs/dbraw/zinc/08/85/98/1073088598.db2.gz FGPDCWLQOHGTDQ-UHFFFAOYSA-N 0 0 429.433 -0.464 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H]3COCCN3C(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC001142391190 1073088840 /nfs/dbraw/zinc/08/88/40/1073088840.db2.gz IHNCLGNOJGHXLQ-GFCCVEGCSA-N 0 0 436.469 -0.814 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H]3COCCN3C(=O)OC(C)(C)C)c2c(=O)n(C)c1=O ZINC001142391191 1073088864 /nfs/dbraw/zinc/08/88/64/1073088864.db2.gz IHNCLGNOJGHXLQ-LBPRGKRZSA-N 0 0 436.469 -0.814 20 0 IBADRN CCCn1c(=O)cc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)n(CCC)c1=O ZINC001142391435 1073089072 /nfs/dbraw/zinc/08/90/72/1073089072.db2.gz NWQDTFQQSAGBQL-UHFFFAOYSA-N 0 0 431.453 -0.784 20 0 IBADRN COC(=O)[C@H](COCc1ccccc1)NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001142391439 1073089118 /nfs/dbraw/zinc/08/91/18/1073089118.db2.gz NYIQOVXNNSOHSL-AWEZNQCLSA-N 0 0 429.433 -0.692 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H]3CCC(=O)N(Cc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC001142391530 1073089067 /nfs/dbraw/zinc/08/90/67/1073089067.db2.gz QCIDSUOORYMROI-INIZCTEOSA-N 0 0 438.488 -0.011 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H]3CCC(=O)N(Cc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC001142391531 1073089052 /nfs/dbraw/zinc/08/90/52/1073089052.db2.gz QCIDSUOORYMROI-MRXNPFEDSA-N 0 0 438.488 -0.011 20 0 IBADRN Cn1c2ncn(CC(=O)NCC3(Cc4ccncc4)CCOCC3)c2c(=O)n(C)c1=O ZINC001142392400 1073089420 /nfs/dbraw/zinc/08/94/20/1073089420.db2.gz PIMJDRSBUWTCEA-UHFFFAOYSA-N 0 0 426.477 -0.016 20 0 IBADRN Cn1c2ncn(CC(=O)NC3=CC(=O)N(C(=O)OC(C)(C)C)CC3)c2c(=O)n(C)c1=O ZINC001142392407 1073089285 /nfs/dbraw/zinc/08/92/85/1073089285.db2.gz PQYLMVABDSMVQO-UHFFFAOYSA-N 0 0 432.437 -0.399 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccc(N4CCCNC4=O)cc3)c2c(=O)n(C)c1=O ZINC001142392417 1073089520 /nfs/dbraw/zinc/08/95/20/1073089520.db2.gz QDOJFEQLWVVGSQ-UHFFFAOYSA-N 0 0 425.449 -0.330 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3cccc(N4CCOCC4=O)c3)c2c(=O)n(C)c1=O ZINC001142392451 1073089465 /nfs/dbraw/zinc/08/94/65/1073089465.db2.gz RMJRVPVSMARFCN-UHFFFAOYSA-N 0 0 426.433 -0.887 20 0 IBADRN CC(C)CC1(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CCS(=O)(=O)CC1 ZINC001142392516 1073089339 /nfs/dbraw/zinc/08/93/39/1073089339.db2.gz WPZBRBFDABOSOC-UHFFFAOYSA-N 0 0 425.511 -0.457 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOC[C@@H]3CC(N)=O)cc2)CC1 ZINC001142401491 1073089534 /nfs/dbraw/zinc/08/95/34/1073089534.db2.gz DNHCKPCJVRZTMF-INIZCTEOSA-N 0 0 438.506 -0.744 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOC[C@H]3CC(N)=O)cc2)CC1 ZINC001142401495 1073089376 /nfs/dbraw/zinc/08/93/76/1073089376.db2.gz DNHCKPCJVRZTMF-MRXNPFEDSA-N 0 0 438.506 -0.744 20 0 IBADRN C[C@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)N(C(=O)OC(C)(C)C)c1cccnc1 ZINC001142419190 1073089411 /nfs/dbraw/zinc/08/94/11/1073089411.db2.gz NEHAEBJDKOXQOP-IQTBDGIPSA-N 0 0 427.454 -0.872 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)N(C(=O)OC(C)(C)C)c1cccnc1 ZINC001142419191 1073089491 /nfs/dbraw/zinc/08/94/91/1073089491.db2.gz NEHAEBJDKOXQOP-ISCRGKCDSA-N 0 0 427.454 -0.872 20 0 IBADRN C[C@@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)N(C(=O)OC(C)(C)C)c1cccnc1 ZINC001142419192 1073089506 /nfs/dbraw/zinc/08/95/06/1073089506.db2.gz NEHAEBJDKOXQOP-IVWVKOLVSA-N 0 0 427.454 -0.872 20 0 IBADRN C[C@H](C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)N(C(=O)OC(C)(C)C)c1cccnc1 ZINC001142419193 1073089550 /nfs/dbraw/zinc/08/95/50/1073089550.db2.gz NEHAEBJDKOXQOP-VRMQGGBLSA-N 0 0 427.454 -0.872 20 0 IBADRN O=C(Cc1ccc2c(c1)C(=O)c1ccccc1CO2)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001142425999 1073089364 /nfs/dbraw/zinc/08/93/64/1073089364.db2.gz MIBCXDBOCYPHEC-QKINTPNGSA-N 0 0 429.425 -0.731 20 0 IBADRN O=C(Cc1ccc2c(c1)C(=O)c1ccccc1CO2)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001142426000 1073089324 /nfs/dbraw/zinc/08/93/24/1073089324.db2.gz MIBCXDBOCYPHEC-RRJBWHJASA-N 0 0 429.425 -0.731 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCn1c2ccccc2oc1=O ZINC001142445662 1073089954 /nfs/dbraw/zinc/08/99/54/1073089954.db2.gz BHOJOSWIZWOPBC-HOCLYGCPSA-N 0 0 440.460 -0.089 20 0 IBADRN COc1ncc(/C=C/C(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)c(OC)n1 ZINC001142450415 1073090024 /nfs/dbraw/zinc/09/00/24/1073090024.db2.gz LRJNAGBNUKGQAB-AATRIKPKSA-N 0 0 431.453 -0.254 20 0 IBADRN COc1ncc(C=CC(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)c(OC)n1 ZINC001142454389 1073090044 /nfs/dbraw/zinc/09/00/44/1073090044.db2.gz LCIHNJDNFGLKPJ-LGYHGODRSA-N 0 0 433.421 -0.457 20 0 IBADRN COc1ncc(C=CC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c(OC)n1 ZINC001142454688 1073089773 /nfs/dbraw/zinc/08/97/73/1073089773.db2.gz XUSBJQQIEXPPCS-WHJWBVKISA-N 0 0 443.464 -0.566 20 0 IBADRN CN1CC2(CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c3ccccc3)C2)OC1=O ZINC001142459185 1073090034 /nfs/dbraw/zinc/09/00/34/1073090034.db2.gz HOVMLYRVWLXLOR-AWEZNQCLSA-N 0 0 445.519 -0.569 20 0 IBADRN CN1CC2(CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c3ccccc3)C2)OC1=O ZINC001142459186 1073089716 /nfs/dbraw/zinc/08/97/16/1073089716.db2.gz HOVMLYRVWLXLOR-CQSZACIVSA-N 0 0 445.519 -0.569 20 0 IBADRN COc1ccc(CC(=O)N2CC3(C2)CN(C)C(=O)O3)cc1S(=O)(=O)N1CCOCC1 ZINC001142460136 1073089842 /nfs/dbraw/zinc/08/98/42/1073089842.db2.gz WOBJVMLYTRYIEV-UHFFFAOYSA-N 0 0 439.490 -0.078 20 0 IBADRN CON(C)C(=O)CNC(=O)CCn1c2ccc(S(=O)(=O)N3CCCC3)cc2oc1=O ZINC001142478467 1073089859 /nfs/dbraw/zinc/08/98/59/1073089859.db2.gz XONSYNZFVSYAMB-UHFFFAOYSA-N 0 0 440.478 -0.095 20 0 IBADRN CN(C1CCN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC001142492042 1073089833 /nfs/dbraw/zinc/08/98/33/1073089833.db2.gz NFKHCCMAWRIKEO-AWEZNQCLSA-N 0 0 432.543 -0.054 20 0 IBADRN CN(C1CCN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC001142492044 1073089671 /nfs/dbraw/zinc/08/96/71/1073089671.db2.gz NFKHCCMAWRIKEO-CQSZACIVSA-N 0 0 432.543 -0.054 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)[C@@H](C)CN1CCO ZINC001142519675 1073089732 /nfs/dbraw/zinc/08/97/32/1073089732.db2.gz UKUVSLBQSVYWGO-NEPJUHHUSA-N 0 0 432.930 -0.369 20 0 IBADRN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)c1cc(S(=O)(=O)NCC(N)=O)ccc1Cl ZINC001142519676 1073090448 /nfs/dbraw/zinc/09/04/48/1073090448.db2.gz UKUVSLBQSVYWGO-NWDGAFQWSA-N 0 0 432.930 -0.369 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)[C@@H](C)CN1CCO ZINC001142519677 1073090264 /nfs/dbraw/zinc/09/02/64/1073090264.db2.gz UKUVSLBQSVYWGO-RYUDHWBXSA-N 0 0 432.930 -0.369 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)[C@H](C)CN1CCO ZINC001142519678 1073090185 /nfs/dbraw/zinc/09/01/85/1073090185.db2.gz UKUVSLBQSVYWGO-VXGBXAGGSA-N 0 0 432.930 -0.369 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CCS(C)(=O)=O)CC3)C1=O ZINC001142529408 1072999636 /nfs/dbraw/zinc/99/96/36/1072999636.db2.gz RLEHBJFFCKZSOX-KRWDZBQOSA-N 0 0 435.568 -0.846 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CCS(C)(=O)=O)CC3)C1=O ZINC001142529409 1072999601 /nfs/dbraw/zinc/99/96/01/1072999601.db2.gz RLEHBJFFCKZSOX-QGZVFWFLSA-N 0 0 435.568 -0.846 20 0 IBADRN CS(=O)(=O)CCC(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001142529610 1072999620 /nfs/dbraw/zinc/99/96/20/1072999620.db2.gz YRJAJTNNAKZDMK-VYDXJSESSA-N 0 0 429.520 -0.600 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)CCS(C)(=O)=O)CC2)c2nonc21 ZINC001142530054 1073000109 /nfs/dbraw/zinc/00/01/09/1073000109.db2.gz GHKOYFJWDWBSRW-UHFFFAOYSA-N 0 0 445.523 -0.444 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)CCS(C)(=O)=O)CC1 ZINC001142532727 1073000062 /nfs/dbraw/zinc/00/00/62/1073000062.db2.gz FOJDIQBZGRLLCM-UHFFFAOYSA-N 0 0 427.545 -0.580 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCN(c4ncccc4C(N)=O)CC3)CC2=O)cn1 ZINC001142544149 1073090362 /nfs/dbraw/zinc/09/03/62/1073090362.db2.gz SSRNEEFSNDJZHR-HNNXBMFYSA-N 0 0 441.492 -0.275 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCN(c4ncccc4C(N)=O)CC3)CC2=O)cn1 ZINC001142544150 1073090396 /nfs/dbraw/zinc/09/03/96/1073090396.db2.gz SSRNEEFSNDJZHR-OAHLLOKOSA-N 0 0 441.492 -0.275 20 0 IBADRN COC1CC(C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O)C1 ZINC001142570149 1073090323 /nfs/dbraw/zinc/09/03/23/1073090323.db2.gz DMDJCIYTHIWYKS-WUCCLRPBSA-N 0 0 430.571 -0.218 20 0 IBADRN COc1ccc(C(=O)N[C@H](CO)Cc2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC001142577645 1073090307 /nfs/dbraw/zinc/09/03/07/1073090307.db2.gz CCZXPKUOVOOWAD-INIZCTEOSA-N 0 0 438.506 -0.217 20 0 IBADRN COc1ccc(C(=O)N[C@@H](CO)Cc2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC001142577646 1073090493 /nfs/dbraw/zinc/09/04/93/1073090493.db2.gz CCZXPKUOVOOWAD-MRXNPFEDSA-N 0 0 438.506 -0.217 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H](CO)Cc1cnn(C)c1 ZINC001142581859 1073090336 /nfs/dbraw/zinc/09/03/36/1073090336.db2.gz QKMHDDVOQOTCRG-HNNXBMFYSA-N 0 0 438.506 -0.217 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H](CO)Cc1cnn(C)c1 ZINC001142581860 1073090230 /nfs/dbraw/zinc/09/02/30/1073090230.db2.gz QKMHDDVOQOTCRG-OAHLLOKOSA-N 0 0 438.506 -0.217 20 0 IBADRN CC(C)[N@H+](C)CC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001142582900 1073320457 /nfs/dbraw/zinc/32/04/57/1073320457.db2.gz MCIUQGQJZFRYHJ-DPBRGUSISA-N 0 0 442.369 -0.121 20 0 IBADRN CC(C)[N@H+](C)CC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001142582901 1073320553 /nfs/dbraw/zinc/32/05/53/1073320553.db2.gz MCIUQGQJZFRYHJ-LYNPINBMSA-N 0 0 442.369 -0.121 20 0 IBADRN CCN(C(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12)[C@@H]1CC(=O)NC1=O ZINC001142588743 1073090348 /nfs/dbraw/zinc/09/03/48/1073090348.db2.gz DTIZHIVXRMKZIS-AAVRWANBSA-N 0 0 443.522 -0.080 20 0 IBADRN CCN(C(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12)[C@@H]1CC(=O)NC1=O ZINC001142588744 1073090684 /nfs/dbraw/zinc/09/06/84/1073090684.db2.gz DTIZHIVXRMKZIS-XJFOESAGSA-N 0 0 443.522 -0.080 20 0 IBADRN CCN(C(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12)[C@H]1CC(=O)NC1=O ZINC001142588745 1073090885 /nfs/dbraw/zinc/09/08/85/1073090885.db2.gz DTIZHIVXRMKZIS-YIYPIFLZSA-N 0 0 443.522 -0.080 20 0 IBADRN CCN(C(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12)[C@H]1CC(=O)NC1=O ZINC001142588746 1073090845 /nfs/dbraw/zinc/09/08/45/1073090845.db2.gz DTIZHIVXRMKZIS-ZOBORPQBSA-N 0 0 443.522 -0.080 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(Cc2cn[nH]c2)CC1 ZINC001142589203 1073090667 /nfs/dbraw/zinc/09/06/67/1073090667.db2.gz CKPQXCYCZXADGA-UHFFFAOYSA-N 0 0 432.506 -0.512 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(Cc3cn[nH]c3)CC2)c1 ZINC001142589626 1073090856 /nfs/dbraw/zinc/09/08/56/1073090856.db2.gz NCWHWXUNOMMCBH-UHFFFAOYSA-N 0 0 434.522 -0.148 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(Cc3cn[nH]c3)CC2)s1 ZINC001142589655 1073090652 /nfs/dbraw/zinc/09/06/52/1073090652.db2.gz ODBOSIDGNNOWGQ-UHFFFAOYSA-N 0 0 440.551 -0.270 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(Cc4cn[nH]c4)CC3)CC2=O)c1 ZINC001142589922 1073326878 /nfs/dbraw/zinc/32/68/78/1073326878.db2.gz WPHRBCHQJJYHHL-HNNXBMFYSA-N 0 0 432.506 -0.246 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(Cc4cn[nH]c4)CC3)CC2=O)c1 ZINC001142589923 1073326853 /nfs/dbraw/zinc/32/68/53/1073326853.db2.gz WPHRBCHQJJYHHL-OAHLLOKOSA-N 0 0 432.506 -0.246 20 0 IBADRN C[NH+]1CC(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@H]3[C@@H]2O)C1 ZINC001142592074 1073328593 /nfs/dbraw/zinc/32/85/93/1073328593.db2.gz OSCOEEBDEDJMFO-AFCLKFOHSA-N 0 0 426.326 -0.900 20 0 IBADRN C[NH+]1CC(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@@H]3[C@@H]2O)C1 ZINC001142592076 1073328542 /nfs/dbraw/zinc/32/85/42/1073328542.db2.gz OSCOEEBDEDJMFO-UXFCWIETSA-N 0 0 426.326 -0.900 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC001142593193 1073090899 /nfs/dbraw/zinc/09/08/99/1073090899.db2.gz LNGJCZDRTNMFPS-HNNXBMFYSA-N 0 0 432.481 -0.814 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC001142593194 1073090731 /nfs/dbraw/zinc/09/07/31/1073090731.db2.gz LNGJCZDRTNMFPS-OAHLLOKOSA-N 0 0 432.481 -0.814 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001142593216 1073090700 /nfs/dbraw/zinc/09/07/00/1073090700.db2.gz MNNLYMWOSNHPIE-HNNXBMFYSA-N 0 0 425.463 -0.552 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001142593217 1073090637 /nfs/dbraw/zinc/09/06/37/1073090637.db2.gz MNNLYMWOSNHPIE-OAHLLOKOSA-N 0 0 425.463 -0.552 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC001142593601 1073090791 /nfs/dbraw/zinc/09/07/91/1073090791.db2.gz VGDCQTQYAGANPD-HNNXBMFYSA-N 0 0 427.479 -0.807 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC001142593602 1073090716 /nfs/dbraw/zinc/09/07/16/1073090716.db2.gz VGDCQTQYAGANPD-OAHLLOKOSA-N 0 0 427.479 -0.807 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)c2ccc(I)cc2-n2cnnn2)CCO1 ZINC001142597354 1073337362 /nfs/dbraw/zinc/33/73/62/1073337362.db2.gz OKMDNMWJKLGRLK-LLVKDONJSA-N 0 0 428.190 -0.407 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)c2ccc(I)cc2-n2cnnn2)CCO1 ZINC001142597355 1073337432 /nfs/dbraw/zinc/33/74/32/1073337432.db2.gz OKMDNMWJKLGRLK-NSHDSACASA-N 0 0 428.190 -0.407 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)C1=O ZINC001142597967 1073338400 /nfs/dbraw/zinc/33/84/00/1073338400.db2.gz ZXVBRTMKJQDZDB-CYBMUJFWSA-N 0 0 425.486 -0.240 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)C1=O ZINC001142597968 1073338448 /nfs/dbraw/zinc/33/84/48/1073338448.db2.gz ZXVBRTMKJQDZDB-ZDUSSCGKSA-N 0 0 425.486 -0.240 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C1(F)CCOCC1)C(N)=O ZINC001142613622 1073348418 /nfs/dbraw/zinc/34/84/18/1073348418.db2.gz MREMFCQOQPMJBL-KBPBESRZSA-N 0 0 448.561 -0.125 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CC1(O)CCC1)C(N)=O ZINC001142624176 1073358309 /nfs/dbraw/zinc/35/83/09/1073358309.db2.gz KLFTURHKAMFFSE-KBPBESRZSA-N 0 0 430.571 -0.338 20 0 IBADRN O=C([C@H]1CC2CCN1CC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001142628306 1073090603 /nfs/dbraw/zinc/09/06/03/1073090603.db2.gz HYBCWLOHPXUIAR-BRSBDYLESA-N 0 0 425.551 -0.409 20 0 IBADRN O=C([C@H]1CC2CCN1CC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001142628307 1073090777 /nfs/dbraw/zinc/09/07/77/1073090777.db2.gz HYBCWLOHPXUIAR-BSDSXHPESA-N 0 0 425.551 -0.409 20 0 IBADRN O=C([C@@H]1CC2CCN1CC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001142628308 1073090619 /nfs/dbraw/zinc/09/06/19/1073090619.db2.gz HYBCWLOHPXUIAR-XWTMOSNGSA-N 0 0 425.551 -0.409 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)[C@@H]3CC4CCN3CC4)C[C@@H]21)N1CCOCC1 ZINC001142628309 1073091300 /nfs/dbraw/zinc/09/13/00/1073091300.db2.gz HYBCWLOHPXUIAR-ZJPYXAASSA-N 0 0 425.551 -0.409 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2CC3CCN2CC3)[C@H]1O ZINC001142635406 1073366681 /nfs/dbraw/zinc/36/66/81/1073366681.db2.gz LPYJBCSGYPWNLV-GJIZYBQMSA-N 0 0 431.497 -0.888 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2CC3CCN2CC3)[C@H]1O ZINC001142635407 1073366869 /nfs/dbraw/zinc/36/68/69/1073366869.db2.gz LPYJBCSGYPWNLV-MSZAKDFVSA-N 0 0 431.497 -0.888 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)C(N)=O ZINC001142645490 1073380687 /nfs/dbraw/zinc/38/06/87/1073380687.db2.gz UTUGGWSJDXPHLF-HCZIRCDUSA-N 0 0 428.555 -0.751 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(C(=O)NCCS(=O)(=O)N1CCOCC1)=NO2 ZINC001142688581 1073091179 /nfs/dbraw/zinc/09/11/79/1073091179.db2.gz ATBWDLBHYQKAHK-UHFFFAOYSA-N 0 0 432.499 -0.470 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H](O)[C@](O)(c1ccccc1)C(F)(F)F ZINC001142688702 1073091270 /nfs/dbraw/zinc/09/12/70/1073091270.db2.gz GMKUJBOYHONCHO-DZGCQCFKSA-N 0 0 426.413 -0.424 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H](O)[C@@](O)(c1ccccc1)C(F)(F)F ZINC001142688703 1073091037 /nfs/dbraw/zinc/09/10/37/1073091037.db2.gz GMKUJBOYHONCHO-HIFRSBDPSA-N 0 0 426.413 -0.424 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@@H](O)[C@](O)(c1ccccc1)C(F)(F)F ZINC001142688704 1073091003 /nfs/dbraw/zinc/09/10/03/1073091003.db2.gz GMKUJBOYHONCHO-UKRRQHHQSA-N 0 0 426.413 -0.424 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)[C@H](O)[C@@](O)(c1ccccc1)C(F)(F)F ZINC001142688705 1073091051 /nfs/dbraw/zinc/09/10/51/1073091051.db2.gz GMKUJBOYHONCHO-ZFWWWQNUSA-N 0 0 426.413 -0.424 20 0 IBADRN CCOC(=O)C[C@H](O)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001142688791 1073091155 /nfs/dbraw/zinc/09/11/55/1073091155.db2.gz JPEHZMWYDALULL-HNNXBMFYSA-N 0 0 442.490 -0.005 20 0 IBADRN CCOC(=O)C[C@@H](O)C(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001142688792 1073091121 /nfs/dbraw/zinc/09/11/21/1073091121.db2.gz JPEHZMWYDALULL-OAHLLOKOSA-N 0 0 442.490 -0.005 20 0 IBADRN O=C([C@@H]1CC(=O)NC(=O)N1)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001142688796 1073091284 /nfs/dbraw/zinc/09/12/84/1073091284.db2.gz JVCZITIGONLLRO-ZDUSSCGKSA-N 0 0 438.462 -0.721 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc(NCCN2CCOCC2)c(F)c1 ZINC001142688910 1073091165 /nfs/dbraw/zinc/09/11/65/1073091165.db2.gz NWXOCXMHGACZAL-UHFFFAOYSA-N 0 0 444.529 -0.038 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C(=O)c2ccccc2)[C@H]1O ZINC001142691946 1073091110 /nfs/dbraw/zinc/09/11/10/1073091110.db2.gz DVLHJWXDXWCTNM-HYIGOFEPSA-N 0 0 426.433 -0.489 20 0 IBADRN O=C([C@@H]1CSCC(=O)N1)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001142692968 1073091221 /nfs/dbraw/zinc/09/12/21/1073091221.db2.gz KENCOYRJSJEELZ-AWEZNQCLSA-N 0 0 441.531 -0.088 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC001142700302 1073091135 /nfs/dbraw/zinc/09/11/35/1073091135.db2.gz FDJPYFDUUIFTFT-INIZCTEOSA-N 0 0 431.493 -0.352 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC001142700308 1073091068 /nfs/dbraw/zinc/09/10/68/1073091068.db2.gz FDJPYFDUUIFTFT-MRXNPFEDSA-N 0 0 431.493 -0.352 20 0 IBADRN CC(=O)C(=O)N[C@@H](CCCCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)C(N)=O ZINC001142702883 1073091017 /nfs/dbraw/zinc/09/10/17/1073091017.db2.gz BZQLDHPYOMDPIR-YXWQFLTLSA-N 0 0 441.554 -0.442 20 0 IBADRN CC(=O)C(=O)N[C@H](C(=O)N(c1ccc(CO)cc1)[C@@H](CCCNC(N)=O)C(N)=O)C(C)C ZINC001142703150 1073091096 /nfs/dbraw/zinc/09/10/96/1073091096.db2.gz SYQNBFUYSMNKNX-IRXDYDNUSA-N 0 0 449.508 -0.456 20 0 IBADRN CC(=O)C(=O)N[C@H]1[C@@H](O)[C@H](n2ccc(=O)[nH]c2=O)O[C@@H]1COC(=O)c1ccc(C)cc1 ZINC001142703686 1073091636 /nfs/dbraw/zinc/09/16/36/1073091636.db2.gz YSWPBVPHZOFHBA-GFOCRRMGSA-N 0 0 431.401 -0.554 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@@H]32)C1=O ZINC001142706325 1073091530 /nfs/dbraw/zinc/09/15/30/1073091530.db2.gz YXQFLFFGONIRRW-MQYQWHSLSA-N 0 0 443.522 -0.080 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1=O ZINC001142706326 1073091554 /nfs/dbraw/zinc/09/15/54/1073091554.db2.gz YXQFLFFGONIRRW-RFGFWPKPSA-N 0 0 443.522 -0.080 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]23)C1=O ZINC001142706327 1073091541 /nfs/dbraw/zinc/09/15/41/1073091541.db2.gz YXQFLFFGONIRRW-YIYPIFLZSA-N 0 0 443.522 -0.080 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]23)C1=O ZINC001142706328 1073091514 /nfs/dbraw/zinc/09/15/14/1073091514.db2.gz YXQFLFFGONIRRW-ZOBORPQBSA-N 0 0 443.522 -0.080 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)CC1 ZINC001142741244 1073091432 /nfs/dbraw/zinc/09/14/32/1073091432.db2.gz GDSVIBCQOGDGPO-UHFFFAOYSA-N 0 0 444.579 -0.551 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCC(=O)N3CCN(c4ccccc4)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001142742547 1073091566 /nfs/dbraw/zinc/09/15/66/1073091566.db2.gz FAERCNNKMYSSME-VAMGGRTRSA-N 0 0 440.504 -0.625 20 0 IBADRN COc1ccc(C(=O)CCC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001142744561 1073091396 /nfs/dbraw/zinc/09/13/96/1073091396.db2.gz IOQGJBBJFRRNHJ-UTRMSSBJSA-N 0 0 432.433 -0.525 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CCC(NC(=O)N3CCOCC3)CC2)c(F)c1 ZINC001142748326 1073091447 /nfs/dbraw/zinc/09/14/47/1073091447.db2.gz BNZBVEFARBIWCG-UHFFFAOYSA-N 0 0 443.501 -0.082 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCC(NC(=O)N3CCOCC3)CC2)n(C)c1 ZINC001142748351 1073091601 /nfs/dbraw/zinc/09/16/01/1073091601.db2.gz BYRHAEUPTFCIKF-UHFFFAOYSA-N 0 0 427.527 -0.078 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H](CCCC[NH3+])[N-]C(=O)C(F)(F)F)C(N)=O ZINC001142770059 1073092039 /nfs/dbraw/zinc/09/20/39/1073092039.db2.gz GUGBNWHEWKVNPD-AVGNSLFASA-N 0 0 437.463 -0.220 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCN1C(=O)COc2ccccc21 ZINC001142775447 1073091886 /nfs/dbraw/zinc/09/18/86/1073091886.db2.gz RJBDPNYOFAIUDM-UHFFFAOYSA-N 0 0 426.495 -0.638 20 0 IBADRN CN1C(=O)NCC12CCN(C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)CC2 ZINC001142793335 1073092022 /nfs/dbraw/zinc/09/20/22/1073092022.db2.gz GHWHLRVGHTWGAW-UHFFFAOYSA-N 0 0 437.522 -0.200 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC3(CNC(=O)N3C)CC1)c2=O ZINC001142794454 1073091786 /nfs/dbraw/zinc/09/17/86/1073091786.db2.gz YUQOVEDYAPGHJT-UHFFFAOYSA-N 0 0 438.286 -0.091 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001142801164 1073091992 /nfs/dbraw/zinc/09/19/92/1073091992.db2.gz BWMJNPIFRMSBBC-UWJYYQICSA-N 0 0 430.509 -0.484 20 0 IBADRN CC1(C)CN(CCNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CCO1 ZINC001142810264 1073091802 /nfs/dbraw/zinc/09/18/02/1073091802.db2.gz HKAZLLZCURAUDQ-UHFFFAOYSA-N 0 0 433.552 -0.405 20 0 IBADRN COCC(=O)N[C@@H](CCCCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)C(N)=O ZINC001142822998 1073091966 /nfs/dbraw/zinc/09/19/66/1073091966.db2.gz AXXYPJGRWAFSHZ-WSMBLCCSSA-N 0 0 443.570 -0.385 20 0 IBADRN COCC(=O)N[C@H]1[C@@H](O)[C@H](n2ccc(=O)[nH]c2=O)O[C@@H]1COC(=O)c1ccc(C)cc1 ZINC001142823184 1073091975 /nfs/dbraw/zinc/09/19/75/1073091975.db2.gz LZDLDDADDXMUNJ-BNEJOLLZSA-N 0 0 433.417 -0.496 20 0 IBADRN CCOCC(=O)N[C@H]1[C@@H](O)[C@H](n2ccc(=O)[nH]c2=O)O[C@@H]1COC(=O)c1ccc(C)cc1 ZINC001142831711 1073091780 /nfs/dbraw/zinc/09/17/80/1073091780.db2.gz NGDCFOOQHWZUDQ-UTRMSSBJSA-N 0 0 447.444 -0.106 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](N3CCNC3=O)C2)c1 ZINC001142835024 1073091949 /nfs/dbraw/zinc/09/19/49/1073091949.db2.gz FRWXONTYFUUVRE-CYBMUJFWSA-N 0 0 444.535 -0.615 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@H](N3CCNC3=O)C2)c1 ZINC001142835025 1073092517 /nfs/dbraw/zinc/09/25/17/1073092517.db2.gz FRWXONTYFUUVRE-ZDUSSCGKSA-N 0 0 444.535 -0.615 20 0 IBADRN COc1cccc(CC(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c1 ZINC001142846572 1073092188 /nfs/dbraw/zinc/09/21/88/1073092188.db2.gz SURWDOPIKDZPMQ-AUSMBZDKSA-N 0 0 442.476 -0.121 20 0 IBADRN CNC(=O)NCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC001142850496 1073092258 /nfs/dbraw/zinc/09/22/58/1073092258.db2.gz GXWHSMJASINRQG-UHFFFAOYSA-N 0 0 430.483 -0.617 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)Cc2cccc(O)c2)[C@H]1O ZINC001142854308 1073092327 /nfs/dbraw/zinc/09/23/27/1073092327.db2.gz UPLFXNSLLGQECF-UNFPFYSMSA-N 0 0 428.449 -0.424 20 0 IBADRN COc1ccnc(N2CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CC2)n1 ZINC001142855358 1073092274 /nfs/dbraw/zinc/09/22/74/1073092274.db2.gz SKTBYHIDXKLCEI-INIZCTEOSA-N 0 0 444.467 -0.124 20 0 IBADRN COc1ccnc(N2CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CC2)n1 ZINC001142855359 1073092392 /nfs/dbraw/zinc/09/23/92/1073092392.db2.gz SKTBYHIDXKLCEI-MRXNPFEDSA-N 0 0 444.467 -0.124 20 0 IBADRN CN1CCn2ncc(C(=O)NC[C@@H]3CS(=O)(=O)c4ccccc4O3)c2S1(=O)=O ZINC001142860995 1073092203 /nfs/dbraw/zinc/09/22/03/1073092203.db2.gz PVCSYRPLJOMJBB-LLVKDONJSA-N 0 0 426.476 -0.518 20 0 IBADRN CN1CCn2ncc(C(=O)NC[C@H]3CS(=O)(=O)c4ccccc4O3)c2S1(=O)=O ZINC001142860996 1073092491 /nfs/dbraw/zinc/09/24/91/1073092491.db2.gz PVCSYRPLJOMJBB-NSHDSACASA-N 0 0 426.476 -0.518 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001142861171 1073092341 /nfs/dbraw/zinc/09/23/41/1073092341.db2.gz RKXFNKYHYONTJZ-GFCCVEGCSA-N 0 0 427.479 -0.022 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001142861172 1073092216 /nfs/dbraw/zinc/09/22/16/1073092216.db2.gz RKXFNKYHYONTJZ-LBPRGKRZSA-N 0 0 427.479 -0.022 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)/C=C/c1ccccc1)c2N ZINC001142864360 1073092409 /nfs/dbraw/zinc/09/24/09/1073092409.db2.gz IJLVLGOUQJQHOQ-ONYOFBMDSA-N 0 0 426.433 -0.086 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC2CC(N3CCOCC3)C2)c1 ZINC001142892682 1073092302 /nfs/dbraw/zinc/09/23/02/1073092302.db2.gz CXGBAPNXGIWJCE-UHFFFAOYSA-N 0 0 426.539 -0.142 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NCc1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC001142899024 1073092504 /nfs/dbraw/zinc/09/25/04/1073092504.db2.gz USYFPTKWESXWIQ-CQSZACIVSA-N 0 0 435.510 -0.331 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)C1 ZINC001142904655 1073092458 /nfs/dbraw/zinc/09/24/58/1073092458.db2.gz IHOGYTJGZGPGKM-HIFRSBDPSA-N 0 0 438.456 -0.429 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)C1 ZINC001142904656 1073092474 /nfs/dbraw/zinc/09/24/74/1073092474.db2.gz IHOGYTJGZGPGKM-ZFWWWQNUSA-N 0 0 438.456 -0.429 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)C1 ZINC001142904858 1073092427 /nfs/dbraw/zinc/09/24/27/1073092427.db2.gz NRQJGBQLJVBCNT-BNEJOLLZSA-N 0 0 449.508 -0.830 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)C1 ZINC001142904859 1073092289 /nfs/dbraw/zinc/09/22/89/1073092289.db2.gz NRQJGBQLJVBCNT-OKDNKWQNSA-N 0 0 449.508 -0.830 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NC(=O)C(C)(C)O)cc1 ZINC001142909037 1073092442 /nfs/dbraw/zinc/09/24/42/1073092442.db2.gz NJXSULQGPJIOEM-MWQQHZPXSA-N 0 0 447.444 -0.372 20 0 IBADRN Cn1c2sc(C(=O)N3CCN(C(=O)c4ccc(=O)[nH]c4)CC3)cc2c(=O)n(C)c1=O ZINC001142919932 1073092825 /nfs/dbraw/zinc/09/28/25/1073092825.db2.gz QNZJPJJUWYGQKK-UHFFFAOYSA-N 0 0 429.458 -0.003 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001142920054 1073092798 /nfs/dbraw/zinc/09/27/98/1073092798.db2.gz UFSKJIBNFYBFMV-KRWDZBQOSA-N 0 0 430.436 -0.070 20 0 IBADRN COC1(OC)CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@@H]1O ZINC001142920625 1073092891 /nfs/dbraw/zinc/09/28/91/1073092891.db2.gz CUBHIDRQUREZOH-HNNXBMFYSA-N 0 0 429.495 -0.751 20 0 IBADRN COC1(OC)CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@H]1O ZINC001142920629 1073092670 /nfs/dbraw/zinc/09/26/70/1073092670.db2.gz CUBHIDRQUREZOH-OAHLLOKOSA-N 0 0 429.495 -0.751 20 0 IBADRN COC1(OC)CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@@H]1O ZINC001142921357 1073092659 /nfs/dbraw/zinc/09/26/59/1073092659.db2.gz KGAXKQBHUSFSLG-INIZCTEOSA-N 0 0 429.495 -0.634 20 0 IBADRN COC1(OC)CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@H]1O ZINC001142921358 1073092839 /nfs/dbraw/zinc/09/28/39/1073092839.db2.gz KGAXKQBHUSFSLG-MRXNPFEDSA-N 0 0 429.495 -0.634 20 0 IBADRN COC1(OC)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C[C@@H]1O ZINC001142922271 1073092858 /nfs/dbraw/zinc/09/28/58/1073092858.db2.gz ZWZDLWIIAXGZQX-KBMXLJTQSA-N 0 0 432.470 -0.468 20 0 IBADRN COC1(OC)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C[C@H]1O ZINC001142922272 1073092773 /nfs/dbraw/zinc/09/27/73/1073092773.db2.gz ZWZDLWIIAXGZQX-VNQPRFMTSA-N 0 0 432.470 -0.468 20 0 IBADRN COC1(OC)CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C[C@@H]1O ZINC001142927597 1073092747 /nfs/dbraw/zinc/09/27/47/1073092747.db2.gz MNKKLLDARZTICQ-INIZCTEOSA-N 0 0 429.495 -0.152 20 0 IBADRN COC1(OC)CCN(C(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)C[C@H]1O ZINC001142927598 1073092875 /nfs/dbraw/zinc/09/28/75/1073092875.db2.gz MNKKLLDARZTICQ-MRXNPFEDSA-N 0 0 429.495 -0.152 20 0 IBADRN NC(=O)C(=O)N1CCN(C(=O)Oc2c3[nH]ccnc-3c(=O)n2-c2ccc(Cl)cn2)CC1 ZINC001142929692 1073092813 /nfs/dbraw/zinc/09/28/13/1073092813.db2.gz BWESOYXLOLBAAX-KRWDZBQOSA-N 0 0 445.823 -0.050 20 0 IBADRN NC(=O)C(=O)N1CCN(C(=O)Oc2c3[nH]ccnc-3c(=O)n2-c2ccc(Cl)cn2)CC1 ZINC001142929701 1073092616 /nfs/dbraw/zinc/09/26/16/1073092616.db2.gz BWESOYXLOLBAAX-QGZVFWFLSA-N 0 0 445.823 -0.050 20 0 IBADRN NC(=O)C(=O)Nc1ncnc2c1c(I)cn2[C@H]1C[C@@H](O)[C@H](CO)O1 ZINC001142930799 1073092906 /nfs/dbraw/zinc/09/29/06/1073092906.db2.gz JYKNWLWCMLWSNS-GJMOJQLCSA-N 0 0 447.189 -0.900 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](CN=c2c(Cl)c(N)ncn2C(=O)C(N)=O)[C@H](O)C1 ZINC001142931572 1073092705 /nfs/dbraw/zinc/09/27/05/1073092705.db2.gz PELBAULVNDSACG-NXEZZACHSA-N 0 0 428.877 -0.237 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](CN=c2c(Cl)c(N)ncn2C(=O)C(N)=O)[C@@H](O)C1 ZINC001142931578 1073092788 /nfs/dbraw/zinc/09/27/88/1073092788.db2.gz PELBAULVNDSACG-UWVGGRQHSA-N 0 0 428.877 -0.237 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](CN=c2c(Cl)c(N)ncn2C(=O)C(N)=O)[C@H](O)C1 ZINC001142931580 1073092717 /nfs/dbraw/zinc/09/27/17/1073092717.db2.gz PELBAULVNDSACG-VHSXEESVSA-N 0 0 428.877 -0.237 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](CN=c2c(Cl)c(N)ncn2C(=O)C(N)=O)[C@@H](O)C1 ZINC001142931583 1073092646 /nfs/dbraw/zinc/09/26/46/1073092646.db2.gz PELBAULVNDSACG-ZJUUUORDSA-N 0 0 428.877 -0.237 20 0 IBADRN CC(C)CNC(=O)[C@@]12CCC3(CCN(C(=O)C(N)=O)CC3)[C@@H]1CN(S(C)(=O)=O)C2 ZINC001142932177 1073092731 /nfs/dbraw/zinc/09/27/31/1073092731.db2.gz UOWAKMPIKZAWBD-IFXJQAMLSA-N 0 0 428.555 -0.476 20 0 IBADRN NC(=O)CCC(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001142951047 1073093106 /nfs/dbraw/zinc/09/31/06/1073093106.db2.gz JVIKAUICQHCDBY-KKSFZXQISA-N 0 0 430.509 -0.354 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)CCS(=O)(=O)c3ccccc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001142974735 1073093089 /nfs/dbraw/zinc/09/30/89/1073093089.db2.gz NKVBFJOMNJCGBK-ZMSDIMECSA-N 0 0 437.474 -0.114 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCS(=O)(=O)c1ccccc1 ZINC001142974767 1073093008 /nfs/dbraw/zinc/09/30/08/1073093008.db2.gz PAIABLIJIKGENB-IRXDYDNUSA-N 0 0 447.517 -0.223 20 0 IBADRN Cc1ccc(S(=O)(=O)CC(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)cc1 ZINC001143006218 1073092992 /nfs/dbraw/zinc/09/29/92/1073092992.db2.gz DADCPVSZPPXSQA-ZMSDIMECSA-N 0 0 437.474 -0.196 20 0 IBADRN Cc1ccc(S(=O)(=O)CC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1 ZINC001143006675 1073093746 /nfs/dbraw/zinc/09/37/46/1073093746.db2.gz KRXSXYOPCLWXDU-IRXDYDNUSA-N 0 0 447.517 -0.304 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C1CCOCC1)C(N)=O ZINC001143024983 1073093888 /nfs/dbraw/zinc/09/38/88/1073093888.db2.gz WYULOKKPGDQPMS-GJZGRUSLSA-N 0 0 430.571 -0.217 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)[C@H]1c1ccnn1C ZINC001143029670 1073093687 /nfs/dbraw/zinc/09/36/87/1073093687.db2.gz IYXNINSVLNCPIK-MOPGFXCFSA-N 0 0 441.492 -0.449 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCCn2c(=O)cc(CNC(=O)CC(C)C)nc2C1 ZINC001143030280 1073093875 /nfs/dbraw/zinc/09/38/75/1073093875.db2.gz BTOZYGKYRGVKBJ-SJORKVTESA-N 0 0 433.509 -0.380 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H]1C[C@@H](O)CN1C(C)=O)CC2 ZINC001143030299 1073093929 /nfs/dbraw/zinc/09/39/29/1073093929.db2.gz CLKDJJOSWUIWSE-JZXOWHBKSA-N 0 0 443.566 -0.210 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H]1C[C@@H](O)CN1C(C)=O)CC2 ZINC001143030300 1073093941 /nfs/dbraw/zinc/09/39/41/1073093941.db2.gz CLKDJJOSWUIWSE-NUJGCVRESA-N 0 0 443.566 -0.210 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001143030310 1073093809 /nfs/dbraw/zinc/09/38/09/1073093809.db2.gz DCGGCEMTOVGHQE-CVEARBPZSA-N 0 0 443.460 -0.520 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCOC[C@@]2(C[C@H](CNc3ccc(C)nn3)CO2)C1 ZINC001143030471 1073093781 /nfs/dbraw/zinc/09/37/81/1073093781.db2.gz FNZGHNOMBDUDHL-DCXXXQMHSA-N 0 0 433.509 -0.187 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCOC[C@]2(C[C@@H](CNc3ccc(C)nn3)CO2)C1 ZINC001143030472 1073093915 /nfs/dbraw/zinc/09/39/15/1073093915.db2.gz FNZGHNOMBDUDHL-NYUBLWNDSA-N 0 0 433.509 -0.187 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCOC[C@@]2(C[C@@H](CNc3ccc(C)nn3)CO2)C1 ZINC001143030473 1073093700 /nfs/dbraw/zinc/09/37/00/1073093700.db2.gz FNZGHNOMBDUDHL-TWFHAPMSSA-N 0 0 433.509 -0.187 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCOC[C@]2(C[C@H](CNc3ccc(C)nn3)CO2)C1 ZINC001143030474 1073093737 /nfs/dbraw/zinc/09/37/37/1073093737.db2.gz FNZGHNOMBDUDHL-WIRSXHRWSA-N 0 0 433.509 -0.187 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CC[C@]2(C1)CN(c1cccnc1)C(=O)CN2C(C)=O ZINC001143030982 1073093789 /nfs/dbraw/zinc/09/37/89/1073093789.db2.gz MAFRBHVXWXRVCV-LQWHRVPQSA-N 0 0 429.477 -0.771 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CC[C@@]2(C1)CN(c1cccnc1)C(=O)CN2C(C)=O ZINC001143030983 1073093824 /nfs/dbraw/zinc/09/38/24/1073093824.db2.gz MAFRBHVXWXRVCV-LVCYWYKZSA-N 0 0 429.477 -0.771 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001143031061 1073093715 /nfs/dbraw/zinc/09/37/15/1073093715.db2.gz OYWDPYCZHWRMAH-WBVHZDCISA-N 0 0 434.497 -0.869 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CC[C@H]2C(=O)N(Cc3cccc(C)n3)CCO[C@H]2C1 ZINC001143031140 1073093839 /nfs/dbraw/zinc/09/38/39/1073093839.db2.gz RYDGOEVRQDXAST-ZRNYENFQSA-N 0 0 430.505 -0.052 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CC[C@H]2C[C@H](C(=O)NCCc3ccccn3)O[C@H]2C1 ZINC001143031145 1073094077 /nfs/dbraw/zinc/09/40/77/1073094077.db2.gz SIYJATYRQHBNHX-ZMHJYQQXSA-N 0 0 430.505 -0.272 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1Cc2ncn(C)c2[C@@H](COCCN2CCOCC2)C1 ZINC001143031156 1073094258 /nfs/dbraw/zinc/09/42/58/1073094258.db2.gz TUNZNGGCJAHXLD-LMMKCTJWSA-N 0 0 435.525 -0.824 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1Cc2ncn(C)c2[C@H](COCCN2CCOCC2)C1 ZINC001143031157 1073094214 /nfs/dbraw/zinc/09/42/14/1073094214.db2.gz TUNZNGGCJAHXLD-SCTDSRPQSA-N 0 0 435.525 -0.824 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CC2(CN(C(=O)OC(C)(C)C)C2)[C@]2(CCNC2=O)C1 ZINC001143031218 1073094301 /nfs/dbraw/zinc/09/43/01/1073094301.db2.gz WOMBUXDHTBCMGQ-LRAJWGHMSA-N 0 0 436.509 -0.446 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CC2(CN(C(=O)OC(C)(C)C)C2)[C@@]2(CCNC2=O)C1 ZINC001143031219 1073094141 /nfs/dbraw/zinc/09/41/41/1073094141.db2.gz WOMBUXDHTBCMGQ-WIEQDCTASA-N 0 0 436.509 -0.446 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001143031224 1073094092 /nfs/dbraw/zinc/09/40/92/1073094092.db2.gz WWVCJNBRRVTOGT-FFZBTMFNSA-N 0 0 444.532 -0.024 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCC[C@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001143031556 1073094200 /nfs/dbraw/zinc/09/42/00/1073094200.db2.gz LWMMUYJXWTZRFV-MMOPVJDHSA-N 0 0 429.477 -0.183 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CCC[C@@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001143031557 1073094247 /nfs/dbraw/zinc/09/42/47/1073094247.db2.gz LWMMUYJXWTZRFV-PLMTUMEDSA-N 0 0 429.477 -0.183 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001143031672 1073094331 /nfs/dbraw/zinc/09/43/31/1073094331.db2.gz PISWUDHHRVMZBU-CRSSMBPESA-N 0 0 444.532 -0.024 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)[C@@H]4C[C@@H](O)CN4C(C)=O)C3)C2=O)cc1 ZINC001143031680 1073094109 /nfs/dbraw/zinc/09/41/09/1073094109.db2.gz POEGDTXZJVNNQS-KUDFPVQQSA-N 0 0 430.461 -0.300 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)[C@@H]4C[C@@H](O)CN4C(C)=O)C3)C2=O)cc1 ZINC001143031681 1073094314 /nfs/dbraw/zinc/09/43/14/1073094314.db2.gz POEGDTXZJVNNQS-LDBYXDLTSA-N 0 0 430.461 -0.300 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001143031716 1073094231 /nfs/dbraw/zinc/09/42/31/1073094231.db2.gz REWYLELGYYTPHB-OIISXLGYSA-N 0 0 427.465 -0.957 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001143031717 1073094165 /nfs/dbraw/zinc/09/41/65/1073094165.db2.gz REWYLELGYYTPHB-UAGQMJEPSA-N 0 0 427.465 -0.957 20 0 IBADRN COc1ccc(N(C(=O)[C@@H]2C[C@@H](O)CN2C(C)=O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001143032037 1073094345 /nfs/dbraw/zinc/09/43/45/1073094345.db2.gz DSDHPKRGAKMZOY-HIFRSBDPSA-N 0 0 435.462 -0.229 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2C(C)=O)CCO1 ZINC001143032293 1073094064 /nfs/dbraw/zinc/09/40/64/1073094064.db2.gz PJXHICJUOCZYDL-COXVUDFISA-N 0 0 431.449 -0.212 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2C(C)=O)CCO1 ZINC001143032294 1073094148 /nfs/dbraw/zinc/09/41/48/1073094148.db2.gz PJXHICJUOCZYDL-XOKHGSTOSA-N 0 0 431.449 -0.212 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CCN(C(=O)[C@@H]3C[C@@H](O)CN3C(C)=O)CC2)cc1 ZINC001143032364 1073094177 /nfs/dbraw/zinc/09/41/77/1073094177.db2.gz RMADRJUXJRBECT-QAPCUYQASA-N 0 0 425.507 -0.054 20 0 IBADRN COc1nccn(C(=O)[C@@H]2C[C@@H](O)CN2C(C)=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001143032459 1073094285 /nfs/dbraw/zinc/09/42/85/1073094285.db2.gz WUVPMRHKXGXCPD-HIFRSBDPSA-N 0 0 435.462 -0.615 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)NCc1cc(=O)n2c(n1)CN(C(=O)OC(C)(C)C)CCC2 ZINC001143036769 1073094187 /nfs/dbraw/zinc/09/41/87/1073094187.db2.gz YJXYIJXIBVFOEZ-CVEARBPZSA-N 0 0 449.508 -0.018 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H]1C[C@@H](O)CN1C(C)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001143037785 1073094764 /nfs/dbraw/zinc/09/47/64/1073094764.db2.gz KDRCTENUVSHOCA-XBVQOTNRSA-N 0 0 442.490 -0.847 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)NC[C@@H](c1cnc(C(F)(F)F)nc1)N1CCOCC1 ZINC001143037827 1073094572 /nfs/dbraw/zinc/09/45/72/1073094572.db2.gz LLMDPECCFSEHBQ-ILXRZTDVSA-N 0 0 431.415 -0.033 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)NC[C@H](c1cnc(C(F)(F)F)nc1)N1CCOCC1 ZINC001143037828 1073094505 /nfs/dbraw/zinc/09/45/05/1073094505.db2.gz LLMDPECCFSEHBQ-QLFBSQMISA-N 0 0 431.415 -0.033 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)NCCOCCOCCOCCC(=O)OC(C)(C)C ZINC001143040698 1073094704 /nfs/dbraw/zinc/09/47/04/1073094704.db2.gz SLZWSFBCBCLVLX-SJORKVTESA-N 0 0 432.514 -0.134 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)Nc1c(I)c(Cl)nn(C)c1=O ZINC001143041030 1073094716 /nfs/dbraw/zinc/09/47/16/1073094716.db2.gz YIVVIUDRDWNQGD-RQJHMYQMSA-N 0 0 440.625 -0.042 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)NCC(=O)NCC(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001143041044 1073094524 /nfs/dbraw/zinc/09/45/24/1073094524.db2.gz YUSVJMSXTZYYTB-ZBFHGGJFSA-N 0 0 444.444 -0.746 20 0 IBADRN CC(=O)N1C[C@H](O)C[C@H]1C(=O)N[C@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)C(C)C ZINC001143041045 1073094672 /nfs/dbraw/zinc/09/46/72/1073094672.db2.gz YVGWTHNIKDQOTJ-BDXSIMOUSA-N 0 0 434.493 -0.973 20 0 IBADRN CN(C)C(=O)CCC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001143044960 1073094620 /nfs/dbraw/zinc/09/46/20/1073094620.db2.gz SSGHCUACQLUDII-IBGZPJMESA-N 0 0 428.555 -0.413 20 0 IBADRN CN(C)C(=O)CCC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001143044969 1073094608 /nfs/dbraw/zinc/09/46/08/1073094608.db2.gz SSGHCUACQLUDII-LJQANCHMSA-N 0 0 428.555 -0.413 20 0 IBADRN CN(C)C(=O)CCC(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001143045195 1073094743 /nfs/dbraw/zinc/09/47/43/1073094743.db2.gz VEEZQAMDASLLHW-LSDHHAIUSA-N 0 0 437.522 -0.596 20 0 IBADRN CN(C)C(=O)CCC(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001143049673 1073094556 /nfs/dbraw/zinc/09/45/56/1073094556.db2.gz SPEHJTJCHADQDR-UHFFFAOYSA-N 0 0 438.510 -0.010 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCC(=O)N(C)C)C(N)=O ZINC001143056513 1073094540 /nfs/dbraw/zinc/09/45/40/1073094540.db2.gz NCZMJISREZIEHH-KBPBESRZSA-N 0 0 445.586 -0.775 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(Cc3cc4c(cn3)OCCO4)C[C@@H]21)N1CCOCC1 ZINC001143058485 1073094689 /nfs/dbraw/zinc/09/46/89/1073094689.db2.gz MKKMCBWIPZJEBT-FRQCXROJSA-N 0 0 437.518 -0.053 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(Cc3cc4c(cn3)OCCO4)C[C@@H]21)N1CCOCC1 ZINC001143058486 1073094792 /nfs/dbraw/zinc/09/47/92/1073094792.db2.gz MKKMCBWIPZJEBT-GPMSIDNRSA-N 0 0 437.518 -0.053 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc(C)cc3)CC[C@H]2C1=O ZINC001143065799 1073094728 /nfs/dbraw/zinc/09/47/28/1073094728.db2.gz KXLFWADCSKHMCE-MSOLQXFVSA-N 0 0 439.534 -0.004 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)cc1 ZINC001143065909 1073094983 /nfs/dbraw/zinc/09/49/83/1073094983.db2.gz PQIITJBOGLKTDR-CVEARBPZSA-N 0 0 444.579 -0.140 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)n2c(N)c3ncn(COCCO)c3nc2=N)cc1 ZINC001143066417 1073094996 /nfs/dbraw/zinc/09/49/96/1073094996.db2.gz NXURSUMIQKWXDS-UHFFFAOYSA-N 0 0 435.466 -0.812 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)CNS(=O)(=O)c2ccc(C)cc2)C1 ZINC001143066544 1073095139 /nfs/dbraw/zinc/09/51/39/1073095139.db2.gz TUUKJHUAFXOPCF-INIZCTEOSA-N 0 0 449.533 -0.109 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)CNS(=O)(=O)c2ccc(C)cc2)C1 ZINC001143066545 1073094930 /nfs/dbraw/zinc/09/49/30/1073094930.db2.gz TUUKJHUAFXOPCF-MRXNPFEDSA-N 0 0 449.533 -0.109 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCn3c(CN4CCOCC4)nnc3C2)cc1 ZINC001143066584 1073095153 /nfs/dbraw/zinc/09/51/53/1073095153.db2.gz VNQHRENYXFCKCA-UHFFFAOYSA-N 0 0 434.522 -0.261 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)cc1 ZINC001143066588 1073095191 /nfs/dbraw/zinc/09/51/91/1073095191.db2.gz VTDINKSMYXIADJ-UHFFFAOYSA-N 0 0 448.505 -0.254 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1Cc1cc2c(cn1)OCCO2)C(=O)NCC(N)=O ZINC001143067229 1073095114 /nfs/dbraw/zinc/09/51/14/1073095114.db2.gz WFEILLNVVVCXGR-HOTGVXAUSA-N 0 0 433.509 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCCN(C(N)=O)CC2)C1 ZINC001143071882 1073095173 /nfs/dbraw/zinc/09/51/73/1073095173.db2.gz JDMKTJYDSAHDMK-FZKQIMNGSA-N 0 0 430.527 -0.119 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CNS(=O)(=O)c1ccc(C)cc1)C[C@H]2C(=O)N(C)C ZINC001143075658 1073152533 /nfs/dbraw/zinc/15/25/33/1073152533.db2.gz UYUOBADYLHERKM-KRWDZBQOSA-N 0 0 436.534 -0.332 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CNS(=O)(=O)c1ccc(C)cc1)C[C@@H]2C(=O)N(C)C ZINC001143075661 1073152477 /nfs/dbraw/zinc/15/24/77/1073152477.db2.gz UYUOBADYLHERKM-QGZVFWFLSA-N 0 0 436.534 -0.332 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)CNS(=O)(=O)c1ccc(C)cc1)C2 ZINC001143075727 1073095078 /nfs/dbraw/zinc/09/50/78/1073095078.db2.gz WGFVBYCSOMYXBR-AWEZNQCLSA-N 0 0 430.504 -0.538 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)CNS(=O)(=O)c1ccc(C)cc1)C2 ZINC001143075728 1073095059 /nfs/dbraw/zinc/09/50/59/1073095059.db2.gz WGFVBYCSOMYXBR-CQSZACIVSA-N 0 0 430.504 -0.538 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001143077013 1073094922 /nfs/dbraw/zinc/09/49/22/1073094922.db2.gz BXDYJELKKWSBST-KRWDZBQOSA-N 0 0 432.502 -0.398 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@H]1CCCCN1C(=O)C(F)(F)F ZINC001143086496 1073094906 /nfs/dbraw/zinc/09/49/06/1073094906.db2.gz GQGXTXWAGNRTHQ-GFCCVEGCSA-N 0 0 444.476 -0.120 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1CCCCN1C(=O)C(F)(F)F ZINC001143086497 1073095089 /nfs/dbraw/zinc/09/50/89/1073095089.db2.gz GQGXTXWAGNRTHQ-LBPRGKRZSA-N 0 0 444.476 -0.120 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@@H]3CCCCN3C(=O)C(F)(F)F)c2c(=O)n(C)c1=O ZINC001143087260 1073095048 /nfs/dbraw/zinc/09/50/48/1073095048.db2.gz KTLOBGJGSHZWDX-JTQLQIEISA-N 0 0 430.387 -0.507 20 0 IBADRN Cn1c2ncn(CC(=O)NC[C@H]3CCCCN3C(=O)C(F)(F)F)c2c(=O)n(C)c1=O ZINC001143087261 1073095100 /nfs/dbraw/zinc/09/51/00/1073095100.db2.gz KTLOBGJGSHZWDX-SNVBAGLBSA-N 0 0 430.387 -0.507 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccnn1C ZINC001143087301 1073095069 /nfs/dbraw/zinc/09/50/69/1073095069.db2.gz LETPFYRZHUFTJC-INIZCTEOSA-N 0 0 435.462 -0.815 20 0 IBADRN COC(=O)[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)c1ccnn1C ZINC001143087303 1073095363 /nfs/dbraw/zinc/09/53/63/1073095363.db2.gz LETPFYRZHUFTJC-MRXNPFEDSA-N 0 0 435.462 -0.815 20 0 IBADRN COc1ccc(OC)c(CCC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1 ZINC001143091109 1073095339 /nfs/dbraw/zinc/09/53/39/1073095339.db2.gz YHZGOAKRTVJYIG-UTRMSSBJSA-N 0 0 434.449 -0.546 20 0 IBADRN O=C([C@@H]1CCC(=O)N1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001143118570 1073095526 /nfs/dbraw/zinc/09/55/26/1073095526.db2.gz GNKGYUGTIJAEPV-HOIFWPIMSA-N 0 0 442.520 -0.341 20 0 IBADRN O=C([C@H]1CCC(=O)N1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001143118571 1073095328 /nfs/dbraw/zinc/09/53/28/1073095328.db2.gz GNKGYUGTIJAEPV-JKSBSHDWSA-N 0 0 442.520 -0.341 20 0 IBADRN NC(=O)C1(C(=O)N2CCC[C@]3(C(=O)N4CCOCC4)CN(c4ncccn4)C[C@@H]3C2)CC1 ZINC001143155486 1073095418 /nfs/dbraw/zinc/09/54/18/1073095418.db2.gz QDAJWVFYXNJSOW-AOMKIAJQSA-N 0 0 442.520 -0.354 20 0 IBADRN CNC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1cnn(C)c1)Cc1ccc(F)cc1C ZINC001143174525 1073095404 /nfs/dbraw/zinc/09/54/04/1073095404.db2.gz FVASSJGXXCDIBL-AWEZNQCLSA-N 0 0 425.486 -0.133 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1cnn(C)c1)Cc1ccc(F)cc1C ZINC001143174534 1073095484 /nfs/dbraw/zinc/09/54/84/1073095484.db2.gz FVASSJGXXCDIBL-CQSZACIVSA-N 0 0 425.486 -0.133 20 0 IBADRN CNC(=O)[C@H](CNC(=O)CN1CCN(S(C)(=O)=O)CC1)Cc1ccc(F)cc1C ZINC001143180749 1073095443 /nfs/dbraw/zinc/09/54/43/1073095443.db2.gz TWIHITLLRVGQFZ-INIZCTEOSA-N 0 0 428.530 -0.268 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)CN1CCN(S(C)(=O)=O)CC1)Cc1ccc(F)cc1C ZINC001143180750 1073095460 /nfs/dbraw/zinc/09/54/60/1073095460.db2.gz TWIHITLLRVGQFZ-MRXNPFEDSA-N 0 0 428.530 -0.268 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001143183825 1073095316 /nfs/dbraw/zinc/09/53/16/1073095316.db2.gz JPKLEGFMRAVQLM-CYBMUJFWSA-N 0 0 434.888 -0.704 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001143202153 1073095431 /nfs/dbraw/zinc/09/54/31/1073095431.db2.gz FCMSQQPJIGGIPJ-CXAGYDPISA-N 0 0 432.520 -0.625 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001143202160 1073095293 /nfs/dbraw/zinc/09/52/93/1073095293.db2.gz FCMSQQPJIGGIPJ-DYVFJYSZSA-N 0 0 432.520 -0.625 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001143202161 1073095304 /nfs/dbraw/zinc/09/53/04/1073095304.db2.gz FCMSQQPJIGGIPJ-GUYCJALGSA-N 0 0 432.520 -0.625 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001143202164 1073095498 /nfs/dbraw/zinc/09/54/98/1073095498.db2.gz FCMSQQPJIGGIPJ-SUMWQHHRSA-N 0 0 432.520 -0.625 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001143203633 1073095378 /nfs/dbraw/zinc/09/53/78/1073095378.db2.gz QMDRCQIAIBYMEV-KRWDZBQOSA-N 0 0 432.520 -0.359 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001143203634 1073095392 /nfs/dbraw/zinc/09/53/92/1073095392.db2.gz QMDRCQIAIBYMEV-QGZVFWFLSA-N 0 0 432.520 -0.359 20 0 IBADRN O=C(CCC(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCN(c2ncccn2)CC1 ZINC001143203993 1073095471 /nfs/dbraw/zinc/09/54/71/1073095471.db2.gz VETBCHZANGAEPB-IBGZPJMESA-N 0 0 437.522 -0.679 20 0 IBADRN O=C(CCC(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCN(c2ncccn2)CC1 ZINC001143204000 1073095986 /nfs/dbraw/zinc/09/59/86/1073095986.db2.gz VETBCHZANGAEPB-LJQANCHMSA-N 0 0 437.522 -0.679 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001143204260 1073095997 /nfs/dbraw/zinc/09/59/97/1073095997.db2.gz YNYYPRIPAJDFKH-KRWDZBQOSA-N 0 0 430.504 -0.416 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001143204261 1073095644 /nfs/dbraw/zinc/09/56/44/1073095644.db2.gz YNYYPRIPAJDFKH-QGZVFWFLSA-N 0 0 430.504 -0.416 20 0 IBADRN Cn1c(CCC(=O)N2CC(C)(C(N)=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001143208312 1073095934 /nfs/dbraw/zinc/09/59/34/1073095934.db2.gz DJRCSTIAJNDTPB-UHFFFAOYSA-N 0 0 449.533 -0.139 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)COCc2ccccc2)[C@H]1O ZINC001143226420 1073095661 /nfs/dbraw/zinc/09/56/61/1073095661.db2.gz ZVIZARZUDRTPFK-AUSMBZDKSA-N 0 0 442.476 -0.156 20 0 IBADRN CO[C@@H]1COC[C@H]1NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001143227433 1073095673 /nfs/dbraw/zinc/09/56/73/1073095673.db2.gz WAJJXLYANKQRRI-IAGOWNOFSA-N 0 0 443.497 -0.031 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001143235185 1073096621 /nfs/dbraw/zinc/09/66/21/1073096621.db2.gz FSSXCYOTXOJWGI-UHFFFAOYSA-N 0 0 441.510 -0.609 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CN2CCCC2=O)CC3)C1=O ZINC001143242248 1073096690 /nfs/dbraw/zinc/09/66/90/1073096690.db2.gz DCFPVVIRTWBDLV-IBGZPJMESA-N 0 0 426.539 -0.659 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CN2CCCC2=O)CC3)C1=O ZINC001143242249 1073096742 /nfs/dbraw/zinc/09/67/42/1073096742.db2.gz DCFPVVIRTWBDLV-LJQANCHMSA-N 0 0 426.539 -0.659 20 0 IBADRN O=C(CN1CCCC1=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001143242295 1073096393 /nfs/dbraw/zinc/09/63/93/1073096393.db2.gz FIQFFPFXISGQBL-LSDHHAIUSA-N 0 0 435.506 -0.842 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)CN3CCCC3=O)CC2)c2nonc21 ZINC001143243723 1073096537 /nfs/dbraw/zinc/09/65/37/1073096537.db2.gz YAJZDMRJUMHKNC-UHFFFAOYSA-N 0 0 436.494 -0.256 20 0 IBADRN CN(C)C(=O)C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001143268400 1073096954 /nfs/dbraw/zinc/09/69/54/1073096954.db2.gz AYOANWGNGOHJKJ-KKSFZXQISA-N 0 0 430.509 -0.531 20 0 IBADRN O=C([C@@H]1CNC(=O)C1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001143290919 1073096971 /nfs/dbraw/zinc/09/69/71/1073096971.db2.gz QFTHYXPIZSLPKR-HOIFWPIMSA-N 0 0 442.520 -0.484 20 0 IBADRN O=C([C@H]1CNC(=O)C1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001143290920 1073097082 /nfs/dbraw/zinc/09/70/82/1073097082.db2.gz QFTHYXPIZSLPKR-JLHGSKIFSA-N 0 0 442.520 -0.484 20 0 IBADRN CN1CCC(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)CC1 ZINC001143306907 1073097158 /nfs/dbraw/zinc/09/71/58/1073097158.db2.gz MXPFNVZEPDRMNJ-DLBZAZTESA-N 0 0 435.550 -0.122 20 0 IBADRN NS(=O)(=O)c1ccc(SCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001143326538 1073097500 /nfs/dbraw/zinc/09/75/00/1073097500.db2.gz KBAWDVILLMUCSD-CABCVRRESA-N 0 0 449.576 -0.960 20 0 IBADRN NS(=O)(=O)c1ccc(SCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001143326539 1073097539 /nfs/dbraw/zinc/09/75/39/1073097539.db2.gz KBAWDVILLMUCSD-GJZGRUSLSA-N 0 0 449.576 -0.960 20 0 IBADRN NS(=O)(=O)c1ccc(SCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001143326540 1073097546 /nfs/dbraw/zinc/09/75/46/1073097546.db2.gz KBAWDVILLMUCSD-HUUCEWRRSA-N 0 0 449.576 -0.960 20 0 IBADRN NS(=O)(=O)c1ccc(SCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001143326541 1073097529 /nfs/dbraw/zinc/09/75/29/1073097529.db2.gz KBAWDVILLMUCSD-LSDHHAIUSA-N 0 0 449.576 -0.960 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001143327050 1073097595 /nfs/dbraw/zinc/09/75/95/1073097595.db2.gz QCVQNRVXEDZVOL-CVEARBPZSA-N 0 0 446.570 -0.847 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001143327051 1073097433 /nfs/dbraw/zinc/09/74/33/1073097433.db2.gz QCVQNRVXEDZVOL-HOTGVXAUSA-N 0 0 446.570 -0.847 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001143327052 1073097606 /nfs/dbraw/zinc/09/76/06/1073097606.db2.gz QCVQNRVXEDZVOL-HZPDHXFCSA-N 0 0 446.570 -0.847 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001143327053 1073097463 /nfs/dbraw/zinc/09/74/63/1073097463.db2.gz QCVQNRVXEDZVOL-JKSUJKDBSA-N 0 0 446.570 -0.847 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cc2ncc(Br)cn2n1 ZINC001143327279 1073097556 /nfs/dbraw/zinc/09/75/56/1073097556.db2.gz WRPNLHMPHXGMNG-CHWSQXEVSA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cc2ncc(Br)cn2n1 ZINC001143327280 1073097476 /nfs/dbraw/zinc/09/74/76/1073097476.db2.gz WRPNLHMPHXGMNG-OLZOCXBDSA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cc2ncc(Br)cn2n1 ZINC001143327281 1073097520 /nfs/dbraw/zinc/09/75/20/1073097520.db2.gz WRPNLHMPHXGMNG-QWHCGFSZSA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cc2ncc(Br)cn2n1 ZINC001143327282 1073097384 /nfs/dbraw/zinc/09/73/84/1073097384.db2.gz WRPNLHMPHXGMNG-STQMWFEESA-N 0 0 444.311 -0.281 20 0 IBADRN O=C(CN1CC[C@H](c2ccccc2)NC1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001143327491 1073097486 /nfs/dbraw/zinc/09/74/86/1073097486.db2.gz ZBSOVBBXZSYFRR-FGTMMUONSA-N 0 0 436.534 -0.243 20 0 IBADRN O=C(CN1CC[C@H](c2ccccc2)NC1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001143327492 1073097571 /nfs/dbraw/zinc/09/75/71/1073097571.db2.gz ZBSOVBBXZSYFRR-KURKYZTESA-N 0 0 436.534 -0.243 20 0 IBADRN O=C(CN1CC[C@H](c2ccccc2)NC1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001143327493 1073097420 /nfs/dbraw/zinc/09/74/20/1073097420.db2.gz ZBSOVBBXZSYFRR-KZNAEPCWSA-N 0 0 436.534 -0.243 20 0 IBADRN O=C(CN1CC[C@H](c2ccccc2)NC1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001143327494 1073097510 /nfs/dbraw/zinc/09/75/10/1073097510.db2.gz ZBSOVBBXZSYFRR-SQNIBIBYSA-N 0 0 436.534 -0.243 20 0 IBADRN COC(=O)N1CCC[C@@H](NC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001143332265 1073097450 /nfs/dbraw/zinc/09/74/50/1073097450.db2.gz FSZQZBADJCEYKH-GFCCVEGCSA-N 0 0 433.508 -0.285 20 0 IBADRN COC(=O)N1CCC[C@H](NC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001143332266 1073097767 /nfs/dbraw/zinc/09/77/67/1073097767.db2.gz FSZQZBADJCEYKH-LBPRGKRZSA-N 0 0 433.508 -0.285 20 0 IBADRN CC(C)N(CC(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O)C(=O)OC(C)(C)C ZINC001143347449 1073097862 /nfs/dbraw/zinc/09/78/62/1073097862.db2.gz YRHBOBNWAMRWNR-RAEVTNRLSA-N 0 0 441.485 -0.551 20 0 IBADRN CN1CCn2ncc(C(=O)NCC(=O)N3CCN(Cc4ccccc4)CC3)c2S1(=O)=O ZINC001143348466 1073098021 /nfs/dbraw/zinc/09/80/21/1073098021.db2.gz QABNXSMMBIHOIB-UHFFFAOYSA-N 0 0 446.533 -0.409 20 0 IBADRN CN1CCC(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CC1 ZINC001143353506 1074067889 /nfs/dbraw/zinc/06/78/89/1074067889.db2.gz LUTSZRVOUWLWSI-KZNAEPCWSA-N 0 0 427.567 -0.161 20 0 IBADRN CN1CCC(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CC1 ZINC001143353507 1074067947 /nfs/dbraw/zinc/06/79/47/1074067947.db2.gz LUTSZRVOUWLWSI-RCCFBDPRSA-N 0 0 427.567 -0.161 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)C2(CS(C)(=O)=O)COC2)CC1 ZINC001143373224 1070806845 /nfs/dbraw/zinc/80/68/45/1070806845.db2.gz KPPGXVTYCYXATP-UHFFFAOYSA-N 0 0 431.536 -0.696 20 0 IBADRN COc1cccc(N=c2ncn(C(=O)C(=O)[O-])n3ccc(CN4CC[C@@H]([NH3+])[C@H](O)C4)c23)c1 ZINC001143420790 1071048318 /nfs/dbraw/zinc/04/83/18/1071048318.db2.gz LSIFKGIEGIYPAT-IAGOWNOFSA-N 0 0 440.460 -0.005 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F)N1CCN(c2ncccn2)CC1 ZINC001143438475 1071073472 /nfs/dbraw/zinc/07/34/72/1071073472.db2.gz ADOQXCDERNPVSD-CHWSQXEVSA-N 0 0 449.455 -0.145 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F)N1CCN(c2ncccn2)CC1 ZINC001143438478 1071073630 /nfs/dbraw/zinc/07/36/30/1071073630.db2.gz ADOQXCDERNPVSD-OLZOCXBDSA-N 0 0 449.455 -0.145 20 0 IBADRN O=C(CCNC(=O)[C@H]1CS(=O)(=O)C[C@H]1C(F)(F)F)N1CCN(c2ncccn2)CC1 ZINC001143438479 1071073650 /nfs/dbraw/zinc/07/36/50/1071073650.db2.gz ADOQXCDERNPVSD-QWHCGFSZSA-N 0 0 449.455 -0.145 20 0 IBADRN O=C(CCNC(=O)[C@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F)N1CCN(c2ncccn2)CC1 ZINC001143438480 1071073352 /nfs/dbraw/zinc/07/33/52/1071073352.db2.gz ADOQXCDERNPVSD-STQMWFEESA-N 0 0 449.455 -0.145 20 0 IBADRN CS(=O)(=O)N1CCC(CC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001143439143 1071073676 /nfs/dbraw/zinc/07/36/76/1071073676.db2.gz MQGJOBDFTPHURL-UHFFFAOYSA-N 0 0 438.554 -0.307 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)C3(S(N)(=O)=O)CC3)cc2)CC1 ZINC001143464282 1071276720 /nfs/dbraw/zinc/27/67/20/1071276720.db2.gz HVVWWKHRFQHQSO-UHFFFAOYSA-N 0 0 438.550 -0.291 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN3CCNC(=O)C3)cc2)CC1 ZINC001143464863 1071279709 /nfs/dbraw/zinc/27/97/09/1071279709.db2.gz LOEHUPULNWUECR-UHFFFAOYSA-N 0 0 431.537 -0.680 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)[C@@H]3CC(=O)NC(=O)N3)cc2)CC1 ZINC001143465552 1071286247 /nfs/dbraw/zinc/28/62/47/1071286247.db2.gz VCPKPGQGQQMMOZ-SFHVURJKSA-N 0 0 431.493 -0.514 20 0 IBADRN CCC1(C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O)COC1 ZINC001143466519 1071304093 /nfs/dbraw/zinc/30/40/93/1071304093.db2.gz TUNZHGAXFZNYDI-KBPBESRZSA-N 0 0 430.571 -0.217 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)[C@H]1CCCS1(=O)=O)C2 ZINC001143468970 1071312427 /nfs/dbraw/zinc/31/24/27/1071312427.db2.gz DCCZUVKEFMGPJR-DOTOQJQBSA-N 0 0 426.539 -0.228 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)[C@H]1CCCS1(=O)=O)C2 ZINC001143468973 1071312622 /nfs/dbraw/zinc/31/26/22/1071312622.db2.gz DCCZUVKEFMGPJR-NVXWUHKLSA-N 0 0 426.539 -0.228 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)[C@@H]1CCCS1(=O)=O)C2 ZINC001143468975 1071312873 /nfs/dbraw/zinc/31/28/73/1071312873.db2.gz DCCZUVKEFMGPJR-RDJZCZTQSA-N 0 0 426.539 -0.228 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)[C@@H]1CCCS1(=O)=O)C2 ZINC001143468977 1071312394 /nfs/dbraw/zinc/31/23/94/1071312394.db2.gz DCCZUVKEFMGPJR-WBVHZDCISA-N 0 0 426.539 -0.228 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)[C@@H]1CCCS1(=O)=O)CCC2)N1CCCC1 ZINC001143470316 1071313471 /nfs/dbraw/zinc/31/34/71/1071313471.db2.gz LCSGLBSCMQVGJQ-INIZCTEOSA-N 0 0 425.511 -0.273 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)[C@H]1CCCS1(=O)=O)CCC2)N1CCCC1 ZINC001143470317 1071313249 /nfs/dbraw/zinc/31/32/49/1071313249.db2.gz LCSGLBSCMQVGJQ-MRXNPFEDSA-N 0 0 425.511 -0.273 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CCCS2(=O)=O)CC3)C1=O ZINC001143470455 1071313514 /nfs/dbraw/zinc/31/35/14/1071313514.db2.gz LYWDFPGPFBTZPO-KBXCAEBGSA-N 0 0 447.579 -0.704 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CCCS2(=O)=O)CC3)C1=O ZINC001143470456 1071313504 /nfs/dbraw/zinc/31/35/04/1071313504.db2.gz LYWDFPGPFBTZPO-KDOFPFPSSA-N 0 0 447.579 -0.704 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CCCS2(=O)=O)CC3)C1=O ZINC001143470457 1071313148 /nfs/dbraw/zinc/31/31/48/1071313148.db2.gz LYWDFPGPFBTZPO-KSSFIOAISA-N 0 0 447.579 -0.704 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CCCS2(=O)=O)CC3)C1=O ZINC001143470458 1071313431 /nfs/dbraw/zinc/31/34/31/1071313431.db2.gz LYWDFPGPFBTZPO-RDTXWAMCSA-N 0 0 447.579 -0.704 20 0 IBADRN O=C([C@H]1CCCS1(=O)=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001143471486 1071313793 /nfs/dbraw/zinc/31/37/93/1071313793.db2.gz SHHRMLXIYZIDTB-CBZIJGRNSA-N 0 0 441.531 -0.457 20 0 IBADRN O=C([C@@H]1CCCS1(=O)=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001143471487 1071314079 /nfs/dbraw/zinc/31/40/79/1071314079.db2.gz SHHRMLXIYZIDTB-CVYDXHPNSA-N 0 0 441.531 -0.457 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)[C@H]2CCCS2(=O)=O)cc1Cl ZINC001143475700 1071315197 /nfs/dbraw/zinc/31/51/97/1071315197.db2.gz BYLJWURINSSQFK-MRVPVSSYSA-N 0 0 431.901 -0.850 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)[C@@H]2CCCS2(=O)=O)cc1Cl ZINC001143475701 1071315668 /nfs/dbraw/zinc/31/56/68/1071315668.db2.gz BYLJWURINSSQFK-QMMMGPOBSA-N 0 0 431.901 -0.850 20 0 IBADRN COc1nccn(C(=O)[C@H]2CCCS2(=O)=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001143476529 1071315507 /nfs/dbraw/zinc/31/55/07/1071315507.db2.gz IHZKCXXEQZQFJB-CYBMUJFWSA-N 0 0 426.476 -0.019 20 0 IBADRN COc1nccn(C(=O)[C@@H]2CCCS2(=O)=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001143476530 1071315563 /nfs/dbraw/zinc/31/55/63/1071315563.db2.gz IHZKCXXEQZQFJB-ZDUSSCGKSA-N 0 0 426.476 -0.019 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)[C@H]2CCCS2(=O)=O)CC1 ZINC001143480054 1071318432 /nfs/dbraw/zinc/31/84/32/1071318432.db2.gz DLWKPTNHPUNXQV-CYBMUJFWSA-N 0 0 439.556 -0.438 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)[C@@H]2CCCS2(=O)=O)CC1 ZINC001143480055 1071317319 /nfs/dbraw/zinc/31/73/19/1071317319.db2.gz DLWKPTNHPUNXQV-ZDUSSCGKSA-N 0 0 439.556 -0.438 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)[C@H]1CCCS1(=O)=O ZINC001143480910 1071319341 /nfs/dbraw/zinc/31/93/41/1071319341.db2.gz NCEXZSFDOGPXRS-IXYRMGKJSA-N 0 0 433.504 -0.906 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)[C@H]1CCCS1(=O)=O ZINC001143480914 1071319216 /nfs/dbraw/zinc/31/92/16/1071319216.db2.gz NCEXZSFDOGPXRS-KFBDQHHASA-N 0 0 433.504 -0.906 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)[C@@H]1CCCS1(=O)=O ZINC001143480916 1071319302 /nfs/dbraw/zinc/31/93/02/1071319302.db2.gz NCEXZSFDOGPXRS-NXCDYBDISA-N 0 0 433.504 -0.906 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)[C@@H]1CCCS1(=O)=O ZINC001143480918 1071319138 /nfs/dbraw/zinc/31/91/38/1071319138.db2.gz NCEXZSFDOGPXRS-TXYIGXEZSA-N 0 0 433.504 -0.906 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)[C@@H]3CCCS3(=O)=O)ccc12 ZINC001143482685 1071323837 /nfs/dbraw/zinc/32/38/37/1071323837.db2.gz DQYVUQAXEZEFRA-HNNXBMFYSA-N 0 0 435.458 -0.150 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)[C@H]3CCCS3(=O)=O)ccc12 ZINC001143482694 1071323733 /nfs/dbraw/zinc/32/37/33/1071323733.db2.gz DQYVUQAXEZEFRA-OAHLLOKOSA-N 0 0 435.458 -0.150 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)[C@H]1CCCS1(=O)=O)C(N)=O ZINC001143483044 1071324345 /nfs/dbraw/zinc/32/43/45/1071324345.db2.gz GTFJMNMYURWSER-OAGGEKHMSA-N 0 0 425.507 -0.377 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)[C@@H]1CCCS1(=O)=O)C(N)=O ZINC001143483049 1071324286 /nfs/dbraw/zinc/32/42/86/1071324286.db2.gz GTFJMNMYURWSER-PMPSAXMXSA-N 0 0 425.507 -0.377 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H]1CCCS1(=O)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001143484571 1071325389 /nfs/dbraw/zinc/32/53/89/1071325389.db2.gz RQTJDTFOSJGQLM-KFWWJZLASA-N 0 0 433.504 -0.251 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H]1CCCS1(=O)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001143484572 1071325687 /nfs/dbraw/zinc/32/56/87/1071325687.db2.gz RQTJDTFOSJGQLM-ZNMIVQPWSA-N 0 0 433.504 -0.251 20 0 IBADRN CC(=O)Oc1ccc(C=CC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001143514545 1071420902 /nfs/dbraw/zinc/42/09/02/1071420902.db2.gz GUOQPTCPMUDHJI-WMDZZODFSA-N 0 0 430.417 -0.558 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC001143546585 1071542197 /nfs/dbraw/zinc/54/21/97/1071542197.db2.gz IZQCQVRWRIYODM-UHFFFAOYSA-N 0 0 428.522 -0.197 20 0 IBADRN CC(C)CC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC001143546648 1071542313 /nfs/dbraw/zinc/54/23/13/1071542313.db2.gz LZWKUDPJBJBRCM-KRWDZBQOSA-N 0 0 442.524 -0.287 20 0 IBADRN CC(C)CC(=O)N[C@H](CC(N)=O)C(=O)N1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC001143546649 1071542270 /nfs/dbraw/zinc/54/22/70/1071542270.db2.gz LZWKUDPJBJBRCM-QGZVFWFLSA-N 0 0 442.524 -0.287 20 0 IBADRN COc1cccc2c1C[C@H](C(=O)N[C@H]1[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]1O)CC2 ZINC001143549602 1071546206 /nfs/dbraw/zinc/54/62/06/1071546206.db2.gz YVWBXWGIFUIMRL-BVJVXYSXSA-N 0 0 430.461 -0.383 20 0 IBADRN COc1cccc2c1C[C@@H](C(=O)N[C@H]1[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]1O)CC2 ZINC001143549603 1071546388 /nfs/dbraw/zinc/54/63/88/1071546388.db2.gz YVWBXWGIFUIMRL-GIRMDXBASA-N 0 0 430.461 -0.383 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3[C@H](CO)CC[C@H]3CO)ccc2F)CC1 ZINC001143561808 1071934262 /nfs/dbraw/zinc/93/42/62/1071934262.db2.gz DSDVPSWNURHSRM-HOTGVXAUSA-N 0 0 443.497 -0.364 20 0 IBADRN COc1cc(C[C@@H](O)C(=O)N2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)ccc1O ZINC001143591303 1072502861 /nfs/dbraw/zinc/50/28/61/1072502861.db2.gz DJANOACVPJYNEQ-HLLBOEOZSA-N 0 0 427.523 -0.109 20 0 IBADRN COc1cc(C[C@H](O)C(=O)N2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)ccc1O ZINC001143591304 1072502967 /nfs/dbraw/zinc/50/29/67/1072502967.db2.gz DJANOACVPJYNEQ-VYDXJSESSA-N 0 0 427.523 -0.109 20 0 IBADRN COc1cc(C[C@H](O)C(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)ccc1O ZINC001143591996 1072511580 /nfs/dbraw/zinc/51/15/80/1072511580.db2.gz HRHOUFDGCXCCRO-HNNXBMFYSA-N 0 0 433.465 -0.457 20 0 IBADRN COc1cc(C[C@@H](O)C(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)ccc1O ZINC001143591998 1072511487 /nfs/dbraw/zinc/51/14/87/1072511487.db2.gz HRHOUFDGCXCCRO-OAHLLOKOSA-N 0 0 433.465 -0.457 20 0 IBADRN COc1cc(C[C@H](O)C(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)ccc1O ZINC001143592611 1072513334 /nfs/dbraw/zinc/51/33/34/1072513334.db2.gz CJLGFRSRILOJIM-INIZCTEOSA-N 0 0 431.449 -0.223 20 0 IBADRN COc1cc(C[C@@H](O)C(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)ccc1O ZINC001143592612 1072513531 /nfs/dbraw/zinc/51/35/31/1072513531.db2.gz CJLGFRSRILOJIM-MRXNPFEDSA-N 0 0 431.449 -0.223 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)[C@H](O)Cc2ccc(O)c(OC)c2)C1 ZINC001143593971 1072617997 /nfs/dbraw/zinc/61/79/97/1072617997.db2.gz KYTNQYGCMVUGBJ-CRAIPNDOSA-N 0 0 432.477 -0.078 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)[C@H](O)Cc2ccc(O)c(OC)c2)C1 ZINC001143593973 1072617921 /nfs/dbraw/zinc/61/79/21/1072617921.db2.gz KYTNQYGCMVUGBJ-MAUKXSAKSA-N 0 0 432.477 -0.078 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)[C@@H](O)Cc2ccc(O)c(OC)c2)C1 ZINC001143593975 1072617806 /nfs/dbraw/zinc/61/78/06/1072617806.db2.gz KYTNQYGCMVUGBJ-QAPCUYQASA-N 0 0 432.477 -0.078 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)[C@@H](O)Cc2ccc(O)c(OC)c2)C1 ZINC001143593978 1072618468 /nfs/dbraw/zinc/61/84/68/1072618468.db2.gz KYTNQYGCMVUGBJ-YJBOKZPZSA-N 0 0 432.477 -0.078 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@@H](O)Cc3ccc(O)c(OC)c3)C2)C1 ZINC001143594795 1072869400 /nfs/dbraw/zinc/86/94/00/1072869400.db2.gz WYBQOQBOZMUFOE-AVRDEDQJSA-N 0 0 435.521 -0.009 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@H](O)Cc3ccc(O)c(OC)c3)C2)C1 ZINC001143594796 1072869469 /nfs/dbraw/zinc/86/94/69/1072869469.db2.gz WYBQOQBOZMUFOE-GCJKJVERSA-N 0 0 435.521 -0.009 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@@H](O)Cc3ccc(O)c(OC)c3)C2)C1 ZINC001143594797 1072869270 /nfs/dbraw/zinc/86/92/70/1072869270.db2.gz WYBQOQBOZMUFOE-PGRDOPGGSA-N 0 0 435.521 -0.009 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@H](O)Cc3ccc(O)c(OC)c3)C2)C1 ZINC001143594798 1072869171 /nfs/dbraw/zinc/86/91/71/1072869171.db2.gz WYBQOQBOZMUFOE-XMSQKQJNSA-N 0 0 435.521 -0.009 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCC1N=NC(=O)N1C ZINC001143604217 1073324043 /nfs/dbraw/zinc/32/40/43/1073324043.db2.gz VWCKOKPHMRNJQG-UHFFFAOYSA-N 0 0 438.510 -0.215 20 0 IBADRN COc1cc(C[C@@H](O)C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)ccc1O ZINC001143604569 1073347032 /nfs/dbraw/zinc/34/70/32/1073347032.db2.gz GXWQYASTMJJCEL-YQQAZPJKSA-N 0 0 445.476 -0.769 20 0 IBADRN COc1cc(C[C@H](O)C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)ccc1O ZINC001143604570 1073347410 /nfs/dbraw/zinc/34/74/10/1073347410.db2.gz GXWQYASTMJJCEL-ZOBUZTSGSA-N 0 0 445.476 -0.769 20 0 IBADRN COc1cc(C[C@H](O)C(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)ccc1O ZINC001143604977 1073348063 /nfs/dbraw/zinc/34/80/63/1073348063.db2.gz LKBSONWUUUMDHT-GUDXXQAFSA-N 0 0 435.433 -0.660 20 0 IBADRN COc1cc(C[C@@H](O)C(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)ccc1O ZINC001143604978 1073348105 /nfs/dbraw/zinc/34/81/05/1073348105.db2.gz LKBSONWUUUMDHT-LBRJOISOSA-N 0 0 435.433 -0.660 20 0 IBADRN CN(C)[C@H](C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O)c1ccccc1Cl ZINC001143621805 1074068399 /nfs/dbraw/zinc/06/83/99/1074068399.db2.gz GQAMBYFLPSSMQF-AEWXESQOSA-N 0 0 437.884 -0.240 20 0 IBADRN CN(C)[C@@H](C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O)c1ccccc1Cl ZINC001143621807 1074068608 /nfs/dbraw/zinc/06/86/08/1074068608.db2.gz GQAMBYFLPSSMQF-JOCABOTCSA-N 0 0 437.884 -0.240 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N(C)CC(=O)Nc1ccc(Br)cn1 ZINC001143664489 1074068702 /nfs/dbraw/zinc/06/87/02/1074068702.db2.gz ZSXOYSPFEPFAFS-SECBINFHSA-N 0 0 425.247 -0.568 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H]1[C@H](O)CCN1Cc1ccccc1)C2 ZINC001143665268 1074068507 /nfs/dbraw/zinc/06/85/07/1074068507.db2.gz MZQCVXNMULYZDH-DYESRHJHSA-N 0 0 442.520 -0.140 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H]1[C@@H](O)CCN1Cc1ccccc1)C2 ZINC001143665269 1074068655 /nfs/dbraw/zinc/06/86/55/1074068655.db2.gz MZQCVXNMULYZDH-LAUBAEHRSA-N 0 0 442.520 -0.140 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@@H]1[C@H](O)CCN1Cc1ccccc1)C2 ZINC001143665270 1074068618 /nfs/dbraw/zinc/06/86/18/1074068618.db2.gz MZQCVXNMULYZDH-UTKZUKDTSA-N 0 0 442.520 -0.140 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@@H]1[C@@H](O)CCN1Cc1ccccc1)C2 ZINC001143665271 1074068669 /nfs/dbraw/zinc/06/86/69/1074068669.db2.gz MZQCVXNMULYZDH-UWJYYQICSA-N 0 0 442.520 -0.140 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@H]1[C@H](O)CCN1Cc1ccccc1 ZINC001143665911 1074068685 /nfs/dbraw/zinc/06/86/85/1074068685.db2.gz RSGMXRAJLIGTRI-GDBMZVCRSA-N 0 0 427.465 -0.464 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@H]1[C@@H](O)CCN1Cc1ccccc1 ZINC001143665916 1074068438 /nfs/dbraw/zinc/06/84/38/1074068438.db2.gz RSGMXRAJLIGTRI-GOEBONIOSA-N 0 0 427.465 -0.464 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@@H]1[C@@H](O)CCN1Cc1ccccc1 ZINC001143665917 1074068413 /nfs/dbraw/zinc/06/84/13/1074068413.db2.gz RSGMXRAJLIGTRI-HOCLYGCPSA-N 0 0 427.465 -0.464 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@@H]1[C@H](O)CCN1Cc1ccccc1 ZINC001143665918 1074068560 /nfs/dbraw/zinc/06/85/60/1074068560.db2.gz RSGMXRAJLIGTRI-ZBFHGGJFSA-N 0 0 427.465 -0.464 20 0 IBADRN NC(=O)[C@]1(NC(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CCOC1 ZINC001143668949 1074068499 /nfs/dbraw/zinc/06/84/99/1074068499.db2.gz IJFDSMMVEFNQCK-IBGZPJMESA-N 0 0 439.490 -0.381 20 0 IBADRN NC(=O)[C@@]1(NC(=O)C2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CCOC1 ZINC001143668950 1074068468 /nfs/dbraw/zinc/06/84/68/1074068468.db2.gz IJFDSMMVEFNQCK-LJQANCHMSA-N 0 0 439.490 -0.381 20 0 IBADRN NC(=O)[C@]1(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCOC1 ZINC001143669525 1074068491 /nfs/dbraw/zinc/06/84/91/1074068491.db2.gz RZOURBZKFOQQGK-IBGZPJMESA-N 0 0 438.506 -0.940 20 0 IBADRN NC(=O)[C@@]1(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCOC1 ZINC001143669526 1074068479 /nfs/dbraw/zinc/06/84/79/1074068479.db2.gz RZOURBZKFOQQGK-LJQANCHMSA-N 0 0 438.506 -0.940 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@H]1[C@@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675157 1074068581 /nfs/dbraw/zinc/06/85/81/1074068581.db2.gz HNCKSLFARMYRML-JENIJYKNSA-N 0 0 429.477 -0.337 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@@H]1[C@@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675158 1074068533 /nfs/dbraw/zinc/06/85/33/1074068533.db2.gz HNCKSLFARMYRML-LNLFQRSKSA-N 0 0 429.477 -0.337 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@@H]1[C@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675159 1074068998 /nfs/dbraw/zinc/06/89/98/1074068998.db2.gz HNCKSLFARMYRML-SCTDSRPQSA-N 0 0 429.477 -0.337 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@H]1[C@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675160 1074069039 /nfs/dbraw/zinc/06/90/39/1074069039.db2.gz HNCKSLFARMYRML-YQVWRLOYSA-N 0 0 429.477 -0.337 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3[C@@H](O)CCN3Cc3ccccc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001143680796 1074068918 /nfs/dbraw/zinc/06/89/18/1074068918.db2.gz KFROSHKATWUQDH-NTZUZEMLSA-N 0 0 444.488 -0.343 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H]3[C@@H](O)CCN3Cc3ccccc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001143680800 1074068965 /nfs/dbraw/zinc/06/89/65/1074068965.db2.gz KFROSHKATWUQDH-QTMHVTGLSA-N 0 0 444.488 -0.343 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3[C@H](O)CCN3Cc3ccccc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001143680802 1074069090 /nfs/dbraw/zinc/06/90/90/1074069090.db2.gz KFROSHKATWUQDH-UURKPOQGSA-N 0 0 444.488 -0.343 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H]3[C@H](O)CCN3Cc3ccccc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001143680804 1074069074 /nfs/dbraw/zinc/06/90/74/1074069074.db2.gz KFROSHKATWUQDH-VHPHOLNESA-N 0 0 444.488 -0.343 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)n2c(N)c3ncn(COCCO)c3nc2=N)cc1 ZINC001143708757 1074068986 /nfs/dbraw/zinc/06/89/86/1074068986.db2.gz ZHWKDZYIANFKGS-UHFFFAOYSA-N 0 0 434.478 -0.063 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)c2cc(S(N)(=O)=O)ccc2Br)COC1 ZINC001143729383 1074069180 /nfs/dbraw/zinc/06/91/80/1074069180.db2.gz CPDIZILJUDEVJI-UHFFFAOYSA-N 0 0 427.298 -0.360 20 0 IBADRN COc1cc(/C=C/C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)cc(OC)c1OC ZINC001143776081 1074068955 /nfs/dbraw/zinc/06/89/55/1074068955.db2.gz IKJJDQFMPXERIJ-KBWPNOOLSA-N 0 0 427.450 -0.314 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@@H]1COCCN1C(=O)OCc1ccccc1 ZINC001143781658 1074069191 /nfs/dbraw/zinc/06/91/91/1074069191.db2.gz RIDTXTAQCMTLGP-KRWDZBQOSA-N 0 0 425.507 -0.129 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@H]1COCCN1C(=O)OCc1ccccc1 ZINC001143781659 1074069109 /nfs/dbraw/zinc/06/91/09/1074069109.db2.gz RIDTXTAQCMTLGP-QGZVFWFLSA-N 0 0 425.507 -0.129 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C[C@H](CC(N)=O)c2ccc(Cl)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001143796610 1074069155 /nfs/dbraw/zinc/06/91/55/1074069155.db2.gz KEXOLYSFOGSTCA-KNWQMGIESA-N 0 0 430.885 -0.351 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C[C@@H](CC(N)=O)c2ccc(Cl)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001143796611 1074069117 /nfs/dbraw/zinc/06/91/17/1074069117.db2.gz KEXOLYSFOGSTCA-RDEWQGHESA-N 0 0 430.885 -0.351 20 0 IBADRN Cn1cc(O)c(=O)cc1CNC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001143836073 1074069842 /nfs/dbraw/zinc/06/98/42/1074069842.db2.gz UPWMGISANOMSAJ-CWTRNNRKSA-N 0 0 441.506 -0.011 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H](c2ccccc2Cl)N2CCOCC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001143868828 1074070043 /nfs/dbraw/zinc/07/00/43/1074070043.db2.gz XWEKFVKODNXPRJ-BRDADCDLSA-N 0 0 444.912 -0.326 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H](c2ccccc2Cl)N2CCOCC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001143868829 1074070050 /nfs/dbraw/zinc/07/00/50/1074070050.db2.gz XWEKFVKODNXPRJ-FKGJJHRXSA-N 0 0 444.912 -0.326 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(c2nc[nH]n2)CC1 ZINC001143870269 1074069884 /nfs/dbraw/zinc/06/98/84/1074069884.db2.gz VEPASHDKSYHUGF-UHFFFAOYSA-N 0 0 436.494 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N2CCN(c3nc[nH]n3)CC2)C1 ZINC001143874808 1074069969 /nfs/dbraw/zinc/06/99/69/1074069969.db2.gz HQZGHEODYDWDGT-CYBMUJFWSA-N 0 0 428.515 -0.123 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N2CCN(c3nc[nH]n3)CC2)C1 ZINC001143874809 1074070028 /nfs/dbraw/zinc/07/00/28/1074070028.db2.gz HQZGHEODYDWDGT-ZDUSSCGKSA-N 0 0 428.515 -0.123 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(n2cncn2)C1 ZINC001143881993 1074069931 /nfs/dbraw/zinc/06/99/31/1074069931.db2.gz DCAYTQOEHDZNRJ-HNNXBMFYSA-N 0 0 427.508 -0.557 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(n2cncn2)C1 ZINC001143881994 1074069853 /nfs/dbraw/zinc/06/98/53/1074069853.db2.gz DCAYTQOEHDZNRJ-OAHLLOKOSA-N 0 0 427.508 -0.557 20 0 IBADRN COc1cc(CC(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)ccc1OCc1ccccc1 ZINC001143896515 1074070276 /nfs/dbraw/zinc/07/02/76/1074070276.db2.gz GASACTTWFYVRIE-FYKMYLNBSA-N 0 0 433.457 -0.267 20 0 IBADRN COc1cc(CC(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)ccc1OCc1ccccc1 ZINC001143896516 1074070441 /nfs/dbraw/zinc/07/04/41/1074070441.db2.gz GASACTTWFYVRIE-MSEOUXRUSA-N 0 0 433.457 -0.267 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)c2cn(C[C@@H]3CNC(=O)O3)nn2)CC1)C1CCCCC1 ZINC001143909125 1074069962 /nfs/dbraw/zinc/06/99/62/1074069962.db2.gz AUFTXQPKNAGTMK-INIZCTEOSA-N 0 0 433.513 -0.065 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)c2cn(C[C@H]3CNC(=O)O3)nn2)CC1)C1CCCCC1 ZINC001143909127 1074070034 /nfs/dbraw/zinc/07/00/34/1074070034.db2.gz AUFTXQPKNAGTMK-MRXNPFEDSA-N 0 0 433.513 -0.065 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1)C1CCCCC1 ZINC001143909649 1074069907 /nfs/dbraw/zinc/06/99/07/1074069907.db2.gz NJRNLEQPVWRSJH-INIZCTEOSA-N 0 0 429.587 -0.163 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1)C1CCCCC1 ZINC001143909650 1074069938 /nfs/dbraw/zinc/06/99/38/1074069938.db2.gz NJRNLEQPVWRSJH-MRXNPFEDSA-N 0 0 429.587 -0.163 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(CC(F)(F)CO)CC3)CC2=O)c1 ZINC001143940005 1074070352 /nfs/dbraw/zinc/07/03/52/1074070352.db2.gz RUAVPOZRPRJNDO-CYBMUJFWSA-N 0 0 446.476 -0.541 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(CC(F)(F)CO)CC3)CC2=O)c1 ZINC001143940006 1074070399 /nfs/dbraw/zinc/07/03/99/1074070399.db2.gz RUAVPOZRPRJNDO-ZDUSSCGKSA-N 0 0 446.476 -0.541 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCN(CC(F)(F)CO)CC1 ZINC001143940147 1074070266 /nfs/dbraw/zinc/07/02/66/1074070266.db2.gz VQSUKCNWRRYKEE-UHFFFAOYSA-N 0 0 446.476 -0.808 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(F)(F)CO)CC1 ZINC001143940238 1074070293 /nfs/dbraw/zinc/07/02/93/1074070293.db2.gz WUIITVZYLLDDNF-AAEUAGOBSA-N 0 0 427.495 -0.452 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(F)(F)CO)CC1 ZINC001143940239 1074070412 /nfs/dbraw/zinc/07/04/12/1074070412.db2.gz WUIITVZYLLDDNF-DGCLKSJQSA-N 0 0 427.495 -0.452 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(F)(F)CO)CC1 ZINC001143940240 1074070302 /nfs/dbraw/zinc/07/03/02/1074070302.db2.gz WUIITVZYLLDDNF-WCQYABFASA-N 0 0 427.495 -0.452 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CC(F)(F)CO)CC1 ZINC001143940241 1074070360 /nfs/dbraw/zinc/07/03/60/1074070360.db2.gz WUIITVZYLLDDNF-YPMHNXCESA-N 0 0 427.495 -0.452 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C2=NN(CCO)C(=O)CC2)cc1 ZINC001143945817 1074070310 /nfs/dbraw/zinc/07/03/10/1074070310.db2.gz DXLGSPDUCAKTQG-UHFFFAOYSA-N 0 0 430.509 -0.194 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C(=O)NCCN1CCOCC1 ZINC001143963741 1074070391 /nfs/dbraw/zinc/07/03/91/1074070391.db2.gz AAXAIUKDPSYYNP-HRCADAONSA-N 0 0 430.546 -0.149 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C(=O)NCCN1CCOCC1 ZINC001143963742 1074070341 /nfs/dbraw/zinc/07/03/41/1074070341.db2.gz AAXAIUKDPSYYNP-JYJNAYRXSA-N 0 0 430.546 -0.149 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C(=O)NCCN1CCOCC1 ZINC001143963743 1074070847 /nfs/dbraw/zinc/07/08/47/1074070847.db2.gz AAXAIUKDPSYYNP-OWCLPIDISA-N 0 0 430.546 -0.149 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C(=O)NCCN1CCOCC1 ZINC001143963744 1074070645 /nfs/dbraw/zinc/07/06/45/1074070645.db2.gz AAXAIUKDPSYYNP-PMPSAXMXSA-N 0 0 430.546 -0.149 20 0 IBADRN CCC(=O)C(=O)N[C@H]1[C@@H](O)[C@H](n2ccc(=O)[nH]c2=O)O[C@@H]1COC(=O)c1ccc(C)cc1 ZINC001143973808 1074070636 /nfs/dbraw/zinc/07/06/36/1074070636.db2.gz ZVYBUNIJKVHGBW-KLICCBINSA-N 0 0 445.428 -0.163 20 0 IBADRN COc1ccc(-c2nn(CC(=O)NCC(=O)NCCN3CCOCC3)cc2C=O)cc1 ZINC001143974370 1074070678 /nfs/dbraw/zinc/07/06/78/1074070678.db2.gz LFODRGKRMSHRFJ-UHFFFAOYSA-N 0 0 429.477 -0.064 20 0 IBADRN O=C(CNC(=O)[C@H](Cc1c[nH]cn1)NC(=O)C1CCCCC1)NCCN1CCOCC1 ZINC001143974435 1074070806 /nfs/dbraw/zinc/07/08/06/1074070806.db2.gz OEPAFFSPBSUDPN-SFHVURJKSA-N 0 0 434.541 -0.418 20 0 IBADRN C[C@@H](C(=O)NCC(=O)NCCN1CCOCC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001143974511 1074070690 /nfs/dbraw/zinc/07/06/90/1074070690.db2.gz QRVSLNNMTCOJEY-HNNXBMFYSA-N 0 0 441.529 -0.981 20 0 IBADRN C[C@H](C(=O)NCC(=O)NCCN1CCOCC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001143974512 1074070831 /nfs/dbraw/zinc/07/08/31/1074070831.db2.gz QRVSLNNMTCOJEY-OAHLLOKOSA-N 0 0 441.529 -0.981 20 0 IBADRN CNC(=O)/C=C/C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001144083325 1074071161 /nfs/dbraw/zinc/07/11/61/1074071161.db2.gz MLQBWZYQZXMWHE-TZJQQCNGSA-N 0 0 442.520 -0.317 20 0 IBADRN CN(C(=O)Cn1nc2n(c1=O)CCCC2)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001144084663 1074071135 /nfs/dbraw/zinc/07/11/35/1074071135.db2.gz JDQUSVXDQAJDLA-UHFFFAOYSA-N 0 0 433.557 -0.922 20 0 IBADRN CN(C(=O)CCC[C@]1(C)NC(=O)NC1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001144084768 1074071106 /nfs/dbraw/zinc/07/11/06/1074071106.db2.gz LUEHXMNAIGBKJX-NRFANRHFSA-N 0 0 436.557 -0.548 20 0 IBADRN CN(C(=O)CCC[C@@]1(C)NC(=O)NC1=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001144084770 1074071209 /nfs/dbraw/zinc/07/12/09/1074071209.db2.gz LUEHXMNAIGBKJX-OAQYLSRUSA-N 0 0 436.557 -0.548 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001144085517 1074071255 /nfs/dbraw/zinc/07/12/55/1074071255.db2.gz YIXSSOUMDYJUOK-UHFFFAOYSA-N 0 0 440.570 -0.782 20 0 IBADRN COC(=O)/C=C/C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001144107699 1074071643 /nfs/dbraw/zinc/07/16/43/1074071643.db2.gz BFVWDBNLSGPWFN-AAAGKOELSA-N 0 0 430.527 -0.914 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H](C)OC(C)=O)C(N)=O ZINC001144165836 1074071570 /nfs/dbraw/zinc/07/15/70/1074071570.db2.gz ZXOMTRBDKGOYJA-UBHSHLNASA-N 0 0 432.543 -0.692 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H](O)CC(C)C)C(N)=O ZINC001144181213 1074071529 /nfs/dbraw/zinc/07/15/29/1074071529.db2.gz VLWHPKGFQYDLSJ-KKUMJFAQSA-N 0 0 432.587 -0.236 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H](O)CC(C)C)C(N)=O ZINC001144181214 1074071513 /nfs/dbraw/zinc/07/15/13/1074071513.db2.gz VLWHPKGFQYDLSJ-SOUVJXGZSA-N 0 0 432.587 -0.236 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(c4nncn4C)CC3)C2=O)cc1 ZINC001144236947 1074072037 /nfs/dbraw/zinc/07/20/37/1074072037.db2.gz JXIVOHRFAYWSIO-FQEVSTJZSA-N 0 0 427.465 -0.061 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(c4nncn4C)CC3)C2=O)cc1 ZINC001144236948 1074072095 /nfs/dbraw/zinc/07/20/95/1074072095.db2.gz JXIVOHRFAYWSIO-HXUWFJFHSA-N 0 0 427.465 -0.061 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001144237344 1074071975 /nfs/dbraw/zinc/07/19/75/1074071975.db2.gz UUZKKXTWKVBDKB-ARFHVFGLSA-N 0 0 438.554 -0.427 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001144237345 1074071938 /nfs/dbraw/zinc/07/19/38/1074071938.db2.gz UUZKKXTWKVBDKB-BZUAXINKSA-N 0 0 438.554 -0.427 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001144237346 1074072028 /nfs/dbraw/zinc/07/20/28/1074072028.db2.gz UUZKKXTWKVBDKB-HRCADAONSA-N 0 0 438.554 -0.427 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001144237347 1074072048 /nfs/dbraw/zinc/07/20/48/1074072048.db2.gz UUZKKXTWKVBDKB-OWCLPIDISA-N 0 0 438.554 -0.427 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)Cc2ccccc2O)[C@H]1O ZINC001144270144 1074072058 /nfs/dbraw/zinc/07/20/58/1074072058.db2.gz ZHEZJKMDOODNIB-UNFPFYSMSA-N 0 0 428.449 -0.424 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)[C@]1(C)C[C@@H]3C=C[C@H]1C3)c2N ZINC001144273937 1074071984 /nfs/dbraw/zinc/07/19/84/1074071984.db2.gz WCEIIAHTUZBIPI-MNVLXJQNSA-N 0 0 430.465 -0.197 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)[C@@]1(C)C[C@@H]3C=C[C@H]1C3)c2N ZINC001144273938 1074071978 /nfs/dbraw/zinc/07/19/78/1074071978.db2.gz WCEIIAHTUZBIPI-VVHHNYQUSA-N 0 0 430.465 -0.197 20 0 IBADRN CC1(C)CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)[C@@H]2COC[C@H]2O1 ZINC001144297487 1074071993 /nfs/dbraw/zinc/07/19/93/1074071993.db2.gz FIIKGVITLSBVLE-HUUCEWRRSA-N 0 0 432.520 -0.227 20 0 IBADRN Cc1nnc(N2CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2)n1C ZINC001144312168 1074071946 /nfs/dbraw/zinc/07/19/46/1074071946.db2.gz GAHHZDXHCQTXDZ-UHFFFAOYSA-N 0 0 447.521 -0.794 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001144316922 1074072013 /nfs/dbraw/zinc/07/20/13/1074072013.db2.gz GAUBKVYQPGDFNF-VVLHAWIVSA-N 0 0 427.523 -0.882 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001144316923 1074071920 /nfs/dbraw/zinc/07/19/20/1074071920.db2.gz GAUBKVYQPGDFNF-WCXIOVBPSA-N 0 0 427.523 -0.882 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CC2)n1C ZINC001144317034 1074072020 /nfs/dbraw/zinc/07/20/20/1074072020.db2.gz DVOZLDLTTONAKH-INIZCTEOSA-N 0 0 431.472 -0.486 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CC2)n1C ZINC001144317035 1074072385 /nfs/dbraw/zinc/07/23/85/1074072385.db2.gz DVOZLDLTTONAKH-MRXNPFEDSA-N 0 0 431.472 -0.486 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001144317438 1074072368 /nfs/dbraw/zinc/07/23/68/1074072368.db2.gz OPLQEIDQACDEEC-LXZJYRNTSA-N 0 0 434.518 -0.024 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001144317621 1074072336 /nfs/dbraw/zinc/07/23/36/1074072336.db2.gz SOGFVXMOBNTOBH-JXFKEZNVSA-N 0 0 440.566 -0.270 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001144317622 1074072344 /nfs/dbraw/zinc/07/23/44/1074072344.db2.gz SOGFVXMOBNTOBH-OXJNMPFZSA-N 0 0 440.566 -0.270 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001144317802 1074072449 /nfs/dbraw/zinc/07/24/49/1074072449.db2.gz WPILAFFLIAPBJR-YESZJQIVSA-N 0 0 449.533 -0.453 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001144319008 1074072362 /nfs/dbraw/zinc/07/23/62/1074072362.db2.gz AGNPEWPZQGEMBL-FWCYYJLNSA-N 0 0 426.491 -0.472 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001144319009 1074072349 /nfs/dbraw/zinc/07/23/49/1074072349.db2.gz AGNPEWPZQGEMBL-KYJUOOMLSA-N 0 0 426.491 -0.472 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001144319010 1074072442 /nfs/dbraw/zinc/07/24/42/1074072442.db2.gz AGNPEWPZQGEMBL-LIKKTTQLSA-N 0 0 426.491 -0.472 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001144319011 1074072316 /nfs/dbraw/zinc/07/23/16/1074072316.db2.gz AGNPEWPZQGEMBL-SGNPGRMLSA-N 0 0 426.491 -0.472 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001144319588 1074072392 /nfs/dbraw/zinc/07/23/92/1074072392.db2.gz VMVAFWOJFRNBJD-HNNXBMFYSA-N 0 0 432.543 -0.004 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC(N2CCOCC2)C1 ZINC001144324998 1074072814 /nfs/dbraw/zinc/07/28/14/1074072814.db2.gz LTGRBKJUADNIKC-UHFFFAOYSA-N 0 0 425.507 -0.127 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)CN(C(=O)[C@@H]1CC(=O)NC(=O)N1)Cc1ncnn12 ZINC001144325688 1074072837 /nfs/dbraw/zinc/07/28/37/1074072837.db2.gz IAUXEBYXDCMSKU-LBPRGKRZSA-N 0 0 433.469 -0.055 20 0 IBADRN O=C(NCCc1ccccn1)[C@@H]1CO[C@@H]2CCN(C(=O)[C@@H]3CC(=O)NC(=O)N3)C[C@@H]2C1 ZINC001144325850 1074072774 /nfs/dbraw/zinc/07/27/74/1074072774.db2.gz KNXCKVGSAUUUTK-NXNVCVFFSA-N 0 0 429.477 -0.408 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CN(C(=O)[C@@H]3CC(=O)NC(=O)N3)C[C@H]2c2ccccc2)C1=O ZINC001144326278 1074072795 /nfs/dbraw/zinc/07/27/95/1074072795.db2.gz SXKUYKREGLDFDV-PONJGIIJSA-N 0 0 441.488 -0.483 20 0 IBADRN COC(=O)c1cc2c(nc1-c1cnn(C)c1)CCN(C(=O)[C@@H]1CC(=O)NC(=O)N1)CC2 ZINC001144326279 1074072791 /nfs/dbraw/zinc/07/27/91/1074072791.db2.gz SYLLHMZAMCMCEF-HNNXBMFYSA-N 0 0 426.433 -0.206 20 0 IBADRN O=C([C@@H]1CC(=O)NC(=O)N1)N1CC[C@H]2[C@H](C[C@@H](Cn3cncn3)N2c2nccs2)C1 ZINC001144332504 1074072460 /nfs/dbraw/zinc/07/24/60/1074072460.db2.gz CUNLYHZAHCUXII-RFGFWPKPSA-N 0 0 430.494 -0.171 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H]1CC(=O)NC(=O)N1)CC2 ZINC001144332875 1074072380 /nfs/dbraw/zinc/07/23/80/1074072380.db2.gz KZZJBYBBOAWSGE-JSGCOSHPSA-N 0 0 428.511 -0.594 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H]1CC(=O)NC(=O)N1)CC2 ZINC001144332897 1074072411 /nfs/dbraw/zinc/07/24/11/1074072411.db2.gz KZZJBYBBOAWSGE-OCCSQVGLSA-N 0 0 428.511 -0.594 20 0 IBADRN O=C([C@@H]1CC(=O)NC(=O)N1)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001144333188 1074072406 /nfs/dbraw/zinc/07/24/06/1074072406.db2.gz QQPLREWCBVQLLD-OLPIVMHESA-N 0 0 448.501 -0.789 20 0 IBADRN O=C(NCCc1ccncc1)[C@@H]1CCO[C@@H]2CCN(C(=O)[C@@H]3CC(=O)NC(=O)N3)C[C@H]21 ZINC001144333203 1074072429 /nfs/dbraw/zinc/07/24/29/1074072429.db2.gz RDYXISSNZGFREX-LTIDMASMSA-N 0 0 429.477 -0.408 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@@H](CNC(=O)[C@@H]3CC(=O)NC(=O)N3)CO2)C1 ZINC001144333277 1074072418 /nfs/dbraw/zinc/07/24/18/1074072418.db2.gz CBEUTTIYHDJZJT-JMERFSKESA-N 0 0 426.470 -0.257 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@H](CNC(=O)[C@@H]3CC(=O)NC(=O)N3)CO2)C1 ZINC001144333278 1074072327 /nfs/dbraw/zinc/07/23/27/1074072327.db2.gz CBEUTTIYHDJZJT-NQCMUKECSA-N 0 0 426.470 -0.257 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@H](CNC(=O)[C@@H]3CC(=O)NC(=O)N3)CO2)C1 ZINC001144333279 1074072356 /nfs/dbraw/zinc/07/23/56/1074072356.db2.gz CBEUTTIYHDJZJT-QHRIQVFBSA-N 0 0 426.470 -0.257 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@@H](CNC(=O)[C@@H]3CC(=O)NC(=O)N3)CO2)C1 ZINC001144333280 1074072374 /nfs/dbraw/zinc/07/23/74/1074072374.db2.gz CBEUTTIYHDJZJT-WTOJCKNJSA-N 0 0 426.470 -0.257 20 0 IBADRN CC(C)(C)OC(=O)N1CCCn2c(=O)cc(CNC(=O)[C@@H]3CC(=O)NC(=O)N3)nc2C1 ZINC001144333436 1074072426 /nfs/dbraw/zinc/07/24/26/1074072426.db2.gz FZXISSWTGSLIPG-LBPRGKRZSA-N 0 0 434.453 -0.402 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C(=O)[C@@H]1CC(=O)NC(=O)N1)CCC2)c1ccco1 ZINC001144333698 1074072863 /nfs/dbraw/zinc/07/28/63/1074072863.db2.gz VVGFYPGRBSGTFV-LBPRGKRZSA-N 0 0 428.405 -0.903 20 0 IBADRN O=C(NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1)[C@@H]1CC(=O)NC(=O)N1 ZINC001144335990 1074072831 /nfs/dbraw/zinc/07/28/31/1074072831.db2.gz GWIWIKVXKIYVGE-JSGCOSHPSA-N 0 0 435.412 -0.298 20 0 IBADRN Cn1nc(Cl)c(I)c(NC(=O)[C@@H]2CC(=O)NC(=O)N2)c1=O ZINC001144338844 1074072759 /nfs/dbraw/zinc/07/27/59/1074072759.db2.gz MHAMGBDVSKICMC-VKHMYHEASA-N 0 0 425.570 -0.425 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)CNS(=O)(=O)c3ccc(F)cc3)CC2)CC1 ZINC001144350295 1074072879 /nfs/dbraw/zinc/07/28/79/1074072879.db2.gz PVAQNCCWRPMWCT-UHFFFAOYSA-N 0 0 427.502 -0.384 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)N2CCN(C)CC2)CC1 ZINC001144350502 1074073158 /nfs/dbraw/zinc/07/31/58/1074073158.db2.gz UNZXZQOMIOWUNN-AWEZNQCLSA-N 0 0 429.568 -0.074 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)N2CCN(C)CC2)CC1 ZINC001144350503 1074073269 /nfs/dbraw/zinc/07/32/69/1074073269.db2.gz UNZXZQOMIOWUNN-CQSZACIVSA-N 0 0 429.568 -0.074 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3nc(S(C)(=O)=O)n4ccccc34)CC2)CC1 ZINC001144350505 1074073174 /nfs/dbraw/zinc/07/31/74/1074073174.db2.gz URCNFFYKKBGCPV-UHFFFAOYSA-N 0 0 434.522 -0.137 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)CC2CCC(O)CC2)[C@H]1O ZINC001144352791 1074073653 /nfs/dbraw/zinc/07/36/53/1074073653.db2.gz DKNFWEMJEWYMQE-CXTIRDEJSA-N 0 0 434.497 -0.431 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CS(=O)(=O)C3CC3)CC2)cc1 ZINC001144358471 1074073123 /nfs/dbraw/zinc/07/31/23/1074073123.db2.gz BAVVIWQYRGGQDX-UHFFFAOYSA-N 0 0 429.564 -0.005 20 0 IBADRN CC(C)NS(=O)(=O)c1c[nH]c(C(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)c1 ZINC001144359083 1074073283 /nfs/dbraw/zinc/07/32/83/1074073283.db2.gz JASYNXGMAGSPSK-UHFFFAOYSA-N 0 0 426.543 -0.173 20 0 IBADRN COC1(C(=O)N2CCN(CCNS(=O)(=O)c3ccc(C)cc3)CC2)CS(=O)(=O)C1 ZINC001144359090 1074073204 /nfs/dbraw/zinc/07/32/04/1074073204.db2.gz JEHXSLMBCBOPBA-UHFFFAOYSA-N 0 0 445.563 -0.769 20 0 IBADRN CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC001144359210 1074073225 /nfs/dbraw/zinc/07/32/25/1074073225.db2.gz LKVZEHKZYPYJFD-INIZCTEOSA-N 0 0 446.595 -0.255 20 0 IBADRN CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC001144359211 1074073148 /nfs/dbraw/zinc/07/31/48/1074073148.db2.gz LKVZEHKZYPYJFD-MRXNPFEDSA-N 0 0 446.595 -0.255 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)C3=CCS(=O)(=O)C3)CC2)cc1 ZINC001144359213 1074073316 /nfs/dbraw/zinc/07/33/16/1074073316.db2.gz LLOVNSFEUNYCGL-UHFFFAOYSA-N 0 0 427.548 -0.228 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)CN3CC(=O)N(C)C3=O)CC2)cc1 ZINC001144359360 1074073133 /nfs/dbraw/zinc/07/31/33/1074073133.db2.gz NQJMVMVECFBYMX-UHFFFAOYSA-N 0 0 437.522 -0.688 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)CNc3ccc(S(N)(=O)=O)cc3F)CC2)CC1 ZINC001144359406 1074073214 /nfs/dbraw/zinc/07/32/14/1074073214.db2.gz QGWINOYTQUJZCH-UHFFFAOYSA-N 0 0 442.517 -0.603 20 0 IBADRN CC(=O)c1cn(CC(=O)N2CCN(CCNS(=O)(=O)c3ccc(C)cc3)CC2)nn1 ZINC001144359796 1074073185 /nfs/dbraw/zinc/07/31/85/1074073185.db2.gz XQKQBDAJPHPFMT-UHFFFAOYSA-N 0 0 434.522 -0.088 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C(C)C ZINC001144360357 1074073261 /nfs/dbraw/zinc/07/32/61/1074073261.db2.gz DETWTVYAXWHQGA-YQQAZPJKSA-N 0 0 425.530 -0.730 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C(C)C ZINC001144360358 1074073309 /nfs/dbraw/zinc/07/33/09/1074073309.db2.gz DETWTVYAXWHQGA-ZOBUZTSGSA-N 0 0 425.530 -0.730 20 0 IBADRN CC(=O)N[C@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)C(C)C ZINC001144361444 1074073141 /nfs/dbraw/zinc/07/31/41/1074073141.db2.gz PNMDMIXWBJOTBT-HZMVEIRTSA-N 0 0 429.539 -0.732 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)C(C)C ZINC001144361447 1074073198 /nfs/dbraw/zinc/07/31/98/1074073198.db2.gz PNMDMIXWBJOTBT-QBPKDAKJSA-N 0 0 429.539 -0.732 20 0 IBADRN CC(=O)N[C@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)C(C)C ZINC001144361448 1074073298 /nfs/dbraw/zinc/07/32/98/1074073298.db2.gz PNMDMIXWBJOTBT-VQHPVUNQSA-N 0 0 429.539 -0.732 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)C(C)C ZINC001144361452 1074073305 /nfs/dbraw/zinc/07/33/05/1074073305.db2.gz PNMDMIXWBJOTBT-YLFCFFPRSA-N 0 0 429.539 -0.732 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1)C(C)C ZINC001144362717 1074073623 /nfs/dbraw/zinc/07/36/23/1074073623.db2.gz ZMBRIUGEAHFMFI-JXFKEZNVSA-N 0 0 442.582 -0.120 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1)C(C)C ZINC001144362718 1074073717 /nfs/dbraw/zinc/07/37/17/1074073717.db2.gz ZMBRIUGEAHFMFI-OXJNMPFZSA-N 0 0 442.582 -0.120 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1)C(C)C ZINC001144362719 1074073578 /nfs/dbraw/zinc/07/35/78/1074073578.db2.gz ZMBRIUGEAHFMFI-OXQOHEQNSA-N 0 0 442.582 -0.120 20 0 IBADRN CC(=O)N[C@@H](C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1)C(C)C ZINC001144362720 1074073520 /nfs/dbraw/zinc/07/35/20/1074073520.db2.gz ZMBRIUGEAHFMFI-UZLBHIALSA-N 0 0 442.582 -0.120 20 0 IBADRN CC(=O)N[C@@H](C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O)C(C)C ZINC001144366182 1074073693 /nfs/dbraw/zinc/07/36/93/1074073693.db2.gz RPNBSTSFPCRHPP-GFCCVEGCSA-N 0 0 426.904 -0.266 20 0 IBADRN CC(=O)N[C@H](C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O)C(C)C ZINC001144366183 1074073627 /nfs/dbraw/zinc/07/36/27/1074073627.db2.gz RPNBSTSFPCRHPP-LBPRGKRZSA-N 0 0 426.904 -0.266 20 0 IBADRN O=C(CN1CCCCS1(=O)=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001144375440 1074073698 /nfs/dbraw/zinc/07/36/98/1074073698.db2.gz SAKJXMADEUMNTJ-INIZCTEOSA-N 0 0 437.584 -0.850 20 0 IBADRN O=C(CN1CCCCS1(=O)=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001144375441 1074073588 /nfs/dbraw/zinc/07/35/88/1074073588.db2.gz SAKJXMADEUMNTJ-MRXNPFEDSA-N 0 0 437.584 -0.850 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001144376044 1074073564 /nfs/dbraw/zinc/07/35/64/1074073564.db2.gz YDZGLUBRBKBYFI-HNNXBMFYSA-N 0 0 448.567 -0.741 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001144376045 1074073672 /nfs/dbraw/zinc/07/36/72/1074073672.db2.gz YDZGLUBRBKBYFI-OAHLLOKOSA-N 0 0 448.567 -0.741 20 0 IBADRN COC(=O)C[C@@H](C)CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001144376763 1074073604 /nfs/dbraw/zinc/07/36/04/1074073604.db2.gz WXRGBHAFDDMXNL-CAOSSQGBSA-N 0 0 430.523 -0.304 20 0 IBADRN COC(=O)C[C@H](C)CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001144376764 1074073987 /nfs/dbraw/zinc/07/39/87/1074073987.db2.gz WXRGBHAFDDMXNL-KLHDSHLOSA-N 0 0 430.523 -0.304 20 0 IBADRN COC(=O)C[C@H](C)CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001144376765 1074074113 /nfs/dbraw/zinc/07/41/13/1074074113.db2.gz WXRGBHAFDDMXNL-QKPAOTATSA-N 0 0 430.523 -0.304 20 0 IBADRN COC(=O)C[C@@H](C)CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001144376766 1074074085 /nfs/dbraw/zinc/07/40/85/1074074085.db2.gz WXRGBHAFDDMXNL-ZJIFWQFVSA-N 0 0 430.523 -0.304 20 0 IBADRN COC(=O)C[C@H](C)CC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001144377031 1074073953 /nfs/dbraw/zinc/07/39/53/1074073953.db2.gz IFLOCTDASXADOT-ILXRZTDVSA-N 0 0 426.514 -0.301 20 0 IBADRN COC(=O)C[C@@H](C)CC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001144377032 1074074007 /nfs/dbraw/zinc/07/40/07/1074074007.db2.gz IFLOCTDASXADOT-KKUMJFAQSA-N 0 0 426.514 -0.301 20 0 IBADRN COC(=O)C[C@H](C)CC(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001144382509 1074073936 /nfs/dbraw/zinc/07/39/36/1074073936.db2.gz VUZYNFXGBFXOAA-HEFAAZLMSA-N 0 0 436.469 -0.783 20 0 IBADRN COC(=O)C[C@@H](C)CC(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001144382514 1074073978 /nfs/dbraw/zinc/07/39/78/1074073978.db2.gz VUZYNFXGBFXOAA-SRQITGRUSA-N 0 0 436.469 -0.783 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)[C@H](CO)NC(=O)c2cccc(F)n2)cc1 ZINC001144417896 1074074339 /nfs/dbraw/zinc/07/43/39/1074074339.db2.gz LYSIXBNSEAXQCE-HNNXBMFYSA-N 0 0 425.438 -0.090 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2cn(CCNC(=O)OC(C)(C)C)nn2)CC1 ZINC001144512435 1074074888 /nfs/dbraw/zinc/07/48/88/1074074888.db2.gz WPFSICZZDDAVRK-UHFFFAOYSA-N 0 0 437.501 -0.387 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001144512636 1074074870 /nfs/dbraw/zinc/07/48/70/1074074870.db2.gz YGMKGOJKEHPEEM-HKUYNNGSSA-N 0 0 448.495 -0.473 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001144512643 1074075018 /nfs/dbraw/zinc/07/50/18/1074075018.db2.gz YGMKGOJKEHPEEM-IEBWSBKVSA-N 0 0 448.495 -0.473 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001144512645 1074074940 /nfs/dbraw/zinc/07/49/40/1074074940.db2.gz YGMKGOJKEHPEEM-MJGOQNOKSA-N 0 0 448.495 -0.473 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001144512648 1074075042 /nfs/dbraw/zinc/07/50/42/1074075042.db2.gz YGMKGOJKEHPEEM-PKOBYXMFSA-N 0 0 448.495 -0.473 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)CCc2ccccc2O)[C@H]1O ZINC001144518519 1074074643 /nfs/dbraw/zinc/07/46/43/1074074643.db2.gz VXHWZPMILCMJIB-AUSMBZDKSA-N 0 0 442.476 -0.034 20 0 IBADRN Cc1ccccc1[C@H](O)C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001144543150 1074074898 /nfs/dbraw/zinc/07/48/98/1074074898.db2.gz OLKTYYJRNHKJMC-NDGDWOEXSA-N 0 0 442.476 -0.330 20 0 IBADRN Cc1ccccc1[C@@H](O)C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001144543151 1074075085 /nfs/dbraw/zinc/07/50/85/1074075085.db2.gz OLKTYYJRNHKJMC-UAYHHJIBSA-N 0 0 442.476 -0.330 20 0 IBADRN CC(C)(Br)C(=O)Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001144545612 1074074864 /nfs/dbraw/zinc/07/48/64/1074074864.db2.gz AYBQFYVDXLXVJS-IOSLPCCCSA-N 0 0 432.231 -0.745 20 0 IBADRN CC(C)(Br)C(=O)Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001144547118 1074074947 /nfs/dbraw/zinc/07/49/47/1074074947.db2.gz WPCOJKVLDFZXLL-IOSLPCCCSA-N 0 0 434.222 -0.311 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)C(C)(C)Br)c2N ZINC001144551402 1074075056 /nfs/dbraw/zinc/07/50/56/1074075056.db2.gz WYIQUIOJLQLZJP-WOUKDFQISA-N 0 0 445.274 -0.626 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CCCN(CC(N)=O)CC1 ZINC001144557971 1074075070 /nfs/dbraw/zinc/07/50/70/1074075070.db2.gz DBJPFNVOCWCSPO-UHFFFAOYSA-N 0 0 431.536 -0.862 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C(C)(C)Br)[C@H]1O ZINC001144559703 1074074858 /nfs/dbraw/zinc/07/48/58/1074074858.db2.gz KVCMPIDNZSRITF-RSVSFAPFSA-N 0 0 443.302 -0.199 20 0 IBADRN O=C(CNc1ccc(O)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001144565861 1074074853 /nfs/dbraw/zinc/07/48/53/1074074853.db2.gz WHVZPZQTQUXPJM-KZNAEPCWSA-N 0 0 437.518 -0.075 20 0 IBADRN O=C(CNc1ccc(O)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001144565862 1074075367 /nfs/dbraw/zinc/07/53/67/1074075367.db2.gz WHVZPZQTQUXPJM-RCCFBDPRSA-N 0 0 437.518 -0.075 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)CNc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001144570335 1074075337 /nfs/dbraw/zinc/07/53/37/1074075337.db2.gz VKWWOAABPQQQES-IRXDYDNUSA-N 0 0 433.509 -0.073 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001144572550 1074075390 /nfs/dbraw/zinc/07/53/90/1074075390.db2.gz CIWOPSVRBQZCJW-BMGDILEWSA-N 0 0 433.509 -0.357 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001144572551 1074075381 /nfs/dbraw/zinc/07/53/81/1074075381.db2.gz CIWOPSVRBQZCJW-JCGIZDLHSA-N 0 0 433.509 -0.357 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001144572552 1074075329 /nfs/dbraw/zinc/07/53/29/1074075329.db2.gz CIWOPSVRBQZCJW-QGTPRVQTSA-N 0 0 433.509 -0.357 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001144572553 1074075429 /nfs/dbraw/zinc/07/54/29/1074075429.db2.gz CIWOPSVRBQZCJW-WBAXXEDZSA-N 0 0 433.509 -0.357 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C2(O)CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001144574124 1074075296 /nfs/dbraw/zinc/07/52/96/1074075296.db2.gz PQHGIQCLUAKDRM-HNNXBMFYSA-N 0 0 428.530 -0.346 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C2(O)CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001144574127 1074075403 /nfs/dbraw/zinc/07/54/03/1074075403.db2.gz PQHGIQCLUAKDRM-OAHLLOKOSA-N 0 0 428.530 -0.346 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C[C@@H]2NC(=O)c3ccccc3NC2=O)CC1 ZINC001144575152 1074075492 /nfs/dbraw/zinc/07/54/92/1074075492.db2.gz XYIVKDICZQPIAT-QAPCUYQASA-N 0 0 445.520 -0.187 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C[C@@H]2NC(=O)c3ccccc3NC2=O)CC1 ZINC001144575153 1074075484 /nfs/dbraw/zinc/07/54/84/1074075484.db2.gz XYIVKDICZQPIAT-YJBOKZPZSA-N 0 0 445.520 -0.187 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CCC(=O)N(c3cnn(C)c3)C2)CC1 ZINC001144575174 1074075322 /nfs/dbraw/zinc/07/53/22/1074075322.db2.gz YOOSPIMGYHYFCT-DLBZAZTESA-N 0 0 434.541 -0.152 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@@H]2CCC(=O)N(c3cnn(C)c3)C2)CC1 ZINC001144575175 1074075373 /nfs/dbraw/zinc/07/53/73/1074075373.db2.gz YOOSPIMGYHYFCT-IAGOWNOFSA-N 0 0 434.541 -0.152 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H]2CCC(=O)N(c3cnn(C)c3)C2)CC1 ZINC001144575176 1074075357 /nfs/dbraw/zinc/07/53/57/1074075357.db2.gz YOOSPIMGYHYFCT-IRXDYDNUSA-N 0 0 434.541 -0.152 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@H]2CCC(=O)N(c3cnn(C)c3)C2)CC1 ZINC001144575177 1074075304 /nfs/dbraw/zinc/07/53/04/1074075304.db2.gz YOOSPIMGYHYFCT-SJORKVTESA-N 0 0 434.541 -0.152 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@H](CO)NC(=O)c2ccc(F)cc2)CC1 ZINC001144575178 1074075411 /nfs/dbraw/zinc/07/54/11/1074075411.db2.gz YRROYOTYODSZLX-QAPCUYQASA-N 0 0 438.500 -0.398 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H](CO)NC(=O)c2ccc(F)cc2)CC1 ZINC001144575179 1074075351 /nfs/dbraw/zinc/07/53/51/1074075351.db2.gz YRROYOTYODSZLX-YJBOKZPZSA-N 0 0 438.500 -0.398 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)CCCS(N)(=O)=O)C[C@@]21CCNC1=O ZINC001144582167 1074075848 /nfs/dbraw/zinc/07/58/48/1074075848.db2.gz AQUYQULJXZKCMF-GOSISDBHSA-N 0 0 430.527 -0.359 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)CCCS(N)(=O)=O)C[C@]21CCNC1=O ZINC001144582168 1074075761 /nfs/dbraw/zinc/07/57/61/1074075761.db2.gz AQUYQULJXZKCMF-SFHVURJKSA-N 0 0 430.527 -0.359 20 0 IBADRN NS(=O)(=O)CCCC(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001144582193 1074075745 /nfs/dbraw/zinc/07/57/45/1074075745.db2.gz BKUQCWDZMLNXRE-UHFFFAOYSA-N 0 0 428.515 -0.782 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)CCCS(N)(=O)=O)CCC2 ZINC001144582378 1074075712 /nfs/dbraw/zinc/07/57/12/1074075712.db2.gz FTGCSVVZMYMOQB-UHFFFAOYSA-N 0 0 427.527 -0.293 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)CCCS(N)(=O)=O)C2 ZINC001144583348 1074075824 /nfs/dbraw/zinc/07/58/24/1074075824.db2.gz VMMIUOBJSSVOBG-HNNXBMFYSA-N 0 0 429.543 -0.737 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)CCCS(N)(=O)=O)C2 ZINC001144583350 1074075687 /nfs/dbraw/zinc/07/56/87/1074075687.db2.gz VMMIUOBJSSVOBG-OAHLLOKOSA-N 0 0 429.543 -0.737 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)CCCS(N)(=O)=O)C3)nn1 ZINC001144583374 1074075723 /nfs/dbraw/zinc/07/57/23/1074075723.db2.gz VYMGSJQBWDEJRI-CRAIPNDOSA-N 0 0 427.527 -0.100 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)CCCS(N)(=O)=O)C3)nn1 ZINC001144583380 1074075753 /nfs/dbraw/zinc/07/57/53/1074075753.db2.gz VYMGSJQBWDEJRI-MAUKXSAKSA-N 0 0 427.527 -0.100 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)CCCS(N)(=O)=O)C3)nn1 ZINC001144583382 1074075837 /nfs/dbraw/zinc/07/58/37/1074075837.db2.gz VYMGSJQBWDEJRI-QAPCUYQASA-N 0 0 427.527 -0.100 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)CCCS(N)(=O)=O)C3)nn1 ZINC001144583384 1074075879 /nfs/dbraw/zinc/07/58/79/1074075879.db2.gz VYMGSJQBWDEJRI-YJBOKZPZSA-N 0 0 427.527 -0.100 20 0 IBADRN COc1nccn(C(=O)CCCS(N)(=O)=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001144587698 1074075888 /nfs/dbraw/zinc/07/58/88/1074075888.db2.gz FQKBPZRQLKPHEB-UHFFFAOYSA-N 0 0 429.480 -0.528 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)CCCS(N)(=O)=O)CCO1 ZINC001144588085 1074075874 /nfs/dbraw/zinc/07/58/74/1074075874.db2.gz OPVMXHSUBQTQNN-AWEZNQCLSA-N 0 0 425.467 -0.125 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)CCCS(N)(=O)=O)CCO1 ZINC001144588088 1074075862 /nfs/dbraw/zinc/07/58/62/1074075862.db2.gz OPVMXHSUBQTQNN-CQSZACIVSA-N 0 0 425.467 -0.125 20 0 IBADRN COc1ccc(N(C(=O)CCCS(N)(=O)=O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001144588169 1074076271 /nfs/dbraw/zinc/07/62/71/1074076271.db2.gz QIAMOEQHQYNUAS-UHFFFAOYSA-N 0 0 429.480 -0.142 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CCCS(N)(=O)=O)CC2 ZINC001144588752 1074076284 /nfs/dbraw/zinc/07/62/84/1074076284.db2.gz CBSPUGFKIFYHHC-AWEZNQCLSA-N 0 0 437.584 -0.123 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CCCS(N)(=O)=O)CC2 ZINC001144588753 1074076160 /nfs/dbraw/zinc/07/61/60/1074076160.db2.gz CBSPUGFKIFYHHC-CQSZACIVSA-N 0 0 437.584 -0.123 20 0 IBADRN NS(=O)(=O)CCCC(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001144588917 1074076210 /nfs/dbraw/zinc/07/62/10/1074076210.db2.gz DUGAQGNBEIQPKS-VYDXJSESSA-N 0 0 444.535 -0.966 20 0 IBADRN NS(=O)(=O)CCCC(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001144589618 1074076303 /nfs/dbraw/zinc/07/63/03/1074076303.db2.gz QKCUYZQSDIMONF-UHFFFAOYSA-N 0 0 437.478 -0.433 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)CCCS(N)(=O)=O)CC1 ZINC001144593577 1074076183 /nfs/dbraw/zinc/07/61/83/1074076183.db2.gz CCPKOSPFGFZNPG-UHFFFAOYSA-N 0 0 442.560 -0.946 20 0 IBADRN CC(C)(C)OC(=O)CCOCCOCCOCCNC(=O)CCCS(N)(=O)=O ZINC001144594172 1074076322 /nfs/dbraw/zinc/07/63/22/1074076322.db2.gz AQDLAUCPLNRYSS-UHFFFAOYSA-N 0 0 426.532 -0.047 20 0 IBADRN CCOC(=O)[C@H](NC(=O)CCCS(N)(=O)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001144594610 1074075793 /nfs/dbraw/zinc/07/57/93/1074075793.db2.gz NFAQXPWAHDIGFC-CABCVRRESA-N 0 0 436.508 -0.760 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)CCCS(N)(=O)=O)ccc12 ZINC001144599267 1074076249 /nfs/dbraw/zinc/07/62/49/1074076249.db2.gz HQMOFGFMTUGIMR-UHFFFAOYSA-N 0 0 438.462 -0.659 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)CCCS(N)(=O)=O)C(N)=O ZINC001144600481 1074076226 /nfs/dbraw/zinc/07/62/26/1074076226.db2.gz ZAEHDCJUGBKYGB-ZBFHGGJFSA-N 0 0 428.511 -0.886 20 0 IBADRN O=C([C@H](O)c1ccc(O)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001144600754 1074076314 /nfs/dbraw/zinc/07/63/14/1074076314.db2.gz DHJBTYQFJPNQAH-BRSBDYLESA-N 0 0 438.502 -0.454 20 0 IBADRN O=C([C@H](O)c1ccc(O)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001144600755 1074076338 /nfs/dbraw/zinc/07/63/38/1074076338.db2.gz DHJBTYQFJPNQAH-BSDSXHPESA-N 0 0 438.502 -0.454 20 0 IBADRN O=C([C@@H](O)c1ccc(O)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001144600756 1074076171 /nfs/dbraw/zinc/07/61/71/1074076171.db2.gz DHJBTYQFJPNQAH-MLHJIOFPSA-N 0 0 438.502 -0.454 20 0 IBADRN O=C([C@@H](O)c1ccc(O)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001144600757 1074076298 /nfs/dbraw/zinc/07/62/98/1074076298.db2.gz DHJBTYQFJPNQAH-TVFCKZIOSA-N 0 0 438.502 -0.454 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H](O)c1ccc(O)cc1)C(=O)NCC(N)=O ZINC001144603176 1074076115 /nfs/dbraw/zinc/07/61/15/1074076115.db2.gz XHNVROHWPGITGT-BQFCYCMXSA-N 0 0 434.493 -0.451 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H](O)c1ccc(O)cc1)C(=O)NCC(N)=O ZINC001144603177 1074076200 /nfs/dbraw/zinc/07/62/00/1074076200.db2.gz XHNVROHWPGITGT-XYJFISCASA-N 0 0 434.493 -0.451 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)[C@@H]1CCC[C@@H](OC)C1 ZINC001144610779 1074076131 /nfs/dbraw/zinc/07/61/31/1074076131.db2.gz KSHHTPFUBLAHAZ-DRXUAVOGSA-N 0 0 430.523 -0.115 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)[C@H]1CCC[C@H](OC)C1 ZINC001144610780 1074076155 /nfs/dbraw/zinc/07/61/55/1074076155.db2.gz KSHHTPFUBLAHAZ-SOXILONMSA-N 0 0 430.523 -0.115 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)[C@@H]1CCC[C@H](OC)C1 ZINC001144610781 1074076330 /nfs/dbraw/zinc/07/63/30/1074076330.db2.gz KSHHTPFUBLAHAZ-WVCIDPQESA-N 0 0 430.523 -0.115 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)[C@H]1CCC[C@@H](OC)C1 ZINC001144610782 1074076259 /nfs/dbraw/zinc/07/62/59/1074076259.db2.gz KSHHTPFUBLAHAZ-ZAPJKBGESA-N 0 0 430.523 -0.115 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001144656922 1074076623 /nfs/dbraw/zinc/07/66/23/1074076623.db2.gz MAMLJWPXJYPBHR-HNNXBMFYSA-N 0 0 426.543 -0.320 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001144656923 1074076561 /nfs/dbraw/zinc/07/65/61/1074076561.db2.gz MAMLJWPXJYPBHR-OAHLLOKOSA-N 0 0 426.543 -0.320 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001144661930 1074076966 /nfs/dbraw/zinc/07/69/66/1074076966.db2.gz XMMHSWUONQMHMK-BBRMVZONSA-N 0 0 435.506 -0.314 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001144661931 1074076906 /nfs/dbraw/zinc/07/69/06/1074076906.db2.gz XMMHSWUONQMHMK-CJNGLKHVSA-N 0 0 435.506 -0.314 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001144661932 1074076955 /nfs/dbraw/zinc/07/69/55/1074076955.db2.gz XMMHSWUONQMHMK-CZUORRHYSA-N 0 0 435.506 -0.314 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001144661933 1074077138 /nfs/dbraw/zinc/07/71/38/1074077138.db2.gz XMMHSWUONQMHMK-XJKSGUPXSA-N 0 0 435.506 -0.314 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC001144662003 1074076894 /nfs/dbraw/zinc/07/68/94/1074076894.db2.gz ZOEVQAMYXFNDSI-CABCVRRESA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC001144662004 1074077045 /nfs/dbraw/zinc/07/70/45/1074077045.db2.gz ZOEVQAMYXFNDSI-GJZGRUSLSA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC001144662005 1074077114 /nfs/dbraw/zinc/07/71/14/1074077114.db2.gz ZOEVQAMYXFNDSI-HUUCEWRRSA-N 0 0 431.536 -0.092 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC001144662006 1074077033 /nfs/dbraw/zinc/07/70/33/1074077033.db2.gz ZOEVQAMYXFNDSI-LSDHHAIUSA-N 0 0 431.536 -0.092 20 0 IBADRN CC(=O)N[C@H](CC(C)C)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001144689365 1074077103 /nfs/dbraw/zinc/07/71/03/1074077103.db2.gz SULZZBCTJWYGIX-BRSBDYLESA-N 0 0 443.566 -0.342 20 0 IBADRN CC(=O)N[C@H](CC(C)C)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001144689366 1074076924 /nfs/dbraw/zinc/07/69/24/1074076924.db2.gz SULZZBCTJWYGIX-BSDSXHPESA-N 0 0 443.566 -0.342 20 0 IBADRN CC(=O)N[C@H](CC(C)C)C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001144691147 1074076941 /nfs/dbraw/zinc/07/69/41/1074076941.db2.gz KLVVWKMCQMDWMT-BBWFWOEESA-N 0 0 439.557 -0.339 20 0 IBADRN CC(=O)N[C@H](CC(C)C)C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001144696877 1074077123 /nfs/dbraw/zinc/07/71/23/1074077123.db2.gz HZBZNXDUICPOEH-MSZAKDFVSA-N 0 0 449.512 -0.822 20 0 IBADRN O=C(Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C1(Br)CCC1 ZINC001144735828 1074077090 /nfs/dbraw/zinc/07/70/90/1074077090.db2.gz CNXBNOIOMQTACK-WOUKDFQISA-N 0 0 446.233 -0.167 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C1(Br)CCC1 ZINC001144741322 1074077070 /nfs/dbraw/zinc/07/70/70/1074077070.db2.gz AADYKFJWGFICAG-WOUKDFQISA-N 0 0 444.242 -0.601 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC001144752888 1074076916 /nfs/dbraw/zinc/07/69/16/1074076916.db2.gz QHTWIYOSABHPQD-HNNXBMFYSA-N 0 0 433.556 -0.325 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC001144752889 1074077148 /nfs/dbraw/zinc/07/71/48/1074077148.db2.gz QHTWIYOSABHPQD-OAHLLOKOSA-N 0 0 433.556 -0.325 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)CCN3C(=O)CCNC3=S)cn2)CC1 ZINC001144752990 1074077712 /nfs/dbraw/zinc/07/77/12/1074077712.db2.gz UDNJJQGFZVUWHV-UHFFFAOYSA-N 0 0 440.551 -0.401 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)CCC(=O)N3CCC(O)CC3)cn2)CC1 ZINC001144753010 1074077582 /nfs/dbraw/zinc/07/75/82/1074077582.db2.gz UWJBHPPRHAHHFE-UHFFFAOYSA-N 0 0 439.538 -0.135 20 0 IBADRN CC(=O)Nc1ccc([N-]S(C)(=O)=O)c(C(=O)N2CCN(CC[NH+](C)C)C(=O)C2)c1 ZINC001144755892 1074077693 /nfs/dbraw/zinc/07/76/93/1074077693.db2.gz CBZQIMYLGLXLFT-UHFFFAOYSA-N 0 0 425.511 -0.138 20 0 IBADRN COc1ccc([C@@H](O)C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cc1 ZINC001144779692 1074077419 /nfs/dbraw/zinc/07/74/19/1074077419.db2.gz UNLJVSSSEKIJHS-JENIJYKNSA-N 0 0 448.520 -0.148 20 0 IBADRN COc1ccc([C@H](O)C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cc1 ZINC001144779693 1074077601 /nfs/dbraw/zinc/07/76/01/1074077601.db2.gz UNLJVSSSEKIJHS-LNLFQRSKSA-N 0 0 448.520 -0.148 20 0 IBADRN CSCC[C@H](NC(C)=O)C(=O)N1CCc2c(C(=O)N3CCN(C)CC3)nn(C)c2C1 ZINC001144856541 1074077993 /nfs/dbraw/zinc/07/79/93/1074077993.db2.gz AOOGBXYNYHFGAD-INIZCTEOSA-N 0 0 436.582 -0.050 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1 ZINC001144883066 1074078010 /nfs/dbraw/zinc/07/80/10/1074078010.db2.gz YAUDXYUMQCKTDF-IRXDYDNUSA-N 0 0 426.477 -0.348 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001144888107 1074078071 /nfs/dbraw/zinc/07/80/71/1074078071.db2.gz HSHIIHYCBNPYMZ-UHFFFAOYSA-N 0 0 436.575 -0.047 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)c(C)cn1Cc1ccccc1 ZINC001144891688 1074078061 /nfs/dbraw/zinc/07/80/61/1074078061.db2.gz AENKUSMTDGNCKG-BMGDILEWSA-N 0 0 434.445 -0.396 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)c(C)cn1Cc1ccccc1 ZINC001144891689 1074078622 /nfs/dbraw/zinc/07/86/22/1074078622.db2.gz AENKUSMTDGNCKG-FHLIZLRMSA-N 0 0 434.445 -0.396 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)c(C)cn1Cc1ccccc1 ZINC001144891690 1074078534 /nfs/dbraw/zinc/07/85/34/1074078534.db2.gz AENKUSMTDGNCKG-JCGIZDLHSA-N 0 0 434.445 -0.396 20 0 IBADRN CCOC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)c(C)cn1Cc1ccccc1 ZINC001144891691 1074078610 /nfs/dbraw/zinc/07/86/10/1074078610.db2.gz AENKUSMTDGNCKG-ZTFGCOKTSA-N 0 0 434.445 -0.396 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1c1cc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)on1 ZINC001144892808 1074081699 /nfs/dbraw/zinc/08/16/99/1074081699.db2.gz KSLDGHIXXBGRTA-HBJVGIJOSA-N 0 0 429.426 -0.671 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1c1cc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)on1 ZINC001144892809 1074082032 /nfs/dbraw/zinc/08/20/32/1074082032.db2.gz KSLDGHIXXBGRTA-MHDGFBEUSA-N 0 0 429.426 -0.671 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1c1cc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)on1 ZINC001144892810 1074082057 /nfs/dbraw/zinc/08/20/57/1074082057.db2.gz KSLDGHIXXBGRTA-UVLXDEKHSA-N 0 0 429.426 -0.671 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1c1cc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)on1 ZINC001144892811 1074082105 /nfs/dbraw/zinc/08/21/05/1074082105.db2.gz KSLDGHIXXBGRTA-ZMJPVWNMSA-N 0 0 429.426 -0.671 20 0 IBADRN CC(C)(C)OC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)onc1-c1ccccc1 ZINC001144893212 1074082181 /nfs/dbraw/zinc/08/21/81/1074082181.db2.gz CHOXUOMNEGLHLY-BYCMXARLSA-N 0 0 436.417 -0.121 20 0 IBADRN CC(C)(C)OC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)onc1-c1ccccc1 ZINC001144893213 1074081955 /nfs/dbraw/zinc/08/19/55/1074081955.db2.gz CHOXUOMNEGLHLY-KCPJHIHWSA-N 0 0 436.417 -0.121 20 0 IBADRN CC(C)(C)OC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)onc1-c1ccccc1 ZINC001144893214 1074081940 /nfs/dbraw/zinc/08/19/40/1074081940.db2.gz CHOXUOMNEGLHLY-NILFDRSVSA-N 0 0 436.417 -0.121 20 0 IBADRN CC(C)(C)OC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)onc1-c1ccccc1 ZINC001144893215 1074082153 /nfs/dbraw/zinc/08/21/53/1074082153.db2.gz CHOXUOMNEGLHLY-TUKIKUTGSA-N 0 0 436.417 -0.121 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)[C@H](c2ccccc2)C1 ZINC001144893768 1074081982 /nfs/dbraw/zinc/08/19/82/1074081982.db2.gz FABXNTYCBBMLLZ-MWDXBVQZSA-N 0 0 438.477 -0.549 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)[C@@H](c2ccccc2)C1 ZINC001144893769 1074082050 /nfs/dbraw/zinc/08/20/50/1074082050.db2.gz FABXNTYCBBMLLZ-QBPKDAKJSA-N 0 0 438.477 -0.549 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)[C@H](c2ccccc2)C1 ZINC001144893770 1074082119 /nfs/dbraw/zinc/08/21/19/1074082119.db2.gz FABXNTYCBBMLLZ-YLFCFFPRSA-N 0 0 438.477 -0.549 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)[C@@H](c2ccccc2)C1 ZINC001144893771 1074082127 /nfs/dbraw/zinc/08/21/27/1074082127.db2.gz FABXNTYCBBMLLZ-YYIAUSFCSA-N 0 0 438.477 -0.549 20 0 IBADRN CC(C)(C)OC(=O)c1cc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)c(Br)cn1 ZINC001144894096 1074082013 /nfs/dbraw/zinc/08/20/13/1074082013.db2.gz OICXFANRUHKKPC-GRYCIOLGSA-N 0 0 449.254 -0.618 20 0 IBADRN CC(C)(C)OC(=O)c1cc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)c(Br)cn1 ZINC001144894099 1074082083 /nfs/dbraw/zinc/08/20/83/1074082083.db2.gz OICXFANRUHKKPC-IJLUTSLNSA-N 0 0 449.254 -0.618 20 0 IBADRN CC(C)(C)OC(=O)c1cc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)c(Br)cn1 ZINC001144894100 1074082070 /nfs/dbraw/zinc/08/20/70/1074082070.db2.gz OICXFANRUHKKPC-QJPTWQEYSA-N 0 0 449.254 -0.618 20 0 IBADRN CC(C)(C)OC(=O)c1cc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)c(Br)cn1 ZINC001144894102 1074082137 /nfs/dbraw/zinc/08/21/37/1074082137.db2.gz OICXFANRUHKKPC-SDDRHHMPSA-N 0 0 449.254 -0.618 20 0 IBADRN CC(C)(C)OC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)sc2c1CCOC2 ZINC001144894120 1074082177 /nfs/dbraw/zinc/08/21/77/1074082177.db2.gz OKPBLKFWLJMVLV-JIMOISOXSA-N 0 0 431.463 -0.641 20 0 IBADRN CC(C)(C)OC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)sc2c1CCOC2 ZINC001144894123 1074082377 /nfs/dbraw/zinc/08/23/77/1074082377.db2.gz OKPBLKFWLJMVLV-OASPWFOLSA-N 0 0 431.463 -0.641 20 0 IBADRN CC(C)(C)OC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)sc2c1CCOC2 ZINC001144894124 1074082523 /nfs/dbraw/zinc/08/25/23/1074082523.db2.gz OKPBLKFWLJMVLV-TVYUQYBPSA-N 0 0 431.463 -0.641 20 0 IBADRN CC(C)(C)OC(=O)c1c(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)sc2c1CCOC2 ZINC001144894125 1074082551 /nfs/dbraw/zinc/08/25/51/1074082551.db2.gz OKPBLKFWLJMVLV-ZWKOPEQDSA-N 0 0 431.463 -0.641 20 0 IBADRN COC(=O)c1ncc(Cc2ccc(F)cc2)cc1NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144895244 1074078627 /nfs/dbraw/zinc/07/86/27/1074078627.db2.gz KZKILYGUELZJFH-BHYGNILZSA-N 0 0 436.392 -0.819 20 0 IBADRN COC(=O)c1ncc(Cc2ccc(F)cc2)cc1NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144895245 1074078493 /nfs/dbraw/zinc/07/84/93/1074078493.db2.gz KZKILYGUELZJFH-DJIMGWMZSA-N 0 0 436.392 -0.819 20 0 IBADRN COC(=O)c1ncc(Cc2ccc(F)cc2)cc1NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144895246 1074078527 /nfs/dbraw/zinc/07/85/27/1074078527.db2.gz KZKILYGUELZJFH-HYVNUMGLSA-N 0 0 436.392 -0.819 20 0 IBADRN COC(=O)c1ncc(Cc2ccc(F)cc2)cc1NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144895247 1074078674 /nfs/dbraw/zinc/07/86/74/1074078674.db2.gz KZKILYGUELZJFH-USXIJHARSA-N 0 0 436.392 -0.819 20 0 IBADRN Cc1cnn(Cc2ccc(Br)cc2)c1NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144895613 1074078633 /nfs/dbraw/zinc/07/86/33/1074078633.db2.gz VXEKSONUUXLTFB-BFHYXJOUSA-N 0 0 442.266 -0.415 20 0 IBADRN Cc1cnn(Cc2ccc(Br)cc2)c1NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144895614 1074078561 /nfs/dbraw/zinc/07/85/61/1074078561.db2.gz VXEKSONUUXLTFB-HZSPNIEDSA-N 0 0 442.266 -0.415 20 0 IBADRN Cc1cnn(Cc2ccc(Br)cc2)c1NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144895615 1074078480 /nfs/dbraw/zinc/07/84/80/1074078480.db2.gz VXEKSONUUXLTFB-MELADBBJSA-N 0 0 442.266 -0.415 20 0 IBADRN Cc1cnn(Cc2ccc(Br)cc2)c1NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144895616 1074078616 /nfs/dbraw/zinc/07/86/16/1074078616.db2.gz VXEKSONUUXLTFB-MGPQQGTHSA-N 0 0 442.266 -0.415 20 0 IBADRN Cc1nc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)c(Br)cc1Br ZINC001144895799 1074078588 /nfs/dbraw/zinc/07/85/88/1074078588.db2.gz XFXZNELOOUUNPD-DJLDLDEBSA-N 0 0 442.060 -0.502 20 0 IBADRN Cc1nc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)c(Br)cc1Br ZINC001144895800 1074078598 /nfs/dbraw/zinc/07/85/98/1074078598.db2.gz XFXZNELOOUUNPD-HRDYMLBCSA-N 0 0 442.060 -0.502 20 0 IBADRN Cc1nc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)c(Br)cc1Br ZINC001144895801 1074078544 /nfs/dbraw/zinc/07/85/44/1074078544.db2.gz XFXZNELOOUUNPD-IWSPIJDZSA-N 0 0 442.060 -0.502 20 0 IBADRN Cc1nc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)c(Br)cc1Br ZINC001144895802 1074078507 /nfs/dbraw/zinc/07/85/07/1074078507.db2.gz XFXZNELOOUUNPD-XHNCKOQMSA-N 0 0 442.060 -0.502 20 0 IBADRN COC(=O)c1sc2cc(OC)c(OC)cc2c1NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144896462 1074078570 /nfs/dbraw/zinc/07/85/70/1074078570.db2.gz RTILNZJWUSQBEP-GFWSLJDESA-N 0 0 443.430 -0.712 20 0 IBADRN COC(=O)c1sc2cc(OC)c(OC)cc2c1NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144896463 1074078840 /nfs/dbraw/zinc/07/88/40/1074078840.db2.gz RTILNZJWUSQBEP-MCCGJVOXSA-N 0 0 443.430 -0.712 20 0 IBADRN COC(=O)c1sc2cc(OC)c(OC)cc2c1NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144896464 1074078976 /nfs/dbraw/zinc/07/89/76/1074078976.db2.gz RTILNZJWUSQBEP-NFMODRRSSA-N 0 0 443.430 -0.712 20 0 IBADRN COC(=O)c1sc2cc(OC)c(OC)cc2c1NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144896465 1074078946 /nfs/dbraw/zinc/07/89/46/1074078946.db2.gz RTILNZJWUSQBEP-ULCPSODYSA-N 0 0 443.430 -0.712 20 0 IBADRN CCN1C(=O)[C@H](c2ccccc2)CC12CCN(C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)CC2 ZINC001144896886 1074079025 /nfs/dbraw/zinc/07/90/25/1074079025.db2.gz UUHHQCJOILIZSC-BSDSXHPESA-N 0 0 434.489 -0.972 20 0 IBADRN CCN1C(=O)[C@H](c2ccccc2)CC12CCN(C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)CC2 ZINC001144896887 1074078928 /nfs/dbraw/zinc/07/89/28/1074078928.db2.gz UUHHQCJOILIZSC-WNRNVDISSA-N 0 0 434.489 -0.972 20 0 IBADRN CCN1C(=O)[C@H](c2ccccc2)CC12CCN(C(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)CC2 ZINC001144896888 1074078829 /nfs/dbraw/zinc/07/88/29/1074078829.db2.gz UUHHQCJOILIZSC-XLAORIBOSA-N 0 0 434.489 -0.972 20 0 IBADRN CCN1C(=O)[C@H](c2ccccc2)CC12CCN(C(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)CC2 ZINC001144896889 1074078969 /nfs/dbraw/zinc/07/89/69/1074078969.db2.gz UUHHQCJOILIZSC-XWTMOSNGSA-N 0 0 434.489 -0.972 20 0 IBADRN Cc1nc(CN2CCCC23CCN(C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)CC3)cs1 ZINC001144897252 1074078853 /nfs/dbraw/zinc/07/88/53/1074078853.db2.gz XZGMRNMHRRKQAA-ARFHVFGLSA-N 0 0 427.523 -0.947 20 0 IBADRN Cc1nc(CN2CCCC23CCN(C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)CC3)cs1 ZINC001144897253 1074078877 /nfs/dbraw/zinc/07/88/77/1074078877.db2.gz XZGMRNMHRRKQAA-BZUAXINKSA-N 0 0 427.523 -0.947 20 0 IBADRN Cc1nc(CN2CCCC23CCN(C(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)CC3)cs1 ZINC001144897254 1074079016 /nfs/dbraw/zinc/07/90/16/1074079016.db2.gz XZGMRNMHRRKQAA-HRCADAONSA-N 0 0 427.523 -0.947 20 0 IBADRN Cc1nc(CN2CCCC23CCN(C(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)CC3)cs1 ZINC001144897255 1074078999 /nfs/dbraw/zinc/07/89/99/1074078999.db2.gz XZGMRNMHRRKQAA-OWCLPIDISA-N 0 0 427.523 -0.947 20 0 IBADRN CCOC(=O)[C@H]1CCC[C@H]1N(Cc1ccc(F)cc1)C(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144898720 1074078963 /nfs/dbraw/zinc/07/89/63/1074078963.db2.gz CCOJKSTULOFZFI-FLXSYLCISA-N 0 0 441.452 -0.470 20 0 IBADRN CCOC(=O)[C@H]1CCC[C@H]1N(Cc1ccc(F)cc1)C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144898721 1074078992 /nfs/dbraw/zinc/07/89/92/1074078992.db2.gz CCOJKSTULOFZFI-IGKNDFSCSA-N 0 0 441.452 -0.470 20 0 IBADRN CCOC(=O)[C@H]1CCC[C@H]1N(Cc1ccc(F)cc1)C(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144898722 1074078907 /nfs/dbraw/zinc/07/89/07/1074078907.db2.gz CCOJKSTULOFZFI-OBVSXPTNSA-N 0 0 441.452 -0.470 20 0 IBADRN CCOC(=O)[C@H]1CCC[C@H]1N(Cc1ccc(F)cc1)C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144898723 1074078938 /nfs/dbraw/zinc/07/89/38/1074078938.db2.gz CCOJKSTULOFZFI-YYWYGQEZSA-N 0 0 441.452 -0.470 20 0 IBADRN CC[C@H](C)[C@@H]([C@@H](CC(=O)OC(C)(C)C)OC)N(C)C(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144899224 1074079035 /nfs/dbraw/zinc/07/90/35/1074079035.db2.gz HPYUFNUNTOSPMW-IRHPQSOGSA-N 0 0 435.514 -0.750 20 0 IBADRN CC[C@H](C)[C@@H]([C@@H](CC(=O)OC(C)(C)C)OC)N(C)C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144899225 1074078985 /nfs/dbraw/zinc/07/89/85/1074078985.db2.gz HPYUFNUNTOSPMW-JIZWNYQESA-N 0 0 435.514 -0.750 20 0 IBADRN CC[C@H](C)[C@@H]([C@@H](CC(=O)OC(C)(C)C)OC)N(C)C(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144899226 1074079031 /nfs/dbraw/zinc/07/90/31/1074079031.db2.gz HPYUFNUNTOSPMW-KLSOQEMKSA-N 0 0 435.514 -0.750 20 0 IBADRN CC[C@H](C)[C@@H]([C@@H](CC(=O)OC(C)(C)C)OC)N(C)C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144899227 1074078954 /nfs/dbraw/zinc/07/89/54/1074078954.db2.gz HPYUFNUNTOSPMW-UHFNRVLPSA-N 0 0 435.514 -0.750 20 0 IBADRN CC(C)(C)CN(C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001144899456 1074078918 /nfs/dbraw/zinc/07/89/18/1074078918.db2.gz KGLGMHVDCNLXSF-ARFHVFGLSA-N 0 0 446.541 -0.095 20 0 IBADRN CC(C)(C)CN(C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001144899457 1074079436 /nfs/dbraw/zinc/07/94/36/1074079436.db2.gz KGLGMHVDCNLXSF-BZUAXINKSA-N 0 0 446.541 -0.095 20 0 IBADRN CC(C)(C)CN(C(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001144899458 1074079353 /nfs/dbraw/zinc/07/93/53/1074079353.db2.gz KGLGMHVDCNLXSF-HRCADAONSA-N 0 0 446.541 -0.095 20 0 IBADRN CC(C)(C)CN(C(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001144899459 1074079346 /nfs/dbraw/zinc/07/93/46/1074079346.db2.gz KGLGMHVDCNLXSF-OWCLPIDISA-N 0 0 446.541 -0.095 20 0 IBADRN O=C(C(=O)N1CCC2(COc3ccc(C(F)(F)F)cc32)CC1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144899580 1074079363 /nfs/dbraw/zinc/07/93/63/1074079363.db2.gz LLSNULXBDHELAG-AEGPPILISA-N 0 0 433.379 -0.398 20 0 IBADRN O=C(C(=O)N1CCC2(COc3ccc(C(F)(F)F)cc32)CC1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144899581 1074079494 /nfs/dbraw/zinc/07/94/94/1074079494.db2.gz LLSNULXBDHELAG-BPLDGKMQSA-N 0 0 433.379 -0.398 20 0 IBADRN O=C(C(=O)N1CCC2(COc3ccc(C(F)(F)F)cc32)CC1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144899582 1074079452 /nfs/dbraw/zinc/07/94/52/1074079452.db2.gz LLSNULXBDHELAG-NWANDNLSSA-N 0 0 433.379 -0.398 20 0 IBADRN O=C(C(=O)N1CCC2(COc3ccc(C(F)(F)F)cc32)CC1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144899583 1074079332 /nfs/dbraw/zinc/07/93/32/1074079332.db2.gz LLSNULXBDHELAG-VHDGCEQUSA-N 0 0 433.379 -0.398 20 0 IBADRN COc1ccc([C@H](CN(C)C(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)C2(O)CCCCC2)cc1 ZINC001144900846 1074079790 /nfs/dbraw/zinc/07/97/90/1074079790.db2.gz VKYANFAKDXGTTO-CADBVGFASA-N 0 0 439.505 -0.424 20 0 IBADRN COc1ccc([C@H](CN(C)C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)C2(O)CCCCC2)cc1 ZINC001144900847 1074079812 /nfs/dbraw/zinc/07/98/12/1074079812.db2.gz VKYANFAKDXGTTO-INDMIFKZSA-N 0 0 439.505 -0.424 20 0 IBADRN COc1ccc([C@H](CN(C)C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)C2(O)CCCCC2)cc1 ZINC001144900848 1074079893 /nfs/dbraw/zinc/07/98/93/1074079893.db2.gz VKYANFAKDXGTTO-WJFTUGDTSA-N 0 0 439.505 -0.424 20 0 IBADRN COc1ccc([C@H](CN(C)C(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)C2(O)CCCCC2)cc1 ZINC001144900849 1074079752 /nfs/dbraw/zinc/07/97/52/1074079752.db2.gz VKYANFAKDXGTTO-ZSYWTGECSA-N 0 0 439.505 -0.424 20 0 IBADRN O=C(Nc1cc2c(cc1Br)OC(F)(F)O2)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144901282 1074079720 /nfs/dbraw/zinc/07/97/20/1074079720.db2.gz AXPLPYTVAJOOOV-BDODKLCJSA-N 0 0 428.138 -0.647 20 0 IBADRN O=C(Nc1cc2c(cc1Br)OC(F)(F)O2)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144901283 1074079830 /nfs/dbraw/zinc/07/98/30/1074079830.db2.gz AXPLPYTVAJOOOV-JMOVZRAMSA-N 0 0 428.138 -0.647 20 0 IBADRN O=C(Nc1cc2c(cc1Br)OC(F)(F)O2)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144901284 1074079761 /nfs/dbraw/zinc/07/97/61/1074079761.db2.gz AXPLPYTVAJOOOV-WHFVKQHSSA-N 0 0 428.138 -0.647 20 0 IBADRN O=C(Nc1cc2c(cc1Br)OC(F)(F)O2)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144901285 1074079735 /nfs/dbraw/zinc/07/97/35/1074079735.db2.gz AXPLPYTVAJOOOV-WQGWLQIFSA-N 0 0 428.138 -0.647 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)COc1ccccc1)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144901318 1074079866 /nfs/dbraw/zinc/07/98/66/1074079866.db2.gz BHRIVUKQBYGISO-BHYGNILZSA-N 0 0 439.442 -0.921 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)COc1ccccc1)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144901319 1074079712 /nfs/dbraw/zinc/07/97/12/1074079712.db2.gz BHRIVUKQBYGISO-DJIMGWMZSA-N 0 0 439.442 -0.921 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)COc1ccccc1)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144901320 1074079883 /nfs/dbraw/zinc/07/98/83/1074079883.db2.gz BHRIVUKQBYGISO-HYVNUMGLSA-N 0 0 439.442 -0.921 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)COc1ccccc1)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144901321 1074079847 /nfs/dbraw/zinc/07/98/47/1074079847.db2.gz BHRIVUKQBYGISO-USXIJHARSA-N 0 0 439.442 -0.921 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)[C@@H]1c1ccccc1 ZINC001144901970 1074079777 /nfs/dbraw/zinc/07/97/77/1074079777.db2.gz GROVTCVDNDYUOC-DRRXZNNHSA-N 0 0 438.477 -0.503 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)[C@@H]1c1ccccc1 ZINC001144901971 1074080235 /nfs/dbraw/zinc/08/02/35/1074080235.db2.gz GROVTCVDNDYUOC-JSRQGNBESA-N 0 0 438.477 -0.503 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)[C@@H]1c1ccccc1 ZINC001144901972 1074080307 /nfs/dbraw/zinc/08/03/07/1074080307.db2.gz GROVTCVDNDYUOC-NQNKBUKLSA-N 0 0 438.477 -0.503 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)[C@@H]1c1ccccc1 ZINC001144901973 1074080129 /nfs/dbraw/zinc/08/01/29/1074080129.db2.gz GROVTCVDNDYUOC-UHDSXZAQSA-N 0 0 438.477 -0.503 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CCC[C@H](NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)C2 ZINC001144902666 1074080136 /nfs/dbraw/zinc/08/01/36/1074080136.db2.gz GZEPSUOLPWGWRJ-MOMPZSSISA-N 0 0 430.498 -0.684 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CCC[C@H](NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)C2 ZINC001144902667 1074080273 /nfs/dbraw/zinc/08/02/73/1074080273.db2.gz GZEPSUOLPWGWRJ-OFCPVLAQSA-N 0 0 430.498 -0.684 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CCC[C@H](NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)C2 ZINC001144902668 1074080263 /nfs/dbraw/zinc/08/02/63/1074080263.db2.gz GZEPSUOLPWGWRJ-QMBIVWHFSA-N 0 0 430.498 -0.684 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CCC[C@H](NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)C2 ZINC001144902669 1074080195 /nfs/dbraw/zinc/08/01/95/1074080195.db2.gz GZEPSUOLPWGWRJ-WNQMDDDCSA-N 0 0 430.498 -0.684 20 0 IBADRN CC(C)(C)OC(=O)N1CCOc2ccc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)cc2C1 ZINC001144902730 1074080341 /nfs/dbraw/zinc/08/03/41/1074080341.db2.gz IHMPWGWDLJYLNK-CWRNSKLLSA-N 0 0 440.449 -0.601 20 0 IBADRN CC(C)(C)OC(=O)N1CCOc2ccc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)cc2C1 ZINC001144902731 1074080253 /nfs/dbraw/zinc/08/02/53/1074080253.db2.gz IHMPWGWDLJYLNK-FVQBIDKESA-N 0 0 440.449 -0.601 20 0 IBADRN CC(C)(C)OC(=O)N1CCOc2ccc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)cc2C1 ZINC001144902732 1074080292 /nfs/dbraw/zinc/08/02/92/1074080292.db2.gz IHMPWGWDLJYLNK-NUEKZKHPSA-N 0 0 440.449 -0.601 20 0 IBADRN CC(C)(C)OC(=O)N1CCOc2ccc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)cc2C1 ZINC001144902733 1074080203 /nfs/dbraw/zinc/08/02/03/1074080203.db2.gz IHMPWGWDLJYLNK-VNQPRFMTSA-N 0 0 440.449 -0.601 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ccc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)cc2CC1 ZINC001144903039 1074080183 /nfs/dbraw/zinc/08/01/83/1074080183.db2.gz JFQJXCHRNFXTOH-BRWVUGGUSA-N 0 0 438.477 -0.395 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ccc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)cc2CC1 ZINC001144903040 1074080224 /nfs/dbraw/zinc/08/02/24/1074080224.db2.gz JFQJXCHRNFXTOH-GVDBMIGSSA-N 0 0 438.477 -0.395 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ccc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)cc2CC1 ZINC001144903041 1074080283 /nfs/dbraw/zinc/08/02/83/1074080283.db2.gz JFQJXCHRNFXTOH-IXDOHACOSA-N 0 0 438.477 -0.395 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2ccc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)cc2CC1 ZINC001144903042 1074080319 /nfs/dbraw/zinc/08/03/19/1074080319.db2.gz JFQJXCHRNFXTOH-YESZJQIVSA-N 0 0 438.477 -0.395 20 0 IBADRN O=C(C(=O)N1CCN=C1CN(Cc1ccccc1)c1ccccc1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144903158 1074080153 /nfs/dbraw/zinc/08/01/53/1074080153.db2.gz IYFBRWQNBJEUIZ-CEWLAPEOSA-N 0 0 441.484 -0.422 20 0 IBADRN O=C(C(=O)N1CCN=C1CN(Cc1ccccc1)c1ccccc1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144903160 1074080330 /nfs/dbraw/zinc/08/03/30/1074080330.db2.gz IYFBRWQNBJEUIZ-HLAWJBBLSA-N 0 0 441.484 -0.422 20 0 IBADRN O=C(C(=O)N1CCN=C1CN(Cc1ccccc1)c1ccccc1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144903161 1074080242 /nfs/dbraw/zinc/08/02/42/1074080242.db2.gz IYFBRWQNBJEUIZ-HMXCVIKNSA-N 0 0 441.484 -0.422 20 0 IBADRN O=C(C(=O)N1CCN=C1CN(Cc1ccccc1)c1ccccc1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144903162 1074080297 /nfs/dbraw/zinc/08/02/97/1074080297.db2.gz IYFBRWQNBJEUIZ-SESVDKBCSA-N 0 0 441.484 -0.422 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](c2ccc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)cc2)C1 ZINC001144903487 1074080214 /nfs/dbraw/zinc/08/02/14/1074080214.db2.gz KQCGPYHDLJXQJM-PQEBFOHHSA-N 0 0 438.477 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](c2ccc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)cc2)C1 ZINC001144903488 1074080665 /nfs/dbraw/zinc/08/06/65/1074080665.db2.gz KQCGPYHDLJXQJM-QMCVQRASSA-N 0 0 438.477 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](c2ccc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)cc2)C1 ZINC001144903489 1074080616 /nfs/dbraw/zinc/08/06/16/1074080616.db2.gz KQCGPYHDLJXQJM-QSPRXWTASA-N 0 0 438.477 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](c2ccc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)cc2)C1 ZINC001144903490 1074080681 /nfs/dbraw/zinc/08/06/81/1074080681.db2.gz KQCGPYHDLJXQJM-RKTXRCNFSA-N 0 0 438.477 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2ccsc2[C@H](NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)C1 ZINC001144903790 1074080652 /nfs/dbraw/zinc/08/06/52/1074080652.db2.gz LRVXDAVLGPPTBV-FDYHWXHSSA-N 0 0 430.479 -0.700 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2ccsc2[C@H](NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)C1 ZINC001144903795 1074080624 /nfs/dbraw/zinc/08/06/24/1074080624.db2.gz LRVXDAVLGPPTBV-FVCCEPFGSA-N 0 0 430.479 -0.700 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2ccsc2[C@H](NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)C1 ZINC001144903797 1074080604 /nfs/dbraw/zinc/08/06/04/1074080604.db2.gz LRVXDAVLGPPTBV-MROQNXINSA-N 0 0 430.479 -0.700 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2ccsc2[C@H](NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)C1 ZINC001144903799 1074080634 /nfs/dbraw/zinc/08/06/34/1074080634.db2.gz LRVXDAVLGPPTBV-YVECIDJPSA-N 0 0 430.479 -0.700 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1Cc2ccccc2CN1C(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144904328 1074080668 /nfs/dbraw/zinc/08/06/68/1074080668.db2.gz NKVYPVHQEQOPIT-LUKYLMHMSA-N 0 0 438.477 -0.891 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1Cc2ccccc2CN1C(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144904330 1074080648 /nfs/dbraw/zinc/08/06/48/1074080648.db2.gz NKVYPVHQEQOPIT-MWDXBVQZSA-N 0 0 438.477 -0.891 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1Cc2ccccc2CN1C(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144904332 1074080575 /nfs/dbraw/zinc/08/05/75/1074080575.db2.gz NKVYPVHQEQOPIT-VVLHAWIVSA-N 0 0 438.477 -0.891 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1Cc2ccccc2CN1C(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144904334 1074080628 /nfs/dbraw/zinc/08/06/28/1074080628.db2.gz NKVYPVHQEQOPIT-YLFCFFPRSA-N 0 0 438.477 -0.891 20 0 IBADRN O=C(NCc1ccc(Br)c(C(F)(F)F)c1)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144904935 1074080612 /nfs/dbraw/zinc/08/06/12/1074080612.db2.gz QBEAPCFEDLMVRS-GARJFASQSA-N 0 0 430.173 -0.272 20 0 IBADRN O=C(NCc1ccc(Br)c(C(F)(F)F)c1)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144904936 1074080644 /nfs/dbraw/zinc/08/06/44/1074080644.db2.gz QBEAPCFEDLMVRS-GMTAPVOTSA-N 0 0 430.173 -0.272 20 0 IBADRN O=C(NCc1ccc(Br)c(C(F)(F)F)c1)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144904937 1074080581 /nfs/dbraw/zinc/08/05/81/1074080581.db2.gz QBEAPCFEDLMVRS-HBNTYKKESA-N 0 0 430.173 -0.272 20 0 IBADRN O=C(NCc1ccc(Br)c(C(F)(F)F)c1)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144904938 1074080620 /nfs/dbraw/zinc/08/06/20/1074080620.db2.gz QBEAPCFEDLMVRS-OUAUKWLOSA-N 0 0 430.173 -0.272 20 0 IBADRN O=C(Nc1ccc(Br)cc1OC(F)(F)F)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144905211 1074080657 /nfs/dbraw/zinc/08/06/57/1074080657.db2.gz PPCNLPKVRYYHHV-FKTZTGRPSA-N 0 0 432.145 -0.070 20 0 IBADRN O=C(Nc1ccc(Br)cc1OC(F)(F)F)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144905212 1074080931 /nfs/dbraw/zinc/08/09/31/1074080931.db2.gz PPCNLPKVRYYHHV-FXBDTBDDSA-N 0 0 432.145 -0.070 20 0 IBADRN O=C(Nc1ccc(Br)cc1OC(F)(F)F)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144905223 1074080972 /nfs/dbraw/zinc/08/09/72/1074080972.db2.gz PPCNLPKVRYYHHV-SZEHBUNVSA-N 0 0 432.145 -0.070 20 0 IBADRN O=C(Nc1ccc(Br)cc1OC(F)(F)F)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144905226 1074080996 /nfs/dbraw/zinc/08/09/96/1074080996.db2.gz PPCNLPKVRYYHHV-UJNFCWOMSA-N 0 0 432.145 -0.070 20 0 IBADRN O=C(NCC1(c2ccc(C(F)(F)F)cc2)CCOCC1)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144905455 1074080902 /nfs/dbraw/zinc/08/09/02/1074080902.db2.gz AXHCOJCPDQBQPP-QLFBSQMISA-N 0 0 435.395 -0.486 20 0 IBADRN O=C(NCC1(c2ccc(C(F)(F)F)cc2)CCOCC1)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144905456 1074080946 /nfs/dbraw/zinc/08/09/46/1074080946.db2.gz AXHCOJCPDQBQPP-RBSFLKMASA-N 0 0 435.395 -0.486 20 0 IBADRN O=C(NCC1(c2ccc(C(F)(F)F)cc2)CCOCC1)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144905457 1074080991 /nfs/dbraw/zinc/08/09/91/1074080991.db2.gz AXHCOJCPDQBQPP-RRFJBIMHSA-N 0 0 435.395 -0.486 20 0 IBADRN O=C(NCC1(c2ccc(C(F)(F)F)cc2)CCOCC1)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144905458 1074081032 /nfs/dbraw/zinc/08/10/32/1074081032.db2.gz AXHCOJCPDQBQPP-SOUVJXGZSA-N 0 0 435.395 -0.486 20 0 IBADRN CCOC(=O)C1CCN(Cc2cccc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)c2)CC1 ZINC001144905906 1074080911 /nfs/dbraw/zinc/08/09/11/1074080911.db2.gz REOZAPFLVSGGFS-FGTMMUONSA-N 0 0 438.477 -0.956 20 0 IBADRN CCOC(=O)C1CCN(Cc2cccc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)c2)CC1 ZINC001144905910 1074080953 /nfs/dbraw/zinc/08/09/53/1074080953.db2.gz REOZAPFLVSGGFS-KZNAEPCWSA-N 0 0 438.477 -0.956 20 0 IBADRN CCOC(=O)C1CCN(Cc2cccc(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)c2)CC1 ZINC001144905915 1074081038 /nfs/dbraw/zinc/08/10/38/1074081038.db2.gz REOZAPFLVSGGFS-OKZBNKHCSA-N 0 0 438.477 -0.956 20 0 IBADRN CCOC(=O)C1CCN(Cc2cccc(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)c2)CC1 ZINC001144905919 1074081025 /nfs/dbraw/zinc/08/10/25/1074081025.db2.gz REOZAPFLVSGGFS-RCCFBDPRSA-N 0 0 438.477 -0.956 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccc(C(F)(F)F)cc2)CC1)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144906025 1074081010 /nfs/dbraw/zinc/08/10/10/1074081010.db2.gz CXONUQSLDKKVBE-QLFBSQMISA-N 0 0 448.394 -0.930 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccc(C(F)(F)F)cc2)CC1)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144906031 1074081005 /nfs/dbraw/zinc/08/10/05/1074081005.db2.gz CXONUQSLDKKVBE-RBSFLKMASA-N 0 0 448.394 -0.930 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccc(C(F)(F)F)cc2)CC1)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144906038 1074081013 /nfs/dbraw/zinc/08/10/13/1074081013.db2.gz CXONUQSLDKKVBE-RRFJBIMHSA-N 0 0 448.394 -0.930 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccc(C(F)(F)F)cc2)CC1)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144906043 1074080980 /nfs/dbraw/zinc/08/09/80/1074080980.db2.gz CXONUQSLDKKVBE-SOUVJXGZSA-N 0 0 448.394 -0.930 20 0 IBADRN O=C(NC1(Cc2ccccc2Br)CCOCC1)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144906580 1074080941 /nfs/dbraw/zinc/08/09/41/1074080941.db2.gz GFERMGRLVXEJCV-QLFBSQMISA-N 0 0 446.294 -0.699 20 0 IBADRN O=C(NC1(Cc2ccccc2Br)CCOCC1)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144906600 1074080985 /nfs/dbraw/zinc/08/09/85/1074080985.db2.gz GFERMGRLVXEJCV-RBSFLKMASA-N 0 0 446.294 -0.699 20 0 IBADRN O=C(NC1(Cc2ccccc2Br)CCOCC1)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144906603 1074080965 /nfs/dbraw/zinc/08/09/65/1074080965.db2.gz GFERMGRLVXEJCV-RRFJBIMHSA-N 0 0 446.294 -0.699 20 0 IBADRN O=C(NC1(Cc2ccccc2Br)CCOCC1)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144906606 1074080925 /nfs/dbraw/zinc/08/09/25/1074080925.db2.gz GFERMGRLVXEJCV-SOUVJXGZSA-N 0 0 446.294 -0.699 20 0 IBADRN COC(=O)c1c(F)cc(Br)c(NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)c1F ZINC001144906650 1074081018 /nfs/dbraw/zinc/08/10/18/1074081018.db2.gz SAFGTLVEPFLWGU-DIMCTHFVSA-N 0 0 442.165 -0.904 20 0 IBADRN COC(=O)c1c(F)cc(Br)c(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)c1F ZINC001144906655 1074081213 /nfs/dbraw/zinc/08/12/13/1074081213.db2.gz SAFGTLVEPFLWGU-LIEZGIJOSA-N 0 0 442.165 -0.904 20 0 IBADRN COC(=O)c1c(F)cc(Br)c(NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)c1F ZINC001144906657 1074081265 /nfs/dbraw/zinc/08/12/65/1074081265.db2.gz SAFGTLVEPFLWGU-MQOMDTIOSA-N 0 0 442.165 -0.904 20 0 IBADRN COC(=O)c1c(F)cc(Br)c(NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)c1F ZINC001144906659 1074081317 /nfs/dbraw/zinc/08/13/17/1074081317.db2.gz SAFGTLVEPFLWGU-NRZXQICZSA-N 0 0 442.165 -0.904 20 0 IBADRN CC(C)(C)OC(=O)CCc1ccc(CCNC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)cc1 ZINC001144906985 1074081349 /nfs/dbraw/zinc/08/13/49/1074081349.db2.gz IMKUUTJXMNOUAC-BPQIPLTHSA-N 0 0 425.478 -0.736 20 0 IBADRN CC(C)(C)OC(=O)CCc1ccc(CCNC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)cc1 ZINC001144906995 1074081332 /nfs/dbraw/zinc/08/13/32/1074081332.db2.gz IMKUUTJXMNOUAC-CGTJXYLNSA-N 0 0 425.478 -0.736 20 0 IBADRN CC(C)(C)OC(=O)CCc1ccc(CCNC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)cc1 ZINC001144906997 1074081336 /nfs/dbraw/zinc/08/13/36/1074081336.db2.gz IMKUUTJXMNOUAC-KBAYOESNSA-N 0 0 425.478 -0.736 20 0 IBADRN CC(C)(C)OC(=O)CCc1ccc(CCNC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)cc1 ZINC001144906998 1074081297 /nfs/dbraw/zinc/08/12/97/1074081297.db2.gz IMKUUTJXMNOUAC-RYQLBKOJSA-N 0 0 425.478 -0.736 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@]1(CNC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)CC1CC1 ZINC001144907212 1074081229 /nfs/dbraw/zinc/08/12/29/1074081229.db2.gz KFOJVDDALWMHBQ-IGMJJTELSA-N 0 0 430.498 -0.684 20 0 IBADRN O=C(NCC1(c2ccccc2Br)CCOCC1)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144907213 1074081258 /nfs/dbraw/zinc/08/12/58/1074081258.db2.gz WUIYAELZTOEEAG-QLFBSQMISA-N 0 0 446.294 -0.742 20 0 IBADRN O=C(NCC1(c2ccccc2Br)CCOCC1)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144907215 1074081202 /nfs/dbraw/zinc/08/12/02/1074081202.db2.gz WUIYAELZTOEEAG-RBSFLKMASA-N 0 0 446.294 -0.742 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@]1(CNC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)CC1CC1 ZINC001144907216 1074081355 /nfs/dbraw/zinc/08/13/55/1074081355.db2.gz KFOJVDDALWMHBQ-LYKCQVCGSA-N 0 0 430.498 -0.684 20 0 IBADRN O=C(NCC1(c2ccccc2Br)CCOCC1)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144907218 1074081247 /nfs/dbraw/zinc/08/12/47/1074081247.db2.gz WUIYAELZTOEEAG-RRFJBIMHSA-N 0 0 446.294 -0.742 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@]1(CNC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)CC1CC1 ZINC001144907219 1074081344 /nfs/dbraw/zinc/08/13/44/1074081344.db2.gz KFOJVDDALWMHBQ-RDNQGFKOSA-N 0 0 430.498 -0.684 20 0 IBADRN O=C(NCC1(c2ccccc2Br)CCOCC1)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144907222 1074081311 /nfs/dbraw/zinc/08/13/11/1074081311.db2.gz WUIYAELZTOEEAG-SOUVJXGZSA-N 0 0 446.294 -0.742 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@]1(CNC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)CC1CC1 ZINC001144907223 1074081278 /nfs/dbraw/zinc/08/12/78/1074081278.db2.gz KFOJVDDALWMHBQ-UJKGCTCZSA-N 0 0 430.498 -0.684 20 0 IBADRN Cc1cccc(C2(CNC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)CCC3(CC2)OCCO3)c1 ZINC001144907804 1074081304 /nfs/dbraw/zinc/08/13/04/1074081304.db2.gz ZOYCXBLRUMWGLD-FGTMMUONSA-N 0 0 437.489 -0.690 20 0 IBADRN Cc1cccc(C2(CNC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)CCC3(CC2)OCCO3)c1 ZINC001144907805 1074081340 /nfs/dbraw/zinc/08/13/40/1074081340.db2.gz ZOYCXBLRUMWGLD-KZNAEPCWSA-N 0 0 437.489 -0.690 20 0 IBADRN Cc1cccc(C2(CNC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)CCC3(CC2)OCCO3)c1 ZINC001144907806 1074081326 /nfs/dbraw/zinc/08/13/26/1074081326.db2.gz ZOYCXBLRUMWGLD-OKZBNKHCSA-N 0 0 437.489 -0.690 20 0 IBADRN Cc1cccc(C2(CNC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)CCC3(CC2)OCCO3)c1 ZINC001144907807 1074081287 /nfs/dbraw/zinc/08/12/87/1074081287.db2.gz ZOYCXBLRUMWGLD-RCCFBDPRSA-N 0 0 437.489 -0.690 20 0 IBADRN CC(C)(C)OC(=O)n1ccc2cc(CCNC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)ccc21 ZINC001144907812 1074081237 /nfs/dbraw/zinc/08/12/37/1074081237.db2.gz ZPXUEHQRRPMPHE-BRWVUGGUSA-N 0 0 436.461 -0.273 20 0 IBADRN CC(C)(C)OC(=O)n1ccc2cc(CCNC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)ccc21 ZINC001144907813 1074081673 /nfs/dbraw/zinc/08/16/73/1074081673.db2.gz ZPXUEHQRRPMPHE-GVDBMIGSSA-N 0 0 436.461 -0.273 20 0 IBADRN CC(C)(C)OC(=O)n1ccc2cc(CCNC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)ccc21 ZINC001144907814 1074081665 /nfs/dbraw/zinc/08/16/65/1074081665.db2.gz ZPXUEHQRRPMPHE-IXDOHACOSA-N 0 0 436.461 -0.273 20 0 IBADRN CC(C)(C)OC(=O)n1ccc2cc(CCNC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)ccc21 ZINC001144907815 1074081652 /nfs/dbraw/zinc/08/16/52/1074081652.db2.gz ZPXUEHQRRPMPHE-YESZJQIVSA-N 0 0 436.461 -0.273 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2cc(CNC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)ccc2C1 ZINC001144908004 1074081631 /nfs/dbraw/zinc/08/16/31/1074081631.db2.gz YDELVCKYLNTVGA-BRWVUGGUSA-N 0 0 438.477 -0.760 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2cc(CNC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)ccc2C1 ZINC001144908005 1074081596 /nfs/dbraw/zinc/08/15/96/1074081596.db2.gz YDELVCKYLNTVGA-GVDBMIGSSA-N 0 0 438.477 -0.760 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2cc(CNC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)ccc2C1 ZINC001144908006 1074081714 /nfs/dbraw/zinc/08/17/14/1074081714.db2.gz YDELVCKYLNTVGA-IXDOHACOSA-N 0 0 438.477 -0.760 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2cc(CNC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)ccc2C1 ZINC001144908007 1074081643 /nfs/dbraw/zinc/08/16/43/1074081643.db2.gz YDELVCKYLNTVGA-YESZJQIVSA-N 0 0 438.477 -0.760 20 0 IBADRN O=C(Nc1cccc2c1[C@@H]1Cc3ccccc3CN1CC2)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144908168 1074081719 /nfs/dbraw/zinc/08/17/19/1074081719.db2.gz YVOBOARYJNQJPP-FMWKFLBASA-N 0 0 426.469 -0.075 20 0 IBADRN O=C(Nc1cccc2c1[C@@H]1Cc3ccccc3CN1CC2)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144908169 1074081649 /nfs/dbraw/zinc/08/16/49/1074081649.db2.gz YVOBOARYJNQJPP-IZZBFERCSA-N 0 0 426.469 -0.075 20 0 IBADRN O=C(Nc1cccc2c1[C@@H]1Cc3ccccc3CN1CC2)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144908170 1074081588 /nfs/dbraw/zinc/08/15/88/1074081588.db2.gz YVOBOARYJNQJPP-JYAXBFRTSA-N 0 0 426.469 -0.075 20 0 IBADRN O=C(Nc1cccc2c1[C@@H]1Cc3ccccc3CN1CC2)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144908171 1074081684 /nfs/dbraw/zinc/08/16/84/1074081684.db2.gz YVOBOARYJNQJPP-UYWIDEMCSA-N 0 0 426.469 -0.075 20 0 IBADRN CCn1c2ccccc2nc1[C@H](NC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)c1ccccc1 ZINC001144908300 1074081608 /nfs/dbraw/zinc/08/16/08/1074081608.db2.gz UUUNJQPTPVAMFJ-AKHDSKFASA-N 0 0 427.457 -0.094 20 0 IBADRN CCn1c2ccccc2nc1[C@H](NC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO)c1ccccc1 ZINC001144908301 1074081616 /nfs/dbraw/zinc/08/16/16/1074081616.db2.gz UUUNJQPTPVAMFJ-NCXUSEDFSA-N 0 0 427.457 -0.094 20 0 IBADRN CCn1c2ccccc2nc1[C@H](NC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)c1ccccc1 ZINC001144908302 1074081636 /nfs/dbraw/zinc/08/16/36/1074081636.db2.gz UUUNJQPTPVAMFJ-WJFTUGDTSA-N 0 0 427.457 -0.094 20 0 IBADRN CCn1c2ccccc2nc1[C@H](NC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)c1ccccc1 ZINC001144908303 1074081580 /nfs/dbraw/zinc/08/15/80/1074081580.db2.gz UUUNJQPTPVAMFJ-ZSYWTGECSA-N 0 0 427.457 -0.094 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2ccccc2C[C@H]1CNC(=O)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144908515 1074082478 /nfs/dbraw/zinc/08/24/78/1074082478.db2.gz ZBZWYJPDUOPWCL-LUKYLMHMSA-N 0 0 438.477 -0.891 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2ccccc2C[C@H]1CNC(=O)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144908516 1074082407 /nfs/dbraw/zinc/08/24/07/1074082407.db2.gz ZBZWYJPDUOPWCL-MWDXBVQZSA-N 0 0 438.477 -0.891 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2ccccc2C[C@H]1CNC(=O)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144908517 1074082386 /nfs/dbraw/zinc/08/23/86/1074082386.db2.gz ZBZWYJPDUOPWCL-VVLHAWIVSA-N 0 0 438.477 -0.891 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2ccccc2C[C@H]1CNC(=O)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144908518 1074082453 /nfs/dbraw/zinc/08/24/53/1074082453.db2.gz ZBZWYJPDUOPWCL-YLFCFFPRSA-N 0 0 438.477 -0.891 20 0 IBADRN O=C(NC1CCN(Cc2ccccc2C(F)(F)F)CC1)C(=O)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001144908533 1074082459 /nfs/dbraw/zinc/08/24/59/1074082459.db2.gz ZJTBJGANPWYJFI-ARFHVFGLSA-N 0 0 434.411 -0.570 20 0 IBADRN O=C(NC1CCN(Cc2ccccc2C(F)(F)F)CC1)C(=O)[C@H](O)[C@H](O)[C@H](O)CO ZINC001144908534 1074082412 /nfs/dbraw/zinc/08/24/12/1074082412.db2.gz ZJTBJGANPWYJFI-BZUAXINKSA-N 0 0 434.411 -0.570 20 0 IBADRN O=C(NC1CCN(Cc2ccccc2C(F)(F)F)CC1)C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001144908535 1074082560 /nfs/dbraw/zinc/08/25/60/1074082560.db2.gz ZJTBJGANPWYJFI-HRCADAONSA-N 0 0 434.411 -0.570 20 0 IBADRN O=C(NC1CCN(Cc2ccccc2C(F)(F)F)CC1)C(=O)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001144908536 1074082534 /nfs/dbraw/zinc/08/25/34/1074082534.db2.gz ZJTBJGANPWYJFI-OWCLPIDISA-N 0 0 434.411 -0.570 20 0 IBADRN COC[C@H]1C[C@@H](O)CN1C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001144912795 1074082495 /nfs/dbraw/zinc/08/24/95/1074082495.db2.gz FUDMJOGEKZNMLO-IAGOWNOFSA-N 0 0 425.507 -0.239 20 0 IBADRN COC[C@H]1C[C@@H](O)CN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001144913753 1074082396 /nfs/dbraw/zinc/08/23/96/1074082396.db2.gz SJMIIGMXNNJVEC-HZPDHXFCSA-N 0 0 428.507 -0.133 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CC(N3CC[C@@H](O)C3)C2)cc1C ZINC001144918577 1074082934 /nfs/dbraw/zinc/08/29/34/1074082934.db2.gz IAKGJPRTVVKISR-AEFFLSMTSA-N 0 0 438.550 -0.507 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CC(N3CC[C@@H](O)C3)C2)cc1C ZINC001144918613 1074083067 /nfs/dbraw/zinc/08/30/67/1074083067.db2.gz IAKGJPRTVVKISR-SJLPKXTDSA-N 0 0 438.550 -0.507 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC(N4CC[C@@H](O)C4)C3)cc2)CC1 ZINC001144919416 1074083042 /nfs/dbraw/zinc/08/30/42/1074083042.db2.gz VEYIUMDTFQEZDL-GOSISDBHSA-N 0 0 436.534 -0.570 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001144923830 1074082370 /nfs/dbraw/zinc/08/23/70/1074082370.db2.gz XJEZYIGAWRKKBF-KBPBESRZSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001144923831 1074082516 /nfs/dbraw/zinc/08/25/16/1074082516.db2.gz XJEZYIGAWRKKBF-KGLIPLIRSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001144923832 1074082352 /nfs/dbraw/zinc/08/23/52/1074082352.db2.gz XJEZYIGAWRKKBF-UONOGXRCSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001144923833 1074082872 /nfs/dbraw/zinc/08/28/72/1074082872.db2.gz XJEZYIGAWRKKBF-ZIAGYGMSSA-N 0 0 442.490 -0.101 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(Cc3nc[nH]n3)CC2)c1 ZINC001144953525 1074083820 /nfs/dbraw/zinc/08/38/20/1074083820.db2.gz JFIROJXVFXIUDU-UHFFFAOYSA-N 0 0 437.526 -0.659 20 0 IBADRN COC(=O)CCCCCCCC(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001144956346 1074083772 /nfs/dbraw/zinc/08/37/72/1074083772.db2.gz MGRODBHHYFRGGC-BDQAWRDZSA-N 0 0 426.470 -0.293 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1C[C@@H]2COC[C@]2(COCC(=O)N2CCCC2)C1)NC(C)=O ZINC001144956621 1074083689 /nfs/dbraw/zinc/08/36/89/1074083689.db2.gz BVMMQJPTYLSNEI-WWMYMODYSA-N 0 0 439.509 -0.442 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)CC[C@H](NC(C)=O)C(=O)OC)C2)C1 ZINC001144956658 1074083747 /nfs/dbraw/zinc/08/37/47/1074083747.db2.gz CVCXXRVMAGJZJI-JXFKEZNVSA-N 0 0 426.514 -0.869 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)CC[C@H](NC(C)=O)C(=O)OC)C2)C1 ZINC001144956659 1074083727 /nfs/dbraw/zinc/08/37/27/1074083727.db2.gz CVCXXRVMAGJZJI-OXJNMPFZSA-N 0 0 426.514 -0.869 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCc2ncc3c(n2)CCOC3)c1 ZINC001144956831 1074083792 /nfs/dbraw/zinc/08/37/92/1074083792.db2.gz UKAGMHZYCRZABA-UHFFFAOYSA-N 0 0 433.490 -0.154 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCO[C@H]2[C@H](OCC(=O)N3CCCC3)CC[C@@H]21)NC(C)=O ZINC001144956876 1074083675 /nfs/dbraw/zinc/08/36/75/1074083675.db2.gz DPWSDULERLAHRH-MIALQEHNSA-N 0 0 439.509 -0.158 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2)NC(C)=O ZINC001144956878 1074083799 /nfs/dbraw/zinc/08/37/99/1074083799.db2.gz DURXYSVRCXENOR-JXFKEZNVSA-N 0 0 435.481 -0.631 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2)NC(C)=O ZINC001144956879 1074083649 /nfs/dbraw/zinc/08/36/49/1074083649.db2.gz DURXYSVRCXENOR-OXJNMPFZSA-N 0 0 435.481 -0.631 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1C[C@@H]2COC[C@@H](CC(=O)N3CCOCC3)[C@@H]2C1)NC(C)=O ZINC001144956883 1074083666 /nfs/dbraw/zinc/08/36/66/1074083666.db2.gz DWGMQDIZUZRJGV-BDXSIMOUSA-N 0 0 439.509 -0.586 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCc2ccc(=O)n(CC(=O)N(C)C)c2CC1)NC(C)=O ZINC001144956884 1074083859 /nfs/dbraw/zinc/08/38/59/1074083859.db2.gz DXAUMRFEEWQEPD-INIZCTEOSA-N 0 0 434.493 -0.678 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@H]2[C@H](CO[C@@H]2CNC(=O)c2cnn(C)c2)C1)NC(C)=O ZINC001144956920 1074083660 /nfs/dbraw/zinc/08/36/60/1074083660.db2.gz FZLCBJRSSDSRKD-XLAORIBOSA-N 0 0 449.508 -0.529 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1C[C@@H]2COC[C@H](C(=O)Nc3cnccn3)[C@@H]2C1)NC(C)=O ZINC001144956921 1074083705 /nfs/dbraw/zinc/08/37/05/1074083705.db2.gz FZQXFJZVHANEOT-WCVJEAGWSA-N 0 0 433.465 -0.406 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCOC[C@@]2(CN(C(=O)C(C)C)CCO2)C1)NC(C)=O ZINC001144957210 1074083768 /nfs/dbraw/zinc/08/37/68/1074083768.db2.gz IAOOXXDWWNGXCF-JXFKEZNVSA-N 0 0 427.498 -0.443 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCOC[C@]2(CN(C(=O)C(C)C)CCO2)C1)NC(C)=O ZINC001144957211 1074083698 /nfs/dbraw/zinc/08/36/98/1074083698.db2.gz IAOOXXDWWNGXCF-OXJNMPFZSA-N 0 0 427.498 -0.443 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCC2(C[C@H](C(=O)N3CCOCC3)CO2)CC1)NC(C)=O ZINC001144957223 1074082910 /nfs/dbraw/zinc/08/29/10/1074082910.db2.gz IHKPHBAQNFHNJB-IRXDYDNUSA-N 0 0 439.509 -0.299 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCC2(C[C@@H](C(=O)N3CCOCC3)CO2)CC1)NC(C)=O ZINC001144957224 1074083005 /nfs/dbraw/zinc/08/30/05/1074083005.db2.gz IHKPHBAQNFHNJB-SJORKVTESA-N 0 0 439.509 -0.299 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCCn2c(=O)cc(Cn3ccnc3)nc2C1)NC(C)=O ZINC001144957251 1074082782 /nfs/dbraw/zinc/08/27/82/1074082782.db2.gz IXLWJPXSEXISLD-INIZCTEOSA-N 0 0 430.465 -0.322 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1C[C@H](NC(=O)c2cccn2C)[C@H]2OCCC[C@H]21)NC(C)=O ZINC001144957293 1074082897 /nfs/dbraw/zinc/08/28/97/1074082897.db2.gz JJCXLRRSEBSSMN-YIOZNXECSA-N 0 0 434.493 -0.029 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@H]2O[C@@H](COCC(=O)N(C)C)CC[C@H]21)NC(C)=O ZINC001144957352 1074083015 /nfs/dbraw/zinc/08/30/15/1074083015.db2.gz KVWURFUMUFOQCE-YYIAUSFCSA-N 0 0 427.498 -0.302 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCn2cc(CNC(=O)c3cccn3C)nc2C1)NC(C)=O ZINC001144957367 1074082760 /nfs/dbraw/zinc/08/27/60/1074082760.db2.gz LPQHXEKLMYYEIK-INIZCTEOSA-N 0 0 444.492 -0.048 20 0 IBADRN COC[C@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)CC[C@H](NC(C)=O)C(=O)OC ZINC001144957386 1074082922 /nfs/dbraw/zinc/08/29/22/1074082922.db2.gz MQUMANSLZMAUCC-CABCVRRESA-N 0 0 433.469 -0.506 20 0 IBADRN COC[C@@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)CC[C@H](NC(C)=O)C(=O)OC ZINC001144957387 1074083034 /nfs/dbraw/zinc/08/30/34/1074083034.db2.gz MQUMANSLZMAUCC-GJZGRUSLSA-N 0 0 433.469 -0.506 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCN(C(=O)C(C)C)C[C@@]2(CCCC(=O)N2)C1)NC(C)=O ZINC001144957425 1074082966 /nfs/dbraw/zinc/08/29/66/1074082966.db2.gz OJGZKYWKRICTHS-HRAATJIYSA-N 0 0 438.525 -0.190 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCN(C(=O)C(C)C)C[C@]2(CCCC(=O)N2)C1)NC(C)=O ZINC001144957426 1074082772 /nfs/dbraw/zinc/08/27/72/1074082772.db2.gz OJGZKYWKRICTHS-KKSFZXQISA-N 0 0 438.525 -0.190 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2)NC(C)=O ZINC001144957471 1074083266 /nfs/dbraw/zinc/08/32/66/1074083266.db2.gz PZHXRQQYMSIWFR-INIZCTEOSA-N 0 0 447.492 -0.232 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCC2(CC1)CN(c1cncnc1)C(=O)CO2)NC(C)=O ZINC001144957499 1074083465 /nfs/dbraw/zinc/08/34/65/1074083465.db2.gz REUJEEVQBIPHPL-INIZCTEOSA-N 0 0 433.465 -0.341 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCc2c(C(=O)N3CCN(C)CC3)nn(C)c2C1)NC(C)=O ZINC001144957506 1074083416 /nfs/dbraw/zinc/08/34/16/1074083416.db2.gz RLJFLPREOFIKMA-INIZCTEOSA-N 0 0 448.524 -0.850 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCn2c(CNC(=O)c3ccno3)cnc2C1)NC(C)=O ZINC001144957606 1074083345 /nfs/dbraw/zinc/08/33/45/1074083345.db2.gz UIFGCUXPCCSDDY-AWEZNQCLSA-N 0 0 432.437 -0.399 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)OC(C)(C)C)C2)NC(C)=O ZINC001144957661 1074083477 /nfs/dbraw/zinc/08/34/77/1074083477.db2.gz VYKXKIGRXUQJFB-VBKZILBWSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC(=O)NC[C@]12CCN(C(=O)OC(C)(C)C)C2)NC(C)=O ZINC001144957662 1074083282 /nfs/dbraw/zinc/08/32/82/1074083282.db2.gz VYKXKIGRXUQJFB-XOBRGWDASA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@H](N2CCOCC2)C[C@@]1(C)C(=O)OC)NC(C)=O ZINC001144957671 1074083353 /nfs/dbraw/zinc/08/33/53/1074083353.db2.gz WMLHDYCGNXBHPT-FTRWYGJKSA-N 0 0 427.498 -0.301 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@@H](N2CCOCC2)C[C@]1(C)C(=O)OC)NC(C)=O ZINC001144957672 1074083490 /nfs/dbraw/zinc/08/34/90/1074083490.db2.gz WMLHDYCGNXBHPT-GQIGUUNPSA-N 0 0 427.498 -0.301 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@@H](N2CCOCC2)C[C@@]1(C)C(=O)OC)NC(C)=O ZINC001144957673 1074083396 /nfs/dbraw/zinc/08/33/96/1074083396.db2.gz WMLHDYCGNXBHPT-GUXCAODWSA-N 0 0 427.498 -0.301 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@H](N2CCOCC2)C[C@]1(C)C(=O)OC)NC(C)=O ZINC001144957674 1074083289 /nfs/dbraw/zinc/08/32/89/1074083289.db2.gz WMLHDYCGNXBHPT-TWOQFEAHSA-N 0 0 427.498 -0.301 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1C[C@@H]2COC[C@H](C(=O)N3CCCCO3)[C@@H]2C1)NC(C)=O ZINC001144957680 1074083275 /nfs/dbraw/zinc/08/32/75/1074083275.db2.gz XBFANMNMUPQEKV-NCOADZHNSA-N 0 0 425.482 -0.281 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@]2(C[C@@H](OCC(=O)N3CCCC3)CO2)C1)NC(C)=O ZINC001144957682 1074083256 /nfs/dbraw/zinc/08/32/56/1074083256.db2.gz XBHQHTVWCREQGU-WWMYMODYSA-N 0 0 439.509 -0.157 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1C[C@H](NC(=O)c2cnn(C)c2)[C@H]2OCCC[C@H]21)NC(C)=O ZINC001144957686 1074083445 /nfs/dbraw/zinc/08/34/45/1074083445.db2.gz XHPHGZDQNZJWGH-LISAXSMJSA-N 0 0 435.481 -0.634 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCO[C@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1)NC(C)=O ZINC001144957749 1074083304 /nfs/dbraw/zinc/08/33/04/1074083304.db2.gz ZLDARQKBMUHSRC-ITQYTPKQSA-N 0 0 447.492 -0.330 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@H]21)NC(C)=O ZINC001144957750 1074083368 /nfs/dbraw/zinc/08/33/68/1074083368.db2.gz ZLDARQKBMUHSRC-NOCIGQNYSA-N 0 0 447.492 -0.330 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCO[C@@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21)NC(C)=O ZINC001144957751 1074083434 /nfs/dbraw/zinc/08/34/34/1074083434.db2.gz ZLDARQKBMUHSRC-PCODUJHASA-N 0 0 447.492 -0.330 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@@H]21)NC(C)=O ZINC001144957752 1074083454 /nfs/dbraw/zinc/08/34/54/1074083454.db2.gz ZLDARQKBMUHSRC-QSOKESPWSA-N 0 0 447.492 -0.330 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCC2(CC1)OCC(=O)N(c1cnn(C)c1)[C@@H]2C)NC(C)=O ZINC001144957756 1074083386 /nfs/dbraw/zinc/08/33/86/1074083386.db2.gz ZNYMEYCZGDFZKY-PBHICJAKSA-N 0 0 449.508 -0.009 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCC2(CC1)OCC(=O)N(c1cnn(C)c1)[C@H]2C)NC(C)=O ZINC001144957757 1074083377 /nfs/dbraw/zinc/08/33/77/1074083377.db2.gz ZNYMEYCZGDFZKY-YOEHRIQHSA-N 0 0 449.508 -0.009 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1C[C@H](NC(=O)c2nccn2C)[C@H]2OCCC[C@H]21)NC(C)=O ZINC001144957770 1074083682 /nfs/dbraw/zinc/08/36/82/1074083682.db2.gz ZYYXMRYWCWPTPD-LJIGWXMPSA-N 0 0 435.481 -0.634 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1)NC(C)=O ZINC001144961866 1074083840 /nfs/dbraw/zinc/08/38/40/1074083840.db2.gz KQZMKFBWXATGHN-MAUKXSAKSA-N 0 0 433.461 -0.045 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1)NC(C)=O ZINC001144961867 1074083808 /nfs/dbraw/zinc/08/38/08/1074083808.db2.gz KQZMKFBWXATGHN-YJBOKZPZSA-N 0 0 433.461 -0.045 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CCN(CC(=O)NCCc2ccccc2)CC1)NC(C)=O ZINC001144962065 1074083850 /nfs/dbraw/zinc/08/38/50/1074083850.db2.gz SZWREEUPCASPPP-IBGZPJMESA-N 0 0 432.521 -0.053 20 0 IBADRN COC(=O)[C@H](CCC(=O)NC1CCN(S(=O)(=O)c2ccccn2)CC1)NC(C)=O ZINC001144962916 1074084322 /nfs/dbraw/zinc/08/43/22/1074084322.db2.gz CARDFHCCHQLVJR-HNNXBMFYSA-N 0 0 426.495 -0.191 20 0 IBADRN COC(=O)[C@H](CCC(=O)NCc1cccc(S(=O)(=O)N2CCOCC2)c1)NC(C)=O ZINC001144962934 1074084351 /nfs/dbraw/zinc/08/43/51/1074084351.db2.gz CYEMJWLMSNQBDZ-KRWDZBQOSA-N 0 0 441.506 -0.218 20 0 IBADRN COC(=O)[C@H](CCC(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1=O)NC(C)=O ZINC001144964488 1074084047 /nfs/dbraw/zinc/08/40/47/1074084047.db2.gz UREXWSJYWRCMEC-AWEZNQCLSA-N 0 0 428.486 -0.360 20 0 IBADRN COC(=O)[C@H](CCC(=O)N[C@H](C)Cc1ccc(OC)c(S(N)(=O)=O)c1)NC(C)=O ZINC001144964520 1074084141 /nfs/dbraw/zinc/08/41/41/1074084141.db2.gz YNAUWZGCUWPQRG-RISCZKNCSA-N 0 0 429.495 -0.152 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC001144966891 1074084278 /nfs/dbraw/zinc/08/42/78/1074084278.db2.gz NDJHFLDDUSQXAT-GDBMZVCRSA-N 0 0 438.506 -0.398 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC001144966892 1074084343 /nfs/dbraw/zinc/08/43/43/1074084343.db2.gz NDJHFLDDUSQXAT-GOEBONIOSA-N 0 0 438.506 -0.398 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC001144966893 1074084148 /nfs/dbraw/zinc/08/41/48/1074084148.db2.gz NDJHFLDDUSQXAT-HOCLYGCPSA-N 0 0 438.506 -0.398 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCCN2C(=O)CNC2=O)cc1 ZINC001144966894 1074084172 /nfs/dbraw/zinc/08/41/72/1074084172.db2.gz NDJHFLDDUSQXAT-ZBFHGGJFSA-N 0 0 438.506 -0.398 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)NCCCN2C(=O)CNC2=O)C1=O ZINC001144971855 1074084105 /nfs/dbraw/zinc/08/41/05/1074084105.db2.gz DTCBVOOXXWOXEO-CYBMUJFWSA-N 0 0 425.486 -0.192 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)NCCCN2C(=O)CNC2=O)C1=O ZINC001144971856 1074084359 /nfs/dbraw/zinc/08/43/59/1074084359.db2.gz DTCBVOOXXWOXEO-ZDUSSCGKSA-N 0 0 425.486 -0.192 20 0 IBADRN CN(CCNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)CC(F)(F)F ZINC001144985476 1074084333 /nfs/dbraw/zinc/08/43/33/1074084333.db2.gz LZEUUIWYIAJPQX-UHFFFAOYSA-N 0 0 431.458 -0.021 20 0 IBADRN C/C(I)=C/C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001145013463 1074084159 /nfs/dbraw/zinc/08/41/59/1074084159.db2.gz WFZUDVWSZFTNDI-BLZNNJTKSA-N 0 0 436.206 -0.858 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)c1ccccc1S(=O)(=O)CCS(C)(=O)=O ZINC001145059418 1074085077 /nfs/dbraw/zinc/08/50/77/1074085077.db2.gz SUOXQBHGYGYILT-UHFFFAOYSA-N 0 0 426.538 -0.874 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001145059523 1074085228 /nfs/dbraw/zinc/08/52/28/1074085228.db2.gz SYFPSFJHGXIKOU-UHFFFAOYSA-N 0 0 425.554 -0.485 20 0 IBADRN CN(CCCC(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O)C(=O)OC(C)(C)C ZINC001145073187 1074085759 /nfs/dbraw/zinc/08/57/59/1074085759.db2.gz SEWIOFCYWLGXGT-RAEVTNRLSA-N 0 0 441.485 -0.549 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC(N3C[C@H](C(=O)OC(C)(C)C)CC3=O)CC2)C1=O ZINC001145081284 1074084859 /nfs/dbraw/zinc/08/48/59/1074084859.db2.gz CGRIFCUCWSQLOZ-GFCCVEGCSA-N 0 0 436.465 -0.412 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC(N3C[C@@H](C(=O)OC(C)(C)C)CC3=O)CC2)C1=O ZINC001145081290 1074084929 /nfs/dbraw/zinc/08/49/29/1074084929.db2.gz CGRIFCUCWSQLOZ-LBPRGKRZSA-N 0 0 436.465 -0.412 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001145092820 1074087165 /nfs/dbraw/zinc/08/71/65/1074087165.db2.gz RRUBZNQJLFAISZ-DOMZBBRYSA-N 0 0 438.485 -0.267 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001145092834 1074087219 /nfs/dbraw/zinc/08/72/19/1074087219.db2.gz SILKVWBYVYBYFZ-ZJUUUORDSA-N 0 0 425.446 -0.825 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)C1 ZINC001145096326 1074085655 /nfs/dbraw/zinc/08/56/55/1074085655.db2.gz NGGDVAUYIZMAMR-FMKPAKJESA-N 0 0 439.513 -0.122 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)C1 ZINC001145096327 1074085695 /nfs/dbraw/zinc/08/56/95/1074085695.db2.gz NGGDVAUYIZMAMR-YCPHGPKFSA-N 0 0 439.513 -0.122 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)CC[C@H]2C1=O ZINC001145096542 1074085789 /nfs/dbraw/zinc/08/57/89/1074085789.db2.gz RAIWABGDZMZISP-WCVJEAGWSA-N 0 0 429.514 -0.017 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001145096665 1074085780 /nfs/dbraw/zinc/08/57/80/1074085780.db2.gz SGHIDWKUJQZXKP-WBMJQRKESA-N 0 0 440.501 -0.501 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1C[C@H]2CCN(S(=O)(=O)N(C)C)C[C@@H]2C1 ZINC001145096786 1074085860 /nfs/dbraw/zinc/08/58/60/1074085860.db2.gz TYVRBJOLWHKVKL-KBXIAJHMSA-N 0 0 434.559 -0.153 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)C2)C1 ZINC001145096875 1074085813 /nfs/dbraw/zinc/08/58/13/1074085813.db2.gz WKDIWMMNCNVEQI-KUDFPVQQSA-N 0 0 442.557 -0.053 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)C2)C1 ZINC001145096876 1074085587 /nfs/dbraw/zinc/08/55/87/1074085587.db2.gz WKDIWMMNCNVEQI-LDBYXDLTSA-N 0 0 442.557 -0.053 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)C[C@H]2C(=O)N(C)C ZINC001145099851 1074085708 /nfs/dbraw/zinc/08/57/08/1074085708.db2.gz DJJAOMVTLWDHQS-SNPRPXQTSA-N 0 0 426.514 -0.344 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)C[C@@H]2C(=O)N(C)C ZINC001145099852 1074085725 /nfs/dbraw/zinc/08/57/25/1074085725.db2.gz DJJAOMVTLWDHQS-YUELXQCFSA-N 0 0 426.514 -0.344 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001145106001 1074085834 /nfs/dbraw/zinc/08/58/34/1074085834.db2.gz MFCYPWOLRPMIAL-ITGHMWBKSA-N 0 0 442.469 -0.704 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)C1 ZINC001145107836 1074086342 /nfs/dbraw/zinc/08/63/42/1074086342.db2.gz DCCAQFLYISQAGN-VLIAUNLRSA-N 0 0 439.513 -0.120 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)C1 ZINC001145107845 1074086258 /nfs/dbraw/zinc/08/62/58/1074086258.db2.gz DCCAQFLYISQAGN-XOBRGWDASA-N 0 0 439.513 -0.120 20 0 IBADRN CC(C)(C)OC(=O)N[C@@](C)(CO)C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001145109354 1074086296 /nfs/dbraw/zinc/08/62/96/1074086296.db2.gz MLJSSLMBWHCUQN-IBGZPJMESA-N 0 0 438.485 -0.266 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)C2 ZINC001145109985 1074086438 /nfs/dbraw/zinc/08/64/38/1074086438.db2.gz NCCPRVPZPCCCRY-IBGZPJMESA-N 0 0 440.501 -0.499 20 0 IBADRN CC(C)(C)OC(=O)N[C@@](C)(CO)C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001145110099 1074086365 /nfs/dbraw/zinc/08/63/65/1074086365.db2.gz PPQQUHQXEXPUAM-KRWDZBQOSA-N 0 0 425.446 -0.823 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)CC[C@H]2C1=O ZINC001145110104 1074086416 /nfs/dbraw/zinc/08/64/16/1074086416.db2.gz NRQPMQWRBUSWKL-SIFCLUCFSA-N 0 0 429.514 -0.016 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)C[C@H]2C1 ZINC001145110634 1074086349 /nfs/dbraw/zinc/08/63/49/1074086349.db2.gz QALODCPYPRYQGX-GLJUWKHASA-N 0 0 434.559 -0.151 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)C2)C1 ZINC001145111038 1074086193 /nfs/dbraw/zinc/08/61/93/1074086193.db2.gz RTTRGSZQKVWQRT-LEWJYISDSA-N 0 0 442.557 -0.051 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)C2)C1 ZINC001145111048 1074086102 /nfs/dbraw/zinc/08/61/02/1074086102.db2.gz RTTRGSZQKVWQRT-SFTDATJTSA-N 0 0 442.557 -0.051 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)C[C@@H]2C(=O)N(C)C ZINC001146187178 1074090434 /nfs/dbraw/zinc/09/04/34/1074090434.db2.gz KULSAYOAOWHLIF-KUHUBIRLSA-N 0 0 426.514 -0.343 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)C[C@H]2C(=O)N(C)C ZINC001146187179 1074090497 /nfs/dbraw/zinc/09/04/97/1074090497.db2.gz KULSAYOAOWHLIF-LIRRHRJNSA-N 0 0 426.514 -0.343 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C1 ZINC001146192467 1074090535 /nfs/dbraw/zinc/09/05/35/1074090535.db2.gz DELYSZRPGXSHLK-INIZCTEOSA-N 0 0 445.567 -0.919 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C1 ZINC001146192468 1074090446 /nfs/dbraw/zinc/09/04/46/1074090446.db2.gz DELYSZRPGXSHLK-MRXNPFEDSA-N 0 0 445.567 -0.919 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001146192602 1074090547 /nfs/dbraw/zinc/09/05/47/1074090547.db2.gz DVWGVUMBLQUXAB-UHFFFAOYSA-N 0 0 430.552 -0.409 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001146193064 1074090581 /nfs/dbraw/zinc/09/05/81/1074090581.db2.gz KKYODNVUPNOSNM-INIZCTEOSA-N 0 0 432.568 -0.261 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001146193065 1074090456 /nfs/dbraw/zinc/09/04/56/1074090456.db2.gz KKYODNVUPNOSNM-MRXNPFEDSA-N 0 0 432.568 -0.261 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)Cn2nnnc2N1C ZINC001146193167 1074090567 /nfs/dbraw/zinc/09/05/67/1074090567.db2.gz LITTWCDCHBLGQU-UHFFFAOYSA-N 0 0 432.510 -0.424 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001146193547 1074090894 /nfs/dbraw/zinc/09/08/94/1074090894.db2.gz OEGKLBXGJYLWTP-UHFFFAOYSA-N 0 0 431.478 -0.930 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@](C)(CO)NC(=O)OC(C)(C)C)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001146195979 1074090917 /nfs/dbraw/zinc/09/09/17/1074090917.db2.gz YXWRHWYDTXYXJS-HAKKBZAZSA-N 0 0 442.469 -0.702 20 0 IBADRN CO[C@@]1(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)CCS(=O)(=O)C1 ZINC001146200665 1074090882 /nfs/dbraw/zinc/09/08/82/1074090882.db2.gz LMVUPOGREZVYRL-KRWDZBQOSA-N 0 0 431.536 -0.631 20 0 IBADRN CO[C@]1(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)CCS(=O)(=O)C1 ZINC001146200666 1074090833 /nfs/dbraw/zinc/09/08/33/1074090833.db2.gz LMVUPOGREZVYRL-QGZVFWFLSA-N 0 0 431.536 -0.631 20 0 IBADRN CCOC(=O)c1snc(C(N)=O)c1NC(=O)CNC(=O)CNC(=O)OC(C)(C)C ZINC001146228958 1074092087 /nfs/dbraw/zinc/09/20/87/1074092087.db2.gz FHCJNWXVQXMZHD-UHFFFAOYSA-N 0 0 429.455 -0.002 20 0 IBADRN CN(C)C(=O)NC[C@H]1OC[C@@H]2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)C[C@@H]21 ZINC001146235696 1074092225 /nfs/dbraw/zinc/09/22/25/1074092225.db2.gz DPZVVPIRHZUKEP-SOUVJXGZSA-N 0 0 441.529 -0.238 20 0 IBADRN Cc1ccc(N2CCO[C@H]3CN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)C[C@H]32)nn1 ZINC001146235703 1074092218 /nfs/dbraw/zinc/09/22/18/1074092218.db2.gz DTQKNIWENXJICW-CABCVRRESA-N 0 0 434.497 -0.158 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@H]3[C@@H]2CCN3C(=O)CNC(=O)CNC(=O)OC(C)(C)C)cn1 ZINC001146235891 1074092230 /nfs/dbraw/zinc/09/22/30/1074092230.db2.gz IFFCRDRKPOTOHG-CABCVRRESA-N 0 0 434.497 -0.124 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2 ZINC001146236307 1074092185 /nfs/dbraw/zinc/09/21/85/1074092185.db2.gz RWZPUQNEISWCMW-AWEZNQCLSA-N 0 0 442.513 -0.460 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2 ZINC001146236308 1074092171 /nfs/dbraw/zinc/09/21/71/1074092171.db2.gz RWZPUQNEISWCMW-CQSZACIVSA-N 0 0 442.513 -0.460 20 0 IBADRN CN(C)C(=O)[C@@H]1CCO[C@@H]2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)C[C@@H]21 ZINC001146236316 1074092479 /nfs/dbraw/zinc/09/24/79/1074092479.db2.gz SCRBHFGTPHIFSW-RBSFLKMASA-N 0 0 426.514 -0.031 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CC[C@@H](NS(=O)(=O)c2ccccn2)C1 ZINC001146236696 1074092547 /nfs/dbraw/zinc/09/25/47/1074092547.db2.gz DMYCAOWPDSCGHC-CYBMUJFWSA-N 0 0 441.510 -0.398 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CC[C@H](NS(=O)(=O)c2ccccn2)C1 ZINC001146236708 1074092589 /nfs/dbraw/zinc/09/25/89/1074092589.db2.gz DMYCAOWPDSCGHC-ZDUSSCGKSA-N 0 0 441.510 -0.398 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001146236826 1074092625 /nfs/dbraw/zinc/09/26/25/1074092625.db2.gz VYNRMJLEQICFIE-UHFFFAOYSA-N 0 0 437.501 -0.907 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCCn2c(Cn3cccn3)nnc2C1 ZINC001146236911 1074092537 /nfs/dbraw/zinc/09/25/37/1074092537.db2.gz FCEMJYVOXAWKDC-UHFFFAOYSA-N 0 0 432.485 -0.104 20 0 IBADRN CCN1C[C@H]2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC[C@H]2S1(=O)=O ZINC001146237025 1074092616 /nfs/dbraw/zinc/09/26/16/1074092616.db2.gz YJYWOIMWBCMEAJ-ZIAGYGMSSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1Cc2[nH]nc(C(=O)N3CCOCC3)c2C1 ZINC001146237263 1074092601 /nfs/dbraw/zinc/09/26/01/1074092601.db2.gz FZDHIWZZFVKOCF-UHFFFAOYSA-N 0 0 436.469 -0.635 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)C[C@H]2C1 ZINC001146237361 1074092560 /nfs/dbraw/zinc/09/25/60/1074092560.db2.gz KFYRUIGAWJWJPD-KGLIPLIRSA-N 0 0 447.558 -0.786 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001146237485 1074092462 /nfs/dbraw/zinc/09/24/62/1074092462.db2.gz KORZRFGVOWGKLD-UHFFFAOYSA-N 0 0 427.502 -0.340 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CC[C@H]2O[C@@H](C(=O)N3CCCO3)CC[C@H]21 ZINC001146237596 1074092654 /nfs/dbraw/zinc/09/26/54/1074092654.db2.gz LSMPASVVWUJDMP-RBSFLKMASA-N 0 0 440.497 -0.060 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC[C@H]2C1=O ZINC001146238091 1074092522 /nfs/dbraw/zinc/09/25/22/1074092522.db2.gz QAHMLFXNMWVCGK-CABCVRRESA-N 0 0 442.513 -0.650 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCCn2nnc(Cn3ccnc3)c2C1 ZINC001146238133 1074092681 /nfs/dbraw/zinc/09/26/81/1074092681.db2.gz RQGPWRMDQTUTOT-UHFFFAOYSA-N 0 0 432.485 -0.104 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)C[C@H]2C(=O)N(C)C ZINC001146238375 1074092633 /nfs/dbraw/zinc/09/26/33/1074092633.db2.gz UTZFERLQHFPNOV-AWEZNQCLSA-N 0 0 439.513 -0.978 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)C[C@@H]2C(=O)N(C)C ZINC001146238376 1074092645 /nfs/dbraw/zinc/09/26/45/1074092645.db2.gz UTZFERLQHFPNOV-CQSZACIVSA-N 0 0 439.513 -0.978 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)[C@@H]2COC[C@H]12 ZINC001146238851 1074092661 /nfs/dbraw/zinc/09/26/61/1074092661.db2.gz WHOZFEOWBMIJQN-IIAWOOMASA-N 0 0 449.508 -0.378 20 0 IBADRN CC(=O)N(C)Cc1nnc2n1CCCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)C2 ZINC001146238873 1074092674 /nfs/dbraw/zinc/09/26/74/1074092674.db2.gz XFVUSMXVMXHJFQ-UHFFFAOYSA-N 0 0 437.501 -0.370 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)NCCNC(=O)C(F)(F)Br ZINC001146247150 1074090803 /nfs/dbraw/zinc/09/08/03/1074090803.db2.gz FBTXKXPSJHEXFG-UHFFFAOYSA-N 0 0 431.234 -0.153 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CNC(=O)OC(C)(C)C)C(=O)N[C@@H](C)C(=O)OC(C)(C)C ZINC001146247590 1074090903 /nfs/dbraw/zinc/09/09/03/1074090903.db2.gz OLAPAHFUXGTDRK-RYUDHWBXSA-N 0 0 430.502 -0.022 20 0 IBADRN CC1(C)[C@H](C(=O)Nc2ncnn3c(Br)ccc23)N2C(=O)C[C@H]2S1(=O)=O ZINC001146250291 1074090821 /nfs/dbraw/zinc/09/08/21/1074090821.db2.gz ZDSNENOSPQLMEI-MNOVXSKESA-N 0 0 428.268 -0.014 20 0 IBADRN CCOC(=O)c1snc(C(N)=O)c1NC(=O)[C@@H]1N2C(=O)C[C@H]2S(=O)(=O)C1(C)C ZINC001146250320 1074090924 /nfs/dbraw/zinc/09/09/24/1074090924.db2.gz ZZENVFBXTJULQM-HQJQHLMTSA-N 0 0 430.464 -0.509 20 0 IBADRN CNC(=O)CN1CCCC12CCN(C(=O)[C@@H]1N3C(=O)C[C@H]3S(=O)(=O)C1(C)C)CC2 ZINC001146254044 1074090845 /nfs/dbraw/zinc/09/08/45/1074090845.db2.gz BFELVFMOROEVNY-CVEARBPZSA-N 0 0 426.539 -0.677 20 0 IBADRN CC1(C)[C@H](C(=O)N2C[C@@H](OCc3cccnc3)[C@H]3COC[C@H]32)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254083 1074090793 /nfs/dbraw/zinc/09/07/93/1074090793.db2.gz BRMKWQWYGALKPV-GRLWTPEZSA-N 0 0 435.502 -0.042 20 0 IBADRN CCN1C[C@H]2CCN(C(=O)[C@@H]3N4C(=O)C[C@H]4S(=O)(=O)C3(C)C)CC[C@H]2S1(=O)=O ZINC001146254105 1074090909 /nfs/dbraw/zinc/09/09/09/1074090909.db2.gz CCWDZGWHDNVAKZ-GBOPCIDUSA-N 0 0 433.552 -0.607 20 0 IBADRN CN(C)C(=O)[C@@H]1CCO[C@@H]2CCN(C(=O)[C@@H]3N4C(=O)C[C@H]4S(=O)(=O)C3(C)C)C[C@@H]21 ZINC001146254130 1074091392 /nfs/dbraw/zinc/09/13/92/1074091392.db2.gz CDUKVCNZZWGMBX-DFMBRWPFSA-N 0 0 427.523 -0.538 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC[C@H]3O[C@H](C(=O)NCC4CC4)C[C@H]32)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254215 1074091401 /nfs/dbraw/zinc/09/14/01/1074091401.db2.gz FGUKLFMAYKSJNJ-QUEVPRLRSA-N 0 0 425.507 -0.595 20 0 IBADRN CC(=O)N(C)Cc1nnc2n1CCCN(C(=O)[C@@H]1N3C(=O)C[C@H]3S(=O)(=O)C1(C)C)C2 ZINC001146254271 1074091352 /nfs/dbraw/zinc/09/13/52/1074091352.db2.gz HFCNBKQXRUNYOQ-CVEARBPZSA-N 0 0 438.510 -0.877 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC[C@H]3O[C@@H](C(=O)N4CCCO4)CC[C@H]32)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254292 1074091385 /nfs/dbraw/zinc/09/13/85/1074091385.db2.gz HWIAHYOKYKZPDX-DFMBRWPFSA-N 0 0 441.506 -0.567 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCO[C@@]3(CCCN(c4cnccn4)C3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254307 1074091426 /nfs/dbraw/zinc/09/14/26/1074091426.db2.gz IBOPLTVANFZSKG-FUHIMQAGSA-N 0 0 449.533 -0.192 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCO[C@]3(CCCN(c4cnccn4)C3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254310 1074091422 /nfs/dbraw/zinc/09/14/22/1074091422.db2.gz IBOPLTVANFZSKG-UWVAXJGDSA-N 0 0 449.533 -0.192 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCN(c3ccnc(N4CCCC4)n3)CC2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254343 1074091365 /nfs/dbraw/zinc/09/13/65/1074091365.db2.gz ATAGKZFFSRMHSA-SJORKVTESA-N 0 0 448.549 -0.141 20 0 IBADRN CC1(C)[C@H](C(=O)N2C[C@@H](NC(=O)C3CCC3)[C@H]3COC[C@H]32)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254346 1074091416 /nfs/dbraw/zinc/09/14/16/1074091416.db2.gz INGVQLNDDGOZJO-DFMBRWPFSA-N 0 0 425.507 -0.738 20 0 IBADRN CC1(C)[C@H](C(=O)N2C[C@@H]3C(=O)N(Cc4ccccc4)C(=O)[C@@H]3C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254364 1074091282 /nfs/dbraw/zinc/09/12/82/1074091282.db2.gz BEJFAGLREUIPCJ-WTTBNOFXSA-N 0 0 445.497 -0.236 20 0 IBADRN CC1(C)[C@H](C(=O)N2C[C@@H](C(=O)N3CCCC3)C[C@H]3OCC[C@H]32)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254421 1074091339 /nfs/dbraw/zinc/09/13/39/1074091339.db2.gz JJZSHZOHRXQLGX-SZVRAQGESA-N 0 0 439.534 -0.251 20 0 IBADRN COCCN1CCO[C@@H]2CN(C(=O)[C@@H]3N4C(=O)C[C@H]4S(=O)(=O)C3(C)C)CC[C@@H]2C1 ZINC001146254446 1074091318 /nfs/dbraw/zinc/09/13/18/1074091318.db2.gz JKOYZIQEFPQBMY-SRABZTEZSA-N 0 0 429.539 -0.684 20 0 IBADRN CC1(C)[C@H](C(=O)N2Cc3nccn3C[C@H](Cn3cccn3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254450 1074091360 /nfs/dbraw/zinc/09/13/60/1074091360.db2.gz JKXDTNNYWUELHL-XKQJLSEDSA-N 0 0 432.506 -0.128 20 0 IBADRN CC1(C)[C@H](C(=O)N2Cc3nccn3C[C@@H](Cn3cccn3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254453 1074091396 /nfs/dbraw/zinc/09/13/96/1074091396.db2.gz JKXDTNNYWUELHL-XYPHTWIQSA-N 0 0 432.506 -0.128 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCCn3c(Cn4cccn4)nnc3C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254469 1074091310 /nfs/dbraw/zinc/09/13/10/1074091310.db2.gz KBMONBYYEIMJIT-CVEARBPZSA-N 0 0 433.494 -0.611 20 0 IBADRN CN(C)C(=O)N[C@@H]1CCO[C@]2(CCN(C(=O)[C@@H]3N4C(=O)C[C@H]4S(=O)(=O)C3(C)C)C2)C1 ZINC001146254470 1074091430 /nfs/dbraw/zinc/09/14/30/1074091430.db2.gz KBQOGTYLQULEOK-NFWAQSGPSA-N 0 0 442.538 -0.458 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@H]3[C@@H]2CCN3C(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)cn1 ZINC001146254584 1074091435 /nfs/dbraw/zinc/09/14/35/1074091435.db2.gz LWXKPCRRPZFQHI-XNISGKROSA-N 0 0 435.506 -0.631 20 0 IBADRN CC(C)CC(=O)NC[C@]12COC[C@H]1CN(C(=O)[C@@H]1N3C(=O)C[C@H]3S(=O)(=O)C1(C)C)C2 ZINC001146254589 1074091380 /nfs/dbraw/zinc/09/13/80/1074091380.db2.gz LYZSSTYRBFSGBN-JPUXWFAOSA-N 0 0 441.550 -0.242 20 0 IBADRN CC1(C)[C@H](C(=O)N(Cc2noc3c2CNCC3)c2ncccn2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254612 1074091409 /nfs/dbraw/zinc/09/14/09/1074091409.db2.gz MIJDBJDMLNHLTA-CVEARBPZSA-N 0 0 446.489 -0.223 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCc3ncc(Cn4cccn4)n3CC2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254615 1074091376 /nfs/dbraw/zinc/09/13/76/1074091376.db2.gz MIYXWXKYPBGMAK-SJORKVTESA-N 0 0 432.506 -0.353 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC[C@H]3O[C@H](C(=O)N4CCCC4)CC[C@H]32)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254688 1074091345 /nfs/dbraw/zinc/09/13/45/1074091345.db2.gz OMPCYYSSNYXSSO-WDTWZTHCSA-N 0 0 439.534 -0.109 20 0 IBADRN CN(C)C(=O)NC[C@H]1OC[C@@H]2CCN(C(=O)[C@@H]3N4C(=O)C[C@H]4S(=O)(=O)C3(C)C)C[C@@H]21 ZINC001146254691 1074091707 /nfs/dbraw/zinc/09/17/07/1074091707.db2.gz OPUUVEGZPWRAOF-CNBXADPUSA-N 0 0 442.538 -0.745 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC[C@H]3OCC[C@@]3(C(=O)NCC3CC3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146254778 1074091804 /nfs/dbraw/zinc/09/18/04/1074091804.db2.gz QYWLRZIMTQPKND-BCEDRHLLSA-N 0 0 439.534 -0.346 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCN(C(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)C[C@H]1O ZINC001146254845 1074091733 /nfs/dbraw/zinc/09/17/33/1074091733.db2.gz LRPSDLSWSXRCTI-OXHZDVMGSA-N 0 0 431.511 -0.393 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)CCO1 ZINC001146254846 1074091809 /nfs/dbraw/zinc/09/18/09/1074091809.db2.gz LRQSJDWVRQVGDD-YUTCNCBUSA-N 0 0 431.511 -0.128 20 0 IBADRN CC(C)NC(=O)[C@@H]1C[C@H]2OCCN(C(=O)[C@@H]3N4C(=O)C[C@H]4S(=O)(=O)C3(C)C)[C@@H]2C1 ZINC001146254882 1074091725 /nfs/dbraw/zinc/09/17/25/1074091725.db2.gz MZYGHUFEBXCUII-HGYYMRRCSA-N 0 0 427.523 -0.349 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(C(=O)[C@@H]1N3C(=O)C[C@H]3S(=O)(=O)C1(C)C)CC2 ZINC001146254987 1074091855 /nfs/dbraw/zinc/09/18/55/1074091855.db2.gz SBEAKYZYFHPZPN-MAZHCROVSA-N 0 0 443.522 -0.967 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(C(=O)[C@@H]1N3C(=O)C[C@H]3S(=O)(=O)C1(C)C)CC2 ZINC001146254988 1074091769 /nfs/dbraw/zinc/09/17/69/1074091769.db2.gz SBEAKYZYFHPZPN-WQVCFCJDSA-N 0 0 443.522 -0.967 20 0 IBADRN Cc1ccc(N2CCO[C@H]3CN(C(=O)[C@@H]4N5C(=O)C[C@H]5S(=O)(=O)C4(C)C)C[C@H]32)nn1 ZINC001146255030 1074091783 /nfs/dbraw/zinc/09/17/83/1074091783.db2.gz TYGREPBZMWOWPN-GFOFROLCSA-N 0 0 435.506 -0.665 20 0 IBADRN Cn1cc(N2C[C@@]3(CCN(C(=O)[C@@H]4N5C(=O)C[C@H]5S(=O)(=O)C4(C)C)C3)CC2=O)cn1 ZINC001146255044 1074091776 /nfs/dbraw/zinc/09/17/76/1074091776.db2.gz UHDGTUKMCXNTKJ-GJYPPUQNSA-N 0 0 435.506 -0.491 20 0 IBADRN Cn1cc(N2C[C@]3(CCN(C(=O)[C@@H]4N5C(=O)C[C@H]5S(=O)(=O)C4(C)C)C3)CC2=O)cn1 ZINC001146255045 1074091762 /nfs/dbraw/zinc/09/17/62/1074091762.db2.gz UHDGTUKMCXNTKJ-JTDSTZFVSA-N 0 0 435.506 -0.491 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCc3nnc(Cn4cccc4)n3CC2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255058 1074091716 /nfs/dbraw/zinc/09/17/16/1074091716.db2.gz UPIJIEMRIODMGX-SJORKVTESA-N 0 0 432.506 -0.353 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCCn3cnc(Cn4cccn4)c3C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255075 1074091833 /nfs/dbraw/zinc/09/18/33/1074091833.db2.gz VPIUWCRVPIAZBQ-SJORKVTESA-N 0 0 432.506 -0.006 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC[C@@H]3[C@H]2CC(=O)N3Cc2cccnc2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255153 1074091743 /nfs/dbraw/zinc/09/17/43/1074091743.db2.gz WIYRHERPIZVNIU-DTDBQYNISA-N 0 0 432.502 -0.083 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC[C@H]3[C@H]2CC(=O)N3Cc2cccnc2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255158 1074091815 /nfs/dbraw/zinc/09/18/15/1074091815.db2.gz WIYRHERPIZVNIU-JFTQMJAMSA-N 0 0 432.502 -0.083 20 0 IBADRN CC(C)N(C)Cc1nnc2n1CCCN(C(=O)[C@@H]1N3C(=O)C[C@H]3S(=O)(=O)C1(C)C)C2 ZINC001146255275 1074091790 /nfs/dbraw/zinc/09/17/90/1074091790.db2.gz OPZHZBSBPUYRMS-SJORKVTESA-N 0 0 438.554 -0.015 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCCn3nnc(Cn4ccnc4)c3C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255364 1074091820 /nfs/dbraw/zinc/09/18/20/1074091820.db2.gz ZBPRHCKWHPCWMZ-CVEARBPZSA-N 0 0 433.494 -0.611 20 0 IBADRN CC1(C)[C@H](C(=O)N2C[C@@H](N3CCCC3=O)[C@H]3OCCC[C@H]3C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255368 1074091694 /nfs/dbraw/zinc/09/16/94/1074091694.db2.gz ZCYDYVUSMVOBEI-XNKXANNVSA-N 0 0 439.534 -0.251 20 0 IBADRN CC1(C)[C@H](C(=O)N2Cc3ccnn3C[C@@H](C(=O)N3CCCC3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255371 1074092145 /nfs/dbraw/zinc/09/21/45/1074092145.db2.gz ZJIZIPQWGUSQKE-XKQJLSEDSA-N 0 0 449.533 -0.402 20 0 IBADRN CC1(C)[C@H](C(=O)N2Cc3ccnn3C[C@H](C(=O)N3CCCC3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255372 1074092195 /nfs/dbraw/zinc/09/21/95/1074092195.db2.gz ZJIZIPQWGUSQKE-XYPHTWIQSA-N 0 0 449.533 -0.402 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCC(N3CCCCS3(=O)=O)CC2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255499 1074092113 /nfs/dbraw/zinc/09/21/13/1074092113.db2.gz QOMWFJDQNBUSSS-CABCVRRESA-N 0 0 433.552 -0.463 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)CC1)c1ccccc1 ZINC001146255651 1074092175 /nfs/dbraw/zinc/09/21/75/1074092175.db2.gz RZEJCTONWODLTF-MOPGFXCFSA-N 0 0 448.545 -0.072 20 0 IBADRN CN(C)C(=O)CN1CCC2(CCCN2C(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)CC1 ZINC001146255669 1074092130 /nfs/dbraw/zinc/09/21/30/1074092130.db2.gz SXIYAGTXWYAODE-SJORKVTESA-N 0 0 440.566 -0.334 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCC(NC(=O)N3CCOCC3)CC2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255904 1074092189 /nfs/dbraw/zinc/09/21/89/1074092189.db2.gz UGFCMPHJDGGRGB-CABCVRRESA-N 0 0 428.511 -0.847 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC[C@@H](NS(=O)(=O)c3ccccn3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255969 1074092152 /nfs/dbraw/zinc/09/21/52/1074092152.db2.gz WPJHXUWLNWOJBZ-DFBGVHRSSA-N 0 0 442.519 -0.905 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC[C@H](NS(=O)(=O)c3ccccn3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255970 1074092164 /nfs/dbraw/zinc/09/21/64/1074092164.db2.gz WPJHXUWLNWOJBZ-GLQYFDAESA-N 0 0 442.519 -0.905 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCC[C@@]3(CCN(c4cncnc4)C3=O)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255993 1074092123 /nfs/dbraw/zinc/09/21/23/1074092123.db2.gz XIWCRKITCGTQPY-GQIGUUNPSA-N 0 0 447.517 -0.044 20 0 IBADRN CC1(C)[C@H](C(=O)N2CCC[C@]3(CCN(c4cncnc4)C3=O)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255994 1074092100 /nfs/dbraw/zinc/09/21/00/1074092100.db2.gz XIWCRKITCGTQPY-GUXCAODWSA-N 0 0 447.517 -0.044 20 0 IBADRN CC1(C)[C@H](C(=O)N2CC(N3CCN(c4ccccn4)CC3)C2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146255998 1074092159 /nfs/dbraw/zinc/09/21/59/1074092159.db2.gz XNOAFLFXRAYMIB-MSOLQXFVSA-N 0 0 433.534 -0.452 20 0 IBADRN CNS(=O)(=O)CCc1ccc(NC(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)cc1 ZINC001146256852 1074093460 /nfs/dbraw/zinc/09/34/60/1074093460.db2.gz GAVSAEGSBHAAPW-CABCVRRESA-N 0 0 429.520 -0.149 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CN(C(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)CC12COC2 ZINC001146256907 1074093494 /nfs/dbraw/zinc/09/34/94/1074093494.db2.gz IIABBEFKKKDMGI-BNOWGMLFSA-N 0 0 443.522 -0.128 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1CN(C(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)CC12COC2 ZINC001146256908 1074093548 /nfs/dbraw/zinc/09/35/48/1074093548.db2.gz IIABBEFKKKDMGI-YUTCNCBUSA-N 0 0 443.522 -0.128 20 0 IBADRN CC1(C)[C@H](C(=O)Nc2ccc(S(=O)(=O)CCCO)cc2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146257277 1074093424 /nfs/dbraw/zinc/09/34/24/1074093424.db2.gz LMFUADFRYXCMQG-CABCVRRESA-N 0 0 430.504 -0.085 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)C[C@@H]1NC(=O)OC(C)(C)C ZINC001146257868 1074093441 /nfs/dbraw/zinc/09/34/41/1074093441.db2.gz UFZRCISPEQVTPP-UNJBNNCHSA-N 0 0 431.511 -0.129 20 0 IBADRN CC1(C)[C@H](C(=O)NCC(=O)NCC(=O)OCc2ccccc2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146262320 1074093414 /nfs/dbraw/zinc/09/34/14/1074093414.db2.gz HLCHNQRNQTVNMX-WBVHZDCISA-N 0 0 437.474 -0.904 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](NC(=O)[C@@H]3N4C(=O)C[C@H]4S(=O)(=O)C3(C)C)CO[C@@H]2C1 ZINC001146262671 1074092470 /nfs/dbraw/zinc/09/24/70/1074092470.db2.gz KGWZMCNAODFIDP-OGMFBOKVSA-N 0 0 443.522 -0.129 20 0 IBADRN COCCn1c(=O)ccc2c1CC[C@H](NC(=O)[C@@H]1N3C(=O)C[C@H]3S(=O)(=O)C1(C)C)C2 ZINC001146262837 1074092691 /nfs/dbraw/zinc/09/26/91/1074092691.db2.gz MWHNHRYYYUEWAG-VHSSKADRSA-N 0 0 437.518 -0.398 20 0 IBADRN COCCn1c(=O)ccc2c1CC[C@@H](NC(=O)[C@@H]1N3C(=O)C[C@H]3S(=O)(=O)C1(C)C)C2 ZINC001146262838 1074093142 /nfs/dbraw/zinc/09/31/42/1074093142.db2.gz MWHNHRYYYUEWAG-XWIAVFTESA-N 0 0 437.518 -0.398 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CCNC(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)C1 ZINC001146263504 1074093177 /nfs/dbraw/zinc/09/31/77/1074093177.db2.gz XLQAYROSYAZWRV-OLZOCXBDSA-N 0 0 431.511 -0.392 20 0 IBADRN C[C@H](NC(=O)[C@@H]1N2C(=O)C[C@H]2S(=O)(=O)C1(C)C)C(=O)N[C@@H](C)C(=O)OC(C)(C)C ZINC001146264720 1074093005 /nfs/dbraw/zinc/09/30/05/1074093005.db2.gz FYJZLXIYKVLVBF-DJIHRAIXSA-N 0 0 431.511 -0.529 20 0 IBADRN CC1(C)[C@H](C(=O)NCCNC(=O)C(F)(F)Br)N2C(=O)C[C@H]2S1(=O)=O ZINC001146265421 1074093070 /nfs/dbraw/zinc/09/30/70/1074093070.db2.gz PLPLPKNOTOYONU-SFYZADRCSA-N 0 0 432.243 -0.660 20 0 IBADRN CN(CCNC(=O)OC(C)(C)C)CCNC(=O)[C@@H]1N2C(=O)C[C@H]2S(=O)(=O)C1(C)C ZINC001146265910 1074093037 /nfs/dbraw/zinc/09/30/37/1074093037.db2.gz DXYHGYMTQZGCOW-KGLIPLIRSA-N 0 0 432.543 -0.307 20 0 IBADRN CC1(C)[C@H](C(=O)N[C@H]2CC[C@H](NC(=O)[C@@H]3CCCO3)CC2)N2C(=O)C[C@H]2S1(=O)=O ZINC001146266184 1074092923 /nfs/dbraw/zinc/09/29/23/1074092923.db2.gz FWBICTUZKXFTNH-RDUHTLEXSA-N 0 0 427.523 -0.157 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNC(=O)[C@@H]1N2C(=O)C[C@H]2S(=O)(=O)C1(C)C ZINC001146266560 1074092989 /nfs/dbraw/zinc/09/29/89/1074092989.db2.gz KXRUKVLHMSMEBN-BNOWGMLFSA-N 0 0 431.511 -0.128 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNC(=O)[C@@H]1N2C(=O)C[C@H]2S(=O)(=O)C1(C)C ZINC001146266563 1074093110 /nfs/dbraw/zinc/09/31/10/1074093110.db2.gz KXRUKVLHMSMEBN-YUTCNCBUSA-N 0 0 431.511 -0.128 20 0 IBADRN Cn1c(=O)cc(NC(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)n(-c2ccccc2)c1=O ZINC001146267465 1074093022 /nfs/dbraw/zinc/09/30/22/1074093022.db2.gz VLLCSANWYZVLDR-CVEARBPZSA-N 0 0 432.458 -0.391 20 0 IBADRN CCCn1c(=O)cc(NC(=O)[C@@H]2N3C(=O)C[C@H]3S(=O)(=O)C2(C)C)n(CCC)c1=O ZINC001146267507 1074092956 /nfs/dbraw/zinc/09/29/56/1074092956.db2.gz XGYXQAIZFOOSJK-CABCVRRESA-N 0 0 426.495 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)n1c(N)c2ncn(COCCO)c2nc1=N)C(C)(C)O ZINC001146268079 1074093134 /nfs/dbraw/zinc/09/31/34/1074093134.db2.gz PAVNEOXFLDRKCU-LLVKDONJSA-N 0 0 439.473 -0.435 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@@H]3CCOC3)CC2)c1 ZINC001146271949 1074093088 /nfs/dbraw/zinc/09/30/88/1074093088.db2.gz XGSDJOJHGNMGAF-GFCCVEGCSA-N 0 0 430.914 -0.350 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@H]3CCOC3)CC2)c1 ZINC001146271950 1074092898 /nfs/dbraw/zinc/09/28/98/1074092898.db2.gz XGSDJOJHGNMGAF-LBPRGKRZSA-N 0 0 430.914 -0.350 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)(C)O)C2 ZINC001146274078 1074092916 /nfs/dbraw/zinc/09/29/16/1074092916.db2.gz XEQWKDOUXXTBNI-NWDGAFQWSA-N 0 0 434.511 -0.161 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)(C)O)C2 ZINC001146274079 1074092883 /nfs/dbraw/zinc/09/28/83/1074092883.db2.gz XEQWKDOUXXTBNI-VXGBXAGGSA-N 0 0 434.511 -0.161 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O)C(C)(C)O ZINC001146281728 1074093150 /nfs/dbraw/zinc/09/31/50/1074093150.db2.gz CPGRRNSVFNKKLM-GOEBONIOSA-N 0 0 436.509 -0.020 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)c2cnn(C[C@H](O)CO)c2)CC1 ZINC001146283823 1074093476 /nfs/dbraw/zinc/09/34/76/1074093476.db2.gz IDNMCNHALBIMNN-KRWDZBQOSA-N 0 0 437.522 -0.898 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)c2cnn(C[C@@H](O)CO)c2)CC1 ZINC001146283825 1074093506 /nfs/dbraw/zinc/09/35/06/1074093506.db2.gz IDNMCNHALBIMNN-QGZVFWFLSA-N 0 0 437.522 -0.898 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)Cn2nc(Br)c(Br)n2)C1 ZINC001146284314 1074093488 /nfs/dbraw/zinc/09/34/88/1074093488.db2.gz LRVYTZVNDYABHW-LURJTMIESA-N 0 0 431.110 -0.047 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)Cn2nc(Br)c(Br)n2)C1 ZINC001146284315 1074093376 /nfs/dbraw/zinc/09/33/76/1074093376.db2.gz LRVYTZVNDYABHW-ZCFIWIBFSA-N 0 0 431.110 -0.047 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001146285218 1074093470 /nfs/dbraw/zinc/09/34/70/1074093470.db2.gz WKQRRFOBQNYXIY-CYBMUJFWSA-N 0 0 447.535 -0.334 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001146285219 1074093449 /nfs/dbraw/zinc/09/34/49/1074093449.db2.gz WKQRRFOBQNYXIY-ZDUSSCGKSA-N 0 0 447.535 -0.334 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c(CN2C(=O)c3ccccc3C2=O)n1 ZINC001146285576 1074093542 /nfs/dbraw/zinc/09/35/42/1074093542.db2.gz ZWWWVBKEGMOIRQ-GFCCVEGCSA-N 0 0 431.474 -0.020 20 0 IBADRN Cn1cc(C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c(CN2C(=O)c3ccccc3C2=O)n1 ZINC001146285577 1074093432 /nfs/dbraw/zinc/09/34/32/1074093432.db2.gz ZWWWVBKEGMOIRQ-LBPRGKRZSA-N 0 0 431.474 -0.020 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@@H]3CC[C@H]2N3C(=O)OC(C)(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001146311014 1074093515 /nfs/dbraw/zinc/09/35/15/1074093515.db2.gz GUMXFQPCWRXKKI-HJQJPATGSA-N 0 0 430.498 -0.265 20 0 IBADRN CN(C)C(=O)OCCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001146318891 1074093536 /nfs/dbraw/zinc/09/35/36/1074093536.db2.gz BAZYQRNWSSQMJJ-AWEZNQCLSA-N 0 0 435.524 -0.417 20 0 IBADRN CN(C)C(=O)OCCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001146318892 1074093973 /nfs/dbraw/zinc/09/39/73/1074093973.db2.gz BAZYQRNWSSQMJJ-CQSZACIVSA-N 0 0 435.524 -0.417 20 0 IBADRN CCOC(=O)c1nc2n(n1)CCN(C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C)C2 ZINC001146357032 1074093919 /nfs/dbraw/zinc/09/39/19/1074093919.db2.gz WHSGTMUGINRBGJ-VOTSOKGWSA-N 0 0 436.469 -0.006 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C)C2 ZINC001146357893 1074093938 /nfs/dbraw/zinc/09/39/38/1074093938.db2.gz CEGMAUQSRLQJSK-AATRIKPKSA-N 0 0 435.485 -0.547 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C ZINC001146358156 1074093764 /nfs/dbraw/zinc/09/37/64/1074093764.db2.gz FSPMABBZPQSIAK-GULGNGMXSA-N 0 0 445.494 -0.200 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C ZINC001146358158 1074093948 /nfs/dbraw/zinc/09/39/48/1074093948.db2.gz FSPMABBZPQSIAK-JUONBAAVSA-N 0 0 445.494 -0.200 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C ZINC001146358162 1074093815 /nfs/dbraw/zinc/09/38/15/1074093815.db2.gz FSPMABBZPQSIAK-MEQIJJHFSA-N 0 0 445.494 -0.200 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C ZINC001146358169 1074093874 /nfs/dbraw/zinc/09/38/74/1074093874.db2.gz FSPMABBZPQSIAK-OZQHNXSNSA-N 0 0 445.494 -0.200 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C)CC1 ZINC001146358465 1074094329 /nfs/dbraw/zinc/09/43/29/1074094329.db2.gz KDAQIMGKHQHHKO-VOTSOKGWSA-N 0 0 425.530 -0.148 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)/C=C/C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC001146358529 1074094356 /nfs/dbraw/zinc/09/43/56/1074094356.db2.gz LUZVRNOYJSJTQX-VOTSOKGWSA-N 0 0 437.541 -0.050 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)/C=C/C(=O)N1CCN(CCCS(C)(=O)=O)CC1 ZINC001146359340 1074094209 /nfs/dbraw/zinc/09/42/09/1074094209.db2.gz SYEOXPKVFJDNFU-VOTSOKGWSA-N 0 0 446.570 -0.238 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C ZINC001146360047 1074094201 /nfs/dbraw/zinc/09/42/01/1074094201.db2.gz YUUUJKFOUDCAOR-KTRBRXNASA-N 0 0 426.470 -0.536 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C ZINC001146360048 1074094250 /nfs/dbraw/zinc/09/42/50/1074094250.db2.gz YUUUJKFOUDCAOR-YBJDMEARSA-N 0 0 426.470 -0.536 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C)C2 ZINC001146360089 1074094231 /nfs/dbraw/zinc/09/42/31/1074094231.db2.gz ZMELSZXAMQKESO-RRFGBZISSA-N 0 0 437.497 -0.867 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C)C2 ZINC001146360091 1074094165 /nfs/dbraw/zinc/09/41/65/1074094165.db2.gz ZMELSZXAMQKESO-XJDXJNMNSA-N 0 0 437.497 -0.867 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001146364762 1074094191 /nfs/dbraw/zinc/09/41/91/1074094191.db2.gz JBFFCVBHKFWPJY-PSKZRQQASA-N 0 0 426.470 -0.584 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001146364763 1074094323 /nfs/dbraw/zinc/09/43/23/1074094323.db2.gz JBFFCVBHKFWPJY-UZYOAWRESA-N 0 0 426.470 -0.584 20 0 IBADRN CCn1c(N)c(NC(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C)c(=O)n(CC)c1=O ZINC001146365004 1074094284 /nfs/dbraw/zinc/09/42/84/1074094284.db2.gz GFYABLDUAIZDEF-CMDGGOBGSA-N 0 0 438.485 -0.232 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)/C=C/C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC001146371450 1074093824 /nfs/dbraw/zinc/09/38/24/1074093824.db2.gz IOXNUKFRQWTXIE-AATRIKPKSA-N 0 0 432.543 -0.029 20 0 IBADRN COCCOCCOCCOCCNC(=O)/C=C/C(=O)NCCNC(=O)OC(C)(C)C ZINC001146371464 1074093837 /nfs/dbraw/zinc/09/38/37/1074093837.db2.gz IVHBAXPWMLWFSO-AATRIKPKSA-N 0 0 447.529 -0.004 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)/C=C/C(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001146371967 1074093755 /nfs/dbraw/zinc/09/37/55/1074093755.db2.gz LHLFRNRGHNNKLL-AATRIKPKSA-N 0 0 432.543 -0.580 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@]2(C1)CNC(=O)CN2C(C)=O ZINC001146380823 1074093778 /nfs/dbraw/zinc/09/37/78/1074093778.db2.gz NOHGXYBQZZVXLN-JLTOFOAXSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@]2(C1)CNC(=O)CN2C(C)=O ZINC001146380824 1074093929 /nfs/dbraw/zinc/09/39/29/1074093929.db2.gz NOHGXYBQZZVXLN-VBKZILBWSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O ZINC001146380825 1074093911 /nfs/dbraw/zinc/09/39/11/1074093911.db2.gz NOHGXYBQZZVXLN-VLIAUNLRSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O ZINC001146380826 1074093859 /nfs/dbraw/zinc/09/38/59/1074093859.db2.gz NOHGXYBQZZVXLN-XOBRGWDASA-N 0 0 440.497 -0.218 20 0 IBADRN CN1CCN(C)[C@H](CNC(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1 ZINC001146388140 1074094220 /nfs/dbraw/zinc/09/42/20/1074094220.db2.gz CJAQMSNCVPQYMC-SPYBWZPUSA-N 0 0 430.571 -0.370 20 0 IBADRN CN1CCN(C)[C@@H](CNC(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1 ZINC001146388141 1074094272 /nfs/dbraw/zinc/09/42/72/1074094272.db2.gz CJAQMSNCVPQYMC-ZRGWGRIASA-N 0 0 430.571 -0.370 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001146394706 1074094305 /nfs/dbraw/zinc/09/43/05/1074094305.db2.gz RYGGQLHDIVBUPB-CYBMUJFWSA-N 0 0 427.454 -0.109 20 0 IBADRN COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001146394707 1074094259 /nfs/dbraw/zinc/09/42/59/1074094259.db2.gz RYGGQLHDIVBUPB-ZDUSSCGKSA-N 0 0 427.454 -0.109 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001146411952 1074094241 /nfs/dbraw/zinc/09/42/41/1074094241.db2.gz VSDRXNYCOQOZGF-CABCVRRESA-N 0 0 428.486 -0.731 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001146411959 1074094343 /nfs/dbraw/zinc/09/43/43/1074094343.db2.gz VSDRXNYCOQOZGF-GJZGRUSLSA-N 0 0 428.486 -0.731 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001146411962 1074094175 /nfs/dbraw/zinc/09/41/75/1074094175.db2.gz VSDRXNYCOQOZGF-HUUCEWRRSA-N 0 0 428.486 -0.731 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001146411965 1074094295 /nfs/dbraw/zinc/09/42/95/1074094295.db2.gz VSDRXNYCOQOZGF-LSDHHAIUSA-N 0 0 428.486 -0.731 20 0 IBADRN O=C(CC[C@@](F)(Cl)C(F)(F)Br)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001146413226 1074094310 /nfs/dbraw/zinc/09/43/10/1074094310.db2.gz SLYOWZHYCAHVLY-BDADBRIVSA-N 0 0 430.601 -0.425 20 0 IBADRN O=C(CC[C@](F)(Cl)C(F)(F)Br)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001146413231 1074094697 /nfs/dbraw/zinc/09/46/97/1074094697.db2.gz SLYOWZHYCAHVLY-BXVUOYOESA-N 0 0 430.601 -0.425 20 0 IBADRN O=C(CC[C@](F)(Cl)C(F)(F)Br)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001146413233 1074094655 /nfs/dbraw/zinc/09/46/55/1074094655.db2.gz SLYOWZHYCAHVLY-KVGNXEQSSA-N 0 0 430.601 -0.425 20 0 IBADRN O=C(CC[C@@](F)(Cl)C(F)(F)Br)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001146413234 1074094780 /nfs/dbraw/zinc/09/47/80/1074094780.db2.gz SLYOWZHYCAHVLY-VYCLGPHZSA-N 0 0 430.601 -0.425 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H](CCCNC(N)=O)NC(=O)OC(C)(C)C)CC1 ZINC001146435229 1074094756 /nfs/dbraw/zinc/09/47/56/1074094756.db2.gz DHOPTFKHICIUTJ-HNNXBMFYSA-N 0 0 442.561 -0.003 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCNC(N)=O)C(=O)N1CCC2(CC1)CNC(=O)CO2 ZINC001146435248 1074094725 /nfs/dbraw/zinc/09/47/25/1074094725.db2.gz FADNHTHLTOSZEV-ZDUSSCGKSA-N 0 0 427.502 -0.164 20 0 IBADRN COC(=O)[C@@]12COC[C@@H]1CN(C(=O)[C@H](CCCNC(N)=O)NC(=O)OC(C)(C)C)C2 ZINC001146435274 1074094630 /nfs/dbraw/zinc/09/46/30/1074094630.db2.gz GHNIOMXOPBCORR-JMERFSKESA-N 0 0 428.486 -0.024 20 0 IBADRN CN1CCN(C2CN(C(=O)[C@H](CCCNC(N)=O)NC(=O)OC(C)(C)C)C2)CC1=O ZINC001146435277 1074094765 /nfs/dbraw/zinc/09/47/65/1074094765.db2.gz GOFHMUSHNMQYMR-AWEZNQCLSA-N 0 0 426.518 -0.687 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H](CCCNC(N)=O)NC(=O)OC(C)(C)C ZINC001146436064 1074094706 /nfs/dbraw/zinc/09/47/06/1074094706.db2.gz MBPHMTFKOSDGTM-QWHCGFSZSA-N 0 0 443.501 -0.392 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H](CCCNC(N)=O)NC(=O)OC(C)(C)C ZINC001146436065 1074094718 /nfs/dbraw/zinc/09/47/18/1074094718.db2.gz MBPHMTFKOSDGTM-STQMWFEESA-N 0 0 443.501 -0.392 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCNC(N)=O)C(=O)N1CCC2(CC1)COCC(=O)N2 ZINC001146436112 1074094735 /nfs/dbraw/zinc/09/47/35/1074094735.db2.gz NOIZOTXLWXJJBD-ZDUSSCGKSA-N 0 0 427.502 -0.164 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](CCCNC(N)=O)NC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001146437439 1074094636 /nfs/dbraw/zinc/09/46/36/1074094636.db2.gz JIHBQGDSKUOXGE-KBPBESRZSA-N 0 0 443.501 -0.440 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](CCCNC(N)=O)NC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001146437440 1074094678 /nfs/dbraw/zinc/09/46/78/1074094678.db2.gz JIHBQGDSKUOXGE-UONOGXRCSA-N 0 0 443.501 -0.440 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCNC(N)=O)C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001146443026 1074094744 /nfs/dbraw/zinc/09/47/44/1074094744.db2.gz CIJFKJWZSUMZCE-ZDUSSCGKSA-N 0 0 441.485 -0.614 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCNC(N)=O)C(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001146444297 1074094684 /nfs/dbraw/zinc/09/46/84/1074094684.db2.gz SNEWVUUPKTZLIG-AWEZNQCLSA-N 0 0 449.574 -0.435 20 0 IBADRN C=CC(=O)N(CC)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001146476978 1074094622 /nfs/dbraw/zinc/09/46/22/1074094622.db2.gz BIYMWQMZOFLMTQ-UHFFFAOYSA-N 0 0 436.490 -0.115 20 0 IBADRN CCc1ccccc1O[C@@H](C)C(=O)N1CCN(C(=O)[C@@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001146497891 1074094773 /nfs/dbraw/zinc/09/47/73/1074094773.db2.gz IFBSCDPYBYZBPU-CVEARBPZSA-N 0 0 443.508 -0.122 20 0 IBADRN CCc1ccccc1O[C@H](C)C(=O)N1CCN(C(=O)[C@@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001146497892 1074094664 /nfs/dbraw/zinc/09/46/64/1074094664.db2.gz IFBSCDPYBYZBPU-HZPDHXFCSA-N 0 0 443.508 -0.122 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@H]2C(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001146513633 1074094997 /nfs/dbraw/zinc/09/49/97/1074094997.db2.gz GREPQOLEVXYRSI-HNNXBMFYSA-N 0 0 435.525 -0.608 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@@H]2C(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001146513639 1074095015 /nfs/dbraw/zinc/09/50/15/1074095015.db2.gz GREPQOLEVXYRSI-OAHLLOKOSA-N 0 0 435.525 -0.608 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@H]2C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001146514014 1074094954 /nfs/dbraw/zinc/09/49/54/1074094954.db2.gz IRCRQYBWDJYGPN-AWEZNQCLSA-N 0 0 444.554 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@@H]2C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001146514017 1074094969 /nfs/dbraw/zinc/09/49/69/1074094969.db2.gz IRCRQYBWDJYGPN-CQSZACIVSA-N 0 0 444.554 -0.147 20 0 IBADRN CN1CCN(C2CN(C(=O)[C@@H]3CNC(=O)C34CCN(C(=O)OC(C)(C)C)CC4)C2)CC1=O ZINC001146514971 1074095026 /nfs/dbraw/zinc/09/50/26/1074095026.db2.gz OWRJHMCBBGRFMR-INIZCTEOSA-N 0 0 449.552 -0.266 20 0 IBADRN CN1CCN(C2CN(C(=O)[C@H]3CNC(=O)C34CCN(C(=O)OC(C)(C)C)CC4)C2)CC1=O ZINC001146514972 1074094947 /nfs/dbraw/zinc/09/49/47/1074094947.db2.gz OWRJHMCBBGRFMR-MRXNPFEDSA-N 0 0 449.552 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@@H]2C(=O)N1CC(NS(C)(=O)=O)C1 ZINC001146515103 1074094985 /nfs/dbraw/zinc/09/49/85/1074094985.db2.gz RNVVKAYKWHJEPI-CYBMUJFWSA-N 0 0 430.527 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@H]2C(=O)N1CC(NS(C)(=O)=O)C1 ZINC001146515104 1074094951 /nfs/dbraw/zinc/09/49/51/1074094951.db2.gz RNVVKAYKWHJEPI-ZDUSSCGKSA-N 0 0 430.527 -0.490 20 0 IBADRN CO[C@@]1(CO)CCCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001146516255 1074094962 /nfs/dbraw/zinc/09/49/62/1074094962.db2.gz FAXFPSKTDQDDEB-AEFFLSMTSA-N 0 0 448.563 -0.232 20 0 IBADRN CO[C@]1(CO)CCCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001146516257 1074094977 /nfs/dbraw/zinc/09/49/77/1074094977.db2.gz FAXFPSKTDQDDEB-FUHWJXTLSA-N 0 0 448.563 -0.232 20 0 IBADRN CO[C@]1(CO)CCCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001146516260 1074095005 /nfs/dbraw/zinc/09/50/05/1074095005.db2.gz FAXFPSKTDQDDEB-SJLPKXTDSA-N 0 0 448.563 -0.232 20 0 IBADRN CO[C@@]1(CO)CCCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001146516262 1074095022 /nfs/dbraw/zinc/09/50/22/1074095022.db2.gz FAXFPSKTDQDDEB-WMZOPIPTSA-N 0 0 448.563 -0.232 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)[C@H]1CNC(=O)C12CCN(C(=O)OC(C)(C)C)CC2 ZINC001146519401 1074094958 /nfs/dbraw/zinc/09/49/58/1074094958.db2.gz DGJUWSCPVZBPHZ-CYBMUJFWSA-N 0 0 432.543 -0.243 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)[C@@H]1CNC(=O)C12CCN(C(=O)OC(C)(C)C)CC2 ZINC001146519402 1074094973 /nfs/dbraw/zinc/09/49/73/1074094973.db2.gz DGJUWSCPVZBPHZ-ZDUSSCGKSA-N 0 0 432.543 -0.243 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@@H]2C(=O)NC1CN(S(C)(=O)=O)C1 ZINC001146520977 1074094993 /nfs/dbraw/zinc/09/49/93/1074094993.db2.gz OTGIVLUDURFZTI-CYBMUJFWSA-N 0 0 430.527 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@H]2C(=O)NC1CN(S(C)(=O)=O)C1 ZINC001146520978 1074095033 /nfs/dbraw/zinc/09/50/33/1074095033.db2.gz OTGIVLUDURFZTI-ZDUSSCGKSA-N 0 0 430.527 -0.490 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@H]1CNC(=O)C12CCN(C(=O)OC(C)(C)C)CC2 ZINC001146524763 1074095002 /nfs/dbraw/zinc/09/50/02/1074095002.db2.gz LVFQHICOWPGTHW-GFCCVEGCSA-N 0 0 426.470 -0.845 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@@H]1CNC(=O)C12CCN(C(=O)OC(C)(C)C)CC2 ZINC001146524764 1074094942 /nfs/dbraw/zinc/09/49/42/1074094942.db2.gz LVFQHICOWPGTHW-LBPRGKRZSA-N 0 0 426.470 -0.845 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@H]1CNC(=O)C12CCN(C(=O)OC(C)(C)C)CC2)C(N)=O ZINC001146525047 1074094981 /nfs/dbraw/zinc/09/49/81/1074094981.db2.gz OLEVYXBJAJCFOJ-OLZOCXBDSA-N 0 0 440.497 -0.327 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@@H]1CNC(=O)C12CCN(C(=O)OC(C)(C)C)CC2)C(N)=O ZINC001146525048 1074095010 /nfs/dbraw/zinc/09/50/10/1074095010.db2.gz OLEVYXBJAJCFOJ-STQMWFEESA-N 0 0 440.497 -0.327 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@H]2C(=O)NCCN1CCCS1(=O)=O ZINC001146526482 1074095407 /nfs/dbraw/zinc/09/54/07/1074095407.db2.gz WBEOMYPBIDXWKN-AWEZNQCLSA-N 0 0 444.554 -0.099 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@@H]2C(=O)NCCN1CCCS1(=O)=O ZINC001146526486 1074095382 /nfs/dbraw/zinc/09/53/82/1074095382.db2.gz WBEOMYPBIDXWKN-CQSZACIVSA-N 0 0 444.554 -0.099 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@H]2C(=O)N1CCN2C(=O)COC[C@H]2C1 ZINC001146530955 1074095397 /nfs/dbraw/zinc/09/53/97/1074095397.db2.gz XUEMIWOEYGWHKN-CABCVRRESA-N 0 0 436.509 -0.181 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@H]2C(=O)N1CCN2C(=O)COC[C@@H]2C1 ZINC001146530956 1074095320 /nfs/dbraw/zinc/09/53/20/1074095320.db2.gz XUEMIWOEYGWHKN-GJZGRUSLSA-N 0 0 436.509 -0.181 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@@H]2C(=O)N1CCN2C(=O)COC[C@H]2C1 ZINC001146530957 1074095290 /nfs/dbraw/zinc/09/52/90/1074095290.db2.gz XUEMIWOEYGWHKN-HUUCEWRRSA-N 0 0 436.509 -0.181 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)C(=O)NC[C@@H]2C(=O)N1CCN2C(=O)COC[C@@H]2C1 ZINC001146530958 1074095274 /nfs/dbraw/zinc/09/52/74/1074095274.db2.gz XUEMIWOEYGWHKN-LSDHHAIUSA-N 0 0 436.509 -0.181 20 0 IBADRN O=C(CN1C[C@@H](c2ccc(Br)cc2)OCC1=O)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O ZINC001146561520 1074095424 /nfs/dbraw/zinc/09/54/24/1074095424.db2.gz HDZOCTKJIXLOIQ-DRDFSMPYSA-N 0 0 443.294 -0.432 20 0 IBADRN O=C(CN1C[C@H](c2ccc(Br)cc2)OCC1=O)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O ZINC001146561521 1074095389 /nfs/dbraw/zinc/09/53/89/1074095389.db2.gz HDZOCTKJIXLOIQ-LBTDBDNISA-N 0 0 443.294 -0.432 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CN1C[C@H](c2ccc(Br)cc2)OCC1=O ZINC001146562662 1074095305 /nfs/dbraw/zinc/09/53/05/1074095305.db2.gz LWRPNSNKAHPHET-CYBMUJFWSA-N 0 0 442.266 -0.246 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CN1C[C@@H](c2ccc(Br)cc2)OCC1=O ZINC001146562663 1074095430 /nfs/dbraw/zinc/09/54/30/1074095430.db2.gz LWRPNSNKAHPHET-ZDUSSCGKSA-N 0 0 442.266 -0.246 20 0 IBADRN O=C(CN1C[C@@H](c2ccc(Br)cc2)OCC1=O)NC[C@H]1CNC(=O)CO1 ZINC001146563998 1074095362 /nfs/dbraw/zinc/09/53/62/1074095362.db2.gz RWQHXVCMCASHTF-KBPBESRZSA-N 0 0 426.267 -0.020 20 0 IBADRN O=C(CN1C[C@@H](c2ccc(Br)cc2)OCC1=O)NC[C@@H]1CNC(=O)CO1 ZINC001146563999 1074095369 /nfs/dbraw/zinc/09/53/69/1074095369.db2.gz RWQHXVCMCASHTF-KGLIPLIRSA-N 0 0 426.267 -0.020 20 0 IBADRN O=C(CN1C[C@H](c2ccc(Br)cc2)OCC1=O)NC[C@H]1CNC(=O)CO1 ZINC001146564000 1074095419 /nfs/dbraw/zinc/09/54/19/1074095419.db2.gz RWQHXVCMCASHTF-UONOGXRCSA-N 0 0 426.267 -0.020 20 0 IBADRN O=C(CN1C[C@H](c2ccc(Br)cc2)OCC1=O)NC[C@@H]1CNC(=O)CO1 ZINC001146564001 1074095415 /nfs/dbraw/zinc/09/54/15/1074095415.db2.gz RWQHXVCMCASHTF-ZIAGYGMSSA-N 0 0 426.267 -0.020 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(CC(=O)NN2C(=O)CN2CCN(S(C)(=O)=O)CC2)C1 ZINC001146570167 1074095330 /nfs/dbraw/zinc/09/53/30/1074095330.db2.gz LEUOGPDUJQLMBO-GOSISDBHSA-N 0 0 445.542 -0.793 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(CC(=O)NN2C(=O)CN2CCN(S(C)(=O)=O)CC2)C1 ZINC001146570168 1074095435 /nfs/dbraw/zinc/09/54/35/1074095435.db2.gz LEUOGPDUJQLMBO-SFHVURJKSA-N 0 0 445.542 -0.793 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2NC(=O)C[C@@]23CCN(C(=O)OC(C)(C)C)C3)CC1 ZINC001146570321 1074095342 /nfs/dbraw/zinc/09/53/42/1074095342.db2.gz MOPSGNDQTPEMSD-GOSISDBHSA-N 0 0 445.542 -0.194 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2NC(=O)C[C@]23CCN(C(=O)OC(C)(C)C)C3)CC1 ZINC001146570322 1074095377 /nfs/dbraw/zinc/09/53/77/1074095377.db2.gz MOPSGNDQTPEMSD-SFHVURJKSA-N 0 0 445.542 -0.194 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CC(=O)OC1CCCCC1)C(=O)N1CN[C@H](N)[C@@H]2NCN[C@H]21 ZINC001146576402 1074095680 /nfs/dbraw/zinc/09/56/80/1074095680.db2.gz JFTNFCLKWGNTGR-HJWJTTGWSA-N 0 0 440.545 -0.335 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CC(=O)OC1CCCCC1)C(=O)N1CN[C@H](N)[C@H]2NCN[C@H]21 ZINC001146576403 1074095864 /nfs/dbraw/zinc/09/58/64/1074095864.db2.gz JFTNFCLKWGNTGR-ORQFMDKSSA-N 0 0 440.545 -0.335 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CC(=O)OC1CCCCC1)C(=O)N1CN[C@H](N)[C@H]2NCN[C@@H]21 ZINC001146576404 1074095654 /nfs/dbraw/zinc/09/56/54/1074095654.db2.gz JFTNFCLKWGNTGR-PQEBFOHHSA-N 0 0 440.545 -0.335 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CC(=O)OC1CCCCC1)C(=O)N1CN[C@H](N)[C@@H]2NCN[C@@H]21 ZINC001146576405 1074095805 /nfs/dbraw/zinc/09/58/05/1074095805.db2.gz JFTNFCLKWGNTGR-QSPRXWTASA-N 0 0 440.545 -0.335 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)N1CN[C@H](N)[C@H]2NCN[C@H]21)C(=O)OCc1ccccc1 ZINC001146595283 1074095820 /nfs/dbraw/zinc/09/58/20/1074095820.db2.gz NOXHRZSKQRYFIH-BGTYHANMSA-N 0 0 448.524 -0.468 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)N1CN[C@H](N)[C@@H]2NCN[C@@H]21)C(=O)OCc1ccccc1 ZINC001146595287 1074095776 /nfs/dbraw/zinc/09/57/76/1074095776.db2.gz NOXHRZSKQRYFIH-LAVFITLUSA-N 0 0 448.524 -0.468 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)N1CN[C@H](N)[C@H]2NCN[C@@H]21)C(=O)OCc1ccccc1 ZINC001146595289 1074095606 /nfs/dbraw/zinc/09/56/06/1074095606.db2.gz NOXHRZSKQRYFIH-NRSFXHEJSA-N 0 0 448.524 -0.468 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)N1CN[C@H](N)[C@@H]2NCN[C@H]21)C(=O)OCc1ccccc1 ZINC001146595292 1074095876 /nfs/dbraw/zinc/09/58/76/1074095876.db2.gz NOXHRZSKQRYFIH-UBDQQSCGSA-N 0 0 448.524 -0.468 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CC(=O)NC(CO)(CO)CO)C(=O)OCc1ccccc1 ZINC001146595378 1074095701 /nfs/dbraw/zinc/09/57/01/1074095701.db2.gz QHBHMEVDXZCVBT-OAHLLOKOSA-N 0 0 426.466 -0.155 20 0 IBADRN Cn1cc(I)c2c1ncnc2NC(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1 ZINC001146616720 1074096171 /nfs/dbraw/zinc/09/61/71/1074096171.db2.gz JYIQDULNGQSTCR-FXPVBKGRSA-N 0 0 430.202 -0.076 20 0 IBADRN Cn1nc(NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)c2cc(I)cnc21 ZINC001146616930 1074096103 /nfs/dbraw/zinc/09/61/03/1074096103.db2.gz PDNKPNKFCCOGNI-GMTAPVOTSA-N 0 0 430.202 -0.076 20 0 IBADRN Cc1cccc(CN2CCO[C@H]3CN(C(=O)C4=C[C@@H](O)[C@@H](O)[C@H](O)C4)CC[C@H]3C2=O)n1 ZINC001146617552 1074096085 /nfs/dbraw/zinc/09/60/85/1074096085.db2.gz AAWYDZOQLOZHSX-LTFPLMDUSA-N 0 0 431.489 -0.621 20 0 IBADRN CCOC(=O)[C@@H]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2N1C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1 ZINC001146617713 1074096215 /nfs/dbraw/zinc/09/62/15/1074096215.db2.gz CJTCJYFHZAVZNU-ZDGDKENJSA-N 0 0 440.493 -0.201 20 0 IBADRN O=C(NCCc1ccccn1)[C@H]1C[C@@H]2CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C[C@@H]2O1 ZINC001146617975 1074096225 /nfs/dbraw/zinc/09/62/25/1074096225.db2.gz FMASAKJJJIUQGL-QNYNDTLLSA-N 0 0 431.489 -0.841 20 0 IBADRN Cc1cccc(CN2C(=O)COC3(CCN(C(=O)C4=C[C@@H](O)[C@@H](O)[C@H](O)C4)CC3)[C@@H]2C)n1 ZINC001146618083 1074096245 /nfs/dbraw/zinc/09/62/45/1074096245.db2.gz FVHYEWSSYJGHQK-BQBKMSKFSA-N 0 0 445.516 -0.089 20 0 IBADRN Cc1cccc(CN2C(=O)COC3(CCN(C(=O)C4=C[C@@H](O)[C@@H](O)[C@H](O)C4)CC3)[C@H]2C)n1 ZINC001146618084 1074096256 /nfs/dbraw/zinc/09/62/56/1074096256.db2.gz FVHYEWSSYJGHQK-OBZYLPMUSA-N 0 0 445.516 -0.089 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CC2 ZINC001146618107 1074096284 /nfs/dbraw/zinc/09/62/84/1074096284.db2.gz GSPZBPJQZIWWJD-DZOHQFGASA-N 0 0 444.550 -0.779 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CC2 ZINC001146618108 1074096116 /nfs/dbraw/zinc/09/61/16/1074096116.db2.gz GSPZBPJQZIWWJD-VDHUWJSZSA-N 0 0 444.550 -0.779 20 0 IBADRN O=C(NCCc1ccccn1)[C@@H]1CO[C@@H]2CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C[C@@H]2C1 ZINC001146618194 1074096204 /nfs/dbraw/zinc/09/62/04/1074096204.db2.gz HXUQVWFUPBTIEA-LBXVCQGDSA-N 0 0 445.516 -0.593 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)CN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)Cc1ncnn12 ZINC001146618203 1074096189 /nfs/dbraw/zinc/09/61/89/1074096189.db2.gz HYLLXSXXFLUEDK-BFYDXBDKSA-N 0 0 449.508 -0.241 20 0 IBADRN C[S@@](=O)c1nc(Cl)c(Br)c(NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)n1 ZINC001146618237 1074096197 /nfs/dbraw/zinc/09/61/97/1074096197.db2.gz HZFAPQGNIWGEIT-MSXFDDOQSA-N 0 0 426.676 -0.019 20 0 IBADRN C[S@](=O)c1nc(Cl)c(Br)c(NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)n1 ZINC001146618249 1074096140 /nfs/dbraw/zinc/09/61/40/1074096140.db2.gz HZFAPQGNIWGEIT-VMNLNTHYSA-N 0 0 426.676 -0.019 20 0 IBADRN O=C(NC[C@@H]1CCC[C@]2(CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C2)O1)c1cscn1 ZINC001146618512 1074096264 /nfs/dbraw/zinc/09/62/64/1074096264.db2.gz LELUCUNZVTXYBJ-SOETTZPCSA-N 0 0 437.518 -0.174 20 0 IBADRN O=C(NCc1ccc(F)cc1)C1=NO[C@@]2(CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C2)C1 ZINC001146618547 1074096234 /nfs/dbraw/zinc/09/62/34/1074096234.db2.gz MRKMCQWOTKTING-IKVWTGGYSA-N 0 0 433.436 -0.398 20 0 IBADRN O=C(NCc1ccc(F)cc1)C1=NO[C@]2(CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C2)C1 ZINC001146618548 1074096128 /nfs/dbraw/zinc/09/61/28/1074096128.db2.gz MRKMCQWOTKTING-NEYJZJCJSA-N 0 0 433.436 -0.398 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CCC2 ZINC001146618885 1074096182 /nfs/dbraw/zinc/09/61/82/1074096182.db2.gz ONNMIWNKUUCSGE-JXXFODFXSA-N 0 0 434.493 -0.949 20 0 IBADRN Cc1ncccc1NC(=O)[C@@H]1CCO[C@@H]2CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C[C@@H]21 ZINC001146618905 1074096160 /nfs/dbraw/zinc/09/61/60/1074096160.db2.gz OWCIFDSFMGNKLN-ABWGISGVSA-N 0 0 431.489 -0.005 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C3)nn1 ZINC001146618907 1074096502 /nfs/dbraw/zinc/09/65/02/1074096502.db2.gz OXBASQUUZCYAKM-CUEXMPOTSA-N 0 0 434.493 -0.756 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C3)nn1 ZINC001146618908 1074096564 /nfs/dbraw/zinc/09/65/64/1074096564.db2.gz OXBASQUUZCYAKM-MALKTNSBSA-N 0 0 434.493 -0.756 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C3)nn1 ZINC001146618909 1074096480 /nfs/dbraw/zinc/09/64/80/1074096480.db2.gz OXBASQUUZCYAKM-SRCIIISFSA-N 0 0 434.493 -0.756 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C3)nn1 ZINC001146618910 1074096519 /nfs/dbraw/zinc/09/65/19/1074096519.db2.gz OXBASQUUZCYAKM-XMGIAMPRSA-N 0 0 434.493 -0.756 20 0 IBADRN COC(=O)c1cc2c(nc1-c1cnn(C)c1)CCN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CC2 ZINC001146619292 1074096578 /nfs/dbraw/zinc/09/65/78/1074096578.db2.gz QNQYEJVIFXJPJC-QWFCFKBJSA-N 0 0 442.472 -0.391 20 0 IBADRN COC(=O)c1cc2c(nc1N1CCCC1)CCN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CC2 ZINC001146619961 1074096488 /nfs/dbraw/zinc/09/64/88/1074096488.db2.gz XFDJYDAVZPKYBJ-GUDVDZBRSA-N 0 0 431.489 -0.192 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CC[C@H]2[C@H](C[C@@H](Cn3cncn3)N2c2nccs2)C1 ZINC001146620220 1074096510 /nfs/dbraw/zinc/09/65/10/1074096510.db2.gz YIAXADMCRLMQDK-GQLSEVEHSA-N 0 0 446.533 -0.357 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(c3ccccc3)CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C[C@@H]12 ZINC001146620763 1074096532 /nfs/dbraw/zinc/09/65/32/1074096532.db2.gz AWMDHAQOEOJWCF-DRJBRKBZSA-N 0 0 436.530 -0.397 20 0 IBADRN CS(=O)(=O)N1CC[C@]2(c3ccccc3)CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C[C@@H]12 ZINC001146620767 1074096587 /nfs/dbraw/zinc/09/65/87/1074096587.db2.gz AWMDHAQOEOJWCF-FKAKKMJLSA-N 0 0 436.530 -0.397 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(c3ccccc3)CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C[C@H]12 ZINC001146620768 1074096467 /nfs/dbraw/zinc/09/64/67/1074096467.db2.gz AWMDHAQOEOJWCF-GQUPQBGVSA-N 0 0 436.530 -0.397 20 0 IBADRN CS(=O)(=O)N1CC[C@]2(c3ccccc3)CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C[C@H]12 ZINC001146620769 1074096573 /nfs/dbraw/zinc/09/65/73/1074096573.db2.gz AWMDHAQOEOJWCF-YRIDSSQKSA-N 0 0 436.530 -0.397 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)C4=C[C@@H](O)[C@@H](O)[C@H](O)C4)C3)C2=O)cc1 ZINC001146620817 1074096496 /nfs/dbraw/zinc/09/64/96/1074096496.db2.gz CNPFBYKDRZFCPC-BZLDKRAPSA-N 0 0 431.445 -0.869 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)C4=C[C@@H](O)[C@@H](O)[C@H](O)C4)C3)C2=O)cc1 ZINC001146620818 1074096539 /nfs/dbraw/zinc/09/65/39/1074096539.db2.gz CNPFBYKDRZFCPC-LHUKNYEISA-N 0 0 431.445 -0.869 20 0 IBADRN CN([C@H]1CCCN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001146620864 1074096526 /nfs/dbraw/zinc/09/65/26/1074096526.db2.gz FIGYKRLACPNPJB-DZOHQFGASA-N 0 0 428.482 -0.150 20 0 IBADRN CN([C@@H]1CCCN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001146620865 1074096553 /nfs/dbraw/zinc/09/65/53/1074096553.db2.gz FIGYKRLACPNPJB-VDHUWJSZSA-N 0 0 428.482 -0.150 20 0 IBADRN O=C(NCCc1ccncc1)[C@@H]1CCO[C@@H]2CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)C[C@H]21 ZINC001146621138 1074096581 /nfs/dbraw/zinc/09/65/81/1074096581.db2.gz JTMQHIOAYFPQFQ-KXXIFBCNSA-N 0 0 445.516 -0.593 20 0 IBADRN COc1cc2ncnc(N3CCN(C(=O)C4=C[C@@H](O)[C@@H](O)[C@H](O)C4)CC3)c2cc1OC ZINC001146621208 1074096545 /nfs/dbraw/zinc/09/65/45/1074096545.db2.gz KPCOHSTXAQLDPY-GPMSIDNRSA-N 0 0 430.461 -0.292 20 0 IBADRN O=C(NCc1ccncc1)C1=NO[C@]2(C1)CCCN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)C2 ZINC001146621487 1074096883 /nfs/dbraw/zinc/09/68/83/1074096883.db2.gz OQFVERKHLCVMME-IKVWTGGYSA-N 0 0 430.461 -0.752 20 0 IBADRN O=C(NCc1ccncc1)C1=NO[C@@]2(C1)CCCN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)C2 ZINC001146621494 1074096968 /nfs/dbraw/zinc/09/69/68/1074096968.db2.gz OQFVERKHLCVMME-NEYJZJCJSA-N 0 0 430.461 -0.752 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCC(C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC001146621581 1074096978 /nfs/dbraw/zinc/09/69/78/1074096978.db2.gz PRDAVKADBKRNFN-NJDAHSKKSA-N 0 0 429.517 -0.013 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCCN(S(=O)(=O)c2cccc3cnccc32)CC1 ZINC001146622217 1074096873 /nfs/dbraw/zinc/09/68/73/1074096873.db2.gz ZQMRUTHMFJSQRH-QWFCFKBJSA-N 0 0 447.513 -0.129 20 0 IBADRN Nc1ccc(S(=O)(=O)N(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)c2cncc(Cl)n2)cc1 ZINC001146625842 1074096857 /nfs/dbraw/zinc/09/68/57/1074096857.db2.gz AOXVJBCNRUEKQH-XJKCOSOUSA-N 0 0 440.865 -0.153 20 0 IBADRN Nc1ccc(S(=O)(=O)N=c2cncc(Cl)n2C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)cc1 ZINC001146625893 1074096913 /nfs/dbraw/zinc/09/69/13/1074096913.db2.gz CCFWMCYIYIFXLG-XJKCOSOUSA-N 0 0 440.865 -0.539 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)CCO1 ZINC001146626166 1074096891 /nfs/dbraw/zinc/09/68/91/1074096891.db2.gz BNHOKNXFAIPTPS-QBPKDAKJSA-N 0 0 432.433 -0.781 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)CCO1 ZINC001146626168 1074096838 /nfs/dbraw/zinc/09/68/38/1074096838.db2.gz BNHOKNXFAIPTPS-WCXIOVBPSA-N 0 0 432.433 -0.781 20 0 IBADRN COc1ccc(N(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001146626177 1074096949 /nfs/dbraw/zinc/09/69/49/1074096949.db2.gz BSSGFHLSBBCZPW-CKEIUWERSA-N 0 0 436.446 -0.798 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@H](CNC(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)CO2)C1 ZINC001146626191 1074096923 /nfs/dbraw/zinc/09/69/23/1074096923.db2.gz HCKTXAQQYIMNFV-GIZYRINBSA-N 0 0 442.509 -0.442 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@@H](CNC(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)CO2)C1 ZINC001146626194 1074096958 /nfs/dbraw/zinc/09/69/58/1074096958.db2.gz HCKTXAQQYIMNFV-PGSJEGJSSA-N 0 0 442.509 -0.442 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@@H](CNC(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)CO2)C1 ZINC001146626196 1074096901 /nfs/dbraw/zinc/09/69/01/1074096901.db2.gz HCKTXAQQYIMNFV-QBDRDZLGSA-N 0 0 442.509 -0.442 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@H](CNC(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)CO2)C1 ZINC001146626198 1074096931 /nfs/dbraw/zinc/09/69/31/1074096931.db2.gz HCKTXAQQYIMNFV-RZHAUDGPSA-N 0 0 442.509 -0.442 20 0 IBADRN COC(=O)[C@]12CCN(C(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CC2 ZINC001146626985 1074096803 /nfs/dbraw/zinc/09/68/03/1074096803.db2.gz LFWYXMXELLFTAR-FPBPVBFYSA-N 0 0 440.493 -0.200 20 0 IBADRN COC(=O)[C@@]12CCN(C(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CC2 ZINC001146626986 1074096939 /nfs/dbraw/zinc/09/69/39/1074096939.db2.gz LFWYXMXELLFTAR-HQHJZRQFSA-N 0 0 440.493 -0.200 20 0 IBADRN COC(=O)[C@]12CCN(C(=O)OC(C)(C)C)[C@H]1CN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CC2 ZINC001146626988 1074096826 /nfs/dbraw/zinc/09/68/26/1074096826.db2.gz LFWYXMXELLFTAR-UTBLSDIXSA-N 0 0 440.493 -0.200 20 0 IBADRN COC(=O)[C@@]12CCN(C(=O)OC(C)(C)C)[C@H]1CN(C(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)CC2 ZINC001146626991 1074096987 /nfs/dbraw/zinc/09/69/87/1074096987.db2.gz LFWYXMXELLFTAR-WCYOCWRHSA-N 0 0 440.493 -0.200 20 0 IBADRN Cc1cccc(Cn2c(=O)ccc3c2CC[C@H](NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C3)n1 ZINC001146627229 1074096848 /nfs/dbraw/zinc/09/68/48/1074096848.db2.gz WTZJJHVPPRDQEB-AQZFEABFSA-N 0 0 425.485 -0.014 20 0 IBADRN Cc1cccc(Cn2c(=O)ccc3c2CC[C@@H](NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)C3)n1 ZINC001146627230 1074096815 /nfs/dbraw/zinc/09/68/15/1074096815.db2.gz WTZJJHVPPRDQEB-KREFBHGWSA-N 0 0 425.485 -0.014 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)cc2)C[C@H](C)O1 ZINC001146627436 1074097325 /nfs/dbraw/zinc/09/73/25/1074097325.db2.gz QSUPTGKSZMKOIU-WAVAMKIJSA-N 0 0 426.491 -0.164 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)cc2)C[C@H](C)O1 ZINC001146627437 1074097341 /nfs/dbraw/zinc/09/73/41/1074097341.db2.gz QSUPTGKSZMKOIU-XBSKHSKNSA-N 0 0 426.491 -0.164 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)cc2)C[C@@H](C)O1 ZINC001146627438 1074097408 /nfs/dbraw/zinc/09/74/08/1074097408.db2.gz QSUPTGKSZMKOIU-XGRVOVSASA-N 0 0 426.491 -0.164 20 0 IBADRN Nc1ccc(S(=O)(=O)N(C(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)c2ccc(Cl)nn2)cc1 ZINC001146627475 1074097378 /nfs/dbraw/zinc/09/73/78/1074097378.db2.gz TXCIMNZAQPVFMW-XJKCOSOUSA-N 0 0 440.865 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)CC2)cc1 ZINC001146627479 1074097300 /nfs/dbraw/zinc/09/73/00/1074097300.db2.gz UAJSWGRVVSCHFC-KZNAEPCWSA-N 0 0 426.491 -0.623 20 0 IBADRN CCOC(=O)C1=C(NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)CCN(C(=O)OC(C)(C)C)C1 ZINC001146628555 1074095738 /nfs/dbraw/zinc/09/57/38/1074095738.db2.gz IXWNQYKJQYWWIC-BZUAXINKSA-N 0 0 426.466 -0.027 20 0 IBADRN CCOc1cc([C@@H](CS(C)(=O)=O)NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)ccc1OC ZINC001146628580 1074095768 /nfs/dbraw/zinc/09/57/68/1074095768.db2.gz JQFVFBGLJPTDKF-ATNYBXOESA-N 0 0 429.491 -0.291 20 0 IBADRN Cn1nc(Cl)c(I)c(NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)c1=O ZINC001146628995 1074095719 /nfs/dbraw/zinc/09/57/19/1074095719.db2.gz RMSGWXDMWHJGEP-HCVRKRLWSA-N 0 0 441.609 -0.610 20 0 IBADRN CC(C)(C)OC(=O)CCOCCOCCOCCNC(=O)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1 ZINC001146633121 1074097294 /nfs/dbraw/zinc/09/72/94/1074097294.db2.gz WCRRQKDHBHJZJQ-JFIYKMOQSA-N 0 0 433.498 -0.703 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](Cc1cn(C=O)c2ccccc12)C(=O)NC(CO)(CO)CO ZINC001146646453 1074097239 /nfs/dbraw/zinc/09/72/39/1074097239.db2.gz JITBWGPUAZZWSY-MRXNPFEDSA-N 0 0 435.477 -0.053 20 0 IBADRN CC(=O)OCC1=C(C(=O)N2CC(=O)C2)N2C(=O)[C@@H](NC(=O)Cc3cccs3)[C@H]2SC1 ZINC001146686453 1074097661 /nfs/dbraw/zinc/09/76/61/1074097661.db2.gz FZAOHLKPTHCHAK-DNVCBOLYSA-N 0 0 449.510 -0.081 20 0 IBADRN CC(=O)OCC1=C(C(=O)NCCO)N2C(=O)[C@@H](NC(=O)Cc3cccs3)[C@H]2SC1 ZINC001146689169 1074097727 /nfs/dbraw/zinc/09/77/27/1074097727.db2.gz RTMPFWSWJALLHA-RDTXWAMCSA-N 0 0 439.515 -0.384 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001146690926 1074097816 /nfs/dbraw/zinc/09/78/16/1074097816.db2.gz WEPBIAYVAOVBHC-LXIYXOSZSA-N 0 0 428.511 -0.096 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001146690927 1074097697 /nfs/dbraw/zinc/09/76/97/1074097697.db2.gz WEPBIAYVAOVBHC-VBHSOAQHSA-N 0 0 428.511 -0.096 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NC[C@@]1(OCCO)CCOC1 ZINC001146731962 1074097714 /nfs/dbraw/zinc/09/77/14/1074097714.db2.gz HZUZAFHNFMDMKO-KRWDZBQOSA-N 0 0 434.536 -0.404 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NC[C@]1(OCCO)CCOC1 ZINC001146731963 1074097795 /nfs/dbraw/zinc/09/77/95/1074097795.db2.gz HZUZAFHNFMDMKO-QGZVFWFLSA-N 0 0 434.536 -0.404 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001146749330 1074098082 /nfs/dbraw/zinc/09/80/82/1074098082.db2.gz BBUSRMBYJLESPF-CWRNSKLLSA-N 0 0 432.520 -0.314 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001146749337 1074098186 /nfs/dbraw/zinc/09/81/86/1074098186.db2.gz BBUSRMBYJLESPF-FVQBIDKESA-N 0 0 432.520 -0.314 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001146749339 1074098070 /nfs/dbraw/zinc/09/80/70/1074098070.db2.gz BBUSRMBYJLESPF-NUEKZKHPSA-N 0 0 432.520 -0.314 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001146749341 1074098130 /nfs/dbraw/zinc/09/81/30/1074098130.db2.gz BBUSRMBYJLESPF-VNQPRFMTSA-N 0 0 432.520 -0.314 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001146749811 1074098208 /nfs/dbraw/zinc/09/82/08/1074098208.db2.gz GWOGIMHOBILSCF-NEPJUHHUSA-N 0 0 441.325 -0.344 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001146749812 1074098145 /nfs/dbraw/zinc/09/81/45/1074098145.db2.gz GWOGIMHOBILSCF-NWDGAFQWSA-N 0 0 441.325 -0.344 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001146749813 1074098215 /nfs/dbraw/zinc/09/82/15/1074098215.db2.gz GWOGIMHOBILSCF-RYUDHWBXSA-N 0 0 441.325 -0.344 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001146749814 1074098039 /nfs/dbraw/zinc/09/80/39/1074098039.db2.gz GWOGIMHOBILSCF-VXGBXAGGSA-N 0 0 441.325 -0.344 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001146750229 1074098154 /nfs/dbraw/zinc/09/81/54/1074098154.db2.gz ODQNIUWRRHKERI-NTSWFWBYSA-N 0 0 433.327 -0.300 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001146750230 1074098120 /nfs/dbraw/zinc/09/81/20/1074098120.db2.gz ODQNIUWRRHKERI-PHDIDXHHSA-N 0 0 433.327 -0.300 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001146750231 1074098223 /nfs/dbraw/zinc/09/82/23/1074098223.db2.gz ODQNIUWRRHKERI-RITPCOANSA-N 0 0 433.327 -0.300 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001146750232 1074098088 /nfs/dbraw/zinc/09/80/88/1074098088.db2.gz ODQNIUWRRHKERI-WDSKDSINSA-N 0 0 433.327 -0.300 20 0 IBADRN CN(C)CCN(CC(=O)N(C)C)C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C ZINC001146782316 1074097801 /nfs/dbraw/zinc/09/78/01/1074097801.db2.gz MAXYUUBUNYRXOF-AWEZNQCLSA-N 0 0 436.575 -0.207 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H](C)NC(=O)Cn1cnnn1)c1ccccc1Br ZINC001146793369 1074098576 /nfs/dbraw/zinc/09/85/76/1074098576.db2.gz DISCVCPUMQRPNX-NOZJJQNGSA-N 0 0 425.243 -0.029 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H](C)NC(=O)Cn1cnnn1)c1ccccc1Br ZINC001146793384 1074098658 /nfs/dbraw/zinc/09/86/58/1074098658.db2.gz DISCVCPUMQRPNX-RNCFNFMXSA-N 0 0 425.243 -0.029 20 0 IBADRN O=C(CC1Cc2ccccc2C1)Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001146794792 1074098629 /nfs/dbraw/zinc/09/86/29/1074098629.db2.gz WHWMAHVXWYGQGC-AEVYOOLXSA-N 0 0 441.444 -0.113 20 0 IBADRN O=C(CN1CCN(C(=O)CNS(=O)(=O)c2ccc(F)cc2)CC1)Nc1nncs1 ZINC001146813548 1074098503 /nfs/dbraw/zinc/09/85/03/1074098503.db2.gz OSAJUCZHFWAJHL-UHFFFAOYSA-N 0 0 442.498 -0.262 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1csnn1)C(N)=O ZINC001146825453 1074098602 /nfs/dbraw/zinc/09/86/02/1074098602.db2.gz FRPHKVMOYIVBHP-QWRGUYRKSA-N 0 0 430.556 -0.478 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001146839470 1074098655 /nfs/dbraw/zinc/09/86/55/1074098655.db2.gz DGZSVKVYIXHXIE-GFCCVEGCSA-N 0 0 440.565 -0.350 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001146839472 1074098558 /nfs/dbraw/zinc/09/85/58/1074098558.db2.gz DGZSVKVYIXHXIE-LBPRGKRZSA-N 0 0 440.565 -0.350 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(OC)OC)CC2)cc1OC ZINC001146846751 1074098589 /nfs/dbraw/zinc/09/85/89/1074098589.db2.gz SZOWQESIZLMXFP-UHFFFAOYSA-N 0 0 445.494 -0.728 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CC1 ZINC001146857140 1074098542 /nfs/dbraw/zinc/09/85/42/1074098542.db2.gz WIHJTABASKUAKX-UHFFFAOYSA-N 0 0 437.474 -0.103 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnc(C)[nH]1)C(N)=O ZINC001146877578 1074098937 /nfs/dbraw/zinc/09/89/37/1074098937.db2.gz JANJDSCIWUVSGD-STQMWFEESA-N 0 0 426.543 -0.298 20 0 IBADRN O=C(c1cc[nH]c(=O)c1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001146897750 1074098891 /nfs/dbraw/zinc/09/88/91/1074098891.db2.gz ZWQZIIPWFBAHOY-LSDHHAIUSA-N 0 0 431.474 -0.050 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cc[nH]c(=O)c1)C(N)=O ZINC001146916912 1074099336 /nfs/dbraw/zinc/09/93/36/1074099336.db2.gz XGTVNFPMMXRJPR-KBPBESRZSA-N 0 0 439.538 -0.229 20 0 IBADRN COc1cc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)on1 ZINC001146934930 1074099048 /nfs/dbraw/zinc/09/90/48/1074099048.db2.gz LLVMCXKVUNKYQS-GXTWGEPZSA-N 0 0 435.462 -0.154 20 0 IBADRN COc1cc(C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O)on1 ZINC001146946605 1074098953 /nfs/dbraw/zinc/09/89/53/1074098953.db2.gz KPPQWJUPMUVEHA-RYUDHWBXSA-N 0 0 443.526 -0.333 20 0 IBADRN Cc1ncc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)cn1 ZINC001146948785 1074099037 /nfs/dbraw/zinc/09/90/37/1074099037.db2.gz URPDLMIVHODNRJ-JKSUJKDBSA-N 0 0 430.490 -0.052 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F ZINC001146950755 1074098969 /nfs/dbraw/zinc/09/89/69/1074098969.db2.gz NUYAEAYCNGLWFJ-QJPTWQEYSA-N 0 0 436.474 -0.186 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@H]1CS(=O)(=O)C[C@H]1C(F)(F)F ZINC001146950756 1074099027 /nfs/dbraw/zinc/09/90/27/1074099027.db2.gz NUYAEAYCNGLWFJ-SDDRHHMPSA-N 0 0 436.474 -0.186 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F ZINC001146950757 1074099076 /nfs/dbraw/zinc/09/90/76/1074099076.db2.gz NUYAEAYCNGLWFJ-SRVKXCTJSA-N 0 0 436.474 -0.186 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)[C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F ZINC001146950758 1074099019 /nfs/dbraw/zinc/09/90/19/1074099019.db2.gz NUYAEAYCNGLWFJ-TUAOUCFPSA-N 0 0 436.474 -0.186 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnc(C)nc1)C(N)=O ZINC001146961667 1074099493 /nfs/dbraw/zinc/09/94/93/1074099493.db2.gz HUGQGEZBIIMPGP-GJZGRUSLSA-N 0 0 438.554 -0.231 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](Cn3ccnn3)C2)s1 ZINC001146978601 1074099372 /nfs/dbraw/zinc/09/93/72/1074099372.db2.gz VGMPLQNYZXYILE-CYBMUJFWSA-N 0 0 426.524 -0.197 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](Cn3ccnn3)C2)s1 ZINC001146978602 1074099460 /nfs/dbraw/zinc/09/94/60/1074099460.db2.gz VGMPLQNYZXYILE-ZDUSSCGKSA-N 0 0 426.524 -0.197 20 0 IBADRN O=C(c1ccc(=O)[nH]n1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001146979554 1074099317 /nfs/dbraw/zinc/09/93/17/1074099317.db2.gz TYBRYQOTROGKTN-GXTWGEPZSA-N 0 0 432.462 -0.655 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3ccc(=O)[nH]n3)CC2)c2nonc21 ZINC001146982564 1074099420 /nfs/dbraw/zinc/09/94/20/1074099420.db2.gz JFUMOPLIYMBUEC-UHFFFAOYSA-N 0 0 433.450 -0.069 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@H]2COC3(CN(C(=O)OC(C)(C)C)C3)C2)cn1 ZINC001146988723 1074099396 /nfs/dbraw/zinc/09/93/96/1074099396.db2.gz NJUCHGXVNHFMRO-GFCCVEGCSA-N 0 0 429.499 -0.407 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@@H]2COC3(CN(C(=O)OC(C)(C)C)C3)C2)cn1 ZINC001146988724 1074099327 /nfs/dbraw/zinc/09/93/27/1074099327.db2.gz NJUCHGXVNHFMRO-LBPRGKRZSA-N 0 0 429.499 -0.407 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(=O)[nH]n1)C(N)=O ZINC001146990570 1074099385 /nfs/dbraw/zinc/09/93/85/1074099385.db2.gz POXLMPMRDBJJON-AAEUAGOBSA-N 0 0 440.526 -0.834 20 0 IBADRN Cn1ncnc1C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001146997322 1074099431 /nfs/dbraw/zinc/09/94/31/1074099431.db2.gz QBVPTAAKSJUDPX-KKSFZXQISA-N 0 0 440.508 -0.177 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC(O)(Cc2ccccn2)CC1 ZINC001147002098 1074099469 /nfs/dbraw/zinc/09/94/69/1074099469.db2.gz ZJVMQJRPLCGLEC-UHFFFAOYSA-N 0 0 426.539 -0.188 20 0 IBADRN COc1nn(C)cc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001147018972 1074099347 /nfs/dbraw/zinc/09/93/47/1074099347.db2.gz KFXFGTZHQAAOKC-DZGCQCFKSA-N 0 0 448.505 -0.408 20 0 IBADRN COc1nn(C)cc1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001147021763 1074099363 /nfs/dbraw/zinc/09/93/63/1074099363.db2.gz XRCKLKJLGIOUAQ-IBGZPJMESA-N 0 0 439.538 -0.225 20 0 IBADRN COc1nn(C)cc1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001147021766 1074099483 /nfs/dbraw/zinc/09/94/83/1074099483.db2.gz XRCKLKJLGIOUAQ-LJQANCHMSA-N 0 0 439.538 -0.225 20 0 IBADRN COc1nn(C)cc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001147021827 1074099299 /nfs/dbraw/zinc/09/92/99/1074099299.db2.gz ZXBDEBGOLWLPCP-GZBFAFLISA-N 0 0 426.495 -0.837 20 0 IBADRN COc1nn(C)cc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001147021828 1074099282 /nfs/dbraw/zinc/09/92/82/1074099282.db2.gz ZXBDEBGOLWLPCP-UMVBOHGHSA-N 0 0 426.495 -0.837 20 0 IBADRN COc1nn(C)cc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001147025212 1074099814 /nfs/dbraw/zinc/09/98/14/1074099814.db2.gz ZYAKHNFEDOOBMZ-JSEZXORMSA-N 0 0 425.463 -0.427 20 0 IBADRN COc1nn(C)cc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001147025213 1074099737 /nfs/dbraw/zinc/09/97/37/1074099737.db2.gz ZYAKHNFEDOOBMZ-VAVSNJLASA-N 0 0 425.463 -0.427 20 0 IBADRN COc1nn(C)cc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001147025214 1074099785 /nfs/dbraw/zinc/09/97/85/1074099785.db2.gz ZYAKHNFEDOOBMZ-VTWRMFPLSA-N 0 0 425.463 -0.427 20 0 IBADRN COc1nn(C)cc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001147025215 1074099788 /nfs/dbraw/zinc/09/97/88/1074099788.db2.gz ZYAKHNFEDOOBMZ-ZVZLPEGASA-N 0 0 425.463 -0.427 20 0 IBADRN COc1cnc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)nc1 ZINC001147032264 1074099833 /nfs/dbraw/zinc/09/98/33/1074099833.db2.gz BKPUTUJREYRONP-IBGZPJMESA-N 0 0 437.522 -0.169 20 0 IBADRN COc1cnc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)nc1 ZINC001147032268 1074099762 /nfs/dbraw/zinc/09/97/62/1074099762.db2.gz BKPUTUJREYRONP-LJQANCHMSA-N 0 0 437.522 -0.169 20 0 IBADRN COc1cnc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nc1 ZINC001147032634 1074099802 /nfs/dbraw/zinc/09/98/02/1074099802.db2.gz HMIVDPKOMKBAHW-LSDHHAIUSA-N 0 0 446.489 -0.352 20 0 IBADRN CN(C)c1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)o1 ZINC001147047334 1074099743 /nfs/dbraw/zinc/09/97/43/1074099743.db2.gz CGKKZHZIRJAYRJ-GZBFAFLISA-N 0 0 426.495 -0.525 20 0 IBADRN CN(C)c1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)o1 ZINC001147047335 1074099718 /nfs/dbraw/zinc/09/97/18/1074099718.db2.gz CGKKZHZIRJAYRJ-UMVBOHGHSA-N 0 0 426.495 -0.525 20 0 IBADRN CN(C)c1ncc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)o1 ZINC001147047667 1074099779 /nfs/dbraw/zinc/09/97/79/1074099779.db2.gz ICAUHYCPTIJLQO-DZGCQCFKSA-N 0 0 448.505 -0.096 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)o1 ZINC001147054895 1074099775 /nfs/dbraw/zinc/09/97/75/1074099775.db2.gz IIKMSUZHDGOEKO-HNLJFRNMSA-N 0 0 425.463 -0.115 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)o1 ZINC001147054896 1074099797 /nfs/dbraw/zinc/09/97/97/1074099797.db2.gz IIKMSUZHDGOEKO-MDLJMBGESA-N 0 0 425.463 -0.115 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)o1 ZINC001147054897 1074099818 /nfs/dbraw/zinc/09/98/18/1074099818.db2.gz IIKMSUZHDGOEKO-NMNMXBMNSA-N 0 0 425.463 -0.115 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)o1 ZINC001147054898 1074099751 /nfs/dbraw/zinc/09/97/51/1074099751.db2.gz IIKMSUZHDGOEKO-YCCFLXJFSA-N 0 0 425.463 -0.115 20 0 IBADRN Cc1nc2c(c(=O)[nH]n2C)c(C)c1CNC(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC001147058737 1074099827 /nfs/dbraw/zinc/09/98/27/1074099827.db2.gz LPERCIUVSUGQHS-BZUAXINKSA-N 0 0 427.465 -0.169 20 0 IBADRN CCC[C@@H](C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1)S(N)(=O)=O ZINC001147103848 1074099954 /nfs/dbraw/zinc/09/99/54/1074099954.db2.gz OOBVLEAEGPMWQK-HNNXBMFYSA-N 0 0 431.536 -0.168 20 0 IBADRN CCC[C@H](C(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1)S(N)(=O)=O ZINC001147103855 1074100206 /nfs/dbraw/zinc/10/02/06/1074100206.db2.gz OOBVLEAEGPMWQK-OAHLLOKOSA-N 0 0 431.536 -0.168 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001147117746 1074099757 /nfs/dbraw/zinc/09/97/57/1074099757.db2.gz LKIYWBGZRTYUOJ-UHFFFAOYSA-N 0 0 430.284 -0.010 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001147118003 1074099726 /nfs/dbraw/zinc/09/97/26/1074099726.db2.gz RGSSNUPINKSDIN-UHFFFAOYSA-N 0 0 428.287 -0.277 20 0 IBADRN O=C(c1ccc(=O)[nH]c1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001147144768 1074100148 /nfs/dbraw/zinc/10/01/48/1074100148.db2.gz XWANNNHWDPQXDU-LSDHHAIUSA-N 0 0 431.474 -0.050 20 0 IBADRN CS(=O)(=O)CC1(CCNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001147148104 1074100027 /nfs/dbraw/zinc/10/00/27/1074100027.db2.gz YUKZJHOGGUMVNT-UHFFFAOYSA-N 0 0 443.547 -0.248 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(=O)[nH]c1)C(N)=O ZINC001147155331 1074100131 /nfs/dbraw/zinc/10/01/31/1074100131.db2.gz XDQGASSXRGCBOV-KBPBESRZSA-N 0 0 439.538 -0.229 20 0 IBADRN O=C(c1ccc[nH]c1=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001147176798 1074099997 /nfs/dbraw/zinc/09/99/97/1074099997.db2.gz ODALWPQGRCEJQS-LSDHHAIUSA-N 0 0 431.474 -0.050 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc[nH]c1=O)C(N)=O ZINC001147187427 1074100041 /nfs/dbraw/zinc/10/00/41/1074100041.db2.gz QEDIDSHBTLYVRS-KBPBESRZSA-N 0 0 439.538 -0.229 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1C[C@@H]2C(=O)N(Cc3ccccc3)C(=O)[C@@H]2C1 ZINC001147220134 1074100119 /nfs/dbraw/zinc/10/01/19/1074100119.db2.gz JSONSOHHCFBOQR-CALCHBBNSA-N 0 0 437.518 -0.026 20 0 IBADRN COC[C@]1(C(=O)OC)CCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001147220508 1074100072 /nfs/dbraw/zinc/10/00/72/1074100072.db2.gz PZXHYLXVAXAMRK-IBGZPJMESA-N 0 0 439.490 -0.399 20 0 IBADRN COC[C@@]1(C(=O)OC)CCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001147220511 1074100093 /nfs/dbraw/zinc/10/00/93/1074100093.db2.gz PZXHYLXVAXAMRK-LJQANCHMSA-N 0 0 439.490 -0.399 20 0 IBADRN O=C(CCC(=O)N1CCC(O)CC1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001147232671 1074100193 /nfs/dbraw/zinc/10/01/93/1074100193.db2.gz HGRRHIGUJSOSLR-UHFFFAOYSA-N 0 0 431.555 -0.161 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C3)[C@@H](O)C(=O)N2C)cn1 ZINC001147241953 1074100105 /nfs/dbraw/zinc/10/01/05/1074100105.db2.gz FJTYXVWISJQIPM-SYLRKERUSA-N 0 0 438.428 -0.656 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C1 ZINC001147242177 1074100731 /nfs/dbraw/zinc/10/07/31/1074100731.db2.gz JRIFRHFGVVWSIK-PSASIEDQSA-N 0 0 431.414 -0.711 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C2)c1 ZINC001147242560 1074100712 /nfs/dbraw/zinc/10/07/12/1074100712.db2.gz QTGIWXPDABKLIB-ZYHUDNBSSA-N 0 0 447.457 -0.013 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001147243872 1074100693 /nfs/dbraw/zinc/10/06/93/1074100693.db2.gz OPJJSUPEOXKAFJ-UHFFFAOYSA-N 0 0 432.568 -0.427 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnn(C)c1)C(N)=O ZINC001147292252 1074100678 /nfs/dbraw/zinc/10/06/78/1074100678.db2.gz PUZAAZAXTGFCAI-KBPBESRZSA-N 0 0 426.543 -0.596 20 0 IBADRN O=C(c1cccc(=O)[nH]1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001147294455 1074100719 /nfs/dbraw/zinc/10/07/19/1074100719.db2.gz RZGKCWTVLPIEIY-DZGCQCFKSA-N 0 0 431.474 -0.050 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cccc(=O)[nH]1)C(N)=O ZINC001147307748 1074101226 /nfs/dbraw/zinc/10/12/26/1074101226.db2.gz QHGOUSYLIUQJBR-JSGCOSHPSA-N 0 0 439.538 -0.229 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C[C@@H](C)O1 ZINC001147331162 1074100684 /nfs/dbraw/zinc/10/06/84/1074100684.db2.gz VHQMVTICKVBZSK-OYNZBZHQSA-N 0 0 430.454 -0.262 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccnn1C)C(N)=O ZINC001147338715 1074101085 /nfs/dbraw/zinc/10/10/85/1074101085.db2.gz OWSQDARFJXPBRR-STQMWFEESA-N 0 0 426.543 -0.596 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H](O)[C@@H]3CCCO3)c2)CC1 ZINC001147349105 1074101072 /nfs/dbraw/zinc/10/10/72/1074101072.db2.gz MBQPGNQIQWUBDU-MSOLQXFVSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H](O)[C@H]3CCCO3)c2)CC1 ZINC001147349106 1074101254 /nfs/dbraw/zinc/10/12/54/1074101254.db2.gz MBQPGNQIQWUBDU-QZTJIDSGSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H](O)[C@@H]3CCCO3)c2)CC1 ZINC001147349107 1074101220 /nfs/dbraw/zinc/10/12/20/1074101220.db2.gz MBQPGNQIQWUBDU-ROUUACIJSA-N 0 0 425.507 -0.191 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H](O)[C@H]3CCCO3)c2)CC1 ZINC001147349108 1074101198 /nfs/dbraw/zinc/10/11/98/1074101198.db2.gz MBQPGNQIQWUBDU-ZWKOTPCHSA-N 0 0 425.507 -0.191 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccn(C)n1)C(N)=O ZINC001147358846 1074101187 /nfs/dbraw/zinc/10/11/87/1074101187.db2.gz ZJUWKXOJTIWMER-JSGCOSHPSA-N 0 0 426.543 -0.596 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCN(S(C)(=O)=O)[C@H](C)C3)C2=O)cc1 ZINC001147364349 1074101066 /nfs/dbraw/zinc/10/10/66/1074101066.db2.gz MDSSQGPUHYLLNF-BFUOFWGJSA-N 0 0 438.506 -0.046 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCN(S(C)(=O)=O)[C@H](C)C3)C2=O)cc1 ZINC001147364352 1074100995 /nfs/dbraw/zinc/10/09/95/1074100995.db2.gz MDSSQGPUHYLLNF-YJYMSZOUSA-N 0 0 438.506 -0.046 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)cc1 ZINC001147365872 1074101207 /nfs/dbraw/zinc/10/12/07/1074101207.db2.gz XGELLMVWPBNEQM-GFCCVEGCSA-N 0 0 432.524 -0.584 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(C)(=O)=O)[C@H](C)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001147370466 1074100969 /nfs/dbraw/zinc/10/09/69/1074100969.db2.gz MWNPQBMQTRYOTD-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN C[C@H](C(=O)N1CCN(S(C)(=O)=O)[C@H](C)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001147370467 1074101621 /nfs/dbraw/zinc/10/16/21/1074101621.db2.gz MWNPQBMQTRYOTD-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)C[C@H]2C(N)=O)cc1 ZINC001147376382 1074101678 /nfs/dbraw/zinc/10/16/78/1074101678.db2.gz ATNXMBBHZYHUQM-ZBFHGGJFSA-N 0 0 429.495 -0.969 20 0 IBADRN NC(=O)[C@@H]1C[C@@H](O)CN1C(=O)CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC001147376863 1074101687 /nfs/dbraw/zinc/10/16/87/1074101687.db2.gz IBZWWPSNZYJVDA-SKDRFNHKSA-N 0 0 425.385 -0.299 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC(n2nncc2I)CC1 ZINC001147390008 1074101757 /nfs/dbraw/zinc/10/17/57/1074101757.db2.gz AWFPMTOITVSDJS-UHFFFAOYSA-N 0 0 442.283 -0.558 20 0 IBADRN CS(=O)(=O)NCCC(=O)N1CCC(n2nncc2I)CC1 ZINC001147390413 1074101737 /nfs/dbraw/zinc/10/17/37/1074101737.db2.gz KKVXDOVQDGGPFA-UHFFFAOYSA-N 0 0 427.268 -0.015 20 0 IBADRN NS(=O)(=O)C1(C(=O)N2CCC(n3nncc3I)CC2)CC1 ZINC001147390667 1074101628 /nfs/dbraw/zinc/10/16/28/1074101628.db2.gz QHYXFIHBFVQXJJ-UHFFFAOYSA-N 0 0 425.252 -0.133 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CN2CCC[C@H](C(=O)OC)C2=O)c1 ZINC001147402743 1074101646 /nfs/dbraw/zinc/10/16/46/1074101646.db2.gz AONNJRYGGDMKKY-INIZCTEOSA-N 0 0 441.506 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CN2CCC[C@@H](C(=O)OC)C2=O)c1 ZINC001147402749 1074101786 /nfs/dbraw/zinc/10/17/86/1074101786.db2.gz AONNJRYGGDMKKY-MRXNPFEDSA-N 0 0 441.506 -0.361 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC001147456341 1074102273 /nfs/dbraw/zinc/10/22/73/1074102273.db2.gz NVLZXAPTYDZUCK-JKOKRWQUSA-N 0 0 427.508 -0.569 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC001147456342 1074102201 /nfs/dbraw/zinc/10/22/01/1074102201.db2.gz NVLZXAPTYDZUCK-SUHUHFCYSA-N 0 0 427.508 -0.569 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC001147456343 1074102270 /nfs/dbraw/zinc/10/22/70/1074102270.db2.gz NVLZXAPTYDZUCK-VHRBIJSZSA-N 0 0 427.508 -0.569 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC001147456344 1074102267 /nfs/dbraw/zinc/10/22/67/1074102267.db2.gz NVLZXAPTYDZUCK-ZKYQVNSYSA-N 0 0 427.508 -0.569 20 0 IBADRN Cc1c(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)cnn1C ZINC001147460962 1074101775 /nfs/dbraw/zinc/10/17/75/1074101775.db2.gz YEZUKGQCWNALAA-JKSUJKDBSA-N 0 0 432.506 -0.108 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnn(C)c1C)C(N)=O ZINC001147477593 1074101664 /nfs/dbraw/zinc/10/16/64/1074101664.db2.gz REJOIXBQBYUJNR-GJZGRUSLSA-N 0 0 440.570 -0.288 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)c1csc(C)c1C)c2N ZINC001147513949 1074102250 /nfs/dbraw/zinc/10/22/50/1074102250.db2.gz ZWTCADNSFLAKTJ-CNEMSGBDSA-N 0 0 434.478 -0.073 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCC4(CC3)NC(=O)N(C)C4=O)ccc21 ZINC001147529538 1074102703 /nfs/dbraw/zinc/10/27/03/1074102703.db2.gz OGSSIIQGRBCEGW-UHFFFAOYSA-N 0 0 427.461 -0.132 20 0 IBADRN NC(=O)c1cc(I)cnc1NC(=O)c1cc2n(n1)CCNC2=O ZINC001147540603 1074102211 /nfs/dbraw/zinc/10/22/11/1074102211.db2.gz UDGCRZTYJLRQIM-UHFFFAOYSA-N 0 0 426.174 -0.023 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)c1cc3n(n1)CCNC3=O)C2 ZINC001147543218 1074102226 /nfs/dbraw/zinc/10/22/26/1074102226.db2.gz DCUAWMSPWNMHGR-HNNXBMFYSA-N 0 0 443.508 -0.552 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)c1cc3n(n1)CCNC3=O)C2 ZINC001147543219 1074102239 /nfs/dbraw/zinc/10/22/39/1074102239.db2.gz DCUAWMSPWNMHGR-OAHLLOKOSA-N 0 0 443.508 -0.552 20 0 IBADRN C[C@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)c1cc3n(n1)CCNC3=O)CC2 ZINC001147543233 1074102256 /nfs/dbraw/zinc/10/22/56/1074102256.db2.gz DJIVVNWQNULBIR-CYBMUJFWSA-N 0 0 427.465 -0.213 20 0 IBADRN C[C@@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)c1cc3n(n1)CCNC3=O)CC2 ZINC001147543234 1074102264 /nfs/dbraw/zinc/10/22/64/1074102264.db2.gz DJIVVNWQNULBIR-ZDUSSCGKSA-N 0 0 427.465 -0.213 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)c1cc3n(n1)CCNC3=O)C[C@]21CCNC1=O ZINC001147543401 1074102232 /nfs/dbraw/zinc/10/22/32/1074102232.db2.gz HGAICAGEORMPOF-NRFANRHFSA-N 0 0 444.492 -0.174 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)c1cc3n(n1)CCNC3=O)C[C@@]21CCNC1=O ZINC001147543402 1074102222 /nfs/dbraw/zinc/10/22/22/1074102222.db2.gz HGAICAGEORMPOF-OAQYLSRUSA-N 0 0 444.492 -0.174 20 0 IBADRN O=C(NC[C@@H]1CC[C@@H]2[C@H]1OCCN2C(=O)c1cc2n(n1)CCNC2=O)c1ccnnc1 ZINC001147543563 1074102244 /nfs/dbraw/zinc/10/22/44/1074102244.db2.gz JKEXLFZORUBSEJ-MJEQTWJJSA-N 0 0 425.449 -0.534 20 0 IBADRN O=C(NC[C@@H]1CC[C@H]2[C@H]1OCCN2C(=O)c1cc2n(n1)CCNC2=O)c1ccnnc1 ZINC001147543564 1074102247 /nfs/dbraw/zinc/10/22/47/1074102247.db2.gz JKEXLFZORUBSEJ-NUTKFTJISA-N 0 0 425.449 -0.534 20 0 IBADRN O=C(NC[C@@H]1CC[C@@H]2[C@@H]1OCCN2C(=O)c1cc2n(n1)CCNC2=O)c1ccnnc1 ZINC001147543565 1074102236 /nfs/dbraw/zinc/10/22/36/1074102236.db2.gz JKEXLFZORUBSEJ-XGWLTEMNSA-N 0 0 425.449 -0.534 20 0 IBADRN O=C(NC[C@@H]1CC[C@H]2[C@@H]1OCCN2C(=O)c1cc2n(n1)CCNC2=O)c1ccnnc1 ZINC001147543566 1074102207 /nfs/dbraw/zinc/10/22/07/1074102207.db2.gz JKEXLFZORUBSEJ-YLQAJVPDSA-N 0 0 425.449 -0.534 20 0 IBADRN O=C(c1cc2n(n1)CCNC2=O)N1CCOC[C@@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001147543592 1074102258 /nfs/dbraw/zinc/10/22/58/1074102258.db2.gz KDNKHOKTUMSANJ-IBGZPJMESA-N 0 0 431.474 -0.037 20 0 IBADRN O=C(c1cc2n(n1)CCNC2=O)N1CCOC[C@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001147543593 1074102729 /nfs/dbraw/zinc/10/27/29/1074102729.db2.gz KDNKHOKTUMSANJ-LJQANCHMSA-N 0 0 431.474 -0.037 20 0 IBADRN O=C(c1cc2n(n1)CCNC2=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2 ZINC001147543618 1074102661 /nfs/dbraw/zinc/10/26/61/1074102661.db2.gz LBSJGXQYFBICEJ-UHFFFAOYSA-N 0 0 425.449 -0.436 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)c1cc3n(n1)CCNC3=O)CCC2)N1CCCC1 ZINC001147543864 1074102736 /nfs/dbraw/zinc/10/27/36/1074102736.db2.gz MXTNEXQYJGNRCX-UHFFFAOYSA-N 0 0 442.480 -0.597 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)c1cc3n(n1)CCNC3=O)C2 ZINC001147544472 1074102745 /nfs/dbraw/zinc/10/27/45/1074102745.db2.gz UVBSICQJSXGUJM-NRFANRHFSA-N 0 0 437.460 -0.499 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)c1cc3n(n1)CCNC3=O)C2 ZINC001147544473 1074102758 /nfs/dbraw/zinc/10/27/58/1074102758.db2.gz UVBSICQJSXGUJM-OAQYLSRUSA-N 0 0 437.460 -0.499 20 0 IBADRN O=C(NC[C@@H]1Cn2ccnc2CN(C(=O)c2cc3n(n2)CCNC3=O)C1)c1ccnnc1 ZINC001147544485 1074102751 /nfs/dbraw/zinc/10/27/51/1074102751.db2.gz VCFCFUBSLSZMHP-CYBMUJFWSA-N 0 0 435.448 -0.685 20 0 IBADRN O=C(NC[C@H]1Cn2ccnc2CN(C(=O)c2cc3n(n2)CCNC3=O)C1)c1ccnnc1 ZINC001147544486 1074102649 /nfs/dbraw/zinc/10/26/49/1074102649.db2.gz VCFCFUBSLSZMHP-ZDUSSCGKSA-N 0 0 435.448 -0.685 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)c4cc5n(n4)CCNC5=O)C3)C2=O)cc1 ZINC001147544561 1074102798 /nfs/dbraw/zinc/10/27/98/1074102798.db2.gz BDMYOTNKBKIHGO-NRFANRHFSA-N 0 0 438.444 -0.028 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)c4cc5n(n4)CCNC5=O)C3)C2=O)cc1 ZINC001147544562 1074102778 /nfs/dbraw/zinc/10/27/78/1074102778.db2.gz BDMYOTNKBKIHGO-OAQYLSRUSA-N 0 0 438.444 -0.028 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)c1cc3n(n1)CCNC3=O)CC2 ZINC001147544726 1074102714 /nfs/dbraw/zinc/10/27/14/1074102714.db2.gz WQUYXCVPLKOTDT-UHFFFAOYSA-N 0 0 437.464 -0.815 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)c1cc3n(n1)CCNC3=O)CCC2 ZINC001147544757 1074102696 /nfs/dbraw/zinc/10/26/96/1074102696.db2.gz WXMQZEKVHKBWRE-UHFFFAOYSA-N 0 0 441.492 -0.108 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=O)c4cc5n(n4)CCNC5=O)CC[C@@H]32)cn1 ZINC001147544788 1074102720 /nfs/dbraw/zinc/10/27/20/1074102720.db2.gz YGBQHSUDALZFTJ-GRDNDAEWSA-N 0 0 427.465 -0.733 20 0 IBADRN COc1nccn(C(=O)c2cc3n(n2)CCNC3=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001147548026 1074102764 /nfs/dbraw/zinc/10/27/64/1074102764.db2.gz NIJVEXSHCYXDEQ-UHFFFAOYSA-N 0 0 443.445 -0.608 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1cc2n(n1)CCNC2=O)C(N)=O ZINC001147554164 1074102806 /nfs/dbraw/zinc/10/28/06/1074102806.db2.gz IGGXYYSZWLZOBA-PBHICJAKSA-N 0 0 442.476 -0.701 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCN1C(=O)CCCC1=O)c2=O ZINC001147559198 1074102637 /nfs/dbraw/zinc/10/26/37/1074102637.db2.gz NQUZQGNNASBQCY-UHFFFAOYSA-N 0 0 425.243 -0.452 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1[nH]nnc1C)C(N)=O ZINC001147577149 1074102787 /nfs/dbraw/zinc/10/27/87/1074102787.db2.gz CQALOOHBHPEVMY-RYUDHWBXSA-N 0 0 427.531 -0.903 20 0 IBADRN O=C(c1ccc(=O)oc1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001147586192 1074102605 /nfs/dbraw/zinc/10/26/05/1074102605.db2.gz KGVJUNZMEKZUHZ-LSDHHAIUSA-N 0 0 432.458 -0.197 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(=O)oc1)C(N)=O ZINC001147594642 1074103185 /nfs/dbraw/zinc/10/31/85/1074103185.db2.gz ZVYKLSZIGIGPNK-KBPBESRZSA-N 0 0 440.522 -0.376 20 0 IBADRN O=C(c1cc(=O)cco1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001147601302 1074103169 /nfs/dbraw/zinc/10/31/69/1074103169.db2.gz UDFJAUCVOMCDHK-GOEBONIOSA-N 0 0 432.458 -0.197 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cc(=O)cco1)C(N)=O ZINC001147612840 1074103658 /nfs/dbraw/zinc/10/36/58/1074103658.db2.gz ASAVJZANUKGDOU-KBPBESRZSA-N 0 0 440.522 -0.376 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3c[nH]c(=O)cn3)CC2)c2nonc21 ZINC001147622424 1074103204 /nfs/dbraw/zinc/10/32/04/1074103204.db2.gz OJPXBVGWZAXIQV-UHFFFAOYSA-N 0 0 433.450 -0.481 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCOC[C@@]1(CO)CNC(=O)OC(C)(C)C ZINC001147632376 1074103227 /nfs/dbraw/zinc/10/32/27/1074103227.db2.gz GTQKPNZTZMYOOI-FQEVSTJZSA-N 0 0 435.481 -0.012 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCOC[C@]1(CO)CNC(=O)OC(C)(C)C ZINC001147632378 1074103221 /nfs/dbraw/zinc/10/32/21/1074103221.db2.gz GTQKPNZTZMYOOI-HXUWFJFHSA-N 0 0 435.481 -0.012 20 0 IBADRN Cc1cc(=O)c(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)c[nH]1 ZINC001147637476 1074103138 /nfs/dbraw/zinc/10/31/38/1074103138.db2.gz YFYANVFSGYFFLF-DOTOQJQBSA-N 0 0 445.501 -0.153 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@]1(CO)COCCN1C(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1 ZINC001147642712 1074103157 /nfs/dbraw/zinc/10/31/57/1074103157.db2.gz WTPOIYKAFLUDLI-LLGFUMIMSA-N 0 0 443.541 -0.019 20 0 IBADRN CC(C)(C)OC(=O)NC[C@]1(CO)COCCN1C(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1 ZINC001147642714 1074103143 /nfs/dbraw/zinc/10/31/43/1074103143.db2.gz WTPOIYKAFLUDLI-WWMYMODYSA-N 0 0 443.541 -0.019 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCOC[C@]2(CO)CNC(=O)OC(C)(C)C)C1=O ZINC001147642878 1074103151 /nfs/dbraw/zinc/10/31/51/1074103151.db2.gz YSCDPGYTNFSKNH-JLTOFOAXSA-N 0 0 443.497 -0.487 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCOC[C@]2(CO)CNC(=O)OC(C)(C)C)C1=O ZINC001147642879 1074103181 /nfs/dbraw/zinc/10/31/81/1074103181.db2.gz YSCDPGYTNFSKNH-VBKZILBWSA-N 0 0 443.497 -0.487 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCOC[C@@]2(CO)CNC(=O)OC(C)(C)C)C1=O ZINC001147642880 1074103178 /nfs/dbraw/zinc/10/31/78/1074103178.db2.gz YSCDPGYTNFSKNH-VLIAUNLRSA-N 0 0 443.497 -0.487 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCOC[C@@]2(CO)CNC(=O)OC(C)(C)C)C1=O ZINC001147642881 1074103168 /nfs/dbraw/zinc/10/31/68/1074103168.db2.gz YSCDPGYTNFSKNH-XOBRGWDASA-N 0 0 443.497 -0.487 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@]1(CO)COCCN1C(=O)CCN1C(=O)CCNC1=S ZINC001147642892 1074103153 /nfs/dbraw/zinc/10/31/53/1074103153.db2.gz ZIGIJRKARQZRSU-GOSISDBHSA-N 0 0 430.527 -0.402 20 0 IBADRN CC(C)(C)OC(=O)NC[C@]1(CO)COCCN1C(=O)CCN1C(=O)CCNC1=S ZINC001147642919 1074103203 /nfs/dbraw/zinc/10/32/03/1074103203.db2.gz ZIGIJRKARQZRSU-SFHVURJKSA-N 0 0 430.527 -0.402 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(C(=O)[C@@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001147643861 1074103836 /nfs/dbraw/zinc/10/38/36/1074103836.db2.gz HXZOTCPZMQJUJX-MOPGFXCFSA-N 0 0 446.552 -0.507 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(C(=O)[C@@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001147643863 1074103865 /nfs/dbraw/zinc/10/38/65/1074103865.db2.gz HXZOTCPZMQJUJX-OALUTQOASA-N 0 0 446.552 -0.507 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(C(=O)[C@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001147643864 1074103716 /nfs/dbraw/zinc/10/37/16/1074103716.db2.gz HXZOTCPZMQJUJX-RBUKOAKNSA-N 0 0 446.552 -0.507 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(C(=O)[C@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001147643865 1074103796 /nfs/dbraw/zinc/10/37/96/1074103796.db2.gz HXZOTCPZMQJUJX-RTBURBONSA-N 0 0 446.552 -0.507 20 0 IBADRN CN(CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001147645762 1074103844 /nfs/dbraw/zinc/10/38/44/1074103844.db2.gz QUBHABFYPUBMSP-GOSISDBHSA-N 0 0 444.598 -0.818 20 0 IBADRN CN(CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001147645764 1074103618 /nfs/dbraw/zinc/10/36/18/1074103618.db2.gz QUBHABFYPUBMSP-SFHVURJKSA-N 0 0 444.598 -0.818 20 0 IBADRN CS(=O)(=O)Cc1nc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)co1 ZINC001147646229 1074103700 /nfs/dbraw/zinc/10/37/00/1074103700.db2.gz XTSVKSPAJWOUQV-UHFFFAOYSA-N 0 0 442.538 -0.090 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)NC2CC2)c(Cl)cc1F)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001147661663 1074103746 /nfs/dbraw/zinc/10/37/46/1074103746.db2.gz PVDMXRYNYITHOI-NSHDSACASA-N 0 0 439.874 -0.229 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001147668090 1074103690 /nfs/dbraw/zinc/10/36/90/1074103690.db2.gz GWSAFNCERHYWSD-CYBMUJFWSA-N 0 0 431.536 -0.404 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001147668091 1074103853 /nfs/dbraw/zinc/10/38/53/1074103853.db2.gz GWSAFNCERHYWSD-ZDUSSCGKSA-N 0 0 431.536 -0.404 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001147669718 1074103784 /nfs/dbraw/zinc/10/37/84/1074103784.db2.gz RSPZUMBIIXSJNQ-HNNXBMFYSA-N 0 0 426.470 -0.564 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001147669719 1074103810 /nfs/dbraw/zinc/10/38/10/1074103810.db2.gz RSPZUMBIIXSJNQ-OAHLLOKOSA-N 0 0 426.470 -0.564 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001147670260 1074103671 /nfs/dbraw/zinc/10/36/71/1074103671.db2.gz UNNANRFOHBLBKU-UHFFFAOYSA-N 0 0 439.538 -0.104 20 0 IBADRN Cc1nc(C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC001147670553 1074103638 /nfs/dbraw/zinc/10/36/38/1074103638.db2.gz XGARAZLYKBKTGW-UHFFFAOYSA-N 0 0 434.404 -0.037 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2ccc[nH]c2=S)[C@H]1O ZINC001147684645 1074103822 /nfs/dbraw/zinc/10/38/22/1074103822.db2.gz AGOKWFZLTUIZBQ-RDTWTUCRSA-N 0 0 431.478 -0.375 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cc(=O)[nH]c(=O)[nH]2)CC3)C1=O ZINC001147691732 1074103857 /nfs/dbraw/zinc/10/38/57/1074103857.db2.gz BITVJKQQQDINKB-GOSISDBHSA-N 0 0 439.494 -0.766 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cc(=O)[nH]c(=O)[nH]2)CC3)C1=O ZINC001147691733 1074103767 /nfs/dbraw/zinc/10/37/67/1074103767.db2.gz BITVJKQQQDINKB-SFHVURJKSA-N 0 0 439.494 -0.766 20 0 IBADRN O=C(c1cc(=O)[nH]c(=O)[nH]1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001147692212 1074104167 /nfs/dbraw/zinc/10/41/67/1074104167.db2.gz IRGWJAYWQQFHQU-IAISJRAMSA-N 0 0 433.446 -0.520 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1cc(=O)[nH]c(=O)[nH]1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001147700603 1074104381 /nfs/dbraw/zinc/10/43/81/1074104381.db2.gz BDPYZJOGGFDEIL-KGLIPLIRSA-N 0 0 425.419 -0.314 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)c2cc(=O)[nH]c(=O)[nH]2)CC1 ZINC001147701181 1074104264 /nfs/dbraw/zinc/10/42/64/1074104264.db2.gz RPFJABAHJGZGQR-UHFFFAOYSA-N 0 0 431.471 -0.500 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3cc(=O)[nH]c(=O)[nH]3)ccc12 ZINC001147702536 1074104366 /nfs/dbraw/zinc/10/43/66/1074104366.db2.gz PIMOYAXIEUMXGL-UHFFFAOYSA-N 0 0 427.373 -0.213 20 0 IBADRN CCc1cc(C(=O)n2c(=N)nc3c(ncn3[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3OC)c2N)cs1 ZINC001147708814 1074104290 /nfs/dbraw/zinc/10/42/90/1074104290.db2.gz YIMOCTJVDYCUEV-CNEMSGBDSA-N 0 0 434.478 -0.128 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)C[C@H]21 ZINC001147714381 1074104229 /nfs/dbraw/zinc/10/42/29/1074104229.db2.gz DFTPZZYAWCZIJF-BTYMGIQYSA-N 0 0 446.547 -0.707 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)C[C@H]21 ZINC001147714382 1074104257 /nfs/dbraw/zinc/10/42/57/1074104257.db2.gz DFTPZZYAWCZIJF-LMLQULGWSA-N 0 0 446.547 -0.707 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)C[C@H]21 ZINC001147714383 1074104148 /nfs/dbraw/zinc/10/41/48/1074104148.db2.gz DFTPZZYAWCZIJF-RKOFLFEYSA-N 0 0 446.547 -0.707 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)C[C@H]21 ZINC001147714384 1074104354 /nfs/dbraw/zinc/10/43/54/1074104354.db2.gz DFTPZZYAWCZIJF-SOKJMZBUSA-N 0 0 446.547 -0.707 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)c1 ZINC001147715361 1074104184 /nfs/dbraw/zinc/10/41/84/1074104184.db2.gz SMKXOCMOWOZIHR-BBWFWOEESA-N 0 0 446.547 -0.196 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)CCO1 ZINC001147720128 1074104285 /nfs/dbraw/zinc/10/42/85/1074104285.db2.gz IPHQYEFXNOIPDX-CVEARBPZSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)CCO1 ZINC001147720129 1074104345 /nfs/dbraw/zinc/10/43/45/1074104345.db2.gz IPHQYEFXNOIPDX-HOTGVXAUSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)CCO1 ZINC001147720130 1074104324 /nfs/dbraw/zinc/10/43/24/1074104324.db2.gz IPHQYEFXNOIPDX-HZPDHXFCSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)CCO1 ZINC001147720131 1074104349 /nfs/dbraw/zinc/10/43/49/1074104349.db2.gz IPHQYEFXNOIPDX-JKSUJKDBSA-N 0 0 440.522 -0.086 20 0 IBADRN O=C(c1cnc(Cl)nc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001147720530 1074104203 /nfs/dbraw/zinc/10/42/03/1074104203.db2.gz KVZCTEAOZREFFQ-BFHYXJOUSA-N 0 0 428.898 -0.136 20 0 IBADRN O=C(c1cnc(Cl)nc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001147720531 1074104795 /nfs/dbraw/zinc/10/47/95/1074104795.db2.gz KVZCTEAOZREFFQ-MGPQQGTHSA-N 0 0 428.898 -0.136 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CCO1 ZINC001147720814 1074104849 /nfs/dbraw/zinc/10/48/49/1074104849.db2.gz QASUYFNAOUPOQT-INIZCTEOSA-N 0 0 440.522 -0.398 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CCO1 ZINC001147720816 1074104787 /nfs/dbraw/zinc/10/47/87/1074104787.db2.gz QASUYFNAOUPOQT-MRXNPFEDSA-N 0 0 440.522 -0.398 20 0 IBADRN CC(C)CC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001147734171 1074105542 /nfs/dbraw/zinc/10/55/42/1074105542.db2.gz JRDAOLHNJHBDSM-INIZCTEOSA-N 0 0 447.540 -0.632 20 0 IBADRN CC(C)CC(=O)N[C@H](CC(N)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001147734172 1074105523 /nfs/dbraw/zinc/10/55/23/1074105523.db2.gz JRDAOLHNJHBDSM-MRXNPFEDSA-N 0 0 447.540 -0.632 20 0 IBADRN C[C@@]1(CCCC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)NC(=O)NC1=O ZINC001147734638 1074105353 /nfs/dbraw/zinc/10/53/53/1074105353.db2.gz PRNNDZMLKMGZTD-FQEVSTJZSA-N 0 0 431.497 -0.270 20 0 IBADRN C[C@]1(CCCC(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)NC(=O)NC1=O ZINC001147734639 1074105300 /nfs/dbraw/zinc/10/53/00/1074105300.db2.gz PRNNDZMLKMGZTD-HXUWFJFHSA-N 0 0 431.497 -0.270 20 0 IBADRN CC1=C(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)SC(C)N1 ZINC001147745690 1074105478 /nfs/dbraw/zinc/10/54/78/1074105478.db2.gz PPRMSEZAMLAWDU-RDTWTUCRSA-N 0 0 434.502 -0.295 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)C1 ZINC001147746678 1074105421 /nfs/dbraw/zinc/10/54/21/1074105421.db2.gz RCYNCTSYTACBBM-CYBMUJFWSA-N 0 0 443.503 -0.616 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)C1 ZINC001147746679 1074105377 /nfs/dbraw/zinc/10/53/77/1074105377.db2.gz RCYNCTSYTACBBM-ZDUSSCGKSA-N 0 0 443.503 -0.616 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)c2cc(I)ccc2-n2cnnn2)C1 ZINC001147746831 1074105564 /nfs/dbraw/zinc/10/55/64/1074105564.db2.gz UAHVFVUZKMRVFL-UHFFFAOYSA-N 0 0 448.246 -0.360 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)C1 ZINC001147747584 1074105593 /nfs/dbraw/zinc/10/55/93/1074105593.db2.gz ZCYILBHZBRMWFQ-UHFFFAOYSA-N 0 0 431.414 -0.377 20 0 IBADRN NC(=O)c1nccnc1C(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001147751758 1074105572 /nfs/dbraw/zinc/10/55/72/1074105572.db2.gz BQFSSIZPRFRUHK-UHFFFAOYSA-N 0 0 428.453 -0.648 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)c1nccnc1C(N)=O)C[C@]21CCNC1=O ZINC001147752724 1074106024 /nfs/dbraw/zinc/10/60/24/1074106024.db2.gz XXKSYTGCZPHYKX-FQEVSTJZSA-N 0 0 430.465 -0.225 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)c1nccnc1C(N)=O)C[C@@]21CCNC1=O ZINC001147752725 1074106019 /nfs/dbraw/zinc/10/60/19/1074106019.db2.gz XXKSYTGCZPHYKX-HXUWFJFHSA-N 0 0 430.465 -0.225 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)c1nccnc1C(N)=O)CCC2 ZINC001147752726 1074105974 /nfs/dbraw/zinc/10/59/74/1074105974.db2.gz XXLJNSDGXDNFQV-UHFFFAOYSA-N 0 0 427.465 -0.159 20 0 IBADRN NC(=O)c1nccnc1C(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001147753044 1074105981 /nfs/dbraw/zinc/10/59/81/1074105981.db2.gz CFIRIVOLKJQKJQ-UHFFFAOYSA-N 0 0 437.416 -0.299 20 0 IBADRN NC(=O)c1nccnc1C(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001147753552 1074105901 /nfs/dbraw/zinc/10/59/01/1074105901.db2.gz UUIVNXFSUPWFOF-TYILLQQXSA-N 0 0 444.473 -0.832 20 0 IBADRN COc1nccn(C(=O)c2nccnc2C(N)=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001147753666 1074105897 /nfs/dbraw/zinc/10/58/97/1074105897.db2.gz IOSDFHINOPWVDF-UHFFFAOYSA-N 0 0 429.418 -0.659 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)c1nccnc1C(N)=O)C2 ZINC001147753780 1074105859 /nfs/dbraw/zinc/10/58/59/1074105859.db2.gz ZNNBTMMIVWVPIY-AWEZNQCLSA-N 0 0 429.481 -0.603 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)c1nccnc1C(N)=O)C2 ZINC001147753781 1074105926 /nfs/dbraw/zinc/10/59/26/1074105926.db2.gz ZNNBTMMIVWVPIY-CQSZACIVSA-N 0 0 429.481 -0.603 20 0 IBADRN COc1ccc(N(C(=O)c2nccnc2C(N)=O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001147754245 1074104868 /nfs/dbraw/zinc/10/48/68/1074104868.db2.gz YKTSVMUJBZHDIE-UHFFFAOYSA-N 0 0 429.418 -0.008 20 0 IBADRN NC(=O)c1nccnc1C(=O)n1c(Cl)cncc1=NS(=O)(=O)c1ccc(N)cc1 ZINC001147757134 1074104888 /nfs/dbraw/zinc/10/48/88/1074104888.db2.gz FHCGENSQXXJAMK-UHFFFAOYSA-N 0 0 433.837 -0.014 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)c2nccnc2C(N)=O)CC1 ZINC001147757714 1074104841 /nfs/dbraw/zinc/10/48/41/1074104841.db2.gz JWTCONGJRPLQEU-UHFFFAOYSA-N 0 0 442.498 -0.812 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1nccnc1C(N)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001147760723 1074104815 /nfs/dbraw/zinc/10/48/15/1074104815.db2.gz WLTUYOQHZCCPRS-CABCVRRESA-N 0 0 436.446 -0.626 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC001147763134 1074104873 /nfs/dbraw/zinc/10/48/73/1074104873.db2.gz ADJWSDKGTXGDPH-HNNXBMFYSA-N 0 0 426.514 -0.592 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC001147763135 1074104859 /nfs/dbraw/zinc/10/48/59/1074104859.db2.gz ADJWSDKGTXGDPH-OAHLLOKOSA-N 0 0 426.514 -0.592 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001147764858 1074104870 /nfs/dbraw/zinc/10/48/70/1074104870.db2.gz QDJGJNFKKFHSPH-INIZCTEOSA-N 0 0 438.550 -0.092 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001147764863 1074104821 /nfs/dbraw/zinc/10/48/21/1074104821.db2.gz QDJGJNFKKFHSPH-MRXNPFEDSA-N 0 0 438.550 -0.092 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1nccnc1C(N)=O)C(N)=O ZINC001147765146 1074104885 /nfs/dbraw/zinc/10/48/85/1074104885.db2.gz JYDJYJBNTVSBQN-KGLIPLIRSA-N 0 0 428.449 -0.752 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001147765286 1074104880 /nfs/dbraw/zinc/10/48/80/1074104880.db2.gz SVVLWJXKWNBXLH-KRWDZBQOSA-N 0 0 439.534 -0.956 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001147765296 1074104876 /nfs/dbraw/zinc/10/48/76/1074104876.db2.gz SVVLWJXKWNBXLH-QGZVFWFLSA-N 0 0 439.534 -0.956 20 0 IBADRN Cc1c(F)cc(S(N)(=O)=O)cc1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001147765511 1074105491 /nfs/dbraw/zinc/10/54/91/1074105491.db2.gz VDMXAXGSASBARX-CYBMUJFWSA-N 0 0 428.486 -0.556 20 0 IBADRN Cc1c(F)cc(S(N)(=O)=O)cc1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001147765515 1074105426 /nfs/dbraw/zinc/10/54/26/1074105426.db2.gz VDMXAXGSASBARX-ZDUSSCGKSA-N 0 0 428.486 -0.556 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3nccnc3C(N)=O)ccc12 ZINC001147765563 1074105584 /nfs/dbraw/zinc/10/55/84/1074105584.db2.gz OQDBAWFISBQURD-UHFFFAOYSA-N 0 0 438.400 -0.525 20 0 IBADRN COc1ccc(F)c(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001147766586 1074105515 /nfs/dbraw/zinc/10/55/15/1074105515.db2.gz HCEZGZQYGSHZLR-KBPBESRZSA-N 0 0 437.472 -0.034 20 0 IBADRN COc1ccc(F)c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001147767264 1074105337 /nfs/dbraw/zinc/10/53/37/1074105337.db2.gz ROIZXIHEWQFGJI-GZBFAFLISA-N 0 0 441.481 -0.037 20 0 IBADRN COc1ccc(F)c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001147767265 1074105469 /nfs/dbraw/zinc/10/54/69/1074105469.db2.gz ROIZXIHEWQFGJI-UMVBOHGHSA-N 0 0 441.481 -0.037 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cccc3nsnc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147774833 1074105502 /nfs/dbraw/zinc/10/55/02/1074105502.db2.gz BVWBXGFJABIJFU-AWEZNQCLSA-N 0 0 437.547 -0.319 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cccc3nsnc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147774836 1074105403 /nfs/dbraw/zinc/10/54/03/1074105403.db2.gz BVWBXGFJABIJFU-CQSZACIVSA-N 0 0 437.547 -0.319 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2Cn2ccnn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147774838 1074105507 /nfs/dbraw/zinc/10/55/07/1074105507.db2.gz BWFGHFAFKLLTKN-KRWDZBQOSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2Cn2ccnn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147774839 1074105558 /nfs/dbraw/zinc/10/55/58/1074105558.db2.gz BWFGHFAFKLLTKN-QGZVFWFLSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-c3ccccc3O)n[nH]2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147774925 1074105945 /nfs/dbraw/zinc/10/59/45/1074105945.db2.gz CUFFMPKBQWTRGG-AWEZNQCLSA-N 0 0 447.517 -0.157 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-c3ccccc3O)n[nH]2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147774926 1074105961 /nfs/dbraw/zinc/10/59/61/1074105961.db2.gz CUFFMPKBQWTRGG-CQSZACIVSA-N 0 0 447.517 -0.157 20 0 IBADRN COC(=O)c1ccc(/C=C/C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001147775130 1074105874 /nfs/dbraw/zinc/10/58/74/1074105874.db2.gz FTLCZKRQDPEAJW-KQIUPUNMSA-N 0 0 439.490 -0.473 20 0 IBADRN COC(=O)c1ccc(/C=C/C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001147775131 1074105935 /nfs/dbraw/zinc/10/59/35/1074105935.db2.gz FTLCZKRQDPEAJW-LYKUJDHUSA-N 0 0 439.490 -0.473 20 0 IBADRN COC(=O)c1ccc(/C=C\C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001147775132 1074105894 /nfs/dbraw/zinc/10/58/94/1074105894.db2.gz FTLCZKRQDPEAJW-MFBWXBCUSA-N 0 0 439.490 -0.473 20 0 IBADRN COC(=O)c1ccc(/C=C\C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001147775133 1074105890 /nfs/dbraw/zinc/10/58/90/1074105890.db2.gz FTLCZKRQDPEAJW-PKXJPQMGSA-N 0 0 439.490 -0.473 20 0 IBADRN CCCOc1ccnc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001147775163 1074106013 /nfs/dbraw/zinc/10/60/13/1074106013.db2.gz GWGPQYFKMMIWMB-HNNXBMFYSA-N 0 0 439.538 -0.745 20 0 IBADRN CCCOc1ccnc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001147775164 1074106006 /nfs/dbraw/zinc/10/60/06/1074106006.db2.gz GWGPQYFKMMIWMB-OAHLLOKOSA-N 0 0 439.538 -0.745 20 0 IBADRN Cc1n[nH]c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC001147775165 1074105880 /nfs/dbraw/zinc/10/58/80/1074105880.db2.gz GXRQSWPJAGHBPE-LLVKDONJSA-N 0 0 437.444 -0.202 20 0 IBADRN Cc1n[nH]c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC001147775166 1074106534 /nfs/dbraw/zinc/10/65/34/1074106534.db2.gz GXRQSWPJAGHBPE-NSHDSACASA-N 0 0 437.444 -0.202 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cn1C1CC1 ZINC001147775188 1074106486 /nfs/dbraw/zinc/10/64/86/1074106486.db2.gz HEEQMWRVLMLUJE-HNNXBMFYSA-N 0 0 436.534 -0.447 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cn1C1CC1 ZINC001147775191 1074106515 /nfs/dbraw/zinc/10/65/15/1074106515.db2.gz HEEQMWRVLMLUJE-OAHLLOKOSA-N 0 0 436.534 -0.447 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3ccnn3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147775202 1074106507 /nfs/dbraw/zinc/10/65/07/1074106507.db2.gz HIKAKMSIAXRZRN-GOSISDBHSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3ccnn3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147775204 1074106548 /nfs/dbraw/zinc/10/65/48/1074106548.db2.gz HIKAKMSIAXRZRN-SFHVURJKSA-N 0 0 446.533 -0.613 20 0 IBADRN Cn1cc(-c2ncccc2C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001147775257 1074106542 /nfs/dbraw/zinc/10/65/42/1074106542.db2.gz HTWWTBKWDLGGFW-INIZCTEOSA-N 0 0 446.533 -0.457 20 0 IBADRN Cn1cc(-c2ncccc2C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001147775260 1074106504 /nfs/dbraw/zinc/10/65/04/1074106504.db2.gz HTWWTBKWDLGGFW-MRXNPFEDSA-N 0 0 446.533 -0.457 20 0 IBADRN CO[C@@](C)(C(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001147775323 1074106495 /nfs/dbraw/zinc/10/64/95/1074106495.db2.gz ICXXJQRWEFKJMP-AUUYWEPGSA-N 0 0 447.554 -0.819 20 0 IBADRN CO[C@@](C)(C(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001147775324 1074106522 /nfs/dbraw/zinc/10/65/22/1074106522.db2.gz ICXXJQRWEFKJMP-IFXJQAMLSA-N 0 0 447.554 -0.819 20 0 IBADRN CO[C@](C)(C(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001147775325 1074106512 /nfs/dbraw/zinc/10/65/12/1074106512.db2.gz ICXXJQRWEFKJMP-KUHUBIRLSA-N 0 0 447.554 -0.819 20 0 IBADRN CO[C@](C)(C(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001147775326 1074106500 /nfs/dbraw/zinc/10/65/00/1074106500.db2.gz ICXXJQRWEFKJMP-LIRRHRJNSA-N 0 0 447.554 -0.819 20 0 IBADRN Nc1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cnn1-c1ccccc1 ZINC001147775333 1074106493 /nfs/dbraw/zinc/10/64/93/1074106493.db2.gz IEBBJYSDNYFTCP-HNNXBMFYSA-N 0 0 446.533 -0.484 20 0 IBADRN Nc1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cnn1-c1ccccc1 ZINC001147775334 1074106510 /nfs/dbraw/zinc/10/65/10/1074106510.db2.gz IEBBJYSDNYFTCP-OAHLLOKOSA-N 0 0 446.533 -0.484 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cc3ccccc3c(=O)[nH]2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147775367 1074106526 /nfs/dbraw/zinc/10/65/26/1074106526.db2.gz IWUIXSKFPRDGIM-INIZCTEOSA-N 0 0 446.529 -0.070 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cc3ccccc3c(=O)[nH]2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147775368 1074106529 /nfs/dbraw/zinc/10/65/29/1074106529.db2.gz IWUIXSKFPRDGIM-MRXNPFEDSA-N 0 0 446.529 -0.070 20 0 IBADRN CCOC(=O)N(C)CCCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001147775369 1074106489 /nfs/dbraw/zinc/10/64/89/1074106489.db2.gz IXJMKPNSGROWMI-HNNXBMFYSA-N 0 0 432.543 -0.698 20 0 IBADRN CCOC(=O)N(C)CCCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001147775370 1074106518 /nfs/dbraw/zinc/10/65/18/1074106518.db2.gz IXJMKPNSGROWMI-OAHLLOKOSA-N 0 0 432.543 -0.698 20 0 IBADRN C[C@@H]1C[C@@]1(C(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001147775377 1074106544 /nfs/dbraw/zinc/10/65/44/1074106544.db2.gz JCNGJOAEFKTYIA-SIFCLUCFSA-N 0 0 443.566 -0.198 20 0 IBADRN C[C@@H]1C[C@@]1(C(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001147775378 1074106983 /nfs/dbraw/zinc/10/69/83/1074106983.db2.gz JCNGJOAEFKTYIA-SXGZJXTBSA-N 0 0 443.566 -0.198 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c2cnn(C)c2n1 ZINC001147775379 1074106899 /nfs/dbraw/zinc/10/68/99/1074106899.db2.gz JDCIKWPWEFPHGX-AWEZNQCLSA-N 0 0 434.522 -0.662 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c2cnn(C)c2n1 ZINC001147775380 1074106933 /nfs/dbraw/zinc/10/69/33/1074106933.db2.gz JDCIKWPWEFPHGX-CQSZACIVSA-N 0 0 434.522 -0.662 20 0 IBADRN CC(=O)Nc1cc(F)ccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001147775391 1074106854 /nfs/dbraw/zinc/10/68/54/1074106854.db2.gz JNUHAPAANNGNES-HNNXBMFYSA-N 0 0 440.497 -0.155 20 0 IBADRN CC(=O)Nc1cc(F)ccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001147775392 1074106959 /nfs/dbraw/zinc/10/69/59/1074106959.db2.gz JNUHAPAANNGNES-OAHLLOKOSA-N 0 0 440.497 -0.155 20 0 IBADRN COc1cccc(OCCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001147775396 1074106848 /nfs/dbraw/zinc/10/68/48/1074106848.db2.gz JQPNEBXTONJFML-INIZCTEOSA-N 0 0 439.534 -0.088 20 0 IBADRN COc1cccc(OCCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001147775397 1074106875 /nfs/dbraw/zinc/10/68/75/1074106875.db2.gz JQPNEBXTONJFML-MRXNPFEDSA-N 0 0 439.534 -0.088 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnc3ccccc3c2O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147775590 1074106918 /nfs/dbraw/zinc/10/69/18/1074106918.db2.gz LSGMXOVHSRZRPL-AWEZNQCLSA-N 0 0 432.502 -0.411 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnc3ccccc3c2O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147775591 1074106907 /nfs/dbraw/zinc/10/69/07/1074106907.db2.gz LSGMXOVHSRZRPL-CQSZACIVSA-N 0 0 432.502 -0.411 20 0 IBADRN CC(C)[C@H](NCC(F)(F)F)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001147775675 1074107014 /nfs/dbraw/zinc/10/70/14/1074107014.db2.gz OBNXGCSPSJLWFW-HIFRSBDPSA-N 0 0 442.504 -0.390 20 0 IBADRN CC(C)[C@H](NCC(F)(F)F)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001147775676 1074106988 /nfs/dbraw/zinc/10/69/88/1074106988.db2.gz OBNXGCSPSJLWFW-ZFWWWQNUSA-N 0 0 442.504 -0.390 20 0 IBADRN CN(C)C(=O)C1CCC(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001147775746 1074106868 /nfs/dbraw/zinc/10/68/68/1074106868.db2.gz PIAFXYOWPSCYLE-JCYILVPMSA-N 0 0 442.582 -0.672 20 0 IBADRN CN(C)C(=O)C1CCC(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001147775748 1074106860 /nfs/dbraw/zinc/10/68/60/1074106860.db2.gz PIAFXYOWPSCYLE-OFLPRAFFSA-N 0 0 442.582 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2coc(-c3cccnc3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147775925 1074106995 /nfs/dbraw/zinc/10/69/95/1074106995.db2.gz RWLCWHUYTCNCHH-HNNXBMFYSA-N 0 0 433.490 -0.202 20 0 IBADRN O=C(CN1CCN(C(=O)c2coc(-c3cccnc3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147775926 1074106820 /nfs/dbraw/zinc/10/68/20/1074106820.db2.gz RWLCWHUYTCNCHH-OAHLLOKOSA-N 0 0 433.490 -0.202 20 0 IBADRN CC[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N1CCO[C@H](CC)C1 ZINC001147776118 1074106940 /nfs/dbraw/zinc/10/69/40/1074106940.db2.gz UQKUSDUTCCMUMD-KSZLIROESA-N 0 0 444.598 -0.677 20 0 IBADRN CC[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N1CCO[C@H](CC)C1 ZINC001147776119 1074106967 /nfs/dbraw/zinc/10/69/67/1074106967.db2.gz UQKUSDUTCCMUMD-KURKYZTESA-N 0 0 444.598 -0.677 20 0 IBADRN CC[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N1CCO[C@H](CC)C1 ZINC001147776120 1074106830 /nfs/dbraw/zinc/10/68/30/1074106830.db2.gz UQKUSDUTCCMUMD-KZNAEPCWSA-N 0 0 444.598 -0.677 20 0 IBADRN CC[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N1CCO[C@H](CC)C1 ZINC001147776121 1074107513 /nfs/dbraw/zinc/10/75/13/1074107513.db2.gz UQKUSDUTCCMUMD-RCCFBDPRSA-N 0 0 444.598 -0.677 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(Cn3cncn3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147776315 1074107529 /nfs/dbraw/zinc/10/75/29/1074107529.db2.gz WWESDWPFLBCEIW-GOSISDBHSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(Cn3cncn3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147776316 1074107447 /nfs/dbraw/zinc/10/74/47/1074107447.db2.gz WWESDWPFLBCEIW-SFHVURJKSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2scc3c2OCCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147776485 1074107412 /nfs/dbraw/zinc/10/74/12/1074107412.db2.gz YRUSMWQHNSVBOY-GFCCVEGCSA-N 0 0 429.520 -0.420 20 0 IBADRN O=C(CN1CCN(C(=O)c2scc3c2OCCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147776486 1074107417 /nfs/dbraw/zinc/10/74/17/1074107417.db2.gz YRUSMWQHNSVBOY-LBPRGKRZSA-N 0 0 429.520 -0.420 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(C3OCCO3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001147776518 1074107444 /nfs/dbraw/zinc/10/74/44/1074107444.db2.gz ZLRFCNOVVNYLHV-KRWDZBQOSA-N 0 0 437.518 -0.207 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(C3OCCO3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001147776519 1074107420 /nfs/dbraw/zinc/10/74/20/1074107420.db2.gz ZLRFCNOVVNYLHV-QGZVFWFLSA-N 0 0 437.518 -0.207 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001147819932 1074107500 /nfs/dbraw/zinc/10/75/00/1074107500.db2.gz APFNLKRTORHOOQ-NRFANRHFSA-N 0 0 440.541 -0.202 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001147819933 1074107423 /nfs/dbraw/zinc/10/74/23/1074107423.db2.gz APFNLKRTORHOOQ-OAQYLSRUSA-N 0 0 440.541 -0.202 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC001147820223 1074107468 /nfs/dbraw/zinc/10/74/68/1074107468.db2.gz DCLHQOOQFIFOSP-FQEVSTJZSA-N 0 0 439.534 -0.273 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC001147820226 1074107458 /nfs/dbraw/zinc/10/74/58/1074107458.db2.gz DCLHQOOQFIFOSP-HXUWFJFHSA-N 0 0 439.534 -0.273 20 0 IBADRN CC(=O)Nc1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)ccn1 ZINC001147820903 1074107438 /nfs/dbraw/zinc/10/74/38/1074107438.db2.gz GZHIUKHJZROHQM-HOTGVXAUSA-N 0 0 446.508 -0.223 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)Cc1ccc(S(=O)(=O)CCO)cc1 ZINC001147823533 1074107506 /nfs/dbraw/zinc/10/75/06/1074107506.db2.gz TYWVNDKQDHZGDZ-FQEVSTJZSA-N 0 0 426.535 -0.273 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)Cc1ccc(S(=O)(=O)CCO)cc1 ZINC001147823534 1074107451 /nfs/dbraw/zinc/10/74/51/1074107451.db2.gz TYWVNDKQDHZGDZ-HXUWFJFHSA-N 0 0 426.535 -0.273 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC001147824438 1074108271 /nfs/dbraw/zinc/10/82/71/1074108271.db2.gz ZRVBZLSLBZODEF-IBGZPJMESA-N 0 0 425.507 -0.709 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1 ZINC001147824439 1074108157 /nfs/dbraw/zinc/10/81/57/1074108157.db2.gz ZRVBZLSLBZODEF-LJQANCHMSA-N 0 0 425.507 -0.709 20 0 IBADRN Cc1ncc(CO)c(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)c1O ZINC001147836870 1074108100 /nfs/dbraw/zinc/10/81/00/1074108100.db2.gz SHPMQLACFKAIHL-UHFFFAOYSA-N 0 0 428.493 -0.092 20 0 IBADRN Cc1ncc(CO)c(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1O ZINC001147838072 1074108219 /nfs/dbraw/zinc/10/82/19/1074108219.db2.gz IRWYTKULPCGDSY-GJZGRUSLSA-N 0 0 449.508 -0.675 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@@H]3CCCC[C@H]3NS(C)(=O)=O)CC2=O)cn1 ZINC001147838923 1074108296 /nfs/dbraw/zinc/10/82/96/1074108296.db2.gz HLGWDOTTWCBEDN-FVQBIDKESA-N 0 0 427.527 -0.141 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N[C@@H]3CCCC[C@H]3NS(C)(=O)=O)CC2=O)cn1 ZINC001147838924 1074108127 /nfs/dbraw/zinc/10/81/27/1074108127.db2.gz HLGWDOTTWCBEDN-NUEKZKHPSA-N 0 0 427.527 -0.141 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)n(-c2ccccc2)n1 ZINC001147862094 1074108203 /nfs/dbraw/zinc/10/82/03/1074108203.db2.gz KMUZFHOPMOCKNN-KLICCBINSA-N 0 0 426.433 -0.389 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cncc(Br)n3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001147877480 1074108210 /nfs/dbraw/zinc/10/82/10/1074108210.db2.gz SZOFPNSDRBKEMV-MKPLZMMCSA-N 0 0 426.227 -0.112 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cncc(Br)n1 ZINC001147879037 1074108176 /nfs/dbraw/zinc/10/81/76/1074108176.db2.gz ZMNBPKRLPLPNCI-JQWIXIFHSA-N 0 0 436.270 -0.220 20 0 IBADRN Cc1c(C(=O)NN2CCS(=O)(=O)CC2)cc(Br)cc1S(N)(=O)=O ZINC001147896351 1074108164 /nfs/dbraw/zinc/10/81/64/1074108164.db2.gz AWKPRYWBKIXAPZ-UHFFFAOYSA-N 0 0 426.314 -0.220 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NCCCN2CCC[C@H]2C(N)=O)C1 ZINC001147896366 1074108260 /nfs/dbraw/zinc/10/82/60/1074108260.db2.gz AZVIQUMTUHTIHC-CABCVRRESA-N 0 0 446.570 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NCCCN2CCC[C@H]2C(N)=O)C1 ZINC001147896369 1074108192 /nfs/dbraw/zinc/10/81/92/1074108192.db2.gz AZVIQUMTUHTIHC-GJZGRUSLSA-N 0 0 446.570 -0.133 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)NN2CCS(=O)(=O)CC2)cc1 ZINC001147896611 1074108301 /nfs/dbraw/zinc/10/83/01/1074108301.db2.gz DZKBXRRHBGNMGH-DOTOQJQBSA-N 0 0 445.563 -0.305 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NN2CCS(=O)(=O)CC2)cc1 ZINC001147896615 1074108142 /nfs/dbraw/zinc/10/81/42/1074108142.db2.gz DZKBXRRHBGNMGH-NVXWUHKLSA-N 0 0 445.563 -0.305 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NN2CCS(=O)(=O)CC2)cc1 ZINC001147896619 1074108217 /nfs/dbraw/zinc/10/82/17/1074108217.db2.gz DZKBXRRHBGNMGH-RDJZCZTQSA-N 0 0 445.563 -0.305 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NN2CCS(=O)(=O)CC2)cc1 ZINC001147896622 1074108299 /nfs/dbraw/zinc/10/82/99/1074108299.db2.gz DZKBXRRHBGNMGH-WBVHZDCISA-N 0 0 445.563 -0.305 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)NCCCN2CCC[C@H]2C(N)=O)CCS(=O)(=O)CC1 ZINC001147897583 1074108661 /nfs/dbraw/zinc/10/86/61/1074108661.db2.gz JFICNILUXFHDJL-AWEZNQCLSA-N 0 0 431.555 -0.021 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NN1CCS(=O)(=O)CC1 ZINC001147897685 1074108581 /nfs/dbraw/zinc/10/85/81/1074108581.db2.gz KJURMFAZCHMVJD-UHFFFAOYSA-N 0 0 433.508 -0.722 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NN1CCS(=O)(=O)CC1 ZINC001147897937 1074108646 /nfs/dbraw/zinc/10/86/46/1074108646.db2.gz NAYIHQAFFSLZGE-UHFFFAOYSA-N 0 0 433.508 -0.909 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NN2CCS(=O)(=O)CC2)c1 ZINC001147898139 1074108640 /nfs/dbraw/zinc/10/86/40/1074108640.db2.gz RATZDXCUEVKYSJ-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NN2CCS(=O)(=O)CC2)c1 ZINC001147898328 1074108766 /nfs/dbraw/zinc/10/87/66/1074108766.db2.gz ULKYTEKIGOIXQE-UHFFFAOYSA-N 0 0 425.916 -0.360 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001147903461 1074108854 /nfs/dbraw/zinc/10/88/54/1074108854.db2.gz XDZLUNNUYCZSTK-UHFFFAOYSA-N 0 0 445.563 -0.473 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc(Br)cs2)c(=O)n1 ZINC001147920820 1074108609 /nfs/dbraw/zinc/10/86/09/1074108609.db2.gz MPLJWZRJQIMNJU-GDECHXLSSA-N 0 0 431.268 -0.059 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccnc(Br)c2F)c(=O)n1 ZINC001147933820 1074108808 /nfs/dbraw/zinc/10/88/08/1074108808.db2.gz MBTXEQIMIXXVGI-FRJWGUMJSA-N 0 0 444.217 -0.586 20 0 IBADRN Cc1nc(-c2ccccn2)sc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001147955923 1074108718 /nfs/dbraw/zinc/10/87/18/1074108718.db2.gz PWMNVJHPJXWFAG-XWXWGSFUSA-N 0 0 444.473 -0.056 20 0 IBADRN O=C(N[C@@H]1CN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC[C@@H]1c1ccccc1)C(F)(F)F ZINC001147999478 1074108771 /nfs/dbraw/zinc/10/87/71/1074108771.db2.gz RIXWMTGNLQGWHB-MCIONIFRSA-N 0 0 447.435 -0.037 20 0 IBADRN CC[C@H](NC(C)=O)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001148005370 1074108654 /nfs/dbraw/zinc/10/86/54/1074108654.db2.gz AJOSIINCDRPLRN-HNNXBMFYSA-N 0 0 432.543 -0.006 20 0 IBADRN CC[C@@H](NC(C)=O)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001148005371 1074108627 /nfs/dbraw/zinc/10/86/27/1074108627.db2.gz AJOSIINCDRPLRN-OAHLLOKOSA-N 0 0 432.543 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3cn[nH]c(=O)c3)C2)CC1 ZINC001148006212 1074108706 /nfs/dbraw/zinc/10/87/06/1074108706.db2.gz MOQQTRVYOLEAKY-UHFFFAOYSA-N 0 0 427.483 -0.111 20 0 IBADRN Cn1ncc(CCC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)n1 ZINC001148006394 1074108879 /nfs/dbraw/zinc/10/88/79/1074108879.db2.gz OCSMJBZYPYDEMG-UHFFFAOYSA-N 0 0 442.542 -0.159 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)Cc3ccc(S(=O)(=O)N(C)C)cc3)CC2)CCOC1 ZINC001148014424 1074108595 /nfs/dbraw/zinc/10/85/95/1074108595.db2.gz GHEJMFNJQDDQMG-FQEVSTJZSA-N 0 0 439.534 -0.044 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)Cc3ccc(S(=O)(=O)N(C)C)cc3)CC2)CCOC1 ZINC001148014427 1074108697 /nfs/dbraw/zinc/10/86/97/1074108697.db2.gz GHEJMFNJQDDQMG-HXUWFJFHSA-N 0 0 439.534 -0.044 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CNC(=O)c3cnc4ccccc4c3O)CC2)CCOC1 ZINC001148014533 1074109443 /nfs/dbraw/zinc/10/94/43/1074109443.db2.gz HSGAGHLFYQMVEA-JOCHJYFZSA-N 0 0 442.472 -0.266 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CNC(=O)c3cnc4ccccc4c3O)CC2)CCOC1 ZINC001148014665 1074109481 /nfs/dbraw/zinc/10/94/81/1074109481.db2.gz HSGAGHLFYQMVEA-QFIPXVFZSA-N 0 0 442.472 -0.266 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3cccs3)CC2)CCOC1 ZINC001148015938 1074109527 /nfs/dbraw/zinc/10/95/27/1074109527.db2.gz WSYBYIAPQZDEHQ-CXAGYDPISA-N 0 0 431.536 -0.109 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3cccs3)CC2)CCOC1 ZINC001148015939 1074109461 /nfs/dbraw/zinc/10/94/61/1074109461.db2.gz WSYBYIAPQZDEHQ-DYVFJYSZSA-N 0 0 431.536 -0.109 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3cccs3)CC2)CCOC1 ZINC001148015940 1074109459 /nfs/dbraw/zinc/10/94/59/1074109459.db2.gz WSYBYIAPQZDEHQ-GUYCJALGSA-N 0 0 431.536 -0.109 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3cccs3)CC2)CCOC1 ZINC001148015941 1074109433 /nfs/dbraw/zinc/10/94/33/1074109433.db2.gz WSYBYIAPQZDEHQ-SUMWQHHRSA-N 0 0 431.536 -0.109 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)C3=NN(c4ccc(F)cc4)C(=O)CC3=O)CC2)CCOC1 ZINC001148015949 1074109512 /nfs/dbraw/zinc/10/95/12/1074109512.db2.gz WVUKOYXUESDNBC-NRFANRHFSA-N 0 0 446.435 -0.036 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)C3=NN(c4ccc(F)cc4)C(=O)CC3=O)CC2)CCOC1 ZINC001148015950 1074109500 /nfs/dbraw/zinc/10/95/00/1074109500.db2.gz WVUKOYXUESDNBC-OAQYLSRUSA-N 0 0 446.435 -0.036 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)[C@@H](Cc3c[nH]cn3)NC(=O)CC(C)C)CC2)CCOC1 ZINC001148015984 1074109507 /nfs/dbraw/zinc/10/95/07/1074109507.db2.gz XVIRYRIBVVWOKW-DYESRHJHSA-N 0 0 435.525 -0.041 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)[C@H](Cc3c[nH]cn3)NC(=O)CC(C)C)CC2)CCOC1 ZINC001148015985 1074109448 /nfs/dbraw/zinc/10/94/48/1074109448.db2.gz XVIRYRIBVVWOKW-LAUBAEHRSA-N 0 0 435.525 -0.041 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@@H](Cc3c[nH]cn3)NC(=O)CC(C)C)CC2)CCOC1 ZINC001148015986 1074109490 /nfs/dbraw/zinc/10/94/90/1074109490.db2.gz XVIRYRIBVVWOKW-UTKZUKDTSA-N 0 0 435.525 -0.041 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@H](Cc3c[nH]cn3)NC(=O)CC(C)C)CC2)CCOC1 ZINC001148015987 1074109471 /nfs/dbraw/zinc/10/94/71/1074109471.db2.gz XVIRYRIBVVWOKW-UWJYYQICSA-N 0 0 435.525 -0.041 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)ccc1F ZINC001148016017 1074109522 /nfs/dbraw/zinc/10/95/22/1074109522.db2.gz YRCOJDAJPBYMIR-GOSISDBHSA-N 0 0 429.470 -0.176 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)ccc1F ZINC001148016018 1074109437 /nfs/dbraw/zinc/10/94/37/1074109437.db2.gz YRCOJDAJPBYMIR-SFHVURJKSA-N 0 0 429.470 -0.176 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)C[C@@H]3CC(=O)NC3=O)CC2)CC1 ZINC001148022821 1074109487 /nfs/dbraw/zinc/10/94/87/1074109487.db2.gz ALYIOHUIEBWRCS-AWEZNQCLSA-N 0 0 437.497 -0.144 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)C[C@H]3CC(=O)NC3=O)CC2)CC1 ZINC001148022822 1074109465 /nfs/dbraw/zinc/10/94/65/1074109465.db2.gz ALYIOHUIEBWRCS-CQSZACIVSA-N 0 0 437.497 -0.144 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)[C@@H](CO)NC(=O)OCc3ccccc3)CC2)CCOC1 ZINC001148023177 1074109429 /nfs/dbraw/zinc/10/94/29/1074109429.db2.gz IQOXFYWWOIDTIT-DYESRHJHSA-N 0 0 435.477 -0.250 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)[C@H](CO)NC(=O)OCc3ccccc3)CC2)CCOC1 ZINC001148023178 1074109528 /nfs/dbraw/zinc/10/95/28/1074109528.db2.gz IQOXFYWWOIDTIT-LAUBAEHRSA-N 0 0 435.477 -0.250 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@@H](CO)NC(=O)OCc3ccccc3)CC2)CCOC1 ZINC001148023179 1074109488 /nfs/dbraw/zinc/10/94/88/1074109488.db2.gz IQOXFYWWOIDTIT-UTKZUKDTSA-N 0 0 435.477 -0.250 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@H](CO)NC(=O)OCc3ccccc3)CC2)CCOC1 ZINC001148023180 1074109467 /nfs/dbraw/zinc/10/94/67/1074109467.db2.gz IQOXFYWWOIDTIT-UWJYYQICSA-N 0 0 435.477 -0.250 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(NC(=O)OC(C)(C)C)nn2C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001148049527 1074110145 /nfs/dbraw/zinc/11/01/45/1074110145.db2.gz HUUMRLAPVPOCQP-BSVDJGSKSA-N 0 0 430.458 -0.659 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cn(-c3cnn(C)c3)c3ccccc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001148061974 1074110248 /nfs/dbraw/zinc/11/02/48/1074110248.db2.gz UFNRHQRZVSJUGJ-ZCISVBNHSA-N 0 0 430.461 -0.062 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@@H](CN3CCCC3=O)C2)c1 ZINC001148063852 1074110192 /nfs/dbraw/zinc/11/01/92/1074110192.db2.gz LHCAHUDIFSZRGM-AWEZNQCLSA-N 0 0 443.547 -0.161 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@H](CN3CCCC3=O)C2)c1 ZINC001148063853 1074110226 /nfs/dbraw/zinc/11/02/26/1074110226.db2.gz LHCAHUDIFSZRGM-CQSZACIVSA-N 0 0 443.547 -0.161 20 0 IBADRN CC1(c2nnc(C3CCOCC3)o2)CN(C(=O)CN2CCN(S(C)(=O)=O)CC2)C1 ZINC001148085295 1074110171 /nfs/dbraw/zinc/11/01/71/1074110171.db2.gz GEXRNJSIDYMBQJ-UHFFFAOYSA-N 0 0 427.527 -0.359 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCC(=O)N(C)OCC(F)(F)F ZINC001148090686 1074110272 /nfs/dbraw/zinc/11/02/72/1074110272.db2.gz BYXKRLNEYLBBDW-GZMMTYOYSA-N 0 0 433.430 -0.156 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCC(=O)N(C)OCC(F)(F)F ZINC001148090687 1074110035 /nfs/dbraw/zinc/11/00/35/1074110035.db2.gz BYXKRLNEYLBBDW-KCJUWKMLSA-N 0 0 433.430 -0.156 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCC(=O)N(C)OCC(F)(F)F ZINC001148090688 1074110069 /nfs/dbraw/zinc/11/00/69/1074110069.db2.gz BYXKRLNEYLBBDW-KWQFWETISA-N 0 0 433.430 -0.156 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCC(=O)N(C)OCC(F)(F)F ZINC001148090689 1074110205 /nfs/dbraw/zinc/11/02/05/1074110205.db2.gz BYXKRLNEYLBBDW-LDYMZIIASA-N 0 0 433.430 -0.156 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001148090702 1074110165 /nfs/dbraw/zinc/11/01/65/1074110165.db2.gz CLPDGQWQXTXBAF-UHFFFAOYSA-N 0 0 442.416 -0.272 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(N(C)C(=O)OC(C)(C)C)nn2C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001148093770 1074110078 /nfs/dbraw/zinc/11/00/78/1074110078.db2.gz MYWXXZYJHRZCCN-CTJCFNAESA-N 0 0 444.485 -0.635 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1=CN=C2C(c3ccncc3)=CNN2[C@@H]1C(F)(F)F ZINC001148144337 1074110211 /nfs/dbraw/zinc/11/02/11/1074110211.db2.gz IGWFDYWHJGUBTA-HNNXBMFYSA-N 0 0 438.366 -0.125 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1=CN=C2C(c3ccncc3)=CNN2[C@H]1C(F)(F)F ZINC001148144339 1074110696 /nfs/dbraw/zinc/11/06/96/1074110696.db2.gz IGWFDYWHJGUBTA-OAHLLOKOSA-N 0 0 438.366 -0.125 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2C(=O)NCC2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC001148150202 1074110677 /nfs/dbraw/zinc/11/06/77/1074110677.db2.gz DCRMJKSYHDXEJD-KRWDZBQOSA-N 0 0 425.507 -0.101 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2C(=O)NCC2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC001148150207 1074110609 /nfs/dbraw/zinc/11/06/09/1074110609.db2.gz DCRMJKSYHDXEJD-QGZVFWFLSA-N 0 0 425.507 -0.101 20 0 IBADRN C[C@@H]1COc2c(N3CCN(C)CC3)c(F)cc3c(=O)c(C(=O)NC[C@@H](O)CO)cn1c32 ZINC001148154335 1074110621 /nfs/dbraw/zinc/11/06/21/1074110621.db2.gz DVBMKAVQGGYZSN-CHWSQXEVSA-N 0 0 434.468 -0.071 20 0 IBADRN C[C@H]1COc2c(N3CCN(C)CC3)c(F)cc3c(=O)c(C(=O)NC[C@@H](O)CO)cn1c32 ZINC001148154336 1074110596 /nfs/dbraw/zinc/11/05/96/1074110596.db2.gz DVBMKAVQGGYZSN-QWHCGFSZSA-N 0 0 434.468 -0.071 20 0 IBADRN C[C@@H]1COc2c(N3CCN(C)CC3)c(F)cc3c(=O)c(C(=O)N[C@@H](CO)C(N)=O)cn1c32 ZINC001148163800 1074110583 /nfs/dbraw/zinc/11/05/83/1074110583.db2.gz VPPBHHUVVAGWOP-ABAIWWIYSA-N 0 0 447.467 -0.578 20 0 IBADRN C[C@@H]1COc2c(N3CCN(C)CC3)c(F)cc3c(=O)c(C(=O)N[C@H](CO)C(N)=O)cn1c32 ZINC001148163801 1074110580 /nfs/dbraw/zinc/11/05/80/1074110580.db2.gz VPPBHHUVVAGWOP-IAQYHMDHSA-N 0 0 447.467 -0.578 20 0 IBADRN C[C@H]1COc2c(N3CCN(C)CC3)c(F)cc3c(=O)c(C(=O)N[C@@H](CO)C(N)=O)cn1c32 ZINC001148163802 1074110645 /nfs/dbraw/zinc/11/06/45/1074110645.db2.gz VPPBHHUVVAGWOP-NHYWBVRUSA-N 0 0 447.467 -0.578 20 0 IBADRN C[C@H]1COc2c(N3CCN(C)CC3)c(F)cc3c(=O)c(C(=O)N[C@H](CO)C(N)=O)cn1c32 ZINC001148163803 1074110558 /nfs/dbraw/zinc/11/05/58/1074110558.db2.gz VPPBHHUVVAGWOP-XHDPSFHLSA-N 0 0 447.467 -0.578 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@H]1C(=O)N1CCO[C@@H](Cn2ccnn2)C1 ZINC001148170663 1074110687 /nfs/dbraw/zinc/11/06/87/1074110687.db2.gz PDLDYZIAYRBAGJ-QBPKDAKJSA-N 0 0 439.538 -0.385 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@@H]1C(=O)N1CCO[C@H](Cn2ccnn2)C1 ZINC001148170664 1074110629 /nfs/dbraw/zinc/11/06/29/1074110629.db2.gz PDLDYZIAYRBAGJ-TWMKSMIVSA-N 0 0 439.538 -0.385 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@@H]1C(=O)N1CCO[C@@H](Cn2ccnn2)C1 ZINC001148170665 1074110651 /nfs/dbraw/zinc/11/06/51/1074110651.db2.gz PDLDYZIAYRBAGJ-VQHPVUNQSA-N 0 0 439.538 -0.385 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@H]1C(=O)N1CCO[C@H](Cn2ccnn2)C1 ZINC001148170666 1074110682 /nfs/dbraw/zinc/11/06/82/1074110682.db2.gz PDLDYZIAYRBAGJ-YYIAUSFCSA-N 0 0 439.538 -0.385 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCO[C@@H](Cn2ccnn2)C1 ZINC001148171875 1074110659 /nfs/dbraw/zinc/11/06/59/1074110659.db2.gz YJOFGBWFMSWBEY-CYBMUJFWSA-N 0 0 426.524 -0.115 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCO[C@H](Cn2ccnn2)C1 ZINC001148171876 1074111244 /nfs/dbraw/zinc/11/12/44/1074111244.db2.gz YJOFGBWFMSWBEY-ZDUSSCGKSA-N 0 0 426.524 -0.115 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NN3C(=O)[C@@H](C)N(C)C3=O)cc2)CC1 ZINC001148179533 1074111313 /nfs/dbraw/zinc/11/13/13/1074111313.db2.gz HJXPIEFYQXQGMZ-GFCCVEGCSA-N 0 0 437.478 -0.533 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NN3C(=O)[C@H](C)N(C)C3=O)cc2)CC1 ZINC001148179534 1074111204 /nfs/dbraw/zinc/11/12/04/1074111204.db2.gz HJXPIEFYQXQGMZ-LBPRGKRZSA-N 0 0 437.478 -0.533 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCn1cnc2c(C)cccc2c1=O ZINC001148186452 1074111351 /nfs/dbraw/zinc/11/13/51/1074111351.db2.gz CJXMWQTVZFODFT-ZFWWWQNUSA-N 0 0 441.444 -0.211 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001148186507 1074111330 /nfs/dbraw/zinc/11/13/30/1074111330.db2.gz DWOZZHLIQANIOM-JSGCOSHPSA-N 0 0 438.462 -0.755 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1cc2c(cc1Cl)NC(=O)CO2 ZINC001148187046 1074111257 /nfs/dbraw/zinc/11/12/57/1074111257.db2.gz LRBOKCMNMNBXGP-UFBFGSQYSA-N 0 0 436.808 -0.021 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC001148187549 1074111162 /nfs/dbraw/zinc/11/11/62/1074111162.db2.gz PPLSQSHIFQXMKP-ULQDDVLXSA-N 0 0 430.461 -0.418 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@H]1CN(Cc2ccccc2)CCO1 ZINC001148187551 1074111289 /nfs/dbraw/zinc/11/12/89/1074111289.db2.gz PPLSQSHIFQXMKP-YESZJQIVSA-N 0 0 430.461 -0.418 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CNC(=O)c1c(F)cccc1Cl ZINC001148187606 1074111342 /nfs/dbraw/zinc/11/13/42/1074111342.db2.gz QCAQDHWQNQZNEA-CABZTGNLSA-N 0 0 440.815 -0.097 20 0 IBADRN CCc1ccc(C(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)cc1S(C)(=O)=O ZINC001148187624 1074111375 /nfs/dbraw/zinc/11/13/75/1074111375.db2.gz QPDQOGFBDYDWIQ-KBPBESRZSA-N 0 0 437.474 -0.040 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC001148187948 1074111252 /nfs/dbraw/zinc/11/12/52/1074111252.db2.gz UCFNGSUZNMKTTA-CQDKDKBSSA-N 0 0 432.408 -0.127 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC001148187949 1074111218 /nfs/dbraw/zinc/11/12/18/1074111218.db2.gz UCFNGSUZNMKTTA-UGFHNGPFSA-N 0 0 432.408 -0.127 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1cccc(S(=O)(=O)C(F)F)c1 ZINC001148188007 1074111367 /nfs/dbraw/zinc/11/13/67/1074111367.db2.gz UUKHSWBHJVCVGS-JQWIXIFHSA-N 0 0 445.400 -0.009 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(N2CCOCC2)c(F)c1 ZINC001148188335 1074111236 /nfs/dbraw/zinc/11/12/36/1074111236.db2.gz WXCBZONSIKSOJC-BBRMVZONSA-N 0 0 434.424 -0.030 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(N2CCC(O)CC2)cc1 ZINC001148188338 1074111277 /nfs/dbraw/zinc/11/12/77/1074111277.db2.gz WYEAASGECCQJEA-RDJZCZTQSA-N 0 0 430.461 -0.044 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@H]1CCN(Cc2ccccc2)C1=O ZINC001148188558 1074111229 /nfs/dbraw/zinc/11/12/29/1074111229.db2.gz YLXYXMVVWAFANA-JYJNAYRXSA-N 0 0 428.445 -0.271 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC001148188560 1074111189 /nfs/dbraw/zinc/11/11/89/1074111189.db2.gz YLXYXMVVWAFANA-XHSDSOJGSA-N 0 0 428.445 -0.271 20 0 IBADRN COC1(OC)CCOC[C@@H]1NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001148245337 1074111901 /nfs/dbraw/zinc/11/19/01/1074111901.db2.gz AXLZVPPYVJOEEC-FPCVCCKLSA-N 0 0 432.539 -0.010 20 0 IBADRN COC1(OC)CCOC[C@@H]1NC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001148245341 1074112088 /nfs/dbraw/zinc/11/20/88/1074112088.db2.gz AXLZVPPYVJOEEC-JJXSEGSLSA-N 0 0 432.539 -0.010 20 0 IBADRN COC1(OC)CCOC[C@@H]1NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001148245345 1074112102 /nfs/dbraw/zinc/11/21/02/1074112102.db2.gz AXLZVPPYVJOEEC-JONQDZQNSA-N 0 0 432.539 -0.010 20 0 IBADRN COC1(OC)CCOC[C@@H]1NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001148245347 1074112032 /nfs/dbraw/zinc/11/20/32/1074112032.db2.gz AXLZVPPYVJOEEC-QXSJWSMHSA-N 0 0 432.539 -0.010 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)c1ccc(C)cc1C)c2N ZINC001148247164 1074112063 /nfs/dbraw/zinc/11/20/63/1074112063.db2.gz SEBGZPBAFGSSND-QEPJRFBGSA-N 0 0 428.449 -0.135 20 0 IBADRN CC(C)(C)OC(=O)NCC1(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)COC1 ZINC001148260115 1074111868 /nfs/dbraw/zinc/11/18/68/1074111868.db2.gz DBLYZIVMGOAPCW-CHWSQXEVSA-N 0 0 431.511 -0.568 20 0 IBADRN CC(C)(C)OC(=O)NCC1(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)COC1 ZINC001148260116 1074112016 /nfs/dbraw/zinc/11/20/16/1074112016.db2.gz DBLYZIVMGOAPCW-OLZOCXBDSA-N 0 0 431.511 -0.568 20 0 IBADRN CC(C)(C)OC(=O)NCC1(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)COC1 ZINC001148260117 1074112041 /nfs/dbraw/zinc/11/20/41/1074112041.db2.gz DBLYZIVMGOAPCW-QWHCGFSZSA-N 0 0 431.511 -0.568 20 0 IBADRN CC(C)(C)OC(=O)NCC1(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)COC1 ZINC001148260118 1074112128 /nfs/dbraw/zinc/11/21/28/1074112128.db2.gz DBLYZIVMGOAPCW-STQMWFEESA-N 0 0 431.511 -0.568 20 0 IBADRN CN1C[C@@H](C(=O)NCCN2CCN(c3ncccn3)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001148268106 1074112137 /nfs/dbraw/zinc/11/21/37/1074112137.db2.gz SNCOFVKOJNYSMZ-INIZCTEOSA-N 0 0 447.540 -0.208 20 0 IBADRN CN1C[C@H](C(=O)NCCN2CCN(c3ncccn3)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001148268107 1074111831 /nfs/dbraw/zinc/11/18/31/1074111831.db2.gz SNCOFVKOJNYSMZ-MRXNPFEDSA-N 0 0 447.540 -0.208 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)CC1 ZINC001148274746 1074112148 /nfs/dbraw/zinc/11/21/48/1074112148.db2.gz FQZZEIBXXWIOGB-HNNXBMFYSA-N 0 0 425.530 -0.360 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)CC1 ZINC001148274747 1074112512 /nfs/dbraw/zinc/11/25/12/1074112512.db2.gz FQZZEIBXXWIOGB-OAHLLOKOSA-N 0 0 425.530 -0.360 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3n[nH]cc3I)c2c(=O)n(C)c1=O ZINC001148281124 1074112565 /nfs/dbraw/zinc/11/25/65/1074112565.db2.gz YTZMWPXWMGJMPA-UHFFFAOYSA-N 0 0 429.178 -0.600 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCCN2CCC3(C2)OCCO3)c1 ZINC001148300176 1074111993 /nfs/dbraw/zinc/11/19/93/1074111993.db2.gz CODYOMXXAQIFCC-UHFFFAOYSA-N 0 0 442.538 -0.556 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCN1CCC2(C1)OCCO2 ZINC001148300481 1074111790 /nfs/dbraw/zinc/11/17/90/1074111790.db2.gz IEOZATGOGXWOMR-UHFFFAOYSA-N 0 0 428.511 -0.775 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NCCN1CCC2(C1)OCCO2 ZINC001148301103 1074112078 /nfs/dbraw/zinc/11/20/78/1074112078.db2.gz NYFYKABHQQEFEX-UHFFFAOYSA-N 0 0 446.547 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCCN2CCC3(C2)OCCO3)cc1OC ZINC001148301508 1074111937 /nfs/dbraw/zinc/11/19/37/1074111937.db2.gz VJLVTTXJJFDMSE-UHFFFAOYSA-N 0 0 429.495 -0.453 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001148328694 1074112549 /nfs/dbraw/zinc/11/25/49/1074112549.db2.gz QDMOEDGUTJJNSS-UHFFFAOYSA-N 0 0 432.568 -0.609 20 0 IBADRN O=C(C1CCS(=O)(=O)CC1)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001148328780 1074112651 /nfs/dbraw/zinc/11/26/51/1074112651.db2.gz UVMYFTPIIDPHCB-UHFFFAOYSA-N 0 0 429.564 -0.066 20 0 IBADRN NC(=O)c1ccc(CC(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)cn1 ZINC001148334395 1074112684 /nfs/dbraw/zinc/11/26/84/1074112684.db2.gz SYPCDTVBDYPFDN-UHFFFAOYSA-N 0 0 431.518 -0.154 20 0 IBADRN O=C(NCc1nc(CO)n[nH]1)c1ccc(I)cc1-n1cnnn1 ZINC001148339682 1074112701 /nfs/dbraw/zinc/11/27/01/1074112701.db2.gz JRTJHARBCRMSFL-UHFFFAOYSA-N 0 0 426.178 -0.193 20 0 IBADRN Cc1cc(=O)n(-c2ccc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cc2)[nH]1 ZINC001148340615 1074112720 /nfs/dbraw/zinc/11/27/20/1074112720.db2.gz PCYLWKPNTILYCA-VVHMCBODSA-N 0 0 442.432 -0.770 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001148345374 1074112679 /nfs/dbraw/zinc/11/26/79/1074112679.db2.gz WJGSJYHGSWNYJO-DGORSVRFSA-N 0 0 428.367 -0.265 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001148345375 1074112626 /nfs/dbraw/zinc/11/26/26/1074112626.db2.gz WJGSJYHGSWNYJO-IIRVCBMXSA-N 0 0 428.367 -0.265 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001148345376 1074112659 /nfs/dbraw/zinc/11/26/59/1074112659.db2.gz WJGSJYHGSWNYJO-LVEVGFFFSA-N 0 0 428.367 -0.265 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001148345377 1074112672 /nfs/dbraw/zinc/11/26/72/1074112672.db2.gz WJGSJYHGSWNYJO-ROHXPCBUSA-N 0 0 428.367 -0.265 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1 ZINC001148360576 1074112459 /nfs/dbraw/zinc/11/24/59/1074112459.db2.gz JCPLNZGMOFGJMN-HOTGVXAUSA-N 0 0 433.490 -0.369 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)c1ccc(Cl)c(S(N)(=O)=O)c1 ZINC001148365751 1074112665 /nfs/dbraw/zinc/11/26/65/1074112665.db2.gz SWLWLHWOWVATPV-UHFFFAOYSA-N 0 0 441.857 -0.750 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1ccc(Cl)c(S(N)(=O)=O)c1)C2 ZINC001148366457 1074112519 /nfs/dbraw/zinc/11/25/19/1074112519.db2.gz AODIBYOQXOOFDY-JTQLQIEISA-N 0 0 436.895 -0.210 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1ccc(Cl)c(S(N)(=O)=O)c1)C2 ZINC001148366458 1074113194 /nfs/dbraw/zinc/11/31/94/1074113194.db2.gz AODIBYOQXOOFDY-SNVBAGLBSA-N 0 0 436.895 -0.210 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1ccc(Cl)c(S(N)(=O)=O)c1)C[C@@H]2C(=O)N(C)C ZINC001148366898 1074113166 /nfs/dbraw/zinc/11/31/66/1074113166.db2.gz DDCMYGMWFAVERP-CYBMUJFWSA-N 0 0 442.925 -0.004 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1ccc(Cl)c(S(N)(=O)=O)c1)C[C@H]2C(=O)N(C)C ZINC001148366899 1074113143 /nfs/dbraw/zinc/11/31/43/1074113143.db2.gz DDCMYGMWFAVERP-ZDUSSCGKSA-N 0 0 442.925 -0.004 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1ccc(Cl)c(S(N)(=O)=O)c1 ZINC001148374272 1074113220 /nfs/dbraw/zinc/11/32/20/1074113220.db2.gz QDEXKVDUISNVDM-AWEZNQCLSA-N 0 0 438.893 -0.070 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCc4nn(C)c(=O)cc4C3)CC2)cn1C ZINC001148397963 1074113154 /nfs/dbraw/zinc/11/31/54/1074113154.db2.gz LRZMIAAXDJGYKS-UHFFFAOYSA-N 0 0 434.522 -0.192 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)CC2 ZINC001148398016 1074113214 /nfs/dbraw/zinc/11/32/14/1074113214.db2.gz MYHCMDMAGMLVCM-UHFFFAOYSA-N 0 0 440.503 -0.953 20 0 IBADRN CCc1ccccc1C(=O)n1c(N)c2ncn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3OC)c2nc1=N ZINC001148408040 1074113230 /nfs/dbraw/zinc/11/32/30/1074113230.db2.gz DOLPQXZUQJOZJC-QEPJRFBGSA-N 0 0 428.449 -0.189 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC2(COC2)C1 ZINC001148505230 1074113130 /nfs/dbraw/zinc/11/31/30/1074113130.db2.gz CKUSNHCBITZCOM-AWEZNQCLSA-N 0 0 439.490 -0.381 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CCC2(COC2)C1 ZINC001148505276 1074113921 /nfs/dbraw/zinc/11/39/21/1074113921.db2.gz CKUSNHCBITZCOM-CQSZACIVSA-N 0 0 439.490 -0.381 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCC3(COC3)C2)c(=O)[nH]c1=O ZINC001148505834 1074113894 /nfs/dbraw/zinc/11/38/94/1074113894.db2.gz OZHQPLHENHIOFO-UHFFFAOYSA-N 0 0 437.497 -0.050 20 0 IBADRN Cc1ccc(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c(O)c1 ZINC001148507370 1074113951 /nfs/dbraw/zinc/11/39/51/1074113951.db2.gz NDUPIANWBBPVEE-NOAAKOMESA-N 0 0 428.449 -0.044 20 0 IBADRN NC(=O)c1ccccc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001148527612 1074113162 /nfs/dbraw/zinc/11/31/62/1074113162.db2.gz RRJVNDKBPSFSEZ-BRWVUGGUSA-N 0 0 435.502 -0.480 20 0 IBADRN NC(=O)c1ccccc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001148527617 1074113218 /nfs/dbraw/zinc/11/32/18/1074113218.db2.gz RRJVNDKBPSFSEZ-GVDBMIGSSA-N 0 0 435.502 -0.480 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccccc1C(N)=O)C(=O)NCC(N)=O ZINC001148529494 1074113915 /nfs/dbraw/zinc/11/39/15/1074113915.db2.gz POUYLEZRNMMMET-HOTGVXAUSA-N 0 0 431.493 -0.478 20 0 IBADRN NC(=O)c1ccccc1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001148530830 1074113865 /nfs/dbraw/zinc/11/38/65/1074113865.db2.gz QYNKWWXGXFESSG-UHFFFAOYSA-N 0 0 432.867 -0.014 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2ccccc2C(N)=O)[C@H]1O ZINC001148536039 1074113904 /nfs/dbraw/zinc/11/39/04/1074113904.db2.gz NFILDYKFUKNXQL-ZCVOSGHJSA-N 0 0 441.448 -0.960 20 0 IBADRN CCOc1ccc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)nn1 ZINC001148537858 1074113925 /nfs/dbraw/zinc/11/39/25/1074113925.db2.gz PDUZYQQMXBXJFG-CABCVRRESA-N 0 0 437.522 -0.441 20 0 IBADRN CCOc1ccc(N2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)nn1 ZINC001148537859 1074113930 /nfs/dbraw/zinc/11/39/30/1074113930.db2.gz PDUZYQQMXBXJFG-GJZGRUSLSA-N 0 0 437.522 -0.441 20 0 IBADRN CCOc1ccc(N2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)nn1 ZINC001148537860 1074113941 /nfs/dbraw/zinc/11/39/41/1074113941.db2.gz PDUZYQQMXBXJFG-HUUCEWRRSA-N 0 0 437.522 -0.441 20 0 IBADRN CCOc1ccc(N2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)nn1 ZINC001148537861 1074113911 /nfs/dbraw/zinc/11/39/11/1074113911.db2.gz PDUZYQQMXBXJFG-LSDHHAIUSA-N 0 0 437.522 -0.441 20 0 IBADRN NC(=O)c1cccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001148538966 1074113934 /nfs/dbraw/zinc/11/39/34/1074113934.db2.gz ZPPNUEQKQCDTKL-BRWVUGGUSA-N 0 0 435.502 -0.480 20 0 IBADRN NC(=O)c1cccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001148538967 1074113877 /nfs/dbraw/zinc/11/38/77/1074113877.db2.gz ZPPNUEQKQCDTKL-GVDBMIGSSA-N 0 0 435.502 -0.480 20 0 IBADRN NC(=O)c1cccc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)c1 ZINC001148544489 1074114001 /nfs/dbraw/zinc/11/40/01/1074114001.db2.gz OAQCIAFQDJVLTD-UHFFFAOYSA-N 0 0 432.867 -0.014 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cccc(C(N)=O)c1)C(=O)NCC(N)=O ZINC001148545834 1074113944 /nfs/dbraw/zinc/11/39/44/1074113944.db2.gz RHDHDBKQJXRRAP-HOTGVXAUSA-N 0 0 431.493 -0.478 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2cccc(C(N)=O)c2)[C@H]1O ZINC001148551417 1074113887 /nfs/dbraw/zinc/11/38/87/1074113887.db2.gz VOQJRBIBOSIJBA-ZCVOSGHJSA-N 0 0 441.448 -0.960 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(c2nccnn2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001148679829 1074114431 /nfs/dbraw/zinc/11/44/31/1074114431.db2.gz FYFGEMVLXAMFBK-IBGZPJMESA-N 0 0 432.506 -0.025 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(c2nccnn2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001148679833 1074114386 /nfs/dbraw/zinc/11/43/86/1074114386.db2.gz FYFGEMVLXAMFBK-LJQANCHMSA-N 0 0 432.506 -0.025 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(c3nccnn3)CC2)c1 ZINC001148680143 1074114533 /nfs/dbraw/zinc/11/45/33/1074114533.db2.gz LBTZEMFGYVOKSE-UHFFFAOYSA-N 0 0 433.494 -0.800 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)C2(O)CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001148698283 1074114493 /nfs/dbraw/zinc/11/44/93/1074114493.db2.gz NRGQPCLOTYGHDM-UHFFFAOYSA-N 0 0 435.543 -0.133 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)CC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001148699105 1074114549 /nfs/dbraw/zinc/11/45/49/1074114549.db2.gz ZNIWVXORVRIVLD-UHFFFAOYSA-N 0 0 439.600 -0.053 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCO[C@H](c3ccc(S(C)(=O)=O)cc3)C2)c1 ZINC001148744483 1074114512 /nfs/dbraw/zinc/11/45/12/1074114512.db2.gz ZLKCMCVSLVOFFN-HNNXBMFYSA-N 0 0 442.519 -0.298 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CCO[C@@H](c3ccc(S(C)(=O)=O)cc3)C2)c1 ZINC001148744487 1074114542 /nfs/dbraw/zinc/11/45/42/1074114542.db2.gz ZLKCMCVSLVOFFN-OAHLLOKOSA-N 0 0 442.519 -0.298 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(CO)CC1)N1CCN2C(=O)NC[C@@H]2C1 ZINC001148786565 1074115173 /nfs/dbraw/zinc/11/51/73/1074115173.db2.gz AEIVCALLKBRLKB-CYBMUJFWSA-N 0 0 428.536 -0.009 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(CO)CC1)N1CCN2C(=O)NC[C@H]2C1 ZINC001148786566 1074115101 /nfs/dbraw/zinc/11/51/01/1074115101.db2.gz AEIVCALLKBRLKB-ZDUSSCGKSA-N 0 0 428.536 -0.009 20 0 IBADRN COc1c(F)c(F)cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1F ZINC001148792575 1074115152 /nfs/dbraw/zinc/11/51/52/1074115152.db2.gz XFICHMFRYYWKRE-KWDXPJCYSA-N 0 0 430.339 -0.457 20 0 IBADRN NS(=O)(=O)c1cc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC001148801160 1074115129 /nfs/dbraw/zinc/11/51/29/1074115129.db2.gz DYMRGWIRYXLPSI-AWEZNQCLSA-N 0 0 428.486 -0.730 20 0 IBADRN NS(=O)(=O)c1cc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)ccc1F ZINC001148801161 1074115045 /nfs/dbraw/zinc/11/50/45/1074115045.db2.gz DYMRGWIRYXLPSI-CQSZACIVSA-N 0 0 428.486 -0.730 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCN(C(C)=O)C1 ZINC001148831220 1074115066 /nfs/dbraw/zinc/11/50/66/1074115066.db2.gz YMNVSCWNOWFYPV-GDBMZVCRSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCN(C(C)=O)C1 ZINC001148831221 1074115170 /nfs/dbraw/zinc/11/51/70/1074115170.db2.gz YMNVSCWNOWFYPV-ZBFHGGJFSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(OC)c1OC ZINC001148844212 1074115175 /nfs/dbraw/zinc/11/51/75/1074115175.db2.gz MDLARJYGYSTWKE-XWXWGSFUSA-N 0 0 436.421 -0.857 20 0 IBADRN COC(=O)NC1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001148854186 1074115161 /nfs/dbraw/zinc/11/51/61/1074115161.db2.gz UGWFNKZQUIUHCH-UHFFFAOYSA-N 0 0 433.508 -0.285 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccc(N3C(=O)C=CC3=O)cc1)C2 ZINC001148890930 1074115123 /nfs/dbraw/zinc/11/51/23/1074115123.db2.gz VZYXUXDPMIASQJ-UHFFFAOYSA-N 0 0 438.444 -0.032 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc(N4C(=O)C=CC4=O)cc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001148895089 1074115107 /nfs/dbraw/zinc/11/51/07/1074115107.db2.gz JTTKWWLGMFQMCG-HDMKZQKVSA-N 0 0 440.412 -0.235 20 0 IBADRN CC[NH+]1CCN(c2ccc(C(=O)n3c(N)c(N)c(OS(=O)(=O)[O-])nc3=N)cc2)CC1 ZINC001148997162 1074115505 /nfs/dbraw/zinc/11/55/05/1074115505.db2.gz AXYBOCNXRKHMRN-UHFFFAOYSA-N 0 0 437.482 -0.461 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@@H](CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC001149069157 1073009630 /nfs/dbraw/zinc/00/96/30/1073009630.db2.gz ASNPWMAWWOSVHO-INIZCTEOSA-N 0 0 449.533 -0.411 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@H](CNC(=O)c2ccc(S(=O)(=O)NCC(N)=O)o2)C1 ZINC001149069159 1073009695 /nfs/dbraw/zinc/00/96/95/1073009695.db2.gz ASNPWMAWWOSVHO-MRXNPFEDSA-N 0 0 449.533 -0.411 20 0 IBADRN COc1cc(Br)c(C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)cc1O ZINC001149071599 1073019516 /nfs/dbraw/zinc/01/95/16/1073019516.db2.gz WSDZWIMEOHTQFA-ZLDYVJPJSA-N 0 0 436.255 -0.263 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)c1cccc(S(=O)(=O)N3CCOCC3)c1)C2 ZINC001149102930 1073063510 /nfs/dbraw/zinc/06/35/10/1073063510.db2.gz FLNJJUOZHXEMKJ-UHFFFAOYSA-N 0 0 448.505 -0.409 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001149103838 1073067285 /nfs/dbraw/zinc/06/72/85/1073067285.db2.gz JRPDZXVVAKPCBK-INIZCTEOSA-N 0 0 439.490 -0.399 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001149103839 1073067427 /nfs/dbraw/zinc/06/74/27/1073067427.db2.gz JRPDZXVVAKPCBK-MRXNPFEDSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1C(C)=O ZINC001149106348 1074090543 /nfs/dbraw/zinc/09/05/43/1074090543.db2.gz RGJWSOAKRNLMCV-KRWDZBQOSA-N 0 0 439.490 -0.447 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1C(C)=O ZINC001149106349 1074090539 /nfs/dbraw/zinc/09/05/39/1074090539.db2.gz RGJWSOAKRNLMCV-QGZVFWFLSA-N 0 0 439.490 -0.447 20 0 IBADRN CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001149110527 1073065908 /nfs/dbraw/zinc/06/59/08/1073065908.db2.gz DXKRBTQVUZOAAT-CYBMUJFWSA-N 0 0 446.551 -0.417 20 0 IBADRN CN(C)C(=O)N(C)[C@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001149110528 1073065769 /nfs/dbraw/zinc/06/57/69/1073065769.db2.gz DXKRBTQVUZOAAT-ZDUSSCGKSA-N 0 0 446.551 -0.417 20 0 IBADRN COC(=O)[C@H](Cc1cncn1C)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001149113193 1073097892 /nfs/dbraw/zinc/09/78/92/1073097892.db2.gz FPPFTWSERIRKBF-KRWDZBQOSA-N 0 0 436.490 -0.045 20 0 IBADRN COC(=O)[C@@H](Cc1cncn1C)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001149113194 1073097922 /nfs/dbraw/zinc/09/79/22/1073097922.db2.gz FPPFTWSERIRKBF-QGZVFWFLSA-N 0 0 436.490 -0.045 20 0 IBADRN O=C(NCCCN1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001149113482 1073097978 /nfs/dbraw/zinc/09/79/78/1073097978.db2.gz JSYMMEZNQHRHLS-UHFFFAOYSA-N 0 0 445.563 -0.442 20 0 IBADRN O=C(NCCOCCN1C(=O)C=CC1=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001149113769 1073098086 /nfs/dbraw/zinc/09/80/86/1073098086.db2.gz NRUZGSZTEZETAG-UHFFFAOYSA-N 0 0 437.474 -0.621 20 0 IBADRN CNC(=O)CC1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001149176623 1073098071 /nfs/dbraw/zinc/09/80/71/1073098071.db2.gz KCIRCUUARSPCQP-UHFFFAOYSA-N 0 0 431.536 -0.257 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2cccc3c2OCC3)[C@H]1O ZINC001149186861 1073097832 /nfs/dbraw/zinc/09/78/32/1073097832.db2.gz ZTDPFOJHPNFCHQ-ZZNFZSSBSA-N 0 0 440.460 -0.124 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCN(C(=O)N2CCN(Cc3ccccc3)CC2)CC1)C(N)=O ZINC001149219984 1073097788 /nfs/dbraw/zinc/09/77/88/1073097788.db2.gz MBGSHWGSTTUMLT-IBGZPJMESA-N 0 0 444.536 -0.552 20 0 IBADRN Cn1cnn(CC(=O)N2CCN(C(=O)N3CCN(Cc4ccccc4)CC3)CC2)c1=O ZINC001149220321 1073098003 /nfs/dbraw/zinc/09/80/03/1073098003.db2.gz VWISUCNMYOVJQT-UHFFFAOYSA-N 0 0 427.509 -0.336 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc2[nH]c(CO)nc2c1 ZINC001149313025 1073098098 /nfs/dbraw/zinc/09/80/98/1073098098.db2.gz QCQPYKJEXOAMTE-HOTGVXAUSA-N 0 0 425.449 -0.404 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)N3CCN(c4ccccc4S(N)(=O)=O)CC3)CC2=O)cn1 ZINC001149335026 1073097879 /nfs/dbraw/zinc/09/78/79/1073097879.db2.gz XFMZKVUGQWBDNO-AWEZNQCLSA-N 0 0 432.506 -0.231 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)N3CCN(c4ccccc4S(N)(=O)=O)CC3)CC2=O)cn1 ZINC001149335027 1073098125 /nfs/dbraw/zinc/09/81/25/1073098125.db2.gz XFMZKVUGQWBDNO-CQSZACIVSA-N 0 0 432.506 -0.231 20 0 IBADRN O=C(NCC(=O)N(CCO)CCOCCO)c1ccccc1I ZINC001149353451 1073097951 /nfs/dbraw/zinc/09/79/51/1073097951.db2.gz WGGUKPQEJQVZDG-UHFFFAOYSA-N 0 0 436.246 -0.149 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N(CCO)CCOCCO)(S(C)(=O)=O)CC1 ZINC001149359397 1074071714 /nfs/dbraw/zinc/07/17/14/1074071714.db2.gz AFAQCMGEHXGDJC-UHFFFAOYSA-N 0 0 438.543 -0.370 20 0 IBADRN CS(=O)(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001149364540 1073098053 /nfs/dbraw/zinc/09/80/53/1073098053.db2.gz YYWIFUCNENWEPG-UHFFFAOYSA-N 0 0 429.520 -0.544 20 0 IBADRN COC(=O)c1cc(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)ccn1 ZINC001149368176 1073097849 /nfs/dbraw/zinc/09/78/49/1073097849.db2.gz NUZVGOMWVQEFPK-UHFFFAOYSA-N 0 0 432.458 -0.081 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)Cn2cnc3ccc(Br)cc3c2=O)CC1 ZINC001149392526 1073097991 /nfs/dbraw/zinc/09/79/91/1073097991.db2.gz DYFWNMLUNYLWJM-UHFFFAOYSA-N 0 0 444.311 -0.057 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@@](F)(C(=O)NC2CCN(S(N)(=O)=O)CC2)C1 ZINC001149392771 1073098344 /nfs/dbraw/zinc/09/83/44/1073098344.db2.gz JPJJQOWQNBGUDF-KRWDZBQOSA-N 0 0 436.506 -0.254 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@](F)(C(=O)NC2CCN(S(N)(=O)=O)CC2)C1 ZINC001149392772 1073098495 /nfs/dbraw/zinc/09/84/95/1073098495.db2.gz JPJJQOWQNBGUDF-QGZVFWFLSA-N 0 0 436.506 -0.254 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1[nH]nc2ncccc21)C(=O)NCC(N)=O ZINC001149399127 1073098442 /nfs/dbraw/zinc/09/84/42/1073098442.db2.gz BTAFVWJWSDHRTR-KBPBESRZSA-N 0 0 429.481 -0.305 20 0 IBADRN O=C(c1[nH]nc2ncccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001149399409 1073098386 /nfs/dbraw/zinc/09/83/86/1073098386.db2.gz ORCDXOWJSNOXAV-BPLDGKMQSA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1[nH]nc2ncccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001149399430 1073098465 /nfs/dbraw/zinc/09/84/65/1073098465.db2.gz ORCDXOWJSNOXAV-NWANDNLSSA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1ccc2nonc2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001149417968 1073098430 /nfs/dbraw/zinc/09/84/30/1073098430.db2.gz PYKXDEWRXAMNQF-CKEIUWERSA-N 0 0 434.474 -0.043 20 0 IBADRN O=C(c1ccc2nonc2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001149417969 1073098282 /nfs/dbraw/zinc/09/82/82/1073098282.db2.gz PYKXDEWRXAMNQF-JJRVBVJISA-N 0 0 434.474 -0.043 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc2nonc2c1)C(=O)NCC(N)=O ZINC001149419404 1073098398 /nfs/dbraw/zinc/09/83/98/1073098398.db2.gz AKCOBEOPUMHPKZ-HOTGVXAUSA-N 0 0 430.465 -0.040 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)C1=CN3NC=CC=C3N1)CCC2)N1CCCC1 ZINC001149434892 1073098505 /nfs/dbraw/zinc/09/85/05/1073098505.db2.gz HUNXAMAAFHAMBJ-UHFFFAOYSA-N 0 0 426.481 -0.231 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)C2=CN4NC=CC=C4N2)CC3)C1=O ZINC001149436294 1073098307 /nfs/dbraw/zinc/09/83/07/1073098307.db2.gz IKQQEZHBFQQLCZ-FQEVSTJZSA-N 0 0 448.549 -0.661 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)C2=CN4NC=CC=C4N2)CC3)C1=O ZINC001149436301 1073098453 /nfs/dbraw/zinc/09/84/53/1073098453.db2.gz IKQQEZHBFQQLCZ-HXUWFJFHSA-N 0 0 448.549 -0.661 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)C1=CN3NC=CC=C3N1)C2 ZINC001149436557 1073098251 /nfs/dbraw/zinc/09/82/51/1073098251.db2.gz LSRZFKVGCARHLE-INIZCTEOSA-N 0 0 427.509 -0.185 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)C1=CN3NC=CC=C3N1)C2 ZINC001149436559 1073098475 /nfs/dbraw/zinc/09/84/75/1073098475.db2.gz LSRZFKVGCARHLE-MRXNPFEDSA-N 0 0 427.509 -0.185 20 0 IBADRN O=C(C1=CN2NC=CC=C2N1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001149437168 1073098523 /nfs/dbraw/zinc/09/85/23/1073098523.db2.gz VIJRUIQTFBLYCV-SYNHAJSKSA-N 0 0 442.501 -0.415 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cn3c(n2)C=CCN3)cc1Cl ZINC001149441696 1073098292 /nfs/dbraw/zinc/09/82/92/1073098292.db2.gz GMFLTSXTNKVPPK-UHFFFAOYSA-N 0 0 432.871 -0.807 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=CN2NC=CC=C2N1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001149443542 1073098378 /nfs/dbraw/zinc/09/83/78/1073098378.db2.gz DVFTWLZNPDEGGW-SJORKVTESA-N 0 0 434.474 -0.209 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)C2=CN3NC=CC=C3N2)CC1 ZINC001149444430 1073098332 /nfs/dbraw/zinc/09/83/32/1073098332.db2.gz YEWDKPOFDUPGOY-UHFFFAOYSA-N 0 0 440.526 -0.395 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)C3=CN4NC=CC=C4N3)ccc12 ZINC001149448468 1073098756 /nfs/dbraw/zinc/09/87/56/1073098756.db2.gz NLSYAMCMXQFJBG-UHFFFAOYSA-N 0 0 436.428 -0.108 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NOCCN1CCCC1=O ZINC001149452276 1073098767 /nfs/dbraw/zinc/09/87/67/1073098767.db2.gz QURCQDLHMYGXJD-UHFFFAOYSA-N 0 0 427.479 0.000 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)C1=CNN3C=CNC=C13)C2 ZINC001149453376 1073098797 /nfs/dbraw/zinc/09/87/97/1073098797.db2.gz AJVKUHQRZYLCLG-INIZCTEOSA-N 0 0 427.509 -0.185 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)C1=CNN3C=CNC=C13)C2 ZINC001149453381 1073098901 /nfs/dbraw/zinc/09/89/01/1073098901.db2.gz AJVKUHQRZYLCLG-MRXNPFEDSA-N 0 0 427.509 -0.185 20 0 IBADRN O=C(C1=CNN2C=CNC=C12)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001149453431 1073098883 /nfs/dbraw/zinc/09/88/83/1073098883.db2.gz BRHFXCWOCBKYSM-BPAFIMBUSA-N 0 0 442.501 -0.415 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)C2=CNN4C=CNC=C24)CC3)C1=O ZINC001149454142 1073098696 /nfs/dbraw/zinc/09/86/96/1073098696.db2.gz OMKBPWPOSMLBSW-FQEVSTJZSA-N 0 0 448.549 -0.661 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)C2=CNN4C=CNC=C24)CC3)C1=O ZINC001149454143 1073098868 /nfs/dbraw/zinc/09/88/68/1073098868.db2.gz OMKBPWPOSMLBSW-HXUWFJFHSA-N 0 0 448.549 -0.661 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)C1=CNN3C=CNC=C13)CCC2)N1CCCC1 ZINC001149454284 1073098784 /nfs/dbraw/zinc/09/87/84/1073098784.db2.gz QAWFCXJXBWIFAA-UHFFFAOYSA-N 0 0 426.481 -0.231 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)C2=CNN3C=CNC=C23)cc1Cl ZINC001149457084 1073098818 /nfs/dbraw/zinc/09/88/18/1073098818.db2.gz IPULZRDYCQSFSC-UHFFFAOYSA-N 0 0 432.871 -0.807 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)C2=CNN3C=CNC=C23)CC1 ZINC001149460831 1073098931 /nfs/dbraw/zinc/09/89/31/1073098931.db2.gz GHFCDDHKRGFCEJ-UHFFFAOYSA-N 0 0 440.526 -0.395 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=CNN2C=CNC=C12)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001149460851 1073098876 /nfs/dbraw/zinc/09/88/76/1073098876.db2.gz HBVGYNVMKBFMJF-SJORKVTESA-N 0 0 434.474 -0.209 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)C3=CNN4C=CNC=C34)ccc12 ZINC001149465753 1073098920 /nfs/dbraw/zinc/09/89/20/1073098920.db2.gz ZUYIBBDGKFZSII-UHFFFAOYSA-N 0 0 436.428 -0.108 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)N[C@@H](Cc3ccccc3)C(=O)NCCC(N)=O)CCC2=O)cn1 ZINC001149470836 1073099291 /nfs/dbraw/zinc/09/92/91/1073099291.db2.gz UCNTWXJZQJDWKR-AEFFLSMTSA-N 0 0 440.504 -0.118 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)N[C@H](Cc3ccccc3)C(=O)NCCC(N)=O)CCC2=O)cn1 ZINC001149470837 1073099104 /nfs/dbraw/zinc/09/91/04/1073099104.db2.gz UCNTWXJZQJDWKR-FUHWJXTLSA-N 0 0 440.504 -0.118 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)N[C@H](Cc3ccccc3)C(=O)NCCC(N)=O)CCC2=O)cn1 ZINC001149470838 1073099386 /nfs/dbraw/zinc/09/93/86/1073099386.db2.gz UCNTWXJZQJDWKR-SJLPKXTDSA-N 0 0 440.504 -0.118 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)N[C@@H](Cc3ccccc3)C(=O)NCCC(N)=O)CCC2=O)cn1 ZINC001149470839 1073099138 /nfs/dbraw/zinc/09/91/38/1073099138.db2.gz UCNTWXJZQJDWKR-WMZOPIPTSA-N 0 0 440.504 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N2CCC(C(=O)NCCO)CC2)C1 ZINC001149557392 1073099359 /nfs/dbraw/zinc/09/93/59/1073099359.db2.gz CGQVXQPCIXJZMR-HNNXBMFYSA-N 0 0 447.554 -0.242 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N2CCC(C(=O)NCCO)CC2)C1 ZINC001149557393 1073099373 /nfs/dbraw/zinc/09/93/73/1073099373.db2.gz CGQVXQPCIXJZMR-OAHLLOKOSA-N 0 0 447.554 -0.242 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CCC(C(=O)NCCO)CC1 ZINC001149558465 1073099153 /nfs/dbraw/zinc/09/91/53/1073099153.db2.gz LGANPVAHGWKBQI-UHFFFAOYSA-N 0 0 446.547 -0.534 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)Nn2ccc(=O)[nH]c2=O)cc1 ZINC001149611840 1073099302 /nfs/dbraw/zinc/09/93/02/1073099302.db2.gz UEJIRIBPAQUPDB-UHFFFAOYSA-N 0 0 426.451 -0.384 20 0 IBADRN Cc1cc2nnc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)c(C)n2n1 ZINC001149626193 1073099245 /nfs/dbraw/zinc/09/92/45/1073099245.db2.gz RFACSAYPMQJEPI-KBPBESRZSA-N 0 0 425.453 -0.718 20 0 IBADRN CNc1ccc2ncc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)n2n1 ZINC001149640847 1073099196 /nfs/dbraw/zinc/09/91/96/1073099196.db2.gz CUTKDVKWFOKWKG-STQMWFEESA-N 0 0 425.453 -0.689 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)NCCN2C(=O)CN1CCS(=O)(=O)CC1 ZINC001149665508 1073099313 /nfs/dbraw/zinc/09/93/13/1073099313.db2.gz XATQGGBLZFEOBO-UHFFFAOYSA-N 0 0 430.527 -0.945 20 0 IBADRN COc1ccc(CC(=O)NC2CC(CO)(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001149678690 1073099726 /nfs/dbraw/zinc/09/97/26/1073099726.db2.gz DJOMRBLUELYOSL-UHFFFAOYSA-N 0 0 428.507 -0.492 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NCC12COCCN1CCOC2 ZINC001149679581 1073099693 /nfs/dbraw/zinc/09/96/93/1073099693.db2.gz KUYRQOHIFBTMDA-UHFFFAOYSA-N 0 0 446.547 -0.664 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCC12COCCN1CCOC2)c1ccc(S(C)(=O)=O)cc1 ZINC001149679951 1073099704 /nfs/dbraw/zinc/09/97/04/1073099704.db2.gz NPNMQWKYGPEGRL-IBGZPJMESA-N 0 0 439.534 -0.341 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCC12COCCN1CCOC2)c1ccc(S(C)(=O)=O)cc1 ZINC001149679952 1073099618 /nfs/dbraw/zinc/09/96/18/1073099618.db2.gz NPNMQWKYGPEGRL-LJQANCHMSA-N 0 0 439.534 -0.341 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)c1cccc(C(=O)NCC23COCCN2CCOC3)c1 ZINC001149679956 1073099668 /nfs/dbraw/zinc/09/96/68/1073099668.db2.gz NVYCKYMIUMCXMH-UHFFFAOYSA-N 0 0 431.536 -0.066 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCC34COCCN3CCOC4)cc2S1(=O)=O ZINC001149680214 1073099502 /nfs/dbraw/zinc/09/95/02/1073099502.db2.gz PXAACUDWIBRKOE-UHFFFAOYSA-N 0 0 439.490 -0.692 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCC23COCCN2CCOC3)CC1 ZINC001149680218 1073099687 /nfs/dbraw/zinc/09/96/87/1073099687.db2.gz PZGZJIYHQGHLSR-UHFFFAOYSA-N 0 0 441.554 -0.355 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC3CC(CO)(CO)C3)ccc2F)CC1 ZINC001149688055 1073099580 /nfs/dbraw/zinc/09/95/80/1073099580.db2.gz TZUKPATZYCIHNT-UHFFFAOYSA-N 0 0 443.497 -0.458 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1NS(=O)(=O)C1CC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001149694574 1073099606 /nfs/dbraw/zinc/09/96/06/1073099606.db2.gz DIXKXJLYJUEMFJ-OCVGTWLNSA-N 0 0 447.579 -0.469 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1NS(=O)(=O)C1CC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001149694575 1073099641 /nfs/dbraw/zinc/09/96/41/1073099641.db2.gz DIXKXJLYJUEMFJ-RRCSTGOVSA-N 0 0 447.579 -0.469 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1NS(=O)(=O)C1CC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001149694576 1073099716 /nfs/dbraw/zinc/09/97/16/1073099716.db2.gz DIXKXJLYJUEMFJ-SJXGUFTOSA-N 0 0 447.579 -0.469 20 0 IBADRN O=C(N[C@@H]1CCCC[C@H]1NS(=O)(=O)C1CC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001149694577 1073099554 /nfs/dbraw/zinc/09/95/54/1073099554.db2.gz DIXKXJLYJUEMFJ-WMHQRMGPSA-N 0 0 447.579 -0.469 20 0 IBADRN COc1ccc(Cn2ccnc(C(=O)N3CC[C@]4(C3)CNC(=O)CN4C(C)=O)c2=O)cc1 ZINC001149718142 1073099567 /nfs/dbraw/zinc/09/95/67/1073099567.db2.gz AHJYDVZIYDFGPD-JOCHJYFZSA-N 0 0 439.472 -0.137 20 0 IBADRN COc1ccc(Cn2ccnc(C(=O)N3CC[C@@]4(C3)CNC(=O)CN4C(C)=O)c2=O)cc1 ZINC001149718143 1073099631 /nfs/dbraw/zinc/09/96/31/1073099631.db2.gz AHJYDVZIYDFGPD-QFIPXVFZSA-N 0 0 439.472 -0.137 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CN3CCOCC3)C2)cc1OC ZINC001149727111 1073099743 /nfs/dbraw/zinc/09/97/43/1073099743.db2.gz XLEQASGVVUDDNB-HNNXBMFYSA-N 0 0 443.522 -0.458 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](CN3CCOCC3)C2)cc1OC ZINC001149727113 1073099648 /nfs/dbraw/zinc/09/96/48/1073099648.db2.gz XLEQASGVVUDDNB-OAHLLOKOSA-N 0 0 443.522 -0.458 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CN3CCOCC3)C2)cc1 ZINC001149727314 1073099525 /nfs/dbraw/zinc/09/95/25/1073099525.db2.gz ZUUJAGCIYHLCQZ-KRWDZBQOSA-N 0 0 425.507 -0.273 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](CN3CCOCC3)C2)cc1 ZINC001149727316 1073099768 /nfs/dbraw/zinc/09/97/68/1073099768.db2.gz ZUUJAGCIYHLCQZ-QGZVFWFLSA-N 0 0 425.507 -0.273 20 0 IBADRN COc1ccc(Cn2ccnc(C(=O)NCCOCCN3C(=O)C=CC3=O)c2=O)cc1 ZINC001149728808 1073099595 /nfs/dbraw/zinc/09/95/95/1073099595.db2.gz FBZWKNVBQZDBGC-UHFFFAOYSA-N 0 0 426.429 -0.028 20 0 IBADRN COC(=O)[C@@H](c1cccc(Cl)c1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001149767988 1073100102 /nfs/dbraw/zinc/10/01/02/1073100102.db2.gz XJNVCLVLRNHKEK-GOEBONIOSA-N 0 0 443.909 -0.389 20 0 IBADRN COC(=O)[C@H](c1cccc(Cl)c1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001149767989 1073100019 /nfs/dbraw/zinc/10/00/19/1073100019.db2.gz XJNVCLVLRNHKEK-HOCLYGCPSA-N 0 0 443.909 -0.389 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(N)(=O)=O)cc3F)CC2)cn1C ZINC001149785530 1073099900 /nfs/dbraw/zinc/09/99/00/1073099900.db2.gz KVBCDJLREOUDRZ-UHFFFAOYSA-N 0 0 445.498 -0.338 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)c3nc4c(=O)[nH]c5ccccc5n4n3)C[C@H]2C1 ZINC001149829243 1073099919 /nfs/dbraw/zinc/09/99/19/1073099919.db2.gz BQWKJWCUCCTUGL-OLZOCXBDSA-N 0 0 445.505 -0.229 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1nc3c(=O)[nH]c4ccccc4n3n1)C2 ZINC001149829535 1073100087 /nfs/dbraw/zinc/10/00/87/1073100087.db2.gz BVQILSIQPFSBKT-JTQLQIEISA-N 0 0 431.430 -0.627 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1nc3c(=O)[nH]c4ccccc4n3n1)C2 ZINC001149829536 1073100099 /nfs/dbraw/zinc/10/00/99/1073100099.db2.gz BVQILSIQPFSBKT-SNVBAGLBSA-N 0 0 431.430 -0.627 20 0 IBADRN O=C(c1nc2c(=O)[nH]c3ccccc3n2n1)N1Cc2[nH]nc(C(=O)N3CCOCC3)c2C1 ZINC001149829893 1073100050 /nfs/dbraw/zinc/10/00/50/1073100050.db2.gz KZUVHMQWPGUGRW-UHFFFAOYSA-N 0 0 434.416 -0.078 20 0 IBADRN O=C(c1nc2c(=O)[nH]c3ccccc3n2n1)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001149831039 1073100031 /nfs/dbraw/zinc/10/00/31/1073100031.db2.gz XPPIIJNUGBRNCR-UHFFFAOYSA-N 0 0 435.448 -0.350 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)c3nc4c(=O)[nH]c5ccccc5n4n3)CC[C@H]2C1=O ZINC001149831068 1073100182 /nfs/dbraw/zinc/10/01/82/1073100182.db2.gz XYLPOYSAMREQAJ-CJNGLKHVSA-N 0 0 440.460 -0.093 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](CO)Cc2cncs2)s1 ZINC001149833962 1073099993 /nfs/dbraw/zinc/09/99/93/1073099993.db2.gz WCWOIVZYWUBAHF-LLVKDONJSA-N 0 0 432.549 -0.161 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](CO)Cc2cncs2)s1 ZINC001149833963 1073100092 /nfs/dbraw/zinc/10/00/92/1073100092.db2.gz WCWOIVZYWUBAHF-NSHDSACASA-N 0 0 432.549 -0.161 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1nc3c(=O)[nH]c4ccccc4n3n1)C[C@@H]2C(=O)N(C)C ZINC001149834544 1073100144 /nfs/dbraw/zinc/10/01/44/1073100144.db2.gz PUBJVXTXBRVKPM-CYBMUJFWSA-N 0 0 437.460 -0.421 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1nc3c(=O)[nH]c4ccccc4n3n1)C[C@H]2C(=O)N(C)C ZINC001149834545 1073100155 /nfs/dbraw/zinc/10/01/55/1073100155.db2.gz PUBJVXTXBRVKPM-ZDUSSCGKSA-N 0 0 437.460 -0.421 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1nc2c(=O)[nH]c3ccccc3n2n1 ZINC001149841021 1073100591 /nfs/dbraw/zinc/10/05/91/1073100591.db2.gz DWVISBDKQJLHJM-AWEZNQCLSA-N 0 0 433.428 -0.487 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2[nH]nc3c2CN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001149934196 1073100532 /nfs/dbraw/zinc/10/05/32/1073100532.db2.gz ARTVONFRIRUWRQ-NLYHGNEKSA-N 0 0 442.469 -0.766 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2sc(C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)cc21 ZINC001149944925 1073100606 /nfs/dbraw/zinc/10/06/06/1073100606.db2.gz FAZMQCSRIPISBK-JSJULEQHSA-N 0 0 430.479 -0.425 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2sc(C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)cc21 ZINC001149944926 1073100487 /nfs/dbraw/zinc/10/04/87/1073100487.db2.gz FAZMQCSRIPISBK-ZIMYNFRDSA-N 0 0 430.479 -0.425 20 0 IBADRN CON(C)C(=O)[C@H](C)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001149965300 1073100619 /nfs/dbraw/zinc/10/06/19/1073100619.db2.gz XSNQIYMUFLHTPA-HNNXBMFYSA-N 0 0 440.522 -0.176 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cn3ccc(Br)cc3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001149971932 1073100564 /nfs/dbraw/zinc/10/05/64/1073100564.db2.gz ZOFKCLKXGGCDMT-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nc3c(Cl)nc4ccccc4n3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001149982970 1073100542 /nfs/dbraw/zinc/10/05/42/1073100542.db2.gz CDLACQLAXRMASD-HNKGXJAQSA-N 0 0 437.840 -0.495 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)c1nnn3c1CN(C(=O)OC(C)(C)C)CC3)C2 ZINC001150018041 1073100518 /nfs/dbraw/zinc/10/05/18/1073100518.db2.gz FBAAQPCSDXRHQW-UHFFFAOYSA-N 0 0 445.484 -0.088 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)c1nnn3c1CN(C(=O)OC(C)(C)C)CC3)C2 ZINC001150019863 1073100610 /nfs/dbraw/zinc/10/06/10/1073100610.db2.gz YSHUYLSRJHJKDI-FQEVSTJZSA-N 0 0 447.496 -0.408 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)c1nnn3c1CN(C(=O)OC(C)(C)C)CC3)C2 ZINC001150019864 1073100645 /nfs/dbraw/zinc/10/06/45/1073100645.db2.gz YSHUYLSRJHJKDI-HXUWFJFHSA-N 0 0 447.496 -0.408 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2nnn3c2CN(C(=O)OC(C)(C)C)CC3)CCN1C(C)=O ZINC001150022555 1073101096 /nfs/dbraw/zinc/10/10/96/1073101096.db2.gz PNEVTQIGJIUEDU-AWEZNQCLSA-N 0 0 436.469 -0.125 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2nnn3c2CN(C(=O)OC(C)(C)C)CC3)CCN1C(C)=O ZINC001150022556 1073101155 /nfs/dbraw/zinc/10/11/55/1073101155.db2.gz PNEVTQIGJIUEDU-CQSZACIVSA-N 0 0 436.469 -0.125 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1nnn2c1CN(C(=O)OC(C)(C)C)CC2 ZINC001150023434 1073101142 /nfs/dbraw/zinc/10/11/42/1073101142.db2.gz WOOOLISEKULJPY-GFCCVEGCSA-N 0 0 436.469 -0.077 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)c1nnn2c1CN(C(=O)OC(C)(C)C)CC2 ZINC001150023435 1073101113 /nfs/dbraw/zinc/10/11/13/1073101113.db2.gz WOOOLISEKULJPY-LBPRGKRZSA-N 0 0 436.469 -0.077 20 0 IBADRN CC(C)(C)OC(=O)N1CCn2nnc(C(=O)NCCOCCN3C(=O)C=CC3=O)c2C1 ZINC001150027284 1073101168 /nfs/dbraw/zinc/10/11/68/1073101168.db2.gz GLRPOBRJDIQNAN-UHFFFAOYSA-N 0 0 434.453 -0.300 20 0 IBADRN CC(C)(C)OC(=O)N1CCn2nnc(C(=O)NCCCN3CCS(=O)(=O)CC3)c2C1 ZINC001150027762 1073101085 /nfs/dbraw/zinc/10/10/85/1073101085.db2.gz JKCMUBDXVOMQLP-UHFFFAOYSA-N 0 0 442.542 -0.121 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2NC(=O)c3ccc(C(=O)NC(CO)(CO)CO)cc3[C@H]2C1 ZINC001150041973 1073101159 /nfs/dbraw/zinc/10/11/59/1073101159.db2.gz OODQEDMLOIWAAI-CVEARBPZSA-N 0 0 435.477 -0.422 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2NC(=O)c3ccc(C(=O)NC(CO)(CO)CO)cc3[C@@H]2C1 ZINC001150041974 1073101187 /nfs/dbraw/zinc/10/11/87/1073101187.db2.gz OODQEDMLOIWAAI-HOTGVXAUSA-N 0 0 435.477 -0.422 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2NC(=O)c3ccc(C(=O)NC(CO)(CO)CO)cc3[C@H]2C1 ZINC001150041975 1073101163 /nfs/dbraw/zinc/10/11/63/1073101163.db2.gz OODQEDMLOIWAAI-HZPDHXFCSA-N 0 0 435.477 -0.422 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2c3cc(C(=O)NC(CO)(CO)CO)ccc3C(=O)N[C@@H]2C1 ZINC001150041976 1073101130 /nfs/dbraw/zinc/10/11/30/1073101130.db2.gz OODQEDMLOIWAAI-JKSUJKDBSA-N 0 0 435.477 -0.422 20 0 IBADRN CC(C)n1cnc([C@H]2CN(S(C)(=O)=O)c3ccc(C(=O)N[C@@H](CO)C(N)=O)cc32)n1 ZINC001150061416 1073101065 /nfs/dbraw/zinc/10/10/65/1073101065.db2.gz HWHXIEGMQIDYSW-KBPBESRZSA-N 0 0 436.494 -0.654 20 0 IBADRN CC(C)n1cnc([C@@H]2CN(S(C)(=O)=O)c3ccc(C(=O)N[C@@H](CO)C(N)=O)cc32)n1 ZINC001150061417 1073101150 /nfs/dbraw/zinc/10/11/50/1073101150.db2.gz HWHXIEGMQIDYSW-KGLIPLIRSA-N 0 0 436.494 -0.654 20 0 IBADRN CC(C)n1cnc([C@H]2CN(S(C)(=O)=O)c3ccc(C(=O)N[C@H](CO)C(N)=O)cc32)n1 ZINC001150061418 1073101107 /nfs/dbraw/zinc/10/11/07/1073101107.db2.gz HWHXIEGMQIDYSW-UONOGXRCSA-N 0 0 436.494 -0.654 20 0 IBADRN CC(C)n1cnc([C@@H]2CN(S(C)(=O)=O)c3ccc(C(=O)N[C@H](CO)C(N)=O)cc32)n1 ZINC001150061419 1073101140 /nfs/dbraw/zinc/10/11/40/1073101140.db2.gz HWHXIEGMQIDYSW-ZIAGYGMSSA-N 0 0 436.494 -0.654 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CC(NS(N)(=O)=O)C3)CC2=O)cc1OC ZINC001150087482 1073101728 /nfs/dbraw/zinc/10/17/28/1073101728.db2.gz KXKHBECOUAQION-CYBMUJFWSA-N 0 0 426.495 -0.901 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CC(NS(N)(=O)=O)C3)CC2=O)cc1OC ZINC001150087483 1073101555 /nfs/dbraw/zinc/10/15/55/1073101555.db2.gz KXKHBECOUAQION-ZDUSSCGKSA-N 0 0 426.495 -0.901 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)CS(=O)(=O)Cc2cccc(Br)c2)C1 ZINC001150087725 1073101627 /nfs/dbraw/zinc/10/16/27/1073101627.db2.gz SNBYMQUULIHZCV-UHFFFAOYSA-N 0 0 426.314 -0.632 20 0 IBADRN CC(C)(C)n1ncc(C(=O)N2CC(NS(N)(=O)=O)C2)c1I ZINC001150088105 1073101708 /nfs/dbraw/zinc/10/17/08/1073101708.db2.gz ZDFONJDNBOIWAY-UHFFFAOYSA-N 0 0 427.268 -0.140 20 0 IBADRN NC(=O)C1(O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)c(Cl)cc2Cl)C1 ZINC001150111745 1073101746 /nfs/dbraw/zinc/10/17/46/1073101746.db2.gz PXIBZBHMWQYNHY-UHFFFAOYSA-N 0 0 438.289 -0.314 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2CC(O)(C(N)=O)C2)c1 ZINC001150112464 1073101649 /nfs/dbraw/zinc/10/16/49/1073101649.db2.gz XYYGGUROCBDKOJ-UHFFFAOYSA-N 0 0 436.284 -0.954 20 0 IBADRN NC(=O)[C@@H](F)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001150157325 1073101683 /nfs/dbraw/zinc/10/16/83/1073101683.db2.gz YKHAZCIOLABBNQ-INIZCTEOSA-N 0 0 426.470 -0.517 20 0 IBADRN NC(=O)[C@H](F)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001150157326 1073101614 /nfs/dbraw/zinc/10/16/14/1073101614.db2.gz YKHAZCIOLABBNQ-MRXNPFEDSA-N 0 0 426.470 -0.517 20 0 IBADRN COc1cccc(CN2CCN(C(=O)CNS(=O)(=O)c3c[nH]c(C(N)=O)c3)CC2)c1 ZINC001150163494 1073101659 /nfs/dbraw/zinc/10/16/59/1073101659.db2.gz PWAQULKQXNYJIR-UHFFFAOYSA-N 0 0 435.506 -0.255 20 0 IBADRN CS(=O)(=O)CCNC(=O)c1ccc2ccc(N3CCN(S(C)(=O)=O)CC3)n2c1 ZINC001150175164 1073101758 /nfs/dbraw/zinc/10/17/58/1073101758.db2.gz RFVDHEZBFWFSST-UHFFFAOYSA-N 0 0 428.536 -0.205 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CCO[C@H](C(N)=O)C4)cn32)CC1 ZINC001150180199 1073101598 /nfs/dbraw/zinc/10/15/98/1073101598.db2.gz GPIZKPIWXRQQRO-INIZCTEOSA-N 0 0 435.506 -0.653 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CCO[C@@H](C(N)=O)C4)cn32)CC1 ZINC001150180200 1073101718 /nfs/dbraw/zinc/10/17/18/1073101718.db2.gz GPIZKPIWXRQQRO-MRXNPFEDSA-N 0 0 435.506 -0.653 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CC[C@H](O)[C@@H](CO)C4)cn32)CC1 ZINC001150180239 1073101604 /nfs/dbraw/zinc/10/16/04/1073101604.db2.gz ILNZWQJONMVQSO-AEFFLSMTSA-N 0 0 436.534 -0.164 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CC[C@@H](O)[C@H](CO)C4)cn32)CC1 ZINC001150180240 1073101633 /nfs/dbraw/zinc/10/16/33/1073101633.db2.gz ILNZWQJONMVQSO-FUHWJXTLSA-N 0 0 436.534 -0.164 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CC[C@@H](O)[C@@H](CO)C4)cn32)CC1 ZINC001150180241 1073101590 /nfs/dbraw/zinc/10/15/90/1073101590.db2.gz ILNZWQJONMVQSO-SJLPKXTDSA-N 0 0 436.534 -0.164 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CC[C@H](O)[C@H](CO)C4)cn32)CC1 ZINC001150180242 1073102136 /nfs/dbraw/zinc/10/21/36/1073102136.db2.gz ILNZWQJONMVQSO-WMZOPIPTSA-N 0 0 436.534 -0.164 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CC[C@H](CO)[C@@H](O)C4)cn32)CC1 ZINC001150180401 1073102207 /nfs/dbraw/zinc/10/22/07/1073102207.db2.gz KJWVZPLHPRUGCA-AEFFLSMTSA-N 0 0 436.534 -0.164 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CC[C@@H](CO)[C@H](O)C4)cn32)CC1 ZINC001150180402 1073102247 /nfs/dbraw/zinc/10/22/47/1073102247.db2.gz KJWVZPLHPRUGCA-FUHWJXTLSA-N 0 0 436.534 -0.164 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CC[C@H](CO)[C@H](O)C4)cn32)CC1 ZINC001150180403 1073102214 /nfs/dbraw/zinc/10/22/14/1073102214.db2.gz KJWVZPLHPRUGCA-SJLPKXTDSA-N 0 0 436.534 -0.164 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CC[C@@H](CO)[C@@H](O)C4)cn32)CC1 ZINC001150180404 1073102255 /nfs/dbraw/zinc/10/22/55/1073102255.db2.gz KJWVZPLHPRUGCA-WMZOPIPTSA-N 0 0 436.534 -0.164 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CCN(C(N)=O)CC4)cn32)CC1 ZINC001150180522 1073102219 /nfs/dbraw/zinc/10/22/19/1073102219.db2.gz MPLVAUXTQJXZHU-UHFFFAOYSA-N 0 0 434.522 -0.143 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N4CCS(=O)(=O)CC4)cn32)CC1 ZINC001150180744 1073102258 /nfs/dbraw/zinc/10/22/58/1073102258.db2.gz VCTRQXZKYYXGAY-UHFFFAOYSA-N 0 0 440.547 -0.109 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)NC4CC(CO)(CO)C4)cn32)CC1 ZINC001150180898 1073102232 /nfs/dbraw/zinc/10/22/32/1073102232.db2.gz AZABYAIYWUOWAE-UHFFFAOYSA-N 0 0 436.534 -0.116 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)NC[C@@H]4CNC(=O)CO4)cn32)CC1 ZINC001150180902 1073102175 /nfs/dbraw/zinc/10/21/75/1073102175.db2.gz BFXHSVANPVBVSY-INIZCTEOSA-N 0 0 435.506 -0.734 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)NC[C@H]4CNC(=O)CO4)cn32)CC1 ZINC001150180903 1073102264 /nfs/dbraw/zinc/10/22/64/1073102264.db2.gz BFXHSVANPVBVSY-MRXNPFEDSA-N 0 0 435.506 -0.734 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1ccc2ccc(N3CCN(S(C)(=O)=O)CC3)n2c1 ZINC001150180952 1073102156 /nfs/dbraw/zinc/10/21/56/1073102156.db2.gz DFIVIXYSUWHRFU-UHFFFAOYSA-N 0 0 443.551 -0.700 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N[C@H]4CCS(=O)(=O)C4)cn32)CC1 ZINC001150181333 1073102249 /nfs/dbraw/zinc/10/22/49/1073102249.db2.gz LHPHTVYQHGWXBC-HNNXBMFYSA-N 0 0 440.547 -0.062 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N[C@@H]4CCS(=O)(=O)C4)cn32)CC1 ZINC001150181334 1073102193 /nfs/dbraw/zinc/10/21/93/1073102193.db2.gz LHPHTVYQHGWXBC-OAHLLOKOSA-N 0 0 440.547 -0.062 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc2ccc(N3CCN(S(C)(=O)=O)CC3)n2c1)[C@@H](C)O ZINC001150181700 1073102239 /nfs/dbraw/zinc/10/22/39/1073102239.db2.gz NQVZRELPPGJJNW-DYVFJYSZSA-N 0 0 438.506 -0.327 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N[C@H]4CCC(=O)NC4=O)cn32)CC1 ZINC001150182102 1073102121 /nfs/dbraw/zinc/10/21/21/1073102121.db2.gz RUFLXSMLDWEYGQ-HNNXBMFYSA-N 0 0 433.490 -0.444 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)N[C@@H]4CCC(=O)NC4=O)cn32)CC1 ZINC001150182104 1073102143 /nfs/dbraw/zinc/10/21/43/1073102143.db2.gz RUFLXSMLDWEYGQ-OAHLLOKOSA-N 0 0 433.490 -0.444 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)n4cc(N)c(=O)nc4N)cn32)CC1 ZINC001150183647 1073102165 /nfs/dbraw/zinc/10/21/65/1073102165.db2.gz LULVEYAJQWMEAT-UHFFFAOYSA-N 0 0 431.478 -0.327 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)NC4CN(C5COC5)C4)cn32)CC1 ZINC001150184348 1073102676 /nfs/dbraw/zinc/10/26/76/1073102676.db2.gz YPCNGFRUWANGKD-UHFFFAOYSA-N 0 0 433.534 -0.166 20 0 IBADRN N[C@@H]1NCN(C(=O)c2nc(-c3cn(-c4nccs4)cn3)n3ccccc23)[C@H]2NCN[C@@H]21 ZINC001150215195 1073102758 /nfs/dbraw/zinc/10/27/58/1073102758.db2.gz CBIOLBYYKODAEU-BFYDXBDKSA-N 0 0 436.505 -0.224 20 0 IBADRN N[C@@H]1NCN(C(=O)c2nc(-c3cn(-c4nccs4)cn3)n3ccccc23)[C@@H]2NCN[C@@H]21 ZINC001150215196 1073102787 /nfs/dbraw/zinc/10/27/87/1073102787.db2.gz CBIOLBYYKODAEU-INMHGKMJSA-N 0 0 436.505 -0.224 20 0 IBADRN N[C@@H]1NCN(C(=O)c2nc(-c3cn(-c4nccs4)cn3)n3ccccc23)[C@@H]2NCN[C@H]21 ZINC001150215197 1073102833 /nfs/dbraw/zinc/10/28/33/1073102833.db2.gz CBIOLBYYKODAEU-UXLLHSPISA-N 0 0 436.505 -0.224 20 0 IBADRN N[C@@H]1NCN(C(=O)c2nc(-c3cn(-c4nccs4)cn3)n3ccccc23)[C@H]2NCN[C@H]21 ZINC001150215198 1073102818 /nfs/dbraw/zinc/10/28/18/1073102818.db2.gz CBIOLBYYKODAEU-ZMSDIMECSA-N 0 0 436.505 -0.224 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](CO)NC(=O)OCc2ccccc2)CC1 ZINC001150216189 1073102782 /nfs/dbraw/zinc/10/27/82/1073102782.db2.gz LUOHYSUPKBEWKK-KRWDZBQOSA-N 0 0 443.522 -0.216 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H](CO)NC(=O)OCc2ccccc2)CC1 ZINC001150216190 1073102775 /nfs/dbraw/zinc/10/27/75/1073102775.db2.gz LUOHYSUPKBEWKK-QGZVFWFLSA-N 0 0 443.522 -0.216 20 0 IBADRN O=C(NC(CO)(CO)CO)c1ncn2c1CN(CC1CC1)S(=O)(=O)c1ccccc1-2 ZINC001150238335 1073102693 /nfs/dbraw/zinc/10/26/93/1073102693.db2.gz YHXIUJWNPJKOMD-UHFFFAOYSA-N 0 0 436.490 -0.768 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1)C(=O)OC(C)(C)C ZINC001150245757 1073102840 /nfs/dbraw/zinc/10/28/40/1073102840.db2.gz FXPVUBKISJYLID-KLHDSHLOSA-N 0 0 439.513 -0.404 20 0 IBADRN CN(C[C@@H](O)CN(C)C(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1)C(=O)OC(C)(C)C ZINC001150245758 1073102710 /nfs/dbraw/zinc/10/27/10/1073102710.db2.gz FXPVUBKISJYLID-ZJIFWQFVSA-N 0 0 439.513 -0.404 20 0 IBADRN COCCn1c(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cc2c1c1ccccc1n2C ZINC001150248800 1073102843 /nfs/dbraw/zinc/10/28/43/1073102843.db2.gz CZKDJENEUQCGSK-RPQUEDSGSA-N 0 0 433.461 -0.691 20 0 IBADRN COCCn1c(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cc2c1c1ccccc1n2C ZINC001150248801 1073102744 /nfs/dbraw/zinc/10/27/44/1073102744.db2.gz CZKDJENEUQCGSK-ZZDIOYDHSA-N 0 0 433.461 -0.691 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001150253531 1073102807 /nfs/dbraw/zinc/10/28/07/1073102807.db2.gz AEXCOBQNAUEQPQ-GHMZBOCLSA-N 0 0 427.298 -0.999 20 0 IBADRN O=C(CCN1C(=O)COc2cc(Br)ccc21)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001150254408 1073102722 /nfs/dbraw/zinc/10/27/22/1073102722.db2.gz PAXXYIPPQNUENM-ZYHUDNBSSA-N 0 0 433.280 -0.161 20 0 IBADRN O=C(CS(=O)(=O)Cc1ccc(Br)cc1)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001150254991 1073102812 /nfs/dbraw/zinc/10/28/12/1073102812.db2.gz XUXCZXLLIOQTLR-VXGBXAGGSA-N 0 0 426.310 -0.362 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC001150271310 1073102844 /nfs/dbraw/zinc/10/28/44/1073102844.db2.gz ILLLMLJCNHYQKU-INIZCTEOSA-N 0 0 440.497 -0.128 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC001150271311 1073102848 /nfs/dbraw/zinc/10/28/48/1073102848.db2.gz ILLLMLJCNHYQKU-MRXNPFEDSA-N 0 0 440.497 -0.128 20 0 IBADRN CC(C)NC(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CCO[C@@H](C(N)=O)C4)cn32)C1 ZINC001150274334 1073102800 /nfs/dbraw/zinc/10/28/00/1073102800.db2.gz BXEMZKRBSDYHSA-DZGCQCFKSA-N 0 0 429.481 -0.037 20 0 IBADRN CC(C)NC(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CCO[C@H](C(N)=O)C4)cn32)C1 ZINC001150274335 1073103277 /nfs/dbraw/zinc/10/32/77/1073103277.db2.gz BXEMZKRBSDYHSA-HIFRSBDPSA-N 0 0 429.481 -0.037 20 0 IBADRN CC(C)NC(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CCO[C@@H](C(N)=O)C4)cn32)C1 ZINC001150274336 1073103249 /nfs/dbraw/zinc/10/32/49/1073103249.db2.gz BXEMZKRBSDYHSA-UKRRQHHQSA-N 0 0 429.481 -0.037 20 0 IBADRN CC(C)NC(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CCO[C@H](C(N)=O)C4)cn32)C1 ZINC001150274337 1073103235 /nfs/dbraw/zinc/10/32/35/1073103235.db2.gz BXEMZKRBSDYHSA-ZFWWWQNUSA-N 0 0 429.481 -0.037 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1ccc2nnc([C@@H]3CCN(C(=O)NC(C)C)C3)n2c1 ZINC001150274766 1073103300 /nfs/dbraw/zinc/10/33/00/1073103300.db2.gz HZIHNJXTIBRFBQ-CYBMUJFWSA-N 0 0 437.526 -0.084 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1ccc2nnc([C@H]3CCN(C(=O)NC(C)C)C3)n2c1 ZINC001150274767 1073103225 /nfs/dbraw/zinc/10/32/25/1073103225.db2.gz HZIHNJXTIBRFBQ-ZDUSSCGKSA-N 0 0 437.526 -0.084 20 0 IBADRN CC(C)NC(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N[C@@H]4C[C@H](CO)[C@@H](O)[C@H]4O)cn32)C1 ZINC001150282745 1073103351 /nfs/dbraw/zinc/10/33/51/1073103351.db2.gz ACTOHTYJGQHJBJ-NHQZAOEJSA-N 0 0 446.508 -0.531 20 0 IBADRN CC(C)NC(=O)N1CC[C@H](c2nnc3ccc(C(=O)N[C@@H]4C[C@H](CO)[C@@H](O)[C@H]4O)cn32)C1 ZINC001150282746 1073103310 /nfs/dbraw/zinc/10/33/10/1073103310.db2.gz ACTOHTYJGQHJBJ-UUWMRLDISA-N 0 0 446.508 -0.531 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc2nnc([C@@H]3CCN(C(=O)NC(C)C)C3)n2c1 ZINC001150284218 1073103146 /nfs/dbraw/zinc/10/31/46/1073103146.db2.gz KVXLYEPNIKCAKR-CYBMUJFWSA-N 0 0 445.480 -0.344 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1ccc2nnc([C@H]3CCN(C(=O)NC(C)C)C3)n2c1 ZINC001150284219 1073103262 /nfs/dbraw/zinc/10/32/62/1073103262.db2.gz KVXLYEPNIKCAKR-ZDUSSCGKSA-N 0 0 445.480 -0.344 20 0 IBADRN CC(C)NC(=O)N1CC[C@H](c2nnc3ccc(C(=O)NC[C@H]4CNC(=O)CO4)cn32)C1 ZINC001150286564 1073103204 /nfs/dbraw/zinc/10/32/04/1073103204.db2.gz ZTUHHWQLERSMJQ-DZGCQCFKSA-N 0 0 429.481 -0.119 20 0 IBADRN CC(C)NC(=O)N1CC[C@@H](c2nnc3ccc(C(=O)NC[C@@H]4CNC(=O)CO4)cn32)C1 ZINC001150286565 1073103327 /nfs/dbraw/zinc/10/33/27/1073103327.db2.gz ZTUHHWQLERSMJQ-HIFRSBDPSA-N 0 0 429.481 -0.119 20 0 IBADRN CC(C)NC(=O)N1CC[C@@H](c2nnc3ccc(C(=O)NC[C@H]4CNC(=O)CO4)cn32)C1 ZINC001150286566 1073103270 /nfs/dbraw/zinc/10/32/70/1073103270.db2.gz ZTUHHWQLERSMJQ-UKRRQHHQSA-N 0 0 429.481 -0.119 20 0 IBADRN CC(C)NC(=O)N1CC[C@H](c2nnc3ccc(C(=O)NC[C@@H]4CNC(=O)CO4)cn32)C1 ZINC001150286567 1073103256 /nfs/dbraw/zinc/10/32/56/1073103256.db2.gz ZTUHHWQLERSMJQ-ZFWWWQNUSA-N 0 0 429.481 -0.119 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001150304392 1073103341 /nfs/dbraw/zinc/10/33/41/1073103341.db2.gz NAWZVXWOSHKRKY-AWEZNQCLSA-N 0 0 441.531 -0.448 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001150304393 1073103286 /nfs/dbraw/zinc/10/32/86/1073103286.db2.gz NAWZVXWOSHKRKY-CQSZACIVSA-N 0 0 441.531 -0.448 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001150305177 1073103179 /nfs/dbraw/zinc/10/31/79/1073103179.db2.gz RSQAGJYWBJKZAG-AWEZNQCLSA-N 0 0 432.543 -0.651 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001150305178 1073103705 /nfs/dbraw/zinc/10/37/05/1073103705.db2.gz RSQAGJYWBJKZAG-CQSZACIVSA-N 0 0 432.543 -0.651 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)cc1 ZINC001150305975 1073103701 /nfs/dbraw/zinc/10/37/01/1073103701.db2.gz YPQYMXHVKUCLLJ-INIZCTEOSA-N 0 0 443.547 -0.719 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)cc1 ZINC001150305976 1073103719 /nfs/dbraw/zinc/10/37/19/1073103719.db2.gz YPQYMXHVKUCLLJ-MRXNPFEDSA-N 0 0 443.547 -0.719 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N(C)C2CS(=O)(=O)C2)c1 ZINC001150310742 1073103688 /nfs/dbraw/zinc/10/36/88/1073103688.db2.gz GIVLDGIRLLXXGP-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@H]1COCCC1=O ZINC001150310899 1073103694 /nfs/dbraw/zinc/10/36/94/1073103694.db2.gz IBFRLFKTHKFRMY-INIZCTEOSA-N 0 0 441.481 -0.087 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@@H]1COCCC1=O ZINC001150310901 1073103724 /nfs/dbraw/zinc/10/37/24/1073103724.db2.gz IBFRLFKTHKFRMY-MRXNPFEDSA-N 0 0 441.481 -0.087 20 0 IBADRN CN1CCN(Cc2ccccn2)C[C@@H]1c1nnc2ccc(C(=O)NC[C@@H](O)CO)cn21 ZINC001150332680 1073104287 /nfs/dbraw/zinc/10/42/87/1073104287.db2.gz JGBIKHZDVHSPKG-QZTJIDSGSA-N 0 0 425.493 -0.304 20 0 IBADRN CN1CCN(Cc2ccccn2)C[C@@H]1c1nnc2ccc(C(=O)N[C@@H](CO)C(N)=O)cn21 ZINC001150334056 1073104391 /nfs/dbraw/zinc/10/43/91/1073104391.db2.gz RVRZSXAOHKUNLH-DLBZAZTESA-N 0 0 438.492 -0.811 20 0 IBADRN CN1CCN(Cc2ccccn2)C[C@@H]1c1nnc2ccc(C(=O)N[C@H](CO)C(N)=O)cn21 ZINC001150334057 1073104254 /nfs/dbraw/zinc/10/42/54/1073104254.db2.gz RVRZSXAOHKUNLH-IAGOWNOFSA-N 0 0 438.492 -0.811 20 0 IBADRN CN1CCN(Cc2ccccn2)C[C@H]1c1nnc2ccc(C(=O)N[C@@H](CO)C(N)=O)cn21 ZINC001150334058 1073104379 /nfs/dbraw/zinc/10/43/79/1073104379.db2.gz RVRZSXAOHKUNLH-IRXDYDNUSA-N 0 0 438.492 -0.811 20 0 IBADRN CN1CCN(Cc2ccccn2)C[C@H]1c1nnc2ccc(C(=O)N[C@H](CO)C(N)=O)cn21 ZINC001150334059 1073104313 /nfs/dbraw/zinc/10/43/13/1073104313.db2.gz RVRZSXAOHKUNLH-SJORKVTESA-N 0 0 438.492 -0.811 20 0 IBADRN CC(C)C(=O)N1CCCc2sc(C(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)nc21 ZINC001150354345 1073104204 /nfs/dbraw/zinc/10/42/04/1073104204.db2.gz RRECKFZVYJJEPG-BJXZYTEESA-N 0 0 443.522 -0.348 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1nc(-c2cn(CC3CC3)cn2)n2ccccc12 ZINC001150368566 1073104419 /nfs/dbraw/zinc/10/44/19/1073104419.db2.gz QTEZSSHAETWKCH-GANPKANLSA-N 0 0 443.460 -0.863 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1nc(-c2cn(CC3CC3)cn2)n2ccccc12 ZINC001150368568 1073104306 /nfs/dbraw/zinc/10/43/06/1073104306.db2.gz QTEZSSHAETWKCH-RWBBEJTFSA-N 0 0 443.460 -0.863 20 0 IBADRN Cc1nc(CN2CCn3cnc(C(=O)N4CCN5C(=O)COC[C@@H]5C4)c3C2=O)cs1 ZINC001150375595 1073104332 /nfs/dbraw/zinc/10/43/32/1073104332.db2.gz GPTZLNOISCEKRG-AWEZNQCLSA-N 0 0 430.490 -0.013 20 0 IBADRN Cc1nc(CN2CCn3cnc(C(=O)N4CCN5C(=O)COC[C@H]5C4)c3C2=O)cs1 ZINC001150375596 1073104186 /nfs/dbraw/zinc/10/41/86/1073104186.db2.gz GPTZLNOISCEKRG-CQSZACIVSA-N 0 0 430.490 -0.013 20 0 IBADRN Cc1nc(CN2CCn3cnc(C(=O)N4CC(N5CCN(C)C(=O)C5)C4)c3C2=O)cs1 ZINC001150376142 1073104346 /nfs/dbraw/zinc/10/43/46/1073104346.db2.gz SFPLGOAXAOBDCX-UHFFFAOYSA-N 0 0 443.533 -0.098 20 0 IBADRN Cc1nc(CN2CCn3cnc(C(=O)N4CC(N5CCNC(=O)C5)C4)c3C2=O)cs1 ZINC001150376300 1073104412 /nfs/dbraw/zinc/10/44/12/1073104412.db2.gz YCWZIZYPVOUJMF-UHFFFAOYSA-N 0 0 429.506 -0.440 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)N=c3nc[nH]n3C)ncn2-c2ccccc2S1(=O)=O ZINC001150380460 1073104243 /nfs/dbraw/zinc/10/42/43/1073104243.db2.gz ADBBFWVOURLTSF-UHFFFAOYSA-N 0 0 444.477 -0.733 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)Nc3cn(C)nn3)ncn2-c2ccccc2S1(=O)=O ZINC001150380507 1073104338 /nfs/dbraw/zinc/10/43/38/1073104338.db2.gz BVLNDHOAVLEMQU-UHFFFAOYSA-N 0 0 444.477 -0.154 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)Nc3cnn(C)n3)ncn2-c2ccccc2S1(=O)=O ZINC001150380835 1073104405 /nfs/dbraw/zinc/10/44/05/1073104405.db2.gz IEGJLGKIQUKRRW-UHFFFAOYSA-N 0 0 444.477 -0.154 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC001150380878 1073104371 /nfs/dbraw/zinc/10/43/71/1073104371.db2.gz ARNSZVNTXJCOIH-GXTWGEPZSA-N 0 0 435.572 -0.508 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC001150380879 1073104823 /nfs/dbraw/zinc/10/48/23/1073104823.db2.gz ARNSZVNTXJCOIH-JSGCOSHPSA-N 0 0 435.572 -0.508 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC001150380880 1073104782 /nfs/dbraw/zinc/10/47/82/1073104782.db2.gz ARNSZVNTXJCOIH-OCCSQVGLSA-N 0 0 435.572 -0.508 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C1 ZINC001150380881 1073104771 /nfs/dbraw/zinc/10/47/71/1073104771.db2.gz ARNSZVNTXJCOIH-TZMCWYRMSA-N 0 0 435.572 -0.508 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)Nc3ncn(C)n3)ncn2-c2ccccc2S1(=O)=O ZINC001150380998 1073104748 /nfs/dbraw/zinc/10/47/48/1073104748.db2.gz IZIUJKXRUOHUSO-UHFFFAOYSA-N 0 0 444.477 -0.154 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)Nc3nonc3N)ncn2-c2ccccc2S1(=O)=O ZINC001150381396 1073104810 /nfs/dbraw/zinc/10/48/10/1073104810.db2.gz OIJIUAJZYOSQGA-UHFFFAOYSA-N 0 0 446.449 -0.318 20 0 IBADRN C[C@H]1CN(C(=O)c2ncn3c2CN(CC(=O)N(C)C)S(=O)(=O)c2ccccc2-3)C[C@@H]1O ZINC001150381589 1073104756 /nfs/dbraw/zinc/10/47/56/1073104756.db2.gz QYRPIWATJTXIFT-BBRMVZONSA-N 0 0 447.517 -0.082 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)Nc3nnnn3C)ncn2-c2ccccc2S1(=O)=O ZINC001150381696 1073104767 /nfs/dbraw/zinc/10/47/67/1073104767.db2.gz SEABICQMCNKOHJ-UHFFFAOYSA-N 0 0 445.465 -0.759 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)Nc3cnnn3C)ncn2-c2ccccc2S1(=O)=O ZINC001150381931 1073104774 /nfs/dbraw/zinc/10/47/74/1073104774.db2.gz WEQGBAOIHDOHND-UHFFFAOYSA-N 0 0 444.477 -0.154 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)n3cc(N)c(N)n3)ncn2-c2ccccc2S1(=O)=O ZINC001150382093 1073104785 /nfs/dbraw/zinc/10/47/85/1073104785.db2.gz YFKGABQPQKMXJE-UHFFFAOYSA-N 0 0 444.477 -0.486 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)N[C@H]3COC(=O)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150382301 1073104806 /nfs/dbraw/zinc/10/48/06/1073104806.db2.gz AMDLKYSHCPPWFN-GFCCVEGCSA-N 0 0 447.473 -0.490 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NCc3cn[nH]n3)ncn2-c2ccccc2S1(=O)=O ZINC001150382402 1073104826 /nfs/dbraw/zinc/10/48/26/1073104826.db2.gz BJQKVVYRAIVJAT-UHFFFAOYSA-N 0 0 444.477 -0.487 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NCC(=O)CCO)ncn2-c2ccccc2S1(=O)=O ZINC001150382500 1073104744 /nfs/dbraw/zinc/10/47/44/1073104744.db2.gz FGRJZQTYNPBXIN-UHFFFAOYSA-N 0 0 449.489 -0.854 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NCCC(N)=O)ncn2-c2ccccc2S1(=O)=O ZINC001150382807 1073104777 /nfs/dbraw/zinc/10/47/77/1073104777.db2.gz FVAAWASXVKRZRV-UHFFFAOYSA-N 0 0 434.478 -0.930 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)N[C@H]3C[C@H](O)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150382896 1073104802 /nfs/dbraw/zinc/10/48/02/1073104802.db2.gz HQGFIOCLRXGUNM-JOCQHMNTSA-N 0 0 433.490 -0.282 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NC3CN(C)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150383221 1073104793 /nfs/dbraw/zinc/10/47/93/1073104793.db2.gz KDOGWKDPJVRXDU-UHFFFAOYSA-N 0 0 432.506 -0.491 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1ncn2c1C(=O)N(Cc1csc(C)n1)CC2)C(N)=O ZINC001150383515 1073104752 /nfs/dbraw/zinc/10/47/52/1073104752.db2.gz MGKIPMSYHLNRKS-LBPRGKRZSA-N 0 0 434.478 -0.159 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NC[C@H]3C[C@@H](O)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150383666 1073104759 /nfs/dbraw/zinc/10/47/59/1073104759.db2.gz NESQPYIXKZWENU-OKILXGFUSA-N 0 0 447.517 -0.035 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC001150383683 1073105460 /nfs/dbraw/zinc/10/54/60/1073105460.db2.gz TYDHMSYUZBQCKI-CYBMUJFWSA-N 0 0 435.524 -0.298 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC001150383688 1073105437 /nfs/dbraw/zinc/10/54/37/1073105437.db2.gz TYDHMSYUZBQCKI-ZDUSSCGKSA-N 0 0 435.524 -0.298 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NCC3OCCO3)ncn2-c2ccccc2S1(=O)=O ZINC001150383835 1073105450 /nfs/dbraw/zinc/10/54/50/1073105450.db2.gz PWMGZEAVUGNIFH-UHFFFAOYSA-N 0 0 449.489 -0.433 20 0 IBADRN CNOCCNC(=O)c1ncn2c1CN(CC(=O)N(C)C)S(=O)(=O)c1ccccc1-2 ZINC001150383847 1073105397 /nfs/dbraw/zinc/10/53/97/1073105397.db2.gz QCSVXRCMQPQRJG-UHFFFAOYSA-N 0 0 436.494 -0.654 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)N(C)C3COC3)ncn2-c2ccccc2S1(=O)=O ZINC001150383978 1073105490 /nfs/dbraw/zinc/10/54/90/1073105490.db2.gz QSNHFLHMWJZHKW-UHFFFAOYSA-N 0 0 433.490 -0.064 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NCc3nc[nH]n3)ncn2-c2ccccc2S1(=O)=O ZINC001150384049 1073105431 /nfs/dbraw/zinc/10/54/31/1073105431.db2.gz RTTXOVVXSCKGBJ-UHFFFAOYSA-N 0 0 444.477 -0.487 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)c1ncn2c1CN(CC(=O)N(C)C)S(=O)(=O)c1ccccc1-2 ZINC001150384158 1073105482 /nfs/dbraw/zinc/10/54/82/1073105482.db2.gz UMCRWQDQPGPGEQ-LBPRGKRZSA-N 0 0 448.505 -0.671 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)N3CC(CO)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150384387 1073105440 /nfs/dbraw/zinc/10/54/40/1073105440.db2.gz WPSRROYIZIWBBA-UHFFFAOYSA-N 0 0 433.490 -0.471 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NC3(C(N)=O)CC3)ncn2-c2ccccc2S1(=O)=O ZINC001150384439 1073105464 /nfs/dbraw/zinc/10/54/64/1073105464.db2.gz XXQOZETUZNLYLW-UHFFFAOYSA-N 0 0 446.489 -0.788 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NC3CC(=O)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150384455 1073105417 /nfs/dbraw/zinc/10/54/17/1073105417.db2.gz YXXFQGLCQZUNEP-UHFFFAOYSA-N 0 0 431.474 -0.074 20 0 IBADRN COC(=O)CNC(=O)c1ncn2c1CN(CC(=O)N(C)C)S(=O)(=O)c1ccccc1-2 ZINC001150384478 1073105445 /nfs/dbraw/zinc/10/54/45/1073105445.db2.gz ZUNPPRROANHQNF-UHFFFAOYSA-N 0 0 435.462 -0.632 20 0 IBADRN Cc1nc(CN2CCn3cnc(C(=O)NCCS(=O)(=O)N(C)C)c3C2=O)cs1 ZINC001150384566 1073105471 /nfs/dbraw/zinc/10/54/71/1073105471.db2.gz YPCOJWSDWRRCKL-UHFFFAOYSA-N 0 0 426.524 -0.075 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NC[C@H](O)CF)ncn2-c2ccccc2S1(=O)=O ZINC001150388915 1073105401 /nfs/dbraw/zinc/10/54/01/1073105401.db2.gz CIVQJGVCHVJCJT-GFCCVEGCSA-N 0 0 439.469 -0.475 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NC[C@@H](O)CF)ncn2-c2ccccc2S1(=O)=O ZINC001150388916 1073105478 /nfs/dbraw/zinc/10/54/78/1073105478.db2.gz CIVQJGVCHVJCJT-LBPRGKRZSA-N 0 0 439.469 -0.475 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)NC3=CCOC3=O)ncn2-c2ccccc2S1(=O)=O ZINC001150389677 1073105389 /nfs/dbraw/zinc/10/53/89/1073105389.db2.gz NJFODIQGSIOZAQ-UHFFFAOYSA-N 0 0 445.457 -0.365 20 0 IBADRN CN(C)C(=O)CN1Cc2c(C(=O)N[C@H]3[C@@H]4COC[C@@H]43)ncn2-c2ccccc2S1(=O)=O ZINC001150389812 1073105487 /nfs/dbraw/zinc/10/54/87/1073105487.db2.gz PDUSUBLNPJMWBS-MCBXIFIFSA-N 0 0 445.501 -0.161 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc3nnc([C@H]4CN(C)CCN4C)n3c2)CC1 ZINC001150390748 1073105496 /nfs/dbraw/zinc/10/54/96/1073105496.db2.gz BVQZBXOHULVWRK-GOSISDBHSA-N 0 0 442.568 -0.070 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc3nnc([C@@H]4CN(C)CCN4C)n3c2)CC1 ZINC001150390749 1073105407 /nfs/dbraw/zinc/10/54/07/1073105407.db2.gz BVQZBXOHULVWRK-SFHVURJKSA-N 0 0 442.568 -0.070 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@@H]3CN(C)CCN3C)n2c1 ZINC001150390835 1073105421 /nfs/dbraw/zinc/10/54/21/1073105421.db2.gz DRTIRUPKKJSVCY-CVEARBPZSA-N 0 0 443.508 -0.459 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@@H]3CN(C)CCN3C)n2c1 ZINC001150390838 1073105887 /nfs/dbraw/zinc/10/58/87/1073105887.db2.gz DRTIRUPKKJSVCY-HOTGVXAUSA-N 0 0 443.508 -0.459 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@H]3CN(C)CCN3C)n2c1 ZINC001150390840 1073106222 /nfs/dbraw/zinc/10/62/22/1073106222.db2.gz DRTIRUPKKJSVCY-HZPDHXFCSA-N 0 0 443.508 -0.459 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@H]3CN(C)CCN3C)n2c1 ZINC001150390842 1073105952 /nfs/dbraw/zinc/10/59/52/1073105952.db2.gz DRTIRUPKKJSVCY-JKSUJKDBSA-N 0 0 443.508 -0.459 20 0 IBADRN CN1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCC5(CC4)COCC(=O)N5)cn32)C1 ZINC001150391091 1073105905 /nfs/dbraw/zinc/10/59/05/1073105905.db2.gz HIWONMKVQOYCLS-INIZCTEOSA-N 0 0 427.509 -0.231 20 0 IBADRN CN1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCC5(CC4)COCC(=O)N5)cn32)C1 ZINC001150391092 1073106170 /nfs/dbraw/zinc/10/61/70/1073106170.db2.gz HIWONMKVQOYCLS-MRXNPFEDSA-N 0 0 427.509 -0.231 20 0 IBADRN COC(=O)[C@@]12COC[C@@H]1CN(C(=O)c1ccc3nnc([C@H]4CN(C)CCN4C)n3c1)C2 ZINC001150391393 1073106004 /nfs/dbraw/zinc/10/60/04/1073106004.db2.gz NSVHPCZZIMTZAX-MRUHUIDDSA-N 0 0 428.493 -0.091 20 0 IBADRN COC(=O)[C@@]12COC[C@@H]1CN(C(=O)c1ccc3nnc([C@@H]4CN(C)CCN4C)n3c1)C2 ZINC001150391394 1073106195 /nfs/dbraw/zinc/10/61/95/1073106195.db2.gz NSVHPCZZIMTZAX-QYWGDWMGSA-N 0 0 428.493 -0.091 20 0 IBADRN CN1CCN(C)[C@H](c2nnc3ccc(C(=O)Nc4cncc(S(N)(=O)=O)c4)cn32)C1 ZINC001150391659 1073105961 /nfs/dbraw/zinc/10/59/61/1073105961.db2.gz PYHOKMOYEONOLQ-HNNXBMFYSA-N 0 0 430.494 -0.058 20 0 IBADRN CN1CCN(C)[C@@H](c2nnc3ccc(C(=O)Nc4cncc(S(N)(=O)=O)c4)cn32)C1 ZINC001150391661 1073106076 /nfs/dbraw/zinc/10/60/76/1073106076.db2.gz PYHOKMOYEONOLQ-OAHLLOKOSA-N 0 0 430.494 -0.058 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)cc(C(C)C)nc21 ZINC001150396557 1073105923 /nfs/dbraw/zinc/10/59/23/1073105923.db2.gz BFPUAPCZIOXTEI-UHFFFAOYSA-N 0 0 438.510 -0.139 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cccc(S(=O)(=O)NCc3ccco3)c2)C1 ZINC001150397105 1073106091 /nfs/dbraw/zinc/10/60/91/1073106091.db2.gz PNOJEPGBWZOQST-UHFFFAOYSA-N 0 0 442.519 -0.022 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc3nnc([C@@H]4CN(C)CCN4C)n3c2)CCN1C(C)=O ZINC001150398384 1073106241 /nfs/dbraw/zinc/10/62/41/1073106241.db2.gz BEQTUWTXYOHUOK-DLBZAZTESA-N 0 0 443.508 -0.507 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc3nnc([C@H]4CN(C)CCN4C)n3c2)CCN1C(C)=O ZINC001150398389 1073105940 /nfs/dbraw/zinc/10/59/40/1073105940.db2.gz BEQTUWTXYOHUOK-IAGOWNOFSA-N 0 0 443.508 -0.507 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ccc3nnc([C@@H]4CN(C)CCN4C)n3c2)CCN1C(C)=O ZINC001150398391 1073106126 /nfs/dbraw/zinc/10/61/26/1073106126.db2.gz BEQTUWTXYOHUOK-IRXDYDNUSA-N 0 0 443.508 -0.507 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ccc3nnc([C@H]4CN(C)CCN4C)n3c2)CCN1C(C)=O ZINC001150398393 1073106151 /nfs/dbraw/zinc/10/61/51/1073106151.db2.gz BEQTUWTXYOHUOK-SJORKVTESA-N 0 0 443.508 -0.507 20 0 IBADRN CN1CCN(C)[C@H](c2nnc3ccc(C(=O)NCCCN4CCS(=O)(=O)CC4)cn32)C1 ZINC001150400706 1073106099 /nfs/dbraw/zinc/10/60/99/1073106099.db2.gz RKYJZBIOBFZWRH-KRWDZBQOSA-N 0 0 449.581 -0.502 20 0 IBADRN CN1CCN(C)[C@@H](c2nnc3ccc(C(=O)NCCCN4CCS(=O)(=O)CC4)cn32)C1 ZINC001150400707 1073106027 /nfs/dbraw/zinc/10/60/27/1073106027.db2.gz RKYJZBIOBFZWRH-QGZVFWFLSA-N 0 0 449.581 -0.502 20 0 IBADRN COC(=O)[C@H](Cc1cncn1C)NC(=O)c1ccc2nnc([C@H]3CN(C)CCN3C)n2c1 ZINC001150401722 1073105931 /nfs/dbraw/zinc/10/59/31/1073105931.db2.gz YSZIZJAIGNQSLJ-DLBZAZTESA-N 0 0 440.508 -0.105 20 0 IBADRN CN1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCC5(CC4)CNC(=O)CO5)cn32)C1 ZINC001150401723 1073106833 /nfs/dbraw/zinc/10/68/33/1073106833.db2.gz MXRRLXKMANYYLP-INIZCTEOSA-N 0 0 427.509 -0.231 20 0 IBADRN COC(=O)[C@@H](Cc1cncn1C)NC(=O)c1ccc2nnc([C@H]3CN(C)CCN3C)n2c1 ZINC001150401724 1073106851 /nfs/dbraw/zinc/10/68/51/1073106851.db2.gz YSZIZJAIGNQSLJ-IAGOWNOFSA-N 0 0 440.508 -0.105 20 0 IBADRN COC(=O)[C@H](Cc1cncn1C)NC(=O)c1ccc2nnc([C@@H]3CN(C)CCN3C)n2c1 ZINC001150401725 1073106889 /nfs/dbraw/zinc/10/68/89/1073106889.db2.gz YSZIZJAIGNQSLJ-IRXDYDNUSA-N 0 0 440.508 -0.105 20 0 IBADRN CN1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCC5(CC4)CNC(=O)CO5)cn32)C1 ZINC001150401726 1073106903 /nfs/dbraw/zinc/10/69/03/1073106903.db2.gz MXRRLXKMANYYLP-MRXNPFEDSA-N 0 0 427.509 -0.231 20 0 IBADRN COC(=O)[C@@H](Cc1cncn1C)NC(=O)c1ccc2nnc([C@@H]3CN(C)CCN3C)n2c1 ZINC001150401727 1073106755 /nfs/dbraw/zinc/10/67/55/1073106755.db2.gz YSZIZJAIGNQSLJ-SJORKVTESA-N 0 0 440.508 -0.105 20 0 IBADRN CN1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC(N5CCN(C)C(=O)C5)C4)cn32)C1 ZINC001150403197 1073106814 /nfs/dbraw/zinc/10/68/14/1073106814.db2.gz TXESQYORSNYROY-KRWDZBQOSA-N 0 0 426.525 -0.754 20 0 IBADRN CN1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CC(N5CCN(C)C(=O)C5)C4)cn32)C1 ZINC001150403198 1073106664 /nfs/dbraw/zinc/10/66/64/1073106664.db2.gz TXESQYORSNYROY-QGZVFWFLSA-N 0 0 426.525 -0.754 20 0 IBADRN CN1CCN(C)[C@H](c2nnc3ccc(C(=O)NCCOCCN4C(=O)C=CC4=O)cn32)C1 ZINC001150407891 1073106713 /nfs/dbraw/zinc/10/67/13/1073106713.db2.gz XYCFQKOSVDVDNX-INIZCTEOSA-N 0 0 441.492 -0.681 20 0 IBADRN CN1CCN(C)[C@@H](c2nnc3ccc(C(=O)NCCOCCN4C(=O)C=CC4=O)cn32)C1 ZINC001150407893 1073106788 /nfs/dbraw/zinc/10/67/88/1073106788.db2.gz XYCFQKOSVDVDNX-MRXNPFEDSA-N 0 0 441.492 -0.681 20 0 IBADRN COc1ccc(CC(=O)N[C@H](C)C(=O)N(C)OC)cc1S(=O)(=O)N1CCOCC1 ZINC001150415826 1073106865 /nfs/dbraw/zinc/10/68/65/1073106865.db2.gz AKXMIJUCOITUQR-CYBMUJFWSA-N 0 0 429.495 -0.217 20 0 IBADRN CCNC(=O)CN(C)C(=O)c1ccc2nnc([C@@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150417979 1073106808 /nfs/dbraw/zinc/10/68/08/1073106808.db2.gz FGQTUGFOONIJCY-HNNXBMFYSA-N 0 0 430.513 -0.093 20 0 IBADRN CCNC(=O)CN(C)C(=O)c1ccc2nnc([C@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150417983 1073106697 /nfs/dbraw/zinc/10/66/97/1073106697.db2.gz FGQTUGFOONIJCY-OAHLLOKOSA-N 0 0 430.513 -0.093 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCCNC(=O)C4)cn32)C1 ZINC001150418587 1073106877 /nfs/dbraw/zinc/10/68/77/1073106877.db2.gz APOBHZVZYZQORE-HNNXBMFYSA-N 0 0 428.497 -0.339 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCCNC(=O)C4)cn32)C1 ZINC001150418609 1073106917 /nfs/dbraw/zinc/10/69/17/1073106917.db2.gz APOBHZVZYZQORE-OAHLLOKOSA-N 0 0 428.497 -0.339 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCn5nncc5C4)cn32)C1 ZINC001150418649 1073106777 /nfs/dbraw/zinc/10/67/77/1073106777.db2.gz BAPBPAJXHYMHCT-INIZCTEOSA-N 0 0 438.496 -0.053 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCn5nncc5C4)cn32)C1 ZINC001150418650 1073106882 /nfs/dbraw/zinc/10/68/82/1073106882.db2.gz BAPBPAJXHYMHCT-MRXNPFEDSA-N 0 0 438.496 -0.053 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)Nc4c[nH]nc4C(N)=O)cn32)C1 ZINC001150418822 1073107349 /nfs/dbraw/zinc/10/73/49/1073107349.db2.gz NOEAQPOJSPXBRP-CYBMUJFWSA-N 0 0 440.468 -0.226 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)Nc4c[nH]nc4C(N)=O)cn32)C1 ZINC001150418823 1073107320 /nfs/dbraw/zinc/10/73/20/1073107320.db2.gz NOEAQPOJSPXBRP-ZDUSSCGKSA-N 0 0 440.468 -0.226 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)Nc4c(N)c(=O)c4=O)cn32)C1 ZINC001150418870 1073107294 /nfs/dbraw/zinc/10/72/94/1073107294.db2.gz OUJUFDHOZMUJQI-LLVKDONJSA-N 0 0 426.437 -0.870 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)Nc4c(N)c(=O)c4=O)cn32)C1 ZINC001150418872 1073107367 /nfs/dbraw/zinc/10/73/67/1073107367.db2.gz OUJUFDHOZMUJQI-NSHDSACASA-N 0 0 426.437 -0.870 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150418975 1073107437 /nfs/dbraw/zinc/10/74/37/1073107437.db2.gz DYDGFMKJPCGZNV-DZGCQCFKSA-N 0 0 428.497 -0.340 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150418976 1073107376 /nfs/dbraw/zinc/10/73/76/1073107376.db2.gz DYDGFMKJPCGZNV-HIFRSBDPSA-N 0 0 428.497 -0.340 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150418977 1073107408 /nfs/dbraw/zinc/10/74/08/1073107408.db2.gz DYDGFMKJPCGZNV-UKRRQHHQSA-N 0 0 428.497 -0.340 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150418978 1073107396 /nfs/dbraw/zinc/10/73/96/1073107396.db2.gz DYDGFMKJPCGZNV-ZFWWWQNUSA-N 0 0 428.497 -0.340 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N=c4ncnc5[nH][nH]cc4-5)cn32)C1 ZINC001150419128 1073107425 /nfs/dbraw/zinc/10/74/25/1073107425.db2.gz QOBQZKDDUQONHN-AWEZNQCLSA-N 0 0 449.479 -0.009 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N=c4ncnc5[nH][nH]cc4-5)cn32)C1 ZINC001150419129 1073107302 /nfs/dbraw/zinc/10/73/02/1073107302.db2.gz QOBQZKDDUQONHN-CQSZACIVSA-N 0 0 449.479 -0.009 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCn5cnnc5C4)cn32)C1 ZINC001150419190 1073107409 /nfs/dbraw/zinc/10/74/09/1073107409.db2.gz SBYZWRICVOSIEB-HNNXBMFYSA-N 0 0 438.496 -0.053 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCn5cnnc5C4)cn32)C1 ZINC001150419193 1073107384 /nfs/dbraw/zinc/10/73/84/1073107384.db2.gz SBYZWRICVOSIEB-OAHLLOKOSA-N 0 0 438.496 -0.053 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)c1ccc2nnc([C@@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150419285 1073107398 /nfs/dbraw/zinc/10/73/98/1073107398.db2.gz GXOYAZSKTFRXBR-HNNXBMFYSA-N 0 0 430.513 -0.140 20 0 IBADRN CN(C)C(=O)CN(C)C(=O)c1ccc2nnc([C@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150419287 1073107344 /nfs/dbraw/zinc/10/73/44/1073107344.db2.gz GXOYAZSKTFRXBR-OAHLLOKOSA-N 0 0 430.513 -0.140 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4C[C@@H]5C[C@H]4C(=O)O5)cn32)C1 ZINC001150419368 1073107388 /nfs/dbraw/zinc/10/73/88/1073107388.db2.gz HMSRQFUGIMKUTN-KKUMJFAQSA-N 0 0 427.465 -0.161 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4C[C@@H]5C[C@H]4C(=O)O5)cn32)C1 ZINC001150419371 1073107317 /nfs/dbraw/zinc/10/73/17/1073107317.db2.gz HMSRQFUGIMKUTN-SOUVJXGZSA-N 0 0 427.465 -0.161 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NCCS(C)(=O)=O)cn32)C1 ZINC001150419498 1073107416 /nfs/dbraw/zinc/10/74/16/1073107416.db2.gz VLSUGJNVCFCLEV-AWEZNQCLSA-N 0 0 437.526 -0.526 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NCCS(C)(=O)=O)cn32)C1 ZINC001150419499 1073107338 /nfs/dbraw/zinc/10/73/38/1073107338.db2.gz VLSUGJNVCFCLEV-CQSZACIVSA-N 0 0 437.526 -0.526 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NCCn4ccnn4)cn32)C1 ZINC001150419763 1073107360 /nfs/dbraw/zinc/10/73/60/1073107360.db2.gz YIBLIYWXVBWDCO-HNNXBMFYSA-N 0 0 426.485 -0.279 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NCCn4ccnn4)cn32)C1 ZINC001150419769 1073107441 /nfs/dbraw/zinc/10/74/41/1073107441.db2.gz YIBLIYWXVBWDCO-OAHLLOKOSA-N 0 0 426.485 -0.279 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCN(C)C(=O)C4)cn32)C1 ZINC001150419835 1073107892 /nfs/dbraw/zinc/10/78/92/1073107892.db2.gz ZQPDIWNRMMZLEN-HNNXBMFYSA-N 0 0 428.497 -0.386 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCN(C)C(=O)C4)cn32)C1 ZINC001150419836 1073107970 /nfs/dbraw/zinc/10/79/70/1073107970.db2.gz ZQPDIWNRMMZLEN-OAHLLOKOSA-N 0 0 428.497 -0.386 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCO[C@H](C(N)=O)C4)cn32)C1 ZINC001150420589 1073107918 /nfs/dbraw/zinc/10/79/18/1073107918.db2.gz PJWUHKIOYMLMAR-CABCVRRESA-N 0 0 444.496 -0.974 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCO[C@H](C(N)=O)C4)cn32)C1 ZINC001150420590 1073107931 /nfs/dbraw/zinc/10/79/31/1073107931.db2.gz PJWUHKIOYMLMAR-GJZGRUSLSA-N 0 0 444.496 -0.974 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCO[C@@H](C(N)=O)C4)cn32)C1 ZINC001150420591 1073107925 /nfs/dbraw/zinc/10/79/25/1073107925.db2.gz PJWUHKIOYMLMAR-HUUCEWRRSA-N 0 0 444.496 -0.974 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCO[C@@H](C(N)=O)C4)cn32)C1 ZINC001150420592 1073107984 /nfs/dbraw/zinc/10/79/84/1073107984.db2.gz PJWUHKIOYMLMAR-LSDHHAIUSA-N 0 0 444.496 -0.974 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@H](O)[C@@H](CO)C4)cn32)C1 ZINC001150420623 1073107873 /nfs/dbraw/zinc/10/78/73/1073107873.db2.gz QEDIZVQIPPWTAR-IKGGRYGDSA-N 0 0 445.524 -0.485 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@@H](O)[C@@H](CO)C4)cn32)C1 ZINC001150420624 1073107942 /nfs/dbraw/zinc/10/79/42/1073107942.db2.gz QEDIZVQIPPWTAR-IXDOHACOSA-N 0 0 445.524 -0.485 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@H](O)[C@H](CO)C4)cn32)C1 ZINC001150420625 1073107885 /nfs/dbraw/zinc/10/78/85/1073107885.db2.gz QEDIZVQIPPWTAR-ULQDDVLXSA-N 0 0 445.524 -0.485 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@@H](O)[C@H](CO)C4)cn32)C1 ZINC001150420626 1073107908 /nfs/dbraw/zinc/10/79/08/1073107908.db2.gz QEDIZVQIPPWTAR-YESZJQIVSA-N 0 0 445.524 -0.485 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@H](CO)[C@@H](O)C4)cn32)C1 ZINC001150420645 1073107939 /nfs/dbraw/zinc/10/79/39/1073107939.db2.gz QQTVDSYYYVAEDU-IKGGRYGDSA-N 0 0 445.524 -0.485 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@H](CO)[C@H](O)C4)cn32)C1 ZINC001150420646 1073107900 /nfs/dbraw/zinc/10/79/00/1073107900.db2.gz QQTVDSYYYVAEDU-IXDOHACOSA-N 0 0 445.524 -0.485 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@@H](CO)[C@@H](O)C4)cn32)C1 ZINC001150420647 1073107975 /nfs/dbraw/zinc/10/79/75/1073107975.db2.gz QQTVDSYYYVAEDU-ULQDDVLXSA-N 0 0 445.524 -0.485 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@@H](CO)[C@H](O)C4)cn32)C1 ZINC001150420648 1073107956 /nfs/dbraw/zinc/10/79/56/1073107956.db2.gz QQTVDSYYYVAEDU-YESZJQIVSA-N 0 0 445.524 -0.485 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCS(=O)(=O)CC4)cn32)C1 ZINC001150420703 1073107948 /nfs/dbraw/zinc/10/79/48/1073107948.db2.gz RSNTXPIHKUUYPF-HNNXBMFYSA-N 0 0 449.537 -0.430 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCS(=O)(=O)CC4)cn32)C1 ZINC001150420704 1073107913 /nfs/dbraw/zinc/10/79/13/1073107913.db2.gz RSNTXPIHKUUYPF-OAHLLOKOSA-N 0 0 449.537 -0.430 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCN(C(N)=O)CC4)cn32)C1 ZINC001150420765 1073108482 /nfs/dbraw/zinc/10/84/82/1073108482.db2.gz SYSHFFOHLQKURJ-HNNXBMFYSA-N 0 0 443.512 -0.464 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCN(C(N)=O)CC4)cn32)C1 ZINC001150420766 1073108532 /nfs/dbraw/zinc/10/85/32/1073108532.db2.gz SYSHFFOHLQKURJ-OAHLLOKOSA-N 0 0 443.512 -0.464 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@H]4CN(C)CCO4)cn32)C1 ZINC001150420837 1073108500 /nfs/dbraw/zinc/10/85/00/1073108500.db2.gz BRJZEYWJGOSMFY-DLBZAZTESA-N 0 0 444.540 -0.240 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@@H]4CN(C)CCO4)cn32)C1 ZINC001150420838 1073108582 /nfs/dbraw/zinc/10/85/82/1073108582.db2.gz BRJZEYWJGOSMFY-IAGOWNOFSA-N 0 0 444.540 -0.240 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@H]4CN(C)CCO4)cn32)C1 ZINC001150420839 1073108545 /nfs/dbraw/zinc/10/85/45/1073108545.db2.gz BRJZEYWJGOSMFY-IRXDYDNUSA-N 0 0 444.540 -0.240 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@@H]4CN(C)CCO4)cn32)C1 ZINC001150420840 1073108595 /nfs/dbraw/zinc/10/85/95/1073108595.db2.gz BRJZEYWJGOSMFY-SJORKVTESA-N 0 0 444.540 -0.240 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@H]4COCC[C@@H]4O)cn32)C1 ZINC001150421094 1073108536 /nfs/dbraw/zinc/10/85/36/1073108536.db2.gz DTWBJHMLSFQNTM-JYJNAYRXSA-N 0 0 431.497 -0.421 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@H]4COCC[C@@H]4O)cn32)C1 ZINC001150421098 1073108514 /nfs/dbraw/zinc/10/85/14/1073108514.db2.gz DTWBJHMLSFQNTM-XHSDSOJGSA-N 0 0 431.497 -0.421 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)n4cc(F)c(=O)nc4N)cn32)C1 ZINC001150421124 1073108493 /nfs/dbraw/zinc/10/84/93/1073108493.db2.gz DXWMQEBWMDNWAB-CYBMUJFWSA-N 0 0 443.443 -0.091 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)n4cc(F)c(=O)nc4N)cn32)C1 ZINC001150421132 1073108541 /nfs/dbraw/zinc/10/85/41/1073108541.db2.gz DXWMQEBWMDNWAB-ZDUSSCGKSA-N 0 0 443.443 -0.091 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CC[C@@H](C(N)=O)C4)cn32)C1 ZINC001150421190 1073108524 /nfs/dbraw/zinc/10/85/24/1073108524.db2.gz WOVDZLIJIKBKOW-HIFRSBDPSA-N 0 0 428.497 -0.353 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CC[C@@H](C(N)=O)C4)cn32)C1 ZINC001150421192 1073108564 /nfs/dbraw/zinc/10/85/64/1073108564.db2.gz WOVDZLIJIKBKOW-UKRRQHHQSA-N 0 0 428.497 -0.353 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@H]4CCC(=O)NC4=O)cn32)C1 ZINC001150421964 1073108580 /nfs/dbraw/zinc/10/85/80/1073108580.db2.gz IQFZEGOETCGCGV-KBPBESRZSA-N 0 0 442.480 -0.766 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@@H]4CCC(=O)NC4=O)cn32)C1 ZINC001150421965 1073108559 /nfs/dbraw/zinc/10/85/59/1073108559.db2.gz IQFZEGOETCGCGV-KGLIPLIRSA-N 0 0 442.480 -0.766 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@H]4CCC(=O)NC4=O)cn32)C1 ZINC001150421966 1073109148 /nfs/dbraw/zinc/10/91/48/1073109148.db2.gz IQFZEGOETCGCGV-UONOGXRCSA-N 0 0 442.480 -0.766 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@@H]4CCC(=O)NC4=O)cn32)C1 ZINC001150421967 1073109085 /nfs/dbraw/zinc/10/90/85/1073109085.db2.gz IQFZEGOETCGCGV-ZIAGYGMSSA-N 0 0 442.480 -0.766 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@@H]4CCS(=O)(=O)C4)cn32)C1 ZINC001150422558 1073109092 /nfs/dbraw/zinc/10/90/92/1073109092.db2.gz MZYLOCAZGWZGDO-CABCVRRESA-N 0 0 449.537 -0.384 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@H]4CCS(=O)(=O)C4)cn32)C1 ZINC001150422559 1073109151 /nfs/dbraw/zinc/10/91/51/1073109151.db2.gz MZYLOCAZGWZGDO-GJZGRUSLSA-N 0 0 449.537 -0.384 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@@H]4CCS(=O)(=O)C4)cn32)C1 ZINC001150422560 1073109064 /nfs/dbraw/zinc/10/90/64/1073109064.db2.gz MZYLOCAZGWZGDO-HUUCEWRRSA-N 0 0 449.537 -0.384 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@H]4CCS(=O)(=O)C4)cn32)C1 ZINC001150422561 1073109140 /nfs/dbraw/zinc/10/91/40/1073109140.db2.gz MZYLOCAZGWZGDO-LSDHHAIUSA-N 0 0 449.537 -0.384 20 0 IBADRN CCC(CO)(CO)NC(=O)c1ccc2nnc([C@@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150422620 1073109110 /nfs/dbraw/zinc/10/91/10/1073109110.db2.gz NUZYHWBYYKWHPT-HNNXBMFYSA-N 0 0 433.513 -0.437 20 0 IBADRN CCC(CO)(CO)NC(=O)c1ccc2nnc([C@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150422621 1073109156 /nfs/dbraw/zinc/10/91/56/1073109156.db2.gz NUZYHWBYYKWHPT-OAHLLOKOSA-N 0 0 433.513 -0.437 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NCC(C)(C)C(N)=O)cn32)C1 ZINC001150422626 1073109124 /nfs/dbraw/zinc/10/91/24/1073109124.db2.gz NWDRFLKHTGGGGP-AWEZNQCLSA-N 0 0 430.513 -0.059 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NCC(C)(C)C(N)=O)cn32)C1 ZINC001150422627 1073109071 /nfs/dbraw/zinc/10/90/71/1073109071.db2.gz NWDRFLKHTGGGGP-CQSZACIVSA-N 0 0 430.513 -0.059 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@@H]4C=CS(=O)(=O)C4)cn32)C1 ZINC001150422770 1073109076 /nfs/dbraw/zinc/10/90/76/1073109076.db2.gz ONVWFHJFLZCHJM-CABCVRRESA-N 0 0 447.521 -0.260 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@H]4C=CS(=O)(=O)C4)cn32)C1 ZINC001150422771 1073109129 /nfs/dbraw/zinc/10/91/29/1073109129.db2.gz ONVWFHJFLZCHJM-GJZGRUSLSA-N 0 0 447.521 -0.260 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@@H]4C=CS(=O)(=O)C4)cn32)C1 ZINC001150422772 1073109119 /nfs/dbraw/zinc/10/91/19/1073109119.db2.gz ONVWFHJFLZCHJM-HUUCEWRRSA-N 0 0 447.521 -0.260 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@H]4C=CS(=O)(=O)C4)cn32)C1 ZINC001150422773 1073109113 /nfs/dbraw/zinc/10/91/13/1073109113.db2.gz ONVWFHJFLZCHJM-LSDHHAIUSA-N 0 0 447.521 -0.260 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@@H]4CNC(=O)C4)cn32)C1 ZINC001150422826 1073109104 /nfs/dbraw/zinc/10/91/04/1073109104.db2.gz PPAJSRISQPEBIW-DZGCQCFKSA-N 0 0 428.497 -0.435 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@H]4CNC(=O)C4)cn32)C1 ZINC001150422827 1073109143 /nfs/dbraw/zinc/10/91/43/1073109143.db2.gz PPAJSRISQPEBIW-HIFRSBDPSA-N 0 0 428.497 -0.435 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@H]4CNC(=O)C4)cn32)C1 ZINC001150422828 1073109094 /nfs/dbraw/zinc/10/90/94/1073109094.db2.gz PPAJSRISQPEBIW-UKRRQHHQSA-N 0 0 428.497 -0.435 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@@H]4CNC(=O)C4)cn32)C1 ZINC001150422829 1073109136 /nfs/dbraw/zinc/10/91/36/1073109136.db2.gz PPAJSRISQPEBIW-ZFWWWQNUSA-N 0 0 428.497 -0.435 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@H]4CCC(=O)N4)cn32)C1 ZINC001150423614 1073109600 /nfs/dbraw/zinc/10/96/00/1073109600.db2.gz SYLNKZUMAKVWLN-CABCVRRESA-N 0 0 428.497 -0.292 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@@H]4CCC(=O)N4)cn32)C1 ZINC001150423615 1073109611 /nfs/dbraw/zinc/10/96/11/1073109611.db2.gz SYLNKZUMAKVWLN-GJZGRUSLSA-N 0 0 428.497 -0.292 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@H]4CCC(=O)N4)cn32)C1 ZINC001150423617 1073109630 /nfs/dbraw/zinc/10/96/30/1073109630.db2.gz SYLNKZUMAKVWLN-HUUCEWRRSA-N 0 0 428.497 -0.292 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@@H]4CCC(=O)N4)cn32)C1 ZINC001150423618 1073109560 /nfs/dbraw/zinc/10/95/60/1073109560.db2.gz SYLNKZUMAKVWLN-LSDHHAIUSA-N 0 0 428.497 -0.292 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC4(CO)CCOCC4)cn32)C1 ZINC001150424050 1073109572 /nfs/dbraw/zinc/10/95/72/1073109572.db2.gz VCTANERAMUIOHV-INIZCTEOSA-N 0 0 445.524 -0.029 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC4(CO)CCOCC4)cn32)C1 ZINC001150424051 1073109593 /nfs/dbraw/zinc/10/95/93/1073109593.db2.gz VCTANERAMUIOHV-MRXNPFEDSA-N 0 0 445.524 -0.029 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc2nnc([C@@H]3CN(C(=O)N(C)C)CCN3C)n2c1)[C@@H](C)O ZINC001150424421 1073109580 /nfs/dbraw/zinc/10/95/80/1073109580.db2.gz XYEJFBYYPFJAKG-INWMFGNUSA-N 0 0 447.496 -0.648 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc2nnc([C@H]3CN(C(=O)N(C)C)CCN3C)n2c1)[C@@H](C)O ZINC001150424425 1073109644 /nfs/dbraw/zinc/10/96/44/1073109644.db2.gz XYEJFBYYPFJAKG-XPKDYRNWSA-N 0 0 447.496 -0.648 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC4CC(CO)(CO)C4)cn32)C1 ZINC001150424503 1073109516 /nfs/dbraw/zinc/10/95/16/1073109516.db2.gz ZJNLPYJXNJKQFZ-INIZCTEOSA-N 0 0 445.524 -0.437 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC4CC(CO)(CO)C4)cn32)C1 ZINC001150424504 1073109585 /nfs/dbraw/zinc/10/95/85/1073109585.db2.gz ZJNLPYJXNJKQFZ-MRXNPFEDSA-N 0 0 445.524 -0.437 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC4(CCO)COC4)cn32)C1 ZINC001150424519 1073109638 /nfs/dbraw/zinc/10/96/38/1073109638.db2.gz ZSOKKTKLZYTDQB-HNNXBMFYSA-N 0 0 431.497 -0.419 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC4(CCO)COC4)cn32)C1 ZINC001150424520 1073109626 /nfs/dbraw/zinc/10/96/26/1073109626.db2.gz ZSOKKTKLZYTDQB-OAHLLOKOSA-N 0 0 431.497 -0.419 20 0 IBADRN CN(C[C@@H](O)CO)C(=O)c1ccc2nnc([C@@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150427935 1073110076 /nfs/dbraw/zinc/11/00/76/1073110076.db2.gz AQDMEVDMVOXVKA-CVEARBPZSA-N 0 0 426.481 -0.562 20 0 IBADRN CN(C[C@H](O)CO)C(=O)c1ccc2nnc([C@@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150427936 1073110060 /nfs/dbraw/zinc/11/00/60/1073110060.db2.gz AQDMEVDMVOXVKA-HOTGVXAUSA-N 0 0 426.481 -0.562 20 0 IBADRN CN(C[C@@H](O)CO)C(=O)c1ccc2nnc([C@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150427937 1073110053 /nfs/dbraw/zinc/11/00/53/1073110053.db2.gz AQDMEVDMVOXVKA-HZPDHXFCSA-N 0 0 426.481 -0.562 20 0 IBADRN CN(C[C@H](O)CO)C(=O)c1ccc2nnc([C@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150427938 1073110070 /nfs/dbraw/zinc/11/00/70/1073110070.db2.gz AQDMEVDMVOXVKA-JKSUJKDBSA-N 0 0 426.481 -0.562 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@H]4CNC(=O)O4)cn32)C1 ZINC001150428402 1073109987 /nfs/dbraw/zinc/10/99/87/1073109987.db2.gz DXRCGGMPGBUCAV-KBPBESRZSA-N 0 0 430.469 -0.462 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@@H]4CNC(=O)O4)cn32)C1 ZINC001150428403 1073110024 /nfs/dbraw/zinc/11/00/24/1073110024.db2.gz DXRCGGMPGBUCAV-KGLIPLIRSA-N 0 0 430.469 -0.462 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@H]4CNC(=O)O4)cn32)C1 ZINC001150428404 1073110012 /nfs/dbraw/zinc/11/00/12/1073110012.db2.gz DXRCGGMPGBUCAV-UONOGXRCSA-N 0 0 430.469 -0.462 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@@H]4CNC(=O)O4)cn32)C1 ZINC001150428405 1073110035 /nfs/dbraw/zinc/11/00/35/1073110035.db2.gz DXRCGGMPGBUCAV-ZIAGYGMSSA-N 0 0 430.469 -0.462 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@H]4COCCN4C)cn32)C1 ZINC001150428412 1073110041 /nfs/dbraw/zinc/11/00/41/1073110041.db2.gz FATCYAJVGZQXLD-DLBZAZTESA-N 0 0 444.540 -0.240 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@@H]4COCCN4C)cn32)C1 ZINC001150428413 1073110028 /nfs/dbraw/zinc/11/00/28/1073110028.db2.gz FATCYAJVGZQXLD-IAGOWNOFSA-N 0 0 444.540 -0.240 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@H]4COCCN4C)cn32)C1 ZINC001150428589 1073110032 /nfs/dbraw/zinc/11/00/32/1073110032.db2.gz FATCYAJVGZQXLD-IRXDYDNUSA-N 0 0 444.540 -0.240 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@@H]4COCCN4C)cn32)C1 ZINC001150428591 1073109980 /nfs/dbraw/zinc/10/99/80/1073109980.db2.gz FATCYAJVGZQXLD-SJORKVTESA-N 0 0 444.540 -0.240 20 0 IBADRN CC(=O)N1CC(NC(=O)c2ccc3nnc([C@@H]4CN(C(=O)N(C)C)CCN4C)n3c2)C1 ZINC001150428593 1073110081 /nfs/dbraw/zinc/11/00/81/1073110081.db2.gz FCTOCCQAYDMGDG-INIZCTEOSA-N 0 0 428.497 -0.340 20 0 IBADRN CC(=O)N1CC(NC(=O)c2ccc3nnc([C@H]4CN(C(=O)N(C)C)CCN4C)n3c2)C1 ZINC001150428595 1073110064 /nfs/dbraw/zinc/11/00/64/1073110064.db2.gz FCTOCCQAYDMGDG-MRXNPFEDSA-N 0 0 428.497 -0.340 20 0 IBADRN CN1CCN(C(=O)c2ccc3nnc([C@@H]4CN(c5ncccn5)CCN4C)n3c2)CC1=O ZINC001150428676 1073110015 /nfs/dbraw/zinc/11/00/15/1073110015.db2.gz GAHKEYHVSUXNJH-INIZCTEOSA-N 0 0 435.492 -0.073 20 0 IBADRN CN1CCN(C(=O)c2ccc3nnc([C@H]4CN(c5ncccn5)CCN4C)n3c2)CC1=O ZINC001150428677 1073109998 /nfs/dbraw/zinc/10/99/98/1073109998.db2.gz GAHKEYHVSUXNJH-MRXNPFEDSA-N 0 0 435.492 -0.073 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)N3CC[C@@H](C(N)=O)C3)cn21 ZINC001150429386 1073110536 /nfs/dbraw/zinc/11/05/36/1073110536.db2.gz INYXHMVRFLCUGA-GDBMZVCRSA-N 0 0 435.492 -0.040 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)N3CC[C@@H](C(N)=O)C3)cn21 ZINC001150429387 1073110585 /nfs/dbraw/zinc/11/05/85/1073110585.db2.gz INYXHMVRFLCUGA-ZBFHGGJFSA-N 0 0 435.492 -0.040 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4C[C@H](O)C[C@@H]4CO)cn32)C1 ZINC001150429430 1073110594 /nfs/dbraw/zinc/11/05/94/1073110594.db2.gz ITBZAATVVZIJNH-BZUAXINKSA-N 0 0 431.497 -0.733 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4C[C@H](O)C[C@@H]4CO)cn32)C1 ZINC001150429431 1073110580 /nfs/dbraw/zinc/11/05/80/1073110580.db2.gz ITBZAATVVZIJNH-OAGGEKHMSA-N 0 0 431.497 -0.733 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)N3CCCNC(=O)C3)cn21 ZINC001150429677 1073110534 /nfs/dbraw/zinc/11/05/34/1073110534.db2.gz KHJFXOKHJJYGOA-INIZCTEOSA-N 0 0 435.492 -0.026 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)N3CCCNC(=O)C3)cn21 ZINC001150429679 1073110576 /nfs/dbraw/zinc/11/05/76/1073110576.db2.gz KHJFXOKHJJYGOA-MRXNPFEDSA-N 0 0 435.492 -0.026 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)n4cc(N)c(=O)nc4N)cn32)C1 ZINC001150429793 1073110532 /nfs/dbraw/zinc/11/05/32/1073110532.db2.gz LXFSPWOHCOBXNZ-CYBMUJFWSA-N 0 0 440.468 -0.648 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)n4cc(N)c(=O)nc4N)cn32)C1 ZINC001150429796 1073110540 /nfs/dbraw/zinc/11/05/40/1073110540.db2.gz LXFSPWOHCOBXNZ-ZDUSSCGKSA-N 0 0 440.468 -0.648 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC4CN(C5COC5)C4)cn32)C1 ZINC001150430250 1073110527 /nfs/dbraw/zinc/11/05/27/1073110527.db2.gz PDRRVKLBQKWONN-KRWDZBQOSA-N 0 0 442.524 -0.488 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC4CN(C5COC5)C4)cn32)C1 ZINC001150430251 1073110530 /nfs/dbraw/zinc/11/05/30/1073110530.db2.gz PDRRVKLBQKWONN-QGZVFWFLSA-N 0 0 442.524 -0.488 20 0 IBADRN C=CC(=O)NCCNC(=O)c1ccc2nnc([C@@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150430284 1073110559 /nfs/dbraw/zinc/11/05/59/1073110559.db2.gz PKJTWLCLGFYUFB-HNNXBMFYSA-N 0 0 428.497 -0.269 20 0 IBADRN C=CC(=O)NCCNC(=O)c1ccc2nnc([C@H]3CN(C(=O)N(C)C)CCN3C)n2c1 ZINC001150430285 1073110526 /nfs/dbraw/zinc/11/05/26/1073110526.db2.gz PKJTWLCLGFYUFB-OAHLLOKOSA-N 0 0 428.497 -0.269 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)NCCS(C)(=O)=O)cn21 ZINC001150430446 1073110543 /nfs/dbraw/zinc/11/05/43/1073110543.db2.gz RJMPYHSHIRTALB-HNNXBMFYSA-N 0 0 444.521 -0.213 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)NCCS(C)(=O)=O)cn21 ZINC001150430447 1073110590 /nfs/dbraw/zinc/11/05/90/1073110590.db2.gz RJMPYHSHIRTALB-OAHLLOKOSA-N 0 0 444.521 -0.213 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@H]4CNC(=O)C4(C)C)cn32)C1 ZINC001150430467 1073110553 /nfs/dbraw/zinc/11/05/53/1073110553.db2.gz RXHFQJMQZACSGO-CABCVRRESA-N 0 0 442.524 -0.046 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@H]4CNC(=O)C4(C)C)cn32)C1 ZINC001150430469 1073110563 /nfs/dbraw/zinc/11/05/63/1073110563.db2.gz RXHFQJMQZACSGO-GJZGRUSLSA-N 0 0 442.524 -0.046 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N[C@@H]4CNC(=O)C4(C)C)cn32)C1 ZINC001150430471 1073110549 /nfs/dbraw/zinc/11/05/49/1073110549.db2.gz RXHFQJMQZACSGO-HUUCEWRRSA-N 0 0 442.524 -0.046 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N[C@@H]4CNC(=O)C4(C)C)cn32)C1 ZINC001150430473 1073110538 /nfs/dbraw/zinc/11/05/38/1073110538.db2.gz RXHFQJMQZACSGO-LSDHHAIUSA-N 0 0 442.524 -0.046 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@H]4CC(=O)N(C)C4)cn32)C1 ZINC001150431041 1073111073 /nfs/dbraw/zinc/11/10/73/1073111073.db2.gz WPZCZNDBIXGRTG-GDBMZVCRSA-N 0 0 442.524 -0.093 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC[C@@H]4CC(=O)N(C)C4)cn32)C1 ZINC001150431042 1073111153 /nfs/dbraw/zinc/11/11/53/1073111153.db2.gz WPZCZNDBIXGRTG-GOEBONIOSA-N 0 0 442.524 -0.093 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@@H]4CC(=O)N(C)C4)cn32)C1 ZINC001150431043 1073111059 /nfs/dbraw/zinc/11/10/59/1073111059.db2.gz WPZCZNDBIXGRTG-HOCLYGCPSA-N 0 0 442.524 -0.093 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC[C@H]4CC(=O)N(C)C4)cn32)C1 ZINC001150431044 1073111172 /nfs/dbraw/zinc/11/11/72/1073111172.db2.gz WPZCZNDBIXGRTG-ZBFHGGJFSA-N 0 0 442.524 -0.093 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150431220 1073111104 /nfs/dbraw/zinc/11/11/04/1073111104.db2.gz UYTRPABNZRTAJI-GDBMZVCRSA-N 0 0 435.492 -0.027 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150431221 1073111090 /nfs/dbraw/zinc/11/10/90/1073111090.db2.gz UYTRPABNZRTAJI-GOEBONIOSA-N 0 0 435.492 -0.027 20 0 IBADRN C[C@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150431222 1073111123 /nfs/dbraw/zinc/11/11/23/1073111123.db2.gz UYTRPABNZRTAJI-HOCLYGCPSA-N 0 0 435.492 -0.027 20 0 IBADRN C[C@@H]1C(=O)NCCN1C(=O)c1ccc2nnc([C@@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150431223 1073111119 /nfs/dbraw/zinc/11/11/19/1073111119.db2.gz UYTRPABNZRTAJI-ZBFHGGJFSA-N 0 0 435.492 -0.027 20 0 IBADRN C[C@@H](O)[C@@H](CO)NC(=O)c1ccc2nnc([C@@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150431574 1073111158 /nfs/dbraw/zinc/11/11/58/1073111158.db2.gz ZXSVJHWNAMKWKG-BMFZPTHFSA-N 0 0 426.481 -0.516 20 0 IBADRN C[C@@H](O)[C@@H](CO)NC(=O)c1ccc2nnc([C@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150431575 1073111141 /nfs/dbraw/zinc/11/11/41/1073111141.db2.gz ZXSVJHWNAMKWKG-FVQBIDKESA-N 0 0 426.481 -0.516 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)NC3(CCO)COC3)cn21 ZINC001150432846 1073111126 /nfs/dbraw/zinc/11/11/26/1073111126.db2.gz DXZWLWFHWKCRGX-INIZCTEOSA-N 0 0 438.492 -0.106 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)NC3(CCO)COC3)cn21 ZINC001150432847 1073111164 /nfs/dbraw/zinc/11/11/64/1073111164.db2.gz DXZWLWFHWKCRGX-MRXNPFEDSA-N 0 0 438.492 -0.106 20 0 IBADRN CCC(CO)(CO)NC(=O)c1ccc2nnc([C@@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150433824 1073111599 /nfs/dbraw/zinc/11/15/99/1073111599.db2.gz OSEXXRFYJNFOLE-INIZCTEOSA-N 0 0 440.508 -0.124 20 0 IBADRN CCC(CO)(CO)NC(=O)c1ccc2nnc([C@H]3CN(c4ncccn4)CCN3C)n2c1 ZINC001150433825 1073111604 /nfs/dbraw/zinc/11/16/04/1073111604.db2.gz OSEXXRFYJNFOLE-MRXNPFEDSA-N 0 0 440.508 -0.124 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)N[C@H]3COCC[C@@H]3O)cn21 ZINC001150434130 1073111674 /nfs/dbraw/zinc/11/16/74/1073111674.db2.gz QMAZMMOEHHSNQI-BBWFWOEESA-N 0 0 438.492 -0.108 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)N[C@H]3COCC[C@@H]3O)cn21 ZINC001150434131 1073111610 /nfs/dbraw/zinc/11/16/10/1073111610.db2.gz QMAZMMOEHHSNQI-ULQDDVLXSA-N 0 0 438.492 -0.108 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)N3C[C@H](O)C[C@@H]3CO)cn21 ZINC001150434144 1073111639 /nfs/dbraw/zinc/11/16/39/1073111639.db2.gz RDRCBPFTXWWAOP-BRWVUGGUSA-N 0 0 438.492 -0.420 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)N3C[C@H](O)C[C@@H]3CO)cn21 ZINC001150434145 1073111623 /nfs/dbraw/zinc/11/16/23/1073111623.db2.gz RDRCBPFTXWWAOP-ZACQAIPSSA-N 0 0 438.492 -0.420 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)NC[C@H]3CNC(=O)C3)cn21 ZINC001150434562 1073111656 /nfs/dbraw/zinc/11/16/56/1073111656.db2.gz VEHVNOQOFJQQDA-GDBMZVCRSA-N 0 0 435.492 -0.122 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)NC[C@@H]3CNC(=O)C3)cn21 ZINC001150434568 1073111661 /nfs/dbraw/zinc/11/16/61/1073111661.db2.gz VEHVNOQOFJQQDA-GOEBONIOSA-N 0 0 435.492 -0.122 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)NC[C@@H]3CNC(=O)C3)cn21 ZINC001150434574 1073111593 /nfs/dbraw/zinc/11/15/93/1073111593.db2.gz VEHVNOQOFJQQDA-HOCLYGCPSA-N 0 0 435.492 -0.122 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)NC[C@H]3CNC(=O)C3)cn21 ZINC001150434579 1073111693 /nfs/dbraw/zinc/11/16/93/1073111693.db2.gz VEHVNOQOFJQQDA-ZBFHGGJFSA-N 0 0 435.492 -0.122 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)N[C@@H]3CCC(=O)NC3=O)cn21 ZINC001150435138 1073111643 /nfs/dbraw/zinc/11/16/43/1073111643.db2.gz YERKAOAINDMWMK-CABCVRRESA-N 0 0 449.475 -0.453 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)N[C@H]3CCC(=O)NC3=O)cn21 ZINC001150435139 1073111577 /nfs/dbraw/zinc/11/15/77/1073111577.db2.gz YERKAOAINDMWMK-GJZGRUSLSA-N 0 0 449.475 -0.453 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)N[C@@H]3CCC(=O)NC3=O)cn21 ZINC001150435140 1073111627 /nfs/dbraw/zinc/11/16/27/1073111627.db2.gz YERKAOAINDMWMK-HUUCEWRRSA-N 0 0 449.475 -0.453 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)N[C@H]3CCC(=O)NC3=O)cn21 ZINC001150435141 1073111686 /nfs/dbraw/zinc/11/16/86/1073111686.db2.gz YERKAOAINDMWMK-LSDHHAIUSA-N 0 0 449.475 -0.453 20 0 IBADRN CC(=O)N1CC(NC(=O)c2ccc3nnc([C@@H]4CN(c5ncccn5)CCN4C)n3c2)C1 ZINC001150435219 1073111681 /nfs/dbraw/zinc/11/16/81/1073111681.db2.gz YZZQUMUNZYUYAK-KRWDZBQOSA-N 0 0 435.492 -0.027 20 0 IBADRN CC(=O)N1CC(NC(=O)c2ccc3nnc([C@H]4CN(c5ncccn5)CCN4C)n3c2)C1 ZINC001150435223 1073111588 /nfs/dbraw/zinc/11/15/88/1073111588.db2.gz YZZQUMUNZYUYAK-QGZVFWFLSA-N 0 0 435.492 -0.027 20 0 IBADRN NC(=O)C[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC001150436997 1073111634 /nfs/dbraw/zinc/11/16/34/1073111634.db2.gz AGSIRLPZRHNEPY-GUTXKFCHSA-N 0 0 431.898 -0.433 20 0 IBADRN NC(=O)C[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC001150436998 1073111668 /nfs/dbraw/zinc/11/16/68/1073111668.db2.gz AGSIRLPZRHNEPY-IPYPFGDCSA-N 0 0 431.898 -0.433 20 0 IBADRN NC(=O)C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC001150436999 1073111650 /nfs/dbraw/zinc/11/16/50/1073111650.db2.gz AGSIRLPZRHNEPY-NFAWXSAZSA-N 0 0 431.898 -0.433 20 0 IBADRN NC(=O)C[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CCO1 ZINC001150437000 1073111615 /nfs/dbraw/zinc/11/16/15/1073111615.db2.gz AGSIRLPZRHNEPY-YDHLFZDLSA-N 0 0 431.898 -0.433 20 0 IBADRN COc1ccc(CC(=O)N2CCO[C@@H](CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001150438165 1073112127 /nfs/dbraw/zinc/11/21/27/1073112127.db2.gz XBJMNEZZKCXALB-HNNXBMFYSA-N 0 0 441.506 -0.639 20 0 IBADRN COc1ccc(CC(=O)N2CCO[C@H](CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001150438166 1073112113 /nfs/dbraw/zinc/11/21/13/1073112113.db2.gz XBJMNEZZKCXALB-OAHLLOKOSA-N 0 0 441.506 -0.639 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2ncn3c2CN(C)S(=O)(=O)c2ccccc2-3)CC1 ZINC001150439613 1073112202 /nfs/dbraw/zinc/11/22/02/1073112202.db2.gz CQKIKVYOYNKHTG-UHFFFAOYSA-N 0 0 433.490 -0.063 20 0 IBADRN CN1Cc2c(C(=O)N3CC(N4CCNC(=O)C4)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150439767 1073112149 /nfs/dbraw/zinc/11/21/49/1073112149.db2.gz FKJTZLHEAODGIM-UHFFFAOYSA-N 0 0 430.490 -0.737 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ncn3c2CN(C)S(=O)(=O)c2ccccc2-3)CCN1C ZINC001150440062 1073112198 /nfs/dbraw/zinc/11/21/98/1073112198.db2.gz IWNNCOLSOOOBDA-HNNXBMFYSA-N 0 0 433.490 -0.064 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ncn3c2CN(C)S(=O)(=O)c2ccccc2-3)CCN1C ZINC001150440063 1073112123 /nfs/dbraw/zinc/11/21/23/1073112123.db2.gz IWNNCOLSOOOBDA-OAHLLOKOSA-N 0 0 433.490 -0.064 20 0 IBADRN CN1Cc2c(C(=O)N3CC(NS(C)(=O)=O)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150440074 1073112159 /nfs/dbraw/zinc/11/21/59/1073112159.db2.gz JNUSKPDTCXTQPY-UHFFFAOYSA-N 0 0 425.492 -0.620 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN1C(=O)c1ncn2c1CN(C)S(=O)(=O)c1ccccc1-2 ZINC001150440125 1073112218 /nfs/dbraw/zinc/11/22/18/1073112218.db2.gz MDRIKEXEYWHBEG-HNNXBMFYSA-N 0 0 433.490 -0.064 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN1C(=O)c1ncn2c1CN(C)S(=O)(=O)c1ccccc1-2 ZINC001150440126 1073112146 /nfs/dbraw/zinc/11/21/46/1073112146.db2.gz MDRIKEXEYWHBEG-OAHLLOKOSA-N 0 0 433.490 -0.064 20 0 IBADRN CN1CCN(C2CN(C(=O)c3ncn4c3CN(C)S(=O)(=O)c3ccccc3-4)C2)CC1=O ZINC001150440447 1073112119 /nfs/dbraw/zinc/11/21/19/1073112119.db2.gz NLDWXBOFQKRPHM-UHFFFAOYSA-N 0 0 444.517 -0.395 20 0 IBADRN CN1Cc2c(C(=O)N3CC(=O)N(C4CC4)C(=O)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150441030 1073112137 /nfs/dbraw/zinc/11/21/37/1073112137.db2.gz CEEVFYGZSSKMJV-UHFFFAOYSA-N 0 0 429.458 -0.020 20 0 IBADRN CN1Cc2c(C(=O)N3CCN4C(=O)COC[C@H]4C3)ncn2-c2ccccc2S1(=O)=O ZINC001150441068 1073112155 /nfs/dbraw/zinc/11/21/55/1073112155.db2.gz WQLNKVOWVPSKQT-CYBMUJFWSA-N 0 0 431.474 -0.310 20 0 IBADRN CN1Cc2c(C(=O)N3CCN4C(=O)COC[C@@H]4C3)ncn2-c2ccccc2S1(=O)=O ZINC001150441069 1073112223 /nfs/dbraw/zinc/11/22/23/1073112223.db2.gz WQLNKVOWVPSKQT-ZDUSSCGKSA-N 0 0 431.474 -0.310 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)NC[C@@H]3CNC(=O)O3)cn21 ZINC001150441459 1073112105 /nfs/dbraw/zinc/11/21/05/1073112105.db2.gz REVULCLZLDYGKV-CABCVRRESA-N 0 0 437.464 -0.149 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)NC[C@H]3CNC(=O)O3)cn21 ZINC001150441460 1073112210 /nfs/dbraw/zinc/11/22/10/1073112210.db2.gz REVULCLZLDYGKV-GJZGRUSLSA-N 0 0 437.464 -0.149 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)NC[C@@H]3CNC(=O)O3)cn21 ZINC001150441461 1073112141 /nfs/dbraw/zinc/11/21/41/1073112141.db2.gz REVULCLZLDYGKV-HUUCEWRRSA-N 0 0 437.464 -0.149 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)NC[C@H]3CNC(=O)O3)cn21 ZINC001150441462 1073112163 /nfs/dbraw/zinc/11/21/63/1073112163.db2.gz REVULCLZLDYGKV-LSDHHAIUSA-N 0 0 437.464 -0.149 20 0 IBADRN CN1Cc2c(C(=O)NCCC(=O)N3CCOCC3)ncn2-c2ccccc2S1(=O)=O ZINC001150441617 1073112110 /nfs/dbraw/zinc/11/21/10/1073112110.db2.gz SSTIAOKKPVQIBB-UHFFFAOYSA-N 0 0 433.490 -0.015 20 0 IBADRN CN1CCN(c2ncccn2)C[C@@H]1c1nnc2ccc(C(=O)NC3CN(C4COC4)C3)cn21 ZINC001150441710 1073112131 /nfs/dbraw/zinc/11/21/31/1073112131.db2.gz VSAYYBMHHJFIOM-GOSISDBHSA-N 0 0 449.519 -0.175 20 0 IBADRN CN1CCN(c2ncccn2)C[C@H]1c1nnc2ccc(C(=O)NC3CN(C4COC4)C3)cn21 ZINC001150441712 1073112712 /nfs/dbraw/zinc/11/27/12/1073112712.db2.gz VSAYYBMHHJFIOM-SFHVURJKSA-N 0 0 449.519 -0.175 20 0 IBADRN CN1Cc2c(C(=O)N3CCN(S(C)(=O)=O)CC3)ncn2-c2ccccc2S1(=O)=O ZINC001150442277 1073112729 /nfs/dbraw/zinc/11/27/29/1073112729.db2.gz YXPRJMWGVZFOLA-UHFFFAOYSA-N 0 0 439.519 -0.276 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CNC(=O)c1cnc(Cl)c(Cl)c1 ZINC001150443354 1073112765 /nfs/dbraw/zinc/11/27/65/1073112765.db2.gz JSSAWWRTMFRSFP-JTQLQIEISA-N 0 0 425.294 -0.105 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CNC(=O)c1cnc(Cl)c(Cl)c1 ZINC001150443355 1073112767 /nfs/dbraw/zinc/11/27/67/1073112767.db2.gz JSSAWWRTMFRSFP-SNVBAGLBSA-N 0 0 425.294 -0.105 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC001150443575 1073112741 /nfs/dbraw/zinc/11/27/41/1073112741.db2.gz QUSFLFSWJJAVOB-GFCCVEGCSA-N 0 0 425.916 -0.605 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC001150443576 1073112726 /nfs/dbraw/zinc/11/27/26/1073112726.db2.gz QUSFLFSWJJAVOB-LBPRGKRZSA-N 0 0 425.916 -0.605 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cc12 ZINC001150443668 1073112733 /nfs/dbraw/zinc/11/27/33/1073112733.db2.gz USFDTWLCCHEGFT-NEPJUHHUSA-N 0 0 443.551 -0.162 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cc12 ZINC001150443669 1073112762 /nfs/dbraw/zinc/11/27/62/1073112762.db2.gz USFDTWLCCHEGFT-NWDGAFQWSA-N 0 0 443.551 -0.162 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cc12 ZINC001150443670 1073112739 /nfs/dbraw/zinc/11/27/39/1073112739.db2.gz USFDTWLCCHEGFT-RYUDHWBXSA-N 0 0 443.551 -0.162 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cc12 ZINC001150443671 1073112702 /nfs/dbraw/zinc/11/27/02/1073112702.db2.gz USFDTWLCCHEGFT-VXGBXAGGSA-N 0 0 443.551 -0.162 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN(CC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)CC1 ZINC001150443685 1073112768 /nfs/dbraw/zinc/11/27/68/1073112768.db2.gz VCIGCAUOOQOXEP-HNNXBMFYSA-N 0 0 434.559 -0.248 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN(CC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)CC1 ZINC001150443686 1073112707 /nfs/dbraw/zinc/11/27/07/1073112707.db2.gz VCIGCAUOOQOXEP-OAHLLOKOSA-N 0 0 434.559 -0.248 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1OCC ZINC001150443810 1073112746 /nfs/dbraw/zinc/11/27/46/1073112746.db2.gz WZFOSJNBLHUAEX-HNNXBMFYSA-N 0 0 443.522 -0.010 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1OCC ZINC001150443812 1073112750 /nfs/dbraw/zinc/11/27/50/1073112750.db2.gz WZFOSJNBLHUAEX-OAHLLOKOSA-N 0 0 443.522 -0.010 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC001150443900 1073112743 /nfs/dbraw/zinc/11/27/43/1073112743.db2.gz YTWXYXRYSCYASQ-AWEZNQCLSA-N 0 0 437.515 -0.339 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC001150443901 1073112716 /nfs/dbraw/zinc/11/27/16/1073112716.db2.gz YTWXYXRYSCYASQ-CQSZACIVSA-N 0 0 437.515 -0.339 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1ncn2c1CN(C)S(=O)(=O)c1ccccc1-2 ZINC001150446592 1073112755 /nfs/dbraw/zinc/11/27/55/1073112755.db2.gz DZNVVZFQPURYTN-UHFFFAOYSA-N 0 0 427.508 -0.372 20 0 IBADRN CN1Cc2c(C(=O)NC3CN(S(C)(=O)=O)C3)ncn2-c2ccccc2S1(=O)=O ZINC001150446638 1073112721 /nfs/dbraw/zinc/11/27/21/1073112721.db2.gz GUTDCYRMQIEGML-UHFFFAOYSA-N 0 0 425.492 -0.620 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1ncn2c1CN(C)S(=O)(=O)c1ccccc1-2)C(N)=O ZINC001150448015 1073112753 /nfs/dbraw/zinc/11/27/53/1073112753.db2.gz ZRZYDCMEDFOSLB-NSHDSACASA-N 0 0 435.462 -0.457 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N=c4ncnc5[nH][nH]cc4-5)cn32)C1 ZINC001150449060 1073113277 /nfs/dbraw/zinc/11/32/77/1073113277.db2.gz OAOAEFHYWHBZFN-JTQLQIEISA-N 0 0 427.450 -0.230 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N=c4ncnc5[nH][nH]cc4-5)cn32)C1 ZINC001150449061 1073113287 /nfs/dbraw/zinc/11/32/87/1073113287.db2.gz OAOAEFHYWHBZFN-SNVBAGLBSA-N 0 0 427.450 -0.230 20 0 IBADRN CN1Cc2c(C(=O)NCCN3CCCS3(=O)=O)ncn2-c2ccccc2S1(=O)=O ZINC001150449636 1073113270 /nfs/dbraw/zinc/11/32/70/1073113270.db2.gz VECUVWDBIKIEDV-UHFFFAOYSA-N 0 0 439.519 -0.228 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)Nc4nccnc4C(N)=O)cn32)C1 ZINC001150449830 1073113168 /nfs/dbraw/zinc/11/31/68/1073113168.db2.gz XDOPFSMFRGWRTD-JTQLQIEISA-N 0 0 430.450 -0.381 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)Nc4nccnc4C(N)=O)cn32)C1 ZINC001150449831 1073113302 /nfs/dbraw/zinc/11/33/02/1073113302.db2.gz XDOPFSMFRGWRTD-SNVBAGLBSA-N 0 0 430.450 -0.381 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4C[C@@H]5COC[C@H](C4)C5=O)cn32)C1 ZINC001150454723 1073113264 /nfs/dbraw/zinc/11/32/64/1073113264.db2.gz DQVKLZXIFSFHJQ-AEGPPILISA-N 0 0 433.490 -0.234 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4C[C@@H]5COC[C@H](C4)C5=O)cn32)C1 ZINC001150454724 1073113235 /nfs/dbraw/zinc/11/32/35/1073113235.db2.gz DQVKLZXIFSFHJQ-YUELXQCFSA-N 0 0 433.490 -0.234 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CCC5(CNC(=O)N5)CC4)cn32)C1 ZINC001150454752 1073113199 /nfs/dbraw/zinc/11/31/99/1073113199.db2.gz FCVZAYFYNNPJQL-CYBMUJFWSA-N 0 0 447.521 -0.234 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CCC5(CNC(=O)N5)CC4)cn32)C1 ZINC001150454754 1073113241 /nfs/dbraw/zinc/11/32/41/1073113241.db2.gz FCVZAYFYNNPJQL-ZDUSSCGKSA-N 0 0 447.521 -0.234 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CC(=O)N(C5CC5)C(=O)C4)cn32)C1 ZINC001150454875 1073113230 /nfs/dbraw/zinc/11/32/30/1073113230.db2.gz BZAJTGITKUHLBZ-GFCCVEGCSA-N 0 0 446.489 -0.548 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CC(=O)N(C5CC5)C(=O)C4)cn32)C1 ZINC001150454876 1073113189 /nfs/dbraw/zinc/11/31/89/1073113189.db2.gz BZAJTGITKUHLBZ-LBPRGKRZSA-N 0 0 446.489 -0.548 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CCS(=O)(=O)CC4)cn32)C1 ZINC001150455356 1073113223 /nfs/dbraw/zinc/11/32/23/1073113223.db2.gz FICAIZCPXMVWTA-GFCCVEGCSA-N 0 0 427.508 -0.651 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CCS(=O)(=O)CC4)cn32)C1 ZINC001150455358 1073113297 /nfs/dbraw/zinc/11/32/97/1073113297.db2.gz FICAIZCPXMVWTA-LBPRGKRZSA-N 0 0 427.508 -0.651 20 0 IBADRN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)C1 ZINC001150455784 1073113179 /nfs/dbraw/zinc/11/31/79/1073113179.db2.gz CJIOTAICNKBCSN-MRVWCRGKSA-N 0 0 441.535 -0.216 20 0 IBADRN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)C1 ZINC001150455786 1073113664 /nfs/dbraw/zinc/11/36/64/1073113664.db2.gz CJIOTAICNKBCSN-UBHSHLNASA-N 0 0 441.535 -0.216 20 0 IBADRN CN1CCO[C@H]2CN(C(=O)c3ccc4nnc([C@@H]5CCN(S(C)(=O)=O)C5)n4c3)C[C@H]21 ZINC001150455885 1073113638 /nfs/dbraw/zinc/11/36/38/1073113638.db2.gz GVITWBSFMNCHGF-BMFZPTHFSA-N 0 0 434.522 -0.367 20 0 IBADRN CN1CCO[C@H]2CN(C(=O)c3ccc4nnc([C@H]5CCN(S(C)(=O)=O)C5)n4c3)C[C@H]21 ZINC001150455886 1073113706 /nfs/dbraw/zinc/11/37/06/1073113706.db2.gz GVITWBSFMNCHGF-IMJJTQAJSA-N 0 0 434.522 -0.367 20 0 IBADRN COCCN1CCN(C(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)CC1 ZINC001150455891 1073113709 /nfs/dbraw/zinc/11/37/09/1073113709.db2.gz GXFAFSRTYQUUKZ-HNNXBMFYSA-N 0 0 436.538 -0.118 20 0 IBADRN COCCN1CCN(C(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)CC1 ZINC001150455892 1073113616 /nfs/dbraw/zinc/11/36/16/1073113616.db2.gz GXFAFSRTYQUUKZ-OAHLLOKOSA-N 0 0 436.538 -0.118 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CCN5C(=O)OC[C@H]5C4)cn32)C1 ZINC001150455943 1073113690 /nfs/dbraw/zinc/11/36/90/1073113690.db2.gz HYEWDAVRRHPYIP-GXTWGEPZSA-N 0 0 434.478 -0.245 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CCN5C(=O)OC[C@H]5C4)cn32)C1 ZINC001150455944 1073113670 /nfs/dbraw/zinc/11/36/70/1073113670.db2.gz HYEWDAVRRHPYIP-TZMCWYRMSA-N 0 0 434.478 -0.245 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1ccc2nnc([C@@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456009 1073113656 /nfs/dbraw/zinc/11/36/56/1073113656.db2.gz FQVRHKXVESCKAM-GFCCVEGCSA-N 0 0 444.539 -0.901 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1ccc2nnc([C@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456010 1073113677 /nfs/dbraw/zinc/11/36/77/1073113677.db2.gz FQVRHKXVESCKAM-LBPRGKRZSA-N 0 0 444.539 -0.901 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N[C@H]4CCS(=O)(=O)C4)cn32)C1 ZINC001150456607 1073113647 /nfs/dbraw/zinc/11/36/47/1073113647.db2.gz OZSHNYUHUVECBH-AAEUAGOBSA-N 0 0 427.508 -0.605 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N[C@@H]4CCS(=O)(=O)C4)cn32)C1 ZINC001150456608 1073113698 /nfs/dbraw/zinc/11/36/98/1073113698.db2.gz OZSHNYUHUVECBH-DGCLKSJQSA-N 0 0 427.508 -0.605 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N[C@@H]4CCS(=O)(=O)C4)cn32)C1 ZINC001150456609 1073113695 /nfs/dbraw/zinc/11/36/95/1073113695.db2.gz OZSHNYUHUVECBH-WCQYABFASA-N 0 0 427.508 -0.605 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N[C@H]4CCS(=O)(=O)C4)cn32)C1 ZINC001150456610 1073113654 /nfs/dbraw/zinc/11/36/54/1073113654.db2.gz OZSHNYUHUVECBH-YPMHNXCESA-N 0 0 427.508 -0.605 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)c1ccc2nnc([C@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456681 1073113599 /nfs/dbraw/zinc/11/35/99/1073113599.db2.gz JJYXGVOPRNKMGY-CABCVRRESA-N 0 0 436.538 -0.071 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)c1ccc2nnc([C@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456682 1073113683 /nfs/dbraw/zinc/11/36/83/1073113683.db2.gz JJYXGVOPRNKMGY-GJZGRUSLSA-N 0 0 436.538 -0.071 20 0 IBADRN C[C@@H]1COCCN1CCNC(=O)c1ccc2nnc([C@@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456683 1073113610 /nfs/dbraw/zinc/11/36/10/1073113610.db2.gz JJYXGVOPRNKMGY-HUUCEWRRSA-N 0 0 436.538 -0.071 20 0 IBADRN C[C@H]1COCCN1CCNC(=O)c1ccc2nnc([C@@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456684 1073113703 /nfs/dbraw/zinc/11/37/03/1073113703.db2.gz JJYXGVOPRNKMGY-LSDHHAIUSA-N 0 0 436.538 -0.071 20 0 IBADRN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)C1 ZINC001150456740 1073113680 /nfs/dbraw/zinc/11/36/80/1073113680.db2.gz KZVOUUHYMZOLLH-RFUYNDQBSA-N 0 0 432.506 -0.171 20 0 IBADRN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)C1 ZINC001150456741 1073113633 /nfs/dbraw/zinc/11/36/33/1073113633.db2.gz KZVOUUHYMZOLLH-WTANOLMUSA-N 0 0 432.506 -0.171 20 0 IBADRN C[NH+](C)C[C@@H]1COCCN1C(=O)c1ccc2nnc([C@@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456785 1073114023 /nfs/dbraw/zinc/11/40/23/1073114023.db2.gz KICZRAKEPZAYHW-GDBMZVCRSA-N 0 0 436.538 -0.119 20 0 IBADRN C[NH+](C)C[C@@H]1COCCN1C(=O)c1ccc2nnc([C@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456786 1073114120 /nfs/dbraw/zinc/11/41/20/1073114120.db2.gz KICZRAKEPZAYHW-GOEBONIOSA-N 0 0 436.538 -0.119 20 0 IBADRN C[NH+](C)C[C@H]1COCCN1C(=O)c1ccc2nnc([C@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456787 1073113953 /nfs/dbraw/zinc/11/39/53/1073113953.db2.gz KICZRAKEPZAYHW-HOCLYGCPSA-N 0 0 436.538 -0.119 20 0 IBADRN C[NH+](C)C[C@H]1COCCN1C(=O)c1ccc2nnc([C@@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150456788 1073113911 /nfs/dbraw/zinc/11/39/11/1073113911.db2.gz KICZRAKEPZAYHW-ZBFHGGJFSA-N 0 0 436.538 -0.119 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N[C@H]4C=CS(=O)(=O)C4)cn32)C1 ZINC001150457141 1073113993 /nfs/dbraw/zinc/11/39/93/1073113993.db2.gz NDCBTADCKZICIK-AAEUAGOBSA-N 0 0 425.492 -0.481 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N[C@@H]4C=CS(=O)(=O)C4)cn32)C1 ZINC001150457142 1073113892 /nfs/dbraw/zinc/11/38/92/1073113892.db2.gz NDCBTADCKZICIK-DGCLKSJQSA-N 0 0 425.492 -0.481 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N[C@@H]4C=CS(=O)(=O)C4)cn32)C1 ZINC001150457143 1073113883 /nfs/dbraw/zinc/11/38/83/1073113883.db2.gz NDCBTADCKZICIK-WCQYABFASA-N 0 0 425.492 -0.481 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N[C@H]4C=CS(=O)(=O)C4)cn32)C1 ZINC001150457144 1073114056 /nfs/dbraw/zinc/11/40/56/1073114056.db2.gz NDCBTADCKZICIK-YPMHNXCESA-N 0 0 425.492 -0.481 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc2nnc([C@@H]3CCN(S(C)(=O)=O)C3)n2c1)[C@@H](C)O ZINC001150457571 1073113902 /nfs/dbraw/zinc/11/39/02/1073113902.db2.gz PMGQSHTVHQBOKW-GYSYKLTISA-N 0 0 425.467 -0.870 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc2nnc([C@H]3CCN(S(C)(=O)=O)C3)n2c1)[C@@H](C)O ZINC001150457572 1073114177 /nfs/dbraw/zinc/11/41/77/1073114177.db2.gz PMGQSHTVHQBOKW-SUNKGSAMSA-N 0 0 425.467 -0.870 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N[C@@H](CO)Cc4c[nH]cn4)cn32)C1 ZINC001150458322 1073114150 /nfs/dbraw/zinc/11/41/50/1073114150.db2.gz UDQRXZWCYKJJJE-IUODEOHRSA-N 0 0 433.494 -0.465 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N[C@@H](CO)Cc4c[nH]cn4)cn32)C1 ZINC001150458324 1073114084 /nfs/dbraw/zinc/11/40/84/1073114084.db2.gz UDQRXZWCYKJJJE-SWLSCSKDSA-N 0 0 433.494 -0.465 20 0 IBADRN CCN=c1ncc(N)cn1C(=O)c1ccc2nnc([C@@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150458421 1073114184 /nfs/dbraw/zinc/11/41/84/1073114184.db2.gz RRSGOMCPMXHHQT-GFCCVEGCSA-N 0 0 430.494 -0.134 20 0 IBADRN CCN=c1ncc(N)cn1C(=O)c1ccc2nnc([C@H]3CCN(S(C)(=O)=O)C3)n2c1 ZINC001150458422 1073114621 /nfs/dbraw/zinc/11/46/21/1073114621.db2.gz RRSGOMCPMXHHQT-LBPRGKRZSA-N 0 0 430.494 -0.134 20 0 IBADRN C[C@]1(CO)CN(C(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)CC[C@@H]1O ZINC001150458430 1073114552 /nfs/dbraw/zinc/11/45/52/1073114552.db2.gz RTBYWZUFVAEGLU-FRIZHTMISA-N 0 0 437.522 -0.316 20 0 IBADRN C[C@]1(CO)CN(C(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)CC[C@H]1O ZINC001150458435 1073114625 /nfs/dbraw/zinc/11/46/25/1073114625.db2.gz RTBYWZUFVAEGLU-ZBQZNYHESA-N 0 0 437.522 -0.316 20 0 IBADRN C[C@]1(CO)CN(C(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)CC[C@@H]1O ZINC001150458438 1073114559 /nfs/dbraw/zinc/11/45/59/1073114559.db2.gz RTBYWZUFVAEGLU-ZUEVXXBESA-N 0 0 437.522 -0.316 20 0 IBADRN C[C@]1(CO)CN(C(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)CC[C@H]1O ZINC001150458439 1073114656 /nfs/dbraw/zinc/11/46/56/1073114656.db2.gz RTBYWZUFVAEGLU-ZXYWRSMDSA-N 0 0 437.522 -0.316 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CCN5C(=O)COC[C@H]5C4)cn32)C1 ZINC001150458442 1073114615 /nfs/dbraw/zinc/11/46/15/1073114615.db2.gz RTOIVKHMFNAAEE-DZGCQCFKSA-N 0 0 448.505 -0.839 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CCN5C(=O)COC[C@@H]5C4)cn32)C1 ZINC001150458449 1073114602 /nfs/dbraw/zinc/11/46/02/1073114602.db2.gz RTOIVKHMFNAAEE-HIFRSBDPSA-N 0 0 448.505 -0.839 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CCN5C(=O)COC[C@H]5C4)cn32)C1 ZINC001150458451 1073114586 /nfs/dbraw/zinc/11/45/86/1073114586.db2.gz RTOIVKHMFNAAEE-UKRRQHHQSA-N 0 0 448.505 -0.839 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CCN5C(=O)COC[C@@H]5C4)cn32)C1 ZINC001150458453 1073114608 /nfs/dbraw/zinc/11/46/08/1073114608.db2.gz RTOIVKHMFNAAEE-ZFWWWQNUSA-N 0 0 448.505 -0.839 20 0 IBADRN Cn1c(=O)cc(NC(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)[nH]c1=O ZINC001150458704 1073114651 /nfs/dbraw/zinc/11/46/51/1073114651.db2.gz VUHPYMVDKVCXTM-JTQLQIEISA-N 0 0 433.450 -0.470 20 0 IBADRN Cn1c(=O)cc(NC(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)[nH]c1=O ZINC001150458705 1073114666 /nfs/dbraw/zinc/11/46/66/1073114666.db2.gz VUHPYMVDKVCXTM-SNVBAGLBSA-N 0 0 433.450 -0.470 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)N4CCN5C(=O)CC[C@@H]5C4)cn32)C1 ZINC001150458947 1073114575 /nfs/dbraw/zinc/11/45/75/1073114575.db2.gz VSAQNJCWOCHHFJ-DZGCQCFKSA-N 0 0 432.506 -0.075 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)N4CCN5C(=O)CC[C@@H]5C4)cn32)C1 ZINC001150458949 1073114632 /nfs/dbraw/zinc/11/46/32/1073114632.db2.gz VSAQNJCWOCHHFJ-UKRRQHHQSA-N 0 0 432.506 -0.075 20 0 IBADRN Cc1cc(NC(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)n(N)c(=O)c1 ZINC001150459008 1073114671 /nfs/dbraw/zinc/11/46/71/1073114671.db2.gz YILKFPDUCUTNSV-GFCCVEGCSA-N 0 0 431.478 -0.086 20 0 IBADRN Cc1cc(NC(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)n(N)c(=O)c1 ZINC001150459009 1073115157 /nfs/dbraw/zinc/11/51/57/1073115157.db2.gz YILKFPDUCUTNSV-LBPRGKRZSA-N 0 0 431.478 -0.086 20 0 IBADRN C[C@]1(CO)CCN(C(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)C[C@@H]1O ZINC001150459332 1073115110 /nfs/dbraw/zinc/11/51/10/1073115110.db2.gz WIAMEWDLJLGMNR-FRIZHTMISA-N 0 0 437.522 -0.316 20 0 IBADRN C[C@]1(CO)CCN(C(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)C[C@H]1O ZINC001150459333 1073115116 /nfs/dbraw/zinc/11/51/16/1073115116.db2.gz WIAMEWDLJLGMNR-ZBQZNYHESA-N 0 0 437.522 -0.316 20 0 IBADRN C[C@]1(CO)CCN(C(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)C[C@@H]1O ZINC001150459334 1073115061 /nfs/dbraw/zinc/11/50/61/1073115061.db2.gz WIAMEWDLJLGMNR-ZUEVXXBESA-N 0 0 437.522 -0.316 20 0 IBADRN C[C@]1(CO)CCN(C(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)C[C@H]1O ZINC001150459335 1073115128 /nfs/dbraw/zinc/11/51/28/1073115128.db2.gz WIAMEWDLJLGMNR-ZXYWRSMDSA-N 0 0 437.522 -0.316 20 0 IBADRN CN1C[C@@H]2CN(C(=O)c3ccc4nnc([C@H]5CCN(S(C)(=O)=O)C5)n4c3)C[C@@H]2C1=O ZINC001150459378 1073115100 /nfs/dbraw/zinc/11/51/00/1073115100.db2.gz XHGHSVOVYIZXJL-CFVMTHIKSA-N 0 0 432.506 -0.362 20 0 IBADRN CN1C[C@@H]2CN(C(=O)c3ccc4nnc([C@@H]5CCN(S(C)(=O)=O)C5)n4c3)C[C@@H]2C1=O ZINC001150459379 1073115134 /nfs/dbraw/zinc/11/51/34/1073115134.db2.gz XHGHSVOVYIZXJL-YUELXQCFSA-N 0 0 432.506 -0.362 20 0 IBADRN CCOc1cc(N)n(C(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)c(=N)n1 ZINC001150459663 1073115075 /nfs/dbraw/zinc/11/50/75/1073115075.db2.gz YBPUCPYQNBKDGE-LLVKDONJSA-N 0 0 446.493 -0.176 20 0 IBADRN CCOc1cc(N)n(C(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)c(=N)n1 ZINC001150459668 1073115105 /nfs/dbraw/zinc/11/51/05/1073115105.db2.gz YBPUCPYQNBKDGE-NSHDSACASA-N 0 0 446.493 -0.176 20 0 IBADRN CSCC[C@H](NC(=O)c1ccc2nnc([C@H]3CCN(S(C)(=O)=O)C3)n2c1)C(N)=O ZINC001150465227 1073115070 /nfs/dbraw/zinc/11/50/70/1073115070.db2.gz KTIPLKCBGCOJMK-AAEUAGOBSA-N 0 0 440.551 -0.185 20 0 IBADRN CSCC[C@H](NC(=O)c1ccc2nnc([C@@H]3CCN(S(C)(=O)=O)C3)n2c1)C(N)=O ZINC001150465228 1073115123 /nfs/dbraw/zinc/11/51/23/1073115123.db2.gz KTIPLKCBGCOJMK-YPMHNXCESA-N 0 0 440.551 -0.185 20 0 IBADRN CS(=O)(=O)N1CC[C@H](c2nnc3ccc(C(=O)NCC(=O)NCC(F)(F)F)cn32)C1 ZINC001150465351 1073115064 /nfs/dbraw/zinc/11/50/64/1073115064.db2.gz LQELQDWMWGWWBJ-JTQLQIEISA-N 0 0 448.427 -0.114 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](c2nnc3ccc(C(=O)NCC(=O)NCC(F)(F)F)cn32)C1 ZINC001150465352 1073115138 /nfs/dbraw/zinc/11/51/38/1073115138.db2.gz LQELQDWMWGWWBJ-SNVBAGLBSA-N 0 0 448.427 -0.114 20 0 IBADRN O=C(c1cnc2n[nH]cc2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001150482716 1073115679 /nfs/dbraw/zinc/11/56/79/1073115679.db2.gz HPYARSGWEZRTMV-ARFHVFGLSA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1cnc2n[nH]cc2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001150482717 1073115558 /nfs/dbraw/zinc/11/55/58/1073115558.db2.gz HPYARSGWEZRTMV-BZUAXINKSA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(NCCCO)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC001150483887 1073115765 /nfs/dbraw/zinc/11/57/65/1073115765.db2.gz JXLSEFUNIAKKOX-UHFFFAOYSA-N 0 0 429.495 -0.349 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnc2n[nH]cc2c1)C(=O)NCC(N)=O ZINC001150484478 1073115724 /nfs/dbraw/zinc/11/57/24/1073115724.db2.gz DVTRGEFQTLKPMK-GJZGRUSLSA-N 0 0 429.481 -0.305 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)C2=CN(C)S(=O)(=O)N=C2C)cc1 ZINC001150558206 1074144279 /nfs/dbraw/zinc/14/42/79/1074144279.db2.gz GQNHYHXHQJVSOW-UHFFFAOYSA-N 0 0 443.503 -0.074 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)[C@@H](NS(C)(=O)=O)C(C)C)cc1 ZINC001150558801 1073115619 /nfs/dbraw/zinc/11/56/19/1073115619.db2.gz KLABQJGCFDXPLM-INIZCTEOSA-N 0 0 434.536 -0.036 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)[C@H](NS(C)(=O)=O)C(C)C)cc1 ZINC001150558804 1073115599 /nfs/dbraw/zinc/11/55/99/1073115599.db2.gz KLABQJGCFDXPLM-MRXNPFEDSA-N 0 0 434.536 -0.036 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)[C@H]2CC(=O)N(CC[NH+](C)C)C2)cc1 ZINC001150559420 1073115753 /nfs/dbraw/zinc/11/57/53/1073115753.db2.gz QYUIVENFELDVBT-KRWDZBQOSA-N 0 0 439.534 -0.199 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)[C@@H]2CC(=O)N(CC[NH+](C)C)C2)cc1 ZINC001150559421 1073115738 /nfs/dbraw/zinc/11/57/38/1073115738.db2.gz QYUIVENFELDVBT-QGZVFWFLSA-N 0 0 439.534 -0.199 20 0 IBADRN CCN1CCN(CC(=O)Nc2n[nH]cc2C(=O)NCc2ccc(OC)cc2)C(=O)C1=O ZINC001150578242 1073115575 /nfs/dbraw/zinc/11/55/75/1073115575.db2.gz NKDXQQMDGZBATI-UHFFFAOYSA-N 0 0 428.449 -0.023 20 0 IBADRN CN(C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1F)C1CCN(S(C)(=O)=O)CC1 ZINC001150592399 1073116071 /nfs/dbraw/zinc/11/60/71/1073116071.db2.gz MZVCKXLSPCWNON-HNNXBMFYSA-N 0 0 428.486 -0.318 20 0 IBADRN CN(C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1F)C1CCN(S(C)(=O)=O)CC1 ZINC001150592401 1073116106 /nfs/dbraw/zinc/11/61/06/1073116106.db2.gz MZVCKXLSPCWNON-OAHLLOKOSA-N 0 0 428.486 -0.318 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1 ZINC001150593278 1073116226 /nfs/dbraw/zinc/11/62/26/1073116226.db2.gz RSOPEGWFUKZITI-CYBMUJFWSA-N 0 0 433.552 -0.158 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1 ZINC001150593279 1073116151 /nfs/dbraw/zinc/11/61/51/1073116151.db2.gz RSOPEGWFUKZITI-ZDUSSCGKSA-N 0 0 433.552 -0.158 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCOC3(CCOCC3)C2)c1 ZINC001150616718 1073116176 /nfs/dbraw/zinc/11/61/76/1073116176.db2.gz CDNWACZZDXGVMQ-UHFFFAOYSA-N 0 0 432.520 -0.224 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001150620991 1073116087 /nfs/dbraw/zinc/11/60/87/1073116087.db2.gz BLKXXHGBPDGUHI-KCQAQPDRSA-N 0 0 441.506 -0.060 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001150620992 1073116216 /nfs/dbraw/zinc/11/62/16/1073116216.db2.gz BLKXXHGBPDGUHI-YDHLFZDLSA-N 0 0 441.506 -0.060 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnn2cccnc12)C(=O)NCC(N)=O ZINC001150650552 1073116205 /nfs/dbraw/zinc/11/62/05/1073116205.db2.gz AWQCPPDMESSSPZ-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN O=C(c1cnn2cccnc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001150651054 1073116102 /nfs/dbraw/zinc/11/61/02/1073116102.db2.gz PJYZLIIFKMPCFJ-FVQBIDKESA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cnn2cccnc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001150651055 1073116016 /nfs/dbraw/zinc/11/60/16/1073116016.db2.gz PJYZLIIFKMPCFJ-NUEKZKHPSA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cnn2cccnc12 ZINC001150655425 1073116131 /nfs/dbraw/zinc/11/61/31/1073116131.db2.gz BQQCZHBBWSGLMZ-CUGJGTHOSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cnn2cccnc12 ZINC001150655426 1073116060 /nfs/dbraw/zinc/11/60/60/1073116060.db2.gz BQQCZHBBWSGLMZ-INJPQVFBSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cnn2cccnc12 ZINC001150655427 1073116120 /nfs/dbraw/zinc/11/61/20/1073116120.db2.gz BQQCZHBBWSGLMZ-LCEIHVTHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cnn2cccnc12 ZINC001150655428 1073116079 /nfs/dbraw/zinc/11/60/79/1073116079.db2.gz BQQCZHBBWSGLMZ-TVEAVKIZSA-N 0 0 432.458 -0.126 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cnn3cccnc23)cc1Cl ZINC001150656384 1073116164 /nfs/dbraw/zinc/11/61/64/1073116164.db2.gz YEALDESCYGMAHK-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)NCCCN1CCCS1(=O)=O ZINC001150674378 1073116048 /nfs/dbraw/zinc/11/60/48/1073116048.db2.gz GXOSZRTYKFLVAH-HNNXBMFYSA-N 0 0 428.486 -0.730 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)NCCCN1CCCS1(=O)=O ZINC001150674379 1073116113 /nfs/dbraw/zinc/11/61/13/1073116113.db2.gz GXOSZRTYKFLVAH-OAHLLOKOSA-N 0 0 428.486 -0.730 20 0 IBADRN O=C(c1cc2ncccn2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001150683330 1073116138 /nfs/dbraw/zinc/11/61/38/1073116138.db2.gz ULLBXSHHJYCTEZ-IIAWOOMASA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cc2ncccn2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001150683331 1073116899 /nfs/dbraw/zinc/11/68/99/1073116899.db2.gz ULLBXSHHJYCTEZ-SQWLQELKSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cc2ncccn2n1)C(=O)NCC(N)=O ZINC001150684133 1073116738 /nfs/dbraw/zinc/11/67/38/1073116738.db2.gz IKHLHRWNHGDBJJ-ZFWWWQNUSA-N 0 0 429.481 -0.534 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cc3ncccn3n2)cc1Cl ZINC001150686919 1073116925 /nfs/dbraw/zinc/11/69/25/1073116925.db2.gz ZNYJOXLLUHIKIQ-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cc2ncccn2n1 ZINC001150689955 1073116830 /nfs/dbraw/zinc/11/68/30/1073116830.db2.gz RCQMKUXESLLIAH-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cc2ncccn2n1 ZINC001150689956 1073116888 /nfs/dbraw/zinc/11/68/88/1073116888.db2.gz RCQMKUXESLLIAH-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cc2ncccn2n1 ZINC001150689957 1073116783 /nfs/dbraw/zinc/11/67/83/1073116783.db2.gz RCQMKUXESLLIAH-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cc2ncccn2n1 ZINC001150689958 1073116728 /nfs/dbraw/zinc/11/67/28/1073116728.db2.gz RCQMKUXESLLIAH-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN Cc1cc(C)n2nc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)nc2n1 ZINC001150722602 1073116865 /nfs/dbraw/zinc/11/68/65/1073116865.db2.gz ZOYBPPBDXSVMIP-KBPBESRZSA-N 0 0 425.453 -0.718 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc3ncc(Br)cn3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001150806310 1073116743 /nfs/dbraw/zinc/11/67/43/1073116743.db2.gz JPMWVQGBACRLIB-BBOGJPIGSA-N 0 0 431.243 -0.934 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCN(c3ncc(I)cn3)CC2)C1=O ZINC001150810840 1073116732 /nfs/dbraw/zinc/11/67/32/1073116732.db2.gz MKBWOIQRXMXTQI-UHFFFAOYSA-N 0 0 444.233 -0.376 20 0 IBADRN COC(=O)COCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001150823693 1073116771 /nfs/dbraw/zinc/11/67/71/1073116771.db2.gz CLFWMKHJSLOXBB-UHFFFAOYSA-N 0 0 441.506 -0.395 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2c(C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)csc2C1 ZINC001150825267 1073116903 /nfs/dbraw/zinc/11/69/03/1073116903.db2.gz KFEBPYCCZJQUIO-LZTJUBIUSA-N 0 0 444.506 -0.429 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2c(C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)csc2C1 ZINC001150825270 1073116917 /nfs/dbraw/zinc/11/69/17/1073116917.db2.gz KFEBPYCCZJQUIO-WDGOXLLCSA-N 0 0 444.506 -0.429 20 0 IBADRN O=C(c1cn2cccnc2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001150835403 1073116752 /nfs/dbraw/zinc/11/67/52/1073116752.db2.gz MJUYZENZUYVCEB-IIAWOOMASA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cn2cccnc2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001150835405 1073116894 /nfs/dbraw/zinc/11/68/94/1073116894.db2.gz MJUYZENZUYVCEB-SQWLQELKSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cn2cccnc2n1)C(=O)NCC(N)=O ZINC001150835546 1073117319 /nfs/dbraw/zinc/11/73/19/1073117319.db2.gz DSXPWERTIPEISA-ZFWWWQNUSA-N 0 0 429.481 -0.534 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cn3cccnc3n2)cc1Cl ZINC001150838600 1073117384 /nfs/dbraw/zinc/11/73/84/1073117384.db2.gz CZNYBUZSCDCGIY-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cn2cccnc2n1 ZINC001150840334 1073117301 /nfs/dbraw/zinc/11/73/01/1073117301.db2.gz SBIZVGUAJNNLON-DXWTWGPWSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cn2cccnc2n1 ZINC001150840335 1073117376 /nfs/dbraw/zinc/11/73/76/1073117376.db2.gz SBIZVGUAJNNLON-IMPIEMTGSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cn2cccnc2n1 ZINC001150840336 1073117493 /nfs/dbraw/zinc/11/74/93/1073117493.db2.gz SBIZVGUAJNNLON-JFBPSJKJSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cn2cccnc2n1 ZINC001150840337 1073117536 /nfs/dbraw/zinc/11/75/36/1073117536.db2.gz SBIZVGUAJNNLON-OCABDXPQSA-N 0 0 432.458 -0.126 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@@H]1COC[C@@H]1O ZINC001150857811 1073117547 /nfs/dbraw/zinc/11/75/47/1073117547.db2.gz WHSULFZIQCKNRO-MCIONIFRSA-N 0 0 440.927 -0.703 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@@H]1COC[C@@H]1O ZINC001150857812 1073117517 /nfs/dbraw/zinc/11/75/17/1073117517.db2.gz WHSULFZIQCKNRO-MJBXVCDLSA-N 0 0 440.927 -0.703 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H]2CCC[C@@H]2NS(C)(=O)=O)[C@H]1c1nccn1C ZINC001150869320 1073117337 /nfs/dbraw/zinc/11/73/37/1073117337.db2.gz CYUGZGRSTIGESJ-SDADXPQNSA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H]2CCC[C@H]2NS(C)(=O)=O)[C@H]1c1nccn1C ZINC001150869325 1073117541 /nfs/dbraw/zinc/11/75/41/1073117541.db2.gz CYUGZGRSTIGESJ-VDERGJSUSA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H]2CCC[C@@H]2NS(C)(=O)=O)[C@H]1c1nccn1C ZINC001150869331 1073117446 /nfs/dbraw/zinc/11/74/46/1073117446.db2.gz CYUGZGRSTIGESJ-VRKREXBASA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H]2CCC[C@H]2NS(C)(=O)=O)[C@H]1c1nccn1C ZINC001150869337 1073117406 /nfs/dbraw/zinc/11/74/06/1073117406.db2.gz CYUGZGRSTIGESJ-XRGAULLZSA-N 0 0 427.527 -0.457 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CCN(Cc3cccc(Cl)c3)C(=O)C2)c(=O)[nH]c1=O ZINC001150904745 1073117468 /nfs/dbraw/zinc/11/74/68/1073117468.db2.gz XDBYHTSDGAKXEU-UHFFFAOYSA-N 0 0 433.852 -0.038 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C2=NCC(C)(C)S2)CC1 ZINC001151045800 1073117480 /nfs/dbraw/zinc/11/74/80/1073117480.db2.gz PKDUOJOKISBVNI-UHFFFAOYSA-N 0 0 433.600 -0.154 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC001151064497 1073117285 /nfs/dbraw/zinc/11/72/85/1073117285.db2.gz CPLJXCVWBFHWTC-JOCHJYFZSA-N 0 0 441.488 -0.423 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC001151064498 1073117398 /nfs/dbraw/zinc/11/73/98/1073117398.db2.gz CPLJXCVWBFHWTC-QFIPXVFZSA-N 0 0 441.488 -0.423 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2c(I)cnn2C)CC1 ZINC001151064547 1073117372 /nfs/dbraw/zinc/11/73/72/1073117372.db2.gz DYUZJEJHSUPVSU-UHFFFAOYSA-N 0 0 433.250 -0.165 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2ccccc2S(=O)(=O)CCN(C)C)CC1 ZINC001151064760 1073117455 /nfs/dbraw/zinc/11/74/55/1073117455.db2.gz GAESUBCPMWMYMY-UHFFFAOYSA-N 0 0 438.550 -0.168 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)CC1 ZINC001151065317 1073117944 /nfs/dbraw/zinc/11/79/44/1073117944.db2.gz OLVUJSMRFIKUQQ-INIZCTEOSA-N 0 0 438.525 -0.236 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)CC1 ZINC001151065318 1073117958 /nfs/dbraw/zinc/11/79/58/1073117958.db2.gz OLVUJSMRFIKUQQ-MRXNPFEDSA-N 0 0 438.525 -0.236 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Cc2csc([C@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001151065635 1073118025 /nfs/dbraw/zinc/11/80/25/1073118025.db2.gz RWJCKIKRAANGBY-AWEZNQCLSA-N 0 0 442.563 -0.215 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Cc2csc([C@@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001151065649 1073117998 /nfs/dbraw/zinc/11/79/98/1073117998.db2.gz RWJCKIKRAANGBY-CQSZACIVSA-N 0 0 442.563 -0.215 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC001151065856 1073118085 /nfs/dbraw/zinc/11/80/85/1073118085.db2.gz TYOQJNMRAZTUSR-MOPGFXCFSA-N 0 0 446.504 -0.045 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001151066046 1073118057 /nfs/dbraw/zinc/11/80/57/1073118057.db2.gz YMJGCHDVSPENOJ-UHFFFAOYSA-N 0 0 430.914 -0.185 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001151070241 1073118044 /nfs/dbraw/zinc/11/80/44/1073118044.db2.gz BYPRDXLNZLZHBW-DLBZAZTESA-N 0 0 440.541 -0.081 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001151070242 1073117963 /nfs/dbraw/zinc/11/79/63/1073117963.db2.gz BYPRDXLNZLZHBW-IAGOWNOFSA-N 0 0 440.541 -0.081 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001151070243 1073118010 /nfs/dbraw/zinc/11/80/10/1073118010.db2.gz BYPRDXLNZLZHBW-IRXDYDNUSA-N 0 0 440.541 -0.081 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001151070244 1073118096 /nfs/dbraw/zinc/11/80/96/1073118096.db2.gz BYPRDXLNZLZHBW-SJORKVTESA-N 0 0 440.541 -0.081 20 0 IBADRN CN1CCn2nc(C(=O)NC[C@@H]3COCCN3C(=O)OC(C)(C)C)cc2S1(=O)=O ZINC001151072162 1073118075 /nfs/dbraw/zinc/11/80/75/1073118075.db2.gz RNHLILRNSKIVSU-GFCCVEGCSA-N 0 0 429.499 -0.117 20 0 IBADRN CN1CCn2nc(C(=O)NC[C@H]3COCCN3C(=O)OC(C)(C)C)cc2S1(=O)=O ZINC001151072163 1073118106 /nfs/dbraw/zinc/11/81/06/1073118106.db2.gz RNHLILRNSKIVSU-LBPRGKRZSA-N 0 0 429.499 -0.117 20 0 IBADRN Cc1ccc(C(=O)N2CCC(NS(N)(=O)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001151090498 1073118037 /nfs/dbraw/zinc/11/80/37/1073118037.db2.gz BLAAWYCYAQKANQ-UHFFFAOYSA-N 0 0 446.551 -0.586 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)CCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC001151090846 1073118029 /nfs/dbraw/zinc/11/80/29/1073118029.db2.gz HLJVPPDONFDYQV-UHFFFAOYSA-N 0 0 430.552 -0.057 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001151091075 1074221620 /nfs/dbraw/zinc/22/16/20/1074221620.db2.gz LRPVAJJMVQDFIF-UHFFFAOYSA-N 0 0 438.553 -0.833 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)CN2C(=O)COc3cc(Br)ccc32)CC1 ZINC001151091572 1073118005 /nfs/dbraw/zinc/11/80/05/1073118005.db2.gz RXGKRZDMGJMCOP-UHFFFAOYSA-N 0 0 447.311 -0.041 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)NCCOc1ccc(S(=O)(=O)C(F)(F)F)cc1 ZINC001151123776 1073118018 /nfs/dbraw/zinc/11/80/18/1073118018.db2.gz ASLSZXAOCBRVNA-UHFFFAOYSA-N 0 0 433.430 -0.129 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001151132639 1073117993 /nfs/dbraw/zinc/11/79/93/1073117993.db2.gz DQSMPYOTZSICLU-KBPBESRZSA-N 0 0 433.527 -0.274 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001151132640 1073118050 /nfs/dbraw/zinc/11/80/50/1073118050.db2.gz DQSMPYOTZSICLU-KGLIPLIRSA-N 0 0 433.527 -0.274 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001151132641 1073118641 /nfs/dbraw/zinc/11/86/41/1073118641.db2.gz DQSMPYOTZSICLU-UONOGXRCSA-N 0 0 433.527 -0.274 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001151132642 1073118551 /nfs/dbraw/zinc/11/85/51/1073118551.db2.gz DQSMPYOTZSICLU-ZIAGYGMSSA-N 0 0 433.527 -0.274 20 0 IBADRN CCOC(=O)c1nnn(C2CCN(C(=O)CNC(=O)CNC(C)=O)CC2)c1C(F)F ZINC001151133776 1073118545 /nfs/dbraw/zinc/11/85/45/1073118545.db2.gz YBNZSFAIPFLRCA-UHFFFAOYSA-N 0 0 430.412 -0.192 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnn3cc(Br)cnc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001151135395 1073118616 /nfs/dbraw/zinc/11/86/16/1073118616.db2.gz WOXZHFZZBUSVLE-KHSLOMCASA-N 0 0 431.243 -0.934 20 0 IBADRN Cc1cnc2c(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)cnn2c1 ZINC001151138377 1073118665 /nfs/dbraw/zinc/11/86/65/1073118665.db2.gz CRPLEWQHHMZBFS-DJIMGWMZSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cnc2c(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)cnn2c1 ZINC001151138378 1073118577 /nfs/dbraw/zinc/11/85/77/1073118577.db2.gz CRPLEWQHHMZBFS-USXIJHARSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cnc2c(C(=O)N3CCC[C@H]3C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cnn2c1 ZINC001151140379 1073118507 /nfs/dbraw/zinc/11/85/07/1073118507.db2.gz VBKSLTOQQMUSSX-HOTGVXAUSA-N 0 0 443.508 -0.225 20 0 IBADRN C[C@H]1Oc2cc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)ccc2NC1=O ZINC001151212681 1073118673 /nfs/dbraw/zinc/11/86/73/1073118673.db2.gz WTGVAYJGKWHKSQ-RLCCDNCMSA-N 0 0 440.460 -0.054 20 0 IBADRN C[C@@H]1Oc2cc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)ccc2NC1=O ZINC001151212682 1073118710 /nfs/dbraw/zinc/11/87/10/1073118710.db2.gz WTGVAYJGKWHKSQ-UVBJJODRSA-N 0 0 440.460 -0.054 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1C[C@]2(C)C(=O)NC(=O)[C@@]2(C)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001151217909 1073118559 /nfs/dbraw/zinc/11/85/59/1073118559.db2.gz IIALRLJXMQLXHQ-AQNXPRMDSA-N 0 0 435.502 -0.047 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1C[C@]2(C)C(=O)NC(=O)[C@]2(C)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001151217910 1073118740 /nfs/dbraw/zinc/11/87/40/1073118740.db2.gz IIALRLJXMQLXHQ-HSALFYBXSA-N 0 0 435.502 -0.047 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1C[C@@]2(C)C(=O)NC(=O)[C@]2(C)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001151217911 1073118519 /nfs/dbraw/zinc/11/85/19/1073118519.db2.gz IIALRLJXMQLXHQ-UFYCRDLUSA-N 0 0 435.502 -0.047 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1C[C@]2(C)C(=O)NC(=O)[C@]2(C)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001151217912 1073118734 /nfs/dbraw/zinc/11/87/34/1073118734.db2.gz IIALRLJXMQLXHQ-ZCNNSNEGSA-N 0 0 435.502 -0.047 20 0 IBADRN COCCN1CCN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1=O ZINC001151252337 1073118534 /nfs/dbraw/zinc/11/85/34/1073118534.db2.gz HXZPOSWIEBQFFC-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN COCCN1CCN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1=O ZINC001151252338 1073118727 /nfs/dbraw/zinc/11/87/27/1073118727.db2.gz HXZPOSWIEBQFFC-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN CCn1cc(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)c(=O)c2cc(F)c(Cl)cc21 ZINC001151279606 1073119057 /nfs/dbraw/zinc/11/90/57/1073119057.db2.gz PCRVZKNTNMXRNM-JAJHDGGNSA-N 0 0 430.816 -0.656 20 0 IBADRN CCn1cc(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)c(=O)c2cc(F)c(Cl)cc21 ZINC001151279612 1073119259 /nfs/dbraw/zinc/11/92/59/1073119259.db2.gz PCRVZKNTNMXRNM-PBZZTAOPSA-N 0 0 430.816 -0.656 20 0 IBADRN CN(CC(=O)Nc1ccc(N2CCOCC2)cc1)C(=O)C1(CS(C)(=O)=O)COC1 ZINC001151285584 1073119216 /nfs/dbraw/zinc/11/92/16/1073119216.db2.gz YRFXRUFUTORXOL-UHFFFAOYSA-N 0 0 425.507 -0.019 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(CCC(F)(F)F)C[C@H](O)CO ZINC001151299643 1073119296 /nfs/dbraw/zinc/11/92/96/1073119296.db2.gz CIUCQFWPHNLTBI-LBPRGKRZSA-N 0 0 443.444 -0.206 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)c1ccc(I)cc1-n1cnnn1 ZINC001151319754 1073119139 /nfs/dbraw/zinc/11/91/39/1073119139.db2.gz LZOFIQKWEARATO-JTQLQIEISA-N 0 0 431.190 -0.079 20 0 IBADRN CCOC(=O)[C@@H](CO)NC(=O)c1ccc(I)cc1-n1cnnn1 ZINC001151319756 1073119290 /nfs/dbraw/zinc/11/92/90/1073119290.db2.gz LZOFIQKWEARATO-SNVBAGLBSA-N 0 0 431.190 -0.079 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc3cc(Br)ncc3[nH]2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001151321576 1073119305 /nfs/dbraw/zinc/11/93/05/1073119305.db2.gz CUDSDRNVTACCFO-ZLDYVJPJSA-N 0 0 430.255 -0.101 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001151353705 1073119230 /nfs/dbraw/zinc/11/92/30/1073119230.db2.gz ONLDQNMDMUKVRB-GJZGRUSLSA-N 0 0 426.433 -0.442 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(C)[C@H](CO)C3)CC2)o1 ZINC001151357834 1073119078 /nfs/dbraw/zinc/11/90/78/1073119078.db2.gz DBEPHEYXMDRBSI-HNNXBMFYSA-N 0 0 443.522 -0.008 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(C)[C@@H](CO)C3)CC2)o1 ZINC001151357835 1073119270 /nfs/dbraw/zinc/11/92/70/1073119270.db2.gz DBEPHEYXMDRBSI-OAHLLOKOSA-N 0 0 443.522 -0.008 20 0 IBADRN O=C(N[C@@H](CO)[C@H](O)c1cccnc1)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001151372766 1073119280 /nfs/dbraw/zinc/11/92/80/1073119280.db2.gz XTALIBRXZDZCRN-DZGCQCFKSA-N 0 0 427.504 -0.012 20 0 IBADRN O=C(N[C@H](CO)[C@@H](O)c1cccnc1)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001151372771 1073119129 /nfs/dbraw/zinc/11/91/29/1073119129.db2.gz XTALIBRXZDZCRN-HIFRSBDPSA-N 0 0 427.504 -0.012 20 0 IBADRN O=C(N[C@H](CO)[C@H](O)c1cccnc1)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001151372772 1073119105 /nfs/dbraw/zinc/11/91/05/1073119105.db2.gz XTALIBRXZDZCRN-UKRRQHHQSA-N 0 0 427.504 -0.012 20 0 IBADRN O=C(N[C@@H](CO)[C@@H](O)c1cccnc1)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001151372773 1073119134 /nfs/dbraw/zinc/11/91/34/1073119134.db2.gz XTALIBRXZDZCRN-ZFWWWQNUSA-N 0 0 427.504 -0.012 20 0 IBADRN O=C(N[C@H](CO)[C@@H](O)c1cccnc1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001151383542 1073119180 /nfs/dbraw/zinc/11/91/80/1073119180.db2.gz MAHZZTNNTHFCQG-CVEARBPZSA-N 0 0 426.520 -0.029 20 0 IBADRN O=C(N[C@@H](CO)[C@@H](O)c1cccnc1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001151383543 1073119155 /nfs/dbraw/zinc/11/91/55/1073119155.db2.gz MAHZZTNNTHFCQG-HOTGVXAUSA-N 0 0 426.520 -0.029 20 0 IBADRN O=C(N[C@H](CO)[C@H](O)c1cccnc1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001151383544 1073119175 /nfs/dbraw/zinc/11/91/75/1073119175.db2.gz MAHZZTNNTHFCQG-HZPDHXFCSA-N 0 0 426.520 -0.029 20 0 IBADRN O=C(N[C@@H](CO)[C@H](O)c1cccnc1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001151383545 1073119167 /nfs/dbraw/zinc/11/91/67/1073119167.db2.gz MAHZZTNNTHFCQG-JKSUJKDBSA-N 0 0 426.520 -0.029 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCC(=O)c1cncc(Br)c1 ZINC001151405271 1073119067 /nfs/dbraw/zinc/11/90/67/1073119067.db2.gz RGIGDYAFCCITDG-UHFFFAOYSA-N 0 0 449.327 -0.023 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)c2cnn3c2S(=O)(=O)N(C)CC3)c(C)s1 ZINC001151409422 1073119860 /nfs/dbraw/zinc/11/98/60/1073119860.db2.gz MFNYZVSHLFTXBE-UHFFFAOYSA-N 0 0 447.564 -0.096 20 0 IBADRN COC[C@]1(C(=O)N(CCO)CCN2CCOCC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001151413134 1073119963 /nfs/dbraw/zinc/11/99/63/1073119963.db2.gz LYVXYUXVRVDJPH-FQEVSTJZSA-N 0 0 431.530 -0.208 20 0 IBADRN COC[C@@]1(C(=O)N(CCO)CCN2CCOCC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001151413135 1073119897 /nfs/dbraw/zinc/11/98/97/1073119897.db2.gz LYVXYUXVRVDJPH-HXUWFJFHSA-N 0 0 431.530 -0.208 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N(CCO)CCN1CCOCC1 ZINC001151413160 1073119878 /nfs/dbraw/zinc/11/98/78/1073119878.db2.gz MUPBTDQNJPOBQY-UHFFFAOYSA-N 0 0 441.550 -0.401 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)c1cccc(C(=O)N(CCO)CCN2CCOCC2)c1 ZINC001151413713 1073119927 /nfs/dbraw/zinc/11/99/27/1073119927.db2.gz UUECPKNKTSMDJC-UHFFFAOYSA-N 0 0 433.552 -0.130 20 0 IBADRN CC[C@H](CO)N1CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1 ZINC001151418619 1073119953 /nfs/dbraw/zinc/11/99/53/1073119953.db2.gz ZUCWTTAXYVNGOR-GFCCVEGCSA-N 0 0 432.930 -0.368 20 0 IBADRN CC[C@@H](CO)N1CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1 ZINC001151418620 1073119943 /nfs/dbraw/zinc/11/99/43/1073119943.db2.gz ZUCWTTAXYVNGOR-LBPRGKRZSA-N 0 0 432.930 -0.368 20 0 IBADRN Cc1c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cnc2ccnn21 ZINC001151421540 1073119890 /nfs/dbraw/zinc/11/98/90/1073119890.db2.gz BKGNHVBTKXDMMI-DJIMGWMZSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cnc2ccnn21 ZINC001151421541 1073119835 /nfs/dbraw/zinc/11/98/35/1073119835.db2.gz BKGNHVBTKXDMMI-USXIJHARSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1c(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cnc2ccnn21 ZINC001151428903 1073119776 /nfs/dbraw/zinc/11/97/76/1073119776.db2.gz LOGQKSUEGPTUKT-HOTGVXAUSA-N 0 0 443.508 -0.225 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@](C)(CO)[C@](C)(CO)C2)c1 ZINC001151490734 1073119905 /nfs/dbraw/zinc/11/99/05/1073119905.db2.gz JAURBNYQTOPSDN-KDURUIRLSA-N 0 0 429.539 -0.282 20 0 IBADRN C[C@]1(CO)CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C[C@@]1(C)CO ZINC001151490780 1073119938 /nfs/dbraw/zinc/11/99/38/1073119938.db2.gz KHVQDHUIVILTBL-KDURUIRLSA-N 0 0 428.507 -0.034 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2cc(C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)sc2C1 ZINC001151502383 1073119716 /nfs/dbraw/zinc/11/97/16/1073119716.db2.gz LUBXLCUZVQUXKG-CEAUBMECSA-N 0 0 444.506 -0.429 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2cc(C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)sc2C1 ZINC001151502384 1073119799 /nfs/dbraw/zinc/11/97/99/1073119799.db2.gz LUBXLCUZVQUXKG-RSIOIPMRSA-N 0 0 444.506 -0.429 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC1=O)c1ccc(I)cc1-n1cnnn1 ZINC001151525584 1073119960 /nfs/dbraw/zinc/11/99/60/1073119960.db2.gz ABHKQIJQFPFWBQ-SECBINFHSA-N 0 0 426.174 -0.198 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC1=O)c1ccc(I)cc1-n1cnnn1 ZINC001151525585 1073119918 /nfs/dbraw/zinc/11/99/18/1073119918.db2.gz ABHKQIJQFPFWBQ-VIFPVBQESA-N 0 0 426.174 -0.198 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001151656167 1073119882 /nfs/dbraw/zinc/11/98/82/1073119882.db2.gz GUYISCWGLQTNIG-DOTOQJQBSA-N 0 0 447.579 -0.011 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001151656169 1073119965 /nfs/dbraw/zinc/11/99/65/1073119965.db2.gz GUYISCWGLQTNIG-NVXWUHKLSA-N 0 0 447.579 -0.011 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001151656171 1073120371 /nfs/dbraw/zinc/12/03/71/1073120371.db2.gz GUYISCWGLQTNIG-RDJZCZTQSA-N 0 0 447.579 -0.011 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001151656175 1073120252 /nfs/dbraw/zinc/12/02/52/1073120252.db2.gz GUYISCWGLQTNIG-WBVHZDCISA-N 0 0 447.579 -0.011 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001151657617 1073120214 /nfs/dbraw/zinc/12/02/14/1073120214.db2.gz PPHIFNVVCYAYCI-UHFFFAOYSA-N 0 0 428.536 -0.097 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001151658285 1073120364 /nfs/dbraw/zinc/12/03/64/1073120364.db2.gz SWEXADADUXGXJN-JSGCOSHPSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001151658286 1073120337 /nfs/dbraw/zinc/12/03/37/1073120337.db2.gz SWEXADADUXGXJN-OCCSQVGLSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001151658914 1073120325 /nfs/dbraw/zinc/12/03/25/1073120325.db2.gz VXXVIWMOVJOSQZ-GFCCVEGCSA-N 0 0 427.545 -0.534 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001151658915 1073120359 /nfs/dbraw/zinc/12/03/59/1073120359.db2.gz VXXVIWMOVJOSQZ-LBPRGKRZSA-N 0 0 427.545 -0.534 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(Br)cn3ccnc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001151661328 1073120199 /nfs/dbraw/zinc/12/01/99/1073120199.db2.gz GFLFOBFVTSPZNP-LRMZEGNFSA-N 0 0 430.255 -0.329 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(Cc2ccc(O)cc2)CC1 ZINC001151667990 1073120302 /nfs/dbraw/zinc/12/03/02/1073120302.db2.gz NVKKCIIKNQAEDP-UHFFFAOYSA-N 0 0 426.539 -0.130 20 0 IBADRN O=C(c1ccc2nncn2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001151669444 1073120281 /nfs/dbraw/zinc/12/02/81/1073120281.db2.gz BKLFJMYWOJWHQW-ARFHVFGLSA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1ccc2nncn2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001151669445 1073120394 /nfs/dbraw/zinc/12/03/94/1073120394.db2.gz BKLFJMYWOJWHQW-BZUAXINKSA-N 0 0 433.490 -0.537 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2ccc3nncn3c2)cc1Cl ZINC001151670428 1073120348 /nfs/dbraw/zinc/12/03/48/1073120348.db2.gz RGDIEKIZBVPOCP-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc2nncn2c1)C(=O)NCC(N)=O ZINC001151670588 1073120400 /nfs/dbraw/zinc/12/04/00/1073120400.db2.gz RZHKVIBTGSGNTC-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1ccc2nncn2c1 ZINC001151680565 1073120242 /nfs/dbraw/zinc/12/02/42/1073120242.db2.gz VTJZSQMTBRSQAH-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1ccc2nncn2c1 ZINC001151680566 1073120377 /nfs/dbraw/zinc/12/03/77/1073120377.db2.gz VTJZSQMTBRSQAH-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1ccc2nncn2c1 ZINC001151680567 1073120225 /nfs/dbraw/zinc/12/02/25/1073120225.db2.gz VTJZSQMTBRSQAH-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1ccc2nncn2c1 ZINC001151680568 1073120295 /nfs/dbraw/zinc/12/02/95/1073120295.db2.gz VTJZSQMTBRSQAH-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc2nccn2n1)C(=O)NCC(N)=O ZINC001151721360 1073120258 /nfs/dbraw/zinc/12/02/58/1073120258.db2.gz HIVFTTTXIRVFPM-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN O=C(c1ccc2nccn2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001151722052 1073121039 /nfs/dbraw/zinc/12/10/39/1073121039.db2.gz RRAHSYGOJBUAJR-IIAWOOMASA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1ccc2nccn2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001151722053 1073120981 /nfs/dbraw/zinc/12/09/81/1073120981.db2.gz RRAHSYGOJBUAJR-SQWLQELKSA-N 0 0 433.490 -0.537 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2ccc3nccn3n2)cc1Cl ZINC001151723190 1073120969 /nfs/dbraw/zinc/12/09/69/1073120969.db2.gz PISJEESWNXGXEB-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN Cn1ccnc1[C@@H](O)C1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001151724805 1073120940 /nfs/dbraw/zinc/12/09/40/1073120940.db2.gz LPCRMXPOCLCJGC-PBHICJAKSA-N 0 0 437.522 -0.142 20 0 IBADRN Cn1ccnc1[C@H](O)C1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001151724806 1073120871 /nfs/dbraw/zinc/12/08/71/1073120871.db2.gz LPCRMXPOCLCJGC-RHSMWYFYSA-N 0 0 437.522 -0.142 20 0 IBADRN Cn1ccnc1[C@H](O)C1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001151724807 1073121018 /nfs/dbraw/zinc/12/10/18/1073121018.db2.gz LPCRMXPOCLCJGC-WMLDXEAASA-N 0 0 437.522 -0.142 20 0 IBADRN Cn1ccnc1[C@@H](O)C1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001151724808 1073120851 /nfs/dbraw/zinc/12/08/51/1073120851.db2.gz LPCRMXPOCLCJGC-YOEHRIQHSA-N 0 0 437.522 -0.142 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1ccc2nccn2n1 ZINC001151725187 1073120958 /nfs/dbraw/zinc/12/09/58/1073120958.db2.gz CVPWUCVRHZBWSA-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1ccc2nccn2n1 ZINC001151725189 1073120930 /nfs/dbraw/zinc/12/09/30/1073120930.db2.gz CVPWUCVRHZBWSA-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1ccc2nccn2n1 ZINC001151725190 1073120801 /nfs/dbraw/zinc/12/08/01/1073120801.db2.gz CVPWUCVRHZBWSA-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1ccc2nccn2n1 ZINC001151725192 1073120780 /nfs/dbraw/zinc/12/07/80/1073120780.db2.gz CVPWUCVRHZBWSA-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC001151739943 1073120815 /nfs/dbraw/zinc/12/08/15/1073120815.db2.gz ZZNVVICEVBLOOJ-KRWDZBQOSA-N 0 0 430.479 -0.245 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)CNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC001151739944 1073120951 /nfs/dbraw/zinc/12/09/51/1073120951.db2.gz ZZNVVICEVBLOOJ-QGZVFWFLSA-N 0 0 430.479 -0.245 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)s1 ZINC001151743684 1074321952 /nfs/dbraw/zinc/32/19/52/1074321952.db2.gz CJVSVVRFIOFIAR-RBSFLKMASA-N 0 0 441.535 -0.625 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2[nH]nc3ncc(Br)cc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001151745245 1073120895 /nfs/dbraw/zinc/12/08/95/1073120895.db2.gz YBLLWQMAFWUODG-PHRYNFJKSA-N 0 0 431.243 -0.706 20 0 IBADRN CNC(=O)NC[C@@H]1CCCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001151754375 1073121025 /nfs/dbraw/zinc/12/10/25/1073121025.db2.gz YTDNGIIMRHOVHK-AWEZNQCLSA-N 0 0 427.527 -0.030 20 0 IBADRN CNC(=O)NC[C@H]1CCCCN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001151754380 1073120993 /nfs/dbraw/zinc/12/09/93/1073120993.db2.gz YTDNGIIMRHOVHK-CQSZACIVSA-N 0 0 427.527 -0.030 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@@H]1COCCN1C ZINC001151766417 1073121032 /nfs/dbraw/zinc/12/10/32/1073121032.db2.gz ZVTORZFJHUBLGC-GDBMZVCRSA-N 0 0 427.523 -0.325 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@H]1COCCN1C ZINC001151766418 1073120915 /nfs/dbraw/zinc/12/09/15/1073120915.db2.gz ZVTORZFJHUBLGC-GOEBONIOSA-N 0 0 427.523 -0.325 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@H]1COCCN1C ZINC001151766419 1073121008 /nfs/dbraw/zinc/12/10/08/1073121008.db2.gz ZVTORZFJHUBLGC-HOCLYGCPSA-N 0 0 427.523 -0.325 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@@H]1COCCN1C ZINC001151766420 1073121499 /nfs/dbraw/zinc/12/14/99/1073121499.db2.gz ZVTORZFJHUBLGC-ZBFHGGJFSA-N 0 0 427.523 -0.325 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NC[C@]2(CO)COCCN2)ccc1Br ZINC001151817199 1073121513 /nfs/dbraw/zinc/12/15/13/1073121513.db2.gz LUVIQYIFNAOQOH-HNNXBMFYSA-N 0 0 436.328 -0.220 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NC[C@@]2(CO)COCCN2)ccc1Br ZINC001151817200 1073121393 /nfs/dbraw/zinc/12/13/93/1073121393.db2.gz LUVIQYIFNAOQOH-OAHLLOKOSA-N 0 0 436.328 -0.220 20 0 IBADRN O=C(CS(=O)(=O)Nc1ccc(N2CCCCC2)cc1)NC[C@]1(CO)COCCN1 ZINC001151817269 1073121472 /nfs/dbraw/zinc/12/14/72/1073121472.db2.gz NXPNWQFRZWGVKH-IBGZPJMESA-N 0 0 426.539 -0.114 20 0 IBADRN O=C(CS(=O)(=O)Nc1ccc(N2CCCCC2)cc1)NC[C@@]1(CO)COCCN1 ZINC001151817270 1073121340 /nfs/dbraw/zinc/12/13/40/1073121340.db2.gz NXPNWQFRZWGVKH-LJQANCHMSA-N 0 0 426.539 -0.114 20 0 IBADRN O=C(NC[C@@]1(CO)COCCN1)c1sccc1S(=O)(=O)N1CCCC[C@H]1CCO ZINC001151817338 1073121504 /nfs/dbraw/zinc/12/15/04/1073121504.db2.gz OTXKMFKKAXEXKQ-KBXCAEBGSA-N 0 0 447.579 -0.246 20 0 IBADRN O=C(NC[C@]1(CO)COCCN1)c1sccc1S(=O)(=O)N1CCCC[C@@H]1CCO ZINC001151817339 1073121408 /nfs/dbraw/zinc/12/14/08/1073121408.db2.gz OTXKMFKKAXEXKQ-KDOFPFPSSA-N 0 0 447.579 -0.246 20 0 IBADRN O=C(NC[C@]1(CO)COCCN1)c1sccc1S(=O)(=O)N1CCCC[C@H]1CCO ZINC001151817344 1073121486 /nfs/dbraw/zinc/12/14/86/1073121486.db2.gz OTXKMFKKAXEXKQ-KSSFIOAISA-N 0 0 447.579 -0.246 20 0 IBADRN O=C(NC[C@@]1(CO)COCCN1)c1sccc1S(=O)(=O)N1CCCC[C@@H]1CCO ZINC001151817346 1073121523 /nfs/dbraw/zinc/12/15/23/1073121523.db2.gz OTXKMFKKAXEXKQ-RDTXWAMCSA-N 0 0 447.579 -0.246 20 0 IBADRN O=C(NC[C@]1(CO)COCCN1)c1cc(S(=O)(=O)NC2CC2)ccc1Br ZINC001151817576 1073121367 /nfs/dbraw/zinc/12/13/67/1073121367.db2.gz SGJTWEBLWGCEKB-INIZCTEOSA-N 0 0 448.339 -0.030 20 0 IBADRN O=C(NC[C@@]1(CO)COCCN1)c1cc(S(=O)(=O)NC2CC2)ccc1Br ZINC001151817577 1073121352 /nfs/dbraw/zinc/12/13/52/1073121352.db2.gz SGJTWEBLWGCEKB-MRXNPFEDSA-N 0 0 448.339 -0.030 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@@H]1CCS(=O)(=O)N1 ZINC001151827993 1073121316 /nfs/dbraw/zinc/12/13/16/1073121316.db2.gz MBNUQVMAMBYCNR-AWEZNQCLSA-N 0 0 432.524 -0.231 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@H]1CCS(=O)(=O)N1 ZINC001151827994 1073121509 /nfs/dbraw/zinc/12/15/09/1073121509.db2.gz MBNUQVMAMBYCNR-CQSZACIVSA-N 0 0 432.524 -0.231 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@@H]1OCCN(C)C1=O ZINC001151828689 1073121447 /nfs/dbraw/zinc/12/14/47/1073121447.db2.gz RIPSKGHKDVWPBZ-INIZCTEOSA-N 0 0 426.495 -0.065 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@H]1OCCN(C)C1=O ZINC001151828693 1073121301 /nfs/dbraw/zinc/12/13/01/1073121301.db2.gz RIPSKGHKDVWPBZ-MRXNPFEDSA-N 0 0 426.495 -0.065 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nc3cc(Br)ccc3[nH]2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001151833060 1073121421 /nfs/dbraw/zinc/12/14/21/1073121421.db2.gz ZEEALOJKSMHXEB-JVGCTUHESA-N 0 0 430.255 -0.101 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001151871215 1073122071 /nfs/dbraw/zinc/12/20/71/1073122071.db2.gz CVNOXEPVMXAYSV-CABCVRRESA-N 0 0 443.547 -0.711 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001151871216 1073122043 /nfs/dbraw/zinc/12/20/43/1073122043.db2.gz CVNOXEPVMXAYSV-GJZGRUSLSA-N 0 0 443.547 -0.711 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001151871217 1073122088 /nfs/dbraw/zinc/12/20/88/1073122088.db2.gz CVNOXEPVMXAYSV-HUUCEWRRSA-N 0 0 443.547 -0.711 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001151871218 1073122189 /nfs/dbraw/zinc/12/21/89/1073122189.db2.gz CVNOXEPVMXAYSV-LSDHHAIUSA-N 0 0 443.547 -0.711 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ncnc2[nH]ccc21)C(=O)NCC(N)=O ZINC001151872716 1073122030 /nfs/dbraw/zinc/12/20/30/1073122030.db2.gz UPFRWJIJKJXDDQ-KBPBESRZSA-N 0 0 429.481 -0.354 20 0 IBADRN O=C(c1ncnc2[nH]ccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001151872960 1073122145 /nfs/dbraw/zinc/12/21/45/1073122145.db2.gz WPDWFLTXNVBMHY-BPLDGKMQSA-N 0 0 433.490 -0.356 20 0 IBADRN O=C(c1ncnc2[nH]ccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001151872967 1073122076 /nfs/dbraw/zinc/12/20/76/1073122076.db2.gz WPDWFLTXNVBMHY-NWANDNLSSA-N 0 0 433.490 -0.356 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)cc1 ZINC001151873232 1073122172 /nfs/dbraw/zinc/12/21/72/1073122172.db2.gz YECTUEGROCVHBN-UHFFFAOYSA-N 0 0 433.490 -0.544 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCc2ccc(S(=O)(=O)NC)cc2)[C@H]1c1nccn1C ZINC001151878506 1073122149 /nfs/dbraw/zinc/12/21/49/1073122149.db2.gz CUUYSMWBZZXNJI-ROUUACIJSA-N 0 0 449.533 -0.025 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC001151878539 1073122101 /nfs/dbraw/zinc/12/21/01/1073122101.db2.gz DLTGQARVMWGEGC-KRWDZBQOSA-N 0 0 438.550 -0.566 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC001151878540 1073122164 /nfs/dbraw/zinc/12/21/64/1073122164.db2.gz DLTGQARVMWGEGC-QGZVFWFLSA-N 0 0 438.550 -0.566 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccccc2N)CC1 ZINC001151878867 1073122137 /nfs/dbraw/zinc/12/21/37/1073122137.db2.gz IMFNDSFAPAVXIW-UHFFFAOYSA-N 0 0 433.556 -0.722 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001151879094 1073122092 /nfs/dbraw/zinc/12/20/92/1073122092.db2.gz LTZWIYFPFXIVBU-UHFFFAOYSA-N 0 0 447.579 -0.274 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(Br)cc3[nH]ncc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001151895504 1073122123 /nfs/dbraw/zinc/12/21/23/1073122123.db2.gz NJSKPPWFRNBCGE-ZLDYVJPJSA-N 0 0 430.255 -0.101 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)CSCCOc3ccc(S(N)(=O)=O)cc3)C[C@H]2C1=O ZINC001151914567 1073122177 /nfs/dbraw/zinc/12/21/77/1073122177.db2.gz NGYIIIHGLOQSMD-OKILXGFUSA-N 0 0 427.504 -0.481 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)CCNS(=O)(=O)c3ccc4c(c3)OCCCO4)C[C@H]2C1=O ZINC001151914827 1073122052 /nfs/dbraw/zinc/12/20/52/1073122052.db2.gz PHZJTFHJMMKJGR-OKILXGFUSA-N 0 0 437.474 -0.411 20 0 IBADRN O=C(c1cc2n(n1)CCC2)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001151929226 1073122157 /nfs/dbraw/zinc/12/21/57/1073122157.db2.gz OPQVAJUYVJZANR-DOTOQJQBSA-N 0 0 444.517 -0.008 20 0 IBADRN COc1c(F)cc(CC(=O)NC2CCN([C@H]3CCOC3=O)CC2)cc1S(N)(=O)=O ZINC001151958268 1073122453 /nfs/dbraw/zinc/12/24/53/1073122453.db2.gz BPZSRPJORGSGHH-AWEZNQCLSA-N 0 0 429.470 -0.080 20 0 IBADRN COc1c(F)cc(CC(=O)NC2CCN([C@@H]3CCOC3=O)CC2)cc1S(N)(=O)=O ZINC001151958269 1073122353 /nfs/dbraw/zinc/12/23/53/1073122353.db2.gz BPZSRPJORGSGHH-CQSZACIVSA-N 0 0 429.470 -0.080 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001151965182 1073122539 /nfs/dbraw/zinc/12/25/39/1073122539.db2.gz GHQDPLCGWRALPL-IBGZPJMESA-N 0 0 425.507 -0.022 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(C(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001151965183 1073122582 /nfs/dbraw/zinc/12/25/82/1073122582.db2.gz GHQDPLCGWRALPL-LJQANCHMSA-N 0 0 425.507 -0.022 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001151968143 1073122370 /nfs/dbraw/zinc/12/23/70/1073122370.db2.gz RZDZLFCEIOSHGY-FQEVSTJZSA-N 0 0 426.514 -0.327 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001151968144 1073122385 /nfs/dbraw/zinc/12/23/85/1073122385.db2.gz RZDZLFCEIOSHGY-HXUWFJFHSA-N 0 0 426.514 -0.327 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@](O)(CC(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001151968909 1073122434 /nfs/dbraw/zinc/12/24/34/1073122434.db2.gz VLDOKDFTSWVYCH-PMACEKPBSA-N 0 0 427.498 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@](O)(CC(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001151968910 1073122479 /nfs/dbraw/zinc/12/24/79/1073122479.db2.gz VLDOKDFTSWVYCH-UXHICEINSA-N 0 0 427.498 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@](O)(CC(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001151968911 1073122486 /nfs/dbraw/zinc/12/24/86/1073122486.db2.gz VLDOKDFTSWVYCH-VQTJNVASSA-N 0 0 427.498 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@](O)(CC(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001151968912 1073122418 /nfs/dbraw/zinc/12/24/18/1073122418.db2.gz VLDOKDFTSWVYCH-WOJBJXKFSA-N 0 0 427.498 -0.118 20 0 IBADRN O=C(N[C@@H](CO)C(=O)NC[C@H](O)CS(=O)(=O)c1cccs1)c1ccc(F)cc1 ZINC001151984844 1073122443 /nfs/dbraw/zinc/12/24/43/1073122443.db2.gz CBBSLTGHJZPCIK-KBPBESRZSA-N 0 0 430.479 -0.071 20 0 IBADRN O=C(N[C@@H](CO)C(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1)c1ccc(F)cc1 ZINC001151984845 1073122395 /nfs/dbraw/zinc/12/23/95/1073122395.db2.gz CBBSLTGHJZPCIK-KGLIPLIRSA-N 0 0 430.479 -0.071 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001151986772 1073122409 /nfs/dbraw/zinc/12/24/09/1073122409.db2.gz ZALRKCKMOCZIIT-BZUAXINKSA-N 0 0 434.873 -0.275 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC001151986794 1073122496 /nfs/dbraw/zinc/12/24/96/1073122496.db2.gz ZHAYIOJNSVJLNI-GFCCVEGCSA-N 0 0 425.554 -0.825 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC001151986800 1073122448 /nfs/dbraw/zinc/12/24/48/1073122448.db2.gz ZHAYIOJNSVJLNI-LBPRGKRZSA-N 0 0 425.554 -0.825 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001152001612 1073122468 /nfs/dbraw/zinc/12/24/68/1073122468.db2.gz MAHNVNQGFJPLFC-IAQYHMDHSA-N 0 0 433.508 -0.643 20 0 IBADRN O=C(c1[nH]nc2c1COCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152001808 1073122529 /nfs/dbraw/zinc/12/25/29/1073122529.db2.gz BILWNHFWOBDDNP-WOSRLPQWSA-N 0 0 438.506 -0.784 20 0 IBADRN O=C(c1[nH]nc2c1COCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152001809 1073122558 /nfs/dbraw/zinc/12/25/58/1073122558.db2.gz BILWNHFWOBDDNP-XJKCOSOUSA-N 0 0 438.506 -0.784 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1[nH]nc2c1COCC2)C(=O)NCC(N)=O ZINC001152005323 1073122565 /nfs/dbraw/zinc/12/25/65/1073122565.db2.gz SJKVQWOLARYPED-GJZGRUSLSA-N 0 0 434.497 -0.781 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2n[nH]c3c2COCC3)cc1Cl ZINC001152008307 1073123250 /nfs/dbraw/zinc/12/32/50/1073123250.db2.gz BISAXBCOYIYQER-UHFFFAOYSA-N 0 0 435.871 -0.317 20 0 IBADRN O=C(c1nnc2cnccn21)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001152022912 1073123130 /nfs/dbraw/zinc/12/31/30/1073123130.db2.gz SFLABJUJLNUTIP-VCBZYWHSSA-N 0 0 441.473 -0.283 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)c1nnc3cnccn31)CCC2)N1CCCC1 ZINC001152023507 1073123207 /nfs/dbraw/zinc/12/32/07/1073123207.db2.gz YEYRURNKZXIFGX-UHFFFAOYSA-N 0 0 425.453 -0.099 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)c1nnc3cnccn31)C2 ZINC001152023533 1073123244 /nfs/dbraw/zinc/12/32/44/1073123244.db2.gz ZADKJBUTZHXBJS-HNNXBMFYSA-N 0 0 426.481 -0.054 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)c1nnc3cnccn31)C2 ZINC001152023534 1073123255 /nfs/dbraw/zinc/12/32/55/1073123255.db2.gz ZADKJBUTZHXBJS-OAHLLOKOSA-N 0 0 426.481 -0.054 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2nnc4cnccn42)CC3)C1=O ZINC001152023550 1073123107 /nfs/dbraw/zinc/12/31/07/1073123107.db2.gz ZQBMDSGKZYKOFY-IBGZPJMESA-N 0 0 447.521 -0.530 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2nnc4cnccn42)CC3)C1=O ZINC001152023551 1073123215 /nfs/dbraw/zinc/12/32/15/1073123215.db2.gz ZQBMDSGKZYKOFY-LJQANCHMSA-N 0 0 447.521 -0.530 20 0 IBADRN COc1nccn(C(=O)c2nnc3cnccn32)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001152027217 1073123155 /nfs/dbraw/zinc/12/31/55/1073123155.db2.gz MJCLDGCGGYFJJL-UHFFFAOYSA-N 0 0 426.418 -0.110 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2nnc3cnccn32)cc1Cl ZINC001152027959 1073123138 /nfs/dbraw/zinc/12/31/38/1073123138.db2.gz WSFJZCCCXIXVQA-UHFFFAOYSA-N 0 0 431.843 -0.675 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)c2nnc3cnccn32)CC1 ZINC001152030152 1073123180 /nfs/dbraw/zinc/12/31/80/1073123180.db2.gz HCLBWTZAXFXWHC-UHFFFAOYSA-N 0 0 439.498 -0.263 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1nnc2cnccn21 ZINC001152030516 1073123189 /nfs/dbraw/zinc/12/31/89/1073123189.db2.gz NSBYEABIHJQTQA-ACDVHTNFSA-N 0 0 433.446 -0.731 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1nnc2cnccn21 ZINC001152030526 1073123118 /nfs/dbraw/zinc/12/31/18/1073123118.db2.gz NSBYEABIHJQTQA-DJSHQUBXSA-N 0 0 433.446 -0.731 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1nnc2cnccn21 ZINC001152030529 1073123150 /nfs/dbraw/zinc/12/31/50/1073123150.db2.gz NSBYEABIHJQTQA-MLXUFAEESA-N 0 0 433.446 -0.731 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1nnc2cnccn21 ZINC001152030788 1073123264 /nfs/dbraw/zinc/12/32/64/1073123264.db2.gz NSBYEABIHJQTQA-PBCCLZPWSA-N 0 0 433.446 -0.731 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1nnc2cnccn21)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001152031906 1073123194 /nfs/dbraw/zinc/12/31/94/1073123194.db2.gz JFCGFZKRGOQFJA-CABCVRRESA-N 0 0 433.446 -0.077 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1nnc2cnccn21)C(N)=O ZINC001152035224 1073123168 /nfs/dbraw/zinc/12/31/68/1073123168.db2.gz VWELLBPYOIXVGW-ZBFHGGJFSA-N 0 0 425.449 -0.203 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cc3cccnc3n2S(=O)(=O)c2ccccc2)[C@H]2NCN[C@@H]21 ZINC001152062721 1073123733 /nfs/dbraw/zinc/12/37/33/1073123733.db2.gz RBKSALBZVSZZMA-JFIYKMOQSA-N 0 0 427.490 -0.594 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cc3cccnc3n2S(=O)(=O)c2ccccc2)[C@@H]2NCN[C@H]21 ZINC001152062726 1073123708 /nfs/dbraw/zinc/12/37/08/1073123708.db2.gz RBKSALBZVSZZMA-JZXOWHBKSA-N 0 0 427.490 -0.594 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cc3cccnc3n2S(=O)(=O)c2ccccc2)[C@H]2NCN[C@H]21 ZINC001152062731 1073123654 /nfs/dbraw/zinc/12/36/54/1073123654.db2.gz RBKSALBZVSZZMA-LZLYRXPVSA-N 0 0 427.490 -0.594 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cc3cccnc3n2S(=O)(=O)c2ccccc2)[C@@H]2NCN[C@@H]21 ZINC001152062736 1073123810 /nfs/dbraw/zinc/12/38/10/1073123810.db2.gz RBKSALBZVSZZMA-NUJGCVRESA-N 0 0 427.490 -0.594 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cn3nc(Br)sc3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001152086825 1073123635 /nfs/dbraw/zinc/12/36/35/1073123635.db2.gz DIXOBWYWPFOTBH-DYKMFKKKSA-N 0 0 437.272 -0.873 20 0 IBADRN O=C(c1nnc2cc[nH]cc1-2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152096888 1073123671 /nfs/dbraw/zinc/12/36/71/1073123671.db2.gz BOXZMUQDNRSRRX-JGGQBBKZSA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1nnc2cc[nH]cc1-2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152096889 1073123769 /nfs/dbraw/zinc/12/37/69/1073123769.db2.gz BOXZMUQDNRSRRX-XNRPHZJLSA-N 0 0 433.490 -0.308 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1nnc2cc[nH]cc1-2)C(=O)NCC(N)=O ZINC001152098351 1073123615 /nfs/dbraw/zinc/12/36/15/1073123615.db2.gz KPWDSPMYRNDDKD-GJZGRUSLSA-N 0 0 429.481 -0.305 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(Br)cc3[nH]cnc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001152138014 1073123638 /nfs/dbraw/zinc/12/36/38/1073123638.db2.gz PIWQWOZMDLANTJ-ZLDYVJPJSA-N 0 0 430.255 -0.101 20 0 IBADRN NS(=O)(=O)CCCCCCC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001152155259 1073123745 /nfs/dbraw/zinc/12/37/45/1073123745.db2.gz JGMNIEZGUYITAL-HNNXBMFYSA-N 0 0 425.573 -0.122 20 0 IBADRN NS(=O)(=O)CCCCCCC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001152155262 1073123780 /nfs/dbraw/zinc/12/37/80/1073123780.db2.gz JGMNIEZGUYITAL-OAHLLOKOSA-N 0 0 425.573 -0.122 20 0 IBADRN CCS(=O)(=O)c1c[nH]c(=O)c(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC001152155826 1073123602 /nfs/dbraw/zinc/12/36/02/1073123602.db2.gz PYFLWMRQAPORPQ-CYBMUJFWSA-N 0 0 447.535 -0.153 20 0 IBADRN CCS(=O)(=O)c1c[nH]c(=O)c(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC001152155829 1073123687 /nfs/dbraw/zinc/12/36/87/1073123687.db2.gz PYFLWMRQAPORPQ-ZDUSSCGKSA-N 0 0 447.535 -0.153 20 0 IBADRN Cc1sc(S(N)(=O)=O)cc1C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001152155941 1073123698 /nfs/dbraw/zinc/12/36/98/1073123698.db2.gz RAYUKKDAAGFIBE-GFCCVEGCSA-N 0 0 437.565 -0.029 20 0 IBADRN Cc1sc(S(N)(=O)=O)cc1C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001152155966 1073123571 /nfs/dbraw/zinc/12/35/71/1073123571.db2.gz RAYUKKDAAGFIBE-LBPRGKRZSA-N 0 0 437.565 -0.029 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](O)CC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001152156057 1073123764 /nfs/dbraw/zinc/12/37/64/1073123764.db2.gz RSNXQHZNCPHSLQ-CABCVRRESA-N 0 0 435.543 -0.085 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H](O)CC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001152156058 1073123802 /nfs/dbraw/zinc/12/38/02/1073123802.db2.gz RSNXQHZNCPHSLQ-GJZGRUSLSA-N 0 0 435.543 -0.085 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](O)CC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001152156059 1073123589 /nfs/dbraw/zinc/12/35/89/1073123589.db2.gz RSNXQHZNCPHSLQ-HUUCEWRRSA-N 0 0 435.543 -0.085 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H](O)CC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001152156060 1073123723 /nfs/dbraw/zinc/12/37/23/1073123723.db2.gz RSNXQHZNCPHSLQ-LSDHHAIUSA-N 0 0 435.543 -0.085 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2nc3ccccc3nc2Cl)c(=O)n1 ZINC001152167017 1073123785 /nfs/dbraw/zinc/12/37/85/1073123785.db2.gz KNTSJZJJQZVWIC-PCYKNENESA-N 0 0 432.824 -0.286 20 0 IBADRN O=C(N[C@@H](CO)Cc1ccc(O)cc1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001152175133 1073124306 /nfs/dbraw/zinc/12/43/06/1073124306.db2.gz ADQNAXGPTLJQMW-MRXNPFEDSA-N 0 0 433.486 -0.154 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)c1cnn2c1S(=O)(=O)N(C)CC2 ZINC001152175382 1073124386 /nfs/dbraw/zinc/12/43/86/1073124386.db2.gz NDELFJYFEXSTSD-UHFFFAOYSA-N 0 0 439.519 -0.030 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC001152176461 1073124273 /nfs/dbraw/zinc/12/42/73/1073124273.db2.gz VUMLLJLFJVXQJF-UHFFFAOYSA-N 0 0 440.503 -0.305 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)NC[C@]23COC(=O)N2CCOC3)cc1 ZINC001152194814 1073124299 /nfs/dbraw/zinc/12/42/99/1073124299.db2.gz QABWVNDPNXEQAZ-KRWDZBQOSA-N 0 0 445.519 -0.217 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)NC[C@@]23COC(=O)N2CCOC3)cc1 ZINC001152194815 1073124384 /nfs/dbraw/zinc/12/43/84/1073124384.db2.gz QABWVNDPNXEQAZ-QGZVFWFLSA-N 0 0 445.519 -0.217 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@@]12COC(=O)N1CCOC2 ZINC001152194851 1073124379 /nfs/dbraw/zinc/12/43/79/1073124379.db2.gz RBMIKDBDWSOPCL-BFUOFWGJSA-N 0 0 426.470 -0.161 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NC[C@]12COC(=O)N1CCOC2 ZINC001152194852 1073124259 /nfs/dbraw/zinc/12/42/59/1073124259.db2.gz RBMIKDBDWSOPCL-DJJJIMSYSA-N 0 0 426.470 -0.161 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NC[C@@]12COC(=O)N1CCOC2 ZINC001152194853 1073124372 /nfs/dbraw/zinc/12/43/72/1073124372.db2.gz RBMIKDBDWSOPCL-ORAYPTAESA-N 0 0 426.470 -0.161 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@]12COC(=O)N1CCOC2 ZINC001152194854 1073124361 /nfs/dbraw/zinc/12/43/61/1073124361.db2.gz RBMIKDBDWSOPCL-YJYMSZOUSA-N 0 0 426.470 -0.161 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001152195240 1073124365 /nfs/dbraw/zinc/12/43/65/1073124365.db2.gz URNGPHKOLSEIIH-BKEDOTJMSA-N 0 0 443.522 -0.567 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001152195241 1073124333 /nfs/dbraw/zinc/12/43/33/1073124333.db2.gz URNGPHKOLSEIIH-DEXNDLTESA-N 0 0 443.522 -0.567 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001152195242 1073124368 /nfs/dbraw/zinc/12/43/68/1073124368.db2.gz URNGPHKOLSEIIH-NRTGNBEESA-N 0 0 443.522 -0.567 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001152195243 1073124317 /nfs/dbraw/zinc/12/43/17/1073124317.db2.gz URNGPHKOLSEIIH-QCUYGVNKSA-N 0 0 443.522 -0.567 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001152212505 1074366144 /nfs/dbraw/zinc/36/61/44/1074366144.db2.gz AVCTXZTZPMPFJM-GOSISDBHSA-N 0 0 443.504 -0.465 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001152212506 1074366729 /nfs/dbraw/zinc/36/67/29/1074366729.db2.gz AVCTXZTZPMPFJM-SFHVURJKSA-N 0 0 443.504 -0.465 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC001152311455 1073125943 /nfs/dbraw/zinc/12/59/43/1073125943.db2.gz HNWVWPNDLKLGRW-IAGOWNOFSA-N 0 0 425.507 -0.418 20 0 IBADRN COc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nc2ccccc21 ZINC001152337998 1073125799 /nfs/dbraw/zinc/12/57/99/1073125799.db2.gz MCQSALRFNSLJSM-KLICCBINSA-N 0 0 427.417 -0.326 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc3c(c2)OC(F)(F)O3)c(=O)n1 ZINC001152367170 1073125956 /nfs/dbraw/zinc/12/59/56/1073125956.db2.gz DWXNWILHLVKRKR-BPGGGUHBSA-N 0 0 426.332 -0.561 20 0 IBADRN O=C(c1cccn2cnnc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152388681 1073125965 /nfs/dbraw/zinc/12/59/65/1073125965.db2.gz IEJJGRYLVRQHRB-FVQBIDKESA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cccn2cnnc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152388682 1073125974 /nfs/dbraw/zinc/12/59/74/1073125974.db2.gz IEJJGRYLVRQHRB-NUEKZKHPSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cccn2cnnc12)C(=O)NCC(N)=O ZINC001152389627 1073125842 /nfs/dbraw/zinc/12/58/42/1073125842.db2.gz SFULRCBUYGSIDE-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cccn3cnnc23)cc1Cl ZINC001152391137 1073125818 /nfs/dbraw/zinc/12/58/18/1073125818.db2.gz HUHXYSPSULLWAZ-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cccn2cnnc12 ZINC001152393505 1073125868 /nfs/dbraw/zinc/12/58/68/1073125868.db2.gz NFLJKWFVPBJJOB-CUGJGTHOSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cccn2cnnc12 ZINC001152393506 1073125785 /nfs/dbraw/zinc/12/57/85/1073125785.db2.gz NFLJKWFVPBJJOB-INJPQVFBSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cccn2cnnc12 ZINC001152393507 1073125921 /nfs/dbraw/zinc/12/59/21/1073125921.db2.gz NFLJKWFVPBJJOB-LCEIHVTHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cccn2cnnc12 ZINC001152393508 1073125812 /nfs/dbraw/zinc/12/58/12/1073125812.db2.gz NFLJKWFVPBJJOB-TVEAVKIZSA-N 0 0 432.458 -0.126 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(Br)cc3c2OCC3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001152437464 1073125857 /nfs/dbraw/zinc/12/58/57/1073125857.db2.gz COHCBXCIMFJNIB-MVWIAQOHSA-N 0 0 432.267 -0.042 20 0 IBADRN CCOc1ccc(S(=O)(=O)N[C@H](CCSC)C(=O)N[C@H]2CN(C)NC2=O)cc1 ZINC001152455873 1073125900 /nfs/dbraw/zinc/12/59/00/1073125900.db2.gz QWXCMJZAHMSQFD-CABCVRRESA-N 0 0 430.552 -0.053 20 0 IBADRN CCOc1ccc(S(=O)(=O)N[C@@H](CCSC)C(=O)N[C@H]2CN(C)NC2=O)cc1 ZINC001152455874 1073125985 /nfs/dbraw/zinc/12/59/85/1073125985.db2.gz QWXCMJZAHMSQFD-GJZGRUSLSA-N 0 0 430.552 -0.053 20 0 IBADRN CCOc1ccc(S(=O)(=O)N[C@H](CCSC)C(=O)N[C@@H]2CN(C)NC2=O)cc1 ZINC001152455875 1073125827 /nfs/dbraw/zinc/12/58/27/1073125827.db2.gz QWXCMJZAHMSQFD-HUUCEWRRSA-N 0 0 430.552 -0.053 20 0 IBADRN CCOc1ccc(S(=O)(=O)N[C@@H](CCSC)C(=O)N[C@@H]2CN(C)NC2=O)cc1 ZINC001152455876 1073125880 /nfs/dbraw/zinc/12/58/80/1073125880.db2.gz QWXCMJZAHMSQFD-LSDHHAIUSA-N 0 0 430.552 -0.053 20 0 IBADRN CN1CCn2nc(NC(=O)c3cc(S(=O)(=O)NCC(N)=O)ccc3Cl)cc2C1 ZINC001152475072 1073126542 /nfs/dbraw/zinc/12/65/42/1073126542.db2.gz ZDRHSAOMAXUSQQ-UHFFFAOYSA-N 0 0 426.886 -0.002 20 0 IBADRN O=C(c1cn2cnccc2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152482217 1073126484 /nfs/dbraw/zinc/12/64/84/1073126484.db2.gz IIMVZTCRAQQMFB-IIAWOOMASA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cn2cnccc2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152482218 1073126564 /nfs/dbraw/zinc/12/65/64/1073126564.db2.gz IIMVZTCRAQQMFB-SQWLQELKSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cn2cnccc2n1)C(=O)NCC(N)=O ZINC001152486064 1073126515 /nfs/dbraw/zinc/12/65/15/1073126515.db2.gz XRMOOFQBADOSNT-ZFWWWQNUSA-N 0 0 429.481 -0.534 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)Cc3c[nH]cn3)c2)CC1 ZINC001152486312 1073126554 /nfs/dbraw/zinc/12/65/54/1073126554.db2.gz ODQBGRUZCZGGAX-KRWDZBQOSA-N 0 0 435.506 -0.404 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cn3cnccc3n2)cc1Cl ZINC001152487727 1073126549 /nfs/dbraw/zinc/12/65/49/1073126549.db2.gz KZDARCRUSOFYFI-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cn2cnccc2n1 ZINC001152488033 1073126527 /nfs/dbraw/zinc/12/65/27/1073126527.db2.gz ZPGAGRSSMHCBPK-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cn2cnccc2n1 ZINC001152488034 1073126427 /nfs/dbraw/zinc/12/64/27/1073126427.db2.gz ZPGAGRSSMHCBPK-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cn2cnccc2n1 ZINC001152488035 1073126459 /nfs/dbraw/zinc/12/64/59/1073126459.db2.gz ZPGAGRSSMHCBPK-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cn2cnccc2n1 ZINC001152488036 1073126538 /nfs/dbraw/zinc/12/65/38/1073126538.db2.gz ZPGAGRSSMHCBPK-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)c3cnc4n(c3=O)CCS4)[C@@H]3CCCO[C@@H]32)cn1 ZINC001152496755 1073126492 /nfs/dbraw/zinc/12/64/92/1073126492.db2.gz BHRAPIZHAHVDKV-RRFJBIMHSA-N 0 0 430.490 -0.116 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cnc3n(c1=O)CCS3)CC2 ZINC001152496843 1073126464 /nfs/dbraw/zinc/12/64/64/1073126464.db2.gz RNSIUFWPDIVBDV-UHFFFAOYSA-N 0 0 443.533 -0.331 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=O)c4cnc5n(c4=O)CCS5)CC[C@@H]32)cn1 ZINC001152497130 1073126441 /nfs/dbraw/zinc/12/64/41/1073126441.db2.gz DUTKQHIIFCIBIX-OFQRWUPVSA-N 0 0 444.517 -0.010 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1cnc3n(c1=O)CCS3)CC2 ZINC001152497136 1073126531 /nfs/dbraw/zinc/12/65/31/1073126531.db2.gz FBWIDPOXEUUCOP-UHFFFAOYSA-N 0 0 429.502 -0.160 20 0 IBADRN O=C(c1cnc2n(c1=O)CCS2)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001152497141 1073126422 /nfs/dbraw/zinc/12/64/22/1073126422.db2.gz FMQANUKPZQIUCK-IBGZPJMESA-N 0 0 430.490 -0.113 20 0 IBADRN O=C(c1cnc2n(c1=O)CCS2)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001152497142 1073126449 /nfs/dbraw/zinc/12/64/49/1073126449.db2.gz FMQANUKPZQIUCK-LJQANCHMSA-N 0 0 430.490 -0.113 20 0 IBADRN O=C(C[C@@H]1COC[C@H]2CN(C(=O)c3cnc4n(c3=O)CCS4)C[C@H]21)N1CCOCC1 ZINC001152497676 1073126477 /nfs/dbraw/zinc/12/64/77/1073126477.db2.gz KOODFUXBVZUHQK-FMKPAKJESA-N 0 0 434.518 -0.068 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)c2cnc3n(c2=O)CCS3)[C@@H]2CCCO[C@@H]21 ZINC001152498163 1073126456 /nfs/dbraw/zinc/12/64/56/1073126456.db2.gz SAJDSEPNRHVUOR-BFHYXJOUSA-N 0 0 430.490 -0.116 20 0 IBADRN Cc1nc2ccc(Cl)nn2c1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001152525539 1073126925 /nfs/dbraw/zinc/12/69/25/1073126925.db2.gz NLDSZFOWGMPCMH-CCFFKSERSA-N 0 0 435.828 -0.878 20 0 IBADRN Cn1cc(/C=C/C(=O)N[C@@H]2CCCCN(CC(=O)OC(C)(C)C)C2=O)c(=O)n(C)c1=O ZINC001152572663 1073127046 /nfs/dbraw/zinc/12/70/46/1073127046.db2.gz FHFRBMIWTFBEMV-BOLDSZDNSA-N 0 0 434.493 -0.064 20 0 IBADRN Cn1cc(/C=C/C(=O)N[C@H]2CCCCN(CC(=O)OC(C)(C)C)C2=O)c(=O)n(C)c1=O ZINC001152572664 1073126892 /nfs/dbraw/zinc/12/68/92/1073126892.db2.gz FHFRBMIWTFBEMV-FEAKQIBJSA-N 0 0 434.493 -0.064 20 0 IBADRN Cn1cc(/C=C\C(=O)N[C@@H]2CCCCN(CC(=O)OC(C)(C)C)C2=O)c(=O)n(C)c1=O ZINC001152572666 1073127183 /nfs/dbraw/zinc/12/71/83/1073127183.db2.gz FHFRBMIWTFBEMV-FJVVXJACSA-N 0 0 434.493 -0.064 20 0 IBADRN Cn1cc(/C=C\C(=O)N[C@H]2CCCCN(CC(=O)OC(C)(C)C)C2=O)c(=O)n(C)c1=O ZINC001152572668 1073127036 /nfs/dbraw/zinc/12/70/36/1073127036.db2.gz FHFRBMIWTFBEMV-KVPUOBJLSA-N 0 0 434.493 -0.064 20 0 IBADRN CN(CC(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001152573953 1073127197 /nfs/dbraw/zinc/12/71/97/1073127197.db2.gz OHEUTCZDKZOSEM-CABCVRRESA-N 0 0 431.555 -0.056 20 0 IBADRN CN(CC(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001152573955 1073126992 /nfs/dbraw/zinc/12/69/92/1073126992.db2.gz OHEUTCZDKZOSEM-GJZGRUSLSA-N 0 0 431.555 -0.056 20 0 IBADRN CN(CC(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001152573958 1073127159 /nfs/dbraw/zinc/12/71/59/1073127159.db2.gz OHEUTCZDKZOSEM-HUUCEWRRSA-N 0 0 431.555 -0.056 20 0 IBADRN CN(CC(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001152573962 1073127188 /nfs/dbraw/zinc/12/71/88/1073127188.db2.gz OHEUTCZDKZOSEM-LSDHHAIUSA-N 0 0 431.555 -0.056 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC001152686161 1073127115 /nfs/dbraw/zinc/12/71/15/1073127115.db2.gz MOJCWCHMKUVFBW-KBPBESRZSA-N 0 0 444.510 -0.152 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC001152686162 1073126866 /nfs/dbraw/zinc/12/68/66/1073126866.db2.gz MOJCWCHMKUVFBW-KGLIPLIRSA-N 0 0 444.510 -0.152 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC001152686163 1073126982 /nfs/dbraw/zinc/12/69/82/1073126982.db2.gz MOJCWCHMKUVFBW-UONOGXRCSA-N 0 0 444.510 -0.152 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC001152686164 1073127150 /nfs/dbraw/zinc/12/71/50/1073127150.db2.gz MOJCWCHMKUVFBW-ZIAGYGMSSA-N 0 0 444.510 -0.152 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@@H](CC2OCCO2)C(=O)OC)c1 ZINC001152686534 1073127126 /nfs/dbraw/zinc/12/71/26/1073127126.db2.gz WVVXSQZGIHLYFD-HNNXBMFYSA-N 0 0 430.479 -0.046 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N[C@H](CC2OCCO2)C(=O)OC)c1 ZINC001152686535 1073127057 /nfs/dbraw/zinc/12/70/57/1073127057.db2.gz WVVXSQZGIHLYFD-OAHLLOKOSA-N 0 0 430.479 -0.046 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001152698278 1073127073 /nfs/dbraw/zinc/12/70/73/1073127073.db2.gz WYAVKAOMVJBYQV-SFHVURJKSA-N 0 0 441.525 -0.433 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC001152711089 1073127616 /nfs/dbraw/zinc/12/76/16/1073127616.db2.gz HNWVWPNDLKLGRW-SJORKVTESA-N 0 0 425.507 -0.418 20 0 IBADRN Cn1nnc2c(C(=O)N3C[C@H](NC(=O)c4cscn4)[C@@H]4OCCC[C@@H]43)ncn2c1=O ZINC001152744554 1073127681 /nfs/dbraw/zinc/12/76/81/1073127681.db2.gz ATOOWTOCIXTOFH-GAFUQQFSSA-N 0 0 430.450 -0.919 20 0 IBADRN Cn1nnc2c(C(=O)N3CCC4(CC3)C(=O)NCCN4Cc3ccccc3)ncn2c1=O ZINC001152744636 1073127712 /nfs/dbraw/zinc/12/77/12/1073127712.db2.gz DIKPUMMDSZTOEF-UHFFFAOYSA-N 0 0 436.476 -0.570 20 0 IBADRN Cn1nnc2c(C(=O)N3CC[C@@H]4[C@@H](CO[C@@H]4CC(=O)NCc4ccco4)C3)ncn2c1=O ZINC001152744787 1073127660 /nfs/dbraw/zinc/12/76/60/1073127660.db2.gz GHQPLPBEAVDIPL-BPLDGKMQSA-N 0 0 441.448 -0.400 20 0 IBADRN Cn1nnc2c(C(=O)N3C[C@@H](NC(=O)c4cccnc4)[C@H]4OCCC[C@H]4C3)ncn2c1=O ZINC001152744870 1073127794 /nfs/dbraw/zinc/12/77/94/1073127794.db2.gz JARNHJJYBHTWED-LZWOXQAQSA-N 0 0 438.448 -0.732 20 0 IBADRN Cn1nnc2c(C(=O)N3CC[C@H]4CO[C@H](CNC(=O)c5ccncc5)[C@H]4C3)ncn2c1=O ZINC001152744886 1073127789 /nfs/dbraw/zinc/12/77/89/1073127789.db2.gz JZFOIPXVNPGAFL-SOUVJXGZSA-N 0 0 438.448 -0.875 20 0 IBADRN Cn1nnc2c(C(=O)N3C[C@@H]4COC[C@]4(C(=O)NCCc4ccccc4)C3)ncn2c1=O ZINC001152744908 1073127606 /nfs/dbraw/zinc/12/76/06/1073127606.db2.gz KMIRXRUNUSLTEY-QVKFZJNVSA-N 0 0 437.460 -0.730 20 0 IBADRN Cn1nnc2c1[C@@H](COCc1cccnc1)CN(C(=O)c1ncn3c1nnn(C)c3=O)C2 ZINC001152744909 1073127750 /nfs/dbraw/zinc/12/77/50/1073127750.db2.gz KMKBMOCJMLESEW-CYBMUJFWSA-N 0 0 436.436 -0.697 20 0 IBADRN Cn1nnc2c1[C@H](COCc1cccnc1)CN(C(=O)c1ncn3c1nnn(C)c3=O)C2 ZINC001152744910 1073127764 /nfs/dbraw/zinc/12/77/64/1073127764.db2.gz KMKBMOCJMLESEW-ZDUSSCGKSA-N 0 0 436.436 -0.697 20 0 IBADRN Cn1ccc(CN2CCOCC23CCN(C(=O)c2ncn4c2nnn(C)c4=O)CC3)n1 ZINC001152745043 1073127652 /nfs/dbraw/zinc/12/76/52/1073127652.db2.gz LMHWTARKVADXRM-UHFFFAOYSA-N 0 0 427.469 -0.936 20 0 IBADRN Cc1nc(N(C)C)nc2c1COC[C@@]21CCN(C(=O)c2ncn3c2nnn(C)c3=O)C1 ZINC001152745059 1073127671 /nfs/dbraw/zinc/12/76/71/1073127671.db2.gz MFUXVBWABPVJOA-IBGZPJMESA-N 0 0 425.453 -0.698 20 0 IBADRN Cc1nc(N(C)C)nc2c1COC[C@]21CCN(C(=O)c2ncn3c2nnn(C)c3=O)C1 ZINC001152745060 1073127770 /nfs/dbraw/zinc/12/77/70/1073127770.db2.gz MFUXVBWABPVJOA-LJQANCHMSA-N 0 0 425.453 -0.698 20 0 IBADRN Cn1nnc2c(C(=O)N3CCOC[C@]4(CN(c5ccsc5)C(=O)CO4)C3)ncn2c1=O ZINC001152745109 1073127800 /nfs/dbraw/zinc/12/78/00/1073127800.db2.gz NTYOPHYWCYTMID-GOSISDBHSA-N 0 0 445.461 -0.841 20 0 IBADRN Cn1nnc2c(C(=O)N3CCOC[C@@]4(CN(c5ccsc5)C(=O)CO4)C3)ncn2c1=O ZINC001152745110 1073127697 /nfs/dbraw/zinc/12/76/97/1073127697.db2.gz NTYOPHYWCYTMID-SFHVURJKSA-N 0 0 445.461 -0.841 20 0 IBADRN Cn1nnc2c(C(=O)N3C[C@H]4OCC[C@H]4[C@H](C(=O)NCc4cccnc4)C3)ncn2c1=O ZINC001152745119 1073127726 /nfs/dbraw/zinc/12/77/26/1073127726.db2.gz OBZSIVRXLWZFTA-RRFJBIMHSA-N 0 0 438.448 -0.989 20 0 IBADRN Cn1nnc2c(C(=O)N3CCC[C@@]4(C3)COCCN(c3ncc(F)cn3)C4)ncn2c1=O ZINC001152745271 1073128210 /nfs/dbraw/zinc/12/82/10/1073128210.db2.gz QFDBLDWDPYKFNS-IBGZPJMESA-N 0 0 443.443 -0.489 20 0 IBADRN Cn1nnc2c(C(=O)N3CCC[C@]4(C3)COCCN(c3ncc(F)cn3)C4)ncn2c1=O ZINC001152745272 1073128215 /nfs/dbraw/zinc/12/82/15/1073128215.db2.gz QFDBLDWDPYKFNS-LJQANCHMSA-N 0 0 443.443 -0.489 20 0 IBADRN Cn1nnc2c(C(=O)N3C[C@@H]4COC[C@H](C(=O)N5CCC(F)CC5)[C@@H]4C3)ncn2c1=O ZINC001152745277 1073128201 /nfs/dbraw/zinc/12/82/01/1073128201.db2.gz QHANNSVOYLNEMX-BNOWGMLFSA-N 0 0 433.444 -0.882 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1ncn2c1nnn(C)c2=O ZINC001152745334 1073128235 /nfs/dbraw/zinc/12/82/35/1073128235.db2.gz RQUCCKXRKOFOFB-LLVKDONJSA-N 0 0 435.441 -0.552 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1ncn2c1nnn(C)c2=O ZINC001152745336 1073128239 /nfs/dbraw/zinc/12/82/39/1073128239.db2.gz RQUCCKXRKOFOFB-NSHDSACASA-N 0 0 435.441 -0.552 20 0 IBADRN CN1C[C@H](COCC2CC2)[C@H]2CCN(C(=O)c3ncn4c3nnn(C)c4=O)CC[C@H]2C1=O ZINC001152745511 1073128185 /nfs/dbraw/zinc/12/81/85/1073128185.db2.gz SYKIPEDRXDXXTC-BZUAXINKSA-N 0 0 443.508 -0.194 20 0 IBADRN Cn1nnc2c(C(=O)N3C[C@@H]4COC[C@]4(C(=O)NCCc4cccs4)C3)ncn2c1=O ZINC001152745700 1073128193 /nfs/dbraw/zinc/12/81/93/1073128193.db2.gz VSWGKZAKTKGUJN-CWTRNNRKSA-N 0 0 443.489 -0.668 20 0 IBADRN Cn1nnc2c(C(=O)N3CC[C@H]4OCC[C@@]4(C(=O)NCc4ccccn4)C3)ncn2c1=O ZINC001152745733 1073128221 /nfs/dbraw/zinc/12/82/21/1073128221.db2.gz WJFMPUBZMFHHTN-JLTOFOAXSA-N 0 0 438.448 -0.844 20 0 IBADRN Cn1nnc2c(C(=O)N3CCC4(CC3)CN(Cc3cccnc3)C(=O)CO4)ncn2c1=O ZINC001152745909 1073128232 /nfs/dbraw/zinc/12/82/32/1073128232.db2.gz WKMUTXXGKDDRAG-UHFFFAOYSA-N 0 0 438.448 -0.748 20 0 IBADRN Cn1cnc2c1[C@H](COCc1cccnc1)N(C(=O)c1ncn3c1nnn(C)c3=O)CC2 ZINC001152745960 1073128161 /nfs/dbraw/zinc/12/81/61/1073128161.db2.gz XIWCZNSZRRDRHZ-HNNXBMFYSA-N 0 0 435.448 -0.092 20 0 IBADRN Cn1cnc2c1[C@@H](COCc1cccnc1)N(C(=O)c1ncn3c1nnn(C)c3=O)CC2 ZINC001152745961 1073128277 /nfs/dbraw/zinc/12/82/77/1073128277.db2.gz XIWCZNSZRRDRHZ-OAHLLOKOSA-N 0 0 435.448 -0.092 20 0 IBADRN Cn1nnc2c(C(=O)N3CC[C@@H]4[C@@H](CO[C@@H]4CC(=O)N4CCCCC4)C3)ncn2c1=O ZINC001152745969 1073128281 /nfs/dbraw/zinc/12/82/81/1073128281.db2.gz XPNRGFUSVCZZAA-RBSFLKMASA-N 0 0 429.481 -0.297 20 0 IBADRN Cn1nnc2c(C(=O)N3CC[C@@H]4CN(C(=O)c5ccco5)CCO[C@@H]4C3)ncn2c1=O ZINC001152745973 1073128857 /nfs/dbraw/zinc/12/88/57/1073128857.db2.gz XWQBXJVWYJNZAX-TZMCWYRMSA-N 0 0 427.421 -0.581 20 0 IBADRN Cn1nnc2c(C(=O)N(c3ccccn3)c3ccnc([C@H]4COCCN4)n3)ncn2c1=O ZINC001152746000 1073128765 /nfs/dbraw/zinc/12/87/65/1073128765.db2.gz YLZCHBGVZWBZHF-GFCCVEGCSA-N 0 0 434.420 -0.353 20 0 IBADRN Cn1nnc2c(C(=O)N(c3ccccn3)c3ccnc([C@@H]4COCCN4)n3)ncn2c1=O ZINC001152746001 1073128903 /nfs/dbraw/zinc/12/89/03/1073128903.db2.gz YLZCHBGVZWBZHF-LBPRGKRZSA-N 0 0 434.420 -0.353 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)[C@H](C)C1 ZINC001152746690 1073128960 /nfs/dbraw/zinc/12/89/60/1073128960.db2.gz WEYMOISXRQPLDE-PBHICJAKSA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)[C@H](C)C1 ZINC001152746692 1073128908 /nfs/dbraw/zinc/12/89/08/1073128908.db2.gz WEYMOISXRQPLDE-RHSMWYFYSA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)[C@@H](C)C1 ZINC001152746696 1073128864 /nfs/dbraw/zinc/12/88/64/1073128864.db2.gz WEYMOISXRQPLDE-WMLDXEAASA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)[C@@H](C)C1 ZINC001152746702 1073128935 /nfs/dbraw/zinc/12/89/35/1073128935.db2.gz WEYMOISXRQPLDE-YOEHRIQHSA-N 0 0 436.484 -0.176 20 0 IBADRN COc1ccc(N)c(N(C(=O)c2ncn3c2nnn(C)c3=O)C2COC(C)(C)OC2)n1 ZINC001152747427 1073128883 /nfs/dbraw/zinc/12/88/83/1073128883.db2.gz QZGOUAKGYKDADL-UHFFFAOYSA-N 0 0 430.425 -0.393 20 0 IBADRN Cn1nnc2c(C(=O)Nc3ncc(I)cc3C(N)=O)ncn2c1=O ZINC001152747436 1073128925 /nfs/dbraw/zinc/12/89/25/1073128925.db2.gz RLULLVRIFQCDCZ-UHFFFAOYSA-N 0 0 440.161 -0.826 20 0 IBADRN CCOC(=O)c1cnc2nc(SC)nn2c1NC(=O)c1ncn2c1nnn(C)c2=O ZINC001152747837 1073128849 /nfs/dbraw/zinc/12/88/49/1073128849.db2.gz XRJVDDDIKTTYHT-UHFFFAOYSA-N 0 0 430.410 -0.588 20 0 IBADRN Cn1nnc2c(C(=O)N3CCC4(CC3)C(=O)NCN4c3ccc(F)cc3)ncn2c1=O ZINC001152750811 1073128816 /nfs/dbraw/zinc/12/88/16/1073128816.db2.gz CNNKPJWEPHHIOY-UHFFFAOYSA-N 0 0 426.412 -0.469 20 0 IBADRN Cn1nnc2c(C(=O)N3C[C@H]4c5ccccc5N(S(C)(=O)=O)C[C@@H]4C3)ncn2c1=O ZINC001152750826 1074406920 /nfs/dbraw/zinc/40/69/20/1074406920.db2.gz CVZUWRKBKQDZNJ-WCQYABFASA-N 0 0 429.462 -0.542 20 0 IBADRN Cn1nnc2c(C(=O)N3CCO[C@]4(CCCN(C(=O)c5ccco5)C4)C3)ncn2c1=O ZINC001152750905 1073128805 /nfs/dbraw/zinc/12/88/05/1073128805.db2.gz FLGDGYBDMQCKPW-IBGZPJMESA-N 0 0 427.421 -0.437 20 0 IBADRN Cn1nnc2c(C(=O)N3CCO[C@@]4(CCCN(C(=O)c5ccco5)C4)C3)ncn2c1=O ZINC001152750910 1073128773 /nfs/dbraw/zinc/12/87/73/1073128773.db2.gz FLGDGYBDMQCKPW-LJQANCHMSA-N 0 0 427.421 -0.437 20 0 IBADRN Cn1nnc2c(C(=O)N3CC[C@]4(CC(=O)N(c5ccc6c(c5)OCO6)C4)C3)ncn2c1=O ZINC001152751049 1073128919 /nfs/dbraw/zinc/12/89/19/1073128919.db2.gz HXCUEQNAVHMRPW-FQEVSTJZSA-N 0 0 437.416 -0.179 20 0 IBADRN Cn1nnc2c(C(=O)N3CC[C@@]4(CC(=O)N(c5ccc6c(c5)OCO6)C4)C3)ncn2c1=O ZINC001152751050 1073128833 /nfs/dbraw/zinc/12/88/33/1073128833.db2.gz HXCUEQNAVHMRPW-HXUWFJFHSA-N 0 0 437.416 -0.179 20 0 IBADRN Cn1nnc2c(C(=O)N3CCC(F)(F)[C@@]4(CCN(c5ncccn5)C4)C3)ncn2c1=O ZINC001152751116 1073128844 /nfs/dbraw/zinc/12/88/44/1073128844.db2.gz KVSMLKCOGXQBKC-KRWDZBQOSA-N 0 0 431.407 -0.009 20 0 IBADRN Cn1nnc2c(C(=O)N3CCC(F)(F)[C@]4(CCN(c5ncccn5)C4)C3)ncn2c1=O ZINC001152751117 1073128977 /nfs/dbraw/zinc/12/89/77/1073128977.db2.gz KVSMLKCOGXQBKC-QGZVFWFLSA-N 0 0 431.407 -0.009 20 0 IBADRN CC(C)CNC(=O)c1nc2c(s1)CCN(C(=O)c1ncn3c1nnn(C)c3=O)CC2 ZINC001152751240 1073128951 /nfs/dbraw/zinc/12/89/51/1073128951.db2.gz LMXWDQMPQQQVRN-UHFFFAOYSA-N 0 0 430.494 -0.094 20 0 IBADRN CC(=O)N1[C@H](Cn2cccn2)C[C@@H]2CN(C(=O)c3ncn4c3nnn(C)c4=O)CC[C@@H]21 ZINC001152751243 1073128839 /nfs/dbraw/zinc/12/88/39/1073128839.db2.gz LPWSHRWTYMYQPX-ILXRZTDVSA-N 0 0 425.453 -0.829 20 0 IBADRN Cn1nnc2c(C(=O)n3c([C@H]4COCCN4)ncc/c3=N\Cc3ccco3)ncn2c1=O ZINC001152751280 1073128896 /nfs/dbraw/zinc/12/88/96/1073128896.db2.gz NDOYFPVVUYNUAB-JPHFOSBYSA-N 0 0 437.420 -0.937 20 0 IBADRN Cn1nnc2c(C(=O)n3c([C@@H]4COCCN4)ncc/c3=N\Cc3ccco3)ncn2c1=O ZINC001152751281 1073129494 /nfs/dbraw/zinc/12/94/94/1073129494.db2.gz NDOYFPVVUYNUAB-MDUHSQENSA-N 0 0 437.420 -0.937 20 0 IBADRN Cn1nnc2c(C(=O)N3CC(O)([C@H]4CCCCN4C(=O)OC(C)(C)C)C3)ncn2c1=O ZINC001152751299 1073129545 /nfs/dbraw/zinc/12/95/45/1073129545.db2.gz NPEBGALFESFJAC-GFCCVEGCSA-N 0 0 433.469 -0.201 20 0 IBADRN Cn1nnc2c(C(=O)N3CC(O)([C@@H]4CCCCN4C(=O)OC(C)(C)C)C3)ncn2c1=O ZINC001152751300 1073129483 /nfs/dbraw/zinc/12/94/83/1073129483.db2.gz NPEBGALFESFJAC-LBPRGKRZSA-N 0 0 433.469 -0.201 20 0 IBADRN CN(Cc1ccccn1)C(=O)[C@@H]1C[C@@H]2[C@@H](CCN2C(=O)c2ncn3c2nnn(C)c3=O)O1 ZINC001152751464 1073129535 /nfs/dbraw/zinc/12/95/35/1073129535.db2.gz QDVXWQJTXMKFOH-KFWWJZLASA-N 0 0 438.448 -0.751 20 0 IBADRN CN1CC(=O)N(c2cccc(F)c2)C[C@@]12CCN(C(=O)c1ncn3c1nnn(C)c3=O)C2 ZINC001152751487 1073129539 /nfs/dbraw/zinc/12/95/39/1073129539.db2.gz QQLDJRFUDPWILI-FQEVSTJZSA-N 0 0 440.439 -0.475 20 0 IBADRN CN1CC(=O)N(c2cccc(F)c2)C[C@]12CCN(C(=O)c1ncn3c1nnn(C)c3=O)C2 ZINC001152751488 1073129523 /nfs/dbraw/zinc/12/95/23/1073129523.db2.gz QQLDJRFUDPWILI-HXUWFJFHSA-N 0 0 440.439 -0.475 20 0 IBADRN Cn1nnc2c(C(=O)N3CC[C@H]4OC[C@@H](C(=O)N5CCCCO5)C[C@H]4C3)ncn2c1=O ZINC001152751492 1073129564 /nfs/dbraw/zinc/12/95/64/1073129564.db2.gz QUFIWAIJFULXBC-MELADBBJSA-N 0 0 431.453 -0.756 20 0 IBADRN CN([C@@H]1CCCN(C(=O)c2ncn3c2nnn(C)c3=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001152751749 1073129559 /nfs/dbraw/zinc/12/95/59/1073129559.db2.gz TVEXOOQALBKWLS-CYBMUJFWSA-N 0 0 449.468 -0.113 20 0 IBADRN CN([C@H]1CCCN(C(=O)c2ncn3c2nnn(C)c3=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001152751750 1073129530 /nfs/dbraw/zinc/12/95/30/1073129530.db2.gz TVEXOOQALBKWLS-ZDUSSCGKSA-N 0 0 449.468 -0.113 20 0 IBADRN Cc1nc(CN2CCO[C@@H]3CN(C(=O)c4ncn5c4nnn(C)c5=O)CC[C@@H]3C2)cs1 ZINC001152751776 1073129571 /nfs/dbraw/zinc/12/95/71/1073129571.db2.gz VDJCOKYFMRFOAJ-UKRRQHHQSA-N 0 0 444.521 -0.049 20 0 IBADRN Cn1nnc2c(C(=O)N3CCO[C@H]4[C@H](OCCCn5cccn5)CC[C@@H]43)ncn2c1=O ZINC001152751784 1073129566 /nfs/dbraw/zinc/12/95/66/1073129566.db2.gz VKNWBCSCYADVBP-SQWLQELKSA-N 0 0 428.453 -0.502 20 0 IBADRN Cn1nnc2c(C(=O)N3Cc4nccn4C[C@H](COCc4cccnc4)C3)ncn2c1=O ZINC001152751812 1073129507 /nfs/dbraw/zinc/12/95/07/1073129507.db2.gz WBIKUILXHXPNGT-HNNXBMFYSA-N 0 0 435.448 -0.097 20 0 IBADRN Cn1nnc2c(C(=O)N3Cc4nccn4C[C@@H](COCc4cccnc4)C3)ncn2c1=O ZINC001152751814 1073129500 /nfs/dbraw/zinc/12/95/00/1073129500.db2.gz WBIKUILXHXPNGT-OAHLLOKOSA-N 0 0 435.448 -0.097 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)c3ncn4c3nnn(C)c4=O)CC1)C(=O)N2 ZINC001152751816 1073129472 /nfs/dbraw/zinc/12/94/72/1073129472.db2.gz WDJJPMFYBNZRRJ-UHFFFAOYSA-N 0 0 436.432 -0.691 20 0 IBADRN CCN(CC)C(=O)c1cccnc1N1CCN(C(=O)c2ncn3c2nnn(C)c3=O)CC1 ZINC001152752007 1073129597 /nfs/dbraw/zinc/12/95/97/1073129597.db2.gz ZJBYGGPYQZXWKS-UHFFFAOYSA-N 0 0 439.480 -0.338 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NC[C@@H]2COC3(CCOCC3)O2)c1 ZINC001152752514 1073129581 /nfs/dbraw/zinc/12/95/81/1073129581.db2.gz CSUZYSSBUHBUDJ-CYBMUJFWSA-N 0 0 448.519 -0.593 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NC[C@H]2COC3(CCOCC3)O2)c1 ZINC001152752515 1073129605 /nfs/dbraw/zinc/12/96/05/1073129605.db2.gz CSUZYSSBUHBUDJ-ZDUSSCGKSA-N 0 0 448.519 -0.593 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CCN1S(C)(=O)=O ZINC001152752725 1073129513 /nfs/dbraw/zinc/12/95/13/1073129513.db2.gz IGYVHCYYYDTNER-JLJPHGGASA-N 0 0 427.498 -0.178 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CCN1S(C)(=O)=O ZINC001152752726 1073129552 /nfs/dbraw/zinc/12/95/52/1073129552.db2.gz IGYVHCYYYDTNER-LXZKKBNFSA-N 0 0 427.498 -0.178 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CCN1S(C)(=O)=O ZINC001152752727 1073129593 /nfs/dbraw/zinc/12/95/93/1073129593.db2.gz IGYVHCYYYDTNER-QRTARXTBSA-N 0 0 427.498 -0.178 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CCN1S(C)(=O)=O ZINC001152752728 1073130128 /nfs/dbraw/zinc/13/01/28/1073130128.db2.gz IGYVHCYYYDTNER-YSVLISHTSA-N 0 0 427.498 -0.178 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CCN(C(=O)c3ncn4c3nnn(C)c4=O)CC2)cc1 ZINC001152753593 1073130086 /nfs/dbraw/zinc/13/00/86/1073130086.db2.gz BJXVRECISUFEIR-UHFFFAOYSA-N 0 0 447.477 -0.585 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)c2ncn3c2nnn(C)c3=O)cc1 ZINC001152753767 1073130166 /nfs/dbraw/zinc/13/01/66/1073130166.db2.gz FPWJYSLWCLLNGL-UHFFFAOYSA-N 0 0 439.480 -0.715 20 0 IBADRN COC(=O)C[C@H]1CN(Cc2ccccc2)CCN1C(=O)c1ncn2c1nnn(C)c2=O ZINC001152754089 1073130140 /nfs/dbraw/zinc/13/01/40/1073130140.db2.gz JWXGPDXCSKIZGV-HNNXBMFYSA-N 0 0 425.449 -0.287 20 0 IBADRN COC(=O)C[C@@H]1CN(Cc2ccccc2)CCN1C(=O)c1ncn2c1nnn(C)c2=O ZINC001152754090 1073130078 /nfs/dbraw/zinc/13/00/78/1073130078.db2.gz JWXGPDXCSKIZGV-OAHLLOKOSA-N 0 0 425.449 -0.287 20 0 IBADRN CCOC(=O)CN(CCCNC(=O)OC(C)(C)C)C(=O)c1ncn2c1nnn(C)c2=O ZINC001152754124 1073130133 /nfs/dbraw/zinc/13/01/33/1073130133.db2.gz LZYSKCZMLBMKMW-UHFFFAOYSA-N 0 0 437.457 -0.257 20 0 IBADRN Cn1nnc2c(C(=O)NC[C@H]3OC[C@@H]4CCN(C(=O)OC(C)(C)C)C[C@@H]43)ncn2c1=O ZINC001152754233 1073130151 /nfs/dbraw/zinc/13/01/51/1073130151.db2.gz BGHQLOXSGKLJAK-RWMBFGLXSA-N 0 0 433.469 -0.175 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)c3ncn4c3nnn(C)c4=O)cc2)C[C@H](C)O1 ZINC001152754379 1073130111 /nfs/dbraw/zinc/13/01/11/1073130111.db2.gz PYKQERDIFPEHIK-RYUDHWBXSA-N 0 0 447.477 -0.127 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)c3ncn4c3nnn(C)c4=O)cc2)C[C@H](C)O1 ZINC001152754381 1073130160 /nfs/dbraw/zinc/13/01/60/1073130160.db2.gz PYKQERDIFPEHIK-TXEJJXNPSA-N 0 0 447.477 -0.127 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)c3ncn4c3nnn(C)c4=O)cc2)C[C@@H](C)O1 ZINC001152754383 1073130096 /nfs/dbraw/zinc/13/00/96/1073130096.db2.gz PYKQERDIFPEHIK-VXGBXAGGSA-N 0 0 447.477 -0.127 20 0 IBADRN Cn1nnc2c(C(=O)N(c3nccs3)S(=O)(=O)c3ccc(N)cc3)ncn2c1=O ZINC001152754782 1073130067 /nfs/dbraw/zinc/13/00/67/1073130067.db2.gz TZPXBZPMATXPHC-UHFFFAOYSA-N 0 0 432.447 -0.103 20 0 IBADRN COC(=O)C1(NC(=O)c2ncn3c2nnn(C)c3=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001152754953 1073130145 /nfs/dbraw/zinc/13/01/45/1073130145.db2.gz IXZZHFMELUYRQO-UHFFFAOYSA-N 0 0 435.441 -0.505 20 0 IBADRN Cn1nnc2c(C(=O)N(c3ccccn3)S(=O)(=O)c3ccc(N)cc3)ncn2c1=O ZINC001152755175 1073130102 /nfs/dbraw/zinc/13/01/02/1073130102.db2.gz YKYHOLIQOPWEOR-UHFFFAOYSA-N 0 0 426.418 -0.164 20 0 IBADRN Cn1nnc2c(C(=O)N[C@H]3CCc4c(ccc(=O)n4CCn4cccn4)C3)ncn2c1=O ZINC001152755556 1073130108 /nfs/dbraw/zinc/13/01/08/1073130108.db2.gz MACFNGLVDPWYKJ-AWEZNQCLSA-N 0 0 435.448 -0.831 20 0 IBADRN Cn1nnc2c(C(=O)N[C@@H]3CCc4c(ccc(=O)n4CCn4cccn4)C3)ncn2c1=O ZINC001152755557 1073130193 /nfs/dbraw/zinc/13/01/93/1073130193.db2.gz MACFNGLVDPWYKJ-CQSZACIVSA-N 0 0 435.448 -0.831 20 0 IBADRN COc1cc(N2CCN(C(C)=O)CC2)ccc1NC(=O)c1ncn2c1nnn(C)c2=O ZINC001152755807 1073130211 /nfs/dbraw/zinc/13/02/11/1073130211.db2.gz NTSWIODZNGNSQQ-UHFFFAOYSA-N 0 0 426.437 -0.248 20 0 IBADRN Cn1nnc2c(C(=O)NCc3nnc4n3CCCN(C(=O)OC(C)(C)C)C4)ncn2c1=O ZINC001152755913 1073130114 /nfs/dbraw/zinc/13/01/14/1073130114.db2.gz PYGWUDGOODYQGW-UHFFFAOYSA-N 0 0 444.456 -0.515 20 0 IBADRN Cn1nnc2c(C(=O)NC[C@@H]3Cn4nnc(-c5cccc(F)c5)c4CO3)ncn2c1=O ZINC001152755985 1073130679 /nfs/dbraw/zinc/13/06/79/1073130679.db2.gz RYTPNKJODXXGQC-GFCCVEGCSA-N 0 0 425.384 -0.451 20 0 IBADRN Cn1nnc2c(C(=O)NC[C@H]3Cn4nnc(-c5cccc(F)c5)c4CO3)ncn2c1=O ZINC001152755986 1073130722 /nfs/dbraw/zinc/13/07/22/1073130722.db2.gz RYTPNKJODXXGQC-LBPRGKRZSA-N 0 0 425.384 -0.451 20 0 IBADRN Cn1nnc2c(C(=O)NCC[C@@H]3CCOC34CN(C(=O)OC(C)(C)C)C4)ncn2c1=O ZINC001152756792 1073130669 /nfs/dbraw/zinc/13/06/69/1073130669.db2.gz XLPYJLXCMNOIJQ-GFCCVEGCSA-N 0 0 433.469 -0.031 20 0 IBADRN Cn1nnc2c(C(=O)NCC[C@H]3CCOC34CN(C(=O)OC(C)(C)C)C4)ncn2c1=O ZINC001152756793 1073130793 /nfs/dbraw/zinc/13/07/93/1073130793.db2.gz XLPYJLXCMNOIJQ-LBPRGKRZSA-N 0 0 433.469 -0.031 20 0 IBADRN Cn1nnc2c(C(=O)NCCOCCOCCNC(=O)OC(C)(C)C)ncn2c1=O ZINC001152759274 1073130659 /nfs/dbraw/zinc/13/06/59/1073130659.db2.gz HJTRTGHDMHEMOS-UHFFFAOYSA-N 0 0 425.446 -0.889 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1ncn2c1nnn(C)c2=O)c1c[nH]c2ccc(OC)cc21 ZINC001152759530 1073130776 /nfs/dbraw/zinc/13/07/76/1073130776.db2.gz JQAMDXSSZOJFCA-CYBMUJFWSA-N 0 0 425.405 -0.001 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1ncn2c1nnn(C)c2=O)c1c[nH]c2ccc(OC)cc21 ZINC001152759531 1073130738 /nfs/dbraw/zinc/13/07/38/1073130738.db2.gz JQAMDXSSZOJFCA-ZDUSSCGKSA-N 0 0 425.405 -0.001 20 0 IBADRN CC(N)=CC(=O)OCCCCOC(=O)C=C(C)NC(=O)c1ncn2c1nnn(C)c2=O ZINC001152760416 1073130729 /nfs/dbraw/zinc/13/07/29/1073130729.db2.gz YHVXUWCKDRPVFC-QAHSQZNUSA-N 0 0 433.425 -0.814 20 0 IBADRN Cn1nnc2c(C(=O)N(CC[NH3+])c3cccc4c3cccc4S(=O)(=O)[O-])ncn2c1=O ZINC001152760432 1073130712 /nfs/dbraw/zinc/13/07/12/1073130712.db2.gz YOTMLXCMTSGZGE-UHFFFAOYSA-N 0 0 443.445 -0.172 20 0 IBADRN COc1ccc(CN2C[C@@H](NC(=O)c3ncn4c3nnn(C)c4=O)CC2=O)c(OC)c1 ZINC001152761605 1073130765 /nfs/dbraw/zinc/13/07/65/1073130765.db2.gz GPRZOEVNCUVAID-LBPRGKRZSA-N 0 0 427.421 -0.629 20 0 IBADRN COC(=O)[C@H](Cc1ccc(Br)cn1)NC(=O)c1ncn2c1nnn(C)c2=O ZINC001152762230 1073130782 /nfs/dbraw/zinc/13/07/82/1073130782.db2.gz PWAQLAKYHRULOM-JTQLQIEISA-N 0 0 436.226 -0.505 20 0 IBADRN COc1cc(/C=C/C(=O)NCCCCNC(=O)c2ncn3c2nnn(C)c3=O)ccc1O ZINC001152762241 1073130789 /nfs/dbraw/zinc/13/07/89/1073130789.db2.gz QJAMKANDWIDDAK-SOFGYWHQSA-N 0 0 441.448 -0.123 20 0 IBADRN COc1cc(/C=C\C(=O)NCCCCNC(=O)c2ncn3c2nnn(C)c3=O)ccc1O ZINC001152762242 1073130756 /nfs/dbraw/zinc/13/07/56/1073130756.db2.gz QJAMKANDWIDDAK-VURMDHGXSA-N 0 0 441.448 -0.123 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)[C@H](CC(C)C)NC(=O)c1ncn2c1nnn(C)c2=O ZINC001152763116 1073130648 /nfs/dbraw/zinc/13/06/48/1073130648.db2.gz YTOHUWZSSLPAOS-STQMWFEESA-N 0 0 435.485 -0.329 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(c1)CNC2=O)C(=O)NCC(N)=O ZINC001152770172 1073130606 /nfs/dbraw/zinc/13/06/06/1073130606.db2.gz BMVZQQVNZPYJFU-IRXDYDNUSA-N 0 0 443.504 -0.333 20 0 IBADRN O=C(c1ccc2c(c1)CNC2=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152770355 1073130803 /nfs/dbraw/zinc/13/08/03/1073130803.db2.gz MRMTWAATJBBZRO-KZNAEPCWSA-N 0 0 447.513 -0.336 20 0 IBADRN O=C(c1ccc2c(c1)CNC2=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152770356 1073130630 /nfs/dbraw/zinc/13/06/30/1073130630.db2.gz MRMTWAATJBBZRO-RCCFBDPRSA-N 0 0 447.513 -0.336 20 0 IBADRN COC(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C[C@@H]1C ZINC001152771959 1073130689 /nfs/dbraw/zinc/13/06/89/1073130689.db2.gz MSRRHAHVNAEISQ-HNNXBMFYSA-N 0 0 442.538 -0.245 20 0 IBADRN COC(=O)CCN1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C[C@H]1C ZINC001152771960 1073131509 /nfs/dbraw/zinc/13/15/09/1073131509.db2.gz MSRRHAHVNAEISQ-OAHLLOKOSA-N 0 0 442.538 -0.245 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001152775088 1073131490 /nfs/dbraw/zinc/13/14/90/1073131490.db2.gz NHWNEKUNCYRHFR-HNNXBMFYSA-N 0 0 446.533 -0.371 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001152775092 1073131541 /nfs/dbraw/zinc/13/15/41/1073131541.db2.gz NHWNEKUNCYRHFR-OAHLLOKOSA-N 0 0 446.533 -0.371 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC001152781548 1073131586 /nfs/dbraw/zinc/13/15/86/1073131586.db2.gz CSEZXJQJGHHYNM-FMKPAKJESA-N 0 0 428.511 -0.821 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC001152781550 1073131619 /nfs/dbraw/zinc/13/16/19/1073131619.db2.gz CSEZXJQJGHHYNM-IIAWOOMASA-N 0 0 428.511 -0.821 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC001152781552 1073131474 /nfs/dbraw/zinc/13/14/74/1073131474.db2.gz CSEZXJQJGHHYNM-LZWOXQAQSA-N 0 0 428.511 -0.821 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@H](NS(=O)(=O)c1ccc(C)cc1)[C@H](C)O ZINC001152781555 1073131610 /nfs/dbraw/zinc/13/16/10/1073131610.db2.gz CSEZXJQJGHHYNM-SQWLQELKSA-N 0 0 428.511 -0.821 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001152781626 1073131644 /nfs/dbraw/zinc/13/16/44/1073131644.db2.gz DXJGRMASNZNWFZ-GFCCVEGCSA-N 0 0 449.327 -0.116 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001152781627 1073131450 /nfs/dbraw/zinc/13/14/50/1073131450.db2.gz DXJGRMASNZNWFZ-LBPRGKRZSA-N 0 0 449.327 -0.116 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC001152781964 1073131595 /nfs/dbraw/zinc/13/15/95/1073131595.db2.gz GTVASXIVTXKDLC-HNNXBMFYSA-N 0 0 442.542 -0.416 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)n[nH]c2C)CC1 ZINC001152781965 1073131637 /nfs/dbraw/zinc/13/16/37/1073131637.db2.gz GTVASXIVTXKDLC-OAHLLOKOSA-N 0 0 442.542 -0.416 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001152782646 1073131661 /nfs/dbraw/zinc/13/16/61/1073131661.db2.gz OHJSODDSZJCAQO-GFCCVEGCSA-N 0 0 431.540 -0.478 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001152782650 1073131396 /nfs/dbraw/zinc/13/13/96/1073131396.db2.gz OHJSODDSZJCAQO-LBPRGKRZSA-N 0 0 431.540 -0.478 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC001152783004 1073131627 /nfs/dbraw/zinc/13/16/27/1073131627.db2.gz SYUOHQJNKJWUEA-HNNXBMFYSA-N 0 0 426.495 -0.286 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC001152783105 1073131674 /nfs/dbraw/zinc/13/16/74/1073131674.db2.gz SYUOHQJNKJWUEA-OAHLLOKOSA-N 0 0 426.495 -0.286 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001152783139 1073131665 /nfs/dbraw/zinc/13/16/65/1073131665.db2.gz UMSUUDKMUUPRNC-CVEARBPZSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001152783140 1073131467 /nfs/dbraw/zinc/13/14/67/1073131467.db2.gz UMSUUDKMUUPRNC-HOTGVXAUSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001152783141 1073131442 /nfs/dbraw/zinc/13/14/42/1073131442.db2.gz UMSUUDKMUUPRNC-HZPDHXFCSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001152783142 1073131653 /nfs/dbraw/zinc/13/16/53/1073131653.db2.gz UMSUUDKMUUPRNC-JKSUJKDBSA-N 0 0 440.522 -0.086 20 0 IBADRN COC(=O)C1(O)CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001152812341 1073132012 /nfs/dbraw/zinc/13/20/12/1073132012.db2.gz LHWWUVHGYXJUBR-UHFFFAOYSA-N 0 0 439.490 -0.954 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC(O)(C(=O)OC)C2)cc1 ZINC001152814634 1073132037 /nfs/dbraw/zinc/13/20/37/1073132037.db2.gz WTPHJOPSGJKAPP-UHFFFAOYSA-N 0 0 430.479 -0.670 20 0 IBADRN COC(=O)C1(O)CN(C(=O)c2ccc(I)cc2-n2cnnn2)C1 ZINC001152815109 1073132029 /nfs/dbraw/zinc/13/20/29/1073132029.db2.gz ZOJKRLCYXKPREP-UHFFFAOYSA-N 0 0 429.174 -0.373 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC[C@@H]4OCCNC(=O)[C@@H]43)CC2=O)c1 ZINC001152823865 1073132004 /nfs/dbraw/zinc/13/20/04/1073132004.db2.gz SLFHJMGAKRAACT-ISTRZQFTSA-N 0 0 436.490 -0.807 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC[C@@H]4OCCNC(=O)[C@H]43)CC2=O)c1 ZINC001152823866 1073132021 /nfs/dbraw/zinc/13/20/21/1073132021.db2.gz SLFHJMGAKRAACT-PVUWLOKVSA-N 0 0 436.490 -0.807 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC[C@H]4OCCNC(=O)[C@@H]43)CC2=O)c1 ZINC001152823867 1073132049 /nfs/dbraw/zinc/13/20/49/1073132049.db2.gz SLFHJMGAKRAACT-SRCQZFHVSA-N 0 0 436.490 -0.807 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC[C@H]4OCCNC(=O)[C@H]43)CC2=O)c1 ZINC001152823868 1073132054 /nfs/dbraw/zinc/13/20/54/1073132054.db2.gz SLFHJMGAKRAACT-VMGRFDJRSA-N 0 0 436.490 -0.807 20 0 IBADRN O=C(c1ccc2ncnn2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152853528 1073132789 /nfs/dbraw/zinc/13/27/89/1073132789.db2.gz KCGXTWDJAVWDFR-ARFHVFGLSA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1ccc2ncnn2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152853529 1073132773 /nfs/dbraw/zinc/13/27/73/1073132773.db2.gz KCGXTWDJAVWDFR-BZUAXINKSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc2ncnn2c1)C(=O)NCC(N)=O ZINC001152855893 1073132811 /nfs/dbraw/zinc/13/28/11/1073132811.db2.gz WENUEOGVCWXDQM-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2ccc3ncnn3c2)cc1Cl ZINC001152856411 1073132795 /nfs/dbraw/zinc/13/27/95/1073132795.db2.gz FPBUFPZBOFUVNU-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1ccc2ncnn2c1 ZINC001152861291 1073132820 /nfs/dbraw/zinc/13/28/20/1073132820.db2.gz JQLNASWWVWDHDQ-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1ccc2ncnn2c1 ZINC001152861292 1073132823 /nfs/dbraw/zinc/13/28/23/1073132823.db2.gz JQLNASWWVWDHDQ-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1ccc2ncnn2c1 ZINC001152861454 1073132763 /nfs/dbraw/zinc/13/27/63/1073132763.db2.gz JQLNASWWVWDHDQ-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1ccc2ncnn2c1 ZINC001152861455 1073132681 /nfs/dbraw/zinc/13/26/81/1073132681.db2.gz JQLNASWWVWDHDQ-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN NS(=O)(=O)c1cccc2c1CCN(C(=O)[C@H]1CC(=O)N([C@H]3CCS(=O)(=O)C3)C1)C2 ZINC001152871639 1073132750 /nfs/dbraw/zinc/13/27/50/1073132750.db2.gz XROYKHVGJRAXMP-KBPBESRZSA-N 0 0 441.531 -0.746 20 0 IBADRN NS(=O)(=O)c1cccc2c1CCN(C(=O)[C@@H]1CC(=O)N([C@H]3CCS(=O)(=O)C3)C1)C2 ZINC001152871640 1073132828 /nfs/dbraw/zinc/13/28/28/1073132828.db2.gz XROYKHVGJRAXMP-KGLIPLIRSA-N 0 0 441.531 -0.746 20 0 IBADRN NS(=O)(=O)c1cccc2c1CCN(C(=O)[C@H]1CC(=O)N([C@@H]3CCS(=O)(=O)C3)C1)C2 ZINC001152871641 1073132741 /nfs/dbraw/zinc/13/27/41/1073132741.db2.gz XROYKHVGJRAXMP-UONOGXRCSA-N 0 0 441.531 -0.746 20 0 IBADRN NS(=O)(=O)c1cccc2c1CCN(C(=O)[C@@H]1CC(=O)N([C@@H]3CCS(=O)(=O)C3)C1)C2 ZINC001152871642 1073132767 /nfs/dbraw/zinc/13/27/67/1073132767.db2.gz XROYKHVGJRAXMP-ZIAGYGMSSA-N 0 0 441.531 -0.746 20 0 IBADRN O=C(c1cccc2ncnn21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152883821 1073132758 /nfs/dbraw/zinc/13/27/58/1073132758.db2.gz OXQAEMWJGITHFL-IIAWOOMASA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cccc2ncnn21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152883822 1073132804 /nfs/dbraw/zinc/13/28/04/1073132804.db2.gz OXQAEMWJGITHFL-SQWLQELKSA-N 0 0 433.490 -0.537 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cccc3ncnn32)cc1Cl ZINC001152885438 1073132783 /nfs/dbraw/zinc/13/27/83/1073132783.db2.gz FKIXGTXYYOXDKC-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)[C@H]2CCS(=O)(=O)N2)C1 ZINC001152886349 1073132754 /nfs/dbraw/zinc/13/27/54/1073132754.db2.gz BQPUGICMWOVTRT-DGAVXFQQSA-N 0 0 444.510 -0.281 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)[C@@H]2CCS(=O)(=O)N2)C1 ZINC001152886350 1073132714 /nfs/dbraw/zinc/13/27/14/1073132714.db2.gz BQPUGICMWOVTRT-FQUUOJAGSA-N 0 0 444.510 -0.281 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)[C@@H]2CCS(=O)(=O)N2)C1 ZINC001152886351 1073132701 /nfs/dbraw/zinc/13/27/01/1073132701.db2.gz BQPUGICMWOVTRT-RQJABVFESA-N 0 0 444.510 -0.281 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)[C@H]2CCS(=O)(=O)N2)C1 ZINC001152886352 1073132720 /nfs/dbraw/zinc/13/27/20/1073132720.db2.gz BQPUGICMWOVTRT-SYQHCUMBSA-N 0 0 444.510 -0.281 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CC[C@@H](N2C(=O)O[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1 ZINC001152886553 1073133191 /nfs/dbraw/zinc/13/31/91/1073133191.db2.gz DSTKQFKTQJEFSX-MCIONIFRSA-N 0 0 446.526 -0.033 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CC[C@H](N2C(=O)O[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1 ZINC001152886554 1073133264 /nfs/dbraw/zinc/13/32/64/1073133264.db2.gz DSTKQFKTQJEFSX-MJBXVCDLSA-N 0 0 446.526 -0.033 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CC[C@@H](N2C(=O)O[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1 ZINC001152886947 1073133276 /nfs/dbraw/zinc/13/32/76/1073133276.db2.gz KGGPHLVBCMMICM-UPJWGTAASA-N 0 0 447.514 -0.919 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CC[C@H](N2C(=O)O[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1 ZINC001152886948 1073133320 /nfs/dbraw/zinc/13/33/20/1073133320.db2.gz KGGPHLVBCMMICM-XQQFMLRXSA-N 0 0 447.514 -0.919 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)C[C@@H]2NC(=O)NC2=O)C1 ZINC001152888202 1073133246 /nfs/dbraw/zinc/13/32/46/1073133246.db2.gz QUOVTSRSGRPUKX-RVMXOQNASA-N 0 0 437.453 -0.375 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)C[C@@H]2NC(=O)NC2=O)C1 ZINC001152888203 1073133260 /nfs/dbraw/zinc/13/32/60/1073133260.db2.gz QUOVTSRSGRPUKX-XQHKEYJVSA-N 0 0 437.453 -0.375 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cccc2ncnn21)C(=O)NCC(N)=O ZINC001152889682 1073133339 /nfs/dbraw/zinc/13/33/39/1073133339.db2.gz YRTMQKYBRMUPQA-KBPBESRZSA-N 0 0 429.481 -0.534 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cccc2ncnn21 ZINC001152891901 1073133206 /nfs/dbraw/zinc/13/32/06/1073133206.db2.gz ZJIWCGVPGMBMAC-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cccc2ncnn21 ZINC001152891902 1073133348 /nfs/dbraw/zinc/13/33/48/1073133348.db2.gz ZJIWCGVPGMBMAC-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cccc2ncnn21 ZINC001152891903 1073133308 /nfs/dbraw/zinc/13/33/08/1073133308.db2.gz ZJIWCGVPGMBMAC-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cccc2ncnn21 ZINC001152891904 1073133315 /nfs/dbraw/zinc/13/33/15/1073133315.db2.gz ZJIWCGVPGMBMAC-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(c1c[nH]c2ncncc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152902004 1073133301 /nfs/dbraw/zinc/13/33/01/1073133301.db2.gz SXORXLJWVQWDDV-APHBMKBZSA-N 0 0 433.490 -0.356 20 0 IBADRN O=C(c1c[nH]c2ncncc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152902005 1073133285 /nfs/dbraw/zinc/13/32/85/1073133285.db2.gz SXORXLJWVQWDDV-DAXOMENPSA-N 0 0 433.490 -0.356 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1c[nH]c2ncncc12)C(=O)NCC(N)=O ZINC001152902935 1073133333 /nfs/dbraw/zinc/13/33/33/1073133333.db2.gz RQWHWXFFLMMISO-GJZGRUSLSA-N 0 0 429.481 -0.354 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)[C@@H]3CCOC3)c2)CC1 ZINC001152904265 1073133270 /nfs/dbraw/zinc/13/32/70/1073133270.db2.gz MMPNLNRYFZHDAZ-AEFFLSMTSA-N 0 0 425.507 -0.333 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)[C@H]3CCOC3)c2)CC1 ZINC001152904266 1073133296 /nfs/dbraw/zinc/13/32/96/1073133296.db2.gz MMPNLNRYFZHDAZ-FUHWJXTLSA-N 0 0 425.507 -0.333 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)[C@@H]3CCOC3)c2)CC1 ZINC001152904267 1073133266 /nfs/dbraw/zinc/13/32/66/1073133266.db2.gz MMPNLNRYFZHDAZ-SJLPKXTDSA-N 0 0 425.507 -0.333 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)[C@H]3CCOC3)c2)CC1 ZINC001152904268 1073133218 /nfs/dbraw/zinc/13/32/18/1073133218.db2.gz MMPNLNRYFZHDAZ-WMZOPIPTSA-N 0 0 425.507 -0.333 20 0 IBADRN Cn1c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc2c1ncnc2Cl ZINC001152930543 1073133325 /nfs/dbraw/zinc/13/33/25/1073133325.db2.gz VYMFWASDANYDGU-UBEDBUPSSA-N 0 0 435.828 -0.948 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCc2nnc(C3CC3)n2C)s1 ZINC001152961804 1073133830 /nfs/dbraw/zinc/13/38/30/1073133830.db2.gz VNKKBALLTOHITC-UHFFFAOYSA-N 0 0 426.524 -0.015 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc3c(ncnc3Cl)s2)c(=O)n1 ZINC001152966673 1073133819 /nfs/dbraw/zinc/13/38/19/1073133819.db2.gz VGMWKVCEPNPTBW-YPKZDWGUSA-N 0 0 438.853 -0.225 20 0 IBADRN O=C(c1cc2cncnc2[nH]1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001152990909 1073133792 /nfs/dbraw/zinc/13/37/92/1073133792.db2.gz DGCVESKIUBDRKS-IIAWOOMASA-N 0 0 433.490 -0.356 20 0 IBADRN O=C(c1cc2cncnc2[nH]1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001152990910 1073133907 /nfs/dbraw/zinc/13/39/07/1073133907.db2.gz DGCVESKIUBDRKS-SQWLQELKSA-N 0 0 433.490 -0.356 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cc2cncnc2[nH]1)C(=O)NCC(N)=O ZINC001152991530 1073133880 /nfs/dbraw/zinc/13/38/80/1073133880.db2.gz PCNDHLJDBKVPPV-ZFWWWQNUSA-N 0 0 429.481 -0.354 20 0 IBADRN COCCn1cc(CNC(=O)c2ccc(S(=O)(=O)N(C)OC)cc2)c(=O)[nH]c1=O ZINC001153019031 1073134389 /nfs/dbraw/zinc/13/43/89/1073134389.db2.gz BVMWRBHGJDHTIK-UHFFFAOYSA-N 0 0 426.451 -0.293 20 0 IBADRN COCCn1cc(CNC(=O)c2ccc(CCNS(C)(=O)=O)s2)c(=O)[nH]c1=O ZINC001153019561 1073134493 /nfs/dbraw/zinc/13/44/93/1073134493.db2.gz MHVNIHDNPUUTTF-UHFFFAOYSA-N 0 0 430.508 -0.322 20 0 IBADRN COCCn1cc(CNC(=O)c2cn(C)nc2I)c(=O)[nH]c1=O ZINC001153026460 1073134476 /nfs/dbraw/zinc/13/44/76/1073134476.db2.gz FPLGWZXPYKJORY-UHFFFAOYSA-N 0 0 433.206 -0.137 20 0 IBADRN COCCn1cc(CNC(=O)[C@@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)c(=O)[nH]c1=O ZINC001153026727 1073134399 /nfs/dbraw/zinc/13/43/99/1073134399.db2.gz OXRCIZSDFMYKTL-AWEZNQCLSA-N 0 0 438.481 -0.208 20 0 IBADRN COCCn1cc(CNC(=O)[C@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)c(=O)[nH]c1=O ZINC001153026728 1073134407 /nfs/dbraw/zinc/13/44/07/1073134407.db2.gz OXRCIZSDFMYKTL-CQSZACIVSA-N 0 0 438.481 -0.208 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC(O)(c3ccnn3C)C2)c1 ZINC001153048734 1073134469 /nfs/dbraw/zinc/13/44/69/1073134469.db2.gz LCVUWMDXOITQFM-UHFFFAOYSA-N 0 0 449.533 -0.090 20 0 IBADRN O=C(c1cnc2ccnn2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001153052452 1073134414 /nfs/dbraw/zinc/13/44/14/1073134414.db2.gz HVQPMMPKPDQGGT-ARFHVFGLSA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cnc2ccnn2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001153052456 1073134458 /nfs/dbraw/zinc/13/44/58/1073134458.db2.gz HVQPMMPKPDQGGT-BZUAXINKSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnc2ccnn2c1)C(=O)NCC(N)=O ZINC001153054087 1073134364 /nfs/dbraw/zinc/13/43/64/1073134364.db2.gz SNNIHRBGCNXEBP-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cnc3ccnn3c2)cc1Cl ZINC001153054972 1073134461 /nfs/dbraw/zinc/13/44/61/1073134461.db2.gz BFGXQMVWEHESAJ-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cnc2ccnn2c1 ZINC001153056620 1073134436 /nfs/dbraw/zinc/13/44/36/1073134436.db2.gz PJSBDTGJAQGQRM-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cnc2ccnn2c1 ZINC001153056621 1073134480 /nfs/dbraw/zinc/13/44/80/1073134480.db2.gz PJSBDTGJAQGQRM-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cnc2ccnn2c1 ZINC001153056622 1073134372 /nfs/dbraw/zinc/13/43/72/1073134372.db2.gz PJSBDTGJAQGQRM-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cnc2ccnn2c1 ZINC001153056623 1073134464 /nfs/dbraw/zinc/13/44/64/1073134464.db2.gz PJSBDTGJAQGQRM-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc3cc(Br)ccn3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001153094611 1073134347 /nfs/dbraw/zinc/13/43/47/1073134347.db2.gz HMJAJCDBEHOBJL-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CC(O)(c4cnnn4C)C3)CC2=O)cc1OC ZINC001153119209 1073135021 /nfs/dbraw/zinc/13/50/21/1073135021.db2.gz BGRUHAFJTWIQMS-HNNXBMFYSA-N 0 0 429.477 -0.047 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CC(O)(c4cnnn4C)C3)CC2=O)cc1OC ZINC001153119210 1073134898 /nfs/dbraw/zinc/13/48/98/1073134898.db2.gz BGRUHAFJTWIQMS-OAHLLOKOSA-N 0 0 429.477 -0.047 20 0 IBADRN Cn1nncc1C1(O)CN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001153119848 1073135006 /nfs/dbraw/zinc/13/50/06/1073135006.db2.gz UBZRECQFDHZDKT-UHFFFAOYSA-N 0 0 436.313 -0.353 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cn3c(cccc3Br)n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001153124727 1073134994 /nfs/dbraw/zinc/13/49/94/1073134994.db2.gz QHTQIWAHDMHPHK-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN Cn1nncc1C1(O)CN(C(=O)C2([S@@](C)=O)CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001153125727 1073134941 /nfs/dbraw/zinc/13/49/41/1073134941.db2.gz HCVBSXOTYQXLNW-GDLZYMKVSA-N 0 0 427.527 -0.007 20 0 IBADRN Cn1nncc1C1(O)CN(C(=O)C2([S@](C)=O)CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001153125728 1073135038 /nfs/dbraw/zinc/13/50/38/1073135038.db2.gz HCVBSXOTYQXLNW-LJAQVGFWSA-N 0 0 427.527 -0.007 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@@H](n3nncc3I)C2)c(=O)n(C)c1=O ZINC001153136319 1073135001 /nfs/dbraw/zinc/13/50/01/1073135001.db2.gz STHLQNWRXSKNGS-SECBINFHSA-N 0 0 444.233 -0.243 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@H](n3nncc3I)C2)c(=O)n(C)c1=O ZINC001153136320 1073134928 /nfs/dbraw/zinc/13/49/28/1073134928.db2.gz STHLQNWRXSKNGS-VIFPVBQESA-N 0 0 444.233 -0.243 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc3ccc(Br)cn3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001153145183 1073134986 /nfs/dbraw/zinc/13/49/86/1073134986.db2.gz ONFIIAUDYIABFE-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN O=C(c1[nH]nc2cccnc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001153150761 1073134966 /nfs/dbraw/zinc/13/49/66/1073134966.db2.gz NOADUNZEZLZXSO-GZBFAFLISA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1[nH]nc2cccnc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001153150762 1073134846 /nfs/dbraw/zinc/13/48/46/1073134846.db2.gz NOADUNZEZLZXSO-UMVBOHGHSA-N 0 0 433.490 -0.308 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1[nH]nc2cccnc21)C(=O)NCC(N)=O ZINC001153152077 1073135027 /nfs/dbraw/zinc/13/50/27/1073135027.db2.gz ZIBRMPZHMKBUHS-KBPBESRZSA-N 0 0 429.481 -0.305 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnc3c(Br)cnn3c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001153189606 1073134881 /nfs/dbraw/zinc/13/48/81/1073134881.db2.gz CHXOLFZXJBUOOS-KHSLOMCASA-N 0 0 431.243 -0.934 20 0 IBADRN O=C(c1c[nH]c2nccnc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001153195001 1073134816 /nfs/dbraw/zinc/13/48/16/1073134816.db2.gz KBBGEXQCGUTDRQ-BPLDGKMQSA-N 0 0 433.490 -0.356 20 0 IBADRN O=C(c1c[nH]c2nccnc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001153195006 1073134925 /nfs/dbraw/zinc/13/49/25/1073134925.db2.gz KBBGEXQCGUTDRQ-NWANDNLSSA-N 0 0 433.490 -0.356 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1c[nH]c2nccnc12)C(=O)NCC(N)=O ZINC001153195998 1073134973 /nfs/dbraw/zinc/13/49/73/1073134973.db2.gz YYLWBBMFNMRPOS-KBPBESRZSA-N 0 0 429.481 -0.354 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]3CCNC(=O)[C@@H]32)s1 ZINC001153208004 1073134959 /nfs/dbraw/zinc/13/49/59/1073134959.db2.gz GLUYXPGTYOHQBW-BLLLJJGKSA-N 0 0 428.536 -0.210 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]3CCNC(=O)[C@H]32)s1 ZINC001153208007 1073135042 /nfs/dbraw/zinc/13/50/42/1073135042.db2.gz GLUYXPGTYOHQBW-LRDDRELGSA-N 0 0 428.536 -0.210 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]3CCNC(=O)[C@@H]32)s1 ZINC001153208010 1073135577 /nfs/dbraw/zinc/13/55/77/1073135577.db2.gz GLUYXPGTYOHQBW-MLGOLLRUSA-N 0 0 428.536 -0.210 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]3CCNC(=O)[C@H]32)s1 ZINC001153208012 1073135590 /nfs/dbraw/zinc/13/55/90/1073135590.db2.gz GLUYXPGTYOHQBW-WBMJQRKESA-N 0 0 428.536 -0.210 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCC[C@@H]3CCNC(=O)[C@H]32)c1 ZINC001153208891 1073135567 /nfs/dbraw/zinc/13/55/67/1073135567.db2.gz PIAWTVJIVQZEGS-BMIGLBTASA-N 0 0 428.898 -0.156 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCC[C@H]3CCNC(=O)[C@H]32)c1 ZINC001153208892 1073135580 /nfs/dbraw/zinc/13/55/80/1073135580.db2.gz PIAWTVJIVQZEGS-BONVTDFDSA-N 0 0 428.898 -0.156 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCC[C@@H]3CCNC(=O)[C@@H]32)c1 ZINC001153208893 1073135583 /nfs/dbraw/zinc/13/55/83/1073135583.db2.gz PIAWTVJIVQZEGS-MEBBXXQBSA-N 0 0 428.898 -0.156 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCC[C@H]3CCNC(=O)[C@@H]32)c1 ZINC001153208894 1073135581 /nfs/dbraw/zinc/13/55/81/1073135581.db2.gz PIAWTVJIVQZEGS-ZUZCIYMTSA-N 0 0 428.898 -0.156 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc2ccc(Cl)nn21 ZINC001153220793 1073135495 /nfs/dbraw/zinc/13/54/95/1073135495.db2.gz YTQOHMRHDIPPMS-RYUDHWBXSA-N 0 0 430.856 -0.077 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cccn3c(Br)cnc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001153236712 1073135522 /nfs/dbraw/zinc/13/55/22/1073135522.db2.gz ITDFROATGXRCTC-LRMZEGNFSA-N 0 0 430.255 -0.329 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCC3(S(N)(=O)=O)CC3)CC2)cc1 ZINC001153240381 1073135615 /nfs/dbraw/zinc/13/56/15/1073135615.db2.gz FVHVRKFARHZPPF-UHFFFAOYSA-N 0 0 430.552 -0.761 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cc2c[nH]nc2cn1)C(=O)NCC(N)=O ZINC001153258281 1073135600 /nfs/dbraw/zinc/13/56/00/1073135600.db2.gz AKCGMHYYLQYWQG-BBRMVZONSA-N 0 0 429.481 -0.305 20 0 IBADRN O=C(c1cc2c[nH]nc2cn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001153258482 1073135563 /nfs/dbraw/zinc/13/55/63/1073135563.db2.gz ZUFMSCNMSXGAEQ-CKEIUWERSA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1cc2c[nH]nc2cn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001153258483 1073135613 /nfs/dbraw/zinc/13/56/13/1073135613.db2.gz ZUFMSCNMSXGAEQ-JJRVBVJISA-N 0 0 433.490 -0.308 20 0 IBADRN COC(=O)[C@@]1(O)CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1 ZINC001153270549 1073135533 /nfs/dbraw/zinc/13/55/33/1073135533.db2.gz VTIATKMLKASSQO-GOSISDBHSA-N 0 0 428.463 -0.534 20 0 IBADRN COC(=O)[C@]1(O)CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)C1 ZINC001153270550 1073135607 /nfs/dbraw/zinc/13/56/07/1073135607.db2.gz VTIATKMLKASSQO-SFHVURJKSA-N 0 0 428.463 -0.534 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CC[C@]3(C2)CN(Cc2ccccc2)C(=O)CO3)c1 ZINC001153293006 1073135611 /nfs/dbraw/zinc/13/56/11/1073135611.db2.gz XGOHNJTVAXIRJN-FQEVSTJZSA-N 0 0 447.517 -0.272 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2CC[C@@]3(C2)CN(Cc2ccccc2)C(=O)CO3)c1 ZINC001153293007 1073135559 /nfs/dbraw/zinc/13/55/59/1073135559.db2.gz XGOHNJTVAXIRJN-HXUWFJFHSA-N 0 0 447.517 -0.272 20 0 IBADRN COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001153299171 1073135584 /nfs/dbraw/zinc/13/55/84/1073135584.db2.gz ITWMWFZSEBUKEW-INIZCTEOSA-N 0 0 441.525 -0.100 20 0 IBADRN COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001153299172 1073135570 /nfs/dbraw/zinc/13/55/70/1073135570.db2.gz ITWMWFZSEBUKEW-MRXNPFEDSA-N 0 0 441.525 -0.100 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001153300835 1073136195 /nfs/dbraw/zinc/13/61/95/1073136195.db2.gz FHMGIJAMTINEFC-AWEZNQCLSA-N 0 0 425.507 -0.503 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001153300836 1073136217 /nfs/dbraw/zinc/13/62/17/1073136217.db2.gz FHMGIJAMTINEFC-CQSZACIVSA-N 0 0 425.507 -0.503 20 0 IBADRN O=C(c1cccc2c1CC(=O)N2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001153322801 1073136223 /nfs/dbraw/zinc/13/62/23/1073136223.db2.gz HQJFGRSIPXGKTE-QGPMSJSTSA-N 0 0 447.513 -0.085 20 0 IBADRN O=C(c1cccc2c1CC(=O)N2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001153322802 1073136213 /nfs/dbraw/zinc/13/62/13/1073136213.db2.gz HQJFGRSIPXGKTE-YXJHDRRASA-N 0 0 447.513 -0.085 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cccc2c1CC(=O)N2)C(=O)NCC(N)=O ZINC001153327592 1073136202 /nfs/dbraw/zinc/13/62/02/1073136202.db2.gz KPIGZYDBWLVUMY-IRXDYDNUSA-N 0 0 443.504 -0.082 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2ccc3c(c2)OCC3)[C@H]1O ZINC001153361273 1073136210 /nfs/dbraw/zinc/13/62/10/1073136210.db2.gz GJOOUZDYYOLINL-BONWFUQQSA-N 0 0 440.460 -0.124 20 0 IBADRN CC(=O)N1C[C@@H]2CN(C(=O)c3cc(S(=O)(=O)NCC(N)=O)ccc3Cl)C[C@@H]2C1 ZINC001153365063 1073136261 /nfs/dbraw/zinc/13/62/61/1073136261.db2.gz HFAPIZIORAKVIU-TXEJJXNPSA-N 0 0 428.898 -0.346 20 0 IBADRN COc1cc2cc(C(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)[nH]c2c(OC)c1OC ZINC001153392632 1073136189 /nfs/dbraw/zinc/13/61/89/1073136189.db2.gz UNFFAVQFUJAQFL-NEKQDAQQSA-N 0 0 440.449 -0.232 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cn2c(n1)CCCC2)C(=O)NCC(N)=O ZINC001153402393 1073136180 /nfs/dbraw/zinc/13/61/80/1073136180.db2.gz FNCVQQNMBALXCW-HOCLYGCPSA-N 0 0 432.525 -0.044 20 0 IBADRN O=C(c1cn2c(n1)CCCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001153403585 1073136252 /nfs/dbraw/zinc/13/62/52/1073136252.db2.gz RKYSJHYOWNVOQB-BFYDXBDKSA-N 0 0 436.534 -0.047 20 0 IBADRN O=C(c1cn2c(n1)CCCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001153403589 1073136235 /nfs/dbraw/zinc/13/62/35/1073136235.db2.gz RKYSJHYOWNVOQB-ZMSDIMECSA-N 0 0 436.534 -0.047 20 0 IBADRN COc1ccc(C[C@@](C)(NC(C)=O)C(=O)N2CCN(S(N)(=O)=O)CC2)cc1OC ZINC001153413093 1073136652 /nfs/dbraw/zinc/13/66/52/1073136652.db2.gz KZSFTRWRQVHXMA-GOSISDBHSA-N 0 0 428.511 -0.511 20 0 IBADRN CO[C@@H]1C[C@H](C(=O)N2CCN(S(N)(=O)=O)CC2)N(C(=O)OCc2ccccc2)C1 ZINC001153413204 1073136751 /nfs/dbraw/zinc/13/67/51/1073136751.db2.gz PEIFFCMRCDUKOM-HZPDHXFCSA-N 0 0 426.495 -0.240 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Cn2nc(Br)c(Br)n2)CC1 ZINC001153413241 1073136665 /nfs/dbraw/zinc/13/66/65/1073136665.db2.gz RFAARWLMQWNNLX-UHFFFAOYSA-N 0 0 432.098 -0.849 20 0 IBADRN C[C@@H]1Cn2nc(C(=O)N3CCN(S(N)(=O)=O)CC3)cc2CN1C(=O)OC(C)(C)C ZINC001153413322 1073136629 /nfs/dbraw/zinc/13/66/29/1073136629.db2.gz VNEHCAHWHSKZBJ-GFCCVEGCSA-N 0 0 428.515 -0.016 20 0 IBADRN C[C@H]1Cn2nc(C(=O)N3CCN(S(N)(=O)=O)CC3)cc2CN1C(=O)OC(C)(C)C ZINC001153413323 1073136662 /nfs/dbraw/zinc/13/66/62/1073136662.db2.gz VNEHCAHWHSKZBJ-LBPRGKRZSA-N 0 0 428.515 -0.016 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC001153422681 1073136689 /nfs/dbraw/zinc/13/66/89/1073136689.db2.gz RNWZZICVJBHYBA-CYBMUJFWSA-N 0 0 433.552 -0.253 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC001153422682 1073136762 /nfs/dbraw/zinc/13/67/62/1073136762.db2.gz RNWZZICVJBHYBA-ZDUSSCGKSA-N 0 0 433.552 -0.253 20 0 IBADRN O=C(CN1CCCCS1(=O)=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1O ZINC001153448448 1073136729 /nfs/dbraw/zinc/13/67/29/1073136729.db2.gz XQCUJHFAQLIJDX-UHFFFAOYSA-N 0 0 433.508 -0.223 20 0 IBADRN COC(=O)[C@@H]1CC(F)(F)CN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001153483802 1073136641 /nfs/dbraw/zinc/13/66/41/1073136641.db2.gz UEUAYNFVQJGMCD-LBPRGKRZSA-N 0 0 440.446 -0.222 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001153516341 1073136758 /nfs/dbraw/zinc/13/67/58/1073136758.db2.gz HCKWQBAWTDAWFT-CHWSQXEVSA-N 0 0 435.568 -0.611 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001153516342 1073136604 /nfs/dbraw/zinc/13/66/04/1073136604.db2.gz HCKWQBAWTDAWFT-OLZOCXBDSA-N 0 0 435.568 -0.611 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001153516343 1073136719 /nfs/dbraw/zinc/13/67/19/1073136719.db2.gz HCKWQBAWTDAWFT-QWHCGFSZSA-N 0 0 435.568 -0.611 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001153516344 1073136724 /nfs/dbraw/zinc/13/67/24/1073136724.db2.gz HCKWQBAWTDAWFT-STQMWFEESA-N 0 0 435.568 -0.611 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N1CCN(c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC001153569272 1073136740 /nfs/dbraw/zinc/13/67/40/1073136740.db2.gz LMYMBICBPNDPQZ-UHFFFAOYSA-N 0 0 432.568 -0.037 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H](NS(C)(=O)=O)C(C)C)CC2)cc1 ZINC001153569458 1073137409 /nfs/dbraw/zinc/13/74/09/1073137409.db2.gz RVXDKZOVEZGNCH-INIZCTEOSA-N 0 0 432.568 -0.183 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)cc1 ZINC001153569526 1073137412 /nfs/dbraw/zinc/13/74/12/1073137412.db2.gz UWLSQHQPEWHYSS-AWEZNQCLSA-N 0 0 445.567 -0.841 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)cc1 ZINC001153569527 1073137402 /nfs/dbraw/zinc/13/74/02/1073137402.db2.gz UWLSQHQPEWHYSS-CQSZACIVSA-N 0 0 445.567 -0.841 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(O)(c4ncnn4C)C3)cc2)C[C@H](C)O1 ZINC001153624718 1073137331 /nfs/dbraw/zinc/13/73/31/1073137331.db2.gz ADWVTADJOCIWHC-KBPBESRZSA-N 0 0 435.506 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(O)(c4ncnn4C)C3)cc2)C[C@H](C)O1 ZINC001153624719 1073137398 /nfs/dbraw/zinc/13/73/98/1073137398.db2.gz ADWVTADJOCIWHC-OKILXGFUSA-N 0 0 435.506 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(O)(c4ncnn4C)C3)cc2)C[C@@H](C)O1 ZINC001153624720 1073137359 /nfs/dbraw/zinc/13/73/59/1073137359.db2.gz ADWVTADJOCIWHC-ZIAGYGMSSA-N 0 0 435.506 -0.043 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)C1 ZINC001153624859 1073137318 /nfs/dbraw/zinc/13/73/18/1073137318.db2.gz CNOYYIBFUPANOM-UHFFFAOYSA-N 0 0 441.897 -0.167 20 0 IBADRN CCc1ccc(C(=O)N2CC(O)(c3ncnn3C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001153625252 1073137417 /nfs/dbraw/zinc/13/74/17/1073137417.db2.gz IZCTUKFJVFXKDT-UHFFFAOYSA-N 0 0 435.506 -0.258 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001153625278 1073137366 /nfs/dbraw/zinc/13/73/66/1073137366.db2.gz JTFVRWRLMSCVFE-UHFFFAOYSA-N 0 0 425.442 -0.681 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC(O)(c4ncnn4C)C3)c2)CC1 ZINC001153625373 1073137372 /nfs/dbraw/zinc/13/73/72/1073137372.db2.gz ODXIBFCJPIDNOB-UHFFFAOYSA-N 0 0 448.505 -0.989 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC001153625517 1073137305 /nfs/dbraw/zinc/13/73/05/1073137305.db2.gz VKKNNONGSVUAHV-UHFFFAOYSA-N 0 0 430.284 -0.414 20 0 IBADRN CN(CC(=O)N1CC(O)(c2ncnn2C)C1)S(=O)(=O)c1ccc(Br)cc1 ZINC001153625570 1073137388 /nfs/dbraw/zinc/13/73/88/1073137388.db2.gz XYBXLMHFJAGUFZ-UHFFFAOYSA-N 0 0 444.311 -0.072 20 0 IBADRN Cc1ccc2oc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cc(=O)c2c1 ZINC001153657114 1073137426 /nfs/dbraw/zinc/13/74/26/1073137426.db2.gz GZJLQMKECZKVOF-KLICCBINSA-N 0 0 428.401 -0.468 20 0 IBADRN CCn1nc(C(=O)N2CC[C@@]3(C2)CNC(=O)CN3C(C)=O)c(=O)c2cc3c(cc21)OCO3 ZINC001153663391 1073137444 /nfs/dbraw/zinc/13/74/44/1073137444.db2.gz CLTSLRKUJQWQFD-NRFANRHFSA-N 0 0 441.444 -0.292 20 0 IBADRN CCn1nc(C(=O)N2CC[C@]3(C2)CNC(=O)CN3C(C)=O)c(=O)c2cc3c(cc21)OCO3 ZINC001153663392 1073137385 /nfs/dbraw/zinc/13/73/85/1073137385.db2.gz CLTSLRKUJQWQFD-OAQYLSRUSA-N 0 0 441.444 -0.292 20 0 IBADRN CCn1nc(C(=O)N2CCN(C(C)=O)[C@H](C(=O)OC)C2)c(=O)c2cc3c(cc21)OCO3 ZINC001153663910 1073137323 /nfs/dbraw/zinc/13/73/23/1073137323.db2.gz IAGVGWONBDCJIJ-AWEZNQCLSA-N 0 0 430.417 -0.009 20 0 IBADRN CCn1nc(C(=O)N2CCN(C(C)=O)[C@@H](C(=O)OC)C2)c(=O)c2cc3c(cc21)OCO3 ZINC001153663911 1073137380 /nfs/dbraw/zinc/13/73/80/1073137380.db2.gz IAGVGWONBDCJIJ-CQSZACIVSA-N 0 0 430.417 -0.009 20 0 IBADRN CCn1nc(C(=O)NCCCN2CCS(=O)(=O)CC2)c(=O)c2cc3c(cc21)OCO3 ZINC001153670262 1073137336 /nfs/dbraw/zinc/13/73/36/1073137336.db2.gz AXYYYZWDYZZDMS-UHFFFAOYSA-N 0 0 436.490 -0.005 20 0 IBADRN CCn1nc(C(=O)NCCOCCN2C(=O)C=CC2=O)c(=O)c2cc3c(cc21)OCO3 ZINC001153670529 1073137437 /nfs/dbraw/zinc/13/74/37/1073137437.db2.gz LFLJKHBJEGMKRH-UHFFFAOYSA-N 0 0 428.401 -0.184 20 0 IBADRN COC(=O)[C@@H]1[C@H](CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1(F)F ZINC001153700817 1073137909 /nfs/dbraw/zinc/13/79/09/1073137909.db2.gz IDBRTJYEKRANMI-AAEUAGOBSA-N 0 0 440.446 -0.461 20 0 IBADRN O=C(c1cnc2[nH]cnc2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001153725988 1073138005 /nfs/dbraw/zinc/13/80/05/1073138005.db2.gz CWHYMQLUIIDAFF-IIAWOOMASA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1cnc2[nH]cnc2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001153725989 1073137927 /nfs/dbraw/zinc/13/79/27/1073137927.db2.gz CWHYMQLUIIDAFF-SQWLQELKSA-N 0 0 433.490 -0.308 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnc2[nH]cnc2c1)C(=O)NCC(N)=O ZINC001153731273 1073137979 /nfs/dbraw/zinc/13/79/79/1073137979.db2.gz GJAJWSZBXSPSPI-GJZGRUSLSA-N 0 0 429.481 -0.305 20 0 IBADRN O=C(c1ccc2nc[nH]c2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001153785468 1073138010 /nfs/dbraw/zinc/13/80/10/1073138010.db2.gz JYEKQUWLGPDCQS-WOSRLPQWSA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1ccc2nc[nH]c2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001153785469 1073137966 /nfs/dbraw/zinc/13/79/66/1073137966.db2.gz JYEKQUWLGPDCQS-XJKCOSOUSA-N 0 0 433.490 -0.308 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc2nc[nH]c2n1)C(=O)NCC(N)=O ZINC001153786442 1073138040 /nfs/dbraw/zinc/13/80/40/1073138040.db2.gz UQMFZBJFVCRREN-GJZGRUSLSA-N 0 0 429.481 -0.305 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@@H](CCO)C2CCOCC2)c1 ZINC001153792098 1073138021 /nfs/dbraw/zinc/13/80/21/1073138021.db2.gz GMEGNPQURHDJLK-INIZCTEOSA-N 0 0 434.536 -0.338 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@H](CCO)C2CCOCC2)c1 ZINC001153792100 1073137994 /nfs/dbraw/zinc/13/79/94/1073137994.db2.gz GMEGNPQURHDJLK-MRXNPFEDSA-N 0 0 434.536 -0.338 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2)nn1 ZINC001153792899 1073137855 /nfs/dbraw/zinc/13/78/55/1073137855.db2.gz QUKYZDQHRWQZSP-BMIGLBTASA-N 0 0 429.886 -0.293 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2)nn1 ZINC001153792900 1073138059 /nfs/dbraw/zinc/13/80/59/1073138059.db2.gz QUKYZDQHRWQZSP-BONVTDFDSA-N 0 0 429.886 -0.293 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2)nn1 ZINC001153792901 1073137937 /nfs/dbraw/zinc/13/79/37/1073137937.db2.gz QUKYZDQHRWQZSP-MEBBXXQBSA-N 0 0 429.886 -0.293 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2)nn1 ZINC001153792902 1073138061 /nfs/dbraw/zinc/13/80/61/1073138061.db2.gz QUKYZDQHRWQZSP-ZUZCIYMTSA-N 0 0 429.886 -0.293 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccc3c(c1)[nH]c(=O)[nH]c3=O)C2 ZINC001153795951 1073137941 /nfs/dbraw/zinc/13/79/41/1073137941.db2.gz KSEKAHCNAXUBQJ-UHFFFAOYSA-N 0 0 427.421 -0.107 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc2c(c1)[nH]c(=O)[nH]c2=O ZINC001153800701 1073137884 /nfs/dbraw/zinc/13/78/84/1073137884.db2.gz DRWUXCPOLDTCID-GJZGRUSLSA-N 0 0 439.432 -0.419 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc4c(c3)[nH]c(=O)[nH]c4=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001153800994 1073138027 /nfs/dbraw/zinc/13/80/27/1073138027.db2.gz UVNDUQHDQAHYFY-BFHYXJOUSA-N 0 0 429.389 -0.310 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001153812334 1073138066 /nfs/dbraw/zinc/13/80/66/1073138066.db2.gz VKFLJEBHEUETMV-ZDUSSCGKSA-N 0 0 444.311 -0.076 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N[C@@H](CO)CC1OCCO1)n2C ZINC001153827677 1073137874 /nfs/dbraw/zinc/13/78/74/1073137874.db2.gz GJHFLNYXNXKXIW-CYBMUJFWSA-N 0 0 440.522 -0.004 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N[C@H](CO)CC1OCCO1)n2C ZINC001153827678 1073138016 /nfs/dbraw/zinc/13/80/16/1073138016.db2.gz GJHFLNYXNXKXIW-ZDUSSCGKSA-N 0 0 440.522 -0.004 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@@H]1Cc3cccnc3NC1=O)c2=O ZINC001153847078 1073138674 /nfs/dbraw/zinc/13/86/74/1073138674.db2.gz BODRAKRLLQEIAI-SECBINFHSA-N 0 0 432.238 -0.033 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@H]1Cc3cccnc3NC1=O)c2=O ZINC001153847092 1073138690 /nfs/dbraw/zinc/13/86/90/1073138690.db2.gz BODRAKRLLQEIAI-VIFPVBQESA-N 0 0 432.238 -0.033 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cc4n(n2)CCO4)CC3)C1=O ZINC001153883859 1073138517 /nfs/dbraw/zinc/13/85/17/1073138517.db2.gz FNZDCGLQAOYSNB-IBGZPJMESA-N 0 0 437.522 -0.378 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cc4n(n2)CCO4)CC3)C1=O ZINC001153883860 1073138613 /nfs/dbraw/zinc/13/86/13/1073138613.db2.gz FNZDCGLQAOYSNB-LJQANCHMSA-N 0 0 437.522 -0.378 20 0 IBADRN O=C(c1cc2n(n1)CCO2)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001153883880 1073138530 /nfs/dbraw/zinc/13/85/30/1073138530.db2.gz GFHBFAPESODRKE-ALKREAHSSA-N 0 0 431.474 -0.132 20 0 IBADRN O=C(c1cc2n(n1)CCO2)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001153884060 1073138537 /nfs/dbraw/zinc/13/85/37/1073138537.db2.gz JTVHLTYLUZEECU-DZGCQCFKSA-N 0 0 446.489 -0.561 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)c2cc3n(n2)CCO3)CC1 ZINC001153890306 1073138693 /nfs/dbraw/zinc/13/86/93/1073138693.db2.gz ZXIPTJBDZMXORO-UHFFFAOYSA-N 0 0 429.499 -0.112 20 0 IBADRN COc1cc2oc(C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)cc2cc1Br ZINC001153917006 1073138548 /nfs/dbraw/zinc/13/85/48/1073138548.db2.gz GEGQIWLAONROQC-PAURTPPISA-N 0 0 432.223 -0.266 20 0 IBADRN COc1cc2oc(C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)cc2cc1Br ZINC001153917007 1073138702 /nfs/dbraw/zinc/13/87/02/1073138702.db2.gz GEGQIWLAONROQC-UTGUJQJDSA-N 0 0 432.223 -0.266 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001153919858 1073138617 /nfs/dbraw/zinc/13/86/17/1073138617.db2.gz GAFLJRKFSYAPMM-INIZCTEOSA-N 0 0 442.513 -0.023 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001153919861 1073138686 /nfs/dbraw/zinc/13/86/86/1073138686.db2.gz GAFLJRKFSYAPMM-MRXNPFEDSA-N 0 0 442.513 -0.023 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCN(C)CCS(C)(=O)=O)CC2)cn1C ZINC001153921753 1073138671 /nfs/dbraw/zinc/13/86/71/1073138671.db2.gz AXQOPKFWZDCMEK-UHFFFAOYSA-N 0 0 449.599 -0.778 20 0 IBADRN CN(CCNC(=O)c1ccc(S(N)(=O)=O)c(Br)c1)CCS(C)(=O)=O ZINC001153921766 1073138579 /nfs/dbraw/zinc/13/85/79/1073138579.db2.gz BAMXHUGCZFMJCP-UHFFFAOYSA-N 0 0 442.357 -0.197 20 0 IBADRN CN1CCn2c(CNC(=O)CNS(=O)(=O)c3cccc(C(F)(F)F)c3)nnc2C1=O ZINC001153931517 1073138664 /nfs/dbraw/zinc/13/86/64/1073138664.db2.gz BYHJDPPJUXRTNQ-UHFFFAOYSA-N 0 0 446.411 -0.023 20 0 IBADRN CN1CCn2c(CNC(=O)c3ccc(S(=O)(=O)NC[C@@H]4CCCO4)cc3)nnc2C1=O ZINC001153931804 1073138563 /nfs/dbraw/zinc/13/85/63/1073138563.db2.gz IOGBVYKRRSWMQR-AWEZNQCLSA-N 0 0 448.505 -0.249 20 0 IBADRN CN1CCn2c(CNC(=O)c3ccc(S(=O)(=O)NC[C@H]4CCCO4)cc3)nnc2C1=O ZINC001153931805 1073138628 /nfs/dbraw/zinc/13/86/28/1073138628.db2.gz IOGBVYKRRSWMQR-CQSZACIVSA-N 0 0 448.505 -0.249 20 0 IBADRN CN1CCn2c(CNC(=O)[C@@H]3C[C@@H](O)CN3C(=O)OCc3ccccc3)nnc2C1=O ZINC001153932034 1073139270 /nfs/dbraw/zinc/13/92/70/1073139270.db2.gz RQJDMDGPHNXKQS-CABCVRRESA-N 0 0 428.449 -0.248 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1nnc2n1CCN(C)C2=O ZINC001153932063 1073139179 /nfs/dbraw/zinc/13/91/79/1073139179.db2.gz SOYWUPRDBYIVOD-UHFFFAOYSA-N 0 0 448.505 -0.377 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCc2nnc3n2CCN(C)C3=O)cc1 ZINC001153939035 1073139221 /nfs/dbraw/zinc/13/92/21/1073139221.db2.gz FHOUJBGYFCTPTB-LBPRGKRZSA-N 0 0 436.494 -0.602 20 0 IBADRN CN(CC(=O)NCCc1nnc2n1CCN(C)C2=O)S(=O)(=O)c1ccc(Cl)cc1 ZINC001153939366 1073139195 /nfs/dbraw/zinc/13/91/95/1073139195.db2.gz MHDNGOHZKYAJJX-UHFFFAOYSA-N 0 0 440.913 -0.004 20 0 IBADRN CN1CCn2c(CCNC(=O)[C@]34COC[C@H]3CN(C(=O)OC(C)(C)C)C4)nnc2C1=O ZINC001153943781 1073139126 /nfs/dbraw/zinc/13/91/26/1073139126.db2.gz ANVRTWHANIEBSQ-ZUOKHONESA-N 0 0 434.497 -0.094 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)NCCc1nnc2n1CCN(C)C2=O ZINC001153943796 1073139233 /nfs/dbraw/zinc/13/92/33/1073139233.db2.gz AZKKVKKFQVJFPZ-UHFFFAOYSA-N 0 0 429.222 -0.028 20 0 IBADRN CN1CCn2c(CCNC(=O)[C@@](C)(O)Cn3cc(C(F)(F)F)ccc3=O)nnc2C1=O ZINC001153944202 1073139216 /nfs/dbraw/zinc/13/92/16/1073139216.db2.gz HRJQFGSEICJNNS-KRWDZBQOSA-N 0 0 442.398 -0.346 20 0 IBADRN CN1CCn2c(CCNC(=O)[C@](C)(O)Cn3cc(C(F)(F)F)ccc3=O)nnc2C1=O ZINC001153944244 1073139146 /nfs/dbraw/zinc/13/91/46/1073139146.db2.gz HRJQFGSEICJNNS-QGZVFWFLSA-N 0 0 442.398 -0.346 20 0 IBADRN CN1CCn2c(CCNC(=O)C3=NN(c4ccc(F)cc4)C(=O)CC3=O)nnc2C1=O ZINC001153944543 1073139238 /nfs/dbraw/zinc/13/92/38/1073139238.db2.gz KCEGTPZTSBAGJS-UHFFFAOYSA-N 0 0 427.396 -0.477 20 0 IBADRN CNC(=O)COc1ccc(C(=O)NC[C@]2(C(=O)OC)C[C@@H]2S(C)(=O)=O)cc1OC ZINC001153947629 1073139161 /nfs/dbraw/zinc/13/91/61/1073139161.db2.gz DPZCCUYOHKLEPO-KBXCAEBGSA-N 0 0 428.463 -0.474 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)NC[C@]2(C(=O)OC)C[C@@H]2S(C)(=O)=O)cc1 ZINC001153948562 1073139139 /nfs/dbraw/zinc/13/91/39/1073139139.db2.gz VYOOESLQNLJMFI-WMLDXEAASA-N 0 0 432.520 -0.380 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CNC(=O)c2ccc(OC)c(OC)c2)C[C@@H]1S(C)(=O)=O ZINC001153948731 1073139187 /nfs/dbraw/zinc/13/91/87/1073139187.db2.gz YNIAZOYWUZKSBY-KBXCAEBGSA-N 0 0 428.463 -0.474 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)C[C@@H]1S(C)(=O)=O ZINC001153948767 1073139105 /nfs/dbraw/zinc/13/91/05/1073139105.db2.gz ZCBVPHBGWJJINN-SUMWQHHRSA-N 0 0 443.503 -0.840 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CNS(=O)(=O)c2cc(C)ccc2C)C[C@@H]1S(C)(=O)=O ZINC001153948799 1073139170 /nfs/dbraw/zinc/13/91/70/1073139170.db2.gz ZTYDPYDUCHMANB-WMLDXEAASA-N 0 0 432.520 -0.326 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2cc(I)nn2C)C[C@@H]1S(C)(=O)=O ZINC001153953144 1073139262 /nfs/dbraw/zinc/13/92/62/1073139262.db2.gz AGFTVQPRWNNJLT-QPUJVOFHSA-N 0 0 441.247 -0.269 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)C[C@@H]1S(C)(=O)=O ZINC001153953233 1073139248 /nfs/dbraw/zinc/13/92/48/1073139248.db2.gz CKKOEFHPYMZSLB-SCLBCKFNSA-N 0 0 439.421 -0.420 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CN2Cc3c(cnn3-c3ccccc3)C2=O)C[C@@H]1S(C)(=O)=O ZINC001153953444 1073139837 /nfs/dbraw/zinc/13/98/37/1073139837.db2.gz FZTXKNTXLAVARO-OXJNMPFZSA-N 0 0 446.485 -0.079 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@H]2CCCN2S(=O)(=O)c2ccccc2)C[C@@H]1S(C)(=O)=O ZINC001153953451 1073139902 /nfs/dbraw/zinc/13/99/02/1073139902.db2.gz GHTRJFNNPCSILK-RVKKMQEKSA-N 0 0 444.531 -0.068 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CNS(=O)(=O)c2ccc(Cl)s2)C[C@@H]1S(C)(=O)=O ZINC001153953470 1073139854 /nfs/dbraw/zinc/13/98/54/1073139854.db2.gz HIRBOWYPYFRJOJ-ISVAXAHUSA-N 0 0 444.940 -0.228 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC001153964653 1073139697 /nfs/dbraw/zinc/13/96/97/1073139697.db2.gz AKNTZZRTWOQUSE-GOSISDBHSA-N 0 0 435.506 -0.046 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)C1 ZINC001153964654 1073139819 /nfs/dbraw/zinc/13/98/19/1073139819.db2.gz AKNTZZRTWOQUSE-SFHVURJKSA-N 0 0 435.506 -0.046 20 0 IBADRN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n2nccc2n1 ZINC001153982739 1073139850 /nfs/dbraw/zinc/13/98/50/1073139850.db2.gz KPQIYPUYPDMQSQ-BFYDXBDKSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n2nccc2n1 ZINC001153982748 1073139800 /nfs/dbraw/zinc/13/98/00/1073139800.db2.gz KPQIYPUYPDMQSQ-ZMSDIMECSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n2nccc2n1 ZINC001153983666 1073139892 /nfs/dbraw/zinc/13/98/92/1073139892.db2.gz PNBIKCDFXYMPEZ-GJZGRUSLSA-N 0 0 443.508 -0.225 20 0 IBADRN O=C(c1ccc(I)cn1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001153993998 1073139899 /nfs/dbraw/zinc/13/98/99/1073139899.db2.gz IRPSRDFAEKDFPA-UHFFFAOYSA-N 0 0 448.246 -0.049 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001153995597 1073139764 /nfs/dbraw/zinc/13/97/64/1073139764.db2.gz WEBIEVKRGQSBFK-UHFFFAOYSA-N 0 0 442.523 -0.490 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N(C)CCS(N)(=O)=O)cc1 ZINC001153995836 1073139884 /nfs/dbraw/zinc/13/98/84/1073139884.db2.gz AUXJIVQYHWRRRV-GDBMZVCRSA-N 0 0 433.552 -0.319 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)N(C)CCS(N)(=O)=O)cc1 ZINC001153995837 1073139883 /nfs/dbraw/zinc/13/98/83/1073139883.db2.gz AUXJIVQYHWRRRV-GOEBONIOSA-N 0 0 433.552 -0.319 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N(C)CCS(N)(=O)=O)cc1 ZINC001153995838 1073139784 /nfs/dbraw/zinc/13/97/84/1073139784.db2.gz AUXJIVQYHWRRRV-HOCLYGCPSA-N 0 0 433.552 -0.319 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N(C)CCS(N)(=O)=O)cc1 ZINC001153995839 1073139886 /nfs/dbraw/zinc/13/98/86/1073139886.db2.gz AUXJIVQYHWRRRV-ZBFHGGJFSA-N 0 0 433.552 -0.319 20 0 IBADRN CN(CCS(N)(=O)=O)C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001153996032 1073139890 /nfs/dbraw/zinc/13/98/90/1073139890.db2.gz FLCMHNPMYKMNBS-UHFFFAOYSA-N 0 0 446.551 -0.953 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc3nnc(C4CC4)n3c2)c(=O)n1 ZINC001153997825 1073139871 /nfs/dbraw/zinc/13/98/71/1073139871.db2.gz GTFNDMAXSTTXQR-XKLVTHTNSA-N 0 0 427.421 -0.963 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]23)CCO1 ZINC001153999546 1073139864 /nfs/dbraw/zinc/13/98/64/1073139864.db2.gz FYFXSLKUIKCESS-APIJFGDWSA-N 0 0 445.538 -0.370 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]23)CCO1 ZINC001153999547 1073139879 /nfs/dbraw/zinc/13/98/79/1073139879.db2.gz FYFXSLKUIKCESS-CBBWQLFWSA-N 0 0 445.538 -0.370 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@@H]32)CCO1 ZINC001153999548 1073139845 /nfs/dbraw/zinc/13/98/45/1073139845.db2.gz FYFXSLKUIKCESS-KBXIAJHMSA-N 0 0 445.538 -0.370 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)CCO1 ZINC001153999549 1073139825 /nfs/dbraw/zinc/13/98/25/1073139825.db2.gz FYFXSLKUIKCESS-QPSCCSFWSA-N 0 0 445.538 -0.370 20 0 IBADRN O=C(c1ccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1)N1CCOCC1 ZINC001153999644 1073140334 /nfs/dbraw/zinc/14/03/34/1073140334.db2.gz IDXYHLRXKDRFOG-UHFFFAOYSA-N 0 0 434.478 -0.576 20 0 IBADRN O=C(COc1ccc(Br)cn1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001154000003 1073140274 /nfs/dbraw/zinc/14/02/74/1073140274.db2.gz RGEQIZZEPXMBGG-UHFFFAOYSA-N 0 0 431.272 -0.126 20 0 IBADRN Cc1cc(C(=O)NCCN2CCNC(=O)C2)c(C)n1Cc1ccc(S(N)(=O)=O)cc1 ZINC001154007109 1073140453 /nfs/dbraw/zinc/14/04/53/1073140453.db2.gz LYODWXAAPBVAGV-UHFFFAOYSA-N 0 0 433.534 -0.038 20 0 IBADRN COC(=O)CCN(CC(OC)OC)C(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC001154021712 1073140401 /nfs/dbraw/zinc/14/04/01/1073140401.db2.gz ZPXSFTCCOAGKMV-GOSISDBHSA-N 0 0 429.470 -0.387 20 0 IBADRN COC(=O)CCN(CC(OC)OC)C(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O ZINC001154021722 1073140478 /nfs/dbraw/zinc/14/04/78/1073140478.db2.gz ZPXSFTCCOAGKMV-SFHVURJKSA-N 0 0 429.470 -0.387 20 0 IBADRN CC(=O)n1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c2ccccc21 ZINC001154047376 1073140357 /nfs/dbraw/zinc/14/03/57/1073140357.db2.gz LXPPAUYPMIXMIY-KLICCBINSA-N 0 0 427.417 -0.268 20 0 IBADRN O=C(c1cnn2c1CCC2)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001154052199 1073140417 /nfs/dbraw/zinc/14/04/17/1073140417.db2.gz RCDTUOFFKMZOJG-DOTOQJQBSA-N 0 0 444.517 -0.008 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ncnc3ccc(Br)cc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001154076910 1073140289 /nfs/dbraw/zinc/14/02/89/1073140289.db2.gz BZEPKWQPPLRBFP-JBLBBTSHSA-N 0 0 442.266 -0.034 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cccc3c(Br)[nH]nc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001154095097 1073140436 /nfs/dbraw/zinc/14/04/36/1073140436.db2.gz BOJGHYODDZQHKD-JVGCTUHESA-N 0 0 430.255 -0.101 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2c[nH]c3cc(Br)cnc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001154110310 1073140322 /nfs/dbraw/zinc/14/03/22/1073140322.db2.gz CPZYIQHWGHNKIL-ZLDYVJPJSA-N 0 0 430.255 -0.101 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)c1ccc2ncc(I)n2c1 ZINC001154128847 1073140470 /nfs/dbraw/zinc/14/04/70/1073140470.db2.gz BPCQJLLJBQQAEG-UHFFFAOYSA-N 0 0 429.218 -0.127 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCn1cnc2ccc(Br)cc2c1=O ZINC001154128875 1073140483 /nfs/dbraw/zinc/14/04/83/1073140483.db2.gz CGJWBURGPCZFOD-UHFFFAOYSA-N 0 0 438.282 -0.130 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC001154129084 1073140444 /nfs/dbraw/zinc/14/04/44/1073140444.db2.gz CJSMNRDYJOHDDY-UHFFFAOYSA-N 0 0 445.929 -0.544 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC001154129185 1073140380 /nfs/dbraw/zinc/14/03/80/1073140380.db2.gz FPPYOWJZSHGAFI-UHFFFAOYSA-N 0 0 449.327 -0.562 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N(CC(=O)NC)CC(=O)NC)ccc1OC ZINC001154129476 1073140346 /nfs/dbraw/zinc/14/03/46/1073140346.db2.gz GOYRYUJYFNTJHG-UHFFFAOYSA-N 0 0 428.511 -0.340 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)C1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC001154129853 1073140309 /nfs/dbraw/zinc/14/03/09/1073140309.db2.gz LAQYMDMENZAZAO-UHFFFAOYSA-N 0 0 446.476 -0.314 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCCn1cnc2cc(OC)c(OC)cc2c1=O ZINC001154130087 1073140390 /nfs/dbraw/zinc/14/03/90/1073140390.db2.gz MWLZUYXLCCYKBG-UHFFFAOYSA-N 0 0 433.465 -0.486 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CS(=O)(=O)Cc1ccc(Br)cc1 ZINC001154130339 1073140215 /nfs/dbraw/zinc/14/02/15/1073140215.db2.gz RARWFIBZCBPJQQ-UHFFFAOYSA-N 0 0 434.312 -0.316 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)Cc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC001154130467 1073141129 /nfs/dbraw/zinc/14/11/29/1073141129.db2.gz VDBYNLUKRVEXMD-UHFFFAOYSA-N 0 0 430.552 -0.214 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001154130522 1073140981 /nfs/dbraw/zinc/14/09/81/1073140981.db2.gz WPDGYRTVHYCMCC-CYBMUJFWSA-N 0 0 427.502 -0.787 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001154130523 1073140900 /nfs/dbraw/zinc/14/09/00/1073140900.db2.gz WPDGYRTVHYCMCC-ZDUSSCGKSA-N 0 0 427.502 -0.787 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CNS(=O)(=O)c1c(Cl)cccc1Cl ZINC001154130587 1073141070 /nfs/dbraw/zinc/14/10/70/1073141070.db2.gz XYAZWYQSVFXUCA-UHFFFAOYSA-N 0 0 425.294 -0.408 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cn3cc(Br)sc3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001154132909 1073140907 /nfs/dbraw/zinc/14/09/07/1073140907.db2.gz CHJIQOKURMTXPX-BZSGYBMISA-N 0 0 436.284 -0.268 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC3(CCNC3=O)CC2)s1 ZINC001154135410 1073140954 /nfs/dbraw/zinc/14/09/54/1073140954.db2.gz MRVJFRNQYXUMEG-UHFFFAOYSA-N 0 0 428.536 -0.209 20 0 IBADRN COC(=O)c1cccc2c1n[nH]c2C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001154149663 1073140925 /nfs/dbraw/zinc/14/09/25/1073140925.db2.gz NDVACNMYGQHVOV-BFHYXJOUSA-N 0 0 443.416 -0.001 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CC[S@](=O)C[C@@H]3c3cnn(C)c3)CC2=O)cn1 ZINC001154157499 1073141055 /nfs/dbraw/zinc/14/10/55/1073141055.db2.gz CEUHUMTXDPAYFP-HCELYDKLSA-N 0 0 434.522 -0.052 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CC[S@@](=O)C[C@@H]3c3cnn(C)c3)CC2=O)cn1 ZINC001154157500 1073141142 /nfs/dbraw/zinc/14/11/42/1073141142.db2.gz CEUHUMTXDPAYFP-OXZBVXRUSA-N 0 0 434.522 -0.052 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CC[S@@](=O)C[C@H]3c3cnn(C)c3)CC2=O)cn1 ZINC001154157501 1073140989 /nfs/dbraw/zinc/14/09/89/1073140989.db2.gz CEUHUMTXDPAYFP-UFZITNIESA-N 0 0 434.522 -0.052 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CC[S@](=O)C[C@H]3c3cnn(C)c3)CC2=O)cn1 ZINC001154157502 1073140999 /nfs/dbraw/zinc/14/09/99/1073140999.db2.gz CEUHUMTXDPAYFP-UKEXBGPQSA-N 0 0 434.522 -0.052 20 0 IBADRN Nc1n[nH]c2cc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)ccc12 ZINC001154173185 1073141092 /nfs/dbraw/zinc/14/10/92/1073141092.db2.gz DUXKXIHKBHUMKL-FRFSOERESA-N 0 0 447.517 -0.121 20 0 IBADRN Nc1n[nH]c2cc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)ccc12 ZINC001154173186 1073141033 /nfs/dbraw/zinc/14/10/33/1073141033.db2.gz DUXKXIHKBHUMKL-YSVLISHTSA-N 0 0 447.517 -0.121 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(N)n[nH]c2c1)C(=O)NCC(N)=O ZINC001154174780 1073141104 /nfs/dbraw/zinc/14/11/04/1073141104.db2.gz WDWRZDUPIRMJAV-HOTGVXAUSA-N 0 0 443.508 -0.118 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CCN(c3nc(F)c(F)cc3F)CC2)c(=O)[nH]c1=O ZINC001154180865 1073141005 /nfs/dbraw/zinc/14/10/05/1073141005.db2.gz LXJDVHPGBYFBNT-UHFFFAOYSA-N 0 0 426.355 -0.401 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC001154190370 1073140880 /nfs/dbraw/zinc/14/08/80/1073140880.db2.gz GIGZFDSTPPRUKO-GDBMZVCRSA-N 0 0 430.502 -0.721 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC001154190377 1073141575 /nfs/dbraw/zinc/14/15/75/1073141575.db2.gz GIGZFDSTPPRUKO-GOEBONIOSA-N 0 0 430.502 -0.721 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC001154190380 1073141522 /nfs/dbraw/zinc/14/15/22/1073141522.db2.gz GIGZFDSTPPRUKO-HOCLYGCPSA-N 0 0 430.502 -0.721 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC001154190382 1073141547 /nfs/dbraw/zinc/14/15/47/1073141547.db2.gz GIGZFDSTPPRUKO-ZBFHGGJFSA-N 0 0 430.502 -0.721 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001154210080 1073141447 /nfs/dbraw/zinc/14/14/47/1073141447.db2.gz GFOCGIDSRVVCSO-GFCCVEGCSA-N 0 0 438.549 -0.596 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001154210081 1073141572 /nfs/dbraw/zinc/14/15/72/1073141572.db2.gz GFOCGIDSRVVCSO-LBPRGKRZSA-N 0 0 438.549 -0.596 20 0 IBADRN CNS(=O)(=O)NCC(=O)NCCCNC(=O)c1cc(OC)ccc1Br ZINC001154217550 1073141594 /nfs/dbraw/zinc/14/15/94/1073141594.db2.gz WNJCTVPKMIRYBK-UHFFFAOYSA-N 0 0 437.316 -0.252 20 0 IBADRN CN1CCc2nc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)sc2C1 ZINC001154224597 1073141555 /nfs/dbraw/zinc/14/15/55/1073141555.db2.gz WQXAJNPIGLVXBK-KBPBESRZSA-N 0 0 431.522 -0.329 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC12COC2 ZINC001154228117 1073141588 /nfs/dbraw/zinc/14/15/88/1073141588.db2.gz IVUYFSVIVDRVTE-CVEARBPZSA-N 0 0 443.456 -0.045 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC12COC2 ZINC001154228118 1073141479 /nfs/dbraw/zinc/14/14/79/1073141479.db2.gz IVUYFSVIVDRVTE-HOTGVXAUSA-N 0 0 443.456 -0.045 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC12COC2 ZINC001154228119 1073141513 /nfs/dbraw/zinc/14/15/13/1073141513.db2.gz IVUYFSVIVDRVTE-HZPDHXFCSA-N 0 0 443.456 -0.045 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC12COC2 ZINC001154228120 1073141406 /nfs/dbraw/zinc/14/14/06/1073141406.db2.gz IVUYFSVIVDRVTE-JKSUJKDBSA-N 0 0 443.456 -0.045 20 0 IBADRN CS(=O)(=O)c1ccc(NCC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001154231081 1073141462 /nfs/dbraw/zinc/14/14/62/1073141462.db2.gz CPLFXBITXCIHGL-UHFFFAOYSA-N 0 0 427.508 -0.242 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1S(C)(=O)=O ZINC001154231857 1073141548 /nfs/dbraw/zinc/14/15/48/1073141548.db2.gz NHQAYVSEAZBXII-UHFFFAOYSA-N 0 0 428.492 -0.032 20 0 IBADRN O=C(C[C@@H]1CS(=O)(=O)c2ccccc2O1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001154232136 1073141502 /nfs/dbraw/zinc/14/15/02/1073141502.db2.gz VYNIATKKZRAYRK-CYBMUJFWSA-N 0 0 440.503 -0.132 20 0 IBADRN O=C(C[C@H]1CS(=O)(=O)c2ccccc2O1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001154232137 1073141582 /nfs/dbraw/zinc/14/15/82/1073141582.db2.gz VYNIATKKZRAYRK-ZDUSSCGKSA-N 0 0 440.503 -0.132 20 0 IBADRN CCn1c2ccc(C(=O)N3CCn4c(CN5CCOCC5)nnc4C3)cc2[nH]c(=O)c1=O ZINC001154253005 1073141529 /nfs/dbraw/zinc/14/15/29/1073141529.db2.gz CDPKGANVSFIKCO-UHFFFAOYSA-N 0 0 439.476 -0.211 20 0 IBADRN CCn1c2ccc(C(=O)N3CC4(C3)[C@@H](C(=O)OC)CCS4(=O)=O)cc2[nH]c(=O)c1=O ZINC001154254142 1073141428 /nfs/dbraw/zinc/14/14/28/1073141428.db2.gz IDSVFVMYPSJKFQ-GFCCVEGCSA-N 0 0 435.458 -0.488 20 0 IBADRN CCn1c2ccc(C(=O)N3CC4(C3)[C@H](C(=O)OC)CCS4(=O)=O)cc2[nH]c(=O)c1=O ZINC001154254146 1073141518 /nfs/dbraw/zinc/14/15/18/1073141518.db2.gz IDSVFVMYPSJKFQ-LBPRGKRZSA-N 0 0 435.458 -0.488 20 0 IBADRN CCn1c2ccc(C(=O)N3C[C@H]4CCN(S(=O)(=O)N(C)C)C[C@@H]4C3)cc2[nH]c(=O)c1=O ZINC001154254383 1073142198 /nfs/dbraw/zinc/14/21/98/1073142198.db2.gz JXZSTTXGMONAGD-CABCVRRESA-N 0 0 449.533 -0.090 20 0 IBADRN CCn1c2ccc(C(=O)N3C[C@@H](C(=O)N(C)C)C4(CN(C(C)=O)C4)C3)cc2[nH]c(=O)c1=O ZINC001154258201 1073142152 /nfs/dbraw/zinc/14/21/52/1073142152.db2.gz WITJVZDGNSOHEH-HNNXBMFYSA-N 0 0 441.488 -0.282 20 0 IBADRN CCn1c2ccc(C(=O)N3C[C@H](C(=O)N(C)C)C4(CN(C(C)=O)C4)C3)cc2[nH]c(=O)c1=O ZINC001154258202 1073142253 /nfs/dbraw/zinc/14/22/53/1073142253.db2.gz WITJVZDGNSOHEH-OAHLLOKOSA-N 0 0 441.488 -0.282 20 0 IBADRN CCn1c2ccc(C(=O)NCC(=O)N[C@@H](Cc3ccccc3)C(N)=O)cc2[nH]c(=O)c1=O ZINC001154261484 1073142178 /nfs/dbraw/zinc/14/21/78/1073142178.db2.gz XSCYEUGJIYTMCF-INIZCTEOSA-N 0 0 437.456 -0.348 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CC[C@H](CNS(C)(=O)=O)C2)cc(OC)c1OC ZINC001154270154 1073142265 /nfs/dbraw/zinc/14/22/65/1073142265.db2.gz GELFEOHJVJUMBZ-GFCCVEGCSA-N 0 0 429.495 -0.160 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)cc(OC)c1OC ZINC001154270156 1073142138 /nfs/dbraw/zinc/14/21/38/1073142138.db2.gz GELFEOHJVJUMBZ-LBPRGKRZSA-N 0 0 429.495 -0.160 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001154274101 1073142223 /nfs/dbraw/zinc/14/22/23/1073142223.db2.gz BAIYXMXNFULHMQ-CAOSSQGBSA-N 0 0 449.595 -0.506 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001154274102 1073142147 /nfs/dbraw/zinc/14/21/47/1073142147.db2.gz BAIYXMXNFULHMQ-XUWVNRHRSA-N 0 0 449.595 -0.506 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001154274103 1073142231 /nfs/dbraw/zinc/14/22/31/1073142231.db2.gz BAIYXMXNFULHMQ-YHUYYLMFSA-N 0 0 449.595 -0.506 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001154274104 1073142260 /nfs/dbraw/zinc/14/22/60/1073142260.db2.gz BAIYXMXNFULHMQ-ZJIFWQFVSA-N 0 0 449.595 -0.506 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)CC1 ZINC001154274320 1073142220 /nfs/dbraw/zinc/14/22/20/1073142220.db2.gz GQRFEPZLKRHAHK-AWEZNQCLSA-N 0 0 447.583 -0.175 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CC[C@H](CNS(C)(=O)=O)C2)CC1 ZINC001154274321 1073142131 /nfs/dbraw/zinc/14/21/31/1073142131.db2.gz GQRFEPZLKRHAHK-CQSZACIVSA-N 0 0 447.583 -0.175 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CC[C@H](CNS(C)(=O)=O)C1 ZINC001154274678 1073142106 /nfs/dbraw/zinc/14/21/06/1073142106.db2.gz OOKBMYMHEDJBGI-CYBMUJFWSA-N 0 0 428.536 -0.021 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001154274679 1073142162 /nfs/dbraw/zinc/14/21/62/1073142162.db2.gz OOKBMYMHEDJBGI-ZDUSSCGKSA-N 0 0 428.536 -0.021 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001154274952 1073142167 /nfs/dbraw/zinc/14/21/67/1073142167.db2.gz UODLICHHMISYJU-AWEZNQCLSA-N 0 0 445.563 -0.157 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001154274953 1073142215 /nfs/dbraw/zinc/14/22/15/1073142215.db2.gz UODLICHHMISYJU-CQSZACIVSA-N 0 0 445.563 -0.157 20 0 IBADRN CC(=O)N1CCc2cc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)ccc21 ZINC001154280324 1073142185 /nfs/dbraw/zinc/14/21/85/1073142185.db2.gz FQPQYOOEAHMDRH-KLICCBINSA-N 0 0 429.433 -0.974 20 0 IBADRN COc1ccc(C[C@](C)(CO)NC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC001154292727 1073142553 /nfs/dbraw/zinc/14/25/53/1073142553.db2.gz TVRHLCXRWORHNU-GOSISDBHSA-N 0 0 426.495 -0.175 20 0 IBADRN COc1ccc(C[C@@](C)(CO)NC(=O)CNS(=O)(=O)c2cn(C)cn2)cc1OC ZINC001154292728 1073142497 /nfs/dbraw/zinc/14/24/97/1073142497.db2.gz TVRHLCXRWORHNU-SFHVURJKSA-N 0 0 426.495 -0.175 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccc3c(=O)[nH][nH]c(=O)c3c1)C2 ZINC001154314299 1073142561 /nfs/dbraw/zinc/14/25/61/1073142561.db2.gz QJSFATOBTLBZIP-UHFFFAOYSA-N 0 0 427.421 -0.107 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc4c(=O)[nH][nH]c(=O)c4c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001154320451 1073142723 /nfs/dbraw/zinc/14/27/23/1073142723.db2.gz KYXSPHKFMZRKJU-BFHYXJOUSA-N 0 0 429.389 -0.310 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc2c(=O)[nH][nH]c(=O)c2c1 ZINC001154320464 1073142605 /nfs/dbraw/zinc/14/26/05/1073142605.db2.gz LJWHBAOCAQJFMM-GJZGRUSLSA-N 0 0 439.432 -0.419 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001154327800 1073142462 /nfs/dbraw/zinc/14/24/62/1073142462.db2.gz OSAZVILTKYGXEM-CXAGYDPISA-N 0 0 428.463 -0.349 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001154327804 1073142488 /nfs/dbraw/zinc/14/24/88/1073142488.db2.gz OSAZVILTKYGXEM-DYVFJYSZSA-N 0 0 428.463 -0.349 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001154327805 1073142715 /nfs/dbraw/zinc/14/27/15/1073142715.db2.gz OSAZVILTKYGXEM-GUYCJALGSA-N 0 0 428.463 -0.349 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001154327807 1073142540 /nfs/dbraw/zinc/14/25/40/1073142540.db2.gz OSAZVILTKYGXEM-SUMWQHHRSA-N 0 0 428.463 -0.349 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCNC(=O)c1cc3ccccc3[nH]1)C2 ZINC001154338666 1073142657 /nfs/dbraw/zinc/14/26/57/1073142657.db2.gz DMEWUAROZWEULA-UHFFFAOYSA-N 0 0 439.476 -0.223 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C1CCN(C(=O)c3cccnc3)CC1)C2 ZINC001154339187 1073142765 /nfs/dbraw/zinc/14/27/65/1073142765.db2.gz LWMAXXOKCDDWHD-UHFFFAOYSA-N 0 0 441.492 -0.577 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)COc1ccc(Br)cn1)C2 ZINC001154339190 1073142597 /nfs/dbraw/zinc/14/25/97/1073142597.db2.gz MEFPLJYPHLDDNM-UHFFFAOYSA-N 0 0 439.270 -0.288 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1c(Br)nc3n1CCC3)C2 ZINC001154339245 1073142529 /nfs/dbraw/zinc/14/25/29/1073142529.db2.gz NZNCPNRSPKJERP-UHFFFAOYSA-N 0 0 438.286 -0.306 20 0 IBADRN CCN1C(=O)C[C@H](C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)[C@H]1c1cccnc1 ZINC001154339336 1073142696 /nfs/dbraw/zinc/14/26/96/1073142696.db2.gz PZAKPRTZXPVCAC-HNAYVOBHSA-N 0 0 441.492 -0.520 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cn1nc(C(F)(F)F)c3c1CCC3)C2 ZINC001154339414 1073142482 /nfs/dbraw/zinc/14/24/82/1073142482.db2.gz QZOIWSXSJOUWJZ-UHFFFAOYSA-N 0 0 441.414 -0.121 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1CC[C@@H](c3ccccc3)NC1=O)C2 ZINC001154339766 1073142639 /nfs/dbraw/zinc/14/26/39/1073142639.db2.gz XRLKGXQBLLUXFL-INIZCTEOSA-N 0 0 441.492 -0.368 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CN1CC[C@H](c3ccccc3)NC1=O)C2 ZINC001154339767 1073142755 /nfs/dbraw/zinc/14/27/55/1073142755.db2.gz XRLKGXQBLLUXFL-MRXNPFEDSA-N 0 0 441.492 -0.368 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCC[C@@H](C(=O)N[C@H]4CCC[C@H](O)C4)C3)nc2n(C)c1=O ZINC001154354237 1073142675 /nfs/dbraw/zinc/14/26/75/1073142675.db2.gz CUZWNEKGWACXBR-ILXRZTDVSA-N 0 0 443.504 -0.096 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCC[C@@H](C(=O)N[C@@H]4CCC[C@H](O)C4)C3)nc2n(C)c1=O ZINC001154354238 1073143310 /nfs/dbraw/zinc/14/33/10/1073143310.db2.gz CUZWNEKGWACXBR-KFWWJZLASA-N 0 0 443.504 -0.096 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCC[C@@H](C(=O)N[C@H]4CCC[C@@H](O)C4)C3)nc2n(C)c1=O ZINC001154354239 1073143460 /nfs/dbraw/zinc/14/34/60/1073143460.db2.gz CUZWNEKGWACXBR-QLFBSQMISA-N 0 0 443.504 -0.096 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N3CCC[C@@H](C(=O)N[C@@H]4CCC[C@@H](O)C4)C3)nc2n(C)c1=O ZINC001154354240 1073143423 /nfs/dbraw/zinc/14/34/23/1073143423.db2.gz CUZWNEKGWACXBR-RBSFLKMASA-N 0 0 443.504 -0.096 20 0 IBADRN CC(C)(C)OC(=O)n1nc(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)c2cc(F)ccc21 ZINC001154359080 1073143391 /nfs/dbraw/zinc/14/33/91/1073143391.db2.gz GRWYCUPYDIRTHJ-LZTJUBIUSA-N 0 0 441.412 -0.512 20 0 IBADRN CC(C)(C)OC(=O)n1nc(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)c2cc(F)ccc21 ZINC001154359081 1073143343 /nfs/dbraw/zinc/14/33/43/1073143343.db2.gz GRWYCUPYDIRTHJ-WDGOXLLCSA-N 0 0 441.412 -0.512 20 0 IBADRN CC1(C)COc2c(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)cnn2C1 ZINC001154371273 1073143257 /nfs/dbraw/zinc/14/32/57/1073143257.db2.gz KNLWFBTVGBVEBP-GJZGRUSLSA-N 0 0 429.481 -0.158 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC[C@@H]1CNC(=O)C1 ZINC001154378511 1073143241 /nfs/dbraw/zinc/14/32/41/1073143241.db2.gz HEOVBTZGLVQKTB-DZGCQCFKSA-N 0 0 431.536 -0.589 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC[C@H]1CNC(=O)C1 ZINC001154378517 1073143432 /nfs/dbraw/zinc/14/34/32/1073143432.db2.gz HEOVBTZGLVQKTB-HIFRSBDPSA-N 0 0 431.536 -0.589 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC[C@H]1CNC(=O)C1 ZINC001154378519 1073143281 /nfs/dbraw/zinc/14/32/81/1073143281.db2.gz HEOVBTZGLVQKTB-UKRRQHHQSA-N 0 0 431.536 -0.589 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC[C@@H]1CNC(=O)C1 ZINC001154378522 1073143330 /nfs/dbraw/zinc/14/33/30/1073143330.db2.gz HEOVBTZGLVQKTB-ZFWWWQNUSA-N 0 0 431.536 -0.589 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCC[C@@H]1CNC(=O)C1 ZINC001154378723 1073143335 /nfs/dbraw/zinc/14/33/35/1073143335.db2.gz LCZXJRMZAKLSAM-INIZCTEOSA-N 0 0 436.534 -0.058 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCC[C@H]1CNC(=O)C1 ZINC001154378724 1073143320 /nfs/dbraw/zinc/14/33/20/1073143320.db2.gz LCZXJRMZAKLSAM-MRXNPFEDSA-N 0 0 436.534 -0.058 20 0 IBADRN COc1c(F)ccc2c(=O)c(C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)cn(C3CC3)c21 ZINC001154388655 1073143439 /nfs/dbraw/zinc/14/34/39/1073143439.db2.gz UCTHDQKDHLLIOY-DYIUMCPCSA-N 0 0 438.408 -0.986 20 0 IBADRN COc1c(F)ccc2c(=O)c(C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)cn(C3CC3)c21 ZINC001154388656 1073143454 /nfs/dbraw/zinc/14/34/54/1073143454.db2.gz UCTHDQKDHLLIOY-SXOQPLQPSA-N 0 0 438.408 -0.986 20 0 IBADRN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc2nccn21 ZINC001154432257 1073143221 /nfs/dbraw/zinc/14/32/21/1073143221.db2.gz LVRDLSFPPNDITG-BFYDXBDKSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc2nccn21 ZINC001154432259 1073143385 /nfs/dbraw/zinc/14/33/85/1073143385.db2.gz LVRDLSFPPNDITG-ZMSDIMECSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nc2nccn21 ZINC001154432696 1073143297 /nfs/dbraw/zinc/14/32/97/1073143297.db2.gz QBTICJLWDYOVGF-HOCLYGCPSA-N 0 0 443.508 -0.225 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C ZINC001154452407 1073143396 /nfs/dbraw/zinc/14/33/96/1073143396.db2.gz CRQZJKSHRZSQAB-KRWDZBQOSA-N 0 0 426.539 -0.159 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C ZINC001154452408 1073143962 /nfs/dbraw/zinc/14/39/62/1073143962.db2.gz CRQZJKSHRZSQAB-QGZVFWFLSA-N 0 0 426.539 -0.159 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2ccc(CS(=O)(=O)N(C)C)cc2)CC1 ZINC001154471634 1073143794 /nfs/dbraw/zinc/14/37/94/1073143794.db2.gz NQHPGDRJEUORSB-UHFFFAOYSA-N 0 0 432.568 -0.130 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1 ZINC001154475722 1073143897 /nfs/dbraw/zinc/14/38/97/1073143897.db2.gz QDBLWPSAFNBZKD-KZNAEPCWSA-N 0 0 449.533 -0.382 20 0 IBADRN CN1CC(=O)N(CC(=O)NCC[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)C1=O ZINC001154555398 1073143937 /nfs/dbraw/zinc/14/39/37/1073143937.db2.gz AQTARGCGMWIXTG-GFCCVEGCSA-N 0 0 432.499 -0.579 20 0 IBADRN CN1CC(=O)N(CC(=O)NCC[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)C1=O ZINC001154555401 1073143950 /nfs/dbraw/zinc/14/39/50/1073143950.db2.gz AQTARGCGMWIXTG-LBPRGKRZSA-N 0 0 432.499 -0.579 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001154558255 1073143956 /nfs/dbraw/zinc/14/39/56/1073143956.db2.gz UVPFDQZYWZTOBC-CYBMUJFWSA-N 0 0 441.572 -0.192 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001154558256 1073143777 /nfs/dbraw/zinc/14/37/77/1073143777.db2.gz UVPFDQZYWZTOBC-ZDUSSCGKSA-N 0 0 441.572 -0.192 20 0 IBADRN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001154558397 1073143926 /nfs/dbraw/zinc/14/39/26/1073143926.db2.gz YSLHMPXHYSEFIW-BZUAXINKSA-N 0 0 430.571 -0.085 20 0 IBADRN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001154558398 1073143847 /nfs/dbraw/zinc/14/38/47/1073143847.db2.gz YSLHMPXHYSEFIW-OAGGEKHMSA-N 0 0 430.571 -0.085 20 0 IBADRN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001154558399 1073143841 /nfs/dbraw/zinc/14/38/41/1073143841.db2.gz YSLHMPXHYSEFIW-OWCLPIDISA-N 0 0 430.571 -0.085 20 0 IBADRN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001154558400 1073143854 /nfs/dbraw/zinc/14/38/54/1073143854.db2.gz YSLHMPXHYSEFIW-PMPSAXMXSA-N 0 0 430.571 -0.085 20 0 IBADRN Cn1ccnc1CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001154599070 1073143908 /nfs/dbraw/zinc/14/39/08/1073143908.db2.gz SCUSZSSCFAAGDK-HNNXBMFYSA-N 0 0 428.536 -0.139 20 0 IBADRN Cn1ccnc1CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001154599071 1073143808 /nfs/dbraw/zinc/14/38/08/1073143808.db2.gz SCUSZSSCFAAGDK-OAHLLOKOSA-N 0 0 428.536 -0.139 20 0 IBADRN COC(=O)c1cccc2n[nH]c(C(=O)N[C@H]3C[C@H](n4cc(C)c(=O)[nH]c4=O)O[C@@H]3CO)c21 ZINC001154612916 1073143736 /nfs/dbraw/zinc/14/37/36/1073143736.db2.gz NKBNYJLUFTYWKB-BFHYXJOUSA-N 0 0 443.416 -0.001 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cnc3c(c1)NC(=O)CS3)C2 ZINC001154630634 1073143758 /nfs/dbraw/zinc/14/37/58/1073143758.db2.gz MXLMZCWPSHWVDR-UHFFFAOYSA-N 0 0 431.478 -0.022 20 0 IBADRN C[C@H](CNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)N1CCCCC1=O ZINC001154640050 1073143921 /nfs/dbraw/zinc/14/39/21/1073143921.db2.gz IFNYFQSFTTUCFE-CYBMUJFWSA-N 0 0 431.536 -0.114 20 0 IBADRN C[C@@H](CNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)N1CCCCC1=O ZINC001154640051 1073143825 /nfs/dbraw/zinc/14/38/25/1073143825.db2.gz IFNYFQSFTTUCFE-ZDUSSCGKSA-N 0 0 431.536 -0.114 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cn(C)n3cccc3c1=O)CC2 ZINC001154642170 1073144564 /nfs/dbraw/zinc/14/45/64/1073144564.db2.gz MELFWNZTKVSSKI-UHFFFAOYSA-N 0 0 437.504 -0.042 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc2c(c1)NC(=O)CS2 ZINC001154642429 1073144396 /nfs/dbraw/zinc/14/43/96/1073144396.db2.gz SYYHZLXTSLHBMI-KBPBESRZSA-N 0 0 443.489 -0.334 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnc4c(c3)NC(=O)CS4)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001154642559 1073144541 /nfs/dbraw/zinc/14/45/41/1073144541.db2.gz WBNCCDBEEQVYIU-ZKYQVNSYSA-N 0 0 433.446 -0.225 20 0 IBADRN Cn1cc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c(=O)c2cccn21 ZINC001154654583 1073144521 /nfs/dbraw/zinc/14/45/21/1073144521.db2.gz DVHKGLRBELLIMS-HOCLYGCPSA-N 0 0 425.449 -0.822 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CC(N2CCN(c3ccccn3)CC2)C1 ZINC001154658689 1073144507 /nfs/dbraw/zinc/14/45/07/1073144507.db2.gz BOWXOJOIROYRPT-UHFFFAOYSA-N 0 0 432.506 -0.079 20 0 IBADRN Cc1nc2c(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)c[nH]n2n1 ZINC001154661025 1073144606 /nfs/dbraw/zinc/14/46/06/1073144606.db2.gz JQDVXYNZBSKLGW-BPLDGKMQSA-N 0 0 436.494 -0.900 20 0 IBADRN Cc1nc2c(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)c[nH]n2n1 ZINC001154661026 1073144481 /nfs/dbraw/zinc/14/44/81/1073144481.db2.gz JQDVXYNZBSKLGW-NWANDNLSSA-N 0 0 436.494 -0.900 20 0 IBADRN Cc1nc2c(C(=O)N3CCC[C@H]3C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c[nH]n2n1 ZINC001154661331 1073144358 /nfs/dbraw/zinc/14/43/58/1073144358.db2.gz NOANSZPCISLMFW-KBPBESRZSA-N 0 0 432.485 -0.897 20 0 IBADRN Cc1nc2c(C(=O)N3CCC4(CN(S(C)(=O)=O)C[C@]45CCN(C)C5=O)CC3)c[nH]n2n1 ZINC001154661712 1073144491 /nfs/dbraw/zinc/14/44/91/1073144491.db2.gz SCIPOUNCJRMCTL-IBGZPJMESA-N 0 0 449.537 -0.288 20 0 IBADRN Cc1nc2c(C(=O)N3CCC4(CN(S(C)(=O)=O)C[C@@]45CCN(C)C5=O)CC3)c[nH]n2n1 ZINC001154661713 1073144434 /nfs/dbraw/zinc/14/44/34/1073144434.db2.gz SCIPOUNCJRMCTL-LJQANCHMSA-N 0 0 449.537 -0.288 20 0 IBADRN Cc1nc2c(C(=O)N3C[C@H]4C[C@H]5[C@@](CN(Cc6ccncc6)S5(=O)=O)(C3)O4)c[nH]n2n1 ZINC001154662305 1073144446 /nfs/dbraw/zinc/14/44/46/1073144446.db2.gz YOIXTCMJLHQDFC-ALKREAHSSA-N 0 0 443.489 -0.041 20 0 IBADRN COC(=O)c1cnc(NCCC(=O)N2CCOCC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001154663264 1073144573 /nfs/dbraw/zinc/14/45/73/1073144573.db2.gz DONSUAROXKZTGN-UHFFFAOYSA-N 0 0 442.494 -0.450 20 0 IBADRN Cc1nc2c(C(=O)Nc3cc(Cl)c(S(N)(=O)=O)cc3S(N)(=O)=O)c[nH]n2n1 ZINC001154665833 1073144372 /nfs/dbraw/zinc/14/43/72/1073144372.db2.gz OJZXDOFURWFOJL-UHFFFAOYSA-N 0 0 433.859 -0.434 20 0 IBADRN Cc1nc2c(C(=O)NCCS(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)c[nH]n2n1 ZINC001154668615 1073144581 /nfs/dbraw/zinc/14/45/81/1073144581.db2.gz XKJOGJJGCPCJMY-UHFFFAOYSA-N 0 0 441.514 -0.022 20 0 IBADRN CN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC001154680464 1073144594 /nfs/dbraw/zinc/14/45/94/1073144594.db2.gz XWLGIHCWRUDGRH-KRWDZBQOSA-N 0 0 434.522 -0.968 20 0 IBADRN CN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC001154680465 1073144550 /nfs/dbraw/zinc/14/45/50/1073144550.db2.gz XWLGIHCWRUDGRH-QGZVFWFLSA-N 0 0 434.522 -0.968 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCCN(C(=O)C3(S(N)(=O)=O)CC3)C2)C(=O)C1 ZINC001154695086 1073145200 /nfs/dbraw/zinc/14/52/00/1073145200.db2.gz IMGBEJYYZZSDPO-CYBMUJFWSA-N 0 0 430.527 -0.122 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCCN(C(=O)C3(S(N)(=O)=O)CC3)C2)C(=O)C1 ZINC001154695087 1073145115 /nfs/dbraw/zinc/14/51/15/1073145115.db2.gz IMGBEJYYZZSDPO-ZDUSSCGKSA-N 0 0 430.527 -0.122 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC001154695122 1073145079 /nfs/dbraw/zinc/14/50/79/1073145079.db2.gz JHCXUTNTUJSZPH-AWEZNQCLSA-N 0 0 447.558 -0.547 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC001154695123 1073145186 /nfs/dbraw/zinc/14/51/86/1073145186.db2.gz JHCXUTNTUJSZPH-CQSZACIVSA-N 0 0 447.558 -0.547 20 0 IBADRN COC(=O)CC1(NC(=O)c2cnn3c2C[C@@H](CNC(C)=O)CC3)CCS(=O)(=O)CC1 ZINC001154730249 1073145073 /nfs/dbraw/zinc/14/50/73/1073145073.db2.gz RBKXJXXQMGXJFJ-AWEZNQCLSA-N 0 0 440.522 -0.178 20 0 IBADRN COC(=O)CC1(NC(=O)c2cnn3c2C[C@H](CNC(C)=O)CC3)CCS(=O)(=O)CC1 ZINC001154730250 1073145052 /nfs/dbraw/zinc/14/50/52/1073145052.db2.gz RBKXJXXQMGXJFJ-CQSZACIVSA-N 0 0 440.522 -0.178 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc3c(Br)cccn3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001154744010 1073145008 /nfs/dbraw/zinc/14/50/08/1073145008.db2.gz GRUKWZMUZMSQMY-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN(C4CN(C)C4)CC3)CC2)cc1 ZINC001154771470 1073145161 /nfs/dbraw/zinc/14/51/61/1073145161.db2.gz DZWHSLMWHLNNAK-UHFFFAOYSA-N 0 0 435.594 -0.240 20 0 IBADRN CCOC(=O)[C@H]1NCCc2cn(-c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)nc21 ZINC001154773869 1073145183 /nfs/dbraw/zinc/14/51/83/1073145183.db2.gz CVCDWEDJWKXLSD-KRWDZBQOSA-N 0 0 433.490 -0.112 20 0 IBADRN CCOC(=O)[C@@H]1NCCc2cn(-c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)nc21 ZINC001154773871 1073145140 /nfs/dbraw/zinc/14/51/40/1073145140.db2.gz CVCDWEDJWKXLSD-QGZVFWFLSA-N 0 0 433.490 -0.112 20 0 IBADRN CCOC(=O)[C@@H](CC1CN(C(=O)OC(C)(C)C)C1)NC(=O)CNS(=O)(=O)N(C)C ZINC001154778588 1073145103 /nfs/dbraw/zinc/14/51/03/1073145103.db2.gz KWNCALZVMPMCBI-CYBMUJFWSA-N 0 0 436.531 -0.313 20 0 IBADRN CCOC(=O)[C@H](CC1CN(C(=O)OC(C)(C)C)C1)NC(=O)CNS(=O)(=O)N(C)C ZINC001154778589 1073145727 /nfs/dbraw/zinc/14/57/27/1073145727.db2.gz KWNCALZVMPMCBI-ZDUSSCGKSA-N 0 0 436.531 -0.313 20 0 IBADRN CCOC(=O)Cc1csc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)n1 ZINC001154779136 1073145657 /nfs/dbraw/zinc/14/56/57/1073145657.db2.gz RBCNBMMZODQTOB-AAEUAGOBSA-N 0 0 429.520 -0.100 20 0 IBADRN CCOC(=O)Cc1csc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)n1 ZINC001154779137 1073145705 /nfs/dbraw/zinc/14/57/05/1073145705.db2.gz RBCNBMMZODQTOB-DGCLKSJQSA-N 0 0 429.520 -0.100 20 0 IBADRN CCOC(=O)Cc1csc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)n1 ZINC001154779138 1073145629 /nfs/dbraw/zinc/14/56/29/1073145629.db2.gz RBCNBMMZODQTOB-WCQYABFASA-N 0 0 429.520 -0.100 20 0 IBADRN CCOC(=O)Cc1csc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)n1 ZINC001154779139 1073145609 /nfs/dbraw/zinc/14/56/09/1073145609.db2.gz RBCNBMMZODQTOB-YPMHNXCESA-N 0 0 429.520 -0.100 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnn2ccc(Cl)nc12 ZINC001154783561 1073145712 /nfs/dbraw/zinc/14/57/12/1073145712.db2.gz CVQVROWKPPLIIA-STQMWFEESA-N 0 0 430.856 -0.077 20 0 IBADRN CCS(=O)(=O)N1CCN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001154794413 1073145668 /nfs/dbraw/zinc/14/56/68/1073145668.db2.gz NWAVKTYQDRRHIN-UHFFFAOYSA-N 0 0 427.531 -0.984 20 0 IBADRN CCS(=O)(=O)N1CCN(c2cc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001154795091 1073145763 /nfs/dbraw/zinc/14/57/63/1073145763.db2.gz ZWORTNHAHVRATJ-UHFFFAOYSA-N 0 0 426.543 -0.379 20 0 IBADRN CNC(=O)N[C@@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC001154804950 1073145749 /nfs/dbraw/zinc/14/57/49/1073145749.db2.gz XGTBZMVQTHXXJC-CYBMUJFWSA-N 0 0 425.511 -0.296 20 0 IBADRN CNC(=O)N[C@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC001154804951 1073145759 /nfs/dbraw/zinc/14/57/59/1073145759.db2.gz XGTBZMVQTHXXJC-ZDUSSCGKSA-N 0 0 425.511 -0.296 20 0 IBADRN Cn1nc2c(c1C(=O)N1C[C@H]3[C@@H](C1)S(=O)(=O)CC[C@H]3C(=O)N1CCOCC1)CCC2 ZINC001154833263 1073145755 /nfs/dbraw/zinc/14/57/55/1073145755.db2.gz OQERXRFMIHDDLP-FRFSOERESA-N 0 0 436.534 -0.357 20 0 IBADRN Cn1nc2c(c1C(=O)N1C[C@H]3[C@@H](C1)S(=O)(=O)CC[C@@H]3C(=O)N1CCOCC1)CCC2 ZINC001154833266 1073145691 /nfs/dbraw/zinc/14/56/91/1073145691.db2.gz OQERXRFMIHDDLP-YSVLISHTSA-N 0 0 436.534 -0.357 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1c2c(nn1C)CCC2)C(=O)NCC(N)=O ZINC001154834258 1073145737 /nfs/dbraw/zinc/14/57/37/1073145737.db2.gz PKSQYJYWVKUVGT-HOTGVXAUSA-N 0 0 432.525 -0.354 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)N2CC3(C2)C[C@H](O)CNC3=O)cc1 ZINC001154865651 1073145702 /nfs/dbraw/zinc/14/57/02/1073145702.db2.gz FIWQKBJVCFKODY-BGHPOGFGSA-N 0 0 426.473 -0.501 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)cc1 ZINC001154865654 1073145700 /nfs/dbraw/zinc/14/57/00/1073145700.db2.gz FIWQKBJVCFKODY-LVXHKSIOSA-N 0 0 426.473 -0.501 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)N2CC3(C2)C[C@H](O)CNC3=O)cc1 ZINC001154865656 1073145636 /nfs/dbraw/zinc/14/56/36/1073145636.db2.gz FIWQKBJVCFKODY-QBUOGWAXSA-N 0 0 426.473 -0.501 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)cc1 ZINC001154865659 1073145734 /nfs/dbraw/zinc/14/57/34/1073145734.db2.gz FIWQKBJVCFKODY-QQQVMXRDSA-N 0 0 426.473 -0.501 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001154866240 1073145745 /nfs/dbraw/zinc/14/57/45/1073145745.db2.gz IGGZKDJTJHIOHS-LLVKDONJSA-N 0 0 432.296 -0.563 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CC2(C1)C[C@H](O)CNC2=O ZINC001154866241 1073145708 /nfs/dbraw/zinc/14/57/08/1073145708.db2.gz IGGZKDJTJHIOHS-NSHDSACASA-N 0 0 432.296 -0.563 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CC3(C2)C[C@H](O)CNC3=O)CC1 ZINC001154866426 1073145731 /nfs/dbraw/zinc/14/57/31/1073145731.db2.gz JOQXWNUCAUDLFI-AWEZNQCLSA-N 0 0 425.511 -0.863 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)CC1 ZINC001154866427 1073146110 /nfs/dbraw/zinc/14/61/10/1073146110.db2.gz JOQXWNUCAUDLFI-CQSZACIVSA-N 0 0 425.511 -0.863 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001154866731 1073146081 /nfs/dbraw/zinc/14/60/81/1073146081.db2.gz OEODNWHGUFNBNO-BNDIWNMDSA-N 0 0 429.395 -0.319 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001154866736 1073146075 /nfs/dbraw/zinc/14/60/75/1073146075.db2.gz OEODNWHGUFNBNO-LBELIVKGSA-N 0 0 429.395 -0.319 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)N1CC2(C1)C[C@H](O)CNC2=O ZINC001154866740 1073146135 /nfs/dbraw/zinc/14/61/35/1073146135.db2.gz OEODNWHGUFNBNO-MOLYVOAJSA-N 0 0 429.395 -0.319 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)N1CC2(C1)C[C@H](O)CNC2=O ZINC001154866743 1073146141 /nfs/dbraw/zinc/14/61/41/1073146141.db2.gz OEODNWHGUFNBNO-SJHCENCUSA-N 0 0 429.395 -0.319 20 0 IBADRN CC(C)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CC2(C1)C[C@H](O)CNC2=O ZINC001154867009 1073146105 /nfs/dbraw/zinc/14/61/05/1073146105.db2.gz PTYUYNRHFYJICF-DZGCQCFKSA-N 0 0 426.514 -0.249 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001154867010 1073146121 /nfs/dbraw/zinc/14/61/21/1073146121.db2.gz PTYUYNRHFYJICF-HIFRSBDPSA-N 0 0 426.514 -0.249 20 0 IBADRN CC(C)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001154867011 1073146136 /nfs/dbraw/zinc/14/61/36/1073146136.db2.gz PTYUYNRHFYJICF-UKRRQHHQSA-N 0 0 426.514 -0.249 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CC2(C1)C[C@H](O)CNC2=O ZINC001154867012 1073146144 /nfs/dbraw/zinc/14/61/44/1073146144.db2.gz PTYUYNRHFYJICF-ZFWWWQNUSA-N 0 0 426.514 -0.249 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CC4(C3)C[C@H](O)CNC4=O)CC2=O)cc1OC ZINC001154868167 1073146070 /nfs/dbraw/zinc/14/60/70/1073146070.db2.gz YVTVJOWXRLJXOZ-CVEARBPZSA-N 0 0 431.489 -0.196 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CC4(C3)C[C@H](O)CNC4=O)CC2=O)cc1OC ZINC001154868185 1073146138 /nfs/dbraw/zinc/14/61/38/1073146138.db2.gz YVTVJOWXRLJXOZ-HOTGVXAUSA-N 0 0 431.489 -0.196 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CC4(C3)C[C@@H](O)CNC4=O)CC2=O)cc1OC ZINC001154868187 1073146083 /nfs/dbraw/zinc/14/60/83/1073146083.db2.gz YVTVJOWXRLJXOZ-HZPDHXFCSA-N 0 0 431.489 -0.196 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CC4(C3)C[C@@H](O)CNC4=O)CC2=O)cc1OC ZINC001154868189 1073146094 /nfs/dbraw/zinc/14/60/94/1073146094.db2.gz YVTVJOWXRLJXOZ-JKSUJKDBSA-N 0 0 431.489 -0.196 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC(NC(=O)C3CC3)CC2)c1 ZINC001154887017 1073146130 /nfs/dbraw/zinc/14/61/30/1073146130.db2.gz XHFPZYFWGMSWEN-UHFFFAOYSA-N 0 0 443.547 -0.114 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2C(=O)COCC(=O)NCc2cccnc2)cn1 ZINC001154895256 1073146114 /nfs/dbraw/zinc/14/61/14/1073146114.db2.gz LILPEDXWZOBUIJ-KRWDZBQOSA-N 0 0 435.506 -0.164 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2C(=O)COCC(=O)NCc2cccnc2)cn1 ZINC001154895257 1073146147 /nfs/dbraw/zinc/14/61/47/1073146147.db2.gz LILPEDXWZOBUIJ-QGZVFWFLSA-N 0 0 435.506 -0.164 20 0 IBADRN CN1c2cc(Cl)cc(C(=O)n3c(N)c4ncn(COCCO)c4nc3=N)c2OCC1=O ZINC001154910145 1073146098 /nfs/dbraw/zinc/14/60/98/1073146098.db2.gz JIYCZDLVPMPOMI-UHFFFAOYSA-N 0 0 447.839 -0.042 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(Cl)cc3c2OCC(=O)N3C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001154920508 1073146056 /nfs/dbraw/zinc/14/60/56/1073146056.db2.gz QJBBXOQIBYRFEI-GHHVCDCXSA-N 0 0 430.841 -0.731 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCCN(CC(=O)N(C)C)CC2)cc1 ZINC001154924914 1073146703 /nfs/dbraw/zinc/14/67/03/1073146703.db2.gz FYANIPSAOWTCEF-HNNXBMFYSA-N 0 0 426.539 -0.016 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCCN(CC(=O)N(C)C)CC2)cc1OC ZINC001154925290 1073146717 /nfs/dbraw/zinc/14/67/17/1073146717.db2.gz ZKENIQZQZKHWSK-UHFFFAOYSA-N 0 0 442.538 -0.395 20 0 IBADRN CN(C)C(=O)CN1CCCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001154930951 1073146669 /nfs/dbraw/zinc/14/66/69/1073146669.db2.gz HOUGYIVRBFHHQC-INIZCTEOSA-N 0 0 446.957 -0.398 20 0 IBADRN CN(C)C(=O)CN1CCCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001154930954 1073146622 /nfs/dbraw/zinc/14/66/22/1073146622.db2.gz HOUGYIVRBFHHQC-MRXNPFEDSA-N 0 0 446.957 -0.398 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nc3[nH]ccc3cc2Br)[C@@H](O)[C@H](O)[C@@H]1O ZINC001154938813 1073146683 /nfs/dbraw/zinc/14/66/83/1073146683.db2.gz ILVNYUPTYSKSCO-JVGCTUHESA-N 0 0 430.255 -0.149 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc3c(c(Br)c2)OCO3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001155027639 1073146596 /nfs/dbraw/zinc/14/65/96/1073146596.db2.gz NFMGXXMETJDBEJ-JVGCTUHESA-N 0 0 434.239 -0.248 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCN3C(=O)N=NC3C2)C1 ZINC001155040839 1073146695 /nfs/dbraw/zinc/14/66/95/1073146695.db2.gz NTUCRRKDNVAZQT-PIGZYNQJSA-N 0 0 427.483 -0.392 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NCCn1ccc(=O)[nH]c1=O ZINC001155048461 1073146731 /nfs/dbraw/zinc/14/67/31/1073146731.db2.gz HLVVEIIHVOBZFA-UHFFFAOYSA-N 0 0 437.297 -0.132 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCCn3ccc(=O)[nH]c3=O)CC2)c1 ZINC001155048873 1073146689 /nfs/dbraw/zinc/14/66/89/1073146689.db2.gz NQUOFPDRFUAGBF-UHFFFAOYSA-N 0 0 435.506 -0.474 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCn1ccc(=O)[nH]c1=O ZINC001155049264 1073146582 /nfs/dbraw/zinc/14/65/82/1073146582.db2.gz TVDPMORAYBCARZ-UHFFFAOYSA-N 0 0 438.462 -0.592 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@@H]1CCCCN1C(=O)CN1CCNC(=O)C1 ZINC001155069225 1073146678 /nfs/dbraw/zinc/14/66/78/1073146678.db2.gz FFQYNWOHNSGJDQ-HNNXBMFYSA-N 0 0 425.530 -0.170 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@H]1CCCCN1C(=O)CN1CCNC(=O)C1 ZINC001155069227 1073146654 /nfs/dbraw/zinc/14/66/54/1073146654.db2.gz FFQYNWOHNSGJDQ-OAHLLOKOSA-N 0 0 425.530 -0.170 20 0 IBADRN COc1ccc2cc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cnc2c1 ZINC001155151618 1073146512 /nfs/dbraw/zinc/14/65/12/1073146512.db2.gz MWXCNKQBIMABSB-KLICCBINSA-N 0 0 427.417 -0.326 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001155161367 1073147006 /nfs/dbraw/zinc/14/70/06/1073147006.db2.gz GFVYJPVIXWFGSA-UHFFFAOYSA-N 0 0 448.505 -0.343 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC001155161658 1073147093 /nfs/dbraw/zinc/14/70/93/1073147093.db2.gz IGBHWRHYDUQOOR-AWEZNQCLSA-N 0 0 428.428 -0.419 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC001155161659 1073147044 /nfs/dbraw/zinc/14/70/44/1073147044.db2.gz IGBHWRHYDUQOOR-CQSZACIVSA-N 0 0 428.428 -0.419 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N(C)Cc2nnc3n2CCNC3=O)c1 ZINC001155167521 1073147111 /nfs/dbraw/zinc/14/71/11/1073147111.db2.gz MXEQXCWWPTYDRA-UHFFFAOYSA-N 0 0 436.494 -0.473 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(C)Cc2nnc3n2CCNC3=O)cc1 ZINC001155167537 1073146969 /nfs/dbraw/zinc/14/69/69/1073146969.db2.gz NIEJUBMANFIWKP-UHFFFAOYSA-N 0 0 434.478 -0.844 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)c1nn(C)cc1I ZINC001155168122 1073147074 /nfs/dbraw/zinc/14/70/74/1073147074.db2.gz ZDNWADNXGDUSRT-UHFFFAOYSA-N 0 0 429.222 -0.026 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)c1cn(C)nc1I ZINC001155168127 1073147090 /nfs/dbraw/zinc/14/70/90/1073147090.db2.gz ZNIYFAKWQSTRKV-UHFFFAOYSA-N 0 0 429.222 -0.026 20 0 IBADRN CN1CCn2ncc(C(=O)NCCNS(=O)(=O)c3ccc(F)cc3F)c2S1(=O)=O ZINC001155190352 1073146956 /nfs/dbraw/zinc/14/69/56/1073146956.db2.gz VNGDCQJMYFBLMF-UHFFFAOYSA-N 0 0 449.461 -0.496 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)[C@H]1c1ccnn1C ZINC001155196405 1073147060 /nfs/dbraw/zinc/14/70/60/1073147060.db2.gz FMWUVIQVQDLBGS-CLROSIBMSA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)[C@H]1c1ccnn1C ZINC001155196407 1073146991 /nfs/dbraw/zinc/14/69/91/1073146991.db2.gz FMWUVIQVQDLBGS-GFOFROLCSA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)[C@H]1c1ccnn1C ZINC001155196410 1073147052 /nfs/dbraw/zinc/14/70/52/1073147052.db2.gz FMWUVIQVQDLBGS-IXKJSCDLSA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)[C@H]1c1ccnn1C ZINC001155196412 1073147029 /nfs/dbraw/zinc/14/70/29/1073147029.db2.gz FMWUVIQVQDLBGS-KFZJALRRSA-N 0 0 427.527 -0.457 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NCC1(OCCO)CCOCC1 ZINC001155197629 1073147066 /nfs/dbraw/zinc/14/70/66/1073147066.db2.gz LZNWKADOVMGBIL-UHFFFAOYSA-N 0 0 449.547 -0.207 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2CCC[C@H]2CNS(C)(=O)=O)[C@H]1c1nccn1C ZINC001155198143 1073146945 /nfs/dbraw/zinc/14/69/45/1073146945.db2.gz PKCTZAFDIUQUOD-SDADXPQNSA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CCC[C@@H]2CNS(C)(=O)=O)[C@H]1c1nccn1C ZINC001155198144 1073147096 /nfs/dbraw/zinc/14/70/96/1073147096.db2.gz PKCTZAFDIUQUOD-VDERGJSUSA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2CCC[C@@H]2CNS(C)(=O)=O)[C@H]1c1nccn1C ZINC001155198145 1073147002 /nfs/dbraw/zinc/14/70/02/1073147002.db2.gz PKCTZAFDIUQUOD-VRKREXBASA-N 0 0 427.527 -0.457 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CCC[C@H]2CNS(C)(=O)=O)[C@H]1c1nccn1C ZINC001155198146 1073146981 /nfs/dbraw/zinc/14/69/81/1073146981.db2.gz PKCTZAFDIUQUOD-XRGAULLZSA-N 0 0 427.527 -0.457 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)NCCC(=O)NCCc2nnc3n2CCNC3=O)c(C)c1 ZINC001155204149 1073147036 /nfs/dbraw/zinc/14/70/36/1073147036.db2.gz WDKQCAKPUGLGIP-UHFFFAOYSA-N 0 0 434.522 -0.026 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)C1(S(=O)(=O)c2ccccc2)CCOCC1 ZINC001155212058 1073147536 /nfs/dbraw/zinc/14/75/36/1073147536.db2.gz OXLPNAHNGBXBFH-UHFFFAOYSA-N 0 0 433.490 -0.297 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC001155212079 1073147460 /nfs/dbraw/zinc/14/74/60/1073147460.db2.gz PTPWBSMACKHTEE-CABCVRRESA-N 0 0 428.449 -0.548 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)[C@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC001155212113 1073147659 /nfs/dbraw/zinc/14/76/59/1073147659.db2.gz RITPBIJOBSXYQP-INIZCTEOSA-N 0 0 446.533 -0.078 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)[C@@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC001155212114 1073147666 /nfs/dbraw/zinc/14/76/66/1073147666.db2.gz RITPBIJOBSXYQP-MRXNPFEDSA-N 0 0 446.533 -0.078 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCCc3nnc4n3CCNC4=O)n(C)c2)C1 ZINC001155212151 1073147629 /nfs/dbraw/zinc/14/76/29/1073147629.db2.gz SWZJRXPVIQWOIF-CYBMUJFWSA-N 0 0 449.537 -0.247 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCCc3nnc4n3CCNC4=O)n(C)c2)C1 ZINC001155212152 1073147601 /nfs/dbraw/zinc/14/76/01/1073147601.db2.gz SWZJRXPVIQWOIF-ZDUSSCGKSA-N 0 0 449.537 -0.247 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)C1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001155212184 1073147740 /nfs/dbraw/zinc/14/77/40/1073147740.db2.gz UXZUAPVEFOAUTH-UHFFFAOYSA-N 0 0 432.506 -0.219 20 0 IBADRN COC(=O)c1cnc(N2CCN(C)[C@H](C(=O)OC)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001155229361 1073147669 /nfs/dbraw/zinc/14/76/69/1073147669.db2.gz GQIVKBDHWGAQSJ-AWEZNQCLSA-N 0 0 442.494 -0.818 20 0 IBADRN COC(=O)c1cnc(N2CCN(C)[C@@H](C(=O)OC)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001155229363 1073147608 /nfs/dbraw/zinc/14/76/08/1073147608.db2.gz GQIVKBDHWGAQSJ-CQSZACIVSA-N 0 0 442.494 -0.818 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1cn(Cc2ccc(OC)cc2)c2nc(=O)[nH]cc2c1=O ZINC001155246525 1073147544 /nfs/dbraw/zinc/14/75/44/1073147544.db2.gz PXKDVIBTTUHPKC-UHFFFAOYSA-N 0 0 447.473 -0.167 20 0 IBADRN COc1ccc(Cn2cc(C(=O)N3CCO[C@H](C(N)=O)C3)c(=O)c3c[nH]c(=O)nc32)cc1 ZINC001155246852 1073147638 /nfs/dbraw/zinc/14/76/38/1073147638.db2.gz ZERZKFMUJRFYJN-INIZCTEOSA-N 0 0 439.428 -0.120 20 0 IBADRN COc1ccc(Cn2cc(C(=O)N3CCO[C@@H](C(N)=O)C3)c(=O)c3c[nH]c(=O)nc32)cc1 ZINC001155246855 1073147582 /nfs/dbraw/zinc/14/75/82/1073147582.db2.gz ZERZKFMUJRFYJN-MRXNPFEDSA-N 0 0 439.428 -0.120 20 0 IBADRN COc1ccc(Cn2cc(C(=O)NC[C@@H]3CNC(=O)CO3)c(=O)c3c[nH]c(=O)nc32)cc1 ZINC001155250623 1073147706 /nfs/dbraw/zinc/14/77/06/1073147706.db2.gz OOXNPYNKDZSVFJ-AWEZNQCLSA-N 0 0 439.428 -0.201 20 0 IBADRN COc1ccc(Cn2cc(C(=O)NC[C@H]3CNC(=O)CO3)c(=O)c3c[nH]c(=O)nc32)cc1 ZINC001155250624 1073147513 /nfs/dbraw/zinc/14/75/13/1073147513.db2.gz OOXNPYNKDZSVFJ-CQSZACIVSA-N 0 0 439.428 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](c2ccnc3c(C(=O)N[C@@H](CO)C(N)=O)cnn32)C1 ZINC001155258360 1073148119 /nfs/dbraw/zinc/14/81/19/1073148119.db2.gz WYKJMFPYUQZRQF-GXTWGEPZSA-N 0 0 434.453 -0.386 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](c2ccnc3c(C(=O)N[C@@H](CO)C(N)=O)cnn32)C1 ZINC001155258361 1073148126 /nfs/dbraw/zinc/14/81/26/1073148126.db2.gz WYKJMFPYUQZRQF-JSGCOSHPSA-N 0 0 434.453 -0.386 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](c2ccnc3c(C(=O)N[C@H](CO)C(N)=O)cnn32)C1 ZINC001155258362 1073148092 /nfs/dbraw/zinc/14/80/92/1073148092.db2.gz WYKJMFPYUQZRQF-OCCSQVGLSA-N 0 0 434.453 -0.386 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](c2ccnc3c(C(=O)N[C@H](CO)C(N)=O)cnn32)C1 ZINC001155258363 1073148114 /nfs/dbraw/zinc/14/81/14/1073148114.db2.gz WYKJMFPYUQZRQF-TZMCWYRMSA-N 0 0 434.453 -0.386 20 0 IBADRN CCc1ccc(C(=O)N(C)N2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001155266800 1073148041 /nfs/dbraw/zinc/14/80/41/1073148041.db2.gz AFDVYTOTDZCBEI-UHFFFAOYSA-N 0 0 445.563 -0.013 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(C)N2CCS(=O)(=O)CC2)C1 ZINC001155266996 1073148146 /nfs/dbraw/zinc/14/81/46/1073148146.db2.gz CZIRTQKRDPIKAU-AWEZNQCLSA-N 0 0 433.556 -0.469 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(C)N2CCS(=O)(=O)CC2)C1 ZINC001155266997 1073148010 /nfs/dbraw/zinc/14/80/10/1073148010.db2.gz CZIRTQKRDPIKAU-CQSZACIVSA-N 0 0 433.556 -0.469 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)N1CCS(=O)(=O)CC1 ZINC001155267980 1073147986 /nfs/dbraw/zinc/14/79/86/1073147986.db2.gz NTQPUDCQCYKEEO-LBPRGKRZSA-N 0 0 427.545 -0.582 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)N(C)N2CCS(=O)(=O)CC2)CCCC1 ZINC001155268604 1073147941 /nfs/dbraw/zinc/14/79/41/1073147941.db2.gz UTHMTRCTAKUHPC-UHFFFAOYSA-N 0 0 434.540 -0.014 20 0 IBADRN CN(C(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)N1CCS(=O)(=O)CC1 ZINC001155268619 1073148033 /nfs/dbraw/zinc/14/80/33/1073148033.db2.gz VCXJTKNWZCITAF-HNNXBMFYSA-N 0 0 431.536 -0.139 20 0 IBADRN CN(C(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)N1CCS(=O)(=O)CC1 ZINC001155268620 1073148148 /nfs/dbraw/zinc/14/81/48/1073148148.db2.gz VCXJTKNWZCITAF-OAHLLOKOSA-N 0 0 431.536 -0.139 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC001155308917 1073148074 /nfs/dbraw/zinc/14/80/74/1073148074.db2.gz CMNBCLSEGOYOFU-AWEZNQCLSA-N 0 0 427.527 -0.213 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC001155308918 1073148053 /nfs/dbraw/zinc/14/80/53/1073148053.db2.gz CMNBCLSEGOYOFU-CQSZACIVSA-N 0 0 427.527 -0.213 20 0 IBADRN CCCN(C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@H]1CC(=O)N(C)C1=O ZINC001155353733 1073148000 /nfs/dbraw/zinc/14/80/00/1073148000.db2.gz HVRRMCWTPRUELR-KBPBESRZSA-N 0 0 431.898 -0.025 20 0 IBADRN CCCN(C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@H]1CC(=O)N(C)C1=O ZINC001155353747 1073148169 /nfs/dbraw/zinc/14/81/69/1073148169.db2.gz HVRRMCWTPRUELR-KGLIPLIRSA-N 0 0 431.898 -0.025 20 0 IBADRN CCCN(C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@@H]1CC(=O)N(C)C1=O ZINC001155353748 1073147926 /nfs/dbraw/zinc/14/79/26/1073147926.db2.gz HVRRMCWTPRUELR-UONOGXRCSA-N 0 0 431.898 -0.025 20 0 IBADRN CCCN(C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@@H]1CC(=O)N(C)C1=O ZINC001155353749 1073148612 /nfs/dbraw/zinc/14/86/12/1073148612.db2.gz HVRRMCWTPRUELR-ZIAGYGMSSA-N 0 0 431.898 -0.025 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnc3cc(Br)ccn32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001155364043 1073148592 /nfs/dbraw/zinc/14/85/92/1073148592.db2.gz LMHSJGBFVLJVSW-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCNC(=O)[C@H]1c1ccc(Br)cc1 ZINC001155365255 1073148618 /nfs/dbraw/zinc/14/86/18/1073148618.db2.gz IDMMRHGXDVRHFB-QMTHXVAHSA-N 0 0 436.270 -0.360 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCNC(=O)[C@@H]1c1ccc(Br)cc1 ZINC001155365256 1073148650 /nfs/dbraw/zinc/14/86/50/1073148650.db2.gz IDMMRHGXDVRHFB-YGRLFVJLSA-N 0 0 436.270 -0.360 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nn3ccccc3c2Br)[C@@H](O)[C@H](O)[C@@H]1O ZINC001155377343 1073148661 /nfs/dbraw/zinc/14/86/61/1073148661.db2.gz VVFSEXJLNJFPAN-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN O=C(c1nnc2ccccn21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001155380994 1073148654 /nfs/dbraw/zinc/14/86/54/1073148654.db2.gz UVESTKUFAVKBDS-RBSFLKMASA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1nnc2ccccn21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001155380995 1073148448 /nfs/dbraw/zinc/14/84/48/1073148448.db2.gz UVESTKUFAVKBDS-RRFJBIMHSA-N 0 0 433.490 -0.537 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2nnc3ccccn32)cc1Cl ZINC001155385981 1073148643 /nfs/dbraw/zinc/14/86/43/1073148643.db2.gz OSJQHMZCGQUOKV-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN COCCN1CN(C(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)CC1=O ZINC001155386987 1073148606 /nfs/dbraw/zinc/14/86/06/1073148606.db2.gz WUIAGAVWLZQAER-ILXRZTDVSA-N 0 0 445.538 -0.069 20 0 IBADRN COCCN1CN(C(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@@H]32)CC1=O ZINC001155386988 1073148517 /nfs/dbraw/zinc/14/85/17/1073148517.db2.gz WUIAGAVWLZQAER-KFWWJZLASA-N 0 0 445.538 -0.069 20 0 IBADRN COCCN1CN(C(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]23)CC1=O ZINC001155386989 1073148526 /nfs/dbraw/zinc/14/85/26/1073148526.db2.gz WUIAGAVWLZQAER-QLFBSQMISA-N 0 0 445.538 -0.069 20 0 IBADRN COCCN1CN(C(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]23)CC1=O ZINC001155386990 1073148566 /nfs/dbraw/zinc/14/85/66/1073148566.db2.gz WUIAGAVWLZQAER-RBSFLKMASA-N 0 0 445.538 -0.069 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1nnc2ccccn21)C(=O)NCC(N)=O ZINC001155387302 1073148555 /nfs/dbraw/zinc/14/85/55/1073148555.db2.gz HYPYCRJQYKDZPU-KBPBESRZSA-N 0 0 429.481 -0.534 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1nnc2ccccn21 ZINC001155387919 1073148638 /nfs/dbraw/zinc/14/86/38/1073148638.db2.gz BGZHZTZZAZSHRH-GHTRYYNOSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1nnc2ccccn21 ZINC001155387922 1073148489 /nfs/dbraw/zinc/14/84/89/1073148489.db2.gz BGZHZTZZAZSHRH-NHMNCCLUSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1nnc2ccccn21 ZINC001155387924 1073149170 /nfs/dbraw/zinc/14/91/70/1073149170.db2.gz BGZHZTZZAZSHRH-NWBKRVSMSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1nnc2ccccn21 ZINC001155387926 1073149110 /nfs/dbraw/zinc/14/91/10/1073149110.db2.gz BGZHZTZZAZSHRH-SOOYWPCWSA-N 0 0 432.458 -0.126 20 0 IBADRN Nc1nc(=O)c2sc(=O)[nH]c2n1-c1cc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001155440825 1073149118 /nfs/dbraw/zinc/14/91/18/1073149118.db2.gz WMYYQGMYMDCHNT-UHFFFAOYSA-N 0 0 432.466 -0.164 20 0 IBADRN Cc1c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nc2c(Cl)cccn12 ZINC001155456484 1073149163 /nfs/dbraw/zinc/14/91/63/1073149163.db2.gz HBUOIYSSYOWNFH-IWCJZZDYSA-N 0 0 434.840 -0.273 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC001155473439 1073149160 /nfs/dbraw/zinc/14/91/60/1073149160.db2.gz GQXGYTSVSOQMKT-DZGCQCFKSA-N 0 0 446.547 -0.126 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC001155473440 1073149033 /nfs/dbraw/zinc/14/90/33/1073149033.db2.gz GQXGYTSVSOQMKT-HIFRSBDPSA-N 0 0 446.547 -0.126 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC001155473441 1073149221 /nfs/dbraw/zinc/14/92/21/1073149221.db2.gz GQXGYTSVSOQMKT-UKRRQHHQSA-N 0 0 446.547 -0.126 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC001155473442 1073149090 /nfs/dbraw/zinc/14/90/90/1073149090.db2.gz GQXGYTSVSOQMKT-ZFWWWQNUSA-N 0 0 446.547 -0.126 20 0 IBADRN Cc1c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nc2cc(Cl)ccn12 ZINC001155474912 1073149214 /nfs/dbraw/zinc/14/92/14/1073149214.db2.gz HIRWFHVXRDTBQP-GWBBYGMBSA-N 0 0 434.840 -0.273 20 0 IBADRN O=C(c1ccc(NCc2cc(=O)n3c(n2)CNCCC3)nc1)N1CCS(=O)(=O)CC1 ZINC001155490050 1073149182 /nfs/dbraw/zinc/14/91/82/1073149182.db2.gz RWBAOPUVTMKEKR-UHFFFAOYSA-N 0 0 432.506 -0.386 20 0 IBADRN COc1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c2cccnc12 ZINC001155492339 1073149134 /nfs/dbraw/zinc/14/91/34/1073149134.db2.gz JFEABWXTCKOROS-VVHMCBODSA-N 0 0 427.417 -0.326 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001155513486 1073149151 /nfs/dbraw/zinc/14/91/51/1073149151.db2.gz GTRQTMBCEPKHJE-UHFFFAOYSA-N 0 0 446.551 -0.676 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001155513500 1073149005 /nfs/dbraw/zinc/14/90/05/1073149005.db2.gz HBKUXXQPHGMQBI-HIFRSBDPSA-N 0 0 449.526 -0.510 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC001155514299 1073149638 /nfs/dbraw/zinc/14/96/38/1073149638.db2.gz WASIZJCVDMCGPB-CYBMUJFWSA-N 0 0 430.914 -0.146 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC001155514302 1073149518 /nfs/dbraw/zinc/14/95/18/1073149518.db2.gz WASIZJCVDMCGPB-ZDUSSCGKSA-N 0 0 430.914 -0.146 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC001155515488 1073149555 /nfs/dbraw/zinc/14/95/55/1073149555.db2.gz BEYMMDZBKKGJQQ-LLVKDONJSA-N 0 0 432.515 -0.580 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC001155515489 1073149623 /nfs/dbraw/zinc/14/96/23/1073149623.db2.gz BEYMMDZBKKGJQQ-NSHDSACASA-N 0 0 432.515 -0.580 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2c3c(ccc2Br)OCO3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001155518384 1073149610 /nfs/dbraw/zinc/14/96/10/1073149610.db2.gz KVAUGFAGOOFAKG-JVGCTUHESA-N 0 0 434.239 -0.248 20 0 IBADRN COC(=O)[C@@H]1CSCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001155520106 1073149531 /nfs/dbraw/zinc/14/95/31/1073149531.db2.gz BJKWNSMBMCBILF-AWEZNQCLSA-N 0 0 429.520 -0.216 20 0 IBADRN COC(=O)[C@H]1CSCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001155520107 1073149582 /nfs/dbraw/zinc/14/95/82/1073149582.db2.gz BJKWNSMBMCBILF-CQSZACIVSA-N 0 0 429.520 -0.216 20 0 IBADRN CNC(=O)C1(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOCC1 ZINC001155521746 1073149546 /nfs/dbraw/zinc/14/95/46/1073149546.db2.gz OYTIJULDOQWGDG-UHFFFAOYSA-N 0 0 427.479 -0.462 20 0 IBADRN COC(=O)c1cnc(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)s1 ZINC001155530402 1073149602 /nfs/dbraw/zinc/14/96/02/1073149602.db2.gz YNABPYPULIXQFC-UHFFFAOYSA-N 0 0 438.487 -0.020 20 0 IBADRN COC(=O)C1(NC(=O)CNS(=O)(=O)c2cc(C)ccc2C)CCS(=O)(=O)CC1 ZINC001155538178 1073149490 /nfs/dbraw/zinc/14/94/90/1073149490.db2.gz DMHMYPKHWGRMNG-UHFFFAOYSA-N 0 0 432.520 -0.182 20 0 IBADRN COC(=O)C1(NC(=O)CNS(=O)(=O)c2ccc(C)c(C)c2)CCS(=O)(=O)CC1 ZINC001155538506 1073149596 /nfs/dbraw/zinc/14/95/96/1073149596.db2.gz IHDVPTQPAUVBMA-UHFFFAOYSA-N 0 0 432.520 -0.182 20 0 IBADRN COC(=O)C1(NC(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CCS(=O)(=O)CC1 ZINC001155539509 1073149461 /nfs/dbraw/zinc/14/94/61/1073149461.db2.gz UVCOPWXJDRTFSE-UHFFFAOYSA-N 0 0 439.421 -0.276 20 0 IBADRN Cc1ccc(C(=O)NCC2(S(N)(=O)=O)CCC2)cc1S(=O)(=O)N1CCOCC1 ZINC001155542531 1073149564 /nfs/dbraw/zinc/14/95/64/1073149564.db2.gz AYBUVBDZGBBLJU-UHFFFAOYSA-N 0 0 431.536 -0.043 20 0 IBADRN O=C(c1ccn2ncnc2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001155583703 1073150092 /nfs/dbraw/zinc/15/00/92/1073150092.db2.gz PCGYREAAPDUSQE-ARFHVFGLSA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1ccn2ncnc2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001155583704 1073149967 /nfs/dbraw/zinc/14/99/67/1073149967.db2.gz PCGYREAAPDUSQE-BZUAXINKSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccn2ncnc2c1)C(=O)NCC(N)=O ZINC001155583891 1073149997 /nfs/dbraw/zinc/14/99/97/1073149997.db2.gz YBDZLLKCCOVJIP-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2ccn3ncnc3c2)cc1Cl ZINC001155587780 1073150060 /nfs/dbraw/zinc/15/00/60/1073150060.db2.gz WUVCNNOUNACFIV-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1ccn2ncnc2c1 ZINC001155588672 1073150084 /nfs/dbraw/zinc/15/00/84/1073150084.db2.gz DCVZEYCUUYKRMM-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1ccn2ncnc2c1 ZINC001155588673 1073149910 /nfs/dbraw/zinc/14/99/10/1073149910.db2.gz DCVZEYCUUYKRMM-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1ccn2ncnc2c1 ZINC001155588675 1073149933 /nfs/dbraw/zinc/14/99/33/1073149933.db2.gz DCVZEYCUUYKRMM-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1ccn2ncnc2c1 ZINC001155588677 1073150006 /nfs/dbraw/zinc/15/00/06/1073150006.db2.gz DCVZEYCUUYKRMM-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN COC(=O)N1CCC(NC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001155594486 1073150071 /nfs/dbraw/zinc/15/00/71/1073150071.db2.gz CNNSLZVIQNPFIW-UHFFFAOYSA-N 0 0 433.508 -0.285 20 0 IBADRN Cn1c(=O)[nH]c2cccc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)c21 ZINC001155652460 1073150020 /nfs/dbraw/zinc/15/00/20/1073150020.db2.gz UNIVYEUMYKUAOM-GJZGRUSLSA-N 0 0 425.449 -0.181 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]23)C1=O ZINC001155655836 1073150054 /nfs/dbraw/zinc/15/00/54/1073150054.db2.gz FVNMLFRXCLKPBQ-FVCCEPFGSA-N 0 0 429.495 -0.470 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]23)C1=O ZINC001155655838 1073149958 /nfs/dbraw/zinc/14/99/58/1073149958.db2.gz FVNMLFRXCLKPBQ-MROQNXINSA-N 0 0 429.495 -0.470 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@@H]32)C1=O ZINC001155655841 1073150047 /nfs/dbraw/zinc/15/00/47/1073150047.db2.gz FVNMLFRXCLKPBQ-NDBYEHHHSA-N 0 0 429.495 -0.470 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1=O ZINC001155655842 1073149921 /nfs/dbraw/zinc/14/99/21/1073149921.db2.gz FVNMLFRXCLKPBQ-VOAKCMCISA-N 0 0 429.495 -0.470 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H]2CC(=O)N(C)C2=O)c1 ZINC001155656325 1073149976 /nfs/dbraw/zinc/14/99/76/1073149976.db2.gz KEVOFCFAWKZJGQ-GFCCVEGCSA-N 0 0 448.295 -0.139 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@H]2CC(=O)N(C)C2=O)c1 ZINC001155656326 1073149990 /nfs/dbraw/zinc/14/99/90/1073149990.db2.gz KEVOFCFAWKZJGQ-LBPRGKRZSA-N 0 0 448.295 -0.139 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nnc3ccc(Br)cn32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001155688702 1073150009 /nfs/dbraw/zinc/15/00/09/1073150009.db2.gz PVYLEPMMTKLYAY-KHSLOMCASA-N 0 0 431.243 -0.934 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cn1nc(C(F)(F)F)c2c1CCOC2 ZINC001155689200 1073150363 /nfs/dbraw/zinc/15/03/63/1073150363.db2.gz BDPMCMPGGXRTML-CYBMUJFWSA-N 0 0 448.398 -0.119 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cn1nc(C(F)(F)F)c2c1CCOC2 ZINC001155689201 1073150342 /nfs/dbraw/zinc/15/03/42/1073150342.db2.gz BDPMCMPGGXRTML-ZDUSSCGKSA-N 0 0 448.398 -0.119 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC001155689282 1073150353 /nfs/dbraw/zinc/15/03/53/1073150353.db2.gz CYDDZDGMRGXWDJ-INIZCTEOSA-N 0 0 441.506 -0.701 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC001155689283 1073150378 /nfs/dbraw/zinc/15/03/78/1073150378.db2.gz CYDDZDGMRGXWDJ-MRXNPFEDSA-N 0 0 441.506 -0.701 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CS(=O)(=O)NC1CCC(C)CC1 ZINC001155689446 1073150369 /nfs/dbraw/zinc/15/03/69/1073150369.db2.gz DHDGRFRLAGLXHA-NRXISQOPSA-N 0 0 433.527 -0.609 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CS(=O)(=O)NC1CCC(C)CC1 ZINC001155689447 1073150325 /nfs/dbraw/zinc/15/03/25/1073150325.db2.gz DHDGRFRLAGLXHA-YMAMQOFZSA-N 0 0 433.527 -0.609 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H](CCOC)NC(=O)OC(C)(C)C ZINC001155689460 1073150330 /nfs/dbraw/zinc/15/03/30/1073150330.db2.gz DQQJMRWVOXHLDX-KBPBESRZSA-N 0 0 431.486 -0.177 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H](CCOC)NC(=O)OC(C)(C)C ZINC001155689461 1073150317 /nfs/dbraw/zinc/15/03/17/1073150317.db2.gz DQQJMRWVOXHLDX-KGLIPLIRSA-N 0 0 431.486 -0.177 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H](CCOC)NC(=O)OC(C)(C)C ZINC001155689462 1073150295 /nfs/dbraw/zinc/15/02/95/1073150295.db2.gz DQQJMRWVOXHLDX-UONOGXRCSA-N 0 0 431.486 -0.177 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H](CCOC)NC(=O)OC(C)(C)C ZINC001155689463 1073150315 /nfs/dbraw/zinc/15/03/15/1073150315.db2.gz DQQJMRWVOXHLDX-ZIAGYGMSSA-N 0 0 431.486 -0.177 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNC(=O)c1cc(OC)cc(OC)c1 ZINC001155689648 1073150422 /nfs/dbraw/zinc/15/04/22/1073150422.db2.gz HCEBSAHVTJJQEX-INIZCTEOSA-N 0 0 437.449 -0.660 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNC(=O)c1cc(OC)cc(OC)c1 ZINC001155689649 1073150406 /nfs/dbraw/zinc/15/04/06/1073150406.db2.gz HCEBSAHVTJJQEX-MRXNPFEDSA-N 0 0 437.449 -0.660 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1nc(N(C)C)ncc1Br ZINC001155689664 1073150311 /nfs/dbraw/zinc/15/03/11/1073150311.db2.gz HPQKPMFZXYGAMJ-LLVKDONJSA-N 0 0 444.286 -0.175 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1nc(N(C)C)ncc1Br ZINC001155689665 1073150415 /nfs/dbraw/zinc/15/04/15/1073150415.db2.gz HPQKPMFZXYGAMJ-NSHDSACASA-N 0 0 444.286 -0.175 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCNS(=O)(=O)c1ccc(C)cc1 ZINC001155689705 1073150305 /nfs/dbraw/zinc/15/03/05/1073150305.db2.gz IUCKGMQFDFELGK-INIZCTEOSA-N 0 0 441.506 -0.430 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCNS(=O)(=O)c1ccc(C)cc1 ZINC001155689706 1073150383 /nfs/dbraw/zinc/15/03/83/1073150383.db2.gz IUCKGMQFDFELGK-MRXNPFEDSA-N 0 0 441.506 -0.430 20 0 IBADRN CN1C(=O)CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2Cl)CC1=O ZINC001155690212 1073150319 /nfs/dbraw/zinc/15/03/19/1073150319.db2.gz KJZAXVBCYNRHSD-CYBMUJFWSA-N 0 0 429.882 -0.300 20 0 IBADRN CN1C(=O)CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2Cl)CC1=O ZINC001155690237 1073150391 /nfs/dbraw/zinc/15/03/91/1073150391.db2.gz KJZAXVBCYNRHSD-ZDUSSCGKSA-N 0 0 429.882 -0.300 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC001155690281 1073150397 /nfs/dbraw/zinc/15/03/97/1073150397.db2.gz LGRKVLDNWNCXFT-HNNXBMFYSA-N 0 0 445.469 -0.599 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC001155690282 1073150335 /nfs/dbraw/zinc/15/03/35/1073150335.db2.gz LGRKVLDNWNCXFT-OAHLLOKOSA-N 0 0 445.469 -0.599 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001155690694 1073150821 /nfs/dbraw/zinc/15/08/21/1073150821.db2.gz NRDPVLLEPCWOMV-UONOGXRCSA-N 0 0 429.470 -0.471 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001155690711 1073150849 /nfs/dbraw/zinc/15/08/49/1073150849.db2.gz NRDPVLLEPCWOMV-ZIAGYGMSSA-N 0 0 429.470 -0.471 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC001155691124 1073150844 /nfs/dbraw/zinc/15/08/44/1073150844.db2.gz QLWCZSAMJYTFDA-HNNXBMFYSA-N 0 0 432.433 -0.635 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC001155691125 1073150855 /nfs/dbraw/zinc/15/08/55/1073150855.db2.gz QLWCZSAMJYTFDA-OAHLLOKOSA-N 0 0 432.433 -0.635 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O ZINC001155691141 1073150853 /nfs/dbraw/zinc/15/08/53/1073150853.db2.gz RCGQACXFWTXDMO-DMAKZNTKSA-N 0 0 438.481 -0.606 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CN1C(=O)NC2(CCC(C)CC2)C1=O ZINC001155691142 1073150835 /nfs/dbraw/zinc/15/08/35/1073150835.db2.gz RCGQACXFWTXDMO-LQZXRHCMSA-N 0 0 438.481 -0.606 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(OC)c(N2CCNC2=O)c1 ZINC001155691267 1073150866 /nfs/dbraw/zinc/15/08/66/1073150866.db2.gz VJEHIKACPJVBEJ-HNNXBMFYSA-N 0 0 434.449 -0.255 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(OC)c(N2CCNC2=O)c1 ZINC001155691268 1073150908 /nfs/dbraw/zinc/15/09/08/1073150908.db2.gz VJEHIKACPJVBEJ-OAHLLOKOSA-N 0 0 434.449 -0.255 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)/C=C/C(=O)NCc1ccc(OC)cc1 ZINC001155691440 1073150893 /nfs/dbraw/zinc/15/08/93/1073150893.db2.gz XPPXBPYULUGRPK-IJDCCNJMSA-N 0 0 433.461 -0.226 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)/C=C\C(=O)NCc1ccc(OC)cc1 ZINC001155691441 1073150858 /nfs/dbraw/zinc/15/08/58/1073150858.db2.gz XPPXBPYULUGRPK-JJEJIETFSA-N 0 0 433.461 -0.226 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)/C=C/C(=O)NCc1ccc(OC)cc1 ZINC001155691442 1073150870 /nfs/dbraw/zinc/15/08/70/1073150870.db2.gz XPPXBPYULUGRPK-KBOKABMXSA-N 0 0 433.461 -0.226 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)/C=C\C(=O)NCc1ccc(OC)cc1 ZINC001155691443 1073150872 /nfs/dbraw/zinc/15/08/72/1073150872.db2.gz XPPXBPYULUGRPK-XZVRFQMRSA-N 0 0 433.461 -0.226 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001155691516 1073150862 /nfs/dbraw/zinc/15/08/62/1073150862.db2.gz ZQLPEEXDNXZQPB-HNNXBMFYSA-N 0 0 427.479 -0.543 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001155691517 1073150890 /nfs/dbraw/zinc/15/08/90/1073150890.db2.gz ZQLPEEXDNXZQPB-OAHLLOKOSA-N 0 0 427.479 -0.543 20 0 IBADRN COC(=O)c1cnc(N2CCC3(COC(=O)N3)CC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001155702712 1073150898 /nfs/dbraw/zinc/15/08/98/1073150898.db2.gz OTPJSJUWNYHZNW-UHFFFAOYSA-N 0 0 440.478 -0.032 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@H](CNc1nc3c(c(N4CCOCC4)n1)CNC3)OCC2 ZINC001155716399 1073150881 /nfs/dbraw/zinc/15/08/81/1073150881.db2.gz OKVVLYQSLUSXMD-KRWDZBQOSA-N 0 0 442.524 -0.073 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@@H](CNc1nc3c(c(N4CCOCC4)n1)CNC3)OCC2 ZINC001155716402 1073150825 /nfs/dbraw/zinc/15/08/25/1073150825.db2.gz OKVVLYQSLUSXMD-QGZVFWFLSA-N 0 0 442.524 -0.073 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@H](CNc1cc(N3CCC[C@@H]3C(N)=O)ncn1)OCC2 ZINC001155717081 1073150838 /nfs/dbraw/zinc/15/08/38/1073150838.db2.gz FPQNGPLDFYPRMB-CABCVRRESA-N 0 0 428.497 -0.059 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@H](CNc1cc(N3CCC[C@H]3C(N)=O)ncn1)OCC2 ZINC001155717082 1073151402 /nfs/dbraw/zinc/15/14/02/1073151402.db2.gz FPQNGPLDFYPRMB-GJZGRUSLSA-N 0 0 428.497 -0.059 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@@H](CNc1cc(N3CCC[C@@H]3C(N)=O)ncn1)OCC2 ZINC001155717083 1073151302 /nfs/dbraw/zinc/15/13/02/1073151302.db2.gz FPQNGPLDFYPRMB-HUUCEWRRSA-N 0 0 428.497 -0.059 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@@H](CNc1cc(N3CCC[C@H]3C(N)=O)ncn1)OCC2 ZINC001155717084 1073151441 /nfs/dbraw/zinc/15/14/41/1073151441.db2.gz FPQNGPLDFYPRMB-LSDHHAIUSA-N 0 0 428.497 -0.059 20 0 IBADRN COc1ccc2sc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nc2c1 ZINC001155722742 1073151326 /nfs/dbraw/zinc/15/13/26/1073151326.db2.gz GTNGFXLFBROGJN-IWCJZZDYSA-N 0 0 433.446 -0.264 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)NCCS(=O)(=O)N=S(C)(C)=O)c1 ZINC001155726978 1073151258 /nfs/dbraw/zinc/15/12/58/1073151258.db2.gz ROYYOYDAKMDFNK-UHFFFAOYSA-N 0 0 425.554 -0.245 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)c1c(Br)nc2n1CCOC2 ZINC001155727042 1073151288 /nfs/dbraw/zinc/15/12/88/1073151288.db2.gz TZNWNUOAOABBHD-UHFFFAOYSA-N 0 0 429.318 -0.037 20 0 IBADRN COC(=O)[C@H](Nc1nc(N2CCOCC2)nc(N2CCOCC2)n1)c1ccc(O)cc1 ZINC001155728509 1073151244 /nfs/dbraw/zinc/15/12/44/1073151244.db2.gz NIMGUMCQKROCLH-MRXNPFEDSA-N 0 0 430.465 -0.002 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1ccc3c(n1)NC(=O)CO3)CC2 ZINC001155729345 1073151227 /nfs/dbraw/zinc/15/12/27/1073151227.db2.gz LATTWBMTSNKRNN-UHFFFAOYSA-N 0 0 439.476 -0.268 20 0 IBADRN O=C(c1ccc2c(n1)NC(=O)CO2)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001155730213 1073151196 /nfs/dbraw/zinc/15/11/96/1073151196.db2.gz DXGRRZVKPSCZAB-FQEVSTJZSA-N 0 0 426.433 -0.050 20 0 IBADRN O=C(c1ccc2c(n1)NC(=O)CO2)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001155730225 1073151221 /nfs/dbraw/zinc/15/12/21/1073151221.db2.gz DXGRRZVKPSCZAB-HXUWFJFHSA-N 0 0 426.433 -0.050 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)c3ccc4c(n3)NC(=O)CO4)[C@@H]3CCCO[C@@H]32)cn1 ZINC001155730372 1073151427 /nfs/dbraw/zinc/15/14/27/1073151427.db2.gz GYTVROSXHLCJAB-JJRVBVJISA-N 0 0 426.433 -0.052 20 0 IBADRN O=C(C[C@@H]1COC[C@H]2CN(C(=O)c3ccc4c(n3)NC(=O)CO4)C[C@H]21)N1CCOCC1 ZINC001155730563 1073151385 /nfs/dbraw/zinc/15/13/85/1073151385.db2.gz UFSDYMRCFWIGSQ-KFWWJZLASA-N 0 0 430.461 -0.004 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)c2ccc3c(n2)NC(=O)CO3)[C@@H]2CCCO[C@@H]21 ZINC001155730834 1073151378 /nfs/dbraw/zinc/15/13/78/1073151378.db2.gz WWTARWMUSUMSLW-WOSRLPQWSA-N 0 0 426.433 -0.052 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1ccc3c(n1)NC(=O)CO3)CC2 ZINC001155730999 1073151264 /nfs/dbraw/zinc/15/12/64/1073151264.db2.gz MGJUFGVPLZNHME-UHFFFAOYSA-N 0 0 425.445 -0.097 20 0 IBADRN CS(=O)(=O)n1cc2c(n1)CN(c1cc(N3CCOCC3)nc(N3CCOCC3)n1)C2 ZINC001155739217 1073151461 /nfs/dbraw/zinc/15/14/61/1073151461.db2.gz YIOUOPOTHFJBNK-UHFFFAOYSA-N 0 0 435.510 -0.326 20 0 IBADRN CS(=O)(=O)n1cc2c(n1)CN(c1ccc(C(=O)N3CCS(=O)(=O)CC3)cn1)C2 ZINC001155758730 1073151940 /nfs/dbraw/zinc/15/19/40/1073151940.db2.gz JGNYNLFLFICXPP-UHFFFAOYSA-N 0 0 425.492 -0.523 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1coc2ccc(Br)cc2c1=O ZINC001155765169 1073151852 /nfs/dbraw/zinc/15/18/52/1073151852.db2.gz IPOAIQGSLRMOLA-CRIQSBETSA-N 0 0 430.207 -0.915 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1coc2ccc(Br)cc2c1=O ZINC001155765170 1073151780 /nfs/dbraw/zinc/15/17/80/1073151780.db2.gz IPOAIQGSLRMOLA-PSDNQQDLSA-N 0 0 430.207 -0.915 20 0 IBADRN O=C(NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)c1cc(N2CCNC(=O)C2)ccn1 ZINC001155823326 1073151929 /nfs/dbraw/zinc/15/19/29/1073151929.db2.gz NVVRJHDERYNNKL-UHFFFAOYSA-N 0 0 426.355 -0.228 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001155831631 1073151900 /nfs/dbraw/zinc/15/19/00/1073151900.db2.gz LRBXLOSAFAWGIX-UHFFFAOYSA-N 0 0 427.405 -0.696 20 0 IBADRN CC(C)(C)c1cc2ncc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cn2n1 ZINC001155849918 1073151873 /nfs/dbraw/zinc/15/18/73/1073151873.db2.gz QAQBGXNFBVBJNS-NFBAFIMDSA-N 0 0 443.464 -0.542 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCC(O)(COCCO)CC1 ZINC001155852029 1073151858 /nfs/dbraw/zinc/15/18/58/1073151858.db2.gz GMULWRACDAKOJX-UHFFFAOYSA-N 0 0 444.506 -0.511 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC(O)(COCCO)CC1 ZINC001155853286 1073151955 /nfs/dbraw/zinc/15/19/55/1073151955.db2.gz WVAUDFVIPWIDAA-HNNXBMFYSA-N 0 0 429.514 -0.136 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCC(O)(COCCO)CC1 ZINC001155853287 1073151823 /nfs/dbraw/zinc/15/18/23/1073151823.db2.gz WVAUDFVIPWIDAA-OAHLLOKOSA-N 0 0 429.514 -0.136 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CCC(O)(COCCO)CC1 ZINC001155857948 1073151913 /nfs/dbraw/zinc/15/19/13/1073151913.db2.gz NTIVSZHFRCECDA-UHFFFAOYSA-N 0 0 448.563 -0.131 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cnc3cc(C4CC4)nn3c2)c(=O)n1 ZINC001155866737 1073151791 /nfs/dbraw/zinc/15/17/91/1073151791.db2.gz JICXYMDSMUAYNM-HALQFCHDSA-N 0 0 427.421 -0.963 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cn(C2CCCC2)c2ncnc(Cl)c12 ZINC001155889682 1073151756 /nfs/dbraw/zinc/15/17/56/1073151756.db2.gz WUYUFTUFWSQFMS-MHEBWSGJSA-N 0 0 426.857 -0.271 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cn(C2CCCC2)c2ncnc(Cl)c12 ZINC001155889683 1073151849 /nfs/dbraw/zinc/15/18/49/1073151849.db2.gz WUYUFTUFWSQFMS-NYLVOFQGSA-N 0 0 426.857 -0.271 20 0 IBADRN CN1CCC2(CCN(C(=O)CNS(=O)(=O)c3cccc(S(C)(=O)=O)c3)CC2)C1=O ZINC001155908786 1073151773 /nfs/dbraw/zinc/15/17/73/1073151773.db2.gz MOCAPQINZYFAKA-UHFFFAOYSA-N 0 0 443.547 -0.161 20 0 IBADRN O=C(c1cnc2nccn2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001155910589 1073152281 /nfs/dbraw/zinc/15/22/81/1073152281.db2.gz LWPXRDXGXQCBNL-ARFHVFGLSA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cnc2nccn2c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001155910590 1073152249 /nfs/dbraw/zinc/15/22/49/1073152249.db2.gz LWPXRDXGXQCBNL-BZUAXINKSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnc2nccn2c1)C(=O)NCC(N)=O ZINC001155913843 1073152269 /nfs/dbraw/zinc/15/22/69/1073152269.db2.gz VBOKRJSESBLQFP-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cnc3nccn3c2)cc1Cl ZINC001155915578 1073152345 /nfs/dbraw/zinc/15/23/45/1073152345.db2.gz LZONUDFTGRESNV-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cnc2nccn2c1 ZINC001155918320 1073152306 /nfs/dbraw/zinc/15/23/06/1073152306.db2.gz NERJUFTWLPKNAI-DXWTWGPWSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cnc2nccn2c1 ZINC001155918321 1073152332 /nfs/dbraw/zinc/15/23/32/1073152332.db2.gz NERJUFTWLPKNAI-IMPIEMTGSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cnc2nccn2c1 ZINC001155918322 1073152358 /nfs/dbraw/zinc/15/23/58/1073152358.db2.gz NERJUFTWLPKNAI-JFBPSJKJSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cnc2nccn2c1 ZINC001155918323 1073152326 /nfs/dbraw/zinc/15/23/26/1073152326.db2.gz NERJUFTWLPKNAI-OCABDXPQSA-N 0 0 432.458 -0.126 20 0 IBADRN CO[C@]1(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCS(=O)(=O)C1 ZINC001155929184 1073152283 /nfs/dbraw/zinc/15/22/83/1073152283.db2.gz ARVMSLKYCLDPRY-KRWDZBQOSA-N 0 0 448.519 -0.554 20 0 IBADRN CO[C@@]1(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCS(=O)(=O)C1 ZINC001155929187 1073152258 /nfs/dbraw/zinc/15/22/58/1073152258.db2.gz ARVMSLKYCLDPRY-QGZVFWFLSA-N 0 0 448.519 -0.554 20 0 IBADRN COC(=O)c1cc2c(ncnc2N[C@H](CO)C(=O)OC)n1S(=O)(=O)c1ccccc1 ZINC001155929194 1073152290 /nfs/dbraw/zinc/15/22/90/1073152290.db2.gz UBHFJRVHXNZAFU-CYBMUJFWSA-N 0 0 434.430 -0.177 20 0 IBADRN CO[C@]1(CNC(=O)CCNS(=O)(=O)c2ccc(C(C)=O)cc2)CCS(=O)(=O)C1 ZINC001155929464 1073152381 /nfs/dbraw/zinc/15/23/81/1073152381.db2.gz DFFZRZWMAQAJAP-KRWDZBQOSA-N 0 0 432.520 -0.123 20 0 IBADRN CO[C@@]1(CNC(=O)CCNS(=O)(=O)c2ccc(C(C)=O)cc2)CCS(=O)(=O)C1 ZINC001155929465 1073152314 /nfs/dbraw/zinc/15/23/14/1073152314.db2.gz DFFZRZWMAQAJAP-QGZVFWFLSA-N 0 0 432.520 -0.123 20 0 IBADRN CO[C@]1(CNC(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CCS(=O)(=O)C1 ZINC001155931337 1073152385 /nfs/dbraw/zinc/15/23/85/1073152385.db2.gz ZXVWBJQZJSEPSH-KRWDZBQOSA-N 0 0 448.567 -0.253 20 0 IBADRN CO[C@@]1(CNC(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CCS(=O)(=O)C1 ZINC001155931338 1073152362 /nfs/dbraw/zinc/15/23/62/1073152362.db2.gz ZXVWBJQZJSEPSH-QGZVFWFLSA-N 0 0 448.567 -0.253 20 0 IBADRN CO[C@@]1(CNC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CCS(=O)(=O)C1 ZINC001155940420 1073152374 /nfs/dbraw/zinc/15/23/74/1073152374.db2.gz WMDNWPCMHIFILH-DZGCQCFKSA-N 0 0 440.927 -0.701 20 0 IBADRN CO[C@]1(CNC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CCS(=O)(=O)C1 ZINC001155940422 1073152338 /nfs/dbraw/zinc/15/23/38/1073152338.db2.gz WMDNWPCMHIFILH-HIFRSBDPSA-N 0 0 440.927 -0.701 20 0 IBADRN CO[C@@]1(CNC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CCS(=O)(=O)C1 ZINC001155940424 1073152321 /nfs/dbraw/zinc/15/23/21/1073152321.db2.gz WMDNWPCMHIFILH-UKRRQHHQSA-N 0 0 440.927 -0.701 20 0 IBADRN CO[C@]1(CNC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CCS(=O)(=O)C1 ZINC001155940425 1073152297 /nfs/dbraw/zinc/15/22/97/1073152297.db2.gz WMDNWPCMHIFILH-ZFWWWQNUSA-N 0 0 440.927 -0.701 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nnc3cc(Br)ccn32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001155942079 1073152825 /nfs/dbraw/zinc/15/28/25/1073152825.db2.gz IIIJDWGAIHFOGG-KHSLOMCASA-N 0 0 431.243 -0.934 20 0 IBADRN CC(C)(C)c1nnc2ccc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cn21 ZINC001155951379 1073152894 /nfs/dbraw/zinc/15/28/94/1073152894.db2.gz MSLUOKRKLYNCEX-BNGXUDDSSA-N 0 0 443.464 -0.542 20 0 IBADRN O=C(NCC1(C2(O)CCOCC2)CC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001155953790 1073152835 /nfs/dbraw/zinc/15/28/35/1073152835.db2.gz JWRLIHDJHJLLFB-UHFFFAOYSA-N 0 0 437.518 -0.141 20 0 IBADRN Cn1nc(I)c2cc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@H]43)ccc21 ZINC001155991705 1073152764 /nfs/dbraw/zinc/15/27/64/1073152764.db2.gz XRTGKTCEZJSWNY-DRZSPHRISA-N 0 0 427.250 -0.689 20 0 IBADRN Cn1nc(I)c2cc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@@H]43)ccc21 ZINC001155991706 1073152749 /nfs/dbraw/zinc/15/27/49/1073152749.db2.gz XRTGKTCEZJSWNY-KGYLQXTDSA-N 0 0 427.250 -0.689 20 0 IBADRN Cn1nc(I)c2cc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@@H]43)ccc21 ZINC001155991707 1073152777 /nfs/dbraw/zinc/15/27/77/1073152777.db2.gz XRTGKTCEZJSWNY-WCFLWFBJSA-N 0 0 427.250 -0.689 20 0 IBADRN Cn1nc(I)c2cc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@H]43)ccc21 ZINC001155991708 1073152847 /nfs/dbraw/zinc/15/28/47/1073152847.db2.gz XRTGKTCEZJSWNY-WXHSDQCUSA-N 0 0 427.250 -0.689 20 0 IBADRN COc1cccn2c(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)nnc12 ZINC001156016805 1073152880 /nfs/dbraw/zinc/15/28/80/1073152880.db2.gz CPKHPYUKRXVEFM-STQMWFEESA-N 0 0 426.437 -0.722 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1nnc2cccc(Cl)n21 ZINC001156027810 1073152784 /nfs/dbraw/zinc/15/27/84/1073152784.db2.gz KJQOBDRXSRWMEP-RYUDHWBXSA-N 0 0 430.856 -0.077 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC001156030268 1073152900 /nfs/dbraw/zinc/15/29/00/1073152900.db2.gz QJFFVZBYPPJTSU-INIZCTEOSA-N 0 0 437.468 -0.107 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC001156030275 1073152858 /nfs/dbraw/zinc/15/28/58/1073152858.db2.gz QJFFVZBYPPJTSU-MRXNPFEDSA-N 0 0 437.468 -0.107 20 0 IBADRN Cc1ccc2nnc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)n2c1 ZINC001156032640 1073152704 /nfs/dbraw/zinc/15/27/04/1073152704.db2.gz GUSGIPSSKZKUAX-ARFHVFGLSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1ccc2nnc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)n2c1 ZINC001156032643 1073152868 /nfs/dbraw/zinc/15/28/68/1073152868.db2.gz GUSGIPSSKZKUAX-BZUAXINKSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1ccc2nnc(C(=O)N3CCC[C@H]3C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n2c1 ZINC001156034686 1073152908 /nfs/dbraw/zinc/15/29/08/1073152908.db2.gz ZCRAXVKJTNTVIX-GJZGRUSLSA-N 0 0 443.508 -0.225 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cccc2nncn21)C(=O)NCC(N)=O ZINC001156064228 1073152905 /nfs/dbraw/zinc/15/29/05/1073152905.db2.gz MGTJVTHURRZIKM-KBPBESRZSA-N 0 0 429.481 -0.534 20 0 IBADRN O=C(c1cccc2nncn21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001156064600 1073152873 /nfs/dbraw/zinc/15/28/73/1073152873.db2.gz UYTDUBVZSKAVDU-IIAWOOMASA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cccc2nncn21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001156064601 1073152725 /nfs/dbraw/zinc/15/27/25/1073152725.db2.gz UYTDUBVZSKAVDU-SQWLQELKSA-N 0 0 433.490 -0.537 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cccc3nncn32)cc1Cl ZINC001156067628 1073152720 /nfs/dbraw/zinc/15/27/20/1073152720.db2.gz UKPYOSZBXLFZKE-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cccc2nncn21 ZINC001156070296 1073152734 /nfs/dbraw/zinc/15/27/34/1073152734.db2.gz KGPAPGGIZPLLAQ-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cccc2nncn21 ZINC001156070297 1073153272 /nfs/dbraw/zinc/15/32/72/1073153272.db2.gz KGPAPGGIZPLLAQ-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cccc2nncn21 ZINC001156070298 1073153278 /nfs/dbraw/zinc/15/32/78/1073153278.db2.gz KGPAPGGIZPLLAQ-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cccc2nncn21 ZINC001156070299 1073153149 /nfs/dbraw/zinc/15/31/49/1073153149.db2.gz KGPAPGGIZPLLAQ-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cc2n[nH]nc2cc1F)C(=O)NCC(N)=O ZINC001156079717 1073153229 /nfs/dbraw/zinc/15/32/29/1073153229.db2.gz PODIVMGCQGUCSO-HOTGVXAUSA-N 0 0 447.471 -0.166 20 0 IBADRN CC(=O)c1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c2ccccn12 ZINC001156133362 1073153215 /nfs/dbraw/zinc/15/32/15/1073153215.db2.gz YOWXFNKPJZYJFB-KLICCBINSA-N 0 0 427.417 -0.427 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001156139020 1073153236 /nfs/dbraw/zinc/15/32/36/1073153236.db2.gz QMIYKBFJDHZPKP-HNNXBMFYSA-N 0 0 432.520 -0.671 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCS(=O)(=O)C[C@H]1CCO ZINC001156139021 1073153300 /nfs/dbraw/zinc/15/33/00/1073153300.db2.gz QMIYKBFJDHZPKP-OAHLLOKOSA-N 0 0 432.520 -0.671 20 0 IBADRN CC(C)n1ncc2c1cc(Br)cc2C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001156143911 1073153345 /nfs/dbraw/zinc/15/33/45/1073153345.db2.gz YVJTVUWYRSKHSB-DRXUAVOGSA-N 0 0 444.282 -0.091 20 0 IBADRN CC(C)n1ncc2c1cc(Br)cc2C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001156143914 1073153310 /nfs/dbraw/zinc/15/33/10/1073153310.db2.gz YVJTVUWYRSKHSB-IETPZCKNSA-N 0 0 444.282 -0.091 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc2n(n1)CC1(CCC1)CO2 ZINC001156178343 1073153157 /nfs/dbraw/zinc/15/31/57/1073153157.db2.gz TYLWOVXOQBBAHR-HOTGVXAUSA-N 0 0 441.492 -0.014 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cnn4c2OCC4)CC3)C1=O ZINC001156181079 1073153187 /nfs/dbraw/zinc/15/31/87/1073153187.db2.gz KPMWRIWDHXUBIL-IBGZPJMESA-N 0 0 437.522 -0.378 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cnn4c2OCC4)CC3)C1=O ZINC001156181080 1073153322 /nfs/dbraw/zinc/15/33/22/1073153322.db2.gz KPMWRIWDHXUBIL-LJQANCHMSA-N 0 0 437.522 -0.378 20 0 IBADRN O=C(c1cnn2c1OCC2)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001156181101 1073153198 /nfs/dbraw/zinc/15/31/98/1073153198.db2.gz KSPRURIBODXZOF-ALKREAHSSA-N 0 0 431.474 -0.132 20 0 IBADRN O=C(c1cnn2c1OCC2)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001156182302 1073153129 /nfs/dbraw/zinc/15/31/29/1073153129.db2.gz XOMWMIXWMOILOU-LSDHHAIUSA-N 0 0 446.489 -0.561 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)c2cnn3c2OCC3)CC1 ZINC001156188554 1073153357 /nfs/dbraw/zinc/15/33/57/1073153357.db2.gz XXNOKXSMTWQVAJ-UHFFFAOYSA-N 0 0 429.499 -0.112 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)CC1 ZINC001156189060 1073153248 /nfs/dbraw/zinc/15/32/48/1073153248.db2.gz DLZNKRLIQMPXRV-UHFFFAOYSA-N 0 0 438.506 -0.629 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001156189248 1073153796 /nfs/dbraw/zinc/15/37/96/1073153796.db2.gz NXBFDDBKSLCSFC-UHFFFAOYSA-N 0 0 440.570 -0.590 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CC1 ZINC001156189324 1073153834 /nfs/dbraw/zinc/15/38/34/1073153834.db2.gz RNNYBIXMOMFIPE-UHFFFAOYSA-N 0 0 442.538 -0.348 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001156189460 1073153812 /nfs/dbraw/zinc/15/38/12/1073153812.db2.gz YXUQQLBXACZDAK-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001156193779 1073153717 /nfs/dbraw/zinc/15/37/17/1073153717.db2.gz DIADRABCYUPBKH-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001156193780 1073153749 /nfs/dbraw/zinc/15/37/49/1073153749.db2.gz DIADRABCYUPBKH-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN O=C(c1cn2ccnc2cn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001156202665 1073153761 /nfs/dbraw/zinc/15/37/61/1073153761.db2.gz OPJFGFXAXNKUDL-IIAWOOMASA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cn2ccnc2cn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001156202666 1073153782 /nfs/dbraw/zinc/15/37/82/1073153782.db2.gz OPJFGFXAXNKUDL-SQWLQELKSA-N 0 0 433.490 -0.537 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cn2ccnc2cn1)C(=O)NCC(N)=O ZINC001156205792 1073153613 /nfs/dbraw/zinc/15/36/13/1073153613.db2.gz XISPBMFYSWHRQJ-ZFWWWQNUSA-N 0 0 429.481 -0.534 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cn2ccnc2cn1 ZINC001156209599 1073153599 /nfs/dbraw/zinc/15/35/99/1073153599.db2.gz QIXBHYKSKQTMFZ-CFLZNVQHSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cn2ccnc2cn1 ZINC001156209600 1073153822 /nfs/dbraw/zinc/15/38/22/1073153822.db2.gz QIXBHYKSKQTMFZ-LVJIXASFSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cn2ccnc2cn1 ZINC001156209601 1073153826 /nfs/dbraw/zinc/15/38/26/1073153826.db2.gz QIXBHYKSKQTMFZ-UNMDQXAASA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cn2ccnc2cn1 ZINC001156209602 1073153643 /nfs/dbraw/zinc/15/36/43/1073153643.db2.gz QIXBHYKSKQTMFZ-VGYBTVITSA-N 0 0 432.458 -0.126 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cn3ccnc3cn2)cc1Cl ZINC001156209894 1073153830 /nfs/dbraw/zinc/15/38/30/1073153830.db2.gz UHRQPUCFUXFRPH-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN CC(C)c1cnn2cc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cnc12 ZINC001156238580 1073153737 /nfs/dbraw/zinc/15/37/37/1073153737.db2.gz BQISNLROCYYNRJ-SCFUHWHPSA-N 0 0 429.437 -0.717 20 0 IBADRN COC(=O)c1cnc(N[C@@H]2CN[C@H](C(=O)OC)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001156249334 1073153768 /nfs/dbraw/zinc/15/37/68/1073153768.db2.gz GEGMKGDRKYKGBT-STQMWFEESA-N 0 0 428.467 -0.796 20 0 IBADRN CCS(=O)(=O)N1CCC(Nc2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001156250490 1073153687 /nfs/dbraw/zinc/15/36/87/1073153687.db2.gz AUCATVKOSLELHQ-UHFFFAOYSA-N 0 0 441.558 -0.807 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccc3nnc(-c4cccnc4)n3c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001156257680 1073154316 /nfs/dbraw/zinc/15/43/16/1073154316.db2.gz VOEQFILZTTZMFY-OXTOFMLMSA-N 0 0 429.433 -0.635 20 0 IBADRN COC(=O)c1cnc(NCCCc2c[nH][nH]c2=O)c(S(=O)(=O)N2CCOCC2)c1 ZINC001156284179 1073154268 /nfs/dbraw/zinc/15/42/68/1073154268.db2.gz FNKAWGPFRNOHLE-GFCCVEGCSA-N 0 0 425.467 -0.187 20 0 IBADRN COC(=O)c1cnc(NCCCc2c[nH][nH]c2=O)c(S(=O)(=O)N2CCOCC2)c1 ZINC001156284185 1073154307 /nfs/dbraw/zinc/15/43/07/1073154307.db2.gz FNKAWGPFRNOHLE-LBPRGKRZSA-N 0 0 425.467 -0.187 20 0 IBADRN CCn1c(N)c(Nc2cc(N3CCOCC3)nc(N3CCOCC3)n2)c(=O)n(CC)c1=O ZINC001156306168 1073154227 /nfs/dbraw/zinc/15/42/27/1073154227.db2.gz JBBALDRMGIUNES-UHFFFAOYSA-N 0 0 446.512 -0.161 20 0 IBADRN CCn1c(N)c(Nc2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)c(=O)n(CC)c1=O ZINC001156309643 1073154311 /nfs/dbraw/zinc/15/43/11/1073154311.db2.gz FVXZLWPPPHZVFN-UHFFFAOYSA-N 0 0 436.494 -0.359 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1nnc2c(F)cccn12)C(=O)NCC(N)=O ZINC001156332475 1073154300 /nfs/dbraw/zinc/15/43/00/1073154300.db2.gz JBYHZJJCKYANIK-KBPBESRZSA-N 0 0 447.471 -0.395 20 0 IBADRN Cc1cccn2c(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)nnc12 ZINC001156346559 1073154294 /nfs/dbraw/zinc/15/42/94/1073154294.db2.gz ZQJLRDZVZOFUHF-ARFHVFGLSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cccn2c(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)nnc12 ZINC001156346560 1073154282 /nfs/dbraw/zinc/15/42/82/1073154282.db2.gz ZQJLRDZVZOFUHF-BZUAXINKSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cccn2c(C(=O)N3CCC[C@H]3C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nnc12 ZINC001156350466 1073154128 /nfs/dbraw/zinc/15/41/28/1073154128.db2.gz ZOEORHREXAAHJH-GJZGRUSLSA-N 0 0 443.508 -0.225 20 0 IBADRN O=C(CNc1nc(N2CCOCC2)nc(N2CCOCC2)n1)N1CCc2ccccc21 ZINC001156352710 1073154151 /nfs/dbraw/zinc/15/41/51/1073154151.db2.gz WUZNLYRBHIGLHE-UHFFFAOYSA-N 0 0 425.493 -0.032 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)Nc1ccn(CCN2CC[NH+]=C2[O-])n1 ZINC001156371015 1073154322 /nfs/dbraw/zinc/15/43/22/1073154322.db2.gz BWCYJBDOYISKHM-UHFFFAOYSA-N 0 0 441.496 -0.234 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cccn3c2nnc3C2CC2)c(=O)n1 ZINC001156372708 1073154181 /nfs/dbraw/zinc/15/41/81/1073154181.db2.gz STBNRLDUJGRGPD-XWXWGSFUSA-N 0 0 427.421 -0.963 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H]1NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001156379136 1073154197 /nfs/dbraw/zinc/15/41/97/1073154197.db2.gz JIQUYZNUYZDTER-ILXRZTDVSA-N 0 0 432.520 -0.164 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H]1NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001156379137 1073154263 /nfs/dbraw/zinc/15/42/63/1073154263.db2.gz JIQUYZNUYZDTER-QLFBSQMISA-N 0 0 432.520 -0.164 20 0 IBADRN CC(C)(C)c1nnc2cc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)ccn21 ZINC001156391069 1073154216 /nfs/dbraw/zinc/15/42/16/1073154216.db2.gz ZHMGDCZMUJLXMI-BNGXUDDSSA-N 0 0 443.464 -0.542 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001156393736 1073154724 /nfs/dbraw/zinc/15/47/24/1073154724.db2.gz VDZVAWBDYDSILM-IRXDYDNUSA-N 0 0 427.498 -0.128 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001156393737 1073154617 /nfs/dbraw/zinc/15/46/17/1073154617.db2.gz VDZVAWBDYDSILM-SJORKVTESA-N 0 0 427.498 -0.128 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C2(CCC2)C1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001156395036 1073154676 /nfs/dbraw/zinc/15/46/76/1073154676.db2.gz AMHBSYODLUJQFQ-CHWSQXEVSA-N 0 0 431.536 -0.232 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C2(CCC2)C1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001156395037 1073154664 /nfs/dbraw/zinc/15/46/64/1073154664.db2.gz AMHBSYODLUJQFQ-OLZOCXBDSA-N 0 0 431.536 -0.232 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C2(CCC2)C1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001156395038 1073154634 /nfs/dbraw/zinc/15/46/34/1073154634.db2.gz AMHBSYODLUJQFQ-QWHCGFSZSA-N 0 0 431.536 -0.232 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C2(CCC2)C1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001156395039 1073154639 /nfs/dbraw/zinc/15/46/39/1073154639.db2.gz AMHBSYODLUJQFQ-STQMWFEESA-N 0 0 431.536 -0.232 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccn3c(c2)nnc3C2CCOCC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001156404619 1073154671 /nfs/dbraw/zinc/15/46/71/1073154671.db2.gz LQWKOILEBTUYOU-OXTOFMLMSA-N 0 0 436.465 -0.803 20 0 IBADRN Cc1nccn2c(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)nnc12 ZINC001156410230 1073154685 /nfs/dbraw/zinc/15/46/85/1073154685.db2.gz GJUDYIGIERULHP-RBSFLKMASA-N 0 0 448.505 -0.833 20 0 IBADRN Cc1nccn2c(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)nnc12 ZINC001156410231 1073154651 /nfs/dbraw/zinc/15/46/51/1073154651.db2.gz GJUDYIGIERULHP-RRFJBIMHSA-N 0 0 448.505 -0.833 20 0 IBADRN Cc1nccn2c(C(=O)N3CCc4ncc(CNC(=O)c5nccn5C)n4CC3)nnc12 ZINC001156410291 1073154712 /nfs/dbraw/zinc/15/47/12/1073154712.db2.gz FKKSPDDAKVPJQT-UHFFFAOYSA-N 0 0 434.464 -0.009 20 0 IBADRN Cc1nccn2c(C(=O)N3CCC[C@H]3C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nnc12 ZINC001156411242 1073154642 /nfs/dbraw/zinc/15/46/42/1073154642.db2.gz VJMVWKYVLBGZEF-KBPBESRZSA-N 0 0 444.496 -0.830 20 0 IBADRN Cc1nccn2c(C(=O)Nc3cc(Cl)c(S(N)(=O)=O)cc3S(N)(=O)=O)nnc12 ZINC001156413691 1073154679 /nfs/dbraw/zinc/15/46/79/1073154679.db2.gz RXUYILYATXTSJQ-UHFFFAOYSA-N 0 0 445.870 -0.367 20 0 IBADRN Cc1nccn2c(C(=O)Nc3ccc(S[C@@H]4O[C@H](CO)[C@H](O)[C@H](O)[C@@H]4O)cc3)nnc12 ZINC001156419615 1073154718 /nfs/dbraw/zinc/15/47/18/1073154718.db2.gz YDFHTAOBXHFTGC-FACIQBDNSA-N 0 0 447.473 -0.423 20 0 IBADRN Cc1nccn2c(C(=O)Nc3ccc(S[C@@H]4O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]4O)cc3)nnc12 ZINC001156419617 1073154656 /nfs/dbraw/zinc/15/46/56/1073154656.db2.gz YDFHTAOBXHFTGC-GELUBGBASA-N 0 0 447.473 -0.423 20 0 IBADRN Cc1nccn2c(C(=O)Nc3ccc(S[C@@H]4O[C@H](CO)[C@H](O)[C@H](O)[C@H]4O)cc3)nnc12 ZINC001156419618 1073154668 /nfs/dbraw/zinc/15/46/68/1073154668.db2.gz YDFHTAOBXHFTGC-RTDGIWMLSA-N 0 0 447.473 -0.423 20 0 IBADRN Cc1nccn2c(C(=O)Nc3ccc(S[C@@H]4O[C@H](CO)[C@H](O)[C@@H](O)[C@H]4O)cc3)nnc12 ZINC001156419619 1073154662 /nfs/dbraw/zinc/15/46/62/1073154662.db2.gz YDFHTAOBXHFTGC-YHZMMZGRSA-N 0 0 447.473 -0.423 20 0 IBADRN Cc1cc2nc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)cn2cn1 ZINC001156424660 1073154703 /nfs/dbraw/zinc/15/47/03/1073154703.db2.gz BFQNJKABAJAHPR-BFYDXBDKSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cc2nc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)cn2cn1 ZINC001156424662 1073154628 /nfs/dbraw/zinc/15/46/28/1073154628.db2.gz BFQNJKABAJAHPR-ZMSDIMECSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cc2nc(C(=O)N3CCC[C@H]3C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn2cn1 ZINC001156427512 1073154606 /nfs/dbraw/zinc/15/46/06/1073154606.db2.gz VWZLHABTIPILDM-HOCLYGCPSA-N 0 0 443.508 -0.225 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001156427931 1073154688 /nfs/dbraw/zinc/15/46/88/1073154688.db2.gz JQAJQOBOICAPDV-GFCCVEGCSA-N 0 0 438.549 -0.596 20 0 IBADRN C[C@H]1CS(=O)(=O)CCCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001156427934 1073155210 /nfs/dbraw/zinc/15/52/10/1073155210.db2.gz JQAJQOBOICAPDV-LBPRGKRZSA-N 0 0 438.549 -0.596 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(c4ccc(S(N)(=O)=O)nn4)C3)C2=O)cc1 ZINC001156446104 1073155212 /nfs/dbraw/zinc/15/52/12/1073155212.db2.gz YIORMLCZFUYOLS-GOSISDBHSA-N 0 0 432.462 -0.167 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(c4ccc(S(N)(=O)=O)nn4)C3)C2=O)cc1 ZINC001156446105 1073155141 /nfs/dbraw/zinc/15/51/41/1073155141.db2.gz YIORMLCZFUYOLS-SFHVURJKSA-N 0 0 432.462 -0.167 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2Cc3c(cnn3-c3ccccc3)C2=O)CC1 ZINC001156465014 1073155206 /nfs/dbraw/zinc/15/52/06/1073155206.db2.gz GTAPBHOCHLSHHH-UHFFFAOYSA-N 0 0 432.506 -0.127 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CC1 ZINC001156465116 1073155215 /nfs/dbraw/zinc/15/52/15/1073155215.db2.gz LLEQIXUABGGNQG-UHFFFAOYSA-N 0 0 442.519 -0.348 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCC(NS(=O)(=O)NC)CC2)n(C)c1 ZINC001156465136 1073155151 /nfs/dbraw/zinc/15/51/51/1073155151.db2.gz MNCNEQMEGXQAOY-UHFFFAOYSA-N 0 0 435.572 -0.286 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CCC(=O)NCC(=O)c2ccc(OC)cc2)CC1 ZINC001156465217 1073155195 /nfs/dbraw/zinc/15/51/95/1073155195.db2.gz PXHUHJKXYOEXOZ-UHFFFAOYSA-N 0 0 440.522 -0.181 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2c(C)[nH]nc2I)CC1 ZINC001156465262 1073155204 /nfs/dbraw/zinc/15/52/04/1073155204.db2.gz SDYXUTYRRNRWMT-UHFFFAOYSA-N 0 0 427.268 -0.019 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC001156465388 1073155156 /nfs/dbraw/zinc/15/51/56/1073155156.db2.gz XLCWXACZFFHWAX-UHFFFAOYSA-N 0 0 442.542 -0.695 20 0 IBADRN O=C(c1nccc2c[nH]nc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001156480318 1073155185 /nfs/dbraw/zinc/15/51/85/1073155185.db2.gz STIKVBMZQBEFKK-RBSFLKMASA-N 0 0 433.490 -0.308 20 0 IBADRN O=C(c1nccc2c[nH]nc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001156480319 1073155225 /nfs/dbraw/zinc/15/52/25/1073155225.db2.gz STIKVBMZQBEFKK-RRFJBIMHSA-N 0 0 433.490 -0.308 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1nccc2c[nH]nc21)C(=O)NCC(N)=O ZINC001156483929 1073155133 /nfs/dbraw/zinc/15/51/33/1073155133.db2.gz CYEVQGXHNYWMOS-KBPBESRZSA-N 0 0 429.481 -0.305 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001156512551 1073155223 /nfs/dbraw/zinc/15/52/23/1073155223.db2.gz BHVWOCIHTOTDLA-KRWDZBQOSA-N 0 0 442.519 -0.292 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001156512553 1073155197 /nfs/dbraw/zinc/15/51/97/1073155197.db2.gz BHVWOCIHTOTDLA-QGZVFWFLSA-N 0 0 442.519 -0.292 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC2(CC1)C[C@@H](O)CCO2 ZINC001156520461 1073155216 /nfs/dbraw/zinc/15/52/16/1073155216.db2.gz SMOWACOSSUILHJ-INIZCTEOSA-N 0 0 437.518 -0.047 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC2(CC1)C[C@H](O)CCO2 ZINC001156520462 1073155174 /nfs/dbraw/zinc/15/51/74/1073155174.db2.gz SMOWACOSSUILHJ-MRXNPFEDSA-N 0 0 437.518 -0.047 20 0 IBADRN O=C(c1cccc2c1CNC2=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001156552602 1073155227 /nfs/dbraw/zinc/15/52/27/1073155227.db2.gz VCXBOZPDNRKBAY-CGTJXYLNSA-N 0 0 447.513 -0.336 20 0 IBADRN O=C(c1cccc2c1CNC2=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001156552603 1073155190 /nfs/dbraw/zinc/15/51/90/1073155190.db2.gz VCXBOZPDNRKBAY-KBAYOESNSA-N 0 0 447.513 -0.336 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cccc2c1CNC2=O)C(=O)NCC(N)=O ZINC001156554352 1073155556 /nfs/dbraw/zinc/15/55/56/1073155556.db2.gz UZHSWVNLCFZJBS-IRXDYDNUSA-N 0 0 443.504 -0.333 20 0 IBADRN Cc1c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(=O)c2ccccc2n1C ZINC001156574642 1073155645 /nfs/dbraw/zinc/15/56/45/1073155645.db2.gz IIXBOBKFJVMNOU-SIKWWSQNSA-N 0 0 441.444 -0.722 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(C(=O)Cc4ccccc4)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001156583595 1073155661 /nfs/dbraw/zinc/15/56/61/1073155661.db2.gz PJHINESFRBXCKA-VAMGGRTRSA-N 0 0 425.489 -0.176 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)cnc2C)C1 ZINC001156592452 1073155570 /nfs/dbraw/zinc/15/55/70/1073155570.db2.gz WLULXSZKWZTWBO-CYBMUJFWSA-N 0 0 434.540 -0.450 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)cnc2C)C1 ZINC001156592453 1073155656 /nfs/dbraw/zinc/15/56/56/1073155656.db2.gz WLULXSZKWZTWBO-ZDUSSCGKSA-N 0 0 434.540 -0.450 20 0 IBADRN COc1cccc2nc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)cn21 ZINC001156605736 1073155613 /nfs/dbraw/zinc/15/56/13/1073155613.db2.gz SFGKTZWNEQPUBY-ZFWWWQNUSA-N 0 0 425.449 -0.117 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCC(S(=O)(=O)N4CCCCC4)CC3)c2S1(=O)=O ZINC001156613889 1073155422 /nfs/dbraw/zinc/15/54/22/1073155422.db2.gz BFHVWODOEJGVAG-UHFFFAOYSA-N 0 0 445.567 -0.063 20 0 IBADRN CSC[C@H](C(=O)N1CCN2[C@H](CNS2(=O)=O)C1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC001156614375 1073155673 /nfs/dbraw/zinc/15/56/73/1073155673.db2.gz GNNLQOTWRQGFJO-AAVRWANBSA-N 0 0 430.552 -0.746 20 0 IBADRN CSC[C@H](C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001156614376 1073155550 /nfs/dbraw/zinc/15/55/50/1073155550.db2.gz GNNLQOTWRQGFJO-IGQOVBAYSA-N 0 0 430.552 -0.746 20 0 IBADRN CSC[C@H](C(=O)N1CCN2[C@H](CNS2(=O)=O)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001156614377 1073155480 /nfs/dbraw/zinc/15/54/80/1073155480.db2.gz GNNLQOTWRQGFJO-YIYPIFLZSA-N 0 0 430.552 -0.746 20 0 IBADRN CSC[C@H](C(=O)N1CCN2[C@H](CNS2(=O)=O)C1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC001156614378 1073155594 /nfs/dbraw/zinc/15/55/94/1073155594.db2.gz GNNLQOTWRQGFJO-ZOBORPQBSA-N 0 0 430.552 -0.746 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001156614664 1073155486 /nfs/dbraw/zinc/15/54/86/1073155486.db2.gz IPJJVPVYPBZTCH-JTQLQIEISA-N 0 0 442.441 -0.656 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001156614669 1073155493 /nfs/dbraw/zinc/15/54/93/1073155493.db2.gz IPJJVPVYPBZTCH-SNVBAGLBSA-N 0 0 442.441 -0.656 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC(S(=O)(=O)N3CCCCC3)CC2)cn1 ZINC001156615591 1073155602 /nfs/dbraw/zinc/15/56/02/1073155602.db2.gz WFDWNKCFLZQTDJ-UHFFFAOYSA-N 0 0 433.556 -0.495 20 0 IBADRN O=C(c1ccc(NCCN2CCOCC2)c(F)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001156621681 1073155669 /nfs/dbraw/zinc/15/56/69/1073155669.db2.gz LKNLXYCUIZOXCG-HNNXBMFYSA-N 0 0 427.502 -0.456 20 0 IBADRN O=C(c1ccc(NCCN2CCOCC2)c(F)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001156621689 1073155537 /nfs/dbraw/zinc/15/55/37/1073155537.db2.gz LKNLXYCUIZOXCG-OAHLLOKOSA-N 0 0 427.502 -0.456 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1Br ZINC001156621777 1073155950 /nfs/dbraw/zinc/15/59/50/1073155950.db2.gz NOJOISKCRUVGEO-JTQLQIEISA-N 0 0 438.325 -0.173 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1Br ZINC001156621778 1073156077 /nfs/dbraw/zinc/15/60/77/1073156077.db2.gz NOJOISKCRUVGEO-SNVBAGLBSA-N 0 0 438.325 -0.173 20 0 IBADRN O=C(c1cc(Cn2cc(Br)cn2)on1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001156622509 1073156087 /nfs/dbraw/zinc/15/60/87/1073156087.db2.gz SHIRXLBMGCIPPD-JTQLQIEISA-N 0 0 431.272 -0.344 20 0 IBADRN O=C(c1cc(Cn2cc(Br)cn2)on1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001156622513 1073156030 /nfs/dbraw/zinc/15/60/30/1073156030.db2.gz SHIRXLBMGCIPPD-SNVBAGLBSA-N 0 0 431.272 -0.344 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001156622586 1073155957 /nfs/dbraw/zinc/15/59/57/1073155957.db2.gz TUABPVHYVNIRTF-JSGCOSHPSA-N 0 0 433.531 -0.987 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001156622589 1073155984 /nfs/dbraw/zinc/15/59/84/1073155984.db2.gz TUABPVHYVNIRTF-OCCSQVGLSA-N 0 0 433.531 -0.987 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCn2ncc(I)c2C1 ZINC001156640616 1073156101 /nfs/dbraw/zinc/15/61/01/1073156101.db2.gz KUICNXPWSGKJTH-UHFFFAOYSA-N 0 0 433.250 -0.138 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCn2ncc(I)c2C1 ZINC001156647519 1073156091 /nfs/dbraw/zinc/15/60/91/1073156091.db2.gz SMCMPTYAWANIBQ-QMMMGPOBSA-N 0 0 430.210 -0.979 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)c1=O ZINC001156678537 1073156122 /nfs/dbraw/zinc/15/61/22/1073156122.db2.gz GGLZATTZMANKCC-UHFFFAOYSA-N 0 0 432.458 -0.326 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)c1=O ZINC001156679826 1073156015 /nfs/dbraw/zinc/15/60/15/1073156015.db2.gz QDJVXHMZIAXWRE-UHFFFAOYSA-N 0 0 445.295 -0.223 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnc3cc(Br)cnc3c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001156692266 1073155999 /nfs/dbraw/zinc/15/59/99/1073155999.db2.gz JAEZFFGNKXSNKO-JBLBBTSHSA-N 0 0 442.266 -0.034 20 0 IBADRN C[C@@H]1CN(CCN(C)C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@H](C)O1 ZINC001156719271 1073156579 /nfs/dbraw/zinc/15/65/79/1073156579.db2.gz DZUWITLZHBLDNL-GASCZTMLSA-N 0 0 447.579 -0.064 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS1(=O)=O ZINC001156737087 1073156447 /nfs/dbraw/zinc/15/64/47/1073156447.db2.gz UCSXAVOVBPMGFJ-BDAKNGLRSA-N 0 0 432.300 -0.026 20 0 IBADRN C[C@H]1C[C@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS1(=O)=O ZINC001156737088 1073156620 /nfs/dbraw/zinc/15/66/20/1073156620.db2.gz UCSXAVOVBPMGFJ-DTWKUNHWSA-N 0 0 432.300 -0.026 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS1(=O)=O ZINC001156737089 1073156454 /nfs/dbraw/zinc/15/64/54/1073156454.db2.gz UCSXAVOVBPMGFJ-IUCAKERBSA-N 0 0 432.300 -0.026 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS1(=O)=O ZINC001156737090 1073156403 /nfs/dbraw/zinc/15/64/03/1073156403.db2.gz UCSXAVOVBPMGFJ-RKDXNWHRSA-N 0 0 432.300 -0.026 20 0 IBADRN Nc1n[nH]c2cccc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)c12 ZINC001156739052 1073156603 /nfs/dbraw/zinc/15/66/03/1073156603.db2.gz KWXKDCOTEZFWSK-JGGQBBKZSA-N 0 0 447.517 -0.121 20 0 IBADRN Nc1n[nH]c2cccc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)c12 ZINC001156739053 1073156391 /nfs/dbraw/zinc/15/63/91/1073156391.db2.gz KWXKDCOTEZFWSK-XNRPHZJLSA-N 0 0 447.517 -0.121 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnc3cnc(Br)cn32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001156766784 1073156538 /nfs/dbraw/zinc/15/65/38/1073156538.db2.gz WILBYQLJDSBMSL-BBOGJPIGSA-N 0 0 431.243 -0.934 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2c[nH]c3ncc(Br)nc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001156789010 1073156424 /nfs/dbraw/zinc/15/64/24/1073156424.db2.gz PPEAZIXBXURXHL-PHRYNFJKSA-N 0 0 431.243 -0.706 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nc(Br)n3ccccc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001156802571 1073156462 /nfs/dbraw/zinc/15/64/62/1073156462.db2.gz MVZCZEFPTNUHAO-KJXAWDOSSA-N 0 0 430.255 -0.329 20 0 IBADRN CCOC(=O)C(=CN=c1nc(N2CCOCC2)[nH]c(N2CCOCC2)n1)C(=O)OCC ZINC001156814815 1073156355 /nfs/dbraw/zinc/15/63/55/1073156355.db2.gz TZBRAVVXHJIMIS-UHFFFAOYSA-N 0 0 436.469 -0.611 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc(=O)c3ccc(F)cc3o2)c(=O)n1 ZINC001156832058 1073156563 /nfs/dbraw/zinc/15/65/63/1073156563.db2.gz IYIJGBSLVRYSQJ-GFOCRRMGSA-N 0 0 432.364 -0.637 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC001156846813 1073156486 /nfs/dbraw/zinc/15/64/86/1073156486.db2.gz DDOJICKDBYDPBN-GOEBONIOSA-N 0 0 447.471 -0.849 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC001156846814 1073156412 /nfs/dbraw/zinc/15/64/12/1073156412.db2.gz DDOJICKDBYDPBN-HOCLYGCPSA-N 0 0 447.471 -0.849 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC001156847044 1073156612 /nfs/dbraw/zinc/15/66/12/1073156612.db2.gz IZFFVLMIDXRMEX-INIZCTEOSA-N 0 0 444.529 -0.302 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC001156847045 1073156375 /nfs/dbraw/zinc/15/63/75/1073156375.db2.gz IZFFVLMIDXRMEX-MRXNPFEDSA-N 0 0 444.529 -0.302 20 0 IBADRN O=C(Cn1nc(Br)c(Br)n1)N1CCN2CCOC[C@]2(CO)C1 ZINC001156865958 1073667869 /nfs/dbraw/zinc/66/78/69/1073667869.db2.gz AMRWBNNIUOPQCD-GFCCVEGCSA-N 0 0 439.108 -0.291 20 0 IBADRN O=C(Cn1nc(Br)c(Br)n1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001156865959 1073667999 /nfs/dbraw/zinc/66/79/99/1073667999.db2.gz AMRWBNNIUOPQCD-LBPRGKRZSA-N 0 0 439.108 -0.291 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001156866006 1073156548 /nfs/dbraw/zinc/15/65/48/1073156548.db2.gz BMFBFJVDVITZGE-LAUBAEHRSA-N 0 0 434.493 -0.548 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001156866007 1073156909 /nfs/dbraw/zinc/15/69/09/1073156909.db2.gz BMFBFJVDVITZGE-UWJYYQICSA-N 0 0 434.493 -0.548 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCN2CCOC[C@@]2(CO)C1 ZINC001156866175 1073156981 /nfs/dbraw/zinc/15/69/81/1073156981.db2.gz DVZQVBBLMBJXJZ-IBGZPJMESA-N 0 0 441.506 -0.968 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCN2CCOC[C@]2(CO)C1 ZINC001156866176 1073156915 /nfs/dbraw/zinc/15/69/15/1073156915.db2.gz DVZQVBBLMBJXJZ-LJQANCHMSA-N 0 0 441.506 -0.968 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@@](F)(C(=O)N2CCN3CCOC[C@@]3(CO)C2)C1 ZINC001156866529 1073156944 /nfs/dbraw/zinc/15/69/44/1073156944.db2.gz JUZCBRUEJGPPAF-PMACEKPBSA-N 0 0 429.489 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@@](F)(C(=O)N2CCN3CCOC[C@]3(CO)C2)C1 ZINC001156866530 1073156948 /nfs/dbraw/zinc/15/69/48/1073156948.db2.gz JUZCBRUEJGPPAF-UXHICEINSA-N 0 0 429.489 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@](F)(C(=O)N2CCN3CCOC[C@@]3(CO)C2)C1 ZINC001156866531 1073156977 /nfs/dbraw/zinc/15/69/77/1073156977.db2.gz JUZCBRUEJGPPAF-VQTJNVASSA-N 0 0 429.489 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@](F)(C(=O)N2CCN3CCOC[C@]3(CO)C2)C1 ZINC001156866533 1073156995 /nfs/dbraw/zinc/15/69/95/1073156995.db2.gz JUZCBRUEJGPPAF-WOJBJXKFSA-N 0 0 429.489 -0.133 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001156867036 1073157005 /nfs/dbraw/zinc/15/70/05/1073157005.db2.gz PPWYYRKWLSNAOH-INIZCTEOSA-N 0 0 448.339 -0.367 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CCN2CCOC[C@]2(CO)C1 ZINC001156867037 1073156951 /nfs/dbraw/zinc/15/69/51/1073156951.db2.gz PPWYYRKWLSNAOH-MRXNPFEDSA-N 0 0 448.339 -0.367 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001156867758 1073156998 /nfs/dbraw/zinc/15/69/98/1073156998.db2.gz YSDLLGCOLSGKLU-FQEVSTJZSA-N 0 0 432.502 -0.177 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1)N1CCN2CCOC[C@]2(CO)C1 ZINC001156867779 1073156964 /nfs/dbraw/zinc/15/69/64/1073156964.db2.gz YSDLLGCOLSGKLU-HXUWFJFHSA-N 0 0 432.502 -0.177 20 0 IBADRN CSC[C@H](C(=O)N1CCN2CCOC[C@@]2(CO)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001156867841 1073156984 /nfs/dbraw/zinc/15/69/84/1073156984.db2.gz ZNCGENSXDNBWFM-ASKKUZCQSA-N 0 0 425.551 -0.201 20 0 IBADRN CSC[C@H](C(=O)N1CCN2CCOC[C@]2(CO)C1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC001156867844 1073157427 /nfs/dbraw/zinc/15/74/27/1073157427.db2.gz ZNCGENSXDNBWFM-AXHMDWHKSA-N 0 0 425.551 -0.201 20 0 IBADRN CSC[C@H](C(=O)N1CCN2CCOC[C@]2(CO)C1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001156867846 1073157369 /nfs/dbraw/zinc/15/73/69/1073157369.db2.gz ZNCGENSXDNBWFM-UIVXKWKOSA-N 0 0 425.551 -0.201 20 0 IBADRN CSC[C@H](C(=O)N1CCN2CCOC[C@]2(CO)C1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC001156867849 1073157519 /nfs/dbraw/zinc/15/75/19/1073157519.db2.gz ZNCGENSXDNBWFM-ZFCANOHDSA-N 0 0 425.551 -0.201 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1c[nH]c2c(c1=O)CCCC2 ZINC001156911509 1073157501 /nfs/dbraw/zinc/15/75/01/1073157501.db2.gz SVVDJKOTFATYHJ-IRXDYDNUSA-N 0 0 426.477 -0.206 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnn3ccc(Br)cc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001156959221 1073157562 /nfs/dbraw/zinc/15/75/62/1073157562.db2.gz VVUVWNZDMYFONC-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN COC(=O)c1cnc(Nc2cc(=O)n(C)c(=O)n2C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001156961811 1073157451 /nfs/dbraw/zinc/15/74/51/1073157451.db2.gz JVKZGPKOSGESDR-UHFFFAOYSA-N 0 0 439.450 -0.970 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)[C@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001156970036 1073157463 /nfs/dbraw/zinc/15/74/63/1073157463.db2.gz JRPWQNFSVFNVTN-DLBZAZTESA-N 0 0 440.570 -0.073 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)[C@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001156970039 1073157377 /nfs/dbraw/zinc/15/73/77/1073157377.db2.gz JRPWQNFSVFNVTN-IAGOWNOFSA-N 0 0 440.570 -0.073 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001156970041 1073157574 /nfs/dbraw/zinc/15/75/74/1073157574.db2.gz JRPWQNFSVFNVTN-IRXDYDNUSA-N 0 0 440.570 -0.073 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001156970043 1073157494 /nfs/dbraw/zinc/15/74/94/1073157494.db2.gz JRPWQNFSVFNVTN-SJORKVTESA-N 0 0 440.570 -0.073 20 0 IBADRN Nc1nc2cc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)ccc2[nH]1 ZINC001156971255 1073157433 /nfs/dbraw/zinc/15/74/33/1073157433.db2.gz GFXHZEQOZNATLS-CKEIUWERSA-N 0 0 447.517 -0.121 20 0 IBADRN Nc1nc2cc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)ccc2[nH]1 ZINC001156971256 1073157480 /nfs/dbraw/zinc/15/74/80/1073157480.db2.gz GFXHZEQOZNATLS-JJRVBVJISA-N 0 0 447.517 -0.121 20 0 IBADRN C[C@]1(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCNC1=O ZINC001156995225 1073157568 /nfs/dbraw/zinc/15/75/68/1073157568.db2.gz YEKGLWQINGRDKY-IBGZPJMESA-N 0 0 440.497 -0.166 20 0 IBADRN C[C@@]1(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)CCNC1=O ZINC001156995226 1073157919 /nfs/dbraw/zinc/15/79/19/1073157919.db2.gz YEKGLWQINGRDKY-LJQANCHMSA-N 0 0 440.497 -0.166 20 0 IBADRN Cc1ccc2cc(Br)cc(C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)c2n1 ZINC001157003988 1073157820 /nfs/dbraw/zinc/15/78/20/1073157820.db2.gz QMZZBRGYCNMAAT-LZTJUBIUSA-N 0 0 427.251 -0.165 20 0 IBADRN Cc1ccc2cc(Br)cc(C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)c2n1 ZINC001157003996 1073157922 /nfs/dbraw/zinc/15/79/22/1073157922.db2.gz QMZZBRGYCNMAAT-WDGOXLLCSA-N 0 0 427.251 -0.165 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]cn1)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001157037268 1073157829 /nfs/dbraw/zinc/15/78/29/1073157829.db2.gz QFKDAWXZLQJZHH-CQSZACIVSA-N 0 0 427.508 -0.011 20 0 IBADRN Cc1ccc(S(=O)(=O)n2ncc3cc(C(=O)N4CN[C@H](N)[C@H]5NCN[C@@H]54)ccc32)cc1 ZINC001157045826 1073157927 /nfs/dbraw/zinc/15/79/27/1073157927.db2.gz CVFDPADDTHSFCC-CEXWTWQISA-N 0 0 441.517 -0.286 20 0 IBADRN Cc1ccc(S(=O)(=O)n2ncc3cc(C(=O)N4CN[C@H](N)[C@@H]5NCN[C@H]54)ccc32)cc1 ZINC001157045827 1073157937 /nfs/dbraw/zinc/15/79/37/1073157937.db2.gz CVFDPADDTHSFCC-FHWLQOOXSA-N 0 0 441.517 -0.286 20 0 IBADRN Cc1ccc(S(=O)(=O)n2ncc3cc(C(=O)N4CN[C@H](N)[C@@H]5NCN[C@@H]54)ccc32)cc1 ZINC001157045828 1073157969 /nfs/dbraw/zinc/15/79/69/1073157969.db2.gz CVFDPADDTHSFCC-GBESFXJTSA-N 0 0 441.517 -0.286 20 0 IBADRN Cc1ccc(S(=O)(=O)n2ncc3cc(C(=O)N4CN[C@H](N)[C@H]5NCN[C@H]54)ccc32)cc1 ZINC001157045829 1073157856 /nfs/dbraw/zinc/15/78/56/1073157856.db2.gz CVFDPADDTHSFCC-QYZOEREBSA-N 0 0 441.517 -0.286 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc3c(cc2F)C(=O)CCC3)c(=O)n1 ZINC001157058710 1073157890 /nfs/dbraw/zinc/15/78/90/1073157890.db2.gz OABQCRKLTOJJCX-KLICCBINSA-N 0 0 432.408 -0.225 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cc(Br)cc2c1CCCC2=O ZINC001157069752 1073157896 /nfs/dbraw/zinc/15/78/96/1073157896.db2.gz DMKFQEJYODLQCS-DRXUAVOGSA-N 0 0 430.251 -0.502 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cc(Br)cc2c1CCCC2=O ZINC001157069753 1073157958 /nfs/dbraw/zinc/15/79/58/1073157958.db2.gz DMKFQEJYODLQCS-IETPZCKNSA-N 0 0 430.251 -0.502 20 0 IBADRN COc1ccc2nc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)cn2c1 ZINC001157088763 1073157907 /nfs/dbraw/zinc/15/79/07/1073157907.db2.gz LXNQIIAOUSVYOQ-HOCLYGCPSA-N 0 0 425.449 -0.117 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)c1 ZINC001157091093 1073158390 /nfs/dbraw/zinc/15/83/90/1073158390.db2.gz MLMOFKGEJKMORM-UMVBOHGHSA-N 0 0 449.323 -0.435 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cn(C)nc1CN1C(=O)c2ccccc2C1=O ZINC001157119440 1073158348 /nfs/dbraw/zinc/15/83/48/1073158348.db2.gz AOKIJDSFFJJVFZ-UHFFFAOYSA-N 0 0 434.478 -0.658 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCC(=O)NCCNS(=O)(=O)N(C)C)CC2 ZINC001157119981 1073158382 /nfs/dbraw/zinc/15/83/82/1073158382.db2.gz IRMGPXHLKOOPIX-UHFFFAOYSA-N 0 0 442.538 -0.119 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCNS(=O)(=O)N(C)C ZINC001157120383 1073158356 /nfs/dbraw/zinc/15/83/56/1073158356.db2.gz LBPCBLWSZDGDLH-UHFFFAOYSA-N 0 0 434.540 -0.858 20 0 IBADRN Cc1c(C(=O)NCCNS(=O)(=O)N(C)C)cc(Br)cc1S(N)(=O)=O ZINC001157121622 1073158329 /nfs/dbraw/zinc/15/83/29/1073158329.db2.gz VSQQODZHHIKRJH-UHFFFAOYSA-N 0 0 443.345 -0.469 20 0 IBADRN CC(C)(C)OC(=O)[C@H](CC(N)=O)Nc1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC001157145546 1073158358 /nfs/dbraw/zinc/15/83/58/1073158358.db2.gz WPMJDNOAHJQFRY-ZDUSSCGKSA-N 0 0 426.495 -0.050 20 0 IBADRN CCOC(=O)c1sc2[nH]c(CN3CCOCC3)nc(=NC(CO)(CO)CO)c2c1C ZINC001157151085 1073158344 /nfs/dbraw/zinc/15/83/44/1073158344.db2.gz PHGRQCMHUUIWPM-UHFFFAOYSA-N 0 0 440.522 -0.442 20 0 IBADRN COC(=O)c1cc2c(ncnc2NC(CO)(CO)CO)n1S(=O)(=O)c1ccccc1 ZINC001157152795 1073158410 /nfs/dbraw/zinc/15/84/10/1073158410.db2.gz SDBMSAVQOKPBAD-UHFFFAOYSA-N 0 0 436.446 -0.996 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CS(=O)(=O)c1cccc(Br)c1 ZINC001157154965 1073158370 /nfs/dbraw/zinc/15/83/70/1073158370.db2.gz IYGLOTWTBHPEDO-UHFFFAOYSA-N 0 0 429.314 -0.356 20 0 IBADRN CO[C@@H]1C[C@H](C(=O)NCCOCCS(N)(=O)=O)N(C(=O)OCc2ccccc2)C1 ZINC001157163265 1073158823 /nfs/dbraw/zinc/15/88/23/1073158823.db2.gz SVQYVERBDWLOKD-HZPDHXFCSA-N 0 0 429.495 -0.166 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H]3CCN(C(N)=O)C3)cc2)CC1 ZINC001157169692 1073158844 /nfs/dbraw/zinc/15/88/44/1073158844.db2.gz SVFMNKGCHMBCGS-HNNXBMFYSA-N 0 0 437.522 -0.330 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H]3CCN(C(N)=O)C3)cc2)CC1 ZINC001157169693 1073158874 /nfs/dbraw/zinc/15/88/74/1073158874.db2.gz SVFMNKGCHMBCGS-OAHLLOKOSA-N 0 0 437.522 -0.330 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)C2(O)CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001157186906 1073158858 /nfs/dbraw/zinc/15/88/58/1073158858.db2.gz YGAZMTVXPVZTFZ-UHFFFAOYSA-N 0 0 434.511 -0.063 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@H]1CCc2cc(C(=O)OC)ccc2NC1=O ZINC001157207560 1073158869 /nfs/dbraw/zinc/15/88/69/1073158869.db2.gz FJUOULRKLOBPPC-HNNXBMFYSA-N 0 0 427.479 -0.201 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@@H]1CCc2cc(C(=O)OC)ccc2NC1=O ZINC001157207561 1073158841 /nfs/dbraw/zinc/15/88/41/1073158841.db2.gz FJUOULRKLOBPPC-OAHLLOKOSA-N 0 0 427.479 -0.201 20 0 IBADRN COC(=O)c1ccc2c(c1)CC[C@H](NC(=O)[C@H]1CCCN1C(=O)CNC(C)=O)C(=O)N2 ZINC001157207839 1073158902 /nfs/dbraw/zinc/15/89/02/1073158902.db2.gz IRXJTOJLVVHSPR-DLBZAZTESA-N 0 0 430.461 -0.030 20 0 IBADRN COC(=O)c1ccc2c(c1)CC[C@@H](NC(=O)[C@H]1CCCN1C(=O)CNC(C)=O)C(=O)N2 ZINC001157207840 1073158831 /nfs/dbraw/zinc/15/88/31/1073158831.db2.gz IRXJTOJLVVHSPR-IAGOWNOFSA-N 0 0 430.461 -0.030 20 0 IBADRN COC(=O)c1ccc2c(c1)CC[C@H](NC(=O)[C@@H]1CCCN1C(=O)CNC(C)=O)C(=O)N2 ZINC001157207841 1073158855 /nfs/dbraw/zinc/15/88/55/1073158855.db2.gz IRXJTOJLVVHSPR-IRXDYDNUSA-N 0 0 430.461 -0.030 20 0 IBADRN COC(=O)c1ccc2c(c1)CC[C@@H](NC(=O)[C@@H]1CCCN1C(=O)CNC(C)=O)C(=O)N2 ZINC001157207842 1073158872 /nfs/dbraw/zinc/15/88/72/1073158872.db2.gz IRXJTOJLVVHSPR-SJORKVTESA-N 0 0 430.461 -0.030 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nn(C)c3ncc(Br)cc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001157216516 1073158817 /nfs/dbraw/zinc/15/88/17/1073158817.db2.gz QQYQCNDWBLSOAT-JVGCTUHESA-N 0 0 445.270 -0.695 20 0 IBADRN COc1ccc2c(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)cnn2c1 ZINC001157234806 1073159272 /nfs/dbraw/zinc/15/92/72/1073159272.db2.gz NNDYIVSDRDFQKC-RDJZCZTQSA-N 0 0 425.449 -0.117 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cn3c(Br)ccc3cn2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001157251347 1073159359 /nfs/dbraw/zinc/15/93/59/1073159359.db2.gz YMDXRRKYUWIOIT-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN COC(=O)c1cnc(N2CCC3(CNC(=O)N3)CC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001157253397 1073159422 /nfs/dbraw/zinc/15/94/22/1073159422.db2.gz YREMVGVTIYRYOJ-UHFFFAOYSA-N 0 0 439.494 -0.459 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)c1ccc2[nH]c3c(c2c1)CCNC3=O ZINC001157268659 1073159313 /nfs/dbraw/zinc/15/93/13/1073159313.db2.gz DZULNIPDZZFPGC-UHFFFAOYSA-N 0 0 436.432 -0.283 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ncn3cc(Br)ccc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001157269921 1073159378 /nfs/dbraw/zinc/15/93/78/1073159378.db2.gz GQJDEAJZVDBJLM-RGPMDHNWSA-N 0 0 430.255 -0.329 20 0 IBADRN CCOC(=O)[C@@H](Nc1ncc(C(=O)OC)cc1S(=O)(=O)N1CCOCC1)[C@@H](C)O ZINC001157270992 1073159339 /nfs/dbraw/zinc/15/93/39/1073159339.db2.gz XLWLQEMHBZANRJ-RISCZKNCSA-N 0 0 431.467 -0.387 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CC[C@H](N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001157275215 1073159392 /nfs/dbraw/zinc/15/93/92/1073159392.db2.gz HNOMMEPURTYBKZ-HNNXBMFYSA-N 0 0 433.575 -0.122 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CC[C@@H](N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001157275216 1073159301 /nfs/dbraw/zinc/15/93/01/1073159301.db2.gz HNOMMEPURTYBKZ-OAHLLOKOSA-N 0 0 433.575 -0.122 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CC[C@@H](O)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001157285856 1073159418 /nfs/dbraw/zinc/15/94/18/1073159418.db2.gz CJAMLVLQYRVFSH-OAGGEKHMSA-N 0 0 428.507 -0.351 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CC[C@@H](O)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001157285857 1073159264 /nfs/dbraw/zinc/15/92/64/1073159264.db2.gz CJAMLVLQYRVFSH-XHSDSOJGSA-N 0 0 428.507 -0.351 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)[C@H](C)C1 ZINC001157300403 1073159429 /nfs/dbraw/zinc/15/94/29/1073159429.db2.gz RSUGIRADUQWCCP-UONOGXRCSA-N 0 0 433.552 -0.158 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)[C@H](C)C1 ZINC001157300404 1073159345 /nfs/dbraw/zinc/15/93/45/1073159345.db2.gz RSUGIRADUQWCCP-ZIAGYGMSSA-N 0 0 433.552 -0.158 20 0 IBADRN CON(C)C1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC001157300456 1073159291 /nfs/dbraw/zinc/15/92/91/1073159291.db2.gz MQWQSAZGWGCTRX-UHFFFAOYSA-N 0 0 428.486 -0.004 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)[C@H](N)Cc1cn(C=O)c2ccccc12 ZINC001157302869 1073159400 /nfs/dbraw/zinc/15/94/00/1073159400.db2.gz AJJDNEAHTQVNBW-MOPGFXCFSA-N 0 0 435.484 -0.122 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)[C@@H](N)CC(=O)OCc1ccccc1 ZINC001157302914 1073159252 /nfs/dbraw/zinc/15/92/52/1073159252.db2.gz BTEMTUASNKLHIK-ROUUACIJSA-N 0 0 426.473 -0.224 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)C[C@@H](N)C(=O)OCc1ccccc1 ZINC001157304375 1073159849 /nfs/dbraw/zinc/15/98/49/1073159849.db2.gz YCHJGJFBDLLOOC-MSOLQXFVSA-N 0 0 426.473 -0.224 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)CCC(=O)N3CC(=O)Nc4ccccc43)C2)[nH]c1=O ZINC001157305329 1073159819 /nfs/dbraw/zinc/15/98/19/1073159819.db2.gz CXFWCQAXQVRCPK-CYBMUJFWSA-N 0 0 440.460 -0.054 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CCC(=O)N3CC(=O)Nc4ccccc43)C2)[nH]c1=O ZINC001157305330 1073159785 /nfs/dbraw/zinc/15/97/85/1073159785.db2.gz CXFWCQAXQVRCPK-ZDUSSCGKSA-N 0 0 440.460 -0.054 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)CNS(=O)(=O)c3ccc(F)cc3)C2)[nH]c1=O ZINC001157305591 1073159763 /nfs/dbraw/zinc/15/97/63/1073159763.db2.gz JQRDUXNVALCMGL-GFCCVEGCSA-N 0 0 425.442 -0.702 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CNS(=O)(=O)c3ccc(F)cc3)C2)[nH]c1=O ZINC001157305592 1073159797 /nfs/dbraw/zinc/15/97/97/1073159797.db2.gz JQRDUXNVALCMGL-LBPRGKRZSA-N 0 0 425.442 -0.702 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N[C@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001157305597 1073159873 /nfs/dbraw/zinc/15/98/73/1073159873.db2.gz JXDQTJZIARRDGY-KBPBESRZSA-N 0 0 427.527 -0.225 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@H]1C(=O)N[C@@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001157305598 1073159769 /nfs/dbraw/zinc/15/97/69/1073159769.db2.gz JXDQTJZIARRDGY-KGLIPLIRSA-N 0 0 427.527 -0.225 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001157305599 1073159802 /nfs/dbraw/zinc/15/98/02/1073159802.db2.gz JXDQTJZIARRDGY-UONOGXRCSA-N 0 0 427.527 -0.225 20 0 IBADRN CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001157305600 1073159812 /nfs/dbraw/zinc/15/98/12/1073159812.db2.gz JXDQTJZIARRDGY-ZIAGYGMSSA-N 0 0 427.527 -0.225 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)C2)[nH]c1=O ZINC001157306043 1073159876 /nfs/dbraw/zinc/15/98/76/1073159876.db2.gz NKHIKIKGVHTLGD-AWEZNQCLSA-N 0 0 433.490 -0.065 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)C2)[nH]c1=O ZINC001157306044 1073159760 /nfs/dbraw/zinc/15/97/60/1073159760.db2.gz NKHIKIKGVHTLGD-CQSZACIVSA-N 0 0 433.490 -0.065 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CCCC[C@@H]3SC[C@H]4NC(=O)N[C@H]34)C2)[nH]c1=O ZINC001157306394 1073159866 /nfs/dbraw/zinc/15/98/66/1073159866.db2.gz RUMIEADSBLAOPR-LKQDWFRTSA-N 0 0 436.538 -0.093 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CCCC[C@@H]3SC[C@@H]4NC(=O)N[C@@H]43)C2)[nH]c1=O ZINC001157306395 1073159807 /nfs/dbraw/zinc/15/98/07/1073159807.db2.gz RUMIEADSBLAOPR-MRHIQRDNSA-N 0 0 436.538 -0.093 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CCCC[C@@H]3SC[C@@H]4NC(=O)N[C@H]43)C2)[nH]c1=O ZINC001157306396 1073159861 /nfs/dbraw/zinc/15/98/61/1073159861.db2.gz RUMIEADSBLAOPR-NEULZYRMSA-N 0 0 436.538 -0.093 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CCCC[C@@H]3SC[C@H]4NC(=O)N[C@@H]34)C2)[nH]c1=O ZINC001157306397 1073159777 /nfs/dbraw/zinc/15/97/77/1073159777.db2.gz RUMIEADSBLAOPR-PFHKOEEOSA-N 0 0 436.538 -0.093 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@@H]1CCN(c3cc(=O)n(C)c(=O)[nH]3)C1)C2=O ZINC001157306658 1073160332 /nfs/dbraw/zinc/16/03/32/1073160332.db2.gz UROPRRPLSDVVGO-IZDJOXEWSA-N 0 0 432.481 -0.319 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N[C@H]1CCN(c3cc(=O)n(C)c(=O)[nH]3)C1)C2=O ZINC001157306659 1073160294 /nfs/dbraw/zinc/16/02/94/1073160294.db2.gz UROPRRPLSDVVGO-MTJIALIYSA-N 0 0 432.481 -0.319 20 0 IBADRN C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)N[C@H]1CCN(c3cc(=O)n(C)c(=O)[nH]3)C1)C2=O ZINC001157306660 1073160229 /nfs/dbraw/zinc/16/02/29/1073160229.db2.gz UROPRRPLSDVVGO-UVARLRKDSA-N 0 0 432.481 -0.319 20 0 IBADRN C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)N[C@@H]1CCN(c3cc(=O)n(C)c(=O)[nH]3)C1)C2=O ZINC001157306661 1073160213 /nfs/dbraw/zinc/16/02/13/1073160213.db2.gz UROPRRPLSDVVGO-VFGMZZLHSA-N 0 0 432.481 -0.319 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001157306687 1073160254 /nfs/dbraw/zinc/16/02/54/1073160254.db2.gz VGHOYNCPPVFXFH-AWEZNQCLSA-N 0 0 435.506 -0.142 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001157306688 1073160300 /nfs/dbraw/zinc/16/03/00/1073160300.db2.gz VGHOYNCPPVFXFH-CQSZACIVSA-N 0 0 435.506 -0.142 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)[C@H]1CNCc2ccnn21)C(N)=O ZINC001157308934 1073160240 /nfs/dbraw/zinc/16/02/40/1073160240.db2.gz AZUHGUSPZIGIBP-KURKYZTESA-N 0 0 428.493 -0.413 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)[C@@H]1CNCc2ccnn21)C(N)=O ZINC001157308935 1073160259 /nfs/dbraw/zinc/16/02/59/1073160259.db2.gz AZUHGUSPZIGIBP-SQNIBIBYSA-N 0 0 428.493 -0.413 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)C3(O)CN(C(=O)OCc4ccccc4)C3)C2)[nH]c1=O ZINC001157313700 1073160807 /nfs/dbraw/zinc/16/08/07/1073160807.db2.gz OQMDZBCFGMUNDV-HNNXBMFYSA-N 0 0 443.460 -0.436 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)C3(O)CN(C(=O)OCc4ccccc4)C3)C2)[nH]c1=O ZINC001157313701 1073160818 /nfs/dbraw/zinc/16/08/18/1073160818.db2.gz OQMDZBCFGMUNDV-OAHLLOKOSA-N 0 0 443.460 -0.436 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1cc2n(n1)CCNC2)C(N)=O ZINC001157323991 1073160710 /nfs/dbraw/zinc/16/07/10/1073160710.db2.gz BMLPQERPDSNHKI-AEFFLSMTSA-N 0 0 428.493 -0.341 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1c2c(nn1C)CNC2)C(N)=O ZINC001157324445 1073160660 /nfs/dbraw/zinc/16/06/60/1073160660.db2.gz GNXLUHZQQQUAGT-WBVHZDCISA-N 0 0 428.493 -0.304 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1nnn2c1CNCC2)C(N)=O ZINC001157324817 1073160746 /nfs/dbraw/zinc/16/07/46/1073160746.db2.gz KURQZSGUKPDJQV-ZBFHGGJFSA-N 0 0 429.481 -0.946 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1cnc2n1CCNC2)C(N)=O ZINC001157325135 1073161100 /nfs/dbraw/zinc/16/11/00/1073161100.db2.gz PWKMAPXWVLFXKZ-QAPCUYQASA-N 0 0 428.493 -0.341 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)Cn1cccc(N)c1=O)C(N)=O ZINC001157325137 1073161324 /nfs/dbraw/zinc/16/13/24/1073161324.db2.gz PXRBOQLDDYRBGV-AEFFLSMTSA-N 0 0 429.477 -0.510 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1cnn2c1CNCC2)C(N)=O ZINC001157325141 1073161125 /nfs/dbraw/zinc/16/11/25/1073161125.db2.gz PYJHBESRTZYPAA-AEFFLSMTSA-N 0 0 428.493 -0.341 20 0 IBADRN O=C1C=CC(=O)N1CCCCCCNc1nc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001157327506 1073161184 /nfs/dbraw/zinc/16/11/84/1073161184.db2.gz PZXPOYKUMJLZLJ-UHFFFAOYSA-N 0 0 445.524 -0.136 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCc1ncn(C)c1Br ZINC001157332177 1073161269 /nfs/dbraw/zinc/16/12/69/1073161269.db2.gz FWNBXFHMHPJVJM-UHFFFAOYSA-N 0 0 438.348 -0.325 20 0 IBADRN CCOC(=O)[C@@H]1COCCN1c1ncc(C(=O)OC)cc1S(=O)(=O)N1CCOCC1 ZINC001157335987 1073161702 /nfs/dbraw/zinc/16/17/02/1073161702.db2.gz NSPODNKWRQXRJX-AWEZNQCLSA-N 0 0 443.478 -0.343 20 0 IBADRN CCOC(=O)[C@H]1COCCN1c1ncc(C(=O)OC)cc1S(=O)(=O)N1CCOCC1 ZINC001157335988 1073161620 /nfs/dbraw/zinc/16/16/20/1073161620.db2.gz NSPODNKWRQXRJX-CQSZACIVSA-N 0 0 443.478 -0.343 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)[C@H]1c1nccn1C ZINC001157346543 1073161773 /nfs/dbraw/zinc/16/17/73/1073161773.db2.gz MCIQRUFFLPKEOV-FSDCSDTHSA-N 0 0 441.554 -0.067 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)[C@H]1c1nccn1C ZINC001157346544 1073161602 /nfs/dbraw/zinc/16/16/02/1073161602.db2.gz MCIQRUFFLPKEOV-JHNDHUHGSA-N 0 0 441.554 -0.067 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)[C@H]1c1nccn1C ZINC001157346545 1073161684 /nfs/dbraw/zinc/16/16/84/1073161684.db2.gz MCIQRUFFLPKEOV-OHFALNGGSA-N 0 0 441.554 -0.067 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)[C@H]1c1nccn1C ZINC001157346546 1073161718 /nfs/dbraw/zinc/16/17/18/1073161718.db2.gz MCIQRUFFLPKEOV-OTRWWLKZSA-N 0 0 441.554 -0.067 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)[C@@H]3CNCc4ccnn43)ccc12 ZINC001157364447 1073161753 /nfs/dbraw/zinc/16/17/53/1073161753.db2.gz CCQOZGSEQXLCNZ-INIZCTEOSA-N 0 0 438.444 -0.187 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)[C@H]3CNCc4ccnn43)ccc12 ZINC001157364448 1073161625 /nfs/dbraw/zinc/16/16/25/1073161625.db2.gz CCQOZGSEQXLCNZ-MRXNPFEDSA-N 0 0 438.444 -0.187 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3cnc4n3CCNC4)ccc12 ZINC001157364820 1073162185 /nfs/dbraw/zinc/16/21/85/1073162185.db2.gz KIQVYOJLMZALKA-UHFFFAOYSA-N 0 0 438.444 -0.114 20 0 IBADRN COC(=O)[C@@H](N)CCC(=O)NCC(=O)NCC(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001157364967 1073162594 /nfs/dbraw/zinc/16/25/94/1073162594.db2.gz PCANWLSIBVCRKL-AWEZNQCLSA-N 0 0 432.433 -0.447 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3c4c(nn3C)CNC4)ccc12 ZINC001157368169 1073162884 /nfs/dbraw/zinc/16/28/84/1073162884.db2.gz DSOIVOUNSJMMNX-UHFFFAOYSA-N 0 0 438.444 -0.077 20 0 IBADRN [NH3+]CCCC[C@H](NC(=O)c1cc2n(n1)CCCN(CC(=O)[O-])C2=O)C(=O)N1CCCC1 ZINC001157368382 1073162898 /nfs/dbraw/zinc/16/28/98/1073162898.db2.gz FSAVKRDCFUBEKQ-AWEZNQCLSA-N 0 0 434.497 -0.337 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3cnn4c3CNCC4)ccc12 ZINC001157368847 1073162996 /nfs/dbraw/zinc/16/29/96/1073162996.db2.gz LWKZSCNXSWXUEO-UHFFFAOYSA-N 0 0 438.444 -0.114 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3nnn4c3CNCC4)ccc12 ZINC001157369488 1073163058 /nfs/dbraw/zinc/16/30/58/1073163058.db2.gz VHAVEIZLDCGLJJ-UHFFFAOYSA-N 0 0 439.432 -0.719 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3cc4n(n3)CCNC4)ccc12 ZINC001157369785 1073163052 /nfs/dbraw/zinc/16/30/52/1073163052.db2.gz WOJXRUNLBUDYIM-UHFFFAOYSA-N 0 0 438.444 -0.114 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C[C@@H]2CCCS2(=O)=O)CC3)CC1 ZINC001157374272 1073162961 /nfs/dbraw/zinc/16/29/61/1073162961.db2.gz APMMXXRYOOGNTD-CVEARBPZSA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C[C@@H]2CCCS2(=O)=O)CC3)CC1 ZINC001157374281 1073162944 /nfs/dbraw/zinc/16/29/44/1073162944.db2.gz APMMXXRYOOGNTD-HOTGVXAUSA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C[C@H]2CCCS2(=O)=O)CC3)CC1 ZINC001157374282 1073162903 /nfs/dbraw/zinc/16/29/03/1073162903.db2.gz APMMXXRYOOGNTD-HZPDHXFCSA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C[C@H]2CCCS2(=O)=O)CC3)CC1 ZINC001157374285 1073162930 /nfs/dbraw/zinc/16/29/30/1073162930.db2.gz APMMXXRYOOGNTD-JKSUJKDBSA-N 0 0 437.566 -0.083 20 0 IBADRN Cc1c[nH]c(CC(N)=O)c1C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001157374626 1073163035 /nfs/dbraw/zinc/16/30/35/1073163035.db2.gz CTBWMCYLEZDGES-HNNXBMFYSA-N 0 0 441.536 -0.073 20 0 IBADRN Cc1c[nH]c(CC(N)=O)c1C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001157374627 1073162969 /nfs/dbraw/zinc/16/29/69/1073162969.db2.gz CTBWMCYLEZDGES-OAHLLOKOSA-N 0 0 441.536 -0.073 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)c1cc3n(n1)CCN(C)S3(=O)=O)CC2 ZINC001157375733 1073163397 /nfs/dbraw/zinc/16/33/97/1073163397.db2.gz VHGJKQLFWKIPAM-CYBMUJFWSA-N 0 0 449.537 -0.156 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)c1cc3n(n1)CCN(C)S3(=O)=O)CC2 ZINC001157375735 1073163406 /nfs/dbraw/zinc/16/34/06/1073163406.db2.gz VHGJKQLFWKIPAM-ZDUSSCGKSA-N 0 0 449.537 -0.156 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CCCS(N)(=O)=O)CC3)CC1 ZINC001157375756 1073163361 /nfs/dbraw/zinc/16/33/61/1073163361.db2.gz VZYROULFGYNVJE-AWEZNQCLSA-N 0 0 426.543 -0.982 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CCCS(N)(=O)=O)CC3)CC1 ZINC001157375760 1073163338 /nfs/dbraw/zinc/16/33/38/1073163338.db2.gz VZYROULFGYNVJE-CQSZACIVSA-N 0 0 426.543 -0.982 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)c2nccnc2C(N)=O)CC3)CC1 ZINC001157382196 1073163387 /nfs/dbraw/zinc/16/33/87/1073163387.db2.gz MRVGYZFOXBIJPS-CYBMUJFWSA-N 0 0 426.481 -0.848 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)c2nccnc2C(N)=O)CC3)CC1 ZINC001157382197 1073163261 /nfs/dbraw/zinc/16/32/61/1073163261.db2.gz MRVGYZFOXBIJPS-ZDUSSCGKSA-N 0 0 426.481 -0.848 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C2CCS(=O)(=O)CC2)CC3)CC1 ZINC001157382892 1073163333 /nfs/dbraw/zinc/16/33/33/1073163333.db2.gz VJQJBTBYDJJNGH-HNNXBMFYSA-N 0 0 437.566 -0.226 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C2CCS(=O)(=O)CC2)CC3)CC1 ZINC001157382893 1073163300 /nfs/dbraw/zinc/16/33/00/1073163300.db2.gz VJQJBTBYDJJNGH-OAHLLOKOSA-N 0 0 437.566 -0.226 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001157404917 1073163817 /nfs/dbraw/zinc/16/38/17/1073163817.db2.gz NSEITHBMCYYISX-AAEUAGOBSA-N 0 0 425.554 -0.682 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001157404918 1073163822 /nfs/dbraw/zinc/16/38/22/1073163822.db2.gz NSEITHBMCYYISX-DGCLKSJQSA-N 0 0 425.554 -0.682 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001157404919 1073163880 /nfs/dbraw/zinc/16/38/80/1073163880.db2.gz NSEITHBMCYYISX-WCQYABFASA-N 0 0 425.554 -0.682 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001157404920 1073163848 /nfs/dbraw/zinc/16/38/48/1073163848.db2.gz NSEITHBMCYYISX-YPMHNXCESA-N 0 0 425.554 -0.682 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1c1cc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001157419784 1073163825 /nfs/dbraw/zinc/16/38/25/1073163825.db2.gz YCRZECZEYWBZFM-HNNXBMFYSA-N 0 0 434.497 -0.592 20 0 IBADRN COC(=O)c1cnc(NC2CC3(C2)CS(=O)(=O)C3)c(S(=O)(=O)N2CCOCC2)c1 ZINC001157423946 1073164437 /nfs/dbraw/zinc/16/44/37/1073164437.db2.gz LJNNLQRINJFKPA-UHFFFAOYSA-N 0 0 445.519 -0.122 20 0 IBADRN COC(=O)c1cnc(N[C@H]2C[C@@H](S(C)(=O)=O)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001157470107 1073164749 /nfs/dbraw/zinc/16/47/49/1073164749.db2.gz KNUWOYBWUKEAKQ-BETUJISGSA-N 0 0 433.508 -0.123 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC001157499672 1073164827 /nfs/dbraw/zinc/16/48/27/1073164827.db2.gz HYNJUEPALPRJSW-UHFFFAOYSA-N 0 0 444.579 -0.383 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@H](CO)NC(=O)c1cccc(F)n1)C(F)(F)F ZINC001157515119 1073164701 /nfs/dbraw/zinc/16/47/01/1073164701.db2.gz IGSLANXFPGPXGK-UWVGGRQHSA-N 0 0 430.380 -0.750 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@H](CO)NC(=O)c1cccc(F)n1)C(F)(F)F ZINC001157515120 1073164746 /nfs/dbraw/zinc/16/47/46/1073164746.db2.gz IGSLANXFPGPXGK-VHSXEESVSA-N 0 0 430.380 -0.750 20 0 IBADRN O=C1NC(=O)C2(C[C@H]3CC[C@@H](C2)N3c2cc(N3CCOCC3)nc(N3CCOCC3)n2)N1 ZINC001157517507 1073164855 /nfs/dbraw/zinc/16/48/55/1073164855.db2.gz DHJVOOHUQFTBGK-YLQYIJTPSA-N 0 0 443.508 -0.141 20 0 IBADRN O=C1NC(=O)C2(C[C@H]3CC[C@@H](C2)N3c2nc(N3CCOCC3)nc(N3CCOCC3)n2)N1 ZINC001157518057 1073164671 /nfs/dbraw/zinc/16/46/71/1073164671.db2.gz SZTBAZBZFMIUKA-RAKKMVLPSA-N 0 0 444.496 -0.746 20 0 IBADRN O=C(c1ccc(N2[C@@H]3CC[C@H]2CC2(C3)NC(=O)NC2=O)nc1)N1CCS(=O)(=O)CC1 ZINC001157520051 1073164840 /nfs/dbraw/zinc/16/48/40/1073164840.db2.gz IMEGETKTYAIGTP-FSWDVZBNSA-N 0 0 433.490 -0.338 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)CCO1 ZINC001157537846 1073164714 /nfs/dbraw/zinc/16/47/14/1073164714.db2.gz DYWRCFYBBFBHJO-SECBINFHSA-N 0 0 441.325 -0.018 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2Br)CCO1 ZINC001157537847 1073165280 /nfs/dbraw/zinc/16/52/80/1073165280.db2.gz DYWRCFYBBFBHJO-VIFPVBQESA-N 0 0 441.325 -0.018 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C[C@H]1CS(N)(=O)=O ZINC001157559525 1073165374 /nfs/dbraw/zinc/16/53/74/1073165374.db2.gz GZRZMVKPOLHHAJ-OLZOCXBDSA-N 0 0 449.526 -0.157 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1C[C@@H](C)[C@H](CS(N)(=O)=O)C1 ZINC001157560047 1073165240 /nfs/dbraw/zinc/16/52/40/1073165240.db2.gz MGZPCWUWNMRYIM-OCCSQVGLSA-N 0 0 427.527 -0.357 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)NCC2(OCCO)CCC(C(F)F)CC2)CC1 ZINC001157586687 1073165252 /nfs/dbraw/zinc/16/52/52/1073165252.db2.gz UDMBESYNJVEMOG-UHFFFAOYSA-N 0 0 427.514 -0.117 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)C1 ZINC001157613088 1073165310 /nfs/dbraw/zinc/16/53/10/1073165310.db2.gz IVTGEFDCTWNDFP-HZSPNIEDSA-N 0 0 436.552 -0.086 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)C1 ZINC001157613089 1073165262 /nfs/dbraw/zinc/16/52/62/1073165262.db2.gz IVTGEFDCTWNDFP-MJBXVCDLSA-N 0 0 436.552 -0.086 20 0 IBADRN O=C(NC1C[C@H]2CC[C@@H](C1)S2(=O)=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001157620109 1073165320 /nfs/dbraw/zinc/16/53/20/1073165320.db2.gz BFRGZFILASXORL-VHRNVKJDSA-N 0 0 441.531 -0.355 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC2C[C@H]3CC[C@@H](C2)S3(=O)=O)c1 ZINC001157621134 1073165201 /nfs/dbraw/zinc/16/52/01/1073165201.db2.gz XQKCFCMMNSEVNX-GOOCMWNKSA-N 0 0 443.547 -0.109 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(c2ccc(S(N)(=O)=O)nn2)CCO1 ZINC001157633950 1073165383 /nfs/dbraw/zinc/16/53/83/1073165383.db2.gz CSQCLUFTGZQZLW-CYBMUJFWSA-N 0 0 433.450 -0.079 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(c2ccc(S(N)(=O)=O)nn2)CCO1 ZINC001157633953 1073165271 /nfs/dbraw/zinc/16/52/71/1073165271.db2.gz CSQCLUFTGZQZLW-ZDUSSCGKSA-N 0 0 433.450 -0.079 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)c1c3c(nn1C)CCS(=O)(=O)C3)C2 ZINC001157637346 1073165315 /nfs/dbraw/zinc/16/53/15/1073165315.db2.gz CHDJUHLJYVAPAP-UHFFFAOYSA-N 0 0 438.531 -0.002 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC2(CCNC(=O)O2)CC1 ZINC001157707734 1073165757 /nfs/dbraw/zinc/16/57/57/1073165757.db2.gz INEKRTSBMJYGLK-UHFFFAOYSA-N 0 0 436.490 -0.088 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2n[nH]c3c2CN(C(=O)OC(C)(C)C)CC3)CC1 ZINC001157720731 1073166248 /nfs/dbraw/zinc/16/62/48/1073166248.db2.gz BMTQYIAXIHIXTN-UHFFFAOYSA-N 0 0 428.515 -0.075 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cn(C)nc2CN2C(=O)c3ccccc3C2=O)CC1 ZINC001157720786 1073166292 /nfs/dbraw/zinc/16/62/92/1073166292.db2.gz CLEGUUXXUHSVEY-UHFFFAOYSA-N 0 0 446.489 -0.562 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(Cl)c(Cl)c2)CC1 ZINC001157721183 1073166302 /nfs/dbraw/zinc/16/63/02/1073166302.db2.gz MUMDSDSICOGJOE-UHFFFAOYSA-N 0 0 445.350 -0.120 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cnnn2C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001157721293 1073166204 /nfs/dbraw/zinc/16/62/04/1073166204.db2.gz NPMVEVFDQRNYSA-UHFFFAOYSA-N 0 0 429.503 -0.708 20 0 IBADRN C[C@H](C(=O)NCC1(O)CCS(=O)(=O)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001157721518 1073166171 /nfs/dbraw/zinc/16/61/71/1073166171.db2.gz RSVQARROYDRMMY-CYBMUJFWSA-N 0 0 433.527 -0.490 20 0 IBADRN C[C@@H](C(=O)NCC1(O)CCS(=O)(=O)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001157721519 1073166282 /nfs/dbraw/zinc/16/62/82/1073166282.db2.gz RSVQARROYDRMMY-ZDUSSCGKSA-N 0 0 433.527 -0.490 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@]2(F)CN(C(=O)OC(C)(C)C)CC23COC3)CC1 ZINC001157721628 1073166232 /nfs/dbraw/zinc/16/62/32/1073166232.db2.gz URPSZPKLHBOUPX-KRWDZBQOSA-N 0 0 436.506 -0.430 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@]2(F)CN(C(=O)OC(C)(C)C)CC23COC3)CC1 ZINC001157721629 1073166288 /nfs/dbraw/zinc/16/62/88/1073166288.db2.gz URPSZPKLHBOUPX-QGZVFWFLSA-N 0 0 436.506 -0.430 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC001157721900 1073166310 /nfs/dbraw/zinc/16/63/10/1073166310.db2.gz XQLJVPBJRLYYML-CVEARBPZSA-N 0 0 426.495 -0.633 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001157721905 1073166158 /nfs/dbraw/zinc/16/61/58/1073166158.db2.gz XSOCPRYOFVUPHT-UHFFFAOYSA-N 0 0 429.520 -0.363 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@]2(C)C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC001157728554 1073166201 /nfs/dbraw/zinc/16/62/01/1073166201.db2.gz OTDCYLOLCIUHCG-APWZRJJASA-N 0 0 440.522 -0.243 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@]2(C)C[C@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC001157728555 1073166179 /nfs/dbraw/zinc/16/61/79/1073166179.db2.gz OTDCYLOLCIUHCG-LPHOPBHVSA-N 0 0 440.522 -0.243 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@]2(C)C[C@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC001157728556 1073166212 /nfs/dbraw/zinc/16/62/12/1073166212.db2.gz OTDCYLOLCIUHCG-QFBILLFUSA-N 0 0 440.522 -0.243 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C(=O)OCc2ccccc2)CC1 ZINC001157728557 1073166298 /nfs/dbraw/zinc/16/62/98/1073166298.db2.gz OTDCYLOLCIUHCG-VQIMIIECSA-N 0 0 440.522 -0.243 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC001157729304 1073166611 /nfs/dbraw/zinc/16/66/11/1073166611.db2.gz ZTPOLBMPVNRSGS-UHFFFAOYSA-N 0 0 430.552 -0.233 20 0 IBADRN COC(=O)c1cnc(N2CCN(C)C[C@H]2C(=O)OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001157771460 1073166547 /nfs/dbraw/zinc/16/65/47/1073166547.db2.gz BLUPJHYUWISROJ-AWEZNQCLSA-N 0 0 442.494 -0.818 20 0 IBADRN COC(=O)c1cnc(N2CCN(C)C[C@@H]2C(=O)OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001157771461 1073166600 /nfs/dbraw/zinc/16/66/00/1073166600.db2.gz BLUPJHYUWISROJ-CQSZACIVSA-N 0 0 442.494 -0.818 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001157828080 1073166658 /nfs/dbraw/zinc/16/66/58/1073166658.db2.gz APJFFHIJSLHQQD-JTQLQIEISA-N 0 0 429.314 -0.751 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001157828081 1073167134 /nfs/dbraw/zinc/16/71/34/1073167134.db2.gz APJFFHIJSLHQQD-SNVBAGLBSA-N 0 0 429.314 -0.751 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)CNC(=O)c1ccccc1I ZINC001157829572 1073167128 /nfs/dbraw/zinc/16/71/28/1073167128.db2.gz TYEVJNRAYYFTAB-SECBINFHSA-N 0 0 440.259 -0.457 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)CNC(=O)c1ccccc1I ZINC001157829573 1073167115 /nfs/dbraw/zinc/16/71/15/1073167115.db2.gz TYEVJNRAYYFTAB-VIFPVBQESA-N 0 0 440.259 -0.457 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)CS(=O)(=O)Cc1ccc(Br)cc1 ZINC001157836122 1073167043 /nfs/dbraw/zinc/16/70/43/1073167043.db2.gz MBZHALQLLLXIRR-GFCCVEGCSA-N 0 0 428.326 -0.114 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)CS(=O)(=O)Cc1ccc(Br)cc1 ZINC001157836125 1073167067 /nfs/dbraw/zinc/16/70/67/1073167067.db2.gz MBZHALQLLLXIRR-LBPRGKRZSA-N 0 0 428.326 -0.114 20 0 IBADRN CN(CC(=O)NC[C@@H](O)CS(C)(=O)=O)S(=O)(=O)c1ccc(Br)cc1 ZINC001157836211 1073167129 /nfs/dbraw/zinc/16/71/29/1073167129.db2.gz MVJUBTAGWHBVAI-LLVKDONJSA-N 0 0 443.341 -0.409 20 0 IBADRN CN(CC(=O)NC[C@H](O)CS(C)(=O)=O)S(=O)(=O)c1ccc(Br)cc1 ZINC001157836214 1073167090 /nfs/dbraw/zinc/16/70/90/1073167090.db2.gz MVJUBTAGWHBVAI-NSHDSACASA-N 0 0 443.341 -0.409 20 0 IBADRN Cc1ccc(S(=O)(=O)n2cnc(C[C@@H](N)C(=O)N3CC(=O)N(C4CC4)C(=O)C3)c2)cc1 ZINC001157853809 1073167031 /nfs/dbraw/zinc/16/70/31/1073167031.db2.gz PCYTZOYEHYBCEV-QGZVFWFLSA-N 0 0 445.501 -0.342 20 0 IBADRN COC(=O)c1cnc(N2CCN(c3ncncn3)CC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001157857087 1073167147 /nfs/dbraw/zinc/16/71/47/1073167147.db2.gz JXPRIEZJTGCPOC-UHFFFAOYSA-N 0 0 449.493 -0.599 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001157884788 1073167560 /nfs/dbraw/zinc/16/75/60/1073167560.db2.gz KLWHACKPCRAMFT-MRVPVSSYSA-N 0 0 446.370 -0.074 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001157884790 1073167496 /nfs/dbraw/zinc/16/74/96/1073167496.db2.gz KLWHACKPCRAMFT-QMMMGPOBSA-N 0 0 446.370 -0.074 20 0 IBADRN Cc1cnn(-c2c(C(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)c(C)nn2C)c1 ZINC001157910182 1073167539 /nfs/dbraw/zinc/16/75/39/1073167539.db2.gz ADJNVYFXRPPSCL-UHFFFAOYSA-N 0 0 443.555 -0.047 20 0 IBADRN CS(=O)(=O)Cc1cccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001157910240 1073167556 /nfs/dbraw/zinc/16/75/56/1073167556.db2.gz CJXBKJCXDFCJIP-UHFFFAOYSA-N 0 0 437.565 -0.039 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)Cc2csc(N3CCCC3=O)n2)CC1 ZINC001157910393 1073167506 /nfs/dbraw/zinc/16/75/06/1073167506.db2.gz FJTBZEHNUWYFCX-UHFFFAOYSA-N 0 0 449.580 -0.071 20 0 IBADRN Cc1ccc2ncc(C(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)c(=O)n2c1 ZINC001157910406 1073167569 /nfs/dbraw/zinc/16/75/69/1073167569.db2.gz FXEUTUKIRGQVSJ-UHFFFAOYSA-N 0 0 427.508 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cc1 ZINC001157910423 1073167592 /nfs/dbraw/zinc/16/75/92/1073167592.db2.gz GSIHENZGZNGMAT-UHFFFAOYSA-N 0 0 438.553 -0.675 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CNC(=O)c2ccc3c(c2)OCO3)CC1 ZINC001157910679 1073167502 /nfs/dbraw/zinc/16/75/02/1073167502.db2.gz JBUFWZNQCUNBEW-UHFFFAOYSA-N 0 0 446.507 -0.738 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001157910684 1073167543 /nfs/dbraw/zinc/16/75/43/1073167543.db2.gz JGTPDBCWMMBCOX-MCIONIFRSA-N 0 0 448.567 -0.333 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001157910685 1073167542 /nfs/dbraw/zinc/16/75/42/1073167542.db2.gz JGTPDBCWMMBCOX-MELADBBJSA-N 0 0 448.567 -0.333 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC001157910686 1073168008 /nfs/dbraw/zinc/16/80/08/1073168008.db2.gz JGTPDBCWMMBCOX-MGPQQGTHSA-N 0 0 448.567 -0.333 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC001157910687 1073167948 /nfs/dbraw/zinc/16/79/48/1073167948.db2.gz JGTPDBCWMMBCOX-RDBSUJKOSA-N 0 0 448.567 -0.333 20 0 IBADRN CNS(=O)(=O)c1csc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001157910769 1073168034 /nfs/dbraw/zinc/16/80/34/1073168034.db2.gz MPZFTAOSWXRDFG-UHFFFAOYSA-N 0 0 444.582 -0.614 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)Cn2cc(C(N)=O)c3ccccc32)CC1 ZINC001157911013 1073167893 /nfs/dbraw/zinc/16/78/93/1073167893.db2.gz OKOJGTAPSGNCPM-UHFFFAOYSA-N 0 0 441.535 -0.143 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)S(=O)(=O)C1CCOCC1 ZINC001157911070 1073167977 /nfs/dbraw/zinc/16/79/77/1073167977.db2.gz QBBNKWQERXTGTJ-GFCCVEGCSA-N 0 0 445.585 -0.915 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)S(=O)(=O)C1CCOCC1 ZINC001157911077 1073167932 /nfs/dbraw/zinc/16/79/32/1073167932.db2.gz QBBNKWQERXTGTJ-LBPRGKRZSA-N 0 0 445.585 -0.915 20 0 IBADRN CC1(C)NC(=O)N(CCCC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1=O ZINC001157911291 1073168031 /nfs/dbraw/zinc/16/80/31/1073168031.db2.gz SKULXZPLKOTUAZ-UHFFFAOYSA-N 0 0 437.544 -0.786 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CC1 ZINC001157911339 1073167997 /nfs/dbraw/zinc/16/79/97/1073167997.db2.gz VGJCYMLAHQFKSL-UHFFFAOYSA-N 0 0 446.599 -0.251 20 0 IBADRN COC(=O)COc1ccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cc1 ZINC001157911469 1073167906 /nfs/dbraw/zinc/16/79/06/1073167906.db2.gz WJSWJWZKZYOWFK-UHFFFAOYSA-N 0 0 433.508 -0.031 20 0 IBADRN CCn1c2ccccc2n(CC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1=O ZINC001157911539 1073168036 /nfs/dbraw/zinc/16/80/36/1073168036.db2.gz YWSXSLXUXBWSLW-UHFFFAOYSA-N 0 0 443.551 -0.061 20 0 IBADRN CCS(=O)(=O)C1CN(C(=O)Cc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001157911566 1073167954 /nfs/dbraw/zinc/16/79/54/1073167954.db2.gz ZZSLQSGTYUQKOT-UHFFFAOYSA-N 0 0 446.547 -0.096 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCCN1C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC001157916761 1073168012 /nfs/dbraw/zinc/16/80/12/1073168012.db2.gz FJSWLDIYAQJVSK-INIZCTEOSA-N 0 0 435.506 -0.768 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCCN1C(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC001157916763 1073168026 /nfs/dbraw/zinc/16/80/26/1073168026.db2.gz FJSWLDIYAQJVSK-MRXNPFEDSA-N 0 0 435.506 -0.768 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)s1 ZINC001157917013 1073167990 /nfs/dbraw/zinc/16/79/90/1073167990.db2.gz JHKIGCWOPNFPMR-UHFFFAOYSA-N 0 0 429.567 -0.118 20 0 IBADRN Cn1ncc(Br)c1CC(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001157917245 1073167971 /nfs/dbraw/zinc/16/79/71/1073167971.db2.gz MKRSBJXNKGSNPC-UHFFFAOYSA-N 0 0 442.361 -0.158 20 0 IBADRN CNC(=O)c1cncc(/C=C\C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001157917507 1073167926 /nfs/dbraw/zinc/16/79/26/1073167926.db2.gz OCRPVTUTRIEMER-PLNGDYQASA-N 0 0 429.524 -0.429 20 0 IBADRN CNC(=O)c1cncc(/C=C/C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001157917508 1073167914 /nfs/dbraw/zinc/16/79/14/1073167914.db2.gz OCRPVTUTRIEMER-SNAWJCMRSA-N 0 0 429.524 -0.429 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCCC[C@H]3CNS(N)(=O)=O)CC2=O)cn1 ZINC001157918098 1073168020 /nfs/dbraw/zinc/16/80/20/1073168020.db2.gz UAVACKXRDLAIDH-KBPBESRZSA-N 0 0 428.515 -0.943 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCCC[C@H]3CNS(N)(=O)=O)CC2=O)cn1 ZINC001157918099 1073167939 /nfs/dbraw/zinc/16/79/39/1073167939.db2.gz UAVACKXRDLAIDH-KGLIPLIRSA-N 0 0 428.515 -0.943 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCCC[C@@H]3CNS(N)(=O)=O)CC2=O)cn1 ZINC001157918100 1073167983 /nfs/dbraw/zinc/16/79/83/1073167983.db2.gz UAVACKXRDLAIDH-UONOGXRCSA-N 0 0 428.515 -0.943 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCCC[C@@H]3CNS(N)(=O)=O)CC2=O)cn1 ZINC001157918101 1073168407 /nfs/dbraw/zinc/16/84/07/1073168407.db2.gz UAVACKXRDLAIDH-ZIAGYGMSSA-N 0 0 428.515 -0.943 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2cncc(N3CCCC3=O)c2)CC1 ZINC001157918129 1073168329 /nfs/dbraw/zinc/16/83/29/1073168329.db2.gz VBELJWGQVLMQTM-UHFFFAOYSA-N 0 0 429.524 -0.061 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)N1C(=O)c2ccccc2C1=O ZINC001157918499 1073168379 /nfs/dbraw/zinc/16/83/79/1073168379.db2.gz WRQLYXLQTLHLQC-GFCCVEGCSA-N 0 0 442.519 -0.212 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)[C@H]1c1cccnc1 ZINC001157918564 1073168474 /nfs/dbraw/zinc/16/84/74/1073168474.db2.gz YTXMXVIHHDRKBM-GOEBONIOSA-N 0 0 443.551 -0.282 20 0 IBADRN CNC(=O)NC1CCN(c2ncc(C(=O)OC)cc2S(=O)(=O)N2CCOCC2)CC1 ZINC001157930509 1073168424 /nfs/dbraw/zinc/16/84/24/1073168424.db2.gz LTSHMDWXIZZIFR-UHFFFAOYSA-N 0 0 441.510 -0.213 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001157942388 1073168922 /nfs/dbraw/zinc/16/89/22/1073168922.db2.gz QSGJCSINABHBQB-UHFFFAOYSA-N 0 0 427.483 -0.474 20 0 IBADRN COC(=O)c1cnc(N2CCC[C@@H](NC(C)=O)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001157969139 1073169409 /nfs/dbraw/zinc/16/94/09/1073169409.db2.gz LCBXJAPZHVXARZ-OAHLLOKOSA-N 0 0 426.495 -0.006 20 0 IBADRN CCOC(=O)[C@H]1CNCCN1C(=O)C1=C([C@H]2CCCO2)S[C@@H]2[C@@H]([C@@H](C)O)C(=O)N12 ZINC001157998380 1073169436 /nfs/dbraw/zinc/16/94/36/1073169436.db2.gz FCBLCSQBBCVUNK-QXIMGHOESA-N 0 0 425.507 -0.347 20 0 IBADRN CCOC(=O)[C@@H]1CNCCN1C(=O)C1=C([C@H]2CCCO2)S[C@@H]2[C@@H]([C@@H](C)O)C(=O)N12 ZINC001157998381 1073169277 /nfs/dbraw/zinc/16/92/77/1073169277.db2.gz FCBLCSQBBCVUNK-YVHNNKAESA-N 0 0 425.507 -0.347 20 0 IBADRN CCOC(=O)[C@H]1CNCCN1C(=O)[C@@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1 ZINC001158000172 1073169309 /nfs/dbraw/zinc/16/93/09/1073169309.db2.gz WKSANIAUCQSERZ-CVEARBPZSA-N 0 0 448.524 -0.382 20 0 IBADRN CCOC(=O)[C@@H]1CNCCN1C(=O)[C@@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1 ZINC001158000173 1073169317 /nfs/dbraw/zinc/16/93/17/1073169317.db2.gz WKSANIAUCQSERZ-HOTGVXAUSA-N 0 0 448.524 -0.382 20 0 IBADRN CCOC(=O)[C@H]1CNCCN1C(=O)[C@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1 ZINC001158000174 1073169393 /nfs/dbraw/zinc/16/93/93/1073169393.db2.gz WKSANIAUCQSERZ-HZPDHXFCSA-N 0 0 448.524 -0.382 20 0 IBADRN CCOC(=O)[C@@H]1CNCCN1C(=O)[C@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1 ZINC001158000175 1073169356 /nfs/dbraw/zinc/16/93/56/1073169356.db2.gz WKSANIAUCQSERZ-JKSUJKDBSA-N 0 0 448.524 -0.382 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CCC(=O)N2CCOCC2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001158004139 1073169300 /nfs/dbraw/zinc/16/93/00/1073169300.db2.gz IWPDJZGUDFRBLF-CABCVRRESA-N 0 0 445.538 -0.130 20 0 IBADRN CCOC(=O)[C@@H]1CNCCN1C(=O)CNC(=O)OCC1=Cc2ccccc2S1(=O)=O ZINC001158005712 1073169425 /nfs/dbraw/zinc/16/94/25/1073169425.db2.gz BWBUUSAPHSXSCN-HNNXBMFYSA-N 0 0 437.474 -0.096 20 0 IBADRN CCOC(=O)[C@H]1CNCCN1C(=O)CNC(=O)OCC1=Cc2ccccc2S1(=O)=O ZINC001158005713 1073169823 /nfs/dbraw/zinc/16/98/23/1073169823.db2.gz BWBUUSAPHSXSCN-OAHLLOKOSA-N 0 0 437.474 -0.096 20 0 IBADRN CCOC(=O)[C@H]1CNCCN1C(=O)c1ccc(S(C)(=O)=O)cc1N1CCN(C)CC1 ZINC001158007457 1073169818 /nfs/dbraw/zinc/16/98/18/1073169818.db2.gz SIHSLSUSCUGJRY-GOSISDBHSA-N 0 0 438.550 -0.181 20 0 IBADRN CCOC(=O)[C@@H]1CNCCN1C(=O)c1ccc(S(C)(=O)=O)cc1N1CCN(C)CC1 ZINC001158007458 1073169862 /nfs/dbraw/zinc/16/98/62/1073169862.db2.gz SIHSLSUSCUGJRY-SFHVURJKSA-N 0 0 438.550 -0.181 20 0 IBADRN CCOC(=O)[C@@H]1CNCCN1C(=O)c1cc(C(=O)OC)cc(N(C)S(C)(=O)=O)c1 ZINC001158008141 1073169834 /nfs/dbraw/zinc/16/98/34/1073169834.db2.gz ZOHOOXQXRURYPQ-HNNXBMFYSA-N 0 0 427.479 -0.154 20 0 IBADRN CCOC(=O)[C@H]1CNCCN1C(=O)c1cc(C(=O)OC)cc(N(C)S(C)(=O)=O)c1 ZINC001158008142 1073169843 /nfs/dbraw/zinc/16/98/43/1073169843.db2.gz ZOHOOXQXRURYPQ-OAHLLOKOSA-N 0 0 427.479 -0.154 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCNC(=O)[C@@H]1c1ccc(I)cc1 ZINC001158008783 1073169848 /nfs/dbraw/zinc/16/98/48/1073169848.db2.gz ATVOKQNNBKNKKB-HNNXBMFYSA-N 0 0 442.257 -0.278 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCNC(=O)[C@H]1c1ccc(I)cc1 ZINC001158008784 1073169821 /nfs/dbraw/zinc/16/98/21/1073169821.db2.gz ATVOKQNNBKNKKB-OAHLLOKOSA-N 0 0 442.257 -0.278 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)N1CCNC(=O)[C@H]1c1ccc(I)cc1 ZINC001158009326 1073169846 /nfs/dbraw/zinc/16/98/46/1073169846.db2.gz JPYCAJFMMYPNIA-CMPLNLGQSA-N 0 0 442.213 -0.111 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)N1CCNC(=O)[C@@H]1c1ccc(I)cc1 ZINC001158009327 1073169837 /nfs/dbraw/zinc/16/98/37/1073169837.db2.gz JPYCAJFMMYPNIA-JQWIXIFHSA-N 0 0 442.213 -0.111 20 0 IBADRN CN1CCN(CC(=O)N2CCN(C(=O)OC(C)(C)C)[C@H]3CS(=O)(=O)C[C@H]32)CC1=O ZINC001158009928 1073169865 /nfs/dbraw/zinc/16/98/65/1073169865.db2.gz QIUBNXSDFKFOEH-KGLIPLIRSA-N 0 0 430.527 -0.995 20 0 IBADRN CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001158009951 1073169809 /nfs/dbraw/zinc/16/98/09/1073169809.db2.gz RNBROENZCQDLNF-UPJWGTAASA-N 0 0 439.556 -0.441 20 0 IBADRN CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001158009952 1073169850 /nfs/dbraw/zinc/16/98/50/1073169850.db2.gz RNBROENZCQDLNF-XQQFMLRXSA-N 0 0 439.556 -0.441 20 0 IBADRN CCOC(=O)[C@@H]1CNCCN1C(=O)c1ncn2c1CN(C)S(=O)(=O)c1ccccc1-2 ZINC001158013630 1073169829 /nfs/dbraw/zinc/16/98/29/1073169829.db2.gz GSWPCBSUCBAQNI-AWEZNQCLSA-N 0 0 433.490 -0.017 20 0 IBADRN CCOC(=O)[C@H]1CNCCN1C(=O)c1ncn2c1CN(C)S(=O)(=O)c1ccccc1-2 ZINC001158013631 1073169853 /nfs/dbraw/zinc/16/98/53/1073169853.db2.gz GSWPCBSUCBAQNI-CQSZACIVSA-N 0 0 433.490 -0.017 20 0 IBADRN COC(=O)[C@@H]1CN(c2cc(N3CCOCC3)nc(N3CCOCC3)n2)CCN1C(C)=O ZINC001158014633 1073170402 /nfs/dbraw/zinc/17/04/02/1073170402.db2.gz OJASHWHZJXIABQ-INIZCTEOSA-N 0 0 434.497 -0.640 20 0 IBADRN COC(=O)[C@H]1CN(c2cc(N3CCOCC3)nc(N3CCOCC3)n2)CCN1C(C)=O ZINC001158014634 1073170413 /nfs/dbraw/zinc/17/04/13/1073170413.db2.gz OJASHWHZJXIABQ-MRXNPFEDSA-N 0 0 434.497 -0.640 20 0 IBADRN COC(=O)c1cnc(NCCS(=O)(=O)N(C)C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001158028605 1073170406 /nfs/dbraw/zinc/17/04/06/1073170406.db2.gz CSULNZSDCBUUTQ-UHFFFAOYSA-N 0 0 436.512 -0.808 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)[C@H]3CCC[C@@H]32)s1 ZINC001158084205 1073170433 /nfs/dbraw/zinc/17/04/33/1073170433.db2.gz MIPMKNWTXKSAFM-KBPBESRZSA-N 0 0 449.576 -0.159 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)[C@H]3CCC[C@H]32)s1 ZINC001158084207 1073170385 /nfs/dbraw/zinc/17/03/85/1073170385.db2.gz MIPMKNWTXKSAFM-KGLIPLIRSA-N 0 0 449.576 -0.159 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)[C@@H]3CCC[C@@H]32)s1 ZINC001158084210 1073170446 /nfs/dbraw/zinc/17/04/46/1073170446.db2.gz MIPMKNWTXKSAFM-UONOGXRCSA-N 0 0 449.576 -0.159 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)[C@@H]3CCC[C@H]32)s1 ZINC001158084211 1073170489 /nfs/dbraw/zinc/17/04/89/1073170489.db2.gz MIPMKNWTXKSAFM-ZIAGYGMSSA-N 0 0 449.576 -0.159 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCS(=O)(=O)[C@H]2CCC[C@H]21 ZINC001158085297 1073170477 /nfs/dbraw/zinc/17/04/77/1073170477.db2.gz YGPQGSROXVIUAC-CVEARBPZSA-N 0 0 441.531 -0.401 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCS(=O)(=O)[C@H]2CCC[C@@H]21 ZINC001158085298 1073170438 /nfs/dbraw/zinc/17/04/38/1073170438.db2.gz YGPQGSROXVIUAC-HOTGVXAUSA-N 0 0 441.531 -0.401 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCS(=O)(=O)[C@@H]2CCC[C@H]21 ZINC001158085299 1073170452 /nfs/dbraw/zinc/17/04/52/1073170452.db2.gz YGPQGSROXVIUAC-HZPDHXFCSA-N 0 0 441.531 -0.401 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCS(=O)(=O)[C@@H]2CCC[C@@H]21 ZINC001158085300 1073170391 /nfs/dbraw/zinc/17/03/91/1073170391.db2.gz YGPQGSROXVIUAC-JKSUJKDBSA-N 0 0 441.531 -0.401 20 0 IBADRN CO[C@@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C[C@H]1O ZINC001158090707 1073170456 /nfs/dbraw/zinc/17/04/56/1073170456.db2.gz CAFMGNPKQIXUFL-QZTJIDSGSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)C1(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOCC1 ZINC001158108071 1073171232 /nfs/dbraw/zinc/17/12/32/1073171232.db2.gz AVDFDDYJKUEIQG-UHFFFAOYSA-N 0 0 428.463 -0.035 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2(C(=O)OC)CCOCC2)cc1 ZINC001158109730 1073171251 /nfs/dbraw/zinc/17/12/51/1073171251.db2.gz TXIPTFZTCUIYGN-UHFFFAOYSA-N 0 0 428.463 -0.020 20 0 IBADRN O=C(c1cc(-n2ncc(=O)[n-]c2=O)ccc1Cl)N1CC2(C[NH2+]C2)[C@@]2(CCNC2=O)C1 ZINC001158121404 1073171976 /nfs/dbraw/zinc/17/19/76/1073171976.db2.gz ZRUYXSCDLRQXQU-IBGZPJMESA-N 0 0 430.852 -0.462 20 0 IBADRN O=C(c1cc(-n2ncc(=O)[n-]c2=O)ccc1Cl)N1CC2(C[NH2+]C2)[C@]2(CCNC2=O)C1 ZINC001158121406 1073172051 /nfs/dbraw/zinc/17/20/51/1073172051.db2.gz ZRUYXSCDLRQXQU-LJQANCHMSA-N 0 0 430.852 -0.462 20 0 IBADRN Cc1c(Br)cccc1C[C@H](CO)CNC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001158159665 1073172522 /nfs/dbraw/zinc/17/25/22/1073172522.db2.gz AYKWFFXXZPTGBW-FZMZJTMJSA-N 0 0 433.324 -0.062 20 0 IBADRN Cc1c(Br)cccc1C[C@@H](CO)CNC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001158159666 1073172530 /nfs/dbraw/zinc/17/25/30/1073172530.db2.gz AYKWFFXXZPTGBW-RISCZKNCSA-N 0 0 433.324 -0.062 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)c1cccn1CCN1CCOCC1)CCS2(=O)=O ZINC001158181176 1073172854 /nfs/dbraw/zinc/17/28/54/1073172854.db2.gz BAOWGSFPNGSKDO-LFDOHDQPSA-N 0 0 438.550 -0.239 20 0 IBADRN NC(=O)C1CC2(C1)C[C@@H](NC(=O)c1cccn1CCN1CCOCC1)CCS2(=O)=O ZINC001158181179 1073172824 /nfs/dbraw/zinc/17/28/24/1073172824.db2.gz BAOWGSFPNGSKDO-NGEICVOHSA-N 0 0 438.550 -0.239 20 0 IBADRN CCOC(=O)[C@H](Nc1ccc(S(N)(=O)=O)nn1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001158181218 1073172842 /nfs/dbraw/zinc/17/28/42/1073172842.db2.gz JOPYFWMXLGEFPI-CABCVRRESA-N 0 0 444.491 -0.395 20 0 IBADRN CCOC(=O)[C@H]1CCCN(CC(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)C1 ZINC001158182924 1073172848 /nfs/dbraw/zinc/17/28/48/1073172848.db2.gz WWNHVBHSTHOPKI-MLBPIDQTSA-N 0 0 429.539 -0.411 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(CC(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)C1 ZINC001158182925 1073172935 /nfs/dbraw/zinc/17/29/35/1073172935.db2.gz WWNHVBHSTHOPKI-PPXVZNSRSA-N 0 0 429.539 -0.411 20 0 IBADRN CCOC(=O)[C@H]1CCCN(CC(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)C1 ZINC001158182926 1073172932 /nfs/dbraw/zinc/17/29/32/1073172932.db2.gz WWNHVBHSTHOPKI-RQMAVPSVSA-N 0 0 429.539 -0.411 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(CC(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)C1 ZINC001158182927 1073172927 /nfs/dbraw/zinc/17/29/27/1073172927.db2.gz WWNHVBHSTHOPKI-XNDRKVBMSA-N 0 0 429.539 -0.411 20 0 IBADRN NC(=O)C1CC2(C1)C[C@@H](NC(=O)Cn1ccc(Br)cc1=O)CCS2(=O)=O ZINC001158188510 1073172866 /nfs/dbraw/zinc/17/28/66/1073172866.db2.gz ABOKAXJFPTZLJA-YWFMTQBDSA-N 0 0 446.323 -0.062 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)Cn1ccc(Br)cc1=O)CCS2(=O)=O ZINC001158188515 1073172960 /nfs/dbraw/zinc/17/29/60/1073172960.db2.gz ABOKAXJFPTZLJA-YZKWQOGNSA-N 0 0 446.323 -0.062 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1)N[C@@H]1COC[C@H]1O ZINC001158190317 1073172911 /nfs/dbraw/zinc/17/29/11/1073172911.db2.gz HPMALHCVGOMOAD-HZPDHXFCSA-N 0 0 445.925 -0.171 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001158213886 1073173347 /nfs/dbraw/zinc/17/33/47/1073173347.db2.gz GCWNKWMXFCIFAY-GDBMZVCRSA-N 0 0 436.577 -0.248 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001158213887 1073173315 /nfs/dbraw/zinc/17/33/15/1073173315.db2.gz GCWNKWMXFCIFAY-GOEBONIOSA-N 0 0 436.577 -0.248 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001158213888 1073173309 /nfs/dbraw/zinc/17/33/09/1073173309.db2.gz GCWNKWMXFCIFAY-HOCLYGCPSA-N 0 0 436.577 -0.248 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001158213889 1073173344 /nfs/dbraw/zinc/17/33/44/1073173344.db2.gz GCWNKWMXFCIFAY-ZBFHGGJFSA-N 0 0 436.577 -0.248 20 0 IBADRN O=C(NC[C@]1(O)CCS(=O)(=O)C1)[C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC001158214190 1073173351 /nfs/dbraw/zinc/17/33/51/1073173351.db2.gz JZMBMBBPCBDBTQ-CXAGYDPISA-N 0 0 434.511 -0.108 20 0 IBADRN O=C(NC[C@@]1(O)CCS(=O)(=O)C1)[C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC001158214192 1073173312 /nfs/dbraw/zinc/17/33/12/1073173312.db2.gz JZMBMBBPCBDBTQ-DYVFJYSZSA-N 0 0 434.511 -0.108 20 0 IBADRN O=C(NC[C@@]1(O)CCS(=O)(=O)C1)[C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC001158214193 1073173346 /nfs/dbraw/zinc/17/33/46/1073173346.db2.gz JZMBMBBPCBDBTQ-GUYCJALGSA-N 0 0 434.511 -0.108 20 0 IBADRN O=C(NC[C@]1(O)CCS(=O)(=O)C1)[C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1 ZINC001158214194 1073173353 /nfs/dbraw/zinc/17/33/53/1073173353.db2.gz JZMBMBBPCBDBTQ-SUMWQHHRSA-N 0 0 434.511 -0.108 20 0 IBADRN O=C(NC[C@@]1(O)CCS(=O)(=O)C1)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001158214901 1073173345 /nfs/dbraw/zinc/17/33/45/1073173345.db2.gz PLELRWADHFPIBB-KRWDZBQOSA-N 0 0 434.511 -0.108 20 0 IBADRN O=C(NC[C@]1(O)CCS(=O)(=O)C1)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001158214903 1073173338 /nfs/dbraw/zinc/17/33/38/1073173338.db2.gz PLELRWADHFPIBB-QGZVFWFLSA-N 0 0 434.511 -0.108 20 0 IBADRN CN(CCCC(=O)NC[C@@]1(O)CCS(=O)(=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001158215059 1073173321 /nfs/dbraw/zinc/17/33/21/1073173321.db2.gz QQGIBKWDESUYAK-KRWDZBQOSA-N 0 0 429.520 -0.487 20 0 IBADRN CN(CCCC(=O)NC[C@]1(O)CCS(=O)(=O)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001158215060 1073173317 /nfs/dbraw/zinc/17/33/17/1073173317.db2.gz QQGIBKWDESUYAK-QGZVFWFLSA-N 0 0 429.520 -0.487 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@]3(O)CCS(=O)(=O)C3)CC2)c1 ZINC001158216482 1073173349 /nfs/dbraw/zinc/17/33/49/1073173349.db2.gz ZNYSMGFKERWTPO-GOSISDBHSA-N 0 0 445.563 -0.887 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@@]3(O)CCS(=O)(=O)C3)CC2)c1 ZINC001158216483 1073173331 /nfs/dbraw/zinc/17/33/31/1073173331.db2.gz ZNYSMGFKERWTPO-SFHVURJKSA-N 0 0 445.563 -0.887 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CC1(O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001158238824 1073173623 /nfs/dbraw/zinc/17/36/23/1073173623.db2.gz ICBABWDXRNICHI-CYBMUJFWSA-N 0 0 434.511 -0.063 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CC1(O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001158238826 1073173564 /nfs/dbraw/zinc/17/35/64/1073173564.db2.gz ICBABWDXRNICHI-ZDUSSCGKSA-N 0 0 434.511 -0.063 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(NCCN2CCOCC2)c(F)c1 ZINC001158239408 1073173582 /nfs/dbraw/zinc/17/35/82/1073173582.db2.gz QADZBIRILJOZFN-KRWDZBQOSA-N 0 0 443.497 -0.018 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(NCCN2CCOCC2)c(F)c1 ZINC001158239409 1073173653 /nfs/dbraw/zinc/17/36/53/1073173653.db2.gz QADZBIRILJOZFN-QGZVFWFLSA-N 0 0 443.497 -0.018 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC001158240327 1073173728 /nfs/dbraw/zinc/17/37/28/1073173728.db2.gz XWXNHPLWUGWFKF-GFCCVEGCSA-N 0 0 425.394 -0.714 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC001158240330 1073173746 /nfs/dbraw/zinc/17/37/46/1073173746.db2.gz XWXNHPLWUGWFKF-LBPRGKRZSA-N 0 0 425.394 -0.714 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC001158240572 1073173642 /nfs/dbraw/zinc/17/36/42/1073173642.db2.gz ZGCWGRGPKDJFFC-HNNXBMFYSA-N 0 0 446.547 -0.003 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1c(C)c(C)cc(C)c1C ZINC001158240576 1073173699 /nfs/dbraw/zinc/17/36/99/1073173699.db2.gz ZGCWGRGPKDJFFC-OAHLLOKOSA-N 0 0 446.547 -0.003 20 0 IBADRN COC(=O)c1cnc(N[C@H]2CN[C@H](C(=O)OC)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001158256132 1073173791 /nfs/dbraw/zinc/17/37/91/1073173791.db2.gz GEGMKGDRKYKGBT-OLZOCXBDSA-N 0 0 428.467 -0.796 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C[C@H](C)N1CCO ZINC001158257647 1073173705 /nfs/dbraw/zinc/17/37/05/1073173705.db2.gz XYMALSBETODDEF-KKBFJZEXSA-N 0 0 429.514 -0.137 20 0 IBADRN O=C([O-])CN1CCCn2nc(C(=O)N3Cc4ncnn4C4(CC[NH2+]CC4)C3)cc2C1=O ZINC001158260802 1073173597 /nfs/dbraw/zinc/17/35/97/1073173597.db2.gz HNFAFJULNBCCLK-UHFFFAOYSA-N 0 0 428.453 -0.860 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NCCN2CCC(O)CC2)C1 ZINC001158274267 1073173686 /nfs/dbraw/zinc/17/36/86/1073173686.db2.gz USKMFIWJMYMPMW-AUUYWEPGSA-N 0 0 431.555 -0.159 20 0 IBADRN COC(=O)c1cnc(N2CCOC[C@]2(C)C(=O)OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001158298227 1073174223 /nfs/dbraw/zinc/17/42/23/1073174223.db2.gz ARLKXJLECVGVFT-GOSISDBHSA-N 0 0 443.478 -0.343 20 0 IBADRN COC(=O)c1cnc(N2CCOC[C@@]2(C)C(=O)OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001158298228 1073174052 /nfs/dbraw/zinc/17/40/52/1073174052.db2.gz ARLKXJLECVGVFT-SFHVURJKSA-N 0 0 443.478 -0.343 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC3(C2)CC(=O)Nc2nccn23)c1 ZINC001158315877 1073174096 /nfs/dbraw/zinc/17/40/96/1073174096.db2.gz IMYMMYJSSGJRRG-UHFFFAOYSA-N 0 0 448.505 -0.345 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC3(C2)CC(=O)Nc2nccn23)c1 ZINC001158316333 1073174083 /nfs/dbraw/zinc/17/40/83/1073174083.db2.gz QOILLIGABVEPBK-UHFFFAOYSA-N 0 0 446.489 -0.557 20 0 IBADRN COC[C@@]1(CO)CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001158340499 1073174171 /nfs/dbraw/zinc/17/41/71/1073174171.db2.gz GJHQOMNHNMRVFM-AEFFLSMTSA-N 0 0 448.563 -0.374 20 0 IBADRN COC[C@]1(CO)CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001158340501 1073174131 /nfs/dbraw/zinc/17/41/31/1073174131.db2.gz GJHQOMNHNMRVFM-FUHWJXTLSA-N 0 0 448.563 -0.374 20 0 IBADRN COC[C@]1(CO)CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001158340503 1073174227 /nfs/dbraw/zinc/17/42/27/1073174227.db2.gz GJHQOMNHNMRVFM-SJLPKXTDSA-N 0 0 448.563 -0.374 20 0 IBADRN COC[C@@]1(CO)CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001158340506 1073174039 /nfs/dbraw/zinc/17/40/39/1073174039.db2.gz GJHQOMNHNMRVFM-WMZOPIPTSA-N 0 0 448.563 -0.374 20 0 IBADRN Cc1cccc(CN2C(=O)COC3(CCN(C(=O)CN4CCNCC4=O)CC3)[C@@H]2C)n1 ZINC001158346624 1073174104 /nfs/dbraw/zinc/17/41/04/1073174104.db2.gz AWALQZLYQCCKNI-KRWDZBQOSA-N 0 0 429.521 -0.070 20 0 IBADRN Cc1cccc(CN2C(=O)COC3(CCN(C(=O)CN4CCNCC4=O)CC3)[C@H]2C)n1 ZINC001158346625 1073174118 /nfs/dbraw/zinc/17/41/18/1073174118.db2.gz AWALQZLYQCCKNI-QGZVFWFLSA-N 0 0 429.521 -0.070 20 0 IBADRN Cc1cccc(CN2C(=O)COC3(CCN(C(=O)[C@@H]([NH3+])Cc4nnn[n-]4)CC3)[C@@H]2C)n1 ZINC001158347173 1073174183 /nfs/dbraw/zinc/17/41/83/1073174183.db2.gz HDOJINJVPGWENJ-HOCLYGCPSA-N 0 0 428.497 -0.418 20 0 IBADRN Cc1cccc(CN2C(=O)COC3(CCN(C(=O)[C@@H]([NH3+])Cc4nnn[n-]4)CC3)[C@H]2C)n1 ZINC001158347174 1073174062 /nfs/dbraw/zinc/17/40/62/1073174062.db2.gz HDOJINJVPGWENJ-ZBFHGGJFSA-N 0 0 428.497 -0.418 20 0 IBADRN O=C(N1CCOCC1)[C@@]12CN(c3ncccn3)C[C@@H]1CN(C(=O)C1(F)CNC1)CCC2 ZINC001158351686 1073174620 /nfs/dbraw/zinc/17/46/20/1073174620.db2.gz BUFOCLKJLUEGTP-JXFKEZNVSA-N 0 0 432.500 -0.308 20 0 IBADRN CONCCC(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001158352047 1073174892 /nfs/dbraw/zinc/17/48/92/1073174892.db2.gz HYJYAOREKXLIGJ-UWJYYQICSA-N 0 0 432.525 -0.079 20 0 IBADRN NC1(C(=O)N2CCC[C@]3(C(=O)N4CCOCC4)CN(c4ncccn4)C[C@@H]3C2)COC1 ZINC001158352099 1073174830 /nfs/dbraw/zinc/17/48/30/1073174830.db2.gz KJDVWNXHDWDRFY-JXFKEZNVSA-N 0 0 430.509 -0.892 20 0 IBADRN O=C([C@@H]1COCN1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001158352238 1073174911 /nfs/dbraw/zinc/17/49/11/1073174911.db2.gz LGVFTGPVPKTJMB-FIKGOQFSSA-N 0 0 430.509 -0.674 20 0 IBADRN Nc1c[nH]nc1C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001158352436 1073174971 /nfs/dbraw/zinc/17/49/71/1073174971.db2.gz OYQNDXBCUZIHIH-BTYIYWSLSA-N 0 0 440.508 -0.001 20 0 IBADRN O=C([C@@H]1COCCN1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001158352700 1073174953 /nfs/dbraw/zinc/17/49/53/1073174953.db2.gz VOINJDXUMQZTRP-SPEDKVCISA-N 0 0 444.536 -0.631 20 0 IBADRN O=C([C@@H]1CNC(=O)N1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001158352703 1073175398 /nfs/dbraw/zinc/17/53/98/1073175398.db2.gz VTKOAMDWZOHMHK-QYWGDWMGSA-N 0 0 443.508 -0.938 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CC(=O)N(C)C[C@@H]2C(=O)OC)c1 ZINC001158355400 1073175388 /nfs/dbraw/zinc/17/53/88/1073175388.db2.gz XZRQLXPABUMUQS-GFCCVEGCSA-N 0 0 448.295 -0.187 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CC(=O)N(C)C[C@H]2C(=O)OC)c1 ZINC001158355401 1073175379 /nfs/dbraw/zinc/17/53/79/1073175379.db2.gz XZRQLXPABUMUQS-LBPRGKRZSA-N 0 0 448.295 -0.187 20 0 IBADRN Cn1cc(I)c(CNC(=O)C2CCN(S(N)(=O)=O)CC2)n1 ZINC001158360364 1073175334 /nfs/dbraw/zinc/17/53/34/1073175334.db2.gz MYJPIHCZENIVIT-UHFFFAOYSA-N 0 0 427.268 -0.444 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2nn(C)cc2I)CC1 ZINC001158360595 1073175378 /nfs/dbraw/zinc/17/53/78/1073175378.db2.gz RQEGKWZYCCCVDH-UHFFFAOYSA-N 0 0 441.295 -0.183 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001158365120 1073175391 /nfs/dbraw/zinc/17/53/91/1073175391.db2.gz FDAIFHGZQOSEGP-CHWSQXEVSA-N 0 0 426.470 -0.656 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001158365121 1073175343 /nfs/dbraw/zinc/17/53/43/1073175343.db2.gz FDAIFHGZQOSEGP-OLZOCXBDSA-N 0 0 426.470 -0.656 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001158365122 1073175374 /nfs/dbraw/zinc/17/53/74/1073175374.db2.gz FDAIFHGZQOSEGP-QWHCGFSZSA-N 0 0 426.470 -0.656 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001158365123 1073175319 /nfs/dbraw/zinc/17/53/19/1073175319.db2.gz FDAIFHGZQOSEGP-STQMWFEESA-N 0 0 426.470 -0.656 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC001158365230 1073175382 /nfs/dbraw/zinc/17/53/82/1073175382.db2.gz HVJGIWISSKNREF-LLVKDONJSA-N 0 0 434.268 -0.448 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC001158365231 1073175730 /nfs/dbraw/zinc/17/57/30/1073175730.db2.gz HVJGIWISSKNREF-NSHDSACASA-N 0 0 434.268 -0.448 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC001158365582 1073175682 /nfs/dbraw/zinc/17/56/82/1073175682.db2.gz QBQYAESTQVDPJV-CYBMUJFWSA-N 0 0 443.478 -0.786 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CCNS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC001158365583 1073175694 /nfs/dbraw/zinc/17/56/94/1073175694.db2.gz QBQYAESTQVDPJV-ZDUSSCGKSA-N 0 0 443.478 -0.786 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CCNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001158366085 1073175724 /nfs/dbraw/zinc/17/57/24/1073175724.db2.gz VRIQAVBPQRZYHN-KBPBESRZSA-N 0 0 440.497 -0.266 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CCNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001158366086 1073175670 /nfs/dbraw/zinc/17/56/70/1073175670.db2.gz VRIQAVBPQRZYHN-KGLIPLIRSA-N 0 0 440.497 -0.266 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CCNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001158366087 1073175736 /nfs/dbraw/zinc/17/57/36/1073175736.db2.gz VRIQAVBPQRZYHN-UONOGXRCSA-N 0 0 440.497 -0.266 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CCNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001158366088 1073175699 /nfs/dbraw/zinc/17/56/99/1073175699.db2.gz VRIQAVBPQRZYHN-ZIAGYGMSSA-N 0 0 440.497 -0.266 20 0 IBADRN Cc1ccc(S(=O)(=O)n2cnc(C[C@@H](N)C(=O)N3CCS(=O)(=O)CC3)c2)cc1 ZINC001158369297 1073175643 /nfs/dbraw/zinc/17/56/43/1073175643.db2.gz KOEDXNZHRYNFAY-MRXNPFEDSA-N 0 0 426.520 -0.445 20 0 IBADRN CCOC(=O)[C@]12C[NH2+]C[C@@]1(C(F)(F)F)CN(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])C2 ZINC001158384878 1073176511 /nfs/dbraw/zinc/17/65/11/1073176511.db2.gz ZYSBLQYHVKDATB-CHWSQXEVSA-N 0 0 445.335 -0.921 20 0 IBADRN CCOC(=O)[C@]12C[NH2+]C[C@]1(C(F)(F)F)CN(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])C2 ZINC001158384879 1073176489 /nfs/dbraw/zinc/17/64/89/1073176489.db2.gz ZYSBLQYHVKDATB-OLZOCXBDSA-N 0 0 445.335 -0.921 20 0 IBADRN CCOC(=O)[C@@]12C[NH2+]C[C@@]1(C(F)(F)F)CN(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])C2 ZINC001158384880 1073176451 /nfs/dbraw/zinc/17/64/51/1073176451.db2.gz ZYSBLQYHVKDATB-QWHCGFSZSA-N 0 0 445.335 -0.921 20 0 IBADRN CCOC(=O)[C@@]12C[NH2+]C[C@]1(C(F)(F)F)CN(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])C2 ZINC001158384881 1073176521 /nfs/dbraw/zinc/17/65/21/1073176521.db2.gz ZYSBLQYHVKDATB-STQMWFEESA-N 0 0 445.335 -0.921 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2NC[C@H]2CNC(=O)CO2)CN1 ZINC001158389524 1073176474 /nfs/dbraw/zinc/17/64/74/1073176474.db2.gz OMFJFKUXHSBHAR-IOASZLSFSA-N 0 0 431.449 -0.152 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2NC[C@@H]2CNC(=O)CO2)CN1 ZINC001158389525 1073176527 /nfs/dbraw/zinc/17/65/27/1073176527.db2.gz OMFJFKUXHSBHAR-WWGRRREGSA-N 0 0 431.449 -0.152 20 0 IBADRN CCOC(=O)COCCNC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001158413602 1073176442 /nfs/dbraw/zinc/17/64/42/1073176442.db2.gz XLBMOVTZKDRGPU-FZKQIMNGSA-N 0 0 434.511 -0.036 20 0 IBADRN Cn1cc(/C=C\C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)c(=O)n(C)c1=O ZINC001158427743 1073177020 /nfs/dbraw/zinc/17/70/20/1073177020.db2.gz TXKYZTLXTNYNJF-AFNCTOJWSA-N 0 0 447.492 -0.566 20 0 IBADRN Cn1cc(/C=C\C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)c(=O)n(C)c1=O ZINC001158427744 1073177052 /nfs/dbraw/zinc/17/70/52/1073177052.db2.gz TXKYZTLXTNYNJF-LMVHVUTASA-N 0 0 447.492 -0.566 20 0 IBADRN Cn1cc(/C=C/C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)c(=O)n(C)c1=O ZINC001158427745 1073176959 /nfs/dbraw/zinc/17/69/59/1073176959.db2.gz TXKYZTLXTNYNJF-PSKZRQQASA-N 0 0 447.492 -0.566 20 0 IBADRN Cn1cc(/C=C/C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)c(=O)n(C)c1=O ZINC001158427746 1073177042 /nfs/dbraw/zinc/17/70/42/1073177042.db2.gz TXKYZTLXTNYNJF-UZYOAWRESA-N 0 0 447.492 -0.566 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001158428042 1073176952 /nfs/dbraw/zinc/17/69/52/1073176952.db2.gz XXIZUFUSDOWFHW-CYBMUJFWSA-N 0 0 448.542 -0.721 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001158428044 1073177076 /nfs/dbraw/zinc/17/70/76/1073177076.db2.gz XXIZUFUSDOWFHW-ZDUSSCGKSA-N 0 0 448.542 -0.721 20 0 IBADRN CCN1CCN(CC(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)C(=O)C1=O ZINC001158428124 1073177047 /nfs/dbraw/zinc/17/70/47/1073177047.db2.gz ZJSMLXUYJZRPIY-CYBMUJFWSA-N 0 0 437.497 -0.987 20 0 IBADRN CCN1CCN(CC(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)C(=O)C1=O ZINC001158428125 1073176906 /nfs/dbraw/zinc/17/69/06/1073176906.db2.gz ZJSMLXUYJZRPIY-ZDUSSCGKSA-N 0 0 437.497 -0.987 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001158428138 1073177072 /nfs/dbraw/zinc/17/70/72/1073177072.db2.gz ZQGRUKHCYFOQCK-DOMZBBRYSA-N 0 0 432.543 -0.103 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001158428139 1073177036 /nfs/dbraw/zinc/17/70/36/1073177036.db2.gz ZQGRUKHCYFOQCK-IUODEOHRSA-N 0 0 432.543 -0.103 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001158428140 1073176956 /nfs/dbraw/zinc/17/69/56/1073176956.db2.gz ZQGRUKHCYFOQCK-SWLSCSKDSA-N 0 0 432.543 -0.103 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001158428141 1073176940 /nfs/dbraw/zinc/17/69/40/1073176940.db2.gz ZQGRUKHCYFOQCK-WFASDCNBSA-N 0 0 432.543 -0.103 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCO[C@H]1C1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001158429554 1073176945 /nfs/dbraw/zinc/17/69/45/1073176945.db2.gz QSPLBQGPSNRZBR-YCPHGPKFSA-N 0 0 445.538 -0.180 20 0 IBADRN CN1CCN(Cc2cc3nc(N[C@@H](CO)C(N)=O)nc(N4CCOCC4)c3s2)CC1 ZINC001158444233 1073176916 /nfs/dbraw/zinc/17/69/16/1073176916.db2.gz RRDRADDIUQMGCM-HNNXBMFYSA-N 0 0 435.554 -0.467 20 0 IBADRN CN1CCN(Cc2cc3nc(N[C@H](CO)C(N)=O)nc(N4CCOCC4)c3s2)CC1 ZINC001158444246 1073176968 /nfs/dbraw/zinc/17/69/68/1073176968.db2.gz RRDRADDIUQMGCM-OAHLLOKOSA-N 0 0 435.554 -0.467 20 0 IBADRN COC(=O)C1CCN(c2ccc(NCCN3CCN(S(C)(=O)=O)CC3)nn2)CC1 ZINC001158451597 1073177379 /nfs/dbraw/zinc/17/73/79/1073177379.db2.gz DUAUYCYXWQSFDA-UHFFFAOYSA-N 0 0 426.543 -0.145 20 0 IBADRN CS(=O)(=O)N1CCN(CCNc2ncccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001158451602 1073177468 /nfs/dbraw/zinc/17/74/68/1073177468.db2.gz DWNFJZSGBHYJSZ-UHFFFAOYSA-N 0 0 433.556 -0.908 20 0 IBADRN COC(=O)C1CCN(c2nccnc2NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC001158451617 1073177434 /nfs/dbraw/zinc/17/74/34/1073177434.db2.gz DXBWBIXFUHRNDN-UHFFFAOYSA-N 0 0 426.543 -0.145 20 0 IBADRN COC(=O)C1CCN(c2ccnc(NCCN3CCN(S(C)(=O)=O)CC3)n2)CC1 ZINC001158451892 1073177345 /nfs/dbraw/zinc/17/73/45/1073177345.db2.gz JGWSPRHEQVYOHI-UHFFFAOYSA-N 0 0 426.543 -0.145 20 0 IBADRN CCOC(=O)c1cnc(NCCN2CCN(S(C)(=O)=O)CC2)nc1C(=O)OCC ZINC001158452059 1073177323 /nfs/dbraw/zinc/17/73/23/1073177323.db2.gz XYVDFHUKJXLXLR-UHFFFAOYSA-N 0 0 429.499 -0.181 20 0 IBADRN CS(=O)(=O)N1CCN(CCNc2nc3scnc3c(N3CCOCC3)n2)CC1 ZINC001158452076 1073177369 /nfs/dbraw/zinc/17/73/69/1073177369.db2.gz YSYCOVRXHAQLRD-UHFFFAOYSA-N 0 0 427.556 -0.088 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(F)(F)c2cc(S(N)(=O)=O)cnc2Cl)CC1 ZINC001158452783 1073177374 /nfs/dbraw/zinc/17/73/74/1073177374.db2.gz JGCWWMBNUGFBEC-UHFFFAOYSA-N 0 0 447.917 -0.402 20 0 IBADRN CCOC(=O)c1cnc(N2CCOCC2)nc1NCCN1CCN(S(C)(=O)=O)CC1 ZINC001158452981 1073177440 /nfs/dbraw/zinc/17/74/40/1073177440.db2.gz PNYNWJWUFIUCAQ-UHFFFAOYSA-N 0 0 442.542 -0.521 20 0 IBADRN CCOC(=O)[C@@]12CCC3(CC[NH2+]CC3)[C@@H]1CN(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])C2 ZINC001158479403 1073177422 /nfs/dbraw/zinc/17/74/22/1073177422.db2.gz JXEZUFBKYTTXJA-SCLBCKFNSA-N 0 0 445.457 -0.293 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)[C@@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001158491341 1073177389 /nfs/dbraw/zinc/17/73/89/1073177389.db2.gz ASXUGKLJIMZRSH-PBHICJAKSA-N 0 0 433.552 -0.115 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)[C@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001158491344 1073177419 /nfs/dbraw/zinc/17/74/19/1073177419.db2.gz ASXUGKLJIMZRSH-RHSMWYFYSA-N 0 0 433.552 -0.115 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)[C@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001158491346 1073177951 /nfs/dbraw/zinc/17/79/51/1073177951.db2.gz ASXUGKLJIMZRSH-WMLDXEAASA-N 0 0 433.552 -0.115 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)[C@@](C)(NC(C)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001158491348 1073177950 /nfs/dbraw/zinc/17/79/50/1073177950.db2.gz ASXUGKLJIMZRSH-YOEHRIQHSA-N 0 0 433.552 -0.115 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)N3CC[C@H]4[C@H]3CCC[N@@H+]4CCO)c(CCO)c2=O)n1 ZINC001158516133 1073177784 /nfs/dbraw/zinc/17/77/84/1073177784.db2.gz KIGWOTQBHOIJKZ-DLBZAZTESA-N 0 0 430.509 -0.222 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)N3CC[C@@H]4[C@H]3CCC[N@@H+]4CCO)c(CCO)c2=O)n1 ZINC001158516134 1073177815 /nfs/dbraw/zinc/17/78/15/1073177815.db2.gz KIGWOTQBHOIJKZ-IAGOWNOFSA-N 0 0 430.509 -0.222 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)N3CC[C@H]4[C@@H]3CCC[N@@H+]4CCO)c(CCO)c2=O)n1 ZINC001158516135 1073177848 /nfs/dbraw/zinc/17/78/48/1073177848.db2.gz KIGWOTQBHOIJKZ-IRXDYDNUSA-N 0 0 430.509 -0.222 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)N3CC[C@@H]4[C@@H]3CCC[N@@H+]4CCO)c(CCO)c2=O)n1 ZINC001158516136 1073177801 /nfs/dbraw/zinc/17/78/01/1073177801.db2.gz KIGWOTQBHOIJKZ-SJORKVTESA-N 0 0 430.509 -0.222 20 0 IBADRN CN(C[C@@H](O)CNC(=O)OC(C)(C)C)C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001158523373 1073177937 /nfs/dbraw/zinc/17/79/37/1073177937.db2.gz NLJXLIWEMVPILS-CVEARBPZSA-N 0 0 428.530 -0.489 20 0 IBADRN CN(C[C@@H](O)CNC(=O)OC(C)(C)C)C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001158523374 1073177756 /nfs/dbraw/zinc/17/77/56/1073177756.db2.gz NLJXLIWEMVPILS-HOTGVXAUSA-N 0 0 428.530 -0.489 20 0 IBADRN CN(C[C@H](O)CNC(=O)OC(C)(C)C)C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001158523375 1073177895 /nfs/dbraw/zinc/17/78/95/1073177895.db2.gz NLJXLIWEMVPILS-HZPDHXFCSA-N 0 0 428.530 -0.489 20 0 IBADRN CN(C[C@H](O)CNC(=O)OC(C)(C)C)C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001158523376 1073177921 /nfs/dbraw/zinc/17/79/21/1073177921.db2.gz NLJXLIWEMVPILS-JKSUJKDBSA-N 0 0 428.530 -0.489 20 0 IBADRN C[C@H]1CN(C(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)CC(=O)N1 ZINC001158547572 1073178395 /nfs/dbraw/zinc/17/83/95/1073178395.db2.gz YCSOCFCXTPCBGA-AWEZNQCLSA-N 0 0 449.533 -0.126 20 0 IBADRN C[C@@H]1CN(C(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)CC(=O)N1 ZINC001158547574 1073178331 /nfs/dbraw/zinc/17/83/31/1073178331.db2.gz YCSOCFCXTPCBGA-CQSZACIVSA-N 0 0 449.533 -0.126 20 0 IBADRN CN(CC(=O)N[C@H]1Cc2cc(Br)cnc2NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001158567734 1073178292 /nfs/dbraw/zinc/17/82/92/1073178292.db2.gz QOBVWKZELFXKLY-NEPJUHHUSA-N 0 0 431.312 -0.058 20 0 IBADRN CN(CC(=O)N[C@@H]1Cc2cc(Br)cnc2NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC001158567735 1073178371 /nfs/dbraw/zinc/17/83/71/1073178371.db2.gz QOBVWKZELFXKLY-NWDGAFQWSA-N 0 0 431.312 -0.058 20 0 IBADRN CN(CC(=O)N[C@H]1Cc2cc(Br)cnc2NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC001158567736 1073178367 /nfs/dbraw/zinc/17/83/67/1073178367.db2.gz QOBVWKZELFXKLY-RYUDHWBXSA-N 0 0 431.312 -0.058 20 0 IBADRN CN(CC(=O)N[C@@H]1Cc2cc(Br)cnc2NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001158567737 1073178348 /nfs/dbraw/zinc/17/83/48/1073178348.db2.gz QOBVWKZELFXKLY-VXGBXAGGSA-N 0 0 431.312 -0.058 20 0 IBADRN CCOC(=O)c1cnn(C2CCN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC2)c1 ZINC001158599170 1073178258 /nfs/dbraw/zinc/17/82/58/1073178258.db2.gz HNWDZUBZYKKOMD-UHFFFAOYSA-N 0 0 427.527 -0.200 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CN(C(=O)[C@@H]([NH3+])Cc3nnn[n-]3)C[C@H]2c2ccccc2)C1=O ZINC001158615016 1073178840 /nfs/dbraw/zinc/17/88/40/1073178840.db2.gz PEKPXFWOQITXSF-CKJXQJPGSA-N 0 0 440.508 -0.998 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CN(C(=O)CN3CCNCC3=O)C[C@H]2c2ccccc2)C1=O ZINC001158626015 1073178936 /nfs/dbraw/zinc/17/89/36/1073178936.db2.gz BGAXORCGYRXKEL-FDDCHVKYSA-N 0 0 441.532 -0.649 20 0 IBADRN COC(=O)[C@@H](N)CC(=O)N1C[C@@H](c2ccccc2)[C@@]2(CCN(CC(=O)N(C)C)C2=O)C1 ZINC001158626406 1073178922 /nfs/dbraw/zinc/17/89/22/1073178922.db2.gz NQFVSDVXBFXYSH-PNLZDCPESA-N 0 0 430.505 -0.190 20 0 IBADRN CCOC(=O)[C@H](N)C(=O)N1C[C@@H](c2ccccc2)[C@@]2(CCN(CC(=O)N(C)C)C2=O)C1 ZINC001158626633 1073179322 /nfs/dbraw/zinc/17/93/22/1073179322.db2.gz WGJTYCJQUGPFLG-AQOAWAETSA-N 0 0 430.505 -0.190 20 0 IBADRN CCOC(=O)[C@@H](N)C(=O)N1C[C@@H](c2ccccc2)[C@@]2(CCN(CC(=O)N(C)C)C2=O)C1 ZINC001158626634 1073179316 /nfs/dbraw/zinc/17/93/16/1073179316.db2.gz WGJTYCJQUGPFLG-SGXKBVARSA-N 0 0 430.505 -0.190 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)c1cnn3c1CNCC3)CCC2)N1CCCC1 ZINC001158627846 1073179440 /nfs/dbraw/zinc/17/94/40/1073179440.db2.gz DAGSJMIZRHWLOA-UHFFFAOYSA-N 0 0 428.497 -0.237 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCCn3nnc(COCC(=O)N4CCCC4)c3C1)CNC2 ZINC001158628896 1073179431 /nfs/dbraw/zinc/17/94/31/1073179431.db2.gz MOCHVJXVPASYEA-UHFFFAOYSA-N 0 0 428.497 -0.200 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)[C@@H]1CNCc3ccnn31)CCC2)N1CCCC1 ZINC001158629142 1073179327 /nfs/dbraw/zinc/17/93/27/1073179327.db2.gz CUASYZJVMTYDEF-KRWDZBQOSA-N 0 0 428.497 -0.310 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)[C@H]1CNCc3ccnn31)CCC2)N1CCCC1 ZINC001158629143 1073179360 /nfs/dbraw/zinc/17/93/60/1073179360.db2.gz CUASYZJVMTYDEF-QGZVFWFLSA-N 0 0 428.497 -0.310 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)CCN1 ZINC001158629322 1073179901 /nfs/dbraw/zinc/17/99/01/1073179901.db2.gz FVWZZKLLAAQZTH-CVEARBPZSA-N 0 0 448.524 -0.309 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)CCN1 ZINC001158629324 1073179912 /nfs/dbraw/zinc/17/99/12/1073179912.db2.gz FVWZZKLLAAQZTH-HOTGVXAUSA-N 0 0 448.524 -0.309 20 0 IBADRN COC(=O)[C@H]1C[C@H](C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)CCN1 ZINC001158629327 1073180019 /nfs/dbraw/zinc/18/00/19/1073180019.db2.gz FVWZZKLLAAQZTH-HZPDHXFCSA-N 0 0 448.524 -0.309 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)CCN1 ZINC001158629329 1073180038 /nfs/dbraw/zinc/18/00/38/1073180038.db2.gz FVWZZKLLAAQZTH-JKSUJKDBSA-N 0 0 448.524 -0.309 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001158629436 1073179970 /nfs/dbraw/zinc/17/99/70/1073179970.db2.gz HAJICAUOZBLCHW-TWKYDXJASA-N 0 0 448.524 -0.719 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001158629442 1073180034 /nfs/dbraw/zinc/18/00/34/1073180034.db2.gz HAJICAUOZBLCHW-WTVLQJKYSA-N 0 0 448.524 -0.719 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)c1cc3n(n1)CCNC3)CCC2)N1CCCC1 ZINC001158629841 1073180468 /nfs/dbraw/zinc/18/04/68/1073180468.db2.gz WUTYNOKTQUNETL-UHFFFAOYSA-N 0 0 428.497 -0.237 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)c1nnn3c1CNCC3)CCC2)N1CCCC1 ZINC001158630071 1073180516 /nfs/dbraw/zinc/18/05/16/1073180516.db2.gz XRZHZCRXZOCENG-UHFFFAOYSA-N 0 0 429.485 -0.842 20 0 IBADRN Nc1cccn(CC(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)c1=O ZINC001158630712 1073180526 /nfs/dbraw/zinc/18/05/26/1073180526.db2.gz WEPXCYPQSZBKEY-UHFFFAOYSA-N 0 0 429.481 -0.407 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)c1cnc3n1CCNC3)CCC2)N1CCCC1 ZINC001158630827 1073180422 /nfs/dbraw/zinc/18/04/22/1073180422.db2.gz WWGSKPUTKYFQKJ-UHFFFAOYSA-N 0 0 428.497 -0.237 20 0 IBADRN CN(CC(=O)NCCS(=O)(=O)C1CCOCC1)C1=NS(=O)(=O)c2ccccc21 ZINC001158647006 1073181701 /nfs/dbraw/zinc/18/17/01/1073181701.db2.gz AKOVSEFFBCKXSK-UHFFFAOYSA-N 0 0 429.520 -0.223 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)[C@@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)C3)nn1 ZINC001158649259 1073182137 /nfs/dbraw/zinc/18/21/37/1073182137.db2.gz XAGCHFZBCNCOCX-AHPXDZTLSA-N 0 0 447.536 -0.037 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)[C@@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)C3)nn1 ZINC001158649261 1073182098 /nfs/dbraw/zinc/18/20/98/1073182098.db2.gz XAGCHFZBCNCOCX-CDQYMSJRSA-N 0 0 447.536 -0.037 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)[C@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)C3)nn1 ZINC001158649262 1073182133 /nfs/dbraw/zinc/18/21/33/1073182133.db2.gz XAGCHFZBCNCOCX-LLBDEHLISA-N 0 0 447.536 -0.037 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)[C@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)C3)nn1 ZINC001158649263 1073182020 /nfs/dbraw/zinc/18/20/20/1073182020.db2.gz XAGCHFZBCNCOCX-NKYRPOHVSA-N 0 0 447.536 -0.037 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)NCCS(=O)(=O)C1CCOCC1 ZINC001158649911 1073182104 /nfs/dbraw/zinc/18/21/04/1073182104.db2.gz WAYXCSIXMVLGKS-UHFFFAOYSA-N 0 0 435.502 -0.232 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)c2nnn4c2CNCC4)C3)nn1 ZINC001158661631 1073182041 /nfs/dbraw/zinc/18/20/41/1073182041.db2.gz XTYSQFUAMOUEOF-FOIQADDNSA-N 0 0 428.497 -0.160 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)c2nnn4c2CNCC4)C3)nn1 ZINC001158661632 1073182006 /nfs/dbraw/zinc/18/20/06/1073182006.db2.gz XTYSQFUAMOUEOF-MGPUTAFESA-N 0 0 428.497 -0.160 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)c2nnn4c2CNCC4)C3)nn1 ZINC001158661633 1073182587 /nfs/dbraw/zinc/18/25/87/1073182587.db2.gz XTYSQFUAMOUEOF-QRWLVFNGSA-N 0 0 428.497 -0.160 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)c2nnn4c2CNCC4)C3)nn1 ZINC001158661634 1073182514 /nfs/dbraw/zinc/18/25/14/1073182514.db2.gz XTYSQFUAMOUEOF-YWZLYKJASA-N 0 0 428.497 -0.160 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@](C)(O)Cn1ccc(C)cc1=O)CCS2(=O)=O ZINC001158661732 1073182598 /nfs/dbraw/zinc/18/25/98/1073182598.db2.gz RUSODJKPFRZNEN-BKONONAUSA-N 0 0 440.518 -0.077 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@@](C)(O)Cn1ccc(C)cc1=O)CCS2(=O)=O ZINC001158661733 1073182579 /nfs/dbraw/zinc/18/25/79/1073182579.db2.gz RUSODJKPFRZNEN-DINQCVKKSA-N 0 0 440.518 -0.077 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@@](C)(O)Cn1ccc(C)cc1=O)CCS2(=O)=O ZINC001158661734 1073182502 /nfs/dbraw/zinc/18/25/02/1073182502.db2.gz RUSODJKPFRZNEN-GBLMSEJZSA-N 0 0 440.518 -0.077 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@](C)(O)Cn1ccc(C)cc1=O)CCS2(=O)=O ZINC001158661735 1073182507 /nfs/dbraw/zinc/18/25/07/1073182507.db2.gz RUSODJKPFRZNEN-WFUNKSORSA-N 0 0 440.518 -0.077 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CN(C)C(=O)[C@H](C)n1cccn1)CCS2(=O)=O ZINC001158661771 1073182531 /nfs/dbraw/zinc/18/25/31/1073182531.db2.gz TZSHLYHNAPDKOG-MLBPIDQTSA-N 0 0 440.522 -0.082 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CN(C)C(=O)[C@@H](C)n1cccn1)CCS2(=O)=O ZINC001158661772 1073182555 /nfs/dbraw/zinc/18/25/55/1073182555.db2.gz TZSHLYHNAPDKOG-PPXVZNSRSA-N 0 0 440.522 -0.082 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CN(C)C(=O)[C@H](C)n1cccn1)CCS2(=O)=O ZINC001158661773 1073182499 /nfs/dbraw/zinc/18/24/99/1073182499.db2.gz TZSHLYHNAPDKOG-RQMAVPSVSA-N 0 0 440.522 -0.082 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CN(C)C(=O)[C@@H](C)n1cccn1)CCS2(=O)=O ZINC001158661774 1073182494 /nfs/dbraw/zinc/18/24/94/1073182494.db2.gz TZSHLYHNAPDKOG-XNDRKVBMSA-N 0 0 440.522 -0.082 20 0 IBADRN Nc1cccc(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001158680360 1073182575 /nfs/dbraw/zinc/18/25/75/1073182575.db2.gz DZVXKHAOAIBIOQ-JKSUJKDBSA-N 0 0 444.517 -0.244 20 0 IBADRN O=C([C@@H]1CSCN1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001158681076 1073183063 /nfs/dbraw/zinc/18/30/63/1073183063.db2.gz IZDCTZVELHQSKE-MELADBBJSA-N 0 0 425.536 -0.802 20 0 IBADRN O=C([C@@H]1NCCS1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001158681219 1073183038 /nfs/dbraw/zinc/18/30/38/1073183038.db2.gz MMVHSDUFGVNGPA-GZBFAFLISA-N 0 0 425.536 -0.802 20 0 IBADRN N[C@H](C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1)c1cccnc1 ZINC001158681418 1073182994 /nfs/dbraw/zinc/18/29/94/1073182994.db2.gz NRLJZPDJHHHARU-BBWFWOEESA-N 0 0 444.517 -0.369 20 0 IBADRN N[C@@H](C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1)c1cccnc1 ZINC001158681434 1073182985 /nfs/dbraw/zinc/18/29/85/1073182985.db2.gz NRLJZPDJHHHARU-GVDBMIGSSA-N 0 0 444.517 -0.369 20 0 IBADRN CC1(C)N[C@H](C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)CO1 ZINC001158683782 1073183474 /nfs/dbraw/zinc/18/34/74/1073183474.db2.gz ZOFXGWLLZXTLOS-SOUVJXGZSA-N 0 0 437.522 -0.740 20 0 IBADRN CNc1cc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n(C)n1 ZINC001158692147 1073183771 /nfs/dbraw/zinc/18/37/71/1073183771.db2.gz CAYDJLFZNRVZBC-DZGCQCFKSA-N 0 0 447.521 -0.375 20 0 IBADRN Cn1cc(N)nc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001158692584 1073183929 /nfs/dbraw/zinc/18/39/29/1073183929.db2.gz HMEBSTKGGSODOU-QWHCGFSZSA-N 0 0 433.494 -0.835 20 0 IBADRN Nc1cc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)ccn1 ZINC001158692625 1073183888 /nfs/dbraw/zinc/18/38/88/1073183888.db2.gz IKKIWHXSKDSOAI-LSDHHAIUSA-N 0 0 430.490 -0.173 20 0 IBADRN Nc1cnc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)cn1 ZINC001158693014 1073183840 /nfs/dbraw/zinc/18/38/40/1073183840.db2.gz KPRPDIQUDVFUPS-GXTWGEPZSA-N 0 0 431.478 -0.778 20 0 IBADRN Cn1nc(N)cc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001158693293 1073183831 /nfs/dbraw/zinc/18/38/31/1073183831.db2.gz MAIWMZFCQYUYGQ-GXTWGEPZSA-N 0 0 433.494 -0.835 20 0 IBADRN O=C(c1n[nH]c2c1CNC2)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001158693959 1073183844 /nfs/dbraw/zinc/18/38/44/1073183844.db2.gz QDSQBUHWZHVWDS-DZGCQCFKSA-N 0 0 445.505 -0.824 20 0 IBADRN Nc1ccc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nc1 ZINC001158694177 1073183856 /nfs/dbraw/zinc/18/38/56/1073183856.db2.gz TZKHVAYUSPARKW-GOEBONIOSA-N 0 0 430.490 -0.173 20 0 IBADRN COC(=O)c1cnc(N2C[C@@H]3COC[C@H](C2)C3=O)c(S(=O)(=O)N2CCOCC2)c1 ZINC001158705596 1073184148 /nfs/dbraw/zinc/18/41/48/1073184148.db2.gz VWNGACCSXDRSJN-OKILXGFUSA-N 0 0 425.463 -0.459 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCCn3ccc(=O)[nH]c3=O)CC2)cc1 ZINC001158708941 1073184659 /nfs/dbraw/zinc/18/46/59/1073184659.db2.gz FADDSUNWLPQOTE-UHFFFAOYSA-N 0 0 449.533 -0.230 20 0 IBADRN COc1ccc(CNS(C)(=O)=O)cc1NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC001158725483 1073184596 /nfs/dbraw/zinc/18/45/96/1073184596.db2.gz WSDSBLZQASEIQS-UHFFFAOYSA-N 0 0 434.540 -0.740 20 0 IBADRN COc1ccc(CNS(C)(=O)=O)cc1NC(=O)c1cc2n(n1)CCN(C)S2(=O)=O ZINC001158725856 1073184667 /nfs/dbraw/zinc/18/46/67/1073184667.db2.gz ZSXRXBYIBHXGNK-UHFFFAOYSA-N 0 0 443.507 -0.173 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001158733370 1073184687 /nfs/dbraw/zinc/18/46/87/1073184687.db2.gz BPAPESWXKWKXJU-HNNXBMFYSA-N 0 0 443.547 -0.154 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001158733371 1073184817 /nfs/dbraw/zinc/18/48/17/1073184817.db2.gz BPAPESWXKWKXJU-OAHLLOKOSA-N 0 0 443.547 -0.154 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2C[C@@H]3COC[C@H](C(=O)N4CCCCO4)[C@@H]3C2)c(=O)[nH]1 ZINC001158747675 1073185737 /nfs/dbraw/zinc/18/57/37/1073185737.db2.gz HGENGXILPLCVQU-UPJWGTAASA-N 0 0 431.453 -0.611 20 0 IBADRN COC(=O)[C@](C)(Cn1cccn1)NC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001158751691 1073186118 /nfs/dbraw/zinc/18/61/18/1073186118.db2.gz KOUIDGNSHTYGBF-PBHICJAKSA-N 0 0 444.897 -0.076 20 0 IBADRN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001158751692 1073186157 /nfs/dbraw/zinc/18/61/57/1073186157.db2.gz KOUIDGNSHTYGBF-RHSMWYFYSA-N 0 0 444.897 -0.076 20 0 IBADRN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001158751693 1073186171 /nfs/dbraw/zinc/18/61/71/1073186171.db2.gz KOUIDGNSHTYGBF-WMLDXEAASA-N 0 0 444.897 -0.076 20 0 IBADRN COC(=O)[C@](C)(Cn1cccn1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001158751694 1073186014 /nfs/dbraw/zinc/18/60/14/1073186014.db2.gz KOUIDGNSHTYGBF-YOEHRIQHSA-N 0 0 444.897 -0.076 20 0 IBADRN CN1CC[C@@H]2CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c3ccccc3)C[C@@H]21 ZINC001158777739 1073186041 /nfs/dbraw/zinc/18/60/41/1073186041.db2.gz JNTPINOKXNZWDA-OIISXLGYSA-N 0 0 429.564 -0.069 20 0 IBADRN CN1CC[C@@H]2CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c3ccccc3)C[C@@H]21 ZINC001158777740 1073186062 /nfs/dbraw/zinc/18/60/62/1073186062.db2.gz JNTPINOKXNZWDA-PVAVHDDUSA-N 0 0 429.564 -0.069 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1nc(N3CCOCC3)nc3c1CCN3)C[C@H]2C(=O)N(C)C ZINC001158777799 1073186164 /nfs/dbraw/zinc/18/61/64/1073186164.db2.gz ACVFCIHIWOYIKP-INIZCTEOSA-N 0 0 429.525 -0.346 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1nc(N3CCOCC3)nc3c1CCN3)C[C@@H]2C(=O)N(C)C ZINC001158777800 1073186181 /nfs/dbraw/zinc/18/61/81/1073186181.db2.gz ACVFCIHIWOYIKP-MRXNPFEDSA-N 0 0 429.525 -0.346 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1nc3c(ncn3C)c(N3CCOCC3)n1)C[C@H]2C(=O)N(C)C ZINC001158778738 1073186187 /nfs/dbraw/zinc/18/61/87/1073186187.db2.gz NNWCPRSOBHRCGF-HNNXBMFYSA-N 0 0 442.524 -0.427 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1nc3c(ncn3C)c(N3CCOCC3)n1)C[C@@H]2C(=O)N(C)C ZINC001158778740 1073186151 /nfs/dbraw/zinc/18/61/51/1073186151.db2.gz NNWCPRSOBHRCGF-OAHLLOKOSA-N 0 0 442.524 -0.427 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1ncc3c(n1)N1CCC[C@@H]1C(=O)N3C)C[C@@H]2C(=O)N(C)C ZINC001158779200 1073186074 /nfs/dbraw/zinc/18/60/74/1073186074.db2.gz IENNQLARBLOMDF-HUUCEWRRSA-N 0 0 427.509 -0.205 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1ncc3c(n1)N1CCC[C@@H]1C(=O)N3C)C[C@H]2C(=O)N(C)C ZINC001158779202 1073186025 /nfs/dbraw/zinc/18/60/25/1073186025.db2.gz IENNQLARBLOMDF-LSDHHAIUSA-N 0 0 427.509 -0.205 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1ccc(C(=O)NC3CCOCC3)nn1)C[C@H]2C(=O)N(C)C ZINC001158787823 1073186756 /nfs/dbraw/zinc/18/67/56/1073186756.db2.gz YBBFHRJXONTESK-INIZCTEOSA-N 0 0 430.509 -0.242 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1ccc(C(=O)NC3CCOCC3)nn1)C[C@@H]2C(=O)N(C)C ZINC001158787825 1073186684 /nfs/dbraw/zinc/18/66/84/1073186684.db2.gz YBBFHRJXONTESK-MRXNPFEDSA-N 0 0 430.509 -0.242 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1nc(Cl)nc3c1S(=O)(=O)CC3)C[C@@H]2C(=O)N(C)C ZINC001158787867 1073186710 /nfs/dbraw/zinc/18/67/10/1073186710.db2.gz YNCVESQOARYQPP-LLVKDONJSA-N 0 0 427.914 -0.167 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1nc(Cl)nc3c1S(=O)(=O)CC3)C[C@H]2C(=O)N(C)C ZINC001158787869 1073186654 /nfs/dbraw/zinc/18/66/54/1073186654.db2.gz YNCVESQOARYQPP-NSHDSACASA-N 0 0 427.914 -0.167 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1nc3c(c(N4CCOCC4)n1)CNC3)C[C@H]2C(=O)N(C)C ZINC001158787870 1073186719 /nfs/dbraw/zinc/18/67/19/1073186719.db2.gz YNJZMHHKHPBVJZ-INIZCTEOSA-N 0 0 429.525 -0.711 20 0 IBADRN CC(=O)N1CC2(C1)CN(c1nc3c(c(N4CCOCC4)n1)CNC3)C[C@@H]2C(=O)N(C)C ZINC001158787871 1073186695 /nfs/dbraw/zinc/18/66/95/1073186695.db2.gz YNJZMHHKHPBVJZ-MRXNPFEDSA-N 0 0 429.525 -0.711 20 0 IBADRN CCn1c(CCC(=O)N2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001158795810 1073187017 /nfs/dbraw/zinc/18/70/17/1073187017.db2.gz BYAASFDRMOUPPF-OKILXGFUSA-N 0 0 447.517 -0.030 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)CC1 ZINC001158796054 1073187113 /nfs/dbraw/zinc/18/71/13/1073187113.db2.gz IFDYJHUAMRZOGS-GASCZTMLSA-N 0 0 449.485 -0.395 20 0 IBADRN O=C(c1cc(I)ccc1-n1cnnn1)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001158796066 1073187080 /nfs/dbraw/zinc/18/70/80/1073187080.db2.gz IKGTXGDSMPPLEQ-AOOOYVTPSA-N 0 0 438.185 -0.389 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1C[C@H]2OCC[C@H]2[C@H](C(=O)NCc2cccnc2)C1 ZINC001158814080 1073187602 /nfs/dbraw/zinc/18/76/02/1073187602.db2.gz KREWUOUBGRRCBH-FFNYGCRLSA-N 0 0 430.505 -0.307 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1C[C@H]2OCC[C@H]2[C@H](C(=O)NCc2cccnc2)C1 ZINC001158814081 1073187658 /nfs/dbraw/zinc/18/76/58/1073187658.db2.gz KREWUOUBGRRCBH-XGURWNGZSA-N 0 0 430.505 -0.307 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001158819464 1073188139 /nfs/dbraw/zinc/18/81/39/1073188139.db2.gz SFIAJBMOPHIDDA-DOTOQJQBSA-N 0 0 441.506 -0.114 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001158819465 1073188184 /nfs/dbraw/zinc/18/81/84/1073188184.db2.gz SFIAJBMOPHIDDA-NVXWUHKLSA-N 0 0 441.506 -0.114 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001158819466 1073188303 /nfs/dbraw/zinc/18/83/03/1073188303.db2.gz SFIAJBMOPHIDDA-RDJZCZTQSA-N 0 0 441.506 -0.114 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)[C@@H](CO)C1 ZINC001158819467 1073188357 /nfs/dbraw/zinc/18/83/57/1073188357.db2.gz SFIAJBMOPHIDDA-WBVHZDCISA-N 0 0 441.506 -0.114 20 0 IBADRN N[C@H](CC(=O)N1CCn2c(CN3CCOCC3)nnc2C1)C(=O)OCc1ccccc1 ZINC001158821243 1073188191 /nfs/dbraw/zinc/18/81/91/1073188191.db2.gz FJEMFOYEFZCGJB-QGZVFWFLSA-N 0 0 428.493 -0.087 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@@H]3[C@](C(F)(F)F)(C2)CCCS3(=O)=O)c1 ZINC001158826165 1073188319 /nfs/dbraw/zinc/18/83/19/1073188319.db2.gz QZIHDCHRHJHCJO-ZWNOBZJWSA-N 0 0 444.457 -0.334 20 0 IBADRN CCN1CC2(CCN(C(=O)[C@@H]([NH3+])Cc3nnn[n-]3)CC2)COc2ccccc2S1(=O)=O ZINC001158831379 1073188338 /nfs/dbraw/zinc/18/83/38/1073188338.db2.gz IJIQQEPWZJRXLA-AWEZNQCLSA-N 0 0 449.537 -0.219 20 0 IBADRN O=c1[nH]c(Br)cnc1Nc1nc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001158864734 1073188799 /nfs/dbraw/zinc/18/87/99/1073188799.db2.gz AQPKWYVXZXKMDY-UHFFFAOYSA-N 0 0 439.274 -0.444 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCC2(CC1)OCC(=O)N(c1cnn(C)c1)[C@@H]2C ZINC001158890378 1073190119 /nfs/dbraw/zinc/19/01/19/1073190119.db2.gz CVLXIADDHWEXLW-GEYQNNLUSA-N 0 0 433.509 -0.336 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCC2(CC1)OCC(=O)N(c1cnn(C)c1)[C@H]2C ZINC001158890379 1073190073 /nfs/dbraw/zinc/19/00/73/1073190073.db2.gz CVLXIADDHWEXLW-GNNCWXLZSA-N 0 0 433.509 -0.336 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCC2(CC1)OCC(=O)N(c1cnn(C)c1)[C@@H]2C ZINC001158890380 1073190174 /nfs/dbraw/zinc/19/01/74/1073190174.db2.gz CVLXIADDHWEXLW-IBEVVSKNSA-N 0 0 433.509 -0.336 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCC2(CC1)OCC(=O)N(c1cnn(C)c1)[C@H]2C ZINC001158890381 1073190218 /nfs/dbraw/zinc/19/02/18/1073190218.db2.gz CVLXIADDHWEXLW-IZOOLLGQSA-N 0 0 433.509 -0.336 20 0 IBADRN CC(=O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)nn1 ZINC001158906068 1073190674 /nfs/dbraw/zinc/19/06/74/1073190674.db2.gz VCSPBZGTAQVUGS-UHFFFAOYSA-N 0 0 432.462 -0.702 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2C[C@@H]3COC[C@H](C(=O)N4CCC(F)CC4)[C@@H]3C2)c(=O)[nH]1 ZINC001158934042 1073191113 /nfs/dbraw/zinc/19/11/13/1073191113.db2.gz DFEMWFJUCBJVNQ-BNOWGMLFSA-N 0 0 447.471 -0.204 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1C[C@@H]2COC[C@H](C(=O)N3CCC(F)CC3)[C@@H]2C1 ZINC001158935682 1073191188 /nfs/dbraw/zinc/19/11/88/1073191188.db2.gz ZEJPLWXCNQFFHS-AVXFYLFHSA-N 0 0 425.501 -0.201 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1C[C@@H]2COC[C@H](C(=O)N3CCC(F)CC3)[C@@H]2C1 ZINC001158935683 1073191172 /nfs/dbraw/zinc/19/11/72/1073191172.db2.gz ZEJPLWXCNQFFHS-DNRMPTOCSA-N 0 0 425.501 -0.201 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](OC(=O)N(C)C)C2)s1 ZINC001158951956 1073192592 /nfs/dbraw/zinc/19/25/92/1073192592.db2.gz ZHMHTISJNHLPDV-GFCCVEGCSA-N 0 0 432.524 -0.038 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](OC(=O)N(C)C)C2)s1 ZINC001158951957 1073193219 /nfs/dbraw/zinc/19/32/19/1073193219.db2.gz ZHMHTISJNHLPDV-LBPRGKRZSA-N 0 0 432.524 -0.038 20 0 IBADRN CCN1C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1=O ZINC001158957409 1073193227 /nfs/dbraw/zinc/19/32/27/1073193227.db2.gz GACUFIYFBYGALB-DZGCQCFKSA-N 0 0 425.507 -0.035 20 0 IBADRN CCN1C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1=O ZINC001158957411 1073193148 /nfs/dbraw/zinc/19/31/48/1073193148.db2.gz GACUFIYFBYGALB-HIFRSBDPSA-N 0 0 425.507 -0.035 20 0 IBADRN CCN1C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1=O ZINC001158957413 1073193037 /nfs/dbraw/zinc/19/30/37/1073193037.db2.gz GACUFIYFBYGALB-UKRRQHHQSA-N 0 0 425.507 -0.035 20 0 IBADRN CCN1C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1=O ZINC001158957414 1073193243 /nfs/dbraw/zinc/19/32/43/1073193243.db2.gz GACUFIYFBYGALB-ZFWWWQNUSA-N 0 0 425.507 -0.035 20 0 IBADRN OC[C@H]1O[C@H](O)[C@H](Nc2nc(Cl)nc3c2CN(Cc2ccccc2)CC3)[C@@H](O)[C@@H]1O ZINC001158974688 1073193005 /nfs/dbraw/zinc/19/30/05/1073193005.db2.gz LALRCVWXTALNOY-VDCDIQELSA-N 0 0 436.896 -0.100 20 0 IBADRN OC[C@H]1O[C@@H](O)[C@H](Nc2nc(Cl)nc3c2CN(Cc2ccccc2)CC3)[C@@H](O)[C@@H]1O ZINC001158974689 1073193231 /nfs/dbraw/zinc/19/32/31/1073193231.db2.gz LALRCVWXTALNOY-VYIWNADRSA-N 0 0 436.896 -0.100 20 0 IBADRN CC[C@@H]1C(=O)N(C)c2cnc(N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)nc2N1C1CCCC1 ZINC001158975386 1073193276 /nfs/dbraw/zinc/19/32/76/1073193276.db2.gz SYTZXTABVZKCFJ-KMZNLAQKSA-N 0 0 437.497 -0.808 20 0 IBADRN CC[C@@H]1C(=O)N(C)c2cnc(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)nc2N1C1CCCC1 ZINC001158975387 1073193059 /nfs/dbraw/zinc/19/30/59/1073193059.db2.gz SYTZXTABVZKCFJ-XLCBJWCYSA-N 0 0 437.497 -0.808 20 0 IBADRN CN(C)C(=O)c1cc2cnc(N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)nc2n1C1CCCC1 ZINC001158975696 1073193237 /nfs/dbraw/zinc/19/32/37/1073193237.db2.gz ZYFHBQRWHVFOHD-DINLJIAVSA-N 0 0 435.481 -0.540 20 0 IBADRN CN(C)C(=O)c1cc2cnc(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)nc2n1C1CCCC1 ZINC001158975697 1073193121 /nfs/dbraw/zinc/19/31/21/1073193121.db2.gz ZYFHBQRWHVFOHD-HLTONANMSA-N 0 0 435.481 -0.540 20 0 IBADRN Cc1sc2nc(CN3CCOCC3)nc(N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)c2c1C ZINC001158977245 1073192976 /nfs/dbraw/zinc/19/29/76/1073192976.db2.gz AFOCOWSPFOBLPO-DANYHQEZSA-N 0 0 440.522 -0.648 20 0 IBADRN Cc1sc2nc(CN3CCOCC3)nc(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)c2c1C ZINC001158977246 1073192995 /nfs/dbraw/zinc/19/29/95/1073192995.db2.gz AFOCOWSPFOBLPO-YPFBOASTSA-N 0 0 440.522 -0.648 20 0 IBADRN COc1ccc(Cn2cc3[nH]c(N[C@@H]4[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]4O)ccc-3c2=O)cc1 ZINC001158977477 1073193223 /nfs/dbraw/zinc/19/32/23/1073193223.db2.gz DOVZBLGYEUXFHC-IOESTIDPSA-N 0 0 431.445 -0.542 20 0 IBADRN COc1ccc(Cn2cc3[nH]c(N[C@H]4[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]4O)ccc-3c2=O)cc1 ZINC001158977478 1073193649 /nfs/dbraw/zinc/19/36/49/1073193649.db2.gz DOVZBLGYEUXFHC-POVPESKVSA-N 0 0 431.445 -0.542 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2Cc3nnc(C)n3C[C@H]2C(=O)N(C)C)cc1 ZINC001158978097 1073193493 /nfs/dbraw/zinc/19/34/93/1073193493.db2.gz GCWMNPJHJAIKMW-INIZCTEOSA-N 0 0 448.505 -0.433 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2Cc3nnc(C)n3C[C@@H]2C(=O)N(C)C)cc1 ZINC001158978098 1073193516 /nfs/dbraw/zinc/19/35/16/1073193516.db2.gz GCWMNPJHJAIKMW-MRXNPFEDSA-N 0 0 448.505 -0.433 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1ccc(CCNS(C)(=O)=O)s1)C2 ZINC001158978251 1073193585 /nfs/dbraw/zinc/19/35/85/1073193585.db2.gz HUMHJKIKOSRLAC-CYBMUJFWSA-N 0 0 440.551 -0.147 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1ccc(CCNS(C)(=O)=O)s1)C2 ZINC001158978257 1073193440 /nfs/dbraw/zinc/19/34/40/1073193440.db2.gz HUMHJKIKOSRLAC-ZDUSSCGKSA-N 0 0 440.551 -0.147 20 0 IBADRN C[Si](C)(C)c1ccc(Cl)nc1OC(F)(F)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001158979034 1073193664 /nfs/dbraw/zinc/19/36/64/1073193664.db2.gz UQCDCUXGWKBYSS-DJNBFIRGSA-N 0 0 428.892 -0.401 20 0 IBADRN C[Si](C)(C)c1ccc(Cl)nc1OC(F)(F)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001158979035 1073193574 /nfs/dbraw/zinc/19/35/74/1073193574.db2.gz UQCDCUXGWKBYSS-NOIJRSPASA-N 0 0 428.892 -0.401 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1cccc(CN3C(=O)CNC3=O)c1)C2 ZINC001158979209 1073193477 /nfs/dbraw/zinc/19/34/77/1073193477.db2.gz OQLMFPZILGILIU-HNNXBMFYSA-N 0 0 425.449 -0.249 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1cccc(CN3C(=O)CNC3=O)c1)C2 ZINC001158979210 1073193658 /nfs/dbraw/zinc/19/36/58/1073193658.db2.gz OQLMFPZILGILIU-OAHLLOKOSA-N 0 0 425.449 -0.249 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CN1C(=O)c3ccccc3S1(=O)=O)C2 ZINC001158979833 1073193608 /nfs/dbraw/zinc/19/36/08/1073193608.db2.gz SSQWSUNBSDYMDV-CYBMUJFWSA-N 0 0 432.462 -0.770 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CN1C(=O)c3ccccc3S1(=O)=O)C2 ZINC001158979835 1073193621 /nfs/dbraw/zinc/19/36/21/1073193621.db2.gz SSQWSUNBSDYMDV-ZDUSSCGKSA-N 0 0 432.462 -0.770 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CCn1c3ccccc3c(=O)[nH]c1=O)C2 ZINC001158980413 1073194204 /nfs/dbraw/zinc/19/42/04/1073194204.db2.gz YKCMICWQTRIUTO-HNNXBMFYSA-N 0 0 425.449 -0.109 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CCn1c3ccccc3c(=O)[nH]c1=O)C2 ZINC001158980414 1073194126 /nfs/dbraw/zinc/19/41/26/1073194126.db2.gz YKCMICWQTRIUTO-OAHLLOKOSA-N 0 0 425.449 -0.109 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CN(C)C1=NS(=O)(=O)c3ccccc31)C2 ZINC001158980454 1073193943 /nfs/dbraw/zinc/19/39/43/1073193943.db2.gz ZIPJXQPFMIYJCU-AWEZNQCLSA-N 0 0 445.505 -0.534 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CN(C)C1=NS(=O)(=O)c3ccccc31)C2 ZINC001158980455 1073194185 /nfs/dbraw/zinc/19/41/85/1073194185.db2.gz ZIPJXQPFMIYJCU-CQSZACIVSA-N 0 0 445.505 -0.534 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1cccc([C@@]3(C)NC(=O)NC3=O)c1)C2 ZINC001158986342 1073194673 /nfs/dbraw/zinc/19/46/73/1073194673.db2.gz KFQJSLQUIOKYFS-JLTOFOAXSA-N 0 0 425.449 -0.246 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1cccc([C@@]3(C)NC(=O)NC3=O)c1)C2 ZINC001158986343 1073194608 /nfs/dbraw/zinc/19/46/08/1073194608.db2.gz KFQJSLQUIOKYFS-VBKZILBWSA-N 0 0 425.449 -0.246 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1cccc([C@]3(C)NC(=O)NC3=O)c1)C2 ZINC001158986344 1073194694 /nfs/dbraw/zinc/19/46/94/1073194694.db2.gz KFQJSLQUIOKYFS-VLIAUNLRSA-N 0 0 425.449 -0.246 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1cccc([C@]3(C)NC(=O)NC3=O)c1)C2 ZINC001158986345 1073194581 /nfs/dbraw/zinc/19/45/81/1073194581.db2.gz KFQJSLQUIOKYFS-XOBRGWDASA-N 0 0 425.449 -0.246 20 0 IBADRN NC(=O)c1ccc(-c2cnc3n2CCC32CCN(C(=O)[C@@H]([NH3+])Cc3nnn[n-]3)CC2)cc1 ZINC001159006610 1073195463 /nfs/dbraw/zinc/19/54/63/1073195463.db2.gz ZEXABSDATRTDJZ-HNNXBMFYSA-N 0 0 435.492 -0.004 20 0 IBADRN COC(=O)c1cnc(Nc2cc(=O)n(C)c(=O)[nH]2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001159013045 1073195505 /nfs/dbraw/zinc/19/55/05/1073195505.db2.gz UJVWMTPMAQXKAP-UHFFFAOYSA-N 0 0 425.423 -0.568 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1Cc2nnn(C)c2[C@H](COCc2cccnc2)C1 ZINC001159036639 1073195412 /nfs/dbraw/zinc/19/54/12/1073195412.db2.gz HGNHHDRDDQRISR-CWAQJACASA-N 0 0 428.493 -0.016 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1Cc2nnn(C)c2[C@H](COCc2cccnc2)C1 ZINC001159036642 1073196000 /nfs/dbraw/zinc/19/60/00/1073196000.db2.gz HGNHHDRDDQRISR-ORAAPJFESA-N 0 0 428.493 -0.016 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1Cc2nnn(C)c2[C@@H](COCc2cccnc2)C1 ZINC001159036645 1073196020 /nfs/dbraw/zinc/19/60/20/1073196020.db2.gz HGNHHDRDDQRISR-PWIZGLKMSA-N 0 0 428.493 -0.016 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1Cc2nnn(C)c2[C@@H](COCc2cccnc2)C1 ZINC001159036647 1073196003 /nfs/dbraw/zinc/19/60/03/1073196003.db2.gz HGNHHDRDDQRISR-RUEOWNRQSA-N 0 0 428.493 -0.016 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001159052095 1073196008 /nfs/dbraw/zinc/19/60/08/1073196008.db2.gz SQXNJBUCVROADE-GKWFENNMSA-N 0 0 448.611 -0.165 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001159052096 1073195991 /nfs/dbraw/zinc/19/59/91/1073195991.db2.gz SQXNJBUCVROADE-KPOHSRMJSA-N 0 0 448.611 -0.165 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001159052097 1073195986 /nfs/dbraw/zinc/19/59/86/1073195986.db2.gz SQXNJBUCVROADE-UMWAFNGASA-N 0 0 448.611 -0.165 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001159052098 1073196270 /nfs/dbraw/zinc/19/62/70/1073196270.db2.gz SQXNJBUCVROADE-YZCUQEPOSA-N 0 0 448.611 -0.165 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001159053047 1073196439 /nfs/dbraw/zinc/19/64/39/1073196439.db2.gz YHTPJCHKIJHWDR-HHHXNRCGSA-N 0 0 432.572 -0.056 20 0 IBADRN CN(C)[S@@](C)(=O)=NCC1CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001159053054 1073196388 /nfs/dbraw/zinc/19/63/88/1073196388.db2.gz YHTPJCHKIJHWDR-MHZLTWQESA-N 0 0 432.572 -0.056 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1C[C@@H]3COC[C@H](C(=O)Nc4cnccn4)[C@@H]3C1)CNC2 ZINC001159071894 1073196361 /nfs/dbraw/zinc/19/63/61/1073196361.db2.gz JYEJXTDYJLFNCJ-BARDWOONSA-N 0 0 426.481 -0.366 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1C[C@@H]3COC[C@H](C(=O)Nc4cnccn4)[C@@H]3C1)CNC2 ZINC001159071895 1073196490 /nfs/dbraw/zinc/19/64/90/1073196490.db2.gz JYEJXTDYJLFNCJ-TUVASFSCSA-N 0 0 426.481 -0.366 20 0 IBADRN COC(=O)[C@H]1C[C@H](C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)CCN1 ZINC001159075577 1073197357 /nfs/dbraw/zinc/19/73/57/1073197357.db2.gz PLZHTHRXUJWEQK-GDBMZVCRSA-N 0 0 432.525 -0.766 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)CCN1 ZINC001159075581 1073197342 /nfs/dbraw/zinc/19/73/42/1073197342.db2.gz PLZHTHRXUJWEQK-GOEBONIOSA-N 0 0 432.525 -0.766 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)CCN1 ZINC001159075585 1073197402 /nfs/dbraw/zinc/19/74/02/1073197402.db2.gz PLZHTHRXUJWEQK-HOCLYGCPSA-N 0 0 432.525 -0.766 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)CCN1 ZINC001159075587 1073197396 /nfs/dbraw/zinc/19/73/96/1073197396.db2.gz PLZHTHRXUJWEQK-ZBFHGGJFSA-N 0 0 432.525 -0.766 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C1)CNC2 ZINC001159076770 1073197881 /nfs/dbraw/zinc/19/78/81/1073197881.db2.gz WHERPOBIHXSQBD-HNNXBMFYSA-N 0 0 441.540 -0.809 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C1)CNC2 ZINC001159076772 1073197918 /nfs/dbraw/zinc/19/79/18/1073197918.db2.gz WHERPOBIHXSQBD-OAHLLOKOSA-N 0 0 441.540 -0.809 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)Cn1cnc2c1nc(N)[nH]c2=O ZINC001159077102 1073197895 /nfs/dbraw/zinc/19/78/95/1073197895.db2.gz MSUPSDIOMGOFEL-BLVKFPJESA-N 0 0 433.469 -0.631 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)Cn1cnc2c1nc(N)[nH]c2=O ZINC001159077103 1073198332 /nfs/dbraw/zinc/19/83/32/1073198332.db2.gz MSUPSDIOMGOFEL-BUXKBTBVSA-N 0 0 433.469 -0.631 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)Cn1cnc2c1nc(N)[nH]c2=O ZINC001159077104 1073198257 /nfs/dbraw/zinc/19/82/57/1073198257.db2.gz MSUPSDIOMGOFEL-CWTRNNRKSA-N 0 0 433.469 -0.631 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)Cn1cnc2c1nc(N)[nH]c2=O ZINC001159077105 1073198408 /nfs/dbraw/zinc/19/84/08/1073198408.db2.gz MSUPSDIOMGOFEL-HXPMCKFVSA-N 0 0 433.469 -0.631 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCO[C@H]3[C@H](OCC(=O)N4CCCC4)CC[C@@H]31)CNC2 ZINC001159090208 1073198987 /nfs/dbraw/zinc/19/89/87/1073198987.db2.gz ARYZCCKGCXBDRD-UJAMICLKSA-N 0 0 432.525 -0.118 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCO[C@H]3[C@H](OCC(=O)N4CCCC4)CC[C@@H]31)CNC2 ZINC001159090209 1073198933 /nfs/dbraw/zinc/19/89/33/1073198933.db2.gz ARYZCCKGCXBDRD-XNWPKKHHSA-N 0 0 432.525 -0.118 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2CCO[C@H]3[C@H](OCC(=O)N4CCCC4)CC[C@@H]32)c(=O)[nH]1 ZINC001159091031 1073198803 /nfs/dbraw/zinc/19/88/03/1073198803.db2.gz LDTSAZZKHPPRQZ-OGHNNQOOSA-N 0 0 445.480 -0.489 20 0 IBADRN NS(=O)(=O)c1ccc(NCCN2CCN(S(=O)(=O)c3ccccc3)CC2)nn1 ZINC001159100553 1073198829 /nfs/dbraw/zinc/19/88/29/1073198829.db2.gz GNMNABHCLSUBTI-UHFFFAOYSA-N 0 0 426.524 -0.458 20 0 IBADRN COC(=O)c1cnc(NC2CN(c3ncccn3)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001159119800 1073199342 /nfs/dbraw/zinc/19/93/42/1073199342.db2.gz CJORCWCONCPZFJ-UHFFFAOYSA-N 0 0 434.478 -0.020 20 0 IBADRN Cc1nccc(N[C@@H]2COCC[C@@H]2NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)n1 ZINC001159158289 1073201949 /nfs/dbraw/zinc/20/19/49/1073201949.db2.gz FOBWETDYAXPJON-FGTMMUONSA-N 0 0 432.525 -0.349 20 0 IBADRN Cc1nccc(N[C@@H]2COCC[C@@H]2NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)n1 ZINC001159158290 1073201909 /nfs/dbraw/zinc/20/19/09/1073201909.db2.gz FOBWETDYAXPJON-OKZBNKHCSA-N 0 0 432.525 -0.349 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)c1nnn3c1CNCC3)CCC2 ZINC001159171626 1073202505 /nfs/dbraw/zinc/20/25/05/1073202505.db2.gz CQONEIPMDMIDDJ-UHFFFAOYSA-N 0 0 428.497 -0.354 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)[C@@H](C)[C@H]1NC(=O)[C@@H]1[C@@H](C)O)CCC2 ZINC001159171637 1073202390 /nfs/dbraw/zinc/20/23/90/1073202390.db2.gz CVUAPWACRBYBKL-TUGJPZLJSA-N 0 0 447.536 -0.231 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)[C@H](C)[C@H]1NC(=O)[C@@H]1[C@@H](C)O)CCC2 ZINC001159171839 1073202482 /nfs/dbraw/zinc/20/24/82/1073202482.db2.gz CVUAPWACRBYBKL-UYVJDWJCSA-N 0 0 447.536 -0.231 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H](N)c2cccnc2)CC3)C1=O ZINC001159182727 1073203303 /nfs/dbraw/zinc/20/33/03/1073203303.db2.gz TUOMIPGISPVARX-JXFKEZNVSA-N 0 0 435.550 -0.186 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H](N)c2cccnc2)CC3)C1=O ZINC001159182731 1073203248 /nfs/dbraw/zinc/20/32/48/1073203248.db2.gz TUOMIPGISPVARX-OXJNMPFZSA-N 0 0 435.550 -0.186 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H](N)c2cccnc2)CC3)C1=O ZINC001159182733 1073203287 /nfs/dbraw/zinc/20/32/87/1073203287.db2.gz TUOMIPGISPVARX-OXQOHEQNSA-N 0 0 435.550 -0.186 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H](N)c2cccnc2)CC3)C1=O ZINC001159182738 1073203221 /nfs/dbraw/zinc/20/32/21/1073203221.db2.gz TUOMIPGISPVARX-UZLBHIALSA-N 0 0 435.550 -0.186 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)Cc2cccc(N)n2)CC3)C1=O ZINC001159183023 1073203243 /nfs/dbraw/zinc/20/32/43/1073203243.db2.gz XCIDOEKQTSHXPN-FQEVSTJZSA-N 0 0 435.550 -0.061 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)Cc2cccc(N)n2)CC3)C1=O ZINC001159183024 1073203207 /nfs/dbraw/zinc/20/32/07/1073203207.db2.gz XCIDOEKQTSHXPN-HXUWFJFHSA-N 0 0 435.550 -0.061 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H](N)C(F)(F)F)CC3)C1=O ZINC001159189643 1073204110 /nfs/dbraw/zinc/20/41/10/1073204110.db2.gz FOKNECAOXWTQFF-ABAIWWIYSA-N 0 0 426.461 -0.392 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H](N)C(F)(F)F)CC3)C1=O ZINC001159189649 1073204098 /nfs/dbraw/zinc/20/40/98/1073204098.db2.gz FOKNECAOXWTQFF-IAQYHMDHSA-N 0 0 426.461 -0.392 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H](N)C(F)(F)F)CC3)C1=O ZINC001159189650 1073204081 /nfs/dbraw/zinc/20/40/81/1073204081.db2.gz FOKNECAOXWTQFF-NHYWBVRUSA-N 0 0 426.461 -0.392 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H](N)C(F)(F)F)CC3)C1=O ZINC001159189652 1073204179 /nfs/dbraw/zinc/20/41/79/1073204179.db2.gz FOKNECAOXWTQFF-XHDPSFHLSA-N 0 0 426.461 -0.392 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cnc4c(c2)CCN4)CC3)C1=O ZINC001159189694 1073204092 /nfs/dbraw/zinc/20/40/92/1073204092.db2.gz GCJAKNCMHIXZOA-NRFANRHFSA-N 0 0 447.561 -0.065 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cnc4c(c2)CCN4)CC3)C1=O ZINC001159189695 1073204087 /nfs/dbraw/zinc/20/40/87/1073204087.db2.gz GCJAKNCMHIXZOA-OAQYLSRUSA-N 0 0 447.561 -0.065 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cnc(CN)s2)CC3)C1=O ZINC001159190060 1073204194 /nfs/dbraw/zinc/20/41/94/1073204194.db2.gz ICSFHRSJSZNLJD-GOSISDBHSA-N 0 0 441.579 -0.052 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cnc(CN)s2)CC3)C1=O ZINC001159190063 1073204146 /nfs/dbraw/zinc/20/41/46/1073204146.db2.gz ICSFHRSJSZNLJD-SFHVURJKSA-N 0 0 441.579 -0.052 20 0 IBADRN CNc1cc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)n(C)n1 ZINC001159191027 1073204571 /nfs/dbraw/zinc/20/45/71/1073204571.db2.gz QHGNXVVAQQVDGJ-IBGZPJMESA-N 0 0 438.554 -0.192 20 0 IBADRN CNc1cc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)n(C)n1 ZINC001159191028 1073204670 /nfs/dbraw/zinc/20/46/70/1073204670.db2.gz QHGNXVVAQQVDGJ-LJQANCHMSA-N 0 0 438.554 -0.192 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2COC(C)(C)CN2)CC3)C1=O ZINC001159191153 1073205041 /nfs/dbraw/zinc/20/50/41/1073205041.db2.gz QTVFSVCWEPMHQX-MGPUTAFESA-N 0 0 442.582 -0.514 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2COC(C)(C)CN2)CC3)C1=O ZINC001159191154 1073204964 /nfs/dbraw/zinc/20/49/64/1073204964.db2.gz QTVFSVCWEPMHQX-YWZLYKJASA-N 0 0 442.582 -0.514 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@]2(C)COCCN2)CC3)C1=O ZINC001159191183 1073205015 /nfs/dbraw/zinc/20/50/15/1073205015.db2.gz RJTTUBODINKQEW-HKUYNNGSSA-N 0 0 428.555 -0.903 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@]2(C)COCCN2)CC3)C1=O ZINC001159191184 1073205103 /nfs/dbraw/zinc/20/51/03/1073205103.db2.gz RJTTUBODINKQEW-IEBWSBKVSA-N 0 0 428.555 -0.903 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@]2(C)COCCN2)CC3)C1=O ZINC001159191185 1073205066 /nfs/dbraw/zinc/20/50/66/1073205066.db2.gz RJTTUBODINKQEW-MJGOQNOKSA-N 0 0 428.555 -0.903 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@]2(C)COCCN2)CC3)C1=O ZINC001159191186 1073205112 /nfs/dbraw/zinc/20/51/12/1073205112.db2.gz RJTTUBODINKQEW-PKOBYXMFSA-N 0 0 428.555 -0.903 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CCC(=O)CN2)CC3)C1=O ZINC001159191212 1073205027 /nfs/dbraw/zinc/20/50/27/1073205027.db2.gz SGVMQEHOUBQXMP-BEFAXECRSA-N 0 0 426.539 -0.960 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CCC(=O)CN2)CC3)C1=O ZINC001159191213 1073205055 /nfs/dbraw/zinc/20/50/55/1073205055.db2.gz SGVMQEHOUBQXMP-DNVCBOLYSA-N 0 0 426.539 -0.960 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CCC(=O)CN2)CC3)C1=O ZINC001159191214 1073205011 /nfs/dbraw/zinc/20/50/11/1073205011.db2.gz SGVMQEHOUBQXMP-HNAYVOBHSA-N 0 0 426.539 -0.960 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CCC(=O)CN2)CC3)C1=O ZINC001159191215 1073205007 /nfs/dbraw/zinc/20/50/07/1073205007.db2.gz SGVMQEHOUBQXMP-KXBFYZLASA-N 0 0 426.539 -0.960 20 0 IBADRN CN(C)C(=O)NC[C@H]1OC[C@@H]2CCN(C(=O)[C@@H]([NH3+])CCCNC(=O)c3cnccn3)C[C@@H]21 ZINC001159191419 1073205518 /nfs/dbraw/zinc/20/55/18/1073205518.db2.gz GIJCSROKHBABBM-NBOOPKSLSA-N 0 0 447.540 -0.551 20 0 IBADRN C[C@H]([NH3+])C(=O)N1[C@H](C(=O)N2CC[C@H]3CO[C@H](CNC(=O)N(C)C)[C@H]3C2)COC1(C)C ZINC001159202001 1073205465 /nfs/dbraw/zinc/20/54/65/1073205465.db2.gz CROPBZRMEPJPBO-UVPYHEFZSA-N 0 0 425.530 -0.568 20 0 IBADRN CN(C)C(=O)NC[C@H]1OC[C@@H]2CCN(C(=O)CNC(=O)[C@@H]([NH3+])Cc3ccccc3)C[C@@H]21 ZINC001159202264 1073205434 /nfs/dbraw/zinc/20/54/34/1073205434.db2.gz FQTTWFMGCXSROX-CADBVGFASA-N 0 0 431.537 -0.193 20 0 IBADRN O=C(CN1CCNCC1=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001159204036 1073205928 /nfs/dbraw/zinc/20/59/28/1073205928.db2.gz VCXJHHWEAGUQMA-JENIJYKNSA-N 0 0 429.521 -0.574 20 0 IBADRN [NH3+][C@@H](Cc1nnn[n-]1)C(=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001159204131 1073206368 /nfs/dbraw/zinc/20/63/68/1073206368.db2.gz WJJJJCTWBPRQKT-NXNVCVFFSA-N 0 0 428.497 -0.923 20 0 IBADRN [NH3+]Cc1cnccc1Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@H]1O ZINC001159216526 1073206612 /nfs/dbraw/zinc/20/66/12/1073206612.db2.gz AXLMFFGAJXZYBA-XNIJJKJLSA-N 0 0 435.337 -0.380 20 0 IBADRN COC[C@@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)[C@@H]([NH3+])Cc1ccc(C(N)=O)cc1 ZINC001159225240 1073207684 /nfs/dbraw/zinc/20/76/84/1073207684.db2.gz QICWYZOEAGFBKD-IRXDYDNUSA-N 0 0 438.492 -0.295 20 0 IBADRN COC[C@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)[C@@H]([NH3+])Cc1ccc(C(N)=O)cc1 ZINC001159225241 1073207536 /nfs/dbraw/zinc/20/75/36/1073207536.db2.gz QICWYZOEAGFBKD-SJORKVTESA-N 0 0 438.492 -0.295 20 0 IBADRN COC[C@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)CNC(=O)[C@@H]([NH3+])COC(C)(C)C ZINC001159225459 1073207572 /nfs/dbraw/zinc/20/75/72/1073207572.db2.gz RNSNBHNIMPWSNP-CABCVRRESA-N 0 0 448.528 -0.706 20 0 IBADRN COC[C@@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)CNC(=O)[C@@H]([NH3+])COC(C)(C)C ZINC001159225460 1073207633 /nfs/dbraw/zinc/20/76/33/1073207633.db2.gz RNSNBHNIMPWSNP-GJZGRUSLSA-N 0 0 448.528 -0.706 20 0 IBADRN C[C@H]([NH3+])C(=O)N[C@@H](CC(N)=O)C(=O)N1CCC[C@@]2(C[C@H](OCc3ccccn3)CO2)C1 ZINC001159227107 1073207612 /nfs/dbraw/zinc/20/76/12/1073207612.db2.gz BLSUISQKIVPAPZ-WFNJMBFTSA-N 0 0 433.509 -0.544 20 0 IBADRN [NH3+][C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(=O)N1CC[C@H]2[C@@H]1CCC(=O)N2CC1CC1 ZINC001159227964 1073207442 /nfs/dbraw/zinc/20/74/42/1073207442.db2.gz LGRGWTFCVGKPQQ-MUGJNUQGSA-N 0 0 444.532 -0.259 20 0 IBADRN C[C@H]([NH3+])C(=O)N[C@H](CCC(=O)N1CCC[C@@]2(C[C@H](OCc3ccccn3)CO2)C1)C(N)=O ZINC001159228290 1073207699 /nfs/dbraw/zinc/20/76/99/1073207699.db2.gz NJINQGMMXQBJDW-CTWQGKMFSA-N 0 0 447.536 -0.154 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@H]3[C@@H]2CCN3C(=O)[C@@H]([NH3+])CCCNC(=O)c2cnccn2)cn1 ZINC001159228806 1073207419 /nfs/dbraw/zinc/20/74/19/1073207419.db2.gz VTLDWIMHPMNZHV-RYQLBKOJSA-N 0 0 440.508 -0.437 20 0 IBADRN Cn1cccc1C(=O)N[C@H]1CN(C(=O)Cn2cnc3c2nc(N)[nH]c3=O)[C@@H]2CCCO[C@@H]21 ZINC001159267332 1073208172 /nfs/dbraw/zinc/20/81/72/1073208172.db2.gz JOLFUPCLEAWLGM-HWWQOWPSSA-N 0 0 440.464 -0.359 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C[C@H]1C(=O)OC ZINC001159297925 1073209120 /nfs/dbraw/zinc/20/91/20/1073209120.db2.gz IFEHWKSLLQMXNF-GASCZTMLSA-N 0 0 440.474 -0.258 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C[C@@H]1C(=O)OC ZINC001159297926 1073209136 /nfs/dbraw/zinc/20/91/36/1073209136.db2.gz IFEHWKSLLQMXNF-GJZGRUSLSA-N 0 0 440.474 -0.258 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C[C@H]1C(=O)OC ZINC001159297927 1073209060 /nfs/dbraw/zinc/20/90/60/1073209060.db2.gz IFEHWKSLLQMXNF-HUUCEWRRSA-N 0 0 440.474 -0.258 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C[C@H]1C(=O)OC ZINC001159298542 1073209146 /nfs/dbraw/zinc/20/91/46/1073209146.db2.gz NNHQEKRGXOCTRC-AIANPOQGSA-N 0 0 436.436 -0.249 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C[C@H]1C(=O)OC ZINC001159298547 1073209159 /nfs/dbraw/zinc/20/91/59/1073209159.db2.gz NNHQEKRGXOCTRC-ANQUJSFKSA-N 0 0 436.436 -0.249 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C[C@H]1C(=O)OC ZINC001159298548 1073209077 /nfs/dbraw/zinc/20/90/77/1073209077.db2.gz NNHQEKRGXOCTRC-HWMZRRJGSA-N 0 0 436.436 -0.249 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C[C@H]1C(=O)OC ZINC001159298550 1073209128 /nfs/dbraw/zinc/20/91/28/1073209128.db2.gz NNHQEKRGXOCTRC-MPTYRVRUSA-N 0 0 436.436 -0.249 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C[C@H]1C(=O)OC ZINC001159299560 1073209125 /nfs/dbraw/zinc/20/91/25/1073209125.db2.gz XZWPWYVIBJGJOI-GASCZTMLSA-N 0 0 428.463 -0.666 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C[C@@H]1C(=O)OC ZINC001159299561 1073209097 /nfs/dbraw/zinc/20/90/97/1073209097.db2.gz XZWPWYVIBJGJOI-GJZGRUSLSA-N 0 0 428.463 -0.666 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C[C@H]1C(=O)OC ZINC001159299562 1073209156 /nfs/dbraw/zinc/20/91/56/1073209156.db2.gz XZWPWYVIBJGJOI-HUUCEWRRSA-N 0 0 428.463 -0.666 20 0 IBADRN COC(=O)c1cc2c(nc1-c1cnn(C)c1)CCN(C(=O)[C@@H]([NH3+])Cc1nnn[n-]1)CC2 ZINC001159330939 1073209896 /nfs/dbraw/zinc/20/98/96/1073209896.db2.gz XLPYYJDUINZVRE-AWEZNQCLSA-N 0 0 425.453 -0.721 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC2(C(=O)N3CCOCC3)CCCCC2)cn1C ZINC001159341811 1073210047 /nfs/dbraw/zinc/21/00/47/1073210047.db2.gz CVBAREOZAARUPY-UHFFFAOYSA-N 0 0 427.527 -0.315 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1CCC(CS(C)(=O)=O)CC1 ZINC001159345648 1073210038 /nfs/dbraw/zinc/21/00/38/1073210038.db2.gz OWECTAQWWRVFPK-UHFFFAOYSA-N 0 0 425.573 -0.460 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1C[C@@H](NC(=O)c2cccnc2)[C@H]2OCCC[C@H]2C1 ZINC001159358050 1073210422 /nfs/dbraw/zinc/21/04/22/1073210422.db2.gz FICCAPLRVNPBPH-JTWOFWSOSA-N 0 0 430.505 -0.051 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1C[C@@H](NC(=O)c2cccnc2)[C@H]2OCCC[C@H]2C1 ZINC001159358051 1073210593 /nfs/dbraw/zinc/21/05/93/1073210593.db2.gz FICCAPLRVNPBPH-XDTKVKNJSA-N 0 0 430.505 -0.051 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)N1CC2(C1)NC(=O)NC2=O ZINC001159370648 1073210551 /nfs/dbraw/zinc/21/05/51/1073210551.db2.gz PUWJXEGMSGADDN-UHFFFAOYSA-N 0 0 431.268 -0.462 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CC3(C2)NC(=O)NC3=O)cc1Br ZINC001159371749 1073210624 /nfs/dbraw/zinc/21/06/24/1073210624.db2.gz ZOLCVCOULGTIQJ-UHFFFAOYSA-N 0 0 431.268 -0.267 20 0 IBADRN O=C(Cn1ccc2ccc(Br)cc21)NCC(=O)N1CC2(C1)NC(=O)NC2=O ZINC001159378876 1073211108 /nfs/dbraw/zinc/21/11/08/1073211108.db2.gz SHHXNDUKSPBEDE-UHFFFAOYSA-N 0 0 434.250 -0.060 20 0 IBADRN Cn1[nH]c(C2CCN(C(=O)OC(C)(C)C)CC2)nc1=NC(=O)[C@H]1CCS(=O)(=O)N1 ZINC001159393110 1073210923 /nfs/dbraw/zinc/21/09/23/1073210923.db2.gz FGNYNCXTIWVBQF-GFCCVEGCSA-N 0 0 428.515 -0.018 20 0 IBADRN Cn1[nH]c(C2CCN(C(=O)OC(C)(C)C)CC2)nc1=NC(=O)[C@@H]1CCS(=O)(=O)N1 ZINC001159393111 1073211052 /nfs/dbraw/zinc/21/10/52/1073211052.db2.gz FGNYNCXTIWVBQF-LBPRGKRZSA-N 0 0 428.515 -0.018 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC(CO)C1 ZINC001159417726 1073213835 /nfs/dbraw/zinc/21/38/35/1073213835.db2.gz BIKXEKUIYYHXLY-UHFFFAOYSA-N 0 0 426.491 -0.124 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001159418360 1073213777 /nfs/dbraw/zinc/21/37/77/1073213777.db2.gz PSJXUJDLCFRALM-INIZCTEOSA-N 0 0 425.511 -0.140 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001159418362 1073213831 /nfs/dbraw/zinc/21/38/31/1073213831.db2.gz PSJXUJDLCFRALM-MRXNPFEDSA-N 0 0 425.511 -0.140 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCOC[C@@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001159428035 1073214182 /nfs/dbraw/zinc/21/41/82/1073214182.db2.gz PZSQTYSUPNHEFU-DYIUMCPCSA-N 0 0 437.518 -0.160 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCOC[C@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001159428036 1073214766 /nfs/dbraw/zinc/21/47/66/1073214766.db2.gz PZSQTYSUPNHEFU-JDLZUTDUSA-N 0 0 437.518 -0.160 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCOC[C@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001159428037 1073214715 /nfs/dbraw/zinc/21/47/15/1073214715.db2.gz PZSQTYSUPNHEFU-SXOQPLQPSA-N 0 0 437.518 -0.160 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCOC[C@@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001159428038 1073214816 /nfs/dbraw/zinc/21/48/16/1073214816.db2.gz PZSQTYSUPNHEFU-TYDGSTAUSA-N 0 0 437.518 -0.160 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)[C@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001159432122 1073214722 /nfs/dbraw/zinc/21/47/22/1073214722.db2.gz FMIHIXLPOAWRFH-FUHWJXTLSA-N 0 0 442.524 -0.931 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)[C@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001159432123 1073214787 /nfs/dbraw/zinc/21/47/87/1073214787.db2.gz FMIHIXLPOAWRFH-WMZOPIPTSA-N 0 0 442.524 -0.931 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001159432249 1073214839 /nfs/dbraw/zinc/21/48/39/1073214839.db2.gz GTKQQRZYHKISFV-GOSISDBHSA-N 0 0 427.505 -0.411 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001159432252 1073214684 /nfs/dbraw/zinc/21/46/84/1073214684.db2.gz GTKQQRZYHKISFV-SFHVURJKSA-N 0 0 427.505 -0.411 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)C2=NN(CCO)C(=O)CC2)CC1 ZINC001159433494 1073214806 /nfs/dbraw/zinc/21/48/06/1073214806.db2.gz PLEGTAAXWAMRMF-IBGZPJMESA-N 0 0 429.521 -0.151 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)C2=NN(CCO)C(=O)CC2)CC1 ZINC001159433496 1073214661 /nfs/dbraw/zinc/21/46/61/1073214661.db2.gz PLEGTAAXWAMRMF-LJQANCHMSA-N 0 0 429.521 -0.151 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CCOCCN1 ZINC001159435017 1073214824 /nfs/dbraw/zinc/21/48/24/1073214824.db2.gz PTSYQQVLDYGNLJ-CYBMUJFWSA-N 0 0 438.428 -0.019 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CCOCCN1 ZINC001159435018 1073214742 /nfs/dbraw/zinc/21/47/42/1073214742.db2.gz PTSYQQVLDYGNLJ-ZDUSSCGKSA-N 0 0 438.428 -0.019 20 0 IBADRN CC(C)(C)OC(=O)N1CC(OCC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)C1 ZINC001159447488 1073215240 /nfs/dbraw/zinc/21/52/40/1073215240.db2.gz DGHIFYILYCSTSW-UHFFFAOYSA-N 0 0 425.529 -0.108 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2ccc(N3NC(=O)CCC3=O)cc2)CC1 ZINC001159447916 1073215197 /nfs/dbraw/zinc/21/51/97/1073215197.db2.gz GJGUKVVTOBRIIY-UHFFFAOYSA-N 0 0 428.492 -0.272 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CCNS(=O)(=O)c2ccccc2F)CC1 ZINC001159448291 1073215292 /nfs/dbraw/zinc/21/52/92/1073215292.db2.gz JLYINVHTPXOXTN-UHFFFAOYSA-N 0 0 441.528 -0.236 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC001159448834 1073215084 /nfs/dbraw/zinc/21/50/84/1073215084.db2.gz RRAIJPHAPHQWJA-UHFFFAOYSA-N 0 0 437.565 -0.251 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC001159449138 1073215099 /nfs/dbraw/zinc/21/50/99/1073215099.db2.gz UHKWSEWDHVVXMB-UHFFFAOYSA-N 0 0 437.565 -0.067 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)c1 ZINC001159449181 1073215156 /nfs/dbraw/zinc/21/51/56/1073215156.db2.gz UUIPCYXXJODNEP-UHFFFAOYSA-N 0 0 441.528 -0.041 20 0 IBADRN N[C@@H](Cc1cscn1)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159450595 1073215260 /nfs/dbraw/zinc/21/52/60/1073215260.db2.gz BEBJSBHUSGOCGN-LVQVYYBASA-N 0 0 442.563 -0.867 20 0 IBADRN N[C@@H](Cc1cscn1)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159450600 1073215301 /nfs/dbraw/zinc/21/53/01/1073215301.db2.gz BEBJSBHUSGOCGN-XUWVNRHRSA-N 0 0 442.563 -0.867 20 0 IBADRN CN(C)C(=O)NC[C@H]1CCC[C@]2(CCN(C(=O)Cn3cnc4c3nc(N)[nH]c4=O)C2)O1 ZINC001159451489 1073215627 /nfs/dbraw/zinc/21/56/27/1073215627.db2.gz YJQMRDYPERNYIE-CWTRNNRKSA-N 0 0 432.485 -0.075 20 0 IBADRN Cc1cccc(CN2CCO[C@H]3CN(C(=O)c4nnn5c4CNCC5)CC[C@H]3C2=O)n1 ZINC001159451864 1073215735 /nfs/dbraw/zinc/21/57/35/1073215735.db2.gz YUHHDVHORNJHOK-AEFFLSMTSA-N 0 0 425.493 -0.026 20 0 IBADRN Cn1nc(I)cc1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001159456430 1073215709 /nfs/dbraw/zinc/21/57/09/1073215709.db2.gz QSSBNWNIAVFMHZ-UHFFFAOYSA-N 0 0 446.292 -0.092 20 0 IBADRN C[C@@]1(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CC(F)(F)CN1 ZINC001159456990 1073215558 /nfs/dbraw/zinc/21/55/58/1073215558.db2.gz CGTNPJSJRBJVPC-CFAJVAMVSA-N 0 0 435.493 -0.506 20 0 IBADRN C[C@]1(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CC(F)(F)CN1 ZINC001159456991 1073215659 /nfs/dbraw/zinc/21/56/59/1073215659.db2.gz CGTNPJSJRBJVPC-VMUDFCTBSA-N 0 0 435.493 -0.506 20 0 IBADRN C[C@@]1(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CC(F)(F)CN1 ZINC001159456992 1073215633 /nfs/dbraw/zinc/21/56/33/1073215633.db2.gz CGTNPJSJRBJVPC-WBOJAVRRSA-N 0 0 435.493 -0.506 20 0 IBADRN C[C@]1(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CC(F)(F)CN1 ZINC001159456993 1073215617 /nfs/dbraw/zinc/21/56/17/1073215617.db2.gz CGTNPJSJRBJVPC-ZOMKSWQUSA-N 0 0 435.493 -0.506 20 0 IBADRN O=C(CC1=CCNCC1(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159457402 1073216124 /nfs/dbraw/zinc/21/61/24/1073216124.db2.gz GIMNUYBXGPBKJY-ARFHVFGLSA-N 0 0 447.504 -0.338 20 0 IBADRN O=C(CC1=CCNCC1(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159457403 1073216053 /nfs/dbraw/zinc/21/60/53/1073216053.db2.gz GIMNUYBXGPBKJY-BZUAXINKSA-N 0 0 447.504 -0.338 20 0 IBADRN Nc1cncc(CCC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001159457756 1073216036 /nfs/dbraw/zinc/21/60/36/1073216036.db2.gz JQDZHZGPFCARAU-KZNAEPCWSA-N 0 0 436.534 -0.283 20 0 IBADRN Nc1cncc(CCC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001159457757 1073215996 /nfs/dbraw/zinc/21/59/96/1073215996.db2.gz JQDZHZGPFCARAU-RCCFBDPRSA-N 0 0 436.534 -0.283 20 0 IBADRN O=C([C@@H]1CNCC(F)(F)C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159458020 1073216480 /nfs/dbraw/zinc/21/64/80/1073216480.db2.gz LFJFZJQFRDHPJP-BYNSBNAKSA-N 0 0 435.493 -0.648 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)[C@@H]3CNCC(F)(F)C3)C[C@@H]21)N1CCOCC1 ZINC001159458021 1073216565 /nfs/dbraw/zinc/21/65/65/1073216565.db2.gz LFJFZJQFRDHPJP-GBJTYRQASA-N 0 0 435.493 -0.648 20 0 IBADRN O=C([C@H]1CNCC(F)(F)C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159458022 1073216551 /nfs/dbraw/zinc/21/65/51/1073216551.db2.gz LFJFZJQFRDHPJP-KBUPBQIOSA-N 0 0 435.493 -0.648 20 0 IBADRN O=C([C@H]1CNCC(F)(F)C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159458023 1073216458 /nfs/dbraw/zinc/21/64/58/1073216458.db2.gz LFJFZJQFRDHPJP-LXTVHRRPSA-N 0 0 435.493 -0.648 20 0 IBADRN CNc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n(C)n1 ZINC001159458066 1073216412 /nfs/dbraw/zinc/21/64/12/1073216412.db2.gz MFXBHZUQKGEZBI-GZBFAFLISA-N 0 0 425.511 -0.804 20 0 IBADRN CNc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n(C)n1 ZINC001159458067 1073216469 /nfs/dbraw/zinc/21/64/69/1073216469.db2.gz MFXBHZUQKGEZBI-UMVBOHGHSA-N 0 0 425.511 -0.804 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)[C@@H]3CCC(F)(F)CN3)C[C@@H]21)N1CCOCC1 ZINC001159458346 1073217002 /nfs/dbraw/zinc/21/70/02/1073217002.db2.gz NREBGSMSBOZMCY-APIJFGDWSA-N 0 0 435.493 -0.506 20 0 IBADRN O=C([C@H]1CCC(F)(F)CN1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159458347 1073216819 /nfs/dbraw/zinc/21/68/19/1073216819.db2.gz NREBGSMSBOZMCY-GBJTYRQASA-N 0 0 435.493 -0.506 20 0 IBADRN O=C([C@H]1CCC(F)(F)CN1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159458348 1073216924 /nfs/dbraw/zinc/21/69/24/1073216924.db2.gz NREBGSMSBOZMCY-KBUPBQIOSA-N 0 0 435.493 -0.506 20 0 IBADRN O=C([C@@H]1CCC(F)(F)CN1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159458349 1073216880 /nfs/dbraw/zinc/21/68/80/1073216880.db2.gz NREBGSMSBOZMCY-LJISPDSOSA-N 0 0 435.493 -0.506 20 0 IBADRN NCc1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)s1 ZINC001159458541 1073216899 /nfs/dbraw/zinc/21/68/99/1073216899.db2.gz OLBAIHRLDWLUCR-OUCADQQQSA-N 0 0 428.536 -0.664 20 0 IBADRN NCc1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)s1 ZINC001159458545 1073216839 /nfs/dbraw/zinc/21/68/39/1073216839.db2.gz OLBAIHRLDWLUCR-YRGRVCCFSA-N 0 0 428.536 -0.664 20 0 IBADRN COc1cncc(N)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159458755 1073217009 /nfs/dbraw/zinc/21/70/09/1073217009.db2.gz QJZBOLMGAGZSPC-WOSRLPQWSA-N 0 0 438.506 -0.594 20 0 IBADRN COc1cncc(N)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159458756 1073216988 /nfs/dbraw/zinc/21/69/88/1073216988.db2.gz QJZBOLMGAGZSPC-XJKCOSOUSA-N 0 0 438.506 -0.594 20 0 IBADRN N[C@@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)c1cccs1 ZINC001159458894 1073216860 /nfs/dbraw/zinc/21/68/60/1073216860.db2.gz RMXRREGTNKXCET-RRCSTGOVSA-N 0 0 427.548 -0.132 20 0 IBADRN N[C@@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)c1cccs1 ZINC001159458895 1073216937 /nfs/dbraw/zinc/21/69/37/1073216937.db2.gz RMXRREGTNKXCET-SJXGUFTOSA-N 0 0 427.548 -0.132 20 0 IBADRN N[C@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)c1ccc(O)cc1 ZINC001159458918 1073217382 /nfs/dbraw/zinc/21/73/82/1073217382.db2.gz RSHKTOQWIVLTNR-MLHJIOFPSA-N 0 0 437.518 -0.488 20 0 IBADRN N[C@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)c1ccc(O)cc1 ZINC001159458919 1073217291 /nfs/dbraw/zinc/21/72/91/1073217291.db2.gz RSHKTOQWIVLTNR-TVFCKZIOSA-N 0 0 437.518 -0.488 20 0 IBADRN C[C@H](N)c1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)s1 ZINC001159459044 1073217248 /nfs/dbraw/zinc/21/72/48/1073217248.db2.gz UGEWUCAPCKRKSB-KYEXWDHISA-N 0 0 442.563 -0.103 20 0 IBADRN C[C@@H](N)c1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)s1 ZINC001159459045 1073217268 /nfs/dbraw/zinc/21/72/68/1073217268.db2.gz UGEWUCAPCKRKSB-QVHKTLOISA-N 0 0 442.563 -0.103 20 0 IBADRN C[C@@H](N)c1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)s1 ZINC001159459046 1073217180 /nfs/dbraw/zinc/21/71/80/1073217180.db2.gz UGEWUCAPCKRKSB-RGCMKSIDSA-N 0 0 442.563 -0.103 20 0 IBADRN C[C@H](N)c1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)s1 ZINC001159459047 1073217403 /nfs/dbraw/zinc/21/74/03/1073217403.db2.gz UGEWUCAPCKRKSB-RMRHIDDWSA-N 0 0 442.563 -0.103 20 0 IBADRN O=C(c1noc2c1CNCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159459064 1073217627 /nfs/dbraw/zinc/21/76/27/1073217627.db2.gz USLNGOKOKXIXMA-JGGQBBKZSA-N 0 0 438.506 -0.946 20 0 IBADRN O=C(c1noc2c1CNCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159459065 1073217712 /nfs/dbraw/zinc/21/77/12/1073217712.db2.gz USLNGOKOKXIXMA-XNRPHZJLSA-N 0 0 438.506 -0.946 20 0 IBADRN COc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c(N)cn1 ZINC001159459126 1073217730 /nfs/dbraw/zinc/21/77/30/1073217730.db2.gz VYHTXINJCZCHDU-JGGQBBKZSA-N 0 0 438.506 -0.594 20 0 IBADRN COc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c(N)cn1 ZINC001159459127 1073217824 /nfs/dbraw/zinc/21/78/24/1073217824.db2.gz VYHTXINJCZCHDU-XNRPHZJLSA-N 0 0 438.506 -0.594 20 0 IBADRN N[C@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)c1ccsc1 ZINC001159459234 1073217804 /nfs/dbraw/zinc/21/78/04/1073217804.db2.gz WPHFUBUTSVINAH-FPCVCCKLSA-N 0 0 427.548 -0.132 20 0 IBADRN N[C@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)c1ccsc1 ZINC001159459236 1073217613 /nfs/dbraw/zinc/21/76/13/1073217613.db2.gz WPHFUBUTSVINAH-JJXSEGSLSA-N 0 0 427.548 -0.132 20 0 IBADRN O=C([C@H]1NCc2ccccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159459334 1073217588 /nfs/dbraw/zinc/21/75/88/1073217588.db2.gz YIJDGVBRUFZPGL-MANSERQUSA-N 0 0 433.530 -0.049 20 0 IBADRN O=C([C@H]1NCc2ccccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159459335 1073217789 /nfs/dbraw/zinc/21/77/89/1073217789.db2.gz YIJDGVBRUFZPGL-MKXGPGLRSA-N 0 0 433.530 -0.049 20 0 IBADRN O=C([C@@H]1NCc2ccccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159459336 1073217830 /nfs/dbraw/zinc/21/78/30/1073217830.db2.gz YIJDGVBRUFZPGL-NCXUSEDFSA-N 0 0 433.530 -0.049 20 0 IBADRN O=C([C@@H]1NCc2ccccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159459337 1073217692 /nfs/dbraw/zinc/21/76/92/1073217692.db2.gz YIJDGVBRUFZPGL-WJFTUGDTSA-N 0 0 433.530 -0.049 20 0 IBADRN CC(C)(C)OC[C@H](N)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001159459342 1073218205 /nfs/dbraw/zinc/21/82/05/1073218205.db2.gz YIWIUFQJIDGNJX-LVQVYYBASA-N 0 0 431.555 -0.751 20 0 IBADRN CC(C)(C)OC[C@H](N)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001159459343 1073218185 /nfs/dbraw/zinc/21/81/85/1073218185.db2.gz YIWIUFQJIDGNJX-XUWVNRHRSA-N 0 0 431.555 -0.751 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1 ZINC001159474840 1073217993 /nfs/dbraw/zinc/21/79/93/1073217993.db2.gz WOBLXZUDBJNCGK-UHFFFAOYSA-N 0 0 446.913 -0.024 20 0 IBADRN COC(=O)c1cnc(N[C@]2(C)CCS(=O)(=O)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001159487421 1073218599 /nfs/dbraw/zinc/21/85/99/1073218599.db2.gz TUDKNUZNCVZFIH-MRXNPFEDSA-N 0 0 433.508 -0.122 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2Cc3ccnn3C[C@H](C(=O)N3CCCC3)C2)c(=O)[nH]1 ZINC001159491458 1073218683 /nfs/dbraw/zinc/21/86/83/1073218683.db2.gz CMBWEHGXJLHZJA-GFCCVEGCSA-N 0 0 425.453 -0.408 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2Cc3ccnn3C[C@@H](C(=O)N3CCCC3)C2)c(=O)[nH]1 ZINC001159491461 1073218713 /nfs/dbraw/zinc/21/87/13/1073218713.db2.gz CMBWEHGXJLHZJA-LBPRGKRZSA-N 0 0 425.453 -0.408 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)[C@@H]1CNCc3ccnn31)C2 ZINC001159527344 1073220615 /nfs/dbraw/zinc/22/06/15/1073220615.db2.gz CCLBBNGSCRDICE-APWZRJJASA-N 0 0 429.525 -0.264 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)[C@@H]1CNCc3ccnn31)C2 ZINC001159527345 1073220370 /nfs/dbraw/zinc/22/03/70/1073220370.db2.gz CCLBBNGSCRDICE-LPHOPBHVSA-N 0 0 429.525 -0.264 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)[C@H]1CNCc3ccnn31)C2 ZINC001159527348 1073220544 /nfs/dbraw/zinc/22/05/44/1073220544.db2.gz CCLBBNGSCRDICE-QFBILLFUSA-N 0 0 429.525 -0.264 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)[C@H]1CNCc3ccnn31)C2 ZINC001159527350 1073220589 /nfs/dbraw/zinc/22/05/89/1073220589.db2.gz CCLBBNGSCRDICE-VQIMIIECSA-N 0 0 429.525 -0.264 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1Cc2ncn(C)c2[C@@H](COCCN2CCOCC2)C1 ZINC001159529500 1073220993 /nfs/dbraw/zinc/22/09/93/1073220993.db2.gz LBSBHLSZPRKDKA-BQUOXIOCSA-N 0 0 449.552 -0.674 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1Cc2ncn(C)c2[C@H](COCCN2CCOCC2)C1 ZINC001159529503 1073220935 /nfs/dbraw/zinc/22/09/35/1073220935.db2.gz LBSBHLSZPRKDKA-HRAJDOBDSA-N 0 0 449.552 -0.674 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1Cc2ncn(C)c2[C@@H](COCCN2CCOCC2)C1 ZINC001159529504 1073221049 /nfs/dbraw/zinc/22/10/49/1073221049.db2.gz LBSBHLSZPRKDKA-LHXSAFEUSA-N 0 0 449.552 -0.674 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1Cc2ncn(C)c2[C@H](COCCN2CCOCC2)C1 ZINC001159529505 1073221121 /nfs/dbraw/zinc/22/11/21/1073221121.db2.gz LBSBHLSZPRKDKA-WCWVYFQPSA-N 0 0 449.552 -0.674 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2Cc3ncn(C)c3[C@H](COCCN3CCOCC3)C2)CCN1 ZINC001159530109 1073221624 /nfs/dbraw/zinc/22/16/24/1073221624.db2.gz QOJUJLZGHFUYPK-BZSNNMDCSA-N 0 0 449.552 -0.264 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2Cc3ncn(C)c3[C@@H](COCCN3CCOCC3)C2)CCN1 ZINC001159530110 1073221514 /nfs/dbraw/zinc/22/15/14/1073221514.db2.gz QOJUJLZGHFUYPK-KSZLIROESA-N 0 0 449.552 -0.264 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2Cc3ncn(C)c3[C@@H](COCCN3CCOCC3)C2)CCN1 ZINC001159530111 1073221355 /nfs/dbraw/zinc/22/13/55/1073221355.db2.gz QOJUJLZGHFUYPK-KURKYZTESA-N 0 0 449.552 -0.264 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2Cc3ncn(C)c3[C@H](COCCN3CCOCC3)C2)CCN1 ZINC001159530112 1073221410 /nfs/dbraw/zinc/22/14/10/1073221410.db2.gz QOJUJLZGHFUYPK-SQNIBIBYSA-N 0 0 449.552 -0.264 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)c1nnn3c1CNCC3)C2 ZINC001159530122 1073221390 /nfs/dbraw/zinc/22/13/90/1073221390.db2.gz QVPBXBKOXKPYAE-HNNXBMFYSA-N 0 0 430.513 -0.797 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)c1nnn3c1CNCC3)C2 ZINC001159530123 1073221557 /nfs/dbraw/zinc/22/15/57/1073221557.db2.gz QVPBXBKOXKPYAE-OAHLLOKOSA-N 0 0 430.513 -0.797 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)c1c3c(nn1C)CNC3)C2 ZINC001159530379 1073221907 /nfs/dbraw/zinc/22/19/07/1073221907.db2.gz VMCXUMPUQPXLEQ-HNNXBMFYSA-N 0 0 429.525 -0.155 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)c1c3c(nn1C)CNC3)C2 ZINC001159530381 1073221950 /nfs/dbraw/zinc/22/19/50/1073221950.db2.gz VMCXUMPUQPXLEQ-OAHLLOKOSA-N 0 0 429.525 -0.155 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)c1cnc3n1CCNC3)C2 ZINC001159530727 1073221956 /nfs/dbraw/zinc/22/19/56/1073221956.db2.gz XKKYKKYMHZAHJA-INIZCTEOSA-N 0 0 429.525 -0.192 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)c1cnc3n1CCNC3)C2 ZINC001159530728 1073221890 /nfs/dbraw/zinc/22/18/90/1073221890.db2.gz XKKYKKYMHZAHJA-MRXNPFEDSA-N 0 0 429.525 -0.192 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)c1cnn3c1CNCC3)C2 ZINC001159530736 1073222051 /nfs/dbraw/zinc/22/20/51/1073222051.db2.gz XPTAPCDCZGKCHO-INIZCTEOSA-N 0 0 429.525 -0.192 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)c1cnn3c1CNCC3)C2 ZINC001159530737 1073221845 /nfs/dbraw/zinc/22/18/45/1073221845.db2.gz XPTAPCDCZGKCHO-MRXNPFEDSA-N 0 0 429.525 -0.192 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)Cn1cccc(N)c1=O)C2 ZINC001159530922 1073222067 /nfs/dbraw/zinc/22/20/67/1073222067.db2.gz ZDFOPFAPWDDBEM-INIZCTEOSA-N 0 0 430.509 -0.361 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)Cn1cccc(N)c1=O)C2 ZINC001159530923 1073222077 /nfs/dbraw/zinc/22/20/77/1073222077.db2.gz ZDFOPFAPWDDBEM-MRXNPFEDSA-N 0 0 430.509 -0.361 20 0 IBADRN O=C(CN1CCNCC1=O)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001159534239 1073222486 /nfs/dbraw/zinc/22/24/86/1073222486.db2.gz NUUZOXOGQKGBIH-LQWHRVPQSA-N 0 0 448.545 -0.955 20 0 IBADRN C[C@]1(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)COCCN1 ZINC001159540873 1073222846 /nfs/dbraw/zinc/22/28/46/1073222846.db2.gz HSKUQWDPYVNUDT-JYRKZWEQSA-N 0 0 435.546 -0.009 20 0 IBADRN C[C@@]1(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)COCCN1 ZINC001159540874 1073222801 /nfs/dbraw/zinc/22/28/01/1073222801.db2.gz HSKUQWDPYVNUDT-ZFMNYDKASA-N 0 0 435.546 -0.009 20 0 IBADRN O=C([C@H]1CCC(=O)CN1)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001159541054 1073223401 /nfs/dbraw/zinc/22/34/01/1073223401.db2.gz OORDDHQQMYTYIC-BNDYYXHWSA-N 0 0 433.530 -0.066 20 0 IBADRN O=C([C@@H]1CCC(=O)CN1)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001159541055 1073223275 /nfs/dbraw/zinc/22/32/75/1073223275.db2.gz OORDDHQQMYTYIC-JKBKZWBZSA-N 0 0 433.530 -0.066 20 0 IBADRN CCOC(=O)[C@@H](N)C(=O)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001159541193 1073223251 /nfs/dbraw/zinc/22/32/51/1073223251.db2.gz UEVWFIZNIKGCBY-YLAKUSLOSA-N 0 0 437.518 -0.497 20 0 IBADRN CCOC(=O)[C@H](N)C(=O)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001159541194 1073223324 /nfs/dbraw/zinc/22/33/24/1073223324.db2.gz UEVWFIZNIKGCBY-YZLZLFLDSA-N 0 0 437.518 -0.497 20 0 IBADRN COC(=O)[C@@H](N)CC(=O)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001159541482 1073223861 /nfs/dbraw/zinc/22/38/61/1073223861.db2.gz YIIXGWPIBJZUBI-YLAKUSLOSA-N 0 0 437.518 -0.497 20 0 IBADRN Nc1cc(Cl)c(S(N)(=O)=O)cc1S(=O)(=O)[N-]c1cnc(N2CC[NH2+]CC2)nc1 ZINC001159551805 1073223697 /nfs/dbraw/zinc/22/36/97/1073223697.db2.gz JKGPEPLQVBLIGZ-UHFFFAOYSA-N 0 0 447.930 -0.430 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)cn1 ZINC001159577977 1073223730 /nfs/dbraw/zinc/22/37/30/1073223730.db2.gz CFLWODNKZNCFOI-GWCFXTLKSA-N 0 0 444.457 -0.334 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)cn1 ZINC001159577978 1073223836 /nfs/dbraw/zinc/22/38/36/1073223836.db2.gz CFLWODNKZNCFOI-GXFFZTMASA-N 0 0 444.457 -0.334 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2C[C@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)cn1 ZINC001159577979 1073223854 /nfs/dbraw/zinc/22/38/54/1073223854.db2.gz CFLWODNKZNCFOI-MFKMUULPSA-N 0 0 444.457 -0.334 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2C[C@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)cn1 ZINC001159577980 1073223826 /nfs/dbraw/zinc/22/38/26/1073223826.db2.gz CFLWODNKZNCFOI-ZWNOBZJWSA-N 0 0 444.457 -0.334 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2C[C@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)C1 ZINC001159578434 1073223722 /nfs/dbraw/zinc/22/37/22/1073223722.db2.gz JEXHPVMLRULHHL-DMDPSCGWSA-N 0 0 433.474 -0.130 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2C[C@@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)C1 ZINC001159578436 1073223711 /nfs/dbraw/zinc/22/37/11/1073223711.db2.gz JEXHPVMLRULHHL-GMXVVIOVSA-N 0 0 433.474 -0.130 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2C[C@@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)C1 ZINC001159578438 1073223873 /nfs/dbraw/zinc/22/38/73/1073223873.db2.gz JEXHPVMLRULHHL-GVXVVHGQSA-N 0 0 433.474 -0.130 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2C[C@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)C1 ZINC001159578440 1073223812 /nfs/dbraw/zinc/22/38/12/1073223812.db2.gz JEXHPVMLRULHHL-LOWVWBTDSA-N 0 0 433.474 -0.130 20 0 IBADRN [NH3+][C@@H](Cc1cnc[nH]1)C(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001159594633 1073224327 /nfs/dbraw/zinc/22/43/27/1073224327.db2.gz OFMZBFPKCYPUTK-DFEOGRTESA-N 0 0 432.506 -0.741 20 0 IBADRN [NH3+][C@H](Cc1cnc[nH]1)C(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001159594640 1073224314 /nfs/dbraw/zinc/22/43/14/1073224314.db2.gz OFMZBFPKCYPUTK-VXNCWWDNSA-N 0 0 432.506 -0.741 20 0 IBADRN O=C([C@@H]1CNCc2ccnn21)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001159595297 1073224639 /nfs/dbraw/zinc/22/46/39/1073224639.db2.gz SBXJAZCLTFRTCX-LXZJYRNTSA-N 0 0 444.517 -0.494 20 0 IBADRN O=C([C@H]1CNCc2ccnn21)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001159595298 1073224628 /nfs/dbraw/zinc/22/46/28/1073224628.db2.gz SBXJAZCLTFRTCX-XSYGEPLQSA-N 0 0 444.517 -0.494 20 0 IBADRN CCOC(=O)c1cnc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nc1C(=O)OCC ZINC001159597301 1073224721 /nfs/dbraw/zinc/22/47/21/1073224721.db2.gz BGCQYEXJTAVZNA-ZDUSSCGKSA-N 0 0 448.436 -0.524 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1nc2scnc2c(N2CCOCC2)n1)C(=O)[O-] ZINC001159597774 1073224674 /nfs/dbraw/zinc/22/46/74/1073224674.db2.gz PAGYIZXFGWZMSF-LBPRGKRZSA-N 0 0 446.493 -0.431 20 0 IBADRN Nc1cccn(CC(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)c1=O ZINC001159598985 1073225069 /nfs/dbraw/zinc/22/50/69/1073225069.db2.gz IVAPGWWRETYXMB-SYNHAJSKSA-N 0 0 445.501 -0.590 20 0 IBADRN COC(=O)C1CCN(c2nccnc2-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])CC1 ZINC001159599217 1073225129 /nfs/dbraw/zinc/22/51/29/1073225129.db2.gz AWTFQKJRZAMLGD-HNNXBMFYSA-N 0 0 445.480 -0.488 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1ncccc1S(=O)(=O)N1CCCC1)C(=O)[O-] ZINC001159599682 1073225043 /nfs/dbraw/zinc/22/50/43/1073225043.db2.gz JKUUJINGGDFINH-AWEZNQCLSA-N 0 0 436.494 -0.488 20 0 IBADRN COC(=O)C1CCN(c2ccnc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])n2)CC1 ZINC001159599925 1073225133 /nfs/dbraw/zinc/22/51/33/1073225133.db2.gz OEGZHNKALMQJPP-HNNXBMFYSA-N 0 0 445.480 -0.488 20 0 IBADRN O=C(c1cnn2c1CNCC2)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001159600100 1073225117 /nfs/dbraw/zinc/22/51/17/1073225117.db2.gz QLDNSMKCZDVFKX-BPAFIMBUSA-N 0 0 444.517 -0.421 20 0 IBADRN Cn1nc2c(c1C(=O)N1C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C1)O3)CNC2 ZINC001159600152 1073225087 /nfs/dbraw/zinc/22/50/87/1073225087.db2.gz RVSGOMIEEHJXIE-LIVBEALHSA-N 0 0 444.517 -0.384 20 0 IBADRN CC1(C)CN[C@H](C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CO1 ZINC001159600218 1073225054 /nfs/dbraw/zinc/22/50/54/1073225054.db2.gz SVBBWKTXKUXKES-YLAKUSLOSA-N 0 0 436.534 -0.268 20 0 IBADRN CN1c2cnc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nc2N2CCC[C@@H]2C1=O ZINC001159600381 1073225350 /nfs/dbraw/zinc/22/53/50/1073225350.db2.gz VMYOLSCLKMOYJM-QWHCGFSZSA-N 0 0 428.453 -0.932 20 0 IBADRN O=C(c1cnc2n1CCNC2)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001159600696 1073225409 /nfs/dbraw/zinc/22/54/09/1073225409.db2.gz WUHQHYQOUKJVKC-SYNHAJSKSA-N 0 0 444.517 -0.421 20 0 IBADRN O=C(c1cc2n(n1)CCNC2)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001159601127 1073225444 /nfs/dbraw/zinc/22/54/44/1073225444.db2.gz ZTFMWFMBVANFQD-KPFFTGBYSA-N 0 0 444.517 -0.421 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nc1 ZINC001159601764 1073225509 /nfs/dbraw/zinc/22/55/09/1073225509.db2.gz BCPGXNRPEOLNIA-HNNXBMFYSA-N 0 0 438.510 -0.242 20 0 IBADRN CC1(C)NC(=O)N(Cc2ccc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nc2)C1=O ZINC001159602102 1073225361 /nfs/dbraw/zinc/22/53/61/1073225361.db2.gz GULPYEUFDSLKGI-AWEZNQCLSA-N 0 0 443.464 -0.442 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1nc(Cl)nc2c1S(=O)(=O)CC2)C(=O)[O-] ZINC001159602177 1073225490 /nfs/dbraw/zinc/22/54/90/1073225490.db2.gz KCZNNZJJKJRXDY-JTQLQIEISA-N 0 0 428.858 -0.894 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1ccc(S(=O)(=O)N2CCCC2)cn1)C(=O)[O-] ZINC001159602236 1073225401 /nfs/dbraw/zinc/22/54/01/1073225401.db2.gz NARIQZQVLMOIEZ-HNNXBMFYSA-N 0 0 436.494 -0.488 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1cc(S(=O)(=O)N2CCCC2)ccn1)C(=O)[O-] ZINC001159602294 1073225372 /nfs/dbraw/zinc/22/53/72/1073225372.db2.gz NVDBRTKOIUOBSW-HNNXBMFYSA-N 0 0 436.494 -0.488 20 0 IBADRN CCOC(=O)N1CCc2nnc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cc2C1 ZINC001159602325 1073225912 /nfs/dbraw/zinc/22/59/12/1073225912.db2.gz OGCCXUYEELDNSM-HNNXBMFYSA-N 0 0 431.453 -0.362 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1ccc(C(=O)NC2CCOCC2)nn1)C(=O)[O-] ZINC001159602751 1073225983 /nfs/dbraw/zinc/22/59/83/1073225983.db2.gz UPUIAYYDODARNV-HNNXBMFYSA-N 0 0 431.453 -0.968 20 0 IBADRN CCOC(=O)c1cnc([S@](C)=O)nc1-n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001159602830 1073225937 /nfs/dbraw/zinc/22/59/37/1073225937.db2.gz XZCXSGCBGDUDJS-GTMAHKLRSA-N 0 0 438.466 -0.963 20 0 IBADRN CCOC(=O)c1cnc([S@@](C)=O)nc1-n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001159602831 1073226009 /nfs/dbraw/zinc/22/60/09/1073226009.db2.gz XZCXSGCBGDUDJS-IDPPAEMMSA-N 0 0 438.466 -0.963 20 0 IBADRN COc1nc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nc(N2CCCCCC2)n1 ZINC001159602882 1073225948 /nfs/dbraw/zinc/22/59/48/1073225948.db2.gz ZSJZMRVRDHLOOE-AWEZNQCLSA-N 0 0 432.485 -0.093 20 0 IBADRN CCOC(=O)[C@H]1CCc2nnc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cc2C1 ZINC001159604968 1073225900 /nfs/dbraw/zinc/22/59/00/1073225900.db2.gz GPGMUYXGSABHOB-LRDDRELGSA-N 0 0 430.465 -0.209 20 0 IBADRN CCOC(=O)[C@@H]1CCc2nnc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cc2C1 ZINC001159604970 1073225920 /nfs/dbraw/zinc/22/59/20/1073225920.db2.gz GPGMUYXGSABHOB-WBMJQRKESA-N 0 0 430.465 -0.209 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)c1nnn3c1CNCC3)CC2 ZINC001159623004 1073227235 /nfs/dbraw/zinc/22/72/35/1073227235.db2.gz AHKSRTYWESPACK-AWEZNQCLSA-N 0 0 438.554 -0.183 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)c1nnn3c1CNCC3)CC2 ZINC001159623005 1073227289 /nfs/dbraw/zinc/22/72/89/1073227289.db2.gz AHKSRTYWESPACK-CQSZACIVSA-N 0 0 438.554 -0.183 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CN1CCNCC1=O)CC2 ZINC001159623471 1073227304 /nfs/dbraw/zinc/22/73/04/1073227304.db2.gz SOYBDWUUHSBGKE-HNNXBMFYSA-N 0 0 428.555 -0.760 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CN1CCNCC1=O)CC2 ZINC001159623472 1073227737 /nfs/dbraw/zinc/22/77/37/1073227737.db2.gz SOYBDWUUHSBGKE-OAHLLOKOSA-N 0 0 428.555 -0.760 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(Cc2ccnn2C)CC1 ZINC001159639148 1073228260 /nfs/dbraw/zinc/22/82/60/1073228260.db2.gz CFGQOZMLGSKHAE-AWEZNQCLSA-N 0 0 434.522 -0.470 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCN(Cc2ccnn2C)CC1 ZINC001159639149 1073228064 /nfs/dbraw/zinc/22/80/64/1073228064.db2.gz CFGQOZMLGSKHAE-CQSZACIVSA-N 0 0 434.522 -0.470 20 0 IBADRN Cn1nccc1CN1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001159639160 1073228097 /nfs/dbraw/zinc/22/80/97/1073228097.db2.gz CLUPMRZZRGKNCC-GOSISDBHSA-N 0 0 438.488 -0.005 20 0 IBADRN Cn1nccc1CN1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001159639161 1073228121 /nfs/dbraw/zinc/22/81/21/1073228121.db2.gz CLUPMRZZRGKNCC-SFHVURJKSA-N 0 0 438.488 -0.005 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC(C)(CO)CO)c1OC ZINC001159641769 1073228076 /nfs/dbraw/zinc/22/80/76/1073228076.db2.gz QFHJGCPUENICIC-UHFFFAOYSA-N 0 0 432.495 -0.555 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CCN1 ZINC001159643167 1073228710 /nfs/dbraw/zinc/22/87/10/1073228710.db2.gz KHCOHWUNEKSHBR-NRJQMVOHSA-N 0 0 443.504 -0.211 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CCN1 ZINC001159643168 1073228655 /nfs/dbraw/zinc/22/86/55/1073228655.db2.gz KHCOHWUNEKSHBR-SGXKBVARSA-N 0 0 443.504 -0.211 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CCN1 ZINC001159643169 1073228643 /nfs/dbraw/zinc/22/86/43/1073228643.db2.gz KHCOHWUNEKSHBR-TVTNDZMWSA-N 0 0 443.504 -0.211 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CCN1 ZINC001159643170 1073228703 /nfs/dbraw/zinc/22/87/03/1073228703.db2.gz KHCOHWUNEKSHBR-ZJBJCVSYSA-N 0 0 443.504 -0.211 20 0 IBADRN Cc1cc2c(N3CC(NC(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C3)nccn2n1 ZINC001159647425 1073228969 /nfs/dbraw/zinc/22/89/69/1073228969.db2.gz FKNJBVMWZCYUQY-UHFFFAOYSA-N 0 0 437.526 -0.823 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)[C@H](C)[C@H]1NC(=O)[C@@H]1[C@@H](C)O)C2 ZINC001159654050 1073229771 /nfs/dbraw/zinc/22/97/71/1073229771.db2.gz YEYLUJCGKOHJQI-ARJHILBLSA-N 0 0 443.504 -0.621 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)[C@H](C)[C@H]1NC(=O)[C@@H]1[C@@H](C)O)C2 ZINC001159654051 1073229922 /nfs/dbraw/zinc/22/99/22/1073229922.db2.gz YEYLUJCGKOHJQI-BKMVUHPYSA-N 0 0 443.504 -0.621 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)[C@@H](C)[C@H]1NC(=O)[C@@H]1[C@@H](C)O)C2 ZINC001159654052 1073229719 /nfs/dbraw/zinc/22/97/19/1073229719.db2.gz YEYLUJCGKOHJQI-DCUNAALXSA-N 0 0 443.504 -0.621 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)[C@@H](C)[C@H]1NC(=O)[C@@H]1[C@@H](C)O)C2 ZINC001159654053 1073229754 /nfs/dbraw/zinc/22/97/54/1073229754.db2.gz YEYLUJCGKOHJQI-WRYNNYKISA-N 0 0 443.504 -0.621 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCC3(CC1)CN(c1cncnc1)C(=O)CO3)CNC2 ZINC001159655460 1073229870 /nfs/dbraw/zinc/22/98/70/1073229870.db2.gz UMUWSPVGDNQLEC-HNNXBMFYSA-N 0 0 426.481 -0.301 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCC3(CC1)CN(c1cncnc1)C(=O)CO3)CNC2 ZINC001159655461 1073229799 /nfs/dbraw/zinc/22/97/99/1073229799.db2.gz UMUWSPVGDNQLEC-OAHLLOKOSA-N 0 0 426.481 -0.301 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCn2cc(CNC(=O)c3cccn3C)nc2C1 ZINC001159663582 1073230386 /nfs/dbraw/zinc/23/03/86/1073230386.db2.gz OOSHIKJVMRJUHQ-QIZIZJAASA-N 0 0 428.493 -0.375 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCn2cc(CNC(=O)c3cccn3C)nc2C1 ZINC001159663583 1073230390 /nfs/dbraw/zinc/23/03/90/1073230390.db2.gz OOSHIKJVMRJUHQ-XHSVMWQWSA-N 0 0 428.493 -0.375 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCn3cc(CNC(=O)c4cccn4C)nc3C1)CNC2 ZINC001159666547 1073230737 /nfs/dbraw/zinc/23/07/37/1073230737.db2.gz QRPDDZRDYXGVFG-HNNXBMFYSA-N 0 0 437.508 -0.008 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCn3cc(CNC(=O)c4cccn4C)nc3C1)CNC2 ZINC001159666548 1073230711 /nfs/dbraw/zinc/23/07/11/1073230711.db2.gz QRPDDZRDYXGVFG-OAHLLOKOSA-N 0 0 437.508 -0.008 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)c1cc(N2CCNC(=O)C2)ccn1 ZINC001159696157 1073230872 /nfs/dbraw/zinc/23/08/72/1073230872.db2.gz DLQVVIBHPCXPPC-UHFFFAOYSA-N 0 0 446.533 -0.126 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N(C)CCN(C)c1ccc(S(N)(=O)=O)cc1 ZINC001159697241 1073230845 /nfs/dbraw/zinc/23/08/45/1073230845.db2.gz OKQGMXKVZHYLDN-UHFFFAOYSA-N 0 0 429.524 -0.236 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3C[C@@H](CO)[C@H](CCO)C3)CC2)c1 ZINC001159699028 1073230792 /nfs/dbraw/zinc/23/07/92/1073230792.db2.gz VZERAMGUBZHPOU-MSOLQXFVSA-N 0 0 425.551 -0.104 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3C[C@H](CO)[C@H](CCO)C3)CC2)c1 ZINC001159699029 1073230752 /nfs/dbraw/zinc/23/07/52/1073230752.db2.gz VZERAMGUBZHPOU-QZTJIDSGSA-N 0 0 425.551 -0.104 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3C[C@H](CCO)[C@H](CO)C3)CC2)c1 ZINC001159699030 1073230841 /nfs/dbraw/zinc/23/08/41/1073230841.db2.gz VZERAMGUBZHPOU-ROUUACIJSA-N 0 0 425.551 -0.104 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3C[C@H](CO)[C@@H](CCO)C3)CC2)c1 ZINC001159699031 1073230843 /nfs/dbraw/zinc/23/08/43/1073230843.db2.gz VZERAMGUBZHPOU-ZWKOTPCHSA-N 0 0 425.551 -0.104 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@H]32)CCN1 ZINC001159709289 1073231230 /nfs/dbraw/zinc/23/12/30/1073231230.db2.gz DGHYZTNMYIUWPL-AGTSVGGPSA-N 0 0 431.493 -0.246 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CCO[C@H]3[C@H]2CC[C@H]3CNC(=O)c2ccnnc2)CCN1 ZINC001159709290 1073231195 /nfs/dbraw/zinc/23/11/95/1073231195.db2.gz DGHYZTNMYIUWPL-FZMABENVSA-N 0 0 431.493 -0.246 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CCO[C@@H]3[C@H](CNC(=O)c4ccnnc4)CC[C@@H]32)CCN1 ZINC001159709291 1073231217 /nfs/dbraw/zinc/23/12/17/1073231217.db2.gz DGHYZTNMYIUWPL-PSOLEGPJSA-N 0 0 431.493 -0.246 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@@H]32)CCN1 ZINC001159709292 1073231276 /nfs/dbraw/zinc/23/12/76/1073231276.db2.gz DGHYZTNMYIUWPL-UDCKQINGSA-N 0 0 431.493 -0.246 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCO[C@H]3[C@H]1CC[C@H]3CNC(=O)c1ccnnc1)CNC2 ZINC001159715207 1073232079 /nfs/dbraw/zinc/23/20/79/1073232079.db2.gz YCWCSGBVTPCQCA-GCVCFTOTSA-N 0 0 440.508 -0.289 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@@H]31)CNC2 ZINC001159715210 1073232113 /nfs/dbraw/zinc/23/21/13/1073232113.db2.gz YCWCSGBVTPCQCA-HAYUQVRISA-N 0 0 440.508 -0.289 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@H]31)CNC2 ZINC001159715212 1073232044 /nfs/dbraw/zinc/23/20/44/1073232044.db2.gz YCWCSGBVTPCQCA-LRCYWBKYSA-N 0 0 440.508 -0.289 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCO[C@@H]3[C@H](CNC(=O)c4ccnnc4)CC[C@@H]31)CNC2 ZINC001159715214 1073232131 /nfs/dbraw/zinc/23/21/31/1073232131.db2.gz YCWCSGBVTPCQCA-OIWLHUINSA-N 0 0 440.508 -0.289 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001159715786 1073232141 /nfs/dbraw/zinc/23/21/41/1073232141.db2.gz ZVCISBIWWTYOLY-OJVHWBGASA-N 0 0 431.493 -0.656 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCO[C@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1 ZINC001159715791 1073232036 /nfs/dbraw/zinc/23/20/36/1073232036.db2.gz ZVCISBIWWTYOLY-RGXWYUGCSA-N 0 0 431.493 -0.656 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@H]21 ZINC001159715793 1073232100 /nfs/dbraw/zinc/23/21/00/1073232100.db2.gz ZVCISBIWWTYOLY-XQVVMAFLSA-N 0 0 431.493 -0.656 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCO[C@@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001159715796 1073232125 /nfs/dbraw/zinc/23/21/25/1073232125.db2.gz ZVCISBIWWTYOLY-ZFAFIAFTSA-N 0 0 431.493 -0.656 20 0 IBADRN CCOC(=O)c1csc(N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)n1 ZINC001159716536 1073232057 /nfs/dbraw/zinc/23/20/57/1073232057.db2.gz VQPNTAZBNHJKDU-UHFFFAOYSA-N 0 0 431.540 -0.116 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccn1)Nc1nc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001159721901 1073232532 /nfs/dbraw/zinc/23/25/32/1073232532.db2.gz UCZHFZVWXMTJRN-KRWDZBQOSA-N 0 0 443.508 -0.052 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccn1)Nc1nc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001159721902 1073232541 /nfs/dbraw/zinc/23/25/41/1073232541.db2.gz UCZHFZVWXMTJRN-QGZVFWFLSA-N 0 0 443.508 -0.052 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2C[C@@H]3COC[C@]3(COCC(=O)N3CCCC3)C2)c(=O)[nH]1 ZINC001159736867 1073232553 /nfs/dbraw/zinc/23/25/53/1073232553.db2.gz GHHUVWYQMNDMDA-XCLFUZPHSA-N 0 0 445.480 -0.772 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1C[C@@H]3COC[C@]3(COCC(=O)N3CCCC3)C1)CNC2 ZINC001159737917 1073232953 /nfs/dbraw/zinc/23/29/53/1073232953.db2.gz OKLJVSCGSQDSPA-XFQAVAEZSA-N 0 0 432.525 -0.401 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1C[C@@H]3COC[C@]3(COCC(=O)N3CCCC3)C1)CNC2 ZINC001159737919 1073232913 /nfs/dbraw/zinc/23/29/13/1073232913.db2.gz OKLJVSCGSQDSPA-ZOCZFRKYSA-N 0 0 432.525 -0.401 20 0 IBADRN CC(C)C[C@H]([NH3+])C(=O)N[C@@H](C)C(=O)N1C[C@@H]2COC[C@]2(COCC(=O)N2CCCC2)C1 ZINC001159738307 1073232942 /nfs/dbraw/zinc/23/29/42/1073232942.db2.gz SBQPKORTBBQOBZ-SUWCGCSTSA-N 0 0 438.569 -0.022 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCS(=O)(=O)CCO1 ZINC001159740288 1073232964 /nfs/dbraw/zinc/23/29/64/1073232964.db2.gz FRLJWOUQRIGNGX-UHFFFAOYSA-N 0 0 434.492 -0.295 20 0 IBADRN [NH3+][C@@H](CCCNC(=O)c1cnccn1)C(=O)N1CC[C@H]2OCC[C@@]2(C(=O)NCC2CC2)C1 ZINC001159746086 1073232851 /nfs/dbraw/zinc/23/28/51/1073232851.db2.gz BDCUQOFPQXSBOM-AQOAWAETSA-N 0 0 444.536 -0.152 20 0 IBADRN C[C@H]([NH3+])C(=O)N[C@H](CCC(=O)N1C[C@@H]2CN(C(=O)c3ccccc3)CCO[C@@H]2C1)C(N)=O ZINC001159746488 1073232869 /nfs/dbraw/zinc/23/28/69/1073232869.db2.gz NROZTJFCOQLJCH-DZJNRPSUSA-N 0 0 445.520 -0.917 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C[NH3+])C(=O)N1CC[C@H]2[C@H]1CC(=O)N2c1ccsc1 ZINC001159746539 1073232788 /nfs/dbraw/zinc/23/27/88/1073232788.db2.gz PMAZPECEDIPGHH-QCEMKRCNSA-N 0 0 433.534 -0.091 20 0 IBADRN O=C(c1ccccc1)N1CCO[C@@H]2CN(C(=O)[C@@H]3CCS(=O)(=O)C34C[NH2+]C4)C[C@@H]2C1 ZINC001159748064 1073232933 /nfs/dbraw/zinc/23/29/33/1073232933.db2.gz XMAQYUVNMWYXCH-OKZBNKHCSA-N 0 0 433.530 -0.237 20 0 IBADRN O=C(c1ccccc1)N1CCO[C@@H]2CN(C(=O)[C@H]3CCS(=O)(=O)C34C[NH2+]C4)C[C@@H]2C1 ZINC001159748065 1073232892 /nfs/dbraw/zinc/23/28/92/1073232892.db2.gz XMAQYUVNMWYXCH-RCCFBDPRSA-N 0 0 433.530 -0.237 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2C[C@H]3OCC(=O)N(c4cccc(F)c4)[C@@H]3C2)c(=O)[nH]1 ZINC001159751292 1073233416 /nfs/dbraw/zinc/23/34/16/1073233416.db2.gz PAIXVTZLTOKEKR-CHWSQXEVSA-N 0 0 427.396 -0.104 20 0 IBADRN COc1cc([C@@H](C)NC(=O)CNS(=O)(=O)c2cn(C)cn2)ccc1S(C)(=O)=O ZINC001159758855 1073233944 /nfs/dbraw/zinc/23/39/44/1073233944.db2.gz GXWRNDVIUQBZQP-LLVKDONJSA-N 0 0 430.508 -0.012 20 0 IBADRN COc1cc([C@H](C)NC(=O)CNS(=O)(=O)c2cn(C)cn2)ccc1S(C)(=O)=O ZINC001159758856 1073233704 /nfs/dbraw/zinc/23/37/04/1073233704.db2.gz GXWRNDVIUQBZQP-NSHDSACASA-N 0 0 430.508 -0.012 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2C[C@@H]3COC[C@@H](CC(=O)NCC4CC4)[C@@H]3C2)c(=O)[nH]1 ZINC001159766022 1073233720 /nfs/dbraw/zinc/23/37/20/1073233720.db2.gz SXZKFXNRRVIEIG-MCIONIFRSA-N 0 0 429.481 -0.249 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1C[C@@H]3COC[C@@H](CC(=O)N4CCOCC4)[C@@H]3C1)CNC2 ZINC001159767355 1073233850 /nfs/dbraw/zinc/23/38/50/1073233850.db2.gz GGTUKGSWAJJYPB-NCOADZHNSA-N 0 0 432.525 -0.545 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1C[C@@H]3COC[C@@H](CC(=O)N4CCOCC4)[C@@H]3C1)CNC2 ZINC001159767360 1073233828 /nfs/dbraw/zinc/23/38/28/1073233828.db2.gz GGTUKGSWAJJYPB-VQHPVUNQSA-N 0 0 432.525 -0.545 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2C[C@@H]3COC[C@@H](CC(=O)N4CCOCC4)[C@@H]3C2)c(=O)[nH]1 ZINC001159768118 1073233940 /nfs/dbraw/zinc/23/39/40/1073233940.db2.gz PGQKPLINKJAXKA-MCIONIFRSA-N 0 0 445.480 -0.916 20 0 IBADRN NC(=O)c1ccc(C[C@H]([NH3+])C(=O)N2C[C@@H]3COC[C@@H](CC(=O)N4CCOCC4)[C@@H]3C2)cc1 ZINC001159768496 1073233743 /nfs/dbraw/zinc/23/37/43/1073233743.db2.gz VFKXTARETRJJFH-ZRNYENFQSA-N 0 0 444.532 -0.375 20 0 IBADRN COC(=O)[C@@H](N)CCC(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001159790512 1073234414 /nfs/dbraw/zinc/23/44/14/1073234414.db2.gz GCGJHDPTWFVIPT-AWEZNQCLSA-N 0 0 431.449 -0.221 20 0 IBADRN [NH3+][C@@H](Cc1cnc[nH]1)C(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001159790568 1073234459 /nfs/dbraw/zinc/23/44/59/1073234459.db2.gz HKNPYKXJAOUAQP-HNNXBMFYSA-N 0 0 425.449 -0.208 20 0 IBADRN [NH3+][C@H](Cc1cnc[nH]1)C(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001159790569 1073234509 /nfs/dbraw/zinc/23/45/09/1073234509.db2.gz HKNPYKXJAOUAQP-OAHLLOKOSA-N 0 0 425.449 -0.208 20 0 IBADRN Nc1cccn(CC(=O)N2CCCn3c(=O)cc(CNC(=O)c4ccco4)nc3C2)c1=O ZINC001159790609 1073234874 /nfs/dbraw/zinc/23/48/74/1073234874.db2.gz IMUIVHPTMZWEER-UHFFFAOYSA-N 0 0 438.444 -0.057 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C(=O)c1nnn3c1CNCC3)CCC2)c1ccco1 ZINC001159791195 1073235310 /nfs/dbraw/zinc/23/53/10/1073235310.db2.gz VKXOLPNPWOSUQJ-UHFFFAOYSA-N 0 0 438.448 -0.493 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CC[C@H]2OCC[C@@]2(C(=O)NCc2ccccn2)C1 ZINC001159810968 1073235813 /nfs/dbraw/zinc/23/58/13/1073235813.db2.gz SDYZXVUEENCIOP-JUGPICRYSA-N 0 0 430.505 -0.163 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CC[C@H]2OCC[C@@]2(C(=O)NCc2ccccn2)C1 ZINC001159810969 1073235822 /nfs/dbraw/zinc/23/58/22/1073235822.db2.gz SDYZXVUEENCIOP-MHMWCALSSA-N 0 0 430.505 -0.163 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2cnccn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001159820132 1073235880 /nfs/dbraw/zinc/23/58/80/1073235880.db2.gz FGZWSNAGNYBAFR-JVNHZCFISA-N 0 0 425.394 -0.028 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2ccncn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001159820416 1073236258 /nfs/dbraw/zinc/23/62/58/1073236258.db2.gz KAMBJXLYFGDUSB-JVNHZCFISA-N 0 0 425.394 -0.028 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2cccnn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001159820626 1073236331 /nfs/dbraw/zinc/23/63/31/1073236331.db2.gz MFEPORJWCAQVPL-JVNHZCFISA-N 0 0 425.394 -0.028 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2ncccn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001159821304 1073236343 /nfs/dbraw/zinc/23/63/43/1073236343.db2.gz YPBICNQVSXHDQO-WRQOLXDDSA-N 0 0 425.394 -0.028 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2cc(N)ncn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001159822990 1073236229 /nfs/dbraw/zinc/23/62/29/1073236229.db2.gz BAYFYZWRULFONS-WYGQYTNYSA-N 0 0 440.409 -0.446 20 0 IBADRN O=C(CN1CCNCC1=O)N1CC[C@H]2[C@H](C[C@@H](Cn3cncn3)N2c2nccs2)C1 ZINC001159824080 1073236348 /nfs/dbraw/zinc/23/63/48/1073236348.db2.gz KAABWAJOAKKHPT-PMPSAXMXSA-N 0 0 430.538 -0.338 20 0 IBADRN COC(=O)CNC(=O)CNc1nc2ccc(OC)cc2nc1O[C@H]1CN[C@H](C(=O)OC)C1 ZINC001159825031 1073236271 /nfs/dbraw/zinc/23/62/71/1073236271.db2.gz RPSMDWUJKPOJPD-DOMZBBRYSA-N 0 0 447.448 -0.378 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001159850239 1073236742 /nfs/dbraw/zinc/23/67/42/1073236742.db2.gz KLCWYESVOGFBLO-HNAYVOBHSA-N 0 0 442.538 -0.999 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCCn2cc(Br)ccc2=O)cn1C ZINC001159851722 1073236718 /nfs/dbraw/zinc/23/67/18/1073236718.db2.gz AYNDYSITILTCQG-UHFFFAOYSA-N 0 0 432.300 -0.253 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)[C@H](CO)C1 ZINC001159866786 1073237214 /nfs/dbraw/zinc/23/72/14/1073237214.db2.gz RSIZSHIOZICLJW-ILXRZTDVSA-N 0 0 445.538 -0.538 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)[C@H](CO)C1 ZINC001159866787 1073237257 /nfs/dbraw/zinc/23/72/57/1073237257.db2.gz RSIZSHIOZICLJW-KFWWJZLASA-N 0 0 445.538 -0.538 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)[C@@H](CO)C1 ZINC001159866788 1073237284 /nfs/dbraw/zinc/23/72/84/1073237284.db2.gz RSIZSHIOZICLJW-QLFBSQMISA-N 0 0 445.538 -0.538 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)[C@@H](CO)C1 ZINC001159866789 1073237206 /nfs/dbraw/zinc/23/72/06/1073237206.db2.gz RSIZSHIOZICLJW-RBSFLKMASA-N 0 0 445.538 -0.538 20 0 IBADRN CN1CCN(C(=O)CNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001159915108 1073238143 /nfs/dbraw/zinc/23/81/43/1073238143.db2.gz AAXKLIZIXCIMRS-KRWDZBQOSA-N 0 0 428.493 -0.574 20 0 IBADRN CN1CCN(C(=O)CNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001159915119 1073237997 /nfs/dbraw/zinc/23/79/97/1073237997.db2.gz AAXKLIZIXCIMRS-QGZVFWFLSA-N 0 0 428.493 -0.574 20 0 IBADRN CN1CCN(C(=O)CNC(=O)CNC(=O)c2ccccc2I)CC1 ZINC001159915643 1073238101 /nfs/dbraw/zinc/23/81/01/1073238101.db2.gz ITDZUJGJRRHUPW-UHFFFAOYSA-N 0 0 444.273 -0.089 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NCC(=O)N3CCN(C)CC3)CC2)cc1 ZINC001159916562 1073237964 /nfs/dbraw/zinc/23/79/64/1073237964.db2.gz RNQHAFZXKCRCMR-UHFFFAOYSA-N 0 0 438.550 -0.014 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)NCC(=O)N3CCN(C)CC3)CC2=O)cc(OC)c1OC ZINC001159917293 1073238157 /nfs/dbraw/zinc/23/81/57/1073238157.db2.gz YMOQMFIRINVURJ-AWEZNQCLSA-N 0 0 434.493 -0.045 20 0 IBADRN COc1cc(N2C[C@H](C(=O)NCC(=O)N3CCN(C)CC3)CC2=O)cc(OC)c1OC ZINC001159917295 1073238131 /nfs/dbraw/zinc/23/81/31/1073238131.db2.gz YMOQMFIRINVURJ-CQSZACIVSA-N 0 0 434.493 -0.045 20 0 IBADRN CN1CCN(C(=O)CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)CC1 ZINC001159917313 1073238186 /nfs/dbraw/zinc/23/81/86/1073238186.db2.gz ZBEZKBAEQJAVKV-UHFFFAOYSA-N 0 0 444.941 -0.135 20 0 IBADRN CN1CCN(C(=O)CNC(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001159917319 1073238075 /nfs/dbraw/zinc/23/80/75/1073238075.db2.gz ZHHSLQVSIGXCFW-AWEZNQCLSA-N 0 0 432.543 -0.699 20 0 IBADRN CN1CCN(C(=O)CNC(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001159917320 1073238025 /nfs/dbraw/zinc/23/80/25/1073238025.db2.gz ZHHSLQVSIGXCFW-CQSZACIVSA-N 0 0 432.543 -0.699 20 0 IBADRN Cc1cn(CC(=O)NCCNC(F)(F)c2ccc(C(N)=O)c(Cl)n2)c(=O)[nH]c1=O ZINC001159936538 1073238943 /nfs/dbraw/zinc/23/89/43/1073238943.db2.gz HOTKNMRARZYPEK-UHFFFAOYSA-N 0 0 430.799 -0.140 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc(N3CCCC3)nc(N3CCCC3)n2)c(=O)[nH]c1=O ZINC001159940892 1073239051 /nfs/dbraw/zinc/23/90/51/1073239051.db2.gz AUFOFAKDIVHJSL-UHFFFAOYSA-N 0 0 443.512 -0.707 20 0 IBADRN Cc1cn(CC(=O)NCCNC(F)(F)c2cnc(Cl)cc2C(N)=O)c(=O)[nH]c1=O ZINC001159940907 1073238898 /nfs/dbraw/zinc/23/88/98/1073238898.db2.gz BKLIPPVRWXJWLR-UHFFFAOYSA-N 0 0 430.799 -0.140 20 0 IBADRN COC(=O)C1CCN(c2ccnc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)n2)CC1 ZINC001159940916 1073238995 /nfs/dbraw/zinc/23/89/95/1073238995.db2.gz BVNGLAYTXYXMJI-UHFFFAOYSA-N 0 0 445.480 -0.335 20 0 IBADRN CCOC(=O)c1nc2ccn(C)c2c(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)n1 ZINC001159940956 1073239455 /nfs/dbraw/zinc/23/94/55/1073239455.db2.gz DTACCPCAUOFXBD-UHFFFAOYSA-N 0 0 429.437 -0.634 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)nc1 ZINC001159941084 1073239494 /nfs/dbraw/zinc/23/94/94/1073239494.db2.gz HWBGZBVCVVQTGA-UHFFFAOYSA-N 0 0 438.510 -0.089 20 0 IBADRN CCOC(=O)c1cnc([S@](C)=O)nc1NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001159941196 1073239482 /nfs/dbraw/zinc/23/94/82/1073239482.db2.gz NEAZUVIAOVYRID-PMERELPUSA-N 0 0 438.466 -0.810 20 0 IBADRN CCOC(=O)c1cnc([S@@](C)=O)nc1NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001159941197 1073239500 /nfs/dbraw/zinc/23/95/00/1073239500.db2.gz NEAZUVIAOVYRID-SSEXGKCCSA-N 0 0 438.466 -0.810 20 0 IBADRN COc1nc(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)nc(N2CCCCCC2)n1 ZINC001159941209 1073239384 /nfs/dbraw/zinc/23/93/84/1073239384.db2.gz NOHIILRNQUTCPM-UHFFFAOYSA-N 0 0 432.485 -0.518 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ncc3c(n2)N2CCC[C@@H]2C(=O)N3C)c(=O)[nH]c1=O ZINC001159941407 1073239436 /nfs/dbraw/zinc/23/94/36/1073239436.db2.gz SOQHOVMLPPGTKX-GFCCVEGCSA-N 0 0 428.453 -0.779 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc3scnc3c(N3CCOCC3)n2)c(=O)[nH]c1=O ZINC001159941557 1073239361 /nfs/dbraw/zinc/23/93/61/1073239361.db2.gz GHTIAFSAESKVGQ-UHFFFAOYSA-N 0 0 446.493 -0.278 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ncccc2S(=O)(=O)N2CCCC2)c(=O)[nH]c1=O ZINC001159941609 1073239463 /nfs/dbraw/zinc/23/94/63/1073239463.db2.gz WBKGMOKLKFLMCR-UHFFFAOYSA-N 0 0 436.494 -0.335 20 0 IBADRN COC(=O)C1CCN(c2ccc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)nn2)CC1 ZINC001159941637 1073239519 /nfs/dbraw/zinc/23/95/19/1073239519.db2.gz WLKWLEGVKYCFOX-UHFFFAOYSA-N 0 0 445.480 -0.335 20 0 IBADRN COC(=O)C1CCN(c2nccnc2NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)CC1 ZINC001159941732 1073239476 /nfs/dbraw/zinc/23/94/76/1073239476.db2.gz XTBLWDXPPNWHHK-UHFFFAOYSA-N 0 0 445.480 -0.335 20 0 IBADRN CCOC(=O)N1CCc2nnc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)cc2C1 ZINC001159941807 1073239511 /nfs/dbraw/zinc/23/95/11/1073239511.db2.gz ZPYMKLKCAJOJIA-UHFFFAOYSA-N 0 0 431.453 -0.210 20 0 IBADRN CCOC(=O)c1cnc(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)nc1C(=O)OCC ZINC001159942048 1073239421 /nfs/dbraw/zinc/23/94/21/1073239421.db2.gz LWXKUCDJOABEDD-UHFFFAOYSA-N 0 0 448.436 -0.371 20 0 IBADRN Cc1cn(CC(=O)NCCNc2cc(S(=O)(=O)N3CCCC3)ccn2)c(=O)[nH]c1=O ZINC001159942934 1073239523 /nfs/dbraw/zinc/23/95/23/1073239523.db2.gz BIWXBGIUMARTGG-UHFFFAOYSA-N 0 0 436.494 -0.335 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc(Cl)nc3c2S(=O)(=O)CC3)c(=O)[nH]c1=O ZINC001159942952 1073239472 /nfs/dbraw/zinc/23/94/72/1073239472.db2.gz CBEDBUUFJCYJJA-UHFFFAOYSA-N 0 0 428.858 -0.741 20 0 IBADRN CCOC(=O)[C@@H]1CCc2nnc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)cc2C1 ZINC001159943106 1073239410 /nfs/dbraw/zinc/23/94/10/1073239410.db2.gz JFBLORHBTHLQMR-CYBMUJFWSA-N 0 0 430.465 -0.056 20 0 IBADRN CCOC(=O)[C@H]1CCc2nnc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)cc2C1 ZINC001159943107 1073239490 /nfs/dbraw/zinc/23/94/90/1073239490.db2.gz JFBLORHBTHLQMR-ZDUSSCGKSA-N 0 0 430.465 -0.056 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ccc(CN3C(=O)NC(C)(C)C3=O)cn2)c(=O)[nH]c1=O ZINC001159943210 1073239505 /nfs/dbraw/zinc/23/95/05/1073239505.db2.gz MXKAWSCQFXUHRY-UHFFFAOYSA-N 0 0 443.464 -0.289 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ccc(C(=O)NC3CCOCC3)nn2)c(=O)[nH]c1=O ZINC001159943221 1073239340 /nfs/dbraw/zinc/23/93/40/1073239340.db2.gz NHYSWAWPDNGXMH-UHFFFAOYSA-N 0 0 431.453 -0.816 20 0 IBADRN Cc1cn(CC(=O)NCCNC(F)(F)c2nc(Cl)nc3[nH]cnc32)c(=O)[nH]c1=O ZINC001159943223 1073239913 /nfs/dbraw/zinc/23/99/13/1073239913.db2.gz NOZPUDQHMALLGF-UHFFFAOYSA-N 0 0 428.787 -0.016 20 0 IBADRN Cc1cn(CC(=O)NCCNC(F)(F)c2nc3[nH]cnc3c(Cl)n2)c(=O)[nH]c1=O ZINC001159943315 1073239960 /nfs/dbraw/zinc/23/99/60/1073239960.db2.gz RFDJDIOOEFDPBR-UHFFFAOYSA-N 0 0 428.787 -0.016 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2CC[C@]3(C[C@@H](OCC(=O)N4CCCC4)CO3)C2)c(=O)[nH]1 ZINC001159988844 1073240478 /nfs/dbraw/zinc/24/04/78/1073240478.db2.gz YFYRFEMKLRWSPX-XCLFUZPHSA-N 0 0 445.480 -0.487 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOC1 ZINC001159999631 1073240421 /nfs/dbraw/zinc/24/04/21/1073240421.db2.gz IDUAHDDOIPPLFX-GOSISDBHSA-N 0 0 428.463 -0.178 20 0 IBADRN COC(=O)[C@]1(CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CCOC1 ZINC001159999632 1073240311 /nfs/dbraw/zinc/24/03/11/1073240311.db2.gz IDUAHDDOIPPLFX-SFHVURJKSA-N 0 0 428.463 -0.178 20 0 IBADRN O=C(N[C@H]1CN(C(=O)[C@H]2CCS(=O)(=O)C23C[NH2+]C3)[C@H]2CCCO[C@@H]12)c1cscn1 ZINC001160016194 1073240795 /nfs/dbraw/zinc/24/07/95/1073240795.db2.gz ILPXRRMYIPHPTD-DHMWGJHJSA-N 0 0 440.547 -0.592 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2C[C@H](NC(=O)c3cscn3)[C@@H]3OCCC[C@@H]32)c(=O)[nH]1 ZINC001160017298 1073241310 /nfs/dbraw/zinc/24/13/10/1073241310.db2.gz QYWPHDVBTGMASQ-CHIMOYNISA-N 0 0 444.477 -0.241 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCC2(CC1)CN(Cc1cccnc1)C(=O)CO2 ZINC001160018457 1073241215 /nfs/dbraw/zinc/24/12/15/1073241215.db2.gz QCJMGGMSZBXNKI-OHDICMOHSA-N 0 0 430.505 -0.067 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCC2(CC1)CN(Cc1cccnc1)C(=O)CO2 ZINC001160018458 1073241391 /nfs/dbraw/zinc/24/13/91/1073241391.db2.gz QCJMGGMSZBXNKI-OSGQAZFXSA-N 0 0 430.505 -0.067 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CC[C@H]2CO[C@H](CNC(=O)c3ccncc3)[C@H]2C1 ZINC001160031858 1073241784 /nfs/dbraw/zinc/24/17/84/1073241784.db2.gz KJILHRIGXJLJSW-GOKJJUPASA-N 0 0 430.505 -0.194 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CC[C@H]2CO[C@H](CNC(=O)c3ccncc3)[C@H]2C1 ZINC001160031859 1073241834 /nfs/dbraw/zinc/24/18/34/1073241834.db2.gz KJILHRIGXJLJSW-NPXFOQPSSA-N 0 0 430.505 -0.194 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1 ZINC001160058564 1073242213 /nfs/dbraw/zinc/24/22/13/1073242213.db2.gz BCFDNABQPQIMKZ-KBPBESRZSA-N 0 0 434.898 -0.087 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1 ZINC001160058565 1073242335 /nfs/dbraw/zinc/24/23/35/1073242335.db2.gz BCFDNABQPQIMKZ-KGLIPLIRSA-N 0 0 434.898 -0.087 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCC[C@H](O)C(=O)OC ZINC001160058572 1073242187 /nfs/dbraw/zinc/24/21/87/1073242187.db2.gz BNNHXKDPDAULPS-INIZCTEOSA-N 0 0 443.522 -0.325 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001160059486 1073242265 /nfs/dbraw/zinc/24/22/65/1073242265.db2.gz MFYMUBXZCCRVQG-INIZCTEOSA-N 0 0 441.506 -0.660 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C1)CNC2 ZINC001160078490 1073242887 /nfs/dbraw/zinc/24/28/87/1073242887.db2.gz UCXAIDDFXHIRLK-LISAXSMJSA-N 0 0 442.524 -0.488 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C1)CNC2 ZINC001160078491 1073242843 /nfs/dbraw/zinc/24/28/43/1073242843.db2.gz UCXAIDDFXHIRLK-NBOOPKSLSA-N 0 0 442.524 -0.488 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CC[C@H]2[C@H](CO[C@@H]2CNC(=O)c2cnn(C)c2)C1 ZINC001160085176 1073243203 /nfs/dbraw/zinc/24/32/03/1073243203.db2.gz IRGUUNVRMKKGFD-LXQTZRAESA-N 0 0 433.509 -0.855 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CC[C@H]2[C@H](CO[C@@H]2CNC(=O)c2cnn(C)c2)C1 ZINC001160085177 1073243277 /nfs/dbraw/zinc/24/32/77/1073243277.db2.gz IRGUUNVRMKKGFD-VFCPESHPSA-N 0 0 433.509 -0.855 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(C(=O)Cn2cnc3c2nc(N)[nH]c3=O)[C@@H]2COC[C@H]12 ZINC001160085478 1073243243 /nfs/dbraw/zinc/24/32/43/1073243243.db2.gz LDVPSVMKGRCMHK-NQBHXWOUSA-N 0 0 426.437 -0.892 20 0 IBADRN CCOC(=O)c1cnc(SC)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160086059 1073243210 /nfs/dbraw/zinc/24/32/10/1073243210.db2.gz AGFXYKWQCRELDR-XIDUGBJDSA-N 0 0 438.466 -0.912 20 0 IBADRN NC(=O)c1ccc(C(F)(F)Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc1Cl ZINC001160086060 1073243266 /nfs/dbraw/zinc/24/32/66/1073243266.db2.gz AGYPEZCWPMPMTB-FRJWGUMJSA-N 0 0 446.798 -0.870 20 0 IBADRN COC(=O)c1ccc2cnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2c1 ZINC001160086067 1073243159 /nfs/dbraw/zinc/24/31/59/1073243159.db2.gz AMPLPVLSLLKTNX-DTZQCDIJSA-N 0 0 428.405 -0.871 20 0 IBADRN CC(C)(C)OC(=O)Nc1nccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001160086070 1073243194 /nfs/dbraw/zinc/24/31/94/1073243194.db2.gz ANXLIQDUDNXQDJ-ICGCDAGXSA-N 0 0 435.441 -0.298 20 0 IBADRN CCOC(=O)c1nc2ccccc2c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001160086074 1073243341 /nfs/dbraw/zinc/24/33/41/1073243341.db2.gz BBBAFBNKYVGBES-SCFUHWHPSA-N 0 0 442.432 -0.481 20 0 IBADRN COC(=O)c1ccc2nc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ncc2c1 ZINC001160086171 1073243834 /nfs/dbraw/zinc/24/38/34/1073243834.db2.gz CSTJZPJRFGHFJE-DTZQCDIJSA-N 0 0 428.405 -0.871 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)nc4[nH]cc(Cl)c43)nc2=O)O[C@H](CO)[C@H]1O ZINC001160086284 1073243798 /nfs/dbraw/zinc/24/37/98/1073243798.db2.gz DMNGMHAFPIGGEV-ZRFIDHNTSA-N 0 0 428.236 -0.023 20 0 IBADRN COc1cc2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2cc1OC ZINC001160086315 1073243918 /nfs/dbraw/zinc/24/39/18/1073243918.db2.gz DPPGZLHVLHOWAF-GFOCRRMGSA-N 0 0 430.421 -0.641 20 0 IBADRN CCOC(=O)c1cc(Cl)nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1 ZINC001160086341 1073243818 /nfs/dbraw/zinc/24/38/18/1073243818.db2.gz FLUYISWEIFNYLZ-SEWBAHNZSA-N 0 0 425.829 -0.376 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ncnc4cc5c(cc43)OCCO5)nc2=O)O[C@H](CO)[C@H]1O ZINC001160086382 1073243779 /nfs/dbraw/zinc/24/37/79/1073243779.db2.gz HKEMDFXRWQKOPC-GFOCRRMGSA-N 0 0 428.405 -0.887 20 0 IBADRN COC(=O)c1ccc2c(c1)ncnc2Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160086557 1073243928 /nfs/dbraw/zinc/24/39/28/1073243928.db2.gz JIFDATJRCZCTTQ-DNNBLBMLSA-N 0 0 428.405 -0.871 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)CCN1 ZINC001160086843 1073244179 /nfs/dbraw/zinc/24/41/79/1073244179.db2.gz WMZAUEFRHBZVFY-NBZXXOOGSA-N 0 0 433.509 -0.445 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)CCN1 ZINC001160086844 1073244212 /nfs/dbraw/zinc/24/42/12/1073244212.db2.gz WMZAUEFRHBZVFY-OGCZYDBJSA-N 0 0 433.509 -0.445 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)CCN1 ZINC001160086845 1073244191 /nfs/dbraw/zinc/24/41/91/1073244191.db2.gz WMZAUEFRHBZVFY-PEJOBZMASA-N 0 0 433.509 -0.445 20 0 IBADRN COC(=O)[C@H]1C[C@H](C(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)CCN1 ZINC001160086846 1073244229 /nfs/dbraw/zinc/24/42/29/1073244229.db2.gz WMZAUEFRHBZVFY-PLLDYVMSSA-N 0 0 433.509 -0.445 20 0 IBADRN CCOC(=O)c1cc(Cl)nnc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160086857 1073243862 /nfs/dbraw/zinc/24/38/62/1073243862.db2.gz LDPMELAYEZMOKA-LHNIVKCTSA-N 0 0 426.817 -0.981 20 0 IBADRN CC(C)(C)OC(=O)Nc1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ncn1 ZINC001160086960 1073244200 /nfs/dbraw/zinc/24/42/00/1073244200.db2.gz NZOKDFQUYFJXEX-SEWBAHNZSA-N 0 0 435.441 -0.464 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cncc(OCc4ccccc4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160086979 1073244239 /nfs/dbraw/zinc/24/42/39/1073244239.db2.gz OPMFFABTHWQDEP-BYNBJNPRSA-N 0 0 426.433 -0.232 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)nc4c3CSCC4)nc2=O)O[C@H](CO)[C@H]1O ZINC001160087002 1073244361 /nfs/dbraw/zinc/24/43/61/1073244361.db2.gz OXVGEIFGMROXBL-XIDUGBJDSA-N 0 0 426.886 -0.368 20 0 IBADRN CCOC(=O)c1c(Cl)cc(C)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160087029 1073244322 /nfs/dbraw/zinc/24/43/22/1073244322.db2.gz PIPSKZYRMIRCDC-DSPGLSBSSA-N 0 0 439.856 -0.067 20 0 IBADRN CCOC(=O)c1cnc(Cl)cc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160087225 1073244270 /nfs/dbraw/zinc/24/42/70/1073244270.db2.gz RTGXUZUWOVXKLS-JUDXGUMMSA-N 0 0 425.829 -0.376 20 0 IBADRN CCOC(=O)c1c(C)cc(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160087243 1073244399 /nfs/dbraw/zinc/24/43/99/1073244399.db2.gz RXDLCFCQQFWVHD-APGPQJPKSA-N 0 0 439.856 -0.067 20 0 IBADRN CCOC(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ncc1Cl ZINC001160087339 1073244350 /nfs/dbraw/zinc/24/43/50/1073244350.db2.gz SZRIQZBNQBVRJM-JUDXGUMMSA-N 0 0 425.829 -0.376 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ncccc3C(=O)N3CCCCC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160087376 1073244256 /nfs/dbraw/zinc/24/42/56/1073244256.db2.gz UVOOGEAMXTXJRP-NVQRDWNXSA-N 0 0 430.465 -0.580 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ncccc3C(=O)NC3CCCCC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160087544 1073244309 /nfs/dbraw/zinc/24/43/09/1073244309.db2.gz VVJWWPOXKSFZQN-WVSUBDOOSA-N 0 0 444.492 -0.144 20 0 IBADRN CCOC(=O)c1c(C)nc(Cl)cc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160087548 1073244734 /nfs/dbraw/zinc/24/47/34/1073244734.db2.gz WBCGJNJLGPYCPE-HMTTWLPMSA-N 0 0 439.856 -0.067 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nnc(Cl)cc3-c3ccncc3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160087583 1073244706 /nfs/dbraw/zinc/24/47/06/1073244706.db2.gz XPFXFCIKISTMRU-BNGXUDDSSA-N 0 0 431.840 -0.096 20 0 IBADRN COC(=O)c1cccc2nc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ncc21 ZINC001160087621 1073244816 /nfs/dbraw/zinc/24/48/16/1073244816.db2.gz ZEVNGFVUMWPLCD-DTZQCDIJSA-N 0 0 428.405 -0.871 20 0 IBADRN CCOC(=O)c1cnc(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160087632 1073244720 /nfs/dbraw/zinc/24/47/20/1073244720.db2.gz ZOVGCRQKSRJNQQ-UORFTKCHSA-N 0 0 426.817 -0.981 20 0 IBADRN COC(=O)c1ccc2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2c1 ZINC001160087640 1073244742 /nfs/dbraw/zinc/24/47/42/1073244742.db2.gz ZUAIKPXSNAHBLK-DNNBLBMLSA-N 0 0 428.405 -0.871 20 0 IBADRN COC(=O)c1ccc2nc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ccc2c1 ZINC001160087759 1073244612 /nfs/dbraw/zinc/24/46/12/1073244612.db2.gz ARZGUWDUEONQDT-BNEJOLLZSA-N 0 0 427.417 -0.100 20 0 IBADRN COC(=O)c1ccc2c(ccnc2Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1 ZINC001160087802 1073244758 /nfs/dbraw/zinc/24/47/58/1073244758.db2.gz BVRPTCPLVLOVOI-GFOCRRMGSA-N 0 0 427.417 -0.266 20 0 IBADRN COc1cc2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2c(OC)c1 ZINC001160087821 1073244775 /nfs/dbraw/zinc/24/47/75/1073244775.db2.gz CZBBKNCMUHEFJP-HALQFCHDSA-N 0 0 430.421 -0.641 20 0 IBADRN COc1cc2nc(C)nc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2cc1OC ZINC001160087825 1073244605 /nfs/dbraw/zinc/24/46/05/1073244605.db2.gz DEBWJACQSVKSFZ-KLICCBINSA-N 0 0 444.448 -0.332 20 0 IBADRN CCOC(=O)c1cnc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c(Cl)c1 ZINC001160087828 1073244765 /nfs/dbraw/zinc/24/47/65/1073244765.db2.gz DFKBFXOHACWJOB-BPGGGUHBSA-N 0 0 425.829 -0.376 20 0 IBADRN COc1cc2ncc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2cc1OC ZINC001160087831 1073244691 /nfs/dbraw/zinc/24/46/91/1073244691.db2.gz DJAILFWDIWHTGR-BNEJOLLZSA-N 0 0 430.421 -0.641 20 0 IBADRN CCOC(=O)c1cc(Cl)nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1C ZINC001160087846 1073244831 /nfs/dbraw/zinc/24/48/31/1073244831.db2.gz DXEAXHXZSSKSOR-DSPGLSBSSA-N 0 0 439.856 -0.067 20 0 IBADRN N[C@H]1[C@H](n2ccc(NC(F)(F)COc3ccnc(Cl)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160087855 1073244666 /nfs/dbraw/zinc/24/46/66/1073244666.db2.gz FCPXIYXVRHBBAH-ICGCDAGXSA-N 0 0 433.799 -0.047 20 0 IBADRN N[C@H]1[C@H](n2ccc(NC(F)(F)COc3cccc(Cl)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160087873 1073244804 /nfs/dbraw/zinc/24/48/04/1073244804.db2.gz FTICGRLAMLSPAB-HKSFMPNISA-N 0 0 433.799 -0.047 20 0 IBADRN COc1cc2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2cc1OC ZINC001160087898 1073244627 /nfs/dbraw/zinc/24/46/27/1073244627.db2.gz HBSVAYWDBROZJN-UTRMSSBJSA-N 0 0 429.433 -0.036 20 0 IBADRN CCOC(=O)c1cnc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1OCC ZINC001160087932 1073245241 /nfs/dbraw/zinc/24/52/41/1073245241.db2.gz IIYICKYRLKZMCZ-BASLNEPJSA-N 0 0 435.437 -0.631 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nccc(OCc4ccccc4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160087936 1073245290 /nfs/dbraw/zinc/24/52/90/1073245290.db2.gz IPSDMDXAOSHUEV-BNEJOLLZSA-N 0 0 426.433 -0.232 20 0 IBADRN Cc1nc2c(nc(Cl)nc2Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)s1 ZINC001160087937 1073245340 /nfs/dbraw/zinc/24/53/40/1073245340.db2.gz IQHODFYKUAMOMY-CLOKMRPHSA-N 0 0 425.858 -0.239 20 0 IBADRN COC(=O)c1cc2ccncc2nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160088001 1073245164 /nfs/dbraw/zinc/24/51/64/1073245164.db2.gz LQSCASVWDTXMBV-DNNBLBMLSA-N 0 0 428.405 -0.871 20 0 IBADRN CCOC(=O)c1cc2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2[nH]1 ZINC001160088003 1073245349 /nfs/dbraw/zinc/24/53/49/1073245349.db2.gz LYZZBMCATXIPTM-BNGXUDDSSA-N 0 0 430.421 -0.382 20 0 IBADRN COC(=O)c1cc2ccccc2nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160088035 1073245172 /nfs/dbraw/zinc/24/51/72/1073245172.db2.gz NUTOCXWPUXVRPD-GFOCRRMGSA-N 0 0 427.417 -0.266 20 0 IBADRN CCOC(=O)c1ccc2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2c1 ZINC001160088040 1073245320 /nfs/dbraw/zinc/24/53/20/1073245320.db2.gz OBKNXSCXFUJVDA-GFOCRRMGSA-N 0 0 442.432 -0.481 20 0 IBADRN COc1nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc(-c2ccccc2)n1 ZINC001160088056 1073245331 /nfs/dbraw/zinc/24/53/31/1073245331.db2.gz OWGJFLVOJHTJJY-XKVFNRALSA-N 0 0 427.421 -0.740 20 0 IBADRN COC(=O)c1cc2c(OC)[nH]c(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cc-2n1 ZINC001160088058 1073245270 /nfs/dbraw/zinc/24/52/70/1073245270.db2.gz OWLAWZAPFDQBBH-BNGXUDDSSA-N 0 0 446.420 -0.930 20 0 IBADRN CCOC(=O)c1cc2cccnc2nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160088165 1073245229 /nfs/dbraw/zinc/24/52/29/1073245229.db2.gz PGVXNMBQQRGWNI-SCFUHWHPSA-N 0 0 442.432 -0.481 20 0 IBADRN CCOC(=O)c1cnc(Cl)c(C)c1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160088178 1073245156 /nfs/dbraw/zinc/24/51/56/1073245156.db2.gz QDWJRHJDABHCCT-MWKWWEEBSA-N 0 0 439.856 -0.067 20 0 IBADRN CCOC(=O)c1cc2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2[nH]1 ZINC001160088179 1073245139 /nfs/dbraw/zinc/24/51/39/1073245139.db2.gz QEBYDPVSYCPBJD-WBMRYOJMSA-N 0 0 430.421 -0.548 20 0 IBADRN CCOC(=O)c1cnc(C2CC2)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160088224 1073245216 /nfs/dbraw/zinc/24/52/16/1073245216.db2.gz SEAISUZEFABWKL-LSCFUAHRSA-N 0 0 432.437 -0.757 20 0 IBADRN COc1cccc(Oc2cncc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)n2)c1 ZINC001160088369 1073245300 /nfs/dbraw/zinc/24/53/00/1073245300.db2.gz VESZMMFSRQNMHN-BYNBJNPRSA-N 0 0 442.432 -0.010 20 0 IBADRN COC(=O)c1ccc2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2c1 ZINC001160088371 1073245201 /nfs/dbraw/zinc/24/52/01/1073245201.db2.gz VFUYLALUPSGDNK-GFOCRRMGSA-N 0 0 427.417 -0.266 20 0 IBADRN CN(C)c1nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc(-c2ccccc2)n1 ZINC001160088380 1073245185 /nfs/dbraw/zinc/24/51/85/1073245185.db2.gz VMMBUOAMGJEWMO-DNNBLBMLSA-N 0 0 440.464 -0.683 20 0 IBADRN CCOC(=O)c1cc2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2s1 ZINC001160088387 1073245850 /nfs/dbraw/zinc/24/58/50/1073245850.db2.gz VYORGSCTQFNSHU-RVXWVPLUSA-N 0 0 448.461 -0.420 20 0 IBADRN COc1cccc(-c2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)n2)c1 ZINC001160088408 1073245750 /nfs/dbraw/zinc/24/57/50/1073245750.db2.gz WVHIKMFTJOAEAZ-VDHUWJSZSA-N 0 0 426.433 -0.135 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)nc(-c4ccccc4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160088417 1073245559 /nfs/dbraw/zinc/24/55/59/1073245559.db2.gz XFRMCWARCXPTGE-BPGGGUHBSA-N 0 0 431.840 -0.096 20 0 IBADRN CCOC(=O)c1nnc(Cl)cc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160088418 1073245736 /nfs/dbraw/zinc/24/57/36/1073245736.db2.gz XFVFSRFJQZANKR-KLPZLMTLSA-N 0 0 426.817 -0.981 20 0 IBADRN CON(C)C(=O)c1cc(Cl)nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1 ZINC001160088432 1073245835 /nfs/dbraw/zinc/24/58/35/1073245835.db2.gz YCAAOQALZIZMPU-APGPQJPKSA-N 0 0 440.844 -0.919 20 0 IBADRN COc1cc2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2cc1OC ZINC001160088445 1073245648 /nfs/dbraw/zinc/24/56/48/1073245648.db2.gz YQMTWFBSCZNPHV-KLICCBINSA-N 0 0 429.433 -0.036 20 0 IBADRN COc1ccccc1-c1ccnc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001160092285 1073245688 /nfs/dbraw/zinc/24/56/88/1073245688.db2.gz ADLBVXURCTVKFS-VDHUWJSZSA-N 0 0 426.433 -0.135 20 0 IBADRN NC(=O)c1cc(Cl)ncc1C(F)(F)Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160092306 1073245634 /nfs/dbraw/zinc/24/56/34/1073245634.db2.gz BDZUEVBBXGHISF-LHNIVKCTSA-N 0 0 446.798 -0.870 20 0 IBADRN COC(=O)C[C@H](c1ccnc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1)C1CC1 ZINC001160092323 1073245720 /nfs/dbraw/zinc/24/57/20/1073245720.db2.gz BWDJUQRAPRHVHJ-WHHUABKHSA-N 0 0 445.476 -0.149 20 0 IBADRN Cc1cc(NC(=O)c2cccnc2Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)no1 ZINC001160092342 1073245670 /nfs/dbraw/zinc/24/56/70/1073245670.db2.gz CWXWAJQCQJKRAC-XKLVTHTNSA-N 0 0 443.420 -0.657 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(-c4ccncc4)nc4c3CCC4)nc2=O)O[C@H](CO)[C@H]1O ZINC001160092351 1073245578 /nfs/dbraw/zinc/24/55/78/1073245578.db2.gz DIOWRODDIIHVNH-WVSUBDOOSA-N 0 0 437.460 -0.260 20 0 IBADRN COC(=O)c1c(Cl)cnc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1OC ZINC001160092365 1073245811 /nfs/dbraw/zinc/24/58/11/1073245811.db2.gz FBESHVCFVQLFOV-PMXXHBEXSA-N 0 0 441.828 -0.757 20 0 IBADRN COc1ccccc1-c1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nn1 ZINC001160092374 1073245799 /nfs/dbraw/zinc/24/57/99/1073245799.db2.gz FLVPFDFFACOBCD-UTRMSSBJSA-N 0 0 426.433 -0.135 20 0 IBADRN C[C@@H]1CCCN(C(=O)c2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2)C1 ZINC001160092381 1073245593 /nfs/dbraw/zinc/24/55/93/1073245593.db2.gz FYNLSCDRNDMFOJ-LQUAMNAYSA-N 0 0 444.492 -0.334 20 0 IBADRN C[C@H]1CCCN(C(=O)c2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2)C1 ZINC001160092482 1073245763 /nfs/dbraw/zinc/24/57/63/1073245763.db2.gz FYNLSCDRNDMFOJ-MGMQSQGASA-N 0 0 444.492 -0.334 20 0 IBADRN COc1ccc(-c2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nn2)cc1 ZINC001160092507 1073246162 /nfs/dbraw/zinc/24/61/62/1073246162.db2.gz HFSLHUVWYCHCIW-UTRMSSBJSA-N 0 0 426.433 -0.135 20 0 IBADRN CC(C)n1cnc2c1nc(Cl)nc2Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160092525 1073246099 /nfs/dbraw/zinc/24/60/99/1073246099.db2.gz HXMJNNVYNGFHDT-SJAJALHSSA-N 0 0 436.860 -0.227 20 0 IBADRN COc1ccccc1-c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ncn1 ZINC001160092537 1073246145 /nfs/dbraw/zinc/24/61/45/1073246145.db2.gz IITQVOFOPVBAJJ-UTRMSSBJSA-N 0 0 426.433 -0.135 20 0 IBADRN COc1ccc(-c2cc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ncn2)cc1 ZINC001160092551 1073246191 /nfs/dbraw/zinc/24/61/91/1073246191.db2.gz IYCKZSABBWKXKW-UTRMSSBJSA-N 0 0 426.433 -0.135 20 0 IBADRN CC1CCN(C(=O)c2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2)CC1 ZINC001160092559 1073246182 /nfs/dbraw/zinc/24/61/82/1073246182.db2.gz JLFDCCDIERPPJK-VXXKDZQQSA-N 0 0 444.492 -0.334 20 0 IBADRN COC(=O)c1cc2ccccc2c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001160092815 1073246256 /nfs/dbraw/zinc/24/62/56/1073246256.db2.gz MACNAHOSXXBUND-GFOCRRMGSA-N 0 0 427.417 -0.266 20 0 IBADRN COC(=O)c1cc(Cl)c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc1C ZINC001160092816 1073246270 /nfs/dbraw/zinc/24/62/70/1073246270.db2.gz MAJLTMXPNKVOFJ-BPGGGUHBSA-N 0 0 425.829 -0.458 20 0 IBADRN Cc1nc(C)c(-c2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nn2)s1 ZINC001160092821 1073246335 /nfs/dbraw/zinc/24/63/35/1073246335.db2.gz MHUOKASJKJTAAH-BNGXUDDSSA-N 0 0 431.478 -0.071 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cc(-c4nc(C5CC5)no4)ccn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160092823 1073246118 /nfs/dbraw/zinc/24/61/18/1073246118.db2.gz MNTZEGWDYLORJF-XKLVTHTNSA-N 0 0 427.421 -0.279 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)nc4c(Cl)ncnc34)nc2=O)O[C@H](CO)[C@H]1O ZINC001160092849 1073246135 /nfs/dbraw/zinc/24/61/35/1073246135.db2.gz NNQNWANPAUGBON-HBWWRNIDSA-N 0 0 441.235 -0.561 20 0 IBADRN CC1CCN(C(=O)c2cccnc2Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CC1 ZINC001160092852 1073246170 /nfs/dbraw/zinc/24/61/70/1073246170.db2.gz NQBKJULUOPJDDX-WVSUBDOOSA-N 0 0 444.492 -0.334 20 0 IBADRN N[C@H]1[C@H](n2ccc(NC(F)(F)c3cc(Cl)nc(=S)[nH]3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160092862 1073246243 /nfs/dbraw/zinc/24/62/43/1073246243.db2.gz NZCHNQXQWSBRAO-VVFBATEQSA-N 0 0 436.828 -0.285 20 0 IBADRN CC(C)c1noc(-c2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2)n1 ZINC001160092871 1073246347 /nfs/dbraw/zinc/24/63/47/1073246347.db2.gz OLILJIHTUYYVHQ-XKLVTHTNSA-N 0 0 429.437 -0.033 20 0 IBADRN Cc1nc(-c2ccncc2)nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1C ZINC001160092999 1073246204 /nfs/dbraw/zinc/24/62/04/1073246204.db2.gz PNZRZBFFNYIUDH-NVQRDWNXSA-N 0 0 425.449 -0.132 20 0 IBADRN CCOC(=O)c1ncnc(Cl)c1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160093001 1073246307 /nfs/dbraw/zinc/24/63/07/1073246307.db2.gz PPENFMRBJMVVTH-AMJCQUEASA-N 0 0 426.817 -0.981 20 0 IBADRN COc1cc2cnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cc2cc1OC ZINC001160093006 1073246362 /nfs/dbraw/zinc/24/63/62/1073246362.db2.gz PUMMAPIWMJZTIS-UTRMSSBJSA-N 0 0 429.433 -0.036 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc4ccccc4nc3N3CCCC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160093012 1073246764 /nfs/dbraw/zinc/24/67/64/1073246764.db2.gz QBTYIRUAKVGJCL-WVSUBDOOSA-N 0 0 439.476 -0.058 20 0 IBADRN COC(=O)C=Cc1cc2cc[nH]c2c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001160093038 1073246756 /nfs/dbraw/zinc/24/67/56/1073246756.db2.gz RENAEGZMSXPUHR-YRSXRZJASA-N 0 0 442.432 -0.539 20 0 IBADRN COC(=O)c1ccc2cc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ncc2c1 ZINC001160093048 1073246884 /nfs/dbraw/zinc/24/68/84/1073246884.db2.gz RLZNWDKXODPWOH-BNEJOLLZSA-N 0 0 427.417 -0.266 20 0 IBADRN COc1cccc(-c2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nn2)c1 ZINC001160093057 1073246705 /nfs/dbraw/zinc/24/67/05/1073246705.db2.gz RXWCJARQGARZBW-UTRMSSBJSA-N 0 0 426.433 -0.135 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(Cn4ccccc4=O)cn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160093061 1073246773 /nfs/dbraw/zinc/24/67/73/1073246773.db2.gz SBQZJPGDAKUAPO-BYNBJNPRSA-N 0 0 426.433 -0.996 20 0 IBADRN N[C@H]1[C@H](n2ccc(NC(F)(F)c3c4c(nnc3Cl)CCC4)nc2=O)O[C@H](CO)[C@H]1O ZINC001160093067 1073246746 /nfs/dbraw/zinc/24/67/46/1073246746.db2.gz SPZRNAJCAGJAEV-QGMIFYJMSA-N 0 0 444.826 -0.085 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc(OCc4ccccc4)cn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160093075 1073246782 /nfs/dbraw/zinc/24/67/82/1073246782.db2.gz TVZOVXUKIMBPCM-BYNBJNPRSA-N 0 0 426.433 -0.232 20 0 IBADRN COC(=O)c1cccc2nc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ccc21 ZINC001160093086 1073246736 /nfs/dbraw/zinc/24/67/36/1073246736.db2.gz UKTXVOFCWCPIFO-BNEJOLLZSA-N 0 0 427.417 -0.266 20 0 IBADRN CN(C)c1ccc(-c2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)n2)cc1 ZINC001160093192 1073246717 /nfs/dbraw/zinc/24/67/17/1073246717.db2.gz UWGQGUOERXXHNB-NXWXRZEISA-N 0 0 439.476 -0.078 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ncnc4c3ncn4-c3ccccc3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160093201 1073246875 /nfs/dbraw/zinc/24/68/75/1073246875.db2.gz VIYIHOUHCSGYJN-OZSDWXKXSA-N 0 0 436.432 -0.472 20 0 IBADRN COc1cc2nc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ccc2c(OC)c1 ZINC001160093204 1073246868 /nfs/dbraw/zinc/24/68/68/1073246868.db2.gz VJUBHNLPIUDQEG-UTRMSSBJSA-N 0 0 429.433 -0.036 20 0 IBADRN Cc1nc(-c2ccccn2)nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1C ZINC001160093254 1073246804 /nfs/dbraw/zinc/24/68/04/1073246804.db2.gz WMGPVWNUNMSTCO-NVQRDWNXSA-N 0 0 425.449 -0.132 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(-c4cccnc4)nc4c3CCC4)nc2=O)O[C@H](CO)[C@H]1O ZINC001160093320 1073246912 /nfs/dbraw/zinc/24/69/12/1073246912.db2.gz XUDKSGOXDMXAHS-WVSUBDOOSA-N 0 0 437.460 -0.260 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCC3(C[C@@H](C(=O)N4CCOCC4)CO3)CC1)CNC2 ZINC001160093624 1073246850 /nfs/dbraw/zinc/24/68/50/1073246850.db2.gz HWTFPRMTSRBOLW-CVEARBPZSA-N 0 0 432.525 -0.259 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCC3(C[C@H](C(=O)N4CCOCC4)CO3)CC1)CNC2 ZINC001160093625 1073246831 /nfs/dbraw/zinc/24/68/31/1073246831.db2.gz HWTFPRMTSRBOLW-HOTGVXAUSA-N 0 0 432.525 -0.259 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCC3(C[C@@H](C(=O)N4CCOCC4)CO3)CC1)CNC2 ZINC001160093626 1073246901 /nfs/dbraw/zinc/24/69/01/1073246901.db2.gz HWTFPRMTSRBOLW-HZPDHXFCSA-N 0 0 432.525 -0.259 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCC3(C[C@H](C(=O)N4CCOCC4)CO3)CC1)CNC2 ZINC001160093627 1073246793 /nfs/dbraw/zinc/24/67/93/1073246793.db2.gz HWTFPRMTSRBOLW-JKSUJKDBSA-N 0 0 432.525 -0.259 20 0 IBADRN NC(=S)c1cnc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c2sccc21 ZINC001160094035 1073246816 /nfs/dbraw/zinc/24/68/16/1073246816.db2.gz HJWWHVDZOBDGMN-UBEDBUPSSA-N 0 0 434.503 -0.357 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ncnc4[nH]c5cc(F)ccc5c43)nc2=O)O[C@H](CO)[C@H]1O ZINC001160094140 1073246860 /nfs/dbraw/zinc/24/68/60/1073246860.db2.gz LXHXNQBESYIIHY-XKLVTHTNSA-N 0 0 427.396 -0.037 20 0 IBADRN COC(=O)[C@H](C)c1c(Cl)ncnc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160094280 1073247330 /nfs/dbraw/zinc/24/73/30/1073247330.db2.gz OAAHHTUGUIBXSF-RLNMVWRESA-N 0 0 440.844 -0.881 20 0 IBADRN COC(=O)[C@@H](C)c1c(Cl)ncnc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001160094284 1073247267 /nfs/dbraw/zinc/24/72/67/1073247267.db2.gz OAAHHTUGUIBXSF-SBZSCLMQSA-N 0 0 440.844 -0.881 20 0 IBADRN COC(=O)c1nc(Cl)c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c(Cl)n1 ZINC001160094447 1073247204 /nfs/dbraw/zinc/24/72/04/1073247204.db2.gz RGDXJDVIQQJGSR-LLXQPONYSA-N 0 0 447.235 -0.718 20 0 IBADRN COc1cc(OC)c2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2c1 ZINC001160094448 1073247303 /nfs/dbraw/zinc/24/73/03/1073247303.db2.gz RGQYCYGDQPPJFH-YIBWGGGYSA-N 0 0 430.421 -0.641 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)cc(O[C@H]4CCOC4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160094894 1073247256 /nfs/dbraw/zinc/24/72/56/1073247256.db2.gz ZNAPWDRJNCGZOB-NIQAVCIQSA-N 0 0 440.844 -0.990 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)cc(O[C@@H]4CCOC4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001160094895 1073247278 /nfs/dbraw/zinc/24/72/78/1073247278.db2.gz ZNAPWDRJNCGZOB-PPMYVGRGSA-N 0 0 440.844 -0.990 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2CCC3(C[C@@H](C(=O)N4CCOCC4)CO3)CC2)c(=O)[nH]1 ZINC001160104322 1073247337 /nfs/dbraw/zinc/24/73/37/1073247337.db2.gz PGZXNMSUQZILJK-CYBMUJFWSA-N 0 0 445.480 -0.629 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2CCC3(C[C@H](C(=O)N4CCOCC4)CO3)CC2)c(=O)[nH]1 ZINC001160104323 1073247745 /nfs/dbraw/zinc/24/77/45/1073247745.db2.gz PGZXNMSUQZILJK-ZDUSSCGKSA-N 0 0 445.480 -0.629 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]3O)[C@@H](O)C(=O)N2C)cn1 ZINC001160135456 1073247803 /nfs/dbraw/zinc/24/78/03/1073247803.db2.gz BZXDGJLGRXLNLP-CWCFIDOXSA-N 0 0 437.497 -0.746 20 0 IBADRN CCOC(=O)C[C@@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001160139636 1073248198 /nfs/dbraw/zinc/24/81/98/1073248198.db2.gz JDCWSQHRXFYASY-INIZCTEOSA-N 0 0 441.506 -0.417 20 0 IBADRN CCOC(=O)C[C@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001160139647 1073248265 /nfs/dbraw/zinc/24/82/65/1073248265.db2.gz JDCWSQHRXFYASY-MRXNPFEDSA-N 0 0 441.506 -0.417 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CC[C@H]2O[C@H](C(=O)N(C)Cc3ccccn3)C[C@H]21 ZINC001160148719 1073248324 /nfs/dbraw/zinc/24/83/24/1073248324.db2.gz ZZVITNHQSXXHSA-HQZFTIDCSA-N 0 0 430.505 -0.070 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CC[C@H]2O[C@H](C(=O)N(C)Cc3ccccn3)C[C@H]21 ZINC001160148722 1073248371 /nfs/dbraw/zinc/24/83/71/1073248371.db2.gz ZZVITNHQSXXHSA-RPPSIDMUSA-N 0 0 430.505 -0.070 20 0 IBADRN CC(=O)N1[C@H](Cn2cccn2)C[C@@H]2CN(C(=O)Cn3cnc4c3nc(N)[nH]c4=O)CC[C@@H]21 ZINC001160172221 1073248680 /nfs/dbraw/zinc/24/86/80/1073248680.db2.gz ABIYDZGHJZMWHH-ILXRZTDVSA-N 0 0 439.480 -0.151 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)c1nc(N)sc1I)C2 ZINC001160172739 1073248695 /nfs/dbraw/zinc/24/86/95/1073248695.db2.gz FCGYUGCXZXOJKF-CYBMUJFWSA-N 0 0 449.274 -0.107 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)c1nc(N)sc1I)C2 ZINC001160172740 1073248747 /nfs/dbraw/zinc/24/87/47/1073248747.db2.gz FCGYUGCXZXOJKF-ZDUSSCGKSA-N 0 0 449.274 -0.107 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001160191262 1073249692 /nfs/dbraw/zinc/24/96/92/1073249692.db2.gz XJTMDOWAPFWFHC-HNNXBMFYSA-N 0 0 439.513 -0.691 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCN(C(=O)C(C)C)C[C@@]3(CCCC(=O)N3)C1)CNC2 ZINC001160191976 1073249661 /nfs/dbraw/zinc/24/96/61/1073249661.db2.gz POBDVHCKCWUCNI-BTYIYWSLSA-N 0 0 431.541 -0.150 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCN(C(=O)C(C)C)C[C@]3(CCCC(=O)N3)C1)CNC2 ZINC001160191978 1073249731 /nfs/dbraw/zinc/24/97/31/1073249731.db2.gz POBDVHCKCWUCNI-QVKFZJNVSA-N 0 0 431.541 -0.150 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCN(C(=O)C(C)C)C[C@@]3(CCCC(=O)N3)C1)CNC2 ZINC001160191981 1073249607 /nfs/dbraw/zinc/24/96/07/1073249607.db2.gz POBDVHCKCWUCNI-VFNWGFHPSA-N 0 0 431.541 -0.150 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCN(C(=O)C(C)C)C[C@]3(CCCC(=O)N3)C1)CNC2 ZINC001160191983 1073249759 /nfs/dbraw/zinc/24/97/59/1073249759.db2.gz POBDVHCKCWUCNI-YCRPNKLZSA-N 0 0 431.541 -0.150 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)Cn2cnc3c2nc(N)[nH]c3=O)C[C@@]2(CCCC(=O)N2)C1 ZINC001160192495 1073249670 /nfs/dbraw/zinc/24/96/70/1073249670.db2.gz ALLVZAKLWSCMKH-FQEVSTJZSA-N 0 0 444.496 -0.520 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)Cn2cnc3c2nc(N)[nH]c3=O)C[C@]2(CCCC(=O)N2)C1 ZINC001160192496 1073249738 /nfs/dbraw/zinc/24/97/38/1073249738.db2.gz ALLVZAKLWSCMKH-HXUWFJFHSA-N 0 0 444.496 -0.520 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001160197198 1073250209 /nfs/dbraw/zinc/25/02/09/1073250209.db2.gz AMQHKFGGGWBDCS-AWEZNQCLSA-N 0 0 432.543 -0.052 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1C[C@H](NC(=O)c3nccn3C)[C@H]3OCCC[C@H]31)CNC2 ZINC001160211818 1073250188 /nfs/dbraw/zinc/25/01/88/1073250188.db2.gz JRZFWTPEYJYHBO-DUFGSWQCSA-N 0 0 428.497 -0.593 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1C[C@H](NC(=O)c3nccn3C)[C@H]3OCCC[C@H]31)CNC2 ZINC001160211819 1073250198 /nfs/dbraw/zinc/25/01/98/1073250198.db2.gz JRZFWTPEYJYHBO-MKVSYHDVSA-N 0 0 428.497 -0.593 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)[C@@H]([NH3+])Cc2ccc(C(N)=O)cc2)[C@@H]2CCCO[C@@H]21 ZINC001160213425 1073250479 /nfs/dbraw/zinc/25/04/79/1073250479.db2.gz WDBWJSCVPRLMKR-WNRNVDISSA-N 0 0 440.504 -0.423 20 0 IBADRN Cn1cc(N2C[C@@H]3CN(C(=O)[C@@H]4CCCN4C(=O)[C@@H]([NH3+])COC(C)(C)C)C[C@@H]3C2=O)cn1 ZINC001160217989 1073250627 /nfs/dbraw/zinc/25/06/27/1073250627.db2.gz OCRYSSZZZHSHDS-DKIMLUQUSA-N 0 0 446.552 -0.025 20 0 IBADRN Cn1cc(N2C[C@@H]3CN(C(=O)c4cnn5c4nccc5[C@@H]4C[NH2+]CCO4)C[C@@H]3C2=O)cn1 ZINC001160219643 1073250572 /nfs/dbraw/zinc/25/05/72/1073250572.db2.gz ZXABJSAJVOFLHJ-OWQGQXMQSA-N 0 0 436.476 -0.141 20 0 IBADRN Cn1cc(N2C[C@@H]3CN(C(=O)c4cnn5c4nccc5[C@H]4C[NH2+]CCO4)C[C@@H]3C2=O)cn1 ZINC001160219649 1073250614 /nfs/dbraw/zinc/25/06/14/1073250614.db2.gz ZXABJSAJVOFLHJ-QANKJYHBSA-N 0 0 436.476 -0.141 20 0 IBADRN C[C@H]([NH3+])C(=O)N[C@H](CCC(=O)N1C[C@H]2c3ccccc3[C@H](NC(=O)N(C)C)[C@H]2C1)C(N)=O ZINC001160226811 1073250592 /nfs/dbraw/zinc/25/05/92/1073250592.db2.gz AFOUJXCJYSSDTC-BHVNBLHESA-N 0 0 444.536 -0.348 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCC[C@]2(CCN(C(=O)CC[C@@H](NC(=O)[C@H](C)[NH3+])C(N)=O)C2)O1 ZINC001160226821 1073250498 /nfs/dbraw/zinc/25/04/98/1073250498.db2.gz AKJTWOKELLFQMJ-GXYOHSSGSA-N 0 0 439.557 -0.604 20 0 IBADRN CC(C)C(=O)NC[C@@H]1CCC[C@]2(CCN(C(=O)[C@H](CC(N)=O)NC(=O)[C@H](C)[NH3+])C2)O1 ZINC001160228733 1073250670 /nfs/dbraw/zinc/25/06/70/1073250670.db2.gz UNJCKWUHRLVGGY-VPCSNGCSSA-N 0 0 425.530 -0.994 20 0 IBADRN C[C@H]([NH3+])C(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@H]2c3ccccc3[C@H](NC(=O)N(C)C)[C@H]2C1 ZINC001160228907 1073250543 /nfs/dbraw/zinc/25/05/43/1073250543.db2.gz XFRSPTKATGHQMF-IKKBDOILSA-N 0 0 430.509 -0.738 20 0 IBADRN C[C@H]([NH3+])C(=O)N[C@@H](CC(N)=O)C(=O)N1CCC(F)(F)[C@@]2(CCN(c3ncccn3)C2)C1 ZINC001160229573 1073250657 /nfs/dbraw/zinc/25/06/57/1073250657.db2.gz APGWZMIUAPBVKV-LXIYXOSZSA-N 0 0 439.467 -0.752 20 0 IBADRN C[C@H]([NH3+])C(=O)N[C@@H](CC(N)=O)C(=O)N1CCC(F)(F)[C@]2(CCN(c3ncccn3)C2)C1 ZINC001160229574 1073250523 /nfs/dbraw/zinc/25/05/23/1073250523.db2.gz APGWZMIUAPBVKV-ZJNRKIDTSA-N 0 0 439.467 -0.752 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCc3ccc(=O)n(CC(=O)N(C)C)c3CC1)CNC2 ZINC001160268106 1073252264 /nfs/dbraw/zinc/25/22/64/1073252264.db2.gz XMYZFWVRTSRCQU-HNNXBMFYSA-N 0 0 427.509 -0.638 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCc3ccc(=O)n(CC(=O)N(C)C)c3CC1)CNC2 ZINC001160268107 1073252273 /nfs/dbraw/zinc/25/22/73/1073252273.db2.gz XMYZFWVRTSRCQU-OAHLLOKOSA-N 0 0 427.509 -0.638 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCC[C@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001160301747 1073253251 /nfs/dbraw/zinc/25/32/51/1073253251.db2.gz XLLANFIJBFTDBL-BVPBWDJDSA-N 0 0 443.504 -0.033 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCC[C@@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001160301748 1073253241 /nfs/dbraw/zinc/25/32/41/1073253241.db2.gz XLLANFIJBFTDBL-DVQOCAJJSA-N 0 0 443.504 -0.033 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCC[C@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001160301749 1073253259 /nfs/dbraw/zinc/25/32/59/1073253259.db2.gz XLLANFIJBFTDBL-QYLWPLLMSA-N 0 0 443.504 -0.033 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCC[C@@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001160301750 1073253270 /nfs/dbraw/zinc/25/32/70/1073253270.db2.gz XLLANFIJBFTDBL-VWMUIODXSA-N 0 0 443.504 -0.033 20 0 IBADRN O=C(NCCN1CCNC1=S)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001160303009 1073253244 /nfs/dbraw/zinc/25/32/44/1073253244.db2.gz OVNSRGFUHXUXOY-CYBMUJFWSA-N 0 0 438.531 -0.070 20 0 IBADRN O=C(NCCN1CCNC1=S)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001160303010 1073253267 /nfs/dbraw/zinc/25/32/67/1073253267.db2.gz OVNSRGFUHXUXOY-ZDUSSCGKSA-N 0 0 438.531 -0.070 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCN1CCNC1=S ZINC001160303397 1073253268 /nfs/dbraw/zinc/25/32/68/1073253268.db2.gz YQXSXOTYZBYXCM-UHFFFAOYSA-N 0 0 428.536 -0.364 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCNC1=S ZINC001160303409 1073253238 /nfs/dbraw/zinc/25/32/38/1073253238.db2.gz ZJCZQIDNPFKTGX-AWEZNQCLSA-N 0 0 448.592 -0.926 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCNC1=S ZINC001160303410 1073253258 /nfs/dbraw/zinc/25/32/58/1073253258.db2.gz ZJCZQIDNPFKTGX-CQSZACIVSA-N 0 0 448.592 -0.926 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)CCN1 ZINC001160344813 1073255002 /nfs/dbraw/zinc/25/50/02/1073255002.db2.gz SJSVGYLCUBXRAR-INMHGKMJSA-N 0 0 441.492 -0.397 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)CCN1 ZINC001160344815 1073255111 /nfs/dbraw/zinc/25/51/11/1073255111.db2.gz SJSVGYLCUBXRAR-UXLLHSPISA-N 0 0 441.492 -0.397 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)CCN1 ZINC001160344817 1073255097 /nfs/dbraw/zinc/25/50/97/1073255097.db2.gz SJSVGYLCUBXRAR-VYDXJSESSA-N 0 0 441.492 -0.397 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)CCN1 ZINC001160344819 1073254900 /nfs/dbraw/zinc/25/49/00/1073254900.db2.gz SJSVGYLCUBXRAR-ZOBUZTSGSA-N 0 0 441.492 -0.397 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001160345182 1073254950 /nfs/dbraw/zinc/25/49/50/1073254950.db2.gz WFYTZBLGWYVZKN-GEYQNNLUSA-N 0 0 441.492 -0.807 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001160345183 1073254961 /nfs/dbraw/zinc/25/49/61/1073254961.db2.gz WFYTZBLGWYVZKN-GNNCWXLZSA-N 0 0 441.492 -0.807 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001160345184 1073254972 /nfs/dbraw/zinc/25/49/72/1073254972.db2.gz WFYTZBLGWYVZKN-IBEVVSKNSA-N 0 0 441.492 -0.807 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001160345185 1073255079 /nfs/dbraw/zinc/25/50/79/1073255079.db2.gz WFYTZBLGWYVZKN-IZOOLLGQSA-N 0 0 441.492 -0.807 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)C[C@@H](N)C(=O)OCc1ccccc1)C2 ZINC001160381090 1073256899 /nfs/dbraw/zinc/25/68/99/1073256899.db2.gz PWBDHFYUWXIXKT-MRXNPFEDSA-N 0 0 444.492 -0.314 20 0 IBADRN CC(C)N(C)Cc1nnc2n1CCCN(C(=O)[C@H](C)NC(=O)[C@@H]1CCCN1C(=O)C[NH3+])C2 ZINC001160381747 1073257386 /nfs/dbraw/zinc/25/73/86/1073257386.db2.gz ZBRLAGWNYHQLDA-HOTGVXAUSA-N 0 0 448.572 -0.695 20 0 IBADRN C[C@H](NC(=O)[C@@H]([NH3+])CO)C(=O)N1CC[C@]2(CC[C@@H](C(=O)Nc3cccnc3)O2)[C@@H](C)C1 ZINC001160382368 1073257446 /nfs/dbraw/zinc/25/74/46/1073257446.db2.gz NMXYJYZKKNDRJT-GUJDEDESSA-N 0 0 433.509 -0.369 20 0 IBADRN C[C@H](NC(=O)[C@@H]([NH3+])CO)C(=O)N1CC[C@]2(CC[C@H](C(=O)Nc3cccnc3)O2)[C@@H](C)C1 ZINC001160382371 1073257282 /nfs/dbraw/zinc/25/72/82/1073257282.db2.gz NMXYJYZKKNDRJT-IEBLYUSBSA-N 0 0 433.509 -0.369 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)C12C[NH2+]C2)N1CC[C@H]2OC[C@@H](C(=O)N3CCCCO3)C[C@H]2C1 ZINC001160388341 1073257422 /nfs/dbraw/zinc/25/74/22/1073257422.db2.gz KDIDIYFZCQZOOQ-LUKYLMHMSA-N 0 0 441.550 -0.429 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)C12C[NH2+]C2)N1CC[C@H]2OC[C@@H](C(=O)N3CCCCO3)C[C@H]2C1 ZINC001160388342 1073257406 /nfs/dbraw/zinc/25/74/06/1073257406.db2.gz KDIDIYFZCQZOOQ-MWDXBVQZSA-N 0 0 441.550 -0.429 20 0 IBADRN CC(=O)NCSC[C@H]([NH3+])C(=O)N1CC[C@H]2OC[C@@H](C(=O)N3CCCCO3)C[C@H]2C1 ZINC001160388845 1073257351 /nfs/dbraw/zinc/25/73/51/1073257351.db2.gz POXSVLKZDGXKFF-LUKYLMHMSA-N 0 0 428.555 -0.052 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2CC[C@H]3OC[C@@H](C(=O)N4CCCCO4)C[C@H]3C2)c(=O)[nH]1 ZINC001160389140 1073257304 /nfs/dbraw/zinc/25/73/04/1073257304.db2.gz WOZPADGTDVIUMF-MELADBBJSA-N 0 0 445.480 -0.078 20 0 IBADRN [NH3+][C@@H](Cc1nnn[n-]1)C(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001160391802 1073257876 /nfs/dbraw/zinc/25/78/76/1073257876.db2.gz QFPVLBZCZSLIES-LTIDMASMSA-N 0 0 428.497 -0.923 20 0 IBADRN O=C(CN1CCNCC1=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001160392481 1073257822 /nfs/dbraw/zinc/25/78/22/1073257822.db2.gz ZYYUDYVVERDNBL-CEXWTWQISA-N 0 0 429.521 -0.574 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001160394886 1073257734 /nfs/dbraw/zinc/25/77/34/1073257734.db2.gz BYXNSXUZVDCSBG-MLGOLLRUSA-N 0 0 439.556 -0.724 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2 ZINC001160397549 1073257962 /nfs/dbraw/zinc/25/79/62/1073257962.db2.gz BBCGCBFUVJEPAL-MUIFIZLQSA-N 0 0 431.493 -0.558 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2 ZINC001160397552 1073257806 /nfs/dbraw/zinc/25/78/06/1073257806.db2.gz BBCGCBFUVJEPAL-XOSAIJSUSA-N 0 0 431.493 -0.558 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCC3(CC1)CN(C(=O)c1cnccn1)CCO3)CNC2 ZINC001160398287 1073257750 /nfs/dbraw/zinc/25/77/50/1073257750.db2.gz HXMUQLBWOKDBNV-HNNXBMFYSA-N 0 0 440.508 -0.191 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCC3(CC1)CN(C(=O)c1cnccn1)CCO3)CNC2 ZINC001160398288 1073257860 /nfs/dbraw/zinc/25/78/60/1073257860.db2.gz HXMUQLBWOKDBNV-OAHLLOKOSA-N 0 0 440.508 -0.191 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)CCN1 ZINC001160399718 1073258445 /nfs/dbraw/zinc/25/84/45/1073258445.db2.gz VBMKRSBELOIQPB-CVEARBPZSA-N 0 0 431.493 -0.149 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)CCN1 ZINC001160399719 1073258354 /nfs/dbraw/zinc/25/83/54/1073258354.db2.gz VBMKRSBELOIQPB-HOTGVXAUSA-N 0 0 431.493 -0.149 20 0 IBADRN COC(=O)[C@H]1C[C@H](C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)CCN1 ZINC001160399720 1073258434 /nfs/dbraw/zinc/25/84/34/1073258434.db2.gz VBMKRSBELOIQPB-HZPDHXFCSA-N 0 0 431.493 -0.149 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)CCN1 ZINC001160399721 1073258391 /nfs/dbraw/zinc/25/83/91/1073258391.db2.gz VBMKRSBELOIQPB-JKSUJKDBSA-N 0 0 431.493 -0.149 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1C[C@H](NC(=O)c3cnn(C)c3)[C@H]3OCCC[C@H]31)CNC2 ZINC001160400701 1073258403 /nfs/dbraw/zinc/25/84/03/1073258403.db2.gz BXDQSURSHNNXPW-KMANFZQXSA-N 0 0 428.497 -0.593 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1C[C@H](NC(=O)c3cnn(C)c3)[C@H]3OCCC[C@H]31)CNC2 ZINC001160400704 1073258478 /nfs/dbraw/zinc/25/84/78/1073258478.db2.gz BXDQSURSHNNXPW-NOVWEMISSA-N 0 0 428.497 -0.593 20 0 IBADRN COC(=O)[C@H]1C[C@H](C(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)CCN1 ZINC001160405161 1073259591 /nfs/dbraw/zinc/25/95/91/1073259591.db2.gz GLCOXOCYLNCLCG-GDBMZVCRSA-N 0 0 443.508 -0.528 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)CCN1 ZINC001160405164 1073259655 /nfs/dbraw/zinc/25/96/55/1073259655.db2.gz GLCOXOCYLNCLCG-GOEBONIOSA-N 0 0 443.508 -0.528 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)CCN1 ZINC001160405166 1073259736 /nfs/dbraw/zinc/25/97/36/1073259736.db2.gz GLCOXOCYLNCLCG-HOCLYGCPSA-N 0 0 443.508 -0.528 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)CCN1 ZINC001160405167 1073259743 /nfs/dbraw/zinc/25/97/43/1073259743.db2.gz GLCOXOCYLNCLCG-ZBFHGGJFSA-N 0 0 443.508 -0.528 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N1CCc2ncc(CNC(=O)c3nccn3C)n2CC1 ZINC001160407119 1073260082 /nfs/dbraw/zinc/26/00/82/1073260082.db2.gz YAFCCZGWHMSQFV-BQGCOEIASA-N 0 0 443.508 -0.937 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N1CCc2ncc(CNC(=O)c3nccn3C)n2CC1 ZINC001160407124 1073260029 /nfs/dbraw/zinc/26/00/29/1073260029.db2.gz YAFCCZGWHMSQFV-NSNWQYSKSA-N 0 0 443.508 -0.937 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)c1c(N)nn3cccnc13)CC2 ZINC001160407297 1073260100 /nfs/dbraw/zinc/26/01/00/1073260100.db2.gz ZTMSHXHAVQCUJV-UHFFFAOYSA-N 0 0 434.464 -0.130 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)[C@@H](C)[C@H]3NC(=O)[C@@H]3[C@@H](C)O)CC1)C(=O)N2 ZINC001160408890 1073260502 /nfs/dbraw/zinc/26/05/02/1073260502.db2.gz VTVOEHNAKDSQDJ-JQHQGBHXSA-N 0 0 428.489 -0.010 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)[C@H](C)[C@H]3NC(=O)[C@@H]3[C@@H](C)O)CC1)C(=O)N2 ZINC001160408891 1073260523 /nfs/dbraw/zinc/26/05/23/1073260523.db2.gz VTVOEHNAKDSQDJ-YLGOIMDESA-N 0 0 428.489 -0.010 20 0 IBADRN CC(C)C(=O)N1CCO[C@@]2(C1)COCCN(C(=O)Cn1cnc3c1nc(N)[nH]c3=O)C2 ZINC001160417463 1073260478 /nfs/dbraw/zinc/26/04/78/1073260478.db2.gz CXDYFTCIHIHKDS-IBGZPJMESA-N 0 0 433.469 -0.774 20 0 IBADRN CC(C)C(=O)N1CCO[C@]2(C1)COCCN(C(=O)Cn1cnc3c1nc(N)[nH]c3=O)C2 ZINC001160417464 1073260969 /nfs/dbraw/zinc/26/09/69/1073260969.db2.gz CXDYFTCIHIHKDS-LJQANCHMSA-N 0 0 433.469 -0.774 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCCS(=O)(=O)C2)s1 ZINC001160436370 1073262003 /nfs/dbraw/zinc/26/20/03/1073262003.db2.gz XVFCKSSONYDGBE-GFCCVEGCSA-N 0 0 437.565 -0.397 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCCS(=O)(=O)C2)s1 ZINC001160436372 1073261894 /nfs/dbraw/zinc/26/18/94/1073261894.db2.gz XVFCKSSONYDGBE-LBPRGKRZSA-N 0 0 437.565 -0.397 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnc4c3nc(N)[nH]c4=O)CC2)cc1 ZINC001160436681 1073261795 /nfs/dbraw/zinc/26/17/95/1073261795.db2.gz MILIHTSELLJPEW-UHFFFAOYSA-N 0 0 431.478 -0.044 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCN(C(=O)[C@H]3COc4ccccc4O3)CC1)CNC2 ZINC001160468154 1073262540 /nfs/dbraw/zinc/26/25/40/1073262540.db2.gz YAFWCSCWLPNJFG-KBXCAEBGSA-N 0 0 426.477 -0.004 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCN(C(=O)[C@@H]3COc4ccccc4O3)CC1)CNC2 ZINC001160468155 1073262491 /nfs/dbraw/zinc/26/24/91/1073262491.db2.gz YAFWCSCWLPNJFG-KDOFPFPSSA-N 0 0 426.477 -0.004 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCN(C(=O)[C@@H]3COc4ccccc4O3)CC1)CNC2 ZINC001160468157 1073262571 /nfs/dbraw/zinc/26/25/71/1073262571.db2.gz YAFWCSCWLPNJFG-KSSFIOAISA-N 0 0 426.477 -0.004 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCN(C(=O)[C@H]3COc4ccccc4O3)CC1)CNC2 ZINC001160468159 1073262363 /nfs/dbraw/zinc/26/23/63/1073262363.db2.gz YAFWCSCWLPNJFG-RDTXWAMCSA-N 0 0 426.477 -0.004 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)c(=O)[nH]1 ZINC001160470976 1073262976 /nfs/dbraw/zinc/26/29/76/1073262976.db2.gz PPPXHZPRYONGDL-AWEZNQCLSA-N 0 0 439.432 -0.375 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)c(=O)[nH]1 ZINC001160470977 1073262856 /nfs/dbraw/zinc/26/28/56/1073262856.db2.gz PPPXHZPRYONGDL-CQSZACIVSA-N 0 0 439.432 -0.375 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)c(C)c12 ZINC001160566301 1073264503 /nfs/dbraw/zinc/26/45/03/1073264503.db2.gz QLFHFMAVRCDYHO-CYBMUJFWSA-N 0 0 437.522 -0.427 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)c(C)c12 ZINC001160566302 1073264853 /nfs/dbraw/zinc/26/48/53/1073264853.db2.gz QLFHFMAVRCDYHO-ZDUSSCGKSA-N 0 0 437.522 -0.427 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)CNS(=O)(=O)c3ccccc3)CC2)CC1 ZINC001160585844 1073264681 /nfs/dbraw/zinc/26/46/81/1073264681.db2.gz AVZNCCBAOMZEES-UHFFFAOYSA-N 0 0 436.534 -0.073 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)C3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC001160610074 1073265646 /nfs/dbraw/zinc/26/56/46/1073265646.db2.gz RKZJRGKLZRUESH-UHFFFAOYSA-N 0 0 449.576 -0.115 20 0 IBADRN O=C(CN1CCNCC1=O)N1CCCN(S(=O)(=O)c2cccc3cnccc32)CC1 ZINC001160615638 1073265759 /nfs/dbraw/zinc/26/57/59/1073265759.db2.gz IRRPDCSQHMTZBC-UHFFFAOYSA-N 0 0 431.518 -0.110 20 0 IBADRN [NH3+][C@@H](Cc1nnn[n-]1)C(=O)N1CCCN(S(=O)(=O)c2cccc3cnccc32)CC1 ZINC001160615838 1073266242 /nfs/dbraw/zinc/26/62/42/1073266242.db2.gz PQMSLQUHECBMAW-HNNXBMFYSA-N 0 0 430.494 -0.459 20 0 IBADRN Cc1cc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001160624750 1073266138 /nfs/dbraw/zinc/26/61/38/1073266138.db2.gz JFUYXSURGFZGAI-HZSPNIEDSA-N 0 0 444.535 -0.475 20 0 IBADRN Cc1cc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001160624751 1073266261 /nfs/dbraw/zinc/26/62/61/1073266261.db2.gz JFUYXSURGFZGAI-MCIONIFRSA-N 0 0 444.535 -0.475 20 0 IBADRN Cc1cc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001160624752 1073266225 /nfs/dbraw/zinc/26/62/25/1073266225.db2.gz JFUYXSURGFZGAI-MGPQQGTHSA-N 0 0 444.535 -0.475 20 0 IBADRN Cc1cc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001160624753 1073266270 /nfs/dbraw/zinc/26/62/70/1073266270.db2.gz JFUYXSURGFZGAI-RDBSUJKOSA-N 0 0 444.535 -0.475 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1Cc2ccccc2CN1)C(=O)NCC(N)=O ZINC001160626719 1073266264 /nfs/dbraw/zinc/26/62/64/1073266264.db2.gz APDVTTKQEVYYLH-FHWLQOOXSA-N 0 0 443.548 -0.176 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1Cc2ccccc2CN1)C(=O)NCC(N)=O ZINC001160626722 1073266228 /nfs/dbraw/zinc/26/62/28/1073266228.db2.gz APDVTTKQEVYYLH-OTWHNJEPSA-N 0 0 443.548 -0.176 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1NCc2ccccc21)C(=O)NCC(N)=O ZINC001160627718 1073266578 /nfs/dbraw/zinc/26/65/78/1073266578.db2.gz GISDZUIHJJGQKB-JENIJYKNSA-N 0 0 429.521 -0.046 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1NCc2ccccc21)C(=O)NCC(N)=O ZINC001160627720 1073266555 /nfs/dbraw/zinc/26/65/55/1073266555.db2.gz GISDZUIHJJGQKB-LNLFQRSKSA-N 0 0 429.521 -0.046 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@]1(C)CC(F)(F)CN1)C(=O)NCC(N)=O ZINC001160628308 1073266563 /nfs/dbraw/zinc/26/65/63/1073266563.db2.gz HGJAEHXBQJWABR-LXIYXOSZSA-N 0 0 431.484 -0.503 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@]1(C)CC(F)(F)CN1)C(=O)NCC(N)=O ZINC001160628317 1073266601 /nfs/dbraw/zinc/26/66/01/1073266601.db2.gz HGJAEHXBQJWABR-ZJNRKIDTSA-N 0 0 431.484 -0.503 20 0 IBADRN COc1ccccc1[C@@H](N)C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001160628443 1073266596 /nfs/dbraw/zinc/26/65/96/1073266596.db2.gz IIPLSJVRBRRLNA-TXPKVOOTSA-N 0 0 447.536 -0.182 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)CCc1cncc(N)c1)C(=O)NCC(N)=O ZINC001160629350 1073267036 /nfs/dbraw/zinc/26/70/36/1073267036.db2.gz PNGVGFGBBSLCEW-IRXDYDNUSA-N 0 0 432.525 -0.280 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CCC(F)(F)CN1)C(=O)NCC(N)=O ZINC001160629502 1073266961 /nfs/dbraw/zinc/26/69/61/1073266961.db2.gz SQVUVONVDVGDEL-IHRRRGAJSA-N 0 0 431.484 -0.503 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1CCC(F)(F)CN1)C(=O)NCC(N)=O ZINC001160629504 1073267017 /nfs/dbraw/zinc/26/70/17/1073267017.db2.gz SQVUVONVDVGDEL-RDBSUJKOSA-N 0 0 431.484 -0.503 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CNCC(F)(F)C1)C(=O)NCC(N)=O ZINC001160630134 1073266999 /nfs/dbraw/zinc/26/69/99/1073266999.db2.gz VZVFLYAUHMTERU-IHRRRGAJSA-N 0 0 431.484 -0.645 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1CNCC(F)(F)C1)C(=O)NCC(N)=O ZINC001160630135 1073266903 /nfs/dbraw/zinc/26/69/03/1073266903.db2.gz VZVFLYAUHMTERU-RDBSUJKOSA-N 0 0 431.484 -0.645 20 0 IBADRN COc1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c(N)cn1 ZINC001160630287 1073266977 /nfs/dbraw/zinc/26/69/77/1073266977.db2.gz ABMMUIYAVLKFSF-GJZGRUSLSA-N 0 0 434.497 -0.591 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(n1)CC[NH2+]C2)C(=O)NCC(N)=O ZINC001160630868 1073267470 /nfs/dbraw/zinc/26/74/70/1073267470.db2.gz FVBPIYROMOFAAQ-ROUUACIJSA-N 0 0 444.536 -0.536 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1noc2c1C[NH2+]CC2)C(=O)NCC(N)=O ZINC001160631578 1073267401 /nfs/dbraw/zinc/26/74/01/1073267401.db2.gz OJZRBGMDOLKPHY-KBPBESRZSA-N 0 0 434.497 -0.943 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnc2c(c1)CCN2)C(=O)NCC(N)=O ZINC001160631695 1073267451 /nfs/dbraw/zinc/26/74/51/1073267451.db2.gz RAJZOWWNPWQGHC-HOTGVXAUSA-N 0 0 430.509 -0.675 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1c(N)nn2cccnc12)C(=O)NCC(N)=O ZINC001160631724 1073267455 /nfs/dbraw/zinc/26/74/55/1073267455.db2.gz ROFYBIWRSVPQTL-STQMWFEESA-N 0 0 444.496 -0.952 20 0 IBADRN O=S1(=O)CCN(CCNc2cc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001160649592 1073267892 /nfs/dbraw/zinc/26/78/92/1073267892.db2.gz OOOJXOGZORARCR-UHFFFAOYSA-N 0 0 426.543 -0.708 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160655630 1073268270 /nfs/dbraw/zinc/26/82/70/1073268270.db2.gz ATWMVVWPIWATSR-DLBZAZTESA-N 0 0 445.563 -0.839 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160655632 1073268319 /nfs/dbraw/zinc/26/83/19/1073268319.db2.gz ATWMVVWPIWATSR-IAGOWNOFSA-N 0 0 445.563 -0.839 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160655634 1073268355 /nfs/dbraw/zinc/26/83/55/1073268355.db2.gz ATWMVVWPIWATSR-IRXDYDNUSA-N 0 0 445.563 -0.839 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160655637 1073268233 /nfs/dbraw/zinc/26/82/33/1073268233.db2.gz ATWMVVWPIWATSR-SJORKVTESA-N 0 0 445.563 -0.839 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C2CCC2)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160655724 1073268290 /nfs/dbraw/zinc/26/82/90/1073268290.db2.gz BSIHWELBPRUZQH-MSOLQXFVSA-N 0 0 442.559 -0.072 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C2CCC2)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160655727 1073268341 /nfs/dbraw/zinc/26/83/41/1073268341.db2.gz BSIHWELBPRUZQH-QZTJIDSGSA-N 0 0 442.559 -0.072 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C2CCC2)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160655729 1073268260 /nfs/dbraw/zinc/26/82/60/1073268260.db2.gz BSIHWELBPRUZQH-ROUUACIJSA-N 0 0 442.559 -0.072 20 0 IBADRN O=C(c1ccc(S(=O)(=O)C2CCC2)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160655732 1073268347 /nfs/dbraw/zinc/26/83/47/1073268347.db2.gz BSIHWELBPRUZQH-ZWKOTPCHSA-N 0 0 442.559 -0.072 20 0 IBADRN CN(C)c1ncc(Br)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)n1 ZINC001160655858 1073268242 /nfs/dbraw/zinc/26/82/42/1073268242.db2.gz DPUGYBSZBGCUBA-NEPJUHHUSA-N 0 0 448.343 -0.779 20 0 IBADRN CN(C)c1ncc(Br)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)n1 ZINC001160655861 1073268337 /nfs/dbraw/zinc/26/83/37/1073268337.db2.gz DPUGYBSZBGCUBA-NWDGAFQWSA-N 0 0 448.343 -0.779 20 0 IBADRN CN(C)c1ncc(Br)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)n1 ZINC001160655863 1073268252 /nfs/dbraw/zinc/26/82/52/1073268252.db2.gz DPUGYBSZBGCUBA-RYUDHWBXSA-N 0 0 448.343 -0.779 20 0 IBADRN CN(C)c1ncc(Br)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)n1 ZINC001160655864 1073268277 /nfs/dbraw/zinc/26/82/77/1073268277.db2.gz DPUGYBSZBGCUBA-VXGBXAGGSA-N 0 0 448.343 -0.779 20 0 IBADRN CS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001160655883 1073268313 /nfs/dbraw/zinc/26/83/13/1073268313.db2.gz DZVIYUOYFHRXHM-DLBZAZTESA-N 0 0 430.548 -0.675 20 0 IBADRN CS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001160655900 1073268333 /nfs/dbraw/zinc/26/83/33/1073268333.db2.gz DZVIYUOYFHRXHM-IAGOWNOFSA-N 0 0 430.548 -0.675 20 0 IBADRN CS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001160655903 1073268282 /nfs/dbraw/zinc/26/82/82/1073268282.db2.gz DZVIYUOYFHRXHM-IRXDYDNUSA-N 0 0 430.548 -0.675 20 0 IBADRN CS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001160655906 1073268324 /nfs/dbraw/zinc/26/83/24/1073268324.db2.gz DZVIYUOYFHRXHM-SJORKVTESA-N 0 0 430.548 -0.675 20 0 IBADRN O=C([C@@H]1CCC(=O)N(c2cccc(F)c2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160656505 1073268305 /nfs/dbraw/zinc/26/83/05/1073268305.db2.gz LNLLLQSSJOKVOJ-FHLIZLRMSA-N 0 0 439.509 -0.129 20 0 IBADRN O=C([C@@H]1CCC(=O)N(c2cccc(F)c2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160656508 1073268645 /nfs/dbraw/zinc/26/86/45/1073268645.db2.gz LNLLLQSSJOKVOJ-JLSDUUJJSA-N 0 0 439.509 -0.129 20 0 IBADRN O=C([C@@H]1CCC(=O)N(c2cccc(F)c2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160656665 1073268684 /nfs/dbraw/zinc/26/86/84/1073268684.db2.gz LNLLLQSSJOKVOJ-OLMNPRSZSA-N 0 0 439.509 -0.129 20 0 IBADRN O=C([C@@H]1CCC(=O)N(c2cccc(F)c2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160656666 1073268691 /nfs/dbraw/zinc/26/86/91/1073268691.db2.gz LNLLLQSSJOKVOJ-ZTFGCOKTSA-N 0 0 439.509 -0.129 20 0 IBADRN O=C(N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)C(F)(F)n1cc(-c2ccccc2)nn1 ZINC001160657585 1073268702 /nfs/dbraw/zinc/26/87/02/1073268702.db2.gz SUELPCSSXMNXLE-CVEARBPZSA-N 0 0 441.460 -0.204 20 0 IBADRN O=C(N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)C(F)(F)n1cc(-c2ccccc2)nn1 ZINC001160657586 1073268752 /nfs/dbraw/zinc/26/87/52/1073268752.db2.gz SUELPCSSXMNXLE-HOTGVXAUSA-N 0 0 441.460 -0.204 20 0 IBADRN O=C(N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)C(F)(F)n1cc(-c2ccccc2)nn1 ZINC001160657587 1073268695 /nfs/dbraw/zinc/26/86/95/1073268695.db2.gz SUELPCSSXMNXLE-HZPDHXFCSA-N 0 0 441.460 -0.204 20 0 IBADRN O=C(N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)C(F)(F)n1cc(-c2ccccc2)nn1 ZINC001160657589 1073268770 /nfs/dbraw/zinc/26/87/70/1073268770.db2.gz SUELPCSSXMNXLE-JKSUJKDBSA-N 0 0 441.460 -0.204 20 0 IBADRN CC(C)(C)OC(=O)N1CSC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160657646 1073268660 /nfs/dbraw/zinc/26/86/60/1073268660.db2.gz UKKUWKJKCAWEII-BFHYXJOUSA-N 0 0 435.568 -0.402 20 0 IBADRN CC(C)(C)OC(=O)N1CSC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160657647 1073268652 /nfs/dbraw/zinc/26/86/52/1073268652.db2.gz UKKUWKJKCAWEII-IHRRRGAJSA-N 0 0 435.568 -0.402 20 0 IBADRN CC(C)(C)OC(=O)N1CSC[C@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160657648 1073268708 /nfs/dbraw/zinc/26/87/08/1073268708.db2.gz UKKUWKJKCAWEII-MELADBBJSA-N 0 0 435.568 -0.402 20 0 IBADRN CC(C)(C)OC(=O)N1CSC[C@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160657649 1073268715 /nfs/dbraw/zinc/26/87/15/1073268715.db2.gz UKKUWKJKCAWEII-MJBXVCDLSA-N 0 0 435.568 -0.402 20 0 IBADRN Cc1nn(-c2ccccn2)c(C)c1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160657763 1073268633 /nfs/dbraw/zinc/26/86/33/1073268633.db2.gz UVIUHHKOFNZHQV-MSOLQXFVSA-N 0 0 433.534 -0.271 20 0 IBADRN Cc1nn(-c2ccccn2)c(C)c1CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160657766 1073268679 /nfs/dbraw/zinc/26/86/79/1073268679.db2.gz UVIUHHKOFNZHQV-QZTJIDSGSA-N 0 0 433.534 -0.271 20 0 IBADRN Cc1nn(-c2ccccn2)c(C)c1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160657770 1073268725 /nfs/dbraw/zinc/26/87/25/1073268725.db2.gz UVIUHHKOFNZHQV-ROUUACIJSA-N 0 0 433.534 -0.271 20 0 IBADRN Cc1nn(-c2ccccn2)c(C)c1CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160657772 1073268745 /nfs/dbraw/zinc/26/87/45/1073268745.db2.gz UVIUHHKOFNZHQV-ZWKOTPCHSA-N 0 0 433.534 -0.271 20 0 IBADRN O=C(c1c(Br)nc2n1CCOC2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160657983 1073268668 /nfs/dbraw/zinc/26/86/68/1073268668.db2.gz XIPJXLOBAAYFOZ-GHMZBOCLSA-N 0 0 449.327 -0.909 20 0 IBADRN O=C(c1c(Br)nc2n1CCOC2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160657984 1073269275 /nfs/dbraw/zinc/26/92/75/1073269275.db2.gz XIPJXLOBAAYFOZ-MNOVXSKESA-N 0 0 449.327 -0.909 20 0 IBADRN O=C(c1c(Br)nc2n1CCOC2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001160657986 1073269316 /nfs/dbraw/zinc/26/93/16/1073269316.db2.gz XIPJXLOBAAYFOZ-QWRGUYRKSA-N 0 0 449.327 -0.909 20 0 IBADRN O=C(c1c(Br)nc2n1CCOC2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001160657987 1073269380 /nfs/dbraw/zinc/26/93/80/1073269380.db2.gz XIPJXLOBAAYFOZ-WDEREUQCSA-N 0 0 449.327 -0.909 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C[NH3+])C(=O)N1CCN(c2cccc3n[nH]cc32)CC1 ZINC001160668573 1073269292 /nfs/dbraw/zinc/26/92/92/1073269292.db2.gz XUEREXZIIYYPPT-KSSFIOAISA-N 0 0 427.509 -0.334 20 0 IBADRN CC1([NH+]2CCOCC2)CCN(C(=O)[C@H](CO)NC(=O)[C@@H]([NH3+])Cc2ccc(O)cc2)CC1 ZINC001160672839 1073269219 /nfs/dbraw/zinc/26/92/19/1073269219.db2.gz KFYLQQULYWWSJV-OALUTQOASA-N 0 0 434.537 -0.548 20 0 IBADRN COCC[C@H](NC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2)C(=O)OC ZINC001160702874 1073269685 /nfs/dbraw/zinc/26/96/85/1073269685.db2.gz OTJNFKXWSOGYIA-FHSNZYRGSA-N 0 0 434.511 -0.038 20 0 IBADRN COCC[C@@H](NC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2)C(=O)OC ZINC001160702875 1073269672 /nfs/dbraw/zinc/26/96/72/1073269672.db2.gz OTJNFKXWSOGYIA-SNUQEOBHSA-N 0 0 434.511 -0.038 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCC[C@H](CNS(=O)(=O)C4CC4)C3)[C@@H](O)C(=O)N2C)cn1 ZINC001160728584 1073269558 /nfs/dbraw/zinc/26/95/58/1073269558.db2.gz FUKLCJWJGZWPPJ-BASLNEPJSA-N 0 0 439.538 -0.769 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCC[C@@H](CNS(=O)(=O)C4CC4)C3)[C@@H](O)C(=O)N2C)cn1 ZINC001160728585 1073269608 /nfs/dbraw/zinc/26/96/08/1073269608.db2.gz FUKLCJWJGZWPPJ-WBQNTZJQSA-N 0 0 439.538 -0.769 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CC(=O)N1CCN(C(=O)N2CCN(C)CC2)CC1 ZINC001160746519 1073269656 /nfs/dbraw/zinc/26/96/56/1073269656.db2.gz BSKHKNZXBDAKBI-UHFFFAOYSA-N 0 0 429.525 -0.067 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H](N)Cc1cn(C=O)c3ccccc13)C2 ZINC001160771601 1073270125 /nfs/dbraw/zinc/27/01/25/1073270125.db2.gz BHCNLSZDAKLZHN-HZPDHXFCSA-N 0 0 433.486 -0.262 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H](N)Cc1cn(C=O)c3ccccc13)C2 ZINC001160771602 1073270566 /nfs/dbraw/zinc/27/05/66/1073270566.db2.gz BHCNLSZDAKLZHN-JKSUJKDBSA-N 0 0 433.486 -0.262 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN([C@H]2CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001160783509 1073270899 /nfs/dbraw/zinc/27/08/99/1073270899.db2.gz LPFYEDWWRWJUCF-HNNXBMFYSA-N 0 0 433.575 -0.122 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN([C@@H]2CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001160783510 1073271052 /nfs/dbraw/zinc/27/10/52/1073271052.db2.gz LPFYEDWWRWJUCF-OAHLLOKOSA-N 0 0 433.575 -0.122 20 0 IBADRN COC(=O)[C@@]12C[NH2+]C[C@@H]1[C@H]1CN(C(=O)c3cc4n(n3)CCCN(CC(=O)[O-])C4=O)C[C@H]1C2 ZINC001160790916 1073271467 /nfs/dbraw/zinc/27/14/67/1073271467.db2.gz TXFIZWKWXNHTMP-VOUMKBAESA-N 0 0 445.476 -0.716 20 0 IBADRN CCOC(=O)[C@]12CN(C(=O)c3cc4n(n3)CCCN(CC(=O)[O-])C4=O)C[C@H]1C[NH2+]CC2 ZINC001160806634 1073272110 /nfs/dbraw/zinc/27/21/10/1073272110.db2.gz VJIMFGRCZGKNSM-ZUOKHONESA-N 0 0 433.465 -0.572 20 0 IBADRN Cn1cc(I)c(C(=O)N2CCN(CCS(N)(=O)=O)CC2)n1 ZINC001160878985 1073272610 /nfs/dbraw/zinc/27/26/10/1073272610.db2.gz JCMWRUBZPOYRKT-UHFFFAOYSA-N 0 0 427.268 -0.929 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Cn2nc(C(F)(F)F)c3c2CCOC3)CC1 ZINC001160885962 1073272633 /nfs/dbraw/zinc/27/26/33/1073272633.db2.gz LRRQIVBBIKLXLR-UHFFFAOYSA-N 0 0 425.433 -0.593 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1[C@H](C(=O)N2CCN(CCS(N)(=O)=O)CC2)C1(F)F ZINC001160885974 1073272520 /nfs/dbraw/zinc/27/25/20/1073272520.db2.gz MARIZCZRHSBZEW-VXGBXAGGSA-N 0 0 426.486 -0.175 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CCN(CC(=O)NCCc3ccccc3)CC1)CNC2 ZINC001160889764 1073272471 /nfs/dbraw/zinc/27/24/71/1073272471.db2.gz ATOGJKNOLUWYBU-GOSISDBHSA-N 0 0 425.537 -0.012 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CCN(CC(=O)NCCc3ccccc3)CC1)CNC2 ZINC001160889765 1073272598 /nfs/dbraw/zinc/27/25/98/1073272598.db2.gz ATOGJKNOLUWYBU-SFHVURJKSA-N 0 0 425.537 -0.012 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2CCN(CC(=O)NCCc3ccccc3)CC2)c(=O)[nH]1 ZINC001160893845 1073272588 /nfs/dbraw/zinc/27/25/88/1073272588.db2.gz CHDUBSPJTFEZHI-UHFFFAOYSA-N 0 0 438.492 -0.383 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001160924318 1073273680 /nfs/dbraw/zinc/27/36/80/1073273680.db2.gz AAKCWXMMMUVADL-HUUCEWRRSA-N 0 0 439.490 -0.368 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)cc1OC ZINC001160924358 1073273623 /nfs/dbraw/zinc/27/36/23/1073273623.db2.gz CHSTXBHAJQSXNF-ZIAGYGMSSA-N 0 0 427.479 -0.512 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC[C@H]4OCC(=O)N[C@@H]4C3)CC2)cc1 ZINC001160924411 1073273580 /nfs/dbraw/zinc/27/35/80/1073273580.db2.gz FOPZYNVVJIBXPW-QZTJIDSGSA-N 0 0 436.534 -0.583 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)[C@@H](C)[C@H]4NC(=O)[C@@H]4[C@@H](C)O)C3)C2=O)cc1 ZINC001160966144 1073274039 /nfs/dbraw/zinc/27/40/39/1073274039.db2.gz YXIAUYOBPIZUDF-DKLKFAHDSA-N 0 0 444.488 -0.150 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)[C@H](C)[C@H]4NC(=O)[C@@H]4[C@@H](C)O)C3)C2=O)cc1 ZINC001160966145 1073274108 /nfs/dbraw/zinc/27/41/08/1073274108.db2.gz YXIAUYOBPIZUDF-FMYQHBDJSA-N 0 0 444.488 -0.150 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)[C@@H](C)[C@H]4NC(=O)[C@@H]4[C@@H](C)O)C3)C2=O)cc1 ZINC001160966147 1073274561 /nfs/dbraw/zinc/27/45/61/1073274561.db2.gz YXIAUYOBPIZUDF-QNPGPCGKSA-N 0 0 444.488 -0.150 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)[C@H](C)[C@H]4NC(=O)[C@@H]4[C@@H](C)O)C3)C2=O)cc1 ZINC001160966148 1073274577 /nfs/dbraw/zinc/27/45/77/1073274577.db2.gz YXIAUYOBPIZUDF-XGZJEDISSA-N 0 0 444.488 -0.150 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)c4nnn5c4CNCC5)C3)C2=O)cc1 ZINC001160966711 1073274370 /nfs/dbraw/zinc/27/43/70/1073274370.db2.gz AGMKEWVSJQXRQE-FQEVSTJZSA-N 0 0 425.449 -0.273 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)c4nnn5c4CNCC5)C3)C2=O)cc1 ZINC001160966712 1073274454 /nfs/dbraw/zinc/27/44/54/1073274454.db2.gz AGMKEWVSJQXRQE-HXUWFJFHSA-N 0 0 425.449 -0.273 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)c1cc(N2CCOCC2)ncn1 ZINC001160986000 1073274951 /nfs/dbraw/zinc/27/49/51/1073274951.db2.gz DQGXSQXEYUVWNY-UHFFFAOYSA-N 0 0 435.506 -0.144 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)c1cn(C)c2c(cnn2C)c1=O ZINC001160987101 1073275007 /nfs/dbraw/zinc/27/50/07/1073275007.db2.gz QWLABYKZJOZKND-UHFFFAOYSA-N 0 0 433.490 -0.185 20 0 IBADRN C=C(N)C(=O)N1C[C@@H](N2CCN(c3ncccn3)CC2)C[C@H]1C(=O)N1CCC(F)(F)C1 ZINC001160991426 1073275039 /nfs/dbraw/zinc/27/50/39/1073275039.db2.gz CZXCNDSMZPTKOA-HOTGVXAUSA-N 0 0 435.479 -0.092 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2NCCN2CCNCC2=O)CN1 ZINC001161018649 1073275313 /nfs/dbraw/zinc/27/53/13/1073275313.db2.gz ABAOSZLYBNZWMA-PBHICJAKSA-N 0 0 444.492 -0.236 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O ZINC001161022536 1073275494 /nfs/dbraw/zinc/27/54/94/1073275494.db2.gz CKJACACVQSEMLG-GDAOTKPNSA-N 0 0 426.495 -0.324 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O ZINC001161022537 1073275359 /nfs/dbraw/zinc/27/53/59/1073275359.db2.gz CKJACACVQSEMLG-KWFDTGPKSA-N 0 0 426.495 -0.324 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O ZINC001161022538 1073275503 /nfs/dbraw/zinc/27/55/03/1073275503.db2.gz CKJACACVQSEMLG-MYFIVHGGSA-N 0 0 426.495 -0.324 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O ZINC001161022539 1073275334 /nfs/dbraw/zinc/27/53/34/1073275334.db2.gz CKJACACVQSEMLG-RSNWOKGLSA-N 0 0 426.495 -0.324 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC001161023164 1073275405 /nfs/dbraw/zinc/27/54/05/1073275405.db2.gz OBFPHFLFCLALCV-GXSJLCMTSA-N 0 0 435.549 -0.093 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC001161023165 1073275390 /nfs/dbraw/zinc/27/53/90/1073275390.db2.gz OBFPHFLFCLALCV-KOLCDFICSA-N 0 0 435.549 -0.093 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC001161023166 1073275286 /nfs/dbraw/zinc/27/52/86/1073275286.db2.gz OBFPHFLFCLALCV-MWLCHTKSSA-N 0 0 435.549 -0.093 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC001161023167 1073275463 /nfs/dbraw/zinc/27/54/63/1073275463.db2.gz OBFPHFLFCLALCV-ONGXEEELSA-N 0 0 435.549 -0.093 20 0 IBADRN O=C1C=CC(=O)N1CCOCCNc1cc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001161025185 1073275297 /nfs/dbraw/zinc/27/52/97/1073275297.db2.gz DGWJKPBNQHIGFI-UHFFFAOYSA-N 0 0 432.481 -0.497 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC(C(N)=S)CC1 ZINC001161031859 1073275928 /nfs/dbraw/zinc/27/59/28/1073275928.db2.gz MGUQYHRNJXSYEX-HOTGVXAUSA-N 0 0 437.566 -0.371 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)N4CCC[C@H]4C(N)=O)cn32)C1 ZINC001161034468 1073275943 /nfs/dbraw/zinc/27/59/43/1073275943.db2.gz JRLASEAWDPDRMM-GJZGRUSLSA-N 0 0 428.497 -0.211 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)N4CCC[C@H]4C(N)=O)cn32)C1 ZINC001161034469 1073275883 /nfs/dbraw/zinc/27/58/83/1073275883.db2.gz JRLASEAWDPDRMM-LSDHHAIUSA-N 0 0 428.497 -0.211 20 0 IBADRN CS(C)(=O)=NC(=O)[C@@H]1CCCN1C(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1 ZINC001161037355 1073275899 /nfs/dbraw/zinc/27/58/99/1073275899.db2.gz SVCBYQOQJXAFRW-CABCVRRESA-N 0 0 440.497 -0.026 20 0 IBADRN CS(C)(=O)=NC(=O)[C@@H]1CCCN1C(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1 ZINC001161037356 1073275948 /nfs/dbraw/zinc/27/59/48/1073275948.db2.gz SVCBYQOQJXAFRW-GJZGRUSLSA-N 0 0 440.497 -0.026 20 0 IBADRN CCOC(=O)CN1CCC(Nc2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001161081772 1073276297 /nfs/dbraw/zinc/27/62/97/1073276297.db2.gz HVCHPXZDLSGNNM-UHFFFAOYSA-N 0 0 435.529 -0.594 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN([C@@H]3C[NH2+][C@H](C(=O)N4CCC(F)(F)C4)C3)CC2)[n-]n1 ZINC001161122536 1073278446 /nfs/dbraw/zinc/27/84/46/1073278446.db2.gz ZKNMLMRKYZOJDT-STQMWFEESA-N 0 0 440.451 -0.448 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@](N)(C(=O)OC)C2)c2ccccc2)C(=O)C1=O ZINC001161137656 1073279386 /nfs/dbraw/zinc/27/93/86/1073279386.db2.gz FZSZKLQVAPJQSL-QVKFZJNVSA-N 0 0 445.476 -0.769 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@](N)(C(=O)OC)C2)c2ccccc2)C(=O)C1=O ZINC001161137660 1073279133 /nfs/dbraw/zinc/27/91/33/1073279133.db2.gz FZSZKLQVAPJQSL-VFNWGFHPSA-N 0 0 445.476 -0.769 20 0 IBADRN COC(=O)[C@@]1(N)CCN(C(=O)[C@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)C1 ZINC001161138219 1073279176 /nfs/dbraw/zinc/27/91/76/1073279176.db2.gz PWRDSSPGOQAHFN-AUUYWEPGSA-N 0 0 434.497 -0.643 20 0 IBADRN COC(=O)[C@@]1(N)CCN(C(=O)[C@@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)C1 ZINC001161138220 1073279791 /nfs/dbraw/zinc/27/97/91/1073279791.db2.gz PWRDSSPGOQAHFN-IFXJQAMLSA-N 0 0 434.497 -0.643 20 0 IBADRN COC(=O)[C@]1(N)CCN(C(=O)[C@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)C1 ZINC001161138221 1073279664 /nfs/dbraw/zinc/27/96/64/1073279664.db2.gz PWRDSSPGOQAHFN-KUHUBIRLSA-N 0 0 434.497 -0.643 20 0 IBADRN COC(=O)[C@]1(N)CCN(C(=O)[C@@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)C1 ZINC001161138222 1073279833 /nfs/dbraw/zinc/27/98/33/1073279833.db2.gz PWRDSSPGOQAHFN-LIRRHRJNSA-N 0 0 434.497 -0.643 20 0 IBADRN COC(=O)[C@]1(N)CCN(C(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001161138894 1073279672 /nfs/dbraw/zinc/27/96/72/1073279672.db2.gz GPNFFSNOLLSHRC-HOIFWPIMSA-N 0 0 441.488 -0.423 20 0 IBADRN COC(=O)[C@@]1(N)CCN(C(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001161138895 1073279755 /nfs/dbraw/zinc/27/97/55/1073279755.db2.gz GPNFFSNOLLSHRC-PNLZDCPESA-N 0 0 441.488 -0.423 20 0 IBADRN COC(=O)[C@@]1(N)CCN(C(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)C1 ZINC001161139284 1073279776 /nfs/dbraw/zinc/27/97/76/1073279776.db2.gz QGHGXDAGRMLNHP-KPZWWZAWSA-N 0 0 436.494 -0.805 20 0 IBADRN COC(=O)[C@@]1(N)CCN(C(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)C1 ZINC001161139285 1073279804 /nfs/dbraw/zinc/27/98/04/1073279804.db2.gz QGHGXDAGRMLNHP-KZULUSFZSA-N 0 0 436.494 -0.805 20 0 IBADRN COC(=O)[C@]1(N)CCN(C(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)C1 ZINC001161139286 1073279731 /nfs/dbraw/zinc/27/97/31/1073279731.db2.gz QGHGXDAGRMLNHP-SGTLLEGYSA-N 0 0 436.494 -0.805 20 0 IBADRN COC(=O)[C@]1(N)CCN(C(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)C1 ZINC001161139287 1073279903 /nfs/dbraw/zinc/27/99/03/1073279903.db2.gz QGHGXDAGRMLNHP-XIKOKIGWSA-N 0 0 436.494 -0.805 20 0 IBADRN COC(=O)[C@]1(N)CCN(C(=O)c2ccc3ccc(N4CCN(S(C)(=O)=O)CC4)n3c2)C1 ZINC001161139379 1073279867 /nfs/dbraw/zinc/27/98/67/1073279867.db2.gz SCFPUHCEDKJJGB-FQEVSTJZSA-N 0 0 449.533 -0.263 20 0 IBADRN COC(=O)[C@@]1(N)CCN(C(=O)c2ccc3ccc(N4CCN(S(C)(=O)=O)CC4)n3c2)C1 ZINC001161139380 1073279747 /nfs/dbraw/zinc/27/97/47/1073279747.db2.gz SCFPUHCEDKJJGB-HXUWFJFHSA-N 0 0 449.533 -0.263 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3NCCS3)CC2)c2nonc21 ZINC001161152898 1073280193 /nfs/dbraw/zinc/28/01/93/1073280193.db2.gz APDIVUMDQFJQHF-OAHLLOKOSA-N 0 0 426.524 -0.216 20 0 IBADRN COC(=O)[C@@H](N)CC(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001161152972 1073280263 /nfs/dbraw/zinc/28/02/63/1073280263.db2.gz BZWSTVGIYFPEBO-NSHDSACASA-N 0 0 440.482 -0.988 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3CCC(=O)CN3)CC2)c2nonc21 ZINC001161153051 1073280672 /nfs/dbraw/zinc/28/06/72/1073280672.db2.gz CYRSHPDKWSYSHF-CYBMUJFWSA-N 0 0 436.494 -0.557 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3CCC(=O)CN3)CC2)c2nonc21 ZINC001161153053 1073280808 /nfs/dbraw/zinc/28/08/08/1073280808.db2.gz CYRSHPDKWSYSHF-ZDUSSCGKSA-N 0 0 436.494 -0.557 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@]3(C)COCCN3)CC2)c2nonc21 ZINC001161153654 1073280680 /nfs/dbraw/zinc/28/06/80/1073280680.db2.gz MRSOLPJXPDGFEA-GOSISDBHSA-N 0 0 438.510 -0.500 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@]3(C)COCCN3)CC2)c2nonc21 ZINC001161153655 1073280657 /nfs/dbraw/zinc/28/06/57/1073280657.db2.gz MRSOLPJXPDGFEA-SFHVURJKSA-N 0 0 438.510 -0.500 20 0 IBADRN CCOC(=O)[C@H](N)C(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001161153713 1073280763 /nfs/dbraw/zinc/28/07/63/1073280763.db2.gz ONSMLBVAKVDIJP-CYBMUJFWSA-N 0 0 440.482 -0.988 20 0 IBADRN CCOC(=O)[C@@H](N)C(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001161153714 1073280718 /nfs/dbraw/zinc/28/07/18/1073280718.db2.gz ONSMLBVAKVDIJP-ZDUSSCGKSA-N 0 0 440.482 -0.988 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3cnc(N)cn3)CC2)c2nonc21 ZINC001161154081 1073281137 /nfs/dbraw/zinc/28/11/37/1073281137.db2.gz URURRJJWSRQKAQ-UHFFFAOYSA-N 0 0 432.466 -0.192 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3nc(N)cn3C)CC2)c2nonc21 ZINC001161154143 1073281077 /nfs/dbraw/zinc/28/10/77/1073281077.db2.gz VOEQNFAFPSQQAL-UHFFFAOYSA-N 0 0 434.482 -0.249 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3cc(N)nn3C)CC2)c2nonc21 ZINC001161154157 1073281169 /nfs/dbraw/zinc/28/11/69/1073281169.db2.gz VUXOHCGWWOSAIU-UHFFFAOYSA-N 0 0 434.482 -0.249 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3CSCN3)CC2)c2nonc21 ZINC001161154303 1073281199 /nfs/dbraw/zinc/28/11/99/1073281199.db2.gz WZIOHKFXOUTKRQ-NSHDSACASA-N 0 0 426.524 -0.216 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)c2nnn3c2CNCC3)CCO1 ZINC001161170178 1073281576 /nfs/dbraw/zinc/28/15/76/1073281576.db2.gz KAUCHMYZKZKOQU-HNNXBMFYSA-N 0 0 426.437 -0.186 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)c2nnn3c2CNCC3)CCO1 ZINC001161170179 1073281652 /nfs/dbraw/zinc/28/16/52/1073281652.db2.gz KAUCHMYZKZKOQU-OAHLLOKOSA-N 0 0 426.437 -0.186 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)[C@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)CCO1 ZINC001161172439 1073282157 /nfs/dbraw/zinc/28/21/57/1073282157.db2.gz UPEHPRWAYFUGGG-GYRMQKGHSA-N 0 0 445.476 -0.063 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)[C@@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)CCO1 ZINC001161172442 1073282009 /nfs/dbraw/zinc/28/20/09/1073282009.db2.gz UPEHPRWAYFUGGG-IUNOTWKLSA-N 0 0 445.476 -0.063 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)[C@@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)CCO1 ZINC001161172444 1073282040 /nfs/dbraw/zinc/28/20/40/1073282040.db2.gz UPEHPRWAYFUGGG-NCZBFZFDSA-N 0 0 445.476 -0.063 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)[C@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)CCO1 ZINC001161172446 1073282131 /nfs/dbraw/zinc/28/21/31/1073282131.db2.gz UPEHPRWAYFUGGG-UNTBTUBKSA-N 0 0 445.476 -0.063 20 0 IBADRN CN(CC(=O)N(CCCN1CCOCC1)CC1CC[NH2+]CC1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001161198357 1073282568 /nfs/dbraw/zinc/28/25/68/1073282568.db2.gz QDUBWXCKDPCKDP-UHFFFAOYSA-N 0 0 434.478 -0.914 20 0 IBADRN C[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC[C@H]1O ZINC001161212560 1073282514 /nfs/dbraw/zinc/28/25/14/1073282514.db2.gz XOEKKCSHYUNTRZ-CXAGYDPISA-N 0 0 445.563 -0.036 20 0 IBADRN C[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC[C@@H]1O ZINC001161212562 1073282538 /nfs/dbraw/zinc/28/25/38/1073282538.db2.gz XOEKKCSHYUNTRZ-DYVFJYSZSA-N 0 0 445.563 -0.036 20 0 IBADRN C[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC[C@@H]1O ZINC001161212565 1073282491 /nfs/dbraw/zinc/28/24/91/1073282491.db2.gz XOEKKCSHYUNTRZ-GUYCJALGSA-N 0 0 445.563 -0.036 20 0 IBADRN C[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC[C@H]1O ZINC001161212568 1073282578 /nfs/dbraw/zinc/28/25/78/1073282578.db2.gz XOEKKCSHYUNTRZ-SUMWQHHRSA-N 0 0 445.563 -0.036 20 0 IBADRN O=C([C@H]1CCCO1)N1CCC(Nc2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001161217803 1073282540 /nfs/dbraw/zinc/28/25/40/1073282540.db2.gz QOUQVHPUMKUQRV-QGZVFWFLSA-N 0 0 447.540 -0.451 20 0 IBADRN O=C(c1ccccn1)N1CC[C@@H](Nc2nc(N3CCOCC3)nc(N3CCOCC3)n2)C1 ZINC001161225162 1073282829 /nfs/dbraw/zinc/28/28/29/1073282829.db2.gz XCRSECRNHYOIJR-MRXNPFEDSA-N 0 0 440.508 -0.312 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)NCC1(N2CCOCC2)CCOCC1 ZINC001161231763 1073283073 /nfs/dbraw/zinc/28/30/73/1073283073.db2.gz ACBNUHLJSPWBIW-KRWDZBQOSA-N 0 0 436.484 -0.203 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)NCC1(N2CCOCC2)CCOCC1 ZINC001161231765 1073282935 /nfs/dbraw/zinc/28/29/35/1073282935.db2.gz ACBNUHLJSPWBIW-QGZVFWFLSA-N 0 0 436.484 -0.203 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)NCC1(N2CCOCC2)CCOCC1 ZINC001161232246 1073283011 /nfs/dbraw/zinc/28/30/11/1073283011.db2.gz NFYRJWOJBCXSOW-UHFFFAOYSA-N 0 0 426.535 -0.007 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)c1 ZINC001161254791 1073282822 /nfs/dbraw/zinc/28/28/22/1073282822.db2.gz UXRUXVVDVVZZRV-GFCCVEGCSA-N 0 0 429.524 -0.299 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)c1 ZINC001161254792 1073283039 /nfs/dbraw/zinc/28/30/39/1073283039.db2.gz UXRUXVVDVVZZRV-LBPRGKRZSA-N 0 0 429.524 -0.299 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1 ZINC001161275302 1073283445 /nfs/dbraw/zinc/28/34/45/1073283445.db2.gz DLXMFZQWLUTGJB-CVEARBPZSA-N 0 0 444.531 -0.423 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1 ZINC001161275303 1073283464 /nfs/dbraw/zinc/28/34/64/1073283464.db2.gz DLXMFZQWLUTGJB-HOTGVXAUSA-N 0 0 444.531 -0.423 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1 ZINC001161275304 1073283470 /nfs/dbraw/zinc/28/34/70/1073283470.db2.gz DLXMFZQWLUTGJB-HZPDHXFCSA-N 0 0 444.531 -0.423 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1 ZINC001161275305 1073283410 /nfs/dbraw/zinc/28/34/10/1073283410.db2.gz DLXMFZQWLUTGJB-JKSUJKDBSA-N 0 0 444.531 -0.423 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCS(=O)(=O)[C@H]4COCC[C@H]43)ccc21 ZINC001161276707 1073283850 /nfs/dbraw/zinc/28/38/50/1073283850.db2.gz LOOYCMXHQLUYLF-MSOLQXFVSA-N 0 0 435.502 -0.260 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCS(=O)(=O)[C@@H]4COCC[C@H]43)ccc21 ZINC001161276708 1073283824 /nfs/dbraw/zinc/28/38/24/1073283824.db2.gz LOOYCMXHQLUYLF-QZTJIDSGSA-N 0 0 435.502 -0.260 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCS(=O)(=O)[C@H]4COCC[C@@H]43)ccc21 ZINC001161276709 1073283812 /nfs/dbraw/zinc/28/38/12/1073283812.db2.gz LOOYCMXHQLUYLF-ROUUACIJSA-N 0 0 435.502 -0.260 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCS(=O)(=O)[C@@H]4COCC[C@@H]43)ccc21 ZINC001161276710 1073283872 /nfs/dbraw/zinc/28/38/72/1073283872.db2.gz LOOYCMXHQLUYLF-ZWKOTPCHSA-N 0 0 435.502 -0.260 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc(OC)c1OC ZINC001161276892 1073283806 /nfs/dbraw/zinc/28/38/06/1073283806.db2.gz LQECJPDBBZSPCR-BBRMVZONSA-N 0 0 442.490 -0.143 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc(OC)c1OC ZINC001161276893 1073283819 /nfs/dbraw/zinc/28/38/19/1073283819.db2.gz LQECJPDBBZSPCR-CJNGLKHVSA-N 0 0 442.490 -0.143 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc(OC)c1OC ZINC001161276894 1073283831 /nfs/dbraw/zinc/28/38/31/1073283831.db2.gz LQECJPDBBZSPCR-CZUORRHYSA-N 0 0 442.490 -0.143 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc(OC)c1OC ZINC001161276895 1073283879 /nfs/dbraw/zinc/28/38/79/1073283879.db2.gz LQECJPDBBZSPCR-XJKSGUPXSA-N 0 0 442.490 -0.143 20 0 IBADRN COc1cc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc(OC)c1OCC(N)=O ZINC001161278870 1073283862 /nfs/dbraw/zinc/28/38/62/1073283862.db2.gz VCOCHWPISFOHJE-DOMZBBRYSA-N 0 0 428.463 -0.404 20 0 IBADRN COc1cc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc(OC)c1OCC(N)=O ZINC001161278871 1073283887 /nfs/dbraw/zinc/28/38/87/1073283887.db2.gz VCOCHWPISFOHJE-IUODEOHRSA-N 0 0 428.463 -0.404 20 0 IBADRN COc1cc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc(OC)c1OCC(N)=O ZINC001161278872 1073283876 /nfs/dbraw/zinc/28/38/76/1073283876.db2.gz VCOCHWPISFOHJE-SWLSCSKDSA-N 0 0 428.463 -0.404 20 0 IBADRN COc1cc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc(OC)c1OCC(N)=O ZINC001161278873 1073283881 /nfs/dbraw/zinc/28/38/81/1073283881.db2.gz VCOCHWPISFOHJE-WFASDCNBSA-N 0 0 428.463 -0.404 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)C1=O ZINC001161283783 1073283855 /nfs/dbraw/zinc/28/38/55/1073283855.db2.gz IOPHKEKFYNJCRR-KKUMJFAQSA-N 0 0 430.523 -0.019 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)C1=O ZINC001161283784 1073283790 /nfs/dbraw/zinc/28/37/90/1073283790.db2.gz IOPHKEKFYNJCRR-RRFJBIMHSA-N 0 0 430.523 -0.019 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)C1=O ZINC001161283785 1073283842 /nfs/dbraw/zinc/28/38/42/1073283842.db2.gz IOPHKEKFYNJCRR-SOUVJXGZSA-N 0 0 430.523 -0.019 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)C1=O ZINC001161283786 1073283884 /nfs/dbraw/zinc/28/38/84/1073283884.db2.gz IOPHKEKFYNJCRR-ZNMIVQPWSA-N 0 0 430.523 -0.019 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)[C@H]1c1nccn1C ZINC001161283881 1073283846 /nfs/dbraw/zinc/28/38/46/1073283846.db2.gz IWCGQOLFLXVIJM-SDADXPQNSA-N 0 0 426.495 -0.877 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)[C@H]1c1nccn1C ZINC001161283883 1073283800 /nfs/dbraw/zinc/28/38/00/1073283800.db2.gz IWCGQOLFLXVIJM-VDERGJSUSA-N 0 0 426.495 -0.877 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)[C@H]1c1nccn1C ZINC001161283885 1073283865 /nfs/dbraw/zinc/28/38/65/1073283865.db2.gz IWCGQOLFLXVIJM-VRKREXBASA-N 0 0 426.495 -0.877 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)[C@H]1c1nccn1C ZINC001161283888 1073283834 /nfs/dbraw/zinc/28/38/34/1073283834.db2.gz IWCGQOLFLXVIJM-XRGAULLZSA-N 0 0 426.495 -0.877 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001161285016 1073284196 /nfs/dbraw/zinc/28/41/96/1073284196.db2.gz QPSWGHHWYGTEBS-FMSGJZPZSA-N 0 0 438.549 -0.810 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001161285018 1073284204 /nfs/dbraw/zinc/28/42/04/1073284204.db2.gz QPSWGHHWYGTEBS-MNXVOIDGSA-N 0 0 438.549 -0.810 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001161285019 1073284165 /nfs/dbraw/zinc/28/41/65/1073284165.db2.gz QPSWGHHWYGTEBS-OPDFLTKYSA-N 0 0 438.549 -0.810 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001161285021 1073284216 /nfs/dbraw/zinc/28/42/16/1073284216.db2.gz QPSWGHHWYGTEBS-SFTQSGBHSA-N 0 0 438.549 -0.810 20 0 IBADRN O=C(CNc1nc(N2CCOCC2)nc(N2CCOCC2)n1)NCc1ccc(F)cc1 ZINC001161334251 1073285162 /nfs/dbraw/zinc/28/51/62/1073285162.db2.gz FJVFNKHVVMLGKD-UHFFFAOYSA-N 0 0 431.472 -0.166 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC001161351234 1073285278 /nfs/dbraw/zinc/28/52/78/1073285278.db2.gz FENHWYAIPYFIMZ-GASCZTMLSA-N 0 0 436.490 -0.822 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)N3CCCC3)ccc2Cl)CC1=O ZINC001161384428 1073285545 /nfs/dbraw/zinc/28/55/45/1073285545.db2.gz GZNBBSXSYNYYKA-UHFFFAOYSA-N 0 0 428.898 -0.106 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)CCCC(=O)N2CCN(CC(N)=O)C(=O)C2)cc1 ZINC001161384473 1073285486 /nfs/dbraw/zinc/28/54/86/1073285486.db2.gz JFUHMMYBIHVFGU-UHFFFAOYSA-N 0 0 438.506 -0.554 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(N)=O)C(=O)C2)cc1S(=O)(=O)NC(C)(C)C ZINC001161384622 1073285602 /nfs/dbraw/zinc/28/56/02/1073285602.db2.gz LRBWUDKEAYXJFE-UHFFFAOYSA-N 0 0 426.495 -0.458 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(CC(N)=O)C(=O)C3)c2)C[C@H](C)O1 ZINC001161384696 1073285659 /nfs/dbraw/zinc/28/56/59/1073285659.db2.gz NURQLBXIAWGGPM-KBPBESRZSA-N 0 0 438.506 -0.746 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(CC(N)=O)C(=O)C3)c2)C[C@H](C)O1 ZINC001161384697 1073285493 /nfs/dbraw/zinc/28/54/93/1073285493.db2.gz NURQLBXIAWGGPM-OKILXGFUSA-N 0 0 438.506 -0.746 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CCN(CC(N)=O)C(=O)C3)c2)C[C@@H](C)O1 ZINC001161384698 1073285586 /nfs/dbraw/zinc/28/55/86/1073285586.db2.gz NURQLBXIAWGGPM-ZIAGYGMSSA-N 0 0 438.506 -0.746 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2ccc(Cl)c(S(=O)(=O)N3CCCC3)c2)CC1=O ZINC001161384994 1073285568 /nfs/dbraw/zinc/28/55/68/1073285568.db2.gz SROPIRNCXNRKSZ-UHFFFAOYSA-N 0 0 428.898 -0.106 20 0 IBADRN NC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3ccc(F)c(F)c3)CC2)CC1=O ZINC001161385047 1073285622 /nfs/dbraw/zinc/28/56/22/1073285622.db2.gz VJNIJZQEKZBJJX-UHFFFAOYSA-N 0 0 444.460 -0.478 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCNC(=O)c2cc(F)ccc2Br)CC1=O ZINC001161392742 1073285615 /nfs/dbraw/zinc/28/56/15/1073285615.db2.gz DYBXRCYJJAWQQO-UHFFFAOYSA-N 0 0 429.246 -0.136 20 0 IBADRN CS(=O)(=O)c1ccc(-c2csc(CC(=O)N3CCN(CC(N)=O)C(=O)C3)n2)cc1 ZINC001161394249 1073285640 /nfs/dbraw/zinc/28/56/40/1073285640.db2.gz YFIFTJAYLQLNTR-UHFFFAOYSA-N 0 0 436.515 -0.088 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001161400410 1073286034 /nfs/dbraw/zinc/28/60/34/1073286034.db2.gz CMSONBTYMVMHFV-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001161400412 1073285901 /nfs/dbraw/zinc/28/59/01/1073285901.db2.gz CMSONBTYMVMHFV-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001161401176 1073286003 /nfs/dbraw/zinc/28/60/03/1073286003.db2.gz KQCBFFJSHGEXRN-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN COC(=O)[C@@](C)(CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001161405469 1073286062 /nfs/dbraw/zinc/28/60/62/1073286062.db2.gz HWUBKVVGNKTSMI-KPZWWZAWSA-N 0 0 426.447 -0.336 20 0 IBADRN COC(=O)[C@@](C)(CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001161405470 1073286042 /nfs/dbraw/zinc/28/60/42/1073286042.db2.gz HWUBKVVGNKTSMI-KZULUSFZSA-N 0 0 426.447 -0.336 20 0 IBADRN COC(=O)[C@](C)(CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001161405471 1073285996 /nfs/dbraw/zinc/28/59/96/1073285996.db2.gz HWUBKVVGNKTSMI-SGTLLEGYSA-N 0 0 426.447 -0.336 20 0 IBADRN COC(=O)[C@](C)(CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001161405472 1073286054 /nfs/dbraw/zinc/28/60/54/1073286054.db2.gz HWUBKVVGNKTSMI-XIKOKIGWSA-N 0 0 426.447 -0.336 20 0 IBADRN COC(=O)[C@@](C)(CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001161405934 1073285957 /nfs/dbraw/zinc/28/59/57/1073285957.db2.gz RTIZEWDFQMYZBE-GOSISDBHSA-N 0 0 427.479 -0.807 20 0 IBADRN COC(=O)[C@](C)(CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001161405936 1073286060 /nfs/dbraw/zinc/28/60/60/1073286060.db2.gz RTIZEWDFQMYZBE-SFHVURJKSA-N 0 0 427.479 -0.807 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@@](C)(CO)C(=O)OC)CC1 ZINC001161406085 1073285990 /nfs/dbraw/zinc/28/59/90/1073285990.db2.gz VZTIFOWWOCQBRE-IBGZPJMESA-N 0 0 442.490 -0.086 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@](C)(CO)C(=O)OC)CC1 ZINC001161406086 1073285974 /nfs/dbraw/zinc/28/59/74/1073285974.db2.gz VZTIFOWWOCQBRE-LJQANCHMSA-N 0 0 442.490 -0.086 20 0 IBADRN COC(=O)[C@@](C)(CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001161412798 1073285915 /nfs/dbraw/zinc/28/59/15/1073285915.db2.gz ICXXAAGXQDAWBL-GOSISDBHSA-N 0 0 430.479 -0.701 20 0 IBADRN COC(=O)[C@](C)(CO)NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001161412799 1073286025 /nfs/dbraw/zinc/28/60/25/1073286025.db2.gz ICXXAAGXQDAWBL-SFHVURJKSA-N 0 0 430.479 -0.701 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CC4(C3)CCOC4)CC2)cc1 ZINC001161421766 1073285950 /nfs/dbraw/zinc/28/59/50/1073285950.db2.gz MSONGAZOCRTZJA-UHFFFAOYSA-N 0 0 443.547 -0.016 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(S(=O)(=O)NC(C)C)CC3)c2C1 ZINC001161434035 1073285939 /nfs/dbraw/zinc/28/59/39/1073285939.db2.gz TXKRQGDTECOXIW-HNNXBMFYSA-N 0 0 426.543 -0.418 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(S(=O)(=O)NC(C)C)CC3)c2C1 ZINC001161434037 1073286442 /nfs/dbraw/zinc/28/64/42/1073286442.db2.gz TXKRQGDTECOXIW-OAHLLOKOSA-N 0 0 426.543 -0.418 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)N1CCN(S(=O)(=O)NC(C)C)CC1 ZINC001161434051 1073286400 /nfs/dbraw/zinc/28/64/00/1073286400.db2.gz UEPCOYSGSWEFET-UHFFFAOYSA-N 0 0 438.597 -0.074 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)ccc1OC ZINC001161434673 1073286302 /nfs/dbraw/zinc/28/63/02/1073286302.db2.gz ZOLROSJIPBCZFP-UHFFFAOYSA-N 0 0 434.540 -0.396 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)Cc1ccc(S(N)(=O)=O)cc1)C1CCCC1 ZINC001161439908 1073286323 /nfs/dbraw/zinc/28/63/23/1073286323.db2.gz APBHMBJSYUQBIE-INIZCTEOSA-N 0 0 432.568 -0.053 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)Cc1ccc(S(N)(=O)=O)cc1)C1CCCC1 ZINC001161439909 1073286394 /nfs/dbraw/zinc/28/63/94/1073286394.db2.gz APBHMBJSYUQBIE-MRXNPFEDSA-N 0 0 432.568 -0.053 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C1CCCC1 ZINC001161439938 1073286450 /nfs/dbraw/zinc/28/64/50/1073286450.db2.gz BMHNVQPWMYBOCM-HNNXBMFYSA-N 0 0 445.567 -0.445 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1)C1CCCC1 ZINC001161439939 1073286434 /nfs/dbraw/zinc/28/64/34/1073286434.db2.gz BMHNVQPWMYBOCM-OAHLLOKOSA-N 0 0 445.567 -0.445 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)CSCC(=O)N1CCOCC1)C1CCCC1 ZINC001161439952 1073286333 /nfs/dbraw/zinc/28/63/33/1073286333.db2.gz CEEQKALCWJMLDT-HNNXBMFYSA-N 0 0 436.600 -0.351 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)CSCC(=O)N1CCOCC1)C1CCCC1 ZINC001161439953 1073286372 /nfs/dbraw/zinc/28/63/72/1073286372.db2.gz CEEQKALCWJMLDT-OAHLLOKOSA-N 0 0 436.600 -0.351 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1)n1cccn1 ZINC001161441983 1073286350 /nfs/dbraw/zinc/28/63/50/1073286350.db2.gz WAOMDPKJXKORQD-GDBMZVCRSA-N 0 0 428.559 -0.027 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1)n1cccn1 ZINC001161441984 1073286426 /nfs/dbraw/zinc/28/64/26/1073286426.db2.gz WAOMDPKJXKORQD-GOEBONIOSA-N 0 0 428.559 -0.027 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1)n1cccn1 ZINC001161441985 1073286376 /nfs/dbraw/zinc/28/63/76/1073286376.db2.gz WAOMDPKJXKORQD-HOCLYGCPSA-N 0 0 428.559 -0.027 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1)n1cccn1 ZINC001161441986 1073286385 /nfs/dbraw/zinc/28/63/85/1073286385.db2.gz WAOMDPKJXKORQD-ZBFHGGJFSA-N 0 0 428.559 -0.027 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)c1cc2n(n1)CCN(C)S2(=O)=O)C1CCCC1 ZINC001161447493 1073286343 /nfs/dbraw/zinc/28/63/43/1073286343.db2.gz QXJNMLDIGKIXNA-AWEZNQCLSA-N 0 0 448.571 -0.798 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)c1cc2n(n1)CCN(C)S2(=O)=O)C1CCCC1 ZINC001161447499 1073286363 /nfs/dbraw/zinc/28/63/63/1073286363.db2.gz QXJNMLDIGKIXNA-CQSZACIVSA-N 0 0 448.571 -0.798 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001161454801 1073286832 /nfs/dbraw/zinc/28/68/32/1073286832.db2.gz HDEXHDGZJZHTQU-JTQLQIEISA-N 0 0 436.412 -0.472 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001161454802 1073286754 /nfs/dbraw/zinc/28/67/54/1073286754.db2.gz HDEXHDGZJZHTQU-SNVBAGLBSA-N 0 0 436.412 -0.472 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)N[C@H](CO)[C@@H](C)O)CC2=O)cc1 ZINC001161455472 1073286863 /nfs/dbraw/zinc/28/68/63/1073286863.db2.gz SOPFTTJEFGCVRD-CKEIUWERSA-N 0 0 427.523 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@@H](C(=O)N[C@H](CO)[C@@H](C)O)CC2=O)cc1 ZINC001161455473 1073286784 /nfs/dbraw/zinc/28/67/84/1073286784.db2.gz SOPFTTJEFGCVRD-JKIFEVAISA-N 0 0 427.523 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCOC[C@@H]2CC(N)=O)cc1 ZINC001161475888 1073286821 /nfs/dbraw/zinc/28/68/21/1073286821.db2.gz AWSVPLOWSNDXSL-HNNXBMFYSA-N 0 0 440.522 -0.450 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCOC[C@H]2CC(N)=O)cc1 ZINC001161475889 1073286834 /nfs/dbraw/zinc/28/68/34/1073286834.db2.gz AWSVPLOWSNDXSL-OAHLLOKOSA-N 0 0 440.522 -0.450 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3ccc(C(=O)N4CCOCC4)cn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161485608 1073287909 /nfs/dbraw/zinc/28/79/09/1073287909.db2.gz LFPHDDDLHQMTFO-ZMSDIMECSA-N 0 0 431.449 -0.115 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3cc(Cl)nc(S(C)(=O)=O)n3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161485645 1073287921 /nfs/dbraw/zinc/28/79/21/1073287921.db2.gz MFXSWTZHDKHZKQ-YGOYTEALSA-N 0 0 431.858 -0.135 20 0 IBADRN COC(=O)c1ccc(N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)nc1C(=O)OC ZINC001161486373 1073288387 /nfs/dbraw/zinc/28/83/87/1073288387.db2.gz DRHQMYHYRZECHC-OUCADQQQSA-N 0 0 434.405 -0.014 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3nc(N4CCOCC4)nc4c3CCN4)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161486379 1073288366 /nfs/dbraw/zinc/28/83/66/1073288366.db2.gz DXLSZBYWEUTVTL-RRFJBIMHSA-N 0 0 445.480 -0.388 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3[nH+]cnc4[n-]cc(S(C)(=O)=O)c43)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161486602 1073288435 /nfs/dbraw/zinc/28/84/35/1073288435.db2.gz FUINGTDDGMFZSC-HOSYDEDBSA-N 0 0 436.450 -0.307 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3nc(Cl)nc(N4CCOCC4)n3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161487147 1073288335 /nfs/dbraw/zinc/28/83/35/1073288335.db2.gz OSEWKAOBTILQMB-QJPTWQEYSA-N 0 0 439.860 -0.307 20 0 IBADRN COc1cc(N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)nc(S(C)(=O)=O)n1 ZINC001161490394 1073288448 /nfs/dbraw/zinc/28/84/48/1073288448.db2.gz ALAYLPQNGBSXJE-OPQQBVKSSA-N 0 0 427.439 -0.780 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3ncc4c(n3)N3CCC[C@@H]3C(=O)N4C)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161490500 1073288328 /nfs/dbraw/zinc/28/83/28/1073288328.db2.gz GQKJWQDOBATDCE-CTHBEMJXSA-N 0 0 443.464 -0.247 20 0 IBADRN CCNS(=O)(=O)c1ccc(N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)nc1 ZINC001161490522 1073288406 /nfs/dbraw/zinc/28/84/06/1073288406.db2.gz HPGHWDULYRWIEJ-GZBFAFLISA-N 0 0 425.467 -0.289 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3ccc(S(=O)(=O)N(C)C)cn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161490560 1073288373 /nfs/dbraw/zinc/28/83/73/1073288373.db2.gz JBRCPPMQKJKIBD-GZBFAFLISA-N 0 0 425.467 -0.337 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3nc4c(c(N5CCOCC5)n3)CNC4)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161490596 1073288345 /nfs/dbraw/zinc/28/83/45/1073288345.db2.gz LKVBCXJXGDXZLA-NUEKZKHPSA-N 0 0 445.480 -0.752 20 0 IBADRN CCOC(=O)c1nc2ccn(C)c2c(N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)n1 ZINC001161490731 1073288296 /nfs/dbraw/zinc/28/82/96/1073288296.db2.gz RMTQABSRUFMJQK-BFHYXJOUSA-N 0 0 444.448 -0.102 20 0 IBADRN CCNS(=O)(=O)c1cccnc1N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001161490873 1073288393 /nfs/dbraw/zinc/28/83/93/1073288393.db2.gz ZLYQZTRMWRSKEN-OUCADQQQSA-N 0 0 425.467 -0.289 20 0 IBADRN Cc1ccc(S(=O)(=O)n2cnc(C[C@@H](N)C(=O)N3C[C@@H]4COC[C@H](C3)C4=O)c2)cc1 ZINC001161491992 1073288852 /nfs/dbraw/zinc/28/88/52/1073288852.db2.gz LMCVFKHROZYCMQ-RVKKMQEKSA-N 0 0 432.502 -0.028 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3ccc(S(=O)(=O)NC4CC4)cn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161492019 1073288829 /nfs/dbraw/zinc/28/88/29/1073288829.db2.gz HNWDRADGNBVXLG-SQWLQELKSA-N 0 0 437.478 -0.146 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3ccc(C(=O)NC4CCOCC4)nn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161492420 1073288714 /nfs/dbraw/zinc/28/87/14/1073288714.db2.gz LYZRIWKNUIYJSY-ZMSDIMECSA-N 0 0 446.464 -0.283 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3cc(N4CCC[C@H]4C(N)=O)ncn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161492725 1073288796 /nfs/dbraw/zinc/28/87/96/1073288796.db2.gz PIMJURXNSJZMAS-BCUIYNNISA-N 0 0 431.453 -0.738 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3cc(N4CCC[C@@H]4C(N)=O)ncn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161492727 1073288885 /nfs/dbraw/zinc/28/88/85/1073288885.db2.gz PIMJURXNSJZMAS-VPWBDBDCSA-N 0 0 431.453 -0.738 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3nc(Cl)nc4c3S(=O)(=O)CC4)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161492767 1073288864 /nfs/dbraw/zinc/28/88/64/1073288864.db2.gz QWCANBURKGVKLB-HBNTYKKESA-N 0 0 443.869 -0.209 20 0 IBADRN Cc1cn([C@H]2C[C@H](Nc3nc(Cl)ccc3S(N)(=O)=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001161492822 1073288692 /nfs/dbraw/zinc/28/86/92/1073288692.db2.gz SAUVIMOMVIGHEJ-YGOYTEALSA-N 0 0 431.858 -0.286 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001161515242 1073289682 /nfs/dbraw/zinc/28/96/82/1073289682.db2.gz IQRIJOJTVZEFIV-KRWDZBQOSA-N 0 0 432.520 -0.220 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001161515243 1073290151 /nfs/dbraw/zinc/29/01/51/1073290151.db2.gz IQRIJOJTVZEFIV-QGZVFWFLSA-N 0 0 432.520 -0.220 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC(S(N)(=O)=O)C3)CC2)cc1 ZINC001161529063 1073290041 /nfs/dbraw/zinc/29/00/41/1073290041.db2.gz PQWBNWJLHHWPNF-UHFFFAOYSA-N 0 0 431.536 -0.015 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1CN(C(=O)OCc2ccccc2)C1 ZINC001161549040 1073290228 /nfs/dbraw/zinc/29/02/28/1073290228.db2.gz YATZRWLNQVEXHK-UHFFFAOYSA-N 0 0 440.522 -0.042 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001161568216 1073290107 /nfs/dbraw/zinc/29/01/07/1073290107.db2.gz CYQQDXXVEQZSIX-CQSZACIVSA-N 0 0 425.504 -0.116 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001161568225 1073290030 /nfs/dbraw/zinc/29/00/30/1073290030.db2.gz CZXKQZAKQSLVFL-UHFFFAOYSA-N 0 0 426.492 -0.952 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2F)C1=O ZINC001161568914 1073290244 /nfs/dbraw/zinc/29/02/44/1073290244.db2.gz ZWDCWSCMIKTPLX-CYBMUJFWSA-N 0 0 445.469 -0.278 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2F)C1=O ZINC001161568915 1073290235 /nfs/dbraw/zinc/29/02/35/1073290235.db2.gz ZWDCWSCMIKTPLX-ZDUSSCGKSA-N 0 0 445.469 -0.278 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)C1CCC2(CC1)NC(=O)NC2=O ZINC001161587558 1073290567 /nfs/dbraw/zinc/29/05/67/1073290567.db2.gz QHLFKHGMOATWOH-BJCXPLBRSA-N 0 0 429.495 -0.278 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)C1CCC2(CC1)NC(=O)NC2=O ZINC001161587561 1073290559 /nfs/dbraw/zinc/29/05/59/1073290559.db2.gz QHLFKHGMOATWOH-LASWEOPPSA-N 0 0 429.495 -0.278 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC(N3CC[C@@H](O)C3)C2)c1 ZINC001161608898 1073290518 /nfs/dbraw/zinc/29/05/18/1073290518.db2.gz FWPUOLXSQREQEL-MRXNPFEDSA-N 0 0 438.550 -0.336 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@@H](CO)c2cncn2C)c1 ZINC001161646087 1073290658 /nfs/dbraw/zinc/29/06/58/1073290658.db2.gz JMWDSBUJCHAAHU-INIZCTEOSA-N 0 0 437.522 -0.030 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@H](CO)c2cncn2C)c1 ZINC001161646088 1073290619 /nfs/dbraw/zinc/29/06/19/1073290619.db2.gz JMWDSBUJCHAAHU-MRXNPFEDSA-N 0 0 437.522 -0.030 20 0 IBADRN COCC(=O)N[C@H]1CC[C@H](Nc2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001161670335 1073290592 /nfs/dbraw/zinc/29/05/92/1073290592.db2.gz KFFIAVUDRSHDMS-WKILWMFISA-N 0 0 435.529 -0.548 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H](C)C(=O)N(C)OC)cc1 ZINC001161706080 1073290506 /nfs/dbraw/zinc/29/05/06/1073290506.db2.gz JMZZSUHQUDCNLH-ZDUSSCGKSA-N 0 0 428.511 -0.029 20 0 IBADRN COC(=O)C[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001161712858 1073291205 /nfs/dbraw/zinc/29/12/05/1073291205.db2.gz LDRBAFXUEDYJKK-CYBMUJFWSA-N 0 0 429.495 -0.573 20 0 IBADRN COC(=O)C[C@H](O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001161712859 1073291169 /nfs/dbraw/zinc/29/11/69/1073291169.db2.gz LDRBAFXUEDYJKK-ZDUSSCGKSA-N 0 0 429.495 -0.573 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001161732188 1073291184 /nfs/dbraw/zinc/29/11/84/1073291184.db2.gz CIHABWZQWKLXIO-BBRMVZONSA-N 0 0 435.568 -0.419 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001161732189 1073291119 /nfs/dbraw/zinc/29/11/19/1073291119.db2.gz CIHABWZQWKLXIO-CJNGLKHVSA-N 0 0 435.568 -0.419 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001161732190 1073291000 /nfs/dbraw/zinc/29/10/00/1073291000.db2.gz CIHABWZQWKLXIO-CZUORRHYSA-N 0 0 435.568 -0.419 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001161732191 1073291220 /nfs/dbraw/zinc/29/12/20/1073291220.db2.gz CIHABWZQWKLXIO-XJKSGUPXSA-N 0 0 435.568 -0.419 20 0 IBADRN COC(=O)CC[C@H](Nc1ncc(C(=O)OC)cc1S(=O)(=O)N1CCOCC1)C(N)=O ZINC001161761398 1073291252 /nfs/dbraw/zinc/29/12/52/1073291252.db2.gz BQNIEKCEZYUBJG-LBPRGKRZSA-N 0 0 444.466 -0.892 20 0 IBADRN CNC(=O)NC1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC001161797189 1073291244 /nfs/dbraw/zinc/29/12/44/1073291244.db2.gz HIQSMPPBPMPMJT-UHFFFAOYSA-N 0 0 426.275 -0.188 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(NC(=O)NC)CC2)c1 ZINC001161798898 1073291064 /nfs/dbraw/zinc/29/10/64/1073291064.db2.gz WNERHRIOPIAXKL-UHFFFAOYSA-N 0 0 427.527 -0.201 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(NCC(F)F)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001161817325 1073291079 /nfs/dbraw/zinc/29/10/79/1073291079.db2.gz KFGMJWMQBOGPKA-XKVFNRALSA-N 0 0 449.363 -0.050 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC(C)(C)CN2CCOCC2)s1 ZINC001161825319 1073291231 /nfs/dbraw/zinc/29/12/31/1073291231.db2.gz YYFHYDSECCVJRD-UHFFFAOYSA-N 0 0 432.568 -0.111 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC(S(C)(=O)=O)C3)c2)CC1 ZINC001161844093 1073291040 /nfs/dbraw/zinc/29/10/40/1073291040.db2.gz OXTNPWNSMTVQLZ-UHFFFAOYSA-N 0 0 429.520 -0.592 20 0 IBADRN COc1cc2c[nH+]c(N[C@H]3[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]3O)cc2cc1OC ZINC001161857055 1073291963 /nfs/dbraw/zinc/29/19/63/1073291963.db2.gz BUNWHTOVVLNPRQ-LMHBHQSJSA-N 0 0 446.434 -0.709 20 0 IBADRN COc1cc2c[nH+]c(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]3O)cc2cc1OC ZINC001161857056 1073291990 /nfs/dbraw/zinc/29/19/90/1073291990.db2.gz BUNWHTOVVLNPRQ-NHMWIVBRSA-N 0 0 446.434 -0.709 20 0 IBADRN COc1cc2cc[nH+]c(N[C@H]3[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]3O)c2cc1OC ZINC001161858445 1073293029 /nfs/dbraw/zinc/29/30/29/1073293029.db2.gz LLWREPXHBPNASH-DRXUAVOGSA-N 0 0 446.434 -0.709 20 0 IBADRN COc1cc2cc[nH+]c(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]3O)c2cc1OC ZINC001161858446 1073293100 /nfs/dbraw/zinc/29/31/00/1073293100.db2.gz LLWREPXHBPNASH-IETPZCKNSA-N 0 0 446.434 -0.709 20 0 IBADRN CC[N@@H+]1CCCC[C@@H]1c1ccc(N[C@@H]2[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]2O)nc1 ZINC001161860289 1073296317 /nfs/dbraw/zinc/29/63/17/1073296317.db2.gz OPSBVMOAYVTJSB-GMYDAAELSA-N 0 0 447.510 -0.333 20 0 IBADRN CC[N@@H+]1CCCC[C@H]1c1ccc(N[C@H]2[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]2O)nc1 ZINC001161860290 1073296226 /nfs/dbraw/zinc/29/62/26/1073296226.db2.gz OPSBVMOAYVTJSB-KVQRZVDBSA-N 0 0 447.510 -0.333 20 0 IBADRN CC[N@@H+]1CCCC[C@@H]1c1ccc(N[C@H]2[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]2O)nc1 ZINC001161860291 1073296207 /nfs/dbraw/zinc/29/62/07/1073296207.db2.gz OPSBVMOAYVTJSB-NHOXISAWSA-N 0 0 447.510 -0.333 20 0 IBADRN CC[N@@H+]1CCCC[C@H]1c1ccc(N[C@@H]2[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]2O)nc1 ZINC001161860292 1073296357 /nfs/dbraw/zinc/29/63/57/1073296357.db2.gz OPSBVMOAYVTJSB-YPEYZUSHSA-N 0 0 447.510 -0.333 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCS(=O)(=O)C3CC3)CC2)cc1 ZINC001161869713 1073296571 /nfs/dbraw/zinc/29/65/71/1073296571.db2.gz WKNUZINYLJMODO-UHFFFAOYSA-N 0 0 429.564 -0.005 20 0 IBADRN COc1cccc(CNC(=O)CNc2nc(N3CCOCC3)nc(N3CCOCC3)n2)c1 ZINC001161872658 1073296608 /nfs/dbraw/zinc/29/66/08/1073296608.db2.gz IWFSNCKTDMJPRW-UHFFFAOYSA-N 0 0 443.508 -0.296 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2C[C@@H](CO)[C@H](CCO)C2)c1 ZINC001161907213 1073296646 /nfs/dbraw/zinc/29/66/46/1073296646.db2.gz ATYLWNBSJGJBMC-CVEARBPZSA-N 0 0 441.550 -0.164 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2C[C@H](CCO)[C@H](CO)C2)c1 ZINC001161907214 1073297154 /nfs/dbraw/zinc/29/71/54/1073297154.db2.gz ATYLWNBSJGJBMC-HOTGVXAUSA-N 0 0 441.550 -0.164 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2C[C@H](CO)[C@H](CCO)C2)c1 ZINC001161907215 1073297176 /nfs/dbraw/zinc/29/71/76/1073297176.db2.gz ATYLWNBSJGJBMC-HZPDHXFCSA-N 0 0 441.550 -0.164 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2C[C@H](CO)[C@@H](CCO)C2)c1 ZINC001161907216 1073297099 /nfs/dbraw/zinc/29/70/99/1073297099.db2.gz ATYLWNBSJGJBMC-JKSUJKDBSA-N 0 0 441.550 -0.164 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](O)[C@H](CO)C2)c1 ZINC001162033945 1073297166 /nfs/dbraw/zinc/29/71/66/1073297166.db2.gz BWTHERITRYSWOA-DOTOQJQBSA-N 0 0 427.523 -0.351 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](O)[C@@H](CO)C2)c1 ZINC001162033948 1073297008 /nfs/dbraw/zinc/29/70/08/1073297008.db2.gz BWTHERITRYSWOA-NVXWUHKLSA-N 0 0 427.523 -0.351 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](O)[C@H](CO)C2)c1 ZINC001162033951 1073297179 /nfs/dbraw/zinc/29/71/79/1073297179.db2.gz BWTHERITRYSWOA-RDJZCZTQSA-N 0 0 427.523 -0.351 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](O)[C@@H](CO)C2)c1 ZINC001162033954 1073297181 /nfs/dbraw/zinc/29/71/81/1073297181.db2.gz BWTHERITRYSWOA-WBVHZDCISA-N 0 0 427.523 -0.351 20 0 IBADRN NC(=O)C[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC001162080350 1073297084 /nfs/dbraw/zinc/29/70/84/1073297084.db2.gz LDVMCUGEYFDAIJ-JTQLQIEISA-N 0 0 425.385 -0.034 20 0 IBADRN NC(=O)C[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC001162080359 1073297127 /nfs/dbraw/zinc/29/71/27/1073297127.db2.gz LDVMCUGEYFDAIJ-SNVBAGLBSA-N 0 0 425.385 -0.034 20 0 IBADRN NC(=O)C[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC001162080830 1073297168 /nfs/dbraw/zinc/29/71/68/1073297168.db2.gz PAOKRQTYIZDOHH-MRVPVSSYSA-N 0 0 426.314 -0.108 20 0 IBADRN NC(=O)C[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC001162080831 1073297142 /nfs/dbraw/zinc/29/71/42/1073297142.db2.gz PAOKRQTYIZDOHH-QMMMGPOBSA-N 0 0 426.314 -0.108 20 0 IBADRN NC(=O)C[C@H]1COCCN1C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001162081066 1073297157 /nfs/dbraw/zinc/29/71/57/1073297157.db2.gz SPBDQGJKWUFFIV-INIZCTEOSA-N 0 0 425.507 -0.257 20 0 IBADRN NC(=O)C[C@@H]1COCCN1C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001162081068 1073297134 /nfs/dbraw/zinc/29/71/34/1073297134.db2.gz SPBDQGJKWUFFIV-MRXNPFEDSA-N 0 0 425.507 -0.257 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCOC[C@H]2CC(N)=O)cc1OCC ZINC001162081166 1073297173 /nfs/dbraw/zinc/29/71/73/1073297173.db2.gz UNLBSXJENIRJQZ-CYBMUJFWSA-N 0 0 429.495 -0.135 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2CC(N)=O)cc1OCC ZINC001162081171 1073297184 /nfs/dbraw/zinc/29/71/84/1073297184.db2.gz UNLBSXJENIRJQZ-ZDUSSCGKSA-N 0 0 429.495 -0.135 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)nc(S(C)(=O)=O)n1 ZINC001162131972 1073297494 /nfs/dbraw/zinc/29/74/94/1073297494.db2.gz DIYUQPAJYCFXLQ-KBPBESRZSA-N 0 0 433.556 -0.335 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)nc(S(C)(=O)=O)n1 ZINC001162131973 1073297407 /nfs/dbraw/zinc/29/74/07/1073297407.db2.gz DIYUQPAJYCFXLQ-OKILXGFUSA-N 0 0 433.556 -0.335 20 0 IBADRN Cc1cc(N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)nc(S(C)(=O)=O)n1 ZINC001162131974 1073297437 /nfs/dbraw/zinc/29/74/37/1073297437.db2.gz DIYUQPAJYCFXLQ-ZIAGYGMSSA-N 0 0 433.556 -0.335 20 0 IBADRN CON1CCC(NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001162160016 1073297458 /nfs/dbraw/zinc/29/74/58/1073297458.db2.gz HORVUQXOSBQYGZ-INIZCTEOSA-N 0 0 433.552 -0.090 20 0 IBADRN CON1CCC(NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001162160017 1073297372 /nfs/dbraw/zinc/29/73/72/1073297372.db2.gz HORVUQXOSBQYGZ-MRXNPFEDSA-N 0 0 433.552 -0.090 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC4(C3)CN(C)C(=O)O4)c2)CC1 ZINC001162173391 1073297498 /nfs/dbraw/zinc/29/74/98/1073297498.db2.gz OWQSLHQOMJPIDC-UHFFFAOYSA-N 0 0 436.490 -0.184 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@]12CCOC2 ZINC001162180302 1073297461 /nfs/dbraw/zinc/29/74/61/1073297461.db2.gz VMRXKZDASBGWTQ-AUUYWEPGSA-N 0 0 439.490 -0.238 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@]12CCOC2 ZINC001162180303 1073297451 /nfs/dbraw/zinc/29/74/51/1073297451.db2.gz VMRXKZDASBGWTQ-IFXJQAMLSA-N 0 0 439.490 -0.238 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@@]12CCOC2 ZINC001162180304 1073297488 /nfs/dbraw/zinc/29/74/88/1073297488.db2.gz VMRXKZDASBGWTQ-KUHUBIRLSA-N 0 0 439.490 -0.238 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccc2c(c1)OCCO2)C(=O)N1CC[C@@]12CCOC2 ZINC001162180305 1073297414 /nfs/dbraw/zinc/29/74/14/1073297414.db2.gz VMRXKZDASBGWTQ-LIRRHRJNSA-N 0 0 439.490 -0.238 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCC(=O)N(C)OC)CC2)o1 ZINC001162196679 1073297468 /nfs/dbraw/zinc/29/74/68/1073297468.db2.gz MIJIVXMLROVMAM-UHFFFAOYSA-N 0 0 431.467 -0.007 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCC(=O)N(C)OC ZINC001162202835 1073297508 /nfs/dbraw/zinc/29/75/08/1073297508.db2.gz ODHHGRSVOLNQRM-UHFFFAOYSA-N 0 0 428.511 -0.229 20 0 IBADRN CCC[C@@H](N(CC[NH3+])c1cc(N2CCOCC2)nc(N2CCOCC2)n1)S(=O)(=O)[O-] ZINC001162261857 1073297534 /nfs/dbraw/zinc/29/75/34/1073297534.db2.gz KQIUPGCZZCDTTQ-KRWDZBQOSA-N 0 0 444.558 -0.071 20 0 IBADRN CCC[C@H](N(CC[NH3+])c1cc(N2CCOCC2)nc(N2CCOCC2)n1)S(=O)(=O)[O-] ZINC001162261858 1073297479 /nfs/dbraw/zinc/29/74/79/1073297479.db2.gz KQIUPGCZZCDTTQ-QGZVFWFLSA-N 0 0 444.558 -0.071 20 0 IBADRN CCC[C@@H](N(CC[NH3+])c1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1)S(=O)(=O)[O-] ZINC001162268151 1073297528 /nfs/dbraw/zinc/29/75/28/1073297528.db2.gz IRBMYGLBPAZPST-HNNXBMFYSA-N 0 0 434.540 -0.269 20 0 IBADRN CCC[C@H](N(CC[NH3+])c1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1)S(=O)(=O)[O-] ZINC001162268153 1073297427 /nfs/dbraw/zinc/29/74/27/1073297427.db2.gz IRBMYGLBPAZPST-OAHLLOKOSA-N 0 0 434.540 -0.269 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNc1ncnc2c1CNC2)C(N)=O ZINC001162272187 1073297392 /nfs/dbraw/zinc/29/73/92/1073297392.db2.gz AENCNWWTDSRMOY-KBPBESRZSA-N 0 0 437.570 -0.254 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNc1ccc(C(N)=O)cn1)C(N)=O ZINC001162272761 1073297886 /nfs/dbraw/zinc/29/78/86/1073297886.db2.gz FWIFXKGVTSLCOV-KBPBESRZSA-N 0 0 438.554 -0.154 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNc1nccc(C(N)=O)n1)C(N)=O ZINC001162272881 1073297944 /nfs/dbraw/zinc/29/79/44/1073297944.db2.gz JCLMOOHIYATHLP-STQMWFEESA-N 0 0 439.542 -0.759 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNc1ncccc1C(N)=O)C(N)=O ZINC001162273998 1073297925 /nfs/dbraw/zinc/29/79/25/1073297925.db2.gz TUBMSLZOSGCVLQ-KBPBESRZSA-N 0 0 438.554 -0.154 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNc1cc(C(N)=O)ccn1)C(N)=O ZINC001162274297 1073297957 /nfs/dbraw/zinc/29/79/57/1073297957.db2.gz WUMQGOXASOHLCZ-KBPBESRZSA-N 0 0 438.554 -0.154 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNc1cncc(C(N)=O)n1)C(N)=O ZINC001162274376 1073297951 /nfs/dbraw/zinc/29/79/51/1073297951.db2.gz YCICRUKMMWCPPT-RYUDHWBXSA-N 0 0 439.542 -0.759 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNc1ccc(C(N)=O)nn1)C(N)=O ZINC001162274400 1073297963 /nfs/dbraw/zinc/29/79/63/1073297963.db2.gz YMUHWZVGAURMRG-AAEUAGOBSA-N 0 0 439.542 -0.759 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNc1cccc(C(N)=O)n1)C(N)=O ZINC001162276854 1073297878 /nfs/dbraw/zinc/29/78/78/1073297878.db2.gz HKJZKCSRBYVYNY-KBPBESRZSA-N 0 0 438.554 -0.154 20 0 IBADRN COC(=O)c1cnc(-n2nc3cc[nH]c(=O)c3c2N)c(S(=O)(=O)N2CCOCC2)c1 ZINC001162314296 1073298008 /nfs/dbraw/zinc/29/80/08/1073298008.db2.gz ZHFYHYGBYIVKQU-UHFFFAOYSA-N 0 0 434.434 -0.550 20 0 IBADRN COC(=O)c1cnc(NCc2cncc(C(N)=O)c2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001162321862 1073297975 /nfs/dbraw/zinc/29/79/75/1073297975.db2.gz CTQJCFDWNUOFBJ-UHFFFAOYSA-N 0 0 435.462 -0.005 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)cn1 ZINC001162342154 1073297988 /nfs/dbraw/zinc/29/79/88/1073297988.db2.gz DWFOQDDLKNMEGY-CABCVRRESA-N 0 0 430.527 -0.136 20 0 IBADRN Cn1cc(C[C@@H](CO)NC(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)cn1 ZINC001162342155 1073298332 /nfs/dbraw/zinc/29/83/32/1073298332.db2.gz DWFOQDDLKNMEGY-GJZGRUSLSA-N 0 0 430.527 -0.136 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)cn1 ZINC001162342156 1073298340 /nfs/dbraw/zinc/29/83/40/1073298340.db2.gz DWFOQDDLKNMEGY-HUUCEWRRSA-N 0 0 430.527 -0.136 20 0 IBADRN Cn1cc(C[C@@H](CO)NC(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)cn1 ZINC001162342157 1073298324 /nfs/dbraw/zinc/29/83/24/1073298324.db2.gz DWFOQDDLKNMEGY-LSDHHAIUSA-N 0 0 430.527 -0.136 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)Cn1c(CS(C)(=O)=O)nc2ccccc21 ZINC001162357698 1073298468 /nfs/dbraw/zinc/29/84/68/1073298468.db2.gz CPJLZJZTYPHKOQ-HNNXBMFYSA-N 0 0 436.490 -0.139 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)Cn1c(CS(C)(=O)=O)nc2ccccc21 ZINC001162357704 1073298435 /nfs/dbraw/zinc/29/84/35/1073298435.db2.gz CPJLZJZTYPHKOQ-OAHLLOKOSA-N 0 0 436.490 -0.139 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1c2ccccc2C[C@@H]1CNC(=O)C(F)(F)F ZINC001162392848 1073298411 /nfs/dbraw/zinc/29/84/11/1073298411.db2.gz KVAPOIMNXIGVMK-CMPLNLGQSA-N 0 0 425.371 -0.186 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1c2ccccc2C[C@H]1CNC(=O)C(F)(F)F ZINC001162392849 1073298455 /nfs/dbraw/zinc/29/84/55/1073298455.db2.gz KVAPOIMNXIGVMK-JQWIXIFHSA-N 0 0 425.371 -0.186 20 0 IBADRN CNC(=O)[C@H]1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1 ZINC001162410155 1073298396 /nfs/dbraw/zinc/29/83/96/1073298396.db2.gz HLKZBULQPZMANM-DZGCQCFKSA-N 0 0 425.507 -0.177 20 0 IBADRN CNC(=O)[C@@H]1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1 ZINC001162410157 1073298260 /nfs/dbraw/zinc/29/82/60/1073298260.db2.gz HLKZBULQPZMANM-HIFRSBDPSA-N 0 0 425.507 -0.177 20 0 IBADRN CNC(=O)[C@@H]1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1 ZINC001162410159 1073298294 /nfs/dbraw/zinc/29/82/94/1073298294.db2.gz HLKZBULQPZMANM-UKRRQHHQSA-N 0 0 425.507 -0.177 20 0 IBADRN CNC(=O)[C@H]1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1 ZINC001162410162 1073298391 /nfs/dbraw/zinc/29/83/91/1073298391.db2.gz HLKZBULQPZMANM-ZFWWWQNUSA-N 0 0 425.507 -0.177 20 0 IBADRN CNC(=O)[C@H]1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001162410484 1073298404 /nfs/dbraw/zinc/29/84/04/1073298404.db2.gz JTDJPIUHXVYUDT-DZGCQCFKSA-N 0 0 431.536 -0.637 20 0 IBADRN CNC(=O)[C@@H]1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001162410485 1073298465 /nfs/dbraw/zinc/29/84/65/1073298465.db2.gz JTDJPIUHXVYUDT-HIFRSBDPSA-N 0 0 431.536 -0.637 20 0 IBADRN CNC(=O)[C@@H]1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001162410486 1073298315 /nfs/dbraw/zinc/29/83/15/1073298315.db2.gz JTDJPIUHXVYUDT-UKRRQHHQSA-N 0 0 431.536 -0.637 20 0 IBADRN CNC(=O)[C@H]1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001162410487 1073298371 /nfs/dbraw/zinc/29/83/71/1073298371.db2.gz JTDJPIUHXVYUDT-ZFWWWQNUSA-N 0 0 431.536 -0.637 20 0 IBADRN N[C@@H]1NCN(c2nc(CN3CCOCC3)nc3sc4c(c32)CCCC4)[C@@H]2NCN[C@@H]21 ZINC001162447083 1073298925 /nfs/dbraw/zinc/29/89/25/1073298925.db2.gz KZXFIFYZPIHRRK-LMMKCTJWSA-N 0 0 430.582 -0.101 20 0 IBADRN N[C@@H]1NCN(c2nc(CN3CCOCC3)nc3sc4c(c32)CCCC4)[C@@H]2NCN[C@H]21 ZINC001162447084 1073298852 /nfs/dbraw/zinc/29/88/52/1073298852.db2.gz KZXFIFYZPIHRRK-SCTDSRPQSA-N 0 0 430.582 -0.101 20 0 IBADRN N[C@@H]1NCN(c2nc(CN3CCOCC3)nc3sc4c(c32)CCCC4)[C@H]2NCN[C@H]21 ZINC001162447085 1073298877 /nfs/dbraw/zinc/29/88/77/1073298877.db2.gz KZXFIFYZPIHRRK-YQVWRLOYSA-N 0 0 430.582 -0.101 20 0 IBADRN N[C@@H]1NCN(c2nc(CN3CCOCC3)nc3sc4c(c32)CCCC4)[C@H]2NCN[C@@H]21 ZINC001162447086 1073298798 /nfs/dbraw/zinc/29/87/98/1073298798.db2.gz KZXFIFYZPIHRRK-ZHALLVOQSA-N 0 0 430.582 -0.101 20 0 IBADRN CN1c2cnc(Cl)nc2N(C2CCCC2)C[C@@](F)(N2CN[C@H](N)[C@@H]3NCN[C@H]32)C1=O ZINC001162448591 1073298762 /nfs/dbraw/zinc/29/87/62/1073298762.db2.gz RDDZVVCUKVCIFG-BWQRHGBYSA-N 0 0 439.927 -0.486 20 0 IBADRN CN1c2cnc(Cl)nc2N(C2CCCC2)C[C@@](F)(N2CN[C@H](N)[C@@H]3NCN[C@@H]32)C1=O ZINC001162448592 1073298837 /nfs/dbraw/zinc/29/88/37/1073298837.db2.gz RDDZVVCUKVCIFG-FHKFTOANSA-N 0 0 439.927 -0.486 20 0 IBADRN CN1c2cnc(Cl)nc2N(C2CCCC2)C[C@@](F)(N2CN[C@H](N)[C@H]3NCN[C@H]32)C1=O ZINC001162448593 1073298953 /nfs/dbraw/zinc/29/89/53/1073298953.db2.gz RDDZVVCUKVCIFG-VAGZCVSGSA-N 0 0 439.927 -0.486 20 0 IBADRN CN1c2cnc(Cl)nc2N(C2CCCC2)C[C@@](F)(N2CN[C@H](N)[C@H]3NCN[C@@H]32)C1=O ZINC001162448594 1073298909 /nfs/dbraw/zinc/29/89/09/1073298909.db2.gz RDDZVVCUKVCIFG-ZFHGCVPZSA-N 0 0 439.927 -0.486 20 0 IBADRN N[C@@H]1NCN([C@]2(F)CN(C3CCCC3)c3nc(Cl)ncc3NC2=O)[C@@H]2NCN[C@@H]21 ZINC001162449345 1073298694 /nfs/dbraw/zinc/29/86/94/1073298694.db2.gz VCNBTBRKIRXSHB-ALYFQZSWSA-N 0 0 425.900 -0.511 20 0 IBADRN N[C@@H]1NCN([C@]2(F)CN(C3CCCC3)c3nc(Cl)ncc3NC2=O)[C@H]2NCN[C@@H]21 ZINC001162449346 1073298934 /nfs/dbraw/zinc/29/89/34/1073298934.db2.gz VCNBTBRKIRXSHB-CTWCOEIASA-N 0 0 425.900 -0.511 20 0 IBADRN N[C@@H]1NCN([C@]2(F)CN(C3CCCC3)c3nc(Cl)ncc3NC2=O)[C@@H]2NCN[C@H]21 ZINC001162449347 1073298787 /nfs/dbraw/zinc/29/87/87/1073298787.db2.gz VCNBTBRKIRXSHB-GMIGKAJZSA-N 0 0 425.900 -0.511 20 0 IBADRN N[C@@H]1NCN([C@]2(F)CN(C3CCCC3)c3nc(Cl)ncc3NC2=O)[C@H]2NCN[C@H]21 ZINC001162449348 1073298716 /nfs/dbraw/zinc/29/87/16/1073298716.db2.gz VCNBTBRKIRXSHB-HSTIPLNKSA-N 0 0 425.900 -0.511 20 0 IBADRN N[C@@H]1NCN(c2cc3c(ncnc3Cl)n2S(=O)(=O)c2ccccc2)[C@H]2NCN[C@@H]21 ZINC001162449615 1073298902 /nfs/dbraw/zinc/29/89/02/1073298902.db2.gz WLKMMOMSEMFDFP-FRFSOERESA-N 0 0 434.913 -0.181 20 0 IBADRN N[C@@H]1NCN(c2cc3c(ncnc3Cl)n2S(=O)(=O)c2ccccc2)[C@@H]2NCN[C@H]21 ZINC001162449616 1073298742 /nfs/dbraw/zinc/29/87/42/1073298742.db2.gz WLKMMOMSEMFDFP-LXZKKBNFSA-N 0 0 434.913 -0.181 20 0 IBADRN N[C@@H]1NCN(c2cc3c(ncnc3Cl)n2S(=O)(=O)c2ccccc2)[C@@H]2NCN[C@@H]21 ZINC001162449618 1073298917 /nfs/dbraw/zinc/29/89/17/1073298917.db2.gz WLKMMOMSEMFDFP-UNEWFSDZSA-N 0 0 434.913 -0.181 20 0 IBADRN N[C@@H]1NCN(c2cc3c(ncnc3Cl)n2S(=O)(=O)c2ccccc2)[C@H]2NCN[C@H]21 ZINC001162449620 1073299388 /nfs/dbraw/zinc/29/93/88/1073299388.db2.gz WLKMMOMSEMFDFP-YSVLISHTSA-N 0 0 434.913 -0.181 20 0 IBADRN COCC(C)(C)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001162474957 1073299286 /nfs/dbraw/zinc/29/92/86/1073299286.db2.gz JXIXZQRZZOEULK-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN COc1nc(NC2C[C@H]3CC[C@@H](C2)N3S(C)(=O)=O)nc(N2CCn3ccnc3C2)n1 ZINC001162536419 1073299430 /nfs/dbraw/zinc/29/94/30/1073299430.db2.gz YPXAERXOIAKJMH-AGUYFDCRSA-N 0 0 434.526 -0.114 20 0 IBADRN NC(=O)C1(Nc2ccc(I)c(-c3nn[nH]n3)c2S(N)(=O)=O)CC1 ZINC001162547330 1073299397 /nfs/dbraw/zinc/29/93/97/1073299397.db2.gz AEZHGUDKIHBUTO-UHFFFAOYSA-N 0 0 449.234 -0.452 20 0 IBADRN CO[C@]1(C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)CCS(=O)(=O)C1 ZINC001162634276 1073299321 /nfs/dbraw/zinc/29/93/21/1073299321.db2.gz MKKCSNYHPAFIOK-GOSISDBHSA-N 0 0 430.548 -0.263 20 0 IBADRN CO[C@@]1(C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)CCS(=O)(=O)C1 ZINC001162634277 1073299270 /nfs/dbraw/zinc/29/92/70/1073299270.db2.gz MKKCSNYHPAFIOK-SFHVURJKSA-N 0 0 430.548 -0.263 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)NCc1ccc(CN2CCS(=O)(=O)CC2)cc1 ZINC001162635078 1073299372 /nfs/dbraw/zinc/29/93/72/1073299372.db2.gz XVJKRMQALKVJFF-UHFFFAOYSA-N 0 0 442.501 -0.011 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001162640646 1073299380 /nfs/dbraw/zinc/29/93/80/1073299380.db2.gz SENHDHPBYAQBEF-SFHVURJKSA-N 0 0 436.484 -0.122 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001162640732 1073299426 /nfs/dbraw/zinc/29/94/26/1073299426.db2.gz VDZGQIMGALAYHV-UHFFFAOYSA-N 0 0 425.530 -0.171 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC(=O)N=S(C)(C)=O)c2)CC1 ZINC001162646355 1073299241 /nfs/dbraw/zinc/29/92/41/1073299241.db2.gz QRBGBVYCVVXJFI-UHFFFAOYSA-N 0 0 444.535 -0.477 20 0 IBADRN CN1C(=O)NCC12CCN(C(=O)c1cccc(S(=O)(=O)N3CCNC(=O)C3)c1)CC2 ZINC001162718775 1073299471 /nfs/dbraw/zinc/29/94/71/1073299471.db2.gz QIFVNBOQCMTEBS-UHFFFAOYSA-N 0 0 435.506 -0.563 20 0 IBADRN CCN1CCN(CC(=O)N2C[C@@H](c3cnn(C)c3)[C@H](NC(=O)OC(C)(C)C)C2)C(=O)C1=O ZINC001162726182 1073299257 /nfs/dbraw/zinc/29/92/57/1073299257.db2.gz VMDQUKGUIYSIJM-JKSUJKDBSA-N 0 0 448.524 -0.070 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2-n2cc(N)c(=O)nc2N)CN1 ZINC001162726502 1073299412 /nfs/dbraw/zinc/29/94/12/1073299412.db2.gz TYNIDPNQZGGXGX-YGRLFVJLSA-N 0 0 427.421 -0.126 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)C[C@H]2NC(=O)OC(C)(C)C)cn1 ZINC001162734512 1073299329 /nfs/dbraw/zinc/29/93/29/1073299329.db2.gz XPMXDBFXRFSQFQ-MJBXVCDLSA-N 0 0 441.510 -0.848 20 0 IBADRN CNC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001162812416 1073299450 /nfs/dbraw/zinc/29/94/50/1073299450.db2.gz ILKCBSDJZHMMLS-UHFFFAOYSA-N 0 0 425.511 -0.655 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001162831976 1073299354 /nfs/dbraw/zinc/29/93/54/1073299354.db2.gz WPPBRICKSATDFM-BFHYXJOUSA-N 0 0 442.515 -0.627 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001162832140 1073299464 /nfs/dbraw/zinc/29/94/64/1073299464.db2.gz WPPBRICKSATDFM-HZSPNIEDSA-N 0 0 442.515 -0.627 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001162832141 1073299443 /nfs/dbraw/zinc/29/94/43/1073299443.db2.gz WPPBRICKSATDFM-MELADBBJSA-N 0 0 442.515 -0.627 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001162832142 1073299367 /nfs/dbraw/zinc/29/93/67/1073299367.db2.gz WPPBRICKSATDFM-MGPQQGTHSA-N 0 0 442.515 -0.627 20 0 IBADRN CS(=O)(=O)c1nc(Cl)cc(-n2cncc2C[C@H](N)C(=O)N2CCC[C@H]2C(N)=O)n1 ZINC001162842449 1073299962 /nfs/dbraw/zinc/29/99/62/1073299962.db2.gz LEQIQXYGEPJOJY-QWRGUYRKSA-N 0 0 441.901 -0.935 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)nc1-n1cncc1C[C@H](N)C(=O)N1CCC[C@H]1C(N)=O ZINC001162846410 1073300772 /nfs/dbraw/zinc/30/07/72/1073300772.db2.gz WVXAZGLBRBHKPY-RYUDHWBXSA-N 0 0 440.913 -0.330 20 0 IBADRN COC(=O)c1cnc(NC[C@H](N)C(=O)OC(C)(C)C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001162855529 1073300740 /nfs/dbraw/zinc/30/07/40/1073300740.db2.gz GRUQTLJNGSLEKO-ZDUSSCGKSA-N 0 0 444.510 -0.030 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)Nc3ccc(=O)n(CCO)c3)c2)CC1 ZINC001162879111 1073301267 /nfs/dbraw/zinc/30/12/67/1073301267.db2.gz APCVLUFVLPMQFH-UHFFFAOYSA-N 0 0 448.501 -0.054 20 0 IBADRN O=C(Nc1ccc(=O)n(CCO)c1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001162881099 1073301248 /nfs/dbraw/zinc/30/12/48/1073301248.db2.gz UOIIGPUWITWTRO-ZBFHGGJFSA-N 0 0 425.438 -0.258 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCn3cnccc3=O)c2)CC1 ZINC001162890113 1073301325 /nfs/dbraw/zinc/30/13/25/1073301325.db2.gz JLHWTCQGLAZCOK-UHFFFAOYSA-N 0 0 433.490 -0.474 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCn2cnccc2=O)c1 ZINC001162895191 1073301377 /nfs/dbraw/zinc/30/13/77/1073301377.db2.gz IHSYVJBODBCWEJ-UHFFFAOYSA-N 0 0 435.506 -0.180 20 0 IBADRN COC1(OC)CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@@H]1O ZINC001162895866 1073301361 /nfs/dbraw/zinc/30/13/61/1073301361.db2.gz YEDKICKMXBAVPO-HNNXBMFYSA-N 0 0 427.479 -0.997 20 0 IBADRN COC1(OC)CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@H]1O ZINC001162895867 1073301802 /nfs/dbraw/zinc/30/18/02/1073301802.db2.gz YEDKICKMXBAVPO-OAHLLOKOSA-N 0 0 427.479 -0.997 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NCCn2cnccc2=O)C1 ZINC001162895912 1073301807 /nfs/dbraw/zinc/30/18/07/1073301807.db2.gz ZSNPACWNXIBMCP-FZKQIMNGSA-N 0 0 426.495 -0.359 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(OC)(OC)[C@@H](O)C1 ZINC001162904490 1073301755 /nfs/dbraw/zinc/30/17/55/1073301755.db2.gz JDUIKGXYMVMTJF-KRWDZBQOSA-N 0 0 444.506 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(OC)(OC)[C@H](O)C1 ZINC001162904501 1073301834 /nfs/dbraw/zinc/30/18/34/1073301834.db2.gz JDUIKGXYMVMTJF-QGZVFWFLSA-N 0 0 444.506 -0.088 20 0 IBADRN C[C@@H]1C(=O)N(CCN(C)C)CN1C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001162950488 1073301849 /nfs/dbraw/zinc/30/18/49/1073301849.db2.gz ZGINYAYPOUEIRY-CABCVRRESA-N 0 0 446.570 -0.011 20 0 IBADRN C[C@H]1C(=O)N(CCN(C)C)CN1C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001162950492 1073301811 /nfs/dbraw/zinc/30/18/11/1073301811.db2.gz ZGINYAYPOUEIRY-GJZGRUSLSA-N 0 0 446.570 -0.011 20 0 IBADRN C[C@@H]1C(=O)N(CCN(C)C)CN1C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001162950496 1073301838 /nfs/dbraw/zinc/30/18/38/1073301838.db2.gz ZGINYAYPOUEIRY-HUUCEWRRSA-N 0 0 446.570 -0.011 20 0 IBADRN C[C@H]1C(=O)N(CCN(C)C)CN1C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001162950499 1073301786 /nfs/dbraw/zinc/30/17/86/1073301786.db2.gz ZGINYAYPOUEIRY-LSDHHAIUSA-N 0 0 446.570 -0.011 20 0 IBADRN CC(C)(CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@]1(O)CCOC1 ZINC001162974147 1073301742 /nfs/dbraw/zinc/30/17/42/1073301742.db2.gz IKWHBDLPSPNDAY-IBGZPJMESA-N 0 0 425.507 -0.286 20 0 IBADRN CC(C)(CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)[C@@]1(O)CCOC1 ZINC001162974149 1073301797 /nfs/dbraw/zinc/30/17/97/1073301797.db2.gz IKWHBDLPSPNDAY-LJQANCHMSA-N 0 0 425.507 -0.286 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@]1(O)CCOC1 ZINC001162975486 1073301821 /nfs/dbraw/zinc/30/18/21/1073301821.db2.gz ZNOHJHMJTUGZBY-BFUOFWGJSA-N 0 0 425.507 -0.019 20 0 IBADRN CC(C)(CNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@]1(O)CCOC1 ZINC001162975487 1073301748 /nfs/dbraw/zinc/30/17/48/1073301748.db2.gz ZNOHJHMJTUGZBY-DJJJIMSYSA-N 0 0 425.507 -0.019 20 0 IBADRN CC(C)(CNC(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@@]1(O)CCOC1 ZINC001162975488 1073301859 /nfs/dbraw/zinc/30/18/59/1073301859.db2.gz ZNOHJHMJTUGZBY-ORAYPTAESA-N 0 0 425.507 -0.019 20 0 IBADRN CC(C)(CNC(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1)[C@]1(O)CCOC1 ZINC001162975489 1073301702 /nfs/dbraw/zinc/30/17/02/1073301702.db2.gz ZNOHJHMJTUGZBY-YJYMSZOUSA-N 0 0 425.507 -0.019 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)CC2)nc1 ZINC001162989563 1073302293 /nfs/dbraw/zinc/30/22/93/1073302293.db2.gz KYICBEQJTFPMIK-GOSISDBHSA-N 0 0 444.536 -0.458 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)CC2)nc1 ZINC001162989564 1073302212 /nfs/dbraw/zinc/30/22/12/1073302212.db2.gz KYICBEQJTFPMIK-SFHVURJKSA-N 0 0 444.536 -0.458 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)Cc3ccc(S(=O)(=O)CCO)cc3)CC2)nc1 ZINC001162989816 1073302194 /nfs/dbraw/zinc/30/21/94/1073302194.db2.gz MWPWUZQMGKBSLE-UHFFFAOYSA-N 0 0 432.502 -0.162 20 0 IBADRN O=C1COc2ccc(CNc3nc(N4CCOCC4)nc(N4CCOCC4)n3)cc2N1 ZINC001163093547 1073302752 /nfs/dbraw/zinc/30/27/52/1073302752.db2.gz DWQPRALSBXXQAZ-UHFFFAOYSA-N 0 0 427.465 -0.090 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCOCC1 ZINC001163096347 1073302810 /nfs/dbraw/zinc/30/28/10/1073302810.db2.gz BQZIIRIEISVAQZ-MGPUTAFESA-N 0 0 438.525 -0.008 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCOCC1 ZINC001163096348 1073302847 /nfs/dbraw/zinc/30/28/47/1073302847.db2.gz BQZIIRIEISVAQZ-YWZLYKJASA-N 0 0 438.525 -0.008 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NCc3cc(=O)n4c(n3)CNCCC4)nc2)CC1 ZINC001163111473 1073302680 /nfs/dbraw/zinc/30/26/80/1073302680.db2.gz FMJLURLOEVWILA-UHFFFAOYSA-N 0 0 433.538 -0.320 20 0 IBADRN CS(=O)(=O)N1CCN(c2cccc(NCc3cc(=O)n4c(n3)CNCCC4)n2)CC1 ZINC001163112502 1073302721 /nfs/dbraw/zinc/30/27/21/1073302721.db2.gz VRKMAWSEPRLYPY-UHFFFAOYSA-N 0 0 433.538 -0.175 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccnc2NCc2cc(=O)n3c(n2)CNCCC3)CC1 ZINC001163115525 1073302857 /nfs/dbraw/zinc/30/28/57/1073302857.db2.gz GCXGEAMLPOKYNM-UHFFFAOYSA-N 0 0 433.538 -0.320 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](N)C1CN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)C1 ZINC001163118511 1073303158 /nfs/dbraw/zinc/30/31/58/1073303158.db2.gz YXAINUZBRXNHMH-HNNXBMFYSA-N 0 0 435.529 -0.350 20 0 IBADRN CC(C)(C)OC(=O)[C@H](N)C1CN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)C1 ZINC001163118512 1073303252 /nfs/dbraw/zinc/30/32/52/1073303252.db2.gz YXAINUZBRXNHMH-OAHLLOKOSA-N 0 0 435.529 -0.350 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)Cc1ccc(OC)c(F)c1 ZINC001163138354 1073303083 /nfs/dbraw/zinc/30/30/83/1073303083.db2.gz LIRNXZWKCQSKAE-DDJMYBDESA-N 0 0 432.452 -0.034 20 0 IBADRN CNC(=O)[C@H](CNC(=O)/C=C\c1cn(C)c(=O)n(C)c1=O)Cc1ccc(OC)c(F)c1 ZINC001163138355 1073303213 /nfs/dbraw/zinc/30/32/13/1073303213.db2.gz LIRNXZWKCQSKAE-LYASGUKUSA-N 0 0 432.452 -0.034 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)Cc1ccc(OC)c(F)c1 ZINC001163138356 1073303235 /nfs/dbraw/zinc/30/32/35/1073303235.db2.gz LIRNXZWKCQSKAE-PMGBHYCQSA-N 0 0 432.452 -0.034 20 0 IBADRN CNC(=O)[C@H](CNC(=O)/C=C/c1cn(C)c(=O)n(C)c1=O)Cc1ccc(OC)c(F)c1 ZINC001163138357 1073303145 /nfs/dbraw/zinc/30/31/45/1073303145.db2.gz LIRNXZWKCQSKAE-VFADXPBXSA-N 0 0 432.452 -0.034 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1S(N)(=O)=O ZINC001163169417 1073303203 /nfs/dbraw/zinc/30/32/03/1073303203.db2.gz DKRDZLRPRWNQPX-INIZCTEOSA-N 0 0 436.483 -0.560 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1S(N)(=O)=O ZINC001163169418 1073303182 /nfs/dbraw/zinc/30/31/82/1073303182.db2.gz DKRDZLRPRWNQPX-MRXNPFEDSA-N 0 0 436.483 -0.560 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001163169427 1073303138 /nfs/dbraw/zinc/30/31/38/1073303138.db2.gz DORILHCFAFVJNU-CORIIIEPSA-N 0 0 438.549 -0.808 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001163169428 1073303127 /nfs/dbraw/zinc/30/31/27/1073303127.db2.gz DORILHCFAFVJNU-LNSITVRQSA-N 0 0 438.549 -0.808 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001163169429 1073303224 /nfs/dbraw/zinc/30/32/24/1073303224.db2.gz DORILHCFAFVJNU-NJZAAPMLSA-N 0 0 438.549 -0.808 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001163169430 1073303293 /nfs/dbraw/zinc/30/32/93/1073303293.db2.gz DORILHCFAFVJNU-WHOFXGATSA-N 0 0 438.549 -0.808 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001163170589 1073303096 /nfs/dbraw/zinc/30/30/96/1073303096.db2.gz WVQPWNYLXSWZLV-GOSISDBHSA-N 0 0 441.531 -0.003 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001163170591 1073303263 /nfs/dbraw/zinc/30/32/63/1073303263.db2.gz WVQPWNYLXSWZLV-SFHVURJKSA-N 0 0 441.531 -0.003 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001163170933 1073303241 /nfs/dbraw/zinc/30/32/41/1073303241.db2.gz YHDLSLUJLVXFFO-INIZCTEOSA-N 0 0 449.576 -0.218 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001163170934 1073303303 /nfs/dbraw/zinc/30/33/03/1073303303.db2.gz YHDLSLUJLVXFFO-MRXNPFEDSA-N 0 0 449.576 -0.218 20 0 IBADRN COCCN1[C@H](C)CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@@H]1C ZINC001163177217 1073303720 /nfs/dbraw/zinc/30/37/20/1073303720.db2.gz LEHYBWJOIJSZRZ-IYBDPMFKSA-N 0 0 438.550 -0.012 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCC(=O)N2CCCO2)o1 ZINC001163350475 1073303786 /nfs/dbraw/zinc/30/37/86/1073303786.db2.gz KSTFATYWSQAJBR-GFCCVEGCSA-N 0 0 429.451 -0.111 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCC(=O)N2CCCO2)o1 ZINC001163350476 1073303705 /nfs/dbraw/zinc/30/37/05/1073303705.db2.gz KSTFATYWSQAJBR-LBPRGKRZSA-N 0 0 429.451 -0.111 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CN(C3CC3)C(=O)O2)s1 ZINC001163390370 1073303618 /nfs/dbraw/zinc/30/36/18/1073303618.db2.gz FZQDAHDGSKQKSP-GFCCVEGCSA-N 0 0 430.508 -0.238 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CN(C3CC3)C(=O)O2)s1 ZINC001163390371 1073303779 /nfs/dbraw/zinc/30/37/79/1073303779.db2.gz FZQDAHDGSKQKSP-LBPRGKRZSA-N 0 0 430.508 -0.238 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNc1ncccc1S(=O)(=O)N1CCOCC1 ZINC001163422706 1073303625 /nfs/dbraw/zinc/30/36/25/1073303625.db2.gz FQLFVVMXQNFVQZ-INIZCTEOSA-N 0 0 447.517 -0.273 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001163424841 1073303608 /nfs/dbraw/zinc/30/36/08/1073303608.db2.gz XHUZYFCYVLNIDV-KRWDZBQOSA-N 0 0 447.517 -0.273 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNc1nc2c(c(N3CCOCC3)n1)CNC2 ZINC001163424865 1073303655 /nfs/dbraw/zinc/30/36/55/1073303655.db2.gz YEGXQXVYFLCPKC-INIZCTEOSA-N 0 0 425.493 -0.459 20 0 IBADRN Cn1cnc2c1nc(NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O)nc2N1CCOCC1 ZINC001163425566 1073303792 /nfs/dbraw/zinc/30/37/92/1073303792.db2.gz KJVCHAYEGKJASK-HNNXBMFYSA-N 0 0 438.492 -0.175 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNc1nc(N2CCOCC2)nc2c1CCN2 ZINC001163425701 1073303621 /nfs/dbraw/zinc/30/36/21/1073303621.db2.gz LPHKPYXCZJIHKY-INIZCTEOSA-N 0 0 425.493 -0.094 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001163427077 1073303614 /nfs/dbraw/zinc/30/36/14/1073303614.db2.gz HAGXOUAYLKWPIS-AWEZNQCLSA-N 0 0 435.462 -0.860 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1cncc(C(=O)N(C)C)n1)C(N)=O ZINC001163431495 1073303635 /nfs/dbraw/zinc/30/36/35/1073303635.db2.gz ADFOWUMUVGYIIE-WHCBVINPSA-N 0 0 430.465 -0.742 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1ccnc(N2CCOCC2)n1)C(N)=O ZINC001163431621 1073304149 /nfs/dbraw/zinc/30/41/49/1073304149.db2.gz BEYGSNDNUJZZDM-SKDZVZGDSA-N 0 0 444.492 -0.607 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1ncccc1S(C)(=O)=O)C(N)=O ZINC001163431665 1073304142 /nfs/dbraw/zinc/30/41/42/1073304142.db2.gz BQTYIVYDJRLVOR-WPGHFRTFSA-N 0 0 436.490 -0.435 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1cncc(-n2ccnc2)n1)C(N)=O ZINC001163431759 1073304089 /nfs/dbraw/zinc/30/40/89/1073304089.db2.gz CNRATSNITIZQKW-MRAWALMUSA-N 0 0 425.449 -0.258 20 0 IBADRN COCCOc1ccc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)nn1 ZINC001163431811 1073304054 /nfs/dbraw/zinc/30/40/54/1073304054.db2.gz DUCSQFHXUZEFAO-MRAWALMUSA-N 0 0 433.465 -0.419 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1ccc(Cn2cncn2)cn1)C(N)=O ZINC001163431816 1073304129 /nfs/dbraw/zinc/30/41/29/1073304129.db2.gz DVARHWRSRNNTQD-FMEYXAORSA-N 0 0 439.476 -0.199 20 0 IBADRN COCc1nc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)cc(OC)n1 ZINC001163432053 1073304164 /nfs/dbraw/zinc/30/41/64/1073304164.db2.gz DMOUTHWHWYBAQS-VZSAFFHGSA-N 0 0 433.465 -0.289 20 0 IBADRN CCOC(=O)c1cnc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)cn1 ZINC001163432416 1073304179 /nfs/dbraw/zinc/30/41/79/1073304179.db2.gz GSXJOEAWSUJZQU-WHCBVINPSA-N 0 0 431.449 -0.267 20 0 IBADRN COC(=O)c1cnc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)cc1OC ZINC001163432437 1073304215 /nfs/dbraw/zinc/30/42/15/1073304215.db2.gz HCZQCSVNLPZHAT-PSZVPEGOSA-N 0 0 446.460 -0.044 20 0 IBADRN COC(=O)c1cc(OC)cnc1N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)[C@@H](C)O ZINC001163432453 1073304077 /nfs/dbraw/zinc/30/40/77/1073304077.db2.gz HQVGAQRNSZTHKW-NVGVWMPQSA-N 0 0 446.460 -0.044 20 0 IBADRN CCOC(=O)c1nccc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)n1 ZINC001163432461 1073304122 /nfs/dbraw/zinc/30/41/22/1073304122.db2.gz HWVXWMWTJCBADV-WPGHFRTFSA-N 0 0 431.449 -0.845 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1cc(N2CCOCC2)ncn1)C(N)=O ZINC001163432497 1073304094 /nfs/dbraw/zinc/30/40/94/1073304094.db2.gz IGFKTSXFMQEQRK-AZOIQLNYSA-N 0 0 444.492 -0.607 20 0 IBADRN CCOC(=O)c1cncnc1N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)[C@@H](C)O ZINC001163432518 1073304199 /nfs/dbraw/zinc/30/41/99/1073304199.db2.gz IVTOXBVRNWTLQR-RLCCDNCMSA-N 0 0 431.449 -0.267 20 0 IBADRN CCn1cnc2c1ncnc2N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)[C@@H](C)O ZINC001163432530 1073304220 /nfs/dbraw/zinc/30/42/20/1073304220.db2.gz KIDHUWQFCBXZLE-UGFHNGPFSA-N 0 0 427.465 -0.074 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1ccc(C(=O)N(C)C)cn1)C(N)=O ZINC001163432590 1073304114 /nfs/dbraw/zinc/30/41/14/1073304114.db2.gz KMAWAFHTRLTFHU-PUECVXPYSA-N 0 0 429.477 -0.137 20 0 IBADRN CCOC(=O)c1ccc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)nn1 ZINC001163432601 1073304227 /nfs/dbraw/zinc/30/42/27/1073304227.db2.gz KUCILJQBNWTGMA-PJQXDXOGSA-N 0 0 431.449 -0.267 20 0 IBADRN CCOC(=O)c1cncc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)n1 ZINC001163432612 1073304065 /nfs/dbraw/zinc/30/40/65/1073304065.db2.gz LAQBVNNYTNKISJ-WHCBVINPSA-N 0 0 431.449 -0.267 20 0 IBADRN CCOC(=O)Cc1ccc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)nn1 ZINC001163433114 1073304081 /nfs/dbraw/zinc/30/40/81/1073304081.db2.gz LPTNUFYXWXWVFO-GFJSAUFNSA-N 0 0 445.476 -0.338 20 0 IBADRN CCOC(=O)c1nccnc1N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)[C@@H](C)O ZINC001163433141 1073304570 /nfs/dbraw/zinc/30/45/70/1073304570.db2.gz MESFPKBOZIIKFF-UGFHNGPFSA-N 0 0 431.449 -0.267 20 0 IBADRN CCOC(=O)Cc1cnc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)nc1 ZINC001163433263 1073304645 /nfs/dbraw/zinc/30/46/45/1073304645.db2.gz NLBIZFIDQXXDCR-PUECVXPYSA-N 0 0 445.476 -0.338 20 0 IBADRN CON(C)C(=O)c1cccnc1N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)[C@@H](C)O ZINC001163433266 1073304542 /nfs/dbraw/zinc/30/45/42/1073304542.db2.gz NQGWCQIVTFCLFN-DQYPLSBCSA-N 0 0 445.476 -0.205 20 0 IBADRN CCOC(=O)c1cc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)ncn1 ZINC001163433777 1073304564 /nfs/dbraw/zinc/30/45/64/1073304564.db2.gz RPBYHAFLHPRAQZ-WHCBVINPSA-N 0 0 431.449 -0.267 20 0 IBADRN COC(=O)c1ncnc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)c1OC ZINC001163434426 1073304625 /nfs/dbraw/zinc/30/46/25/1073304625.db2.gz QTDDUEYIYHPWPA-SWHYSGLUSA-N 0 0 447.448 -0.649 20 0 IBADRN Cc1nc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)cc(-n2cncn2)n1 ZINC001163434467 1073304504 /nfs/dbraw/zinc/30/45/04/1073304504.db2.gz SPNGSSLLRWHYEL-PSZVPEGOSA-N 0 0 440.464 -0.555 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1ccc(-n2ccnc2)nn1)C(N)=O ZINC001163434622 1073304680 /nfs/dbraw/zinc/30/46/80/1073304680.db2.gz WHEIZKYSANVBGK-MRAWALMUSA-N 0 0 425.449 -0.258 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1ccc(S(C)(=O)=O)cn1)C(N)=O ZINC001163434662 1073304554 /nfs/dbraw/zinc/30/45/54/1073304554.db2.gz WUSPZMYXPWEAOF-PJQXDXOGSA-N 0 0 436.490 -0.435 20 0 IBADRN CON(C)C(=O)c1ccnc(N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)c1 ZINC001163434771 1073304536 /nfs/dbraw/zinc/30/45/36/1073304536.db2.gz YOBUBRFIUGVLJA-PUECVXPYSA-N 0 0 445.476 -0.205 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)Nc1cncc(-n2cncn2)n1)C(N)=O ZINC001163434782 1073304635 /nfs/dbraw/zinc/30/46/35/1073304635.db2.gz YUAOEOSTQFMDCP-WHCBVINPSA-N 0 0 426.437 -0.863 20 0 IBADRN COC(=O)[C@H](CCCCNc1ccc(C(=O)N2CCOCC2)cn1)NC(=O)CNC(C)=O ZINC001163450184 1073305156 /nfs/dbraw/zinc/30/51/56/1073305156.db2.gz MGXVRGNPMJSDRC-KRWDZBQOSA-N 0 0 449.508 -0.070 20 0 IBADRN COC(=O)[C@H](CCCCNc1cc(Cl)nc(S(C)(=O)=O)n1)NC(=O)CNC(C)=O ZINC001163450449 1073305100 /nfs/dbraw/zinc/30/51/00/1073305100.db2.gz UHLUICKYTXFPAP-NSHDSACASA-N 0 0 449.917 -0.090 20 0 IBADRN COC(=O)[C@H](CCCCNc1cc(OC)nc(S(C)(=O)=O)n1)NC(=O)CNC(C)=O ZINC001163450932 1073305055 /nfs/dbraw/zinc/30/50/55/1073305055.db2.gz FGOOFYKXEBGFCN-LBPRGKRZSA-N 0 0 445.498 -0.735 20 0 IBADRN CNC(=O)c1cc(Cl)nc(NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC)n1 ZINC001163450967 1073305023 /nfs/dbraw/zinc/30/50/23/1073305023.db2.gz CLMGSFBXAAJQMX-NSHDSACASA-N 0 0 428.877 -0.134 20 0 IBADRN COC(=O)[C@H](CCCCNc1ncc(S(N)(=O)=O)cc1F)NC(=O)CNC(C)=O ZINC001163451007 1073305069 /nfs/dbraw/zinc/30/50/69/1073305069.db2.gz FBADAMCZRRMHRZ-ZDUSSCGKSA-N 0 0 433.462 -0.756 20 0 IBADRN COC(=O)[C@H](CCCCNc1ccc(S(=O)(=O)N(C)C)cn1)NC(=O)CNC(C)=O ZINC001163451159 1073305112 /nfs/dbraw/zinc/30/51/12/1073305112.db2.gz GCCNTXDPORDHLB-HNNXBMFYSA-N 0 0 443.526 -0.292 20 0 IBADRN CNS(=O)(=O)c1ccc(NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC)nc1 ZINC001163451195 1073305092 /nfs/dbraw/zinc/30/50/92/1073305092.db2.gz GUSOKWCJPBGJIU-AWEZNQCLSA-N 0 0 429.499 -0.634 20 0 IBADRN COC(=O)c1nc(Cl)cc(NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC)n1 ZINC001163451309 1073304961 /nfs/dbraw/zinc/30/49/61/1073304961.db2.gz JOKGITWWJMPDSG-NSHDSACASA-N 0 0 429.861 -0.285 20 0 IBADRN COC(=O)[C@H](CCCCNc1ncc2c(n1)N(C)[C@@H](C)C(=O)N2)NC(=O)CNC(C)=O ZINC001163451723 1073305148 /nfs/dbraw/zinc/30/51/48/1073305148.db2.gz OOIXBRBHRZOIOW-AAEUAGOBSA-N 0 0 435.485 -0.371 20 0 IBADRN COC(=O)[C@H](CCCCNc1ncc2c(n1)N(C)[C@H](C)C(=O)N2)NC(=O)CNC(C)=O ZINC001163451730 1073305082 /nfs/dbraw/zinc/30/50/82/1073305082.db2.gz OOIXBRBHRZOIOW-YPMHNXCESA-N 0 0 435.485 -0.371 20 0 IBADRN COC(=O)[C@H](CCCCNc1nc(Cl)ccc1S(N)(=O)=O)NC(=O)CNC(C)=O ZINC001163451749 1073304999 /nfs/dbraw/zinc/30/49/99/1073304999.db2.gz KIIQPGBDQCCMQQ-NSHDSACASA-N 0 0 449.917 -0.242 20 0 IBADRN COC(=O)[C@H](CCCCNc1ncc(S(N)(=O)=O)cc1Cl)NC(=O)CNC(C)=O ZINC001163452140 1073305139 /nfs/dbraw/zinc/30/51/39/1073305139.db2.gz PRCWQDSNIKKMCT-ZDUSSCGKSA-N 0 0 449.917 -0.242 20 0 IBADRN CCNS(=O)(=O)c1cccnc1NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC ZINC001163452578 1073305063 /nfs/dbraw/zinc/30/50/63/1073305063.db2.gz VXADPTDEARLZIH-AWEZNQCLSA-N 0 0 443.526 -0.244 20 0 IBADRN CNS(=O)(=O)c1cccnc1NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC ZINC001163452640 1073304969 /nfs/dbraw/zinc/30/49/69/1073304969.db2.gz ZGXFUPYNGKJMEU-ZDUSSCGKSA-N 0 0 429.499 -0.634 20 0 IBADRN COC(=O)[C@H](CCCCNc1ccnc(N2CCN(C)CC2)n1)NC(=O)CNC(C)=O ZINC001163452704 1073304989 /nfs/dbraw/zinc/30/49/89/1073304989.db2.gz WSRDZBFAOSWZCH-INIZCTEOSA-N 0 0 435.529 -0.396 20 0 IBADRN COC(=O)[C@H](CCCCNc1cc(N2CCC[C@H]2C(N)=O)ncn1)NC(=O)CNC(C)=O ZINC001163452739 1073305379 /nfs/dbraw/zinc/30/53/79/1073305379.db2.gz YEELFKYIFRAUNB-GJZGRUSLSA-N 0 0 449.512 -0.693 20 0 IBADRN COC(=O)[C@H](CCCCNc1cc(N2CCC[C@@H]2C(N)=O)ncn1)NC(=O)CNC(C)=O ZINC001163452740 1073305418 /nfs/dbraw/zinc/30/54/18/1073305418.db2.gz YEELFKYIFRAUNB-LSDHHAIUSA-N 0 0 449.512 -0.693 20 0 IBADRN CCNS(=O)(=O)c1ccc(NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC)nc1 ZINC001163452751 1073305358 /nfs/dbraw/zinc/30/53/58/1073305358.db2.gz YPEUDCGSSGISSY-HNNXBMFYSA-N 0 0 443.526 -0.244 20 0 IBADRN COC(=O)c1ncnc(NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC)c1OC ZINC001163455682 1073305680 /nfs/dbraw/zinc/30/56/80/1073305680.db2.gz OAZDKEZBPMQDQZ-LBPRGKRZSA-N 0 0 425.442 -0.352 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@H](CNc1ccc(C(=O)N3CCNC(=O)C3)cn1)OCC2 ZINC001163474783 1073305559 /nfs/dbraw/zinc/30/55/59/1073305559.db2.gz POBYGMGWWVRCEY-INIZCTEOSA-N 0 0 441.492 -0.336 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@@H](CNc1ccc(C(=O)N3CCNC(=O)C3)cn1)OCC2 ZINC001163474784 1073305542 /nfs/dbraw/zinc/30/55/42/1073305542.db2.gz POBYGMGWWVRCEY-MRXNPFEDSA-N 0 0 441.492 -0.336 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@H](CNc1cc(N3CCN(C)C(=O)C3)ncn1)OCC2 ZINC001163474797 1073305512 /nfs/dbraw/zinc/30/55/12/1073305512.db2.gz PVAPWTFLTZOTHZ-HNNXBMFYSA-N 0 0 428.497 -0.234 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@@H](CNc1cc(N3CCN(C)C(=O)C3)ncn1)OCC2 ZINC001163474798 1073305361 /nfs/dbraw/zinc/30/53/61/1073305361.db2.gz PVAPWTFLTZOTHZ-OAHLLOKOSA-N 0 0 428.497 -0.234 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@H](CNc1ccc(=O)n(CCS(C)(=O)=O)c1)OCC2 ZINC001163478086 1073305390 /nfs/dbraw/zinc/30/53/90/1073305390.db2.gz MFHJZNUJURUYQE-INIZCTEOSA-N 0 0 437.522 -0.097 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@@H](CNc1ccc(=O)n(CCS(C)(=O)=O)c1)OCC2 ZINC001163478087 1073305463 /nfs/dbraw/zinc/30/54/63/1073305463.db2.gz MFHJZNUJURUYQE-MRXNPFEDSA-N 0 0 437.522 -0.097 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NN2C(=O)c3ccc(Br)cc3C2=O)c1 ZINC001163492938 1073305499 /nfs/dbraw/zinc/30/54/99/1073305499.db2.gz OTSHOKLHUAUQFE-UHFFFAOYSA-N 0 0 442.251 -0.212 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3[C@H](CO)CC[C@H]3CO)cc2)CC1 ZINC001163513015 1073305407 /nfs/dbraw/zinc/30/54/07/1073305407.db2.gz SDFBDYXANAWQJW-IRXDYDNUSA-N 0 0 425.507 -0.503 20 0 IBADRN CCn1c(CCC(=O)N[C@@]2(C(N)=O)CCOC2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001163613784 1073305628 /nfs/dbraw/zinc/30/56/28/1073305628.db2.gz CADYIEXVQMSTIE-IBGZPJMESA-N 0 0 437.522 0.000 20 0 IBADRN CCn1c(CCC(=O)N[C@]2(C(N)=O)CCOC2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001163613785 1073305445 /nfs/dbraw/zinc/30/54/45/1073305445.db2.gz CADYIEXVQMSTIE-LJQANCHMSA-N 0 0 437.522 0.000 20 0 IBADRN O=C([C@@H]1CCCO1)N1CCN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001163649925 1073305597 /nfs/dbraw/zinc/30/55/97/1073305597.db2.gz JYQWJPOCGHGDQM-INIZCTEOSA-N 0 0 433.513 -0.628 20 0 IBADRN O=C([C@H]1CCCO1)N1CCN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001163649928 1073305994 /nfs/dbraw/zinc/30/59/94/1073305994.db2.gz JYQWJPOCGHGDQM-MRXNPFEDSA-N 0 0 433.513 -0.628 20 0 IBADRN O=C([C@@H]1CCCO1)N1CCN(c2cc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001163650270 1073306050 /nfs/dbraw/zinc/30/60/50/1073306050.db2.gz LKFVPTBRARTJEQ-KRWDZBQOSA-N 0 0 432.525 -0.023 20 0 IBADRN O=C([C@H]1CCCO1)N1CCN(c2cc(N3CCOCC3)nc(N3CCOCC3)n2)CC1 ZINC001163650278 1073306075 /nfs/dbraw/zinc/30/60/75/1073306075.db2.gz LKFVPTBRARTJEQ-QGZVFWFLSA-N 0 0 432.525 -0.023 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)COC1 ZINC001163657873 1073306151 /nfs/dbraw/zinc/30/61/51/1073306151.db2.gz ANNTVLXHNZYOOX-AWEZNQCLSA-N 0 0 432.520 -0.313 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)COC1 ZINC001163657874 1073305984 /nfs/dbraw/zinc/30/59/84/1073305984.db2.gz ANNTVLXHNZYOOX-CQSZACIVSA-N 0 0 432.520 -0.313 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NC2(CS(C)(=O)=O)COC2)CC1 ZINC001163657934 1073306060 /nfs/dbraw/zinc/30/60/60/1073306060.db2.gz BVSLTSDBWOTHEU-UHFFFAOYSA-N 0 0 434.540 -0.643 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)COC1 ZINC001163658567 1073306041 /nfs/dbraw/zinc/30/60/41/1073306041.db2.gz SIRUGDRDLPKDDY-UHFFFAOYSA-N 0 0 448.519 -0.554 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)COC1 ZINC001163658726 1073305973 /nfs/dbraw/zinc/30/59/73/1073305973.db2.gz YHLGYTJOEBEELZ-UHFFFAOYSA-N 0 0 434.492 -0.944 20 0 IBADRN COC(=O)c1cnc(N2CCN(C(=O)OC)CC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001163684108 1073305959 /nfs/dbraw/zinc/30/59/59/1073305959.db2.gz VJYKIVPLYHYWSH-UHFFFAOYSA-N 0 0 428.467 -0.223 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@H]1CCCN(C(=O)C(F)(F)F)C1 ZINC001163689447 1073305943 /nfs/dbraw/zinc/30/59/43/1073305943.db2.gz JJMDYTVQQRWJSM-GFCCVEGCSA-N 0 0 444.476 -0.262 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1CCCN(C(=O)C(F)(F)F)C1 ZINC001163689448 1073306147 /nfs/dbraw/zinc/30/61/47/1073306147.db2.gz JJMDYTVQQRWJSM-LBPRGKRZSA-N 0 0 444.476 -0.262 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@H]3CCCN(C(=O)C(F)(F)F)C3)[C@@H](O)C(=O)N2C)cn1 ZINC001163689655 1073306033 /nfs/dbraw/zinc/30/60/33/1073306033.db2.gz LMWVKTILKUBHNF-FMKGYKFTSA-N 0 0 431.415 -0.173 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@@H]3CCCN(C(=O)C(F)(F)F)C3)[C@@H](O)C(=O)N2C)cn1 ZINC001163689657 1073306021 /nfs/dbraw/zinc/30/60/21/1073306021.db2.gz LMWVKTILKUBHNF-IGHBBLSQSA-N 0 0 431.415 -0.173 20 0 IBADRN C[C@H](CNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)N1C[C@@H](C)O[C@@H](C)C1 ZINC001163726062 1073306090 /nfs/dbraw/zinc/30/60/90/1073306090.db2.gz JBOQIDIFJLIMPL-KFWWJZLASA-N 0 0 447.579 -0.018 20 0 IBADRN C[C@@H](CNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)N1C[C@@H](C)O[C@@H](C)C1 ZINC001163726063 1073306163 /nfs/dbraw/zinc/30/61/63/1073306163.db2.gz JBOQIDIFJLIMPL-SOUVJXGZSA-N 0 0 447.579 -0.018 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC001163731526 1073306078 /nfs/dbraw/zinc/30/60/78/1073306078.db2.gz KXGOXCVXDPYHOF-RYUDHWBXSA-N 0 0 444.476 -0.122 20 0 IBADRN COC(=O)c1cnc(N2CCN(C3COC3)CC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001163752697 1073305926 /nfs/dbraw/zinc/30/59/26/1073305926.db2.gz NTVGHWPLBMBKMI-UHFFFAOYSA-N 0 0 426.495 -0.590 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](C)C3N=NC(=O)N3C)c2)CC1 ZINC001163835358 1073306157 /nfs/dbraw/zinc/30/61/57/1073306157.db2.gz BNXBGDRYMDTGNY-GFCCVEGCSA-N 0 0 436.494 -0.136 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](C)C3N=NC(=O)N3C)c2)CC1 ZINC001163835359 1073306137 /nfs/dbraw/zinc/30/61/37/1073306137.db2.gz BNXBGDRYMDTGNY-LBPRGKRZSA-N 0 0 436.494 -0.136 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(CC(F)(F)CO)CC3)cc2S1(=O)=O ZINC001163849761 1073306634 /nfs/dbraw/zinc/30/66/34/1073306634.db2.gz OADIISRMYKGGTP-UHFFFAOYSA-N 0 0 447.460 -0.137 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(CC(F)(F)CO)CC2)cc1 ZINC001163850661 1073306606 /nfs/dbraw/zinc/30/66/06/1073306606.db2.gz ZXVWMQFEMOPGMC-UHFFFAOYSA-N 0 0 434.465 -0.305 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1C[C@@](O)(C(F)(F)F)C[C@@H]1C(=O)OC ZINC001163894018 1073306642 /nfs/dbraw/zinc/30/66/42/1073306642.db2.gz JVPOHNUFQMCFFO-NOZJJQNGSA-N 0 0 427.357 -0.439 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1C[C@](O)(C(F)(F)F)C[C@@H]1C(=O)OC ZINC001163894019 1073306545 /nfs/dbraw/zinc/30/65/45/1073306545.db2.gz JVPOHNUFQMCFFO-RNCFNFMXSA-N 0 0 427.357 -0.439 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1C[C@@](O)(C(F)(F)F)C[C@H]1C(=O)OC ZINC001163894020 1073306617 /nfs/dbraw/zinc/30/66/17/1073306617.db2.gz JVPOHNUFQMCFFO-TVQRCGJNSA-N 0 0 427.357 -0.439 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1C[C@](O)(C(F)(F)F)C[C@H]1C(=O)OC ZINC001163894021 1073306583 /nfs/dbraw/zinc/30/65/83/1073306583.db2.gz JVPOHNUFQMCFFO-ZANVPECISA-N 0 0 427.357 -0.439 20 0 IBADRN O=C(NCCN=S1(=O)CCCC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001163941756 1073306660 /nfs/dbraw/zinc/30/66/60/1073306660.db2.gz YBLSOUWNKCKBAN-UHFFFAOYSA-N 0 0 428.536 -0.201 20 0 IBADRN COC(=O)c1cnc(N2CC[C@@](F)(C(=O)OC)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001163992482 1073306648 /nfs/dbraw/zinc/30/66/48/1073306648.db2.gz POELGSVCDJNSMJ-KRWDZBQOSA-N 0 0 431.442 -0.020 20 0 IBADRN COC(=O)c1cnc(N2CC[C@](F)(C(=O)OC)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001163992492 1073306672 /nfs/dbraw/zinc/30/66/72/1073306672.db2.gz POELGSVCDJNSMJ-QGZVFWFLSA-N 0 0 431.442 -0.020 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN(c3nncn3C)CC2)cc1 ZINC001164123594 1073306554 /nfs/dbraw/zinc/30/65/54/1073306554.db2.gz WZGMHVCSYWZOCB-UHFFFAOYSA-N 0 0 435.510 -0.209 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC001164123641 1073306495 /nfs/dbraw/zinc/30/64/95/1073306495.db2.gz YSSAXLMPPAGWND-CYBMUJFWSA-N 0 0 433.494 -0.836 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC001164123642 1073306667 /nfs/dbraw/zinc/30/66/67/1073306667.db2.gz YSSAXLMPPAGWND-ZDUSSCGKSA-N 0 0 433.494 -0.836 20 0 IBADRN Cn1nccc1[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001164150442 1073306523 /nfs/dbraw/zinc/30/65/23/1073306523.db2.gz SSOQMKPOHRZBPZ-INIZCTEOSA-N 0 0 442.519 -0.298 20 0 IBADRN Cn1nccc1[C@H]1COCCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001164150443 1073306625 /nfs/dbraw/zinc/30/66/25/1073306625.db2.gz SSOQMKPOHRZBPZ-MRXNPFEDSA-N 0 0 442.519 -0.298 20 0 IBADRN COCCOCCN1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC001164160262 1073306701 /nfs/dbraw/zinc/30/67/01/1073306701.db2.gz CJWPIWMZFNJOLV-UHFFFAOYSA-N 0 0 441.550 -0.031 20 0 IBADRN COCCOCCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC001164160819 1073306655 /nfs/dbraw/zinc/30/66/55/1073306655.db2.gz RHCNOFJHMOSFMS-HNNXBMFYSA-N 0 0 431.559 -0.489 20 0 IBADRN COCCOCCN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC001164160820 1073306589 /nfs/dbraw/zinc/30/65/89/1073306589.db2.gz RHCNOFJHMOSFMS-OAHLLOKOSA-N 0 0 431.559 -0.489 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CNC(=O)c3cccc(S(=O)(=O)N(C)C)c3)CC2)n1C ZINC001164186792 1073307018 /nfs/dbraw/zinc/30/70/18/1073307018.db2.gz HQVNOBUGGQNCCD-UHFFFAOYSA-N 0 0 449.537 -0.548 20 0 IBADRN COC(=O)c1cnc(N2CC(=O)N(C3CC3)C(=O)C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001164187828 1073307147 /nfs/dbraw/zinc/30/71/47/1073307147.db2.gz SVZUNEVBIDUMGO-UHFFFAOYSA-N 0 0 438.462 -0.773 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)n1C ZINC001164187969 1073307119 /nfs/dbraw/zinc/30/71/19/1073307119.db2.gz SXABLENQPYFHGE-INIZCTEOSA-N 0 0 439.476 -0.298 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H](CCC(N)=O)N3C(=O)c4ccccc4C3=O)CC2)n1C ZINC001164188028 1073306921 /nfs/dbraw/zinc/30/69/21/1073306921.db2.gz SXABLENQPYFHGE-MRXNPFEDSA-N 0 0 439.476 -0.298 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)OC(C)(C)C ZINC001164239780 1073306930 /nfs/dbraw/zinc/30/69/30/1073306930.db2.gz KIEWKLFUICNDNU-IHRRRGAJSA-N 0 0 446.522 -0.198 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)OC(C)(C)C ZINC001164239781 1073307143 /nfs/dbraw/zinc/30/71/43/1073307143.db2.gz KIEWKLFUICNDNU-MCIONIFRSA-N 0 0 446.522 -0.198 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)OC(C)(C)C ZINC001164239782 1073307183 /nfs/dbraw/zinc/30/71/83/1073307183.db2.gz KIEWKLFUICNDNU-MJBXVCDLSA-N 0 0 446.522 -0.198 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)OC(C)(C)C ZINC001164239783 1073307164 /nfs/dbraw/zinc/30/71/64/1073307164.db2.gz KIEWKLFUICNDNU-RDBSUJKOSA-N 0 0 446.522 -0.198 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCN(C(=O)C(=O)OC(C)(C)C)CC1 ZINC001164261978 1073307058 /nfs/dbraw/zinc/30/70/58/1073307058.db2.gz HIUDIZHEMLXZPY-UHFFFAOYSA-N 0 0 427.479 -0.031 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC001164262542 1073307028 /nfs/dbraw/zinc/30/70/28/1073307028.db2.gz SAIFOTXYCLBFDX-UHFFFAOYSA-N 0 0 445.270 -0.276 20 0 IBADRN O=C(NCc1cc(=O)n2ccccc2n1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001164404746 1073307171 /nfs/dbraw/zinc/30/71/71/1073307171.db2.gz PWCLRGUSMDTBOW-UHFFFAOYSA-N 0 0 441.469 -0.255 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H]4C[C@H]3C[S@@]4=O)c2)CC1 ZINC001164454393 1073306941 /nfs/dbraw/zinc/30/69/41/1073306941.db2.gz DXCUGJDWSBBFCN-MPEQGZPJSA-N 0 0 425.532 -0.115 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H]4C[C@H]3C[S@]4=O)c2)CC1 ZINC001164454394 1073307094 /nfs/dbraw/zinc/30/70/94/1073307094.db2.gz DXCUGJDWSBBFCN-IHULAWBXSA-N 0 0 425.532 -0.115 20 0 IBADRN COCCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C(C)(C)C1 ZINC001164459393 1073307069 /nfs/dbraw/zinc/30/70/69/1073307069.db2.gz CSGSRVGABYRYOZ-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001164465324 1073307597 /nfs/dbraw/zinc/30/75/97/1073307597.db2.gz PYPOHDWDTHALTN-CYBMUJFWSA-N 0 0 447.535 -0.334 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001164465325 1073307636 /nfs/dbraw/zinc/30/76/36/1073307636.db2.gz PYPOHDWDTHALTN-ZDUSSCGKSA-N 0 0 447.535 -0.334 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)C1 ZINC001164465546 1073307668 /nfs/dbraw/zinc/30/76/68/1073307668.db2.gz WJTCGTIPBQDSFE-LLVKDONJSA-N 0 0 432.455 -0.054 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)C1 ZINC001164465547 1073307561 /nfs/dbraw/zinc/30/75/61/1073307561.db2.gz WJTCGTIPBQDSFE-NSHDSACASA-N 0 0 432.455 -0.054 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001164465552 1073307571 /nfs/dbraw/zinc/30/75/71/1073307571.db2.gz WKTYZQDUWIDTGD-LLVKDONJSA-N 0 0 440.341 -0.123 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001164465553 1073307622 /nfs/dbraw/zinc/30/76/22/1073307622.db2.gz WKTYZQDUWIDTGD-NSHDSACASA-N 0 0 440.341 -0.123 20 0 IBADRN CCn1c(N)c(Nc2ccc(S(=O)(=O)N3CCN(C)CC3)cn2)c(=O)n(CC)c1=O ZINC001164466061 1073307649 /nfs/dbraw/zinc/30/76/49/1073307649.db2.gz BRZHNFQOXSIIOY-UHFFFAOYSA-N 0 0 437.526 -0.293 20 0 IBADRN CCn1c(N)c(Nc2cccc(N3CCN(S(C)(=O)=O)CC3)n2)c(=O)n(CC)c1=O ZINC001164467002 1073307639 /nfs/dbraw/zinc/30/76/39/1073307639.db2.gz VSOHYMFMECOHRK-UHFFFAOYSA-N 0 0 437.526 -0.148 20 0 IBADRN CCn1c(N)c(Nc2ccc(S(=O)(=O)NCC(=O)OC)cn2)c(=O)n(CC)c1=O ZINC001164467041 1073307586 /nfs/dbraw/zinc/30/75/86/1073307586.db2.gz WCZVSSONUJWFRO-UHFFFAOYSA-N 0 0 426.455 -0.778 20 0 IBADRN CCn1c(N)c(Nc2ncccc2S(=O)(=O)N2CCN(C)CC2)c(=O)n(CC)c1=O ZINC001164467104 1073307642 /nfs/dbraw/zinc/30/76/42/1073307642.db2.gz XUFRZMJQTOIAHB-UHFFFAOYSA-N 0 0 437.526 -0.293 20 0 IBADRN CCn1c(N)c(Nc2ccc(S(N)(=O)=O)c(CC(=O)OC)c2)c(=O)n(CC)c1=O ZINC001164479878 1073307671 /nfs/dbraw/zinc/30/76/71/1073307671.db2.gz SJBGYXDSNCWNTD-UHFFFAOYSA-N 0 0 425.467 -0.262 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001164505507 1073307677 /nfs/dbraw/zinc/30/76/77/1073307677.db2.gz WOMLCZIWLAJPSY-BMLIUANNSA-N 0 0 444.462 -0.246 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001164505508 1073307681 /nfs/dbraw/zinc/30/76/81/1073307681.db2.gz WOMLCZIWLAJPSY-BTDLBPIBSA-N 0 0 444.462 -0.246 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001164505509 1073307603 /nfs/dbraw/zinc/30/76/03/1073307603.db2.gz WOMLCZIWLAJPSY-DYZYQPBXSA-N 0 0 444.462 -0.246 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001164505510 1073307634 /nfs/dbraw/zinc/30/76/34/1073307634.db2.gz WOMLCZIWLAJPSY-QGHHPUGFSA-N 0 0 444.462 -0.246 20 0 IBADRN COC(=O)Cc1cc(=O)n(-c2ccc(C(=O)N3CCN(CC[NH+](C)C)C(=O)C3)cc2)[n-]1 ZINC001164526361 1073307617 /nfs/dbraw/zinc/30/76/17/1073307617.db2.gz FBNNNFKZHLXTCQ-UHFFFAOYSA-N 0 0 429.477 -0.273 20 0 IBADRN COC[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001164530312 1073307624 /nfs/dbraw/zinc/30/76/24/1073307624.db2.gz FUDMJOGEKZNMLO-DLBZAZTESA-N 0 0 425.507 -0.239 20 0 IBADRN COC[C@@H]1C[C@@H](O)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001164530779 1073307580 /nfs/dbraw/zinc/30/75/80/1073307580.db2.gz QCININZXDTYWHU-DLBZAZTESA-N 0 0 439.534 -0.092 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@H](C)O1 ZINC001164534754 1073307628 /nfs/dbraw/zinc/30/76/28/1073307628.db2.gz SYYZNVKNKXBOMJ-WFASDCNBSA-N 0 0 427.479 -0.544 20 0 IBADRN COC(=O)CNc1ccc(I)c(-c2nn[nH]n2)c1S(N)(=O)=O ZINC001164558425 1073307655 /nfs/dbraw/zinc/30/76/55/1073307655.db2.gz LKVFROZPFSRXHN-UHFFFAOYSA-N 0 0 438.207 -0.296 20 0 IBADRN Cn1c(CCC(=O)N[C@H]2C[C@@H](C(N)=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001164564890 1073307913 /nfs/dbraw/zinc/30/79/13/1073307913.db2.gz FPZJCTDALCJIOB-OKILXGFUSA-N 0 0 449.533 -0.093 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC[C@]3(O)CCOC3)ccc2F)CC1 ZINC001164581119 1073308018 /nfs/dbraw/zinc/30/80/18/1073308018.db2.gz QVRDZNFQMCUZHB-IBGZPJMESA-N 0 0 443.497 -0.050 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC[C@@]3(O)CCOC3)ccc2F)CC1 ZINC001164581120 1073307988 /nfs/dbraw/zinc/30/79/88/1073307988.db2.gz QVRDZNFQMCUZHB-LJQANCHMSA-N 0 0 443.497 -0.050 20 0 IBADRN COCCN1CCN(C)C2(CCN(c3nc4c(c(N5CCOCC5)n3)CNC4)CC2)C1=O ZINC001164639018 719279109 /nfs/dbraw/zinc/27/91/09/719279109.db2.gz GUKNBUZCLHHJOQ-UHFFFAOYSA-N 0 0 445.568 -0.324 20 0 IBADRN COCCN1CCN(C)C2(CCN(c3cc(OC)nc(S(C)(=O)=O)n3)CC2)C1=O ZINC001164640136 719274967 /nfs/dbraw/zinc/27/49/67/719274967.db2.gz NQDZMKUMINTOIR-UHFFFAOYSA-N 0 0 427.527 -0.352 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CC(N2CC[C@@H](O)C2)C1 ZINC001164643436 1073308094 /nfs/dbraw/zinc/30/80/94/1073308094.db2.gz IINXOSAGYHRCPU-OAHLLOKOSA-N 0 0 425.507 -0.206 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001164657740 1073308087 /nfs/dbraw/zinc/30/80/87/1073308087.db2.gz BCBUMSALUXGVNF-KBPBESRZSA-N 0 0 427.479 -0.951 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001164657741 1073308077 /nfs/dbraw/zinc/30/80/77/1073308077.db2.gz BCBUMSALUXGVNF-KGLIPLIRSA-N 0 0 427.479 -0.951 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001164657742 1073307982 /nfs/dbraw/zinc/30/79/82/1073307982.db2.gz BCBUMSALUXGVNF-UONOGXRCSA-N 0 0 427.479 -0.951 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001164657743 1073307900 /nfs/dbraw/zinc/30/79/00/1073307900.db2.gz BCBUMSALUXGVNF-ZIAGYGMSSA-N 0 0 427.479 -0.951 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001164659799 1073307960 /nfs/dbraw/zinc/30/79/60/1073307960.db2.gz QRDOZAOBYNARHD-GDBMZVCRSA-N 0 0 426.491 -0.172 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001164659811 1073307965 /nfs/dbraw/zinc/30/79/65/1073307965.db2.gz QRDOZAOBYNARHD-GOEBONIOSA-N 0 0 426.491 -0.172 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001164659813 1073308009 /nfs/dbraw/zinc/30/80/09/1073308009.db2.gz QRDOZAOBYNARHD-HOCLYGCPSA-N 0 0 426.491 -0.172 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001164659816 1073307950 /nfs/dbraw/zinc/30/79/50/1073307950.db2.gz QRDOZAOBYNARHD-ZBFHGGJFSA-N 0 0 426.491 -0.172 20 0 IBADRN O=S(=O)(c1cccnc1N1CCn2c(CN3CCOCC3)nnc2C1)N1CCOCC1 ZINC001164677757 719581987 /nfs/dbraw/zinc/58/19/87/719581987.db2.gz CHBFQNILYNWYEA-UHFFFAOYSA-N 0 0 449.537 -0.454 20 0 IBADRN CN1c2cnc(N3CCn4c(CN5CCOCC5)nnc4C3)nc2N2CCC[C@H]2C1=O ZINC001164677819 719578851 /nfs/dbraw/zinc/57/88/51/719578851.db2.gz FRKNWOKQFDQYOJ-AWEZNQCLSA-N 0 0 425.497 -0.134 20 0 IBADRN CN1c2cnc(N3CCn4c(CN5CCOCC5)nnc4C3)nc2N2CCC[C@@H]2C1=O ZINC001164677821 719578913 /nfs/dbraw/zinc/57/89/13/719578913.db2.gz FRKNWOKQFDQYOJ-CQSZACIVSA-N 0 0 425.497 -0.134 20 0 IBADRN CCOC(=O)c1cnc([S@](C)=O)nc1N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001164679857 719584762 /nfs/dbraw/zinc/58/47/62/719584762.db2.gz HRXFZPDWXDDTJD-PMERELPUSA-N 0 0 435.510 -0.165 20 0 IBADRN CCOC(=O)c1cnc([S@@](C)=O)nc1N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001164679858 719585282 /nfs/dbraw/zinc/58/52/82/719585282.db2.gz HRXFZPDWXDDTJD-SSEXGKCCSA-N 0 0 435.510 -0.165 20 0 IBADRN O=S(=O)(c1ccc(N2CCn3c(CN4CCOCC4)nnc3C2)nc1)N1CCOCC1 ZINC001164680741 719586289 /nfs/dbraw/zinc/58/62/89/719586289.db2.gz PJNWOUIHSRECJK-UHFFFAOYSA-N 0 0 449.537 -0.454 20 0 IBADRN O=C(NC1CCOCC1)c1ccc(N2CCn3c(CN4CCOCC4)nnc3C2)nn1 ZINC001164681035 719588328 /nfs/dbraw/zinc/58/83/28/719588328.db2.gz UHMVQLZMKNUHNY-UHFFFAOYSA-N 0 0 428.497 -0.171 20 0 IBADRN O=S1(=O)CCc2nc(Cl)nc(N3CCn4c(CN5CCOCC5)nnc4C3)c21 ZINC001164688170 719591382 /nfs/dbraw/zinc/59/13/82/719591382.db2.gz UYUKIHZTVBKREU-UHFFFAOYSA-N 0 0 425.902 -0.096 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(c1cc(N3CCOCC3)ncn1)CC2 ZINC001164701768 719936428 /nfs/dbraw/zinc/93/64/28/719936428.db2.gz BLPVAIJQIUHXRT-UHFFFAOYSA-N 0 0 426.525 -0.003 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)nc1 ZINC001164708121 719922619 /nfs/dbraw/zinc/92/26/19/719922619.db2.gz DBWRIFAJFMAJRQ-UHFFFAOYSA-N 0 0 433.538 -0.327 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(c1ncc3c(n1)N(C)CC(=O)N3)CC2 ZINC001164708380 719912325 /nfs/dbraw/zinc/91/23/25/719912325.db2.gz BPSUJNMLLMRGDI-UHFFFAOYSA-N 0 0 425.497 -0.451 20 0 IBADRN COc1cc(N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)nc(S(C)(=O)=O)n1 ZINC001164708402 719908761 /nfs/dbraw/zinc/90/87/61/719908761.db2.gz CMCZDNYGRYWLEN-UHFFFAOYSA-N 0 0 449.537 -0.428 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(c1ccnc(N3CCN(C)CC3)n1)CC2 ZINC001164708826 719930306 /nfs/dbraw/zinc/93/03/06/719930306.db2.gz SVQMWLJXOJZYQK-UHFFFAOYSA-N 0 0 439.568 -0.088 20 0 IBADRN C[C@@H]1C(=O)Nc2cnc(N3CCc4c(C(=O)N5CCN(C)CC5)nn(C)c4C3)nc2N1C ZINC001164709296 719909429 /nfs/dbraw/zinc/90/94/29/719909429.db2.gz SKNRNXOBFMIGCD-CYBMUJFWSA-N 0 0 439.524 -0.063 20 0 IBADRN C[C@H]1C(=O)Nc2cnc(N3CCc4c(C(=O)N5CCN(C)CC5)nn(C)c4C3)nc2N1C ZINC001164709298 719910165 /nfs/dbraw/zinc/91/01/65/719910165.db2.gz SKNRNXOBFMIGCD-ZDUSSCGKSA-N 0 0 439.524 -0.063 20 0 IBADRN CNS(=O)(=O)c1cccnc1N1CCc2c(C(=O)N3CCN(C)CC3)nn(C)c2C1 ZINC001164709581 719914217 /nfs/dbraw/zinc/91/42/17/719914217.db2.gz YQTKBSZKEKQLGG-UHFFFAOYSA-N 0 0 433.538 -0.327 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(c1ccnc(N3CCOCC3)n1)CC2 ZINC001164709638 719915900 /nfs/dbraw/zinc/91/59/00/719915900.db2.gz ZERKVBFGYUASFZ-UHFFFAOYSA-N 0 0 426.525 -0.003 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(c1ncc(S(N)(=O)=O)cc1F)CC2 ZINC001164712676 720049254 /nfs/dbraw/zinc/04/92/54/720049254.db2.gz LLQHRSQVAIUHMJ-UHFFFAOYSA-N 0 0 437.501 -0.448 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(c3cc(OC)nc(S(C)(=O)=O)n3)C2)C1 ZINC001164717591 720251316 /nfs/dbraw/zinc/25/13/16/720251316.db2.gz BPZNKERVFJRBQR-GOSISDBHSA-N 0 0 427.527 -0.447 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(c3cc(OC)nc(S(C)(=O)=O)n3)C2)C1 ZINC001164717592 720250824 /nfs/dbraw/zinc/25/08/24/720250824.db2.gz BPZNKERVFJRBQR-SFHVURJKSA-N 0 0 427.527 -0.447 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(c3nc(N4CCOCC4)nc4c3CCN4)C2)C1 ZINC001164718226 720232822 /nfs/dbraw/zinc/23/28/22/720232822.db2.gz DAURVSCJPRPYAB-JOCHJYFZSA-N 0 0 445.568 -0.054 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(c3nc(N4CCOCC4)nc4c3CCN4)C2)C1 ZINC001164718257 720231679 /nfs/dbraw/zinc/23/16/79/720231679.db2.gz DAURVSCJPRPYAB-QFIPXVFZSA-N 0 0 445.568 -0.054 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(c3nc4c(c(N5CCOCC5)n3)CNC4)C2)C1 ZINC001164720661 720278381 /nfs/dbraw/zinc/27/83/81/720278381.db2.gz BVZNNUIJLLNHPG-JOCHJYFZSA-N 0 0 445.568 -0.419 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(c3nc4c(c(N5CCOCC5)n3)CNC4)C2)C1 ZINC001164720665 720279040 /nfs/dbraw/zinc/27/90/40/720279040.db2.gz BVZNNUIJLLNHPG-QFIPXVFZSA-N 0 0 445.568 -0.419 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(c3cc(N4CCC[C@H]4C(N)=O)ncn3)C2)C1 ZINC001164720736 720300080 /nfs/dbraw/zinc/30/00/80/720300080.db2.gz CSVJPATYUNBMNE-HRAATJIYSA-N 0 0 431.541 -0.405 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(c3cc(N4CCC[C@@H]4C(N)=O)ncn3)C2)C1 ZINC001164720737 720299101 /nfs/dbraw/zinc/29/91/01/720299101.db2.gz CSVJPATYUNBMNE-IERDGZPVSA-N 0 0 431.541 -0.405 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(c3cc(N4CCC[C@@H]4C(N)=O)ncn3)C2)C1 ZINC001164720738 720299707 /nfs/dbraw/zinc/29/97/07/720299707.db2.gz CSVJPATYUNBMNE-IIBYNOLFSA-N 0 0 431.541 -0.405 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(c3cc(N4CCC[C@H]4C(N)=O)ncn3)C2)C1 ZINC001164720739 720298562 /nfs/dbraw/zinc/29/85/62/720298562.db2.gz CSVJPATYUNBMNE-KKSFZXQISA-N 0 0 431.541 -0.405 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(c3ccc(S(=O)(=O)N(C)C)cn3)C2)C1 ZINC001164723827 720285332 /nfs/dbraw/zinc/28/53/32/720285332.db2.gz YDWGTUGCYNREDW-IBGZPJMESA-N 0 0 425.555 -0.003 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(c3ccc(S(=O)(=O)N(C)C)cn3)C2)C1 ZINC001164723829 720284249 /nfs/dbraw/zinc/28/42/49/720284249.db2.gz YDWGTUGCYNREDW-LJQANCHMSA-N 0 0 425.555 -0.003 20 0 IBADRN CC(=O)[N-]S(=O)(=O)c1ccc(N2CCc3onc(C(=O)NCC[NH+](C)C)c3C2)nc1 ZINC001164753255 720966810 /nfs/dbraw/zinc/96/68/10/720966810.db2.gz BIFFJUYGFTWBPR-UHFFFAOYSA-N 0 0 436.494 -0.252 20 0 IBADRN CC(=O)[N-]S(=O)(=O)c1ccc(N2CCn3cc(CN4CC[NH+](C)CC4)nc3C2)nc1 ZINC001164796455 721520583 /nfs/dbraw/zinc/52/05/83/721520583.db2.gz YUIHXHSLJHTYIH-UHFFFAOYSA-N 0 0 433.538 -0.130 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(c1ncccc1S(N)(=O)=O)C2 ZINC001164822449 720435038 /nfs/dbraw/zinc/43/50/38/720435038.db2.gz FHAMPSNCXILABO-HNNXBMFYSA-N 0 0 436.538 -0.085 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(c1ncccc1S(N)(=O)=O)C2 ZINC001164822450 720434900 /nfs/dbraw/zinc/43/49/00/720434900.db2.gz FHAMPSNCXILABO-OAHLLOKOSA-N 0 0 436.538 -0.085 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(c1ccc(S(N)(=O)=O)cn1)C2 ZINC001164824122 720447450 /nfs/dbraw/zinc/44/74/50/720447450.db2.gz MKMQDISFEHEQJR-HNNXBMFYSA-N 0 0 436.538 -0.085 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(c1ccc(S(N)(=O)=O)cn1)C2 ZINC001164824123 720446440 /nfs/dbraw/zinc/44/64/40/720446440.db2.gz MKMQDISFEHEQJR-OAHLLOKOSA-N 0 0 436.538 -0.085 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(c1cc(S(N)(=O)=O)ccn1)C2 ZINC001164832689 720476698 /nfs/dbraw/zinc/47/66/98/720476698.db2.gz VTACWNKTZSZIHI-HNNXBMFYSA-N 0 0 436.538 -0.085 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(c1cc(S(N)(=O)=O)ccn1)C2 ZINC001164832690 720476791 /nfs/dbraw/zinc/47/67/91/720476791.db2.gz VTACWNKTZSZIHI-OAHLLOKOSA-N 0 0 436.538 -0.085 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(c1cccc(S(N)(=O)=O)n1)C2 ZINC001164833118 720482490 /nfs/dbraw/zinc/48/24/90/720482490.db2.gz MMNWAVADMZWGPU-HNNXBMFYSA-N 0 0 436.538 -0.085 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(c1cccc(S(N)(=O)=O)n1)C2 ZINC001164833119 720482135 /nfs/dbraw/zinc/48/21/35/720482135.db2.gz MMNWAVADMZWGPU-OAHLLOKOSA-N 0 0 436.538 -0.085 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(c1ccc(S(N)(=O)=O)nn1)C2 ZINC001164835047 720478089 /nfs/dbraw/zinc/47/80/89/720478089.db2.gz WCXOATZULCLWLG-AWEZNQCLSA-N 0 0 437.526 -0.690 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(c1ccc(S(N)(=O)=O)nn1)C2 ZINC001164835051 720478239 /nfs/dbraw/zinc/47/82/39/720478239.db2.gz WCXOATZULCLWLG-CQSZACIVSA-N 0 0 437.526 -0.690 20 0 IBADRN COc1cc(N2CCC3(CC2)COCCN(CC(=O)N(C)C)C3)nc(S(C)(=O)=O)n1 ZINC001164870326 721274190 /nfs/dbraw/zinc/27/41/90/721274190.db2.gz VYXUPPLTFITVKA-UHFFFAOYSA-N 0 0 441.554 -0.104 20 0 IBADRN Cc1nc(CN2CCOC[C@@]3(CN(c4ccc(S(N)(=O)=O)nn4)CCO3)C2)cs1 ZINC001164921763 721833324 /nfs/dbraw/zinc/83/33/24/721833324.db2.gz FTDYEPXQPBGEBK-KRWDZBQOSA-N 0 0 440.551 -0.003 20 0 IBADRN Cc1nc(CN2CCOC[C@]3(CN(c4ccc(S(N)(=O)=O)nn4)CCO3)C2)cs1 ZINC001164921764 721833327 /nfs/dbraw/zinc/83/33/27/721833327.db2.gz FTDYEPXQPBGEBK-QGZVFWFLSA-N 0 0 440.551 -0.003 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(F)(F)c1cc(S(N)(=O)=O)cnc1Cl)C2 ZINC001164970270 722079260 /nfs/dbraw/zinc/07/92/60/722079260.db2.gz PJJYJOTYTIBJNI-AWEZNQCLSA-N 0 0 437.856 -0.146 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(F)(F)c1cc(S(N)(=O)=O)cnc1Cl)C2 ZINC001164970271 722079312 /nfs/dbraw/zinc/07/93/12/722079312.db2.gz PJJYJOTYTIBJNI-CQSZACIVSA-N 0 0 437.856 -0.146 20 0 IBADRN O=C(CN1CCN(c2cc(N3CCOCC3)nc(N3CCOCC3)n2)CC1)N1CCCC1 ZINC001165057239 1073307922 /nfs/dbraw/zinc/30/79/22/1073307922.db2.gz IRVAGZQLOHMPQK-UHFFFAOYSA-N 0 0 445.568 -0.106 20 0 IBADRN COc1nc(N2CCN(CC(=O)N3CCCC3)CC2)nc(N2CCn3ccnc3C2)n1 ZINC001165057634 1073307972 /nfs/dbraw/zinc/30/79/72/1073307972.db2.gz HJOHIPBYFPSPOE-UHFFFAOYSA-N 0 0 427.513 -0.159 20 0 IBADRN O=C(CN1CCN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1)N1CCCC1 ZINC001165057909 1073308123 /nfs/dbraw/zinc/30/81/23/1073308123.db2.gz TUWCVXLGOVTMPH-UHFFFAOYSA-N 0 0 446.556 -0.711 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CCC(C(=O)N2CCN(C)CC2)CC1 ZINC001165084879 1073308050 /nfs/dbraw/zinc/30/80/50/1073308050.db2.gz CXZXSINIRMRRBS-UHFFFAOYSA-N 0 0 425.511 -0.494 20 0 IBADRN CN1CCN(C(=O)C2CCN(c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)CC2)CC1 ZINC001165087184 1073308027 /nfs/dbraw/zinc/30/80/27/1073308027.db2.gz UDOYKHKYARWBJO-UHFFFAOYSA-N 0 0 449.577 -0.057 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2N2CCN(CC(N)=O)CC2)CN1 ZINC001165152349 1073308531 /nfs/dbraw/zinc/30/85/31/1073308531.db2.gz FJHRHTGJKQGUGD-PBHICJAKSA-N 0 0 444.492 -0.472 20 0 IBADRN COC(=O)[C@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2N2CCN(CC(N)=O)CC2)CN1 ZINC001165152350 1073308444 /nfs/dbraw/zinc/30/84/44/1073308444.db2.gz FJHRHTGJKQGUGD-RHSMWYFYSA-N 0 0 444.492 -0.472 20 0 IBADRN COC(=O)[C@H]1C[C@H](Oc2nc3cc(OC)ccc3nc2N2CCN(CC(N)=O)CC2)CN1 ZINC001165152351 1073308411 /nfs/dbraw/zinc/30/84/11/1073308411.db2.gz FJHRHTGJKQGUGD-WMLDXEAASA-N 0 0 444.492 -0.472 20 0 IBADRN COC(=O)[C@@H]1C[C@H](Oc2nc3cc(OC)ccc3nc2N2CCN(CC(N)=O)CC2)CN1 ZINC001165152352 1073308466 /nfs/dbraw/zinc/30/84/66/1073308466.db2.gz FJHRHTGJKQGUGD-YOEHRIQHSA-N 0 0 444.492 -0.472 20 0 IBADRN COC(=O)c1cnc(N2C[C@@H](F)C[C@H]2C(=O)OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001165234071 1073309304 /nfs/dbraw/zinc/30/93/04/1073309304.db2.gz QCJIGVCCCRCNOJ-STQMWFEESA-N 0 0 431.442 -0.021 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2CCN(Cc3nc[nH]n3)CC2)cc1 ZINC001165249070 1073309446 /nfs/dbraw/zinc/30/94/46/1073309446.db2.gz FSMOSCRHLOVYAU-UHFFFAOYSA-N 0 0 440.551 -0.092 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(Cc3nc[nH]n3)CC2)cc1 ZINC001165250331 1073309415 /nfs/dbraw/zinc/30/94/15/1073309415.db2.gz MLUKEYDZBJLLKR-UHFFFAOYSA-N 0 0 436.494 -0.396 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1 ZINC001165291475 1073309205 /nfs/dbraw/zinc/30/92/05/1073309205.db2.gz OIXRVTBHRVFLNK-UHFFFAOYSA-N 0 0 431.902 -0.457 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCCC1=O ZINC001165350229 1073309803 /nfs/dbraw/zinc/30/98/03/1073309803.db2.gz VNTGRJYKBBONLR-HNNXBMFYSA-N 0 0 431.536 -0.493 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCCC1=O ZINC001165350232 1073309659 /nfs/dbraw/zinc/30/96/59/1073309659.db2.gz VNTGRJYKBBONLR-OAHLLOKOSA-N 0 0 431.536 -0.493 20 0 IBADRN CCOC(=O)c1nc2n(n1)CCN(c1ccc(C(=O)N3CCS(=O)(=O)CC3)cn1)C2 ZINC001165400005 1073309675 /nfs/dbraw/zinc/30/96/75/1073309675.db2.gz WJLZBVOEJHEYND-UHFFFAOYSA-N 0 0 434.478 -0.259 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC(Cn3cnnc3)CC2)c1 ZINC001165425307 1073309849 /nfs/dbraw/zinc/30/98/49/1073309849.db2.gz GXSNYFGRYVZHMJ-UHFFFAOYSA-N 0 0 441.535 -0.101 20 0 IBADRN COC1(C(=O)N2CCC(N3C[C@H](C(=O)OC(C)(C)C)CC3=O)CC2)CS(=O)(=O)C1 ZINC001165426067 1073309855 /nfs/dbraw/zinc/30/98/55/1073309855.db2.gz KTHIDHUPGUUNEB-CYBMUJFWSA-N 0 0 430.523 -0.019 20 0 IBADRN COC1(C(=O)N2CCC(N3C[C@@H](C(=O)OC(C)(C)C)CC3=O)CC2)CS(=O)(=O)C1 ZINC001165426069 1073309752 /nfs/dbraw/zinc/30/97/52/1073309752.db2.gz KTHIDHUPGUUNEB-ZDUSSCGKSA-N 0 0 430.523 -0.019 20 0 IBADRN NS(=O)(=O)c1cccnc1N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001165450239 1073309840 /nfs/dbraw/zinc/30/98/40/1073309840.db2.gz MHTNIDCEOKAPBG-UHFFFAOYSA-N 0 0 435.510 -0.130 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)nc1 ZINC001165450425 1073310200 /nfs/dbraw/zinc/31/02/00/1073310200.db2.gz WUUUNTMARJKMDM-UHFFFAOYSA-N 0 0 435.510 -0.130 20 0 IBADRN NS(=O)(=O)c1cccc(N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)n1 ZINC001165451638 1073310228 /nfs/dbraw/zinc/31/02/28/1073310228.db2.gz CHPMNMLGCBXGLM-UHFFFAOYSA-N 0 0 435.510 -0.130 20 0 IBADRN NS(=O)(=O)c1ccnc(N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)c1 ZINC001165452151 1073310244 /nfs/dbraw/zinc/31/02/44/1073310244.db2.gz JIYWLFMAHLRYGN-UHFFFAOYSA-N 0 0 435.510 -0.130 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)nn1 ZINC001165452624 1073310221 /nfs/dbraw/zinc/31/02/21/1073310221.db2.gz QJURLWNDYDOVJA-UHFFFAOYSA-N 0 0 436.498 -0.735 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(c2ccc(S(N)(=O)=O)nn2)C3)nn1 ZINC001165459479 1073310311 /nfs/dbraw/zinc/31/03/11/1073310311.db2.gz SPKYNZIZCCBKFK-KBXCAEBGSA-N 0 0 435.510 -0.054 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(c2ccc(S(N)(=O)=O)nn2)C3)nn1 ZINC001165459483 1073310234 /nfs/dbraw/zinc/31/02/34/1073310234.db2.gz SPKYNZIZCCBKFK-KDOFPFPSSA-N 0 0 435.510 -0.054 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(c2ccc(S(N)(=O)=O)nn2)C3)nn1 ZINC001165459485 1073310295 /nfs/dbraw/zinc/31/02/95/1073310295.db2.gz SPKYNZIZCCBKFK-KSSFIOAISA-N 0 0 435.510 -0.054 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(c2ccc(S(N)(=O)=O)nn2)C3)nn1 ZINC001165459487 1073310258 /nfs/dbraw/zinc/31/02/58/1073310258.db2.gz SPKYNZIZCCBKFK-RDTXWAMCSA-N 0 0 435.510 -0.054 20 0 IBADRN COCCn1nc(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)ccc1=O ZINC001165461696 1073310264 /nfs/dbraw/zinc/31/02/64/1073310264.db2.gz AOZRRKRPIGTMSC-UHFFFAOYSA-N 0 0 435.506 -0.318 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001165470077 1073310284 /nfs/dbraw/zinc/31/02/84/1073310284.db2.gz GMQHYLSDFWZQQG-KRWDZBQOSA-N 0 0 444.579 -0.716 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001165470081 1073310299 /nfs/dbraw/zinc/31/02/99/1073310299.db2.gz GMQHYLSDFWZQQG-QGZVFWFLSA-N 0 0 444.579 -0.716 20 0 IBADRN O=C(c1ccc(-n2cnnn2)cn1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001165470400 1073310218 /nfs/dbraw/zinc/31/02/18/1073310218.db2.gz SJEBHJYJCNGCFB-UHFFFAOYSA-N 0 0 428.478 -0.150 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCC2)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001165470419 1073310270 /nfs/dbraw/zinc/31/02/70/1073310270.db2.gz SUBBNSRRWNVAOS-UHFFFAOYSA-N 0 0 434.522 -0.440 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)c1-n1cnnc1 ZINC001165470461 1073310208 /nfs/dbraw/zinc/31/02/08/1073310208.db2.gz VCBFIPVSBVWVLD-UHFFFAOYSA-N 0 0 430.494 -0.207 20 0 IBADRN NC(=O)c1ccnc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)c1 ZINC001165471836 1073310167 /nfs/dbraw/zinc/31/01/67/1073310167.db2.gz AADNKBGJUCCDJA-LSDHHAIUSA-N 0 0 430.490 -0.292 20 0 IBADRN COC(=O)c1ccc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nn1 ZINC001165471969 1073310274 /nfs/dbraw/zinc/31/02/74/1073310274.db2.gz CHVBSVRXSARMIK-DZGCQCFKSA-N 0 0 446.489 -0.209 20 0 IBADRN NC(=O)c1ccc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nc1 ZINC001165471972 1073310251 /nfs/dbraw/zinc/31/02/51/1073310251.db2.gz CIBSDWYYOSNXOU-LSDHHAIUSA-N 0 0 430.490 -0.292 20 0 IBADRN COC(=O)c1cnc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)cn1 ZINC001165471981 1073310569 /nfs/dbraw/zinc/31/05/69/1073310569.db2.gz CPWGQHXRZRVDAX-DZGCQCFKSA-N 0 0 446.489 -0.209 20 0 IBADRN NC(=O)c1ccnc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001165472157 1073310565 /nfs/dbraw/zinc/31/05/65/1073310565.db2.gz GUYKXXUWMYLWMS-GXTWGEPZSA-N 0 0 431.478 -0.897 20 0 IBADRN O=S1(=O)Cc2cnc(N3CCOCC3)nc2[C@H]2CN(c3ncnc4c3CNC4)C[C@H]21 ZINC001165472364 1073310582 /nfs/dbraw/zinc/31/05/82/1073310582.db2.gz CCYSLFAUHPVYPS-GOEBONIOSA-N 0 0 429.506 -0.393 20 0 IBADRN CNC(=O)c1cccnc1N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001165472366 1073310626 /nfs/dbraw/zinc/31/06/26/1073310626.db2.gz CIBZVHRDCUTERE-JKSUJKDBSA-N 0 0 444.517 -0.031 20 0 IBADRN COC(=O)c1cnc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nc1 ZINC001165472464 1073310615 /nfs/dbraw/zinc/31/06/15/1073310615.db2.gz MXXVOUIOUNDYOI-LSDHHAIUSA-N 0 0 446.489 -0.209 20 0 IBADRN COC(=O)c1ccnc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001165472465 1073310619 /nfs/dbraw/zinc/31/06/19/1073310619.db2.gz MYUGTJTYLJDNFW-DZGCQCFKSA-N 0 0 446.489 -0.209 20 0 IBADRN NC(=O)c1cccnc1N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001165472478 1073310572 /nfs/dbraw/zinc/31/05/72/1073310572.db2.gz NPMGGIPXXLEWBD-LSDHHAIUSA-N 0 0 430.490 -0.292 20 0 IBADRN COC(=O)c1cc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)ncn1 ZINC001165472637 1073310624 /nfs/dbraw/zinc/31/06/24/1073310624.db2.gz GNMFERFJSUWZRO-DZGCQCFKSA-N 0 0 446.489 -0.209 20 0 IBADRN CNC(=O)c1ccnc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)c1 ZINC001165472653 1073310535 /nfs/dbraw/zinc/31/05/35/1073310535.db2.gz GYTDXVVANXIKMH-JKSUJKDBSA-N 0 0 444.517 -0.031 20 0 IBADRN NC(=O)c1cc(F)cnc1N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001165472698 1073310589 /nfs/dbraw/zinc/31/05/89/1073310589.db2.gz IBKHTNUYOJTUND-LSDHHAIUSA-N 0 0 448.480 -0.153 20 0 IBADRN COC(=O)c1nccnc1N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001165472869 1073310610 /nfs/dbraw/zinc/31/06/10/1073310610.db2.gz RWAYIAKBVOVBHF-UONOGXRCSA-N 0 0 446.489 -0.209 20 0 IBADRN NC(=O)c1ccc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nn1 ZINC001165473142 1073310603 /nfs/dbraw/zinc/31/06/03/1073310603.db2.gz XWKHMYSLRKSXCH-GXTWGEPZSA-N 0 0 431.478 -0.897 20 0 IBADRN NC(=O)c1cccc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001165473283 1073310594 /nfs/dbraw/zinc/31/05/94/1073310594.db2.gz QKMKWOYWLDXDHM-DZGCQCFKSA-N 0 0 430.490 -0.292 20 0 IBADRN O=C1NCc2ccnc(N3C[C@@H]4c5nc(N6CCOCC6)ncc5CS(=O)(=O)[C@@H]4C3)c21 ZINC001165473342 1073310636 /nfs/dbraw/zinc/31/06/36/1073310636.db2.gz RUVCWJOHJLYUQV-LSDHHAIUSA-N 0 0 442.501 -0.148 20 0 IBADRN NC(=O)c1cncc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001165473351 1073310631 /nfs/dbraw/zinc/31/06/31/1073310631.db2.gz SNCCIZUYTWSFDL-GXTWGEPZSA-N 0 0 431.478 -0.897 20 0 IBADRN O=S1(=O)Cc2cnc(N3CCOCC3)nc2[C@H]2CN(c3ncc4c(n3)CNC4)C[C@H]21 ZINC001165473357 1073310598 /nfs/dbraw/zinc/31/05/98/1073310598.db2.gz SVOQKDSWZVGRMY-GOEBONIOSA-N 0 0 429.506 -0.393 20 0 IBADRN COC(=O)c1cncnc1N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001165473368 1073311103 /nfs/dbraw/zinc/31/11/03/1073311103.db2.gz UACFOCRCQBVIRE-LSDHHAIUSA-N 0 0 446.489 -0.209 20 0 IBADRN CNC(=O)c1ccc(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nn1 ZINC001165481532 1073310971 /nfs/dbraw/zinc/31/09/71/1073310971.db2.gz SFNOTDNHOKVGPT-DZGCQCFKSA-N 0 0 445.505 -0.636 20 0 IBADRN CS(=O)(=O)c1cnc2nc[nH]c(N(Cc3noc4c3CNCC4)S(C)(=O)=O)c1-2 ZINC001165500220 1073311020 /nfs/dbraw/zinc/31/10/20/1073311020.db2.gz JCVQWXNLESLDTO-UHFFFAOYSA-N 0 0 426.480 -0.039 20 0 IBADRN CC(=O)[N-]S(=O)(=O)c1ccc(N(Cc2noc3c2C[NH2+]CC3)S(C)(=O)=O)nc1 ZINC001165501101 1073311137 /nfs/dbraw/zinc/31/11/37/1073311137.db2.gz KOOFRCYNQXRIKG-UHFFFAOYSA-N 0 0 429.480 -0.494 20 0 IBADRN C[C@@H]1CC[C@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CS1(=O)=O ZINC001165526174 1073311088 /nfs/dbraw/zinc/31/10/88/1073311088.db2.gz GISLZLMHOOHCHU-BDAKNGLRSA-N 0 0 432.300 -0.026 20 0 IBADRN C[C@H]1CC[C@@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CS1(=O)=O ZINC001165526179 1073311009 /nfs/dbraw/zinc/31/10/09/1073311009.db2.gz GISLZLMHOOHCHU-DTWKUNHWSA-N 0 0 432.300 -0.026 20 0 IBADRN C[C@H]1CC[C@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CS1(=O)=O ZINC001165526182 1073311111 /nfs/dbraw/zinc/31/11/11/1073311111.db2.gz GISLZLMHOOHCHU-IUCAKERBSA-N 0 0 432.300 -0.026 20 0 IBADRN C[C@@H]1CC[C@@H](NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CS1(=O)=O ZINC001165526185 1073311000 /nfs/dbraw/zinc/31/10/00/1073311000.db2.gz GISLZLMHOOHCHU-RKDXNWHRSA-N 0 0 432.300 -0.026 20 0 IBADRN C[C@H]1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CS1(=O)=O ZINC001165526787 1073311016 /nfs/dbraw/zinc/31/10/16/1073311016.db2.gz MEOMXRLXRHCYLJ-GXTWGEPZSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@H]1CC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CS1(=O)=O ZINC001165526788 1073310959 /nfs/dbraw/zinc/31/09/59/1073310959.db2.gz MEOMXRLXRHCYLJ-JSGCOSHPSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@@H]1CC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CS1(=O)=O ZINC001165526789 1073311491 /nfs/dbraw/zinc/31/14/91/1073311491.db2.gz MEOMXRLXRHCYLJ-OCCSQVGLSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@@H]1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CS1(=O)=O ZINC001165526790 1073311597 /nfs/dbraw/zinc/31/15/97/1073311597.db2.gz MEOMXRLXRHCYLJ-TZMCWYRMSA-N 0 0 429.520 -0.497 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(c1ncc(S(N)(=O)=O)cc1C(=O)OC)CC2 ZINC001165541132 1073311509 /nfs/dbraw/zinc/31/15/09/1073311509.db2.gz GVVIHAOTLYEPQH-GFCCVEGCSA-N 0 0 442.494 -0.594 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(c1ncc(S(N)(=O)=O)cc1C(=O)OC)CC2 ZINC001165541133 1073311512 /nfs/dbraw/zinc/31/15/12/1073311512.db2.gz GVVIHAOTLYEPQH-LBPRGKRZSA-N 0 0 442.494 -0.594 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(c1nc3c(c(N4CCOCC4)n1)CNC3)CC2 ZINC001165542123 1073311583 /nfs/dbraw/zinc/31/15/83/1073311583.db2.gz OQBKFEKIZHSIAI-HNNXBMFYSA-N 0 0 432.525 -0.193 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(c1nc3c(c(N4CCOCC4)n1)CNC3)CC2 ZINC001165542125 1073311565 /nfs/dbraw/zinc/31/15/65/1073311565.db2.gz OQBKFEKIZHSIAI-OAHLLOKOSA-N 0 0 432.525 -0.193 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CCO3)CC1 ZINC001165548023 1073311539 /nfs/dbraw/zinc/31/15/39/1073311539.db2.gz FVVMEBPQPPQMTO-KBXCAEBGSA-N 0 0 432.543 -0.037 20 0 IBADRN O=C(c1ccc(N2C[C@@H]3[C@H](C2)OCCN3c2ncccn2)nc1)N1CCS(=O)(=O)CC1 ZINC001165592073 1073311478 /nfs/dbraw/zinc/31/14/78/1073311478.db2.gz YAAFLIRMXXHVEU-SJORKVTESA-N 0 0 444.517 -0.164 20 0 IBADRN O=S(=O)(c1cccnc1N1C[C@@H]2[C@H](C1)OCCN2c1ncccn1)N1CCOCC1 ZINC001165593839 1073311547 /nfs/dbraw/zinc/31/15/47/1073311547.db2.gz QCRMVMALPZLXDK-CVEARBPZSA-N 0 0 432.506 -0.014 20 0 IBADRN O=S(=O)(c1ccc(N2C[C@@H]3[C@H](C2)OCCN3c2ncccn2)nc1)N1CCOCC1 ZINC001165594049 1073311517 /nfs/dbraw/zinc/31/15/17/1073311517.db2.gz VIJOCXATQUEXHD-SJORKVTESA-N 0 0 432.506 -0.014 20 0 IBADRN NS(=O)(=O)c1ccc(N2CC[C@H]3C[C@H](C(=O)NCCc4ccccn4)O[C@H]3C2)nn1 ZINC001165595127 1073311530 /nfs/dbraw/zinc/31/15/30/1073311530.db2.gz PPHKGWQCQJEGRS-IMJJTQAJSA-N 0 0 432.506 -0.138 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(c2ncc(S(N)(=O)=O)cc2Cl)C1 ZINC001165600949 1073311503 /nfs/dbraw/zinc/31/15/03/1073311503.db2.gz AMGXLICYJIYLKQ-LLVKDONJSA-N 0 0 428.902 -0.022 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(c2ncc(S(N)(=O)=O)cc2Cl)C1 ZINC001165600957 1073311970 /nfs/dbraw/zinc/31/19/70/1073311970.db2.gz AMGXLICYJIYLKQ-NSHDSACASA-N 0 0 428.902 -0.022 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(c2[nH+]cnc3[n-]cc(S(C)(=O)=O)c32)C1 ZINC001165601293 1073312026 /nfs/dbraw/zinc/31/20/26/1073312026.db2.gz DFUXKPKBTDFDEH-GFCCVEGCSA-N 0 0 433.494 -0.043 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(c2[nH+]cnc3[n-]cc(S(C)(=O)=O)c32)C1 ZINC001165601294 1073312006 /nfs/dbraw/zinc/31/20/06/1073312006.db2.gz DFUXKPKBTDFDEH-LBPRGKRZSA-N 0 0 433.494 -0.043 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(c2nc(Cl)nc(N3CCOCC3)n2)C1 ZINC001165602817 1073311949 /nfs/dbraw/zinc/31/19/49/1073311949.db2.gz PSMFAHURPBIPTC-CYBMUJFWSA-N 0 0 436.904 -0.043 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(c2nc(Cl)nc(N3CCOCC3)n2)C1 ZINC001165602832 1073312040 /nfs/dbraw/zinc/31/20/40/1073312040.db2.gz PSMFAHURPBIPTC-ZDUSSCGKSA-N 0 0 436.904 -0.043 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(c2nc(N3CCOCC3)nc3c2CCN3)C1 ZINC001165603479 1073312023 /nfs/dbraw/zinc/31/20/23/1073312023.db2.gz RFTJRABMKRMAMR-HNNXBMFYSA-N 0 0 442.524 -0.123 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(c2nc(N3CCOCC3)nc3c2CCN3)C1 ZINC001165603480 1073311964 /nfs/dbraw/zinc/31/19/64/1073311964.db2.gz RFTJRABMKRMAMR-OAHLLOKOSA-N 0 0 442.524 -0.123 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(c2ccc(C(=O)NC3CCOCC3)nn2)C1 ZINC001165604025 1073312044 /nfs/dbraw/zinc/31/20/44/1073312044.db2.gz BFRGSZSMSRIMEY-HNNXBMFYSA-N 0 0 443.508 -0.019 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(c2ccc(C(=O)NC3CCOCC3)nn2)C1 ZINC001165604026 1073311942 /nfs/dbraw/zinc/31/19/42/1073311942.db2.gz BFRGSZSMSRIMEY-OAHLLOKOSA-N 0 0 443.508 -0.019 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(c2cc(N3CCC[C@@H]3C(N)=O)ncn2)C1 ZINC001165604609 1073311988 /nfs/dbraw/zinc/31/19/88/1073311988.db2.gz DMLOFPNBAGRGFZ-GDBMZVCRSA-N 0 0 428.497 -0.474 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(c2cc(N3CCC[C@@H]3C(N)=O)ncn2)C1 ZINC001165604610 1073311957 /nfs/dbraw/zinc/31/19/57/1073311957.db2.gz DMLOFPNBAGRGFZ-GOEBONIOSA-N 0 0 428.497 -0.474 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(c2cc(N3CCC[C@H]3C(N)=O)ncn2)C1 ZINC001165604611 1073312033 /nfs/dbraw/zinc/31/20/33/1073312033.db2.gz DMLOFPNBAGRGFZ-HOCLYGCPSA-N 0 0 428.497 -0.474 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(c2cc(N3CCC[C@H]3C(N)=O)ncn2)C1 ZINC001165604612 1073311973 /nfs/dbraw/zinc/31/19/73/1073311973.db2.gz DMLOFPNBAGRGFZ-ZBFHGGJFSA-N 0 0 428.497 -0.474 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(c2nc(Cl)ccc2S(N)(=O)=O)C1 ZINC001165606911 1073311954 /nfs/dbraw/zinc/31/19/54/1073311954.db2.gz TXDWHSYYFHDLQB-LLVKDONJSA-N 0 0 428.902 -0.022 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(c2nc(Cl)ccc2S(N)(=O)=O)C1 ZINC001165606912 1073312377 /nfs/dbraw/zinc/31/23/77/1073312377.db2.gz TXDWHSYYFHDLQB-NSHDSACASA-N 0 0 428.902 -0.022 20 0 IBADRN COc1nccnc1N1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001165611025 1073312404 /nfs/dbraw/zinc/31/24/04/1073312404.db2.gz CPLPTBICGDRTMJ-BEFAXECRSA-N 0 0 439.538 -0.036 20 0 IBADRN COc1cncc(N2CC[C@H]3N(S(C)(=O)=O)CC[C@@]3(C(=O)N3CCOCC3)CC2)n1 ZINC001165611072 1073312544 /nfs/dbraw/zinc/31/25/44/1073312544.db2.gz DFYNYFKTUYXFJM-BEFAXECRSA-N 0 0 439.538 -0.036 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(c3ccc(F)c[n+]3[O-])CC[C@@H]12 ZINC001165611468 1073312550 /nfs/dbraw/zinc/31/25/50/1073312550.db2.gz ITAUWMPVZNDXKW-APWZRJJASA-N 0 0 442.513 -0.062 20 0 IBADRN COc1ccc(N2CC[C@H]3N(S(C)(=O)=O)CC[C@@]3(C(=O)N3CCOCC3)CC2)nn1 ZINC001165611596 1073312451 /nfs/dbraw/zinc/31/24/51/1073312451.db2.gz KZPSQZIJIZVHQG-BEFAXECRSA-N 0 0 439.538 -0.036 20 0 IBADRN COc1ccnc(N2CC[C@H]3N(S(C)(=O)=O)CC[C@@]3(C(=O)N3CCOCC3)CC2)n1 ZINC001165612489 1073312517 /nfs/dbraw/zinc/31/25/17/1073312517.db2.gz QLHYQBVTXQKMJL-BEFAXECRSA-N 0 0 439.538 -0.036 20 0 IBADRN COc1cncnc1N1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001165612515 1073312505 /nfs/dbraw/zinc/31/25/05/1073312505.db2.gz RJOUHXPTLABHNG-APWZRJJASA-N 0 0 439.538 -0.036 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)NCCS(=O)(=O)CC(F)(F)F ZINC001165623685 1073312498 /nfs/dbraw/zinc/31/24/98/1073312498.db2.gz UYYNSIRVDISSTJ-GFCCVEGCSA-N 0 0 441.403 -0.178 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)NCCS(=O)(=O)CC(F)(F)F ZINC001165623686 1073312510 /nfs/dbraw/zinc/31/25/10/1073312510.db2.gz UYYNSIRVDISSTJ-LBPRGKRZSA-N 0 0 441.403 -0.178 20 0 IBADRN COC(=O)c1ccc(N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)nn1 ZINC001165684650 1073312932 /nfs/dbraw/zinc/31/29/32/1073312932.db2.gz WRIVOYCPOAMYOV-IBGZPJMESA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1ccc(N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)nn1 ZINC001165684651 1073312853 /nfs/dbraw/zinc/31/28/53/1073312853.db2.gz WRIVOYCPOAMYOV-LJQANCHMSA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1cnc(N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)cn1 ZINC001165684674 1073312880 /nfs/dbraw/zinc/31/28/80/1073312880.db2.gz XFVGXAMQVLYHAH-IBGZPJMESA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1cnc(N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)cn1 ZINC001165684675 1073312866 /nfs/dbraw/zinc/31/28/66/1073312866.db2.gz XFVGXAMQVLYHAH-LJQANCHMSA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1nccnc1N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001165685376 1073312916 /nfs/dbraw/zinc/31/29/16/1073312916.db2.gz GQKZSBMAWDOZFX-IBGZPJMESA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1nccnc1N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001165685377 1073312903 /nfs/dbraw/zinc/31/29/03/1073312903.db2.gz GQKZSBMAWDOZFX-LJQANCHMSA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1cnc(N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)nc1 ZINC001165685552 1073312898 /nfs/dbraw/zinc/31/28/98/1073312898.db2.gz KRHPWEJCGPCXOW-IBGZPJMESA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1cnc(N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)nc1 ZINC001165685553 1073312893 /nfs/dbraw/zinc/31/28/93/1073312893.db2.gz KRHPWEJCGPCXOW-LJQANCHMSA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1cncnc1N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001165686109 1073312789 /nfs/dbraw/zinc/31/27/89/1073312789.db2.gz QHBRIHVFNKGFDA-IBGZPJMESA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1cncnc1N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001165686110 1073312885 /nfs/dbraw/zinc/31/28/85/1073312885.db2.gz QHBRIHVFNKGFDA-LJQANCHMSA-N 0 0 437.522 -0.027 20 0 IBADRN Cc1nc(N(C)C)nc(N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)n1 ZINC001165686281 1073312900 /nfs/dbraw/zinc/31/29/00/1073312900.db2.gz QUQTVLPFQFLLKD-IBGZPJMESA-N 0 0 437.570 -0.044 20 0 IBADRN Cc1nc(N(C)C)nc(N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)n1 ZINC001165686286 1073312819 /nfs/dbraw/zinc/31/28/19/1073312819.db2.gz QUQTVLPFQFLLKD-LJQANCHMSA-N 0 0 437.570 -0.044 20 0 IBADRN COC(=O)c1cc(N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)ncn1 ZINC001165686725 1073312871 /nfs/dbraw/zinc/31/28/71/1073312871.db2.gz VVRUPOAWDSHBFY-IBGZPJMESA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1cc(N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)ncn1 ZINC001165686727 1073312889 /nfs/dbraw/zinc/31/28/89/1073312889.db2.gz VVRUPOAWDSHBFY-LJQANCHMSA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1ccnc(N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)n1 ZINC001165686739 1073312809 /nfs/dbraw/zinc/31/28/09/1073312809.db2.gz WBESVEKJRQDZFW-IBGZPJMESA-N 0 0 437.522 -0.027 20 0 IBADRN COC(=O)c1ccnc(N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)n1 ZINC001165686740 1073312928 /nfs/dbraw/zinc/31/29/28/1073312928.db2.gz WBESVEKJRQDZFW-LJQANCHMSA-N 0 0 437.522 -0.027 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(c2ncnc4c2nnn4C)CC3)C1=O ZINC001165688097 1073313353 /nfs/dbraw/zinc/31/33/53/1073313353.db2.gz FRDKYGGLRGPHIM-GOSISDBHSA-N 0 0 434.526 -0.531 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(c2ncnc4c2nnn4C)CC3)C1=O ZINC001165688098 1073313331 /nfs/dbraw/zinc/31/33/31/1073313331.db2.gz FRDKYGGLRGPHIM-SFHVURJKSA-N 0 0 434.526 -0.531 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(c2cncc(-n4cncn4)n2)CC3)C1=O ZINC001165688881 1073313373 /nfs/dbraw/zinc/31/33/73/1073313373.db2.gz OIBXMDVRFWGODW-IBGZPJMESA-N 0 0 446.537 -0.232 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(c2cncc(-n4cncn4)n2)CC3)C1=O ZINC001165688882 1073313345 /nfs/dbraw/zinc/31/33/45/1073313345.db2.gz OIBXMDVRFWGODW-LJQANCHMSA-N 0 0 446.537 -0.232 20 0 IBADRN CNC(=O)c1ccc(N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)nn1 ZINC001165689025 1073313284 /nfs/dbraw/zinc/31/32/84/1073313284.db2.gz PMGWCUYHNPQJNX-IBGZPJMESA-N 0 0 436.538 -0.454 20 0 IBADRN CNC(=O)c1ccc(N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)nn1 ZINC001165689026 1073313400 /nfs/dbraw/zinc/31/34/00/1073313400.db2.gz PMGWCUYHNPQJNX-LJQANCHMSA-N 0 0 436.538 -0.454 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CC[C@H]2CO[C@H](CNC(=O)N(C)C)[C@H]2C1 ZINC001165695707 1073313413 /nfs/dbraw/zinc/31/34/13/1073313413.db2.gz LINSDBNMBSLMAW-TUKIKUTGSA-N 0 0 441.510 -0.372 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CC[C@H]2[C@H]1CCN2C(=O)c1cnn(C)c1 ZINC001165710317 1073313265 /nfs/dbraw/zinc/31/32/65/1073313265.db2.gz PCVJAHXIAUJIGW-CABCVRRESA-N 0 0 434.478 -0.258 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)CCNC(=O)CO ZINC001165729982 1073313365 /nfs/dbraw/zinc/31/33/65/1073313365.db2.gz YHFXQKVIFQXKNO-GWCFXTLKSA-N 0 0 425.404 -0.135 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)CCNC(=O)CO ZINC001165729985 1073313390 /nfs/dbraw/zinc/31/33/90/1073313390.db2.gz YHFXQKVIFQXKNO-GXFFZTMASA-N 0 0 425.404 -0.135 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)CCNC(=O)CO ZINC001165729988 1073313296 /nfs/dbraw/zinc/31/32/96/1073313296.db2.gz YHFXQKVIFQXKNO-MFKMUULPSA-N 0 0 425.404 -0.135 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)CCNC(=O)CO ZINC001165729990 1073313382 /nfs/dbraw/zinc/31/33/82/1073313382.db2.gz YHFXQKVIFQXKNO-ZWNOBZJWSA-N 0 0 425.404 -0.135 20 0 IBADRN O=S(=O)(c1ccc(N2C[C@@H]3[C@H](C2)OCCN3c2cnccn2)nc1)N1CCOCC1 ZINC001165732148 1073313831 /nfs/dbraw/zinc/31/38/31/1073313831.db2.gz JUIZLMMIGWCWHM-SJORKVTESA-N 0 0 432.506 -0.014 20 0 IBADRN O=C(c1ccc(N2C[C@@H]3[C@H](C2)OCCN3c2cnccn2)nc1)N1CCS(=O)(=O)CC1 ZINC001165732379 1073313802 /nfs/dbraw/zinc/31/38/02/1073313802.db2.gz MLJJWUWQVNLBKK-SJORKVTESA-N 0 0 444.517 -0.164 20 0 IBADRN O=S(=O)(c1cccnc1N1C[C@@H]2[C@H](C1)OCCN2c1cnccn1)N1CCOCC1 ZINC001165732447 1073313764 /nfs/dbraw/zinc/31/37/64/1073313764.db2.gz QATAKKXAMZQZCC-CVEARBPZSA-N 0 0 432.506 -0.014 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1C[C@@H]2[C@H](C1)OCCN2c1ccc(C)nn1 ZINC001165752475 1073313794 /nfs/dbraw/zinc/31/37/94/1073313794.db2.gz JPFKEQIIXWEYBO-CABCVRRESA-N 0 0 434.478 -0.292 20 0 IBADRN O=C([O-])Cc1nccnc1-n1cc2c(nc1=NCC1CC1)[C@H]1C[NH2+]C[C@H]1S(=O)(=O)C2 ZINC001165792669 1073313779 /nfs/dbraw/zinc/31/37/79/1073313779.db2.gz MTRGUCYHKWFWDX-DZGCQCFKSA-N 0 0 430.490 -0.416 20 0 IBADRN Cn1cnc2c1nc(N1CC[C@H]3O[C@@H](C(=O)N4CCOCC4)C[C@H]31)nc2N1CCOCC1 ZINC001165812702 1073314582 /nfs/dbraw/zinc/31/45/82/1073314582.db2.gz HGICYMODYUFLIW-BZUAXINKSA-N 0 0 443.508 -0.205 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOC[C@@]3(CN(c4ccsc4)C(=O)CO3)C2)nn1 ZINC001165816096 1073314563 /nfs/dbraw/zinc/31/45/63/1073314563.db2.gz DDVWNYXYSLFAOR-INIZCTEOSA-N 0 0 425.492 -0.176 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOC[C@]3(CN(c4ccsc4)C(=O)CO3)C2)nn1 ZINC001165816105 1073314507 /nfs/dbraw/zinc/31/45/07/1073314507.db2.gz DDVWNYXYSLFAOR-MRXNPFEDSA-N 0 0 425.492 -0.176 20 0 IBADRN CCOC(=O)c1cnc([S@@](C)=O)nc1N1CC[C@H]2O[C@@H](C(=O)N3CCOCC3)C[C@H]21 ZINC001165817396 1073314541 /nfs/dbraw/zinc/31/45/41/1073314541.db2.gz OMCSFXQXUYWWQR-RQOGLZSVSA-N 0 0 438.506 -0.014 20 0 IBADRN CCOC(=O)c1cnc([S@](C)=O)nc1N1CC[C@H]2O[C@@H](C(=O)N3CCOCC3)C[C@H]21 ZINC001165817397 1073314575 /nfs/dbraw/zinc/31/45/75/1073314575.db2.gz OMCSFXQXUYWWQR-UZSRJSASSA-N 0 0 438.506 -0.014 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CC[C@H]2O[C@@H](C(=O)N3CCOCC3)C[C@H]21 ZINC001165818149 1073314558 /nfs/dbraw/zinc/31/45/58/1073314558.db2.gz ZCFSLFLLTXVFAJ-RBSFLKMASA-N 0 0 440.478 -0.889 20 0 IBADRN O=C([C@H]1C[C@@H]2[C@@H](CCN2c2nc3c(c(N4CCOCC4)n2)CNC3)O1)N1CCOCC1 ZINC001165818217 1073314513 /nfs/dbraw/zinc/31/45/13/1073314513.db2.gz ZVNNOADYTLZYMA-KZNAEPCWSA-N 0 0 430.509 -0.489 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1NC(=O)C[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001165820149 1073314577 /nfs/dbraw/zinc/31/45/77/1073314577.db2.gz FVNUCLFCDRBRPY-IBGZPJMESA-N 0 0 425.486 -0.338 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1NC(=O)C[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001165820150 1073314571 /nfs/dbraw/zinc/31/45/71/1073314571.db2.gz FVNUCLFCDRBRPY-LJQANCHMSA-N 0 0 425.486 -0.338 20 0 IBADRN CN(CC(=O)N1NC(=O)C[C@]12CCN(C(=O)OC(C)(C)C)C2)[C@@H]1CCS(=O)(=O)C1 ZINC001165820199 1073315112 /nfs/dbraw/zinc/31/51/12/1073315112.db2.gz GYRQKHWKADEKDG-ACJLOTCBSA-N 0 0 430.527 -0.252 20 0 IBADRN CN(CC(=O)N1NC(=O)C[C@@]12CCN(C(=O)OC(C)(C)C)C2)[C@@H]1CCS(=O)(=O)C1 ZINC001165820200 1073315116 /nfs/dbraw/zinc/31/51/16/1073315116.db2.gz GYRQKHWKADEKDG-FZKQIMNGSA-N 0 0 430.527 -0.252 20 0 IBADRN CN(CC(=O)N1NC(=O)C[C@@]12CCN(C(=O)OC(C)(C)C)C2)[C@H]1CCS(=O)(=O)C1 ZINC001165820202 1073315106 /nfs/dbraw/zinc/31/51/06/1073315106.db2.gz GYRQKHWKADEKDG-SCLBCKFNSA-N 0 0 430.527 -0.252 20 0 IBADRN CN(CC(=O)N1NC(=O)C[C@]12CCN(C(=O)OC(C)(C)C)C2)[C@H]1CCS(=O)(=O)C1 ZINC001165820204 1073314530 /nfs/dbraw/zinc/31/45/30/1073314530.db2.gz GYRQKHWKADEKDG-UGSOOPFHSA-N 0 0 430.527 -0.252 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1NC(=O)C[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001165821432 1073315041 /nfs/dbraw/zinc/31/50/41/1073315041.db2.gz OLFIMPWXXCQKAO-KRWDZBQOSA-N 0 0 434.515 -0.415 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1NC(=O)C[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001165821437 1073315014 /nfs/dbraw/zinc/31/50/14/1073315014.db2.gz OLFIMPWXXCQKAO-QGZVFWFLSA-N 0 0 434.515 -0.415 20 0 IBADRN O=C(N[C@@H]1CN(c2nc(Cl)nc(N3CCOCC3)n2)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001165823817 1073315099 /nfs/dbraw/zinc/31/50/99/1073315099.db2.gz UZIMFGVFAPVQJX-MRVWCRGKSA-N 0 0 432.872 -0.215 20 0 IBADRN O=C(N[C@@H]1CN(c2nc(N3CCOCC3)nc3c2CCN3)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001165824693 1073315121 /nfs/dbraw/zinc/31/51/21/1073315121.db2.gz GVUUJVCRVYVMIE-DJIMGWMZSA-N 0 0 438.492 -0.295 20 0 IBADRN CCOC(=O)c1cnc([S@](C)=O)nc1N1C[C@@H](NC(=O)c2cnccn2)[C@H]2COC[C@H]21 ZINC001165825383 1073315130 /nfs/dbraw/zinc/31/51/30/1073315130.db2.gz BYAJSWQJLHVEJQ-KKCJMKOCSA-N 0 0 446.489 -0.186 20 0 IBADRN CCOC(=O)c1cnc([S@@](C)=O)nc1N1C[C@@H](NC(=O)c2cnccn2)[C@H]2COC[C@H]21 ZINC001165825388 1073314943 /nfs/dbraw/zinc/31/49/43/1073314943.db2.gz BYAJSWQJLHVEJQ-LUNUWVFYSA-N 0 0 446.489 -0.186 20 0 IBADRN CS(=O)(=O)c1c[n-]c2nc[nH+]c(N3C[C@@H](NC(=O)c4cnccn4)[C@H]4COC[C@H]43)c21 ZINC001165825524 1073315084 /nfs/dbraw/zinc/31/50/84/1073315084.db2.gz YKSUHTZULGIHHM-RAIGVLPGSA-N 0 0 429.462 -0.215 20 0 IBADRN CN1c2cnc(N3C[C@@H](NC(=O)c4cnccn4)[C@H]4COC[C@H]43)nc2N2CCC[C@@H]2C1=O ZINC001165825537 1073315023 /nfs/dbraw/zinc/31/50/23/1073315023.db2.gz ZBDKOOBNEXPIJG-DNNBLBMLSA-N 0 0 436.476 -0.155 20 0 IBADRN O=C(N[C@@H]1CN(c2nc(Cl)nc3c2S(=O)(=O)CC3)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001165825683 1073314924 /nfs/dbraw/zinc/31/49/24/1073314924.db2.gz XBFYVCUHTSAONQ-OASPWFOLSA-N 0 0 436.881 -0.117 20 0 IBADRN O=C(N[C@@H]1CN(c2nc3c(c(N4CCOCC4)n2)CNC3)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001165825808 1073315046 /nfs/dbraw/zinc/31/50/46/1073315046.db2.gz LTIKMGSAGXEQCE-ZTFGCOKTSA-N 0 0 438.492 -0.660 20 0 IBADRN O=C(N[C@@H]1CN(c2ccc(C(=O)NC3CCOCC3)nn2)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001165825886 1073314906 /nfs/dbraw/zinc/31/49/06/1073314906.db2.gz POOHUOTZFJRWDE-ZTFGCOKTSA-N 0 0 439.476 -0.191 20 0 IBADRN O=C(N[C@@H]1CN(c2ccc(S(=O)(=O)NC3CC3)cn2)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001165825906 1073314995 /nfs/dbraw/zinc/31/49/95/1073314995.db2.gz QMXDJMLZOJACQX-DJIMGWMZSA-N 0 0 430.490 -0.054 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001165832367 1073314956 /nfs/dbraw/zinc/31/49/56/1073314956.db2.gz BKSOQEMWXMSUFI-FUHWJXTLSA-N 0 0 425.507 -0.252 20 0 IBADRN COc1nc(Cl)nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165834536 1073315034 /nfs/dbraw/zinc/31/50/34/1073315034.db2.gz BUFFNOKLTVFISQ-IJLUTSLNSA-N 0 0 431.902 -0.368 20 0 IBADRN COc1nc(Cl)nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165834541 1073314985 /nfs/dbraw/zinc/31/49/85/1073314985.db2.gz BUFFNOKLTVFISQ-QJPTWQEYSA-N 0 0 431.902 -0.368 20 0 IBADRN COc1cc(OC)nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165834789 1073315461 /nfs/dbraw/zinc/31/54/61/1073315461.db2.gz CHMQWYZRTVDNIL-BFHYXJOUSA-N 0 0 426.495 -0.408 20 0 IBADRN COc1cc(OC)nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165834790 1073315432 /nfs/dbraw/zinc/31/54/32/1073315432.db2.gz CHMQWYZRTVDNIL-MGPQQGTHSA-N 0 0 426.495 -0.408 20 0 IBADRN CCOC(=O)c1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)ncn1 ZINC001165834791 1073315438 /nfs/dbraw/zinc/31/54/38/1073315438.db2.gz CKUVMKAODOCHLA-IIAWOOMASA-N 0 0 438.506 -0.248 20 0 IBADRN CCOC(=O)c1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)ncn1 ZINC001165834792 1073315425 /nfs/dbraw/zinc/31/54/25/1073315425.db2.gz CKUVMKAODOCHLA-SQWLQELKSA-N 0 0 438.506 -0.248 20 0 IBADRN COc1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc(OC)n1 ZINC001165835357 1073315386 /nfs/dbraw/zinc/31/53/86/1073315386.db2.gz HSRIMYYERLMDCS-BFHYXJOUSA-N 0 0 426.495 -0.408 20 0 IBADRN COc1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc(OC)n1 ZINC001165835359 1073315453 /nfs/dbraw/zinc/31/54/53/1073315453.db2.gz HSRIMYYERLMDCS-MGPQQGTHSA-N 0 0 426.495 -0.408 20 0 IBADRN CS(=O)(=O)c1cccnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165835685 1073315443 /nfs/dbraw/zinc/31/54/43/1073315443.db2.gz JDTRCZZUOIXYAW-IIAWOOMASA-N 0 0 443.547 -0.417 20 0 IBADRN CS(=O)(=O)c1cccnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165835686 1073315416 /nfs/dbraw/zinc/31/54/16/1073315416.db2.gz JDTRCZZUOIXYAW-SQWLQELKSA-N 0 0 443.547 -0.417 20 0 IBADRN NC(=O)c1cnc(Cl)cc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165835722 1073315369 /nfs/dbraw/zinc/31/53/69/1073315369.db2.gz JXMTXWBBRRVVSS-NJZAAPMLSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1cnc(Cl)cc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165835729 1073315447 /nfs/dbraw/zinc/31/54/47/1073315447.db2.gz JXMTXWBBRRVVSS-UXIGCNINSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)ccc1Cl ZINC001165836093 1073315404 /nfs/dbraw/zinc/31/54/04/1073315404.db2.gz LWTVMCCLOQJVBV-OUCADQQQSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)ccc1Cl ZINC001165836096 1073315421 /nfs/dbraw/zinc/31/54/21/1073315421.db2.gz LWTVMCCLOQJVBV-YRGRVCCFSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1cc(Cl)cnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165836449 1073315377 /nfs/dbraw/zinc/31/53/77/1073315377.db2.gz PIWCRDIJXIJWDH-BPLDGKMQSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1cc(Cl)cnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165836452 1073315382 /nfs/dbraw/zinc/31/53/82/1073315382.db2.gz PIWCRDIJXIJWDH-NWANDNLSSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1cc(Cl)nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001165836679 1073315399 /nfs/dbraw/zinc/31/53/99/1073315399.db2.gz QWHJPIRXKCDYHH-BFHYXJOUSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1cc(Cl)nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001165836682 1073315363 /nfs/dbraw/zinc/31/53/63/1073315363.db2.gz QWHJPIRXKCDYHH-MGPQQGTHSA-N 0 0 442.925 -0.068 20 0 IBADRN CCOC(=O)c1cncnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165836916 1073315346 /nfs/dbraw/zinc/31/53/46/1073315346.db2.gz SBEOEQKSBZGDGN-FVQBIDKESA-N 0 0 438.506 -0.248 20 0 IBADRN CCOC(=O)c1cncnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165836917 1073315457 /nfs/dbraw/zinc/31/54/57/1073315457.db2.gz SBEOEQKSBZGDGN-NUEKZKHPSA-N 0 0 438.506 -0.248 20 0 IBADRN NC(=O)c1cc(Cl)nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165836993 1073315759 /nfs/dbraw/zinc/31/57/59/1073315759.db2.gz SMTDPCIYQYZOBY-DMDPSCGWSA-N 0 0 443.913 -0.673 20 0 IBADRN NC(=O)c1cc(Cl)nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165836997 1073315877 /nfs/dbraw/zinc/31/58/77/1073315877.db2.gz SMTDPCIYQYZOBY-NQBHXWOUSA-N 0 0 443.913 -0.673 20 0 IBADRN NC(=O)c1cnc(Cl)nc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165837127 1073315912 /nfs/dbraw/zinc/31/59/12/1073315912.db2.gz UBNXONGLIMODFA-CYZMBNFOSA-N 0 0 443.913 -0.673 20 0 IBADRN NC(=O)c1cnc(Cl)nc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165837129 1073315907 /nfs/dbraw/zinc/31/59/07/1073315907.db2.gz UBNXONGLIMODFA-RAIGVLPGSA-N 0 0 443.913 -0.673 20 0 IBADRN NC(=O)c1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)ncc1Cl ZINC001165837588 1073315897 /nfs/dbraw/zinc/31/58/97/1073315897.db2.gz WFOFMFMOOMXCMD-NJZAAPMLSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)ncc1Cl ZINC001165837590 1073315927 /nfs/dbraw/zinc/31/59/27/1073315927.db2.gz WFOFMFMOOMXCMD-UXIGCNINSA-N 0 0 442.925 -0.068 20 0 IBADRN COC(=O)c1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)ncc1N ZINC001165838098 1073315807 /nfs/dbraw/zinc/31/58/07/1073315807.db2.gz YFWYKTPKJCCMGH-JGGQBBKZSA-N 0 0 438.506 -0.451 20 0 IBADRN COC(=O)c1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)ncc1N ZINC001165838102 1073315889 /nfs/dbraw/zinc/31/58/89/1073315889.db2.gz YFWYKTPKJCCMGH-XNRPHZJLSA-N 0 0 438.506 -0.451 20 0 IBADRN COc1cnc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc1OC ZINC001165839175 1073315853 /nfs/dbraw/zinc/31/58/53/1073315853.db2.gz AELMSXDAMIDKON-GZBFAFLISA-N 0 0 426.495 -0.408 20 0 IBADRN COc1cnc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc1OC ZINC001165839176 1073315883 /nfs/dbraw/zinc/31/58/83/1073315883.db2.gz AELMSXDAMIDKON-UMVBOHGHSA-N 0 0 426.495 -0.408 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(c3ccc(-n4ccnc4)nn3)C[C@@H]21)N1CCOCC1 ZINC001165839196 1073315864 /nfs/dbraw/zinc/31/58/64/1073315864.db2.gz AUUXQGNFZDHPBQ-ARFHVFGLSA-N 0 0 432.506 -0.239 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(c3ccc(-n4ccnc4)nn3)C[C@@H]21)N1CCOCC1 ZINC001165839197 1073315832 /nfs/dbraw/zinc/31/58/32/1073315832.db2.gz AUUXQGNFZDHPBQ-BZUAXINKSA-N 0 0 432.506 -0.239 20 0 IBADRN NC(=O)c1ccc(Cl)nc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165839232 1073315771 /nfs/dbraw/zinc/31/57/71/1073315771.db2.gz BUGXCDIYCDHOBN-IACUBPJLSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1ccc(Cl)nc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165839233 1073315783 /nfs/dbraw/zinc/31/57/83/1073315783.db2.gz BUGXCDIYCDHOBN-MRVWCRGKSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1c(Cl)ncnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165839257 1073316190 /nfs/dbraw/zinc/31/61/90/1073316190.db2.gz CIJGRHKVAJIFDZ-IJLUTSLNSA-N 0 0 443.913 -0.673 20 0 IBADRN NC(=O)c1c(Cl)ncnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165839258 1073316182 /nfs/dbraw/zinc/31/61/82/1073316182.db2.gz CIJGRHKVAJIFDZ-QJPTWQEYSA-N 0 0 443.913 -0.673 20 0 IBADRN CCOC(=O)c1nccnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165839376 1073316291 /nfs/dbraw/zinc/31/62/91/1073316291.db2.gz DGRQNUUEUJFLPP-RBSFLKMASA-N 0 0 438.506 -0.248 20 0 IBADRN CCOC(=O)c1nccnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165839378 1073316269 /nfs/dbraw/zinc/31/62/69/1073316269.db2.gz DGRQNUUEUJFLPP-RRFJBIMHSA-N 0 0 438.506 -0.248 20 0 IBADRN COC(=O)Cc1cccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165839403 1073316252 /nfs/dbraw/zinc/31/62/52/1073316252.db2.gz DRFNQRLBZPDPHV-BRWVUGGUSA-N 0 0 437.518 -0.105 20 0 IBADRN COC(=O)Cc1cccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165839410 1073316232 /nfs/dbraw/zinc/31/62/32/1073316232.db2.gz DRFNQRLBZPDPHV-GVDBMIGSSA-N 0 0 437.518 -0.105 20 0 IBADRN NC(=S)Nc1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc1 ZINC001165839521 1073316161 /nfs/dbraw/zinc/31/61/61/1073316161.db2.gz BEGLHMHPAPJIBE-RBSFLKMASA-N 0 0 439.563 -0.165 20 0 IBADRN NC(=S)Nc1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc1 ZINC001165839522 1073316280 /nfs/dbraw/zinc/31/62/80/1073316280.db2.gz BEGLHMHPAPJIBE-RRFJBIMHSA-N 0 0 439.563 -0.165 20 0 IBADRN CN(C)C(=O)c1cncc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165839549 1073316247 /nfs/dbraw/zinc/31/62/47/1073316247.db2.gz CIKAHQYWNUMJLW-IIAWOOMASA-N 0 0 437.522 -0.723 20 0 IBADRN CN(C)C(=O)c1cncc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165839550 1073316170 /nfs/dbraw/zinc/31/61/70/1073316170.db2.gz CIKAHQYWNUMJLW-SQWLQELKSA-N 0 0 437.522 -0.723 20 0 IBADRN CCOC(=O)c1cnc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001165839576 1073316195 /nfs/dbraw/zinc/31/61/95/1073316195.db2.gz FZUGCRHMGINHBH-IIAWOOMASA-N 0 0 438.506 -0.248 20 0 IBADRN CCOC(=O)c1cnc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001165839578 1073316239 /nfs/dbraw/zinc/31/62/39/1073316239.db2.gz FZUGCRHMGINHBH-SQWLQELKSA-N 0 0 438.506 -0.248 20 0 IBADRN CN(C)c1nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc2nc[nH]c21 ZINC001165839755 1073316313 /nfs/dbraw/zinc/31/63/13/1073316313.db2.gz HYZHCCFRHMCDLL-BFHYXJOUSA-N 0 0 449.537 -0.483 20 0 IBADRN CN(C)c1nc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc2nc[nH]c21 ZINC001165839756 1073316221 /nfs/dbraw/zinc/31/62/21/1073316221.db2.gz HYZHCCFRHMCDLL-MGPQQGTHSA-N 0 0 449.537 -0.483 20 0 IBADRN CS(=O)(=O)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc1 ZINC001165839862 1073316152 /nfs/dbraw/zinc/31/61/52/1073316152.db2.gz INFVNVQFNHDRFN-ARFHVFGLSA-N 0 0 443.547 -0.417 20 0 IBADRN CS(=O)(=O)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc1 ZINC001165839864 1073316308 /nfs/dbraw/zinc/31/63/08/1073316308.db2.gz INFVNVQFNHDRFN-BZUAXINKSA-N 0 0 443.547 -0.417 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(c3ccc4c(n3)NC(=O)CO4)C[C@@H]21)N1CCOCC1 ZINC001165839893 1073316527 /nfs/dbraw/zinc/31/65/27/1073316527.db2.gz JCFBGSOMXYOQMX-GZBFAFLISA-N 0 0 436.490 -0.489 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(c3ccc4c(n3)NC(=O)CO4)C[C@@H]21)N1CCOCC1 ZINC001165839894 1073316592 /nfs/dbraw/zinc/31/65/92/1073316592.db2.gz JCFBGSOMXYOQMX-UMVBOHGHSA-N 0 0 436.490 -0.489 20 0 IBADRN NC(=O)c1nc(Cl)cnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165839903 1073316618 /nfs/dbraw/zinc/31/66/18/1073316618.db2.gz JFEFORFKZDWDCN-IJLUTSLNSA-N 0 0 443.913 -0.673 20 0 IBADRN NC(=O)c1nc(Cl)cnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165839904 1073316579 /nfs/dbraw/zinc/31/65/79/1073316579.db2.gz JFEFORFKZDWDCN-QJPTWQEYSA-N 0 0 443.913 -0.673 20 0 IBADRN CN(C)C(=O)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc1 ZINC001165840169 1073316541 /nfs/dbraw/zinc/31/65/41/1073316541.db2.gz LNYBUAIOIQSRJU-BRWVUGGUSA-N 0 0 436.534 -0.118 20 0 IBADRN CN(C)C(=O)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc1 ZINC001165840181 1073316609 /nfs/dbraw/zinc/31/66/09/1073316609.db2.gz LNYBUAIOIQSRJU-GVDBMIGSSA-N 0 0 436.534 -0.118 20 0 IBADRN NC(=O)c1cc(F)cnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165840296 1073316553 /nfs/dbraw/zinc/31/65/53/1073316553.db2.gz MUWPBVXVZAOOLJ-BPLDGKMQSA-N 0 0 426.470 -0.582 20 0 IBADRN NC(=O)c1cc(F)cnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165840311 1073316604 /nfs/dbraw/zinc/31/66/04/1073316604.db2.gz MUWPBVXVZAOOLJ-NWANDNLSSA-N 0 0 426.470 -0.582 20 0 IBADRN Cc1cc2c(c(N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)n1)C(=O)NC2=O ZINC001165840357 1073316621 /nfs/dbraw/zinc/31/66/21/1073316621.db2.gz IEBYSEJEJVFDMV-BPLDGKMQSA-N 0 0 448.501 -0.628 20 0 IBADRN Cc1cc2c(c(N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)n1)C(=O)NC2=O ZINC001165840368 1073316629 /nfs/dbraw/zinc/31/66/29/1073316629.db2.gz IEBYSEJEJVFDMV-NWANDNLSSA-N 0 0 448.501 -0.628 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(c3cncc(-n4ccnc4)n3)C[C@@H]21)N1CCOCC1 ZINC001165840391 1073316584 /nfs/dbraw/zinc/31/65/84/1073316584.db2.gz NPJOHPGUBPNLRU-ARFHVFGLSA-N 0 0 432.506 -0.239 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(c3cncc(-n4ccnc4)n3)C[C@@H]21)N1CCOCC1 ZINC001165840393 1073316568 /nfs/dbraw/zinc/31/65/68/1073316568.db2.gz NPJOHPGUBPNLRU-BZUAXINKSA-N 0 0 432.506 -0.239 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(c3ccc4c(n3)OCC(=O)N4)C[C@@H]21)N1CCOCC1 ZINC001165840881 1073317104 /nfs/dbraw/zinc/31/71/04/1073317104.db2.gz QVVNDXXSMHRUAS-GZBFAFLISA-N 0 0 436.490 -0.489 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(c3ccc4c(n3)OCC(=O)N4)C[C@@H]21)N1CCOCC1 ZINC001165840883 1073316992 /nfs/dbraw/zinc/31/69/92/1073316992.db2.gz QVVNDXXSMHRUAS-UMVBOHGHSA-N 0 0 436.490 -0.489 20 0 IBADRN CCOC(=O)c1cncc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165841033 1073316960 /nfs/dbraw/zinc/31/69/60/1073316960.db2.gz SOTKHEYUZSRNKJ-IIAWOOMASA-N 0 0 438.506 -0.248 20 0 IBADRN CCOC(=O)c1cncc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165841035 1073317044 /nfs/dbraw/zinc/31/70/44/1073317044.db2.gz SOTKHEYUZSRNKJ-SQWLQELKSA-N 0 0 438.506 -0.248 20 0 IBADRN CCNC(=O)c1cccnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165841333 1073317016 /nfs/dbraw/zinc/31/70/16/1073317016.db2.gz SXDBQRLUFUOLCX-DJIMGWMZSA-N 0 0 436.534 -0.070 20 0 IBADRN CCNC(=O)c1cccnc1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165841334 1073317034 /nfs/dbraw/zinc/31/70/34/1073317034.db2.gz SXDBQRLUFUOLCX-USXIJHARSA-N 0 0 436.534 -0.070 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(c3nccc4c3NC(=O)CO4)C[C@@H]21)N1CCOCC1 ZINC001165841369 1073317113 /nfs/dbraw/zinc/31/71/13/1073317113.db2.gz UPUDZQLEPHVZNJ-GZBFAFLISA-N 0 0 436.490 -0.489 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(c3nccc4c3NC(=O)CO4)C[C@@H]21)N1CCOCC1 ZINC001165841370 1073317059 /nfs/dbraw/zinc/31/70/59/1073317059.db2.gz UPUDZQLEPHVZNJ-UMVBOHGHSA-N 0 0 436.490 -0.489 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(c3ccc(Cn4cncn4)cn3)C[C@@H]21)N1CCOCC1 ZINC001165841515 1073317001 /nfs/dbraw/zinc/31/70/01/1073317001.db2.gz LZFFLELGJFIAKJ-KZNAEPCWSA-N 0 0 446.533 -0.180 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(c3ccc(Cn4cncn4)cn3)C[C@@H]21)N1CCOCC1 ZINC001165841517 1073316955 /nfs/dbraw/zinc/31/69/55/1073316955.db2.gz LZFFLELGJFIAKJ-RCCFBDPRSA-N 0 0 446.533 -0.180 20 0 IBADRN NC(=O)c1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc(C2CC2)n1 ZINC001165841548 1073317027 /nfs/dbraw/zinc/31/70/27/1073317027.db2.gz MHFAUNXHOBXNIQ-IIAWOOMASA-N 0 0 449.533 -0.449 20 0 IBADRN NC(=O)c1cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc(C2CC2)n1 ZINC001165841549 1073317095 /nfs/dbraw/zinc/31/70/95/1073317095.db2.gz MHFAUNXHOBXNIQ-SQWLQELKSA-N 0 0 449.533 -0.449 20 0 IBADRN CCNC(=O)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc1 ZINC001165841583 1073317006 /nfs/dbraw/zinc/31/70/06/1073317006.db2.gz NHRQFOSEDIVDHH-BRWVUGGUSA-N 0 0 436.534 -0.070 20 0 IBADRN CCNC(=O)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc1 ZINC001165841584 1073317087 /nfs/dbraw/zinc/31/70/87/1073317087.db2.gz NHRQFOSEDIVDHH-GVDBMIGSSA-N 0 0 436.534 -0.070 20 0 IBADRN C[C@H]1C(=O)Nc2ncnc(N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)c21 ZINC001165841907 1073317334 /nfs/dbraw/zinc/31/73/34/1073317334.db2.gz WISXWDKVMJMQQR-AAVRWANBSA-N 0 0 435.506 -0.370 20 0 IBADRN C[C@@H]1C(=O)Nc2ncnc(N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)c21 ZINC001165841909 1073317341 /nfs/dbraw/zinc/31/73/41/1073317341.db2.gz WISXWDKVMJMQQR-IGQOVBAYSA-N 0 0 435.506 -0.370 20 0 IBADRN C[C@@H]1C(=O)Nc2ncnc(N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)c21 ZINC001165841911 1073317429 /nfs/dbraw/zinc/31/74/29/1073317429.db2.gz WISXWDKVMJMQQR-REWJHTLYSA-N 0 0 435.506 -0.370 20 0 IBADRN C[C@H]1C(=O)Nc2ncnc(N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)c21 ZINC001165841912 1073317386 /nfs/dbraw/zinc/31/73/86/1073317386.db2.gz WISXWDKVMJMQQR-XJFOESAGSA-N 0 0 435.506 -0.370 20 0 IBADRN CCOC(=O)c1nccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165842202 1073316974 /nfs/dbraw/zinc/31/69/74/1073316974.db2.gz OLDIMEWVECUHOU-RBSFLKMASA-N 0 0 438.506 -0.248 20 0 IBADRN CCOC(=O)c1nccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165842205 1073317080 /nfs/dbraw/zinc/31/70/80/1073317080.db2.gz OLDIMEWVECUHOU-RRFJBIMHSA-N 0 0 438.506 -0.248 20 0 IBADRN COCCOc1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nn1 ZINC001165842214 1073317329 /nfs/dbraw/zinc/31/73/29/1073317329.db2.gz ONSBEWGGZLGCFR-ARFHVFGLSA-N 0 0 440.522 -0.400 20 0 IBADRN COCCOc1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nn1 ZINC001165842215 1073317457 /nfs/dbraw/zinc/31/74/57/1073317457.db2.gz ONSBEWGGZLGCFR-BZUAXINKSA-N 0 0 440.522 -0.400 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(c3cncc(-n4cncn4)n3)C[C@@H]21)N1CCOCC1 ZINC001165842263 1073317399 /nfs/dbraw/zinc/31/73/99/1073317399.db2.gz OTYROUISURQUQF-RBSFLKMASA-N 0 0 433.494 -0.844 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(c3cncc(-n4cncn4)n3)C[C@@H]21)N1CCOCC1 ZINC001165842265 1073317361 /nfs/dbraw/zinc/31/73/61/1073317361.db2.gz OTYROUISURQUQF-RRFJBIMHSA-N 0 0 433.494 -0.844 20 0 IBADRN Cc1nc(-n2cncn2)cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165842375 1073317307 /nfs/dbraw/zinc/31/73/07/1073317307.db2.gz PNBJJEVYFYKXDW-ARFHVFGLSA-N 0 0 447.521 -0.536 20 0 IBADRN Cc1nc(-n2cncn2)cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165842397 1073317324 /nfs/dbraw/zinc/31/73/24/1073317324.db2.gz PNBJJEVYFYKXDW-BZUAXINKSA-N 0 0 447.521 -0.536 20 0 IBADRN O=C(NC1CC1)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nn1 ZINC001165842977 1073317377 /nfs/dbraw/zinc/31/73/77/1073317377.db2.gz RDBHDUYPTQTPCC-BFYDXBDKSA-N 0 0 449.533 -0.533 20 0 IBADRN O=C(NC1CC1)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nn1 ZINC001165842978 1073317368 /nfs/dbraw/zinc/31/73/68/1073317368.db2.gz RDBHDUYPTQTPCC-ZMSDIMECSA-N 0 0 449.533 -0.533 20 0 IBADRN CCNC(=O)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nn1 ZINC001165843557 1073317353 /nfs/dbraw/zinc/31/73/53/1073317353.db2.gz SWNHELOFRWEPTE-IIAWOOMASA-N 0 0 437.522 -0.675 20 0 IBADRN CCNC(=O)c1ccc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nn1 ZINC001165843563 1073317405 /nfs/dbraw/zinc/31/74/05/1073317405.db2.gz SWNHELOFRWEPTE-SQWLQELKSA-N 0 0 437.522 -0.675 20 0 IBADRN CCn1cnc2c1ncnc2N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165843587 1073317655 /nfs/dbraw/zinc/31/76/55/1073317655.db2.gz UCODVNMKMPWAKO-RBSFLKMASA-N 0 0 434.522 -0.056 20 0 IBADRN CCn1cnc2c1ncnc2N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165843588 1073317699 /nfs/dbraw/zinc/31/76/99/1073317699.db2.gz UCODVNMKMPWAKO-RRFJBIMHSA-N 0 0 434.522 -0.056 20 0 IBADRN COCc1nc(OC)cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001165843819 1073317778 /nfs/dbraw/zinc/31/77/78/1073317778.db2.gz VOJJYVYSHMDUPD-RBSFLKMASA-N 0 0 440.522 -0.270 20 0 IBADRN COCc1nc(OC)cc(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001165843821 1073317745 /nfs/dbraw/zinc/31/77/45/1073317745.db2.gz VOJJYVYSHMDUPD-RRFJBIMHSA-N 0 0 440.522 -0.270 20 0 IBADRN NC(=O)c1ccnc(Cl)c1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001165844654 1073317762 /nfs/dbraw/zinc/31/77/62/1073317762.db2.gz ZHLYMWSNURIVAQ-IACUBPJLSA-N 0 0 442.925 -0.068 20 0 IBADRN NC(=O)c1ccnc(Cl)c1N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001165844658 1073317833 /nfs/dbraw/zinc/31/78/33/1073317833.db2.gz ZHLYMWSNURIVAQ-MRVWCRGKSA-N 0 0 442.925 -0.068 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1Cc2ccnn2C[C@H](C(=O)N2CCCC2)C1 ZINC001165865464 1073317825 /nfs/dbraw/zinc/31/78/25/1073317825.db2.gz CJSOBUMRVRGSFW-CYBMUJFWSA-N 0 0 448.505 -0.029 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1Cc2ccnn2C[C@@H](C(=O)N2CCCC2)C1 ZINC001165865467 1073317724 /nfs/dbraw/zinc/31/77/24/1073317724.db2.gz CJSOBUMRVRGSFW-ZDUSSCGKSA-N 0 0 448.505 -0.029 20 0 IBADRN NS(=O)(=O)c1cccnc1N1CCOC[C@]2(CC[C@H](CNC(=O)c3cnccn3)O2)C1 ZINC001165866144 1073317802 /nfs/dbraw/zinc/31/78/02/1073317802.db2.gz WFGLYZXDIBCXOY-KUHUBIRLSA-N 0 0 448.505 -0.297 20 0 IBADRN NS(=O)(=O)c1cccnc1N1CCOC[C@]2(CC[C@@H](CNC(=O)c3cnccn3)O2)C1 ZINC001165866152 1073318125 /nfs/dbraw/zinc/31/81/25/1073318125.db2.gz WFGLYZXDIBCXOY-LIRRHRJNSA-N 0 0 448.505 -0.297 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOC[C@]3(CC[C@H](CNC(=O)c4cnccn4)O3)C2)nc1 ZINC001165868361 1073318226 /nfs/dbraw/zinc/31/82/26/1073318226.db2.gz YQKVYICLKFIZFZ-KUHUBIRLSA-N 0 0 448.505 -0.297 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOC[C@]3(CC[C@@H](CNC(=O)c4cnccn4)O3)C2)nc1 ZINC001165868363 1073318176 /nfs/dbraw/zinc/31/81/76/1073318176.db2.gz YQKVYICLKFIZFZ-LIRRHRJNSA-N 0 0 448.505 -0.297 20 0 IBADRN NS(=O)(=O)c1ccnc(N2CCOC[C@]3(CC[C@H](CNC(=O)c4cnccn4)O3)C2)c1 ZINC001165870432 1073318025 /nfs/dbraw/zinc/31/80/25/1073318025.db2.gz GBKBNTZIIRTJGN-KUHUBIRLSA-N 0 0 448.505 -0.297 20 0 IBADRN NS(=O)(=O)c1ccnc(N2CCOC[C@]3(CC[C@@H](CNC(=O)c4cnccn4)O3)C2)c1 ZINC001165870434 1073318134 /nfs/dbraw/zinc/31/81/34/1073318134.db2.gz GBKBNTZIIRTJGN-LIRRHRJNSA-N 0 0 448.505 -0.297 20 0 IBADRN NS(=O)(=O)c1cccc(N2CCOC[C@]3(CC[C@H](CNC(=O)c4cnccn4)O3)C2)n1 ZINC001165870766 1073318094 /nfs/dbraw/zinc/31/80/94/1073318094.db2.gz GWHGWERDTGUOOR-KUHUBIRLSA-N 0 0 448.505 -0.297 20 0 IBADRN NS(=O)(=O)c1cccc(N2CCOC[C@]3(CC[C@@H](CNC(=O)c4cnccn4)O3)C2)n1 ZINC001165870767 1073318220 /nfs/dbraw/zinc/31/82/20/1073318220.db2.gz GWHGWERDTGUOOR-LIRRHRJNSA-N 0 0 448.505 -0.297 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOC[C@]3(CC[C@H](CNC(=O)c4cnccn4)O3)C2)nn1 ZINC001165871128 1073318213 /nfs/dbraw/zinc/31/82/13/1073318213.db2.gz JIAAICXCAZMPGA-ACJLOTCBSA-N 0 0 449.493 -0.902 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOC[C@]3(CC[C@@H](CNC(=O)c4cnccn4)O3)C2)nn1 ZINC001165871136 1073318053 /nfs/dbraw/zinc/31/80/53/1073318053.db2.gz JIAAICXCAZMPGA-UGSOOPFHSA-N 0 0 449.493 -0.902 20 0 IBADRN CNC(=O)c1ccc(N2CCOC[C@]3(CC[C@H](CNC(=O)c4cnccn4)O3)C2)nn1 ZINC001165871474 1073318068 /nfs/dbraw/zinc/31/80/68/1073318068.db2.gz LQVUWNGWUZCMTK-VLIAUNLRSA-N 0 0 427.465 -0.189 20 0 IBADRN CNC(=O)c1ccc(N2CCOC[C@]3(CC[C@@H](CNC(=O)c4cnccn4)O3)C2)nn1 ZINC001165871475 1073318145 /nfs/dbraw/zinc/31/81/45/1073318145.db2.gz LQVUWNGWUZCMTK-XOBRGWDASA-N 0 0 427.465 -0.189 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CCCn2nnc(Cn3ccnc3)c2C1 ZINC001165881205 1073317996 /nfs/dbraw/zinc/31/79/96/1073317996.db2.gz HMVKFWQAXAOQKV-UHFFFAOYSA-N 0 0 432.466 -0.238 20 0 IBADRN CC(=O)N(C)Cc1nnc2n1CCCN(c1nc3c(c(N4CCOCC4)n1)CNC3)C2 ZINC001165889440 1073318106 /nfs/dbraw/zinc/31/81/06/1073318106.db2.gz CQSDRKOCUYXPRS-UHFFFAOYSA-N 0 0 427.513 -0.104 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CCCn2c(CN(C)C(C)=O)nnc2C1 ZINC001165891771 1073318189 /nfs/dbraw/zinc/31/81/89/1073318189.db2.gz GGUHMTWMRWOGIR-UHFFFAOYSA-N 0 0 437.482 -0.504 20 0 IBADRN CNC(=O)c1ccc(N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)nn1 ZINC001165932006 1073318165 /nfs/dbraw/zinc/31/81/65/1073318165.db2.gz MEMHSRVKDUFAQM-ALKREAHSSA-N 0 0 430.490 -0.207 20 0 IBADRN Cn1nnc2c1ncnc2N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001165932096 1073318373 /nfs/dbraw/zinc/31/83/73/1073318373.db2.gz PONYUJXYHLIIPH-GLJUWKHASA-N 0 0 428.478 -0.285 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)N[C@]3(CCOC3)C2=O)CC1)Nc1ccc(F)cc1 ZINC001165945007 1073318492 /nfs/dbraw/zinc/31/84/92/1073318492.db2.gz URXSWFHISVIXTJ-FQEVSTJZSA-N 0 0 433.440 -0.381 20 0 IBADRN O=C(CN1CCN(C(=O)CN2C(=O)N[C@@]3(CCOC3)C2=O)CC1)Nc1ccc(F)cc1 ZINC001165945008 1073318358 /nfs/dbraw/zinc/31/83/58/1073318358.db2.gz URXSWFHISVIXTJ-HXUWFJFHSA-N 0 0 433.440 -0.381 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CC[C@H]2O[C@@H](C(=O)N3CCCO3)CC[C@H]21 ZINC001165947730 1073318527 /nfs/dbraw/zinc/31/85/27/1073318527.db2.gz INMFOQWUOBVEDU-RBSFLKMASA-N 0 0 440.478 -0.194 20 0 IBADRN COc1ccc(N2C[C@H](NC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2=O)cc1 ZINC001165958968 1073318324 /nfs/dbraw/zinc/31/83/24/1073318324.db2.gz GTRAORVUOYBBBO-FMKPAKJESA-N 0 0 435.502 -0.048 20 0 IBADRN COc1ccc(N2C[C@H](NC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2=O)cc1 ZINC001165958969 1073318436 /nfs/dbraw/zinc/31/84/36/1073318436.db2.gz GTRAORVUOYBBBO-IIAWOOMASA-N 0 0 435.502 -0.048 20 0 IBADRN COc1ccc(N2C[C@H](NC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2=O)cc1 ZINC001165958970 1073318348 /nfs/dbraw/zinc/31/83/48/1073318348.db2.gz GTRAORVUOYBBBO-LZWOXQAQSA-N 0 0 435.502 -0.048 20 0 IBADRN COc1ccc(N2C[C@H](NC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2=O)cc1 ZINC001165958971 1073318515 /nfs/dbraw/zinc/31/85/15/1073318515.db2.gz GTRAORVUOYBBBO-SQWLQELKSA-N 0 0 435.502 -0.048 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(c1ncccc1S(N)(=O)=O)C2 ZINC001165972456 1073318480 /nfs/dbraw/zinc/31/84/80/1073318480.db2.gz XGIKUXZNHPZNKV-IBGZPJMESA-N 0 0 430.490 -0.032 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(c1ncccc1S(N)(=O)=O)C2 ZINC001165972465 1073318504 /nfs/dbraw/zinc/31/85/04/1073318504.db2.gz XGIKUXZNHPZNKV-LJQANCHMSA-N 0 0 430.490 -0.032 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(c1ccc(S(N)(=O)=O)cn1)C2 ZINC001165973320 1073318467 /nfs/dbraw/zinc/31/84/67/1073318467.db2.gz QREVZDFIQWDEPL-IBGZPJMESA-N 0 0 430.490 -0.032 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(c1ccc(S(N)(=O)=O)cn1)C2 ZINC001165973321 1073318444 /nfs/dbraw/zinc/31/84/44/1073318444.db2.gz QREVZDFIQWDEPL-LJQANCHMSA-N 0 0 430.490 -0.032 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(c1cccc(S(N)(=O)=O)n1)C2 ZINC001165974765 1073318416 /nfs/dbraw/zinc/31/84/16/1073318416.db2.gz FXSYNAGFPUWOLB-IBGZPJMESA-N 0 0 430.490 -0.032 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(c1cccc(S(N)(=O)=O)n1)C2 ZINC001165974766 1073318312 /nfs/dbraw/zinc/31/83/12/1073318312.db2.gz FXSYNAGFPUWOLB-LJQANCHMSA-N 0 0 430.490 -0.032 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(c1cc(S(N)(=O)=O)ccn1)C2 ZINC001165975003 1073318386 /nfs/dbraw/zinc/31/83/86/1073318386.db2.gz HPURJIWYOVQJQN-IBGZPJMESA-N 0 0 430.490 -0.032 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(c1cc(S(N)(=O)=O)ccn1)C2 ZINC001165975004 1073318457 /nfs/dbraw/zinc/31/84/57/1073318457.db2.gz HPURJIWYOVQJQN-LJQANCHMSA-N 0 0 430.490 -0.032 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(c1ccc(S(N)(=O)=O)nn1)C2 ZINC001165976613 1073318333 /nfs/dbraw/zinc/31/83/33/1073318333.db2.gz UKKMGTBRBOULHD-GOSISDBHSA-N 0 0 431.478 -0.637 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(c1ccc(S(N)(=O)=O)nn1)C2 ZINC001165976614 1073318563 /nfs/dbraw/zinc/31/85/63/1073318563.db2.gz UKKMGTBRBOULHD-SFHVURJKSA-N 0 0 431.478 -0.637 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@@]1(OCCO)CCOC1 ZINC001165980695 1073318837 /nfs/dbraw/zinc/31/88/37/1073318837.db2.gz NNTHSUKRPOHSRD-FQEVSTJZSA-N 0 0 442.534 -0.076 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@]1(OCCO)CCOC1 ZINC001165980696 1073318898 /nfs/dbraw/zinc/31/88/98/1073318898.db2.gz NNTHSUKRPOHSRD-HXUWFJFHSA-N 0 0 442.534 -0.076 20 0 IBADRN O=C(NC[C@@]1(OCCO)CCOC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001165981582 1073318910 /nfs/dbraw/zinc/31/89/10/1073318910.db2.gz WTEGYWMHMAVTIY-HFTRVMKXSA-N 0 0 448.925 -0.252 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001165981595 1073318879 /nfs/dbraw/zinc/31/88/79/1073318879.db2.gz WTEGYWMHMAVTIY-QILLFSRXSA-N 0 0 448.925 -0.252 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001165981597 1073318862 /nfs/dbraw/zinc/31/88/62/1073318862.db2.gz WTEGYWMHMAVTIY-UWWQBHOKSA-N 0 0 448.925 -0.252 20 0 IBADRN O=C(NC[C@@]1(OCCO)CCOC1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001165981599 1073318904 /nfs/dbraw/zinc/31/89/04/1073318904.db2.gz WTEGYWMHMAVTIY-ZVZYQTTQSA-N 0 0 448.925 -0.252 20 0 IBADRN CNC(=O)[C@]12CN(c3ncc(S(N)(=O)=O)cc3F)C[C@H]1N(c1ncccn1)CCC2 ZINC001165982325 1073318755 /nfs/dbraw/zinc/31/87/55/1073318755.db2.gz KOQDKSLDPSPETF-RDTXWAMCSA-N 0 0 435.485 -0.121 20 0 IBADRN CNC(=O)[C@]12CN(c3cc(OC)nc(S(C)(=O)=O)n3)C[C@H]1N(c1ncccn1)CCC2 ZINC001165982814 1073318787 /nfs/dbraw/zinc/31/87/87/1073318787.db2.gz TVEBJWCMMGSNCN-BFUOFWGJSA-N 0 0 447.521 -0.100 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@@]3(OCCO)CCOC3)CC2)cc1 ZINC001165986125 1073318871 /nfs/dbraw/zinc/31/88/71/1073318871.db2.gz AVRTXYCUXMUPKO-FQEVSTJZSA-N 0 0 441.550 -0.414 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@]3(OCCO)CCOC3)CC2)cc1 ZINC001165986127 1073318849 /nfs/dbraw/zinc/31/88/49/1073318849.db2.gz AVRTXYCUXMUPKO-HXUWFJFHSA-N 0 0 441.550 -0.414 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC[C@@]1(OCCO)CCOC1)c1ccc(S(C)(=O)=O)cc1 ZINC001165987151 1073318932 /nfs/dbraw/zinc/31/89/32/1073318932.db2.gz JFCPQSUMANIVLX-MOPGFXCFSA-N 0 0 428.507 -0.274 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC[C@@]1(OCCO)CCOC1)c1ccc(S(C)(=O)=O)cc1 ZINC001165987152 1073318818 /nfs/dbraw/zinc/31/88/18/1073318818.db2.gz JFCPQSUMANIVLX-OALUTQOASA-N 0 0 428.507 -0.274 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC[C@]1(OCCO)CCOC1)c1ccc(S(C)(=O)=O)cc1 ZINC001165987153 1073318805 /nfs/dbraw/zinc/31/88/05/1073318805.db2.gz JFCPQSUMANIVLX-RBUKOAKNSA-N 0 0 428.507 -0.274 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC[C@]1(OCCO)CCOC1)c1ccc(S(C)(=O)=O)cc1 ZINC001165987154 1073318892 /nfs/dbraw/zinc/31/88/92/1073318892.db2.gz JFCPQSUMANIVLX-RTBURBONSA-N 0 0 428.507 -0.274 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NC[C@]2(OCCO)CCOC2)C1 ZINC001165988105 1073318918 /nfs/dbraw/zinc/31/89/18/1073318918.db2.gz RVEZTYDAFHGSPU-KBXCAEBGSA-N 0 0 436.527 -0.305 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NC[C@@]2(OCCO)CCOC2)C1 ZINC001165988106 1073318922 /nfs/dbraw/zinc/31/89/22/1073318922.db2.gz RVEZTYDAFHGSPU-KDOFPFPSSA-N 0 0 436.527 -0.305 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NC[C@@]2(OCCO)CCOC2)C1 ZINC001165988107 1073318886 /nfs/dbraw/zinc/31/88/86/1073318886.db2.gz RVEZTYDAFHGSPU-KSSFIOAISA-N 0 0 436.527 -0.305 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NC[C@]2(OCCO)CCOC2)C1 ZINC001165988108 1073318771 /nfs/dbraw/zinc/31/87/71/1073318771.db2.gz RVEZTYDAFHGSPU-RDTXWAMCSA-N 0 0 436.527 -0.305 20 0 IBADRN COc1cc(N2C[C@@H]3COC[C@]3(COCC(=O)N3CCCC3)C2)nc(S(C)(=O)=O)n1 ZINC001166042680 1073319107 /nfs/dbraw/zinc/31/91/07/1073319107.db2.gz OZLMSPNHWFNVCZ-KUHUBIRLSA-N 0 0 440.522 -0.020 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CC[C@@]2(CC(=O)N(c3cnn(C)c3)C2)C1 ZINC001166064986 1073319135 /nfs/dbraw/zinc/31/91/35/1073319135.db2.gz SGLCQMZCERQMAF-GOSISDBHSA-N 0 0 434.478 -0.118 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CC[C@]2(CC(=O)N(c3cnn(C)c3)C2)C1 ZINC001166064987 1073319155 /nfs/dbraw/zinc/31/91/55/1073319155.db2.gz SGLCQMZCERQMAF-SFHVURJKSA-N 0 0 434.478 -0.118 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCCn3c(=O)cc(CNC(=O)c4ccco4)nc3C2)nn1 ZINC001166088155 1073319060 /nfs/dbraw/zinc/31/90/60/1073319060.db2.gz ZBKUDXOOKXDQPR-UHFFFAOYSA-N 0 0 445.461 -0.386 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1C[C@@H]2COC[C@]2(CC(=O)NCC2CC2)C1 ZINC001166099521 1073319118 /nfs/dbraw/zinc/31/91/18/1073319118.db2.gz OWUGTGVPSCVCCW-YJYMSZOUSA-N 0 0 438.506 -0.115 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(=O)C(OC)OC)CC2)cc1 ZINC001166114037 1073319277 /nfs/dbraw/zinc/31/92/77/1073319277.db2.gz AORCQYHCWATKEZ-ZDUSSCGKSA-N 0 0 429.495 -0.348 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)Cc2csc([C@@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001166114752 1073319253 /nfs/dbraw/zinc/31/92/53/1073319253.db2.gz GJOBYRAULALZGG-GFCCVEGCSA-N 0 0 431.536 -0.123 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)Cc2csc([C@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001166114757 1073319263 /nfs/dbraw/zinc/31/92/63/1073319263.db2.gz GJOBYRAULALZGG-LBPRGKRZSA-N 0 0 431.536 -0.123 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN(C(=O)C(OC)OC)CC2)c1 ZINC001166114772 1073319163 /nfs/dbraw/zinc/31/91/63/1073319163.db2.gz GORFYXJHLDRGIA-UHFFFAOYSA-N 0 0 443.522 -0.177 20 0 IBADRN COCCN1C[C@@H]2CN(c3cc(N4CCOCC4)nc(N4CCOCC4)n3)C[C@@H]2C1=O ZINC001166136486 1073319051 /nfs/dbraw/zinc/31/90/51/1073319051.db2.gz QNGYXLFASADFBR-SJORKVTESA-N 0 0 432.525 -0.309 20 0 IBADRN COCCN1C[C@@H]2CN(c3nc(N4CCOCC4)nc(N4CCOCC4)n3)C[C@@H]2C1=O ZINC001166136778 1073319545 /nfs/dbraw/zinc/31/95/45/1073319545.db2.gz ZWTUZZIPQPWYDM-CVEARBPZSA-N 0 0 433.513 -0.914 20 0 IBADRN NS(=O)(=O)c1ccc(N2Cc3nccn3C[C@H](COCC(=O)N3CCCC3)C2)nn1 ZINC001166148988 1073319630 /nfs/dbraw/zinc/31/96/30/1073319630.db2.gz QPXYGHDIQGUUNB-AWEZNQCLSA-N 0 0 435.510 -0.404 20 0 IBADRN NS(=O)(=O)c1ccc(N2Cc3nccn3C[C@@H](COCC(=O)N3CCCC3)C2)nn1 ZINC001166148989 1073319532 /nfs/dbraw/zinc/31/95/32/1073319532.db2.gz QPXYGHDIQGUUNB-CQSZACIVSA-N 0 0 435.510 -0.404 20 0 IBADRN COCCN1CCO[C@H]2CN(c3nc4c(ncn4C)c(N4CCOCC4)n3)CC[C@H]2C1=O ZINC001166177614 1073319476 /nfs/dbraw/zinc/31/94/76/1073319476.db2.gz OGTMUQXBPJDKES-CVEARBPZSA-N 0 0 445.524 -0.100 20 0 IBADRN COCCN1CCO[C@H]2CN(c3nc(N4CCOCC4)nc4c3CCN4)CC[C@H]2C1=O ZINC001166178188 1073319585 /nfs/dbraw/zinc/31/95/85/1073319585.db2.gz ZICOOODYJDDCLD-WBVHZDCISA-N 0 0 432.525 -0.019 20 0 IBADRN COCCN1CCO[C@H]2CN(c3nc4c(c(N5CCOCC5)n3)CNC4)CC[C@H]2C1=O ZINC001166181288 1073319414 /nfs/dbraw/zinc/31/94/14/1073319414.db2.gz NKHGLHCPXVOPCH-QAPCUYQASA-N 0 0 432.525 -0.384 20 0 IBADRN COCCN1CCO[C@H]2CN(c3ncc(S(N)(=O)=O)cc3C(=O)OC)CC[C@H]2C1=O ZINC001166181856 1073319658 /nfs/dbraw/zinc/31/96/58/1073319658.db2.gz VOUVIEJFLSVKJA-HIFRSBDPSA-N 0 0 442.494 -0.784 20 0 IBADRN O=C(CN1C(=O)c2nccnc2C1=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001166183152 1073319640 /nfs/dbraw/zinc/31/96/40/1073319640.db2.gz WQMGMHGQCSIMSK-UHFFFAOYSA-N 0 0 445.457 -0.590 20 0 IBADRN CS(=O)(=O)N1C[C@H]2CCCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)[C@H]2C1 ZINC001166221515 1073319617 /nfs/dbraw/zinc/31/96/17/1073319617.db2.gz IZEBMRSEXXKHHK-ZBFHGGJFSA-N 0 0 430.552 -0.037 20 0 IBADRN CS(=O)(=O)N1C[C@H]2CCCN(c3ncccc3S(=O)(=O)N3CCOCC3)[C@H]2C1 ZINC001166221527 1073319401 /nfs/dbraw/zinc/31/94/01/1073319401.db2.gz JQENXAWNCIIIGX-CABCVRRESA-N 0 0 430.552 -0.037 20 0 IBADRN CS(=O)(=O)N1C[C@H]2CCCN(c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)[C@H]2C1 ZINC001166221950 1073319509 /nfs/dbraw/zinc/31/95/09/1073319509.db2.gz YECXBUHPISWGFU-CVEARBPZSA-N 0 0 442.563 -0.188 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(c3nc(N4CCOCC4)nc4c3CCN4)C[C@H]2C1 ZINC001166222778 1073319433 /nfs/dbraw/zinc/31/94/33/1073319433.db2.gz BYMQPMMHLJRGRV-CABCVRRESA-N 0 0 437.570 -0.154 20 0 IBADRN COc1nc(N2CCn3ccnc3C2)nc(N2CCC[C@@H]3CN(S(C)(=O)=O)C[C@@H]32)n1 ZINC001166223464 1073319595 /nfs/dbraw/zinc/31/95/95/1073319595.db2.gz KTGUVFCJRPNBLZ-KGLIPLIRSA-N 0 0 434.526 -0.043 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(c3nc4c(c(N5CCOCC5)n3)CNC4)C[C@H]2C1 ZINC001166224565 1073319449 /nfs/dbraw/zinc/31/94/49/1073319449.db2.gz CGRHDZRNONYFCH-CABCVRRESA-N 0 0 437.570 -0.519 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(c3[nH+]cnc4[n-]cc(S(C)(=O)=O)c43)C[C@H]2C1 ZINC001166224692 1073319489 /nfs/dbraw/zinc/31/94/89/1073319489.db2.gz WBAFJILWTJPLHM-NEPJUHHUSA-N 0 0 428.540 -0.074 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(c3ncc4c(n3)N3CCC[C@@H]3C(=O)N4C)C[C@H]2C1 ZINC001166224942 1073319514 /nfs/dbraw/zinc/31/95/14/1073319514.db2.gz ZCXMFGRYMGOLSJ-QLFBSQMISA-N 0 0 435.554 -0.014 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(c3nc(Cl)nc(N4CCOCC4)n3)C[C@H]2C1 ZINC001166224948 1073319624 /nfs/dbraw/zinc/31/96/24/1073319624.db2.gz ZLEFUFYTKUGRQD-OLZOCXBDSA-N 0 0 431.950 -0.074 20 0 IBADRN CCOC(=O)c1cnc([S@@](C)=O)nc1N1C[C@H]2CCN(S(=O)(=O)N(C)C)C[C@@H]2C1 ZINC001166225152 1073320020 /nfs/dbraw/zinc/32/00/20/1073320020.db2.gz INBBIEAIPONSOC-UFEGIJMPSA-N 0 0 445.567 -0.045 20 0 IBADRN CCOC(=O)c1cnc([S@](C)=O)nc1N1C[C@H]2CCN(S(=O)(=O)N(C)C)C[C@@H]2C1 ZINC001166225154 1073319948 /nfs/dbraw/zinc/31/99/48/1073319948.db2.gz INBBIEAIPONSOC-ZBYRNGAHSA-N 0 0 445.567 -0.045 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(c3ccc(C(=O)NC4CCOCC4)nn3)C[C@H]2C1 ZINC001166226999 1073320028 /nfs/dbraw/zinc/32/00/28/1073320028.db2.gz KPJHICHGBXTORN-CABCVRRESA-N 0 0 438.554 -0.050 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1C[C@H]2CCN(S(=O)(=O)N(C)C)C[C@@H]2C1 ZINC001166227218 1073319827 /nfs/dbraw/zinc/31/98/27/1073319827.db2.gz VNTDMHDKMQWIBN-NEPJUHHUSA-N 0 0 447.539 -0.920 20 0 IBADRN NS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)COc2ccc(Br)cc2)C1=O ZINC001166227685 1073319808 /nfs/dbraw/zinc/31/98/08/1073319808.db2.gz RAOCHTRCKRVCIM-GFCCVEGCSA-N 0 0 434.268 -0.004 20 0 IBADRN NS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)COc2ccc(Br)cc2)C1=O ZINC001166227686 1073319872 /nfs/dbraw/zinc/31/98/72/1073319872.db2.gz RAOCHTRCKRVCIM-LBPRGKRZSA-N 0 0 434.268 -0.004 20 0 IBADRN COC[C@]12COC[C@H]1CN(c1ncc(C(=O)OC)cc1S(=O)(=O)N1CCOCC1)C2 ZINC001166229842 1073319933 /nfs/dbraw/zinc/31/99/33/1073319933.db2.gz LPXYCQSUQNVMNL-DNVCBOLYSA-N 0 0 441.506 -0.012 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CC[C@]2(C1)C[C@H](NC(=O)N(C)C)CCO2 ZINC001166246834 1073319818 /nfs/dbraw/zinc/31/98/18/1073319818.db2.gz XSIVYMTXYWZUAZ-KZULUSFZSA-N 0 0 441.510 -0.085 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)nc1 ZINC001166266239 1073319880 /nfs/dbraw/zinc/31/98/80/1073319880.db2.gz FSJNJCLCWUNXEW-BHYGNILZSA-N 0 0 434.522 -0.006 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(c4ncc(S(N)(=O)=O)cc4F)CC[C@@H]32)cn1 ZINC001166267686 1073319837 /nfs/dbraw/zinc/31/98/37/1073319837.db2.gz UDPURQDGVVXFKH-DUVNUKRYSA-N 0 0 438.485 -0.127 20 0 IBADRN CNS(=O)(=O)c1cccnc1N1CC[C@H]2[C@H](CO[C@@H]2CNC(=O)c2cnn(C)c2)C1 ZINC001166268409 1073319902 /nfs/dbraw/zinc/31/99/02/1073319902.db2.gz XCTQEHRJYXKEAQ-HRCADAONSA-N 0 0 434.522 -0.006 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(c4ncc5c(n4)N(C)CC(=O)N5)CC[C@@H]32)cn1 ZINC001166268478 1073319889 /nfs/dbraw/zinc/31/98/89/1073319889.db2.gz YGKKTTPOPRSVSE-OFQRWUPVSA-N 0 0 426.481 -0.130 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1C[C@@H](NC(=O)c2cccn2C)[C@H]2COC[C@H]21 ZINC001166273197 1073320038 /nfs/dbraw/zinc/32/00/38/1073320038.db2.gz KJCAGDGKWWADDV-IIAWOOMASA-N 0 0 449.489 -0.512 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(c2cc(N3CCC[C@@H]3C(N)=O)ncn2)[C@@H]2COC[C@H]12 ZINC001166273851 1073319982 /nfs/dbraw/zinc/31/99/82/1073319982.db2.gz WMIVUJTYZVOMPJ-KCYZZUKISA-N 0 0 425.493 -0.097 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(c2cc(N3CCC[C@H]3C(N)=O)ncn2)[C@@H]2COC[C@H]12 ZINC001166273871 1073319846 /nfs/dbraw/zinc/31/98/46/1073319846.db2.gz WMIVUJTYZVOMPJ-PNBKFKSVSA-N 0 0 425.493 -0.097 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCS(=O)(=O)C(F)(F)F)c2=O ZINC001166281917 1073320388 /nfs/dbraw/zinc/32/03/88/1073320388.db2.gz QIBLTEDVXRVTDQ-UHFFFAOYSA-N 0 0 446.205 -0.057 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(c1cc(N3CCOCC3)nc(N3CCOCC3)n1)C2 ZINC001166315314 1073320289 /nfs/dbraw/zinc/32/02/89/1073320289.db2.gz XZJHZDJWGOMRMU-NRFANRHFSA-N 0 0 445.524 -0.923 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(c1cc(N3CCOCC3)nc(N3CCOCC3)n1)C2 ZINC001166315315 1073320195 /nfs/dbraw/zinc/32/01/95/1073320195.db2.gz XZJHZDJWGOMRMU-OAQYLSRUSA-N 0 0 445.524 -0.923 20 0 IBADRN CCOC(=O)Cn1cnc2c1nc(Cl)nc2N1CC[C@]2(C1)CNC(=O)CN2C(C)=O ZINC001166317543 1073320487 /nfs/dbraw/zinc/32/04/87/1073320487.db2.gz BDKZPVYMUYDNDE-GOSISDBHSA-N 0 0 435.872 -0.030 20 0 IBADRN CCOC(=O)Cn1cnc2c1nc(Cl)nc2N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O ZINC001166317549 1073320502 /nfs/dbraw/zinc/32/05/02/1073320502.db2.gz BDKZPVYMUYDNDE-SFHVURJKSA-N 0 0 435.872 -0.030 20 0 IBADRN COc1nc(N2CC[C@@]3(C2)CNC(=O)CN3C(C)=O)nc(N2CCn3ccnc3C2)n1 ZINC001166318032 1073320261 /nfs/dbraw/zinc/32/02/61/1073320261.db2.gz KAULXQFVUUUBBN-IBGZPJMESA-N 0 0 427.469 -0.976 20 0 IBADRN COc1nc(N2CC[C@]3(C2)CNC(=O)CN3C(C)=O)nc(N2CCn3ccnc3C2)n1 ZINC001166318034 1073320298 /nfs/dbraw/zinc/32/02/98/1073320298.db2.gz KAULXQFVUUUBBN-LJQANCHMSA-N 0 0 427.469 -0.976 20 0 IBADRN CC(=O)N1CCC[C@]2(C1)CN(c1nc(N3CCOCC3)nc(N3CCOCC3)n1)CCO2 ZINC001166324861 1073320214 /nfs/dbraw/zinc/32/02/14/1073320214.db2.gz OIDCJPGMBIHYJJ-NRFANRHFSA-N 0 0 447.540 -0.238 20 0 IBADRN CC(=O)N1CCC[C@@]2(C1)CN(c1nc(N3CCOCC3)nc(N3CCOCC3)n1)CCO2 ZINC001166324862 1073320341 /nfs/dbraw/zinc/32/03/41/1073320341.db2.gz OIDCJPGMBIHYJJ-OAQYLSRUSA-N 0 0 447.540 -0.238 20 0 IBADRN CN1CCN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCC1=O ZINC001166328909 1073320249 /nfs/dbraw/zinc/32/02/49/1073320249.db2.gz UGRHHHRYKHCYCA-UHFFFAOYSA-N 0 0 436.534 -0.010 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ccnc(N4CCOCC4)n3)CC[C@H]2S1(=O)=O ZINC001166331677 1073320276 /nfs/dbraw/zinc/32/02/76/1073320276.db2.gz FHWQCHCPRORBKR-HZPDHXFCSA-N 0 0 438.554 -0.368 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3nc(Cl)cc(C(N)=O)n3)CC[C@H]2S1(=O)=O ZINC001166331682 1073320309 /nfs/dbraw/zinc/32/03/09/1073320309.db2.gz FOVJRXVJHJZSHQ-ZYHUDNBSSA-N 0 0 430.918 -0.453 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ccc(S(N)(=O)=O)cn3)CC[C@H]2S1(=O)=O ZINC001166331721 1073320414 /nfs/dbraw/zinc/32/04/14/1073320414.db2.gz HIQKDLBAZMWXDC-TZMCWYRMSA-N 0 0 431.540 -0.952 20 0 IBADRN CCOC(=O)c1nccnc1N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001166331733 1073320374 /nfs/dbraw/zinc/32/03/74/1073320374.db2.gz HYFGZCAOZDXDNH-ZIAGYGMSSA-N 0 0 425.511 -0.028 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ncnc(Cl)c3C(N)=O)CC[C@H]2S1(=O)=O ZINC001166331770 1073320428 /nfs/dbraw/zinc/32/04/28/1073320428.db2.gz JTTMQJHMWFHTJY-GHMZBOCLSA-N 0 0 430.918 -0.453 20 0 IBADRN CCOC(=O)Cc1cnc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)nc1 ZINC001166331796 1073320438 /nfs/dbraw/zinc/32/04/38/1073320438.db2.gz KUYCDAHHQGCPDJ-HZPDHXFCSA-N 0 0 439.538 -0.099 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3nc(Cl)ncc3C(N)=O)CC[C@H]2S1(=O)=O ZINC001166331834 1073320320 /nfs/dbraw/zinc/32/03/20/1073320320.db2.gz CLGQZJCUIYWWBK-ZYHUDNBSSA-N 0 0 430.918 -0.453 20 0 IBADRN CCOC(=O)c1cc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)ncn1 ZINC001166331842 1073320329 /nfs/dbraw/zinc/32/03/29/1073320329.db2.gz CVYVIFWBGBQOTN-UKRRQHHQSA-N 0 0 425.511 -0.028 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3cc(N4CCOCC4)ncn3)CC[C@H]2S1(=O)=O ZINC001166331863 1073320451 /nfs/dbraw/zinc/32/04/51/1073320451.db2.gz DMNLKVUIMFBFFA-HZPDHXFCSA-N 0 0 438.554 -0.368 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ncccc3S(C)(=O)=O)CC[C@H]2S1(=O)=O ZINC001166331866 1073320799 /nfs/dbraw/zinc/32/07/99/1073320799.db2.gz DPQQQCSTKWCUIO-ZIAGYGMSSA-N 0 0 430.552 -0.196 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3nc4nc[nH]c4c(N(C)C)n3)CC[C@H]2S1(=O)=O ZINC001166331902 1073320737 /nfs/dbraw/zinc/32/07/37/1073320737.db2.gz KZOTXZDZYNZWEB-CHWSQXEVSA-N 0 0 436.542 -0.263 20 0 IBADRN CCOC(=O)c1cncc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)n1 ZINC001166332003 1073320679 /nfs/dbraw/zinc/32/06/79/1073320679.db2.gz OJQQSTMIVYIPIG-UKRRQHHQSA-N 0 0 425.511 -0.028 20 0 IBADRN CCOC(=O)c1cncnc1N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001166332061 1073320727 /nfs/dbraw/zinc/32/07/27/1073320727.db2.gz JQHKUTQDKYTQFY-UKRRQHHQSA-N 0 0 425.511 -0.028 20 0 IBADRN CCOC(=O)c1cnc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)cn1 ZINC001166332141 1073320691 /nfs/dbraw/zinc/32/06/91/1073320691.db2.gz ROPWGUIIIMBNCZ-UKRRQHHQSA-N 0 0 425.511 -0.028 20 0 IBADRN CCOC(=O)Cc1ccc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)nn1 ZINC001166332160 1073320836 /nfs/dbraw/zinc/32/08/36/1073320836.db2.gz SQTRMLPJVGNGAB-GDBMZVCRSA-N 0 0 439.538 -0.099 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ncc(Cl)nc3C(N)=O)CC[C@H]2S1(=O)=O ZINC001166332189 1073320638 /nfs/dbraw/zinc/32/06/38/1073320638.db2.gz VFYFIYJNGGUUFA-GHMZBOCLSA-N 0 0 430.918 -0.453 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3nc(Cl)cnc3C(N)=O)CC[C@H]2S1(=O)=O ZINC001166332198 1073320775 /nfs/dbraw/zinc/32/07/75/1073320775.db2.gz VZGLNMPBYVLUSW-GHMZBOCLSA-N 0 0 430.918 -0.453 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ncccc3S(N)(=O)=O)CC[C@H]2S1(=O)=O ZINC001166332235 1073320860 /nfs/dbraw/zinc/32/08/60/1073320860.db2.gz MWZFZWRVAREDBC-CHWSQXEVSA-N 0 0 431.540 -0.952 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ncnc4c3NC(=O)CS4)CC[C@H]2S1(=O)=O ZINC001166332370 1073320809 /nfs/dbraw/zinc/32/08/09/1073320809.db2.gz AMSWECZVXQQHKU-VXGBXAGGSA-N 0 0 440.551 -0.161 20 0 IBADRN CNC(=O)c1cc(Cl)nc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)n1 ZINC001166332425 1073320788 /nfs/dbraw/zinc/32/07/88/1073320788.db2.gz DJSZSWUSLDZETC-DGCLKSJQSA-N 0 0 444.945 -0.192 20 0 IBADRN Cc1cc2c(c(N3CC[C@@H]4CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]4CC3)n1)C(=O)NC2=O ZINC001166332448 1073320748 /nfs/dbraw/zinc/32/07/48/1073320748.db2.gz FWZKCTIIHBXPOV-TZMCWYRMSA-N 0 0 435.506 -0.408 20 0 IBADRN COC(=O)c1cc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)ncc1N ZINC001166332526 1073320768 /nfs/dbraw/zinc/32/07/68/1073320768.db2.gz UMEPUGJSCUHVFI-IUODEOHRSA-N 0 0 425.511 -0.231 20 0 IBADRN CCOC(=O)c1ccc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)nn1 ZINC001166332547 1073320700 /nfs/dbraw/zinc/32/07/00/1073320700.db2.gz VNMLVXDUQSDUMQ-UKRRQHHQSA-N 0 0 425.511 -0.028 20 0 IBADRN Cc1nc(-n2cncn2)cc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)n1 ZINC001166332615 1073320661 /nfs/dbraw/zinc/32/06/61/1073320661.db2.gz GZDLBFKPMXQNFL-HUUCEWRRSA-N 0 0 434.526 -0.316 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ccc(C(=O)NC4CC4)nn3)CC[C@H]2S1(=O)=O ZINC001166332656 1073320848 /nfs/dbraw/zinc/32/08/48/1073320848.db2.gz IOXZPOMBNOOBHU-CZUORRHYSA-N 0 0 436.538 -0.313 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ccnc(-n4ccccc4=O)n3)CC[C@H]2S1(=O)=O ZINC001166332770 1073321007 /nfs/dbraw/zinc/32/10/07/1073321007.db2.gz OHQWOXSHZLBSNU-HZPDHXFCSA-N 0 0 446.533 -0.054 20 0 IBADRN COCc1nc(OC)cc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)n1 ZINC001166332777 1073321016 /nfs/dbraw/zinc/32/10/16/1073321016.db2.gz OMJXRXGOTGXQPT-ZIAGYGMSSA-N 0 0 427.527 -0.050 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3ncc(S(N)(=O)=O)cc3F)CC[C@H]2S1(=O)=O ZINC001166332822 1073321011 /nfs/dbraw/zinc/32/10/11/1073321011.db2.gz QUCSMDQMJXFHRJ-BXUZGUMPSA-N 0 0 449.530 -0.813 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)nc1 ZINC001166332823 1073321125 /nfs/dbraw/zinc/32/11/25/1073321125.db2.gz QWERIBMVEHPGIX-UKRRQHHQSA-N 0 0 445.567 -0.692 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3cc(S(N)(=O)=O)ccn3)CC[C@H]2S1(=O)=O ZINC001166332885 1073321115 /nfs/dbraw/zinc/32/11/15/1073321115.db2.gz UQMJZOXVKBKHMD-TZMCWYRMSA-N 0 0 431.540 -0.952 20 0 IBADRN CCOC(=O)c1nccc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)n1 ZINC001166332894 1073321003 /nfs/dbraw/zinc/32/10/03/1073321003.db2.gz VFKWGVHLXNQIDW-ZIAGYGMSSA-N 0 0 425.511 -0.028 20 0 IBADRN COC(=O)c1ncnc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)c1OC ZINC001166332897 1073321073 /nfs/dbraw/zinc/32/10/73/1073321073.db2.gz VHQWABMXYYEBKI-CHWSQXEVSA-N 0 0 441.510 -0.410 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3cccc(S(N)(=O)=O)n3)CC[C@H]2S1(=O)=O ZINC001166332948 1073321136 /nfs/dbraw/zinc/32/11/36/1073321136.db2.gz XTGDLUZPRVQTBK-CHWSQXEVSA-N 0 0 431.540 -0.952 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(c3cc(C(N)=O)nc(C4CC4)n3)CC[C@H]2S1(=O)=O ZINC001166332954 1073321051 /nfs/dbraw/zinc/32/10/51/1073321051.db2.gz YAHRKEKFYCTIIC-UKRRQHHQSA-N 0 0 436.538 -0.229 20 0 IBADRN COCCOc1ccc(N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)nn1 ZINC001166332955 1073321024 /nfs/dbraw/zinc/32/10/24/1073321024.db2.gz YAOFIPALODWTIS-HUUCEWRRSA-N 0 0 427.527 -0.180 20 0 IBADRN COC(=O)c1cc(S(N)(=O)=O)cnc1N1CCCn2c(Cn3cccn3)nnc2C1 ZINC001166338325 1073321045 /nfs/dbraw/zinc/32/10/45/1073321045.db2.gz RBWGZGLTJNXILU-UHFFFAOYSA-N 0 0 432.466 -0.238 20 0 IBADRN COc1cc(N2C[C@H](NC(=O)c3nccn3C)[C@H]3OCCC[C@H]32)nc(S(C)(=O)=O)n1 ZINC001166346751 1073321094 /nfs/dbraw/zinc/32/10/94/1073321094.db2.gz ZOVLARANQDMKAE-YWPYICTPSA-N 0 0 436.494 -0.212 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(c2cc(N3CCC[C@H]3C(N)=O)ncn2)[C@@H]2CCCO[C@@H]21 ZINC001166347683 1073321061 /nfs/dbraw/zinc/32/10/61/1073321061.db2.gz RZQGHRDEOZIYHL-JTOWHCCKSA-N 0 0 440.508 -0.170 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(c2cc(N3CCC[C@@H]3C(N)=O)ncn2)[C@@H]2CCCO[C@@H]21 ZINC001166347684 1073321080 /nfs/dbraw/zinc/32/10/80/1073321080.db2.gz RZQGHRDEOZIYHL-LUXYFRNMSA-N 0 0 440.508 -0.170 20 0 IBADRN Cn1cc(N2C[C@@H]3CN(c4ncccc4S(=O)(=O)N4CCOCC4)C[C@@H]3C2=O)cn1 ZINC001166348716 1073321144 /nfs/dbraw/zinc/32/11/44/1073321144.db2.gz ARFJXGQDKLZGQY-HOCLYGCPSA-N 0 0 432.506 -0.065 20 0 IBADRN Cn1cc(N2C[C@@H]3CN(c4ccc(S(=O)(=O)N5CCOCC5)cn4)C[C@@H]3C2=O)cn1 ZINC001166349111 1073321036 /nfs/dbraw/zinc/32/10/36/1073321036.db2.gz PIVXUOKIDFYJEC-YOEHRIQHSA-N 0 0 432.506 -0.065 20 0 IBADRN Cn1cc(N2C[C@@H]3CN(c4ccc(C(=O)N5CCS(=O)(=O)CC5)cn4)C[C@@H]3C2=O)cn1 ZINC001166351951 1073321032 /nfs/dbraw/zinc/32/10/32/1073321032.db2.gz NKYOKUOBAXIKHD-RDJZCZTQSA-N 0 0 444.517 -0.215 20 0 IBADRN Cn1nc(C(=O)N2CCOCC2)c2c1CN(c1nc3c(c(N4CCOCC4)n1)CNC3)C2 ZINC001166356262 1073321464 /nfs/dbraw/zinc/32/14/64/1073321464.db2.gz JKPLTKRKAPGZIY-UHFFFAOYSA-N 0 0 440.508 -0.358 20 0 IBADRN Cn1nc(C(=O)N2CCOCC2)c2c1CN(c1cc(N3CCC[C@H]3C(N)=O)ncn1)C2 ZINC001166356476 1073321358 /nfs/dbraw/zinc/32/13/58/1073321358.db2.gz PWNXEKTXKQXQAO-AWEZNQCLSA-N 0 0 426.481 -0.343 20 0 IBADRN Cn1nc(C(=O)N2CCOCC2)c2c1CN(c1cc(N3CCC[C@@H]3C(N)=O)ncn1)C2 ZINC001166356477 1073321407 /nfs/dbraw/zinc/32/14/07/1073321407.db2.gz PWNXEKTXKQXQAO-CQSZACIVSA-N 0 0 426.481 -0.343 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(c1cc(N3CCC[C@H]3C(N)=O)ncn1)CC2 ZINC001166396405 1073321432 /nfs/dbraw/zinc/32/14/32/1073321432.db2.gz ATHSMIVCSVRBNJ-KRWDZBQOSA-N 0 0 439.520 -0.214 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(c1cc(N3CCC[C@@H]3C(N)=O)ncn1)CC2 ZINC001166396406 1073321379 /nfs/dbraw/zinc/32/13/79/1073321379.db2.gz ATHSMIVCSVRBNJ-QGZVFWFLSA-N 0 0 439.520 -0.214 20 0 IBADRN COc1cc(N2CCc3ccc(=O)n(CC(=O)N(C)C)c3CC2)nc(S(C)(=O)=O)n1 ZINC001166397180 1073321374 /nfs/dbraw/zinc/32/13/74/1073321374.db2.gz VJCJAYTXUQPZIY-UHFFFAOYSA-N 0 0 435.506 -0.256 20 0 IBADRN NS(=O)(=O)c1ccc(N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)nc1 ZINC001166433902 1073321399 /nfs/dbraw/zinc/32/13/99/1073321399.db2.gz AOGILSJATVWDTG-CYBMUJFWSA-N 0 0 428.478 -0.218 20 0 IBADRN NS(=O)(=O)c1ccc(N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)nc1 ZINC001166433905 1073321483 /nfs/dbraw/zinc/32/14/83/1073321483.db2.gz AOGILSJATVWDTG-ZDUSSCGKSA-N 0 0 428.478 -0.218 20 0 IBADRN CN1CC(=O)Nc2cnc(N3Cc4nccn4C[C@@H](CNC(=O)c4ccnnc4)C3)nc21 ZINC001166435994 1073321323 /nfs/dbraw/zinc/32/13/23/1073321323.db2.gz OGODVKCABRFAGD-CYBMUJFWSA-N 0 0 434.464 -0.082 20 0 IBADRN CN1CC(=O)Nc2cnc(N3Cc4nccn4C[C@H](CNC(=O)c4ccnnc4)C3)nc21 ZINC001166435995 1073321344 /nfs/dbraw/zinc/32/13/44/1073321344.db2.gz OGODVKCABRFAGD-ZDUSSCGKSA-N 0 0 434.464 -0.082 20 0 IBADRN NS(=O)(=O)c1cccnc1N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001166436296 1073321421 /nfs/dbraw/zinc/32/14/21/1073321421.db2.gz YSLYIGFBHXZWCI-CYBMUJFWSA-N 0 0 428.478 -0.218 20 0 IBADRN NS(=O)(=O)c1cccnc1N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001166436297 1073321332 /nfs/dbraw/zinc/32/13/32/1073321332.db2.gz YSLYIGFBHXZWCI-ZDUSSCGKSA-N 0 0 428.478 -0.218 20 0 IBADRN NS(=O)(=O)c1cccc(N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)n1 ZINC001166436962 1073321759 /nfs/dbraw/zinc/32/17/59/1073321759.db2.gz HLHKKSCSQMUDHG-CYBMUJFWSA-N 0 0 428.478 -0.218 20 0 IBADRN NS(=O)(=O)c1cccc(N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)n1 ZINC001166436967 1073321838 /nfs/dbraw/zinc/32/18/38/1073321838.db2.gz HLHKKSCSQMUDHG-ZDUSSCGKSA-N 0 0 428.478 -0.218 20 0 IBADRN NS(=O)(=O)c1cnc(N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)c(F)c1 ZINC001166437910 1073321917 /nfs/dbraw/zinc/32/19/17/1073321917.db2.gz QSSBANUEPCIFAM-GFCCVEGCSA-N 0 0 446.468 -0.079 20 0 IBADRN NS(=O)(=O)c1cnc(N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)c(F)c1 ZINC001166437911 1073321746 /nfs/dbraw/zinc/32/17/46/1073321746.db2.gz QSSBANUEPCIFAM-LBPRGKRZSA-N 0 0 446.468 -0.079 20 0 IBADRN NS(=O)(=O)c1ccnc(N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)c1 ZINC001166439881 1073321823 /nfs/dbraw/zinc/32/18/23/1073321823.db2.gz PTRGMRXQGOSANY-CYBMUJFWSA-N 0 0 428.478 -0.218 20 0 IBADRN NS(=O)(=O)c1ccnc(N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)c1 ZINC001166439882 1073321704 /nfs/dbraw/zinc/32/17/04/1073321704.db2.gz PTRGMRXQGOSANY-ZDUSSCGKSA-N 0 0 428.478 -0.218 20 0 IBADRN NC(=O)[C@@H]1CCCN1c1cc(N2CCO[C@@]3(C2)COCCN(c2ncccn2)C3)ncn1 ZINC001166444941 1073321717 /nfs/dbraw/zinc/32/17/17/1073321717.db2.gz RUPNUTPZOOBCBA-HRAATJIYSA-N 0 0 440.508 -0.167 20 0 IBADRN NC(=O)[C@H]1CCCN1c1cc(N2CCO[C@]3(C2)COCCN(c2ncccn2)C3)ncn1 ZINC001166444942 1073321789 /nfs/dbraw/zinc/32/17/89/1073321789.db2.gz RUPNUTPZOOBCBA-IERDGZPVSA-N 0 0 440.508 -0.167 20 0 IBADRN NC(=O)[C@H]1CCCN1c1cc(N2CCO[C@@]3(C2)COCCN(c2ncccn2)C3)ncn1 ZINC001166444943 1073321846 /nfs/dbraw/zinc/32/18/46/1073321846.db2.gz RUPNUTPZOOBCBA-IIBYNOLFSA-N 0 0 440.508 -0.167 20 0 IBADRN NC(=O)[C@@H]1CCCN1c1cc(N2CCO[C@]3(C2)COCCN(c2ncccn2)C3)ncn1 ZINC001166444944 1073321676 /nfs/dbraw/zinc/32/16/76/1073321676.db2.gz RUPNUTPZOOBCBA-KKSFZXQISA-N 0 0 440.508 -0.167 20 0 IBADRN O=C(Cn1cnc2c(c1=O)COCC2)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001166458487 1073321895 /nfs/dbraw/zinc/32/18/95/1073321895.db2.gz GMXFHRVTMNDVKU-UHFFFAOYSA-N 0 0 432.502 -0.010 20 0 IBADRN O=C(Cn1cnc2c(c1=O)COCC2)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001166459760 1073321769 /nfs/dbraw/zinc/32/17/69/1073321769.db2.gz KSDUALYGLWGACE-UHFFFAOYSA-N 0 0 436.465 -0.012 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCCNC(=O)c2ccc(Br)cn2)c1 ZINC001166463857 1073321877 /nfs/dbraw/zinc/32/18/77/1073321877.db2.gz ITKZCJHVFFTJPR-UHFFFAOYSA-N 0 0 445.299 -0.598 20 0 IBADRN COC[C@H](NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)C1CCOCC1 ZINC001166464129 1073321814 /nfs/dbraw/zinc/32/18/14/1073321814.db2.gz MWWCXQXLXQGEKI-INIZCTEOSA-N 0 0 434.536 -0.074 20 0 IBADRN COC[C@@H](NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)C1CCOCC1 ZINC001166464130 1073321912 /nfs/dbraw/zinc/32/19/12/1073321912.db2.gz MWWCXQXLXQGEKI-MRXNPFEDSA-N 0 0 434.536 -0.074 20 0 IBADRN CN(CC(=O)NCCNC(=O)c1ccc(Br)cn1)[C@@H]1CCS(=O)(=O)C1 ZINC001166464495 1073321870 /nfs/dbraw/zinc/32/18/70/1073321870.db2.gz RXJRDGXFEOKPQN-GFCCVEGCSA-N 0 0 433.328 -0.191 20 0 IBADRN CN(CC(=O)NCCNC(=O)c1ccc(Br)cn1)[C@H]1CCS(=O)(=O)C1 ZINC001166464497 1073322255 /nfs/dbraw/zinc/32/22/55/1073322255.db2.gz RXJRDGXFEOKPQN-LBPRGKRZSA-N 0 0 433.328 -0.191 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1cc(Cl)nc(S(C)(=O)=O)n1)C2 ZINC001166466512 1073322278 /nfs/dbraw/zinc/32/22/78/1073322278.db2.gz UREMVRXXHNMPQK-UHFFFAOYSA-N 0 0 429.890 -0.250 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1ccc(CN3C(=O)CCC3=O)cn1)C2 ZINC001166466721 1073322121 /nfs/dbraw/zinc/32/21/21/1073322121.db2.gz GVLQBUWIAVHXRJ-UHFFFAOYSA-N 0 0 427.465 -0.053 20 0 IBADRN COC(=O)c1cc2cnc(N3CCn4c(COCC(=O)N(C)C)nnc4C3)nc2n1C ZINC001166466915 1073322328 /nfs/dbraw/zinc/32/23/28/1073322328.db2.gz LFZZCKXHOMQUKL-UHFFFAOYSA-N 0 0 428.453 -0.029 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1ncc3c(n1)N1CCC[C@@H]1C(=O)N3C)C2 ZINC001166467028 1073322141 /nfs/dbraw/zinc/32/21/41/1073322141.db2.gz MUCROTZIEKFDNK-CYBMUJFWSA-N 0 0 441.496 -0.362 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1ccc(C(=O)N3CCOCC3)cn1)C2 ZINC001166468188 1073322263 /nfs/dbraw/zinc/32/22/63/1073322263.db2.gz JYUQQWQLWOHVKJ-UHFFFAOYSA-N 0 0 429.481 -0.230 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1ncc(S(N)(=O)=O)cc1Cl)C2 ZINC001166468312 1073322306 /nfs/dbraw/zinc/32/23/06/1073322306.db2.gz NMTWDFBTPAKBKH-UHFFFAOYSA-N 0 0 429.890 -0.401 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1ccc(S(=O)(=O)NC3CC3)cn1)C2 ZINC001166468412 1073322298 /nfs/dbraw/zinc/32/22/98/1073322298.db2.gz ATJFCKFPLHRFCR-UHFFFAOYSA-N 0 0 435.510 -0.261 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1nc(Cl)ccc1S(N)(=O)=O)C2 ZINC001166468417 1073322228 /nfs/dbraw/zinc/32/22/28/1073322228.db2.gz AZPAOHCDTSUSSP-UHFFFAOYSA-N 0 0 429.890 -0.401 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1cc(N3CCC[C@@H]3C(N)=O)ncn1)C2 ZINC001166468432 1073322270 /nfs/dbraw/zinc/32/22/70/1073322270.db2.gz BPAQSUYTPRVHTM-CYBMUJFWSA-N 0 0 429.485 -0.853 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1cc(N3CCC[C@H]3C(N)=O)ncn1)C2 ZINC001166468433 1073322131 /nfs/dbraw/zinc/32/21/31/1073322131.db2.gz BPAQSUYTPRVHTM-ZDUSSCGKSA-N 0 0 429.485 -0.853 20 0 IBADRN COC(=O)c1ccc(N2CCn3c(COCC(=O)N(C)C)nnc3C2)nc1C(=O)OC ZINC001166468604 1073322174 /nfs/dbraw/zinc/32/21/74/1073322174.db2.gz XHTTWBJKSUPLOV-UHFFFAOYSA-N 0 0 432.437 -0.129 20 0 IBADRN CCOC(=O)c1nnc(SC)nc1N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001166468719 1073322236 /nfs/dbraw/zinc/32/22/36/1073322236.db2.gz YUVZTIPORMFQPT-UHFFFAOYSA-N 0 0 436.498 -0.013 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(N2CCn3c(COCC(=O)N(C)C)nnc3C2)nc1 ZINC001166468754 1073322197 /nfs/dbraw/zinc/32/21/97/1073322197.db2.gz MKVKXFDXASYBHE-UHFFFAOYSA-N 0 0 437.526 -0.015 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1ccc(C(=O)NC3CCOCC3)nn1)C2 ZINC001166468759 1073322318 /nfs/dbraw/zinc/32/23/18/1073322318.db2.gz MRKUYISHENMPBS-UHFFFAOYSA-N 0 0 444.496 -0.398 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(c1nc(Cl)nc3c1S(=O)(=O)CC3)C2 ZINC001166468781 1073322293 /nfs/dbraw/zinc/32/22/93/1073322293.db2.gz OHCYILVXWQZAJI-UHFFFAOYSA-N 0 0 441.901 -0.324 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(c1ncnc3c1ncn3C)CCC2 ZINC001166476842 1073322546 /nfs/dbraw/zinc/32/25/46/1073322546.db2.gz GCOJGSXLYFHQLQ-UHFFFAOYSA-N 0 0 434.464 -0.006 20 0 IBADRN COC(=O)c1cnc(N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)cn1 ZINC001166477326 1073322628 /nfs/dbraw/zinc/32/26/28/1073322628.db2.gz JJLGBAPUUXORHY-UHFFFAOYSA-N 0 0 438.448 -0.106 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(c1ncnc3ncn(C)c31)CCC2 ZINC001166478872 1073322647 /nfs/dbraw/zinc/32/26/47/1073322647.db2.gz BHBJKSPUMWLNSC-UHFFFAOYSA-N 0 0 434.464 -0.006 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(c1nccc3c1C(=O)NC3)CCC2 ZINC001166479044 1073322707 /nfs/dbraw/zinc/32/27/07/1073322707.db2.gz DOFHFGPDWNNSIT-UHFFFAOYSA-N 0 0 434.460 -0.045 20 0 IBADRN COC(=O)c1cnc(N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)nc1 ZINC001166479721 1073322601 /nfs/dbraw/zinc/32/26/01/1073322601.db2.gz LXQPBNGMUPUISW-UHFFFAOYSA-N 0 0 438.448 -0.106 20 0 IBADRN COC(=O)c1nccnc1N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001166479917 1073322498 /nfs/dbraw/zinc/32/24/98/1073322498.db2.gz RFEXWYQDFJXDLW-UHFFFAOYSA-N 0 0 438.448 -0.106 20 0 IBADRN COC(=O)c1ccnc(N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)n1 ZINC001166479940 1073322662 /nfs/dbraw/zinc/32/26/62/1073322662.db2.gz SJEXRZPXCDFBBR-UHFFFAOYSA-N 0 0 438.448 -0.106 20 0 IBADRN COC(=O)c1ccc(N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)nn1 ZINC001166479986 1073322637 /nfs/dbraw/zinc/32/26/37/1073322637.db2.gz VUSOGQPARXNLFX-UHFFFAOYSA-N 0 0 438.448 -0.106 20 0 IBADRN COC(=O)c1cncnc1N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001166480001 1073322612 /nfs/dbraw/zinc/32/26/12/1073322612.db2.gz WKCVQUXLVOABHD-UHFFFAOYSA-N 0 0 438.448 -0.106 20 0 IBADRN Cc1nc(N(C)C)nc(N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)n1 ZINC001166481742 1073322556 /nfs/dbraw/zinc/32/25/56/1073322556.db2.gz LZKUHFZVGDCHQF-UHFFFAOYSA-N 0 0 438.496 -0.123 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(c1ncc(F)cc1C(N)=O)CCC2 ZINC001166482000 1073322561 /nfs/dbraw/zinc/32/25/61/1073322561.db2.gz QDNXDMIHKHQBKA-UHFFFAOYSA-N 0 0 440.439 -0.050 20 0 IBADRN CNC(=O)c1ccc(N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)nn1 ZINC001166482245 1073322681 /nfs/dbraw/zinc/32/26/81/1073322681.db2.gz YMGISTWMIBUDGK-UHFFFAOYSA-N 0 0 437.464 -0.533 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(c3cc(N4CCC[C@H]4C(N)=O)ncn3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001166482398 1073322531 /nfs/dbraw/zinc/32/25/31/1073322531.db2.gz BFGVZROQBMFHMZ-CYJAXWMASA-N 0 0 440.508 -0.170 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(c3cc(N4CCC[C@@H]4C(N)=O)ncn3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001166482399 1073323063 /nfs/dbraw/zinc/32/30/63/1073323063.db2.gz BFGVZROQBMFHMZ-WFXMFSGNSA-N 0 0 440.508 -0.170 20 0 IBADRN COc1cc(N2C[C@H](NC(=O)c3cnn(C)c3)[C@H]3OCCC[C@H]32)nc(S(C)(=O)=O)n1 ZINC001166483145 1073322938 /nfs/dbraw/zinc/32/29/38/1073322938.db2.gz DKFXIWQSNCEYBS-WOSRLPQWSA-N 0 0 436.494 -0.212 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(c1ccc(S(N)(=O)=O)nn1)CC2 ZINC001166490496 1073322902 /nfs/dbraw/zinc/32/29/02/1073322902.db2.gz BCGWCQLWUUQJQX-UHFFFAOYSA-N 0 0 431.482 -0.953 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(c1ncc3c(n1)N(C)CC(=O)N3)CC2 ZINC001166490595 1073323041 /nfs/dbraw/zinc/32/30/41/1073323041.db2.gz LCBSIUCSUCCCNN-UHFFFAOYSA-N 0 0 436.480 -0.212 20 0 IBADRN CNS(=O)(=O)c1cccnc1N1CCc2ncc(CNC(=O)c3nccn3C)n2CC1 ZINC001166491141 1073323027 /nfs/dbraw/zinc/32/30/27/1073323027.db2.gz UKSCPDLLIFEQRY-UHFFFAOYSA-N 0 0 444.521 -0.088 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)nc1 ZINC001166491142 1073323001 /nfs/dbraw/zinc/32/30/01/1073323001.db2.gz ULHQAELRTMLWFD-UHFFFAOYSA-N 0 0 444.521 -0.088 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(c1ncc(S(N)(=O)=O)cc1F)CC2 ZINC001166491221 1073322984 /nfs/dbraw/zinc/32/29/84/1073322984.db2.gz RDGFIQVMTVUKQH-UHFFFAOYSA-N 0 0 448.484 -0.209 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(c1ncccc1S(N)(=O)=O)CC2 ZINC001166492819 1073323010 /nfs/dbraw/zinc/32/30/10/1073323010.db2.gz AGMRNACKARTVDH-UHFFFAOYSA-N 0 0 430.494 -0.348 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(c1ccc(S(N)(=O)=O)cn1)CC2 ZINC001166494195 1073323103 /nfs/dbraw/zinc/32/31/03/1073323103.db2.gz XTUXJEPEYCCSBS-UHFFFAOYSA-N 0 0 430.494 -0.348 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1c1cc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001166546837 1073322978 /nfs/dbraw/zinc/32/29/78/1073322978.db2.gz ZVQSVPMIVWVIIC-HNNXBMFYSA-N 0 0 434.497 -0.592 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1c1cc(N2CCOCC2)nc(N2CCOCC2)n1 ZINC001166546839 1073322948 /nfs/dbraw/zinc/32/29/48/1073322948.db2.gz ZVQSVPMIVWVIIC-OAHLLOKOSA-N 0 0 434.497 -0.592 20 0 IBADRN Cn1c2[nH]c(CCC(=O)N3C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C3)nc2c(=O)[nH]c1=O ZINC001166601161 1073322994 /nfs/dbraw/zinc/32/29/94/1073322994.db2.gz PLPPIEXOYBUYFL-HTQZYQBOSA-N 0 0 437.400 -0.271 20 0 IBADRN CS(=O)(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccccc2N)C[C@H]1C(F)(F)F ZINC001166609557 1073323428 /nfs/dbraw/zinc/32/34/28/1073323428.db2.gz JAUHOWCVVWATHL-BXKDBHETSA-N 0 0 429.442 -0.019 20 0 IBADRN COc1cncn(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)c1=O ZINC001166625517 1073323448 /nfs/dbraw/zinc/32/34/48/1073323448.db2.gz UIYKPEOYNNLALS-UHFFFAOYSA-N 0 0 434.474 -0.012 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1c1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC001166633066 1073323502 /nfs/dbraw/zinc/32/35/02/1073323502.db2.gz FDVWHVXQLAWJNV-DOMZBBRYSA-N 0 0 443.503 -0.454 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1c1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC001166633067 1073323461 /nfs/dbraw/zinc/32/34/61/1073323461.db2.gz FDVWHVXQLAWJNV-IUODEOHRSA-N 0 0 443.503 -0.454 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1c1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC001166633068 1073323544 /nfs/dbraw/zinc/32/35/44/1073323544.db2.gz FDVWHVXQLAWJNV-SWLSCSKDSA-N 0 0 443.503 -0.454 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1c1ccc(C(=O)N2CCS(=O)(=O)CC2)cn1 ZINC001166633069 1073323479 /nfs/dbraw/zinc/32/34/79/1073323479.db2.gz FDVWHVXQLAWJNV-WFASDCNBSA-N 0 0 443.503 -0.454 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1c1ncccc1S(=O)(=O)N1CCOCC1 ZINC001166635974 1073323489 /nfs/dbraw/zinc/32/34/89/1073323489.db2.gz QNDKGAAFVFFDAJ-BXUZGUMPSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1c1ncccc1S(=O)(=O)N1CCOCC1 ZINC001166635980 1073323483 /nfs/dbraw/zinc/32/34/83/1073323483.db2.gz QNDKGAAFVFFDAJ-FZMZJTMJSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1c1ncccc1S(=O)(=O)N1CCOCC1 ZINC001166635983 1073323498 /nfs/dbraw/zinc/32/34/98/1073323498.db2.gz QNDKGAAFVFFDAJ-RISCZKNCSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1c1ncccc1S(=O)(=O)N1CCOCC1 ZINC001166635985 1073323509 /nfs/dbraw/zinc/32/35/09/1073323509.db2.gz QNDKGAAFVFFDAJ-SMDDNHRTSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001166639419 1073323553 /nfs/dbraw/zinc/32/35/53/1073323553.db2.gz YWHRETXYHCNXMQ-GXTWGEPZSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001166639421 1073323409 /nfs/dbraw/zinc/32/34/09/1073323409.db2.gz YWHRETXYHCNXMQ-JSGCOSHPSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001166639424 1073323527 /nfs/dbraw/zinc/32/35/27/1073323527.db2.gz YWHRETXYHCNXMQ-OCCSQVGLSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001166639425 1073323536 /nfs/dbraw/zinc/32/35/36/1073323536.db2.gz YWHRETXYHCNXMQ-TZMCWYRMSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1c1nc(OC)nc(N2CCn3ccnc3C2)n1 ZINC001166643523 1073323472 /nfs/dbraw/zinc/32/34/72/1073323472.db2.gz VNTFDYPEOCWWPE-CMPLNLGQSA-N 0 0 435.466 -0.309 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1c1nc(OC)nc(N2CCn3ccnc3C2)n1 ZINC001166643525 1073323742 /nfs/dbraw/zinc/32/37/42/1073323742.db2.gz VNTFDYPEOCWWPE-JQWIXIFHSA-N 0 0 435.466 -0.309 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1c1nc(OC)nc(N2CCn3ccnc3C2)n1 ZINC001166643526 1073323917 /nfs/dbraw/zinc/32/39/17/1073323917.db2.gz VNTFDYPEOCWWPE-PWSUYJOCSA-N 0 0 435.466 -0.309 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1c1nc(OC)nc(N2CCn3ccnc3C2)n1 ZINC001166643528 1073323819 /nfs/dbraw/zinc/32/38/19/1073323819.db2.gz VNTFDYPEOCWWPE-ZYHUDNBSSA-N 0 0 435.466 -0.309 20 0 IBADRN O=C([C@@H]1CCCCN1c1nc(N2CCOCC2)nc(N2CCOCC2)n1)N1CCOCC1 ZINC001166653590 1073323979 /nfs/dbraw/zinc/32/39/79/1073323979.db2.gz KJXMSLISWAUZIO-KRWDZBQOSA-N 0 0 447.540 -0.238 20 0 IBADRN O=C([C@H]1CCCCN1c1nc(N2CCOCC2)nc(N2CCOCC2)n1)N1CCOCC1 ZINC001166653593 1073323871 /nfs/dbraw/zinc/32/38/71/1073323871.db2.gz KJXMSLISWAUZIO-QGZVFWFLSA-N 0 0 447.540 -0.238 20 0 IBADRN O=C1NC2(CC(O)C2)C(=O)N1CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001166696996 1073323750 /nfs/dbraw/zinc/32/37/50/1073323750.db2.gz VFWGVAFNWRFRNK-UHFFFAOYSA-N 0 0 425.463 -0.468 20 0 IBADRN COC(=O)c1cnc(N2CCC(C)(C(N)=O)CC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001166705972 1073323906 /nfs/dbraw/zinc/32/39/06/1073323906.db2.gz XIDCFSJAZIEOSR-UHFFFAOYSA-N 0 0 426.495 -0.019 20 0 IBADRN COC(=O)c1cc2c(ncnc2N2CCN[C@H](C(N)=O)C2)n1S(=O)(=O)c1ccccc1 ZINC001166724629 1073323852 /nfs/dbraw/zinc/32/38/52/1073323852.db2.gz SCIINKSJYWGDPQ-AWEZNQCLSA-N 0 0 444.473 -0.282 20 0 IBADRN COC(=O)c1cc2c(ncnc2N2CCN[C@@H](C(N)=O)C2)n1S(=O)(=O)c1ccccc1 ZINC001166724639 1073323782 /nfs/dbraw/zinc/32/37/82/1073323782.db2.gz SCIINKSJYWGDPQ-CQSZACIVSA-N 0 0 444.473 -0.282 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2N2CCN[C@H](C(N)=O)C2)CN1 ZINC001166724798 1073324019 /nfs/dbraw/zinc/32/40/19/1073324019.db2.gz GFIAUJNHEUGWIT-KCXAZCMYSA-N 0 0 430.465 -0.816 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2N2CCN[C@@H](C(N)=O)C2)CN1 ZINC001166724799 1073323940 /nfs/dbraw/zinc/32/39/40/1073323940.db2.gz GFIAUJNHEUGWIT-UHOFOFEASA-N 0 0 430.465 -0.816 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC(=O)C2)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001166733636 1073323966 /nfs/dbraw/zinc/32/39/66/1073323966.db2.gz BWDLWVRFHJXHFX-NVQRDWNXSA-N 0 0 447.404 -0.461 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CCN1S(C)(=O)=O ZINC001166750070 1073323799 /nfs/dbraw/zinc/32/37/99/1073323799.db2.gz CBSNKUCATURCFT-CYBMUJFWSA-N 0 0 431.536 -0.346 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CCN1S(C)(=O)=O ZINC001166750139 1073323929 /nfs/dbraw/zinc/32/39/29/1073323929.db2.gz CTEDOZCRDLSBNC-DOMZBBRYSA-N 0 0 428.486 -0.779 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CCN1S(C)(=O)=O ZINC001166750142 1073323769 /nfs/dbraw/zinc/32/37/69/1073323769.db2.gz CTEDOZCRDLSBNC-IUODEOHRSA-N 0 0 428.486 -0.779 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(S(C)(=O)=O)[C@H](C)C3)cc2S1(=O)=O ZINC001166750463 1073323809 /nfs/dbraw/zinc/32/38/09/1073323809.db2.gz DZTIYYSXRKFDGJ-GFCCVEGCSA-N 0 0 445.519 -0.417 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCN1S(C)(=O)=O ZINC001166752102 1073324007 /nfs/dbraw/zinc/32/40/07/1073324007.db2.gz QUESJKVCDRVJKC-SECBINFHSA-N 0 0 447.315 -0.615 20 0 IBADRN NC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001166760615 1073324403 /nfs/dbraw/zinc/32/44/03/1073324403.db2.gz RLQJMIXAYDBRGL-BZPMIXESSA-N 0 0 431.898 -0.142 20 0 IBADRN NC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001166760616 1073324373 /nfs/dbraw/zinc/32/43/73/1073324373.db2.gz RLQJMIXAYDBRGL-DYEKYZERSA-N 0 0 431.898 -0.142 20 0 IBADRN Cn1cc(C(=O)N2CCC(n3nncc3I)CC2)c(=O)n(C)c1=O ZINC001166781350 1073324439 /nfs/dbraw/zinc/32/44/39/1073324439.db2.gz MUIZLPWLZZROTC-UHFFFAOYSA-N 0 0 444.233 -0.243 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCC(n2nncc2I)CC1 ZINC001166781812 1073324383 /nfs/dbraw/zinc/32/43/83/1073324383.db2.gz SYCXLHMVCGKLKV-MRVPVSSYSA-N 0 0 427.268 -0.016 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCC(n2nncc2I)CC1 ZINC001166781813 1073324362 /nfs/dbraw/zinc/32/43/62/1073324362.db2.gz SYCXLHMVCGKLKV-QMMMGPOBSA-N 0 0 427.268 -0.016 20 0 IBADRN COC(=O)c1cnc(N2CCC(N)(C(=O)OC)CC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001166796965 1073324387 /nfs/dbraw/zinc/32/43/87/1073324387.db2.gz HAMOURUOJISOJV-UHFFFAOYSA-N 0 0 442.494 -0.640 20 0 IBADRN COC(=O)[C@H]1CC(F)(F)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001166807139 1073324354 /nfs/dbraw/zinc/32/43/54/1073324354.db2.gz NDKOQCPUAFLRDF-CYBMUJFWSA-N 0 0 431.417 -0.170 20 0 IBADRN CCOC(=O)[C@@H]1CN(c2ncc(C(=O)OC)cc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001166826883 1073324490 /nfs/dbraw/zinc/32/44/90/1073324490.db2.gz CGLZFPIBWMDIBP-AWEZNQCLSA-N 0 0 443.478 -0.343 20 0 IBADRN CCOC(=O)[C@H]1CN(c2ncc(C(=O)OC)cc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001166826905 1073324409 /nfs/dbraw/zinc/32/44/09/1073324409.db2.gz CGLZFPIBWMDIBP-CQSZACIVSA-N 0 0 443.478 -0.343 20 0 IBADRN COC(=O)C[C@H]1CN(c2ncc(C(=O)OC)cc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001166835232 1073324472 /nfs/dbraw/zinc/32/44/72/1073324472.db2.gz YEQVFNDTXGVPGB-AWEZNQCLSA-N 0 0 443.478 -0.343 20 0 IBADRN COC(=O)C[C@@H]1CN(c2ncc(C(=O)OC)cc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001166835233 1073324501 /nfs/dbraw/zinc/32/45/01/1073324501.db2.gz YEQVFNDTXGVPGB-CQSZACIVSA-N 0 0 443.478 -0.343 20 0 IBADRN COC(=O)CN(CC(=O)OC)c1ncc(C(=O)OC)cc1S(=O)(=O)N1CCOCC1 ZINC001166847203 1073324319 /nfs/dbraw/zinc/32/43/19/1073324319.db2.gz OOMVRQCLYIGDBO-UHFFFAOYSA-N 0 0 445.450 -0.959 20 0 IBADRN CS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3cccc(F)c3)CC2)c1 ZINC001166887189 1073324379 /nfs/dbraw/zinc/32/43/79/1073324379.db2.gz RRPLTXRGAXMLCY-UHFFFAOYSA-N 0 0 430.483 -0.041 20 0 IBADRN CS(=O)(=O)c1cnn(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)c1 ZINC001166887247 1073324332 /nfs/dbraw/zinc/32/43/32/1073324332.db2.gz SYJCHKBAKSUFBU-UHFFFAOYSA-N 0 0 428.492 -0.054 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ncnc2c1C(C)(C)C(=O)N2)C(=O)NCC(N)=O ZINC001166893490 1073324369 /nfs/dbraw/zinc/32/43/69/1073324369.db2.gz ABSGIWGMHSGPOD-STQMWFEESA-N 0 0 445.524 -0.193 20 0 IBADRN CCNC(=O)c1ccc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nn1 ZINC001166893500 1073324399 /nfs/dbraw/zinc/32/43/99/1073324399.db2.gz ASOWLOAIRTYUKL-GJZGRUSLSA-N 0 0 433.513 -0.673 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1cc(C(N)=O)nc(C2CC2)n1)C(=O)NCC(N)=O ZINC001166893514 1073324454 /nfs/dbraw/zinc/32/44/54/1073324454.db2.gz BRZHKTZVIPDCSH-GJZGRUSLSA-N 0 0 445.524 -0.446 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ncccc1S(C)(=O)=O)C(=O)NCC(N)=O ZINC001166893582 1073324339 /nfs/dbraw/zinc/32/43/39/1073324339.db2.gz BHGDRTBUSMNHKL-KBPBESRZSA-N 0 0 439.538 -0.414 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccc(C(=O)NC2CC2)nn1)C(=O)NCC(N)=O ZINC001166893607 1073324860 /nfs/dbraw/zinc/32/48/60/1073324860.db2.gz GKBRVNMKNLMRAI-HOTGVXAUSA-N 0 0 445.524 -0.530 20 0 IBADRN COC(=O)c1cnc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cc1OC ZINC001166893608 1073324795 /nfs/dbraw/zinc/32/47/95/1073324795.db2.gz BTLHNBYUJUDLEH-GJZGRUSLSA-N 0 0 449.508 -0.022 20 0 IBADRN Cc1nc(-n2cncn2)cc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001166893613 1073324810 /nfs/dbraw/zinc/32/48/10/1073324810.db2.gz GPCPQXCUFJOAKT-GJZGRUSLSA-N 0 0 443.512 -0.533 20 0 IBADRN CCOC(=O)c1cncnc1N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001166893716 1073324837 /nfs/dbraw/zinc/32/48/37/1073324837.db2.gz GJYQLCNBLVNEIC-GJZGRUSLSA-N 0 0 434.497 -0.246 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1cncc(-n2cncn2)n1)C(=O)NCC(N)=O ZINC001166893975 1073324817 /nfs/dbraw/zinc/32/48/17/1073324817.db2.gz IIAUZLATEHVEFH-KBPBESRZSA-N 0 0 429.485 -0.842 20 0 IBADRN CCn1cnc2c1ncnc2N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001166894034 1073324799 /nfs/dbraw/zinc/32/47/99/1073324799.db2.gz IUBMCOFCBBXMNJ-KBPBESRZSA-N 0 0 430.513 -0.053 20 0 IBADRN COC(=O)c1cc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)ncc1N ZINC001166894090 1073324830 /nfs/dbraw/zinc/32/48/30/1073324830.db2.gz KVVRUYRUJKAFJN-GJZGRUSLSA-N 0 0 434.497 -0.448 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccc(Cn2cncn2)cn1)C(=O)NCC(N)=O ZINC001166894215 1073324818 /nfs/dbraw/zinc/32/48/18/1073324818.db2.gz MCZMCPOCGUOJLL-IRXDYDNUSA-N 0 0 442.524 -0.177 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccc(NC(N)=S)cn1)C(=O)NCC(N)=O ZINC001166894227 1073324823 /nfs/dbraw/zinc/32/48/23/1073324823.db2.gz MHIUWIXRPCHRRC-KBPBESRZSA-N 0 0 435.554 -0.162 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1cncc(C(=O)N(C)C)n1)C(=O)NCC(N)=O ZINC001166894404 1073324804 /nfs/dbraw/zinc/32/48/04/1073324804.db2.gz OHXKUGIGCVQHIA-ZFWWWQNUSA-N 0 0 433.513 -0.720 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ncnc2c1NC(=O)CS2)C(=O)NCC(N)=O ZINC001166894483 1073324787 /nfs/dbraw/zinc/32/47/87/1073324787.db2.gz PDMAXMZKXSNXTP-RYUDHWBXSA-N 0 0 449.537 -0.378 20 0 IBADRN CCNC(=O)c1ccc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nc1 ZINC001166894501 1073324825 /nfs/dbraw/zinc/32/48/25/1073324825.db2.gz PKKCXLNJYSPUOA-HOTGVXAUSA-N 0 0 432.525 -0.068 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ncc(Cl)cc1C(N)=O)C(=O)NCC(N)=O ZINC001166894523 1073324845 /nfs/dbraw/zinc/32/48/45/1073324845.db2.gz NIOZPBZFMARGHF-KBPBESRZSA-N 0 0 438.916 -0.065 20 0 IBADRN CCOC(=O)c1ccc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nn1 ZINC001166894602 1073324833 /nfs/dbraw/zinc/32/48/33/1073324833.db2.gz OMFJFDBGZVMLGI-GJZGRUSLSA-N 0 0 434.497 -0.246 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccc(S(C)(=O)=O)cn1)C(=O)NCC(N)=O ZINC001166894612 1073324843 /nfs/dbraw/zinc/32/48/43/1073324843.db2.gz QTPKDSDTHIUGBI-GJZGRUSLSA-N 0 0 439.538 -0.414 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1cc(C(N)=O)c(Cl)cn1)C(=O)NCC(N)=O ZINC001166894635 1073324801 /nfs/dbraw/zinc/32/48/01/1073324801.db2.gz OYWMWHMEQPSEGV-KBPBESRZSA-N 0 0 438.916 -0.065 20 0 IBADRN COc1nc(Cl)nc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001166894650 1073324792 /nfs/dbraw/zinc/32/47/92/1073324792.db2.gz PMESYLDPDGQNCU-QWRGUYRKSA-N 0 0 427.893 -0.365 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1cc(N2CCOCC2)ncn1)C(=O)NCC(N)=O ZINC001166894657 1073324850 /nfs/dbraw/zinc/32/48/50/1073324850.db2.gz PVRWJMUOSATTPU-HOTGVXAUSA-N 0 0 447.540 -0.586 20 0 IBADRN COC(=O)c1cc(OC)cnc1N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001166894679 1073325152 /nfs/dbraw/zinc/32/51/52/1073325152.db2.gz QOQFOYWHBLSHTB-HOTGVXAUSA-N 0 0 449.508 -0.022 20 0 IBADRN COC(=O)c1ccc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nc1OC ZINC001166894724 1073325168 /nfs/dbraw/zinc/32/51/68/1073325168.db2.gz RHQADBWKMHQPEY-GJZGRUSLSA-N 0 0 449.508 -0.022 20 0 IBADRN CCOC(=O)c1nccc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001166894906 1073325157 /nfs/dbraw/zinc/32/51/57/1073325157.db2.gz SDOBXFSJUYVWQR-KBPBESRZSA-N 0 0 434.497 -0.246 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1c(Cl)nccc1C(N)=O)C(=O)NCC(N)=O ZINC001166894935 1073325178 /nfs/dbraw/zinc/32/51/78/1073325178.db2.gz UIUZEALRTKUXLY-STQMWFEESA-N 0 0 438.916 -0.065 20 0 IBADRN CON(C)C(=O)c1ccnc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1 ZINC001166895029 1073325172 /nfs/dbraw/zinc/32/51/72/1073325172.db2.gz VKRVEWUAYDKOGY-HOTGVXAUSA-N 0 0 448.524 -0.184 20 0 IBADRN Cc1cc2c(c(N3CCC[C@H]3C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1)C(=O)NC2=O ZINC001166895033 1073325136 /nfs/dbraw/zinc/32/51/36/1073325136.db2.gz VNWGRHHABDMSSW-KBPBESRZSA-N 0 0 444.492 -0.625 20 0 IBADRN COCCOc1ccc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nn1 ZINC001166895090 1073325180 /nfs/dbraw/zinc/32/51/80/1073325180.db2.gz WUNPPSAFXBHECN-GJZGRUSLSA-N 0 0 436.513 -0.397 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1nc(Cl)ncc1C(N)=O)C(=O)NCC(N)=O ZINC001166895262 1073325169 /nfs/dbraw/zinc/32/51/69/1073325169.db2.gz WSPFFUNRYWEGNG-RYUDHWBXSA-N 0 0 439.904 -0.670 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccc(Cl)c(C(N)=O)n1)C(=O)NCC(N)=O ZINC001166895293 1073325118 /nfs/dbraw/zinc/32/51/18/1073325118.db2.gz XNVBMYQCSKNRTL-STQMWFEESA-N 0 0 438.916 -0.065 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1cc(C(N)=O)cc(Cl)n1)C(=O)NCC(N)=O ZINC001166895329 1073325127 /nfs/dbraw/zinc/32/51/27/1073325127.db2.gz YPCPBABZIWNUBF-STQMWFEESA-N 0 0 438.916 -0.065 20 0 IBADRN COCc1nc(OC)cc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001166895414 1073325150 /nfs/dbraw/zinc/32/51/50/1073325150.db2.gz ZFIURAROWSCKPU-KBPBESRZSA-N 0 0 436.513 -0.267 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1cncc(-n2ccnc2)n1)C(=O)NCC(N)=O ZINC001166895468 1073325131 /nfs/dbraw/zinc/32/51/31/1073325131.db2.gz BLRGMNLWOVBYKA-GJZGRUSLSA-N 0 0 428.497 -0.237 20 0 IBADRN COC(=O)Cc1cccc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001166895565 1073325162 /nfs/dbraw/zinc/32/51/62/1073325162.db2.gz DFIVURMHQJMFGV-HOTGVXAUSA-N 0 0 433.509 -0.102 20 0 IBADRN CCOC(=O)Cc1ccc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nn1 ZINC001166895900 1073325154 /nfs/dbraw/zinc/32/51/54/1073325154.db2.gz GJNYQSMICXWSEJ-HOTGVXAUSA-N 0 0 448.524 -0.317 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1nc(Cl)ccc1C(N)=O)C(=O)NCC(N)=O ZINC001166895958 1073325160 /nfs/dbraw/zinc/32/51/60/1073325160.db2.gz HRQBXFWMWXACRP-STQMWFEESA-N 0 0 438.916 -0.065 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1nccc2c1NC(=O)CO2)C(=O)NCC(N)=O ZINC001166895988 1073325401 /nfs/dbraw/zinc/32/54/01/1073325401.db2.gz IFXCEOQURRGNKF-STQMWFEESA-N 0 0 432.481 -0.486 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccc2c(n1)NC(=O)CO2)C(=O)NCC(N)=O ZINC001166896001 1073325509 /nfs/dbraw/zinc/32/55/09/1073325509.db2.gz IIUIUPDYGKVWSV-STQMWFEESA-N 0 0 432.481 -0.486 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccc2c(n1)OCC(=O)N2)C(=O)NCC(N)=O ZINC001166896077 1073325531 /nfs/dbraw/zinc/32/55/31/1073325531.db2.gz KDLZYHVJDBEWDM-KBPBESRZSA-N 0 0 432.481 -0.486 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccnc(N2CCOCC2)n1)C(=O)NCC(N)=O ZINC001166896095 1073325496 /nfs/dbraw/zinc/32/54/96/1073325496.db2.gz KHMQDIWLDDFVMM-HOTGVXAUSA-N 0 0 447.540 -0.586 20 0 IBADRN CCOC(=O)c1nccnc1N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001166896559 1073325562 /nfs/dbraw/zinc/32/55/62/1073325562.db2.gz PJHICAZQMCSNFL-KBPBESRZSA-N 0 0 434.497 -0.246 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ccc(-n2ccnc2)nn1)C(=O)NCC(N)=O ZINC001166896594 1073325583 /nfs/dbraw/zinc/32/55/83/1073325583.db2.gz QXSOBKXIYZDOLG-GJZGRUSLSA-N 0 0 428.497 -0.237 20 0 IBADRN CCOC(=O)Cc1cnc(N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nc1 ZINC001166896615 1073325624 /nfs/dbraw/zinc/32/56/24/1073325624.db2.gz RGRNZJYTCBXIHG-HOTGVXAUSA-N 0 0 448.524 -0.317 20 0 IBADRN CCNC(=O)c1cccnc1N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001166897010 1073325593 /nfs/dbraw/zinc/32/55/93/1073325593.db2.gz SLHOINMQGALMHG-HOTGVXAUSA-N 0 0 432.525 -0.068 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ncnc(Cl)c1C(N)=O)C(=O)NCC(N)=O ZINC001166897082 1073325605 /nfs/dbraw/zinc/32/56/05/1073325605.db2.gz XAEHXYNFJGWXEK-QWRGUYRKSA-N 0 0 439.904 -0.670 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1nc(Cl)cnc1C(N)=O)C(=O)NCC(N)=O ZINC001166897390 1073325610 /nfs/dbraw/zinc/32/56/10/1073325610.db2.gz XEWBDIUWMHBSGH-QWRGUYRKSA-N 0 0 439.904 -0.670 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ncnc2c1[C@@H](C)C(=O)N2)C(=O)NCC(N)=O ZINC001166897398 1073325523 /nfs/dbraw/zinc/32/55/23/1073325523.db2.gz XTXKRGOMABIAEN-AGIUHOORSA-N 0 0 431.497 -0.367 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ncnc2c1[C@H](C)C(=O)N2)C(=O)NCC(N)=O ZINC001166897399 1073325473 /nfs/dbraw/zinc/32/54/73/1073325473.db2.gz XTXKRGOMABIAEN-AVGNSLFASA-N 0 0 431.497 -0.367 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1nc2nc[nH]c2c(N(C)C)n1)C(=O)NCC(N)=O ZINC001166897406 1073325483 /nfs/dbraw/zinc/32/54/83/1073325483.db2.gz XXXZRGDOYDQDOX-STQMWFEESA-N 0 0 445.528 -0.480 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1ncc(Cl)nc1C(N)=O)C(=O)NCC(N)=O ZINC001166897413 1073325442 /nfs/dbraw/zinc/32/54/42/1073325442.db2.gz YBJRIYDUZHMSPC-QWRGUYRKSA-N 0 0 439.904 -0.670 20 0 IBADRN CON(C)C(=O)c1cccnc1N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001166897463 1073325462 /nfs/dbraw/zinc/32/54/62/1073325462.db2.gz ZNYXDRDDYUYNIG-HOTGVXAUSA-N 0 0 448.524 -0.184 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1c1nc(Cl)cc(C(N)=O)n1)C(=O)NCC(N)=O ZINC001166897466 1073326031 /nfs/dbraw/zinc/32/60/31/1073326031.db2.gz ZQJWOWACDMDGAF-RYUDHWBXSA-N 0 0 439.904 -0.670 20 0 IBADRN O=C1CN(c2cc(N3CCOCC3)nc(N3CCOCC3)n2)CCN1C1CCOCC1 ZINC001166913549 1073325917 /nfs/dbraw/zinc/32/59/17/1073325917.db2.gz BGDLEYOPCQUTFI-UHFFFAOYSA-N 0 0 432.525 -0.023 20 0 IBADRN CN(C1CCN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1)S(C)(=O)=O ZINC001166921126 1073326051 /nfs/dbraw/zinc/32/60/51/1073326051.db2.gz DRLCMJMWWTVDFV-UHFFFAOYSA-N 0 0 441.558 -0.595 20 0 IBADRN CN(C1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1)S(C)(=O)=O ZINC001166922169 1073325818 /nfs/dbraw/zinc/32/58/18/1073325818.db2.gz ZEICJITUZKRZCU-UHFFFAOYSA-N 0 0 430.552 -0.188 20 0 IBADRN COC(=O)c1cnc(N2CCN3C(=O)OC[C@H]3C2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001166927706 1073325907 /nfs/dbraw/zinc/32/59/07/1073325907.db2.gz TYVOMRNFCHYASL-CYBMUJFWSA-N 0 0 426.451 -0.470 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC(O)C2)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001166936995 1073325943 /nfs/dbraw/zinc/32/59/43/1073325943.db2.gz BGRKOXIDAQTLHE-NVQRDWNXSA-N 0 0 449.420 -0.669 20 0 IBADRN CC(C)(C)OC(=O)NC[C@]1(CO)COCCN1C(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC001167016211 1073325934 /nfs/dbraw/zinc/32/59/34/1073325934.db2.gz AFWPYWXNHHRURO-IBGZPJMESA-N 0 0 440.497 -0.034 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@]1(CO)COCCN1C(=O)CN1C(=O)NC2(CCCC2)C1=O ZINC001167016214 1073325774 /nfs/dbraw/zinc/32/57/74/1073325774.db2.gz AFWPYWXNHHRURO-LJQANCHMSA-N 0 0 440.497 -0.034 20 0 IBADRN CC(C)(C)OC(=O)NC[C@]1(CO)COCCN1C(=O)CSCC(=O)N1CCOCC1 ZINC001167017015 1073325805 /nfs/dbraw/zinc/32/58/05/1073325805.db2.gz NIKXXYLTCKUJOE-IBGZPJMESA-N 0 0 447.554 -0.307 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@]1(CO)COCCN1C(=O)CSCC(=O)N1CCOCC1 ZINC001167017016 1073325958 /nfs/dbraw/zinc/32/59/58/1073325958.db2.gz NIKXXYLTCKUJOE-LJQANCHMSA-N 0 0 447.554 -0.307 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N2CCOC[C@@]2(CO)CNC(=O)OC(C)(C)C)C1=O ZINC001167024618 1073325871 /nfs/dbraw/zinc/32/58/71/1073325871.db2.gz PKMDEFFSZBTXSG-IBGZPJMESA-N 0 0 428.486 -0.225 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N2CCOC[C@]2(CO)CNC(=O)OC(C)(C)C)C1=O ZINC001167024619 1073325854 /nfs/dbraw/zinc/32/58/54/1073325854.db2.gz PKMDEFFSZBTXSG-LJQANCHMSA-N 0 0 428.486 -0.225 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001167053553 1070805096 /nfs/dbraw/zinc/80/50/96/1070805096.db2.gz VDDKJANFNVJDQU-ZDUSSCGKSA-N 0 0 431.536 -0.002 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCN(S(=O)(=O)C2CC2)CC1)N1C(=O)c2ccccc2C1=O ZINC001167053616 1070805223 /nfs/dbraw/zinc/80/52/23/1070805223.db2.gz WIBYPSJRDBECJW-INIZCTEOSA-N 0 0 448.501 -0.447 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCN(S(=O)(=O)C2CC2)CC1)N1C(=O)c2ccccc2C1=O ZINC001167053617 1070804856 /nfs/dbraw/zinc/80/48/56/1070804856.db2.gz WIBYPSJRDBECJW-MRXNPFEDSA-N 0 0 448.501 -0.447 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001167060837 1070809486 /nfs/dbraw/zinc/80/94/86/1070809486.db2.gz AOJJTHGKSCWTGT-CYBMUJFWSA-N 0 0 431.536 -0.404 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001167060838 1070809302 /nfs/dbraw/zinc/80/93/02/1070809302.db2.gz AOJJTHGKSCWTGT-ZDUSSCGKSA-N 0 0 431.536 -0.404 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001167061027 1070809369 /nfs/dbraw/zinc/80/93/69/1070809369.db2.gz CWBXWVAFBXYIST-CRAIPNDOSA-N 0 0 439.509 -0.034 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@@H]1C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001167061030 1070809838 /nfs/dbraw/zinc/80/98/38/1070809838.db2.gz CWBXWVAFBXYIST-MAUKXSAKSA-N 0 0 439.509 -0.034 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@H]1C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001167061032 1070809945 /nfs/dbraw/zinc/80/99/45/1070809945.db2.gz CWBXWVAFBXYIST-QAPCUYQASA-N 0 0 439.509 -0.034 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@H]1C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001167061033 1070810245 /nfs/dbraw/zinc/81/02/45/1070810245.db2.gz CWBXWVAFBXYIST-YJBOKZPZSA-N 0 0 439.509 -0.034 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)[C@H]1c1nccn1C ZINC001167061862 1070811085 /nfs/dbraw/zinc/81/10/85/1070811085.db2.gz KUALFOHOMYLCPS-HOTGVXAUSA-N 0 0 425.511 -0.655 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2N2CCO[C@H](C(N)=O)C2)CN1 ZINC001167074314 1070819262 /nfs/dbraw/zinc/81/92/62/1070819262.db2.gz PZOGBLAJJYZDLV-KCXAZCMYSA-N 0 0 431.449 -0.389 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc3cc(OC)ccc3nc2N2CCO[C@@H](C(N)=O)C2)CN1 ZINC001167074315 1070819360 /nfs/dbraw/zinc/81/93/60/1070819360.db2.gz PZOGBLAJJYZDLV-UHOFOFEASA-N 0 0 431.449 -0.389 20 0 IBADRN COC(=O)c1cnc(N(C)C2CCS(=O)(=O)CC2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001167104413 1070843243 /nfs/dbraw/zinc/84/32/43/1070843243.db2.gz XYMXAQWXFOWPQR-UHFFFAOYSA-N 0 0 447.535 -0.098 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)C[C@H]21 ZINC001167104613 1070842990 /nfs/dbraw/zinc/84/29/90/1070842990.db2.gz DBWLYPAXZOVCTK-KSIDHJATSA-N 0 0 440.493 -0.400 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)C[C@H]21 ZINC001167104614 1070844386 /nfs/dbraw/zinc/84/43/86/1070844386.db2.gz DBWLYPAXZOVCTK-PEZLKRJXSA-N 0 0 440.493 -0.400 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)C[C@H]21 ZINC001167104615 1070843967 /nfs/dbraw/zinc/84/39/67/1070843967.db2.gz DBWLYPAXZOVCTK-TVNOUSRMSA-N 0 0 440.493 -0.400 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)C[C@H]21 ZINC001167104616 1070844115 /nfs/dbraw/zinc/84/41/15/1070844115.db2.gz DBWLYPAXZOVCTK-XWJBARJFSA-N 0 0 440.493 -0.400 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3ccccc3S(=O)(=O)CCN(C)C)C[C@H]21 ZINC001167104715 1070844183 /nfs/dbraw/zinc/84/41/83/1070844183.db2.gz HHBJFLYPPCGCDF-UXLLHSPISA-N 0 0 430.548 -0.094 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CCO1 ZINC001167105198 1070844410 /nfs/dbraw/zinc/84/44/10/1070844410.db2.gz LRMHHVAIYVPLDC-GFCCVEGCSA-N 0 0 449.327 -0.116 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)CCO1 ZINC001167105205 1070844885 /nfs/dbraw/zinc/84/48/85/1070844885.db2.gz LRMHHVAIYVPLDC-LBPRGKRZSA-N 0 0 449.327 -0.116 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CCO1 ZINC001167105518 1070845158 /nfs/dbraw/zinc/84/51/58/1070845158.db2.gz PLJNRMDOVOCLIT-CYBMUJFWSA-N 0 0 444.510 -0.471 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CCO1 ZINC001167105523 1070844702 /nfs/dbraw/zinc/84/47/02/1070844702.db2.gz PLJNRMDOVOCLIT-ZDUSSCGKSA-N 0 0 444.510 -0.471 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CCO1 ZINC001167106093 1070845721 /nfs/dbraw/zinc/84/57/21/1070845721.db2.gz WSFKOZVNGKWJMC-CABCVRRESA-N 0 0 442.542 -0.416 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CCO1 ZINC001167106094 1070845360 /nfs/dbraw/zinc/84/53/60/1070845360.db2.gz WSFKOZVNGKWJMC-GJZGRUSLSA-N 0 0 442.542 -0.416 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CCO1 ZINC001167106095 1070845785 /nfs/dbraw/zinc/84/57/85/1070845785.db2.gz WSFKOZVNGKWJMC-HUUCEWRRSA-N 0 0 442.542 -0.416 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CCO1 ZINC001167106096 1070845663 /nfs/dbraw/zinc/84/56/63/1070845663.db2.gz WSFKOZVNGKWJMC-LSDHHAIUSA-N 0 0 442.542 -0.416 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001167106114 1070845527 /nfs/dbraw/zinc/84/55/27/1070845527.db2.gz XEWGTFVIYYZRGY-AWEZNQCLSA-N 0 0 426.495 -0.523 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001167106115 1070845996 /nfs/dbraw/zinc/84/59/96/1070845996.db2.gz XEWGTFVIYYZRGY-CQSZACIVSA-N 0 0 426.495 -0.523 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@H]3CN(C)C(=O)CN3C(=O)OC(C)(C)C)C[C@H]21 ZINC001167106296 1070846123 /nfs/dbraw/zinc/84/61/23/1070846123.db2.gz ZVHYYWIZGNQVRU-DGAVXFQQSA-N 0 0 431.511 -0.666 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@@H]3CN(C)C(=O)CN3C(=O)OC(C)(C)C)C[C@H]21 ZINC001167106297 1070846284 /nfs/dbraw/zinc/84/62/84/1070846284.db2.gz ZVHYYWIZGNQVRU-FQUUOJAGSA-N 0 0 431.511 -0.666 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@]2(COC)CN(C(=O)OC(C)(C)C)CCO2)CCO1 ZINC001167113905 1070845967 /nfs/dbraw/zinc/84/59/67/1070845967.db2.gz HEWYJTZYCDPCOS-AUUYWEPGSA-N 0 0 430.502 -0.205 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@]2(COC)CN(C(=O)OC(C)(C)C)CCO2)CCO1 ZINC001167113906 1070847195 /nfs/dbraw/zinc/84/71/95/1070847195.db2.gz HEWYJTZYCDPCOS-IFXJQAMLSA-N 0 0 430.502 -0.205 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@@]2(COC)CN(C(=O)OC(C)(C)C)CCO2)CCO1 ZINC001167113908 1070846782 /nfs/dbraw/zinc/84/67/82/1070846782.db2.gz HEWYJTZYCDPCOS-KUHUBIRLSA-N 0 0 430.502 -0.205 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@@]2(COC)CN(C(=O)OC(C)(C)C)CCO2)CCO1 ZINC001167113911 1070846580 /nfs/dbraw/zinc/84/65/80/1070846580.db2.gz HEWYJTZYCDPCOS-LIRRHRJNSA-N 0 0 430.502 -0.205 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)CCNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001167137312 1070849821 /nfs/dbraw/zinc/84/98/21/1070849821.db2.gz HPYAYUKFCCWGPR-UHFFFAOYSA-N 0 0 440.341 -0.123 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC(NS(C)(=O)=O)C1 ZINC001167137316 1070850062 /nfs/dbraw/zinc/85/00/62/1070850062.db2.gz HUCCEPNEDKMNKU-UHFFFAOYSA-N 0 0 433.508 -0.910 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC(NS(C)(=O)=O)C3)CC2)cc1 ZINC001167137881 1070850840 /nfs/dbraw/zinc/85/08/40/1070850840.db2.gz ONGWMRXKOJXGKC-UHFFFAOYSA-N 0 0 430.552 -0.939 20 0 IBADRN O=C(COC1CCN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)CC1)NC1CC1 ZINC001167143767 1070851561 /nfs/dbraw/zinc/85/15/61/1070851561.db2.gz VKPIEZRREHJJES-UHFFFAOYSA-N 0 0 447.540 -0.191 20 0 IBADRN CCNC(=O)CO[C@H]1CCCN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)C1 ZINC001167148816 1070852049 /nfs/dbraw/zinc/85/20/49/1070852049.db2.gz KITDYJIDDSZAKX-INIZCTEOSA-N 0 0 435.529 -0.334 20 0 IBADRN CCNC(=O)CO[C@@H]1CCCN(c2nc(N3CCOCC3)nc(N3CCOCC3)n2)C1 ZINC001167148817 1070852217 /nfs/dbraw/zinc/85/22/17/1070852217.db2.gz KITDYJIDDSZAKX-MRXNPFEDSA-N 0 0 435.529 -0.334 20 0 IBADRN COC(=O)[C@@H]1COCCC12CN(c1nc(N3CCOCC3)nc(N3CCOCC3)n1)C2 ZINC001167164659 1070854646 /nfs/dbraw/zinc/85/46/46/1070854646.db2.gz SJJLUYWFVQZNBC-HNNXBMFYSA-N 0 0 434.497 -0.439 20 0 IBADRN COC(=O)[C@H]1COCCC12CN(c1nc(N3CCOCC3)nc(N3CCOCC3)n1)C2 ZINC001167164661 1070855742 /nfs/dbraw/zinc/85/57/42/1070855742.db2.gz SJJLUYWFVQZNBC-OAHLLOKOSA-N 0 0 434.497 -0.439 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(c1ncccc1S(=O)(=O)N1CCOCC1)C2 ZINC001167196745 1070857897 /nfs/dbraw/zinc/85/78/97/1070857897.db2.gz ACUWYKYEDZQYJU-CYBMUJFWSA-N 0 0 445.519 -0.731 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(c1ncccc1S(=O)(=O)N1CCOCC1)C2 ZINC001167196749 1070858917 /nfs/dbraw/zinc/85/89/17/1070858917.db2.gz ACUWYKYEDZQYJU-ZDUSSCGKSA-N 0 0 445.519 -0.731 20 0 IBADRN CCOC(=O)c1cnc([S@@](C)=O)nc1N1CC2(C1)[C@@H](C(=O)OC)CCS2(=O)=O ZINC001167196978 1070858596 /nfs/dbraw/zinc/85/85/96/1070858596.db2.gz BZKYIPQNEUITIY-DQQVXTMASA-N 0 0 431.492 -0.443 20 0 IBADRN CCOC(=O)c1cnc([S@](C)=O)nc1N1CC2(C1)[C@@H](C(=O)OC)CCS2(=O)=O ZINC001167196979 1070858473 /nfs/dbraw/zinc/85/84/73/1070858473.db2.gz BZKYIPQNEUITIY-LUGWNYHVSA-N 0 0 431.492 -0.443 20 0 IBADRN CCOC(=O)c1cnc([S@](C)=O)nc1N1CC2(C1)[C@H](C(=O)OC)CCS2(=O)=O ZINC001167196980 1070858408 /nfs/dbraw/zinc/85/84/08/1070858408.db2.gz BZKYIPQNEUITIY-RNUGCUGFSA-N 0 0 431.492 -0.443 20 0 IBADRN CCOC(=O)c1cnc([S@@](C)=O)nc1N1CC2(C1)[C@H](C(=O)OC)CCS2(=O)=O ZINC001167196981 1070858575 /nfs/dbraw/zinc/85/85/75/1070858575.db2.gz BZKYIPQNEUITIY-YBOJIHHZSA-N 0 0 431.492 -0.443 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(c1ccc(S(=O)(=O)N3CCOCC3)cn1)C2 ZINC001167197513 1070858836 /nfs/dbraw/zinc/85/88/36/1070858836.db2.gz IYRGCJAKIDRTIO-AWEZNQCLSA-N 0 0 445.519 -0.731 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(c1ccc(S(=O)(=O)N3CCOCC3)cn1)C2 ZINC001167197514 1070858500 /nfs/dbraw/zinc/85/85/00/1070858500.db2.gz IYRGCJAKIDRTIO-CQSZACIVSA-N 0 0 445.519 -0.731 20 0 IBADRN CCOC(=O)c1cnc(N2CC3(C2)[C@@H](C(=O)OC)CCS3(=O)=O)nc1C(=O)OCC ZINC001167199621 1070858772 /nfs/dbraw/zinc/85/87/72/1070858772.db2.gz PQNSNIJKKFLRND-GFCCVEGCSA-N 0 0 441.462 -0.004 20 0 IBADRN CCOC(=O)c1cnc(N2CC3(C2)[C@H](C(=O)OC)CCS3(=O)=O)nc1C(=O)OCC ZINC001167199632 1070858554 /nfs/dbraw/zinc/85/85/54/1070858554.db2.gz PQNSNIJKKFLRND-LBPRGKRZSA-N 0 0 441.462 -0.004 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(c1nc3c(ncn3C)c(N3CCOCC3)n1)C2 ZINC001167199886 1070858529 /nfs/dbraw/zinc/85/85/29/1070858529.db2.gz SFWGENYBLGGIAY-GFCCVEGCSA-N 0 0 436.494 -0.634 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(c1nc3c(ncn3C)c(N3CCOCC3)n1)C2 ZINC001167199887 1070859190 /nfs/dbraw/zinc/85/91/90/1070859190.db2.gz SFWGENYBLGGIAY-LBPRGKRZSA-N 0 0 436.494 -0.634 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NC[C@]2(CO)COCC3(CCC3)N2C)c1 ZINC001167203663 1070859409 /nfs/dbraw/zinc/85/94/09/1070859409.db2.gz CFAFSXUSMXKPKU-FQEVSTJZSA-N 0 0 441.550 -0.043 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)c1 ZINC001167203664 1070859283 /nfs/dbraw/zinc/85/92/83/1070859283.db2.gz CFAFSXUSMXKPKU-HXUWFJFHSA-N 0 0 441.550 -0.043 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)CNC(=O)c1cnc2ccccc2c1O ZINC001167216480 1070860932 /nfs/dbraw/zinc/86/09/32/1070860932.db2.gz WZIYTDTXOXRUIX-JOCHJYFZSA-N 0 0 428.489 -0.010 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)CNC(=O)c1cnc2ccccc2c1O ZINC001167216481 1070861170 /nfs/dbraw/zinc/86/11/70/1070861170.db2.gz WZIYTDTXOXRUIX-QFIPXVFZSA-N 0 0 428.489 -0.010 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)c1=O ZINC001167216557 1070860486 /nfs/dbraw/zinc/86/04/86/1070860486.db2.gz YUFGUPZNTJLKFW-JOCHJYFZSA-N 0 0 430.505 -0.085 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)NC[C@]2(CO)COCC3(CCC3)N2C)c1=O ZINC001167216558 1070860865 /nfs/dbraw/zinc/86/08/65/1070860865.db2.gz YUFGUPZNTJLKFW-QFIPXVFZSA-N 0 0 430.505 -0.085 20 0 IBADRN CCOC(=O)[C@H]1NCCc2ncn(-c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)c21 ZINC001167220749 1070861141 /nfs/dbraw/zinc/86/11/41/1070861141.db2.gz IVZGKJQVDZOHAQ-INIZCTEOSA-N 0 0 433.490 -0.112 20 0 IBADRN CCOC(=O)[C@@H]1NCCc2ncn(-c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)c21 ZINC001167220752 1070860813 /nfs/dbraw/zinc/86/08/13/1070860813.db2.gz IVZGKJQVDZOHAQ-MRXNPFEDSA-N 0 0 433.490 -0.112 20 0 IBADRN Cn1ccnc1C(NC(=O)[C@@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)c1nccn1C ZINC001167235683 1070862227 /nfs/dbraw/zinc/86/22/27/1070862227.db2.gz VCNJZCKJRWVGEJ-IBGZPJMESA-N 0 0 429.481 -0.025 20 0 IBADRN Cn1ccnc1C(NC(=O)[C@](C)(O)CN1C(=O)NC2(CCCC2)C1=O)c1nccn1C ZINC001167235684 1070863197 /nfs/dbraw/zinc/86/31/97/1070863197.db2.gz VCNJZCKJRWVGEJ-LJQANCHMSA-N 0 0 429.481 -0.025 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@H]2CCC3(CN(C(=O)OC(C)(C)C)C3)O2)c1 ZINC001167241716 1070863315 /nfs/dbraw/zinc/86/33/15/1070863315.db2.gz HOHCWTVYMCFBIK-CYBMUJFWSA-N 0 0 443.526 -0.017 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@@H]2CCC3(CN(C(=O)OC(C)(C)C)C3)O2)c1 ZINC001167241717 1070863066 /nfs/dbraw/zinc/86/30/66/1070863066.db2.gz HOHCWTVYMCFBIK-ZDUSSCGKSA-N 0 0 443.526 -0.017 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)NN1CCS(=O)(=O)CC1 ZINC001167259643 1070863870 /nfs/dbraw/zinc/86/38/70/1070863870.db2.gz DEDKJHURXAWQRG-UHFFFAOYSA-N 0 0 440.341 -0.121 20 0 IBADRN CN(CC(=O)NN1CCS(=O)(=O)CC1)S(=O)(=O)c1ccc(Br)cc1 ZINC001167259649 1070864109 /nfs/dbraw/zinc/86/41/09/1070864109.db2.gz DIBSWYBGCLJLHT-UHFFFAOYSA-N 0 0 440.341 -0.169 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)NN1CCS(=O)(=O)CC1 ZINC001167259988 1070864286 /nfs/dbraw/zinc/86/42/86/1070864286.db2.gz KHIRKNGXNMUFHN-UHFFFAOYSA-N 0 0 440.341 -0.121 20 0 IBADRN COC(=O)[C@H](CCC(=O)NN1CCS(=O)(=O)CC1)NC(=O)OCc1ccccc1 ZINC001167260407 1070863605 /nfs/dbraw/zinc/86/36/05/1070863605.db2.gz UUWYSBCUNCQGIK-HNNXBMFYSA-N 0 0 427.479 -0.004 20 0 IBADRN COc1ccc(C(=O)NN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001167260490 1070864023 /nfs/dbraw/zinc/86/40/23/1070864023.db2.gz ZRIYMZRRXAPNRV-UHFFFAOYSA-N 0 0 433.508 -0.909 20 0 IBADRN Cn1nnc(NC(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC001167301093 1070888866 /nfs/dbraw/zinc/88/88/66/1070888866.db2.gz RSQDNEMQEDSJFH-UHFFFAOYSA-N 0 0 425.446 -0.399 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CNS(=O)(=O)c3ccc(F)cc3)CC2)CCOC1 ZINC001167317796 1070894430 /nfs/dbraw/zinc/89/44/30/1070894430.db2.gz JUABMLDFEDOKMN-GOSISDBHSA-N 0 0 429.470 -0.420 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CNS(=O)(=O)c3ccc(F)cc3)CC2)CCOC1 ZINC001167317797 1070894524 /nfs/dbraw/zinc/89/45/24/1070894524.db2.gz JUABMLDFEDOKMN-SFHVURJKSA-N 0 0 429.470 -0.420 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2)CCOC1 ZINC001167320510 1070897432 /nfs/dbraw/zinc/89/74/32/1070897432.db2.gz CHVBDTKIZGZZLV-IBGZPJMESA-N 0 0 428.486 -0.896 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC2)CCOC1 ZINC001167320511 1070898087 /nfs/dbraw/zinc/89/80/87/1070898087.db2.gz CHVBDTKIZGZZLV-LJQANCHMSA-N 0 0 428.486 -0.896 20 0 IBADRN CCS(=O)(=O)c1c[nH]c(=O)c(C(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)c1 ZINC001167320537 1070898302 /nfs/dbraw/zinc/89/83/02/1070898302.db2.gz DIZQRVLILAHYKI-GOSISDBHSA-N 0 0 427.479 -0.329 20 0 IBADRN CCS(=O)(=O)c1c[nH]c(=O)c(C(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)c1 ZINC001167320538 1070898170 /nfs/dbraw/zinc/89/81/70/1070898170.db2.gz DIZQRVLILAHYKI-SFHVURJKSA-N 0 0 427.479 -0.329 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)C(C)(C)NC(=O)C(C)(C)NC(C)=O)CC2)CCOC1 ZINC001167320580 1070898377 /nfs/dbraw/zinc/89/83/77/1070898377.db2.gz FIQVZLQYDVFLEK-FQEVSTJZSA-N 0 0 426.514 -0.728 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)C(C)(C)NC(=O)C(C)(C)NC(C)=O)CC2)CCOC1 ZINC001167320581 1070898113 /nfs/dbraw/zinc/89/81/13/1070898113.db2.gz FIQVZLQYDVFLEK-HXUWFJFHSA-N 0 0 426.514 -0.728 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001167325981 1070902271 /nfs/dbraw/zinc/90/22/71/1070902271.db2.gz VEBIISRABYLYEU-UHFFFAOYSA-N 0 0 433.531 -0.648 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)CCNC(=O)CO)CC2)CC1 ZINC001167326360 1070902077 /nfs/dbraw/zinc/90/20/77/1070902077.db2.gz XZXARCBPGXLVLO-UHFFFAOYSA-N 0 0 427.502 -0.698 20 0 IBADRN CN(C[C@](C)(O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(=O)OC(C)(C)C ZINC001167346862 1070909883 /nfs/dbraw/zinc/90/98/83/1070909883.db2.gz HKVTZFHNWSRUFE-FQEVSTJZSA-N 0 0 426.514 -0.103 20 0 IBADRN CN(C[C@@](C)(O)CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(=O)OC(C)(C)C ZINC001167347071 1070909837 /nfs/dbraw/zinc/90/98/37/1070909837.db2.gz HKVTZFHNWSRUFE-HXUWFJFHSA-N 0 0 426.514 -0.103 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCc2sc(S(N)(=O)=O)cc2C1 ZINC001167353827 1070912797 /nfs/dbraw/zinc/91/27/97/1070912797.db2.gz ZRXQJLXGQSZEBW-UHFFFAOYSA-N 0 0 425.554 -0.375 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCC(=O)N(C)OCC(F)(F)F ZINC001167361392 1070915596 /nfs/dbraw/zinc/91/55/96/1070915596.db2.gz LWUZTRTZRLIGBI-SECBINFHSA-N 0 0 429.421 -0.228 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCC(=O)N(C)OCC(F)(F)F ZINC001167361393 1070915495 /nfs/dbraw/zinc/91/54/95/1070915495.db2.gz LWUZTRTZRLIGBI-VIFPVBQESA-N 0 0 429.421 -0.228 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCO[C@@H](Cn3ccnn3)C2)C1=O ZINC001167397490 1070929677 /nfs/dbraw/zinc/92/96/77/1070929677.db2.gz OLNXBMZKEISCIF-JLTOFOAXSA-N 0 0 428.449 -0.019 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCO[C@H](Cn3ccnn3)C2)C1=O ZINC001167397505 1070929856 /nfs/dbraw/zinc/92/98/56/1070929856.db2.gz OLNXBMZKEISCIF-VBKZILBWSA-N 0 0 428.449 -0.019 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCO[C@@H](Cn3ccnn3)C2)C1=O ZINC001167397506 1070929968 /nfs/dbraw/zinc/92/99/68/1070929968.db2.gz OLNXBMZKEISCIF-VLIAUNLRSA-N 0 0 428.449 -0.019 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCO[C@H](Cn3ccnn3)C2)C1=O ZINC001167397507 1070929953 /nfs/dbraw/zinc/92/99/53/1070929953.db2.gz OLNXBMZKEISCIF-XOBRGWDASA-N 0 0 428.449 -0.019 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@H](O)C[C@@]3(C)CO)c2)CC1 ZINC001167397680 1070930824 /nfs/dbraw/zinc/93/08/24/1070930824.db2.gz RHYURLFOEQMTBD-APWZRJJASA-N 0 0 425.507 -0.503 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H](O)C[C@@]3(C)CO)c2)CC1 ZINC001167397681 1070930688 /nfs/dbraw/zinc/93/06/88/1070930688.db2.gz RHYURLFOEQMTBD-LPHOPBHVSA-N 0 0 425.507 -0.503 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H](O)C[C@]3(C)CO)c2)CC1 ZINC001167397682 1070930477 /nfs/dbraw/zinc/93/04/77/1070930477.db2.gz RHYURLFOEQMTBD-QFBILLFUSA-N 0 0 425.507 -0.503 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@H](O)C[C@]3(C)CO)c2)CC1 ZINC001167397683 1070930669 /nfs/dbraw/zinc/93/06/69/1070930669.db2.gz RHYURLFOEQMTBD-VQIMIIECSA-N 0 0 425.507 -0.503 20 0 IBADRN COc1ccc(C(=O)NN2C(=O)[C@@H](C)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001167401003 1070931180 /nfs/dbraw/zinc/93/11/80/1070931180.db2.gz HNQBEKJWOFYKFW-LLVKDONJSA-N 0 0 426.451 -0.357 20 0 IBADRN COc1ccc(C(=O)NN2C(=O)[C@H](C)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001167401004 1070931441 /nfs/dbraw/zinc/93/14/41/1070931441.db2.gz HNQBEKJWOFYKFW-NSHDSACASA-N 0 0 426.451 -0.357 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCS(=O)(=O)c1ccc(C)cc1 ZINC001167405050 1070932275 /nfs/dbraw/zinc/93/22/75/1070932275.db2.gz MWQZGDXXZICPRK-ZFWWWQNUSA-N 0 0 437.474 -0.147 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCCNC(=O)c1ccc(OC)cc1 ZINC001167405052 1070931921 /nfs/dbraw/zinc/93/19/21/1070931921.db2.gz NAUBSTGGNMTOIE-HOCLYGCPSA-N 0 0 446.460 -0.101 20 0 IBADRN COc1ccc(CC(=O)NN2C(=O)[C@@H](C)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001167405136 1070932093 /nfs/dbraw/zinc/93/20/93/1070932093.db2.gz PJWXQTVLVVGFMS-GFCCVEGCSA-N 0 0 440.478 -0.428 20 0 IBADRN COc1ccc(CC(=O)NN2C(=O)[C@H](C)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001167405137 1070932015 /nfs/dbraw/zinc/93/20/15/1070932015.db2.gz PJWXQTVLVVGFMS-LBPRGKRZSA-N 0 0 440.478 -0.428 20 0 IBADRN C[C@@H]1C(=O)N(NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C(=O)N1C ZINC001167405340 1070932714 /nfs/dbraw/zinc/93/27/14/1070932714.db2.gz RAHJQLNWAUMVSM-CDMKHQONSA-N 0 0 430.870 -0.222 20 0 IBADRN C[C@H]1C(=O)N(NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C(=O)N1C ZINC001167405341 1070932817 /nfs/dbraw/zinc/93/28/17/1070932817.db2.gz RAHJQLNWAUMVSM-GAFUQQFSSA-N 0 0 430.870 -0.222 20 0 IBADRN C[C@H]1C(=O)N(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C(=O)N1C ZINC001167405342 1070932806 /nfs/dbraw/zinc/93/28/06/1070932806.db2.gz RAHJQLNWAUMVSM-NDMJEZRESA-N 0 0 430.870 -0.222 20 0 IBADRN C[C@@H]1C(=O)N(NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C(=O)N1C ZINC001167405343 1070932756 /nfs/dbraw/zinc/93/27/56/1070932756.db2.gz RAHJQLNWAUMVSM-XWIASGKRSA-N 0 0 430.870 -0.222 20 0 IBADRN C[C@@H]1C(=O)N(NC(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]23)C(=O)N1C ZINC001167405467 1070932885 /nfs/dbraw/zinc/93/28/85/1070932885.db2.gz RPBGPNUWWMXHLO-FDYHWXHSSA-N 0 0 444.510 -0.030 20 0 IBADRN C[C@@H]1C(=O)N(NC(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]23)C(=O)N1C ZINC001167405468 1070932750 /nfs/dbraw/zinc/93/27/50/1070932750.db2.gz RPBGPNUWWMXHLO-FVCCEPFGSA-N 0 0 444.510 -0.030 20 0 IBADRN C[C@@H]1C(=O)N(NC(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@@H]32)C(=O)N1C ZINC001167405469 1070932901 /nfs/dbraw/zinc/93/29/01/1070932901.db2.gz RPBGPNUWWMXHLO-LPWJVIDDSA-N 0 0 444.510 -0.030 20 0 IBADRN C[C@@H]1C(=O)N(NC(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C(=O)N1C ZINC001167405470 1070932702 /nfs/dbraw/zinc/93/27/02/1070932702.db2.gz RPBGPNUWWMXHLO-NDBYEHHHSA-N 0 0 444.510 -0.030 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)C1CCN(C(=O)c2cccnc2)CC1 ZINC001167406263 1070933503 /nfs/dbraw/zinc/93/35/03/1070933503.db2.gz ZYNYDAFAWMTMCD-HOTGVXAUSA-N 0 0 443.460 -0.372 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)Cn1ncc(Br)c1C ZINC001167408965 1070934231 /nfs/dbraw/zinc/93/42/31/1070934231.db2.gz BYJHKYDZMVXXHD-ONGXEEELSA-N 0 0 428.243 -0.352 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@@H](C)N1C(=O)c2ccccc2C1=O ZINC001167409163 1070934149 /nfs/dbraw/zinc/93/41/49/1070934149.db2.gz DLUUSQKRJOGDGN-SUNKGSAMSA-N 0 0 428.401 -0.635 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)C=CC(=O)NCc1ccc(OC)cc1 ZINC001167409332 1070934210 /nfs/dbraw/zinc/93/42/10/1070934210.db2.gz GCRBLLWDENPLGV-BDSCBQIZSA-N 0 0 444.444 -0.438 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)C=CC(=O)NCc1ccc(OC)cc1 ZINC001167409333 1070934694 /nfs/dbraw/zinc/93/46/94/1070934694.db2.gz GCRBLLWDENPLGV-MNJDHSKXSA-N 0 0 444.444 -0.438 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(OC)c(N2CCNC2=O)c1 ZINC001167409379 1070934716 /nfs/dbraw/zinc/93/47/16/1070934716.db2.gz HFOPKJCHXXNTLU-JSGCOSHPSA-N 0 0 445.432 -0.467 20 0 IBADRN CCS(=O)(=O)c1ccccc1CC(=O)N1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)OC ZINC001167409530 1070934823 /nfs/dbraw/zinc/93/48/23/1070934823.db2.gz IGAYNKCYRBTVNE-KBPBESRZSA-N 0 0 437.474 -0.283 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(Br)[nH]c1=O ZINC001167409979 1070934755 /nfs/dbraw/zinc/93/47/55/1070934755.db2.gz ODSXKGCCPJCLHY-CBAPKCEASA-N 0 0 427.211 -0.142 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001167410384 1070934745 /nfs/dbraw/zinc/93/47/45/1070934745.db2.gz XNLTZOFGOOQTGJ-ZFWWWQNUSA-N 0 0 432.433 -0.952 20 0 IBADRN COC1(OC)CCOC[C@@H]1NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC001167436299 1070949724 /nfs/dbraw/zinc/94/97/24/1070949724.db2.gz JKUWVGVONGDYEI-INIZCTEOSA-N 0 0 429.495 -0.322 20 0 IBADRN COC1(OC)CCOC[C@H]1NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC001167436300 1070949771 /nfs/dbraw/zinc/94/97/71/1070949771.db2.gz JKUWVGVONGDYEI-MRXNPFEDSA-N 0 0 429.495 -0.322 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H]3COCCC3(OC)OC)cc2S1(=O)=O ZINC001167436474 1070949673 /nfs/dbraw/zinc/94/96/73/1070949673.db2.gz OMHKHWNDASOXCM-HNNXBMFYSA-N 0 0 428.463 -0.015 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H]3COCCC3(OC)OC)cc2S1(=O)=O ZINC001167436475 1070949717 /nfs/dbraw/zinc/94/97/17/1070949717.db2.gz OMHKHWNDASOXCM-OAHLLOKOSA-N 0 0 428.463 -0.015 20 0 IBADRN COC1(OC)CCOC[C@@H]1NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001167439692 1070956148 /nfs/dbraw/zinc/95/61/48/1070956148.db2.gz DPDTXLSAVINHJN-KBMXLJTQSA-N 0 0 432.470 -0.156 20 0 IBADRN COC1(OC)CCOC[C@H]1NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001167439693 1070956034 /nfs/dbraw/zinc/95/60/34/1070956034.db2.gz DPDTXLSAVINHJN-VNQPRFMTSA-N 0 0 432.470 -0.156 20 0 IBADRN COC(=O)CN(CCC(=O)Nc1cccc(S(N)(=O)=O)c1)CCN1CCOCC1 ZINC001167446870 1070961821 /nfs/dbraw/zinc/96/18/21/1070961821.db2.gz FDRKJDHJXQZIRJ-UHFFFAOYSA-N 0 0 428.511 -0.530 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC001167451356 1070966176 /nfs/dbraw/zinc/96/61/76/1070966176.db2.gz IFWZYTKKRHQRPF-INIZCTEOSA-N 0 0 448.549 -0.312 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC001167451357 1070966121 /nfs/dbraw/zinc/96/61/21/1070966121.db2.gz IFWZYTKKRHQRPF-MRXNPFEDSA-N 0 0 448.549 -0.312 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)CC(=O)NCCCN(C)S(C)(=O)=O ZINC001167468530 1070982264 /nfs/dbraw/zinc/98/22/64/1070982264.db2.gz RVSXSPBWJRMGNL-UHFFFAOYSA-N 0 0 449.618 -0.131 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCCN1CCC2(C1)OCCO2 ZINC001167470328 1070983236 /nfs/dbraw/zinc/98/32/36/1070983236.db2.gz OQQNYDZDNCOVBP-UHFFFAOYSA-N 0 0 441.506 -0.309 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCN2CCC3(C2)OCCO3)s1 ZINC001167470359 1070983201 /nfs/dbraw/zinc/98/32/01/1070983201.db2.gz PRUZLRLLPOGTFX-UHFFFAOYSA-N 0 0 446.551 -0.773 20 0 IBADRN O=C(NCCN1CCC2(C1)OCCO2)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001167470599 1070982899 /nfs/dbraw/zinc/98/28/99/1070982899.db2.gz VXJOJTHKCCQZKL-UHFFFAOYSA-N 0 0 430.552 -0.069 20 0 IBADRN O=C(NCCN1CCC2(C1)OCCO2)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001167473621 1070986184 /nfs/dbraw/zinc/98/61/84/1070986184.db2.gz NXEQVZNUHZIJBN-HNNXBMFYSA-N 0 0 433.914 -0.456 20 0 IBADRN O=C(NCCN1CCC2(C1)OCCO2)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001167473623 1070986335 /nfs/dbraw/zinc/98/63/35/1070986335.db2.gz NXEQVZNUHZIJBN-OAHLLOKOSA-N 0 0 433.914 -0.456 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)c3nncn3C)c2)CC1 ZINC001167477966 1070990855 /nfs/dbraw/zinc/99/08/55/1070990855.db2.gz VXLSDNSEWGNHQW-INIZCTEOSA-N 0 0 436.494 -0.869 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)c3nncn3C)c2)CC1 ZINC001167477969 1070990818 /nfs/dbraw/zinc/99/08/18/1070990818.db2.gz VXLSDNSEWGNHQW-MRXNPFEDSA-N 0 0 436.494 -0.869 20 0 IBADRN O=C(CCn1cc[nH]c(=O)c1=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001167487972 1071004840 /nfs/dbraw/zinc/00/48/40/1071004840.db2.gz JPVLGRADEQCGMZ-UHFFFAOYSA-N 0 0 435.506 -0.951 20 0 IBADRN CC(C)(CCN1CCOCC1)NC(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O ZINC001167521110 1071063072 /nfs/dbraw/zinc/06/30/72/1071063072.db2.gz KJPNREWFNUAJPX-UHFFFAOYSA-N 0 0 426.495 -0.141 20 0 IBADRN CCS(=O)(=O)C1(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001167563476 1071083719 /nfs/dbraw/zinc/08/37/19/1071083719.db2.gz TVQKKKJFDMIYGB-UHFFFAOYSA-N 0 0 429.520 -0.496 20 0 IBADRN CCOc1ccc(N2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)nn1 ZINC001167634449 1071131444 /nfs/dbraw/zinc/13/14/44/1071131444.db2.gz VMNQDNPABVCUDX-KRWDZBQOSA-N 0 0 432.525 -0.295 20 0 IBADRN CCOc1ccc(N2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)nn1 ZINC001167634462 1071131405 /nfs/dbraw/zinc/13/14/05/1071131405.db2.gz VMNQDNPABVCUDX-QGZVFWFLSA-N 0 0 432.525 -0.295 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001167669077 1071169547 /nfs/dbraw/zinc/16/95/47/1071169547.db2.gz TYRMSZWIPQQWSI-INIZCTEOSA-N 0 0 429.587 -0.845 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001167669081 1071169215 /nfs/dbraw/zinc/16/92/15/1071169215.db2.gz TYRMSZWIPQQWSI-MRXNPFEDSA-N 0 0 429.587 -0.845 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC([C@@H](O)c2ccnn2C)CC1 ZINC001167671034 1071197495 /nfs/dbraw/zinc/19/74/95/1071197495.db2.gz KGXIVDKFXUWTED-GOSISDBHSA-N 0 0 429.543 -0.474 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC([C@H](O)c2ccnn2C)CC1 ZINC001167671037 1071198471 /nfs/dbraw/zinc/19/84/71/1071198471.db2.gz KGXIVDKFXUWTED-SFHVURJKSA-N 0 0 429.543 -0.474 20 0 IBADRN O=C(NCCN1C[C@@H]2CCCCN2C1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001167688695 1071275234 /nfs/dbraw/zinc/27/52/34/1071275234.db2.gz DITSIDWPUUHAHS-INIZCTEOSA-N 0 0 449.533 -0.173 20 0 IBADRN O=C(NCCN1C[C@H]2CCCCN2C1=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001167688696 1071275199 /nfs/dbraw/zinc/27/51/99/1071275199.db2.gz DITSIDWPUUHAHS-MRXNPFEDSA-N 0 0 449.533 -0.173 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3CCC4(COC4)O3)CC2)cc1 ZINC001167728334 1071346632 /nfs/dbraw/zinc/34/66/32/1071346632.db2.gz MATCZAUHXWLWSY-AWEZNQCLSA-N 0 0 431.536 -0.412 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@H]3CCC4(COC4)O3)CC2)cc1 ZINC001167728336 1071346669 /nfs/dbraw/zinc/34/66/69/1071346669.db2.gz MATCZAUHXWLWSY-CQSZACIVSA-N 0 0 431.536 -0.412 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCN(c2nccnn2)CC1 ZINC001167738835 1071354752 /nfs/dbraw/zinc/35/47/52/1071354752.db2.gz WFOXJPXNRULYJZ-ZBFHGGJFSA-N 0 0 436.469 -0.517 20 0 IBADRN COC(=O)c1ccc2c(n1)nc(Nc1cc(=O)n(C)c(=O)n1C)nc2N1CCOCC1 ZINC001167770512 1071412702 /nfs/dbraw/zinc/41/27/02/1071412702.db2.gz FOWBBZYPBFGTCD-UHFFFAOYSA-N 0 0 427.421 -0.211 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C[C@@H](O)C[C@]3(O)CCOC3)CC2)cc1 ZINC001167772823 1071414923 /nfs/dbraw/zinc/41/49/23/1071414923.db2.gz YBIATPIXJNCAGC-AZUAARDMSA-N 0 0 427.567 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C[C@@H](O)C[C@@]3(O)CCOC3)CC2)cc1 ZINC001167772824 1071414863 /nfs/dbraw/zinc/41/48/63/1071414863.db2.gz YBIATPIXJNCAGC-ICSRJNTNSA-N 0 0 427.567 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C[C@H](O)C[C@@]3(O)CCOC3)CC2)cc1 ZINC001167772825 1071414782 /nfs/dbraw/zinc/41/47/82/1071414782.db2.gz YBIATPIXJNCAGC-QUCCMNQESA-N 0 0 427.567 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C[C@H](O)C[C@]3(O)CCOC3)CC2)cc1 ZINC001167772826 1071414771 /nfs/dbraw/zinc/41/47/71/1071414771.db2.gz YBIATPIXJNCAGC-UYAOXDASSA-N 0 0 427.567 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(CC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC001167772883 1071414734 /nfs/dbraw/zinc/41/47/34/1071414734.db2.gz ZEVSPIBBPIVUME-UHFFFAOYSA-N 0 0 430.596 -0.218 20 0 IBADRN Nc1nc(Br)ccc1CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001167778205 1071421618 /nfs/dbraw/zinc/42/16/18/1071421618.db2.gz BRHGNUBQUWJSBA-CYBMUJFWSA-N 0 0 446.371 -0.153 20 0 IBADRN Nc1nc(Br)ccc1CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001167778206 1071421552 /nfs/dbraw/zinc/42/15/52/1071421552.db2.gz BRHGNUBQUWJSBA-ZDUSSCGKSA-N 0 0 446.371 -0.153 20 0 IBADRN O=C(CN1CCN(Cc2nnc(C(F)(F)F)s2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001167779805 1071423185 /nfs/dbraw/zinc/42/31/85/1071423185.db2.gz ZIRHWUZSQYWQRE-JTQLQIEISA-N 0 0 427.474 -0.022 20 0 IBADRN O=C(CN1CCN(Cc2nnc(C(F)(F)F)s2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001167779807 1071423395 /nfs/dbraw/zinc/42/33/95/1071423395.db2.gz ZIRHWUZSQYWQRE-SNVBAGLBSA-N 0 0 427.474 -0.022 20 0 IBADRN CS(=O)(=O)c1ccc([C@@H]2CN(C(=O)C3CCN(S(N)(=O)=O)CC3)CCO2)cc1 ZINC001167798483 1071441481 /nfs/dbraw/zinc/44/14/81/1071441481.db2.gz AOWVBOMVGOFDAF-INIZCTEOSA-N 0 0 431.536 -0.095 20 0 IBADRN CS(=O)(=O)c1ccc([C@H]2CN(C(=O)C3CCN(S(N)(=O)=O)CC3)CCO2)cc1 ZINC001167798484 1071441511 /nfs/dbraw/zinc/44/15/11/1071441511.db2.gz AOWVBOMVGOFDAF-MRXNPFEDSA-N 0 0 431.536 -0.095 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCO[C@H](c3ccc(S(C)(=O)=O)cc3)C2)cn1 ZINC001167800107 1071441531 /nfs/dbraw/zinc/44/15/31/1071441531.db2.gz SSOQTQJPUPXKHO-INIZCTEOSA-N 0 0 442.519 -0.298 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCO[C@@H](c3ccc(S(C)(=O)=O)cc3)C2)cn1 ZINC001167800108 1071442312 /nfs/dbraw/zinc/44/23/12/1071442312.db2.gz SSOQTQJPUPXKHO-MRXNPFEDSA-N 0 0 442.519 -0.298 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(N2CCOC2=O)CC1 ZINC001167825529 1071452851 /nfs/dbraw/zinc/45/28/51/1071452851.db2.gz ZXRDOJFSDWYAPY-UHFFFAOYSA-N 0 0 436.490 -0.136 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001167843567 1071464486 /nfs/dbraw/zinc/46/44/86/1071464486.db2.gz JUDSBWVMFIBQIZ-GOEBONIOSA-N 0 0 445.519 -0.864 20 0 IBADRN CS(C)(=O)=NC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001167845339 1071464994 /nfs/dbraw/zinc/46/49/94/1071464994.db2.gz UMYSHRGDBOTAAE-UHFFFAOYSA-N 0 0 430.508 -0.422 20 0 IBADRN C[C@H]1NC(=O)N(CCN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1=O ZINC001167845443 1071465022 /nfs/dbraw/zinc/46/50/22/1071465022.db2.gz VCKPYWPUWMAJTN-GFCCVEGCSA-N 0 0 437.478 -0.736 20 0 IBADRN C[C@@H]1NC(=O)N(CCN2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1=O ZINC001167845450 1071465137 /nfs/dbraw/zinc/46/51/37/1071465137.db2.gz VCKPYWPUWMAJTN-LBPRGKRZSA-N 0 0 437.478 -0.736 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1)Nc1cnccn1 ZINC001167846239 1071465121 /nfs/dbraw/zinc/46/51/21/1071465121.db2.gz ZHZYGRYSHGUDKX-UHFFFAOYSA-N 0 0 432.462 -0.248 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NCc3ccc(F)c(S(N)(=O)=O)c3)CC2=O)cn1 ZINC001167855840 1071471100 /nfs/dbraw/zinc/47/11/00/1071471100.db2.gz ZHLFVNXJERYNNB-CYBMUJFWSA-N 0 0 439.469 -0.015 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)NCc3ccc(F)c(S(N)(=O)=O)c3)CC2=O)cn1 ZINC001167855845 1071471157 /nfs/dbraw/zinc/47/11/57/1071471157.db2.gz ZHLFVNXJERYNNB-ZDUSSCGKSA-N 0 0 439.469 -0.015 20 0 IBADRN O=C(NCCNc1ccc(F)c(S(=O)(=O)NCc2cn[nH]n2)c1)N1CCOCC1 ZINC001167895318 1071483042 /nfs/dbraw/zinc/48/30/42/1071483042.db2.gz JGINTLWBVXYSJV-UHFFFAOYSA-N 0 0 427.462 -0.124 20 0 IBADRN Cn1nc(NC[C@H]2CN(c3ccc(N4CCOCC4)c(F)c3)C(=O)O2)c(=O)n(C)c1=O ZINC001167908199 1071534458 /nfs/dbraw/zinc/53/44/58/1071534458.db2.gz WOGNPJOUFBMEGD-ZDUSSCGKSA-N 0 0 434.428 -0.108 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Br)C(=O)N[C@H]1CCNC1=O ZINC001167925357 1071594097 /nfs/dbraw/zinc/59/40/97/1071594097.db2.gz PMNONDGYMFRXSQ-QWHCGFSZSA-N 0 0 446.323 -0.013 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Br)C(=O)N[C@H]1CCNC1=O ZINC001167925358 1071594045 /nfs/dbraw/zinc/59/40/45/1071594045.db2.gz PMNONDGYMFRXSQ-STQMWFEESA-N 0 0 446.323 -0.013 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(CC(=O)N=S(C)(C)=O)C2)CC1 ZINC001167950342 1071722264 /nfs/dbraw/zinc/72/22/64/1071722264.db2.gz UGAKXTDLNPRWOU-UHFFFAOYSA-N 0 0 438.572 -0.193 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@@H](CO)[C@H](CO)C3)cc2)CC1 ZINC001167952143 1071739536 /nfs/dbraw/zinc/73/95/36/1071739536.db2.gz ISSAXFAXHYWVLP-IRXDYDNUSA-N 0 0 425.507 -0.788 20 0 IBADRN O=C(c1ccc(I)cc1-n1cnnn1)N1C[C@@H](CO)[C@H](CO)C1 ZINC001167959547 1071877303 /nfs/dbraw/zinc/87/73/03/1071877303.db2.gz YAFJQDYKVUCLFG-UWVGGRQHSA-N 0 0 429.218 -0.060 20 0 IBADRN C[C@@H]1CN(CCN2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)CCO1 ZINC001167996301 1072285306 /nfs/dbraw/zinc/28/53/06/1072285306.db2.gz IDXCBVFJPSHDKO-GOSISDBHSA-N 0 0 439.582 -0.055 20 0 IBADRN C[C@H]1CN(CCN2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)CCO1 ZINC001167996302 1072285090 /nfs/dbraw/zinc/28/50/90/1072285090.db2.gz IDXCBVFJPSHDKO-SFHVURJKSA-N 0 0 439.582 -0.055 20 0 IBADRN C[C@@H](CN1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1)S(C)(=O)=O ZINC001167996448 1072285927 /nfs/dbraw/zinc/28/59/27/1072285927.db2.gz KRJPWODXTHJROI-HNNXBMFYSA-N 0 0 432.568 -0.342 20 0 IBADRN C[C@H](CN1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1)S(C)(=O)=O ZINC001167996451 1072285864 /nfs/dbraw/zinc/28/58/64/1072285864.db2.gz KRJPWODXTHJROI-OAHLLOKOSA-N 0 0 432.568 -0.342 20 0 IBADRN CN(C)C(=O)OCCN1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001167996880 1072286368 /nfs/dbraw/zinc/28/63/68/1072286368.db2.gz UDXIDKQBUBBADF-UHFFFAOYSA-N 0 0 427.527 -0.077 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C[C@@H]1NC(=O)C(F)(F)F ZINC001168015031 1072465482 /nfs/dbraw/zinc/46/54/82/1072465482.db2.gz ACFTYDISWNDFSL-LPWJVIDDSA-N 0 0 439.456 -0.063 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C[C@@H]1NC(=O)C(F)(F)F ZINC001168015032 1072465605 /nfs/dbraw/zinc/46/56/05/1072465605.db2.gz ACFTYDISWNDFSL-NDBYEHHHSA-N 0 0 439.456 -0.063 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C[C@@H]1NC(=O)C(F)(F)F ZINC001168015033 1072465661 /nfs/dbraw/zinc/46/56/61/1072465661.db2.gz ACFTYDISWNDFSL-VOAKCMCISA-N 0 0 439.456 -0.063 20 0 IBADRN C[C@@H]1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C[C@@H]1NC(=O)C(F)(F)F ZINC001168015035 1072464397 /nfs/dbraw/zinc/46/43/97/1072464397.db2.gz ACFTYDISWNDFSL-XQHKEYJVSA-N 0 0 439.456 -0.063 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)c2cccnc2N[C@@H]2C[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001168042103 1072548138 /nfs/dbraw/zinc/54/81/38/1072548138.db2.gz LRBIYHLWPGELKD-SRABZTEZSA-N 0 0 443.522 -0.440 20 0 IBADRN CCOC(=O)c1c(C)n[nH]c1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001168043557 1072549381 /nfs/dbraw/zinc/54/93/81/1072549381.db2.gz MKLLWVBBKDLQKU-CYBMUJFWSA-N 0 0 434.540 -0.471 20 0 IBADRN CCOC(=O)c1c(C)n[nH]c1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001168043567 1072550015 /nfs/dbraw/zinc/55/00/15/1072550015.db2.gz MKLLWVBBKDLQKU-ZDUSSCGKSA-N 0 0 434.540 -0.471 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC001168043635 1072549898 /nfs/dbraw/zinc/54/98/98/1072549898.db2.gz NCZNSCGDHJBFBP-NVXWUHKLSA-N 0 0 429.564 -0.002 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC001168043643 1072549980 /nfs/dbraw/zinc/54/99/80/1072549980.db2.gz NCZNSCGDHJBFBP-WBVHZDCISA-N 0 0 429.564 -0.002 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)CC[C@@H](O)c2ccccc2)CC1 ZINC001168043744 1072550008 /nfs/dbraw/zinc/55/00/08/1072550008.db2.gz NJUKBVLWRDLBIX-CEXWTWQISA-N 0 0 446.591 -0.004 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)CC[C@H](O)c2ccccc2)CC1 ZINC001168043753 1072549995 /nfs/dbraw/zinc/54/99/95/1072549995.db2.gz NJUKBVLWRDLBIX-FHWLQOOXSA-N 0 0 446.591 -0.004 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)CC[C@@H](O)c2ccccc2)CC1 ZINC001168043760 1072549912 /nfs/dbraw/zinc/54/99/12/1072549912.db2.gz NJUKBVLWRDLBIX-GBESFXJTSA-N 0 0 446.591 -0.004 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)CC[C@H](O)c2ccccc2)CC1 ZINC001168043762 1072550626 /nfs/dbraw/zinc/55/06/26/1072550626.db2.gz NJUKBVLWRDLBIX-QYZOEREBSA-N 0 0 446.591 -0.004 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O ZINC001168049319 1072571737 /nfs/dbraw/zinc/57/17/37/1072571737.db2.gz CBKSLKQOONCJHJ-AZFSHXRASA-N 0 0 429.539 -0.464 20 0 IBADRN CN=[S@](C)(=O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001168161265 1072869382 /nfs/dbraw/zinc/86/93/82/1072869382.db2.gz VUHICPKJZXKCNV-DLUDVSRJSA-N 0 0 439.581 -0.388 20 0 IBADRN CN=[S@](C)(=O)CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001168161266 1072869312 /nfs/dbraw/zinc/86/93/12/1072869312.db2.gz VUHICPKJZXKCNV-HWRSSNJWSA-N 0 0 439.581 -0.388 20 0 IBADRN CN=[S@@](C)(=O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001168161267 1072869368 /nfs/dbraw/zinc/86/93/68/1072869368.db2.gz VUHICPKJZXKCNV-PWECECGKSA-N 0 0 439.581 -0.388 20 0 IBADRN CN=[S@@](C)(=O)CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001168161268 1072869510 /nfs/dbraw/zinc/86/95/10/1072869510.db2.gz VUHICPKJZXKCNV-SXBQZSJRSA-N 0 0 439.581 -0.388 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N[C@@H]1C[C@@H](N2CCOCC2)C12CCC2 ZINC001168169023 1072871043 /nfs/dbraw/zinc/87/10/43/1072871043.db2.gz DATDVIMODSFFGJ-HZPDHXFCSA-N 0 0 430.571 -0.420 20 0 IBADRN CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC001168237120 1072884432 /nfs/dbraw/zinc/88/44/32/1072884432.db2.gz IGFMQOUFXVRQIA-LLVKDONJSA-N 0 0 425.467 -0.544 20 0 IBADRN CN(C)C(=O)N(C)[C@H]1CCN(C(=O)Cn2c3ccc(S(N)(=O)=O)cc3oc2=O)C1 ZINC001168237121 1072884423 /nfs/dbraw/zinc/88/44/23/1072884423.db2.gz IGFMQOUFXVRQIA-NSHDSACASA-N 0 0 425.467 -0.544 20 0 IBADRN CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)C1 ZINC001168238165 1072883828 /nfs/dbraw/zinc/88/38/28/1072883828.db2.gz VUGHWTWUAQFXPD-LLVKDONJSA-N 0 0 445.929 -0.069 20 0 IBADRN CN(C)C(=O)N(C)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)C1 ZINC001168238166 1072883764 /nfs/dbraw/zinc/88/37/64/1072883764.db2.gz VUGHWTWUAQFXPD-NSHDSACASA-N 0 0 445.929 -0.069 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCC(CO)(CO)C1 ZINC001168268407 1072953885 /nfs/dbraw/zinc/95/38/85/1072953885.db2.gz SLODJCOPHBIICL-HNNXBMFYSA-N 0 0 428.507 -0.568 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCC(CO)(CO)C1 ZINC001168268408 1072954327 /nfs/dbraw/zinc/95/43/27/1072954327.db2.gz SLODJCOPHBIICL-OAHLLOKOSA-N 0 0 428.507 -0.568 20 0 IBADRN COc1cc(I)c(C(=O)N2C[C@H](C(N)=O)[C@H](C(N)=O)C2)cc1OC ZINC001168318351 1073019719 /nfs/dbraw/zinc/01/97/19/1073019719.db2.gz GXNJCJFDNITMLY-DTORHVGOSA-N 0 0 447.229 -0.033 20 0 IBADRN COc1cc(I)c(C(=O)N2C[C@H](C(N)=O)[C@@H](C(N)=O)C2)cc1OC ZINC001168318352 1073019566 /nfs/dbraw/zinc/01/95/66/1073019566.db2.gz GXNJCJFDNITMLY-IUCAKERBSA-N 0 0 447.229 -0.033 20 0 IBADRN COc1cc(I)c(C(=O)N2C[C@@H](C(N)=O)[C@H](C(N)=O)C2)cc1OC ZINC001168318353 1073019804 /nfs/dbraw/zinc/01/98/04/1073019804.db2.gz GXNJCJFDNITMLY-RKDXNWHRSA-N 0 0 447.229 -0.033 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)[C@H]2CCC(=O)N2Cc2ccc(Br)cc2)C[C@H]1C(N)=O ZINC001168319377 1073020462 /nfs/dbraw/zinc/02/04/62/1073020462.db2.gz RSBQAWGVJOFDRI-HZSPNIEDSA-N 0 0 437.294 -0.015 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2Cc2ccc(Br)cc2)C[C@H]1C(N)=O ZINC001168319378 1073020453 /nfs/dbraw/zinc/02/04/53/1073020453.db2.gz RSBQAWGVJOFDRI-MCIONIFRSA-N 0 0 437.294 -0.015 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2Cc2ccc(Br)cc2)C[C@H]1C(N)=O ZINC001168319379 1073020497 /nfs/dbraw/zinc/02/04/97/1073020497.db2.gz RSBQAWGVJOFDRI-MGPQQGTHSA-N 0 0 437.294 -0.015 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)[C@@H]2CCC(=O)N2Cc2ccc(Br)cc2)C[C@H]1C(N)=O ZINC001168319380 1073020488 /nfs/dbraw/zinc/02/04/88/1073020488.db2.gz RSBQAWGVJOFDRI-MJBXVCDLSA-N 0 0 437.294 -0.015 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1C ZINC001168338954 1073027211 /nfs/dbraw/zinc/02/72/11/1073027211.db2.gz JWJGRAHRJXYUTJ-GDBMZVCRSA-N 0 0 427.523 -0.234 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1C ZINC001168338956 1073027448 /nfs/dbraw/zinc/02/74/48/1073027448.db2.gz JWJGRAHRJXYUTJ-GOEBONIOSA-N 0 0 427.523 -0.234 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1C ZINC001168338958 1073027332 /nfs/dbraw/zinc/02/73/32/1073027332.db2.gz JWJGRAHRJXYUTJ-HOCLYGCPSA-N 0 0 427.523 -0.234 20 0 IBADRN CCOC(=O)c1c(C)[nH]c(C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1C ZINC001168338960 1073027269 /nfs/dbraw/zinc/02/72/69/1073027269.db2.gz JWJGRAHRJXYUTJ-ZBFHGGJFSA-N 0 0 427.523 -0.234 20 0 IBADRN Cn1cncc1[C@H](CO)NC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001168350886 1073035887 /nfs/dbraw/zinc/03/58/87/1073035887.db2.gz XOJQRHHDEGGQDW-FHSNZYRGSA-N 0 0 428.511 -0.149 20 0 IBADRN Cn1cncc1[C@@H](CO)NC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001168350888 1073036257 /nfs/dbraw/zinc/03/62/57/1073036257.db2.gz XOJQRHHDEGGQDW-SNUQEOBHSA-N 0 0 428.511 -0.149 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCN(C(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC001168359652 1073165820 /nfs/dbraw/zinc/16/58/20/1073165820.db2.gz QNLMESYSHYKICF-NJDAHSKKSA-N 0 0 444.532 -0.519 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(C(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC001168365506 1073360996 /nfs/dbraw/zinc/36/09/96/1073360996.db2.gz SPIZPXAVPUEUCC-UHFFFAOYSA-N 0 0 438.554 -0.878 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)[C@H](C(=O)OC)C1 ZINC001168369841 1073510365 /nfs/dbraw/zinc/51/03/65/1073510365.db2.gz AOEIUHBFDTYCJL-CABCVRRESA-N 0 0 435.543 -0.411 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)[C@H](C(=O)OC)C1 ZINC001168369844 1073510335 /nfs/dbraw/zinc/51/03/35/1073510335.db2.gz AOEIUHBFDTYCJL-GJZGRUSLSA-N 0 0 435.543 -0.411 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)[C@@H](C(=O)OC)C1 ZINC001168369845 1073510421 /nfs/dbraw/zinc/51/04/21/1073510421.db2.gz AOEIUHBFDTYCJL-HUUCEWRRSA-N 0 0 435.543 -0.411 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1CC(=O)NCCCN(C(C)C)S(C)(=O)=O ZINC001168369846 1073509866 /nfs/dbraw/zinc/50/98/66/1073509866.db2.gz AOEIUHBFDTYCJL-LSDHHAIUSA-N 0 0 435.543 -0.411 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCC(c2nccc(N3CCOCC3)n2)CC1 ZINC001168386287 1072189368 /nfs/dbraw/zinc/18/93/68/1072189368.db2.gz KAZAYPSCEQPCFK-UHFFFAOYSA-N 0 0 441.554 -0.025 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)NC2=NCC(=O)N2C)c1 ZINC001168503922 1072720414 /nfs/dbraw/zinc/72/04/14/1072720414.db2.gz CEYLHMLWEWWSFC-UHFFFAOYSA-N 0 0 433.284 -0.068 20 0 IBADRN Cn1c(CCC(=O)NC2=NCC(=O)N2C)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001168504315 1072721026 /nfs/dbraw/zinc/72/10/26/1072721026.db2.gz GVGCCFGRDQJALF-UHFFFAOYSA-N 0 0 448.505 -0.529 20 0 IBADRN O=C(C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N(CCO)CCOCCO ZINC001168527277 1073047294 /nfs/dbraw/zinc/04/72/94/1073047294.db2.gz PODMLJNPDGIKIT-UHFFFAOYSA-N 0 0 425.507 -0.323 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC001168565572 1073099548 /nfs/dbraw/zinc/09/95/48/1073099548.db2.gz CLFOFPUZNOHXBN-UHFFFAOYSA-N 0 0 430.552 -0.685 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)c2ccc(NCCN3CCOCC3)c(F)c2)CC1 ZINC001168565595 1073099509 /nfs/dbraw/zinc/09/95/09/1073099509.db2.gz DEISHSZIQBVALG-UHFFFAOYSA-N 0 0 429.518 -0.033 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)NC3CCN(S(N)(=O)=O)CC3)CC2=O)c(OC)c1 ZINC001168565905 1073100702 /nfs/dbraw/zinc/10/07/02/1073100702.db2.gz IZEFYPBXAWUBEV-GFCCVEGCSA-N 0 0 426.495 -0.159 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)NC3CCN(S(N)(=O)=O)CC3)CC2=O)c(OC)c1 ZINC001168565906 1073100707 /nfs/dbraw/zinc/10/07/07/1073100707.db2.gz IZEFYPBXAWUBEV-LBPRGKRZSA-N 0 0 426.495 -0.159 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)[C@@H]2COCCN2C(=O)OCc2ccccc2)CC1 ZINC001168565910 1073100729 /nfs/dbraw/zinc/10/07/29/1073100729.db2.gz JEWSMPMGARXENT-INIZCTEOSA-N 0 0 426.495 -0.192 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)[C@H]2COCCN2C(=O)OCc2ccccc2)CC1 ZINC001168565911 1073100783 /nfs/dbraw/zinc/10/07/83/1073100783.db2.gz JEWSMPMGARXENT-MRXNPFEDSA-N 0 0 426.495 -0.192 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)CNS(=O)(=O)c2ccc3ccccc3c2)CC1 ZINC001168566049 1073101280 /nfs/dbraw/zinc/10/12/80/1073101280.db2.gz KQSTZQZZIXDXLU-UHFFFAOYSA-N 0 0 426.520 -0.098 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NC1CCN(S(N)(=O)=O)CC1 ZINC001168566882 1073102388 /nfs/dbraw/zinc/10/23/88/1073102388.db2.gz VNJIZDMIBMQQPK-AWEZNQCLSA-N 0 0 426.417 -0.598 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NC1CCN(S(N)(=O)=O)CC1 ZINC001168566889 1073102460 /nfs/dbraw/zinc/10/24/60/1073102460.db2.gz VNJIZDMIBMQQPK-CQSZACIVSA-N 0 0 426.417 -0.598 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]2O)[C@H]1c1ccnn1C ZINC001168566988 1073101338 /nfs/dbraw/zinc/10/13/38/1073101338.db2.gz YERXBJQGAMPGNF-UOJCXKCYSA-N 0 0 437.497 -0.195 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)Cn2nc(Br)c(Br)n2)CC1 ZINC001168578136 1073107188 /nfs/dbraw/zinc/10/71/88/1073107188.db2.gz YMZYGHKWYIKZIV-UHFFFAOYSA-N 0 0 446.125 -0.413 20 0 IBADRN COc1ccc([C@H]2C[C@@H](Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)CCO2)cc1 ZINC001168610174 1073120301 /nfs/dbraw/zinc/12/03/01/1073120301.db2.gz RHXCHNPBBQIPKZ-GQEZKYSCSA-N 0 0 432.477 -0.004 20 0 IBADRN COc1ccc([C@@H]2C[C@H](Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)CCO2)cc1 ZINC001168610175 1073120398 /nfs/dbraw/zinc/12/03/98/1073120398.db2.gz RHXCHNPBBQIPKZ-PPOGKFSKSA-N 0 0 432.477 -0.004 20 0 IBADRN COc1ccc([C@@H]2C[C@@H](Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)CCO2)cc1 ZINC001168610176 1073120433 /nfs/dbraw/zinc/12/04/33/1073120433.db2.gz RHXCHNPBBQIPKZ-SMYFUOBYSA-N 0 0 432.477 -0.004 20 0 IBADRN COc1ccc([C@H]2C[C@H](Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)CCO2)cc1 ZINC001168610177 1073120413 /nfs/dbraw/zinc/12/04/13/1073120413.db2.gz RHXCHNPBBQIPKZ-WLEBWSEFSA-N 0 0 432.477 -0.004 20 0 IBADRN COc1ccc([C@@H]2C[C@@H]([NH2+][C@H]3[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]3O)CCO2)cc1 ZINC001168613416 1073121581 /nfs/dbraw/zinc/12/15/81/1073121581.db2.gz DZNRNADOOAHVLV-HYCKJCJPSA-N 0 0 449.478 -0.868 20 0 IBADRN COc1ccc([C@@H]2C[C@@H]([NH2+][C@@H]3[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]3O)CCO2)cc1 ZINC001168613424 1073121455 /nfs/dbraw/zinc/12/14/55/1073121455.db2.gz DZNRNADOOAHVLV-JDOKUPJDSA-N 0 0 449.478 -0.868 20 0 IBADRN COc1ccc([C@@H]2C[C@H]([NH2+][C@@H]3[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]3O)CCO2)cc1 ZINC001168613427 1073121569 /nfs/dbraw/zinc/12/15/69/1073121569.db2.gz DZNRNADOOAHVLV-KXICBFRUSA-N 0 0 449.478 -0.868 20 0 IBADRN COc1ccc([C@@H]2C[C@H]([NH2+][C@H]3[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]3O)CCO2)cc1 ZINC001168613432 1073121553 /nfs/dbraw/zinc/12/15/53/1073121553.db2.gz DZNRNADOOAHVLV-WUICJNQRSA-N 0 0 449.478 -0.868 20 0 IBADRN C[C@@H](O)[C@H](O)CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC001168765956 1073423858 /nfs/dbraw/zinc/42/38/58/1073423858.db2.gz QWSRKNDIWUWRAP-CXAGYDPISA-N 0 0 440.522 -0.615 20 0 IBADRN C[C@@H](O)[C@@H](O)CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC001168765957 1073423004 /nfs/dbraw/zinc/42/30/04/1073423004.db2.gz QWSRKNDIWUWRAP-DYVFJYSZSA-N 0 0 440.522 -0.615 20 0 IBADRN C[C@H](O)[C@@H](O)CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC001168765958 1073422896 /nfs/dbraw/zinc/42/28/96/1073422896.db2.gz QWSRKNDIWUWRAP-GUYCJALGSA-N 0 0 440.522 -0.615 20 0 IBADRN C[C@H](O)[C@H](O)CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC001168765959 1073423849 /nfs/dbraw/zinc/42/38/49/1073423849.db2.gz QWSRKNDIWUWRAP-SUMWQHHRSA-N 0 0 440.522 -0.615 20 0 IBADRN COC[C@]1(C(=O)N2CCC(C(=O)NCCO)CC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001168773351 1073547525 /nfs/dbraw/zinc/54/75/25/1073547525.db2.gz DASOJZZLDSFRKX-FQEVSTJZSA-N 0 0 429.514 -0.014 20 0 IBADRN COC[C@@]1(C(=O)N2CCC(C(=O)NCCO)CC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001168773415 1073547627 /nfs/dbraw/zinc/54/76/27/1073547627.db2.gz DASOJZZLDSFRKX-HXUWFJFHSA-N 0 0 429.514 -0.014 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001168774051 1073561635 /nfs/dbraw/zinc/56/16/35/1073561635.db2.gz HYMOREAUYBMBTE-HNNXBMFYSA-N 0 0 433.914 -0.674 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001168774059 1073561728 /nfs/dbraw/zinc/56/17/28/1073561728.db2.gz HYMOREAUYBMBTE-OAHLLOKOSA-N 0 0 433.914 -0.674 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC(C(=O)NCCO)CC2)cc1OC ZINC001168775142 1073572064 /nfs/dbraw/zinc/57/20/64/1073572064.db2.gz ONHFVPHBHGMKBU-UHFFFAOYSA-N 0 0 429.495 -0.671 20 0 IBADRN C[C@@H]1C[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CN1C(=O)OC(C)(C)C ZINC001168823705 1073593434 /nfs/dbraw/zinc/59/34/34/1073593434.db2.gz LMEPQQZGKRDPBY-KTOKRVLESA-N 0 0 425.486 -0.535 20 0 IBADRN C[C@@H]1C[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CN1C(=O)OC(C)(C)C ZINC001168823706 1073593386 /nfs/dbraw/zinc/59/33/86/1073593386.db2.gz LMEPQQZGKRDPBY-MSLYRXCPSA-N 0 0 425.486 -0.535 20 0 IBADRN C[C@H]1C[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CN1C(=O)OC(C)(C)C ZINC001168823707 1073593340 /nfs/dbraw/zinc/59/33/40/1073593340.db2.gz LMEPQQZGKRDPBY-UVYKZELPSA-N 0 0 425.486 -0.535 20 0 IBADRN C[C@H]1C[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CN1C(=O)OC(C)(C)C ZINC001168823708 1073593879 /nfs/dbraw/zinc/59/38/79/1073593879.db2.gz LMEPQQZGKRDPBY-YPHJTZHRSA-N 0 0 425.486 -0.535 20 0 IBADRN C[C@@H]1C[C@@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CN1C(=O)OC(C)(C)C ZINC001168825067 1073594063 /nfs/dbraw/zinc/59/40/63/1073594063.db2.gz QXENVXIEGQEGOB-KTOKRVLESA-N 0 0 426.470 -0.444 20 0 IBADRN C[C@@H]1C[C@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CN1C(=O)OC(C)(C)C ZINC001168825085 1073593910 /nfs/dbraw/zinc/59/39/10/1073593910.db2.gz QXENVXIEGQEGOB-MSLYRXCPSA-N 0 0 426.470 -0.444 20 0 IBADRN C[C@H]1C[C@@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CN1C(=O)OC(C)(C)C ZINC001168825086 1073593843 /nfs/dbraw/zinc/59/38/43/1073593843.db2.gz QXENVXIEGQEGOB-UVYKZELPSA-N 0 0 426.470 -0.444 20 0 IBADRN C[C@H]1C[C@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CN1C(=O)OC(C)(C)C ZINC001168825087 1073594026 /nfs/dbraw/zinc/59/40/26/1073594026.db2.gz QXENVXIEGQEGOB-YPHJTZHRSA-N 0 0 426.470 -0.444 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)NCCN2C(=O)Cn1nc2ccccn2c1=O ZINC001168922630 1073594094 /nfs/dbraw/zinc/59/40/94/1073594094.db2.gz PZQICWXCVMUZEQ-UHFFFAOYSA-N 0 0 430.465 -0.166 20 0 IBADRN O=C(NCC12COCCN1CCOC2)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001168938141 1073593898 /nfs/dbraw/zinc/59/38/98/1073593898.db2.gz BEGBFMLDUYCRPD-UHFFFAOYSA-N 0 0 430.552 -0.417 20 0 IBADRN O=C(NCC12COCCN1CCOC2)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001168938427 1073593973 /nfs/dbraw/zinc/59/39/73/1073593973.db2.gz GFJWNJKURKANKB-UHFFFAOYSA-N 0 0 431.536 -0.400 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCC23COCCN2CCOC3)c1 ZINC001168938549 1073593869 /nfs/dbraw/zinc/59/38/69/1073593869.db2.gz IUVREVOCKXJIJV-UHFFFAOYSA-N 0 0 440.522 -0.998 20 0 IBADRN COc1ccc(C(=O)NCC23COCCN2CCOC3)cc1S(=O)(=O)NC1CC1 ZINC001168938956 1073594102 /nfs/dbraw/zinc/59/41/02/1073594102.db2.gz MUYPEIKSXLIAHB-UHFFFAOYSA-N 0 0 425.507 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC12COCCN1CCOC2 ZINC001168946140 1073594054 /nfs/dbraw/zinc/59/40/54/1073594054.db2.gz BHTUPJMFMYIRKC-AWEZNQCLSA-N 0 0 435.543 -0.468 20 0 IBADRN O=C(NCC12COCCN1CCOC2)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001168947588 1073593924 /nfs/dbraw/zinc/59/39/24/1073593924.db2.gz ROCGVMKYXJXHDV-UHFFFAOYSA-N 0 0 439.534 -0.337 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NC1CC(CO)(CO)C1 ZINC001168948110 1073593937 /nfs/dbraw/zinc/59/39/37/1073593937.db2.gz WPWFWOSYOBLBKL-UHFFFAOYSA-N 0 0 441.550 -0.115 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1CCCC[C@H]1NS(=O)(=O)C1CC1 ZINC001168955703 1073594659 /nfs/dbraw/zinc/59/46/59/1073594659.db2.gz QWAJUTSSFWSBKZ-KCPJHIHWSA-N 0 0 433.556 -0.404 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1CCCC[C@H]1NS(=O)(=O)C1CC1 ZINC001168955704 1073594704 /nfs/dbraw/zinc/59/47/04/1073594704.db2.gz QWAJUTSSFWSBKZ-NILFDRSVSA-N 0 0 433.556 -0.404 20 0 IBADRN NC(=O)CCNc1ccc(I)c(-c2nn[nH]n2)c1S(N)(=O)=O ZINC001168957105 1073594408 /nfs/dbraw/zinc/59/44/08/1073594408.db2.gz TVFWWXNAHXZEPA-UHFFFAOYSA-N 0 0 437.223 -0.594 20 0 IBADRN CCOC(=O)[C@H](Nc1nc(OC)nc(OC)n1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001169039642 1073594575 /nfs/dbraw/zinc/59/45/75/1073594575.db2.gz YAHONFJCQNSYCO-OLZOCXBDSA-N 0 0 426.451 -0.209 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001169040349 1073594454 /nfs/dbraw/zinc/59/44/54/1073594454.db2.gz DFOUFQXYAWDQSO-KRWDZBQOSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001169040350 1073595113 /nfs/dbraw/zinc/59/51/13/1073595113.db2.gz DFOUFQXYAWDQSO-QGZVFWFLSA-N 0 0 438.550 -0.010 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001169042073 1073595191 /nfs/dbraw/zinc/59/51/91/1073595191.db2.gz XEWPPUMKBYUDIX-WBVHZDCISA-N 0 0 442.513 -0.818 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCO[C@](COC)(C(=O)OC(C)(C)C)C2)CC1 ZINC001169065093 1073595184 /nfs/dbraw/zinc/59/51/84/1073595184.db2.gz OAWASXBFMRSOET-GOSISDBHSA-N 0 0 435.543 -0.252 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCO[C@@](COC)(C(=O)OC(C)(C)C)C2)CC1 ZINC001169065094 1073595324 /nfs/dbraw/zinc/59/53/24/1073595324.db2.gz OAWASXBFMRSOET-SFHVURJKSA-N 0 0 435.543 -0.252 20 0 IBADRN C[C@H](CCCCn1c(=O)c2c(ncn2C)n(C)c1=O)NCc1noc(C(=O)N(C)C)n1 ZINC001169167770 1073595951 /nfs/dbraw/zinc/59/59/51/1073595951.db2.gz LFOKPZOYFKUIPJ-GFCCVEGCSA-N 0 0 432.485 -0.133 20 0 IBADRN C[C@@H](CCCCn1c(=O)c2c(ncn2C)n(C)c1=O)NCc1noc(C(=O)N(C)C)n1 ZINC001169167773 1073595752 /nfs/dbraw/zinc/59/57/52/1073595752.db2.gz LFOKPZOYFKUIPJ-LBPRGKRZSA-N 0 0 432.485 -0.133 20 0 IBADRN COC(=O)c1ncc(=O)[nH]c1N[C@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169181262 1073596320 /nfs/dbraw/zinc/59/63/20/1073596320.db2.gz NKQFZRUFKQUZLY-LLVKDONJSA-N 0 0 431.453 -0.026 20 0 IBADRN COC(=O)c1ncc(=O)[nH]c1N[C@@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169181263 1073596307 /nfs/dbraw/zinc/59/63/07/1073596307.db2.gz NKQFZRUFKQUZLY-NSHDSACASA-N 0 0 431.453 -0.026 20 0 IBADRN C[C@@H](CCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1CCN(S(C)(=O)=O)CC1 ZINC001169200640 1073595845 /nfs/dbraw/zinc/59/58/45/1073595845.db2.gz ANGLUGBRIHIFKT-AWEZNQCLSA-N 0 0 426.543 -0.430 20 0 IBADRN C[C@H](CCCCn1c(=O)c2c(ncn2C)n(C)c1=O)N1CCN(S(C)(=O)=O)CC1 ZINC001169200641 1073595673 /nfs/dbraw/zinc/59/56/73/1073595673.db2.gz ANGLUGBRIHIFKT-CQSZACIVSA-N 0 0 426.543 -0.430 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1[C@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169201083 1073595887 /nfs/dbraw/zinc/59/58/87/1073595887.db2.gz GDSJXWAJZJSYPQ-CABCVRRESA-N 0 0 448.524 -0.254 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1[C@@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169201089 1073596468 /nfs/dbraw/zinc/59/64/68/1073596468.db2.gz GDSJXWAJZJSYPQ-GJZGRUSLSA-N 0 0 448.524 -0.254 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1[C@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169201092 1073596408 /nfs/dbraw/zinc/59/64/08/1073596408.db2.gz GDSJXWAJZJSYPQ-HUUCEWRRSA-N 0 0 448.524 -0.254 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1[C@@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169201094 1073596605 /nfs/dbraw/zinc/59/66/05/1073596605.db2.gz GDSJXWAJZJSYPQ-LSDHHAIUSA-N 0 0 448.524 -0.254 20 0 IBADRN COC(=O)[C@H]1CN(C(C)=O)CCN1[C@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169213114 1073597656 /nfs/dbraw/zinc/59/76/56/1073597656.db2.gz PBPDSDCNXGYYOX-GDBMZVCRSA-N 0 0 448.524 -0.302 20 0 IBADRN COC(=O)[C@H]1CN(C(C)=O)CCN1[C@@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169213115 1073597565 /nfs/dbraw/zinc/59/75/65/1073597565.db2.gz PBPDSDCNXGYYOX-GOEBONIOSA-N 0 0 448.524 -0.302 20 0 IBADRN COC(=O)[C@@H]1CN(C(C)=O)CCN1[C@@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169213118 1073597735 /nfs/dbraw/zinc/59/77/35/1073597735.db2.gz PBPDSDCNXGYYOX-HOCLYGCPSA-N 0 0 448.524 -0.302 20 0 IBADRN COC(=O)[C@@H]1CN(C(C)=O)CCN1[C@H](C)CCCCn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001169213121 1073597513 /nfs/dbraw/zinc/59/75/13/1073597513.db2.gz PBPDSDCNXGYYOX-ZBFHGGJFSA-N 0 0 448.524 -0.302 20 0 IBADRN CCS(=O)(=O)N1CCN([C@@H](C)CCCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC001169213444 1073597577 /nfs/dbraw/zinc/59/75/77/1073597577.db2.gz QAELMDZCBVFYHH-HNNXBMFYSA-N 0 0 440.570 -0.040 20 0 IBADRN CCS(=O)(=O)N1CCN([C@H](C)CCCCn2c(=O)c3c(ncn3C)n(C)c2=O)CC1 ZINC001169213445 1073597351 /nfs/dbraw/zinc/59/73/51/1073597351.db2.gz QAELMDZCBVFYHH-OAHLLOKOSA-N 0 0 440.570 -0.040 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)c2cccnc2NC[C@@H]2CNC(=O)CO2)CC1 ZINC001169271209 1073598777 /nfs/dbraw/zinc/59/87/77/1073598777.db2.gz MDMCSLSCXTVZKQ-AWEZNQCLSA-N 0 0 426.495 -0.028 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)c2cccnc2NC[C@H]2CNC(=O)CO2)CC1 ZINC001169271210 1073598865 /nfs/dbraw/zinc/59/88/65/1073598865.db2.gz MDMCSLSCXTVZKQ-CQSZACIVSA-N 0 0 426.495 -0.028 20 0 IBADRN COc1ccc(CC(=O)N[C@@H](C)C(=O)N(C)OC)cc1S(=O)(=O)N1CCOCC1 ZINC001169278558 1073598110 /nfs/dbraw/zinc/59/81/10/1073598110.db2.gz AKXMIJUCOITUQR-ZDUSSCGKSA-N 0 0 429.495 -0.217 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H](C)C(=O)N(C)OC)c1 ZINC001169278626 1073598161 /nfs/dbraw/zinc/59/81/61/1073598161.db2.gz DGFMJEHPWRDZLS-ZDUSSCGKSA-N 0 0 428.511 -0.029 20 0 IBADRN COc1ccc(CN2CC[C@H](N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2=O)cc1 ZINC001169306917 1073598641 /nfs/dbraw/zinc/59/86/41/1073598641.db2.gz KDMPMXJSPKMWLV-KRWDZBQOSA-N 0 0 428.493 -0.242 20 0 IBADRN COc1ccc(CN2CC[C@@H](N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)C2=O)cc1 ZINC001169306920 1073598717 /nfs/dbraw/zinc/59/87/17/1073598717.db2.gz KDMPMXJSPKMWLV-QGZVFWFLSA-N 0 0 428.493 -0.242 20 0 IBADRN CCOC(=O)c1cc2c(ncnc2N[C@H](CO)C(N)=O)n1S(=O)(=O)c1ccccc1 ZINC001169328508 1073598705 /nfs/dbraw/zinc/59/87/05/1073598705.db2.gz SIBINEJVLXVAJA-CYBMUJFWSA-N 0 0 433.446 -0.475 20 0 IBADRN CCOC(=O)c1cc2c(ncnc2N[C@@H](CO)C(N)=O)n1S(=O)(=O)c1ccccc1 ZINC001169328510 1073598827 /nfs/dbraw/zinc/59/88/27/1073598827.db2.gz SIBINEJVLXVAJA-ZDUSSCGKSA-N 0 0 433.446 -0.475 20 0 IBADRN COC(=O)[C@H]1CCCN(c2ccc(NCCN3CCN(S(C)(=O)=O)CC3)nn2)C1 ZINC001169334918 1073598789 /nfs/dbraw/zinc/59/87/89/1073598789.db2.gz CZMVEISETSTKHM-HNNXBMFYSA-N 0 0 426.543 -0.145 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2ccc(NCCN3CCN(S(C)(=O)=O)CC3)nn2)C1 ZINC001169334919 1073598852 /nfs/dbraw/zinc/59/88/52/1073598852.db2.gz CZMVEISETSTKHM-OAHLLOKOSA-N 0 0 426.543 -0.145 20 0 IBADRN CS(=O)(=O)N1CCN(CCNc2ccc(CN3CCNC3=N[N+](=O)[O-])cn2)CC1 ZINC001169335097 1073598727 /nfs/dbraw/zinc/59/87/27/1073598727.db2.gz HDUJYWHGZBUEAN-UHFFFAOYSA-N 0 0 426.503 -0.976 20 0 IBADRN COC(=O)C1CCN(c2cc(NCCN3CCN(S(C)(=O)=O)CC3)ncn2)CC1 ZINC001169335315 1073598672 /nfs/dbraw/zinc/59/86/72/1073598672.db2.gz NFAGGNAOZASJHJ-UHFFFAOYSA-N 0 0 426.543 -0.145 20 0 IBADRN COC(=O)C1CCN(c2ncc(NCCN3CCN(S(C)(=O)=O)CC3)cn2)CC1 ZINC001169338009 1073599254 /nfs/dbraw/zinc/59/92/54/1073599254.db2.gz MFYNELODQJYIBJ-UHFFFAOYSA-N 0 0 426.543 -0.145 20 0 IBADRN CS(=O)(=O)N1CCN(CCNc2ncc(S(N)(=O)=O)cc2C(F)(F)F)CC1 ZINC001169342142 1073599358 /nfs/dbraw/zinc/59/93/58/1073599358.db2.gz IZDHKUJAIAMXHX-UHFFFAOYSA-N 0 0 431.462 -0.263 20 0 IBADRN CCOC(=O)C(C(=O)OCC)c1ccnc(NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC001169342194 1073599226 /nfs/dbraw/zinc/59/92/26/1073599226.db2.gz KCZPQLWTVWFFDG-UHFFFAOYSA-N 0 0 443.526 -0.325 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccnc2NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC001169342759 1073599504 /nfs/dbraw/zinc/59/95/04/1073599504.db2.gz PAFISWGELAIUIL-UHFFFAOYSA-N 0 0 446.599 -0.993 20 0 IBADRN CS(=O)(=O)N1CCN(CCNc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001169343722 1073599468 /nfs/dbraw/zinc/59/94/68/1073599468.db2.gz JAVYNIJBWZNFRE-UHFFFAOYSA-N 0 0 432.568 -0.303 20 0 IBADRN CS(=O)(=O)N1CCN(CCNc2cccc(N3CCN(S(C)(=O)=O)CC3)n2)CC1 ZINC001169343789 1073599304 /nfs/dbraw/zinc/59/93/04/1073599304.db2.gz YMAPOBBCSJUZHV-UHFFFAOYSA-N 0 0 446.599 -0.848 20 0 IBADRN CCOC(=O)[C@H]1NC(=O)c2cc(NCCN3CCN(S(C)(=O)=O)CC3)ccc2O1 ZINC001169344592 1073599292 /nfs/dbraw/zinc/59/92/92/1073599292.db2.gz SWMAIJULFYRHIZ-KRWDZBQOSA-N 0 0 426.495 -0.313 20 0 IBADRN CCOC(=O)[C@@H]1NC(=O)c2cc(NCCN3CCN(S(C)(=O)=O)CC3)ccc2O1 ZINC001169344594 1073599239 /nfs/dbraw/zinc/59/92/39/1073599239.db2.gz SWMAIJULFYRHIZ-QGZVFWFLSA-N 0 0 426.495 -0.313 20 0 IBADRN COC(=O)Cc1cc(NCCN2CCN(S(C)(=O)=O)CC2)ccc1S(N)(=O)=O ZINC001169345022 1073598745 /nfs/dbraw/zinc/59/87/45/1073598745.db2.gz MFZSNOIFOHDQDJ-UHFFFAOYSA-N 0 0 434.540 -0.961 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CO1 ZINC001169399252 1073600179 /nfs/dbraw/zinc/60/01/79/1073600179.db2.gz VMKXNNDVCXEYQL-CABCVRRESA-N 0 0 428.511 -0.391 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CO1 ZINC001169399253 1073600133 /nfs/dbraw/zinc/60/01/33/1073600133.db2.gz VMKXNNDVCXEYQL-GJZGRUSLSA-N 0 0 428.511 -0.391 20 0 IBADRN CCOC(=O)[C@H]1CC[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CO1 ZINC001169399254 1073600210 /nfs/dbraw/zinc/60/02/10/1073600210.db2.gz VMKXNNDVCXEYQL-HUUCEWRRSA-N 0 0 428.511 -0.391 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CO1 ZINC001169399255 1073600012 /nfs/dbraw/zinc/60/00/12/1073600012.db2.gz VMKXNNDVCXEYQL-LSDHHAIUSA-N 0 0 428.511 -0.391 20 0 IBADRN CCOC(=O)[C@H]1CC[C@@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CO1 ZINC001169404879 1073600146 /nfs/dbraw/zinc/60/01/46/1073600146.db2.gz QEQLEDMZAQWXPX-LTIDMASMSA-N 0 0 440.541 -0.306 20 0 IBADRN CCOC(=O)[C@H]1CC[C@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CO1 ZINC001169404880 1073600228 /nfs/dbraw/zinc/60/02/28/1073600228.db2.gz QEQLEDMZAQWXPX-LUKYLMHMSA-N 0 0 440.541 -0.306 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CO1 ZINC001169404881 1073599942 /nfs/dbraw/zinc/59/99/42/1073599942.db2.gz QEQLEDMZAQWXPX-QAETUUGQSA-N 0 0 440.541 -0.306 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CO1 ZINC001169404882 1073600121 /nfs/dbraw/zinc/60/01/21/1073600121.db2.gz QEQLEDMZAQWXPX-QZWWFDLISA-N 0 0 440.541 -0.306 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)CCCNS(=O)(=O)c2cccc(C(F)(F)F)c2)C1 ZINC001169436338 1073601614 /nfs/dbraw/zinc/60/16/14/1073601614.db2.gz BDCAJNOKCWIMTP-UHFFFAOYSA-N 0 0 444.457 -0.232 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)[C@H]2CCC(=O)N2Cc2ccc(Br)cc2)C1 ZINC001169436350 1073601487 /nfs/dbraw/zinc/60/14/87/1073601487.db2.gz BMTWGDZNHDOZCR-CYBMUJFWSA-N 0 0 431.312 -0.056 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)[C@@H]2CCC(=O)N2Cc2ccc(Br)cc2)C1 ZINC001169436351 1073601601 /nfs/dbraw/zinc/60/16/01/1073601601.db2.gz BMTWGDZNHDOZCR-ZDUSSCGKSA-N 0 0 431.312 -0.056 20 0 IBADRN C[C@@H]1C[C@H](C)CN(S(=O)(=O)c2cccc(C(=O)N3CC(NS(N)(=O)=O)C3)c2)C1 ZINC001169437338 1073601512 /nfs/dbraw/zinc/60/15/12/1073601512.db2.gz PVHDKGUJBANDJE-BETUJISGSA-N 0 0 430.552 -0.029 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(S(=O)(=O)c2cccc(C(=O)N3CC(NS(N)(=O)=O)C3)c2)C1 ZINC001169437339 1073601453 /nfs/dbraw/zinc/60/14/53/1073601453.db2.gz PVHDKGUJBANDJE-CHWSQXEVSA-N 0 0 430.552 -0.029 20 0 IBADRN C[C@H]1C[C@H](C)CN(S(=O)(=O)c2cccc(C(=O)N3CC(NS(N)(=O)=O)C3)c2)C1 ZINC001169437340 1073601465 /nfs/dbraw/zinc/60/14/65/1073601465.db2.gz PVHDKGUJBANDJE-STQMWFEESA-N 0 0 430.552 -0.029 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC(NS(N)(=O)=O)C3)CC2)c(C)c1 ZINC001169437346 1073601503 /nfs/dbraw/zinc/60/15/03/1073601503.db2.gz PZPYROADKJNXPS-UHFFFAOYSA-N 0 0 430.552 -0.292 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC([C@@H](F)C(N)=O)CC1)c2=O ZINC001169550688 1073602004 /nfs/dbraw/zinc/60/20/04/1073602004.db2.gz OCYORRLWCSURLA-LLVKDONJSA-N 0 0 429.250 -0.046 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC([C@H](F)C(N)=O)CC1)c2=O ZINC001169550690 1073602241 /nfs/dbraw/zinc/60/22/41/1073602241.db2.gz OCYORRLWCSURLA-NSHDSACASA-N 0 0 429.250 -0.046 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)OC(C)(C)C ZINC001169658523 1073603465 /nfs/dbraw/zinc/60/34/65/1073603465.db2.gz UZUDSFOVOKQGOB-QLFBSQMISA-N 0 0 447.554 -0.292 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)OC(C)(C)C ZINC001169658524 1073603422 /nfs/dbraw/zinc/60/34/22/1073603422.db2.gz UZUDSFOVOKQGOB-RBSFLKMASA-N 0 0 447.554 -0.292 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)C(=O)OC(C)(C)C ZINC001169658525 1073603516 /nfs/dbraw/zinc/60/35/16/1073603516.db2.gz UZUDSFOVOKQGOB-RRFJBIMHSA-N 0 0 447.554 -0.292 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)C(=O)OC(C)(C)C ZINC001169658526 1073603650 /nfs/dbraw/zinc/60/36/50/1073603650.db2.gz UZUDSFOVOKQGOB-SOUVJXGZSA-N 0 0 447.554 -0.292 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(CCc2ccncc2)CC1 ZINC001169668018 1073603568 /nfs/dbraw/zinc/60/35/68/1073603568.db2.gz XWJFCWFOADOTSQ-KRWDZBQOSA-N 0 0 433.534 -0.066 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCN(CCc2ccncc2)CC1 ZINC001169668025 1073603593 /nfs/dbraw/zinc/60/35/93/1073603593.db2.gz XWJFCWFOADOTSQ-QGZVFWFLSA-N 0 0 433.534 -0.066 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001169678071 1073603555 /nfs/dbraw/zinc/60/35/55/1073603555.db2.gz GDEYOXFWCBWAGP-ZIAGYGMSSA-N 0 0 438.911 -0.751 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001169678741 1073603625 /nfs/dbraw/zinc/60/36/25/1073603625.db2.gz LWTYREDAZXXBRL-HZPDHXFCSA-N 0 0 432.520 -0.630 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCC(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001169679183 1073603613 /nfs/dbraw/zinc/60/36/13/1073603613.db2.gz OYGXUMDKWVJWFI-IAGOWNOFSA-N 0 0 426.491 -0.170 20 0 IBADRN CN(CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O)S(=O)(=O)c1ccc(Br)cc1 ZINC001169688565 1073604087 /nfs/dbraw/zinc/60/40/87/1073604087.db2.gz NFZVOANMOHUIBZ-VXGBXAGGSA-N 0 0 441.325 -0.656 20 0 IBADRN O=C(CCS(=O)(=O)c1cccc(Br)c1)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001169688842 1073604212 /nfs/dbraw/zinc/60/42/12/1073604212.db2.gz WUQULTQOIOYNBZ-VXGBXAGGSA-N 0 0 426.310 -0.113 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001169741413 1073604103 /nfs/dbraw/zinc/60/41/03/1073604103.db2.gz BCFWPVGYLAPMOH-HNNXBMFYSA-N 0 0 446.529 -0.021 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001169741414 1073604170 /nfs/dbraw/zinc/60/41/70/1073604170.db2.gz BCFWPVGYLAPMOH-OAHLLOKOSA-N 0 0 446.529 -0.021 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)C1 ZINC001169748025 1073604863 /nfs/dbraw/zinc/60/48/63/1073604863.db2.gz PXIBQGDBUGEXPG-FEBARNBZSA-N 0 0 430.461 -0.538 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)C1 ZINC001169748026 1073604951 /nfs/dbraw/zinc/60/49/51/1073604951.db2.gz PXIBQGDBUGEXPG-MIGDXVIRSA-N 0 0 430.461 -0.538 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@@H]3CCCN(C(=O)c4ccccc4)C3)nc2=O)O[C@H](CO)[C@H]1O ZINC001169748884 1073604941 /nfs/dbraw/zinc/60/49/41/1073604941.db2.gz SOSXSFZBQBCZSS-FEBARNBZSA-N 0 0 429.477 -0.628 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@H]3CCCN(C(=O)c4ccccc4)C3)nc2=O)O[C@H](CO)[C@H]1O ZINC001169748885 1073604882 /nfs/dbraw/zinc/60/48/82/1073604882.db2.gz SOSXSFZBQBCZSS-MIGDXVIRSA-N 0 0 429.477 -0.628 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001169750892 1073604907 /nfs/dbraw/zinc/60/49/07/1073604907.db2.gz BCXVKTAYWHDWTF-JHJVBQTASA-N 0 0 432.486 -0.069 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001169750893 1073604963 /nfs/dbraw/zinc/60/49/63/1073604963.db2.gz BCXVKTAYWHDWTF-UPJWGTAASA-N 0 0 432.486 -0.069 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001169750894 1073604960 /nfs/dbraw/zinc/60/49/60/1073604960.db2.gz BCXVKTAYWHDWTF-XQQFMLRXSA-N 0 0 432.486 -0.069 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001169750895 1073604931 /nfs/dbraw/zinc/60/49/31/1073604931.db2.gz BCXVKTAYWHDWTF-YNEHKIRRSA-N 0 0 432.486 -0.069 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)cc1 ZINC001169751743 1073604968 /nfs/dbraw/zinc/60/49/68/1073604968.db2.gz KSHHNELYGLJKCS-INIZCTEOSA-N 0 0 431.536 -0.566 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)cc1 ZINC001169751744 1073604919 /nfs/dbraw/zinc/60/49/19/1073604919.db2.gz KSHHNELYGLJKCS-MRXNPFEDSA-N 0 0 431.536 -0.566 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001169752243 1073604836 /nfs/dbraw/zinc/60/48/36/1073604836.db2.gz NTRABUBCFXPZQL-GOSISDBHSA-N 0 0 444.575 -0.284 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001169752244 1073604925 /nfs/dbraw/zinc/60/49/25/1073604925.db2.gz NTRABUBCFXPZQL-SFHVURJKSA-N 0 0 444.575 -0.284 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N(C)C1CS(=O)(=O)C1 ZINC001169753500 1073604980 /nfs/dbraw/zinc/60/49/80/1073604980.db2.gz XSBJWHIDRLGEPI-AWEZNQCLSA-N 0 0 432.520 -0.516 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N(C)C1CS(=O)(=O)C1 ZINC001169753501 1073605588 /nfs/dbraw/zinc/60/55/88/1073605588.db2.gz XSBJWHIDRLGEPI-CQSZACIVSA-N 0 0 432.520 -0.516 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC001169841422 1073606208 /nfs/dbraw/zinc/60/62/08/1073606208.db2.gz OUIHURZZPXVNLB-UHFFFAOYSA-N 0 0 434.540 -0.274 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC001169850724 1073606127 /nfs/dbraw/zinc/60/61/27/1073606127.db2.gz DUEBZRYBUMUVJI-AWEZNQCLSA-N 0 0 432.543 -0.147 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC001169850725 1073606135 /nfs/dbraw/zinc/60/61/35/1073606135.db2.gz DUEBZRYBUMUVJI-CQSZACIVSA-N 0 0 432.543 -0.147 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001169850921 1073606161 /nfs/dbraw/zinc/60/61/61/1073606161.db2.gz JVPMZLQXHIAAMQ-GDBMZVCRSA-N 0 0 442.513 -0.484 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001169850923 1073606202 /nfs/dbraw/zinc/60/62/02/1073606202.db2.gz JVPMZLQXHIAAMQ-GOEBONIOSA-N 0 0 442.513 -0.484 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001169850925 1073606274 /nfs/dbraw/zinc/60/62/74/1073606274.db2.gz JVPMZLQXHIAAMQ-HOCLYGCPSA-N 0 0 442.513 -0.484 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001169850996 1073606195 /nfs/dbraw/zinc/60/61/95/1073606195.db2.gz JVPMZLQXHIAAMQ-ZBFHGGJFSA-N 0 0 442.513 -0.484 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@H](CS(=O)(=O)NC)C2)[C@H]1c1ccnn1C ZINC001169851640 1073606023 /nfs/dbraw/zinc/60/60/23/1073606023.db2.gz OZUKMZLYMTYGLE-XKQJLSEDSA-N 0 0 427.527 -0.504 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@@H](CS(=O)(=O)NC)C2)[C@H]1c1ccnn1C ZINC001169851641 1073606056 /nfs/dbraw/zinc/60/60/56/1073606056.db2.gz OZUKMZLYMTYGLE-XYPHTWIQSA-N 0 0 427.527 -0.504 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2ccc(S(=O)(=O)N=c3cc[nH]cc3)cc2)C1 ZINC001169868571 1073606887 /nfs/dbraw/zinc/60/68/87/1073606887.db2.gz CMDSPGXSXFDIOW-UHFFFAOYSA-N 0 0 439.519 -0.475 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC001169869012 1073606699 /nfs/dbraw/zinc/60/66/99/1073606699.db2.gz DFKONUZSKFMRPQ-HNNXBMFYSA-N 0 0 446.551 -0.636 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC001169869018 1073606715 /nfs/dbraw/zinc/60/67/15/1073606715.db2.gz DFKONUZSKFMRPQ-OAHLLOKOSA-N 0 0 446.551 -0.636 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@H](c2cnn(C)c2)N(C)C(=O)OC(C)(C)C)C1 ZINC001169869512 1073607442 /nfs/dbraw/zinc/60/74/42/1073607442.db2.gz HJWHZUWJWXUDMA-AWEZNQCLSA-N 0 0 430.531 -0.065 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@H](c2cnn(C)c2)N(C)C(=O)OC(C)(C)C)C1 ZINC001169869513 1073607377 /nfs/dbraw/zinc/60/73/77/1073607377.db2.gz HJWHZUWJWXUDMA-CQSZACIVSA-N 0 0 430.531 -0.065 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CCNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)C1 ZINC001169870354 1073607448 /nfs/dbraw/zinc/60/74/48/1073607448.db2.gz MOSHLKCITFSEIY-AWEZNQCLSA-N 0 0 447.558 -0.501 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CCNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)C1 ZINC001169870356 1073607421 /nfs/dbraw/zinc/60/74/21/1073607421.db2.gz MOSHLKCITFSEIY-CQSZACIVSA-N 0 0 447.558 -0.501 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CCc2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC001169871678 1073607324 /nfs/dbraw/zinc/60/73/24/1073607324.db2.gz RWXHOCCEAJDINR-UHFFFAOYSA-N 0 0 430.552 -0.333 20 0 IBADRN CCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001169872397 1073607526 /nfs/dbraw/zinc/60/75/26/1073607526.db2.gz XTEHGCLCNMVYFA-FQEVSTJZSA-N 0 0 449.533 -0.018 20 0 IBADRN CCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001169872398 1073607475 /nfs/dbraw/zinc/60/74/75/1073607475.db2.gz XTEHGCLCNMVYFA-HXUWFJFHSA-N 0 0 449.533 -0.018 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2nccnc2C(=O)NCc2ccc(F)cc2)C1 ZINC001169872467 1073607518 /nfs/dbraw/zinc/60/75/18/1073607518.db2.gz YZOPTFLUIWEQDZ-UHFFFAOYSA-N 0 0 436.469 -0.234 20 0 IBADRN CS(=O)(=O)C1CCC(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CC1 ZINC001169879506 1073606764 /nfs/dbraw/zinc/60/67/64/1073606764.db2.gz DAVNJISKGGHQGN-NHHFINERSA-N 0 0 448.607 -0.064 20 0 IBADRN CS(=O)(=O)C1CCC(N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CC1 ZINC001169879508 1073606943 /nfs/dbraw/zinc/60/69/43/1073606943.db2.gz DAVNJISKGGHQGN-YXYCDRMUSA-N 0 0 448.607 -0.064 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001169882672 1073606958 /nfs/dbraw/zinc/60/69/58/1073606958.db2.gz WEPJEYLMCLWXNM-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN CS(=O)(=O)C1CCC(N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CC1 ZINC001169884578 1073606857 /nfs/dbraw/zinc/60/68/57/1073606857.db2.gz HSIBQVOBXFOZMX-UHFFFAOYSA-N 0 0 432.568 -0.146 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C1CCC(S(C)(=O)=O)CC1)C(=O)NCC(N)=O ZINC001169891129 1073607390 /nfs/dbraw/zinc/60/73/90/1073607390.db2.gz VXQQIEFWGBAQQR-GQGLESIBSA-N 0 0 444.598 -0.061 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)NCCN1CC[C@H](O)C1 ZINC001169913532 1073607235 /nfs/dbraw/zinc/60/72/35/1073607235.db2.gz VYFHWYWWDWQNGN-DLBZAZTESA-N 0 0 427.523 -0.101 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)NCCN1CC[C@H](O)C1 ZINC001169913533 1073607274 /nfs/dbraw/zinc/60/72/74/1073607274.db2.gz VYFHWYWWDWQNGN-IRXDYDNUSA-N 0 0 427.523 -0.101 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CCNS(=O)(=O)/C=C\c1ccccc1 ZINC001169921918 1073608223 /nfs/dbraw/zinc/60/82/23/1073608223.db2.gz AMIYLHGPRPOKLM-IYCSXQJISA-N 0 0 431.536 -0.257 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CCNS(=O)(=O)/C=C\c1ccccc1 ZINC001169921919 1073608202 /nfs/dbraw/zinc/60/82/02/1073608202.db2.gz AMIYLHGPRPOKLM-LJEUOOAZSA-N 0 0 431.536 -0.257 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CCNS(=O)(=O)/C=C/c1ccccc1 ZINC001169921920 1073608138 /nfs/dbraw/zinc/60/81/38/1073608138.db2.gz AMIYLHGPRPOKLM-OQRGYWNNSA-N 0 0 431.536 -0.257 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CCNS(=O)(=O)/C=C/c1ccccc1 ZINC001169921921 1073608235 /nfs/dbraw/zinc/60/82/35/1073608235.db2.gz AMIYLHGPRPOKLM-RCLKMUNOSA-N 0 0 431.536 -0.257 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc2ccccc2c1 ZINC001169923546 1073608086 /nfs/dbraw/zinc/60/80/86/1073608086.db2.gz JYVBIKNNSRIDPC-INIZCTEOSA-N 0 0 441.531 -0.105 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc2ccccc2c1 ZINC001169923547 1073608258 /nfs/dbraw/zinc/60/82/58/1073608258.db2.gz JYVBIKNNSRIDPC-MRXNPFEDSA-N 0 0 441.531 -0.105 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001169924189 1073608264 /nfs/dbraw/zinc/60/82/64/1073608264.db2.gz OVCGHFKEGAXLFF-AWEZNQCLSA-N 0 0 435.524 -0.999 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001169924190 1073608298 /nfs/dbraw/zinc/60/82/98/1073608298.db2.gz OVCGHFKEGAXLFF-CQSZACIVSA-N 0 0 435.524 -0.999 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCN(Cc2ccc(C(F)(F)F)cc2)C(=O)C1 ZINC001169924634 1073608281 /nfs/dbraw/zinc/60/82/81/1073608281.db2.gz QBPXQHLJYDHUTE-ZDUSSCGKSA-N 0 0 433.408 -0.211 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001169932151 1073607541 /nfs/dbraw/zinc/60/75/41/1073607541.db2.gz JKYFSNXPLGEFPJ-CYBMUJFWSA-N 0 0 425.511 -0.412 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001169932152 1073607301 /nfs/dbraw/zinc/60/73/01/1073607301.db2.gz JKYFSNXPLGEFPJ-ZDUSSCGKSA-N 0 0 425.511 -0.412 20 0 IBADRN COc1cc2ncc(C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)c(O)c2c(OC)c1 ZINC001169932391 1073608206 /nfs/dbraw/zinc/60/82/06/1073608206.db2.gz ORIAWZJSHOGUOB-LLVKDONJSA-N 0 0 425.463 -0.065 20 0 IBADRN COc1cc2ncc(C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)c(O)c2c(OC)c1 ZINC001169932392 1073608171 /nfs/dbraw/zinc/60/81/71/1073608171.db2.gz ORIAWZJSHOGUOB-NSHDSACASA-N 0 0 425.463 -0.065 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2n[nH]cc2[C@@H]1C(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001169932635 1073608124 /nfs/dbraw/zinc/60/81/24/1073608124.db2.gz XOXNJZGARFCQIU-BXUZGUMPSA-N 0 0 429.499 -0.022 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2n[nH]cc2[C@H]1C(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001169932636 1073608276 /nfs/dbraw/zinc/60/82/76/1073608276.db2.gz XOXNJZGARFCQIU-FZMZJTMJSA-N 0 0 429.499 -0.022 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2n[nH]cc2[C@H]1C(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001169932637 1073608231 /nfs/dbraw/zinc/60/82/31/1073608231.db2.gz XOXNJZGARFCQIU-RISCZKNCSA-N 0 0 429.499 -0.022 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2n[nH]cc2[C@@H]1C(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001169932638 1073608271 /nfs/dbraw/zinc/60/82/71/1073608271.db2.gz XOXNJZGARFCQIU-SMDDNHRTSA-N 0 0 429.499 -0.022 20 0 IBADRN O=C1c2nc(Cl)nc(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)c2CN1Cc1ccccc1 ZINC001169969070 1073608883 /nfs/dbraw/zinc/60/88/83/1073608883.db2.gz AGKKCZKYNZYDKM-GQBZBPDPSA-N 0 0 436.852 -0.502 20 0 IBADRN O=C1c2nc(Cl)nc(N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)c2CN1Cc1ccccc1 ZINC001169969072 1073608769 /nfs/dbraw/zinc/60/87/69/1073608769.db2.gz AGKKCZKYNZYDKM-LWWONICKSA-N 0 0 436.852 -0.502 20 0 IBADRN C[Si](C)(C)CCOCn1ccc2c1ncnc2N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001169969503 1073608804 /nfs/dbraw/zinc/60/88/04/1073608804.db2.gz JQFXQSZEBOYVJJ-DWJZYCCASA-N 0 0 426.546 -0.045 20 0 IBADRN C[Si](C)(C)CCOCn1ccc2c1ncnc2N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001169969504 1073609044 /nfs/dbraw/zinc/60/90/44/1073609044.db2.gz JQFXQSZEBOYVJJ-VFCJXBEMSA-N 0 0 426.546 -0.045 20 0 IBADRN CN(c1ccccc1)S(=O)(=O)c1cccnc1N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001169969575 1073610910 /nfs/dbraw/zinc/61/09/10/1073610910.db2.gz LYFUBUYXXJGCPW-JOCABOTCSA-N 0 0 425.463 -0.882 20 0 IBADRN CN(c1ccccc1)S(=O)(=O)c1cccnc1N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001169969576 1073611737 /nfs/dbraw/zinc/61/17/37/1073611737.db2.gz LYFUBUYXXJGCPW-YGSJXBCNSA-N 0 0 425.463 -0.882 20 0 IBADRN OC[C@H]1O[C@H](O)[C@H](Nc2nc(Cl)nc3c2CCN(Cc2ccccc2)C3)[C@@H](O)[C@@H]1O ZINC001169969711 1073619230 /nfs/dbraw/zinc/61/92/30/1073619230.db2.gz PBWJNTJVGKKYGP-VDCDIQELSA-N 0 0 436.896 -0.100 20 0 IBADRN OC[C@H]1O[C@@H](O)[C@H](Nc2nc(Cl)nc3c2CCN(Cc2ccccc2)C3)[C@@H](O)[C@@H]1O ZINC001169969712 1073619158 /nfs/dbraw/zinc/61/91/58/1073619158.db2.gz PBWJNTJVGKKYGP-VYIWNADRSA-N 0 0 436.896 -0.100 20 0 IBADRN CS(=O)(=O)c1c(Cl)c(Cl)nc(Cl)c1N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001169970386 1073623051 /nfs/dbraw/zinc/62/30/51/1073623051.db2.gz UBLOVBYYPAWBHY-NOJGGJPUSA-N 0 0 437.685 -0.343 20 0 IBADRN CS(=O)(=O)c1c(Cl)c(Cl)nc(Cl)c1N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001169970387 1073623130 /nfs/dbraw/zinc/62/31/30/1073623130.db2.gz UBLOVBYYPAWBHY-VAOQUOCASA-N 0 0 437.685 -0.343 20 0 IBADRN COC(=O)c1c(I)cccc1N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001169970577 1073623813 /nfs/dbraw/zinc/62/38/13/1073623813.db2.gz GGMHWHSPOLHITO-MNNAQAQOSA-N 0 0 439.202 -0.710 20 0 IBADRN COC(=O)c1c(I)cccc1N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001169970578 1073623646 /nfs/dbraw/zinc/62/36/46/1073623646.db2.gz GGMHWHSPOLHITO-PDOXLSOOSA-N 0 0 439.202 -0.710 20 0 IBADRN O=S(=O)(c1ccccc1)n1ccc2ccc(N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)nc21 ZINC001169970822 1073624221 /nfs/dbraw/zinc/62/42/21/1073624221.db2.gz VXBXRNIYIFOYAP-OAIGWWADSA-N 0 0 435.458 -0.515 20 0 IBADRN O=S(=O)(c1ccccc1)n1ccc2ccc(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)nc21 ZINC001169970824 1073624231 /nfs/dbraw/zinc/62/42/31/1073624231.db2.gz VXBXRNIYIFOYAP-PMTZBRRQSA-N 0 0 435.458 -0.515 20 0 IBADRN Cc1cn2ccc(I)c(N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)c2n1 ZINC001169971097 1073624982 /nfs/dbraw/zinc/62/49/82/1073624982.db2.gz ITLMNJFHRXWTFU-MNNAQAQOSA-N 0 0 435.218 -0.541 20 0 IBADRN Cc1cn2ccc(I)c(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)c2n1 ZINC001169971106 1073624951 /nfs/dbraw/zinc/62/49/51/1073624951.db2.gz ITLMNJFHRXWTFU-PDOXLSOOSA-N 0 0 435.218 -0.541 20 0 IBADRN CN(c1cc(F)ccc1N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)S(=O)(=O)c1ccccc1 ZINC001169971150 1073624928 /nfs/dbraw/zinc/62/49/28/1073624928.db2.gz JGLVXKZZPRVWAX-FVVUREQNSA-N 0 0 442.465 -0.137 20 0 IBADRN CN(c1cc(F)ccc1N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)S(=O)(=O)c1ccccc1 ZINC001169971151 1073624977 /nfs/dbraw/zinc/62/49/77/1073624977.db2.gz JGLVXKZZPRVWAX-NNIGNNQHSA-N 0 0 442.465 -0.137 20 0 IBADRN OC[C@H]1O[C@@H](O)[C@H](Nc2ncc(I)c3ncccc23)[C@@H](O)[C@@H]1O ZINC001169971590 1073625595 /nfs/dbraw/zinc/62/55/95/1073625595.db2.gz MFDARZCKQGVDHZ-MNNAQAQOSA-N 0 0 433.202 -0.554 20 0 IBADRN OC[C@H]1O[C@H](O)[C@H](Nc2ncc(I)c3ncccc23)[C@@H](O)[C@@H]1O ZINC001169971591 1073625542 /nfs/dbraw/zinc/62/55/42/1073625542.db2.gz MFDARZCKQGVDHZ-PDOXLSOOSA-N 0 0 433.202 -0.554 20 0 IBADRN CCN(c1ccccc1)S(=O)(=O)c1ccc(N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)nc1 ZINC001169972077 1073626773 /nfs/dbraw/zinc/62/67/73/1073626773.db2.gz QQXHFXOIEVHINV-SJQORLFUSA-N 0 0 439.490 -0.491 20 0 IBADRN CCN(c1ccccc1)S(=O)(=O)c1ccc(N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)nc1 ZINC001169972078 1073626830 /nfs/dbraw/zinc/62/68/30/1073626830.db2.gz QQXHFXOIEVHINV-WSIUPNEHSA-N 0 0 439.490 -0.491 20 0 IBADRN CCOC(=O)C1CCN(c2cnnc(Cl)c2N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)CC1 ZINC001169972573 1073626907 /nfs/dbraw/zinc/62/69/07/1073626907.db2.gz WHVPWMWKPKJWLG-GQBZBPDPSA-N 0 0 446.888 -0.879 20 0 IBADRN CCOC(=O)C1CCN(c2cnnc(Cl)c2N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)CC1 ZINC001169972574 1073626753 /nfs/dbraw/zinc/62/67/53/1073626753.db2.gz WHVPWMWKPKJWLG-LWWONICKSA-N 0 0 446.888 -0.879 20 0 IBADRN CN(C)c1ccc(-c2nc3ccccc3c(N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)n2)cc1 ZINC001169972717 1073626839 /nfs/dbraw/zinc/62/68/39/1073626839.db2.gz XFPCNTJVBKLCAA-ACMVSEJYSA-N 0 0 426.473 -0.004 20 0 IBADRN CN(C)c1ccc(-c2nc3ccccc3c(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)n2)cc1 ZINC001169972718 1073626891 /nfs/dbraw/zinc/62/68/91/1073626891.db2.gz XFPCNTJVBKLCAA-UGVAJALESA-N 0 0 426.473 -0.004 20 0 IBADRN O=c1c(N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)c(Br)cnn1-c1ccccc1 ZINC001169975519 1073629359 /nfs/dbraw/zinc/62/93/59/1073629359.db2.gz IPRSJYGOZNFRAB-GGXZVJIVSA-N 0 0 428.239 -0.793 20 0 IBADRN O=c1c(N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)c(Br)cnn1-c1ccccc1 ZINC001169975520 1073629382 /nfs/dbraw/zinc/62/93/82/1073629382.db2.gz IPRSJYGOZNFRAB-VPOMQUIYSA-N 0 0 428.239 -0.793 20 0 IBADRN Cc1ccc(S(=O)(=O)Oc2ccccc2N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001169976107 1073629991 /nfs/dbraw/zinc/62/99/91/1073629991.db2.gz DFDQOYMPZDNVEK-FVVUREQNSA-N 0 0 425.459 -0.025 20 0 IBADRN Cc1ccc(S(=O)(=O)Oc2ccccc2N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cc1 ZINC001169976108 1073629936 /nfs/dbraw/zinc/62/99/36/1073629936.db2.gz DFDQOYMPZDNVEK-NNIGNNQHSA-N 0 0 425.459 -0.025 20 0 IBADRN COc1ccc(COc2nc(Cl)ncc2N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001169976159 1073629890 /nfs/dbraw/zinc/62/98/90/1073629890.db2.gz FROOWQBIRGTVIV-DRXUAVOGSA-N 0 0 427.841 -0.071 20 0 IBADRN COc1ccc(COc2nc(Cl)ncc2N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cc1 ZINC001169976160 1073629985 /nfs/dbraw/zinc/62/99/85/1073629985.db2.gz FROOWQBIRGTVIV-IETPZCKNSA-N 0 0 427.841 -0.071 20 0 IBADRN Cc1cc2c(nccc2N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)n1S(=O)(=O)c1ccccc1 ZINC001169976434 1073630688 /nfs/dbraw/zinc/63/06/88/1073630688.db2.gz PEHYVADATNJGNT-HGJKNBTDSA-N 0 0 449.485 -0.206 20 0 IBADRN Cc1cc2c(nccc2N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)n1S(=O)(=O)c1ccccc1 ZINC001169976440 1073630741 /nfs/dbraw/zinc/63/07/41/1073630741.db2.gz PEHYVADATNJGNT-VBEQINLCSA-N 0 0 449.485 -0.206 20 0 IBADRN O=S(=O)(c1ccccc1)n1ccc2cc(N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)cnc21 ZINC001169978021 1073631287 /nfs/dbraw/zinc/63/12/87/1073631287.db2.gz XVOJMCWJGQNZDO-VDCDIQELSA-N 0 0 435.458 -0.515 20 0 IBADRN O=S(=O)(c1ccccc1)n1ccc2cc(N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)cnc21 ZINC001169978024 1073632256 /nfs/dbraw/zinc/63/22/56/1073632256.db2.gz XVOJMCWJGQNZDO-VYIWNADRSA-N 0 0 435.458 -0.515 20 0 IBADRN COC(=O)[C@@H](CC(N)=O)N[C@@H]1C[C@@H](C(=O)N2CCSC2)N(C(=O)OC(C)(C)C)C1 ZINC001170029928 1073684043 /nfs/dbraw/zinc/68/40/43/1073684043.db2.gz LLXFDGORKLNDGP-UPJWGTAASA-N 0 0 430.527 -0.096 20 0 IBADRN COC(=O)[C@@H](CC(N)=O)N[C@H]1C[C@@H](C(=O)N2CCSC2)N(C(=O)OC(C)(C)C)C1 ZINC001170029929 1073684011 /nfs/dbraw/zinc/68/40/11/1073684011.db2.gz LLXFDGORKLNDGP-XQQFMLRXSA-N 0 0 430.527 -0.096 20 0 IBADRN COC(=O)[C@H]1C(=O)NCCN1[C@@H]1C[C@@H](C(=O)N2CCSC2)N(C(=O)OC(C)(C)C)C1 ZINC001170056155 1073719662 /nfs/dbraw/zinc/71/96/62/1073719662.db2.gz PUUWDOGAWUXKBA-HZSPNIEDSA-N 0 0 442.538 -0.129 20 0 IBADRN COC(=O)[C@@H]1C(=O)NCCN1[C@H]1C[C@@H](C(=O)N2CCSC2)N(C(=O)OC(C)(C)C)C1 ZINC001170056162 1073719779 /nfs/dbraw/zinc/71/97/79/1073719779.db2.gz PUUWDOGAWUXKBA-IHRRRGAJSA-N 0 0 442.538 -0.129 20 0 IBADRN COC(=O)[C@H]1C(=O)NCCN1[C@H]1C[C@@H](C(=O)N2CCSC2)N(C(=O)OC(C)(C)C)C1 ZINC001170056169 1073720321 /nfs/dbraw/zinc/72/03/21/1073720321.db2.gz PUUWDOGAWUXKBA-MELADBBJSA-N 0 0 442.538 -0.129 20 0 IBADRN COC(=O)[C@@H]1C(=O)NCCN1[C@@H]1C[C@@H](C(=O)N2CCSC2)N(C(=O)OC(C)(C)C)C1 ZINC001170056175 1073720310 /nfs/dbraw/zinc/72/03/10/1073720310.db2.gz PUUWDOGAWUXKBA-RDBSUJKOSA-N 0 0 442.538 -0.129 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCC(=O)Nc3ccc4c(c3)OCCO4)[C@@H](O)C(=O)N2C)cn1 ZINC001170064140 1073729089 /nfs/dbraw/zinc/72/90/89/1073729089.db2.gz FXGXHFQMJRIZQP-KZNAEPCWSA-N 0 0 429.433 -0.564 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC001170073595 1073744513 /nfs/dbraw/zinc/74/45/13/1073744513.db2.gz IKUXFYQDLQAHGV-DLBZAZTESA-N 0 0 446.570 -0.104 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC001170073601 1073744565 /nfs/dbraw/zinc/74/45/65/1073744565.db2.gz IKUXFYQDLQAHGV-IAGOWNOFSA-N 0 0 446.570 -0.104 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)CC1 ZINC001170073602 1073744649 /nfs/dbraw/zinc/74/46/49/1073744649.db2.gz IKUXFYQDLQAHGV-IRXDYDNUSA-N 0 0 446.570 -0.104 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)CC1 ZINC001170073603 1073744477 /nfs/dbraw/zinc/74/44/77/1073744477.db2.gz IKUXFYQDLQAHGV-SJORKVTESA-N 0 0 446.570 -0.104 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN[C@H](c4cnn(C)c4)C3)cc2S1(=O)=O ZINC001170103008 1073778825 /nfs/dbraw/zinc/77/88/25/1073778825.db2.gz YQYYCGAAIKACSP-INIZCTEOSA-N 0 0 433.490 -0.002 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN[C@@H](c4cnn(C)c4)C3)cc2S1(=O)=O ZINC001170103011 1073778898 /nfs/dbraw/zinc/77/88/98/1073778898.db2.gz YQYYCGAAIKACSP-MRXNPFEDSA-N 0 0 433.490 -0.002 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@@H]3C[C@@H](O)CN3S(=O)(=O)c3ccc(F)cc3)CCN2)cn1 ZINC001170112224 1073782730 /nfs/dbraw/zinc/78/27/30/1073782730.db2.gz NHYWHNNTGHRYNQ-NJAFHUGGSA-N 0 0 437.497 -0.144 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)[C@@H]3C[C@@H](O)CN3S(=O)(=O)c3ccc(F)cc3)CCN2)cn1 ZINC001170112225 1073782756 /nfs/dbraw/zinc/78/27/56/1073782756.db2.gz NHYWHNNTGHRYNQ-NXHRZFHOSA-N 0 0 437.497 -0.144 20 0 IBADRN COC(=O)[C@H](NCC(=O)NC1CCN(S(C)(=O)=O)CC1)c1ccc2c(c1)CCO2 ZINC001170127289 1073789981 /nfs/dbraw/zinc/78/99/81/1073789981.db2.gz HLVFTAKJNBZZGM-GOSISDBHSA-N 0 0 425.507 -0.035 20 0 IBADRN COC(=O)[C@@H](NCC(=O)NC1CCN(S(C)(=O)=O)CC1)c1ccc2c(c1)CCO2 ZINC001170127290 1073789998 /nfs/dbraw/zinc/78/99/98/1073789998.db2.gz HLVFTAKJNBZZGM-SFHVURJKSA-N 0 0 425.507 -0.035 20 0 IBADRN COC(=O)C[C@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001170181943 1073817700 /nfs/dbraw/zinc/81/77/00/1073817700.db2.gz IXNCZUYMYJPSHV-AWEZNQCLSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)C[C@@H](O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001170181945 1073817744 /nfs/dbraw/zinc/81/77/44/1073817744.db2.gz IXNCZUYMYJPSHV-CQSZACIVSA-N 0 0 442.490 -0.101 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001170218733 1073817757 /nfs/dbraw/zinc/81/77/57/1073817757.db2.gz AHIZRYHQSNJKQG-AWEZNQCLSA-N 0 0 439.943 -0.479 20 0 IBADRN O=C(NCCCN1CCCS1(=O)=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001170218734 1073817681 /nfs/dbraw/zinc/81/76/81/1073817681.db2.gz AHIZRYHQSNJKQG-CQSZACIVSA-N 0 0 439.943 -0.479 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2F)CC1 ZINC001170243281 1073817961 /nfs/dbraw/zinc/81/79/61/1073817961.db2.gz FQXLCVFHXARZJP-UHFFFAOYSA-N 0 0 426.478 -0.400 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)N2CCC(C(=O)N3CCCC3)CC2)CC1 ZINC001170244644 1073817983 /nfs/dbraw/zinc/81/79/83/1073817983.db2.gz OVNBNOGOOVSZAV-UHFFFAOYSA-N 0 0 429.587 -0.292 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(CC(=O)NC[C@@H]2Cc3ccccc3O2)CC1 ZINC001170290144 1073818444 /nfs/dbraw/zinc/81/84/44/1073818444.db2.gz DBZNCVFFNPAIQJ-HNNXBMFYSA-N 0 0 430.552 -0.304 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(CC(=O)NC[C@H]2Cc3ccccc3O2)CC1 ZINC001170290145 1073818331 /nfs/dbraw/zinc/81/83/31/1073818331.db2.gz DBZNCVFFNPAIQJ-OAHLLOKOSA-N 0 0 430.552 -0.304 20 0 IBADRN COC(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)n1 ZINC001170290186 1073818551 /nfs/dbraw/zinc/81/85/51/1073818551.db2.gz GBWDGCQLUSOFFS-UHFFFAOYSA-N 0 0 433.512 -0.603 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(CC(=O)N2CCN(Cc3ccco3)CC2)CC1 ZINC001170290254 1073818572 /nfs/dbraw/zinc/81/85/72/1073818572.db2.gz IJZYMGVQBLTZSN-UHFFFAOYSA-N 0 0 447.583 -0.486 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@@H](C)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CC1 ZINC001170290484 1073818301 /nfs/dbraw/zinc/81/83/01/1073818301.db2.gz MJSAZOCRIOTUBY-CYBMUJFWSA-N 0 0 438.572 -0.624 20 0 IBADRN COC(=O)C1CCN(C(=O)[C@H](C)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CC1 ZINC001170290485 1073818502 /nfs/dbraw/zinc/81/85/02/1073818502.db2.gz MJSAZOCRIOTUBY-ZDUSSCGKSA-N 0 0 438.572 -0.624 20 0 IBADRN CS(=O)(=O)Cc1nc(CN2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cs1 ZINC001170291060 1073818234 /nfs/dbraw/zinc/81/82/34/1073818234.db2.gz RDUILXQODJSCLW-UHFFFAOYSA-N 0 0 430.599 -0.222 20 0 IBADRN CN1CC(=O)N(CC(=O)N2CCN(c3ncc(I)cn3)CC2)C1=O ZINC001170382743 1073819327 /nfs/dbraw/zinc/81/93/27/1073819327.db2.gz JPBHADVJVNAEHR-UHFFFAOYSA-N 0 0 444.233 -0.376 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001170383652 1073819279 /nfs/dbraw/zinc/81/92/79/1073819279.db2.gz OTDDFXUULOPBAZ-VIFPVBQESA-N 0 0 430.206 -0.672 20 0 IBADRN COC(=O)COCCNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12 ZINC001170414986 1073819259 /nfs/dbraw/zinc/81/92/59/1073819259.db2.gz HQRJNUJFPMHIAZ-HZSPNIEDSA-N 0 0 434.511 -0.038 20 0 IBADRN COC(=O)COCCNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001170414987 1073819513 /nfs/dbraw/zinc/81/95/13/1073819513.db2.gz HQRJNUJFPMHIAZ-MCIONIFRSA-N 0 0 434.511 -0.038 20 0 IBADRN COC(=O)COCCNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12 ZINC001170414988 1073819297 /nfs/dbraw/zinc/81/92/97/1073819297.db2.gz HQRJNUJFPMHIAZ-MGPQQGTHSA-N 0 0 434.511 -0.038 20 0 IBADRN COC(=O)COCCNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001170414989 1073819239 /nfs/dbraw/zinc/81/92/39/1073819239.db2.gz HQRJNUJFPMHIAZ-RDBSUJKOSA-N 0 0 434.511 -0.038 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3COC[C@@H]3O)CC2)cc1 ZINC001170446590 1073844498 /nfs/dbraw/zinc/84/44/98/1073844498.db2.gz JIICEJSNRNUJQU-SJORKVTESA-N 0 0 425.507 -0.516 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)N[C@@H]1COC[C@@H]1O ZINC001170456476 1073848896 /nfs/dbraw/zinc/84/88/96/1073848896.db2.gz OECLSVGFYXGHGZ-HIFRSBDPSA-N 0 0 425.463 -0.355 20 0 IBADRN O=C(CN1C[C@@H]2C(=O)NC(=O)[C@@H]2C1)NCc1ccccc1S(=O)(=O)N1CCCCC1 ZINC001170469438 1073859211 /nfs/dbraw/zinc/85/92/11/1073859211.db2.gz PVLPXCOIZNJUNG-IYBDPMFKSA-N 0 0 434.518 -0.318 20 0 IBADRN CN(C)C(=O)CN(CC(F)(F)F)C(=O)CN1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001170593476 1073968922 /nfs/dbraw/zinc/96/89/22/1073968922.db2.gz MHSSOMASXPNQQJ-UHFFFAOYSA-N 0 0 436.478 -0.791 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(CC(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC001170593733 1073968880 /nfs/dbraw/zinc/96/88/80/1073968880.db2.gz OGMMKMLUYFUIRK-UHFFFAOYSA-N 0 0 437.565 -0.551 20 0 IBADRN CN(C)C(=O)NCCc1ccc(NC(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1 ZINC001170649197 1073992230 /nfs/dbraw/zinc/99/22/30/1073992230.db2.gz YUDXFHSIBPWCFW-UHFFFAOYSA-N 0 0 427.465 -0.114 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+][C@@H]2CCc3cc(F)cc(F)c3C2)[C@@H](O)[C@@H]1O ZINC001170683852 1073998070 /nfs/dbraw/zinc/99/80/70/1073998070.db2.gz VNRIBWAWUYAVFF-BPVGTKOISA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+][C@H]2CCc3cc(F)cc(F)c3C2)[C@@H](O)[C@@H]1O ZINC001170683853 1073997917 /nfs/dbraw/zinc/99/79/17/1073997917.db2.gz VNRIBWAWUYAVFF-CSQUYMEISA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+][C@H]2CCc3cc(F)cc(F)c3C2)[C@@H](O)[C@@H]1O ZINC001170683854 1073998030 /nfs/dbraw/zinc/99/80/30/1073998030.db2.gz VNRIBWAWUYAVFF-KLAMDUKHSA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+][C@@H]2CCc3cc(F)cc(F)c3C2)[C@@H](O)[C@@H]1O ZINC001170683855 1073998012 /nfs/dbraw/zinc/99/80/12/1073998012.db2.gz VNRIBWAWUYAVFF-QDYJPZLASA-N 0 0 425.406 -0.961 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1nc(Cl)cc([C@H]2CCC(=O)NC2)n1)C(=O)[O-] ZINC001170686937 1073998970 /nfs/dbraw/zinc/99/89/70/1073998970.db2.gz BUNRWOQWKKFHIX-GWCFXTLKSA-N 0 0 435.872 -0.230 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1nc(Cl)cc([C@@H]2CCC(=O)NC2)n1)C(=O)[O-] ZINC001170686938 1073998809 /nfs/dbraw/zinc/99/88/09/1073998809.db2.gz BUNRWOQWKKFHIX-MFKMUULPSA-N 0 0 435.872 -0.230 20 0 IBADRN CCNS(=O)(=O)c1cnc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c(Cl)c1 ZINC001170686963 1073998830 /nfs/dbraw/zinc/99/88/30/1073998830.db2.gz DBHRNQIAYJRLRP-ZDUSSCGKSA-N 0 0 444.901 -0.320 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nc1 ZINC001170686970 1073998882 /nfs/dbraw/zinc/99/88/82/1073998882.db2.gz DOSWESOJMRHJBO-AWEZNQCLSA-N 0 0 438.510 -0.195 20 0 IBADRN CCOC(=O)Cn1ccc2c1ncnc2-n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001170686996 1073998796 /nfs/dbraw/zinc/99/87/96/1073998796.db2.gz FWTJYOZPDNNJGB-AWEZNQCLSA-N 0 0 429.437 -0.359 20 0 IBADRN CCOC(=O)c1cn(C)c2c1ncnc2-n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001170687055 1073998933 /nfs/dbraw/zinc/99/89/33/1073998933.db2.gz CAESCPWHEXFCBZ-ZDUSSCGKSA-N 0 0 429.437 -0.209 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1nc(Cl)nc2c1CS(=O)(=O)CC2)C(=O)[O-] ZINC001170687097 1073998838 /nfs/dbraw/zinc/99/88/38/1073998838.db2.gz FATGWRLDHWNSOL-LBPRGKRZSA-N 0 0 442.885 -0.753 20 0 IBADRN CCOC(=O)Cc1cnc(SC)nc1-n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001170687103 1073998848 /nfs/dbraw/zinc/99/88/48/1073998848.db2.gz FKKHYWWJQFONBK-ZDUSSCGKSA-N 0 0 436.494 -0.050 20 0 IBADRN CCc1c(Cl)nc(S(C)(=O)=O)nc1-n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001170687149 1073998963 /nfs/dbraw/zinc/99/89/63/1073998963.db2.gz HJWFJEREAXAHKU-NSHDSACASA-N 0 0 444.901 -0.258 20 0 IBADRN CCOC(=O)c1nc2cnccc2c(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])n1 ZINC001170687273 1073998978 /nfs/dbraw/zinc/99/89/78/1073998978.db2.gz KAWSHNAVVRJWDH-ZDUSSCGKSA-N 0 0 427.421 -0.152 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1nc2ncsc2c(N2CCOCC2)n1)C(=O)[O-] ZINC001170687308 1073998818 /nfs/dbraw/zinc/99/88/18/1073998818.db2.gz FRCMUAJDNFQDIO-LBPRGKRZSA-N 0 0 446.493 -0.431 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1ccc(C(=O)Nc2nncs2)cn1)C(=O)[O-] ZINC001170687311 1073998871 /nfs/dbraw/zinc/99/88/71/1073998871.db2.gz LMITZLXYPJRUJM-LBPRGKRZSA-N 0 0 430.450 -0.168 20 0 IBADRN COC(=O)c1nc(Cl)nc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c1OC ZINC001170687312 1073999004 /nfs/dbraw/zinc/99/90/04/1073999004.db2.gz FVCIDENNBSLKER-VIFPVBQESA-N 0 0 426.817 -0.428 20 0 IBADRN CN1CCN(C(=O)c2ccnc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c2)CC1 ZINC001170687340 1073998916 /nfs/dbraw/zinc/99/89/16/1073998916.db2.gz GWKVOAOLQMPPCO-INIZCTEOSA-N 0 0 429.481 -0.884 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1ccc(S(=O)(=O)NCC2CC2)cn1)C(=O)[O-] ZINC001170687523 1073999420 /nfs/dbraw/zinc/99/94/20/1073999420.db2.gz MYWIJNDDYNQBPR-HNNXBMFYSA-N 0 0 436.494 -0.584 20 0 IBADRN COCCc1noc(-c2ccc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nc2)n1 ZINC001170687643 1073999468 /nfs/dbraw/zinc/99/94/68/1073999468.db2.gz PNRHWJQLIHATDO-AWEZNQCLSA-N 0 0 429.437 -0.033 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1ncnc2c1nnn2Cc1ccccc1)C(=O)[O-] ZINC001170687806 1073999573 /nfs/dbraw/zinc/99/95/73/1073999573.db2.gz NGJPSUJJJKCHAT-HNNXBMFYSA-N 0 0 435.448 -0.084 20 0 IBADRN COC(=O)C1CCN(c2cc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])ncn2)CC1 ZINC001170688156 1074000010 /nfs/dbraw/zinc/00/00/10/1074000010.db2.gz WTERHYLYCKGGAP-HNNXBMFYSA-N 0 0 445.480 -0.488 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1nc(N2CCOCC2)nc2[nH]ccc21)C(=O)[O-] ZINC001170688234 1074000221 /nfs/dbraw/zinc/00/02/21/1074000221.db2.gz WCFRUGUFUWJPFK-AWEZNQCLSA-N 0 0 428.453 -0.559 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2ccc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nn2)C1 ZINC001170688287 1073999973 /nfs/dbraw/zinc/99/99/73/1073999973.db2.gz XNFYHOYXNOSRGX-HIFRSBDPSA-N 0 0 445.480 -0.488 20 0 IBADRN COC(=O)[C@H]1CCCN(c2ccc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])nn2)C1 ZINC001170688290 1074000189 /nfs/dbraw/zinc/00/01/89/1074000189.db2.gz XNFYHOYXNOSRGX-ZFWWWQNUSA-N 0 0 445.480 -0.488 20 0 IBADRN CS(=O)(=O)c1ccc2ncnc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c2c1 ZINC001170688497 1073999984 /nfs/dbraw/zinc/99/99/84/1073999984.db2.gz RSMVNTPXYNFXCM-HNNXBMFYSA-N 0 0 432.462 -0.320 20 0 IBADRN Nc1nc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])ccc1I ZINC001170688502 1074000161 /nfs/dbraw/zinc/00/01/61/1074000161.db2.gz RVFZGNFFALNVFJ-JTQLQIEISA-N 0 0 444.233 -0.085 20 0 IBADRN Cc1nc(N)nc2c1nc(-n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c(=O)n2C(C)C ZINC001170688528 1074000138 /nfs/dbraw/zinc/00/01/38/1074000138.db2.gz UCBRBGXCYBSXKA-LBPRGKRZSA-N 0 0 443.468 -0.696 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1ccc(I)nn1)C(=O)[O-] ZINC001170688723 1073999514 /nfs/dbraw/zinc/99/95/14/1073999514.db2.gz YOTYAEYABSPZOO-VIFPVBQESA-N 0 0 430.206 -0.272 20 0 IBADRN CN(C)c1ncc(Br)nc1-n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001170690835 1074000524 /nfs/dbraw/zinc/00/05/24/1074000524.db2.gz AOGWNFSPZHWYLS-JTQLQIEISA-N 0 0 426.275 -0.049 20 0 IBADRN CCOC(=O)[C@@H]1NC(=O)c2cc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])ccc2O1 ZINC001170690867 1074000630 /nfs/dbraw/zinc/00/06/30/1074000630.db2.gz BOCKDBWYMIICFN-KBXCAEBGSA-N 0 0 445.432 -0.656 20 0 IBADRN CCOC(=O)[C@H]1NC(=O)c2cc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])ccc2O1 ZINC001170690868 1074000486 /nfs/dbraw/zinc/00/04/86/1074000486.db2.gz BOCKDBWYMIICFN-KSSFIOAISA-N 0 0 445.432 -0.656 20 0 IBADRN COC(=O)c1cc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cc2c1OCC(=O)N2 ZINC001170691724 1074000815 /nfs/dbraw/zinc/00/08/15/1074000815.db2.gz MEBZDZXTNHDDAJ-AWEZNQCLSA-N 0 0 431.405 -0.550 20 0 IBADRN NS(=O)(=O)c1ccc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c(C(F)(F)F)c1 ZINC001170691798 1074000911 /nfs/dbraw/zinc/00/09/11/1074000911.db2.gz NQFMDTRICYCPCV-LBPRGKRZSA-N 0 0 449.411 -0.001 20 0 IBADRN COC(=O)C1CCN(c2ncc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cn2)CC1 ZINC001170691827 1074000854 /nfs/dbraw/zinc/00/08/54/1074000854.db2.gz NVXYGWOUBGDGIF-INIZCTEOSA-N 0 0 445.480 -0.488 20 0 IBADRN COC(=O)[C@H]1Cc2cc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cnc2NC1=O ZINC001170691859 1074000928 /nfs/dbraw/zinc/00/09/28/1074000928.db2.gz ODKDVLPMNDRXQL-KBPBESRZSA-N 0 0 430.421 -0.988 20 0 IBADRN COC(=O)[C@@H]1Cc2cc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cnc2NC1=O ZINC001170691865 1074000919 /nfs/dbraw/zinc/00/09/19/1074000919.db2.gz ODKDVLPMNDRXQL-KGLIPLIRSA-N 0 0 430.421 -0.988 20 0 IBADRN NS(=O)(=O)c1ccc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cc1C(F)(F)F ZINC001170692304 1074000864 /nfs/dbraw/zinc/00/08/64/1074000864.db2.gz QGUIWLZXLHBZLG-LBPRGKRZSA-N 0 0 449.411 -0.001 20 0 IBADRN NC(=O)c1nc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])ccc1Br ZINC001170692759 1074000994 /nfs/dbraw/zinc/00/09/94/1074000994.db2.gz AQODROYZWAUWAR-JTQLQIEISA-N 0 0 425.243 -0.411 20 0 IBADRN COc1cccc(-n2nccc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c2=O)c1 ZINC001170692821 1074000635 /nfs/dbraw/zinc/00/06/35/1074000635.db2.gz DJBVOBCYSMNHQF-INIZCTEOSA-N 0 0 426.433 -0.113 20 0 IBADRN C[C@H]1C(=O)NN=C2COc3ccc(-n4cncc4C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cc3N21 ZINC001170693236 1074000973 /nfs/dbraw/zinc/00/09/73/1074000973.db2.gz FYEVSTCKWCTFQD-FZMZJTMJSA-N 0 0 441.448 -0.636 20 0 IBADRN CN1CCN(C(=O)c2cccc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c2)CC1 ZINC001170693310 1074001277 /nfs/dbraw/zinc/00/12/77/1074001277.db2.gz IXEDLMGUMSFJNC-SFHVURJKSA-N 0 0 428.493 -0.279 20 0 IBADRN [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1c2c(ncc1Br)NC(=O)C2)C(=O)[O-] ZINC001170693409 1074001231 /nfs/dbraw/zinc/00/12/31/1074001231.db2.gz MIGPTQFDYOLEGC-NSHDSACASA-N 0 0 437.254 -0.015 20 0 IBADRN COC(=O)c1ccc(S(C)(=O)=O)c(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])c1 ZINC001170694121 1074001828 /nfs/dbraw/zinc/00/18/28/1074001828.db2.gz QXCUMOLXVDBTGI-ZDUSSCGKSA-N 0 0 438.462 -0.477 20 0 IBADRN COc1ccc(-n2cc(-n3cncc3C[C@H](NC(=O)CC[NH3+])C(=O)[O-])ncc2=O)cc1 ZINC001170694179 1074001747 /nfs/dbraw/zinc/00/17/47/1074001747.db2.gz SXDWOJVQGXGACW-INIZCTEOSA-N 0 0 426.433 -0.113 20 0 IBADRN Cc1cc(-n2cncc2C[C@H](NC(=O)CC[NH3+])C(=O)[O-])cnc1C(=O)N1CCOCC1 ZINC001170694443 1074001710 /nfs/dbraw/zinc/00/17/10/1074001710.db2.gz VPGCPLYPQPOUJL-INIZCTEOSA-N 0 0 430.465 -0.491 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CCNS(=O)(=O)c2ccccc2F)CC1 ZINC001170721898 1074012236 /nfs/dbraw/zinc/01/22/36/1074012236.db2.gz BTRQJYQQYHLKKM-UHFFFAOYSA-N 0 0 428.486 -0.309 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2cc(S(N)(=O)=O)cc(Cl)c2F)CC1 ZINC001170721976 1074012123 /nfs/dbraw/zinc/01/21/23/1074012123.db2.gz CQAGTUGAYAZTSF-UHFFFAOYSA-N 0 0 434.877 -0.063 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC001170722328 1074012132 /nfs/dbraw/zinc/01/21/32/1074012132.db2.gz HKBOPNVCXTZBAY-UHFFFAOYSA-N 0 0 441.488 -0.745 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1 ZINC001170722524 1074012118 /nfs/dbraw/zinc/01/21/18/1074012118.db2.gz LAMOPSNPEPGHRF-UHFFFAOYSA-N 0 0 425.507 -0.083 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1 ZINC001170722706 1074012107 /nfs/dbraw/zinc/01/21/07/1074012107.db2.gz OIGAWJGACXWBQU-UHFFFAOYSA-N 0 0 440.522 -0.578 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001170723397 1074010531 /nfs/dbraw/zinc/01/05/31/1074010531.db2.gz WVGUNEKIOWXKQL-UHFFFAOYSA-N 0 0 445.524 -0.898 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2cnn(C)c2I)CC1 ZINC001170723489 1074010779 /nfs/dbraw/zinc/01/07/79/1074010779.db2.gz ZTYIVSRQUWJARN-UHFFFAOYSA-N 0 0 433.250 -0.165 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC001170723491 1074010828 /nfs/dbraw/zinc/01/08/28/1074010828.db2.gz ZVNVYJQGVJADAW-NRFANRHFSA-N 0 0 447.467 -0.210 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)CC1 ZINC001170723492 1074010845 /nfs/dbraw/zinc/01/08/45/1074010845.db2.gz ZVNVYJQGVJADAW-OAQYLSRUSA-N 0 0 447.467 -0.210 20 0 IBADRN CN(CCOCCNC(=O)OC(C)(C)C)C(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC001170748423 1074015648 /nfs/dbraw/zinc/01/56/48/1074015648.db2.gz JKOFMDSYRCYCDL-BZUAXINKSA-N 0 0 439.513 -0.090 20 0 IBADRN COc1ccc(C(=O)N2CCC(NS(N)(=O)=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC001170758119 1074017395 /nfs/dbraw/zinc/01/73/95/1074017395.db2.gz UBWJFOFXBHLUGP-UHFFFAOYSA-N 0 0 446.551 -0.123 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001170758178 1074017378 /nfs/dbraw/zinc/01/73/78/1074017378.db2.gz URQWWDMRDPPTPP-UHFFFAOYSA-N 0 0 432.524 -0.449 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC001170814970 1074034699 /nfs/dbraw/zinc/03/46/99/1074034699.db2.gz INJIHONCSDLPGD-CYBMUJFWSA-N 0 0 436.483 -0.317 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)CCNS(=O)(=O)c1ccc(F)cc1 ZINC001170814971 1074034746 /nfs/dbraw/zinc/03/47/46/1074034746.db2.gz INJIHONCSDLPGD-ZDUSSCGKSA-N 0 0 436.483 -0.317 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC001170815338 1074035213 /nfs/dbraw/zinc/03/52/13/1074035213.db2.gz LMNBXVQUHLSMQN-QWHCGFSZSA-N 0 0 448.519 -0.449 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1 ZINC001170815339 1074035540 /nfs/dbraw/zinc/03/55/40/1074035540.db2.gz LMNBXVQUHLSMQN-STQMWFEESA-N 0 0 448.519 -0.449 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001170903506 1074045373 /nfs/dbraw/zinc/04/53/73/1074045373.db2.gz AMXMESBBLLPXOY-SRCQZFHVSA-N 0 0 440.522 -0.138 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001170903510 1074045304 /nfs/dbraw/zinc/04/53/04/1074045304.db2.gz AMXMESBBLLPXOY-XGWLTEMNSA-N 0 0 440.522 -0.138 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CC[C@H]4OCC(=O)N[C@@H]4C3)C2=O)cc1 ZINC001170905284 1074045604 /nfs/dbraw/zinc/04/56/04/1074045604.db2.gz NPJYQASNCJOVMT-STXHMFSFSA-N 0 0 431.449 -0.917 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CC[C@H]4OCC(=O)N[C@@H]4C3)C2=O)cc1 ZINC001170905292 1074045565 /nfs/dbraw/zinc/04/55/65/1074045565.db2.gz NPJYQASNCJOVMT-SXGZJXTBSA-N 0 0 431.449 -0.917 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1OC ZINC001170940113 1074049617 /nfs/dbraw/zinc/04/96/17/1074049617.db2.gz FNVVBMSPYDUBMB-KDURUIRLSA-N 0 0 439.490 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1OC ZINC001170940114 1074049602 /nfs/dbraw/zinc/04/96/02/1074049602.db2.gz FNVVBMSPYDUBMB-OALUTQOASA-N 0 0 439.490 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)cc1OC ZINC001170940115 1074049563 /nfs/dbraw/zinc/04/95/63/1074049563.db2.gz FNVVBMSPYDUBMB-RTBURBONSA-N 0 0 439.490 -0.117 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3C[C@]4(C)C(=O)NC(=O)[C@@]4(C)C3)CC2)c1 ZINC001170940879 1074049963 /nfs/dbraw/zinc/04/99/63/1074049963.db2.gz LRJXEEPDVVTRML-NHCUHLMSSA-N 0 0 448.545 -0.042 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3C[C@]4(C)C(=O)NC(=O)[C@]4(C)C3)CC2)c1 ZINC001170940880 1074049758 /nfs/dbraw/zinc/04/97/58/1074049758.db2.gz LRJXEEPDVVTRML-OYRHEFFESA-N 0 0 448.545 -0.042 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3C[C@@]4(C)C(=O)NC(=O)[C@]4(C)C3)CC2)c1 ZINC001170940881 1074049876 /nfs/dbraw/zinc/04/98/76/1074049876.db2.gz LRJXEEPDVVTRML-SFTDATJTSA-N 0 0 448.545 -0.042 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1 ZINC001170941070 1074049841 /nfs/dbraw/zinc/04/98/41/1074049841.db2.gz MOBYQHSOUYJFJC-KDURUIRLSA-N 0 0 436.490 -0.223 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1 ZINC001170941072 1074049909 /nfs/dbraw/zinc/04/99/09/1074049909.db2.gz MOBYQHSOUYJFJC-OALUTQOASA-N 0 0 436.490 -0.223 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)cc1 ZINC001170941074 1074049934 /nfs/dbraw/zinc/04/99/34/1074049934.db2.gz MOBYQHSOUYJFJC-RTBURBONSA-N 0 0 436.490 -0.223 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2cn(C)cn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170941195 1074049792 /nfs/dbraw/zinc/04/97/92/1074049792.db2.gz BMIRFXMQDGEFJE-JVNHZCFISA-N 0 0 427.410 -0.085 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2cncnc2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170941553 1074049827 /nfs/dbraw/zinc/04/98/27/1074049827.db2.gz FKCSBNHCWYHTRN-DUQPFJRNSA-N 0 0 425.394 -0.028 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2ccn(C)n2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170941826 1074049972 /nfs/dbraw/zinc/04/99/72/1074049972.db2.gz ISPATIYPXIINBX-JVNHZCFISA-N 0 0 427.410 -0.085 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2ccc[n+]([O-])c2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170942029 1074050214 /nfs/dbraw/zinc/05/02/14/1074050214.db2.gz LNXUFDXYPWIGOO-FVVUREQNSA-N 0 0 440.405 -0.185 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2ccc(N)nn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170942135 1074050182 /nfs/dbraw/zinc/05/01/82/1074050182.db2.gz OAIPLDGODPAWFW-WYGQYTNYSA-N 0 0 440.409 -0.446 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2c[n+]([O-])ccn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170942228 1074050379 /nfs/dbraw/zinc/05/03/79/1074050379.db2.gz PQPIRADPEBYNMV-JVNHZCFISA-N 0 0 441.393 -0.790 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2cnc(N)nc2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170942693 1074050363 /nfs/dbraw/zinc/05/03/63/1074050363.db2.gz DDJYYPOSWKOCSP-WRQOLXDDSA-N 0 0 440.409 -0.446 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2ncc(N)cn2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170942705 1074050129 /nfs/dbraw/zinc/05/01/29/1074050129.db2.gz DRBGDZORGAEZGG-WRQOLXDDSA-N 0 0 440.409 -0.446 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](Nc2cccc[n+]2[O-])[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001170943636 1074050329 /nfs/dbraw/zinc/05/03/29/1074050329.db2.gz MNCNLUYOUQKHBG-WSIUPNEHSA-N 0 0 440.405 -0.185 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)c2cccnc2NCC(=O)NCC(=O)OC)CC1 ZINC001170945968 1074052225 /nfs/dbraw/zinc/05/22/25/1074052225.db2.gz RWYVCMCJHTWGHM-UHFFFAOYSA-N 0 0 442.494 -0.254 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NCC(=O)NCC(=O)OC ZINC001170952580 1074052685 /nfs/dbraw/zinc/05/26/85/1074052685.db2.gz OFAWXTXCJNIYNP-UHFFFAOYSA-N 0 0 428.511 -0.278 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001170990705 1074057414 /nfs/dbraw/zinc/05/74/14/1074057414.db2.gz OCSUFKIBQUUOKG-UHFFFAOYSA-N 0 0 426.495 -0.242 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCS(=O)(=O)[C@H]3COCC[C@@H]32)c1 ZINC001170996884 1074058610 /nfs/dbraw/zinc/05/86/10/1074058610.db2.gz DUHAWOGIUJGUES-KBPBESRZSA-N 0 0 437.927 -0.186 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCS(=O)(=O)[C@H]3COCC[C@H]32)c1 ZINC001170996885 1074058595 /nfs/dbraw/zinc/05/85/95/1074058595.db2.gz DUHAWOGIUJGUES-KGLIPLIRSA-N 0 0 437.927 -0.186 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)c1 ZINC001170996886 1074058938 /nfs/dbraw/zinc/05/89/38/1074058938.db2.gz DUHAWOGIUJGUES-UONOGXRCSA-N 0 0 437.927 -0.186 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCS(=O)(=O)[C@@H]3COCC[C@H]32)c1 ZINC001170996887 1074058927 /nfs/dbraw/zinc/05/89/27/1074058927.db2.gz DUHAWOGIUJGUES-ZIAGYGMSSA-N 0 0 437.927 -0.186 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)[C@@H]3COCC[C@H]32)ccc1C ZINC001170998262 1074059290 /nfs/dbraw/zinc/05/92/90/1074059290.db2.gz SHKXUROEUVMQJG-GDBMZVCRSA-N 0 0 431.536 -0.270 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)ccc1C ZINC001170998263 1074059301 /nfs/dbraw/zinc/05/93/01/1074059301.db2.gz SHKXUROEUVMQJG-GOEBONIOSA-N 0 0 431.536 -0.270 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)[C@H]3COCC[C@@H]32)ccc1C ZINC001170998264 1074059094 /nfs/dbraw/zinc/05/90/94/1074059094.db2.gz SHKXUROEUVMQJG-HOCLYGCPSA-N 0 0 431.536 -0.270 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)[C@H]3COCC[C@H]32)ccc1C ZINC001170998265 1074059239 /nfs/dbraw/zinc/05/92/39/1074059239.db2.gz SHKXUROEUVMQJG-ZBFHGGJFSA-N 0 0 431.536 -0.270 20 0 IBADRN CS(C)(=O)=NC(=O)[C@@H]1CCCN1CC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC001170998502 1074059139 /nfs/dbraw/zinc/05/91/39/1074059139.db2.gz UBOOKQROHFURJI-HNNXBMFYSA-N 0 0 430.552 -0.289 20 0 IBADRN NC(=O)CN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1=O ZINC001171025304 1074062336 /nfs/dbraw/zinc/06/23/36/1074062336.db2.gz BRLLFAKGDHGBRR-UHFFFAOYSA-N 0 0 437.522 -0.571 20 0 IBADRN Cc1ccc(N(C(=O)CN2CCN(CC(N)=O)C(=O)C2)[C@H]2C=CS(=O)(=O)C2)cc1C ZINC001171026494 1074062224 /nfs/dbraw/zinc/06/22/24/1074062224.db2.gz RSXFJBMSIFJLHL-KRWDZBQOSA-N 0 0 434.518 -0.423 20 0 IBADRN Cc1ccc(N(C(=O)CN2CCN(CC(N)=O)C(=O)C2)[C@@H]2C=CS(=O)(=O)C2)cc1C ZINC001171026496 1074062191 /nfs/dbraw/zinc/06/21/91/1074062191.db2.gz RSXFJBMSIFJLHL-QGZVFWFLSA-N 0 0 434.518 -0.423 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1F)CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC001171057444 1074063158 /nfs/dbraw/zinc/06/31/58/1074063158.db2.gz AYOIDJCYBMJMDJ-UHFFFAOYSA-N 0 0 442.513 -0.136 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H]3CC[C@@H](C2)S3(=O)=O)s1 ZINC001171069134 1074063719 /nfs/dbraw/zinc/06/37/19/1074063719.db2.gz GRNIAOGYYNDDIO-KGLIPLIRSA-N 0 0 449.576 -0.159 20 0 IBADRN C[C@@H](NC(=O)CN1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C)C(=O)N1CCCCC1 ZINC001171069501 1074063531 /nfs/dbraw/zinc/06/35/31/1074063531.db2.gz RXQXEOSJIAQUQB-CABCVRRESA-N 0 0 431.555 -0.056 20 0 IBADRN C[C@H](NC(=O)CN1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C)C(=O)N1CCCCC1 ZINC001171069503 1074064046 /nfs/dbraw/zinc/06/40/46/1074064046.db2.gz RXQXEOSJIAQUQB-GJZGRUSLSA-N 0 0 431.555 -0.056 20 0 IBADRN C[C@@H](NC(=O)CN1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C)C(=O)N1CCCCC1 ZINC001171069506 1074063547 /nfs/dbraw/zinc/06/35/47/1074063547.db2.gz RXQXEOSJIAQUQB-HUUCEWRRSA-N 0 0 431.555 -0.056 20 0 IBADRN C[C@H](NC(=O)CN1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C)C(=O)N1CCCCC1 ZINC001171069508 1074063728 /nfs/dbraw/zinc/06/37/28/1074063728.db2.gz RXQXEOSJIAQUQB-LSDHHAIUSA-N 0 0 431.555 -0.056 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001171078946 1074065673 /nfs/dbraw/zinc/06/56/73/1074065673.db2.gz BXCJABCWDLFMNK-OWCLPIDISA-N 0 0 425.482 -0.094 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001171078947 1074065666 /nfs/dbraw/zinc/06/56/66/1074065666.db2.gz BXCJABCWDLFMNK-PMPSAXMXSA-N 0 0 425.482 -0.094 20 0 IBADRN COC(=O)[C@H]1CCCN(c2ccc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)nn2)C1 ZINC001171081398 1074066212 /nfs/dbraw/zinc/06/62/12/1074066212.db2.gz CNAOUAHJSNUZOB-AWEZNQCLSA-N 0 0 445.480 -0.335 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2ccc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)nn2)C1 ZINC001171081400 1074066101 /nfs/dbraw/zinc/06/61/01/1074066101.db2.gz CNAOUAHJSNUZOB-CQSZACIVSA-N 0 0 445.480 -0.335 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc3ncsc3c(N3CCOCC3)n2)c(=O)[nH]c1=O ZINC001171081577 1074066138 /nfs/dbraw/zinc/06/61/38/1074066138.db2.gz GFGRJMYNAWCJNI-UHFFFAOYSA-N 0 0 446.493 -0.278 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc(N3CCOCC3)nc3[nH]ccc32)c(=O)[nH]c1=O ZINC001171081694 1074066038 /nfs/dbraw/zinc/06/60/38/1074066038.db2.gz HVECRXHKUQZRKW-UHFFFAOYSA-N 0 0 428.453 -0.406 20 0 IBADRN Cc1cn(CC(=O)NCCNc2cc(C(=O)N3CCN(C)CC3)ccn2)c(=O)[nH]c1=O ZINC001171081886 1074066108 /nfs/dbraw/zinc/06/61/08/1074066108.db2.gz MYJBXKLZPXGLGD-UHFFFAOYSA-N 0 0 429.481 -0.732 20 0 IBADRN CCc1c(Cl)nc(S(C)(=O)=O)nc1NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001171082042 1074066030 /nfs/dbraw/zinc/06/60/30/1074066030.db2.gz OKUZNQMWYDDQLF-UHFFFAOYSA-N 0 0 444.901 -0.105 20 0 IBADRN COC(=O)c1nc(Cl)nc(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)c1OC ZINC001171082067 1074066006 /nfs/dbraw/zinc/06/60/06/1074066006.db2.gz QAMBMMIWWZUQEQ-UHFFFAOYSA-N 0 0 426.817 -0.276 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc(Cl)nc3c2CS(=O)(=O)CC3)c(=O)[nH]c1=O ZINC001171082071 1074066017 /nfs/dbraw/zinc/06/60/17/1074066017.db2.gz QHKWJAMFZACJQG-UHFFFAOYSA-N 0 0 442.885 -0.600 20 0 IBADRN COC(=O)C1CCN(c2cc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)ncn2)CC1 ZINC001171082255 1074066065 /nfs/dbraw/zinc/06/60/65/1074066065.db2.gz OYKHNXYAZFAKIG-UHFFFAOYSA-N 0 0 445.480 -0.335 20 0 IBADRN CCOC(=O)c1cn(C)c2c1ncnc2NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001171082638 1074066204 /nfs/dbraw/zinc/06/62/04/1074066204.db2.gz YTIFBDVLPBTLNW-UHFFFAOYSA-N 0 0 429.437 -0.056 20 0 IBADRN CCNS(=O)(=O)c1cnc(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)c(Cl)c1 ZINC001171082987 1074065720 /nfs/dbraw/zinc/06/57/20/1074065720.db2.gz BMJATLFPCJYIKJ-UHFFFAOYSA-N 0 0 444.901 -0.168 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc(Cl)cc([C@@H]3CCC(=O)NC3)n2)c(=O)[nH]c1=O ZINC001171083091 1074066147 /nfs/dbraw/zinc/06/61/47/1074066147.db2.gz DOHDHMLRGBFRHS-LLVKDONJSA-N 0 0 435.872 -0.077 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc(Cl)cc([C@H]3CCC(=O)NC3)n2)c(=O)[nH]c1=O ZINC001171083092 1074065955 /nfs/dbraw/zinc/06/59/55/1074065955.db2.gz DOHDHMLRGBFRHS-NSHDSACASA-N 0 0 435.872 -0.077 20 0 IBADRN CCOC(=O)Cn1ccc2c1ncnc2NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001171083115 1074066125 /nfs/dbraw/zinc/06/61/25/1074066125.db2.gz FMXMNNKMFBQTQZ-UHFFFAOYSA-N 0 0 429.437 -0.207 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ccc(S(=O)(=O)NC(C)(C)C)cn2)c(=O)[nH]c1=O ZINC001171083260 1074067247 /nfs/dbraw/zinc/06/72/47/1074067247.db2.gz HHXDADILMNATQN-UHFFFAOYSA-N 0 0 438.510 -0.043 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ccc(S(=O)(=O)NCC3CC3)cn2)c(=O)[nH]c1=O ZINC001171083275 1074067340 /nfs/dbraw/zinc/06/73/40/1074067340.db2.gz IBZRKECYERMSEA-UHFFFAOYSA-N 0 0 436.494 -0.431 20 0 IBADRN COCc1cc(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)nc(-c2ccnc(C)n2)n1 ZINC001171083276 1074067268 /nfs/dbraw/zinc/06/72/68/1074067268.db2.gz IFNKBXPJKFHWLC-UHFFFAOYSA-N 0 0 440.464 -0.391 20 0 IBADRN Cc1cn(CC(=O)NCCNc2cc(Cl)nc(CS(C)(=O)=O)n2)c(=O)[nH]c1=O ZINC001171083477 1074067314 /nfs/dbraw/zinc/06/73/14/1074067314.db2.gz NISMZPWBWZMKPE-UHFFFAOYSA-N 0 0 430.874 -0.526 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ccc(I)nn2)c(=O)[nH]c1=O ZINC001171083539 1074067616 /nfs/dbraw/zinc/06/76/16/1074067616.db2.gz BDOUSGUJIIQPOH-UHFFFAOYSA-N 0 0 430.206 -0.120 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ncnc3ccc(S(C)(=O)=O)cc32)c(=O)[nH]c1=O ZINC001171084400 1074066189 /nfs/dbraw/zinc/06/61/89/1074066189.db2.gz YFUXDVLFLKJELU-UHFFFAOYSA-N 0 0 432.462 -0.168 20 0 IBADRN COC(=O)C1CCN(c2ncc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)cn2)CC1 ZINC001171085226 1074066447 /nfs/dbraw/zinc/06/64/47/1074066447.db2.gz UXTJAKJJOLRNRE-UHFFFAOYSA-N 0 0 445.480 -0.335 20 0 IBADRN COC(=O)c1cc(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)cc2c1OCC(=O)N2 ZINC001171085273 1074066480 /nfs/dbraw/zinc/06/64/80/1074066480.db2.gz VLRHRQDGRGAKET-UHFFFAOYSA-N 0 0 431.405 -0.397 20 0 IBADRN Cc1cn(CC(=O)NCCNc2nc3c(C)nc(N)nc3n(C(C)C)c2=O)c(=O)[nH]c1=O ZINC001171085342 1074066489 /nfs/dbraw/zinc/06/64/89/1074066489.db2.gz WKUIESNOOSURDA-UHFFFAOYSA-N 0 0 443.468 -0.543 20 0 IBADRN CCOC(=O)[C@@H]1NC(=O)c2cc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)ccc2O1 ZINC001171087637 1074067629 /nfs/dbraw/zinc/06/76/29/1074067629.db2.gz GKRKXQWPOWJXLK-GOSISDBHSA-N 0 0 445.432 -0.503 20 0 IBADRN CCOC(=O)[C@H]1NC(=O)c2cc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)ccc2O1 ZINC001171087640 1074067596 /nfs/dbraw/zinc/06/75/96/1074067596.db2.gz GKRKXQWPOWJXLK-SFHVURJKSA-N 0 0 445.432 -0.503 20 0 IBADRN COC(=O)[C@@H]1Cc2cc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)cnc2NC1=O ZINC001171088240 1074067623 /nfs/dbraw/zinc/06/76/23/1074067623.db2.gz KQRGUGDEYULOFY-CYBMUJFWSA-N 0 0 430.421 -0.836 20 0 IBADRN COC(=O)[C@H]1Cc2cc(NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)cnc2NC1=O ZINC001171088242 1074067673 /nfs/dbraw/zinc/06/76/73/1074067673.db2.gz KQRGUGDEYULOFY-ZDUSSCGKSA-N 0 0 430.421 -0.836 20 0 IBADRN COC(=O)c1cncc(Br)c1NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001171088298 1074067590 /nfs/dbraw/zinc/06/75/90/1074067590.db2.gz MSFXELKMJAKTAF-UHFFFAOYSA-N 0 0 440.254 -0.148 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ccc(Br)c(C(N)=O)n2)c(=O)[nH]c1=O ZINC001171088675 1074068092 /nfs/dbraw/zinc/06/80/92/1074068092.db2.gz PUPKKYYIOVGKIL-UHFFFAOYSA-N 0 0 425.243 -0.258 20 0 IBADRN Cc1cn(CC(=O)NCCNc2cccc(C(=O)N3CCN(C)CC3)c2)c(=O)[nH]c1=O ZINC001171088685 1074068167 /nfs/dbraw/zinc/06/81/67/1074068167.db2.gz QDKWYLSFVSSVIY-UHFFFAOYSA-N 0 0 428.493 -0.127 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ccc3c(c2)N2C(=NNC(=O)[C@@H]2C)CO3)c(=O)[nH]c1=O ZINC001171089338 1074067965 /nfs/dbraw/zinc/06/79/65/1074067965.db2.gz XQMAJRBJMXHOHI-LBPRGKRZSA-N 0 0 441.448 -0.484 20 0 IBADRN COC(=O)c1ccc(S(C)(=O)=O)c(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)c1 ZINC001171089353 1074067934 /nfs/dbraw/zinc/06/79/34/1074067934.db2.gz XTYOYDKXPXVNHR-UHFFFAOYSA-N 0 0 438.462 -0.324 20 0 IBADRN Cc1cc(NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)cnc1C(=O)N1CCOCC1 ZINC001171090687 1074068206 /nfs/dbraw/zinc/06/82/06/1074068206.db2.gz IMCIJWVYFGATJQ-UHFFFAOYSA-N 0 0 430.465 -0.339 20 0 IBADRN Cc1cn(CC(=O)NCCNc2ccc(OCCN3CCOCC3)nc2)c(=O)[nH]c1=O ZINC001171092008 1074068046 /nfs/dbraw/zinc/06/80/46/1074068046.db2.gz YKXRHBXIIHZYAI-UHFFFAOYSA-N 0 0 432.481 -0.408 20 0 IBADRN CN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C[C@H]1CO ZINC001171137537 1074071050 /nfs/dbraw/zinc/07/10/50/1074071050.db2.gz DJIFCJPESJYSOZ-DLBZAZTESA-N 0 0 427.523 -0.149 20 0 IBADRN CN1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C[C@@H]1CO ZINC001171137538 1074071171 /nfs/dbraw/zinc/07/11/71/1074071171.db2.gz DJIFCJPESJYSOZ-IAGOWNOFSA-N 0 0 427.523 -0.149 20 0 IBADRN CN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C[C@H]1CO ZINC001171137539 1074071097 /nfs/dbraw/zinc/07/10/97/1074071097.db2.gz DJIFCJPESJYSOZ-IRXDYDNUSA-N 0 0 427.523 -0.149 20 0 IBADRN CN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C[C@@H]1CO ZINC001171137540 1074071233 /nfs/dbraw/zinc/07/12/33/1074071233.db2.gz DJIFCJPESJYSOZ-SJORKVTESA-N 0 0 427.523 -0.149 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CCN(C)[C@@H](CO)C2)o1 ZINC001171139626 1074071537 /nfs/dbraw/zinc/07/15/37/1074071537.db2.gz NDZOSRMWPACJCE-KGLIPLIRSA-N 0 0 429.495 -0.256 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(C)[C@H](CO)C2)o1 ZINC001171139627 1074071655 /nfs/dbraw/zinc/07/16/55/1074071655.db2.gz NDZOSRMWPACJCE-UONOGXRCSA-N 0 0 429.495 -0.256 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CCN(C)[C@@H](CO)C2)o1 ZINC001171139628 1074071596 /nfs/dbraw/zinc/07/15/96/1074071596.db2.gz NDZOSRMWPACJCE-ZIAGYGMSSA-N 0 0 429.495 -0.256 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CCN(C)[C@H](CO)C1 ZINC001171141139 1074071686 /nfs/dbraw/zinc/07/16/86/1074071686.db2.gz ZKWWTZIWKMZPQP-INIZCTEOSA-N 0 0 440.566 -0.230 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CCN(C)[C@@H](CO)C1 ZINC001171141140 1074071727 /nfs/dbraw/zinc/07/17/27/1074071727.db2.gz ZKWWTZIWKMZPQP-MRXNPFEDSA-N 0 0 440.566 -0.230 20 0 IBADRN CNC(=O)NC1CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC001171171070 1074074028 /nfs/dbraw/zinc/07/40/28/1074074028.db2.gz UKTUJJRDKLVBNQ-FQEVSTJZSA-N 0 0 432.481 -0.113 20 0 IBADRN CNC(=O)NC1CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC001171171072 1074074012 /nfs/dbraw/zinc/07/40/12/1074074012.db2.gz UKTUJJRDKLVBNQ-HXUWFJFHSA-N 0 0 432.481 -0.113 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](O)[C@H](CO)C3)CC2)cc1 ZINC001171229067 1074078216 /nfs/dbraw/zinc/07/82/16/1074078216.db2.gz HVHVWDMLILSACB-HKUYNNGSSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](O)[C@@H](CO)C3)CC2)cc1 ZINC001171229071 1074078202 /nfs/dbraw/zinc/07/82/02/1074078202.db2.gz HVHVWDMLILSACB-IEBWSBKVSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](O)[C@@H](CO)C3)CC2)cc1 ZINC001171229076 1074078151 /nfs/dbraw/zinc/07/81/51/1074078151.db2.gz HVHVWDMLILSACB-MJGOQNOKSA-N 0 0 439.534 -0.603 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](O)[C@H](CO)C3)CC2)cc1 ZINC001171229091 1074077963 /nfs/dbraw/zinc/07/79/63/1074077963.db2.gz HVHVWDMLILSACB-PKOBYXMFSA-N 0 0 439.534 -0.603 20 0 IBADRN COC(=O)c1c(Cl)cc(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171260479 1074084307 /nfs/dbraw/zinc/08/43/07/1074084307.db2.gz BVKKMWPMLRNYGQ-UBPLGANQSA-N 0 0 446.247 -0.113 20 0 IBADRN COc1ccc(-c2cnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2)cc1 ZINC001171260578 1074084184 /nfs/dbraw/zinc/08/41/84/1074084184.db2.gz CVGMITJJHIWHDD-VDHUWJSZSA-N 0 0 426.433 -0.135 20 0 IBADRN CON(C)C(=O)c1ccc(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171260926 1074084244 /nfs/dbraw/zinc/08/42/44/1074084244.db2.gz GZDXIZSXHIVIHH-RVXWVPLUSA-N 0 0 440.844 -0.919 20 0 IBADRN COC(=O)c1cc(F)c(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171260975 1074084119 /nfs/dbraw/zinc/08/41/19/1074084119.db2.gz IJFIYAZNWRGVGL-IDTAVKCVSA-N 0 0 429.792 -0.627 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ncncc3OCc3ccccc3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171261000 1074084952 /nfs/dbraw/zinc/08/49/52/1074084952.db2.gz JQBVNDYLIFMYDT-KLICCBINSA-N 0 0 426.433 -0.232 20 0 IBADRN COc1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc(-c2ccccc2)n1 ZINC001171261006 1074084840 /nfs/dbraw/zinc/08/48/40/1074084840.db2.gz JWHXDWWJQVDLHX-MYFFOXHASA-N 0 0 426.433 -0.135 20 0 IBADRN CCOC(=O)c1nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc2ccccc21 ZINC001171261389 1074085254 /nfs/dbraw/zinc/08/52/54/1074085254.db2.gz LJVXNXHWRPMJHG-ODVANORSSA-N 0 0 442.432 -0.481 20 0 IBADRN CCOC(=O)c1nc2ccccc2nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171261489 1074085361 /nfs/dbraw/zinc/08/53/61/1074085361.db2.gz MXAGWMQFOUBZBH-YIBWGGGYSA-N 0 0 442.432 -0.481 20 0 IBADRN CCOC(=O)c1c(Cl)ncnc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171261699 1074084912 /nfs/dbraw/zinc/08/49/12/1074084912.db2.gz PQWVCMQFOFNWSS-FRJWGUMJSA-N 0 0 426.817 -0.981 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(OCc4ccccc4)nn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171261715 1074084870 /nfs/dbraw/zinc/08/48/70/1074084870.db2.gz PZYACIUQRGGNGQ-BYNBJNPRSA-N 0 0 426.433 -0.232 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ncc(OCc4ccccc4)cn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171261749 1074084755 /nfs/dbraw/zinc/08/47/55/1074084755.db2.gz QPHUDHBWTYFVDP-VDHUWJSZSA-N 0 0 426.433 -0.232 20 0 IBADRN COc1cc2cnnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2cc1OC ZINC001171261754 1074084777 /nfs/dbraw/zinc/08/47/77/1074084777.db2.gz QSQDNGNOIIKCDH-GFOCRRMGSA-N 0 0 430.421 -0.641 20 0 IBADRN CCOC(=O)c1cnc(Cl)c(F)c1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171261758 1074084736 /nfs/dbraw/zinc/08/47/36/1074084736.db2.gz QXEDGCKIOQRTSP-MQMRNCCQSA-N 0 0 443.819 -0.237 20 0 IBADRN COc1cc2cnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2cc1OC ZINC001171261781 1074084671 /nfs/dbraw/zinc/08/46/71/1074084671.db2.gz RZMYWQXTICAHGD-MWQQHZPXSA-N 0 0 430.421 -0.641 20 0 IBADRN COc1cc(OC)c2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2c1 ZINC001171261822 1074084643 /nfs/dbraw/zinc/08/46/43/1074084643.db2.gz AJLDGUXNIRWVOP-KLICCBINSA-N 0 0 429.433 -0.036 20 0 IBADRN CCOC(=O)c1cc2c(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)[nH]c(C)cc-2n1 ZINC001171261864 1074084699 /nfs/dbraw/zinc/08/46/99/1074084699.db2.gz CFELROJVHPKZKI-GFOCRRMGSA-N 0 0 444.448 -0.240 20 0 IBADRN CCOC(=O)c1ccc2c(c1)ncnc2Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171261904 1074084794 /nfs/dbraw/zinc/08/47/94/1074084794.db2.gz FBDMRPZYJDLPJE-GFOCRRMGSA-N 0 0 442.432 -0.481 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cc(Cl)nc(-c4ccccn4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171261914 1074084818 /nfs/dbraw/zinc/08/48/18/1074084818.db2.gz UROSKTRMIWIKLY-GWBBYGMBSA-N 0 0 431.840 -0.096 20 0 IBADRN CCOC(=O)c1ccc(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171261917 1074085343 /nfs/dbraw/zinc/08/53/43/1074085343.db2.gz UTIHMXFQMOCKEG-QGMIFYJMSA-N 0 0 425.829 -0.376 20 0 IBADRN CCOC(=O)c1cc(Cl)cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001171261931 1074085235 /nfs/dbraw/zinc/08/52/35/1074085235.db2.gz VKPBUGJSTJRDCU-JUDXGUMMSA-N 0 0 425.829 -0.376 20 0 IBADRN Cc1nc(NC(=O)OC(C)(C)C)cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001171261946 1074085368 /nfs/dbraw/zinc/08/53/68/1074085368.db2.gz WDLSIMOWJCHRAP-HMTTWLPMSA-N 0 0 449.468 -0.156 20 0 IBADRN CCOC(=O)c1nc2ccsc2c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001171261970 1074085312 /nfs/dbraw/zinc/08/53/12/1074085312.db2.gz XOYLJYKXPPPHIV-UBEDBUPSSA-N 0 0 448.461 -0.420 20 0 IBADRN CCOC(=O)c1cc2nc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ccc2[nH]1 ZINC001171261992 1074085301 /nfs/dbraw/zinc/08/53/01/1074085301.db2.gz YRAFTPYKUBIZBC-BASLNEPJSA-N 0 0 430.421 -0.548 20 0 IBADRN COC(=O)c1c(Cl)cc(C)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171262027 1074084892 /nfs/dbraw/zinc/08/48/92/1074084892.db2.gz FOEOWRHZDPXSOZ-QGMIFYJMSA-N 0 0 425.829 -0.458 20 0 IBADRN COC(=O)c1cnc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c2ccn(C)c21 ZINC001171262032 1074085388 /nfs/dbraw/zinc/08/53/88/1074085388.db2.gz FWGWZXFXRCEAFY-WBMRYOJMSA-N 0 0 430.421 -0.928 20 0 IBADRN COC(=O)c1csc2c1ncnc2Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171262058 1074085379 /nfs/dbraw/zinc/08/53/79/1074085379.db2.gz GYTAFFRBCBMRPW-SJAJALHSSA-N 0 0 434.434 -0.810 20 0 IBADRN CCOC(OCC)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc(C)n1 ZINC001171262059 1074085325 /nfs/dbraw/zinc/08/53/25/1074085325.db2.gz GZEWZOFKCAXSQI-BASLNEPJSA-N 0 0 436.469 -0.431 20 0 IBADRN CCc1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc(-c2ccncc2)n1 ZINC001171262060 1074085333 /nfs/dbraw/zinc/08/53/33/1074085333.db2.gz HCUZZMNUOVBVIH-VVHMCBODSA-N 0 0 425.449 -0.187 20 0 IBADRN CSc1nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc(N2CCCC2)n1 ZINC001171262069 1074085353 /nfs/dbraw/zinc/08/53/53/1074085353.db2.gz HJIDNMZKSWDXAY-HMTTWLPMSA-N 0 0 435.510 -0.489 20 0 IBADRN CCOC(=O)c1cc2ccncc2c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001171262106 1074085863 /nfs/dbraw/zinc/08/58/63/1074085863.db2.gz IHGATEIGHVZENA-GFOCRRMGSA-N 0 0 442.432 -0.481 20 0 IBADRN CCOC(=O)c1cc2c(ncnc2Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)s1 ZINC001171262146 1074085853 /nfs/dbraw/zinc/08/58/53/1074085853.db2.gz JBVHHJVKJQVKIF-RVXWVPLUSA-N 0 0 448.461 -0.420 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)nc4c3SCCC4)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262149 1074085846 /nfs/dbraw/zinc/08/58/46/1074085846.db2.gz JEGVXCCNKUXUGJ-IDTAVKCVSA-N 0 0 426.886 -0.119 20 0 IBADRN CC(C)(C)OC(=O)Cc1cncc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001171262157 1074085825 /nfs/dbraw/zinc/08/58/25/1074085825.db2.gz JSLOJUFPRMCZPA-GAEVZRCVSA-N 0 0 434.453 -0.927 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)cc(-c4ccccn4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262260 1074085737 /nfs/dbraw/zinc/08/57/37/1074085737.db2.gz KHQZQISDVYFVDM-RAEVTNRLSA-N 0 0 431.840 -0.096 20 0 IBADRN COC(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc(C(C)(C)C)c1 ZINC001171262276 1074085803 /nfs/dbraw/zinc/08/58/03/1074085803.db2.gz CFHSILNYLMSAMN-GAEVZRCVSA-N 0 0 433.465 -0.122 20 0 IBADRN CCOC(=O)c1cc2cc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ncc2[nH]1 ZINC001171262281 1074085838 /nfs/dbraw/zinc/08/58/38/1074085838.db2.gz CKHVTOVAZMJCBP-BASLNEPJSA-N 0 0 430.421 -0.548 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)ncc3Br)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262378 1074086239 /nfs/dbraw/zinc/08/62/39/1074086239.db2.gz DJXMALUBYFNEMB-PNHWDRBUSA-N 0 0 433.650 -0.395 20 0 IBADRN COCCc1nc(Cl)c(C)c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001171262392 1074086222 /nfs/dbraw/zinc/08/62/22/1074086222.db2.gz DOVWVVWUDCKBFA-RVXWVPLUSA-N 0 0 426.861 -0.660 20 0 IBADRN COC(=O)c1cc2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2s1 ZINC001171262394 1074086177 /nfs/dbraw/zinc/08/61/77/1074086177.db2.gz LYYPHVGXAGZZCE-PMXXHBEXSA-N 0 0 434.434 -0.810 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cc(C(=O)N4CCCCC4)ccn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262445 1074086157 /nfs/dbraw/zinc/08/61/57/1074086157.db2.gz MIOTYSDRRBXEJD-VVHMCBODSA-N 0 0 430.465 -0.580 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nccc(N4Cc5ccccc5C4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262468 1074086328 /nfs/dbraw/zinc/08/63/28/1074086328.db2.gz MOIJXSSDXKHXCC-UTRMSSBJSA-N 0 0 437.460 -0.291 20 0 IBADRN CON(C)C(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ncc1Cl ZINC001171262498 1074086309 /nfs/dbraw/zinc/08/63/09/1074086309.db2.gz MXUDLUIHXSBJFX-DSPGLSBSSA-N 0 0 440.844 -0.919 20 0 IBADRN CCc1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc(-c2ccccn2)n1 ZINC001171262520 1074086272 /nfs/dbraw/zinc/08/62/72/1074086272.db2.gz NDVBZUYEGCHMIU-VVHMCBODSA-N 0 0 425.449 -0.187 20 0 IBADRN COc1ccc(-c2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)n2)cc1 ZINC001171262557 1074086929 /nfs/dbraw/zinc/08/69/29/1074086929.db2.gz NZPDEWDGKWRVOJ-VDHUWJSZSA-N 0 0 426.433 -0.135 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(Cl)nc4c3CCSC4)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262580 1074086887 /nfs/dbraw/zinc/08/68/87/1074086887.db2.gz ONUJKXQFROLYGL-XIDUGBJDSA-N 0 0 426.886 -0.368 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3c4c(ccc3Br)OCO4)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262652 1074086957 /nfs/dbraw/zinc/08/69/57/1074086957.db2.gz GYBNSHNLOWFQFI-ZTYOMDHBSA-N 0 0 441.238 -0.110 20 0 IBADRN COC(=O)c1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c2ncccc12 ZINC001171262692 1074086838 /nfs/dbraw/zinc/08/68/38/1074086838.db2.gz INHHXUCMUZQBPX-MOIQIXESSA-N 0 0 427.417 -0.266 20 0 IBADRN NC(=O)c1cc(Cl)c(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171262698 1074086718 /nfs/dbraw/zinc/08/67/18/1074086718.db2.gz OTKYNISDNZHZJO-AKAIJSEGSA-N 0 0 431.236 -0.800 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cc(OCc4ccccc4)ncn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262709 1074086898 /nfs/dbraw/zinc/08/68/98/1074086898.db2.gz PDYROSRAOIJBTE-BYNBJNPRSA-N 0 0 426.433 -0.232 20 0 IBADRN COC(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c(N(C)C)cc1OC ZINC001171262740 1074086948 /nfs/dbraw/zinc/08/69/48/1074086948.db2.gz JBETWYZJMCJGJT-VDHUWJSZSA-N 0 0 449.464 -0.574 20 0 IBADRN Cc1ncccc1Oc1ncnc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1C ZINC001171262747 1074086856 /nfs/dbraw/zinc/08/68/56/1074086856.db2.gz PQSWRNKHTGZTQR-NVQRDWNXSA-N 0 0 441.448 -0.007 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cc(Cl)nc(-c4cccnc4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171262778 1074086759 /nfs/dbraw/zinc/08/67/59/1074086759.db2.gz QKABVWJLNZMJNF-GWBBYGMBSA-N 0 0 431.840 -0.096 20 0 IBADRN COC(OC)c1cc(Cl)cnc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171262785 1074086808 /nfs/dbraw/zinc/08/68/08/1074086808.db2.gz QOEHNEFKPVFLAR-BPGGGUHBSA-N 0 0 427.845 -0.261 20 0 IBADRN CC1(C)CCCN(c2ccnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)n2)C1 ZINC001171262797 1074086658 /nfs/dbraw/zinc/08/66/58/1074086658.db2.gz QSSZSRCFLKZJNU-BASLNEPJSA-N 0 0 431.497 -0.185 20 0 IBADRN COC(=O)c1cccc2cnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc21 ZINC001171262803 1074086641 /nfs/dbraw/zinc/08/66/41/1074086641.db2.gz QTHIEQZXJHWPBI-UIBBOPPKSA-N 0 0 428.405 -0.871 20 0 IBADRN COc1ccc2c(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ncnc2c1OC ZINC001171262833 1074086674 /nfs/dbraw/zinc/08/66/74/1074086674.db2.gz SBELCZMSVJNVOW-VBVJRUAFSA-N 0 0 430.421 -0.641 20 0 IBADRN COC(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc2ccccc21 ZINC001171262837 1074086939 /nfs/dbraw/zinc/08/69/39/1074086939.db2.gz SDNMYBKVBGQQHP-BNEJOLLZSA-N 0 0 427.417 -0.266 20 0 IBADRN COC(=O)c1sc2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2c1C ZINC001171262860 1074086697 /nfs/dbraw/zinc/08/66/97/1074086697.db2.gz SUGPPWNDXWBJGW-LKGUXBDMSA-N 0 0 448.461 -0.501 20 0 IBADRN CCN(CC)c1nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)nc2cccnc21 ZINC001171262864 1074086737 /nfs/dbraw/zinc/08/67/37/1074086737.db2.gz SUJWNBFEEAOULF-YIBWGGGYSA-N 0 0 442.480 -0.417 20 0 IBADRN COc1cccc(Oc2cc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ncn2)c1 ZINC001171262961 1074087431 /nfs/dbraw/zinc/08/74/31/1074087431.db2.gz UZRLTFOYZWJHJT-BYNBJNPRSA-N 0 0 442.432 -0.010 20 0 IBADRN NC(=O)c1c(Cl)cc(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171263052 1074087382 /nfs/dbraw/zinc/08/73/82/1074087382.db2.gz LMBLOCUJRLYCRU-UISLRAPISA-N 0 0 431.236 -0.800 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(C(=O)Nc4ccccc4)nn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171263055 1074087240 /nfs/dbraw/zinc/08/72/40/1074087240.db2.gz LTKMXXWXXNFXDI-VVHMCBODSA-N 0 0 439.432 -0.559 20 0 IBADRN COC(=O)c1cccc2cnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cc21 ZINC001171263170 1074087322 /nfs/dbraw/zinc/08/73/22/1074087322.db2.gz WIMFHQOHAJBILP-BNEJOLLZSA-N 0 0 427.417 -0.266 20 0 IBADRN COc1cc2nc(C)c(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc2cc1OC ZINC001171263214 1074087146 /nfs/dbraw/zinc/08/71/46/1074087146.db2.gz OBOFKBXJYGZWOW-KLICCBINSA-N 0 0 444.448 -0.332 20 0 IBADRN CCOC(=O)c1ccc(OCC)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171263262 1074087258 /nfs/dbraw/zinc/08/72/58/1074087258.db2.gz XFBKQUIHDZANKL-BNGXUDDSSA-N 0 0 435.437 -0.631 20 0 IBADRN COc1ccc(-c2nccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)n2)cc1 ZINC001171263264 1074087227 /nfs/dbraw/zinc/08/72/27/1074087227.db2.gz XGXJWVPGLVVGQS-VVHMCBODSA-N 0 0 426.433 -0.548 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cncc(-c4cnc5ccccn54)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171263282 1074087180 /nfs/dbraw/zinc/08/71/80/1074087180.db2.gz XWRLSJICSHGXHM-BYNBJNPRSA-N 0 0 436.432 -0.496 20 0 IBADRN COC(=O)c1cccc2c(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ncnc12 ZINC001171263284 1074087128 /nfs/dbraw/zinc/08/71/28/1074087128.db2.gz XWZQCHNPCYYODV-WBMRYOJMSA-N 0 0 428.405 -0.871 20 0 IBADRN COC(=O)c1c(C)cc(Cl)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171263320 1074087305 /nfs/dbraw/zinc/08/73/05/1074087305.db2.gz YPILKIQKTRFPCX-AXTGTSHSSA-N 0 0 425.829 -0.458 20 0 IBADRN COCCOc1ccc2ncnc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)c2c1 ZINC001171263351 1074087939 /nfs/dbraw/zinc/08/79/39/1074087939.db2.gz ZFFLFFIRHTZPNG-KLICCBINSA-N 0 0 444.448 -0.633 20 0 IBADRN CC(C)(C)OC(=O)Cc1cccnc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171263520 1074087679 /nfs/dbraw/zinc/08/76/79/1074087679.db2.gz QISOPTSQMFIXGP-HALQFCHDSA-N 0 0 433.465 -0.322 20 0 IBADRN COc1nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ccc1-n1cnc(C)c1 ZINC001171263552 1074087785 /nfs/dbraw/zinc/08/77/85/1074087785.db2.gz QUESHFFYKHHQES-HALQFCHDSA-N 0 0 429.437 -0.537 20 0 IBADRN CN(c1ccccc1)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ncn1 ZINC001171263579 1074087274 /nfs/dbraw/zinc/08/72/74/1074087274.db2.gz RFLDICTYSODIHJ-BYNBJNPRSA-N 0 0 425.449 -0.043 20 0 IBADRN CCOC(=O)c1cc2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cn2c1 ZINC001171263585 1074087397 /nfs/dbraw/zinc/08/73/97/1074087397.db2.gz RJBICBIWSRRCOZ-VDHUWJSZSA-N 0 0 429.433 -0.172 20 0 IBADRN CCOC(=O)c1nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc(C(C)(C)C)n1 ZINC001171263587 1074087337 /nfs/dbraw/zinc/08/73/37/1074087337.db2.gz RKEKYGQYIBMKEV-GWBBYGMBSA-N 0 0 448.480 -0.337 20 0 IBADRN Cc1nc(-c2cccnc2)nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1C ZINC001171263588 1074087369 /nfs/dbraw/zinc/08/73/69/1074087369.db2.gz RLAUVHVSLUEKTA-NVQRDWNXSA-N 0 0 425.449 -0.132 20 0 IBADRN COC(=O)c1cc2nc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ccc2n1C ZINC001171263634 1074087692 /nfs/dbraw/zinc/08/76/92/1074087692.db2.gz UGWMXYHXMJWYBW-BASLNEPJSA-N 0 0 430.421 -0.928 20 0 IBADRN CN(C)c1ncc(Br)nc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171263846 1074087909 /nfs/dbraw/zinc/08/79/09/1074087909.db2.gz VKXDKUDNLDFQBJ-FRJWGUMJSA-N 0 0 442.274 -0.983 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc4c(c3)C3(CN4)CCOCC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171263909 1074087771 /nfs/dbraw/zinc/08/77/71/1074087771.db2.gz WOEMQTRPBQIWIV-NXWXRZEISA-N 0 0 429.477 -0.127 20 0 IBADRN COc1cc(Br)cnc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171264013 1074087705 /nfs/dbraw/zinc/08/77/05/1074087705.db2.gz ZECMCEPWLCWZHX-XIDUGBJDSA-N 0 0 428.243 -0.435 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnn(Cc4ccccc4)c(=O)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264017 1074087926 /nfs/dbraw/zinc/08/79/26/1074087926.db2.gz ZGUGRJCDZBJIQX-UTRMSSBJSA-N 0 0 426.433 -0.996 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc(-c4ccccn4)nc4c3CCC4)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264019 1074087824 /nfs/dbraw/zinc/08/78/24/1074087824.db2.gz ZIINAWBAMUZLIE-WVSUBDOOSA-N 0 0 437.460 -0.260 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cc(N4CCc5ccccc54)ncn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264029 1074087952 /nfs/dbraw/zinc/08/79/52/1074087952.db2.gz ZNNNGRUDNYKZKA-LMFCIFFHSA-N 0 0 437.460 -0.117 20 0 IBADRN CCOC(=O)C(=O)Nc1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1 ZINC001171264331 1074088417 /nfs/dbraw/zinc/08/84/17/1074088417.db2.gz AANCPLBHULHGIY-DNNBLBMLSA-N 0 0 433.421 -0.934 20 0 IBADRN COC(=O)c1cc2c(cncc2Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)s1 ZINC001171264398 1074087721 /nfs/dbraw/zinc/08/77/21/1074087721.db2.gz DFDPOHYJVRAFIF-HMTTWLPMSA-N 0 0 433.446 -0.205 20 0 IBADRN COc1cc(Br)nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c1 ZINC001171264413 1074087839 /nfs/dbraw/zinc/08/78/39/1074087839.db2.gz FDYOFQIYWGEGRL-HKSFMPNISA-N 0 0 428.243 -0.435 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(C4CC(=O)NC(=O)C4)cc3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264442 1074088185 /nfs/dbraw/zinc/08/81/85/1074088185.db2.gz GPUABHWYXFTPLK-BYNBJNPRSA-N 0 0 429.433 -0.915 20 0 IBADRN COC(=O)c1nccc2c(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cccc21 ZINC001171264445 1074088226 /nfs/dbraw/zinc/08/82/26/1074088226.db2.gz GUQAUNHSAMYQEL-MOIQIXESSA-N 0 0 427.417 -0.266 20 0 IBADRN CC(C)(C)OC(=O)Nc1ncc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cn1 ZINC001171264446 1074088331 /nfs/dbraw/zinc/08/83/31/1074088331.db2.gz GVEFFNPCNAXOPU-FMKGYKFTSA-N 0 0 435.441 -0.464 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc4cccc(Br)n43)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264458 1074088367 /nfs/dbraw/zinc/08/83/67/1074088367.db2.gz HCJOJYPDIXYDOW-VDKKZXSFSA-N 0 0 437.254 -0.191 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc4cc(Br)ccn4n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264501 1074088198 /nfs/dbraw/zinc/08/81/98/1074088198.db2.gz JFRHBKOOUACYTR-HUXSOILUSA-N 0 0 438.242 -0.796 20 0 IBADRN COC(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ccc1OC(F)F ZINC001171264508 1074088211 /nfs/dbraw/zinc/08/82/11/1074088211.db2.gz JUKLPMZBABRXKA-NMFUWQPSSA-N 0 0 442.375 -0.213 20 0 IBADRN COc1ccc(C(=O)NC(C)(C)C)cc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171264520 1074088435 /nfs/dbraw/zinc/08/84/35/1074088435.db2.gz KMLWVLKVMVYQSP-KLICCBINSA-N 0 0 447.492 -0.064 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(S(=O)(=O)NC4CC4)cc3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264555 1074088313 /nfs/dbraw/zinc/08/83/13/1074088313.db2.gz LRHQTPHHGMDELH-MWQQHZPXSA-N 0 0 437.478 -0.995 20 0 IBADRN CCOC(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cnc1OCC ZINC001171264566 1074088296 /nfs/dbraw/zinc/08/82/96/1074088296.db2.gz MDYQVWYTBJXGHN-DNNBLBMLSA-N 0 0 435.437 -0.631 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc4cc(Br)ccn43)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264590 1074088392 /nfs/dbraw/zinc/08/83/92/1074088392.db2.gz NIMPIKKFRPLYEH-SEWBAHNZSA-N 0 0 437.254 -0.191 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc4c(Br)cccn34)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264594 1074088240 /nfs/dbraw/zinc/08/82/40/1074088240.db2.gz NOSOHTBXLMFUTJ-QGMIFYJMSA-N 0 0 437.254 -0.191 20 0 IBADRN COC(=O)c1ncnc2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cc21 ZINC001171264597 1074088166 /nfs/dbraw/zinc/08/81/66/1074088166.db2.gz NVQSECSIBPDOJE-ODVANORSSA-N 0 0 428.405 -0.871 20 0 IBADRN CCOC(=O)c1cc2c(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cc[nH]c-2n1 ZINC001171264618 1074088474 /nfs/dbraw/zinc/08/84/74/1074088474.db2.gz OMXGQFWXVVYAFP-DNNBLBMLSA-N 0 0 430.421 -0.960 20 0 IBADRN COCC(=O)N[C@H](C)c1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1 ZINC001171264647 1074088531 /nfs/dbraw/zinc/08/85/31/1074088531.db2.gz PWTIOPOTEBHOKX-ZDUNFWRLSA-N 0 0 433.465 -0.612 20 0 IBADRN COC(=O)c1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c2cccnc12 ZINC001171264698 1074088790 /nfs/dbraw/zinc/08/87/90/1074088790.db2.gz SFDMRNFISZCGBD-MOIQIXESSA-N 0 0 427.417 -0.266 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3nc4ccc(Br)cn4n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264713 1074089010 /nfs/dbraw/zinc/08/90/10/1074089010.db2.gz SWXXLICVQGVXSQ-HUXSOILUSA-N 0 0 438.242 -0.796 20 0 IBADRN COC(=O)c1cnc2cc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ccc2c1 ZINC001171264715 1074088894 /nfs/dbraw/zinc/08/88/94/1074088894.db2.gz SYKWKGPGLRGXRE-VDHUWJSZSA-N 0 0 427.417 -0.266 20 0 IBADRN CCOC(=O)c1c(F)cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1F ZINC001171264748 1074088907 /nfs/dbraw/zinc/08/89/07/1074088907.db2.gz VCOMWGWEVLXUOM-RAEVTNRLSA-N 0 0 426.376 -0.146 20 0 IBADRN CCOC(=O)c1cnc2cc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ccn21 ZINC001171264763 1074088808 /nfs/dbraw/zinc/08/88/08/1074088808.db2.gz VVLCTTVJAYFGMS-BASLNEPJSA-N 0 0 430.421 -0.777 20 0 IBADRN CCOC(=O)c1c(C)[nH]c2ncc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)nc21 ZINC001171264775 1074088967 /nfs/dbraw/zinc/08/89/67/1074088967.db2.gz WNOKBVCWTCCVRY-DBSRDDCVSA-N 0 0 445.436 -0.893 20 0 IBADRN CCCNS(=O)(=O)c1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1 ZINC001171264785 1074088942 /nfs/dbraw/zinc/08/89/42/1074088942.db2.gz XBEFVBGHJHXZBP-MWQQHZPXSA-N 0 0 439.494 -0.747 20 0 IBADRN COc1cc(Br)cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)n1 ZINC001171264812 1074088924 /nfs/dbraw/zinc/08/89/24/1074088924.db2.gz YISHRAMCAXHQBA-HKSFMPNISA-N 0 0 428.243 -0.435 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3c4cc[nH]c4ncc3Br)nc2=O)O[C@H](CO)[C@H]1O ZINC001171264815 1074089059 /nfs/dbraw/zinc/08/90/59/1074089059.db2.gz YLBRIJGGKYDTOF-ZTYOMDHBSA-N 0 0 437.254 -0.011 20 0 IBADRN COC(=O)c1ccc2ncc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cc2c1 ZINC001171266089 1074088957 /nfs/dbraw/zinc/08/89/57/1074088957.db2.gz BWYDVTUIGZGXMR-VDHUWJSZSA-N 0 0 427.417 -0.266 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cc(CN4CCOCC4)ccc3F)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266091 1074088850 /nfs/dbraw/zinc/08/88/50/1074088850.db2.gz BYZAQBAXROGYPX-NXWXRZEISA-N 0 0 435.456 -0.630 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc(OCc4ccc(F)cc4)cn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266102 1074088826 /nfs/dbraw/zinc/08/88/26/1074088826.db2.gz CHGWHGFPKZBTAX-BYNBJNPRSA-N 0 0 444.423 -0.093 20 0 IBADRN Cc1cc(C(=O)N2CCCC2)ncc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171266106 1074088866 /nfs/dbraw/zinc/08/88/66/1074088866.db2.gz CLLUPQFIUSHAJY-KLICCBINSA-N 0 0 430.465 -0.662 20 0 IBADRN CCOC(=O)c1nc2cc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)ccc2[nH]1 ZINC001171266135 1074089042 /nfs/dbraw/zinc/08/90/42/1074089042.db2.gz DOMAKGVLNPPWKJ-DNNBLBMLSA-N 0 0 430.421 -0.548 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cccc4c3NC(=O)C43CCOCC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266360 1074089326 /nfs/dbraw/zinc/08/93/26/1074089326.db2.gz GIFCZEOSOOBIRB-MOIQIXESSA-N 0 0 443.460 -0.601 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc4nnc(C5CCOCC5)n4c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266367 1074089375 /nfs/dbraw/zinc/08/93/75/1074089375.db2.gz GVNSHFUIENVIOA-VVHMCBODSA-N 0 0 443.464 -0.664 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(=O)n(-c4ccc(F)cc4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266374 1074089550 /nfs/dbraw/zinc/08/95/50/1074089550.db2.gz HAFVDDDDSYIZIE-BDQAWRDZSA-N 0 0 430.396 -0.916 20 0 IBADRN CCOC(=O)c1c(Cl)ccnc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171266383 1074089337 /nfs/dbraw/zinc/08/93/37/1074089337.db2.gz HMZMIKRKQHGEQO-QGMIFYJMSA-N 0 0 425.829 -0.376 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccnc(OCc4ccccn4)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266389 1074089610 /nfs/dbraw/zinc/08/96/10/1074089610.db2.gz HWWBPFGBWMXAOK-UTRMSSBJSA-N 0 0 426.433 -0.232 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(OCc4ccncc4)nc3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266518 1074089570 /nfs/dbraw/zinc/08/95/70/1074089570.db2.gz JCLAXXNCHVLSHI-UTRMSSBJSA-N 0 0 426.433 -0.232 20 0 IBADRN COc1ccccc1-n1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ccc1=O ZINC001171266531 1074089591 /nfs/dbraw/zinc/08/95/91/1074089591.db2.gz JKYQISBUHUKESW-HUYLIWGRSA-N 0 0 441.444 -0.442 20 0 IBADRN COc1nc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)ccc1Br ZINC001171266533 1074090087 /nfs/dbraw/zinc/09/00/87/1074090087.db2.gz JLWLPDQDQCEQBM-LHNIVKCTSA-N 0 0 428.243 -0.269 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(=O)n(Cc4ccccn4)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266759 1074090016 /nfs/dbraw/zinc/09/00/16/1074090016.db2.gz KVNPLVXFTMJOHR-UTRMSSBJSA-N 0 0 426.433 -0.996 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cn(Cc4cccc(F)c4)c(=O)cn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266765 1074089393 /nfs/dbraw/zinc/08/93/93/1074089393.db2.gz LEACTOOBQJXKMJ-BYNBJNPRSA-N 0 0 444.423 -0.857 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc(OCc4ccccn4)cn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266766 1074089359 /nfs/dbraw/zinc/08/93/59/1074089359.db2.gz LFDUXWDQUYTLJE-BDQAWRDZSA-N 0 0 427.421 -0.837 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc(=O)n(Cc4ccc(F)cc4)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266767 1074089296 /nfs/dbraw/zinc/08/92/96/1074089296.db2.gz LIWHFAWUZZYBND-VDHUWJSZSA-N 0 0 444.423 -0.857 20 0 IBADRN Cc1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c(CN2CCOCC2)c1 ZINC001171266768 1074089310 /nfs/dbraw/zinc/08/93/10/1074089310.db2.gz LJVAUHULYAQSRP-VBSBHUPXSA-N 0 0 431.493 -0.460 20 0 IBADRN CCOC(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc2c1OCC2 ZINC001171266785 1074089532 /nfs/dbraw/zinc/08/95/32/1074089532.db2.gz MHIRYRUIUXMIQL-GFOCRRMGSA-N 0 0 432.433 -0.489 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccn(-c4ccc(F)cc4)c(=O)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266812 1074089512 /nfs/dbraw/zinc/08/95/12/1074089512.db2.gz NKBAJKXGXKSEBW-UTRMSSBJSA-N 0 0 429.408 -0.311 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(=O)n(Cc4ccc(F)cc4)n3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266814 1074089408 /nfs/dbraw/zinc/08/94/08/1074089408.db2.gz NMGDDYRQSHSFNM-BYNBJNPRSA-N 0 0 444.423 -0.857 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cn(-c4ccc(F)cc4)c(=O)cn3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266835 1074089627 /nfs/dbraw/zinc/08/96/27/1074089627.db2.gz OEKTZORFPBTIIW-BDQAWRDZSA-N 0 0 430.396 -0.916 20 0 IBADRN CCOC(=O)c1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c2c1OCO2 ZINC001171266967 1074090101 /nfs/dbraw/zinc/09/01/01/1074090101.db2.gz QAKIKFINPUWXBS-LSCFUAHRSA-N 0 0 434.405 -0.696 20 0 IBADRN COC(=O)c1cc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)c2cc[nH]c2c1C ZINC001171266976 1074089988 /nfs/dbraw/zinc/08/99/88/1074089988.db2.gz QKLLJHXJRWCPJL-MOIQIXESSA-N 0 0 429.433 -0.025 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc(=O)n(-c4cccc(F)c4)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171266991 1074089971 /nfs/dbraw/zinc/08/99/71/1074089971.db2.gz RFCZNOYALMFNLV-MWQQHZPXSA-N 0 0 430.396 -0.916 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccn(Cc4ccncc4)c(=O)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267003 1074089917 /nfs/dbraw/zinc/08/99/17/1074089917.db2.gz SENSTRGLSZGXNL-UTRMSSBJSA-N 0 0 426.433 -0.996 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc4nnc(-c5ccccn5)n4c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267009 1074089870 /nfs/dbraw/zinc/08/98/70/1074089870.db2.gz SMRFRATXFGSWRJ-VVHMCBODSA-N 0 0 436.432 -0.496 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cccc(C=C4CCCNC4=O)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267013 1074089829 /nfs/dbraw/zinc/08/98/29/1074089829.db2.gz SNIUCGNADSAJDJ-PZRXMIQBSA-N 0 0 427.461 -0.308 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(=O)n(-c4ccccc4F)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267068 1074089893 /nfs/dbraw/zinc/08/98/93/1074089893.db2.gz VCHUDGJUSKGURL-UTRMSSBJSA-N 0 0 429.408 -0.311 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3c(Br)ccc4nccn43)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267255 1074090504 /nfs/dbraw/zinc/09/05/04/1074090504.db2.gz VMLMUMOWLGQEHZ-QGMIFYJMSA-N 0 0 437.254 -0.191 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccn(Cc4cccc(F)c4)c(=O)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267262 1074090392 /nfs/dbraw/zinc/09/03/92/1074090392.db2.gz VTXRLKIMSYJOOV-HUYLIWGRSA-N 0 0 443.435 -0.252 20 0 IBADRN COc1ccc(-n2ccc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cc2=O)cc1 ZINC001171267264 1074090412 /nfs/dbraw/zinc/09/04/12/1074090412.db2.gz VUQKFWUHYSZKFA-HUYLIWGRSA-N 0 0 441.444 -0.442 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc(=O)n(Cc4ccc(F)cc4)c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267281 1074090556 /nfs/dbraw/zinc/09/05/56/1074090556.db2.gz WIFSTQDBWBHHQT-HUYLIWGRSA-N 0 0 443.435 -0.252 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc4c(c3)C3(CCOCC3)C(=O)N4)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267299 1074090482 /nfs/dbraw/zinc/09/04/82/1074090482.db2.gz WURMHGOBFKMUHL-VDHUWJSZSA-N 0 0 443.460 -0.601 20 0 IBADRN CC(C)C(=O)NCCc1ccc(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1 ZINC001171267342 1074090150 /nfs/dbraw/zinc/09/01/50/1074090150.db2.gz XQERIRQXTXDMSV-DLVXIWMQSA-N 0 0 431.493 -0.121 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3c[nH]c4ncc(Br)nc34)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267397 1074090126 /nfs/dbraw/zinc/09/01/26/1074090126.db2.gz YQNUOAVXEXRLEC-HSZYHJKUSA-N 0 0 438.242 -0.567 20 0 IBADRN COc1cc(CN2CCOCC2)ccc1Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001171267403 1074090032 /nfs/dbraw/zinc/09/00/32/1074090032.db2.gz YUGGGROXZLZKDO-VBSBHUPXSA-N 0 0 447.492 -0.760 20 0 IBADRN COC(=O)c1cnc2cc(Nc3ccn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4N)c(=O)n3)cnc2c1 ZINC001171267407 1074090159 /nfs/dbraw/zinc/09/01/59/1074090159.db2.gz YYKYOFVGNGLFGF-MWQQHZPXSA-N 0 0 428.405 -0.871 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3cnc(OCc4ccncc4)nc3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267442 1074090115 /nfs/dbraw/zinc/09/01/15/1074090115.db2.gz ZIVDITNMHYVRTK-MWQQHZPXSA-N 0 0 427.421 -0.837 20 0 IBADRN N[C@H]1[C@H](n2ccc(Nc3ccc4nnc(-c5cccnc5)n4c3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171267455 1074090001 /nfs/dbraw/zinc/09/00/01/1074090001.db2.gz ZVBRILLZWFVHDF-VVHMCBODSA-N 0 0 436.432 -0.496 20 0 IBADRN COC(=O)c1cc(OC)c(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1OC ZINC001171271536 1074090466 /nfs/dbraw/zinc/09/04/66/1074090466.db2.gz NBCUSBQSBOXGHI-MWQQHZPXSA-N 0 0 436.421 -0.797 20 0 IBADRN Cn1c(N)c(C(=O)CN2c3ccccc3C[C@@H]2CNC(=O)C(F)(F)F)c(=O)n(C)c1=O ZINC001171319480 1074110105 /nfs/dbraw/zinc/11/01/05/1074110105.db2.gz HTTBEQVAEGXSHJ-LLVKDONJSA-N 0 0 439.394 -0.041 20 0 IBADRN Cn1c(N)c(C(=O)CN2c3ccccc3C[C@H]2CNC(=O)C(F)(F)F)c(=O)n(C)c1=O ZINC001171319481 1074110155 /nfs/dbraw/zinc/11/01/55/1074110155.db2.gz HTTBEQVAEGXSHJ-NSHDSACASA-N 0 0 439.394 -0.041 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3C[C@](C)(CO)[C@](C)(CO)C3)CC2)cn1C ZINC001171331299 1074113183 /nfs/dbraw/zinc/11/31/83/1074113183.db2.gz GGLMAABBFHJVEY-KDURUIRLSA-N 0 0 428.555 -0.031 20 0 IBADRN CNC(=O)[C@H]1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001171331505 1074113198 /nfs/dbraw/zinc/11/31/98/1074113198.db2.gz IEYOOIFAEHBUTD-AWEZNQCLSA-N 0 0 440.522 -0.278 20 0 IBADRN CNC(=O)[C@@H]1CCN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001171331506 1074113248 /nfs/dbraw/zinc/11/32/48/1074113248.db2.gz IEYOOIFAEHBUTD-CQSZACIVSA-N 0 0 440.522 -0.278 20 0 IBADRN CC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(C(C)=O)c3)CC2)C1 ZINC001171332471 1074113170 /nfs/dbraw/zinc/11/31/70/1074113170.db2.gz QCDYZQXOYSLUNX-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3cccc(C(C)=O)c3)CC2)C1 ZINC001171332473 1074113207 /nfs/dbraw/zinc/11/32/07/1074113207.db2.gz QCDYZQXOYSLUNX-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+][C@@H]2CCc3c(F)cc(F)cc3C2)[C@@H](O)[C@@H]1O ZINC001171356499 1074116144 /nfs/dbraw/zinc/11/61/44/1074116144.db2.gz ADMXLALQYZZERY-BPVGTKOISA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+][C@H]2CCc3c(F)cc(F)cc3C2)[C@@H](O)[C@@H]1O ZINC001171356500 1074116015 /nfs/dbraw/zinc/11/60/15/1074116015.db2.gz ADMXLALQYZZERY-CSQUYMEISA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+][C@H]2CCc3c(F)cc(F)cc3C2)[C@@H](O)[C@@H]1O ZINC001171356501 1074116185 /nfs/dbraw/zinc/11/61/85/1074116185.db2.gz ADMXLALQYZZERY-KLAMDUKHSA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+][C@@H]2CCc3c(F)cc(F)cc3C2)[C@@H](O)[C@@H]1O ZINC001171356502 1074116172 /nfs/dbraw/zinc/11/61/72/1074116172.db2.gz ADMXLALQYZZERY-QDYJPZLASA-N 0 0 425.406 -0.961 20 0 IBADRN C[C@@H](NC(=O)CN(CCNC(=O)OC(C)(C)C)C[C@H](O)CO)C(=O)N1CCCCC1 ZINC001171427270 1074120037 /nfs/dbraw/zinc/12/00/37/1074120037.db2.gz WAAMKKNBVCCVCC-CVEARBPZSA-N 0 0 430.546 -0.317 20 0 IBADRN C[C@H](NC(=O)CN(CCNC(=O)OC(C)(C)C)C[C@H](O)CO)C(=O)N1CCCCC1 ZINC001171427271 1074119841 /nfs/dbraw/zinc/11/98/41/1074119841.db2.gz WAAMKKNBVCCVCC-HOTGVXAUSA-N 0 0 430.546 -0.317 20 0 IBADRN C[C@@H](NC(=O)CN(CCNC(=O)OC(C)(C)C)C[C@@H](O)CO)C(=O)N1CCCCC1 ZINC001171427272 1074119796 /nfs/dbraw/zinc/11/97/96/1074119796.db2.gz WAAMKKNBVCCVCC-HZPDHXFCSA-N 0 0 430.546 -0.317 20 0 IBADRN C[C@H](NC(=O)CN(CCNC(=O)OC(C)(C)C)C[C@@H](O)CO)C(=O)N1CCCCC1 ZINC001171427273 1074120621 /nfs/dbraw/zinc/12/06/21/1074120621.db2.gz WAAMKKNBVCCVCC-JKSUJKDBSA-N 0 0 430.546 -0.317 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+][C@@H]2CCc3cc(F)c(F)cc3C2)[C@@H](O)[C@@H]1O ZINC001171470246 1074122694 /nfs/dbraw/zinc/12/26/94/1074122694.db2.gz CWZWSYZWUURHEY-BPVGTKOISA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+][C@H]2CCc3cc(F)c(F)cc3C2)[C@@H](O)[C@@H]1O ZINC001171470251 1074122658 /nfs/dbraw/zinc/12/26/58/1074122658.db2.gz CWZWSYZWUURHEY-CSQUYMEISA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+][C@H]2CCc3cc(F)c(F)cc3C2)[C@@H](O)[C@@H]1O ZINC001171470255 1074122762 /nfs/dbraw/zinc/12/27/62/1074122762.db2.gz CWZWSYZWUURHEY-KLAMDUKHSA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+][C@@H]2CCc3cc(F)c(F)cc3C2)[C@@H](O)[C@@H]1O ZINC001171470258 1074122779 /nfs/dbraw/zinc/12/27/79/1074122779.db2.gz CWZWSYZWUURHEY-QDYJPZLASA-N 0 0 425.406 -0.961 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+][C@H]2CCc3cc(F)c(F)c(F)c3C2)[C@@H](O)[C@@H]1O ZINC001171522200 1074123291 /nfs/dbraw/zinc/12/32/91/1074123291.db2.gz BOQWRZKLIYJQDI-MAPFMYKVSA-N 0 0 443.396 -0.822 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+][C@@H]2CCc3cc(F)c(F)c(F)c3C2)[C@@H](O)[C@@H]1O ZINC001171522201 1074123301 /nfs/dbraw/zinc/12/33/01/1074123301.db2.gz BOQWRZKLIYJQDI-OVWYMSORSA-N 0 0 443.396 -0.822 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+][C@@H]2CCc3cc(F)c(F)c(F)c3C2)[C@@H](O)[C@@H]1O ZINC001171522202 1074123246 /nfs/dbraw/zinc/12/32/46/1074123246.db2.gz BOQWRZKLIYJQDI-QZUACCQFSA-N 0 0 443.396 -0.822 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+][C@H]2CCc3cc(F)c(F)c(F)c3C2)[C@@H](O)[C@@H]1O ZINC001171522203 1074123206 /nfs/dbraw/zinc/12/32/06/1074123206.db2.gz BOQWRZKLIYJQDI-UCCPJJOTSA-N 0 0 443.396 -0.822 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001171532549 1074122710 /nfs/dbraw/zinc/12/27/10/1074122710.db2.gz FILPBCBUBADIKN-KBXCAEBGSA-N 0 0 445.563 -0.729 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001171532550 1074122769 /nfs/dbraw/zinc/12/27/69/1074122769.db2.gz FILPBCBUBADIKN-KDOFPFPSSA-N 0 0 445.563 -0.729 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001171532594 1074123296 /nfs/dbraw/zinc/12/32/96/1074123296.db2.gz FILPBCBUBADIKN-KSSFIOAISA-N 0 0 445.563 -0.729 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001171532595 1074123219 /nfs/dbraw/zinc/12/32/19/1074123219.db2.gz FILPBCBUBADIKN-RDTXWAMCSA-N 0 0 445.563 -0.729 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001171536802 1074123272 /nfs/dbraw/zinc/12/32/72/1074123272.db2.gz RMVHMIYFOHDBDH-UHFFFAOYSA-N 0 0 435.524 -0.615 20 0 IBADRN NC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CCOC1 ZINC001171572471 1074123227 /nfs/dbraw/zinc/12/32/27/1074123227.db2.gz IZWGEOUAVZEUKK-KRWDZBQOSA-N 0 0 430.914 -0.593 20 0 IBADRN NC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)CCOC1 ZINC001171572475 1074123306 /nfs/dbraw/zinc/12/33/06/1074123306.db2.gz IZWGEOUAVZEUKK-QGZVFWFLSA-N 0 0 430.914 -0.593 20 0 IBADRN CN1CCOC[C@@H]1CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001171627167 1074123953 /nfs/dbraw/zinc/12/39/53/1074123953.db2.gz HTBXDJVGXSYDIZ-KRWDZBQOSA-N 0 0 438.550 -0.254 20 0 IBADRN CN1CCOC[C@H]1CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001171627168 1074123869 /nfs/dbraw/zinc/12/38/69/1074123869.db2.gz HTBXDJVGXSYDIZ-QGZVFWFLSA-N 0 0 438.550 -0.254 20 0 IBADRN Cn1cnnc1N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC001171632299 1074123262 /nfs/dbraw/zinc/12/32/62/1074123262.db2.gz XHXXCLKPMVURQI-UHFFFAOYSA-N 0 0 433.538 -0.530 20 0 IBADRN O=C(CN1CCN(c2nc[nH]n2)CC1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001171642606 1074123933 /nfs/dbraw/zinc/12/39/33/1074123933.db2.gz ZCQUMBNKIXLSTL-UHFFFAOYSA-N 0 0 433.538 -0.399 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)NC[C@@]1(CO)COCCN1)CS2(=O)=O ZINC001171661951 1074123839 /nfs/dbraw/zinc/12/38/39/1074123839.db2.gz JPGYFJRSUMIJEZ-BKEDOTJMSA-N 0 0 447.554 -0.876 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)NC[C@]1(CO)COCCN1)CS2(=O)=O ZINC001171661955 1074123888 /nfs/dbraw/zinc/12/38/88/1074123888.db2.gz JPGYFJRSUMIJEZ-CUYVQJCZSA-N 0 0 447.554 -0.876 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)NC[C@@]3(CO)COCCN3)CS(=O)(=O)[C@@H]2C1 ZINC001171661957 1074123800 /nfs/dbraw/zinc/12/38/00/1074123800.db2.gz JPGYFJRSUMIJEZ-DEXNDLTESA-N 0 0 447.554 -0.876 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)NC[C@]3(CO)COCCN3)CS(=O)(=O)[C@@H]2C1 ZINC001171661959 1074123907 /nfs/dbraw/zinc/12/39/07/1074123907.db2.gz JPGYFJRSUMIJEZ-GPINWOSQSA-N 0 0 447.554 -0.876 20 0 IBADRN O=C(NC[C@@]1(CO)COCCN1)C1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC001171662139 1074123965 /nfs/dbraw/zinc/12/39/65/1074123965.db2.gz ODPTUTGRAXTKHO-GOSISDBHSA-N 0 0 433.477 -0.167 20 0 IBADRN O=C(NC[C@]1(CO)COCCN1)C1CCN(S(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC001171662140 1074123773 /nfs/dbraw/zinc/12/37/73/1074123773.db2.gz ODPTUTGRAXTKHO-SFHVURJKSA-N 0 0 433.477 -0.167 20 0 IBADRN CC(C)(CNC(=O)c1sccc1S(=O)(=O)N1CCOCC1)CS(N)(=O)=O ZINC001171675358 1074123830 /nfs/dbraw/zinc/12/38/30/1074123830.db2.gz GWSNFZIMFXWVPG-UHFFFAOYSA-N 0 0 425.554 -0.187 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC[C@@H](O)[C@@H](O)C1 ZINC001171680082 1074123758 /nfs/dbraw/zinc/12/37/58/1074123758.db2.gz BFIKWMRHVIMPOP-SJORKVTESA-N 0 0 427.523 -0.411 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCN(Cc4nc[nH]n4)CC3)C2=O)cc1 ZINC001171684192 1074124373 /nfs/dbraw/zinc/12/43/73/1074124373.db2.gz IXTQWACPAQJMFF-FQEVSTJZSA-N 0 0 442.480 -0.571 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCN(Cc4nc[nH]n4)CC3)C2=O)cc1 ZINC001171684193 1074124316 /nfs/dbraw/zinc/12/43/16/1074124316.db2.gz IXTQWACPAQJMFF-HXUWFJFHSA-N 0 0 442.480 -0.571 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)CC1=O ZINC001171702049 1074123960 /nfs/dbraw/zinc/12/39/60/1074123960.db2.gz QVKZSTDGSLHUGK-JYJNAYRXSA-N 0 0 434.493 -0.314 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)CC1=O ZINC001171702050 1074123916 /nfs/dbraw/zinc/12/39/16/1074123916.db2.gz QVKZSTDGSLHUGK-PMPSAXMXSA-N 0 0 434.493 -0.314 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H]2OCC(=O)N(C)[C@@H]2c2cccnc2)CC1 ZINC001171717172 1074124416 /nfs/dbraw/zinc/12/44/16/1074124416.db2.gz LROIABDZEDWAHI-MSOLQXFVSA-N 0 0 439.538 -0.289 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)c(Cl)o2)CC1 ZINC001171717703 1074124422 /nfs/dbraw/zinc/12/44/22/1074124422.db2.gz ZXTQGQZQHKOFPD-UHFFFAOYSA-N 0 0 428.920 -0.075 20 0 IBADRN COc1ccc(NC(=O)CN2CN(C)C(=O)[C@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC001171719408 1074124333 /nfs/dbraw/zinc/12/43/33/1074124333.db2.gz SUFOVSXHOMUAEN-CYBMUJFWSA-N 0 0 426.495 -0.225 20 0 IBADRN COc1ccc(NC(=O)CN2CN(C)C(=O)[C@@H]2C)cc1S(=O)(=O)N1CCOCC1 ZINC001171719409 1074124431 /nfs/dbraw/zinc/12/44/31/1074124431.db2.gz SUFOVSXHOMUAEN-ZDUSSCGKSA-N 0 0 426.495 -0.225 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc(OC(F)(F)F)cc3)C[C@H]2C1=O ZINC001171750202 1074124353 /nfs/dbraw/zinc/12/43/53/1074124353.db2.gz CXPZCSACKAAYDF-TXEJJXNPSA-N 0 0 435.380 -0.063 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)c1 ZINC001171752578 1074124326 /nfs/dbraw/zinc/12/43/26/1074124326.db2.gz XJWHRYWYTDKGPY-BETUJISGSA-N 0 0 429.882 -0.049 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1OCC ZINC001171787601 1074124357 /nfs/dbraw/zinc/12/43/57/1074124357.db2.gz HSKULPIVOQGRCP-NRFANRHFSA-N 0 0 435.477 -0.042 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)NC[C@]23COCCN2C(=O)COC3)cc1OCC ZINC001171787602 1074124426 /nfs/dbraw/zinc/12/44/26/1074124426.db2.gz HSKULPIVOQGRCP-OAQYLSRUSA-N 0 0 435.477 -0.042 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CCC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001171787619 1074124339 /nfs/dbraw/zinc/12/43/39/1074124339.db2.gz IFROVYPWEXMQFV-NRFANRHFSA-N 0 0 427.461 -0.183 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CCC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001171787622 1074124307 /nfs/dbraw/zinc/12/43/07/1074124307.db2.gz IFROVYPWEXMQFV-OAQYLSRUSA-N 0 0 427.461 -0.183 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F ZINC001171787901 1074125050 /nfs/dbraw/zinc/12/50/50/1074125050.db2.gz NBIZTMBOPRPHQW-GOSISDBHSA-N 0 0 445.444 -0.237 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F ZINC001171787902 1074125015 /nfs/dbraw/zinc/12/50/15/1074125015.db2.gz NBIZTMBOPRPHQW-SFHVURJKSA-N 0 0 445.444 -0.237 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@@]23COCCN2C(=O)COC3)ccc1OC(F)F ZINC001171788063 1074124963 /nfs/dbraw/zinc/12/49/63/1074124963.db2.gz PMFMXHASADKIEG-IBGZPJMESA-N 0 0 443.403 -0.230 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@]23COCCN2C(=O)COC3)ccc1OC(F)F ZINC001171788064 1074124951 /nfs/dbraw/zinc/12/49/51/1074124951.db2.gz PMFMXHASADKIEG-LJQANCHMSA-N 0 0 443.403 -0.230 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1CCN(CC(=O)OC(C)(C)C)CC1 ZINC001171788410 1074124888 /nfs/dbraw/zinc/12/48/88/1074124888.db2.gz VEMJGQYMGSXTAE-UHFFFAOYSA-N 0 0 448.586 -0.257 20 0 IBADRN Cc1ccc(CN2CCO[C@@H](CNC(=O)CCn3cc(C(N)=O)c(=O)[nH]c3=O)C2)cc1 ZINC001171823625 1074124343 /nfs/dbraw/zinc/12/43/43/1074124343.db2.gz JLMYPLZXZXUFIN-INIZCTEOSA-N 0 0 429.477 -0.236 20 0 IBADRN Cc1ccc(CN2CCO[C@H](CNC(=O)CCn3cc(C(N)=O)c(=O)[nH]c3=O)C2)cc1 ZINC001171823626 1074124388 /nfs/dbraw/zinc/12/43/88/1074124388.db2.gz JLMYPLZXZXUFIN-MRXNPFEDSA-N 0 0 429.477 -0.236 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC(O)(CO)CC3)CC2)cc1 ZINC001171841574 1074125110 /nfs/dbraw/zinc/12/51/10/1074125110.db2.gz QASKYQNKSDGXEZ-UHFFFAOYSA-N 0 0 439.534 -0.459 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1N[C@@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001171853808 1074125166 /nfs/dbraw/zinc/12/51/66/1074125166.db2.gz JDASSZLCNIUWPK-RRQGHBQHSA-N 0 0 441.506 -0.045 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1N[C@H](C)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001171853809 1074124919 /nfs/dbraw/zinc/12/49/19/1074124919.db2.gz JDASSZLCNIUWPK-XOKHGSTOSA-N 0 0 441.506 -0.045 20 0 IBADRN COC(OC)C(=O)N1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001171867861 1074125065 /nfs/dbraw/zinc/12/50/65/1074125065.db2.gz PWQWTHRCYUKETB-UHFFFAOYSA-N 0 0 448.520 -0.462 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@H]3CCCN(C(=O)c4ccccc4)CC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171879401 1074125745 /nfs/dbraw/zinc/12/57/45/1074125745.db2.gz WZRDHDHQAVGKTQ-PJDYVGSDSA-N 0 0 443.504 -0.238 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@@H]3CCCN(C(=O)c4ccccc4)CC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001171879402 1074125774 /nfs/dbraw/zinc/12/57/74/1074125774.db2.gz WZRDHDHQAVGKTQ-ZZDIOYDHSA-N 0 0 443.504 -0.238 20 0 IBADRN CC(C)(C)OC(=O)C1CCC([NH2+][C@@H]2[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]2O)CC1 ZINC001171919191 1074125146 /nfs/dbraw/zinc/12/51/46/1074125146.db2.gz XPBRTHAYKOTVFP-DOUKROJLSA-N 0 0 441.499 -0.897 20 0 IBADRN CC(C)(C)OC(=O)C1CCC([NH2+][C@H]2[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]2O)CC1 ZINC001171919198 1074124939 /nfs/dbraw/zinc/12/49/39/1074124939.db2.gz XPBRTHAYKOTVFP-MRMZANMRSA-N 0 0 441.499 -0.897 20 0 IBADRN O=C(CN1CCC(CO)(CO)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001171943851 1074125658 /nfs/dbraw/zinc/12/56/58/1074125658.db2.gz VTAGTZGBBIBNLU-UHFFFAOYSA-N 0 0 431.942 -0.150 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)C2)C1 ZINC001171944735 1074125801 /nfs/dbraw/zinc/12/58/01/1074125801.db2.gz NDKPTVUKMBLERN-ACWOFJMJSA-N 0 0 439.490 -0.057 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)[C@@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)C2)C1 ZINC001171944736 1074125623 /nfs/dbraw/zinc/12/56/23/1074125623.db2.gz NDKPTVUKMBLERN-IYJAJMOOSA-N 0 0 439.490 -0.057 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@H](C)O)C2)C1 ZINC001171944737 1074125780 /nfs/dbraw/zinc/12/57/80/1074125780.db2.gz NDKPTVUKMBLERN-URKNILKWSA-N 0 0 439.490 -0.057 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)[C@H](NS(=O)(=O)c3ccc(C)cc3)[C@@H](C)O)C2)C1 ZINC001171944738 1074125714 /nfs/dbraw/zinc/12/57/14/1074125714.db2.gz NDKPTVUKMBLERN-VVFCZOMOSA-N 0 0 439.490 -0.057 20 0 IBADRN C[C@@H](Cc1ccc(C(F)(F)F)cc1)[NH2+][C@@H]1[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]1O ZINC001171963550 1074125678 /nfs/dbraw/zinc/12/56/78/1074125678.db2.gz IWNWPMIVNVPMAK-BUYINNJUSA-N 0 0 445.412 -0.147 20 0 IBADRN C[C@H](Cc1ccc(C(F)(F)F)cc1)[NH2+][C@@H]1[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]1O ZINC001171963559 1074125766 /nfs/dbraw/zinc/12/57/66/1074125766.db2.gz IWNWPMIVNVPMAK-KGOYKBJISA-N 0 0 445.412 -0.147 20 0 IBADRN C[C@@H](Cc1ccc(C(F)(F)F)cc1)[NH2+][C@H]1[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]1O ZINC001171963560 1074125648 /nfs/dbraw/zinc/12/56/48/1074125648.db2.gz IWNWPMIVNVPMAK-MIQUVIGZSA-N 0 0 445.412 -0.147 20 0 IBADRN C[C@H](Cc1ccc(C(F)(F)F)cc1)[NH2+][C@H]1[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]1O ZINC001171963563 1074125632 /nfs/dbraw/zinc/12/56/32/1074125632.db2.gz IWNWPMIVNVPMAK-SSPFGONASA-N 0 0 445.412 -0.147 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N[C@H]2CCN(Cc3ccccc3)C(=O)C2)c(=O)[nH]1 ZINC001172676162 1074128080 /nfs/dbraw/zinc/12/80/80/1074128080.db2.gz MENQAQAVQJIOIV-MZCHELMPSA-N 0 0 430.461 -0.651 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N[C@@H]2CCN(Cc3ccccc3)C(=O)C2)c(=O)[nH]1 ZINC001172676163 1074128120 /nfs/dbraw/zinc/12/81/20/1074128120.db2.gz MENQAQAVQJIOIV-UYUBTLJZSA-N 0 0 430.461 -0.651 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@H]3CCN(Cc4ccccc4)C(=O)C3)nc2=O)O[C@H](CO)[C@H]1O ZINC001172676205 1074128075 /nfs/dbraw/zinc/12/80/75/1074128075.db2.gz MYJNEEDQLUNSFF-MZCHELMPSA-N 0 0 429.477 -0.742 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@@H]3CCN(Cc4ccccc4)C(=O)C3)nc2=O)O[C@H](CO)[C@H]1O ZINC001172676207 1074128131 /nfs/dbraw/zinc/12/81/31/1074128131.db2.gz MYJNEEDQLUNSFF-UYUBTLJZSA-N 0 0 429.477 -0.742 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1C[C@H](N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)CN1C(=O)OC(C)(C)C ZINC001172700096 1074128146 /nfs/dbraw/zinc/12/81/46/1074128146.db2.gz MVTAYPMNWIQSBJ-MNCOHNSWSA-N 0 0 448.513 -0.904 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1C[C@H](N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)CN1C(=O)OC(C)(C)C ZINC001172700097 1074128077 /nfs/dbraw/zinc/12/80/77/1074128077.db2.gz MVTAYPMNWIQSBJ-QHLKRXENSA-N 0 0 448.513 -0.904 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1C[C@@H](N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)CN1C(=O)OC(C)(C)C ZINC001172700099 1074128060 /nfs/dbraw/zinc/12/80/60/1074128060.db2.gz MVTAYPMNWIQSBJ-ULRVOZHWSA-N 0 0 448.513 -0.904 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1C[C@@H](N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)CN1C(=O)OC(C)(C)C ZINC001172700100 1074128073 /nfs/dbraw/zinc/12/80/73/1074128073.db2.gz MVTAYPMNWIQSBJ-USYRYXCBSA-N 0 0 448.513 -0.904 20 0 IBADRN C[C@@H](Cc1c(F)cccc1Cl)[NH2+][C@@H]1[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]1O ZINC001172844585 1074128679 /nfs/dbraw/zinc/12/86/79/1074128679.db2.gz CYIWTPQQEGYQJY-AOQXOJIPSA-N 0 0 429.850 -0.373 20 0 IBADRN C[C@H](Cc1c(F)cccc1Cl)[NH2+][C@@H]1[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]1O ZINC001172844601 1074128661 /nfs/dbraw/zinc/12/86/61/1074128661.db2.gz CYIWTPQQEGYQJY-AZQGBDTRSA-N 0 0 429.850 -0.373 20 0 IBADRN C[C@@H](Cc1c(F)cccc1Cl)[NH2+][C@H]1[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]1O ZINC001172844604 1074128527 /nfs/dbraw/zinc/12/85/27/1074128527.db2.gz CYIWTPQQEGYQJY-GVUUORCZSA-N 0 0 429.850 -0.373 20 0 IBADRN C[C@H](Cc1c(F)cccc1Cl)[NH2+][C@H]1[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]1O ZINC001172844607 1074128768 /nfs/dbraw/zinc/12/87/68/1074128768.db2.gz CYIWTPQQEGYQJY-XHVQSEJYSA-N 0 0 429.850 -0.373 20 0 IBADRN C[C@@H](Cc1ccc(F)cc1Cl)[NH2+][C@@H]1[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]1O ZINC001172859164 1074129154 /nfs/dbraw/zinc/12/91/54/1074129154.db2.gz SDAMENQLNSUQQL-AOQXOJIPSA-N 0 0 429.850 -0.373 20 0 IBADRN C[C@H](Cc1ccc(F)cc1Cl)[NH2+][C@@H]1[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]1O ZINC001172859165 1074129190 /nfs/dbraw/zinc/12/91/90/1074129190.db2.gz SDAMENQLNSUQQL-AZQGBDTRSA-N 0 0 429.850 -0.373 20 0 IBADRN C[C@@H](Cc1ccc(F)cc1Cl)[NH2+][C@H]1[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]1O ZINC001172859166 1074129161 /nfs/dbraw/zinc/12/91/61/1074129161.db2.gz SDAMENQLNSUQQL-GVUUORCZSA-N 0 0 429.850 -0.373 20 0 IBADRN C[C@H](Cc1ccc(F)cc1Cl)[NH2+][C@H]1[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]1O ZINC001172859167 1074129216 /nfs/dbraw/zinc/12/92/16/1074129216.db2.gz SDAMENQLNSUQQL-XHVQSEJYSA-N 0 0 429.850 -0.373 20 0 IBADRN C[C@H](CCN(C)C(=O)OC(C)(C)C)Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001172961557 1074129168 /nfs/dbraw/zinc/12/91/68/1074129168.db2.gz VJHMEISRIBZBJN-CCECPURYSA-N 0 0 427.502 -0.287 20 0 IBADRN C[C@@H](CCN(C)C(=O)OC(C)(C)C)Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2N)c(=O)n1 ZINC001172961558 1074129223 /nfs/dbraw/zinc/12/92/23/1074129223.db2.gz VJHMEISRIBZBJN-OVJXPFRRSA-N 0 0 427.502 -0.287 20 0 IBADRN N[C@H]1[C@H](n2ccc(NC3CCN(C(=O)c4ccc(F)cc4)CC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001172999061 1074129818 /nfs/dbraw/zinc/12/98/18/1074129818.db2.gz LBJFQDHUSKDLJV-DLVXIWMQSA-N 0 0 447.467 -0.489 20 0 IBADRN C[C@@H]1CC[C@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CN1C(=O)OC(C)(C)C ZINC001173053599 1074129875 /nfs/dbraw/zinc/12/98/75/1074129875.db2.gz QCRHYIUJGMKWPH-CHBVHBROSA-N 0 0 440.497 -0.054 20 0 IBADRN C[C@@H]1CC[C@@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CN1C(=O)OC(C)(C)C ZINC001173053606 1074129967 /nfs/dbraw/zinc/12/99/67/1074129967.db2.gz QCRHYIUJGMKWPH-GTIXAXMVSA-N 0 0 440.497 -0.054 20 0 IBADRN C[C@H]1CC[C@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CN1C(=O)OC(C)(C)C ZINC001173053607 1074129947 /nfs/dbraw/zinc/12/99/47/1074129947.db2.gz QCRHYIUJGMKWPH-NZYBOLKRSA-N 0 0 440.497 -0.054 20 0 IBADRN C[C@H]1CC[C@@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CN1C(=O)OC(C)(C)C ZINC001173053609 1074129858 /nfs/dbraw/zinc/12/98/58/1074129858.db2.gz QCRHYIUJGMKWPH-YCEVJDTHSA-N 0 0 440.497 -0.054 20 0 IBADRN C[C@@H]1CC[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CN1C(=O)OC(C)(C)C ZINC001173054045 1074129892 /nfs/dbraw/zinc/12/98/92/1074129892.db2.gz VIRWLAUNBZBKAM-CHBVHBROSA-N 0 0 439.513 -0.145 20 0 IBADRN C[C@@H]1CC[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CN1C(=O)OC(C)(C)C ZINC001173054046 1074129931 /nfs/dbraw/zinc/12/99/31/1074129931.db2.gz VIRWLAUNBZBKAM-GTIXAXMVSA-N 0 0 439.513 -0.145 20 0 IBADRN C[C@H]1CC[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CN1C(=O)OC(C)(C)C ZINC001173054047 1074129916 /nfs/dbraw/zinc/12/99/16/1074129916.db2.gz VIRWLAUNBZBKAM-NZYBOLKRSA-N 0 0 439.513 -0.145 20 0 IBADRN C[C@H]1CC[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CN1C(=O)OC(C)(C)C ZINC001173054048 1074129831 /nfs/dbraw/zinc/12/98/31/1074129831.db2.gz VIRWLAUNBZBKAM-YCEVJDTHSA-N 0 0 439.513 -0.145 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+]C2CCC(Oc3ccccc3)CC2)[C@@H](O)[C@@H]1O ZINC001173198115 1074130305 /nfs/dbraw/zinc/13/03/05/1074130305.db2.gz RRWZVNFFXYYUKJ-IICMNQIESA-N 0 0 433.479 -0.407 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+]C2CCC(Oc3ccccc3)CC2)[C@@H](O)[C@@H]1O ZINC001173198120 1074130463 /nfs/dbraw/zinc/13/04/63/1074130463.db2.gz RRWZVNFFXYYUKJ-STQRIWSVSA-N 0 0 433.479 -0.407 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)C[C@@H]1C(=O)OC ZINC001173574595 1074131529 /nfs/dbraw/zinc/13/15/29/1074131529.db2.gz HJSXVDUUTCYFCR-ACHSLPGTSA-N 0 0 447.536 -0.093 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)C[C@@H]1C(=O)OC ZINC001173574596 1074131388 /nfs/dbraw/zinc/13/13/88/1074131388.db2.gz HJSXVDUUTCYFCR-XGBSXSJOSA-N 0 0 447.536 -0.093 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CCCn3c(=O)cc(CNC(=O)N(C)C)nc3C2)C[C@@H]1C(=O)OC ZINC001173577461 1074132147 /nfs/dbraw/zinc/13/21/47/1074132147.db2.gz SJPDRBHWMFJLFM-MUJYYYPQSA-N 0 0 449.508 -0.039 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CCCn3c(=O)cc(CNC(=O)N(C)C)nc3C2)C[C@@H]1C(=O)OC ZINC001173577465 1074132140 /nfs/dbraw/zinc/13/21/40/1074132140.db2.gz SJPDRBHWMFJLFM-PHZGNYQRSA-N 0 0 449.508 -0.039 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2Cc3ccnn3C[C@H](C(=O)N3CCOCC3)C2)C[C@@H]1C(=O)OC ZINC001173578778 1074132093 /nfs/dbraw/zinc/13/20/93/1074132093.db2.gz NEDKSSIEUANIEX-DDBAPUKQSA-N 0 0 434.493 -0.086 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2Cc3ccnn3C[C@@H](C(=O)N3CCOCC3)C2)C[C@@H]1C(=O)OC ZINC001173578781 1074132164 /nfs/dbraw/zinc/13/21/64/1074132164.db2.gz NEDKSSIEUANIEX-LEUOFYLZSA-N 0 0 434.493 -0.086 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2Cc3ccnn3C[C@H](C(=O)N3CCOCC3)C2)C[C@@H]1C(=O)OC ZINC001173578783 1074132105 /nfs/dbraw/zinc/13/21/05/1074132105.db2.gz NEDKSSIEUANIEX-NRSFXHEJSA-N 0 0 434.493 -0.086 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2Cc3ccnn3C[C@@H](C(=O)N3CCOCC3)C2)C[C@@H]1C(=O)OC ZINC001173578787 1074132130 /nfs/dbraw/zinc/13/21/30/1074132130.db2.gz NEDKSSIEUANIEX-OWLYRPNTSA-N 0 0 434.493 -0.086 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2ccccc2C(N)=O)[C@H](O)[C@@H]1O ZINC001173981748 1074133129 /nfs/dbraw/zinc/13/31/29/1074133129.db2.gz ZUISBRZCRMZFQX-SSHHRWTQSA-N 0 0 427.421 -0.576 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2ccc(C(N)=O)cc2)[C@H](O)[C@@H]1O ZINC001174048363 1074133335 /nfs/dbraw/zinc/13/33/35/1074133335.db2.gz BJESSTJFRWJLGN-SSHHRWTQSA-N 0 0 427.421 -0.576 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2cccc(C(N)=O)c2)[C@H](O)[C@@H]1O ZINC001174058813 1074133313 /nfs/dbraw/zinc/13/33/13/1074133313.db2.gz XOYGYXTWXZUVCU-SSHHRWTQSA-N 0 0 427.421 -0.576 20 0 IBADRN CCN(CC)C(=O)c1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001174062268 1074133283 /nfs/dbraw/zinc/13/32/83/1074133283.db2.gz KSPABGHKCJDLNT-KHTYJDQRSA-N 0 0 433.465 -0.181 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1ccc(C(=O)N2CCCC2)cc1 ZINC001174068585 1074133211 /nfs/dbraw/zinc/13/32/11/1074133211.db2.gz VOCBCAUODNJEJO-KHTYJDQRSA-N 0 0 431.449 -0.427 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1ccc(C(=O)N2CCCCC2)cc1 ZINC001174072157 1074133017 /nfs/dbraw/zinc/13/30/17/1074133017.db2.gz ACVFZEYTUMPGPH-VGKBRBPRSA-N 0 0 445.476 -0.037 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2cccc(C(=O)NC)c2)[C@H](O)[C@@H]1O ZINC001174140731 1074133268 /nfs/dbraw/zinc/13/32/68/1074133268.db2.gz UGXGSMVXBVQDHU-LDNFRZNASA-N 0 0 441.448 -0.315 20 0 IBADRN CCN(CC)C(=O)c1cccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC001174163829 1074133240 /nfs/dbraw/zinc/13/32/40/1074133240.db2.gz OSBKHBXGTPKPKW-KHTYJDQRSA-N 0 0 433.465 -0.181 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1cccc(C(=O)N2CCCC2)c1 ZINC001174168594 1074133324 /nfs/dbraw/zinc/13/33/24/1074133324.db2.gz HDMLUTROCLUPRZ-KHTYJDQRSA-N 0 0 431.449 -0.427 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1cccc(C(=O)N2CCCCC2)c1 ZINC001174174604 1074132991 /nfs/dbraw/zinc/13/29/91/1074132991.db2.gz AVQPQGIXRKSPGX-VGKBRBPRSA-N 0 0 445.476 -0.037 20 0 IBADRN CNS(=O)(=O)c1ccc(Nc2ncnc3c2ccn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001174264690 1074133039 /nfs/dbraw/zinc/13/30/39/1074133039.db2.gz XJIILEFUEKQQGF-ATNYBXOESA-N 0 0 435.462 -0.306 20 0 IBADRN CC(C)S(=O)(=O)c1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001174269534 1074133632 /nfs/dbraw/zinc/13/36/32/1074133632.db2.gz TWVYHUSEXWPSBC-SCFUHWHPSA-N 0 0 440.478 -0.481 20 0 IBADRN CC(C)(C)OC(=O)N1CC=C(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001174439345 1074133768 /nfs/dbraw/zinc/13/37/68/1074133768.db2.gz BQQCAGONIAAYHO-LSCFUAHRSA-N 0 0 439.469 -0.470 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1ccc(CN2CCOCC2)cc1 ZINC001174444670 1074133805 /nfs/dbraw/zinc/13/38/05/1074133805.db2.gz YSFZXGILNKWCKM-WVSUBDOOSA-N 0 0 433.465 -0.831 20 0 IBADRN CCS(=O)(=O)c1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001174463777 1074133644 /nfs/dbraw/zinc/13/36/44/1074133644.db2.gz BHDZERTXRDTVNQ-LSCFUAHRSA-N 0 0 426.451 -0.869 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2ccc(C(=O)NC)cc2)[C@H](O)[C@@H]1O ZINC001174510907 1074133714 /nfs/dbraw/zinc/13/37/14/1074133714.db2.gz HNZJPOAREYXESZ-LDNFRZNASA-N 0 0 441.448 -0.315 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ncc3cc(Br)ccn32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001174554933 1074134338 /nfs/dbraw/zinc/13/43/38/1074134338.db2.gz FCSZTFVLNLVDQU-LRMZEGNFSA-N 0 0 430.255 -0.329 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001174569686 1074133658 /nfs/dbraw/zinc/13/36/58/1074133658.db2.gz MRZXKVYMLHXZSH-INIZCTEOSA-N 0 0 430.508 -0.297 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001174569689 1074133782 /nfs/dbraw/zinc/13/37/82/1074133782.db2.gz MRZXKVYMLHXZSH-MRXNPFEDSA-N 0 0 430.508 -0.297 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NC[C@]23COC(=O)N2CCOC3)C1 ZINC001174571569 1074133726 /nfs/dbraw/zinc/13/37/26/1074133726.db2.gz YCXKZTDZWIJGAI-ACJLOTCBSA-N 0 0 447.510 -0.252 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NC[C@@]23COC(=O)N2CCOC3)C1 ZINC001174571572 1074133760 /nfs/dbraw/zinc/13/37/60/1074133760.db2.gz YCXKZTDZWIJGAI-FZKQIMNGSA-N 0 0 447.510 -0.252 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NC[C@@]23COC(=O)N2CCOC3)C1 ZINC001174571575 1074133758 /nfs/dbraw/zinc/13/37/58/1074133758.db2.gz YCXKZTDZWIJGAI-SCLBCKFNSA-N 0 0 447.510 -0.252 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NC[C@]23COC(=O)N2CCOC3)C1 ZINC001174571577 1074133697 /nfs/dbraw/zinc/13/36/97/1074133697.db2.gz YCXKZTDZWIJGAI-UGSOOPFHSA-N 0 0 447.510 -0.252 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@]23COC(=O)N2CCOC3)c1 ZINC001174571975 1074133664 /nfs/dbraw/zinc/13/36/64/1074133664.db2.gz ZXLKBOUDCTZWPR-GOSISDBHSA-N 0 0 440.478 -0.996 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@]23COC(=O)N2CCOC3)c1 ZINC001174571977 1074133637 /nfs/dbraw/zinc/13/36/37/1074133637.db2.gz ZXLKBOUDCTZWPR-SFHVURJKSA-N 0 0 440.478 -0.996 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC[C@H]3C(N)=O)CC2)cc1OC ZINC001174575515 1074133685 /nfs/dbraw/zinc/13/36/85/1074133685.db2.gz WQRMHTDJIDWZPN-HNNXBMFYSA-N 0 0 440.522 -0.514 20 0 IBADRN CNC(=O)NC[C@@H]1CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CCO1 ZINC001174652802 1074134328 /nfs/dbraw/zinc/13/43/28/1074134328.db2.gz OJPDFXSHTJJVGU-GXTWGEPZSA-N 0 0 425.511 -0.759 20 0 IBADRN CNC(=O)NC[C@H]1CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)CCO1 ZINC001174652803 1074134286 /nfs/dbraw/zinc/13/42/86/1074134286.db2.gz OJPDFXSHTJJVGU-JSGCOSHPSA-N 0 0 425.511 -0.759 20 0 IBADRN CNC(=O)NC[C@H]1CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CCO1 ZINC001174652804 1074134287 /nfs/dbraw/zinc/13/42/87/1074134287.db2.gz OJPDFXSHTJJVGU-OCCSQVGLSA-N 0 0 425.511 -0.759 20 0 IBADRN CNC(=O)NC[C@@H]1CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)CCO1 ZINC001174652805 1074134290 /nfs/dbraw/zinc/13/42/90/1074134290.db2.gz OJPDFXSHTJJVGU-TZMCWYRMSA-N 0 0 425.511 -0.759 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)[C@@H](O)C1 ZINC001174688138 1074134283 /nfs/dbraw/zinc/13/42/83/1074134283.db2.gz PUKFJWKWLITHER-IKGGRYGDSA-N 0 0 440.541 -0.346 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)[C@H](O)C1 ZINC001174688139 1074134322 /nfs/dbraw/zinc/13/43/22/1074134322.db2.gz PUKFJWKWLITHER-IXDOHACOSA-N 0 0 440.541 -0.346 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)[C@@H](O)C1 ZINC001174688140 1074134291 /nfs/dbraw/zinc/13/42/91/1074134291.db2.gz PUKFJWKWLITHER-ULQDDVLXSA-N 0 0 440.541 -0.346 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)[C@H](O)C1 ZINC001174688141 1074134318 /nfs/dbraw/zinc/13/43/18/1074134318.db2.gz PUKFJWKWLITHER-YESZJQIVSA-N 0 0 440.541 -0.346 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001174698497 1074134285 /nfs/dbraw/zinc/13/42/85/1074134285.db2.gz DURYCPNQJDXZCZ-NVXWUHKLSA-N 0 0 439.490 -0.712 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001174699679 1074134300 /nfs/dbraw/zinc/13/43/00/1074134300.db2.gz MSAKEMAPLJCEAD-HUUCEWRRSA-N 0 0 442.490 -0.607 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001174700102 1074134298 /nfs/dbraw/zinc/13/42/98/1074134298.db2.gz RDUHCCZLBMJPCO-TZMCWYRMSA-N 0 0 428.463 -0.349 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1C(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001174709518 1074134330 /nfs/dbraw/zinc/13/43/30/1074134330.db2.gz WULHVKRBQFUJCV-NVXWUHKLSA-N 0 0 425.507 -0.564 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)[C@H](CO)C1 ZINC001174714093 1074134280 /nfs/dbraw/zinc/13/42/80/1074134280.db2.gz AHYBMKPBLIAQPH-IBGZPJMESA-N 0 0 436.578 -0.384 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)[C@@H](CO)C1 ZINC001174714094 1074134312 /nfs/dbraw/zinc/13/43/12/1074134312.db2.gz AHYBMKPBLIAQPH-LJQANCHMSA-N 0 0 436.578 -0.384 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)N1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001174723823 1074134302 /nfs/dbraw/zinc/13/43/02/1074134302.db2.gz FXVWOTWYIAZQMD-HNNXBMFYSA-N 0 0 441.529 -0.046 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)N1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001174723827 1074134293 /nfs/dbraw/zinc/13/42/93/1074134293.db2.gz FXVWOTWYIAZQMD-OAHLLOKOSA-N 0 0 441.529 -0.046 20 0 IBADRN COC(=O)CNC(=O)CCN1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001174725657 1074134994 /nfs/dbraw/zinc/13/49/94/1074134994.db2.gz PUCBGJDZPCRLOS-UHFFFAOYSA-N 0 0 441.529 -0.044 20 0 IBADRN CNS(=O)(=O)c1cccc(Nc2ncnc3c2ccn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC001174729072 1074135025 /nfs/dbraw/zinc/13/50/25/1074135025.db2.gz XOTLLEODRKIHIX-ATNYBXOESA-N 0 0 435.462 -0.306 20 0 IBADRN O=C(CN1CCO[C@H](Cn2ccnn2)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001174733419 1074135087 /nfs/dbraw/zinc/13/50/87/1074135087.db2.gz BMAAUNWUYDPZLP-IBGZPJMESA-N 0 0 448.549 -0.347 20 0 IBADRN O=C(CN1CCO[C@@H](Cn2ccnn2)C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001174733431 1074134866 /nfs/dbraw/zinc/13/48/66/1074134866.db2.gz BMAAUNWUYDPZLP-LJQANCHMSA-N 0 0 448.549 -0.347 20 0 IBADRN COc1cc2c(cc1OC)CN(CC(=O)NC1(C(N)=O)CCS(=O)(=O)CC1)CC2 ZINC001174735959 1074135011 /nfs/dbraw/zinc/13/50/11/1074135011.db2.gz FVQXTCQGRDVNOI-UHFFFAOYSA-N 0 0 425.507 -0.389 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccc3nc(C4CCOCC4)nn3c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001174768149 1074134906 /nfs/dbraw/zinc/13/49/06/1074134906.db2.gz IXIGXIBELJGPSC-OXTOFMLMSA-N 0 0 436.465 -0.803 20 0 IBADRN CCOc1ccc(N2CCN(CC(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)nn1 ZINC001174798571 1074134789 /nfs/dbraw/zinc/13/47/89/1074134789.db2.gz OYLFSMAZVWTWFH-UHFFFAOYSA-N 0 0 426.543 -0.463 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccc3nc(-c4ccccc4)nn3c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001174806907 1074135078 /nfs/dbraw/zinc/13/50/78/1074135078.db2.gz DCAFIPAIRGZPBF-AAZRCEHGSA-N 0 0 428.445 -0.030 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN(c3nccnn3)CC2)C1=O ZINC001174826601 1074135057 /nfs/dbraw/zinc/13/50/57/1074135057.db2.gz AWSVSBIWMVNCIG-NRFANRHFSA-N 0 0 438.492 -0.032 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN(c3nccnn3)CC2)C1=O ZINC001174826602 1074134882 /nfs/dbraw/zinc/13/48/82/1074134882.db2.gz AWSVSBIWMVNCIG-OAQYLSRUSA-N 0 0 438.492 -0.032 20 0 IBADRN CN1C(=O)COc2cc(Nc3ccc(O[C@H]4O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]4O)cc3)cnc21 ZINC001174841738 1074134960 /nfs/dbraw/zinc/13/49/60/1074134960.db2.gz QLWAQTGNMDIEKS-XMUPAAEVSA-N 0 0 433.417 -0.641 20 0 IBADRN CN1C(=O)COc2cc(Nc3ccc(O[C@@H]4O[C@H](CO)[C@H](O)[C@H](O)[C@H]4O)cc3)cnc21 ZINC001174843354 1074135068 /nfs/dbraw/zinc/13/50/68/1074135068.db2.gz QLWAQTGNMDIEKS-GIYWUFHFSA-N 0 0 433.417 -0.641 20 0 IBADRN CN(CCCNC(=O)CN1CCO[C@H](c2ccc(S(C)(=O)=O)cc2)C1)S(C)(=O)=O ZINC001174846676 1074135049 /nfs/dbraw/zinc/13/50/49/1074135049.db2.gz WXHVGDAXJWMIKL-KRWDZBQOSA-N 0 0 447.579 -0.139 20 0 IBADRN CN(CCCNC(=O)CN1CCO[C@@H](c2ccc(S(C)(=O)=O)cc2)C1)S(C)(=O)=O ZINC001174846678 1074134813 /nfs/dbraw/zinc/13/48/13/1074134813.db2.gz WXHVGDAXJWMIKL-QGZVFWFLSA-N 0 0 447.579 -0.139 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@H](CO)[C@H](CO)C1 ZINC001174852124 1074135485 /nfs/dbraw/zinc/13/54/85/1074135485.db2.gz FFRMHHGBSCHBMI-CALCHBBNSA-N 0 0 439.534 -0.641 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@H](CO)[C@H](CO)C3)CC2)o1 ZINC001174853692 1074135454 /nfs/dbraw/zinc/13/54/54/1074135454.db2.gz QWWQEICVVLMWKV-GASCZTMLSA-N 0 0 444.506 -0.084 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001174974078 1074135348 /nfs/dbraw/zinc/13/53/48/1074135348.db2.gz AYQRDCSFJVJSFW-IHRRRGAJSA-N 0 0 426.470 -0.210 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001174974079 1074135373 /nfs/dbraw/zinc/13/53/73/1074135373.db2.gz AYQRDCSFJVJSFW-MJBXVCDLSA-N 0 0 426.470 -0.210 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC001174974166 1074135444 /nfs/dbraw/zinc/13/54/44/1074135444.db2.gz CRPNBUVPIBNOJI-JQWIXIFHSA-N 0 0 430.508 -0.334 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CCC(=O)N1CCN(c2ccccn2)CC1 ZINC001174974971 1074135472 /nfs/dbraw/zinc/13/54/72/1074135472.db2.gz HOROZJHNCBSDCY-HOTGVXAUSA-N 0 0 444.492 -0.712 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC001174975384 1074135357 /nfs/dbraw/zinc/13/53/57/1074135357.db2.gz KCFRHUBMHLKZFY-ULQDDVLXSA-N 0 0 448.451 -0.107 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC001174975395 1074135475 /nfs/dbraw/zinc/13/54/75/1074135475.db2.gz KCFRHUBMHLKZFY-YESZJQIVSA-N 0 0 448.451 -0.107 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CCOc1cccc(S(C)(=O)=O)c1 ZINC001174975833 1074135406 /nfs/dbraw/zinc/13/54/06/1074135406.db2.gz OEVCTAIKBDSOJM-WFASDCNBSA-N 0 0 425.463 -0.363 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CCS(=O)(=O)c1cccc(OC)c1 ZINC001174976103 1074135321 /nfs/dbraw/zinc/13/53/21/1074135321.db2.gz UCRFROUUBGVMII-WFASDCNBSA-N 0 0 425.463 -0.363 20 0 IBADRN OC[C@H]1O[C@@H](Oc2ccc(Nc3ccc(N4CCOCC4)nc3)cc2)[C@H](O)[C@@H](O)[C@H]1O ZINC001174978795 1074136019 /nfs/dbraw/zinc/13/60/19/1074136019.db2.gz CLACPYSLAKFIFO-OBJCFNGXSA-N 0 0 433.461 -0.160 20 0 IBADRN OC[C@H]1O[C@H](Oc2ccc(Nc3ccc(N4CCOCC4)nc3)cc2)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001174979595 1074136064 /nfs/dbraw/zinc/13/60/64/1074136064.db2.gz CLACPYSLAKFIFO-TZMRYXNNSA-N 0 0 433.461 -0.160 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CCO1 ZINC001174992827 1074135986 /nfs/dbraw/zinc/13/59/86/1074135986.db2.gz BBDSYVFFIFBYHB-IBGZPJMESA-N 0 0 449.570 -0.414 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CCO1 ZINC001174992836 1074135931 /nfs/dbraw/zinc/13/59/31/1074135931.db2.gz BBDSYVFFIFBYHB-LJQANCHMSA-N 0 0 449.570 -0.414 20 0 IBADRN O=C(CN1CCC[C@@H](CO)[C@H]1CO)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001174992879 1074135998 /nfs/dbraw/zinc/13/59/98/1074135998.db2.gz BXUJTBVIBGBWLW-MAUKXSAKSA-N 0 0 427.523 -0.289 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nc3c(Br)cc(F)cn3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001175035537 1074135896 /nfs/dbraw/zinc/13/58/96/1074135896.db2.gz ITVGBCYCHFGSPZ-MRKQFSROSA-N 0 0 449.233 -0.795 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC001175060384 1074135873 /nfs/dbraw/zinc/13/58/73/1074135873.db2.gz AGUQCBKSGYMSAL-HNNXBMFYSA-N 0 0 432.568 -0.746 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)C1 ZINC001175060391 1074135913 /nfs/dbraw/zinc/13/59/13/1074135913.db2.gz AGUQCBKSGYMSAL-OAHLLOKOSA-N 0 0 432.568 -0.746 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCOC[C@@H]3CNS(C)(=O)=O)CC2)C1 ZINC001175080049 1074136013 /nfs/dbraw/zinc/13/60/13/1074136013.db2.gz QVOGJPLSNHFWJF-AEFFLSMTSA-N 0 0 444.598 -0.267 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCOC[C@H]3CNS(C)(=O)=O)CC2)C1 ZINC001175080050 1074136049 /nfs/dbraw/zinc/13/60/49/1074136049.db2.gz QVOGJPLSNHFWJF-FUHWJXTLSA-N 0 0 444.598 -0.267 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCOC[C@H]3CNS(C)(=O)=O)CC2)C1 ZINC001175080051 1074136009 /nfs/dbraw/zinc/13/60/09/1074136009.db2.gz QVOGJPLSNHFWJF-SJLPKXTDSA-N 0 0 444.598 -0.267 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)CN3CCOC[C@@H]3CNS(C)(=O)=O)CC2)C1 ZINC001175080052 1074135976 /nfs/dbraw/zinc/13/59/76/1074135976.db2.gz QVOGJPLSNHFWJF-WMZOPIPTSA-N 0 0 444.598 -0.267 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCO[C@H](CC(N)=O)C3)CC2)cc1 ZINC001175080369 1074135851 /nfs/dbraw/zinc/13/58/51/1074135851.db2.gz VBTRYRPQTNSPRW-DLBZAZTESA-N 0 0 438.550 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCO[C@H](CC(N)=O)C3)CC2)cc1 ZINC001175080371 1074136406 /nfs/dbraw/zinc/13/64/06/1074136406.db2.gz VBTRYRPQTNSPRW-IAGOWNOFSA-N 0 0 438.550 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CCO[C@@H](CC(N)=O)C3)CC2)cc1 ZINC001175080372 1074136468 /nfs/dbraw/zinc/13/64/68/1074136468.db2.gz VBTRYRPQTNSPRW-IRXDYDNUSA-N 0 0 438.550 -0.207 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CCO[C@@H](CC(N)=O)C3)CC2)cc1 ZINC001175080373 1074136441 /nfs/dbraw/zinc/13/64/41/1074136441.db2.gz VBTRYRPQTNSPRW-SJORKVTESA-N 0 0 438.550 -0.207 20 0 IBADRN COc1ccc(C(=O)NCc2cn(C)c(=O)[nH]c2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001175088570 1074136475 /nfs/dbraw/zinc/13/64/75/1074136475.db2.gz AIKNUSMBHPODJJ-UHFFFAOYSA-N 0 0 438.462 -0.555 20 0 IBADRN Cn1cc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)c(=O)[nH]c1=O ZINC001175088926 1074136397 /nfs/dbraw/zinc/13/63/97/1074136397.db2.gz CYCGFPUZFVFQQR-UHFFFAOYSA-N 0 0 426.426 -0.424 20 0 IBADRN Cn1cc(CNC(=O)CNS(=O)(=O)c2cccc(Br)c2)c(=O)[nH]c1=O ZINC001175089518 1074136458 /nfs/dbraw/zinc/13/64/58/1074136458.db2.gz JXZQBYIGQJOZBW-UHFFFAOYSA-N 0 0 431.268 -0.157 20 0 IBADRN Cn1cc(CNC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)c(=O)[nH]c1=O ZINC001175089708 1074136486 /nfs/dbraw/zinc/13/64/86/1074136486.db2.gz NQBGLMIGQIDDKN-UHFFFAOYSA-N 0 0 426.426 -0.424 20 0 IBADRN CN(CC(=O)Nc1ccc2c(c1)OCCO2)CC(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC001175095758 1074136451 /nfs/dbraw/zinc/13/64/51/1074136451.db2.gz HIJLWHCTJAOSEV-UHFFFAOYSA-N 0 0 440.522 -0.132 20 0 IBADRN CN(CC(=O)NCCCN(C)S(C)(=O)=O)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC001175096321 1074136493 /nfs/dbraw/zinc/13/64/93/1074136493.db2.gz QJVBTROKNCIJQO-UHFFFAOYSA-N 0 0 428.511 -0.274 20 0 IBADRN CN1CCCn2nc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)cc2C1 ZINC001175105696 1074135990 /nfs/dbraw/zinc/13/59/90/1074135990.db2.gz XPMMRCBZAPPCNT-IRXDYDNUSA-N 0 0 428.497 -0.741 20 0 IBADRN COC(=O)[C@H](CCC1OCCO1)NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC001175126923 1074136428 /nfs/dbraw/zinc/13/64/28/1074136428.db2.gz BLCIEHLCIUOITL-HNNXBMFYSA-N 0 0 428.463 -0.194 20 0 IBADRN COC(=O)[C@@H](CCC1OCCO1)NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC001175126928 1074136367 /nfs/dbraw/zinc/13/63/67/1074136367.db2.gz BLCIEHLCIUOITL-OAHLLOKOSA-N 0 0 428.463 -0.194 20 0 IBADRN COC(=O)[C@H](CCC1OCCO1)NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC001175130378 1074136436 /nfs/dbraw/zinc/13/64/36/1074136436.db2.gz YLGJPBTYWQRCDG-HNNXBMFYSA-N 0 0 442.490 -0.027 20 0 IBADRN COC(=O)[C@@H](CCC1OCCO1)NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC001175130379 1074136445 /nfs/dbraw/zinc/13/64/45/1074136445.db2.gz YLGJPBTYWQRCDG-OAHLLOKOSA-N 0 0 442.490 -0.027 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(c2ncc(I)cn2)CC1)C(=O)N(C)C ZINC001175149102 1074136463 /nfs/dbraw/zinc/13/64/63/1074136463.db2.gz XANPZDKJWSZCJC-LLVKDONJSA-N 0 0 446.293 -0.204 20 0 IBADRN C[C@H](NC(=O)CN1CCN(c2ncc(I)cn2)CC1)C(=O)N(C)C ZINC001175149106 1074136389 /nfs/dbraw/zinc/13/63/89/1074136389.db2.gz XANPZDKJWSZCJC-NSHDSACASA-N 0 0 446.293 -0.204 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001175161840 1074137077 /nfs/dbraw/zinc/13/70/77/1074137077.db2.gz SPKFGOAFFBAJCP-HNNXBMFYSA-N 0 0 428.463 -0.258 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001175161841 1074137111 /nfs/dbraw/zinc/13/71/11/1074137111.db2.gz SPKFGOAFFBAJCP-OAHLLOKOSA-N 0 0 428.463 -0.258 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001175169276 1074137106 /nfs/dbraw/zinc/13/71/06/1074137106.db2.gz KTQWAVFPGPEJGW-CYBMUJFWSA-N 0 0 433.508 -0.213 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001175169278 1074137109 /nfs/dbraw/zinc/13/71/09/1074137109.db2.gz KTQWAVFPGPEJGW-ZDUSSCGKSA-N 0 0 433.508 -0.213 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001175169960 1074137056 /nfs/dbraw/zinc/13/70/56/1074137056.db2.gz RAIZFFOSVDGIAC-HNNXBMFYSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001175169961 1074137073 /nfs/dbraw/zinc/13/70/73/1074137073.db2.gz RAIZFFOSVDGIAC-OAHLLOKOSA-N 0 0 428.463 -0.022 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC001175170344 1074137042 /nfs/dbraw/zinc/13/70/42/1074137042.db2.gz UYNQOQHMESYAHT-AWEZNQCLSA-N 0 0 428.463 -0.258 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC001175170346 1074137068 /nfs/dbraw/zinc/13/70/68/1074137068.db2.gz UYNQOQHMESYAHT-CQSZACIVSA-N 0 0 428.463 -0.258 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001175193969 1074136471 /nfs/dbraw/zinc/13/64/71/1074136471.db2.gz JKLFACORQWVHAH-GXTWGEPZSA-N 0 0 427.527 -0.807 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001175193970 1074136420 /nfs/dbraw/zinc/13/64/20/1074136420.db2.gz JKLFACORQWVHAH-JSGCOSHPSA-N 0 0 427.527 -0.807 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001175193971 1074136482 /nfs/dbraw/zinc/13/64/82/1074136482.db2.gz JKLFACORQWVHAH-OCCSQVGLSA-N 0 0 427.527 -0.807 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001175193972 1074136432 /nfs/dbraw/zinc/13/64/32/1074136432.db2.gz JKLFACORQWVHAH-TZMCWYRMSA-N 0 0 427.527 -0.807 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1 ZINC001175195324 1074137086 /nfs/dbraw/zinc/13/70/86/1074137086.db2.gz UNQSEIGWPLERPM-HNNXBMFYSA-N 0 0 425.507 -0.286 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1 ZINC001175195328 1074137036 /nfs/dbraw/zinc/13/70/36/1074137036.db2.gz UNQSEIGWPLERPM-OAHLLOKOSA-N 0 0 425.507 -0.286 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001175195337 1074136960 /nfs/dbraw/zinc/13/69/60/1074136960.db2.gz UVYRTJASYRNIFK-CYBMUJFWSA-N 0 0 427.479 -0.596 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001175195340 1074137002 /nfs/dbraw/zinc/13/70/02/1074137002.db2.gz UVYRTJASYRNIFK-ZDUSSCGKSA-N 0 0 427.479 -0.596 20 0 IBADRN COc1cc(CNC(=O)CN2CCCN(C(=O)CNC(C)=O)CC2)cc(OC)c1OC ZINC001175219353 1074137090 /nfs/dbraw/zinc/13/70/90/1074137090.db2.gz FBUYKOZIMSMIPW-UHFFFAOYSA-N 0 0 436.509 -0.001 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(CC(=O)NCC(=O)N[C@@H](C)c2ccc(F)cc2)CC1 ZINC001175219400 1074137053 /nfs/dbraw/zinc/13/70/53/1074137053.db2.gz GNZMVFZEHBUTSF-HNNXBMFYSA-N 0 0 435.500 -0.211 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(CC(=O)NCC(=O)N[C@H](C)c2ccc(F)cc2)CC1 ZINC001175219401 1074137024 /nfs/dbraw/zinc/13/70/24/1074137024.db2.gz GNZMVFZEHBUTSF-OAHLLOKOSA-N 0 0 435.500 -0.211 20 0 IBADRN NS(=O)(=O)NC1CCN(CC(=O)NC2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC001175219575 1074137100 /nfs/dbraw/zinc/13/71/00/1074137100.db2.gz KOTAWZZQFZMYAV-UHFFFAOYSA-N 0 0 429.587 -0.069 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(CC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC001175220101 1074136989 /nfs/dbraw/zinc/13/69/89/1074136989.db2.gz QCPNDNSRTXSEHA-UHFFFAOYSA-N 0 0 425.436 -0.310 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1[C@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC001175229010 1074137755 /nfs/dbraw/zinc/13/77/55/1074137755.db2.gz KTWPQTXMXGVSSF-KFWWJZLASA-N 0 0 426.491 -0.007 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1[C@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC001175229011 1074137735 /nfs/dbraw/zinc/13/77/35/1074137735.db2.gz KTWPQTXMXGVSSF-RBSFLKMASA-N 0 0 426.491 -0.007 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1[C@@H](C)C(=O)NC[C@@H]1COc2ccccc2O1 ZINC001175229012 1074137727 /nfs/dbraw/zinc/13/77/27/1074137727.db2.gz KTWPQTXMXGVSSF-RRFJBIMHSA-N 0 0 426.491 -0.007 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1[C@@H](C)C(=O)NC[C@H]1COc2ccccc2O1 ZINC001175229013 1074137689 /nfs/dbraw/zinc/13/76/89/1074137689.db2.gz KTWPQTXMXGVSSF-ZNMIVQPWSA-N 0 0 426.491 -0.007 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC001175229415 1074137634 /nfs/dbraw/zinc/13/76/34/1074137634.db2.gz OEWRMFPOINHROB-INIZCTEOSA-N 0 0 431.555 -0.246 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC001175229416 1074137722 /nfs/dbraw/zinc/13/77/22/1074137722.db2.gz OEWRMFPOINHROB-MRXNPFEDSA-N 0 0 431.555 -0.246 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC001175230981 1074137645 /nfs/dbraw/zinc/13/76/45/1074137645.db2.gz ZCPKPPPPRRPEPF-LLVKDONJSA-N 0 0 436.483 -0.170 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1cc(S(C)(=O)=O)ccc1F ZINC001175230987 1074137523 /nfs/dbraw/zinc/13/75/23/1074137523.db2.gz ZCPKPPPPRRPEPF-NSHDSACASA-N 0 0 436.483 -0.170 20 0 IBADRN COc1ccc(Cn2cc(CC(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)cn2)cc1 ZINC001175243981 1074137759 /nfs/dbraw/zinc/13/77/59/1074137759.db2.gz JCWNORQATCJZDG-LKDANELNSA-N 0 0 435.477 -0.557 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1C[C@]2(C)C(=O)NC(=O)[C@]2(C)C1 ZINC001175248369 1074137741 /nfs/dbraw/zinc/13/77/41/1074137741.db2.gz CPHJSNHOLVRYDH-HDICACEKSA-N 0 0 443.547 -0.305 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1C[C@]2(C)C(=O)NC(=O)[C@@]2(C)C1 ZINC001175248370 1074137669 /nfs/dbraw/zinc/13/76/69/1074137669.db2.gz CPHJSNHOLVRYDH-QZTJIDSGSA-N 0 0 443.547 -0.305 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1C[C@@]2(C)C(=O)NC(=O)[C@]2(C)C1 ZINC001175248371 1074137710 /nfs/dbraw/zinc/13/77/10/1074137710.db2.gz CPHJSNHOLVRYDH-ROUUACIJSA-N 0 0 443.547 -0.305 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)Cc1noc(C(C)C)n1)C(=O)NCC(N)=O ZINC001175250487 1074137609 /nfs/dbraw/zinc/13/76/09/1074137609.db2.gz BZUAIOBWNAVQRT-KBPBESRZSA-N 0 0 436.513 -0.141 20 0 IBADRN CC(C)c1nc(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)no1 ZINC001175254833 1074137978 /nfs/dbraw/zinc/13/79/78/1074137978.db2.gz MIPKASRRHRIGBK-RBSFLKMASA-N 0 0 440.522 -0.144 20 0 IBADRN CC(C)c1nc(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)no1 ZINC001175254837 1074137988 /nfs/dbraw/zinc/13/79/88/1074137988.db2.gz MIPKASRRHRIGBK-RRFJBIMHSA-N 0 0 440.522 -0.144 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001175291168 1074137701 /nfs/dbraw/zinc/13/77/01/1074137701.db2.gz CYWPBVYAFVVAKW-CVEARBPZSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001175291169 1074137501 /nfs/dbraw/zinc/13/75/01/1074137501.db2.gz CYWPBVYAFVVAKW-HOTGVXAUSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001175291170 1074137628 /nfs/dbraw/zinc/13/76/28/1074137628.db2.gz CYWPBVYAFVVAKW-HZPDHXFCSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001175291171 1074137653 /nfs/dbraw/zinc/13/76/53/1074137653.db2.gz CYWPBVYAFVVAKW-JKSUJKDBSA-N 0 0 440.522 -0.086 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCOC[C@@H]2CNC(=O)NC)cc1 ZINC001175291410 1074137660 /nfs/dbraw/zinc/13/76/60/1074137660.db2.gz GXZIVFSPKGWTSG-AWEZNQCLSA-N 0 0 428.511 -0.090 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCOC[C@H]2CNC(=O)NC)cc1 ZINC001175291412 1074137596 /nfs/dbraw/zinc/13/75/96/1074137596.db2.gz GXZIVFSPKGWTSG-CQSZACIVSA-N 0 0 428.511 -0.090 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1cn(CCCNC(=O)OC(C)(C)C)nn1 ZINC001175300827 1074137577 /nfs/dbraw/zinc/13/75/77/1074137577.db2.gz XAWWOVBXHUNBMP-CYBMUJFWSA-N 0 0 425.490 -0.037 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1cn(CCCNC(=O)OC(C)(C)C)nn1 ZINC001175300828 1074137961 /nfs/dbraw/zinc/13/79/61/1074137961.db2.gz XAWWOVBXHUNBMP-ZDUSSCGKSA-N 0 0 425.490 -0.037 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2CC(O)(C(=O)OC)C2)CC1 ZINC001175330164 1074138578 /nfs/dbraw/zinc/13/85/78/1074138578.db2.gz QLQVZGZJSOSRCT-UHFFFAOYSA-N 0 0 440.474 -0.380 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H]3OCCNC(=O)[C@@H]31)c2=O ZINC001175342059 1074137974 /nfs/dbraw/zinc/13/79/74/1074137974.db2.gz FNGPGAMTWAHGDZ-BXKDBHETSA-N 0 0 439.270 -0.602 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H]3OCCNC(=O)[C@H]31)c2=O ZINC001175342074 1074137957 /nfs/dbraw/zinc/13/79/57/1074137957.db2.gz FNGPGAMTWAHGDZ-CABZTGNLSA-N 0 0 439.270 -0.602 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@H]3OCCNC(=O)[C@@H]31)c2=O ZINC001175342078 1074137910 /nfs/dbraw/zinc/13/79/10/1074137910.db2.gz FNGPGAMTWAHGDZ-JOYOIKCWSA-N 0 0 439.270 -0.602 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@H]3OCCNC(=O)[C@H]31)c2=O ZINC001175342082 1074137918 /nfs/dbraw/zinc/13/79/18/1074137918.db2.gz FNGPGAMTWAHGDZ-SKDRFNHKSA-N 0 0 439.270 -0.602 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCC[C@H]2OCCNC(=O)[C@H]21 ZINC001175345538 1074137967 /nfs/dbraw/zinc/13/79/67/1074137967.db2.gz QLMHNWVDOICQOA-MSOLQXFVSA-N 0 0 437.518 -0.048 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCC[C@H]2OCCNC(=O)[C@@H]21 ZINC001175345539 1074138013 /nfs/dbraw/zinc/13/80/13/1074138013.db2.gz QLMHNWVDOICQOA-QZTJIDSGSA-N 0 0 437.518 -0.048 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCC[C@@H]2OCCNC(=O)[C@H]21 ZINC001175345541 1074138064 /nfs/dbraw/zinc/13/80/64/1074138064.db2.gz QLMHNWVDOICQOA-ROUUACIJSA-N 0 0 437.518 -0.048 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCC[C@@H]2OCCNC(=O)[C@@H]21 ZINC001175345542 1074137997 /nfs/dbraw/zinc/13/79/97/1074137997.db2.gz QLMHNWVDOICQOA-ZWKOTPCHSA-N 0 0 437.518 -0.048 20 0 IBADRN COC(=O)C1(O)CN(C(=O)C2CCN(S(=O)(=O)c3ccc(OC)c(OC)c3)CC2)C1 ZINC001175346495 1074137936 /nfs/dbraw/zinc/13/79/36/1074137936.db2.gz UHIVKYAIRBIGSY-UHFFFAOYSA-N 0 0 442.490 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)cc1OC ZINC001175346797 1074138041 /nfs/dbraw/zinc/13/80/41/1074138041.db2.gz WEPXKCHGBMNVPM-PBHICJAKSA-N 0 0 427.479 -0.512 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)cc1OC ZINC001175346798 1074138059 /nfs/dbraw/zinc/13/80/59/1074138059.db2.gz WEPXKCHGBMNVPM-RHSMWYFYSA-N 0 0 427.479 -0.512 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)cc1OC ZINC001175346799 1074138002 /nfs/dbraw/zinc/13/80/02/1074138002.db2.gz WEPXKCHGBMNVPM-WMLDXEAASA-N 0 0 427.479 -0.512 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)cc1OC ZINC001175346800 1074138034 /nfs/dbraw/zinc/13/80/34/1074138034.db2.gz WEPXKCHGBMNVPM-YOEHRIQHSA-N 0 0 427.479 -0.512 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)cc1 ZINC001175346872 1074138513 /nfs/dbraw/zinc/13/85/13/1074138513.db2.gz YCDFQJQBRZAFIZ-AEFFLSMTSA-N 0 0 438.506 -0.181 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)cc1 ZINC001175346873 1074138561 /nfs/dbraw/zinc/13/85/61/1074138561.db2.gz YCDFQJQBRZAFIZ-FUHWJXTLSA-N 0 0 438.506 -0.181 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)cc1 ZINC001175346874 1074138503 /nfs/dbraw/zinc/13/85/03/1074138503.db2.gz YCDFQJQBRZAFIZ-SJLPKXTDSA-N 0 0 438.506 -0.181 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)cc1 ZINC001175346875 1074138569 /nfs/dbraw/zinc/13/85/69/1074138569.db2.gz YCDFQJQBRZAFIZ-WMZOPIPTSA-N 0 0 438.506 -0.181 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCc1c(I)cnn1C ZINC001175354756 1074138607 /nfs/dbraw/zinc/13/86/07/1074138607.db2.gz QQLUTHCBWACVPB-UHFFFAOYSA-N 0 0 444.295 -0.015 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2c(I)cnn2C)c1 ZINC001175355143 1074138595 /nfs/dbraw/zinc/13/85/95/1074138595.db2.gz WTPPYDFEBXXFLI-UHFFFAOYSA-N 0 0 438.251 -0.647 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001175388475 1074138983 /nfs/dbraw/zinc/13/89/83/1074138983.db2.gz CCZZQRRPIYCOFY-PBHICJAKSA-N 0 0 444.579 -0.144 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001175388477 1074138947 /nfs/dbraw/zinc/13/89/47/1074138947.db2.gz CCZZQRRPIYCOFY-RHSMWYFYSA-N 0 0 444.579 -0.144 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001175388478 1074138846 /nfs/dbraw/zinc/13/88/46/1074138846.db2.gz CCZZQRRPIYCOFY-WMLDXEAASA-N 0 0 444.579 -0.144 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(N)(=O)=O)cc1)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001175388479 1074138874 /nfs/dbraw/zinc/13/88/74/1074138874.db2.gz CCZZQRRPIYCOFY-YOEHRIQHSA-N 0 0 444.579 -0.144 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CC(CNC(=O)C(F)(F)F)C1 ZINC001175388993 1074138993 /nfs/dbraw/zinc/13/89/93/1074138993.db2.gz KCGLGMOYBIPICD-CYBMUJFWSA-N 0 0 432.374 -0.135 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CC(CNC(=O)C(F)(F)F)C1 ZINC001175389000 1074138915 /nfs/dbraw/zinc/13/89/15/1074138915.db2.gz KCGLGMOYBIPICD-ZDUSSCGKSA-N 0 0 432.374 -0.135 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001175389373 1074138958 /nfs/dbraw/zinc/13/89/58/1074138958.db2.gz IGOUTOVHKMATLQ-MSOLQXFVSA-N 0 0 436.582 -0.292 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001175389378 1074138882 /nfs/dbraw/zinc/13/88/82/1074138882.db2.gz IGOUTOVHKMATLQ-QZTJIDSGSA-N 0 0 436.582 -0.292 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001175389384 1074139014 /nfs/dbraw/zinc/13/90/14/1074139014.db2.gz IGOUTOVHKMATLQ-ROUUACIJSA-N 0 0 436.582 -0.292 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ncccn2)CC1)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001175389385 1074138862 /nfs/dbraw/zinc/13/88/62/1074138862.db2.gz IGOUTOVHKMATLQ-ZWKOTPCHSA-N 0 0 436.582 -0.292 20 0 IBADRN Cc1c(C(=O)N2CCc3c(cccc3S(N)(=O)=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001175398675 1074138889 /nfs/dbraw/zinc/13/88/89/1074138889.db2.gz PPKIFTQZEQDEKM-UHFFFAOYSA-N 0 0 443.485 -0.213 20 0 IBADRN CNC(=O)c1cc(Nc2ncnc3c2ncn3[C@@H]2O[C@H](CSC)[C@@H](O)[C@H]2O)ccn1 ZINC001175409285 1074138896 /nfs/dbraw/zinc/13/88/96/1074138896.db2.gz LGIBRFOPNDRPHC-XWXWGSFUSA-N 0 0 431.478 -0.271 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3CC[C@@H](O)[C@@H](O)C3)cc2)C[C@@H](C)O1 ZINC001175409740 1074139004 /nfs/dbraw/zinc/13/90/04/1074139004.db2.gz CVDMLPDIUQYZHS-DTDBQYNISA-N 0 0 427.523 -0.150 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3CC[C@@H](O)[C@@H](O)C3)cc2)C[C@H](C)O1 ZINC001175409741 1074139000 /nfs/dbraw/zinc/13/90/00/1074139000.db2.gz CVDMLPDIUQYZHS-NONVJHHQSA-N 0 0 427.523 -0.150 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3CC[C@@H](O)[C@@H](O)C3)cc2)C[C@H](C)O1 ZINC001175409742 1074138933 /nfs/dbraw/zinc/13/89/33/1074138933.db2.gz CVDMLPDIUQYZHS-ZZCKCESHSA-N 0 0 427.523 -0.150 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(CC(=O)N(CC(=O)N(C)C)CC(F)(F)F)CC1 ZINC001175418570 1074139537 /nfs/dbraw/zinc/13/95/37/1074139537.db2.gz LLFMVPNFGSTJBK-UHFFFAOYSA-N 0 0 445.508 -0.330 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CCNS(C)(=O)=O)C1 ZINC001175427502 1074139533 /nfs/dbraw/zinc/13/95/33/1074139533.db2.gz NVZIPIJTIDSBAZ-MCIONIFRSA-N 0 0 446.526 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CCNS(C)(=O)=O)C1 ZINC001175427503 1074139556 /nfs/dbraw/zinc/13/95/56/1074139556.db2.gz NVZIPIJTIDSBAZ-MJBXVCDLSA-N 0 0 446.526 -0.033 20 0 IBADRN CN1C(=O)[C@H]2CN(CC(=O)Nc3cccc(S(=O)(=O)NC4=NCCC4)c3)C[C@H]2C1=O ZINC001175436989 1074139614 /nfs/dbraw/zinc/13/96/14/1074139614.db2.gz QBMFVIDCXAXBAG-GASCZTMLSA-N 0 0 433.490 -0.358 20 0 IBADRN CCS(=O)(=O)c1cccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC001175448150 1074139577 /nfs/dbraw/zinc/13/95/77/1074139577.db2.gz BMLNQFFBLQHVDB-LSCFUAHRSA-N 0 0 426.451 -0.869 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)CCNC(=O)c1ccc[nH]1)CC2 ZINC001175533169 1074140527 /nfs/dbraw/zinc/14/05/27/1074140527.db2.gz YFGXHNCSMMVZNG-UHFFFAOYSA-N 0 0 427.509 -0.159 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)CCNC(=O)c1ccc[nH]1)C(=O)NCC(N)=O ZINC001175537484 1074140549 /nfs/dbraw/zinc/14/05/49/1074140549.db2.gz NJZWGUGUNTWLJK-HOTGVXAUSA-N 0 0 448.524 -0.742 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)CCNC(=O)c2ccc[nH]2)cc1Cl ZINC001175539288 1074140557 /nfs/dbraw/zinc/14/05/57/1074140557.db2.gz PXCPUZLJEDTFRU-UHFFFAOYSA-N 0 0 449.898 -0.279 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(Cc3ccno3)CC2)c1 ZINC001175563339 1074140496 /nfs/dbraw/zinc/14/04/96/1074140496.db2.gz VOQDJLUAGSMZHJ-UHFFFAOYSA-N 0 0 442.519 -0.299 20 0 IBADRN CN1CCCC(=Nc2ncnc3c2nc(Br)n3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC001175575295 1074141118 /nfs/dbraw/zinc/14/11/18/1074141118.db2.gz QZUXBKUZBOWPKN-SDBHATRESA-N 0 0 441.286 -0.168 20 0 IBADRN COCCn1cc(CNC(=O)CNC(=O)c2ccc(Br)o2)c(=O)[nH]c1=O ZINC001175585046 1074140535 /nfs/dbraw/zinc/14/05/35/1074140535.db2.gz DCAWWPFVSPZFCI-UHFFFAOYSA-N 0 0 429.227 -0.003 20 0 IBADRN COCCn1cc(CNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)c(=O)[nH]c1=O ZINC001175585453 1074140513 /nfs/dbraw/zinc/14/05/13/1074140513.db2.gz ITHHAEUCPHUOOZ-YDHLFZDLSA-N 0 0 425.511 -0.063 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCc2cn(CCOC)c(=O)[nH]c2=O)n(C)c1 ZINC001175585732 1074140493 /nfs/dbraw/zinc/14/04/93/1074140493.db2.gz JZDMMJYHZHRCCK-UHFFFAOYSA-N 0 0 441.510 -0.106 20 0 IBADRN COCCn1cc(CNC(=O)c2cnn(C)c2I)c(=O)[nH]c1=O ZINC001175595245 1074140508 /nfs/dbraw/zinc/14/05/08/1074140508.db2.gz UDOWYLYEGWBLCP-UHFFFAOYSA-N 0 0 433.206 -0.137 20 0 IBADRN COCCn1cc(CNC(=O)c2cc(I)nn2C)c(=O)[nH]c1=O ZINC001175595598 1074140518 /nfs/dbraw/zinc/14/05/18/1074140518.db2.gz WHYIPAHXLOGHCE-UHFFFAOYSA-N 0 0 433.206 -0.137 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H]4OCCNC(=O)[C@@H]43)C2=O)cc1 ZINC001175610096 1074141129 /nfs/dbraw/zinc/14/11/29/1074141129.db2.gz FBHBNHGFCKLKAI-CEQIKUNHSA-N 0 0 445.476 -0.527 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@H]4OCCNC(=O)[C@H]43)C2=O)cc1 ZINC001175610097 1074141114 /nfs/dbraw/zinc/14/11/14/1074141114.db2.gz FBHBNHGFCKLKAI-KUDFPVQQSA-N 0 0 445.476 -0.527 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H]4OCCNC(=O)[C@H]43)C2=O)cc1 ZINC001175610098 1074141083 /nfs/dbraw/zinc/14/10/83/1074141083.db2.gz FBHBNHGFCKLKAI-WJPUGNRLSA-N 0 0 445.476 -0.527 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCC[C@@H]4OCCNC(=O)[C@@H]43)C2=O)cc1 ZINC001175610099 1074141108 /nfs/dbraw/zinc/14/11/08/1074141108.db2.gz FBHBNHGFCKLKAI-XPIZARPCSA-N 0 0 445.476 -0.527 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@H]3OCCNC(=O)[C@@H]32)cc1S(=O)(=O)N(C)C ZINC001175612906 1074141136 /nfs/dbraw/zinc/14/11/36/1074141136.db2.gz VFWDVHSNXCGZBQ-CRAIPNDOSA-N 0 0 440.522 -0.137 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@@H]3OCCNC(=O)[C@@H]32)cc1S(=O)(=O)N(C)C ZINC001175612907 1074141093 /nfs/dbraw/zinc/14/10/93/1074141093.db2.gz VFWDVHSNXCGZBQ-MAUKXSAKSA-N 0 0 440.522 -0.137 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@H]3OCCNC(=O)[C@H]32)cc1S(=O)(=O)N(C)C ZINC001175612908 1074141112 /nfs/dbraw/zinc/14/11/12/1074141112.db2.gz VFWDVHSNXCGZBQ-QAPCUYQASA-N 0 0 440.522 -0.137 20 0 IBADRN COc1ccc(NC(=O)CN2CCC[C@@H]3OCCNC(=O)[C@H]32)cc1S(=O)(=O)N(C)C ZINC001175612909 1074141139 /nfs/dbraw/zinc/14/11/39/1074141139.db2.gz VFWDVHSNXCGZBQ-YJBOKZPZSA-N 0 0 440.522 -0.137 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC001175613137 1074141040 /nfs/dbraw/zinc/14/10/40/1074141040.db2.gz VTVVSFAQHUBRMV-GXTWGEPZSA-N 0 0 425.511 -0.759 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@@H]1C ZINC001175613138 1074141032 /nfs/dbraw/zinc/14/10/32/1074141032.db2.gz VTVVSFAQHUBRMV-JSGCOSHPSA-N 0 0 425.511 -0.759 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC001175613139 1074141626 /nfs/dbraw/zinc/14/16/26/1074141626.db2.gz VTVVSFAQHUBRMV-OCCSQVGLSA-N 0 0 425.511 -0.759 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1CC(=O)N1c2ccc(S(N)(=O)=O)cc2C[C@H]1C ZINC001175613140 1074141782 /nfs/dbraw/zinc/14/17/82/1074141782.db2.gz VTVVSFAQHUBRMV-TZMCWYRMSA-N 0 0 425.511 -0.759 20 0 IBADRN Cn1nccc1C1(O)CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001175615339 1074141728 /nfs/dbraw/zinc/14/17/28/1074141728.db2.gz FTPWZUMVGMXAND-UHFFFAOYSA-N 0 0 436.490 -0.020 20 0 IBADRN COc1ccc(C(=O)N2CC(O)(c3ccnn3C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001175617044 1074141502 /nfs/dbraw/zinc/14/15/02/1074141502.db2.gz YJISSMWPZGDUCE-UHFFFAOYSA-N 0 0 436.490 -0.207 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCc2c(cccc2S(N)(=O)=O)C1)S(C)(=O)=O ZINC001175630451 1074141158 /nfs/dbraw/zinc/14/11/58/1074141158.db2.gz DZFASPBDVQUBDB-UHFFFAOYSA-N 0 0 446.595 -0.132 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)C[C@H](O)C1 ZINC001175633188 1074141100 /nfs/dbraw/zinc/14/11/00/1074141100.db2.gz BRURXUFGHZPQOO-UWUNEBHHSA-N 0 0 425.507 -0.370 20 0 IBADRN COC(=O)[C@@]1(NC(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C[C@H](O)C1 ZINC001175633195 1074141133 /nfs/dbraw/zinc/14/11/33/1074141133.db2.gz BVFMFOZWUMUBGI-GLRZTSSQSA-N 0 0 439.490 -0.664 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cn1ccc2sccc2c1=O ZINC001175694668 1074141741 /nfs/dbraw/zinc/14/17/41/1074141741.db2.gz ZFTZFUVWNYNJIX-GJZGRUSLSA-N 0 0 442.501 -0.010 20 0 IBADRN Cn1ccnc1C1(O)CN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001175696408 1074141646 /nfs/dbraw/zinc/14/16/46/1074141646.db2.gz DTHQYCJMMKSUTO-UHFFFAOYSA-N 0 0 436.490 -0.020 20 0 IBADRN Cn1ccnc1C1(O)CN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)C1 ZINC001175696507 1074141685 /nfs/dbraw/zinc/14/16/85/1074141685.db2.gz GJVLPNXBRKAXFN-UHFFFAOYSA-N 0 0 427.504 -0.418 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC(O)(c3nccn3C)C2)s1 ZINC001175697301 1074141661 /nfs/dbraw/zinc/14/16/61/1074141661.db2.gz RBKSMBREJVZCEZ-UHFFFAOYSA-N 0 0 427.508 -0.874 20 0 IBADRN Cn1ccnc1C1(O)CN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)C1 ZINC001175697731 1074141633 /nfs/dbraw/zinc/14/16/33/1074141633.db2.gz YXKRYBOPJXZQPL-CYBMUJFWSA-N 0 0 428.511 -0.126 20 0 IBADRN Cn1ccnc1C1(O)CN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)C1 ZINC001175697732 1074141513 /nfs/dbraw/zinc/14/15/13/1074141513.db2.gz YXKRYBOPJXZQPL-ZDUSSCGKSA-N 0 0 428.511 -0.126 20 0 IBADRN COC1(OC)CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C[C@H]1O ZINC001175714647 1074141483 /nfs/dbraw/zinc/14/14/83/1074141483.db2.gz JACHHOHOSNZUPF-GOSISDBHSA-N 0 0 441.550 -0.284 20 0 IBADRN COC1(OC)CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C[C@@H]1O ZINC001175714648 1074141602 /nfs/dbraw/zinc/14/16/02/1074141602.db2.gz JACHHOHOSNZUPF-SFHVURJKSA-N 0 0 441.550 -0.284 20 0 IBADRN COC1(OC)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C[C@@H]1O ZINC001175715527 1074141712 /nfs/dbraw/zinc/14/17/12/1074141712.db2.gz PYAOPBYJSFXEOC-KRWDZBQOSA-N 0 0 445.513 -0.286 20 0 IBADRN COC1(OC)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C[C@H]1O ZINC001175715529 1074141439 /nfs/dbraw/zinc/14/14/39/1074141439.db2.gz PYAOPBYJSFXEOC-QGZVFWFLSA-N 0 0 445.513 -0.286 20 0 IBADRN O=C(CN1CCC(=O)NCC12COC2)NCCN1C(=O)S/C(=C\c2cccnc2)C1=O ZINC001175722766 1074141529 /nfs/dbraw/zinc/14/15/29/1074141529.db2.gz ACURUXOPXCJPOE-NVNXTCNLSA-N 0 0 445.501 -0.175 20 0 IBADRN O=C(CN1CCC(=O)NCC12COC2)NCCN1C(=O)S/C(=C/c2cccnc2)C1=O ZINC001175722774 1074141586 /nfs/dbraw/zinc/14/15/86/1074141586.db2.gz ACURUXOPXCJPOE-OVCLIPMQSA-N 0 0 445.501 -0.175 20 0 IBADRN COC1(C(=O)N2CCC[C@@H](n3nncc3I)C2)CS(=O)(=O)C1 ZINC001175732267 1074141692 /nfs/dbraw/zinc/14/16/92/1074141692.db2.gz JFXQFCZURKRJED-SECBINFHSA-N 0 0 440.263 -0.140 20 0 IBADRN COC1(C(=O)N2CCC[C@H](n3nncc3I)C2)CS(=O)(=O)C1 ZINC001175732269 1074141566 /nfs/dbraw/zinc/14/15/66/1074141566.db2.gz JFXQFCZURKRJED-VIFPVBQESA-N 0 0 440.263 -0.140 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC[C@@H](n3nncc3I)C2)C1=O ZINC001175733324 1074141540 /nfs/dbraw/zinc/14/15/40/1074141540.db2.gz RUIWEWVBBFQPPZ-MRVPVSSYSA-N 0 0 446.205 -0.533 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC[C@H](n3nncc3I)C2)C1=O ZINC001175733332 1074142188 /nfs/dbraw/zinc/14/21/88/1074142188.db2.gz RUIWEWVBBFQPPZ-QMMMGPOBSA-N 0 0 446.205 -0.533 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1cc[nH]n1)C(N)=O ZINC001175769209 1074142194 /nfs/dbraw/zinc/14/21/94/1074142194.db2.gz ZTBQFQYXIVWUON-KBPBESRZSA-N 0 0 426.543 -0.678 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(CC(=O)NCC(=O)NC(C)(C)C)CC2)cc1 ZINC001175780819 1074142119 /nfs/dbraw/zinc/14/21/19/1074142119.db2.gz BHDAVGSHWWXMBM-UHFFFAOYSA-N 0 0 425.555 -0.252 20 0 IBADRN NC(=O)CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)C1CCOCC1 ZINC001175780952 1074142160 /nfs/dbraw/zinc/14/21/60/1074142160.db2.gz DEHKNCBEHBATPM-UHFFFAOYSA-N 0 0 440.522 -0.388 20 0 IBADRN C[NH+](C)CCCNC(=O)c1ccc(-n2c(=N)nc(OS(=O)(=O)[O-])c(N)c2N)cc1 ZINC001175819334 1074142032 /nfs/dbraw/zinc/14/20/32/1074142032.db2.gz DAKXFTCIOHXTPC-UHFFFAOYSA-N 0 0 425.471 -0.621 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1cccc(CN2CCOCC2)c1 ZINC001175856408 1074142718 /nfs/dbraw/zinc/14/27/18/1074142718.db2.gz IBQSSAQTDVPZCV-WVSUBDOOSA-N 0 0 433.465 -0.831 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@](O)(C(=O)OC)C2)c1 ZINC001175864492 1074142767 /nfs/dbraw/zinc/14/27/67/1074142767.db2.gz ZHRSYEGHTNFTNR-IBGZPJMESA-N 0 0 441.506 -0.417 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@](O)(C(=O)OC)C2)c1 ZINC001175864493 1074142617 /nfs/dbraw/zinc/14/26/17/1074142617.db2.gz ZHRSYEGHTNFTNR-LJQANCHMSA-N 0 0 441.506 -0.417 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N(C)CCS(N)(=O)=O)CC2)cc1 ZINC001175872213 1074142626 /nfs/dbraw/zinc/14/26/26/1074142626.db2.gz KFEWSMNVTWGXPI-HNNXBMFYSA-N 0 0 432.568 -0.563 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N(C)CCS(N)(=O)=O)CC2)cc1 ZINC001175872219 1074142644 /nfs/dbraw/zinc/14/26/44/1074142644.db2.gz KFEWSMNVTWGXPI-OAHLLOKOSA-N 0 0 432.568 -0.563 20 0 IBADRN Cc1cnccc1CCC(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001175886533 1074142128 /nfs/dbraw/zinc/14/21/28/1074142128.db2.gz ZYTIDWXGONNTHG-AUSMBZDKSA-N 0 0 441.492 -0.036 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CC[C@@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001175891129 1074142101 /nfs/dbraw/zinc/14/21/01/1074142101.db2.gz CGYSONIBKKWEER-FOIQADDNSA-N 0 0 427.465 -0.792 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CC[C@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001175891131 1074142088 /nfs/dbraw/zinc/14/20/88/1074142088.db2.gz CGYSONIBKKWEER-QRWLVFNGSA-N 0 0 427.465 -0.792 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CC[C@]3(C2)CN(Cc2ccccc2)C(=O)CO3)CC1 ZINC001175891225 1074142056 /nfs/dbraw/zinc/14/20/56/1074142056.db2.gz DHQCNQDFYUOIPR-FQEVSTJZSA-N 0 0 436.534 -0.068 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CC[C@@]3(C2)CN(Cc2ccccc2)C(=O)CO3)CC1 ZINC001175891229 1074142141 /nfs/dbraw/zinc/14/21/41/1074142141.db2.gz DHQCNQDFYUOIPR-HXUWFJFHSA-N 0 0 436.534 -0.068 20 0 IBADRN COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001175901585 1074142752 /nfs/dbraw/zinc/14/27/52/1074142752.db2.gz RSMASSSZTTYEPO-KBPBESRZSA-N 0 0 446.522 -0.245 20 0 IBADRN COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001175901586 1074142776 /nfs/dbraw/zinc/14/27/76/1074142776.db2.gz RSMASSSZTTYEPO-KGLIPLIRSA-N 0 0 446.522 -0.245 20 0 IBADRN COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001175901587 1074142780 /nfs/dbraw/zinc/14/27/80/1074142780.db2.gz RSMASSSZTTYEPO-UONOGXRCSA-N 0 0 446.522 -0.245 20 0 IBADRN COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001175901588 1074142635 /nfs/dbraw/zinc/14/26/35/1074142635.db2.gz RSMASSSZTTYEPO-ZIAGYGMSSA-N 0 0 446.522 -0.245 20 0 IBADRN COC(=O)C1(c2ccc(Nc3c(C(N)=O)ncn3[C@@H]3O[C@H](CO)[C@@H](O)[C@H]3O)cc2)CC1 ZINC001175917477 1074142710 /nfs/dbraw/zinc/14/27/10/1074142710.db2.gz OBPUPYZZCWOLLC-SCFUHWHPSA-N 0 0 432.433 -0.458 20 0 IBADRN CN1CCN(C(=O)c2cccc(-n3c(N)c4ncn(COCCO)c4nc3=N)c2)CC1 ZINC001175923916 1074142657 /nfs/dbraw/zinc/14/26/57/1074142657.db2.gz CDIMODZHSYHCRH-UHFFFAOYSA-N 0 0 426.481 -0.362 20 0 IBADRN O=C(CCc1cn[nH]c1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001175927216 1074142700 /nfs/dbraw/zinc/14/27/00/1074142700.db2.gz ZDRODYDIOFVXAE-JKSUJKDBSA-N 0 0 432.506 -0.108 20 0 IBADRN CCOC(=O)[C@H]1CN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC12COC2 ZINC001175935106 1074143125 /nfs/dbraw/zinc/14/31/25/1074143125.db2.gz GDTVNQUBYDJSPG-CYBMUJFWSA-N 0 0 427.479 -0.207 20 0 IBADRN CCOC(=O)[C@@H]1CN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2OC)CC12COC2 ZINC001175935107 1074143205 /nfs/dbraw/zinc/14/32/05/1074143205.db2.gz GDTVNQUBYDJSPG-ZDUSSCGKSA-N 0 0 427.479 -0.207 20 0 IBADRN C[S@](=N)(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001175957065 1074143011 /nfs/dbraw/zinc/14/30/11/1074143011.db2.gz XMAUABIGOYFLHQ-MUUNZHRXSA-N 0 0 445.567 -0.145 20 0 IBADRN C[S@@](=N)(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001175957070 1074143134 /nfs/dbraw/zinc/14/31/34/1074143134.db2.gz XMAUABIGOYFLHQ-NDEPHWFRSA-N 0 0 445.567 -0.145 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCc1cn[nH]c1)C(N)=O ZINC001175974819 1074142979 /nfs/dbraw/zinc/14/29/79/1074142979.db2.gz XLXURLUPQLVIDH-GJZGRUSLSA-N 0 0 440.570 -0.287 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC(=O)NCC23COC3)C1 ZINC001175979577 1074143167 /nfs/dbraw/zinc/14/31/67/1074143167.db2.gz IBCYHWQZQCBWPO-AWEZNQCLSA-N 0 0 425.511 -0.455 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC(=O)NCC23COC3)C1 ZINC001175979580 1074143141 /nfs/dbraw/zinc/14/31/41/1074143141.db2.gz IBCYHWQZQCBWPO-CQSZACIVSA-N 0 0 425.511 -0.455 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N1CCC(=O)NCC12COC2 ZINC001175980050 1074143101 /nfs/dbraw/zinc/14/31/01/1074143101.db2.gz LVQXXWMEHLDFMV-UHFFFAOYSA-N 0 0 437.396 -0.019 20 0 IBADRN O=C(CN1CCC(CO)(CO)CC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001175984218 1074143192 /nfs/dbraw/zinc/14/31/92/1074143192.db2.gz UABBWPZOOAXDQR-UHFFFAOYSA-N 0 0 441.550 -0.610 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2cccc(C(F)(F)F)n2)c(=O)n1 ZINC001176017304 1074142959 /nfs/dbraw/zinc/14/29/59/1074142959.db2.gz PCDKGGWGWHNUHA-SEWBAHNZSA-N 0 0 429.355 -0.540 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3N=C2CCN(C(C)=O)CC2)[C@H](O)[C@@H]1O ZINC001176029315 1074143032 /nfs/dbraw/zinc/14/30/32/1074143032.db2.gz NELYBSFJILRMOO-QCUYGVNKSA-N 0 0 431.453 -0.870 20 0 IBADRN CO[C@@H]1C[C@@H](C(=O)N2CCN(S(N)(=O)=O)CC2)N(C(=O)OCc2ccccc2)C1 ZINC001176046544 1074142923 /nfs/dbraw/zinc/14/29/23/1074142923.db2.gz PEIFFCMRCDUKOM-CVEARBPZSA-N 0 0 426.495 -0.240 20 0 IBADRN Cc1cncc(CCC(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c1 ZINC001176080889 1074143184 /nfs/dbraw/zinc/14/31/84/1074143184.db2.gz DGCRAEJCOCWNRR-AUSMBZDKSA-N 0 0 441.492 -0.036 20 0 IBADRN CNC(=O)N[C@@H]1CCCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC001176120803 1074143490 /nfs/dbraw/zinc/14/34/90/1074143490.db2.gz AZYSLNAHIMNQNA-JLTOFOAXSA-N 0 0 432.481 -0.113 20 0 IBADRN CNC(=O)N[C@H]1CCCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC001176120804 1074143523 /nfs/dbraw/zinc/14/35/23/1074143523.db2.gz AZYSLNAHIMNQNA-VBKZILBWSA-N 0 0 432.481 -0.113 20 0 IBADRN CNC(=O)N[C@@H]1CCCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC001176120805 1074143631 /nfs/dbraw/zinc/14/36/31/1074143631.db2.gz AZYSLNAHIMNQNA-VLIAUNLRSA-N 0 0 432.481 -0.113 20 0 IBADRN CNC(=O)N[C@H]1CCCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC001176120806 1074143466 /nfs/dbraw/zinc/14/34/66/1074143466.db2.gz AZYSLNAHIMNQNA-XOBRGWDASA-N 0 0 432.481 -0.113 20 0 IBADRN OC[C@H]1O[C@@H](n2c(Br)nc3c2ncnc3N=C2CCOCC2)[C@H](O)[C@@H]1O ZINC001176140440 1074143115 /nfs/dbraw/zinc/14/31/15/1074143115.db2.gz GCZUGJYWMWTUMY-IDTAVKCVSA-N 0 0 428.243 -0.084 20 0 IBADRN Cc1nc(CC(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)cs1 ZINC001176145431 1074143592 /nfs/dbraw/zinc/14/35/92/1074143592.db2.gz GIEQMUGDFORKEG-VBVJRUAFSA-N 0 0 433.494 -0.365 20 0 IBADRN CN(C)C(=O)c1cc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)ccc1Cl ZINC001176149708 1074143528 /nfs/dbraw/zinc/14/35/28/1074143528.db2.gz RGSHDROULXCCLL-XWXWGSFUSA-N 0 0 439.856 -0.308 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2CC(=O)NC2CCN(S(C)(=O)=O)CC2)cn1 ZINC001176167551 1074143641 /nfs/dbraw/zinc/14/36/41/1074143641.db2.gz UCBMSTFJYYNWOV-INIZCTEOSA-N 0 0 447.583 -0.878 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2CC(=O)NC2CCN(S(C)(=O)=O)CC2)cn1 ZINC001176167552 1074143434 /nfs/dbraw/zinc/14/34/34/1074143434.db2.gz UCBMSTFJYYNWOV-MRXNPFEDSA-N 0 0 447.583 -0.878 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCN(CC(=O)N3CCc4cc(S(N)(=O)=O)ccc43)CC2)n1 ZINC001176202354 1074143578 /nfs/dbraw/zinc/14/35/78/1074143578.db2.gz LIFGDJWOTRLWBM-UHFFFAOYSA-N 0 0 432.506 -0.151 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NCc2nnc3n2CCNC3=O)c1 ZINC001176204109 1074143496 /nfs/dbraw/zinc/14/34/96/1074143496.db2.gz PTJJMJKEMIBMNZ-UHFFFAOYSA-N 0 0 443.283 -0.378 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F ZINC001176204309 1074143449 /nfs/dbraw/zinc/14/34/49/1074143449.db2.gz WPRYHCNDGXQIOO-UHFFFAOYSA-N 0 0 426.405 -0.330 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1cc(C(=O)N2CCCC2)ccc1F ZINC001176211175 1074143624 /nfs/dbraw/zinc/14/36/24/1074143624.db2.gz WGUZPESRWKLSGN-KHTYJDQRSA-N 0 0 449.439 -0.288 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N(CC(N)=O)C3CCOCC3)cc2S1(=O)=O ZINC001176227244 1074143646 /nfs/dbraw/zinc/14/36/46/1074143646.db2.gz HIHRXEOPNNDULX-UHFFFAOYSA-N 0 0 425.463 -0.416 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N1CCN(c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC001176228390 1074143617 /nfs/dbraw/zinc/14/36/17/1074143617.db2.gz PSXYDWJJMAMBQW-UHFFFAOYSA-N 0 0 432.568 -0.085 20 0 IBADRN COc1ncccc1CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001176240793 1074143603 /nfs/dbraw/zinc/14/36/03/1074143603.db2.gz WFVRJHOUVZQFLP-BRWVUGGUSA-N 0 0 437.518 -0.247 20 0 IBADRN COc1ncccc1CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001176240794 1074143506 /nfs/dbraw/zinc/14/35/06/1074143506.db2.gz WFVRJHOUVZQFLP-GVDBMIGSSA-N 0 0 437.518 -0.247 20 0 IBADRN COc1ncccc1CC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001176240964 1074144248 /nfs/dbraw/zinc/14/42/48/1074144248.db2.gz YMSKNYVDERJNAJ-HOTGVXAUSA-N 0 0 433.509 -0.244 20 0 IBADRN Cc1nnc(CCC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)s1 ZINC001176300624 1074144244 /nfs/dbraw/zinc/14/42/44/1074144244.db2.gz OYSNMYLLXXHWMP-RBSFLKMASA-N 0 0 442.563 -0.100 20 0 IBADRN Cc1nnc(CCC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)s1 ZINC001176300629 1074144122 /nfs/dbraw/zinc/14/41/22/1074144122.db2.gz OYSNMYLLXXHWMP-RRFJBIMHSA-N 0 0 442.563 -0.100 20 0 IBADRN Cc1nnc(CCC(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)s1 ZINC001176302749 1074144273 /nfs/dbraw/zinc/14/42/73/1074144273.db2.gz XCYINLLTAQQPNS-KBPBESRZSA-N 0 0 438.554 -0.097 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CC(O)(c4ncnn4C)C3)CC2=O)cc1OC ZINC001176303686 1074144282 /nfs/dbraw/zinc/14/42/82/1074144282.db2.gz VZIHUVCIWMLFLE-HNNXBMFYSA-N 0 0 429.477 -0.047 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CC(O)(c4ncnn4C)C3)CC2=O)cc1OC ZINC001176303703 1074144036 /nfs/dbraw/zinc/14/40/36/1074144036.db2.gz VZIHUVCIWMLFLE-OAHLLOKOSA-N 0 0 429.477 -0.047 20 0 IBADRN CNC(=O)CN1CCCN([C@@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001176304895 1074144181 /nfs/dbraw/zinc/14/41/81/1074144181.db2.gz SZAWHSGOHYJSTO-CABCVRRESA-N 0 0 425.555 -0.347 20 0 IBADRN CNC(=O)CN1CCCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001176304896 1074144156 /nfs/dbraw/zinc/14/41/56/1074144156.db2.gz SZAWHSGOHYJSTO-GJZGRUSLSA-N 0 0 425.555 -0.347 20 0 IBADRN CNC(=O)CN1CCCN([C@H](C)C(=O)N[C@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001176304897 1074144197 /nfs/dbraw/zinc/14/41/97/1074144197.db2.gz SZAWHSGOHYJSTO-HUUCEWRRSA-N 0 0 425.555 -0.347 20 0 IBADRN CNC(=O)CN1CCCN([C@H](C)C(=O)N[C@@H](C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001176304898 1074144130 /nfs/dbraw/zinc/14/41/30/1074144130.db2.gz SZAWHSGOHYJSTO-LSDHHAIUSA-N 0 0 425.555 -0.347 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CC(=O)NC[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001176344382 1074144091 /nfs/dbraw/zinc/14/40/91/1074144091.db2.gz DROXKJYMULNGDS-FOIQADDNSA-N 0 0 434.497 -0.205 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CC(=O)NC[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001176344385 1074144220 /nfs/dbraw/zinc/14/42/20/1074144220.db2.gz DROXKJYMULNGDS-QRWLVFNGSA-N 0 0 434.497 -0.205 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(CC(=O)NCCc2ccccc2)CC1 ZINC001176353417 1074144755 /nfs/dbraw/zinc/14/47/55/1074144755.db2.gz AOJFOZZPZMQDAL-HXUWFJFHSA-N 0 0 426.521 -0.040 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC001176354362 1074144822 /nfs/dbraw/zinc/14/48/22/1074144822.db2.gz ATGMGESKQGSUDZ-APWZRJJASA-N 0 0 427.461 -0.032 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC001176354364 1074144703 /nfs/dbraw/zinc/14/47/03/1074144703.db2.gz ATGMGESKQGSUDZ-VQIMIIECSA-N 0 0 427.461 -0.032 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCn2c(CNC(=O)c3ccno3)cnc2C1 ZINC001176355045 1074144785 /nfs/dbraw/zinc/14/47/85/1074144785.db2.gz FWHCUYCFMOBPHJ-OAHLLOKOSA-N 0 0 426.437 -0.386 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)C(C)C)C[C@]2(CCCC(=O)N2)C1 ZINC001176355054 1074144720 /nfs/dbraw/zinc/14/47/20/1074144720.db2.gz GERMKXHZSPHZFZ-DYESRHJHSA-N 0 0 432.525 -0.177 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN(C(=O)C(C)C)C[C@@]2(CCCC(=O)N2)C1 ZINC001176355055 1074144808 /nfs/dbraw/zinc/14/48/08/1074144808.db2.gz GERMKXHZSPHZFZ-UTKZUKDTSA-N 0 0 432.525 -0.177 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1C[C@@H]2COC[C@@H](CC(=O)N3CCOCC3)[C@@H]2C1 ZINC001176355111 1074144630 /nfs/dbraw/zinc/14/46/30/1074144630.db2.gz GQWZSLNIHTTXJH-ZAWLATJESA-N 0 0 433.509 -0.573 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCc2ccc(=O)n(CC(=O)N(C)C)c2CC1 ZINC001176355153 1074144610 /nfs/dbraw/zinc/14/46/10/1074144610.db2.gz HHLILLJXVVRBJV-QGZVFWFLSA-N 0 0 428.493 -0.666 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1C[C@H](NC(=O)c2cccn2C)[C@H]2OCCC[C@H]21 ZINC001176356008 1074144741 /nfs/dbraw/zinc/14/47/41/1074144741.db2.gz JBPKCLLGQJASJN-SFNKJDCFSA-N 0 0 428.493 -0.016 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2 ZINC001176356025 1074144648 /nfs/dbraw/zinc/14/46/48/1074144648.db2.gz JDABNJYOXAKUME-QGZVFWFLSA-N 0 0 441.492 -0.219 20 0 IBADRN COC[C@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)[C@@H](Cc1c[nH]cn1)NC(C)=O ZINC001176356100 1074144691 /nfs/dbraw/zinc/14/46/91/1074144691.db2.gz JIZQSWUJSNDHHN-HZPDHXFCSA-N 0 0 427.469 -0.494 20 0 IBADRN COC[C@@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)[C@@H](Cc1c[nH]cn1)NC(C)=O ZINC001176356102 1074144731 /nfs/dbraw/zinc/14/47/31/1074144731.db2.gz JIZQSWUJSNDHHN-JKSUJKDBSA-N 0 0 427.469 -0.494 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCn2cc(CNC(=O)c3cccn3C)nc2C1 ZINC001176356247 1074145255 /nfs/dbraw/zinc/14/52/55/1074145255.db2.gz KIPIHADSHANZQX-QGZVFWFLSA-N 0 0 438.492 -0.036 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CC[C@]2(C[C@@H](OCC(=O)N3CCCC3)CO2)C1 ZINC001176356690 1074145281 /nfs/dbraw/zinc/14/52/81/1074145281.db2.gz MIQTZAABPJISGF-OPYAIIAOSA-N 0 0 433.509 -0.144 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001176356694 1074145426 /nfs/dbraw/zinc/14/54/26/1074145426.db2.gz MQVSVQVRJIWHQE-XLIONFOSSA-N 0 0 429.481 -0.619 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001176356695 1074145270 /nfs/dbraw/zinc/14/52/70/1074145270.db2.gz MQVSVQVRJIWHQE-YLJYHZDGSA-N 0 0 429.481 -0.619 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1C[C@H](NC(=O)c2cnn(C)c2)[C@H]2OCCC[C@H]21 ZINC001176356771 1074145348 /nfs/dbraw/zinc/14/53/48/1074145348.db2.gz NXYOACVQTXXBMW-XMTFNYHQSA-N 0 0 429.481 -0.621 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCO[C@H]2[C@H](OCC(=O)N3CCCC3)CC[C@@H]21 ZINC001176357073 1074145441 /nfs/dbraw/zinc/14/54/41/1074145441.db2.gz PLAKRNZLMMJPOM-AJYBTWMASA-N 0 0 433.509 -0.146 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1C[C@H](NC(=O)c2nccn2C)[C@H]2OCCC[C@H]21 ZINC001176357271 1074145302 /nfs/dbraw/zinc/14/53/02/1074145302.db2.gz RDMZSQMZUFJMCK-YYIAUSFCSA-N 0 0 429.481 -0.621 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1C[C@@H]2COC[C@]2(COCC(=O)N2CCCC2)C1 ZINC001176357602 1074145407 /nfs/dbraw/zinc/14/54/07/1074145407.db2.gz RXXYJJVGZRJDKN-BLIXFSHQSA-N 0 0 433.509 -0.429 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CC[C@H]2[C@H](CO[C@@H]2CNC(=O)c2cnn(C)c2)C1 ZINC001176357608 1074145390 /nfs/dbraw/zinc/14/53/90/1074145390.db2.gz SNXLBNSAWZLSQF-GDAAHCPNSA-N 0 0 443.508 -0.516 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCc2c(C(=O)N3CCN(C)CC3)nn(C)c2C1 ZINC001176357664 1074145337 /nfs/dbraw/zinc/14/53/37/1074145337.db2.gz UVMBZIOCAIJIPA-QGZVFWFLSA-N 0 0 442.524 -0.837 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1C[C@@H]2COC[C@H](C(=O)Nc3cnccn3)[C@@H]2C1 ZINC001176357773 1074145473 /nfs/dbraw/zinc/14/54/73/1074145473.db2.gz WXECUFUFOUBEPD-MXASKKJJSA-N 0 0 427.465 -0.393 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCC2(C[C@H](C(=O)N3CCOCC3)CO2)CC1 ZINC001176358010 1074145222 /nfs/dbraw/zinc/14/52/22/1074145222.db2.gz XLANSMOGEWAEDF-FUHWJXTLSA-N 0 0 433.509 -0.287 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCC2(C[C@@H](C(=O)N3CCOCC3)CO2)CC1 ZINC001176358011 1074145314 /nfs/dbraw/zinc/14/53/14/1074145314.db2.gz XLANSMOGEWAEDF-SJLPKXTDSA-N 0 0 433.509 -0.287 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001176358026 1074145373 /nfs/dbraw/zinc/14/53/73/1074145373.db2.gz YBDUVBSGIRVOFH-DQEVTTJGSA-N 0 0 441.492 -0.317 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCO[C@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1 ZINC001176358027 1074145324 /nfs/dbraw/zinc/14/53/24/1074145324.db2.gz YBDUVBSGIRVOFH-JEDBISTDSA-N 0 0 441.492 -0.317 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCO[C@@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001176358028 1074145294 /nfs/dbraw/zinc/14/52/94/1074145294.db2.gz YBDUVBSGIRVOFH-KLIQENQMSA-N 0 0 441.492 -0.317 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@H]21 ZINC001176358029 1074145458 /nfs/dbraw/zinc/14/54/58/1074145458.db2.gz YBDUVBSGIRVOFH-UTRMSSBJSA-N 0 0 441.492 -0.317 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCC2(CC1)CN(c1cncnc1)C(=O)CO2 ZINC001176358075 1074145240 /nfs/dbraw/zinc/14/52/40/1074145240.db2.gz YHVZBZZGCBENEQ-QGZVFWFLSA-N 0 0 427.465 -0.329 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)[C@@H]([C@H]2CCCO2)C1 ZINC001176380239 1074144210 /nfs/dbraw/zinc/14/42/10/1074144210.db2.gz CSTXNKGOPNDTGF-DJIMGWMZSA-N 0 0 431.555 -0.041 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)[C@H]([C@H]2CCCO2)C1 ZINC001176380243 1074144284 /nfs/dbraw/zinc/14/42/84/1074144284.db2.gz CSTXNKGOPNDTGF-HYVNUMGLSA-N 0 0 431.555 -0.041 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)[C@@H]([C@@H]2CCCO2)C1 ZINC001176380244 1074144053 /nfs/dbraw/zinc/14/40/53/1074144053.db2.gz CSTXNKGOPNDTGF-OIISXLGYSA-N 0 0 431.555 -0.041 20 0 IBADRN COC(=O)[C@@H]1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)[C@H]([C@@H]2CCCO2)C1 ZINC001176380245 1074144798 /nfs/dbraw/zinc/14/47/98/1074144798.db2.gz CSTXNKGOPNDTGF-PVAVHDDUSA-N 0 0 431.555 -0.041 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)NCc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001176385802 1074144669 /nfs/dbraw/zinc/14/46/69/1074144669.db2.gz WOSDMJPRIKXOJR-GOSISDBHSA-N 0 0 435.506 -0.206 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)NCCS(C)(=O)=O ZINC001176397526 1074146493 /nfs/dbraw/zinc/14/64/93/1074146493.db2.gz CDMZLGDJURXUSV-GFCCVEGCSA-N 0 0 428.515 -0.268 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)NCCS(C)(=O)=O ZINC001176397527 1074146466 /nfs/dbraw/zinc/14/64/66/1074146466.db2.gz CDMZLGDJURXUSV-LBPRGKRZSA-N 0 0 428.515 -0.268 20 0 IBADRN CCOCCOCc1cccc(CNC(=O)CN2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001176410561 1074146913 /nfs/dbraw/zinc/14/69/13/1074146913.db2.gz AWUFBHXRDBDWJY-GOSISDBHSA-N 0 0 426.539 -0.310 20 0 IBADRN CCOCCOCc1cccc(CNC(=O)CN2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001176410562 1074146932 /nfs/dbraw/zinc/14/69/32/1074146932.db2.gz AWUFBHXRDBDWJY-SFHVURJKSA-N 0 0 426.539 -0.310 20 0 IBADRN O=C(CN1CCN2[C@@H](CNS2(=O)=O)C1)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001176412120 1074146889 /nfs/dbraw/zinc/14/68/89/1074146889.db2.gz NSKGCVTXBCEKMY-HNNXBMFYSA-N 0 0 443.551 -0.756 20 0 IBADRN O=C(CN1CCN2[C@H](CNS2(=O)=O)C1)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001176412121 1074146901 /nfs/dbraw/zinc/14/69/01/1074146901.db2.gz NSKGCVTXBCEKMY-OAHLLOKOSA-N 0 0 443.551 -0.756 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CCN(C(N)=O)CC1 ZINC001176413734 1074146924 /nfs/dbraw/zinc/14/69/24/1074146924.db2.gz AXZZEWXPPIECGJ-CYBMUJFWSA-N 0 0 434.501 -0.206 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N1CCN(C(N)=O)CC1 ZINC001176413742 1074146928 /nfs/dbraw/zinc/14/69/28/1074146928.db2.gz AXZZEWXPPIECGJ-ZDUSSCGKSA-N 0 0 434.501 -0.206 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CC[C@H](O)[C@@H](CO)C1 ZINC001176413820 1074146905 /nfs/dbraw/zinc/14/69/05/1074146905.db2.gz BFBJBZGQPOPQAI-KFWWJZLASA-N 0 0 436.513 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001176413823 1074146940 /nfs/dbraw/zinc/14/69/40/1074146940.db2.gz BFBJBZGQPOPQAI-RBSFLKMASA-N 0 0 436.513 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CC[C@@H](O)[C@H](CO)C1 ZINC001176413824 1074146937 /nfs/dbraw/zinc/14/69/37/1074146937.db2.gz BFBJBZGQPOPQAI-RRFJBIMHSA-N 0 0 436.513 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CC[C@H](O)[C@H](CO)C1 ZINC001176413825 1074146934 /nfs/dbraw/zinc/14/69/34/1074146934.db2.gz BFBJBZGQPOPQAI-ZNMIVQPWSA-N 0 0 436.513 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CCS(=O)(=O)CC1 ZINC001176414333 1074146943 /nfs/dbraw/zinc/14/69/43/1074146943.db2.gz BZZXCALUSIPHFW-CYBMUJFWSA-N 0 0 440.526 -0.171 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N1CCS(=O)(=O)CC1 ZINC001176414339 1074146917 /nfs/dbraw/zinc/14/69/17/1074146917.db2.gz BZZXCALUSIPHFW-ZDUSSCGKSA-N 0 0 440.526 -0.171 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CC[C@H](CO)[C@@H](O)C1 ZINC001176414457 1074146930 /nfs/dbraw/zinc/14/69/30/1074146930.db2.gz CYRLETHPNDRBEP-KFWWJZLASA-N 0 0 436.513 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CC[C@H](CO)[C@H](O)C1 ZINC001176414459 1074146910 /nfs/dbraw/zinc/14/69/10/1074146910.db2.gz CYRLETHPNDRBEP-RBSFLKMASA-N 0 0 436.513 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CC[C@@H](CO)[C@H](O)C1 ZINC001176414462 1074146881 /nfs/dbraw/zinc/14/68/81/1074146881.db2.gz CYRLETHPNDRBEP-RRFJBIMHSA-N 0 0 436.513 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CC[C@@H](CO)[C@@H](O)C1 ZINC001176414464 1074146945 /nfs/dbraw/zinc/14/69/45/1074146945.db2.gz CYRLETHPNDRBEP-ZNMIVQPWSA-N 0 0 436.513 -0.227 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001176415282 1074147456 /nfs/dbraw/zinc/14/74/56/1074147456.db2.gz INSPUHGJTYVPHE-CHWSQXEVSA-N 0 0 435.485 -0.716 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001176415283 1074147443 /nfs/dbraw/zinc/14/74/43/1074147443.db2.gz INSPUHGJTYVPHE-OLZOCXBDSA-N 0 0 435.485 -0.716 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001176415284 1074147412 /nfs/dbraw/zinc/14/74/12/1074147412.db2.gz INSPUHGJTYVPHE-QWHCGFSZSA-N 0 0 435.485 -0.716 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001176415285 1074147461 /nfs/dbraw/zinc/14/74/61/1074147461.db2.gz INSPUHGJTYVPHE-STQMWFEESA-N 0 0 435.485 -0.716 20 0 IBADRN Cn1c2[nH]c(CN3CCn4ncc(I)c4C3)nc2c(=O)n(C)c1=O ZINC001176421660 1074147429 /nfs/dbraw/zinc/14/74/29/1074147429.db2.gz GINZBQWCUIOXSF-UHFFFAOYSA-N 0 0 441.233 -0.223 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2ccc3c(c2)CC(=O)N3)[C@H](O)[C@@H]1O ZINC001176426943 1074145945 /nfs/dbraw/zinc/14/59/45/1074145945.db2.gz JMFIBSGQZSHQMR-KSVNGYGVSA-N 0 0 439.432 -0.180 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H]1Cn2nnnc2C12CCN(C(=O)OC(C)(C)C)CC2 ZINC001176431293 1074145970 /nfs/dbraw/zinc/14/59/70/1074145970.db2.gz CTASFUBJPYFITM-GFCCVEGCSA-N 0 0 443.530 -0.763 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1Cn2nnnc2C12CCN(C(=O)OC(C)(C)C)CC2 ZINC001176431294 1074145977 /nfs/dbraw/zinc/14/59/77/1074145977.db2.gz CTASFUBJPYFITM-LBPRGKRZSA-N 0 0 443.530 -0.763 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N[C@@H]1C=CS(=O)(=O)C1 ZINC001176431521 1074146012 /nfs/dbraw/zinc/14/60/12/1074146012.db2.gz GKLUUBWWEKDMHE-CHWSQXEVSA-N 0 0 438.510 -0.002 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N[C@@H]1C=CS(=O)(=O)C1 ZINC001176431522 1074145940 /nfs/dbraw/zinc/14/59/40/1074145940.db2.gz GKLUUBWWEKDMHE-OLZOCXBDSA-N 0 0 438.510 -0.002 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N[C@H]1C=CS(=O)(=O)C1 ZINC001176431523 1074145981 /nfs/dbraw/zinc/14/59/81/1074145981.db2.gz GKLUUBWWEKDMHE-QWHCGFSZSA-N 0 0 438.510 -0.002 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N[C@H]1C=CS(=O)(=O)C1 ZINC001176431524 1074145951 /nfs/dbraw/zinc/14/59/51/1074145951.db2.gz GKLUUBWWEKDMHE-STQMWFEESA-N 0 0 438.510 -0.002 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H]1Cn2nnnc2C12CCN(C(=O)OC(C)(C)C)CC2)[C@@H](C)O ZINC001176431761 1074145965 /nfs/dbraw/zinc/14/59/65/1074145965.db2.gz IZGNAMAFLQYSNK-AGIUHOORSA-N 0 0 438.485 -0.390 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H]1Cn2nnnc2C12CCN(C(=O)OC(C)(C)C)CC2)[C@@H](C)O ZINC001176431762 1074145933 /nfs/dbraw/zinc/14/59/33/1074145933.db2.gz IZGNAMAFLQYSNK-UPJWGTAASA-N 0 0 438.485 -0.390 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)NC1CC(CO)(CO)C1 ZINC001176433087 1074145986 /nfs/dbraw/zinc/14/59/86/1074145986.db2.gz OFCJKFBBKIBJLI-AWEZNQCLSA-N 0 0 436.513 -0.179 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)NC1CC(CO)(CO)C1 ZINC001176433088 1074146007 /nfs/dbraw/zinc/14/60/07/1074146007.db2.gz OFCJKFBBKIBJLI-CQSZACIVSA-N 0 0 436.513 -0.179 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(C)Cc2nnc3c(=O)[nH]ccn23)cc1 ZINC001176433282 1074146020 /nfs/dbraw/zinc/14/60/20/1074146020.db2.gz QOSFTFTYXSHXOR-UHFFFAOYSA-N 0 0 432.462 -0.448 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001176434015 1074146448 /nfs/dbraw/zinc/14/64/48/1074146448.db2.gz SEZSQPCGYQOOJK-CHWSQXEVSA-N 0 0 440.526 -0.125 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001176434023 1074146490 /nfs/dbraw/zinc/14/64/90/1074146490.db2.gz SEZSQPCGYQOOJK-OLZOCXBDSA-N 0 0 440.526 -0.125 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001176434030 1074146453 /nfs/dbraw/zinc/14/64/53/1074146453.db2.gz SEZSQPCGYQOOJK-QWHCGFSZSA-N 0 0 440.526 -0.125 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001176434034 1074146398 /nfs/dbraw/zinc/14/63/98/1074146398.db2.gz SEZSQPCGYQOOJK-STQMWFEESA-N 0 0 440.526 -0.125 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N[C@H]1CCC(=O)NC1=O ZINC001176434639 1074146457 /nfs/dbraw/zinc/14/64/57/1074146457.db2.gz XLJNXODREFSRBZ-NEPJUHHUSA-N 0 0 433.469 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N[C@@H]1CCC(=O)NC1=O ZINC001176434645 1074146433 /nfs/dbraw/zinc/14/64/33/1074146433.db2.gz XLJNXODREFSRBZ-NWDGAFQWSA-N 0 0 433.469 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)N[C@H]1CCC(=O)NC1=O ZINC001176434646 1074146412 /nfs/dbraw/zinc/14/64/12/1074146412.db2.gz XLJNXODREFSRBZ-RYUDHWBXSA-N 0 0 433.469 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)N[C@@H]1CCC(=O)NC1=O ZINC001176434647 1074146503 /nfs/dbraw/zinc/14/65/03/1074146503.db2.gz XLJNXODREFSRBZ-VXGBXAGGSA-N 0 0 433.469 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)NC[C@H]1CNC(=O)CO1 ZINC001176443387 1074146483 /nfs/dbraw/zinc/14/64/83/1074146483.db2.gz NRUVPDLRVRHRRW-CHWSQXEVSA-N 0 0 435.485 -0.797 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)NC[C@H]1CNC(=O)CO1 ZINC001176443389 1074146499 /nfs/dbraw/zinc/14/64/99/1074146499.db2.gz NRUVPDLRVRHRRW-OLZOCXBDSA-N 0 0 435.485 -0.797 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)NC[C@@H]1CNC(=O)CO1 ZINC001176443391 1074146459 /nfs/dbraw/zinc/14/64/59/1074146459.db2.gz NRUVPDLRVRHRRW-QWHCGFSZSA-N 0 0 435.485 -0.797 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)NC[C@@H]1CNC(=O)CO1 ZINC001176443393 1074146474 /nfs/dbraw/zinc/14/64/74/1074146474.db2.gz NRUVPDLRVRHRRW-STQMWFEESA-N 0 0 435.485 -0.797 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)n1cc(N)c(=O)nc1N ZINC001176444396 1074146479 /nfs/dbraw/zinc/14/64/79/1074146479.db2.gz RKGVRINZGMIGCR-JTQLQIEISA-N 0 0 431.457 -0.124 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)n1cc(N)c(=O)nc1N ZINC001176444399 1074146437 /nfs/dbraw/zinc/14/64/37/1074146437.db2.gz RKGVRINZGMIGCR-SNVBAGLBSA-N 0 0 431.457 -0.124 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@H]2C(=O)NC1CN(C2COC2)C1 ZINC001176444915 1074146445 /nfs/dbraw/zinc/14/64/45/1074146445.db2.gz VALBZBYBAXAOMI-HNNXBMFYSA-N 0 0 433.513 -0.229 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nnnn1C[C@@H]2C(=O)NC1CN(C2COC2)C1 ZINC001176444916 1074146424 /nfs/dbraw/zinc/14/64/24/1074146424.db2.gz VALBZBYBAXAOMI-OAHLLOKOSA-N 0 0 433.513 -0.229 20 0 IBADRN Cn1ccn2c(CNC(=O)CCNS(=O)(=O)c3ccc(F)c(F)c3)nnc2c1=O ZINC001176448686 1074147361 /nfs/dbraw/zinc/14/73/61/1074147361.db2.gz TWNBQYAJGYOPCH-UHFFFAOYSA-N 0 0 426.405 -0.309 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@H]2C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001176456872 1074147466 /nfs/dbraw/zinc/14/74/66/1074147466.db2.gz NINKAXYAFZBMGY-KBPBESRZSA-N 0 0 434.497 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@@H]2C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001176456873 1074147401 /nfs/dbraw/zinc/14/74/01/1074147401.db2.gz NINKAXYAFZBMGY-KGLIPLIRSA-N 0 0 434.497 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@H]2C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001176456874 1074147372 /nfs/dbraw/zinc/14/73/72/1074147372.db2.gz NINKAXYAFZBMGY-UONOGXRCSA-N 0 0 434.497 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@@H]2C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001176456875 1074147437 /nfs/dbraw/zinc/14/74/37/1074147437.db2.gz NINKAXYAFZBMGY-ZIAGYGMSSA-N 0 0 434.497 -0.111 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN(C)CCN(C)CC1 ZINC001176464036 1074147452 /nfs/dbraw/zinc/14/74/52/1074147452.db2.gz RQFGXFVKDAVNKR-UHFFFAOYSA-N 0 0 432.612 -0.110 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H]1Cn2cnnc2C12CCN(C(=O)OC(C)(C)C)CC2 ZINC001176470274 1074147477 /nfs/dbraw/zinc/14/74/77/1074147477.db2.gz VLDSSSDXOKSYHY-CYBMUJFWSA-N 0 0 442.542 -0.158 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1Cn2cnnc2C12CCN(C(=O)OC(C)(C)C)CC2 ZINC001176470276 1074147485 /nfs/dbraw/zinc/14/74/85/1074147485.db2.gz VLDSSSDXOKSYHY-ZDUSSCGKSA-N 0 0 442.542 -0.158 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@@H]2C(=O)NC(CO)(CO)CO ZINC001176471159 1074147392 /nfs/dbraw/zinc/14/73/92/1074147392.db2.gz ZMVPEBFTNNOHLK-CYBMUJFWSA-N 0 0 425.486 -0.992 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@H]2C(=O)NC(CO)(CO)CO ZINC001176471160 1074147471 /nfs/dbraw/zinc/14/74/71/1074147471.db2.gz ZMVPEBFTNNOHLK-ZDUSSCGKSA-N 0 0 425.486 -0.992 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@H]2C(=O)NC[C@@H]1CNC(=O)CO1 ZINC001176478186 1074147422 /nfs/dbraw/zinc/14/74/22/1074147422.db2.gz JJYMKUYKLIDUMN-KBPBESRZSA-N 0 0 434.497 -0.192 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@H]2C(=O)NC[C@H]1CNC(=O)CO1 ZINC001176478189 1074147405 /nfs/dbraw/zinc/14/74/05/1074147405.db2.gz JJYMKUYKLIDUMN-KGLIPLIRSA-N 0 0 434.497 -0.192 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@@H]2C(=O)NC[C@@H]1CNC(=O)CO1 ZINC001176478193 1074147446 /nfs/dbraw/zinc/14/74/46/1074147446.db2.gz JJYMKUYKLIDUMN-UONOGXRCSA-N 0 0 434.497 -0.192 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nncn1C[C@@H]2C(=O)NC[C@H]1CNC(=O)CO1 ZINC001176478197 1074147417 /nfs/dbraw/zinc/14/74/17/1074147417.db2.gz JJYMKUYKLIDUMN-ZIAGYGMSSA-N 0 0 434.497 -0.192 20 0 IBADRN COC(=O)C1([C@]2(O)CCCN(CC(=O)NC3CCN(S(C)(=O)=O)CC3)C2)CCC1 ZINC001176487306 1074147848 /nfs/dbraw/zinc/14/78/48/1074147848.db2.gz ZLAVETBGOFSJGA-IBGZPJMESA-N 0 0 431.555 -0.303 20 0 IBADRN COC(=O)C1([C@@]2(O)CCCN(CC(=O)NC3CCN(S(C)(=O)=O)CC3)C2)CCC1 ZINC001176487307 1074147762 /nfs/dbraw/zinc/14/77/62/1074147762.db2.gz ZLAVETBGOFSJGA-LJQANCHMSA-N 0 0 431.555 -0.303 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001176496426 1074147341 /nfs/dbraw/zinc/14/73/41/1074147341.db2.gz RRECBLNBVGHXJZ-KBPBESRZSA-N 0 0 426.514 -0.079 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N[C@H](C)C(=O)N(C)C)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001176496427 1074147928 /nfs/dbraw/zinc/14/79/28/1074147928.db2.gz RRECBLNBVGHXJZ-KGLIPLIRSA-N 0 0 426.514 -0.079 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001176496428 1074147825 /nfs/dbraw/zinc/14/78/25/1074147825.db2.gz RRECBLNBVGHXJZ-UONOGXRCSA-N 0 0 426.514 -0.079 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N[C@H](C)C(=O)N(C)C)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001176496429 1074147940 /nfs/dbraw/zinc/14/79/40/1074147940.db2.gz RRECBLNBVGHXJZ-ZIAGYGMSSA-N 0 0 426.514 -0.079 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nccn1C[C@@H]2C(=O)N1CN[C@H](N)[C@@H]2NCN[C@@H]21 ZINC001176509699 1074147961 /nfs/dbraw/zinc/14/79/61/1074147961.db2.gz NUXHCPOVJPYCMP-FXUDXRNXSA-N 0 0 446.556 -0.699 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nccn1C[C@@H]2C(=O)N1CN[C@H](N)[C@H]2NCN[C@@H]21 ZINC001176509706 1074147881 /nfs/dbraw/zinc/14/78/81/1074147881.db2.gz NUXHCPOVJPYCMP-LVQVYYBASA-N 0 0 446.556 -0.699 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nccn1C[C@@H]2C(=O)N1CN[C@H](N)[C@@H]2NCN[C@H]21 ZINC001176509708 1074147912 /nfs/dbraw/zinc/14/79/12/1074147912.db2.gz NUXHCPOVJPYCMP-UGUYLWEFSA-N 0 0 446.556 -0.699 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)c1nccn1C[C@@H]2C(=O)N1CN[C@H](N)[C@H]2NCN[C@H]21 ZINC001176509711 1074147867 /nfs/dbraw/zinc/14/78/67/1074147867.db2.gz NUXHCPOVJPYCMP-WCVJEAGWSA-N 0 0 446.556 -0.699 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CC(N3CCNC(=O)C3)C1)CN(C(=O)OC(C)(C)C)C2 ZINC001176522867 1074147817 /nfs/dbraw/zinc/14/78/17/1074147817.db2.gz FZHIGZVZEBZSTA-AWEZNQCLSA-N 0 0 433.513 -0.225 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CC(N3CCNC(=O)C3)C1)CN(C(=O)OC(C)(C)C)C2 ZINC001176522870 1074147889 /nfs/dbraw/zinc/14/78/89/1074147889.db2.gz FZHIGZVZEBZSTA-CQSZACIVSA-N 0 0 433.513 -0.225 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN3CCOC[C@]3(CO)C2)C1=O ZINC001176523945 1074147842 /nfs/dbraw/zinc/14/78/42/1074147842.db2.gz IIBHDMZWDYHQNG-FCHUYYIVSA-N 0 0 445.520 -0.660 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN3CCOC[C@]3(CO)C2)C1=O ZINC001176523946 1074147922 /nfs/dbraw/zinc/14/79/22/1074147922.db2.gz IIBHDMZWDYHQNG-FGZHOGPDSA-N 0 0 445.520 -0.660 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN3CCOC[C@@]3(CO)C2)C1=O ZINC001176523947 1074147789 /nfs/dbraw/zinc/14/77/89/1074147789.db2.gz IIBHDMZWDYHQNG-VXKWHMMOSA-N 0 0 445.520 -0.660 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN3CCOC[C@@]3(CO)C2)C1=O ZINC001176523948 1074147956 /nfs/dbraw/zinc/14/79/56/1074147956.db2.gz IIBHDMZWDYHQNG-YADHBBJMSA-N 0 0 445.520 -0.660 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3CCOC[C@@]3(CO)C2)cc1S(=O)(=O)N(C)C ZINC001176525584 1074147833 /nfs/dbraw/zinc/14/78/33/1074147833.db2.gz ZXQJBKIEPNBWDT-IBGZPJMESA-N 0 0 426.539 -0.437 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3CCOC[C@]3(CO)C2)cc1S(=O)(=O)N(C)C ZINC001176525590 1074147951 /nfs/dbraw/zinc/14/79/51/1074147951.db2.gz ZXQJBKIEPNBWDT-LJQANCHMSA-N 0 0 426.539 -0.437 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N1CC(NS(C)(=O)=O)C1)CN(C(=O)OC(C)(C)C)C2 ZINC001176526398 1074147860 /nfs/dbraw/zinc/14/78/60/1074147860.db2.gz ZPRWDYQJKZBURR-GFCCVEGCSA-N 0 0 428.515 -0.108 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N1CC(NS(C)(=O)=O)C1)CN(C(=O)OC(C)(C)C)C2 ZINC001176526404 1074148366 /nfs/dbraw/zinc/14/83/66/1074148366.db2.gz ZPRWDYQJKZBURR-LBPRGKRZSA-N 0 0 428.515 -0.108 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)CN(C(=O)OC(C)(C)C)C2 ZINC001176538276 1074148358 /nfs/dbraw/zinc/14/83/58/1074148358.db2.gz RYPCHNDWXBIWSD-BQJFBPGXSA-N 0 0 425.486 -0.649 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)CN(C(=O)OC(C)(C)C)C2 ZINC001176538281 1074148340 /nfs/dbraw/zinc/14/83/40/1074148340.db2.gz RYPCHNDWXBIWSD-LKWSVKKZSA-N 0 0 425.486 -0.649 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)NC1CN(S(C)(=O)=O)C1)CN(C(=O)OC(C)(C)C)C2 ZINC001176538626 1074148368 /nfs/dbraw/zinc/14/83/68/1074148368.db2.gz WHOHUJUHPCAGEH-GFCCVEGCSA-N 0 0 428.515 -0.108 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)NC1CN(S(C)(=O)=O)C1)CN(C(=O)OC(C)(C)C)C2 ZINC001176538627 1074148335 /nfs/dbraw/zinc/14/83/35/1074148335.db2.gz WHOHUJUHPCAGEH-LBPRGKRZSA-N 0 0 428.515 -0.108 20 0 IBADRN O=C(NCCc1ccc(O)c(CO)c1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001176576098 1074148321 /nfs/dbraw/zinc/14/83/21/1074148321.db2.gz FYNIBLPMVMVUBE-UHFFFAOYSA-N 0 0 433.486 -0.023 20 0 IBADRN Cc1ccc(NC(=O)CN2CCO[C@H](CS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC001176601099 1074149391 /nfs/dbraw/zinc/14/93/91/1074149391.db2.gz XVSTVGOMJYDKOG-HNNXBMFYSA-N 0 0 433.552 -0.071 20 0 IBADRN Cc1ccc(NC(=O)CN2CCO[C@@H](CS(C)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC001176601102 1074149330 /nfs/dbraw/zinc/14/93/30/1074149330.db2.gz XVSTVGOMJYDKOG-OAHLLOKOSA-N 0 0 433.552 -0.071 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001176602733 1074149287 /nfs/dbraw/zinc/14/92/87/1074149287.db2.gz SWDQJGITGJEOBT-INIZCTEOSA-N 0 0 439.469 -0.343 20 0 IBADRN C[C@@H]1CN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C[C@H]1CS(N)(=O)=O ZINC001176610310 1074148345 /nfs/dbraw/zinc/14/83/45/1074148345.db2.gz NWIFKQJPRWUBBY-QAPCUYQASA-N 0 0 438.550 -0.368 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)[C@@H]2CCc3[nH]c(=O)[nH]c(=O)c3C2)C1 ZINC001176620658 1074148393 /nfs/dbraw/zinc/14/83/93/1074148393.db2.gz IOBKBSJLVFQROQ-CHWSQXEVSA-N 0 0 430.465 -0.390 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)[C@@H]2CCc3[nH]c(=O)[nH]c(=O)c3C2)C1 ZINC001176620662 1074148324 /nfs/dbraw/zinc/14/83/24/1074148324.db2.gz IOBKBSJLVFQROQ-OLZOCXBDSA-N 0 0 430.465 -0.390 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)[C@H]2CCc3[nH]c(=O)[nH]c(=O)c3C2)C1 ZINC001176620667 1074148404 /nfs/dbraw/zinc/14/84/04/1074148404.db2.gz IOBKBSJLVFQROQ-QWHCGFSZSA-N 0 0 430.465 -0.390 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)[C@H]2CCc3[nH]c(=O)[nH]c(=O)c3C2)C1 ZINC001176620671 1074148401 /nfs/dbraw/zinc/14/84/01/1074148401.db2.gz IOBKBSJLVFQROQ-STQMWFEESA-N 0 0 430.465 -0.390 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C2)C1 ZINC001176623007 1074149024 /nfs/dbraw/zinc/14/90/24/1074149024.db2.gz SLHYFRMGTPRFFR-LHSJRXKWSA-N 0 0 433.509 -0.320 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C2)C1 ZINC001176623009 1074149015 /nfs/dbraw/zinc/14/90/15/1074149015.db2.gz SLHYFRMGTPRFFR-QKKBWIMNSA-N 0 0 433.509 -0.320 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C2)C1 ZINC001176623010 1074148921 /nfs/dbraw/zinc/14/89/21/1074148921.db2.gz SLHYFRMGTPRFFR-SPLOXXLWSA-N 0 0 433.509 -0.320 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C2)C1 ZINC001176623012 1074149003 /nfs/dbraw/zinc/14/90/03/1074149003.db2.gz SLHYFRMGTPRFFR-SZNDQCEHSA-N 0 0 433.509 -0.320 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C2)C1=O ZINC001176623088 1074148959 /nfs/dbraw/zinc/14/89/59/1074148959.db2.gz UFJDNQVGURPYHV-ASSNKEHSSA-N 0 0 431.493 -0.078 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C2)C1=O ZINC001176623089 1074148946 /nfs/dbraw/zinc/14/89/46/1074148946.db2.gz UFJDNQVGURPYHV-LRTDBIEQSA-N 0 0 431.493 -0.078 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C2)C1=O ZINC001176623090 1074148940 /nfs/dbraw/zinc/14/89/40/1074148940.db2.gz UFJDNQVGURPYHV-YEJXKQKISA-N 0 0 431.493 -0.078 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C2)C1=O ZINC001176623091 1074148950 /nfs/dbraw/zinc/14/89/50/1074148950.db2.gz UFJDNQVGURPYHV-ZSEKCTLFSA-N 0 0 431.493 -0.078 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C[C@H]2C1 ZINC001176634190 1074148999 /nfs/dbraw/zinc/14/89/99/1074148999.db2.gz FDUYOBGGNQUKNE-UPJWGTAASA-N 0 0 425.511 -0.421 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C[C@H]2C1 ZINC001176634193 1074149011 /nfs/dbraw/zinc/14/90/11/1074149011.db2.gz FDUYOBGGNQUKNE-XQQFMLRXSA-N 0 0 425.511 -0.421 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@@H]1CCc3[nH]c(=O)[nH]c(=O)c3C1)C2 ZINC001176634816 1074148985 /nfs/dbraw/zinc/14/89/85/1074148985.db2.gz HYEQKMICXAZSOQ-LLVKDONJSA-N 0 0 431.453 -0.769 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H]1CCc3[nH]c(=O)[nH]c(=O)c3C1)C2 ZINC001176634825 1074148981 /nfs/dbraw/zinc/14/89/81/1074148981.db2.gz HYEQKMICXAZSOQ-NSHDSACASA-N 0 0 431.453 -0.769 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001176635166 1074148972 /nfs/dbraw/zinc/14/89/72/1074148972.db2.gz JURHWLQNLHXCBZ-GLZXHMCRSA-N 0 0 442.476 -0.085 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001176635174 1074148990 /nfs/dbraw/zinc/14/89/90/1074148990.db2.gz JURHWLQNLHXCBZ-IAOMBJDPSA-N 0 0 442.476 -0.085 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(C(=O)[C@H]2CCc3[nH]c(=O)[nH]c(=O)c3C2)[C@@H]2COC[C@H]12 ZINC001176635989 1074148968 /nfs/dbraw/zinc/14/89/68/1074148968.db2.gz OJZATFARSVFTNL-BYMSMCERSA-N 0 0 427.461 -0.013 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(C(=O)[C@@H]2CCc3[nH]c(=O)[nH]c(=O)c3C2)[C@@H]2COC[C@H]12 ZINC001176635990 1074148906 /nfs/dbraw/zinc/14/89/06/1074148906.db2.gz OJZATFARSVFTNL-WBMRYOJMSA-N 0 0 427.461 -0.013 20 0 IBADRN O=C([C@H]1CCc2[nH]c(=O)[nH]c(=O)c2C1)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001176636371 1074149378 /nfs/dbraw/zinc/14/93/78/1074149378.db2.gz ROBWNISQVXROSU-LHSJRXKWSA-N 0 0 442.476 -0.083 20 0 IBADRN O=C([C@H]1CCc2[nH]c(=O)[nH]c(=O)c2C1)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001176636372 1074149427 /nfs/dbraw/zinc/14/94/27/1074149427.db2.gz ROBWNISQVXROSU-QKKBWIMNSA-N 0 0 442.476 -0.083 20 0 IBADRN O=C([C@@H]1CCc2[nH]c(=O)[nH]c(=O)c2C1)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001176636373 1074149241 /nfs/dbraw/zinc/14/92/41/1074149241.db2.gz ROBWNISQVXROSU-SPLOXXLWSA-N 0 0 442.476 -0.083 20 0 IBADRN O=C([C@@H]1CCc2[nH]c(=O)[nH]c(=O)c2C1)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001176636374 1074149415 /nfs/dbraw/zinc/14/94/15/1074149415.db2.gz ROBWNISQVXROSU-SZNDQCEHSA-N 0 0 442.476 -0.083 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)[C@H]2CCc3[nH]c(=O)[nH]c(=O)c3C2)[C@@H]2CCCO[C@@H]21 ZINC001176636499 1074149421 /nfs/dbraw/zinc/14/94/21/1074149421.db2.gz SATNAOLSIOVBFH-DANNLKNASA-N 0 0 442.476 -0.085 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)[C@@H]2CCc3[nH]c(=O)[nH]c(=O)c3C2)[C@@H]2CCCO[C@@H]21 ZINC001176636500 1074149362 /nfs/dbraw/zinc/14/93/62/1074149362.db2.gz SATNAOLSIOVBFH-MSQAHXEGSA-N 0 0 442.476 -0.085 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)[C@H]1CCc3[nH]c(=O)[nH]c(=O)c3C1)CC2 ZINC001176636697 1074149250 /nfs/dbraw/zinc/14/92/50/1074149250.db2.gz ULGWQHYOYXEOCE-AWEZNQCLSA-N 0 0 441.488 -0.130 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)[C@@H]1CCc3[nH]c(=O)[nH]c(=O)c3C1)CC2 ZINC001176636698 1074149396 /nfs/dbraw/zinc/14/93/96/1074149396.db2.gz ULGWQHYOYXEOCE-CQSZACIVSA-N 0 0 441.488 -0.130 20 0 IBADRN O=C(C[C@@H]1COC[C@H]2CN(C(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C[C@H]21)N1CCOCC1 ZINC001176636980 1074149384 /nfs/dbraw/zinc/14/93/84/1074149384.db2.gz WYMCOHNWRMAXEX-ANQUJSFKSA-N 0 0 446.504 -0.037 20 0 IBADRN O=C(C[C@@H]1COC[C@H]2CN(C(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)C[C@H]21)N1CCOCC1 ZINC001176636981 1074149337 /nfs/dbraw/zinc/14/93/37/1074149337.db2.gz WYMCOHNWRMAXEX-HWMZRRJGSA-N 0 0 446.504 -0.037 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001176691799 1074149401 /nfs/dbraw/zinc/14/94/01/1074149401.db2.gz OUKUSTICTXMMQL-GJQVQUKXSA-N 0 0 433.421 -0.972 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001176691801 1074149937 /nfs/dbraw/zinc/14/99/37/1074149937.db2.gz OUKUSTICTXMMQL-OLRMPSLUSA-N 0 0 433.421 -0.972 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CC[C@@H](CS(N)(=O)=O)C1 ZINC001176699150 1074149969 /nfs/dbraw/zinc/14/99/69/1074149969.db2.gz HPLMKJDMTDFSNA-CHWSQXEVSA-N 0 0 448.567 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CC[C@H](CS(N)(=O)=O)C1 ZINC001176699151 1074149944 /nfs/dbraw/zinc/14/99/44/1074149944.db2.gz HPLMKJDMTDFSNA-OLZOCXBDSA-N 0 0 448.567 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CC[C@@H](CS(N)(=O)=O)C1 ZINC001176699152 1074149982 /nfs/dbraw/zinc/14/99/82/1074149982.db2.gz HPLMKJDMTDFSNA-QWHCGFSZSA-N 0 0 448.567 -0.117 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CC[C@H](CS(N)(=O)=O)C1 ZINC001176699153 1074149960 /nfs/dbraw/zinc/14/99/60/1074149960.db2.gz HPLMKJDMTDFSNA-STQMWFEESA-N 0 0 448.567 -0.117 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001176699848 1074149973 /nfs/dbraw/zinc/14/99/73/1074149973.db2.gz NYSYEIHQVPDZKC-AWEZNQCLSA-N 0 0 446.551 -0.744 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001176699849 1074149931 /nfs/dbraw/zinc/14/99/31/1074149931.db2.gz NYSYEIHQVPDZKC-CQSZACIVSA-N 0 0 446.551 -0.744 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)Nc1ncnc3n[nH]nc31)Cn1ccnc12 ZINC001176764916 1074150635 /nfs/dbraw/zinc/15/06/35/1074150635.db2.gz HANZMNJHXCFXDL-LLVKDONJSA-N 0 0 426.441 -0.045 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)Nc1ncnc3n[nH]nc31)Cn1ccnc12 ZINC001176764917 1074150682 /nfs/dbraw/zinc/15/06/82/1074150682.db2.gz HANZMNJHXCFXDL-NSHDSACASA-N 0 0 426.441 -0.045 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)Nc1nccnc1C(N)=O)Cn1ccnc12 ZINC001176765238 1074151014 /nfs/dbraw/zinc/15/10/14/1074151014.db2.gz JDBAUDAUZFLWOK-GFCCVEGCSA-N 0 0 428.453 -0.218 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)Nc1nccnc1C(N)=O)Cn1ccnc12 ZINC001176765240 1074150996 /nfs/dbraw/zinc/15/09/96/1074150996.db2.gz JDBAUDAUZFLWOK-LBPRGKRZSA-N 0 0 428.453 -0.218 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)NCCC(=O)N1CCOCC1)Cn1ccnc12 ZINC001176766271 1074151084 /nfs/dbraw/zinc/15/10/84/1074151084.db2.gz TUSRCIUMSSXQHQ-INIZCTEOSA-N 0 0 448.524 -0.380 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)NCCC(=O)N1CCOCC1)Cn1ccnc12 ZINC001176766272 1074151021 /nfs/dbraw/zinc/15/10/21/1074151021.db2.gz TUSRCIUMSSXQHQ-MRXNPFEDSA-N 0 0 448.524 -0.380 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N=c1ncnc3[nH][nH]cc1-3)Cn1ccnc12 ZINC001176766607 1074151121 /nfs/dbraw/zinc/15/11/21/1074151121.db2.gz XCTNAMOZACJNPY-CYBMUJFWSA-N 0 0 425.453 -0.067 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N=c1ncnc3[nH][nH]cc1-3)Cn1ccnc12 ZINC001176766608 1074151067 /nfs/dbraw/zinc/15/10/67/1074151067.db2.gz XCTNAMOZACJNPY-ZDUSSCGKSA-N 0 0 425.453 -0.067 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)Nc1c(N)[nH]c(=O)[nH]c1=O)Cn1ccnc12 ZINC001176766801 1074151107 /nfs/dbraw/zinc/15/11/07/1074151107.db2.gz YKCIGLABDMYXPZ-JTQLQIEISA-N 0 0 432.441 -0.323 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)Nc1c(N)[nH]c(=O)[nH]c1=O)Cn1ccnc12 ZINC001176766804 1074151047 /nfs/dbraw/zinc/15/10/47/1074151047.db2.gz YKCIGLABDMYXPZ-SNVBAGLBSA-N 0 0 432.441 -0.323 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)CC1 ZINC001176769706 1074151116 /nfs/dbraw/zinc/15/11/16/1074151116.db2.gz CQARYUMTBSPXFU-INIZCTEOSA-N 0 0 448.524 -0.428 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)CC1 ZINC001176769707 1074151090 /nfs/dbraw/zinc/15/10/90/1074151090.db2.gz CQARYUMTBSPXFU-MRXNPFEDSA-N 0 0 448.524 -0.428 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1C[C@H]3CN(C)C(=O)[C@H]3C1)Cn1ccnc12 ZINC001176769858 1074151057 /nfs/dbraw/zinc/15/10/57/1074151057.db2.gz DQZCPZPGXWIOLW-OWCLPIDISA-N 0 0 430.509 -0.199 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1C[C@H]3CN(C)C(=O)[C@H]3C1)Cn1ccnc12 ZINC001176769859 1074150999 /nfs/dbraw/zinc/15/09/99/1074150999.db2.gz DQZCPZPGXWIOLW-PMPSAXMXSA-N 0 0 430.509 -0.199 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1C[C@@H]3[C@H](C1)OCCN3C)Cn1ccnc12 ZINC001176770160 1074151016 /nfs/dbraw/zinc/15/10/16/1074151016.db2.gz KUNZEXIKYHUGLW-IKGGRYGDSA-N 0 0 432.525 -0.204 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1C[C@@H]3[C@H](C1)OCCN3C)Cn1ccnc12 ZINC001176770161 1074151030 /nfs/dbraw/zinc/15/10/30/1074151030.db2.gz KUNZEXIKYHUGLW-IXDOHACOSA-N 0 0 432.525 -0.204 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC[C@H](O)[C@](C)(CO)C1)Cn1ccnc12 ZINC001176770212 1074151079 /nfs/dbraw/zinc/15/10/79/1074151079.db2.gz LOSAAMKRJLVUMB-FTRWYGJKSA-N 0 0 435.525 -0.154 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC[C@@H](O)[C@@](C)(CO)C1)Cn1ccnc12 ZINC001176770213 1074151100 /nfs/dbraw/zinc/15/11/00/1074151100.db2.gz LOSAAMKRJLVUMB-RZQQEMMASA-N 0 0 435.525 -0.154 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC[C@H](O)[C@@](C)(CO)C1)Cn1ccnc12 ZINC001176770214 1074151009 /nfs/dbraw/zinc/15/10/09/1074151009.db2.gz LOSAAMKRJLVUMB-TWOQFEAHSA-N 0 0 435.525 -0.154 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC[C@@H](O)[C@](C)(CO)C1)Cn1ccnc12 ZINC001176770215 1074151649 /nfs/dbraw/zinc/15/16/49/1074151649.db2.gz LOSAAMKRJLVUMB-YRNRMSPPSA-N 0 0 435.525 -0.154 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC(=O)N(C3CC3)C(=O)C1)Cn1ccnc12 ZINC001176770464 1074151674 /nfs/dbraw/zinc/15/16/74/1074151674.db2.gz QONUAPJNKOMOGI-HNNXBMFYSA-N 0 0 444.492 -0.386 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1CC(=O)N(C3CC3)C(=O)C1)Cn1ccnc12 ZINC001176770465 1074151708 /nfs/dbraw/zinc/15/17/08/1074151708.db2.gz QONUAPJNKOMOGI-OAHLLOKOSA-N 0 0 444.492 -0.386 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CCC3(CNC(=O)N3)CC1)Cn1ccnc12 ZINC001176770478 1074151698 /nfs/dbraw/zinc/15/16/98/1074151698.db2.gz QTPIQJSENINGKF-HNNXBMFYSA-N 0 0 445.524 -0.071 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1CCC3(CNC(=O)N3)CC1)Cn1ccnc12 ZINC001176770479 1074151723 /nfs/dbraw/zinc/15/17/23/1074151723.db2.gz QTPIQJSENINGKF-OAHLLOKOSA-N 0 0 445.524 -0.071 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)CCN1C ZINC001176770484 1074151690 /nfs/dbraw/zinc/15/16/90/1074151690.db2.gz QXMPKDDHWQXFIL-CVEARBPZSA-N 0 0 448.524 -0.430 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)CCN1C ZINC001176770485 1074151716 /nfs/dbraw/zinc/15/17/16/1074151716.db2.gz QXMPKDDHWQXFIL-HOTGVXAUSA-N 0 0 448.524 -0.430 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)CCN1C ZINC001176770486 1074151663 /nfs/dbraw/zinc/15/16/63/1074151663.db2.gz QXMPKDDHWQXFIL-HZPDHXFCSA-N 0 0 448.524 -0.430 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)CCN1C ZINC001176770487 1074151702 /nfs/dbraw/zinc/15/17/02/1074151702.db2.gz QXMPKDDHWQXFIL-JKSUJKDBSA-N 0 0 448.524 -0.430 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)NCCS(=O)(=O)N(C)C)Cn1ccnc12 ZINC001176770586 1074151670 /nfs/dbraw/zinc/15/16/70/1074151670.db2.gz ASRYCRIWEBNIEG-AWEZNQCLSA-N 0 0 442.542 -0.738 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)NCCS(=O)(=O)N(C)C)Cn1ccnc12 ZINC001176770587 1074151658 /nfs/dbraw/zinc/15/16/58/1074151658.db2.gz ASRYCRIWEBNIEG-CQSZACIVSA-N 0 0 442.542 -0.738 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)Nc1cc(=O)n(C)c(=O)[nH]1)Cn1ccnc12 ZINC001176770602 1074151642 /nfs/dbraw/zinc/15/16/42/1074151642.db2.gz BAWWEMNICNSEJD-GFCCVEGCSA-N 0 0 431.453 -0.307 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)Nc1cc(=O)n(C)c(=O)[nH]1)Cn1ccnc12 ZINC001176770603 1074151720 /nfs/dbraw/zinc/15/17/20/1074151720.db2.gz BAWWEMNICNSEJD-LBPRGKRZSA-N 0 0 431.453 -0.307 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CCS(=O)(=O)CC1)Cn1ccnc12 ZINC001176770726 1074151643 /nfs/dbraw/zinc/15/16/43/1074151643.db2.gz ULLSGPAKEVJCLE-AWEZNQCLSA-N 0 0 425.511 -0.488 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1CCS(=O)(=O)CC1)Cn1ccnc12 ZINC001176770727 1074151713 /nfs/dbraw/zinc/15/17/13/1074151713.db2.gz ULLSGPAKEVJCLE-CQSZACIVSA-N 0 0 425.511 -0.488 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC[C@@](C)(CO)[C@@H](O)C1)Cn1ccnc12 ZINC001176770878 1074151719 /nfs/dbraw/zinc/15/17/19/1074151719.db2.gz VMYYZSSTWZVUKA-FTRWYGJKSA-N 0 0 435.525 -0.154 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC[C@](C)(CO)[C@H](O)C1)Cn1ccnc12 ZINC001176770879 1074151707 /nfs/dbraw/zinc/15/17/07/1074151707.db2.gz VMYYZSSTWZVUKA-RZQQEMMASA-N 0 0 435.525 -0.154 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC[C@](C)(CO)[C@@H](O)C1)Cn1ccnc12 ZINC001176770880 1074151704 /nfs/dbraw/zinc/15/17/04/1074151704.db2.gz VMYYZSSTWZVUKA-TWOQFEAHSA-N 0 0 435.525 -0.154 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC[C@@](C)(CO)[C@H](O)C1)Cn1ccnc12 ZINC001176770881 1074151693 /nfs/dbraw/zinc/15/16/93/1074151693.db2.gz VMYYZSSTWZVUKA-YRNRMSPPSA-N 0 0 435.525 -0.154 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CCN3C(=O)OC[C@H]3C1)Cn1ccnc12 ZINC001176770925 1074151679 /nfs/dbraw/zinc/15/16/79/1074151679.db2.gz VVEKHEUFNFJMAC-CABCVRRESA-N 0 0 432.481 -0.082 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1CCN3C(=O)OC[C@H]3C1)Cn1ccnc12 ZINC001176770926 1074152154 /nfs/dbraw/zinc/15/21/54/1074152154.db2.gz VVEKHEUFNFJMAC-HUUCEWRRSA-N 0 0 432.481 -0.082 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CC(NS(C)(=O)=O)C1)Cn1ccnc12 ZINC001176770986 1074152116 /nfs/dbraw/zinc/15/21/16/1074152116.db2.gz WYDYOEQLJQHGOA-AWEZNQCLSA-N 0 0 440.526 -0.985 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1CC(NS(C)(=O)=O)C1)Cn1ccnc12 ZINC001176770988 1074152123 /nfs/dbraw/zinc/15/21/23/1074152123.db2.gz WYDYOEQLJQHGOA-CQSZACIVSA-N 0 0 440.526 -0.985 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N[C@H]1C[C@H](S(C)(=O)=O)C1)Cn1ccnc12 ZINC001176771015 1074152086 /nfs/dbraw/zinc/15/20/86/1074152086.db2.gz DYGJRQZFMVOTSA-KKUMJFAQSA-N 0 0 439.538 -0.054 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N[C@H]1C[C@H](S(C)(=O)=O)C1)Cn1ccnc12 ZINC001176771018 1074152143 /nfs/dbraw/zinc/15/21/43/1074152143.db2.gz DYGJRQZFMVOTSA-RBSFLKMASA-N 0 0 439.538 -0.054 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CCN3C(=O)COC[C@H]3C1)Cn1ccnc12 ZINC001176771514 1074152037 /nfs/dbraw/zinc/15/20/37/1074152037.db2.gz ZEEANFAVGMUIPO-CVEARBPZSA-N 0 0 446.508 -0.676 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1CCN3C(=O)COC[C@@H]3C1)Cn1ccnc12 ZINC001176771519 1074152134 /nfs/dbraw/zinc/15/21/34/1074152134.db2.gz ZEEANFAVGMUIPO-HOTGVXAUSA-N 0 0 446.508 -0.676 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1CCN3C(=O)COC[C@H]3C1)Cn1ccnc12 ZINC001176771522 1074152131 /nfs/dbraw/zinc/15/21/31/1074152131.db2.gz ZEEANFAVGMUIPO-HZPDHXFCSA-N 0 0 446.508 -0.676 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1CCN3C(=O)COC[C@@H]3C1)Cn1ccnc12 ZINC001176771524 1074152105 /nfs/dbraw/zinc/15/21/05/1074152105.db2.gz ZEEANFAVGMUIPO-JKSUJKDBSA-N 0 0 446.508 -0.676 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)NCCN1CC3(COC3)C1)Cn1ccnc12 ZINC001176772054 1074152158 /nfs/dbraw/zinc/15/21/58/1074152158.db2.gz MHOGCPUYZZXMPH-INIZCTEOSA-N 0 0 432.525 -0.297 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)NCCN1CC3(COC3)C1)Cn1ccnc12 ZINC001176772055 1074152016 /nfs/dbraw/zinc/15/20/16/1074152016.db2.gz MHOGCPUYZZXMPH-MRXNPFEDSA-N 0 0 432.525 -0.297 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N[C@H]1COCCC13OCCO3)Cn1ccnc12 ZINC001176772893 1074152147 /nfs/dbraw/zinc/15/21/47/1074152147.db2.gz RSDYLMNFWVAJLQ-CVEARBPZSA-N 0 0 449.508 -0.097 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N[C@H]1COCCC13OCCO3)Cn1ccnc12 ZINC001176772899 1074152108 /nfs/dbraw/zinc/15/21/08/1074152108.db2.gz RSDYLMNFWVAJLQ-HOTGVXAUSA-N 0 0 449.508 -0.097 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N[C@@H]1COCCC13OCCO3)Cn1ccnc12 ZINC001176772905 1074152057 /nfs/dbraw/zinc/15/20/57/1074152057.db2.gz RSDYLMNFWVAJLQ-HZPDHXFCSA-N 0 0 449.508 -0.097 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N[C@@H]1COCCC13OCCO3)Cn1ccnc12 ZINC001176772911 1074152610 /nfs/dbraw/zinc/15/26/10/1074152610.db2.gz RSDYLMNFWVAJLQ-JKSUJKDBSA-N 0 0 449.508 -0.097 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N[C@@H](CO)Cc1c[nH]cn1)Cn1ccnc12 ZINC001176772932 1074152638 /nfs/dbraw/zinc/15/26/38/1074152638.db2.gz RWUOOXUAPTVDTE-CVEARBPZSA-N 0 0 431.497 -0.303 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N[C@@H](CO)Cc1c[nH]cn1)Cn1ccnc12 ZINC001176772933 1074152628 /nfs/dbraw/zinc/15/26/28/1074152628.db2.gz RWUOOXUAPTVDTE-HZPDHXFCSA-N 0 0 431.497 -0.303 20 0 IBADRN CSCC[C@H](NC(=O)[C@@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1)C(N)=O ZINC001176772970 1074152576 /nfs/dbraw/zinc/15/25/76/1074152576.db2.gz SRKKBVGBNBAIFJ-KBPBESRZSA-N 0 0 438.554 -0.022 20 0 IBADRN CSCC[C@H](NC(=O)[C@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1)C(N)=O ZINC001176772971 1074152583 /nfs/dbraw/zinc/15/25/83/1074152583.db2.gz SRKKBVGBNBAIFJ-UONOGXRCSA-N 0 0 438.554 -0.022 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)NC1CN(S(C)(=O)=O)C1)Cn1ccnc12 ZINC001176772978 1074152616 /nfs/dbraw/zinc/15/26/16/1074152616.db2.gz STNDVTHWLBSFMP-AWEZNQCLSA-N 0 0 440.526 -0.985 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)NC1CN(S(C)(=O)=O)C1)Cn1ccnc12 ZINC001176772979 1074152614 /nfs/dbraw/zinc/15/26/14/1074152614.db2.gz STNDVTHWLBSFMP-CQSZACIVSA-N 0 0 440.526 -0.985 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)NCCCc1c[nH][nH]c1=O)Cn1ccnc12 ZINC001176773428 1074152605 /nfs/dbraw/zinc/15/26/05/1074152605.db2.gz VVYBXOMSGSFEGY-CABCVRRESA-N 0 0 431.497 -0.117 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)NCCCc1c[nH][nH]c1=O)Cn1ccnc12 ZINC001176773430 1074152569 /nfs/dbraw/zinc/15/25/69/1074152569.db2.gz VVYBXOMSGSFEGY-GJZGRUSLSA-N 0 0 431.497 -0.117 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)NCCCc1c[nH][nH]c1=O)Cn1ccnc12 ZINC001176773432 1074152622 /nfs/dbraw/zinc/15/26/22/1074152622.db2.gz VVYBXOMSGSFEGY-HUUCEWRRSA-N 0 0 431.497 -0.117 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)NCCCc1c[nH][nH]c1=O)Cn1ccnc12 ZINC001176773433 1074152585 /nfs/dbraw/zinc/15/25/85/1074152585.db2.gz VVYBXOMSGSFEGY-LSDHHAIUSA-N 0 0 431.497 -0.117 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)Cn1ccnc12 ZINC001176773542 1074152590 /nfs/dbraw/zinc/15/25/90/1074152590.db2.gz KHYFZHFQDJYVER-KBPBESRZSA-N 0 0 425.511 -0.442 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)Cn1ccnc12 ZINC001176773543 1074152619 /nfs/dbraw/zinc/15/26/19/1074152619.db2.gz KHYFZHFQDJYVER-KGLIPLIRSA-N 0 0 425.511 -0.442 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)Cn1ccnc12 ZINC001176773544 1074152593 /nfs/dbraw/zinc/15/25/93/1074152593.db2.gz KHYFZHFQDJYVER-UONOGXRCSA-N 0 0 425.511 -0.442 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)Cn1ccnc12 ZINC001176773545 1074152602 /nfs/dbraw/zinc/15/26/02/1074152602.db2.gz KHYFZHFQDJYVER-ZIAGYGMSSA-N 0 0 425.511 -0.442 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@@H](C(=O)N1C[C@@H]3COC[C@H](C1)C3=O)Cn1ccnc12 ZINC001176773721 1074152613 /nfs/dbraw/zinc/15/26/13/1074152613.db2.gz LQUCLHIBBKGXSS-OWCLPIDISA-N 0 0 431.493 -0.072 20 0 IBADRN CN(C)C(=O)N1CCC2(CC1)O[C@H](C(=O)N1C[C@@H]3COC[C@H](C1)C3=O)Cn1ccnc12 ZINC001176773722 1074152579 /nfs/dbraw/zinc/15/25/79/1074152579.db2.gz LQUCLHIBBKGXSS-XHSDSOJGSA-N 0 0 431.493 -0.072 20 0 IBADRN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)[C@@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)C1 ZINC001176774195 1074149950 /nfs/dbraw/zinc/14/99/50/1074149950.db2.gz OVKRMAQLIFWSRJ-FTRWYGJKSA-N 0 0 430.509 -0.008 20 0 IBADRN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)[C@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)C1 ZINC001176774198 1074149963 /nfs/dbraw/zinc/14/99/63/1074149963.db2.gz OVKRMAQLIFWSRJ-YRNRMSPPSA-N 0 0 430.509 -0.008 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN1C(=O)[C@@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1 ZINC001176775030 1074150594 /nfs/dbraw/zinc/15/05/94/1074150594.db2.gz SSLHRWBWRGVPTI-HOTGVXAUSA-N 0 0 448.524 -0.430 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN1C(=O)[C@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1 ZINC001176775031 1074150581 /nfs/dbraw/zinc/15/05/81/1074150581.db2.gz SSLHRWBWRGVPTI-HZPDHXFCSA-N 0 0 448.524 -0.430 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN1C(=O)[C@H]1Cn2ccnc2C2(CCN(C(=O)N(C)C)CC2)O1 ZINC001176775032 1074150658 /nfs/dbraw/zinc/15/06/58/1074150658.db2.gz SSLHRWBWRGVPTI-JKSUJKDBSA-N 0 0 448.524 -0.430 20 0 IBADRN Cn1ccnc1C1(O)CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001176790822 1074150699 /nfs/dbraw/zinc/15/06/99/1074150699.db2.gz MITORXCJGFBWRN-INIZCTEOSA-N 0 0 431.468 -0.028 20 0 IBADRN Cn1ccnc1C1(O)CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001176790823 1074150671 /nfs/dbraw/zinc/15/06/71/1074150671.db2.gz MITORXCJGFBWRN-MRXNPFEDSA-N 0 0 431.468 -0.028 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NCCN(C)CCS(C)(=O)=O)C1 ZINC001176790835 1074150687 /nfs/dbraw/zinc/15/06/87/1074150687.db2.gz MMXMWXRIQJOFDK-HNNXBMFYSA-N 0 0 449.599 -0.480 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NCCN(C)CCS(C)(=O)=O)C1 ZINC001176790893 1074150614 /nfs/dbraw/zinc/15/06/14/1074150614.db2.gz MMXMWXRIQJOFDK-OAHLLOKOSA-N 0 0 449.599 -0.480 20 0 IBADRN CN1CCn2c(CNC(=O)CCNC(=O)[C@H]3CCCN3C(=O)OC(C)(C)C)nnc2C1=O ZINC001176805941 1074152595 /nfs/dbraw/zinc/15/25/95/1074152595.db2.gz AVHPXOMAHNEMRJ-CYBMUJFWSA-N 0 0 449.512 -0.114 20 0 IBADRN CN1CCn2c(CNC(=O)CCNC(=O)[C@@H]3CCCN3C(=O)OC(C)(C)C)nnc2C1=O ZINC001176805942 1074152620 /nfs/dbraw/zinc/15/26/20/1074152620.db2.gz AVHPXOMAHNEMRJ-ZDUSSCGKSA-N 0 0 449.512 -0.114 20 0 IBADRN CN1CCn2c(CNC(=O)CCNS(=O)(=O)c3ccc(F)c(F)c3)nnc2C1=O ZINC001176806085 1074152650 /nfs/dbraw/zinc/15/26/50/1074152650.db2.gz HRUXIPDLJKEYRZ-UHFFFAOYSA-N 0 0 428.421 -0.373 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCc2nnc3n2CCN(C)C3=O)c1 ZINC001176806520 1074153140 /nfs/dbraw/zinc/15/31/40/1074153140.db2.gz RALBIHYWQKLTDJ-UHFFFAOYSA-N 0 0 436.494 -0.473 20 0 IBADRN Cc1ccc(C(=O)NCc2nnc3n2CCN(C)C3=O)cc1S(=O)(=O)N1CCOCC1 ZINC001176806853 1074153130 /nfs/dbraw/zinc/15/31/30/1074153130.db2.gz WYUVQPVWZZYWCO-UHFFFAOYSA-N 0 0 448.505 -0.377 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C2(c3ccccn3)CC2)[C@H]1O ZINC001176807449 1074153290 /nfs/dbraw/zinc/15/32/90/1074153290.db2.gz UFYOHAWVUYVGGQ-OZSDWXKXSA-N 0 0 439.476 -0.246 20 0 IBADRN CCS(=O)(=O)NC1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC001176809023 1074153194 /nfs/dbraw/zinc/15/31/94/1074153194.db2.gz YKGJZQRKYPQWJY-UHFFFAOYSA-N 0 0 430.552 -0.373 20 0 IBADRN CCS(=O)(=O)NC1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001176809192 1074153256 /nfs/dbraw/zinc/15/32/56/1074153256.db2.gz ZUCFFWGNBKYUOM-AWEZNQCLSA-N 0 0 446.595 -0.215 20 0 IBADRN CCS(=O)(=O)NC1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001176809193 1074153179 /nfs/dbraw/zinc/15/31/79/1074153179.db2.gz ZUCFFWGNBKYUOM-CQSZACIVSA-N 0 0 446.595 -0.215 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCCc2nnc3n2CCN(C)C3=O)cc1 ZINC001176816381 1074153231 /nfs/dbraw/zinc/15/32/31/1074153231.db2.gz GCWDZXCYPUXHOW-UHFFFAOYSA-N 0 0 448.505 -0.802 20 0 IBADRN Cn1ncc(I)c1C(=O)NCCc1nnc2n1CCN(C)C2=O ZINC001176816427 1074153274 /nfs/dbraw/zinc/15/32/74/1074153274.db2.gz IFLWLQHDBKHXCA-UHFFFAOYSA-N 0 0 429.222 -0.326 20 0 IBADRN CN1CCn2c(CCNC(=O)CN3C(=O)N[C@@](C)(c4ccc(F)cc4)C3=O)nnc2C1=O ZINC001176816536 1074153269 /nfs/dbraw/zinc/15/32/69/1074153269.db2.gz MFCMGDWHCGQVES-FQEVSTJZSA-N 0 0 443.439 -0.371 20 0 IBADRN CN1CCn2c(CCNC(=O)CN3C(=O)N[C@](C)(c4ccc(F)cc4)C3=O)nnc2C1=O ZINC001176816537 1074153168 /nfs/dbraw/zinc/15/31/68/1074153168.db2.gz MFCMGDWHCGQVES-HXUWFJFHSA-N 0 0 443.439 -0.371 20 0 IBADRN CN1CCn2c(CCNC(=O)CNS(=O)(=O)c3ccc(Cl)s3)nnc2C1=O ZINC001176816792 1074153219 /nfs/dbraw/zinc/15/32/19/1074153219.db2.gz ROKPISXPUYNMAA-UHFFFAOYSA-N 0 0 432.915 -0.284 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2ccc(S(=O)(=O)N(C)OC)cc2)C[C@@H]1S(C)(=O)=O ZINC001176825376 1074153116 /nfs/dbraw/zinc/15/31/16/1074153116.db2.gz CAGKTJYRQRYDAX-XJKSGUPXSA-N 0 0 434.492 -0.425 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)C2(CC(=O)N3CCOCC3)CCCC2)C[C@@H]1S(C)(=O)=O ZINC001176825390 1074153199 /nfs/dbraw/zinc/15/31/99/1074153199.db2.gz CLSJZUHJFNTPRW-IFXJQAMLSA-N 0 0 430.523 -0.112 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1c[nH]cn1)C(N)=O ZINC001176835862 1074153695 /nfs/dbraw/zinc/15/36/95/1074153695.db2.gz FOJUKIOUVWIURE-KBPBESRZSA-N 0 0 426.543 -0.678 20 0 IBADRN CN(CCCNC(=O)CN1C[C@@H]2[C@](C(F)(F)F)(C1)CCCS2(=O)=O)S(C)(=O)=O ZINC001176837562 1074153686 /nfs/dbraw/zinc/15/36/86/1074153686.db2.gz VNHVYSVMQPMHGE-TZMCWYRMSA-N 0 0 449.517 -0.174 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001176846480 1074154383 /nfs/dbraw/zinc/15/43/83/1074154383.db2.gz KZKXHVYCCMPWLS-HIFRSBDPSA-N 0 0 439.469 -0.538 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CCc2ccc(C(F)(F)F)nc2)c(=O)n1 ZINC001176858266 1074154294 /nfs/dbraw/zinc/15/42/94/1074154294.db2.gz QHHYXIGMAGZVIQ-HMTTWLPMSA-N 0 0 443.382 -0.150 20 0 IBADRN C[C@@](Cn1cccn1)(NCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)C(N)=O ZINC001176861009 1074153737 /nfs/dbraw/zinc/15/37/37/1074153737.db2.gz RZLAUDSKMIPVDU-NRFANRHFSA-N 0 0 428.493 -0.495 20 0 IBADRN C[C@](Cn1cccn1)(NCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)C(N)=O ZINC001176861010 1074153654 /nfs/dbraw/zinc/15/36/54/1074153654.db2.gz RZLAUDSKMIPVDU-OAQYLSRUSA-N 0 0 428.493 -0.495 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1)C2 ZINC001176861452 1074153744 /nfs/dbraw/zinc/15/37/44/1074153744.db2.gz YSEFAVUEEIBQJO-CXAGYDPISA-N 0 0 445.499 -0.008 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1)C2 ZINC001176861453 1074153660 /nfs/dbraw/zinc/15/36/60/1074153660.db2.gz YSEFAVUEEIBQJO-DYVFJYSZSA-N 0 0 445.499 -0.008 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1)C2 ZINC001176861454 1074153747 /nfs/dbraw/zinc/15/37/47/1074153747.db2.gz YSEFAVUEEIBQJO-GUYCJALGSA-N 0 0 445.499 -0.008 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1)C2 ZINC001176861455 1074153644 /nfs/dbraw/zinc/15/36/44/1074153644.db2.gz YSEFAVUEEIBQJO-SUMWQHHRSA-N 0 0 445.499 -0.008 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)Cc3ccc(-n4cnnn4)cc3)C2)C1 ZINC001176862706 1074153622 /nfs/dbraw/zinc/15/36/22/1074153622.db2.gz JMMMVTKDXSEUKC-NRFANRHFSA-N 0 0 427.509 -0.108 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)Cc3ccc(-n4cnnn4)cc3)C2)C1 ZINC001176862711 1074153635 /nfs/dbraw/zinc/15/36/35/1074153635.db2.gz JMMMVTKDXSEUKC-OAQYLSRUSA-N 0 0 427.509 -0.108 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)Cc1ccc(-n3cnnn3)cc1)CC2 ZINC001176863195 1074153727 /nfs/dbraw/zinc/15/37/27/1074153727.db2.gz PRHFHNKKOFYJLS-UHFFFAOYSA-N 0 0 449.519 -0.089 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC001176865017 1074153640 /nfs/dbraw/zinc/15/36/40/1074153640.db2.gz QZZPFAUQSZZOMJ-AREMUKBSSA-N 0 0 425.621 -0.330 20 0 IBADRN CN(C)[S@@](C)(=O)=NCC1CCN(CC(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC001176865020 1074153663 /nfs/dbraw/zinc/15/36/63/1074153663.db2.gz QZZPFAUQSZZOMJ-SANMLTNESA-N 0 0 425.621 -0.330 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)Cc1ccc(-n3cnnn3)cc1)C2 ZINC001176865388 1074154330 /nfs/dbraw/zinc/15/43/30/1074154330.db2.gz YHABXBKYKGYSGV-UHFFFAOYSA-N 0 0 425.453 -0.557 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cc1ccc(-n2cnnn2)cc1 ZINC001176872768 1074154420 /nfs/dbraw/zinc/15/44/20/1074154420.db2.gz QMBUVWUZQAWJOX-IRXDYDNUSA-N 0 0 437.464 -0.868 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cc3ccc(-n4cnnn4)cc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001176873100 1074154264 /nfs/dbraw/zinc/15/42/64/1074154264.db2.gz YYJSXXSYELIZPI-ZMSDIMECSA-N 0 0 427.421 -0.760 20 0 IBADRN O=C(CCn1ccnc1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001176873772 1074154370 /nfs/dbraw/zinc/15/43/70/1074154370.db2.gz FOMWDEXEUPCEGF-JKSUJKDBSA-N 0 0 432.506 -0.177 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)N(C)CCS(N)(=O)=O ZINC001176880930 1074154252 /nfs/dbraw/zinc/15/42/52/1074154252.db2.gz NEKIJRCHNUWNPG-GFCCVEGCSA-N 0 0 427.545 -0.027 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N(C)CCS(N)(=O)=O ZINC001176880931 1074154367 /nfs/dbraw/zinc/15/43/67/1074154367.db2.gz NEKIJRCHNUWNPG-LBPRGKRZSA-N 0 0 427.545 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N(C)CCS(N)(=O)=O)n2C ZINC001176881303 1074154362 /nfs/dbraw/zinc/15/43/62/1074154362.db2.gz QNGLHHSLQRNATE-UHFFFAOYSA-N 0 0 431.540 -0.497 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCn1ccnc1)C(N)=O ZINC001176883773 1074154313 /nfs/dbraw/zinc/15/43/13/1074154313.db2.gz MPFRPQROOKKUBC-GJZGRUSLSA-N 0 0 440.570 -0.357 20 0 IBADRN CN(CCS(N)(=O)=O)C(=O)CN1C(=O)N[C@@](C)(c2ccc(Br)cc2)C1=O ZINC001176884865 1074154403 /nfs/dbraw/zinc/15/44/03/1074154403.db2.gz HOEHHBNWLVUORE-HNNXBMFYSA-N 0 0 447.311 -0.037 20 0 IBADRN CN(CCS(N)(=O)=O)C(=O)CN1C(=O)N[C@](C)(c2ccc(Br)cc2)C1=O ZINC001176884866 1074154302 /nfs/dbraw/zinc/15/43/02/1074154302.db2.gz HOEHHBNWLVUORE-OAHLLOKOSA-N 0 0 447.311 -0.037 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)CCCNC(=O)c1cccnc1)C2 ZINC001176888667 1074154408 /nfs/dbraw/zinc/15/44/08/1074154408.db2.gz GSYBYPGIISCYEY-UHFFFAOYSA-N 0 0 429.481 -0.170 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCCNC(=O)c1cccnc1 ZINC001176893291 1074154342 /nfs/dbraw/zinc/15/43/42/1074154342.db2.gz BNMVTTPCNZEIGZ-IRXDYDNUSA-N 0 0 441.492 -0.482 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)CCCNC(=O)c3cccnc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001176894362 1074154239 /nfs/dbraw/zinc/15/42/39/1074154239.db2.gz ZVKXGSMCQDKAHS-ZMSDIMECSA-N 0 0 431.449 -0.373 20 0 IBADRN Cc1nc(C)n(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001176897872 1074154394 /nfs/dbraw/zinc/15/43/94/1074154394.db2.gz LGCQHHXPUAMZMN-JKSUJKDBSA-N 0 0 447.521 -0.555 20 0 IBADRN Cc1nc(C)n(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001176898330 1074154272 /nfs/dbraw/zinc/15/42/72/1074154272.db2.gz QTOXHXUDMGRMQF-ARFHVFGLSA-N 0 0 425.511 -0.985 20 0 IBADRN Cc1nc(C)n(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001176898331 1074154415 /nfs/dbraw/zinc/15/44/15/1074154415.db2.gz QTOXHXUDMGRMQF-BZUAXINKSA-N 0 0 425.511 -0.985 20 0 IBADRN Cc1nc(C)n(CC(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)n1 ZINC001176898845 1074154287 /nfs/dbraw/zinc/15/42/87/1074154287.db2.gz WDFMOWHXABEUFF-AOIWGVFYSA-N 0 0 432.506 -0.126 20 0 IBADRN Cc1nc(C)n(CC(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)n1 ZINC001176899092 1074155015 /nfs/dbraw/zinc/15/50/15/1074155015.db2.gz ZENVRUBHTKCWJN-IBGZPJMESA-N 0 0 438.554 -0.373 20 0 IBADRN Cc1nc(C)n(CC(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)n1 ZINC001176899093 1074154905 /nfs/dbraw/zinc/15/49/05/1074154905.db2.gz ZENVRUBHTKCWJN-LJQANCHMSA-N 0 0 438.554 -0.373 20 0 IBADRN Cc1nc(C)n(CC(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)n1 ZINC001176902207 1074154862 /nfs/dbraw/zinc/15/48/62/1074154862.db2.gz HRGQTNWXXLKCET-UHFFFAOYSA-N 0 0 430.531 -0.106 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CCN2CCOC3(CCS(=O)(=O)CC3)C2)c1 ZINC001176950904 1074154970 /nfs/dbraw/zinc/15/49/70/1074154970.db2.gz FUCVGAMNILVZAU-UHFFFAOYSA-N 0 0 431.536 -0.058 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCOC3(CCS(=O)(=O)CC3)C2)cc1 ZINC001176951205 1074154838 /nfs/dbraw/zinc/15/48/38/1074154838.db2.gz HCOSDHRUMGRHGL-UHFFFAOYSA-N 0 0 425.507 -0.554 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001176953670 1074154989 /nfs/dbraw/zinc/15/49/89/1074154989.db2.gz WCWRUCJCIDWZIH-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@H]2CCCN(c3ccccn3)C2)c(=O)n1 ZINC001176973847 1074154913 /nfs/dbraw/zinc/15/49/13/1074154913.db2.gz PBBJJBVLNKBUAS-DVIICDOJSA-N 0 0 430.465 -0.885 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@@H]2CCCN(c3ccccn3)C2)c(=O)n1 ZINC001176973848 1074155007 /nfs/dbraw/zinc/15/50/07/1074155007.db2.gz PBBJJBVLNKBUAS-FICBZKSTSA-N 0 0 430.465 -0.885 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)CN1CCN(c2ncccn2)CC1 ZINC001176974738 1074154849 /nfs/dbraw/zinc/15/48/49/1074154849.db2.gz CBCBPHSRYPDUNA-AVRDEDQJSA-N 0 0 446.552 -0.147 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)CN1CCN(c2ncccn2)CC1 ZINC001176974739 1074155024 /nfs/dbraw/zinc/15/50/24/1074155024.db2.gz CBCBPHSRYPDUNA-GCJKJVERSA-N 0 0 446.552 -0.147 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)CN1CCN(c2ncccn2)CC1 ZINC001176974740 1074154879 /nfs/dbraw/zinc/15/48/79/1074154879.db2.gz CBCBPHSRYPDUNA-PGRDOPGGSA-N 0 0 446.552 -0.147 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)CN1CCN(c2ncccn2)CC1 ZINC001176974741 1074154933 /nfs/dbraw/zinc/15/49/33/1074154933.db2.gz CBCBPHSRYPDUNA-XMSQKQJNSA-N 0 0 446.552 -0.147 20 0 IBADRN CN(C)C(=O)COC[C@H]1CC[C@@H]2[C@@H](CCN2C(=O)CN2CCN(c3ncccn3)CC2)O1 ZINC001176974886 1074154999 /nfs/dbraw/zinc/15/49/99/1074154999.db2.gz DKUOWBRVOSVDPI-GUDVDZBRSA-N 0 0 446.552 -0.148 20 0 IBADRN CN(C)C(=O)COCc1noc2c1CN(C(=O)CN1CCN(c3ncccn3)CC1)CC2 ZINC001176975315 1074154831 /nfs/dbraw/zinc/15/48/31/1074154831.db2.gz MFGWNZUAAZURBG-UHFFFAOYSA-N 0 0 443.508 -0.224 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(C(=O)CN1CCN(c3ncccn3)CC1)CC2 ZINC001176975632 1074155442 /nfs/dbraw/zinc/15/54/42/1074155442.db2.gz SMTQQICCPNVFKZ-KRWDZBQOSA-N 0 0 432.525 -0.489 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(C(=O)CN1CCN(c3ncccn3)CC1)CC2 ZINC001176975633 1074155477 /nfs/dbraw/zinc/15/54/77/1074155477.db2.gz SMTQQICCPNVFKZ-QGZVFWFLSA-N 0 0 432.525 -0.489 20 0 IBADRN O=C(CN1CCN(c2ncccn2)CC1)N1C[C@@H]2COC[C@H](C(=O)N3CCCCO3)[C@@H]2C1 ZINC001176975936 1074155494 /nfs/dbraw/zinc/15/54/94/1074155494.db2.gz WHMHBLLISZBJOJ-QRVBRYPASA-N 0 0 444.536 -0.126 20 0 IBADRN CN(C)C(=O)NC[C@H]1OC[C@@H]2CCN(C(=O)CN3CCN(c4ncccn4)CC3)C[C@@H]21 ZINC001176975940 1074155552 /nfs/dbraw/zinc/15/55/52/1074155552.db2.gz WOZRWGRQQSRSLR-OKZBNKHCSA-N 0 0 431.541 -0.267 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)CN3CCN(c4ncccn4)CC3)C2)C1 ZINC001176975987 1074155592 /nfs/dbraw/zinc/15/55/92/1074155592.db2.gz YIELNTYTPOPDRW-JOCHJYFZSA-N 0 0 445.568 -0.714 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)CN3CCN(c4ncccn4)CC3)C2)C1 ZINC001176975988 1074155527 /nfs/dbraw/zinc/15/55/27/1074155527.db2.gz YIELNTYTPOPDRW-QFIPXVFZSA-N 0 0 445.568 -0.714 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)CN2CCN(c3ncccn3)CC2)C1 ZINC001176975994 1074155580 /nfs/dbraw/zinc/15/55/80/1074155580.db2.gz YTLOYJCOLREWAB-KRWDZBQOSA-N 0 0 442.524 -0.784 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)CN2CCN(c3ncccn3)CC2)C1 ZINC001176975995 1074155543 /nfs/dbraw/zinc/15/55/43/1074155543.db2.gz YTLOYJCOLREWAB-QGZVFWFLSA-N 0 0 442.524 -0.784 20 0 IBADRN O=C(CN1CCN(c2ncccn2)CC1)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001176976008 1074155557 /nfs/dbraw/zinc/15/55/57/1074155557.db2.gz ZGNFRRLVZIEGLS-UHFFFAOYSA-N 0 0 427.513 -0.935 20 0 IBADRN O=C(CN1CCS(=O)(=O)CCO1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001176976682 1074155505 /nfs/dbraw/zinc/15/55/05/1074155505.db2.gz DVVMLZCIBFQZIT-UHFFFAOYSA-N 0 0 433.508 -0.692 20 0 IBADRN O=C(CN1CCS(=O)(=O)CCO1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001176977982 1074155514 /nfs/dbraw/zinc/15/55/14/1074155514.db2.gz PILUTSKWHZCOKF-UHFFFAOYSA-N 0 0 435.499 -0.679 20 0 IBADRN O=C(CN1CCN(c2ncccn2)CC1)N1Cc2[nH]nc(C(=O)N3CCOCC3)c2C1 ZINC001176979177 1074155570 /nfs/dbraw/zinc/15/55/70/1074155570.db2.gz ASQYGXASYKKVCH-UHFFFAOYSA-N 0 0 426.481 -0.664 20 0 IBADRN O=C(CN1CCN(c2ncccn2)CC1)N1CC[C@H]2O[C@@H](C(=O)N3CCCO3)CC[C@H]21 ZINC001176979209 1074155546 /nfs/dbraw/zinc/15/55/46/1074155546.db2.gz BUBNVRQYKQKNMV-KZNAEPCWSA-N 0 0 430.509 -0.089 20 0 IBADRN O=C(CN1CCN(c2ncccn2)CC1)N1CCCn2c(=O)cc(Cn3ccnc3)nc2C1 ZINC001176979343 1074155461 /nfs/dbraw/zinc/15/54/61/1074155461.db2.gz FQIBRIUAMKCMDV-UHFFFAOYSA-N 0 0 449.519 -0.167 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)CN3CCN(c4ncccn4)CC3)C2)C1=O ZINC001176979383 1074155470 /nfs/dbraw/zinc/15/54/70/1074155470.db2.gz GIUDQISRJHMSQX-JOCHJYFZSA-N 0 0 443.552 -0.472 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)CN3CCN(c4ncccn4)CC3)C2)C1=O ZINC001176979385 1074155486 /nfs/dbraw/zinc/15/54/86/1074155486.db2.gz GIUDQISRJHMSQX-QFIPXVFZSA-N 0 0 443.552 -0.472 20 0 IBADRN CC(C)C(=O)N1CCO[C@]2(C1)COCCN(C(=O)CN1CCN(c3ncccn3)CC1)C2 ZINC001176979413 1074155483 /nfs/dbraw/zinc/15/54/83/1074155483.db2.gz HBJNZAOFKGNSHY-JOCHJYFZSA-N 0 0 446.552 -0.289 20 0 IBADRN CC(C)C(=O)N1CCO[C@@]2(C1)COCCN(C(=O)CN1CCN(c3ncccn3)CC1)C2 ZINC001176979414 1074155584 /nfs/dbraw/zinc/15/55/84/1074155584.db2.gz HBJNZAOFKGNSHY-QFIPXVFZSA-N 0 0 446.552 -0.289 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(C(=O)CN2CCN(c3ncccn3)CC2)[C@@H]2COC[C@H]12 ZINC001176979441 1074155564 /nfs/dbraw/zinc/15/55/64/1074155564.db2.gz IOBFQADXCIEVOG-ZHALLVOQSA-N 0 0 439.520 -0.407 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)CN3CCN(c4ncccn4)CC3)CC[C@H]2C1=O ZINC001176979539 1074156068 /nfs/dbraw/zinc/15/60/68/1074156068.db2.gz JRPYLLOKCSIFTB-MSOLQXFVSA-N 0 0 432.525 -0.679 20 0 IBADRN CC(=O)N(C)Cc1nnc2n1CCCN(C(=O)CN1CCN(c3ncccn3)CC1)C2 ZINC001176979696 1074156131 /nfs/dbraw/zinc/15/61/31/1074156131.db2.gz MUWAYZXOSVMCOH-UHFFFAOYSA-N 0 0 427.513 -0.399 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)CN3CCN(c4ncccn4)CC3)C[C@H]2C1 ZINC001176979785 1074156058 /nfs/dbraw/zinc/15/60/58/1074156058.db2.gz NRGBZZXZEQQNFB-SJORKVTESA-N 0 0 437.570 -0.815 20 0 IBADRN O=C(CN1CCN(c2ncccn2)CC1)N1CC[C@H](NS(=O)(=O)c2ccccn2)C1 ZINC001176979898 1074155907 /nfs/dbraw/zinc/15/59/07/1074155907.db2.gz OFUIUEKOOVRHPB-INIZCTEOSA-N 0 0 431.522 -0.427 20 0 IBADRN O=C(CN1CCN(c2ncccn2)CC1)N1CC[C@@H](NS(=O)(=O)c2ccccn2)C1 ZINC001176979899 1074156107 /nfs/dbraw/zinc/15/61/07/1074156107.db2.gz OFUIUEKOOVRHPB-MRXNPFEDSA-N 0 0 431.522 -0.427 20 0 IBADRN COc1cc(OC)nc(CN2CCN(C(=O)CN3CCN(c4ncccn4)CC3)CC2)n1 ZINC001176979962 1074156085 /nfs/dbraw/zinc/15/60/85/1074156085.db2.gz RCLIXZGFNKSDKR-UHFFFAOYSA-N 0 0 442.524 -0.250 20 0 IBADRN O=C(CN1CCN(c2ncccn2)CC1)NC1CCN(S(=O)(=O)c2ccccn2)CC1 ZINC001176984148 1074155928 /nfs/dbraw/zinc/15/59/28/1074155928.db2.gz BAGIMCKKLWNRMN-UHFFFAOYSA-N 0 0 445.549 -0.037 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)CN2CCN(c3ncccn3)CC2)C(=O)C1 ZINC001176984451 1074156180 /nfs/dbraw/zinc/15/61/80/1074156180.db2.gz LKDFTUSLULAKCA-UHFFFAOYSA-N 0 0 447.540 -0.206 20 0 IBADRN O=C(CNC(=O)CN1CCN(c2ncccn2)CC1)NCC(=O)OCc1ccccc1 ZINC001176985494 1074156201 /nfs/dbraw/zinc/15/62/01/1074156201.db2.gz ZHQHCBWFTYHJNR-UHFFFAOYSA-N 0 0 426.477 -0.426 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)Cn1cc(Br)cn1)C2 ZINC001176988224 1074156042 /nfs/dbraw/zinc/15/60/42/1074156042.db2.gz PUTSJDWKYAKCJV-UHFFFAOYSA-N 0 0 426.275 -0.116 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cn1cc(Br)cn1 ZINC001176995766 1074155946 /nfs/dbraw/zinc/15/59/46/1074155946.db2.gz CFOQPHBHUHEOPJ-STQMWFEESA-N 0 0 438.286 -0.428 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cn3cc(Br)cn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001176996468 1074156078 /nfs/dbraw/zinc/15/60/78/1074156078.db2.gz KWVWUIPORMUHSC-DMDPSCGWSA-N 0 0 428.243 -0.319 20 0 IBADRN Cc1nn(CC(=O)n2c(N)c3ncn(COCCO)c3nc2=N)cc1Br ZINC001177009295 1074156022 /nfs/dbraw/zinc/15/60/22/1074156022.db2.gz FOXPUZKMMFGEGY-UHFFFAOYSA-N 0 0 425.247 -0.131 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CS(=O)(=O)Nc1ccc(N2CCCCC2)cc1 ZINC001177017890 1074156165 /nfs/dbraw/zinc/15/61/65/1074156165.db2.gz IQJWTCIIJZRXQR-UHFFFAOYSA-N 0 0 439.538 -0.261 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC001177018513 1074156116 /nfs/dbraw/zinc/15/61/16/1074156116.db2.gz QWVRRDANFCLPAF-UHFFFAOYSA-N 0 0 425.239 -0.634 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CN1C(=O)N[C@](C)(c2ccc3ccccc3c2)C1=O ZINC001177019127 1074156604 /nfs/dbraw/zinc/15/66/04/1074156604.db2.gz ZNQUWQQGUQHUST-JOCHJYFZSA-N 0 0 439.472 -0.073 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CN1C(=O)N[C@@](C)(c2ccc3ccccc3c2)C1=O ZINC001177019128 1074156739 /nfs/dbraw/zinc/15/67/39/1074156739.db2.gz ZNQUWQQGUQHUST-QFIPXVFZSA-N 0 0 439.472 -0.073 20 0 IBADRN Cc1nn(CC(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)cc1Br ZINC001177020849 1074156736 /nfs/dbraw/zinc/15/67/36/1074156736.db2.gz SKLYKNVSWCAIFU-OUCADQQQSA-N 0 0 442.270 -0.010 20 0 IBADRN Cc1ccnn1CC(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001177049063 1074156598 /nfs/dbraw/zinc/15/65/98/1074156598.db2.gz YNXQBVVIJIBOLQ-JKSUJKDBSA-N 0 0 432.506 -0.259 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cn1nccc1C)C(N)=O ZINC001177056592 1074156713 /nfs/dbraw/zinc/15/67/13/1074156713.db2.gz ZPANGFOZQMQCMY-GJZGRUSLSA-N 0 0 440.570 -0.438 20 0 IBADRN Cc1ccn(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001177058915 1074156610 /nfs/dbraw/zinc/15/66/10/1074156610.db2.gz IRKUXEKLMPGCSR-JKSUJKDBSA-N 0 0 432.506 -0.259 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cn1ccc(C)n1)C(N)=O ZINC001177068243 1074156703 /nfs/dbraw/zinc/15/67/03/1074156703.db2.gz JPZBELKQWISJAL-GJZGRUSLSA-N 0 0 440.570 -0.438 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@@H](Cc1c[nH]cn1)NC(=O)OC(C)(C)C)C2 ZINC001177085033 1074156718 /nfs/dbraw/zinc/15/67/18/1074156718.db2.gz RHVASRRXDMNZAX-FOIQADDNSA-N 0 0 434.497 -0.205 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@H](Cc1c[nH]cn1)NC(=O)OC(C)(C)C)C2 ZINC001177085034 1074156617 /nfs/dbraw/zinc/15/66/17/1074156617.db2.gz RHVASRRXDMNZAX-MGPUTAFESA-N 0 0 434.497 -0.205 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@@H](Cc1c[nH]cn1)NC(=O)OC(C)(C)C)C2 ZINC001177085035 1074156731 /nfs/dbraw/zinc/15/67/31/1074156731.db2.gz RHVASRRXDMNZAX-QRWLVFNGSA-N 0 0 434.497 -0.205 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@H](Cc1c[nH]cn1)NC(=O)OC(C)(C)C)C2 ZINC001177085036 1074156679 /nfs/dbraw/zinc/15/66/79/1074156679.db2.gz RHVASRRXDMNZAX-YWZLYKJASA-N 0 0 434.497 -0.205 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCO[C@H]([C@@H]2COC(C)(C)O2)C1 ZINC001177097104 1074156656 /nfs/dbraw/zinc/15/66/56/1074156656.db2.gz NIDUVXMJOUJBJN-KCXAZCMYSA-N 0 0 441.506 -0.169 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1CCO[C@H]([C@@H]2COC(C)(C)O2)C1 ZINC001177097105 1074156709 /nfs/dbraw/zinc/15/67/09/1074156709.db2.gz NIDUVXMJOUJBJN-RCBQFDQVSA-N 0 0 441.506 -0.169 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)C1CCN(c3ncccn3)CC1)C2 ZINC001177125601 1074156635 /nfs/dbraw/zinc/15/66/35/1074156635.db2.gz CMQGHANVRPIPLZ-UHFFFAOYSA-N 0 0 428.497 -0.068 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)C3CCN(c4ncccn4)CC3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001177130223 1074157137 /nfs/dbraw/zinc/15/71/37/1074157137.db2.gz XOIRUXGKQGXLQQ-ARFHVFGLSA-N 0 0 430.465 -0.271 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)C1CCN(c2ncccn2)CC1 ZINC001177134137 1074157207 /nfs/dbraw/zinc/15/72/07/1074157207.db2.gz AYSIDZWJKFFLMD-IRXDYDNUSA-N 0 0 440.508 -0.380 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001177138284 1074157125 /nfs/dbraw/zinc/15/71/25/1074157125.db2.gz GRFGOIYZDDBCHA-KBPBESRZSA-N 0 0 431.536 -0.447 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001177138285 1074157101 /nfs/dbraw/zinc/15/71/01/1074157101.db2.gz GRFGOIYZDDBCHA-KGLIPLIRSA-N 0 0 431.536 -0.447 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001177138286 1074157151 /nfs/dbraw/zinc/15/71/51/1074157151.db2.gz GRFGOIYZDDBCHA-UONOGXRCSA-N 0 0 431.536 -0.447 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001177138287 1074157235 /nfs/dbraw/zinc/15/72/35/1074157235.db2.gz GRFGOIYZDDBCHA-ZIAGYGMSSA-N 0 0 431.536 -0.447 20 0 IBADRN O=C(CCC(=O)c1ccn(S(=O)(=O)c2ccccc2)c1)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O ZINC001177139624 1074157178 /nfs/dbraw/zinc/15/71/78/1074157178.db2.gz ZSMHRIQEIPAMSN-FCNFAXOHSA-N 0 0 436.486 -0.093 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@@H]3CS(=O)(=O)C[C@H]3C1)c2=O ZINC001177140245 1074157256 /nfs/dbraw/zinc/15/72/56/1074157256.db2.gz QQCJSGJJCCJJLE-NXEZZACHSA-N 0 0 444.311 -0.214 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@H]3CS(=O)(=O)C[C@@H]3C1)c2=O ZINC001177140248 1074157252 /nfs/dbraw/zinc/15/72/52/1074157252.db2.gz QQCJSGJJCCJJLE-UWVGGRQHSA-N 0 0 444.311 -0.214 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@H]3CS(=O)(=O)C[C@H]3C1)c2=O ZINC001177140250 1074157070 /nfs/dbraw/zinc/15/70/70/1074157070.db2.gz QQCJSGJJCCJJLE-VHSXEESVSA-N 0 0 444.311 -0.214 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@@H]3CS(=O)(=O)C[C@@H]3C1)c2=O ZINC001177140251 1074157088 /nfs/dbraw/zinc/15/70/88/1074157088.db2.gz QQCJSGJJCCJJLE-ZJUUUORDSA-N 0 0 444.311 -0.214 20 0 IBADRN N[C@@H]1NCN(C(=O)CCC(=O)c2ccn(S(=O)(=O)c3ccccc3)c2)[C@H]2NCN[C@@H]21 ZINC001177140943 1074157112 /nfs/dbraw/zinc/15/71/12/1074157112.db2.gz RRETUBKKAHAURZ-GUDVDZBRSA-N 0 0 432.506 -0.793 20 0 IBADRN N[C@@H]1NCN(C(=O)CCC(=O)c2ccn(S(=O)(=O)c3ccccc3)c2)[C@H]2NCN[C@H]21 ZINC001177140944 1074157193 /nfs/dbraw/zinc/15/71/93/1074157193.db2.gz RRETUBKKAHAURZ-IPMKNSEASA-N 0 0 432.506 -0.793 20 0 IBADRN N[C@@H]1NCN(C(=O)CCC(=O)c2ccn(S(=O)(=O)c3ccccc3)c2)[C@@H]2NCN[C@H]21 ZINC001177140945 1074157241 /nfs/dbraw/zinc/15/72/41/1074157241.db2.gz RRETUBKKAHAURZ-OTWHNJEPSA-N 0 0 432.506 -0.793 20 0 IBADRN N[C@@H]1NCN(C(=O)CCC(=O)c2ccn(S(=O)(=O)c3ccccc3)c2)[C@@H]2NCN[C@@H]21 ZINC001177140946 1074157001 /nfs/dbraw/zinc/15/70/01/1074157001.db2.gz RRETUBKKAHAURZ-QRVBRYPASA-N 0 0 432.506 -0.793 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1C[C@]2(C)CS(=O)(=O)C[C@]2(C)C1 ZINC001177146958 1074157275 /nfs/dbraw/zinc/15/72/75/1074157275.db2.gz OHBLSTGUPWIHMC-AFAVFJNCSA-N 0 0 432.568 -0.013 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1C[C@]2(C)CS(=O)(=O)C[C@]2(C)C1 ZINC001177146959 1074157263 /nfs/dbraw/zinc/15/72/63/1074157263.db2.gz OHBLSTGUPWIHMC-JLZZUVOBSA-N 0 0 432.568 -0.013 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2ccc(C(F)(F)F)cn2)c(=O)n1 ZINC001177159300 1074157608 /nfs/dbraw/zinc/15/76/08/1074157608.db2.gz FTMBPWDOTRJZEL-JUDXGUMMSA-N 0 0 429.355 -0.540 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN([S@@](C)(=N)=O)CC1)c2=O ZINC001177172558 1074157051 /nfs/dbraw/zinc/15/70/51/1074157051.db2.gz NWTIMZNFHXCLAL-RUZDIDTESA-N 0 0 432.304 -0.372 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCN([S@](C)(=N)=O)CC1)c2=O ZINC001177172559 1074157190 /nfs/dbraw/zinc/15/71/90/1074157190.db2.gz NWTIMZNFHXCLAL-VWLOTQADSA-N 0 0 432.304 -0.372 20 0 IBADRN O=C([C@@H]1CCc2nc[nH]c2C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001177176657 1074157618 /nfs/dbraw/zinc/15/76/18/1074157618.db2.gz PMBWZHVXOPBEKV-ATNYBXOESA-N 0 0 436.534 -0.365 20 0 IBADRN O=C([C@@H]1CCc2nc[nH]c2C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001177176659 1074157626 /nfs/dbraw/zinc/15/76/26/1074157626.db2.gz PMBWZHVXOPBEKV-BASYENTBSA-N 0 0 436.534 -0.365 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)[C@H]3CCc4nc[nH]c4C3)C[C@@H]21)N1CCOCC1 ZINC001177176661 1074157645 /nfs/dbraw/zinc/15/76/45/1074157645.db2.gz PMBWZHVXOPBEKV-LUXYFRNMSA-N 0 0 436.534 -0.365 20 0 IBADRN O=C([C@H]1CCc2nc[nH]c2C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001177176663 1074157669 /nfs/dbraw/zinc/15/76/69/1074157669.db2.gz PMBWZHVXOPBEKV-OIPACUDHSA-N 0 0 436.534 -0.365 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CCc2nc[nH]c2C1)C(=O)NCC(N)=O ZINC001177176793 1074157775 /nfs/dbraw/zinc/15/77/75/1074157775.db2.gz QQVMXULRZQZBCV-COXVUDFISA-N 0 0 432.525 -0.362 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1CCc2nc[nH]c2C1)C(=O)NCC(N)=O ZINC001177176795 1074157595 /nfs/dbraw/zinc/15/75/95/1074157595.db2.gz QQVMXULRZQZBCV-JQFCIGGWSA-N 0 0 432.525 -0.362 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC001177180454 1074157615 /nfs/dbraw/zinc/15/76/15/1074157615.db2.gz VLJMKERFBKEZJD-AWEZNQCLSA-N 0 0 431.536 -0.281 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC001177180455 1074157603 /nfs/dbraw/zinc/15/76/03/1074157603.db2.gz VLJMKERFBKEZJD-CQSZACIVSA-N 0 0 431.536 -0.281 20 0 IBADRN COc1ccc(C[C@@](C)(CO)NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC001177198757 1074157761 /nfs/dbraw/zinc/15/77/61/1074157761.db2.gz CDJQNAIUJFFLIF-IBGZPJMESA-N 0 0 429.539 -0.309 20 0 IBADRN COc1ccc(C[C@](C)(CO)NC(=O)CN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC001177198759 1074157733 /nfs/dbraw/zinc/15/77/33/1074157733.db2.gz CDJQNAIUJFFLIF-LJQANCHMSA-N 0 0 429.539 -0.309 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)C2(Cc3cccnc3)CCOCC2)c(=O)n1 ZINC001177205499 1074157582 /nfs/dbraw/zinc/15/75/82/1074157582.db2.gz KZWPMKACOMXUMH-VDHUWJSZSA-N 0 0 445.476 -0.762 20 0 IBADRN O=C(Cc1cncnc1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001177216724 1074158401 /nfs/dbraw/zinc/15/84/01/1074158401.db2.gz SELLUYFANMCWIT-JKSUJKDBSA-N 0 0 430.490 -0.431 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1cncnc1)C(N)=O ZINC001177224486 1074158284 /nfs/dbraw/zinc/15/82/84/1074158284.db2.gz SXZUQVGUVHINSS-GJZGRUSLSA-N 0 0 438.554 -0.611 20 0 IBADRN O=C(Cc1cncc(Cl)n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001177228647 1074158834 /nfs/dbraw/zinc/15/88/34/1074158834.db2.gz UMJIVGRRABTERO-RBSFLKMASA-N 0 0 442.925 -0.207 20 0 IBADRN O=C(Cc1cncc(Cl)n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001177228648 1074158904 /nfs/dbraw/zinc/15/89/04/1074158904.db2.gz UMJIVGRRABTERO-RRFJBIMHSA-N 0 0 442.925 -0.207 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)Cc1cncc(Cl)n1)C(=O)NCC(N)=O ZINC001177230035 1074158705 /nfs/dbraw/zinc/15/87/05/1074158705.db2.gz OJPNITCTGFVQCW-KBPBESRZSA-N 0 0 438.916 -0.204 20 0 IBADRN Cc1ccc(CC(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)cn1 ZINC001177253947 1074158889 /nfs/dbraw/zinc/15/88/89/1074158889.db2.gz OKSIWEVIDOQQHA-UNFPFYSMSA-N 0 0 427.465 -0.426 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCC(CO)(CO)CC3)c2)CC1 ZINC001177258275 1074158956 /nfs/dbraw/zinc/15/89/56/1074158956.db2.gz CYHBBGXIIAXKTC-UHFFFAOYSA-N 0 0 439.534 -0.254 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC[C@@H](C(=O)N[C@H]2CCC[C@H](O)C2)C1)c1cccc(F)n1 ZINC001177265874 1074159317 /nfs/dbraw/zinc/15/93/17/1074159317.db2.gz QBCUWKXTFHSNBJ-AESZEHBQSA-N 0 0 436.484 -0.030 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCC[C@H](O)C2)C1)c1cccc(F)n1 ZINC001177265875 1074159222 /nfs/dbraw/zinc/15/92/22/1074159222.db2.gz QBCUWKXTFHSNBJ-AIANPOQGSA-N 0 0 436.484 -0.030 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCC[C@@H](O)C2)C1)c1cccc(F)n1 ZINC001177265876 1074159305 /nfs/dbraw/zinc/15/93/05/1074159305.db2.gz QBCUWKXTFHSNBJ-ANQUJSFKSA-N 0 0 436.484 -0.030 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC[C@@H](C(=O)N[C@H]2CCC[C@@H](O)C2)C1)c1cccc(F)n1 ZINC001177265877 1074159252 /nfs/dbraw/zinc/15/92/52/1074159252.db2.gz QBCUWKXTFHSNBJ-DLTWYDFYSA-N 0 0 436.484 -0.030 20 0 IBADRN Cc1nc(CC(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c(C)s1 ZINC001177275357 1074159354 /nfs/dbraw/zinc/15/93/54/1074159354.db2.gz VPIBJBQJOYJGNJ-OZSDWXKXSA-N 0 0 447.521 -0.056 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2csc(C(F)(F)F)n2)c(=O)n1 ZINC001177285522 1074158944 /nfs/dbraw/zinc/15/89/44/1074158944.db2.gz UHSDGVJYGJSTQJ-FAQVLOEFSA-N 0 0 435.384 -0.478 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCC[C@@H]1CNC(=O)C1 ZINC001177287121 1074158741 /nfs/dbraw/zinc/15/87/41/1074158741.db2.gz FKNWTVOSWGKCCX-DZGCQCFKSA-N 0 0 425.507 -0.129 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC[C@H]1CNC(=O)C1 ZINC001177287122 1074158870 /nfs/dbraw/zinc/15/88/70/1074158870.db2.gz FKNWTVOSWGKCCX-HIFRSBDPSA-N 0 0 425.507 -0.129 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCC[C@H]1CNC(=O)C1 ZINC001177287123 1074158899 /nfs/dbraw/zinc/15/88/99/1074158899.db2.gz FKNWTVOSWGKCCX-UKRRQHHQSA-N 0 0 425.507 -0.129 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC[C@@H]1CNC(=O)C1 ZINC001177287124 1074158811 /nfs/dbraw/zinc/15/88/11/1074158811.db2.gz FKNWTVOSWGKCCX-ZFWWWQNUSA-N 0 0 425.507 -0.129 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1cccn1C)C(N)=O ZINC001177324252 1074159335 /nfs/dbraw/zinc/15/93/35/1074159335.db2.gz MFZNIWKPLGNBOP-HOTGVXAUSA-N 0 0 439.582 -0.062 20 0 IBADRN O=C(Nc1ccnc2c(N(CCO)CCO)cnn21)[C@@H]1CC(=O)N(c2nccs2)C1 ZINC001177326871 1074159242 /nfs/dbraw/zinc/15/92/42/1074159242.db2.gz IMYMRXZCTISZQO-GFCCVEGCSA-N 0 0 431.478 -0.032 20 0 IBADRN O=C(Nc1ccnc2c(N(CCO)CCO)cnn21)[C@H]1CC(=O)N(c2nccs2)C1 ZINC001177326872 1074159301 /nfs/dbraw/zinc/15/93/01/1074159301.db2.gz IMYMRXZCTISZQO-LBPRGKRZSA-N 0 0 431.478 -0.032 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@@H]1CC(=O)N(c3nccs3)C1)C2 ZINC001177329133 1074159343 /nfs/dbraw/zinc/15/93/43/1074159343.db2.gz CHSXSRQKSRDTLR-GFCCVEGCSA-N 0 0 433.494 -0.265 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H]1CC(=O)N(c3nccs3)C1)C2 ZINC001177329134 1074159950 /nfs/dbraw/zinc/15/99/50/1074159950.db2.gz CHSXSRQKSRDTLR-LBPRGKRZSA-N 0 0 433.494 -0.265 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3CC(=O)N(c4nccs4)C3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001177336984 1074160084 /nfs/dbraw/zinc/16/00/84/1074160084.db2.gz ASVCXXWOLVEUEJ-CIQGVGRVSA-N 0 0 435.462 -0.468 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H]3CC(=O)N(c4nccs4)C3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001177336985 1074160003 /nfs/dbraw/zinc/16/00/03/1074160003.db2.gz ASVCXXWOLVEUEJ-GFQSEFKGSA-N 0 0 435.462 -0.468 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@H]1CC(=O)N(c2nccs2)C1 ZINC001177338856 1074160055 /nfs/dbraw/zinc/16/00/55/1074160055.db2.gz WYCAGUFLPYGMIF-UBHSHLNASA-N 0 0 445.505 -0.577 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@@H]1CC(=O)N(c2nccs2)C1 ZINC001177338876 1074160100 /nfs/dbraw/zinc/16/01/00/1074160100.db2.gz WYCAGUFLPYGMIF-XBFCOCLRSA-N 0 0 445.505 -0.577 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)c1ccc(S(N)(=O)=O)cc1F ZINC001177361994 1074161602 /nfs/dbraw/zinc/16/16/02/1074161602.db2.gz BQTHNARWMFRABV-UHFFFAOYSA-N 0 0 436.465 -0.022 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CCCC1)C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1 ZINC001177362190 1074161767 /nfs/dbraw/zinc/16/17/67/1074161767.db2.gz IYKCJARLUSWATA-FOIQADDNSA-N 0 0 432.521 -0.067 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CCCC1)C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1 ZINC001177362191 1074161672 /nfs/dbraw/zinc/16/16/72/1074161672.db2.gz IYKCJARLUSWATA-MGPUTAFESA-N 0 0 432.521 -0.067 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CCCC1)C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1 ZINC001177362192 1074161647 /nfs/dbraw/zinc/16/16/47/1074161647.db2.gz IYKCJARLUSWATA-QRWLVFNGSA-N 0 0 432.521 -0.067 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CCCC1)C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1 ZINC001177362193 1074161579 /nfs/dbraw/zinc/16/15/79/1074161579.db2.gz IYKCJARLUSWATA-YWZLYKJASA-N 0 0 432.521 -0.067 20 0 IBADRN O=C(CNC(=O)CNS(=O)(=O)c1cccc(F)c1)NCC(=O)NCc1ccccc1 ZINC001177366913 1074160605 /nfs/dbraw/zinc/16/06/05/1074160605.db2.gz DYCGGEIMVLCJAK-UHFFFAOYSA-N 0 0 436.465 -0.347 20 0 IBADRN O=C(Cc1ncccn1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001177375198 1074160534 /nfs/dbraw/zinc/16/05/34/1074160534.db2.gz HIZLDKYTSCQZQE-LSDHHAIUSA-N 0 0 430.490 -0.431 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1ncccn1)C(N)=O ZINC001177380546 1074161010 /nfs/dbraw/zinc/16/10/10/1074161010.db2.gz VUQFFSRCRIQGFF-KBPBESRZSA-N 0 0 438.554 -0.611 20 0 IBADRN O=C(Cc1cncc(F)c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001177383386 1074161099 /nfs/dbraw/zinc/16/10/99/1074161099.db2.gz BUOCUQXUQGHHPE-BRWVUGGUSA-N 0 0 425.482 -0.116 20 0 IBADRN O=C(Cc1cncc(F)c1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001177383394 1074160942 /nfs/dbraw/zinc/16/09/42/1074160942.db2.gz BUOCUQXUQGHHPE-GVDBMIGSSA-N 0 0 425.482 -0.116 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)NCCOCCN1C(=O)C=CC1=O)c1cnccn1 ZINC001177397372 1074162321 /nfs/dbraw/zinc/16/23/21/1074162321.db2.gz WIZNUDXORTVPNT-KRWDZBQOSA-N 0 0 437.456 -0.125 20 0 IBADRN O=C(Cc1ncc(F)cn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001177400781 1074162382 /nfs/dbraw/zinc/16/23/82/1074162382.db2.gz LQOWRVKYQMPDEI-RBSFLKMASA-N 0 0 426.470 -0.721 20 0 IBADRN O=C(Cc1ncc(F)cn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001177400783 1074162408 /nfs/dbraw/zinc/16/24/08/1074162408.db2.gz LQOWRVKYQMPDEI-RRFJBIMHSA-N 0 0 426.470 -0.721 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)Cc2ncc(F)cn2)CC3)C1=O ZINC001177401314 1074162302 /nfs/dbraw/zinc/16/23/02/1074162302.db2.gz ORKMCUSMENPSSA-IBGZPJMESA-N 0 0 439.513 -0.109 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)Cc2ncc(F)cn2)CC3)C1=O ZINC001177401315 1074162312 /nfs/dbraw/zinc/16/23/12/1074162312.db2.gz ORKMCUSMENPSSA-LJQANCHMSA-N 0 0 439.513 -0.109 20 0 IBADRN O=C(Cc1ncc(F)cn1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001177402285 1074162350 /nfs/dbraw/zinc/16/23/50/1074162350.db2.gz XZSSOPWRIKCXJZ-LSDHHAIUSA-N 0 0 448.480 -0.292 20 0 IBADRN O=C(Cc1ncc(F)cn1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001177406278 1074162223 /nfs/dbraw/zinc/16/22/23/1074162223.db2.gz YDWZULZDCYVMAL-FMUWMXLXSA-N 0 0 425.438 -0.311 20 0 IBADRN O=C(Cc1ncc(F)cn1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001177406280 1074162400 /nfs/dbraw/zinc/16/24/00/1074162400.db2.gz YDWZULZDCYVMAL-IDTSGUGQSA-N 0 0 425.438 -0.311 20 0 IBADRN O=C(Cc1ncc(F)cn1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001177406283 1074162266 /nfs/dbraw/zinc/16/22/66/1074162266.db2.gz YDWZULZDCYVMAL-QNHTTWNFSA-N 0 0 425.438 -0.311 20 0 IBADRN O=C(Cc1ncc(F)cn1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001177406310 1074162260 /nfs/dbraw/zinc/16/22/60/1074162260.db2.gz YDWZULZDCYVMAL-WRJYRGCMSA-N 0 0 425.438 -0.311 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)CN(C)c1ccccn1)C(=O)NCC(N)=O ZINC001177409984 1074162847 /nfs/dbraw/zinc/16/28/47/1074162847.db2.gz DKVMYLYQBKLHJM-HOTGVXAUSA-N 0 0 432.525 -0.359 20 0 IBADRN CN(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)c1ccccn1 ZINC001177410054 1074162771 /nfs/dbraw/zinc/16/27/71/1074162771.db2.gz FBRSRSNFIVHQMX-BRWVUGGUSA-N 0 0 436.534 -0.362 20 0 IBADRN CN(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)c1ccccn1 ZINC001177410056 1074162922 /nfs/dbraw/zinc/16/29/22/1074162922.db2.gz FBRSRSNFIVHQMX-GVDBMIGSSA-N 0 0 436.534 -0.362 20 0 IBADRN Cn1cnnc1CCC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001177425027 1074163111 /nfs/dbraw/zinc/16/31/11/1074163111.db2.gz JEIIAMHJGRKMNX-IBGZPJMESA-N 0 0 438.554 -0.520 20 0 IBADRN Cn1cnnc1CCC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001177425046 1074162964 /nfs/dbraw/zinc/16/29/64/1074162964.db2.gz JEIIAMHJGRKMNX-LJQANCHMSA-N 0 0 438.554 -0.520 20 0 IBADRN Cn1cnnc1CCC(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001177425873 1074162372 /nfs/dbraw/zinc/16/23/72/1074162372.db2.gz VWJGGZSWAATUFF-GJYPPUQNSA-N 0 0 432.506 -0.273 20 0 IBADRN Cn1cnnc1CCC(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001177426013 1074162340 /nfs/dbraw/zinc/16/23/40/1074162340.db2.gz XJODISIANOBTKZ-LSDHHAIUSA-N 0 0 447.521 -0.703 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)CCc3nncn3C)CC2)c2nonc21 ZINC001177426550 1074162209 /nfs/dbraw/zinc/16/22/09/1074162209.db2.gz FMTOHELDGFDECC-UHFFFAOYSA-N 0 0 448.509 -0.117 20 0 IBADRN Cn1cnnc1CCC(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001177429588 1074163119 /nfs/dbraw/zinc/16/31/19/1074163119.db2.gz FXVJIUBZLYWXBB-UHFFFAOYSA-N 0 0 430.531 -0.254 20 0 IBADRN Cc1nnc(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)[nH]1 ZINC001177436054 1074163094 /nfs/dbraw/zinc/16/30/94/1074163094.db2.gz LQIZOYUEIBQBPK-UONOGXRCSA-N 0 0 433.494 -0.795 20 0 IBADRN Cc1nnc(CC(=O)N2CCN(c3ccc(S(=O)(=O)N(C)C)c4nonc43)CC2)[nH]1 ZINC001177436966 1074162798 /nfs/dbraw/zinc/16/27/98/1074162798.db2.gz JKFKUWRZXARFLW-UHFFFAOYSA-N 0 0 434.482 -0.209 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1nnc(C)[nH]1)C(N)=O ZINC001177443403 1074163053 /nfs/dbraw/zinc/16/30/53/1074163053.db2.gz YBKNMIOGHIIRCY-STQMWFEESA-N 0 0 441.558 -0.974 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)CCC(=O)Nc1nncs1)CC2 ZINC001177445014 1074163074 /nfs/dbraw/zinc/16/30/74/1074163074.db2.gz XUWLUMNHZXBCPL-UHFFFAOYSA-N 0 0 446.537 -0.037 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCC(=O)Nc1nncs1 ZINC001177453189 1074162877 /nfs/dbraw/zinc/16/28/77/1074162877.db2.gz KPNIBUKYHMVKOF-RYUDHWBXSA-N 0 0 434.482 -0.816 20 0 IBADRN Cc1cccnc1CC(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001177460802 1074162999 /nfs/dbraw/zinc/16/29/99/1074162999.db2.gz GNOIIHAAKOLTFY-UNFPFYSMSA-N 0 0 427.465 -0.426 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CSc2cncc(Cl)n2)c(=O)n1 ZINC001177472186 1074162908 /nfs/dbraw/zinc/16/29/08/1074162908.db2.gz OIQJISUZMZMQJM-HTXXDJJVSA-N 0 0 428.858 -0.961 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC(CO)(CO)C1 ZINC001177498021 1074163021 /nfs/dbraw/zinc/16/30/21/1074163021.db2.gz AIUZHDNUSDSUQM-UHFFFAOYSA-N 0 0 427.523 -0.552 20 0 IBADRN O=C(c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1)N1CC(CO)(CO)C1 ZINC001177498609 1074163423 /nfs/dbraw/zinc/16/34/23/1074163423.db2.gz GUQKZNIIFQKSRZ-UHFFFAOYSA-N 0 0 449.323 -0.103 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1ccon1)C(N)=O ZINC001177516124 1074163443 /nfs/dbraw/zinc/16/34/43/1074163443.db2.gz WLEGMWHARSONIW-KBPBESRZSA-N 0 0 427.527 -0.413 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)/C=C/c2nccs2)[C@H]1O ZINC001177527237 1074163398 /nfs/dbraw/zinc/16/33/98/1074163398.db2.gz CMGYSYQDDREHPV-NJNTWWPUSA-N 0 0 431.478 -0.202 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@@H]1C[C@@H]1c1cccs1 ZINC001177535957 1074164125 /nfs/dbraw/zinc/16/41/25/1074164125.db2.gz RJLVMVJVAWCQNQ-BSTNUEJFSA-N 0 0 433.446 -0.053 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@H]1C[C@H]1c1cccs1 ZINC001177535958 1074163970 /nfs/dbraw/zinc/16/39/70/1074163970.db2.gz RJLVMVJVAWCQNQ-HNOKQETGSA-N 0 0 433.446 -0.053 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@H]1C[C@@H]1c1cccs1 ZINC001177535959 1074164326 /nfs/dbraw/zinc/16/43/26/1074164326.db2.gz RJLVMVJVAWCQNQ-MHNDGBOASA-N 0 0 433.446 -0.053 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@@H]1C[C@H]1c1cccs1 ZINC001177535961 1074164417 /nfs/dbraw/zinc/16/44/17/1074164417.db2.gz RJLVMVJVAWCQNQ-MTIIDZHVSA-N 0 0 433.446 -0.053 20 0 IBADRN CN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC001177559813 1074164429 /nfs/dbraw/zinc/16/44/29/1074164429.db2.gz HZKOEGBECOPJMF-KRWDZBQOSA-N 0 0 431.518 -0.156 20 0 IBADRN CN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC001177559814 1074164370 /nfs/dbraw/zinc/16/43/70/1074164370.db2.gz HZKOEGBECOPJMF-QGZVFWFLSA-N 0 0 431.518 -0.156 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2ccnc(C(F)(F)F)c2)c(=O)n1 ZINC001177571156 1074164492 /nfs/dbraw/zinc/16/44/92/1074164492.db2.gz BOKRQLSHLKOKJR-SEWBAHNZSA-N 0 0 429.355 -0.540 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001177578576 1074164531 /nfs/dbraw/zinc/16/45/31/1074164531.db2.gz ICXMRNDWFFAWKO-CVEARBPZSA-N 0 0 435.502 -0.441 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001177578577 1074164347 /nfs/dbraw/zinc/16/43/47/1074164347.db2.gz ICXMRNDWFFAWKO-HOTGVXAUSA-N 0 0 435.502 -0.441 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001177578578 1074164301 /nfs/dbraw/zinc/16/43/01/1074164301.db2.gz ICXMRNDWFFAWKO-HZPDHXFCSA-N 0 0 435.502 -0.441 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001177578579 1074164439 /nfs/dbraw/zinc/16/44/39/1074164439.db2.gz ICXMRNDWFFAWKO-JKSUJKDBSA-N 0 0 435.502 -0.441 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)COc1ncc(Br)cn1)C2 ZINC001177578709 1074164290 /nfs/dbraw/zinc/16/42/90/1074164290.db2.gz YPYYUACOWALVIL-JTQLQIEISA-N 0 0 434.268 -0.193 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)COc1ncc(Br)cn1)C2 ZINC001177578710 1074164508 /nfs/dbraw/zinc/16/45/08/1074164508.db2.gz YPYYUACOWALVIL-SNVBAGLBSA-N 0 0 434.268 -0.193 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)COc1ncc(Br)cn1 ZINC001177582971 1074164515 /nfs/dbraw/zinc/16/45/15/1074164515.db2.gz BUVPZUUGLNHMGU-ZDUSSCGKSA-N 0 0 436.266 -0.053 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N[C@H]3C(=O)NCC34CCOCC4)C2=O)cc1 ZINC001177596334 1074164408 /nfs/dbraw/zinc/16/44/08/1074164408.db2.gz PIMFBYZONCJDPK-JXFKEZNVSA-N 0 0 430.461 -0.126 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N[C@H]3C(=O)NCC34CCOCC4)C2=O)cc1 ZINC001177596335 1074164333 /nfs/dbraw/zinc/16/43/33/1074164333.db2.gz PIMFBYZONCJDPK-OXJNMPFZSA-N 0 0 430.461 -0.126 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N[C@@H]3C(=O)NCC34CCOCC4)C2=O)cc1 ZINC001177596336 1074164421 /nfs/dbraw/zinc/16/44/21/1074164421.db2.gz PIMFBYZONCJDPK-OXQOHEQNSA-N 0 0 430.461 -0.126 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N[C@@H]3C(=O)NCC34CCOCC4)C2=O)cc1 ZINC001177596337 1074165079 /nfs/dbraw/zinc/16/50/79/1074165079.db2.gz PIMFBYZONCJDPK-UZLBHIALSA-N 0 0 430.461 -0.126 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2C(=O)NCC23CCOCC3)c1 ZINC001177596942 1074164982 /nfs/dbraw/zinc/16/49/82/1074164982.db2.gz XQMTXEIJZKUTCU-INIZCTEOSA-N 0 0 438.506 -0.922 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2C(=O)NCC23CCOCC3)c1 ZINC001177596950 1074165106 /nfs/dbraw/zinc/16/51/06/1074165106.db2.gz XQMTXEIJZKUTCU-MRXNPFEDSA-N 0 0 438.506 -0.922 20 0 IBADRN O=C(N[C@H]1C(=O)NCC12CCOCC2)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001177602567 1074164971 /nfs/dbraw/zinc/16/49/71/1074164971.db2.gz MPAALYVVNPSOKR-KBPBESRZSA-N 0 0 431.898 -0.609 20 0 IBADRN O=C(N[C@H]1C(=O)NCC12CCOCC2)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001177602568 1074165073 /nfs/dbraw/zinc/16/50/73/1074165073.db2.gz MPAALYVVNPSOKR-KGLIPLIRSA-N 0 0 431.898 -0.609 20 0 IBADRN O=C(N[C@@H]1C(=O)NCC12CCOCC2)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001177602569 1074165006 /nfs/dbraw/zinc/16/50/06/1074165006.db2.gz MPAALYVVNPSOKR-UONOGXRCSA-N 0 0 431.898 -0.609 20 0 IBADRN O=C(N[C@@H]1C(=O)NCC12CCOCC2)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001177602570 1074165100 /nfs/dbraw/zinc/16/51/00/1074165100.db2.gz MPAALYVVNPSOKR-ZIAGYGMSSA-N 0 0 431.898 -0.609 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C2(c3ccncc3)CC2)[C@H]1O ZINC001177612818 1074165061 /nfs/dbraw/zinc/16/50/61/1074165061.db2.gz BXQJCFPMMGKPAO-NPNMTCQASA-N 0 0 439.476 -0.246 20 0 IBADRN CN1CC(N2CCN(C(=O)c3cc(S(=O)(=O)N4CCOCC4)ccc3F)CC2)C1 ZINC001177629283 1074165119 /nfs/dbraw/zinc/16/51/19/1074165119.db2.gz YSSRMXVLEXPCSD-UHFFFAOYSA-N 0 0 426.514 -0.082 20 0 IBADRN CN1CC(N2CCN(C(=O)c3cc(S(=O)(=O)NCC(N)=O)ccc3Cl)CC2)C1 ZINC001177633396 1074165028 /nfs/dbraw/zinc/16/50/28/1074165028.db2.gz CPDFZYNHBWVYKH-UHFFFAOYSA-N 0 0 429.930 -0.825 20 0 IBADRN CN1CC(N2CCN(C(=O)[C@@]34CN(C(=O)OC(C)(C)C)C[C@@H]3CS(=O)(=O)C4)CC2)C1 ZINC001177634114 1074165130 /nfs/dbraw/zinc/16/51/30/1074165130.db2.gz PDNUUYHLFNHRKN-FOIQADDNSA-N 0 0 442.582 -0.274 20 0 IBADRN CN1CC(N2CCN(C(=O)c3sccc3S(=O)(=O)N3CCC(O)CC3)CC2)C1 ZINC001177634724 1074165085 /nfs/dbraw/zinc/16/50/85/1074165085.db2.gz VVBBIPIXIFHQTL-UHFFFAOYSA-N 0 0 428.580 -0.035 20 0 IBADRN CN1C(=O)C[C@H](C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)[C@H]1c1cccnc1 ZINC001177641847 1074165043 /nfs/dbraw/zinc/16/50/43/1074165043.db2.gz PEELQRUSDZGIHY-SWLSCSKDSA-N 0 0 426.437 -0.484 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cccnc1)C2 ZINC001177644234 1074165625 /nfs/dbraw/zinc/16/56/25/1074165625.db2.gz RPMKNPNUPYBJAD-MGPUTAFESA-N 0 0 441.492 -0.160 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cccnc1)C[C@H]2C(=O)N(C)C ZINC001177646361 1074165812 /nfs/dbraw/zinc/16/58/12/1074165812.db2.gz SHXXSSZXUIMJJH-JENIJYKNSA-N 0 0 427.505 -0.004 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cccnc1)C[C@@H]2C(=O)N(C)C ZINC001177646362 1074165533 /nfs/dbraw/zinc/16/55/33/1074165533.db2.gz SHXXSSZXUIMJJH-YQVWRLOYSA-N 0 0 427.505 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H](NC(=O)NC)C2)c1 ZINC001177649437 1074165785 /nfs/dbraw/zinc/16/57/85/1074165785.db2.gz LQEYAQGLJYKULZ-AWEZNQCLSA-N 0 0 427.527 -0.201 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H](NC(=O)NC)C2)c1 ZINC001177649438 1074165673 /nfs/dbraw/zinc/16/56/73/1074165673.db2.gz LQEYAQGLJYKULZ-CQSZACIVSA-N 0 0 427.527 -0.201 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3CC(=O)N(C)[C@@H]3c3cccnc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001177650057 1074165484 /nfs/dbraw/zinc/16/54/84/1074165484.db2.gz GHDUTVWTAYHRGN-KCEFYPGHSA-N 0 0 443.460 -0.363 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)Cc2cc(Cl)ccn2)[C@H]1O ZINC001177662542 1074165012 /nfs/dbraw/zinc/16/50/12/1074165012.db2.gz XNVOCKDXUWCRNA-OZSDWXKXSA-N 0 0 447.883 -0.081 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C2CCN(c3cc(Cl)ncn3)CC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001177687346 1074165694 /nfs/dbraw/zinc/16/56/94/1074165694.db2.gz LCQOPOYSFLPTIH-RVZQCVDMSA-N 0 0 430.889 -0.693 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)(S(C)(=O)=O)CC1 ZINC001177691995 1074165577 /nfs/dbraw/zinc/16/55/77/1074165577.db2.gz CARLOLVVZYIFJQ-CYBMUJFWSA-N 0 0 445.538 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CC3(C2)C[C@H](O)CNC3=O)(S(C)(=O)=O)CC1 ZINC001177691996 1074165732 /nfs/dbraw/zinc/16/57/32/1074165732.db2.gz CARLOLVVZYIFJQ-ZDUSSCGKSA-N 0 0 445.538 -0.490 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001177692595 1074165612 /nfs/dbraw/zinc/16/56/12/1074165612.db2.gz IAKRGMXKAZSDBB-GFCCVEGCSA-N 0 0 429.520 -0.784 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CC2(C1)C[C@H](O)CNC2=O ZINC001177692596 1074165595 /nfs/dbraw/zinc/16/55/95/1074165595.db2.gz IAKRGMXKAZSDBB-LBPRGKRZSA-N 0 0 429.520 -0.784 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC3(C2)C[C@@H](O)CNC3=O)cc1OC ZINC001177693112 1074165792 /nfs/dbraw/zinc/16/57/92/1074165792.db2.gz NXMZSWCILXENJY-GFCCVEGCSA-N 0 0 427.479 -0.918 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC3(C2)C[C@H](O)CNC3=O)cc1OC ZINC001177693113 1074165817 /nfs/dbraw/zinc/16/58/17/1074165817.db2.gz NXMZSWCILXENJY-LBPRGKRZSA-N 0 0 427.479 -0.918 20 0 IBADRN CN(CC(=O)N1CC2(C1)C[C@@H](O)CNC2=O)S(=O)(=O)c1ccc(Br)cc1 ZINC001177693161 1074165585 /nfs/dbraw/zinc/16/55/85/1074165585.db2.gz OWXWLMGGLKRSSD-GFCCVEGCSA-N 0 0 446.323 -0.221 20 0 IBADRN CN(CC(=O)N1CC2(C1)C[C@H](O)CNC2=O)S(=O)(=O)c1ccc(Br)cc1 ZINC001177693162 1074165505 /nfs/dbraw/zinc/16/55/05/1074165505.db2.gz OWXWLMGGLKRSSD-LBPRGKRZSA-N 0 0 446.323 -0.221 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)CCCC(=O)N2CC3(C2)C[C@H](O)CNC3=O)cc1 ZINC001177693498 1074165774 /nfs/dbraw/zinc/16/57/74/1074165774.db2.gz UCHGGIYZBLABDU-INIZCTEOSA-N 0 0 437.518 -0.001 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N(C)CCCC(=O)N2CC3(C2)C[C@@H](O)CNC3=O)cc1 ZINC001177693499 1074166151 /nfs/dbraw/zinc/16/61/51/1074166151.db2.gz UCHGGIYZBLABDU-MRXNPFEDSA-N 0 0 437.518 -0.001 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@H](Cn1cccn1)NC(=O)OC(C)(C)C)C2 ZINC001177701186 1074166176 /nfs/dbraw/zinc/16/61/76/1074166176.db2.gz LXBIFYUIMJSGIO-MGPUTAFESA-N 0 0 434.497 -0.274 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@H](Cn1cccn1)NC(=O)OC(C)(C)C)C2 ZINC001177701187 1074166162 /nfs/dbraw/zinc/16/61/62/1074166162.db2.gz LXBIFYUIMJSGIO-YWZLYKJASA-N 0 0 434.497 -0.274 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2C(=O)[C@@H]2CC[C@H](CN3CCOCC3)O2)cn1 ZINC001177718321 1074165993 /nfs/dbraw/zinc/16/59/93/1074165993.db2.gz NLTLLJJESCFRTD-KURKYZTESA-N 0 0 426.539 -0.012 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2C(=O)[C@@H]2CC[C@H](CN3CCOCC3)O2)cn1 ZINC001177718322 1074166038 /nfs/dbraw/zinc/16/60/38/1074166038.db2.gz NLTLLJJESCFRTD-SQNIBIBYSA-N 0 0 426.539 -0.012 20 0 IBADRN CC(C)N(C(=O)Cn1nn[n-]c1=S)c1nc(N2CCOCC2)nc2c1CC[NH2+]CC2 ZINC001177736580 1074165965 /nfs/dbraw/zinc/16/59/65/1074165965.db2.gz VPFAASZSGZYKBH-UHFFFAOYSA-N 0 0 433.542 -0.282 20 0 IBADRN CN(C)C(=O)CN1CCCN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001177740270 1074166720 /nfs/dbraw/zinc/16/67/20/1074166720.db2.gz GCMWVIBBIXXUNK-HNNXBMFYSA-N 0 0 425.530 -0.313 20 0 IBADRN CN(C)C(=O)CN1CCCN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001177740271 1074166778 /nfs/dbraw/zinc/16/67/78/1074166778.db2.gz GCMWVIBBIXXUNK-OAHLLOKOSA-N 0 0 425.530 -0.313 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)CNC(=O)c1cnccc1C(F)(F)F)C2 ZINC001177747911 1074166645 /nfs/dbraw/zinc/16/66/45/1074166645.db2.gz IVVUGWOBYPGDSF-KRWDZBQOSA-N 0 0 427.383 -0.220 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)CNC(=O)c1cnccc1C(F)(F)F)C2 ZINC001177747913 1074166812 /nfs/dbraw/zinc/16/68/12/1074166812.db2.gz IVVUGWOBYPGDSF-QGZVFWFLSA-N 0 0 427.383 -0.220 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1)[C@@H](C)O ZINC001177754732 1074166795 /nfs/dbraw/zinc/16/67/95/1074166795.db2.gz NJVKQYSATUSPIB-IRWJRLHMSA-N 0 0 428.507 -0.028 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1)[C@@H](C)O ZINC001177754735 1074166773 /nfs/dbraw/zinc/16/67/73/1074166773.db2.gz NJVKQYSATUSPIB-QCPWZWHMSA-N 0 0 428.507 -0.028 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1)[C@@H](C)O ZINC001177754736 1074166693 /nfs/dbraw/zinc/16/66/93/1074166693.db2.gz NJVKQYSATUSPIB-UOJCXKCYSA-N 0 0 428.507 -0.028 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1)[C@@H](C)O ZINC001177754737 1074166732 /nfs/dbraw/zinc/16/67/32/1074166732.db2.gz NJVKQYSATUSPIB-WNOSKKOXSA-N 0 0 428.507 -0.028 20 0 IBADRN COC(=O)[C@@H](NC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21)[C@@H](C)O ZINC001177754915 1074166756 /nfs/dbraw/zinc/16/67/56/1074166756.db2.gz PIZMHOAMUXAAPV-BIGJJFBESA-N 0 0 434.511 -0.305 20 0 IBADRN COC(=O)[C@@H](NC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12)[C@@H](C)O ZINC001177754916 1074167159 /nfs/dbraw/zinc/16/71/59/1074167159.db2.gz PIZMHOAMUXAAPV-HVNMYJMUSA-N 0 0 434.511 -0.305 20 0 IBADRN COC(=O)[C@@H](NC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21)[C@@H](C)O ZINC001177754917 1074167270 /nfs/dbraw/zinc/16/72/70/1074167270.db2.gz PIZMHOAMUXAAPV-NTASLKFISA-N 0 0 434.511 -0.305 20 0 IBADRN COC(=O)[C@@H](NC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12)[C@@H](C)O ZINC001177754918 1074167166 /nfs/dbraw/zinc/16/71/66/1074167166.db2.gz PIZMHOAMUXAAPV-VVSAWPALSA-N 0 0 434.511 -0.305 20 0 IBADRN C[C@@H](C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO)c1cccnc1 ZINC001177796503 1074167218 /nfs/dbraw/zinc/16/72/18/1074167218.db2.gz RPUBITORGULBSY-BRWRLMCWSA-N 0 0 427.465 -0.174 20 0 IBADRN C[C@H](C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO)c1cccnc1 ZINC001177796504 1074167351 /nfs/dbraw/zinc/16/73/51/1074167351.db2.gz RPUBITORGULBSY-VLFOQJPWSA-N 0 0 427.465 -0.174 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NCCn1ccc(=O)[nH]c1=O)n2C ZINC001177810405 1074167310 /nfs/dbraw/zinc/16/73/10/1074167310.db2.gz PDTUUIFONGLCRN-UHFFFAOYSA-N 0 0 448.505 -0.165 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NCCn1ccc(=O)[nH]c1=O ZINC001177810434 1074167385 /nfs/dbraw/zinc/16/73/85/1074167385.db2.gz PUXRMNGHIFKYKR-UHFFFAOYSA-N 0 0 431.268 -0.194 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C(C)(C)c2ncc(Br)cn2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001177816655 1074167337 /nfs/dbraw/zinc/16/73/37/1074167337.db2.gz GEYNUXADKYXDOZ-ZYJFBCHUSA-N 0 0 434.287 -0.523 20 0 IBADRN O=C(CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCOc2ccccc21 ZINC001177819900 1074167378 /nfs/dbraw/zinc/16/73/78/1074167378.db2.gz SBJLHCYALCTWBI-CYBMUJFWSA-N 0 0 434.474 -0.306 20 0 IBADRN O=C(CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCOc2ccccc21 ZINC001177819901 1074167300 /nfs/dbraw/zinc/16/73/00/1074167300.db2.gz SBJLHCYALCTWBI-ZDUSSCGKSA-N 0 0 434.474 -0.306 20 0 IBADRN O=C(C1=Cc2cccn2C1=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001177820925 1074167358 /nfs/dbraw/zinc/16/73/58/1074167358.db2.gz LBWOJJSHTSWMBP-DJIMGWMZSA-N 0 0 433.486 -0.354 20 0 IBADRN O=C(C1=Cc2cccn2C1=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001177820926 1074167242 /nfs/dbraw/zinc/16/72/42/1074167242.db2.gz LBWOJJSHTSWMBP-USXIJHARSA-N 0 0 433.486 -0.354 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C1=Cc2cccn2C1=O)C(=O)NCC(N)=O ZINC001177821974 1074167260 /nfs/dbraw/zinc/16/72/60/1074167260.db2.gz GAIOQZXOUBYUIV-HOTGVXAUSA-N 0 0 429.477 -0.351 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C2=Cc3cccn3C2=O)[C@H]1O ZINC001177826391 1074167172 /nfs/dbraw/zinc/16/71/72/1074167172.db2.gz JNBVHLCJIJDBGM-ZCVOSGHJSA-N 0 0 439.432 -0.833 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@H](O)c3c[nH]cn3)ccc2F)CC1 ZINC001177838046 1074167670 /nfs/dbraw/zinc/16/76/70/1074167670.db2.gz QNMPOKUOCIQGHQ-INIZCTEOSA-N 0 0 439.469 -0.135 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@H](O)c3c[nH]cn3)ccc2F)CC1 ZINC001177838047 1074167715 /nfs/dbraw/zinc/16/77/15/1074167715.db2.gz QNMPOKUOCIQGHQ-MRXNPFEDSA-N 0 0 439.469 -0.135 20 0 IBADRN Cn1cnc(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)c1 ZINC001177847704 1074167660 /nfs/dbraw/zinc/16/76/60/1074167660.db2.gz OAGDBNLJICMPNC-JKSUJKDBSA-N 0 0 432.506 -0.488 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1cn(C)cn1)C(N)=O ZINC001177856374 1074167744 /nfs/dbraw/zinc/16/77/44/1074167744.db2.gz JFOSVCHOYUEAFY-GJZGRUSLSA-N 0 0 440.570 -0.667 20 0 IBADRN CN(Cc1nnc2c(=O)n(C)ccn12)C(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC001177860189 1074167739 /nfs/dbraw/zinc/16/77/39/1074167739.db2.gz HXINMJRMSSKGAS-FQEVSTJZSA-N 0 0 441.423 -0.007 20 0 IBADRN CN(Cc1nnc2c(=O)n(C)ccn12)C(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC001177860190 1074167587 /nfs/dbraw/zinc/16/75/87/1074167587.db2.gz HXINMJRMSSKGAS-HXUWFJFHSA-N 0 0 441.423 -0.007 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)Cc1ccc[nH]1)C(N)=O ZINC001177874531 1074167647 /nfs/dbraw/zinc/16/76/47/1074167647.db2.gz YZQFITMRNZWQJC-GJZGRUSLSA-N 0 0 425.555 -0.073 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC001177875631 1074167596 /nfs/dbraw/zinc/16/75/96/1074167596.db2.gz QDICGAZJNPTNGA-ILXRZTDVSA-N 0 0 437.522 -0.389 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC001177875632 1074167706 /nfs/dbraw/zinc/16/77/06/1074167706.db2.gz QDICGAZJNPTNGA-KKUMJFAQSA-N 0 0 437.522 -0.389 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC001177875633 1074167730 /nfs/dbraw/zinc/16/77/30/1074167730.db2.gz QDICGAZJNPTNGA-QLFBSQMISA-N 0 0 437.522 -0.389 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC001177875634 1074167696 /nfs/dbraw/zinc/16/76/96/1074167696.db2.gz QDICGAZJNPTNGA-SOUVJXGZSA-N 0 0 437.522 -0.389 20 0 IBADRN COc1ccc(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001177878134 1074168218 /nfs/dbraw/zinc/16/82/18/1074168218.db2.gz CJIOACNIZGPKJQ-BRWVUGGUSA-N 0 0 437.518 -0.247 20 0 IBADRN COc1ccc(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001177878135 1074168301 /nfs/dbraw/zinc/16/83/01/1074168301.db2.gz CJIOACNIZGPKJQ-GVDBMIGSSA-N 0 0 437.518 -0.247 20 0 IBADRN COc1ccc(CC(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1 ZINC001177879024 1074168255 /nfs/dbraw/zinc/16/82/55/1074168255.db2.gz KWLRTHKSKYWVIG-HOTGVXAUSA-N 0 0 433.509 -0.244 20 0 IBADRN Cc1cc(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nn1C ZINC001177887063 1074167575 /nfs/dbraw/zinc/16/75/75/1074167575.db2.gz HDXDYMNUOCHPKH-DLBZAZTESA-N 0 0 446.533 -0.179 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC001177888633 1074167640 /nfs/dbraw/zinc/16/76/40/1074167640.db2.gz FXIZHCOPLBXNAW-FQEVSTJZSA-N 0 0 425.449 -0.211 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC001177888636 1074167725 /nfs/dbraw/zinc/16/77/25/1074167725.db2.gz FXIZHCOPLBXNAW-HXUWFJFHSA-N 0 0 425.449 -0.211 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001177888667 1074167767 /nfs/dbraw/zinc/16/77/67/1074167767.db2.gz GUGACEWTOMFQCW-HNNXBMFYSA-N 0 0 433.513 -0.965 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001177888671 1074167625 /nfs/dbraw/zinc/16/76/25/1074167625.db2.gz GUGACEWTOMFQCW-OAHLLOKOSA-N 0 0 433.513 -0.965 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC001177888980 1074167685 /nfs/dbraw/zinc/16/76/85/1074167685.db2.gz JGSKKGAVSMIPKF-UHFFFAOYSA-N 0 0 426.886 -0.046 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N(C)Cc2nnc3n2CCN(C)C3=O)cc1 ZINC001177890410 1074168278 /nfs/dbraw/zinc/16/82/78/1074168278.db2.gz QLHPHZXJUAIWGV-UHFFFAOYSA-N 0 0 436.494 -0.439 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N(C)Cc2nnc3n2CCN(C)C3=O)CC1 ZINC001177890810 1074168323 /nfs/dbraw/zinc/16/83/23/1074168323.db2.gz XKUUCLPJNGIKAH-UHFFFAOYSA-N 0 0 436.513 -0.340 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC001177890811 1074168261 /nfs/dbraw/zinc/16/82/61/1074168261.db2.gz XKYXRSYZLNPAIS-UHFFFAOYSA-N 0 0 436.494 -0.751 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001177893223 1074168235 /nfs/dbraw/zinc/16/82/35/1074168235.db2.gz CAKMEXTUPAOXQM-UHFFFAOYSA-N 0 0 448.505 -0.561 20 0 IBADRN C[C@H](C(=O)N(C)Cc1nnc2n1CCNC2=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001177893341 1074168267 /nfs/dbraw/zinc/16/82/67/1074168267.db2.gz FEOCUFHQJREPAI-GFCCVEGCSA-N 0 0 435.485 -0.552 20 0 IBADRN C[C@@H](C(=O)N(C)Cc1nnc2n1CCNC2=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001177893348 1074168285 /nfs/dbraw/zinc/16/82/85/1074168285.db2.gz FEOCUFHQJREPAI-LBPRGKRZSA-N 0 0 435.485 -0.552 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)[C@H]1CCCN(CC(=O)OC(C)(C)C)C1=O ZINC001177893887 1074168309 /nfs/dbraw/zinc/16/83/09/1074168309.db2.gz KRXONNOCLWLKER-CYBMUJFWSA-N 0 0 434.497 -0.098 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)[C@@H]1CCCN(CC(=O)OC(C)(C)C)C1=O ZINC001177893892 1074168239 /nfs/dbraw/zinc/16/82/39/1074168239.db2.gz KRXONNOCLWLKER-ZDUSSCGKSA-N 0 0 434.497 -0.098 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC001177893986 1074168305 /nfs/dbraw/zinc/16/83/05/1074168305.db2.gz MMMMKHHUHNXNCJ-UHFFFAOYSA-N 0 0 428.421 -0.373 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)N1CCS(=O)(=O)CC1 ZINC001177897604 1074168314 /nfs/dbraw/zinc/16/83/14/1074168314.db2.gz GKARECWPJNFWLM-UHFFFAOYSA-N 0 0 431.536 -0.267 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)N2CCS(=O)(=O)CC2)cc1 ZINC001177900466 1074168327 /nfs/dbraw/zinc/16/83/27/1074168327.db2.gz SBVIOOIPDIVGQU-UHFFFAOYSA-N 0 0 433.508 -0.755 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)Cc2cccc(NC(=O)OC(C)(C)C)n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001177904075 1074168271 /nfs/dbraw/zinc/16/82/71/1074168271.db2.gz INUBNUQETNANEE-XOYXNHQRSA-N 0 0 441.481 -0.069 20 0 IBADRN CN(C(=O)[C@H](CCC(N)=O)NC(=O)CCCc1ccccc1)N1CCS(=O)(=O)CC1 ZINC001177905811 1074168320 /nfs/dbraw/zinc/16/83/20/1074168320.db2.gz ZKZPMYXSAWFNLW-KRWDZBQOSA-N 0 0 438.550 -0.137 20 0 IBADRN CN(C(=O)[C@@H](CCC(N)=O)NC(=O)CCCc1ccccc1)N1CCS(=O)(=O)CC1 ZINC001177905812 1074168228 /nfs/dbraw/zinc/16/82/28/1074168228.db2.gz ZKZPMYXSAWFNLW-QGZVFWFLSA-N 0 0 438.550 -0.137 20 0 IBADRN C[C@H](C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1)n1ccnc1 ZINC001177922975 1074168288 /nfs/dbraw/zinc/16/82/88/1074168288.db2.gz SLVPHHBTKNANAZ-VNQPRFMTSA-N 0 0 432.506 -0.006 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H](C)n1ccnc1)C(N)=O ZINC001177928816 1074168245 /nfs/dbraw/zinc/16/82/45/1074168245.db2.gz CFYXGFXSTPGPCD-ILXRZTDVSA-N 0 0 440.570 -0.186 20 0 IBADRN O=C(CCc1ncc[nH]1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001177993366 1074168818 /nfs/dbraw/zinc/16/88/18/1074168818.db2.gz KBKHJANQZFMLRW-LSDHHAIUSA-N 0 0 432.506 -0.108 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC2(S(=O)(=O)N(C)C)CC2)c1 ZINC001177994804 1074168786 /nfs/dbraw/zinc/16/87/86/1074168786.db2.gz WRZUNZZAYJSVND-UHFFFAOYSA-N 0 0 446.551 -0.793 20 0 IBADRN CNC(=O)C1(NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CCOCC1 ZINC001177997258 1074168932 /nfs/dbraw/zinc/16/89/32/1074168932.db2.gz CTECCCGUZCKERJ-UHFFFAOYSA-N 0 0 441.506 -0.072 20 0 IBADRN CNC(=O)C1(NC(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)CCOCC1 ZINC001177997314 1074168900 /nfs/dbraw/zinc/16/89/00/1074168900.db2.gz FBFLMENNQGWBMU-UHFFFAOYSA-N 0 0 431.536 -0.143 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NC2(C(=O)NC)CCOCC2)cc1 ZINC001177997340 1074168835 /nfs/dbraw/zinc/16/88/35/1074168835.db2.gz FTFRRAOBJKKTGO-UHFFFAOYSA-N 0 0 427.479 -0.447 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCc1ncc[nH]1)C(N)=O ZINC001178000264 1074168916 /nfs/dbraw/zinc/16/89/16/1074168916.db2.gz GIOPFYIPRHBCCU-KBPBESRZSA-N 0 0 440.570 -0.287 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)Nc2c[nH]cc(I)c2=O)C1 ZINC001178018909 1074168947 /nfs/dbraw/zinc/16/89/47/1074168947.db2.gz CSNXZKQEMLWICI-SSDOTTSWSA-N 0 0 426.236 -0.167 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)Nc2c[nH]cc(I)c2=O)C1 ZINC001178018910 1074168848 /nfs/dbraw/zinc/16/88/48/1074168848.db2.gz CSNXZKQEMLWICI-ZETCQYMHSA-N 0 0 426.236 -0.167 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)Nc1c[nH]cc(I)c1=O ZINC001178020134 1074168907 /nfs/dbraw/zinc/16/89/07/1074168907.db2.gz OZUIIFBHSKVYKK-UHFFFAOYSA-N 0 0 429.236 -0.126 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C1(c2cnccn2)CC1)C(=O)NCC(N)=O ZINC001178021505 1074168855 /nfs/dbraw/zinc/16/88/55/1074168855.db2.gz LSRNDQFJIWPZTK-GJZGRUSLSA-N 0 0 430.509 -0.368 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)C3(c4cnccn4)CC3)C[C@@H]21)N1CCOCC1 ZINC001178022030 1074169363 /nfs/dbraw/zinc/16/93/63/1074169363.db2.gz DAAYNBNIXLJRBC-ARFHVFGLSA-N 0 0 434.518 -0.371 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)C3(c4cnccn4)CC3)C[C@@H]21)N1CCOCC1 ZINC001178022032 1074169386 /nfs/dbraw/zinc/16/93/86/1074169386.db2.gz DAAYNBNIXLJRBC-BZUAXINKSA-N 0 0 434.518 -0.371 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)Cc1csc(NC=O)n1)CC2 ZINC001178031964 1074169290 /nfs/dbraw/zinc/16/92/90/1074169290.db2.gz YHPRXPXHNOHZJN-UHFFFAOYSA-N 0 0 431.522 -0.040 20 0 IBADRN Cn1ccn2c(CCNC(=O)c3ccc(F)c(S(=O)(=O)NC4CC4)c3)nnc2c1=O ZINC001178035159 1074169200 /nfs/dbraw/zinc/16/92/00/1074169200.db2.gz GCQGVVKHQFLUOU-UHFFFAOYSA-N 0 0 434.453 -0.020 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCCN1CCNC1=O ZINC001178035464 1074169317 /nfs/dbraw/zinc/16/93/17/1074169317.db2.gz LEJKOEVGBKETMS-UHFFFAOYSA-N 0 0 437.522 -0.559 20 0 IBADRN O=C(C1CN(c2cnccn2)C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001178091692 1074169912 /nfs/dbraw/zinc/16/99/12/1074169912.db2.gz CXTPMMSIJMEOQA-BRWVUGGUSA-N 0 0 449.533 -0.967 20 0 IBADRN O=C(C1CN(c2cnccn2)C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001178091693 1074169977 /nfs/dbraw/zinc/16/99/77/1074169977.db2.gz CXTPMMSIJMEOQA-GVDBMIGSSA-N 0 0 449.533 -0.967 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C1CN(c2cnccn2)C1)C(=O)NCC(N)=O ZINC001178092457 1074169966 /nfs/dbraw/zinc/16/99/66/1074169966.db2.gz CTWFJLLGJCXXRA-HOTGVXAUSA-N 0 0 445.524 -0.964 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=O)C4CN(c5cnccn5)C4)CC[C@@H]32)cn1 ZINC001178092837 1074169921 /nfs/dbraw/zinc/16/99/21/1074169921.db2.gz KFAWWRDLHSOFRU-OKZBNKHCSA-N 0 0 425.493 -0.060 20 0 IBADRN O=C(NC[C@H]1Cn2ccnc2CN(C(=O)C2CN(c3cnccn3)C2)C1)c1ccnnc1 ZINC001178093175 1074169907 /nfs/dbraw/zinc/16/99/07/1074169907.db2.gz PSYZDDMANOEVKM-HNNXBMFYSA-N 0 0 433.476 -0.012 20 0 IBADRN O=C(NC[C@@H]1Cn2ccnc2CN(C(=O)C2CN(c3cnccn3)C2)C1)c1ccnnc1 ZINC001178093176 1074169975 /nfs/dbraw/zinc/16/99/75/1074169975.db2.gz PSYZDDMANOEVKM-OAHLLOKOSA-N 0 0 433.476 -0.012 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)C1CN(c3cnccn3)C1)CC2 ZINC001178093387 1074169982 /nfs/dbraw/zinc/16/99/82/1074169982.db2.gz QOIKDNMHOZKHGM-UHFFFAOYSA-N 0 0 435.492 -0.142 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)C2CN(c3cnccn3)C2)cc1Cl ZINC001178096207 1074169219 /nfs/dbraw/zinc/16/92/19/1074169219.db2.gz UXUZWOPBYASJSJ-UHFFFAOYSA-N 0 0 446.898 -0.500 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)C1CN(c2cnccn2)C1 ZINC001178097763 1074169226 /nfs/dbraw/zinc/16/92/26/1074169226.db2.gz NWKMKJASFGPWGD-MVSUVFOUSA-N 0 0 448.501 -0.557 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)C1CN(c2cnccn2)C1 ZINC001178097766 1074169267 /nfs/dbraw/zinc/16/92/67/1074169267.db2.gz NWKMKJASFGPWGD-RFSOPEBBSA-N 0 0 448.501 -0.557 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)C1CN(c2cnccn2)C1 ZINC001178097768 1074169271 /nfs/dbraw/zinc/16/92/71/1074169271.db2.gz NWKMKJASFGPWGD-SNOHLBKASA-N 0 0 448.501 -0.557 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)C1CN(c2cnccn2)C1 ZINC001178097769 1074169395 /nfs/dbraw/zinc/16/93/95/1074169395.db2.gz NWKMKJASFGPWGD-VTRWRZSMSA-N 0 0 448.501 -0.557 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)C1CN(c2cnccn2)C1)C(N)=O ZINC001178100215 1074169278 /nfs/dbraw/zinc/16/92/78/1074169278.db2.gz IKVVVFILMWXVAK-MJGOQNOKSA-N 0 0 440.504 -0.028 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NCc1nnc2c(=O)[nH]ccn12 ZINC001178103044 1074169332 /nfs/dbraw/zinc/16/93/32/1074169332.db2.gz HVTVQUNMIUWWSE-UHFFFAOYSA-N 0 0 441.267 -0.225 20 0 IBADRN O=C([C@H]1CCc2nccn2C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001178104072 1074169255 /nfs/dbraw/zinc/16/92/55/1074169255.db2.gz YRJVILVSOSCCBU-MWDXBVQZSA-N 0 0 436.534 -0.434 20 0 IBADRN O=C([C@@H]1CCc2nccn2C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001178104073 1074169373 /nfs/dbraw/zinc/16/93/73/1074169373.db2.gz YRJVILVSOSCCBU-QBPKDAKJSA-N 0 0 436.534 -0.434 20 0 IBADRN O=C([C@H]1CCc2nccn2C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001178104074 1074169303 /nfs/dbraw/zinc/16/93/03/1074169303.db2.gz YRJVILVSOSCCBU-YLFCFFPRSA-N 0 0 436.534 -0.434 20 0 IBADRN O=C([C@@H]1CCc2nccn2C1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001178104075 1074169402 /nfs/dbraw/zinc/16/94/02/1074169402.db2.gz YRJVILVSOSCCBU-YYIAUSFCSA-N 0 0 436.534 -0.434 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1CCc2nccn2C1)C(=O)NCC(N)=O ZINC001178104867 1074169243 /nfs/dbraw/zinc/16/92/43/1074169243.db2.gz GBDSUNNFOIFCLU-JYJNAYRXSA-N 0 0 432.525 -0.431 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CCc2nccn2C1)C(=O)NCC(N)=O ZINC001178104868 1074169952 /nfs/dbraw/zinc/16/99/52/1074169952.db2.gz GBDSUNNFOIFCLU-PMPSAXMXSA-N 0 0 432.525 -0.431 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC001178115456 1074169963 /nfs/dbraw/zinc/16/99/63/1074169963.db2.gz ZNKFFGQAWLDDTJ-UHFFFAOYSA-N 0 0 431.945 -0.208 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCS(=O)(=O)N=S(C)(C)=O)CC1 ZINC001178115458 1074169973 /nfs/dbraw/zinc/16/99/73/1074169973.db2.gz ZPAXHTKEUZWTLP-UHFFFAOYSA-N 0 0 426.561 -0.287 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)[C@@H]1CCc2nccn2C1 ZINC001178117919 1074169945 /nfs/dbraw/zinc/16/99/45/1074169945.db2.gz LBSPBZRDSAWHBI-AMSKTCKZSA-N 0 0 435.502 -0.024 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)[C@H]1CCc2nccn2C1 ZINC001178117920 1074169947 /nfs/dbraw/zinc/16/99/47/1074169947.db2.gz LBSPBZRDSAWHBI-DBOSXMRBSA-N 0 0 435.502 -0.024 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)[C@@H]1CCc2nccn2C1 ZINC001178117921 1074169986 /nfs/dbraw/zinc/16/99/86/1074169986.db2.gz LBSPBZRDSAWHBI-FQFGYDHGSA-N 0 0 435.502 -0.024 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)[C@H]1CCc2nccn2C1 ZINC001178117922 1074169932 /nfs/dbraw/zinc/16/99/32/1074169932.db2.gz LBSPBZRDSAWHBI-QSZXBSEZSA-N 0 0 435.502 -0.024 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H]1CCCN(c3ccncn3)C1)C2 ZINC001178129638 1074169956 /nfs/dbraw/zinc/16/99/56/1074169956.db2.gz JWLHUIAIVPWENT-HNNXBMFYSA-N 0 0 428.497 -0.068 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@@H]1CCCN(c3ccncn3)C1)C2 ZINC001178129643 1074169980 /nfs/dbraw/zinc/16/99/80/1074169980.db2.gz JWLHUIAIVPWENT-OAHLLOKOSA-N 0 0 428.497 -0.068 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@@H]1CCCN(c2ccncn2)C1 ZINC001178135486 1074170565 /nfs/dbraw/zinc/17/05/65/1074170565.db2.gz FIGHIQGBAXAGGM-PVAVHDDUSA-N 0 0 440.508 -0.380 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@H]1CCCN(c2ccncn2)C1 ZINC001178135487 1074170595 /nfs/dbraw/zinc/17/05/95/1074170595.db2.gz FIGHIQGBAXAGGM-XIRDDKMYSA-N 0 0 440.508 -0.380 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H]3CCCN(c4ccncn4)C3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001178135554 1074170503 /nfs/dbraw/zinc/17/05/03/1074170503.db2.gz GWSBCOZOCXDWTQ-JYYAWHABSA-N 0 0 430.465 -0.271 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3CCCN(c4ccncn4)C3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001178135555 1074170544 /nfs/dbraw/zinc/17/05/44/1074170544.db2.gz GWSBCOZOCXDWTQ-LJIGWXMPSA-N 0 0 430.465 -0.271 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)C2(Cc3ccccn3)CCOCC2)c(=O)n1 ZINC001178155640 1074169916 /nfs/dbraw/zinc/16/99/16/1074169916.db2.gz CMTIRPYRLLRMBN-VDHUWJSZSA-N 0 0 445.476 -0.762 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C(C)(C)c1cnccn1)C(=O)NCC(N)=O ZINC001178192989 1074170555 /nfs/dbraw/zinc/17/05/55/1074170555.db2.gz IWTWKILQWOHIGH-GJZGRUSLSA-N 0 0 432.525 -0.122 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H]3CS(=O)(=O)C[C@H]3C)CC2)cc1 ZINC001178193838 1074170477 /nfs/dbraw/zinc/17/04/77/1074170477.db2.gz JDZQFHUBNHJTIB-WBVHZDCISA-N 0 0 429.564 -0.149 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CCC(O)(COCCO)CC1 ZINC001178194211 1074170492 /nfs/dbraw/zinc/17/04/92/1074170492.db2.gz PRQSWINLPOMHJD-UHFFFAOYSA-N 0 0 449.547 -0.519 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCC(O)(COCCO)CC2)cc1 ZINC001178194248 1074170527 /nfs/dbraw/zinc/17/05/27/1074170527.db2.gz QCCVDAHWPGUFBB-UHFFFAOYSA-N 0 0 428.507 -0.080 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(O)(COCCO)CC1 ZINC001178194489 1074170548 /nfs/dbraw/zinc/17/05/48/1074170548.db2.gz TXMXDFFRJBZMQL-UHFFFAOYSA-N 0 0 442.534 -0.008 20 0 IBADRN CC(C)(C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)c1cnccn1 ZINC001178194782 1074170589 /nfs/dbraw/zinc/17/05/89/1074170589.db2.gz YXIZBJCQPLGGTF-ARFHVFGLSA-N 0 0 436.534 -0.125 20 0 IBADRN CC(C)(C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)c1cnccn1 ZINC001178194783 1074170403 /nfs/dbraw/zinc/17/04/03/1074170403.db2.gz YXIZBJCQPLGGTF-BZUAXINKSA-N 0 0 436.534 -0.125 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN([C@H](C(=O)N2CN[C@H](N)[C@H]3NCN[C@H]32)c2ccco2)CC1 ZINC001178219014 1074170866 /nfs/dbraw/zinc/17/08/66/1074170866.db2.gz RUQOPCJEHCDAKT-OWSLCNJRSA-N 0 0 449.556 -0.171 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN([C@H](C(=O)N2CN[C@H](N)[C@H]3NCN[C@@H]32)c2ccco2)CC1 ZINC001178219015 1074170917 /nfs/dbraw/zinc/17/09/17/1074170917.db2.gz RUQOPCJEHCDAKT-VSZNYVQBSA-N 0 0 449.556 -0.171 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN([C@H](C(=O)N2CN[C@H](N)[C@@H]3NCN[C@@H]32)c2ccco2)CC1 ZINC001178219016 1074171022 /nfs/dbraw/zinc/17/10/22/1074171022.db2.gz RUQOPCJEHCDAKT-XLAORIBOSA-N 0 0 449.556 -0.171 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN([C@H](C(=O)N2CN[C@H](N)[C@@H]3NCN[C@H]32)c2ccco2)CC1 ZINC001178219017 1074170908 /nfs/dbraw/zinc/17/09/08/1074170908.db2.gz RUQOPCJEHCDAKT-XSLAGTTESA-N 0 0 449.556 -0.171 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCOCN1 ZINC001178240803 1074170991 /nfs/dbraw/zinc/17/09/91/1074170991.db2.gz GGXAOEMCLBYNNY-CYBMUJFWSA-N 0 0 425.916 -0.258 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N1CCOCN1 ZINC001178240804 1074171009 /nfs/dbraw/zinc/17/10/09/1074171009.db2.gz GGXAOEMCLBYNNY-ZDUSSCGKSA-N 0 0 425.916 -0.258 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)Nc1ccc(S(=O)(=O)N=S(C)(C)=O)cc1 ZINC001178241401 1074171058 /nfs/dbraw/zinc/17/10/58/1074171058.db2.gz SPAZFYYKIYMKOW-UHFFFAOYSA-N 0 0 426.542 -0.220 20 0 IBADRN C[C@@H](O)[C@@H](CO)NC(=O)[C@H](CCCNC(=O)c1cnccn1)NC(=O)OC(C)(C)C ZINC001178256526 1074170426 /nfs/dbraw/zinc/17/04/26/1074170426.db2.gz YYVYUZFEJGFBGD-VNHYZAJKSA-N 0 0 425.486 -0.262 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@H](CCCNC(=O)c1cnccn1)NC(=O)OC(C)(C)C ZINC001178256679 1074170542 /nfs/dbraw/zinc/17/05/42/1074170542.db2.gz GRHDSHHPMBGSEP-KBPBESRZSA-N 0 0 425.486 -0.309 20 0 IBADRN CN(C[C@@H](O)CO)C(=O)[C@H](CCCNC(=O)c1cnccn1)NC(=O)OC(C)(C)C ZINC001178256680 1074170465 /nfs/dbraw/zinc/17/04/65/1074170465.db2.gz GRHDSHHPMBGSEP-KGLIPLIRSA-N 0 0 425.486 -0.309 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCNC(=O)c1cnccn1)C(=O)Nc1c(N)c(=O)c1=O ZINC001178257183 1074170534 /nfs/dbraw/zinc/17/05/34/1074170534.db2.gz UPKYBRICKSYUFU-JTQLQIEISA-N 0 0 432.437 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCNC(=O)c1cnccn1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC001178259221 1074171646 /nfs/dbraw/zinc/17/16/46/1074171646.db2.gz YUUJLMPISARDHK-QWHCGFSZSA-N 0 0 448.480 -0.199 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCNC(=O)c1cnccn1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC001178259222 1074171574 /nfs/dbraw/zinc/17/15/74/1074171574.db2.gz YUUJLMPISARDHK-STQMWFEESA-N 0 0 448.480 -0.199 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCNC(=O)c1cnccn1)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC001178260711 1074171557 /nfs/dbraw/zinc/17/15/57/1074171557.db2.gz GJIBAMYCIKGVJJ-KFWWJZLASA-N 0 0 437.497 -0.166 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCCNC(=O)c1ccccn1)C(=O)N[C@@H](CO)C(N)=O ZINC001178262117 1074171633 /nfs/dbraw/zinc/17/16/33/1074171633.db2.gz OMNSUWJRKXJWES-GJZGRUSLSA-N 0 0 437.497 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCCCNC(=O)c1ccccn1)C(=O)N[C@H](CO)C(N)=O ZINC001178262118 1074171564 /nfs/dbraw/zinc/17/15/64/1074171564.db2.gz OMNSUWJRKXJWES-LSDHHAIUSA-N 0 0 437.497 -0.163 20 0 IBADRN Cc1ccnc(CC(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c1 ZINC001178274540 1074170853 /nfs/dbraw/zinc/17/08/53/1074170853.db2.gz PFAMVLDLIMEYIU-UNFPFYSMSA-N 0 0 427.465 -0.426 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@@H]2C[C@@H](O)[C@@H](O)C2)CC1 ZINC001178277057 1074170879 /nfs/dbraw/zinc/17/08/79/1074170879.db2.gz QYYFXXNMECGAKD-MKZZRHPPSA-N 0 0 426.491 -0.126 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3C[C@@H](O)[C@@H](O)C3)ccc2F)CC1 ZINC001178282085 1074170900 /nfs/dbraw/zinc/17/09/00/1074170900.db2.gz WTUSGNCYTNCRAL-MKZZRHPPSA-N 0 0 429.470 -0.708 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H]1C[C@@H](O)[C@@H](O)C1 ZINC001178287395 1074170858 /nfs/dbraw/zinc/17/08/58/1074170858.db2.gz SCGLCMPWCVRDLA-AYGBPOKTSA-N 0 0 427.523 -0.365 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H]1C[C@@H](O)[C@@H](O)C1 ZINC001178287396 1074171014 /nfs/dbraw/zinc/17/10/14/1074171014.db2.gz SCGLCMPWCVRDLA-FFGGFLGDSA-N 0 0 427.523 -0.365 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2csc(Br)n2)c(=O)n1 ZINC001178292324 1074170893 /nfs/dbraw/zinc/17/08/93/1074170893.db2.gz CSXMQRJEKIXIOB-FAQVLOEFSA-N 0 0 446.283 -0.735 20 0 IBADRN CC(C)(C)OC(=O)N1CCCN([C@H](C(=O)N2CN[C@H](N)[C@H]3NCN[C@H]32)c2ccco2)CC1 ZINC001178306081 1074171519 /nfs/dbraw/zinc/17/15/19/1074171519.db2.gz JZMGCKOQPIDGEQ-OWSLCNJRSA-N 0 0 449.556 -0.217 20 0 IBADRN CC(C)(C)OC(=O)N1CCCN([C@H](C(=O)N2CN[C@H](N)[C@H]3NCN[C@@H]32)c2ccco2)CC1 ZINC001178306086 1074171583 /nfs/dbraw/zinc/17/15/83/1074171583.db2.gz JZMGCKOQPIDGEQ-VSZNYVQBSA-N 0 0 449.556 -0.217 20 0 IBADRN CC(C)(C)OC(=O)N1CCCN([C@H](C(=O)N2CN[C@H](N)[C@@H]3NCN[C@@H]32)c2ccco2)CC1 ZINC001178306088 1074171550 /nfs/dbraw/zinc/17/15/50/1074171550.db2.gz JZMGCKOQPIDGEQ-XLAORIBOSA-N 0 0 449.556 -0.217 20 0 IBADRN CC(C)(C)OC(=O)N1CCCN([C@H](C(=O)N2CN[C@H](N)[C@@H]3NCN[C@H]32)c2ccco2)CC1 ZINC001178306090 1074171634 /nfs/dbraw/zinc/17/16/34/1074171634.db2.gz JZMGCKOQPIDGEQ-XSLAGTTESA-N 0 0 449.556 -0.217 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cc3cn(Cc4ccccc4)nn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001178327002 1074172006 /nfs/dbraw/zinc/17/20/06/1074172006.db2.gz QJFILLQWJPCORS-YQVWRLOYSA-N 0 0 440.460 -0.096 20 0 IBADRN CC(C)C[C@H](NC(=O)CNC(=O)/C=C/c1ccco1)C(=O)N1CC(NS(C)(=O)=O)C1 ZINC001178339981 1074171533 /nfs/dbraw/zinc/17/15/33/1074171533.db2.gz CHCGFKGPRUHTMX-MOEXGYKKSA-N 0 0 440.522 -0.300 20 0 IBADRN CC(C)C[C@H](NC(=O)CNC(=O)/C=C/c1ccco1)C(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001178340051 1074171650 /nfs/dbraw/zinc/17/16/50/1074171650.db2.gz FVZFIGPQOXZVRG-QWNKOJSDSA-N 0 0 445.520 -0.418 20 0 IBADRN CC(C)C[C@H](NC(=O)CNC(=O)/C=C/c1ccco1)C(=O)NCCS(=O)(=O)N(C)C ZINC001178341134 1074171539 /nfs/dbraw/zinc/17/15/39/1074171539.db2.gz AJPHVBVYSUWHAG-WAVCKPEOSA-N 0 0 442.538 -0.053 20 0 IBADRN CC(C)C[C@H](NC(=O)CNC(=O)/C=C/c1ccco1)C(=O)NC1CN(S(C)(=O)=O)C1 ZINC001178341462 1074171544 /nfs/dbraw/zinc/17/15/44/1074171544.db2.gz HMGKQSJYGNHTCY-MOEXGYKKSA-N 0 0 440.522 -0.300 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)/C=C/c1ccco1 ZINC001178341481 1074171605 /nfs/dbraw/zinc/17/16/05/1074171605.db2.gz HYAJLQGUKHNCOF-LFAOLKIESA-N 0 0 428.511 -0.395 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)/C=C/c1ccco1 ZINC001178342371 1074171625 /nfs/dbraw/zinc/17/16/25/1074171625.db2.gz ZCDHESNGZOTJEE-LFAOLKIESA-N 0 0 436.465 -0.655 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)C3(c4ncccn4)CC3)C[C@@H]21)N1CCOCC1 ZINC001178345211 1074171966 /nfs/dbraw/zinc/17/19/66/1074171966.db2.gz LJBFGJNMCVPMOI-ARFHVFGLSA-N 0 0 434.518 -0.371 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)C3(c4ncccn4)CC3)C[C@@H]21)N1CCOCC1 ZINC001178345212 1074172030 /nfs/dbraw/zinc/17/20/30/1074172030.db2.gz LJBFGJNMCVPMOI-BZUAXINKSA-N 0 0 434.518 -0.371 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C1(c2ncccn2)CC1)C(=O)NCC(N)=O ZINC001178347904 1074172093 /nfs/dbraw/zinc/17/20/93/1074172093.db2.gz DBBUPIOIRYKPOU-GJZGRUSLSA-N 0 0 430.509 -0.368 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)s1 ZINC001178349344 1074172046 /nfs/dbraw/zinc/17/20/46/1074172046.db2.gz WGMVZBLQGZUHEM-LLVKDONJSA-N 0 0 438.535 -0.039 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccc(C(N)=O)cc3)CC2)s1 ZINC001178349345 1074172054 /nfs/dbraw/zinc/17/20/54/1074172054.db2.gz WGMVZBLQGZUHEM-NSHDSACASA-N 0 0 438.535 -0.039 20 0 IBADRN COCC[N@@H+]1CCO[C@@H]2CN(C(=O)c3cc4n(n3)CCCN(CC(=O)[O-])C4=O)CC[C@@H]2C1 ZINC001178367410 1074173014 /nfs/dbraw/zinc/17/30/14/1074173014.db2.gz BAXGDJUYBZGUBL-CRAIPNDOSA-N 0 0 449.508 -0.377 20 0 IBADRN CNC(=O)C[N@@H+]1CCCC12CCN(C(=O)c1cc3n(n1)CCCN(CC(=O)[O-])C3=O)CC2 ZINC001178367428 1074173108 /nfs/dbraw/zinc/17/31/08/1074173108.db2.gz BNQXQSLEBSHIRV-UHFFFAOYSA-N 0 0 446.508 -0.370 20 0 IBADRN C[NH+](C)C[C@H]1Cn2ccnc2CN(C(=O)c2cc3n(n2)CCCN(CC(=O)[O-])C3=O)C1 ZINC001178368331 1074173734 /nfs/dbraw/zinc/17/37/34/1074173734.db2.gz SIOKGIVXCKIXQV-AWEZNQCLSA-N 0 0 429.481 -0.156 20 0 IBADRN C[NH+](C)C[C@@H]1Cn2ccnc2CN(C(=O)c2cc3n(n2)CCCN(CC(=O)[O-])C3=O)C1 ZINC001178368332 1074173649 /nfs/dbraw/zinc/17/36/49/1074173649.db2.gz SIOKGIVXCKIXQV-CQSZACIVSA-N 0 0 429.481 -0.156 20 0 IBADRN CS(=O)(=O)N(C(=O)c1cc2n(n1)CCCN(CC(=O)[O-])C2=O)c1ccc(C[NH3+])cc1 ZINC001178371548 1074172060 /nfs/dbraw/zinc/17/20/60/1074172060.db2.gz GWBMEKMPBXLLFL-UHFFFAOYSA-N 0 0 435.462 -0.121 20 0 IBADRN C[NH+](C)CC(=O)N[C@H]1CC[C@H](NC(=O)c2cc3n(n2)CCCN(CC(=O)[O-])C3=O)CC1 ZINC001178374006 1074172572 /nfs/dbraw/zinc/17/25/72/1074172572.db2.gz KPUXMMLSZQNPHT-HDJSIYSDSA-N 0 0 434.497 -0.468 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCc1cnoc1)C(N)=O ZINC001178409372 1074173706 /nfs/dbraw/zinc/17/37/06/1074173706.db2.gz UDXCBCIHILUVSM-GJZGRUSLSA-N 0 0 441.554 -0.023 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC001178410585 1074173714 /nfs/dbraw/zinc/17/37/14/1074173714.db2.gz UTHQTBKXUFJCKP-BZUAXINKSA-N 0 0 427.527 -0.769 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cc3nnc(Cc4ccccc4)[nH]3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001178420639 1074173664 /nfs/dbraw/zinc/17/36/64/1074173664.db2.gz JBBVXHOQUGUGTH-QMTMVMCOSA-N 0 0 440.460 -0.026 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCc1cc(=O)n(-c2ccccc2)n1C ZINC001178437594 1074173678 /nfs/dbraw/zinc/17/36/78/1074173678.db2.gz QVORMXWBFDVKTB-UHFFFAOYSA-N 0 0 437.522 -0.374 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CCc2cncc(C(F)(F)F)c2)c(=O)n1 ZINC001178462175 1074174642 /nfs/dbraw/zinc/17/46/42/1074174642.db2.gz UUOGLXQCCDLJBF-RAEVTNRLSA-N 0 0 443.382 -0.150 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC2(CCOCC2)CC[C@@H]1CO ZINC001178470809 1074174632 /nfs/dbraw/zinc/17/46/32/1074174632.db2.gz LDRBPYIKRQCWNI-CABCVRRESA-N 0 0 427.523 -0.068 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC2(CCOCC2)CC[C@H]1CO ZINC001178470810 1074174676 /nfs/dbraw/zinc/17/46/76/1074174676.db2.gz LDRBPYIKRQCWNI-GJZGRUSLSA-N 0 0 427.523 -0.068 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC2(CCOCC2)CC[C@@H]1CO ZINC001178470811 1074174605 /nfs/dbraw/zinc/17/46/05/1074174605.db2.gz LDRBPYIKRQCWNI-HUUCEWRRSA-N 0 0 427.523 -0.068 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CC2(CCOCC2)CC[C@H]1CO ZINC001178470812 1074174613 /nfs/dbraw/zinc/17/46/13/1074174613.db2.gz LDRBPYIKRQCWNI-LSDHHAIUSA-N 0 0 427.523 -0.068 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCC[C@@H](CO)[C@H]3CO)cc2)CC1 ZINC001178470846 1074174620 /nfs/dbraw/zinc/17/46/20/1074174620.db2.gz MQQHOUSOONCGNG-PKOBYXMFSA-N 0 0 439.534 -0.255 20 0 IBADRN COc1ccc(CC(=O)N2CCC[C@@H](CO)[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC001178471038 1074174608 /nfs/dbraw/zinc/17/46/08/1074174608.db2.gz RRMIDOGEMXLYQY-DLBZAZTESA-N 0 0 442.534 -0.150 20 0 IBADRN O=C(Cc1ncccc1F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001178479100 1074174635 /nfs/dbraw/zinc/17/46/35/1074174635.db2.gz JJOUXAUREVANTM-CKEIUWERSA-N 0 0 425.482 -0.116 20 0 IBADRN O=C(Cc1ncccc1F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001178479101 1074174658 /nfs/dbraw/zinc/17/46/58/1074174658.db2.gz JJOUXAUREVANTM-JJRVBVJISA-N 0 0 425.482 -0.116 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2C[C@@H]3[C@H](C2)OCCN3c2ncccn2)C(=O)C1 ZINC001178497598 1074174149 /nfs/dbraw/zinc/17/41/49/1074174149.db2.gz WDJCPGLNVDRASM-CVEARBPZSA-N 0 0 446.508 -0.028 20 0 IBADRN CCOC(=O)c1nc2n(n1)CCN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C2 ZINC001178497634 1074174138 /nfs/dbraw/zinc/17/41/38/1074174138.db2.gz XLGZCGLGAMWUGQ-UHFFFAOYSA-N 0 0 436.469 -0.124 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001178498483 1074174204 /nfs/dbraw/zinc/17/42/04/1074174204.db2.gz BPRSPVSYGPJLDQ-CYBMUJFWSA-N 0 0 426.470 -0.654 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001178498484 1074174243 /nfs/dbraw/zinc/17/42/43/1074174243.db2.gz BPRSPVSYGPJLDQ-ZDUSSCGKSA-N 0 0 426.470 -0.654 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C2 ZINC001178498492 1074174238 /nfs/dbraw/zinc/17/42/38/1074174238.db2.gz BZFMYXLDSJIXDB-FQEVSTJZSA-N 0 0 437.497 -0.985 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C2 ZINC001178498493 1074174114 /nfs/dbraw/zinc/17/41/14/1074174114.db2.gz BZFMYXLDSJIXDB-HXUWFJFHSA-N 0 0 437.497 -0.985 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(CCCS(C)(=O)=O)CC2)C(=O)C1 ZINC001178498690 1074174216 /nfs/dbraw/zinc/17/42/16/1074174216.db2.gz HQQDMLIZPPRLNG-UHFFFAOYSA-N 0 0 446.570 -0.355 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O)C2 ZINC001178498737 1074174266 /nfs/dbraw/zinc/17/42/66/1074174266.db2.gz JBIWYCSXXPVXSA-UHFFFAOYSA-N 0 0 435.485 -0.664 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2C[C@@H]3[C@H](C2)OCCN3c2cnccn2)C(=O)C1 ZINC001178499002 1074174249 /nfs/dbraw/zinc/17/42/49/1074174249.db2.gz PYUNPEAZFQIFOT-CVEARBPZSA-N 0 0 446.508 -0.028 20 0 IBADRN Cn1cc(N2C[C@@H]3CN(C(=O)CN4CCN(C(=O)OC(C)(C)C)CC4=O)C[C@@H]3C2=O)cn1 ZINC001178499286 1074174172 /nfs/dbraw/zinc/17/41/72/1074174172.db2.gz XNBLHGPBHXEDJR-HOCLYGCPSA-N 0 0 446.508 -0.079 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCC[C@@H]3CN(S(C)(=O)=O)C[C@@H]32)C(=O)C1 ZINC001178499313 1074174222 /nfs/dbraw/zinc/17/42/22/1074174222.db2.gz YDYHKNWIYCRLRP-CABCVRRESA-N 0 0 444.554 -0.052 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001178499358 1074174129 /nfs/dbraw/zinc/17/41/29/1074174129.db2.gz YSPIVWFTMUWFBZ-BXUZGUMPSA-N 0 0 445.494 -0.318 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001178499359 1074174210 /nfs/dbraw/zinc/17/42/10/1074174210.db2.gz YSPIVWFTMUWFBZ-FZMZJTMJSA-N 0 0 445.494 -0.318 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001178499360 1074174271 /nfs/dbraw/zinc/17/42/71/1074174271.db2.gz YSPIVWFTMUWFBZ-RISCZKNCSA-N 0 0 445.494 -0.318 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001178499361 1074174157 /nfs/dbraw/zinc/17/41/57/1074174157.db2.gz YSPIVWFTMUWFBZ-SMDDNHRTSA-N 0 0 445.494 -0.318 20 0 IBADRN CCn1c(N)c(NC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)c(=O)n(CC)c1=O ZINC001178501594 1074174179 /nfs/dbraw/zinc/17/41/79/1074174179.db2.gz JDYCDOHXYWXULW-UHFFFAOYSA-N 0 0 438.485 -0.350 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CCN1C(C)=O ZINC001178502508 1074174164 /nfs/dbraw/zinc/17/41/64/1074174164.db2.gz MKOFPWKETLVVGX-AWEZNQCLSA-N 0 0 426.470 -0.702 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CCN1C(C)=O ZINC001178502509 1074174258 /nfs/dbraw/zinc/17/42/58/1074174258.db2.gz MKOFPWKETLVVGX-CQSZACIVSA-N 0 0 426.470 -0.702 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2N)C(=O)C1 ZINC001178502915 1074175402 /nfs/dbraw/zinc/17/54/02/1074175402.db2.gz XQMQWWQMKJSBNY-UHFFFAOYSA-N 0 0 427.483 -0.066 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCCN2CCS(=O)(=O)CC2)C(=O)C1 ZINC001178503161 1074175355 /nfs/dbraw/zinc/17/53/55/1074175355.db2.gz KVFOFKBQINYDPT-UHFFFAOYSA-N 0 0 432.543 -0.698 20 0 IBADRN COCCOCCOCCOCCNC(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001178503219 1074175323 /nfs/dbraw/zinc/17/53/23/1074175323.db2.gz OGPMRNYCVZDGTB-UHFFFAOYSA-N 0 0 447.529 -0.122 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3CC(=O)N(C)C3)CC2)c2nonc21 ZINC001178520418 1074174622 /nfs/dbraw/zinc/17/46/22/1074174622.db2.gz CUBSZZLEYIEUBE-GFCCVEGCSA-N 0 0 436.494 -0.400 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3CC(=O)N(C)C3)CC2)c2nonc21 ZINC001178520421 1074174627 /nfs/dbraw/zinc/17/46/27/1074174627.db2.gz CUBSZZLEYIEUBE-LBPRGKRZSA-N 0 0 436.494 -0.400 20 0 IBADRN CN1C[C@@H](C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)CC1=O ZINC001178520506 1074174615 /nfs/dbraw/zinc/17/46/15/1074174615.db2.gz HDVBCSKUHBOTFM-AEGPPILISA-N 0 0 435.506 -0.986 20 0 IBADRN CN1C[C@H](C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)CC1=O ZINC001178520511 1074174662 /nfs/dbraw/zinc/17/46/62/1074174662.db2.gz HDVBCSKUHBOTFM-VHDGCEQUSA-N 0 0 435.506 -0.986 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC3)C1=O ZINC001178521404 1074174625 /nfs/dbraw/zinc/17/46/25/1074174625.db2.gz NCYCWDWSJWUBRH-AUUYWEPGSA-N 0 0 426.539 -0.803 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC3)C1=O ZINC001178521406 1074174630 /nfs/dbraw/zinc/17/46/30/1074174630.db2.gz NCYCWDWSJWUBRH-IFXJQAMLSA-N 0 0 426.539 -0.803 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC3)C1=O ZINC001178521407 1074174603 /nfs/dbraw/zinc/17/46/03/1074174603.db2.gz NCYCWDWSJWUBRH-KUHUBIRLSA-N 0 0 426.539 -0.803 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC3)C1=O ZINC001178521409 1074174601 /nfs/dbraw/zinc/17/46/01/1074174601.db2.gz NCYCWDWSJWUBRH-LIRRHRJNSA-N 0 0 426.539 -0.803 20 0 IBADRN O=C(Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C1Cc2ccccc2C1 ZINC001178539778 1074174648 /nfs/dbraw/zinc/17/46/48/1074174648.db2.gz BQNKVXBXNDCWSE-QEPJRFBGSA-N 0 0 429.408 -0.070 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C1Cc2ccccc2C1 ZINC001178539804 1074175409 /nfs/dbraw/zinc/17/54/09/1074175409.db2.gz DGHMSXNMJQIMLZ-QEPJRFBGSA-N 0 0 427.417 -0.503 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)C1Cc3ccccc3C1)c2N ZINC001178540445 1074175415 /nfs/dbraw/zinc/17/54/15/1074175415.db2.gz LCQAFKZDWQUAPB-KHTYJDQRSA-N 0 0 440.460 -0.385 20 0 IBADRN CC(C)(C)OC(=O)N1CC(F)(C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)C1 ZINC001178548475 1074175310 /nfs/dbraw/zinc/17/53/10/1074175310.db2.gz GHLDAOKZEGKHHC-UHFFFAOYSA-N 0 0 425.421 -0.140 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)C3(F)CN(C(=O)OC(C)(C)C)C3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001178554812 1074175285 /nfs/dbraw/zinc/17/52/85/1074175285.db2.gz NWCIGZYFBOGQTR-YNEHKIRRSA-N 0 0 442.444 -0.019 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)C1 ZINC001178577711 1074175785 /nfs/dbraw/zinc/17/57/85/1074175785.db2.gz GWRYRANBFYXJHN-LLVKDONJSA-N 0 0 437.457 -0.463 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)C1 ZINC001178577712 1074175741 /nfs/dbraw/zinc/17/57/41/1074175741.db2.gz GWRYRANBFYXJHN-NSHDSACASA-N 0 0 437.457 -0.463 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1)C2 ZINC001178578869 1074175343 /nfs/dbraw/zinc/17/53/43/1074175343.db2.gz AICZAVLGEVFYRX-CHWSQXEVSA-N 0 0 432.495 -0.189 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)C2 ZINC001178578870 1074175406 /nfs/dbraw/zinc/17/54/06/1074175406.db2.gz AICZAVLGEVFYRX-OLZOCXBDSA-N 0 0 432.495 -0.189 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCO1)C2 ZINC001178578871 1074175333 /nfs/dbraw/zinc/17/53/33/1074175333.db2.gz AICZAVLGEVFYRX-QWHCGFSZSA-N 0 0 432.495 -0.189 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)C2 ZINC001178578872 1074175350 /nfs/dbraw/zinc/17/53/50/1074175350.db2.gz AICZAVLGEVFYRX-STQMWFEESA-N 0 0 432.495 -0.189 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178588683 1074175300 /nfs/dbraw/zinc/17/53/00/1074175300.db2.gz PDDRQJUWRNJNKF-HOTGVXAUSA-N 0 0 434.493 -0.049 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178588684 1074175316 /nfs/dbraw/zinc/17/53/16/1074175316.db2.gz PDDRQJUWRNJNKF-JKSUJKDBSA-N 0 0 434.493 -0.049 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2CCCCN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178624302 1074175759 /nfs/dbraw/zinc/17/57/59/1074175759.db2.gz WUZXNUVWFMXWDP-DGPLXJDWSA-N 0 0 432.514 -0.016 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2CCCCN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178624303 1074175792 /nfs/dbraw/zinc/17/57/92/1074175792.db2.gz WUZXNUVWFMXWDP-POOLDIJYSA-N 0 0 432.514 -0.016 20 0 IBADRN COc1cccc(N2C[C@H](C(=O)n3c(N)c4ncn(COCCO)c4nc3=N)CC2=O)c1 ZINC001178625333 1074175650 /nfs/dbraw/zinc/17/56/50/1074175650.db2.gz GGSWFWXBKKBSLG-GFCCVEGCSA-N 0 0 441.448 -0.037 20 0 IBADRN COc1cccc(N2C[C@@H](C(=O)n3c(N)c4ncn(COCCO)c4nc3=N)CC2=O)c1 ZINC001178625337 1074175663 /nfs/dbraw/zinc/17/56/63/1074175663.db2.gz GGSWFWXBKKBSLG-LBPRGKRZSA-N 0 0 441.448 -0.037 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)CC2CCN(C(=O)OC(C)(C)C)CC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178651096 1074175680 /nfs/dbraw/zinc/17/56/80/1074175680.db2.gz ZJKQZCUBTOZANN-ARYPMIFLSA-N 0 0 432.514 -0.016 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1C[C@H](O)CN(C(=O)OC(C)(C)C)C1)C2 ZINC001178658024 1074175691 /nfs/dbraw/zinc/17/56/91/1074175691.db2.gz JMOREBABWOCJPY-IHRRRGAJSA-N 0 0 446.522 -0.207 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H]1C[C@@H](O)CN(C(=O)OC(C)(C)C)C1)C2 ZINC001178658025 1074175798 /nfs/dbraw/zinc/17/57/98/1074175798.db2.gz JMOREBABWOCJPY-MCIONIFRSA-N 0 0 446.522 -0.207 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1C[C@@H](O)CN(C(=O)OC(C)(C)C)C1)C2 ZINC001178658026 1074175668 /nfs/dbraw/zinc/17/56/68/1074175668.db2.gz JMOREBABWOCJPY-MJBXVCDLSA-N 0 0 446.522 -0.207 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H]1C[C@H](O)CN(C(=O)OC(C)(C)C)C1)C2 ZINC001178658027 1074175753 /nfs/dbraw/zinc/17/57/53/1074175753.db2.gz JMOREBABWOCJPY-RDBSUJKOSA-N 0 0 446.522 -0.207 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](O)C[C@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178674566 1074175658 /nfs/dbraw/zinc/17/56/58/1074175658.db2.gz RPSZGFFSISTWCS-BBWFWOEESA-N 0 0 448.520 -0.067 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C[C@H](O)C1 ZINC001178674567 1074175632 /nfs/dbraw/zinc/17/56/32/1074175632.db2.gz RPSZGFFSISTWCS-IKGGRYGDSA-N 0 0 448.520 -0.067 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)C[C@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178674568 1074175734 /nfs/dbraw/zinc/17/57/34/1074175734.db2.gz RPSZGFFSISTWCS-ULQDDVLXSA-N 0 0 448.520 -0.067 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](O)C[C@@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178674569 1074175726 /nfs/dbraw/zinc/17/57/26/1074175726.db2.gz RPSZGFFSISTWCS-ZACQAIPSSA-N 0 0 448.520 -0.067 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](C(F)(F)F)C[C@@H]1C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001178687952 1074176602 /nfs/dbraw/zinc/17/66/02/1074176602.db2.gz ZPKZKWSNIWBDTK-BAFCWOQGSA-N 0 0 444.403 -0.910 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](C(F)(F)F)C[C@@H]1C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001178687953 1074176840 /nfs/dbraw/zinc/17/68/40/1074176840.db2.gz ZPKZKWSNIWBDTK-DCFRRJFMSA-N 0 0 444.403 -0.910 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1CCCCN1C)C(N)=O ZINC001178700933 1074176803 /nfs/dbraw/zinc/17/68/03/1074176803.db2.gz BWWRVPBYUWWOQC-HRCADAONSA-N 0 0 443.614 -0.159 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1CCCCN1C)C(N)=O ZINC001178700942 1074176682 /nfs/dbraw/zinc/17/66/82/1074176682.db2.gz BWWRVPBYUWWOQC-JYJNAYRXSA-N 0 0 443.614 -0.159 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)C2(c3ccccc3)CCOCC2)c(=O)n1 ZINC001178720129 1074176289 /nfs/dbraw/zinc/17/62/89/1074176289.db2.gz DLNVORONTOGOFC-VDHUWJSZSA-N 0 0 430.461 -0.448 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1 ZINC001178720669 1074176267 /nfs/dbraw/zinc/17/62/67/1074176267.db2.gz FIVDIPFJSFDZIF-CYBMUJFWSA-N 0 0 432.524 -0.886 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1 ZINC001178720670 1074176308 /nfs/dbraw/zinc/17/63/08/1074176308.db2.gz FIVDIPFJSFDZIF-ZDUSSCGKSA-N 0 0 432.524 -0.886 20 0 IBADRN COCCOc1ccc(-n2nc(C)c(C(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)n2)cc1 ZINC001178720935 1074176242 /nfs/dbraw/zinc/17/62/42/1074176242.db2.gz JZQAYMGLOKAQSK-HNNXBMFYSA-N 0 0 436.494 -0.425 20 0 IBADRN COCCOc1ccc(-n2nc(C)c(C(=O)N3CCN4[C@H](CNS4(=O)=O)C3)n2)cc1 ZINC001178720943 1074176304 /nfs/dbraw/zinc/17/63/04/1074176304.db2.gz JZQAYMGLOKAQSK-OAHLLOKOSA-N 0 0 436.494 -0.425 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)C2)s1 ZINC001178721081 1074176279 /nfs/dbraw/zinc/17/62/79/1074176279.db2.gz MVQWNJKYBRMDBH-KBPBESRZSA-N 0 0 448.592 -0.182 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)C2)s1 ZINC001178721082 1074176275 /nfs/dbraw/zinc/17/62/75/1074176275.db2.gz MVQWNJKYBRMDBH-KGLIPLIRSA-N 0 0 448.592 -0.182 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)N3CCN4[C@H](CNS4(=O)=O)C3)C2)s1 ZINC001178721083 1074176249 /nfs/dbraw/zinc/17/62/49/1074176249.db2.gz MVQWNJKYBRMDBH-UONOGXRCSA-N 0 0 448.592 -0.182 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)N3CCN4[C@H](CNS4(=O)=O)C3)C2)s1 ZINC001178721084 1074176260 /nfs/dbraw/zinc/17/62/60/1074176260.db2.gz MVQWNJKYBRMDBH-ZIAGYGMSSA-N 0 0 448.592 -0.182 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001178721085 1074176273 /nfs/dbraw/zinc/17/62/73/1074176273.db2.gz MVXVTYDEENXRML-HNNXBMFYSA-N 0 0 428.536 -0.579 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)NC2CC2)cc1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001178721086 1074176299 /nfs/dbraw/zinc/17/62/99/1074176299.db2.gz MVXVTYDEENXRML-OAHLLOKOSA-N 0 0 428.536 -0.579 20 0 IBADRN O=C(c1cc2c(cc1Br)NC(=O)CO2)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001178721646 1074176310 /nfs/dbraw/zinc/17/63/10/1074176310.db2.gz RXUOPOUZZINORP-MRVPVSSYSA-N 0 0 431.268 -0.246 20 0 IBADRN O=C(c1cc2c(cc1Br)NC(=O)CO2)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001178721650 1074176283 /nfs/dbraw/zinc/17/62/83/1074176283.db2.gz RXUOPOUZZINORP-QMMMGPOBSA-N 0 0 431.268 -0.246 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN3[C@@H](CNS3(=O)=O)C1)C2=O ZINC001178721930 1074176263 /nfs/dbraw/zinc/17/62/63/1074176263.db2.gz VPANCXGGZOWXSM-FHSNZYRGSA-N 0 0 427.527 -0.516 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN3[C@H](CNS3(=O)=O)C1)C2=O ZINC001178721936 1074176620 /nfs/dbraw/zinc/17/66/20/1074176620.db2.gz VPANCXGGZOWXSM-SNUQEOBHSA-N 0 0 427.527 -0.516 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN3[C@@H](CNS3(=O)=O)C1)C2=O ZINC001178721937 1074176846 /nfs/dbraw/zinc/17/68/46/1074176846.db2.gz VPANCXGGZOWXSM-VBHSOAQHSA-N 0 0 427.527 -0.516 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)N1CCN3[C@H](CNS3(=O)=O)C1)C2=O ZINC001178721938 1074176756 /nfs/dbraw/zinc/17/67/56/1074176756.db2.gz VPANCXGGZOWXSM-VFVRVIDISA-N 0 0 427.527 -0.516 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)CC2)cc1 ZINC001178722221 1074176669 /nfs/dbraw/zinc/17/66/69/1074176669.db2.gz XFPBTUUWVIQWNA-INIZCTEOSA-N 0 0 442.563 -0.243 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN4[C@H](CNS4(=O)=O)C3)CC2)cc1 ZINC001178722227 1074176797 /nfs/dbraw/zinc/17/67/97/1074176797.db2.gz XFPBTUUWVIQWNA-MRXNPFEDSA-N 0 0 442.563 -0.243 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)c1cccc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001178726463 1074176790 /nfs/dbraw/zinc/17/67/90/1074176790.db2.gz KWFUYWPDDWWBGB-GFCCVEGCSA-N 0 0 436.537 -0.923 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)c1cccc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001178726464 1074176727 /nfs/dbraw/zinc/17/67/27/1074176727.db2.gz KWFUYWPDDWWBGB-LBPRGKRZSA-N 0 0 436.537 -0.923 20 0 IBADRN CCN1CCN(CC(=O)N2CCn3ncc(I)c3C2)C(=O)C1=O ZINC001178734022 1074176783 /nfs/dbraw/zinc/17/67/83/1074176783.db2.gz LTMKCZDQFMSLFB-UHFFFAOYSA-N 0 0 431.234 -0.479 20 0 IBADRN O=C(c1cn(C[C@H]2CNC(=O)O2)nn1)N1CCn2ncc(I)c2C1 ZINC001178734037 1074176628 /nfs/dbraw/zinc/17/66/28/1074176628.db2.gz MGLTYAOSRIYKKV-MRVPVSSYSA-N 0 0 443.205 -0.156 20 0 IBADRN O=C(c1cn(C[C@@H]2CNC(=O)O2)nn1)N1CCn2ncc(I)c2C1 ZINC001178734038 1074176646 /nfs/dbraw/zinc/17/66/46/1074176646.db2.gz MGLTYAOSRIYKKV-QMMMGPOBSA-N 0 0 443.205 -0.156 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1O)C2 ZINC001178741942 1074176656 /nfs/dbraw/zinc/17/66/56/1074176656.db2.gz VIXBEPBZMDTAPR-HZSPNIEDSA-N 0 0 446.522 -0.207 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1O)C2 ZINC001178741943 1074177168 /nfs/dbraw/zinc/17/71/68/1074177168.db2.gz VIXBEPBZMDTAPR-IHRRRGAJSA-N 0 0 446.522 -0.207 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1O)C2 ZINC001178741944 1074177199 /nfs/dbraw/zinc/17/71/99/1074177199.db2.gz VIXBEPBZMDTAPR-MELADBBJSA-N 0 0 446.522 -0.207 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1O)C2 ZINC001178741945 1074177186 /nfs/dbraw/zinc/17/71/86/1074177186.db2.gz VIXBEPBZMDTAPR-RDBSUJKOSA-N 0 0 446.522 -0.207 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](O)[C@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178750696 1074177145 /nfs/dbraw/zinc/17/71/45/1074177145.db2.gz BILRPRYVDQBURP-IKGGRYGDSA-N 0 0 448.520 -0.067 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](O)[C@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178750697 1074177170 /nfs/dbraw/zinc/17/71/70/1074177170.db2.gz BILRPRYVDQBURP-IXDOHACOSA-N 0 0 448.520 -0.067 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](O)[C@@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178750698 1074177180 /nfs/dbraw/zinc/17/71/80/1074177180.db2.gz BILRPRYVDQBURP-ULQDDVLXSA-N 0 0 448.520 -0.067 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](O)[C@@H](C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)C1 ZINC001178750699 1074177164 /nfs/dbraw/zinc/17/71/64/1074177164.db2.gz BILRPRYVDQBURP-YESZJQIVSA-N 0 0 448.520 -0.067 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCCn3c(=O)cc(Cn4ccnc4)nc3C2)CC1 ZINC001178763513 1074177137 /nfs/dbraw/zinc/17/71/37/1074177137.db2.gz CJBCECQQALXHTK-UHFFFAOYSA-N 0 0 434.522 -0.108 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)C2CCN(S(C)(=O)=O)CC2)C1 ZINC001178763581 1074177151 /nfs/dbraw/zinc/17/71/51/1074177151.db2.gz AHYJINSLASNWMZ-HNNXBMFYSA-N 0 0 427.527 -0.724 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)C2CCN(S(C)(=O)=O)CC2)C1 ZINC001178763582 1074177194 /nfs/dbraw/zinc/17/71/94/1074177194.db2.gz AHYJINSLASNWMZ-OAHLLOKOSA-N 0 0 427.527 -0.724 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)C3CCN(S(C)(=O)=O)CC3)C2)C1 ZINC001178763646 1074177160 /nfs/dbraw/zinc/17/71/60/1074177160.db2.gz DIDVKUWSLLDQIX-IBGZPJMESA-N 0 0 430.571 -0.655 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)C3CCN(S(C)(=O)=O)CC3)C2)C1 ZINC001178763647 1074177153 /nfs/dbraw/zinc/17/71/53/1074177153.db2.gz DIDVKUWSLLDQIX-LJQANCHMSA-N 0 0 430.571 -0.655 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCC3(CC2)CN(c2cncnc2)C(=O)CO3)CC1 ZINC001178763678 1074177167 /nfs/dbraw/zinc/17/71/67/1074177167.db2.gz FWMGCOCDDRKSDB-UHFFFAOYSA-N 0 0 437.522 -0.127 20 0 IBADRN COc1cc(OC)nc(CN2CCN(C(=O)C3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC001178763745 1074177183 /nfs/dbraw/zinc/17/71/83/1074177183.db2.gz JBIKATMDSARYAV-UHFFFAOYSA-N 0 0 427.527 -0.190 20 0 IBADRN CC(C)C(=O)N1CCO[C@]2(C1)COCCN(C(=O)C1CCN(S(C)(=O)=O)CC1)C2 ZINC001178763746 1074177131 /nfs/dbraw/zinc/17/71/31/1074177131.db2.gz JEAFBUZYJHEGAQ-IBGZPJMESA-N 0 0 431.555 -0.230 20 0 IBADRN CC(C)C(=O)N1CCO[C@@]2(C1)COCCN(C(=O)C1CCN(S(C)(=O)=O)CC1)C2 ZINC001178763747 1074177190 /nfs/dbraw/zinc/17/71/90/1074177190.db2.gz JEAFBUZYJHEGAQ-LJQANCHMSA-N 0 0 431.555 -0.230 20 0 IBADRN CN(C)C(=O)COCc1noc2c1CN(C(=O)C1CCN(S(C)(=O)=O)CC1)CC2 ZINC001178763777 1074177147 /nfs/dbraw/zinc/17/71/47/1074177147.db2.gz FMTKCXOFIVGTFS-UHFFFAOYSA-N 0 0 428.511 -0.164 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001178763787 1074177165 /nfs/dbraw/zinc/17/71/65/1074177165.db2.gz FVRHURGBTOEWMV-APWZRJJASA-N 0 0 431.555 -0.087 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001178763788 1074177706 /nfs/dbraw/zinc/17/77/06/1074177706.db2.gz FVRHURGBTOEWMV-LPHOPBHVSA-N 0 0 431.555 -0.087 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001178763789 1074177743 /nfs/dbraw/zinc/17/77/43/1074177743.db2.gz FVRHURGBTOEWMV-QFBILLFUSA-N 0 0 431.555 -0.087 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001178763790 1074177670 /nfs/dbraw/zinc/17/76/70/1074177670.db2.gz FVRHURGBTOEWMV-VQIMIIECSA-N 0 0 431.555 -0.087 20 0 IBADRN COC[C@@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001178763806 1074177765 /nfs/dbraw/zinc/17/77/65/1074177765.db2.gz GUINZBOIZBSUND-HNNXBMFYSA-N 0 0 437.526 -0.293 20 0 IBADRN COC[C@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001178763807 1074177740 /nfs/dbraw/zinc/17/77/40/1074177740.db2.gz GUINZBOIZBSUND-OAHLLOKOSA-N 0 0 437.526 -0.293 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCn3c(CNC(=O)c4ccno4)cnc3C2)CC1 ZINC001178763848 1074177778 /nfs/dbraw/zinc/17/77/78/1074177778.db2.gz IKTXWDKMNJUTMQ-UHFFFAOYSA-N 0 0 436.494 -0.185 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCO[C@]3(C2)COCCN(c2ncccn2)C3)CC1 ZINC001178763888 1074177692 /nfs/dbraw/zinc/17/76/92/1074177692.db2.gz LCDXIWGEMZFMMB-IBGZPJMESA-N 0 0 439.538 -0.418 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCO[C@@]3(C2)COCCN(c2ncccn2)C3)CC1 ZINC001178763889 1074177755 /nfs/dbraw/zinc/17/77/55/1074177755.db2.gz LCDXIWGEMZFMMB-LJQANCHMSA-N 0 0 439.538 -0.418 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)C3CCN(S(C)(=O)=O)CC3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001178763946 1074177612 /nfs/dbraw/zinc/17/76/12/1074177612.db2.gz NKQPNVGJJKEXMI-GVDBMIGSSA-N 0 0 439.538 -0.420 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2C[C@@H]3COC[C@@H](CC(=O)N4CCOCC4)[C@@H]3C2)CC1 ZINC001178764043 1074177715 /nfs/dbraw/zinc/17/77/15/1074177715.db2.gz PKZMTKARBRIVBB-KURKYZTESA-N 0 0 443.566 -0.372 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2C[C@@H]3COC[C@]3(COCC(=O)N3CCCC3)C2)CC1 ZINC001178764065 1074177784 /nfs/dbraw/zinc/17/77/84/1074177784.db2.gz PZBHAFAQSSQTOC-XLIONFOSSA-N 0 0 443.566 -0.228 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)C1CCN(S(C)(=O)=O)CC1)CC2 ZINC001178764145 1074177625 /nfs/dbraw/zinc/17/76/25/1074177625.db2.gz SCRHOZALEVUCGS-UHFFFAOYSA-N 0 0 438.550 -0.465 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)C2CCN(S(C)(=O)=O)CC2)[C@@H]2CCCO[C@@H]21 ZINC001178764200 1074177759 /nfs/dbraw/zinc/17/77/59/1074177759.db2.gz UQYSUKWHIAAXDI-ARFHVFGLSA-N 0 0 439.538 -0.420 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)CC1 ZINC001178764289 1074177649 /nfs/dbraw/zinc/17/76/49/1074177649.db2.gz NYEDMWSPPVKUOL-UHFFFAOYSA-N 0 0 426.499 -0.870 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001178764346 1074177772 /nfs/dbraw/zinc/17/77/72/1074177772.db2.gz QISPQNZGIAYHAW-IBGZPJMESA-N 0 0 444.554 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C1)CNC(=O)CN2C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001178764347 1074177792 /nfs/dbraw/zinc/17/77/92/1074177792.db2.gz QISPQNZGIAYHAW-LJQANCHMSA-N 0 0 444.554 -0.004 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2C[C@@H]3COC[C@H](C(=O)Nc4cnccn4)[C@@H]3C2)CC1 ZINC001178764356 1074177698 /nfs/dbraw/zinc/17/76/98/1074177698.db2.gz QQCRIAQQBJDMCL-OAGGEKHMSA-N 0 0 437.522 -0.192 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCC3(C[C@H](C(=O)N4CCOCC4)CO3)CC2)CC1 ZINC001178764385 1074177683 /nfs/dbraw/zinc/17/76/83/1074177683.db2.gz YXVSPDPHOSYTST-KRWDZBQOSA-N 0 0 443.566 -0.086 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCC3(C[C@@H](C(=O)N4CCOCC4)CO3)CC2)CC1 ZINC001178764386 1074177661 /nfs/dbraw/zinc/17/76/61/1074177661.db2.gz YXVSPDPHOSYTST-QGZVFWFLSA-N 0 0 443.566 -0.086 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)C3CCN(S(C)(=O)=O)CC3)C2)C1=O ZINC001178764473 1074178166 /nfs/dbraw/zinc/17/81/66/1074178166.db2.gz UDRDHICKZMASKO-IBGZPJMESA-N 0 0 428.555 -0.413 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)C3CCN(S(C)(=O)=O)CC3)C2)C1=O ZINC001178764474 1074178152 /nfs/dbraw/zinc/17/81/52/1074178152.db2.gz UDRDHICKZMASKO-LJQANCHMSA-N 0 0 428.555 -0.413 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2C[C@@H]3COC[C@H](C(=O)N4CCCCO4)[C@@H]3C2)CC1 ZINC001178764517 1074178188 /nfs/dbraw/zinc/17/81/88/1074178188.db2.gz VPZXZBTZVRBLOR-ZACQAIPSSA-N 0 0 429.539 -0.067 20 0 IBADRN CN(C)C(=O)COC[C@H]1CC[C@@H]2[C@@H](CCN2C(=O)C2CCN(S(C)(=O)=O)CC2)O1 ZINC001178764585 1074178170 /nfs/dbraw/zinc/17/81/70/1074178170.db2.gz YVLFNBJWRUPBKN-BRWVUGGUSA-N 0 0 431.555 -0.089 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001178768256 1074178088 /nfs/dbraw/zinc/17/80/88/1074178088.db2.gz UPHKDUIBUKWTGO-UHFFFAOYSA-N 0 0 445.563 -0.005 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)C2CCN(S(C)(=O)=O)CC2)C(=O)C1 ZINC001178769842 1074178102 /nfs/dbraw/zinc/17/81/02/1074178102.db2.gz QCNNBOIRYLOYTB-UHFFFAOYSA-N 0 0 432.543 -0.147 20 0 IBADRN O=C(CCN1CCOC1=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001178779940 1074178727 /nfs/dbraw/zinc/17/87/27/1074178727.db2.gz NBDGLCGVFGBBKB-GJYPPUQNSA-N 0 0 436.490 -0.192 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CCN2CCOC2=O)CC3)C1=O ZINC001178780333 1074178677 /nfs/dbraw/zinc/17/86/77/1074178677.db2.gz XCABVXVBCWWSRH-IBGZPJMESA-N 0 0 442.538 -0.439 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CCN2CCOC2=O)CC3)C1=O ZINC001178780334 1074178723 /nfs/dbraw/zinc/17/87/23/1074178723.db2.gz XCABVXVBCWWSRH-LJQANCHMSA-N 0 0 442.538 -0.439 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H](O)c3ccnn3C)cc2)CC1 ZINC001178780644 1074178652 /nfs/dbraw/zinc/17/86/52/1074178652.db2.gz HAUQWBBEQYFRMO-GOSISDBHSA-N 0 0 435.506 -0.264 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H](O)c3ccnn3C)cc2)CC1 ZINC001178780645 1074178529 /nfs/dbraw/zinc/17/85/29/1074178529.db2.gz HAUQWBBEQYFRMO-SFHVURJKSA-N 0 0 435.506 -0.264 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)CCN2CCOC2=O)cc1Cl ZINC001178783163 1074178125 /nfs/dbraw/zinc/17/81/25/1074178125.db2.gz WAHKWNPJGRHHOB-UHFFFAOYSA-N 0 0 426.860 -0.584 20 0 IBADRN O=C(CCN1CCOC1=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001178784120 1074178109 /nfs/dbraw/zinc/17/81/09/1074178109.db2.gz JNKCSKYYVITOSH-GWFJUFKTSA-N 0 0 428.463 -0.641 20 0 IBADRN O=C(CCN1CCOC1=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001178784121 1074178184 /nfs/dbraw/zinc/17/81/84/1074178184.db2.gz JNKCSKYYVITOSH-LBWNCTQTSA-N 0 0 428.463 -0.641 20 0 IBADRN O=C(CCN1CCOC1=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001178784122 1074178164 /nfs/dbraw/zinc/17/81/64/1074178164.db2.gz JNKCSKYYVITOSH-SOKJMZBUSA-N 0 0 428.463 -0.641 20 0 IBADRN O=C(CCN1CCOC1=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001178784123 1074178160 /nfs/dbraw/zinc/17/81/60/1074178160.db2.gz JNKCSKYYVITOSH-VFTHGPRRSA-N 0 0 428.463 -0.641 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)CCN2CCOC2=O)CC1 ZINC001178784440 1074178197 /nfs/dbraw/zinc/17/81/97/1074178197.db2.gz XQKFECUZAQIEKA-UHFFFAOYSA-N 0 0 434.515 -0.173 20 0 IBADRN Cn1c2ccccc2n(CC(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)c1=O ZINC001178788012 1074178176 /nfs/dbraw/zinc/17/81/76/1074178176.db2.gz BTMAWXVAOVPGMA-UHFFFAOYSA-N 0 0 425.449 -0.188 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)Cn2c3ccccc3n(C)c2=O)C1 ZINC001178789436 1074178182 /nfs/dbraw/zinc/17/81/82/1074178182.db2.gz CUFKTLYOCKYLDS-HNNXBMFYSA-N 0 0 426.477 -0.042 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)Cn2c3ccccc3n(C)c2=O)C1 ZINC001178789441 1074178096 /nfs/dbraw/zinc/17/80/96/1074178096.db2.gz CUFKTLYOCKYLDS-OAHLLOKOSA-N 0 0 426.477 -0.042 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)Cn1c3ccccc3n(C)c1=O)C2 ZINC001178790061 1074178143 /nfs/dbraw/zinc/17/81/43/1074178143.db2.gz KDFPCGDMBPXPDG-UHFFFAOYSA-N 0 0 427.465 -0.421 20 0 IBADRN C[C@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC001178796277 1074178132 /nfs/dbraw/zinc/17/81/32/1074178132.db2.gz WGVHEVZSIPOPRN-GXTWGEPZSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC001178796278 1074178169 /nfs/dbraw/zinc/17/81/69/1074178169.db2.gz WGVHEVZSIPOPRN-JSGCOSHPSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC001178796279 1074178522 /nfs/dbraw/zinc/17/85/22/1074178522.db2.gz WGVHEVZSIPOPRN-OCCSQVGLSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC001178796280 1074178548 /nfs/dbraw/zinc/17/85/48/1074178548.db2.gz WGVHEVZSIPOPRN-TZMCWYRMSA-N 0 0 429.520 -0.497 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cn3c4ccccc4n(C)c3=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001178796774 1074178673 /nfs/dbraw/zinc/17/86/73/1074178673.db2.gz HRXLDNBDSIYVMZ-XGWLTEMNSA-N 0 0 429.433 -0.624 20 0 IBADRN Cn1c2ccccc2n(CC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c1=O ZINC001178796782 1074178623 /nfs/dbraw/zinc/17/86/23/1074178623.db2.gz ICWNOYMXFMMJJL-YOEHRIQHSA-N 0 0 439.476 -0.733 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCN1Cc2ccccc2C1=O ZINC001178834400 1074178593 /nfs/dbraw/zinc/17/85/93/1074178593.db2.gz KSQZBSYYTPSZNM-ROUUACIJSA-N 0 0 438.488 -0.041 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1CC[C@@H](C)O1)C(N)=O ZINC001178842845 1074178706 /nfs/dbraw/zinc/17/87/06/1074178706.db2.gz LGFZTDWOUZICNB-CBBWQLFWSA-N 0 0 430.571 -0.076 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N[C@@H]1CC2(CN(C(=O)OC(C)(C)C)C2)n2ccnc21 ZINC001178855423 1074178565 /nfs/dbraw/zinc/17/85/65/1074178565.db2.gz CPFXAHJMAAPUSO-GFCCVEGCSA-N 0 0 428.515 -0.214 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N[C@H]1CC2(CN(C(=O)OC(C)(C)C)C2)n2ccnc21 ZINC001178855424 1074178577 /nfs/dbraw/zinc/17/85/77/1074178577.db2.gz CPFXAHJMAAPUSO-LBPRGKRZSA-N 0 0 428.515 -0.214 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C[C@]2(O)CCCN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178868679 1074179176 /nfs/dbraw/zinc/17/91/76/1074179176.db2.gz UDPQQENEPDVZNB-ABGWSMQXSA-N 0 0 448.513 -0.901 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C[C@@]2(O)CCCN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178868680 1074179150 /nfs/dbraw/zinc/17/91/50/1074179150.db2.gz UDPQQENEPDVZNB-HGSRPTBFSA-N 0 0 448.513 -0.901 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@]2(F)C[C@H]2C(=O)OCc2ccccc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178877867 1074179106 /nfs/dbraw/zinc/17/91/06/1074179106.db2.gz MSGWEDHITDBQAE-QEJBLLITSA-N 0 0 427.425 -0.582 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@]2(F)C[C@@H]2C(=O)OCc2ccccc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178877868 1074179139 /nfs/dbraw/zinc/17/91/39/1074179139.db2.gz MSGWEDHITDBQAE-ROYMAJFOSA-N 0 0 427.425 -0.582 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@]2(F)C[C@H]2C(=O)OCc2ccccc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178877869 1074179132 /nfs/dbraw/zinc/17/91/32/1074179132.db2.gz MSGWEDHITDBQAE-VGVXIRTDSA-N 0 0 427.425 -0.582 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@]2(F)C[C@@H]2C(=O)OCc2ccccc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178877870 1074179186 /nfs/dbraw/zinc/17/91/86/1074179186.db2.gz MSGWEDHITDBQAE-VLGRQSHASA-N 0 0 427.425 -0.582 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN3CCOC[C@@]3(CO)C2)CC1 ZINC001178893141 1074179179 /nfs/dbraw/zinc/17/91/79/1074179179.db2.gz FSALXADNAMYGSA-IBGZPJMESA-N 0 0 442.538 -0.402 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN3CCOC[C@]3(CO)C2)CC1 ZINC001178893142 1074179170 /nfs/dbraw/zinc/17/91/70/1074179170.db2.gz FSALXADNAMYGSA-LJQANCHMSA-N 0 0 442.538 -0.402 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001178894169 1074179136 /nfs/dbraw/zinc/17/91/36/1074179136.db2.gz RXFLEUOAAPVZPB-IBGZPJMESA-N 0 0 425.507 -0.773 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN2CCOC[C@]2(CO)C1 ZINC001178894171 1074179099 /nfs/dbraw/zinc/17/90/99/1074179099.db2.gz RXFLEUOAAPVZPB-LJQANCHMSA-N 0 0 425.507 -0.773 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1OC ZINC001178898578 1074179736 /nfs/dbraw/zinc/17/97/36/1074179736.db2.gz MVZROISJFYEQGS-IBGZPJMESA-N 0 0 428.507 -0.227 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N2CCN3CCOC[C@]3(CO)C2)cc1OC ZINC001178898585 1074179766 /nfs/dbraw/zinc/17/97/66/1074179766.db2.gz MVZROISJFYEQGS-LJQANCHMSA-N 0 0 428.507 -0.227 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCN3CCOC[C@]3(CO)C2)C1=O ZINC001178898641 1074179712 /nfs/dbraw/zinc/17/97/12/1074179712.db2.gz NUDALTCXZOBQHO-FCHUYYIVSA-N 0 0 446.504 -0.324 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN3CCOC[C@]3(CO)C2)C1=O ZINC001178898642 1074179741 /nfs/dbraw/zinc/17/97/41/1074179741.db2.gz NUDALTCXZOBQHO-FGZHOGPDSA-N 0 0 446.504 -0.324 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCN3CCOC[C@@]3(CO)C2)C1=O ZINC001178898643 1074179792 /nfs/dbraw/zinc/17/97/92/1074179792.db2.gz NUDALTCXZOBQHO-VXKWHMMOSA-N 0 0 446.504 -0.324 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN3CCOC[C@@]3(CO)C2)C1=O ZINC001178898644 1074179761 /nfs/dbraw/zinc/17/97/61/1074179761.db2.gz NUDALTCXZOBQHO-YADHBBJMSA-N 0 0 446.504 -0.324 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@@H](F)CN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178900477 1074179764 /nfs/dbraw/zinc/17/97/64/1074179764.db2.gz SATVMDMNMLFQIV-COWLWRSTSA-N 0 0 436.477 -0.458 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2C[C@H](F)CN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178900478 1074179704 /nfs/dbraw/zinc/17/97/04/1074179704.db2.gz SATVMDMNMLFQIV-OTWSLPRKSA-N 0 0 436.477 -0.458 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2C[C@@H](F)CN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178900479 1074179768 /nfs/dbraw/zinc/17/97/68/1074179768.db2.gz SATVMDMNMLFQIV-XCCXMSFNSA-N 0 0 436.477 -0.458 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@H](F)CN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178900480 1074179740 /nfs/dbraw/zinc/17/97/40/1074179740.db2.gz SATVMDMNMLFQIV-YMONPBEYSA-N 0 0 436.477 -0.458 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2Cc3ccccc3O2)[C@H]1O ZINC001178943493 1074179756 /nfs/dbraw/zinc/17/97/56/1074179756.db2.gz CMFUWPNUFDZFNE-YXDKPKCJSA-N 0 0 440.460 -0.369 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2Cc3ccccc3O2)[C@H]1O ZINC001178943494 1074180116 /nfs/dbraw/zinc/18/01/16/1074180116.db2.gz CMFUWPNUFDZFNE-ZHEQBIBESA-N 0 0 440.460 -0.369 20 0 IBADRN CN1CCN(CC(=O)N2CCCn3c(=O)cc(CNC(=O)c4ccco4)nc3C2)CC1 ZINC001178947805 1074180180 /nfs/dbraw/zinc/18/01/80/1074180180.db2.gz AZPWQUUKXJZHBH-UHFFFAOYSA-N 0 0 428.493 -0.254 20 0 IBADRN CN1CCN(CC(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CC1 ZINC001178947867 1074180025 /nfs/dbraw/zinc/18/00/25/1074180025.db2.gz BSFZGHCZKRTDPC-HBFSDRIKSA-N 0 0 435.550 -0.787 20 0 IBADRN CN1CCN(CC(=O)N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)CC1 ZINC001178948745 1074180047 /nfs/dbraw/zinc/18/00/47/1074180047.db2.gz OMRAQZGTOHLLCC-URVUXULASA-N 0 0 448.589 -0.140 20 0 IBADRN CN1CCN(CC(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001178952843 1074180214 /nfs/dbraw/zinc/18/02/14/1074180214.db2.gz VGEOPABSXUEHKD-UHFFFAOYSA-N 0 0 433.575 -0.768 20 0 IBADRN CCOC(=O)[C@H](NC(=O)CN1CCN(C)CC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001178954024 1074180124 /nfs/dbraw/zinc/18/01/24/1074180124.db2.gz UTQCCEJPJYYXNE-MSOLQXFVSA-N 0 0 427.523 -0.581 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)CN3CCN(C)CC3)ccc12 ZINC001178955982 1074179940 /nfs/dbraw/zinc/17/99/40/1074179940.db2.gz GSMKFRXVRFHQNI-UHFFFAOYSA-N 0 0 429.477 -0.480 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1CCO[C@H]1C)C(N)=O ZINC001178965137 1074180157 /nfs/dbraw/zinc/18/01/57/1074180157.db2.gz REAJFVMPLOPZMO-AJNGGQMLSA-N 0 0 430.571 -0.218 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C[C@@]2(C)CCN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178979489 1074179753 /nfs/dbraw/zinc/17/97/53/1074179753.db2.gz QYUAMORHNPLGCZ-ABGWSMQXSA-N 0 0 432.514 -0.016 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C[C@]2(C)CCN(C(=O)OC(C)(C)C)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001178979490 1074179746 /nfs/dbraw/zinc/17/97/46/1074179746.db2.gz QYUAMORHNPLGCZ-HGSRPTBFSA-N 0 0 432.514 -0.016 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC001178988200 1074180683 /nfs/dbraw/zinc/18/06/83/1074180683.db2.gz QCHSAUXYGSHJAL-HNNXBMFYSA-N 0 0 427.465 -0.198 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@H]1CN(Cc2ccccc2)CCO1 ZINC001178988201 1074180643 /nfs/dbraw/zinc/18/06/43/1074180643.db2.gz QCHSAUXYGSHJAL-OAHLLOKOSA-N 0 0 427.465 -0.198 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H]3CN(Cc4ccccc4)CCO3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001178996475 1074180706 /nfs/dbraw/zinc/18/07/06/1074180706.db2.gz ASKSVLZNWYRZQU-INDMIFKZSA-N 0 0 444.488 -0.077 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3CN(Cc4ccccc4)CCO3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001178996476 1074180720 /nfs/dbraw/zinc/18/07/20/1074180720.db2.gz ASKSVLZNWYRZQU-WJFTUGDTSA-N 0 0 444.488 -0.077 20 0 IBADRN COC(=O)C12CCC(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)(CC1)CC2 ZINC001179006309 1074180743 /nfs/dbraw/zinc/18/07/43/1074180743.db2.gz NASBRHPIHKHQIT-OHQHDQGNSA-N 0 0 436.465 -0.683 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)[C@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)n1 ZINC001179020974 1074180664 /nfs/dbraw/zinc/18/06/64/1074180664.db2.gz LJQUIHRUWOMGRD-CHWSQXEVSA-N 0 0 441.510 -0.053 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)[C@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)n1 ZINC001179020976 1074180697 /nfs/dbraw/zinc/18/06/97/1074180697.db2.gz LJQUIHRUWOMGRD-OLZOCXBDSA-N 0 0 441.510 -0.053 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)[C@@H]2CS[C@H](Cc3ccccc3F)C(=O)N2)n1 ZINC001179020977 1074180627 /nfs/dbraw/zinc/18/06/27/1074180627.db2.gz LJQUIHRUWOMGRD-QWHCGFSZSA-N 0 0 441.510 -0.053 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)[C@@H]2CS[C@@H](Cc3ccccc3F)C(=O)N2)n1 ZINC001179020979 1074180753 /nfs/dbraw/zinc/18/07/53/1074180753.db2.gz LJQUIHRUWOMGRD-STQMWFEESA-N 0 0 441.510 -0.053 20 0 IBADRN CC(=O)N1CCN(CC(=O)Nc2ncc(I)cc2C(N)=O)CC1 ZINC001179027594 1074181172 /nfs/dbraw/zinc/18/11/72/1074181172.db2.gz MVNVGRWLAMIQCR-UHFFFAOYSA-N 0 0 431.234 -0.112 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)CC1 ZINC001179030116 1074181308 /nfs/dbraw/zinc/18/13/08/1074181308.db2.gz AOLUMXHUQXCADV-KRWDZBQOSA-N 0 0 440.508 -0.774 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)CC1 ZINC001179030117 1074181241 /nfs/dbraw/zinc/18/12/41/1074181241.db2.gz AOLUMXHUQXCADV-QGZVFWFLSA-N 0 0 440.508 -0.774 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CC1 ZINC001179030190 1074181271 /nfs/dbraw/zinc/18/12/71/1074181271.db2.gz DIZMLFCMJYVAIN-JOCHJYFZSA-N 0 0 442.520 -0.588 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CC1 ZINC001179030191 1074181264 /nfs/dbraw/zinc/18/12/64/1074181264.db2.gz DIZMLFCMJYVAIN-QFIPXVFZSA-N 0 0 442.520 -0.588 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)CC1 ZINC001179030296 1074181297 /nfs/dbraw/zinc/18/12/97/1074181297.db2.gz IHOXKFJEAZSROC-GBESFXJTSA-N 0 0 432.525 -0.823 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCC3(CC2)CN(Cc2cccnc2)C(=O)CO3)CC1 ZINC001179030309 1074181234 /nfs/dbraw/zinc/18/12/34/1074181234.db2.gz IQPFUJIHBGYVPB-UHFFFAOYSA-N 0 0 429.521 -0.034 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)CC1 ZINC001179030374 1074181278 /nfs/dbraw/zinc/18/12/78/1074181278.db2.gz LWMBGMYNPTXNDA-UHFFFAOYSA-N 0 0 442.524 -0.905 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCO[C@H]3[C@H]2CC[C@H]3CNC(=O)c2ccnnc2)CC1 ZINC001179030392 1074181251 /nfs/dbraw/zinc/18/12/51/1074181251.db2.gz MNSOHJCVIITFME-ILZDJORESA-N 0 0 430.509 -0.624 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@@H]32)CC1 ZINC001179030393 1074181642 /nfs/dbraw/zinc/18/16/42/1074181642.db2.gz MNSOHJCVIITFME-IMFGXOCKSA-N 0 0 430.509 -0.624 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCO[C@@H]3[C@H](CNC(=O)c4ccnnc4)CC[C@@H]32)CC1 ZINC001179030394 1074181672 /nfs/dbraw/zinc/18/16/72/1074181672.db2.gz MNSOHJCVIITFME-XKGZKEIXSA-N 0 0 430.509 -0.624 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@H]32)CC1 ZINC001179030395 1074181592 /nfs/dbraw/zinc/18/15/92/1074181592.db2.gz MNSOHJCVIITFME-YVWKXTFCSA-N 0 0 430.509 -0.624 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC[C@H]3O[C@H](C(=O)N(C)Cc4ccccn4)C[C@H]32)CC1 ZINC001179030491 1074181601 /nfs/dbraw/zinc/18/16/01/1074181601.db2.gz PEKJGULICBZXSQ-AQNXPRMDSA-N 0 0 429.521 -0.038 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCn3cc(CNC(=O)c4cccn4C)nc3C2)CC1 ZINC001179030540 1074181625 /nfs/dbraw/zinc/18/16/25/1074181625.db2.gz QYJWCBADGPHJHI-UHFFFAOYSA-N 0 0 427.509 -0.342 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2C[C@@H]3COC[C@]3(C(=O)NCCc3ccccc3)C2)CC1 ZINC001179030565 1074181583 /nfs/dbraw/zinc/18/15/83/1074181583.db2.gz RSWNGXVBTOJOKP-NFBKMPQASA-N 0 0 428.533 -0.016 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC[C@H]3CO[C@H](CNC(=O)c4ccncc4)[C@H]3C2)CC1 ZINC001179030604 1074181618 /nfs/dbraw/zinc/18/16/18/1074181618.db2.gz ULRAFNONJPRFSE-SLFFLAALSA-N 0 0 429.521 -0.161 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC[C@H]3OCC[C@@]3(C(=O)NCc3ccccn3)C2)CC1 ZINC001179030634 1074181610 /nfs/dbraw/zinc/18/16/10/1074181610.db2.gz VJISKTBJXOVQSB-DENIHFKCSA-N 0 0 429.521 -0.131 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)CC1 ZINC001179030678 1074181668 /nfs/dbraw/zinc/18/16/68/1074181668.db2.gz XJVGVNHAJICKGE-UHFFFAOYSA-N 0 0 430.509 -0.526 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC[C@H]3C[C@H](C(=O)NCCc4ccccn4)O[C@H]3C2)CC1 ZINC001179030751 1074181648 /nfs/dbraw/zinc/18/16/48/1074181648.db2.gz CGIHLMZCFSVBCK-TYPHKJRUSA-N 0 0 443.548 -0.090 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCC[C@@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)CC1 ZINC001179030841 1074181658 /nfs/dbraw/zinc/18/16/58/1074181658.db2.gz ZNUAPCBXPPZPGR-JOCHJYFZSA-N 0 0 442.520 -0.001 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCC[C@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)CC1 ZINC001179030842 1074181664 /nfs/dbraw/zinc/18/16/64/1074181664.db2.gz ZNUAPCBXPPZPGR-QFIPXVFZSA-N 0 0 442.520 -0.001 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCOC[C@@]3(C[C@H](CNc4ccc(C)nn4)CO3)C2)CC1 ZINC001179030881 1074181615 /nfs/dbraw/zinc/18/16/15/1074181615.db2.gz FSQDHTAZFKJFOM-DENIHFKCSA-N 0 0 446.552 -0.005 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCOC[C@]3(C[C@H](CNc4ccc(C)nn4)CO3)C2)CC1 ZINC001179030882 1074181587 /nfs/dbraw/zinc/18/15/87/1074181587.db2.gz FSQDHTAZFKJFOM-KNQAVFIVSA-N 0 0 446.552 -0.005 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCOC[C@@]3(C[C@@H](CNc4ccc(C)nn4)CO3)C2)CC1 ZINC001179030883 1074181595 /nfs/dbraw/zinc/18/15/95/1074181595.db2.gz FSQDHTAZFKJFOM-SIKLNZKXSA-N 0 0 446.552 -0.005 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCOC[C@]3(C[C@@H](CNc4ccc(C)nn4)CO3)C2)CC1 ZINC001179030884 1074181636 /nfs/dbraw/zinc/18/16/36/1074181636.db2.gz FSQDHTAZFKJFOM-UGKGYDQZSA-N 0 0 446.552 -0.005 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)CC1 ZINC001179030901 1074181630 /nfs/dbraw/zinc/18/16/30/1074181630.db2.gz GICCMKZFLKJYAD-UHFFFAOYSA-N 0 0 447.540 -0.687 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCCn3c(=O)cc(CNC(=O)CC(C)C)nc3C2)CC1 ZINC001179030920 1074181677 /nfs/dbraw/zinc/18/16/77/1074181677.db2.gz HGMUHLKMGWNKQT-UHFFFAOYSA-N 0 0 446.552 -0.198 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCC3(CC2)OCC(=O)N(c2cnn(C)c2)[C@H]3C)CC1 ZINC001179030944 1074182084 /nfs/dbraw/zinc/18/20/84/1074182084.db2.gz HZRKTOVJCHCLJM-INIZCTEOSA-N 0 0 432.525 -0.303 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCC3(CC2)OCC(=O)N(c2cnn(C)c2)[C@@H]3C)CC1 ZINC001179030945 1074182051 /nfs/dbraw/zinc/18/20/51/1074182051.db2.gz HZRKTOVJCHCLJM-MRXNPFEDSA-N 0 0 432.525 -0.303 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@]3(CCNC3=O)C2)CC1 ZINC001179030958 1074182122 /nfs/dbraw/zinc/18/21/22/1074182122.db2.gz INKQADQCUOQIKZ-JOCHJYFZSA-N 0 0 449.552 -0.264 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@@]3(CCNC3=O)C2)CC1 ZINC001179030959 1074182108 /nfs/dbraw/zinc/18/21/08/1074182108.db2.gz INKQADQCUOQIKZ-QFIPXVFZSA-N 0 0 449.552 -0.264 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2C[C@H]3OCC[C@H]3[C@H](C(=O)NCc3cccnc3)C2)CC1 ZINC001179031102 1074182021 /nfs/dbraw/zinc/18/20/21/1074182021.db2.gz OOIYQTITZFXUGK-XUVXKRRUSA-N 0 0 429.521 -0.275 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2Cc3ncn(C)c3[C@H](COCCN3CCOCC3)C2)CC1 ZINC001179031163 1074182132 /nfs/dbraw/zinc/18/21/32/1074182132.db2.gz QVOXSLUWAIOOHJ-IBGZPJMESA-N 0 0 448.568 -0.641 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2Cc3ncn(C)c3[C@@H](COCCN3CCOCC3)C2)CC1 ZINC001179031164 1074182115 /nfs/dbraw/zinc/18/21/15/1074182115.db2.gz QVOXSLUWAIOOHJ-LJQANCHMSA-N 0 0 448.568 -0.641 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCOC[C@@]3(CN(c4ccsc4)C(=O)CO3)C2)CC1 ZINC001179031224 1074182065 /nfs/dbraw/zinc/18/20/65/1074182065.db2.gz SZHJKVWOIZSDDE-FQEVSTJZSA-N 0 0 436.534 -0.127 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2CCOC[C@]3(CN(c4ccsc4)C(=O)CO3)C2)CC1 ZINC001179031225 1074182079 /nfs/dbraw/zinc/18/20/79/1074182079.db2.gz SZHJKVWOIZSDDE-HXUWFJFHSA-N 0 0 436.534 -0.127 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)CN2CCN(C(C)=O)CC2)CCO1 ZINC001179031273 1074182055 /nfs/dbraw/zinc/18/20/55/1074182055.db2.gz BEIRLPYDPXYGEW-GOSISDBHSA-N 0 0 444.492 -0.030 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)CN2CCN(C(C)=O)CC2)CCO1 ZINC001179031275 1074182075 /nfs/dbraw/zinc/18/20/75/1074182075.db2.gz BEIRLPYDPXYGEW-SFHVURJKSA-N 0 0 444.492 -0.030 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)CN4CCN(C(C)=O)CC4)C3)C2=O)cc1 ZINC001179031336 1074182046 /nfs/dbraw/zinc/18/20/46/1074182046.db2.gz CQUNCNSZZMJFIW-JOCHJYFZSA-N 0 0 443.504 -0.118 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)CN4CCN(C(C)=O)CC4)C3)C2=O)cc1 ZINC001179031337 1074182071 /nfs/dbraw/zinc/18/20/71/1074182071.db2.gz CQUNCNSZZMJFIW-QFIPXVFZSA-N 0 0 443.504 -0.118 20 0 IBADRN COc1nccn(C(=O)CN2CCN(C(C)=O)CC2)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001179031352 1074182061 /nfs/dbraw/zinc/18/20/61/1074182061.db2.gz DONWXFQPWZXVLQ-UHFFFAOYSA-N 0 0 448.505 -0.432 20 0 IBADRN CC(=O)N1CCN(CC(=O)N2C[C@@H](NC(=O)c3cccnc3)[C@H]3OCCC[C@H]3C2)CC1 ZINC001179031562 1074182098 /nfs/dbraw/zinc/18/20/98/1074182098.db2.gz YQKLELZSCQIGOX-ZVDOUQERSA-N 0 0 429.521 -0.019 20 0 IBADRN COc1ccc(N(C(=O)CN2CCN(C(C)=O)CC2)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001179031805 1074182139 /nfs/dbraw/zinc/18/21/39/1074182139.db2.gz RILCHMJKIMTSRI-UHFFFAOYSA-N 0 0 448.505 -0.047 20 0 IBADRN CC(=O)N1CCN(CC(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)CC1 ZINC001179033681 1074182032 /nfs/dbraw/zinc/18/20/32/1074182032.db2.gz HIOUSOPAAKJJGP-UHFFFAOYSA-N 0 0 430.553 -0.001 20 0 IBADRN CC(=O)N1CCN(CC(=O)N[C@H]2CCc3c(ccc(=O)n3CCn3cccn3)C2)CC1 ZINC001179033991 1074182095 /nfs/dbraw/zinc/18/20/95/1074182095.db2.gz OVSRMXHGRPEOIL-IBGZPJMESA-N 0 0 426.521 -0.117 20 0 IBADRN CC(=O)N1CCN(CC(=O)N[C@@H]2CCc3c(ccc(=O)n3CCn3cccn3)C2)CC1 ZINC001179033992 1074182089 /nfs/dbraw/zinc/18/20/89/1074182089.db2.gz OVSRMXHGRPEOIL-LJQANCHMSA-N 0 0 426.521 -0.117 20 0 IBADRN CC(=O)N1CCN(CC(=O)N[C@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)C(C)C)CC1 ZINC001179035353 1074182658 /nfs/dbraw/zinc/18/26/58/1074182658.db2.gz CFRQJRBVHLLHST-QUCCMNQESA-N 0 0 447.536 -0.790 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@@]1(C)CCN(C(=O)OC(C)(C)C)C[C@@H]1O)C2 ZINC001179053444 1074181213 /nfs/dbraw/zinc/18/12/13/1074181213.db2.gz DTBGFBGDSLGQNH-JHVJFLLYSA-N 0 0 438.525 -0.056 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@]1(C)CCN(C(=O)OC(C)(C)C)C[C@H]1O)C2 ZINC001179053445 1074181285 /nfs/dbraw/zinc/18/12/85/1074181285.db2.gz DTBGFBGDSLGQNH-LPTQZCDUSA-N 0 0 438.525 -0.056 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@@]1(C)CCN(C(=O)OC(C)(C)C)C[C@H]1O)C2 ZINC001179053446 1074181303 /nfs/dbraw/zinc/18/13/03/1074181303.db2.gz DTBGFBGDSLGQNH-NQERJWCQSA-N 0 0 438.525 -0.056 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@]1(C)CCN(C(=O)OC(C)(C)C)C[C@@H]1O)C2 ZINC001179053447 1074181276 /nfs/dbraw/zinc/18/12/76/1074181276.db2.gz DTBGFBGDSLGQNH-RVHYNSKXSA-N 0 0 438.525 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](O)(CNC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C1 ZINC001179082829 1074182612 /nfs/dbraw/zinc/18/26/12/1074182612.db2.gz QQRWHFHXTMAAGX-GOSISDBHSA-N 0 0 426.495 -0.028 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CNC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C1 ZINC001179082830 1074182654 /nfs/dbraw/zinc/18/26/54/1074182654.db2.gz QQRWHFHXTMAAGX-SFHVURJKSA-N 0 0 426.495 -0.028 20 0 IBADRN C[C@@H]1CCCN(C(=O)OC(C)(C)C)[C@@H]1C(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001179105121 1074182540 /nfs/dbraw/zinc/18/25/40/1074182540.db2.gz ZDNJOKZXUOYEGN-QEGFHOJKSA-N 0 0 432.514 -0.018 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2CCC3(CN(C(=O)OC(C)(C)C)C3)O2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179143135 1074182580 /nfs/dbraw/zinc/18/25/80/1074182580.db2.gz SYGHLCUHJZMYAS-PYCOOKQFSA-N 0 0 446.497 -0.885 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2CCC3(CN(C(=O)OC(C)(C)C)C3)O2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179143136 1074182683 /nfs/dbraw/zinc/18/26/83/1074182683.db2.gz SYGHLCUHJZMYAS-SJVDBBHQSA-N 0 0 446.497 -0.885 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@@]13COC[C@@H]1CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179145092 1074182628 /nfs/dbraw/zinc/18/26/28/1074182628.db2.gz LONVDEWPLSGLMH-JHVJFLLYSA-N 0 0 436.509 -0.181 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@@]13COC[C@@H]1CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179145093 1074183019 /nfs/dbraw/zinc/18/30/19/1074183019.db2.gz LONVDEWPLSGLMH-RVHYNSKXSA-N 0 0 436.509 -0.181 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)Cc2c[nH]c3ncc(Br)cc23)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179174498 1074183807 /nfs/dbraw/zinc/18/38/07/1074183807.db2.gz HBNJXGCCEAJLNH-JBLBBTSHSA-N 0 0 444.282 -0.172 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001179212878 1074183786 /nfs/dbraw/zinc/18/37/86/1074183786.db2.gz OGYUTTFWHVLQLO-GDBMZVCRSA-N 0 0 436.509 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001179212879 1074183895 /nfs/dbraw/zinc/18/38/95/1074183895.db2.gz OGYUTTFWHVLQLO-GOEBONIOSA-N 0 0 436.509 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001179212880 1074183772 /nfs/dbraw/zinc/18/37/72/1074183772.db2.gz OGYUTTFWHVLQLO-HOCLYGCPSA-N 0 0 436.509 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001179212881 1074183859 /nfs/dbraw/zinc/18/38/59/1074183859.db2.gz OGYUTTFWHVLQLO-ZBFHGGJFSA-N 0 0 436.509 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)Nc1cncc(S(N)(=O)=O)c1 ZINC001179213751 1074183869 /nfs/dbraw/zinc/18/38/69/1074183869.db2.gz CMAGATBAYFEIST-CYBMUJFWSA-N 0 0 425.467 -0.207 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)Nc1cncc(S(N)(=O)=O)c1 ZINC001179213754 1074183764 /nfs/dbraw/zinc/18/37/64/1074183764.db2.gz CMAGATBAYFEIST-ZDUSSCGKSA-N 0 0 425.467 -0.207 20 0 IBADRN CCOC(=O)c1nc2n(n1)CCN(C(=O)[C@@H]1NC(=O)CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179215036 1074183873 /nfs/dbraw/zinc/18/38/73/1074183873.db2.gz OQSHPVMYMVIQPX-AWEZNQCLSA-N 0 0 448.480 -0.077 20 0 IBADRN CCOC(=O)c1nc2n(n1)CCN(C(=O)[C@H]1NC(=O)CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179215037 1074183884 /nfs/dbraw/zinc/18/38/84/1074183884.db2.gz OQSHPVMYMVIQPX-CQSZACIVSA-N 0 0 448.480 -0.077 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)[C@@H]1NC(=O)CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179215131 1074183776 /nfs/dbraw/zinc/18/37/76/1074183776.db2.gz PPHWJSUZFYOOGV-INIZCTEOSA-N 0 0 447.496 -0.618 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)[C@H]1NC(=O)CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179215137 1074183792 /nfs/dbraw/zinc/18/37/92/1074183792.db2.gz PPHWJSUZFYOOGV-MRXNPFEDSA-N 0 0 447.496 -0.618 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H]2NC(=O)CC23CN(C(=O)OC(C)(C)C)C3)CCN1C(C)=O ZINC001179216489 1074183863 /nfs/dbraw/zinc/18/38/63/1074183863.db2.gz HFHWJPLXYULCPV-DZGCQCFKSA-N 0 0 438.481 -0.656 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2NC(=O)CC23CN(C(=O)OC(C)(C)C)C3)CCN1C(C)=O ZINC001179216490 1074183847 /nfs/dbraw/zinc/18/38/47/1074183847.db2.gz HFHWJPLXYULCPV-HIFRSBDPSA-N 0 0 438.481 -0.656 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H]2NC(=O)CC23CN(C(=O)OC(C)(C)C)C3)CCN1C(C)=O ZINC001179216491 1074183877 /nfs/dbraw/zinc/18/38/77/1074183877.db2.gz HFHWJPLXYULCPV-UKRRQHHQSA-N 0 0 438.481 -0.656 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2NC(=O)CC23CN(C(=O)OC(C)(C)C)C3)CCN1C(C)=O ZINC001179216492 1074184338 /nfs/dbraw/zinc/18/43/38/1074184338.db2.gz HFHWJPLXYULCPV-ZFWWWQNUSA-N 0 0 438.481 -0.656 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)N1CCN(c2ncc(O)cn2)CC1 ZINC001179217581 1074184353 /nfs/dbraw/zinc/18/43/53/1074184353.db2.gz UGACDCNXBTXCQG-HNNXBMFYSA-N 0 0 432.481 -0.044 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)N1CCN(c2ncc(O)cn2)CC1 ZINC001179217582 1074184388 /nfs/dbraw/zinc/18/43/88/1074184388.db2.gz UGACDCNXBTXCQG-OAHLLOKOSA-N 0 0 432.481 -0.044 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@@H]1NC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001179217583 1074184373 /nfs/dbraw/zinc/18/43/73/1074184373.db2.gz UHPLGTBUSQAMCW-DOMZBBRYSA-N 0 0 438.481 -0.608 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H]1NC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001179217584 1074184329 /nfs/dbraw/zinc/18/43/29/1074184329.db2.gz UHPLGTBUSQAMCW-IUODEOHRSA-N 0 0 438.481 -0.608 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H]1NC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001179217585 1074184425 /nfs/dbraw/zinc/18/44/25/1074184425.db2.gz UHPLGTBUSQAMCW-SWLSCSKDSA-N 0 0 438.481 -0.608 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@@H]1NC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001179217586 1074184407 /nfs/dbraw/zinc/18/44/07/1074184407.db2.gz UHPLGTBUSQAMCW-WFASDCNBSA-N 0 0 438.481 -0.608 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@@H]1NC(=O)CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179217597 1074184395 /nfs/dbraw/zinc/18/43/95/1074184395.db2.gz UQMIKCVNYUFSFH-HRAATJIYSA-N 0 0 449.508 -0.939 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@H]1NC(=O)CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179217598 1074184419 /nfs/dbraw/zinc/18/44/19/1074184419.db2.gz UQMIKCVNYUFSFH-IERDGZPVSA-N 0 0 449.508 -0.939 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@H]1NC(=O)CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179217599 1074184366 /nfs/dbraw/zinc/18/43/66/1074184366.db2.gz UQMIKCVNYUFSFH-IIBYNOLFSA-N 0 0 449.508 -0.939 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@@H]1NC(=O)CC13CN(C(=O)OC(C)(C)C)C3)C2 ZINC001179217600 1074184346 /nfs/dbraw/zinc/18/43/46/1074184346.db2.gz UQMIKCVNYUFSFH-KKSFZXQISA-N 0 0 449.508 -0.939 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)N1CCN(C2CCOCC2)C(=O)C1 ZINC001179217635 1074184312 /nfs/dbraw/zinc/18/43/12/1074184312.db2.gz VFLPUCJSETWSEI-KRWDZBQOSA-N 0 0 436.509 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)N1CCN(C2CCOCC2)C(=O)C1 ZINC001179217636 1074184411 /nfs/dbraw/zinc/18/44/11/1074184411.db2.gz VFLPUCJSETWSEI-QGZVFWFLSA-N 0 0 436.509 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC001179217815 1074184360 /nfs/dbraw/zinc/18/43/60/1074184360.db2.gz YQJVSTXUOYVVSC-GOSISDBHSA-N 0 0 449.552 -0.121 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC001179217816 1074184296 /nfs/dbraw/zinc/18/42/96/1074184296.db2.gz YQJVSTXUOYVVSC-SFHVURJKSA-N 0 0 449.552 -0.121 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@@H]2NC(=O)CC23CN(C(=O)OC(C)(C)C)C3)CC1 ZINC001179217855 1074184401 /nfs/dbraw/zinc/18/44/01/1074184401.db2.gz ZKXFLEDBKZHMPL-KRWDZBQOSA-N 0 0 437.541 -0.219 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H]2NC(=O)CC23CN(C(=O)OC(C)(C)C)C3)CC1 ZINC001179217856 1074184781 /nfs/dbraw/zinc/18/47/81/1074184781.db2.gz ZKXFLEDBKZHMPL-QGZVFWFLSA-N 0 0 437.541 -0.219 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)Nc1cc(S(N)(=O)=O)ccc1N ZINC001179218397 1074184830 /nfs/dbraw/zinc/18/48/30/1074184830.db2.gz MWSMJDPAMNCPBQ-AWEZNQCLSA-N 0 0 439.494 -0.020 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)Nc1cc(S(N)(=O)=O)ccc1N ZINC001179218398 1074184807 /nfs/dbraw/zinc/18/48/07/1074184807.db2.gz MWSMJDPAMNCPBQ-CQSZACIVSA-N 0 0 439.494 -0.020 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H]2CC[C@@H](O)[C@@H](O)C2)c1OC ZINC001179221105 1074184818 /nfs/dbraw/zinc/18/48/18/1074184818.db2.gz HRZAEAGHFDPBDW-MAZHCROVSA-N 0 0 444.506 -0.271 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2CC[C@@H](O)[C@@H](O)C2)c1OC ZINC001179221106 1074184922 /nfs/dbraw/zinc/18/49/22/1074184922.db2.gz HRZAEAGHFDPBDW-WQVCFCJDSA-N 0 0 444.506 -0.271 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001179221153 1074184894 /nfs/dbraw/zinc/18/48/94/1074184894.db2.gz JABMHKQIDDIFIR-OIISXLGYSA-N 0 0 427.523 -0.163 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001179221154 1074184797 /nfs/dbraw/zinc/18/47/97/1074184797.db2.gz JABMHKQIDDIFIR-UAGQMJEPSA-N 0 0 427.523 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001179224435 1074184900 /nfs/dbraw/zinc/18/49/00/1074184900.db2.gz JVTXKVMQVFQUPZ-HNNXBMFYSA-N 0 0 444.554 -0.651 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001179224436 1074184841 /nfs/dbraw/zinc/18/48/41/1074184841.db2.gz JVTXKVMQVFQUPZ-OAHLLOKOSA-N 0 0 444.554 -0.651 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC001179224576 1074184791 /nfs/dbraw/zinc/18/47/91/1074184791.db2.gz KNFRHXDZYZOIBX-HNNXBMFYSA-N 0 0 444.554 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC001179224577 1074184906 /nfs/dbraw/zinc/18/49/06/1074184906.db2.gz KNFRHXDZYZOIBX-OAHLLOKOSA-N 0 0 444.554 -0.100 20 0 IBADRN COC(=O)[C@H](Cc1cncn1C)NC(=O)[C@H]1NC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001179225108 1074184864 /nfs/dbraw/zinc/18/48/64/1074184864.db2.gz UIWVUYMKABOIGF-DZGCQCFKSA-N 0 0 435.481 -0.254 20 0 IBADRN COC(=O)[C@@H](Cc1cncn1C)NC(=O)[C@@H]1NC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001179225109 1074184945 /nfs/dbraw/zinc/18/49/45/1074184945.db2.gz UIWVUYMKABOIGF-HIFRSBDPSA-N 0 0 435.481 -0.254 20 0 IBADRN COC(=O)[C@@H](Cc1cncn1C)NC(=O)[C@H]1NC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001179225110 1074184833 /nfs/dbraw/zinc/18/48/33/1074184833.db2.gz UIWVUYMKABOIGF-UKRRQHHQSA-N 0 0 435.481 -0.254 20 0 IBADRN COC(=O)[C@H](Cc1cncn1C)NC(=O)[C@@H]1NC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001179225111 1074185645 /nfs/dbraw/zinc/18/56/45/1074185645.db2.gz UIWVUYMKABOIGF-ZFWWWQNUSA-N 0 0 435.481 -0.254 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@H]2C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001179225146 1074185609 /nfs/dbraw/zinc/18/56/09/1074185609.db2.gz UWAUFWBDVDBUKW-INIZCTEOSA-N 0 0 436.465 -0.830 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)N[C@@H]2C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001179225147 1074185423 /nfs/dbraw/zinc/18/54/23/1074185423.db2.gz UWAUFWBDVDBUKW-MRXNPFEDSA-N 0 0 436.465 -0.830 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)C1=NO[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]13)C2 ZINC001179226701 1074183828 /nfs/dbraw/zinc/18/38/28/1074183828.db2.gz YDWVNMSZJHATPZ-QWHCGFSZSA-N 0 0 433.469 -0.122 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)C1=NO[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]13)C2 ZINC001179227454 1074185677 /nfs/dbraw/zinc/18/56/77/1074185677.db2.gz HOJWSNDJZWPPAJ-LRDNONRASA-N 0 0 435.481 -0.443 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)C1=NO[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]13)C2 ZINC001179227455 1074185437 /nfs/dbraw/zinc/18/54/37/1074185437.db2.gz HOJWSNDJZWPPAJ-MNVSYLFESA-N 0 0 435.481 -0.443 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2C(C(=O)NCCCN3CCS(=O)(=O)CC3)=NO[C@@H]2C1 ZINC001179234267 1074185547 /nfs/dbraw/zinc/18/55/47/1074185547.db2.gz ZDHWIZFHDJSDAC-UONOGXRCSA-N 0 0 430.527 -0.155 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)CNS(=O)(=O)c3ccc(Cl)s3)C2)[nH]c1=O ZINC001179235782 1074185403 /nfs/dbraw/zinc/18/54/03/1074185403.db2.gz BYHARTSDKHUPFK-SECBINFHSA-N 0 0 447.926 -0.126 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CNS(=O)(=O)c3ccc(Cl)s3)C2)[nH]c1=O ZINC001179235783 1074185585 /nfs/dbraw/zinc/18/55/85/1074185585.db2.gz BYHARTSDKHUPFK-VIFPVBQESA-N 0 0 447.926 -0.126 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N[C@@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001179236097 1074185467 /nfs/dbraw/zinc/18/54/67/1074185467.db2.gz IOTOYFJOFJNZCU-NEPJUHHUSA-N 0 0 433.556 -0.758 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N[C@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001179236101 1074185480 /nfs/dbraw/zinc/18/54/80/1074185480.db2.gz IOTOYFJOFJNZCU-NWDGAFQWSA-N 0 0 433.556 -0.758 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N[C@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001179236102 1074185576 /nfs/dbraw/zinc/18/55/76/1074185576.db2.gz IOTOYFJOFJNZCU-RYUDHWBXSA-N 0 0 433.556 -0.758 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N[C@@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001179236103 1074185667 /nfs/dbraw/zinc/18/56/67/1074185667.db2.gz IOTOYFJOFJNZCU-VXGBXAGGSA-N 0 0 433.556 -0.758 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)N4CCCC4)o3)C2)[nH]c1=O ZINC001179236160 1074185487 /nfs/dbraw/zinc/18/54/87/1074185487.db2.gz JFEWASVCWSSCAF-GFCCVEGCSA-N 0 0 437.478 -0.128 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)N4CCCC4)o3)C2)[nH]c1=O ZINC001179236162 1074185471 /nfs/dbraw/zinc/18/54/71/1074185471.db2.gz JFEWASVCWSSCAF-LBPRGKRZSA-N 0 0 437.478 -0.128 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)CCNS(=O)(=O)c3ccc(F)cc3)C2)[nH]c1=O ZINC001179236632 1074185536 /nfs/dbraw/zinc/18/55/36/1074185536.db2.gz OFMLTFVYXRXXPJ-CYBMUJFWSA-N 0 0 439.469 -0.312 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CCNS(=O)(=O)c3ccc(F)cc3)C2)[nH]c1=O ZINC001179236633 1074185445 /nfs/dbraw/zinc/18/54/45/1074185445.db2.gz OFMLTFVYXRXXPJ-ZDUSSCGKSA-N 0 0 439.469 -0.312 20 0 IBADRN Cn1ncc(I)c1C(=O)N[C@@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001179237054 1074186150 /nfs/dbraw/zinc/18/61/50/1074186150.db2.gz TVBMEVYIRYKZOM-MRVPVSSYSA-N 0 0 444.233 -0.167 20 0 IBADRN Cn1ncc(I)c1C(=O)N[C@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001179237056 1074186138 /nfs/dbraw/zinc/18/61/38/1074186138.db2.gz TVBMEVYIRYKZOM-QMMMGPOBSA-N 0 0 444.233 -0.167 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001179237081 1074186053 /nfs/dbraw/zinc/18/60/53/1074186053.db2.gz UCHHKGHUMIGGSC-NWDGAFQWSA-N 0 0 439.469 -0.313 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1F)C(=O)N[C@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001179237084 1074186042 /nfs/dbraw/zinc/18/60/42/1074186042.db2.gz UCHHKGHUMIGGSC-RYUDHWBXSA-N 0 0 439.469 -0.313 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)c1 ZINC001179237286 1074186021 /nfs/dbraw/zinc/18/60/21/1074186021.db2.gz WGAFNRDPBYZERG-LLVKDONJSA-N 0 0 439.469 -0.068 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)c1 ZINC001179237289 1074186104 /nfs/dbraw/zinc/18/61/04/1074186104.db2.gz WGAFNRDPBYZERG-NSHDSACASA-N 0 0 439.469 -0.068 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC[C@H](C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)C2)CC1 ZINC001179241982 1074186047 /nfs/dbraw/zinc/18/60/47/1074186047.db2.gz VHLXMSBRCFNCNA-AIVZJVSBSA-N 0 0 444.525 -0.280 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC[C@H](C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)C2)CC1 ZINC001179241983 1074186030 /nfs/dbraw/zinc/18/60/30/1074186030.db2.gz VHLXMSBRCFNCNA-DGYIVEFISA-N 0 0 444.525 -0.280 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC[C@@H](C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)C2)CC1 ZINC001179241984 1074186112 /nfs/dbraw/zinc/18/61/12/1074186112.db2.gz VHLXMSBRCFNCNA-KKHNULJSSA-N 0 0 444.525 -0.280 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC[C@@H](C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)C2)CC1 ZINC001179241985 1074186092 /nfs/dbraw/zinc/18/60/92/1074186092.db2.gz VHLXMSBRCFNCNA-KRIAZEKOSA-N 0 0 444.525 -0.280 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)c3c[nH]nc3I)C2)[nH]c1=O ZINC001179242182 1074186014 /nfs/dbraw/zinc/18/60/14/1074186014.db2.gz AUJLHJHHFQPDHF-SSDOTTSWSA-N 0 0 430.206 -0.178 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)c3c[nH]nc3I)C2)[nH]c1=O ZINC001179242183 1074186025 /nfs/dbraw/zinc/18/60/25/1074186025.db2.gz AUJLHJHHFQPDHF-ZETCQYMHSA-N 0 0 430.206 -0.178 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCCc3ncn(C)c3Br)[C@@H](O)C(=O)N2C)cn1 ZINC001179252045 1074186072 /nfs/dbraw/zinc/18/60/72/1074186072.db2.gz CYLGHPOSFVUVJL-JHJVBQTASA-N 0 0 425.287 -0.235 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@]23CC[C@H]2CN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179288878 1074186027 /nfs/dbraw/zinc/18/60/27/1074186027.db2.gz RSFSUTWMVUASFE-CEOCTAEDSA-N 0 0 430.498 -0.406 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@]23CC[C@@H]2CN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179288879 1074186097 /nfs/dbraw/zinc/18/60/97/1074186097.db2.gz RSFSUTWMVUASFE-MIFPTRKOSA-N 0 0 430.498 -0.406 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@]23CC[C@H]2CN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179288880 1074186132 /nfs/dbraw/zinc/18/61/32/1074186132.db2.gz RSFSUTWMVUASFE-MXPNSFMCSA-N 0 0 430.498 -0.406 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@]23CC[C@@H]2CN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179288881 1074186017 /nfs/dbraw/zinc/18/60/17/1074186017.db2.gz RSFSUTWMVUASFE-WFQTVYILSA-N 0 0 430.498 -0.406 20 0 IBADRN O=C([C@H]1CC12CCOCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001179311051 1074186058 /nfs/dbraw/zinc/18/60/58/1074186058.db2.gz DQQFXGBTHWPCAJ-QBPKDAKJSA-N 0 0 426.535 -0.076 20 0 IBADRN O=C([C@@H]1CC12CCOCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001179311052 1074186086 /nfs/dbraw/zinc/18/60/86/1074186086.db2.gz DQQFXGBTHWPCAJ-VVLHAWIVSA-N 0 0 426.535 -0.076 20 0 IBADRN O=C([C@@H]1CC12CCOCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001179311053 1074186724 /nfs/dbraw/zinc/18/67/24/1074186724.db2.gz DQQFXGBTHWPCAJ-WCXIOVBPSA-N 0 0 426.535 -0.076 20 0 IBADRN O=C([C@H]1CC12CCOCC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001179311054 1074186759 /nfs/dbraw/zinc/18/67/59/1074186759.db2.gz DQQFXGBTHWPCAJ-YLFCFFPRSA-N 0 0 426.535 -0.076 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCS(=O)(=O)N2CCCC2)c1 ZINC001179313488 1074187273 /nfs/dbraw/zinc/18/72/73/1074187273.db2.gz ANIAOKFMNSJSCQ-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001179313683 1074187306 /nfs/dbraw/zinc/18/73/06/1074187306.db2.gz CSCALJXXGLHJCT-HNNXBMFYSA-N 0 0 428.486 -0.730 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001179313698 1074187258 /nfs/dbraw/zinc/18/72/58/1074187258.db2.gz CSCALJXXGLHJCT-OAHLLOKOSA-N 0 0 428.486 -0.730 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001179313954 1074187230 /nfs/dbraw/zinc/18/72/30/1074187230.db2.gz FXOCUPFXECCNPW-UHFFFAOYSA-N 0 0 436.581 -0.092 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001179313963 1074187222 /nfs/dbraw/zinc/18/72/22/1074187222.db2.gz GDWYRHGCBKSVRY-ARFHVFGLSA-N 0 0 449.595 -0.362 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001179313964 1074187333 /nfs/dbraw/zinc/18/73/33/1074187333.db2.gz GDWYRHGCBKSVRY-BZUAXINKSA-N 0 0 449.595 -0.362 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001179313965 1074187252 /nfs/dbraw/zinc/18/72/52/1074187252.db2.gz GDWYRHGCBKSVRY-OAGGEKHMSA-N 0 0 449.595 -0.362 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001179313966 1074187237 /nfs/dbraw/zinc/18/72/37/1074187237.db2.gz GDWYRHGCBKSVRY-XHSDSOJGSA-N 0 0 449.595 -0.362 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001179315341 1074187293 /nfs/dbraw/zinc/18/72/93/1074187293.db2.gz UEHUHFQDMBQFBC-AWEZNQCLSA-N 0 0 430.914 -0.144 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001179315345 1074187303 /nfs/dbraw/zinc/18/73/03/1074187303.db2.gz UEHUHFQDMBQFBC-CQSZACIVSA-N 0 0 430.914 -0.144 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2CC23CCOCC3)[C@H]1O ZINC001179317107 1074187339 /nfs/dbraw/zinc/18/73/39/1074187339.db2.gz JGRBJVBTCSTVIE-XDJZACDYSA-N 0 0 432.481 -0.556 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2CC23CCOCC3)[C@H]1O ZINC001179317111 1074187324 /nfs/dbraw/zinc/18/73/24/1074187324.db2.gz JGRBJVBTCSTVIE-YCOMJZELSA-N 0 0 432.481 -0.556 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001179323795 1074187313 /nfs/dbraw/zinc/18/73/13/1074187313.db2.gz LIRYJFIIRAAOEY-BRWVUGGUSA-N 0 0 440.541 -0.082 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001179323797 1074187172 /nfs/dbraw/zinc/18/71/72/1074187172.db2.gz LIRYJFIIRAAOEY-GVDBMIGSSA-N 0 0 440.541 -0.082 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)c1cnn2c1S(=O)(=O)N(C)CC2 ZINC001179330050 1074187765 /nfs/dbraw/zinc/18/77/65/1074187765.db2.gz OATSIPUWERLJNY-CHWSQXEVSA-N 0 0 429.499 -0.119 20 0 IBADRN CN1C[C@@H]2CN(C(=O)[C@H]3CCS(=O)(=O)C34CN(C(=O)OC(C)(C)C)C4)C[C@@H]2C1=O ZINC001179355804 1074186590 /nfs/dbraw/zinc/18/65/90/1074186590.db2.gz GCRABPLPGKXKGZ-HZSPNIEDSA-N 0 0 427.523 -0.043 20 0 IBADRN CN1C[C@@H]2CN(C(=O)[C@@H]3CCS(=O)(=O)C34CN(C(=O)OC(C)(C)C)C4)C[C@@H]2C1=O ZINC001179355809 1074186766 /nfs/dbraw/zinc/18/67/66/1074186766.db2.gz GCRABPLPGKXKGZ-RDBSUJKOSA-N 0 0 427.523 -0.043 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)NCCC(=O)N1CCOCC1)CCS2(=O)=O ZINC001179356688 1074186601 /nfs/dbraw/zinc/18/66/01/1074186601.db2.gz VIQMHHAQNBENGD-AWEZNQCLSA-N 0 0 445.538 -0.224 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)NCCC(=O)N1CCOCC1)CCS2(=O)=O ZINC001179356707 1074186750 /nfs/dbraw/zinc/18/67/50/1074186750.db2.gz VIQMHHAQNBENGD-CQSZACIVSA-N 0 0 445.538 -0.224 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)Nc1nccnc1C(N)=O)CCS2(=O)=O ZINC001179357324 1074186690 /nfs/dbraw/zinc/18/66/90/1074186690.db2.gz QOXVLHUMUXFQRB-JTQLQIEISA-N 0 0 425.467 -0.062 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)Nc1nccnc1C(N)=O)CCS2(=O)=O ZINC001179357325 1074186729 /nfs/dbraw/zinc/18/67/29/1074186729.db2.gz QOXVLHUMUXFQRB-SNVBAGLBSA-N 0 0 425.467 -0.062 20 0 IBADRN CN1CCO[C@H]2CN(C(=O)[C@H]3CCS(=O)(=O)C34CN(C(=O)OC(C)(C)C)C4)C[C@H]21 ZINC001179357690 1074186739 /nfs/dbraw/zinc/18/67/39/1074186739.db2.gz VYCOGQHUNBIGGP-KFWWJZLASA-N 0 0 429.539 -0.048 20 0 IBADRN CN1CCO[C@H]2CN(C(=O)[C@@H]3CCS(=O)(=O)C34CN(C(=O)OC(C)(C)C)C4)C[C@H]21 ZINC001179357692 1074186651 /nfs/dbraw/zinc/18/66/51/1074186651.db2.gz VYCOGQHUNBIGGP-ZNMIVQPWSA-N 0 0 429.539 -0.048 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)Nc1c(N)[nH]c(=O)[nH]c1=O)CCS2(=O)=O ZINC001179357890 1074187189 /nfs/dbraw/zinc/18/71/89/1074187189.db2.gz XRKXWPXIKOKMCJ-MRVPVSSYSA-N 0 0 429.455 -0.167 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)Nc1c(N)[nH]c(=O)[nH]c1=O)CCS2(=O)=O ZINC001179357895 1074187214 /nfs/dbraw/zinc/18/72/14/1074187214.db2.gz XRKXWPXIKOKMCJ-QMMMGPOBSA-N 0 0 429.455 -0.167 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)N1CC(=O)N(C3CC3)C(=O)C1)CCS2(=O)=O ZINC001179357980 1074187208 /nfs/dbraw/zinc/18/72/08/1074187208.db2.gz ZFVBNVJVGCCDKH-CYBMUJFWSA-N 0 0 441.506 -0.230 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)N1CC(=O)N(C3CC3)C(=O)C1)CCS2(=O)=O ZINC001179357981 1074187234 /nfs/dbraw/zinc/18/72/34/1074187234.db2.gz ZFVBNVJVGCCDKH-ZDUSSCGKSA-N 0 0 441.506 -0.230 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)N1CC(NS(C)(=O)=O)C1)CCS2(=O)=O ZINC001179358535 1074187270 /nfs/dbraw/zinc/18/72/70/1074187270.db2.gz FLVBMPWFTZXRJQ-GFCCVEGCSA-N 0 0 437.540 -0.829 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)N1CC(NS(C)(=O)=O)C1)CCS2(=O)=O ZINC001179358542 1074187243 /nfs/dbraw/zinc/18/72/43/1074187243.db2.gz FLVBMPWFTZXRJQ-LBPRGKRZSA-N 0 0 437.540 -0.829 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)CCN1C ZINC001179359283 1074187859 /nfs/dbraw/zinc/18/78/59/1074187859.db2.gz MJWILXMHKNXAIC-KBPBESRZSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)CCN1C ZINC001179359284 1074187725 /nfs/dbraw/zinc/18/77/25/1074187725.db2.gz MJWILXMHKNXAIC-KGLIPLIRSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)CCN1C ZINC001179359285 1074187867 /nfs/dbraw/zinc/18/78/67/1074187867.db2.gz MJWILXMHKNXAIC-UONOGXRCSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)CCN1C ZINC001179359287 1074187717 /nfs/dbraw/zinc/18/77/17/1074187717.db2.gz MJWILXMHKNXAIC-ZIAGYGMSSA-N 0 0 445.538 -0.274 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)N1CCN3C(=O)COC[C@@H]3C1)CCS2(=O)=O ZINC001179359636 1074187801 /nfs/dbraw/zinc/18/78/01/1074187801.db2.gz OWHKVGXQCBKFHH-KBPBESRZSA-N 0 0 443.522 -0.520 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)N1CCN3C(=O)COC[C@H]3C1)CCS2(=O)=O ZINC001179359637 1074187791 /nfs/dbraw/zinc/18/77/91/1074187791.db2.gz OWHKVGXQCBKFHH-KGLIPLIRSA-N 0 0 443.522 -0.520 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)N1CCN3C(=O)COC[C@@H]3C1)CCS2(=O)=O ZINC001179359638 1074187755 /nfs/dbraw/zinc/18/77/55/1074187755.db2.gz OWHKVGXQCBKFHH-UONOGXRCSA-N 0 0 443.522 -0.520 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)N1CCN3C(=O)COC[C@H]3C1)CCS2(=O)=O ZINC001179359639 1074187731 /nfs/dbraw/zinc/18/77/31/1074187731.db2.gz OWHKVGXQCBKFHH-ZIAGYGMSSA-N 0 0 443.522 -0.520 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN1C(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001179360250 1074187776 /nfs/dbraw/zinc/18/77/76/1074187776.db2.gz SKVYNMIXRYBQDZ-KBPBESRZSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN1C(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001179360251 1074187804 /nfs/dbraw/zinc/18/78/04/1074187804.db2.gz SKVYNMIXRYBQDZ-KGLIPLIRSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN1C(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001179360252 1074187853 /nfs/dbraw/zinc/18/78/53/1074187853.db2.gz SKVYNMIXRYBQDZ-UONOGXRCSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN1C(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001179360254 1074187795 /nfs/dbraw/zinc/18/77/95/1074187795.db2.gz SKVYNMIXRYBQDZ-ZIAGYGMSSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)CC1 ZINC001179360656 1074187786 /nfs/dbraw/zinc/18/77/86/1074187786.db2.gz VHPMTQWUHAHOFX-AWEZNQCLSA-N 0 0 445.538 -0.272 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)CC1 ZINC001179360659 1074187706 /nfs/dbraw/zinc/18/77/06/1074187706.db2.gz VHPMTQWUHAHOFX-CQSZACIVSA-N 0 0 445.538 -0.272 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)N1CC(N3CCNC(=O)C3)C1)CCS2(=O)=O ZINC001179360995 1074187798 /nfs/dbraw/zinc/18/77/98/1074187798.db2.gz YEDADTVSZCSSOJ-AWEZNQCLSA-N 0 0 442.538 -0.947 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)N1CC(N3CCNC(=O)C3)C1)CCS2(=O)=O ZINC001179360999 1074187688 /nfs/dbraw/zinc/18/76/88/1074187688.db2.gz YEDADTVSZCSSOJ-CQSZACIVSA-N 0 0 442.538 -0.947 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2)C(N)=O ZINC001179361937 1074188310 /nfs/dbraw/zinc/18/83/10/1074188310.db2.gz CGKSSAZQCQRTQS-NEPJUHHUSA-N 0 0 447.510 -0.666 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2)C(N)=O ZINC001179361945 1074188348 /nfs/dbraw/zinc/18/83/48/1074188348.db2.gz CGKSSAZQCQRTQS-RYUDHWBXSA-N 0 0 447.510 -0.666 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001179362217 1074188332 /nfs/dbraw/zinc/18/83/32/1074188332.db2.gz CMFNVASEXWADSW-LLVKDONJSA-N 0 0 425.529 -0.924 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001179362221 1074188341 /nfs/dbraw/zinc/18/83/41/1074188341.db2.gz CMFNVASEXWADSW-NSHDSACASA-N 0 0 425.529 -0.924 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)NC1CN(S(C)(=O)=O)C1)CCS2(=O)=O ZINC001179362889 1074188371 /nfs/dbraw/zinc/18/83/71/1074188371.db2.gz QIJQNLOBWNZYQX-GFCCVEGCSA-N 0 0 437.540 -0.829 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)NC1CN(S(C)(=O)=O)C1)CCS2(=O)=O ZINC001179362890 1074188313 /nfs/dbraw/zinc/18/83/13/1074188313.db2.gz QIJQNLOBWNZYQX-LBPRGKRZSA-N 0 0 437.540 -0.829 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001179363407 1074188292 /nfs/dbraw/zinc/18/82/92/1074188292.db2.gz JICKSGGUYHPGIU-GFCCVEGCSA-N 0 0 439.556 -0.582 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001179363408 1074188277 /nfs/dbraw/zinc/18/82/77/1074188277.db2.gz JICKSGGUYHPGIU-LBPRGKRZSA-N 0 0 439.556 -0.582 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)N[C@@H](CO)Cc1c[nH]cn1)CCS2(=O)=O ZINC001179363998 1074188355 /nfs/dbraw/zinc/18/83/55/1074188355.db2.gz SZEAFHRPWSLWQZ-KGLIPLIRSA-N 0 0 428.511 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)N[C@@H](CO)Cc1c[nH]cn1)CCS2(=O)=O ZINC001179364004 1074188296 /nfs/dbraw/zinc/18/82/96/1074188296.db2.gz SZEAFHRPWSLWQZ-ZIAGYGMSSA-N 0 0 428.511 -0.147 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](C(=O)NCCN1CC3(COC3)C1)CCS2(=O)=O ZINC001179364051 1074188320 /nfs/dbraw/zinc/18/83/20/1074188320.db2.gz UNQPTZAMDWWMJC-AWEZNQCLSA-N 0 0 429.539 -0.141 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](C(=O)NCCN1CC3(COC3)C1)CCS2(=O)=O ZINC001179364052 1074188343 /nfs/dbraw/zinc/18/83/43/1074188343.db2.gz UNQPTZAMDWWMJC-CQSZACIVSA-N 0 0 429.539 -0.141 20 0 IBADRN Cn1c(=O)cc(NC(=O)[C@@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)[nH]c1=O ZINC001179364251 1074188338 /nfs/dbraw/zinc/18/83/38/1074188338.db2.gz WSLADYVOKMFERV-JTQLQIEISA-N 0 0 428.467 -0.151 20 0 IBADRN Cn1c(=O)cc(NC(=O)[C@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)[nH]c1=O ZINC001179364253 1074188859 /nfs/dbraw/zinc/18/88/59/1074188859.db2.gz WSLADYVOKMFERV-SNVBAGLBSA-N 0 0 428.467 -0.151 20 0 IBADRN CN1CCC(CO)(NC(=O)[C@@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)CC1 ZINC001179365603 1074188991 /nfs/dbraw/zinc/18/89/91/1074188991.db2.gz PGSAYLZJNOMDMZ-AWEZNQCLSA-N 0 0 431.555 -0.017 20 0 IBADRN CN1CCC(CO)(NC(=O)[C@H]2CCS(=O)(=O)C23CN(C(=O)OC(C)(C)C)C3)CC1 ZINC001179365604 1074188809 /nfs/dbraw/zinc/18/88/09/1074188809.db2.gz PGSAYLZJNOMDMZ-CQSZACIVSA-N 0 0 431.555 -0.017 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@H]1CO[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]13)C2 ZINC001179368295 1074188916 /nfs/dbraw/zinc/18/89/16/1074188916.db2.gz HPDKDBNBZICKCP-DXEZIKHYSA-N 0 0 436.509 -0.182 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@H]1CO[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]13)C2 ZINC001179368297 1074188767 /nfs/dbraw/zinc/18/87/67/1074188767.db2.gz HPDKDBNBZICKCP-KQDGPHEJSA-N 0 0 436.509 -0.182 20 0 IBADRN Cn1nccc1[C@@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CCO1 ZINC001179371930 1074188782 /nfs/dbraw/zinc/18/87/82/1074188782.db2.gz QPLAHLFFNXMBQQ-INIZCTEOSA-N 0 0 442.519 -0.298 20 0 IBADRN Cn1nccc1[C@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CCO1 ZINC001179371931 1074188846 /nfs/dbraw/zinc/18/88/46/1074188846.db2.gz QPLAHLFFNXMBQQ-MRXNPFEDSA-N 0 0 442.519 -0.298 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](c3ccnn3C)C2)s1 ZINC001179371938 1074188969 /nfs/dbraw/zinc/18/89/69/1074188969.db2.gz QQVAGIIYYRTCCN-HNNXBMFYSA-N 0 0 441.535 -0.004 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](c3ccnn3C)C2)s1 ZINC001179371939 1074188974 /nfs/dbraw/zinc/18/89/74/1074188974.db2.gz QQVAGIIYYRTCCN-OAHLLOKOSA-N 0 0 441.535 -0.004 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(CN2CCNC2=O)CC1 ZINC001179393666 1074188980 /nfs/dbraw/zinc/18/89/80/1074188980.db2.gz FREYGYDWJYHGBH-UHFFFAOYSA-N 0 0 449.533 -0.316 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCO[C@H](CS(C)(=O)=O)C2)c1 ZINC001179404182 1074187846 /nfs/dbraw/zinc/18/78/46/1074187846.db2.gz AYXPPJACCRTTNU-AWEZNQCLSA-N 0 0 434.536 -0.195 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)c1 ZINC001179404183 1074187743 /nfs/dbraw/zinc/18/77/43/1074187743.db2.gz AYXPPJACCRTTNU-CQSZACIVSA-N 0 0 434.536 -0.195 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CC[C@H](C1)C2CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001179406611 1074189881 /nfs/dbraw/zinc/18/98/81/1074189881.db2.gz IHOKASKLWLPRMR-BMVXGGRNSA-N 0 0 430.498 -0.814 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CC[C@H](C1)C2CC(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001179406612 1074189869 /nfs/dbraw/zinc/18/98/69/1074189869.db2.gz IHOKASKLWLPRMR-PSRCBTETSA-N 0 0 430.498 -0.814 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C[C@H]1CS(N)(=O)=O ZINC001179428642 1074188850 /nfs/dbraw/zinc/18/88/50/1074188850.db2.gz WBJKRKIVWRMWEC-OCCSQVGLSA-N 0 0 449.526 -0.157 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1=O ZINC001179432214 1074189010 /nfs/dbraw/zinc/18/90/10/1074189010.db2.gz WPTOXFCJZLOZOT-NQCMUKECSA-N 0 0 438.506 -0.155 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)C1=O ZINC001179432218 1074188833 /nfs/dbraw/zinc/18/88/33/1074188833.db2.gz WPTOXFCJZLOZOT-QHRIQVFBSA-N 0 0 438.506 -0.155 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3C[C@@]34C(=O)Nc3ccccc34)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001179433532 1074188945 /nfs/dbraw/zinc/18/89/45/1074188945.db2.gz LMMTVQLGPYPFRY-MCHXMWHTSA-N 0 0 426.429 -0.068 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@H]1C[C@]12c1ccccc1NC2=O ZINC001179434250 1074188888 /nfs/dbraw/zinc/18/88/88/1074188888.db2.gz WFWWYYILMQVSDF-SLSYIWNYSA-N 0 0 436.472 -0.177 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](C(=O)NC(CO)(CO)CO)[C@]2(C1)C(=O)Nc1ccccc12 ZINC001179446126 1074189335 /nfs/dbraw/zinc/18/93/35/1074189335.db2.gz ZQXIOYDKBAKLBN-LHSJRXKWSA-N 0 0 435.477 -0.425 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](C(=O)NC(CO)(CO)CO)[C@@]2(C1)C(=O)Nc1ccccc12 ZINC001179446127 1074189326 /nfs/dbraw/zinc/18/93/26/1074189326.db2.gz ZQXIOYDKBAKLBN-QKKBWIMNSA-N 0 0 435.477 -0.425 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](C(=O)NC(CO)(CO)CO)[C@]2(C1)C(=O)Nc1ccccc12 ZINC001179446128 1074189314 /nfs/dbraw/zinc/18/93/14/1074189314.db2.gz ZQXIOYDKBAKLBN-SPLOXXLWSA-N 0 0 435.477 -0.425 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](C(=O)NC(CO)(CO)CO)[C@@]2(C1)C(=O)Nc1ccccc12 ZINC001179446130 1074189330 /nfs/dbraw/zinc/18/93/30/1074189330.db2.gz ZQXIOYDKBAKLBN-SZNDQCEHSA-N 0 0 435.477 -0.425 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC(NC2COC2)C1 ZINC001179461986 1074190210 /nfs/dbraw/zinc/19/02/10/1074190210.db2.gz OATFWJUXHBWPCD-UHFFFAOYSA-N 0 0 438.550 -0.166 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]3C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179466488 1074190165 /nfs/dbraw/zinc/19/01/65/1074190165.db2.gz FIHOKFPGLZGJJM-OPVWORHCSA-N 0 0 444.525 -0.160 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCCC[C@H]1C(=O)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001179469506 1074190175 /nfs/dbraw/zinc/19/01/75/1074190175.db2.gz FJFHAFVDPNIDMO-DGADGQDISA-N 0 0 432.564 -0.401 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@@H]1C(=O)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001179469507 1074190193 /nfs/dbraw/zinc/19/01/93/1074190193.db2.gz FJFHAFVDPNIDMO-JKJDWNRSSA-N 0 0 432.564 -0.401 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCCC[C@@H]1C(=O)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001179469508 1074190134 /nfs/dbraw/zinc/19/01/34/1074190134.db2.gz FJFHAFVDPNIDMO-LEOABGAYSA-N 0 0 432.564 -0.401 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@H]1C(=O)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001179469509 1074190162 /nfs/dbraw/zinc/19/01/62/1074190162.db2.gz FJFHAFVDPNIDMO-YIDVYQOGSA-N 0 0 432.564 -0.401 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1 ZINC001179470626 1074190202 /nfs/dbraw/zinc/19/02/02/1074190202.db2.gz ZZESKUCUYNMKJE-BETUJISGSA-N 0 0 434.561 -0.051 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC2C[C@H]3CC[C@@H](C2)S3(=O)=O)s1 ZINC001179474510 1074190151 /nfs/dbraw/zinc/19/01/51/1074190151.db2.gz HBKHPEPVDHYDAT-QXMXGUDHSA-N 0 0 449.576 -0.113 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2C[C@]23CCN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179491457 1074190667 /nfs/dbraw/zinc/19/06/67/1074190667.db2.gz NJVDRHNXRMBFOO-FZEJNNKJSA-N 0 0 430.498 -0.406 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2C[C@@]23CCN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179491458 1074190700 /nfs/dbraw/zinc/19/07/00/1074190700.db2.gz NJVDRHNXRMBFOO-MAKZYZJQSA-N 0 0 430.498 -0.406 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@@]23CCN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179491459 1074190649 /nfs/dbraw/zinc/19/06/49/1074190649.db2.gz NJVDRHNXRMBFOO-SUXRKPAHSA-N 0 0 430.498 -0.406 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@]23CCN(C(=O)OC(C)(C)C)C3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179491460 1074190669 /nfs/dbraw/zinc/19/06/69/1074190669.db2.gz NJVDRHNXRMBFOO-XFGDTYPQSA-N 0 0 430.498 -0.406 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@@]13C[C@@H](CO1)N(C(=O)OC(C)(C)C)C3)C2 ZINC001179539008 1074191080 /nfs/dbraw/zinc/19/10/80/1074191080.db2.gz NKPHNAWDDUMCKT-JCGVRSQUSA-N 0 0 444.506 -0.047 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@]13C[C@@H](CO1)N(C(=O)OC(C)(C)C)C3)C2 ZINC001179539009 1074191107 /nfs/dbraw/zinc/19/11/07/1074191107.db2.gz NKPHNAWDDUMCKT-LXIYXOSZSA-N 0 0 444.506 -0.047 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)[C@@H]3CCO[C@@H]31)C2 ZINC001179547130 1074191067 /nfs/dbraw/zinc/19/10/67/1074191067.db2.gz DHOYEJQPGXTTHI-GAPZROTKSA-N 0 0 436.509 -0.040 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)[C@@H]3CCO[C@@H]31)C2 ZINC001179547131 1074191102 /nfs/dbraw/zinc/19/11/02/1074191102.db2.gz DHOYEJQPGXTTHI-GYQJYAJYSA-N 0 0 436.509 -0.040 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C(N)=O ZINC001179562370 1074190690 /nfs/dbraw/zinc/19/06/90/1074190690.db2.gz VCAWZIWMDODPBL-OWYFMNJBSA-N 0 0 442.582 -0.076 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CC3(C2)CCNC(=O)O3)C1 ZINC001179569639 1074190659 /nfs/dbraw/zinc/19/06/59/1074190659.db2.gz DYGYMEDOCLSJIC-KZULUSFZSA-N 0 0 429.495 -0.021 20 0 IBADRN COc1ccc(CC(=O)N2CC3(C2)CCNC(=O)O3)cc1S(=O)(=O)N1CCOCC1 ZINC001179569791 1074190676 /nfs/dbraw/zinc/19/06/76/1074190676.db2.gz GKKBZRGVCVFIGB-UHFFFAOYSA-N 0 0 439.490 -0.031 20 0 IBADRN O=C(CCO)Nc1ncnc2c1c(I)cn2[C@H]1C[C@@H](O)[C@H](CO)O1 ZINC001179572400 1074191111 /nfs/dbraw/zinc/19/11/11/1074191111.db2.gz IFDDRXPZPFLQKF-WCABBAIRSA-N 0 0 448.217 -0.003 20 0 IBADRN O=C(CCO)N1C[C@@H](N2CCN(c3ncccn3)CC2)C[C@H]1C(=O)N1CCC(F)(F)C1 ZINC001179574414 1074191011 /nfs/dbraw/zinc/19/10/11/1074191011.db2.gz NZYMQEIKCSEERX-HOTGVXAUSA-N 0 0 438.479 -0.182 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NC(=O)CCO)cc1 ZINC001179577526 1074191055 /nfs/dbraw/zinc/19/10/55/1074191055.db2.gz CMSULDNPTHIRPS-BNEJOLLZSA-N 0 0 433.417 -0.760 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCC(=O)c3cnn(C)c3)c2)CC1 ZINC001179579876 1074191641 /nfs/dbraw/zinc/19/16/41/1074191641.db2.gz KFHIMGMFNHKKQO-UHFFFAOYSA-N 0 0 433.490 -0.114 20 0 IBADRN C[C@@H](C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O)N(C)C(=O)OC(C)(C)C ZINC001179600510 1074191023 /nfs/dbraw/zinc/19/10/23/1074191023.db2.gz SNRCIWYUGBRLDI-KHBBOIOASA-N 0 0 427.458 -0.941 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)NC[C@H](O)CS(C)(=O)=O)CC2)c1 ZINC001179617946 1074191031 /nfs/dbraw/zinc/19/10/31/1074191031.db2.gz ANDJACWKOVUEOP-INIZCTEOSA-N 0 0 446.547 -0.188 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)NC[C@@H](O)CS(C)(=O)=O)CC2)c1 ZINC001179617947 1074190990 /nfs/dbraw/zinc/19/09/90/1074190990.db2.gz ANDJACWKOVUEOP-MRXNPFEDSA-N 0 0 446.547 -0.188 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC001179617969 1074191591 /nfs/dbraw/zinc/19/15/91/1074191591.db2.gz BKBCVYZFYSBWJF-LLVKDONJSA-N 0 0 443.341 -0.361 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)CCNS(=O)(=O)c1ccc(Br)cc1 ZINC001179617970 1074191644 /nfs/dbraw/zinc/19/16/44/1074191644.db2.gz BKBCVYZFYSBWJF-NSHDSACASA-N 0 0 443.341 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NC[C@H](O)CS(C)(=O)=O)c1 ZINC001179618490 1074191625 /nfs/dbraw/zinc/19/16/25/1074191625.db2.gz KOXSKVOPWPSBDE-JTQLQIEISA-N 0 0 428.916 -0.600 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NC[C@@H](O)CS(C)(=O)=O)c1 ZINC001179618493 1074191630 /nfs/dbraw/zinc/19/16/30/1074191630.db2.gz KOXSKVOPWPSBDE-SNVBAGLBSA-N 0 0 428.916 -0.600 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)[C@@H]1CCCN1C(=O)Nc1ccc2c(c1)OCCO2 ZINC001179623258 1074191632 /nfs/dbraw/zinc/19/16/32/1074191632.db2.gz HCYDIJFBFDMFMS-KBPBESRZSA-N 0 0 427.479 -0.024 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)[C@@H]1CCCN1C(=O)Nc1ccc2c(c1)OCCO2 ZINC001179623259 1074191599 /nfs/dbraw/zinc/19/15/99/1074191599.db2.gz HCYDIJFBFDMFMS-KGLIPLIRSA-N 0 0 427.479 -0.024 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)C1 ZINC001179650873 1074191646 /nfs/dbraw/zinc/19/16/46/1074191646.db2.gz ITXFOBSJQZYHNS-LLVKDONJSA-N 0 0 437.565 -0.356 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)C1 ZINC001179650874 1074191628 /nfs/dbraw/zinc/19/16/28/1074191628.db2.gz ITXFOBSJQZYHNS-NSHDSACASA-N 0 0 437.565 -0.356 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC001179653316 1074191605 /nfs/dbraw/zinc/19/16/05/1074191605.db2.gz XLBJXBULHQCIRS-JTQLQIEISA-N 0 0 440.341 -0.136 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC001179653317 1074191586 /nfs/dbraw/zinc/19/15/86/1074191586.db2.gz XLBJXBULHQCIRS-SNVBAGLBSA-N 0 0 440.341 -0.136 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)COc1ccc(CO)cc1)C(=O)NCC(N)=O ZINC001179660186 1074191620 /nfs/dbraw/zinc/19/16/20/1074191620.db2.gz SQFNMSUUTPQNDK-ROUUACIJSA-N 0 0 448.520 -0.319 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001179727885 1074191872 /nfs/dbraw/zinc/19/18/72/1074191872.db2.gz HASFZBBYQHNAEM-CABCVRRESA-N 0 0 446.570 -0.312 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21)S(C)(=O)=O ZINC001179729138 1074191882 /nfs/dbraw/zinc/19/18/82/1074191882.db2.gz QQEUFVNGPWJEBB-NEPJUHHUSA-N 0 0 425.529 -0.877 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C2=NN(CCO)C(=O)CC2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001179733956 1074191985 /nfs/dbraw/zinc/19/19/85/1074191985.db2.gz NPHBIRKWKQKXDO-KGLIPLIRSA-N 0 0 444.510 -0.798 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)Cc2ccc(C(N)=O)nc2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001179733994 1074191984 /nfs/dbraw/zinc/19/19/84/1074191984.db2.gz PHBNOYMXFNGJAR-CABCVRRESA-N 0 0 438.506 -0.032 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CCn2cnccc2=O)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001179734259 1074191915 /nfs/dbraw/zinc/19/19/15/1074191915.db2.gz RVDQSEWKSUMXKW-KGLIPLIRSA-N 0 0 426.495 -0.122 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@@]23c2ccccc2N(CC2CC2)C3=O)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179738147 1074191974 /nfs/dbraw/zinc/19/19/74/1074191974.db2.gz LGAWJOYOWRBBBA-BGSXWQFRSA-N 0 0 446.500 -0.339 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2C[C@]23c2ccccc2N(CC2CC2)C3=O)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179738150 1074191846 /nfs/dbraw/zinc/19/18/46/1074191846.db2.gz LGAWJOYOWRBBBA-LNPJVFFOSA-N 0 0 446.500 -0.339 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@]23c2ccccc2N(CC2CC2)C3=O)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179738151 1074191897 /nfs/dbraw/zinc/19/18/97/1074191897.db2.gz LGAWJOYOWRBBBA-NABUKTNASA-N 0 0 446.500 -0.339 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2C[C@@]23c2ccccc2N(CC2CC2)C3=O)[C@@H](O)[C@H](O)[C@@H]1O ZINC001179738152 1074191890 /nfs/dbraw/zinc/19/18/90/1074191890.db2.gz LGAWJOYOWRBBBA-NJCUMPSLSA-N 0 0 446.500 -0.339 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)C1(O)CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC001179743022 1074191649 /nfs/dbraw/zinc/19/16/49/1074191649.db2.gz FQEARFMKTBQIDD-CYBMUJFWSA-N 0 0 446.522 -0.063 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)C1(O)CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC001179743023 1074191594 /nfs/dbraw/zinc/19/15/94/1074191594.db2.gz FQEARFMKTBQIDD-ZDUSSCGKSA-N 0 0 446.522 -0.063 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@H]1C(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001179797475 1074192413 /nfs/dbraw/zinc/19/24/13/1074192413.db2.gz FBEVPPKFNJEYMH-DFEMOJLFSA-N 0 0 432.514 -0.160 20 0 IBADRN C[C@@](O)(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)C(F)(F)F ZINC001179809133 1074192524 /nfs/dbraw/zinc/19/25/24/1074192524.db2.gz YDCUOYIWHMNLRO-BRXULGCHSA-N 0 0 442.456 -0.190 20 0 IBADRN C[C@](O)(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)C(F)(F)F ZINC001179809134 1074192514 /nfs/dbraw/zinc/19/25/14/1074192514.db2.gz YDCUOYIWHMNLRO-JXFSHQFZSA-N 0 0 442.456 -0.190 20 0 IBADRN C[C@](O)(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)C(F)(F)F ZINC001179809135 1074192522 /nfs/dbraw/zinc/19/25/22/1074192522.db2.gz YDCUOYIWHMNLRO-VLXAULBPSA-N 0 0 442.456 -0.190 20 0 IBADRN C[C@@](O)(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)C(F)(F)F ZINC001179809136 1074192525 /nfs/dbraw/zinc/19/25/25/1074192525.db2.gz YDCUOYIWHMNLRO-VPWBDBDCSA-N 0 0 442.456 -0.190 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C[C@@](C)(O)C(F)(F)F)C(=O)NCC(N)=O ZINC001179809269 1074192519 /nfs/dbraw/zinc/19/25/19/1074192519.db2.gz YVBNMAPIIKAYTK-NVGCLXPQSA-N 0 0 438.447 -0.187 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C[C@](C)(O)C(F)(F)F)C(=O)NCC(N)=O ZINC001179809270 1074192521 /nfs/dbraw/zinc/19/25/21/1074192521.db2.gz YVBNMAPIIKAYTK-PRXAMGSTSA-N 0 0 438.447 -0.187 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1C[C@@]2(CO)CCCC[C@@]2(CO)C1 ZINC001179831595 1074192508 /nfs/dbraw/zinc/19/25/08/1074192508.db2.gz FOVOJDMIIOITLT-ZMYBRWDISA-N 0 0 427.523 -0.475 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1C[C@@]2(CO)CCCC[C@@]2(CO)C1 ZINC001179831596 1074192490 /nfs/dbraw/zinc/19/24/90/1074192490.db2.gz FOVOJDMIIOITLT-ZOCIIQOWSA-N 0 0 427.523 -0.475 20 0 IBADRN NC(=O)C1CC2(C1)C[C@@H](NC(=O)Cc1csc(N3CCOCC3)n1)CCS2(=O)=O ZINC001179847314 1074192476 /nfs/dbraw/zinc/19/24/76/1074192476.db2.gz WCWCFLAUWFCBJA-XYEKJYRLSA-N 0 0 442.563 -0.150 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)Cc1csc(N3CCOCC3)n1)CCS2(=O)=O ZINC001179847315 1074192510 /nfs/dbraw/zinc/19/25/10/1074192510.db2.gz WCWCFLAUWFCBJA-YNAXMUEKSA-N 0 0 442.563 -0.150 20 0 IBADRN COC(=O)[C@@H](Cc1ccccc1Br)NC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001179858281 1074192485 /nfs/dbraw/zinc/19/24/85/1074192485.db2.gz OYYIQKOKONMDMI-NEPJUHHUSA-N 0 0 433.280 -0.437 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1Br)NC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001179858285 1074192467 /nfs/dbraw/zinc/19/24/67/1074192467.db2.gz OYYIQKOKONMDMI-RYUDHWBXSA-N 0 0 433.280 -0.437 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)C=Cc2cc(F)c(F)cc2F)c(=O)n1 ZINC001179889694 1074192435 /nfs/dbraw/zinc/19/24/35/1074192435.db2.gz QAKDYRYUYMVECD-CBEUZONZSA-N 0 0 426.351 -0.066 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2ccccc2OC(F)(F)F)c(=O)n1 ZINC001179918222 1074192966 /nfs/dbraw/zinc/19/29/66/1074192966.db2.gz KCWHNNYVHARRSW-RAEVTNRLSA-N 0 0 444.366 -0.055 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC001179959801 1074192921 /nfs/dbraw/zinc/19/29/21/1074192921.db2.gz FSOKATCPKKYTSJ-INIZCTEOSA-N 0 0 432.458 -0.240 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC001179959802 1074192997 /nfs/dbraw/zinc/19/29/97/1074192997.db2.gz FSOKATCPKKYTSJ-MRXNPFEDSA-N 0 0 432.458 -0.240 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC001179959927 1074193001 /nfs/dbraw/zinc/19/30/01/1074193001.db2.gz JPYKWRHFAFXWIE-GHMZBOCLSA-N 0 0 432.886 -0.366 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC001179959928 1074192893 /nfs/dbraw/zinc/19/28/93/1074192893.db2.gz JPYKWRHFAFXWIE-MNOVXSKESA-N 0 0 432.886 -0.366 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC001179959929 1074193402 /nfs/dbraw/zinc/19/34/02/1074193402.db2.gz JPYKWRHFAFXWIE-QWRGUYRKSA-N 0 0 432.886 -0.366 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC001179959930 1074193375 /nfs/dbraw/zinc/19/33/75/1074193375.db2.gz JPYKWRHFAFXWIE-WDEREUQCSA-N 0 0 432.886 -0.366 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001180000781 1074193016 /nfs/dbraw/zinc/19/30/16/1074193016.db2.gz QUBZVGSOKSGAHS-AWEZNQCLSA-N 0 0 439.513 -0.645 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001180000782 1074193021 /nfs/dbraw/zinc/19/30/21/1074193021.db2.gz QUBZVGSOKSGAHS-CQSZACIVSA-N 0 0 439.513 -0.645 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)[C@H]3CCCN(S(C)(=O)=O)C3)CC2=O)C1 ZINC001180000929 1074192953 /nfs/dbraw/zinc/19/29/53/1074192953.db2.gz VHPXZDBQJAOBJZ-KBPBESRZSA-N 0 0 444.554 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)[C@H]3CCCN(S(C)(=O)=O)C3)CC2=O)C1 ZINC001180000930 1074192862 /nfs/dbraw/zinc/19/28/62/1074192862.db2.gz VHPXZDBQJAOBJZ-UONOGXRCSA-N 0 0 444.554 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)Cn3nc4n(c3=O)CCCC4)CC2=O)C1 ZINC001180001051 1074193009 /nfs/dbraw/zinc/19/30/09/1074193009.db2.gz WHPVSJVERVIQDB-CYBMUJFWSA-N 0 0 434.497 -0.283 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)Cn3nc4n(c3=O)CCCC4)CC2=O)C1 ZINC001180001054 1074192927 /nfs/dbraw/zinc/19/29/27/1074192927.db2.gz WHPVSJVERVIQDB-ZDUSSCGKSA-N 0 0 434.497 -0.283 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001180001172 1074192992 /nfs/dbraw/zinc/19/29/92/1074192992.db2.gz XXXWSHWRJYSQRN-AWEZNQCLSA-N 0 0 425.530 -0.221 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001180001173 1074192818 /nfs/dbraw/zinc/19/28/18/1074192818.db2.gz XXXWSHWRJYSQRN-CQSZACIVSA-N 0 0 425.530 -0.221 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)Cc1cccc(S(C)(=O)=O)c1)C2 ZINC001180004623 1074193308 /nfs/dbraw/zinc/19/33/08/1074193308.db2.gz UYTLUPNRWNUMPX-UHFFFAOYSA-N 0 0 435.506 -0.129 20 0 IBADRN CS(=O)(=O)c1cccc(CC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c1 ZINC001180008401 1074193389 /nfs/dbraw/zinc/19/33/89/1074193389.db2.gz IOOYOBVFRPATPB-IRXDYDNUSA-N 0 0 447.517 -0.441 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cc3cccc(S(C)(=O)=O)c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001180008724 1074193385 /nfs/dbraw/zinc/19/33/85/1074193385.db2.gz WPOIHAPMPDONIX-ZMSDIMECSA-N 0 0 437.474 -0.332 20 0 IBADRN Cn1cc([C@@]2(CNC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CCCO2)cn1 ZINC001180009655 1074193413 /nfs/dbraw/zinc/19/34/13/1074193413.db2.gz KPYORQLQKSMGPR-FQEVSTJZSA-N 0 0 447.517 -0.024 20 0 IBADRN Cn1cc([C@]2(CNC(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CCCO2)cn1 ZINC001180009656 1074193421 /nfs/dbraw/zinc/19/34/21/1074193421.db2.gz KPYORQLQKSMGPR-HXUWFJFHSA-N 0 0 447.517 -0.024 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CCC(=O)N2CCc3ccccc32)c(=O)n1 ZINC001180029681 1074193334 /nfs/dbraw/zinc/19/33/34/1074193334.db2.gz KZNHOTIXJWFSLM-LMFCIFFHSA-N 0 0 443.460 -0.827 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)CN3c4ccccc4C(=O)C3=O)C2)C1 ZINC001180048523 1074193339 /nfs/dbraw/zinc/19/33/39/1074193339.db2.gz KEKONLFXWHKXTM-JOCHJYFZSA-N 0 0 428.489 -0.097 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)CN3c4ccccc4C(=O)C3=O)C2)C1 ZINC001180048526 1074193379 /nfs/dbraw/zinc/19/33/79/1074193379.db2.gz KEKONLFXWHKXTM-QFIPXVFZSA-N 0 0 428.489 -0.097 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)CN2c3ccccc3C(=O)C2=O)C1 ZINC001180049081 1074193329 /nfs/dbraw/zinc/19/33/29/1074193329.db2.gz RMASHROERGOLIS-AWEZNQCLSA-N 0 0 425.445 -0.166 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)CN2c3ccccc3C(=O)C2=O)C1 ZINC001180049085 1074193418 /nfs/dbraw/zinc/19/34/18/1074193418.db2.gz RMASHROERGOLIS-CQSZACIVSA-N 0 0 425.445 -0.166 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)CN1c3ccccc3C(=O)C1=O)C2 ZINC001180049226 1074193408 /nfs/dbraw/zinc/19/34/08/1074193408.db2.gz WEGBCFCEPPHEFD-UHFFFAOYSA-N 0 0 426.433 -0.545 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)CN3c4ccccc4C(=O)C3=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001180053685 1074194064 /nfs/dbraw/zinc/19/40/64/1074194064.db2.gz HTACCHSSSYVOOD-JGGQBBKZSA-N 0 0 428.401 -0.749 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CN1c2ccccc2C(=O)C1=O ZINC001180054175 1074193976 /nfs/dbraw/zinc/19/39/76/1074193976.db2.gz RJBZPEVHHISWTO-HOCLYGCPSA-N 0 0 438.444 -0.857 20 0 IBADRN CS(=O)(=O)c1ccc(CCC(=O)n2c(N)c3ncn(COCCO)c3nc2=N)cc1 ZINC001180066320 1074194000 /nfs/dbraw/zinc/19/40/00/1074194000.db2.gz ARFSNKULNFQHAH-UHFFFAOYSA-N 0 0 434.478 -0.063 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CCO1 ZINC001180082229 1074194004 /nfs/dbraw/zinc/19/40/04/1074194004.db2.gz KZZIOSAHJXNTBI-AWEZNQCLSA-N 0 0 433.504 -0.091 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CCO1 ZINC001180082233 1074193987 /nfs/dbraw/zinc/19/39/87/1074193987.db2.gz KZZIOSAHJXNTBI-CQSZACIVSA-N 0 0 433.504 -0.091 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)cn1 ZINC001180089700 1074193355 /nfs/dbraw/zinc/19/33/55/1074193355.db2.gz SXCBMTQPWHFLPB-LLVKDONJSA-N 0 0 443.283 -0.465 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)cn1 ZINC001180089709 1074193382 /nfs/dbraw/zinc/19/33/82/1074193382.db2.gz SXCBMTQPWHFLPB-NSHDSACASA-N 0 0 443.283 -0.465 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)C1 ZINC001180094587 1074193365 /nfs/dbraw/zinc/19/33/65/1074193365.db2.gz HEOMBKJNRAKBQI-GZMMTYOYSA-N 0 0 432.300 -0.261 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)C1 ZINC001180094592 1074193371 /nfs/dbraw/zinc/19/33/71/1074193371.db2.gz HEOMBKJNRAKBQI-KCJUWKMLSA-N 0 0 432.300 -0.261 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)C1 ZINC001180094593 1074193321 /nfs/dbraw/zinc/19/33/21/1074193321.db2.gz HEOMBKJNRAKBQI-KWQFWETISA-N 0 0 432.300 -0.261 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)C1 ZINC001180094594 1074193351 /nfs/dbraw/zinc/19/33/51/1074193351.db2.gz HEOMBKJNRAKBQI-LDYMZIIASA-N 0 0 432.300 -0.261 20 0 IBADRN COc1cc(CCC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc(OC)c1 ZINC001180138651 1074194099 /nfs/dbraw/zinc/19/40/99/1074194099.db2.gz UGBHIPIDZGEKLW-UTRMSSBJSA-N 0 0 434.449 -0.546 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CCN1C(=O)CCNC1=S)CCS2(=O)=O ZINC001180145415 1074194043 /nfs/dbraw/zinc/19/40/43/1074194043.db2.gz LVBCTBXPSBVIII-JKGQDXBKSA-N 0 0 431.536 -0.502 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CCN1C(=O)CCNC1=S)CCS2(=O)=O ZINC001180145416 1074193970 /nfs/dbraw/zinc/19/39/70/1074193970.db2.gz LVBCTBXPSBVIII-VMBJYNEYSA-N 0 0 431.536 -0.502 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)CCS2(=O)=O ZINC001180145556 1074194013 /nfs/dbraw/zinc/19/40/13/1074194013.db2.gz NGTBVAFBLWTQQB-CWVKQELPSA-N 0 0 436.552 -0.880 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)CCS2(=O)=O ZINC001180145557 1074194008 /nfs/dbraw/zinc/19/40/08/1074194008.db2.gz NGTBVAFBLWTQQB-DLOXAQCQSA-N 0 0 436.552 -0.880 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)CCS2(=O)=O ZINC001180145558 1074194014 /nfs/dbraw/zinc/19/40/14/1074194014.db2.gz NGTBVAFBLWTQQB-YRTQGLMCSA-N 0 0 436.552 -0.880 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)CCS2(=O)=O ZINC001180145559 1074194048 /nfs/dbraw/zinc/19/40/48/1074194048.db2.gz NGTBVAFBLWTQQB-YXXKGXSTSA-N 0 0 436.552 -0.880 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)CCS2(=O)=O ZINC001180145909 1074194558 /nfs/dbraw/zinc/19/45/58/1074194558.db2.gz UDVQQCPXTXEPLD-HASVCBABSA-N 0 0 438.568 -0.035 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)CCS2(=O)=O ZINC001180145910 1074194576 /nfs/dbraw/zinc/19/45/76/1074194576.db2.gz UDVQQCPXTXEPLD-SBUZTJRASA-N 0 0 438.568 -0.035 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)CCS2(=O)=O ZINC001180145912 1074194607 /nfs/dbraw/zinc/19/46/07/1074194607.db2.gz UDVQQCPXTXEPLD-UDRCLVEDSA-N 0 0 438.568 -0.035 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)CCS2(=O)=O ZINC001180145914 1074194597 /nfs/dbraw/zinc/19/45/97/1074194597.db2.gz UDVQQCPXTXEPLD-XPFWZQFZSA-N 0 0 438.568 -0.035 20 0 IBADRN O=C(NCCCn1ccc(=O)[nH]c1=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001180170988 1074194628 /nfs/dbraw/zinc/19/46/28/1074194628.db2.gz LJWOSTIHHCQVCH-UHFFFAOYSA-N 0 0 440.453 -0.071 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cc3n[nH]c(=O)c4ccccc34)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001180200854 1074194670 /nfs/dbraw/zinc/19/46/70/1074194670.db2.gz SJDSEDKRGKEMGB-ZMSDIMECSA-N 0 0 427.417 -0.087 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cc1n[nH]c(=O)c2ccccc12 ZINC001180202674 1074194579 /nfs/dbraw/zinc/19/45/79/1074194579.db2.gz UUTBVLBPHJUXFO-IRXDYDNUSA-N 0 0 437.460 -0.195 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)C1CCN(C(=O)c2ccccc2Cl)CC1 ZINC001180210827 1074194693 /nfs/dbraw/zinc/19/46/93/1074194693.db2.gz ZKIIYJZHECRTRF-DINLJIAVSA-N 0 0 428.869 -0.892 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)C1CCN(C(=O)c2ccccc2Cl)CC1 ZINC001180210828 1074194637 /nfs/dbraw/zinc/19/46/37/1074194637.db2.gz ZKIIYJZHECRTRF-HLTONANMSA-N 0 0 428.869 -0.892 20 0 IBADRN CC(=O)N(C)CCC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001180223589 1074194655 /nfs/dbraw/zinc/19/46/55/1074194655.db2.gz NHPUXHLALUMUJF-IBGZPJMESA-N 0 0 428.555 -0.413 20 0 IBADRN CC(=O)N(C)CCC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001180223591 1074194699 /nfs/dbraw/zinc/19/46/99/1074194699.db2.gz NHPUXHLALUMUJF-LJQANCHMSA-N 0 0 428.555 -0.413 20 0 IBADRN CC(=O)N(C)CCC(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001180223627 1074194538 /nfs/dbraw/zinc/19/45/38/1074194538.db2.gz NPYSUFAMBQWFGG-JKSUJKDBSA-N 0 0 437.522 -0.596 20 0 IBADRN CC(=O)N(C)CCC(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001180228265 1074194599 /nfs/dbraw/zinc/19/45/99/1074194599.db2.gz VLWKIBPLUMELAY-UHFFFAOYSA-N 0 0 438.510 -0.010 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCN(C)C(C)=O)C(N)=O ZINC001180231981 1074194547 /nfs/dbraw/zinc/19/45/47/1074194547.db2.gz WHXFZRWBNJKQAQ-GJZGRUSLSA-N 0 0 445.586 -0.775 20 0 IBADRN Cc1ccc(C(=O)N2CCC(C(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)CC2)cc1 ZINC001180241088 1074194648 /nfs/dbraw/zinc/19/46/48/1074194648.db2.gz JCBYOHBMFOECED-IWCLSRSZSA-N 0 0 436.505 -0.192 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC001180244915 1074195094 /nfs/dbraw/zinc/19/50/94/1074195094.db2.gz RXBZQVVSNHYEIO-BRWVUGGUSA-N 0 0 426.514 -0.735 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)[C@@H](CO)C1 ZINC001180244917 1074195166 /nfs/dbraw/zinc/19/51/66/1074195166.db2.gz RXBZQVVSNHYEIO-IKGGRYGDSA-N 0 0 426.514 -0.735 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)[C@@H](CO)C1 ZINC001180244918 1074195177 /nfs/dbraw/zinc/19/51/77/1074195177.db2.gz RXBZQVVSNHYEIO-IXDOHACOSA-N 0 0 426.514 -0.735 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC001180244919 1074195021 /nfs/dbraw/zinc/19/50/21/1074195021.db2.gz RXBZQVVSNHYEIO-ZACQAIPSSA-N 0 0 426.514 -0.735 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CN(C(=O)Cc3nc(N)n[nH]3)C[C@H]2c2ccccc2)C1=O ZINC001180246693 1074195195 /nfs/dbraw/zinc/19/51/95/1074195195.db2.gz PSDKOGWAIBLKLA-YCRPNKLZSA-N 0 0 425.493 -0.138 20 0 IBADRN Nc1n[nH]c(CC(=O)N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)n1 ZINC001180247263 1074195117 /nfs/dbraw/zinc/19/51/17/1074195117.db2.gz XAKYHOHPXOUHCZ-VCBZYWHSSA-N 0 0 432.506 -0.444 20 0 IBADRN O=C(C1=NNC(=O)CC1)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001180254761 1074194977 /nfs/dbraw/zinc/19/49/77/1074194977.db2.gz HWDJJZKXFLEGKI-SYNHAJSKSA-N 0 0 432.502 -0.121 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)C3=NNC(=O)CC3)CC2)c2nonc21 ZINC001180256153 1074195045 /nfs/dbraw/zinc/19/50/45/1074195045.db2.gz WEHKSYVAECYNFW-UHFFFAOYSA-N 0 0 435.466 -0.612 20 0 IBADRN CN(C)C(=O)COCc1noc2c1CN(C(=O)CCC(=O)N1CCNC(=O)CC1)CC2 ZINC001180263404 1074194987 /nfs/dbraw/zinc/19/49/87/1074194987.db2.gz CTSJROLAERPDHH-UHFFFAOYSA-N 0 0 435.481 -0.707 20 0 IBADRN CC(=O)N1[C@H](Cn2cccn2)C[C@@H]2CN(C(=O)CCC(=O)N3CCNC(=O)CC3)CC[C@@H]21 ZINC001180263416 1074195105 /nfs/dbraw/zinc/19/51/05/1074195105.db2.gz DECYCEKGPMZDPB-QYZOEREBSA-N 0 0 444.536 -0.150 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCn2nnc(COCc3cccnc3)c2C1 ZINC001180263571 1074195142 /nfs/dbraw/zinc/19/51/42/1074195142.db2.gz GAWIEIAHIKVFKJ-UHFFFAOYSA-N 0 0 441.492 -0.139 20 0 IBADRN O=C(CCC(=O)N1Cc2ccnn2C[C@@H](C(=O)N2CCCC2)C1)N1CCNC(=O)CC1 ZINC001180263802 1074195087 /nfs/dbraw/zinc/19/50/87/1074195087.db2.gz JXKALGYUWVINRP-INIZCTEOSA-N 0 0 430.509 -0.407 20 0 IBADRN O=C(CCC(=O)N1Cc2ccnn2C[C@H](C(=O)N2CCCC2)C1)N1CCNC(=O)CC1 ZINC001180263803 1074194996 /nfs/dbraw/zinc/19/49/96/1074194996.db2.gz JXKALGYUWVINRP-MRXNPFEDSA-N 0 0 430.509 -0.407 20 0 IBADRN CN(C)C(=O)NC[C@H]1CCC[C@]2(CCN(C(=O)CCC(=O)N3CCNC(=O)CC3)C2)O1 ZINC001180263814 1074195078 /nfs/dbraw/zinc/19/50/78/1074195078.db2.gz KKHWYEUXRSASGB-IIBYNOLFSA-N 0 0 437.541 -0.074 20 0 IBADRN CN(C)C(=O)COC[C@H]1CC[C@@H]2[C@@H](CCN2C(=O)CCC(=O)N2CCNC(=O)CC2)O1 ZINC001180263818 1074195126 /nfs/dbraw/zinc/19/51/26/1074195126.db2.gz KODGXTPLDHDGMQ-BRWVUGGUSA-N 0 0 438.525 -0.632 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCO[C@]2(CCCN(c3cnccn3)C2)C1 ZINC001180263882 1074195074 /nfs/dbraw/zinc/19/50/74/1074195074.db2.gz MAKSGCVJTLFGOQ-NRFANRHFSA-N 0 0 430.509 -0.197 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCO[C@@]2(CCCN(c3cnccn3)C2)C1 ZINC001180263884 1074195060 /nfs/dbraw/zinc/19/50/60/1074195060.db2.gz MAKSGCVJTLFGOQ-OAQYLSRUSA-N 0 0 430.509 -0.197 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)CCC(=O)N3CCNC(=O)CC3)C2)C1=O ZINC001180263924 1074195133 /nfs/dbraw/zinc/19/51/33/1074195133.db2.gz MNZCBNCDAJWQAP-NRFANRHFSA-N 0 0 435.525 -0.956 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)CCC(=O)N3CCNC(=O)CC3)C2)C1=O ZINC001180263926 1074195672 /nfs/dbraw/zinc/19/56/72/1074195672.db2.gz MNZCBNCDAJWQAP-OAQYLSRUSA-N 0 0 435.525 -0.956 20 0 IBADRN Cc1noc(C)c1CN1CCO[C@H]2CN(C(=O)CCC(=O)N3CCNC(=O)CC3)C[C@H]21 ZINC001180263966 1074195659 /nfs/dbraw/zinc/19/56/59/1074195659.db2.gz NUTZIKDBERPRCZ-MSOLQXFVSA-N 0 0 433.509 -0.168 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCn2c(CNC(=O)c3ccno3)cnc2C1 ZINC001180263968 1074195714 /nfs/dbraw/zinc/19/57/14/1074195714.db2.gz NWDJCOSBPHJEET-UHFFFAOYSA-N 0 0 443.464 -0.728 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)C[C@]2(CCCC(=O)N2)C1 ZINC001180264007 1074195648 /nfs/dbraw/zinc/19/56/48/1074195648.db2.gz OERPEGMUEGAWLR-JOCHJYFZSA-N 0 0 449.552 -0.519 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)C[C@@]2(CCCC(=O)N2)C1 ZINC001180264013 1074195740 /nfs/dbraw/zinc/19/57/40/1074195740.db2.gz OERPEGMUEGAWLR-QFIPXVFZSA-N 0 0 449.552 -0.519 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1C[C@@H]2COC[C@H](C(=O)N3CCCCO3)[C@@H]2C1 ZINC001180264107 1074195711 /nfs/dbraw/zinc/19/57/11/1074195711.db2.gz PEXVVINYHCENEB-ZACQAIPSSA-N 0 0 436.509 -0.610 20 0 IBADRN O=C(C[C@@H]1COC[C@H]2CN(C(=O)CCC(=O)N3CCNC(=O)CC3)C[C@H]21)NCC1CC1 ZINC001180264110 1074195652 /nfs/dbraw/zinc/19/56/52/1074195652.db2.gz PFIFRRQTYYOZSZ-KURKYZTESA-N 0 0 434.537 -0.248 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1C[C@H]2OCC(=O)N(c3cccc(F)c3)[C@@H]2C1 ZINC001180264374 1074195703 /nfs/dbraw/zinc/19/57/03/1074195703.db2.gz QSFDLWJVRIMSNL-IAGOWNOFSA-N 0 0 432.452 -0.103 20 0 IBADRN O=C(CCC(=O)N1CCc2nnc(COc3ccccn3)n2CC1)N1CCNC(=O)CC1 ZINC001180264392 1074195744 /nfs/dbraw/zinc/19/57/44/1074195744.db2.gz RFTMKGTZYDLYSH-UHFFFAOYSA-N 0 0 441.492 -0.235 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)CCC(=O)N1CCNC(=O)CC1 ZINC001180264435 1074195728 /nfs/dbraw/zinc/19/57/28/1074195728.db2.gz SEWNGDFRPBPYCA-HRAATJIYSA-N 0 0 438.525 -0.630 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)CCC(=O)N1CCNC(=O)CC1 ZINC001180264437 1074195624 /nfs/dbraw/zinc/19/56/24/1074195624.db2.gz SEWNGDFRPBPYCA-IERDGZPVSA-N 0 0 438.525 -0.630 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)CCC(=O)N1CCNC(=O)CC1 ZINC001180264439 1074195675 /nfs/dbraw/zinc/19/56/75/1074195675.db2.gz SEWNGDFRPBPYCA-IIBYNOLFSA-N 0 0 438.525 -0.630 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)CCC(=O)N1CCNC(=O)CC1 ZINC001180264440 1074195661 /nfs/dbraw/zinc/19/56/61/1074195661.db2.gz SEWNGDFRPBPYCA-KKSFZXQISA-N 0 0 438.525 -0.630 20 0 IBADRN Cn1cccc1C(=O)N[C@H]1CN(C(=O)CCC(=O)N2CCNC(=O)CC2)[C@@H]2CCCO[C@@H]21 ZINC001180264646 1074195640 /nfs/dbraw/zinc/19/56/40/1074195640.db2.gz TVXMRFKXPUWOBP-GCKMJXCFSA-N 0 0 445.520 -0.358 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1C[C@H](NC(=O)c2cscn2)[C@@H]2OCCC[C@@H]21 ZINC001180264651 1074195668 /nfs/dbraw/zinc/19/56/68/1074195668.db2.gz TZNZQXRQBLYIJD-RFUYNDQBSA-N 0 0 449.533 -0.240 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1C[C@@H]2COC[C@H](C(=O)Nc3cnccn3)[C@@H]2C1 ZINC001180264663 1074195736 /nfs/dbraw/zinc/19/57/36/1074195736.db2.gz UIIBEACFLPIZOX-OAGGEKHMSA-N 0 0 444.492 -0.735 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCC2(CC1)CN(c1cncnc1)C(=O)CO2 ZINC001180264840 1074195683 /nfs/dbraw/zinc/19/56/83/1074195683.db2.gz WVLFHPCIXLVCSB-UHFFFAOYSA-N 0 0 444.492 -0.670 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(C(=O)CCC(=O)N2CCNC(=O)CC2)[C@@H]2COC[C@H]12 ZINC001180264984 1074196235 /nfs/dbraw/zinc/19/62/35/1074196235.db2.gz XOMSGZFDNAQOHD-BFYDXBDKSA-N 0 0 431.493 -0.891 20 0 IBADRN Cn1ccc(CN2CCOCC23CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)CC3)n1 ZINC001180265050 1074196141 /nfs/dbraw/zinc/19/61/41/1074196141.db2.gz YGTYIAIUMWVRKB-UHFFFAOYSA-N 0 0 446.552 -0.258 20 0 IBADRN COC[C@@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)CCC(=O)N1CCNC(=O)CC1 ZINC001180265092 1074196144 /nfs/dbraw/zinc/19/61/44/1074196144.db2.gz YMPWZJYFKWIAPF-HNNXBMFYSA-N 0 0 444.496 -0.836 20 0 IBADRN COC[C@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)CCC(=O)N1CCNC(=O)CC1 ZINC001180265093 1074196243 /nfs/dbraw/zinc/19/62/43/1074196243.db2.gz YMPWZJYFKWIAPF-OAHLLOKOSA-N 0 0 444.496 -0.836 20 0 IBADRN Cc1nc(N(C)C)nc2c1COC[C@]21CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)C1 ZINC001180265184 1074196221 /nfs/dbraw/zinc/19/62/21/1074196221.db2.gz ZTPMFUQDKYWGDW-JOCHJYFZSA-N 0 0 444.536 -0.020 20 0 IBADRN Cc1nc(N(C)C)nc2c1COC[C@@]21CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)C1 ZINC001180265191 1074196157 /nfs/dbraw/zinc/19/61/57/1074196157.db2.gz ZTPMFUQDKYWGDW-QFIPXVFZSA-N 0 0 444.536 -0.020 20 0 IBADRN O=C(CCC(=O)N1CCCn2c(=O)cc(Cn3ccnc3)nc2C1)N1CCNC(=O)CC1 ZINC001180265192 1074196170 /nfs/dbraw/zinc/19/61/70/1074196170.db2.gz ZUHCCVKKWPAWJW-UHFFFAOYSA-N 0 0 441.492 -0.651 20 0 IBADRN COC(=O)[C@]1(NC(=O)OC(C)(C)C)CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)C1 ZINC001180267193 1074196136 /nfs/dbraw/zinc/19/61/36/1074196136.db2.gz AEFANCBZEMVZDW-FQEVSTJZSA-N 0 0 440.497 -0.216 20 0 IBADRN COC(=O)[C@@]1(NC(=O)OC(C)(C)C)CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)C1 ZINC001180267195 1074196195 /nfs/dbraw/zinc/19/61/95/1074196195.db2.gz AEFANCBZEMVZDW-HXUWFJFHSA-N 0 0 440.497 -0.216 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001180267502 1074196204 /nfs/dbraw/zinc/19/62/04/1074196204.db2.gz FXFIMWJIAFWZJU-NRFANRHFSA-N 0 0 446.508 -0.961 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001180267507 1074196177 /nfs/dbraw/zinc/19/61/77/1074196177.db2.gz FXFIMWJIAFWZJU-OAQYLSRUSA-N 0 0 446.508 -0.961 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1C[C@@H]2C(=O)N(Cc3ccccc3)C(=O)[C@@H]2C1 ZINC001180267527 1074196200 /nfs/dbraw/zinc/19/62/00/1074196200.db2.gz GPBALRABDLPCKY-CALCHBBNSA-N 0 0 426.473 -0.241 20 0 IBADRN COCCO[C@H]1CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)[C@H]1Cc1cnn(C)c1 ZINC001180267743 1074196226 /nfs/dbraw/zinc/19/62/26/1074196226.db2.gz LTFIOZPWSFJOSE-ROUUACIJSA-N 0 0 435.525 -0.276 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCC[C@]2(CCN(c3cncnc3)C2=O)C1 ZINC001180267747 1074196216 /nfs/dbraw/zinc/19/62/16/1074196216.db2.gz LYAIMNOFPOMPKL-NRFANRHFSA-N 0 0 428.493 -0.049 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCC[C@@]2(CCN(c3cncnc3)C2=O)C1 ZINC001180267748 1074196210 /nfs/dbraw/zinc/19/62/10/1074196210.db2.gz LYAIMNOFPOMPKL-OAQYLSRUSA-N 0 0 428.493 -0.049 20 0 IBADRN CN(C(=O)CN1CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)CC1)c1ccccc1 ZINC001180267762 1074196165 /nfs/dbraw/zinc/19/61/65/1074196165.db2.gz MFODNXXFFAOBIF-UHFFFAOYSA-N 0 0 429.521 -0.078 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC001180267840 1074196190 /nfs/dbraw/zinc/19/61/90/1074196190.db2.gz POKXSDKGJFILFF-GOSISDBHSA-N 0 0 444.488 -0.374 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC001180267841 1074196230 /nfs/dbraw/zinc/19/62/30/1074196230.db2.gz POKXSDKGJFILFF-SFHVURJKSA-N 0 0 444.488 -0.374 20 0 IBADRN COc1cc(OC)nc(CN2CCN(C(=O)CCC(=O)N3CCNC(=O)CC3)CC2)n1 ZINC001180267854 1074196182 /nfs/dbraw/zinc/19/61/82/1074196182.db2.gz PYUDKNYWKWSUNO-UHFFFAOYSA-N 0 0 434.497 -0.733 20 0 IBADRN CC(C)C(=O)N1CCO[C@@]2(C1)COCCN(C(=O)CCC(=O)N1CCNC(=O)CC1)C2 ZINC001180267920 1074196151 /nfs/dbraw/zinc/19/61/51/1074196151.db2.gz SZVQXSZZVZCGOC-NRFANRHFSA-N 0 0 438.525 -0.773 20 0 IBADRN CC(C)C(=O)N1CCO[C@]2(C1)COCCN(C(=O)CCC(=O)N1CCNC(=O)CC1)C2 ZINC001180267921 1074196435 /nfs/dbraw/zinc/19/64/35/1074196435.db2.gz SZVQXSZZVZCGOC-OAQYLSRUSA-N 0 0 438.525 -0.773 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)CCC(=O)N3CCNC(=O)CC3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001180268055 1074196442 /nfs/dbraw/zinc/19/64/42/1074196442.db2.gz VEAGEONEPSPMOJ-RZQQEMMASA-N 0 0 446.508 -0.963 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)CCC(=O)N2CCNC(=O)CC2)[C@@H]2CCCO[C@@H]21 ZINC001180268061 1074196579 /nfs/dbraw/zinc/19/65/79/1074196579.db2.gz VJOHGYJTBZGJPZ-QMTMVMCOSA-N 0 0 446.508 -0.963 20 0 IBADRN COc1ccc(C[C@@H](C)NC(=O)CCC(=O)N2CCNC(=O)CC2)cc1S(N)(=O)=O ZINC001180268161 1074196491 /nfs/dbraw/zinc/19/64/91/1074196491.db2.gz FUZOIWPMALEUFL-CYBMUJFWSA-N 0 0 440.522 -0.482 20 0 IBADRN O=C(CN1CCN(C(=O)CCC(=O)N2CCNC(=O)CC2)CC1)NCCc1ccccc1 ZINC001180268209 1074196504 /nfs/dbraw/zinc/19/65/04/1074196504.db2.gz ZCSVVGBKBSBRFU-UHFFFAOYSA-N 0 0 443.548 -0.382 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)N1CCN(c2ccnc(N3CCCC3)n2)CC1 ZINC001180268265 1074196673 /nfs/dbraw/zinc/19/66/73/1074196673.db2.gz ZZYYORKJJQDXBW-UHFFFAOYSA-N 0 0 429.525 -0.146 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)CCC(=O)N2CCNC(=O)CC2)CN1C(=O)OC(C)(C)C ZINC001180268960 1074196565 /nfs/dbraw/zinc/19/65/65/1074196565.db2.gz QQDNHHLRPVVQSA-KGLIPLIRSA-N 0 0 440.497 -0.218 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)NC1CCN(S(=O)(=O)c2ccccn2)CC1 ZINC001180272145 1074196523 /nfs/dbraw/zinc/19/65/23/1074196523.db2.gz BPWROCOILNQDRV-UHFFFAOYSA-N 0 0 437.522 -0.520 20 0 IBADRN CCOC(=O)C1(NC(=O)CCC(=O)N2CCNC(=O)CC2)CN(C(=O)OC(C)(C)C)C1 ZINC001180272238 1074196516 /nfs/dbraw/zinc/19/65/16/1074196516.db2.gz FQKBAYARFPDJOE-UHFFFAOYSA-N 0 0 440.497 -0.216 20 0 IBADRN CC(C)(C)OC(=O)NCCOCCOCCNC(=O)CCC(=O)N1CCNC(=O)CC1 ZINC001180272664 1074196466 /nfs/dbraw/zinc/19/64/66/1074196466.db2.gz NIIOGVJBIJZQOO-UHFFFAOYSA-N 0 0 444.529 -0.211 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)CCC(=O)N2CCNC(=O)CC2)C(=O)C1 ZINC001180273391 1074196640 /nfs/dbraw/zinc/19/66/40/1074196640.db2.gz WXZFTOSGODFBQT-UHFFFAOYSA-N 0 0 439.513 -0.689 20 0 IBADRN O=C(CCC(=O)N1CCNC(=O)CC1)NC1(Cc2cccnc2)CCS(=O)(=O)CC1 ZINC001180274160 1074196456 /nfs/dbraw/zinc/19/64/56/1074196456.db2.gz XCJDXJNBPLTXJS-UHFFFAOYSA-N 0 0 436.534 -0.184 20 0 IBADRN CC(C)(C)OC(=O)COCCOCCNC(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC001180281914 1074197250 /nfs/dbraw/zinc/19/72/50/1074197250.db2.gz DUKHKMTVLDEPEM-UHFFFAOYSA-N 0 0 429.495 -0.025 20 0 IBADRN O=C(N[C@@H]1CCN(c2cn[nH]c(=O)c2Cl)C1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001180291966 1074197127 /nfs/dbraw/zinc/19/71/27/1074197127.db2.gz DQKHGCFKFRKSQC-IJLUTSLNSA-N 0 0 443.913 -0.434 20 0 IBADRN O=C(N[C@@H]1CCN(c2cn[nH]c(=O)c2Cl)C1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001180291967 1074197180 /nfs/dbraw/zinc/19/71/80/1074197180.db2.gz DQKHGCFKFRKSQC-QJPTWQEYSA-N 0 0 443.913 -0.434 20 0 IBADRN O=C(N[C@@H]1CCN(c2cn[nH]c(=O)c2Cl)C1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001180291968 1074197248 /nfs/dbraw/zinc/19/72/48/1074197248.db2.gz DQKHGCFKFRKSQC-TUAOUCFPSA-N 0 0 443.913 -0.434 20 0 IBADRN O=C(N[C@@H]1CCN(c2cn[nH]c(=O)c2Cl)C1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001180291969 1074197255 /nfs/dbraw/zinc/19/72/55/1074197255.db2.gz DQKHGCFKFRKSQC-UTUOFQBUSA-N 0 0 443.913 -0.434 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)Cn1nc2ccccc2n1)C(=O)NCC(N)=O ZINC001180295079 1074197216 /nfs/dbraw/zinc/19/72/16/1074197216.db2.gz DXJKSQRTQRWQPT-IRXDYDNUSA-N 0 0 443.508 -0.445 20 0 IBADRN O=C(Cn1nc2ccccc2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001180296373 1074197174 /nfs/dbraw/zinc/19/71/74/1074197174.db2.gz KUVMFMMIQVNYQD-HDMKZQKVSA-N 0 0 447.517 -0.448 20 0 IBADRN O=C(Cn1nc2ccccc2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001180296374 1074197140 /nfs/dbraw/zinc/19/71/40/1074197140.db2.gz KUVMFMMIQVNYQD-IIDMSEBBSA-N 0 0 447.517 -0.448 20 0 IBADRN O=C(Cn1nc2ccccc2n1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001180297561 1074197259 /nfs/dbraw/zinc/19/72/59/1074197259.db2.gz BRNOJLKGHZZWQN-HTDHLNIYSA-N 0 0 446.485 -0.038 20 0 IBADRN O=C(Cn1nc2ccccc2n1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001180297562 1074197236 /nfs/dbraw/zinc/19/72/36/1074197236.db2.gz BRNOJLKGHZZWQN-JUSBFGHESA-N 0 0 446.485 -0.038 20 0 IBADRN O=C(Cn1nc2ccccc2n1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001180297563 1074197164 /nfs/dbraw/zinc/19/71/64/1074197164.db2.gz BRNOJLKGHZZWQN-XZEJUNMKSA-N 0 0 446.485 -0.038 20 0 IBADRN O=C(Cn1nc2ccccc2n1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001180297564 1074197200 /nfs/dbraw/zinc/19/72/00/1074197200.db2.gz BRNOJLKGHZZWQN-ZKIDJSGLSA-N 0 0 446.485 -0.038 20 0 IBADRN CC(=O)c1cn(C2CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2)nn1 ZINC001180301262 1074196482 /nfs/dbraw/zinc/19/64/82/1074196482.db2.gz KZOICGFNWITYHU-HNNXBMFYSA-N 0 0 427.870 -0.143 20 0 IBADRN CC(=O)c1cn(C2CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2)nn1 ZINC001180301263 1074196414 /nfs/dbraw/zinc/19/64/14/1074196414.db2.gz KZOICGFNWITYHU-OAHLLOKOSA-N 0 0 427.870 -0.143 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)CN1C[C@@H](c2ccccc2)CC1=O ZINC001180304219 1074197205 /nfs/dbraw/zinc/19/72/05/1074197205.db2.gz FOHKGUXPVAOOMK-AWEZNQCLSA-N 0 0 425.449 -0.083 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)CN1C[C@H](c2ccccc2)CC1=O ZINC001180304220 1074197253 /nfs/dbraw/zinc/19/72/53/1074197253.db2.gz FOHKGUXPVAOOMK-CQSZACIVSA-N 0 0 425.449 -0.083 20 0 IBADRN NS(=O)(=O)c1ccc(CCCNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC001180329978 1074197502 /nfs/dbraw/zinc/19/75/02/1074197502.db2.gz NPGRURGHRPAJSV-KRWDZBQOSA-N 0 0 438.550 -0.437 20 0 IBADRN NS(=O)(=O)c1ccc(CCCNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC001180329990 1074197603 /nfs/dbraw/zinc/19/76/03/1074197603.db2.gz NPGRURGHRPAJSV-QGZVFWFLSA-N 0 0 438.550 -0.437 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1cnn(CC(=O)NC3CCCC3)c1)C2 ZINC001180354869 1074197245 /nfs/dbraw/zinc/19/72/45/1074197245.db2.gz GGRNCRMFHJUTIO-INIZCTEOSA-N 0 0 428.497 -0.045 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1cnn(CC(=O)NC3CCCC3)c1)C2 ZINC001180354870 1074197188 /nfs/dbraw/zinc/19/71/88/1074197188.db2.gz GGRNCRMFHJUTIO-MRXNPFEDSA-N 0 0 428.497 -0.045 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)[C@H](C)NS(=O)(=O)c1cccs1)C2 ZINC001180356069 1074197240 /nfs/dbraw/zinc/19/72/40/1074197240.db2.gz PGBHRNPEVDQKBU-CMPLNLGQSA-N 0 0 426.524 -0.186 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)[C@H](C)NS(=O)(=O)c1cccs1)C2 ZINC001180356070 1074197150 /nfs/dbraw/zinc/19/71/50/1074197150.db2.gz PGBHRNPEVDQKBU-JQWIXIFHSA-N 0 0 426.524 -0.186 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)[C@@H](C)NS(=O)(=O)c1cccs1)C2 ZINC001180356071 1074197651 /nfs/dbraw/zinc/19/76/51/1074197651.db2.gz PGBHRNPEVDQKBU-PWSUYJOCSA-N 0 0 426.524 -0.186 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)[C@@H](C)NS(=O)(=O)c1cccs1)C2 ZINC001180356072 1074197469 /nfs/dbraw/zinc/19/74/69/1074197469.db2.gz PGBHRNPEVDQKBU-ZYHUDNBSSA-N 0 0 426.524 -0.186 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC001180356432 1074197587 /nfs/dbraw/zinc/19/75/87/1074197587.db2.gz SPUCYJJWDKLIOB-HNNXBMFYSA-N 0 0 435.529 -0.062 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC001180356433 1074197655 /nfs/dbraw/zinc/19/76/55/1074197655.db2.gz SPUCYJJWDKLIOB-OAHLLOKOSA-N 0 0 435.529 -0.062 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CNS(=O)(=O)c1ccc(C)c(C)c1)C2 ZINC001180357602 1074197631 /nfs/dbraw/zinc/19/76/31/1074197631.db2.gz YJXRPKIAFHBQDG-INIZCTEOSA-N 0 0 434.522 -0.019 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CNS(=O)(=O)c1ccc(C)c(C)c1)C2 ZINC001180357608 1074197717 /nfs/dbraw/zinc/19/77/17/1074197717.db2.gz YJXRPKIAFHBQDG-MRXNPFEDSA-N 0 0 434.522 -0.019 20 0 IBADRN COCCNC(=O)CN1CCN(Cc2ccc(S(N)(=O)=O)c(Br)c2)CC1 ZINC001180359353 1074197567 /nfs/dbraw/zinc/19/75/67/1074197567.db2.gz JADFJAKCTSKOEY-UHFFFAOYSA-N 0 0 449.371 -0.023 20 0 IBADRN COc1ccc2c(c1)C[C@H](C(=O)N[C@H]1[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]1O)CO2 ZINC001180364561 1074198596 /nfs/dbraw/zinc/19/85/96/1074198596.db2.gz LZRCJSYFANKQFY-LLOXCHLYSA-N 0 0 432.433 -0.937 20 0 IBADRN COc1ccc2c(c1)C[C@@H](C(=O)N[C@H]1[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]1O)CO2 ZINC001180364564 1074198481 /nfs/dbraw/zinc/19/84/81/1074198481.db2.gz LZRCJSYFANKQFY-MMKFELLXSA-N 0 0 432.433 -0.937 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)cc1 ZINC001180374213 1074198562 /nfs/dbraw/zinc/19/85/62/1074198562.db2.gz HYSWKFRKZGBSNK-UHFFFAOYSA-N 0 0 448.505 -0.261 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)CCc1ccc(S(N)(=O)=O)cc1 ZINC001180374441 1074197708 /nfs/dbraw/zinc/19/77/08/1074197708.db2.gz TWCYCBAFJJKHHO-UHFFFAOYSA-N 0 0 435.466 -0.819 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)COC2CCN(C(=O)OC(C)(C)C)CC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001180374892 1074197483 /nfs/dbraw/zinc/19/74/83/1074197483.db2.gz UCQDKXFVRYBLCU-ARYPMIFLSA-N 0 0 448.513 -0.637 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)CCc2ccc(S(N)(=O)=O)cc2)C1 ZINC001180377065 1074197542 /nfs/dbraw/zinc/19/75/42/1074197542.db2.gz CKIDZWIMNXXTMZ-INIZCTEOSA-N 0 0 449.533 -0.116 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)CCc2ccc(S(N)(=O)=O)cc2)C1 ZINC001180377066 1074197621 /nfs/dbraw/zinc/19/76/21/1074197621.db2.gz CKIDZWIMNXXTMZ-MRXNPFEDSA-N 0 0 449.533 -0.116 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)CCc1ccc(S(N)(=O)=O)cc1)C2 ZINC001180377160 1074197639 /nfs/dbraw/zinc/19/76/39/1074197639.db2.gz CWUFDBKJGSUQRJ-AWEZNQCLSA-N 0 0 430.504 -0.545 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)CCc1ccc(S(N)(=O)=O)cc1)C2 ZINC001180377161 1074197674 /nfs/dbraw/zinc/19/76/74/1074197674.db2.gz CWUFDBKJGSUQRJ-CQSZACIVSA-N 0 0 430.504 -0.545 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CCc1ccc(S(N)(=O)=O)cc1)C[C@H]2C(=O)N(C)C ZINC001180377353 1074197557 /nfs/dbraw/zinc/19/75/57/1074197557.db2.gz JETVQJCWSHXHNC-KRWDZBQOSA-N 0 0 436.534 -0.338 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CCc1ccc(S(N)(=O)=O)cc1)C[C@@H]2C(=O)N(C)C ZINC001180377356 1074197682 /nfs/dbraw/zinc/19/76/82/1074197682.db2.gz JETVQJCWSHXHNC-QGZVFWFLSA-N 0 0 436.534 -0.338 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)CCc3ccc(S(N)(=O)=O)cc3)CC[C@H]2C1=O ZINC001180377549 1074197665 /nfs/dbraw/zinc/19/76/65/1074197665.db2.gz LQVJIUBRIDNRKS-MSOLQXFVSA-N 0 0 439.534 -0.011 20 0 IBADRN NS(=O)(=O)c1ccc(CCC(=O)N2CCn3c(CN4CCOCC4)nnc3C2)cc1 ZINC001180377897 1074198106 /nfs/dbraw/zinc/19/81/06/1074198106.db2.gz SNYBIMNXLDXPBI-UHFFFAOYSA-N 0 0 434.522 -0.267 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)CCc3ccc(S(N)(=O)=O)cc3)C[C@H]2C1 ZINC001180378059 1074197997 /nfs/dbraw/zinc/19/79/97/1074197997.db2.gz WWFXGEVSLAXDOM-CVEARBPZSA-N 0 0 444.579 -0.147 20 0 IBADRN O=C(CN1C(=O)CNC1=O)N1CC[C@H]2[C@H](C[C@@H](Cn3cncn3)N2c2nccs2)C1 ZINC001180379694 1074198118 /nfs/dbraw/zinc/19/81/18/1074198118.db2.gz AKLROWRBFXDPRF-RDBSUJKOSA-N 0 0 430.494 -0.218 20 0 IBADRN O=C(CN1C(=O)CNC1=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001180380047 1074198055 /nfs/dbraw/zinc/19/80/55/1074198055.db2.gz DOOLNUJGWDOSIS-YQQAZPJKSA-N 0 0 429.477 -0.454 20 0 IBADRN O=C(CN1C(=O)CNC1=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001180380384 1074198062 /nfs/dbraw/zinc/19/80/62/1074198062.db2.gz ICWWXPONJPUNFE-IXDOHACOSA-N 0 0 429.477 -0.454 20 0 IBADRN O=C(CN1C(=O)CNC1=O)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001180381017 1074198032 /nfs/dbraw/zinc/19/80/32/1074198032.db2.gz IVCOXGYTHIWLHZ-GUXCAODWSA-N 0 0 448.501 -0.835 20 0 IBADRN O=C(CN1C(=O)CNC1=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001180381026 1074198112 /nfs/dbraw/zinc/19/81/12/1074198112.db2.gz JDHDJKXWWPAXPB-UHFFFAOYSA-N 0 0 428.405 -0.950 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CN1C(=O)CNC1=O)CC2 ZINC001180381275 1074198105 /nfs/dbraw/zinc/19/81/05/1074198105.db2.gz LJNXBSBFLCIZCK-CYBMUJFWSA-N 0 0 428.511 -0.640 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CN1C(=O)CNC1=O)CC2 ZINC001180381276 1074198041 /nfs/dbraw/zinc/19/80/41/1074198041.db2.gz LJNXBSBFLCIZCK-ZDUSSCGKSA-N 0 0 428.511 -0.640 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)CN(C(=O)CN1C(=O)CNC1=O)Cc1ncnn12 ZINC001180382325 1074198081 /nfs/dbraw/zinc/19/80/81/1074198081.db2.gz NSQOQDXPEMDCQT-UHFFFAOYSA-N 0 0 433.469 -0.102 20 0 IBADRN COC(=O)c1cc2c(nc1-c1cnn(C)c1)CCN(C(=O)CN1C(=O)CNC1=O)CC2 ZINC001180382958 1074198122 /nfs/dbraw/zinc/19/81/22/1074198122.db2.gz TYDLZDAHRWOQKH-UHFFFAOYSA-N 0 0 426.433 -0.252 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)CCc1ccc(S(N)(=O)=O)cc1 ZINC001180383115 1074198075 /nfs/dbraw/zinc/19/80/75/1074198075.db2.gz SRGJJEFRVSCLPT-KRWDZBQOSA-N 0 0 432.502 -0.404 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CN(C(=O)CN3C(=O)CNC3=O)C[C@H]2c2ccccc2)C1=O ZINC001180383179 1074198005 /nfs/dbraw/zinc/19/80/05/1074198005.db2.gz UIOQJKIAHVBYON-KSFYIVLOSA-N 0 0 441.488 -0.529 20 0 IBADRN O=C(CN1C(=O)CNC1=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1 ZINC001180385013 1074197987 /nfs/dbraw/zinc/19/79/87/1074197987.db2.gz NGQSNLYFMOVALJ-ZDUSSCGKSA-N 0 0 435.412 -0.345 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@H](CNC(=O)CN3C(=O)CNC3=O)CO2)C1 ZINC001180385170 1074198679 /nfs/dbraw/zinc/19/86/79/1074198679.db2.gz VLIOOTONUHKNAI-BFUOFWGJSA-N 0 0 426.470 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@@H](CNC(=O)CN3C(=O)CNC3=O)CO2)C1 ZINC001180385171 1074198621 /nfs/dbraw/zinc/19/86/21/1074198621.db2.gz VLIOOTONUHKNAI-DJJJIMSYSA-N 0 0 426.470 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@@H](CNC(=O)CN3C(=O)CNC3=O)CO2)C1 ZINC001180385172 1074198721 /nfs/dbraw/zinc/19/87/21/1074198721.db2.gz VLIOOTONUHKNAI-ORAYPTAESA-N 0 0 426.470 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@H](CNC(=O)CN3C(=O)CNC3=O)CO2)C1 ZINC001180385173 1074198608 /nfs/dbraw/zinc/19/86/08/1074198608.db2.gz VLIOOTONUHKNAI-YJYMSZOUSA-N 0 0 426.470 -0.303 20 0 IBADRN CC(C)(C)OC(=O)N1CCCn2c(=O)cc(CNC(=O)CN3C(=O)CNC3=O)nc2C1 ZINC001180385195 1074198671 /nfs/dbraw/zinc/19/86/71/1074198671.db2.gz WLOSSXJPRTYRQS-UHFFFAOYSA-N 0 0 434.453 -0.448 20 0 IBADRN CC[NH+](CC)CC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001180385602 1074198630 /nfs/dbraw/zinc/19/86/30/1074198630.db2.gz RUQIWNBPVSNDOR-DPBRGUSISA-N 0 0 442.369 -0.119 20 0 IBADRN CC[NH+](CC)CC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001180385603 1074198521 /nfs/dbraw/zinc/19/85/21/1074198521.db2.gz RUQIWNBPVSNDOR-LYNPINBMSA-N 0 0 442.369 -0.119 20 0 IBADRN Cn1nc(Cl)c(I)c(NC(=O)CN2C(=O)CNC2=O)c1=O ZINC001180388197 1074198710 /nfs/dbraw/zinc/19/87/10/1074198710.db2.gz ZGDJGXDXAZLEPU-UHFFFAOYSA-N 0 0 425.570 -0.471 20 0 IBADRN COc1cccc2c1OC[C@@H](C(=O)N[C@H]1[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]1O)C2 ZINC001180402472 1074198686 /nfs/dbraw/zinc/19/86/86/1074198686.db2.gz YBFIRCZFVXRHFS-KFAHYOAQSA-N 0 0 432.433 -0.937 20 0 IBADRN COc1cccc2c1OC[C@H](C(=O)N[C@H]1[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]1O)C2 ZINC001180402473 1074198576 /nfs/dbraw/zinc/19/85/76/1074198576.db2.gz YBFIRCZFVXRHFS-SSFGXONLSA-N 0 0 432.433 -0.937 20 0 IBADRN COC(=O)[C@@H]1C[N@H+](Cc2ccccc2)C[C@@H]1C(=O)N[C@@H]1C(=O)N(S(=O)(=O)[O-])[C@H]1C ZINC001180408985 1074199104 /nfs/dbraw/zinc/19/91/04/1074199104.db2.gz XUVDNWFFCFUWHC-MHEUCROKSA-N 0 0 425.463 -0.574 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)CN1C(=O)COc2ccc(Cl)cc21 ZINC001180417754 1074199566 /nfs/dbraw/zinc/19/95/66/1074199566.db2.gz LTJQPQUDXSFMTH-UHFFFAOYSA-N 0 0 447.839 -0.020 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)CN2C(=O)COc3ccc(Cl)cc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001180422683 1074199621 /nfs/dbraw/zinc/19/96/21/1074199621.db2.gz RFGXWFVXLDKUEK-LXNKJQKCSA-N 0 0 430.841 -0.974 20 0 IBADRN CN1N=C(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)CCC1=O ZINC001180440509 1074198504 /nfs/dbraw/zinc/19/85/04/1074198504.db2.gz OPUHHUBABVPNBQ-DZGCQCFKSA-N 0 0 448.505 -0.856 20 0 IBADRN CN1N=C(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CCC1=O ZINC001180442068 1074198463 /nfs/dbraw/zinc/19/84/63/1074198463.db2.gz BTRRXPAYHPMPMG-ALKREAHSSA-N 0 0 433.490 -0.426 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)C2=NN(C)C(=O)CC2)CC3)C1=O ZINC001180443275 1074198997 /nfs/dbraw/zinc/19/89/97/1074198997.db2.gz VWUGSNRKFHBEEH-IBGZPJMESA-N 0 0 439.538 -0.673 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)C2=NN(C)C(=O)CC2)CC3)C1=O ZINC001180443280 1074198964 /nfs/dbraw/zinc/19/89/64/1074198964.db2.gz VWUGSNRKFHBEEH-LJQANCHMSA-N 0 0 439.538 -0.673 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)C3=NN(C)C(=O)CC3)CC2)c2nonc21 ZINC001180443439 1074198948 /nfs/dbraw/zinc/19/89/48/1074198948.db2.gz KPFRNMZNEUMGME-UHFFFAOYSA-N 0 0 449.493 -0.270 20 0 IBADRN CN1N=C(C(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCC1=O ZINC001180443876 1074198914 /nfs/dbraw/zinc/19/89/14/1074198914.db2.gz OTBPIDCHGKKFHZ-UHFFFAOYSA-N 0 0 431.515 -0.407 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=NN(C)C(=O)CC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001180443998 1074199010 /nfs/dbraw/zinc/19/90/10/1074199010.db2.gz REUFSFRWULYVPI-CVEARBPZSA-N 0 0 425.463 -0.220 20 0 IBADRN CN1N=C(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)CCC1=O ZINC001180444086 1074199052 /nfs/dbraw/zinc/19/90/52/1074199052.db2.gz WFLHWQXSFHSGOJ-GHVFGFPKSA-N 0 0 425.463 -0.875 20 0 IBADRN CN1N=C(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)CCC1=O ZINC001180444087 1074199006 /nfs/dbraw/zinc/19/90/06/1074199006.db2.gz WFLHWQXSFHSGOJ-USFQYCJESA-N 0 0 425.463 -0.875 20 0 IBADRN CN1N=C(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)CCC1=O ZINC001180444088 1074199046 /nfs/dbraw/zinc/19/90/46/1074199046.db2.gz WFLHWQXSFHSGOJ-VUJCFQJHSA-N 0 0 425.463 -0.875 20 0 IBADRN CN1N=C(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)CCC1=O ZINC001180444089 1074198972 /nfs/dbraw/zinc/19/89/72/1074198972.db2.gz WFLHWQXSFHSGOJ-YQUYXMIOSA-N 0 0 425.463 -0.875 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)C3=NN(C)C(=O)CC3)ccc12 ZINC001180445044 1074199031 /nfs/dbraw/zinc/19/90/31/1074199031.db2.gz OPGOCUIQUAWVMW-UHFFFAOYSA-N 0 0 427.417 -0.119 20 0 IBADRN COCn1ncc(C)c1CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001180453329 1074199605 /nfs/dbraw/zinc/19/96/05/1074199605.db2.gz XYFUKPRRZHVIJA-UHFFFAOYSA-N 0 0 443.551 -0.051 20 0 IBADRN Cc1ccc(CC(=O)Nc2ncnc3c2[nH]c(=O)n3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1C ZINC001180459462 1074199555 /nfs/dbraw/zinc/19/95/55/1074199555.db2.gz AURCIKJGYQKCJA-BGIGGGFGSA-N 0 0 429.433 -0.059 20 0 IBADRN C[C@]1(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)CC(c2ccc(F)cc2)=NO1 ZINC001180461617 1074199536 /nfs/dbraw/zinc/19/95/36/1074199536.db2.gz VGETYRWCYRMPPV-DIYQJYNUSA-N 0 0 447.423 -0.474 20 0 IBADRN C[C@@]1(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)CC(c2ccc(F)cc2)=NO1 ZINC001180461622 1074199631 /nfs/dbraw/zinc/19/96/31/1074199631.db2.gz VGETYRWCYRMPPV-RZRATATOSA-N 0 0 447.423 -0.474 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](Oc2ccccc2)C[C@H]1C(=O)N1CN[C@H](N)[C@@H]2NCN[C@H]21 ZINC001180467948 1074199576 /nfs/dbraw/zinc/19/95/76/1074199576.db2.gz KFNHPKXPOSWWRX-ATIWLJMLSA-N 0 0 432.525 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](Oc2ccccc2)C[C@H]1C(=O)N1CN[C@H](N)[C@H]2NCN[C@@H]21 ZINC001180467953 1074200058 /nfs/dbraw/zinc/20/00/58/1074200058.db2.gz KFNHPKXPOSWWRX-IECFSIQFSA-N 0 0 432.525 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](Oc2ccccc2)C[C@H]1C(=O)N1CN[C@H](N)[C@H]2NCN[C@H]21 ZINC001180467957 1074200032 /nfs/dbraw/zinc/20/00/32/1074200032.db2.gz KFNHPKXPOSWWRX-JCECYMMASA-N 0 0 432.525 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](Oc2ccccc2)C[C@H]1C(=O)N1CN[C@H](N)[C@@H]2NCN[C@@H]21 ZINC001180467962 1074200077 /nfs/dbraw/zinc/20/00/77/1074200077.db2.gz KFNHPKXPOSWWRX-KFGODFMUSA-N 0 0 432.525 -0.038 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@]1(C)CCCO1)C(N)=O ZINC001180476460 1074199642 /nfs/dbraw/zinc/19/96/42/1074199642.db2.gz JJCMXGFYNLIVAJ-CKFHNAJUSA-N 0 0 430.571 -0.074 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@]1(C)CCCO1)C(N)=O ZINC001180476461 1074199636 /nfs/dbraw/zinc/19/96/36/1074199636.db2.gz JJCMXGFYNLIVAJ-NJSLBKSFSA-N 0 0 430.571 -0.074 20 0 IBADRN C[C@@](O)(C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O)C(F)(F)F ZINC001180488970 1074199626 /nfs/dbraw/zinc/19/96/26/1074199626.db2.gz IAIWEXMOTMDOON-SECBINFHSA-N 0 0 425.794 -0.113 20 0 IBADRN C[C@@](O)(C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)C(F)(F)F ZINC001180490581 1074199633 /nfs/dbraw/zinc/19/96/33/1074199633.db2.gz HBTKMSUPLIGNJI-FUTJPDQTSA-N 0 0 428.429 -0.580 20 0 IBADRN C[C@@](O)(C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)C(F)(F)F ZINC001180490592 1074199611 /nfs/dbraw/zinc/19/96/11/1074199611.db2.gz HBTKMSUPLIGNJI-RTWAVKEYSA-N 0 0 428.429 -0.580 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)CCC(=O)N1CCOCC1)CC2 ZINC001180492492 1074199522 /nfs/dbraw/zinc/19/95/22/1074199522.db2.gz ASXSBQVPFJNUIR-UHFFFAOYSA-N 0 0 443.508 -0.430 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)CCC(=O)N1CCOCC1)C2 ZINC001180492518 1074200162 /nfs/dbraw/zinc/20/01/62/1074200162.db2.gz BVEQXJMJROUIII-GOSISDBHSA-N 0 0 449.552 -0.166 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)CCC(=O)N1CCOCC1)C2 ZINC001180492519 1074200115 /nfs/dbraw/zinc/20/01/15/1074200115.db2.gz BVEQXJMJROUIII-SFHVURJKSA-N 0 0 449.552 -0.166 20 0 IBADRN O=C(CCC(=O)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1)N1CCOCC1 ZINC001180492594 1074199550 /nfs/dbraw/zinc/19/95/50/1074199550.db2.gz FAWJOPOLNOKWGD-INIZCTEOSA-N 0 0 441.492 -0.300 20 0 IBADRN O=C(CCC(=O)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1)N1CCOCC1 ZINC001180492595 1074199617 /nfs/dbraw/zinc/19/96/17/1074199617.db2.gz FAWJOPOLNOKWGD-MRXNPFEDSA-N 0 0 441.492 -0.300 20 0 IBADRN O=C(CCC(=O)N1CCO[C@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21)N1CCOCC1 ZINC001180492877 1074200013 /nfs/dbraw/zinc/20/00/13/1074200013.db2.gz IVEXOLMCGSLQBQ-KNBMTAEXSA-N 0 0 431.493 -0.149 20 0 IBADRN O=C(CCC(=O)N1CCO[C@@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21)N1CCOCC1 ZINC001180492878 1074199968 /nfs/dbraw/zinc/19/99/68/1074199968.db2.gz IVEXOLMCGSLQBQ-RIFZZMRRSA-N 0 0 431.493 -0.149 20 0 IBADRN O=C(CCC(=O)N1CCO[C@@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1)N1CCOCC1 ZINC001180492879 1074200069 /nfs/dbraw/zinc/20/00/69/1074200069.db2.gz IVEXOLMCGSLQBQ-VPWXQRGCSA-N 0 0 431.493 -0.149 20 0 IBADRN O=C(CCC(=O)N1CCO[C@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1)N1CCOCC1 ZINC001180492880 1074199981 /nfs/dbraw/zinc/19/99/81/1074199981.db2.gz IVEXOLMCGSLQBQ-XAUMDUMWSA-N 0 0 431.493 -0.149 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=O)CCC(=O)N4CCOCC4)CC[C@@H]32)cn1 ZINC001180493164 1074200664 /nfs/dbraw/zinc/20/06/64/1074200664.db2.gz MXQWUVSSPRBFDF-OKZBNKHCSA-N 0 0 433.509 -0.348 20 0 IBADRN O=C(CCC(=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2)N1CCOCC1 ZINC001180493320 1074200496 /nfs/dbraw/zinc/20/04/96/1074200496.db2.gz PTJMFUBLNTXBEE-UHFFFAOYSA-N 0 0 431.493 -0.051 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)CCC(=O)N1CCOCC1)C2 ZINC001180493337 1074200643 /nfs/dbraw/zinc/20/06/43/1074200643.db2.gz QLTGRBRMKHYSSM-JOCHJYFZSA-N 0 0 443.504 -0.113 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)CCC(=O)N1CCOCC1)C2 ZINC001180493338 1074200578 /nfs/dbraw/zinc/20/05/78/1074200578.db2.gz QLTGRBRMKHYSSM-QFIPXVFZSA-N 0 0 443.504 -0.113 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)CCC(=O)N1CCOCC1)CCC2)N1CCCC1 ZINC001180494100 1074200556 /nfs/dbraw/zinc/20/05/56/1074200556.db2.gz KCMZUVJCLVIMCQ-UHFFFAOYSA-N 0 0 448.524 -0.212 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)CCC(=O)N1CCOCC1)CC2 ZINC001180494169 1074200600 /nfs/dbraw/zinc/20/06/00/1074200600.db2.gz KWZJXUDXGHBOMJ-UHFFFAOYSA-N 0 0 432.525 -0.669 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)CCC(=O)N1CCOCC1)C(N)=O ZINC001180496949 1074200636 /nfs/dbraw/zinc/20/06/36/1074200636.db2.gz CYOIADLTSYDBEH-XLIONFOSSA-N 0 0 448.520 -0.315 20 0 IBADRN O=C(CCC(=O)N1CCOCC1)NCc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001180498152 1074200627 /nfs/dbraw/zinc/20/06/27/1074200627.db2.gz ACYZUBOKPAKCOP-UHFFFAOYSA-N 0 0 425.507 -0.037 20 0 IBADRN CCc1ncc(CN2CCN(C(=O)CN3CCOCC3)CC2)n1S(=O)(=O)N(C)C ZINC001180513899 1074200046 /nfs/dbraw/zinc/20/00/46/1074200046.db2.gz MDIQIWMFLIUCMA-UHFFFAOYSA-N 0 0 428.559 -0.924 20 0 IBADRN CCc1ncc(CN(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n1S(=O)(=O)N(C)C ZINC001180523266 1074200110 /nfs/dbraw/zinc/20/01/10/1074200110.db2.gz RKIJWLVECIENFF-CYBMUJFWSA-N 0 0 435.572 -0.775 20 0 IBADRN CCc1ncc(CN(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)n1S(=O)(=O)N(C)C ZINC001180523269 1074199949 /nfs/dbraw/zinc/19/99/49/1074199949.db2.gz RKIJWLVECIENFF-ZDUSSCGKSA-N 0 0 435.572 -0.775 20 0 IBADRN O=C([C@H]1CSCC(=O)N1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001180549300 1074200490 /nfs/dbraw/zinc/20/04/90/1074200490.db2.gz CSSFFWFMYAWTHO-BSXFFOKHSA-N 0 0 438.531 -0.803 20 0 IBADRN O=C([C@@H]1CSCC(=O)N1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001180549301 1074200671 /nfs/dbraw/zinc/20/06/71/1074200671.db2.gz CSSFFWFMYAWTHO-LLDVTBCESA-N 0 0 438.531 -0.803 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C(=O)[C@H]1CSCC(=O)N1)CCC2)c1ccco1 ZINC001180549754 1074200650 /nfs/dbraw/zinc/20/06/50/1074200650.db2.gz IHFAVEBUCYRXPM-CYBMUJFWSA-N 0 0 431.474 -0.270 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C(=O)[C@@H]1CSCC(=O)N1)CCC2)c1ccco1 ZINC001180549757 1074200566 /nfs/dbraw/zinc/20/05/66/1074200566.db2.gz IHFAVEBUCYRXPM-ZDUSSCGKSA-N 0 0 431.474 -0.270 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)[C@H]3CSCC(=O)N3)ccc12 ZINC001180553725 1074201114 /nfs/dbraw/zinc/20/11/14/1074201114.db2.gz FMWZOTPEAADTJE-CYBMUJFWSA-N 0 0 432.458 -0.496 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)[C@@H]3CSCC(=O)N3)ccc12 ZINC001180553726 1074201098 /nfs/dbraw/zinc/20/10/98/1074201098.db2.gz FMWZOTPEAADTJE-ZDUSSCGKSA-N 0 0 432.458 -0.496 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H]1CSCC(=O)N1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001180554075 1074201104 /nfs/dbraw/zinc/20/11/04/1074201104.db2.gz FAEHNLOWKHBDOQ-CFVMTHIKSA-N 0 0 430.504 -0.597 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H]1CSCC(=O)N1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001180554077 1074201069 /nfs/dbraw/zinc/20/10/69/1074201069.db2.gz FAEHNLOWKHBDOQ-YUELXQCFSA-N 0 0 430.504 -0.597 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)[C@H]2CSCC(=O)N2)CC1 ZINC001180556983 1074201022 /nfs/dbraw/zinc/20/10/22/1074201022.db2.gz VDXJGKSNSFQSCY-GFCCVEGCSA-N 0 0 436.556 -0.783 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)[C@@H]2CSCC(=O)N2)CC1 ZINC001180556984 1074201095 /nfs/dbraw/zinc/20/10/95/1074201095.db2.gz VDXJGKSNSFQSCY-LBPRGKRZSA-N 0 0 436.556 -0.783 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)COc2ccc(F)c(Cl)c2)c(=O)n1 ZINC001180564834 1074201117 /nfs/dbraw/zinc/20/11/17/1074201117.db2.gz FTVQMQVHTSDJLT-RAEVTNRLSA-N 0 0 428.804 -0.325 20 0 IBADRN NC(=O)c1cc(I)cnc1NC(=O)CN1CCS(=O)(=O)CC1 ZINC001180590995 1074201495 /nfs/dbraw/zinc/20/14/95/1074201495.db2.gz HQRXFWXUZXCWNS-UHFFFAOYSA-N 0 0 438.247 -0.546 20 0 IBADRN N=c1nc2ncc(-c3cccc(O)c3)nc2c(N)n1C(=O)CN1CCS(=O)(=O)CC1 ZINC001180590997 1074201373 /nfs/dbraw/zinc/20/13/73/1074201373.db2.gz HRJCUTPAECUEDQ-UHFFFAOYSA-N 0 0 429.462 -0.369 20 0 IBADRN CCOC(=O)c1cnc2nc(SC)nn2c1NC(=O)CN1CCS(=O)(=O)CC1 ZINC001180591044 1074201387 /nfs/dbraw/zinc/20/13/87/1074201387.db2.gz KXYYTRVGRSRQDT-UHFFFAOYSA-N 0 0 428.496 -0.308 20 0 IBADRN COc1ccc(N)c(N(C(=O)CN2CCS(=O)(=O)CC2)C2COC(C)(C)OC2)n1 ZINC001180591347 1074201530 /nfs/dbraw/zinc/20/15/30/1074201530.db2.gz OTNCDJRXHJJRTL-UHFFFAOYSA-N 0 0 428.511 -0.113 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)n1c([C@@H]2COCCN2)ncc/c1=N\Cc1ccco1 ZINC001180592416 1074201441 /nfs/dbraw/zinc/20/14/41/1074201441.db2.gz BPWKXCSTBJEEGC-MXVUGKIDSA-N 0 0 435.506 -0.392 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)n1c([C@H]2COCCN2)ncc/c1=N\Cc1ccco1 ZINC001180592417 1074201518 /nfs/dbraw/zinc/20/15/18/1074201518.db2.gz BPWKXCSTBJEEGC-VBUUPXLYSA-N 0 0 435.506 -0.392 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC2(CC1)CN(Cc1cccnc1)C(=O)CO2 ZINC001180592422 1074201395 /nfs/dbraw/zinc/20/13/95/1074201395.db2.gz BUUACTAMQHIJEK-UHFFFAOYSA-N 0 0 436.534 -0.468 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)CN3CCS(=O)(=O)CC3)CC1)C(=O)N2 ZINC001180592439 1074201431 /nfs/dbraw/zinc/20/14/31/1074201431.db2.gz CGOSYDCYKFXQMS-UHFFFAOYSA-N 0 0 434.518 -0.411 20 0 IBADRN CS(=O)(=O)N1C[C@@H]2CN(C(=O)CN3CCS(=O)(=O)CC3)C[C@H]2c2ccccc21 ZINC001180592452 1074201450 /nfs/dbraw/zinc/20/14/50/1074201450.db2.gz DAJGNDSYQMXHLG-GOEBONIOSA-N 0 0 427.548 -0.261 20 0 IBADRN CN1CC(=O)N(c2cccc(F)c2)C[C@@]12CCN(C(=O)CN1CCS(=O)(=O)CC1)C2 ZINC001180592469 1074201423 /nfs/dbraw/zinc/20/14/23/1074201423.db2.gz DQCZBLVGOMTSIS-FQEVSTJZSA-N 0 0 438.525 -0.194 20 0 IBADRN CN1CC(=O)N(c2cccc(F)c2)C[C@]12CCN(C(=O)CN1CCS(=O)(=O)CC1)C2 ZINC001180592470 1074201557 /nfs/dbraw/zinc/20/15/57/1074201557.db2.gz DQCZBLVGOMTSIS-HXUWFJFHSA-N 0 0 438.525 -0.194 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CC[C@@H]2CN(C(=O)c3ccco3)CCO[C@@H]2C1 ZINC001180592796 1074201553 /nfs/dbraw/zinc/20/15/53/1074201553.db2.gz AOHWYJMKYCGASR-NVXWUHKLSA-N 0 0 425.507 -0.301 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1C[C@@H]2COC[C@]2(C(=O)NCCc2cccs2)C1 ZINC001180592820 1074201510 /nfs/dbraw/zinc/20/15/10/1074201510.db2.gz BFLZCLDIAVSCDY-DNVCBOLYSA-N 0 0 441.575 -0.388 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N(c1ccccn1)c1ccnc([C@@H]2COCCN2)n1 ZINC001180592871 1074201952 /nfs/dbraw/zinc/20/19/52/1074201952.db2.gz DPWRWHLVGAXMRZ-HNNXBMFYSA-N 0 0 432.506 -0.072 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N(c1ccccn1)c1ccnc([C@H]2COCCN2)n1 ZINC001180592872 1074201970 /nfs/dbraw/zinc/20/19/70/1074201970.db2.gz DPWRWHLVGAXMRZ-OAHLLOKOSA-N 0 0 432.506 -0.072 20 0 IBADRN CN(Cc1ccccn1)C(=O)[C@@H]1C[C@@H]2[C@@H](CCN2C(=O)CN2CCS(=O)(=O)CC2)O1 ZINC001180592994 1074201959 /nfs/dbraw/zinc/20/19/59/1074201959.db2.gz KLTHHVUFAWVZGK-KURKYZTESA-N 0 0 436.534 -0.471 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCO[C@@]2(CCCN(C(=O)c3ccco3)C2)C1 ZINC001180593062 1074201894 /nfs/dbraw/zinc/20/18/94/1074201894.db2.gz KVSJQPOYZXBKEM-IBGZPJMESA-N 0 0 425.507 -0.156 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCO[C@]2(CCCN(C(=O)c3ccco3)C2)C1 ZINC001180593064 1074201843 /nfs/dbraw/zinc/20/18/43/1074201843.db2.gz KVSJQPOYZXBKEM-LJQANCHMSA-N 0 0 425.507 -0.156 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2 ZINC001180593110 1074201900 /nfs/dbraw/zinc/20/19/00/1074201900.db2.gz LTMYFKCCGZRYCQ-UHFFFAOYSA-N 0 0 437.522 -0.959 20 0 IBADRN O=C(CO[C@H]1CO[C@@]2(CCN(C(=O)CN3CCS(=O)(=O)CC3)C2)C1)N1CCCC1 ZINC001180593162 1074201913 /nfs/dbraw/zinc/20/19/13/1074201913.db2.gz NAHXYFIEYJPSRN-APWZRJJASA-N 0 0 429.539 -0.884 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1C[C@@H]2COC[C@H](C(=O)N3CCC(F)CC3)[C@@H]2C1 ZINC001180593193 1074201866 /nfs/dbraw/zinc/20/18/66/1074201866.db2.gz FJDIJYYFBATJCQ-OIISXLGYSA-N 0 0 431.530 -0.602 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1C[C@H]2OCC[C@H]2[C@H](C(=O)NCc2cccnc2)C1 ZINC001180593247 1074201881 /nfs/dbraw/zinc/20/18/81/1074201881.db2.gz GLDUCNMAFCXGJW-RCCFBDPRSA-N 0 0 436.534 -0.708 20 0 IBADRN Cn1nnc2c1[C@H](COCc1cccnc1)CN(C(=O)CN1CCS(=O)(=O)CC1)C2 ZINC001180593346 1074201966 /nfs/dbraw/zinc/20/19/66/1074201966.db2.gz HZLHWQYWNXGWDN-INIZCTEOSA-N 0 0 434.522 -0.417 20 0 IBADRN Cn1nnc2c1[C@@H](COCc1cccnc1)CN(C(=O)CN1CCS(=O)(=O)CC1)C2 ZINC001180593358 1074201872 /nfs/dbraw/zinc/20/18/72/1074201872.db2.gz HZLHWQYWNXGWDN-MRXNPFEDSA-N 0 0 434.522 -0.417 20 0 IBADRN O=C(C[C@H]1OC[C@H]2CN(C(=O)CN3CCS(=O)(=O)CC3)CC[C@@H]12)N1CCCCC1 ZINC001180593369 1074201943 /nfs/dbraw/zinc/20/19/43/1074201943.db2.gz IORVECBYMGHKOQ-KZNAEPCWSA-N 0 0 427.567 -0.017 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CC[C@H]2OC[C@@H](C(=O)N3CCCCO3)C[C@H]2C1 ZINC001180593383 1074201827 /nfs/dbraw/zinc/20/18/27/1074201827.db2.gz ONTKIRGCQPDSRZ-YESZJQIVSA-N 0 0 429.539 -0.476 20 0 IBADRN Cn1ccc(CN2CCOCC23CCN(C(=O)CN2CCS(=O)(=O)CC2)CC3)n1 ZINC001180593407 1074201907 /nfs/dbraw/zinc/20/19/07/1074201907.db2.gz PANIFXQMFZLEQZ-UHFFFAOYSA-N 0 0 425.555 -0.656 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC2(CC1)C(=O)NCCN2Cc1ccccc1 ZINC001180593532 1074201917 /nfs/dbraw/zinc/20/19/17/1074201917.db2.gz RIKYYZSZFHFGDK-UHFFFAOYSA-N 0 0 434.562 -0.290 20 0 IBADRN CCN(CC)C(=O)c1cccnc1N1CCN(C(=O)CN2CCS(=O)(=O)CC2)CC1 ZINC001180593576 1074201937 /nfs/dbraw/zinc/20/19/37/1074201937.db2.gz SVWNNPBWLBNCCE-UHFFFAOYSA-N 0 0 437.566 -0.057 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C1)CNC(=O)CN2C(=O)CN1CCS(=O)(=O)CC1 ZINC001180593681 1074201933 /nfs/dbraw/zinc/20/19/33/1074201933.db2.gz IZDRYVXQOQCOOY-GOSISDBHSA-N 0 0 430.527 -0.945 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(=O)CN1CCS(=O)(=O)CC1 ZINC001180593682 1074201858 /nfs/dbraw/zinc/20/18/58/1074201858.db2.gz IZDRYVXQOQCOOY-SFHVURJKSA-N 0 0 430.527 -0.945 20 0 IBADRN O=C(CO[C@@H]1CC[C@H]2[C@H]1OCCN2C(=O)CN1CCS(=O)(=O)CC1)N1CCCC1 ZINC001180593725 1074201947 /nfs/dbraw/zinc/20/19/47/1074201947.db2.gz KEYPNQKSAMQTSK-FRQCXROJSA-N 0 0 429.539 -0.886 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC[C@@]2(C1)COCCN(c1ncc(F)cn1)C2 ZINC001180593726 1074201887 /nfs/dbraw/zinc/20/18/87/1074201887.db2.gz KHGZBJSYDVAWQE-IBGZPJMESA-N 0 0 441.529 -0.209 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC[C@]2(C1)COCCN(c1ncc(F)cn1)C2 ZINC001180593727 1074202339 /nfs/dbraw/zinc/20/23/39/1074202339.db2.gz KHGZBJSYDVAWQE-LJQANCHMSA-N 0 0 441.529 -0.209 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CC[C@H]2CO[C@H](CNC(=O)c3ccncc3)[C@H]2C1 ZINC001180593770 1074202419 /nfs/dbraw/zinc/20/24/19/1074202419.db2.gz UQEVMZIAPVGJOO-OKZBNKHCSA-N 0 0 436.534 -0.595 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCO[C@H]2[C@H](OCCCn3cccn3)CC[C@@H]21 ZINC001180593799 1074202403 /nfs/dbraw/zinc/20/24/03/1074202403.db2.gz VDFDWLLDKQVFED-YQVWRLOYSA-N 0 0 426.539 -0.221 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1C[C@H](NC(=O)c2cscn2)[C@@H]2OCCC[C@@H]21 ZINC001180593927 1074202375 /nfs/dbraw/zinc/20/23/75/1074202375.db2.gz YFLRDIOFENZPOR-NOLJZWGESA-N 0 0 428.536 -0.638 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)CN2CCS(=O)(=O)CC2)C[C@@]2(CCCC(=O)N2)C1 ZINC001180593998 1074202386 /nfs/dbraw/zinc/20/23/86/1074202386.db2.gz ZXAUZIUWAJBWBC-IBGZPJMESA-N 0 0 428.555 -0.918 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)CN2CCS(=O)(=O)CC2)C[C@]2(CCCC(=O)N2)C1 ZINC001180593999 1074202321 /nfs/dbraw/zinc/20/23/21/1074202321.db2.gz ZXAUZIUWAJBWBC-LJQANCHMSA-N 0 0 428.555 -0.918 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC[C@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001180594000 1074202305 /nfs/dbraw/zinc/20/23/05/1074202305.db2.gz ZXGOQBZCWZHMOC-FQEVSTJZSA-N 0 0 449.533 -0.434 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCC[C@@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001180594001 1074202313 /nfs/dbraw/zinc/20/23/13/1074202313.db2.gz ZXGOQBZCWZHMOC-HXUWFJFHSA-N 0 0 449.533 -0.434 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)CN2CCS(=O)(=O)CC2)cc1 ZINC001180594197 1074202257 /nfs/dbraw/zinc/20/22/57/1074202257.db2.gz BBLFEWBLYSUEJN-UHFFFAOYSA-N 0 0 437.566 -0.434 20 0 IBADRN O=C(C[C@H]1OC[C@H]2CN(C(=O)CN3CCS(=O)(=O)CC3)CC[C@H]21)NCc1ccco1 ZINC001180594539 1074202399 /nfs/dbraw/zinc/20/23/99/1074202399.db2.gz RLJBJVRWNZECAS-KBAYOESNSA-N 0 0 439.534 -0.120 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN1CCS(=O)(=O)CC1 ZINC001180594580 1074202409 /nfs/dbraw/zinc/20/24/09/1074202409.db2.gz RVKQHUBEBUBJEE-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN1CCS(=O)(=O)CC1 ZINC001180594585 1074202378 /nfs/dbraw/zinc/20/23/78/1074202378.db2.gz RVKQHUBEBUBJEE-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1C[C@@H]2COC[C@]2(C(=O)NCCc2ccccc2)C1 ZINC001180594599 1074202367 /nfs/dbraw/zinc/20/23/67/1074202367.db2.gz SALVOZKWOLJKBL-WIYYLYMNSA-N 0 0 435.546 -0.449 20 0 IBADRN C[C@@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)CN1CCS(=O)(=O)CC1)CC2 ZINC001180594682 1074202426 /nfs/dbraw/zinc/20/24/26/1074202426.db2.gz SPXQBGKYXWEZGL-HNNXBMFYSA-N 0 0 439.538 -0.737 20 0 IBADRN C[C@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)CN1CCS(=O)(=O)CC1)CC2 ZINC001180594683 1074202328 /nfs/dbraw/zinc/20/23/28/1074202328.db2.gz SPXQBGKYXWEZGL-OAHLLOKOSA-N 0 0 439.538 -0.737 20 0 IBADRN Cn1cccc1C(=O)NCc1cn2c(n1)CN(C(=O)CN1CCS(=O)(=O)CC1)CC2 ZINC001180594734 1074202415 /nfs/dbraw/zinc/20/24/15/1074202415.db2.gz UGMHZRIGXHFROD-UHFFFAOYSA-N 0 0 434.522 -0.776 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1C[C@@H](NC(=O)c2cccnc2)[C@H]2OCCC[C@H]2C1 ZINC001180594856 1074202393 /nfs/dbraw/zinc/20/23/93/1074202393.db2.gz UVFSAFANEWCTEU-SCTDSRPQSA-N 0 0 436.534 -0.452 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCOC[C@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001180594942 1074202697 /nfs/dbraw/zinc/20/26/97/1074202697.db2.gz XBZDOMAXFOCSRK-GOSISDBHSA-N 0 0 443.547 -0.561 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CCOC[C@@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001180594947 1074202688 /nfs/dbraw/zinc/20/26/88/1074202688.db2.gz XBZDOMAXFOCSRK-SFHVURJKSA-N 0 0 443.547 -0.561 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N1CC[C@H]2OCC[C@@]2(C(=O)NCc2ccccn2)C1 ZINC001180595012 1074202822 /nfs/dbraw/zinc/20/28/22/1074202822.db2.gz XWWFQFBNTMIOEM-YLJYHZDGSA-N 0 0 436.534 -0.564 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)cc1 ZINC001180595260 1074202815 /nfs/dbraw/zinc/20/28/15/1074202815.db2.gz UAVFOAATBCXMTO-UHFFFAOYSA-N 0 0 445.563 -0.305 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N[C@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001180595333 1074202664 /nfs/dbraw/zinc/20/26/64/1074202664.db2.gz BMGINCGPYWJKJQ-KRWDZBQOSA-N 0 0 433.534 -0.551 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)N[C@@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001180595339 1074202701 /nfs/dbraw/zinc/20/27/01/1074202701.db2.gz BMGINCGPYWJKJQ-QGZVFWFLSA-N 0 0 433.534 -0.551 20 0 IBADRN COC(=O)C1(NC(=O)CN2CCS(=O)(=O)CC2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001180595340 1074202693 /nfs/dbraw/zinc/20/26/93/1074202693.db2.gz BNNHKDVIUKDAAS-UHFFFAOYSA-N 0 0 433.527 -0.224 20 0 IBADRN CC(C)(C)OC(=O)N1CCCn2c(CNC(=O)CN3CCS(=O)(=O)CC3)nnc2C1 ZINC001180595800 1074202707 /nfs/dbraw/zinc/20/27/07/1074202707.db2.gz XNDSQISXXWIYJH-UHFFFAOYSA-N 0 0 442.542 -0.235 20 0 IBADRN COC(=O)[C@H](Cc1ccc(Br)cn1)NC(=O)CN1CCS(=O)(=O)CC1 ZINC001180597455 1074202681 /nfs/dbraw/zinc/20/26/81/1074202681.db2.gz MVVKSHWHAZEEMV-ZDUSSCGKSA-N 0 0 434.312 -0.225 20 0 IBADRN COc1ccc(CN2C[C@@H](NC(=O)CN3CCS(=O)(=O)CC3)CC2=O)c(OC)c1 ZINC001180597501 1074202798 /nfs/dbraw/zinc/20/27/98/1074202798.db2.gz OZGWTUXFMZKYHR-HNNXBMFYSA-N 0 0 425.507 -0.349 20 0 IBADRN O=C(CN1CCS(=O)(=O)CC1)NCc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001180597723 1074202750 /nfs/dbraw/zinc/20/27/50/1074202750.db2.gz DLHJJWYGDHSLKC-UHFFFAOYSA-N 0 0 431.536 -0.946 20 0 IBADRN CC(N)=CC(=O)OCCCCOC(=O)/C=C(/C)NC(=O)CN1CCS(=O)(=O)CC1 ZINC001180598138 1074202672 /nfs/dbraw/zinc/20/26/72/1074202672.db2.gz GQAITMQIJGSYAH-GFCQZRJLSA-N 0 0 431.511 -0.534 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)[C@H](CC(C)C)NC(=O)CN1CCS(=O)(=O)CC1 ZINC001180598211 1074202713 /nfs/dbraw/zinc/20/27/13/1074202713.db2.gz ZCPABIREAMFXAM-HOTGVXAUSA-N 0 0 433.571 -0.048 20 0 IBADRN COc1ccc(C2(C(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)CCOCC2)cc1 ZINC001180601786 1074202744 /nfs/dbraw/zinc/20/27/44/1074202744.db2.gz AGUKEQYLFLBKFR-QHWILLEASA-N 0 0 425.478 -0.296 20 0 IBADRN CC(C)CC(=O)N1CCC(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)CC1 ZINC001180625655 1074201122 /nfs/dbraw/zinc/20/11/22/1074201122.db2.gz VXSCXNCHMFGJRS-VVHMCBODSA-N 0 0 437.497 -0.912 20 0 IBADRN CN(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)C1CCCC1 ZINC001180627584 1074203573 /nfs/dbraw/zinc/20/35/73/1074203573.db2.gz JGAQYEKGQPQFSL-KZNAEPCWSA-N 0 0 427.567 -0.019 20 0 IBADRN CN(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)C1CCCC1 ZINC001180627586 1074203730 /nfs/dbraw/zinc/20/37/30/1074203730.db2.gz JGAQYEKGQPQFSL-RCCFBDPRSA-N 0 0 427.567 -0.019 20 0 IBADRN CN1CC[C@H](C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)CC1=O ZINC001180630787 1074203724 /nfs/dbraw/zinc/20/37/24/1074203724.db2.gz BQZKUSMMSDODIV-CWRNSKLLSA-N 0 0 449.533 -0.596 20 0 IBADRN CN1CC[C@@H](C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)CC1=O ZINC001180630790 1074203534 /nfs/dbraw/zinc/20/35/34/1074203534.db2.gz BQZKUSMMSDODIV-VNQPRFMTSA-N 0 0 449.533 -0.596 20 0 IBADRN CN1CC[C@H](C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CC1=O ZINC001180636247 1074203558 /nfs/dbraw/zinc/20/35/58/1074203558.db2.gz VOSQTPPZKKHLGG-CLWJZODNSA-N 0 0 434.518 -0.166 20 0 IBADRN CN1CC[C@@H](C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CC1=O ZINC001180636248 1074203518 /nfs/dbraw/zinc/20/35/18/1074203518.db2.gz VOSQTPPZKKHLGG-WWNBULGVSA-N 0 0 434.518 -0.166 20 0 IBADRN CN1CC[C@H](C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)CC1=O ZINC001180636347 1074203644 /nfs/dbraw/zinc/20/36/44/1074203644.db2.gz LBPFBGBGUFPZFY-CRRJJVKGSA-N 0 0 426.491 -0.615 20 0 IBADRN CN1CC[C@H](C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)CC1=O ZINC001180636349 1074204114 /nfs/dbraw/zinc/20/41/14/1074204114.db2.gz LBPFBGBGUFPZFY-GCDFBFPTSA-N 0 0 426.491 -0.615 20 0 IBADRN CN1CC[C@H](C(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC001180636351 1074204070 /nfs/dbraw/zinc/20/40/70/1074204070.db2.gz ACLWMRSXDNOSRI-AWEZNQCLSA-N 0 0 432.543 -0.147 20 0 IBADRN CN1CC[C@H](C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)CC1=O ZINC001180636352 1074204166 /nfs/dbraw/zinc/20/41/66/1074204166.db2.gz LBPFBGBGUFPZFY-IBIWDWGDSA-N 0 0 426.491 -0.615 20 0 IBADRN CN1CC[C@@H](C(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC001180636354 1074204182 /nfs/dbraw/zinc/20/41/82/1074204182.db2.gz ACLWMRSXDNOSRI-CQSZACIVSA-N 0 0 432.543 -0.147 20 0 IBADRN CN1CC[C@H](C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)CC1=O ZINC001180636355 1074204144 /nfs/dbraw/zinc/20/41/44/1074204144.db2.gz LBPFBGBGUFPZFY-YRXBBEGBSA-N 0 0 426.491 -0.615 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC3)C1=O ZINC001180636669 1074204095 /nfs/dbraw/zinc/20/40/95/1074204095.db2.gz ZKCUSJWFLPJOOT-FOIQADDNSA-N 0 0 440.566 -0.413 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC3)C1=O ZINC001180636672 1074204077 /nfs/dbraw/zinc/20/40/77/1074204077.db2.gz ZKCUSJWFLPJOOT-MGPUTAFESA-N 0 0 440.566 -0.413 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC3)C1=O ZINC001180636674 1074204161 /nfs/dbraw/zinc/20/41/61/1074204161.db2.gz ZKCUSJWFLPJOOT-QRWLVFNGSA-N 0 0 440.566 -0.413 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC3)C1=O ZINC001180636677 1074204140 /nfs/dbraw/zinc/20/41/40/1074204140.db2.gz ZKCUSJWFLPJOOT-YWZLYKJASA-N 0 0 440.566 -0.413 20 0 IBADRN CC(=O)N1CCC[C@@H](C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)C1 ZINC001180681691 1074204126 /nfs/dbraw/zinc/20/41/26/1074204126.db2.gz JTCREQNAGXGPOV-BRSBDYLESA-N 0 0 441.550 -0.635 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)C1 ZINC001180681699 1074204101 /nfs/dbraw/zinc/20/41/01/1074204101.db2.gz JTCREQNAGXGPOV-BSDSXHPESA-N 0 0 441.550 -0.635 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)C1 ZINC001180681700 1074204057 /nfs/dbraw/zinc/20/40/57/1074204057.db2.gz JTCREQNAGXGPOV-WNRNVDISSA-N 0 0 441.550 -0.635 20 0 IBADRN CC(=O)N1CCC[C@@H](C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)C1 ZINC001180681701 1074204173 /nfs/dbraw/zinc/20/41/73/1074204173.db2.gz JTCREQNAGXGPOV-XMTFNYHQSA-N 0 0 441.550 -0.635 20 0 IBADRN CC(=O)N1CCC[C@@H](C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C1 ZINC001180681774 1074204121 /nfs/dbraw/zinc/20/41/21/1074204121.db2.gz DJRNGOJXZJMGSC-IKGGRYGDSA-N 0 0 437.541 -0.632 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C1 ZINC001180681775 1074204107 /nfs/dbraw/zinc/20/41/07/1074204107.db2.gz DJRNGOJXZJMGSC-ULQDDVLXSA-N 0 0 437.541 -0.632 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)C1 ZINC001180682924 1074204133 /nfs/dbraw/zinc/20/41/33/1074204133.db2.gz GRJHPNOWYIXMJK-BQUSFQLBSA-N 0 0 440.518 -0.224 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)C1 ZINC001180682939 1074204176 /nfs/dbraw/zinc/20/41/76/1074204176.db2.gz GRJHPNOWYIXMJK-BSKCBKHLSA-N 0 0 440.518 -0.224 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)C1 ZINC001180682944 1074204525 /nfs/dbraw/zinc/20/45/25/1074204525.db2.gz GRJHPNOWYIXMJK-CCKPBAGYSA-N 0 0 440.518 -0.224 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)C1 ZINC001180682947 1074204495 /nfs/dbraw/zinc/20/44/95/1074204495.db2.gz GRJHPNOWYIXMJK-RZDULDMMSA-N 0 0 440.518 -0.224 20 0 IBADRN CC(=O)N1CCC[C@@H](C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)C1 ZINC001180685506 1074204581 /nfs/dbraw/zinc/20/45/81/1074204581.db2.gz YANQBIVJUJNJKO-SECBINFHSA-N 0 0 438.915 -0.168 20 0 IBADRN CC(=O)N1CCC[C@H](C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)C1 ZINC001180685507 1074204409 /nfs/dbraw/zinc/20/44/09/1074204409.db2.gz YANQBIVJUJNJKO-VIFPVBQESA-N 0 0 438.915 -0.168 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](Cc1ccccc1)C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001180715268 1074204087 /nfs/dbraw/zinc/20/40/87/1074204087.db2.gz OPVULDNRIUZVDW-LEKNBPSGSA-N 0 0 440.493 -0.619 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](Cc1ccccc1)C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001180715269 1074204148 /nfs/dbraw/zinc/20/41/48/1074204148.db2.gz OPVULDNRIUZVDW-UXAGXGOUSA-N 0 0 440.493 -0.619 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)CCC1 ZINC001180728296 1074205082 /nfs/dbraw/zinc/20/50/82/1074205082.db2.gz CRUREQQDPQNEAJ-CABCVRRESA-N 0 0 442.534 -0.281 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)CCC1 ZINC001180728297 1074205127 /nfs/dbraw/zinc/20/51/27/1074205127.db2.gz CRUREQQDPQNEAJ-GJZGRUSLSA-N 0 0 442.534 -0.281 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)CCC1 ZINC001180728298 1074204984 /nfs/dbraw/zinc/20/49/84/1074204984.db2.gz CRUREQQDPQNEAJ-HUUCEWRRSA-N 0 0 442.534 -0.281 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)CCC1 ZINC001180728299 1074205110 /nfs/dbraw/zinc/20/51/10/1074205110.db2.gz CRUREQQDPQNEAJ-LSDHHAIUSA-N 0 0 442.534 -0.281 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)c3cnn4c3S(=O)(=O)N(C)CC4)CC2)CCC1 ZINC001180739587 1074204487 /nfs/dbraw/zinc/20/44/87/1074204487.db2.gz OHTKWSCIORDYLA-UHFFFAOYSA-N 0 0 426.495 -0.172 20 0 IBADRN CN1CCO[C@@H](C(=O)N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)C1 ZINC001180757164 1074204467 /nfs/dbraw/zinc/20/44/67/1074204467.db2.gz CZDQIDSYHKKPPH-BNDYYXHWSA-N 0 0 435.546 -0.056 20 0 IBADRN CN1CCO[C@H](C(=O)N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)C1 ZINC001180757165 1074204564 /nfs/dbraw/zinc/20/45/64/1074204564.db2.gz CZDQIDSYHKKPPH-JKBKZWBZSA-N 0 0 435.546 -0.056 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CN(C)CCO2)CC3)C1=O ZINC001180759231 1074204542 /nfs/dbraw/zinc/20/45/42/1074204542.db2.gz OEYJRVOFCBNYMA-BEFAXECRSA-N 0 0 428.555 -0.951 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2CN(C)CCO2)CC3)C1=O ZINC001180759234 1074204529 /nfs/dbraw/zinc/20/45/29/1074204529.db2.gz OEYJRVOFCBNYMA-DNVCBOLYSA-N 0 0 428.555 -0.951 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CN(C)CCO2)CC3)C1=O ZINC001180759236 1074204514 /nfs/dbraw/zinc/20/45/14/1074204514.db2.gz OEYJRVOFCBNYMA-HNAYVOBHSA-N 0 0 428.555 -0.951 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CN(C)CCO2)CC3)C1=O ZINC001180759239 1074204497 /nfs/dbraw/zinc/20/44/97/1074204497.db2.gz OEYJRVOFCBNYMA-KXBFYZLASA-N 0 0 428.555 -0.951 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3CN(C)CCO3)CC2)c2nonc21 ZINC001180760524 1074204423 /nfs/dbraw/zinc/20/44/23/1074204423.db2.gz VTXITKPZJBXIBM-AWEZNQCLSA-N 0 0 438.510 -0.548 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3CN(C)CCO3)CC2)c2nonc21 ZINC001180760525 1074205147 /nfs/dbraw/zinc/20/51/47/1074205147.db2.gz VTXITKPZJBXIBM-CQSZACIVSA-N 0 0 438.510 -0.548 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccccc1 ZINC001180777163 1074205430 /nfs/dbraw/zinc/20/54/30/1074205430.db2.gz LHMNJXZSNBBEMP-CQSHOCRRSA-N 0 0 426.466 -0.489 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1ccccc1 ZINC001180777165 1074205599 /nfs/dbraw/zinc/20/55/99/1074205599.db2.gz LHMNJXZSNBBEMP-VQBFVVLDSA-N 0 0 426.466 -0.489 20 0 IBADRN COC[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001180784928 1074205132 /nfs/dbraw/zinc/20/51/32/1074205132.db2.gz CGQJZQRSDDJILT-ZDUSSCGKSA-N 0 0 438.485 -0.002 20 0 IBADRN COC[C@H](NC(=O)OC(C)(C)C)C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001180785508 1074205102 /nfs/dbraw/zinc/20/51/02/1074205102.db2.gz SLJAWQSTONQEEE-JTQLQIEISA-N 0 0 425.446 -0.559 20 0 IBADRN COC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001180785867 1074204998 /nfs/dbraw/zinc/20/49/98/1074204998.db2.gz JQVXTMMNPJMSFH-ZDUSSCGKSA-N 0 0 440.501 -0.235 20 0 IBADRN COC[C@H](NC(=O)OC(C)(C)C)C(=O)N1C[C@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001180788208 1074205137 /nfs/dbraw/zinc/20/51/37/1074205137.db2.gz OUJGIBVIBNDIBQ-CABCVRRESA-N 0 0 426.514 -0.079 20 0 IBADRN COC[C@H](NC(=O)OC(C)(C)C)C(=O)N1C[C@@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001180788210 1074205115 /nfs/dbraw/zinc/20/51/15/1074205115.db2.gz OUJGIBVIBNDIBQ-GJZGRUSLSA-N 0 0 426.514 -0.079 20 0 IBADRN COC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001180789611 1074205032 /nfs/dbraw/zinc/20/50/32/1074205032.db2.gz QFLKULMKACCBIM-IGQOVBAYSA-N 0 0 442.469 -0.438 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC001180792083 1074205008 /nfs/dbraw/zinc/20/50/08/1074205008.db2.gz SHTOJJBFDKWLAU-AWEZNQCLSA-N 0 0 445.538 -0.272 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1)C2 ZINC001180792084 1074205402 /nfs/dbraw/zinc/20/54/02/1074205402.db2.gz SHTOJJBFDKWLAU-CQSZACIVSA-N 0 0 445.538 -0.272 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)CC1 ZINC001180797242 1074205538 /nfs/dbraw/zinc/20/55/38/1074205538.db2.gz JGQHCMSMDGNREG-KRWDZBQOSA-N 0 0 447.536 -0.132 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)CNC(=O)c2cccc(C(F)(F)F)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001180799918 1074205675 /nfs/dbraw/zinc/20/56/75/1074205675.db2.gz LMWIVRKEZCGTGS-NLYHGNEKSA-N 0 0 436.383 -0.605 20 0 IBADRN COc1ccc(C(=O)NCC(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)cc1 ZINC001180806652 1074205586 /nfs/dbraw/zinc/20/55/86/1074205586.db2.gz NZSUCVUHLIGUCK-UHFFFAOYSA-N 0 0 428.449 -0.103 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)cc1 ZINC001180807204 1074205506 /nfs/dbraw/zinc/20/55/06/1074205506.db2.gz BTJSLYNVKHOMTN-UHFFFAOYSA-N 0 0 430.465 -0.336 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)cc1 ZINC001180809215 1074205521 /nfs/dbraw/zinc/20/55/21/1074205521.db2.gz XGFZLFFAVILUEH-ZMSDIMECSA-N 0 0 432.433 -0.539 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1 ZINC001180809698 1074205652 /nfs/dbraw/zinc/20/56/52/1074205652.db2.gz IQMBRQXUHZPTPJ-IRXDYDNUSA-N 0 0 442.476 -0.648 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NC3(C(=O)N4CCOCC4)CCCCC3)cnc2n(C)c1=O ZINC001180812912 1074205483 /nfs/dbraw/zinc/20/54/83/1074205483.db2.gz FCTDXNDESKXAFX-UHFFFAOYSA-N 0 0 429.477 -0.076 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)CNC(=O)c2ccc(Cl)c(Cl)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001180814775 1074205638 /nfs/dbraw/zinc/20/56/38/1074205638.db2.gz IMCCHRHNLGABDT-JBLBBTSHSA-N 0 0 437.276 -0.317 20 0 IBADRN CCOC(=O)N1CCC(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)CC1 ZINC001180831767 1074205455 /nfs/dbraw/zinc/20/54/55/1074205455.db2.gz SHCBCKSMAANOQX-HOTGVXAUSA-N 0 0 434.497 -0.218 20 0 IBADRN CC(C)C(=O)N1CCC(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)CC1 ZINC001180847934 1074206098 /nfs/dbraw/zinc/20/60/98/1074206098.db2.gz OCOJYXKAYKMISQ-IRXDYDNUSA-N 0 0 432.525 -0.192 20 0 IBADRN O=C(CCNC(=O)C1CC1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001180848865 1074206144 /nfs/dbraw/zinc/20/61/44/1074206144.db2.gz BEJQQQPVLFQUKG-UWVAXJGDSA-N 0 0 434.518 -0.118 20 0 IBADRN O=C(CCNC(=O)C1CC1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001180848870 1074206139 /nfs/dbraw/zinc/20/61/39/1074206139.db2.gz BNRMYMBAAWNGHR-JKSUJKDBSA-N 0 0 449.533 -0.548 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CCNC(=O)C2CC2)CC3)C1=O ZINC001180849604 1074206029 /nfs/dbraw/zinc/20/60/29/1074206029.db2.gz JIRAMWCTTUXDSG-FQEVSTJZSA-N 0 0 440.566 -0.365 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CCNC(=O)C2CC2)CC3)C1=O ZINC001180849607 1074206076 /nfs/dbraw/zinc/20/60/76/1074206076.db2.gz JIRAMWCTTUXDSG-HXUWFJFHSA-N 0 0 440.566 -0.365 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC4(C3)NC(=O)NC4=O)CC2)cc1 ZINC001180850311 1074206174 /nfs/dbraw/zinc/20/61/74/1074206174.db2.gz DKOLUERADQXVQS-UHFFFAOYSA-N 0 0 436.490 -0.094 20 0 IBADRN O=C(CCNC(=O)C1CC1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001180850577 1074206661 /nfs/dbraw/zinc/20/66/61/1074206661.db2.gz SXMWZKAYIRHXMN-ARFHVFGLSA-N 0 0 427.523 -0.977 20 0 IBADRN O=C(CCNC(=O)C1CC1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001180850583 1074206451 /nfs/dbraw/zinc/20/64/51/1074206451.db2.gz SXMWZKAYIRHXMN-BZUAXINKSA-N 0 0 427.523 -0.977 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N1CC2(C1)NC(=O)NC2=O ZINC001180850640 1074206643 /nfs/dbraw/zinc/20/66/43/1074206643.db2.gz FLQXMLNJJZPSBI-UHFFFAOYSA-N 0 0 436.368 -0.326 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)CCNC(=O)C2CC2)CC1 ZINC001180851848 1074206416 /nfs/dbraw/zinc/20/64/16/1074206416.db2.gz IRVNEDCBFYNBML-UHFFFAOYSA-N 0 0 432.543 -0.099 20 0 IBADRN CN(CC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001180861113 1074206554 /nfs/dbraw/zinc/20/65/54/1074206554.db2.gz UKNNBDIIDBCKSO-HNNXBMFYSA-N 0 0 430.571 -0.131 20 0 IBADRN CN(CC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001180861114 1074206653 /nfs/dbraw/zinc/20/66/53/1074206653.db2.gz UKNNBDIIDBCKSO-OAHLLOKOSA-N 0 0 430.571 -0.131 20 0 IBADRN CS(=O)(=O)Oc1ccc(CC(=O)n2c(N)c3ncn(COCCO)c3nc2=N)cc1 ZINC001180868564 1074206633 /nfs/dbraw/zinc/20/66/33/1074206633.db2.gz XPFHZJKAGBAION-UHFFFAOYSA-N 0 0 436.450 -0.518 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)Cc1ccc(OS(C)(=O)=O)cc1)C2 ZINC001180869006 1074206589 /nfs/dbraw/zinc/20/65/89/1074206589.db2.gz CYFWRIHMVQOBHB-AWEZNQCLSA-N 0 0 431.488 -0.244 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)Cc1ccc(OS(C)(=O)=O)cc1)C2 ZINC001180869007 1074206649 /nfs/dbraw/zinc/20/66/49/1074206649.db2.gz CYFWRIHMVQOBHB-CQSZACIVSA-N 0 0 431.488 -0.244 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)Cc1ccc(OS(C)(=O)=O)cc1)C[C@H]2C(=O)N(C)C ZINC001180870716 1074206439 /nfs/dbraw/zinc/20/64/39/1074206439.db2.gz VBYNNOCMVBEOSA-KRWDZBQOSA-N 0 0 437.518 -0.037 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)Cc1ccc(OS(C)(=O)=O)cc1)C[C@@H]2C(=O)N(C)C ZINC001180870717 1074206463 /nfs/dbraw/zinc/20/64/63/1074206463.db2.gz VBYNNOCMVBEOSA-QGZVFWFLSA-N 0 0 437.518 -0.037 20 0 IBADRN CS(=O)(=O)Oc1ccc(CC(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001180872140 1074206499 /nfs/dbraw/zinc/20/64/99/1074206499.db2.gz QBDWYTKNQBAAMC-KRWDZBQOSA-N 0 0 433.486 -0.104 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)CC1=O ZINC001180889385 1074205423 /nfs/dbraw/zinc/20/54/23/1074205423.db2.gz FVQYDBKEPUHCQD-INIZCTEOSA-N 0 0 448.524 -0.356 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)CC1=O ZINC001180889386 1074205529 /nfs/dbraw/zinc/20/55/29/1074205529.db2.gz FVQYDBKEPUHCQD-MRXNPFEDSA-N 0 0 448.524 -0.356 20 0 IBADRN COCCN1C[C@H](C(=O)n2ccnc(OC)c2=NS(=O)(=O)c2ccc(N)cc2)CC1=O ZINC001180889813 1074205409 /nfs/dbraw/zinc/20/54/09/1074205409.db2.gz AWPTVNSEFWUXGI-CYBMUJFWSA-N 0 0 449.489 -0.101 20 0 IBADRN COCCN1C[C@@H](C(=O)n2ccnc(OC)c2=NS(=O)(=O)c2ccc(N)cc2)CC1=O ZINC001180889817 1074205576 /nfs/dbraw/zinc/20/55/76/1074205576.db2.gz AWPTVNSEFWUXGI-ZDUSSCGKSA-N 0 0 449.489 -0.101 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)CC1=O ZINC001180891324 1074206055 /nfs/dbraw/zinc/20/60/55/1074206055.db2.gz HLDNDIFZLYMPJU-HNNXBMFYSA-N 0 0 432.525 -0.813 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)CC1=O ZINC001180891327 1074206179 /nfs/dbraw/zinc/20/61/79/1074206179.db2.gz HLDNDIFZLYMPJU-OAHLLOKOSA-N 0 0 432.525 -0.813 20 0 IBADRN COCCN1C[C@H](C(=O)N2Cc3ncn(C)c3[C@H](COCCN3CCOCC3)C2)CC1=O ZINC001180891951 1074206150 /nfs/dbraw/zinc/20/61/50/1074206150.db2.gz KDSUNPVYQBYMEK-MSOLQXFVSA-N 0 0 449.552 -0.310 20 0 IBADRN COCCN1C[C@H](C(=O)N2Cc3ncn(C)c3[C@@H](COCCN3CCOCC3)C2)CC1=O ZINC001180891977 1074206158 /nfs/dbraw/zinc/20/61/58/1074206158.db2.gz KDSUNPVYQBYMEK-QZTJIDSGSA-N 0 0 449.552 -0.310 20 0 IBADRN COCCN1C[C@@H](C(=O)N2Cc3ncn(C)c3[C@H](COCCN3CCOCC3)C2)CC1=O ZINC001180891980 1074206126 /nfs/dbraw/zinc/20/61/26/1074206126.db2.gz KDSUNPVYQBYMEK-ROUUACIJSA-N 0 0 449.552 -0.310 20 0 IBADRN COCCN1C[C@@H](C(=O)N2Cc3ncn(C)c3[C@@H](COCCN3CCOCC3)C2)CC1=O ZINC001180891984 1074206081 /nfs/dbraw/zinc/20/60/81/1074206081.db2.gz KDSUNPVYQBYMEK-ZWKOTPCHSA-N 0 0 449.552 -0.310 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)CC1=O ZINC001180892452 1074206147 /nfs/dbraw/zinc/20/61/47/1074206147.db2.gz LBSGMMPKSPXGKM-HNNXBMFYSA-N 0 0 443.508 -0.574 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)CC1=O ZINC001180892453 1074206133 /nfs/dbraw/zinc/20/61/33/1074206133.db2.gz LBSGMMPKSPXGKM-OAHLLOKOSA-N 0 0 443.508 -0.574 20 0 IBADRN COCCN1C[C@H](C(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)CC1=O ZINC001180893296 1074206188 /nfs/dbraw/zinc/20/61/88/1074206188.db2.gz OVSWOYVLJPBJEL-LAVFITLUSA-N 0 0 433.509 -0.492 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)CC1=O ZINC001180893297 1074206120 /nfs/dbraw/zinc/20/61/20/1074206120.db2.gz OVSWOYVLJPBJEL-LEUOFYLZSA-N 0 0 433.509 -0.492 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@H]32)CC1=O ZINC001180894030 1074207494 /nfs/dbraw/zinc/20/74/94/1074207494.db2.gz SLXZKTVXMXVLLP-KLICCBINSA-N 0 0 431.493 -0.293 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCO[C@H]3[C@H]2CC[C@H]3CNC(=O)c2ccnnc2)CC1=O ZINC001180894031 1074207564 /nfs/dbraw/zinc/20/75/64/1074207564.db2.gz SLXZKTVXMXVLLP-KNIMUDFMSA-N 0 0 431.493 -0.293 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCO[C@@H]3[C@H](CNC(=O)c4ccnnc4)CC[C@@H]32)CC1=O ZINC001180894032 1074207509 /nfs/dbraw/zinc/20/75/09/1074207509.db2.gz SLXZKTVXMXVLLP-LKCYJCQHSA-N 0 0 431.493 -0.293 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@@H]32)CC1=O ZINC001180894033 1074207530 /nfs/dbraw/zinc/20/75/30/1074207530.db2.gz SLXZKTVXMXVLLP-VYCZESIESA-N 0 0 431.493 -0.293 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CC1=O ZINC001180894072 1074207548 /nfs/dbraw/zinc/20/75/48/1074207548.db2.gz TYTAIBGWAJWEJV-HTAPYJJXSA-N 0 0 443.504 -0.257 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CC1=O ZINC001180894073 1074207526 /nfs/dbraw/zinc/20/75/26/1074207526.db2.gz TYTAIBGWAJWEJV-JTSKRJEESA-N 0 0 443.504 -0.257 20 0 IBADRN COCCN1C[C@H](C(=O)N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CC1=O ZINC001180894074 1074207569 /nfs/dbraw/zinc/20/75/69/1074207569.db2.gz TYTAIBGWAJWEJV-VGOFRKELSA-N 0 0 443.504 -0.257 20 0 IBADRN COCCN1C[C@H](C(=O)N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(C)=O)CC1=O ZINC001180894075 1074207519 /nfs/dbraw/zinc/20/75/19/1074207519.db2.gz TYTAIBGWAJWEJV-VGSWGCGISA-N 0 0 443.504 -0.257 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)CC1=O ZINC001180894090 1074207465 /nfs/dbraw/zinc/20/74/65/1074207465.db2.gz ULTWLAAVGRIBFA-INIZCTEOSA-N 0 0 431.493 -0.195 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)CC1=O ZINC001180894091 1074207475 /nfs/dbraw/zinc/20/74/75/1074207475.db2.gz ULTWLAAVGRIBFA-MRXNPFEDSA-N 0 0 431.493 -0.195 20 0 IBADRN COCCN1C[C@@H](C(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC001180894374 1074207515 /nfs/dbraw/zinc/20/75/15/1074207515.db2.gz BKXXJCISOAEENZ-INIZCTEOSA-N 0 0 425.507 -0.181 20 0 IBADRN COCCN1C[C@H](C(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1=O ZINC001180894375 1074207500 /nfs/dbraw/zinc/20/75/00/1074207500.db2.gz BKXXJCISOAEENZ-MRXNPFEDSA-N 0 0 425.507 -0.181 20 0 IBADRN COCCN1C[C@H](C(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)CC1=O ZINC001180894525 1074207450 /nfs/dbraw/zinc/20/74/50/1074207450.db2.gz WTXPIBUTLJPGPP-DOTOQJQBSA-N 0 0 441.492 -0.444 20 0 IBADRN COCCN1C[C@H](C(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)CC1=O ZINC001180894529 1074207558 /nfs/dbraw/zinc/20/75/58/1074207558.db2.gz WTXPIBUTLJPGPP-NVXWUHKLSA-N 0 0 441.492 -0.444 20 0 IBADRN COCCN1C[C@@H](C(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)CC1=O ZINC001180894533 1074207538 /nfs/dbraw/zinc/20/75/38/1074207538.db2.gz WTXPIBUTLJPGPP-RDJZCZTQSA-N 0 0 441.492 -0.444 20 0 IBADRN COCCN1C[C@@H](C(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)CC1=O ZINC001180894537 1074207574 /nfs/dbraw/zinc/20/75/74/1074207574.db2.gz WTXPIBUTLJPGPP-WBVHZDCISA-N 0 0 441.492 -0.444 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCn3cc(CNC(=O)c4cccn4C)nc3C2)CC1=O ZINC001180894605 1074207533 /nfs/dbraw/zinc/20/75/33/1074207533.db2.gz XGUBSRRUMWSZST-HNNXBMFYSA-N 0 0 428.493 -0.011 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCn3cc(CNC(=O)c4cccn4C)nc3C2)CC1=O ZINC001180894622 1074207544 /nfs/dbraw/zinc/20/75/44/1074207544.db2.gz XGUBSRRUMWSZST-OAHLLOKOSA-N 0 0 428.493 -0.011 20 0 IBADRN COCCN1C[C@H](C(=O)N[C@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)C(C)C)CC1=O ZINC001180896290 1074207482 /nfs/dbraw/zinc/20/74/82/1074207482.db2.gz YXXVSXUOZVBHJC-SUMDDJOVSA-N 0 0 448.520 -0.460 20 0 IBADRN COCCN1C[C@@H](C(=O)N[C@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)C(C)C)CC1=O ZINC001180896291 1074207554 /nfs/dbraw/zinc/20/75/54/1074207554.db2.gz YXXVSXUOZVBHJC-WDYCEAGBSA-N 0 0 448.520 -0.460 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](O)CNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001180903951 1074206428 /nfs/dbraw/zinc/20/64/28/1074206428.db2.gz QZUHEAWVKZJECD-INIZCTEOSA-N 0 0 437.522 -0.133 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](O)CNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001180903958 1074206488 /nfs/dbraw/zinc/20/64/88/1074206488.db2.gz QZUHEAWVKZJECD-MRXNPFEDSA-N 0 0 437.522 -0.133 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)CCC(=O)c2ccc(S(C)(=O)=O)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001180911099 1074206967 /nfs/dbraw/zinc/20/69/67/1074206967.db2.gz BRTZWPDNJJRWKD-SLFTXUSXSA-N 0 0 445.490 -0.987 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)Cn2ccc(=O)n(C)c2=O)CC1 ZINC001180912370 1074207144 /nfs/dbraw/zinc/20/71/44/1074207144.db2.gz FPAYRBRFJGAUGH-GOSISDBHSA-N 0 0 427.505 -0.561 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)Cn2ccc(=O)n(C)c2=O)CC1 ZINC001180912374 1074207004 /nfs/dbraw/zinc/20/70/04/1074207004.db2.gz FPAYRBRFJGAUGH-SFHVURJKSA-N 0 0 427.505 -0.561 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)CN(C)S(=O)(=O)N(C)C)CC1 ZINC001180924391 1074207025 /nfs/dbraw/zinc/20/70/25/1074207025.db2.gz AAGJZRDUEHTZFY-GOSISDBHSA-N 0 0 439.582 -0.384 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)CN(C)S(=O)(=O)N(C)C)CC1 ZINC001180924392 1074206983 /nfs/dbraw/zinc/20/69/83/1074206983.db2.gz AAGJZRDUEHTZFY-SFHVURJKSA-N 0 0 439.582 -0.384 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C[C@H]1CCOC1)C(N)=O ZINC001180926381 1074207016 /nfs/dbraw/zinc/20/70/16/1074207016.db2.gz IPNVIAVQXMODDB-ILXRZTDVSA-N 0 0 430.571 -0.217 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C[C@@H]1CCOC1)C(N)=O ZINC001180926382 1074206975 /nfs/dbraw/zinc/20/69/75/1074206975.db2.gz IPNVIAVQXMODDB-KKUMJFAQSA-N 0 0 430.571 -0.217 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)c2cnn(C[C@H](O)CO)c2)CC1 ZINC001180927142 1074207036 /nfs/dbraw/zinc/20/70/36/1074207036.db2.gz RZYLTGBIZYVEST-PMACEKPBSA-N 0 0 429.521 -0.259 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)c2cnn(C[C@@H](O)CO)c2)CC1 ZINC001180927143 1074207161 /nfs/dbraw/zinc/20/71/61/1074207161.db2.gz RZYLTGBIZYVEST-UXHICEINSA-N 0 0 429.521 -0.259 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)c2cnn(C[C@H](O)CO)c2)CC1 ZINC001180927144 1074207080 /nfs/dbraw/zinc/20/70/80/1074207080.db2.gz RZYLTGBIZYVEST-VQTJNVASSA-N 0 0 429.521 -0.259 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)c2cnn(C[C@@H](O)CO)c2)CC1 ZINC001180927145 1074207102 /nfs/dbraw/zinc/20/71/02/1074207102.db2.gz RZYLTGBIZYVEST-WOJBJXKFSA-N 0 0 429.521 -0.259 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)CN2C(=O)C(=O)N(C)C2=O)CC1 ZINC001180927722 1074207060 /nfs/dbraw/zinc/20/70/60/1074207060.db2.gz WIYFKONRLLUTKV-INIZCTEOSA-N 0 0 429.477 -0.701 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)CN2C(=O)C(=O)N(C)C2=O)CC1 ZINC001180927730 1074207171 /nfs/dbraw/zinc/20/71/71/1074207171.db2.gz WIYFKONRLLUTKV-MRXNPFEDSA-N 0 0 429.477 -0.701 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)C2CC(OCc3ccccc3)C2)c(=O)n1 ZINC001180938641 1074206957 /nfs/dbraw/zinc/20/69/57/1074206957.db2.gz RHKPVYUWYRBFJJ-XQSZVVFJSA-N 0 0 430.461 -0.201 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)CN(C)c2ccccc2)[C@H]1O ZINC001180948109 1074207954 /nfs/dbraw/zinc/20/79/54/1074207954.db2.gz VDISYZSHQFSVKM-AUSMBZDKSA-N 0 0 441.492 -0.236 20 0 IBADRN CCC[C@@H](NC(C)=O)C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001180975854 1074207938 /nfs/dbraw/zinc/20/79/38/1074207938.db2.gz RWGQEHSSMCYUBD-PMPSAXMXSA-N 0 0 425.530 -0.585 20 0 IBADRN CCC[C@@H](NC(C)=O)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001180976204 1074208030 /nfs/dbraw/zinc/20/80/30/1074208030.db2.gz NCZNPMUMLVMXEN-QBPKDAKJSA-N 0 0 429.539 -0.588 20 0 IBADRN CCC[C@@H](NC(C)=O)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001180976205 1074208005 /nfs/dbraw/zinc/20/80/05/1074208005.db2.gz NCZNPMUMLVMXEN-YLFCFFPRSA-N 0 0 429.539 -0.588 20 0 IBADRN CCC[C@@H](NC(C)=O)C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001180977282 1074207984 /nfs/dbraw/zinc/20/79/84/1074207984.db2.gz OQFPDQQUOZIXKH-SECBINFHSA-N 0 0 426.904 -0.122 20 0 IBADRN CC(C)C[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001180982356 1074208038 /nfs/dbraw/zinc/20/80/38/1074208038.db2.gz IXTSYTNELMWRHS-HNNXBMFYSA-N 0 0 425.530 -0.315 20 0 IBADRN COC(=O)CC[C@H](NC(=O)CNC(=O)[C@H](CC(C)C)NC(=O)OC(C)(C)C)C(N)=O ZINC001180983227 1074208057 /nfs/dbraw/zinc/20/80/57/1074208057.db2.gz BBWMWFRJIHDAHW-STQMWFEESA-N 0 0 430.502 -0.035 20 0 IBADRN O=C([C@@H]1COCCO1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001180998219 1074207993 /nfs/dbraw/zinc/20/79/93/1074207993.db2.gz SNYAYQBLKNVXGT-SPEDKVCISA-N 0 0 445.520 -0.204 20 0 IBADRN O=C([C@H]1COCCO1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001180998222 1074207964 /nfs/dbraw/zinc/20/79/64/1074207964.db2.gz SNYAYQBLKNVXGT-SVMVAKDDSA-N 0 0 445.520 -0.204 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3COCCO3)CC2)c2nonc21 ZINC001180999649 1074207888 /nfs/dbraw/zinc/20/78/88/1074207888.db2.gz GQRPEHFZMLCQCT-CYBMUJFWSA-N 0 0 425.467 -0.463 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3COCCO3)CC2)c2nonc21 ZINC001180999650 1074207913 /nfs/dbraw/zinc/20/79/13/1074207913.db2.gz GQRPEHFZMLCQCT-ZDUSSCGKSA-N 0 0 425.467 -0.463 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NC(=O)C2COC2)cc1 ZINC001181010625 1074207919 /nfs/dbraw/zinc/20/79/19/1074207919.db2.gz GFFVWDLAPAUTJS-KLICCBINSA-N 0 0 445.428 -0.496 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CC2(C1)[C@@H](C(=O)OC)CCS2(=O)=O ZINC001181040575 1074207879 /nfs/dbraw/zinc/20/78/79/1074207879.db2.gz GGNYJMXFKZRNNM-NEPJUHHUSA-N 0 0 448.494 -0.369 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC2(C1)[C@H](C(=O)OC)CCS2(=O)=O ZINC001181040576 1074207998 /nfs/dbraw/zinc/20/79/98/1074207998.db2.gz GGNYJMXFKZRNNM-NWDGAFQWSA-N 0 0 448.494 -0.369 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CC2(C1)[C@H](C(=O)OC)CCS2(=O)=O ZINC001181040577 1074208439 /nfs/dbraw/zinc/20/84/39/1074208439.db2.gz GGNYJMXFKZRNNM-RYUDHWBXSA-N 0 0 448.494 -0.369 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC2(C1)[C@@H](C(=O)OC)CCS2(=O)=O ZINC001181040578 1074208445 /nfs/dbraw/zinc/20/84/45/1074208445.db2.gz GGNYJMXFKZRNNM-VXGBXAGGSA-N 0 0 448.494 -0.369 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@]2(C1)CNC(=O)CN2C(C)=O ZINC001181042606 1074208492 /nfs/dbraw/zinc/20/84/92/1074208492.db2.gz ONRIUKBACZVONP-AUUYWEPGSA-N 0 0 426.470 -0.608 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@]2(C1)CNC(=O)CN2C(C)=O ZINC001181042613 1074208411 /nfs/dbraw/zinc/20/84/11/1074208411.db2.gz ONRIUKBACZVONP-IFXJQAMLSA-N 0 0 426.470 -0.608 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O ZINC001181042614 1074208513 /nfs/dbraw/zinc/20/85/13/1074208513.db2.gz ONRIUKBACZVONP-KUHUBIRLSA-N 0 0 426.470 -0.608 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O ZINC001181042615 1074208366 /nfs/dbraw/zinc/20/83/66/1074208366.db2.gz ONRIUKBACZVONP-LIRRHRJNSA-N 0 0 426.470 -0.608 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)C1CCN(c2ccc(C(F)(F)F)cc2)CC1 ZINC001181050014 1074208498 /nfs/dbraw/zinc/20/84/98/1074208498.db2.gz HJPYTMDOMJOSDJ-HBPKQKSZSA-N 0 0 434.411 -0.162 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)C1CCN(c2ccc(C(F)(F)F)cc2)CC1 ZINC001181050015 1074208352 /nfs/dbraw/zinc/20/83/52/1074208352.db2.gz HJPYTMDOMJOSDJ-XSDHEKCYSA-N 0 0 434.411 -0.162 20 0 IBADRN CCOC(=O)C(C)(C)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001181053664 1074208399 /nfs/dbraw/zinc/20/83/99/1074208399.db2.gz GRYWWYYQQIGENM-RBSFLKMASA-N 0 0 430.523 -0.304 20 0 IBADRN CCOC(=O)C(C)(C)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001181053665 1074208451 /nfs/dbraw/zinc/20/84/51/1074208451.db2.gz GRYWWYYQQIGENM-RRFJBIMHSA-N 0 0 430.523 -0.304 20 0 IBADRN CCOC(=O)C(C)(C)C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001181054844 1074208391 /nfs/dbraw/zinc/20/83/91/1074208391.db2.gz SEJGZBXDVZTTNF-KBPBESRZSA-N 0 0 426.514 -0.301 20 0 IBADRN CCOC(=O)C(C)(C)C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001181056950 1074208358 /nfs/dbraw/zinc/20/83/58/1074208358.db2.gz SWRFEZJFFWBOFN-QQHRNGFRSA-N 0 0 436.469 -0.783 20 0 IBADRN COC(=O)C1CC2(CC(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)C2)C1 ZINC001181065621 1074208465 /nfs/dbraw/zinc/20/84/65/1074208465.db2.gz XXQMPLWAWTUQKY-DCCVJLAWSA-N 0 0 431.493 -0.107 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CN[C@H](N)[C@@H]3NCN[C@@H]32)cc1 ZINC001181073433 1074208878 /nfs/dbraw/zinc/20/88/78/1074208878.db2.gz UXFIOTXKRMXAQF-LWYYNNOASA-N 0 0 444.561 -0.596 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CN[C@H](N)[C@@H]3NCN[C@H]32)cc1 ZINC001181073434 1074208852 /nfs/dbraw/zinc/20/88/52/1074208852.db2.gz UXFIOTXKRMXAQF-MUGJNUQGSA-N 0 0 444.561 -0.596 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CN[C@H](N)[C@H]3NCN[C@H]32)cc1 ZINC001181073435 1074208864 /nfs/dbraw/zinc/20/88/64/1074208864.db2.gz UXFIOTXKRMXAQF-YRPNKDGESA-N 0 0 444.561 -0.596 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CN[C@H](N)[C@H]3NCN[C@@H]32)cc1 ZINC001181073436 1074208945 /nfs/dbraw/zinc/20/89/45/1074208945.db2.gz UXFIOTXKRMXAQF-ZGXWSNOMSA-N 0 0 444.561 -0.596 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2ccccc2OC(F)F)c(=O)n1 ZINC001181078118 1074208871 /nfs/dbraw/zinc/20/88/71/1074208871.db2.gz HYYLOLUZLUJQBE-RAEVTNRLSA-N 0 0 426.376 -0.352 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1CCCC[C@H]1O)C(N)=O ZINC001181095994 1074208502 /nfs/dbraw/zinc/20/85/02/1074208502.db2.gz XWFTWAOLAUGEMV-FXUDXRNXSA-N 0 0 444.598 -0.092 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1CCCC[C@@H]1O)C(N)=O ZINC001181095995 1074208899 /nfs/dbraw/zinc/20/88/99/1074208899.db2.gz XWFTWAOLAUGEMV-UGUYLWEFSA-N 0 0 444.598 -0.092 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1CCCC[C@@H]1O)C(N)=O ZINC001181095996 1074208940 /nfs/dbraw/zinc/20/89/40/1074208940.db2.gz XWFTWAOLAUGEMV-VGWMRTNUSA-N 0 0 444.598 -0.092 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1CCCC[C@H]1O)C(N)=O ZINC001181095997 1074208973 /nfs/dbraw/zinc/20/89/73/1074208973.db2.gz XWFTWAOLAUGEMV-YHUYYLMFSA-N 0 0 444.598 -0.092 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@]2(C1)CNC(=O)CN2C(C)=O)NC(=O)OC(C)(C)C ZINC001181116217 1074208935 /nfs/dbraw/zinc/20/89/35/1074208935.db2.gz FFAUVCRYKNNKPS-VBKZILBWSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O)NC(=O)OC(C)(C)C ZINC001181116218 1074208969 /nfs/dbraw/zinc/20/89/69/1074208969.db2.gz FFAUVCRYKNNKPS-XOBRGWDASA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)[C@H](CCC(=O)NCCOCCN1C(=O)C=CC1=O)NC(=O)OC(C)(C)C ZINC001181116483 1074208929 /nfs/dbraw/zinc/20/89/29/1074208929.db2.gz DCUZTSHCURRNKQ-ZDUSSCGKSA-N 0 0 427.454 -0.109 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001181119407 1074208915 /nfs/dbraw/zinc/20/89/15/1074208915.db2.gz DNUJJQRLIKSGDQ-UHFFFAOYSA-N 0 0 428.488 -0.363 20 0 IBADRN O=C(c1ccc(=O)[nH]n1)N1CCCC[C@H]1C(=O)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001181119442 1074208964 /nfs/dbraw/zinc/20/89/64/1074208964.db2.gz GAGISIYTXXBAAE-HNNXBMFYSA-N 0 0 438.506 -0.017 20 0 IBADRN O=C(c1ccc(=O)[nH]n1)N1CCCC[C@@H]1C(=O)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001181119443 1074208994 /nfs/dbraw/zinc/20/89/94/1074208994.db2.gz GAGISIYTXXBAAE-OAHLLOKOSA-N 0 0 438.506 -0.017 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001181122326 1074208890 /nfs/dbraw/zinc/20/88/90/1074208890.db2.gz VVYJABCZTFJMSF-UHFFFAOYSA-N 0 0 436.284 -0.482 20 0 IBADRN COc1cc(F)c(CC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(F)c1 ZINC001181126963 1074208955 /nfs/dbraw/zinc/20/89/55/1074208955.db2.gz FSUSXBUCLBXHIR-BASLNEPJSA-N 0 0 426.376 -0.667 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CC[C@H]3C[C@H](C(=O)NCCc4ccccn4)O[C@H]3C2)NC1=O ZINC001181127716 1074209355 /nfs/dbraw/zinc/20/93/55/1074209355.db2.gz DPAFCJZQNPVGFX-LLLHUVSDSA-N 0 0 429.477 -0.313 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)[C@@H]2CC(=O)N(C)C(=O)N2)CCO1 ZINC001181128758 1074209339 /nfs/dbraw/zinc/20/93/39/1074209339.db2.gz CASBUPLIJNHVQQ-GXTWGEPZSA-N 0 0 430.421 -0.254 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)C(=O)N2)CCO1 ZINC001181128760 1074209416 /nfs/dbraw/zinc/20/94/16/1074209416.db2.gz CASBUPLIJNHVQQ-JSGCOSHPSA-N 0 0 430.421 -0.254 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)[C@@H]2CC(=O)N(C)C(=O)N2)C3)nn1 ZINC001181128783 1074209436 /nfs/dbraw/zinc/20/94/36/1074209436.db2.gz MFCVFTCJVSCPRK-AUSJPIAWSA-N 0 0 432.481 -0.229 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)[C@@H]2CC(=O)N(C)C(=O)N2)C3)nn1 ZINC001181128784 1074209487 /nfs/dbraw/zinc/20/94/87/1074209487.db2.gz MFCVFTCJVSCPRK-AVYPCKFXSA-N 0 0 432.481 -0.229 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)[C@@H]2CC(=O)N(C)C(=O)N2)C3)nn1 ZINC001181128785 1074209408 /nfs/dbraw/zinc/20/94/08/1074209408.db2.gz MFCVFTCJVSCPRK-QEEYODRMSA-N 0 0 432.481 -0.229 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)[C@@H]2CC(=O)N(C)C(=O)N2)C3)nn1 ZINC001181128787 1074209296 /nfs/dbraw/zinc/20/92/96/1074209296.db2.gz MFCVFTCJVSCPRK-SIFCLUCFSA-N 0 0 432.481 -0.229 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@]3(CCNC3=O)C2)NC1=O ZINC001181129034 1074209326 /nfs/dbraw/zinc/20/93/26/1074209326.db2.gz NNOIPIIFIZMRLU-FKIZINRSSA-N 0 0 435.481 -0.488 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@@]3(CCNC3=O)C2)NC1=O ZINC001181129040 1074209430 /nfs/dbraw/zinc/20/94/30/1074209430.db2.gz NNOIPIIFIZMRLU-YUNKPMOVSA-N 0 0 435.481 -0.488 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)NC1=O ZINC001181129963 1074209244 /nfs/dbraw/zinc/20/92/44/1074209244.db2.gz ZGWZBILFLFKLHG-ZDUSSCGKSA-N 0 0 433.469 -0.910 20 0 IBADRN COc1nccn(C(=O)[C@@H]2CC(=O)N(C)C(=O)N2)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001181130065 1074209391 /nfs/dbraw/zinc/20/93/91/1074209391.db2.gz PDPMEUYSNJMRPF-LBPRGKRZSA-N 0 0 434.434 -0.656 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)[C@@H]4CC(=O)N(C)C(=O)N4)C3)C2=O)cc1 ZINC001181130283 1074209446 /nfs/dbraw/zinc/20/94/46/1074209446.db2.gz SCOIKQYGOAYEOW-VBKZILBWSA-N 0 0 429.433 -0.342 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)[C@@H]4CC(=O)N(C)C(=O)N4)C3)C2=O)cc1 ZINC001181130285 1074209457 /nfs/dbraw/zinc/20/94/57/1074209457.db2.gz SCOIKQYGOAYEOW-XOBRGWDASA-N 0 0 429.433 -0.342 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)[C@@H]1CC(=O)N(C)C(=O)N1)C2 ZINC001181130965 1074209473 /nfs/dbraw/zinc/20/94/73/1074209473.db2.gz AMBVKQXZBBWPOK-CABCVRRESA-N 0 0 434.497 -0.865 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)[C@@H]1CC(=O)N(C)C(=O)N1)C2 ZINC001181130966 1074209367 /nfs/dbraw/zinc/20/93/67/1074209367.db2.gz AMBVKQXZBBWPOK-GJZGRUSLSA-N 0 0 434.497 -0.865 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H]1CC(=O)N(C)C(=O)N1)CC2 ZINC001181131282 1074209466 /nfs/dbraw/zinc/20/94/66/1074209466.db2.gz GSICAWWUPXWLKX-HIFRSBDPSA-N 0 0 442.538 -0.252 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H]1CC(=O)N(C)C(=O)N1)CC2 ZINC001181131284 1074209280 /nfs/dbraw/zinc/20/92/80/1074209280.db2.gz GSICAWWUPXWLKX-ZFWWWQNUSA-N 0 0 442.538 -0.252 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)NC1=O ZINC001181131537 1074209795 /nfs/dbraw/zinc/20/97/95/1074209795.db2.gz ISSCTKROYJKZEX-JSGCOSHPSA-N 0 0 426.437 -0.998 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)NC1=O ZINC001181131538 1074209910 /nfs/dbraw/zinc/20/99/10/1074209910.db2.gz ISSCTKROYJKZEX-OCCSQVGLSA-N 0 0 426.437 -0.998 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CC[C@H]3OC[C@@H](C(=O)NCCc4ccccn4)C[C@H]3C2)NC1=O ZINC001181131730 1074209783 /nfs/dbraw/zinc/20/97/83/1074209783.db2.gz LHAPLISKZYIHRE-UOVPBQLFSA-N 0 0 443.504 -0.066 20 0 IBADRN Cc1cccc(CN2CCO[C@H]3CN(C(=O)[C@@H]4CC(=O)N(C)C(=O)N4)CC[C@H]3C2=O)n1 ZINC001181131773 1074209775 /nfs/dbraw/zinc/20/97/75/1074209775.db2.gz NBALMCLIUGOTMN-IKGGRYGDSA-N 0 0 429.477 -0.094 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)[C@@H]1CC(=O)N(C)C(=O)N1)C2 ZINC001181131862 1074209763 /nfs/dbraw/zinc/20/97/63/1074209763.db2.gz PLEVGYZFCFHUKP-MGPUTAFESA-N 0 0 428.449 -0.812 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)[C@@H]1CC(=O)N(C)C(=O)N1)C2 ZINC001181131863 1074209928 /nfs/dbraw/zinc/20/99/28/1074209928.db2.gz PLEVGYZFCFHUKP-YWZLYKJASA-N 0 0 428.449 -0.812 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCCn3c(=O)cc(CNC(=O)c4ccco4)nc3C2)NC1=O ZINC001181131881 1074209815 /nfs/dbraw/zinc/20/98/15/1074209815.db2.gz QJBRNLLNEQQAEZ-ZDUSSCGKSA-N 0 0 442.432 -0.561 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)[C@@H]1CC(=O)N(C)C(=O)N1)CCC2 ZINC001181131884 1074209931 /nfs/dbraw/zinc/20/99/31/1074209931.db2.gz QMHFISXIBSQMCU-AWEZNQCLSA-N 0 0 432.481 -0.422 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CC[C@H]3OCC[C@@H](C(=O)NCCc4ccncc4)[C@@H]3C2)NC1=O ZINC001181132072 1074209936 /nfs/dbraw/zinc/20/99/36/1074209936.db2.gz VGBQGJFEKIQHOI-VSZNYVQBSA-N 0 0 443.504 -0.066 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCC[C@@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)NC1=O ZINC001181132367 1074209824 /nfs/dbraw/zinc/20/98/24/1074209824.db2.gz ZMGXGPJTAZGUMY-VBKZILBWSA-N 0 0 428.449 -0.224 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)N2CCC[C@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)NC1=O ZINC001181132372 1074209884 /nfs/dbraw/zinc/20/98/84/1074209884.db2.gz ZMGXGPJTAZGUMY-XOBRGWDASA-N 0 0 428.449 -0.224 20 0 IBADRN COc1ccc(N(C(=O)[C@@H]2CC(=O)N(C)C(=O)N2)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001181132679 1074209891 /nfs/dbraw/zinc/20/98/91/1074209891.db2.gz PETRYSBXVNKFLP-LBPRGKRZSA-N 0 0 434.434 -0.270 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)n2c(Cl)cncc2=NS(=O)(=O)c2ccc(N)cc2)NC1=O ZINC001181133362 1074209872 /nfs/dbraw/zinc/20/98/72/1074209872.db2.gz WYOOXKTZOUFYPP-NSHDSACASA-N 0 0 438.853 -0.011 20 0 IBADRN Cn1nc(Cl)c(I)c(NC(=O)[C@@H]2CC(=O)N(C)C(=O)N2)c1=O ZINC001181134754 1074209804 /nfs/dbraw/zinc/20/98/04/1074209804.db2.gz FRXHGMFWDRVHAX-BYPYZUCNSA-N 0 0 439.597 -0.083 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)NC[C@H](c2cnc(C(F)(F)F)nc2)N2CCOCC2)NC1=O ZINC001181135967 1074209860 /nfs/dbraw/zinc/20/98/60/1074209860.db2.gz PFIWPJHCTTWTIP-NWDGAFQWSA-N 0 0 430.387 -0.075 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)NC[C@@H](c2cnc(C(F)(F)F)nc2)N2CCOCC2)NC1=O ZINC001181135968 1074209833 /nfs/dbraw/zinc/20/98/33/1074209833.db2.gz PFIWPJHCTTWTIP-RYUDHWBXSA-N 0 0 430.387 -0.075 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N(C)C(=O)N1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001181136265 1074210394 /nfs/dbraw/zinc/21/03/94/1074210394.db2.gz MDOBITMMTNMQLK-CFVMTHIKSA-N 0 0 441.462 -0.888 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)NCCOCCOCCOCCC(=O)OC(C)(C)C)NC1=O ZINC001181136389 1074210243 /nfs/dbraw/zinc/21/02/43/1074210243.db2.gz UCTRMOLFKZIXHM-AWEZNQCLSA-N 0 0 431.486 -0.176 20 0 IBADRN CN1C(=O)C[C@@H](C(=O)NCc2cc(=O)n3c(n2)CN(C(=O)OC(C)(C)C)CCC3)NC1=O ZINC001181136758 1074210149 /nfs/dbraw/zinc/21/01/49/1074210149.db2.gz RGQFUJPYYCGBMB-ZDUSSCGKSA-N 0 0 448.480 -0.059 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)[C@@H]3CC(=O)N(C)C(=O)N3)ccc12 ZINC001181137376 1074210313 /nfs/dbraw/zinc/21/03/13/1074210313.db2.gz PGCBOUPMTFJRRI-ZDUSSCGKSA-N 0 0 443.416 -0.787 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)COCCOCCNC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001181145732 1074210183 /nfs/dbraw/zinc/21/01/83/1074210183.db2.gz DGLKXZQRWWJXLN-HNNXBMFYSA-N 0 0 431.486 -0.223 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)COCCOCCNC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001181145733 1074210301 /nfs/dbraw/zinc/21/03/01/1074210301.db2.gz DGLKXZQRWWJXLN-OAHLLOKOSA-N 0 0 431.486 -0.223 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)COCCOCCNC(=O)OC(C)(C)C)C2 ZINC001181146330 1074210226 /nfs/dbraw/zinc/21/02/26/1074210226.db2.gz IUIJQTDSAKUCMV-FQEVSTJZSA-N 0 0 442.513 -0.506 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)COCCOCCNC(=O)OC(C)(C)C)C2 ZINC001181146332 1074210212 /nfs/dbraw/zinc/21/02/12/1074210212.db2.gz IUIJQTDSAKUCMV-HXUWFJFHSA-N 0 0 442.513 -0.506 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)COCCOCCNC(=O)OC(C)(C)C)C2 ZINC001181147435 1074210360 /nfs/dbraw/zinc/21/03/60/1074210360.db2.gz VNUZLRFYSUVZND-UHFFFAOYSA-N 0 0 440.501 -0.186 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)COCCOCCNC(=O)OC(C)(C)C ZINC001181147734 1074210371 /nfs/dbraw/zinc/21/03/71/1074210371.db2.gz ZLUCGABADLDITC-AWEZNQCLSA-N 0 0 431.486 -0.176 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)COCCOCCNC(=O)OC(C)(C)C ZINC001181147735 1074210230 /nfs/dbraw/zinc/21/02/30/1074210230.db2.gz ZLUCGABADLDITC-CQSZACIVSA-N 0 0 431.486 -0.176 20 0 IBADRN CC(C)(C)OC(=O)NCCOCCOCC(=O)NCCOCCN1C(=O)C=CC1=O ZINC001181148370 1074210330 /nfs/dbraw/zinc/21/03/30/1074210330.db2.gz AUDMARSPZARMGT-UHFFFAOYSA-N 0 0 429.470 -0.398 20 0 IBADRN CC(C)(C)OC(=O)NCCOCCOCC(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001181149149 1074210381 /nfs/dbraw/zinc/21/03/81/1074210381.db2.gz OQROIRQVLBNOHO-UHFFFAOYSA-N 0 0 437.559 -0.219 20 0 IBADRN CCc1nnc([C@@H]2C[C@@H](O)CN2C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)[nH]1 ZINC001181157322 1074210264 /nfs/dbraw/zinc/21/02/64/1074210264.db2.gz ZLHPLWWRBMRJQG-OCCSQVGLSA-N 0 0 438.510 -0.325 20 0 IBADRN COC(=O)c1ccccc1CCC(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001181160524 1074208923 /nfs/dbraw/zinc/20/89/23/1074208923.db2.gz BFXJFMGQCICFSV-BNEJOLLZSA-N 0 0 432.433 -0.777 20 0 IBADRN Cn1nccc1CN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001181175419 1074210200 /nfs/dbraw/zinc/21/02/00/1074210200.db2.gz MBMDAKAKAOYJSV-UHFFFAOYSA-N 0 0 446.533 -0.501 20 0 IBADRN Cc1cc2c(N3CC(NC(=O)c4ccc(S(=O)(=O)CC(N)=O)cc4)C3)nccn2n1 ZINC001181184711 1074210790 /nfs/dbraw/zinc/21/07/90/1074210790.db2.gz ROOXAMCQZKGNAL-UHFFFAOYSA-N 0 0 428.474 -0.085 20 0 IBADRN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001181193570 1074210922 /nfs/dbraw/zinc/21/09/22/1074210922.db2.gz RYEQWIIEORLGOL-INMHGKMJSA-N 0 0 436.486 -0.146 20 0 IBADRN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001181193571 1074210804 /nfs/dbraw/zinc/21/08/04/1074210804.db2.gz RYEQWIIEORLGOL-UXLLHSPISA-N 0 0 436.486 -0.146 20 0 IBADRN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001181193572 1074210941 /nfs/dbraw/zinc/21/09/41/1074210941.db2.gz RYEQWIIEORLGOL-VYDXJSESSA-N 0 0 436.486 -0.146 20 0 IBADRN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001181193573 1074210939 /nfs/dbraw/zinc/21/09/39/1074210939.db2.gz RYEQWIIEORLGOL-ZOBUZTSGSA-N 0 0 436.486 -0.146 20 0 IBADRN COC(=O)c1ccccc1CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001181230502 1074210857 /nfs/dbraw/zinc/21/08/57/1074210857.db2.gz RQCJHVLOVHJSHV-IRXDYDNUSA-N 0 0 427.461 -0.057 20 0 IBADRN CC(=O)N[C@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)c1ccccc1 ZINC001181231468 1074210944 /nfs/dbraw/zinc/21/09/44/1074210944.db2.gz WOULYYNSOMNRMF-BZSNNMDCSA-N 0 0 426.477 -0.209 20 0 IBADRN CC(=O)N[C@@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)c1ccccc1 ZINC001181231469 1074210891 /nfs/dbraw/zinc/21/08/91/1074210891.db2.gz WOULYYNSOMNRMF-OKZBNKHCSA-N 0 0 426.477 -0.209 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2[C@@H]3CC[C@@H](C3)N2C(=O)OC(C)(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001181247665 1074210932 /nfs/dbraw/zinc/21/09/32/1074210932.db2.gz RAYMKWAYKWRUMO-RBUYSRPCSA-N 0 0 430.498 -0.265 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)Cc2ccnc(N)c2)CC3)C1=O ZINC001181249071 1074210823 /nfs/dbraw/zinc/21/08/23/1074210823.db2.gz UDJBTLAIHVGOBK-FQEVSTJZSA-N 0 0 435.550 -0.061 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)Cc2ccnc(N)c2)CC3)C1=O ZINC001181249072 1074210937 /nfs/dbraw/zinc/21/09/37/1074210937.db2.gz UDJBTLAIHVGOBK-HXUWFJFHSA-N 0 0 435.550 -0.061 20 0 IBADRN Nc1cc(CC(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)ccn1 ZINC001181249455 1074210949 /nfs/dbraw/zinc/21/09/49/1074210949.db2.gz ZUNODCBJDMEWOA-JKSUJKDBSA-N 0 0 444.517 -0.244 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H](C)CC(C)=O)C(N)=O ZINC001181256378 1074211320 /nfs/dbraw/zinc/21/13/20/1074211320.db2.gz KTQAFYSMGPIVEI-QEJZJMRPSA-N 0 0 430.571 -0.028 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H](C)CC(C)=O)C(N)=O ZINC001181256379 1074211162 /nfs/dbraw/zinc/21/11/62/1074211162.db2.gz KTQAFYSMGPIVEI-SNPRPXQTSA-N 0 0 430.571 -0.028 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)Cc2cn3cc(Br)ccc3n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001181278268 1074210925 /nfs/dbraw/zinc/21/09/25/1074210925.db2.gz KWYXMQRFKRWPJL-MDBBBPFLSA-N 0 0 444.282 -0.400 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(CO)CC1)N1CCS(=O)(=O)CCO1 ZINC001181283145 1074211297 /nfs/dbraw/zinc/21/12/97/1074211297.db2.gz YZPICOMNAMGLIG-UHFFFAOYSA-N 0 0 438.549 -0.057 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1cc(F)cc(F)c1)C(=O)N1CN[C@H](N)[C@H]2NCN[C@H]21 ZINC001181285236 1074211122 /nfs/dbraw/zinc/21/11/22/1074211122.db2.gz DZEIWQBYROACQJ-FZKCQIBNSA-N 0 0 426.468 -0.080 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1cc(F)cc(F)c1)C(=O)N1CN[C@H](N)[C@@H]2NCN[C@H]21 ZINC001181285237 1074211309 /nfs/dbraw/zinc/21/13/09/1074211309.db2.gz DZEIWQBYROACQJ-VGWMRTNUSA-N 0 0 426.468 -0.080 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1cc(F)cc(F)c1)C(=O)N1CN[C@H](N)[C@H]2NCN[C@@H]21 ZINC001181285238 1074211148 /nfs/dbraw/zinc/21/11/48/1074211148.db2.gz DZEIWQBYROACQJ-XUWVNRHRSA-N 0 0 426.468 -0.080 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1cc(F)cc(F)c1)C(=O)N1CN[C@H](N)[C@@H]2NCN[C@@H]21 ZINC001181285239 1074211287 /nfs/dbraw/zinc/21/12/87/1074211287.db2.gz DZEIWQBYROACQJ-YHUYYLMFSA-N 0 0 426.468 -0.080 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCOC12CN(C(=O)OC(C)(C)C)C2 ZINC001181289549 1074211196 /nfs/dbraw/zinc/21/11/96/1074211196.db2.gz KDCMNKVOYGDMJI-GFCCVEGCSA-N 0 0 429.499 -0.107 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCOC12CN(C(=O)OC(C)(C)C)C2 ZINC001181289550 1074211177 /nfs/dbraw/zinc/21/11/77/1074211177.db2.gz KDCMNKVOYGDMJI-LBPRGKRZSA-N 0 0 429.499 -0.107 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCOC23CN(C(=O)OC(C)(C)C)C3)cn1C ZINC001181289774 1074211186 /nfs/dbraw/zinc/21/11/86/1074211186.db2.gz RJDMBWUNAHIISM-UHFFFAOYSA-N 0 0 429.499 -0.187 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(F)c1OC ZINC001181291313 1074211204 /nfs/dbraw/zinc/21/12/04/1074211204.db2.gz IAUIQNZWZQNFJC-NFBAFIMDSA-N 0 0 438.412 -0.797 20 0 IBADRN C[C@@H](C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1)N1CCCC1=O ZINC001181295104 1074211227 /nfs/dbraw/zinc/21/12/27/1074211227.db2.gz JNPNYXUCNGRZOL-CWRNSKLLSA-N 0 0 449.533 -0.453 20 0 IBADRN C[C@@H](C(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2)N1CCCC1=O ZINC001181295411 1074211110 /nfs/dbraw/zinc/21/11/10/1074211110.db2.gz MZSNAOHUVATHAZ-FORWCCJISA-N 0 0 434.518 -0.024 20 0 IBADRN C[C@@H](C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1)N1CCCC1=O ZINC001181295710 1074211269 /nfs/dbraw/zinc/21/12/69/1074211269.db2.gz PATOAJOHRYCJDI-MGPUTAFESA-N 0 0 440.566 -0.270 20 0 IBADRN C[C@@H](C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1)N1CCCC1=O ZINC001181295711 1074211250 /nfs/dbraw/zinc/21/12/50/1074211250.db2.gz PATOAJOHRYCJDI-YWZLYKJASA-N 0 0 440.566 -0.270 20 0 IBADRN C[C@@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)N1CCCC1=O ZINC001181295898 1074211215 /nfs/dbraw/zinc/21/12/15/1074211215.db2.gz RCLSZYAOTZRMAV-CAOSSQGBSA-N 0 0 427.523 -0.882 20 0 IBADRN C[C@@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)N1CCCC1=O ZINC001181295899 1074211263 /nfs/dbraw/zinc/21/12/63/1074211263.db2.gz RCLSZYAOTZRMAV-ZJIFWQFVSA-N 0 0 427.523 -0.882 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)[C@H]1CCOC1 ZINC001181313411 1074211841 /nfs/dbraw/zinc/21/18/41/1074211841.db2.gz KQNXNTLOADSUAY-GXTWGEPZSA-N 0 0 430.479 -0.076 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)[C@H]1CCOC1 ZINC001181313421 1074211647 /nfs/dbraw/zinc/21/16/47/1074211647.db2.gz KQNXNTLOADSUAY-JSGCOSHPSA-N 0 0 430.479 -0.076 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)[C@@H]1CCOC1 ZINC001181313422 1074211741 /nfs/dbraw/zinc/21/17/41/1074211741.db2.gz KQNXNTLOADSUAY-OCCSQVGLSA-N 0 0 430.479 -0.076 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1ccc(OC)c(OC)c1)[C@@H]1CCOC1 ZINC001181313423 1074211724 /nfs/dbraw/zinc/21/17/24/1074211724.db2.gz KQNXNTLOADSUAY-TZMCWYRMSA-N 0 0 430.479 -0.076 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1)N1CCCC1=O ZINC001181313646 1074211797 /nfs/dbraw/zinc/21/17/97/1074211797.db2.gz QYCJISWOCGZGKQ-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)N1CCCC1=O ZINC001181314410 1074211691 /nfs/dbraw/zinc/21/16/91/1074211691.db2.gz YFIGAXFNYPRLSO-CRRJJVKGSA-N 0 0 426.491 -0.472 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)N1CCCC1=O ZINC001181314411 1074211716 /nfs/dbraw/zinc/21/17/16/1074211716.db2.gz YFIGAXFNYPRLSO-GCDFBFPTSA-N 0 0 426.491 -0.472 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)N1CCCC1=O ZINC001181314413 1074211791 /nfs/dbraw/zinc/21/17/91/1074211791.db2.gz YFIGAXFNYPRLSO-IBIWDWGDSA-N 0 0 426.491 -0.472 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)N1CCCC1=O ZINC001181314415 1074211783 /nfs/dbraw/zinc/21/17/83/1074211783.db2.gz YFIGAXFNYPRLSO-YRXBBEGBSA-N 0 0 426.491 -0.472 20 0 IBADRN CC(=O)N[C@@H](CC(F)(F)F)C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001181345141 1074212052 /nfs/dbraw/zinc/21/20/52/1074212052.db2.gz ZGZBTOCLLZJGEE-AVGNSLFASA-N 0 0 432.403 -0.630 20 0 IBADRN CC(=O)N[C@H](CC(F)(F)F)C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001181345142 1074212191 /nfs/dbraw/zinc/21/21/91/1074212191.db2.gz ZGZBTOCLLZJGEE-XQQFMLRXSA-N 0 0 432.403 -0.630 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NC(=O)C[C@@H](C)O)cc1 ZINC001181357008 1074211774 /nfs/dbraw/zinc/21/17/74/1074211774.db2.gz MMJHJNXRZQACQO-YNCJITKKSA-N 0 0 447.444 -0.372 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NC(=O)C[C@H](C)O)cc1 ZINC001181357039 1074211761 /nfs/dbraw/zinc/21/17/61/1074211761.db2.gz MMJHJNXRZQACQO-ZOOFZWQKSA-N 0 0 447.444 -0.372 20 0 IBADRN C[C@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccc(N2Cc3ccccc3C2=O)cc1 ZINC001181367732 1074211678 /nfs/dbraw/zinc/21/16/78/1074211678.db2.gz RUALBUGJOLKQEN-AGWNQIOESA-N 0 0 442.468 -0.133 20 0 IBADRN C[C@H](C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1ccc(N2Cc3ccccc3C2=O)cc1 ZINC001181367734 1074211751 /nfs/dbraw/zinc/21/17/51/1074211751.db2.gz RUALBUGJOLKQEN-MKPFPPSWSA-N 0 0 442.468 -0.133 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1ccc(N2Cc3ccccc3C2=O)cc1 ZINC001181367735 1074211637 /nfs/dbraw/zinc/21/16/37/1074211637.db2.gz RUALBUGJOLKQEN-QLQGTALDSA-N 0 0 442.468 -0.133 20 0 IBADRN C[C@@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccc(N2Cc3ccccc3C2=O)cc1 ZINC001181367737 1074211619 /nfs/dbraw/zinc/21/16/19/1074211619.db2.gz RUALBUGJOLKQEN-WBQNXMIXSA-N 0 0 442.468 -0.133 20 0 IBADRN O=C(Cc1ccccc1)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001181376495 1074211705 /nfs/dbraw/zinc/21/17/05/1074211705.db2.gz DBMZCLIZXYGGPK-YQVWRLOYSA-N 0 0 434.514 -0.081 20 0 IBADRN O=C(Cc1ccccc1)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001181376496 1074211668 /nfs/dbraw/zinc/21/16/68/1074211668.db2.gz DBMZCLIZXYGGPK-ZHALLVOQSA-N 0 0 434.514 -0.081 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C(=O)Cc1ccccc1)C(=O)NCC(N)=O ZINC001181376533 1074212145 /nfs/dbraw/zinc/21/21/45/1074212145.db2.gz VRSPPFJIFACJTN-IRXDYDNUSA-N 0 0 430.505 -0.078 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C(=O)Cc2ccccc2)[C@H]1O ZINC001181382410 1074212108 /nfs/dbraw/zinc/21/21/08/1074212108.db2.gz VNFWPSYNZVMGQU-BONWFUQQSA-N 0 0 440.460 -0.561 20 0 IBADRN COC(=O)CC(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001181384945 1074212248 /nfs/dbraw/zinc/21/22/48/1074212248.db2.gz KDBWNXXEMUHARZ-KKSFZXQISA-N 0 0 431.493 -0.057 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC2(C1)CN(C(=O)OC(C)(C)C)C2 ZINC001181394914 1074212708 /nfs/dbraw/zinc/21/27/08/1074212708.db2.gz BACJZLQESDJZEB-UHFFFAOYSA-N 0 0 432.543 -0.100 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C2(c3ccc4c(c3)OC(F)(F)O4)CC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001181397653 1074212716 /nfs/dbraw/zinc/21/27/16/1074212716.db2.gz PQCOHRFNLDPOEP-LKGZJPOGSA-N 0 0 431.388 0.000 20 0 IBADRN CC(=O)OCC(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001181400418 1074212738 /nfs/dbraw/zinc/21/27/38/1074212738.db2.gz HMMALSORHMRWHV-UWJYYQICSA-N 0 0 431.493 -0.057 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001181401158 1074212673 /nfs/dbraw/zinc/21/26/73/1074212673.db2.gz BPAKHCRKHWAMJF-OBJOEFQTSA-N 0 0 428.486 -0.363 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001181401160 1074212654 /nfs/dbraw/zinc/21/26/54/1074212654.db2.gz BPAKHCRKHWAMJF-SGMGOOAPSA-N 0 0 428.486 -0.363 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C2 ZINC001181401344 1074212742 /nfs/dbraw/zinc/21/27/42/1074212742.db2.gz ABCWIPNTCCXZNY-RYUDHWBXSA-N 0 0 437.501 -0.326 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C2 ZINC001181402350 1074212632 /nfs/dbraw/zinc/21/26/32/1074212632.db2.gz MBMCAXLOLIWJON-KQHSUYLTSA-N 0 0 439.513 -0.646 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C2 ZINC001181402352 1074212703 /nfs/dbraw/zinc/21/27/03/1074212703.db2.gz MBMCAXLOLIWJON-QAJFTPDKSA-N 0 0 439.513 -0.646 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H](C)C(=O)N1CCN(CCCS(C)(=O)=O)CC1 ZINC001181402859 1074212637 /nfs/dbraw/zinc/21/26/37/1074212637.db2.gz RPOMMUYMIQCBIX-GJZGRUSLSA-N 0 0 448.586 -0.017 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)OC(C)(C)C ZINC001181402937 1074212648 /nfs/dbraw/zinc/21/26/48/1074212648.db2.gz TZVIYWUCQWWQRW-AVGNSLFASA-N 0 0 428.486 -0.316 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)OC(C)(C)C ZINC001181403132 1074212624 /nfs/dbraw/zinc/21/26/24/1074212624.db2.gz TZVIYWUCQWWQRW-RWMBFGLXSA-N 0 0 428.486 -0.316 20 0 IBADRN CC(=O)Nc1ccc([N-]S(C)(=O)=O)c(C(=O)NC[C@@]2(CO)COC[C@@H]3CCC[N@@H+]32)c1 ZINC001181413200 1074212301 /nfs/dbraw/zinc/21/23/01/1074212301.db2.gz LDSKHXMJJFWSNZ-HNAYVOBHSA-N 0 0 440.522 -0.028 20 0 IBADRN CCn1c(N)c(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)OC(C)(C)C)c(=O)n(CC)c1=O ZINC001181413572 1074212136 /nfs/dbraw/zinc/21/21/36/1074212136.db2.gz HBSZYFROZVEZIN-QWRGUYRKSA-N 0 0 440.501 -0.012 20 0 IBADRN C[C@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001181417582 1074212278 /nfs/dbraw/zinc/21/22/78/1074212278.db2.gz JJRHOHUGLXDSRY-KBPBESRZSA-N 0 0 434.559 -0.359 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H](C)C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001181417944 1074212183 /nfs/dbraw/zinc/21/21/83/1074212183.db2.gz OQZQJUFNJDYMIA-STQMWFEESA-N 0 0 426.470 -0.538 20 0 IBADRN CCCCS(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001181420593 1074212125 /nfs/dbraw/zinc/21/21/25/1074212125.db2.gz RLTNIUXWWAYRHH-SFHVURJKSA-N 0 0 438.550 -0.335 20 0 IBADRN CCCCS(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N1CC(NS(C)(=O)=O)C1 ZINC001181421060 1074212154 /nfs/dbraw/zinc/21/21/54/1074212154.db2.gz XQNIFXODAIVOPM-INIZCTEOSA-N 0 0 433.552 -0.217 20 0 IBADRN CCCCS(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(=O)NCC(=O)OC ZINC001181422378 1074212235 /nfs/dbraw/zinc/21/22/35/1074212235.db2.gz CWQWRMYDBCYQST-HNNXBMFYSA-N 0 0 429.495 -0.572 20 0 IBADRN CCCCS(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CCC(=O)OC)C(N)=O ZINC001181423018 1074212219 /nfs/dbraw/zinc/21/22/19/1074212219.db2.gz KPUHIYPXLDQEGQ-HOTGVXAUSA-N 0 0 443.522 -0.054 20 0 IBADRN CCCCS(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NC1CN(S(C)(=O)=O)C1 ZINC001181423740 1074212092 /nfs/dbraw/zinc/21/20/92/1074212092.db2.gz WAXVOEVEMALYRU-INIZCTEOSA-N 0 0 433.552 -0.217 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@@H]1C[C@@H]1c1ccccc1 ZINC001181430844 1074212642 /nfs/dbraw/zinc/21/26/42/1074212642.db2.gz LIHRZCOUEZJXHU-MLURGVLZSA-N 0 0 427.417 -0.114 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)C[C@H](CCC(N)=O)NC(=O)OC(C)(C)C)C2 ZINC001181436980 1074213111 /nfs/dbraw/zinc/21/31/11/1074213111.db2.gz FTCZAYPLNKYJHV-ZDUSSCGKSA-N 0 0 437.501 -0.195 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)C[C@H](CCC(N)=O)NC(=O)OC(C)(C)C)C2 ZINC001181437336 1074213150 /nfs/dbraw/zinc/21/31/50/1074213150.db2.gz ABOXATMEXWCOOC-VBKZILBWSA-N 0 0 439.513 -0.515 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)C[C@H](CCC(N)=O)NC(=O)OC(C)(C)C)C2 ZINC001181437345 1074213018 /nfs/dbraw/zinc/21/30/18/1074213018.db2.gz ABOXATMEXWCOOC-XOBRGWDASA-N 0 0 439.513 -0.515 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)C[C@H](CCC(N)=O)NC(=O)OC(C)(C)C ZINC001181437949 1074213002 /nfs/dbraw/zinc/21/30/02/1074213002.db2.gz IGLLTKZUBDBMHV-QWHCGFSZSA-N 0 0 428.486 -0.185 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)C[C@H](CCC(N)=O)NC(=O)OC(C)(C)C ZINC001181437954 1074212978 /nfs/dbraw/zinc/21/29/78/1074212978.db2.gz IGLLTKZUBDBMHV-STQMWFEESA-N 0 0 428.486 -0.185 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCC(N)=O)CC(=O)NCCOCCN1C(=O)C=CC1=O ZINC001181439234 1074213159 /nfs/dbraw/zinc/21/31/59/1074213159.db2.gz JSAGTGCUXQYKDW-ZDUSSCGKSA-N 0 0 426.470 -0.407 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C[C@H](CCC(N)=O)NC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001181439554 1074213155 /nfs/dbraw/zinc/21/31/55/1074213155.db2.gz VXCLVYDLVIKKDV-KBPBESRZSA-N 0 0 428.486 -0.232 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C[C@H](CCC(N)=O)NC(=O)OC(C)(C)C)CCN1C(C)=O ZINC001181439556 1074213007 /nfs/dbraw/zinc/21/30/07/1074213007.db2.gz VXCLVYDLVIKKDV-UONOGXRCSA-N 0 0 428.486 -0.232 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCC(N)=O)CC(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001181440627 1074213042 /nfs/dbraw/zinc/21/30/42/1074213042.db2.gz WSWAOLBIGIIJIR-AWEZNQCLSA-N 0 0 434.559 -0.228 20 0 IBADRN CO[C@H](C)C(=O)N[C@H]1[C@@H](O)[C@H](n2ccc(=O)[nH]c2=O)O[C@@H]1COC(=O)c1ccc(C)cc1 ZINC001181460092 1074212678 /nfs/dbraw/zinc/21/26/78/1074212678.db2.gz ZUHHJBLUGOWZDK-HKYLLPEISA-N 0 0 447.444 -0.108 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CCC(=O)c2ccc(F)cc2F)c(=O)n1 ZINC001181467294 1074212692 /nfs/dbraw/zinc/21/26/92/1074212692.db2.gz NPLMGEPJOBWFEI-BNEJOLLZSA-N 0 0 438.387 -0.255 20 0 IBADRN NS(=O)(=O)C[C@H]1CC[C@@H](NC(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC001181472624 1074213139 /nfs/dbraw/zinc/21/31/39/1074213139.db2.gz BDWHMLIGUFHCKK-NWDGAFQWSA-N 0 0 437.565 -0.044 20 0 IBADRN CN1CCN(C(=O)CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC001181484586 1074213516 /nfs/dbraw/zinc/21/35/16/1074213516.db2.gz BXUFVLIYPOBPBR-UHFFFAOYSA-N 0 0 428.486 -0.650 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)NCC(=O)N3CCN(C)CC3)cc2)C[C@H](C)O1 ZINC001181486200 1074213565 /nfs/dbraw/zinc/21/35/65/1074213565.db2.gz JBTGWJDPKBLHRG-HOTGVXAUSA-N 0 0 438.550 -0.012 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NCC(=O)N3CCN(C)CC3)cc2)C[C@@H](C)O1 ZINC001181486207 1074214173 /nfs/dbraw/zinc/21/41/73/1074214173.db2.gz JBTGWJDPKBLHRG-HZPDHXFCSA-N 0 0 438.550 -0.012 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NCC(=O)N3CCN(C)CC3)cc2)C[C@H](C)O1 ZINC001181486209 1074213875 /nfs/dbraw/zinc/21/38/75/1074213875.db2.gz JBTGWJDPKBLHRG-IYBDPMFKSA-N 0 0 438.550 -0.012 20 0 IBADRN CN1CCN(C(=O)CNC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001181487267 1074214062 /nfs/dbraw/zinc/21/40/62/1074214062.db2.gz OCYMVGPTIAOWNA-UHFFFAOYSA-N 0 0 440.522 -0.594 20 0 IBADRN Cc1c(C(=O)NCC(=O)N2CCN(C)CC2)cc(Br)cc1S(N)(=O)=O ZINC001181487327 1074214017 /nfs/dbraw/zinc/21/40/17/1074214017.db2.gz PXKKFZUZFMOHKV-UHFFFAOYSA-N 0 0 433.328 -0.091 20 0 IBADRN O=C(CCc1ccccc1OC(=O)c1ccccc1)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001181497800 1074212989 /nfs/dbraw/zinc/21/29/89/1074212989.db2.gz AUTPGQSMWAKQCG-UTOHAZMKSA-N 0 0 431.441 -0.246 20 0 IBADRN O=C(CCc1ccccc1OC(=O)c1ccccc1)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001181497801 1074213037 /nfs/dbraw/zinc/21/30/37/1074213037.db2.gz AUTPGQSMWAKQCG-VNHVOUQCSA-N 0 0 431.441 -0.246 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CCC(C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)CC2 ZINC001181503890 1074213121 /nfs/dbraw/zinc/21/31/21/1074213121.db2.gz ISJFZBKLSCPATL-DRXUAVOGSA-N 0 0 430.498 -0.670 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CCC(C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)CC2 ZINC001181503891 1074213498 /nfs/dbraw/zinc/21/34/98/1074213498.db2.gz ISJFZBKLSCPATL-IETPZCKNSA-N 0 0 430.498 -0.670 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)C2CC4(C2)OCCO4)CC3)C1=O ZINC001181507232 1074213551 /nfs/dbraw/zinc/21/35/51/1074213551.db2.gz IBXGQSFJFXTMKB-IBGZPJMESA-N 0 0 441.550 -0.128 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)C2CC4(C2)OCCO4)CC3)C1=O ZINC001181507245 1074213559 /nfs/dbraw/zinc/21/35/59/1074213559.db2.gz IBXGQSFJFXTMKB-LJQANCHMSA-N 0 0 441.550 -0.128 20 0 IBADRN O=C(C1CC2(C1)OCCO2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001181507948 1074213585 /nfs/dbraw/zinc/21/35/85/1074213585.db2.gz QTWOJCGPAGJCBQ-ARFHVFGLSA-N 0 0 428.507 -0.740 20 0 IBADRN O=C(C1CC2(C1)OCCO2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001181507949 1074213625 /nfs/dbraw/zinc/21/36/25/1074213625.db2.gz QTWOJCGPAGJCBQ-BZUAXINKSA-N 0 0 428.507 -0.740 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)C2CC3(C2)OCCO3)cc1Cl ZINC001181508911 1074213472 /nfs/dbraw/zinc/21/34/72/1074213472.db2.gz GOYODJNWHLHRQR-UHFFFAOYSA-N 0 0 425.872 -0.274 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)C1CC2(C1)OCCO2 ZINC001181512722 1074213595 /nfs/dbraw/zinc/21/35/95/1074213595.db2.gz GLOLOGBFODZLMY-DXWTWGPWSA-N 0 0 427.475 -0.330 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)C1CC2(C1)OCCO2 ZINC001181512732 1074213609 /nfs/dbraw/zinc/21/36/09/1074213609.db2.gz GLOLOGBFODZLMY-IMPIEMTGSA-N 0 0 427.475 -0.330 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)C1CC2(C1)OCCO2 ZINC001181512736 1074213465 /nfs/dbraw/zinc/21/34/65/1074213465.db2.gz GLOLOGBFODZLMY-JFBPSJKJSA-N 0 0 427.475 -0.330 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)C1CC2(C1)OCCO2 ZINC001181512738 1074213480 /nfs/dbraw/zinc/21/34/80/1074213480.db2.gz GLOLOGBFODZLMY-OCABDXPQSA-N 0 0 427.475 -0.330 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)COC1 ZINC001181515423 1074214077 /nfs/dbraw/zinc/21/40/77/1074214077.db2.gz LSNDIQHXTCWQLR-UHFFFAOYSA-N 0 0 436.469 -0.248 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)C3(NC(=O)OC(C)(C)C)COC3)C2)C1 ZINC001181517288 1074213918 /nfs/dbraw/zinc/21/39/18/1074213918.db2.gz DNWNWMNFCRBFJN-FQEVSTJZSA-N 0 0 440.541 -0.033 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)C3(NC(=O)OC(C)(C)C)COC3)C2)C1 ZINC001181517541 1074214605 /nfs/dbraw/zinc/21/46/05/1074214605.db2.gz DNWNWMNFCRBFJN-HXUWFJFHSA-N 0 0 440.541 -0.033 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)C2(NC(=O)OC(C)(C)C)COC2)C1 ZINC001181518273 1074214513 /nfs/dbraw/zinc/21/45/13/1074214513.db2.gz IXEJEAXISMWEBX-AWEZNQCLSA-N 0 0 437.497 -0.102 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)C2(NC(=O)OC(C)(C)C)COC2)C1 ZINC001181518275 1074214482 /nfs/dbraw/zinc/21/44/82/1074214482.db2.gz IXEJEAXISMWEBX-CQSZACIVSA-N 0 0 437.497 -0.102 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)C3(NC(=O)OC(C)(C)C)COC3)C[C@H]2C1 ZINC001181518768 1074214558 /nfs/dbraw/zinc/21/45/58/1074214558.db2.gz OIABYYZJPGJPQF-KGLIPLIRSA-N 0 0 432.543 -0.133 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)C1(NC(=O)OC(C)(C)C)COC1)C2 ZINC001181519187 1074214599 /nfs/dbraw/zinc/21/45/99/1074214599.db2.gz ULRSYOBLXPHBBM-UHFFFAOYSA-N 0 0 438.485 -0.481 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)C3(NC(=O)OC(C)(C)C)COC3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001181520285 1074214517 /nfs/dbraw/zinc/21/45/17/1074214517.db2.gz JUDYTENZMLPZPO-YNEHKIRRSA-N 0 0 440.453 -0.684 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NC(=O)C2(O)CC2)cc1 ZINC001181531630 1074214143 /nfs/dbraw/zinc/21/41/43/1074214143.db2.gz WCBKVNDTFMMLAV-MWQQHZPXSA-N 0 0 445.428 -0.618 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2C[C@H](NC(=O)OC(C)(C)C)C2(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001181536580 1074214159 /nfs/dbraw/zinc/21/41/59/1074214159.db2.gz GVGFZWDGXVWZPA-YMONPBEYSA-N 0 0 432.514 -0.114 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)CC1CCS(=O)(=O)CC1)CC2 ZINC001181539558 1074214194 /nfs/dbraw/zinc/21/41/94/1074214194.db2.gz CYUJGAWOKOIYPJ-UHFFFAOYSA-N 0 0 437.566 -0.093 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CC1CCS(=O)(=O)CC1 ZINC001181544537 1074213889 /nfs/dbraw/zinc/21/38/89/1074213889.db2.gz XVFIADHNDNHZRJ-GJZGRUSLSA-N 0 0 425.511 -0.872 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)C2CN(c3ccc(Cl)cc3)C2)c(=O)n1 ZINC001181546422 1074214002 /nfs/dbraw/zinc/21/40/02/1074214002.db2.gz LVSWUPJZMLOLJZ-GFOCRRMGSA-N 0 0 435.868 -0.407 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)C34CCN(CC3)CC4)C[C@@H]21)N1CCOCC1 ZINC001181549936 1074213987 /nfs/dbraw/zinc/21/39/87/1074213987.db2.gz YXAQBNPFJAPWGO-BRWVUGGUSA-N 0 0 425.551 -0.407 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)C34CCN(CC3)CC4)C[C@@H]21)N1CCOCC1 ZINC001181549937 1074213939 /nfs/dbraw/zinc/21/39/39/1074213939.db2.gz YXAQBNPFJAPWGO-GVDBMIGSSA-N 0 0 425.551 -0.407 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@H]1CN(Cc2ccccc2)CC1=O ZINC001181561507 1074215038 /nfs/dbraw/zinc/21/50/38/1074215038.db2.gz BDJQRYCADCDWTJ-AWEZNQCLSA-N 0 0 425.449 -0.398 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@@H]1CN(Cc2ccccc2)CC1=O ZINC001181561508 1074215048 /nfs/dbraw/zinc/21/50/48/1074215048.db2.gz BDJQRYCADCDWTJ-CQSZACIVSA-N 0 0 425.449 -0.398 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H]1CN(Cc3ccccc3)CC1=O)C2 ZINC001181566060 1074215052 /nfs/dbraw/zinc/21/50/52/1074215052.db2.gz JJRSFJWWLANXBJ-KRWDZBQOSA-N 0 0 440.504 -0.074 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@@H]1CN(Cc3ccccc3)CC1=O)C2 ZINC001181566064 1074215004 /nfs/dbraw/zinc/21/50/04/1074215004.db2.gz JJRSFJWWLANXBJ-QGZVFWFLSA-N 0 0 440.504 -0.074 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3CN(Cc4ccccc4)CC3=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001181572430 1074214564 /nfs/dbraw/zinc/21/45/64/1074214564.db2.gz KAIVCBPKPARCSJ-RNIPGJKVSA-N 0 0 442.472 -0.277 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H]3CN(Cc4ccccc4)CC3=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001181572431 1074214527 /nfs/dbraw/zinc/21/45/27/1074214527.db2.gz KAIVCBPKPARCSJ-UKBAYJJMSA-N 0 0 442.472 -0.277 20 0 IBADRN CCOC(=O)C1(C(=O)NCCOCCN2C(=O)C=CC2=O)CN(C(=O)OC(C)(C)C)C1 ZINC001181578584 1074214507 /nfs/dbraw/zinc/21/45/07/1074214507.db2.gz BTCLWFNGWWBOCC-UHFFFAOYSA-N 0 0 439.465 -0.156 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NC(=O)[C@@H]2CCO2)cc1 ZINC001181587182 1074214501 /nfs/dbraw/zinc/21/45/01/1074214501.db2.gz IYDIOFDERXLJFW-PKMBHRFZSA-N 0 0 445.428 -0.354 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NC(=O)[C@H]2CCO2)cc1 ZINC001181587183 1074214472 /nfs/dbraw/zinc/21/44/72/1074214472.db2.gz IYDIOFDERXLJFW-RKVZRBGQSA-N 0 0 445.428 -0.354 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001181591937 1074214967 /nfs/dbraw/zinc/21/49/67/1074214967.db2.gz CUMZCMVYHAQGHF-HNNXBMFYSA-N 0 0 425.449 -0.126 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001181591940 1074214976 /nfs/dbraw/zinc/21/49/76/1074214976.db2.gz CUMZCMVYHAQGHF-OAHLLOKOSA-N 0 0 425.449 -0.126 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@H]1C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001181603576 1074214992 /nfs/dbraw/zinc/21/49/92/1074214992.db2.gz XOZIUOVZNHYLRS-INDMIFKZSA-N 0 0 442.472 -0.005 20 0 IBADRN CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001181603577 1074214924 /nfs/dbraw/zinc/21/49/24/1074214924.db2.gz XOZIUOVZNHYLRS-WJFTUGDTSA-N 0 0 442.472 -0.005 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCOC1 ZINC001181604743 1074214997 /nfs/dbraw/zinc/21/49/97/1074214997.db2.gz GANYKGHLYFMRRT-GOSISDBHSA-N 0 0 425.463 -0.883 20 0 IBADRN COC(=O)[C@]1(CNC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCOC1 ZINC001181604749 1074215033 /nfs/dbraw/zinc/21/50/33/1074215033.db2.gz GANYKGHLYFMRRT-SFHVURJKSA-N 0 0 425.463 -0.883 20 0 IBADRN O=C(C1CC2(COC2)C1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001181614098 1074214981 /nfs/dbraw/zinc/21/49/81/1074214981.db2.gz QTAASQOVKKXRAF-JKSUJKDBSA-N 0 0 434.518 -0.037 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C1CC2(COC2)C1)C(N)=O ZINC001181620365 1074214909 /nfs/dbraw/zinc/21/49/09/1074214909.db2.gz PTHCCGMCBXDRGX-GJZGRUSLSA-N 0 0 442.582 -0.217 20 0 IBADRN COc1ccc(Cl)c(CC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1F ZINC001181642916 1074215028 /nfs/dbraw/zinc/21/50/28/1074215028.db2.gz VEOBQVXCOBZRTG-GAEVZRCVSA-N 0 0 442.831 -0.153 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1F ZINC001181655485 1074215453 /nfs/dbraw/zinc/21/54/53/1074215453.db2.gz QYQPZELTRVHOFY-BNGXUDDSSA-N 0 0 426.376 -0.667 20 0 IBADRN O=C(c1ccc(=O)[nH]n1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001181684618 1074215374 /nfs/dbraw/zinc/21/53/74/1074215374.db2.gz IPNWPYRDXTYHTE-BFHYXJOUSA-N 0 0 432.462 -0.547 20 0 IBADRN O=C(c1ccc(=O)[nH]n1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001181684624 1074215475 /nfs/dbraw/zinc/21/54/75/1074215475.db2.gz IPNWPYRDXTYHTE-MELADBBJSA-N 0 0 432.462 -0.547 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001181698424 1074215410 /nfs/dbraw/zinc/21/54/10/1074215410.db2.gz OLBCXPJVJQGKOA-HNNXBMFYSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)c1cc(I)ccc1-n1cnnn1 ZINC001181698781 1074215448 /nfs/dbraw/zinc/21/54/48/1074215448.db2.gz RMAQSNYGLIMXKS-NSHDSACASA-N 0 0 431.190 -0.079 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(=O)[nH]n1)C(N)=O ZINC001181702446 1074215438 /nfs/dbraw/zinc/21/54/38/1074215438.db2.gz KHVKCZDJLPISIR-AVGNSLFASA-N 0 0 440.526 -0.726 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(=O)[nH]n1)C(N)=O ZINC001181702452 1074215435 /nfs/dbraw/zinc/21/54/35/1074215435.db2.gz KHVKCZDJLPISIR-XQQFMLRXSA-N 0 0 440.526 -0.726 20 0 IBADRN O=C(c1ccc(=O)[nH]n1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001181725335 1074215469 /nfs/dbraw/zinc/21/54/69/1074215469.db2.gz FMNXDZVKBGKZJM-GXTWGEPZSA-N 0 0 432.462 -0.198 20 0 IBADRN CC1(C)OC[C@H](C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)O1 ZINC001181738354 1074215401 /nfs/dbraw/zinc/21/54/01/1074215401.db2.gz IFJIHYLIMPSUEM-RRFJBIMHSA-N 0 0 438.506 -0.313 20 0 IBADRN CC1(C)OC[C@@H](C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)O1 ZINC001181738355 1074215480 /nfs/dbraw/zinc/21/54/80/1074215480.db2.gz IFJIHYLIMPSUEM-SOUVJXGZSA-N 0 0 438.506 -0.313 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2COC(C)(C)O2)CC3)C1=O ZINC001181741855 1074215392 /nfs/dbraw/zinc/21/53/92/1074215392.db2.gz QOZBYEHLRWCQTO-AUUYWEPGSA-N 0 0 429.539 -0.130 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2COC(C)(C)O2)CC3)C1=O ZINC001181741856 1074215463 /nfs/dbraw/zinc/21/54/63/1074215463.db2.gz QOZBYEHLRWCQTO-IFXJQAMLSA-N 0 0 429.539 -0.130 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H]2COC(C)(C)O2)CC3)C1=O ZINC001181741857 1074215479 /nfs/dbraw/zinc/21/54/79/1074215479.db2.gz QOZBYEHLRWCQTO-KUHUBIRLSA-N 0 0 429.539 -0.130 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2COC(C)(C)O2)CC3)C1=O ZINC001181741858 1074215896 /nfs/dbraw/zinc/21/58/96/1074215896.db2.gz QOZBYEHLRWCQTO-LIRRHRJNSA-N 0 0 429.539 -0.130 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1COC(C)(C)O1)C(N)=O ZINC001181747992 1074215847 /nfs/dbraw/zinc/21/58/47/1074215847.db2.gz HTPKRHQZXFKUAQ-IHRRRGAJSA-N 0 0 446.570 -0.492 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1COC(C)(C)O1)C(N)=O ZINC001181747994 1074215878 /nfs/dbraw/zinc/21/58/78/1074215878.db2.gz HTPKRHQZXFKUAQ-MELADBBJSA-N 0 0 446.570 -0.492 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(=O)[nH]n1)C(N)=O ZINC001181752463 1074215882 /nfs/dbraw/zinc/21/58/82/1074215882.db2.gz NKIKNPQYOJFNQR-AAEUAGOBSA-N 0 0 440.526 -0.377 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)[C@@H]1CCc3ccccc31)c2N ZINC001181756995 1074215855 /nfs/dbraw/zinc/21/58/55/1074215855.db2.gz CTCCRRVEFHWNTJ-DBKKVMMFSA-N 0 0 440.460 -0.070 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)[C@H]1CCc3ccccc31)c2N ZINC001181756996 1074215859 /nfs/dbraw/zinc/21/58/59/1074215859.db2.gz CTCCRRVEFHWNTJ-WUMBASEESA-N 0 0 440.460 -0.070 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@@H]1CCc2ccccc21 ZINC001181757055 1074215905 /nfs/dbraw/zinc/21/59/05/1074215905.db2.gz DVOGMIAJMMGORR-FSVZYJJUSA-N 0 0 427.417 -0.188 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@H]1CCc2ccccc21 ZINC001181757056 1074215840 /nfs/dbraw/zinc/21/58/40/1074215840.db2.gz DVOGMIAJMMGORR-QTOWJTHWSA-N 0 0 427.417 -0.188 20 0 IBADRN O=C(COCCO)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001181774024 1074215923 /nfs/dbraw/zinc/21/59/23/1074215923.db2.gz SRKONNIRTTWAOP-UWJYYQICSA-N 0 0 433.509 -0.611 20 0 IBADRN CCOC(=O)CC(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001181790106 1074215827 /nfs/dbraw/zinc/21/58/27/1074215827.db2.gz OCKNLMSDDGYJJW-STQMWFEESA-N 0 0 432.543 -0.690 20 0 IBADRN CCOC(CC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)OCC ZINC001181791923 1074215920 /nfs/dbraw/zinc/21/59/20/1074215920.db2.gz NZWHEOZWXIHBGB-GJZGRUSLSA-N 0 0 428.530 -0.101 20 0 IBADRN CCOC(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)OCC ZINC001181792562 1074215800 /nfs/dbraw/zinc/21/58/00/1074215800.db2.gz RVUCLAUZPFDOQS-ARFHVFGLSA-N 0 0 432.539 -0.104 20 0 IBADRN CCOC(CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)OCC ZINC001181792563 1074215892 /nfs/dbraw/zinc/21/58/92/1074215892.db2.gz RVUCLAUZPFDOQS-BZUAXINKSA-N 0 0 432.539 -0.104 20 0 IBADRN Cc1nnc2n1CCN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)[C@@H]2C ZINC001181803524 1074216240 /nfs/dbraw/zinc/21/62/40/1074216240.db2.gz YSIUUOQWMVHFHT-LLVKDONJSA-N 0 0 427.508 -0.128 20 0 IBADRN Cc1nnc2n1CCN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)[C@H]2C ZINC001181803525 1074216228 /nfs/dbraw/zinc/21/62/28/1074216228.db2.gz YSIUUOQWMVHFHT-NSHDSACASA-N 0 0 427.508 -0.128 20 0 IBADRN CCOC(=O)c1ccc(CC(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)cc1OCC ZINC001181814456 1074216285 /nfs/dbraw/zinc/21/62/85/1074216285.db2.gz MVDXRXVVFGBUAP-ZCISVBNHSA-N 0 0 441.477 -0.235 20 0 IBADRN CC(C)(C)OC(=O)N1C(=O)CC[C@H]1C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001181852162 1074216572 /nfs/dbraw/zinc/21/65/72/1074216572.db2.gz VTYVCHKNGABQFC-JTQLQIEISA-N 0 0 435.441 -0.173 20 0 IBADRN CC(C)(C)OC(=O)N1C(=O)CC[C@@H]1C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001181852163 1074216790 /nfs/dbraw/zinc/21/67/90/1074216790.db2.gz VTYVCHKNGABQFC-SNVBAGLBSA-N 0 0 435.441 -0.173 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)Cn1nc(Cl)ccc1=O)CC2 ZINC001181891407 1074216745 /nfs/dbraw/zinc/21/67/45/1074216745.db2.gz NPVQFSMTFORGLK-UHFFFAOYSA-N 0 0 433.900 -0.397 20 0 IBADRN O=C(Cn1nc(Cl)ccc1=O)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001181891729 1074216797 /nfs/dbraw/zinc/21/67/97/1074216797.db2.gz BJJCWDIHTHZYBG-CYBMUJFWSA-N 0 0 442.867 -0.028 20 0 IBADRN O=C(Cn1nc(Cl)ccc1=O)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001181891735 1074216737 /nfs/dbraw/zinc/21/67/37/1074216737.db2.gz BJJCWDIHTHZYBG-ZDUSSCGKSA-N 0 0 442.867 -0.028 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)Cn1nc(Cl)ccc1=O)CC2 ZINC001181892919 1074216689 /nfs/dbraw/zinc/21/66/89/1074216689.db2.gz QCGHSOCLPGYSOZ-UHFFFAOYSA-N 0 0 444.883 -0.158 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=O)Cn4nc(Cl)ccc4=O)CC[C@@H]32)cn1 ZINC001181893577 1074216827 /nfs/dbraw/zinc/21/68/27/1074216827.db2.gz VAFFUZCVSCTSPV-SOUVJXGZSA-N 0 0 434.884 -0.076 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)Cn1nc(Cl)ccc1=O)C(N)=O ZINC001181895249 1074216658 /nfs/dbraw/zinc/21/66/58/1074216658.db2.gz BWAFRUSPBGDXFJ-KDOFPFPSSA-N 0 0 449.895 -0.044 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1COc2ccccc2O1)C(=O)NCC(N)=O ZINC001181898703 1074216762 /nfs/dbraw/zinc/21/67/62/1074216762.db2.gz BLFAXYPGQJJOEL-MPGHIAIKSA-N 0 0 446.504 -0.050 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1CCC(=O)C1)C(N)=O ZINC001181905341 1074217142 /nfs/dbraw/zinc/21/71/42/1074217142.db2.gz PCACEESTCYOZBW-QEJZJMRPSA-N 0 0 428.555 -0.274 20 0 IBADRN O=C([C@@H](CO)c1ccccc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001181921316 1074217242 /nfs/dbraw/zinc/21/72/42/1074217242.db2.gz FMMVKDMZRXKIFP-AKHDSKFASA-N 0 0 436.530 -0.117 20 0 IBADRN O=C([C@H](CO)c1ccccc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001181921317 1074217181 /nfs/dbraw/zinc/21/71/81/1074217181.db2.gz FMMVKDMZRXKIFP-NCXUSEDFSA-N 0 0 436.530 -0.117 20 0 IBADRN O=C([C@H](CO)c1ccccc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001181921318 1074217239 /nfs/dbraw/zinc/21/72/39/1074217239.db2.gz FMMVKDMZRXKIFP-WJFTUGDTSA-N 0 0 436.530 -0.117 20 0 IBADRN O=C([C@@H](CO)c1ccccc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001181921319 1074217214 /nfs/dbraw/zinc/21/72/14/1074217214.db2.gz FMMVKDMZRXKIFP-ZSYWTGECSA-N 0 0 436.530 -0.117 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H](CO)c1ccccc1)C(=O)NCC(N)=O ZINC001181925640 1074216779 /nfs/dbraw/zinc/21/67/79/1074216779.db2.gz WDTRSAAFZYKTTJ-BZSNNMDCSA-N 0 0 432.521 -0.114 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H](CO)c1ccccc1)C(=O)NCC(N)=O ZINC001181925641 1074216635 /nfs/dbraw/zinc/21/66/35/1074216635.db2.gz WDTRSAAFZYKTTJ-SQNIBIBYSA-N 0 0 432.521 -0.114 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H](CO)c2ccccc2)[C@H]1O ZINC001181927714 1074217228 /nfs/dbraw/zinc/21/72/28/1074217228.db2.gz UNSCVUYFSBXIPF-YXDKPKCJSA-N 0 0 442.476 -0.596 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H](CO)c2ccccc2)[C@H]1O ZINC001181927717 1074217217 /nfs/dbraw/zinc/21/72/17/1074217217.db2.gz UNSCVUYFSBXIPF-ZHEQBIBESA-N 0 0 442.476 -0.596 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@@H]2CCCN2C(=O)C2CCCCC2)c(=O)n1 ZINC001181961326 1074217190 /nfs/dbraw/zinc/21/71/90/1074217190.db2.gz IPJWVXVTNFMRLC-SWQDORGXSA-N 0 0 449.508 -0.625 20 0 IBADRN CN1C[C@H](C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)Oc2ccccc21 ZINC001181972758 1074217579 /nfs/dbraw/zinc/21/75/79/1074217579.db2.gz JHOIUKNLFURILV-QILLFSRXSA-N 0 0 426.477 -0.189 20 0 IBADRN CN1C[C@@H](C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)Oc2ccccc21 ZINC001181972762 1074217551 /nfs/dbraw/zinc/21/75/51/1074217551.db2.gz JHOIUKNLFURILV-ZVZYQTTQSA-N 0 0 426.477 -0.189 20 0 IBADRN CCN1CCCC[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001181993450 1074217739 /nfs/dbraw/zinc/21/77/39/1074217739.db2.gz FTOQJTULLZWPQR-BRSBDYLESA-N 0 0 427.567 -0.019 20 0 IBADRN CCN1CCCC[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001181993458 1074217529 /nfs/dbraw/zinc/21/75/29/1074217529.db2.gz FTOQJTULLZWPQR-BSDSXHPESA-N 0 0 427.567 -0.019 20 0 IBADRN CCN1CCCC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001181993460 1074217768 /nfs/dbraw/zinc/21/77/68/1074217768.db2.gz FTOQJTULLZWPQR-XWTMOSNGSA-N 0 0 427.567 -0.019 20 0 IBADRN CCN1CCCC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001181993462 1074217658 /nfs/dbraw/zinc/21/76/58/1074217658.db2.gz FTOQJTULLZWPQR-ZJPYXAASSA-N 0 0 427.567 -0.019 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2CCOC(C)(C)C2)[C@H]1O ZINC001182027066 1074217536 /nfs/dbraw/zinc/21/75/36/1074217536.db2.gz QNDZUFFMOFPBTL-XDJZACDYSA-N 0 0 434.497 -0.167 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2CCOC(C)(C)C2)[C@H]1O ZINC001182027067 1074217761 /nfs/dbraw/zinc/21/77/61/1074217761.db2.gz QNDZUFFMOFPBTL-YCOMJZELSA-N 0 0 434.497 -0.167 20 0 IBADRN COc1ccc2c(CC(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)coc2c1 ZINC001182039395 1074218113 /nfs/dbraw/zinc/21/81/13/1074218113.db2.gz AIARCXQXYFTKSC-UTRMSSBJSA-N 0 0 430.417 -0.199 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)Cc1ccc(N2CCNC2=O)cc1 ZINC001182051756 1074218693 /nfs/dbraw/zinc/21/86/93/1074218693.db2.gz DDFNFZNPVAGBLV-UHFFFAOYSA-N 0 0 426.437 -0.327 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)Cc1ccc(N3CCNC3=O)cc1)C2 ZINC001182052714 1074218592 /nfs/dbraw/zinc/21/85/92/1074218592.db2.gz MZOOHCZHHLEKPH-UHFFFAOYSA-N 0 0 441.492 -0.003 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cc3ccc(N4CCNC4=O)cc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001182056534 1074218650 /nfs/dbraw/zinc/21/86/50/1074218650.db2.gz TXNZSAWOTHRUBT-LZLYRXPVSA-N 0 0 443.460 -0.206 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CN(C(=O)Cn1cc(S(N)(=O)=O)cn1)CCO2 ZINC001182062550 1074218621 /nfs/dbraw/zinc/21/86/21/1074218621.db2.gz MVVRQQKTYUTNHS-KRWDZBQOSA-N 0 0 429.499 -0.231 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C1)CN(C(=O)Cn1cc(S(N)(=O)=O)cn1)CCO2 ZINC001182062552 1074218639 /nfs/dbraw/zinc/21/86/39/1074218639.db2.gz MVVRQQKTYUTNHS-QGZVFWFLSA-N 0 0 429.499 -0.231 20 0 IBADRN C[C@@H]1C(=O)OC[C@@H]1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001182077517 1074218549 /nfs/dbraw/zinc/21/85/49/1074218549.db2.gz GTRCQOQBXZRYTF-CYUUQNCZSA-N 0 0 436.490 -0.655 20 0 IBADRN C[C@H]1C(=O)OC[C@H]1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001182077520 1074218556 /nfs/dbraw/zinc/21/85/56/1074218556.db2.gz GTRCQOQBXZRYTF-FAAHXZRKSA-N 0 0 436.490 -0.655 20 0 IBADRN C[C@@H]1C(=O)OC[C@H]1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001182077523 1074218538 /nfs/dbraw/zinc/21/85/38/1074218538.db2.gz GTRCQOQBXZRYTF-SPWCGHHHSA-N 0 0 436.490 -0.655 20 0 IBADRN C[C@H]1C(=O)OC[C@@H]1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001182077524 1074218632 /nfs/dbraw/zinc/21/86/32/1074218632.db2.gz GTRCQOQBXZRYTF-UQOMUDLDSA-N 0 0 436.490 -0.655 20 0 IBADRN C[C@@H]1C(=O)OC[C@@H]1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001182077952 1074218563 /nfs/dbraw/zinc/21/85/63/1074218563.db2.gz IBWDHNPBSFUTJA-CKFHNAJUSA-N 0 0 427.523 -0.472 20 0 IBADRN C[C@@H]1C(=O)OC[C@H]1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001182077954 1074218600 /nfs/dbraw/zinc/21/86/00/1074218600.db2.gz IBWDHNPBSFUTJA-IQUTYRLHSA-N 0 0 427.523 -0.472 20 0 IBADRN C[C@@H]1C(=O)OC[C@H]1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001182077957 1074218605 /nfs/dbraw/zinc/21/86/05/1074218605.db2.gz IBWDHNPBSFUTJA-KSMMKXTCSA-N 0 0 427.523 -0.472 20 0 IBADRN C[C@@H]1C(=O)OC[C@@H]1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001182077959 1074218690 /nfs/dbraw/zinc/21/86/90/1074218690.db2.gz IBWDHNPBSFUTJA-NJSLBKSFSA-N 0 0 427.523 -0.472 20 0 IBADRN C[C@H]1C(=O)OC[C@@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001182085286 1074218667 /nfs/dbraw/zinc/21/86/67/1074218667.db2.gz YUHOWCFUEHTTEO-NEPJUHHUSA-N 0 0 437.478 -0.069 20 0 IBADRN C[C@@H]1C(=O)OC[C@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001182085319 1074218577 /nfs/dbraw/zinc/21/85/77/1074218577.db2.gz YUHOWCFUEHTTEO-NWDGAFQWSA-N 0 0 437.478 -0.069 20 0 IBADRN C[C@@H]1C(=O)OC[C@@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001182085321 1074219005 /nfs/dbraw/zinc/21/90/05/1074219005.db2.gz YUHOWCFUEHTTEO-RYUDHWBXSA-N 0 0 437.478 -0.069 20 0 IBADRN C[C@H]1C(=O)OC[C@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001182085324 1074219045 /nfs/dbraw/zinc/21/90/45/1074219045.db2.gz YUHOWCFUEHTTEO-VXGBXAGGSA-N 0 0 437.478 -0.069 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1COC(=O)[C@H]1C)C(N)=O ZINC001182088154 1074218662 /nfs/dbraw/zinc/21/86/62/1074218662.db2.gz JMXSCXNKMWXCJF-CRWXNKLISA-N 0 0 444.554 -0.834 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1COC(=O)[C@@H]1C)C(N)=O ZINC001182088158 1074218643 /nfs/dbraw/zinc/21/86/43/1074218643.db2.gz JMXSCXNKMWXCJF-MQYQWHSLSA-N 0 0 444.554 -0.834 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1COC(=O)[C@@H]1C)C(N)=O ZINC001182088159 1074218609 /nfs/dbraw/zinc/21/86/09/1074218609.db2.gz JMXSCXNKMWXCJF-RFGFWPKPSA-N 0 0 444.554 -0.834 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1COC(=O)[C@H]1C)C(N)=O ZINC001182088160 1074218626 /nfs/dbraw/zinc/21/86/26/1074218626.db2.gz JMXSCXNKMWXCJF-XUXIUFHCSA-N 0 0 444.554 -0.834 20 0 IBADRN C[C@H](C(=O)n1c(N)c2ncn(COCCO)c2nc1=N)N(c1ccccc1)S(C)(=O)=O ZINC001182096213 1074219381 /nfs/dbraw/zinc/21/93/81/1074219381.db2.gz NOBATOXICABYKI-GFCCVEGCSA-N 0 0 449.493 -0.244 20 0 IBADRN C[C@@H](C(=O)n1c(N)c2ncn(COCCO)c2nc1=N)N(c1ccccc1)S(C)(=O)=O ZINC001182096227 1074219465 /nfs/dbraw/zinc/21/94/65/1074219465.db2.gz NOBATOXICABYKI-LBPRGKRZSA-N 0 0 449.493 -0.244 20 0 IBADRN CC(=O)NCSC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN2C(=O)COC[C@@H]2C1 ZINC001182104894 1074219458 /nfs/dbraw/zinc/21/94/58/1074219458.db2.gz KTDKCBXREICPAZ-KBPBESRZSA-N 0 0 430.527 -0.224 20 0 IBADRN CC(=O)NCSC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN2C(=O)COC[C@H]2C1 ZINC001182104896 1074219442 /nfs/dbraw/zinc/21/94/42/1074219442.db2.gz KTDKCBXREICPAZ-KGLIPLIRSA-N 0 0 430.527 -0.224 20 0 IBADRN CC(=O)NCSC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001182105172 1074219450 /nfs/dbraw/zinc/21/94/50/1074219450.db2.gz OUSVLENIUSKNRT-ZDUSSCGKSA-N 0 0 438.572 -0.190 20 0 IBADRN CC(=O)NCSC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001182106047 1074219313 /nfs/dbraw/zinc/21/93/13/1074219313.db2.gz WDJHWYMGNSIUPW-AWEZNQCLSA-N 0 0 429.543 -0.651 20 0 IBADRN CC(=O)NCSC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC(N2CCN(C)C(=O)C2)C1 ZINC001182106317 1074219372 /nfs/dbraw/zinc/21/93/72/1074219372.db2.gz ZBCJEXMZLXMUGI-HNNXBMFYSA-N 0 0 443.570 -0.309 20 0 IBADRN CC(=O)NCSC[C@H](NC(=O)OC(C)(C)C)C(=O)NCCS(=O)(=O)N(C)C ZINC001182107072 1074219027 /nfs/dbraw/zinc/21/90/27/1074219027.db2.gz SEGUGFCKYCDJDS-LBPRGKRZSA-N 0 0 426.561 -0.286 20 0 IBADRN CC(=O)NCSC[C@H](NC(=O)OC(C)(C)C)C(=O)NCCN1CCCS1(=O)=O ZINC001182110497 1074218981 /nfs/dbraw/zinc/21/89/81/1074218981.db2.gz QVGYJAPKBDHXJX-ZDUSSCGKSA-N 0 0 438.572 -0.142 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@H](CSCNC(C)=O)NC(=O)OC(C)(C)C)C(N)=O ZINC001182110659 1074219033 /nfs/dbraw/zinc/21/90/33/1074219033.db2.gz XKVCGOFEMBIVRV-RYUDHWBXSA-N 0 0 434.515 -0.370 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001182116889 1074219023 /nfs/dbraw/zinc/21/90/23/1074219023.db2.gz BWMIFSVDSBCFPV-QGZVKYPTSA-N 0 0 432.521 -0.119 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001182116890 1074219041 /nfs/dbraw/zinc/21/90/41/1074219041.db2.gz BWMIFSVDSBCFPV-RAUXBKROSA-N 0 0 432.521 -0.119 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H](CCO)NC(C)=O)CC2 ZINC001182117948 1074219038 /nfs/dbraw/zinc/21/90/38/1074219038.db2.gz GVSGJHKNVDKYBO-DOTOQJQBSA-N 0 0 431.555 -0.305 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H](CCO)NC(C)=O)CC2 ZINC001182117951 1074218970 /nfs/dbraw/zinc/21/89/70/1074218970.db2.gz GVSGJHKNVDKYBO-NVXWUHKLSA-N 0 0 431.555 -0.305 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@H](CCO)NC(C)=O)CC2 ZINC001182117958 1074219048 /nfs/dbraw/zinc/21/90/48/1074219048.db2.gz GVSGJHKNVDKYBO-RDJZCZTQSA-N 0 0 431.555 -0.305 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@H](CCO)NC(C)=O)CC2 ZINC001182117962 1074219051 /nfs/dbraw/zinc/21/90/51/1074219051.db2.gz GVSGJHKNVDKYBO-WBVHZDCISA-N 0 0 431.555 -0.305 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001182118497 1074219053 /nfs/dbraw/zinc/21/90/53/1074219053.db2.gz JCVAEQYNFGZINC-FUMNGEBKSA-N 0 0 432.521 -0.119 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001182118499 1074219009 /nfs/dbraw/zinc/21/90/09/1074219009.db2.gz JCVAEQYNFGZINC-IYWMVGAKSA-N 0 0 432.521 -0.119 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)N1C[C@@H](c2ccccc2)[C@@]2(CCN(CC(=O)N(C)C)C2=O)C1 ZINC001182118507 1074218999 /nfs/dbraw/zinc/21/89/99/1074218999.db2.gz JEGBFTUMWNMOFZ-SFYKDHMMSA-N 0 0 444.532 -0.194 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)N1C[C@@H](c2ccccc2)[C@@]2(CCN(CC(=O)N(C)C)C2=O)C1 ZINC001182118509 1074219036 /nfs/dbraw/zinc/21/90/36/1074219036.db2.gz JEGBFTUMWNMOFZ-YCRNBWNJSA-N 0 0 444.532 -0.194 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001182119493 1074218990 /nfs/dbraw/zinc/21/89/90/1074218990.db2.gz LYJLVQHGMDMAHB-HNNXBMFYSA-N 0 0 431.449 -0.614 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001182119500 1074219345 /nfs/dbraw/zinc/21/93/45/1074219345.db2.gz LYJLVQHGMDMAHB-OAHLLOKOSA-N 0 0 431.449 -0.614 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H](CCO)NC(C)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001182134508 1074219396 /nfs/dbraw/zinc/21/93/96/1074219396.db2.gz IHJUQOMMXQIAEO-OAGGEKHMSA-N 0 0 430.479 -0.942 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H](CCO)NC(C)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001182134509 1074219434 /nfs/dbraw/zinc/21/94/34/1074219434.db2.gz IHJUQOMMXQIAEO-XHSDSOJGSA-N 0 0 430.479 -0.942 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1 ZINC001182134895 1074219268 /nfs/dbraw/zinc/21/92/68/1074219268.db2.gz LKSZPAKKTCYARE-DOTOQJQBSA-N 0 0 438.456 -0.009 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1 ZINC001182134896 1074219307 /nfs/dbraw/zinc/21/93/07/1074219307.db2.gz LKSZPAKKTCYARE-RDJZCZTQSA-N 0 0 438.456 -0.009 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)n1c(Cl)cncc1=NS(=O)(=O)c1ccc(N)cc1 ZINC001182135111 1074219759 /nfs/dbraw/zinc/21/97/59/1074219759.db2.gz PSAIBBPMSCFBQE-CYBMUJFWSA-N 0 0 427.870 -0.064 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)n1c(Cl)cncc1=NS(=O)(=O)c1ccc(N)cc1 ZINC001182135112 1074219857 /nfs/dbraw/zinc/21/98/57/1074219857.db2.gz PSAIBBPMSCFBQE-ZDUSSCGKSA-N 0 0 427.870 -0.064 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)NCc1cc(=O)n2c(n1)CN(C(=O)OC(C)(C)C)CCC2 ZINC001182135279 1074219906 /nfs/dbraw/zinc/21/99/06/1074219906.db2.gz TVOHXTZFHOXLOB-HNNXBMFYSA-N 0 0 437.497 -0.113 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)NCc1cc(=O)n2c(n1)CN(C(=O)OC(C)(C)C)CCC2 ZINC001182135280 1074219786 /nfs/dbraw/zinc/21/97/86/1074219786.db2.gz TVOHXTZFHOXLOB-OAHLLOKOSA-N 0 0 437.497 -0.113 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)Nc1c(I)c(Cl)nn(C)c1=O ZINC001182137011 1074219884 /nfs/dbraw/zinc/21/98/84/1074219884.db2.gz DAKVDLGMCWTYPD-LURJTMIESA-N 0 0 428.614 -0.136 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)Nc1c(I)c(Cl)nn(C)c1=O ZINC001182137012 1074219835 /nfs/dbraw/zinc/21/98/35/1074219835.db2.gz DAKVDLGMCWTYPD-ZCFIWIBFSA-N 0 0 428.614 -0.136 20 0 IBADRN C[C@@]1(C(=O)Nc2ncnc3c2[nH]c(=O)n3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1(Cl)Cl ZINC001182138191 1074219912 /nfs/dbraw/zinc/21/99/12/1074219912.db2.gz HNVQAQYYBZKRRK-MXICMPFZSA-N 0 0 434.236 -0.334 20 0 IBADRN C[C@]1(C(=O)Nc2ncnc3c2[nH]c(=O)n3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1(Cl)Cl ZINC001182138192 1074219862 /nfs/dbraw/zinc/21/98/62/1074219862.db2.gz HNVQAQYYBZKRRK-RXBLMIIVSA-N 0 0 434.236 -0.334 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)[C@]1(C)CC1(Cl)Cl)c2N ZINC001182141241 1074219777 /nfs/dbraw/zinc/21/97/77/1074219777.db2.gz HQLDRLYRGNGPGZ-NCLCRCPLSA-N 0 0 447.279 -0.216 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)[C@@]1(C)CC1(Cl)Cl)c2N ZINC001182141242 1074219794 /nfs/dbraw/zinc/21/97/94/1074219794.db2.gz HQLDRLYRGNGPGZ-OEDIOFDVSA-N 0 0 447.279 -0.216 20 0 IBADRN CC(=O)N[C@@H](CCO)C(=O)NCC(=O)NCC(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001182145514 1074219956 /nfs/dbraw/zinc/21/99/56/1074219956.db2.gz HTUURAKFWVZTAB-HNNXBMFYSA-N 0 0 432.433 -0.841 20 0 IBADRN CC(=O)N[C@H](CCO)C(=O)NCC(=O)NCC(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001182145515 1074219825 /nfs/dbraw/zinc/21/98/25/1074219825.db2.gz HTUURAKFWVZTAB-OAHLLOKOSA-N 0 0 432.433 -0.841 20 0 IBADRN O=C(CC1CC(=O)NC(=O)C1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001182159924 1074220692 /nfs/dbraw/zinc/22/06/92/1074220692.db2.gz DAZLYXHSAVNSEW-GUXCAODWSA-N 0 0 448.501 -0.592 20 0 IBADRN COC(=O)NCC(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001182161629 1074220759 /nfs/dbraw/zinc/22/07/59/1074220759.db2.gz GOLDEQGBXIBDLS-KKSFZXQISA-N 0 0 446.508 -0.264 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)CC1CC(=O)NC(=O)C1)C2 ZINC001182161951 1074220747 /nfs/dbraw/zinc/22/07/47/1074220747.db2.gz WQWPLGWYTNKKKX-INIZCTEOSA-N 0 0 433.509 -0.362 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)CC1CC(=O)NC(=O)C1)C2 ZINC001182161952 1074220716 /nfs/dbraw/zinc/22/07/16/1074220716.db2.gz WQWPLGWYTNKKKX-MRXNPFEDSA-N 0 0 433.509 -0.362 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)CC1CC(=O)NC(=O)C1)CCC2)N1CCCC1 ZINC001182161993 1074220697 /nfs/dbraw/zinc/22/06/97/1074220697.db2.gz WXLHMAYSSLNVLH-UHFFFAOYSA-N 0 0 432.481 -0.408 20 0 IBADRN COc1nccn(C(=O)CC2CC(=O)NC(=O)C2)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001182167019 1074220742 /nfs/dbraw/zinc/22/07/42/1074220742.db2.gz ADCDWMKKTXEVCF-UHFFFAOYSA-N 0 0 433.446 -0.153 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)CC2CC(=O)NC(=O)C2)cc1Cl ZINC001182167050 1074220817 /nfs/dbraw/zinc/22/08/17/1074220817.db2.gz BGFAKCZZRHHNMX-UHFFFAOYSA-N 0 0 438.871 -0.984 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)CC2CC(=O)NC(=O)C2)CC1 ZINC001182172582 1074219418 /nfs/dbraw/zinc/21/94/18/1074219418.db2.gz UOZSNTLZZXRBAP-UHFFFAOYSA-N 0 0 446.526 -0.572 20 0 IBADRN CCOC(=O)[C@H](NC(=O)CC1CC(=O)NC(=O)C1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001182177279 1074221072 /nfs/dbraw/zinc/22/10/72/1074221072.db2.gz KANCLNZVLVEOST-MSOLQXFVSA-N 0 0 440.474 -0.386 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)CC1CC(=O)NC(=O)C1)C(N)=O ZINC001182187208 1074220733 /nfs/dbraw/zinc/22/07/33/1074220733.db2.gz KGHGKISRPKFCLD-BEFAXECRSA-N 0 0 432.477 -0.512 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)CC3CC(=O)NC(=O)C3)ccc12 ZINC001182187296 1074221180 /nfs/dbraw/zinc/22/11/80/1074221180.db2.gz MCEWTVCHACLTGB-UHFFFAOYSA-N 0 0 442.428 -0.285 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)c1ccccc1)C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001182187602 1074221082 /nfs/dbraw/zinc/22/10/82/1074221082.db2.gz DQKJCNHZZZIVFJ-YPMHNXCESA-N 0 0 429.437 -0.920 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)c1ccccc1)C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001182188522 1074221260 /nfs/dbraw/zinc/22/12/60/1074221260.db2.gz PRIOCQHBNOZGHV-KDOFPFPSSA-N 0 0 442.476 -0.362 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@@H](NC(=O)c1ccccc1)[C@@H](C)O)C[C@H]2C(=O)N(C)C ZINC001182189529 1074221156 /nfs/dbraw/zinc/22/11/56/1074221156.db2.gz MYHJRTFYIFUGTN-JLSDUUJJSA-N 0 0 430.505 -0.439 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@@H](NC(=O)c1ccccc1)[C@@H](C)O)C[C@@H]2C(=O)N(C)C ZINC001182189530 1074221231 /nfs/dbraw/zinc/22/12/31/1074221231.db2.gz MYHJRTFYIFUGTN-OLMNPRSZSA-N 0 0 430.505 -0.439 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)c1ccccc1)C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001182190781 1074221100 /nfs/dbraw/zinc/22/11/00/1074221100.db2.gz FACNDOOQRDGVNV-LJHODMEESA-N 0 0 426.473 -0.505 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@@H](NC(=O)c3ccccc3)[C@@H](C)O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001182193157 1074221165 /nfs/dbraw/zinc/22/11/65/1074221165.db2.gz WBJWEHUFVUEQCE-LBWNCTQTSA-N 0 0 446.460 -0.799 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CN[C@H](N)[C@H]2NCN[C@H]21)C1CCC2(CC1)OCCO2 ZINC001182201986 1074221652 /nfs/dbraw/zinc/22/16/52/1074221652.db2.gz UAUUUSHOMLXTGZ-FZKCQIBNSA-N 0 0 440.545 -0.668 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CN[C@H](N)[C@@H]2NCN[C@H]21)C1CCC2(CC1)OCCO2 ZINC001182201993 1074221625 /nfs/dbraw/zinc/22/16/25/1074221625.db2.gz UAUUUSHOMLXTGZ-VGWMRTNUSA-N 0 0 440.545 -0.668 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CN[C@H](N)[C@H]2NCN[C@@H]21)C1CCC2(CC1)OCCO2 ZINC001182201994 1074222042 /nfs/dbraw/zinc/22/20/42/1074222042.db2.gz UAUUUSHOMLXTGZ-XUWVNRHRSA-N 0 0 440.545 -0.668 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CN[C@H](N)[C@@H]2NCN[C@@H]21)C1CCC2(CC1)OCCO2 ZINC001182201995 1074222007 /nfs/dbraw/zinc/22/20/07/1074222007.db2.gz UAUUUSHOMLXTGZ-YHUYYLMFSA-N 0 0 440.545 -0.668 20 0 IBADRN CC(=O)O[C@H]1CO[C@H](C(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)S1 ZINC001182205859 1074221618 /nfs/dbraw/zinc/22/16/18/1074221618.db2.gz BUGSZJZMZMABHH-BEFAXECRSA-N 0 0 448.505 -0.090 20 0 IBADRN CC(=O)O[C@H]1CO[C@H](C(=O)N2C[C@@H]3COC[C@@H](CC(=O)N4CCOCC4)[C@@H]3C2)S1 ZINC001182205903 1074221635 /nfs/dbraw/zinc/22/16/35/1074221635.db2.gz DDHMLMWMQSGMPZ-LAVBSDLTSA-N 0 0 428.507 -0.065 20 0 IBADRN CC(=O)O[C@H]1CO[C@H](C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)S1 ZINC001182206945 1074221640 /nfs/dbraw/zinc/22/16/40/1074221640.db2.gz XIUBGENLCHQKGS-BEFAXECRSA-N 0 0 437.522 -0.329 20 0 IBADRN CC(=O)O[C@H]1CO[C@H](C(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)S1 ZINC001182206961 1074221668 /nfs/dbraw/zinc/22/16/68/1074221668.db2.gz YIFSMOYAFHFXIP-DBDOFBCQSA-N 0 0 438.506 -0.008 20 0 IBADRN CCCCOCCOCC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001182209347 1074221659 /nfs/dbraw/zinc/22/16/59/1074221659.db2.gz HOWJZJGAVMQFGJ-IRXDYDNUSA-N 0 0 442.557 -0.057 20 0 IBADRN CCCCOCCOCC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182210143 1074221646 /nfs/dbraw/zinc/22/16/46/1074221646.db2.gz PXICXPQGRJZIGW-KZNAEPCWSA-N 0 0 446.566 -0.060 20 0 IBADRN CCCCOCCOCC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182210148 1074221682 /nfs/dbraw/zinc/22/16/82/1074221682.db2.gz PXICXPQGRJZIGW-RCCFBDPRSA-N 0 0 446.566 -0.060 20 0 IBADRN COc1ccccc1C(=O)N1CC(C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)C1 ZINC001182222045 1074221999 /nfs/dbraw/zinc/22/19/99/1074221999.db2.gz KSOUPUXOPJZAMZ-UHFFFAOYSA-N 0 0 441.448 -0.318 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)C1CN(C(=O)c3ccccc3OC)C1)C2 ZINC001182225243 1074222066 /nfs/dbraw/zinc/22/20/66/1074222066.db2.gz ATDZQNJLNVYNLT-HNNXBMFYSA-N 0 0 436.486 -0.044 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)C1CN(C(=O)c3ccccc3OC)C1)C2 ZINC001182225246 1074222162 /nfs/dbraw/zinc/22/21/62/1074222162.db2.gz ATDZQNJLNVYNLT-OAHLLOKOSA-N 0 0 436.486 -0.044 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2COC(C)(C)CN2C(=O)OC(C)(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001182226299 1074221949 /nfs/dbraw/zinc/22/19/49/1074221949.db2.gz KMAONZQEYGZQTN-PYCOOKQFSA-N 0 0 448.513 -0.639 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CCc2cnc3ccccc3c2)c(=O)n1 ZINC001182301186 1074222955 /nfs/dbraw/zinc/22/29/55/1074222955.db2.gz ZKSJEPQJSYHSGR-HUYLIWGRSA-N 0 0 425.445 -0.015 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@H]3CCOC3)CC2)cc1 ZINC001182352664 1074225513 /nfs/dbraw/zinc/22/55/13/1074225513.db2.gz AXLWBOBBCFBLGP-CYBMUJFWSA-N 0 0 431.536 -0.113 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@@H]3CCOC3)CC2)cc1 ZINC001182352666 1074225553 /nfs/dbraw/zinc/22/55/53/1074225553.db2.gz AXLWBOBBCFBLGP-ZDUSSCGKSA-N 0 0 431.536 -0.113 20 0 IBADRN COc1ccc2c(c1)C[C@@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)O2 ZINC001182358152 1074225362 /nfs/dbraw/zinc/22/53/62/1074225362.db2.gz YUPASHMSKOGQSV-BQFCYCMXSA-N 0 0 427.461 -0.074 20 0 IBADRN COc1ccc2c(c1)C[C@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)O2 ZINC001182358153 1074225534 /nfs/dbraw/zinc/22/55/34/1074225534.db2.gz YUPASHMSKOGQSV-XYJFISCASA-N 0 0 427.461 -0.074 20 0 IBADRN NC(=O)[C@H]1C[C@@H]1C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001182371424 1074225277 /nfs/dbraw/zinc/22/52/77/1074225277.db2.gz FYENVXQZAMLHFP-DOWNOZBLSA-N 0 0 442.520 -0.498 20 0 IBADRN NC(=O)[C@@H]1C[C@H]1C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001182371431 1074225448 /nfs/dbraw/zinc/22/54/48/1074225448.db2.gz FYENVXQZAMLHFP-FSBGXSNOSA-N 0 0 442.520 -0.498 20 0 IBADRN NC(=O)[C@H]1C[C@H]1C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001182371433 1074225530 /nfs/dbraw/zinc/22/55/30/1074225530.db2.gz FYENVXQZAMLHFP-FSTVOOFJSA-N 0 0 442.520 -0.498 20 0 IBADRN NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001182371435 1074225559 /nfs/dbraw/zinc/22/55/59/1074225559.db2.gz FYENVXQZAMLHFP-GEYWLOKFSA-N 0 0 442.520 -0.498 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)S(=O)(=O)C1CCOCC1 ZINC001182390605 1074225989 /nfs/dbraw/zinc/22/59/89/1074225989.db2.gz OQIHYFGZDJIMSS-AWEZNQCLSA-N 0 0 443.566 -0.069 20 0 IBADRN C[C@H](C(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)S(=O)(=O)C1CCOCC1 ZINC001182390606 1074225999 /nfs/dbraw/zinc/22/59/99/1074225999.db2.gz OQIHYFGZDJIMSS-CQSZACIVSA-N 0 0 443.566 -0.069 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)CN3C(=O)[C@@H]4CC=CC[C@@H]4C3=O)CC2)CC1 ZINC001182390840 1074225920 /nfs/dbraw/zinc/22/59/20/1074225920.db2.gz QDKCHKQQYQUKAN-CALCHBBNSA-N 0 0 430.505 -0.100 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001182390931 1074225966 /nfs/dbraw/zinc/22/59/66/1074225966.db2.gz SUEDOKWFIVEAAD-UHFFFAOYSA-N 0 0 446.570 -0.707 20 0 IBADRN CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001182391342 1074225860 /nfs/dbraw/zinc/22/58/60/1074225860.db2.gz XBLXGFLHPJZIEQ-HNNXBMFYSA-N 0 0 430.571 -0.087 20 0 IBADRN CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001182391344 1074225960 /nfs/dbraw/zinc/22/59/60/1074225960.db2.gz XBLXGFLHPJZIEQ-OAHLLOKOSA-N 0 0 430.571 -0.087 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CCc2cccc3cnccc32)c(=O)n1 ZINC001182401629 1074225905 /nfs/dbraw/zinc/22/59/05/1074225905.db2.gz QSXNTYACWNPLAY-HUYLIWGRSA-N 0 0 425.445 -0.015 20 0 IBADRN C[C@@H](Cc1ccc2c(c1)OCO2)C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001182410489 1074225940 /nfs/dbraw/zinc/22/59/40/1074225940.db2.gz FNRVKWNXFVMUPB-DCLXQSCTSA-N 0 0 432.433 -0.589 20 0 IBADRN C[C@H](Cc1ccc2c(c1)OCO2)C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001182410492 1074225930 /nfs/dbraw/zinc/22/59/30/1074225930.db2.gz FNRVKWNXFVMUPB-XSJHRWBGSA-N 0 0 432.433 -0.589 20 0 IBADRN O=C(c1ccc2c(n1)CCCN2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001182412954 1074226803 /nfs/dbraw/zinc/22/68/03/1074226803.db2.gz KGLWXXBSPIMYBF-CYBMUJFWSA-N 0 0 428.536 -0.286 20 0 IBADRN O=C(c1ccc2c(n1)CCCN2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001182413125 1074226795 /nfs/dbraw/zinc/22/67/95/1074226795.db2.gz KGLWXXBSPIMYBF-ZDUSSCGKSA-N 0 0 428.536 -0.286 20 0 IBADRN O=C([C@@H]1NC(=O)c2ccccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182417348 1074226748 /nfs/dbraw/zinc/22/67/48/1074226748.db2.gz CYVLHOQLZGPTFS-BRSBDYLESA-N 0 0 447.513 -0.408 20 0 IBADRN O=C([C@@H]1NC(=O)c2ccccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182417354 1074226718 /nfs/dbraw/zinc/22/67/18/1074226718.db2.gz CYVLHOQLZGPTFS-BSDSXHPESA-N 0 0 447.513 -0.408 20 0 IBADRN O=C([C@H]1NC(=O)c2ccccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182417355 1074226870 /nfs/dbraw/zinc/22/68/70/1074226870.db2.gz CYVLHOQLZGPTFS-MLHJIOFPSA-N 0 0 447.513 -0.408 20 0 IBADRN O=C([C@H]1NC(=O)c2ccccc21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182417356 1074226883 /nfs/dbraw/zinc/22/68/83/1074226883.db2.gz CYVLHOQLZGPTFS-TVFCKZIOSA-N 0 0 447.513 -0.408 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2CCCN(c3ncnc4ccccc43)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001182418733 1074227221 /nfs/dbraw/zinc/22/72/21/1074227221.db2.gz DWFXBJUEXVVXAJ-NHHBGQKTSA-N 0 0 446.504 -0.194 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2CCCN(c3ncnc4ccccc43)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001182418737 1074227232 /nfs/dbraw/zinc/22/72/32/1074227232.db2.gz DWFXBJUEXVVXAJ-PWQJHJDOSA-N 0 0 446.504 -0.194 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1NC(=O)c2ccccc21)C(=O)NCC(N)=O ZINC001182420623 1074225892 /nfs/dbraw/zinc/22/58/92/1074225892.db2.gz QJNVTTPKVCTNFT-BQFCYCMXSA-N 0 0 443.504 -0.406 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1NC(=O)c2ccccc21)C(=O)NCC(N)=O ZINC001182420624 1074226011 /nfs/dbraw/zinc/22/60/11/1074226011.db2.gz QJNVTTPKVCTNFT-XYJFISCASA-N 0 0 443.504 -0.406 20 0 IBADRN COCC1(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CCOCC1 ZINC001182427880 1074226362 /nfs/dbraw/zinc/22/63/62/1074226362.db2.gz PAAYYMPGKUYOPK-BRWVUGGUSA-N 0 0 444.550 -0.450 20 0 IBADRN COCC1(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CCOCC1 ZINC001182427883 1074226370 /nfs/dbraw/zinc/22/63/70/1074226370.db2.gz PAAYYMPGKUYOPK-GVDBMIGSSA-N 0 0 444.550 -0.450 20 0 IBADRN COCC1(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CCOCC1 ZINC001182428264 1074226312 /nfs/dbraw/zinc/22/63/12/1074226312.db2.gz ADTTULLASTZDOT-HOTGVXAUSA-N 0 0 440.541 -0.447 20 0 IBADRN COCC1(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)CCOCC1 ZINC001182432837 1074226778 /nfs/dbraw/zinc/22/67/78/1074226778.db2.gz CKPZZJIOMGKMEH-FPSMNIFISA-N 0 0 443.518 -0.040 20 0 IBADRN COCC1(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)CCOCC1 ZINC001182432838 1074226853 /nfs/dbraw/zinc/22/68/53/1074226853.db2.gz CKPZZJIOMGKMEH-ICUGJSFKSA-N 0 0 443.518 -0.040 20 0 IBADRN COCC1(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)CCOCC1 ZINC001182432839 1074226783 /nfs/dbraw/zinc/22/67/83/1074226783.db2.gz CKPZZJIOMGKMEH-ILOCAZANSA-N 0 0 443.518 -0.040 20 0 IBADRN COCC1(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)CCOCC1 ZINC001182432840 1074226756 /nfs/dbraw/zinc/22/67/56/1074226756.db2.gz CKPZZJIOMGKMEH-WKULXVSPSA-N 0 0 443.518 -0.040 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)[C@H]1CC(=O)N(CC3CC3)C1)CC2 ZINC001182456898 1074228904 /nfs/dbraw/zinc/22/89/04/1074228904.db2.gz XKOVXKZBTIDICA-INIZCTEOSA-N 0 0 428.537 -0.049 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)[C@@H]1CC(=O)N(CC3CC3)C1)CC2 ZINC001182456910 1074228886 /nfs/dbraw/zinc/22/88/86/1074228886.db2.gz XKOVXKZBTIDICA-MRXNPFEDSA-N 0 0 428.537 -0.049 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CC(=O)N(CC2CC2)C1)C(=O)NCC(N)=O ZINC001182457487 1074228900 /nfs/dbraw/zinc/22/89/00/1074228900.db2.gz NMOFEUIUWKIWKB-IKGGRYGDSA-N 0 0 449.552 -0.632 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1CC(=O)N(CC2CC2)C1)C(=O)NCC(N)=O ZINC001182457488 1074228857 /nfs/dbraw/zinc/22/88/57/1074228857.db2.gz NMOFEUIUWKIWKB-ULQDDVLXSA-N 0 0 449.552 -0.632 20 0 IBADRN COC1(OC)CC(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)C1 ZINC001182468756 1074228872 /nfs/dbraw/zinc/22/88/72/1074228872.db2.gz GEBRNHAPPBODFH-ARFHVFGLSA-N 0 0 430.523 -0.494 20 0 IBADRN COC1(OC)CC(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)C1 ZINC001182468759 1074228842 /nfs/dbraw/zinc/22/88/42/1074228842.db2.gz GEBRNHAPPBODFH-BZUAXINKSA-N 0 0 430.523 -0.494 20 0 IBADRN COC1(OC)CC(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)C1 ZINC001182471012 1074230496 /nfs/dbraw/zinc/23/04/96/1074230496.db2.gz NJJGPPXOXZRATF-UHFFFAOYSA-N 0 0 427.888 -0.028 20 0 IBADRN COC1(OC)CC(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C1 ZINC001182472413 1074231043 /nfs/dbraw/zinc/23/10/43/1074231043.db2.gz MVYJMOFFTFKRTM-GJZGRUSLSA-N 0 0 426.514 -0.491 20 0 IBADRN COC1(OC)CC(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)C1 ZINC001182475539 1074231093 /nfs/dbraw/zinc/23/10/93/1074231093.db2.gz RGFRMOHWQHDXLK-DXWTWGPWSA-N 0 0 429.491 -0.084 20 0 IBADRN COC1(OC)CC(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)C1 ZINC001182475540 1074231011 /nfs/dbraw/zinc/23/10/11/1074231011.db2.gz RGFRMOHWQHDXLK-IMPIEMTGSA-N 0 0 429.491 -0.084 20 0 IBADRN COC1(OC)CC(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)C1 ZINC001182475541 1074231059 /nfs/dbraw/zinc/23/10/59/1074231059.db2.gz RGFRMOHWQHDXLK-JFBPSJKJSA-N 0 0 429.491 -0.084 20 0 IBADRN COC1(OC)CC(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)C1 ZINC001182475542 1074231078 /nfs/dbraw/zinc/23/10/78/1074231078.db2.gz RGFRMOHWQHDXLK-OCABDXPQSA-N 0 0 429.491 -0.084 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)COCC1CC1)C(N)=O ZINC001182484786 1074231374 /nfs/dbraw/zinc/23/13/74/1074231374.db2.gz MBYRBXFEYSVYEO-GJZGRUSLSA-N 0 0 430.571 -0.217 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)COc2ccc(Cl)cc2F)c(=O)n1 ZINC001182495012 1074230983 /nfs/dbraw/zinc/23/09/83/1074230983.db2.gz VWWWJHBASGNLNJ-RAEVTNRLSA-N 0 0 428.804 -0.325 20 0 IBADRN COc1ccccc1CC1(C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)CCOCC1 ZINC001182497099 1074231083 /nfs/dbraw/zinc/23/10/83/1074231083.db2.gz MGELYLCAAJDSJE-JLTFKRJCSA-N 0 0 439.505 -0.005 20 0 IBADRN O=C([C@@H]1CCCN(CC(F)(F)F)C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001182501913 1074231019 /nfs/dbraw/zinc/23/10/19/1074231019.db2.gz HOKUJSRKGRSXBW-AVGNSLFASA-N 0 0 427.445 -0.911 20 0 IBADRN O=C([C@@H]1CCCN(CC(F)(F)F)C1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001182501914 1074231102 /nfs/dbraw/zinc/23/11/02/1074231102.db2.gz HOKUJSRKGRSXBW-RWMBFGLXSA-N 0 0 427.445 -0.911 20 0 IBADRN O=C([C@@H]1CCCN(CC(F)(F)F)C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001182501915 1074231051 /nfs/dbraw/zinc/23/10/51/1074231051.db2.gz HOKUJSRKGRSXBW-XQQFMLRXSA-N 0 0 427.445 -0.911 20 0 IBADRN O=C([C@@H]1CCCN(CC(F)(F)F)C1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001182501916 1074231356 /nfs/dbraw/zinc/23/13/56/1074231356.db2.gz HOKUJSRKGRSXBW-YNEHKIRRSA-N 0 0 427.445 -0.911 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001182502961 1074231335 /nfs/dbraw/zinc/23/13/35/1074231335.db2.gz QYZDUMQMQORGEU-CHWSQXEVSA-N 0 0 441.497 -0.161 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001182502964 1074231338 /nfs/dbraw/zinc/23/13/38/1074231338.db2.gz QYZDUMQMQORGEU-OLZOCXBDSA-N 0 0 441.497 -0.161 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001182502966 1074231331 /nfs/dbraw/zinc/23/13/31/1074231331.db2.gz QYZDUMQMQORGEU-QWHCGFSZSA-N 0 0 441.497 -0.161 20 0 IBADRN CC(C)(C)OC(=O)NCC(F)(F)CC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001182502967 1074231322 /nfs/dbraw/zinc/23/13/22/1074231322.db2.gz QYZDUMQMQORGEU-STQMWFEESA-N 0 0 441.497 -0.161 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001182503221 1074231363 /nfs/dbraw/zinc/23/13/63/1074231363.db2.gz TYYVUXUSHMWATM-CSMYWGQOSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001182503226 1074231352 /nfs/dbraw/zinc/23/13/52/1074231352.db2.gz TYYVUXUSHMWATM-DQYPLSBCSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001182503230 1074231399 /nfs/dbraw/zinc/23/13/99/1074231399.db2.gz TYYVUXUSHMWATM-JLZZUVOBSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001182503233 1074231368 /nfs/dbraw/zinc/23/13/68/1074231368.db2.gz TYYVUXUSHMWATM-OAUYIBNBSA-N 0 0 443.472 -0.380 20 0 IBADRN O=C(C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccc(Br)s1 ZINC001182503925 1074231327 /nfs/dbraw/zinc/23/13/27/1074231327.db2.gz YYVQIFXRQBYWBF-NXEZZACHSA-N 0 0 437.337 -0.005 20 0 IBADRN O=C(C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccc(Br)s1 ZINC001182503926 1074231342 /nfs/dbraw/zinc/23/13/42/1074231342.db2.gz YYVQIFXRQBYWBF-UWVGGRQHSA-N 0 0 437.337 -0.005 20 0 IBADRN O=C(C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccc(Br)s1 ZINC001182503928 1074231348 /nfs/dbraw/zinc/23/13/48/1074231348.db2.gz YYVQIFXRQBYWBF-VHSXEESVSA-N 0 0 437.337 -0.005 20 0 IBADRN O=C(C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccc(Br)s1 ZINC001182503929 1074231318 /nfs/dbraw/zinc/23/13/18/1074231318.db2.gz YYVQIFXRQBYWBF-ZJUUUORDSA-N 0 0 437.337 -0.005 20 0 IBADRN O=C(c1cc2c(cc1Cl)NC(=O)CO2)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001182503943 1074231406 /nfs/dbraw/zinc/23/14/06/1074231406.db2.gz ZJXFWCKZNXQXDE-KBPBESRZSA-N 0 0 429.882 -0.413 20 0 IBADRN O=C(c1cc2c(cc1Cl)NC(=O)CO2)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001182503948 1074231313 /nfs/dbraw/zinc/23/13/13/1074231313.db2.gz ZJXFWCKZNXQXDE-KGLIPLIRSA-N 0 0 429.882 -0.413 20 0 IBADRN O=C(c1cc2c(cc1Cl)NC(=O)CO2)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001182503950 1074231382 /nfs/dbraw/zinc/23/13/82/1074231382.db2.gz ZJXFWCKZNXQXDE-UONOGXRCSA-N 0 0 429.882 -0.413 20 0 IBADRN O=C(c1cc2c(cc1Cl)NC(=O)CO2)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001182503952 1074231345 /nfs/dbraw/zinc/23/13/45/1074231345.db2.gz ZJXFWCKZNXQXDE-ZIAGYGMSSA-N 0 0 429.882 -0.413 20 0 IBADRN C[C@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001182522484 1074231742 /nfs/dbraw/zinc/23/17/42/1074231742.db2.gz BVDIXCPTCUKZFC-BGDIXFRLSA-N 0 0 432.514 -0.426 20 0 IBADRN C[C@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001182522485 1074231853 /nfs/dbraw/zinc/23/18/53/1074231853.db2.gz BVDIXCPTCUKZFC-JTVUPLSCSA-N 0 0 432.514 -0.426 20 0 IBADRN C[C@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)[C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC001182522486 1074231703 /nfs/dbraw/zinc/23/17/03/1074231703.db2.gz BVDIXCPTCUKZFC-WMGDFEMFSA-N 0 0 432.514 -0.426 20 0 IBADRN C[C@H](C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)[C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC001182522487 1074231723 /nfs/dbraw/zinc/23/17/23/1074231723.db2.gz BVDIXCPTCUKZFC-XDIMOYOYSA-N 0 0 432.514 -0.426 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H]1C(=O)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O ZINC001182526958 1074231752 /nfs/dbraw/zinc/23/17/52/1074231752.db2.gz DIWNKHBKJUYSIN-BPXZUEPWSA-N 0 0 441.525 -0.404 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@@H]1CCCN1C(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001182527408 1074231866 /nfs/dbraw/zinc/23/18/66/1074231866.db2.gz LJSPRHRCKCRTFD-KBPBESRZSA-N 0 0 440.497 -0.218 20 0 IBADRN COC(=O)C(C)(C)CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182528673 1074231900 /nfs/dbraw/zinc/23/19/00/1074231900.db2.gz JPQQRWOGPCAZKN-RBSFLKMASA-N 0 0 430.523 -0.304 20 0 IBADRN COC(=O)C(C)(C)CC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182528674 1074231787 /nfs/dbraw/zinc/23/17/87/1074231787.db2.gz JPQQRWOGPCAZKN-RRFJBIMHSA-N 0 0 430.523 -0.304 20 0 IBADRN COC(=O)C(C)(C)CC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001182533600 1074231876 /nfs/dbraw/zinc/23/18/76/1074231876.db2.gz DYWCOWHBGYWSLR-KBPBESRZSA-N 0 0 426.514 -0.301 20 0 IBADRN COC(=O)C(C)(C)CC(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001182537055 1074231729 /nfs/dbraw/zinc/23/17/29/1074231729.db2.gz KZZDDUDPQMMJJB-PCYKNENESA-N 0 0 436.469 -0.783 20 0 IBADRN [NH3+]CCN(CCNC(=O)COc1ccc(C[C@H]2SC(=O)[N-]C2=O)cc1)N(O)N=O ZINC001182551410 1074231711 /nfs/dbraw/zinc/23/17/11/1074231711.db2.gz INGTUTFYOFGNFU-CYBMUJFWSA-N 0 0 426.455 -0.376 20 0 IBADRN [NH3+]CCN(CCNC(=O)COc1ccc(C[C@@H]2SC(=O)[N-]C2=O)cc1)N(O)N=O ZINC001182551411 1074231668 /nfs/dbraw/zinc/23/16/68/1074231668.db2.gz INGTUTFYOFGNFU-ZDUSSCGKSA-N 0 0 426.455 -0.376 20 0 IBADRN O=C([C@@H]1CCCN1CC1CC1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182556074 1074231920 /nfs/dbraw/zinc/23/19/20/1074231920.db2.gz FQTHLWBJGVCRBW-AKHDSKFASA-N 0 0 439.578 -0.019 20 0 IBADRN O=C([C@@H]1CCCN1CC1CC1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182556077 1074231762 /nfs/dbraw/zinc/23/17/62/1074231762.db2.gz FQTHLWBJGVCRBW-ZSYWTGECSA-N 0 0 439.578 -0.019 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@H]1CC[C@H](CN2C(=O)C=CC2=O)CC1 ZINC001182560298 1074232424 /nfs/dbraw/zinc/23/24/24/1074232424.db2.gz APGRQIRXBDDDBQ-JOCQHMNTSA-N 0 0 443.464 -0.368 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1CC[C@H](CN3C(=O)C=CC3=O)CC1)C2 ZINC001182562342 1074232237 /nfs/dbraw/zinc/23/22/37/1074232237.db2.gz FJTHLSGAXUCIGN-KKUMJFAQSA-N 0 0 438.502 -0.094 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1CC[C@H](CN3C(=O)C=CC3=O)CC1)C2 ZINC001182562343 1074232290 /nfs/dbraw/zinc/23/22/90/1074232290.db2.gz FJTHLSGAXUCIGN-RBSFLKMASA-N 0 0 438.502 -0.094 20 0 IBADRN CC(C)(C)OC(=O)CN(CC(=O)OC(C)(C)C)CC(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001182576166 1074232277 /nfs/dbraw/zinc/23/22/77/1074232277.db2.gz BHJJYLVGVCUASV-UHFFFAOYSA-N 0 0 440.541 -0.386 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CN(CC(=O)OC(C)(C)C)CC(=O)OC(C)(C)C ZINC001182576996 1074232334 /nfs/dbraw/zinc/23/23/34/1074232334.db2.gz IDIPTCCMDKVDIL-UHFFFAOYSA-N 0 0 431.486 -0.623 20 0 IBADRN CC(C)(C)OC(=O)CN(CC(=O)OC(C)(C)C)CC(=O)N1CC(NS(C)(=O)=O)C1 ZINC001182577477 1074232324 /nfs/dbraw/zinc/23/23/24/1074232324.db2.gz MNECUMWLLRJKSE-UHFFFAOYSA-N 0 0 435.543 -0.268 20 0 IBADRN CC(C)(C)OC(=O)CN(CC(=O)NC1CN(S(C)(=O)=O)C1)CC(=O)OC(C)(C)C ZINC001182577718 1074232193 /nfs/dbraw/zinc/23/21/93/1074232193.db2.gz MADCZRWFXACETJ-UHFFFAOYSA-N 0 0 435.543 -0.268 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CN(CC(=O)OC(C)(C)C)CC(=O)OC(C)(C)C ZINC001182577725 1074232220 /nfs/dbraw/zinc/23/22/20/1074232220.db2.gz MKFOTMZMRKOCGT-UHFFFAOYSA-N 0 0 437.559 -0.021 20 0 IBADRN CC(C)(C)OC(=O)CN(CC(=O)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)CC(=O)OC(C)(C)C ZINC001182577731 1074232203 /nfs/dbraw/zinc/23/22/03/1074232203.db2.gz MLLPLTVJTCAQDX-HLNSBACISA-N 0 0 432.514 -0.809 20 0 IBADRN COC(=O)CC[C@H](NC(=O)CN(CC(=O)OC(C)(C)C)CC(=O)OC(C)(C)C)C(N)=O ZINC001182577858 1074232213 /nfs/dbraw/zinc/23/22/13/1074232213.db2.gz OGJWOJILUDGBQE-ZDUSSCGKSA-N 0 0 445.513 -0.105 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C1(N(C)C)CC1)C(N)=O ZINC001182601759 1074233119 /nfs/dbraw/zinc/23/31/19/1074233119.db2.gz MWMJIEQBSQFZNE-KBPBESRZSA-N 0 0 429.587 -0.549 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCC(CS(N)(=O)=O)CC1 ZINC001182609342 1074233061 /nfs/dbraw/zinc/23/30/61/1074233061.db2.gz KMSQTTURZSGFOE-UHFFFAOYSA-N 0 0 427.527 -0.213 20 0 IBADRN COC(=O)CC(C)(C)C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001182611175 1074233139 /nfs/dbraw/zinc/23/31/39/1074233139.db2.gz XGNXTWLYHQPQNV-KBPBESRZSA-N 0 0 426.514 -0.301 20 0 IBADRN COC(=O)CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182611299 1074233047 /nfs/dbraw/zinc/23/30/47/1074233047.db2.gz KDUNSGDLLXWQRL-RBSFLKMASA-N 0 0 430.523 -0.304 20 0 IBADRN COC(=O)CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182611300 1074233105 /nfs/dbraw/zinc/23/31/05/1074233105.db2.gz KDUNSGDLLXWQRL-RRFJBIMHSA-N 0 0 430.523 -0.304 20 0 IBADRN COC(=O)CC(C)(C)C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001182613361 1074233102 /nfs/dbraw/zinc/23/31/02/1074233102.db2.gz PLQNHHGNCFKFNE-PCYKNENESA-N 0 0 436.469 -0.783 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC001182617378 1074233088 /nfs/dbraw/zinc/23/30/88/1074233088.db2.gz AHQKXOUEGUPVQL-UHFFFAOYSA-N 0 0 445.524 -0.341 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](O)C(=O)N1C[C@@H]2COC[C@@H](CC(=O)N3CCOCC3)[C@@H]2C1 ZINC001182627687 1074232654 /nfs/dbraw/zinc/23/26/54/1074232654.db2.gz HSCLAFSIBFQGRM-NCOADZHNSA-N 0 0 441.525 -0.158 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C1 ZINC001182628381 1074232720 /nfs/dbraw/zinc/23/27/20/1074232720.db2.gz OIPXQTFNEDSHDU-HIFRSBDPSA-N 0 0 425.486 -0.510 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C1 ZINC001182628382 1074232619 /nfs/dbraw/zinc/23/26/19/1074232619.db2.gz OIPXQTFNEDSHDU-ZFWWWQNUSA-N 0 0 425.486 -0.510 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](O)C(=O)N1C[C@@H]2COC[C@]2(COCC(=O)N2CCCC2)C1 ZINC001182628394 1074232646 /nfs/dbraw/zinc/23/26/46/1074232646.db2.gz OWAUBBGMSPYQSP-XFQAVAEZSA-N 0 0 441.525 -0.014 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C2)C1=O ZINC001182628993 1074232580 /nfs/dbraw/zinc/23/25/80/1074232580.db2.gz VXGPRXNFECNNHV-VBKZILBWSA-N 0 0 426.514 -0.199 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C2)C1=O ZINC001182628994 1074232772 /nfs/dbraw/zinc/23/27/72/1074232772.db2.gz VXGPRXNFECNNHV-XOBRGWDASA-N 0 0 426.514 -0.199 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C2)C1 ZINC001182629090 1074232781 /nfs/dbraw/zinc/23/27/81/1074232781.db2.gz YCBUVRIAEQDUSK-MGPUTAFESA-N 0 0 428.530 -0.441 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C2)C1 ZINC001182629091 1074232798 /nfs/dbraw/zinc/23/27/98/1074232798.db2.gz YCBUVRIAEQDUSK-YWZLYKJASA-N 0 0 428.530 -0.441 20 0 IBADRN COC[C@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)[C@@H](O)CNC(=O)OC(C)(C)C ZINC001182629126 1074232818 /nfs/dbraw/zinc/23/28/18/1074232818.db2.gz ZMNKCFMHZZFMAR-HIFRSBDPSA-N 0 0 435.485 -0.079 20 0 IBADRN COC[C@@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)[C@@H](O)CNC(=O)OC(C)(C)C ZINC001182629127 1074232709 /nfs/dbraw/zinc/23/27/09/1074232709.db2.gz ZMNKCFMHZZFMAR-ZFWWWQNUSA-N 0 0 435.485 -0.079 20 0 IBADRN CC(C)C(=O)N1CCO[C@@]2(C1)COCCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C2 ZINC001182629167 1074232823 /nfs/dbraw/zinc/23/28/23/1074232823.db2.gz AQDAWTNHZRWYSU-MGPUTAFESA-N 0 0 429.514 -0.016 20 0 IBADRN CC(C)C(=O)N1CCO[C@]2(C1)COCCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C2 ZINC001182629168 1074232831 /nfs/dbraw/zinc/23/28/31/1074232831.db2.gz AQDAWTNHZRWYSU-YWZLYKJASA-N 0 0 429.514 -0.016 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)C2 ZINC001182629295 1074232624 /nfs/dbraw/zinc/23/26/24/1074232624.db2.gz FVHZDZKRTFYCPB-LBPRGKRZSA-N 0 0 426.474 -0.889 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)[C@@H]3CCCO[C@@H]32)cn1 ZINC001182629675 1074232733 /nfs/dbraw/zinc/23/27/33/1074232733.db2.gz JWVAGCWZJRMIME-XUWVNRHRSA-N 0 0 437.497 -0.206 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)[C@@H]2CCCO[C@@H]21 ZINC001182629736 1074232744 /nfs/dbraw/zinc/23/27/44/1074232744.db2.gz MGOQRLKJVPOIIQ-LJISPDSOSA-N 0 0 437.497 -0.206 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)CC2 ZINC001182630002 1074233085 /nfs/dbraw/zinc/23/30/85/1074233085.db2.gz PJWYOQFVCBBTQL-INIZCTEOSA-N 0 0 436.509 -0.251 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](O)C(=O)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001182630604 1074233100 /nfs/dbraw/zinc/23/31/00/1074233100.db2.gz WDXWGJKLUSMESG-MGPUTAFESA-N 0 0 437.497 -0.204 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H](O)C(=O)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001182630608 1074233080 /nfs/dbraw/zinc/23/30/80/1074233080.db2.gz WDXWGJKLUSMESG-YWZLYKJASA-N 0 0 437.497 -0.204 20 0 IBADRN CC(C)N(CC(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O)S(=O)(=O)c1ccccc1 ZINC001182631023 1074233165 /nfs/dbraw/zinc/23/31/65/1074233165.db2.gz GTCVNOHOKQTUSZ-QHWILLEASA-N 0 0 446.522 -0.954 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@@H](O)c1ccc2c(c1)CCO2 ZINC001182663743 1074233109 /nfs/dbraw/zinc/23/31/09/1074233109.db2.gz GKPJINUYEICPKI-BQFCYCMXSA-N 0 0 427.461 -0.418 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@H](O)c1ccc2c(c1)CCO2 ZINC001182663744 1074233066 /nfs/dbraw/zinc/23/30/66/1074233066.db2.gz GKPJINUYEICPKI-XYJFISCASA-N 0 0 427.461 -0.418 20 0 IBADRN COc1ccc2c(c1)OCC(C(=O)N[C@H]1[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]1O)=C2 ZINC001182678797 1074233658 /nfs/dbraw/zinc/23/36/58/1074233658.db2.gz ORNJALLIIQWPJY-KLICCBINSA-N 0 0 430.417 -0.712 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2CCCN2C(=O)c2ccc(F)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001182686121 1074233595 /nfs/dbraw/zinc/23/35/95/1074233595.db2.gz VBBRJCYQKJRTAH-YWVNQODOSA-N 0 0 426.441 -0.609 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CO)CC(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001182690018 1074233684 /nfs/dbraw/zinc/23/36/84/1074233684.db2.gz AQSPNTOTSNRIOI-JTQLQIEISA-N 0 0 425.446 -0.823 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)C2)C1 ZINC001182690031 1074233549 /nfs/dbraw/zinc/23/35/49/1074233549.db2.gz ASUMUMLDRYXNLU-HRAATJIYSA-N 0 0 442.557 -0.051 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)C2)C1 ZINC001182690032 1074233455 /nfs/dbraw/zinc/23/34/55/1074233455.db2.gz ASUMUMLDRYXNLU-KKSFZXQISA-N 0 0 442.557 -0.051 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)C1 ZINC001182690300 1074233992 /nfs/dbraw/zinc/23/39/92/1074233992.db2.gz GBASAAJTRJUGQH-CABCVRRESA-N 0 0 439.513 -0.120 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)C1 ZINC001182690301 1074234001 /nfs/dbraw/zinc/23/40/01/1074234001.db2.gz GBASAAJTRJUGQH-GJZGRUSLSA-N 0 0 439.513 -0.120 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](CO)CC(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001182690690 1074233923 /nfs/dbraw/zinc/23/39/23/1074233923.db2.gz NLFLPTVFAIIOSQ-ZDUSSCGKSA-N 0 0 438.485 -0.266 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)C[C@H]2C(=O)N(C)C ZINC001182691088 1074234015 /nfs/dbraw/zinc/23/40/15/1074234015.db2.gz RFVDNWZKGYCDCN-GJZGRUSLSA-N 0 0 426.514 -0.343 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)C[C@@H]2C(=O)N(C)C ZINC001182691089 1074233917 /nfs/dbraw/zinc/23/39/17/1074233917.db2.gz RFVDNWZKGYCDCN-LSDHHAIUSA-N 0 0 426.514 -0.343 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)C[C@H]2C1 ZINC001182691816 1074233959 /nfs/dbraw/zinc/23/39/59/1074233959.db2.gz CNABXEFMKAVDGR-ILXRZTDVSA-N 0 0 434.559 -0.151 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)C2 ZINC001182691878 1074233965 /nfs/dbraw/zinc/23/39/65/1074233965.db2.gz FUNSDPQXLSGVRD-ZDUSSCGKSA-N 0 0 440.501 -0.499 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)CC[C@H]2C1=O ZINC001182691993 1074233948 /nfs/dbraw/zinc/23/39/48/1074233948.db2.gz JOYGFOYBEHDFRO-XHSDSOJGSA-N 0 0 429.514 -0.016 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)C[C@@H](CO)NC(=O)OC(C)(C)C)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001182695015 1074233975 /nfs/dbraw/zinc/23/39/75/1074233975.db2.gz ALSQJHKGZVUOGK-RMRHIDDWSA-N 0 0 442.469 -0.702 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)COc2nc3ccccc3s2)c(=O)n1 ZINC001182703775 1074233988 /nfs/dbraw/zinc/23/39/88/1074233988.db2.gz PEAXRJKDUBWHGM-HMTTWLPMSA-N 0 0 433.446 -0.508 20 0 IBADRN CC(C)(OC(=O)c1ccccc1)C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001182722324 1074233672 /nfs/dbraw/zinc/23/36/72/1074233672.db2.gz IMCHSGBVNYWIBV-DTZQCDIJSA-N 0 0 432.433 -0.561 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CCC2OCCO2)CC3)C1=O ZINC001182728426 1074234440 /nfs/dbraw/zinc/23/44/40/1074234440.db2.gz OMFSXHLXZJLIAA-IBGZPJMESA-N 0 0 429.539 -0.128 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)CCC2OCCO2)CC3)C1=O ZINC001182728427 1074234355 /nfs/dbraw/zinc/23/43/55/1074234355.db2.gz OMFSXHLXZJLIAA-LJQANCHMSA-N 0 0 429.539 -0.128 20 0 IBADRN O=C(CCC1OCCO1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001182728806 1074234336 /nfs/dbraw/zinc/23/43/36/1074234336.db2.gz ZTCSHUSVJXZRPU-LSDHHAIUSA-N 0 0 438.506 -0.311 20 0 IBADRN O=C(Cc1cn2cccnc2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182730120 1074234451 /nfs/dbraw/zinc/23/44/51/1074234451.db2.gz KHVRROVLWXSXEL-BRWVUGGUSA-N 0 0 447.517 -0.608 20 0 IBADRN O=C(Cc1cn2cccnc2n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182730128 1074234444 /nfs/dbraw/zinc/23/44/44/1074234444.db2.gz KHVRROVLWXSXEL-GVDBMIGSSA-N 0 0 447.517 -0.608 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)Cc1cn2cccnc2n1)C(=O)NCC(N)=O ZINC001182731125 1074234429 /nfs/dbraw/zinc/23/44/29/1074234429.db2.gz REPIUTYUTZJEIG-HOTGVXAUSA-N 0 0 443.508 -0.605 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCC1OCCO1)C(N)=O ZINC001182733296 1074234435 /nfs/dbraw/zinc/23/44/35/1074234435.db2.gz JLMIIWNRJMYZNC-KBPBESRZSA-N 0 0 446.570 -0.490 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)Cc2cn3cccnc3n2)cc1Cl ZINC001182739813 1074234424 /nfs/dbraw/zinc/23/44/24/1074234424.db2.gz KEGLHAMDAFYPQV-UHFFFAOYSA-N 0 0 444.882 -0.141 20 0 IBADRN O=C(Cc1cn2cccnc2n1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001182746893 1074234364 /nfs/dbraw/zinc/23/43/64/1074234364.db2.gz ARCBCOCOMWMPFE-QTUASDBPSA-N 0 0 446.485 -0.198 20 0 IBADRN O=C(Cc1cn2cccnc2n1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001182746895 1074234345 /nfs/dbraw/zinc/23/43/45/1074234345.db2.gz ARCBCOCOMWMPFE-RFZNHBKKSA-N 0 0 446.485 -0.198 20 0 IBADRN O=C(Cc1cn2cccnc2n1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001182746898 1074234391 /nfs/dbraw/zinc/23/43/91/1074234391.db2.gz ARCBCOCOMWMPFE-WRNCNIFXSA-N 0 0 446.485 -0.198 20 0 IBADRN O=C(Cc1cn2cccnc2n1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001182746899 1074234399 /nfs/dbraw/zinc/23/43/99/1074234399.db2.gz ARCBCOCOMWMPFE-XXQMMXQMSA-N 0 0 446.485 -0.198 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H](O)C(C)(C)C)C(N)=O ZINC001182747141 1074234851 /nfs/dbraw/zinc/23/48/51/1074234851.db2.gz XXFKFHUSOHKVNT-KCQAQPDRSA-N 0 0 432.587 -0.236 20 0 IBADRN CC1(C)OC(=O)C[C@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182751894 1074233936 /nfs/dbraw/zinc/23/39/36/1074233936.db2.gz WRNAMEFRRQBCFR-APIJFGDWSA-N 0 0 428.507 -0.551 20 0 IBADRN CC1(C)OC(=O)C[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182751895 1074233998 /nfs/dbraw/zinc/23/39/98/1074233998.db2.gz WRNAMEFRRQBCFR-GBJTYRQASA-N 0 0 428.507 -0.551 20 0 IBADRN CC1(C)OC(=O)C[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182751896 1074233954 /nfs/dbraw/zinc/23/39/54/1074233954.db2.gz WRNAMEFRRQBCFR-KBUPBQIOSA-N 0 0 428.507 -0.551 20 0 IBADRN CC1(C)OC(=O)C[C@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182751897 1074233927 /nfs/dbraw/zinc/23/39/27/1074233927.db2.gz WRNAMEFRRQBCFR-LJISPDSOSA-N 0 0 428.507 -0.551 20 0 IBADRN CC1(C)OC(=O)C[C@H]1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001182752806 1074233964 /nfs/dbraw/zinc/23/39/64/1074233964.db2.gz JYLYMDYMEUVQAT-LURJTMIESA-N 0 0 425.872 -0.085 20 0 IBADRN CC1(C)OC(=O)C[C@@H]1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001182752807 1074233941 /nfs/dbraw/zinc/23/39/41/1074233941.db2.gz JYLYMDYMEUVQAT-ZCFIWIBFSA-N 0 0 425.872 -0.085 20 0 IBADRN CC1(C)OC(=O)C[C@@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001182753757 1074234395 /nfs/dbraw/zinc/23/43/95/1074234395.db2.gz ODXVQHCKYSWOCR-BCXHRCINSA-N 0 0 427.475 -0.141 20 0 IBADRN CC1(C)OC(=O)C[C@@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001182753766 1074234433 /nfs/dbraw/zinc/23/44/33/1074234433.db2.gz ODXVQHCKYSWOCR-IKPHIPLMSA-N 0 0 427.475 -0.141 20 0 IBADRN CC1(C)OC(=O)C[C@@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001182753768 1074234370 /nfs/dbraw/zinc/23/43/70/1074234370.db2.gz ODXVQHCKYSWOCR-SNGYXOCASA-N 0 0 427.475 -0.141 20 0 IBADRN CC1(C)OC(=O)C[C@@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001182753770 1074234327 /nfs/dbraw/zinc/23/43/27/1074234327.db2.gz ODXVQHCKYSWOCR-YOSLEZHJSA-N 0 0 427.475 -0.141 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cn1ccc2ccccc2c1=O ZINC001182763863 1074234405 /nfs/dbraw/zinc/23/44/05/1074234405.db2.gz BQHLNMMXRJNLSE-ROUUACIJSA-N 0 0 436.472 -0.072 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CCC(=O)OCc2ccccc2)c(=O)n1 ZINC001182778355 1074234807 /nfs/dbraw/zinc/23/48/07/1074234807.db2.gz VSBRQMGMDQJCLG-BYNBJNPRSA-N 0 0 432.433 -0.673 20 0 IBADRN C[C@@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)c1ccc(C(N)=O)cc1 ZINC001182790359 1074234814 /nfs/dbraw/zinc/23/48/14/1074234814.db2.gz PSCGYXXOPZNKNU-DQYPLSBCSA-N 0 0 426.477 -0.184 20 0 IBADRN C[C@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)c1ccc(C(N)=O)cc1 ZINC001182790360 1074234726 /nfs/dbraw/zinc/23/47/26/1074234726.db2.gz PSCGYXXOPZNKNU-ZLIFDBKOSA-N 0 0 426.477 -0.184 20 0 IBADRN COC(=O)[C@H](CC(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O)Cc1ccccc1 ZINC001182804983 1074234772 /nfs/dbraw/zinc/23/47/72/1074234772.db2.gz LZNGQFXKHBETDC-RMIBSVFLSA-N 0 0 446.460 -0.774 20 0 IBADRN O=C(C1CS(=O)(=O)C1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001182812880 1074234840 /nfs/dbraw/zinc/23/48/40/1074234840.db2.gz CDLFLLSEUJUYMH-VYDXJSESSA-N 0 0 427.504 -0.990 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CN(C(=O)C3CS(=O)(=O)C3)C[C@H]2c2ccccc2)C1=O ZINC001182813013 1074234713 /nfs/dbraw/zinc/23/47/13/1074234713.db2.gz HICUILKDEKXHHD-LAUBAEHRSA-N 0 0 433.530 -0.036 20 0 IBADRN O=C(C1CS(=O)(=O)C1)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001182813079 1074234827 /nfs/dbraw/zinc/23/48/27/1074234827.db2.gz IFCVXXXEYLJWOH-AOIWGVFYSA-N 0 0 440.543 -0.342 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)C3CS(=O)(=O)C3)CC2)c2nonc21 ZINC001182814648 1074234802 /nfs/dbraw/zinc/23/48/02/1074234802.db2.gz LOMGBBGIJVGGLF-UHFFFAOYSA-N 0 0 443.507 -0.834 20 0 IBADRN COc1ncnc(N(C(=O)C2CS(=O)(=O)C2)S(=O)(=O)c2ccc(N)cc2)c1OC ZINC001182814695 1074234812 /nfs/dbraw/zinc/23/48/12/1074234812.db2.gz OJAUILCUVGSOFK-UHFFFAOYSA-N 0 0 442.475 -0.158 20 0 IBADRN COc1cc(N(C(=O)C2CS(=O)(=O)C2)S(=O)(=O)c2ccc(N)cc2)nc(OC)n1 ZINC001182814700 1074234845 /nfs/dbraw/zinc/23/48/45/1074234845.db2.gz OSVWEIWQSCGFBH-UHFFFAOYSA-N 0 0 442.475 -0.158 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)C2CS(=O)(=O)C2)CC1 ZINC001182816674 1074234824 /nfs/dbraw/zinc/23/48/24/1074234824.db2.gz YOXUXEWTUOAWGX-UHFFFAOYSA-N 0 0 425.529 -0.970 20 0 IBADRN CN(C(=O)OC(C)(C)C)C(C)(C)C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001182822361 1074234763 /nfs/dbraw/zinc/23/47/63/1074234763.db2.gz LMPXARNHIUPHEZ-FMKGYKFTSA-N 0 0 441.485 -0.551 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c(=O)[nH]1 ZINC001182827244 1074234790 /nfs/dbraw/zinc/23/47/90/1074234790.db2.gz BOUYLGSXZWQFOQ-CYBMUJFWSA-N 0 0 445.480 -0.024 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c(=O)[nH]1 ZINC001182827245 1074235272 /nfs/dbraw/zinc/23/52/72/1074235272.db2.gz BOUYLGSXZWQFOQ-ZDUSSCGKSA-N 0 0 445.480 -0.024 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)Nc2cncc(S(N)(=O)=O)c2)c(=O)[nH]1 ZINC001182828217 1074235258 /nfs/dbraw/zinc/23/52/58/1074235258.db2.gz CXOZNGGFHLXKPG-UHFFFAOYSA-N 0 0 434.438 -0.193 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)Cn2cnc3c2nc(NC(=O)C(C)C)[nH]c3=O)CCN1C(C)=O ZINC001182829451 1074235269 /nfs/dbraw/zinc/23/52/69/1074235269.db2.gz BMDFTSCOECHKCU-GFCCVEGCSA-N 0 0 447.452 -0.641 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)Cn2cnc3c2nc(NC(=O)C(C)C)[nH]c3=O)CCN1C(C)=O ZINC001182829452 1074235186 /nfs/dbraw/zinc/23/51/86/1074235186.db2.gz BMDFTSCOECHKCU-LBPRGKRZSA-N 0 0 447.452 -0.641 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)N2CCN(S(C)(=O)=O)CC2)c(=O)[nH]1 ZINC001182829643 1074235297 /nfs/dbraw/zinc/23/52/97/1074235297.db2.gz AUTINGZKALRWTL-UHFFFAOYSA-N 0 0 425.471 -0.770 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)N2CCC3(CC2)CNC(=O)CO3)c(=O)[nH]1 ZINC001182829698 1074235282 /nfs/dbraw/zinc/23/52/82/1074235282.db2.gz CSVFLOWKZKICLH-UHFFFAOYSA-N 0 0 431.453 -0.366 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)Cn2cnc3c2nc(NC(=O)C(C)C)[nH]c3=O)CC1 ZINC001182829795 1074235214 /nfs/dbraw/zinc/23/52/14/1074235214.db2.gz DMPVDHAANZXIBL-UHFFFAOYSA-N 0 0 446.512 -0.205 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)Cn1cnc2c1nc(NC(=O)C(C)C)[nH]c2=O ZINC001182830490 1074235237 /nfs/dbraw/zinc/23/52/37/1074235237.db2.gz JGNDVJVWKWZRIW-LLVKDONJSA-N 0 0 447.452 -0.593 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)Cn1cnc2c1nc(NC(=O)C(C)C)[nH]c2=O ZINC001182830491 1074235293 /nfs/dbraw/zinc/23/52/93/1074235293.db2.gz JGNDVJVWKWZRIW-NSHDSACASA-N 0 0 447.452 -0.593 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)Nc2cc(S(N)(=O)=O)ccc2N)c(=O)[nH]1 ZINC001182830887 1074235300 /nfs/dbraw/zinc/23/53/00/1074235300.db2.gz VWBRQRCWQXZJCA-UHFFFAOYSA-N 0 0 448.465 -0.005 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)N2CCC3(CC2)COCC(=O)N3)c(=O)[nH]1 ZINC001182831008 1074235246 /nfs/dbraw/zinc/23/52/46/1074235246.db2.gz NOLRQZDUWYNMIF-UHFFFAOYSA-N 0 0 431.453 -0.366 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)N2CC(N3CCN(C)C(=O)C3)C2)c(=O)[nH]1 ZINC001182831071 1074235231 /nfs/dbraw/zinc/23/52/31/1074235231.db2.gz PEAHGOKADIVSQJ-UHFFFAOYSA-N 0 0 430.469 -0.889 20 0 IBADRN COC(=O)[C@@]12COC[C@@H]1CN(C(=O)Cn1cnc3c1nc(NC(=O)C(C)C)[nH]c3=O)C2 ZINC001182831291 1074235289 /nfs/dbraw/zinc/23/52/89/1074235289.db2.gz QUTMMNPEABRVHZ-WLRWDXFRSA-N 0 0 432.437 -0.226 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)N2CCN(C3CCOCC3)C(=O)C2)c(=O)[nH]1 ZINC001182831737 1074235276 /nfs/dbraw/zinc/23/52/76/1074235276.db2.gz ZKRKKGMNNAQMGT-UHFFFAOYSA-N 0 0 445.480 -0.024 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)Nc2c(N=O)c(=O)[nH]c(=O)n2C)c(=O)[nH]1 ZINC001182833185 1074235195 /nfs/dbraw/zinc/23/51/95/1074235195.db2.gz GEFLHCOHUBDOBF-UHFFFAOYSA-N 0 0 431.369 -0.038 20 0 IBADRN O=C(Cc1cnc2ccccn12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001182833817 1074235667 /nfs/dbraw/zinc/23/56/67/1074235667.db2.gz NJVHUNFLWXDGEP-KZNAEPCWSA-N 0 0 446.529 -0.003 20 0 IBADRN O=C(Cc1cnc2ccccn12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001182833818 1074235723 /nfs/dbraw/zinc/23/57/23/1074235723.db2.gz NJVHUNFLWXDGEP-RCCFBDPRSA-N 0 0 446.529 -0.003 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)NCCN2CCCS2(=O)=O)c(=O)[nH]1 ZINC001182835138 1074235703 /nfs/dbraw/zinc/23/57/03/1074235703.db2.gz LZEVFJYYVIZGTJ-UHFFFAOYSA-N 0 0 425.471 -0.722 20 0 IBADRN CC(C)C(=O)Nc1nc2c(ncn2CC(=O)NCCOCCN2C(=O)C=CC2=O)c(=O)[nH]1 ZINC001182835729 1074235707 /nfs/dbraw/zinc/23/57/07/1074235707.db2.gz VKTHNIOELNKUMP-UHFFFAOYSA-N 0 0 445.436 -0.816 20 0 IBADRN COC(=O)[C@@H](Cc1cncn1C)NC(=O)Cn1cnc2c1nc(NC(=O)C(C)C)[nH]c2=O ZINC001182836079 1074235644 /nfs/dbraw/zinc/23/56/44/1074235644.db2.gz XKCFWCZLOQJQIF-GFCCVEGCSA-N 0 0 444.452 -0.240 20 0 IBADRN COC(=O)[C@H](Cc1cncn1C)NC(=O)Cn1cnc2c1nc(NC(=O)C(C)C)[nH]c2=O ZINC001182836080 1074235631 /nfs/dbraw/zinc/23/56/31/1074235631.db2.gz XKCFWCZLOQJQIF-LBPRGKRZSA-N 0 0 444.452 -0.240 20 0 IBADRN Cn1nc(I)cc1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC001182840354 1074235611 /nfs/dbraw/zinc/23/56/11/1074235611.db2.gz ANBYTHURNUDDGJ-UHFFFAOYSA-N 0 0 427.268 -0.929 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(Cl)cc1)C2 ZINC001182870396 1074235661 /nfs/dbraw/zinc/23/56/61/1074235661.db2.gz MLLMLGGTCHGDLL-KPZWWZAWSA-N 0 0 442.925 -0.044 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(Cl)cc1)C2 ZINC001182870397 1074235721 /nfs/dbraw/zinc/23/57/21/1074235721.db2.gz MLLMLGGTCHGDLL-SGTLLEGYSA-N 0 0 442.925 -0.044 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1CCN1C(C)C)C(N)=O ZINC001182870731 1074235684 /nfs/dbraw/zinc/23/56/84/1074235684.db2.gz AGIYLQUOFKLDLO-JYJNAYRXSA-N 0 0 443.614 -0.161 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)CN(C)S(C)(=O)=O)CCO1 ZINC001182877218 1074235954 /nfs/dbraw/zinc/23/59/54/1074235954.db2.gz MNWBYJZKEGWAQB-AWEZNQCLSA-N 0 0 425.467 -0.303 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)CN(C)S(C)(=O)=O)CCO1 ZINC001182877219 1074236049 /nfs/dbraw/zinc/23/60/49/1074236049.db2.gz MNWBYJZKEGWAQB-CQSZACIVSA-N 0 0 425.467 -0.303 20 0 IBADRN CN(CC(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1)S(C)(=O)=O ZINC001182877270 1074235927 /nfs/dbraw/zinc/23/59/27/1074235927.db2.gz PTSLVAVIZWHXAY-FGTMMUONSA-N 0 0 438.550 -0.115 20 0 IBADRN COc1ccc(N(C(=O)CN(C)S(C)(=O)=O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001182877409 1074236094 /nfs/dbraw/zinc/23/60/94/1074236094.db2.gz CJPUYFXMZZJMPL-UHFFFAOYSA-N 0 0 429.480 -0.319 20 0 IBADRN COc1nccn(C(=O)CN(C)S(C)(=O)=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001182877467 1074236034 /nfs/dbraw/zinc/23/60/34/1074236034.db2.gz FGAAVOIDTWQZNL-UHFFFAOYSA-N 0 0 429.480 -0.705 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)CN(C)S(C)(=O)=O)C3)nn1 ZINC001182877938 1074236055 /nfs/dbraw/zinc/23/60/55/1074236055.db2.gz AYADSXIYHDXZAX-CRAIPNDOSA-N 0 0 427.527 -0.278 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)CN(C)S(C)(=O)=O)C3)nn1 ZINC001182877939 1074236017 /nfs/dbraw/zinc/23/60/17/1074236017.db2.gz AYADSXIYHDXZAX-MAUKXSAKSA-N 0 0 427.527 -0.278 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)CN(C)S(C)(=O)=O)C3)nn1 ZINC001182877940 1074235908 /nfs/dbraw/zinc/23/59/08/1074235908.db2.gz AYADSXIYHDXZAX-QAPCUYQASA-N 0 0 427.527 -0.278 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)CN(C)S(C)(=O)=O)C3)nn1 ZINC001182877941 1074235988 /nfs/dbraw/zinc/23/59/88/1074235988.db2.gz AYADSXIYHDXZAX-YJBOKZPZSA-N 0 0 427.527 -0.278 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CN(C)S(C)(=O)=O)CC2 ZINC001182877999 1074235978 /nfs/dbraw/zinc/23/59/78/1074235978.db2.gz CKCPPCVYZXKFTP-AWEZNQCLSA-N 0 0 437.584 -0.301 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)CN(C)S(C)(=O)=O)CC2 ZINC001182878000 1074236063 /nfs/dbraw/zinc/23/60/63/1074236063.db2.gz CKCPPCVYZXKFTP-CQSZACIVSA-N 0 0 437.584 -0.301 20 0 IBADRN CN(CC(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1)S(C)(=O)=O ZINC001182878106 1074235970 /nfs/dbraw/zinc/23/59/70/1074235970.db2.gz HANIMONHUAWONZ-UHFFFAOYSA-N 0 0 428.515 -0.959 20 0 IBADRN CN(CC(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1)S(C)(=O)=O ZINC001182878287 1074236027 /nfs/dbraw/zinc/23/60/27/1074236027.db2.gz NILXHOGKEUMIKU-UHFFFAOYSA-N 0 0 437.478 -0.610 20 0 IBADRN CN(CC(=O)N1Cc2ncn(C)c2[C@H](COCCN2CCOCC2)C1)S(C)(=O)=O ZINC001182878345 1074236000 /nfs/dbraw/zinc/23/60/00/1074236000.db2.gz PSFDXRQTUKCBEE-HNNXBMFYSA-N 0 0 429.543 -0.914 20 0 IBADRN CN(CC(=O)N1Cc2ncn(C)c2[C@@H](COCCN2CCOCC2)C1)S(C)(=O)=O ZINC001182878346 1074236086 /nfs/dbraw/zinc/23/60/86/1074236086.db2.gz PSFDXRQTUKCBEE-OAHLLOKOSA-N 0 0 429.543 -0.914 20 0 IBADRN CN(CC(=O)N1CC2(CN(C(=O)OC(C)(C)C)C2)[C@]2(CCNC2=O)C1)S(C)(=O)=O ZINC001182878555 1074235941 /nfs/dbraw/zinc/23/59/41/1074235941.db2.gz UZYDEDPYNULHCT-GOSISDBHSA-N 0 0 430.527 -0.537 20 0 IBADRN CN(CC(=O)N1CC2(CN(C(=O)OC(C)(C)C)C2)[C@@]2(CCNC2=O)C1)S(C)(=O)=O ZINC001182878556 1074236043 /nfs/dbraw/zinc/23/60/43/1074236043.db2.gz UZYDEDPYNULHCT-SFHVURJKSA-N 0 0 430.527 -0.537 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)CN(C)S(C)(=O)=O)CCC2 ZINC001182878574 1074236076 /nfs/dbraw/zinc/23/60/76/1074236076.db2.gz VNSTZHUNEGYHIS-UHFFFAOYSA-N 0 0 427.527 -0.471 20 0 IBADRN CN(CC(=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1)S(C)(=O)=O ZINC001182878788 1074236384 /nfs/dbraw/zinc/23/63/84/1074236384.db2.gz ZRAFULOJMLZLCX-XYJFISCASA-N 0 0 438.550 -0.115 20 0 IBADRN CN(CC(=O)n1c(Cl)cncc1=NS(=O)(=O)c1ccc(N)cc1)S(C)(=O)=O ZINC001182879617 1074236390 /nfs/dbraw/zinc/23/63/90/1074236390.db2.gz CUVZNSRSRKCGLE-UHFFFAOYSA-N 0 0 433.899 -0.060 20 0 IBADRN CN(CC(=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1)S(C)(=O)=O ZINC001182879892 1074236459 /nfs/dbraw/zinc/23/64/59/1074236459.db2.gz KQPQWGDEXQYNTC-AWEZNQCLSA-N 0 0 444.485 -0.005 20 0 IBADRN CN(CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)OC(C)(C)C)CCC2)S(C)(=O)=O ZINC001182880005 1074236478 /nfs/dbraw/zinc/23/64/78/1074236478.db2.gz OGRYNGDYHHQXFB-UHFFFAOYSA-N 0 0 443.526 -0.108 20 0 IBADRN CN(CC(=O)NCCOCCOCCOCCC(=O)OC(C)(C)C)S(C)(=O)=O ZINC001182880888 1074236468 /nfs/dbraw/zinc/23/64/68/1074236468.db2.gz ANIMVFCRVDXNNZ-UHFFFAOYSA-N 0 0 426.532 -0.224 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)CN(C)S(C)(=O)=O)ccc12 ZINC001182881604 1074236427 /nfs/dbraw/zinc/23/64/27/1074236427.db2.gz GDOMKNDOHWPNDW-UHFFFAOYSA-N 0 0 438.462 -0.836 20 0 IBADRN CN(CC(=O)NC[C@H](c1cnc(C(F)(F)F)nc1)N1CCOCC1)S(C)(=O)=O ZINC001182883119 1074236377 /nfs/dbraw/zinc/23/63/77/1074236377.db2.gz JKXMAXCWAUVPRK-GFCCVEGCSA-N 0 0 425.433 -0.124 20 0 IBADRN CN(CC(=O)NC[C@@H](c1cnc(C(F)(F)F)nc1)N1CCOCC1)S(C)(=O)=O ZINC001182883125 1074236463 /nfs/dbraw/zinc/23/64/63/1074236463.db2.gz JKXMAXCWAUVPRK-LBPRGKRZSA-N 0 0 425.433 -0.124 20 0 IBADRN CN(CC(=O)Nc1c(I)c(Cl)nn(C)c1=O)S(C)(=O)=O ZINC001182883182 1074236466 /nfs/dbraw/zinc/23/64/66/1074236466.db2.gz KNZMFJJGIAZQTM-UHFFFAOYSA-N 0 0 434.643 -0.132 20 0 IBADRN CCOC(=O)[C@H](NC(=O)CN(C)S(C)(=O)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001182884097 1074236399 /nfs/dbraw/zinc/23/63/99/1074236399.db2.gz WDNLKEFRBWGLRB-CABCVRRESA-N 0 0 436.508 -0.937 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)C2(c3ccccc3)CCC(=O)CC2)c(=O)n1 ZINC001182915994 1074236435 /nfs/dbraw/zinc/23/64/35/1074236435.db2.gz UBRPLTXAAHTKOU-NXWXRZEISA-N 0 0 442.472 -0.115 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@](C)(O)c2ccccc2)[C@H]1O ZINC001182932397 1074237162 /nfs/dbraw/zinc/23/71/62/1074237162.db2.gz BBABVVILSWTWOZ-DGEXKBFVSA-N 0 0 442.476 -0.465 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@](C)(O)c2ccccc2)[C@H]1O ZINC001182932414 1074237197 /nfs/dbraw/zinc/23/71/97/1074237197.db2.gz BBABVVILSWTWOZ-NUEUTLORSA-N 0 0 442.476 -0.465 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)Cn1c(=O)cnc3ccccc31)C2 ZINC001182944446 1074236447 /nfs/dbraw/zinc/23/64/47/1074236447.db2.gz RLOFVBTYXUDCSR-UHFFFAOYSA-N 0 0 425.449 -0.365 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cn3c(=O)cnc4ccccc43)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001182944843 1074236474 /nfs/dbraw/zinc/23/64/74/1074236474.db2.gz GLMLZNUFKYWUQP-JCKWVBRZSA-N 0 0 427.417 -0.568 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cn1c(=O)cnc2ccccc21 ZINC001182944970 1074236945 /nfs/dbraw/zinc/23/69/45/1074236945.db2.gz KNVJHDVXIXUTLP-RDJZCZTQSA-N 0 0 437.460 -0.677 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H](CO)C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001182946171 1074236916 /nfs/dbraw/zinc/23/69/16/1074236916.db2.gz CIWWDHKNRVLGOK-JTQLQIEISA-N 0 0 425.446 -0.871 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)C1 ZINC001182946776 1074236762 /nfs/dbraw/zinc/23/67/62/1074236762.db2.gz GQBRBVINZMPELP-HOCLYGCPSA-N 0 0 439.513 -0.168 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)C1 ZINC001182946777 1074236938 /nfs/dbraw/zinc/23/69/38/1074236938.db2.gz GQBRBVINZMPELP-ZBFHGGJFSA-N 0 0 439.513 -0.168 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)CC[C@H]2C1=O ZINC001182946940 1074236900 /nfs/dbraw/zinc/23/69/00/1074236900.db2.gz ILFDCWQRGVPJTQ-PMPSAXMXSA-N 0 0 429.514 -0.064 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)C2)C1 ZINC001182947617 1074236819 /nfs/dbraw/zinc/23/68/19/1074236819.db2.gz MMLXXZLONIVDGR-HRAATJIYSA-N 0 0 442.557 -0.099 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)C2)C1 ZINC001182947626 1074236791 /nfs/dbraw/zinc/23/67/91/1074236791.db2.gz MMLXXZLONIVDGR-KKSFZXQISA-N 0 0 442.557 -0.099 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)C[C@H]2C1 ZINC001182947728 1074236719 /nfs/dbraw/zinc/23/67/19/1074236719.db2.gz ODRTXDKITSGFJS-ILXRZTDVSA-N 0 0 434.559 -0.199 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H](CO)C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001182948222 1074236909 /nfs/dbraw/zinc/23/69/09/1074236909.db2.gz WXLFHZAXOCNBAY-AWEZNQCLSA-N 0 0 438.485 -0.313 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)C[C@@H]2C(=O)N(C)C ZINC001182950499 1074236924 /nfs/dbraw/zinc/23/69/24/1074236924.db2.gz SCRLQROXWLVLDT-CABCVRRESA-N 0 0 426.514 -0.391 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)C[C@H]2C(=O)N(C)C ZINC001182950500 1074236693 /nfs/dbraw/zinc/23/66/93/1074236693.db2.gz SCRLQROXWLVLDT-GJZGRUSLSA-N 0 0 426.514 -0.391 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)C2 ZINC001182952148 1074236704 /nfs/dbraw/zinc/23/67/04/1074236704.db2.gz VKYPQQWWULURJY-ZDUSSCGKSA-N 0 0 440.501 -0.547 20 0 IBADRN C[C@@H](O)[C@@H](C(=O)n1c(N)c2ncn(COCCO)c2nc1=N)N(C)C(=O)OC(C)(C)C ZINC001182953040 1074236679 /nfs/dbraw/zinc/23/66/79/1074236679.db2.gz GRTXDICLFKDRRR-PWSUYJOCSA-N 0 0 439.473 -0.483 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H](CO)N(C)C(=O)OC(C)(C)C)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001182953184 1074236928 /nfs/dbraw/zinc/23/69/28/1074236928.db2.gz CQKHLZKYQCCEIK-IGQOVBAYSA-N 0 0 442.469 -0.750 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@H]([C@@H](C)O)N(C)C(=O)OC(C)(C)C)C[C@@H]2C(=O)N(C)C ZINC001182956016 1074236732 /nfs/dbraw/zinc/23/67/32/1074236732.db2.gz JQZXBSBPTCAPAI-BMFZPTHFSA-N 0 0 440.541 -0.002 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@H]([C@@H](C)O)N(C)C(=O)OC(C)(C)C)C[C@H]2C(=O)N(C)C ZINC001182956017 1074236934 /nfs/dbraw/zinc/23/69/34/1074236934.db2.gz JQZXBSBPTCAPAI-KBMXLJTQSA-N 0 0 440.541 -0.002 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]([C@@H](C)O)N(C)C(=O)OC(C)(C)C)C2 ZINC001182956755 1074237249 /nfs/dbraw/zinc/23/72/49/1074237249.db2.gz XIPRAQAIIQYILN-AGIUHOORSA-N 0 0 434.511 -0.209 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]([C@@H](C)O)N(C)C(=O)OC(C)(C)C)C2 ZINC001182956767 1074237385 /nfs/dbraw/zinc/23/73/85/1074237385.db2.gz XIPRAQAIIQYILN-UPJWGTAASA-N 0 0 434.511 -0.209 20 0 IBADRN C[C@H](NS(=O)(=O)Cc1ccccc1)C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001182957456 1074237257 /nfs/dbraw/zinc/23/72/57/1074237257.db2.gz JYNWHXWARAFOAG-LBPRGKRZSA-N 0 0 449.493 -0.591 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H](C)NS(=O)(=O)Cc1ccccc1)C2 ZINC001182960010 1074237225 /nfs/dbraw/zinc/23/72/25/1074237225.db2.gz MPQJDHXOBRIZMB-DZGCQCFKSA-N 0 0 444.531 -0.317 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H](C)NS(=O)(=O)Cc1ccccc1)C2 ZINC001182960014 1074237262 /nfs/dbraw/zinc/23/72/62/1074237262.db2.gz MPQJDHXOBRIZMB-ZFWWWQNUSA-N 0 0 444.531 -0.317 20 0 IBADRN C[C@@H](O)[C@@H](C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O)N(C)C(=O)OC(C)(C)C ZINC001182960638 1074237303 /nfs/dbraw/zinc/23/73/03/1074237303.db2.gz CUIIQPRKRHCDNG-KMFMINBZSA-N 0 0 436.509 -0.068 20 0 IBADRN C[C@H](NS(=O)(=O)Cc1ccccc1)C(=O)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001182962035 1074237289 /nfs/dbraw/zinc/23/72/89/1074237289.db2.gz MAHKZMTUVUVACN-INIZCTEOSA-N 0 0 448.549 -0.039 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)CNC(=O)c1ccc(O)cc1)CC2 ZINC001182970890 1074237268 /nfs/dbraw/zinc/23/72/68/1074237268.db2.gz CLXDNOTXRKMDRV-UHFFFAOYSA-N 0 0 440.504 -0.172 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)CNC(=O)c1ccc(O)cc1)CC2 ZINC001182971796 1074237317 /nfs/dbraw/zinc/23/73/17/1074237317.db2.gz QFYPAJDNHFOSLZ-UHFFFAOYSA-N 0 0 426.473 -0.001 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CNC(=O)c1ccc(O)cc1 ZINC001182974235 1074237858 /nfs/dbraw/zinc/23/78/58/1074237858.db2.gz JCOGARFFWLFJCA-HOTGVXAUSA-N 0 0 428.449 -0.951 20 0 IBADRN C[C@H](NS(=O)(=O)Cc1ccccc1)C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001182988169 1074237216 /nfs/dbraw/zinc/23/72/16/1074237216.db2.gz OCILISUKXHUHKE-YJBOKZPZSA-N 0 0 446.529 -0.177 20 0 IBADRN O=C([C@H]1CCNC1=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001183002846 1074237784 /nfs/dbraw/zinc/23/77/84/1074237784.db2.gz XPXLPFHLNBXRQF-HOIFWPIMSA-N 0 0 442.520 -0.484 20 0 IBADRN O=C([C@@H]1CCNC1=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001183002849 1074237796 /nfs/dbraw/zinc/23/77/96/1074237796.db2.gz XPXLPFHLNBXRQF-JKSBSHDWSA-N 0 0 442.520 -0.484 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)C1CN(S(C)(=O)=O)C1)CC2 ZINC001183020044 1074239507 /nfs/dbraw/zinc/23/95/07/1074239507.db2.gz AASBMADAKKMGPM-HNNXBMFYSA-N 0 0 449.595 -0.301 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)C1CN(S(C)(=O)=O)C1)CC2 ZINC001183020048 1074239481 /nfs/dbraw/zinc/23/94/81/1074239481.db2.gz AASBMADAKKMGPM-OAHLLOKOSA-N 0 0 449.595 -0.301 20 0 IBADRN C[C@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)C1CN(S(C)(=O)=O)C1)CC2 ZINC001183020062 1074239494 /nfs/dbraw/zinc/23/94/94/1074239494.db2.gz GGMJDLJMYFUMSM-CYBMUJFWSA-N 0 0 425.511 -0.576 20 0 IBADRN C[C@@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)C1CN(S(C)(=O)=O)C1)CC2 ZINC001183020063 1074239521 /nfs/dbraw/zinc/23/95/21/1074239521.db2.gz GGMJDLJMYFUMSM-ZDUSSCGKSA-N 0 0 425.511 -0.576 20 0 IBADRN Cc1cccc(CN2CCO[C@H]3CN(C(=O)C4CN(S(C)(=O)=O)C4)CC[C@H]3C2=O)n1 ZINC001183020537 1074239401 /nfs/dbraw/zinc/23/94/01/1074239401.db2.gz JQRUDWZENKQCJD-MSOLQXFVSA-N 0 0 436.534 -0.143 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)C1CN(S(C)(=O)=O)C1)CCC2 ZINC001183020542 1074239498 /nfs/dbraw/zinc/23/94/98/1074239498.db2.gz JURCSVNHKFWYKT-UHFFFAOYSA-N 0 0 439.538 -0.471 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)C2CN(S(C)(=O)=O)C2)C3)nn1 ZINC001183020561 1074239411 /nfs/dbraw/zinc/23/94/11/1074239411.db2.gz KTRRAQDSUAWUDN-BEFAXECRSA-N 0 0 439.538 -0.278 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)C2CN(S(C)(=O)=O)C2)C3)nn1 ZINC001183020562 1074239524 /nfs/dbraw/zinc/23/95/24/1074239524.db2.gz KTRRAQDSUAWUDN-DNVCBOLYSA-N 0 0 439.538 -0.278 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)C2CN(S(C)(=O)=O)C2)C3)nn1 ZINC001183020563 1074239438 /nfs/dbraw/zinc/23/94/38/1074239438.db2.gz KTRRAQDSUAWUDN-HNAYVOBHSA-N 0 0 439.538 -0.278 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)C2CN(S(C)(=O)=O)C2)C3)nn1 ZINC001183020564 1074239367 /nfs/dbraw/zinc/23/93/67/1074239367.db2.gz KTRRAQDSUAWUDN-KXBFYZLASA-N 0 0 439.538 -0.278 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)C1CN(S(C)(=O)=O)C1)C[C@]21CCNC1=O ZINC001183020626 1074239431 /nfs/dbraw/zinc/23/94/31/1074239431.db2.gz MEWAPWLETRSVLF-IBGZPJMESA-N 0 0 442.538 -0.537 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)C1CN(S(C)(=O)=O)C1)C[C@@]21CCNC1=O ZINC001183020628 1074239407 /nfs/dbraw/zinc/23/94/07/1074239407.db2.gz MEWAPWLETRSVLF-LJQANCHMSA-N 0 0 442.538 -0.537 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2C[C@@H]3COC[C@]3(C(=O)NCCc3cccs3)C2)C1 ZINC001183021174 1074239748 /nfs/dbraw/zinc/23/97/48/1074239748.db2.gz RLTGFLFSQASAOM-RDTXWAMCSA-N 0 0 427.548 -0.227 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CC[C@H]3C[C@H](C(=O)NCCc4ccccn4)O[C@H]3C2)C1 ZINC001183021176 1074239879 /nfs/dbraw/zinc/23/98/79/1074239879.db2.gz RMVLVWLOUBFSDK-QGTPRVQTSA-N 0 0 436.534 -0.362 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCCn3c(=O)cc(CNC(=O)c4ccco4)nc3C2)C1 ZINC001183021198 1074239801 /nfs/dbraw/zinc/23/98/01/1074239801.db2.gz MOSSLSRZUJAJCQ-UHFFFAOYSA-N 0 0 449.489 -0.610 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCC[C@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)C1 ZINC001183021480 1074239788 /nfs/dbraw/zinc/23/97/88/1074239788.db2.gz OZXRCVIBVVTWNR-IBGZPJMESA-N 0 0 435.506 -0.273 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCC[C@@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)C1 ZINC001183021481 1074239781 /nfs/dbraw/zinc/23/97/81/1074239781.db2.gz OZXRCVIBVVTWNR-LJQANCHMSA-N 0 0 435.506 -0.273 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)C1 ZINC001183021495 1074239775 /nfs/dbraw/zinc/23/97/75/1074239775.db2.gz UKGUWTNVCFSRLZ-UHFFFAOYSA-N 0 0 440.526 -0.959 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)C1CN(S(C)(=O)=O)C1)C2 ZINC001183021497 1074239854 /nfs/dbraw/zinc/23/98/54/1074239854.db2.gz PIZYBEMHIAMLJK-IBGZPJMESA-N 0 0 435.506 -0.861 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)C1CN(S(C)(=O)=O)C1)C2 ZINC001183021498 1074239804 /nfs/dbraw/zinc/23/98/04/1074239804.db2.gz PIZYBEMHIAMLJK-LJQANCHMSA-N 0 0 435.506 -0.861 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCC[C@]3(C2)COCCN(c2ncc(F)cn2)C3)C1 ZINC001183021511 1074239770 /nfs/dbraw/zinc/23/97/70/1074239770.db2.gz POKCUFFDUKBKSS-GOSISDBHSA-N 0 0 427.502 -0.048 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCC[C@@]3(C2)COCCN(c2ncc(F)cn2)C3)C1 ZINC001183021524 1074239827 /nfs/dbraw/zinc/23/98/27/1074239827.db2.gz POKCUFFDUKBKSS-SFHVURJKSA-N 0 0 427.502 -0.048 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)C1CN(S(C)(=O)=O)C1)C2 ZINC001183021614 1074239842 /nfs/dbraw/zinc/23/98/42/1074239842.db2.gz RFNJMDPUFNHUBI-INIZCTEOSA-N 0 0 441.554 -0.914 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)C1CN(S(C)(=O)=O)C1)C2 ZINC001183021616 1074239815 /nfs/dbraw/zinc/23/98/15/1074239815.db2.gz RFNJMDPUFNHUBI-MRXNPFEDSA-N 0 0 441.554 -0.914 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCOC[C@@]3(CN(c4ccsc4)C(=O)CO3)C2)C1 ZINC001183021834 1074239754 /nfs/dbraw/zinc/23/97/54/1074239754.db2.gz XXEAFNYAXPIKOA-KRWDZBQOSA-N 0 0 429.520 -0.400 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCOC[C@]3(CN(c4ccsc4)C(=O)CO3)C2)C1 ZINC001183021835 1074239866 /nfs/dbraw/zinc/23/98/66/1074239866.db2.gz XXEAFNYAXPIKOA-QGZVFWFLSA-N 0 0 429.520 -0.400 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CCN(C(=O)C3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC001183022572 1074239834 /nfs/dbraw/zinc/23/98/34/1074239834.db2.gz DEWWSMUGAHYALX-UHFFFAOYSA-N 0 0 431.536 -0.144 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)C4CN(S(C)(=O)=O)C4)C3)C2=O)cc1 ZINC001183022829 1074239761 /nfs/dbraw/zinc/23/97/61/1074239761.db2.gz KFYRKSHYYHYRNV-IBGZPJMESA-N 0 0 436.490 -0.391 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)C4CN(S(C)(=O)=O)C4)C3)C2=O)cc1 ZINC001183022830 1074239811 /nfs/dbraw/zinc/23/98/11/1074239811.db2.gz KFYRKSHYYHYRNV-LJQANCHMSA-N 0 0 436.490 -0.391 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)C2CN(S(C)(=O)=O)C2)CCO1 ZINC001183022835 1074239794 /nfs/dbraw/zinc/23/97/94/1074239794.db2.gz KPXHUDZIVRNMML-HNNXBMFYSA-N 0 0 437.478 -0.303 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)C2CN(S(C)(=O)=O)C2)CCO1 ZINC001183022836 1074239859 /nfs/dbraw/zinc/23/98/59/1074239859.db2.gz KPXHUDZIVRNMML-OAHLLOKOSA-N 0 0 437.478 -0.303 20 0 IBADRN COc1nccn(C(=O)C2CN(S(C)(=O)=O)C2)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001183023106 1074240347 /nfs/dbraw/zinc/24/03/47/1074240347.db2.gz OKUIIFZGSLOORY-UHFFFAOYSA-N 0 0 441.491 -0.705 20 0 IBADRN COc1ccc(N(C(=O)C2CN(S(C)(=O)=O)C2)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001183023342 1074240362 /nfs/dbraw/zinc/24/03/62/1074240362.db2.gz VCLOHMMYMCLUEE-UHFFFAOYSA-N 0 0 441.491 -0.319 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)n2c(Cl)cncc2=NS(=O)(=O)c2ccc(N)cc2)C1 ZINC001183023767 1074240292 /nfs/dbraw/zinc/24/02/92/1074240292.db2.gz FCBYSBNEENPDDE-UHFFFAOYSA-N 0 0 445.910 -0.060 20 0 IBADRN CC(C)(C)OC(=O)N1CCCn2c(CNC(=O)C3CN(S(C)(=O)=O)C3)nnc2C1 ZINC001183023773 1074240259 /nfs/dbraw/zinc/24/02/59/1074240259.db2.gz FLKMSQKBSNULCC-UHFFFAOYSA-N 0 0 428.515 -0.074 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1CN(S(C)(=O)=O)C1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001183025994 1074240329 /nfs/dbraw/zinc/24/03/29/1074240329.db2.gz YDKHQETYWLRADZ-CABCVRRESA-N 0 0 448.519 -0.937 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)NC[C@H](c2cnc(C(F)(F)F)nc2)N2CCOCC2)C1 ZINC001183026400 1074240286 /nfs/dbraw/zinc/24/02/86/1074240286.db2.gz FMOBNLRZPHOSFB-CYBMUJFWSA-N 0 0 437.444 -0.124 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)NC[C@@H](c2cnc(C(F)(F)F)nc2)N2CCOCC2)C1 ZINC001183026401 1074240310 /nfs/dbraw/zinc/24/03/10/1074240310.db2.gz FMOBNLRZPHOSFB-ZDUSSCGKSA-N 0 0 437.444 -0.124 20 0 IBADRN Cn1nc(Cl)c(I)c(NC(=O)C2CN(S(C)(=O)=O)C2)c1=O ZINC001183026619 1074240237 /nfs/dbraw/zinc/24/02/37/1074240237.db2.gz QWOZUIJGJOZTCI-UHFFFAOYSA-N 0 0 446.654 -0.132 20 0 IBADRN CC(C)(C)OC(=O)CCOCCOCCOCCNC(=O)C1CN(S(C)(=O)=O)C1 ZINC001183026634 1074240228 /nfs/dbraw/zinc/24/02/28/1074240228.db2.gz RSNHSDWFINZRGF-UHFFFAOYSA-N 0 0 438.543 -0.224 20 0 IBADRN CC(=O)N[C@H](Cc1ccc2ccccc2c1)C(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001183036297 1074238918 /nfs/dbraw/zinc/23/89/18/1074238918.db2.gz DLDZTCLQWGVSNA-XGJGUCQHSA-N 0 0 446.500 -0.153 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2CC[C@@H](NC(=O)OC(C)(C)C)CO2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001183046256 1074239530 /nfs/dbraw/zinc/23/95/30/1074239530.db2.gz QYFZRRPITBRRKJ-OTWSLPRKSA-N 0 0 434.486 -0.981 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)CNC(=O)c1ccccc1Cl ZINC001183065586 1074240352 /nfs/dbraw/zinc/24/03/52/1074240352.db2.gz FXQKZRMGJFLNAI-HOTGVXAUSA-N 0 0 446.895 -0.003 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CN(C(=O)[C@@H]3CN(C)C(=O)N3)C[C@H]2c2ccccc2)C1=O ZINC001183069817 1074240267 /nfs/dbraw/zinc/24/02/67/1074240267.db2.gz IKSRDYNUVCMCIT-PNLZDCPESA-N 0 0 427.505 -0.057 20 0 IBADRN CN1C[C@@H](C(=O)N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)NC1=O ZINC001183072217 1074240390 /nfs/dbraw/zinc/24/03/90/1074240390.db2.gz FLFHALCNEJETNN-YLAKUSLOSA-N 0 0 434.518 -0.363 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3CN(C)C(=O)N3)CC2)c2nonc21 ZINC001183073040 1074240387 /nfs/dbraw/zinc/24/03/87/1074240387.db2.gz OBEZBTVOXXSFMS-NSHDSACASA-N 0 0 437.482 -0.855 20 0 IBADRN COc1cc(N(C(=O)[C@@H]2CN(C)C(=O)N2)S(=O)(=O)c2ccc(N)cc2)nc(OC)n1 ZINC001183073963 1074240302 /nfs/dbraw/zinc/24/03/02/1074240302.db2.gz HTRKPZRVYMSREK-LBPRGKRZSA-N 0 0 436.450 -0.179 20 0 IBADRN COc1ncnc(N(C(=O)[C@@H]2CN(C)C(=O)N2)S(=O)(=O)c2ccc(N)cc2)c1OC ZINC001183074200 1074240297 /nfs/dbraw/zinc/24/02/97/1074240297.db2.gz LTKUJEDJMINZIM-LBPRGKRZSA-N 0 0 436.450 -0.179 20 0 IBADRN CC(=O)OC[C@H](C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O)c1ccccc1 ZINC001183115427 1074240629 /nfs/dbraw/zinc/24/06/29/1074240629.db2.gz UWZRTTDMYUQORK-PKMBHRFZSA-N 0 0 432.433 -0.850 20 0 IBADRN CC(=O)OC[C@@H](C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O)c1ccccc1 ZINC001183115442 1074240595 /nfs/dbraw/zinc/24/05/95/1074240595.db2.gz UWZRTTDMYUQORK-RKVZRBGQSA-N 0 0 432.433 -0.850 20 0 IBADRN CC(=O)SC[C@@H](C)C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001183133072 1074240277 /nfs/dbraw/zinc/24/02/77/1074240277.db2.gz SZBMQOWDZIRQSL-PRMRHQKLSA-N 0 0 438.510 -0.457 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001183139094 1074240706 /nfs/dbraw/zinc/24/07/06/1074240706.db2.gz YVCAJDYKYYQSHL-CNRFMZSYSA-N 0 0 444.531 -0.562 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001183139096 1074240637 /nfs/dbraw/zinc/24/06/37/1074240637.db2.gz YVCAJDYKYYQSHL-DCXPXDSUSA-N 0 0 444.531 -0.562 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001183139097 1074240610 /nfs/dbraw/zinc/24/06/10/1074240610.db2.gz YVCAJDYKYYQSHL-OJUAWKFGSA-N 0 0 444.531 -0.562 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001183139098 1074240732 /nfs/dbraw/zinc/24/07/32/1074240732.db2.gz YVCAJDYKYYQSHL-WKEQQPHFSA-N 0 0 444.531 -0.562 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183139188 1074240740 /nfs/dbraw/zinc/24/07/40/1074240740.db2.gz BWHUQDAWOTXAKV-LVQVYYBASA-N 0 0 445.563 -0.972 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001183139190 1074240792 /nfs/dbraw/zinc/24/07/92/1074240792.db2.gz BWHUQDAWOTXAKV-XUWVNRHRSA-N 0 0 445.563 -0.972 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001183139300 1074240691 /nfs/dbraw/zinc/24/06/91/1074240691.db2.gz DVBDOJICUXUIPM-KKUMJFAQSA-N 0 0 441.554 -0.969 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001183139913 1074240786 /nfs/dbraw/zinc/24/07/86/1074240786.db2.gz MQVHETVASKVIBG-HOCLYGCPSA-N 0 0 429.506 -0.017 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001183139914 1074240749 /nfs/dbraw/zinc/24/07/49/1074240749.db2.gz MQVHETVASKVIBG-ZBFHGGJFSA-N 0 0 429.506 -0.017 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N1CCc2ncc(CNC(=O)c3nccn3C)n2CC1 ZINC001183140149 1074240678 /nfs/dbraw/zinc/24/06/78/1074240678.db2.gz RDKZBCRORDQQJM-HNNXBMFYSA-N 0 0 431.522 -0.147 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001183140390 1074240761 /nfs/dbraw/zinc/24/07/61/1074240761.db2.gz WQSBIDKWMDBQKU-VIFPVBQESA-N 0 0 442.928 -0.505 20 0 IBADRN CC(=O)N1CSC[C@H]1C(=O)N[C@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)C(C)C ZINC001183142080 1074240714 /nfs/dbraw/zinc/24/07/14/1074240714.db2.gz VSCUPIXTWQDGFF-IKGGRYGDSA-N 0 0 436.534 -0.033 20 0 IBADRN COc1ccccc1Oc1ccc(S(=O)(=O)NCC(=O)NC(CO)(CO)CO)cc1 ZINC001183144652 1074240771 /nfs/dbraw/zinc/24/07/71/1074240771.db2.gz OXEYOOUCESGJTC-UHFFFAOYSA-N 0 0 440.474 -0.402 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@](C)(CO)[C@H](O)C2)c2ccccc2)C(=O)C1=O ZINC001183150172 1074241116 /nfs/dbraw/zinc/24/11/16/1074241116.db2.gz FUCAQCBRODFBOH-DRSNIGMVSA-N 0 0 446.504 -0.280 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@](C)(CO)[C@@H](O)C2)c2ccccc2)C(=O)C1=O ZINC001183150173 1074241184 /nfs/dbraw/zinc/24/11/84/1074241184.db2.gz FUCAQCBRODFBOH-GSHUGGBRSA-N 0 0 446.504 -0.280 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@](C)(CO)[C@@H](O)C2)c2ccccc2)C(=O)C1=O ZINC001183150174 1074241146 /nfs/dbraw/zinc/24/11/46/1074241146.db2.gz FUCAQCBRODFBOH-JKSBSHDWSA-N 0 0 446.504 -0.280 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@](C)(CO)[C@H](O)C2)c2ccccc2)C(=O)C1=O ZINC001183150175 1074241122 /nfs/dbraw/zinc/24/11/22/1074241122.db2.gz FUCAQCBRODFBOH-YVHKJVDXSA-N 0 0 446.504 -0.280 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CCNC(=O)C2(C)C)c2ccccc2)C(=O)C1=O ZINC001183150217 1074241131 /nfs/dbraw/zinc/24/11/31/1074241131.db2.gz GYIWNMZYXBLTLH-OAHLLOKOSA-N 0 0 429.477 -0.135 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CCN3C(=O)CC[C@@H]3C2)c2ccccc2)C(=O)C1=O ZINC001183150289 1074241240 /nfs/dbraw/zinc/24/12/40/1074241240.db2.gz IORYLNJDQJDITG-SJLPKXTDSA-N 0 0 441.488 -0.039 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)Nc2oncc2C(N)=O)c2ccccc2)C(=O)C1=O ZINC001183150851 1074241137 /nfs/dbraw/zinc/24/11/37/1074241137.db2.gz LDEOVLXHILACFS-CYBMUJFWSA-N 0 0 428.405 -0.146 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CCS(=O)(=O)CC2)c2ccccc2)C(=O)C1=O ZINC001183151220 1074241193 /nfs/dbraw/zinc/24/11/93/1074241193.db2.gz MMCWPCAKUAZZOC-OAHLLOKOSA-N 0 0 436.490 -0.615 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CCN(C(N)=O)CC2)c2ccccc2)C(=O)C1=O ZINC001183151283 1074241215 /nfs/dbraw/zinc/24/12/15/1074241215.db2.gz NZKQWBDCYRMOPU-OAHLLOKOSA-N 0 0 430.465 -0.649 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CCn3nncc3C2)c2ccccc2)C(=O)C1=O ZINC001183151322 1074241178 /nfs/dbraw/zinc/24/11/78/1074241178.db2.gz OTODMUHPHOFWCM-MRXNPFEDSA-N 0 0 425.449 -0.238 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@H](O)[C@@H](CO)C2)c2ccccc2)C(=O)C1=O ZINC001183151802 1074241227 /nfs/dbraw/zinc/24/12/27/1074241227.db2.gz QRZKVWHSGRLDHH-BRWVUGGUSA-N 0 0 432.477 -0.670 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@H](O)[C@H](CO)C2)c2ccccc2)C(=O)C1=O ZINC001183151808 1074241209 /nfs/dbraw/zinc/24/12/09/1074241209.db2.gz QRZKVWHSGRLDHH-GVDBMIGSSA-N 0 0 432.477 -0.670 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@H](O)[C@@H](CO)C2)c2ccccc2)C(=O)C1=O ZINC001183151810 1074241235 /nfs/dbraw/zinc/24/12/35/1074241235.db2.gz QRZKVWHSGRLDHH-IXDOHACOSA-N 0 0 432.477 -0.670 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@H](O)[C@H](CO)C2)c2ccccc2)C(=O)C1=O ZINC001183151813 1074241155 /nfs/dbraw/zinc/24/11/55/1074241155.db2.gz QRZKVWHSGRLDHH-YESZJQIVSA-N 0 0 432.477 -0.670 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@H](O)[C@@](C)(CO)C2)c2ccccc2)C(=O)C1=O ZINC001183151829 1074241107 /nfs/dbraw/zinc/24/11/07/1074241107.db2.gz RBZSXWCNQBKBKB-DRSNIGMVSA-N 0 0 446.504 -0.280 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@H](O)[C@@](C)(CO)C2)c2ccccc2)C(=O)C1=O ZINC001183151831 1074241164 /nfs/dbraw/zinc/24/11/64/1074241164.db2.gz RBZSXWCNQBKBKB-GSHUGGBRSA-N 0 0 446.504 -0.280 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@H](O)[C@](C)(CO)C2)c2ccccc2)C(=O)C1=O ZINC001183151833 1074241159 /nfs/dbraw/zinc/24/11/59/1074241159.db2.gz RBZSXWCNQBKBKB-JKSBSHDWSA-N 0 0 446.504 -0.280 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@H](O)[C@](C)(CO)C2)c2ccccc2)C(=O)C1=O ZINC001183151836 1074241483 /nfs/dbraw/zinc/24/14/83/1074241483.db2.gz RBZSXWCNQBKBKB-YVHKJVDXSA-N 0 0 446.504 -0.280 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2C[C@H]3CN(C)C(=O)[C@H]3C2)c2ccccc2)C(=O)C1=O ZINC001183151872 1074241666 /nfs/dbraw/zinc/24/16/66/1074241666.db2.gz RGSYTHLFZAJLSM-IXDOHACOSA-N 0 0 441.488 -0.325 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CCN3C(=O)OC[C@H]3C2)c2ccccc2)C(=O)C1=O ZINC001183151882 1074241589 /nfs/dbraw/zinc/24/15/89/1074241589.db2.gz RHOCJXJTAVFQQO-HZPDHXFCSA-N 0 0 443.460 -0.209 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CCN(CCOC)CC2)c2ccccc2)C(=O)C1=O ZINC001183151971 1074241574 /nfs/dbraw/zinc/24/15/74/1074241574.db2.gz SPLTWQLCSLWHLN-GOSISDBHSA-N 0 0 445.520 -0.081 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2C[C@@H]3[C@H](C2)OCCN3C)c2ccccc2)C(=O)C1=O ZINC001183151978 1074241708 /nfs/dbraw/zinc/24/17/08/1074241708.db2.gz SWKAXKNRRYNKJY-FGTMMUONSA-N 0 0 443.504 -0.331 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@H](CO)[C@H](O)C2)c2ccccc2)C(=O)C1=O ZINC001183152021 1074241513 /nfs/dbraw/zinc/24/15/13/1074241513.db2.gz URUNSEDTBRKEGM-BRWVUGGUSA-N 0 0 432.477 -0.670 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@H](CO)[C@H](O)C2)c2ccccc2)C(=O)C1=O ZINC001183152022 1074241560 /nfs/dbraw/zinc/24/15/60/1074241560.db2.gz URUNSEDTBRKEGM-GVDBMIGSSA-N 0 0 432.477 -0.670 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@H](CO)[C@@H](O)C2)c2ccccc2)C(=O)C1=O ZINC001183152023 1074241522 /nfs/dbraw/zinc/24/15/22/1074241522.db2.gz URUNSEDTBRKEGM-IXDOHACOSA-N 0 0 432.477 -0.670 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC[C@@H](CO)[C@@H](O)C2)c2ccccc2)C(=O)C1=O ZINC001183152025 1074241568 /nfs/dbraw/zinc/24/15/68/1074241568.db2.gz URUNSEDTBRKEGM-YESZJQIVSA-N 0 0 432.477 -0.670 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC(=O)N(C)C[C@@H]2C)c2ccccc2)C(=O)C1=O ZINC001183152534 1074241622 /nfs/dbraw/zinc/24/16/22/1074241622.db2.gz XXXWKPOYCOCPIF-WMLDXEAASA-N 0 0 429.477 -0.183 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)Nc2c(N)[nH]c(=O)[nH]c2=O)c2ccccc2)C(=O)C1=O ZINC001183152839 1074241600 /nfs/dbraw/zinc/24/16/00/1074241600.db2.gz AQBQXTKDRXFCAO-LLVKDONJSA-N 0 0 443.420 -0.450 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CCn3cnnc3C2)c2ccccc2)C(=O)C1=O ZINC001183153190 1074241615 /nfs/dbraw/zinc/24/16/15/1074241615.db2.gz DPLJILKHYVLERG-MRXNPFEDSA-N 0 0 425.449 -0.238 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2CC(N3CC(F)C3)C2)c2ccccc2)C(=O)C1=O ZINC001183153191 1074241700 /nfs/dbraw/zinc/24/17/00/1074241700.db2.gz DRZMSSZCWSVKDR-QGZVFWFLSA-N 0 0 431.468 -0.008 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)Nc2c[nH]nc2C(N)=O)c2ccccc2)C(=O)C1=O ZINC001183153204 1074241636 /nfs/dbraw/zinc/24/16/36/1074241636.db2.gz DTVMFKKMMGDXKG-CYBMUJFWSA-N 0 0 427.421 -0.411 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N=c2ncnc3[nH][nH]cc2-3)c2ccccc2)C(=O)C1=O ZINC001183153512 1074242041 /nfs/dbraw/zinc/24/20/41/1074242041.db2.gz IVUDDDBNHINOFT-CQSZACIVSA-N 0 0 436.432 -0.194 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)Nc2ncnc3n[nH]nc32)c2ccccc2)C(=O)C1=O ZINC001183153587 1074242000 /nfs/dbraw/zinc/24/20/00/1074242000.db2.gz MDRKBZCSUVKTJD-GFCCVEGCSA-N 0 0 437.420 -0.172 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)Nc2nccnc2C(N)=O)c2ccccc2)C(=O)C1=O ZINC001183154176 1074242092 /nfs/dbraw/zinc/24/20/92/1074242092.db2.gz WHPQXAIJUXYFIB-CYBMUJFWSA-N 0 0 439.432 -0.344 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NCCCc2c[nH][nH]c2=O)c2ccccc2)C(=O)C1=O ZINC001183154665 1074242066 /nfs/dbraw/zinc/24/20/66/1074242066.db2.gz BEBKLQCLUFNGLE-HZPDHXFCSA-N 0 0 442.476 -0.244 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NCCCc2c[nH][nH]c2=O)c2ccccc2)C(=O)C1=O ZINC001183154666 1074242079 /nfs/dbraw/zinc/24/20/79/1074242079.db2.gz BEBKLQCLUFNGLE-JKSUJKDBSA-N 0 0 442.476 -0.244 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H]2CCC(=O)N(C)C2)c2ccccc2)C(=O)C1=O ZINC001183154704 1074242077 /nfs/dbraw/zinc/24/20/77/1074242077.db2.gz COLUMZDTWXVMAK-DOTOQJQBSA-N 0 0 429.477 -0.135 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@H]2CCC(=O)N(C)C2)c2ccccc2)C(=O)C1=O ZINC001183154705 1074242052 /nfs/dbraw/zinc/24/20/52/1074242052.db2.gz COLUMZDTWXVMAK-NVXWUHKLSA-N 0 0 429.477 -0.135 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H](C(=O)OC)[C@@H](C)O)c2ccccc2)C(=O)C1=O ZINC001183154728 1074242088 /nfs/dbraw/zinc/24/20/88/1074242088.db2.gz DUQWKURJPRCZMQ-VHDGCEQUSA-N 0 0 434.449 -0.833 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)c2ccccc2)C(=O)C1=O ZINC001183154814 1074241983 /nfs/dbraw/zinc/24/19/83/1074241983.db2.gz IJIUFOZUEMCZHW-HUUCEWRRSA-N 0 0 436.490 -0.569 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)c2ccccc2)C(=O)C1=O ZINC001183154815 1074242073 /nfs/dbraw/zinc/24/20/73/1074242073.db2.gz IJIUFOZUEMCZHW-LSDHHAIUSA-N 0 0 436.490 -0.569 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N2C[C@@H]3COC[C@H](C2)C3=O)c2ccccc2)C(=O)C1=O ZINC001183154903 1074242029 /nfs/dbraw/zinc/24/20/29/1074242029.db2.gz LVGZZWVASQPPMZ-IXDOHACOSA-N 0 0 442.472 -0.198 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)n2cc(F)c(=O)nc2N)c2ccccc2)C(=O)C1=O ZINC001183154968 1074242058 /nfs/dbraw/zinc/24/20/58/1074242058.db2.gz NPYHCVWEZSYIGG-CYBMUJFWSA-N 0 0 430.396 -0.011 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@]23C[C@@H]2CN(C(C)=O)C3)c2ccccc2)C(=O)C1=O ZINC001183155039 1074242007 /nfs/dbraw/zinc/24/20/07/1074242007.db2.gz ODEFZIAIACAZOB-DRSNIGMVSA-N 0 0 441.488 -0.135 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@]23C[C@H]2CN(C(C)=O)C3)c2ccccc2)C(=O)C1=O ZINC001183155045 1074242035 /nfs/dbraw/zinc/24/20/35/1074242035.db2.gz ODEFZIAIACAZOB-JKSBSHDWSA-N 0 0 441.488 -0.135 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)Nc2cc(C)cc(=O)n2N)c2ccccc2)C(=O)C1=O ZINC001183155047 1074242292 /nfs/dbraw/zinc/24/22/92/1074242292.db2.gz BURCRSCFVQDEHK-QGZVFWFLSA-N 0 0 440.460 -0.049 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NC2(CO)CCOCC2)c2ccccc2)C(=O)C1=O ZINC001183155469 1074242915 /nfs/dbraw/zinc/24/29/15/1074242915.db2.gz HIAAEGBZXGSEMO-MRXNPFEDSA-N 0 0 432.477 -0.214 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NC[C@H]2CC(=O)N(C)C2)c2ccccc2)C(=O)C1=O ZINC001183155480 1074242838 /nfs/dbraw/zinc/24/28/38/1074242838.db2.gz HUPZSFIFWUWSHU-RHSMWYFYSA-N 0 0 429.477 -0.278 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NC[C@@H]2CC(=O)N(C)C2)c2ccccc2)C(=O)C1=O ZINC001183155481 1074242959 /nfs/dbraw/zinc/24/29/59/1074242959.db2.gz HUPZSFIFWUWSHU-WMLDXEAASA-N 0 0 429.477 -0.278 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H]2CCCN(C)C2=O)c2ccccc2)C(=O)C1=O ZINC001183155497 1074242958 /nfs/dbraw/zinc/24/29/58/1074242958.db2.gz ICZLUWYVCZHKPW-JKSUJKDBSA-N 0 0 429.477 -0.135 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)Nc2c[nH]c(=O)nc2N)c2ccccc2)C(=O)C1=O ZINC001183155655 1074242872 /nfs/dbraw/zinc/24/28/72/1074242872.db2.gz XVGSYWJGZDXQMO-CYBMUJFWSA-N 0 0 427.421 -0.156 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H]2CCC(=O)NC2=O)c2ccccc2)C(=O)C1=O ZINC001183155708 1074242855 /nfs/dbraw/zinc/24/28/55/1074242855.db2.gz KBVNKQDKOWUDED-DZGCQCFKSA-N 0 0 429.433 -0.951 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@H]2CCC(=O)NC2=O)c2ccccc2)C(=O)C1=O ZINC001183155712 1074242955 /nfs/dbraw/zinc/24/29/55/1074242955.db2.gz KBVNKQDKOWUDED-UKRRQHHQSA-N 0 0 429.433 -0.951 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@H]2C=CS(=O)(=O)C2)c2ccccc2)C(=O)C1=O ZINC001183155733 1074242893 /nfs/dbraw/zinc/24/28/93/1074242893.db2.gz ZGBFKTFSSURTQF-HUUCEWRRSA-N 0 0 434.474 -0.445 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H]2C=CS(=O)(=O)C2)c2ccccc2)C(=O)C1=O ZINC001183155736 1074242887 /nfs/dbraw/zinc/24/28/87/1074242887.db2.gz ZGBFKTFSSURTQF-LSDHHAIUSA-N 0 0 434.474 -0.445 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@H](CCSC)C(N)=O)c2ccccc2)C(=O)C1=O ZINC001183155774 1074242801 /nfs/dbraw/zinc/24/28/01/1074242801.db2.gz LPQFEDZTDYUWNC-LSDHHAIUSA-N 0 0 449.533 -0.149 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NCCN2CCOC[C@@H]2C)c2ccccc2)C(=O)C1=O ZINC001183156046 1074242940 /nfs/dbraw/zinc/24/29/40/1074242940.db2.gz OPKOOGOQEXPEPK-FUHWJXTLSA-N 0 0 445.520 -0.035 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NCCN2CCOC[C@H]2C)c2ccccc2)C(=O)C1=O ZINC001183156047 1074242767 /nfs/dbraw/zinc/24/27/67/1074242767.db2.gz OPKOOGOQEXPEPK-SJLPKXTDSA-N 0 0 445.520 -0.035 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)Nc2cc(=O)n(C)c(=O)[nH]2)c2ccccc2)C(=O)C1=O ZINC001183156289 1074242792 /nfs/dbraw/zinc/24/27/92/1074242792.db2.gz RLPLEZKCQGUGQL-OAHLLOKOSA-N 0 0 442.432 -0.434 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NCCN2CC3(COC3)C2)c2ccccc2)C(=O)C1=O ZINC001183156822 1074242926 /nfs/dbraw/zinc/24/29/26/1074242926.db2.gz VZIIYYYEUBWLDO-QGZVFWFLSA-N 0 0 443.504 -0.424 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@H](CO)Cc2c[nH]cn2)c2ccccc2)C(=O)C1=O ZINC001183156878 1074243171 /nfs/dbraw/zinc/24/31/71/1074243171.db2.gz WQKJBHNJUIRXLO-IAGOWNOFSA-N 0 0 442.476 -0.429 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NC2CC(CO)(CO)C2)c2ccccc2)C(=O)C1=O ZINC001183157007 1074243127 /nfs/dbraw/zinc/24/31/27/1074243127.db2.gz ZVNALXAKPKIHSI-MRXNPFEDSA-N 0 0 432.477 -0.622 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NC[C@H]2COCCN2C)c2ccccc2)C(=O)C1=O ZINC001183157008 1074243119 /nfs/dbraw/zinc/24/31/19/1074243119.db2.gz ZWDWJSLHIJYUGQ-DLBZAZTESA-N 0 0 431.493 -0.425 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NC[C@@H]2COCCN2C)c2ccccc2)C(=O)C1=O ZINC001183157009 1074243124 /nfs/dbraw/zinc/24/31/24/1074243124.db2.gz ZWDWJSLHIJYUGQ-IAGOWNOFSA-N 0 0 431.493 -0.425 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)NC2CN(C3COC3)C2)c2ccccc2)C(=O)C1=O ZINC001183159429 1074243292 /nfs/dbraw/zinc/24/32/92/1074243292.db2.gz MAYJFKIKXOANKW-QGZVFWFLSA-N 0 0 429.477 -0.673 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)n2cc(N)c(=O)nc2N)c2ccccc2)C(=O)C1=O ZINC001183159450 1074243269 /nfs/dbraw/zinc/24/32/69/1074243269.db2.gz MIHTVYCCUIMWLT-CYBMUJFWSA-N 0 0 427.421 -0.568 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H]2CCCNC(=O)C2)c2ccccc2)C(=O)C1=O ZINC001183160690 1074243155 /nfs/dbraw/zinc/24/31/55/1074243155.db2.gz QVGXUQZYOJZNIJ-DOTOQJQBSA-N 0 0 429.477 -0.087 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@H]2CCCNC(=O)C2)c2ccccc2)C(=O)C1=O ZINC001183160692 1074243146 /nfs/dbraw/zinc/24/31/46/1074243146.db2.gz QVGXUQZYOJZNIJ-NVXWUHKLSA-N 0 0 429.477 -0.087 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@@H]2CNC(=O)C2(C)C)c2ccccc2)C(=O)C1=O ZINC001183162536 1074243304 /nfs/dbraw/zinc/24/33/04/1074243304.db2.gz WODSBGHXKNYXPG-HUUCEWRRSA-N 0 0 429.477 -0.231 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H]2CNC(=O)C2(C)C)c2ccccc2)C(=O)C1=O ZINC001183162552 1074243261 /nfs/dbraw/zinc/24/32/61/1074243261.db2.gz WODSBGHXKNYXPG-LSDHHAIUSA-N 0 0 429.477 -0.231 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C1COC(C(C)C)OC1)C(=O)NCC(N)=O ZINC001183175990 1074242423 /nfs/dbraw/zinc/24/24/23/1074242423.db2.gz SHORGIYAPUTNDX-AQZMIJIOSA-N 0 0 440.541 -0.245 20 0 IBADRN CC(C)C1OCC(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CO1 ZINC001183177114 1074242324 /nfs/dbraw/zinc/24/23/24/1074242324.db2.gz ZTMXCTGUXXLZLK-LUJDSPJVSA-N 0 0 444.550 -0.248 20 0 IBADRN CC(C)C1OCC(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CO1 ZINC001183177115 1074242302 /nfs/dbraw/zinc/24/23/02/1074242302.db2.gz ZTMXCTGUXXLZLK-PVXQGDRASA-N 0 0 444.550 -0.248 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H](O)C(F)(F)F)CC3)C1=O ZINC001183197875 1074242430 /nfs/dbraw/zinc/24/24/30/1074242430.db2.gz FHYVXCKHLUCONG-NHYWBVRUSA-N 0 0 427.445 -0.358 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H](O)C(F)(F)F)CC3)C1=O ZINC001183197876 1074242332 /nfs/dbraw/zinc/24/23/32/1074242332.db2.gz FHYVXCKHLUCONG-XHDPSFHLSA-N 0 0 427.445 -0.358 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H](O)C(F)(F)F)C(N)=O ZINC001183200202 1074243968 /nfs/dbraw/zinc/24/39/68/1074243968.db2.gz DECNVPGWQHYFPC-NHCYSSNCSA-N 0 0 444.476 -0.720 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2[C@@H]3CC[C@@H](C3)[C@H]2NC(=O)OC(C)(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001183204735 1074244114 /nfs/dbraw/zinc/24/41/14/1074244114.db2.gz PIEUGWRXBPDKKX-DRPLLECVSA-N 0 0 444.525 -0.114 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)COC(F)(F)F)C(N)=O ZINC001183208467 1074244005 /nfs/dbraw/zinc/24/40/05/1074244005.db2.gz LYTSGUSGKHIBQX-QWRGUYRKSA-N 0 0 444.476 -0.107 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@@H]4C[C@]4(CO)C3)CC2)cc1 ZINC001183218439 1074243162 /nfs/dbraw/zinc/24/31/62/1074243162.db2.gz KCWPDCKEXQOLAF-KBXCAEBGSA-N 0 0 443.547 -0.425 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@H]4C[C@@]4(CO)C3)CC2)cc1 ZINC001183218440 1074243134 /nfs/dbraw/zinc/24/31/34/1074243134.db2.gz KCWPDCKEXQOLAF-KDOFPFPSSA-N 0 0 443.547 -0.425 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@@H]3CO)CC2)cc1 ZINC001183218473 1074243723 /nfs/dbraw/zinc/24/37/23/1074243723.db2.gz LSTCUBCCKXRUJQ-CQSZACIVSA-N 0 0 431.536 -0.282 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)cc1 ZINC001183220784 1074243750 /nfs/dbraw/zinc/24/37/50/1074243750.db2.gz NJTBHMAXIGROEH-LBPRGKRZSA-N 0 0 449.493 -0.424 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C)cc1)C2 ZINC001183221908 1074243678 /nfs/dbraw/zinc/24/36/78/1074243678.db2.gz CXXHNRLAGNNZFC-DZGCQCFKSA-N 0 0 444.531 -0.149 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H](C)NS(=O)(=O)c1ccc(C)cc1)C2 ZINC001183221910 1074243763 /nfs/dbraw/zinc/24/37/63/1074243763.db2.gz CXXHNRLAGNNZFC-ZFWWWQNUSA-N 0 0 444.531 -0.149 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001183226504 1074243665 /nfs/dbraw/zinc/24/36/65/1074243665.db2.gz XRHIHXLIZLLJCQ-YJBOKZPZSA-N 0 0 446.529 -0.009 20 0 IBADRN NC(=O)Cn1cc(CN2CCN(c3ncc(I)cn3)CC2)nn1 ZINC001183227896 1074243715 /nfs/dbraw/zinc/24/37/15/1074243715.db2.gz ZEQVQIIQNWJJEH-UHFFFAOYSA-N 0 0 428.238 -0.520 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)CCn1cc(Br)ccc1=O)C2 ZINC001183235383 1074243637 /nfs/dbraw/zinc/24/36/37/1074243637.db2.gz HOZXVDDQHMGQJB-KRWDZBQOSA-N 0 0 425.283 -0.050 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)CCn1cc(Br)ccc1=O)C2 ZINC001183235384 1074243672 /nfs/dbraw/zinc/24/36/72/1074243672.db2.gz HOZXVDDQHMGQJB-QGZVFWFLSA-N 0 0 425.283 -0.050 20 0 IBADRN O=C(C[S@](=O)C(c1ccccc1)c1ccccc1)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001183236964 1074243730 /nfs/dbraw/zinc/24/37/30/1074243730.db2.gz VSRWTIDZNQPVSP-AMZSTKGJSA-N 0 0 435.498 -0.559 20 0 IBADRN O=C(C[S@](=O)C(c1ccccc1)c1ccccc1)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001183236965 1074243738 /nfs/dbraw/zinc/24/37/38/1074243738.db2.gz VSRWTIDZNQPVSP-BOXJFBHPSA-N 0 0 435.498 -0.559 20 0 IBADRN O=C(C[S@@](=O)C(c1ccccc1)c1ccccc1)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001183236966 1074243655 /nfs/dbraw/zinc/24/36/55/1074243655.db2.gz VSRWTIDZNQPVSP-RMFSTQDNSA-N 0 0 435.498 -0.559 20 0 IBADRN O=C(C[S@@](=O)C(c1ccccc1)c1ccccc1)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001183236967 1074243709 /nfs/dbraw/zinc/24/37/09/1074243709.db2.gz VSRWTIDZNQPVSP-SYNINBGMSA-N 0 0 435.498 -0.559 20 0 IBADRN CCOC(=O)C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001183252548 1074244151 /nfs/dbraw/zinc/24/41/51/1074244151.db2.gz QRIQSUFYXAVHKI-KKSFZXQISA-N 0 0 431.493 -0.057 20 0 IBADRN Cc1cccc(CC(=O)Nc2ncnc3c2[nH]c(=O)n3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1C ZINC001183258043 1074243947 /nfs/dbraw/zinc/24/39/47/1074243947.db2.gz AKFPTFSDVUMLJZ-BGIGGGFGSA-N 0 0 429.433 -0.059 20 0 IBADRN CCCCC(=O)NCC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001183264673 1074244073 /nfs/dbraw/zinc/24/40/73/1074244073.db2.gz DCPWSRAKMKSSKX-ARFHVFGLSA-N 0 0 429.539 -0.587 20 0 IBADRN CCCCC(=O)NCC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183264676 1074244140 /nfs/dbraw/zinc/24/41/40/1074244140.db2.gz DCPWSRAKMKSSKX-BZUAXINKSA-N 0 0 429.539 -0.587 20 0 IBADRN CCCCC(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001183268038 1074244065 /nfs/dbraw/zinc/24/40/65/1074244065.db2.gz XXBMUVSBQRQLDA-GJZGRUSLSA-N 0 0 425.530 -0.584 20 0 IBADRN CCCCC(=O)NCC(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001183269615 1074244054 /nfs/dbraw/zinc/24/40/54/1074244054.db2.gz HQCMUNGTPBFYSO-UHFFFAOYSA-N 0 0 426.904 -0.120 20 0 IBADRN O=C([C@@H](CO)C(F)(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183283867 1074244441 /nfs/dbraw/zinc/24/44/41/1074244441.db2.gz QBGHFTGKMNFXTA-FDYHWXHSSA-N 0 0 428.429 -0.722 20 0 IBADRN O=C([C@H](CO)C(F)(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183283875 1074244564 /nfs/dbraw/zinc/24/45/64/1074244564.db2.gz QBGHFTGKMNFXTA-FVCCEPFGSA-N 0 0 428.429 -0.722 20 0 IBADRN O=C([C@H](CO)C(F)(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001183283876 1074244468 /nfs/dbraw/zinc/24/44/68/1074244468.db2.gz QBGHFTGKMNFXTA-QNWHQSFQSA-N 0 0 428.429 -0.722 20 0 IBADRN O=C([C@@H](CO)C(F)(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001183283877 1074244530 /nfs/dbraw/zinc/24/45/30/1074244530.db2.gz QBGHFTGKMNFXTA-UMSGYPCISA-N 0 0 428.429 -0.722 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H](CO)C(F)(F)F)CC3)C1=O ZINC001183284582 1074244449 /nfs/dbraw/zinc/24/44/49/1074244449.db2.gz UZSDFVGUSXJCGW-BLLLJJGKSA-N 0 0 441.472 -0.110 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@H](CO)C(F)(F)F)CC3)C1=O ZINC001183284583 1074244536 /nfs/dbraw/zinc/24/45/36/1074244536.db2.gz UZSDFVGUSXJCGW-LRDDRELGSA-N 0 0 441.472 -0.110 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H](CO)C(F)(F)F)CC3)C1=O ZINC001183284584 1074244542 /nfs/dbraw/zinc/24/45/42/1074244542.db2.gz UZSDFVGUSXJCGW-MLGOLLRUSA-N 0 0 441.472 -0.110 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H](CO)C(F)(F)F)CC3)C1=O ZINC001183284585 1074244485 /nfs/dbraw/zinc/24/44/85/1074244485.db2.gz UZSDFVGUSXJCGW-WBMJQRKESA-N 0 0 441.472 -0.110 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@@H]2COc3ccc(Cl)cc3C2)c(=O)n1 ZINC001183301930 1074244131 /nfs/dbraw/zinc/24/41/31/1074244131.db2.gz YJUKGPQFSDSYCY-IOMAGCIFSA-N 0 0 436.852 -0.292 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@H]2COc3ccc(Cl)cc3C2)c(=O)n1 ZINC001183301931 1074244084 /nfs/dbraw/zinc/24/40/84/1074244084.db2.gz YJUKGPQFSDSYCY-JCGNGPNCSA-N 0 0 436.852 -0.292 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(=O)[C@H](C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)C1 ZINC001183308534 1074243954 /nfs/dbraw/zinc/24/39/54/1074243954.db2.gz XHZFMZPAUHCWOB-LLVKDONJSA-N 0 0 449.468 -0.273 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(=O)[C@@H](C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)C1 ZINC001183308535 1074244094 /nfs/dbraw/zinc/24/40/94/1074244094.db2.gz XHZFMZPAUHCWOB-NSHDSACASA-N 0 0 449.468 -0.273 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)NC(CO)(CO)CO)C1 ZINC001183320266 1074244521 /nfs/dbraw/zinc/24/45/21/1074244521.db2.gz ODHFFKJVPLGRNS-CYBMUJFWSA-N 0 0 433.502 -0.325 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2CCCC[C@@H]2NC(=O)c2ccccc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001183338711 1074244555 /nfs/dbraw/zinc/24/45/55/1074244555.db2.gz APPRCMDMVMTDNM-KLSCFVBYSA-N 0 0 436.505 -0.065 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)NCCS(C)(=O)=O ZINC001183413858 1074244973 /nfs/dbraw/zinc/24/49/73/1074244973.db2.gz IRJHSPZPFMWKAF-RVKKMQEKSA-N 0 0 439.559 -0.063 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)Nc1c(N)c(=O)c1=O ZINC001183414195 1074244880 /nfs/dbraw/zinc/24/48/80/1074244880.db2.gz MQWQYIASTNXJPZ-ACWOFJMJSA-N 0 0 428.470 -0.407 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CC[C@@H](CO)[C@H](O)C1 ZINC001183414963 1074245056 /nfs/dbraw/zinc/24/50/56/1074245056.db2.gz BPRCJQDVKTXAFD-BSEUJLJWSA-N 0 0 447.557 -0.022 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CC[C@H](CO)[C@@H](O)C1 ZINC001183414966 1074245014 /nfs/dbraw/zinc/24/50/14/1074245014.db2.gz BPRCJQDVKTXAFD-PNUKLQRASA-N 0 0 447.557 -0.022 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CC[C@H](CO)[C@H](O)C1 ZINC001183414967 1074244996 /nfs/dbraw/zinc/24/49/96/1074244996.db2.gz BPRCJQDVKTXAFD-WEAZEUSYSA-N 0 0 447.557 -0.022 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CC[C@@H](CO)[C@@H](O)C1 ZINC001183414968 1074244936 /nfs/dbraw/zinc/24/49/36/1074244936.db2.gz BPRCJQDVKTXAFD-ZODDCELDSA-N 0 0 447.557 -0.022 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CC[C@@H](O)[C@H](CO)C1 ZINC001183415419 1074244908 /nfs/dbraw/zinc/24/49/08/1074244908.db2.gz HKNAABNVNHNYCB-BSEUJLJWSA-N 0 0 447.557 -0.022 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CC[C@H](O)[C@@H](CO)C1 ZINC001183415421 1074245002 /nfs/dbraw/zinc/24/50/02/1074245002.db2.gz HKNAABNVNHNYCB-PNUKLQRASA-N 0 0 447.557 -0.022 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001183415423 1074244918 /nfs/dbraw/zinc/24/49/18/1074244918.db2.gz HKNAABNVNHNYCB-WEAZEUSYSA-N 0 0 447.557 -0.022 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CC[C@H](O)[C@H](CO)C1 ZINC001183415424 1074245020 /nfs/dbraw/zinc/24/50/20/1074245020.db2.gz HKNAABNVNHNYCB-ZODDCELDSA-N 0 0 447.557 -0.022 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H]1N2C(=O)[C@@H](NC(=O)Cc3ccccc3)[C@H]2SC1(C)C)[C@@H](C)O ZINC001183415582 1074244955 /nfs/dbraw/zinc/24/49/55/1074244955.db2.gz IEWKTWLOCFHBIE-TZWIDBTFSA-N 0 0 449.529 -0.185 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CCN(C(N)=O)CC1 ZINC001183415714 1074244948 /nfs/dbraw/zinc/24/49/48/1074244948.db2.gz JOGXEUDSSCOTID-JTDSTZFVSA-N 0 0 445.545 -0.001 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1C[C@H](O)C[C@@H]1CO ZINC001183415902 1074244963 /nfs/dbraw/zinc/24/49/63/1074244963.db2.gz NAQRLMHARZALCC-TWRCCJGTSA-N 0 0 433.530 -0.270 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001183416023 1074244897 /nfs/dbraw/zinc/24/48/97/1074244897.db2.gz NBRSWLVVVYENAI-BCEDRHLLSA-N 0 0 446.529 -0.511 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001183416024 1074245008 /nfs/dbraw/zinc/24/50/08/1074245008.db2.gz NBRSWLVVVYENAI-NCLAMWEWSA-N 0 0 446.529 -0.511 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N[C@H]1CCC(=O)NC1=O ZINC001183416184 1074245353 /nfs/dbraw/zinc/24/53/53/1074245353.db2.gz OAHQDZHQKYKFIC-UXFYUNLUSA-N 0 0 444.513 -0.302 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)N[C@@H]1CCC(=O)NC1=O ZINC001183416191 1074245468 /nfs/dbraw/zinc/24/54/68/1074245468.db2.gz OAHQDZHQKYKFIC-ZIKOISBGSA-N 0 0 444.513 -0.302 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)NC[C@@H]1CNC(=O)CO1 ZINC001183416424 1074245418 /nfs/dbraw/zinc/24/54/18/1074245418.db2.gz RXGXHGHVKZXGPL-DGELGTTISA-N 0 0 446.529 -0.593 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)NC[C@H]1CNC(=O)CO1 ZINC001183416425 1074245504 /nfs/dbraw/zinc/24/55/04/1074245504.db2.gz RXGXHGHVKZXGPL-PJKMLTEKSA-N 0 0 446.529 -0.593 20 0 IBADRN COCCOCCOc1ccc(C2=N[C@@](C)(C(=O)N[C@H](CO)C(N)=O)CS2)c(O)c1 ZINC001183417058 1074245435 /nfs/dbraw/zinc/24/54/35/1074245435.db2.gz BLVLQXSLXXSGQS-AUUYWEPGSA-N 0 0 441.506 -0.352 20 0 IBADRN COCCOCCOc1ccc(C2=N[C@@](C)(C(=O)N[C@@H](CO)C(N)=O)CS2)c(O)c1 ZINC001183417060 1074245385 /nfs/dbraw/zinc/24/53/85/1074245385.db2.gz BLVLQXSLXXSGQS-IFXJQAMLSA-N 0 0 441.506 -0.352 20 0 IBADRN CC1(C)S[C@@H]2[C@H](NC(=O)Cc3ccccc3)C(=O)N2[C@H]1C(=O)NC1CN(C2COC2)C1 ZINC001183417291 1074245283 /nfs/dbraw/zinc/24/52/83/1074245283.db2.gz MEMXJONSYIHEBI-LVCYWYKZSA-N 0 0 444.557 -0.025 20 0 IBADRN [NH3+]CCN(CCNC(=O)CNC(=O)c1nc(Cl)c2ccccc2c1[O-])N(O)N=O ZINC001183429833 1074245315 /nfs/dbraw/zinc/24/53/15/1074245315.db2.gz ZHQUTSHZHMZDAX-UHFFFAOYSA-N 0 0 425.833 -0.012 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H](Cc1c[nH]c3ncccc13)NC(C)=O)C2 ZINC001183451403 1074245459 /nfs/dbraw/zinc/24/54/59/1074245459.db2.gz DQSRURLRDSSIPZ-HZPDHXFCSA-N 0 0 448.501 -0.201 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H](Cc1c[nH]c3ncccc13)NC(C)=O)C2 ZINC001183451404 1074245338 /nfs/dbraw/zinc/24/53/38/1074245338.db2.gz DQSRURLRDSSIPZ-JKSUJKDBSA-N 0 0 448.501 -0.201 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ncccc12)C(=O)N1CC[C@]2(C1)CNC(=O)CN2C(C)=O ZINC001183451958 1074245372 /nfs/dbraw/zinc/24/53/72/1074245372.db2.gz LRJNOTYSXQLTQP-DYESRHJHSA-N 0 0 426.477 -0.441 20 0 IBADRN CC(=O)N[C@H](Cc1c[nH]c2ncccc12)C(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O ZINC001183451961 1074245509 /nfs/dbraw/zinc/24/55/09/1074245509.db2.gz LRJNOTYSXQLTQP-UTKZUKDTSA-N 0 0 426.477 -0.441 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)NCCC(=O)N3CCOCC3)=C([C@H]3CCCO3)S[C@H]12 ZINC001183454219 1074245484 /nfs/dbraw/zinc/24/54/84/1074245484.db2.gz GXJAGVQEGSSQRE-NTHKCUKCSA-N 0 0 425.507 -0.346 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)Nc3cncc(S(N)(=O)=O)c3)=C([C@H]3CCCO3)S[C@H]12 ZINC001183458432 1074245399 /nfs/dbraw/zinc/24/53/99/1074245399.db2.gz LAEKMHLIAIHTHP-JVRKEXCCSA-N 0 0 440.503 -0.030 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)N3CCC4(CC3)COCC(=O)N4)=C([C@H]3CCCO3)S[C@H]12 ZINC001183458450 1074245977 /nfs/dbraw/zinc/24/59/77/1074245977.db2.gz LRJAQWMKRKOCGA-NTHKCUKCSA-N 0 0 437.518 -0.203 20 0 IBADRN COC(=O)[C@@]12COC[C@@H]1CN(C(=O)C1=C([C@H]3CCCO3)S[C@@H]3[C@@H]([C@@H](C)O)C(=O)N13)C2 ZINC001183458465 1074245906 /nfs/dbraw/zinc/24/59/06/1074245906.db2.gz MGCLRJLLMRTQKB-BMDUNBNUSA-N 0 0 438.502 -0.063 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)N3CCC4(CC3)CNC(=O)CO4)=C([C@H]3CCCO3)S[C@H]12 ZINC001183459549 1074245970 /nfs/dbraw/zinc/24/59/70/1074245970.db2.gz MRZHQWAJEGATDN-NTHKCUKCSA-N 0 0 437.518 -0.203 20 0 IBADRN COC(=O)CN1CCN(C(=O)C2=C([C@H]3CCCO3)S[C@@H]3[C@@H]([C@@H](C)O)C(=O)N23)CC1 ZINC001183459888 1074245868 /nfs/dbraw/zinc/24/58/68/1074245868.db2.gz OCFRSTAJESRCFF-NTHKCUKCSA-N 0 0 425.507 -0.394 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C2=C([C@H]3CCCO3)S[C@@H]3[C@@H]([C@@H](C)O)C(=O)N23)CCN1C ZINC001183459892 1074245953 /nfs/dbraw/zinc/24/59/53/1074245953.db2.gz OGMKKHYOXCBQDD-QXIMGHOESA-N 0 0 425.507 -0.395 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C2=C([C@H]3CCCO3)S[C@@H]3[C@@H]([C@@H](C)O)C(=O)N23)CCN1C ZINC001183459893 1074245931 /nfs/dbraw/zinc/24/59/31/1074245931.db2.gz OGMKKHYOXCBQDD-YVHNNKAESA-N 0 0 425.507 -0.395 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CNC(=O)c2cc(Cl)ccn2)CC1 ZINC001183460130 1074245919 /nfs/dbraw/zinc/24/59/19/1074245919.db2.gz LWQCNALMQRJPSD-UHFFFAOYSA-N 0 0 437.931 -0.419 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)N3CCN(S(C)(=O)=O)CC3)=C([C@H]3CCCO3)S[C@H]12 ZINC001183460415 1074245859 /nfs/dbraw/zinc/24/58/59/1074245859.db2.gz SVUGSRLWQRARGF-YXPOGWMNSA-N 0 0 431.536 -0.607 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)N3CC(N4CCN(C)C(=O)C4)C3)=C([C@H]3CCCO3)S[C@H]12 ZINC001183460676 1074245876 /nfs/dbraw/zinc/24/58/76/1074245876.db2.gz VLPPERISNFFXPE-QLCCRKIDSA-N 0 0 436.534 -0.726 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)N3CCN(c4ncncn4)CC3)=C([C@H]3CCCO3)S[C@H]12 ZINC001183460763 1074245958 /nfs/dbraw/zinc/24/59/58/1074245958.db2.gz WEQGGQRYFKAIOU-ZIVKBKJYSA-N 0 0 432.506 -0.177 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)NC3CC4(C3)CS(=O)(=O)C4)=C([C@H]3CCCO3)S[C@H]12 ZINC001183461589 1074245944 /nfs/dbraw/zinc/24/59/44/1074245944.db2.gz CXAVTJRQKRHVAU-UANGTYAUSA-N 0 0 428.532 -0.018 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)N[C@H]3COCCC34OCCO4)=C([C@H]3CCCO3)S[C@H]12 ZINC001183461602 1074245898 /nfs/dbraw/zinc/24/58/98/1074245898.db2.gz DLAORGKHCFWKTL-HUJGMYITSA-N 0 0 426.491 -0.063 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)N[C@@H]3COCCC34OCCO4)=C([C@H]3CCCO3)S[C@H]12 ZINC001183461603 1074245914 /nfs/dbraw/zinc/24/59/14/1074245914.db2.gz DLAORGKHCFWKTL-QXIMGHOESA-N 0 0 426.491 -0.063 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)Nc3[nH]c(=O)nc4[nH]c(=O)[nH]c43)=C([C@H]3CCCO3)S[C@H]12 ZINC001183462485 1074246219 /nfs/dbraw/zinc/24/62/19/1074246219.db2.gz ZCSWEUMHDZAZEZ-JGYRMORNSA-N 0 0 434.434 -0.043 20 0 IBADRN COC(=O)CC[C@H](NC(=O)C1=C([C@H]2CCCO2)S[C@@H]2[C@@H]([C@@H](C)O)C(=O)N12)C(N)=O ZINC001183463340 1074246285 /nfs/dbraw/zinc/24/62/85/1074246285.db2.gz GYOIJWFFNNURFL-WAGYWBMHSA-N 0 0 427.479 -0.788 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N2C(C(=O)NCCN3CCCS3(=O)=O)=C([C@H]3CCCO3)S[C@H]12 ZINC001183464241 1074246469 /nfs/dbraw/zinc/24/64/69/1074246469.db2.gz RQJYJZHVLGVRLD-YXPOGWMNSA-N 0 0 431.536 -0.559 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2CC3(CC3)CN2C(=O)OC(C)(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001183479426 1074246342 /nfs/dbraw/zinc/24/63/42/1074246342.db2.gz DRQNUDXLRJFTES-PYCOOKQFSA-N 0 0 430.498 -0.264 20 0 IBADRN O=C(Cn1c2c(c(=O)nc1SCc1ccc(F)cc1)CCC2)NC(CO)(CO)CO ZINC001183491615 1074246412 /nfs/dbraw/zinc/24/64/12/1074246412.db2.gz WZPZNMSSOOISNO-UHFFFAOYSA-N 0 0 437.493 -0.005 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H](NC(=O)OC(C)(C)C)C2CCOCC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001183525469 1074246325 /nfs/dbraw/zinc/24/63/25/1074246325.db2.gz WKQKOZDNTJOMMG-NWYYGDLCSA-N 0 0 448.513 -0.733 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)CCN1C(=O)Cc2ccccc2C1=O ZINC001183525566 1074246364 /nfs/dbraw/zinc/24/63/64/1074246364.db2.gz BEDJHLGGRGVTAT-UHFFFAOYSA-N 0 0 439.432 -0.484 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CCN1C(=O)Cc3ccccc3C1=O)C[C@@H]2C(=O)N(C)C ZINC001183528206 1074246242 /nfs/dbraw/zinc/24/62/42/1074246242.db2.gz GYEQBERHVIXRIQ-GOSISDBHSA-N 0 0 440.500 -0.003 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CCN1C(=O)Cc3ccccc3C1=O)C[C@H]2C(=O)N(C)C ZINC001183528207 1074246258 /nfs/dbraw/zinc/24/62/58/1074246258.db2.gz GYEQBERHVIXRIQ-SFHVURJKSA-N 0 0 440.500 -0.003 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)CCN1C(=O)Cc3ccccc3C1=O)C2 ZINC001183529062 1074246268 /nfs/dbraw/zinc/24/62/68/1074246268.db2.gz ACSPAXGTJGOHBE-HNNXBMFYSA-N 0 0 434.470 -0.210 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)CCN1C(=O)Cc3ccccc3C1=O)C2 ZINC001183529063 1074246406 /nfs/dbraw/zinc/24/64/06/1074246406.db2.gz ACSPAXGTJGOHBE-OAHLLOKOSA-N 0 0 434.470 -0.210 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)CCN1C(=O)Cc2ccccc2C1=O ZINC001183531914 1074246996 /nfs/dbraw/zinc/24/69/96/1074246996.db2.gz WPZUAPAYGTZUHU-SFHVURJKSA-N 0 0 436.468 -0.069 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)C1=C[C@@](C)(O)C=C[C@@H]1O)C2 ZINC001183534858 1074247087 /nfs/dbraw/zinc/24/70/87/1074247087.db2.gz DQOXWYNMZVURKH-BPXKWBHBSA-N 0 0 432.521 -0.197 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)C1=C[C@](C)(O)C=C[C@H]1O)C2 ZINC001183534859 1074247221 /nfs/dbraw/zinc/24/72/21/1074247221.db2.gz DQOXWYNMZVURKH-DKZVUGQWSA-N 0 0 432.521 -0.197 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)C1=C[C@@](C)(O)C=C[C@H]1O)C2 ZINC001183534860 1074247127 /nfs/dbraw/zinc/24/71/27/1074247127.db2.gz DQOXWYNMZVURKH-NBCNXNJRSA-N 0 0 432.521 -0.197 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)C1=C[C@](C)(O)C=C[C@@H]1O)C2 ZINC001183534861 1074247538 /nfs/dbraw/zinc/24/75/38/1074247538.db2.gz DQOXWYNMZVURKH-XWFZLUIHSA-N 0 0 432.521 -0.197 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)C1=C[C@](C)(O)C=C[C@@H]1O)CC2 ZINC001183535502 1074247575 /nfs/dbraw/zinc/24/75/75/1074247575.db2.gz FQPOCXKJOVMHDY-HRAATJIYSA-N 0 0 426.477 -0.461 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)C1=C[C@@](C)(O)C=C[C@H]1O)CC2 ZINC001183535504 1074247492 /nfs/dbraw/zinc/24/74/92/1074247492.db2.gz FQPOCXKJOVMHDY-IERDGZPVSA-N 0 0 426.477 -0.461 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)C1=C[C@](C)(O)C=C[C@H]1O)CC2 ZINC001183535506 1074247610 /nfs/dbraw/zinc/24/76/10/1074247610.db2.gz FQPOCXKJOVMHDY-IIBYNOLFSA-N 0 0 426.477 -0.461 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)C1=C[C@@](C)(O)C=C[C@@H]1O)CC2 ZINC001183535509 1074247630 /nfs/dbraw/zinc/24/76/30/1074247630.db2.gz FQPOCXKJOVMHDY-KKSFZXQISA-N 0 0 426.477 -0.461 20 0 IBADRN C[C@@]1(O)C=C[C@H](O)C(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)=C1 ZINC001183538613 1074247570 /nfs/dbraw/zinc/24/75/70/1074247570.db2.gz MCWVBLHVPWSFBU-ITXZTEAGSA-N 0 0 447.513 -0.426 20 0 IBADRN C[C@]1(O)C=C[C@H](O)C(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)=C1 ZINC001183538622 1074247480 /nfs/dbraw/zinc/24/74/80/1074247480.db2.gz MCWVBLHVPWSFBU-SVZPGXKISA-N 0 0 447.513 -0.426 20 0 IBADRN C[C@]1(O)C=C[C@@H](O)C(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)=C1 ZINC001183538624 1074246298 /nfs/dbraw/zinc/24/62/98/1074246298.db2.gz MCWVBLHVPWSFBU-UTYXMITFSA-N 0 0 447.513 -0.426 20 0 IBADRN C[C@@]1(O)C=C[C@@H](O)C(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)=C1 ZINC001183538627 1074246386 /nfs/dbraw/zinc/24/63/86/1074246386.db2.gz MCWVBLHVPWSFBU-UVRZSJBRSA-N 0 0 447.513 -0.426 20 0 IBADRN C[C@@]1(O)C=C[C@H](O)C(C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)=C1 ZINC001183538720 1074246354 /nfs/dbraw/zinc/24/63/54/1074246354.db2.gz MZUNCRCHFQBWHB-GHTZIAJQSA-N 0 0 431.493 -0.243 20 0 IBADRN C[C@]1(O)C=C[C@@H](O)C(C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)=C1 ZINC001183538725 1074246442 /nfs/dbraw/zinc/24/64/42/1074246442.db2.gz MZUNCRCHFQBWHB-NQIIRXRSSA-N 0 0 431.493 -0.243 20 0 IBADRN C[C@]1(O)C=C[C@H](O)C(C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)=C1 ZINC001183538727 1074246394 /nfs/dbraw/zinc/24/63/94/1074246394.db2.gz MZUNCRCHFQBWHB-RXVVDRJESA-N 0 0 431.493 -0.243 20 0 IBADRN C[C@@]1(O)C=C[C@@H](O)C(C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)=C1 ZINC001183538729 1074246375 /nfs/dbraw/zinc/24/63/75/1074246375.db2.gz MZUNCRCHFQBWHB-WIYYLYMNSA-N 0 0 431.493 -0.243 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)C1=C[C@@](C)(O)C=C[C@H]1O)C2 ZINC001183539186 1074246764 /nfs/dbraw/zinc/24/67/64/1074246764.db2.gz NZOYKHDANWZDPC-BVYCBKJFSA-N 0 0 426.473 -0.144 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)C1=C[C@](C)(O)C=C[C@H]1O)C2 ZINC001183539187 1074246747 /nfs/dbraw/zinc/24/67/47/1074246747.db2.gz NZOYKHDANWZDPC-STZQEDGTSA-N 0 0 426.473 -0.144 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)C1=C[C@](C)(O)C=C[C@@H]1O)C2 ZINC001183539188 1074246780 /nfs/dbraw/zinc/24/67/80/1074246780.db2.gz NZOYKHDANWZDPC-VLCRHTCISA-N 0 0 426.473 -0.144 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)C1=C[C@@](C)(O)C=C[C@@H]1O)C2 ZINC001183539189 1074246739 /nfs/dbraw/zinc/24/67/39/1074246739.db2.gz NZOYKHDANWZDPC-YUXAGFNASA-N 0 0 426.473 -0.144 20 0 IBADRN C[C@]1(O)C=C[C@H](O)C(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)=C1 ZINC001183542090 1074246756 /nfs/dbraw/zinc/24/67/56/1074246756.db2.gz RCXDPGZVTOFRBC-HZMBPMFUSA-N 0 0 437.883 -0.819 20 0 IBADRN C[C@@]1(O)C=C[C@H](O)C(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)=C1 ZINC001183542091 1074246736 /nfs/dbraw/zinc/24/67/36/1074246736.db2.gz RCXDPGZVTOFRBC-IINYFYTJSA-N 0 0 437.883 -0.819 20 0 IBADRN C[C@@]1(O)C=C[C@@H](O)C(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)=C1 ZINC001183542092 1074246742 /nfs/dbraw/zinc/24/67/42/1074246742.db2.gz RCXDPGZVTOFRBC-QMTHXVAHSA-N 0 0 437.883 -0.819 20 0 IBADRN C[C@]1(O)C=C[C@@H](O)C(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)=C1 ZINC001183542093 1074246708 /nfs/dbraw/zinc/24/67/08/1074246708.db2.gz RCXDPGZVTOFRBC-YGRLFVJLSA-N 0 0 437.883 -0.819 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)C3=C[C@@](C)(O)C=C[C@@H]3O)ccc12 ZINC001183546262 1074246776 /nfs/dbraw/zinc/24/67/76/1074246776.db2.gz HGPVSFVFULXSLW-AOMKIAJQSA-N 0 0 441.440 -0.120 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)C3=C[C@](C)(O)C=C[C@@H]3O)ccc12 ZINC001183546263 1074246732 /nfs/dbraw/zinc/24/67/32/1074246732.db2.gz HGPVSFVFULXSLW-KSFYIVLOSA-N 0 0 441.440 -0.120 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)C3=C[C@](C)(O)C=C[C@H]3O)ccc12 ZINC001183546664 1074246720 /nfs/dbraw/zinc/24/67/20/1074246720.db2.gz HGPVSFVFULXSLW-OPAMFIHVSA-N 0 0 441.440 -0.120 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)C3=C[C@@](C)(O)C=C[C@H]3O)ccc12 ZINC001183546665 1074246759 /nfs/dbraw/zinc/24/67/59/1074246759.db2.gz HGPVSFVFULXSLW-ZHRRBRCNSA-N 0 0 441.440 -0.120 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=C[C@@](C)(O)C=C[C@@H]1O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001183549123 1074247103 /nfs/dbraw/zinc/24/71/03/1074247103.db2.gz YJZPCNSXFMGQDV-CLWJZODNSA-N 0 0 439.486 -0.221 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=C[C@](C)(O)C=C[C@H]1O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001183549127 1074247056 /nfs/dbraw/zinc/24/70/56/1074247056.db2.gz YJZPCNSXFMGQDV-PDOICOKGSA-N 0 0 439.486 -0.221 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=C[C@](C)(O)C=C[C@@H]1O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001183549128 1074247149 /nfs/dbraw/zinc/24/71/49/1074247149.db2.gz YJZPCNSXFMGQDV-PFRQMTDMSA-N 0 0 439.486 -0.221 20 0 IBADRN CCOC(=O)[C@H](NC(=O)C1=C[C@@](C)(O)C=C[C@H]1O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001183549129 1074247144 /nfs/dbraw/zinc/24/71/44/1074247144.db2.gz YJZPCNSXFMGQDV-WWNBULGVSA-N 0 0 439.486 -0.221 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)C2=C[C@@](C)(O)C=C[C@H]2O)CC1 ZINC001183552568 1074247188 /nfs/dbraw/zinc/24/71/88/1074247188.db2.gz RLFBLQYODSVIBB-BEFAXECRSA-N 0 0 445.538 -0.407 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)C2=C[C@](C)(O)C=C[C@H]2O)CC1 ZINC001183552569 1074247181 /nfs/dbraw/zinc/24/71/81/1074247181.db2.gz RLFBLQYODSVIBB-DNVCBOLYSA-N 0 0 445.538 -0.407 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)C2=C[C@](C)(O)C=C[C@@H]2O)CC1 ZINC001183552570 1074247156 /nfs/dbraw/zinc/24/71/56/1074247156.db2.gz RLFBLQYODSVIBB-HNAYVOBHSA-N 0 0 445.538 -0.407 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)C2=C[C@@](C)(O)C=C[C@@H]2O)CC1 ZINC001183552571 1074247044 /nfs/dbraw/zinc/24/70/44/1074247044.db2.gz RLFBLQYODSVIBB-KXBFYZLASA-N 0 0 445.538 -0.407 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)C34CCCN3CCC4)C[C@@H]21)N1CCOCC1 ZINC001183556622 1074247140 /nfs/dbraw/zinc/24/71/40/1074247140.db2.gz JROUZXVFCDEMCV-BRWVUGGUSA-N 0 0 425.551 -0.265 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)C34CCCN3CCC4)C[C@@H]21)N1CCOCC1 ZINC001183556625 1074247030 /nfs/dbraw/zinc/24/70/30/1074247030.db2.gz JROUZXVFCDEMCV-GVDBMIGSSA-N 0 0 425.551 -0.265 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H]1C=CN=C2N=CC=C21)C(=O)NCC(N)=O ZINC001183587294 1074247580 /nfs/dbraw/zinc/24/75/80/1074247580.db2.gz GDWNMRXZLPNYQE-JYJNAYRXSA-N 0 0 428.493 -0.337 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H]1C=CN=C2N=CC=C21)C(=O)NCC(N)=O ZINC001183587296 1074247502 /nfs/dbraw/zinc/24/75/02/1074247502.db2.gz GDWNMRXZLPNYQE-PMPSAXMXSA-N 0 0 428.493 -0.337 20 0 IBADRN O=C([C@H]1C=CN=C2N=CC=C21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001183591066 1074247605 /nfs/dbraw/zinc/24/76/05/1074247605.db2.gz SIYAMWNAKMIWOL-MWDXBVQZSA-N 0 0 432.502 -0.340 20 0 IBADRN O=C([C@@H]1C=CN=C2N=CC=C21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183591067 1074247582 /nfs/dbraw/zinc/24/75/82/1074247582.db2.gz SIYAMWNAKMIWOL-QBPKDAKJSA-N 0 0 432.502 -0.340 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=O)[C@H]3C=CN=C4N=CC=C43)C[C@@H]21)N1CCOCC1 ZINC001183591068 1074247533 /nfs/dbraw/zinc/24/75/33/1074247533.db2.gz SIYAMWNAKMIWOL-YLFCFFPRSA-N 0 0 432.502 -0.340 20 0 IBADRN O=C([C@@H]1C=CN=C2N=CC=C21)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001183591069 1074247472 /nfs/dbraw/zinc/24/74/72/1074247472.db2.gz SIYAMWNAKMIWOL-YYIAUSFCSA-N 0 0 432.502 -0.340 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)C1(C)CCS(=O)(=O)CC1)CC2 ZINC001183630730 1074247928 /nfs/dbraw/zinc/24/79/28/1074247928.db2.gz QHHIAJNDCZZKCS-UHFFFAOYSA-N 0 0 437.566 -0.093 20 0 IBADRN Cc1ccc([C@@H](O)C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)cc1 ZINC001183646738 1074247588 /nfs/dbraw/zinc/24/75/88/1074247588.db2.gz LNKRHDPDCAWAIN-UAYHHJIBSA-N 0 0 442.476 -0.330 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1C[C@H]2OCC[C@H]2[C@H](C(=O)NCc2cccnc2)C1 ZINC001183653193 1074247520 /nfs/dbraw/zinc/24/75/20/1074247520.db2.gz BMZXLTAPAGBYBU-SQWLQELKSA-N 0 0 431.424 -0.337 20 0 IBADRN O=C(COCc1nnn2c1CN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)CCC2)N1CCCC1 ZINC001183653240 1074247870 /nfs/dbraw/zinc/24/78/70/1074247870.db2.gz DDXXNDBYGBCJEX-UHFFFAOYSA-N 0 0 449.443 -0.749 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)Cn2cc(F)c(=O)[nH]c2=O)C3)nn1 ZINC001183653491 1074247947 /nfs/dbraw/zinc/24/79/47/1074247947.db2.gz FOLDMBBNLWAFCI-JLTOFOAXSA-N 0 0 448.455 -0.067 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)Cn2cc(F)c(=O)[nH]c2=O)C3)nn1 ZINC001183653492 1074247960 /nfs/dbraw/zinc/24/79/60/1074247960.db2.gz FOLDMBBNLWAFCI-VBKZILBWSA-N 0 0 448.455 -0.067 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)Cn2cc(F)c(=O)[nH]c2=O)C3)nn1 ZINC001183653493 1074247893 /nfs/dbraw/zinc/24/78/93/1074247893.db2.gz FOLDMBBNLWAFCI-VLIAUNLRSA-N 0 0 448.455 -0.067 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)Cn2cc(F)c(=O)[nH]c2=O)C3)nn1 ZINC001183653494 1074247987 /nfs/dbraw/zinc/24/79/87/1074247987.db2.gz FOLDMBBNLWAFCI-XOBRGWDASA-N 0 0 448.455 -0.067 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1C[C@@H](NC(=O)c2cccnc2)[C@H]2OCCC[C@H]2C1 ZINC001183655426 1074248401 /nfs/dbraw/zinc/24/84/01/1074248401.db2.gz AAGSYQAJNJSHOE-LXZKKBNFSA-N 0 0 431.424 -0.081 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCO[C@@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001183655707 1074248922 /nfs/dbraw/zinc/24/89/22/1074248922.db2.gz BOGCQIRBWLIMHO-HZUKXOBISA-N 0 0 432.412 -0.686 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCO[C@@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1 ZINC001183655710 1074248945 /nfs/dbraw/zinc/24/89/45/1074248945.db2.gz BOGCQIRBWLIMHO-PEYYIBSZSA-N 0 0 432.412 -0.686 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCO[C@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001183655713 1074248936 /nfs/dbraw/zinc/24/89/36/1074248936.db2.gz BOGCQIRBWLIMHO-PJODQICGSA-N 0 0 432.412 -0.686 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCO[C@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1 ZINC001183655714 1074248818 /nfs/dbraw/zinc/24/88/18/1074248818.db2.gz BOGCQIRBWLIMHO-SGIREYDYSA-N 0 0 432.412 -0.686 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=O)Cn4cc(F)c(=O)[nH]c4=O)CC[C@@H]32)cn1 ZINC001183655816 1074248956 /nfs/dbraw/zinc/24/89/56/1074248956.db2.gz CMZQHLLRXZIUAO-KCQAQPDRSA-N 0 0 434.428 -0.885 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C1)CNC(=O)CN2C(=O)Cn1cc(F)c(=O)[nH]c1=O ZINC001183655851 1074248494 /nfs/dbraw/zinc/24/84/94/1074248494.db2.gz WNDUZJROEZPQAX-GOSISDBHSA-N 0 0 425.417 -0.574 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(=O)Cn1cc(F)c(=O)[nH]c1=O ZINC001183655852 1074248528 /nfs/dbraw/zinc/24/85/28/1074248528.db2.gz WNDUZJROEZPQAX-SFHVURJKSA-N 0 0 425.417 -0.574 20 0 IBADRN NC(=O)c1cc(I)cnc1NC(=O)Cn1cc(F)c(=O)[nH]c1=O ZINC001183656084 1074248772 /nfs/dbraw/zinc/24/87/72/1074248772.db2.gz ZJFNELBZAAMXDY-UHFFFAOYSA-N 0 0 433.137 -0.175 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CC[C@H]2C[C@H](C(=O)NCCc3ccccn3)O[C@H]2C1 ZINC001183656219 1074248965 /nfs/dbraw/zinc/24/89/65/1074248965.db2.gz FSODQBVSJSLHLD-XKQJLSEDSA-N 0 0 445.451 -0.152 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CC[C@H]2OCC[C@@]2(C(=O)NCc2ccccn2)C1 ZINC001183656235 1074248910 /nfs/dbraw/zinc/24/89/10/1074248910.db2.gz FSYLIZGJTVYDLN-FOIQADDNSA-N 0 0 431.424 -0.193 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2 ZINC001183656277 1074248864 /nfs/dbraw/zinc/24/88/64/1074248864.db2.gz GLWAGCAPKTUPIR-UHFFFAOYSA-N 0 0 432.412 -0.588 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001183656279 1074248881 /nfs/dbraw/zinc/24/88/81/1074248881.db2.gz GRKBFEDXQMOQDY-GFCCVEGCSA-N 0 0 442.411 -0.837 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001183656280 1074248973 /nfs/dbraw/zinc/24/89/73/1074248973.db2.gz GRKBFEDXQMOQDY-LBPRGKRZSA-N 0 0 442.411 -0.837 20 0 IBADRN C[C@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)CC2 ZINC001183656378 1074248996 /nfs/dbraw/zinc/24/89/96/1074248996.db2.gz IHXSIWBVIVMTOT-GFCCVEGCSA-N 0 0 434.428 -0.365 20 0 IBADRN C[C@@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)CC2 ZINC001183656381 1074248989 /nfs/dbraw/zinc/24/89/89/1074248989.db2.gz IHXSIWBVIVMTOT-LBPRGKRZSA-N 0 0 434.428 -0.365 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1C[C@@H]2COC[C@]2(C(=O)NCCc2ccccc2)C1 ZINC001183656729 1074248897 /nfs/dbraw/zinc/24/88/97/1074248897.db2.gz JXDDGKIFPGKNOT-QVKFZJNVSA-N 0 0 430.436 -0.078 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCC2(CC1)CN(Cc1cccnc1)C(=O)CO2 ZINC001183656746 1074248795 /nfs/dbraw/zinc/24/87/95/1074248795.db2.gz KHEZYJPTMDLSQV-UHFFFAOYSA-N 0 0 431.424 -0.097 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1C[C@@H]2COC[C@]2(C(=O)NCCc2cccs2)C1 ZINC001183656798 1074248982 /nfs/dbraw/zinc/24/89/82/1074248982.db2.gz KWEKHENQJAEJDC-CWTRNNRKSA-N 0 0 436.465 -0.017 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)n1c([C@H]2COCCN2)nccc1=NCc1ccco1 ZINC001183656844 1074248804 /nfs/dbraw/zinc/24/88/04/1074248804.db2.gz LIDREKLIZVSPLH-MGONWTETSA-N 0 0 430.396 -0.020 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)n1c([C@@H]2COCCN2)nccc1=NCc1ccco1 ZINC001183656846 1074248834 /nfs/dbraw/zinc/24/88/34/1074248834.db2.gz LIDREKLIZVSPLH-UJLHSTPFSA-N 0 0 430.396 -0.020 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)CC2 ZINC001183656861 1074248851 /nfs/dbraw/zinc/24/88/51/1074248851.db2.gz LRGBQFJYKZOAKK-UHFFFAOYSA-N 0 0 444.427 -0.967 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)Cn3cc(F)c(=O)[nH]c3=O)CC1)C(=O)N2 ZINC001183656908 1074248785 /nfs/dbraw/zinc/24/87/85/1074248785.db2.gz MLSIGXPCJFUNOE-UHFFFAOYSA-N 0 0 429.408 -0.040 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CC[C@H]2CO[C@H](CNC(=O)c3ccncc3)[C@H]2C1 ZINC001183657218 1074249488 /nfs/dbraw/zinc/24/94/88/1074249488.db2.gz MSSDEHGDTSAIEK-OFQRWUPVSA-N 0 0 431.424 -0.224 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1C[C@@H]2COC[C@H](C(=O)N3CCC(F)CC3)[C@@H]2C1 ZINC001183658096 1074249429 /nfs/dbraw/zinc/24/94/29/1074249429.db2.gz TXUQNYMOGSQOEE-BNOWGMLFSA-N 0 0 426.420 -0.231 20 0 IBADRN CN(Cc1ccccn1)C(=O)[C@@H]1C[C@@H]2[C@@H](CCN2C(=O)Cn2cc(F)c(=O)[nH]c2=O)O1 ZINC001183658105 1074249512 /nfs/dbraw/zinc/24/95/12/1074249512.db2.gz UDTSFEZIMZEWKU-OAGGEKHMSA-N 0 0 431.424 -0.100 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)CCC2 ZINC001183658551 1074249283 /nfs/dbraw/zinc/24/92/83/1074249283.db2.gz VOCMDAGWEXBYPW-UHFFFAOYSA-N 0 0 448.455 -0.261 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)C2 ZINC001183658558 1074249478 /nfs/dbraw/zinc/24/94/78/1074249478.db2.gz VYAXBAQUJWKDTK-FQEVSTJZSA-N 0 0 444.423 -0.651 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)C2 ZINC001183658559 1074249469 /nfs/dbraw/zinc/24/94/69/1074249469.db2.gz VYAXBAQUJWKDTK-HXUWFJFHSA-N 0 0 444.423 -0.651 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCOC[C@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001183658724 1074249336 /nfs/dbraw/zinc/24/93/36/1074249336.db2.gz XGZQYQAXLMWGHA-GOSISDBHSA-N 0 0 438.437 -0.190 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCOC[C@@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001183658725 1074249504 /nfs/dbraw/zinc/24/95/04/1074249504.db2.gz XGZQYQAXLMWGHA-SFHVURJKSA-N 0 0 438.437 -0.190 20 0 IBADRN Cn1nnc2c1[C@@H](COCc1cccnc1)CN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)C2 ZINC001183658755 1074249265 /nfs/dbraw/zinc/24/92/65/1074249265.db2.gz XOKVWNLPIJYEIZ-CYBMUJFWSA-N 0 0 429.412 -0.046 20 0 IBADRN Cn1nnc2c1[C@H](COCc1cccnc1)CN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)C2 ZINC001183658802 1074249455 /nfs/dbraw/zinc/24/94/55/1074249455.db2.gz XOKVWNLPIJYEIZ-ZDUSSCGKSA-N 0 0 429.412 -0.046 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCC[C@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001183658959 1074249356 /nfs/dbraw/zinc/24/93/56/1074249356.db2.gz YXVTXKICOYQGFM-FQEVSTJZSA-N 0 0 444.423 -0.063 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N1CCC[C@@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001183658963 1074249495 /nfs/dbraw/zinc/24/94/95/1074249495.db2.gz YXVTXKICOYQGFM-HXUWFJFHSA-N 0 0 444.423 -0.063 20 0 IBADRN Cn1cccc1C(=O)NCc1cn2c(n1)CN(C(=O)Cn1cc(F)c(=O)[nH]c1=O)CC2 ZINC001183659006 1074249445 /nfs/dbraw/zinc/24/94/45/1074249445.db2.gz ZJVRGZOSEBMBRG-UHFFFAOYSA-N 0 0 429.412 -0.405 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)Cn2cc(F)c(=O)[nH]c2=O)cc1 ZINC001183660182 1074249409 /nfs/dbraw/zinc/24/94/09/1074249409.db2.gz ABOSUSKEXCTCHU-UHFFFAOYSA-N 0 0 432.456 -0.063 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)Cn2cc(F)c(=O)[nH]c2=O)CCO1 ZINC001183660495 1074249247 /nfs/dbraw/zinc/24/92/47/1074249247.db2.gz XOFXGHXLEGUPRY-AWEZNQCLSA-N 0 0 446.395 -0.093 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)Cn2cc(F)c(=O)[nH]c2=O)CCO1 ZINC001183660496 1074249521 /nfs/dbraw/zinc/24/95/21/1074249521.db2.gz XOFXGHXLEGUPRY-CQSZACIVSA-N 0 0 446.395 -0.093 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)Cn4cc(F)c(=O)[nH]c4=O)C3)C2=O)cc1 ZINC001183660735 1074249304 /nfs/dbraw/zinc/24/93/04/1074249304.db2.gz ZGLCKPGMHDNCFC-FQEVSTJZSA-N 0 0 445.407 -0.180 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)Cn4cc(F)c(=O)[nH]c4=O)C3)C2=O)cc1 ZINC001183660736 1074249774 /nfs/dbraw/zinc/24/97/74/1074249774.db2.gz ZGLCKPGMHDNCFC-HXUWFJFHSA-N 0 0 445.407 -0.180 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N[C@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001183661642 1074249718 /nfs/dbraw/zinc/24/97/18/1074249718.db2.gz DQNLCKBHIREVSX-AWEZNQCLSA-N 0 0 428.424 -0.180 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)N[C@@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001183661643 1074249859 /nfs/dbraw/zinc/24/98/59/1074249859.db2.gz DQNLCKBHIREVSX-CQSZACIVSA-N 0 0 428.424 -0.180 20 0 IBADRN CC(C)(C)OC(=O)CCOCCOCCOCCNC(=O)Cn1cc(F)c(=O)[nH]c1=O ZINC001183664509 1074249812 /nfs/dbraw/zinc/24/98/12/1074249812.db2.gz OTTBEKYXWSWJPO-UHFFFAOYSA-N 0 0 447.460 -0.014 20 0 IBADRN O=C(Cn1cc(F)c(=O)[nH]c1=O)NCc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001183664630 1074249878 /nfs/dbraw/zinc/24/98/78/1074249878.db2.gz PRYFQYJFAPMXPH-UHFFFAOYSA-N 0 0 426.426 -0.575 20 0 IBADRN CC(N)=CC(=O)OCCCCOC(=O)/C=C(/C)NC(=O)Cn1cc(F)c(=O)[nH]c1=O ZINC001183665404 1074247907 /nfs/dbraw/zinc/24/79/07/1074247907.db2.gz ZDPBIHRYVMJTMZ-OXAWKVHCSA-N 0 0 426.401 -0.163 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)Cn1cc(F)c(=O)[nH]c1=O)C(N)=O ZINC001183666143 1074248029 /nfs/dbraw/zinc/24/80/29/1074248029.db2.gz OSSMEFOFCUFSEW-ZBFHGGJFSA-N 0 0 449.439 -0.853 20 0 IBADRN CC[C@H]1CCN(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)C1=O ZINC001183667702 1074248064 /nfs/dbraw/zinc/24/80/64/1074248064.db2.gz NYHVAJMXARZEER-MWDXBVQZSA-N 0 0 441.550 -0.635 20 0 IBADRN CC[C@@H]1CCN(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)C1=O ZINC001183667703 1074247974 /nfs/dbraw/zinc/24/79/74/1074247974.db2.gz NYHVAJMXARZEER-QBPKDAKJSA-N 0 0 441.550 -0.635 20 0 IBADRN CC[C@H]1CCN(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)C1=O ZINC001183667704 1074248058 /nfs/dbraw/zinc/24/80/58/1074248058.db2.gz NYHVAJMXARZEER-YLFCFFPRSA-N 0 0 441.550 -0.635 20 0 IBADRN CC[C@@H]1CCN(CC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)C1=O ZINC001183667705 1074248111 /nfs/dbraw/zinc/24/81/11/1074248111.db2.gz NYHVAJMXARZEER-YYIAUSFCSA-N 0 0 441.550 -0.635 20 0 IBADRN CC[C@@H]1CCN(CC(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)C1=O ZINC001183669572 1074247856 /nfs/dbraw/zinc/24/78/56/1074247856.db2.gz AXPIPKJXTXBNCA-MRVPVSSYSA-N 0 0 438.915 -0.168 20 0 IBADRN CC[C@H]1CCN(CC(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)C1=O ZINC001183669573 1074248100 /nfs/dbraw/zinc/24/81/00/1074248100.db2.gz AXPIPKJXTXBNCA-QMMMGPOBSA-N 0 0 438.915 -0.168 20 0 IBADRN CC[C@H]1CCN(CC(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C1=O ZINC001183670014 1074248118 /nfs/dbraw/zinc/24/81/18/1074248118.db2.gz QBFJQPNYQCKRLY-JYJNAYRXSA-N 0 0 437.541 -0.632 20 0 IBADRN CC[C@@H]1CCN(CC(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C1=O ZINC001183670016 1074248380 /nfs/dbraw/zinc/24/83/80/1074248380.db2.gz QBFJQPNYQCKRLY-PMPSAXMXSA-N 0 0 437.541 -0.632 20 0 IBADRN CC[C@@H]1CCN(CC(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)C1=O ZINC001183678502 1074248350 /nfs/dbraw/zinc/24/83/50/1074248350.db2.gz ZACOBIUUPOAYPI-AMSKTCKZSA-N 0 0 440.518 -0.224 20 0 IBADRN CC[C@@H]1CCN(CC(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)C1=O ZINC001183678504 1074248424 /nfs/dbraw/zinc/24/84/24/1074248424.db2.gz ZACOBIUUPOAYPI-CGKWLKPUSA-N 0 0 440.518 -0.224 20 0 IBADRN CC[C@@H]1CCN(CC(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)C1=O ZINC001183678505 1074248538 /nfs/dbraw/zinc/24/85/38/1074248538.db2.gz ZACOBIUUPOAYPI-FQFGYDHGSA-N 0 0 440.518 -0.224 20 0 IBADRN CC[C@@H]1CCN(CC(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)C1=O ZINC001183678511 1074248549 /nfs/dbraw/zinc/24/85/49/1074248549.db2.gz ZACOBIUUPOAYPI-QPQRAMJASA-N 0 0 440.518 -0.224 20 0 IBADRN CC1(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)CCS(=O)(=O)CC1 ZINC001183681173 1074248438 /nfs/dbraw/zinc/24/84/38/1074248438.db2.gz FXTZYMHTGKJCAD-KBPBESRZSA-N 0 0 425.511 -0.872 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)Cc1ccc(CO)cc1)C(=O)NCC(N)=O ZINC001183690859 1074248510 /nfs/dbraw/zinc/24/85/10/1074248510.db2.gz NIJWOWULJDSARW-ROUUACIJSA-N 0 0 432.521 -0.155 20 0 IBADRN O=C(Cc1ccc(CO)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183692297 1074248371 /nfs/dbraw/zinc/24/83/71/1074248371.db2.gz ZMBCCWNYSFJWNE-GUDVDZBRSA-N 0 0 436.530 -0.158 20 0 IBADRN O=C(Cc1ccc(CO)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001183692299 1074248430 /nfs/dbraw/zinc/24/84/30/1074248430.db2.gz ZMBCCWNYSFJWNE-IPMKNSEASA-N 0 0 436.530 -0.158 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)Cc2ccc(CO)cc2)[C@H]1O ZINC001183695875 1074248365 /nfs/dbraw/zinc/24/83/65/1074248365.db2.gz VIMMOLYIWOHFMF-AUSMBZDKSA-N 0 0 442.476 -0.637 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H](NC(C)=O)[C@@H](C)O)CC2 ZINC001183697126 1074248470 /nfs/dbraw/zinc/24/84/70/1074248470.db2.gz BAEGAUFBEYRSJL-KMFMINBZSA-N 0 0 431.555 -0.306 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(C(=O)[C@@H](NC(C)=O)[C@@H](C)O)CC2 ZINC001183697156 1074248414 /nfs/dbraw/zinc/24/84/14/1074248414.db2.gz BAEGAUFBEYRSJL-UNEWFSDZSA-N 0 0 431.555 -0.306 20 0 IBADRN CC(=O)N[C@H](C(=O)N1C[C@@H](c2ccccc2)[C@@]2(CCN(CC(=O)N(C)C)C2=O)C1)[C@@H](C)O ZINC001183697541 1074248389 /nfs/dbraw/zinc/24/83/89/1074248389.db2.gz HPLFEUAMZDGWEN-JXLZZSSXSA-N 0 0 444.532 -0.195 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1)[C@@H](C)O ZINC001183698034 1074248540 /nfs/dbraw/zinc/24/85/40/1074248540.db2.gz LFLRKTKOMQMICW-XIKOKIGWSA-N 0 0 431.449 -0.616 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1)[C@@H](C)O ZINC001183699682 1074251889 /nfs/dbraw/zinc/25/18/89/1074251889.db2.gz YOXONRVBNVFGSS-QSWMPLQWSA-N 0 0 432.521 -0.121 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1)[C@@H](C)O ZINC001183699695 1074251940 /nfs/dbraw/zinc/25/19/40/1074251940.db2.gz YQPHUWMMPYJPPT-NWUDTAHTSA-N 0 0 432.521 -0.121 20 0 IBADRN CC(=O)N[C@H](C(=O)NCC(=O)NCC(=O)Nc1ccc2c(C)cc(=O)oc2c1)[C@@H](C)O ZINC001183701598 1074249871 /nfs/dbraw/zinc/24/98/71/1074249871.db2.gz AGHJXZPLDMKAKY-WYRIXSBYSA-N 0 0 432.433 -0.842 20 0 IBADRN CC(=O)N[C@H](C(=O)NCc1cc(=O)n2c(n1)CN(C(=O)OC(C)(C)C)CCC2)[C@@H](C)O ZINC001183701857 1074249802 /nfs/dbraw/zinc/24/98/02/1074249802.db2.gz COUBTFIJBRKPGW-PXAZEXFGSA-N 0 0 437.497 -0.114 20 0 IBADRN CC(=O)N[C@H](C(=O)n1c(Cl)cncc1=NS(=O)(=O)c1ccc(N)cc1)[C@@H](C)O ZINC001183702129 1074249832 /nfs/dbraw/zinc/24/98/32/1074249832.db2.gz GELBRYXAZNZZNM-PSLIRLAXSA-N 0 0 427.870 -0.066 20 0 IBADRN CC(=O)N[C@H](C(=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1)[C@@H](C)O ZINC001183702760 1074249783 /nfs/dbraw/zinc/24/97/83/1074249783.db2.gz NHOJVOMFBQBFEG-MRAWALMUSA-N 0 0 438.456 -0.011 20 0 IBADRN CC(=O)N[C@H](C(=O)Nc1c(I)c(Cl)nn(C)c1=O)[C@@H](C)O ZINC001183703442 1074249672 /nfs/dbraw/zinc/24/96/72/1074249672.db2.gz NTJVUHNQRWDZKT-FBCQKBJTSA-N 0 0 428.614 -0.138 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H](NC(C)=O)[C@@H](C)O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001183704012 1074249824 /nfs/dbraw/zinc/24/98/24/1074249824.db2.gz QOVVKKRQGLIDAN-NWLYGAKOSA-N 0 0 430.479 -0.943 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1ccc(C(N)=O)cc1)C(=O)N1CC(NS(C)(=O)=O)C1 ZINC001183704472 1074249741 /nfs/dbraw/zinc/24/97/41/1074249741.db2.gz NTYJVKUGIIDTHO-HNNXBMFYSA-N 0 0 440.522 -0.019 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1ccc(C(N)=O)cc1)C(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001183705003 1074249851 /nfs/dbraw/zinc/24/98/51/1074249851.db2.gz YNNZOKLMSZQPNG-KRWDZBQOSA-N 0 0 445.520 -0.136 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H](Cc1ccc(C(N)=O)cc1)NC(=O)OC(C)(C)C ZINC001183706005 1074249792 /nfs/dbraw/zinc/24/97/92/1074249792.db2.gz ISKGUEJGMJUTHR-AWEZNQCLSA-N 0 0 428.511 -0.113 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1ccc(C(N)=O)cc1)C(=O)NC1CN(S(C)(=O)=O)C1 ZINC001183707044 1074249709 /nfs/dbraw/zinc/24/97/09/1074249709.db2.gz WKPDHEJUGWKPLM-HNNXBMFYSA-N 0 0 440.522 -0.019 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@@H](O)[C@H](CO)C2)c1 ZINC001183707654 1074250173 /nfs/dbraw/zinc/25/01/73/1074250173.db2.gz VSSQQYNPKRKZGX-KBXCAEBGSA-N 0 0 427.523 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@H](O)[C@@H](CO)C2)c1 ZINC001183707655 1074250267 /nfs/dbraw/zinc/25/02/67/1074250267.db2.gz VSSQQYNPKRKZGX-KDOFPFPSSA-N 0 0 427.523 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@H](O)[C@H](CO)C2)c1 ZINC001183707656 1074250298 /nfs/dbraw/zinc/25/02/98/1074250298.db2.gz VSSQQYNPKRKZGX-KSSFIOAISA-N 0 0 427.523 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@@H](O)[C@@H](CO)C2)c1 ZINC001183707657 1074250241 /nfs/dbraw/zinc/25/02/41/1074250241.db2.gz VSSQQYNPKRKZGX-RDTXWAMCSA-N 0 0 427.523 -0.411 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCC[C@H]1C(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001183710443 1074250277 /nfs/dbraw/zinc/25/02/77/1074250277.db2.gz GAHXLRBWLMGOIU-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCC[C@H]1C(=O)N1CC(N2CCN(C)C(=O)C2)C1 ZINC001183711018 1074250247 /nfs/dbraw/zinc/25/02/47/1074250247.db2.gz IGNNKVIBKBXAGE-HOCLYGCPSA-N 0 0 437.541 -0.125 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)[C@H](Cc1ccc(C(N)=O)cc1)NC(=O)OC(C)(C)C ZINC001183711216 1074250271 /nfs/dbraw/zinc/25/02/71/1074250271.db2.gz WMEYXCQZWVVPMX-AWEZNQCLSA-N 0 0 436.465 -0.373 20 0 IBADRN Cn1cc(I)c2c1ncnc2NC(=O)C[C@@H]1NC(=O)CNC1=O ZINC001183714496 1074250226 /nfs/dbraw/zinc/25/02/26/1074250226.db2.gz PSVYPVVWRADPMI-ZETCQYMHSA-N 0 0 428.190 -0.484 20 0 IBADRN O=C([C@H]1C[C@@]12CCCOC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183714788 1074250260 /nfs/dbraw/zinc/25/02/60/1074250260.db2.gz JVCGXEDRTQIQDP-FLXNRXMOSA-N 0 0 426.535 -0.076 20 0 IBADRN O=C([C@@H]1C[C@@]12CCCOC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183714789 1074250208 /nfs/dbraw/zinc/25/02/08/1074250208.db2.gz JVCGXEDRTQIQDP-ISIBIEBGSA-N 0 0 426.535 -0.076 20 0 IBADRN O=C([C@H]1C[C@]12CCCOC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183714790 1074250180 /nfs/dbraw/zinc/25/01/80/1074250180.db2.gz JVCGXEDRTQIQDP-RXFYRGCNSA-N 0 0 426.535 -0.076 20 0 IBADRN O=C([C@@H]1C[C@]12CCCOC2)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001183714791 1074250217 /nfs/dbraw/zinc/25/02/17/1074250217.db2.gz JVCGXEDRTQIQDP-XDCWJTEKSA-N 0 0 426.535 -0.076 20 0 IBADRN Cn1nc(NC(=O)C[C@@H]2NC(=O)CNC2=O)c2cc(I)cnc21 ZINC001183714871 1074250211 /nfs/dbraw/zinc/25/02/11/1074250211.db2.gz YGFXERZXUXJRIY-QMMMGPOBSA-N 0 0 428.190 -0.484 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)CN(C(=O)C[C@@H]1NC(=O)CNC1=O)Cc1ncnn12 ZINC001183716404 1074250252 /nfs/dbraw/zinc/25/02/52/1074250252.db2.gz ASLNBBKBMAJFNA-ZDUSSCGKSA-N 0 0 447.496 -0.649 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N1CCCN(S(=O)(=O)c2cccc3cnccc32)CC1 ZINC001183716418 1074250302 /nfs/dbraw/zinc/25/03/02/1074250302.db2.gz AKPAYWFQUIAACH-INIZCTEOSA-N 0 0 445.501 -0.538 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N1CC[C@@]2(CC(C(=O)NCc3ccc(F)cc3)=NO2)C1 ZINC001183716561 1074250190 /nfs/dbraw/zinc/25/01/90/1074250190.db2.gz BUPWZVZHHVFAQQ-VBKZILBWSA-N 0 0 431.424 -0.806 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N1CC[C@]2(CC(C(=O)NCc3ccc(F)cc3)=NO2)C1 ZINC001183716564 1074250305 /nfs/dbraw/zinc/25/03/05/1074250305.db2.gz BUPWZVZHHVFAQQ-XOBRGWDASA-N 0 0 431.424 -0.806 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001183716857 1074250510 /nfs/dbraw/zinc/25/05/10/1074250510.db2.gz SOAPIAWWNJQTGN-AVGNSLFASA-N 0 0 428.486 -0.186 20 0 IBADRN Cc1nc(Cn2c(=O)ccc3c2CCN(C(=O)C[C@@H]2NC(=O)CNC2=O)CC3)cs1 ZINC001183717089 1074250499 /nfs/dbraw/zinc/25/04/99/1074250499.db2.gz FMSUDFYMNBWLPV-HNNXBMFYSA-N 0 0 429.502 -0.407 20 0 IBADRN CCOC(=O)[C@@H]1C[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]2N1C(=O)C[C@@H]1NC(=O)CNC1=O ZINC001183717291 1074250666 /nfs/dbraw/zinc/25/06/66/1074250666.db2.gz HTGKUTZMLJOSTM-XDQVBPFNSA-N 0 0 438.481 -0.609 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N1CC[C@@]2(C1)CCC[C@H](CNC(=O)c1ccccc1)O2 ZINC001183717800 1074250656 /nfs/dbraw/zinc/25/06/56/1074250656.db2.gz IZXDEMXQOQVXCP-HYFFOGBASA-N 0 0 428.489 -0.039 20 0 IBADRN COC(=O)c1cc2c(nc1-c1cnn(C)c1)CCN(C(=O)C[C@@H]1NC(=O)CNC1=O)CC2 ZINC001183717807 1074250676 /nfs/dbraw/zinc/25/06/76/1074250676.db2.gz JBGKACDHFVWATG-INIZCTEOSA-N 0 0 440.460 -0.799 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N1CC[C@@]2(C1)CCC[C@@H](CNC(=O)c1cscn1)O2 ZINC001183717907 1074250617 /nfs/dbraw/zinc/25/06/17/1074250617.db2.gz KBUVPRQTXIAMHR-WTOJCKNJSA-N 0 0 435.506 -0.582 20 0 IBADRN Cc1ncccc1NC(=O)[C@@H]1CCO[C@@H]2CCN(C(=O)C[C@@H]3NC(=O)CNC3=O)C[C@@H]21 ZINC001183717910 1074250628 /nfs/dbraw/zinc/25/06/28/1074250628.db2.gz KCIRAUGOTQQSDH-TXCZRRACSA-N 0 0 429.477 -0.413 20 0 IBADRN COc1cc2ncnc(N3CCN(C(=O)C[C@@H]4NC(=O)CNC4=O)CC3)c2cc1OC ZINC001183718468 1074250557 /nfs/dbraw/zinc/25/05/57/1074250557.db2.gz NUGVZQLCQPGKNO-AWEZNQCLSA-N 0 0 428.449 -0.700 20 0 IBADRN CCn1nnc2c1CCN(C(=O)C[C@@H]1NC(=O)CNC1=O)[C@@H]2COCc1ccccc1 ZINC001183718605 1074250584 /nfs/dbraw/zinc/25/05/84/1074250584.db2.gz PRHPJFJIGBPYDB-DOTOQJQBSA-N 0 0 426.477 -0.055 20 0 IBADRN CCn1nnc2c1CCN(C(=O)C[C@@H]1NC(=O)CNC1=O)[C@H]2COCc1ccccc1 ZINC001183718606 1074250700 /nfs/dbraw/zinc/25/07/00/1074250700.db2.gz PRHPJFJIGBPYDB-RDJZCZTQSA-N 0 0 426.477 -0.055 20 0 IBADRN Cc1cccc(CN2C(=O)COC3(CCN(C(=O)C[C@@H]4NC(=O)CNC4=O)CC3)[C@@H]2C)n1 ZINC001183718658 1074250565 /nfs/dbraw/zinc/25/05/65/1074250565.db2.gz QINWFLBBJXGYCN-RDJZCZTQSA-N 0 0 443.504 -0.497 20 0 IBADRN Cc1cccc(CN2C(=O)COC3(CCN(C(=O)C[C@@H]4NC(=O)CNC4=O)CC3)[C@H]2C)n1 ZINC001183718662 1074250647 /nfs/dbraw/zinc/25/06/47/1074250647.db2.gz QINWFLBBJXGYCN-WBVHZDCISA-N 0 0 443.504 -0.497 20 0 IBADRN C[C@H]1CN(C(=O)C[C@@H]2NC(=O)CNC2=O)CC[C@]12CC[C@@H](C(=O)Nc1cccnc1)O2 ZINC001183718840 1074250637 /nfs/dbraw/zinc/25/06/37/1074250637.db2.gz QPFAQELYCGGTOA-ASAVFMKPSA-N 0 0 429.477 -0.189 20 0 IBADRN C[C@H]1CN(C(=O)C[C@@H]2NC(=O)CNC2=O)CC[C@]12CC[C@H](C(=O)Nc1cccnc1)O2 ZINC001183718842 1074250575 /nfs/dbraw/zinc/25/05/75/1074250575.db2.gz QPFAQELYCGGTOA-RYSHRAHSSA-N 0 0 429.477 -0.189 20 0 IBADRN CCOC[C@@H]1c2c(cnn2CC2CCOCC2)CCN1C(=O)C[C@@H]1NC(=O)CNC1=O ZINC001183718860 1074250542 /nfs/dbraw/zinc/25/05/42/1074250542.db2.gz QXSINBSAVRKVQC-DLBZAZTESA-N 0 0 433.509 -0.223 20 0 IBADRN CCOC[C@H]1c2c(cnn2CC2CCOCC2)CCN1C(=O)C[C@@H]1NC(=O)CNC1=O ZINC001183718865 1074250690 /nfs/dbraw/zinc/25/06/90/1074250690.db2.gz QXSINBSAVRKVQC-IRXDYDNUSA-N 0 0 433.509 -0.223 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N1CC[C@H]2[C@H](C[C@@H](Cn3cncn3)N2c2nccs2)C1 ZINC001183718928 1074251128 /nfs/dbraw/zinc/25/11/28/1074251128.db2.gz RMDCEULPFJQLQB-QPSCCSFWSA-N 0 0 444.521 -0.765 20 0 IBADRN CS(=O)(=O)N1CC[C@]2(c3ccccc3)CCN(C(=O)C[C@@H]3NC(=O)CNC3=O)C[C@H]12 ZINC001183719357 1074250979 /nfs/dbraw/zinc/25/09/79/1074250979.db2.gz SRPQUYCZGQEANB-FTRWYGJKSA-N 0 0 434.518 -0.805 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(c3ccccc3)CCN(C(=O)C[C@@H]3NC(=O)CNC3=O)C[C@@H]12 ZINC001183719358 1074251120 /nfs/dbraw/zinc/25/11/20/1074251120.db2.gz SRPQUYCZGQEANB-RZQQEMMASA-N 0 0 434.518 -0.805 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(c3ccccc3)CCN(C(=O)C[C@@H]3NC(=O)CNC3=O)C[C@H]12 ZINC001183719359 1074250995 /nfs/dbraw/zinc/25/09/95/1074250995.db2.gz SRPQUYCZGQEANB-TWOQFEAHSA-N 0 0 434.518 -0.805 20 0 IBADRN CS(=O)(=O)N1CC[C@]2(c3ccccc3)CCN(C(=O)C[C@@H]3NC(=O)CNC3=O)C[C@@H]12 ZINC001183719360 1074251116 /nfs/dbraw/zinc/25/11/16/1074251116.db2.gz SRPQUYCZGQEANB-YRNRMSPPSA-N 0 0 434.518 -0.805 20 0 IBADRN COC(=O)c1cc2c(nc1N1CCCC1)CCN(C(=O)C[C@@H]1NC(=O)CNC1=O)CC2 ZINC001183719397 1074250962 /nfs/dbraw/zinc/25/09/62/1074250962.db2.gz UUANCEJOFOJWNP-INIZCTEOSA-N 0 0 429.477 -0.600 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N1CCC(C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC001183719475 1074251134 /nfs/dbraw/zinc/25/11/34/1074251134.db2.gz WQORXXVEARWNRR-SFHVURJKSA-N 0 0 427.505 -0.422 20 0 IBADRN Cc1nc(COC[C@@H]2Cn3nccc3CN(C(=O)C[C@@H]3NC(=O)CNC3=O)C2)cs1 ZINC001183719859 1074250970 /nfs/dbraw/zinc/25/09/70/1074250970.db2.gz YFECMPAQFAXZLG-BBRMVZONSA-N 0 0 432.506 -0.172 20 0 IBADRN Cc1nc(COC[C@H]2Cn3nccc3CN(C(=O)C[C@@H]3NC(=O)CNC3=O)C2)cs1 ZINC001183719861 1074251065 /nfs/dbraw/zinc/25/10/65/1074251065.db2.gz YFECMPAQFAXZLG-CJNGLKHVSA-N 0 0 432.506 -0.172 20 0 IBADRN Cc1noc(C)c1CN1CCOC[C@]2(CCCN(C(=O)C[C@@H]3NC(=O)CNC3=O)C2)C1 ZINC001183719884 1074251087 /nfs/dbraw/zinc/25/10/87/1074251087.db2.gz YSCHMVIXWYRGGJ-LAUBAEHRSA-N 0 0 433.509 -0.263 20 0 IBADRN Cc1noc(C)c1CN1CCOC[C@@]2(CCCN(C(=O)C[C@@H]3NC(=O)CNC3=O)C2)C1 ZINC001183719885 1074251004 /nfs/dbraw/zinc/25/10/04/1074251004.db2.gz YSCHMVIXWYRGGJ-UWJYYQICSA-N 0 0 433.509 -0.263 20 0 IBADRN Nc1ccc(S(=O)(=O)N=c2cncc(Cl)n2C(=O)C[C@@H]2NC(=O)CNC2=O)cc1 ZINC001183726525 1074251054 /nfs/dbraw/zinc/25/10/54/1074251054.db2.gz FSEATIPLTDKGRN-NSHDSACASA-N 0 0 438.853 -0.947 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@H](CNC(=O)C[C@@H]3NC(=O)CNC3=O)CO2)C1 ZINC001183726587 1074251001 /nfs/dbraw/zinc/25/10/01/1074251001.db2.gz GSTMSVDKGCMMHP-CKNLXJGOSA-N 0 0 440.497 -0.850 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@H](CNC(=O)C[C@@H]3NC(=O)CNC3=O)CO2)C1 ZINC001183726593 1074250986 /nfs/dbraw/zinc/25/09/86/1074250986.db2.gz GSTMSVDKGCMMHP-LAPQFRIASA-N 0 0 440.497 -0.850 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@@H](CNC(=O)C[C@@H]3NC(=O)CNC3=O)CO2)C1 ZINC001183726595 1074251073 /nfs/dbraw/zinc/25/10/73/1074251073.db2.gz GSTMSVDKGCMMHP-PJSUUKDQSA-N 0 0 440.497 -0.850 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@@H](CNC(=O)C[C@@H]3NC(=O)CNC3=O)CO2)C1 ZINC001183726599 1074251081 /nfs/dbraw/zinc/25/10/81/1074251081.db2.gz GSTMSVDKGCMMHP-YRVVQQKDSA-N 0 0 440.497 -0.850 20 0 IBADRN Nc1ccc(S(=O)(=O)N(C(=O)C[C@@H]2NC(=O)CNC2=O)c2cncc(Cl)n2)cc1 ZINC001183726972 1074251108 /nfs/dbraw/zinc/25/11/08/1074251108.db2.gz NFAJXEVIKPJAHN-NSHDSACASA-N 0 0 438.853 -0.561 20 0 IBADRN Nc1ccc(S(=O)(=O)N(C(=O)C[C@@H]2NC(=O)CNC2=O)c2ccc(Cl)nn2)cc1 ZINC001183727168 1074251456 /nfs/dbraw/zinc/25/14/56/1074251456.db2.gz PAQMSFHYLYNCDG-NSHDSACASA-N 0 0 438.853 -0.561 20 0 IBADRN CC(C)(C)OC(=O)CN1c2ccccc2CC[C@H](NC(=O)C[C@@H]2NC(=O)CNC2=O)C1=O ZINC001183727198 1074251500 /nfs/dbraw/zinc/25/15/00/1074251500.db2.gz POYPJGSNLSSQOU-GJZGRUSLSA-N 0 0 444.488 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1CCCn2c(=O)cc(CNC(=O)C[C@@H]3NC(=O)CNC3=O)nc2C1 ZINC001183727222 1074251420 /nfs/dbraw/zinc/25/14/20/1074251420.db2.gz QDVDLMRSGKBKJK-ZDUSSCGKSA-N 0 0 448.480 -0.995 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1 ZINC001183727277 1074251573 /nfs/dbraw/zinc/25/15/73/1074251573.db2.gz RGOVQXLCANPWTF-ZFWWWQNUSA-N 0 0 449.439 -0.892 20 0 IBADRN CCOc1cc([C@@H](CS(C)(=O)=O)NC(=O)C[C@@H]2NC(=O)CNC2=O)ccc1OC ZINC001183727463 1074251523 /nfs/dbraw/zinc/25/15/23/1074251523.db2.gz URGPJBKXLQBUHB-QWHCGFSZSA-N 0 0 427.479 -0.700 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)Nc1ccc(C(=O)N2CCN(c3ccccn3)CC2)cc1 ZINC001183727608 1074251546 /nfs/dbraw/zinc/25/15/46/1074251546.db2.gz ZJKBUHIJSMBQCV-KRWDZBQOSA-N 0 0 436.472 -0.013 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N[C@@H]1CCS(=O)(=O)c2ccc(Br)cc21 ZINC001183727766 1074251541 /nfs/dbraw/zinc/25/15/41/1074251541.db2.gz YETZHKRFATXFCG-MNOVXSKESA-N 0 0 430.280 -0.212 20 0 IBADRN O=C(C[C@@H]1NC(=O)CNC1=O)N[C@H]1CCS(=O)(=O)c2ccc(Br)cc21 ZINC001183727771 1074251433 /nfs/dbraw/zinc/25/14/33/1074251433.db2.gz YETZHKRFATXFCG-QWRGUYRKSA-N 0 0 430.280 -0.212 20 0 IBADRN CN([C@@H]1CCCN(C(=O)C[C@@H]2NC(=O)CNC2=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001183727868 1074251425 /nfs/dbraw/zinc/25/14/25/1074251425.db2.gz BYLLSMKCECZHSS-HIFRSBDPSA-N 0 0 426.470 -0.558 20 0 IBADRN CN([C@H]1CCCN(C(=O)C[C@@H]2NC(=O)CNC2=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001183727869 1074251535 /nfs/dbraw/zinc/25/15/35/1074251535.db2.gz BYLLSMKCECZHSS-ZFWWWQNUSA-N 0 0 426.470 -0.558 20 0 IBADRN C[NH+](C)CCN(C(=O)C[C@@H]1NC(=O)CNC1=O)[C@@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001183727889 1074251509 /nfs/dbraw/zinc/25/15/09/1074251509.db2.gz CIAWSJBBBWVGDT-CABCVRRESA-N 0 0 425.530 -0.219 20 0 IBADRN C[NH+](C)CCN(C(=O)C[C@@H]1NC(=O)CNC1=O)[C@H]1CCCN(C(=O)OC(C)(C)C)C1 ZINC001183727890 1074251559 /nfs/dbraw/zinc/25/15/59/1074251559.db2.gz CIAWSJBBBWVGDT-GJZGRUSLSA-N 0 0 425.530 -0.219 20 0 IBADRN COc1cc2c(cc1OC)C(=O)C1(CC[NH+](C)CC1)N(C(=O)C[C@@H]1NC(=O)CNC1=O)C2 ZINC001183728388 1074251462 /nfs/dbraw/zinc/25/14/62/1074251462.db2.gz IHZLPLNUSWKCHF-HNNXBMFYSA-N 0 0 444.488 -0.302 20 0 IBADRN COC(=O)[C@]12CCN(C(=O)OC(C)(C)C)[C@H]1CN(C(=O)C[C@@H]1NC(=O)CNC1=O)CC2 ZINC001183728590 1074251570 /nfs/dbraw/zinc/25/15/70/1074251570.db2.gz KTWKJLXUKFIZRR-KQHSUYLTSA-N 0 0 438.481 -0.608 20 0 IBADRN COC(=O)[C@]12CCN(C(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C[C@@H]1NC(=O)CNC1=O)CC2 ZINC001183728594 1074251484 /nfs/dbraw/zinc/25/14/84/1074251484.db2.gz KTWKJLXUKFIZRR-MRRFBWAASA-N 0 0 438.481 -0.608 20 0 IBADRN COC(=O)[C@@]12CCN(C(=O)OC(C)(C)C)[C@H]1CN(C(=O)C[C@@H]1NC(=O)CNC1=O)CC2 ZINC001183728596 1074251507 /nfs/dbraw/zinc/25/15/07/1074251507.db2.gz KTWKJLXUKFIZRR-QAJFTPDKSA-N 0 0 438.481 -0.608 20 0 IBADRN COC(=O)[C@@]12CCN(C(=O)OC(C)(C)C)[C@@H]1CN(C(=O)C[C@@H]1NC(=O)CNC1=O)CC2 ZINC001183728598 1074251528 /nfs/dbraw/zinc/25/15/28/1074251528.db2.gz KTWKJLXUKFIZRR-RDXCRGQUSA-N 0 0 438.481 -0.608 20 0 IBADRN CCOC(=O)c1cn2nc([C@@H]3CCCN(C(=O)C[C@@H]4NC(=O)CNC4=O)C3)sc2n1 ZINC001183730000 1074251916 /nfs/dbraw/zinc/25/19/16/1074251916.db2.gz WZTKTVAEPQXURC-MNOVXSKESA-N 0 0 434.478 -0.322 20 0 IBADRN CCOC(=O)c1cn2nc([C@H]3CCCN(C(=O)C[C@@H]4NC(=O)CNC4=O)C3)sc2n1 ZINC001183730001 1074251959 /nfs/dbraw/zinc/25/19/59/1074251959.db2.gz WZTKTVAEPQXURC-QWRGUYRKSA-N 0 0 434.478 -0.322 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2C[C@@]23CCCOC3)[C@H]1O ZINC001183742896 1074253184 /nfs/dbraw/zinc/25/31/84/1074253184.db2.gz MSZQBHFRNDARHY-DGIKVDMZSA-N 0 0 432.481 -0.556 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2C[C@]23CCCOC3)[C@H]1O ZINC001183742897 1074253137 /nfs/dbraw/zinc/25/31/37/1074253137.db2.gz MSZQBHFRNDARHY-GUDDTCDXSA-N 0 0 432.481 -0.556 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2C[C@]23CCCOC3)[C@H]1O ZINC001183742898 1074253047 /nfs/dbraw/zinc/25/30/47/1074253047.db2.gz MSZQBHFRNDARHY-JHNZXXGQSA-N 0 0 432.481 -0.556 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2C[C@@]23CCCOC3)[C@H]1O ZINC001183742899 1074253108 /nfs/dbraw/zinc/25/31/08/1074253108.db2.gz MSZQBHFRNDARHY-ZRLJGSIMSA-N 0 0 432.481 -0.556 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC(=O)N(C)C[C@@H]2C)cc1 ZINC001183770860 1074251874 /nfs/dbraw/zinc/25/18/74/1074251874.db2.gz BCOQPEIUQDYVIO-RDJZCZTQSA-N 0 0 436.534 -0.047 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CCn3nncc3C2)cc1 ZINC001183771311 1074251906 /nfs/dbraw/zinc/25/19/06/1074251906.db2.gz HOCLURGWIBGNEU-KRWDZBQOSA-N 0 0 432.506 -0.102 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2C[C@@H]3COC[C@H](C2)C3=O)cc1 ZINC001183771611 1074251987 /nfs/dbraw/zinc/25/19/87/1074251987.db2.gz KXNBRCGPFBMOLE-JZXOWHBKSA-N 0 0 449.529 -0.062 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)Nc2c[nH]nc2C(N)=O)cc1 ZINC001183772086 1074251824 /nfs/dbraw/zinc/25/18/24/1074251824.db2.gz AHXYQBNZQCPAEG-AWEZNQCLSA-N 0 0 434.478 -0.275 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N=c2ncnc3[nH][nH]cc2-3)cc1 ZINC001183772229 1074251997 /nfs/dbraw/zinc/25/19/97/1074251997.db2.gz CRNVDCRFUUSNMY-HNNXBMFYSA-N 0 0 443.489 -0.057 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)Nc2nccnc2C(N)=O)cc1 ZINC001183772403 1074251780 /nfs/dbraw/zinc/25/17/80/1074251780.db2.gz FKBQPNXMZCQTEX-AWEZNQCLSA-N 0 0 446.489 -0.208 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC[C@H](CO)[C@H](O)C2)cc1 ZINC001183772436 1074251801 /nfs/dbraw/zinc/25/18/01/1074251801.db2.gz FWLAOXKZZPVXMP-BPQIPLTHSA-N 0 0 439.534 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC[C@H](CO)[C@@H](O)C2)cc1 ZINC001183772437 1074251751 /nfs/dbraw/zinc/25/17/51/1074251751.db2.gz FWLAOXKZZPVXMP-NJAFHUGGSA-N 0 0 439.534 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC[C@@H](CO)[C@H](O)C2)cc1 ZINC001183772593 1074251792 /nfs/dbraw/zinc/25/17/92/1074251792.db2.gz FWLAOXKZZPVXMP-RYQLBKOJSA-N 0 0 439.534 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC[C@@H](CO)[C@@H](O)C2)cc1 ZINC001183772595 1074251847 /nfs/dbraw/zinc/25/18/47/1074251847.db2.gz FWLAOXKZZPVXMP-SZMVWBNQSA-N 0 0 439.534 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC001183772902 1074251949 /nfs/dbraw/zinc/25/19/49/1074251949.db2.gz HNSGZXSUKPMZQY-INIZCTEOSA-N 0 0 443.547 -0.479 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)Nc2ncnc3n[nH]nc32)cc1 ZINC001183773012 1074251814 /nfs/dbraw/zinc/25/18/14/1074251814.db2.gz JMCVRIAZZVHPBZ-ZDUSSCGKSA-N 0 0 444.477 -0.036 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CCN(C(N)=O)CC2)cc1 ZINC001183773301 1074251924 /nfs/dbraw/zinc/25/19/24/1074251924.db2.gz KBRVPTJQBFKXKQ-INIZCTEOSA-N 0 0 437.522 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2C[C@H]3CN(C)C(=O)[C@H]3C2)cc1 ZINC001183773337 1074252385 /nfs/dbraw/zinc/25/23/85/1074252385.db2.gz KRCNUJRFJGTNET-NJAFHUGGSA-N 0 0 448.545 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)Nc2oncc2C(N)=O)cc1 ZINC001183773525 1074252360 /nfs/dbraw/zinc/25/23/60/1074252360.db2.gz LXPCAYIRGJEMJC-AWEZNQCLSA-N 0 0 435.462 -0.010 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC[C@@H](O)[C@@H](CO)C2)cc1 ZINC001183774074 1074252402 /nfs/dbraw/zinc/25/24/02/1074252402.db2.gz QDLXOTQZVNUQCA-BPQIPLTHSA-N 0 0 439.534 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC[C@H](O)[C@@H](CO)C2)cc1 ZINC001183774075 1074252367 /nfs/dbraw/zinc/25/23/67/1074252367.db2.gz QDLXOTQZVNUQCA-NJAFHUGGSA-N 0 0 439.534 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC[C@@H](O)[C@H](CO)C2)cc1 ZINC001183774076 1074252373 /nfs/dbraw/zinc/25/23/73/1074252373.db2.gz QDLXOTQZVNUQCA-RYQLBKOJSA-N 0 0 439.534 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CC[C@H](O)[C@H](CO)C2)cc1 ZINC001183774077 1074252381 /nfs/dbraw/zinc/25/23/81/1074252381.db2.gz QDLXOTQZVNUQCA-SZMVWBNQSA-N 0 0 439.534 -0.534 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2CCn3cnnc3C2)cc1 ZINC001183774706 1074252342 /nfs/dbraw/zinc/25/23/42/1074252342.db2.gz OUQBIAGTYUWXOH-INIZCTEOSA-N 0 0 432.506 -0.102 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCCS(C)(=O)=O)cc1 ZINC001183774723 1074252324 /nfs/dbraw/zinc/25/23/24/1074252324.db2.gz PGKXTLJBMRHMEA-HNNXBMFYSA-N 0 0 431.536 -0.575 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC2(CO)CCOCC2)cc1 ZINC001183775496 1074252304 /nfs/dbraw/zinc/25/23/04/1074252304.db2.gz BBZFAKLCUHQDCO-KRWDZBQOSA-N 0 0 439.534 -0.078 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@H]2C=CS(=O)(=O)C2)cc1 ZINC001183775506 1074252389 /nfs/dbraw/zinc/25/23/89/1074252389.db2.gz CBHSGBBBEVCZPH-HOCLYGCPSA-N 0 0 441.531 -0.309 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@@H]2C=CS(=O)(=O)C2)cc1 ZINC001183775507 1074252316 /nfs/dbraw/zinc/25/23/16/1074252316.db2.gz CBHSGBBBEVCZPH-ZBFHGGJFSA-N 0 0 441.531 -0.309 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@H]2COCC[C@@H]2O)cc1 ZINC001183775518 1074252337 /nfs/dbraw/zinc/25/23/37/1074252337.db2.gz CLORFLVIMHLRDN-ULQDDVLXSA-N 0 0 425.507 -0.470 20 0 IBADRN CCC(CO)(CO)NC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(C)cc1 ZINC001183775519 1074252298 /nfs/dbraw/zinc/25/22/98/1074252298.db2.gz CLYAMEJJWSARSU-INIZCTEOSA-N 0 0 427.523 -0.486 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC[C@@H]2CC(=O)N(C)C2)cc1 ZINC001183775520 1074252393 /nfs/dbraw/zinc/25/23/93/1074252393.db2.gz CMMCXQFKARXFNH-RDJZCZTQSA-N 0 0 436.534 -0.141 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC[C@H]2CC(=O)N(C)C2)cc1 ZINC001183775521 1074252310 /nfs/dbraw/zinc/25/23/10/1074252310.db2.gz CMMCXQFKARXFNH-WBVHZDCISA-N 0 0 436.534 -0.141 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@@H]2CCC(=O)NC2=O)cc1 ZINC001183775561 1074252839 /nfs/dbraw/zinc/25/28/39/1074252839.db2.gz FAPYYYADMGFWAV-CABCVRRESA-N 0 0 436.490 -0.814 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@H]2CCC(=O)NC2=O)cc1 ZINC001183775562 1074252752 /nfs/dbraw/zinc/25/27/52/1074252752.db2.gz FAPYYYADMGFWAV-GJZGRUSLSA-N 0 0 436.490 -0.814 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001183775775 1074252740 /nfs/dbraw/zinc/25/27/40/1074252740.db2.gz FGPMJMLHQBWQGG-HOCLYGCPSA-N 0 0 443.547 -0.432 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001183775776 1074252810 /nfs/dbraw/zinc/25/28/10/1074252810.db2.gz FGPMJMLHQBWQGG-ZBFHGGJFSA-N 0 0 443.547 -0.432 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC2CN(C3COC3)C2)cc1 ZINC001183775851 1074252745 /nfs/dbraw/zinc/25/27/45/1074252745.db2.gz BVDCHNCSHKEMGA-SFHVURJKSA-N 0 0 436.534 -0.536 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@@H](CO)Cc2c[nH]cn2)cc1 ZINC001183775943 1074252847 /nfs/dbraw/zinc/25/28/47/1074252847.db2.gz HVDHFFQBBQPOED-AEFFLSMTSA-N 0 0 449.533 -0.293 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC[C@@H]2COCCN2C)cc1 ZINC001183776254 1074252798 /nfs/dbraw/zinc/25/27/98/1074252798.db2.gz KUZJJMBXEWALET-AEFFLSMTSA-N 0 0 438.550 -0.289 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC[C@H]2COCCN2C)cc1 ZINC001183776256 1074252759 /nfs/dbraw/zinc/25/27/59/1074252759.db2.gz KUZJJMBXEWALET-WMZOPIPTSA-N 0 0 438.550 -0.289 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)Nc2c[nH]c(=O)nc2N)cc1 ZINC001183776310 1074252828 /nfs/dbraw/zinc/25/28/28/1074252828.db2.gz LSSCZXZEEUNURN-AWEZNQCLSA-N 0 0 434.478 -0.019 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@H]2CNC(=O)C2(C)C)cc1 ZINC001183776500 1074252725 /nfs/dbraw/zinc/25/27/25/1074252725.db2.gz KENNQHXASXEVQK-HOTGVXAUSA-N 0 0 436.534 -0.095 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N[C@@H]2CNC(=O)C2(C)C)cc1 ZINC001183776501 1074252777 /nfs/dbraw/zinc/25/27/77/1074252777.db2.gz KENNQHXASXEVQK-JKSUJKDBSA-N 0 0 436.534 -0.095 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(C)cc1)[C@@H](C)O ZINC001183776612 1074252821 /nfs/dbraw/zinc/25/28/21/1074252821.db2.gz NTRMDEPMDSLPSJ-KMFMINBZSA-N 0 0 441.506 -0.697 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)N2C[C@H](O)C[C@@H]2CO)cc1 ZINC001183777007 1074252766 /nfs/dbraw/zinc/25/27/66/1074252766.db2.gz SDAZYUSXFWWPTI-INMHGKMJSA-N 0 0 425.507 -0.782 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC[C@@H]2CN(C)CCO2)cc1 ZINC001183777069 1074253191 /nfs/dbraw/zinc/25/31/91/1074253191.db2.gz UTVPJTXSYWIMON-AEFFLSMTSA-N 0 0 438.550 -0.289 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC[C@H]2CN(C)CCO2)cc1 ZINC001183777070 1074253269 /nfs/dbraw/zinc/25/32/69/1074253269.db2.gz UTVPJTXSYWIMON-WMZOPIPTSA-N 0 0 438.550 -0.289 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC2(CCO)COC2)cc1 ZINC001183777076 1074253289 /nfs/dbraw/zinc/25/32/89/1074253289.db2.gz UYHIKXIYLMQNKA-INIZCTEOSA-N 0 0 425.507 -0.468 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)Nc2cc(=O)n(C)c(=O)[nH]2)cc1 ZINC001183777080 1074253102 /nfs/dbraw/zinc/25/31/02/1074253102.db2.gz VBUDJCOGSQBIOX-AWEZNQCLSA-N 0 0 449.489 -0.298 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)n2cc(N)c(=O)nc2N)cc1 ZINC001183777084 1074253176 /nfs/dbraw/zinc/25/31/76/1074253176.db2.gz SITQMRXFDVADLI-AWEZNQCLSA-N 0 0 434.478 -0.432 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCCCc2c[nH][nH]c2=O)cc1 ZINC001183777617 1074253029 /nfs/dbraw/zinc/25/30/29/1074253029.db2.gz XCZXYUGQZVSVMJ-RDJZCZTQSA-N 0 0 449.533 -0.107 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCCCc2c[nH][nH]c2=O)cc1 ZINC001183777618 1074253119 /nfs/dbraw/zinc/25/31/19/1074253119.db2.gz XCZXYUGQZVSVMJ-WBVHZDCISA-N 0 0 449.533 -0.107 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NC2CC(CO)(CO)C2)cc1 ZINC001183777697 1074253248 /nfs/dbraw/zinc/25/32/48/1074253248.db2.gz YBCDYLGWMLOYQI-KRWDZBQOSA-N 0 0 439.534 -0.486 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)CC2(C)CCOCC2)[C@H]1O ZINC001183843657 1074253217 /nfs/dbraw/zinc/25/32/17/1074253217.db2.gz CPJVYTXYANGLQU-OZSDWXKXSA-N 0 0 434.497 -0.166 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2cc3cccnc3cc2F)c(=O)n1 ZINC001183855139 1074253146 /nfs/dbraw/zinc/25/31/46/1074253146.db2.gz LSKLQGKLFMELSS-UTRMSSBJSA-N 0 0 429.408 -0.266 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OC(C)(C)C)C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001183871471 1074253087 /nfs/dbraw/zinc/25/30/87/1074253087.db2.gz OQIQXVZHEHUMQN-NSHDSACASA-N 0 0 437.457 -0.862 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)[C@H](CC(=O)OC(C)(C)C)NC(C)=O)CC[C@H]2C1=O ZINC001183873454 1074253557 /nfs/dbraw/zinc/25/35/57/1074253557.db2.gz KBXYSKUOKBQRJZ-IKGGRYGDSA-N 0 0 441.525 -0.055 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OC(C)(C)C)C(=O)N1Cc2[nH]nc(C(=O)N3CCOCC3)c2C1 ZINC001183873767 1074253641 /nfs/dbraw/zinc/25/36/41/1074253641.db2.gz NVEWZMFDXUZOLV-AWEZNQCLSA-N 0 0 435.481 -0.039 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H](CC(=O)OC(C)(C)C)NC(C)=O)C2 ZINC001183874870 1074253525 /nfs/dbraw/zinc/25/35/25/1074253525.db2.gz DJDNRFAPPBITID-OLZOCXBDSA-N 0 0 432.495 -0.588 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H](CC(=O)OC(C)(C)C)NC(C)=O)C2 ZINC001183874873 1074253568 /nfs/dbraw/zinc/25/35/68/1074253568.db2.gz DJDNRFAPPBITID-STQMWFEESA-N 0 0 432.495 -0.588 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OC(C)(C)C)C(=O)N1C[C@H]2CCN(S(=O)(=O)N(C)C)C[C@@H]2C1 ZINC001183874884 1074253632 /nfs/dbraw/zinc/25/36/32/1074253632.db2.gz VRSZZSHMAVYSDO-PMPSAXMXSA-N 0 0 446.570 -0.190 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OC(C)(C)C)C(=O)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001183874889 1074253652 /nfs/dbraw/zinc/25/36/52/1074253652.db2.gz VVLBWPNNHDCDPW-HNNXBMFYSA-N 0 0 436.513 -0.311 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OC(C)(C)C)C(=O)N1C[C@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001183876416 1074253664 /nfs/dbraw/zinc/25/36/64/1074253664.db2.gz MWWNNPYNPCQRDL-CVEARBPZSA-N 0 0 438.525 -0.382 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OC(C)(C)C)C(=O)N1C[C@@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001183876420 1074253498 /nfs/dbraw/zinc/25/34/98/1074253498.db2.gz MWWNNPYNPCQRDL-HOTGVXAUSA-N 0 0 438.525 -0.382 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC(N3CCOCC3)C2)c1 ZINC001183877947 1074253591 /nfs/dbraw/zinc/25/35/91/1074253591.db2.gz BRORNKQTGPKMRR-UHFFFAOYSA-N 0 0 438.550 -0.070 20 0 IBADRN CC(=O)N[C@@H](CC(=O)OC(C)(C)C)C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001183879033 1074253598 /nfs/dbraw/zinc/25/35/98/1074253598.db2.gz KIDXGSBORMFOKH-HOTGVXAUSA-N 0 0 434.493 -0.448 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@@H](O)Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001183899118 1074253659 /nfs/dbraw/zinc/25/36/59/1074253659.db2.gz PKSSGWLEHXEEIO-BZSNNMDCSA-N 0 0 448.520 -0.581 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H](O)Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001183899151 1074253549 /nfs/dbraw/zinc/25/35/49/1074253549.db2.gz PKSSGWLEHXEEIO-OKZBNKHCSA-N 0 0 448.520 -0.581 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)[C@H](O)Cc2ccc(O)cc2)cc1Cl ZINC001183902828 1074253512 /nfs/dbraw/zinc/25/35/12/1074253512.db2.gz PAHGEUHGLZCCLM-GFCCVEGCSA-N 0 0 449.894 -0.118 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)[C@@H](O)Cc2ccc(O)cc2)cc1Cl ZINC001183902831 1074253681 /nfs/dbraw/zinc/25/36/81/1074253681.db2.gz PAHGEUHGLZCCLM-LBPRGKRZSA-N 0 0 449.894 -0.118 20 0 IBADRN Nc1ccc(CCC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001183932539 1074253687 /nfs/dbraw/zinc/25/36/87/1074253687.db2.gz WDXRFNSFNKSRAX-BRWVUGGUSA-N 0 0 436.534 -0.283 20 0 IBADRN Nc1ccc(CCC(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001183932540 1074253533 /nfs/dbraw/zinc/25/35/33/1074253533.db2.gz WDXRFNSFNKSRAX-GVDBMIGSSA-N 0 0 436.534 -0.283 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)Cc2[nH]nc3cc(Br)ccc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001183932801 1074254187 /nfs/dbraw/zinc/25/41/87/1074254187.db2.gz WQVHFJULFKQXAS-XMUKNQAISA-N 0 0 444.282 -0.172 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)CCc1ccc(N)nc1)C(=O)NCC(N)=O ZINC001183932901 1074254160 /nfs/dbraw/zinc/25/41/60/1074254160.db2.gz AFBIGZFFNDRGLD-HOTGVXAUSA-N 0 0 432.525 -0.280 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C(C)C ZINC001183949507 1074254048 /nfs/dbraw/zinc/25/40/48/1074254048.db2.gz DFRUSVQCBTVSTD-DZKIICNBSA-N 0 0 441.529 -0.510 20 0 IBADRN COC(=O)N[C@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)C(C)C ZINC001183949512 1074254183 /nfs/dbraw/zinc/25/41/83/1074254183.db2.gz DJQGVXCVSUQWKN-FPCVCCKLSA-N 0 0 445.538 -0.513 20 0 IBADRN COC(=O)N[C@H](C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)C(C)C ZINC001183949513 1074254154 /nfs/dbraw/zinc/25/41/54/1074254154.db2.gz DJQGVXCVSUQWKN-JJXSEGSLSA-N 0 0 445.538 -0.513 20 0 IBADRN COC(=O)N[C@H](C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O)C(C)C ZINC001183952110 1074254098 /nfs/dbraw/zinc/25/40/98/1074254098.db2.gz XDVNCXIRJVCPID-NSHDSACASA-N 0 0 442.903 -0.046 20 0 IBADRN CC(=O)N[C@H](C(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1)[C@@H](C)OC(C)(C)C ZINC001183953205 1074254581 /nfs/dbraw/zinc/25/45/81/1074254581.db2.gz KUSVFMBYLCCZAN-ACJLOTCBSA-N 0 0 438.529 -0.067 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)C(OC)OC)CC2)cc1 ZINC001183962414 1074254496 /nfs/dbraw/zinc/25/44/96/1074254496.db2.gz FFUZAPMIXOVPOR-UHFFFAOYSA-N 0 0 429.495 -0.347 20 0 IBADRN CC(=O)N[C@H](C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO)[C@@H](C)OC(C)(C)C ZINC001183962628 1074254466 /nfs/dbraw/zinc/25/44/66/1074254466.db2.gz ONWCKASFBUZLAC-ZIRHEVKLSA-N 0 0 440.497 -0.270 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)C1=Cc3ccccc3C1)c2N ZINC001183974749 1074254504 /nfs/dbraw/zinc/25/45/04/1074254504.db2.gz QLXXYRWFSOGADD-KHTYJDQRSA-N 0 0 438.444 -0.160 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C1=Cc2ccccc2C1 ZINC001183975433 1074254572 /nfs/dbraw/zinc/25/45/72/1074254572.db2.gz XJYFMZDNYZXRSV-QEPJRFBGSA-N 0 0 425.401 -0.278 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCc2nc([C@@H]3CCOC3)n[nH]2)c1 ZINC001183987753 1074254072 /nfs/dbraw/zinc/25/40/72/1074254072.db2.gz PDQJBULTLFMBTO-CYBMUJFWSA-N 0 0 438.510 -0.183 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCc2nc([C@H]3CCOC3)n[nH]2)c1 ZINC001183987754 1074253993 /nfs/dbraw/zinc/25/39/93/1074253993.db2.gz PDQJBULTLFMBTO-ZDUSSCGKSA-N 0 0 438.510 -0.183 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)C1(Cc2cccc(C(F)(F)F)c2)CCOCC1 ZINC001183997540 1074254611 /nfs/dbraw/zinc/25/46/11/1074254611.db2.gz XAELKCYYDOVZQL-HHARLNAUSA-N 0 0 449.422 -0.039 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)C1(Cc2cccc(C(F)(F)F)c2)CCOCC1 ZINC001183997541 1074254457 /nfs/dbraw/zinc/25/44/57/1074254457.db2.gz XAELKCYYDOVZQL-WRQOLXDDSA-N 0 0 449.422 -0.039 20 0 IBADRN CC(C)C1(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001184005431 1074254534 /nfs/dbraw/zinc/25/45/34/1074254534.db2.gz JVXXIPNDIYNECG-OXGONZEZSA-N 0 0 432.514 -0.424 20 0 IBADRN CC(C)C1(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001184005433 1074254967 /nfs/dbraw/zinc/25/49/67/1074254967.db2.gz JVXXIPNDIYNECG-QCODTGAPSA-N 0 0 432.514 -0.424 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)n2c(N)c3ncn(COCCO)c3nc2=N)c1 ZINC001184008807 1074255005 /nfs/dbraw/zinc/25/50/05/1074255005.db2.gz XRIBXIYMMPHRAZ-UHFFFAOYSA-N 0 0 435.466 -0.485 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)Cc1cccc(NS(C)(=O)=O)c1)C2 ZINC001184010460 1074255063 /nfs/dbraw/zinc/25/50/63/1074255063.db2.gz PIXDZQPJYUUXAQ-AWEZNQCLSA-N 0 0 430.504 -0.211 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)Cc1cccc(NS(C)(=O)=O)c1)C2 ZINC001184010463 1074255026 /nfs/dbraw/zinc/25/50/26/1074255026.db2.gz PIXDZQPJYUUXAQ-CQSZACIVSA-N 0 0 430.504 -0.211 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)Cc1cccc(NS(C)(=O)=O)c1)C[C@H]2C(=O)N(C)C ZINC001184010528 1074255051 /nfs/dbraw/zinc/25/50/51/1074255051.db2.gz AUZQGSBUQMCJDC-KRWDZBQOSA-N 0 0 436.534 -0.004 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)Cc1cccc(NS(C)(=O)=O)c1)C[C@@H]2C(=O)N(C)C ZINC001184010529 1074254931 /nfs/dbraw/zinc/25/49/31/1074254931.db2.gz AUZQGSBUQMCJDC-QGZVFWFLSA-N 0 0 436.534 -0.004 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@H]1COCCN1Cc1ccccc1 ZINC001184013127 1074255087 /nfs/dbraw/zinc/25/50/87/1074255087.db2.gz SVRAREDXOXFRRI-OAHLLOKOSA-N 0 0 427.465 -0.198 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)Cc3c(C)[nH]c4nn(C)c(=O)c-4c3C)CC2)CCOC1 ZINC001184014201 1074255078 /nfs/dbraw/zinc/25/50/78/1074255078.db2.gz GOPMBLKUIJXLLH-NRFANRHFSA-N 0 0 431.493 -0.103 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)Cc3c(C)[nH]c4nn(C)c(=O)c-4c3C)CC2)CCOC1 ZINC001184014202 1074255009 /nfs/dbraw/zinc/25/50/09/1074255009.db2.gz GOPMBLKUIJXLLH-OAQYLSRUSA-N 0 0 431.493 -0.103 20 0 IBADRN CS(=O)(=O)Nc1cccc(CC(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001184014674 1074254604 /nfs/dbraw/zinc/25/46/04/1074254604.db2.gz XJEASIPWSVZBKW-KRWDZBQOSA-N 0 0 432.502 -0.070 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H]3COCCN3Cc3ccccc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001184017174 1074254430 /nfs/dbraw/zinc/25/44/30/1074254430.db2.gz ATLVPFPWYCDBRE-WJFTUGDTSA-N 0 0 444.488 -0.077 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2COC(C)(C)N2C(=O)OC(C)(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001184026061 1074254565 /nfs/dbraw/zinc/25/45/65/1074254565.db2.gz HXVLHFXWPXFFMS-FCUGXXHSSA-N 0 0 434.486 -0.681 20 0 IBADRN O=C(C[C@@H](O)C(F)(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001184028368 1074254522 /nfs/dbraw/zinc/25/45/22/1074254522.db2.gz FWWDOCLBBBFTOE-FDYHWXHSSA-N 0 0 428.429 -0.580 20 0 IBADRN O=C(C[C@@H](O)C(F)(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001184028369 1074254438 /nfs/dbraw/zinc/25/44/38/1074254438.db2.gz FWWDOCLBBBFTOE-UMSGYPCISA-N 0 0 428.429 -0.580 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)C[C@@H](O)C(F)(F)F)cc1Cl ZINC001184035336 1074256668 /nfs/dbraw/zinc/25/66/68/1074256668.db2.gz JMMUTMMAJUETTQ-MRVPVSSYSA-N 0 0 425.794 -0.113 20 0 IBADRN O=C(N1CCOCC1)[C@@]12CN(c3ncccn3)C[C@@H]1CN(C(=O)C1(CO)COC1)CCC2 ZINC001184042730 1074256671 /nfs/dbraw/zinc/25/66/71/1074256671.db2.gz OGXGERKHQVJHOD-JTSKRJEESA-N 0 0 445.520 -0.611 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)C3(CO)COC3)CC2)c2nonc21 ZINC001184044643 1074256674 /nfs/dbraw/zinc/25/66/74/1074256674.db2.gz WMRGDYLJGSINGT-UHFFFAOYSA-N 0 0 425.467 -0.869 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)N[C@@H]2C[C@H](CO)[C@@H](O)[C@H]2O)CCC2(CC1)OCCO2 ZINC001184051154 1074256657 /nfs/dbraw/zinc/25/66/57/1074256657.db2.gz LORDQMHOVXYMJX-TUVASFSCSA-N 0 0 430.498 -0.214 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1(NC(=O)OC(C)(C)C)CCC2(CC1)OCCO2 ZINC001184054018 1074256599 /nfs/dbraw/zinc/25/65/99/1074256599.db2.gz MHPMVGBFZGPIRJ-UHFFFAOYSA-N 0 0 429.470 -0.028 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)N2CN[C@H](N)[C@H]3NCN[C@@H]32)CCC2(CC1)OCCO2 ZINC001184054471 1074256663 /nfs/dbraw/zinc/25/66/63/1074256663.db2.gz MJXXINPGOJGYFC-HZSPNIEDSA-N 0 0 426.518 -0.914 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)N2CN[C@H](N)[C@@H]3NCN[C@H]32)CCC2(CC1)OCCO2 ZINC001184054480 1074256691 /nfs/dbraw/zinc/25/66/91/1074256691.db2.gz MJXXINPGOJGYFC-IHRRRGAJSA-N 0 0 426.518 -0.914 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)N2CN[C@H](N)[C@@H]3NCN[C@@H]32)CCC2(CC1)OCCO2 ZINC001184054482 1074256650 /nfs/dbraw/zinc/25/66/50/1074256650.db2.gz MJXXINPGOJGYFC-MELADBBJSA-N 0 0 426.518 -0.914 20 0 IBADRN CC(C)(C)OC(=O)NC1(C(=O)N2CN[C@H](N)[C@H]3NCN[C@H]32)CCC2(CC1)OCCO2 ZINC001184054483 1074256618 /nfs/dbraw/zinc/25/66/18/1074256618.db2.gz MJXXINPGOJGYFC-RDBSUJKOSA-N 0 0 426.518 -0.914 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)C1(c2ccccc2C(F)(F)F)CCOCC1 ZINC001184056027 1074256608 /nfs/dbraw/zinc/25/66/08/1074256608.db2.gz SQGBQIQXTPQMRM-OXGONZEZSA-N 0 0 435.395 -0.330 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)C1(c2ccccc2C(F)(F)F)CCOCC1 ZINC001184056028 1074256687 /nfs/dbraw/zinc/25/66/87/1074256687.db2.gz SQGBQIQXTPQMRM-QCODTGAPSA-N 0 0 435.395 -0.330 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCc3nnc(COc4ccccn4)n3CC2)NC1=O ZINC001184062037 1074256683 /nfs/dbraw/zinc/25/66/83/1074256683.db2.gz CRFALVJXNNETMF-KBPBESRZSA-N 0 0 427.465 -0.580 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC(=O)NC[C@@]23CCN(C(=O)OC(C)(C)C)C3)NC1=O ZINC001184062118 1074256583 /nfs/dbraw/zinc/25/65/83/1074256583.db2.gz DHYCAWRUPMGYLS-KQHSUYLTSA-N 0 0 437.497 -0.892 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC(=O)NC[C@]23CCN(C(=O)OC(C)(C)C)C3)NC1=O ZINC001184062120 1074257060 /nfs/dbraw/zinc/25/70/60/1074257060.db2.gz DHYCAWRUPMGYLS-QAJFTPDKSA-N 0 0 437.497 -0.892 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)n2c(N)c3nc(-c4cccc(O)c4)cnc3nc2=N)NC1=O ZINC001184062127 1074256997 /nfs/dbraw/zinc/25/69/97/1074256997.db2.gz DLTJIWOWMXFRJS-CABZTGNLSA-N 0 0 436.432 -0.316 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCO[C@H]3[C@H](OCC(=O)N4CCCC4)CC[C@@H]32)NC1=O ZINC001184062835 1074255074 /nfs/dbraw/zinc/25/50/74/1074255074.db2.gz HRZUCDZUEPWHRM-XHWCBRGXSA-N 0 0 436.509 -0.833 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@@H]3COC[C@H](C(=O)N4CCC(F)CC4)[C@@H]3C2)NC1=O ZINC001184062836 1074255040 /nfs/dbraw/zinc/25/50/40/1074255040.db2.gz HSXSAIYSRVVSSG-DRBLLZIRSA-N 0 0 438.500 -0.549 20 0 IBADRN COc1ccc(N)c(N(C(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)C2COC(C)(C)OC2)n1 ZINC001184063254 1074255057 /nfs/dbraw/zinc/25/50/57/1074255057.db2.gz IMIXAQFAJBUDHQ-FZMZJTMJSA-N 0 0 435.481 -0.060 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCCn3nnc(COc4cccnc4)c3C2)NC1=O ZINC001184063468 1074254975 /nfs/dbraw/zinc/25/49/75/1074254975.db2.gz MLRWDLIMLHECIN-ZFWWWQNUSA-N 0 0 427.465 -0.232 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@H](C(=O)Nc3cccnc3)C[C@H]3OCC[C@H]32)NC1=O ZINC001184063815 1074254986 /nfs/dbraw/zinc/25/49/86/1074254986.db2.gz NVPQAYZHUPVTND-GMBUGEKQSA-N 0 0 429.477 -0.191 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N[C@H](CO)[C@H](O)c2ccc(Br)cc2)NC1=O ZINC001184064059 1074255084 /nfs/dbraw/zinc/25/50/84/1074255084.db2.gz SIZGLLWUXJYVIA-GCVYGYKWSA-N 0 0 428.283 -0.257 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N[C@@H](CO)[C@@H](O)c2ccc(Br)cc2)NC1=O ZINC001184064061 1074255033 /nfs/dbraw/zinc/25/50/33/1074255033.db2.gz SIZGLLWUXJYVIA-STAGSXQKSA-N 0 0 428.283 -0.257 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N[C@@H](CO)[C@H](O)c2ccc(Br)cc2)NC1=O ZINC001184064063 1074255068 /nfs/dbraw/zinc/25/50/68/1074255068.db2.gz SIZGLLWUXJYVIA-SUDQYYNISA-N 0 0 428.283 -0.257 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N[C@H](CO)[C@@H](O)c2ccc(Br)cc2)NC1=O ZINC001184064065 1074255363 /nfs/dbraw/zinc/25/53/63/1074255363.db2.gz SIZGLLWUXJYVIA-WTKQLKIWSA-N 0 0 428.283 -0.257 20 0 IBADRN CCOC(=O)c1cnc2nc(SC)nn2c1NC(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O ZINC001184064301 1074255441 /nfs/dbraw/zinc/25/54/41/1074255441.db2.gz XICJUBBEJCZYJJ-WPRPVWTQSA-N 0 0 435.466 -0.255 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)Nc2ncc(I)cc2C(N)=O)NC1=O ZINC001184064411 1074255383 /nfs/dbraw/zinc/25/53/83/1074255383.db2.gz RMBNJGZOFKWMDE-RCOVLWMOSA-N 0 0 445.217 -0.493 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2Cc3nnn(C)c3[C@H](COCc3cccnc3)C2)NC1=O ZINC001184065051 1074255311 /nfs/dbraw/zinc/25/53/11/1074255311.db2.gz VLAYZUZPAGYQTQ-BPUTZDHNSA-N 0 0 441.492 -0.364 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2Cc3nnn(C)c3[C@@H](COCc3cccnc3)C2)NC1=O ZINC001184065052 1074255347 /nfs/dbraw/zinc/25/53/47/1074255347.db2.gz VLAYZUZPAGYQTQ-IMJJTQAJSA-N 0 0 441.492 -0.364 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC3(CC2)OCC(=O)N(c2cnn(C)c2)[C@H]3C)NC1=O ZINC001184065072 1074255447 /nfs/dbraw/zinc/25/54/47/1074255447.db2.gz WEIGEJKDGCNKRJ-DZKIICNBSA-N 0 0 446.508 -0.684 20 0 IBADRN C[C@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O)CC2 ZINC001184065073 1074255412 /nfs/dbraw/zinc/25/54/12/1074255412.db2.gz WEIGEJKDGCNKRJ-LZWOXQAQSA-N 0 0 446.508 -0.684 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O ZINC001184065085 1074255330 /nfs/dbraw/zinc/25/53/30/1074255330.db2.gz WQASOTKRFYIXME-IHRRRGAJSA-N 0 0 440.497 -0.219 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O ZINC001184065087 1074255398 /nfs/dbraw/zinc/25/53/98/1074255398.db2.gz WQASOTKRFYIXME-MELADBBJSA-N 0 0 440.497 -0.219 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@H]3OCC[C@H]3[C@H](C(=O)NCc3cccnc3)C2)NC1=O ZINC001184065197 1074255324 /nfs/dbraw/zinc/25/53/24/1074255324.db2.gz YJRRCYVGUAEGNX-XEIOYGOASA-N 0 0 443.504 -0.655 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCOCCF)CC2)cc1 ZINC001184071010 1074255357 /nfs/dbraw/zinc/25/53/57/1074255357.db2.gz NCSNVRVEHAMTEK-UHFFFAOYSA-N 0 0 437.515 -0.163 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCn3nnc(COCc4cccnc4)c3C2)NC1=O ZINC001184073666 1074255432 /nfs/dbraw/zinc/25/54/32/1074255432.db2.gz DOLLMTWKZOAZLF-ZFWWWQNUSA-N 0 0 427.465 -0.485 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@@H](NC(=O)c3cccnc3)[C@H]3OCCC[C@H]3C2)NC1=O ZINC001184074074 1074255405 /nfs/dbraw/zinc/25/54/05/1074255405.db2.gz HRVZQXUZBOQTIO-HXOKRWIQSA-N 0 0 443.504 -0.399 20 0 IBADRN Cc1nc(N(C)C)nc2c1COC[C@]21CCN(C(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)C1 ZINC001184074079 1074255367 /nfs/dbraw/zinc/25/53/67/1074255367.db2.gz HWUVNXWXUTZSTJ-XLDJFRKUSA-N 0 0 430.509 -0.365 20 0 IBADRN Cc1nc(N(C)C)nc2c1COC[C@@]21CCN(C(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)C1 ZINC001184074080 1074255832 /nfs/dbraw/zinc/25/58/32/1074255832.db2.gz HWUVNXWXUTZSTJ-YHQOMNDMSA-N 0 0 430.509 -0.365 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@H]3OCC[C@@]3(C(=O)NCc3ccccn3)C2)NC1=O ZINC001184074347 1074255851 /nfs/dbraw/zinc/25/58/51/1074255851.db2.gz JFUSVAOAALUNRF-MSLCTRMTSA-N 0 0 443.504 -0.511 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)n2c([C@H]3COCCN3)ncc/c2=N\Cc2ccco2)NC1=O ZINC001184074406 1074255823 /nfs/dbraw/zinc/25/58/23/1074255823.db2.gz KETGSHVSBBPXLI-BCPDLBOBSA-N 0 0 442.476 -0.339 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)n2c([C@@H]3COCCN3)ncc/c2=N\Cc2ccco2)NC1=O ZINC001184074411 1074255819 /nfs/dbraw/zinc/25/58/19/1074255819.db2.gz KETGSHVSBBPXLI-RHYZHVAGSA-N 0 0 442.476 -0.339 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC[C@@]3(C2)COCCN(c2ncc(F)cn2)C3)NC1=O ZINC001184074448 1074255859 /nfs/dbraw/zinc/25/58/59/1074255859.db2.gz KPTGXIXABDDJQV-HTZUNMPGSA-N 0 0 448.499 -0.156 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC[C@]3(C2)COCCN(c2ncc(F)cn2)C3)NC1=O ZINC001184074451 1074255806 /nfs/dbraw/zinc/25/58/06/1074255806.db2.gz KPTGXIXABDDJQV-WDUKFBBWSA-N 0 0 448.499 -0.156 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCO[C@H]3[C@H](OCCCn4cccn4)CC[C@@H]32)NC1=O ZINC001184074458 1074255802 /nfs/dbraw/zinc/25/58/02/1074255802.db2.gz KSZUWYSFMYFEAG-YTGMWSOZSA-N 0 0 433.509 -0.169 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)C[C@@]2(CCCC(=O)N2)C1 ZINC001184074466 1074255843 /nfs/dbraw/zinc/25/58/43/1074255843.db2.gz KUPFDVFBVAIWMD-GXZWQRSESA-N 0 0 435.525 -0.865 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)C[C@]2(CCCC(=O)N2)C1 ZINC001184074468 1074255847 /nfs/dbraw/zinc/25/58/47/1074255847.db2.gz KUPFDVFBVAIWMD-VFCRVFHLSA-N 0 0 435.525 -0.865 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC3(CC2)COCCN3Cc2ccn(C)n2)NC1=O ZINC001184074477 1074255792 /nfs/dbraw/zinc/25/57/92/1074255792.db2.gz LBJPAIDUVWGOCI-RDJZCZTQSA-N 0 0 432.525 -0.603 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@H]3O[C@H](C(=O)N(C)Cc4ccccn4)C[C@H]32)NC1=O ZINC001184074517 1074255785 /nfs/dbraw/zinc/25/57/85/1074255785.db2.gz LVPUUSCORRWAMQ-MWTISTBLSA-N 0 0 443.504 -0.418 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@@H]3CN(C(=O)c4ccco4)CCO[C@@H]3C2)NC1=O ZINC001184074521 1074255797 /nfs/dbraw/zinc/25/57/97/1074255797.db2.gz MBLBDBVPDRGDRG-QSJFSLAZSA-N 0 0 432.477 -0.248 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@@H]3COC[C@]3(C(=O)NCCc3cccs3)C2)NC1=O ZINC001184074645 1074255827 /nfs/dbraw/zinc/25/58/27/1074255827.db2.gz NFDPLKRKGHKPNU-GUUAHRICSA-N 0 0 448.545 -0.335 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)NC1=O ZINC001184074674 1074255779 /nfs/dbraw/zinc/25/57/79/1074255779.db2.gz OGEBELOBHXPFQH-GJZGRUSLSA-N 0 0 444.492 -0.907 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@]3(C[C@@H](OCC(=O)N4CCCC4)CO3)C2)NC1=O ZINC001184074687 1074255769 /nfs/dbraw/zinc/25/57/69/1074255769.db2.gz OSGLTUWYTAQHNQ-YJXLLHPSSA-N 0 0 436.509 -0.831 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@@H]3COC[C@]3(C(=O)NCCc3ccccc3)C2)NC1=O ZINC001184074717 1074255815 /nfs/dbraw/zinc/25/58/15/1074255815.db2.gz PZEHETPJOSMJSX-XQNUDUSMSA-N 0 0 442.516 -0.396 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@H]3OC[C@@H](C(=O)N4CCCCO4)C[C@H]3C2)NC1=O ZINC001184074767 1074255810 /nfs/dbraw/zinc/25/58/10/1074255810.db2.gz RMIOWTJVDAJJPM-QUSNUVHPSA-N 0 0 436.509 -0.423 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@H](NC(=O)c3cscn3)[C@@H]3OCCC[C@@H]32)NC1=O ZINC001184074769 1074255855 /nfs/dbraw/zinc/25/58/55/1074255855.db2.gz RMYSDVPODMNOOR-HNRKBYSSSA-N 0 0 435.506 -0.585 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCO[C@@]3(CCCN(C(=O)c4ccco4)C3)C2)NC1=O ZINC001184074791 1074255861 /nfs/dbraw/zinc/25/58/61/1074255861.db2.gz SGGBIJIVKZHRPQ-GXZWQRSESA-N 0 0 432.477 -0.104 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCO[C@]3(CCCN(C(=O)c4ccco4)C3)C2)NC1=O ZINC001184074792 1074256190 /nfs/dbraw/zinc/25/61/90/1074256190.db2.gz SGGBIJIVKZHRPQ-VFCRVFHLSA-N 0 0 432.477 -0.104 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@@H]3[C@@H](CO[C@@H]3CC(=O)NCc3ccco3)C2)NC1=O ZINC001184074795 1074256182 /nfs/dbraw/zinc/25/61/82/1074256182.db2.gz SJIPXMNYAYTJFB-XGAARHLOSA-N 0 0 446.504 -0.067 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC3(CC2)C(=O)NCCN3Cc2ccccc2)NC1=O ZINC001184074797 1074256071 /nfs/dbraw/zinc/25/60/71/1074256071.db2.gz SLEDUMLLWJEOJP-WMZOPIPTSA-N 0 0 441.532 -0.237 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCn3cc(CNC(=O)c4cccn4C)nc3C2)NC1=O ZINC001184074960 1074256121 /nfs/dbraw/zinc/25/61/21/1074256121.db2.gz VEAFRNXTPHNBEJ-ZFWWWQNUSA-N 0 0 441.492 -0.723 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@H]3c4ccccc4N(S(C)(=O)=O)C[C@@H]3C2)NC1=O ZINC001184074975 1074256133 /nfs/dbraw/zinc/25/61/33/1074256133.db2.gz VMWMADJRORXZOR-UGQVUOCMSA-N 0 0 434.518 -0.209 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC3(C[C@H](C(=O)N4CCOCC4)CO3)CC2)NC1=O ZINC001184074982 1074256041 /nfs/dbraw/zinc/25/60/41/1074256041.db2.gz VPRQCRQHNJQRJX-JYJNAYRXSA-N 0 0 436.509 -0.974 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC3(C[C@@H](C(=O)N4CCOCC4)CO3)CC2)NC1=O ZINC001184074983 1074256177 /nfs/dbraw/zinc/25/61/77/1074256177.db2.gz VPRQCRQHNJQRJX-XHSDSOJGSA-N 0 0 436.509 -0.974 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCCn3nnc(CNc4cccnc4)c3C2)NC1=O ZINC001184074984 1074256215 /nfs/dbraw/zinc/25/62/15/1074256215.db2.gz VPZHVEZKXZEBQP-ZFWWWQNUSA-N 0 0 426.481 -0.199 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)CC[C@@H]3NC(=O)[C@H](C)NC3=O)CC1)C(=O)N2 ZINC001184074993 1074256033 /nfs/dbraw/zinc/25/60/33/1074256033.db2.gz WFCSQSQKLCBOSL-LRDDRELGSA-N 0 0 441.488 -0.358 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)NC1=O ZINC001184075010 1074256064 /nfs/dbraw/zinc/25/60/64/1074256064.db2.gz WOIFSGISUMITJI-GRDNDAEWSA-N 0 0 430.461 -0.720 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)NC1=O ZINC001184075012 1074256197 /nfs/dbraw/zinc/25/61/97/1074256197.db2.gz WOIFSGISUMITJI-ZQIUZPCESA-N 0 0 430.461 -0.720 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCc3onc(C(=O)Nc4cccnc4)c3C2)NC1=O ZINC001184075014 1074256057 /nfs/dbraw/zinc/25/60/57/1074256057.db2.gz WQTINUYXWSZKII-FZMZJTMJSA-N 0 0 426.433 -0.010 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC3(CC2)CN(Cc2cccnc2)C(=O)CO3)NC1=O ZINC001184075020 1074256157 /nfs/dbraw/zinc/25/61/57/1074256157.db2.gz WWWUTTZOCTVWFE-RDJZCZTQSA-N 0 0 443.504 -0.415 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@H]3CO[C@H](CNC(=O)c4ccncc4)[C@H]3C2)NC1=O ZINC001184075037 1074256049 /nfs/dbraw/zinc/25/60/49/1074256049.db2.gz XBKACQZMMRSZMI-PEJOBZMASA-N 0 0 443.504 -0.542 20 0 IBADRN CC(=O)N1[C@H](Cn2cccn2)C[C@@H]2CN(C(=O)CC[C@@H]3NC(=O)[C@H](C)NC3=O)CC[C@@H]21 ZINC001184075316 1074256150 /nfs/dbraw/zinc/25/61/50/1074256150.db2.gz XODYFKFELSXRAF-ITWBUVANSA-N 0 0 430.509 -0.496 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@H](NC(=O)c3cccn3C)[C@H]3OCCC[C@H]32)NC1=O ZINC001184075333 1074256141 /nfs/dbraw/zinc/25/61/41/1074256141.db2.gz XTSSIJQSOORWIB-YUYGLALOSA-N 0 0 431.493 -0.703 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N(c2ccccn2)c2ccnc([C@@H]3COCCN3)n2)NC1=O ZINC001184075340 1074256220 /nfs/dbraw/zinc/25/62/20/1074256220.db2.gz XUIYVJPXALNGSY-KKUMJFAQSA-N 0 0 439.476 -0.020 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N(c2ccccn2)c2ccnc([C@H]3COCCN3)n2)NC1=O ZINC001184075341 1074256207 /nfs/dbraw/zinc/25/62/07/1074256207.db2.gz XUIYVJPXALNGSY-SOUVJXGZSA-N 0 0 439.476 -0.020 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2C[C@@H]3CN(C(=O)c4ccccc4)CCO[C@@H]3C2)NC1=O ZINC001184075349 1074256164 /nfs/dbraw/zinc/25/61/64/1074256164.db2.gz XZYOXGPDYZNHTG-LEUOFYLZSA-N 0 0 428.489 -0.231 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCCn3c(=O)cc(Cn4ccnc4)nc3C2)NC1=O ZINC001184075363 1074256707 /nfs/dbraw/zinc/25/67/07/1074256707.db2.gz YSUILFGTIYBUFU-ZFWWWQNUSA-N 0 0 427.465 -0.996 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@@]3(C2)CN(c2cccc(F)c2)C(=O)CN3C)NC1=O ZINC001184075382 1074256715 /nfs/dbraw/zinc/25/67/15/1074256715.db2.gz ZKEPXXLKXNOJRC-ICSGCJEQSA-N 0 0 445.495 -0.142 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CC[C@]3(C2)CN(c2cccc(F)c2)C(=O)CN3C)NC1=O ZINC001184075383 1074256573 /nfs/dbraw/zinc/25/65/73/1074256573.db2.gz ZKEPXXLKXNOJRC-JKXTWKSPSA-N 0 0 445.495 -0.142 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCC3(CC2)C(=O)NCN3c2ccc(F)cc2)NC1=O ZINC001184083850 1074257141 /nfs/dbraw/zinc/25/71/41/1074257141.db2.gz DKAUVVUQIRBQKU-BBRMVZONSA-N 0 0 431.468 -0.136 20 0 IBADRN COC(=O)[C@@]1(NC(=O)OC(C)(C)C)CCN(C(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)C1 ZINC001184084243 1074257006 /nfs/dbraw/zinc/25/70/06/1074257006.db2.gz FBRZGZFYSOUVEU-SYTFOFBDSA-N 0 0 426.470 -0.562 20 0 IBADRN COC(=O)[C@]1(NC(=O)OC(C)(C)C)CCN(C(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)C1 ZINC001184084245 1074257180 /nfs/dbraw/zinc/25/71/80/1074257180.db2.gz FBRZGZFYSOUVEU-ZKTNFTSUSA-N 0 0 426.470 -0.562 20 0 IBADRN CCN(CC)C(=O)c1cccnc1N1CCN(C(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)CC1 ZINC001184084280 1074257176 /nfs/dbraw/zinc/25/71/76/1074257176.db2.gz GFCPBAZLRZVZND-RDJZCZTQSA-N 0 0 444.536 -0.005 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N2CCN(CC(=O)NCCc3ccccc3)CC2)NC1=O ZINC001184084777 1074257172 /nfs/dbraw/zinc/25/71/72/1074257172.db2.gz JOROEAUDLBGSOI-WMZOPIPTSA-N 0 0 429.521 -0.727 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)NC1=O ZINC001184090695 1074257147 /nfs/dbraw/zinc/25/71/47/1074257147.db2.gz HHXGVBFKMIYDRF-YJBOKZPZSA-N 0 0 444.536 -0.381 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)NC1=O ZINC001184093721 1074257098 /nfs/dbraw/zinc/25/70/98/1074257098.db2.gz NVVRFIWVYLWKPV-BBRMVZONSA-N 0 0 438.506 -0.893 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)NC[C@H]2Cn3nnc(-c4cccc(F)c4)c3CO2)NC1=O ZINC001184093726 1074257036 /nfs/dbraw/zinc/25/70/36/1074257036.db2.gz OBWDGFCCKBCBMW-CQDKDKBSSA-N 0 0 430.440 -0.118 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)NC[C@@H]2Cn3nnc(-c4cccc(F)c4)c3CO2)NC1=O ZINC001184093727 1074256978 /nfs/dbraw/zinc/25/69/78/1074256978.db2.gz OBWDGFCCKBCBMW-GLQYFDAESA-N 0 0 430.440 -0.118 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)NCc2nnc3n2CCCN(C(=O)OC(C)(C)C)C3)NC1=O ZINC001184093905 1074257022 /nfs/dbraw/zinc/25/70/22/1074257022.db2.gz PJUBBQYTQNSLQC-STQMWFEESA-N 0 0 449.512 -0.182 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N[C@@H]2CCc3c(ccc(=O)n3CCn3cccn3)C2)NC1=O ZINC001184094236 1074257186 /nfs/dbraw/zinc/25/71/86/1074257186.db2.gz ULBGBHHVGTUJKW-UAGQMJEPSA-N 0 0 440.504 -0.498 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)N[C@H]2CCc3c(ccc(=O)n3CCn3cccn3)C2)NC1=O ZINC001184094240 1074257069 /nfs/dbraw/zinc/25/70/69/1074257069.db2.gz ULBGBHHVGTUJKW-XIRDDKMYSA-N 0 0 440.504 -0.498 20 0 IBADRN C[C@@H]1NC(=O)[C@H](CCC(=O)NCCOCCOCCNC(=O)OC(C)(C)C)NC1=O ZINC001184094257 1074257045 /nfs/dbraw/zinc/25/70/45/1074257045.db2.gz UPKBAINOAGKTAM-KBPBESRZSA-N 0 0 430.502 -0.556 20 0 IBADRN COC(=O)C1(NC(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001184094859 1074257116 /nfs/dbraw/zinc/25/71/16/1074257116.db2.gz YDGCFXCKBJFPPM-STQMWFEESA-N 0 0 440.497 -0.171 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)CN1C(=O)OC(C)(C)C ZINC001184095031 1074257109 /nfs/dbraw/zinc/25/71/09/1074257109.db2.gz ZLFQTOZFMQXTAP-RNJOBUHISA-N 0 0 426.470 -0.563 20 0 IBADRN COc1ccc(C[C@@H](C)NC(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)cc1S(N)(=O)=O ZINC001184095087 1074257602 /nfs/dbraw/zinc/25/76/02/1074257602.db2.gz ZYEXZWPQRHCSRA-MDZLAQPJSA-N 0 0 426.495 -0.827 20 0 IBADRN COC(=O)[C@H](Cc1ccc(Br)cn1)NC(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O ZINC001184100516 1074257564 /nfs/dbraw/zinc/25/75/64/1074257564.db2.gz DGBMDDSZJQUQGB-XDTLVQLUSA-N 0 0 441.282 -0.172 20 0 IBADRN COC(=O)[C@H](CCCNC(=O)OC(C)(C)C)NC(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O ZINC001184100952 1074257429 /nfs/dbraw/zinc/25/74/29/1074257429.db2.gz GJOUZMXPZOLDLC-AVGNSLFASA-N 0 0 428.486 -0.268 20 0 IBADRN COc1ccc(CN2C[C@@H](NC(=O)CC[C@@H]3NC(=O)[C@H](C)NC3=O)CC2=O)c(OC)c1 ZINC001184101691 1074257549 /nfs/dbraw/zinc/25/75/49/1074257549.db2.gz NXHJECMWWHJNTP-NOLJZWGESA-N 0 0 432.477 -0.296 20 0 IBADRN CC(N)=CC(=O)OCCCCOC(=O)/C=C(/C)NC(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O ZINC001184102352 1074257595 /nfs/dbraw/zinc/25/75/95/1074257595.db2.gz UYRXLEMCSOKPGV-OOOOLCSXSA-N 0 0 438.481 -0.481 20 0 IBADRN CCOC(=O)C1(NC(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)CN(C(=O)OC(C)(C)C)C1 ZINC001184103078 1074257418 /nfs/dbraw/zinc/25/74/18/1074257418.db2.gz XYWKJZXTUIKJIX-RYUDHWBXSA-N 0 0 426.470 -0.562 20 0 IBADRN CC(C)C[C@H](NC(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O)C(=O)NCC(=O)OC(C)(C)C ZINC001184111454 1074257526 /nfs/dbraw/zinc/25/75/26/1074257526.db2.gz RZCUBPVBPARXSC-IHRRRGAJSA-N 0 0 426.514 -0.242 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3C[C@]4(C)C(=O)NC(=O)[C@]4(C)C3)nc2n(C)c1=O ZINC001184117158 1074257464 /nfs/dbraw/zinc/25/74/64/1074257464.db2.gz KMYANTYNOGWIFD-BGYRXZFFSA-N 0 0 428.449 -0.498 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3C[C@@]4(C)C(=O)NC(=O)[C@]4(C)C3)nc2n(C)c1=O ZINC001184117160 1074257582 /nfs/dbraw/zinc/25/75/82/1074257582.db2.gz KMYANTYNOGWIFD-PMACEKPBSA-N 0 0 428.449 -0.498 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3C[C@]4(C)C(=O)NC(=O)[C@@]4(C)C3)nc2n(C)c1=O ZINC001184117162 1074257477 /nfs/dbraw/zinc/25/74/77/1074257477.db2.gz KMYANTYNOGWIFD-WOJBJXKFSA-N 0 0 428.449 -0.498 20 0 IBADRN COc1ccc(C2(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)CC2)c(OC)c1 ZINC001184145376 1074257586 /nfs/dbraw/zinc/25/75/86/1074257586.db2.gz CNUUMSCOKXVMPD-VDHUWJSZSA-N 0 0 446.460 -0.447 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2C[C@H](CO)[C@H](CO)C2)cc1 ZINC001184167953 1074258009 /nfs/dbraw/zinc/25/80/09/1074258009.db2.gz RPZCALTXWJGPQV-IYBDPMFKSA-N 0 0 427.523 -0.494 20 0 IBADRN O=C([C@@H]1CCCC[C@@H]1CO)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001184168899 1074257916 /nfs/dbraw/zinc/25/79/16/1074257916.db2.gz VAYVNWCICAXAOS-DUQPFJRNSA-N 0 0 428.551 -0.094 20 0 IBADRN O=C([C@@H]1CCCC[C@H]1CO)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001184168909 1074257968 /nfs/dbraw/zinc/25/79/68/1074257968.db2.gz VAYVNWCICAXAOS-IGKNDFSCSA-N 0 0 428.551 -0.094 20 0 IBADRN O=C([C@@H]1CCCC[C@@H]1CO)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001184168912 1074258089 /nfs/dbraw/zinc/25/80/89/1074258089.db2.gz VAYVNWCICAXAOS-UYTYNIKBSA-N 0 0 428.551 -0.094 20 0 IBADRN O=C([C@@H]1CCCC[C@H]1CO)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001184168916 1074257901 /nfs/dbraw/zinc/25/79/01/1074257901.db2.gz VAYVNWCICAXAOS-YYWYGQEZSA-N 0 0 428.551 -0.094 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2CCCC[C@H]2CO)[C@H]1O ZINC001184170820 1074257609 /nfs/dbraw/zinc/25/76/09/1074257609.db2.gz GUXZMEGZHLUYQE-BJPOOEKBSA-N 0 0 434.497 -0.574 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2CCCC[C@H]2CO)[C@H]1O ZINC001184170821 1074257538 /nfs/dbraw/zinc/25/75/38/1074257538.db2.gz GUXZMEGZHLUYQE-CLKWTCBRSA-N 0 0 434.497 -0.574 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2CCCC[C@@H]2CO)[C@H]1O ZINC001184170822 1074257614 /nfs/dbraw/zinc/25/76/14/1074257614.db2.gz GUXZMEGZHLUYQE-QDGJWCEESA-N 0 0 434.497 -0.574 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2CCCC[C@@H]2CO)[C@H]1O ZINC001184170823 1074257484 /nfs/dbraw/zinc/25/74/84/1074257484.db2.gz GUXZMEGZHLUYQE-QEIOTQNKSA-N 0 0 434.497 -0.574 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001184175681 1074257496 /nfs/dbraw/zinc/25/74/96/1074257496.db2.gz BBUUOCPMGSRETQ-ZDUSSCGKSA-N 0 0 440.501 -0.235 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001184176864 1074257556 /nfs/dbraw/zinc/25/75/56/1074257556.db2.gz ISDOMMICLHWGCA-AWEZNQCLSA-N 0 0 438.485 -0.002 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001184178950 1074257401 /nfs/dbraw/zinc/25/74/01/1074257401.db2.gz YNGIZOHTKYIKFQ-JTQLQIEISA-N 0 0 425.446 -0.559 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)N1C[C@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001184180009 1074258031 /nfs/dbraw/zinc/25/80/31/1074258031.db2.gz NXUYNMBGGHZBFS-CABCVRRESA-N 0 0 426.514 -0.079 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)N1C[C@@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001184180010 1074257991 /nfs/dbraw/zinc/25/79/91/1074257991.db2.gz NXUYNMBGGHZBFS-GJZGRUSLSA-N 0 0 426.514 -0.079 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001184181136 1074258020 /nfs/dbraw/zinc/25/80/20/1074258020.db2.gz HEUJQJYRVHLVCL-IGQOVBAYSA-N 0 0 442.469 -0.438 20 0 IBADRN CCOC(=O)[C@H](C)C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001184187259 1074257935 /nfs/dbraw/zinc/25/79/35/1074257935.db2.gz KLLZDSRPTPBBTK-AUUYWEPGSA-N 0 0 429.539 -0.082 20 0 IBADRN CCOC(=O)[C@@H](C)C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001184187264 1074257886 /nfs/dbraw/zinc/25/78/86/1074257886.db2.gz KLLZDSRPTPBBTK-IFXJQAMLSA-N 0 0 429.539 -0.082 20 0 IBADRN CCOC(=O)[C@H](C)C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001184187268 1074257981 /nfs/dbraw/zinc/25/79/81/1074257981.db2.gz KLLZDSRPTPBBTK-KUHUBIRLSA-N 0 0 429.539 -0.082 20 0 IBADRN CCOC(=O)[C@@H](C)C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001184187272 1074257925 /nfs/dbraw/zinc/25/79/25/1074257925.db2.gz KLLZDSRPTPBBTK-LIRRHRJNSA-N 0 0 429.539 -0.082 20 0 IBADRN CCOC(=O)[C@@H](C)C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001184188508 1074258105 /nfs/dbraw/zinc/25/81/05/1074258105.db2.gz PJYLOUDOTUZTOK-AEGPPILISA-N 0 0 438.506 -0.265 20 0 IBADRN CCOC(=O)[C@H](C)C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001184188539 1074257953 /nfs/dbraw/zinc/25/79/53/1074257953.db2.gz PJYLOUDOTUZTOK-VHDGCEQUSA-N 0 0 438.506 -0.265 20 0 IBADRN CC(C)(C)OC(=O)NCCCN(CC(=O)NC(CO)(CO)CO)C(=O)OC(C)(C)C ZINC001184215401 1074258042 /nfs/dbraw/zinc/25/80/42/1074258042.db2.gz HMTGSDPXODUQNS-UHFFFAOYSA-N 0 0 435.518 -0.030 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)Cc2cc(=O)oc3cc4c(cc23)OCO4)[C@@H](O)[C@H](O)[C@@H]1O ZINC001184233293 1074258382 /nfs/dbraw/zinc/25/83/82/1074258382.db2.gz BFGKNUUCZKRCJW-FQQYBOBVSA-N 0 0 437.401 -0.976 20 0 IBADRN CN(C)c1cccc2c1cccc2S(=O)(=O)NCC(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001184235348 1074258534 /nfs/dbraw/zinc/25/85/34/1074258534.db2.gz BAVNXIHPBYMCHM-UHFFFAOYSA-N 0 0 445.545 -0.173 20 0 IBADRN CN(C)c1cccc2c1cccc2S(=O)(=O)NCC(=O)N1CC(NS(C)(=O)=O)C1 ZINC001184235387 1074258335 /nfs/dbraw/zinc/25/83/35/1074258335.db2.gz BJMXFXCAEJTJBN-UHFFFAOYSA-N 0 0 440.547 -0.056 20 0 IBADRN CN(C)c1cccc2c1cccc2S(=O)(=O)NCC(=O)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O ZINC001184235567 1074258497 /nfs/dbraw/zinc/25/84/97/1074258497.db2.gz FNPSYUJMNINCFQ-USHFMXFJSA-N 0 0 437.518 -0.597 20 0 IBADRN CN(C)c1cccc2c1cccc2S(=O)(=O)NCC(=O)NC1CN(S(C)(=O)=O)C1 ZINC001184235581 1074258485 /nfs/dbraw/zinc/25/84/85/1074258485.db2.gz FPYMPXJNUDYCJA-UHFFFAOYSA-N 0 0 440.547 -0.056 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CNS(=O)(=O)c1cccc2c1cccc2N(C)C ZINC001184237023 1074258468 /nfs/dbraw/zinc/25/84/68/1074258468.db2.gz RYZTYEYUKNAVOC-UHFFFAOYSA-N 0 0 428.536 -0.151 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cccc2c1cccc2N(C)C ZINC001184237399 1074258509 /nfs/dbraw/zinc/25/85/09/1074258509.db2.gz VRADKXHVGUPWCH-UHFFFAOYSA-N 0 0 436.490 -0.411 20 0 IBADRN CCOC(=O)[C@@H](C)C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001184239613 1074258477 /nfs/dbraw/zinc/25/84/77/1074258477.db2.gz ZBGYEBALIWJLLR-IHRRRGAJSA-N 0 0 446.570 -0.444 20 0 IBADRN CCOC(=O)[C@H](C)C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001184239614 1074258358 /nfs/dbraw/zinc/25/83/58/1074258358.db2.gz ZBGYEBALIWJLLR-RDBSUJKOSA-N 0 0 446.570 -0.444 20 0 IBADRN COc1cc(CC(=O)C(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)ccc1O ZINC001184248953 1074258503 /nfs/dbraw/zinc/25/85/03/1074258503.db2.gz RMCRNXLJIBECLF-UHFFFAOYSA-N 0 0 429.433 -0.015 20 0 IBADRN COc1cc(CC(=O)C(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)ccc1O ZINC001184251329 1074258526 /nfs/dbraw/zinc/25/85/26/1074258526.db2.gz LATCJYYYESRJCG-UHFFFAOYSA-N 0 0 431.449 -0.249 20 0 IBADRN COc1cc(CC(=O)C(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)ccc1O ZINC001184254329 1074258321 /nfs/dbraw/zinc/25/83/21/1074258321.db2.gz NIGOYKYFIRNQER-JCURWCKSSA-N 0 0 433.417 -0.452 20 0 IBADRN COc1cc(CC(=O)C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)ccc1O ZINC001184258001 1074258425 /nfs/dbraw/zinc/25/84/25/1074258425.db2.gz YWATWZDJGUVUMC-GJZGRUSLSA-N 0 0 443.460 -0.561 20 0 IBADRN CC[C@@](C)(O)CC(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001184266553 1074258367 /nfs/dbraw/zinc/25/83/67/1074258367.db2.gz KYGVANSJYRFHSN-CKFHNAJUSA-N 0 0 432.587 -0.092 20 0 IBADRN CC[C@](C)(O)CC(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001184266554 1074258459 /nfs/dbraw/zinc/25/84/59/1074258459.db2.gz KYGVANSJYRFHSN-NJSLBKSFSA-N 0 0 432.587 -0.092 20 0 IBADRN COc1cc(Br)cc2c1OCC(C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)=C2 ZINC001184266773 1074258530 /nfs/dbraw/zinc/25/85/30/1074258530.db2.gz BJSXDQVYIIXNND-CVXDVXMKSA-N 0 0 446.250 -0.850 20 0 IBADRN COc1cc(Br)cc2c1OCC(C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)=C2 ZINC001184266774 1074258975 /nfs/dbraw/zinc/25/89/75/1074258975.db2.gz BJSXDQVYIIXNND-LYUDMSCLSA-N 0 0 446.250 -0.850 20 0 IBADRN CN1C(=O)CC[C@H]1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001184287300 1074258792 /nfs/dbraw/zinc/25/87/92/1074258792.db2.gz RLJBHXGLUWZZJD-SOUVJXGZSA-N 0 0 435.506 -0.843 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CCC(=O)N2C)CC3)C1=O ZINC001184297491 1074258855 /nfs/dbraw/zinc/25/88/55/1074258855.db2.gz MBHBCJLTJHSJQW-IFXJQAMLSA-N 0 0 426.539 -0.660 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)[C@@H]2CCC(=O)N2C)CC3)C1=O ZINC001184297499 1074258870 /nfs/dbraw/zinc/25/88/70/1074258870.db2.gz MBHBCJLTJHSJQW-LIRRHRJNSA-N 0 0 426.539 -0.660 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@@H](O)Cc1c[nH]c2ccccc12 ZINC001184306612 1074258882 /nfs/dbraw/zinc/25/88/82/1074258882.db2.gz OIIUJJAAMDNAQU-FHWLQOOXSA-N 0 0 438.488 -0.002 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@H](O)Cc1c[nH]c2ccccc12 ZINC001184306613 1074258819 /nfs/dbraw/zinc/25/88/19/1074258819.db2.gz OIIUJJAAMDNAQU-GBESFXJTSA-N 0 0 438.488 -0.002 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3CCC(=O)N3C)CC2)c2nonc21 ZINC001184309505 1074258961 /nfs/dbraw/zinc/25/89/61/1074258961.db2.gz CNSTUOMSVLXEJM-ZDUSSCGKSA-N 0 0 436.494 -0.258 20 0 IBADRN CC[C@H](C)C(=O)C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001184325027 1074258914 /nfs/dbraw/zinc/25/89/14/1074258914.db2.gz LSQHYWMSMMJGLI-IHRRRGAJSA-N 0 0 430.571 -0.028 20 0 IBADRN CC[C@@H](C)C(=O)C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001184325028 1074258953 /nfs/dbraw/zinc/25/89/53/1074258953.db2.gz LSQHYWMSMMJGLI-RDBSUJKOSA-N 0 0 430.571 -0.028 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C(=O)CC(C)C)C(N)=O ZINC001184332896 1074258992 /nfs/dbraw/zinc/25/89/92/1074258992.db2.gz ZYVRRJJILLFZOS-KBPBESRZSA-N 0 0 430.571 -0.028 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C[C@H](C)C(C)=O)C(N)=O ZINC001184343055 1074259272 /nfs/dbraw/zinc/25/92/72/1074259272.db2.gz DFNYGKOLZAMADP-QEJZJMRPSA-N 0 0 430.571 -0.028 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)C[C@@H](C)C(C)=O)C(N)=O ZINC001184343056 1074259258 /nfs/dbraw/zinc/25/92/58/1074259258.db2.gz DFNYGKOLZAMADP-SNPRPXQTSA-N 0 0 430.571 -0.028 20 0 IBADRN CO[C@H](Cc1ccccc1)[C@H](C)C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001184354159 1074259343 /nfs/dbraw/zinc/25/93/43/1074259343.db2.gz WKVCWKHLSGOCLB-ZKQZLOMZSA-N 0 0 432.477 -0.303 20 0 IBADRN COc1ccc(C2N=NC(=S)N2CCC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1 ZINC001184357759 1074258777 /nfs/dbraw/zinc/25/87/77/1074258777.db2.gz SVXWYZRWFAPBRC-CYBMUJFWSA-N 0 0 438.535 -0.007 20 0 IBADRN COc1ccc(C2N=NC(=S)N2CCC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1 ZINC001184357760 1074258874 /nfs/dbraw/zinc/25/88/74/1074258874.db2.gz SVXWYZRWFAPBRC-ZDUSSCGKSA-N 0 0 438.535 -0.007 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2[C@@H]3[C@H](CN2C(=O)OC(C)(C)C)C3(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001184395049 1074259355 /nfs/dbraw/zinc/25/93/55/1074259355.db2.gz BZGSNKDHQVHLDH-OHGJWPIRSA-N 0 0 444.525 -0.162 20 0 IBADRN CN(CC(=O)N1CCn2c(CN3CCOCC3)nnc2C1)S(=O)(=O)c1ccccc1 ZINC001184406747 1074259217 /nfs/dbraw/zinc/25/92/17/1074259217.db2.gz IVUCGGIAKCDJQI-UHFFFAOYSA-N 0 0 434.522 -0.227 20 0 IBADRN CN(CC(=O)n1c(N)c2ncn(COCCO)c2nc1=N)S(=O)(=O)c1ccccc1 ZINC001184407205 1074259728 /nfs/dbraw/zinc/25/97/28/1074259728.db2.gz LSMQBEYDXYBBBB-UHFFFAOYSA-N 0 0 435.466 -0.778 20 0 IBADRN CN(CC(=O)Nc1ccnc2c(N(CCO)CCO)cnn21)S(=O)(=O)c1ccccc1 ZINC001184408450 1074259645 /nfs/dbraw/zinc/25/96/45/1074259645.db2.gz WZLPTHIIHSRSHD-UHFFFAOYSA-N 0 0 448.505 -0.221 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)CN(C)S(=O)(=O)c2ccccc2)C1 ZINC001184408658 1074259830 /nfs/dbraw/zinc/25/98/30/1074259830.db2.gz AZFNBDDSIJVDCP-INIZCTEOSA-N 0 0 449.533 -0.075 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)CN(C)S(=O)(=O)c2ccccc2)C1 ZINC001184408663 1074259841 /nfs/dbraw/zinc/25/98/41/1074259841.db2.gz AZFNBDDSIJVDCP-MRXNPFEDSA-N 0 0 449.533 -0.075 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)CN(C)S(=O)(=O)c3ccccc3)C[C@H]2C1 ZINC001184410260 1074259751 /nfs/dbraw/zinc/25/97/51/1074259751.db2.gz XQZFSVHXHPNEEE-CVEARBPZSA-N 0 0 444.579 -0.106 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)CN(C)S(=O)(=O)c1ccccc1)C2 ZINC001184410778 1074259742 /nfs/dbraw/zinc/25/97/42/1074259742.db2.gz UHERIKCTWAAXAQ-AWEZNQCLSA-N 0 0 430.504 -0.504 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)CN(C)S(=O)(=O)c1ccccc1)C2 ZINC001184410779 1074259737 /nfs/dbraw/zinc/25/97/37/1074259737.db2.gz UHERIKCTWAAXAQ-CQSZACIVSA-N 0 0 430.504 -0.504 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CN(C)S(=O)(=O)c1ccccc1)C[C@H]2C(=O)N(C)C ZINC001184410781 1074259788 /nfs/dbraw/zinc/25/97/88/1074259788.db2.gz UIJQOPHEAZIUQF-KRWDZBQOSA-N 0 0 436.534 -0.298 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)CN(C)S(=O)(=O)c1ccccc1)C[C@@H]2C(=O)N(C)C ZINC001184410782 1074259714 /nfs/dbraw/zinc/25/97/14/1074259714.db2.gz UIJQOPHEAZIUQF-QGZVFWFLSA-N 0 0 436.534 -0.298 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)Nc1cnc(N2CCN(C)CC2)nc1 ZINC001184413975 1074259795 /nfs/dbraw/zinc/25/97/95/1074259795.db2.gz GDMVGKGUKWIUCG-MJGOQNOKSA-N 0 0 426.477 -0.085 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)Nc1cnn(CCN2CCOCC2)c1 ZINC001184414363 1074259850 /nfs/dbraw/zinc/25/98/50/1074259850.db2.gz LJGRJEITNMHROF-QUCCMNQESA-N 0 0 429.477 -0.098 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)Nc1cc(N2CCN(C)CC2)ncn1 ZINC001184414422 1074259694 /nfs/dbraw/zinc/25/96/94/1074259694.db2.gz LZVQZIUFOSBKLR-QUCCMNQESA-N 0 0 426.477 -0.085 20 0 IBADRN CN(CC(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O)S(=O)(=O)c1ccccc1 ZINC001184415046 1074259766 /nfs/dbraw/zinc/25/97/66/1074259766.db2.gz LCZSZADAHQHLJH-KRWDZBQOSA-N 0 0 432.502 -0.364 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1C[C@@H]2[C@H](C1)OCCN2c1cnccn1 ZINC001184416434 1074259904 /nfs/dbraw/zinc/25/99/04/1074259904.db2.gz BREDDZTZODIRCA-FKPGQJDZSA-N 0 0 439.472 -0.359 20 0 IBADRN CCOC(=O)c1nc2n(n1)CCN(C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c1ccccc1)C2 ZINC001184416774 1074260188 /nfs/dbraw/zinc/26/01/88/1074260188.db2.gz FVSIILRTUDBDMH-WBVHZDCISA-N 0 0 429.433 -0.455 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1C[C@@H](NC(=O)C2CCC2)[C@H]2COC[C@H]21 ZINC001184416792 1074260175 /nfs/dbraw/zinc/26/01/75/1074260175.db2.gz GGXGPQCHKXOJQL-FKAKKMJLSA-N 0 0 443.500 -0.123 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1C[C@@H]2COC[C@]2(c2nc(C)no2)C1 ZINC001184417162 1074260151 /nfs/dbraw/zinc/26/01/51/1074260151.db2.gz LAGJULYAGIZZKG-KALAIVBUSA-N 0 0 428.445 -0.147 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1C[C@@H]2[C@H](C1)OCCN2c1ncccn1 ZINC001184417394 1074260163 /nfs/dbraw/zinc/26/01/63/1074260163.db2.gz MGRCJASPFZCTFV-RMJJICAUSA-N 0 0 439.472 -0.359 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c1ccccc1)C2 ZINC001184417640 1074260197 /nfs/dbraw/zinc/26/01/97/1074260197.db2.gz RERIBIYTSKPASN-MJGOQNOKSA-N 0 0 428.449 -0.995 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CC[C@H]2[C@H]1CC(=O)N2c1cnn(C)c1 ZINC001184417947 1074260144 /nfs/dbraw/zinc/26/01/44/1074260144.db2.gz VCGQJRPUFNJSMK-DIJFTKHXSA-N 0 0 439.472 -0.125 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CCO[C@]2(CCCN(C(C)=O)C2)C1 ZINC001184417965 1074260157 /nfs/dbraw/zinc/26/01/57/1074260157.db2.gz VWVSIHADYIKNAX-CBQOVEMMSA-N 0 0 431.489 -0.025 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CCO[C@@]2(CCCN(C(C)=O)C2)C1 ZINC001184417966 1074260159 /nfs/dbraw/zinc/26/01/59/1074260159.db2.gz VWVSIHADYIKNAX-KAGYGMCKSA-N 0 0 431.489 -0.025 20 0 IBADRN CNC(=O)[C@@H]1CCO[C@@H]2CCN(C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c3ccccc3)C[C@H]21 ZINC001184418222 1074260193 /nfs/dbraw/zinc/26/01/93/1074260193.db2.gz XSYJVPNCWTWRFR-LYYGHALDSA-N 0 0 431.489 -0.266 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CCC[C@@H]2CN(S(C)(=O)=O)C[C@@H]21 ZINC001184418295 1074260244 /nfs/dbraw/zinc/26/02/44/1074260244.db2.gz ZMMHHNFPFBKBTP-NTDBWNAOSA-N 0 0 437.518 -0.383 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@]1(C)CCOC1)C(N)=O ZINC001184418355 1074260235 /nfs/dbraw/zinc/26/02/35/1074260235.db2.gz IZPCYXOCOPKFTG-CKFHNAJUSA-N 0 0 430.571 -0.217 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@]1(C)CCOC1)C(N)=O ZINC001184418356 1074260182 /nfs/dbraw/zinc/26/01/82/1074260182.db2.gz IZPCYXOCOPKFTG-NJSLBKSFSA-N 0 0 430.571 -0.217 20 0 IBADRN COc1cc(N2CCN(C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c3ccccc3)CC2)ncn1 ZINC001184419093 1074260272 /nfs/dbraw/zinc/26/02/72/1074260272.db2.gz FDYZPWWESJAVKM-QUCCMNQESA-N 0 0 427.461 -0.118 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001184419132 1074260227 /nfs/dbraw/zinc/26/02/27/1074260227.db2.gz GSSVDWAGFKSUSJ-QUCCMNQESA-N 0 0 446.504 -0.232 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC001184419393 1074260267 /nfs/dbraw/zinc/26/02/67/1074260267.db2.gz JQGLYPHHANMZET-CTNGQTDRSA-N 0 0 430.505 -0.499 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c1ccccc1 ZINC001184419435 1074260204 /nfs/dbraw/zinc/26/02/04/1074260204.db2.gz KYSNLBHLNYFSHK-LGWLGOQQSA-N 0 0 438.458 -0.649 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c1ccccc1 ZINC001184419436 1074260165 /nfs/dbraw/zinc/26/01/65/1074260165.db2.gz KYSNLBHLNYFSHK-LNCBFAARSA-N 0 0 438.458 -0.649 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c1ccccc1 ZINC001184419437 1074260599 /nfs/dbraw/zinc/26/05/99/1074260599.db2.gz KYSNLBHLNYFSHK-UICACZKSSA-N 0 0 438.458 -0.649 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c1ccccc1 ZINC001184419438 1074260528 /nfs/dbraw/zinc/26/05/28/1074260528.db2.gz KYSNLBHLNYFSHK-YXPYIKCWSA-N 0 0 438.458 -0.649 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c2ccccc2)CC1 ZINC001184419610 1074260635 /nfs/dbraw/zinc/26/06/35/1074260635.db2.gz ODCUQNYTZBNIJY-AEFFLSMTSA-N 0 0 425.507 -0.335 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CCN(CCCS(C)(=O)=O)CC1 ZINC001184419750 1074260520 /nfs/dbraw/zinc/26/05/20/1074260520.db2.gz RLWINCBCXFZJNB-MJGOQNOKSA-N 0 0 439.534 -0.686 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC001184419855 1074260549 /nfs/dbraw/zinc/26/05/49/1074260549.db2.gz VWFOBQPKOSAJQM-GGPKGHCWSA-N 0 0 431.489 -0.025 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC001184419856 1074260690 /nfs/dbraw/zinc/26/06/90/1074260690.db2.gz VWFOBQPKOSAJQM-NSHGMRRFSA-N 0 0 431.489 -0.025 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N1C[C@@H]2C(=O)N(c3cnn(C)c3)C[C@@H]2C1 ZINC001184419958 1074260603 /nfs/dbraw/zinc/26/06/03/1074260603.db2.gz ZKXHQFSEKVLXNS-BOLBYERCSA-N 0 0 439.472 -0.410 20 0 IBADRN CNS(=O)(=O)c1ccc(O)c(NC(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c2ccccc2)c1 ZINC001184420230 1074260536 /nfs/dbraw/zinc/26/05/36/1074260536.db2.gz MAKHWVGSGAIIMQ-AEFFLSMTSA-N 0 0 435.458 -0.013 20 0 IBADRN COC(=O)[C@H](CCc1cn(C)cn1)NC(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c1ccccc1 ZINC001184420802 1074260667 /nfs/dbraw/zinc/26/06/67/1074260667.db2.gz DMXNVURRMPWCHQ-SCTDSRPQSA-N 0 0 430.461 -0.241 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)N[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1O ZINC001184420913 1074260564 /nfs/dbraw/zinc/26/05/64/1074260564.db2.gz JNUIHEMLCBZPEU-KONPQCLYSA-N 0 0 435.477 -0.169 20 0 IBADRN COCCOCCOCCOCCNC(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c1ccccc1 ZINC001184421204 1074260557 /nfs/dbraw/zinc/26/05/57/1074260557.db2.gz IZECSYHYUHIPKO-QUCCMNQESA-N 0 0 440.493 -0.453 20 0 IBADRN COCCC1(NC(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c2ccccc2)CCS(=O)(=O)CC1 ZINC001184421364 1074260683 /nfs/dbraw/zinc/26/06/83/1074260683.db2.gz KDBGYPMEWUWGPJ-AEFFLSMTSA-N 0 0 440.518 -0.165 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)NCc1ccc(N2CCOCC2)nn1 ZINC001184421691 1074260622 /nfs/dbraw/zinc/26/06/22/1074260622.db2.gz MTTMHMJVXIZNOA-QUCCMNQESA-N 0 0 427.461 -0.322 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC001184423500 1074260655 /nfs/dbraw/zinc/26/06/55/1074260655.db2.gz ZWSOEMFKLWKMFO-AEFFLSMTSA-N 0 0 425.507 -0.477 20 0 IBADRN CCn1c(N)c(NC(=O)[C@@H](O)[C@H](NC(C)=O)C(=O)c2ccccc2)c(=O)n(CC)c1=O ZINC001184423585 1074260570 /nfs/dbraw/zinc/26/05/70/1074260570.db2.gz WYTCKKPQNGNSNT-CJNGLKHVSA-N 0 0 431.449 -0.681 20 0 IBADRN CC(C)(C)OC(=O)n1cc(CC(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)c2ccccc21 ZINC001184430819 1074261130 /nfs/dbraw/zinc/26/11/30/1074261130.db2.gz MVJTYEPCYMYEEQ-SJQORLFUSA-N 0 0 436.461 -0.117 20 0 IBADRN CC(C)(C)OC(=O)n1cc(CC(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)c2ccccc21 ZINC001184430821 1074261103 /nfs/dbraw/zinc/26/11/03/1074261103.db2.gz MVJTYEPCYMYEEQ-WSIUPNEHSA-N 0 0 436.461 -0.117 20 0 IBADRN CC(C)OC(=O)CC(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001184443989 1074259305 /nfs/dbraw/zinc/25/93/05/1074259305.db2.gz TXLRTBLINOICQC-LSDHHAIUSA-N 0 0 438.506 -0.122 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)CC2(NC(=O)OC(C)(C)C)CCOCC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001184447403 1074259287 /nfs/dbraw/zinc/25/92/87/1074259287.db2.gz BIIJLUOXYGUGFG-BYHHXJKWSA-N 0 0 448.513 -0.589 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CC(=O)OC(C)C)C(N)=O ZINC001184452056 1074261093 /nfs/dbraw/zinc/26/10/93/1074261093.db2.gz ILJWLYJEMGILNV-KBPBESRZSA-N 0 0 446.570 -0.302 20 0 IBADRN CC1(C)OCC(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CO1 ZINC001184454709 1074261116 /nfs/dbraw/zinc/26/11/16/1074261116.db2.gz PCGPBXKUPCVPFW-ARFHVFGLSA-N 0 0 430.523 -0.494 20 0 IBADRN CC1(C)OCC(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CO1 ZINC001184454710 1074261063 /nfs/dbraw/zinc/26/10/63/1074261063.db2.gz PCGPBXKUPCVPFW-BZUAXINKSA-N 0 0 430.523 -0.494 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)C1COC(C)(C)OC1)C(=O)NCC(N)=O ZINC001184455585 1074260998 /nfs/dbraw/zinc/26/09/98/1074260998.db2.gz MLPBPYCTKXJHBG-GJZGRUSLSA-N 0 0 426.514 -0.491 20 0 IBADRN CC1(C)OCC(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)CO1 ZINC001184456179 1074261008 /nfs/dbraw/zinc/26/10/08/1074261008.db2.gz XYEYRJBWKPBNLP-UHFFFAOYSA-N 0 0 427.888 -0.028 20 0 IBADRN CC1(C)OCC(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)CO1 ZINC001184456997 1074261078 /nfs/dbraw/zinc/26/10/78/1074261078.db2.gz CABNWHMORMFPAF-DXWTWGPWSA-N 0 0 429.491 -0.084 20 0 IBADRN CC1(C)OCC(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)CO1 ZINC001184456998 1074261136 /nfs/dbraw/zinc/26/11/36/1074261136.db2.gz CABNWHMORMFPAF-IMPIEMTGSA-N 0 0 429.491 -0.084 20 0 IBADRN CC1(C)OCC(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)CO1 ZINC001184456999 1074261097 /nfs/dbraw/zinc/26/10/97/1074261097.db2.gz CABNWHMORMFPAF-JFBPSJKJSA-N 0 0 429.491 -0.084 20 0 IBADRN CC1(C)OCC(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)CO1 ZINC001184457000 1074261111 /nfs/dbraw/zinc/26/11/11/1074261111.db2.gz CABNWHMORMFPAF-OCABDXPQSA-N 0 0 429.491 -0.084 20 0 IBADRN O=C(CC(=O)C(F)(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001184463590 1074261122 /nfs/dbraw/zinc/26/11/22/1074261122.db2.gz FSFMPMPSVIALEO-IJLUTSLNSA-N 0 0 426.413 -0.372 20 0 IBADRN O=C(CC(=O)C(F)(F)F)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001184463595 1074261086 /nfs/dbraw/zinc/26/10/86/1074261086.db2.gz FSFMPMPSVIALEO-QJPTWQEYSA-N 0 0 426.413 -0.372 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001184483434 1074261481 /nfs/dbraw/zinc/26/14/81/1074261481.db2.gz FKXLUWVSHCZQHU-UHFFFAOYSA-N 0 0 443.544 -0.470 20 0 IBADRN CN(C(=O)OC(C)(C)C)c1ccc(CC(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cc1 ZINC001184489009 1074260980 /nfs/dbraw/zinc/26/09/80/1074260980.db2.gz WSTBPAVYMRVKLA-FGTAOJJYSA-N 0 0 426.466 -0.484 20 0 IBADRN CN(C(=O)OC(C)(C)C)c1ccc(CC(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001184489012 1074260994 /nfs/dbraw/zinc/26/09/94/1074260994.db2.gz WSTBPAVYMRVKLA-JVNHZCFISA-N 0 0 426.466 -0.484 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)Cn3c(=O)[nH]c4cc(F)ccc43)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001184520357 1074261605 /nfs/dbraw/zinc/26/16/05/1074261605.db2.gz BTCJYDCIABDQBM-JGGQBBKZSA-N 0 0 433.396 -0.083 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cn1c(=O)[nH]c2cc(F)ccc21 ZINC001184521077 1074261564 /nfs/dbraw/zinc/26/15/64/1074261564.db2.gz VXUDWNFVNONXHW-HOCLYGCPSA-N 0 0 443.439 -0.192 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1c(C(F)(F)F)cnn1C ZINC001184533763 1074261631 /nfs/dbraw/zinc/26/16/31/1074261631.db2.gz HNJDLXKDBDLBHF-SECBINFHSA-N 0 0 432.446 -0.247 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1c(C(F)(F)F)cnn1C ZINC001184533766 1074261518 /nfs/dbraw/zinc/26/15/18/1074261518.db2.gz HNJDLXKDBDLBHF-VIFPVBQESA-N 0 0 432.446 -0.247 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCC(C)(C)S(C)(=O)=O ZINC001184533904 1074261655 /nfs/dbraw/zinc/26/16/55/1074261655.db2.gz KFGLJVZRLGITHX-GFCCVEGCSA-N 0 0 432.586 -0.845 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCC(C)(C)S(C)(=O)=O ZINC001184533914 1074261514 /nfs/dbraw/zinc/26/15/14/1074261514.db2.gz KFGLJVZRLGITHX-LBPRGKRZSA-N 0 0 432.586 -0.845 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnnn1-c1ccccc1 ZINC001184534851 1074261623 /nfs/dbraw/zinc/26/16/23/1074261623.db2.gz UJQZCYFTTZHQQY-CYBMUJFWSA-N 0 0 427.508 -0.419 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnnn1-c1ccccc1 ZINC001184534852 1074261586 /nfs/dbraw/zinc/26/15/86/1074261586.db2.gz UJQZCYFTTZHQQY-ZDUSSCGKSA-N 0 0 427.508 -0.419 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)OCC(=O)N2 ZINC001184535276 1074261640 /nfs/dbraw/zinc/26/16/40/1074261640.db2.gz YULCXZCZYNDMEY-LLVKDONJSA-N 0 0 431.492 -0.669 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)OCC(=O)N2 ZINC001184535277 1074261506 /nfs/dbraw/zinc/26/15/06/1074261506.db2.gz YULCXZCZYNDMEY-NSHDSACASA-N 0 0 431.492 -0.669 20 0 IBADRN COCCC1(C(=O)N2CCn3c(CN4CCOCC4)nnc3C2)CCS(=O)(=O)CC1 ZINC001184551657 1074262054 /nfs/dbraw/zinc/26/20/54/1074262054.db2.gz DLHWGVKVTITSAY-UHFFFAOYSA-N 0 0 441.554 -0.316 20 0 IBADRN COCCC1(C(=O)N2CC3(C2)[C@@H](C(=O)OC)CCS3(=O)=O)CCS(=O)(=O)CC1 ZINC001184552465 1074262064 /nfs/dbraw/zinc/26/20/64/1074262064.db2.gz GQCVVYJRPXVKLH-CYBMUJFWSA-N 0 0 437.536 -0.593 20 0 IBADRN COCCC1(C(=O)N2CC3(C2)[C@H](C(=O)OC)CCS3(=O)=O)CCS(=O)(=O)CC1 ZINC001184552467 1074261974 /nfs/dbraw/zinc/26/19/74/1074261974.db2.gz GQCVVYJRPXVKLH-ZDUSSCGKSA-N 0 0 437.536 -0.593 20 0 IBADRN COCCC1(C(=O)N2Cc3[nH]nc(C(=O)N4CCOCC4)c3C2)CCS(=O)(=O)CC1 ZINC001184552703 1074262046 /nfs/dbraw/zinc/26/20/46/1074262046.db2.gz PMXHFKGPDZTYBI-UHFFFAOYSA-N 0 0 440.522 -0.044 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)C3(CCOC)CCS(=O)(=O)CC3)CC[C@H]2C1=O ZINC001184552789 1074262006 /nfs/dbraw/zinc/26/20/06/1074262006.db2.gz SGOGDSNESKGEIZ-SJORKVTESA-N 0 0 446.566 -0.060 20 0 IBADRN COCCC1(C(=O)N2C[C@@H](C(=O)N(C)C)C3(CN(C(C)=O)C3)C2)CCS(=O)(=O)CC1 ZINC001184553154 1074262072 /nfs/dbraw/zinc/26/20/72/1074262072.db2.gz MKNLDWGYEDQKPX-INIZCTEOSA-N 0 0 443.566 -0.387 20 0 IBADRN COCCC1(C(=O)N2C[C@H](C(=O)N(C)C)C3(CN(C(C)=O)C3)C2)CCS(=O)(=O)CC1 ZINC001184553166 1074261939 /nfs/dbraw/zinc/26/19/39/1074261939.db2.gz MKNLDWGYEDQKPX-MRXNPFEDSA-N 0 0 443.566 -0.387 20 0 IBADRN COCCC1(C(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)CCS(=O)(=O)CC1 ZINC001184561906 1074261994 /nfs/dbraw/zinc/26/19/94/1074261994.db2.gz IRUXRGFTYRMWSL-INIZCTEOSA-N 0 0 439.534 -0.453 20 0 IBADRN CCCC[C@H](NC=O)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001184583190 1074262060 /nfs/dbraw/zinc/26/20/60/1074262060.db2.gz ZLWPQGLGSOEHMJ-VVLHAWIVSA-N 0 0 429.539 -0.588 20 0 IBADRN CCCC[C@H](NC=O)C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001184583193 1074262068 /nfs/dbraw/zinc/26/20/68/1074262068.db2.gz ZLWPQGLGSOEHMJ-WCXIOVBPSA-N 0 0 429.539 -0.588 20 0 IBADRN CCCC[C@H](NC=O)C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001184587722 1074261950 /nfs/dbraw/zinc/26/19/50/1074261950.db2.gz IQQNBRULYGNNNK-JYJNAYRXSA-N 0 0 425.530 -0.585 20 0 IBADRN CCCC[C@H](NC=O)C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001184588669 1074261990 /nfs/dbraw/zinc/26/19/90/1074261990.db2.gz BDWRJAQVLHLIKB-VIFPVBQESA-N 0 0 426.904 -0.122 20 0 IBADRN Cc1ccc(C)c(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)n1 ZINC001184609785 1074261998 /nfs/dbraw/zinc/26/19/98/1074261998.db2.gz QSLOMISQBUOAJC-BFZNGQGZSA-N 0 0 427.465 -0.047 20 0 IBADRN Cc1cccc(N2CC[C@H](C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)C2=O)c1 ZINC001184637179 1074262451 /nfs/dbraw/zinc/26/24/51/1074262451.db2.gz QVPSVQNOFYTJJW-CDUMDVBJSA-N 0 0 443.460 -0.835 20 0 IBADRN Cc1cccc(N2CC[C@@H](C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)C2=O)c1 ZINC001184637180 1074262548 /nfs/dbraw/zinc/26/25/48/1074262548.db2.gz QVPSVQNOFYTJJW-SWQDORGXSA-N 0 0 443.460 -0.835 20 0 IBADRN CS(=O)(=O)c1ccc(N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(S(C)(=O)=O)c1 ZINC001184644091 1074262464 /nfs/dbraw/zinc/26/24/64/1074262464.db2.gz HDHQQSNMNOVFAT-CYBMUJFWSA-N 0 0 436.577 -0.197 20 0 IBADRN CS(=O)(=O)c1ccc(N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(S(C)(=O)=O)c1 ZINC001184644092 1074262483 /nfs/dbraw/zinc/26/24/83/1074262483.db2.gz HDHQQSNMNOVFAT-ZDUSSCGKSA-N 0 0 436.577 -0.197 20 0 IBADRN Cc1nc(I)oc1C(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001184659726 1074262586 /nfs/dbraw/zinc/26/25/86/1074262586.db2.gz OIAHQPHSXQFYNO-LDDAIVOZSA-N 0 0 442.206 -0.838 20 0 IBADRN Cc1cccc(-n2cnc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)n2)c1 ZINC001184708525 1074262471 /nfs/dbraw/zinc/26/24/71/1074262471.db2.gz NCCVJHWBIBPJTI-SCFUHWHPSA-N 0 0 427.421 -0.994 20 0 IBADRN O=C([C@H]1CC[C@H](CO)CC1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001184751369 1074262623 /nfs/dbraw/zinc/26/26/23/1074262623.db2.gz AZAPKWLGDKAEAE-DUQPFJRNSA-N 0 0 428.551 -0.094 20 0 IBADRN O=C([C@H]1CC[C@H](CO)CC1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001184751370 1074263022 /nfs/dbraw/zinc/26/30/22/1074263022.db2.gz AZAPKWLGDKAEAE-NNPSNHGLSA-N 0 0 428.551 -0.094 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2CC[C@H](CO)CC2)[C@H]1O ZINC001184764494 1074262838 /nfs/dbraw/zinc/26/28/38/1074262838.db2.gz MEGKLWZVIXRHTI-QEIOTQNKSA-N 0 0 434.497 -0.574 20 0 IBADRN CC(C)(C)OC(=O)N[C@H]1C[C@@H](C(=O)NC(CO)(CO)CO)N(C(=O)OC(C)(C)C)C1 ZINC001184774112 1074262936 /nfs/dbraw/zinc/26/29/36/1074262936.db2.gz WECIDNMNKIZSMJ-STQMWFEESA-N 0 0 433.502 -0.279 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](Oc2ncccc2C(=O)N2CN[C@H](N)[C@H]3NCN[C@@H]32)C1 ZINC001184789537 1074262928 /nfs/dbraw/zinc/26/29/28/1074262928.db2.gz UFOAYJYFYSUIML-DRPJVOAASA-N 0 0 433.513 -0.398 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](Oc2ncccc2C(=O)N2CN[C@H](N)[C@@H]3NCN[C@@H]32)C1 ZINC001184789538 1074262943 /nfs/dbraw/zinc/26/29/43/1074262943.db2.gz UFOAYJYFYSUIML-QCEMKRCNSA-N 0 0 433.513 -0.398 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](Oc2ncccc2C(=O)N2CN[C@H](N)[C@@H]3NCN[C@H]32)C1 ZINC001184789539 1074263009 /nfs/dbraw/zinc/26/30/09/1074263009.db2.gz UFOAYJYFYSUIML-TUUVXOQKSA-N 0 0 433.513 -0.398 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](Oc2ncccc2C(=O)N2CN[C@H](N)[C@H]3NCN[C@H]32)C1 ZINC001184789540 1074262890 /nfs/dbraw/zinc/26/28/90/1074262890.db2.gz UFOAYJYFYSUIML-UKMLZYKCSA-N 0 0 433.513 -0.398 20 0 IBADRN O=C(c1[nH]c(=O)ccc1Cl)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001184801960 1074262851 /nfs/dbraw/zinc/26/28/51/1074262851.db2.gz CCZLMWSLKLLMIX-OUCADQQQSA-N 0 0 443.909 -0.399 20 0 IBADRN O=C(c1[nH]c(=O)ccc1Cl)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001184801961 1074262965 /nfs/dbraw/zinc/26/29/65/1074262965.db2.gz CCZLMWSLKLLMIX-YRGRVCCFSA-N 0 0 443.909 -0.399 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1[nH]c(=O)ccc1Cl)C(=O)NCC(N)=O ZINC001184805921 1074262949 /nfs/dbraw/zinc/26/29/49/1074262949.db2.gz VLYCARRERYPELH-STQMWFEESA-N 0 0 439.900 -0.396 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001184806343 1074262999 /nfs/dbraw/zinc/26/29/99/1074262999.db2.gz VVTUROMJBHOYQR-RUZDIDTESA-N 0 0 440.569 -0.384 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001184806344 1074262960 /nfs/dbraw/zinc/26/29/60/1074262960.db2.gz VVTUROMJBHOYQR-VWLOTQADSA-N 0 0 440.569 -0.384 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2[nH]c(=O)ccc2Cl)[C@H]1O ZINC001184816714 1074262992 /nfs/dbraw/zinc/26/29/92/1074262992.db2.gz YVDIYIYXGPZQST-GJBUKGCLSA-N 0 0 449.855 -0.878 20 0 IBADRN O=S(=O)(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1ccc(Br)cc1Cl ZINC001184818201 1074263015 /nfs/dbraw/zinc/26/30/15/1074263015.db2.gz YHNCPBLMDUSOCF-RGHQJQCBSA-N 0 0 432.676 -0.819 20 0 IBADRN O=S(=O)(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccc(Br)cc1Cl ZINC001184818205 1074262972 /nfs/dbraw/zinc/26/29/72/1074262972.db2.gz YHNCPBLMDUSOCF-YNVCDSSISA-N 0 0 432.676 -0.819 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001184822228 1074263003 /nfs/dbraw/zinc/26/30/03/1074263003.db2.gz RXZAQXYNASGRCU-SECBINFHSA-N 0 0 432.256 -0.456 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001184822235 1074263265 /nfs/dbraw/zinc/26/32/65/1074263265.db2.gz RXZAQXYNASGRCU-VIFPVBQESA-N 0 0 432.256 -0.456 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001184822348 1074263256 /nfs/dbraw/zinc/26/32/56/1074263256.db2.gz ULMSIGKALRJTSR-HNNXBMFYSA-N 0 0 434.559 -0.342 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001184822349 1074263444 /nfs/dbraw/zinc/26/34/44/1074263444.db2.gz ULMSIGKALRJTSR-OAHLLOKOSA-N 0 0 434.559 -0.342 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(Br)cc1Cl ZINC001184825664 1074263347 /nfs/dbraw/zinc/26/33/47/1074263347.db2.gz ILESFOSYRQNPFH-UHFFFAOYSA-N 0 0 441.691 -0.902 20 0 IBADRN Cc1cc(Br)cc(Cl)c1OCC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001184827594 1074263439 /nfs/dbraw/zinc/26/34/39/1074263439.db2.gz CTCWYDOLZLOYMK-DECHUDPFSA-N 0 0 440.674 -0.294 20 0 IBADRN Cc1cc(Br)cc(Cl)c1OCC(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001184827596 1074263364 /nfs/dbraw/zinc/26/33/64/1074263364.db2.gz CTCWYDOLZLOYMK-RFNSYMJRSA-N 0 0 440.674 -0.294 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CCN(CCO)CC1 ZINC001184828218 1074263450 /nfs/dbraw/zinc/26/34/50/1074263450.db2.gz HUPXIXRNAUDOFE-MSOLQXFVSA-N 0 0 427.523 -0.038 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CCN(CCO)CC1 ZINC001184828220 1074263424 /nfs/dbraw/zinc/26/34/24/1074263424.db2.gz HUPXIXRNAUDOFE-QZTJIDSGSA-N 0 0 427.523 -0.038 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CCN(CCO)CC1 ZINC001184828222 1074263416 /nfs/dbraw/zinc/26/34/16/1074263416.db2.gz HUPXIXRNAUDOFE-ROUUACIJSA-N 0 0 427.523 -0.038 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CCN(CCO)CC1 ZINC001184828223 1074263387 /nfs/dbraw/zinc/26/33/87/1074263387.db2.gz HUPXIXRNAUDOFE-ZWKOTPCHSA-N 0 0 427.523 -0.038 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001184861282 1074263435 /nfs/dbraw/zinc/26/34/35/1074263435.db2.gz BQIDZSGTQPUFGJ-UHFFFAOYSA-N 0 0 441.553 -0.018 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(S(=O)(=O)N3CCCOCC3)CC2)c1 ZINC001184862188 1074263409 /nfs/dbraw/zinc/26/34/09/1074263409.db2.gz FMCBMSMHFXANKY-UHFFFAOYSA-N 0 0 449.551 -0.023 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001184901104 1074263765 /nfs/dbraw/zinc/26/37/65/1074263765.db2.gz QFNVRRANRQZWFG-HNNXBMFYSA-N 0 0 445.629 -0.962 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001184901105 1074263719 /nfs/dbraw/zinc/26/37/19/1074263719.db2.gz QFNVRRANRQZWFG-OAHLLOKOSA-N 0 0 445.629 -0.962 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)C[C@@H]1CCCS(=O)(=O)C1 ZINC001184902068 1074263812 /nfs/dbraw/zinc/26/38/12/1074263812.db2.gz YHOPZFJKCONDFX-CVEARBPZSA-N 0 0 444.641 -0.028 20 0 IBADRN CCN(CC)CCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)C[C@H]1CCCS(=O)(=O)C1 ZINC001184902069 1074263850 /nfs/dbraw/zinc/26/38/50/1074263850.db2.gz YHOPZFJKCONDFX-HOTGVXAUSA-N 0 0 444.641 -0.028 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)C[C@@H]1CCCS(=O)(=O)C1 ZINC001184902070 1074263807 /nfs/dbraw/zinc/26/38/07/1074263807.db2.gz YHOPZFJKCONDFX-HZPDHXFCSA-N 0 0 444.641 -0.028 20 0 IBADRN CCN(CC)CCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)C[C@H]1CCCS(=O)(=O)C1 ZINC001184902073 1074263758 /nfs/dbraw/zinc/26/37/58/1074263758.db2.gz YHOPZFJKCONDFX-JKSUJKDBSA-N 0 0 444.641 -0.028 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@H]2CCCN(CC(F)(F)F)C2)c(=O)n1 ZINC001184905350 1074263748 /nfs/dbraw/zinc/26/37/48/1074263748.db2.gz ITLBMCLYDMOZFX-KHBBOIOASA-N 0 0 435.403 -0.922 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@@H]2CCCN(CC(F)(F)F)C2)c(=O)n1 ZINC001184905351 1074263837 /nfs/dbraw/zinc/26/38/37/1074263837.db2.gz ITLBMCLYDMOZFX-ZUJPDMLGSA-N 0 0 435.403 -0.922 20 0 IBADRN O=C(NCCc1ccccc1)Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001184906976 1074263846 /nfs/dbraw/zinc/26/38/46/1074263846.db2.gz UWGDXXFQLXKTIJ-MBMVNNNZSA-N 0 0 432.412 -0.059 20 0 IBADRN O=C(NCCc1ccccc1)Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001184910718 1074263776 /nfs/dbraw/zinc/26/37/76/1074263776.db2.gz UWGDXXFQLXKTIJ-LSCFUAHRSA-N 0 0 432.412 -0.059 20 0 IBADRN Cc1nc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)sc1Br ZINC001184911563 1074263867 /nfs/dbraw/zinc/26/38/67/1074263867.db2.gz PIXJGHVLMRDWGJ-HTVVRFAVSA-N 0 0 446.283 -0.355 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cccnc1Cl ZINC001184913641 1074263790 /nfs/dbraw/zinc/26/37/90/1074263790.db2.gz CYHIHDCZSZFOCT-STQMWFEESA-N 0 0 442.881 -0.707 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cccnc1Cl ZINC001184914228 1074263819 /nfs/dbraw/zinc/26/38/19/1074263819.db2.gz KFILAXXRIVGBJG-STQMWFEESA-N 0 0 426.882 -0.413 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1cccnc1Cl)NC(=O)CNC(C)=O ZINC001184914239 1074263859 /nfs/dbraw/zinc/26/38/59/1074263859.db2.gz KZBIGIJFILLQDI-LBPRGKRZSA-N 0 0 434.902 -0.023 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)CC2CCN(CC(F)(F)F)CC2)c(=O)n1 ZINC001184927160 1074263705 /nfs/dbraw/zinc/26/37/05/1074263705.db2.gz LAGFJEYTZJEOLV-RAEVTNRLSA-N 0 0 449.430 -0.532 20 0 IBADRN O=C1NC(=O)C2(CCN(S(=O)(=O)c3cnn4cc(Br)cnc34)CC2)N1 ZINC001184927222 1074263841 /nfs/dbraw/zinc/26/38/41/1074263841.db2.gz ICEVGMNCMWIKAB-UHFFFAOYSA-N 0 0 429.256 -0.146 20 0 IBADRN CCOC(=O)c1sc(S(=O)(=O)N2C[C@@H]3[C@H](C2)OCCN3CC(=O)NC)nc1C ZINC001184936384 1074263399 /nfs/dbraw/zinc/26/33/99/1074263399.db2.gz OOYAOMXLNGHJES-NEPJUHHUSA-N 0 0 432.524 -0.552 20 0 IBADRN CCOC(=O)c1sc(S(=O)(=O)N2CC[C@@]3(C2)CNC(=O)CN3C(C)=O)nc1C ZINC001184942051 1074263744 /nfs/dbraw/zinc/26/37/44/1074263744.db2.gz CVVJRANSYNGKAF-INIZCTEOSA-N 0 0 430.508 -0.260 20 0 IBADRN CCOC(=O)c1sc(S(=O)(=O)N2CC[C@]3(C2)CNC(=O)CN3C(C)=O)nc1C ZINC001184942052 1074263736 /nfs/dbraw/zinc/26/37/36/1074263736.db2.gz CVVJRANSYNGKAF-MRXNPFEDSA-N 0 0 430.508 -0.260 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(c2cnc(I)nc2)CC1 ZINC001184945932 1074264329 /nfs/dbraw/zinc/26/43/29/1074264329.db2.gz FVXBRFJNWVSIBT-UHFFFAOYSA-N 0 0 429.218 -0.121 20 0 IBADRN O=S(=O)(N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)N1CCCOCC1 ZINC001184954470 1074263852 /nfs/dbraw/zinc/26/38/52/1074263852.db2.gz AZQWGGNZKBEVQJ-INIZCTEOSA-N 0 0 425.573 -0.835 20 0 IBADRN O=S(=O)(N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)N1CCCOCC1 ZINC001184954473 1074263831 /nfs/dbraw/zinc/26/38/31/1074263831.db2.gz AZQWGGNZKBEVQJ-MRXNPFEDSA-N 0 0 425.573 -0.835 20 0 IBADRN COC(=O)[C@H]1C[C@@H](S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)C1 ZINC001184956182 1074264376 /nfs/dbraw/zinc/26/43/76/1074264376.db2.gz ZHQNHAVQWNRGJN-HRCADAONSA-N 0 0 438.568 -0.521 20 0 IBADRN COC(=O)[C@H]1C[C@@H](S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC001184956183 1074264263 /nfs/dbraw/zinc/26/42/63/1074264263.db2.gz ZHQNHAVQWNRGJN-OAGGEKHMSA-N 0 0 438.568 -0.521 20 0 IBADRN N[C@@H]1NCN(C(=O)C2(Cc3ccccc3Cl)CCS(=O)(=O)CC2)[C@H]2NCN[C@H]21 ZINC001184969694 1074264189 /nfs/dbraw/zinc/26/41/89/1074264189.db2.gz QJAATWBCJSEMGZ-ARFHVFGLSA-N 0 0 427.958 -0.403 20 0 IBADRN N[C@@H]1NCN(C(=O)C2(Cc3ccccc3Cl)CCS(=O)(=O)CC2)[C@H]2NCN[C@@H]21 ZINC001184969695 1074264301 /nfs/dbraw/zinc/26/43/01/1074264301.db2.gz QJAATWBCJSEMGZ-BZUAXINKSA-N 0 0 427.958 -0.403 20 0 IBADRN N[C@@H]1NCN(C(=O)C2(Cc3ccccc3Cl)CCS(=O)(=O)CC2)[C@@H]2NCN[C@@H]21 ZINC001184969696 1074264242 /nfs/dbraw/zinc/26/42/42/1074264242.db2.gz QJAATWBCJSEMGZ-OAGGEKHMSA-N 0 0 427.958 -0.403 20 0 IBADRN N[C@@H]1NCN(C(=O)C2(Cc3ccccc3Cl)CCS(=O)(=O)CC2)[C@@H]2NCN[C@H]21 ZINC001184969697 1074264365 /nfs/dbraw/zinc/26/43/65/1074264365.db2.gz QJAATWBCJSEMGZ-XHSDSOJGSA-N 0 0 427.958 -0.403 20 0 IBADRN COc1cccc(CC2(C(=O)N[C@@H]3C[C@H](CO)[C@@H](O)[C@H]3O)CCS(=O)(=O)CC2)c1 ZINC001184974800 1074264315 /nfs/dbraw/zinc/26/43/15/1074264315.db2.gz DMQQGENQZSXACJ-DDBAPUKQSA-N 0 0 427.519 -0.349 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1(Cc2cccc(OC)c2)CCS(=O)(=O)CC1 ZINC001184976276 1074264151 /nfs/dbraw/zinc/26/41/51/1074264151.db2.gz JFQOKGSLLLVLHI-UHFFFAOYSA-N 0 0 426.491 -0.162 20 0 IBADRN CCc1nc2cccc(F)c2n1CC(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001185015779 1074264208 /nfs/dbraw/zinc/26/42/08/1074264208.db2.gz XHOTURZRCKTJFW-BYIJLXJXSA-N 0 0 446.439 -0.445 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(S(=O)(=O)c1cnc(Cl)nc1)CC2 ZINC001185019589 1074264383 /nfs/dbraw/zinc/26/43/83/1074264383.db2.gz FPGVXVCGIPUFPM-UHFFFAOYSA-N 0 0 439.929 -0.002 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1cnc(Cl)nc1)CCC2 ZINC001185020437 1074264396 /nfs/dbraw/zinc/26/43/96/1074264396.db2.gz KSPISTSCCRALFD-UHFFFAOYSA-N 0 0 442.885 -0.456 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(S(=O)(=O)c4cnc(Cl)nc4)C3)OCC2=O)cn1 ZINC001185023987 1074264355 /nfs/dbraw/zinc/26/43/55/1074264355.db2.gz UKHMTEYBFHJIIB-INIZCTEOSA-N 0 0 442.885 -0.313 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(S(=O)(=O)c4cnc(Cl)nc4)C3)OCC2=O)cn1 ZINC001185023989 1074264742 /nfs/dbraw/zinc/26/47/42/1074264742.db2.gz UKHMTEYBFHJIIB-MRXNPFEDSA-N 0 0 442.885 -0.313 20 0 IBADRN CCOC(=O)c1ncc(Br)c(NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC001185036928 1074264697 /nfs/dbraw/zinc/26/46/97/1074264697.db2.gz RBFHCSPSIZKWDL-UHFFFAOYSA-N 0 0 436.332 -0.173 20 0 IBADRN Cc1nccc(C(F)(F)F)c1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001185061075 1074264752 /nfs/dbraw/zinc/26/47/52/1074264752.db2.gz OOIVGWZVBOIBED-QGMIFYJMSA-N 0 0 429.355 -0.160 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)C[C@@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC001185077100 1074264651 /nfs/dbraw/zinc/26/46/51/1074264651.db2.gz FXMKCUKABUVIGB-KBPBESRZSA-N 0 0 429.461 -0.098 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)C[C@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC001185077101 1074264783 /nfs/dbraw/zinc/26/47/83/1074264783.db2.gz FXMKCUKABUVIGB-KGLIPLIRSA-N 0 0 429.461 -0.098 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)C[C@@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC001185077102 1074264773 /nfs/dbraw/zinc/26/47/73/1074264773.db2.gz FXMKCUKABUVIGB-UONOGXRCSA-N 0 0 429.461 -0.098 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)C[C@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC001185077103 1074264759 /nfs/dbraw/zinc/26/47/59/1074264759.db2.gz FXMKCUKABUVIGB-ZIAGYGMSSA-N 0 0 429.461 -0.098 20 0 IBADRN CC(C)N(C)S(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001185079232 1074264714 /nfs/dbraw/zinc/26/47/14/1074264714.db2.gz YVEHMWBLLNWNHX-UHFFFAOYSA-N 0 0 447.583 -0.191 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1cnc(Cl)nc1)NC(=O)CNC(C)=O ZINC001185079787 1074264664 /nfs/dbraw/zinc/26/46/64/1074264664.db2.gz GHVVCOUCUGAING-LBPRGKRZSA-N 0 0 435.890 -0.628 20 0 IBADRN COc1cc[nH]c(=O)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001185081533 1074264727 /nfs/dbraw/zinc/26/47/27/1074264727.db2.gz METLTYXDNBKVIN-GZBFAFLISA-N 0 0 439.490 -0.470 20 0 IBADRN COc1cc[nH]c(=O)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001185081538 1074264747 /nfs/dbraw/zinc/26/47/47/1074264747.db2.gz METLTYXDNBKVIN-UMVBOHGHSA-N 0 0 439.490 -0.470 20 0 IBADRN COc1cc[nH]c(=O)c1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001185091441 1074264640 /nfs/dbraw/zinc/26/46/40/1074264640.db2.gz KVVCGOMMJNJTDO-STQMWFEESA-N 0 0 435.481 -0.467 20 0 IBADRN COc1cc[nH]c(=O)c1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001185092521 1074264736 /nfs/dbraw/zinc/26/47/36/1074264736.db2.gz JQDHWFXCQDMWPG-UHFFFAOYSA-N 0 0 436.855 -0.004 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001185132424 1074265180 /nfs/dbraw/zinc/26/51/80/1074265180.db2.gz MMDFFLKBHAMEGY-UHFFFAOYSA-N 0 0 437.565 -0.786 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)cc1C ZINC001185132813 1074265195 /nfs/dbraw/zinc/26/51/95/1074265195.db2.gz PLPVINZPNQWAHJ-UHFFFAOYSA-N 0 0 442.519 -0.202 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)c3cccs3)CC2)c(=O)[nH]c1=O ZINC001185155526 1074265226 /nfs/dbraw/zinc/26/52/26/1074265226.db2.gz VSWDSEGMPSBQME-UHFFFAOYSA-N 0 0 434.521 -0.321 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1cc(F)cc(F)c1 ZINC001185177695 1074265152 /nfs/dbraw/zinc/26/51/52/1074265152.db2.gz QGFQYJBIACGGPK-DCAQKATOSA-N 0 0 435.449 -0.045 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)Cc1cc(F)cc(F)c1 ZINC001185187014 1074265125 /nfs/dbraw/zinc/26/51/25/1074265125.db2.gz ZUIROUKDSURRTH-IRXDYDNUSA-N 0 0 441.456 -0.042 20 0 IBADRN CN(Cc1ccccc1)[C@@H](CO)C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001185224610 1074265237 /nfs/dbraw/zinc/26/52/37/1074265237.db2.gz QYKMKOLQBSYWBA-SFHVURJKSA-N 0 0 428.493 -0.048 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncncc34)CC2)C[C@H](C)O1 ZINC001185226434 1074265277 /nfs/dbraw/zinc/26/52/77/1074265277.db2.gz YKCWTGLPAPOBTL-BETUJISGSA-N 0 0 444.539 -0.430 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncncc34)CC2)C[C@@H](C)O1 ZINC001185226435 1074265209 /nfs/dbraw/zinc/26/52/09/1074265209.db2.gz YKCWTGLPAPOBTL-CHWSQXEVSA-N 0 0 444.539 -0.430 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncncc34)CC2)C[C@H](C)O1 ZINC001185226436 1074265693 /nfs/dbraw/zinc/26/56/93/1074265693.db2.gz YKCWTGLPAPOBTL-STQMWFEESA-N 0 0 444.539 -0.430 20 0 IBADRN CCS(=O)(CC)=NS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001185226443 1074265695 /nfs/dbraw/zinc/26/56/95/1074265695.db2.gz YPSWIQPWWTYLPK-KBPBESRZSA-N 0 0 446.617 -0.289 20 0 IBADRN CCS(=O)(CC)=NS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001185226444 1074265810 /nfs/dbraw/zinc/26/58/10/1074265810.db2.gz YPSWIQPWWTYLPK-OKILXGFUSA-N 0 0 446.617 -0.289 20 0 IBADRN CCS(=O)(CC)=NS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001185226445 1074265717 /nfs/dbraw/zinc/26/57/17/1074265717.db2.gz YPSWIQPWWTYLPK-ZIAGYGMSSA-N 0 0 446.617 -0.289 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)[C@H](CO)N(C)Cc1ccccc1)C2 ZINC001185226648 1074265674 /nfs/dbraw/zinc/26/56/74/1074265674.db2.gz NXRIKZGXCDALPX-KRWDZBQOSA-N 0 0 430.509 -0.282 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(S(=O)(=O)CCN2CCOC2=O)CC1 ZINC001185233117 1074265829 /nfs/dbraw/zinc/26/58/29/1074265829.db2.gz RQCZNJGCYQSOOI-UHFFFAOYSA-N 0 0 438.572 -0.105 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)[C@H](CO)N(C)Cc3ccccc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001185241587 1074265685 /nfs/dbraw/zinc/26/56/85/1074265685.db2.gz XRQPBOVJFMGAMM-WNRNVDISSA-N 0 0 432.477 -0.485 20 0 IBADRN CN(Cc1ccccc1)[C@@H](CO)C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001185243586 1074265838 /nfs/dbraw/zinc/26/58/38/1074265838.db2.gz HMGYLRPNCMHVDR-FHWLQOOXSA-N 0 0 442.520 -0.594 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(-c2cnco2)cc1 ZINC001185259297 1074266359 /nfs/dbraw/zinc/26/63/59/1074266359.db2.gz KIKYWMFNGVPGQC-AWEZNQCLSA-N 0 0 435.462 -0.788 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn4ccccc34)CC2)CC1 ZINC001185279778 1074265822 /nfs/dbraw/zinc/26/58/22/1074265822.db2.gz AMWOTSKPRRMLLC-UHFFFAOYSA-N 0 0 428.540 -0.867 20 0 IBADRN CCC[C@@H](C(=O)OCC)S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001185279786 1074265795 /nfs/dbraw/zinc/26/57/95/1074265795.db2.gz ATISAZBELLEEQP-HNNXBMFYSA-N 0 0 440.588 -0.842 20 0 IBADRN CCC[C@H](C(=O)OCC)S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001185279787 1074265813 /nfs/dbraw/zinc/26/58/13/1074265813.db2.gz ATISAZBELLEEQP-OAHLLOKOSA-N 0 0 440.588 -0.842 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2c(C)nn3cc(Br)cnc23)CC1 ZINC001185280088 1074266483 /nfs/dbraw/zinc/26/64/83/1074266483.db2.gz HOYHYZNDMOWVLI-UHFFFAOYSA-N 0 0 431.316 -0.147 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)CCc3ccc(F)cc3)CC2)CC1 ZINC001185280151 1074266474 /nfs/dbraw/zinc/26/64/74/1074266474.db2.gz IBYBQNDZZDMUIU-UHFFFAOYSA-N 0 0 434.559 -0.192 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1F ZINC001185280387 1074266805 /nfs/dbraw/zinc/26/68/05/1074266805.db2.gz KXSODQIWYSQNRG-UHFFFAOYSA-N 0 0 448.542 -0.173 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn(C4CCC4)c3)CC2)CC1 ZINC001185280458 1074266827 /nfs/dbraw/zinc/26/68/27/1074266827.db2.gz NOVUKRYQAXWCFU-UHFFFAOYSA-N 0 0 432.572 -0.593 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)OC3CN(C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC001185281025 1074266686 /nfs/dbraw/zinc/26/66/86/1074266686.db2.gz XBZHQZDTACIZHG-UHFFFAOYSA-N 0 0 447.558 -0.148 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CCCC(C)(C)O3)CC2)CC1 ZINC001185281085 1074266703 /nfs/dbraw/zinc/26/67/03/1074266703.db2.gz ZMXMFHIBLFSPJS-INIZCTEOSA-N 0 0 438.616 -0.226 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CCCC(C)(C)O3)CC2)CC1 ZINC001185281086 1074266750 /nfs/dbraw/zinc/26/67/50/1074266750.db2.gz ZMXMFHIBLFSPJS-MRXNPFEDSA-N 0 0 438.616 -0.226 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C23CCC(O)(CC2)CC3)[C@H]1O ZINC001185287105 1074266692 /nfs/dbraw/zinc/26/66/92/1074266692.db2.gz LYWFTANUFIMMQC-YZQGBDNLSA-N 0 0 446.508 -0.287 20 0 IBADRN NC(=O)c1ccc(N2CCN(S(=O)(=O)c3ccc4c(c3)C(=O)NCCO4)CC2)nc1 ZINC001185327253 1074267279 /nfs/dbraw/zinc/26/72/79/1074267279.db2.gz VVUFUWFSWLIWAM-UHFFFAOYSA-N 0 0 431.474 -0.186 20 0 IBADRN O=S(=O)(Nc1[nH]c(=S)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccsc1 ZINC001185350566 1074267257 /nfs/dbraw/zinc/26/72/57/1074267257.db2.gz ASOQDLKHLWUAHA-QYVSTXNMSA-N 0 0 445.504 -0.411 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)C1(CC2CC2)CCS(=O)(=O)CC1 ZINC001185356394 1074267164 /nfs/dbraw/zinc/26/71/64/1074267164.db2.gz SFPFAPHIRZSVMK-UHFFFAOYSA-N 0 0 438.510 -0.104 20 0 IBADRN Cc1nn(C)c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1F ZINC001185375539 1074267176 /nfs/dbraw/zinc/26/71/76/1074267176.db2.gz CFULLLWCOWVNAB-BFHYXJOUSA-N 0 0 428.486 -0.398 20 0 IBADRN Cc1nn(C)c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1F ZINC001185375545 1074267742 /nfs/dbraw/zinc/26/77/42/1074267742.db2.gz CFULLLWCOWVNAB-MGPQQGTHSA-N 0 0 428.486 -0.398 20 0 IBADRN CC(=O)N[C@H](Cc1ccc(C(=O)c2ccccc2)cc1)C(=O)N1CN[C@H](N)[C@H]2NCN[C@@H]21 ZINC001185393879 1074267631 /nfs/dbraw/zinc/26/76/31/1074267631.db2.gz UIKWHKKHAWSSHE-KRXUUXHPSA-N 0 0 436.516 -0.516 20 0 IBADRN CC(=O)N[C@H](Cc1ccc(C(=O)c2ccccc2)cc1)C(=O)N1CN[C@H](N)[C@@H]2NCN[C@H]21 ZINC001185393880 1074267751 /nfs/dbraw/zinc/26/77/51/1074267751.db2.gz UIKWHKKHAWSSHE-WAGURGNTSA-N 0 0 436.516 -0.516 20 0 IBADRN CC(=O)N[C@H](Cc1ccc(C(=O)c2ccccc2)cc1)C(=O)N1CN[C@H](N)[C@H]2NCN[C@H]21 ZINC001185393881 1074267673 /nfs/dbraw/zinc/26/76/73/1074267673.db2.gz UIKWHKKHAWSSHE-WKDRNLAYSA-N 0 0 436.516 -0.516 20 0 IBADRN CC(=O)N[C@H](Cc1ccc(C(=O)c2ccccc2)cc1)C(=O)N1CN[C@H](N)[C@@H]2NCN[C@@H]21 ZINC001185393882 1074267729 /nfs/dbraw/zinc/26/77/29/1074267729.db2.gz UIKWHKKHAWSSHE-XMGTWHOFSA-N 0 0 436.516 -0.516 20 0 IBADRN COC(=O)c1cc(OC)ccc1S(=O)(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001185396934 1074267657 /nfs/dbraw/zinc/26/76/57/1074267657.db2.gz NUFXOWXQJJGNSW-LLVKDONJSA-N 0 0 434.492 -0.594 20 0 IBADRN COC(=O)c1cc(OC)ccc1S(=O)(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001185396935 1074267610 /nfs/dbraw/zinc/26/76/10/1074267610.db2.gz NUFXOWXQJJGNSW-NSHDSACASA-N 0 0 434.492 -0.594 20 0 IBADRN COC1(CS(=O)(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CCOCC1 ZINC001185397396 1074267690 /nfs/dbraw/zinc/26/76/90/1074267690.db2.gz TZAOBAJZMXUSSY-UHFFFAOYSA-N 0 0 433.552 -0.019 20 0 IBADRN CCN1C[C@@H](S(=O)(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CC1=O ZINC001185404657 1074268095 /nfs/dbraw/zinc/26/80/95/1074268095.db2.gz PLJLPUAIGRNLJY-KRWDZBQOSA-N 0 0 445.563 -0.111 20 0 IBADRN CCN1C[C@H](S(=O)(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CC1=O ZINC001185404663 1074268328 /nfs/dbraw/zinc/26/83/28/1074268328.db2.gz PLJLPUAIGRNLJY-QGZVFWFLSA-N 0 0 445.563 -0.111 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NS(=O)(=O)c2ccsc2)[C@H]1O ZINC001185405639 1074268250 /nfs/dbraw/zinc/26/82/50/1074268250.db2.gz QZBNPZBXKGRHLC-QQHRNGFRSA-N 0 0 440.507 -0.449 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(=O)N1CCO[C@H](C(N)=O)C1 ZINC001185409765 1074268110 /nfs/dbraw/zinc/26/81/10/1074268110.db2.gz IUDKXQUCZKMLLE-HOTGVXAUSA-N 0 0 434.493 -0.049 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001185409766 1074268285 /nfs/dbraw/zinc/26/82/85/1074268285.db2.gz IUDKXQUCZKMLLE-JKSUJKDBSA-N 0 0 434.493 -0.049 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)n1)N[C@@H]1CCS(=O)(=O)C1 ZINC001185411794 1074268151 /nfs/dbraw/zinc/26/81/51/1074268151.db2.gz FNOQLCXSUYSLPZ-SECBINFHSA-N 0 0 426.314 -0.184 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)n1)N[C@H]1CCS(=O)(=O)C1 ZINC001185411795 1074268140 /nfs/dbraw/zinc/26/81/40/1074268140.db2.gz FNOQLCXSUYSLPZ-VIFPVBQESA-N 0 0 426.314 -0.184 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccsc1)C(N)=O ZINC001185414712 1074268194 /nfs/dbraw/zinc/26/81/94/1074268194.db2.gz NBQVPXWVNFLTPU-ONERCXAPSA-N 0 0 427.504 -0.306 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(=O)NC[C@@H]1CNC(=O)CO1 ZINC001185419718 1074267664 /nfs/dbraw/zinc/26/76/64/1074267664.db2.gz XVIZJZAXGHYFMT-CVEARBPZSA-N 0 0 434.493 -0.130 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(=O)NC[C@H]1CNC(=O)CO1 ZINC001185419720 1074268188 /nfs/dbraw/zinc/26/81/88/1074268188.db2.gz XVIZJZAXGHYFMT-HOTGVXAUSA-N 0 0 434.493 -0.130 20 0 IBADRN CNS(=O)(=O)CCNC(=O)CNC(=O)[C@H](Cc1ccccc1)NC(=O)OC(C)(C)C ZINC001185419907 1074268121 /nfs/dbraw/zinc/26/81/21/1074268121.db2.gz ZVCKVFAICVBXCU-HNNXBMFYSA-N 0 0 442.538 -0.096 20 0 IBADRN Cc1ncc(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1C ZINC001185423269 1074268203 /nfs/dbraw/zinc/26/82/03/1074268203.db2.gz RYLBALPFTWKXDN-UHFFFAOYSA-N 0 0 428.540 -0.446 20 0 IBADRN COc1nc(OC)c(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1C ZINC001185441741 1074268219 /nfs/dbraw/zinc/26/82/19/1074268219.db2.gz PNGJSMYOYKBNIV-GJZGRUSLSA-N 0 0 430.465 -0.052 20 0 IBADRN COc1cc(CNS(=O)(=O)c2cn(C)c(=O)[nH]c2=O)ccc1OCC(=O)N(C)C ZINC001185467000 1074268307 /nfs/dbraw/zinc/26/83/07/1074268307.db2.gz JUGTYHIQMPXHGV-UHFFFAOYSA-N 0 0 426.451 -0.560 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cc3ccccc3s2)c(=O)[nH]1 ZINC001185476791 1074268719 /nfs/dbraw/zinc/26/87/19/1074268719.db2.gz KZHJMIIYMAPRCO-HMTTWLPMSA-N 0 0 439.471 -0.239 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H]1C[C@](C)(O)C1)C(N)=O ZINC001185478083 1074268756 /nfs/dbraw/zinc/26/87/56/1074268756.db2.gz NFMXLQBFQBFGDY-MNUAXYBXSA-N 0 0 430.571 -0.482 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)Cc2ccc3c(c2)OC(F)(F)O3)c(=O)n1 ZINC001185492560 1074268677 /nfs/dbraw/zinc/26/86/77/1074268677.db2.gz ARYLUKZVEVDCTM-RAEVTNRLSA-N 0 0 440.359 -0.632 20 0 IBADRN CC(C)(C)OC(=O)N1CC(=O)CC[C@@H]1C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001185494593 1074268711 /nfs/dbraw/zinc/26/87/11/1074268711.db2.gz FAUUOLIIYIDBMM-GFCCVEGCSA-N 0 0 449.468 -0.130 20 0 IBADRN CC(C)(C)OC(=O)N1CC(=O)CC[C@H]1C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001185494605 1074268740 /nfs/dbraw/zinc/26/87/40/1074268740.db2.gz FAUUOLIIYIDBMM-LBPRGKRZSA-N 0 0 449.468 -0.130 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001185508337 1074268707 /nfs/dbraw/zinc/26/87/07/1074268707.db2.gz ZOMXQNICGDAJQZ-UHFFFAOYSA-N 0 0 439.581 -0.218 20 0 IBADRN COc1ccc(CNC(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001185510725 1074268744 /nfs/dbraw/zinc/26/87/44/1074268744.db2.gz TUTSQBNFRWWXSH-LJGDNWOOSA-N 0 0 430.421 -0.232 20 0 IBADRN COc1ccc(CNC(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001185510743 1074268684 /nfs/dbraw/zinc/26/86/84/1074268684.db2.gz TUTSQBNFRWWXSH-SCFUHWHPSA-N 0 0 430.421 -0.232 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)c(=O)o1 ZINC001185515943 1074268662 /nfs/dbraw/zinc/26/86/62/1074268662.db2.gz WAVGFXKRWQEZAE-UHFFFAOYSA-N 0 0 435.506 -0.319 20 0 IBADRN CCOc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(Cl)cn1 ZINC001185530559 1074268733 /nfs/dbraw/zinc/26/87/33/1074268733.db2.gz BJDNXYFBGFYBBF-DSPGLSBSSA-N 0 0 425.829 -0.436 20 0 IBADRN Cc1cc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)ccc1Cl ZINC001185544608 1074269633 /nfs/dbraw/zinc/26/96/33/1074269633.db2.gz CSOLFVWGJPONBK-NMFUWQPSSA-N 0 0 431.854 -0.492 20 0 IBADRN O=C(c1ccc(CNS(=O)(=O)C2CCS(=O)(=O)CC2)cc1)N1CCNC(=O)C1 ZINC001185551904 1074269063 /nfs/dbraw/zinc/26/90/63/1074269063.db2.gz WHWIPOWIDJTHBO-UHFFFAOYSA-N 0 0 429.520 -0.745 20 0 IBADRN COC(=O)c1ccnc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c1 ZINC001185551986 1074269312 /nfs/dbraw/zinc/26/93/12/1074269312.db2.gz YVWKAGHRBOLXKU-UHFFFAOYSA-N 0 0 432.458 -0.081 20 0 IBADRN COc1nccc(F)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001185552151 1074269164 /nfs/dbraw/zinc/26/91/64/1074269164.db2.gz AMKQGPKKEKJZCS-GZBFAFLISA-N 0 0 441.481 -0.037 20 0 IBADRN COc1nccc(F)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001185552153 1074269050 /nfs/dbraw/zinc/26/90/50/1074269050.db2.gz AMKQGPKKEKJZCS-UMVBOHGHSA-N 0 0 441.481 -0.037 20 0 IBADRN COc1nccc(F)c1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001185559886 1074269708 /nfs/dbraw/zinc/26/97/08/1074269708.db2.gz HNGJVVQGADEMQK-KBPBESRZSA-N 0 0 437.472 -0.034 20 0 IBADRN O=S(=O)(c1ccc(-c2nn[nH]n2)cc1)N1CC(N2CCN(c3ncccn3)CC2)C1 ZINC001185566413 1074269641 /nfs/dbraw/zinc/26/96/41/1074269641.db2.gz OWQRDMZJPLMJRW-UHFFFAOYSA-N 0 0 427.494 -0.148 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4ccc(-c5nn[nH]n5)cc4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001185575158 1074269597 /nfs/dbraw/zinc/26/95/97/1074269597.db2.gz GKNVIMQWEHOOOM-IVMMDQJWSA-N 0 0 440.507 -0.567 20 0 IBADRN O=C([C@H]1CN(S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)C[C@H]2OCC[C@H]21)N1CCOCC1 ZINC001185575243 1074269618 /nfs/dbraw/zinc/26/96/18/1074269618.db2.gz GVVZGETULBBNPM-YESZJQIVSA-N 0 0 448.505 -0.249 20 0 IBADRN CNC(=O)CO[C@@H]1CO[C@@]2(C1)CCCN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185575726 1074269872 /nfs/dbraw/zinc/26/98/72/1074269872.db2.gz IYABFERVPUVTRH-KBXCAEBGSA-N 0 0 436.494 -0.059 20 0 IBADRN CNC(=O)CO[C@H]1CO[C@]2(C1)CCCN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185575739 1074269740 /nfs/dbraw/zinc/26/97/40/1074269740.db2.gz IYABFERVPUVTRH-KDOFPFPSSA-N 0 0 436.494 -0.059 20 0 IBADRN CNC(=O)CO[C@@H]1CO[C@]2(C1)CCCN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185575742 1074269684 /nfs/dbraw/zinc/26/96/84/1074269684.db2.gz IYABFERVPUVTRH-KSSFIOAISA-N 0 0 436.494 -0.059 20 0 IBADRN CNC(=O)CO[C@H]1CO[C@@]2(C1)CCCN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185575745 1074269790 /nfs/dbraw/zinc/26/97/90/1074269790.db2.gz IYABFERVPUVTRH-RDTXWAMCSA-N 0 0 436.494 -0.059 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CC[C@H]2[C@H]1OCCN2S(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185575798 1074269816 /nfs/dbraw/zinc/26/98/16/1074269816.db2.gz JUCXLXRFFDPRQZ-ZMSDIMECSA-N 0 0 436.494 -0.108 20 0 IBADRN COCCN1CCN(C)C2(CCN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)CC2)C1=O ZINC001185576388 1074269725 /nfs/dbraw/zinc/26/97/25/1074269725.db2.gz NASATPOEJKWLED-UHFFFAOYSA-N 0 0 449.537 -0.190 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)C2)C1 ZINC001185576411 1074269803 /nfs/dbraw/zinc/26/98/03/1074269803.db2.gz OBGUWRBRHWHSAO-IBGZPJMESA-N 0 0 449.537 -0.284 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)C2)C1 ZINC001185576412 1074269755 /nfs/dbraw/zinc/26/97/55/1074269755.db2.gz OBGUWRBRHWHSAO-LJQANCHMSA-N 0 0 449.537 -0.284 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)C(=O)NCCN2S(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185576681 1074269891 /nfs/dbraw/zinc/26/98/91/1074269891.db2.gz QHINRWMOAYHHLS-UHFFFAOYSA-N 0 0 449.493 -0.023 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)CC2 ZINC001185577060 1074271873 /nfs/dbraw/zinc/27/18/73/1074271873.db2.gz UZORYRGVTYAPAJ-AWEZNQCLSA-N 0 0 436.494 -0.059 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)CC2 ZINC001185577062 1074271840 /nfs/dbraw/zinc/27/18/40/1074271840.db2.gz UZORYRGVTYAPAJ-CQSZACIVSA-N 0 0 436.494 -0.059 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185577073 1074271805 /nfs/dbraw/zinc/27/18/05/1074271805.db2.gz VCNTUBBOMKNFSG-GOSISDBHSA-N 0 0 433.494 -0.242 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185577114 1074271766 /nfs/dbraw/zinc/27/17/66/1074271766.db2.gz VCNTUBBOMKNFSG-SFHVURJKSA-N 0 0 433.494 -0.242 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC001185577404 1074271796 /nfs/dbraw/zinc/27/17/96/1074271796.db2.gz XVVVEFNTSGDRRM-AWEZNQCLSA-N 0 0 446.493 -0.354 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)C1 ZINC001185577411 1074271924 /nfs/dbraw/zinc/27/19/24/1074271924.db2.gz XVVVEFNTSGDRRM-CQSZACIVSA-N 0 0 446.493 -0.354 20 0 IBADRN CN(C)C(=O)COC[C@]12COC[C@H]1CN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185578138 1074271891 /nfs/dbraw/zinc/27/18/91/1074271891.db2.gz AGVZZXMOXPMEOQ-KDOFPFPSSA-N 0 0 436.494 -0.391 20 0 IBADRN O=C([C@H]1COC[C@H]2CN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)C[C@H]21)N1CCCO1 ZINC001185578345 1074271860 /nfs/dbraw/zinc/27/18/60/1074271860.db2.gz FOLSMGJLPOJETE-BMFZPTHFSA-N 0 0 434.478 -0.086 20 0 IBADRN COCCN1CCO[C@H]2CN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)CC[C@H]2C1=O ZINC001185578353 1074271777 /nfs/dbraw/zinc/27/17/77/1074271777.db2.gz FVHUCDDGPIKLHX-CVEARBPZSA-N 0 0 436.494 -0.249 20 0 IBADRN O=C(N[C@@H]1CN(S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001185578979 1074271813 /nfs/dbraw/zinc/27/18/13/1074271813.db2.gz RSTZJPZUOQOJEL-FVQBIDKESA-N 0 0 442.461 -0.525 20 0 IBADRN CN(C)C(=O)CN1CCO[C@@H]2CN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)CC[C@@H]2C1 ZINC001185578995 1074271967 /nfs/dbraw/zinc/27/19/67/1074271967.db2.gz RZINDLDEYJETEQ-NVXWUHKLSA-N 0 0 449.537 -0.334 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)C2)C1=O ZINC001185579179 1074271941 /nfs/dbraw/zinc/27/19/41/1074271941.db2.gz UXVIGQMKXODUNO-IBGZPJMESA-N 0 0 447.521 -0.042 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)C2)C1=O ZINC001185579183 1074271747 /nfs/dbraw/zinc/27/17/47/1074271747.db2.gz UXVIGQMKXODUNO-LJQANCHMSA-N 0 0 447.521 -0.042 20 0 IBADRN O=C([C@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)O1)N1CCOCC1 ZINC001185579554 1074271758 /nfs/dbraw/zinc/27/17/58/1074271758.db2.gz XQVSYZKRPPCBGZ-BZUAXINKSA-N 0 0 434.478 -0.354 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(S(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)C[C@H]2C1 ZINC001185579590 1074271786 /nfs/dbraw/zinc/27/17/86/1074271786.db2.gz YOHADCYYOGOARY-ZIAGYGMSSA-N 0 0 441.539 -0.385 20 0 IBADRN Cn1nc(C(=O)N2CCOCC2)c2c1CN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185584940 1074270211 /nfs/dbraw/zinc/27/02/11/1074270211.db2.gz YQHUNINJOGUWLD-UHFFFAOYSA-N 0 0 444.477 -0.223 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185588050 1074270262 /nfs/dbraw/zinc/27/02/62/1074270262.db2.gz GEONXVVDKHMZGV-GFCCVEGCSA-N 0 0 427.464 -0.783 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)C2 ZINC001185588051 1074270462 /nfs/dbraw/zinc/27/04/62/1074270462.db2.gz GEONXVVDKHMZGV-LBPRGKRZSA-N 0 0 427.464 -0.783 20 0 IBADRN O=S(=O)(c1ccc(-c2nn[nH]n2)cc1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001185588908 1074270404 /nfs/dbraw/zinc/27/04/04/1074270404.db2.gz IKTXSBFNPSNMMR-UHFFFAOYSA-N 0 0 426.524 -0.250 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)C[C@@H]1C(N)=O ZINC001185589204 1074270359 /nfs/dbraw/zinc/27/03/59/1074270359.db2.gz QGADNXDBOYJCJQ-CYBMUJFWSA-N 0 0 437.482 -0.038 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)C[C@H]1C(N)=O ZINC001185589205 1074270281 /nfs/dbraw/zinc/27/02/81/1074270281.db2.gz QGADNXDBOYJCJQ-ZDUSSCGKSA-N 0 0 437.482 -0.038 20 0 IBADRN O=C(c1cccc(N2CCCNC(=O)C2)n1)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001185601579 1074271309 /nfs/dbraw/zinc/27/13/09/1074271309.db2.gz JAWVDPQBGZKSEB-UHFFFAOYSA-N 0 0 440.508 -0.512 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)c3cccc(N4CCCNC(=O)C4)n3)CC[C@H]2C1=O ZINC001185601606 1074271289 /nfs/dbraw/zinc/27/12/89/1074271289.db2.gz JHVCAVCJTJWSJA-AEFFLSMTSA-N 0 0 445.520 -0.256 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(C(=O)c1cccc(N3CCCNC(=O)C3)n1)CC2 ZINC001185602324 1074271276 /nfs/dbraw/zinc/27/12/76/1074271276.db2.gz OTWVVNYQESVBMI-INIZCTEOSA-N 0 0 445.520 -0.066 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(C(=O)c1cccc(N3CCCNC(=O)C3)n1)CC2 ZINC001185602325 1074271223 /nfs/dbraw/zinc/27/12/23/1074271223.db2.gz OTWVVNYQESVBMI-MRXNPFEDSA-N 0 0 445.520 -0.066 20 0 IBADRN O=C(c1cccc(N2CCCNC(=O)C2)n1)N1Cc2[nH]nc(C(=O)N3CCOCC3)c2C1 ZINC001185602556 1074271205 /nfs/dbraw/zinc/27/12/05/1074271205.db2.gz WJARURWGRIPFHU-UHFFFAOYSA-N 0 0 439.476 -0.241 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1cccc(N3CCCNC(=O)C3)n1)C[C@H]2C(=O)N(C)C ZINC001185606279 1074271169 /nfs/dbraw/zinc/27/11/69/1074271169.db2.gz SWVXODVEJWHCLF-INIZCTEOSA-N 0 0 442.520 -0.583 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1cccc(N3CCCNC(=O)C3)n1)C[C@@H]2C(=O)N(C)C ZINC001185606280 1074271369 /nfs/dbraw/zinc/27/13/69/1074271369.db2.gz SWVXODVEJWHCLF-MRXNPFEDSA-N 0 0 442.520 -0.583 20 0 IBADRN O=C(c1cccc(N2CCCNC(=O)C2)n1)N1CC[C@H](NS(=O)(=O)c2ccccn2)C1 ZINC001185606645 1074271239 /nfs/dbraw/zinc/27/12/39/1074271239.db2.gz VRCVDCKLUWPJMS-HNNXBMFYSA-N 0 0 444.517 -0.004 20 0 IBADRN O=C(c1cccc(N2CCCNC(=O)C2)n1)N1CC[C@@H](NS(=O)(=O)c2ccccn2)C1 ZINC001185606646 1074271338 /nfs/dbraw/zinc/27/13/38/1074271338.db2.gz VRCVDCKLUWPJMS-OAHLLOKOSA-N 0 0 444.517 -0.004 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1cccc(N3CCCNC(=O)C3)n1)C2 ZINC001185606841 1074271181 /nfs/dbraw/zinc/27/11/81/1074271181.db2.gz YAAFOQNIGUXWHY-CYBMUJFWSA-N 0 0 436.490 -0.790 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1cccc(N3CCCNC(=O)C3)n1)C2 ZINC001185606842 1074271232 /nfs/dbraw/zinc/27/12/32/1074271232.db2.gz YAAFOQNIGUXWHY-ZDUSSCGKSA-N 0 0 436.490 -0.790 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(S(=O)(=O)CCN2CCOC2=O)CC1 ZINC001185607612 1074271375 /nfs/dbraw/zinc/27/13/75/1074271375.db2.gz SQAOOBKYRVFYGD-UHFFFAOYSA-N 0 0 432.543 -0.681 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(S(=O)(=O)c2cnn3c2OCCC3)CC1 ZINC001185607614 1074271190 /nfs/dbraw/zinc/27/11/90/1074271190.db2.gz SSBJQZFKMQLQHN-UHFFFAOYSA-N 0 0 441.554 -0.095 20 0 IBADRN O=C(CNC(=O)c1cccc(N2CCCNC(=O)C2)n1)NCC(=O)OCc1ccccc1 ZINC001185612466 1074271345 /nfs/dbraw/zinc/27/13/45/1074271345.db2.gz CRESEJOMAAFSQU-UHFFFAOYSA-N 0 0 439.472 -0.003 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@H](CNS(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)OCC2 ZINC001185613091 1074271386 /nfs/dbraw/zinc/27/13/86/1074271386.db2.gz JCCWUDIEPCPMGT-HNNXBMFYSA-N 0 0 446.493 -0.256 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@@H](CNS(=O)(=O)c1ccc(-c3nn[nH]n3)cc1)OCC2 ZINC001185613092 1074271317 /nfs/dbraw/zinc/27/13/17/1074271317.db2.gz JCCWUDIEPCPMGT-OAHLLOKOSA-N 0 0 446.493 -0.256 20 0 IBADRN CC1(C)O[C@@H]2[C@@H](OCCO)C[C@@H](NS(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)[C@@H]2O1 ZINC001185620266 1074275013 /nfs/dbraw/zinc/27/50/13/1074275013.db2.gz BKXSMPNEMKFQNT-CBBWQLFWSA-N 0 0 425.467 -0.185 20 0 IBADRN CCOC[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001185623806 1074274891 /nfs/dbraw/zinc/27/48/91/1074274891.db2.gz CMBTZPRSUXOMND-KBPBESRZSA-N 0 0 432.586 -0.877 20 0 IBADRN CCOC[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001185623808 1074274952 /nfs/dbraw/zinc/27/49/52/1074274952.db2.gz CMBTZPRSUXOMND-KGLIPLIRSA-N 0 0 432.586 -0.877 20 0 IBADRN CCOC[C@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001185623809 1074275025 /nfs/dbraw/zinc/27/50/25/1074275025.db2.gz CMBTZPRSUXOMND-UONOGXRCSA-N 0 0 432.586 -0.877 20 0 IBADRN CCOC[C@@H](C)CS(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001185623810 1074274958 /nfs/dbraw/zinc/27/49/58/1074274958.db2.gz CMBTZPRSUXOMND-ZIAGYGMSSA-N 0 0 432.586 -0.877 20 0 IBADRN Cc1ccc(N)cc1S(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001185625179 1074275034 /nfs/dbraw/zinc/27/50/34/1074275034.db2.gz MVZUNNJJFGLMGV-AWEZNQCLSA-N 0 0 437.565 -0.600 20 0 IBADRN Cc1ccc(N)cc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001185625180 1074274938 /nfs/dbraw/zinc/27/49/38/1074274938.db2.gz MVZUNNJJFGLMGV-CQSZACIVSA-N 0 0 437.565 -0.600 20 0 IBADRN CCC1CCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001185625916 1074274947 /nfs/dbraw/zinc/27/49/47/1074274947.db2.gz UWNYTJDITLBPIP-HNNXBMFYSA-N 0 0 443.613 -0.512 20 0 IBADRN CCC1CCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001185625917 1074274902 /nfs/dbraw/zinc/27/49/02/1074274902.db2.gz UWNYTJDITLBPIP-OAHLLOKOSA-N 0 0 443.613 -0.512 20 0 IBADRN Cc1cn([C@H]2C[C@H](NS(=O)(=O)c3ccc(-c4nn[nH]n4)cc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001185631457 1074272491 /nfs/dbraw/zinc/27/24/91/1074272491.db2.gz HKSWHZUJJRSKCS-BFHYXJOUSA-N 0 0 449.449 -0.936 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1cccc(N2CCCNC(=O)C2)n1 ZINC001185632332 1074272317 /nfs/dbraw/zinc/27/23/17/1074272317.db2.gz YTGXNIJILHEQCF-KRWDZBQOSA-N 0 0 438.488 -0.650 20 0 IBADRN O=S(=O)(NCc1ccnc(N2CCS(=O)(=O)CC2)c1)c1ccc(-c2nn[nH]n2)cc1 ZINC001185637432 1074272294 /nfs/dbraw/zinc/27/22/94/1074272294.db2.gz ARCLZLFEXNSQCU-UHFFFAOYSA-N 0 0 449.518 -0.025 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185641455 1074272474 /nfs/dbraw/zinc/27/24/74/1074272474.db2.gz BDQJHEAZKUJBQC-HNNXBMFYSA-N 0 0 445.461 -0.937 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185641582 1074272428 /nfs/dbraw/zinc/27/24/28/1074272428.db2.gz FGZDGBNDNCNFHT-HNNXBMFYSA-N 0 0 429.462 -0.642 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001185642362 1074272457 /nfs/dbraw/zinc/27/24/57/1074272457.db2.gz NLIUAKQWJLQFNP-LRDDRELGSA-N 0 0 443.489 -0.254 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185642388 1074272414 /nfs/dbraw/zinc/27/24/14/1074272414.db2.gz OFXUNUDIYGYXEO-HNNXBMFYSA-N 0 0 429.462 -0.642 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185642403 1074272367 /nfs/dbraw/zinc/27/23/67/1074272367.db2.gz PGZZKYRKKQPNPG-HNNXBMFYSA-N 0 0 445.461 -0.937 20 0 IBADRN CN(CC(=O)N(c1ncccc1F)[C@H]1CO[C@]2(CC[NH2+]C2)C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653350 1074272818 /nfs/dbraw/zinc/27/28/18/1074272818.db2.gz DFCFRCPTKIRAFT-BDJLRTHQSA-N 0 0 430.377 -0.376 20 0 IBADRN CN(CC(=O)N(c1ncccc1F)[C@H]1CO[C@@]2(CC[NH2+]C2)C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653351 1074272825 /nfs/dbraw/zinc/27/28/25/1074272825.db2.gz DFCFRCPTKIRAFT-BZNIZROVSA-N 0 0 430.377 -0.376 20 0 IBADRN CN(CC(=O)N(c1ncccc1F)[C@@H]1CO[C@]2(CC[NH2+]C2)C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653352 1074272741 /nfs/dbraw/zinc/27/27/41/1074272741.db2.gz DFCFRCPTKIRAFT-MEDUHNTESA-N 0 0 430.377 -0.376 20 0 IBADRN CN(CC(=O)N(c1ncccc1F)[C@@H]1CO[C@@]2(CC[NH2+]C2)C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653353 1074272899 /nfs/dbraw/zinc/27/28/99/1074272899.db2.gz DFCFRCPTKIRAFT-ZBEGNZNMSA-N 0 0 430.377 -0.376 20 0 IBADRN CCOC(=O)C1CC[NH+](C2CCN(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])CC2)CC1 ZINC001185653378 1074272889 /nfs/dbraw/zinc/27/28/89/1074272889.db2.gz DXBRYBPHQOPJDD-UHFFFAOYSA-N 0 0 433.446 -0.199 20 0 IBADRN CN(CC(=O)N1CC[N@@H+]2C[C@@H](OCc3cccnc3)C[C@@H]2C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653404 1074272745 /nfs/dbraw/zinc/27/27/45/1074272745.db2.gz FMYHJZWODUEQGK-CABCVRRESA-N 0 0 426.414 -0.568 20 0 IBADRN CN(CC(=O)N1CC[N@@H+]2C[C@@H](OCc3cccnc3)C[C@H]2C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653405 1074272763 /nfs/dbraw/zinc/27/27/63/1074272763.db2.gz FMYHJZWODUEQGK-GJZGRUSLSA-N 0 0 426.414 -0.568 20 0 IBADRN CN(CC(=O)N1CC[N@@H+]2C[C@H](OCc3cccnc3)C[C@@H]2C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653406 1074272717 /nfs/dbraw/zinc/27/27/17/1074272717.db2.gz FMYHJZWODUEQGK-HUUCEWRRSA-N 0 0 426.414 -0.568 20 0 IBADRN CN(CC(=O)N1CC[N@@H+]2C[C@H](OCc3cccnc3)C[C@H]2C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653407 1074272794 /nfs/dbraw/zinc/27/27/94/1074272794.db2.gz FMYHJZWODUEQGK-LSDHHAIUSA-N 0 0 426.414 -0.568 20 0 IBADRN C[S@](=O)(=NS(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C1CCCCC1 ZINC001185653749 1074272736 /nfs/dbraw/zinc/27/27/36/1074272736.db2.gz GAHYCWYDOMWVBT-MUUNZHRXSA-N 0 0 448.567 -0.045 20 0 IBADRN C[S@@](=O)(=NS(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C1CCCCC1 ZINC001185653750 1074272873 /nfs/dbraw/zinc/27/28/73/1074272873.db2.gz GAHYCWYDOMWVBT-NDEPHWFRSA-N 0 0 448.567 -0.045 20 0 IBADRN CC(C)[N@H+](C)Cc1cc(=O)n2c(n1)CN(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])CCC2 ZINC001185653862 1074273214 /nfs/dbraw/zinc/27/32/14/1074273214.db2.gz JHTASXBYFIMDKE-UHFFFAOYSA-N 0 0 443.445 -0.635 20 0 IBADRN CN(CC(=O)N1CC[C@@]2(C1)CCC[C@H](C[NH+]1CCN(C)CC1)O2)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185653931 1074273286 /nfs/dbraw/zinc/27/32/86/1074273286.db2.gz KGMWSKVULDUZKO-CRAIPNDOSA-N 0 0 446.489 -0.677 20 0 IBADRN CO[C@@H]1CCN(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])[C@@H]2C[N@H+](Cc3cccnc3)C[C@@H]21 ZINC001185654308 1074273233 /nfs/dbraw/zinc/27/32/33/1074273233.db2.gz NWLPAQCMUNCVET-ARFHVFGLSA-N 0 0 440.441 -0.322 20 0 IBADRN CN(CC(=O)N(Cc1cnc2n1CC[NH2+]CC2)c1ccccn1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185654365 1074273279 /nfs/dbraw/zinc/27/32/79/1074273279.db2.gz PCNVGOREDHWZMM-UHFFFAOYSA-N 0 0 436.413 -0.494 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cccc3c2OC(F)(F)O3)CC1 ZINC001185654793 1074273346 /nfs/dbraw/zinc/27/33/46/1074273346.db2.gz NZAWKSJEXKUCHW-UHFFFAOYSA-N 0 0 445.400 -0.010 20 0 IBADRN CC(C)O[C@]1(CS(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CCOC1 ZINC001185654849 1074273713 /nfs/dbraw/zinc/27/37/13/1074273713.db2.gz PBJZWRFHOIZUFS-GOSISDBHSA-N 0 0 431.511 -0.807 20 0 IBADRN CC(C)O[C@@]1(CS(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CCOC1 ZINC001185654851 1074273702 /nfs/dbraw/zinc/27/37/02/1074273702.db2.gz PBJZWRFHOIZUFS-SFHVURJKSA-N 0 0 431.511 -0.807 20 0 IBADRN CN(CC(=O)N1CCCn2cnc(COCC[NH+](C)C)c2C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185656108 1074274166 /nfs/dbraw/zinc/27/41/66/1074274166.db2.gz CQQKVXUOXLVHID-UHFFFAOYSA-N 0 0 431.434 -0.758 20 0 IBADRN Cc1ccc(N(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])[C@H]2CO[C@@]3(C2)CCC[NH2+]C3)nn1 ZINC001185657250 1074274497 /nfs/dbraw/zinc/27/44/97/1074274497.db2.gz QTFGGDHWBRVENT-CXAGYDPISA-N 0 0 441.429 -0.422 20 0 IBADRN Cc1ccc(N(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])[C@H]2CO[C@]3(C2)CCC[NH2+]C3)nn1 ZINC001185657251 1074274537 /nfs/dbraw/zinc/27/45/37/1074274537.db2.gz QTFGGDHWBRVENT-DYVFJYSZSA-N 0 0 441.429 -0.422 20 0 IBADRN Cc1ccc(N(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])[C@@H]2CO[C@]3(C2)CCC[NH2+]C3)nn1 ZINC001185657252 1074274551 /nfs/dbraw/zinc/27/45/51/1074274551.db2.gz QTFGGDHWBRVENT-GUYCJALGSA-N 0 0 441.429 -0.422 20 0 IBADRN Cc1ccc(N(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])[C@@H]2CO[C@@]3(C2)CCC[NH2+]C3)nn1 ZINC001185657253 1074274470 /nfs/dbraw/zinc/27/44/70/1074274470.db2.gz QTFGGDHWBRVENT-SUMWQHHRSA-N 0 0 441.429 -0.422 20 0 IBADRN CN(CC(=O)N(c1ccccn1)[C@@H]1C[NH2+]C[C@@H]2CCCO[C@H]12)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185657516 1074274475 /nfs/dbraw/zinc/27/44/75/1074274475.db2.gz XGXWDBSLOFNLQG-ZENOOKHLSA-N 0 0 426.414 -0.270 20 0 IBADRN CN(CC(=O)N(Cc1ncn2c1C[NH2+]CCC2)c1ncccn1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185657561 1074274543 /nfs/dbraw/zinc/27/45/43/1074274543.db2.gz YIQAUZDELDUYTE-UHFFFAOYSA-N 0 0 437.401 -0.752 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=O)Nc2cccnc2)[C@H](O)[C@@H]1O ZINC001185658186 1074274929 /nfs/dbraw/zinc/27/49/29/1074274929.db2.gz FTFIEKSKTQKAGJ-PFHKOEEOSA-N 0 0 428.409 -0.379 20 0 IBADRN COC(=O)[C@]1(NC(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])CC[N@H+](Cc2ccccc2)C1 ZINC001185663496 1074275745 /nfs/dbraw/zinc/27/57/45/1074275745.db2.gz MCJYZLOREGHCPN-KRWDZBQOSA-N 0 0 427.398 -0.531 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])CC[N@H+](Cc2ccccc2)C1 ZINC001185663501 1074275687 /nfs/dbraw/zinc/27/56/87/1074275687.db2.gz MCJYZLOREGHCPN-QGZVFWFLSA-N 0 0 427.398 -0.531 20 0 IBADRN CN(CC(=O)NC[C@H]1C[C@H]2CN(C(=O)OC(C)(C)C)CC[N@@H+]2C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185663840 1074275818 /nfs/dbraw/zinc/27/58/18/1074275818.db2.gz PRSVSOROHYIFEQ-OLZOCXBDSA-N 0 0 448.461 -0.407 20 0 IBADRN C[C@@H]([NH3+])c1cc(F)c(N(C(=O)CN(C)C(N)=[NH+]P(=O)([O-])[O-])S(C)(=O)=O)c(F)c1 ZINC001185667456 1074275768 /nfs/dbraw/zinc/27/57/68/1074275768.db2.gz NNCIZUXJADUNAT-SSDOTTSWSA-N 0 0 443.369 -0.174 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@@H]1CCCN1C(=O)[C@@H](NC(=O)OC)C(C)C ZINC001185672527 1074275809 /nfs/dbraw/zinc/27/58/09/1074275809.db2.gz JULVYPKJJUWKDM-DZKIICNBSA-N 0 0 440.497 -0.362 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@@H]1CCCN1C(=O)[C@@H](NC(=O)OC)C(C)C ZINC001185672528 1074275856 /nfs/dbraw/zinc/27/58/56/1074275856.db2.gz JULVYPKJJUWKDM-LZWOXQAQSA-N 0 0 440.497 -0.362 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)N1CCn2c(CNC(C)=O)nnc2C1)C(C)C ZINC001185673004 1074275787 /nfs/dbraw/zinc/27/57/87/1074275787.db2.gz PGEGAJAZVLEWGJ-YOEHRIQHSA-N 0 0 449.512 -0.372 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)N1CCN(C(C)=O)[C@H](C(=O)OC)C1)C(C)C ZINC001185676668 1074276107 /nfs/dbraw/zinc/27/61/07/1074276107.db2.gz CJVQOVZSWCJHGM-JYJNAYRXSA-N 0 0 440.497 -0.410 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)N1CCN(C(C)=O)[C@@H](C(=O)OC)C1)C(C)C ZINC001185676669 1074276189 /nfs/dbraw/zinc/27/61/89/1074276189.db2.gz CJVQOVZSWCJHGM-XHSDSOJGSA-N 0 0 440.497 -0.410 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)N[C@@H](Cc1cncn1C)C(=O)OC)C(C)C ZINC001185683141 1074274983 /nfs/dbraw/zinc/27/49/83/1074274983.db2.gz OQFHCHBJUUOKJM-JYJNAYRXSA-N 0 0 437.497 -0.008 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)N[C@H](Cc1cncn1C)C(=O)OC)C(C)C ZINC001185683143 1074275039 /nfs/dbraw/zinc/27/50/39/1074275039.db2.gz OQFHCHBJUUOKJM-PMPSAXMXSA-N 0 0 437.497 -0.008 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)NCCCN1CCS(=O)(=O)CC1)C(C)C ZINC001185683212 1074275342 /nfs/dbraw/zinc/27/53/42/1074275342.db2.gz QUWFWJLAZTUNQJ-HOTGVXAUSA-N 0 0 446.570 -0.405 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)NCCOCCN1C(=O)C=CC1=O)C(C)C ZINC001185683837 1074275232 /nfs/dbraw/zinc/27/52/32/1074275232.db2.gz XRBPBONOCYKAOV-YOEHRIQHSA-N 0 0 438.481 -0.584 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccc(Cl)nc1)NC(=O)CNC(C)=O ZINC001185714037 1074276159 /nfs/dbraw/zinc/27/61/59/1074276159.db2.gz KEUDJNZVHJIARX-ZDUSSCGKSA-N 0 0 434.902 -0.023 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(Cl)nc1 ZINC001185714338 1074276222 /nfs/dbraw/zinc/27/62/22/1074276222.db2.gz MHXYDCFNYAMNJS-KBPBESRZSA-N 0 0 442.881 -0.707 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)nc1 ZINC001185714482 1074276135 /nfs/dbraw/zinc/27/61/35/1074276135.db2.gz OFHJPEVBDWEKMW-KBPBESRZSA-N 0 0 426.882 -0.413 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(S(=O)(=O)C3CCN(C(=O)OC(C)(C)C)CC3)C[C@H]21 ZINC001185739451 1074276580 /nfs/dbraw/zinc/27/65/80/1074276580.db2.gz HALKUCZEAGKULS-CVEARBPZSA-N 0 0 446.570 -0.153 20 0 IBADRN CC(=O)N/C(=C\c1cc(F)ccc1F)C(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001185742467 1074276597 /nfs/dbraw/zinc/27/65/97/1074276597.db2.gz ADQUKBGYBGAZCJ-IPRCJKBESA-N 0 0 430.404 -0.598 20 0 IBADRN CC(=O)N/C(=C/c1cc(F)ccc1F)C(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001185742468 1074276671 /nfs/dbraw/zinc/27/66/71/1074276671.db2.gz ADQUKBGYBGAZCJ-ZRQCCYQNSA-N 0 0 430.404 -0.598 20 0 IBADRN C[C@@H](O)[C@@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1C(=O)[C@@H](NC(=O)OC1CCCC1)C(C)(C)C ZINC001185762928 1074276666 /nfs/dbraw/zinc/27/66/66/1074276666.db2.gz JKFRHZUYPFOPCG-LYHPXFHOSA-N 0 0 443.541 -0.110 20 0 IBADRN CC(C)(C)[C@H](NC(=O)OC1CCCC1)C(=O)N1C[C@H](O)C[C@H]1C(=O)NC[C@@H](O)CO ZINC001185764981 1074276564 /nfs/dbraw/zinc/27/65/64/1074276564.db2.gz INSZHUWOWGNIED-LUYZLQTOSA-N 0 0 429.514 -0.499 20 0 IBADRN CN(C[C@@H](O)CO)C(=O)[C@@H]1C[C@@H](O)CN1C(=O)[C@@H](NC(=O)OC1CCCC1)C(C)(C)C ZINC001185765373 1074277104 /nfs/dbraw/zinc/27/71/04/1074277104.db2.gz YCBMZPKXAJNCJY-TXCZRRACSA-N 0 0 443.541 -0.157 20 0 IBADRN CN(C[C@H](O)CO)C(=O)[C@@H]1C[C@@H](O)CN1C(=O)[C@@H](NC(=O)OC1CCCC1)C(C)(C)C ZINC001185765374 1074277110 /nfs/dbraw/zinc/27/71/10/1074277110.db2.gz YCBMZPKXAJNCJY-YQFWSFKMSA-N 0 0 443.541 -0.157 20 0 IBADRN CN1CCO[C@H](CS(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1 ZINC001185770292 1074277059 /nfs/dbraw/zinc/27/70/59/1074277059.db2.gz IAHPYCFYKVACPK-AWEZNQCLSA-N 0 0 443.551 -0.470 20 0 IBADRN CN1CCO[C@@H](CS(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1 ZINC001185770293 1074277098 /nfs/dbraw/zinc/27/70/98/1074277098.db2.gz IAHPYCFYKVACPK-CQSZACIVSA-N 0 0 443.551 -0.470 20 0 IBADRN CS(=O)(=O)Nc1cccc2c1CCN(S(=O)(=O)CC1CN(S(C)(=O)=O)C1)C2 ZINC001185777922 1074276966 /nfs/dbraw/zinc/27/69/66/1074276966.db2.gz CGEUVWCJTDTFGK-UHFFFAOYSA-N 0 0 437.565 -0.363 20 0 IBADRN Cn1cc(S(=O)(=O)NCCNC(=O)c2ccc(Br)cc2)c(=O)[nH]c1=O ZINC001185779139 1074277045 /nfs/dbraw/zinc/27/70/45/1074277045.db2.gz MHGBLTMQLQQHES-UHFFFAOYSA-N 0 0 431.268 -0.043 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNS(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cc1 ZINC001185794499 1074276982 /nfs/dbraw/zinc/27/69/82/1074276982.db2.gz LIJPVMZXQKUMTI-UHFFFAOYSA-N 0 0 430.508 -0.007 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C[C@H](O)c2ccccc2)[C@H]1O ZINC001185802993 1074277017 /nfs/dbraw/zinc/27/70/17/1074277017.db2.gz KZOWTADSIFDWFV-LRZOEXBQSA-N 0 0 442.476 -0.249 20 0 IBADRN CN1CCN(C2CN(C(=O)CNC(=O)OCC3=Cc4ccccc4S3(=O)=O)C2)CC1=O ZINC001185809138 1074277504 /nfs/dbraw/zinc/27/75/04/1074277504.db2.gz CRZKLADLBIGCNF-UHFFFAOYSA-N 0 0 448.501 -0.474 20 0 IBADRN O=C(CNC(=O)OCC1=Cc2ccccc2S1(=O)=O)NCCC(=O)N1CCOCC1 ZINC001185809246 1074277556 /nfs/dbraw/zinc/27/75/56/1074277556.db2.gz LCHXWLKSPVSQMG-UHFFFAOYSA-N 0 0 437.474 -0.094 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CNC(=O)OCC2=Cc3ccccc3S2(=O)=O)CC1 ZINC001185809657 1074277524 /nfs/dbraw/zinc/27/75/24/1074277524.db2.gz HFABKWLOIRUCGZ-UHFFFAOYSA-N 0 0 443.503 -0.355 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)CNC(=O)OCC2=Cc3ccccc3S2(=O)=O)C1 ZINC001185809767 1074277469 /nfs/dbraw/zinc/27/74/69/1074277469.db2.gz KHNDIXCEJNSNSO-UHFFFAOYSA-N 0 0 429.476 -0.699 20 0 IBADRN O=C(CNC(=O)OCC1=Cc2ccccc2S1(=O)=O)N1CC(N2CCNC(=O)C2)C1 ZINC001185811180 1074277592 /nfs/dbraw/zinc/27/75/92/1074277592.db2.gz QALIWWCTIIFLQQ-UHFFFAOYSA-N 0 0 434.474 -0.816 20 0 IBADRN O=C(CNC(=O)OCC1=Cc2ccccc2S1(=O)=O)N1CC(=O)N(C2CC2)C(=O)C1 ZINC001185811401 1074277542 /nfs/dbraw/zinc/27/75/42/1074277542.db2.gz RQWAPURGXGFFEY-UHFFFAOYSA-N 0 0 433.442 -0.099 20 0 IBADRN COC(=O)CN1CCN(C(=O)CNC(=O)OCC2=Cc3ccccc3S2(=O)=O)CC1 ZINC001185811440 1074277442 /nfs/dbraw/zinc/27/74/42/1074277442.db2.gz SXOCDCGTEFHSAN-UHFFFAOYSA-N 0 0 437.474 -0.142 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CNC(=O)OCC2=Cc3ccccc3S2(=O)=O)CCN1C ZINC001185815454 1074277534 /nfs/dbraw/zinc/27/75/34/1074277534.db2.gz DDJXDKFWIYBTAZ-HNNXBMFYSA-N 0 0 437.474 -0.143 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CNC(=O)OCC2=Cc3ccccc3S2(=O)=O)CCN1C ZINC001185815456 1074277452 /nfs/dbraw/zinc/27/74/52/1074277452.db2.gz DDJXDKFWIYBTAZ-OAHLLOKOSA-N 0 0 437.474 -0.143 20 0 IBADRN O=C(NCC(=O)N1CCN2C(=O)COC[C@@H]2C1)OCC1=Cc2ccccc2S1(=O)=O ZINC001185815482 1074277548 /nfs/dbraw/zinc/27/75/48/1074277548.db2.gz DVKHWWOETDKLLK-AWEZNQCLSA-N 0 0 435.458 -0.389 20 0 IBADRN O=C(NCC(=O)N1CCN2C(=O)COC[C@H]2C1)OCC1=Cc2ccccc2S1(=O)=O ZINC001185815485 1074277462 /nfs/dbraw/zinc/27/74/62/1074277462.db2.gz DVKHWWOETDKLLK-CQSZACIVSA-N 0 0 435.458 -0.389 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN1C(=O)CNC(=O)OCC1=Cc2ccccc2S1(=O)=O ZINC001185816144 1074277572 /nfs/dbraw/zinc/27/75/72/1074277572.db2.gz RWCGOMWFGLZZEN-HNNXBMFYSA-N 0 0 437.474 -0.143 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN1C(=O)CNC(=O)OCC1=Cc2ccccc2S1(=O)=O ZINC001185816145 1074277598 /nfs/dbraw/zinc/27/75/98/1074277598.db2.gz RWCGOMWFGLZZEN-OAHLLOKOSA-N 0 0 437.474 -0.143 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)CNC(=O)OCC1=Cc2ccccc2S1(=O)=O ZINC001185819575 1074277492 /nfs/dbraw/zinc/27/74/92/1074277492.db2.gz HKOFVWQVGDENTQ-UHFFFAOYSA-N 0 0 431.492 -0.451 20 0 IBADRN CS(=O)(=O)N1CC(NC(=O)CNC(=O)OCC2=Cc3ccccc3S2(=O)=O)C1 ZINC001185819809 1074277886 /nfs/dbraw/zinc/27/78/86/1074277886.db2.gz LMFYXOQURFYLDO-UHFFFAOYSA-N 0 0 429.476 -0.699 20 0 IBADRN O=C(CNC(=O)OCC1=Cc2ccccc2S1(=O)=O)NCCN1CCCS1(=O)=O ZINC001185820545 1074278026 /nfs/dbraw/zinc/27/80/26/1074278026.db2.gz DVADPCJTGPHLIM-UHFFFAOYSA-N 0 0 443.503 -0.307 20 0 IBADRN COC(=O)CC[C@H](NC(=O)CNC(=O)OCC1=Cc2ccccc2S1(=O)=O)C(N)=O ZINC001185822545 1074278169 /nfs/dbraw/zinc/27/81/69/1074278169.db2.gz YDAXZIIWWJLHIU-ZDUSSCGKSA-N 0 0 439.446 -0.536 20 0 IBADRN CC(=O)O[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001185849590 1074278410 /nfs/dbraw/zinc/27/84/10/1074278410.db2.gz QGIZRMGEZPKGID-CABCVRRESA-N 0 0 439.465 -0.157 20 0 IBADRN CC(=O)O[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001185849591 1074278539 /nfs/dbraw/zinc/27/85/39/1074278539.db2.gz QGIZRMGEZPKGID-GJZGRUSLSA-N 0 0 439.465 -0.157 20 0 IBADRN CC(=O)O[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001185849592 1074278474 /nfs/dbraw/zinc/27/84/74/1074278474.db2.gz QGIZRMGEZPKGID-HUUCEWRRSA-N 0 0 439.465 -0.157 20 0 IBADRN CC(=O)O[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)NCCOCCN1C(=O)C=CC1=O ZINC001185849593 1074278450 /nfs/dbraw/zinc/27/84/50/1074278450.db2.gz QGIZRMGEZPKGID-LSDHHAIUSA-N 0 0 439.465 -0.157 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@@H](CO)[C@@H](O)c1ccc(Br)cc1 ZINC001185858785 1074278480 /nfs/dbraw/zinc/27/84/80/1074278480.db2.gz JFBCHLKIZLWFCZ-OBJOEFQTSA-N 0 0 432.271 -0.050 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@H](CO)[C@H](O)c1ccc(Br)cc1 ZINC001185858788 1074278549 /nfs/dbraw/zinc/27/85/49/1074278549.db2.gz JFBCHLKIZLWFCZ-OUCADQQQSA-N 0 0 432.271 -0.050 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@H](CO)[C@@H](O)c1ccc(Br)cc1 ZINC001185858789 1074278438 /nfs/dbraw/zinc/27/84/38/1074278438.db2.gz JFBCHLKIZLWFCZ-SCRDCRAPSA-N 0 0 432.271 -0.050 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@@H](CO)[C@H](O)c1ccc(Br)cc1 ZINC001185858790 1074278579 /nfs/dbraw/zinc/27/85/79/1074278579.db2.gz JFBCHLKIZLWFCZ-SGMGOOAPSA-N 0 0 432.271 -0.050 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)n1c(=N)nc2ncc(-c3cccc(O)c3)nc2c1N ZINC001185862032 1074278571 /nfs/dbraw/zinc/27/85/71/1074278571.db2.gz BNATWQKJLUAEDK-NSHDSACASA-N 0 0 440.420 -0.109 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)Nc1ncc(I)cc1C(N)=O ZINC001185862402 1074278584 /nfs/dbraw/zinc/27/85/84/1074278584.db2.gz FQQGENSIWKHNIK-QMMMGPOBSA-N 0 0 449.205 -0.286 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)Nc1c(C(=O)OCC)cnc2nc(SC)nn21 ZINC001185862464 1074278506 /nfs/dbraw/zinc/27/85/06/1074278506.db2.gz JEDLELQMJZGPTC-VIFPVBQESA-N 0 0 439.454 -0.049 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@](NC(=O)OC(C)(C)C)(C(=O)OC)C1 ZINC001185865111 1074278496 /nfs/dbraw/zinc/27/84/96/1074278496.db2.gz BCRNEEPZNBXBAF-BBATYDOGSA-N 0 0 430.458 -0.355 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@@](NC(=O)OC(C)(C)C)(C(=O)OC)C1 ZINC001185865113 1074278390 /nfs/dbraw/zinc/27/83/90/1074278390.db2.gz BCRNEEPZNBXBAF-VOJFVSQTSA-N 0 0 430.458 -0.355 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001185865466 1074278455 /nfs/dbraw/zinc/27/84/55/1074278455.db2.gz GFNRDLIDIDQMBO-HNNXBMFYSA-N 0 0 426.495 -0.182 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC001185865851 1074279015 /nfs/dbraw/zinc/27/90/15/1074279015.db2.gz JYGNEQTXWGELDJ-BBRMVZONSA-N 0 0 434.449 -0.513 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC001185865864 1074279002 /nfs/dbraw/zinc/27/90/02/1074279002.db2.gz JYGNEQTXWGELDJ-XJKSGUPXSA-N 0 0 434.449 -0.513 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(CC(=O)NCCc2ccccc2)CC1 ZINC001185865943 1074278968 /nfs/dbraw/zinc/27/89/68/1074278968.db2.gz KQQFYLFVOCGRQB-KRWDZBQOSA-N 0 0 433.509 -0.520 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@]2(C[C@@H](OCC(=O)N3CCCC3)CO2)C1 ZINC001185866012 1074278896 /nfs/dbraw/zinc/27/88/96/1074278896.db2.gz AZLUGIJWVPACDG-SIFCLUCFSA-N 0 0 440.497 -0.625 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H]2[C@H](C[C@@H](Cn3cccn3)N2C(C)=O)C1 ZINC001185866016 1074279010 /nfs/dbraw/zinc/27/90/10/1074279010.db2.gz BDQIXUFKNSONGU-QZWWFDLISA-N 0 0 434.497 -0.289 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@H](NC(=O)c2cscn2)[C@@H]2OCCC[C@@H]21 ZINC001185866040 1074278980 /nfs/dbraw/zinc/27/89/80/1074278980.db2.gz BXOAUGDMUGSBGC-UHXUCMFUSA-N 0 0 439.494 -0.379 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@H]21 ZINC001185866053 1074279084 /nfs/dbraw/zinc/27/90/84/1074279084.db2.gz CDGPBPJKDZXGTA-DUFGSWQCSA-N 0 0 448.480 -0.798 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCO[C@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1 ZINC001185866054 1074279078 /nfs/dbraw/zinc/27/90/78/1074279078.db2.gz CDGPBPJKDZXGTA-MKVSYHDVSA-N 0 0 448.480 -0.798 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCO[C@@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001185866055 1074278996 /nfs/dbraw/zinc/27/89/96/1074278996.db2.gz CDGPBPJKDZXGTA-NZUILWFCSA-N 0 0 448.480 -0.798 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001185866056 1074279047 /nfs/dbraw/zinc/27/90/47/1074279047.db2.gz CDGPBPJKDZXGTA-VWNJHIHFSA-N 0 0 448.480 -0.798 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2 ZINC001185866074 1074278987 /nfs/dbraw/zinc/27/89/87/1074278987.db2.gz CTPMARLFZQJSQO-AWEZNQCLSA-N 0 0 448.480 -0.700 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H]2CO[C@H](CNC(=O)c3ccncc3)[C@H]2C1 ZINC001185866403 1074279061 /nfs/dbraw/zinc/27/90/61/1074279061.db2.gz FFXJFQKKKSMFHX-LUKYLMHMSA-N 0 0 447.492 -0.335 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCn2c(CNC(=O)c3ccno3)cnc2C1 ZINC001185866409 1074278929 /nfs/dbraw/zinc/27/89/29/1074278929.db2.gz FIYUXSPZQNCSQH-LBPRGKRZSA-N 0 0 433.425 -0.867 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCCn2c(=O)cc(Cn3ccnc3)nc2C1 ZINC001185866421 1074279067 /nfs/dbraw/zinc/27/90/67/1074279067.db2.gz FNDMNWUOVMENRF-AWEZNQCLSA-N 0 0 431.453 -0.790 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@@H](N2CCOCC2)C[C@]1(C)C(=O)OC ZINC001185866557 1074279022 /nfs/dbraw/zinc/27/90/22/1074279022.db2.gz GKEQVHVSLQYOHR-BIENJYKASA-N 0 0 428.486 -0.769 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H](N2CCOCC2)C[C@]1(C)C(=O)OC ZINC001185866565 1074278915 /nfs/dbraw/zinc/27/89/15/1074278915.db2.gz GKEQVHVSLQYOHR-CKFHNAJUSA-N 0 0 428.486 -0.769 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H](N2CCOCC2)C[C@@]1(C)C(=O)OC ZINC001185866569 1074279053 /nfs/dbraw/zinc/27/90/53/1074279053.db2.gz GKEQVHVSLQYOHR-NJSLBKSFSA-N 0 0 428.486 -0.769 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@@H](N2CCOCC2)C[C@@]1(C)C(=O)OC ZINC001185866571 1074278904 /nfs/dbraw/zinc/27/89/04/1074278904.db2.gz GKEQVHVSLQYOHR-TYILLQQXSA-N 0 0 428.486 -0.769 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1Cc2nnn(C)c2[C@@H](COCc2cccnc2)C1 ZINC001185866603 1074279458 /nfs/dbraw/zinc/27/94/58/1074279458.db2.gz GUSZDNGXNBVEQC-CABCVRRESA-N 0 0 445.480 -0.157 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1Cc2nnn(C)c2[C@H](COCc2cccnc2)C1 ZINC001185866606 1074279428 /nfs/dbraw/zinc/27/94/28/1074279428.db2.gz GUSZDNGXNBVEQC-GJZGRUSLSA-N 0 0 445.480 -0.157 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@@H](NC(=O)c2cccnc2)[C@H]2OCCC[C@H]2C1 ZINC001185866971 1074279404 /nfs/dbraw/zinc/27/94/04/1074279404.db2.gz HMQFSWOGTORZSM-CUSZFKRNSA-N 0 0 447.492 -0.193 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@@H]2CN(C(=O)c3ccco3)CCO[C@@H]2C1 ZINC001185867011 1074279471 /nfs/dbraw/zinc/27/94/71/1074279471.db2.gz HTJQOYJMJAMWRR-IJEWVQPXSA-N 0 0 436.465 -0.041 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCC2(CC1)CN(Cc1cccnc1)C(=O)CO2 ZINC001185867052 1074279298 /nfs/dbraw/zinc/27/92/98/1074279298.db2.gz IHWFNJPCLJRBGZ-INIZCTEOSA-N 0 0 447.492 -0.208 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCC2(C[C@@H](C(=O)N3CCOCC3)CO2)CC1 ZINC001185867099 1074279484 /nfs/dbraw/zinc/27/94/84/1074279484.db2.gz ITLXVXZDQWZKAX-CABCVRRESA-N 0 0 440.497 -0.767 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCC2(C[C@H](C(=O)N3CCOCC3)CO2)CC1 ZINC001185867107 1074279358 /nfs/dbraw/zinc/27/93/58/1074279358.db2.gz ITLXVXZDQWZKAX-GJZGRUSLSA-N 0 0 440.497 -0.767 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCC2(CC1)CN(c1cncnc1)C(=O)CO2 ZINC001185867111 1074279477 /nfs/dbraw/zinc/27/94/77/1074279477.db2.gz ITWYQRXBEUKXDR-AWEZNQCLSA-N 0 0 434.453 -0.809 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@H]2OCC[C@H]2[C@H](C(=O)NCc2cccnc2)C1 ZINC001185867127 1074279437 /nfs/dbraw/zinc/27/94/37/1074279437.db2.gz IWRUGHDERIUZCB-VVLHAWIVSA-N 0 0 447.492 -0.449 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCn2nnc(COCc3cccnc3)c2C1 ZINC001185867149 1074279494 /nfs/dbraw/zinc/27/94/94/1074279494.db2.gz KDDLDCIBVHYUFG-AWEZNQCLSA-N 0 0 431.453 -0.278 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC(=O)NC[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001185867159 1074279450 /nfs/dbraw/zinc/27/94/50/1074279450.db2.gz KKHGUIKKQANACC-BUXKBTBVSA-N 0 0 441.485 -0.686 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC(=O)NC[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001185867164 1074279419 /nfs/dbraw/zinc/27/94/19/1074279419.db2.gz KKHGUIKKQANACC-HXPMCKFVSA-N 0 0 441.485 -0.686 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(C(=O)C(C)C)C[C@]2(CCCC(=O)N2)C1 ZINC001185867168 1074279366 /nfs/dbraw/zinc/27/93/66/1074279366.db2.gz KMQMMALLYMRDNE-VBKZILBWSA-N 0 0 439.513 -0.658 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(C(=O)C(C)C)C[C@@]2(CCCC(=O)N2)C1 ZINC001185867173 1074279464 /nfs/dbraw/zinc/27/94/64/1074279464.db2.gz KMQMMALLYMRDNE-XOBRGWDASA-N 0 0 439.513 -0.658 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)n1c([C@H]2COCCN2)ncc/c1=N\Cc1ccco1 ZINC001185867587 1074279314 /nfs/dbraw/zinc/27/93/14/1074279314.db2.gz MEOLOZTXSTZNQZ-BJCFOWJBSA-N 0 0 446.464 -0.132 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)n1c([C@@H]2COCCN2)ncc/c1=N\Cc1ccco1 ZINC001185867588 1074279372 /nfs/dbraw/zinc/27/93/72/1074279372.db2.gz MEOLOZTXSTZNQZ-KWBVRPRRSA-N 0 0 446.464 -0.132 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCCn2nnc(COc3cccnc3)c2C1 ZINC001185867596 1074279344 /nfs/dbraw/zinc/27/93/44/1074279344.db2.gz MHKYLGNNPLZONV-AWEZNQCLSA-N 0 0 431.453 -0.026 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H]2OCC[C@@]2(C(=O)NCc2ccccn2)C1 ZINC001185867606 1074279835 /nfs/dbraw/zinc/27/98/35/1074279835.db2.gz MKDYRQYASCJBIY-GCKMJXCFSA-N 0 0 447.492 -0.305 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H]2O[C@@H](COCC(=O)N(C)C)CC[C@H]21 ZINC001185867608 1074279759 /nfs/dbraw/zinc/27/97/59/1074279759.db2.gz MKLDCZXJIDXDHT-LXTVHRRPSA-N 0 0 428.486 -0.770 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@@H]2CN(C(=O)c3ccccc3)CCO[C@@H]2C1 ZINC001185867645 1074279767 /nfs/dbraw/zinc/27/97/67/1074279767.db2.gz MOIJHOIGEFCVMK-YESZJQIVSA-N 0 0 432.477 -0.024 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H]2O[C@H](C(=O)N(C)Cc3ccccn3)C[C@H]21 ZINC001185867684 1074279858 /nfs/dbraw/zinc/27/98/58/1074279858.db2.gz MZGBKXYZHYBSGX-HZMVEIRTSA-N 0 0 447.492 -0.212 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@@H]2COC[C@H](C(=O)N3CCC(F)CC3)[C@@H]2C1 ZINC001185867807 1074279751 /nfs/dbraw/zinc/27/97/51/1074279751.db2.gz OCGXVHKVFDNPEA-OJLVUWQFSA-N 0 0 442.488 -0.342 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@H]2c3ccccc3N(S(C)(=O)=O)C[C@@H]2C1 ZINC001185867819 1074279864 /nfs/dbraw/zinc/27/98/64/1074279864.db2.gz OSTHLXZJQXCOHE-CFVMTHIKSA-N 0 0 438.506 -0.002 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCO[C@H]2[C@H](OCC(=O)N3CCCC3)CC[C@@H]21 ZINC001185867821 1074279810 /nfs/dbraw/zinc/27/98/10/1074279810.db2.gz OXQJQSDMNUTFAT-OIPACUDHSA-N 0 0 440.497 -0.626 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@H](NC(=O)c2cccn2C)[C@H]2OCCC[C@H]21 ZINC001185867836 1074279773 /nfs/dbraw/zinc/27/97/73/1074279773.db2.gz PMMFRCHQSOAZBP-SZOQZIPDSA-N 0 0 435.481 -0.497 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCC2(CC1)C(=O)NCCN2Cc1ccccc1 ZINC001185867840 1074279814 /nfs/dbraw/zinc/27/98/14/1074279814.db2.gz POYKDFLWOFEHSI-KRWDZBQOSA-N 0 0 445.520 -0.030 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCc2nnc(COc3ccccn3)n2CC1 ZINC001185867847 1074279873 /nfs/dbraw/zinc/27/98/73/1074279873.db2.gz PZJOTUIUIYYJEG-ZDUSSCGKSA-N 0 0 431.453 -0.373 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@@]2(C1)CCC[C@H](CNC(=O)N(C)C)O2 ZINC001185867891 1074279839 /nfs/dbraw/zinc/27/98/39/1074279839.db2.gz RODSLJPKEDDHCA-BIENJYKASA-N 0 0 427.502 -0.212 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCc2onc(COCC(=O)N(C)C)c2C1 ZINC001185867892 1074279807 /nfs/dbraw/zinc/27/98/07/1074279807.db2.gz ROVORFPRWLMVKX-LBPRGKRZSA-N 0 0 425.442 -0.846 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCn2cc(CNC(=O)c3cccn3C)nc2C1 ZINC001185867893 1074279786 /nfs/dbraw/zinc/27/97/86/1074279786.db2.gz RVJXRCWHSUSSAV-AWEZNQCLSA-N 0 0 445.480 -0.516 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@@H]2COC[C@]2(COCC(=O)N2CCCC2)C1 ZINC001185867900 1074279827 /nfs/dbraw/zinc/27/98/27/1074279827.db2.gz SBDZEWKQHGJMRK-SIFCLUCFSA-N 0 0 440.497 -0.910 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCOC[C@@]2(CN(C(=O)C(C)C)CCO2)C1 ZINC001185867941 1074279869 /nfs/dbraw/zinc/27/98/69/1074279869.db2.gz UITHZEHZCWLPIO-IFXJQAMLSA-N 0 0 428.486 -0.911 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCOC[C@]2(CN(C(=O)C(C)C)CCO2)C1 ZINC001185867942 1074279779 /nfs/dbraw/zinc/27/97/79/1074279779.db2.gz UITHZEHZCWLPIO-LIRRHRJNSA-N 0 0 428.486 -0.911 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@@]2(C1)COCc1c(C)nc(N(C)C)nc12 ZINC001185867964 1074279799 /nfs/dbraw/zinc/27/97/99/1074279799.db2.gz VFVVPOYFBDIXDW-VBKZILBWSA-N 0 0 434.497 -0.159 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@]2(C1)COCc1c(C)nc(N(C)C)nc12 ZINC001185867965 1074279843 /nfs/dbraw/zinc/27/98/43/1074279843.db2.gz VFVVPOYFBDIXDW-XOBRGWDASA-N 0 0 434.497 -0.159 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)OCC ZINC001185868098 1074279792 /nfs/dbraw/zinc/27/97/92/1074279792.db2.gz WOFJRFFZSJUNCT-QWHCGFSZSA-N 0 0 444.485 -0.013 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCN(C(=O)OC(C)(C)C)C[C@H]1C(=O)OCC ZINC001185868099 1074280132 /nfs/dbraw/zinc/28/01/32/1074280132.db2.gz WOFJRFFZSJUNCT-STQMWFEESA-N 0 0 444.485 -0.013 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H]2OC[C@@H](C(=O)N3CCCCO3)C[C@H]2C1 ZINC001185868126 1074280328 /nfs/dbraw/zinc/28/03/28/1074280328.db2.gz XMWFOCZQMFGZMR-YHUYYLMFSA-N 0 0 440.497 -0.216 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCC2(CC1)c1cc(C(=O)NC)ccc1NC2=O ZINC001185868129 1074280268 /nfs/dbraw/zinc/28/02/68/1074280268.db2.gz XOFQDDWWHJQIDE-HNNXBMFYSA-N 0 0 445.476 -0.151 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CCC2(CC1)COCCN2Cc1ccn(C)n1 ZINC001185868130 1074280287 /nfs/dbraw/zinc/28/02/87/1074280287.db2.gz XPRBHHUZVNOWFE-INIZCTEOSA-N 0 0 436.513 -0.396 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@@H]2COC[C@H](C(=O)N3CCCCO3)[C@@H]2C1 ZINC001185868172 1074280316 /nfs/dbraw/zinc/28/03/16/1074280316.db2.gz YWWDGQVKKYXESA-KBXIAJHMSA-N 0 0 426.470 -0.749 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1CC[C@H](OCCOC)[C@@H]1Cc1cnn(C)c1 ZINC001185868180 1074280218 /nfs/dbraw/zinc/28/02/18/1074280218.db2.gz ZFBPAIWYFVYZFB-JYJNAYRXSA-N 0 0 425.486 -0.415 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1Cc2c(-c3cnn(C)c3)nnn2C[C@@H]1COC ZINC001185868184 1074280200 /nfs/dbraw/zinc/28/02/00/1074280200.db2.gz ZJXADVNBCRRYIO-OLZOCXBDSA-N 0 0 434.457 -0.974 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1Cc2c(-c3cnn(C)c3)nnn2C[C@H]1COC ZINC001185868185 1074280179 /nfs/dbraw/zinc/28/01/79/1074280179.db2.gz ZJXADVNBCRRYIO-STQMWFEESA-N 0 0 434.457 -0.974 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@@H]2COC[C@]2(C(=O)NCCc2ccccc2)C1 ZINC001185868188 1074280237 /nfs/dbraw/zinc/28/02/37/1074280237.db2.gz ZKCYUYLYFQUUSG-HYFFOGBASA-N 0 0 446.504 -0.190 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N1C[C@@H]2COC[C@H](C(=O)Nc3cnccn3)[C@@H]2C1 ZINC001185868324 1074280169 /nfs/dbraw/zinc/28/01/69/1074280169.db2.gz ZYWFDYRBBOXENS-MQYQWHSLSA-N 0 0 434.453 -0.874 20 0 IBADRN Cc1c(F)cccc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001185868461 1074280337 /nfs/dbraw/zinc/28/03/37/1074280337.db2.gz RMDDLPQCLBDFDC-HOTGVXAUSA-N 0 0 439.465 -0.308 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001185869866 1074280246 /nfs/dbraw/zinc/28/02/46/1074280246.db2.gz NGVODFVOVUZGTL-KRWDZBQOSA-N 0 0 448.524 -0.175 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001185870786 1074277902 /nfs/dbraw/zinc/27/79/02/1074277902.db2.gz ZPHORNVEEMZRNZ-QLFBSQMISA-N 0 0 425.573 -0.539 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001185870787 1074278148 /nfs/dbraw/zinc/27/81/48/1074278148.db2.gz ZPHORNVEEMZRNZ-RBSFLKMASA-N 0 0 425.573 -0.539 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001185870788 1074277975 /nfs/dbraw/zinc/27/79/75/1074277975.db2.gz ZPHORNVEEMZRNZ-RRFJBIMHSA-N 0 0 425.573 -0.539 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001185870790 1074278002 /nfs/dbraw/zinc/27/80/02/1074278002.db2.gz ZPHORNVEEMZRNZ-SOUVJXGZSA-N 0 0 425.573 -0.539 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)NC[C@@]12COC[C@@H]1CN(C(=O)OC(C)(C)C)C2 ZINC001185872361 1074277871 /nfs/dbraw/zinc/27/78/71/1074277871.db2.gz FFHHKONXERXZDP-WTOJCKNJSA-N 0 0 428.486 -0.024 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@@H]1C[C@@H](C(=O)OC)N(C(=O)OC(C)(C)C)C1 ZINC001185872612 1074277929 /nfs/dbraw/zinc/27/79/29/1074277929.db2.gz JPVQWSLNRMFSFM-WOPDTQHZSA-N 0 0 430.458 -0.356 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001185873313 1074278138 /nfs/dbraw/zinc/27/81/38/1074278138.db2.gz RXOIMDUECDPOIW-CVEARBPZSA-N 0 0 444.492 -0.291 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001185873321 1074278080 /nfs/dbraw/zinc/27/80/80/1074278080.db2.gz RXOIMDUECDPOIW-HOTGVXAUSA-N 0 0 444.492 -0.291 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@H](C)Cc1ccc(OC)c(S(N)(=O)=O)c1 ZINC001185873648 1074278091 /nfs/dbraw/zinc/27/80/91/1074278091.db2.gz WDAVIONTGLTSOE-PWSUYJOCSA-N 0 0 430.483 -0.620 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)NCc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001185873910 1074278014 /nfs/dbraw/zinc/27/80/14/1074278014.db2.gz CBCAGQWBEVYMJJ-HNNXBMFYSA-N 0 0 442.494 -0.686 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N/C(C)=C\C(=O)OCCCCOC(=O)C=C(C)N ZINC001185874190 1074277948 /nfs/dbraw/zinc/27/79/48/1074277948.db2.gz HCDNQIKIOXHUJT-QIDBJKCESA-N 0 0 442.469 -0.274 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)NC1CCN(S(=O)(=O)c2ccccn2)CC1 ZINC001185874809 1074278049 /nfs/dbraw/zinc/27/80/49/1074278049.db2.gz OAQXRPBRBBNHQW-ZDUSSCGKSA-N 0 0 427.483 -0.659 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)NC1(Cc2cccnc2)CCS(=O)(=O)CC1 ZINC001185875206 1074277940 /nfs/dbraw/zinc/27/79/40/1074277940.db2.gz SGANGPVATMDMFH-AWEZNQCLSA-N 0 0 426.495 -0.322 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001185875212 1074278067 /nfs/dbraw/zinc/27/80/67/1074278067.db2.gz SGPBJIHZUAGNNM-LBPRGKRZSA-N 0 0 429.474 -0.828 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)NCCOCCOCCNC(=O)OC(C)(C)C ZINC001185875228 1074277963 /nfs/dbraw/zinc/27/79/63/1074277963.db2.gz SKAHLNODYWLERC-ZDUSSCGKSA-N 0 0 434.490 -0.349 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)NC1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001185876114 1074278488 /nfs/dbraw/zinc/27/84/88/1074278488.db2.gz YZQLXSNOGWRDEF-HNNXBMFYSA-N 0 0 426.495 -0.054 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)NC1(C(=O)OCC)CN(C(=O)OC(C)(C)C)C1 ZINC001185876133 1074278423 /nfs/dbraw/zinc/27/84/23/1074278423.db2.gz ZXUNQJJEVZESEV-NSHDSACASA-N 0 0 430.458 -0.355 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@@H](CC(C)C)C(=O)NCC(=O)OC(C)(C)C ZINC001185879530 1074280739 /nfs/dbraw/zinc/28/07/39/1074280739.db2.gz GSMZMXWOVBXZLW-STQMWFEESA-N 0 0 430.502 -0.035 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@@H](CCCNC(=O)OC(C)(C)C)C(=O)OC ZINC001185879927 1074281155 /nfs/dbraw/zinc/28/11/55/1074281155.db2.gz MPHOSWZPFZNWAX-RYUDHWBXSA-N 0 0 432.474 -0.061 20 0 IBADRN CCOC(=O)N[C@@H](CC(N)=O)C(=O)N[C@H]1CC(=O)N(Cc2ccc(OC)cc2OC)C1 ZINC001185880448 1074281264 /nfs/dbraw/zinc/28/12/64/1074281264.db2.gz TTWYDFSTEKYHBO-ZFWWWQNUSA-N 0 0 436.465 -0.089 20 0 IBADRN CC(C)C[C@H](NC(=O)CNC(=O)OC(C)(C)C)C(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001185883448 1074281306 /nfs/dbraw/zinc/28/13/06/1074281306.db2.gz MVGTVRWVQZDPEQ-HNNXBMFYSA-N 0 0 425.530 -0.315 20 0 IBADRN COC(=O)CC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)OC(C)(C)C)C(N)=O ZINC001185893124 1074280143 /nfs/dbraw/zinc/28/01/43/1074280143.db2.gz GPTBVILXQFDOAE-STQMWFEESA-N 0 0 430.502 -0.035 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)Cn3cnc4c3nc(N)nc4Cl)C2)C1=O ZINC001185896918 1074280226 /nfs/dbraw/zinc/28/02/26/1074280226.db2.gz FQWPSIJTYDRENG-IBGZPJMESA-N 0 0 448.915 -0.009 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)Cn3cnc4c3nc(N)nc4Cl)C2)C1=O ZINC001185896926 1074280279 /nfs/dbraw/zinc/28/02/79/1074280279.db2.gz FQWPSIJTYDRENG-LJQANCHMSA-N 0 0 448.915 -0.009 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N2Cc3[nH]nc(C(=O)N4CCOCC4)c3C2)c(Cl)n1 ZINC001185897441 1074280350 /nfs/dbraw/zinc/28/03/50/1074280350.db2.gz LMGJRGOUOVFRNE-UHFFFAOYSA-N 0 0 431.844 -0.200 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)Cn3cnc4c3nc(N)nc4Cl)CC[C@H]2C1=O ZINC001185897587 1074280696 /nfs/dbraw/zinc/28/06/96/1074280696.db2.gz MFBVWPVMPWFMNR-NEPJUHHUSA-N 0 0 437.888 -0.216 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)Cn2cnc3c2nc(N)nc3Cl)C1 ZINC001185898676 1074280715 /nfs/dbraw/zinc/28/07/15/1074280715.db2.gz VBLWGQYBDGEINB-LLVKDONJSA-N 0 0 447.887 -0.320 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)Cn2cnc3c2nc(N)nc3Cl)C1 ZINC001185898677 1074280767 /nfs/dbraw/zinc/28/07/67/1074280767.db2.gz VBLWGQYBDGEINB-NSHDSACASA-N 0 0 447.887 -0.320 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)Cn3cnc4c3nc(N)nc4Cl)C[C@H]2C1 ZINC001185898979 1074280667 /nfs/dbraw/zinc/28/06/67/1074280667.db2.gz WRGZENXOCCHPAK-MNOVXSKESA-N 0 0 442.933 -0.351 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(C(=O)Cn1cnc3c1nc(N)nc3Cl)CC2 ZINC001185899233 1074280724 /nfs/dbraw/zinc/28/07/24/1074280724.db2.gz ZSGOEYOLSQGSMA-LLVKDONJSA-N 0 0 437.888 -0.025 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(C(=O)Cn1cnc3c1nc(N)nc3Cl)CC2 ZINC001185899234 1074280700 /nfs/dbraw/zinc/28/07/00/1074280700.db2.gz ZSGOEYOLSQGSMA-NSHDSACASA-N 0 0 437.888 -0.025 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)Cn1cnc3c1nc(N)nc3Cl)C2 ZINC001185901074 1074280705 /nfs/dbraw/zinc/28/07/05/1074280705.db2.gz ALLFAIVSONZNIR-MRVPVSSYSA-N 0 0 428.858 -0.749 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)Cn1cnc3c1nc(N)nc3Cl)C2 ZINC001185901075 1074280687 /nfs/dbraw/zinc/28/06/87/1074280687.db2.gz ALLFAIVSONZNIR-QMMMGPOBSA-N 0 0 428.858 -0.749 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)Cn1cnc3c1nc(N)nc3Cl)C[C@@H]2C(=O)N(C)C ZINC001185903660 1074280783 /nfs/dbraw/zinc/28/07/83/1074280783.db2.gz GOCDDPKTDJZSQB-LLVKDONJSA-N 0 0 434.888 -0.543 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)Cn1cnc3c1nc(N)nc3Cl)C[C@H]2C(=O)N(C)C ZINC001185903661 1074280711 /nfs/dbraw/zinc/28/07/11/1074280711.db2.gz GOCDDPKTDJZSQB-NSHDSACASA-N 0 0 434.888 -0.543 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cccnc2OCC(F)F)CC1 ZINC001185909261 1074280742 /nfs/dbraw/zinc/28/07/42/1074280742.db2.gz SXDLGKNWEVXVPE-UHFFFAOYSA-N 0 0 428.483 -0.419 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)Cc2ncsc2Br)CC1 ZINC001185909386 1074280678 /nfs/dbraw/zinc/28/06/78/1074280678.db2.gz VPKQDMQWGCTULK-UHFFFAOYSA-N 0 0 447.402 -0.098 20 0 IBADRN COC(=O)C(C)(C)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC001185909479 1074280771 /nfs/dbraw/zinc/28/07/71/1074280771.db2.gz WNBNDPHELMCNTQ-UHFFFAOYSA-N 0 0 447.579 -0.007 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)Cn1cnc2c1nc(N)nc2Cl ZINC001185912106 1074281050 /nfs/dbraw/zinc/28/10/50/1074281050.db2.gz QXDGARSKAXBCNY-NSHDSACASA-N 0 0 430.856 -0.609 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)ccc1N1CCOCC1 ZINC001185916636 1074281397 /nfs/dbraw/zinc/28/13/97/1074281397.db2.gz CHHVGLWSRLVKKN-DLVXIWMQSA-N 0 0 445.476 -0.738 20 0 IBADRN CCC(=O)N1CCc2ccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)cc21 ZINC001185918401 1074281273 /nfs/dbraw/zinc/28/12/73/1074281273.db2.gz NBUUPUZCNUZNRJ-UHFFFAOYSA-N 0 0 444.579 -0.159 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001185920637 1074281020 /nfs/dbraw/zinc/28/10/20/1074281020.db2.gz ZJSUEWXSBDQERE-UHFFFAOYSA-N 0 0 448.567 -0.748 20 0 IBADRN CN(CCCOc1ccc(S(C)(=O)=O)cc1)S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001185935813 1074281357 /nfs/dbraw/zinc/28/13/57/1074281357.db2.gz IWYOSYNRNDWTHU-UHFFFAOYSA-N 0 0 431.492 -0.021 20 0 IBADRN CC(=O)NC[C@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)c1ccccc1 ZINC001185966926 1074281894 /nfs/dbraw/zinc/28/18/94/1074281894.db2.gz YPDIITMQUMNUHJ-FHWLQOOXSA-N 0 0 440.504 -0.167 20 0 IBADRN CC(=O)NC[C@@H](C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)c1ccccc1 ZINC001185966927 1074281857 /nfs/dbraw/zinc/28/18/57/1074281857.db2.gz YPDIITMQUMNUHJ-QYZOEREBSA-N 0 0 440.504 -0.167 20 0 IBADRN CC(=O)NC[C@H](C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO)c1ccccc1 ZINC001185967467 1074281762 /nfs/dbraw/zinc/28/17/62/1074281762.db2.gz WUXBFDAAAMSTKF-WNRNVDISSA-N 0 0 430.461 -0.058 20 0 IBADRN CC(=O)NC[C@@H](C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO)c1ccccc1 ZINC001185967468 1074281844 /nfs/dbraw/zinc/28/18/44/1074281844.db2.gz WUXBFDAAAMSTKF-XMTFNYHQSA-N 0 0 430.461 -0.058 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1ccc3n[nH]cc3c1)CCC2 ZINC001185969471 1074281990 /nfs/dbraw/zinc/28/19/90/1074281990.db2.gz KCICUYATQGQKKF-UHFFFAOYSA-N 0 0 446.489 -0.023 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(-n3cccn3)cc2Cl)c(=O)n1 ZINC001185971503 1074281884 /nfs/dbraw/zinc/28/18/84/1074281884.db2.gz BRQVGBMNYYWUCY-GFOCRRMGSA-N 0 0 446.851 -0.044 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCN(CCCOCC(F)(F)F)CC1 ZINC001185975458 1074281770 /nfs/dbraw/zinc/28/17/70/1074281770.db2.gz ZZBZAEDJUWQRJK-UHFFFAOYSA-N 0 0 425.495 -0.206 20 0 IBADRN O=C(CN1CC[C@@H](O)C1)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001186039065 1074281822 /nfs/dbraw/zinc/28/18/22/1074281822.db2.gz UZXXCHHVHNJNBP-BNDYYXHWSA-N 0 0 435.546 -0.320 20 0 IBADRN O=C(CN1CC[C@H](O)C1)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001186039066 1074281939 /nfs/dbraw/zinc/28/19/39/1074281939.db2.gz UZXXCHHVHNJNBP-JTJHWIPRSA-N 0 0 435.546 -0.320 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc2n[nH]cc2c1 ZINC001186048200 1074282479 /nfs/dbraw/zinc/28/24/79/1074282479.db2.gz APKOHHVWSNPQGN-IRXDYDNUSA-N 0 0 431.474 -0.585 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc2n[nH]cc2c1 ZINC001186048858 1074282449 /nfs/dbraw/zinc/28/24/49/1074282449.db2.gz HIFLBYATRBKQRJ-DCAQKATOSA-N 0 0 425.467 -0.588 20 0 IBADRN COc1ncnc(N(C(=O)CN2CC[C@@H](O)C2)S(=O)(=O)c2ccc(N)cc2)c1OC ZINC001186049175 1074282401 /nfs/dbraw/zinc/28/24/01/1074282401.db2.gz QLJDDJPYYWTXMU-CYBMUJFWSA-N 0 0 437.478 -0.136 20 0 IBADRN COc1ncnc(N(C(=O)CN2CC[C@H](O)C2)S(=O)(=O)c2ccc(N)cc2)c1OC ZINC001186049176 1074282443 /nfs/dbraw/zinc/28/24/43/1074282443.db2.gz QLJDDJPYYWTXMU-ZDUSSCGKSA-N 0 0 437.478 -0.136 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1ccc(OCC(N)=O)cc1)CC2 ZINC001186050070 1074282515 /nfs/dbraw/zinc/28/25/15/1074282515.db2.gz ASGLPZKQCOBKTD-UHFFFAOYSA-N 0 0 440.504 -0.130 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccc2n[nH]cc2c1)NC(=O)CNC(C)=O ZINC001186050704 1074282412 /nfs/dbraw/zinc/28/24/12/1074282412.db2.gz XNDKDBWYDGIUTB-INIZCTEOSA-N 0 0 439.494 -0.195 20 0 IBADRN COc1cc(N(C(=O)CN2CC[C@@H](O)C2)S(=O)(=O)c2ccc(N)cc2)nc(OC)n1 ZINC001186051169 1074282465 /nfs/dbraw/zinc/28/24/65/1074282465.db2.gz XFOTWNHRJXJDIV-CYBMUJFWSA-N 0 0 437.478 -0.136 20 0 IBADRN COc1cc(N(C(=O)CN2CC[C@H](O)C2)S(=O)(=O)c2ccc(N)cc2)nc(OC)n1 ZINC001186051170 1074282581 /nfs/dbraw/zinc/28/25/81/1074282581.db2.gz XFOTWNHRJXJDIV-ZDUSSCGKSA-N 0 0 437.478 -0.136 20 0 IBADRN COc1cccc(CC(=O)N2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)c1 ZINC001186068097 1074282011 /nfs/dbraw/zinc/28/20/11/1074282011.db2.gz FGQCNCTXLZNQSR-UHFFFAOYSA-N 0 0 433.552 -0.220 20 0 IBADRN NC(=O)COc1ccc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1 ZINC001186070157 1074281955 /nfs/dbraw/zinc/28/19/55/1074281955.db2.gz LWNVFFKWZXUTBF-HOTGVXAUSA-N 0 0 428.449 -0.909 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1ccc(Br)cc1OC(F)(F)F ZINC001186092113 1074282500 /nfs/dbraw/zinc/28/25/00/1074282500.db2.gz IBJHPYVZJRCBCJ-CJJWORHMSA-N 0 0 446.172 -0.123 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccc(Br)cc1OC(F)(F)F ZINC001186092114 1074283031 /nfs/dbraw/zinc/28/30/31/1074283031.db2.gz IBJHPYVZJRCBCJ-VDWIVTDKSA-N 0 0 446.172 -0.123 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1 ZINC001186094834 1074282999 /nfs/dbraw/zinc/28/29/99/1074282999.db2.gz GZILAQHUNMMBMS-UHFFFAOYSA-N 0 0 439.581 -0.170 20 0 IBADRN Cn1cc(S(=O)(=O)NCC2CCN(S(=O)(=O)c3ccccc3)CC2)c(=O)[nH]c1=O ZINC001186095572 1074283037 /nfs/dbraw/zinc/28/30/37/1074283037.db2.gz LRPVEPSQJSAQFK-UHFFFAOYSA-N 0 0 442.519 -0.135 20 0 IBADRN O=S(=O)(C[C@@H]1CCC2(CCOCC2)CO1)NCCS(=O)(=O)N1CCOCC1 ZINC001186096149 1074282800 /nfs/dbraw/zinc/28/28/00/1074282800.db2.gz SASWOQFPEWIGTL-HNNXBMFYSA-N 0 0 426.557 -0.456 20 0 IBADRN O=S(=O)(C[C@H]1CCC2(CCOCC2)CO1)NCCS(=O)(=O)N1CCOCC1 ZINC001186096150 1074283007 /nfs/dbraw/zinc/28/30/07/1074283007.db2.gz SASWOQFPEWIGTL-OAHLLOKOSA-N 0 0 426.557 -0.456 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1S(=O)(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001186096654 1074282848 /nfs/dbraw/zinc/28/28/48/1074282848.db2.gz TVIANALPCIFCGK-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN COc1ccccc1CNC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001186100009 1074283049 /nfs/dbraw/zinc/28/30/49/1074283049.db2.gz WQVNIXJSDSHWHD-LJGDNWOOSA-N 0 0 430.421 -0.232 20 0 IBADRN COc1ccccc1CNC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001186100010 1074282791 /nfs/dbraw/zinc/28/27/91/1074282791.db2.gz WQVNIXJSDSHWHD-SCFUHWHPSA-N 0 0 430.421 -0.232 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)C1 ZINC001186101079 1074282807 /nfs/dbraw/zinc/28/28/07/1074282807.db2.gz PFPMLMIYPBKMFB-INIZCTEOSA-N 0 0 448.524 -0.356 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2Cc3ncn(C)c3[C@H](COCCN3CCOCC3)C2)C1 ZINC001186105722 1074282758 /nfs/dbraw/zinc/28/27/58/1074282758.db2.gz HSPKATKDZPQNKG-ROUUACIJSA-N 0 0 449.552 -0.310 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2Cc3ncn(C)c3[C@@H](COCCN3CCOCC3)C2)C1 ZINC001186105723 1074283397 /nfs/dbraw/zinc/28/33/97/1074283397.db2.gz HSPKATKDZPQNKG-ZWKOTPCHSA-N 0 0 449.552 -0.310 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)n2ccnc(OC)c2=NS(=O)(=O)c2ccc(N)cc2)C1 ZINC001186106038 1074283587 /nfs/dbraw/zinc/28/35/87/1074283587.db2.gz AAYIHOUIYSSVRR-ZDUSSCGKSA-N 0 0 449.489 -0.101 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)C1 ZINC001186106360 1074283607 /nfs/dbraw/zinc/28/36/07/1074283607.db2.gz MRTXTTIBVGNDSW-HNNXBMFYSA-N 0 0 432.525 -0.813 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2CCn3cc(CNC(=O)c4cccn4C)nc3C2)C1 ZINC001186106486 1074283502 /nfs/dbraw/zinc/28/35/02/1074283502.db2.gz OMHZYDKPPBSWRE-HNNXBMFYSA-N 0 0 428.493 -0.011 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)C1 ZINC001186106866 1074283470 /nfs/dbraw/zinc/28/34/70/1074283470.db2.gz PXLBXFRQSWFNMV-RDJZCZTQSA-N 0 0 441.492 -0.444 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)C1 ZINC001186106868 1074283574 /nfs/dbraw/zinc/28/35/74/1074283574.db2.gz PXLBXFRQSWFNMV-WBVHZDCISA-N 0 0 441.492 -0.444 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001186116192 1074283531 /nfs/dbraw/zinc/28/35/31/1074283531.db2.gz USVRXLJWHMSCRR-INIZCTEOSA-N 0 0 425.507 -0.181 20 0 IBADRN COC(=O)[C@H]1CCN(CC(=O)N[C@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)C(C)C)C1 ZINC001186118920 1074283428 /nfs/dbraw/zinc/28/34/28/1074283428.db2.gz LHOJGOFQUZHTEH-WDYCEAGBSA-N 0 0 448.520 -0.460 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)c(Cl)c1 ZINC001186121600 1074283493 /nfs/dbraw/zinc/28/34/93/1074283493.db2.gz JKBCMWVZQNTNIL-UHFFFAOYSA-N 0 0 448.885 -0.628 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2ccc(CO)cc2)[C@H]1O ZINC001186122308 1074282572 /nfs/dbraw/zinc/28/25/72/1074282572.db2.gz CUSQIVMERLOUKO-NOAAKOMESA-N 0 0 428.449 -0.566 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1ccccc1 ZINC001186124390 1074282488 /nfs/dbraw/zinc/28/24/88/1074282488.db2.gz HTQFNQFXOKVOHE-SFHVURJKSA-N 0 0 446.529 -0.191 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)[C@H](Cc2ccccc2)NC(=O)CNC(=O)c2ccccc2)CCO1 ZINC001186126922 1074284056 /nfs/dbraw/zinc/28/40/56/1074284056.db2.gz ZCIRKMKBWFVIEQ-OALUTQOASA-N 0 0 438.484 -0.143 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)[C@H](Cc2ccccc2)NC(=O)CNC(=O)c2ccccc2)CCO1 ZINC001186126924 1074284060 /nfs/dbraw/zinc/28/40/60/1074284060.db2.gz ZCIRKMKBWFVIEQ-RBUKOAKNSA-N 0 0 438.484 -0.143 20 0 IBADRN O=C(CNC(=O)c1ccccc1)N[C@@H](Cc1ccccc1)C(=O)NC[C@H]1CNC(=O)CO1 ZINC001186129206 1074284039 /nfs/dbraw/zinc/28/40/39/1074284039.db2.gz OUQXBILHJHZMDA-MOPGFXCFSA-N 0 0 438.484 -0.225 20 0 IBADRN O=C(CNC(=O)c1ccccc1)N[C@@H](Cc1ccccc1)C(=O)NC[C@@H]1CNC(=O)CO1 ZINC001186129207 1074284031 /nfs/dbraw/zinc/28/40/31/1074284031.db2.gz OUQXBILHJHZMDA-OALUTQOASA-N 0 0 438.484 -0.225 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)cc1Cl ZINC001186133321 1074283968 /nfs/dbraw/zinc/28/39/68/1074283968.db2.gz HAUDYUHOHGPOBL-NXPHAWEXSA-N 0 0 438.886 -0.579 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC001186151169 1074284015 /nfs/dbraw/zinc/28/40/15/1074284015.db2.gz TYMRBXHBLOCJHR-UHFFFAOYSA-N 0 0 432.568 -0.464 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC001186158725 1074284065 /nfs/dbraw/zinc/28/40/65/1074284065.db2.gz ISGVIRDNAQJEFT-UHFFFAOYSA-N 0 0 444.579 -0.464 20 0 IBADRN COc1cccc(CNC(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@@H]2O)c1 ZINC001186174464 1074284411 /nfs/dbraw/zinc/28/44/11/1074284411.db2.gz AXBBGLODPKCHPK-LJGDNWOOSA-N 0 0 430.421 -0.232 20 0 IBADRN COc1cccc(CNC(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC001186174465 1074284515 /nfs/dbraw/zinc/28/45/15/1074284515.db2.gz AXBBGLODPKCHPK-SCFUHWHPSA-N 0 0 430.421 -0.232 20 0 IBADRN Cc1ccc2nc(-c3ccccc3)c(CC(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)n2c1 ZINC001186178994 1074284531 /nfs/dbraw/zinc/28/45/31/1074284531.db2.gz WPZPPVOHXAIEPS-FYRSZQHNSA-N 0 0 427.457 -0.232 20 0 IBADRN Cc1ccc2nc(-c3ccccc3)c(CC(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)n2c1 ZINC001186178995 1074284543 /nfs/dbraw/zinc/28/45/43/1074284543.db2.gz WPZPPVOHXAIEPS-KQSFDKENSA-N 0 0 427.457 -0.232 20 0 IBADRN Cc1cc(O)ccc1C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001186194893 1074284425 /nfs/dbraw/zinc/28/44/25/1074284425.db2.gz PHWPSTYLFZRZBH-NOAAKOMESA-N 0 0 428.449 -0.044 20 0 IBADRN Cc1cc(C(=O)CCC(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)c(C)cc1Br ZINC001186206584 1074284783 /nfs/dbraw/zinc/28/47/83/1074284783.db2.gz PRXRAWJYARBHFF-FGTAOJJYSA-N 0 0 446.294 -0.055 20 0 IBADRN Cc1cc(C(=O)CCC(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)c(C)cc1Br ZINC001186206586 1074284885 /nfs/dbraw/zinc/28/48/85/1074284885.db2.gz PRXRAWJYARBHFF-JVNHZCFISA-N 0 0 446.294 -0.055 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)CC2)cn1 ZINC001186213189 1074284923 /nfs/dbraw/zinc/28/49/23/1074284923.db2.gz OCDDBDPAUZMYQP-UHFFFAOYSA-N 0 0 433.556 -0.917 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c[nH]c2ncc(Cl)cc12 ZINC001186221311 1074284554 /nfs/dbraw/zinc/28/45/54/1074284554.db2.gz BFHJWNPGDGAAGY-CHWSQXEVSA-N 0 0 434.927 -0.008 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c[nH]c2ncc(Cl)cc12 ZINC001186221315 1074284491 /nfs/dbraw/zinc/28/44/91/1074284491.db2.gz BFHJWNPGDGAAGY-OLZOCXBDSA-N 0 0 434.927 -0.008 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c[nH]c2ncc(Cl)cc12 ZINC001186221316 1074284359 /nfs/dbraw/zinc/28/43/59/1074284359.db2.gz BFHJWNPGDGAAGY-QWHCGFSZSA-N 0 0 434.927 -0.008 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c[nH]c2ncc(Cl)cc12 ZINC001186221318 1074284495 /nfs/dbraw/zinc/28/44/95/1074284495.db2.gz BFHJWNPGDGAAGY-STQMWFEESA-N 0 0 434.927 -0.008 20 0 IBADRN COc1ncc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1Cl ZINC001186222361 1074284931 /nfs/dbraw/zinc/28/49/31/1074284931.db2.gz MMVGYAZLSYBWGG-CHWSQXEVSA-N 0 0 425.916 -0.480 20 0 IBADRN COc1ncc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1Cl ZINC001186222362 1074284827 /nfs/dbraw/zinc/28/48/27/1074284827.db2.gz MMVGYAZLSYBWGG-OLZOCXBDSA-N 0 0 425.916 -0.480 20 0 IBADRN COc1ncc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1Cl ZINC001186222363 1074284845 /nfs/dbraw/zinc/28/48/45/1074284845.db2.gz MMVGYAZLSYBWGG-QWHCGFSZSA-N 0 0 425.916 -0.480 20 0 IBADRN COc1ncc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1Cl ZINC001186222364 1074284938 /nfs/dbraw/zinc/28/49/38/1074284938.db2.gz MMVGYAZLSYBWGG-STQMWFEESA-N 0 0 425.916 -0.480 20 0 IBADRN CCOC(=O)c1ccc(CS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001186222512 1074284893 /nfs/dbraw/zinc/28/48/93/1074284893.db2.gz QDMBRCVXOCDDEM-DLBZAZTESA-N 0 0 446.547 -0.220 20 0 IBADRN CCOC(=O)c1ccc(CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001186222513 1074284944 /nfs/dbraw/zinc/28/49/44/1074284944.db2.gz QDMBRCVXOCDDEM-IAGOWNOFSA-N 0 0 446.547 -0.220 20 0 IBADRN CCOC(=O)c1ccc(CS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001186222514 1074284953 /nfs/dbraw/zinc/28/49/53/1074284953.db2.gz QDMBRCVXOCDDEM-IRXDYDNUSA-N 0 0 446.547 -0.220 20 0 IBADRN CCOC(=O)c1ccc(CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001186222515 1074284913 /nfs/dbraw/zinc/28/49/13/1074284913.db2.gz QDMBRCVXOCDDEM-SJORKVTESA-N 0 0 446.547 -0.220 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)C1CCN(c2cccc(Br)c2)CC1 ZINC001186233468 1074284949 /nfs/dbraw/zinc/28/49/49/1074284949.db2.gz DEZKHOHVXLPEJY-HBPKQKSZSA-N 0 0 445.310 -0.418 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)C1CCN(c2cccc(Br)c2)CC1 ZINC001186233469 1074284754 /nfs/dbraw/zinc/28/47/54/1074284754.db2.gz DEZKHOHVXLPEJY-XSDHEKCYSA-N 0 0 445.310 -0.418 20 0 IBADRN O=C(NCCc1ccc(F)cc1)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001186246273 1074284819 /nfs/dbraw/zinc/28/48/19/1074284819.db2.gz HMNYEEBYLUOPLV-LJGDNWOOSA-N 0 0 432.412 -0.059 20 0 IBADRN O=C(NCCc1ccc(F)cc1)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001186246274 1074284798 /nfs/dbraw/zinc/28/47/98/1074284798.db2.gz HMNYEEBYLUOPLV-SCFUHWHPSA-N 0 0 432.412 -0.059 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@@H]2CC(=O)c3cc(Cl)ccc32)c(=O)n1 ZINC001186266119 1074285260 /nfs/dbraw/zinc/28/52/60/1074285260.db2.gz ZSLXMFTVGVLWEB-CSNQDMAISA-N 0 0 434.836 -0.173 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)[C@H]2CC(=O)c3cc(Cl)ccc32)c(=O)n1 ZINC001186266121 1074285222 /nfs/dbraw/zinc/28/52/22/1074285222.db2.gz ZSLXMFTVGVLWEB-OBCOOKJISA-N 0 0 434.836 -0.173 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)C[C@@H]2CCCS(=O)(=O)C2)C1 ZINC001186278095 1074285167 /nfs/dbraw/zinc/28/51/67/1074285167.db2.gz IYHQUBMUSBZPEN-CABCVRRESA-N 0 0 438.568 -0.126 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)C[C@H]2CCCS(=O)(=O)C2)C1 ZINC001186278096 1074285210 /nfs/dbraw/zinc/28/52/10/1074285210.db2.gz IYHQUBMUSBZPEN-GJZGRUSLSA-N 0 0 438.568 -0.126 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)C[C@@H]2CCCS(=O)(=O)C2)C1 ZINC001186278097 1074285229 /nfs/dbraw/zinc/28/52/29/1074285229.db2.gz IYHQUBMUSBZPEN-HUUCEWRRSA-N 0 0 438.568 -0.126 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)C[C@H]2CCCS(=O)(=O)C2)C1 ZINC001186278098 1074285267 /nfs/dbraw/zinc/28/52/67/1074285267.db2.gz IYHQUBMUSBZPEN-LSDHHAIUSA-N 0 0 438.568 -0.126 20 0 IBADRN Cc1cn([C@H]2C[C@H](NS(=O)(=O)c3ccc4nsnc4c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001186287488 1074285234 /nfs/dbraw/zinc/28/52/34/1074285234.db2.gz PCJXNLILDCLFIG-BFHYXJOUSA-N 0 0 439.475 -0.111 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2nsnc2c1 ZINC001186296799 1074285764 /nfs/dbraw/zinc/28/57/64/1074285764.db2.gz NYFGCCIIQRSMFS-HNNXBMFYSA-N 0 0 435.487 -0.112 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc2nsnc2c1 ZINC001186297296 1074285843 /nfs/dbraw/zinc/28/58/43/1074285843.db2.gz SFOHQEDBPBKTLM-GUBZILKMSA-N 0 0 443.507 -0.460 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1ccc2nsnc2c1 ZINC001186297365 1074285633 /nfs/dbraw/zinc/28/56/33/1074285633.db2.gz WEMMXRIHQCEXDT-HNNXBMFYSA-N 0 0 435.487 -0.112 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc2nsnc2c1 ZINC001186297373 1074285753 /nfs/dbraw/zinc/28/57/53/1074285753.db2.gz WMVHEAZKZITYQH-HOTGVXAUSA-N 0 0 449.514 -0.457 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)[C@@H]1Cc2ccccc2CN1Cc1ccccc1 ZINC001186317023 1074285650 /nfs/dbraw/zinc/28/56/50/1074285650.db2.gz VNLUTHPEACATSU-CFPLAWGXSA-N 0 0 428.485 -0.470 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)[C@@H]1Cc2ccccc2CN1Cc1ccccc1 ZINC001186317024 1074285705 /nfs/dbraw/zinc/28/57/05/1074285705.db2.gz VNLUTHPEACATSU-JTCPLQINSA-N 0 0 428.485 -0.470 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)[C@H]1Cc2ccccc2CN1Cc1ccccc1 ZINC001186317025 1074285793 /nfs/dbraw/zinc/28/57/93/1074285793.db2.gz VNLUTHPEACATSU-UCTHVYFCSA-N 0 0 428.485 -0.470 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)[C@H]1Cc2ccccc2CN1Cc1ccccc1 ZINC001186317026 1074285811 /nfs/dbraw/zinc/28/58/11/1074285811.db2.gz VNLUTHPEACATSU-UKAHIYEMSA-N 0 0 428.485 -0.470 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCCNC(=O)c1cccc(Br)c1 ZINC001186377189 1074286731 /nfs/dbraw/zinc/28/67/31/1074286731.db2.gz MDKKNYDDHKEYHX-UHFFFAOYSA-N 0 0 442.357 -0.010 20 0 IBADRN C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)C1 ZINC001186382662 1074286707 /nfs/dbraw/zinc/28/67/07/1074286707.db2.gz JQABUWRWRDKWHT-QEGFHOJKSA-N 0 0 432.514 -0.018 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC001186385490 1074286687 /nfs/dbraw/zinc/28/66/87/1074286687.db2.gz KHQFYRPYKQLBFW-UHFFFAOYSA-N 0 0 425.479 -0.056 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)C1 ZINC001186385820 1074286639 /nfs/dbraw/zinc/28/66/39/1074286639.db2.gz NNDJTHPNCILZAX-UHFFFAOYSA-N 0 0 437.490 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CC=C(CC(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)C(F)(F)C1 ZINC001186408822 1074286157 /nfs/dbraw/zinc/28/61/57/1074286157.db2.gz JUFGBEYUDBCTFW-DIAXPKBXSA-N 0 0 438.424 -0.895 20 0 IBADRN CC(C)(C)OC(=O)N1CC=C(CC(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)C(F)(F)C1 ZINC001186408823 1074286097 /nfs/dbraw/zinc/28/60/97/1074286097.db2.gz JUFGBEYUDBCTFW-GZENYHORSA-N 0 0 438.424 -0.895 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(C(=O)c3c(F)cccc3F)CC2)C1 ZINC001186421454 1074286584 /nfs/dbraw/zinc/28/65/84/1074286584.db2.gz XGAFFAZFVYXPSK-UHFFFAOYSA-N 0 0 437.490 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(F)(F)C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)CC1 ZINC001186426553 1074286694 /nfs/dbraw/zinc/28/66/94/1074286694.db2.gz DOKXZLFMQBOBHR-DHGKCCLASA-N 0 0 440.440 -0.815 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(F)(F)C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)CC1 ZINC001186426554 1074286597 /nfs/dbraw/zinc/28/65/97/1074286597.db2.gz DOKXZLFMQBOBHR-KSTCHIGDSA-N 0 0 440.440 -0.815 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2cncc(Br)c2)c(=O)[nH]c1=O ZINC001186431515 1074286673 /nfs/dbraw/zinc/28/66/73/1074286673.db2.gz CICPAIRIDXVLEK-UHFFFAOYSA-N 0 0 446.283 -0.490 20 0 IBADRN C=Cc1ccc(C(=O)n2c(N)c3ncn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4OC)c3nc2=N)cc1 ZINC001186498208 1074286553 /nfs/dbraw/zinc/28/65/53/1074286553.db2.gz AXEAESSVRRDGAZ-QEPJRFBGSA-N 0 0 426.433 -0.108 20 0 IBADRN COCCNC(=O)Nc1ncnc2c1nc(Br)n2[C@H]1C[C@H](O)[C@@H](CO)O1 ZINC001186503709 1074286522 /nfs/dbraw/zinc/28/65/22/1074286522.db2.gz CBERYSPSGZUBLK-DJLDLDEBSA-N 0 0 431.247 -0.003 20 0 IBADRN COCCNC(=O)N1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001186514665 1074287227 /nfs/dbraw/zinc/28/72/27/1074287227.db2.gz YILRNJFLVJVHPQ-QAPCUYQASA-N 0 0 432.543 -0.683 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001186516234 1074287250 /nfs/dbraw/zinc/28/72/50/1074287250.db2.gz TWLUJTBIEIMOII-CHWSQXEVSA-N 0 0 439.581 -0.143 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001186516235 1074287245 /nfs/dbraw/zinc/28/72/45/1074287245.db2.gz TWLUJTBIEIMOII-OLZOCXBDSA-N 0 0 439.581 -0.143 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001186516236 1074287193 /nfs/dbraw/zinc/28/71/93/1074287193.db2.gz TWLUJTBIEIMOII-QWHCGFSZSA-N 0 0 439.581 -0.143 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001186516237 1074287085 /nfs/dbraw/zinc/28/70/85/1074287085.db2.gz TWLUJTBIEIMOII-STQMWFEESA-N 0 0 439.581 -0.143 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2csc3ccccc32)c(=O)[nH]1 ZINC001186523179 1074286993 /nfs/dbraw/zinc/28/69/93/1074286993.db2.gz SHLWPYAFZPDSND-HMTTWLPMSA-N 0 0 439.471 -0.239 20 0 IBADRN CN(CCN1CCOCC1)S(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001186532936 1074287218 /nfs/dbraw/zinc/28/72/18/1074287218.db2.gz KTSBKJLFZGSANP-UHFFFAOYSA-N 0 0 425.507 -0.107 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CC[C@H]3[C@@H]2CC(=O)N3CC(=O)N(C)C)c1 ZINC001186553631 1074287161 /nfs/dbraw/zinc/28/71/61/1074287161.db2.gz CTEGHESMVOTROI-KBPBESRZSA-N 0 0 439.490 -0.066 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(S(=O)(=O)c3cc(C(=O)OC)ccc3OC)C[C@H]21 ZINC001186554519 1074287147 /nfs/dbraw/zinc/28/71/47/1074287147.db2.gz KRXPOBMLGXJWCA-HIFRSBDPSA-N 0 0 427.479 -0.699 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CC[C@]3(C2)CNC(=O)CN3C(C)=O)c1 ZINC001186555135 1074287235 /nfs/dbraw/zinc/28/72/35/1074287235.db2.gz RGQZABITXJVEOA-GOSISDBHSA-N 0 0 425.463 -0.407 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CC[C@@]3(C2)CNC(=O)CN3C(C)=O)c1 ZINC001186555136 1074287115 /nfs/dbraw/zinc/28/71/15/1074287115.db2.gz RGQZABITXJVEOA-SFHVURJKSA-N 0 0 425.463 -0.407 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2C[C@@H](OCC(=O)N(C)C)[C@H]3COC[C@H]32)c1 ZINC001186555839 1074287555 /nfs/dbraw/zinc/28/75/55/1074287555.db2.gz TUSOLVFVHICROR-SQWLQELKSA-N 0 0 442.490 -0.026 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CC3(C2)[C@@H](C(=O)OC)CCS3(=O)=O)c1 ZINC001186562423 1074287422 /nfs/dbraw/zinc/28/74/22/1074287422.db2.gz PXORDWCOZOLIRR-GFCCVEGCSA-N 0 0 447.487 -0.167 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CC3(C2)[C@H](C(=O)OC)CCS3(=O)=O)c1 ZINC001186562434 1074287432 /nfs/dbraw/zinc/28/74/32/1074287432.db2.gz PXORDWCOZOLIRR-LBPRGKRZSA-N 0 0 447.487 -0.167 20 0 IBADRN COCCNC(=O)N[C@@H]1[C@@H](OC(C)=O)[C@H](OC(C)=O)[C@@H](COC(C)=O)O[C@H]1OC(C)=O ZINC001186566940 1074287538 /nfs/dbraw/zinc/28/75/38/1074287538.db2.gz GCKCDEJYLQDNTG-WRQOLXDDSA-N 0 0 448.425 -0.985 20 0 IBADRN COCCNC(=O)NCCOCCOCCOCCOCCOCCOCCOC ZINC001186573506 1074287440 /nfs/dbraw/zinc/28/74/40/1074287440.db2.gz KQGGPBJNEKWAGR-UHFFFAOYSA-N 0 0 440.534 -0.322 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C)C2 ZINC001186574194 1074287462 /nfs/dbraw/zinc/28/74/62/1074287462.db2.gz HRUDLCGDYSXDGN-AWEZNQCLSA-N 0 0 449.512 -0.228 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001186574737 1074287580 /nfs/dbraw/zinc/28/75/80/1074287580.db2.gz RLWYZUNHIRRISH-KBPBESRZSA-N 0 0 440.497 -0.218 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001186574738 1074287548 /nfs/dbraw/zinc/28/75/48/1074287548.db2.gz RLWYZUNHIRRISH-UONOGXRCSA-N 0 0 440.497 -0.218 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)CC1 ZINC001186575655 1074287450 /nfs/dbraw/zinc/28/74/50/1074287450.db2.gz WMFFLRBUEKBZAC-UHFFFAOYSA-N 0 0 438.616 -0.303 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CCN1C(C)=O ZINC001186577390 1074287530 /nfs/dbraw/zinc/28/75/30/1074287530.db2.gz VVZRZUASGJEGGS-GJZGRUSLSA-N 0 0 440.497 -0.266 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CCN1C(C)=O ZINC001186577391 1074287544 /nfs/dbraw/zinc/28/75/44/1074287544.db2.gz VVZRZUASGJEGGS-LSDHHAIUSA-N 0 0 440.497 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001186581602 1074287513 /nfs/dbraw/zinc/28/75/13/1074287513.db2.gz QTVBESMHFLEOKZ-HNNXBMFYSA-N 0 0 446.570 -0.261 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NCCOCCN1C(=O)C=CC1=O ZINC001186581624 1074287416 /nfs/dbraw/zinc/28/74/16/1074287416.db2.gz RYQRRWNSNXJPKU-AWEZNQCLSA-N 0 0 438.481 -0.440 20 0 IBADRN O=C(NCC1CC1)Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001186582628 1074288004 /nfs/dbraw/zinc/28/80/04/1074288004.db2.gz PAOSYRZCCMSLKU-QYVSTXNMSA-N 0 0 443.258 -0.268 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(C(=O)C(F)(F)F)cc2)c(=O)n1 ZINC001186583255 1074288084 /nfs/dbraw/zinc/28/80/84/1074288084.db2.gz DBPXEVGCLZBTLT-XNIJJKJLSA-N 0 0 442.350 -0.138 20 0 IBADRN CC(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(N)=O ZINC001186584438 1074288036 /nfs/dbraw/zinc/28/80/36/1074288036.db2.gz MVKSGJKQVXSTLW-OALUTQOASA-N 0 0 426.473 -0.232 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1ncc(Br)cc1OC(F)F ZINC001186586754 1074288161 /nfs/dbraw/zinc/28/81/61/1074288161.db2.gz APVNJDYSYKFIEB-QMMMGPOBSA-N 0 0 425.186 -0.003 20 0 IBADRN CCOC(=O)c1cnn(-c2ccccc2F)c1NC(=O)CNC(=O)[C@H](CO)NC(C)=O ZINC001186586852 1074287993 /nfs/dbraw/zinc/28/79/93/1074287993.db2.gz CYZNYVFKVHLKBP-AWEZNQCLSA-N 0 0 435.412 -0.260 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)n1c(N)c(-c2cccc(Cl)c2Cl)nnc1=N ZINC001186586861 1074288057 /nfs/dbraw/zinc/28/80/57/1074288057.db2.gz CZJYSIGLPOXIQQ-JTQLQIEISA-N 0 0 442.263 -0.433 20 0 IBADRN CCOC(=O)c1ncn(Cc2ccccc2)c1NC(=O)CNC(=O)[C@H](CO)NC(C)=O ZINC001186586937 1074287983 /nfs/dbraw/zinc/28/79/83/1074287983.db2.gz DYHVETKIWMTXGO-HNNXBMFYSA-N 0 0 431.449 -0.340 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N(CC1CC1)c1nc(Br)cnc1N ZINC001186587160 1074288021 /nfs/dbraw/zinc/28/80/21/1074288021.db2.gz HOKZRUMEGSAMNT-JTQLQIEISA-N 0 0 429.275 -0.823 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1cc(I)c2nccn2c1 ZINC001186587250 1074287944 /nfs/dbraw/zinc/28/79/44/1074287944.db2.gz IESORVNZPUFGMY-NSHDSACASA-N 0 0 445.217 -0.509 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1nccc(Cl)c1I ZINC001186587816 1074288102 /nfs/dbraw/zinc/28/81/02/1074288102.db2.gz NZISMUNQYDFHLU-QMMMGPOBSA-N 0 0 440.625 -0.109 20 0 IBADRN CCOC(=O)c1cnn(Cc2ccccc2)c1NC(=O)CNC(=O)[C@H](CO)NC(C)=O ZINC001186588388 1074288114 /nfs/dbraw/zinc/28/81/14/1074288114.db2.gz UNUQTODYZICOHU-INIZCTEOSA-N 0 0 431.449 -0.340 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC(N2C(=O)OC[C@H]2c2ccccc2)CC1 ZINC001186588568 1074287524 /nfs/dbraw/zinc/28/75/24/1074287524.db2.gz XNOPOMGWZVUMRI-ROUUACIJSA-N 0 0 432.477 -0.216 20 0 IBADRN CCN(CC)c1nc(Br)cnc1NC(=O)CNC(=O)[C@H](CO)NC(C)=O ZINC001186588604 1074287493 /nfs/dbraw/zinc/28/74/93/1074287493.db2.gz YNJLWZPVFWYVBX-JTQLQIEISA-N 0 0 431.291 -0.363 20 0 IBADRN CSc1nc(Br)c(NC(=O)CNC(=O)[C@H](CO)NC(C)=O)n2ccnc12 ZINC001186588621 1074287471 /nfs/dbraw/zinc/28/74/71/1074287471.db2.gz ZGFUJKNRMHBZOU-QMMMGPOBSA-N 0 0 445.299 -0.235 20 0 IBADRN CCOC(=O)c1c(C)c(C(=O)N(C)C)sc1NC(=O)CNC(=O)[C@H](CO)NC(C)=O ZINC001186588628 1074287507 /nfs/dbraw/zinc/28/75/07/1074287507.db2.gz ZSSZVEZCDLDMNI-NSHDSACASA-N 0 0 442.494 -0.513 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CCN(C(=O)c3ccccc3)C2)CC1 ZINC001186593553 1074290867 /nfs/dbraw/zinc/29/08/67/1074290867.db2.gz AUXULKNAWNUCGX-SFHVURJKSA-N 0 0 430.505 -0.246 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CCCN2C(=O)OC(C)(C)C)CC1 ZINC001186593792 1074290912 /nfs/dbraw/zinc/29/09/12/1074290912.db2.gz DKNCKVLPKIKEKZ-HNNXBMFYSA-N 0 0 426.514 -0.008 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@H]2O[C@H](COCc3cccnc3)CC[C@H]21 ZINC001186593911 1074290905 /nfs/dbraw/zinc/29/09/05/1074290905.db2.gz FDHMEYKNXACLFI-INDMIFKZSA-N 0 0 434.493 -0.640 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CCN(Cc3ccccn3)C2=O)CC1 ZINC001186593923 1074290879 /nfs/dbraw/zinc/29/08/79/1074290879.db2.gz FRKIAZOZAXMEKC-KRWDZBQOSA-N 0 0 431.493 -0.964 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CC1)CN(c1ccccc1)C(=O)CO2 ZINC001186593987 1074290921 /nfs/dbraw/zinc/29/09/21/1074290921.db2.gz GEIPHSXKQIQWAK-KRWDZBQOSA-N 0 0 432.477 -0.976 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCc2ncn(C)c2[C@@H]1COCc1cccnc1 ZINC001186594059 1074290871 /nfs/dbraw/zinc/29/08/71/1074290871.db2.gz GSDJEPRKVIEOJZ-ROUUACIJSA-N 0 0 444.492 -0.929 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCc2ncn(C)c2[C@H]1COCc1cccnc1 ZINC001186594088 1074290885 /nfs/dbraw/zinc/29/08/85/1074290885.db2.gz GSDJEPRKVIEOJZ-ZWKOTPCHSA-N 0 0 444.492 -0.929 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC[C@@]2(C[C@H](OCc3ccccn3)CO2)C1 ZINC001186594144 1074291387 /nfs/dbraw/zinc/29/13/87/1074291387.db2.gz HGJNQHOFQMFLQX-BBTUJRGHSA-N 0 0 434.493 -0.639 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCN(Cc2cccnc2)c2nc(C)ccc2C1 ZINC001186594241 1074291343 /nfs/dbraw/zinc/29/13/43/1074291343.db2.gz JAKAMTQNWPVZCG-IBGZPJMESA-N 0 0 440.504 -0.253 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCO[C@@]2(CCCN(c3cccc(C)c3)C2)C1 ZINC001186594360 1074291356 /nfs/dbraw/zinc/29/13/56/1074291356.db2.gz JQYILZQBFQVAPW-SIKLNZKXSA-N 0 0 432.521 -0.194 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCO[C@]2(CCCN(c3cccc(C)c3)C2)C1 ZINC001186594366 1074291417 /nfs/dbraw/zinc/29/14/17/1074291417.db2.gz JQYILZQBFQVAPW-UGKGYDQZSA-N 0 0 432.521 -0.194 20 0 IBADRN CCN1C(=O)[C@@H](c2ccccc2)CC12CCN(C(=O)CNC(=O)[C@H](CO)NC(C)=O)CC2 ZINC001186594488 1074291424 /nfs/dbraw/zinc/29/14/24/1074291424.db2.gz KHTJQUVCQFYRSD-MOPGFXCFSA-N 0 0 444.532 -0.003 20 0 IBADRN CCN1C(=O)[C@H](c2ccccc2)CC12CCN(C(=O)CNC(=O)[C@H](CO)NC(C)=O)CC2 ZINC001186594491 1074291227 /nfs/dbraw/zinc/29/12/27/1074291227.db2.gz KHTJQUVCQFYRSD-OALUTQOASA-N 0 0 444.532 -0.003 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCCn2cnc(COc3cccnc3)c2C1 ZINC001186594493 1074291396 /nfs/dbraw/zinc/29/13/96/1074291396.db2.gz KKLKLCFUDYPSLL-INIZCTEOSA-N 0 0 430.465 -0.797 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CC(NC(=O)OC(C)(C)C)C2)CC1 ZINC001186594553 1074291434 /nfs/dbraw/zinc/29/14/34/1074291434.db2.gz KLHJTADWCYLATL-HNNXBMFYSA-N 0 0 426.514 -0.105 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CC1)Cc1ccccc1CN(C)C2=O ZINC001186594579 1074291274 /nfs/dbraw/zinc/29/12/74/1074291274.db2.gz KNUWKSKPHLVTCJ-SFHVURJKSA-N 0 0 430.505 -0.577 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1C[C@H](C2(NC(=O)OC(C)(C)C)CC2)[C@H](CF)C1 ZINC001186594597 1074291299 /nfs/dbraw/zinc/29/12/99/1074291299.db2.gz KNWVMXOIHIMWRX-ILXRZTDVSA-N 0 0 444.504 -0.299 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1C[C@@H](CF)[C@H](C2(NC(=O)OC(C)(C)C)CC2)C1 ZINC001186594599 1074291308 /nfs/dbraw/zinc/29/13/08/1074291308.db2.gz KNWVMXOIHIMWRX-KFWWJZLASA-N 0 0 444.504 -0.299 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1C[C@H](CF)[C@@H](C2(NC(=O)OC(C)(C)C)CC2)C1 ZINC001186594602 1074291409 /nfs/dbraw/zinc/29/14/09/1074291409.db2.gz KNWVMXOIHIMWRX-KKUMJFAQSA-N 0 0 444.504 -0.299 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1C[C@H](CF)[C@H](C2(NC(=O)OC(C)(C)C)CC2)C1 ZINC001186594604 1074291381 /nfs/dbraw/zinc/29/13/81/1074291381.db2.gz KNWVMXOIHIMWRX-ZNMIVQPWSA-N 0 0 444.504 -0.299 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCc2c(c(COC(C)C)nn2CC2CC2)C1 ZINC001186594630 1074291401 /nfs/dbraw/zinc/29/14/01/1074291401.db2.gz LANNVNLIBSOZND-KRWDZBQOSA-N 0 0 435.525 -0.284 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CCN(C(=O)c3cscn3)C2)CC1 ZINC001186594662 1074291335 /nfs/dbraw/zinc/29/13/35/1074291335.db2.gz LCOWYCMXCNZETA-AWEZNQCLSA-N 0 0 437.522 -0.789 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@]2(CCN(Cc3cccc(F)c3)C2=O)C1 ZINC001186594672 1074291375 /nfs/dbraw/zinc/29/13/75/1074291375.db2.gz LJEVPZFUWJXBTC-LAUBAEHRSA-N 0 0 434.468 -0.610 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@@]2(CCN(Cc3cccc(F)c3)C2=O)C1 ZINC001186594673 1074291365 /nfs/dbraw/zinc/29/13/65/1074291365.db2.gz LJEVPZFUWJXBTC-UWJYYQICSA-N 0 0 434.468 -0.610 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCc2c(COCc3ccccn3)cncc2C1 ZINC001186594907 1074291265 /nfs/dbraw/zinc/29/12/65/1074291265.db2.gz MVVNBFLQCMAZJC-FQEVSTJZSA-N 0 0 441.488 -0.309 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCOC2(CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001186594957 1074291804 /nfs/dbraw/zinc/29/18/04/1074291804.db2.gz NRDNEQVUYUDBAP-HNNXBMFYSA-N 0 0 442.513 -0.772 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1C[C@@H]2CC[C@H](C1)C2C(=O)Nc1cccc(F)c1 ZINC001186594981 1074291900 /nfs/dbraw/zinc/29/19/00/1074291900.db2.gz NUYUMEMQPONPOU-PROVZXBISA-N 0 0 434.468 -0.138 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1C[C@H]2Cc3c(c(-c4ccccc4)nn3C)[C@H]2C1 ZINC001186595045 1074291817 /nfs/dbraw/zinc/29/18/17/1074291817.db2.gz ORPYDPBKVRXFQI-IKGGRYGDSA-N 0 0 425.489 -0.202 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@H]2C[C@@H](c3ccnc(C(C)C)n3)O[C@@H]2C1 ZINC001186595071 1074291895 /nfs/dbraw/zinc/29/18/95/1074291895.db2.gz PFXVYEDOPXGIJM-LEUOFYLZSA-N 0 0 433.509 -0.108 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCc2nc(C(=O)NCC(C)C)sc2CC1 ZINC001186595165 1074291823 /nfs/dbraw/zinc/29/18/23/1074291823.db2.gz BKUOVKRZCNTOJS-AWEZNQCLSA-N 0 0 439.538 -0.931 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC[C@@]2(CCC(=O)N2Cc2ccncc2)C1 ZINC001186595379 1074291858 /nfs/dbraw/zinc/29/18/58/1074291858.db2.gz RBUBPHUIWQZFDN-LAUBAEHRSA-N 0 0 431.493 -0.822 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC[C@]2(CCC(=O)N2Cc2ccncc2)C1 ZINC001186595380 1074291874 /nfs/dbraw/zinc/29/18/74/1074291874.db2.gz RBUBPHUIWQZFDN-UWJYYQICSA-N 0 0 431.493 -0.822 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1cnc2c(Cl)nc(Br)cn12 ZINC001186595418 1074291885 /nfs/dbraw/zinc/29/18/85/1074291885.db2.gz RYJUDGPVPLRFSY-ZETCQYMHSA-N 0 0 433.650 -0.303 20 0 IBADRN CC[C@@H]1N(C(=O)CNC(=O)[C@H](CO)NC(C)=O)CCC[C@]12CCC(=O)N2CCOC ZINC001186595429 1074291806 /nfs/dbraw/zinc/29/18/06/1074291806.db2.gz SGZNJGRKRDIQDS-FTRWYGJKSA-N 0 0 426.514 -0.992 20 0 IBADRN CCn1cc(CN(Cc2c(C)nn(C)c2C)C(=O)CNC(=O)[C@H](CO)NC(C)=O)cn1 ZINC001186595555 1074291840 /nfs/dbraw/zinc/29/18/40/1074291840.db2.gz DQOKBFFKKWSCFT-SFHVURJKSA-N 0 0 433.513 -0.605 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC[C@@]2(C[C@H](OCC3CCCC3)CO2)C1 ZINC001186595575 1074291880 /nfs/dbraw/zinc/29/18/80/1074291880.db2.gz FJWMXLRKSRTTOC-BBTUJRGHSA-N 0 0 425.526 -0.044 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCCN(c2ncccc2C(F)(F)F)CC1 ZINC001186595611 1074291828 /nfs/dbraw/zinc/29/18/28/1074291828.db2.gz GYXSQFAIQPVYSQ-AWEZNQCLSA-N 0 0 431.415 -0.248 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1C[C@@H](OCc2csc(C)n2)[C@H]2OCCC[C@H]21 ZINC001186595660 1074291813 /nfs/dbraw/zinc/29/18/13/1074291813.db2.gz SZHBVRAQTRRGHM-LHHMISFZSA-N 0 0 440.522 -0.660 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@]2(C1)CCCN(C(=O)c1ccsc1)C2 ZINC001186595662 1074291891 /nfs/dbraw/zinc/29/18/91/1074291891.db2.gz TURRZJBOFZOJQJ-JXFKEZNVSA-N 0 0 436.534 -0.184 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@@]2(C1)CCCN(C(=O)c1ccsc1)C2 ZINC001186595667 1074291796 /nfs/dbraw/zinc/29/17/96/1074291796.db2.gz TURRZJBOFZOJQJ-OXJNMPFZSA-N 0 0 436.534 -0.184 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@@H]2OCCC[C@]2(COCc2cccnc2)C1 ZINC001186595699 1074291801 /nfs/dbraw/zinc/29/18/01/1074291801.db2.gz UANKFKUINXANLL-CNNODRBYSA-N 0 0 448.520 -0.391 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@@H]2OCCC[C@@]2(COCc2cccnc2)C1 ZINC001186595701 1074292271 /nfs/dbraw/zinc/29/22/71/1074292271.db2.gz UANKFKUINXANLL-IPJJNNNSSA-N 0 0 448.520 -0.391 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@H]2OCCC[C@@]2(COCc2cccnc2)C1 ZINC001186595703 1074292338 /nfs/dbraw/zinc/29/23/38/1074292338.db2.gz UANKFKUINXANLL-JQVVWYNYSA-N 0 0 448.520 -0.391 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@H]2OCCC[C@]2(COCc2cccnc2)C1 ZINC001186595705 1074292320 /nfs/dbraw/zinc/29/23/20/1074292320.db2.gz UANKFKUINXANLL-NNMXDRDESA-N 0 0 448.520 -0.391 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(C[C@H](Oc3ncccc3F)CO2)CC1 ZINC001186595744 1074292226 /nfs/dbraw/zinc/29/22/26/1074292226.db2.gz USSADYLJUKEHAQ-HOCLYGCPSA-N 0 0 438.456 -0.637 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(C[C@@H](Oc3ncccc3F)CO2)CC1 ZINC001186595746 1074292262 /nfs/dbraw/zinc/29/22/62/1074292262.db2.gz USSADYLJUKEHAQ-ZBFHGGJFSA-N 0 0 438.456 -0.637 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCc2c(CN(C)Cc3ccco3)cncc2C1 ZINC001186595760 1074292239 /nfs/dbraw/zinc/29/22/39/1074292239.db2.gz UYHZEWWGTGVRLV-FQEVSTJZSA-N 0 0 443.504 -0.196 20 0 IBADRN CCN(CC)C(=O)c1nc2c(s1)CCN(C(=O)CNC(=O)[C@H](CO)NC(C)=O)CC2 ZINC001186595766 1074292359 /nfs/dbraw/zinc/29/23/59/1074292359.db2.gz UYUJWTDOTIGGMY-AWEZNQCLSA-N 0 0 439.538 -0.835 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCO[C@@H]2[C@@H](COCc3nccs3)CC[C@H]21 ZINC001186595818 1074292289 /nfs/dbraw/zinc/29/22/89/1074292289.db2.gz VXIXZQYCDVYCGA-BASYENTBSA-N 0 0 440.522 -0.721 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCO[C@@H]2[C@@H](COCc3nccs3)CC[C@@H]21 ZINC001186595819 1074292368 /nfs/dbraw/zinc/29/23/68/1074292368.db2.gz VXIXZQYCDVYCGA-LDDOYCOJSA-N 0 0 440.522 -0.721 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCO[C@H]2[C@H]1CC[C@H]2COCc1nccs1 ZINC001186595820 1074292364 /nfs/dbraw/zinc/29/23/64/1074292364.db2.gz VXIXZQYCDVYCGA-OIPACUDHSA-N 0 0 440.522 -0.721 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCO[C@@H]2[C@H](COCc3nccs3)CC[C@@H]21 ZINC001186595821 1074292266 /nfs/dbraw/zinc/29/22/66/1074292266.db2.gz VXIXZQYCDVYCGA-YRBFXIGRSA-N 0 0 440.522 -0.721 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCO[C@]2(CCC[C@H]2COc2ccccn2)C1 ZINC001186595832 1074292282 /nfs/dbraw/zinc/29/22/82/1074292282.db2.gz WLTPNFHJYPPYOT-XGHQBKJUSA-N 0 0 434.493 -0.529 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCc2ncnc(-c3ccc(F)cc3)c2CC1 ZINC001186595837 1074292210 /nfs/dbraw/zinc/29/22/10/1074292210.db2.gz WRVIOTBTRHEPJJ-SFHVURJKSA-N 0 0 429.452 -0.177 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N(Cc1ccccc1)[C@@H]1CCCN2C(=O)OC[C@H]12 ZINC001186595885 1074292332 /nfs/dbraw/zinc/29/23/32/1074292332.db2.gz JVPJLRDTGWDGMN-RCCFBDPRSA-N 0 0 432.477 -0.388 20 0 IBADRN CCOC(=O)[C@@]12Cc3ccccc3[C@@H](CN(C(=O)CNC(=O)[C@H](CO)NC(C)=O)C1)C2 ZINC001186595958 1074292377 /nfs/dbraw/zinc/29/23/77/1074292377.db2.gz KJTCAPPJEYUZSP-TVTNDZMWSA-N 0 0 431.489 -0.279 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC(C(=S)NC(=O)OC(C)(C)C)CC1 ZINC001186595987 1074292313 /nfs/dbraw/zinc/29/23/13/1074292313.db2.gz KRRXZBVRPZASBU-ZDUSSCGKSA-N 0 0 430.527 -0.310 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@]2(c3ccccc3)CCN(C(C)=O)[C@H]2C1 ZINC001186596062 1074292344 /nfs/dbraw/zinc/29/23/44/1074292344.db2.gz XPGMVBMGMWNIFQ-CNNODRBYSA-N 0 0 430.505 -0.609 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@@]2(c3ccccc3)CCN(C(C)=O)[C@H]2C1 ZINC001186596063 1074292296 /nfs/dbraw/zinc/29/22/96/1074292296.db2.gz XPGMVBMGMWNIFQ-IPJJNNNSSA-N 0 0 430.505 -0.609 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@@]2(c3ccccc3)CCN(C(C)=O)[C@@H]2C1 ZINC001186596064 1074292325 /nfs/dbraw/zinc/29/23/25/1074292325.db2.gz XPGMVBMGMWNIFQ-JQVVWYNYSA-N 0 0 430.505 -0.609 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@]2(c3ccccc3)CCN(C(C)=O)[C@@H]2C1 ZINC001186596065 1074292713 /nfs/dbraw/zinc/29/27/13/1074292713.db2.gz XPGMVBMGMWNIFQ-NNMXDRDESA-N 0 0 430.505 -0.609 20 0 IBADRN COC(=O)c1cc(-c2ccn(C(=O)CNC(=O)[C@H](CO)NC(C)=O)c(=N)c2N)ccc1C ZINC001186596069 1074292638 /nfs/dbraw/zinc/29/26/38/1074292638.db2.gz XRBUORGNKFOHIM-INIZCTEOSA-N 0 0 443.460 -0.435 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC[C@@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC001186596071 1074292644 /nfs/dbraw/zinc/29/26/44/1074292644.db2.gz XVIIPLXMWIBOOW-MGPUTAFESA-N 0 0 426.514 -0.008 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC[C@]12CCCN(C(=O)OC(C)(C)C)C2 ZINC001186596072 1074292734 /nfs/dbraw/zinc/29/27/34/1074292734.db2.gz XVIIPLXMWIBOOW-YWZLYKJASA-N 0 0 426.514 -0.008 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CCC(=O)N2Cc2ccccc2)CC1 ZINC001186596200 1074292703 /nfs/dbraw/zinc/29/27/03/1074292703.db2.gz NTKXSMNAUBSJDM-SFHVURJKSA-N 0 0 430.505 -0.217 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CC1)[C@@H](c1ccccc1)CC(=O)N2C ZINC001186596213 1074292630 /nfs/dbraw/zinc/29/26/30/1074292630.db2.gz OHVZPXWLEXKQDK-MSOLQXFVSA-N 0 0 430.505 -0.393 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC2(CC1)[C@H](c1ccccc1)CC(=O)N2C ZINC001186596214 1074292652 /nfs/dbraw/zinc/29/26/52/1074292652.db2.gz OHVZPXWLEXKQDK-ROUUACIJSA-N 0 0 430.505 -0.393 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1C[C@H]2c3ccccc3[C@H](NC(=O)N(C)C)[C@H]2C1 ZINC001186596256 1074292549 /nfs/dbraw/zinc/29/25/49/1074292549.db2.gz QIFMRBCQTBJFGB-DWRORGKVSA-N 0 0 431.493 -0.832 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1Cc2nccn2C[C@@H](COCc2cccnc2)C1 ZINC001186596263 1074292689 /nfs/dbraw/zinc/29/26/89/1074292689.db2.gz QLLNGTTVBGBVRE-MSOLQXFVSA-N 0 0 444.492 -0.934 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1Cc2nccn2C[C@H](COCc2cccnc2)C1 ZINC001186596264 1074292573 /nfs/dbraw/zinc/29/25/73/1074292573.db2.gz QLLNGTTVBGBVRE-ROUUACIJSA-N 0 0 444.492 -0.934 20 0 IBADRN CSc1nc(Cl)cc(N2CCCN(C(=O)CNC(=O)[C@H](CO)NC(C)=O)CC2)n1 ZINC001186596294 1074292697 /nfs/dbraw/zinc/29/26/97/1074292697.db2.gz RNFRLUPESOIIMG-LBPRGKRZSA-N 0 0 444.945 -0.496 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@@]2(C1)c1ccccc1N(CC(C)C)C2=O ZINC001186596314 1074292568 /nfs/dbraw/zinc/29/25/68/1074292568.db2.gz SCJMUSUWVPAEOQ-HTAPYJJXSA-N 0 0 430.505 -0.228 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@]2(C1)c1ccccc1N(CC(C)C)C2=O ZINC001186596315 1074292657 /nfs/dbraw/zinc/29/26/57/1074292657.db2.gz SCJMUSUWVPAEOQ-JTSKRJEESA-N 0 0 430.505 -0.228 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CC[C@@H](C)[C@@H](N(C)c2ncnc3[nH]ccc32)C1 ZINC001186596317 1074292612 /nfs/dbraw/zinc/29/26/12/1074292612.db2.gz SEXOPBANYPVWGQ-KCXAZCMYSA-N 0 0 431.497 -0.756 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCN(c2ccc(C(=O)OC(C)(C)C)cc2)CC1 ZINC001186596433 1074292682 /nfs/dbraw/zinc/29/26/82/1074292682.db2.gz XDHNDDDCFOAICN-SFHVURJKSA-N 0 0 448.520 -0.096 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC(F)(F)[C@]2(CCN(c3ncccn3)C2)C1 ZINC001186596466 1074292719 /nfs/dbraw/zinc/29/27/19/1074292719.db2.gz YTMAJWMKXBKTPF-KBXCAEBGSA-N 0 0 440.451 -0.846 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC(F)(F)[C@@]2(CCN(c3ncccn3)C2)C1 ZINC001186596467 1074292671 /nfs/dbraw/zinc/29/26/71/1074292671.db2.gz YTMAJWMKXBKTPF-KSSFIOAISA-N 0 0 440.451 -0.846 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N(c1nccs1)S(=O)(=O)c1ccc(N)cc1 ZINC001186598580 1074292726 /nfs/dbraw/zinc/29/27/26/1074292726.db2.gz GQDDVGWZHBSXAW-ZDUSSCGKSA-N 0 0 441.491 -0.940 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCN(CCCC(=O)c2ccc(F)cc2)CC1 ZINC001186598624 1074292584 /nfs/dbraw/zinc/29/25/84/1074292584.db2.gz ICMSEUGOAZPUAP-SFHVURJKSA-N 0 0 436.484 -0.454 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1ccc(CS(=O)(=O)N2CCCC2)cc1 ZINC001186598691 1074293042 /nfs/dbraw/zinc/29/30/42/1074293042.db2.gz JIASPNPRZNNYQO-INIZCTEOSA-N 0 0 426.495 -0.836 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1c(Cl)cc(S(N)(=O)=O)cc1Cl ZINC001186598964 1074293015 /nfs/dbraw/zinc/29/30/15/1074293015.db2.gz JXDNACVADOVFJN-JTQLQIEISA-N 0 0 427.266 -0.808 20 0 IBADRN CCCNC(=O)c1ccccc1OC1CCN(C(=O)CNC(=O)[C@H](CO)NC(C)=O)CC1 ZINC001186599310 1074293164 /nfs/dbraw/zinc/29/31/64/1074293164.db2.gz PKOVVWWAMBREQK-SFHVURJKSA-N 0 0 448.520 -0.191 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1ccc(S(=O)(=O)c2ccc(N)cc2)cc1 ZINC001186599599 1074293105 /nfs/dbraw/zinc/29/31/05/1074293105.db2.gz SCPDVKRYWYGQSM-KRWDZBQOSA-N 0 0 434.474 -0.347 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCN(c2ccc(-c3ncccn3)cc2)CC1 ZINC001186599663 1074293051 /nfs/dbraw/zinc/29/30/51/1074293051.db2.gz VNSKQAJBKMQNMQ-SFHVURJKSA-N 0 0 426.477 -0.595 20 0 IBADRN COC(=O)c1cc(Br)c(NC(=O)CNC(=O)[C@H](CO)NC(C)=O)cc1OC ZINC001186599718 1074293132 /nfs/dbraw/zinc/29/31/32/1074293132.db2.gz WMHHEBDIJBJLRM-LBPRGKRZSA-N 0 0 446.254 -0.204 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)CNC(=O)[C@H](CO)NC(C)=O)c1 ZINC001186599785 1074293110 /nfs/dbraw/zinc/29/31/10/1074293110.db2.gz XNQHMSGKHVOXQL-HNNXBMFYSA-N 0 0 444.510 -0.723 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N1CCC(c2cccc(S(C)(=O)=O)c2)CC1 ZINC001186599838 1074293058 /nfs/dbraw/zinc/29/30/58/1074293058.db2.gz YNCDWTSRFXYGLM-KRWDZBQOSA-N 0 0 425.507 -0.591 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1c(OC)nn2cc(Br)cnc12 ZINC001186600536 1074293080 /nfs/dbraw/zinc/29/30/80/1074293080.db2.gz LPTQKDYZJOPHKI-UHFFFAOYSA-N 0 0 442.317 -0.282 20 0 IBADRN CCNS(=O)(=O)CCNS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001186602254 1074293066 /nfs/dbraw/zinc/29/30/66/1074293066.db2.gz XJYDGWUCKGGNKV-UHFFFAOYSA-N 0 0 432.293 -0.095 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@H]1CCN(Cc2ccc(Br)cc2)C1 ZINC001186602632 1074293145 /nfs/dbraw/zinc/29/31/45/1074293145.db2.gz ACTHCYBQAAJNPL-HOTGVXAUSA-N 0 0 441.326 -0.247 20 0 IBADRN COC(=O)c1ccc(CNC(=O)CNC(=O)[C@H](CO)NC(C)=O)c(Br)c1 ZINC001186602674 1074293094 /nfs/dbraw/zinc/29/30/94/1074293094.db2.gz BRZHBJORQVUIJW-ZDUSSCGKSA-N 0 0 430.255 -0.535 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CNC(=O)[C@H](CO)NC(C)=O)c1c[nH]c2ccc(OC)cc21 ZINC001186602762 1074293009 /nfs/dbraw/zinc/29/30/09/1074293009.db2.gz FAXRYQULTYBPAB-RDJZCZTQSA-N 0 0 434.449 -0.838 20 0 IBADRN COC(=O)[C@H](CNC(=O)CNC(=O)[C@H](CO)NC(C)=O)c1c[nH]c2ccc(OC)cc21 ZINC001186602763 1074293032 /nfs/dbraw/zinc/29/30/32/1074293032.db2.gz FAXRYQULTYBPAB-WBVHZDCISA-N 0 0 434.449 -0.838 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NC[C@H]1CCN(C(=O)OC(C)(C)C)CC1(F)F ZINC001186602908 1074293037 /nfs/dbraw/zinc/29/30/37/1074293037.db2.gz IEBZUCVGXSXMHT-OLZOCXBDSA-N 0 0 436.456 -0.392 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NC[C@@H]1CCN(C(=O)OC(C)(C)C)CC1(F)F ZINC001186602909 1074293551 /nfs/dbraw/zinc/29/35/51/1074293551.db2.gz IEBZUCVGXSXMHT-STQMWFEESA-N 0 0 436.456 -0.392 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NCCc1cnc(NC(=O)OC(C)(C)C)s1 ZINC001186603053 1074293565 /nfs/dbraw/zinc/29/35/65/1074293565.db2.gz KTYOVQBAZRSEOB-LBPRGKRZSA-N 0 0 429.499 -0.238 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(=O)NC1CCCCC1 ZINC001186603104 1074293519 /nfs/dbraw/zinc/29/35/19/1074293519.db2.gz MHYAREDOARIWFI-OALUTQOASA-N 0 0 432.521 -0.224 20 0 IBADRN COCCOc1ccc(CNC(=O)CNC(=O)[C@H](CO)NC(C)=O)cc1C(F)(F)F ZINC001186603112 1074293604 /nfs/dbraw/zinc/29/36/04/1074293604.db2.gz MNSMELLSEANULE-AWEZNQCLSA-N 0 0 435.399 -0.040 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NCc1cc(F)c(OC2CCOCC2)c(F)c1 ZINC001186603139 1074293583 /nfs/dbraw/zinc/29/35/83/1074293583.db2.gz NVDSXRABCIAOPV-INIZCTEOSA-N 0 0 429.420 -0.248 20 0 IBADRN COC(=O)c1nn(C(=O)OC(C)(C)C)cc1NC(=O)CNC(=O)[C@H](CO)NC(C)=O ZINC001186603164 1074293609 /nfs/dbraw/zinc/29/36/09/1074293609.db2.gz ORNLNUQLOWHYHX-NSHDSACASA-N 0 0 427.414 -0.995 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@@H]1CO[C@@]2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001186603240 1074293612 /nfs/dbraw/zinc/29/36/12/1074293612.db2.gz RQDVRRPGYZXQIN-AUSJPIAWSA-N 0 0 442.513 -0.726 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@@H]1CO[C@]2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001186603241 1074293572 /nfs/dbraw/zinc/29/35/72/1074293572.db2.gz RQDVRRPGYZXQIN-AVYPCKFXSA-N 0 0 442.513 -0.726 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@H]1CO[C@@]2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001186603242 1074293547 /nfs/dbraw/zinc/29/35/47/1074293547.db2.gz RQDVRRPGYZXQIN-QEEYODRMSA-N 0 0 442.513 -0.726 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@H]1CO[C@]2(C1)CCCN(C(=O)OC(C)(C)C)C2 ZINC001186603243 1074293512 /nfs/dbraw/zinc/29/35/12/1074293512.db2.gz RQDVRRPGYZXQIN-SIFCLUCFSA-N 0 0 442.513 -0.726 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1 ZINC001186603247 1074293527 /nfs/dbraw/zinc/29/35/27/1074293527.db2.gz RUDPMINAFIMELW-AZUAARDMSA-N 0 0 447.513 -0.091 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@@H](c1ccccc1)c1cccc(S(C)(=O)=O)c1 ZINC001186603248 1074293577 /nfs/dbraw/zinc/29/35/77/1074293577.db2.gz RUDPMINAFIMELW-ICSRJNTNSA-N 0 0 447.513 -0.091 20 0 IBADRN COC(=O)[C@H](Cc1ccc(OC(C)(C)C)cc1)NC(=O)CNC(=O)[C@H](CO)NC(C)=O ZINC001186603274 1074293543 /nfs/dbraw/zinc/29/35/43/1074293543.db2.gz SQWYYJPAUYPQBX-IRXDYDNUSA-N 0 0 437.493 -0.323 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1ccc(-n2cnc(Br)n2)cc1 ZINC001186603403 1074293587 /nfs/dbraw/zinc/29/35/87/1074293587.db2.gz ARVSCKREORHCFC-LBPRGKRZSA-N 0 0 425.243 -0.419 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NC[C@@H]1C[C@H](CC(=O)OC(C)(C)C)OC(C)(C)O1 ZINC001186603435 1074293536 /nfs/dbraw/zinc/29/35/36/1074293536.db2.gz YIGQBYHSYKHDFF-ILXRZTDVSA-N 0 0 445.513 -0.642 20 0 IBADRN COc1ccc(Br)c(NC(=O)CNC(=O)[C@H](CO)NC(C)=O)c1C(N)=O ZINC001186603456 1074293598 /nfs/dbraw/zinc/29/35/98/1074293598.db2.gz BTLHGECLDUHNQG-VIFPVBQESA-N 0 0 431.243 -0.892 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NCc1noc2c1CN(C(=O)OC(C)(C)C)CC2 ZINC001186603464 1074293593 /nfs/dbraw/zinc/29/35/93/1074293593.db2.gz BWGKMFGDOZBDNJ-AWEZNQCLSA-N 0 0 439.469 -0.803 20 0 IBADRN COc1cc2ccn(C(=O)CN(C)C)c2cc1NC(=O)CNC(=O)[C@H](CO)NC(C)=O ZINC001186603727 1074293941 /nfs/dbraw/zinc/29/39/41/1074293941.db2.gz GCADGCXBVOYTJA-HNNXBMFYSA-N 0 0 433.465 -0.597 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NCC[C@@H]1CCOC12CN(C(=O)OC(C)(C)C)C2 ZINC001186603945 1074293914 /nfs/dbraw/zinc/29/39/14/1074293914.db2.gz KSUWHUVIOCKSKE-CABCVRRESA-N 0 0 442.513 -0.868 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NCC[C@H]1CCOC12CN(C(=O)OC(C)(C)C)C2 ZINC001186603946 1074293885 /nfs/dbraw/zinc/29/38/85/1074293885.db2.gz KSUWHUVIOCKSKE-GJZGRUSLSA-N 0 0 442.513 -0.868 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)n1oc2ccc(N)cc2c1=NC(=O)OC(C)(C)C ZINC001186604020 1074293931 /nfs/dbraw/zinc/29/39/31/1074293931.db2.gz NWFOVGVAMWYDRK-ZDUSSCGKSA-N 0 0 435.437 -0.094 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)Nc1ccc(Br)cc1C(=O)N(C)C ZINC001186604596 1074293952 /nfs/dbraw/zinc/29/39/52/1074293952.db2.gz SKDJDXBGFGSXLE-ZDUSSCGKSA-N 0 0 429.271 -0.297 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@]1(C(F)(F)F)CCN(C(=O)OC(C)(C)C)C1 ZINC001186605060 1074293939 /nfs/dbraw/zinc/29/39/39/1074293939.db2.gz YTIZAMYIFJQNEG-MEDUHNTESA-N 0 0 440.419 -0.342 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@@]1(C(F)(F)F)CCN(C(=O)OC(C)(C)C)C1 ZINC001186605061 1074293902 /nfs/dbraw/zinc/29/39/02/1074293902.db2.gz YTIZAMYIFJQNEG-ZBEGNZNMSA-N 0 0 440.419 -0.342 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCC(N)=O)c1 ZINC001186605625 1074293945 /nfs/dbraw/zinc/29/39/45/1074293945.db2.gz FWVSAXAGTLANAT-HNNXBMFYSA-N 0 0 449.485 -0.027 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001186606318 1074293950 /nfs/dbraw/zinc/29/39/50/1074293950.db2.gz XZBDFLPHVWPEEM-HNNXBMFYSA-N 0 0 449.485 -0.027 20 0 IBADRN CCn1c2ccccc2nc1N1CCC[C@H](NC(=O)CNC(=O)[C@H](CO)NC(C)=O)C1 ZINC001186607168 1074293928 /nfs/dbraw/zinc/29/39/28/1074293928.db2.gz HPVWFRCEEZMLCE-RDJZCZTQSA-N 0 0 430.509 -0.246 20 0 IBADRN CCn1c2ccccc2nc1N1CCC[C@@H](NC(=O)CNC(=O)[C@H](CO)NC(C)=O)C1 ZINC001186607169 1074293937 /nfs/dbraw/zinc/29/39/37/1074293937.db2.gz HPVWFRCEEZMLCE-WBVHZDCISA-N 0 0 430.509 -0.246 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NCc1ccc(S(=O)(=O)c2ccccc2)cc1 ZINC001186607209 1074293935 /nfs/dbraw/zinc/29/39/35/1074293935.db2.gz IYHKMMLFQPZBQM-SFHVURJKSA-N 0 0 433.486 -0.251 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@H](C1CCC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC001186607306 1074294212 /nfs/dbraw/zinc/29/42/12/1074294212.db2.gz JHIRSRMEJABNHK-DOTOQJQBSA-N 0 0 426.514 -0.249 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@@H](C1CCC1)C1CN(C(=O)OC(C)(C)C)C1 ZINC001186607307 1074294299 /nfs/dbraw/zinc/29/42/99/1074294299.db2.gz JHIRSRMEJABNHK-RDJZCZTQSA-N 0 0 426.514 -0.249 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@H](CO)Cc1ccc(OCc2ccccc2)cc1 ZINC001186607567 1074294281 /nfs/dbraw/zinc/29/42/81/1074294281.db2.gz MMDOIQOIDQSJAQ-FPOVZHCZSA-N 0 0 443.500 -0.102 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NCc1ccc2nnc(-c3ccc(F)cc3)n2c1 ZINC001186607757 1074294260 /nfs/dbraw/zinc/29/42/60/1074294260.db2.gz NFZDFCJGUVUDCF-INIZCTEOSA-N 0 0 428.424 -0.235 20 0 IBADRN COC(=O)C[C@H](NC(=O)CNC(=O)[C@H](CO)NC(C)=O)c1ccc(Br)cc1 ZINC001186607857 1074287968 /nfs/dbraw/zinc/28/79/68/1074287968.db2.gz QDQUKJOPJTVFFJ-KBPBESRZSA-N 0 0 444.282 -0.217 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)N[C@H]1CC[C@H](N2Cc3cccc(F)c3C2=O)CC1 ZINC001186608446 1074287956 /nfs/dbraw/zinc/28/79/56/1074287956.db2.gz TUOKCRUKMJDMNF-ZOBUZTSGSA-N 0 0 434.468 -0.178 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCC(=O)NC1CCN(c2cc(C(F)(F)F)ncn2)CC1 ZINC001186608566 1074287935 /nfs/dbraw/zinc/28/79/35/1074287935.db2.gz VZSYTDDAYPLMKE-LBPRGKRZSA-N 0 0 432.403 -0.806 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)C(C)C ZINC001186608963 1074288386 /nfs/dbraw/zinc/28/83/86/1074288386.db2.gz YFJNNXNMOWZMKO-BBWFWOEESA-N 0 0 442.582 -0.155 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)C(C)C ZINC001186608965 1074288448 /nfs/dbraw/zinc/28/84/48/1074288448.db2.gz YFJNNXNMOWZMKO-ULQDDVLXSA-N 0 0 442.582 -0.155 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1CCc2[nH]c(=O)[nH]c(=O)c2C1)C(C)C ZINC001186610864 1074288396 /nfs/dbraw/zinc/28/83/96/1074288396.db2.gz HMEZAMXOPZOJQU-KBPBESRZSA-N 0 0 425.511 -0.219 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1CCN(C2CCOCC2)C(=O)C1)C(C)C ZINC001186610893 1074288469 /nfs/dbraw/zinc/28/84/69/1074288469.db2.gz INMJQGDPBYGIIF-WMZOPIPTSA-N 0 0 442.582 -0.155 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1CCC2(CC1)COCC(=O)N2)C(C)C ZINC001186611245 1074288522 /nfs/dbraw/zinc/28/85/22/1074288522.db2.gz LRYJECWQDQLWDS-HOCLYGCPSA-N 0 0 428.555 -0.498 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1CCC2(CC1)CNC(=O)CO2)C(C)C ZINC001186612066 1074288437 /nfs/dbraw/zinc/28/84/37/1074288437.db2.gz QWDDYAPXVRJOOW-HOCLYGCPSA-N 0 0 428.555 -0.498 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)Nc1cncc(S(N)(=O)=O)c1)C(C)C ZINC001186612308 1074288461 /nfs/dbraw/zinc/28/84/61/1074288461.db2.gz LXPUIGSUKJBECU-KBPBESRZSA-N 0 0 431.540 -0.324 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C ZINC001186612550 1074288376 /nfs/dbraw/zinc/28/83/76/1074288376.db2.gz VMXVMSGHXQERIG-DZKIICNBSA-N 0 0 444.554 -0.725 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C ZINC001186612551 1074288413 /nfs/dbraw/zinc/28/84/13/1074288413.db2.gz VMXVMSGHXQERIG-LZWOXQAQSA-N 0 0 444.554 -0.725 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1CCN(CC(=O)NC(C)C)CC1)C(C)C ZINC001186612652 1074288453 /nfs/dbraw/zinc/28/84/53/1074288453.db2.gz XQVSWVZMTDKSLK-WMZOPIPTSA-N 0 0 443.614 -0.336 20 0 IBADRN COC(=O)[C@@]12COC[C@@H]1CN(C(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C)C2 ZINC001186613714 1074288363 /nfs/dbraw/zinc/28/83/63/1074288363.db2.gz XYJYJDJXGIBFLE-XLPNERPQSA-N 0 0 429.539 -0.357 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1Cc2ccnn2CC[C@@H]1CO)C(C)C ZINC001186615546 1074288421 /nfs/dbraw/zinc/28/84/21/1074288421.db2.gz GWRVSRGHKFDUSH-IKGGRYGDSA-N 0 0 425.555 -0.015 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1Cc2ccnn2CC[C@H]1CO)C(C)C ZINC001186615547 1074288489 /nfs/dbraw/zinc/28/84/89/1074288489.db2.gz GWRVSRGHKFDUSH-ULQDDVLXSA-N 0 0 425.555 -0.015 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C)CCN1C(C)=O ZINC001186616224 1074288354 /nfs/dbraw/zinc/28/83/54/1074288354.db2.gz NOAUILXTZBHBPP-JYJNAYRXSA-N 0 0 444.554 -0.773 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C)CCN1C(C)=O ZINC001186616225 1074288968 /nfs/dbraw/zinc/28/89/68/1074288968.db2.gz NOAUILXTZBHBPP-XHSDSOJGSA-N 0 0 444.554 -0.773 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1N)C(C)C ZINC001186616229 1074288998 /nfs/dbraw/zinc/28/89/98/1074288998.db2.gz NUMHHAIZJORUKV-ZFWWWQNUSA-N 0 0 445.567 -0.137 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N1CCN(c2ncc(O)cn2)CC1)C(C)C ZINC001186617240 1074288994 /nfs/dbraw/zinc/28/89/94/1074288994.db2.gz ZIPMTFYTRHSWDL-HOTGVXAUSA-N 0 0 438.554 -0.161 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)Nc1c(N=O)c(=O)[nH]c(=O)n1C)C(C)C ZINC001186618180 1074289001 /nfs/dbraw/zinc/28/90/01/1074289001.db2.gz FEBCWCRJLPUSBM-UWVGGRQHSA-N 0 0 428.471 -0.169 20 0 IBADRN CCOC(=O)c1nnc(CNC(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C)o1 ZINC001186618359 1074288878 /nfs/dbraw/zinc/28/88/78/1074288878.db2.gz IRSKKXZYKUSITA-AAEUAGOBSA-N 0 0 429.499 -0.129 20 0 IBADRN COC(=O)[C@H](Cc1cncn1C)NC(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C ZINC001186619560 1074288945 /nfs/dbraw/zinc/28/89/45/1074288945.db2.gz CRKVDABIPRPERD-JYJNAYRXSA-N 0 0 441.554 -0.371 20 0 IBADRN COC(=O)[C@@H](Cc1cncn1C)NC(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C ZINC001186619561 1074288901 /nfs/dbraw/zinc/28/89/01/1074288901.db2.gz CRKVDABIPRPERD-XHSDSOJGSA-N 0 0 441.554 -0.371 20 0 IBADRN COC(=O)c1ncc(=O)[nH]c1NC(=O)[C@@H](NC(=O)[C@H](CCSC)NC=O)C(C)C ZINC001186620274 1074288860 /nfs/dbraw/zinc/28/88/60/1074288860.db2.gz LWLZCSTZCZQQJD-JQWIXIFHSA-N 0 0 427.483 -0.084 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)N[C@H](C(=O)N1CCOCC1)C(C)C)C(C)C ZINC001186620648 1074288982 /nfs/dbraw/zinc/28/89/82/1074288982.db2.gz NTLPTBLRZYBYQB-ULQDDVLXSA-N 0 0 444.598 -0.006 20 0 IBADRN CSCC[C@H](NC=O)C(=O)N[C@H](C(=O)NCCOCCN1C(=O)C=CC1=O)C(C)C ZINC001186621525 1074288931 /nfs/dbraw/zinc/28/89/31/1074288931.db2.gz ZQYFPJSZXBQWHP-YOEHRIQHSA-N 0 0 442.538 -0.947 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cncnc1Br ZINC001186624968 1074288973 /nfs/dbraw/zinc/28/89/73/1074288973.db2.gz AIBSQFFJVAEKSH-CIUDSAMLSA-N 0 0 429.275 -0.288 20 0 IBADRN COC(=O)c1cnc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c(Cl)c1 ZINC001186624984 1074289322 /nfs/dbraw/zinc/28/93/22/1074289322.db2.gz AWVYSQZSNCABQK-GUBZILKMSA-N 0 0 441.872 -0.006 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cc(Br)nn1C ZINC001186624998 1074289215 /nfs/dbraw/zinc/28/92/15/1074289215.db2.gz BRXJKZAWRXDHIW-CIUDSAMLSA-N 0 0 431.291 -0.345 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cccnc1N1CCN(C)CC1 ZINC001186625114 1074289344 /nfs/dbraw/zinc/28/93/44/1074289344.db2.gz FXUXRXRVKURLPQ-KKUMJFAQSA-N 0 0 447.540 -0.694 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1n[nH]c(C)c1Br ZINC001186625223 1074289339 /nfs/dbraw/zinc/28/93/39/1074289339.db2.gz IODVGXPQHDSYEU-CIUDSAMLSA-N 0 0 431.291 -0.047 20 0 IBADRN COC(=O)c1ccnc(Cl)c1NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186625225 1074289263 /nfs/dbraw/zinc/28/92/63/1074289263.db2.gz IRPHIJMPEQQOHS-GUBZILKMSA-N 0 0 441.872 -0.006 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc2c(c1)C(=O)NCCO2 ZINC001186625251 1074289289 /nfs/dbraw/zinc/28/92/89/1074289289.db2.gz JSFJRHOZYVZOQY-SRVKXCTJSA-N 0 0 433.465 -0.719 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc(N2CCOCC2)nc1 ZINC001186625253 1074289204 /nfs/dbraw/zinc/28/92/04/1074289204.db2.gz JUCNDDZOOIRIRT-IHRRRGAJSA-N 0 0 434.497 -0.609 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1nnc(Br)s1 ZINC001186625410 1074289297 /nfs/dbraw/zinc/28/92/97/1074289297.db2.gz LYZRKXOPZUIGMO-ACZMJKKPSA-N 0 0 435.304 -0.227 20 0 IBADRN CSc1nnc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c(SC)n1 ZINC001186625421 1074289303 /nfs/dbraw/zinc/28/93/03/1074289303.db2.gz MHXOEGLXBMYMCT-CIUDSAMLSA-N 0 0 443.555 -0.212 20 0 IBADRN COC(=O)c1c[nH]c2ncc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)cc12 ZINC001186625439 1074289234 /nfs/dbraw/zinc/28/92/34/1074289234.db2.gz NDNFWORDOKDPNM-DCAQKATOSA-N 0 0 446.464 -0.178 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccnn1Cc1cccnc1 ZINC001186625475 1074289225 /nfs/dbraw/zinc/28/92/25/1074289225.db2.gz ONBJMDVGRBPHMF-IHRRRGAJSA-N 0 0 429.481 -0.201 20 0 IBADRN CCCN=c1c(N)c(Cl)ncn1C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186625535 1074289310 /nfs/dbraw/zinc/28/93/10/1074289310.db2.gz QWTLDKCTKWLZKH-DCAQKATOSA-N 0 0 441.920 -0.396 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cc(OCc2cccnc2)n[nH]1 ZINC001186625548 1074289332 /nfs/dbraw/zinc/28/93/32/1074289332.db2.gz RYVDILUEVDPIGX-AVGNSLFASA-N 0 0 445.480 -0.144 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCn2nc(C(F)(F)F)nc2C1 ZINC001186625584 1074289163 /nfs/dbraw/zinc/28/91/63/1074289163.db2.gz TWQFWVQNCPWPEM-GUBZILKMSA-N 0 0 447.418 -0.827 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1sc(C)c(C)c1C(N)=O ZINC001186625621 1074289282 /nfs/dbraw/zinc/28/92/82/1074289282.db2.gz VFTMTQZZGXWMQF-GUBZILKMSA-N 0 0 425.511 -0.064 20 0 IBADRN COCCCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1ncccc1N ZINC001186625640 1074289187 /nfs/dbraw/zinc/28/91/87/1074289187.db2.gz VRXFXNAGZBEIOJ-IHRRRGAJSA-N 0 0 436.513 -0.433 20 0 IBADRN COc1ccc2nc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)nnc2c1 ZINC001186625653 1074289691 /nfs/dbraw/zinc/28/96/91/1074289691.db2.gz WREATVRXSCVHRH-DCAQKATOSA-N 0 0 431.453 -0.494 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1C[C@H]2C[C@@H](C1)Cn1c(=O)cccc12 ZINC001186625661 1074289686 /nfs/dbraw/zinc/28/96/86/1074289686.db2.gz XBUNWNGLXCPMNW-CUINURIISA-N 0 0 445.520 -0.672 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N(C)Cc1ccc2c(c1)OCCO2 ZINC001186625667 1074289695 /nfs/dbraw/zinc/28/96/95/1074289695.db2.gz XMSOLJRNKPDOQM-IHRRRGAJSA-N 0 0 434.493 -0.050 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1csc(N2CCOCC2)n1 ZINC001186625684 1074289746 /nfs/dbraw/zinc/28/97/46/1074289746.db2.gz YHROXANPSSVSOR-SRVKXCTJSA-N 0 0 440.526 -0.548 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cc2c(cc1C)OCCO2 ZINC001186625688 1074289650 /nfs/dbraw/zinc/28/96/50/1074289650.db2.gz YJKDICBRTVJIQI-IHRRRGAJSA-N 0 0 434.493 -0.084 20 0 IBADRN COC[C@@H]1CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)Cc2cn(C)nc21 ZINC001186626253 1074289640 /nfs/dbraw/zinc/28/96/40/1074289640.db2.gz AJPHBYQLVXGQON-QCQGSNGOSA-N 0 0 436.513 -0.974 20 0 IBADRN COC[C@H]1CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)Cc2cn(C)nc21 ZINC001186626254 1074289664 /nfs/dbraw/zinc/28/96/64/1074289664.db2.gz AJPHBYQLVXGQON-WFGGJUAMSA-N 0 0 436.513 -0.974 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC2(C[C@@H]2C(=O)N(C)C)CC1 ZINC001186626258 1074289700 /nfs/dbraw/zinc/28/97/00/1074289700.db2.gz APFSHIMPKRMVBR-RZLSGREXSA-N 0 0 437.541 -0.763 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC2(C[C@H]2C(=O)N(C)C)CC1 ZINC001186626261 1074289726 /nfs/dbraw/zinc/28/97/26/1074289726.db2.gz APFSHIMPKRMVBR-YXWQFLTLSA-N 0 0 437.541 -0.763 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ncccc1CN1CCOCC1 ZINC001186626324 1074289633 /nfs/dbraw/zinc/28/96/33/1074289633.db2.gz BMHRHQSCJYYLOA-KKUMJFAQSA-N 0 0 448.524 -0.614 20 0 IBADRN COC(=O)c1cc2cc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c[nH]c-2n1 ZINC001186626637 1074289734 /nfs/dbraw/zinc/28/97/34/1074289734.db2.gz DVEDAUGFRHSKAN-DCAQKATOSA-N 0 0 446.464 -0.178 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cc(N2CCOCC2)nc(C)n1 ZINC001186626641 1074289666 /nfs/dbraw/zinc/28/96/66/1074289666.db2.gz FCKAWZQENCJWBA-AVGNSLFASA-N 0 0 449.512 -0.906 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN2C(=O)c3ccccc3[C@@H]2C1 ZINC001186626656 1074289677 /nfs/dbraw/zinc/28/96/77/1074289677.db2.gz FMSRDKZVPKFJHH-NUXNZHGMSA-N 0 0 443.504 -0.440 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN2C(=O)c3ccccc3[C@H]2C1 ZINC001186626658 1074289658 /nfs/dbraw/zinc/28/96/58/1074289658.db2.gz FMSRDKZVPKFJHH-WZTLGTBRSA-N 0 0 443.504 -0.440 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1C[C@H]2c3ccccc3C(=O)[C@H]2C1 ZINC001186626693 1074289711 /nfs/dbraw/zinc/28/97/11/1074289711.db2.gz FYQUWERAMKNWGN-DHMYTKHGSA-N 0 0 428.489 -0.041 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1C[C@@H]2C(=O)Nc3ccccc3[C@H]2C1 ZINC001186626842 1074289729 /nfs/dbraw/zinc/28/97/29/1074289729.db2.gz HGNXLDXWCUIBLE-NTLKJNNPSA-N 0 0 443.504 -0.285 20 0 IBADRN COC[C@H](C)Oc1cc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)[nH]n1 ZINC001186626848 1074289643 /nfs/dbraw/zinc/28/96/43/1074289643.db2.gz HMJUBYGHGWWVHE-BJDJZHNGSA-N 0 0 426.474 -0.704 20 0 IBADRN COC[C@@H](C)Oc1cc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)[nH]n1 ZINC001186626858 1074289681 /nfs/dbraw/zinc/28/96/81/1074289681.db2.gz HMJUBYGHGWWVHE-RHYQMDGZSA-N 0 0 426.474 -0.704 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N(CC(F)(F)F)c1ncc(N)cn1 ZINC001186626860 1074289672 /nfs/dbraw/zinc/28/96/72/1074289672.db2.gz HNVKZMAWBJBGFG-GUBZILKMSA-N 0 0 447.418 -0.512 20 0 IBADRN COC(=O)/C=C/c1ccc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)nc1 ZINC001186626919 1074289706 /nfs/dbraw/zinc/28/97/06/1074289706.db2.gz IJKMDQSHASNUOS-ILSLXCNDSA-N 0 0 433.465 -0.260 20 0 IBADRN COC(=O)c1c[nH]c2ncnc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c12 ZINC001186627278 1074290095 /nfs/dbraw/zinc/29/00/95/1074290095.db2.gz LBBBCLQBUMJNRE-GUBZILKMSA-N 0 0 447.452 -0.783 20 0 IBADRN COC(=O)c1ccc(OC)nc1NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186627341 1074290066 /nfs/dbraw/zinc/29/00/66/1074290066.db2.gz LNNILSXNMCGWDI-DCAQKATOSA-N 0 0 437.453 -0.651 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cc2n(n1)CC(F)(F)CO2 ZINC001186627402 1074290025 /nfs/dbraw/zinc/29/00/25/1074290025.db2.gz MSKNPYJEMZUTHP-GUBZILKMSA-N 0 0 430.412 -0.617 20 0 IBADRN COC[C@@]12CCCO[C@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186627685 1074290076 /nfs/dbraw/zinc/29/00/76/1074290076.db2.gz NTJGBSIZCLOSQR-ICWLNENESA-N 0 0 440.541 -0.436 20 0 IBADRN COC[C@@]12CCCO[C@@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186627688 1074290036 /nfs/dbraw/zinc/29/00/36/1074290036.db2.gz NTJGBSIZCLOSQR-OLLLRAMTSA-N 0 0 440.541 -0.436 20 0 IBADRN COC[C@]12CCCO[C@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186627690 1074290122 /nfs/dbraw/zinc/29/01/22/1074290122.db2.gz NTJGBSIZCLOSQR-YEPGPPGOSA-N 0 0 440.541 -0.436 20 0 IBADRN COC[C@]12CCCO[C@@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186627692 1074290111 /nfs/dbraw/zinc/29/01/11/1074290111.db2.gz NTJGBSIZCLOSQR-YIBSWQHHSA-N 0 0 440.541 -0.436 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cncc(N2CCOCC2)c1 ZINC001186627782 1074290090 /nfs/dbraw/zinc/29/00/90/1074290090.db2.gz PDLKHXJFYPLKMW-IHRRRGAJSA-N 0 0 434.497 -0.609 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1nc(N(C)C)[nH]c(=O)c1N=O ZINC001186627789 1074290082 /nfs/dbraw/zinc/29/00/82/1074290082.db2.gz PGUHJOIOVJSNNR-CIUDSAMLSA-N 0 0 438.445 -0.881 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@@H](O)[C@@H]1Cc1ccccc1 ZINC001186627826 1074290053 /nfs/dbraw/zinc/29/00/53/1074290053.db2.gz PVQXBOKNFOVGSJ-UNTXSKPGSA-N 0 0 432.521 -0.275 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@H]2[C@@H]1CCC(=O)N2CC1CC1 ZINC001186627833 1074290041 /nfs/dbraw/zinc/29/00/41/1074290041.db2.gz PYEDSKHVCXHOTJ-LYHUEXMXSA-N 0 0 449.552 -0.478 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ncccc1N1CCOCC1 ZINC001186627848 1074290047 /nfs/dbraw/zinc/29/00/47/1074290047.db2.gz QAANAWWHRLLYOO-IHRRRGAJSA-N 0 0 434.497 -0.609 20 0 IBADRN CCO[C@@H]1CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)[C@@H]2CCCO[C@H]12 ZINC001186627876 1074290105 /nfs/dbraw/zinc/29/01/05/1074290105.db2.gz QOZZQVMLWJUZHX-LOUNTGSQSA-N 0 0 426.514 -0.685 20 0 IBADRN CCN1CC[C@@]2(CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C[C@@H]2C)C1=O ZINC001186628032 1074290058 /nfs/dbraw/zinc/29/00/58/1074290058.db2.gz RENCOXNHPLPBRC-ZXJNPZNQSA-N 0 0 437.541 -0.763 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCc2cc3c(cc2C1)OCO3 ZINC001186628085 1074290117 /nfs/dbraw/zinc/29/01/17/1074290117.db2.gz RWYYETAUMDRNHE-AVGNSLFASA-N 0 0 432.477 -0.166 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N(c1ncc(N)cn1)C1CCCC1 ZINC001186628154 1074290072 /nfs/dbraw/zinc/29/00/72/1074290072.db2.gz SMTANPHVGGHERU-AVGNSLFASA-N 0 0 433.513 -0.132 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1nc(Cl)c(Cl)nc1CO ZINC001186628410 1074290062 /nfs/dbraw/zinc/29/00/62/1074290062.db2.gz VRFFOXUFNDWKAW-FXQIFTODSA-N 0 0 449.295 -0.252 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC[C@@H](C(F)(F)F)[C@H]1CO ZINC001186628417 1074290538 /nfs/dbraw/zinc/29/05/38/1074290538.db2.gz VSPWFMJDENQTGE-FFNLUWKOSA-N 0 0 438.447 -0.318 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc(S(C)(=O)=O)nc1C ZINC001186628534 1074290485 /nfs/dbraw/zinc/29/04/85/1074290485.db2.gz XRFIYXUTQOXAOR-SRVKXCTJSA-N 0 0 441.510 -0.734 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC2(CCn3c(C)cnc32)CC1 ZINC001186628555 1074290436 /nfs/dbraw/zinc/29/04/36/1074290436.db2.gz YJULAWWRUNWJAX-JYJNAYRXSA-N 0 0 446.552 -0.011 20 0 IBADRN CC[C@H]1N(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)CCC[C@]12CCC(=O)N2 ZINC001186628576 1074290458 /nfs/dbraw/zinc/29/04/58/1074290458.db2.gz YQZBMXAMEJFQQT-ATEWDTQMSA-N 0 0 437.541 -0.430 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC2CC1(C(=O)N1CCCC1)C2 ZINC001186628587 1074290377 /nfs/dbraw/zinc/29/03/77/1074290377.db2.gz YTTLLCDOWPBEML-FGVROICLSA-N 0 0 435.525 -0.866 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCO[C@@H](Cc2ccccc2)C1 ZINC001186629557 1074290449 /nfs/dbraw/zinc/29/04/49/1074290449.db2.gz AIRFGOCNVIGSRW-FPXQBCRKSA-N 0 0 432.521 -0.010 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCCN(c2cccnc2)CC1 ZINC001186629570 1074290462 /nfs/dbraw/zinc/29/04/62/1074290462.db2.gz AVANHXMFOFOHCQ-JYJNAYRXSA-N 0 0 432.525 -0.346 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCO[C@H](COc2ccccc2)C1 ZINC001186629586 1074290545 /nfs/dbraw/zinc/29/05/45/1074290545.db2.gz BSDGHZQLYKGFLJ-FPXQBCRKSA-N 0 0 448.520 -0.173 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCO[C@@H](COc2ccccc2)C1 ZINC001186629587 1074290422 /nfs/dbraw/zinc/29/04/22/1074290422.db2.gz BSDGHZQLYKGFLJ-IUVQAAGXSA-N 0 0 448.520 -0.173 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC(NC(=O)CC(C)C)CC1 ZINC001186629625 1074290397 /nfs/dbraw/zinc/29/03/97/1074290397.db2.gz DARZMVQOISYEGM-KKUMJFAQSA-N 0 0 439.557 -0.326 20 0 IBADRN COc1cc(N2CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)CC2)ccn1 ZINC001186630268 1074290405 /nfs/dbraw/zinc/29/04/05/1074290405.db2.gz FZMYNQUZJFAREC-KKUMJFAQSA-N 0 0 448.524 -0.727 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN(CCc2ccccn2)CC1 ZINC001186630291 1074290428 /nfs/dbraw/zinc/29/04/28/1074290428.db2.gz GFKWXGUCAXIINL-ULQDDVLXSA-N 0 0 446.552 -0.698 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCc2nc(C3CC3)ncc2C1 ZINC001186630309 1074290479 /nfs/dbraw/zinc/29/04/79/1074290479.db2.gz GOGWUISFAXAUQU-AVGNSLFASA-N 0 0 430.509 -0.227 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN2CCc3ccccc3[C@@H]2C1 ZINC001186630438 1074290491 /nfs/dbraw/zinc/29/04/91/1074290491.db2.gz HFBZWIRQYFICHY-ULMVMLMRSA-N 0 0 443.548 -0.038 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN2CCc3ccccc3[C@H]2C1 ZINC001186630451 1074290520 /nfs/dbraw/zinc/29/05/20/1074290520.db2.gz HFBZWIRQYFICHY-ZKNHNOBHSA-N 0 0 443.548 -0.038 20 0 IBADRN COC(=O)CN(Cc1ccccc1)C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186630519 1074290558 /nfs/dbraw/zinc/29/05/58/1074290558.db2.gz INQITNMDWRTSJO-KKUMJFAQSA-N 0 0 434.493 -0.278 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC2(CCNC(=O)O2)CC1 ZINC001186630795 1074290512 /nfs/dbraw/zinc/29/05/12/1074290512.db2.gz JILPIYDPPTWGMG-AVGNSLFASA-N 0 0 425.486 -0.989 20 0 IBADRN CSc1ncc2c(n1)CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)CC2 ZINC001186630898 1074290898 /nfs/dbraw/zinc/29/08/98/1074290898.db2.gz JKNUXRRANRHTFD-SRVKXCTJSA-N 0 0 436.538 -0.383 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186630906 1074290908 /nfs/dbraw/zinc/29/09/08/1074290908.db2.gz JOTJDPRCHSAZLM-WZTLGTBRSA-N 0 0 446.504 -0.353 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN(C(=O)C(C)(C)C)CC1 ZINC001186631336 1074290924 /nfs/dbraw/zinc/29/09/24/1074290924.db2.gz NANCFRAKZNUBIH-IHRRRGAJSA-N 0 0 425.530 -0.763 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001186631338 1074290888 /nfs/dbraw/zinc/29/08/88/1074290888.db2.gz NFTCYTQUENYJNX-DCAQKATOSA-N 0 0 436.431 -0.110 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC(N(C(C)=O)C(C)C)CC1 ZINC001186631354 1074290875 /nfs/dbraw/zinc/29/08/75/1074290875.db2.gz NVDFEOURBOIKBB-KKUMJFAQSA-N 0 0 439.557 -0.232 20 0 IBADRN COC(=O)CCN(Cc1ccco1)C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186631356 1074290882 /nfs/dbraw/zinc/29/08/82/1074290882.db2.gz NYDHXJAQUOTKMK-IHRRRGAJSA-N 0 0 438.481 -0.295 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC2(C1)CN(c1ccccc1)C2=O ZINC001186631359 1074290883 /nfs/dbraw/zinc/29/08/83/1074290883.db2.gz OAAUQLVDZJUGKM-KKUMJFAQSA-N 0 0 443.504 -0.604 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC(Oc2cnccn2)CC1 ZINC001186631361 1074290895 /nfs/dbraw/zinc/29/08/95/1074290895.db2.gz OCPVHAPWLAXPOR-IHRRRGAJSA-N 0 0 434.497 -0.620 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC(N2CCCOC2=O)CC1 ZINC001186631371 1074290904 /nfs/dbraw/zinc/29/09/04/1074290904.db2.gz OLVFGBKRGUEBJY-IHRRRGAJSA-N 0 0 439.513 -0.646 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@@]2(C1)CCCCN2C(C)=O ZINC001186631401 1074290913 /nfs/dbraw/zinc/29/09/13/1074290913.db2.gz PSVILFLISGOOHF-BOLFOIPXSA-N 0 0 437.541 -0.476 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@]2(C1)CCCCN2C(C)=O ZINC001186631402 1074290900 /nfs/dbraw/zinc/29/09/00/1074290900.db2.gz PSVILFLISGOOHF-ZYKFEASCSA-N 0 0 437.541 -0.476 20 0 IBADRN COC(=O)c1cccc2c1CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186631534 1074292996 /nfs/dbraw/zinc/29/29/96/1074292996.db2.gz RCTMRJHPAQUTDH-AVGNSLFASA-N 0 0 432.477 -0.151 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC[C@@H](Oc2ncccn2)C1 ZINC001186631574 1074294397 /nfs/dbraw/zinc/29/43/97/1074294397.db2.gz SWONRJZHTGJOTG-RZLSGREXSA-N 0 0 434.497 -0.620 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC[C@H](Oc2ncccn2)C1 ZINC001186631575 1074287887 /nfs/dbraw/zinc/28/78/87/1074287887.db2.gz SWONRJZHTGJOTG-YXWQFLTLSA-N 0 0 434.497 -0.620 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN(CCc2ccncc2)CC1 ZINC001186631594 1074288476 /nfs/dbraw/zinc/28/84/76/1074288476.db2.gz TYGHJMWLZVCYHV-ULQDDVLXSA-N 0 0 446.552 -0.698 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1C[C@@H](OCC2CC2)[C@H]2COC[C@H]21 ZINC001186631595 1074288407 /nfs/dbraw/zinc/28/84/07/1074288407.db2.gz TZNXRFNKHKSVNH-SJXRTXMASA-N 0 0 438.525 -0.827 20 0 IBADRN COC(=O)c1ccc(C2CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2)cc1 ZINC001186631598 1074288530 /nfs/dbraw/zinc/28/85/30/1074288530.db2.gz UEDMRPRAEROHKU-IHRRRGAJSA-N 0 0 446.504 -0.067 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCc2[nH]nc(C(F)(F)F)c2C1 ZINC001186631732 1074288925 /nfs/dbraw/zinc/28/89/25/1074288925.db2.gz VQCJNPQIVMVIPB-GUBZILKMSA-N 0 0 446.430 -0.153 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC[C@H](Oc2cc(C)ncn2)C1 ZINC001186631749 1074288952 /nfs/dbraw/zinc/28/89/52/1074288952.db2.gz VZIBVTIVKSHBGG-JKQORVJESA-N 0 0 448.524 -0.311 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC[C@@H](Oc2cc(C)ncn2)C1 ZINC001186631750 1074288939 /nfs/dbraw/zinc/28/89/39/1074288939.db2.gz VZIBVTIVKSHBGG-QBYUYEEZSA-N 0 0 448.524 -0.311 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCOC[C@H]1Cc1ccccc1 ZINC001186631892 1074297045 /nfs/dbraw/zinc/29/70/45/1074297045.db2.gz XTHMCPCBNUQAIT-IUVQAAGXSA-N 0 0 432.521 -0.010 20 0 IBADRN COc1cccnc1N1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)CC1 ZINC001186631953 1074297087 /nfs/dbraw/zinc/29/70/87/1074297087.db2.gz YMESVTDFOHHLTM-KKUMJFAQSA-N 0 0 448.524 -0.727 20 0 IBADRN CCOC(=O)c1coc2c1CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186631955 1074297003 /nfs/dbraw/zinc/29/70/03/1074297003.db2.gz YQFBNRYOCDIAFZ-SRVKXCTJSA-N 0 0 436.465 -0.168 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN(c2cc(C)ccn2)CC1 ZINC001186631956 1074297426 /nfs/dbraw/zinc/29/74/26/1074297426.db2.gz YRDAGLYVHQQHMJ-JYJNAYRXSA-N 0 0 432.525 -0.427 20 0 IBADRN CCc1noc([C@H]2CCCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2)n1 ZINC001186631965 1074297381 /nfs/dbraw/zinc/29/73/81/1074297381.db2.gz ZAVUIYMVZITBAR-ABHRYQDASA-N 0 0 436.513 -0.128 20 0 IBADRN CCc1noc([C@@H]2CCCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2)n1 ZINC001186631966 1074297391 /nfs/dbraw/zinc/29/73/91/1074297391.db2.gz ZAVUIYMVZITBAR-PWNZVWSESA-N 0 0 436.513 -0.128 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@H](Oc2ccncc2C)C1 ZINC001186634278 1074297523 /nfs/dbraw/zinc/29/75/23/1074297523.db2.gz ACVKBVWTBWLTEP-JKQORVJESA-N 0 0 433.509 -0.096 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@@H](Oc2ccncc2C)C1 ZINC001186634288 1074297511 /nfs/dbraw/zinc/29/75/11/1074297511.db2.gz ACVKBVWTBWLTEP-QBYUYEEZSA-N 0 0 433.509 -0.096 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@H](Oc2ncccc2C)C1 ZINC001186634293 1074297398 /nfs/dbraw/zinc/29/73/98/1074297398.db2.gz AEVCCMUTAZNREG-JKQORVJESA-N 0 0 433.509 -0.096 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@@H](Oc2ncccc2C)C1 ZINC001186634294 1074297496 /nfs/dbraw/zinc/29/74/96/1074297496.db2.gz AEVCCMUTAZNREG-QBYUYEEZSA-N 0 0 433.509 -0.096 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCN(C(=O)OC(C)(C)C)C1 ZINC001186634296 1074297557 /nfs/dbraw/zinc/29/75/57/1074297557.db2.gz AGOAWOFSLWNETJ-AVGNSLFASA-N 0 0 427.502 -0.443 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)n1oc(=O)nc1-c1ccc(N)cc1 ZINC001186634387 1074297454 /nfs/dbraw/zinc/29/74/54/1074297454.db2.gz BDNJLHUCUCUBQZ-DCAQKATOSA-N 0 0 432.437 -0.740 20 0 IBADRN COc1cc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)cc(-n2cncn2)c1 ZINC001186634394 1074297531 /nfs/dbraw/zinc/29/75/31/1074297531.db2.gz BMBWAGHRSCCEAI-AVGNSLFASA-N 0 0 445.480 -0.252 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc2c(cnn2CCO)c1 ZINC001186634416 1074297504 /nfs/dbraw/zinc/29/75/04/1074297504.db2.gz CDGHOFBAOKOLBU-AVGNSLFASA-N 0 0 432.481 -0.499 20 0 IBADRN COc1cc2nc[nH]c(=O)c2cc1NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186634423 1074297417 /nfs/dbraw/zinc/29/74/17/1074297417.db2.gz CNBPVXKNYXEZKL-DCAQKATOSA-N 0 0 446.464 -0.184 20 0 IBADRN COC(=O)c1cnc2c(c1)CN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)CC2 ZINC001186634513 1074297562 /nfs/dbraw/zinc/29/75/62/1074297562.db2.gz DVDLEQIXCMZLNJ-AVGNSLFASA-N 0 0 447.492 -0.713 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cccc(-c2nn[nH]n2)c1 ZINC001186634629 1074297544 /nfs/dbraw/zinc/29/75/44/1074297544.db2.gz BWCJFKDNMXGVQE-SRVKXCTJSA-N 0 0 430.469 -0.983 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cc(OC2COC2)ccn1 ZINC001186634675 1074297439 /nfs/dbraw/zinc/29/74/39/1074297439.db2.gz CVXWRDICRBQDCP-AVGNSLFASA-N 0 0 435.481 -0.991 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCCc1nc(-c2ccccc2)no1 ZINC001186634728 1074297521 /nfs/dbraw/zinc/29/75/21/1074297521.db2.gz FETKWUQBNZMFFE-IHRRRGAJSA-N 0 0 444.492 -0.071 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCCNC(=O)c1ccc(F)cc1 ZINC001186634732 1074297488 /nfs/dbraw/zinc/29/74/88/1074297488.db2.gz FJOABZYXVJANOZ-AVGNSLFASA-N 0 0 437.472 -0.794 20 0 IBADRN CCOC(=O)Cc1ccc(CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)cc1 ZINC001186634748 1074297880 /nfs/dbraw/zinc/29/78/80/1074297880.db2.gz FUVMQYFETXJNAK-KKUMJFAQSA-N 0 0 448.520 -0.058 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc2c(c1)CNC(=O)N2C ZINC001186634948 1074297537 /nfs/dbraw/zinc/29/75/37/1074297537.db2.gz FHCRCTYGNTYUQN-SRVKXCTJSA-N 0 0 432.481 -0.182 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N(c1cccc(N)c1)S(C)(=O)=O ZINC001186634970 1074297865 /nfs/dbraw/zinc/29/78/65/1074297865.db2.gz FPDTZVYAOYVYFQ-SRVKXCTJSA-N 0 0 441.510 -0.905 20 0 IBADRN CCOC(=O)c1cccc(CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)n1 ZINC001186634975 1074297846 /nfs/dbraw/zinc/29/78/46/1074297846.db2.gz FYGXDGDVZSMNQU-AVGNSLFASA-N 0 0 435.481 -0.592 20 0 IBADRN CCCCOC(=O)[C@@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C1 ZINC001186634987 1074297782 /nfs/dbraw/zinc/29/77/82/1074297782.db2.gz FWCJNPAHEOQAOO-RZLSGREXSA-N 0 0 426.514 -0.288 20 0 IBADRN CCCCOC(=O)[C@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C1 ZINC001186634990 1074297801 /nfs/dbraw/zinc/29/78/01/1074297801.db2.gz FWCJNPAHEOQAOO-YXWQFLTLSA-N 0 0 426.514 -0.288 20 0 IBADRN CCOC(=O)C[C@@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C[C@@H]1C ZINC001186635168 1074297858 /nfs/dbraw/zinc/29/78/58/1074297858.db2.gz IBJMTQDKFFRFQN-BWJWTDLKSA-N 0 0 440.541 -0.042 20 0 IBADRN CCOC(=O)C[C@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C[C@H]1C ZINC001186635171 1074297779 /nfs/dbraw/zinc/29/77/79/1074297779.db2.gz IBJMTQDKFFRFQN-JLHDYFKBSA-N 0 0 440.541 -0.042 20 0 IBADRN CCOC(=O)C[C@@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C[C@H]1C ZINC001186635172 1074297890 /nfs/dbraw/zinc/29/78/90/1074297890.db2.gz IBJMTQDKFFRFQN-MDLJMBGESA-N 0 0 440.541 -0.042 20 0 IBADRN CCOC(=O)C[C@H]1CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C[C@@H]1C ZINC001186635174 1074297788 /nfs/dbraw/zinc/29/77/88/1074297788.db2.gz IBJMTQDKFFRFQN-WNZSCWOMSA-N 0 0 440.541 -0.042 20 0 IBADRN COC(=O)c1n[nH]c2cccc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c21 ZINC001186635178 1074297815 /nfs/dbraw/zinc/29/78/15/1074297815.db2.gz IITZERDMVSMSPO-DCAQKATOSA-N 0 0 446.464 -0.178 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc(N2CCNC(=O)C2)cc1 ZINC001186635201 1074297874 /nfs/dbraw/zinc/29/78/74/1074297874.db2.gz IVOBNWJCLWUDRG-IHRRRGAJSA-N 0 0 446.508 -0.905 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)cc2n[nH]cc21 ZINC001186635298 1074297761 /nfs/dbraw/zinc/29/77/61/1074297761.db2.gz JRILZJNYVVQFNZ-DCAQKATOSA-N 0 0 446.464 -0.178 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc(C[C@H]2COC(=O)N2)cc1 ZINC001186635327 1074297898 /nfs/dbraw/zinc/29/78/98/1074297898.db2.gz JTSLMQSDLJJKQM-MRHIQRDNSA-N 0 0 447.492 -0.190 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCCC(=O)Nc1ccc(F)cc1 ZINC001186635685 1074297852 /nfs/dbraw/zinc/29/78/52/1074297852.db2.gz KXNPUDDDOHWBFY-AVGNSLFASA-N 0 0 437.472 -0.196 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccccc1CN1CCOCC1 ZINC001186635734 1074297821 /nfs/dbraw/zinc/29/78/21/1074297821.db2.gz LDBLOOIBEODHOE-JYJNAYRXSA-N 0 0 447.536 -0.009 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1nn(C)cc1Br ZINC001186635768 1074297806 /nfs/dbraw/zinc/29/78/06/1074297806.db2.gz MDCPIUHJMTWGTF-GUBZILKMSA-N 0 0 445.318 -0.667 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc(Cn2cncn2)cc1 ZINC001186635865 1074298264 /nfs/dbraw/zinc/29/82/64/1074298264.db2.gz MVMIYADRNQLNOJ-IHRRRGAJSA-N 0 0 429.481 -0.201 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](NC(=O)NCC2CC2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001186635880 1074298321 /nfs/dbraw/zinc/29/83/21/1074298321.db2.gz VVXGOXSOXYQYHL-DUQPFJRNSA-N 0 0 444.437 -0.221 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186635884 1074298346 /nfs/dbraw/zinc/29/83/46/1074298346.db2.gz MOHREFGOHLUXGM-AYMQEEERSA-N 0 0 449.508 -0.794 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccn1)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186635885 1074298327 /nfs/dbraw/zinc/29/83/27/1074298327.db2.gz MOHREFGOHLUXGM-WSMBLCCSSA-N 0 0 449.508 -0.794 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1ccc(-c2ncon2)cc1 ZINC001186635928 1074298311 /nfs/dbraw/zinc/29/83/11/1074298311.db2.gz NCUUIOXXMZMPLG-AVGNSLFASA-N 0 0 430.465 -0.113 20 0 IBADRN COC(=O)Cc1ccc(O)c(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1 ZINC001186635945 1074298319 /nfs/dbraw/zinc/29/83/19/1074298319.db2.gz NWSVTIBEDAZWSX-SRVKXCTJSA-N 0 0 436.465 -0.420 20 0 IBADRN COc1cc(CCNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)cc(OC)c1 ZINC001186636026 1074298333 /nfs/dbraw/zinc/29/83/33/1074298333.db2.gz NSJNKWXTISJCDA-IHRRRGAJSA-N 0 0 436.509 -0.104 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCC(=O)N1CCc2ccccc21 ZINC001186636277 1074298330 /nfs/dbraw/zinc/29/83/30/1074298330.db2.gz PIFJWNOYYFGBLR-IHRRRGAJSA-N 0 0 431.493 -0.774 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001186636292 1074298297 /nfs/dbraw/zinc/29/82/97/1074298297.db2.gz ORUDNHWWYJEROU-RZLSGREXSA-N 0 0 445.511 -0.148 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001186636303 1074298337 /nfs/dbraw/zinc/29/83/37/1074298337.db2.gz ORUDNHWWYJEROU-YXWQFLTLSA-N 0 0 445.511 -0.148 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cccnc1N1CCCC1 ZINC001186636317 1074298294 /nfs/dbraw/zinc/29/82/94/1074298294.db2.gz QCUOBHFLMWCFSI-KKUMJFAQSA-N 0 0 432.525 -0.168 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NC1CCC2(CCC(=O)N2C)CC1 ZINC001186636325 1074298342 /nfs/dbraw/zinc/29/83/42/1074298342.db2.gz QEIGCOOQWATELG-FGVROICLSA-N 0 0 437.541 -0.430 20 0 IBADRN COC(=O)c1csc(CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)n1 ZINC001186636427 1074298282 /nfs/dbraw/zinc/29/82/82/1074298282.db2.gz QYFDZSRWUZORSL-GUBZILKMSA-N 0 0 427.483 -0.920 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc(C(N)=O)c(Cl)c1 ZINC001186636463 1074298256 /nfs/dbraw/zinc/29/82/56/1074298256.db2.gz QAZLTVGQNXYAKY-GUBZILKMSA-N 0 0 425.873 -0.089 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCCSCc1[nH]cnc1C ZINC001186636488 1074298275 /nfs/dbraw/zinc/29/82/75/1074298275.db2.gz RMWSJQZJUKUEJU-AVGNSLFASA-N 0 0 426.543 -0.398 20 0 IBADRN CCn1nnc(-c2ccccc2NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)n1 ZINC001186636509 1074298325 /nfs/dbraw/zinc/29/83/25/1074298325.db2.gz RSVHZWOZAJEJDH-AVGNSLFASA-N 0 0 444.496 -0.168 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1cccnc1 ZINC001186636524 1074298317 /nfs/dbraw/zinc/29/83/17/1074298317.db2.gz RUCLEMOMBUBRGG-QCQGSNGOSA-N 0 0 435.481 -0.664 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1cccnc1 ZINC001186636531 1074298286 /nfs/dbraw/zinc/29/82/86/1074298286.db2.gz RUCLEMOMBUBRGG-WFGGJUAMSA-N 0 0 435.481 -0.664 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@]12C[C@H]1CN(C(=O)c1ccco1)C2 ZINC001186636747 1074298307 /nfs/dbraw/zinc/29/83/07/1074298307.db2.gz TZHRYDWTCRGDME-FXXGHDDFSA-N 0 0 447.492 -0.856 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1ccc(O)cc1 ZINC001186636767 1074298687 /nfs/dbraw/zinc/29/86/87/1074298687.db2.gz UOIQQOIXPPYXST-CENBSLRLSA-N 0 0 436.465 -0.744 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1ccnc(-c2cnn(C)c2)c1 ZINC001186636775 1074298625 /nfs/dbraw/zinc/29/86/25/1074298625.db2.gz UZJKFFSUDFUCQP-IHRRRGAJSA-N 0 0 443.508 -0.368 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCCC(=O)Nc1nccs1 ZINC001186636788 1074298728 /nfs/dbraw/zinc/29/87/28/1074298728.db2.gz VMSSXDFFCPIXHF-DCAQKATOSA-N 0 0 426.499 -0.878 20 0 IBADRN COCCOc1ccc(CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)cn1 ZINC001186636796 1074298643 /nfs/dbraw/zinc/29/86/43/1074298643.db2.gz VSUAUESWHWPHMI-IHRRRGAJSA-N 0 0 437.497 -0.743 20 0 IBADRN COc1cc(O[C@@H]2CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2)ccn1 ZINC001186636832 1074298733 /nfs/dbraw/zinc/29/87/33/1074298733.db2.gz TTZGXTJJPWJQOU-AYMQEEERSA-N 0 0 449.508 -0.396 20 0 IBADRN COc1cc(O[C@H]2CCN(C(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2)ccn1 ZINC001186636833 1074298668 /nfs/dbraw/zinc/29/86/68/1074298668.db2.gz TTZGXTJJPWJQOU-WSMBLCCSSA-N 0 0 449.508 -0.396 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccccc1CC(=O)N(C)C ZINC001186636839 1074298649 /nfs/dbraw/zinc/29/86/49/1074298649.db2.gz TXMHOZJSJUOJNE-IHRRRGAJSA-N 0 0 433.509 -0.210 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc2c(c1)CCN(C)C2=O ZINC001186636864 1074298608 /nfs/dbraw/zinc/29/86/08/1074298608.db2.gz UPTGIZQIXCVFKM-AVGNSLFASA-N 0 0 431.493 -0.213 20 0 IBADRN COC(=O)c1c2n[nH]cc2ccc1NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186636918 1074298715 /nfs/dbraw/zinc/29/87/15/1074298715.db2.gz WSQFHERTMORJTE-DCAQKATOSA-N 0 0 446.464 -0.178 20 0 IBADRN CCOc1cc(C(N)=O)ccc1NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186636919 1074298618 /nfs/dbraw/zinc/29/86/18/1074298618.db2.gz WURDFTKPXFBIDS-SRVKXCTJSA-N 0 0 435.481 -0.343 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccc2c(c1)S(=O)(=O)C=C2 ZINC001186637132 1074298679 /nfs/dbraw/zinc/29/86/79/1074298679.db2.gz XWWCVRKGLBMUGA-SRVKXCTJSA-N 0 0 436.490 -0.083 20 0 IBADRN COC(=O)c1cc(OC)ccc1NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186637275 1074298691 /nfs/dbraw/zinc/29/86/91/1074298691.db2.gz ZUVZRGXFHBDJMW-SRVKXCTJSA-N 0 0 436.465 -0.046 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cccc2c1C(=O)N=NC2=O ZINC001186637277 1074298633 /nfs/dbraw/zinc/29/86/33/1074298633.db2.gz ZXXCLXKCDUWVKI-GUBZILKMSA-N 0 0 430.421 -0.095 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cc(F)cc2c1OCOC2 ZINC001186637361 1074298597 /nfs/dbraw/zinc/29/85/97/1074298597.db2.gz YRMWYLQMEUHPHV-SRVKXCTJSA-N 0 0 438.456 -0.158 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ncc(Br)[nH]c1=O ZINC001186637450 1074299116 /nfs/dbraw/zinc/29/91/16/1074299116.db2.gz ZSYXQABBCJELDJ-FXQIFTODSA-N 0 0 445.274 -0.995 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCCc1nc(-c2ccccc2)n[nH]1 ZINC001186637453 1074299167 /nfs/dbraw/zinc/29/91/67/1074299167.db2.gz ZZFBCSAXIODZSO-IHRRRGAJSA-N 0 0 443.508 -0.336 20 0 IBADRN COC(=O)C[C@H](NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1ccccn1 ZINC001186638522 1074299149 /nfs/dbraw/zinc/29/91/49/1074299149.db2.gz BAHUDRHZEQSSIA-QCQGSNGOSA-N 0 0 435.481 -0.664 20 0 IBADRN COC(=O)C[C@@H](NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1ccccn1 ZINC001186638523 1074299144 /nfs/dbraw/zinc/29/91/44/1074299144.db2.gz BAHUDRHZEQSSIA-WFGGJUAMSA-N 0 0 435.481 -0.664 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCCc1ccc(C(=O)N(C)C)cc1 ZINC001186638537 1074299135 /nfs/dbraw/zinc/29/91/35/1074299135.db2.gz BQZMGRATZFACOQ-KKUMJFAQSA-N 0 0 447.536 -0.419 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCCNC(=O)c1cccs1 ZINC001186638539 1074299161 /nfs/dbraw/zinc/29/91/61/1074299161.db2.gz BXTGLAFIEUKTTD-SRVKXCTJSA-N 0 0 425.511 -0.872 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](CN1CCOCC1)C(C)(C)C ZINC001186638549 1074299152 /nfs/dbraw/zinc/29/91/52/1074299152.db2.gz CKAHSCGEAKRVNT-JKQORVJESA-N 0 0 441.573 -0.617 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@H](CN1CCOCC1)C(C)(C)C ZINC001186638550 1074299162 /nfs/dbraw/zinc/29/91/62/1074299162.db2.gz CKAHSCGEAKRVNT-QBYUYEEZSA-N 0 0 441.573 -0.617 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCC(=O)NCc1ccc(F)cc1 ZINC001186638567 1074299111 /nfs/dbraw/zinc/29/91/11/1074299111.db2.gz DIUAZAKVPSIALB-AVGNSLFASA-N 0 0 437.472 -0.908 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCC1CCN(c2ncccn2)CC1 ZINC001186638571 1074299139 /nfs/dbraw/zinc/29/91/39/1074299139.db2.gz DJRGEPHLMPVCOW-KKUMJFAQSA-N 0 0 447.540 -0.657 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCC1CCN(c2cnccn2)CC1 ZINC001186638575 1074299129 /nfs/dbraw/zinc/29/91/29/1074299129.db2.gz DLPAQRVGXTWFOP-KKUMJFAQSA-N 0 0 447.540 -0.657 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCC1(c2ccncc2)CCOCC1 ZINC001186638576 1074299074 /nfs/dbraw/zinc/29/90/74/1074299074.db2.gz DLWJOCLVRYDJNE-JYJNAYRXSA-N 0 0 447.536 -0.220 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1ccccc1S(C)(=O)=O ZINC001186638586 1074299079 /nfs/dbraw/zinc/29/90/79/1074299079.db2.gz DVGIZEDRNDJYQJ-AVGNSLFASA-N 0 0 440.522 -0.760 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@H]1CCC(=O)N[C@H]1c1ccccc1 ZINC001186638706 1074299102 /nfs/dbraw/zinc/29/91/02/1074299102.db2.gz FPVIZVNUAOZJSA-SVZGUOJNSA-N 0 0 445.520 -0.344 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCC[C@@H]1COc2ccccc2O1 ZINC001186638842 1074299083 /nfs/dbraw/zinc/29/90/83/1074299083.db2.gz HGJKNIXHPLAFKE-RZLSGREXSA-N 0 0 434.493 -0.133 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCC[C@H]1COc2ccccc2O1 ZINC001186638843 1074299158 /nfs/dbraw/zinc/29/91/58/1074299158.db2.gz HGJKNIXHPLAFKE-YXWQFLTLSA-N 0 0 434.493 -0.133 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cnn(CC(F)(F)F)c1 ZINC001186638861 1074299108 /nfs/dbraw/zinc/29/91/08/1074299108.db2.gz HRJNDLOXRNHSOL-DCAQKATOSA-N 0 0 434.419 -0.404 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cn(Cc2ccncc2)cn1 ZINC001186638871 1074299414 /nfs/dbraw/zinc/29/94/14/1074299414.db2.gz IAIVJGOWQHRZKU-KKUMJFAQSA-N 0 0 443.508 -0.523 20 0 IBADRN COc1ccc2c(c1)OC[C@@H](CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186638895 1074299438 /nfs/dbraw/zinc/29/94/38/1074299438.db2.gz IXDGAMQJHBITNJ-RZLSGREXSA-N 0 0 448.520 -0.104 20 0 IBADRN COc1ccc2c(c1)OC[C@H](CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186638896 1074299488 /nfs/dbraw/zinc/29/94/88/1074299488.db2.gz IXDGAMQJHBITNJ-YXWQFLTLSA-N 0 0 448.520 -0.104 20 0 IBADRN CCc1nnc2ccc(CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)cn21 ZINC001186638897 1074299451 /nfs/dbraw/zinc/29/94/51/1074299451.db2.gz IXTVKMKSPCWQRS-AVGNSLFASA-N 0 0 431.497 -0.558 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cc(-c2ccncc2)n[nH]1 ZINC001186638904 1074299366 /nfs/dbraw/zinc/29/93/66/1074299366.db2.gz JGEVUAXAAFOAJJ-AVGNSLFASA-N 0 0 429.481 -0.378 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NC[C@H]1CN(c2ccccc2)CCO1 ZINC001186638925 1074299403 /nfs/dbraw/zinc/29/94/03/1074299403.db2.gz KIVSQOMPKVXBGO-FPXQBCRKSA-N 0 0 447.536 -0.458 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NC[C@@H]1CN(c2ccccc2)CCO1 ZINC001186638926 1074299428 /nfs/dbraw/zinc/29/94/28/1074299428.db2.gz KIVSQOMPKVXBGO-IUVQAAGXSA-N 0 0 447.536 -0.458 20 0 IBADRN COC(=O)[C@@](C)(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C(F)(F)F ZINC001186638954 1074299470 /nfs/dbraw/zinc/29/94/70/1074299470.db2.gz LHNSXZSAFBYCPQ-GKINPVFPSA-N 0 0 426.392 -0.869 20 0 IBADRN COC(=O)[C@](C)(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C(F)(F)F ZINC001186638955 1074299388 /nfs/dbraw/zinc/29/93/88/1074299388.db2.gz LHNSXZSAFBYCPQ-MLPKBAJKSA-N 0 0 426.392 -0.869 20 0 IBADRN COCC1(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)Cc2ccccc2C1 ZINC001186638961 1074299457 /nfs/dbraw/zinc/29/94/57/1074299457.db2.gz LPXIPESUXPUDGS-KKUMJFAQSA-N 0 0 432.521 -0.179 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cc(OCC2CC2)ncn1 ZINC001186638975 1074299398 /nfs/dbraw/zinc/29/93/98/1074299398.db2.gz MAEQHXARBVAWQW-AVGNSLFASA-N 0 0 434.497 -0.584 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1cn(CC(F)(F)F)cn1 ZINC001186638977 1074299375 /nfs/dbraw/zinc/29/93/75/1074299375.db2.gz MDHWNOPVSCZLFG-DCAQKATOSA-N 0 0 434.419 -0.404 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@H]1CC[C@H](NC(=O)C(C)C)CC1 ZINC001186638988 1074299480 /nfs/dbraw/zinc/29/94/80/1074299480.db2.gz MMXFTQQXIYTVOV-JBJRXJHCSA-N 0 0 439.557 -0.280 20 0 IBADRN COC(=O)[C@@](C)(Cc1ccccc1)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186639050 1074299494 /nfs/dbraw/zinc/29/94/94/1074299494.db2.gz OMHJMZCRSSTZGP-GVRJUGSUSA-N 0 0 448.520 -0.189 20 0 IBADRN COC(=O)[C@](C)(Cc1ccccc1)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186639051 1074299475 /nfs/dbraw/zinc/29/94/75/1074299475.db2.gz OMHJMZCRSSTZGP-TVYYEHBDSA-N 0 0 448.520 -0.189 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1ccc(-n2cccn2)nc1 ZINC001186639062 1074299381 /nfs/dbraw/zinc/29/93/81/1074299381.db2.gz OSJZBBVOQXYEAM-IHRRRGAJSA-N 0 0 429.481 -0.583 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1ccc(-n2ccnn2)cc1 ZINC001186639068 1074299463 /nfs/dbraw/zinc/29/94/63/1074299463.db2.gz OZJZUWWXEFVYMA-IHRRRGAJSA-N 0 0 429.481 -0.583 20 0 IBADRN COC[C@H](NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)[C@@H](O)c1ccccc1 ZINC001186639077 1074299357 /nfs/dbraw/zinc/29/93/57/1074299357.db2.gz PDOBHWUKYXDFTE-LYHUEXMXSA-N 0 0 436.509 -0.615 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NC(C)(C)CC(=O)N1CCCC1 ZINC001186639090 1074299446 /nfs/dbraw/zinc/29/94/46/1074299446.db2.gz PTZVCYAQEJRDGE-IHRRRGAJSA-N 0 0 425.530 -0.572 20 0 IBADRN COC(=O)[C@H]1C2CCC(CC2)[C@@H]1NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O ZINC001186639110 1074299851 /nfs/dbraw/zinc/29/98/51/1074299851.db2.gz QIMIJQIAKHLVTQ-CNQQAUPUSA-N 0 0 438.525 -0.386 20 0 IBADRN COc1cc2c(cc1OC)[C@H](CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186639141 1074299908 /nfs/dbraw/zinc/29/99/08/1074299908.db2.gz RRLJRKCQTLXQNZ-QCQGSNGOSA-N 0 0 448.520 -0.006 20 0 IBADRN COc1cc2c(cc1OC)[C@@H](CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)C2 ZINC001186639142 1074299887 /nfs/dbraw/zinc/29/98/87/1074299887.db2.gz RRLJRKCQTLXQNZ-WFGGJUAMSA-N 0 0 448.520 -0.006 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1ccc(C2OCCO2)cc1 ZINC001186639161 1074299944 /nfs/dbraw/zinc/29/99/44/1074299944.db2.gz SCWWURYFZRJESX-IHRRRGAJSA-N 0 0 434.493 -0.118 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NC(=N)c1cnc2ccc(F)cn21 ZINC001186639190 1074299823 /nfs/dbraw/zinc/29/98/23/1074299823.db2.gz TXTZLAXZLWHNBH-DCAQKATOSA-N 0 0 433.444 -0.551 20 0 IBADRN COc1cc(CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)cc(OC)c1O ZINC001186639305 1074299860 /nfs/dbraw/zinc/29/98/60/1074299860.db2.gz UXHIRVMHODYUGW-SRVKXCTJSA-N 0 0 438.481 -0.440 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@H]1CCN(Cc2cccnc2)C1 ZINC001186639328 1074299792 /nfs/dbraw/zinc/29/97/92/1074299792.db2.gz VTUPNKMWZGUZGE-XSWJXKHESA-N 0 0 432.525 -0.694 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1cnn(-c2ccccc2)c(=O)c1 ZINC001186639344 1074299842 /nfs/dbraw/zinc/29/98/42/1074299842.db2.gz WPCQMEUGXPQSLF-IHRRRGAJSA-N 0 0 442.476 -0.295 20 0 IBADRN CCOC(=O)[C@@H](CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1ccccc1 ZINC001186639356 1074299879 /nfs/dbraw/zinc/29/98/79/1074299879.db2.gz XBJKDUYFWIKTBA-XSWJXKHESA-N 0 0 448.520 -0.017 20 0 IBADRN CCOC(=O)[C@H](CNC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1ccccc1 ZINC001186639357 1074299929 /nfs/dbraw/zinc/29/99/29/1074299929.db2.gz XBJKDUYFWIKTBA-YRBFXIGRSA-N 0 0 448.520 -0.017 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NCc1ccn(CC(F)(F)F)n1 ZINC001186639364 1074299915 /nfs/dbraw/zinc/29/99/15/1074299915.db2.gz XNJSHAGRRBZBSN-DCAQKATOSA-N 0 0 434.419 -0.404 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)NC1(Cc2ccccn2)CCOCC1 ZINC001186639375 1074299832 /nfs/dbraw/zinc/29/98/32/1074299832.db2.gz YEVURRSVCMLLOL-JYJNAYRXSA-N 0 0 447.536 -0.177 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)Nc1ccn(CC(F)(F)F)c(=O)c1 ZINC001186639394 1074299951 /nfs/dbraw/zinc/29/99/51/1074299951.db2.gz YSLXVDXKBDDBND-DCAQKATOSA-N 0 0 447.414 -0.117 20 0 IBADRN Cc1noc(NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)c1C(N)=O ZINC001186640730 1074299959 /nfs/dbraw/zinc/29/99/59/1074299959.db2.gz CCMHMINSXSRRGD-VHSXEESVSA-N 0 0 440.457 -0.316 20 0 IBADRN CNC(=O)C1CCN(C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)CC1 ZINC001186640735 1074299901 /nfs/dbraw/zinc/29/99/01/1074299901.db2.gz DMMHRGGIOSCDPN-GXTWGEPZSA-N 0 0 441.529 -0.366 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC(N2CC(F)C2)C1)C(N)=O ZINC001186640744 1074299935 /nfs/dbraw/zinc/29/99/35/1074299935.db2.gz DQNZYQKIUAFFRT-SMDDNHRTSA-N 0 0 429.493 -0.486 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1C[C@H](F)C[C@H](CO)C1)C(N)=O ZINC001186640804 1074299866 /nfs/dbraw/zinc/29/98/66/1074299866.db2.gz DBNOQOHLKGKJDP-IGQOVBAYSA-N 0 0 432.493 -0.171 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1C[C@H](F)C[C@@H](CO)C1)C(N)=O ZINC001186640806 1074299920 /nfs/dbraw/zinc/29/99/20/1074299920.db2.gz DBNOQOHLKGKJDP-REWJHTLYSA-N 0 0 432.493 -0.171 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1C[C@H](CO)C[C@H](F)C1)C(N)=O ZINC001186640808 1074299894 /nfs/dbraw/zinc/29/98/94/1074299894.db2.gz DBNOQOHLKGKJDP-RFQIPJPRSA-N 0 0 432.493 -0.171 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1C[C@@H](F)C[C@H](CO)C1)C(N)=O ZINC001186640810 1074300210 /nfs/dbraw/zinc/30/02/10/1074300210.db2.gz DBNOQOHLKGKJDP-XDQVBPFNSA-N 0 0 432.493 -0.171 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1ncnn2cccc12)C(N)=O ZINC001186641149 1074300123 /nfs/dbraw/zinc/30/01/23/1074300123.db2.gz GISAHRIIIFRLLM-NWDGAFQWSA-N 0 0 433.469 -0.247 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1nccnc1C(N)=O)C(N)=O ZINC001186641218 1074300167 /nfs/dbraw/zinc/30/01/67/1074300167.db2.gz HJCITUUYNPTHNB-VHSXEESVSA-N 0 0 437.457 -0.823 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1C[C@H]2CN(C)C(=O)[C@H]2C1)C(N)=O ZINC001186641237 1074300274 /nfs/dbraw/zinc/30/02/74/1074300274.db2.gz HVKYPFWSIGVHMP-RFQIPJPRSA-N 0 0 439.513 -0.804 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1[nH]nc2nccnc21)C(N)=O ZINC001186641246 1074300303 /nfs/dbraw/zinc/30/03/03/1074300303.db2.gz HZHFMDYSEBRIOC-VHSXEESVSA-N 0 0 434.457 -0.045 20 0 IBADRN Cc1cnn(CCO)c1NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186641248 1074300149 /nfs/dbraw/zinc/30/01/49/1074300149.db2.gz HZIFOPNFKNQYKI-QWHCGFSZSA-N 0 0 440.501 -0.214 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N(C[C@@H](O)CO)C(C)(C)C)C(N)=O ZINC001186641628 1074300222 /nfs/dbraw/zinc/30/02/22/1074300222.db2.gz JLAWUVKZBZJFKY-BFHYXJOUSA-N 0 0 446.545 -0.370 20 0 IBADRN CCN=c1ncc(N)cn1C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186641834 1074300131 /nfs/dbraw/zinc/30/01/31/1074300131.db2.gz LABNLQUEITYFNU-WCQYABFASA-N 0 0 437.501 -0.310 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@@H](O)[C@](C)(CO)C1)C(N)=O ZINC001186641855 1074300177 /nfs/dbraw/zinc/30/01/77/1074300177.db2.gz LFRVYTUDCFJMRV-BSGIZYOKSA-N 0 0 444.529 -0.758 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@@H](O)[C@@](C)(CO)C1)C(N)=O ZINC001186641856 1074300114 /nfs/dbraw/zinc/30/01/14/1074300114.db2.gz LFRVYTUDCFJMRV-DIMHRPKISA-N 0 0 444.529 -0.758 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@H](O)[C@@](C)(CO)C1)C(N)=O ZINC001186641859 1074300237 /nfs/dbraw/zinc/30/02/37/1074300237.db2.gz LFRVYTUDCFJMRV-PCRRDVQSSA-N 0 0 444.529 -0.758 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@H](O)[C@](C)(CO)C1)C(N)=O ZINC001186641861 1074300266 /nfs/dbraw/zinc/30/02/66/1074300266.db2.gz LFRVYTUDCFJMRV-PSUSHLJKSA-N 0 0 444.529 -0.758 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1ncnc2c1cnn2C)C(N)=O ZINC001186641863 1074300291 /nfs/dbraw/zinc/30/02/91/1074300291.db2.gz LGTZPUCAKWOGCM-CMPLNLGQSA-N 0 0 448.484 -0.035 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CCNCC(F)(F)C1)C(N)=O ZINC001186641875 1074300137 /nfs/dbraw/zinc/30/01/37/1074300137.db2.gz LOGKTTLNARLNQX-NWDGAFQWSA-N 0 0 435.472 -0.283 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C1 ZINC001186641895 1074300281 /nfs/dbraw/zinc/30/02/81/1074300281.db2.gz LTSRFHQNZVYCBM-AVCJSFLBSA-N 0 0 446.476 -0.237 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C1 ZINC001186641898 1074300186 /nfs/dbraw/zinc/30/01/86/1074300186.db2.gz LTSRFHQNZVYCBM-CRTZDJKQSA-N 0 0 446.476 -0.237 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@@](C)(O)[C@@H](F)C1)C(N)=O ZINC001186641905 1074300164 /nfs/dbraw/zinc/30/01/64/1074300164.db2.gz LUVZECQDYQRYTP-XUOCYBMCSA-N 0 0 432.493 -0.029 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)n1c(Cl)ncc(N)c1=N)C(N)=O ZINC001186641928 1074300145 /nfs/dbraw/zinc/30/01/45/1074300145.db2.gz LXTIZTNUILUXKB-WCBMZHEXSA-N 0 0 443.892 -0.098 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CCC2(C1)OCCO2)C(N)=O ZINC001186642295 1074300194 /nfs/dbraw/zinc/30/01/94/1074300194.db2.gz NJCUPYLCFQLTTR-QWHCGFSZSA-N 0 0 428.486 -0.375 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CCNC(=O)C1(C)C)C(N)=O ZINC001186642344 1074300668 /nfs/dbraw/zinc/30/06/68/1074300668.db2.gz NTVAWMOMZKJOSF-NWDGAFQWSA-N 0 0 427.502 -0.613 20 0 IBADRN COC(=O)N1CCN(C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)CC1 ZINC001186642492 1074300660 /nfs/dbraw/zinc/30/06/60/1074300660.db2.gz PRVDEQWUXPQUIG-QWHCGFSZSA-N 0 0 443.501 -0.440 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1ncnc2n[nH]nc21)C(N)=O ZINC001186642677 1074300585 /nfs/dbraw/zinc/30/05/85/1074300585.db2.gz RQYXNVYHULDHLA-DTWKUNHWSA-N 0 0 435.445 -0.650 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1C[C@@H]2[C@H](C1)OCCN2C)C(N)=O ZINC001186642966 1074300548 /nfs/dbraw/zinc/30/05/48/1074300548.db2.gz SAJKEQJPUMQTIG-YJNKXOJESA-N 0 0 441.529 -0.809 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)n1c(=N)ccc(F)c1N)C(N)=O ZINC001186642976 1074300621 /nfs/dbraw/zinc/30/06/21/1074300621.db2.gz SFNVYBHXNXBAFS-GXSJLCMTSA-N 0 0 426.449 -0.007 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1oncc1C(N)=O)C(N)=O ZINC001186643035 1074300566 /nfs/dbraw/zinc/30/05/66/1074300566.db2.gz TWOXANVZWIPVRS-WCBMZHEXSA-N 0 0 426.430 -0.625 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCN1CCCCC1=O)C(N)=O ZINC001186643231 1074300573 /nfs/dbraw/zinc/30/05/73/1074300573.db2.gz VBMYUDPTYAIDNY-UONOGXRCSA-N 0 0 441.529 -0.221 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1ncn(C)c2ncnc1-2)C(N)=O ZINC001186643287 1074300676 /nfs/dbraw/zinc/30/06/76/1074300676.db2.gz WFGWUZIRONAXQM-WDEREUQCSA-N 0 0 448.484 -0.083 20 0 IBADRN COCCN1CCN(C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)CC1 ZINC001186643478 1074300643 /nfs/dbraw/zinc/30/06/43/1074300643.db2.gz XNYPCFLYEOBFTR-LSDHHAIUSA-N 0 0 443.545 -0.560 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@@](C)(CO)[C@H](O)C1)C(N)=O ZINC001186643496 1074300631 /nfs/dbraw/zinc/30/06/31/1074300631.db2.gz YMSSYHHFZFNIJW-BSGIZYOKSA-N 0 0 444.529 -0.758 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@](C)(CO)[C@H](O)C1)C(N)=O ZINC001186643498 1074300626 /nfs/dbraw/zinc/30/06/26/1074300626.db2.gz YMSSYHHFZFNIJW-DIMHRPKISA-N 0 0 444.529 -0.758 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@](C)(CO)[C@@H](O)C1)C(N)=O ZINC001186643499 1074300609 /nfs/dbraw/zinc/30/06/09/1074300609.db2.gz YMSSYHHFZFNIJW-PCRRDVQSSA-N 0 0 444.529 -0.758 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC[C@@](C)(CO)[C@@H](O)C1)C(N)=O ZINC001186643500 1074300602 /nfs/dbraw/zinc/30/06/02/1074300602.db2.gz YMSSYHHFZFNIJW-PSUSHLJKSA-N 0 0 444.529 -0.758 20 0 IBADRN COC(=O)[C@@H]1C[C@H](F)CN1C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186643578 1074300540 /nfs/dbraw/zinc/30/05/40/1074300540.db2.gz ZTAAEZOJSWZLLA-RVMXOQNASA-N 0 0 446.476 -0.238 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1ncnc2n[nH]cc21)C(N)=O ZINC001186643752 1074300651 /nfs/dbraw/zinc/30/06/51/1074300651.db2.gz YWUNJJWGEQSIGH-GXSJLCMTSA-N 0 0 434.457 -0.045 20 0 IBADRN CS(=O)(=O)CCN1CCC(NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F)CC1 ZINC001186643907 1074300614 /nfs/dbraw/zinc/30/06/14/1074300614.db2.gz NUTLJJNNOSHTAV-UHFFFAOYSA-N 0 0 444.519 -0.261 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CCN2C(=O)OC[C@H]2C1)C(N)=O ZINC001186645920 1074300635 /nfs/dbraw/zinc/30/06/35/1074300635.db2.gz IEADFLRISBXQQJ-YNEHKIRRSA-N 0 0 441.485 -0.687 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CCc2nn(C)cc2C1)C(N)=O ZINC001186645925 1074301005 /nfs/dbraw/zinc/30/10/05/1074301005.db2.gz IEWRZHCSKNYDJR-SWLSCSKDSA-N 0 0 436.513 -0.032 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CCc2nccn2CC1)C(N)=O ZINC001186646203 1074300888 /nfs/dbraw/zinc/30/08/88/1074300888.db2.gz LTGNIRBTFLUREC-UONOGXRCSA-N 0 0 436.513 -0.069 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N(C)CCC1OCCO1)C(N)=O ZINC001186646346 1074301089 /nfs/dbraw/zinc/30/10/89/1074301089.db2.gz NBMAXIHKLOFCEM-QWHCGFSZSA-N 0 0 430.502 -0.129 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C1 ZINC001186646634 1074301037 /nfs/dbraw/zinc/30/10/37/1074301037.db2.gz QSBIQFJWSOIRLA-NWANDNLSSA-N 0 0 441.529 -0.223 20 0 IBADRN COCCOC1CN(C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C1 ZINC001186646675 1074301058 /nfs/dbraw/zinc/30/10/58/1074301058.db2.gz SRQBDNFRXHERRK-GXTWGEPZSA-N 0 0 430.502 -0.476 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C[C@@H]1C ZINC001186646676 1074300956 /nfs/dbraw/zinc/30/09/56/1074300956.db2.gz SSSUIVMJWMTUGC-XDQVBPFNSA-N 0 0 442.513 -0.083 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1C[C@@H]2COC[C@H](C1)C2=O)C(N)=O ZINC001186646683 1074300948 /nfs/dbraw/zinc/30/09/48/1074300948.db2.gz SUBBEGTZMFMGIA-IGQOVBAYSA-N 0 0 440.497 -0.676 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CC(=O)N(C)C[C@@H]1C)C(N)=O ZINC001186646712 1074301097 /nfs/dbraw/zinc/30/10/97/1074301097.db2.gz UNCWAUWGTAUSDU-RWMBFGLXSA-N 0 0 427.502 -0.661 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CCN2C(=O)CC[C@@H]2C1)C(N)=O ZINC001186646861 1074300906 /nfs/dbraw/zinc/30/09/06/1074300906.db2.gz XAENMYUUKCWIRQ-BFHYXJOUSA-N 0 0 439.513 -0.517 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N1CCN(CCF)CC1)C(N)=O ZINC001186646922 1074301103 /nfs/dbraw/zinc/30/11/03/1074301103.db2.gz ZUQLDZWIPGKVJR-UONOGXRCSA-N 0 0 431.509 -0.236 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@H]1C[C@H](S(C)(=O)=O)C1)C(N)=O ZINC001186648606 1074301028 /nfs/dbraw/zinc/30/10/28/1074301028.db2.gz BLYTUVWKKSOEKG-ZDEQEGDKSA-N 0 0 448.542 -0.658 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NC1(CO)CCOCC1)C(N)=O ZINC001186648665 1074301081 /nfs/dbraw/zinc/30/10/81/1074301081.db2.gz CNASSBMDMQVFPV-QWHCGFSZSA-N 0 0 430.502 -0.692 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@H]1CCC(=O)N(C)C1)C(N)=O ZINC001186648790 1074300919 /nfs/dbraw/zinc/30/09/19/1074300919.db2.gz FPHJPDXLVRQOPC-RWMBFGLXSA-N 0 0 427.502 -0.613 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@@H]1CCC(=O)N(C)C1)C(N)=O ZINC001186648791 1074301074 /nfs/dbraw/zinc/30/10/74/1074301074.db2.gz FPHJPDXLVRQOPC-YNEHKIRRSA-N 0 0 427.502 -0.613 20 0 IBADRN Cc1cc(NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)n(N)c(=O)c1 ZINC001186648810 1074301431 /nfs/dbraw/zinc/30/14/31/1074301431.db2.gz FWXCMOHFDRWTPK-NWDGAFQWSA-N 0 0 438.485 -0.527 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)Nc1cc(=O)n(C)c(=O)[nH]1)C(N)=O ZINC001186648980 1074301423 /nfs/dbraw/zinc/30/14/23/1074301423.db2.gz IXTFTBDMYNZEHI-VHSXEESVSA-N 0 0 440.457 -0.912 20 0 IBADRN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C1 ZINC001186649009 1074301441 /nfs/dbraw/zinc/30/14/41/1074301441.db2.gz JKMWNXCBDRKSJN-GAVQZSGMSA-N 0 0 439.513 -0.613 20 0 IBADRN CC(=O)N1C[C@H]2C[C@@]2(NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C1 ZINC001186649010 1074301367 /nfs/dbraw/zinc/30/13/67/1074301367.db2.gz JKMWNXCBDRKSJN-LUPJNAFFSA-N 0 0 439.513 -0.613 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCC(=O)NC1CCCC1)C(N)=O ZINC001186649068 1074301383 /nfs/dbraw/zinc/30/13/83/1074301383.db2.gz KRHIMPIFTPBJPL-GXTWGEPZSA-N 0 0 441.529 -0.175 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NC1COC(C)(C)OC1)C(N)=O ZINC001186649141 1074301531 /nfs/dbraw/zinc/30/15/31/1074301531.db2.gz LWRIPZLHRGFKOY-WCQYABFASA-N 0 0 430.502 -0.082 20 0 IBADRN COc1ccc(CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)nn1 ZINC001186649145 1074301403 /nfs/dbraw/zinc/30/14/03/1074301403.db2.gz LYBSECOPDFOECL-WCQYABFASA-N 0 0 438.485 -0.235 20 0 IBADRN CCOC(=O)CC(=N)NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186649200 1074301458 /nfs/dbraw/zinc/30/14/58/1074301458.db2.gz MHYFKEHVDQERKC-WDEREUQCSA-N 0 0 429.474 -0.303 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@@H](CO)C(F)(F)F)C(N)=O ZINC001186649204 1074301393 /nfs/dbraw/zinc/30/13/93/1074301393.db2.gz MJVUYTJDTUQIIJ-AEJSXWLSSA-N 0 0 428.408 -0.311 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@H](CO)C(F)(F)F)C(N)=O ZINC001186649215 1074301416 /nfs/dbraw/zinc/30/14/16/1074301416.db2.gz MJVUYTJDTUQIIJ-IVZWLZJFSA-N 0 0 428.408 -0.311 20 0 IBADRN CC(C)[C@@](C)(NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C(N)=O ZINC001186649221 1074301448 /nfs/dbraw/zinc/30/14/48/1074301448.db2.gz MLCPHOGQUZCBLD-AVCJSFLBSA-N 0 0 429.518 -0.334 20 0 IBADRN CC(C)[C@](C)(NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C(N)=O ZINC001186649229 1074301387 /nfs/dbraw/zinc/30/13/87/1074301387.db2.gz MLCPHOGQUZCBLD-CRTZDJKQSA-N 0 0 429.518 -0.334 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@H]1CCCN(C)C1=O)C(N)=O ZINC001186649456 1074301542 /nfs/dbraw/zinc/30/15/42/1074301542.db2.gz NZNSRSAQZGPNOU-XQQFMLRXSA-N 0 0 427.502 -0.613 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCCc1c[nH][nH]c1=O)C(N)=O ZINC001186649458 1074301918 /nfs/dbraw/zinc/30/19/18/1074301918.db2.gz OBIHKMLBAUNOHK-RWMBFGLXSA-N 0 0 440.501 -0.722 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCCc1c[nH][nH]c1=O)C(N)=O ZINC001186649460 1074301879 /nfs/dbraw/zinc/30/18/79/1074301879.db2.gz OBIHKMLBAUNOHK-YNEHKIRRSA-N 0 0 440.501 -0.722 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCN1CCCCO1)C(N)=O ZINC001186649658 1074301803 /nfs/dbraw/zinc/30/18/03/1074301803.db2.gz QLOPAIGCCDTZBV-UONOGXRCSA-N 0 0 429.518 -0.207 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NC[C@@H]1CC(=O)N(C)C1)C(N)=O ZINC001186649712 1074301826 /nfs/dbraw/zinc/30/18/26/1074301826.db2.gz QXCXULPDHGFONW-RWMBFGLXSA-N 0 0 427.502 -0.756 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NC[C@H]1CC(=O)N(C)C1)C(N)=O ZINC001186649713 1074301872 /nfs/dbraw/zinc/30/18/72/1074301872.db2.gz QXCXULPDHGFONW-YNEHKIRRSA-N 0 0 427.502 -0.756 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@@H]1C=CS(=O)(=O)C1)C(N)=O ZINC001186649714 1074301794 /nfs/dbraw/zinc/30/17/94/1074301794.db2.gz QXNSMIWSZGHPOM-QJPTWQEYSA-N 0 0 432.499 -0.923 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@H]1C=CS(=O)(=O)C1)C(N)=O ZINC001186649726 1074301944 /nfs/dbraw/zinc/30/19/44/1074301944.db2.gz QXNSMIWSZGHPOM-SDDRHHMPSA-N 0 0 432.499 -0.923 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)n1cc(F)c(=O)nc1N)C(N)=O ZINC001186649768 1074301956 /nfs/dbraw/zinc/30/19/56/1074301956.db2.gz RAYPUJVZVNKFRB-WCBMZHEXSA-N 0 0 428.421 -0.489 20 0 IBADRN CO[C@@H]1COCC[C@H]1NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186649788 1074301984 /nfs/dbraw/zinc/30/19/84/1074301984.db2.gz RIXIZSDCWQICQF-REWJHTLYSA-N 0 0 430.502 -0.430 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCc1ccn(C)c(=O)c1)C(N)=O ZINC001186649951 1074301933 /nfs/dbraw/zinc/30/19/33/1074301933.db2.gz ROFCNZZEMJKEBT-GXTWGEPZSA-N 0 0 437.497 -0.335 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCN1CC2(COC2)C1)C(N)=O ZINC001186649998 1074301848 /nfs/dbraw/zinc/30/18/48/1074301848.db2.gz SBIJFNVLZWWPCH-UONOGXRCSA-N 0 0 441.529 -0.902 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NC[C@@H]1COCCN1C)C(N)=O ZINC001186650025 1074301898 /nfs/dbraw/zinc/30/18/98/1074301898.db2.gz SUACMRIBFBEDBZ-BFHYXJOUSA-N 0 0 429.518 -0.903 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NC[C@H]1COCCN1C)C(N)=O ZINC001186650027 1074301971 /nfs/dbraw/zinc/30/19/71/1074301971.db2.gz SUACMRIBFBEDBZ-MELADBBJSA-N 0 0 429.518 -0.903 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCC(=O)N(C)C1CC1)C(N)=O ZINC001186650059 1074301906 /nfs/dbraw/zinc/30/19/06/1074301906.db2.gz UCFGTBQEKHMXOG-GXTWGEPZSA-N 0 0 441.529 -0.223 20 0 IBADRN CCCC[C@H](NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C(N)=O ZINC001186650316 1074301863 /nfs/dbraw/zinc/30/18/63/1074301863.db2.gz AROFYRMTTAXLAX-RWMBFGLXSA-N 0 0 429.518 -0.190 20 0 IBADRN CC(=O)NC[C@@H](C)CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186650625 1074301840 /nfs/dbraw/zinc/30/18/40/1074301840.db2.gz FGKGUCFKBSVIAN-MBNYWOFBSA-N 0 0 429.518 -0.462 20 0 IBADRN CC(=O)NC[C@H](C)CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186650626 1074301978 /nfs/dbraw/zinc/30/19/78/1074301978.db2.gz FGKGUCFKBSVIAN-SGMGOOAPSA-N 0 0 429.518 -0.462 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@H]1CCCNC(=O)C1)C(N)=O ZINC001186650782 1074302333 /nfs/dbraw/zinc/30/23/33/1074302333.db2.gz HTIZGKPMNIKZQK-RWMBFGLXSA-N 0 0 427.502 -0.565 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@@H]1CCCNC(=O)C1)C(N)=O ZINC001186650783 1074302326 /nfs/dbraw/zinc/30/23/26/1074302326.db2.gz HTIZGKPMNIKZQK-YNEHKIRRSA-N 0 0 427.502 -0.565 20 0 IBADRN CCOC(=O)[C@H](C)CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186650789 1074302351 /nfs/dbraw/zinc/30/23/51/1074302351.db2.gz IAHKOVLQVFVRJD-FRRDWIJNSA-N 0 0 430.502 -0.035 20 0 IBADRN CCOC(=O)[C@@H](C)CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186650790 1074302291 /nfs/dbraw/zinc/30/22/91/1074302291.db2.gz IAHKOVLQVFVRJD-RWMBFGLXSA-N 0 0 430.502 -0.035 20 0 IBADRN CSCC[C@H](NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C(N)=O ZINC001186650818 1074302257 /nfs/dbraw/zinc/30/22/57/1074302257.db2.gz JFTRXNNNVFCMJJ-TUAOUCFPSA-N 0 0 447.558 -0.627 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O)C1 ZINC001186651004 1074302321 /nfs/dbraw/zinc/30/23/21/1074302321.db2.gz MJDRUXJNCPIVIZ-MELADBBJSA-N 0 0 441.529 -0.223 20 0 IBADRN COC(=O)[C@H]1C[C@@H]1CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186651062 1074302340 /nfs/dbraw/zinc/30/23/40/1074302340.db2.gz NMTYFUPURMTAMH-QNWHQSFQSA-N 0 0 428.486 -0.425 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]1CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186651066 1074302295 /nfs/dbraw/zinc/30/22/95/1074302295.db2.gz NMTYFUPURMTAMH-UMSGYPCISA-N 0 0 428.486 -0.425 20 0 IBADRN COC(=O)[C@@H]1C[C@H]1CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186651068 1074302252 /nfs/dbraw/zinc/30/22/52/1074302252.db2.gz NMTYFUPURMTAMH-WUHRBBMRSA-N 0 0 428.486 -0.425 20 0 IBADRN COC(=O)[C@H]1C[C@H]1CNC(=O)CC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)C(N)=O ZINC001186651070 1074302345 /nfs/dbraw/zinc/30/23/45/1074302345.db2.gz NMTYFUPURMTAMH-ZDEQEGDKSA-N 0 0 428.486 -0.425 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@@H]1CNC(=O)C1(C)C)C(N)=O ZINC001186651558 1074302306 /nfs/dbraw/zinc/30/23/06/1074302306.db2.gz UWSYWMKBYDOFGY-QJPTWQEYSA-N 0 0 427.502 -0.709 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)N[C@H]1CNC(=O)C1(C)C)C(N)=O ZINC001186651559 1074302238 /nfs/dbraw/zinc/30/22/38/1074302238.db2.gz UWSYWMKBYDOFGY-TUAOUCFPSA-N 0 0 427.502 -0.709 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCc1nncs1)C(N)=O ZINC001186651719 1074302313 /nfs/dbraw/zinc/30/23/13/1074302313.db2.gz XGFFRDDNXYCAHI-WDEREUQCSA-N 0 0 428.515 -0.140 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCN1CCOC[C@H]1C)C(N)=O ZINC001186651757 1074302209 /nfs/dbraw/zinc/30/22/09/1074302209.db2.gz YXAAYNOHQWSLBO-QLFBSQMISA-N 0 0 443.545 -0.513 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H](CCC(=O)NCCN1CCOC[C@@H]1C)C(N)=O ZINC001186651758 1074302268 /nfs/dbraw/zinc/30/22/68/1074302268.db2.gz YXAAYNOHQWSLBO-SOUVJXGZSA-N 0 0 443.545 -0.513 20 0 IBADRN NC(=O)N1CCN(C(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001186653351 1074302639 /nfs/dbraw/zinc/30/26/39/1074302639.db2.gz GJNYTCRSZRGUQF-IRXDYDNUSA-N 0 0 426.477 -0.303 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CC[C@H](CO)[C@@H](O)C1)[C@@H]1CCC(=O)N1 ZINC001186653826 1074302608 /nfs/dbraw/zinc/30/26/08/1074302608.db2.gz MAVFMHZGOVHYRF-RPTUDFQQSA-N 0 0 428.489 -0.325 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CC[C@@H](CO)[C@@H](O)C1)[C@@H]1CCC(=O)N1 ZINC001186653833 1074302604 /nfs/dbraw/zinc/30/26/04/1074302604.db2.gz MAVFMHZGOVHYRF-VKOGCVSHSA-N 0 0 428.489 -0.325 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CC[C@H](CO)[C@H](O)C1)[C@@H]1CCC(=O)N1 ZINC001186653835 1074302696 /nfs/dbraw/zinc/30/26/96/1074302696.db2.gz MAVFMHZGOVHYRF-WEZQJLTASA-N 0 0 428.489 -0.325 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CC[C@@H](CO)[C@H](O)C1)[C@@H]1CCC(=O)N1 ZINC001186653837 1074302705 /nfs/dbraw/zinc/30/27/05/1074302705.db2.gz MAVFMHZGOVHYRF-ZQEOTTOMSA-N 0 0 428.489 -0.325 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CC[C@H](O)[C@@H](CO)C1)[C@@H]1CCC(=O)N1 ZINC001186654210 1074302731 /nfs/dbraw/zinc/30/27/31/1074302731.db2.gz OZIPQTKDGZUZDW-FCLVOEFKSA-N 0 0 428.489 -0.325 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CC[C@@H](O)[C@@H](CO)C1)[C@@H]1CCC(=O)N1 ZINC001186654211 1074302682 /nfs/dbraw/zinc/30/26/82/1074302682.db2.gz OZIPQTKDGZUZDW-GRGSLBFTSA-N 0 0 428.489 -0.325 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CC[C@H](O)[C@H](CO)C1)[C@@H]1CCC(=O)N1 ZINC001186654212 1074302723 /nfs/dbraw/zinc/30/27/23/1074302723.db2.gz OZIPQTKDGZUZDW-QZHFEQFPSA-N 0 0 428.489 -0.325 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CC[C@@H](O)[C@H](CO)C1)[C@@H]1CCC(=O)N1 ZINC001186654213 1074302740 /nfs/dbraw/zinc/30/27/40/1074302740.db2.gz OZIPQTKDGZUZDW-UDTPNQRGSA-N 0 0 428.489 -0.325 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N1CCS(=O)(=O)CC1)[C@@H]1CCC(=O)N1 ZINC001186654432 1074302714 /nfs/dbraw/zinc/30/27/14/1074302714.db2.gz SHXMGOWKVDKHBA-IRXDYDNUSA-N 0 0 432.502 -0.269 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001186657650 1074302648 /nfs/dbraw/zinc/30/26/48/1074302648.db2.gz NIQUBGJAEVKVJA-IRXDYDNUSA-N 0 0 447.517 -0.766 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)CCO1 ZINC001186657947 1074302691 /nfs/dbraw/zinc/30/26/91/1074302691.db2.gz SJLKEYRRNREZCP-ULQDDVLXSA-N 0 0 427.461 -0.814 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)CCO1 ZINC001186657952 1074302623 /nfs/dbraw/zinc/30/26/23/1074302623.db2.gz SJLKEYRRNREZCP-YESZJQIVSA-N 0 0 427.461 -0.814 20 0 IBADRN O=C(N[C@H]1CCC(=O)NC1=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186659132 1074302583 /nfs/dbraw/zinc/30/25/83/1074302583.db2.gz AUOXRCGEODZEJZ-JYJNAYRXSA-N 0 0 425.445 -0.605 20 0 IBADRN O=C(N[C@@H]1CCC(=O)NC1=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186659134 1074302631 /nfs/dbraw/zinc/30/26/31/1074302631.db2.gz AUOXRCGEODZEJZ-XHSDSOJGSA-N 0 0 425.445 -0.605 20 0 IBADRN Cn1c(=O)cc(NC(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)[nH]c1=O ZINC001186659238 1074303001 /nfs/dbraw/zinc/30/30/01/1074303001.db2.gz DVQSVYNCEFCGDN-GJZGRUSLSA-N 0 0 438.444 -0.088 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186659247 1074303134 /nfs/dbraw/zinc/30/31/34/1074303134.db2.gz FBUWVMXVWZOYIB-IRXDYDNUSA-N 0 0 449.533 -0.519 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186659311 1074303160 /nfs/dbraw/zinc/30/31/60/1074303160.db2.gz FRATXPLQQIWHQZ-COXVUDFISA-N 0 0 432.502 -0.223 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186659312 1074303151 /nfs/dbraw/zinc/30/31/51/1074303151.db2.gz FRATXPLQQIWHQZ-JQFCIGGWSA-N 0 0 432.502 -0.223 20 0 IBADRN CN1CCO[C@H](CNC(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001186659352 1074303139 /nfs/dbraw/zinc/30/31/39/1074303139.db2.gz HFQJBFGYMXRLRB-MNEFBYGVSA-N 0 0 427.505 -0.079 20 0 IBADRN CN1CCO[C@@H](CNC(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001186659353 1074303088 /nfs/dbraw/zinc/30/30/88/1074303088.db2.gz HFQJBFGYMXRLRB-SNRMKQJTSA-N 0 0 427.505 -0.079 20 0 IBADRN CS(=O)(=O)N1CC(NC(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001186659380 1074303129 /nfs/dbraw/zinc/30/31/29/1074303129.db2.gz IQSMKGBDCBPMQS-IRXDYDNUSA-N 0 0 447.517 -0.766 20 0 IBADRN O=C(NCCN1CC2(COC2)C1)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186659666 1074303110 /nfs/dbraw/zinc/30/31/10/1074303110.db2.gz LWPFRQMSXYWEJV-OALUTQOASA-N 0 0 439.516 -0.078 20 0 IBADRN O=C(N[C@@H]1C=CS(=O)(=O)C1)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186659691 1074303030 /nfs/dbraw/zinc/30/30/30/1074303030.db2.gz MYSUGUSKIRCSQL-COXVUDFISA-N 0 0 430.486 -0.099 20 0 IBADRN O=C(N[C@H]1C=CS(=O)(=O)C1)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186659692 1074303042 /nfs/dbraw/zinc/30/30/42/1074303042.db2.gz MYSUGUSKIRCSQL-JQFCIGGWSA-N 0 0 430.486 -0.099 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1)[C@@H](C)O ZINC001186659926 1074303077 /nfs/dbraw/zinc/30/30/77/1074303077.db2.gz PFVHEZPKTCWSNG-PYQFNLFUSA-N 0 0 430.461 -0.488 20 0 IBADRN CN1CCOC[C@H]1CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186660016 1074303123 /nfs/dbraw/zinc/30/31/23/1074303123.db2.gz RDDFIWQRKAHVLL-MNEFBYGVSA-N 0 0 427.505 -0.079 20 0 IBADRN CN1CCOC[C@@H]1CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186660018 1074303168 /nfs/dbraw/zinc/30/31/68/1074303168.db2.gz RDDFIWQRKAHVLL-SNRMKQJTSA-N 0 0 427.505 -0.079 20 0 IBADRN O=C(NC[C@H]1CNC(=O)CO1)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186660142 1074303069 /nfs/dbraw/zinc/30/30/69/1074303069.db2.gz SPBDHKQZYSNDSR-COXVUDFISA-N 0 0 427.461 -0.895 20 0 IBADRN O=C(NC[C@@H]1CNC(=O)CO1)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186660143 1074303012 /nfs/dbraw/zinc/30/30/12/1074303012.db2.gz SPBDHKQZYSNDSR-JQFCIGGWSA-N 0 0 427.461 -0.895 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186660665 1074303155 /nfs/dbraw/zinc/30/31/55/1074303155.db2.gz ZJEJLBQSMWLMCF-HOTGVXAUSA-N 0 0 435.506 -0.861 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)Cc2cccc(S(C)(=O)=O)c2)CC1 ZINC001186661075 1074303051 /nfs/dbraw/zinc/30/30/51/1074303051.db2.gz HSTDWSXIAVCNTL-UHFFFAOYSA-N 0 0 431.536 -0.410 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc3c(c2)sc(=O)n3C)CC1 ZINC001186661322 1074303586 /nfs/dbraw/zinc/30/35/86/1074303586.db2.gz RLMPULBRHRWFMS-UHFFFAOYSA-N 0 0 426.520 -0.041 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)N2CCC[C@H]2C(=O)OC(C)(C)C)CC1 ZINC001186661427 1074303413 /nfs/dbraw/zinc/30/34/13/1074303413.db2.gz WTNHTSKQXRAJEK-HNNXBMFYSA-N 0 0 432.543 -0.292 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCN1CCCCC1=O ZINC001186661869 1074303383 /nfs/dbraw/zinc/30/33/83/1074303383.db2.gz CMNCZISXZLQIBJ-IRXDYDNUSA-N 0 0 435.525 -0.767 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1n[nH]c(C(F)(F)F)n1 ZINC001186662066 1074303463 /nfs/dbraw/zinc/30/34/63/1074303463.db2.gz HFJVPXBSPADUFJ-QWRGUYRKSA-N 0 0 445.402 -0.120 20 0 IBADRN CCOC(=O)c1cc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)[nH]n1 ZINC001186662077 1074303514 /nfs/dbraw/zinc/30/35/14/1074303514.db2.gz HYNRZXGZUKLPDB-GJZGRUSLSA-N 0 0 448.480 -0.357 20 0 IBADRN O=C(NC1CN(C2COC2)C1)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001186662300 1074303390 /nfs/dbraw/zinc/30/33/90/1074303390.db2.gz JHPYZFAUVZYSPP-OALUTQOASA-N 0 0 425.489 -0.327 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCc1ncc(C)cn1 ZINC001186662453 1074303364 /nfs/dbraw/zinc/30/33/64/1074303364.db2.gz MJWOHFQLIYUNNA-IRXDYDNUSA-N 0 0 430.509 -0.438 20 0 IBADRN CNC(=O)C1CCN(C(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC001186662467 1074303443 /nfs/dbraw/zinc/30/34/43/1074303443.db2.gz MQHWGYCXDRMSSU-IRXDYDNUSA-N 0 0 435.525 -0.911 20 0 IBADRN COC(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC001186662805 1074303575 /nfs/dbraw/zinc/30/35/75/1074303575.db2.gz QTFYYVSBDLHDBT-HOTGVXAUSA-N 0 0 437.497 -0.985 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)n1c(Cl)ncc(N)c1=N ZINC001186662832 1074303457 /nfs/dbraw/zinc/30/34/57/1074303457.db2.gz AAPTVRKSLFWUIN-STQMWFEESA-N 0 0 437.888 -0.644 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1cnn2c1OCCC2 ZINC001186662849 1074303501 /nfs/dbraw/zinc/30/35/01/1074303501.db2.gz AGQVAMZMJJZOJL-HOTGVXAUSA-N 0 0 432.481 -0.278 20 0 IBADRN CCOC(=O)c1n[nH]cc1NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186662858 1074303375 /nfs/dbraw/zinc/30/33/75/1074303375.db2.gz AKEPSRGKKJPLAL-GJZGRUSLSA-N 0 0 448.480 -0.357 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ccn2cnnc2c1 ZINC001186662860 1074303431 /nfs/dbraw/zinc/30/34/31/1074303431.db2.gz AKJDABSKNCVWAR-HOTGVXAUSA-N 0 0 427.465 -0.214 20 0 IBADRN COC(=O)Cn1cc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)cn1 ZINC001186662880 1074303509 /nfs/dbraw/zinc/30/35/09/1074303509.db2.gz AWFWCENCDLDZCB-HOTGVXAUSA-N 0 0 448.480 -0.887 20 0 IBADRN CCOC(=O)c1[nH]cnc1NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186662886 1074303483 /nfs/dbraw/zinc/30/34/83/1074303483.db2.gz BATCTPRAPSWXNO-KBPBESRZSA-N 0 0 448.480 -0.357 20 0 IBADRN CC(=O)c1nn(C)cc1NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186662904 1074303451 /nfs/dbraw/zinc/30/34/51/1074303451.db2.gz BISFJCAHYWJFKN-HOTGVXAUSA-N 0 0 432.481 -0.321 20 0 IBADRN CCOc1cc(N)n(C(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)c(=N)n1 ZINC001186662927 1074303418 /nfs/dbraw/zinc/30/34/18/1074303418.db2.gz BSJLSPHKUSBHRM-KBPBESRZSA-N 0 0 447.496 -0.898 20 0 IBADRN CNC(=O)c1cccnc1NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186662943 1074303839 /nfs/dbraw/zinc/30/38/39/1074303839.db2.gz BZNBTURDBQYAOQ-HOTGVXAUSA-N 0 0 444.492 -0.502 20 0 IBADRN COc1nccc(N)c1NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186663005 1074303899 /nfs/dbraw/zinc/30/38/99/1074303899.db2.gz CSHCMWNHTPYPPB-GJZGRUSLSA-N 0 0 432.481 -0.271 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1nc(Cl)cnc1N ZINC001186663024 1074303929 /nfs/dbraw/zinc/30/39/29/1074303929.db2.gz DKNIZUVINLRCHY-RYUDHWBXSA-N 0 0 437.888 -0.231 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1cc(C)nc2ncnn21 ZINC001186663029 1074303857 /nfs/dbraw/zinc/30/38/57/1074303857.db2.gz DQDRPQRJRXPALC-GJZGRUSLSA-N 0 0 442.480 -0.511 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCOCC(F)(F)C1 ZINC001186663030 1074303402 /nfs/dbraw/zinc/30/34/02/1074303402.db2.gz RLYBXASSBMBJIQ-GJZGRUSLSA-N 0 0 430.452 -0.401 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCn1nc(C)cc1C ZINC001186663075 1074303958 /nfs/dbraw/zinc/30/39/58/1074303958.db2.gz TXFMKKCOJYQCDC-ROUUACIJSA-N 0 0 432.525 -0.266 20 0 IBADRN COC(=O)c1ccc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)cn1 ZINC001186663082 1074304008 /nfs/dbraw/zinc/30/40/08/1074304008.db2.gz UDSUQCFDKUCRIB-IRXDYDNUSA-N 0 0 445.476 -0.075 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1c2c(nn1C)CCC2 ZINC001186663106 1074303953 /nfs/dbraw/zinc/30/39/53/1074303953.db2.gz VHWWNRLVIMUNMW-IRXDYDNUSA-N 0 0 430.509 -0.035 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1cn[nH]c(=O)c1Cl ZINC001186663142 1074304014 /nfs/dbraw/zinc/30/40/14/1074304014.db2.gz DSEVEMDPENZHPY-STQMWFEESA-N 0 0 438.872 -0.108 20 0 IBADRN COc1ncnc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)c1OC ZINC001186663180 1074303847 /nfs/dbraw/zinc/30/38/47/1074303847.db2.gz FFKLLHSNTOQADZ-KBPBESRZSA-N 0 0 448.480 -0.450 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1noc2nccnc12 ZINC001186663225 1074303993 /nfs/dbraw/zinc/30/39/93/1074303993.db2.gz FROSBEJNBDVATB-STQMWFEESA-N 0 0 429.437 -0.326 20 0 IBADRN COC(=O)c1n[nH]c(C)c1NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186663277 1074303879 /nfs/dbraw/zinc/30/38/79/1074303879.db2.gz GFRJJEDVZDQXFU-KBPBESRZSA-N 0 0 448.480 -0.439 20 0 IBADRN COC(=O)c1onc(C)c1NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186663289 1074303937 /nfs/dbraw/zinc/30/39/37/1074303937.db2.gz GXUMCWUAQWQJPE-KBPBESRZSA-N 0 0 449.464 -0.174 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N=c1ncnc2[nH][nH]c(C)c1-2 ZINC001186663296 1074303977 /nfs/dbraw/zinc/30/39/77/1074303977.db2.gz HGWPKJPHXRXEHJ-KBPBESRZSA-N 0 0 442.480 -0.909 20 0 IBADRN COC(=O)c1cc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)cn1C ZINC001186663309 1074303945 /nfs/dbraw/zinc/30/39/45/1074303945.db2.gz HOKIQQNTJGPMPE-HOTGVXAUSA-N 0 0 447.492 -0.132 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1nc(N)cnc1Cl ZINC001186663324 1074303891 /nfs/dbraw/zinc/30/38/91/1074303891.db2.gz IGHYZAHMGHVUPL-RYUDHWBXSA-N 0 0 437.888 -0.231 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ncn(C)c2ncnc1-2 ZINC001186663498 1074304001 /nfs/dbraw/zinc/30/40/01/1074304001.db2.gz ZRJWYPNXGFISLE-KBPBESRZSA-N 0 0 442.480 -0.629 20 0 IBADRN CCOC(=O)c1nc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)c[nH]1 ZINC001186663523 1074303907 /nfs/dbraw/zinc/30/39/07/1074303907.db2.gz IVZFQNWSGQCWDG-KBPBESRZSA-N 0 0 448.480 -0.357 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1C[C@H](F)C[C@@H](CO)C1 ZINC001186663534 1074304020 /nfs/dbraw/zinc/30/40/20/1074304020.db2.gz JGFQJKCDEMATHI-NCOADZHNSA-N 0 0 426.489 -0.717 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1C[C@@H](F)C[C@H](CO)C1 ZINC001186663535 1074303964 /nfs/dbraw/zinc/30/39/64/1074303964.db2.gz JGFQJKCDEMATHI-QAETUUGQSA-N 0 0 426.489 -0.717 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1C[C@H](CO)C[C@H](F)C1 ZINC001186663536 1074303987 /nfs/dbraw/zinc/30/39/87/1074303987.db2.gz JGFQJKCDEMATHI-QZWWFDLISA-N 0 0 426.489 -0.717 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1C[C@H](F)C[C@H](CO)C1 ZINC001186663537 1074304298 /nfs/dbraw/zinc/30/42/98/1074304298.db2.gz JGFQJKCDEMATHI-YVSFHVDLSA-N 0 0 426.489 -0.717 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1onc(C)c1C(N)=O ZINC001186663543 1074304327 /nfs/dbraw/zinc/30/43/27/1074304327.db2.gz JQQHYKJBELRMTI-STQMWFEESA-N 0 0 434.453 -0.861 20 0 IBADRN CCN(C)c1ncc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)cn1 ZINC001186663544 1074304374 /nfs/dbraw/zinc/30/43/74/1074304374.db2.gz JRKSPPQIHLYAFT-IRXDYDNUSA-N 0 0 445.524 -0.011 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ncnn2cccc12 ZINC001186663552 1074304312 /nfs/dbraw/zinc/30/43/12/1074304312.db2.gz JYZKUSHSVYYPJD-HOTGVXAUSA-N 0 0 427.465 -0.792 20 0 IBADRN CCN=c1ccc(N)cn1C(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186663573 1074304319 /nfs/dbraw/zinc/30/43/19/1074304319.db2.gz LFUHCVQZSAFLQS-IRXDYDNUSA-N 0 0 430.509 -0.251 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001186663589 1074304367 /nfs/dbraw/zinc/30/43/67/1074304367.db2.gz LYJZASLOLODRPN-ZKNHNOBHSA-N 0 0 426.489 -0.574 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ncccc1CCO ZINC001186663597 1074304305 /nfs/dbraw/zinc/30/43/05/1074304305.db2.gz MJEGPHJMUJHQDC-IRXDYDNUSA-N 0 0 431.493 -0.327 20 0 IBADRN CCOC(=O)[C@@]12C[C@@H]1CCN2C(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186663609 1074304334 /nfs/dbraw/zinc/30/43/34/1074304334.db2.gz NIRDWFMUGTWOOK-ACTFIFLWSA-N 0 0 448.520 -0.341 20 0 IBADRN CCOC(=O)[C@]12C[C@H]1CCN2C(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186663612 1074304287 /nfs/dbraw/zinc/30/42/87/1074304287.db2.gz NIRDWFMUGTWOOK-VLMPBXDWSA-N 0 0 448.520 -0.341 20 0 IBADRN COC(=O)[C@@H]1C[C@H](F)CN1C(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186663615 1074304360 /nfs/dbraw/zinc/30/43/60/1074304360.db2.gz NLIHGJJOUKCURP-VGWMRTNUSA-N 0 0 440.472 -0.784 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N(C)CCc1noc(C)n1 ZINC001186663622 1074304389 /nfs/dbraw/zinc/30/43/89/1074304389.db2.gz NPJBJIXWEYGXOR-HOTGVXAUSA-N 0 0 434.497 -0.503 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1nc(C)nc2[nH]cnc21 ZINC001186663624 1074304339 /nfs/dbraw/zinc/30/43/39/1074304339.db2.gz NSCFZHPFICTWRI-KBPBESRZSA-N 0 0 442.480 -0.282 20 0 IBADRN COC(=O)c1cncc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)n1 ZINC001186663637 1074304262 /nfs/dbraw/zinc/30/42/62/1074304262.db2.gz OHQAGASIUOFUKB-GJZGRUSLSA-N 0 0 446.464 -0.680 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@](O)(CF)CC1 ZINC001186663651 1074304379 /nfs/dbraw/zinc/30/43/79/1074304379.db2.gz OXGAIODPZOQWMV-FIKGOQFSSA-N 0 0 440.516 -0.182 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@](O)(CF)CC1 ZINC001186663652 1074304274 /nfs/dbraw/zinc/30/42/74/1074304274.db2.gz OXGAIODPZOQWMV-XGHQBKJUSA-N 0 0 440.516 -0.182 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCc2nc(C)[nH]c2CC1 ZINC001186663680 1074304351 /nfs/dbraw/zinc/30/43/51/1074304351.db2.gz QKNXHLIWKCMNHA-OALUTQOASA-N 0 0 444.536 -0.237 20 0 IBADRN CC(=O)Nc1cc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)ncn1 ZINC001186663694 1074304384 /nfs/dbraw/zinc/30/43/84/1074304384.db2.gz RDHGHTKWDJQYRC-GJZGRUSLSA-N 0 0 445.480 -0.508 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCNCC(F)(F)C1 ZINC001186663705 1074304363 /nfs/dbraw/zinc/30/43/63/1074304363.db2.gz ROYZBOPJQAOUJY-GJZGRUSLSA-N 0 0 429.468 -0.828 20 0 IBADRN COC(=O)[C@@]1(F)CCN(C(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001186663706 1074304750 /nfs/dbraw/zinc/30/47/50/1074304750.db2.gz RPCCDKACYJQFDV-AUSJPIAWSA-N 0 0 440.472 -0.782 20 0 IBADRN COC(=O)[C@]1(F)CCN(C(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001186663707 1074304801 /nfs/dbraw/zinc/30/48/01/1074304801.db2.gz RPCCDKACYJQFDV-AVYPCKFXSA-N 0 0 440.472 -0.782 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1C[C@@H]2OCC(F)(F)[C@@H]2C1 ZINC001186663827 1074304597 /nfs/dbraw/zinc/30/45/97/1074304597.db2.gz SMVKAKIXMVVJFB-UGUYLWEFSA-N 0 0 442.463 -0.403 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N(C[C@@H](O)CO)C(C)(C)C ZINC001186663835 1074304612 /nfs/dbraw/zinc/30/46/12/1074304612.db2.gz SXBDALOCPUYBJL-IKGGRYGDSA-N 0 0 440.541 -0.915 20 0 IBADRN CCOc1cnc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)cn1 ZINC001186663836 1074304711 /nfs/dbraw/zinc/30/47/11/1074304711.db2.gz SZWOQRDSQLULKV-GJZGRUSLSA-N 0 0 432.481 -0.068 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CC(F)(F)CC[C@H]1CO ZINC001186663870 1074304673 /nfs/dbraw/zinc/30/46/73/1074304673.db2.gz VDBZPJMKRPGHFE-JYJNAYRXSA-N 0 0 444.479 -0.277 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CC(F)(F)CC[C@@H]1CO ZINC001186663871 1074304682 /nfs/dbraw/zinc/30/46/82/1074304682.db2.gz VDBZPJMKRPGHFE-PMPSAXMXSA-N 0 0 444.479 -0.277 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)n1ccc(Cl)c(N)c1=N ZINC001186663877 1074304722 /nfs/dbraw/zinc/30/47/22/1074304722.db2.gz VLGCNSVOSWRAKA-KBPBESRZSA-N 0 0 436.900 -0.039 20 0 IBADRN COCc1n[nH]c2c1CN(C(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O)C2 ZINC001186663888 1074304639 /nfs/dbraw/zinc/30/46/39/1074304639.db2.gz VYJAQEIOYNGXBQ-ROUUACIJSA-N 0 0 446.508 -0.484 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1[nH]c(=O)[nH]c(=O)c1N=O ZINC001186663893 1074304785 /nfs/dbraw/zinc/30/47/85/1074304785.db2.gz WGHXLUDVTRLXQC-QWRGUYRKSA-N 0 0 449.424 -0.658 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)n1c(C)cc(=O)c(N)c1C ZINC001186663904 1074304703 /nfs/dbraw/zinc/30/47/03/1074304703.db2.gz WVZPNUPLCLVMGY-HOTGVXAUSA-N 0 0 431.493 -0.194 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1cnc2nccn2c1 ZINC001186664022 1074304658 /nfs/dbraw/zinc/30/46/58/1074304658.db2.gz XMSRQULEYPQTLM-HOTGVXAUSA-N 0 0 427.465 -0.214 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@](F)(CO)CC1 ZINC001186664024 1074304692 /nfs/dbraw/zinc/30/46/92/1074304692.db2.gz XOJRWFWXDMMOCK-FIKGOQFSSA-N 0 0 440.516 -0.182 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@](F)(CO)CC1 ZINC001186664025 1074304771 /nfs/dbraw/zinc/30/47/71/1074304771.db2.gz XOJRWFWXDMMOCK-XGHQBKJUSA-N 0 0 440.516 -0.182 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1c(C)cnn1CCO ZINC001186664026 1074304795 /nfs/dbraw/zinc/30/47/95/1074304795.db2.gz XQUUWGJZVFDFRQ-HOTGVXAUSA-N 0 0 434.497 -0.760 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1C[C@@H](CO)CC(F)(F)C1 ZINC001186664032 1074304630 /nfs/dbraw/zinc/30/46/30/1074304630.db2.gz XWFTXTAQSSQGPH-JYJNAYRXSA-N 0 0 444.479 -0.419 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1C[C@H](CO)CC(F)(F)C1 ZINC001186664033 1074304622 /nfs/dbraw/zinc/30/46/22/1074304622.db2.gz XWFTXTAQSSQGPH-PMPSAXMXSA-N 0 0 444.479 -0.419 20 0 IBADRN CCN=c1ncc(N)cn1C(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186664039 1074304664 /nfs/dbraw/zinc/30/46/64/1074304664.db2.gz XZWYNLXWKSYYMC-HOTGVXAUSA-N 0 0 431.497 -0.856 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1[nH]nc2nccnc21 ZINC001186664065 1074304731 /nfs/dbraw/zinc/30/47/31/1074304731.db2.gz YXUREWRYDFOVRJ-STQMWFEESA-N 0 0 428.453 -0.639 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ncnc2c1cnn2C ZINC001186664077 1074305045 /nfs/dbraw/zinc/30/50/45/1074305045.db2.gz ZRQMPXXTCDEJBS-GJZGRUSLSA-N 0 0 442.480 -0.580 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N(C)[C@H]1CCCN(C(C)=O)C1 ZINC001186666333 1074294293 /nfs/dbraw/zinc/29/42/93/1074294293.db2.gz BKWYENRENFGCLK-FHWLQOOXSA-N 0 0 449.552 -0.426 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N(C)[C@@H]1CCCN(C(C)=O)C1 ZINC001186666335 1074294198 /nfs/dbraw/zinc/29/41/98/1074294198.db2.gz BKWYENRENFGCLK-QYZOEREBSA-N 0 0 449.552 -0.426 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC(=O)C(F)(F)C1 ZINC001186666342 1074294360 /nfs/dbraw/zinc/29/43/60/1074294360.db2.gz BWXACJTURFKJHQ-KBPBESRZSA-N 0 0 428.436 -0.459 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC2(CCC(=O)N2)CC1 ZINC001186666395 1074294393 /nfs/dbraw/zinc/29/43/93/1074294393.db2.gz CZUDEHBCIVPUBI-IRXDYDNUSA-N 0 0 447.536 -0.624 20 0 IBADRN CCN(CCn1cccn1)C(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186666398 1074294249 /nfs/dbraw/zinc/29/42/49/1074294249.db2.gz DDEBTUGLSBBKAQ-ROUUACIJSA-N 0 0 432.525 -0.150 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC(n2cnnc2)CC1 ZINC001186666407 1074294354 /nfs/dbraw/zinc/29/43/54/1074294354.db2.gz DMAZZGMPNQCRSP-ROUUACIJSA-N 0 0 445.524 -0.440 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C[C@@H]1C ZINC001186666617 1074294728 /nfs/dbraw/zinc/29/47/28/1074294728.db2.gz IAJFGOHKLNYBMC-HJWJTTGWSA-N 0 0 436.509 -0.628 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCc2oc(C)nc2C1 ZINC001186666630 1074294748 /nfs/dbraw/zinc/29/47/48/1074294748.db2.gz INAJTSJDBBAPIC-IRXDYDNUSA-N 0 0 431.493 -0.014 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1cccc(CC(N)=O)c1 ZINC001186666669 1074294754 /nfs/dbraw/zinc/29/47/54/1074294754.db2.gz KDNMPTHJWMZTOF-ROUUACIJSA-N 0 0 443.504 -0.229 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC2(CCC(=O)O2)CC1 ZINC001186666785 1074294855 /nfs/dbraw/zinc/29/48/55/1074294855.db2.gz KTZVEAJVWQECMB-IRXDYDNUSA-N 0 0 448.520 -0.197 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCc2nccn2CC1 ZINC001186666855 1074294871 /nfs/dbraw/zinc/29/48/71/1074294871.db2.gz NGFGYKZYMGBITQ-IRXDYDNUSA-N 0 0 430.509 -0.614 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(CCF)CC1 ZINC001186666973 1074294851 /nfs/dbraw/zinc/29/48/51/1074294851.db2.gz NSWAKJZGBWWKQG-IRXDYDNUSA-N 0 0 425.505 -0.782 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N(C)Cc1cc(C)nn1C ZINC001186666979 1074294816 /nfs/dbraw/zinc/29/48/16/1074294816.db2.gz NYAWFWWWNIADII-ROUUACIJSA-N 0 0 432.525 -0.195 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001186667031 1074294802 /nfs/dbraw/zinc/29/48/02/1074294802.db2.gz PVVVTFJQDWYBBL-SQNIBIBYSA-N 0 0 435.525 -0.768 20 0 IBADRN CCOC(=O)[C@H]1[C@@H]2CN(C(=O)[C@@H]3CCCN3C(=O)CNC(=O)[C@@H]3CCCN3C(C)=O)C[C@@H]21 ZINC001186667036 1074294781 /nfs/dbraw/zinc/29/47/81/1074294781.db2.gz PYNFUBDKVYRMPZ-CNMWIUBYSA-N 0 0 448.520 -0.628 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCc2nn(C)cc2C1 ZINC001186667179 1074294764 /nfs/dbraw/zinc/29/47/64/1074294764.db2.gz RIIAYVMMZHYAEE-ROUUACIJSA-N 0 0 430.509 -0.577 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](n2ccnn2)C1 ZINC001186667194 1074294865 /nfs/dbraw/zinc/29/48/65/1074294865.db2.gz SFBGWTIYDMPNHC-BZSNNMDCSA-N 0 0 445.524 -0.440 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](n2ccnn2)C1 ZINC001186667195 1074294827 /nfs/dbraw/zinc/29/48/27/1074294827.db2.gz SFBGWTIYDMPNHC-SQNIBIBYSA-N 0 0 445.524 -0.440 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCO[C@H](C(F)(F)F)C1 ZINC001186667222 1074294738 /nfs/dbraw/zinc/29/47/38/1074294738.db2.gz UAUMJXBUXAPECU-KKUMJFAQSA-N 0 0 448.442 -0.106 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCO[C@@H](C(F)(F)F)C1 ZINC001186667223 1074294809 /nfs/dbraw/zinc/29/48/09/1074294809.db2.gz UAUMJXBUXAPECU-SOUVJXGZSA-N 0 0 448.442 -0.106 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1ccc(N)cc1CCO ZINC001186667225 1074295154 /nfs/dbraw/zinc/29/51/54/1074295154.db2.gz UBLZSRNRHWQJSV-OALUTQOASA-N 0 0 445.520 -0.140 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N(CCO)CC(F)(F)F ZINC001186667313 1074295143 /nfs/dbraw/zinc/29/51/43/1074295143.db2.gz XGFBPGHSMWGCQB-KBPBESRZSA-N 0 0 436.431 -0.512 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](n2cncn2)C1 ZINC001186667317 1074295318 /nfs/dbraw/zinc/29/53/18/1074295318.db2.gz XHXCRIWOSMLPFP-BZSNNMDCSA-N 0 0 445.524 -0.440 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](n2cncn2)C1 ZINC001186667318 1074295228 /nfs/dbraw/zinc/29/52/28/1074295228.db2.gz XHXCRIWOSMLPFP-SQNIBIBYSA-N 0 0 445.524 -0.440 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CC(=O)NC2(CCCC2)C1 ZINC001186667354 1074295275 /nfs/dbraw/zinc/29/52/75/1074295275.db2.gz YVYUDKIUYQEOTA-IRXDYDNUSA-N 0 0 447.536 -0.624 20 0 IBADRN O=S(=O)(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccc(OCc2ccccc2)cc1 ZINC001186668280 1074295306 /nfs/dbraw/zinc/29/53/06/1074295306.db2.gz ZPZLJGUDPYSSGC-FVVUREQNSA-N 0 0 425.459 -0.656 20 0 IBADRN O=S(=O)(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1ccc(OCc2ccccc2)cc1 ZINC001186668283 1074295297 /nfs/dbraw/zinc/29/52/97/1074295297.db2.gz ZPZLJGUDPYSSGC-NNIGNNQHSA-N 0 0 425.459 -0.656 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@@H]1c2ccccc2C[C@@H]1O ZINC001186668922 1074295310 /nfs/dbraw/zinc/29/53/10/1074295310.db2.gz AMRZULVQHXWNPK-VNYTWHDVSA-N 0 0 442.516 -0.121 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC(=N)c1ccc(F)cn1 ZINC001186669051 1074295196 /nfs/dbraw/zinc/29/51/96/1074295196.db2.gz CKNUPWKFWXREHR-HOTGVXAUSA-N 0 0 432.456 -0.220 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1cc(O)cc(C(N)=O)c1 ZINC001186669092 1074295261 /nfs/dbraw/zinc/29/52/61/1074295261.db2.gz DEIRTAIYRMACEA-IRXDYDNUSA-N 0 0 445.476 -0.452 20 0 IBADRN COc1ccnc(C(=N)NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)n1 ZINC001186669299 1074295181 /nfs/dbraw/zinc/29/51/81/1074295181.db2.gz FFJSDJUXMMILLA-KBPBESRZSA-N 0 0 445.480 -0.955 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC[C@H](O)c1ccc(O)cc1 ZINC001186669326 1074295323 /nfs/dbraw/zinc/29/53/23/1074295323.db2.gz GFVZCFFTQLAGJS-FHWLQOOXSA-N 0 0 446.504 -0.340 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC[C@@H](O)c1ccc(O)cc1 ZINC001186669327 1074295269 /nfs/dbraw/zinc/29/52/69/1074295269.db2.gz GFVZCFFTQLAGJS-GBESFXJTSA-N 0 0 446.504 -0.340 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(=O)NC1CCCC1 ZINC001186669339 1074295161 /nfs/dbraw/zinc/29/51/61/1074295161.db2.gz GTRLLZFFDCFTNB-IRXDYDNUSA-N 0 0 435.525 -0.721 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N(C)C1CC1 ZINC001186669506 1074295899 /nfs/dbraw/zinc/29/58/99/1074295899.db2.gz KEJXEGCCORQHLS-IRXDYDNUSA-N 0 0 435.525 -0.768 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@@H]1CCc2n[nH]cc2C1 ZINC001186669522 1074295892 /nfs/dbraw/zinc/29/58/92/1074295892.db2.gz LEYUBVHOPFDAMX-NJAFHUGGSA-N 0 0 430.509 -0.499 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@H]1CCc2n[nH]cc2C1 ZINC001186669523 1074295700 /nfs/dbraw/zinc/29/57/00/1074295700.db2.gz LEYUBVHOPFDAMX-SZMVWBNQSA-N 0 0 430.509 -0.499 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccc(C(N)=O)cc1 ZINC001186669538 1074295807 /nfs/dbraw/zinc/29/58/07/1074295807.db2.gz LXQBXNDXVLDBRT-ROUUACIJSA-N 0 0 443.504 -0.480 20 0 IBADRN COC(=O)CCCCCNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186669560 1074295906 /nfs/dbraw/zinc/29/59/06/1074295906.db2.gz NJJJSGHOYNIPBL-IRXDYDNUSA-N 0 0 438.525 -0.046 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC1(N2CCCC2)COC1 ZINC001186669670 1074295874 /nfs/dbraw/zinc/29/58/74/1074295874.db2.gz QDPZWPDNFKFSHR-ROUUACIJSA-N 0 0 449.552 -0.915 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC1(c2cccnn2)CC1 ZINC001186669677 1074295823 /nfs/dbraw/zinc/29/58/23/1074295823.db2.gz QLPNLDTWENUHHS-HOTGVXAUSA-N 0 0 428.493 -0.300 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC1(F)CCOCC1 ZINC001186669684 1074295930 /nfs/dbraw/zinc/29/59/30/1074295930.db2.gz QQXPITPZCLTQAR-HOTGVXAUSA-N 0 0 426.489 -0.261 20 0 IBADRN CCCCOC(=O)[C@H](C)NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186669765 1074295837 /nfs/dbraw/zinc/29/58/37/1074295837.db2.gz SLLBUFPEJZQMRF-XIRDDKMYSA-N 0 0 438.525 -0.048 20 0 IBADRN COCCn1cncc1CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186669861 1074295916 /nfs/dbraw/zinc/29/59/16/1074295916.db2.gz WJFJDGUVULKFGC-ROUUACIJSA-N 0 0 448.524 -0.736 20 0 IBADRN COC(=O)C1(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)CCCC1 ZINC001186670001 1074295842 /nfs/dbraw/zinc/29/58/42/1074295842.db2.gz YCYVJIRKMMDZJB-HOTGVXAUSA-N 0 0 436.509 -0.294 20 0 IBADRN CCOC(=O)C(F)(F)CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186670007 1074295829 /nfs/dbraw/zinc/29/58/29/1074295829.db2.gz YJSGWZALMYARLD-KBPBESRZSA-N 0 0 446.451 -0.581 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccc2nonc2c1 ZINC001186670032 1074295744 /nfs/dbraw/zinc/29/57/44/1074295744.db2.gz ZDQZSKIFTYHUCG-ROUUACIJSA-N 0 0 442.476 -0.043 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccn(C)c(=O)c1 ZINC001186670035 1074295759 /nfs/dbraw/zinc/29/57/59/1074295759.db2.gz ZHFMNTGFOJCDLW-IRXDYDNUSA-N 0 0 431.493 -0.880 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC[C@@H](CO)c1ccccc1 ZINC001186670245 1074296158 /nfs/dbraw/zinc/29/61/58/1074296158.db2.gz AJODUOLMICBNAM-UFYCRDLUSA-N 0 0 444.532 -0.003 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N(C(=N)N)c1cc(C)[nH]n1 ZINC001186670259 1074296237 /nfs/dbraw/zinc/29/62/37/1074296237.db2.gz BACIYOOFVJASBF-KBPBESRZSA-N 0 0 432.485 -0.937 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCO[C@@H]1CCCCO1 ZINC001186670281 1074296142 /nfs/dbraw/zinc/29/61/42/1074296142.db2.gz CBOAUGDTRNQEMZ-JENIJYKNSA-N 0 0 438.525 -0.236 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCO[C@H]1CCCCO1 ZINC001186670282 1074296215 /nfs/dbraw/zinc/29/62/15/1074296215.db2.gz CBOAUGDTRNQEMZ-LNLFQRSKSA-N 0 0 438.525 -0.236 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC1(c2cnccn2)CC1 ZINC001186670301 1074296201 /nfs/dbraw/zinc/29/62/01/1074296201.db2.gz CMYHVULASHLDHH-IRXDYDNUSA-N 0 0 442.520 -0.258 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCOc1ccncc1 ZINC001186670343 1074296132 /nfs/dbraw/zinc/29/61/32/1074296132.db2.gz FHRCKHVVAMOBKJ-ROUUACIJSA-N 0 0 431.493 -0.305 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)Nc1[nH]c(=O)nc2nc[nH]c21 ZINC001186670369 1074296190 /nfs/dbraw/zinc/29/61/90/1074296190.db2.gz GJHONLFZOFHHSN-RYUDHWBXSA-N 0 0 444.452 -0.885 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@H](C)c1ncc(F)cn1 ZINC001186670373 1074296124 /nfs/dbraw/zinc/29/61/24/1074296124.db2.gz GOPNOTKLQUSPIM-KCXAZCMYSA-N 0 0 434.472 -0.089 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1nc2cc[nH]cc-2n1 ZINC001186670386 1074296197 /nfs/dbraw/zinc/29/61/97/1074296197.db2.gz HANCSHFHUWUOCL-IRXDYDNUSA-N 0 0 441.492 -0.308 20 0 IBADRN CCn1cc(NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)ccc1=O ZINC001186670394 1074296205 /nfs/dbraw/zinc/29/62/05/1074296205.db2.gz HJORELBQZSQJOQ-IRXDYDNUSA-N 0 0 431.493 -0.075 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1cnc(Cl)cn1 ZINC001186670421 1074296195 /nfs/dbraw/zinc/29/61/95/1074296195.db2.gz ILSKEQROJXXTGP-GJZGRUSLSA-N 0 0 436.900 -0.136 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCc1c(C)[nH][nH]c1=O ZINC001186670436 1074296148 /nfs/dbraw/zinc/29/61/48/1074296148.db2.gz JAIWRORDBOUJMW-HOTGVXAUSA-N 0 0 434.497 -0.799 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC1(c2nc(C)no2)CC1 ZINC001186670441 1074296682 /nfs/dbraw/zinc/29/66/82/1074296682.db2.gz JDRYGHGZEHIFST-GJZGRUSLSA-N 0 0 432.481 -0.399 20 0 IBADRN COc1ccc(CCNC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)nc1 ZINC001186670456 1074296651 /nfs/dbraw/zinc/29/66/51/1074296651.db2.gz JNYNQJQDYHJHFZ-OALUTQOASA-N 0 0 445.520 -0.133 20 0 IBADRN COC(CCCNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O)OC ZINC001186670484 1074296574 /nfs/dbraw/zinc/29/65/74/1074296574.db2.gz KSCHPCIGLDCYNY-HOTGVXAUSA-N 0 0 426.514 -0.380 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC1(c2cnccn2)CC1 ZINC001186670517 1074296585 /nfs/dbraw/zinc/29/65/85/1074296585.db2.gz LOHXWZKIDMAECQ-HOTGVXAUSA-N 0 0 428.493 -0.300 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCc1ccc(CO)cc1 ZINC001186670520 1074296655 /nfs/dbraw/zinc/29/66/55/1074296655.db2.gz LQUOODHPHYVDLH-PMACEKPBSA-N 0 0 444.532 -0.044 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccnn1CCF ZINC001186670547 1074296566 /nfs/dbraw/zinc/29/65/66/1074296566.db2.gz MPBXXDIWPJAAFC-IRXDYDNUSA-N 0 0 436.488 -0.413 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001186670555 1074296614 /nfs/dbraw/zinc/29/66/14/1074296614.db2.gz MZTNZGXWQVENKH-ULQDDVLXSA-N 0 0 435.525 -0.768 20 0 IBADRN CC(=O)NCCCCCNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186670569 1074296629 /nfs/dbraw/zinc/29/66/29/1074296629.db2.gz NNXKQFNRMGRCGC-ROUUACIJSA-N 0 0 437.541 -0.473 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC1CCN(CCF)CC1 ZINC001186670575 1074296590 /nfs/dbraw/zinc/29/65/90/1074296590.db2.gz NSYCLGZJWBXNQK-ROUUACIJSA-N 0 0 439.532 -0.345 20 0 IBADRN COC(=O)c1ccc(CNC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)[nH]1 ZINC001186670587 1074296639 /nfs/dbraw/zinc/29/66/39/1074296639.db2.gz OBLYGGRBYSRRIJ-IRXDYDNUSA-N 0 0 447.492 -0.464 20 0 IBADRN COc1cncc(CNC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)c1 ZINC001186670600 1074296633 /nfs/dbraw/zinc/29/66/33/1074296633.db2.gz ONYZLVRVVQEIDR-ROUUACIJSA-N 0 0 431.493 -0.176 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccc2n[nH]nc2c1 ZINC001186670606 1074296660 /nfs/dbraw/zinc/29/66/60/1074296660.db2.gz OSMAILOTABEJHR-ROUUACIJSA-N 0 0 441.492 -0.308 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1cc2n(n1)CCCO2 ZINC001186670630 1074296686 /nfs/dbraw/zinc/29/66/86/1074296686.db2.gz PGMYYLFPBZDCAW-IRXDYDNUSA-N 0 0 446.508 -0.600 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@H]1NC(=O)c2ccccc21 ZINC001186670644 1074296604 /nfs/dbraw/zinc/29/66/04/1074296604.db2.gz QCOWXMLBUCWMTK-JENIJYKNSA-N 0 0 441.488 -0.337 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)N[C@@H]1NC(=O)c2ccccc21 ZINC001186670645 1074296577 /nfs/dbraw/zinc/29/65/77/1074296577.db2.gz QCOWXMLBUCWMTK-LNLFQRSKSA-N 0 0 441.488 -0.337 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1nccc(Cl)n1 ZINC001186670658 1074297015 /nfs/dbraw/zinc/29/70/15/1074297015.db2.gz QSBKCSHXPFQULS-KBPBESRZSA-N 0 0 436.900 -0.136 20 0 IBADRN COc1ccc(CNC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)nn1 ZINC001186670682 1074297050 /nfs/dbraw/zinc/29/70/50/1074297050.db2.gz RRAUAKUTBKFHSC-HOTGVXAUSA-N 0 0 432.481 -0.781 20 0 IBADRN COc1cnccc1CCNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001186670688 1074296985 /nfs/dbraw/zinc/29/69/85/1074296985.db2.gz RUDXMBVTSQVSIF-ROUUACIJSA-N 0 0 445.520 -0.133 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCN1CCC(F)(F)C1 ZINC001186670828 1074297082 /nfs/dbraw/zinc/29/70/82/1074297082.db2.gz YFZYZFSFQRCKOB-HOTGVXAUSA-N 0 0 443.495 -0.438 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NC(C)(C)C ZINC001186670829 1074297061 /nfs/dbraw/zinc/29/70/61/1074297061.db2.gz YGGWIKMHPBZHDN-HOTGVXAUSA-N 0 0 437.541 -0.475 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccc(Cl)nn1 ZINC001186670842 1074297010 /nfs/dbraw/zinc/29/70/10/1074297010.db2.gz YSKFJTMZDLVEOP-GJZGRUSLSA-N 0 0 436.900 -0.136 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001186670843 1074297077 /nfs/dbraw/zinc/29/70/77/1074297077.db2.gz YTOILNUMELTXPT-NCOADZHNSA-N 0 0 436.509 -0.438 20 0 IBADRN COC(=O)[C@H]1CC[C@H](NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001186670844 1074296975 /nfs/dbraw/zinc/29/69/75/1074296975.db2.gz YTOILNUMELTXPT-QAETUUGQSA-N 0 0 436.509 -0.438 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001186670845 1074297035 /nfs/dbraw/zinc/29/70/35/1074297035.db2.gz YTOILNUMELTXPT-QZWWFDLISA-N 0 0 436.509 -0.438 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](NC(=O)[C@@H]2CCCN2C(=O)CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001186670846 1074297040 /nfs/dbraw/zinc/29/70/40/1074297040.db2.gz YTOILNUMELTXPT-YVSFHVDLSA-N 0 0 436.509 -0.438 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NC1(c2ncccn2)CC1 ZINC001186670869 1074297067 /nfs/dbraw/zinc/29/70/67/1074297067.db2.gz ZPLWZOMRCSURFX-HOTGVXAUSA-N 0 0 428.493 -0.300 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)NCCS(=O)(=O)N3CCSCC3)cc21 ZINC001186674016 1074305437 /nfs/dbraw/zinc/30/54/37/1074305437.db2.gz ACOSYKOPDDEMTK-UHFFFAOYSA-N 0 0 435.549 -0.534 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC001186679469 1074305613 /nfs/dbraw/zinc/30/56/13/1074305613.db2.gz XABKJLLODVWTDJ-UHFFFAOYSA-N 0 0 432.568 -0.811 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(OCc2ccccc2)cc1 ZINC001186684565 1074305080 /nfs/dbraw/zinc/30/50/80/1074305080.db2.gz JGAVEJZCZKHZBT-UHFFFAOYSA-N 0 0 434.474 -0.738 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)CCC(=O)N[C@@H](Cc1ccccc1)C(=O)OC ZINC001186697820 1074305259 /nfs/dbraw/zinc/30/52/59/1074305259.db2.gz DCRDNGGWSBEDHL-DLBZAZTESA-N 0 0 447.488 -0.053 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)CCC(=O)N[C@@H](Cc1ccccc1)C(=O)OC ZINC001186697823 1074305191 /nfs/dbraw/zinc/30/51/91/1074305191.db2.gz DCRDNGGWSBEDHL-IRXDYDNUSA-N 0 0 447.488 -0.053 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)CCC(=O)N1CC(N2CCN(C)C(=O)C2)C1 ZINC001186698144 1074305026 /nfs/dbraw/zinc/30/50/26/1074305026.db2.gz IKCNQOXZWSIPJM-SFHVURJKSA-N 0 0 430.505 -0.348 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)CCC(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001186698984 1074305131 /nfs/dbraw/zinc/30/51/31/1074305131.db2.gz VOOOVFHSUGGYJX-INIZCTEOSA-N 0 0 425.507 -0.229 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)CCC(=O)N1CCN(C(C)=O)[C@H](C(=O)OC)C1 ZINC001186703067 1074305251 /nfs/dbraw/zinc/30/52/51/1074305251.db2.gz CACWGSNNEOHOTD-ROUUACIJSA-N 0 0 447.488 -0.101 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)CCC(=O)N1CCN(C(C)=O)[C@@H](C(=O)OC)C1 ZINC001186703068 1074305098 /nfs/dbraw/zinc/30/50/98/1074305098.db2.gz CACWGSNNEOHOTD-ZWKOTPCHSA-N 0 0 447.488 -0.101 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)CCC(=O)NCCOCCN1C(=O)C=CC1=O ZINC001186708109 1074305116 /nfs/dbraw/zinc/30/51/16/1074305116.db2.gz IHYHQXJZKXIECA-KRWDZBQOSA-N 0 0 445.472 -0.275 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)CCC(=O)NCCN1CCCS1(=O)=O ZINC001186712086 1074305561 /nfs/dbraw/zinc/30/55/61/1074305561.db2.gz YRCFYKKXEBKXKO-INIZCTEOSA-N 0 0 425.507 -0.181 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CC(=O)NC[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001186715881 1074305595 /nfs/dbraw/zinc/30/55/95/1074305595.db2.gz PRBHCMSVKDJUAN-IZDJOXEWSA-N 0 0 440.497 -0.219 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CC(=O)NC[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001186715882 1074305487 /nfs/dbraw/zinc/30/54/87/1074305487.db2.gz PRBHCMSVKDJUAN-VFGMZZLHSA-N 0 0 440.497 -0.219 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1C[C@@H]2COC[C@H](C(=O)N3CCCCO3)[C@@H]2C1 ZINC001186716127 1074305519 /nfs/dbraw/zinc/30/55/19/1074305519.db2.gz AMQHUFQZHYHHPZ-HSMRXVHUSA-N 0 0 425.482 -0.282 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1C[C@H](NC(=O)c2cnn(C)c2)[C@H]2OCCC[C@H]21 ZINC001186716144 1074305496 /nfs/dbraw/zinc/30/54/96/1074305496.db2.gz AXMOXNBEBHMYRA-GYRMQKGHSA-N 0 0 435.481 -0.635 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCc2ccc(=O)n(CC(=O)N(C)C)c2CC1 ZINC001186716163 1074305586 /nfs/dbraw/zinc/30/55/86/1074305586.db2.gz BJHJSMUGJGBPRE-ZIAGYGMSSA-N 0 0 434.493 -0.680 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCCn2c(=O)cc(Cn3ccnc3)nc2C1 ZINC001186716188 1074305527 /nfs/dbraw/zinc/30/55/27/1074305527.db2.gz CKZVQLHXJQCUNV-ZIAGYGMSSA-N 0 0 430.465 -0.323 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCC2(CC1)CN(c1cncnc1)C(=O)CO2 ZINC001186716524 1074305477 /nfs/dbraw/zinc/30/54/77/1074305477.db2.gz FGBCQTCCTQWNOT-ZIAGYGMSSA-N 0 0 433.465 -0.343 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCn2c(CNC(=O)c3ccno3)cnc2C1 ZINC001186716591 1074305202 /nfs/dbraw/zinc/30/52/02/1074305202.db2.gz GBHVUAUYQABKKJ-VXGBXAGGSA-N 0 0 432.437 -0.400 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCN(C(=O)C(C)C)C[C@@]2(CCCC(=O)N2)C1 ZINC001186716592 1074305143 /nfs/dbraw/zinc/30/51/43/1074305143.db2.gz GCMOELJUUBTTNE-PZPWOCDFSA-N 0 0 438.525 -0.192 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCN(C(=O)C(C)C)C[C@]2(CCCC(=O)N2)C1 ZINC001186716594 1074305271 /nfs/dbraw/zinc/30/52/71/1074305271.db2.gz GCMOELJUUBTTNE-VTJXTGGHSA-N 0 0 438.525 -0.192 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCO[C@H]2[C@H](OCC(=O)N3CCCC3)CC[C@@H]21 ZINC001186716665 1074305233 /nfs/dbraw/zinc/30/52/33/1074305233.db2.gz HCBGIZNMVIDYML-PVTMFURFSA-N 0 0 439.509 -0.160 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CC[C@H]2O[C@@H](COCC(=O)N(C)C)CC[C@H]21 ZINC001186716896 1074305549 /nfs/dbraw/zinc/30/55/49/1074305549.db2.gz LDKKPFXEHLIBCS-PVTMVUMOSA-N 0 0 427.498 -0.304 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCn2cc(CNC(=O)c3cccn3C)nc2C1 ZINC001186716897 1074305428 /nfs/dbraw/zinc/30/54/28/1074305428.db2.gz LEMRHAWALYJRMG-ZIAGYGMSSA-N 0 0 444.492 -0.050 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCC2(CC1)OCC(=O)N(c1cnn(C)c1)[C@H]2C ZINC001186717073 1074305446 /nfs/dbraw/zinc/30/54/46/1074305446.db2.gz NVHMHSQBHMCOFI-KFWWJZLASA-N 0 0 449.508 -0.011 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCC2(CC1)OCC(=O)N(c1cnn(C)c1)[C@@H]2C ZINC001186717075 1074305507 /nfs/dbraw/zinc/30/55/07/1074305507.db2.gz NVHMHSQBHMCOFI-RBSFLKMASA-N 0 0 449.508 -0.011 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1C[C@@H]2COC[C@]2(COCC(=O)N2CCCC2)C1 ZINC001186717222 1074305602 /nfs/dbraw/zinc/30/56/02/1074305602.db2.gz OSBNISLTMLOMQR-SZUSHISOSA-N 0 0 439.509 -0.443 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1C[C@H](NC(=O)c2cccn2C)[C@H]2OCCC[C@H]21 ZINC001186717226 1074305630 /nfs/dbraw/zinc/30/56/30/1074305630.db2.gz OXAWYRHHWFLMHZ-CVFLJDHBSA-N 0 0 434.493 -0.030 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC001186717522 1074305454 /nfs/dbraw/zinc/30/54/54/1074305454.db2.gz QAHNBVNJMIVFSD-HBUWYVDXSA-N 0 0 433.461 -0.047 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC001186717524 1074305577 /nfs/dbraw/zinc/30/55/77/1074305577.db2.gz QAHNBVNJMIVFSD-LBTNJELSSA-N 0 0 433.461 -0.047 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCC2(C[C@@H](C(=O)N3CCOCC3)CO2)CC1 ZINC001186717536 1074305472 /nfs/dbraw/zinc/30/54/72/1074305472.db2.gz QEWPYXFMMDCDMV-BFYDXBDKSA-N 0 0 439.509 -0.301 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCC2(C[C@H](C(=O)N3CCOCC3)CO2)CC1 ZINC001186717539 1074305513 /nfs/dbraw/zinc/30/55/13/1074305513.db2.gz QEWPYXFMMDCDMV-INMHGKMJSA-N 0 0 439.509 -0.301 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCOC[C@@]2(CN(C(=O)C(C)C)CCO2)C1 ZINC001186717655 1074305919 /nfs/dbraw/zinc/30/59/19/1074305919.db2.gz SJDXOADCQDQIEH-STXHMFSFSA-N 0 0 427.498 -0.445 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCOC[C@]2(CN(C(=O)C(C)C)CCO2)C1 ZINC001186717657 1074306041 /nfs/dbraw/zinc/30/60/41/1074306041.db2.gz SJDXOADCQDQIEH-SXGZJXTBSA-N 0 0 427.498 -0.445 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1C[C@@H]2COC[C@H](C(=O)Nc3cnccn3)[C@@H]2C1 ZINC001186717692 1074305881 /nfs/dbraw/zinc/30/58/81/1074305881.db2.gz STUBIBKTFMKXKY-JLHFOLKXSA-N 0 0 433.465 -0.408 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCC2(CC1)CN(C(=O)c1cnccn1)CCO2 ZINC001186717914 1074305979 /nfs/dbraw/zinc/30/59/79/1074305979.db2.gz UEPXLUFLJUXASC-HUUCEWRRSA-N 0 0 447.492 -0.234 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCc2c(C(=O)N3CCN(C)CC3)nn(C)c2C1 ZINC001186717924 1074306063 /nfs/dbraw/zinc/30/60/63/1074306063.db2.gz UMGKOIHJHZGGLP-ZIAGYGMSSA-N 0 0 448.524 -0.851 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1C[C@@H]2COC[C@@H](CC(=O)N3CCOCC3)[C@@H]2C1 ZINC001186717932 1074305972 /nfs/dbraw/zinc/30/59/72/1074305972.db2.gz UPEUKDVEPNEVHD-TWPTVVAISA-N 0 0 439.509 -0.587 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001186717981 1074306087 /nfs/dbraw/zinc/30/60/87/1074306087.db2.gz UTFTXYOMNQOPNP-STXHMFSFSA-N 0 0 435.481 -0.633 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001186717994 1074306048 /nfs/dbraw/zinc/30/60/48/1074306048.db2.gz UTFTXYOMNQOPNP-SXGZJXTBSA-N 0 0 435.481 -0.633 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CC[C@H]2[C@H](CO[C@@H]2CNC(=O)c2cnn(C)c2)C1 ZINC001186718049 1074306057 /nfs/dbraw/zinc/30/60/57/1074306057.db2.gz VHVBDMRPKMEKDE-KAEUXLIGSA-N 0 0 449.508 -0.530 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O)C2)C1 ZINC001186718107 1074305955 /nfs/dbraw/zinc/30/59/55/1074305955.db2.gz VYYDZHOBOJZHKY-STXHMFSFSA-N 0 0 426.514 -0.870 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O)C2)C1 ZINC001186718109 1074305987 /nfs/dbraw/zinc/30/59/87/1074305987.db2.gz VYYDZHOBOJZHKY-SXGZJXTBSA-N 0 0 426.514 -0.870 20 0 IBADRN COC[C@@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O ZINC001186718119 1074305910 /nfs/dbraw/zinc/30/59/10/1074305910.db2.gz WDRMSSUZOLGLLT-JMSVASOKSA-N 0 0 433.469 -0.508 20 0 IBADRN COC[C@H]1Cn2nnc(-c3cnn(C)c3)c2CN1C(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O ZINC001186718121 1074306007 /nfs/dbraw/zinc/30/60/07/1074306007.db2.gz WDRMSSUZOLGLLT-LALPHHSUSA-N 0 0 433.469 -0.508 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O ZINC001186718136 1074306079 /nfs/dbraw/zinc/30/60/79/1074306079.db2.gz WKWXCUGRQCQXKY-DHYLWCPWSA-N 0 0 427.498 -0.302 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O ZINC001186718139 1074305893 /nfs/dbraw/zinc/30/58/93/1074305893.db2.gz WKWXCUGRQCQXKY-GIQLZXEWSA-N 0 0 427.498 -0.302 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](N2CCOCC2)CCN1C(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O ZINC001186718141 1074306015 /nfs/dbraw/zinc/30/60/15/1074306015.db2.gz WKWXCUGRQCQXKY-NKSTXHLWSA-N 0 0 427.498 -0.302 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](N2CCOCC2)CCN1C(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O ZINC001186718143 1074306071 /nfs/dbraw/zinc/30/60/71/1074306071.db2.gz WKWXCUGRQCQXKY-NOAAKOMESA-N 0 0 427.498 -0.302 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCO[C@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1 ZINC001186718145 1074305948 /nfs/dbraw/zinc/30/59/48/1074305948.db2.gz WLUWMOGZIZWWTG-CWAQJACASA-N 0 0 447.492 -0.331 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001186718148 1074306033 /nfs/dbraw/zinc/30/60/33/1074306033.db2.gz WLUWMOGZIZWWTG-IVQMADKQSA-N 0 0 447.492 -0.331 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@H]21 ZINC001186718151 1074306422 /nfs/dbraw/zinc/30/64/22/1074306422.db2.gz WLUWMOGZIZWWTG-RUEOWNRQSA-N 0 0 447.492 -0.331 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCO[C@@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001186718153 1074306322 /nfs/dbraw/zinc/30/63/22/1074306322.db2.gz WLUWMOGZIZWWTG-WAWQUYLISA-N 0 0 447.492 -0.331 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CC[C@]2(C[C@@H](OCC(=O)N3CCCC3)CO2)C1 ZINC001186718470 1074306370 /nfs/dbraw/zinc/30/63/70/1074306370.db2.gz ZDOMTJGMRZOJLR-SZUSHISOSA-N 0 0 439.509 -0.158 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1C[C@H](NC(=O)c2nccn2C)[C@H]2OCCC[C@H]21 ZINC001186718472 1074306358 /nfs/dbraw/zinc/30/63/58/1074306358.db2.gz ZGFMZIUQXGBPBR-HUHANWACSA-N 0 0 435.481 -0.635 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N1CCN(CC(=O)NCCc2ccccc2)CC1 ZINC001186719314 1074306454 /nfs/dbraw/zinc/30/64/54/1074306454.db2.gz HPBQBBSYUYXOFV-IAGOWNOFSA-N 0 0 432.521 -0.054 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001186727100 1074306465 /nfs/dbraw/zinc/30/64/65/1074306465.db2.gz GIVLLZFILLFDFY-CHWSQXEVSA-N 0 0 428.486 -0.362 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)NCc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001186727177 1074306441 /nfs/dbraw/zinc/30/64/41/1074306441.db2.gz IVAZCKDAMHYHPB-ZIAGYGMSSA-N 0 0 441.506 -0.220 20 0 IBADRN COc1ccc(C[C@@H](C)NC(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O)cc1S(N)(=O)=O ZINC001186727285 1074306471 /nfs/dbraw/zinc/30/64/71/1074306471.db2.gz ZIZPGAKRFUSORO-IJLUTSLNSA-N 0 0 429.495 -0.154 20 0 IBADRN CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)NC1CCN(S(=O)(=O)c2ccccn2)CC1 ZINC001186727583 1074306374 /nfs/dbraw/zinc/30/63/74/1074306374.db2.gz UPHLXJFKGBBCEO-CHWSQXEVSA-N 0 0 426.495 -0.193 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CCCCNC(=O)c1cc2ccc(O)cc2oc1=O ZINC001186769428 1074306384 /nfs/dbraw/zinc/30/63/84/1074306384.db2.gz HTVMTOCRFQGALV-UHFFFAOYSA-N 0 0 433.417 -0.196 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NCCN1C(=O)CNC1=O ZINC001186780215 1074306461 /nfs/dbraw/zinc/30/64/61/1074306461.db2.gz PKQAJEZCASOWDF-CABCVRRESA-N 0 0 440.478 -0.506 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NCCN1C(=O)CNC1=O ZINC001186780221 1074306307 /nfs/dbraw/zinc/30/63/07/1074306307.db2.gz PKQAJEZCASOWDF-GJZGRUSLSA-N 0 0 440.478 -0.506 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)NCCN1C(=O)CNC1=O ZINC001186780223 1074306347 /nfs/dbraw/zinc/30/63/47/1074306347.db2.gz PKQAJEZCASOWDF-HUUCEWRRSA-N 0 0 440.478 -0.506 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)NCCN1C(=O)CNC1=O ZINC001186780225 1074306409 /nfs/dbraw/zinc/30/64/09/1074306409.db2.gz PKQAJEZCASOWDF-LSDHHAIUSA-N 0 0 440.478 -0.506 20 0 IBADRN Cn1ncc(S(=O)(=O)NCCCN2C(=O)CNC2=O)c1I ZINC001186788016 1074306330 /nfs/dbraw/zinc/30/63/30/1074306330.db2.gz MRGXMAPBKFPVIR-UHFFFAOYSA-N 0 0 427.224 -0.755 20 0 IBADRN CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@H](C(=O)N2CCn3c(CNC(C)=O)nnc3C2)C1 ZINC001186792252 1074306416 /nfs/dbraw/zinc/30/64/16/1074306416.db2.gz UPWBEESSVKMJFE-JLTOFOAXSA-N 0 0 433.465 -0.236 20 0 IBADRN CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@@H](C(=O)N2CCn3c(CNC(C)=O)nnc3C2)C1 ZINC001186792253 1074306398 /nfs/dbraw/zinc/30/63/98/1074306398.db2.gz UPWBEESSVKMJFE-VBKZILBWSA-N 0 0 433.465 -0.236 20 0 IBADRN CCOC(=O)[C@@]1(C(C)=O)CCC(=O)[C@H](C(=O)N2CCn3c(CNC(C)=O)nnc3C2)C1 ZINC001186792254 1074306654 /nfs/dbraw/zinc/30/66/54/1074306654.db2.gz UPWBEESSVKMJFE-VLIAUNLRSA-N 0 0 433.465 -0.236 20 0 IBADRN CCOC(=O)[C@@]1(C(C)=O)CCC(=O)[C@@H](C(=O)N2CCn3c(CNC(C)=O)nnc3C2)C1 ZINC001186792255 1074306781 /nfs/dbraw/zinc/30/67/81/1074306781.db2.gz UPWBEESSVKMJFE-XOBRGWDASA-N 0 0 433.465 -0.236 20 0 IBADRN CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@H](C(=O)N2CC[C@@]3(C2)CNC(=O)CN3C(C)=O)C1 ZINC001186793335 1074306665 /nfs/dbraw/zinc/30/66/65/1074306665.db2.gz YRSXRSUYEKDMGK-GQWLDOHISA-N 0 0 435.477 -0.557 20 0 IBADRN CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@H](C(=O)N2CC[C@]3(C2)CNC(=O)CN3C(C)=O)C1 ZINC001186793337 1074306764 /nfs/dbraw/zinc/30/67/64/1074306764.db2.gz YRSXRSUYEKDMGK-IPHXSNPTSA-N 0 0 435.477 -0.557 20 0 IBADRN CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@@H](C(=O)N2CC[C@]3(C2)CNC(=O)CN3C(C)=O)C1 ZINC001186793339 1074306819 /nfs/dbraw/zinc/30/68/19/1074306819.db2.gz YRSXRSUYEKDMGK-IWMITWMQSA-N 0 0 435.477 -0.557 20 0 IBADRN CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@@H](C(=O)N2CC[C@@]3(C2)CNC(=O)CN3C(C)=O)C1 ZINC001186793341 1074306835 /nfs/dbraw/zinc/30/68/35/1074306835.db2.gz YRSXRSUYEKDMGK-ONGXBYRLSA-N 0 0 435.477 -0.557 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)c(Cl)c1 ZINC001186797662 1074306713 /nfs/dbraw/zinc/30/67/13/1074306713.db2.gz NEUGMLAKEHAPCL-UHFFFAOYSA-N 0 0 446.791 -0.765 20 0 IBADRN CCN(C1CCN(S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CC1)S(C)(=O)=O ZINC001186799972 1074306314 /nfs/dbraw/zinc/30/63/14/1074306314.db2.gz KVJBDQFZQUDIIA-CYBMUJFWSA-N 0 0 431.602 -0.656 20 0 IBADRN CCN(C1CCN(S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CC1)S(C)(=O)=O ZINC001186799973 1074306365 /nfs/dbraw/zinc/30/63/65/1074306365.db2.gz KVJBDQFZQUDIIA-ZDUSSCGKSA-N 0 0 431.602 -0.656 20 0 IBADRN CCOC(=O)[C@@]1(C(C)=O)CCC(=O)[C@H](C(=O)NCCCN2CCS(=O)(=O)CC2)C1 ZINC001186809291 1074306626 /nfs/dbraw/zinc/30/66/26/1074306626.db2.gz ZGDTWIGDQGPWGS-BEFAXECRSA-N 0 0 430.523 -0.269 20 0 IBADRN CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@H](C(=O)NCCCN2CCS(=O)(=O)CC2)C1 ZINC001186809292 1074306754 /nfs/dbraw/zinc/30/67/54/1074306754.db2.gz ZGDTWIGDQGPWGS-DNVCBOLYSA-N 0 0 430.523 -0.269 20 0 IBADRN CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@@H](C(=O)NCCCN2CCS(=O)(=O)CC2)C1 ZINC001186809293 1074306827 /nfs/dbraw/zinc/30/68/27/1074306827.db2.gz ZGDTWIGDQGPWGS-HNAYVOBHSA-N 0 0 430.523 -0.269 20 0 IBADRN CCOC(=O)[C@@]1(C(C)=O)CCC(=O)[C@@H](C(=O)NCCCN2CCS(=O)(=O)CC2)C1 ZINC001186809294 1074306799 /nfs/dbraw/zinc/30/67/99/1074306799.db2.gz ZGDTWIGDQGPWGS-KXBFYZLASA-N 0 0 430.523 -0.269 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@@H](C)C3)CC2)c(=O)o1 ZINC001186818178 1074306809 /nfs/dbraw/zinc/30/68/09/1074306809.db2.gz DXMJTZSSCGEXNZ-GDBMZVCRSA-N 0 0 429.543 -0.213 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCN(CC(=O)N[C@@H]3CCC[C@H](C)C3)CC2)c(=O)o1 ZINC001186818179 1074306776 /nfs/dbraw/zinc/30/67/76/1074306776.db2.gz DXMJTZSSCGEXNZ-GOEBONIOSA-N 0 0 429.543 -0.213 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCN(CC(=O)N[C@H]3CCC[C@H](C)C3)CC2)c(=O)o1 ZINC001186818180 1074306705 /nfs/dbraw/zinc/30/67/05/1074306705.db2.gz DXMJTZSSCGEXNZ-HOCLYGCPSA-N 0 0 429.543 -0.213 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCN(CC(=O)N[C@H]3CCC[C@@H](C)C3)CC2)c(=O)o1 ZINC001186818181 1074306684 /nfs/dbraw/zinc/30/66/84/1074306684.db2.gz DXMJTZSSCGEXNZ-ZBFHGGJFSA-N 0 0 429.543 -0.213 20 0 IBADRN O=S(=O)(c1ccco1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001186824234 1074307170 /nfs/dbraw/zinc/30/71/70/1074307170.db2.gz UVMPFCXAYPFZHA-UONOGXRCSA-N 0 0 440.503 -0.009 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1 ZINC001186849317 1074306640 /nfs/dbraw/zinc/30/66/40/1074306640.db2.gz BSVZTYWDEZBSFS-CHWSQXEVSA-N 0 0 427.545 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1 ZINC001186849318 1074306787 /nfs/dbraw/zinc/30/67/87/1074306787.db2.gz BSVZTYWDEZBSFS-OLZOCXBDSA-N 0 0 427.545 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1 ZINC001186849319 1074306696 /nfs/dbraw/zinc/30/66/96/1074306696.db2.gz BSVZTYWDEZBSFS-QWHCGFSZSA-N 0 0 427.545 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1 ZINC001186849320 1074306743 /nfs/dbraw/zinc/30/67/43/1074306743.db2.gz BSVZTYWDEZBSFS-STQMWFEESA-N 0 0 427.545 -0.424 20 0 IBADRN COC(=O)C1(CS(=O)(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001186851395 1074306733 /nfs/dbraw/zinc/30/67/33/1074306733.db2.gz WJKZPFDOSLMVPR-UHFFFAOYSA-N 0 0 445.563 -0.005 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccco1)C(N)=O ZINC001186884623 1074307154 /nfs/dbraw/zinc/30/71/54/1074307154.db2.gz GOCMHELKPZPFTC-STQMWFEESA-N 0 0 448.567 -0.188 20 0 IBADRN Cc1nn(CCS(=O)(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)c(=O)o1 ZINC001186886224 1074307261 /nfs/dbraw/zinc/30/72/61/1074307261.db2.gz RUULWDWUHYTAAO-UHFFFAOYSA-N 0 0 436.434 -0.374 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)C1 ZINC001186903575 1074307295 /nfs/dbraw/zinc/30/72/95/1074307295.db2.gz PDYNFAZPNGXZPJ-AWEZNQCLSA-N 0 0 433.490 -0.039 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)C1 ZINC001186903576 1074307323 /nfs/dbraw/zinc/30/73/23/1074307323.db2.gz PDYNFAZPNGXZPJ-CQSZACIVSA-N 0 0 433.490 -0.039 20 0 IBADRN CN(C)C(=O)CN1CCO[C@@H]2CN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC[C@@H]2C1 ZINC001186905663 1074307302 /nfs/dbraw/zinc/30/73/02/1074307302.db2.gz BZDMDEZOZHAQML-RDTXWAMCSA-N 0 0 436.534 -0.019 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4ccc5c(c4)CC(=O)N5)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001186907768 1074307762 /nfs/dbraw/zinc/30/77/62/1074307762.db2.gz UAEPGVCXSOANSR-ISTRZQFTSA-N 0 0 427.504 -0.253 20 0 IBADRN O=C(N[C@@H]1CN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001186908348 1074307736 /nfs/dbraw/zinc/30/77/36/1074307736.db2.gz YAXPVAKZLLSZRV-KBRIMQKVSA-N 0 0 429.458 -0.211 20 0 IBADRN O=C(NCC1CCOCC1)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001186910447 1074307611 /nfs/dbraw/zinc/30/76/11/1074307611.db2.gz DCHKGKOVSHFIQO-SDBHATRESA-N 0 0 442.860 -0.361 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(S(=O)(=O)c1ccc3c(c1)CC(=O)N3)C2 ZINC001186910514 1074307598 /nfs/dbraw/zinc/30/75/98/1074307598.db2.gz BKRFTRWRMFIABF-UHFFFAOYSA-N 0 0 434.478 -0.418 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=O)NCC2CCOCC2)[C@H](O)[C@@H]1O ZINC001186910857 1074307794 /nfs/dbraw/zinc/30/77/94/1074307794.db2.gz KVEUCXJWZHWMTM-MOROJQBDSA-N 0 0 449.468 -0.870 20 0 IBADRN O=C(NCC1CCOCC1)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001186911162 1074307745 /nfs/dbraw/zinc/30/77/45/1074307745.db2.gz OZZHJYKUMNMVOQ-LSCFUAHRSA-N 0 0 425.417 -0.270 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)C[C@H]2C1 ZINC001186911727 1074307621 /nfs/dbraw/zinc/30/76/21/1074307621.db2.gz MZMFIHSKNWVCGJ-TZMCWYRMSA-N 0 0 428.536 -0.070 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1COC[C@@H]1C(=O)n1c(N)c2ncn(COCCO)c2nc1=N ZINC001186918018 1074307788 /nfs/dbraw/zinc/30/77/88/1074307788.db2.gz UTIDWTQFDQDJAU-WDEREUQCSA-N 0 0 437.457 -0.559 20 0 IBADRN O=C(NCC1CCOCC1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001186920777 1074307634 /nfs/dbraw/zinc/30/76/34/1074307634.db2.gz UJDFCDCAJVBOTO-BRWVUGGUSA-N 0 0 429.539 -0.284 20 0 IBADRN O=C(NCC1CCOCC1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001186920780 1074307785 /nfs/dbraw/zinc/30/77/85/1074307785.db2.gz UJDFCDCAJVBOTO-GVDBMIGSSA-N 0 0 429.539 -0.284 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1COC[C@@H]1C(=O)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001186925404 1074307714 /nfs/dbraw/zinc/30/77/14/1074307714.db2.gz SAJWABTXODFXSF-LSDHHAIUSA-N 0 0 436.513 -0.008 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@H]1COC[C@H]1NC(=O)OC(C)(C)C)C[C@@H]2C(=O)N(C)C ZINC001186926344 1074307194 /nfs/dbraw/zinc/30/71/94/1074307194.db2.gz KOCLUQASTHCWHU-ARFHVFGLSA-N 0 0 438.525 -0.079 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)[C@H]1COC[C@H]1NC(=O)OC(C)(C)C)C[C@H]2C(=O)N(C)C ZINC001186926346 1074307209 /nfs/dbraw/zinc/30/72/09/1074307209.db2.gz KOCLUQASTHCWHU-HRCADAONSA-N 0 0 438.525 -0.079 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1COC[C@H]1NC(=O)OC(C)(C)C)C2 ZINC001186926788 1074307335 /nfs/dbraw/zinc/30/73/35/1074307335.db2.gz SOZRPGBJZHAYHA-RWMBFGLXSA-N 0 0 432.495 -0.285 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1COC[C@H]1NC(=O)OC(C)(C)C)C2 ZINC001186926804 1074307204 /nfs/dbraw/zinc/30/72/04/1074307204.db2.gz SOZRPGBJZHAYHA-YNEHKIRRSA-N 0 0 432.495 -0.285 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)NCC1CCOCC1)C(=O)NCC(N)=O ZINC001186927647 1074307287 /nfs/dbraw/zinc/30/72/87/1074307287.db2.gz VQULRJCWZMYNOQ-HOTGVXAUSA-N 0 0 425.530 -0.281 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1COC[C@@H]1C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001186934915 1074307186 /nfs/dbraw/zinc/30/71/86/1074307186.db2.gz JJRRXSCSHLHJBS-HRCADAONSA-N 0 0 434.493 -0.145 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cccc(-n3cnnn3)c1)CC2 ZINC001186957230 1074307769 /nfs/dbraw/zinc/30/77/69/1074307769.db2.gz HBMNJUOJPACEEB-UHFFFAOYSA-N 0 0 435.492 -0.018 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)NCC2CCOCC2)[C@H]1O ZINC001186961954 1074307670 /nfs/dbraw/zinc/30/76/70/1074307670.db2.gz FAFZVQIYOYUHMS-HOPMXRPOSA-N 0 0 435.485 -0.763 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)CC(=O)N2 ZINC001186964147 1074307726 /nfs/dbraw/zinc/30/77/26/1074307726.db2.gz QTPRCJZDOIDUIC-DCAQKATOSA-N 0 0 440.478 -0.970 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2c(c1)CC(=O)N2 ZINC001186964154 1074307754 /nfs/dbraw/zinc/30/77/54/1074307754.db2.gz QWMVPGPXGRFYEM-INIZCTEOSA-N 0 0 432.458 -0.622 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1ccc2c(c1)CC(=O)N2 ZINC001186964846 1074307678 /nfs/dbraw/zinc/30/76/78/1074307678.db2.gz AWBSOWUDNQMLQP-INIZCTEOSA-N 0 0 432.458 -0.622 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc2c(c1)CC(=O)N2 ZINC001186965571 1074307646 /nfs/dbraw/zinc/30/76/46/1074307646.db2.gz RTBRJMZOCWXHLI-IRXDYDNUSA-N 0 0 446.485 -0.967 20 0 IBADRN Cc1cn([C@H]2C[C@H](NS(=O)(=O)c3ccc4c(c3)CC(=O)N4)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001186967130 1074308143 /nfs/dbraw/zinc/30/81/43/1074308143.db2.gz MMYVUZBKNYQHDA-SQWLQELKSA-N 0 0 436.446 -0.621 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H](O)C2CCCCC2)[C@H]1O ZINC001187008927 1074308103 /nfs/dbraw/zinc/30/81/03/1074308103.db2.gz RPOJQPZEMKPMDX-DBKKVMMFSA-N 0 0 434.497 -0.431 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CN1C(=O)c2cccc3c(NC(C)=O)ccc(c32)C1=O ZINC001187016857 1074308213 /nfs/dbraw/zinc/30/82/13/1074308213.db2.gz AKRAJRVMCWFNBD-UHFFFAOYSA-N 0 0 440.412 -0.200 20 0 IBADRN CC(=O)Nc1ccc2c3c1cccc3C(=O)N(CC(=O)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)C2=O ZINC001187018886 1074308202 /nfs/dbraw/zinc/30/82/02/1074308202.db2.gz XEPWVAKDNZOHEL-DJLSRIIJSA-N 0 0 441.440 -0.387 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(F)c(Br)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001187032905 1074308254 /nfs/dbraw/zinc/30/82/54/1074308254.db2.gz OSPWCVMBSONWKX-AJVHJNHVSA-N 0 0 444.275 -0.289 20 0 IBADRN C[C@H](c1ccccc1)N1CCC(F)(F)C[C@H]1C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001187035602 1074308172 /nfs/dbraw/zinc/30/81/72/1074308172.db2.gz BZPCSUBYRRKIPG-CJJNLKBDSA-N 0 0 430.448 -0.237 20 0 IBADRN C[C@H](c1ccccc1)N1CCC(F)(F)C[C@H]1C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001187035603 1074308267 /nfs/dbraw/zinc/30/82/67/1074308267.db2.gz BZPCSUBYRRKIPG-SWDVWOBCSA-N 0 0 430.448 -0.237 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(OC[C@H]3CCCO3)cc2)c(=O)n1 ZINC001187041747 1074308290 /nfs/dbraw/zinc/30/82/90/1074308290.db2.gz ZOWDULSYRXFRIB-FEBARNBZSA-N 0 0 446.460 -0.325 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(OC[C@@H]3CCCO3)cc2)c(=O)n1 ZINC001187041748 1074308021 /nfs/dbraw/zinc/30/80/21/1074308021.db2.gz ZOWDULSYRXFRIB-MIGDXVIRSA-N 0 0 446.460 -0.325 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)[C@H]1CC=C[C@H]2CCN(Cc3ccccc3)C(=O)[C@H]21 ZINC001187075956 1074308247 /nfs/dbraw/zinc/30/82/47/1074308247.db2.gz QUPLGKNBBXSSEK-AIXYNJMOSA-N 0 0 446.500 -0.857 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)[C@H]1CC=C[C@H]2CCN(Cc3ccccc3)C(=O)[C@H]21 ZINC001187075957 1074308087 /nfs/dbraw/zinc/30/80/87/1074308087.db2.gz QUPLGKNBBXSSEK-YWHWHDLESA-N 0 0 446.500 -0.857 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc(Cn2cncn2)cc1 ZINC001187079814 1074307985 /nfs/dbraw/zinc/30/79/85/1074307985.db2.gz FAHQOCVBPQIZGZ-ROUUACIJSA-N 0 0 436.476 -0.133 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc(Cn4cncn4)cc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001187080297 1074308297 /nfs/dbraw/zinc/30/82/97/1074308297.db2.gz ZKXDZXJXCHZRQA-GVDBMIGSSA-N 0 0 426.433 -0.024 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C(=O)N[C@@H](CCC(=O)OC)C(N)=O ZINC001187090379 1074308036 /nfs/dbraw/zinc/30/80/36/1074308036.db2.gz QWCKPTRYXRCMID-UPJWGTAASA-N 0 0 429.470 -0.044 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)C(=O)Nc1c(F)cccc1F ZINC001187119278 1074308049 /nfs/dbraw/zinc/30/80/49/1074308049.db2.gz OPUAPLDCEUTMKJ-KBPBESRZSA-N 0 0 434.403 -0.170 20 0 IBADRN O=C1OCCN1CCS(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001187129940 1074308665 /nfs/dbraw/zinc/30/86/65/1074308665.db2.gz PQDDXNVNHIEWJQ-UHFFFAOYSA-N 0 0 425.529 -0.669 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccccc2C(=O)N2CCCC2)c(=O)n1 ZINC001187145143 1074308673 /nfs/dbraw/zinc/30/86/73/1074308673.db2.gz UDTTUVVJXGPOOC-WVSUBDOOSA-N 0 0 443.460 -0.647 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@@H]2CN(C(=O)OC(C)(C)C)CC2(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001187157688 1074308577 /nfs/dbraw/zinc/30/85/77/1074308577.db2.gz PXGFZUIYHWQANO-PYCOOKQFSA-N 0 0 432.514 -0.160 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2CN(C(=O)OC(C)(C)C)CC2(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001187157689 1074308634 /nfs/dbraw/zinc/30/86/34/1074308634.db2.gz PXGFZUIYHWQANO-SJVDBBHQSA-N 0 0 432.514 -0.160 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1c(Cl)nc2sccn21 ZINC001187160379 1074308698 /nfs/dbraw/zinc/30/86/98/1074308698.db2.gz QNGPWWUDDUAHJH-UHFFFAOYSA-N 0 0 437.887 -0.877 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2c(Cl)nc3sccn32)c(=O)[nH]c1=O ZINC001187163185 1074308680 /nfs/dbraw/zinc/30/86/80/1074308680.db2.gz CFAMNBCTCTUTIH-UHFFFAOYSA-N 0 0 446.898 -0.285 20 0 IBADRN Cn1cc(S(=O)(=O)NC2CCN(S(=O)(=O)Cc3ccccc3)CC2)c(=O)[nH]c1=O ZINC001187163591 1074309059 /nfs/dbraw/zinc/30/90/59/1074309059.db2.gz DWFNVAHHZPKHRU-UHFFFAOYSA-N 0 0 442.519 -0.241 20 0 IBADRN C[C@@H](C(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2)N1CCOCC1 ZINC001187165671 1074309098 /nfs/dbraw/zinc/30/90/98/1074309098.db2.gz YCQKLNFHBKHGKA-NFBUACBFSA-N 0 0 436.534 -0.314 20 0 IBADRN C[C@@H](C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1)N1CCOCC1 ZINC001187165747 1074309079 /nfs/dbraw/zinc/30/90/79/1074309079.db2.gz ZRSRMRZUDZKBQZ-JXFKEZNVSA-N 0 0 442.582 -0.560 20 0 IBADRN C[C@@H](C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1)N1CCOCC1 ZINC001187165753 1074309007 /nfs/dbraw/zinc/30/90/07/1074309007.db2.gz ZRSRMRZUDZKBQZ-OXJNMPFZSA-N 0 0 442.582 -0.560 20 0 IBADRN C[C@@H](C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O)N1CCOCC1 ZINC001187166214 1074309037 /nfs/dbraw/zinc/30/90/37/1074309037.db2.gz NUBYDRWWNYXTPR-QMMMGPOBSA-N 0 0 426.904 -0.706 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1)N1CCOCC1 ZINC001187171921 1074308941 /nfs/dbraw/zinc/30/89/41/1074308941.db2.gz RHDVXHRGJOLZAG-HNNXBMFYSA-N 0 0 434.559 -0.294 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H](C)N1CCOCC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001187171937 1074308974 /nfs/dbraw/zinc/30/89/74/1074308974.db2.gz SAYODXJWHUTEKE-XKQJLSEDSA-N 0 0 428.507 -0.108 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)N1CCOCC1 ZINC001187172416 1074308953 /nfs/dbraw/zinc/30/89/53/1074308953.db2.gz PZPRVRYPQZGMPH-BMMUQAPUSA-N 0 0 428.507 -0.762 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)N1CCOCC1 ZINC001187172417 1074309175 /nfs/dbraw/zinc/30/91/75/1074309175.db2.gz PZPRVRYPQZGMPH-FPKCFQLNSA-N 0 0 428.507 -0.762 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)N1CCOCC1 ZINC001187172418 1074309124 /nfs/dbraw/zinc/30/91/24/1074309124.db2.gz PZPRVRYPQZGMPH-GEFULFQYSA-N 0 0 428.507 -0.762 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)N1CCOCC1 ZINC001187172419 1074309132 /nfs/dbraw/zinc/30/91/32/1074309132.db2.gz PZPRVRYPQZGMPH-IBIMLTJWSA-N 0 0 428.507 -0.762 20 0 IBADRN CC(=O)NC[C@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001187173321 1074309089 /nfs/dbraw/zinc/30/90/89/1074309089.db2.gz PFNTZPNCRDTBLC-HNNXBMFYSA-N 0 0 439.490 -0.534 20 0 IBADRN CC(=O)NC[C@@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001187173322 1074308964 /nfs/dbraw/zinc/30/89/64/1074308964.db2.gz PFNTZPNCRDTBLC-OAHLLOKOSA-N 0 0 439.490 -0.534 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2c(Cl)nc3sccn32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001187173405 1074309018 /nfs/dbraw/zinc/30/90/18/1074309018.db2.gz BQNLDAMWOPZKGU-LDDAIVOZSA-N 0 0 427.888 -0.828 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)[C@H](C)N3CCOCC3)ccc12 ZINC001187177061 1074308516 /nfs/dbraw/zinc/30/85/16/1074308516.db2.gz ZFYDNDBHFZKQIV-AWEZNQCLSA-N 0 0 430.461 -0.007 20 0 IBADRN CCOCCOc1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001187177355 1074308690 /nfs/dbraw/zinc/30/86/90/1074308690.db2.gz GEHKKYYDFNVEMO-KLICCBINSA-N 0 0 434.449 -0.467 20 0 IBADRN CCOC(=O)CCNC(=O)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001187181598 1074308526 /nfs/dbraw/zinc/30/85/26/1074308526.db2.gz BBRUDRDNZVIEEF-FRJWGUMJSA-N 0 0 444.832 -0.834 20 0 IBADRN CCOC(=O)CCNC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CSC)[C@@H](O)[C@H]1O ZINC001187181687 1074308643 /nfs/dbraw/zinc/30/86/43/1074308643.db2.gz DQVKJINADPLKFE-RVXWVPLUSA-N 0 0 440.482 -0.117 20 0 IBADRN CCOC(=O)CCNC(=O)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001187183745 1074308545 /nfs/dbraw/zinc/30/85/45/1074308545.db2.gz KORDBKOTCUDZLD-RVXWVPLUSA-N 0 0 427.389 -0.743 20 0 IBADRN CCOC(=O)CCNC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001187189978 1074308536 /nfs/dbraw/zinc/30/85/36/1074308536.db2.gz BOBUYCIYWRZVAZ-IBGZPJMESA-N 0 0 444.554 -0.145 20 0 IBADRN CCOC(=O)CCNC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001187189980 1074308609 /nfs/dbraw/zinc/30/86/09/1074308609.db2.gz BOBUYCIYWRZVAZ-LJQANCHMSA-N 0 0 444.554 -0.145 20 0 IBADRN CCOC(=O)CCNC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001187194064 1074308987 /nfs/dbraw/zinc/30/89/87/1074308987.db2.gz VVXSFAWOQKHWPQ-RBSFLKMASA-N 0 0 431.511 -0.757 20 0 IBADRN CCOC(=O)CCNC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001187194089 1074309027 /nfs/dbraw/zinc/30/90/27/1074309027.db2.gz VVXSFAWOQKHWPQ-RRFJBIMHSA-N 0 0 431.511 -0.757 20 0 IBADRN CCOC(=O)CCNC(=O)N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001187194779 1074309144 /nfs/dbraw/zinc/30/91/44/1074309144.db2.gz SQABEXAVQSDLFC-UHFFFAOYSA-N 0 0 445.480 -0.225 20 0 IBADRN CCOC(=O)CCNC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001187201078 1074309114 /nfs/dbraw/zinc/30/91/14/1074309114.db2.gz PTNBPPBRQAOFPP-KBPBESRZSA-N 0 0 427.502 -0.754 20 0 IBADRN CC(C)(C)OC(=O)C[C@H]1C[C@@H](C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)C1 ZINC001187212960 1074309484 /nfs/dbraw/zinc/30/94/84/1074309484.db2.gz UBLIMKXDDYPGCF-BRMAXNEYSA-N 0 0 438.481 -0.438 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cc3c(s2)CCCC3)c(=O)[nH]1 ZINC001187231271 1074309528 /nfs/dbraw/zinc/30/95/28/1074309528.db2.gz HZYPSSOUXHCMLO-HMTTWLPMSA-N 0 0 443.503 -0.513 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc2c(s1)CCCC2 ZINC001187234831 1074309472 /nfs/dbraw/zinc/30/94/72/1074309472.db2.gz IJPBTQUVOQMHPN-LBPRGKRZSA-N 0 0 428.536 -0.502 20 0 IBADRN CCOC(=O)CCNC(=O)NCCOCCOCCOCCOCCOCCOC ZINC001187243163 1074309577 /nfs/dbraw/zinc/30/95/77/1074309577.db2.gz FCKUOXOXUARTMN-UHFFFAOYSA-N 0 0 438.518 -0.032 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cc1n[nH]c2ccc(O)cc12 ZINC001187255493 1074309545 /nfs/dbraw/zinc/30/95/45/1074309545.db2.gz SXXSKAGPQCVOEZ-IRXDYDNUSA-N 0 0 425.449 -0.262 20 0 IBADRN CCOCCS(=O)(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001187256731 1074309605 /nfs/dbraw/zinc/30/96/05/1074309605.db2.gz LIIXJMDLRFZKNH-LSDHHAIUSA-N 0 0 446.551 -0.624 20 0 IBADRN CCS(=O)(CC)=NS(=O)(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001187257897 1074309564 /nfs/dbraw/zinc/30/95/64/1074309564.db2.gz KUUWDYZLANQLLE-UHFFFAOYSA-N 0 0 427.574 -0.085 20 0 IBADRN CCOCCS(=O)(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001187257953 1074309507 /nfs/dbraw/zinc/30/95/07/1074309507.db2.gz FSDFXCINEPJONY-KRWDZBQOSA-N 0 0 437.584 -0.441 20 0 IBADRN CCOCCS(=O)(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001187257954 1074309521 /nfs/dbraw/zinc/30/95/21/1074309521.db2.gz FSDFXCINEPJONY-QGZVFWFLSA-N 0 0 437.584 -0.441 20 0 IBADRN CCOCCS(=O)(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001187257961 1074309492 /nfs/dbraw/zinc/30/94/92/1074309492.db2.gz GDZNUAFBPPPKOI-IKGGRYGDSA-N 0 0 431.536 -0.195 20 0 IBADRN CCOCCS(=O)(=O)N1CCOC[C@]2(CC[C@H](CNC(=O)c3cnccn3)O2)C1 ZINC001187258362 1074309513 /nfs/dbraw/zinc/30/95/13/1074309513.db2.gz MMAAXLAZUFLBJX-QAPCUYQASA-N 0 0 428.511 -0.177 20 0 IBADRN CCOCCS(=O)(=O)N1CCOC[C@]2(CC[C@@H](CNC(=O)c3cnccn3)O2)C1 ZINC001187258363 1074309930 /nfs/dbraw/zinc/30/99/30/1074309930.db2.gz MMAAXLAZUFLBJX-YJBOKZPZSA-N 0 0 428.511 -0.177 20 0 IBADRN CCOCCS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001187265663 1074309499 /nfs/dbraw/zinc/30/94/99/1074309499.db2.gz CKTALKBUWUFSDU-UHFFFAOYSA-N 0 0 438.510 -0.521 20 0 IBADRN Cn1ncc(S(=O)(=O)NC[C@@H](O)CN2CCCC2=O)c1I ZINC001187276014 1074309582 /nfs/dbraw/zinc/30/95/82/1074309582.db2.gz YHIYBQLCEZOGCQ-MRVPVSSYSA-N 0 0 428.252 -0.714 20 0 IBADRN Cn1ncc(S(=O)(=O)NC[C@H](O)CN2CCCC2=O)c1I ZINC001187276015 1074309443 /nfs/dbraw/zinc/30/94/43/1074309443.db2.gz YHIYBQLCEZOGCQ-QMMMGPOBSA-N 0 0 428.252 -0.714 20 0 IBADRN CCOCCS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001187276640 1074309611 /nfs/dbraw/zinc/30/96/11/1074309611.db2.gz XVKGMGBIBSDORB-UHFFFAOYSA-N 0 0 447.539 -0.039 20 0 IBADRN COc1ccc(OCC(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(Cl)c1 ZINC001187294846 1074309968 /nfs/dbraw/zinc/30/99/68/1074309968.db2.gz WCXBPWNDKPEHPH-BASLNEPJSA-N 0 0 440.840 -0.455 20 0 IBADRN CCOCCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001187295312 1074309911 /nfs/dbraw/zinc/30/99/11/1074309911.db2.gz AIKVQCJMFKWKBJ-UHFFFAOYSA-N 0 0 429.561 -0.175 20 0 IBADRN CCOC(=O)c1ccc(NC(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001187295847 1074309920 /nfs/dbraw/zinc/30/99/20/1074309920.db2.gz LMXGXZIWRSZMOB-DTZQCDIJSA-N 0 0 434.405 -0.434 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)C(F)(F)F ZINC001187299109 1074309982 /nfs/dbraw/zinc/30/99/82/1074309982.db2.gz OBTBZJMBVMFEBK-AAEUAGOBSA-N 0 0 448.489 -0.239 20 0 IBADRN Cn1ccnc1[C@](O)(CCNS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)C(F)(F)F ZINC001187299110 1074309986 /nfs/dbraw/zinc/30/99/86/1074309986.db2.gz OBTBZJMBVMFEBK-DGCLKSJQSA-N 0 0 448.489 -0.239 20 0 IBADRN Cn1ccnc1[C@](O)(CCNS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)C(F)(F)F ZINC001187299111 1074309804 /nfs/dbraw/zinc/30/98/04/1074309804.db2.gz OBTBZJMBVMFEBK-WCQYABFASA-N 0 0 448.489 -0.239 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)C(F)(F)F ZINC001187299112 1074309893 /nfs/dbraw/zinc/30/98/93/1074309893.db2.gz OBTBZJMBVMFEBK-YPMHNXCESA-N 0 0 448.489 -0.239 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C)C2 ZINC001187301510 1074309834 /nfs/dbraw/zinc/30/98/34/1074309834.db2.gz FCYJZBANSSHLBX-KBPBESRZSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C)C2 ZINC001187301511 1074309994 /nfs/dbraw/zinc/30/99/94/1074309994.db2.gz FCYJZBANSSHLBX-KGLIPLIRSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C)C2 ZINC001187301512 1074309962 /nfs/dbraw/zinc/30/99/62/1074309962.db2.gz FCYJZBANSSHLBX-UONOGXRCSA-N 0 0 445.538 -0.274 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C)C2 ZINC001187301514 1074309818 /nfs/dbraw/zinc/30/98/18/1074309818.db2.gz FCYJZBANSSHLBX-ZIAGYGMSSA-N 0 0 445.538 -0.274 20 0 IBADRN CCOCCS(=O)(=O)NCCOCCOCCOCCOCCOCCOC ZINC001187319327 1074310019 /nfs/dbraw/zinc/31/00/19/1074310019.db2.gz VSFWZKFVXWCHCC-UHFFFAOYSA-N 0 0 431.548 -0.328 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001187319669 1074309951 /nfs/dbraw/zinc/30/99/51/1074309951.db2.gz YNKWZJHLQDFZJE-DLBZAZTESA-N 0 0 447.536 -0.134 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001187319670 1074310295 /nfs/dbraw/zinc/31/02/95/1074310295.db2.gz YNKWZJHLQDFZJE-IRXDYDNUSA-N 0 0 447.536 -0.134 20 0 IBADRN O=C(CN1CCC(=O)C1)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001187323298 1074310004 /nfs/dbraw/zinc/31/00/04/1074310004.db2.gz QRWAWDDYADXPDK-DYXWJJEUSA-N 0 0 433.530 -0.112 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)CN3CCC(=O)C3)CC2)c2nonc21 ZINC001187328499 1074309938 /nfs/dbraw/zinc/30/99/38/1074309938.db2.gz QLXHUTOQDBAYDE-UHFFFAOYSA-N 0 0 436.494 -0.604 20 0 IBADRN Cc1oncc1S(=O)(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001187349505 1074310324 /nfs/dbraw/zinc/31/03/24/1074310324.db2.gz VLQLRHKPRLGHNP-KRWDZBQOSA-N 0 0 446.551 -0.122 20 0 IBADRN Cc1oncc1S(=O)(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001187349506 1074310362 /nfs/dbraw/zinc/31/03/62/1074310362.db2.gz VLQLRHKPRLGHNP-QGZVFWFLSA-N 0 0 446.551 -0.122 20 0 IBADRN Cc1oncc1S(=O)(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001187349548 1074310581 /nfs/dbraw/zinc/31/05/81/1074310581.db2.gz WZOODXYQJBZCJF-GZBFAFLISA-N 0 0 433.508 -0.734 20 0 IBADRN Cc1oncc1S(=O)(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001187349549 1074310721 /nfs/dbraw/zinc/31/07/21/1074310721.db2.gz WZOODXYQJBZCJF-UMVBOHGHSA-N 0 0 433.508 -0.734 20 0 IBADRN Cc1oncc1S(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001187350684 1074310691 /nfs/dbraw/zinc/31/06/91/1074310691.db2.gz DBUPLZFRIUTLCB-UHFFFAOYSA-N 0 0 447.477 -0.202 20 0 IBADRN Cc1oncc1S(=O)(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001187356987 1074310736 /nfs/dbraw/zinc/31/07/36/1074310736.db2.gz GLRNTKDKANXXCU-STQMWFEESA-N 0 0 429.499 -0.731 20 0 IBADRN COC(=O)Cc1cc(OC)ccc1S(=O)(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC001187372799 1074310283 /nfs/dbraw/zinc/31/02/83/1074310283.db2.gz DMSFTBXTDIYBNA-UHFFFAOYSA-N 0 0 435.524 -0.995 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2cc3nc(Cl)ncc3s2)CC1 ZINC001187372800 1074310317 /nfs/dbraw/zinc/31/03/17/1074310317.db2.gz DOVWEQGTUWFQPU-UHFFFAOYSA-N 0 0 425.945 -0.061 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)C[C@@H]2CCC3(CCOCC3)CO2)CC1 ZINC001187373165 1074310349 /nfs/dbraw/zinc/31/03/49/1074310349.db2.gz KOHRGLWWXXMHLZ-HNNXBMFYSA-N 0 0 425.573 -0.802 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)C[C@H]2CCC3(CCOCC3)CO2)CC1 ZINC001187373169 1074310330 /nfs/dbraw/zinc/31/03/30/1074310330.db2.gz KOHRGLWWXXMHLZ-OAHLLOKOSA-N 0 0 425.573 -0.802 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)ccc1Cl ZINC001187373212 1074310264 /nfs/dbraw/zinc/31/02/64/1074310264.db2.gz KVJIXLDJVAQGRX-UHFFFAOYSA-N 0 0 445.972 -0.662 20 0 IBADRN COC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1F ZINC001187373621 1074310356 /nfs/dbraw/zinc/31/03/56/1074310356.db2.gz QYIBTRGIOJACLD-UHFFFAOYSA-N 0 0 443.906 -0.140 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(Cc3nccn3C(F)F)CC2)C1 ZINC001187373976 1074310302 /nfs/dbraw/zinc/31/03/02/1074310302.db2.gz VQRPJIZCVVYQRN-UHFFFAOYSA-N 0 0 427.499 -0.383 20 0 IBADRN Cc1oncc1S(=O)(=O)NCCOCCOCCOCCOCCOCCO ZINC001187401610 1074310613 /nfs/dbraw/zinc/31/06/13/1074310613.db2.gz VFEVUFANBLTJDV-UHFFFAOYSA-N 0 0 426.488 -0.663 20 0 IBADRN CO[C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)C1 ZINC001187409053 1074310761 /nfs/dbraw/zinc/31/07/61/1074310761.db2.gz VECIFTWAFZXKBT-AOMHAQMOSA-N 0 0 448.513 -0.639 20 0 IBADRN CO[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)C1 ZINC001187409055 1074310784 /nfs/dbraw/zinc/31/07/84/1074310784.db2.gz VECIFTWAFZXKBT-DWJKSEGXSA-N 0 0 448.513 -0.639 20 0 IBADRN CO[C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)C1 ZINC001187409057 1074310683 /nfs/dbraw/zinc/31/06/83/1074310683.db2.gz VECIFTWAFZXKBT-YHIZCSNESA-N 0 0 448.513 -0.639 20 0 IBADRN CO[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)C1 ZINC001187409059 1074310746 /nfs/dbraw/zinc/31/07/46/1074310746.db2.gz VECIFTWAFZXKBT-YOSHUYMLSA-N 0 0 448.513 -0.639 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)c1cnoc1C ZINC001187421192 1074310703 /nfs/dbraw/zinc/31/07/03/1074310703.db2.gz RLDWXFOGDRNLSJ-UHFFFAOYSA-N 0 0 440.515 -0.009 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)c1ccccc1CN1CCOCC1 ZINC001187427017 1074310646 /nfs/dbraw/zinc/31/06/46/1074310646.db2.gz ZUAZTYRJHQJKRM-UHFFFAOYSA-N 0 0 427.465 -0.218 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3c3ccccc3)C2)C1 ZINC001187459739 1074310661 /nfs/dbraw/zinc/31/06/61/1074310661.db2.gz HSBWBNWEBNQJAZ-BRWVUGGUSA-N 0 0 427.548 -0.046 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3c3ccccc3)C2)C1 ZINC001187459740 1074310776 /nfs/dbraw/zinc/31/07/76/1074310776.db2.gz HSBWBNWEBNQJAZ-IKGGRYGDSA-N 0 0 427.548 -0.046 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3c3ccccc3)C2)C1 ZINC001187459741 1074310795 /nfs/dbraw/zinc/31/07/95/1074310795.db2.gz HSBWBNWEBNQJAZ-IXDOHACOSA-N 0 0 427.548 -0.046 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3c3ccccc3)C2)C1 ZINC001187459743 1074310767 /nfs/dbraw/zinc/31/07/67/1074310767.db2.gz HSBWBNWEBNQJAZ-ZACQAIPSSA-N 0 0 427.548 -0.046 20 0 IBADRN COc1cccc(OC)c1NC(=O)C(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001187482567 1074311426 /nfs/dbraw/zinc/31/14/26/1074311426.db2.gz KNFXMMNZHBDZTJ-UHFFFAOYSA-N 0 0 446.464 -0.119 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)C(=O)Nc1c(OC)cccc1OC)C2 ZINC001187483509 1074311353 /nfs/dbraw/zinc/31/13/53/1074311353.db2.gz ROJYPUFXCVNDCD-LLVKDONJSA-N 0 0 426.447 -0.169 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)C(=O)Nc1c(OC)cccc1OC)C2 ZINC001187483513 1074311382 /nfs/dbraw/zinc/31/13/82/1074311382.db2.gz ROJYPUFXCVNDCD-NSHDSACASA-N 0 0 426.447 -0.169 20 0 IBADRN Cc1c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cccc1N1CCOCC1 ZINC001187490218 1074311327 /nfs/dbraw/zinc/31/13/27/1074311327.db2.gz STDVLBPNMUIGPJ-DLVXIWMQSA-N 0 0 445.476 -0.738 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(OC(=O)C(C)(C)C)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001187491083 1074311337 /nfs/dbraw/zinc/31/13/37/1074311337.db2.gz DFKYYNZSXXLIMP-PAZMTAOXSA-N 0 0 447.506 -0.240 20 0 IBADRN COc1cccc(OC)c1NC(=O)C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001187492104 1074311447 /nfs/dbraw/zinc/31/14/47/1074311447.db2.gz NSGZNOYGUXYIFP-AWEZNQCLSA-N 0 0 428.445 -0.029 20 0 IBADRN COc1cccc(OC)c1NC(=O)C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001187493026 1074311825 /nfs/dbraw/zinc/31/18/25/1074311825.db2.gz XVAVCUWXSIGEEP-NILFDRSVSA-N 0 0 448.432 -0.322 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1ccc(F)c(C(=O)N2CCCC2)c1 ZINC001187495316 1074311797 /nfs/dbraw/zinc/31/17/97/1074311797.db2.gz DZKSVQDCZVQFTD-KHTYJDQRSA-N 0 0 449.439 -0.288 20 0 IBADRN CC(=O)NCCCC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001187500315 1074311889 /nfs/dbraw/zinc/31/18/89/1074311889.db2.gz ZELJBTJKUOPABE-INIZCTEOSA-N 0 0 425.530 -0.171 20 0 IBADRN Cn1nc(NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)c2cc(I)cnc21 ZINC001187510532 1074310634 /nfs/dbraw/zinc/31/06/34/1074310634.db2.gz HPHGXADNNDWJCV-VIFPVBQESA-N 0 0 442.217 -0.094 20 0 IBADRN Cn1cc(I)c2c1ncnc2NC(=O)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001187511977 1074311053 /nfs/dbraw/zinc/31/10/53/1074311053.db2.gz YIKNENAKNLPZDI-QMMMGPOBSA-N 0 0 442.217 -0.094 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)CCC2 ZINC001187512323 1074310987 /nfs/dbraw/zinc/31/09/87/1074310987.db2.gz BLYNUUSOWMABDN-HNNXBMFYSA-N 0 0 446.508 -0.967 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CC[C@H]2C[C@H](C(=O)NCCc3ccccn3)O[C@H]2C1 ZINC001187512748 1074310994 /nfs/dbraw/zinc/31/09/94/1074310994.db2.gz HAFUQUZPRFQZRM-OWLYRPNTSA-N 0 0 443.504 -0.859 20 0 IBADRN Cn1nnc2c1[C@@H](COCc1cccnc1)CN(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001187513150 1074311063 /nfs/dbraw/zinc/31/10/63/1074311063.db2.gz KEKARMXFUOPFHK-CABCVRRESA-N 0 0 427.465 -0.753 20 0 IBADRN Cn1nnc2c1[C@H](COCc1cccnc1)CN(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001187513151 1074311034 /nfs/dbraw/zinc/31/10/34/1074311034.db2.gz KEKARMXFUOPFHK-GJZGRUSLSA-N 0 0 427.465 -0.753 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1C[C@@H]2COC[C@]2(C(=O)NCCc2cccs2)C1 ZINC001187513168 1074311017 /nfs/dbraw/zinc/31/10/17/1074311017.db2.gz KULOBAJERCBYJD-IAMHBRQHSA-N 0 0 434.518 -0.723 20 0 IBADRN O=C(C[C@H]1OC[C@H]2CN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)CC[C@H]21)NCc1ccco1 ZINC001187513201 1074311069 /nfs/dbraw/zinc/31/10/69/1074311069.db2.gz LGVVVRQFSJAFMO-MXASKKJJSA-N 0 0 432.477 -0.456 20 0 IBADRN Cn1cnc2c1[C@@H](COCc1cccnc1)N(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)CC2 ZINC001187513450 1074311102 /nfs/dbraw/zinc/31/11/02/1074311102.db2.gz LZLKRWLOZGJVKC-DLBZAZTESA-N 0 0 426.477 -0.148 20 0 IBADRN Cn1cnc2c1[C@H](COCc1cccnc1)N(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)CC2 ZINC001187513452 1074310974 /nfs/dbraw/zinc/31/09/74/1074310974.db2.gz LZLKRWLOZGJVKC-IRXDYDNUSA-N 0 0 426.477 -0.148 20 0 IBADRN C[S@](=O)c1nc(Cl)c(Br)c(NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)n1 ZINC001187513515 1074311041 /nfs/dbraw/zinc/31/10/41/1074311041.db2.gz MSUMSEFGDGNDLF-SMHOSFSASA-N 0 0 438.691 -0.037 20 0 IBADRN C[S@@](=O)c1nc(Cl)c(Br)c(NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)n1 ZINC001187513520 1074310999 /nfs/dbraw/zinc/31/09/99/1074310999.db2.gz MSUMSEFGDGNDLF-YZXDBBCCSA-N 0 0 438.691 -0.037 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)C3)nn1 ZINC001187513575 1074311047 /nfs/dbraw/zinc/31/10/47/1074311047.db2.gz ODKMGFPPAFUETO-CKJXQJPGSA-N 0 0 446.508 -0.774 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)C3)nn1 ZINC001187513576 1074311010 /nfs/dbraw/zinc/31/10/10/1074311010.db2.gz ODKMGFPPAFUETO-QYWGDWMGSA-N 0 0 446.508 -0.774 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)C3)nn1 ZINC001187513577 1074311093 /nfs/dbraw/zinc/31/10/93/1074311093.db2.gz ODKMGFPPAFUETO-VWKPWSFCSA-N 0 0 446.508 -0.774 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)C3)nn1 ZINC001187513578 1074311366 /nfs/dbraw/zinc/31/13/66/1074311366.db2.gz ODKMGFPPAFUETO-XFQAVAEZSA-N 0 0 446.508 -0.774 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CC[C@]2(CC(C(=O)NCc3ccc(F)cc3)=NO2)C1 ZINC001187513607 1074311388 /nfs/dbraw/zinc/31/13/88/1074311388.db2.gz PQEDSLWUXBTTPA-BTYIYWSLSA-N 0 0 445.451 -0.416 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CC[C@@]2(CC(C(=O)NCc3ccc(F)cc3)=NO2)C1 ZINC001187513608 1074311395 /nfs/dbraw/zinc/31/13/95/1074311395.db2.gz PQEDSLWUXBTTPA-YCRPNKLZSA-N 0 0 445.451 -0.416 20 0 IBADRN COC(=O)c1cc2c(nc1N1CCCC1)CCN(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)CC2 ZINC001187513626 1074311375 /nfs/dbraw/zinc/31/13/75/1074311375.db2.gz QQNLXUCGYNPGOP-KRWDZBQOSA-N 0 0 443.504 -0.210 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCOC[C@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001187513725 1074311495 /nfs/dbraw/zinc/31/14/95/1074311495.db2.gz SMPKLECZKJEMMN-IFXJQAMLSA-N 0 0 436.490 -0.896 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCOC[C@@]2(CN(c3ccsc3)C(=O)CO2)C1 ZINC001187513726 1074311404 /nfs/dbraw/zinc/31/14/04/1074311404.db2.gz SMPKLECZKJEMMN-LIRRHRJNSA-N 0 0 436.490 -0.896 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001187513744 1074311362 /nfs/dbraw/zinc/31/13/62/1074311362.db2.gz UCDYNCKJZPLUGW-QWHCGFSZSA-N 0 0 426.470 -0.608 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001187513745 1074311403 /nfs/dbraw/zinc/31/14/03/1074311403.db2.gz UCDYNCKJZPLUGW-STQMWFEESA-N 0 0 426.470 -0.608 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1C[C@@H](NC(=O)c2cccnc2)[C@H]2OCCC[C@H]2C1 ZINC001187513838 1074311509 /nfs/dbraw/zinc/31/15/09/1074311509.db2.gz VOOTZHCRKMOVDU-GGXPGOJBSA-N 0 0 429.477 -0.788 20 0 IBADRN NC(=O)c1cc(I)cnc1NC(=O)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001187513892 1074311418 /nfs/dbraw/zinc/31/14/18/1074311418.db2.gz WUNUEBWNQICHPL-QMMMGPOBSA-N 0 0 431.190 -0.882 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCC2(CC1)CN(Cc1cccnc1)C(=O)CO2 ZINC001187516353 1074310671 /nfs/dbraw/zinc/31/06/71/1074310671.db2.gz AZIAJIXCECZJKF-INIZCTEOSA-N 0 0 429.477 -0.804 20 0 IBADRN Cc1ncccc1NC(=O)[C@@H]1CCO[C@@H]2CCN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)C[C@@H]21 ZINC001187516362 1074310753 /nfs/dbraw/zinc/31/07/53/1074310753.db2.gz BGHFCACYAXJVTM-XYVMCAHJSA-N 0 0 443.504 -0.023 20 0 IBADRN CN1CC(=O)N(c2cccc(F)c2)C[C@]12CCN(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001187516410 1074310606 /nfs/dbraw/zinc/31/06/06/1074310606.db2.gz CWRPOGJJHWEGKY-HRAATJIYSA-N 0 0 431.468 -0.530 20 0 IBADRN CN1CC(=O)N(c2cccc(F)c2)C[C@@]12CCN(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001187516411 1074311074 /nfs/dbraw/zinc/31/10/74/1074311074.db2.gz CWRPOGJJHWEGKY-KKSFZXQISA-N 0 0 431.468 -0.530 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCC[C@]2(C1)COCCN(c1ncc(F)cn1)C2 ZINC001187516426 1074310984 /nfs/dbraw/zinc/31/09/84/1074310984.db2.gz DIAQUXTYMWTBCN-MGPUTAFESA-N 0 0 434.472 -0.544 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCC[C@@]2(C1)COCCN(c1ncc(F)cn1)C2 ZINC001187516429 1074311026 /nfs/dbraw/zinc/31/10/26/1074311026.db2.gz DIAQUXTYMWTBCN-YWZLYKJASA-N 0 0 434.472 -0.544 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCC(C(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC001187516436 1074311003 /nfs/dbraw/zinc/31/10/03/1074311003.db2.gz DMOVLTJGOYZRLE-IBGZPJMESA-N 0 0 441.532 -0.031 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)n1c([C@H]2COCCN2)ncc/c1=N\Cc1ccco1 ZINC001187516808 1074311777 /nfs/dbraw/zinc/31/17/77/1074311777.db2.gz FFWFIMXFRKQXDW-CLSFYMQBSA-N 0 0 428.449 -0.727 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)n1c([C@@H]2COCCN2)ncc/c1=N\Cc1ccco1 ZINC001187516809 1074311899 /nfs/dbraw/zinc/31/18/99/1074311899.db2.gz FFWFIMXFRKQXDW-NAEWXLGNSA-N 0 0 428.449 -0.727 20 0 IBADRN COc1cc2ncnc(N3CCN(C(=O)CNC(=O)[C@@H]4CCC(=O)N4)CC3)c2cc1OC ZINC001187516821 1074311819 /nfs/dbraw/zinc/31/18/19/1074311819.db2.gz FPHHUHHXLYVLQM-AWEZNQCLSA-N 0 0 442.476 -0.310 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)CC1)C(=O)N2 ZINC001187517262 1074311860 /nfs/dbraw/zinc/31/18/60/1074311860.db2.gz KVMBTBNFIORWOS-HNNXBMFYSA-N 0 0 427.461 -0.747 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N(c1ccccn1)c1ccnc([C@@H]2COCCN2)n1 ZINC001187517466 1074311909 /nfs/dbraw/zinc/31/19/09/1074311909.db2.gz NFROVUBKRCAIGY-KBPBESRZSA-N 0 0 425.449 -0.408 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N(c1ccccn1)c1ccnc([C@H]2COCCN2)n1 ZINC001187517467 1074311882 /nfs/dbraw/zinc/31/18/82/1074311882.db2.gz NFROVUBKRCAIGY-UONOGXRCSA-N 0 0 425.449 -0.408 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCC[C@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001187517470 1074311813 /nfs/dbraw/zinc/31/18/13/1074311813.db2.gz NMIKSJLLGZKODT-BTYIYWSLSA-N 0 0 442.476 -0.770 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCC[C@@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001187517471 1074311845 /nfs/dbraw/zinc/31/18/45/1074311845.db2.gz NMIKSJLLGZKODT-YCRPNKLZSA-N 0 0 442.476 -0.770 20 0 IBADRN CCN(CC)C(=O)c1cccnc1N1CCN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001187517472 1074311806 /nfs/dbraw/zinc/31/18/06/1074311806.db2.gz NNFZSAHOYVTFNY-INIZCTEOSA-N 0 0 430.509 -0.393 20 0 IBADRN Cc1nc(Cn2c(=O)ccc3c2CCN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)CC3)cs1 ZINC001187517499 1074311772 /nfs/dbraw/zinc/31/17/72/1074311772.db2.gz OHMQHXPBJRAONE-INIZCTEOSA-N 0 0 443.529 -0.016 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CC[C@@]2(C1)CCC[C@@H](CNC(=O)c1cscn1)O2 ZINC001187517828 1074311785 /nfs/dbraw/zinc/31/17/85/1074311785.db2.gz PUVOWURUCPVOGX-PJSUUKDQSA-N 0 0 449.533 -0.192 20 0 IBADRN CN(Cc1ccccn1)C(=O)[C@@H]1C[C@@H]2[C@@H](CCN2C(=O)CNC(=O)[C@@H]2CCC(=O)N2)O1 ZINC001187517840 1074311917 /nfs/dbraw/zinc/31/19/17/1074311917.db2.gz QDVMOXXCFNTNBQ-HZMVEIRTSA-N 0 0 429.477 -0.807 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CC[C@@]2(CC(=O)N(c3ccc4c(c3)OCO4)C2)C1 ZINC001187517844 1074311874 /nfs/dbraw/zinc/31/18/74/1074311874.db2.gz QIKVSVWKDJJPFU-LHSJRXKWSA-N 0 0 428.445 -0.235 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CC[C@]2(CC(=O)N(c3ccc4c(c3)OCO4)C2)C1 ZINC001187517845 1074311867 /nfs/dbraw/zinc/31/18/67/1074311867.db2.gz QIKVSVWKDJJPFU-QKKBWIMNSA-N 0 0 428.445 -0.235 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CC[C@H]2OCC[C@@]2(C(=O)NCc2ccccn2)C1 ZINC001187517856 1074312119 /nfs/dbraw/zinc/31/21/19/1074312119.db2.gz QUOVMKAQDSUXTG-GCKMJXCFSA-N 0 0 429.477 -0.900 20 0 IBADRN Cc1nc(CN2CCO[C@@H]3CN(C(=O)CNC(=O)[C@@H]4CCC(=O)N4)CC[C@@H]3C2)cs1 ZINC001187517876 1074312286 /nfs/dbraw/zinc/31/22/86/1074312286.db2.gz RTFLQZFOKAVDEK-HYVNUMGLSA-N 0 0 435.550 -0.104 20 0 IBADRN CN1C[C@H](COCC2CC2)[C@H]2CCN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)CC[C@H]2C1=O ZINC001187518371 1074312204 /nfs/dbraw/zinc/31/22/04/1074312204.db2.gz WDVYYKXZZLXECN-TVFCKZIOSA-N 0 0 434.537 -0.249 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CCC2(CC1)C(=O)NCCN2Cc1ccccc1 ZINC001187518476 1074312177 /nfs/dbraw/zinc/31/21/77/1074312177.db2.gz XGGIEDBRRDBATB-KRWDZBQOSA-N 0 0 427.505 -0.626 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1CC[C@H]2CO[C@H](CNC(=O)c3ccncc3)[C@H]2C1 ZINC001187518578 1074312210 /nfs/dbraw/zinc/31/22/10/1074312210.db2.gz YGLLIUUEGAFAIP-LUKYLMHMSA-N 0 0 429.477 -0.930 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1Cc2nccn2C[C@H](COCc2cccnc2)C1 ZINC001187518625 1074312172 /nfs/dbraw/zinc/31/21/72/1074312172.db2.gz YVPSWFNVCDFGLC-IRXDYDNUSA-N 0 0 426.477 -0.152 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1Cc2nccn2C[C@@H](COCc2cccnc2)C1 ZINC001187518627 1074312223 /nfs/dbraw/zinc/31/22/23/1074312223.db2.gz YVPSWFNVCDFGLC-SJORKVTESA-N 0 0 426.477 -0.152 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(c3ccccc3)CCN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)C[C@@H]12 ZINC001187518677 1074312164 /nfs/dbraw/zinc/31/21/64/1074312164.db2.gz ZMBCHLLBXYYYMP-CSODHUTKSA-N 0 0 448.545 -0.415 20 0 IBADRN CS(=O)(=O)N1CC[C@]2(c3ccccc3)CCN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)C[C@H]12 ZINC001187518685 1074312145 /nfs/dbraw/zinc/31/21/45/1074312145.db2.gz ZMBCHLLBXYYYMP-FIKGOQFSSA-N 0 0 448.545 -0.415 20 0 IBADRN CS(=O)(=O)N1CC[C@]2(c3ccccc3)CCN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)C[C@@H]12 ZINC001187518687 1074312155 /nfs/dbraw/zinc/31/21/55/1074312155.db2.gz ZMBCHLLBXYYYMP-FVJLSDCUSA-N 0 0 448.545 -0.415 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(c3ccccc3)CCN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)C[C@H]12 ZINC001187518690 1074312196 /nfs/dbraw/zinc/31/21/96/1074312196.db2.gz ZMBCHLLBXYYYMP-XGHQBKJUSA-N 0 0 448.545 -0.415 20 0 IBADRN Cc1cccc(CN2CCO[C@H]3CN(C(=O)CNC(=O)[C@@H]4CCC(=O)N4)CC[C@H]3C2=O)n1 ZINC001187518727 1074312139 /nfs/dbraw/zinc/31/21/39/1074312139.db2.gz ZQPWNSRQVLSMHJ-SQNIBIBYSA-N 0 0 443.504 -0.639 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N1C[C@@H]2COC[C@]2(C(=O)NCCc2ccccc2)C1 ZINC001187518732 1074312278 /nfs/dbraw/zinc/31/22/78/1074312278.db2.gz ZRLAZBXUOXXBQI-HYFFOGBASA-N 0 0 428.489 -0.785 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CNC(=O)[C@@H]3CCC(=O)N3)cc2)C[C@@H](C)O1 ZINC001187519740 1074312262 /nfs/dbraw/zinc/31/22/62/1074312262.db2.gz QYQWLWBXSAIHAE-IOASZLSFSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(NC(=O)CNC(=O)[C@@H]3CCC(=O)N3)cc2)C[C@H](C)O1 ZINC001187519741 1074312319 /nfs/dbraw/zinc/31/23/19/1074312319.db2.gz QYQWLWBXSAIHAE-XEZPLFJOSA-N 0 0 438.506 -0.182 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CNC(=O)[C@@H]3CCC(=O)N3)cc2)C[C@H](C)O1 ZINC001187519742 1074312132 /nfs/dbraw/zinc/31/21/32/1074312132.db2.gz QYQWLWBXSAIHAE-ZENOOKHLSA-N 0 0 438.506 -0.182 20 0 IBADRN COc1ccc(N(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001187519753 1074312159 /nfs/dbraw/zinc/31/21/59/1074312159.db2.gz REWHBRYDXCADCC-ZDUSSCGKSA-N 0 0 448.461 -0.816 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CCN(C(=O)CNC(=O)[C@@H]3CCC(=O)N3)CC2)cc1 ZINC001187519756 1074312526 /nfs/dbraw/zinc/31/25/26/1074312526.db2.gz RFNYONFNUKMMDG-INIZCTEOSA-N 0 0 438.506 -0.641 20 0 IBADRN CN([C@H]1CCCN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001187519800 1074312712 /nfs/dbraw/zinc/31/27/12/1074312712.db2.gz STECZYNVCYKNSP-HOCLYGCPSA-N 0 0 440.497 -0.168 20 0 IBADRN CN([C@@H]1CCCN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001187519801 1074312625 /nfs/dbraw/zinc/31/26/25/1074312625.db2.gz STECZYNVCYKNSP-ZBFHGGJFSA-N 0 0 440.497 -0.168 20 0 IBADRN CCOC(=O)CN(CCCNC(=O)OC(C)(C)C)C(=O)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001187520002 1074312514 /nfs/dbraw/zinc/31/25/14/1074312514.db2.gz UAOWYPKXQZWBGI-ZDUSSCGKSA-N 0 0 428.486 -0.312 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)CNC(=O)[C@@H]4CCC(=O)N4)C3)C2=O)cc1 ZINC001187520025 1074312576 /nfs/dbraw/zinc/31/25/76/1074312576.db2.gz VAVHRLSDZKGAGV-BTYIYWSLSA-N 0 0 443.460 -0.887 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)CNC(=O)[C@@H]4CCC(=O)N4)C3)C2=O)cc1 ZINC001187520026 1074312703 /nfs/dbraw/zinc/31/27/03/1074312703.db2.gz VAVHRLSDZKGAGV-YCRPNKLZSA-N 0 0 443.460 -0.887 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)CCO1 ZINC001187520037 1074312599 /nfs/dbraw/zinc/31/25/99/1074312599.db2.gz VIVLXWPSIRJHEH-DZGCQCFKSA-N 0 0 444.448 -0.799 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)CCO1 ZINC001187520038 1074312542 /nfs/dbraw/zinc/31/25/42/1074312542.db2.gz VIVLXWPSIRJHEH-ZFWWWQNUSA-N 0 0 444.448 -0.799 20 0 IBADRN Cc1noc(N(C(=O)CNC(=O)[C@@H]2CCC(=O)N2)S(=O)(=O)c2ccc(N)cc2)c1C ZINC001187520077 1074312668 /nfs/dbraw/zinc/31/26/68/1074312668.db2.gz XDZMFGLKKTWMEG-AWEZNQCLSA-N 0 0 435.462 -0.010 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)cc1 ZINC001187520424 1074312607 /nfs/dbraw/zinc/31/26/07/1074312607.db2.gz AEUPWXAAGXPFHL-KRWDZBQOSA-N 0 0 430.509 -0.770 20 0 IBADRN Cc1cccc(Cn2c(=O)ccc3c2CC[C@@H](NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)C3)n1 ZINC001187520637 1074312646 /nfs/dbraw/zinc/31/26/46/1074312646.db2.gz FMLXNJNHHVFULE-AEFFLSMTSA-N 0 0 437.500 -0.032 20 0 IBADRN Cc1cccc(Cn2c(=O)ccc3c2CC[C@H](NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)C3)n1 ZINC001187520638 1074312568 /nfs/dbraw/zinc/31/25/68/1074312568.db2.gz FMLXNJNHHVFULE-WMZOPIPTSA-N 0 0 437.500 -0.032 20 0 IBADRN CC(C)(C)OC(=O)N1CCCn2c(CNC(=O)CNC(=O)[C@@H]3CCC(=O)N3)nnc2C1 ZINC001187520760 1074312658 /nfs/dbraw/zinc/31/26/58/1074312658.db2.gz IMOISYJXWYDFRF-LBPRGKRZSA-N 0 0 435.485 -0.570 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N[C@@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001187520793 1074312535 /nfs/dbraw/zinc/31/25/35/1074312535.db2.gz JMMXNQAAPRVWJO-CVEARBPZSA-N 0 0 426.477 -0.887 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)N[C@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001187520794 1074312553 /nfs/dbraw/zinc/31/25/53/1074312553.db2.gz JMMXNQAAPRVWJO-HOTGVXAUSA-N 0 0 426.477 -0.887 20 0 IBADRN [NH3+]CCN(C(=O)CNC(=O)[C@@H]1CCC(=O)N1)c1cccc2c1cccc2S(=O)(=O)[O-] ZINC001187523821 1074312558 /nfs/dbraw/zinc/31/25/58/1074312558.db2.gz AESXHWIIKKEMJQ-AWEZNQCLSA-N 0 0 434.474 -0.227 20 0 IBADRN CCOc1cc([C@@H](CS(C)(=O)=O)NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)ccc1OC ZINC001187524231 1074312520 /nfs/dbraw/zinc/31/25/20/1074312520.db2.gz HUEQMSRABWBFHF-UONOGXRCSA-N 0 0 441.506 -0.309 20 0 IBADRN COC(=O)C1(NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001187524421 1074312585 /nfs/dbraw/zinc/31/25/85/1074312585.db2.gz OOKMDBCWSQYIBV-LBPRGKRZSA-N 0 0 426.470 -0.560 20 0 IBADRN CCOC(=O)C1=C(NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)CCN(C(=O)OC(C)(C)C)C1 ZINC001187524797 1074312997 /nfs/dbraw/zinc/31/29/97/1074312997.db2.gz VOPOBJHECUNVIX-AWEZNQCLSA-N 0 0 438.481 -0.045 20 0 IBADRN COc1cc(/C=C\C(=O)NCCCCNC(=O)CNC(=O)[C@@H]2CCC(=O)N2)ccc1O ZINC001187525472 1074312964 /nfs/dbraw/zinc/31/29/64/1074312964.db2.gz CKETXVCOUMXKGB-KKTNHOPESA-N 0 0 432.477 -0.179 20 0 IBADRN COc1cc(/C=C/C(=O)NCCCCNC(=O)CNC(=O)[C@@H]2CCC(=O)N2)ccc1O ZINC001187525473 1074313019 /nfs/dbraw/zinc/31/30/19/1074313019.db2.gz CKETXVCOUMXKGB-PXTSUWAFSA-N 0 0 432.477 -0.179 20 0 IBADRN CC(C)(C)OC(=O)CCOCCOCCOCCNC(=O)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001187525492 1074313080 /nfs/dbraw/zinc/31/30/80/1074313080.db2.gz CXQBWZSVCPWFAU-HNNXBMFYSA-N 0 0 445.513 -0.721 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001187525655 1074312953 /nfs/dbraw/zinc/31/29/53/1074312953.db2.gz GTNGFAOMTIPFPD-KKUMJFAQSA-N 0 0 426.514 -0.384 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)NC[C@H](c1cnc(C(F)(F)F)nc1)N1CCOCC1 ZINC001187525672 1074313063 /nfs/dbraw/zinc/31/30/63/1074313063.db2.gz HHFKFWIZNDMPGP-QWHCGFSZSA-N 0 0 444.414 -0.620 20 0 IBADRN O=C(CNC(=O)[C@@H]1CCC(=O)N1)NC[C@@H](c1cnc(C(F)(F)F)nc1)N1CCOCC1 ZINC001187525673 1074312981 /nfs/dbraw/zinc/31/29/81/1074312981.db2.gz HHFKFWIZNDMPGP-STQMWFEESA-N 0 0 444.414 -0.620 20 0 IBADRN COC(=O)[C@H](Cc1ccc(Br)cn1)NC(=O)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001187525935 1074313087 /nfs/dbraw/zinc/31/30/87/1074313087.db2.gz JNUABJPZDBLVGH-RYUDHWBXSA-N 0 0 427.255 -0.561 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)[C@@H]1CCC(=O)N1 ZINC001187527753 1074313029 /nfs/dbraw/zinc/31/30/29/1074313029.db2.gz NTJLTTVQYPVAJK-FHWLQOOXSA-N 0 0 442.516 -0.158 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)[C@@H]1CCC(=O)N1 ZINC001187527756 1074313071 /nfs/dbraw/zinc/31/30/71/1074313071.db2.gz NTJLTTVQYPVAJK-GBESFXJTSA-N 0 0 442.516 -0.158 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001187531836 1074312973 /nfs/dbraw/zinc/31/29/73/1074312973.db2.gz AJEDMBXSGZXDEA-OALUTQOASA-N 0 0 443.548 -0.339 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1CCC2(CC1)COCC(=O)N2)[C@@H]1CCC(=O)N1 ZINC001187532068 1074313009 /nfs/dbraw/zinc/31/30/09/1074313009.db2.gz DYGNRJSTTLVDIC-IRXDYDNUSA-N 0 0 428.489 -0.500 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001187532561 1074313024 /nfs/dbraw/zinc/31/30/24/1074313024.db2.gz OIMQODKDQNJTDW-ULQDDVLXSA-N 0 0 444.488 -0.727 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001187532562 1074313003 /nfs/dbraw/zinc/31/30/03/1074313003.db2.gz OIMQODKDQNJTDW-YESZJQIVSA-N 0 0 444.488 -0.727 20 0 IBADRN NS(=O)(=O)c1cncc(NC(=O)[C@H](Cc2ccccc2)NC(=O)[C@@H]2CCC(=O)N2)c1 ZINC001187532572 1074312988 /nfs/dbraw/zinc/31/29/88/1074312988.db2.gz OSXDXGBPNRHDNP-HOTGVXAUSA-N 0 0 431.474 -0.326 20 0 IBADRN COC(=O)[C@@]12COC[C@@H]1CN(C(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001187532736 1074312940 /nfs/dbraw/zinc/31/29/40/1074312940.db2.gz ROXNAYBKEJBLPL-DOWNOZBLSA-N 0 0 429.473 -0.360 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1Cc2ccnn2CC[C@H]1CO)[C@@H]1CCC(=O)N1 ZINC001187533653 1074313041 /nfs/dbraw/zinc/31/30/41/1074313041.db2.gz FMRHMEQMNJWPPS-FHWLQOOXSA-N 0 0 425.489 -0.018 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1Cc2ccnn2CC[C@@H]1CO)[C@@H]1CCC(=O)N1 ZINC001187533656 1074313057 /nfs/dbraw/zinc/31/30/57/1074313057.db2.gz FMRHMEQMNJWPPS-QYZOEREBSA-N 0 0 425.489 -0.018 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](Cc2ccccc2)NC(=O)[C@@H]2CCC(=O)N2)CCN1C(C)=O ZINC001187533685 1074313409 /nfs/dbraw/zinc/31/34/09/1074313409.db2.gz FZWSCDQIWKFXEX-BZSNNMDCSA-N 0 0 444.488 -0.775 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](Cc2ccccc2)NC(=O)[C@@H]2CCC(=O)N2)CCN1C(C)=O ZINC001187533688 1074313382 /nfs/dbraw/zinc/31/33/82/1074313382.db2.gz FZWSCDQIWKFXEX-OKZBNKHCSA-N 0 0 444.488 -0.775 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1CCc2[nH]c(=O)[nH]c(=O)c2C1)[C@@H]1CCC(=O)N1 ZINC001187534134 1074313303 /nfs/dbraw/zinc/31/33/03/1074313303.db2.gz ITMMDVMEKNEERY-HOTGVXAUSA-N 0 0 425.445 -0.221 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1CCC2(CC1)CNC(=O)CO2)[C@@H]1CCC(=O)N1 ZINC001187534168 1074313376 /nfs/dbraw/zinc/31/33/76/1074313376.db2.gz KUBOCAWNQLERNL-IRXDYDNUSA-N 0 0 428.489 -0.500 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1CCN(c2ncc(O)cn2)CC1)[C@@H]1CCC(=O)N1 ZINC001187535124 1074313357 /nfs/dbraw/zinc/31/33/57/1074313357.db2.gz WCPPQKUTOMBNEO-ROUUACIJSA-N 0 0 438.488 -0.163 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)N1CCN(C2CCOCC2)C(=O)C1)[C@@H]1CCC(=O)N1 ZINC001187535286 1074313307 /nfs/dbraw/zinc/31/33/07/1074313307.db2.gz YRXGVOSKMGVYEI-OALUTQOASA-N 0 0 442.516 -0.158 20 0 IBADRN Nc1ccc(S(N)(=O)=O)cc1NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001187535396 1074313297 /nfs/dbraw/zinc/31/32/97/1074313297.db2.gz DVYAOBJMOINQKR-RDJZCZTQSA-N 0 0 445.501 -0.139 20 0 IBADRN CCOC(=O)c1nnc(CNC(=O)[C@H](Cc2ccccc2)NC(=O)[C@@H]2CCC(=O)N2)o1 ZINC001187540836 1074313372 /nfs/dbraw/zinc/31/33/72/1074313372.db2.gz BPBRWKMVFBFSFX-KBPBESRZSA-N 0 0 429.433 -0.131 20 0 IBADRN Cn1c(=O)[nH]c(=O)c(N=O)c1NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001187540860 1074313386 /nfs/dbraw/zinc/31/33/86/1074313386.db2.gz CJMKWJNFFVVOGB-RYUDHWBXSA-N 0 0 428.405 -0.172 20 0 IBADRN O=C(NCCOCCN1C(=O)C=CC1=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001187541025 1074313331 /nfs/dbraw/zinc/31/33/31/1074313331.db2.gz HDRZTMFEMRUPCW-IRXDYDNUSA-N 0 0 442.472 -0.950 20 0 IBADRN COC(=O)[C@H](Cc1cncn1C)NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001187541163 1074313391 /nfs/dbraw/zinc/31/33/91/1074313391.db2.gz GYMGIBZZHZMNKP-BZSNNMDCSA-N 0 0 441.488 -0.374 20 0 IBADRN COC(=O)[C@@H](Cc1cncn1C)NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001187541164 1074313319 /nfs/dbraw/zinc/31/33/19/1074313319.db2.gz GYMGIBZZHZMNKP-OKZBNKHCSA-N 0 0 441.488 -0.374 20 0 IBADRN COC(=O)c1ncc(=O)[nH]c1NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001187541675 1074313405 /nfs/dbraw/zinc/31/34/05/1074313405.db2.gz MLEYHAJSPBBRDW-STQMWFEESA-N 0 0 427.417 -0.087 20 0 IBADRN CC(C)[C@H](NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCC(=O)N1)C(=O)N1CCOCC1 ZINC001187542474 1074313339 /nfs/dbraw/zinc/31/33/39/1074313339.db2.gz RFXXSIKLAWXQST-BJLQDIEVSA-N 0 0 444.532 -0.008 20 0 IBADRN COc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)ccc1OC(C)C ZINC001187583176 1074313364 /nfs/dbraw/zinc/31/33/64/1074313364.db2.gz XBFPYYWHJHAKPO-KLICCBINSA-N 0 0 434.449 -0.087 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001187602084 1074313785 /nfs/dbraw/zinc/31/37/85/1074313785.db2.gz KITKCICCDRXWKP-CYBMUJFWSA-N 0 0 441.553 -0.723 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001187602085 1074313806 /nfs/dbraw/zinc/31/38/06/1074313806.db2.gz KITKCICCDRXWKP-ZDUSSCGKSA-N 0 0 441.553 -0.723 20 0 IBADRN O=C(Cn1cnc2c1ncnc2NC(=O)c1ccccc1)N1CC(N2CCNC(=O)C2)C1 ZINC001187611149 1074313689 /nfs/dbraw/zinc/31/36/89/1074313689.db2.gz UCEYLQNLRRNVJI-UHFFFAOYSA-N 0 0 434.460 -0.279 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)Cn2cnc3c2ncnc3NC(=O)c2ccccc2)C1 ZINC001187611270 1074313648 /nfs/dbraw/zinc/31/36/48/1074313648.db2.gz YHVUEZZHTDLILS-UHFFFAOYSA-N 0 0 429.462 -0.161 20 0 IBADRN O=C(Cn1cnc2c1ncnc2NC(=O)c1ccccc1)N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O ZINC001187617220 1074313700 /nfs/dbraw/zinc/31/37/00/1074313700.db2.gz DQOVBNKHALNSQR-KFZJALRRSA-N 0 0 426.433 -0.703 20 0 IBADRN CS(=O)(=O)N1CC(NC(=O)Cn2cnc3c2ncnc3NC(=O)c2ccccc2)C1 ZINC001187618200 1074313820 /nfs/dbraw/zinc/31/38/20/1074313820.db2.gz UJMGCPPQHBXTNT-UHFFFAOYSA-N 0 0 429.462 -0.161 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)Cn1cnc2c1ncnc2NC(=O)c1ccccc1 ZINC001187618201 1074313801 /nfs/dbraw/zinc/31/38/01/1074313801.db2.gz UKNWTRMMLDZGNH-UHFFFAOYSA-N 0 0 425.405 -0.516 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)[C@H]2C[C@]3(C)COc4ccccc4[C@@H]3N2C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001187637399 1074313763 /nfs/dbraw/zinc/31/37/63/1074313763.db2.gz RTNGIVVATJPIIP-WUHMYSCRSA-N 0 0 436.505 -0.209 20 0 IBADRN COC(=O)c1ccnc(S(=O)(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c1 ZINC001187649988 1074313829 /nfs/dbraw/zinc/31/38/29/1074313829.db2.gz GKHKDGNXDMXOHP-UHFFFAOYSA-N 0 0 435.524 -0.071 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)C2(C(=O)C3CC3)CC2)[C@H]1O ZINC001187652399 1074314119 /nfs/dbraw/zinc/31/41/19/1074314119.db2.gz FXDYOKBCCDYIKV-LZDVPDMXSA-N 0 0 430.465 -0.613 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)CCc1cccc2ccccc21 ZINC001187666590 1074313714 /nfs/dbraw/zinc/31/37/14/1074313714.db2.gz KWZKNGBKNIAINH-SFHVURJKSA-N 0 0 446.529 -0.106 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)C2=CC(C)(C)N(C(=O)c3ccccc3)C2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001187672127 1074313751 /nfs/dbraw/zinc/31/37/51/1074313751.db2.gz CUIWGOOXOIWVFF-PNYQWYFFSA-N 0 0 434.489 -0.192 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC001187674388 1074313794 /nfs/dbraw/zinc/31/37/94/1074313794.db2.gz FWUBKFGJQNRCFA-SECBINFHSA-N 0 0 431.272 -0.102 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC001187674391 1074313816 /nfs/dbraw/zinc/31/38/16/1074313816.db2.gz FWUBKFGJQNRCFA-VIFPVBQESA-N 0 0 431.272 -0.102 20 0 IBADRN NC(=O)CN1CCN(S(=O)(=O)c2ccc3c(c2)CN(C(=O)C(F)(F)F)CC3)CC1 ZINC001187687767 1074313661 /nfs/dbraw/zinc/31/36/61/1074313661.db2.gz BCGGQNFXGAUEMF-UHFFFAOYSA-N 0 0 434.440 -0.075 20 0 IBADRN O=C(N1CCc2ccc(S(=O)(=O)N3CC(N4CCNC(=O)C4)C3)cc2C1)C(F)(F)F ZINC001187689168 1074313870 /nfs/dbraw/zinc/31/38/70/1074313870.db2.gz UYWKTMGKLYKCCL-UHFFFAOYSA-N 0 0 446.451 -0.062 20 0 IBADRN CS(=O)(=O)Cc1ccc(F)cc1CNS(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001187692543 1074314218 /nfs/dbraw/zinc/31/42/18/1074314218.db2.gz AYKPTPOAUCWESK-UHFFFAOYSA-N 0 0 428.529 -0.319 20 0 IBADRN O=C(N1CCc2ccc(S(=O)(=O)N[C@@H]3C[C@H](CO)[C@@H](O)[C@H]3O)cc2C1)C(F)(F)F ZINC001187707218 1074314242 /nfs/dbraw/zinc/31/42/42/1074314242.db2.gz AVAFVCTYMJBTPA-NGFQHRJXSA-N 0 0 438.424 -0.486 20 0 IBADRN CC[C@@H](NS(=O)(=O)c1cnn(C)c1I)C(=O)N1CCOCC1 ZINC001187708835 1074314129 /nfs/dbraw/zinc/31/41/29/1074314129.db2.gz UWPMRVYEILUKLQ-SECBINFHSA-N 0 0 442.279 -0.060 20 0 IBADRN CC[C@H](NS(=O)(=O)c1cnn(C)c1I)C(=O)N1CCOCC1 ZINC001187708836 1074314070 /nfs/dbraw/zinc/31/40/70/1074314070.db2.gz UWPMRVYEILUKLQ-VIFPVBQESA-N 0 0 442.279 -0.060 20 0 IBADRN COC(=O)[C@@H](CC(N)=O)NS(=O)(=O)c1ccc2c(c1)CN(C(=O)C(F)(F)F)CC2 ZINC001187715773 1074314205 /nfs/dbraw/zinc/31/42/05/1074314205.db2.gz AODFYYDENZLANA-GFCCVEGCSA-N 0 0 437.396 -0.171 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)CN(C(=O)C(F)(F)F)CC2)C(=O)NCC(N)=O ZINC001187718976 1074314255 /nfs/dbraw/zinc/31/42/55/1074314255.db2.gz PWWMMCALDSABIT-VIFPVBQESA-N 0 0 436.412 -0.598 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC001187734717 1074314232 /nfs/dbraw/zinc/31/42/32/1074314232.db2.gz CYLMCCDOVXRUFX-AWEZNQCLSA-N 0 0 434.559 -0.166 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCN(C(C)(C)C(N)=O)CC2)C1 ZINC001187734718 1074314088 /nfs/dbraw/zinc/31/40/88/1074314088.db2.gz CYLMCCDOVXRUFX-CQSZACIVSA-N 0 0 434.559 -0.166 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3COC[C@H]3O)CC2)c(OC)c1OC ZINC001187734885 1074314100 /nfs/dbraw/zinc/31/41/00/1074314100.db2.gz FLKMSNJUIFCTPE-UKRRQHHQSA-N 0 0 430.479 -0.440 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN(C(C)(C)C(N)=O)CC2)c1I ZINC001187735085 1074314535 /nfs/dbraw/zinc/31/45/35/1074314535.db2.gz HUTCAYGTIAFSRU-UHFFFAOYSA-N 0 0 441.295 -0.405 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(S(=O)(=O)c1c[nH]cn1)C2 ZINC001187740395 1074314578 /nfs/dbraw/zinc/31/45/78/1074314578.db2.gz BWSAGTYIIFTYEF-GOSISDBHSA-N 0 0 433.494 -0.032 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(S(=O)(=O)c1c[nH]cn1)C2 ZINC001187740396 1074314495 /nfs/dbraw/zinc/31/44/95/1074314495.db2.gz BWSAGTYIIFTYEF-SFHVURJKSA-N 0 0 433.494 -0.032 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2c[nH]cn2)CC3)C1=O ZINC001187740916 1074314540 /nfs/dbraw/zinc/31/45/40/1074314540.db2.gz NMOBBIPMEKDWRZ-INIZCTEOSA-N 0 0 431.540 -0.696 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2c[nH]cn2)CC3)C1=O ZINC001187740917 1074314553 /nfs/dbraw/zinc/31/45/53/1074314553.db2.gz NMOBBIPMEKDWRZ-MRXNPFEDSA-N 0 0 431.540 -0.696 20 0 IBADRN O=S(=O)(c1c[nH]cn1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001187741623 1074314559 /nfs/dbraw/zinc/31/45/59/1074314559.db2.gz VTQFIHXLVQQQRN-QWHCGFSZSA-N 0 0 440.507 -0.879 20 0 IBADRN O=S(=O)(c1c[nH]cn1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001187746889 1074314571 /nfs/dbraw/zinc/31/45/71/1074314571.db2.gz DBOPGLDTXJNRMG-IJEWVQPXSA-N 0 0 425.492 -0.449 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1c[nH]cn1)CCC2 ZINC001187749687 1074314529 /nfs/dbraw/zinc/31/45/29/1074314529.db2.gz YGHWCBBBSRTTKV-UHFFFAOYSA-N 0 0 432.466 -0.776 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3c[nH]cn3)CC2)c2nonc21 ZINC001187754330 1074314502 /nfs/dbraw/zinc/31/45/02/1074314502.db2.gz SVKJHJAWQJWMNO-UHFFFAOYSA-N 0 0 441.495 -0.293 20 0 IBADRN COC(=O)c1ccc(NC(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001187758991 1074314524 /nfs/dbraw/zinc/31/45/24/1074314524.db2.gz DFQNAWUDPMJZNX-LSCFUAHRSA-N 0 0 444.404 -0.132 20 0 IBADRN COC(=O)c1ccc(NC(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001187759804 1074314485 /nfs/dbraw/zinc/31/44/85/1074314485.db2.gz DFQNAWUDPMJZNX-MBMVNNNZSA-N 0 0 444.404 -0.132 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1n[nH]nc1C(F)(F)F)C(=O)NCC(N)=O ZINC001187764451 1074314509 /nfs/dbraw/zinc/31/45/09/1074314509.db2.gz ZAZNLHIFGMZUJY-UWVGGRQHSA-N 0 0 447.418 -0.440 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CC[C@H](NS(=O)(=O)c3c[nH]cn3)C2)c2nonc21 ZINC001187786572 1074314516 /nfs/dbraw/zinc/31/45/16/1074314516.db2.gz BJVXVYDKJGZYGD-JTQLQIEISA-N 0 0 441.495 -0.247 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)c1c[nH]cn1 ZINC001187794791 1074314586 /nfs/dbraw/zinc/31/45/86/1074314586.db2.gz QDIMWBLOSLHHRC-UHFFFAOYSA-N 0 0 425.504 -0.583 20 0 IBADRN COc1ccccc1Oc1ccc(S(=O)(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001187835927 1074314820 /nfs/dbraw/zinc/31/48/20/1074314820.db2.gz KLQXXSMNMBBEDB-FVVUREQNSA-N 0 0 441.458 -0.434 20 0 IBADRN COc1ccccc1Oc1ccc(S(=O)(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cc1 ZINC001187835928 1074314873 /nfs/dbraw/zinc/31/48/73/1074314873.db2.gz KLQXXSMNMBBEDB-NNIGNNQHSA-N 0 0 441.458 -0.434 20 0 IBADRN COCCn1nccc1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001187859227 1074314882 /nfs/dbraw/zinc/31/48/82/1074314882.db2.gz XVOSLNWVZNFIFT-GJZGRUSLSA-N 0 0 436.513 -0.734 20 0 IBADRN COCCn1nccc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001187859711 1074314808 /nfs/dbraw/zinc/31/48/08/1074314808.db2.gz MWXALFKQXUKAFS-BFYDXBDKSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1nccc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001187859712 1074314836 /nfs/dbraw/zinc/31/48/36/1074314836.db2.gz MWXALFKQXUKAFS-ZMSDIMECSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1nccc1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001187864054 1074314843 /nfs/dbraw/zinc/31/48/43/1074314843.db2.gz MRKWTYLXQPDVFA-UHFFFAOYSA-N 0 0 437.887 -0.270 20 0 IBADRN COCCn1nccc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001187867336 1074314814 /nfs/dbraw/zinc/31/48/14/1074314814.db2.gz POIZFLLUZUJROZ-BCDMZGRMSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1nccc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001187867337 1074314804 /nfs/dbraw/zinc/31/48/04/1074314804.db2.gz POIZFLLUZUJROZ-MUDKKEKZSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1nccc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001187867338 1074314875 /nfs/dbraw/zinc/31/48/75/1074314875.db2.gz POIZFLLUZUJROZ-QSUVVDIXSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1nccc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001187867339 1074314778 /nfs/dbraw/zinc/31/47/78/1074314778.db2.gz POIZFLLUZUJROZ-RDHIYWLCSA-N 0 0 439.490 -0.326 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3nccs3)C[C@@H]21)N1CCOCC1 ZINC001187869523 1074314741 /nfs/dbraw/zinc/31/47/41/1074314741.db2.gz IVEOZFWFVUIBEX-JHJVBQTASA-N 0 0 435.549 -0.574 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3nccs3)C[C@@H]21)N1CCOCC1 ZINC001187869525 1074315158 /nfs/dbraw/zinc/31/51/58/1074315158.db2.gz IVEOZFWFVUIBEX-YNEHKIRRSA-N 0 0 435.549 -0.574 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1nccs1)C(=O)NCC(N)=O ZINC001187876825 1074315477 /nfs/dbraw/zinc/31/54/77/1074315477.db2.gz AYNMWCGRUUQKJM-RYUDHWBXSA-N 0 0 431.540 -0.571 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1nccs1)CCC2 ZINC001187878919 1074315590 /nfs/dbraw/zinc/31/55/90/1074315590.db2.gz UCSBCLKMHQGEEJ-UHFFFAOYSA-N 0 0 449.518 -0.042 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1snnc1C)C(N)=O ZINC001187892982 1074315391 /nfs/dbraw/zinc/31/53/91/1074315391.db2.gz ULVHRHVVBMLPBF-RYUDHWBXSA-N 0 0 444.583 -0.170 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)c(Cl)c1Cl ZINC001187895660 1074315209 /nfs/dbraw/zinc/31/52/09/1074315209.db2.gz QCNOZXLCAGQZBM-NNJLQDIKSA-N 0 0 444.289 -0.683 20 0 IBADRN CCS(=O)(=O)c1ccc(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)c(Cl)c1Cl ZINC001187895661 1074315151 /nfs/dbraw/zinc/31/51/51/1074315151.db2.gz QCNOZXLCAGQZBM-ZEPARZKZSA-N 0 0 444.289 -0.683 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCO1 ZINC001187898380 1074315142 /nfs/dbraw/zinc/31/51/42/1074315142.db2.gz SIESPERBFDBAJC-GXTWGEPZSA-N 0 0 441.572 -0.178 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCO1 ZINC001187898381 1074315183 /nfs/dbraw/zinc/31/51/83/1074315183.db2.gz SIESPERBFDBAJC-JSGCOSHPSA-N 0 0 441.572 -0.178 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCO1 ZINC001187898382 1074315172 /nfs/dbraw/zinc/31/51/72/1074315172.db2.gz SIESPERBFDBAJC-OCCSQVGLSA-N 0 0 441.572 -0.178 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCO1 ZINC001187898383 1074315132 /nfs/dbraw/zinc/31/51/32/1074315132.db2.gz SIESPERBFDBAJC-TZMCWYRMSA-N 0 0 441.572 -0.178 20 0 IBADRN COCCn1ccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001187900541 1074315078 /nfs/dbraw/zinc/31/50/78/1074315078.db2.gz TYXCOSJGMDTZBI-BFYDXBDKSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1ccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001187900544 1074315202 /nfs/dbraw/zinc/31/52/02/1074315202.db2.gz TYXCOSJGMDTZBI-ZMSDIMECSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1ccc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001187902388 1074315087 /nfs/dbraw/zinc/31/50/87/1074315087.db2.gz YCLYVBMZFGPZBT-HOTGVXAUSA-N 0 0 436.513 -0.734 20 0 IBADRN COCCn1ccc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)n1 ZINC001187907856 1074315191 /nfs/dbraw/zinc/31/51/91/1074315191.db2.gz RWBLSGXCVDHAAK-UHFFFAOYSA-N 0 0 437.887 -0.270 20 0 IBADRN COCCn1ccc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)n1 ZINC001187907898 1074315106 /nfs/dbraw/zinc/31/51/06/1074315106.db2.gz RCJYHFFWIJUTNN-BCDMZGRMSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1ccc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)n1 ZINC001187907899 1074315119 /nfs/dbraw/zinc/31/51/19/1074315119.db2.gz RCJYHFFWIJUTNN-MUDKKEKZSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1ccc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)n1 ZINC001187907900 1074315178 /nfs/dbraw/zinc/31/51/78/1074315178.db2.gz RCJYHFFWIJUTNN-QSUVVDIXSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1ccc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)n1 ZINC001187907901 1074315123 /nfs/dbraw/zinc/31/51/23/1074315123.db2.gz RCJYHFFWIJUTNN-RDHIYWLCSA-N 0 0 439.490 -0.326 20 0 IBADRN O=S(=O)(NCCOCCOCCOCCOCCOCCO)c1nccs1 ZINC001187913262 1074315578 /nfs/dbraw/zinc/31/55/78/1074315578.db2.gz VNENVBAHQCQUEQ-UHFFFAOYSA-N 0 0 428.529 -0.503 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1nccs1)C(N)=O ZINC001187925805 1074315528 /nfs/dbraw/zinc/31/55/28/1074315528.db2.gz YSFVMXRRHUSIAR-ICCXJUOJSA-N 0 0 428.492 -0.911 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)c1 ZINC001187933981 1074315455 /nfs/dbraw/zinc/31/54/55/1074315455.db2.gz ATBAXVAEHFMZTD-UHFFFAOYSA-N 0 0 435.466 -0.800 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1cccc(S(=O)(=O)N(C)C)c1)C2 ZINC001187942373 1074315380 /nfs/dbraw/zinc/31/53/80/1074315380.db2.gz OPZQOZFFSXLCCI-AWEZNQCLSA-N 0 0 430.504 -0.261 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1cccc(S(=O)(=O)N(C)C)c1)C2 ZINC001187942375 1074315614 /nfs/dbraw/zinc/31/56/14/1074315614.db2.gz OPZQOZFFSXLCCI-CQSZACIVSA-N 0 0 430.504 -0.261 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1cccc(S(=O)(=O)N(C)C)c1)C[C@H]2C(=O)N(C)C ZINC001187949594 1074315466 /nfs/dbraw/zinc/31/54/66/1074315466.db2.gz OZASZAYGWXEUHP-KRWDZBQOSA-N 0 0 436.534 -0.054 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1cccc(S(=O)(=O)N(C)C)c1)C[C@@H]2C(=O)N(C)C ZINC001187949596 1074315443 /nfs/dbraw/zinc/31/54/43/1074315443.db2.gz OZASZAYGWXEUHP-QGZVFWFLSA-N 0 0 436.534 -0.054 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(N3CCOCC3)s2)c(=O)n1 ZINC001187963236 1074315597 /nfs/dbraw/zinc/31/55/97/1074315597.db2.gz ZPNOJZWXYXLKRH-GWBBYGMBSA-N 0 0 437.478 -0.985 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187981495 1074315545 /nfs/dbraw/zinc/31/55/45/1074315545.db2.gz DZUNXXNWOWNSEW-UHFFFAOYSA-N 0 0 427.266 -0.538 20 0 IBADRN COc1ccc(-n2cc(CNS(=O)(=O)C[C@@H]3CCN(S(C)(=O)=O)C3)nn2)cc1 ZINC001187984022 1074315562 /nfs/dbraw/zinc/31/55/62/1074315562.db2.gz PXVDNJSZPIUXAG-CYBMUJFWSA-N 0 0 429.524 -0.023 20 0 IBADRN COc1ccc(-n2cc(CNS(=O)(=O)C[C@H]3CCN(S(C)(=O)=O)C3)nn2)cc1 ZINC001187984025 1074315404 /nfs/dbraw/zinc/31/54/04/1074315404.db2.gz PXVDNJSZPIUXAG-ZDUSSCGKSA-N 0 0 429.524 -0.023 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187987404 1074315846 /nfs/dbraw/zinc/31/58/46/1074315846.db2.gz FKHATQOEKZEYED-QMMMGPOBSA-N 0 0 438.293 -0.741 20 0 IBADRN CN(C)c1nccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001187998935 1074315963 /nfs/dbraw/zinc/31/59/63/1074315963.db2.gz ICZIIMPWZCPBSZ-IIAWOOMASA-N 0 0 437.522 -0.723 20 0 IBADRN CN(C)c1nccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001187998936 1074315970 /nfs/dbraw/zinc/31/59/70/1074315970.db2.gz ICZIIMPWZCPBSZ-SQWLQELKSA-N 0 0 437.522 -0.723 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001187999350 1074315923 /nfs/dbraw/zinc/31/59/23/1074315923.db2.gz VPWXUODGMNSSOC-KGLIPLIRSA-N 0 0 434.540 -0.294 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccnc(N(C)C)n1)C(=O)NCC(N)=O ZINC001188003402 1074315822 /nfs/dbraw/zinc/31/58/22/1074315822.db2.gz OEVAVOQDXDWDLB-GJZGRUSLSA-N 0 0 433.513 -0.720 20 0 IBADRN CN(C)c1nccc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)n1 ZINC001188003539 1074315956 /nfs/dbraw/zinc/31/59/56/1074315956.db2.gz PAWVCTVYXYINNJ-UHFFFAOYSA-N 0 0 434.887 -0.257 20 0 IBADRN CN(C)c1nccc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)n1 ZINC001188006655 1074315866 /nfs/dbraw/zinc/31/58/66/1074315866.db2.gz SEKFZFMOKLPLIV-DXWTWGPWSA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1nccc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)n1 ZINC001188006656 1074315977 /nfs/dbraw/zinc/31/59/77/1074315977.db2.gz SEKFZFMOKLPLIV-IMPIEMTGSA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1nccc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)n1 ZINC001188006657 1074315916 /nfs/dbraw/zinc/31/59/16/1074315916.db2.gz SEKFZFMOKLPLIV-JFBPSJKJSA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1nccc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)n1 ZINC001188006658 1074315809 /nfs/dbraw/zinc/31/58/09/1074315809.db2.gz SEKFZFMOKLPLIV-OCABDXPQSA-N 0 0 436.490 -0.313 20 0 IBADRN C[S@@](=O)CCN1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001188033746 1074316255 /nfs/dbraw/zinc/31/62/55/1074316255.db2.gz FJQIXPQPJOXIRN-HHHXNRCGSA-N 0 0 427.548 -0.001 20 0 IBADRN C[S@](=O)CCN1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001188033747 1074316210 /nfs/dbraw/zinc/31/62/10/1074316210.db2.gz FJQIXPQPJOXIRN-MHZLTWQESA-N 0 0 427.548 -0.001 20 0 IBADRN CC(C)n1cnc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001188036527 1074315990 /nfs/dbraw/zinc/31/59/90/1074315990.db2.gz UPMYAUYQASUIOO-RBSFLKMASA-N 0 0 425.511 -0.407 20 0 IBADRN CC(C)n1cnc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001188036534 1074315832 /nfs/dbraw/zinc/31/58/32/1074315832.db2.gz UPMYAUYQASUIOO-RRFJBIMHSA-N 0 0 425.511 -0.407 20 0 IBADRN C[S@@](=O)CCN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC001188036594 1074315909 /nfs/dbraw/zinc/31/59/09/1074315909.db2.gz ZBSICPYNFOJFSX-RUZDIDTESA-N 0 0 435.593 -0.188 20 0 IBADRN C[S@](=O)CCN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC001188036607 1074315874 /nfs/dbraw/zinc/31/58/74/1074315874.db2.gz ZBSICPYNFOJFSX-VWLOTQADSA-N 0 0 435.593 -0.188 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)N=[S@](=O)(c3ccccc3)C(F)(F)F)CCN2C1=O ZINC001188054556 1074316276 /nfs/dbraw/zinc/31/62/76/1074316276.db2.gz JVHVHBIWIQELFI-BLBHWQHRSA-N 0 0 440.425 -0.079 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)N=[S@@](=O)(c3ccccc3)C(F)(F)F)CCN2C1=O ZINC001188054558 1074316264 /nfs/dbraw/zinc/31/62/64/1074316264.db2.gz JVHVHBIWIQELFI-FLESLCNUSA-N 0 0 440.425 -0.079 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)N=[S@@](=O)(c3ccccc3)C(F)(F)F)CCN2C1=O ZINC001188054560 1074316352 /nfs/dbraw/zinc/31/63/52/1074316352.db2.gz JVHVHBIWIQELFI-GFVXYOJSSA-N 0 0 440.425 -0.079 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)N=[S@](=O)(c3ccccc3)C(F)(F)F)CCN2C1=O ZINC001188054562 1074316328 /nfs/dbraw/zinc/31/63/28/1074316328.db2.gz JVHVHBIWIQELFI-JGFSIVIASA-N 0 0 440.425 -0.079 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)c(F)cc1Br ZINC001188055203 1074316369 /nfs/dbraw/zinc/31/63/69/1074316369.db2.gz OPWPRABRTNNUQY-SSDOTTSWSA-N 0 0 449.258 -0.982 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)c(F)cc1Br ZINC001188055205 1074316239 /nfs/dbraw/zinc/31/62/39/1074316239.db2.gz OPWPRABRTNNUQY-ZETCQYMHSA-N 0 0 449.258 -0.982 20 0 IBADRN O=C1NC[C@H]2CN(S(=O)(=O)Cc3cc4c(cc3Br)OCO4)CCN2C1=O ZINC001188055566 1074316334 /nfs/dbraw/zinc/31/63/34/1074316334.db2.gz SZKKZFVXSGYFAP-JTQLQIEISA-N 0 0 446.279 -0.350 20 0 IBADRN O=C1NC[C@@H]2CN(S(=O)(=O)Cc3cc4c(cc3Br)OCO4)CCN2C1=O ZINC001188055567 1074316343 /nfs/dbraw/zinc/31/63/43/1074316343.db2.gz SZKKZFVXSGYFAP-SNVBAGLBSA-N 0 0 446.279 -0.350 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1c[nH]nc1C)C(N)=O ZINC001188064932 1074316247 /nfs/dbraw/zinc/31/62/47/1074316247.db2.gz IFDADWAYJJAKGJ-KBPBESRZSA-N 0 0 426.543 -0.298 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)C[C@]2(OC(C)C)CCOC2)CC1 ZINC001188077214 1074316319 /nfs/dbraw/zinc/31/63/19/1074316319.db2.gz ACIWYHOWFKFKHR-INIZCTEOSA-N 0 0 428.573 -0.116 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)C[C@@]2(OC(C)C)CCOC2)CC1 ZINC001188077215 1074316283 /nfs/dbraw/zinc/31/62/83/1074316283.db2.gz ACIWYHOWFKFKHR-MRXNPFEDSA-N 0 0 428.573 -0.116 20 0 IBADRN CNC(=O)c1ccc(CS(=O)(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cc1 ZINC001188077424 1074316190 /nfs/dbraw/zinc/31/61/90/1074316190.db2.gz CYYUKLMMIJGBCT-UHFFFAOYSA-N 0 0 433.552 -0.140 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cnc(Cl)c(Cl)c1 ZINC001188088773 1074316534 /nfs/dbraw/zinc/31/65/34/1074316534.db2.gz QBXLUKLDHXXRPV-UHFFFAOYSA-N 0 0 427.266 -0.538 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cnc(Cl)c(Cl)c1 ZINC001188098021 1074316754 /nfs/dbraw/zinc/31/67/54/1074316754.db2.gz VBTSXGNKMLPSQJ-JTQLQIEISA-N 0 0 438.293 -0.741 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)cc1 ZINC001188105955 1074317107 /nfs/dbraw/zinc/31/71/07/1074317107.db2.gz VZLLXZJYKUNFAA-UHFFFAOYSA-N 0 0 434.478 -0.319 20 0 IBADRN O=S(=O)(C1CCC1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001188111448 1074316618 /nfs/dbraw/zinc/31/66/18/1074316618.db2.gz BZZLQSFMRSSJSF-LSDHHAIUSA-N 0 0 428.536 -0.108 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(S(=O)(=O)C3CCC3)CC[C@@H]12 ZINC001188112011 1074316791 /nfs/dbraw/zinc/31/67/91/1074316791.db2.gz SEWYLJVXTWKYMN-AEFFLSMTSA-N 0 0 449.595 -0.156 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CC[C@H]3C(=O)N(CCOC)CCO[C@H]3C2)cc1 ZINC001188113527 1074316595 /nfs/dbraw/zinc/31/65/95/1074316595.db2.gz BMGRXVMWFYWYOA-SJORKVTESA-N 0 0 425.507 -0.069 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)cc1 ZINC001188114088 1074316710 /nfs/dbraw/zinc/31/67/10/1074316710.db2.gz DZVYZWSDCQRJGT-CABCVRRESA-N 0 0 430.552 -0.205 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)c3ccc(S(=O)(=O)NC)cc3)C2)C1 ZINC001188114785 1074316664 /nfs/dbraw/zinc/31/66/64/1074316664.db2.gz MQPCTXOMNAKVRG-FQEVSTJZSA-N 0 0 438.550 -0.105 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)c3ccc(S(=O)(=O)NC)cc3)C2)C1 ZINC001188114789 1074316571 /nfs/dbraw/zinc/31/65/71/1074316571.db2.gz MQPCTXOMNAKVRG-HXUWFJFHSA-N 0 0 438.550 -0.105 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2Cc3ccnn3C[C@@H](C(=O)NCCOC)C2)cc1 ZINC001188116144 1074316832 /nfs/dbraw/zinc/31/68/32/1074316832.db2.gz XDIJBICCVIJJJA-HNNXBMFYSA-N 0 0 435.506 -0.174 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2Cc3ccnn3C[C@H](C(=O)NCCOC)C2)cc1 ZINC001188116147 1074316607 /nfs/dbraw/zinc/31/66/07/1074316607.db2.gz XDIJBICCVIJJJA-OAHLLOKOSA-N 0 0 435.506 -0.174 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)cc1 ZINC001188116165 1074316553 /nfs/dbraw/zinc/31/65/53/1074316553.db2.gz XRMAXRSGGJSKAV-UHFFFAOYSA-N 0 0 436.494 -0.553 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNS(=O)(=O)C[C@@H]2CN(C)CCO2)cc1 ZINC001188117043 1074317032 /nfs/dbraw/zinc/31/70/32/1074317032.db2.gz AHGYDZLIANYHRL-INIZCTEOSA-N 0 0 434.536 -0.476 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNS(=O)(=O)C[C@H]2CN(C)CCO2)cc1 ZINC001188117044 1074317093 /nfs/dbraw/zinc/31/70/93/1074317093.db2.gz AHGYDZLIANYHRL-MRXNPFEDSA-N 0 0 434.536 -0.476 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)cc1 ZINC001188125109 1074317070 /nfs/dbraw/zinc/31/70/70/1074317070.db2.gz GKENHXWILCXUFZ-RRFJBIMHSA-N 0 0 438.462 -0.756 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1 ZINC001188130668 1074317007 /nfs/dbraw/zinc/31/70/07/1074317007.db2.gz IDSYTLNRUNSLMJ-HOTGVXAUSA-N 0 0 448.505 -0.865 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(=O)c(OCc3ccccc3)co2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001188132733 1074317085 /nfs/dbraw/zinc/31/70/85/1074317085.db2.gz JYXQXPLEGYFKGO-ZCISVBNHSA-N 0 0 435.429 -0.207 20 0 IBADRN Cc1cc(C)nc(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c1 ZINC001188147336 1074317099 /nfs/dbraw/zinc/31/70/99/1074317099.db2.gz RUVJTLRBTIGFEG-NOAAKOMESA-N 0 0 427.465 -0.047 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)C1CCC1)C(N)=O ZINC001188168764 1074317078 /nfs/dbraw/zinc/31/70/78/1074317078.db2.gz FPLMEAPTCWRRGZ-KBPBESRZSA-N 0 0 436.600 -0.288 20 0 IBADRN Cc1nccc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001188171861 1074317159 /nfs/dbraw/zinc/31/71/59/1074317159.db2.gz VGGIQNHQDSBECO-GOEBONIOSA-N 0 0 430.490 -0.052 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccnc(C)n1)C(N)=O ZINC001188183477 1074317112 /nfs/dbraw/zinc/31/71/12/1074317112.db2.gz VRVQHPJFGABGMZ-ZFWWWQNUSA-N 0 0 438.554 -0.231 20 0 IBADRN CCCCOC(=O)CNC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1OC ZINC001188245449 1074317050 /nfs/dbraw/zinc/31/70/50/1074317050.db2.gz LKOQMIAERGXEBI-IWCJZZDYSA-N 0 0 438.441 -0.443 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001188246480 1074317123 /nfs/dbraw/zinc/31/71/23/1074317123.db2.gz NMNSQYBOTUFFEO-CYBMUJFWSA-N 0 0 426.561 -0.345 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001188246482 1074317518 /nfs/dbraw/zinc/31/75/18/1074317518.db2.gz NMNSQYBOTUFFEO-ZDUSSCGKSA-N 0 0 426.561 -0.345 20 0 IBADRN CCCCOC(=O)CNC(=O)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001188251317 1074317041 /nfs/dbraw/zinc/31/70/41/1074317041.db2.gz NDLGWXPAOWSMAH-IWCJZZDYSA-N 0 0 441.416 -0.353 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CCN([C@H]2CCOC2=O)CC1 ZINC001188254877 1074317385 /nfs/dbraw/zinc/31/73/85/1074317385.db2.gz RCZRECZBOXRVEJ-AWEZNQCLSA-N 0 0 431.536 -0.005 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CCN([C@@H]2CCOC2=O)CC1 ZINC001188254878 1074317527 /nfs/dbraw/zinc/31/75/27/1074317527.db2.gz RCZRECZBOXRVEJ-CQSZACIVSA-N 0 0 431.536 -0.005 20 0 IBADRN Cc1cc(C)n(-c2ccccc2C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)n1 ZINC001188258089 1074317487 /nfs/dbraw/zinc/31/74/87/1074317487.db2.gz WEQSUFOCNUAXBX-DLVXIWMQSA-N 0 0 440.460 -0.080 20 0 IBADRN CCCCOC(=O)CNC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001188258887 1074317397 /nfs/dbraw/zinc/31/73/97/1074317397.db2.gz LXMDYTNKGANWFP-ARFHVFGLSA-N 0 0 445.538 -0.367 20 0 IBADRN CCCCOC(=O)CNC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001188258888 1074317513 /nfs/dbraw/zinc/31/75/13/1074317513.db2.gz LXMDYTNKGANWFP-BZUAXINKSA-N 0 0 445.538 -0.367 20 0 IBADRN CCCCOC(=O)CNC(=O)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001188261459 1074317414 /nfs/dbraw/zinc/31/74/14/1074317414.db2.gz JXGOOCHYSYTJND-HUUCEWRRSA-N 0 0 432.543 -0.147 20 0 IBADRN CCCCOC(=O)CNC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001188266810 1074317375 /nfs/dbraw/zinc/31/73/75/1074317375.db2.gz CMHUZJNUPYYISE-GJZGRUSLSA-N 0 0 441.529 -0.364 20 0 IBADRN CCCCOC(=O)CNC(=O)NCCOCCOCCOCCOCCOCCO ZINC001188298229 1074317449 /nfs/dbraw/zinc/31/74/49/1074317449.db2.gz YHKYSIFKTVFYJX-UHFFFAOYSA-N 0 0 438.518 -0.296 20 0 IBADRN COCc1oncc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001188318278 1074317432 /nfs/dbraw/zinc/31/74/32/1074317432.db2.gz LPJCTAORBBSGIX-GOEBONIOSA-N 0 0 449.489 -0.016 20 0 IBADRN COCc1oncc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001188321849 1074317480 /nfs/dbraw/zinc/31/74/80/1074317480.db2.gz MSKRYSQAVPIPKS-JGGQBBKZSA-N 0 0 427.479 -0.445 20 0 IBADRN COCc1oncc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001188321850 1074317503 /nfs/dbraw/zinc/31/75/03/1074317503.db2.gz MSKRYSQAVPIPKS-XNRPHZJLSA-N 0 0 427.479 -0.445 20 0 IBADRN COCc1oncc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001188328044 1074317820 /nfs/dbraw/zinc/31/78/20/1074317820.db2.gz YHONENGGFQIRBS-GHVFGFPKSA-N 0 0 426.447 -0.035 20 0 IBADRN COCc1oncc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001188328046 1074317736 /nfs/dbraw/zinc/31/77/36/1074317736.db2.gz YHONENGGFQIRBS-USFQYCJESA-N 0 0 426.447 -0.035 20 0 IBADRN COCc1oncc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001188328049 1074317740 /nfs/dbraw/zinc/31/77/40/1074317740.db2.gz YHONENGGFQIRBS-VUJCFQJHSA-N 0 0 426.447 -0.035 20 0 IBADRN COCc1oncc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001188328052 1074317725 /nfs/dbraw/zinc/31/77/25/1074317725.db2.gz YHONENGGFQIRBS-YQUYXMIOSA-N 0 0 426.447 -0.035 20 0 IBADRN C[NH+]1CCN(Cc2ccccc2C(=O)n2c(N)c(N)c(OS(=O)(=O)[O-])nc2=N)CC1 ZINC001188339302 1074317709 /nfs/dbraw/zinc/31/77/09/1074317709.db2.gz URGLBPGSSRNXOZ-UHFFFAOYSA-N 0 0 437.482 -0.856 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001188361805 1074318537 /nfs/dbraw/zinc/31/85/37/1074318537.db2.gz GLQSANFQDKMWBR-UHFFFAOYSA-N 0 0 429.499 -0.484 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCC[C@]2(C(=O)N(C)C)CN(c3ncccn3)C[C@@H]2C1 ZINC001188362212 1074317768 /nfs/dbraw/zinc/31/77/68/1074317768.db2.gz AEZJTXSGVIFOQU-BEFAXECRSA-N 0 0 439.538 -0.024 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CC[C@]2(C1)COCc1c(C)nc(N3CCOCC3)nc12 ZINC001188362667 1074317828 /nfs/dbraw/zinc/31/78/28/1074317828.db2.gz CXHGAERZJZVVJK-IBGZPJMESA-N 0 0 440.522 -0.012 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CC[C@@]2(C1)COCc1c(C)nc(N3CCOCC3)nc12 ZINC001188362668 1074317758 /nfs/dbraw/zinc/31/77/58/1074317758.db2.gz CXHGAERZJZVVJK-LJQANCHMSA-N 0 0 440.522 -0.012 20 0 IBADRN COC(=O)CCS(=O)(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001188362817 1074317747 /nfs/dbraw/zinc/31/77/47/1074317747.db2.gz GDNPPBWLXJHSLS-VYDXJSESSA-N 0 0 445.519 -0.668 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCc2cc(C(=O)OC)c(N3CCOCC3)nc2CC1 ZINC001188362927 1074317798 /nfs/dbraw/zinc/31/77/98/1074317798.db2.gz HMJOWEFXHSBCBY-UHFFFAOYSA-N 0 0 441.506 -0.002 20 0 IBADRN COC(=O)CCS(=O)(=O)N1Cc2ncn(C)c2[C@H](COCCN2CCOCC2)C1 ZINC001188363685 1074317690 /nfs/dbraw/zinc/31/76/90/1074317690.db2.gz OMAQFJYIFOFXQH-HNNXBMFYSA-N 0 0 430.527 -0.439 20 0 IBADRN COC(=O)CCS(=O)(=O)N1Cc2ncn(C)c2[C@@H](COCCN2CCOCC2)C1 ZINC001188363692 1074317776 /nfs/dbraw/zinc/31/77/76/1074317776.db2.gz OMAQFJYIFOFXQH-OAHLLOKOSA-N 0 0 430.527 -0.439 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCOC[C@]2(CC[C@H](CNC(=O)c3cnccn3)O2)C1 ZINC001188363694 1074317841 /nfs/dbraw/zinc/31/78/41/1074317841.db2.gz OMBFDJMGPFLASO-KDOFPFPSSA-N 0 0 442.494 -0.651 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCOC[C@]2(CC[C@@H](CNC(=O)c3cnccn3)O2)C1 ZINC001188363697 1074318175 /nfs/dbraw/zinc/31/81/75/1074318175.db2.gz OMBFDJMGPFLASO-KSSFIOAISA-N 0 0 442.494 -0.651 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CC2(CN(C(=O)OC(C)(C)C)C2)[C@]2(CCNC2=O)C1 ZINC001188363789 1074318151 /nfs/dbraw/zinc/31/81/51/1074318151.db2.gz YSNJKQHYCKTPIM-GOSISDBHSA-N 0 0 431.511 -0.062 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CC2(CN(C(=O)OC(C)(C)C)C2)[C@@]2(CCNC2=O)C1 ZINC001188363790 1074318182 /nfs/dbraw/zinc/31/81/82/1074318182.db2.gz YSNJKQHYCKTPIM-SFHVURJKSA-N 0 0 431.511 -0.062 20 0 IBADRN COC(=O)CCS(=O)(=O)N1C[C@@H]2c3nc(N4CCCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001188363973 1074318242 /nfs/dbraw/zinc/31/82/42/1074318242.db2.gz RSBRJFRLMQLKQK-UONOGXRCSA-N 0 0 444.535 -0.334 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCC2(CN(C(=O)N(C)C)C[C@]23CCN(C)C3=O)CC1 ZINC001188364076 1074318207 /nfs/dbraw/zinc/31/82/07/1074318207.db2.gz VCCVRKVLFJQBPT-IBGZPJMESA-N 0 0 444.554 -0.193 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCC2(CN(C(=O)N(C)C)C[C@@]23CCN(C)C3=O)CC1 ZINC001188364077 1074318258 /nfs/dbraw/zinc/31/82/58/1074318258.db2.gz VCCVRKVLFJQBPT-LJQANCHMSA-N 0 0 444.554 -0.193 20 0 IBADRN COC(=O)CCS(=O)(=O)N1Cc2nccn2C[C@H](COCC(=O)N2CCCC2)C1 ZINC001188369906 1074318233 /nfs/dbraw/zinc/31/82/33/1074318233.db2.gz KGZHRVWPSYHGQA-HNNXBMFYSA-N 0 0 428.511 -0.153 20 0 IBADRN COC(=O)CCS(=O)(=O)N1Cc2nccn2C[C@@H](COCC(=O)N2CCCC2)C1 ZINC001188369907 1074318168 /nfs/dbraw/zinc/31/81/68/1074318168.db2.gz KGZHRVWPSYHGQA-OAHLLOKOSA-N 0 0 428.511 -0.153 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N3CCCC3)nc2C1 ZINC001188369908 1074318160 /nfs/dbraw/zinc/31/81/60/1074318160.db2.gz KGZVKYDQPDTFHI-UHFFFAOYSA-N 0 0 441.510 -0.353 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001188369925 1074318124 /nfs/dbraw/zinc/31/81/24/1074318124.db2.gz LBIQCSYPKPDAGF-UHFFFAOYSA-N 0 0 438.462 -0.135 20 0 IBADRN COC(=O)CCS(=O)(=O)N1C[C@H]2N(c3ncccn3)CCC[C@@]2(C(=O)N(C)C)C1 ZINC001188370093 1074318215 /nfs/dbraw/zinc/31/82/15/1074318215.db2.gz NJZJEUVCXFEWKP-RDTXWAMCSA-N 0 0 425.511 -0.272 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)CC3CC3)nc2C1 ZINC001188370105 1074318190 /nfs/dbraw/zinc/31/81/90/1074318190.db2.gz NUAVPCLAEBZBCQ-UHFFFAOYSA-N 0 0 426.495 -0.242 20 0 IBADRN COC(=O)CCS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)C3CCC3)nc2C1 ZINC001188370466 1074318225 /nfs/dbraw/zinc/31/82/25/1074318225.db2.gz VLJGZXROLNGUQR-UHFFFAOYSA-N 0 0 426.495 -0.242 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001188379831 1074318268 /nfs/dbraw/zinc/31/82/68/1074318268.db2.gz DWMOHKOGLSTMIK-LLVKDONJSA-N 0 0 434.430 -0.118 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001188379832 1074318138 /nfs/dbraw/zinc/31/81/38/1074318138.db2.gz DWMOHKOGLSTMIK-NSHDSACASA-N 0 0 434.430 -0.118 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1sc(Br)nc1Br ZINC001188383171 1074318253 /nfs/dbraw/zinc/31/82/53/1074318253.db2.gz ZIOZAUOCRMOTKN-DOXWYHJTSA-N 0 0 448.089 -0.802 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1sc(Br)nc1Br ZINC001188383176 1074318566 /nfs/dbraw/zinc/31/85/66/1074318566.db2.gz ZIOZAUOCRMOTKN-RVJQVFKSSA-N 0 0 448.089 -0.802 20 0 IBADRN COC(=O)CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001188399845 1074318499 /nfs/dbraw/zinc/31/84/99/1074318499.db2.gz AIDVWRPDUNLWBX-UHFFFAOYSA-N 0 0 443.544 -0.649 20 0 IBADRN COC(=O)CCS(=O)(=O)N[C@H]1CCc2c(ccc(=O)n2CCN2CCOCC2)C1 ZINC001188399987 1074318489 /nfs/dbraw/zinc/31/84/89/1074318489.db2.gz GKZRPEFUGWASEI-INIZCTEOSA-N 0 0 427.523 -0.480 20 0 IBADRN COC(=O)CCS(=O)(=O)N[C@@H]1CCc2c(ccc(=O)n2CCN2CCOCC2)C1 ZINC001188399988 1074318472 /nfs/dbraw/zinc/31/84/72/1074318472.db2.gz GKZRPEFUGWASEI-MRXNPFEDSA-N 0 0 427.523 -0.480 20 0 IBADRN COC(=O)CCS(=O)(=O)N[C@H]1CCc2c(ccc(=O)n2CCN2CCCC2=O)C1 ZINC001188399992 1074318459 /nfs/dbraw/zinc/31/84/59/1074318459.db2.gz GOXBYWZKDMSFPC-HNNXBMFYSA-N 0 0 425.507 -0.190 20 0 IBADRN COC(=O)CCS(=O)(=O)N[C@@H]1CCc2c(ccc(=O)n2CCN2CCCC2=O)C1 ZINC001188399993 1074318912 /nfs/dbraw/zinc/31/89/12/1074318912.db2.gz GOXBYWZKDMSFPC-OAHLLOKOSA-N 0 0 425.507 -0.190 20 0 IBADRN COC(=O)CCS(=O)(=O)N[C@@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001188400819 1074318758 /nfs/dbraw/zinc/31/87/58/1074318758.db2.gz WQAMCFDXAHOJAZ-LLVKDONJSA-N 0 0 426.513 -0.355 20 0 IBADRN COC(=O)CCS(=O)(=O)N[C@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001188400823 1074318815 /nfs/dbraw/zinc/31/88/15/1074318815.db2.gz WQAMCFDXAHOJAZ-NSHDSACASA-N 0 0 426.513 -0.355 20 0 IBADRN COC(=O)CCS(=O)(=O)NC[C@H]1CN(c2ccc(N3CCOCC3=O)cc2)C(=O)O1 ZINC001188403327 1074318894 /nfs/dbraw/zinc/31/88/94/1074318894.db2.gz SLDDFFLGRCNWTF-HNNXBMFYSA-N 0 0 441.462 -0.143 20 0 IBADRN COC(=O)CCS(=O)(=O)NCCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001188406124 1074318728 /nfs/dbraw/zinc/31/87/28/1074318728.db2.gz FINQQBBZYAMJGW-HUBLWGQQSA-N 0 0 436.556 -0.689 20 0 IBADRN COC(=O)CCS(=O)(=O)N[C@@H](CC(N)=O)C(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001188416394 1074318581 /nfs/dbraw/zinc/31/85/81/1074318581.db2.gz LMHZQQAAUFMQIM-ZDUSSCGKSA-N 0 0 439.446 -0.234 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)CCC(=O)OC ZINC001188420330 1074318437 /nfs/dbraw/zinc/31/84/37/1074318437.db2.gz MFYGMLXTNQVUSC-UHFFFAOYSA-N 0 0 445.531 -0.802 20 0 IBADRN COC(=O)CCS(=O)(=O)NCC(=O)NCC(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001188420486 1074318542 /nfs/dbraw/zinc/31/85/42/1074318542.db2.gz SVFKXNDHKQJSQQ-UHFFFAOYSA-N 0 0 439.446 -0.361 20 0 IBADRN COC(=O)CCS(=O)(=O)NCC(=O)NCCOCCOCCOCc1ccccc1 ZINC001188420531 1074318480 /nfs/dbraw/zinc/31/84/80/1074318480.db2.gz VHJBGNSHYVVUEH-UHFFFAOYSA-N 0 0 446.522 -0.165 20 0 IBADRN COC(=O)CCS(=O)(=O)N[C@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)C(C)C ZINC001188420567 1074318547 /nfs/dbraw/zinc/31/85/47/1074318547.db2.gz XAGOXZKNUIDTGC-ZBFHGGJFSA-N 0 0 429.495 -0.588 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N[C@@H]1CCC(=O)NC1=O ZINC001188443631 1074318509 /nfs/dbraw/zinc/31/85/09/1074318509.db2.gz KDQVEWXTGJHVOW-KFWWJZLASA-N 0 0 425.463 -0.253 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N[C@@H]1CCC(=O)NC1=O ZINC001188443632 1074318553 /nfs/dbraw/zinc/31/85/53/1074318553.db2.gz KDQVEWXTGJHVOW-RBSFLKMASA-N 0 0 425.463 -0.253 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N[C@H]1CCC(=O)NC1=O ZINC001188443633 1074318524 /nfs/dbraw/zinc/31/85/24/1074318524.db2.gz KDQVEWXTGJHVOW-RRFJBIMHSA-N 0 0 425.463 -0.253 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N[C@H]1CCC(=O)NC1=O ZINC001188443634 1074318585 /nfs/dbraw/zinc/31/85/85/1074318585.db2.gz KDQVEWXTGJHVOW-ZNMIVQPWSA-N 0 0 425.463 -0.253 20 0 IBADRN CSc1ncc(Br)c(C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)n1 ZINC001188463564 1074318769 /nfs/dbraw/zinc/31/87/69/1074318769.db2.gz SQSXNLRHPXBGRQ-BZSGYBMISA-N 0 0 438.300 -0.465 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)c1c(I)cnn1C)C2 ZINC001188464526 1074318928 /nfs/dbraw/zinc/31/89/28/1074318928.db2.gz AZULWRFYQUXSMB-UHFFFAOYSA-N 0 0 429.222 -0.092 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)c1c(I)cnn1C)C2 ZINC001188464892 1074318866 /nfs/dbraw/zinc/31/88/66/1074318866.db2.gz BKBBDDDTNWNYON-AWEZNQCLSA-N 0 0 431.234 -0.412 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)c1c(I)cnn1C)C2 ZINC001188464893 1074318941 /nfs/dbraw/zinc/31/89/41/1074318941.db2.gz BKBBDDDTNWNYON-CQSZACIVSA-N 0 0 431.234 -0.412 20 0 IBADRN Cn1ncc(I)c1C(=O)NCCCN1CCS(=O)(=O)CC1 ZINC001188473956 1074318885 /nfs/dbraw/zinc/31/88/85/1074318885.db2.gz IDEPNZKLHSJNHY-UHFFFAOYSA-N 0 0 426.280 -0.125 20 0 IBADRN O=S(=O)(c1ccc(N2CCOCC2)nc1)N1CC(N2CCN(c3ncccn3)CC2)C1 ZINC001188488232 1074318803 /nfs/dbraw/zinc/31/88/03/1074318803.db2.gz AJWDXKAUCKCITK-UHFFFAOYSA-N 0 0 445.549 -0.097 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)N2CCC(C(=O)N3CCCC3)CC2)C1=O ZINC001188500926 1074318745 /nfs/dbraw/zinc/31/87/45/1074318745.db2.gz NNAZIVNBJZUQKK-AWEZNQCLSA-N 0 0 428.511 -0.798 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)N2CCC(C(=O)N3CCCC3)CC2)C1=O ZINC001188500927 1074318856 /nfs/dbraw/zinc/31/88/56/1074318856.db2.gz NNAZIVNBJZUQKK-CQSZACIVSA-N 0 0 428.511 -0.798 20 0 IBADRN O=S(=O)(c1ccc(N2CCOCC2)nc1)N1C[C@@H]2[C@H](C1)OCCN2c1ncccn1 ZINC001188504453 1074319180 /nfs/dbraw/zinc/31/91/80/1074319180.db2.gz CCWIECZCWUSNIG-SJORKVTESA-N 0 0 432.506 -0.014 20 0 IBADRN CC(=O)N1CCO[C@]2(C1)COCCN(S(=O)(=O)c1ccc(N3CCOCC3)nc1)C2 ZINC001188504474 1074319126 /nfs/dbraw/zinc/31/91/26/1074319126.db2.gz GXYSZIAVBLTLQI-IBGZPJMESA-N 0 0 440.522 -0.443 20 0 IBADRN CC(=O)N1CCO[C@@]2(C1)COCCN(S(=O)(=O)c1ccc(N3CCOCC3)nc1)C2 ZINC001188504475 1074319192 /nfs/dbraw/zinc/31/91/92/1074319192.db2.gz GXYSZIAVBLTLQI-LJQANCHMSA-N 0 0 440.522 -0.443 20 0 IBADRN CS(=O)(=O)N1C[C@H]2CCCN(S(=O)(=O)c3ccc(N4CCOCC4)nc3)[C@H]2C1 ZINC001188505125 1074319251 /nfs/dbraw/zinc/31/92/51/1074319251.db2.gz NUURAZQBEJOOFJ-ZBFHGGJFSA-N 0 0 430.552 -0.037 20 0 IBADRN O=S(=O)(c1ccc(N2CCOCC2)nc1)N1C[C@@H]2[C@H](C1)OCCN2c1cnccn1 ZINC001188505460 1074319268 /nfs/dbraw/zinc/31/92/68/1074319268.db2.gz IPWIOWXMQUDUMJ-SJORKVTESA-N 0 0 432.506 -0.014 20 0 IBADRN COCCNC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2ccc(N3CCOCC3)nc2)O1 ZINC001188505503 1074319315 /nfs/dbraw/zinc/31/93/15/1074319315.db2.gz JFAXILGPFFRQAI-ZACQAIPSSA-N 0 0 440.522 -0.399 20 0 IBADRN CC(=O)N1CCOC[C@@]2(CN(S(=O)(=O)c3ccc(N4CCOCC4)nc3)CCO2)C1 ZINC001188505583 1074319276 /nfs/dbraw/zinc/31/92/76/1074319276.db2.gz KPQHXLFKFQYDRW-IBGZPJMESA-N 0 0 440.522 -0.443 20 0 IBADRN CC(=O)N1CCOC[C@]2(CN(S(=O)(=O)c3ccc(N4CCOCC4)nc3)CCO2)C1 ZINC001188505584 1074319200 /nfs/dbraw/zinc/31/92/00/1074319200.db2.gz KPQHXLFKFQYDRW-LJQANCHMSA-N 0 0 440.522 -0.443 20 0 IBADRN CN(C)C(=O)CN1C(=O)C[C@H]2[C@@H]1CCN2S(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188505828 1074319138 /nfs/dbraw/zinc/31/91/38/1074319138.db2.gz UJOOUPFPBGXFGY-HOTGVXAUSA-N 0 0 437.522 -0.630 20 0 IBADRN O=S(=O)(c1ccc(N2CCOCC2)nc1)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001188506018 1074319230 /nfs/dbraw/zinc/31/92/30/1074319230.db2.gz NSTVTGAMKDULJC-UHFFFAOYSA-N 0 0 449.537 -0.454 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CN(S(=O)(=O)c2ccc(N3CCOCC3)nc2)[C@@H]2COC[C@H]12 ZINC001188506120 1074319261 /nfs/dbraw/zinc/31/92/61/1074319261.db2.gz OJVLGTQCBFHTMS-GVDBMIGSSA-N 0 0 440.522 -0.589 20 0 IBADRN Cn1cc(N2C[C@@H]3CN(S(=O)(=O)c4ccc(N5CCOCC5)nc4)C[C@@H]3C2=O)cn1 ZINC001188506248 1074319160 /nfs/dbraw/zinc/31/91/60/1074319160.db2.gz WDTSUTBZHPOINV-YOEHRIQHSA-N 0 0 432.506 -0.065 20 0 IBADRN CC(=O)NCc1nnn2c1CN(S(=O)(=O)c1ccc(N3CCOCC3)nc1)CCC2 ZINC001188506427 1074319115 /nfs/dbraw/zinc/31/91/15/1074319115.db2.gz YHLWAMBRJMPJTD-UHFFFAOYSA-N 0 0 435.510 -0.260 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1S(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188509266 1074319149 /nfs/dbraw/zinc/31/91/49/1074319149.db2.gz DFAUIDXEIUWGJS-GXTWGEPZSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1S(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188509267 1074319240 /nfs/dbraw/zinc/31/92/40/1074319240.db2.gz DFAUIDXEIUWGJS-JSGCOSHPSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1S(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188509268 1074319220 /nfs/dbraw/zinc/31/92/20/1074319220.db2.gz DFAUIDXEIUWGJS-OCCSQVGLSA-N 0 0 431.492 -0.304 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1S(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188509269 1074319306 /nfs/dbraw/zinc/31/93/06/1074319306.db2.gz DFAUIDXEIUWGJS-TZMCWYRMSA-N 0 0 431.492 -0.304 20 0 IBADRN CS(=O)(=O)CCCN1CCN(S(=O)(=O)c2ccc(N3CCOCC3)nc2)CC1 ZINC001188509412 1074319169 /nfs/dbraw/zinc/31/91/69/1074319169.db2.gz KXPFQSVWACMWGZ-UHFFFAOYSA-N 0 0 432.568 -0.341 20 0 IBADRN O=S(=O)(c1ccc(N2CCOCC2)nc1)N1CCN(CCN2CCOCC2)CC1 ZINC001188509512 1074319282 /nfs/dbraw/zinc/31/92/82/1074319282.db2.gz OROWKRKYGQPVNJ-UHFFFAOYSA-N 0 0 425.555 -0.443 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc(N3CCOCC3)nc1)C2 ZINC001188510756 1074319296 /nfs/dbraw/zinc/31/92/96/1074319296.db2.gz AICGSIWFRFIPQF-AWEZNQCLSA-N 0 0 445.519 -0.731 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc(N3CCOCC3)nc1)C2 ZINC001188510757 1074319659 /nfs/dbraw/zinc/31/96/59/1074319659.db2.gz AICGSIWFRFIPQF-CQSZACIVSA-N 0 0 445.519 -0.731 20 0 IBADRN O=S(=O)(c1ccc(N2CCOCC2)nc1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001188510926 1074319516 /nfs/dbraw/zinc/31/95/16/1074319516.db2.gz HCBXDVDEFXARQR-UHFFFAOYSA-N 0 0 444.579 -0.198 20 0 IBADRN COC(=O)[C@H]1C[C@H](C(=O)OC)CN(S(=O)(=O)c2ccc(N3CCOCC3)nc2)C1 ZINC001188511635 1074319529 /nfs/dbraw/zinc/31/95/29/1074319529.db2.gz PKYDUOXEVKUJHO-KBPBESRZSA-N 0 0 427.479 -0.109 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)OC)CN(S(=O)(=O)c2ccc(N3CCOCC3)nc2)C1 ZINC001188511905 1074319654 /nfs/dbraw/zinc/31/96/54/1074319654.db2.gz PKYDUOXEVKUJHO-OKILXGFUSA-N 0 0 427.479 -0.109 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)OC)CN(S(=O)(=O)c2ccc(N3CCOCC3)nc2)C1 ZINC001188511910 1074319470 /nfs/dbraw/zinc/31/94/70/1074319470.db2.gz PKYDUOXEVKUJHO-ZIAGYGMSSA-N 0 0 427.479 -0.109 20 0 IBADRN NC(=O)c1cccnc1N1CCN(S(=O)(=O)c2ccc(N3CCOCC3)nc2)CC1 ZINC001188512074 1074319491 /nfs/dbraw/zinc/31/94/91/1074319491.db2.gz RBRNPBFFKJMVPL-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN Cc1cc(C(N)=O)cc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC001188537200 1074319502 /nfs/dbraw/zinc/31/95/02/1074319502.db2.gz FFASDSIBXWYQTC-HNNXBMFYSA-N 0 0 431.536 -0.091 20 0 IBADRN Cc1cc(C(N)=O)cc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC001188537201 1074319643 /nfs/dbraw/zinc/31/96/43/1074319643.db2.gz FFASDSIBXWYQTC-OAHLLOKOSA-N 0 0 431.536 -0.091 20 0 IBADRN CC1(C)O[C@@H]2[C@@H](OCCO)C[C@@H](NS(=O)(=O)c3ccc(N4CCOCC4)nc3)[C@@H]2O1 ZINC001188543683 1074319601 /nfs/dbraw/zinc/31/96/01/1074319601.db2.gz TWWFBLGAAMREJS-MXSMSXNCSA-N 0 0 443.522 -0.134 20 0 IBADRN CCS(=O)(=O)c1cnc(CNS(=O)(=O)c2ccc(N3CCOCC3)nc2)nc1 ZINC001188547357 1074319442 /nfs/dbraw/zinc/31/94/42/1074319442.db2.gz JRZAQHDVGAEJGX-UHFFFAOYSA-N 0 0 427.508 -0.020 20 0 IBADRN COCCOCCOCCOCCNS(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188548146 1074319582 /nfs/dbraw/zinc/31/95/82/1074319582.db2.gz SIGQHNXDQQFVLK-UHFFFAOYSA-N 0 0 433.527 -0.107 20 0 IBADRN COC(=O)[C@@H]1CCCN1C(=O)[C@H](C)NS(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188548669 1074319622 /nfs/dbraw/zinc/31/96/22/1074319622.db2.gz XZNNAXIYONQEHO-ZFWWWQNUSA-N 0 0 426.495 -0.251 20 0 IBADRN O=C(N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)c1cncnc1[C@@H]1CN(Cc2ccccc2)CCO1 ZINC001188560920 1074319610 /nfs/dbraw/zinc/31/96/10/1074319610.db2.gz WPLWEPBTMOTYJN-UVRZSJBRSA-N 0 0 428.489 -0.118 20 0 IBADRN O=C(N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)c1cncnc1[C@H]1CN(Cc2ccccc2)CCO1 ZINC001188560921 1074319553 /nfs/dbraw/zinc/31/95/53/1074319553.db2.gz WPLWEPBTMOTYJN-XAVVYEHISA-N 0 0 428.489 -0.118 20 0 IBADRN CNC(=O)[C@H](CCCCNS(=O)(=O)c1ccc(N2CCOCC2)nc1)NC(C)=O ZINC001188561236 1074319459 /nfs/dbraw/zinc/31/94/59/1074319459.db2.gz WNWGEISZISNHOS-INIZCTEOSA-N 0 0 427.527 -0.383 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188563253 1074319631 /nfs/dbraw/zinc/31/96/31/1074319631.db2.gz PDHHONSXIAMAJA-KRWDZBQOSA-N 0 0 447.517 -0.591 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc(N2CCOCC2)nc1 ZINC001188563312 1074319478 /nfs/dbraw/zinc/31/94/78/1074319478.db2.gz RJBDNIDQLMMYKY-KRWDZBQOSA-N 0 0 447.517 -0.591 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)C1 ZINC001188571246 1074319564 /nfs/dbraw/zinc/31/95/64/1074319564.db2.gz UEIIIXMCSROFBJ-HNNXBMFYSA-N 0 0 443.613 -0.466 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)C1 ZINC001188571247 1074319540 /nfs/dbraw/zinc/31/95/40/1074319540.db2.gz UEIIIXMCSROFBJ-OAHLLOKOSA-N 0 0 443.613 -0.466 20 0 IBADRN O=S(=O)(c1ccoc1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001188584699 1074320007 /nfs/dbraw/zinc/32/00/07/1074320007.db2.gz WHDXVJARXZDQQE-LSDHHAIUSA-N 0 0 440.503 -0.009 20 0 IBADRN O=C(CN1C(=O)C(=O)N(C2CCCCC2)C1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001188599418 1074319838 /nfs/dbraw/zinc/31/98/38/1074319838.db2.gz ZCMJGSUCHOXAEK-HNNXBMFYSA-N 0 0 440.522 -0.559 20 0 IBADRN O=C(CN1C(=O)C(=O)N(C2CCCCC2)C1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001188599419 1074319981 /nfs/dbraw/zinc/31/99/81/1074319981.db2.gz ZCMJGSUCHOXAEK-OAHLLOKOSA-N 0 0 440.522 -0.559 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccnc(Br)c2)c(=O)n1 ZINC001188621563 1074320000 /nfs/dbraw/zinc/32/00/00/1074320000.db2.gz WFZMSSBTKOAAKR-LHNIVKCTSA-N 0 0 426.227 -0.725 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)NCCCO)CC2)CC1 ZINC001188624927 1074319911 /nfs/dbraw/zinc/31/99/11/1074319911.db2.gz JEYSQIBTCZMYLD-UHFFFAOYSA-N 0 0 434.559 -0.006 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccoc1)C(N)=O ZINC001188639713 1074319987 /nfs/dbraw/zinc/31/99/87/1074319987.db2.gz OJMXDOXZMRJZKN-KBPBESRZSA-N 0 0 448.567 -0.188 20 0 IBADRN Cc1cccc(O)c1C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001188659641 1074319936 /nfs/dbraw/zinc/31/99/36/1074319936.db2.gz MRHQQQGNMFEYCC-BFZNGQGZSA-N 0 0 428.449 -0.044 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC001188665180 1074320245 /nfs/dbraw/zinc/32/02/45/1074320245.db2.gz XMGQNMXJNYOYFY-GFCCVEGCSA-N 0 0 434.492 -0.038 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC001188665182 1074320352 /nfs/dbraw/zinc/32/03/52/1074320352.db2.gz XMGQNMXJNYOYFY-LBPRGKRZSA-N 0 0 434.492 -0.038 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001188672613 1074320397 /nfs/dbraw/zinc/32/03/97/1074320397.db2.gz QBHYHEMQUWCNIC-AWEZNQCLSA-N 0 0 445.629 -0.363 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001188672614 1074320386 /nfs/dbraw/zinc/32/03/86/1074320386.db2.gz QBHYHEMQUWCNIC-CQSZACIVSA-N 0 0 445.629 -0.363 20 0 IBADRN O=C(c1nc[nH]n1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001188686573 1074319923 /nfs/dbraw/zinc/31/99/23/1074319923.db2.gz DKKLHRCZVSENCD-YWZLYKJASA-N 0 0 426.481 -0.188 20 0 IBADRN CCOC(=O)c1cccc(NC(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c1 ZINC001188688068 1074319857 /nfs/dbraw/zinc/31/98/57/1074319857.db2.gz TXEVCEYVHRLJID-DTZQCDIJSA-N 0 0 434.405 -0.434 20 0 IBADRN O=C(CNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1)N1CCOCC1 ZINC001188697412 1074319819 /nfs/dbraw/zinc/31/98/19/1074319819.db2.gz CXODYMSDVBBZTO-KRWDZBQOSA-N 0 0 441.506 -0.198 20 0 IBADRN O=C(CNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1)N1CCOCC1 ZINC001188697413 1074320178 /nfs/dbraw/zinc/32/01/78/1074320178.db2.gz CXODYMSDVBBZTO-QGZVFWFLSA-N 0 0 441.506 -0.198 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)NCC(=O)N1CCOCC1 ZINC001188697560 1074320337 /nfs/dbraw/zinc/32/03/37/1074320337.db2.gz GQXXAWOVJDHYLA-DLBZAZTESA-N 0 0 441.506 -0.199 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)NCC(=O)N1CCOCC1 ZINC001188697561 1074320329 /nfs/dbraw/zinc/32/03/29/1074320329.db2.gz GQXXAWOVJDHYLA-IAGOWNOFSA-N 0 0 441.506 -0.199 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NCC(=O)N1CCOCC1 ZINC001188697562 1074320228 /nfs/dbraw/zinc/32/02/28/1074320228.db2.gz GQXXAWOVJDHYLA-IRXDYDNUSA-N 0 0 441.506 -0.199 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NCC(=O)N1CCOCC1 ZINC001188697563 1074320407 /nfs/dbraw/zinc/32/04/07/1074320407.db2.gz GQXXAWOVJDHYLA-SJORKVTESA-N 0 0 441.506 -0.199 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)Cc2cccc(Br)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001188713348 1074320184 /nfs/dbraw/zinc/32/01/84/1074320184.db2.gz SXRFNCPJPCZESE-XNHWVXRISA-N 0 0 440.312 -0.287 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c(Br)cc1F ZINC001188717608 1074320219 /nfs/dbraw/zinc/32/02/19/1074320219.db2.gz JMLQZUXBOPXTGN-SECBINFHSA-N 0 0 435.231 -0.388 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c(Br)cc1F ZINC001188717611 1074320360 /nfs/dbraw/zinc/32/03/60/1074320360.db2.gz JMLQZUXBOPXTGN-VIFPVBQESA-N 0 0 435.231 -0.388 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)[C@H](CC(=O)OCC)C(F)(F)F ZINC001188718372 1074320276 /nfs/dbraw/zinc/32/02/76/1074320276.db2.gz QZIJVCYPKFXPHE-GHMZBOCLSA-N 0 0 448.416 -0.420 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)[C@@H](CC(=O)OCC)C(F)(F)F ZINC001188718373 1074320293 /nfs/dbraw/zinc/32/02/93/1074320293.db2.gz QZIJVCYPKFXPHE-MNOVXSKESA-N 0 0 448.416 -0.420 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)[C@@H](CC(=O)OCC)C(F)(F)F ZINC001188718374 1074320205 /nfs/dbraw/zinc/32/02/05/1074320205.db2.gz QZIJVCYPKFXPHE-QWRGUYRKSA-N 0 0 448.416 -0.420 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)[C@H](CC(=O)OCC)C(F)(F)F ZINC001188718375 1074320310 /nfs/dbraw/zinc/32/03/10/1074320310.db2.gz QZIJVCYPKFXPHE-WDEREUQCSA-N 0 0 448.416 -0.420 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)CCC(=O)OCc1ccccc1 ZINC001188718737 1074320264 /nfs/dbraw/zinc/32/02/64/1074320264.db2.gz UHAITJNEOYFZIW-INIZCTEOSA-N 0 0 442.490 -0.170 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)CCC(=O)OCc1ccccc1 ZINC001188718738 1074320371 /nfs/dbraw/zinc/32/03/71/1074320371.db2.gz UHAITJNEOYFZIW-MRXNPFEDSA-N 0 0 442.490 -0.170 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cccnc1OCC(F)F ZINC001188719329 1074320255 /nfs/dbraw/zinc/32/02/55/1074320255.db2.gz ZDIOIKGPAKDSSO-LLVKDONJSA-N 0 0 437.421 -0.206 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cccnc1OCC(F)F ZINC001188719330 1074320237 /nfs/dbraw/zinc/32/02/37/1074320237.db2.gz ZDIOIKGPAKDSSO-NSHDSACASA-N 0 0 437.421 -0.206 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(S(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CC3)C1 ZINC001188724242 1074320699 /nfs/dbraw/zinc/32/06/99/1074320699.db2.gz KRGODJOTGNWBEL-GFCCVEGCSA-N 0 0 428.536 -0.284 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(S(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CC3)C1 ZINC001188724248 1074320779 /nfs/dbraw/zinc/32/07/79/1074320779.db2.gz KRGODJOTGNWBEL-LBPRGKRZSA-N 0 0 428.536 -0.284 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2C(=O)NC3(CCOCC3)C2=O)C1=O ZINC001188735860 1074320762 /nfs/dbraw/zinc/32/07/62/1074320762.db2.gz GFGMQPGAGFYCAS-FQEVSTJZSA-N 0 0 429.433 -0.024 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2C(=O)NC3(CCOCC3)C2=O)C1=O ZINC001188735862 1074320721 /nfs/dbraw/zinc/32/07/21/1074320721.db2.gz GFGMQPGAGFYCAS-HXUWFJFHSA-N 0 0 429.433 -0.024 20 0 IBADRN Cc1nc(C(F)(F)F)ccc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001188740621 1074320654 /nfs/dbraw/zinc/32/06/54/1074320654.db2.gz LBFCAGJDESEUCZ-QGMIFYJMSA-N 0 0 429.355 -0.160 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(F)(F)F)c1 ZINC001188759417 1074320641 /nfs/dbraw/zinc/32/06/41/1074320641.db2.gz OXDHEPHXQBYYNF-UHFFFAOYSA-N 0 0 430.792 -0.645 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O ZINC001188772269 1074320738 /nfs/dbraw/zinc/32/07/38/1074320738.db2.gz ZPHHBCRMGRXIJN-UHFFFAOYSA-N 0 0 432.524 -0.456 20 0 IBADRN CC(=O)N1CCC(c2ccc(C(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)cc2)CC1 ZINC001188778601 1074320674 /nfs/dbraw/zinc/32/06/74/1074320674.db2.gz AUWFREMXTMJZNV-JNPUXXOBSA-N 0 0 436.505 -0.014 20 0 IBADRN NC(=O)CN1CCN(S(=O)(=O)c2ccc(F)c(S(=O)(=O)C(F)(F)F)c2)CC1 ZINC001188779796 1074320704 /nfs/dbraw/zinc/32/07/04/1074320704.db2.gz RDYYNINMVMDWGL-UHFFFAOYSA-N 0 0 433.405 -0.089 20 0 IBADRN O=C1CN(C2CN(S(=O)(=O)c3ccc(F)c(S(=O)(=O)C(F)(F)F)c3)C2)CCN1 ZINC001188780198 1074321084 /nfs/dbraw/zinc/32/10/84/1074321084.db2.gz XMMNICSPFHQBGE-UHFFFAOYSA-N 0 0 445.416 -0.076 20 0 IBADRN COC(=O)[C@@H](CC(N)=O)NS(=O)(=O)c1ccc(F)c(S(=O)(=O)C(F)(F)F)c1 ZINC001188794754 1074320753 /nfs/dbraw/zinc/32/07/53/1074320753.db2.gz ATFHFOXZBNNRLV-MRVPVSSYSA-N 0 0 436.361 -0.186 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(F)c(S(=O)(=O)C(F)(F)F)c1)C(=O)NCC(N)=O ZINC001188795531 1074320727 /nfs/dbraw/zinc/32/07/27/1074320727.db2.gz WPURSDVJJLOMTK-LURJTMIESA-N 0 0 435.377 -0.613 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(F)c(S(=O)(=O)C(F)(F)F)c1 ZINC001188795654 1074320771 /nfs/dbraw/zinc/32/07/71/1074320771.db2.gz ZLVBVITUAREFMY-UHFFFAOYSA-N 0 0 436.361 -0.313 20 0 IBADRN C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(F)c(S(=O)(=O)C(F)(F)F)c1)C(N)=O ZINC001188801978 1074321155 /nfs/dbraw/zinc/32/11/55/1074321155.db2.gz NRBPTNXJLDGCEB-BQBZGAKWSA-N 0 0 449.404 -0.224 20 0 IBADRN Cn1cc(-c2ccc3ccc(-n4ccc(C(=O)N5CN[C@H](N)[C@H]6NCN[C@H]65)n4)n3c2)cn1 ZINC001188828920 1074321108 /nfs/dbraw/zinc/32/11/08/1074321108.db2.gz ATICJOKHLSODMK-AABGKKOBSA-N 0 0 432.492 -0.342 20 0 IBADRN Cn1cc(-c2ccc3ccc(-n4ccc(C(=O)N5CN[C@H](N)[C@H]6NCN[C@@H]65)n4)n3c2)cn1 ZINC001188828921 1074321122 /nfs/dbraw/zinc/32/11/22/1074321122.db2.gz ATICJOKHLSODMK-HSALFYBXSA-N 0 0 432.492 -0.342 20 0 IBADRN Cn1cc(-c2ccc3ccc(-n4ccc(C(=O)N5CN[C@H](N)[C@@H]6NCN[C@@H]65)n4)n3c2)cn1 ZINC001188828922 1074321044 /nfs/dbraw/zinc/32/10/44/1074321044.db2.gz ATICJOKHLSODMK-SLFFLAALSA-N 0 0 432.492 -0.342 20 0 IBADRN Cn1cc(-c2ccc3ccc(-n4ccc(C(=O)N5CN[C@H](N)[C@@H]6NCN[C@H]65)n4)n3c2)cn1 ZINC001188828923 1074321061 /nfs/dbraw/zinc/32/10/61/1074321061.db2.gz ATICJOKHLSODMK-UFYCRDLUSA-N 0 0 432.492 -0.342 20 0 IBADRN COC[C@H](CS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)OC ZINC001188839205 1074321202 /nfs/dbraw/zinc/32/12/02/1074321202.db2.gz YUHFQVWIXFJCIZ-CYBMUJFWSA-N 0 0 436.508 -0.589 20 0 IBADRN COC[C@@H](CS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)OC ZINC001188839206 1074321020 /nfs/dbraw/zinc/32/10/20/1074321020.db2.gz YUHFQVWIXFJCIZ-ZDUSSCGKSA-N 0 0 436.508 -0.589 20 0 IBADRN Cn1cc(-c2cnc(-c3ccccc3)nc2)cc1C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001188857902 1074321027 /nfs/dbraw/zinc/32/10/27/1074321027.db2.gz IUESXQGGPWIBDG-ACMVSEJYSA-N 0 0 440.456 -0.321 20 0 IBADRN Cn1cc(-c2cnc(-c3ccccc3)nc2)cc1C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001188857904 1074321136 /nfs/dbraw/zinc/32/11/36/1074321136.db2.gz IUESXQGGPWIBDG-UGVAJALESA-N 0 0 440.456 -0.321 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cc(Br)nn2-c2ncccc2Cl)[C@H]2NCN[C@H]21 ZINC001188876300 1074321165 /nfs/dbraw/zinc/32/11/65/1074321165.db2.gz PKNWHJIIYUERLU-DMDPSCGWSA-N 0 0 427.694 -0.184 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cc(Br)nn2-c2ncccc2Cl)[C@@H]2NCN[C@H]21 ZINC001188876301 1074321004 /nfs/dbraw/zinc/32/10/04/1074321004.db2.gz PKNWHJIIYUERLU-LOWVWBTDSA-N 0 0 427.694 -0.184 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cc(Br)nn2-c2ncccc2Cl)[C@H]2NCN[C@@H]21 ZINC001188876302 1074321095 /nfs/dbraw/zinc/32/10/95/1074321095.db2.gz PKNWHJIIYUERLU-NQBHXWOUSA-N 0 0 427.694 -0.184 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cc(Br)nn2-c2ncccc2Cl)[C@@H]2NCN[C@@H]21 ZINC001188876471 1074321179 /nfs/dbraw/zinc/32/11/79/1074321179.db2.gz PKNWHJIIYUERLU-WZRBSPASSA-N 0 0 427.694 -0.184 20 0 IBADRN CCOc1cccc(OCC)c1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001188890407 1074321571 /nfs/dbraw/zinc/32/15/71/1074321571.db2.gz WCPARKYNHZBCTN-VVHMCBODSA-N 0 0 434.449 -0.085 20 0 IBADRN Cn1nccc1-c1ncc(-c2cc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@H]43)n(CC3CC3)c2)cn1 ZINC001188896210 1074321491 /nfs/dbraw/zinc/32/14/91/1074321491.db2.gz PBILHHOLNBEGIH-DYXWJJEUSA-N 0 0 448.535 -0.112 20 0 IBADRN Cn1nccc1-c1ncc(-c2cc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@@H]43)n(CC3CC3)c2)cn1 ZINC001188896212 1074321533 /nfs/dbraw/zinc/32/15/33/1074321533.db2.gz PBILHHOLNBEGIH-IRFCIJBXSA-N 0 0 448.535 -0.112 20 0 IBADRN Cn1nccc1-c1ncc(-c2cc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@@H]43)n(CC3CC3)c2)cn1 ZINC001188896214 1074321442 /nfs/dbraw/zinc/32/14/42/1074321442.db2.gz PBILHHOLNBEGIH-SVFBPWRDSA-N 0 0 448.535 -0.112 20 0 IBADRN Cn1nccc1-c1ncc(-c2cc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@H]43)n(CC3CC3)c2)cn1 ZINC001188896215 1074321642 /nfs/dbraw/zinc/32/16/42/1074321642.db2.gz PBILHHOLNBEGIH-ZJOUEHCJSA-N 0 0 448.535 -0.112 20 0 IBADRN O=C(Nc1cc(F)cc2c1OCOC2)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001188900773 1074321479 /nfs/dbraw/zinc/32/14/79/1074321479.db2.gz SHOLXSCJVIMVIK-XKVFNRALSA-N 0 0 438.368 -0.605 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(-c3cnc(N(C)C)nc3)cn2C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001188911916 1074321072 /nfs/dbraw/zinc/32/10/72/1074321072.db2.gz ZVNQHFGLHFXBOC-ODFXYENQSA-N 0 0 435.481 -0.878 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(Oc3cccnc3)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001188921234 1074321433 /nfs/dbraw/zinc/32/14/33/1074321433.db2.gz GLMOJXBPSBHVSW-DQDQBAQVSA-N 0 0 440.474 -0.004 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC001188929034 1074321591 /nfs/dbraw/zinc/32/15/91/1074321591.db2.gz UFWATNJDOBGQCC-RUZDIDTESA-N 0 0 439.585 -0.001 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC001188929035 1074321470 /nfs/dbraw/zinc/32/14/70/1074321470.db2.gz UFWATNJDOBGQCC-VWLOTQADSA-N 0 0 439.585 -0.001 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(-c3csnn3)cc2)c(=O)n1 ZINC001188929751 1074321602 /nfs/dbraw/zinc/32/16/02/1074321602.db2.gz GYOMDZBIEOGGEI-DNNBLBMLSA-N 0 0 430.446 -0.364 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)CCCCS(N)(=O)=O)Cc2ccccc21 ZINC001188935966 1074321523 /nfs/dbraw/zinc/32/15/23/1074321523.db2.gz LSVZXABOBWBSGZ-UHFFFAOYSA-N 0 0 425.554 -0.333 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)C1 ZINC001188936255 1074321654 /nfs/dbraw/zinc/32/16/54/1074321654.db2.gz QAFSHXYWCLIKCN-UHFFFAOYSA-N 0 0 437.565 -0.511 20 0 IBADRN O=S(=O)(CC1CC1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001188946331 1074322108 /nfs/dbraw/zinc/32/21/08/1074322108.db2.gz AVCKSUXNXXLMNB-LSDHHAIUSA-N 0 0 428.536 -0.251 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(S(=O)(=O)CC3CC3)CC[C@@H]12 ZINC001188948074 1074322113 /nfs/dbraw/zinc/32/21/13/1074322113.db2.gz ZTMSZGRCLFXBBP-AEFFLSMTSA-N 0 0 449.595 -0.299 20 0 IBADRN Cn1cc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c(C(F)(F)F)n1 ZINC001188963942 1074321996 /nfs/dbraw/zinc/32/19/96/1074321996.db2.gz MNWVBWNHWQEWQZ-RYUDHWBXSA-N 0 0 427.387 -0.021 20 0 IBADRN CC(C)(C)OC(=O)CN1CC[C@H](N2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)C1=O ZINC001188987724 1074322182 /nfs/dbraw/zinc/32/21/82/1074322182.db2.gz CAIILUFHIAXOPP-AWEZNQCLSA-N 0 0 438.481 -0.558 20 0 IBADRN CC(C)(C)OC(=O)CN1CC[C@@H](N2C(=O)C(=O)N(CCCN3CCOCC3)C2=O)C1=O ZINC001188987725 1074321909 /nfs/dbraw/zinc/32/19/09/1074321909.db2.gz CAIILUFHIAXOPP-CQSZACIVSA-N 0 0 438.481 -0.558 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)CC1CC1)C(N)=O ZINC001189001207 1074322123 /nfs/dbraw/zinc/32/21/23/1074322123.db2.gz LTLWHPXUVYKHLR-KBPBESRZSA-N 0 0 436.600 -0.430 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)c1c(C)cccc1C)c2N ZINC001189040004 1074322143 /nfs/dbraw/zinc/32/21/43/1074322143.db2.gz WYIKSGVTCYXDFL-FXRKXCAFSA-N 0 0 428.449 -0.135 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CS(=O)(=O)C2)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001189049250 1074321973 /nfs/dbraw/zinc/32/19/73/1074321973.db2.gz UMOATNOQSFTZEB-UHFFFAOYSA-N 0 0 448.588 -0.002 20 0 IBADRN COc1cc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)c(OC)nn1 ZINC001189065527 1074322095 /nfs/dbraw/zinc/32/20/95/1074322095.db2.gz ULUWGRXZCQDSTN-UHFFFAOYSA-N 0 0 429.481 -0.187 20 0 IBADRN COc1cc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)nc(OC)n1 ZINC001189088456 1074322558 /nfs/dbraw/zinc/32/25/58/1074322558.db2.gz IJMWAAWPUYLKFR-UHFFFAOYSA-N 0 0 429.481 -0.187 20 0 IBADRN Nc1ncncc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001189109664 1074321964 /nfs/dbraw/zinc/32/19/64/1074321964.db2.gz XKJBKLUTFLMCIB-UONOGXRCSA-N 0 0 431.478 -0.778 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3cncnc3N)CC2)c2nonc21 ZINC001189114652 1074321986 /nfs/dbraw/zinc/32/19/86/1074321986.db2.gz VIZMOCSPZQJIAP-UHFFFAOYSA-N 0 0 432.466 -0.192 20 0 IBADRN COCC(COC)S(=O)(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC001189115298 1074322064 /nfs/dbraw/zinc/32/20/64/1074322064.db2.gz BGCJZRRVPBKGNT-KRWDZBQOSA-N 0 0 443.570 -0.167 20 0 IBADRN COCC(COC)S(=O)(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC001189115299 1074322456 /nfs/dbraw/zinc/32/24/56/1074322456.db2.gz BGCJZRRVPBKGNT-QGZVFWFLSA-N 0 0 443.570 -0.167 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)CCc2ccc(Cl)cc2)c(=O)[nH]1 ZINC001189120935 1074322519 /nfs/dbraw/zinc/32/25/19/1074322519.db2.gz IINKQTPYQGYKLC-DTZQCDIJSA-N 0 0 445.881 -0.616 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1[nH]ncc1I)C2 ZINC001189128873 1074322548 /nfs/dbraw/zinc/32/25/48/1074322548.db2.gz GFWGVOPJNPSTDM-SSDOTTSWSA-N 0 0 439.231 -0.183 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1[nH]ncc1I)C2 ZINC001189128881 1074322317 /nfs/dbraw/zinc/32/23/17/1074322317.db2.gz GFWGVOPJNPSTDM-ZETCQYMHSA-N 0 0 439.231 -0.183 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)c1n[nH]cc1I ZINC001189129404 1074322488 /nfs/dbraw/zinc/32/24/88/1074322488.db2.gz JHTDIGFTYFZVEY-UHFFFAOYSA-N 0 0 444.193 -0.723 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)CCc1ccc(Cl)cc1 ZINC001189134019 1074322403 /nfs/dbraw/zinc/32/24/03/1074322403.db2.gz RXIAOJGLYPRRAE-AWEZNQCLSA-N 0 0 430.914 -0.606 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1[nH]ncc1I ZINC001189144944 1074322540 /nfs/dbraw/zinc/32/25/40/1074322540.db2.gz IVYUDEWLFPLZIY-NSHDSACASA-N 0 0 441.229 -0.043 20 0 IBADRN O=C(c1ccc(CO)o1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001189150182 1074322428 /nfs/dbraw/zinc/32/24/28/1074322428.db2.gz INCAXGXWYPPLMM-GOEBONIOSA-N 0 0 434.474 -0.065 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(CO)o1)C(N)=O ZINC001189162878 1074322949 /nfs/dbraw/zinc/32/29/49/1074322949.db2.gz RGGWLKKZYMHBPP-KBPBESRZSA-N 0 0 442.538 -0.244 20 0 IBADRN O=S(=O)(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccc(Br)c(Cl)c1 ZINC001189181895 1074322872 /nfs/dbraw/zinc/32/28/72/1074322872.db2.gz UUMQDCZOISHDRK-LZQZFOIKSA-N 0 0 432.676 -0.819 20 0 IBADRN O=S(=O)(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1ccc(Br)c(Cl)c1 ZINC001189181899 1074322882 /nfs/dbraw/zinc/32/28/82/1074322882.db2.gz UUMQDCZOISHDRK-OOCWMUITSA-N 0 0 432.676 -0.819 20 0 IBADRN CS(=O)(=O)c1ccc2ncn(CC(=O)NC3CCN(S(C)(=O)=O)CC3)c(=O)c2c1 ZINC001189183973 1074322902 /nfs/dbraw/zinc/32/29/02/1074322902.db2.gz ZNGBRNMZZVQTPN-UHFFFAOYSA-N 0 0 442.519 -0.660 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(Br)c(Cl)c1 ZINC001189184945 1074323011 /nfs/dbraw/zinc/32/30/11/1074323011.db2.gz XOJDAYGRGHYYSS-UHFFFAOYSA-N 0 0 441.691 -0.902 20 0 IBADRN C[C@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCS(=O)(=O)C1 ZINC001189191422 1074323016 /nfs/dbraw/zinc/32/30/16/1074323016.db2.gz CBUFBTDVEFUCIN-AWEZNQCLSA-N 0 0 444.531 -0.005 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCS(=O)(=O)C1 ZINC001189191423 1074322995 /nfs/dbraw/zinc/32/29/95/1074322995.db2.gz CBUFBTDVEFUCIN-CQSZACIVSA-N 0 0 444.531 -0.005 20 0 IBADRN COc1ccc(NC(=O)CN2[C@@H](C)C(=O)N(C)S2(=O)=O)cc1S(=O)(=O)N(C)C ZINC001189198393 1074323021 /nfs/dbraw/zinc/32/30/21/1074323021.db2.gz NDEAZOXJZHJDHE-JTQLQIEISA-N 0 0 434.496 -0.709 20 0 IBADRN COc1ccc(NC(=O)CN2[C@H](C)C(=O)N(C)S2(=O)=O)cc1S(=O)(=O)N(C)C ZINC001189198394 1074322925 /nfs/dbraw/zinc/32/29/25/1074322925.db2.gz NDEAZOXJZHJDHE-SNVBAGLBSA-N 0 0 434.496 -0.709 20 0 IBADRN COc1cc(OC)nc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)n1 ZINC001189224315 1074322854 /nfs/dbraw/zinc/32/28/54/1074322854.db2.gz UMJCBFMJKIJJAJ-UHFFFAOYSA-N 0 0 429.481 -0.187 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cccc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@H]43)c2)CC1 ZINC001189229522 1074322974 /nfs/dbraw/zinc/32/29/74/1074322974.db2.gz YKQJZLWRCQFSGZ-BZSNNMDCSA-N 0 0 431.541 -0.124 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cccc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@@H]43)c2)CC1 ZINC001189229524 1074323002 /nfs/dbraw/zinc/32/30/02/1074323002.db2.gz YKQJZLWRCQFSGZ-FGTMMUONSA-N 0 0 431.541 -0.124 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cccc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@@H]43)c2)CC1 ZINC001189229526 1074323195 /nfs/dbraw/zinc/32/31/95/1074323195.db2.gz YKQJZLWRCQFSGZ-OKZBNKHCSA-N 0 0 431.541 -0.124 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cccc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@H]43)c2)CC1 ZINC001189229528 1074323335 /nfs/dbraw/zinc/32/33/35/1074323335.db2.gz YKQJZLWRCQFSGZ-SQNIBIBYSA-N 0 0 431.541 -0.124 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cccc(C(C)=O)c1 ZINC001189263788 1074323299 /nfs/dbraw/zinc/32/32/99/1074323299.db2.gz PMEVLTLZAYRHMO-SRVKXCTJSA-N 0 0 427.479 -0.262 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001189265020 1074323237 /nfs/dbraw/zinc/32/32/37/1074323237.db2.gz YFQDWNQXOXUARG-ROUUACIJSA-N 0 0 433.486 -0.259 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c1 ZINC001189265036 1074323217 /nfs/dbraw/zinc/32/32/17/1074323217.db2.gz ZBXNCJCFCWQWNI-ROUUACIJSA-N 0 0 449.485 -0.553 20 0 IBADRN Cc1nc(N)sc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001189283073 1074323359 /nfs/dbraw/zinc/32/33/59/1074323359.db2.gz QYWYRJBUTFRSOW-JHJVBQTASA-N 0 0 428.536 -0.232 20 0 IBADRN Cc1nc(N)sc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001189283080 1074323326 /nfs/dbraw/zinc/32/33/26/1074323326.db2.gz QYWYRJBUTFRSOW-YNEHKIRRSA-N 0 0 428.536 -0.232 20 0 IBADRN Cc1cc(F)cc(C)c1C(=O)n1c2c(ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2[NH3+])c(=O)nc1N ZINC001189297287 1074323250 /nfs/dbraw/zinc/32/32/50/1074323250.db2.gz ARYLMMAXUOBYOE-JZUNMBGUSA-N 0 0 432.412 -0.802 20 0 IBADRN O=C(c1cn[nH]n1)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001189342581 1074323318 /nfs/dbraw/zinc/32/33/18/1074323318.db2.gz RAEHSJKPQAUKFG-YWZLYKJASA-N 0 0 426.481 -0.188 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=O)Nc2nccs2)[C@H](O)[C@@H]1O ZINC001189345794 1074323265 /nfs/dbraw/zinc/32/32/65/1074323265.db2.gz MPISODCQANKKGL-AEISUSGSSA-N 0 0 434.438 -0.318 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1ccc(F)c(F)c1 ZINC001189346311 1074323210 /nfs/dbraw/zinc/32/32/10/1074323210.db2.gz VFUJENORWINMFS-DCAQKATOSA-N 0 0 435.449 -0.045 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)Cc1ccc(F)c(F)c1 ZINC001189349349 1074323708 /nfs/dbraw/zinc/32/37/08/1074323708.db2.gz XFNMALLLEGQUNT-IRXDYDNUSA-N 0 0 441.456 -0.042 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)c3cnc(N4CCOCC4)nc3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001189384131 1074323293 /nfs/dbraw/zinc/32/32/93/1074323293.db2.gz BDAOOVLSNBBTKQ-RCCFBDPRSA-N 0 0 441.492 -0.151 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(C(=O)c2cnc(N3CCOCC3)nc2)[C@@H]2COC[C@H]12 ZINC001189384133 1074323312 /nfs/dbraw/zinc/32/33/12/1074323312.db2.gz BDHPDJYNIFBZEP-JFIYKMOQSA-N 0 0 426.477 -0.079 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)c3cnc(N4CCOCC4)nc3)C2)C1=O ZINC001189384151 1074323273 /nfs/dbraw/zinc/32/32/73/1074323273.db2.gz BRHJBHVFAFNTOW-NRFANRHFSA-N 0 0 430.509 -0.144 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)c3cnc(N4CCOCC4)nc3)C2)C1=O ZINC001189384152 1074323352 /nfs/dbraw/zinc/32/33/52/1074323352.db2.gz BRHJBHVFAFNTOW-OAQYLSRUSA-N 0 0 430.509 -0.144 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cnc(N3CCOCC3)nc1)C2 ZINC001189384197 1074323286 /nfs/dbraw/zinc/32/32/86/1074323286.db2.gz CKSUMRZWIVYFKH-UHFFFAOYSA-N 0 0 430.469 -0.835 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1cnc(N3CCOCC3)nc1)CC2 ZINC001189384434 1074323622 /nfs/dbraw/zinc/32/36/22/1074323622.db2.gz HHDUHDYODXRRJE-UHFFFAOYSA-N 0 0 440.504 -0.196 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)c2cnc(N3CCOCC3)nc2)[C@@H]2CCCO[C@@H]21 ZINC001189384590 1074323701 /nfs/dbraw/zinc/32/37/01/1074323701.db2.gz NDHOBHAMBCXHIE-GVDBMIGSSA-N 0 0 441.492 -0.151 20 0 IBADRN O=C(c1cnc(N2CCOCC2)nc1)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001189384601 1074323754 /nfs/dbraw/zinc/32/37/54/1074323754.db2.gz NQXSOKLZNAEXJZ-NRFANRHFSA-N 0 0 441.492 -0.149 20 0 IBADRN O=C(c1cnc(N2CCOCC2)nc1)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001189384602 1074323615 /nfs/dbraw/zinc/32/36/15/1074323615.db2.gz NQXSOKLZNAEXJZ-OAQYLSRUSA-N 0 0 441.492 -0.149 20 0 IBADRN O=C(C[C@@H]1COC[C@H]2CN(C(=O)c3cnc(N4CCOCC4)nc3)C[C@H]21)N1CCOCC1 ZINC001189384648 1074323801 /nfs/dbraw/zinc/32/38/01/1074323801.db2.gz PBEOAXRDEFVJDF-QRQLOZEOSA-N 0 0 445.520 -0.103 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)c3cnc(N4CCOCC4)nc3)C2)C1 ZINC001189384656 1074323758 /nfs/dbraw/zinc/32/37/58/1074323758.db2.gz PGYKNTGCOVCTHO-NRFANRHFSA-N 0 0 432.525 -0.386 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)c3cnc(N4CCOCC4)nc3)C2)C1 ZINC001189384657 1074323735 /nfs/dbraw/zinc/32/37/35/1074323735.db2.gz PGYKNTGCOVCTHO-OAQYLSRUSA-N 0 0 432.525 -0.386 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)c2cnc(N3CCOCC3)nc2)C1 ZINC001189384671 1074323810 /nfs/dbraw/zinc/32/38/10/1074323810.db2.gz PTNLWMXAINOQRA-INIZCTEOSA-N 0 0 429.481 -0.456 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)c2cnc(N3CCOCC3)nc2)C1 ZINC001189384672 1074323604 /nfs/dbraw/zinc/32/36/04/1074323604.db2.gz PTNLWMXAINOQRA-MRXNPFEDSA-N 0 0 429.481 -0.456 20 0 IBADRN NS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)N2CCN(c3ccccc3F)CC2)C1=O ZINC001189407516 1074323729 /nfs/dbraw/zinc/32/37/29/1074323729.db2.gz CMXAHIVJJFCFSG-CYBMUJFWSA-N 0 0 427.458 -0.927 20 0 IBADRN NS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)N2CCN(c3ccccc3F)CC2)C1=O ZINC001189407517 1074323723 /nfs/dbraw/zinc/32/37/23/1074323723.db2.gz CMXAHIVJJFCFSG-ZDUSSCGKSA-N 0 0 427.458 -0.927 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(F)c2ccccc21 ZINC001189409276 1074323681 /nfs/dbraw/zinc/32/36/81/1074323681.db2.gz UUEJNJWOLAXAJQ-HNNXBMFYSA-N 0 0 436.465 -0.150 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@@]3(CCNC3=O)C2)n(C)c1=O ZINC001189421666 1074324115 /nfs/dbraw/zinc/32/41/15/1074324115.db2.gz DBISRZYWAKNULS-NRFANRHFSA-N 0 0 447.492 -0.717 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@]3(CCNC3=O)C2)n(C)c1=O ZINC001189421667 1074324144 /nfs/dbraw/zinc/32/41/44/1074324144.db2.gz DBISRZYWAKNULS-OAQYLSRUSA-N 0 0 447.492 -0.717 20 0 IBADRN Cn1c(C(=O)Nc2ncc(I)cc2C(N)=O)cc(=O)n(C)c1=O ZINC001189422561 1074324051 /nfs/dbraw/zinc/32/40/51/1074324051.db2.gz RAYQJIIZUVORFZ-UHFFFAOYSA-N 0 0 429.174 -0.565 20 0 IBADRN C[C@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)c1cc(=O)n(C)c(=O)n1C)CC2 ZINC001189427980 1074324149 /nfs/dbraw/zinc/32/41/49/1074324149.db2.gz CLNTZCGEJJAGSG-CYBMUJFWSA-N 0 0 430.465 -0.756 20 0 IBADRN C[C@@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)c1cc(=O)n(C)c(=O)n1C)CC2 ZINC001189427984 1074324075 /nfs/dbraw/zinc/32/40/75/1074324075.db2.gz CLNTZCGEJJAGSG-ZDUSSCGKSA-N 0 0 430.465 -0.756 20 0 IBADRN Cc1cccc(CN2CCO[C@H]3CN(C(=O)c4cc(=O)n(C)c(=O)n4C)CC[C@H]3C2=O)n1 ZINC001189428000 1074324067 /nfs/dbraw/zinc/32/40/67/1074324067.db2.gz CPMTZVIDEZUAKR-AEFFLSMTSA-N 0 0 441.488 -0.323 20 0 IBADRN CCN(CC)C(=O)c1cccnc1N1CCN(C(=O)c2cc(=O)n(C)c(=O)n2C)CC1 ZINC001189428350 1074324035 /nfs/dbraw/zinc/32/40/35/1074324035.db2.gz LHHQQVBNVARTSF-UHFFFAOYSA-N 0 0 428.493 -0.077 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCC3(CC2)C(=O)NCCN3Cc2ccccc2)n(C)c1=O ZINC001189428450 1074324153 /nfs/dbraw/zinc/32/41/53/1074324153.db2.gz DMWNFIHNAKXVNZ-UHFFFAOYSA-N 0 0 425.489 -0.309 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@H]3C[C@H](C(=O)NCCc4ccccn4)O[C@H]3C2)n(C)c1=O ZINC001189428492 1074324130 /nfs/dbraw/zinc/32/41/30/1074324130.db2.gz FIYLTCHISFJMHU-QGTPRVQTSA-N 0 0 441.488 -0.543 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCC[C@@]3(C2)COCCN(c2ncc(F)cn2)C3)n(C)c1=O ZINC001189428533 1074324170 /nfs/dbraw/zinc/32/41/70/1074324170.db2.gz FZMPNZAZMGRAGX-FQEVSTJZSA-N 0 0 432.456 -0.228 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCC[C@]3(C2)COCCN(c2ncc(F)cn2)C3)n(C)c1=O ZINC001189428541 1074324182 /nfs/dbraw/zinc/32/41/82/1074324182.db2.gz FZMPNZAZMGRAGX-HXUWFJFHSA-N 0 0 432.456 -0.228 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)n(C)c1=O ZINC001189428714 1074324110 /nfs/dbraw/zinc/32/41/10/1074324110.db2.gz HDKVKWOBFNUMQH-UHFFFAOYSA-N 0 0 428.449 -0.979 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)c2cc(=O)n(C)c(=O)n2C)CCO1 ZINC001189428797 1074324174 /nfs/dbraw/zinc/32/41/74/1074324174.db2.gz OYOUICJHBYQAAS-HNNXBMFYSA-N 0 0 442.432 -0.483 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)c2cc(=O)n(C)c(=O)n2C)CCO1 ZINC001189428798 1074324139 /nfs/dbraw/zinc/32/41/39/1074324139.db2.gz OYOUICJHBYQAAS-OAHLLOKOSA-N 0 0 442.432 -0.483 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@@H]3[C@@H](CO[C@@H]3CC(=O)NCc3ccco3)C2)n(C)c1=O ZINC001189429301 1074324084 /nfs/dbraw/zinc/32/40/84/1074324084.db2.gz ITCXHVJESXMUAD-FRFSOERESA-N 0 0 430.461 -0.139 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CCN(C(=O)c3cc(=O)n(C)c(=O)n3C)CC2)cc1 ZINC001189429395 1074324470 /nfs/dbraw/zinc/32/44/70/1074324470.db2.gz RVCHLAMBXISHAX-UHFFFAOYSA-N 0 0 436.490 -0.324 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCC3(CC2)CN(Cc2cccnc2)C(=O)CO3)n(C)c1=O ZINC001189429577 1074324490 /nfs/dbraw/zinc/32/44/90/1074324490.db2.gz LBFIAHAHQGYYEP-UHFFFAOYSA-N 0 0 427.461 -0.487 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)c2cc(=O)n(C)c(=O)n2C)cc1 ZINC001189429863 1074324513 /nfs/dbraw/zinc/32/45/13/1074324513.db2.gz HLIHEXIINAKIMO-UHFFFAOYSA-N 0 0 428.493 -0.454 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)c4cc(=O)n(C)c(=O)n4C)C3)C2=O)cc1 ZINC001189429870 1074324434 /nfs/dbraw/zinc/32/44/34/1074324434.db2.gz WBRJRIHNKSCPCU-NRFANRHFSA-N 0 0 441.444 -0.571 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)c4cc(=O)n(C)c(=O)n4C)C3)C2=O)cc1 ZINC001189429888 1074324481 /nfs/dbraw/zinc/32/44/81/1074324481.db2.gz WBRJRIHNKSCPCU-OAQYLSRUSA-N 0 0 441.444 -0.571 20 0 IBADRN Cn1c(=O)cc(C(=O)N2C[C@@H](NC(=O)c3cccnc3)[C@H]3OCCC[C@H]3C2)n(C)c1=O ZINC001189429941 1074324519 /nfs/dbraw/zinc/32/45/19/1074324519.db2.gz MDUJFYUCCHVXFD-DAYGRLMNSA-N 0 0 427.461 -0.471 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@]3(CC(C(=O)NCc4ccc(F)cc4)=NO3)C2)n(C)c1=O ZINC001189429993 1074324411 /nfs/dbraw/zinc/32/44/11/1074324411.db2.gz MPZHEBFVGBGFCY-NRFANRHFSA-N 0 0 443.435 -0.100 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@@]3(CC(C(=O)NCc4ccc(F)cc4)=NO3)C2)n(C)c1=O ZINC001189429996 1074324477 /nfs/dbraw/zinc/32/44/77/1074324477.db2.gz MPZHEBFVGBGFCY-OAQYLSRUSA-N 0 0 443.435 -0.100 20 0 IBADRN Cn1c(=O)cc(C(=O)N2C[C@@H]3COC[C@]3(C(=O)NCCc3cccs3)C2)n(C)c1=O ZINC001189429998 1074324499 /nfs/dbraw/zinc/32/44/99/1074324499.db2.gz MQIMNUMJPMFMLO-ZUOKHONESA-N 0 0 432.502 -0.407 20 0 IBADRN Cn1cccc1C(=O)NCc1cn2c(n1)CN(C(=O)c1cc(=O)n(C)c(=O)n1C)CC2 ZINC001189430062 1074324448 /nfs/dbraw/zinc/32/44/48/1074324448.db2.gz NCZMETHXGPTQSN-UHFFFAOYSA-N 0 0 425.449 -0.795 20 0 IBADRN Cn1c(=O)cc(C(=O)n2c([C@H]3COCCN3)ncc/c2=N\Cc2ccco2)n(C)c1=O ZINC001189430328 1074324506 /nfs/dbraw/zinc/32/45/06/1074324506.db2.gz OEXNPDZCWWGMFW-LIIAEISMSA-N 0 0 426.433 -0.676 20 0 IBADRN Cn1c(=O)cc(C(=O)n2c([C@@H]3COCCN3)ncc/c2=N\Cc2ccco2)n(C)c1=O ZINC001189430336 1074324457 /nfs/dbraw/zinc/32/44/57/1074324457.db2.gz OEXNPDZCWWGMFW-XLOANJQZSA-N 0 0 426.433 -0.676 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@]3(c4ccccc4)CCN(S(C)(=O)=O)[C@@H]3C2)n(C)c1=O ZINC001189430468 1074324427 /nfs/dbraw/zinc/32/44/27/1074324427.db2.gz PHUXZRDNHAFRQQ-DYESRHJHSA-N 0 0 446.529 -0.098 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@]3(c4ccccc4)CCN(S(C)(=O)=O)[C@H]3C2)n(C)c1=O ZINC001189430474 1074324442 /nfs/dbraw/zinc/32/44/42/1074324442.db2.gz PHUXZRDNHAFRQQ-LAUBAEHRSA-N 0 0 446.529 -0.098 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@@]3(c4ccccc4)CCN(S(C)(=O)=O)[C@@H]3C2)n(C)c1=O ZINC001189430479 1074324509 /nfs/dbraw/zinc/32/45/09/1074324509.db2.gz PHUXZRDNHAFRQQ-UTKZUKDTSA-N 0 0 446.529 -0.098 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@@]3(c4ccccc4)CCN(S(C)(=O)=O)[C@H]3C2)n(C)c1=O ZINC001189430482 1074324453 /nfs/dbraw/zinc/32/44/53/1074324453.db2.gz PHUXZRDNHAFRQQ-UWJYYQICSA-N 0 0 446.529 -0.098 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)c1cc(=O)n(C)c(=O)n1C)CCC2 ZINC001189430497 1074324524 /nfs/dbraw/zinc/32/45/24/1074324524.db2.gz PNNVOMBQWIMLBZ-UHFFFAOYSA-N 0 0 444.492 -0.651 20 0 IBADRN COc1ccc(N(C(=O)c2cc(=O)n(C)c(=O)n2C)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001189430514 1074324464 /nfs/dbraw/zinc/32/44/64/1074324464.db2.gz NNVSEIKJZJOAHY-UHFFFAOYSA-N 0 0 446.445 -0.500 20 0 IBADRN Cn1c(=O)cc(C(=O)N2C[C@H]3OCC[C@H]3[C@H](C(=O)NCc3cccnc3)C2)n(C)c1=O ZINC001189430521 1074324732 /nfs/dbraw/zinc/32/47/32/1074324732.db2.gz QBAQFXJAFMYUHA-ZMSDIMECSA-N 0 0 427.461 -0.728 20 0 IBADRN Cn1nnc2c1[C@H](COCc1cccnc1)CN(C(=O)c1cc(=O)n(C)c(=O)n1C)C2 ZINC001189430571 1074324765 /nfs/dbraw/zinc/32/47/65/1074324765.db2.gz QSJMNLHWIRFNBN-AWEZNQCLSA-N 0 0 425.449 -0.436 20 0 IBADRN Cn1nnc2c1[C@@H](COCc1cccnc1)CN(C(=O)c1cc(=O)n(C)c(=O)n1C)C2 ZINC001189430572 1074324919 /nfs/dbraw/zinc/32/49/19/1074324919.db2.gz QSJMNLHWIRFNBN-CQSZACIVSA-N 0 0 425.449 -0.436 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@H]3OCC[C@@]3(C(=O)NCc3ccccn3)C2)n(C)c1=O ZINC001189430580 1074324880 /nfs/dbraw/zinc/32/48/80/1074324880.db2.gz QXYMXIOFUMWUHR-IIBYNOLFSA-N 0 0 427.461 -0.583 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)c3cc(=O)n(C)c(=O)n3C)CC1)C(=O)N2 ZINC001189430824 1074324888 /nfs/dbraw/zinc/32/48/88/1074324888.db2.gz SRTYKOMNHNCBGD-UHFFFAOYSA-N 0 0 425.445 -0.430 20 0 IBADRN Cn1c(=O)cc(C(=O)N2C[C@@H]3COC[C@]3(C(=O)NCCc3ccccc3)C2)n(C)c1=O ZINC001189430826 1074324817 /nfs/dbraw/zinc/32/48/17/1074324817.db2.gz SXTQHSQPKDGCOU-OPAMFIHVSA-N 0 0 426.473 -0.469 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@]3(C2)CN(c2cccc(F)c2)C(=O)CN3C)n(C)c1=O ZINC001189430886 1074324868 /nfs/dbraw/zinc/32/48/68/1074324868.db2.gz VLUMRRDXQHSPKK-NRFANRHFSA-N 0 0 429.452 -0.214 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@@]3(C2)CN(c2cccc(F)c2)C(=O)CN3C)n(C)c1=O ZINC001189430888 1074324841 /nfs/dbraw/zinc/32/48/41/1074324841.db2.gz VLUMRRDXQHSPKK-OAQYLSRUSA-N 0 0 429.452 -0.214 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCC[C@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)n(C)c1=O ZINC001189430892 1074324799 /nfs/dbraw/zinc/32/47/99/1074324799.db2.gz VMUYJEJSXKYSPG-NRFANRHFSA-N 0 0 440.460 -0.454 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCC[C@@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)n(C)c1=O ZINC001189430894 1074324913 /nfs/dbraw/zinc/32/49/13/1074324913.db2.gz VMUYJEJSXKYSPG-OAQYLSRUSA-N 0 0 440.460 -0.454 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCOC[C@@]3(CN(c4ccsc4)C(=O)CO3)C2)n(C)c1=O ZINC001189430947 1074324899 /nfs/dbraw/zinc/32/48/99/1074324899.db2.gz WCNYFZIRWDFTMZ-IBGZPJMESA-N 0 0 434.474 -0.580 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCOC[C@]3(CN(c4ccsc4)C(=O)CO3)C2)n(C)c1=O ZINC001189430949 1074324894 /nfs/dbraw/zinc/32/48/94/1074324894.db2.gz WCNYFZIRWDFTMZ-LJQANCHMSA-N 0 0 434.474 -0.580 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)c2cc(=O)n(C)c(=O)n2C)C3)nn1 ZINC001189431335 1074324906 /nfs/dbraw/zinc/32/49/06/1074324906.db2.gz WUYUBKJEAOYNET-BTYIYWSLSA-N 0 0 444.492 -0.458 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)c2cc(=O)n(C)c(=O)n2C)C3)nn1 ZINC001189431336 1074324852 /nfs/dbraw/zinc/32/48/52/1074324852.db2.gz WUYUBKJEAOYNET-QVKFZJNVSA-N 0 0 444.492 -0.458 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)c2cc(=O)n(C)c(=O)n2C)C3)nn1 ZINC001189431337 1074324721 /nfs/dbraw/zinc/32/47/21/1074324721.db2.gz WUYUBKJEAOYNET-VFNWGFHPSA-N 0 0 444.492 -0.458 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)c2cc(=O)n(C)c(=O)n2C)C3)nn1 ZINC001189431338 1074324757 /nfs/dbraw/zinc/32/47/57/1074324757.db2.gz WUYUBKJEAOYNET-YCRPNKLZSA-N 0 0 444.492 -0.458 20 0 IBADRN CN(Cc1ccccn1)C(=O)[C@@H]1C[C@@H]2[C@@H](CCN2C(=O)c2cc(=O)n(C)c(=O)n2C)O1 ZINC001189431360 1074324862 /nfs/dbraw/zinc/32/48/62/1074324862.db2.gz XLOGUZNWMXJOCP-OIISXLGYSA-N 0 0 427.461 -0.490 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CC[C@H]3CO[C@H](CNC(=O)c4ccncc4)[C@H]3C2)n(C)c1=O ZINC001189431361 1074324810 /nfs/dbraw/zinc/32/48/10/1074324810.db2.gz XMIKDGXPYGMWKN-YQQAZPJKSA-N 0 0 427.461 -0.614 20 0 IBADRN Cn1c(=O)cc(C(=O)NCc2nnc3n2CCCN(C(=O)OC(C)(C)C)C3)n(C)c1=O ZINC001189437161 1074324830 /nfs/dbraw/zinc/32/48/30/1074324830.db2.gz LZPJHHNHVTWAGQ-UHFFFAOYSA-N 0 0 433.469 -0.254 20 0 IBADRN Cn1c(=O)cc(C(=O)NC[C@H](c2cnc(C(F)(F)F)nc2)N2CCOCC2)n(C)c1=O ZINC001189440033 1074325300 /nfs/dbraw/zinc/32/53/00/1074325300.db2.gz OZGMDLUGLPUKQR-CYBMUJFWSA-N 0 0 442.398 -0.304 20 0 IBADRN Cn1c(=O)cc(C(=O)NC[C@@H](c2cnc(C(F)(F)F)nc2)N2CCOCC2)n(C)c1=O ZINC001189440034 1074325305 /nfs/dbraw/zinc/32/53/05/1074325305.db2.gz OZGMDLUGLPUKQR-ZDUSSCGKSA-N 0 0 442.398 -0.304 20 0 IBADRN COC(=O)[C@H](Cc1ccc(Br)cn1)NC(=O)c1cc(=O)n(C)c(=O)n1C ZINC001189441595 1074325149 /nfs/dbraw/zinc/32/51/49/1074325149.db2.gz BVUQTFDGDQIHOW-NSHDSACASA-N 0 0 425.239 -0.244 20 0 IBADRN Cn1c(=O)cc(C(=O)NCCOCCOCCOCCC(=O)OC(C)(C)C)n(C)c1=O ZINC001189441749 1074325216 /nfs/dbraw/zinc/32/52/16/1074325216.db2.gz FHRCRJJBVAOLBI-UHFFFAOYSA-N 0 0 443.497 -0.405 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccc(=O)n(C)n2)CC3)C1=O ZINC001189488010 1074325264 /nfs/dbraw/zinc/32/52/64/1074325264.db2.gz ONZNHUWVDUJSJU-IBGZPJMESA-N 0 0 437.522 -0.874 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccc(=O)n(C)n2)CC3)C1=O ZINC001189488013 1074325096 /nfs/dbraw/zinc/32/50/96/1074325096.db2.gz ONZNHUWVDUJSJU-LJQANCHMSA-N 0 0 437.522 -0.874 20 0 IBADRN Cn1nc(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)ccc1=O ZINC001189488453 1074325226 /nfs/dbraw/zinc/32/52/26/1074325226.db2.gz WYPPTPXHXLTESR-ALKREAHSSA-N 0 0 431.474 -0.627 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c2nonc21 ZINC001189490686 1074325116 /nfs/dbraw/zinc/32/51/16/1074325116.db2.gz NCOHQAPULKKGFE-UHFFFAOYSA-N 0 0 447.477 -0.471 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)Cc1cccc(F)c1F ZINC001189491402 1074325278 /nfs/dbraw/zinc/32/52/78/1074325278.db2.gz RBECQKUBAXIXTL-HOTGVXAUSA-N 0 0 441.456 -0.042 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1cccc(F)c1F ZINC001189491703 1074325270 /nfs/dbraw/zinc/32/52/70/1074325270.db2.gz KUJOHOARZRUREE-DCAQKATOSA-N 0 0 435.449 -0.045 20 0 IBADRN Cn1nc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)ccc1=O ZINC001189496608 1074325291 /nfs/dbraw/zinc/32/52/91/1074325291.db2.gz JYOPKZRNDARVHI-UHFFFAOYSA-N 0 0 429.499 -0.607 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3ccc(=O)n(C)n3)ccc12 ZINC001189501655 1074325125 /nfs/dbraw/zinc/32/51/25/1074325125.db2.gz KMOODCSKKZWJEU-UHFFFAOYSA-N 0 0 425.401 -0.320 20 0 IBADRN C[C@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCN1CCO ZINC001189507077 1074325159 /nfs/dbraw/zinc/32/51/59/1074325159.db2.gz AMBULEIYYGZNHH-HNNXBMFYSA-N 0 0 425.507 -0.373 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCN1CCO ZINC001189507080 1074325232 /nfs/dbraw/zinc/32/52/32/1074325232.db2.gz AMBULEIYYGZNHH-OAHLLOKOSA-N 0 0 425.507 -0.373 20 0 IBADRN CS(=O)(=O)NC1CC(NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001189540563 1074326060 /nfs/dbraw/zinc/32/60/60/1074326060.db2.gz HQPYLSKDVGMJQT-UHFFFAOYSA-N 0 0 445.519 -0.701 20 0 IBADRN O=S(=O)(C[C@@H]1CCCS(=O)(=O)C1)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001189550336 1074325568 /nfs/dbraw/zinc/32/55/68/1074325568.db2.gz GFTQFGVZUMPKTL-LLVKDONJSA-N 0 0 446.519 -0.013 20 0 IBADRN O=S(=O)(C[C@H]1CCCS(=O)(=O)C1)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001189550337 1074325593 /nfs/dbraw/zinc/32/55/93/1074325593.db2.gz GFTQFGVZUMPKTL-NSHDSACASA-N 0 0 446.519 -0.013 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001189551365 1074325749 /nfs/dbraw/zinc/32/57/49/1074325749.db2.gz KDIBAXRNMLAFGX-UHFFFAOYSA-N 0 0 435.496 -0.946 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)Cc1ccccc1C(F)(F)F ZINC001189551376 1074325625 /nfs/dbraw/zinc/32/56/25/1074325625.db2.gz GQIKNTOKYAJRHV-UHFFFAOYSA-N 0 0 439.412 -0.080 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2C[C@@H]3[C@H](C2)OCCN3c2ncccn2)c1OC ZINC001189554679 1074325698 /nfs/dbraw/zinc/32/56/98/1074325698.db2.gz AHXKJAADWDJYPC-ZBFHGGJFSA-N 0 0 449.489 -0.129 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)c1OC ZINC001189554943 1074325555 /nfs/dbraw/zinc/32/55/55/1074325555.db2.gz JKDJWDKBBOFXRC-AWEZNQCLSA-N 0 0 427.479 -0.185 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)c1OC ZINC001189554944 1074325615 /nfs/dbraw/zinc/32/56/15/1074325615.db2.gz JKDJWDKBBOFXRC-CQSZACIVSA-N 0 0 427.479 -0.185 20 0 IBADRN CNC(=O)[C@@H]1CCO[C@@H]2CCN(C(=O)c3cc(S(N)(=O)=O)cc(OC)c3OC)C[C@H]21 ZINC001189555544 1074325709 /nfs/dbraw/zinc/32/57/09/1074325709.db2.gz IIJNTTRTPAOJEN-VHDGCEQUSA-N 0 0 441.506 -0.036 20 0 IBADRN CCOC(=O)c1nc2n(n1)CCN(C(=O)c1cc(S(N)(=O)=O)cc(OC)c1OC)C2 ZINC001189555562 1074325526 /nfs/dbraw/zinc/32/55/26/1074325526.db2.gz IMXWZQAGPKFZCW-UHFFFAOYSA-N 0 0 439.450 -0.225 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2CCn3c(CNC(C)=O)nnc3C2)c1OC ZINC001189555640 1074325650 /nfs/dbraw/zinc/32/56/50/1074325650.db2.gz KMKJOLDOMHSCAH-UHFFFAOYSA-N 0 0 438.466 -0.765 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2C[C@@H]3C(=O)N(c4cnn(C)c4)C[C@@H]3C2)c1OC ZINC001189556283 1074325542 /nfs/dbraw/zinc/32/55/42/1074325542.db2.gz MLRUWCVOBLPEKM-NHYWBVRUSA-N 0 0 449.489 -0.180 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(CC(=O)NC(C)C)CC2)c1OC ZINC001189556701 1074325661 /nfs/dbraw/zinc/32/56/61/1074325661.db2.gz PAKJHRANLGLUMH-UHFFFAOYSA-N 0 0 428.511 -0.366 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2C[C@@H]3[C@H](C2)OCCN3c2cnccn2)c1OC ZINC001189556731 1074325670 /nfs/dbraw/zinc/32/56/70/1074325670.db2.gz PHJQQWKBGPHEAB-ZBFHGGJFSA-N 0 0 449.489 -0.129 20 0 IBADRN CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cc(S(N)(=O)=O)cc(OC)c1OC ZINC001189556814 1074325684 /nfs/dbraw/zinc/32/56/84/1074325684.db2.gz SAASIAVSHDPZRO-GFCCVEGCSA-N 0 0 429.451 -0.755 20 0 IBADRN CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cc(S(N)(=O)=O)cc(OC)c1OC ZINC001189556815 1074325639 /nfs/dbraw/zinc/32/56/39/1074325639.db2.gz SAASIAVSHDPZRO-LBPRGKRZSA-N 0 0 429.451 -0.755 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)c1OC ZINC001189557069 1074325737 /nfs/dbraw/zinc/32/57/37/1074325737.db2.gz SNAXDTJWNUWFMD-UHFFFAOYSA-N 0 0 440.522 -0.269 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2CCC[C@@H]3CN(S(C)(=O)=O)C[C@@H]32)c1OC ZINC001189557143 1074325801 /nfs/dbraw/zinc/32/58/01/1074325801.db2.gz WHAWSQUEDHHESH-RISCZKNCSA-N 0 0 447.535 -0.153 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1C(=O)c1cc(S(N)(=O)=O)cc(OC)c1OC ZINC001189557285 1074325790 /nfs/dbraw/zinc/32/57/90/1074325790.db2.gz YGPGJMVUFAWNMX-HZMBPMFUSA-N 0 0 448.475 -0.419 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1C(=O)c1cc(S(N)(=O)=O)cc(OC)c1OC ZINC001189557286 1074326110 /nfs/dbraw/zinc/32/61/10/1074326110.db2.gz YGPGJMVUFAWNMX-IINYFYTJSA-N 0 0 448.475 -0.419 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1C(=O)c1cc(S(N)(=O)=O)cc(OC)c1OC ZINC001189557287 1074326015 /nfs/dbraw/zinc/32/60/15/1074326015.db2.gz YGPGJMVUFAWNMX-QMTHXVAHSA-N 0 0 448.475 -0.419 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1C(=O)c1cc(S(N)(=O)=O)cc(OC)c1OC ZINC001189557288 1074326105 /nfs/dbraw/zinc/32/61/05/1074326105.db2.gz YGPGJMVUFAWNMX-YGRLFVJLSA-N 0 0 448.475 -0.419 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(CCCS(C)(=O)=O)CC2)c1OC ZINC001189558594 1074326072 /nfs/dbraw/zinc/32/60/72/1074326072.db2.gz FDRHYBHPEFEKDM-UHFFFAOYSA-N 0 0 449.551 -0.456 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)cc(OC)c2OC)CCN1C(C)=O ZINC001189559106 1074326154 /nfs/dbraw/zinc/32/61/54/1074326154.db2.gz KUJLUEFNJKAEFN-CYBMUJFWSA-N 0 0 429.451 -0.803 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)cc(OC)c2OC)CCN1C(C)=O ZINC001189559107 1074326117 /nfs/dbraw/zinc/32/61/17/1074326117.db2.gz KUJLUEFNJKAEFN-ZDUSSCGKSA-N 0 0 429.451 -0.803 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)c2cc(S(N)(=O)=O)cc(OC)c2OC)CC1 ZINC001189559512 1074325991 /nfs/dbraw/zinc/32/59/91/1074325991.db2.gz SZTLNXSRVRTNRM-UHFFFAOYSA-N 0 0 435.524 -0.105 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N2CCN(C3CCOCC3)C(=O)C2)c1OC ZINC001189559666 1074326007 /nfs/dbraw/zinc/32/60/07/1074326007.db2.gz YYTWJYTYVINXOF-UHFFFAOYSA-N 0 0 427.479 -0.185 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)Cc2ccccc2C(F)(F)F)[C@@H](O)[C@H](O)[C@@H]1O ZINC001189563376 1074326162 /nfs/dbraw/zinc/32/61/62/1074326162.db2.gz ICEGJUNRZSZMMN-XNHWVXRISA-N 0 0 429.413 -0.031 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2N)c1OC ZINC001189567436 1074326094 /nfs/dbraw/zinc/32/60/94/1074326094.db2.gz HEQKNTWUIKSKGI-UHFFFAOYSA-N 0 0 430.464 -0.167 20 0 IBADRN CCOC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc(S(N)(=O)=O)cc(OC)c1OC ZINC001189568284 1074326023 /nfs/dbraw/zinc/32/60/23/1074326023.db2.gz HVWMMSSHMHBZOM-ZDUSSCGKSA-N 0 0 426.451 -0.022 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)NCc2ccc(N3CCOCC3)nn2)c1OC ZINC001189568667 1074326183 /nfs/dbraw/zinc/32/61/83/1074326183.db2.gz DEVZDLWHLXHREA-UHFFFAOYSA-N 0 0 437.478 -0.092 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)NCCOCCN2C(=O)C=CC2=O)c1OC ZINC001189568731 1074326051 /nfs/dbraw/zinc/32/60/51/1074326051.db2.gz MGKJIVOSFZBGKQ-UHFFFAOYSA-N 0 0 427.435 -0.977 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)NCCCN2CCS(=O)(=O)CC2)c1OC ZINC001189569037 1074326572 /nfs/dbraw/zinc/32/65/72/1074326572.db2.gz QMIBXBPFMGZYAR-UHFFFAOYSA-N 0 0 435.524 -0.799 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)N[C@H](C(=O)N2CCOCC2)C(C)C)c1OC ZINC001189569170 1074326552 /nfs/dbraw/zinc/32/65/52/1074326552.db2.gz JBLPWLBOCBBCCJ-HNNXBMFYSA-N 0 0 429.495 -0.036 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)NCC2CCN(S(C)(=O)=O)CC2)c1OC ZINC001189569587 1074326412 /nfs/dbraw/zinc/32/64/12/1074326412.db2.gz UJBRCBQAFGLMAQ-UHFFFAOYSA-N 0 0 435.524 -0.247 20 0 IBADRN CCn1c(N)c(NC(=O)c2cc(S(N)(=O)=O)cc(OC)c2OC)c(=O)n(CC)c1=O ZINC001189570358 1074326480 /nfs/dbraw/zinc/32/64/80/1074326480.db2.gz YJUOWQIFGYXPFX-UHFFFAOYSA-N 0 0 441.466 -0.451 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)c1I ZINC001189579204 1074326585 /nfs/dbraw/zinc/32/65/85/1074326585.db2.gz XNXNZLIUTKZEJY-MRVPVSSYSA-N 0 0 448.308 -0.286 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC[C@H](CS(N)(=O)=O)C2)c1I ZINC001189579206 1074326421 /nfs/dbraw/zinc/32/64/21/1074326421.db2.gz XNXNZLIUTKZEJY-QMMMGPOBSA-N 0 0 448.308 -0.286 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cnccc2Br)c(=O)n1 ZINC001189590026 1074326442 /nfs/dbraw/zinc/32/64/42/1074326442.db2.gz VFIVUVALIIPNDL-XIDUGBJDSA-N 0 0 426.227 -0.725 20 0 IBADRN Cn1nc(C(F)(F)F)cc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001189609767 1074326490 /nfs/dbraw/zinc/32/64/90/1074326490.db2.gz JHOVICOIVYQHEZ-QWRGUYRKSA-N 0 0 427.387 -0.021 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(CNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001189613195 1074326521 /nfs/dbraw/zinc/32/65/21/1074326521.db2.gz KBOBYUNJTCEPNT-LLVKDONJSA-N 0 0 428.529 -0.070 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(CNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001189613197 1074326560 /nfs/dbraw/zinc/32/65/60/1074326560.db2.gz KBOBYUNJTCEPNT-NSHDSACASA-N 0 0 428.529 -0.070 20 0 IBADRN Cn1cc(S(=O)(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)c(=O)[nH]c1=O ZINC001189613219 1074326515 /nfs/dbraw/zinc/32/65/15/1074326515.db2.gz KTLIEDDYIHOCQA-UHFFFAOYSA-N 0 0 430.455 -0.834 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC001189613772 1074326429 /nfs/dbraw/zinc/32/64/29/1074326429.db2.gz VIQMTEFWHBTSIO-HSZRJFAPSA-N 0 0 426.533 -0.203 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC001189613777 1074326820 /nfs/dbraw/zinc/32/68/20/1074326820.db2.gz VIQMTEFWHBTSIO-QHCPKHFHSA-N 0 0 426.533 -0.203 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC001189613870 1074326891 /nfs/dbraw/zinc/32/68/91/1074326891.db2.gz YHWHFJAUAYAVSE-UHFFFAOYSA-N 0 0 441.544 -0.801 20 0 IBADRN COC(=O)c1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1Cl ZINC001189625588 1074326955 /nfs/dbraw/zinc/32/69/55/1074326955.db2.gz ADCHEIVZQWGGKL-XKVFNRALSA-N 0 0 438.824 -0.443 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2cn(C)nc2Br)CCS(=O)(=O)CC1 ZINC001189629554 1074326841 /nfs/dbraw/zinc/32/68/41/1074326841.db2.gz KRKKHCVFAAXVOV-UHFFFAOYSA-N 0 0 430.302 -0.419 20 0 IBADRN C[C@@H]1CCCN(c2cc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)ccn2)C1 ZINC001189631344 1074326872 /nfs/dbraw/zinc/32/68/72/1074326872.db2.gz LEQSHRWKIBGISQ-LQUAMNAYSA-N 0 0 444.492 -0.251 20 0 IBADRN C[C@H]1CCCN(c2cc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)ccn2)C1 ZINC001189631345 1074326941 /nfs/dbraw/zinc/32/69/41/1074326941.db2.gz LEQSHRWKIBGISQ-MGMQSQGASA-N 0 0 444.492 -0.251 20 0 IBADRN COc1ccc(Cl)c(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c1 ZINC001189635946 1074326564 /nfs/dbraw/zinc/32/65/64/1074326564.db2.gz ZUEHAQGOIBUPQP-JUDXGUMMSA-N 0 0 447.853 -0.791 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccn(C)c(=O)c2)CC3)C1=O ZINC001189638301 1074326590 /nfs/dbraw/zinc/32/65/90/1074326590.db2.gz CRYFGCDKYMNDLI-FQEVSTJZSA-N 0 0 436.534 -0.269 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccn(C)c(=O)c2)CC3)C1=O ZINC001189638306 1074326577 /nfs/dbraw/zinc/32/65/77/1074326577.db2.gz CRYFGCDKYMNDLI-HXUWFJFHSA-N 0 0 436.534 -0.269 20 0 IBADRN Cn1ccc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)cc1=O ZINC001189640148 1074326533 /nfs/dbraw/zinc/32/65/33/1074326533.db2.gz XKKSWYTYYDXVMR-JKSUJKDBSA-N 0 0 445.501 -0.452 20 0 IBADRN Cn1ccc(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)cc1=O ZINC001189641498 1074326403 /nfs/dbraw/zinc/32/64/03/1074326403.db2.gz CJTXRRUUCVKRII-UWVAXJGDSA-N 0 0 430.486 -0.022 20 0 IBADRN COc1ccc(Cl)c(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)c1 ZINC001189643901 1074326473 /nfs/dbraw/zinc/32/64/73/1074326473.db2.gz WFYNSTZAHRFFGX-LBPRGKRZSA-N 0 0 432.886 -0.781 20 0 IBADRN Cn1ccc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cc1=O ZINC001189646431 1074326465 /nfs/dbraw/zinc/32/64/65/1074326465.db2.gz XCYJAZXAZYXCRX-UHFFFAOYSA-N 0 0 428.511 -0.002 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1sc(Br)nc1C(F)(F)F ZINC001189664224 1074326830 /nfs/dbraw/zinc/32/68/30/1074326830.db2.gz IBNQFJALMVEGOF-DOXWYHJTSA-N 0 0 437.190 -0.546 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1sc(Br)nc1C(F)(F)F ZINC001189664226 1074326899 /nfs/dbraw/zinc/32/68/99/1074326899.db2.gz IBNQFJALMVEGOF-RVJQVFKSSA-N 0 0 437.190 -0.546 20 0 IBADRN CCOC(=O)CCCCCNC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OC ZINC001189691488 1074326981 /nfs/dbraw/zinc/32/69/81/1074326981.db2.gz WTFRWODUKSWXCL-IHRRRGAJSA-N 0 0 430.502 -0.020 20 0 IBADRN CCOC(=O)CCCCCNC(=O)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001189691593 1074326857 /nfs/dbraw/zinc/32/68/57/1074326857.db2.gz ZCFWPUNJEYFIGE-IRXDYDNUSA-N 0 0 436.509 -0.017 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cc(C(F)(F)F)ncc1Br ZINC001189714948 1074326998 /nfs/dbraw/zinc/32/69/98/1074326998.db2.gz AIBJOKNPGMDHAJ-SWRBICTNSA-N 0 0 431.161 -0.607 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cc(C(F)(F)F)ncc1Br ZINC001189714949 1074326947 /nfs/dbraw/zinc/32/69/47/1074326947.db2.gz AIBJOKNPGMDHAJ-ZYJZCQHASA-N 0 0 431.161 -0.607 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1cc(F)ccc1F ZINC001189720686 1074326968 /nfs/dbraw/zinc/32/69/68/1074326968.db2.gz OWUMDJFIOQYCBJ-DCAQKATOSA-N 0 0 435.449 -0.045 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)Cc1cc(F)ccc1F ZINC001189724403 1074327038 /nfs/dbraw/zinc/32/70/38/1074327038.db2.gz ILKBLVQIEURXKL-IRXDYDNUSA-N 0 0 441.456 -0.042 20 0 IBADRN COc1ccc(-n2cc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cn2)cc1 ZINC001189738029 1074327399 /nfs/dbraw/zinc/32/73/99/1074327399.db2.gz FQUMXVRKMNPJAQ-KLICCBINSA-N 0 0 442.432 -0.688 20 0 IBADRN O=S(=O)(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cc(Br)ccc1Cl ZINC001189765361 1074327384 /nfs/dbraw/zinc/32/73/84/1074327384.db2.gz JSJWYZJXIFYSNP-RGHQJQCBSA-N 0 0 432.676 -0.819 20 0 IBADRN O=S(=O)(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cc(Br)ccc1Cl ZINC001189765363 1074327337 /nfs/dbraw/zinc/32/73/37/1074327337.db2.gz JSJWYZJXIFYSNP-YNVCDSSISA-N 0 0 432.676 -0.819 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(Br)ccc1Cl ZINC001189773838 1074327375 /nfs/dbraw/zinc/32/73/75/1074327375.db2.gz NOKJUWCKPZOODX-UHFFFAOYSA-N 0 0 441.691 -0.902 20 0 IBADRN CCCN(CCC)S(=O)(=O)c1ccc(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cc1 ZINC001189796279 1074327685 /nfs/dbraw/zinc/32/76/85/1074327685.db2.gz WHNMELKUGWTCDS-VDCDIQELSA-N 0 0 446.522 -0.973 20 0 IBADRN CCCN(CCC)S(=O)(=O)c1ccc(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001189796280 1074327753 /nfs/dbraw/zinc/32/77/53/1074327753.db2.gz WHNMELKUGWTCDS-VYIWNADRSA-N 0 0 446.522 -0.973 20 0 IBADRN COC(=O)c1ccncc1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001189798980 1074327575 /nfs/dbraw/zinc/32/75/75/1074327575.db2.gz MSRPZPKRUHDARN-HOTGVXAUSA-N 0 0 447.492 -0.395 20 0 IBADRN CN(C)c1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001189844658 1074328062 /nfs/dbraw/zinc/32/80/62/1074328062.db2.gz QBVBBOFWQNSGLZ-ARFHVFGLSA-N 0 0 437.522 -0.723 20 0 IBADRN CN(C)c1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001189844675 1074327988 /nfs/dbraw/zinc/32/79/88/1074327988.db2.gz QBVBBOFWQNSGLZ-BZUAXINKSA-N 0 0 437.522 -0.723 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)cn1 ZINC001189844796 1074328014 /nfs/dbraw/zinc/32/80/14/1074328014.db2.gz ZHLAGEBEKPDGJQ-UHFFFAOYSA-N 0 0 434.887 -0.257 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnc(N(C)C)nc1)C(=O)NCC(N)=O ZINC001189844925 1074328105 /nfs/dbraw/zinc/32/81/05/1074328105.db2.gz UDXLFDVNFNIBCB-GJZGRUSLSA-N 0 0 433.513 -0.720 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)cn1 ZINC001189849694 1074328045 /nfs/dbraw/zinc/32/80/45/1074328045.db2.gz NAMYFHLGIPGZRM-DXWTWGPWSA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001189849696 1074328025 /nfs/dbraw/zinc/32/80/25/1074328025.db2.gz NAMYFHLGIPGZRM-IMPIEMTGSA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001189849698 1074327982 /nfs/dbraw/zinc/32/79/82/1074327982.db2.gz NAMYFHLGIPGZRM-JFBPSJKJSA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1ncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)cn1 ZINC001189849699 1074328020 /nfs/dbraw/zinc/32/80/20/1074328020.db2.gz NAMYFHLGIPGZRM-OCABDXPQSA-N 0 0 436.490 -0.313 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001189860326 1074327592 /nfs/dbraw/zinc/32/75/92/1074327592.db2.gz YLCAMOLTLZZZAZ-HNNXBMFYSA-N 0 0 431.449 -0.543 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001189860807 1074327638 /nfs/dbraw/zinc/32/76/38/1074327638.db2.gz LWKXZXVKFFIHAD-MWDXBVQZSA-N 0 0 446.460 -0.011 20 0 IBADRN COC(=O)c1cc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)cn1 ZINC001189861285 1074327612 /nfs/dbraw/zinc/32/76/12/1074327612.db2.gz BYLDOMOSWGQZBC-UHFFFAOYSA-N 0 0 438.437 -0.298 20 0 IBADRN COC(=O)c1cc(=O)n(CC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)cn1 ZINC001189861801 1074327740 /nfs/dbraw/zinc/32/77/40/1074327740.db2.gz NZNIECCFLCFNHO-UHFFFAOYSA-N 0 0 431.424 -0.048 20 0 IBADRN COC(=O)c1cc(=O)n(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)cn1 ZINC001189861816 1074327779 /nfs/dbraw/zinc/32/77/79/1074327779.db2.gz OPERTKOWBINLKK-UHFFFAOYSA-N 0 0 434.474 -0.296 20 0 IBADRN NC(=O)CCSCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001189861817 1074327708 /nfs/dbraw/zinc/32/77/08/1074327708.db2.gz ORXXFFBBCHPMNZ-UHFFFAOYSA-N 0 0 429.520 -0.101 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1ccc(F)cc1F ZINC001189862166 1074327675 /nfs/dbraw/zinc/32/76/75/1074327675.db2.gz FHZZOALOCPANNP-DCAQKATOSA-N 0 0 435.449 -0.045 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)Cc1ccc(F)cc1F ZINC001189862403 1074327644 /nfs/dbraw/zinc/32/76/44/1074327644.db2.gz LYDPIRLGDLJGNV-IRXDYDNUSA-N 0 0 441.456 -0.042 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001189867421 1074327763 /nfs/dbraw/zinc/32/77/63/1074327763.db2.gz DHEMBQVFWMHZFV-ROUUACIJSA-N 0 0 442.472 -0.012 20 0 IBADRN COC(=O)[C@H](Cc1ccccc1)NC(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001189868146 1074327628 /nfs/dbraw/zinc/32/76/28/1074327628.db2.gz VURVIKXQJOTUJY-ROUUACIJSA-N 0 0 442.472 -0.012 20 0 IBADRN CCOC(=O)CNC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H]2OC(C)(C)O[C@H]12 ZINC001189873097 1074327700 /nfs/dbraw/zinc/32/77/00/1074327700.db2.gz IZBIKJBPJWRRCZ-DPBRGUSISA-N 0 0 436.425 -0.079 20 0 IBADRN CCOC(=O)CNC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H]2OC(C)(C)O[C@@H]12 ZINC001189873099 1074327719 /nfs/dbraw/zinc/32/77/19/1074327719.db2.gz IZBIKJBPJWRRCZ-LYNPINBMSA-N 0 0 436.425 -0.079 20 0 IBADRN CCOC(=O)CNC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@H]2OC(C)(C)O[C@H]21 ZINC001189873100 1074327659 /nfs/dbraw/zinc/32/76/59/1074327659.db2.gz IZBIKJBPJWRRCZ-RVXWVPLUSA-N 0 0 436.425 -0.079 20 0 IBADRN CCOC(=O)CNC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CSC)[C@@H](O)[C@H]1O ZINC001189874086 1074328050 /nfs/dbraw/zinc/32/80/50/1074328050.db2.gz UNAYLPBYBUHAHQ-PMXXHBEXSA-N 0 0 426.455 -0.507 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1nc(C)n[nH]1)C(N)=O ZINC001189875732 1074328084 /nfs/dbraw/zinc/32/80/84/1074328084.db2.gz HNMLRICFFSNITG-RYUDHWBXSA-N 0 0 427.531 -0.903 20 0 IBADRN CCOC(=O)CNC(=O)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1F ZINC001189875940 1074328033 /nfs/dbraw/zinc/32/80/33/1074328033.db2.gz KFEXKKULFPTZST-FIIPPMMWSA-N 0 0 432.796 -0.247 20 0 IBADRN CCOC(=O)CNC(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001189878350 1074328056 /nfs/dbraw/zinc/32/80/56/1074328056.db2.gz GRDJSPQDEXLMSJ-UONOGXRCSA-N 0 0 439.494 -0.718 20 0 IBADRN O=S(=O)(CCCF)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001189880444 1074327995 /nfs/dbraw/zinc/32/79/95/1074327995.db2.gz HWLBJADGTCVGSC-UONOGXRCSA-N 0 0 434.515 -0.301 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)CCCF)CC3)C1=O ZINC001189881409 1074328001 /nfs/dbraw/zinc/32/80/01/1074328001.db2.gz RURNEGIULXHADB-INIZCTEOSA-N 0 0 425.548 -0.118 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)CCCF)CC3)C1=O ZINC001189881410 1074328099 /nfs/dbraw/zinc/32/80/99/1074328099.db2.gz RURNEGIULXHADB-MRXNPFEDSA-N 0 0 425.548 -0.118 20 0 IBADRN CCOC(=O)CNC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001189884814 1074328077 /nfs/dbraw/zinc/32/80/77/1074328077.db2.gz UQYVBLNRJYONQE-GOSISDBHSA-N 0 0 430.527 -0.535 20 0 IBADRN CCOC(=O)CNC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001189884815 1074328028 /nfs/dbraw/zinc/32/80/28/1074328028.db2.gz UQYVBLNRJYONQE-SFHVURJKSA-N 0 0 430.527 -0.535 20 0 IBADRN CCOC(=O)CNC(=O)N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001189885927 1074328487 /nfs/dbraw/zinc/32/84/87/1074328487.db2.gz ILIHPTUDRNAVNA-UHFFFAOYSA-N 0 0 431.453 -0.615 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCN(C(=O)Cc3ccccc3)CC2)C1 ZINC001189889740 1074328468 /nfs/dbraw/zinc/32/84/68/1074328468.db2.gz WXLNUZLJFFEOGR-KRWDZBQOSA-N 0 0 429.564 -0.015 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCN(C(=O)Cc3ccccc3)CC2)C1 ZINC001189889743 1074328502 /nfs/dbraw/zinc/32/85/02/1074328502.db2.gz WXLNUZLJFFEOGR-QGZVFWFLSA-N 0 0 429.564 -0.015 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)CCCF)CCC2 ZINC001189891852 1074328339 /nfs/dbraw/zinc/32/83/39/1074328339.db2.gz XJKIKPCWTYGWGN-UHFFFAOYSA-N 0 0 426.474 -0.198 20 0 IBADRN CCOC(=O)CNC(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001189894636 1074328509 /nfs/dbraw/zinc/32/85/09/1074328509.db2.gz JYJUUKZGAKXBEZ-UHFFFAOYSA-N 0 0 440.482 -0.132 20 0 IBADRN CC(=O)Nc1nc(C)c(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)s1 ZINC001189899554 1074328441 /nfs/dbraw/zinc/32/84/41/1074328441.db2.gz YIMLYIXFVJIUSZ-STQMWFEESA-N 0 0 433.494 -0.050 20 0 IBADRN CC(=O)Nc1ccc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1 ZINC001189907521 1074328008 /nfs/dbraw/zinc/32/80/08/1074328008.db2.gz LBVZXUPEIXVJSB-HOTGVXAUSA-N 0 0 446.508 -0.223 20 0 IBADRN CCOC(=O)CNC(=O)N[C@H]1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)C1 ZINC001189929609 1074328422 /nfs/dbraw/zinc/32/84/22/1074328422.db2.gz DYDIHSYJIXSLRE-NSHDSACASA-N 0 0 440.482 -0.086 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)CC2CN(S(C)(=O)=O)C2)C1=O ZINC001189936130 1074328798 /nfs/dbraw/zinc/32/87/98/1074328798.db2.gz FYKUAUDKJBWUHA-INIZCTEOSA-N 0 0 430.508 -0.431 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)CC2CN(S(C)(=O)=O)C2)C1=O ZINC001189936135 1074328792 /nfs/dbraw/zinc/32/87/92/1074328792.db2.gz FYKUAUDKJBWUHA-MRXNPFEDSA-N 0 0 430.508 -0.431 20 0 IBADRN Cc1c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nnn1-c1ccc(F)cc1 ZINC001189937648 1074328762 /nfs/dbraw/zinc/32/87/62/1074328762.db2.gz RDGBVPWWPZSIKJ-HALQFCHDSA-N 0 0 445.411 -0.854 20 0 IBADRN CCOC(=O)CNC(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001189939562 1074328726 /nfs/dbraw/zinc/32/87/26/1074328726.db2.gz WMSCCMYJERFHRV-STQMWFEESA-N 0 0 447.558 -0.897 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)Cn1c(Br)nc2c1c(=O)[nH]c(=O)n2C ZINC001189945539 1074328812 /nfs/dbraw/zinc/32/88/12/1074328812.db2.gz HLYVJQFILCEVGO-UHFFFAOYSA-N 0 0 429.275 -0.029 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)CCCF)C(N)=O ZINC001189945707 1074328718 /nfs/dbraw/zinc/32/87/18/1074328718.db2.gz OFPYTFGYEKACQN-STQMWFEESA-N 0 0 442.579 -0.481 20 0 IBADRN O=C(CN1C(=O)COC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001189945749 1074328836 /nfs/dbraw/zinc/32/88/36/1074328836.db2.gz JSHFSAWAHARJFI-UHFFFAOYSA-N 0 0 439.446 -0.340 20 0 IBADRN O=C(CN1C(=O)NC2(CC(O)C2)C1=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001189953902 1074328856 /nfs/dbraw/zinc/32/88/56/1074328856.db2.gz KZBUGIPDJVWNCA-UHFFFAOYSA-N 0 0 436.490 -0.894 20 0 IBADRN COc1cccc(-c2cc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)no2)c1 ZINC001189991026 1074328828 /nfs/dbraw/zinc/32/88/28/1074328828.db2.gz QPOBLBMIUHCRPP-KLICCBINSA-N 0 0 443.416 -0.219 20 0 IBADRN CC(=O)Oc1ccccc1C(=O)Oc1ccccc1C(=O)N1CN[C@H](N)[C@H]2NCN[C@@H]21 ZINC001190000202 1074328869 /nfs/dbraw/zinc/32/88/69/1074328869.db2.gz TWPYHJJPVBAMPY-CEXWTWQISA-N 0 0 425.445 -0.036 20 0 IBADRN CC(=O)Oc1ccccc1C(=O)Oc1ccccc1C(=O)N1CN[C@H](N)[C@@H]2NCN[C@H]21 ZINC001190000203 1074328772 /nfs/dbraw/zinc/32/87/72/1074328772.db2.gz TWPYHJJPVBAMPY-FHWLQOOXSA-N 0 0 425.445 -0.036 20 0 IBADRN CC(=O)Oc1ccccc1C(=O)Oc1ccccc1C(=O)N1CN[C@H](N)[C@@H]2NCN[C@@H]21 ZINC001190000204 1074328818 /nfs/dbraw/zinc/32/88/18/1074328818.db2.gz TWPYHJJPVBAMPY-GBESFXJTSA-N 0 0 425.445 -0.036 20 0 IBADRN CC(=O)Oc1ccccc1C(=O)Oc1ccccc1C(=O)N1CN[C@H](N)[C@H]2NCN[C@H]21 ZINC001190000205 1074328803 /nfs/dbraw/zinc/32/88/03/1074328803.db2.gz TWPYHJJPVBAMPY-QYZOEREBSA-N 0 0 425.445 -0.036 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(F)cc(C(F)(F)F)c1 ZINC001190001847 1074328733 /nfs/dbraw/zinc/32/87/33/1074328733.db2.gz SUBGJPKREBRRJI-UHFFFAOYSA-N 0 0 443.375 -0.082 20 0 IBADRN NS(=O)(=O)CCOCCNS(=O)(=O)c1ccc(I)cc1 ZINC001190041318 1074328754 /nfs/dbraw/zinc/32/87/54/1074328754.db2.gz AGJPDGHRSFNPLM-UHFFFAOYSA-N 0 0 434.277 -0.125 20 0 IBADRN CCc1nc(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)cs1 ZINC001190048462 1074328848 /nfs/dbraw/zinc/32/88/48/1074328848.db2.gz GNEAUOVEMCBSJY-JZUNMBGUSA-N 0 0 433.494 -0.040 20 0 IBADRN COc1nc(S(=O)(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)ccc1F ZINC001190082074 1074329261 /nfs/dbraw/zinc/32/92/61/1074329261.db2.gz HNMNSEZOVCOHOG-UHFFFAOYSA-N 0 0 428.446 -0.370 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)CCOC2CCOCC2)CC1 ZINC001190087129 1074328784 /nfs/dbraw/zinc/32/87/84/1074328784.db2.gz JKFCJPBZAZGHRS-UHFFFAOYSA-N 0 0 427.541 -0.046 20 0 IBADRN COc1cc(NC(C)=O)c(Cl)cc1S(=O)(=O)NCCNS(=O)(=O)N(C)C ZINC001190087605 1074328742 /nfs/dbraw/zinc/32/87/42/1074328742.db2.gz PPPSVRIMJIHMLT-UHFFFAOYSA-N 0 0 428.920 -0.019 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O)C(=O)OC ZINC001190124768 1074329177 /nfs/dbraw/zinc/32/91/77/1074329177.db2.gz MDUBOABJNVZBEB-KFASUDCVSA-N 0 0 438.441 -0.853 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(=O)OC ZINC001190124769 1074329117 /nfs/dbraw/zinc/32/91/17/1074329117.db2.gz MDUBOABJNVZBEB-UGAJYKSOSA-N 0 0 438.441 -0.853 20 0 IBADRN COc1nc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)ccc1F ZINC001190126501 1074329090 /nfs/dbraw/zinc/32/90/90/1074329090.db2.gz HQPFCPRGUAPRQB-DMDPSCGWSA-N 0 0 430.414 -0.573 20 0 IBADRN COc1nc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)ccc1F ZINC001190131198 1074329104 /nfs/dbraw/zinc/32/91/04/1074329104.db2.gz FRQANQPFSOOEJA-KBPBESRZSA-N 0 0 440.453 -0.919 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(=O)OC ZINC001190131271 1074329135 /nfs/dbraw/zinc/32/91/35/1074329135.db2.gz IJSXJUDRVBUYAY-ANRSYBSHSA-N 0 0 437.453 -0.248 20 0 IBADRN COc1nc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)ccc1F ZINC001190131358 1074329229 /nfs/dbraw/zinc/32/92/29/1074329229.db2.gz IHSDFPUVMPIORG-ZDUSSCGKSA-N 0 0 426.426 -0.574 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(F)c(OC)n1 ZINC001190131774 1074329244 /nfs/dbraw/zinc/32/92/44/1074329244.db2.gz LKQBCJPZLGYSLE-GUBZILKMSA-N 0 0 434.446 -0.922 20 0 IBADRN COc1nc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)ccc1F ZINC001190133061 1074329216 /nfs/dbraw/zinc/32/92/16/1074329216.db2.gz WMMQCZYABADPBD-ZDUSSCGKSA-N 0 0 426.426 -0.574 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccc(F)c(OC)n1)NC(=O)CNC(C)=O ZINC001190134180 1074329204 /nfs/dbraw/zinc/32/92/04/1074329204.db2.gz SPMOZSUOZKLJPA-ZDUSSCGKSA-N 0 0 448.473 -0.528 20 0 IBADRN COc1cc(O)c(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c(OC)c1 ZINC001190149321 1074329180 /nfs/dbraw/zinc/32/91/80/1074329180.db2.gz XQIJGIYKFIUNTB-KBPBESRZSA-N 0 0 431.449 -0.050 20 0 IBADRN CCc1n[nH]cc1C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001190149583 1074329128 /nfs/dbraw/zinc/32/91/28/1074329128.db2.gz HQWBIKKFUYWJBT-GJZGRUSLSA-N 0 0 440.570 -0.044 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(S(=O)(=O)c4ncc(F)cc4F)C3)OCC2=O)cn1 ZINC001190151973 1074329164 /nfs/dbraw/zinc/32/91/64/1074329164.db2.gz AYMOROMOZHOLLN-KRWDZBQOSA-N 0 0 443.432 -0.084 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(S(=O)(=O)c4ncc(F)cc4F)C3)OCC2=O)cn1 ZINC001190151974 1074329140 /nfs/dbraw/zinc/32/91/40/1074329140.db2.gz AYMOROMOZHOLLN-QGZVFWFLSA-N 0 0 443.432 -0.084 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1ncc(F)cc1F)CCC2 ZINC001190155611 1074329154 /nfs/dbraw/zinc/32/91/54/1074329154.db2.gz STPFCCPHHLTCJN-UHFFFAOYSA-N 0 0 443.432 -0.226 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC)C(=O)OC ZINC001190191506 1074329271 /nfs/dbraw/zinc/32/92/71/1074329271.db2.gz VGXNKGNIMFMPIB-NOLJZWGESA-N 0 0 430.502 -0.163 20 0 IBADRN Cc1nc(-c2cccnc2)sc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001190191515 1074329122 /nfs/dbraw/zinc/32/91/22/1074329122.db2.gz NGMRHKOJBNBAOF-XWXWGSFUSA-N 0 0 444.473 -0.056 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)c2cc(CN3CCOCC3)on2)C1 ZINC001190198682 1074329560 /nfs/dbraw/zinc/32/95/60/1074329560.db2.gz ICGFVSFTLWWHGK-HNNXBMFYSA-N 0 0 432.481 -0.262 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)c2cc(CN3CCOCC3)on2)C1 ZINC001190198687 1074329704 /nfs/dbraw/zinc/32/97/04/1074329704.db2.gz ICGFVSFTLWWHGK-OAHLLOKOSA-N 0 0 432.481 -0.262 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)c3cc(CN4CCOCC4)on3)C2)C1 ZINC001190200268 1074329638 /nfs/dbraw/zinc/32/96/38/1074329638.db2.gz UFOJUSUTHHRFTN-NRFANRHFSA-N 0 0 435.525 -0.193 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)c3cc(CN4CCOCC4)on3)C2)C1 ZINC001190200271 1074329659 /nfs/dbraw/zinc/32/96/59/1074329659.db2.gz UFOJUSUTHHRFTN-OAQYLSRUSA-N 0 0 435.525 -0.193 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1cc(CN3CCOCC3)on1)CC2 ZINC001190202438 1074329581 /nfs/dbraw/zinc/32/95/81/1074329581.db2.gz FINYPDZVTXFIQP-UHFFFAOYSA-N 0 0 443.504 -0.002 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cc(CN3CCOCC3)on1)C2 ZINC001190203380 1074329549 /nfs/dbraw/zinc/32/95/49/1074329549.db2.gz OATZRPBBSAMKGY-UHFFFAOYSA-N 0 0 433.469 -0.641 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)c3cc(CN4CCOCC4)on3)C[C@H]2C1 ZINC001190204437 1074329682 /nfs/dbraw/zinc/32/96/82/1074329682.db2.gz XWMHYSHCRWAADH-CABCVRRESA-N 0 0 427.527 -0.293 20 0 IBADRN COC(=O)[C@@H](NC(=O)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C(C)C ZINC001190205912 1074329510 /nfs/dbraw/zinc/32/95/10/1074329510.db2.gz UNEHSIJYMYLCMS-TUJLLHNGSA-N 0 0 441.416 -0.499 20 0 IBADRN COC(=O)[C@@H](NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1OC)C(C)C ZINC001190206992 1074329776 /nfs/dbraw/zinc/32/97/76/1074329776.db2.gz UVWRDJSDLRXZHY-RCJVZNENSA-N 0 0 438.441 -0.589 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(Cc2cccc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@@H]43)c2)CC1 ZINC001190212194 1074329761 /nfs/dbraw/zinc/32/97/61/1074329761.db2.gz XVYHXBCCXRMQAI-CEXWTWQISA-N 0 0 445.568 -0.128 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(Cc2cccc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@H]43)c2)CC1 ZINC001190212201 1074329712 /nfs/dbraw/zinc/32/97/12/1074329712.db2.gz XVYHXBCCXRMQAI-FHWLQOOXSA-N 0 0 445.568 -0.128 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(Cc2cccc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@@H]43)c2)CC1 ZINC001190212202 1074329591 /nfs/dbraw/zinc/32/95/91/1074329591.db2.gz XVYHXBCCXRMQAI-GBESFXJTSA-N 0 0 445.568 -0.128 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(Cc2cccc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@H]43)c2)CC1 ZINC001190212203 1074329691 /nfs/dbraw/zinc/32/96/91/1074329691.db2.gz XVYHXBCCXRMQAI-QYZOEREBSA-N 0 0 445.568 -0.128 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc(CN2CCOCC2)on1 ZINC001190212245 1074329785 /nfs/dbraw/zinc/32/97/85/1074329785.db2.gz KRHGKYRAZFATNN-IRXDYDNUSA-N 0 0 445.480 -0.953 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cc(CN4CCOCC4)on3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001190212747 1074330134 /nfs/dbraw/zinc/33/01/34/1074330134.db2.gz ZRKUJLPEQHLHCW-NUEKZKHPSA-N 0 0 435.437 -0.844 20 0 IBADRN COC(=O)[C@@H](NC(=O)N1CC[C@@]2(C1)CN(c1cnn(C)c1)C(=O)CN2C(C)=O)C(C)C ZINC001190215358 1074330063 /nfs/dbraw/zinc/33/00/63/1074330063.db2.gz FYVFLCYQVGCSGK-FXAWDEMLSA-N 0 0 434.497 -0.033 20 0 IBADRN COC(=O)[C@@H](NC(=O)N1CC[C@]2(C1)CN(c1cnn(C)c1)C(=O)CN2C(C)=O)C(C)C ZINC001190215359 1074330079 /nfs/dbraw/zinc/33/00/79/1074330079.db2.gz FYVFLCYQVGCSGK-PXNSSMCTSA-N 0 0 434.497 -0.033 20 0 IBADRN COC(=O)[C@@H](NC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1)C(C)C ZINC001190215644 1074330075 /nfs/dbraw/zinc/33/00/75/1074330075.db2.gz YAKKXJFBUJIEQJ-FPCVCCKLSA-N 0 0 445.538 -0.513 20 0 IBADRN COC(=O)[C@@H](NC(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1)C(C)C ZINC001190215645 1074330114 /nfs/dbraw/zinc/33/01/14/1074330114.db2.gz YAKKXJFBUJIEQJ-JJXSEGSLSA-N 0 0 445.538 -0.513 20 0 IBADRN COC(=O)[C@@H](NC(=O)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1)C(C)C ZINC001190217429 1074330018 /nfs/dbraw/zinc/33/00/18/1074330018.db2.gz SXAILIBNGQWUPQ-FMKPAKJESA-N 0 0 432.543 -0.292 20 0 IBADRN COC(=O)[C@@H](NC(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C(C)C ZINC001190222645 1074329482 /nfs/dbraw/zinc/32/94/82/1074329482.db2.gz FHKCHXRXGYZTIC-DZKIICNBSA-N 0 0 441.529 -0.510 20 0 IBADRN COc1ccc(-c2ocnc2C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001190234199 1074329750 /nfs/dbraw/zinc/32/97/50/1074329750.db2.gz BMGKFHHSPAFGQA-OZSDWXKXSA-N 0 0 443.416 -0.219 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c(OC)n1 ZINC001190244431 1074330042 /nfs/dbraw/zinc/33/00/42/1074330042.db2.gz UXFNMQDZHOCHOC-GJZGRUSLSA-N 0 0 449.508 -0.164 20 0 IBADRN COC(=O)[C@@H](NC(=O)NCCOCCOCCOCCOCCOCCO)C(C)C ZINC001190262064 1074330024 /nfs/dbraw/zinc/33/00/24/1074330024.db2.gz NUTGEGALRDWKRY-KRWDZBQOSA-N 0 0 438.518 -0.442 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)C1 ZINC001190294014 1074330465 /nfs/dbraw/zinc/33/04/65/1074330465.db2.gz PWIHNOCYSZERHQ-AVGNSLFASA-N 0 0 447.501 -0.060 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)C1 ZINC001190294015 1074330379 /nfs/dbraw/zinc/33/03/79/1074330379.db2.gz PWIHNOCYSZERHQ-RWMBFGLXSA-N 0 0 447.501 -0.060 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)C1 ZINC001190294016 1074330505 /nfs/dbraw/zinc/33/05/05/1074330505.db2.gz PWIHNOCYSZERHQ-XQQFMLRXSA-N 0 0 447.501 -0.060 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)C1 ZINC001190294017 1074330539 /nfs/dbraw/zinc/33/05/39/1074330539.db2.gz PWIHNOCYSZERHQ-YNEHKIRRSA-N 0 0 447.501 -0.060 20 0 IBADRN CCS(=O)(=O)C1CN(S(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)C1 ZINC001190302036 1074330037 /nfs/dbraw/zinc/33/00/37/1074330037.db2.gz CIVNZHINEKRHHY-UHFFFAOYSA-N 0 0 428.529 -0.105 20 0 IBADRN COc1cc(OC)cc(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001190302086 1074330122 /nfs/dbraw/zinc/33/01/22/1074330122.db2.gz CXAXVZCNXRGQCD-UHFFFAOYSA-N 0 0 441.553 -0.018 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)c2cnn(CC3CC3)c2)CC1 ZINC001190302423 1074330058 /nfs/dbraw/zinc/33/00/58/1074330058.db2.gz FQNBTWMZBMPLTO-UHFFFAOYSA-N 0 0 425.558 -0.428 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001190302491 1074330007 /nfs/dbraw/zinc/33/00/07/1074330007.db2.gz ILLYFTBMFWHERG-UHFFFAOYSA-N 0 0 439.537 -0.248 20 0 IBADRN Cn1ncc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)cnc21 ZINC001190302736 1074330015 /nfs/dbraw/zinc/33/00/15/1074330015.db2.gz JWZMXDXBFLSZEP-UHFFFAOYSA-N 0 0 436.541 -0.753 20 0 IBADRN CC(C)O[C@@]1(CS(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CCOC1 ZINC001190302757 1074330071 /nfs/dbraw/zinc/33/00/71/1074330071.db2.gz KGNGIJGDTIFZBT-AWEZNQCLSA-N 0 0 447.601 -0.510 20 0 IBADRN CC(C)O[C@]1(CS(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CCOC1 ZINC001190302759 1074330073 /nfs/dbraw/zinc/33/00/73/1074330073.db2.gz KGNGIJGDTIFZBT-CQSZACIVSA-N 0 0 447.601 -0.510 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)ccc3c2)CC1 ZINC001190303250 1074330045 /nfs/dbraw/zinc/33/00/45/1074330045.db2.gz NDBCSXZZOVMDSL-UHFFFAOYSA-N 0 0 448.548 -0.193 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nc1 ZINC001190314539 1074330456 /nfs/dbraw/zinc/33/04/56/1074330456.db2.gz DZXPHPHQUMCWRT-HOTGVXAUSA-N 0 0 447.492 -0.395 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(C(F)(F)F)ccc1F ZINC001190339948 1074330436 /nfs/dbraw/zinc/33/04/36/1074330436.db2.gz IMJUHAWZKSBLFV-UHFFFAOYSA-N 0 0 443.375 -0.082 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2csc(-c3ccncc3)n2)c(=O)n1 ZINC001190344193 1074330305 /nfs/dbraw/zinc/33/03/05/1074330305.db2.gz IHWXMARYURBGBY-LSCFUAHRSA-N 0 0 430.446 -0.364 20 0 IBADRN C[C@H](NC(=O)CN1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)C(=O)N1CCCCC1 ZINC001190347845 1074330320 /nfs/dbraw/zinc/33/03/20/1074330320.db2.gz FYSJEMGBDONHFA-INIZCTEOSA-N 0 0 435.569 -0.061 20 0 IBADRN C[C@@H](NC(=O)CN1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)C(=O)N1CCCCC1 ZINC001190347855 1074330473 /nfs/dbraw/zinc/33/04/73/1074330473.db2.gz FYSJEMGBDONHFA-MRXNPFEDSA-N 0 0 435.569 -0.061 20 0 IBADRN Cn1cc(Br)cc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001190362166 1074330358 /nfs/dbraw/zinc/33/03/58/1074330358.db2.gz OYHGJGDJCAFFBT-XIDUGBJDSA-N 0 0 428.243 -0.782 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@]2(O)CCS(=O)(=O)C2)c(Br)o1 ZINC001190372325 1074330550 /nfs/dbraw/zinc/33/05/50/1074330550.db2.gz JIGHJGCHWXGYGG-LLVKDONJSA-N 0 0 432.270 -0.343 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@@]2(O)CCS(=O)(=O)C2)c(Br)o1 ZINC001190372330 1074330404 /nfs/dbraw/zinc/33/04/04/1074330404.db2.gz JIGHJGCHWXGYGG-NSHDSACASA-N 0 0 432.270 -0.343 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001190373819 1074330517 /nfs/dbraw/zinc/33/05/17/1074330517.db2.gz XUKIXMYYLXVFBA-GFCCVEGCSA-N 0 0 439.313 -0.372 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001190373822 1074330388 /nfs/dbraw/zinc/33/03/88/1074330388.db2.gz XUKIXMYYLXVFBA-LBPRGKRZSA-N 0 0 439.313 -0.372 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2C[C@H]3CC[C@@H](C2)O3)cc1[C@@]1(C)NC(=O)NC1=O ZINC001190383474 1074330571 /nfs/dbraw/zinc/33/05/71/1074330571.db2.gz LNOOPZIDWOKKQU-QHRIQVFBSA-N 0 0 438.506 -0.109 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2C[C@H]3CC[C@@H](C2)O3)cc1[C@]1(C)NC(=O)NC1=O ZINC001190383476 1074330347 /nfs/dbraw/zinc/33/03/47/1074330347.db2.gz LNOOPZIDWOKKQU-QUJCMNEKSA-N 0 0 438.506 -0.109 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001190394609 1074330339 /nfs/dbraw/zinc/33/03/39/1074330339.db2.gz UNQJCGHSBRXLGI-CABCVRRESA-N 0 0 440.588 -0.197 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001190394610 1074330496 /nfs/dbraw/zinc/33/04/96/1074330496.db2.gz UNQJCGHSBRXLGI-GJZGRUSLSA-N 0 0 440.588 -0.197 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001190394611 1074330488 /nfs/dbraw/zinc/33/04/88/1074330488.db2.gz UNQJCGHSBRXLGI-HUUCEWRRSA-N 0 0 440.588 -0.197 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001190394612 1074330449 /nfs/dbraw/zinc/33/04/49/1074330449.db2.gz UNQJCGHSBRXLGI-LSDHHAIUSA-N 0 0 440.588 -0.197 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(N3CCCCC3)nc2)c(=O)n1 ZINC001190419859 1074330745 /nfs/dbraw/zinc/33/07/45/1074330745.db2.gz QJAJDDNTSYOQQU-VVHMCBODSA-N 0 0 430.465 -0.497 20 0 IBADRN Cn1cc(I)c(CNS(=O)(=O)CCCCS(N)(=O)=O)n1 ZINC001190419894 1074330870 /nfs/dbraw/zinc/33/08/70/1074330870.db2.gz CTJSQUAFQLHQMQ-UHFFFAOYSA-N 0 0 436.297 -0.487 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCc1nn(C)cc1I ZINC001190421394 1074330803 /nfs/dbraw/zinc/33/08/03/1074330803.db2.gz XTKIXSBZCUICSI-UHFFFAOYSA-N 0 0 432.284 -0.046 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(S(=O)(=O)C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001190429920 1074330842 /nfs/dbraw/zinc/33/08/42/1074330842.db2.gz YAWHCSXPBREAMU-OZAJXLCCSA-N 0 0 429.582 -0.083 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(S(=O)(=O)C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001190429921 1074330758 /nfs/dbraw/zinc/33/07/58/1074330758.db2.gz YAWHCSXPBREAMU-RKNYENMMSA-N 0 0 429.582 -0.083 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(S(=O)(=O)C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001190429922 1074330837 /nfs/dbraw/zinc/33/08/37/1074330837.db2.gz YAWHCSXPBREAMU-RZFZLAGVSA-N 0 0 429.582 -0.083 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(S(=O)(=O)C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001190429923 1074330735 /nfs/dbraw/zinc/33/07/35/1074330735.db2.gz YAWHCSXPBREAMU-YEBMWUKDSA-N 0 0 429.582 -0.083 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC(C3CS(=O)(=O)C3)C2)s1 ZINC001190444929 1074330791 /nfs/dbraw/zinc/33/07/91/1074330791.db2.gz AKODHIBSKMXFBY-UHFFFAOYSA-N 0 0 442.606 -0.105 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC(C3CS(=O)(=O)C3)C2)cc1C(=O)NCC(F)(F)F ZINC001190445487 1074330864 /nfs/dbraw/zinc/33/08/64/1074330864.db2.gz GIDDTCUGUFQEPK-UHFFFAOYSA-N 0 0 429.442 -0.018 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CCO[C@@]2(C1)COCCN(C(C)=O)C2)C(=O)OCC ZINC001190450693 1074330885 /nfs/dbraw/zinc/33/08/85/1074330885.db2.gz GWINVPDPDSAECK-JXFKEZNVSA-N 0 0 443.497 -0.079 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CCO[C@]2(C1)COCCN(C(C)=O)C2)C(=O)OCC ZINC001190450695 1074330717 /nfs/dbraw/zinc/33/07/17/1074330717.db2.gz GWINVPDPDSAECK-OXJNMPFZSA-N 0 0 443.497 -0.079 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CC[C@H]2O[C@H](C(=O)NCCOC)C[C@H]21)C(=O)OCC ZINC001190450999 1074330777 /nfs/dbraw/zinc/33/07/77/1074330777.db2.gz LZCCEBLFWMCHHO-JJXSEGSLSA-N 0 0 443.497 -0.035 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CC[C@]2(C1)CNC(=O)CN2C(C)=O)C(=O)OCC ZINC001190451466 1074330830 /nfs/dbraw/zinc/33/08/30/1074330830.db2.gz CEWZKGBYTRCZKE-IFXJQAMLSA-N 0 0 426.470 -0.606 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O)C(=O)OCC ZINC001190451468 1074330877 /nfs/dbraw/zinc/33/08/77/1074330877.db2.gz CEWZKGBYTRCZKE-LIRRHRJNSA-N 0 0 426.470 -0.606 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1C[C@@H]2[C@H](C1)OCCN2CC(=O)NC)C(=O)OCC ZINC001190451470 1074330823 /nfs/dbraw/zinc/33/08/23/1074330823.db2.gz CPWPORARGAFKHH-ZNMIVQPWSA-N 0 0 428.486 -0.898 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1C[C@@H](OCC(=O)N(C)C)[C@H]2COC[C@H]21)C(=O)OCC ZINC001190451739 1074330895 /nfs/dbraw/zinc/33/08/95/1074330895.db2.gz WYLFNKYNEQIDBX-CAOSSQGBSA-N 0 0 443.497 -0.225 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CC[C@H]2[C@@H]1CC(=O)N2CC(=O)N(C)C)C(=O)OCC ZINC001190451985 1074331039 /nfs/dbraw/zinc/33/10/39/1074331039.db2.gz LKBTZPACVZLROJ-KKUMJFAQSA-N 0 0 440.497 -0.266 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CCOC[C@]2(CN(C(C)=O)CCO2)C1)C(=O)OCC ZINC001190453199 1074331191 /nfs/dbraw/zinc/33/11/91/1074331191.db2.gz XLZIDLYPPIEIBU-JXFKEZNVSA-N 0 0 443.497 -0.079 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CCOC[C@@]2(CN(C(C)=O)CCO2)C1)C(=O)OCC ZINC001190453202 1074331060 /nfs/dbraw/zinc/33/10/60/1074331060.db2.gz XLZIDLYPPIEIBU-OXJNMPFZSA-N 0 0 443.497 -0.079 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CCN(CCN2CCOCC2)CC1)C(=O)OCC ZINC001190463390 1074331169 /nfs/dbraw/zinc/33/11/69/1074331169.db2.gz ZMTWOPFJGDFAAJ-KRWDZBQOSA-N 0 0 428.530 -0.079 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001190463850 1074331111 /nfs/dbraw/zinc/33/11/11/1074331111.db2.gz BMIQMZURYXLHCK-GFCCVEGCSA-N 0 0 425.554 -0.167 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001190463857 1074331225 /nfs/dbraw/zinc/33/12/25/1074331225.db2.gz BMIQMZURYXLHCK-LBPRGKRZSA-N 0 0 425.554 -0.167 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CC2(C1)[C@@H](C(=O)OC)CCS2(=O)=O)C(=O)OCC ZINC001190465753 1074331092 /nfs/dbraw/zinc/33/10/92/1074331092.db2.gz ZOMBSVUFQSXUGU-OLZOCXBDSA-N 0 0 448.494 -0.367 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)N1CC2(C1)[C@H](C(=O)OC)CCS2(=O)=O)C(=O)OCC ZINC001190465754 1074331232 /nfs/dbraw/zinc/33/12/32/1074331232.db2.gz ZOMBSVUFQSXUGU-STQMWFEESA-N 0 0 448.494 -0.367 20 0 IBADRN CCOc1ncc(Br)cc1C(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001190469804 1074331101 /nfs/dbraw/zinc/33/11/01/1074331101.db2.gz LUDLMXFUMJCDHM-LRMZEGNFSA-N 0 0 435.271 -0.183 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NCCS(=O)(=O)C1CCOCC1)OCC(=O)N2 ZINC001190482947 1074330891 /nfs/dbraw/zinc/33/08/91/1074330891.db2.gz DMKNADUURXVKNR-UHFFFAOYSA-N 0 0 434.492 -0.102 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(=O)OCC ZINC001190487562 1074330768 /nfs/dbraw/zinc/33/07/68/1074330768.db2.gz GOCQXOYBAZZCCA-AWEZNQCLSA-N 0 0 436.531 -0.862 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(OC3COC3)c(F)c2)c(=O)n1 ZINC001190489964 1074331667 /nfs/dbraw/zinc/33/16/67/1074331667.db2.gz CDOGACHSWWFLDS-GFOCRRMGSA-N 0 0 436.396 -0.966 20 0 IBADRN CCOC(=O)CC[C@H](NC(=O)NCCCC[C@H](NC(C)=O)C(=O)NC)C(=O)OCC ZINC001190496108 1074331605 /nfs/dbraw/zinc/33/16/05/1074331605.db2.gz KZXCARIBQHNAKT-GJZGRUSLSA-N 0 0 430.502 -0.018 20 0 IBADRN Cc1nc(C(F)(F)F)c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)s1 ZINC001190503366 1074331157 /nfs/dbraw/zinc/33/11/57/1074331157.db2.gz XDVDFVGDVYDGPJ-HTVVRFAVSA-N 0 0 435.384 -0.099 20 0 IBADRN COC(=O)[C@H](C)NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@H]2OC(C)(C)O[C@H]21 ZINC001190506905 1074331051 /nfs/dbraw/zinc/33/10/51/1074331051.db2.gz VFXAUEFLOMLQCN-OPYVMVOTSA-N 0 0 436.425 -0.081 20 0 IBADRN COC(=O)[C@H](C)NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CSC)[C@@H](O)[C@H]1O ZINC001190512890 1074331135 /nfs/dbraw/zinc/33/11/35/1074331135.db2.gz LEYNKALWXKOUCT-TWBCTODHSA-N 0 0 426.455 -0.509 20 0 IBADRN COC(=O)[C@H](C)NC(=O)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1F ZINC001190512951 1074331236 /nfs/dbraw/zinc/33/12/36/1074331236.db2.gz OHXLWXXPQFPUIU-QGHWCFBBSA-N 0 0 432.796 -0.249 20 0 IBADRN COC(=O)[C@H](C)NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H]2OC(C)(C)O[C@H]12 ZINC001190513346 1074331083 /nfs/dbraw/zinc/33/10/83/1074331083.db2.gz VFXAUEFLOMLQCN-IUMFYYPCSA-N 0 0 436.425 -0.081 20 0 IBADRN COC(=O)[C@H](C)NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H]2OC(C)(C)O[C@@H]12 ZINC001190513348 1074331207 /nfs/dbraw/zinc/33/12/07/1074331207.db2.gz VFXAUEFLOMLQCN-IXCNWUDHSA-N 0 0 436.425 -0.081 20 0 IBADRN COC(=O)[C@H](C)NC(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001190521409 1074331198 /nfs/dbraw/zinc/33/11/98/1074331198.db2.gz RRSLFCGBBAWBJJ-FPMFFAJLSA-N 0 0 439.494 -0.720 20 0 IBADRN COC(=O)[C@H](C)NC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001190521909 1074331127 /nfs/dbraw/zinc/33/11/27/1074331127.db2.gz WEFXAMLHNNULOV-SCLBCKFNSA-N 0 0 430.527 -0.537 20 0 IBADRN COC(=O)[C@H](C)NC(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001190521932 1074331146 /nfs/dbraw/zinc/33/11/46/1074331146.db2.gz WEFXAMLHNNULOV-UGSOOPFHSA-N 0 0 430.527 -0.537 20 0 IBADRN O=C1NC(=O)[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)NCC(F)(F)F)cc3)C[C@H]12 ZINC001190530773 1074331419 /nfs/dbraw/zinc/33/14/19/1074331419.db2.gz DCGOKWJFUNPBJV-PHIMTYICSA-N 0 0 441.409 -0.580 20 0 IBADRN COC(=O)[C@H](C)NC(=O)N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001190532173 1074331615 /nfs/dbraw/zinc/33/16/15/1074331615.db2.gz ONCLKZDFVROOTR-LBPRGKRZSA-N 0 0 431.453 -0.616 20 0 IBADRN COC(=O)[C@H](C)NC(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001190537799 1074331481 /nfs/dbraw/zinc/33/14/81/1074331481.db2.gz BVNTYCRLTFRHCY-NSHDSACASA-N 0 0 440.482 -0.134 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(S(=O)(=O)c3cc(Cl)ccc3C(=O)OC)C[C@H]21 ZINC001190556125 1074331636 /nfs/dbraw/zinc/33/16/36/1074331636.db2.gz NPBPHOSDJRHTJP-KGLIPLIRSA-N 0 0 431.898 -0.054 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cc1 ZINC001190569240 1074331407 /nfs/dbraw/zinc/33/14/07/1074331407.db2.gz OPKGYVHEUFPNNR-UHFFFAOYSA-N 0 0 440.569 -0.856 20 0 IBADRN COC(=O)[C@H](C)NC(=O)N[C@H]1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)C1 ZINC001190570922 1074331624 /nfs/dbraw/zinc/33/16/24/1074331624.db2.gz DUFVTRHXHBXREI-QWRGUYRKSA-N 0 0 440.482 -0.088 20 0 IBADRN COC(=O)[C@H](C)NC(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001190574980 1074331546 /nfs/dbraw/zinc/33/15/46/1074331546.db2.gz OVOBOSCUJZFMIH-AVGNSLFASA-N 0 0 447.558 -0.899 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(Cl)ccc1C(=O)OC ZINC001190594001 1074331556 /nfs/dbraw/zinc/33/15/56/1074331556.db2.gz UGVFVOQNZJSHDT-UHFFFAOYSA-N 0 0 449.869 -0.800 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@@H]2CCN3CCO[C@H]2C3)cc1[C@]1(C)NC(=O)NC1=O ZINC001190603815 1074331895 /nfs/dbraw/zinc/33/18/95/1074331895.db2.gz OXYASEDMZREQKX-ALKREAHSSA-N 0 0 438.506 -0.157 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@H]2CCN3CCO[C@H]2C3)cc1[C@]1(C)NC(=O)NC1=O ZINC001190603818 1074332069 /nfs/dbraw/zinc/33/20/69/1074332069.db2.gz OXYASEDMZREQKX-QOKNQOGYSA-N 0 0 438.506 -0.157 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@@H]2CCN3CCO[C@H]2C3)cc1[C@@]1(C)NC(=O)NC1=O ZINC001190603821 1074331872 /nfs/dbraw/zinc/33/18/72/1074331872.db2.gz OXYASEDMZREQKX-SIXWZSSISA-N 0 0 438.506 -0.157 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)[C@H]2CCN3CCO[C@H]2C3)cc1[C@@]1(C)NC(=O)NC1=O ZINC001190603824 1074331803 /nfs/dbraw/zinc/33/18/03/1074331803.db2.gz OXYASEDMZREQKX-URLQWDBASA-N 0 0 438.506 -0.157 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)c1cc(I)c[nH]c1=O)C2 ZINC001190604135 1074331922 /nfs/dbraw/zinc/33/19/22/1074331922.db2.gz ATORIBJONDYOPO-HNNXBMFYSA-N 0 0 444.229 -0.045 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)c1cc(I)c[nH]c1=O)C2 ZINC001190604136 1074331810 /nfs/dbraw/zinc/33/18/10/1074331810.db2.gz ATORIBJONDYOPO-OAHLLOKOSA-N 0 0 444.229 -0.045 20 0 IBADRN COC(=O)c1ccc(Cl)cc1S(=O)(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001190608133 1074331964 /nfs/dbraw/zinc/33/19/64/1074331964.db2.gz JRNJWMAPYWSRQD-RGPMDHNWSA-N 0 0 439.870 -0.751 20 0 IBADRN CCOc1cc(F)c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(F)c1 ZINC001190622953 1074331676 /nfs/dbraw/zinc/33/16/76/1074331676.db2.gz KFABWJMJDRNLQC-BNGXUDDSSA-N 0 0 426.376 -0.206 20 0 IBADRN CS(=O)(=O)C[C@H]1CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001190623115 1074331457 /nfs/dbraw/zinc/33/14/57/1074331457.db2.gz WUHUXCIHGWTPIX-AWEZNQCLSA-N 0 0 444.531 -0.005 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001190623117 1074331504 /nfs/dbraw/zinc/33/15/04/1074331504.db2.gz WUHUXCIHGWTPIX-CQSZACIVSA-N 0 0 444.531 -0.005 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnc(-c4ccccn4)[nH]c3=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001190637331 1074331845 /nfs/dbraw/zinc/33/18/45/1074331845.db2.gz FGAFKDJBCSHBOT-RRFJBIMHSA-N 0 0 440.416 -0.107 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001190663756 1074332079 /nfs/dbraw/zinc/33/20/79/1074332079.db2.gz NWQYPMAHELRWFT-GFCCVEGCSA-N 0 0 425.554 -0.623 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001190663759 1074331982 /nfs/dbraw/zinc/33/19/82/1074331982.db2.gz NWQYPMAHELRWFT-LBPRGKRZSA-N 0 0 425.554 -0.623 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2csc(N3CCCCC3)n2)c(=O)n1 ZINC001190673383 1074332502 /nfs/dbraw/zinc/33/25/02/1074332502.db2.gz NXPZDSRXAMGLLO-XKVFNRALSA-N 0 0 436.494 -0.436 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)c1Br ZINC001190678654 1074332232 /nfs/dbraw/zinc/33/22/32/1074332232.db2.gz FAIQXUUAGMFWRU-UHFFFAOYSA-N 0 0 435.347 -0.386 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CS(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)C1 ZINC001190678711 1074332439 /nfs/dbraw/zinc/33/24/39/1074332439.db2.gz GISZROZKBNUDJY-UHFFFAOYSA-N 0 0 445.585 -0.074 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)[C@]2(CO)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001190714647 1074332459 /nfs/dbraw/zinc/33/24/59/1074332459.db2.gz DXSQWSCURQEKQM-KRWDZBQOSA-N 0 0 434.536 -0.212 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)[C@@]2(CO)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001190714648 1074332406 /nfs/dbraw/zinc/33/24/06/1074332406.db2.gz DXSQWSCURQEKQM-QGZVFWFLSA-N 0 0 434.536 -0.212 20 0 IBADRN CN(C)c1cncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001190721922 1074332264 /nfs/dbraw/zinc/33/22/64/1074332264.db2.gz BHXAFIFZGUSSCT-IIAWOOMASA-N 0 0 437.522 -0.723 20 0 IBADRN CN(C)c1cncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001190721926 1074332483 /nfs/dbraw/zinc/33/24/83/1074332483.db2.gz BHXAFIFZGUSSCT-SQWLQELKSA-N 0 0 437.522 -0.723 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cncc(N(C)C)n1)C(=O)NCC(N)=O ZINC001190723454 1074332469 /nfs/dbraw/zinc/33/24/69/1074332469.db2.gz HUUMDIRMQRONJL-ZFWWWQNUSA-N 0 0 433.513 -0.720 20 0 IBADRN CN(CCN(C)S(=O)(=O)C1CCS(=O)(=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001190725670 1074332294 /nfs/dbraw/zinc/33/22/94/1074332294.db2.gz TXXCOAWCTYUBLH-UHFFFAOYSA-N 0 0 439.581 -0.391 20 0 IBADRN CN(C)c1cncc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)n1 ZINC001190726821 1074332342 /nfs/dbraw/zinc/33/23/42/1074332342.db2.gz GRAFZSJQYOSJMS-UHFFFAOYSA-N 0 0 434.887 -0.257 20 0 IBADRN CCOc1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(F)c1F ZINC001190731158 1074332827 /nfs/dbraw/zinc/33/28/27/1074332827.db2.gz ZERJTWXCMBDBIO-GWBBYGMBSA-N 0 0 426.376 -0.206 20 0 IBADRN COC[C@@H](C(N)=O)N(C)S(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001190732554 1074332896 /nfs/dbraw/zinc/33/28/96/1074332896.db2.gz CKFFOIAWXPQWJP-CVEARBPZSA-N 0 0 429.495 -0.214 20 0 IBADRN COC[C@@H](C(N)=O)N(C)S(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001190732555 1074332669 /nfs/dbraw/zinc/33/26/69/1074332669.db2.gz CKFFOIAWXPQWJP-HOTGVXAUSA-N 0 0 429.495 -0.214 20 0 IBADRN CN(C)c1cncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)n1 ZINC001190732683 1074332816 /nfs/dbraw/zinc/33/28/16/1074332816.db2.gz ZBXWQSJWHQJUIT-CFLZNVQHSA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1cncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)n1 ZINC001190732684 1074332753 /nfs/dbraw/zinc/33/27/53/1074332753.db2.gz ZBXWQSJWHQJUIT-LVJIXASFSA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1cncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)n1 ZINC001190732685 1074332883 /nfs/dbraw/zinc/33/28/83/1074332883.db2.gz ZBXWQSJWHQJUIT-UNMDQXAASA-N 0 0 436.490 -0.313 20 0 IBADRN CN(C)c1cncc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)n1 ZINC001190732686 1074332779 /nfs/dbraw/zinc/33/27/79/1074332779.db2.gz ZBXWQSJWHQJUIT-VGYBTVITSA-N 0 0 436.490 -0.313 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=O)c2cncc(N3CCOCC3)n2)[C@@H]2CCCO[C@@H]21 ZINC001190763769 1074332643 /nfs/dbraw/zinc/33/26/43/1074332643.db2.gz BLWFDXXTUCKDJW-LZLYRXPVSA-N 0 0 441.492 -0.151 20 0 IBADRN Cn1cccc1C(=O)N[C@@H]1CN(C(=O)c2cncc(N3CCOCC3)n2)[C@@H]2COC[C@H]12 ZINC001190763770 1074332792 /nfs/dbraw/zinc/33/27/92/1074332792.db2.gz BNGVHNROPUBVQW-QGPMSJSTSA-N 0 0 426.477 -0.079 20 0 IBADRN O=C(c1cncc(N2CCOCC2)n1)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001190763842 1074332806 /nfs/dbraw/zinc/33/28/06/1074332806.db2.gz FDMMMXHYXRIAMF-NRFANRHFSA-N 0 0 441.492 -0.149 20 0 IBADRN O=C(c1cncc(N2CCOCC2)n1)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001190763843 1074332711 /nfs/dbraw/zinc/33/27/11/1074332711.db2.gz FDMMMXHYXRIAMF-OAQYLSRUSA-N 0 0 441.492 -0.149 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)c2cncc(N3CCOCC3)n2)C1 ZINC001190763909 1074332838 /nfs/dbraw/zinc/33/28/38/1074332838.db2.gz GFESDOCLMRQIQU-HNNXBMFYSA-N 0 0 429.481 -0.456 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)c2cncc(N3CCOCC3)n2)C1 ZINC001190763910 1074332656 /nfs/dbraw/zinc/33/26/56/1074332656.db2.gz GFESDOCLMRQIQU-OAHLLOKOSA-N 0 0 429.481 -0.456 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)c3cncc(N4CCOCC4)n3)C2)C1 ZINC001190764443 1074332849 /nfs/dbraw/zinc/33/28/49/1074332849.db2.gz MDIPURJMBSSTHB-NRFANRHFSA-N 0 0 432.525 -0.386 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)c3cncc(N4CCOCC4)n3)C2)C1 ZINC001190764447 1074332915 /nfs/dbraw/zinc/33/29/15/1074332915.db2.gz MDIPURJMBSSTHB-OAQYLSRUSA-N 0 0 432.525 -0.386 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cncc(N3CCOCC3)n1)C2 ZINC001190764664 1074332904 /nfs/dbraw/zinc/33/29/04/1074332904.db2.gz NNBTZSRSZLMMHM-UHFFFAOYSA-N 0 0 430.469 -0.835 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)c3cncc(N4CCOCC4)n3)C2)C1=O ZINC001190765166 1074332767 /nfs/dbraw/zinc/33/27/67/1074332767.db2.gz RXYAZFXKLSDTQA-NRFANRHFSA-N 0 0 430.509 -0.144 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)c3cncc(N4CCOCC4)n3)C2)C1=O ZINC001190765167 1074333107 /nfs/dbraw/zinc/33/31/07/1074333107.db2.gz RXYAZFXKLSDTQA-OAQYLSRUSA-N 0 0 430.509 -0.144 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1cncc(N3CCOCC3)n1)CC2 ZINC001190765307 1074333171 /nfs/dbraw/zinc/33/31/71/1074333171.db2.gz UEFMQFJLRRBWQP-UHFFFAOYSA-N 0 0 440.504 -0.196 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=O)c3cncc(N4CCOCC4)n3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001190765725 1074333163 /nfs/dbraw/zinc/33/31/63/1074333163.db2.gz ZPQYIKGYUAESPE-YQVWRLOYSA-N 0 0 441.492 -0.151 20 0 IBADRN O=C(C[C@@H]1COC[C@H]2CN(C(=O)c3cncc(N4CCOCC4)n3)C[C@H]21)N1CCOCC1 ZINC001190765739 1074333148 /nfs/dbraw/zinc/33/31/48/1074333148.db2.gz ZVXQCICPHFEJHV-KURKYZTESA-N 0 0 445.520 -0.103 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001190771217 1074333102 /nfs/dbraw/zinc/33/31/02/1074333102.db2.gz NFRUTRZBKMZXGR-UHFFFAOYSA-N 0 0 426.561 -0.203 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001190774364 1074333154 /nfs/dbraw/zinc/33/31/54/1074333154.db2.gz UDJTYZOSLLWLFB-UOYPZJKHSA-N 0 0 438.441 -0.853 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001190774366 1074333230 /nfs/dbraw/zinc/33/32/30/1074333230.db2.gz UDJTYZOSLLWLFB-VHCUGGNSSA-N 0 0 438.441 -0.853 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001190781154 1074333116 /nfs/dbraw/zinc/33/31/16/1074333116.db2.gz QPMYBDNUOYJAQY-BAHXQUAYSA-N 0 0 437.453 -0.248 20 0 IBADRN COC(=O)[C@H](CCCCNC(=O)N[C@@H](CC(C)C)C(=O)OC)NC(=O)CNC(C)=O ZINC001190843785 1074333121 /nfs/dbraw/zinc/33/31/21/1074333121.db2.gz CDZUWXUVYUOFIB-GJZGRUSLSA-N 0 0 430.502 -0.163 20 0 IBADRN CC1(c2cccc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)n2)OCCO1 ZINC001190860375 1074333499 /nfs/dbraw/zinc/33/34/99/1074333499.db2.gz MKPZGBAOKWMWCH-HOTGVXAUSA-N 0 0 442.476 -0.158 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cccc(C4(C)OCCO4)n3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001190861677 1074333712 /nfs/dbraw/zinc/33/37/12/1074333712.db2.gz YLPQPNPCYLYQHA-SQWLQELKSA-N 0 0 432.433 -0.050 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2ccncc2)CC3)C1=O ZINC001190864934 1074333438 /nfs/dbraw/zinc/33/34/38/1074333438.db2.gz RMZDXAOJSQCBKG-GOSISDBHSA-N 0 0 442.563 -0.024 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2ccncc2)CC3)C1=O ZINC001190864935 1074333614 /nfs/dbraw/zinc/33/36/14/1074333614.db2.gz RMZDXAOJSQCBKG-SFHVURJKSA-N 0 0 442.563 -0.024 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3ccncc3)C[C@@H]21)N1CCOCC1 ZINC001190868820 1074333560 /nfs/dbraw/zinc/33/35/60/1074333560.db2.gz YRVVRHWZZIANSV-ARFHVFGLSA-N 0 0 429.520 -0.636 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3ccncc3)C[C@@H]21)N1CCOCC1 ZINC001190868822 1074333623 /nfs/dbraw/zinc/33/36/23/1074333623.db2.gz YRVVRHWZZIANSV-BZUAXINKSA-N 0 0 429.520 -0.636 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001190872298 1074333449 /nfs/dbraw/zinc/33/34/49/1074333449.db2.gz IPMKQTWJSKKLIP-INIZCTEOSA-N 0 0 443.614 -0.409 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001190872299 1074333684 /nfs/dbraw/zinc/33/36/84/1074333684.db2.gz IPMKQTWJSKKLIP-MRXNPFEDSA-N 0 0 443.614 -0.409 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1ccncc1)CCC2 ZINC001190875331 1074333691 /nfs/dbraw/zinc/33/36/91/1074333691.db2.gz WIHKPZLNYCIILL-UHFFFAOYSA-N 0 0 443.489 -0.104 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccncc1)C(=O)NCC(N)=O ZINC001190877294 1074333570 /nfs/dbraw/zinc/33/35/70/1074333570.db2.gz RZBSIPKZRPWMJQ-GJZGRUSLSA-N 0 0 425.511 -0.633 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnc(-c4ncccn4)nc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001190900175 1074333219 /nfs/dbraw/zinc/33/32/19/1074333219.db2.gz ARTMKDZPQKVSOM-BFHYXJOUSA-N 0 0 425.405 -0.417 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc(-c2ncccn2)nc1 ZINC001190902631 1074333392 /nfs/dbraw/zinc/33/33/92/1074333392.db2.gz COHPDXNIGRAGCP-GJZGRUSLSA-N 0 0 435.448 -0.526 20 0 IBADRN O=S(=O)(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1ccncc1 ZINC001190903600 1074334060 /nfs/dbraw/zinc/33/40/60/1074334060.db2.gz HVKZMRLEXNYHQE-ALYAQQCSSA-N 0 0 428.488 -0.226 20 0 IBADRN O=S(=O)(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1ccncc1 ZINC001190903601 1074333970 /nfs/dbraw/zinc/33/39/70/1074333970.db2.gz HVKZMRLEXNYHQE-HMDCTGQHSA-N 0 0 428.488 -0.226 20 0 IBADRN O=S(=O)(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1ccncc1 ZINC001190903602 1074333989 /nfs/dbraw/zinc/33/39/89/1074333989.db2.gz HVKZMRLEXNYHQE-JJTUDDRGSA-N 0 0 428.488 -0.226 20 0 IBADRN O=S(=O)(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1ccncc1 ZINC001190903603 1074334105 /nfs/dbraw/zinc/33/41/05/1074334105.db2.gz HVKZMRLEXNYHQE-XAJHFOFHSA-N 0 0 428.488 -0.226 20 0 IBADRN CCOC(=O)c1nc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cs1 ZINC001190961246 1074333915 /nfs/dbraw/zinc/33/39/15/1074333915.db2.gz VIJRUEITGYZHQS-AAEUAGOBSA-N 0 0 434.478 -0.140 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N[C@@H]1CN(c2ccc(Br)cc2)C1=O ZINC001190985055 1074334027 /nfs/dbraw/zinc/33/40/27/1074334027.db2.gz WIEXHEPWLPAZIR-GFCCVEGCSA-N 0 0 440.341 -0.025 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N[C@H]1CN(c2ccc(Br)cc2)C1=O ZINC001190985058 1074334077 /nfs/dbraw/zinc/33/40/77/1074334077.db2.gz WIEXHEPWLPAZIR-LBPRGKRZSA-N 0 0 440.341 -0.025 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2c[nH]c(=O)n2C)CC3)C1=O ZINC001190986884 1074334089 /nfs/dbraw/zinc/33/40/89/1074334089.db2.gz ACRGZHBISSKYPM-GOSISDBHSA-N 0 0 425.511 -0.528 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2c[nH]c(=O)n2C)CC3)C1=O ZINC001190986885 1074334135 /nfs/dbraw/zinc/33/41/35/1074334135.db2.gz ACRGZHBISSKYPM-SFHVURJKSA-N 0 0 425.511 -0.528 20 0 IBADRN Cn1c(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)c[nH]c1=O ZINC001190987995 1074334017 /nfs/dbraw/zinc/33/40/17/1074334017.db2.gz SDSZRXQHHBSGOR-GXTWGEPZSA-N 0 0 434.478 -0.711 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3c[nH]c(=O)n3C)CC2)c2nonc21 ZINC001190991741 1074334048 /nfs/dbraw/zinc/33/40/48/1074334048.db2.gz FJFOWFLMWHUHDY-UHFFFAOYSA-N 0 0 435.466 -0.125 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1c[nH]c(=O)n1C)C(N)=O ZINC001191002770 1074333889 /nfs/dbraw/zinc/33/38/89/1074333889.db2.gz MALNSBCKMDWNRA-RYUDHWBXSA-N 0 0 442.542 -0.890 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)ccc1OC ZINC001191025081 1074334390 /nfs/dbraw/zinc/33/43/90/1074334390.db2.gz AMUVKGSHQCRQBU-UHFFFAOYSA-N 0 0 444.491 -0.100 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)s1 ZINC001191025272 1074334329 /nfs/dbraw/zinc/33/43/29/1074334329.db2.gz CXRQFCJXFJKVPF-UHFFFAOYSA-N 0 0 447.564 -0.155 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001191025569 1074334414 /nfs/dbraw/zinc/33/44/14/1074334414.db2.gz DIVQHRAIJIHTIL-UHFFFAOYSA-N 0 0 427.508 -0.259 20 0 IBADRN COC(=O)c1ccc(OC)cc1S(=O)(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001191026686 1074334318 /nfs/dbraw/zinc/33/43/18/1074334318.db2.gz OTYJAZTXWWEEFK-UHFFFAOYSA-N 0 0 444.491 -0.100 20 0 IBADRN O=C(C1CCCC1)N1CC(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C1 ZINC001191026688 1074334407 /nfs/dbraw/zinc/33/44/07/1074334407.db2.gz OVDNGGUHVQGPIH-UHFFFAOYSA-N 0 0 431.540 -0.553 20 0 IBADRN CN1C(=O)Cc2cc(S(=O)(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)ccc21 ZINC001191027019 1074334399 /nfs/dbraw/zinc/33/43/99/1074334399.db2.gz RPGZMSMXOLQVEL-UHFFFAOYSA-N 0 0 425.492 -0.376 20 0 IBADRN O=C(N1CCc2cc(S(=O)(=O)N3CC(N4CCNC(=O)C4)C3)ccc21)C(F)(F)F ZINC001191030321 1074334469 /nfs/dbraw/zinc/33/44/69/1074334469.db2.gz KDDORJVXROPJKY-UHFFFAOYSA-N 0 0 432.424 -0.057 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc(N(C)C)nc1)C(=O)NCC(N)=O ZINC001191059058 1074334802 /nfs/dbraw/zinc/33/48/02/1074334802.db2.gz RASWTKJYCWFCDK-HOTGVXAUSA-N 0 0 432.525 -0.115 20 0 IBADRN CN(C)c1ccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001191059759 1074334728 /nfs/dbraw/zinc/33/47/28/1074334728.db2.gz WTTDZZBSJQUDEV-BRWVUGGUSA-N 0 0 436.534 -0.118 20 0 IBADRN CN(C)c1ccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001191059764 1074334742 /nfs/dbraw/zinc/33/47/42/1074334742.db2.gz WTTDZZBSJQUDEV-GVDBMIGSSA-N 0 0 436.534 -0.118 20 0 IBADRN C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)C(F)(F)F)C(N)=O ZINC001191072883 1074334885 /nfs/dbraw/zinc/33/48/85/1074334885.db2.gz DRVBGIZXBGOZSU-IUCAKERBSA-N 0 0 436.412 -0.205 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1nc(C)c[nH]1)C(N)=O ZINC001191110633 1074334811 /nfs/dbraw/zinc/33/48/11/1074334811.db2.gz RCEGTLASXQRDAE-STQMWFEESA-N 0 0 426.543 -0.298 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)NC[C@@H](O)Cn2ccnn2)c1 ZINC001191123746 1074334749 /nfs/dbraw/zinc/33/47/49/1074334749.db2.gz IQAIETIIXMYRLL-SECBINFHSA-N 0 0 439.313 -0.217 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)NC[C@H](O)Cn2ccnn2)c1 ZINC001191123747 1074334829 /nfs/dbraw/zinc/33/48/29/1074334829.db2.gz IQAIETIIXMYRLL-VIFPVBQESA-N 0 0 439.313 -0.217 20 0 IBADRN O=S(=O)(NC[C@H](O)Cn1ccnn1)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC001191124496 1074334761 /nfs/dbraw/zinc/33/47/61/1074334761.db2.gz OBMTYZPFWIYGLG-AWEZNQCLSA-N 0 0 429.524 -0.208 20 0 IBADRN O=S(=O)(NC[C@@H](O)Cn1ccnn1)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC001191124497 1074335244 /nfs/dbraw/zinc/33/52/44/1074335244.db2.gz OBMTYZPFWIYGLG-CQSZACIVSA-N 0 0 429.524 -0.208 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1F ZINC001191172246 1074335311 /nfs/dbraw/zinc/33/53/11/1074335311.db2.gz WADHADXFDJSZOU-KBPBESRZSA-N 0 0 442.512 -0.226 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1F ZINC001191172248 1074335218 /nfs/dbraw/zinc/33/52/18/1074335218.db2.gz WADHADXFDJSZOU-KGLIPLIRSA-N 0 0 442.512 -0.226 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1F ZINC001191172249 1074335169 /nfs/dbraw/zinc/33/51/69/1074335169.db2.gz WADHADXFDJSZOU-UONOGXRCSA-N 0 0 442.512 -0.226 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1F ZINC001191172250 1074335231 /nfs/dbraw/zinc/33/52/31/1074335231.db2.gz WADHADXFDJSZOU-ZIAGYGMSSA-N 0 0 442.512 -0.226 20 0 IBADRN O=C(c1cnc(=O)[nH]c1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001191173565 1074335257 /nfs/dbraw/zinc/33/52/57/1074335257.db2.gz QXJIJJWQGNQYFQ-UONOGXRCSA-N 0 0 432.462 -0.655 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3cnc(=O)[nH]c3)CC2)c2nonc21 ZINC001191174718 1074335678 /nfs/dbraw/zinc/33/56/78/1074335678.db2.gz FVCLYTHMMKIHNS-UHFFFAOYSA-N 0 0 433.450 -0.069 20 0 IBADRN Cn1cc(Br)cc(C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)c1=O ZINC001191190185 1074335615 /nfs/dbraw/zinc/33/56/15/1074335615.db2.gz BBFYTKHDBIPYAE-UHFFFAOYSA-N 0 0 438.242 -0.589 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnc(=O)[nH]c1)C(N)=O ZINC001191191246 1074335515 /nfs/dbraw/zinc/33/55/15/1074335515.db2.gz UUAVPKGNVFPGTB-STQMWFEESA-N 0 0 440.526 -0.834 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1cc(Br)cn(C)c1=O)C2 ZINC001191200168 1074335250 /nfs/dbraw/zinc/33/52/50/1074335250.db2.gz DALXFFLMXLCJGI-LLVKDONJSA-N 0 0 433.280 -0.050 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1cc(Br)cn(C)c1=O)C2 ZINC001191200169 1074335297 /nfs/dbraw/zinc/33/52/97/1074335297.db2.gz DALXFFLMXLCJGI-NSHDSACASA-N 0 0 433.280 -0.050 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c1 ZINC001191207669 1074335191 /nfs/dbraw/zinc/33/51/91/1074335191.db2.gz CFKRQBHJARHGKW-BDQAWRDZSA-N 0 0 448.457 -0.597 20 0 IBADRN CC(C)(CNS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)[C@@H]1COCC12OCCO2 ZINC001191212219 1074335277 /nfs/dbraw/zinc/33/52/77/1074335277.db2.gz WQSCJJIUHALWAG-KBPBESRZSA-N 0 0 426.557 -0.397 20 0 IBADRN CC(C)(CNS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)[C@@H]1COCC12OCCO2 ZINC001191212225 1074335212 /nfs/dbraw/zinc/33/52/12/1074335212.db2.gz WQSCJJIUHALWAG-KGLIPLIRSA-N 0 0 426.557 -0.397 20 0 IBADRN CC(C)(CNS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)[C@H]1COCC12OCCO2 ZINC001191212228 1074335283 /nfs/dbraw/zinc/33/52/83/1074335283.db2.gz WQSCJJIUHALWAG-UONOGXRCSA-N 0 0 426.557 -0.397 20 0 IBADRN CC(C)(CNS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)[C@H]1COCC12OCCO2 ZINC001191212231 1074335110 /nfs/dbraw/zinc/33/51/10/1074335110.db2.gz WQSCJJIUHALWAG-ZIAGYGMSSA-N 0 0 426.557 -0.397 20 0 IBADRN COC(=O)c1cncc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1 ZINC001191219569 1074335290 /nfs/dbraw/zinc/33/52/90/1074335290.db2.gz WNIRYETVXDQQPO-HOTGVXAUSA-N 0 0 447.492 -0.395 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)c1 ZINC001191224471 1074335694 /nfs/dbraw/zinc/33/56/94/1074335694.db2.gz OZFXFLBACVWNBY-AWEZNQCLSA-N 0 0 433.490 -0.586 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001191246405 1074335469 /nfs/dbraw/zinc/33/54/69/1074335469.db2.gz JLGMZTWNQIREHR-UHFFFAOYSA-N 0 0 427.476 -0.477 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C ZINC001191254122 1074335573 /nfs/dbraw/zinc/33/55/73/1074335573.db2.gz CELNUWJFFXMWCT-CHWSQXEVSA-N 0 0 440.540 -0.301 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C ZINC001191254129 1074335623 /nfs/dbraw/zinc/33/56/23/1074335623.db2.gz CELNUWJFFXMWCT-OLZOCXBDSA-N 0 0 440.540 -0.301 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C ZINC001191254131 1074335524 /nfs/dbraw/zinc/33/55/24/1074335524.db2.gz CELNUWJFFXMWCT-QWHCGFSZSA-N 0 0 440.540 -0.301 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C ZINC001191254133 1074335550 /nfs/dbraw/zinc/33/55/50/1074335550.db2.gz CELNUWJFFXMWCT-STQMWFEESA-N 0 0 440.540 -0.301 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC(C)(C)C)CC1 ZINC001191255557 1074335669 /nfs/dbraw/zinc/33/56/69/1074335669.db2.gz MQLSSKBIPVTVPK-CYBMUJFWSA-N 0 0 440.540 -0.443 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC(C)(C)C)CC1 ZINC001191255558 1074335688 /nfs/dbraw/zinc/33/56/88/1074335688.db2.gz MQLSSKBIPVTVPK-ZDUSSCGKSA-N 0 0 440.540 -0.443 20 0 IBADRN CCCCc1ccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001191262274 1074335704 /nfs/dbraw/zinc/33/57/04/1074335704.db2.gz HIVUHBHCCHBWFJ-VDHUWJSZSA-N 0 0 439.490 -0.111 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnccc2NC(=O)OC(C)(C)C)[C@@H](O)[C@H](O)[C@@H]1O ZINC001191268829 1074335599 /nfs/dbraw/zinc/33/55/99/1074335599.db2.gz ICCDWHHWOUFXTO-CTJCFNAESA-N 0 0 427.454 -0.576 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N3CCC(N4CCCS4(=O)=O)CC3)cc21 ZINC001191283873 1074335658 /nfs/dbraw/zinc/33/56/58/1074335658.db2.gz APSVKBYTUSPNBI-UHFFFAOYSA-N 0 0 429.520 -0.003 20 0 IBADRN COCC(COC)N1CCN(S(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)CC1 ZINC001191293941 1074335954 /nfs/dbraw/zinc/33/59/54/1074335954.db2.gz TUKKMKFZKPZORB-UHFFFAOYSA-N 0 0 429.495 -0.006 20 0 IBADRN COc1cc(C(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)c(OC)nc1OC ZINC001191296671 1074336100 /nfs/dbraw/zinc/33/61/00/1074336100.db2.gz LSUAQCTYXNFNKS-UHFFFAOYSA-N 0 0 434.453 -0.040 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)c1 ZINC001191299861 1074335964 /nfs/dbraw/zinc/33/59/64/1074335964.db2.gz VQTPCRRCPHKBJN-UHFFFAOYSA-N 0 0 442.436 -0.134 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=O)Nc2ccccn2)[C@H](O)[C@@H]1O ZINC001191303585 1074336077 /nfs/dbraw/zinc/33/60/77/1074336077.db2.gz ZQMRRLALJDUWRE-PFHKOEEOSA-N 0 0 428.409 -0.379 20 0 IBADRN COc1cc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c(OC)nc1OC ZINC001191305716 1074335909 /nfs/dbraw/zinc/33/59/09/1074335909.db2.gz IAVRNJWTHKSKQG-KBPBESRZSA-N 0 0 446.464 -0.352 20 0 IBADRN COc1cc(C(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)c(OC)nc1OC ZINC001191306097 1074336136 /nfs/dbraw/zinc/33/61/36/1074336136.db2.gz KTOLJPMFQTVPHQ-IACUBPJLSA-N 0 0 436.421 -0.243 20 0 IBADRN Cc1cc(Br)cc(S(=O)(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)c1 ZINC001191327320 1074336148 /nfs/dbraw/zinc/33/61/48/1074336148.db2.gz PELRNQJTCDURTA-XNHWVXRISA-N 0 0 440.312 -0.120 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc(Br)no1 ZINC001191344481 1074336045 /nfs/dbraw/zinc/33/60/45/1074336045.db2.gz JJIZWIBVFMNCRY-UWVGGRQHSA-N 0 0 425.243 -0.022 20 0 IBADRN Cc1c(Cl)c(C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)ccc1S(C)(=O)=O ZINC001191354472 1074335896 /nfs/dbraw/zinc/33/58/96/1074335896.db2.gz NZEIQCSRQZIHLJ-NLYHGNEKSA-N 0 0 437.898 -0.374 20 0 IBADRN CN(CCS(=O)(=O)NCCS(=O)(=O)C1CC1)S(=O)(=O)c1ccc(F)cc1 ZINC001191357236 1074336028 /nfs/dbraw/zinc/33/60/28/1074336028.db2.gz SFCTZHLDUITBHH-UHFFFAOYSA-N 0 0 428.529 -0.057 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(F)cc2Br)[C@@H](O)[C@H](O)[C@@H]1O ZINC001191394464 1074335985 /nfs/dbraw/zinc/33/59/85/1074335985.db2.gz CAYNJNOZKGEGKW-AJVHJNHVSA-N 0 0 444.275 -0.289 20 0 IBADRN Cc1[nH]c(=O)sc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001191404119 1074335975 /nfs/dbraw/zinc/33/59/75/1074335975.db2.gz DHINOJPAXNDFML-JHJVBQTASA-N 0 0 429.520 -0.109 20 0 IBADRN Cc1[nH]c(=O)sc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001191404125 1074336038 /nfs/dbraw/zinc/33/60/38/1074336038.db2.gz DHINOJPAXNDFML-YNEHKIRRSA-N 0 0 429.520 -0.109 20 0 IBADRN Cc1[nH]c(=O)sc1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001191406445 1074336017 /nfs/dbraw/zinc/33/60/17/1074336017.db2.gz ZJJZLXLGBFKABR-RYUDHWBXSA-N 0 0 425.511 -0.106 20 0 IBADRN O=C(c1cc(Cl)ncn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001191446899 1074335992 /nfs/dbraw/zinc/33/59/92/1074335992.db2.gz PRFYTQIVZPBQQO-OUCADQQQSA-N 0 0 428.898 -0.136 20 0 IBADRN O=C(c1cc(Cl)ncn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001191446900 1074336092 /nfs/dbraw/zinc/33/60/92/1074336092.db2.gz PRFYTQIVZPBQQO-YRGRVCCFSA-N 0 0 428.898 -0.136 20 0 IBADRN O=C(Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)NC1CC1 ZINC001191447840 1074336116 /nfs/dbraw/zinc/33/61/16/1074336116.db2.gz XOHRUCHQNNWGOM-WOUKDFQISA-N 0 0 429.231 -0.516 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)cc1 ZINC001191467391 1074336407 /nfs/dbraw/zinc/33/64/07/1074336407.db2.gz LMDGNMAGMWHVRS-UHFFFAOYSA-N 0 0 438.462 -0.471 20 0 IBADRN O=S(=O)(CC1CCCC1)Nc1[nH]c(=S)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001191494056 1074336328 /nfs/dbraw/zinc/33/63/28/1074336328.db2.gz RRXVHUDSEQBYJC-SDBHATRESA-N 0 0 445.523 -0.342 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](NC(=O)NC2CC2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001191498686 1074336357 /nfs/dbraw/zinc/33/63/57/1074336357.db2.gz ZYYVAGMTGCAANQ-WRQOLXDDSA-N 0 0 430.410 -0.469 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3ncc[nH]c3=O)CC2)c2nonc21 ZINC001191510496 1074336377 /nfs/dbraw/zinc/33/63/77/1074336377.db2.gz OONFUNSAULJBKJ-UHFFFAOYSA-N 0 0 433.450 -0.481 20 0 IBADRN CC(=O)NCc1nnc2n1CCN(C(=O)c1nccnc1I)C2 ZINC001191527381 1074336402 /nfs/dbraw/zinc/33/64/02/1074336402.db2.gz AWWVXHOWYXLDTE-UHFFFAOYSA-N 0 0 427.206 -0.035 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)c1nccnc1I)C2 ZINC001191527824 1074336381 /nfs/dbraw/zinc/33/63/81/1074336381.db2.gz IJSCHDJKHRWXIG-AWEZNQCLSA-N 0 0 429.218 -0.356 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)c1nccnc1I)C2 ZINC001191527825 1074336338 /nfs/dbraw/zinc/33/63/38/1074336338.db2.gz IJSCHDJKHRWXIG-CQSZACIVSA-N 0 0 429.218 -0.356 20 0 IBADRN Cn1cc(C[C@H](CO)NS(=O)(=O)c2cnn(C)c2I)cn1 ZINC001191537397 1074336438 /nfs/dbraw/zinc/33/64/38/1074336438.db2.gz AQHMHQSDKIFVBN-SECBINFHSA-N 0 0 425.252 -0.360 20 0 IBADRN Cn1cc(C[C@@H](CO)NS(=O)(=O)c2cnn(C)c2I)cn1 ZINC001191537398 1074336315 /nfs/dbraw/zinc/33/63/15/1074336315.db2.gz AQHMHQSDKIFVBN-VIFPVBQESA-N 0 0 425.252 -0.360 20 0 IBADRN CC1(C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](CO)O1 ZINC001191546326 1074336391 /nfs/dbraw/zinc/33/63/91/1074336391.db2.gz IBHUDEDWPGIVFT-AWEZNQCLSA-N 0 0 434.536 -0.132 20 0 IBADRN CC1(C)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](CO)O1 ZINC001191546327 1074336450 /nfs/dbraw/zinc/33/64/50/1074336450.db2.gz IBHUDEDWPGIVFT-CQSZACIVSA-N 0 0 434.536 -0.132 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCC(=O)OC)c1 ZINC001191548202 1074337221 /nfs/dbraw/zinc/33/72/21/1074337221.db2.gz WITIZCKWDFVCEJ-HNNXBMFYSA-N 0 0 427.479 -0.495 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCC(=O)OC)c1 ZINC001191548207 1074337114 /nfs/dbraw/zinc/33/71/14/1074337114.db2.gz WITIZCKWDFVCEJ-OAHLLOKOSA-N 0 0 427.479 -0.495 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NS(=O)(=O)CC2CCCC2)[C@H]1O ZINC001191553736 1074337124 /nfs/dbraw/zinc/33/71/24/1074337124.db2.gz MDXPQEMLYSYRBT-HOPMXRPOSA-N 0 0 440.526 -0.379 20 0 IBADRN O=C(c1cn[nH]c(=O)c1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001191565481 1074337152 /nfs/dbraw/zinc/33/71/52/1074337152.db2.gz LCEMQIVTNSGINK-UONOGXRCSA-N 0 0 432.462 -0.655 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)CC1CCCC1)C(N)=O ZINC001191565690 1074337082 /nfs/dbraw/zinc/33/70/82/1074337082.db2.gz GLHLOBDMNNTFTK-DQYPLSBCSA-N 0 0 427.523 -0.236 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3cn[nH]c(=O)c3)CC2)c2nonc21 ZINC001191573316 1074337104 /nfs/dbraw/zinc/33/71/04/1074337104.db2.gz WASBBPLHPWERIL-UHFFFAOYSA-N 0 0 433.450 -0.069 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cn[nH]c(=O)c1)C(N)=O ZINC001191580516 1074336742 /nfs/dbraw/zinc/33/67/42/1074336742.db2.gz CCLDAQPZQJMGMP-STQMWFEESA-N 0 0 440.526 -0.834 20 0 IBADRN CCc1nc(-c2cccc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)c2)no1 ZINC001191582923 1074336638 /nfs/dbraw/zinc/33/66/38/1074336638.db2.gz GBKNEUFWJUNARW-BGIGGGFGSA-N 0 0 442.432 -0.270 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CCC3(CC2)C(=O)N(CCOC)CCN3C)cc1 ZINC001191588720 1074336805 /nfs/dbraw/zinc/33/68/05/1074336805.db2.gz JCJGAGIRNUWOKV-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(S(=O)(=O)c3ccc(C(=O)NC)cc3)C2)C1 ZINC001191588803 1074336662 /nfs/dbraw/zinc/33/66/62/1074336662.db2.gz LAFNGYMAMRJJEH-FQEVSTJZSA-N 0 0 438.550 -0.105 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(S(=O)(=O)c3ccc(C(=O)NC)cc3)C2)C1 ZINC001191588806 1074336616 /nfs/dbraw/zinc/33/66/16/1074336616.db2.gz LAFNGYMAMRJJEH-HXUWFJFHSA-N 0 0 438.550 -0.105 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4ccc(C(=O)NC)cc4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001191589666 1074336881 /nfs/dbraw/zinc/33/68/81/1074336881.db2.gz QISWKVAFUIRXSA-UKPHBRMFSA-N 0 0 429.520 -0.388 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2Cc3ccnn3C[C@@H](C(=O)NCCOC)C2)cc1 ZINC001191589720 1074336680 /nfs/dbraw/zinc/33/66/80/1074336680.db2.gz SXWNFRKVCDIKQY-HNNXBMFYSA-N 0 0 435.506 -0.174 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2Cc3ccnn3C[C@H](C(=O)NCCOC)C2)cc1 ZINC001191589721 1074336818 /nfs/dbraw/zinc/33/68/18/1074336818.db2.gz SXWNFRKVCDIKQY-OAHLLOKOSA-N 0 0 435.506 -0.174 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2C[C@H]3OCC[C@H]3[C@@H](C(=O)N3CCOCC3)C2)cc1 ZINC001191590080 1074336703 /nfs/dbraw/zinc/33/67/03/1074336703.db2.gz YKOINXGYPXZTTJ-OKZBNKHCSA-N 0 0 437.518 -0.069 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C[C@H]1c1ccc(F)cc1F ZINC001191591971 1074336713 /nfs/dbraw/zinc/33/67/13/1074336713.db2.gz ZBUHZOVOOAZLEV-QWHCGFSZSA-N 0 0 443.428 -0.061 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)cc1 ZINC001191592284 1074336772 /nfs/dbraw/zinc/33/67/72/1074336772.db2.gz IGLQQGRZNNSNRG-UHFFFAOYSA-N 0 0 436.494 -0.553 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CC[C@H]3C(=O)N(CCOC)CCO[C@H]3C2)cc1 ZINC001191592431 1074336652 /nfs/dbraw/zinc/33/66/52/1074336652.db2.gz CLRQTDKANJNOLB-SJORKVTESA-N 0 0 425.507 -0.069 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2C[C@@H](NC(=O)c3cnccn3)[C@H]3COC[C@H]32)cc1 ZINC001191592561 1074336870 /nfs/dbraw/zinc/33/68/70/1074336870.db2.gz DJAQPIAMISLRRP-DJIMGWMZSA-N 0 0 431.474 -0.346 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2Cc3c(n(C)nc3C(=O)N3CCOCC3)C2)cc1 ZINC001191592673 1074336671 /nfs/dbraw/zinc/33/66/71/1074336671.db2.gz SQLBSPGFDYQZDD-UHFFFAOYSA-N 0 0 433.490 -0.043 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)CCO[C@@H]3C2)cc1 ZINC001191592974 1074337191 /nfs/dbraw/zinc/33/71/91/1074337191.db2.gz NJKZTSURGDZVGG-SJLPKXTDSA-N 0 0 438.550 -0.154 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2Cc3ccnn3C[C@@H](C(=O)N3CCOCC3)C2)cc1 ZINC001191592980 1074337215 /nfs/dbraw/zinc/33/72/15/1074337215.db2.gz NQCUJISCUUFMIK-INIZCTEOSA-N 0 0 447.517 -0.078 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2Cc3ccnn3C[C@H](C(=O)N3CCOCC3)C2)cc1 ZINC001191592981 1074337236 /nfs/dbraw/zinc/33/72/36/1074337236.db2.gz NQCUJISCUUFMIK-MRXNPFEDSA-N 0 0 447.517 -0.078 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2C[C@@H]3COC[C@]3(COCC(=O)N(C)C)C2)cc1 ZINC001191593015 1074337183 /nfs/dbraw/zinc/33/71/83/1074337183.db2.gz PESIYSGYHLUARJ-BEFAXECRSA-N 0 0 425.507 -0.212 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)cc1 ZINC001191593128 1074337138 /nfs/dbraw/zinc/33/71/38/1074337138.db2.gz SLIFJHSHUXLMCE-HUUCEWRRSA-N 0 0 430.552 -0.205 20 0 IBADRN Cn1c(Br)ccc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001191620010 1074337206 /nfs/dbraw/zinc/33/72/06/1074337206.db2.gz WHCIPEOSAXDHKM-LHNIVKCTSA-N 0 0 428.243 -0.782 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)NC[C@@H]2OCCc3cn(CC(=O)N(C)C)nc32)cc1 ZINC001191623153 1074337046 /nfs/dbraw/zinc/33/70/46/1074337046.db2.gz WHXFWTYFYAUDNR-INIZCTEOSA-N 0 0 435.506 -0.077 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)NC[C@H]2OCCc3cn(CC(=O)N(C)C)nc32)cc1 ZINC001191623154 1074337093 /nfs/dbraw/zinc/33/70/93/1074337093.db2.gz WHXFWTYFYAUDNR-MRXNPFEDSA-N 0 0 435.506 -0.077 20 0 IBADRN COc1ccc(OC)c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1OC ZINC001191625131 1074337070 /nfs/dbraw/zinc/33/70/70/1074337070.db2.gz ZJGOOMKLTDGFMP-XKLVTHTNSA-N 0 0 436.421 -0.857 20 0 IBADRN Cc1nc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(Br)o1 ZINC001191638640 1074337227 /nfs/dbraw/zinc/33/72/27/1074337227.db2.gz RQGVGMPFFXJTGV-CLOKMRPHSA-N 0 0 430.215 -0.824 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)cc1 ZINC001191646805 1074337526 /nfs/dbraw/zinc/33/75/26/1074337526.db2.gz FEGFJEKSDJUGMQ-RRFJBIMHSA-N 0 0 438.462 -0.756 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)cc1 ZINC001191648147 1074337533 /nfs/dbraw/zinc/33/75/33/1074337533.db2.gz FTZKVHHBDYKVEY-INIZCTEOSA-N 0 0 434.474 -0.757 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001191648211 1074337372 /nfs/dbraw/zinc/33/73/72/1074337372.db2.gz JFKVKLNJABHPDQ-GUYCJALGSA-N 0 0 432.502 -0.074 20 0 IBADRN CNC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)cc1 ZINC001191652457 1074337449 /nfs/dbraw/zinc/33/74/49/1074337449.db2.gz PFLVUKGLKGAJBW-INIZCTEOSA-N 0 0 434.474 -0.757 20 0 IBADRN COc1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1OCC1CC1 ZINC001191653649 1074337409 /nfs/dbraw/zinc/33/74/09/1074337409.db2.gz YNDGBIYVKGYPRZ-DLVXIWMQSA-N 0 0 446.460 -0.085 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2Cc3ccccc3C[C@H]2C(=O)NC2CC2)CC1 ZINC001191662684 1074337497 /nfs/dbraw/zinc/33/74/97/1074337497.db2.gz SXXQEIQUGDYQHK-KRWDZBQOSA-N 0 0 442.563 -0.486 20 0 IBADRN CNC(=O)[C@@H]1Cc2ccccc2CN1S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC001191663255 1074337843 /nfs/dbraw/zinc/33/78/43/1074337843.db2.gz WFJHMPLLSAJZOA-HNNXBMFYSA-N 0 0 429.458 -0.104 20 0 IBADRN Cc1c(C(=O)n2c(N)c3ncn(COCCO)c3nc2=N)c(=O)n(-c2ccccc2)n1C ZINC001191665462 1074337761 /nfs/dbraw/zinc/33/77/61/1074337761.db2.gz URUJFJSGRAITRO-UHFFFAOYSA-N 0 0 438.448 -0.253 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3[nH]nc4c3COCC4)CC2)cc1 ZINC001191671693 1074337509 /nfs/dbraw/zinc/33/75/09/1074337509.db2.gz ALDVPMQTSTWARF-UHFFFAOYSA-N 0 0 441.535 -0.364 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3C[C@H]4COC[C@H]4O3)CC2)cc1 ZINC001191671715 1074337387 /nfs/dbraw/zinc/33/73/87/1074337387.db2.gz BMMPEBDHWPKMTJ-GRDNDAEWSA-N 0 0 431.536 -0.556 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3C[C@@H]4COC[C@H]4O3)CC2)cc1 ZINC001191671716 1074337439 /nfs/dbraw/zinc/33/74/39/1074337439.db2.gz BMMPEBDHWPKMTJ-JKIFEVAISA-N 0 0 431.536 -0.556 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3C[C@@H]4COC[C@@H]4O3)CC2)cc1 ZINC001191671717 1074337491 /nfs/dbraw/zinc/33/74/91/1074337491.db2.gz BMMPEBDHWPKMTJ-KEYYUXOJSA-N 0 0 431.536 -0.556 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3C[C@H]4COC[C@@H]4O3)CC2)cc1 ZINC001191671718 1074337432 /nfs/dbraw/zinc/33/74/32/1074337432.db2.gz BMMPEBDHWPKMTJ-ZQIUZPCESA-N 0 0 431.536 -0.556 20 0 IBADRN CCN1C[C@@H](CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)OC1=O ZINC001191672624 1074337400 /nfs/dbraw/zinc/33/74/00/1074337400.db2.gz NEEJEMWJMKBRCN-CYBMUJFWSA-N 0 0 432.524 -0.519 20 0 IBADRN CCN1C[C@H](CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)OC1=O ZINC001191672625 1074337461 /nfs/dbraw/zinc/33/74/61/1074337461.db2.gz NEEJEMWJMKBRCN-ZDUSSCGKSA-N 0 0 432.524 -0.519 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ncccc2I)[C@@H](O)[C@H](O)[C@@H]1O ZINC001191702471 1074337749 /nfs/dbraw/zinc/33/77/49/1074337749.db2.gz TUSXRBCIAQDLII-SEGASTDMSA-N 0 0 438.218 -0.740 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(C(C)C)ccc1OC ZINC001191713866 1074337900 /nfs/dbraw/zinc/33/79/00/1074337900.db2.gz OHDGNQCTLYLZSH-UHFFFAOYSA-N 0 0 429.495 -0.108 20 0 IBADRN CC(C)(C)OC(=O)C1(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CCOCC1 ZINC001191720772 1074337921 /nfs/dbraw/zinc/33/79/21/1074337921.db2.gz STESJRBCNMWBON-UHFFFAOYSA-N 0 0 441.572 -0.464 20 0 IBADRN COc1ccc(C(C)C)cc1S(=O)(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001191722848 1074337776 /nfs/dbraw/zinc/33/77/76/1074337776.db2.gz RWQGWJVSDXXCTL-AWEZNQCLSA-N 0 0 440.522 -0.311 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc(Br)o1 ZINC001191733574 1074337861 /nfs/dbraw/zinc/33/78/61/1074337861.db2.gz SHBHTLCKMQQFEU-UWVGGRQHSA-N 0 0 425.243 -0.022 20 0 IBADRN O=C(CN1CCN(CCOc2ccc3c(c2)OCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001191753087 1074337698 /nfs/dbraw/zinc/33/76/98/1074337698.db2.gz DACQZYANFKIBCD-HNNXBMFYSA-N 0 0 425.507 -0.285 20 0 IBADRN O=C(CN1CCN(CCOc2ccc3c(c2)OCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001191753088 1074337730 /nfs/dbraw/zinc/33/77/30/1074337730.db2.gz DACQZYANFKIBCD-OAHLLOKOSA-N 0 0 425.507 -0.285 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCC3(CCOCC3)CO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001191753287 1074337810 /nfs/dbraw/zinc/33/78/10/1074337810.db2.gz KPSVDHSRBANPNI-MSOLQXFVSA-N 0 0 429.583 -0.117 20 0 IBADRN O=C(CN1CCN(C[C@H]2CCC3(CCOCC3)CO2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001191753288 1074337738 /nfs/dbraw/zinc/33/77/38/1074337738.db2.gz KPSVDHSRBANPNI-QZTJIDSGSA-N 0 0 429.583 -0.117 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CCC3(CCOCC3)CO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001191753289 1074338279 /nfs/dbraw/zinc/33/82/79/1074338279.db2.gz KPSVDHSRBANPNI-ROUUACIJSA-N 0 0 429.583 -0.117 20 0 IBADRN O=C(CN1CCN(C[C@H]2CCC3(CCOCC3)CO2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001191753290 1074338270 /nfs/dbraw/zinc/33/82/70/1074338270.db2.gz KPSVDHSRBANPNI-ZWKOTPCHSA-N 0 0 429.583 -0.117 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(CC(=O)N=[S@@](C)(=O)N(C)C)CC2)cc1 ZINC001191753519 1074338112 /nfs/dbraw/zinc/33/81/12/1074338112.db2.gz LVWZMLCYYWGUEZ-MUUNZHRXSA-N 0 0 445.611 -0.008 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(CC(=O)N=[S@](C)(=O)N(C)C)CC2)cc1 ZINC001191753520 1074338161 /nfs/dbraw/zinc/33/81/61/1074338161.db2.gz LVWZMLCYYWGUEZ-NDEPHWFRSA-N 0 0 445.611 -0.008 20 0 IBADRN CCCn1nccc1NC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001191753561 1074338203 /nfs/dbraw/zinc/33/82/03/1074338203.db2.gz NNBKNKQZELXXBS-HNNXBMFYSA-N 0 0 426.543 -0.848 20 0 IBADRN CCCn1nccc1NC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001191753562 1074338169 /nfs/dbraw/zinc/33/81/69/1074338169.db2.gz NNBKNKQZELXXBS-OAHLLOKOSA-N 0 0 426.543 -0.848 20 0 IBADRN CCOC(=O)c1c(C)n[nH]c1CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001191753577 1074338080 /nfs/dbraw/zinc/33/80/80/1074338080.db2.gz OKFWHWPYBMNWFE-AWEZNQCLSA-N 0 0 427.527 -0.684 20 0 IBADRN CCOC(=O)c1c(C)n[nH]c1CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001191753578 1074338122 /nfs/dbraw/zinc/33/81/22/1074338122.db2.gz OKFWHWPYBMNWFE-CQSZACIVSA-N 0 0 427.527 -0.684 20 0 IBADRN CNS(=O)(=O)c1ccc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001191754492 1074338138 /nfs/dbraw/zinc/33/81/38/1074338138.db2.gz VIIFSZODFPLCIP-INIZCTEOSA-N 0 0 444.579 -0.984 20 0 IBADRN CNS(=O)(=O)c1ccc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001191754493 1074338220 /nfs/dbraw/zinc/33/82/20/1074338220.db2.gz VIIFSZODFPLCIP-MRXNPFEDSA-N 0 0 444.579 -0.984 20 0 IBADRN COC(=O)c1cc(C(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C)[nH]1 ZINC001191754961 1074338149 /nfs/dbraw/zinc/33/81/49/1074338149.db2.gz WTWYHHOCFICMTH-AWEZNQCLSA-N 0 0 440.522 -0.787 20 0 IBADRN COC(=O)c1cc(C(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C)[nH]1 ZINC001191754964 1074338178 /nfs/dbraw/zinc/33/81/78/1074338178.db2.gz WTWYHHOCFICMTH-CQSZACIVSA-N 0 0 440.522 -0.787 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc(CCO)cc1)C(=O)NCC(N)=O ZINC001191764713 1074337711 /nfs/dbraw/zinc/33/77/11/1074337711.db2.gz BWMWYABUYJJPIB-ROUUACIJSA-N 0 0 432.521 -0.042 20 0 IBADRN O=C(c1ccc(CCO)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001191766992 1074337853 /nfs/dbraw/zinc/33/78/53/1074337853.db2.gz KJGLFIJZMZRIES-GUDVDZBRSA-N 0 0 436.530 -0.045 20 0 IBADRN O=C(c1ccc(CCO)cc1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001191766993 1074337821 /nfs/dbraw/zinc/33/78/21/1074337821.db2.gz KJGLFIJZMZRIES-IPMKNSEASA-N 0 0 436.530 -0.045 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001191781424 1074338470 /nfs/dbraw/zinc/33/84/70/1074338470.db2.gz ZBGHGKBWBSMVLL-HNNXBMFYSA-N 0 0 438.549 -0.816 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001191781425 1074338556 /nfs/dbraw/zinc/33/85/56/1074338556.db2.gz ZBGHGKBWBSMVLL-OAHLLOKOSA-N 0 0 438.549 -0.816 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2ccc(CCO)cc2)[C@H]1O ZINC001191786120 1074338575 /nfs/dbraw/zinc/33/85/75/1074338575.db2.gz YDYIFEMMNWKPPY-BONWFUQQSA-N 0 0 442.476 -0.524 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCN([C@H]3CCN(C)C3=O)CC2)cc1C ZINC001191809232 1074338228 /nfs/dbraw/zinc/33/82/28/1074338228.db2.gz DZYCKLXLKRFATH-INIZCTEOSA-N 0 0 444.579 -0.123 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NC2CCN([C@@H]3CCN(C)C3=O)CC2)cc1C ZINC001191809239 1074338254 /nfs/dbraw/zinc/33/82/54/1074338254.db2.gz DZYCKLXLKRFATH-MRXNPFEDSA-N 0 0 444.579 -0.123 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(NC(=O)N3CCOCC3)CC2)c1 ZINC001191820128 1074338211 /nfs/dbraw/zinc/33/82/11/1074338211.db2.gz VLWZVEBUIXUFTH-UHFFFAOYSA-N 0 0 432.524 -0.471 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(NC(=O)N3CCOCC3)CC2)c1 ZINC001191820175 1074338067 /nfs/dbraw/zinc/33/80/67/1074338067.db2.gz VYWQVMOWIDWBSU-UHFFFAOYSA-N 0 0 446.551 -0.210 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(C[C@@H](O)CC4(O)CCC4)CC3)cc2N1 ZINC001191845503 1074338524 /nfs/dbraw/zinc/33/85/24/1074338524.db2.gz LQWSZSPYMNGLIY-AWEZNQCLSA-N 0 0 425.507 -0.010 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCN(C[C@H](O)CC4(O)CCC4)CC3)cc2N1 ZINC001191845504 1074338422 /nfs/dbraw/zinc/33/84/22/1074338422.db2.gz LQWSZSPYMNGLIY-CQSZACIVSA-N 0 0 425.507 -0.010 20 0 IBADRN CCOc1ccc(C)cc1S(=O)(=O)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001191846492 1074338628 /nfs/dbraw/zinc/33/86/28/1074338628.db2.gz PJUBOPOTIHMJED-BASLNEPJSA-N 0 0 441.462 -0.746 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N[C@]1(C(N)=O)CCOC1 ZINC001191846849 1074338597 /nfs/dbraw/zinc/33/85/97/1074338597.db2.gz FPVDVWFPAJTEST-IIDMSEBBSA-N 0 0 427.479 -0.414 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N[C@@]1(C(N)=O)CCOC1 ZINC001191846859 1074338534 /nfs/dbraw/zinc/33/85/34/1074338534.db2.gz FPVDVWFPAJTEST-RKVPGOIHSA-N 0 0 427.479 -0.414 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N[C@]1(C(N)=O)CCOC1 ZINC001191846861 1074339008 /nfs/dbraw/zinc/33/90/08/1074339008.db2.gz FPVDVWFPAJTEST-RVKKMQEKSA-N 0 0 427.479 -0.414 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N[C@@]1(C(N)=O)CCOC1 ZINC001191846864 1074338808 /nfs/dbraw/zinc/33/88/08/1074338808.db2.gz FPVDVWFPAJTEST-VKJFTORMSA-N 0 0 427.479 -0.414 20 0 IBADRN CCOc1ccc(C)cc1S(=O)(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001191857008 1074338589 /nfs/dbraw/zinc/33/85/89/1074338589.db2.gz WLBNZVRPUHYMLY-ZDUSSCGKSA-N 0 0 426.495 -0.735 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NC[C@H]2CCCN(C(=O)C(F)(F)F)C2)C1 ZINC001191864028 1074338621 /nfs/dbraw/zinc/33/86/21/1074338621.db2.gz LEKYWEYTWSGFSA-NEPJUHHUSA-N 0 0 435.490 -0.012 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NC[C@@H]2CCCN(C(=O)C(F)(F)F)C2)C1 ZINC001191864029 1074338483 /nfs/dbraw/zinc/33/84/83/1074338483.db2.gz LEKYWEYTWSGFSA-NWDGAFQWSA-N 0 0 435.490 -0.012 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NC[C@@H]2CCCN(C(=O)C(F)(F)F)C2)C1 ZINC001191864030 1074338613 /nfs/dbraw/zinc/33/86/13/1074338613.db2.gz LEKYWEYTWSGFSA-RYUDHWBXSA-N 0 0 435.490 -0.012 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NC[C@H]2CCCN(C(=O)C(F)(F)F)C2)C1 ZINC001191864031 1074338495 /nfs/dbraw/zinc/33/84/95/1074338495.db2.gz LEKYWEYTWSGFSA-VXGBXAGGSA-N 0 0 435.490 -0.012 20 0 IBADRN COC(=O)Cc1ccccc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001191866261 1074338930 /nfs/dbraw/zinc/33/89/30/1074338930.db2.gz DZBBODWYAQOSRV-IRXDYDNUSA-N 0 0 427.461 -0.057 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001191875038 1074338969 /nfs/dbraw/zinc/33/89/69/1074338969.db2.gz OUBZRZHZBKXXCS-ZDUSSCGKSA-N 0 0 438.485 -0.002 20 0 IBADRN CCN1C[C@@]23CN(C(=O)N[C@@H](COC(C)(C)C)C(=O)OC)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001191879074 1074338874 /nfs/dbraw/zinc/33/88/74/1074338874.db2.gz KVCFIVAAOQIWNM-COJSNBRMSA-N 0 0 433.527 -0.070 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)N1C[C@@H](NC(=O)c2cnccn2)[C@H]2COC[C@H]21 ZINC001191881327 1074338984 /nfs/dbraw/zinc/33/89/84/1074338984.db2.gz WINDXZSLPNYSGS-DMRZNYOFSA-N 0 0 435.481 -0.028 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccnc(OCC3CCOCC3)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001191886906 1074338908 /nfs/dbraw/zinc/33/89/08/1074338908.db2.gz NWKLJAKRVUKQKA-CXAZJQLCSA-N 0 0 426.466 -0.539 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001191888781 1074338884 /nfs/dbraw/zinc/33/88/84/1074338884.db2.gz CXJFFHCZCYLWFG-ZDUSSCGKSA-N 0 0 440.501 -0.235 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)N1C[C@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001191902234 1074338769 /nfs/dbraw/zinc/33/87/69/1074338769.db2.gz DEAYWKFDKYEHTJ-CABCVRRESA-N 0 0 426.514 -0.079 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)N1C[C@@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001191902237 1074338787 /nfs/dbraw/zinc/33/87/87/1074338787.db2.gz DEAYWKFDKYEHTJ-GJZGRUSLSA-N 0 0 426.514 -0.079 20 0 IBADRN CN(CCS(=O)(=O)N1CCN(c2nncn2C)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC001191915571 1074339309 /nfs/dbraw/zinc/33/93/09/1074339309.db2.gz ICARRYVSSGJLJU-UHFFFAOYSA-N 0 0 446.530 -0.273 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001191920599 1074338920 /nfs/dbraw/zinc/33/89/20/1074338920.db2.gz JLMXESLDHQOGFC-INIZCTEOSA-N 0 0 428.555 -0.918 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001191920600 1074338834 /nfs/dbraw/zinc/33/88/34/1074338834.db2.gz JLMXESLDHQOGFC-MRXNPFEDSA-N 0 0 428.555 -0.918 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC(C)(C)O1 ZINC001191920623 1074338847 /nfs/dbraw/zinc/33/88/47/1074338847.db2.gz KHFNENZHJKCLBZ-CYBMUJFWSA-N 0 0 428.511 -0.289 20 0 IBADRN C[C@H]1CN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)CC(C)(C)O1 ZINC001191920624 1074338778 /nfs/dbraw/zinc/33/87/78/1074338778.db2.gz KHFNENZHJKCLBZ-ZDUSSCGKSA-N 0 0 428.511 -0.289 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C[C@@H]1CC2(CN(C(=O)OC(C)(C)C)C2)C(=O)O1 ZINC001191920644 1074338826 /nfs/dbraw/zinc/33/88/26/1074338826.db2.gz KRKUQCNXPAAEFO-KBPBESRZSA-N 0 0 445.538 -0.226 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C[C@@H]1CC2(CN(C(=O)OC(C)(C)C)C2)C(=O)O1 ZINC001191920645 1074338759 /nfs/dbraw/zinc/33/87/59/1074338759.db2.gz KRKUQCNXPAAEFO-KGLIPLIRSA-N 0 0 445.538 -0.226 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C[C@H]1CC2(CN(C(=O)OC(C)(C)C)C2)C(=O)O1 ZINC001191920646 1074338857 /nfs/dbraw/zinc/33/88/57/1074338857.db2.gz KRKUQCNXPAAEFO-UONOGXRCSA-N 0 0 445.538 -0.226 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C[C@H]1CC2(CN(C(=O)OC(C)(C)C)C2)C(=O)O1 ZINC001191920647 1074339239 /nfs/dbraw/zinc/33/92/39/1074339239.db2.gz KRKUQCNXPAAEFO-ZIAGYGMSSA-N 0 0 445.538 -0.226 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC001191924850 1074339379 /nfs/dbraw/zinc/33/93/79/1074339379.db2.gz IXRIPPDJSXIOMM-WDEREUQCSA-N 0 0 432.300 -0.060 20 0 IBADRN Cn1cnnc1N1CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC001191925089 1074339424 /nfs/dbraw/zinc/33/94/24/1074339424.db2.gz KYNBUSSGEVQMBJ-UHFFFAOYSA-N 0 0 426.524 -0.134 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001191931183 1074339175 /nfs/dbraw/zinc/33/91/75/1074339175.db2.gz OBCOAWIJNUURIJ-LBPRGKRZSA-N 0 0 427.458 -0.971 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)Cc1ccc(Cl)cc1F ZINC001191931503 1074339246 /nfs/dbraw/zinc/33/92/46/1074339246.db2.gz ITUISLOXDIXJCI-ZDUSSCGKSA-N 0 0 434.877 -0.509 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccc(OCC3CCOCC3)nc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001191932692 1074339187 /nfs/dbraw/zinc/33/91/87/1074339187.db2.gz NBOPMRWFMTVSKA-CXAZJQLCSA-N 0 0 426.466 -0.539 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001191938045 1074339322 /nfs/dbraw/zinc/33/93/22/1074339322.db2.gz LWCYVHKEVNEYAP-IGQOVBAYSA-N 0 0 442.469 -0.438 20 0 IBADRN CNS(=O)(=O)CCN1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC001191938543 1074339443 /nfs/dbraw/zinc/33/94/43/1074339443.db2.gz MHWDUPYOUGAHFD-UHFFFAOYSA-N 0 0 439.581 -0.746 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001191940671 1074339273 /nfs/dbraw/zinc/33/92/73/1074339273.db2.gz DIUWJHMSBICMIT-GJZGRUSLSA-N 0 0 438.481 -0.439 20 0 IBADRN Cn1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1Cl ZINC001191942215 1074339350 /nfs/dbraw/zinc/33/93/50/1074339350.db2.gz LIRHPFBYGPDLFR-IACUBPJLSA-N 0 0 430.914 -0.192 20 0 IBADRN Cn1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1Cl ZINC001191942217 1074339297 /nfs/dbraw/zinc/33/92/97/1074339297.db2.gz LIRHPFBYGPDLFR-MRVWCRGKSA-N 0 0 430.914 -0.192 20 0 IBADRN COC(=O)[C@H](COC(C)(C)C)NC(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001191942470 1074339413 /nfs/dbraw/zinc/33/94/13/1074339413.db2.gz LLWQKGOYUTYEPH-GJZGRUSLSA-N 0 0 438.481 -0.439 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnn(C)c1Cl)C(=O)NCC(N)=O ZINC001191943014 1074339338 /nfs/dbraw/zinc/33/93/38/1074339338.db2.gz VHGYYPUVWGBLNV-STQMWFEESA-N 0 0 426.905 -0.190 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N[C@@H](COC(C)(C)C)C(=O)OC ZINC001191943158 1074339434 /nfs/dbraw/zinc/33/94/34/1074339434.db2.gz WGHNMEXYGFFUEM-CYDGBPFRSA-N 0 0 446.501 -0.787 20 0 IBADRN O=c1ncccn1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001191943984 1074339259 /nfs/dbraw/zinc/33/92/59/1074339259.db2.gz ULGFQFLQHDKSTR-UHFFFAOYSA-N 0 0 442.519 -0.367 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCn2c(CO)nnc2C1 ZINC001191968241 1074339748 /nfs/dbraw/zinc/33/97/48/1074339748.db2.gz CFUZLVWOQVWZHQ-UHFFFAOYSA-N 0 0 434.522 -0.184 20 0 IBADRN COCCOCCN1CCN(S(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)CC1 ZINC001191977756 1074339602 /nfs/dbraw/zinc/33/96/02/1074339602.db2.gz XUGWSXWGCWRXMW-UHFFFAOYSA-N 0 0 429.495 -0.005 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc2cc(OC)ccc2c1 ZINC001191984763 1074339364 /nfs/dbraw/zinc/33/93/64/1074339364.db2.gz OWENMGLWJNBIPE-UHFFFAOYSA-N 0 0 437.474 -0.078 20 0 IBADRN COc1ccc2cc(S(=O)(=O)NCC(=O)N3CCC[C@H]3C(=O)NCC(N)=O)ccc2c1 ZINC001191991193 1074339804 /nfs/dbraw/zinc/33/98/04/1074339804.db2.gz DODMPJCNVWLIAX-KRWDZBQOSA-N 0 0 448.501 -0.281 20 0 IBADRN COc1ccc2cc(S(=O)(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)ccc2c1 ZINC001191992682 1074339673 /nfs/dbraw/zinc/33/96/73/1074339673.db2.gz RWGIJQFTASEXMF-SLFTXUSXSA-N 0 0 427.475 -0.029 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)[C@@H]1CCC[C@H](OC)C1 ZINC001191994227 1074339611 /nfs/dbraw/zinc/33/96/11/1074339611.db2.gz RVJSMDRHEUZFBE-APIJFGDWSA-N 0 0 426.557 -0.066 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)[C@H]1CCC[C@H](OC)C1 ZINC001191994229 1074339774 /nfs/dbraw/zinc/33/97/74/1074339774.db2.gz RVJSMDRHEUZFBE-CBBWQLFWSA-N 0 0 426.557 -0.066 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)[C@@H]1CCC[C@@H](OC)C1 ZINC001191994230 1074339813 /nfs/dbraw/zinc/33/98/13/1074339813.db2.gz RVJSMDRHEUZFBE-KBUPBQIOSA-N 0 0 426.557 -0.066 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)[C@H]1CCC[C@@H](OC)C1 ZINC001191994232 1074339584 /nfs/dbraw/zinc/33/95/84/1074339584.db2.gz RVJSMDRHEUZFBE-LXTVHRRPSA-N 0 0 426.557 -0.066 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1noc(C)n1)C(N)=O ZINC001192007357 1074339766 /nfs/dbraw/zinc/33/97/66/1074339766.db2.gz CKASVUUEDITXLL-RYUDHWBXSA-N 0 0 428.515 -0.638 20 0 IBADRN COCCCN(CC(=O)NC1CCN(S(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001192011334 1074339644 /nfs/dbraw/zinc/33/96/44/1074339644.db2.gz AUZMSODXNGUAHY-HNNXBMFYSA-N 0 0 425.573 -0.948 20 0 IBADRN COCCCN(CC(=O)NC1CCN(S(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001192011346 1074339757 /nfs/dbraw/zinc/33/97/57/1074339757.db2.gz AUZMSODXNGUAHY-OAHLLOKOSA-N 0 0 425.573 -0.948 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC001192021102 1074339788 /nfs/dbraw/zinc/33/97/88/1074339788.db2.gz AVCNIRQLIGCFEV-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC001192021103 1074339737 /nfs/dbraw/zinc/33/97/37/1074339737.db2.gz AVCNIRQLIGCFEV-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN C[C@H](CN1CCOCC1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001192032151 1074339625 /nfs/dbraw/zinc/33/96/25/1074339625.db2.gz PWXZQNDSDRHSGP-GOSISDBHSA-N 0 0 439.582 -0.055 20 0 IBADRN C[C@@H](CN1CCOCC1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001192032152 1074339684 /nfs/dbraw/zinc/33/96/84/1074339684.db2.gz PWXZQNDSDRHSGP-SFHVURJKSA-N 0 0 439.582 -0.055 20 0 IBADRN CS(C)(=O)=NC(=O)CN1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001192032549 1074339827 /nfs/dbraw/zinc/33/98/27/1074339827.db2.gz SQHOOGPYABWGJD-UHFFFAOYSA-N 0 0 445.567 -0.521 20 0 IBADRN CON1CC[C@H](N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)C1=O ZINC001192032591 1074340056 /nfs/dbraw/zinc/34/00/56/1074340056.db2.gz UYMCMQYQQKWGJC-INIZCTEOSA-N 0 0 425.511 -0.613 20 0 IBADRN CON1CC[C@@H](N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)C1=O ZINC001192032592 1074340240 /nfs/dbraw/zinc/34/02/40/1074340240.db2.gz UYMCMQYQQKWGJC-MRXNPFEDSA-N 0 0 425.511 -0.613 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001192042867 1074340285 /nfs/dbraw/zinc/34/02/85/1074340285.db2.gz OQJKDTZGOSRNNX-MSOLQXFVSA-N 0 0 429.564 -0.285 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001192042868 1074340326 /nfs/dbraw/zinc/34/03/26/1074340326.db2.gz OQJKDTZGOSRNNX-QZTJIDSGSA-N 0 0 429.564 -0.285 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001192042869 1074340267 /nfs/dbraw/zinc/34/02/67/1074340267.db2.gz OQJKDTZGOSRNNX-ROUUACIJSA-N 0 0 429.564 -0.285 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001192042870 1074340183 /nfs/dbraw/zinc/34/01/83/1074340183.db2.gz OQJKDTZGOSRNNX-ZWKOTPCHSA-N 0 0 429.564 -0.285 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](C)O1 ZINC001192075157 1074340259 /nfs/dbraw/zinc/34/02/59/1074340259.db2.gz FMIVCRWAWCXCCZ-BBRMVZONSA-N 0 0 448.519 -0.342 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C[C@H](C)O1 ZINC001192075203 1074340119 /nfs/dbraw/zinc/34/01/19/1074340119.db2.gz GDTHQBSPWMMRQN-BBRMVZONSA-N 0 0 440.474 -0.109 20 0 IBADRN CN(Cc1ccc(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cc1)C(=O)OC(C)(C)C ZINC001192076826 1074340147 /nfs/dbraw/zinc/34/01/47/1074340147.db2.gz UZUFZYMDUHEWRA-HBPKQKSZSA-N 0 0 426.466 -0.417 20 0 IBADRN CN(Cc1ccc(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cc1)C(=O)OC(C)(C)C ZINC001192076829 1074340045 /nfs/dbraw/zinc/34/00/45/1074340045.db2.gz UZUFZYMDUHEWRA-XSDHEKCYSA-N 0 0 426.466 -0.417 20 0 IBADRN CCCN(CC(F)(F)F)C(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001192080603 1074340106 /nfs/dbraw/zinc/34/01/06/1074340106.db2.gz LCKABXMTJXOPQR-CYBMUJFWSA-N 0 0 449.517 -0.078 20 0 IBADRN CCCN(CC(F)(F)F)C(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001192080604 1074340030 /nfs/dbraw/zinc/34/00/30/1074340030.db2.gz LCKABXMTJXOPQR-ZDUSSCGKSA-N 0 0 449.517 -0.078 20 0 IBADRN O=C(Cc1ccccc1)OCCN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001192081181 1074340204 /nfs/dbraw/zinc/34/02/04/1074340204.db2.gz UMSMYVSERZRLGV-KRWDZBQOSA-N 0 0 430.548 -0.093 20 0 IBADRN O=C(Cc1ccccc1)OCCN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001192081182 1074340250 /nfs/dbraw/zinc/34/02/50/1074340250.db2.gz UMSMYVSERZRLGV-QGZVFWFLSA-N 0 0 430.548 -0.093 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(C(=O)NCc3cc(=O)oc4cc5c(cc34)OCO5)C[C@H]21 ZINC001192083757 1074340134 /nfs/dbraw/zinc/34/01/34/1074340134.db2.gz DMSJVJKJSKPUPS-KDOFPFPSSA-N 0 0 444.444 -0.138 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CC(=O)N(CC(=O)N(C)C)CC(F)(F)F)CC1 ZINC001192107363 1074340704 /nfs/dbraw/zinc/34/07/04/1074340704.db2.gz GKMSNPKAJLTKDL-UHFFFAOYSA-N 0 0 446.492 -0.551 20 0 IBADRN COC[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001192120529 1074340505 /nfs/dbraw/zinc/34/05/05/1074340505.db2.gz BFEOXWZLNKJYFH-HUUCEWRRSA-N 0 0 434.536 -0.214 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CC(N2CC[C@@H](O)C2)C1 ZINC001192131208 1074340660 /nfs/dbraw/zinc/34/06/60/1074340660.db2.gz IGRFVJNGLYOJCD-LLVKDONJSA-N 0 0 432.300 -0.060 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(S(=O)(=O)c2cnn(C)c2I)C1 ZINC001192131551 1074340696 /nfs/dbraw/zinc/34/06/96/1074340696.db2.gz OTRFCVDCJYVNRT-HTQZYQBOSA-N 0 0 429.236 -0.431 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(S(=O)(=O)c2cnn(C)c2I)C1 ZINC001192131552 1074340614 /nfs/dbraw/zinc/34/06/14/1074340614.db2.gz OTRFCVDCJYVNRT-JGVFFNPUSA-N 0 0 429.236 -0.431 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(S(=O)(=O)c2cnn(C)c2I)C1 ZINC001192131553 1074340683 /nfs/dbraw/zinc/34/06/83/1074340683.db2.gz OTRFCVDCJYVNRT-SFYZADRCSA-N 0 0 429.236 -0.431 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(S(=O)(=O)c2cnn(C)c2I)C1 ZINC001192131554 1074340647 /nfs/dbraw/zinc/34/06/47/1074340647.db2.gz OTRFCVDCJYVNRT-YUMQZZPRSA-N 0 0 429.236 -0.431 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCN(CC(=O)NC3CCCCC3)CC2)CC1 ZINC001192148273 1074340546 /nfs/dbraw/zinc/34/05/46/1074340546.db2.gz FJTKXVPAABQTMJ-UHFFFAOYSA-N 0 0 443.614 -0.407 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cccnc2OC2CCOCC2)c(=O)n1 ZINC001192154138 1074340732 /nfs/dbraw/zinc/34/07/32/1074340732.db2.gz GQFVZIWECOGMPJ-NVQRDWNXSA-N 0 0 447.448 -0.930 20 0 IBADRN CCN1C[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001192177274 1074341126 /nfs/dbraw/zinc/34/11/26/1074341126.db2.gz QIEDDQPNBKTQCQ-AWEZNQCLSA-N 0 0 431.536 -0.146 20 0 IBADRN CCN1C[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001192177275 1074341118 /nfs/dbraw/zinc/34/11/18/1074341118.db2.gz QIEDDQPNBKTQCQ-CQSZACIVSA-N 0 0 431.536 -0.146 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001192199229 1074340673 /nfs/dbraw/zinc/34/06/73/1074340673.db2.gz BEFYCBMLEPRYOB-UHFFFAOYSA-N 0 0 432.568 -0.006 20 0 IBADRN O=C1C[C@H](S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)CN1C1CC1 ZINC001192202740 1074341030 /nfs/dbraw/zinc/34/10/30/1074341030.db2.gz URQXIEGNQIUQAO-KRWDZBQOSA-N 0 0 442.563 -0.268 20 0 IBADRN O=C1C[C@@H](S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)CN1C1CC1 ZINC001192202743 1074341046 /nfs/dbraw/zinc/34/10/46/1074341046.db2.gz URQXIEGNQIUQAO-QGZVFWFLSA-N 0 0 442.563 -0.268 20 0 IBADRN O=C(Nc1ccc(OC(F)F)cc1)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001192204124 1074340878 /nfs/dbraw/zinc/34/08/78/1074340878.db2.gz LNHNHTOTRJHDKV-FMKGYKFTSA-N 0 0 428.348 -0.009 20 0 IBADRN COC(=O)[C@H]1C[C@H]1c1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001192211203 1074341089 /nfs/dbraw/zinc/34/10/89/1074341089.db2.gz PMXNSVOQAXXGAE-LLLUYQIQSA-N 0 0 444.444 -0.606 20 0 IBADRN COC(=O)[C@H]1C[C@@H]1c1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001192211207 1074341098 /nfs/dbraw/zinc/34/10/98/1074341098.db2.gz PMXNSVOQAXXGAE-RQJIZHACSA-N 0 0 444.444 -0.606 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]1c1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001192211210 1074340864 /nfs/dbraw/zinc/34/08/64/1074340864.db2.gz PMXNSVOQAXXGAE-WIHHDPKWSA-N 0 0 444.444 -0.606 20 0 IBADRN COC(=O)[C@@H]1C[C@H]1c1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001192211214 1074341057 /nfs/dbraw/zinc/34/10/57/1074341057.db2.gz PMXNSVOQAXXGAE-ZQLWZKNSSA-N 0 0 444.444 -0.606 20 0 IBADRN CCOC(=O)C[C@@H](C(F)(F)F)S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC001192220538 1074341134 /nfs/dbraw/zinc/34/11/34/1074341134.db2.gz HTCMQJXCMRHALI-JTQLQIEISA-N 0 0 425.451 -0.376 20 0 IBADRN CCOC(=O)C[C@H](C(F)(F)F)S(=O)(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC001192220539 1074341068 /nfs/dbraw/zinc/34/10/68/1074341068.db2.gz HTCMQJXCMRHALI-SNVBAGLBSA-N 0 0 425.451 -0.376 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCOC(=O)N(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC001192245786 1074341019 /nfs/dbraw/zinc/34/10/19/1074341019.db2.gz QHUKUGRACKIIDJ-UHFFFAOYSA-N 0 0 435.524 -0.008 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cc(N3CCOCC3)ccn1)C2 ZINC001192250825 1074340988 /nfs/dbraw/zinc/34/09/88/1074340988.db2.gz NXLHRAZJRBYGLP-UHFFFAOYSA-N 0 0 429.481 -0.230 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc(N2CCOCC2)ccn1 ZINC001192259740 1074340892 /nfs/dbraw/zinc/34/08/92/1074340892.db2.gz GXDARQPIKYITTG-ROUUACIJSA-N 0 0 441.492 -0.541 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cc(N4CCOCC4)ccn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001192261242 1074340936 /nfs/dbraw/zinc/34/09/36/1074340936.db2.gz VGQRXUHKJFNSBY-USXIJHARSA-N 0 0 431.449 -0.433 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2nc(Cc3ccccc3)no2)c(=O)n1 ZINC001192276632 1074341149 /nfs/dbraw/zinc/34/11/49/1074341149.db2.gz GHPRFCHPPXBSSQ-XKLVTHTNSA-N 0 0 428.405 -0.909 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(O)(CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001192284929 1074341110 /nfs/dbraw/zinc/34/11/10/1074341110.db2.gz BVXWVYZCTWHQFM-UHFFFAOYSA-N 0 0 434.536 -0.476 20 0 IBADRN Cc1nnc(-c2cccc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)c2)o1 ZINC001192288943 1074341480 /nfs/dbraw/zinc/34/14/80/1074341480.db2.gz PLZKKTPJZZTXKF-UHFFFAOYSA-N 0 0 429.524 -0.099 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cccc(-c2nnc(C)o2)c1 ZINC001192292614 1074341415 /nfs/dbraw/zinc/34/14/15/1074341415.db2.gz HLWFVBUNXVHWGE-UHFFFAOYSA-N 0 0 439.450 -0.881 20 0 IBADRN Cc1nnc(-c2cccc(S(=O)(=O)NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)c2)o1 ZINC001192295243 1074341386 /nfs/dbraw/zinc/34/13/86/1074341386.db2.gz XQXCJDXWKYBHKR-UHFFFAOYSA-N 0 0 448.461 -0.290 20 0 IBADRN Cc1nnc(-c2cccc(S(=O)(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)c2)o1 ZINC001192304586 1074341534 /nfs/dbraw/zinc/34/15/34/1074341534.db2.gz VHZFVIIOTLQKID-NLYHGNEKSA-N 0 0 429.451 -0.833 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@H]2CCO[C@H]2C(=O)OC)s1 ZINC001192310512 1074341519 /nfs/dbraw/zinc/34/15/19/1074341519.db2.gz XGYBUOIPHZRPJE-WCQYABFASA-N 0 0 426.538 -0.161 20 0 IBADRN Cn1nccc1-c1cccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1 ZINC001192311641 1074341464 /nfs/dbraw/zinc/34/14/64/1074341464.db2.gz VETKSZNCEMGBSF-KLICCBINSA-N 0 0 426.433 -0.482 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cccc(OC3CCOCC3)n2)c(=O)n1 ZINC001192338648 1074341500 /nfs/dbraw/zinc/34/15/00/1074341500.db2.gz FRJGDROXHQTMSZ-VVHMCBODSA-N 0 0 447.448 -0.930 20 0 IBADRN C[C@@H](O)c1ccc(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)cc1 ZINC001192372394 1074341403 /nfs/dbraw/zinc/34/14/03/1074341403.db2.gz DYBGXNNRNJXXPL-KZHUKFLJSA-N 0 0 442.476 -0.005 20 0 IBADRN C[C@H](O)c1ccc(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)cc1 ZINC001192372395 1074341541 /nfs/dbraw/zinc/34/15/41/1074341541.db2.gz DYBGXNNRNJXXPL-XMVHIEKNSA-N 0 0 442.476 -0.005 20 0 IBADRN CN(C)CCN(CC(=O)N(C)C)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001192388526 1074341301 /nfs/dbraw/zinc/34/13/01/1074341301.db2.gz ZLIIATDPAJBJNF-UHFFFAOYSA-N 0 0 441.476 -0.042 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3ccn[nH]c3=O)CC2)c2nonc21 ZINC001192389317 1074341332 /nfs/dbraw/zinc/34/13/32/1074341332.db2.gz POVOVGLDKIOILY-UHFFFAOYSA-N 0 0 433.450 -0.069 20 0 IBADRN O=C(c1ccn[nH]c1=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001192390223 1074341783 /nfs/dbraw/zinc/34/17/83/1074341783.db2.gz NJAQXQXFHRZWBK-UONOGXRCSA-N 0 0 432.462 -0.655 20 0 IBADRN Cc1cc(Cl)ncc1S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001192392501 1074341920 /nfs/dbraw/zinc/34/19/20/1074341920.db2.gz BDLFHMIPXKIZLU-KBPBESRZSA-N 0 0 440.909 -0.105 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cnc(Cl)cc1C ZINC001192395089 1074341740 /nfs/dbraw/zinc/34/17/40/1074341740.db2.gz YDXCHMKHNDTRQA-DCAQKATOSA-N 0 0 434.902 -0.107 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccn[nH]c1=O)C(N)=O ZINC001192404483 1074341950 /nfs/dbraw/zinc/34/19/50/1074341950.db2.gz HRLOSKVFMRQOJI-STQMWFEESA-N 0 0 440.526 -0.834 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)C[C@@H](NS(=O)(=O)CC1CN(S(C)(=O)=O)C1)CO2 ZINC001192406283 1074342008 /nfs/dbraw/zinc/34/20/08/1074342008.db2.gz XRIVGQLILYWIOU-CYBMUJFWSA-N 0 0 439.556 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)C[C@H](NS(=O)(=O)CC1CN(S(C)(=O)=O)C1)CO2 ZINC001192406285 1074341965 /nfs/dbraw/zinc/34/19/65/1074341965.db2.gz XRIVGQLILYWIOU-ZDUSSCGKSA-N 0 0 439.556 -0.424 20 0 IBADRN COc1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cc(OC)n1 ZINC001192412181 1074341990 /nfs/dbraw/zinc/34/19/90/1074341990.db2.gz FMFDAULZIFBSFM-GJZGRUSLSA-N 0 0 449.508 -0.164 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001192440486 1074341873 /nfs/dbraw/zinc/34/18/73/1074341873.db2.gz MEVRUBKSZDLILJ-UHFFFAOYSA-N 0 0 443.345 -0.615 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c(C)n1C ZINC001192448480 1074341999 /nfs/dbraw/zinc/34/19/99/1074341999.db2.gz ZDVXGWAJXHNAJK-NOAAKOMESA-N 0 0 429.481 -0.103 20 0 IBADRN COC(=O)c1ncccc1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001192495716 1074341855 /nfs/dbraw/zinc/34/18/55/1074341855.db2.gz QKDDOGUBQGKHBY-GJZGRUSLSA-N 0 0 447.492 -0.395 20 0 IBADRN Cc1cc(=O)oc(C)c1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001192517129 1074341979 /nfs/dbraw/zinc/34/19/79/1074341979.db2.gz HUTSIGVCVOMGGU-GJZGRUSLSA-N 0 0 434.493 -0.006 20 0 IBADRN Cc1cc(=O)oc(C)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001192517868 1074341972 /nfs/dbraw/zinc/34/19/72/1074341972.db2.gz VWECCPVHPNPHSZ-ARFHVFGLSA-N 0 0 438.502 -0.009 20 0 IBADRN Cc1cc(=O)oc(C)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001192517872 1074341729 /nfs/dbraw/zinc/34/17/29/1074341729.db2.gz VWECCPVHPNPHSZ-BZUAXINKSA-N 0 0 438.502 -0.009 20 0 IBADRN Cc1cc(=O)oc(C)c1C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001192528303 1074342348 /nfs/dbraw/zinc/34/23/48/1074342348.db2.gz NYLDJTXGEKGTQU-GGEUHJLKSA-N 0 0 444.448 -0.488 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC001192534934 1074342291 /nfs/dbraw/zinc/34/22/91/1074342291.db2.gz BVIYYGHFDWPZPA-GFCCVEGCSA-N 0 0 425.554 -0.361 20 0 IBADRN COCCOCCN(CCO)S(=O)(=O)c1cnn(C)c1I ZINC001192536196 1074342237 /nfs/dbraw/zinc/34/22/37/1074342237.db2.gz VCELKYCSBBFGED-UHFFFAOYSA-N 0 0 433.268 -0.329 20 0 IBADRN Cc1nn(-c2ccccc2)nc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001192548681 1074341796 /nfs/dbraw/zinc/34/17/96/1074341796.db2.gz ZAJSWWQXKKSEEN-HALQFCHDSA-N 0 0 427.421 -0.994 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cnc(Cl)c(C)c1 ZINC001192596232 1074342217 /nfs/dbraw/zinc/34/22/17/1074342217.db2.gz YQRLYUMEDQFIIP-DCAQKATOSA-N 0 0 434.902 -0.107 20 0 IBADRN Cc1cc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cnc1Cl ZINC001192601472 1074342316 /nfs/dbraw/zinc/34/23/16/1074342316.db2.gz HAXASFZLVNPSHS-GJZGRUSLSA-N 0 0 440.909 -0.105 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNC(=O)Nc1ccc(N2C(=O)C=CC2=O)cc1 ZINC001192621844 1074342331 /nfs/dbraw/zinc/34/23/31/1074342331.db2.gz DEFLYEGVAYDKCR-UHFFFAOYSA-N 0 0 431.405 -0.967 20 0 IBADRN Cc1cn(CC(=O)NCCNC(=O)Nc2ccc(N3C(=O)C=CC3=O)cc2)c(=O)[nH]c1=O ZINC001192623367 1074342545 /nfs/dbraw/zinc/34/25/45/1074342545.db2.gz NSUNPSZRNUAAPM-UHFFFAOYSA-N 0 0 440.416 -0.375 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001192634199 1074342637 /nfs/dbraw/zinc/34/26/37/1074342637.db2.gz FEPPTOLPNIOLJX-JHJVBQTASA-N 0 0 426.513 -0.976 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001192634201 1074342571 /nfs/dbraw/zinc/34/25/71/1074342571.db2.gz FEPPTOLPNIOLJX-UPJWGTAASA-N 0 0 426.513 -0.976 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001192634203 1074342670 /nfs/dbraw/zinc/34/26/70/1074342670.db2.gz FEPPTOLPNIOLJX-XQQFMLRXSA-N 0 0 426.513 -0.976 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001192634205 1074342765 /nfs/dbraw/zinc/34/27/65/1074342765.db2.gz FEPPTOLPNIOLJX-YNEHKIRRSA-N 0 0 426.513 -0.976 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1c(Br)cccc1C(F)(F)F ZINC001192641503 1074342698 /nfs/dbraw/zinc/34/26/98/1074342698.db2.gz LYJCQMNADJKYOV-BCPMIOLYSA-N 0 0 430.173 -0.002 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1c(Br)cccc1C(F)(F)F ZINC001192641507 1074342652 /nfs/dbraw/zinc/34/26/52/1074342652.db2.gz LYJCQMNADJKYOV-SDAAFIMUSA-N 0 0 430.173 -0.002 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC001192643470 1074342559 /nfs/dbraw/zinc/34/25/59/1074342559.db2.gz BGZHATVINHBSOG-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN O=S(=O)(NC1CC1)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC001192644567 1074342687 /nfs/dbraw/zinc/34/26/87/1074342687.db2.gz ZDUSUPLEOAUYFX-UHFFFAOYSA-N 0 0 449.576 -0.074 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(S(=O)(=O)N=[S@](=O)(c3ccccc3)N(C)C)C[C@H]21 ZINC001192651068 1074342309 /nfs/dbraw/zinc/34/23/09/1074342309.db2.gz CGROJWYJNKIXSA-MWAWMACUSA-N 0 0 437.565 -0.021 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(S(=O)(=O)N=[S@@](=O)(c3ccccc3)N(C)C)C[C@H]21 ZINC001192651069 1074342368 /nfs/dbraw/zinc/34/23/68/1074342368.db2.gz CGROJWYJNKIXSA-OCLSWPIUSA-N 0 0 437.565 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc1C(=O)N(C)C ZINC001192651384 1074342358 /nfs/dbraw/zinc/34/23/58/1074342358.db2.gz GSLILECINDHRFW-IMJJTQAJSA-N 0 0 432.520 -0.171 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1C[C@H]3[C@@H](C1)[C@H](OC)CS3(=O)=O)OCC(=O)N2 ZINC001192652091 1074342250 /nfs/dbraw/zinc/34/22/50/1074342250.db2.gz JUTWILACSAHUHI-RJIBLYRGSA-N 0 0 432.476 -0.541 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC001192652333 1074342376 /nfs/dbraw/zinc/34/23/76/1074342376.db2.gz MLTQXMXYKJNSOT-HNNXBMFYSA-N 0 0 426.495 -0.338 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)CCO1 ZINC001192652335 1074342338 /nfs/dbraw/zinc/34/23/38/1074342338.db2.gz MLTQXMXYKJNSOT-OAHLLOKOSA-N 0 0 426.495 -0.338 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CC(NS(C)(=O)=O)C1 ZINC001192652395 1074342391 /nfs/dbraw/zinc/34/23/91/1074342391.db2.gz NOVZQFGKMORHTE-UHFFFAOYSA-N 0 0 425.916 -0.028 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)N2CC(NS(C)(=O)=O)C2)c1 ZINC001192652880 1074342258 /nfs/dbraw/zinc/34/22/58/1074342258.db2.gz RISOWOFPMWZYNA-AATRIKPKSA-N 0 0 434.492 -0.188 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)N2CC(NS(C)(=O)=O)C2)c1 ZINC001192652882 1074342299 /nfs/dbraw/zinc/34/22/99/1074342299.db2.gz RISOWOFPMWZYNA-WAYWQWQTSA-N 0 0 434.492 -0.188 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC001192653687 1074342228 /nfs/dbraw/zinc/34/22/28/1074342228.db2.gz YODPITAQPLKYCE-AWEZNQCLSA-N 0 0 446.551 -0.210 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CCO1 ZINC001192653689 1074342325 /nfs/dbraw/zinc/34/23/25/1074342325.db2.gz YODPITAQPLKYCE-CQSZACIVSA-N 0 0 446.551 -0.210 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@@]1(CO)COCC2(CCC2)N1C ZINC001192680735 1074342677 /nfs/dbraw/zinc/34/26/77/1074342677.db2.gz ONAGQDVJAMIDLC-GOSISDBHSA-N 0 0 447.579 -0.169 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NC[C@]1(CO)COCC2(CCC2)N1C ZINC001192680736 1074342704 /nfs/dbraw/zinc/34/27/04/1074342704.db2.gz ONAGQDVJAMIDLC-SFHVURJKSA-N 0 0 447.579 -0.169 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNC(=O)Nc1ccc(N2CCOCC2)c(F)c1 ZINC001192694318 1074342951 /nfs/dbraw/zinc/34/29/51/1074342951.db2.gz SZTLDSLHGBIDQK-UHFFFAOYSA-N 0 0 439.444 -0.421 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)Nc2ccc(N3CCOCC3)c(F)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001192707058 1074343330 /nfs/dbraw/zinc/34/33/30/1074343330.db2.gz KTYZWKIFSXKAKO-XOYXNHQRSA-N 0 0 429.445 -0.372 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)NC2CCN(S(N)(=O)=O)CC2)CC1 ZINC001192741808 1074343031 /nfs/dbraw/zinc/34/30/31/1074343031.db2.gz PVGLKGMMFLYOGY-UHFFFAOYSA-N 0 0 426.561 -0.458 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](S(=O)(=O)NC2CCN(S(N)(=O)=O)CC2)C1 ZINC001192741848 1074343052 /nfs/dbraw/zinc/34/30/52/1074343052.db2.gz QFCGWVHFLHMLID-CYBMUJFWSA-N 0 0 426.561 -0.027 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](S(=O)(=O)NC2CCN(S(N)(=O)=O)CC2)C1 ZINC001192741853 1074342972 /nfs/dbraw/zinc/34/29/72/1074342972.db2.gz QFCGWVHFLHMLID-ZDUSSCGKSA-N 0 0 426.561 -0.027 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCN(C)C[C@H]3CO)cc2n(C)c1=O ZINC001192742674 1074343096 /nfs/dbraw/zinc/34/30/96/1074343096.db2.gz ZAAYEDOTPUSGBV-JTQLQIEISA-N 0 0 433.328 -0.064 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCN(C)C[C@@H]3CO)cc2n(C)c1=O ZINC001192742676 1074342939 /nfs/dbraw/zinc/34/29/39/1074342939.db2.gz ZAAYEDOTPUSGBV-SNVBAGLBSA-N 0 0 433.328 -0.064 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC001192742709 1074343117 /nfs/dbraw/zinc/34/31/17/1074343117.db2.gz ZCWGJKKMBAYLQI-HNNXBMFYSA-N 0 0 433.552 -0.687 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C)C[C@@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC001192742710 1074343523 /nfs/dbraw/zinc/34/35/23/1074343523.db2.gz ZCWGJKKMBAYLQI-OAHLLOKOSA-N 0 0 433.552 -0.687 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)c3ccc4c(c3)C(=O)N(C)C4=O)CC2)CCOC1 ZINC001192754461 1074343559 /nfs/dbraw/zinc/34/35/59/1074343559.db2.gz OUYSWKVYGHWBBB-IBGZPJMESA-N 0 0 437.474 -0.449 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)c3ccc4c(c3)C(=O)N(C)C4=O)CC2)CCOC1 ZINC001192754462 1074343532 /nfs/dbraw/zinc/34/35/32/1074343532.db2.gz OUYSWKVYGHWBBB-LJQANCHMSA-N 0 0 437.474 -0.449 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)CCC(C)(C)S(C)(=O)=O)CC2)CCOC1 ZINC001192754471 1074343449 /nfs/dbraw/zinc/34/34/49/1074343449.db2.gz PBSZLVLEJHUALG-INIZCTEOSA-N 0 0 426.557 -0.521 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)CCC(C)(C)S(C)(=O)=O)CC2)CCOC1 ZINC001192754472 1074343406 /nfs/dbraw/zinc/34/34/06/1074343406.db2.gz PBSZLVLEJHUALG-MRXNPFEDSA-N 0 0 426.557 -0.521 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)Cc3cccc(S(C)(=O)=O)c3)CC2)CCOC1 ZINC001192755010 1074343320 /nfs/dbraw/zinc/34/33/20/1074343320.db2.gz TVBAKPXOHSNLKQ-GOSISDBHSA-N 0 0 446.547 -0.130 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)Cc3cccc(S(C)(=O)=O)c3)CC2)CCOC1 ZINC001192755011 1074343342 /nfs/dbraw/zinc/34/33/42/1074343342.db2.gz TVBAKPXOHSNLKQ-SFHVURJKSA-N 0 0 446.547 -0.130 20 0 IBADRN CC1(c2nnc(C3CCOCC3)o2)CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)C1 ZINC001192775982 1074343352 /nfs/dbraw/zinc/34/33/52/1074343352.db2.gz NIZVXDOJLBHNRP-UHFFFAOYSA-N 0 0 434.540 -0.242 20 0 IBADRN NS(=O)(=O)c1cc2c(s1)CCN(S(=O)(=O)C[C@H]1CCCS(=O)(=O)C1)C2 ZINC001192776972 1074343551 /nfs/dbraw/zinc/34/35/51/1074343551.db2.gz ZOQVULPJQRXADN-JTQLQIEISA-N 0 0 428.579 -0.092 20 0 IBADRN NS(=O)(=O)c1cc2c(s1)CCN(S(=O)(=O)C[C@@H]1CCCS(=O)(=O)C1)C2 ZINC001192776973 1074343470 /nfs/dbraw/zinc/34/34/70/1074343470.db2.gz ZOQVULPJQRXADN-SNVBAGLBSA-N 0 0 428.579 -0.092 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNC(=O)N[C@@H](Cc1ccccc1)C(=O)OCC ZINC001192781555 1074343484 /nfs/dbraw/zinc/34/34/84/1074343484.db2.gz IDAXOSWNXDBTMB-HNNXBMFYSA-N 0 0 436.465 -0.745 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNC(=O)N[C@H](Cc1ccccc1)C(=O)OCC ZINC001192781557 1074343395 /nfs/dbraw/zinc/34/33/95/1074343395.db2.gz IDAXOSWNXDBTMB-OAHLLOKOSA-N 0 0 436.465 -0.745 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccc1)NC(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001192784377 1074343493 /nfs/dbraw/zinc/34/34/93/1074343493.db2.gz GUFIBECOZHFXMH-INIZCTEOSA-N 0 0 445.476 -0.153 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccc1)NC(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001192784378 1074343421 /nfs/dbraw/zinc/34/34/21/1074343421.db2.gz GUFIBECOZHFXMH-MRXNPFEDSA-N 0 0 445.476 -0.153 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccc1)NC(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001192798163 1074343795 /nfs/dbraw/zinc/34/37/95/1074343795.db2.gz LWHKPHZNPWLDPX-DESVYNQGSA-N 0 0 426.466 -0.696 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccc1)NC(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001192798164 1074343950 /nfs/dbraw/zinc/34/39/50/1074343950.db2.gz LWHKPHZNPWLDPX-HGOLCKAWSA-N 0 0 426.466 -0.696 20 0 IBADRN CCOC(=O)[C@@H](Cc1ccccc1)NC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001192801489 1074343879 /nfs/dbraw/zinc/34/38/79/1074343879.db2.gz VKQUBTIKGMCMTK-CVEARBPZSA-N 0 0 447.492 -0.948 20 0 IBADRN CCOC(=O)[C@H](Cc1ccccc1)NC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001192801519 1074343809 /nfs/dbraw/zinc/34/38/09/1074343809.db2.gz VKQUBTIKGMCMTK-HOTGVXAUSA-N 0 0 447.492 -0.948 20 0 IBADRN CCc1ccc(OC)c(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c1 ZINC001192840974 1074343851 /nfs/dbraw/zinc/34/38/51/1074343851.db2.gz ZVGUWKAOMXNWKO-BASLNEPJSA-N 0 0 441.462 -0.882 20 0 IBADRN Cc1c(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cnn1-c1ccc(Br)cc1 ZINC001192841790 1074343862 /nfs/dbraw/zinc/34/38/62/1074343862.db2.gz JZENZJOQFBHDFS-DRXUAVOGSA-N 0 0 442.266 -0.527 20 0 IBADRN Cc1c(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cnn1-c1ccc(Br)cc1 ZINC001192841791 1074343780 /nfs/dbraw/zinc/34/37/80/1074343780.db2.gz JZENZJOQFBHDFS-IETPZCKNSA-N 0 0 442.266 -0.527 20 0 IBADRN CCc1ccc(OC)c(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)c1 ZINC001192846140 1074343908 /nfs/dbraw/zinc/34/39/08/1074343908.db2.gz JMDKHRMQONZDFU-ZDUSSCGKSA-N 0 0 426.495 -0.872 20 0 IBADRN Cn1nc(Cl)cc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001192908784 1074343915 /nfs/dbraw/zinc/34/39/15/1074343915.db2.gz AACFBCSYGIUUOU-OUCADQQQSA-N 0 0 430.914 -0.192 20 0 IBADRN Cn1nc(Cl)cc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001192908785 1074343886 /nfs/dbraw/zinc/34/38/86/1074343886.db2.gz AACFBCSYGIUUOU-YRGRVCCFSA-N 0 0 430.914 -0.192 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cc(Cl)nn1C)C(=O)NCC(N)=O ZINC001192912937 1074343721 /nfs/dbraw/zinc/34/37/21/1074343721.db2.gz SYFAYOBSYGUTEG-RYUDHWBXSA-N 0 0 426.905 -0.190 20 0 IBADRN Cc1cnncc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001192928268 1074344273 /nfs/dbraw/zinc/34/42/73/1074344273.db2.gz IHKRZGPNXNJVBM-JKSUJKDBSA-N 0 0 430.490 -0.052 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cnccc1Cl ZINC001192928424 1074344364 /nfs/dbraw/zinc/34/43/64/1074344364.db2.gz AQCMTCMGBGBYQZ-KBPBESRZSA-N 0 0 426.882 -0.413 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cnccc1Cl ZINC001192929063 1074344147 /nfs/dbraw/zinc/34/41/47/1074344147.db2.gz ODANFBIFGKMODO-KBPBESRZSA-N 0 0 442.881 -0.707 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1cnccc1Cl)NC(=O)CNC(C)=O ZINC001192929364 1074344387 /nfs/dbraw/zinc/34/43/87/1074344387.db2.gz VGGDIDOKFDRHNA-ZDUSSCGKSA-N 0 0 434.902 -0.023 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCN(c3ccc(F)cc3C(N)=O)CC2)C1 ZINC001192932317 1074344309 /nfs/dbraw/zinc/34/43/09/1074344309.db2.gz MQLVLJRXKMTNSI-CYBMUJFWSA-N 0 0 448.542 -0.342 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCN(c3ccc(F)cc3C(N)=O)CC2)C1 ZINC001192932322 1074344197 /nfs/dbraw/zinc/34/41/97/1074344197.db2.gz MQLVLJRXKMTNSI-ZDUSSCGKSA-N 0 0 448.542 -0.342 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnncc1C)C(N)=O ZINC001192947573 1074343973 /nfs/dbraw/zinc/34/39/73/1074343973.db2.gz XQCPNQYFVVTPIJ-GJZGRUSLSA-N 0 0 438.554 -0.231 20 0 IBADRN CCc1cccc(C(=O)n2c(N)c3ncn([C@@H]4O[C@H](CO)[C@@H](O)[C@H]4OC)c3nc2=N)c1 ZINC001192957170 1074343870 /nfs/dbraw/zinc/34/38/70/1074343870.db2.gz MDPUBZKPIUUZQM-QEPJRFBGSA-N 0 0 428.449 -0.189 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)COC)nc2C1 ZINC001193027538 1074344706 /nfs/dbraw/zinc/34/47/06/1074344706.db2.gz XJFLKWADLCFIIT-UHFFFAOYSA-N 0 0 444.510 -0.617 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)N1C[C@@H]2c3nc(N(C)C)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001193027572 1074344693 /nfs/dbraw/zinc/34/46/93/1074344693.db2.gz YCPVWDZPASDGFE-QWHCGFSZSA-N 0 0 446.551 -0.090 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N(C)C)nc2C1 ZINC001193033596 1074344640 /nfs/dbraw/zinc/34/46/40/1074344640.db2.gz BSAKVGSXUJUNIS-UHFFFAOYSA-N 0 0 443.526 -0.108 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)N1Cc2ccnn2C[C@@H](C(=O)N2CCOCC2)C1 ZINC001193034373 1074344354 /nfs/dbraw/zinc/34/43/54/1074344354.db2.gz IMYNZCWDQQXVKP-AWEZNQCLSA-N 0 0 428.511 -0.155 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)N1Cc2ccnn2C[C@H](C(=O)N2CCOCC2)C1 ZINC001193034375 1074344299 /nfs/dbraw/zinc/34/42/99/1074344299.db2.gz IMYNZCWDQQXVKP-CQSZACIVSA-N 0 0 428.511 -0.155 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)N1CCc2c(n(C)nc2C(=O)N2CCN(C)CC2)C1 ZINC001193035782 1074344319 /nfs/dbraw/zinc/34/43/19/1074344319.db2.gz UIDLWFPJXNAKJR-UHFFFAOYSA-N 0 0 441.554 -0.163 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001193035861 1074344222 /nfs/dbraw/zinc/34/42/22/1074344222.db2.gz PTNCACARJYRSFL-GOSISDBHSA-N 0 0 444.510 -0.474 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001193035862 1074344335 /nfs/dbraw/zinc/34/43/35/1074344335.db2.gz PTNCACARJYRSFL-SFHVURJKSA-N 0 0 444.510 -0.474 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cc(Br)cnc1C(F)(F)F ZINC001193036657 1074344344 /nfs/dbraw/zinc/34/43/44/1074344344.db2.gz JGFLOYYOTRJOQO-QFQOQTIOSA-N 0 0 431.161 -0.607 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cc(Br)cnc1C(F)(F)F ZINC001193036659 1074344247 /nfs/dbraw/zinc/34/42/47/1074344247.db2.gz JGFLOYYOTRJOQO-ZTVJRRTOSA-N 0 0 431.161 -0.607 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCN(S(C)(=O)=O)c3ccccc3C2)CC1 ZINC001193043215 1074344181 /nfs/dbraw/zinc/34/41/81/1074344181.db2.gz FZIBSFMABCRXNK-UHFFFAOYSA-N 0 0 444.579 -0.192 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3nnn(-c4ccccc4Cl)n3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001193062337 1074344775 /nfs/dbraw/zinc/34/47/75/1074344775.db2.gz ZLWQRRPTQLKNBG-IACUBPJLSA-N 0 0 447.839 -0.035 20 0 IBADRN COc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(F)c(F)c1F ZINC001193063444 1074344682 /nfs/dbraw/zinc/34/46/82/1074344682.db2.gz GWWLJIIOXGHDPG-ZGGVEUJPSA-N 0 0 430.339 -0.457 20 0 IBADRN CCOC1(CS(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)CCOCC1 ZINC001193070653 1074344669 /nfs/dbraw/zinc/34/46/69/1074344669.db2.gz BIAYWOLCBXMXJJ-STQMWFEESA-N 0 0 433.483 -0.930 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1c(C(F)(F)F)cnn1C ZINC001193071339 1074344650 /nfs/dbraw/zinc/34/46/50/1074344650.db2.gz JTDIOCIEQVEOIK-CBAPKCEASA-N 0 0 439.372 -0.705 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001193072022 1074344798 /nfs/dbraw/zinc/34/47/98/1074344798.db2.gz OXUSJAXJAOVINB-AAEUAGOBSA-N 0 0 439.446 -0.296 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)CCc1noc2ccccc12 ZINC001193072177 1074344625 /nfs/dbraw/zinc/34/46/25/1074344625.db2.gz RAHZYXDWAPRWCI-FZMZJTMJSA-N 0 0 436.446 -0.132 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)C[C@H](OC)c1ccccc1 ZINC001193072246 1074344747 /nfs/dbraw/zinc/34/47/47/1074344747.db2.gz SAALMYMWFHUVPF-KKUMJFAQSA-N 0 0 425.463 -0.128 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)C[C@@H](OC)c1ccccc1 ZINC001193072248 1074344717 /nfs/dbraw/zinc/34/47/17/1074344717.db2.gz SAALMYMWFHUVPF-SOUVJXGZSA-N 0 0 425.463 -0.128 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)CCOCc1ccccc1 ZINC001193072531 1074344787 /nfs/dbraw/zinc/34/47/87/1074344787.db2.gz YKXYDHAFYOATLS-GJZGRUSLSA-N 0 0 425.463 -0.299 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001193072575 1074344728 /nfs/dbraw/zinc/34/47/28/1074344728.db2.gz ZVKODCRNWHFLGF-AAEUAGOBSA-N 0 0 425.419 -0.670 20 0 IBADRN Cc1nc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)ccc1Br ZINC001193079451 1074344578 /nfs/dbraw/zinc/34/45/78/1074344578.db2.gz JBYNRUGQRDUFNH-BPGGGUHBSA-N 0 0 440.254 -0.417 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCO1 ZINC001193091525 1074345478 /nfs/dbraw/zinc/34/54/78/1074345478.db2.gz LJUQRYCEVWUXBS-INIZCTEOSA-N 0 0 442.556 -0.733 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCO1 ZINC001193091526 1074345544 /nfs/dbraw/zinc/34/55/44/1074345544.db2.gz LJUQRYCEVWUXBS-MRXNPFEDSA-N 0 0 442.556 -0.733 20 0 IBADRN CCOC(=O)C(C)(C)S(=O)(=O)NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC ZINC001193095042 1074345580 /nfs/dbraw/zinc/34/55/80/1074345580.db2.gz NUNIOZMRUXHESD-ZDUSSCGKSA-N 0 0 437.515 -0.788 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)c1I ZINC001193100525 1074345098 /nfs/dbraw/zinc/34/50/98/1074345098.db2.gz JHAIKILHKHHPBQ-UHFFFAOYSA-N 0 0 442.279 -0.466 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)cc1C(=O)OC ZINC001193101374 1074345087 /nfs/dbraw/zinc/34/50/87/1074345087.db2.gz QNAUFOAKPURAEX-LLVKDONJSA-N 0 0 434.492 -0.038 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)cc1C(=O)OC ZINC001193101375 1074345062 /nfs/dbraw/zinc/34/50/62/1074345062.db2.gz QNAUFOAKPURAEX-NSHDSACASA-N 0 0 434.492 -0.038 20 0 IBADRN CNc1nc(SC)ncc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001193119136 1074345447 /nfs/dbraw/zinc/34/54/47/1074345447.db2.gz GMABNVMTFYSTTE-STQMWFEESA-N 0 0 432.510 -0.219 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N[C@@H]2CCCC[C@H]2NS(=O)(=O)C2CC2)C1 ZINC001193120676 1074345393 /nfs/dbraw/zinc/34/53/93/1074345393.db2.gz RVRALJDPSAEJPB-BPLDGKMQSA-N 0 0 443.613 -0.420 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N[C@@H]2CCCC[C@H]2NS(=O)(=O)C2CC2)C1 ZINC001193120677 1074345496 /nfs/dbraw/zinc/34/54/96/1074345496.db2.gz RVRALJDPSAEJPB-NWANDNLSSA-N 0 0 443.613 -0.420 20 0 IBADRN Cn1nncc1C(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001193125972 1074345571 /nfs/dbraw/zinc/34/55/71/1074345571.db2.gz BSKQJNVEKCEDFR-KKSFZXQISA-N 0 0 440.508 -0.177 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001193130820 1074345435 /nfs/dbraw/zinc/34/54/35/1074345435.db2.gz RQVZMZOCRNBUMM-UHFFFAOYSA-N 0 0 432.462 -0.115 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)C1CCOCC1 ZINC001193176531 1074345421 /nfs/dbraw/zinc/34/54/21/1074345421.db2.gz FOLFUTDCPCEMMP-GOSISDBHSA-N 0 0 427.523 -0.059 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)NCCc1ccc(S(N)(=O)=O)cc1)C1CCOCC1 ZINC001193176533 1074345592 /nfs/dbraw/zinc/34/55/92/1074345592.db2.gz FOLFUTDCPCEMMP-SFHVURJKSA-N 0 0 427.523 -0.059 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C[C@H]1c1ccc(O)cc1 ZINC001193194226 1074345892 /nfs/dbraw/zinc/34/58/92/1074345892.db2.gz LIWCHWYNFLZJIO-JKSUJKDBSA-N 0 0 447.535 -0.597 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2ccc(Cl)c(S(C)(=O)=O)c2)C1 ZINC001193205098 1074345808 /nfs/dbraw/zinc/34/58/08/1074345808.db2.gz AMDDSOASZXZTSG-UHFFFAOYSA-N 0 0 431.945 -0.488 20 0 IBADRN COC(=O)c1nccc2c1cccc2S(=O)(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001193205284 1074345937 /nfs/dbraw/zinc/34/59/37/1074345937.db2.gz DEQYQNYXQAMBMC-UHFFFAOYSA-N 0 0 428.492 -0.210 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1C(=O)OC ZINC001193205511 1074345923 /nfs/dbraw/zinc/34/59/23/1074345923.db2.gz DVOKTVHEDUTMLX-UHFFFAOYSA-N 0 0 435.480 -0.971 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001193206964 1074345845 /nfs/dbraw/zinc/34/58/45/1074345845.db2.gz NIIUJEIEXKQYIG-CYBMUJFWSA-N 0 0 439.581 -0.113 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001193206965 1074345796 /nfs/dbraw/zinc/34/57/96/1074345796.db2.gz NIIUJEIEXKQYIG-ZDUSSCGKSA-N 0 0 439.581 -0.113 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NC2CN(S(=O)(=O)N(C)C)C2)c1OC ZINC001193207885 1074345865 /nfs/dbraw/zinc/34/58/65/1074345865.db2.gz VSVSCXMGQWGBNH-UHFFFAOYSA-N 0 0 440.931 -0.523 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC001193208195 1074345785 /nfs/dbraw/zinc/34/57/85/1074345785.db2.gz WCURIBRJNYSIRZ-UHFFFAOYSA-N 0 0 432.524 -0.887 20 0 IBADRN CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)s1 ZINC001193220175 1074345905 /nfs/dbraw/zinc/34/59/05/1074345905.db2.gz HSLDPZZPGHXCBE-CMPLNLGQSA-N 0 0 425.554 -0.116 20 0 IBADRN CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)s1 ZINC001193220176 1074345836 /nfs/dbraw/zinc/34/58/36/1074345836.db2.gz HSLDPZZPGHXCBE-JQWIXIFHSA-N 0 0 425.554 -0.116 20 0 IBADRN CC(=O)N[C@H](C)c1ccc(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)s1 ZINC001193220177 1074345854 /nfs/dbraw/zinc/34/58/54/1074345854.db2.gz HSLDPZZPGHXCBE-PWSUYJOCSA-N 0 0 425.554 -0.116 20 0 IBADRN CC(=O)N[C@H](C)c1ccc(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)s1 ZINC001193220178 1074345971 /nfs/dbraw/zinc/34/59/71/1074345971.db2.gz HSLDPZZPGHXCBE-ZYHUDNBSSA-N 0 0 425.554 -0.116 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001193220666 1074345962 /nfs/dbraw/zinc/34/59/62/1074345962.db2.gz JCTKGZSYVMMXSR-DLBZAZTESA-N 0 0 445.563 -0.129 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001193220668 1074345881 /nfs/dbraw/zinc/34/58/81/1074345881.db2.gz JCTKGZSYVMMXSR-IAGOWNOFSA-N 0 0 445.563 -0.129 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)[C@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001193220669 1074345912 /nfs/dbraw/zinc/34/59/12/1074345912.db2.gz JCTKGZSYVMMXSR-IRXDYDNUSA-N 0 0 445.563 -0.129 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)[C@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001193220671 1074345945 /nfs/dbraw/zinc/34/59/45/1074345945.db2.gz JCTKGZSYVMMXSR-SJORKVTESA-N 0 0 445.563 -0.129 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)CC1 ZINC001193220712 1074345827 /nfs/dbraw/zinc/34/58/27/1074345827.db2.gz JZVPXLLTZXPYCH-CYBMUJFWSA-N 0 0 441.572 -0.034 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)CC1 ZINC001193220714 1074346231 /nfs/dbraw/zinc/34/62/31/1074346231.db2.gz JZVPXLLTZXPYCH-ZDUSSCGKSA-N 0 0 441.572 -0.034 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1C(=O)N(C)C ZINC001193221627 1074346293 /nfs/dbraw/zinc/34/62/93/1074346293.db2.gz SLPXFCKOUOVYRB-GFCCVEGCSA-N 0 0 435.524 -0.664 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1C(=O)N(C)C ZINC001193221628 1074346206 /nfs/dbraw/zinc/34/62/06/1074346206.db2.gz SLPXFCKOUOVYRB-LBPRGKRZSA-N 0 0 435.524 -0.664 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)ccc21 ZINC001193221824 1074346180 /nfs/dbraw/zinc/34/61/80/1074346180.db2.gz UBNXAYSJTWANNJ-HNNXBMFYSA-N 0 0 431.536 -0.076 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)N3CCOC[C@H]3CNS(C)(=O)=O)ccc21 ZINC001193221825 1074346147 /nfs/dbraw/zinc/34/61/47/1074346147.db2.gz UBNXAYSJTWANNJ-OAHLLOKOSA-N 0 0 431.536 -0.076 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CC2 ZINC001193221849 1074346313 /nfs/dbraw/zinc/34/63/13/1074346313.db2.gz VGZNUBYUJPXRHI-AWEZNQCLSA-N 0 0 429.520 -0.539 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CC2 ZINC001193221850 1074346325 /nfs/dbraw/zinc/34/63/25/1074346325.db2.gz VGZNUBYUJPXRHI-CQSZACIVSA-N 0 0 429.520 -0.539 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CCC2 ZINC001193221851 1074346388 /nfs/dbraw/zinc/34/63/88/1074346388.db2.gz VLRZSKGTQPMLQV-HNNXBMFYSA-N 0 0 443.547 -0.149 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CCC2 ZINC001193221852 1074346353 /nfs/dbraw/zinc/34/63/53/1074346353.db2.gz VLRZSKGTQPMLQV-OAHLLOKOSA-N 0 0 443.547 -0.149 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001193221988 1074346246 /nfs/dbraw/zinc/34/62/46/1074346246.db2.gz XKCMUBIOVLCIHZ-CYBMUJFWSA-N 0 0 440.565 -0.191 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001193221990 1074346379 /nfs/dbraw/zinc/34/63/79/1074346379.db2.gz XKCMUBIOVLCIHZ-ZDUSSCGKSA-N 0 0 440.565 -0.191 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC001193222247 1074346306 /nfs/dbraw/zinc/34/63/06/1074346306.db2.gz ZAFONAASODSXRY-JTQLQIEISA-N 0 0 448.491 -0.378 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)c1ccccc1S(=O)(=O)C(F)F ZINC001193222248 1074346399 /nfs/dbraw/zinc/34/63/99/1074346399.db2.gz ZAFONAASODSXRY-SNVBAGLBSA-N 0 0 448.491 -0.378 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCO[C@H](CC(N)=O)C3)cc2n(C)c1=O ZINC001193222252 1074346221 /nfs/dbraw/zinc/34/62/21/1074346221.db2.gz ZFBBOZAYBBJFHB-SECBINFHSA-N 0 0 447.311 -0.096 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CCO[C@@H](CC(N)=O)C3)cc2n(C)c1=O ZINC001193222253 1074346166 /nfs/dbraw/zinc/34/61/66/1074346166.db2.gz ZFBBOZAYBBJFHB-VIFPVBQESA-N 0 0 447.311 -0.096 20 0 IBADRN CCOC(=O)C[C@H](C(F)(F)F)S(=O)(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001193222259 1074346335 /nfs/dbraw/zinc/34/63/35/1074346335.db2.gz ZJOYLPQCTWRUKP-NXEZZACHSA-N 0 0 426.435 -0.550 20 0 IBADRN CCOC(=O)C[C@@H](C(F)(F)F)S(=O)(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001193222260 1074346270 /nfs/dbraw/zinc/34/62/70/1074346270.db2.gz ZJOYLPQCTWRUKP-UWVGGRQHSA-N 0 0 426.435 -0.550 20 0 IBADRN CCOC(=O)C[C@H](C(F)(F)F)S(=O)(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001193222261 1074346362 /nfs/dbraw/zinc/34/63/62/1074346362.db2.gz ZJOYLPQCTWRUKP-VHSXEESVSA-N 0 0 426.435 -0.550 20 0 IBADRN CCOC(=O)C[C@@H](C(F)(F)F)S(=O)(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001193222262 1074346191 /nfs/dbraw/zinc/34/61/91/1074346191.db2.gz ZJOYLPQCTWRUKP-ZJUUUORDSA-N 0 0 426.435 -0.550 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)C[C@H]2CCCO2)CC3)C1=O ZINC001193222733 1074346256 /nfs/dbraw/zinc/34/62/56/1074346256.db2.gz XMVSLSLYTZMITI-CRAIPNDOSA-N 0 0 449.595 -0.299 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)C[C@@H]2CCCO2)CC3)C1=O ZINC001193222735 1074346801 /nfs/dbraw/zinc/34/68/01/1074346801.db2.gz XMVSLSLYTZMITI-MAUKXSAKSA-N 0 0 449.595 -0.299 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)C[C@H]2CCCO2)CC3)C1=O ZINC001193222737 1074346624 /nfs/dbraw/zinc/34/66/24/1074346624.db2.gz XMVSLSLYTZMITI-QAPCUYQASA-N 0 0 449.595 -0.299 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)C[C@@H]2CCCO2)CC3)C1=O ZINC001193222738 1074346745 /nfs/dbraw/zinc/34/67/45/1074346745.db2.gz XMVSLSLYTZMITI-YJBOKZPZSA-N 0 0 449.595 -0.299 20 0 IBADRN Cc1cnc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c(Br)c1 ZINC001193228691 1074347528 /nfs/dbraw/zinc/34/75/28/1074347528.db2.gz MHWBEVKTWMDWSD-QGMIFYJMSA-N 0 0 440.254 -0.417 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)C[C@H]1CCCO1)C2 ZINC001193233643 1074346709 /nfs/dbraw/zinc/34/67/09/1074346709.db2.gz IMKHTWJKVBGPNG-AEFFLSMTSA-N 0 0 425.511 -0.432 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)C[C@@H]1CCCO1)C2 ZINC001193233644 1074346694 /nfs/dbraw/zinc/34/66/94/1074346694.db2.gz IMKHTWJKVBGPNG-FUHWJXTLSA-N 0 0 425.511 -0.432 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)C[C@H]1CCCO1)C2 ZINC001193233645 1074346811 /nfs/dbraw/zinc/34/68/11/1074346811.db2.gz IMKHTWJKVBGPNG-SJLPKXTDSA-N 0 0 425.511 -0.432 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)C[C@@H]1CCCO1)C2 ZINC001193233646 1074346727 /nfs/dbraw/zinc/34/67/27/1074346727.db2.gz IMKHTWJKVBGPNG-WMZOPIPTSA-N 0 0 425.511 -0.432 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)C[C@@H]3CCCO3)C[C@@H]21)N1CCOCC1 ZINC001193233657 1074346704 /nfs/dbraw/zinc/34/67/04/1074346704.db2.gz IQHCCZOUDNRHQC-CAOSSQGBSA-N 0 0 436.552 -0.911 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)C[C@H]3CCCO3)C[C@@H]21)N1CCOCC1 ZINC001193233658 1074346667 /nfs/dbraw/zinc/34/66/67/1074346667.db2.gz IQHCCZOUDNRHQC-KLHDSHLOSA-N 0 0 436.552 -0.911 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)C[C@H]3CCCO3)C[C@@H]21)N1CCOCC1 ZINC001193233659 1074346734 /nfs/dbraw/zinc/34/67/34/1074346734.db2.gz IQHCCZOUDNRHQC-QKPAOTATSA-N 0 0 436.552 -0.911 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)C[C@@H]3CCCO3)C[C@@H]21)N1CCOCC1 ZINC001193233660 1074346646 /nfs/dbraw/zinc/34/66/46/1074346646.db2.gz IQHCCZOUDNRHQC-ZJIFWQFVSA-N 0 0 436.552 -0.911 20 0 IBADRN O=C(NC[C@@H]1CC[C@]2(COCCN(S(=O)(=O)C[C@@H]3CCCO3)C2)O1)c1cnccn1 ZINC001193233723 1074346779 /nfs/dbraw/zinc/34/67/79/1074346779.db2.gz JOMTVVXWVJPMLF-BXWFABGCSA-N 0 0 440.522 -0.035 20 0 IBADRN O=C(NC[C@@H]1CC[C@]2(COCCN(S(=O)(=O)C[C@H]3CCCO3)C2)O1)c1cnccn1 ZINC001193233724 1074346678 /nfs/dbraw/zinc/34/66/78/1074346678.db2.gz JOMTVVXWVJPMLF-FCEWJHQRSA-N 0 0 440.522 -0.035 20 0 IBADRN O=C(NC[C@H]1CC[C@]2(COCCN(S(=O)(=O)C[C@@H]3CCCO3)C2)O1)c1cnccn1 ZINC001193233725 1074346718 /nfs/dbraw/zinc/34/67/18/1074346718.db2.gz JOMTVVXWVJPMLF-GJYPPUQNSA-N 0 0 440.522 -0.035 20 0 IBADRN O=C(NC[C@H]1CC[C@]2(COCCN(S(=O)(=O)C[C@H]3CCCO3)C2)O1)c1cnccn1 ZINC001193233726 1074346658 /nfs/dbraw/zinc/34/66/58/1074346658.db2.gz JOMTVVXWVJPMLF-MDZRGWNJSA-N 0 0 440.522 -0.035 20 0 IBADRN O=S(=O)(C[C@H]1CCCO1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001193233897 1074346685 /nfs/dbraw/zinc/34/66/85/1074346685.db2.gz LFYJOKCRGIAHRV-BDXSIMOUSA-N 0 0 443.547 -0.052 20 0 IBADRN O=S(=O)(C[C@@H]1CCCO1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001193233898 1074347095 /nfs/dbraw/zinc/34/70/95/1074347095.db2.gz LFYJOKCRGIAHRV-MHORFTMASA-N 0 0 443.547 -0.052 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)C[C@H]1CCCO1)C(=O)NCC(N)=O ZINC001193238224 1074347126 /nfs/dbraw/zinc/34/71/26/1074347126.db2.gz RVMFCDHLRNQQAC-ILXRZTDVSA-N 0 0 432.543 -0.908 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)C[C@@H]1CCCO1)C(=O)NCC(N)=O ZINC001193238229 1074347103 /nfs/dbraw/zinc/34/71/03/1074347103.db2.gz RVMFCDHLRNQQAC-KKUMJFAQSA-N 0 0 432.543 -0.908 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)c1=O ZINC001193244952 1074347145 /nfs/dbraw/zinc/34/71/45/1074347145.db2.gz CQPGSHXAUXCIPG-UHFFFAOYSA-N 0 0 428.854 -0.100 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)c1=O ZINC001193246388 1074347056 /nfs/dbraw/zinc/34/70/56/1074347056.db2.gz ZVOKXGZKZMQSAI-UHFFFAOYSA-N 0 0 434.471 -0.574 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCC34COCCN3CCOC4)ccc2O1 ZINC001193257186 1074347044 /nfs/dbraw/zinc/34/70/44/1074347044.db2.gz ASSSPSWXJWGAKJ-KRWDZBQOSA-N 0 0 426.491 -0.067 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCC34COCCN3CCOC4)ccc2O1 ZINC001193257187 1074347077 /nfs/dbraw/zinc/34/70/77/1074347077.db2.gz ASSSPSWXJWGAKJ-QGZVFWFLSA-N 0 0 426.491 -0.067 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC23COCCN2CCOC3)cc1 ZINC001193257565 1074347113 /nfs/dbraw/zinc/34/71/13/1074347113.db2.gz GFFADQFTFOULGL-UHFFFAOYSA-N 0 0 433.552 -0.246 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC(CO)(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001193258315 1074347158 /nfs/dbraw/zinc/34/71/58/1074347158.db2.gz KIIQQBBVLYJBJM-UHFFFAOYSA-N 0 0 434.536 -0.572 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCC23COCCN2CCOC3)C1 ZINC001193258680 1074347036 /nfs/dbraw/zinc/34/70/36/1074347036.db2.gz MJFYCDYXQMZBKA-HNNXBMFYSA-N 0 0 435.543 -0.357 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCC23COCCN2CCOC3)C1 ZINC001193258683 1074347010 /nfs/dbraw/zinc/34/70/10/1074347010.db2.gz MJFYCDYXQMZBKA-OAHLLOKOSA-N 0 0 435.543 -0.357 20 0 IBADRN O=S(=O)(NCC12COCCN1CCOC2)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001193259377 1074347026 /nfs/dbraw/zinc/34/70/26/1074347026.db2.gz SMSHGNSOQWYDGG-UHFFFAOYSA-N 0 0 445.563 -0.149 20 0 IBADRN CCOC(=O)[C@@H](CCSC)NC(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001193270648 1074347574 /nfs/dbraw/zinc/34/75/74/1074347574.db2.gz XTGRTJMSAOHWBG-GFCCVEGCSA-N 0 0 429.499 -0.642 20 0 IBADRN CCOC(=O)[C@H](CCSC)NC(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001193270651 1074347434 /nfs/dbraw/zinc/34/74/34/1074347434.db2.gz XTGRTJMSAOHWBG-LBPRGKRZSA-N 0 0 429.499 -0.642 20 0 IBADRN CCOC(=O)[C@H](CCSC)NC(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001193272779 1074347637 /nfs/dbraw/zinc/34/76/37/1074347637.db2.gz FELMNMUPWMRSKP-IGQOVBAYSA-N 0 0 444.510 -0.110 20 0 IBADRN CCOC(=O)[C@@H](CCSC)NC(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001193272781 1074347592 /nfs/dbraw/zinc/34/75/92/1074347592.db2.gz FELMNMUPWMRSKP-XJFOESAGSA-N 0 0 444.510 -0.110 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNS(=O)(=O)C[C@@H]2CCCO2)CC1 ZINC001193278811 1074347480 /nfs/dbraw/zinc/34/74/80/1074347480.db2.gz CBDOFSARSOFCNP-AWEZNQCLSA-N 0 0 441.572 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNS(=O)(=O)C[C@H]2CCCO2)CC1 ZINC001193278813 1074347547 /nfs/dbraw/zinc/34/75/47/1074347547.db2.gz CBDOFSARSOFCNP-CQSZACIVSA-N 0 0 441.572 -0.033 20 0 IBADRN CCOC(=O)[C@H](CCSC)NC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OC ZINC001193280853 1074347453 /nfs/dbraw/zinc/34/74/53/1074347453.db2.gz GLETWXOQTSRQRK-CYDGBPFRSA-N 0 0 448.542 -0.459 20 0 IBADRN CCOC(=O)[C@@H](CCSC)NC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OC ZINC001193280854 1074347620 /nfs/dbraw/zinc/34/76/20/1074347620.db2.gz GLETWXOQTSRQRK-ZDEQEGDKSA-N 0 0 448.542 -0.459 20 0 IBADRN CCOC(=O)[C@@H](CCSC)NC(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001193281208 1074347519 /nfs/dbraw/zinc/34/75/19/1074347519.db2.gz JNHSEUVIFLCNCV-CABCVRRESA-N 0 0 440.522 -0.111 20 0 IBADRN CCOC(=O)[C@H](CCSC)NC(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001193281210 1074347584 /nfs/dbraw/zinc/34/75/84/1074347584.db2.gz JNHSEUVIFLCNCV-GJZGRUSLSA-N 0 0 440.522 -0.111 20 0 IBADRN O=S(=O)(C[C@@H]1CCCO1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001193281304 1074347937 /nfs/dbraw/zinc/34/79/37/1074347937.db2.gz WHDWWNDLODZPQF-ACPRQPMVSA-N 0 0 435.520 -0.501 20 0 IBADRN O=S(=O)(C[C@@H]1CCCO1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001193281314 1074348048 /nfs/dbraw/zinc/34/80/48/1074348048.db2.gz WHDWWNDLODZPQF-JOWCLZMLSA-N 0 0 435.520 -0.501 20 0 IBADRN O=S(=O)(C[C@@H]1CCCO1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001193281319 1074348083 /nfs/dbraw/zinc/34/80/83/1074348083.db2.gz WHDWWNDLODZPQF-OMGTWGMLSA-N 0 0 435.520 -0.501 20 0 IBADRN O=S(=O)(C[C@@H]1CCCO1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001193281325 1074348000 /nfs/dbraw/zinc/34/80/00/1074348000.db2.gz WHDWWNDLODZPQF-RLKGDUNQSA-N 0 0 435.520 -0.501 20 0 IBADRN CCOC(=O)[C@@H](CCSC)NC(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001193282520 1074348004 /nfs/dbraw/zinc/34/80/04/1074348004.db2.gz RSSXCXWJXOYAIJ-CABCVRRESA-N 0 0 440.522 -0.111 20 0 IBADRN CCOC(=O)[C@H](CCSC)NC(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001193282521 1074347972 /nfs/dbraw/zinc/34/79/72/1074347972.db2.gz RSSXCXWJXOYAIJ-GJZGRUSLSA-N 0 0 440.522 -0.111 20 0 IBADRN O=S(=O)(C[C@@H]1CCCO1)NCCOCCOCCOCCOCCOCCO ZINC001193288694 1074348066 /nfs/dbraw/zinc/34/80/66/1074348066.db2.gz NZMNQKOOSGSEMV-KRWDZBQOSA-N 0 0 429.532 -0.840 20 0 IBADRN O=S(=O)(C[C@H]1CCCO1)NCCOCCOCCOCCOCCOCCO ZINC001193288695 1074347955 /nfs/dbraw/zinc/34/79/55/1074347955.db2.gz NZMNQKOOSGSEMV-QGZVFWFLSA-N 0 0 429.532 -0.840 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)NCCNS(=O)(=O)C[C@H]1CCCO1 ZINC001193288873 1074348072 /nfs/dbraw/zinc/34/80/72/1074348072.db2.gz PYHFZZUZTFXYPY-HOSILWTGSA-N 0 0 434.584 -0.073 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)NCCNS(=O)(=O)C[C@@H]1CCCO1 ZINC001193288884 1074348060 /nfs/dbraw/zinc/34/80/60/1074348060.db2.gz PYHFZZUZTFXYPY-YXWQFLTLSA-N 0 0 434.584 -0.073 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H]3C(=O)OC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC001193291640 1074348089 /nfs/dbraw/zinc/34/80/89/1074348089.db2.gz ZYEGZUGUDORGEB-ZFWWWQNUSA-N 0 0 430.504 -0.191 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NC[C@H]2CN(Cc3ccncc3)CCO2)cc1 ZINC001193299333 1074348020 /nfs/dbraw/zinc/34/80/20/1074348020.db2.gz WUEXCEUPWUOKHT-HNNXBMFYSA-N 0 0 426.520 -0.092 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H]2CN(Cc3ccncc3)CCO2)cc1 ZINC001193299334 1074347964 /nfs/dbraw/zinc/34/79/64/1074347964.db2.gz WUEXCEUPWUOKHT-OAHLLOKOSA-N 0 0 426.520 -0.092 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)C[C@H]1CCCO1 ZINC001193299899 1074348010 /nfs/dbraw/zinc/34/80/10/1074348010.db2.gz LRYSVWDUBDUCLL-GOSISDBHSA-N 0 0 443.559 -0.186 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)C[C@@H]1CCCO1 ZINC001193299900 1074347926 /nfs/dbraw/zinc/34/79/26/1074347926.db2.gz LRYSVWDUBDUCLL-SFHVURJKSA-N 0 0 443.559 -0.186 20 0 IBADRN O=C(c1ccc(CO)cn1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001193305111 1074347983 /nfs/dbraw/zinc/34/79/83/1074347983.db2.gz NPYOZARFPRNTQP-DOTOQJQBSA-N 0 0 445.501 -0.263 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccc(CO)cn2)CC3)C1=O ZINC001193313467 1074348346 /nfs/dbraw/zinc/34/83/46/1074348346.db2.gz NIZJASUKVVBOMP-FQEVSTJZSA-N 0 0 436.534 -0.080 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccc(CO)cn2)CC3)C1=O ZINC001193313468 1074348385 /nfs/dbraw/zinc/34/83/85/1074348385.db2.gz NIZJASUKVVBOMP-HXUWFJFHSA-N 0 0 436.534 -0.080 20 0 IBADRN COC(=O)C[C@H](O)CNS(=O)(=O)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1OC ZINC001193314755 1074348489 /nfs/dbraw/zinc/34/84/89/1074348489.db2.gz LZBHUGHHCIOCGL-ARFHVFGLSA-N 0 0 430.479 -0.134 20 0 IBADRN COC(=O)C[C@H](O)CNS(=O)(=O)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1OC ZINC001193314757 1074348422 /nfs/dbraw/zinc/34/84/22/1074348422.db2.gz LZBHUGHHCIOCGL-HRCADAONSA-N 0 0 430.479 -0.134 20 0 IBADRN COC(=O)C[C@H](O)CNS(=O)(=O)[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1OC ZINC001193314759 1074348514 /nfs/dbraw/zinc/34/85/14/1074348514.db2.gz LZBHUGHHCIOCGL-JYJNAYRXSA-N 0 0 430.479 -0.134 20 0 IBADRN COC(=O)C[C@H](O)CNS(=O)(=O)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1OC ZINC001193314762 1074348480 /nfs/dbraw/zinc/34/84/80/1074348480.db2.gz LZBHUGHHCIOCGL-XHSDSOJGSA-N 0 0 430.479 -0.134 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC1(CNC(=O)OC(C)(C)C)CCOCC1 ZINC001193331841 1074348040 /nfs/dbraw/zinc/34/80/40/1074348040.db2.gz HYAAQNCFRNXYEJ-UHFFFAOYSA-N 0 0 429.561 -0.081 20 0 IBADRN COC(=O)COCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001193360593 1074348465 /nfs/dbraw/zinc/34/84/65/1074348465.db2.gz GQDDFZRQLCQGOX-UHFFFAOYSA-N 0 0 434.414 -0.005 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cccc(OCCO)c1O ZINC001193364226 1074348392 /nfs/dbraw/zinc/34/83/92/1074348392.db2.gz GTJXHJVWRBNNCC-GJZGRUSLSA-N 0 0 431.449 -0.696 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1Cl ZINC001193373973 1074348358 /nfs/dbraw/zinc/34/83/58/1074348358.db2.gz IIXMPUYAJJWKIG-UHFFFAOYSA-N 0 0 448.885 -0.628 20 0 IBADRN COCCOC(=O)Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001193376399 1074348374 /nfs/dbraw/zinc/34/83/74/1074348374.db2.gz KTHSEEHPSUULGO-WOUKDFQISA-N 0 0 448.230 -0.605 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)c(Cl)c1 ZINC001193383539 1074348442 /nfs/dbraw/zinc/34/84/42/1074348442.db2.gz ZQWHHPMGQQTHPW-NXPHAWEXSA-N 0 0 438.886 -0.579 20 0 IBADRN COCCOC(=O)N1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001193389212 1074348365 /nfs/dbraw/zinc/34/83/65/1074348365.db2.gz BKCNVGFZHFASQG-QAPCUYQASA-N 0 0 433.527 -0.256 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001193393984 1074348380 /nfs/dbraw/zinc/34/83/80/1074348380.db2.gz FZXPRRJSUGTGJZ-CHWSQXEVSA-N 0 0 426.561 -0.204 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001193393985 1074348338 /nfs/dbraw/zinc/34/83/38/1074348338.db2.gz FZXPRRJSUGTGJZ-OLZOCXBDSA-N 0 0 426.561 -0.204 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001193393986 1074348800 /nfs/dbraw/zinc/34/88/00/1074348800.db2.gz FZXPRRJSUGTGJZ-QWHCGFSZSA-N 0 0 426.561 -0.204 20 0 IBADRN C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001193393987 1074348994 /nfs/dbraw/zinc/34/89/94/1074348994.db2.gz FZXPRRJSUGTGJZ-STQMWFEESA-N 0 0 426.561 -0.204 20 0 IBADRN COC(=O)c1cccc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001193398258 1074348962 /nfs/dbraw/zinc/34/89/62/1074348962.db2.gz YXBKWQCSRZVZNV-HOTGVXAUSA-N 0 0 447.492 -0.395 20 0 IBADRN CC(=O)C(=O)Oc1ccccc1C(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001193409834 1074348877 /nfs/dbraw/zinc/34/88/77/1074348877.db2.gz QIUUTDYIDCEENY-NUEKZKHPSA-N 0 0 431.401 -0.170 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCCN(C(=O)CNC(C)=O)CC1)OCC(=O)N2 ZINC001193414460 1074348927 /nfs/dbraw/zinc/34/89/27/1074348927.db2.gz IITSCZIBEZVXRO-UHFFFAOYSA-N 0 0 440.478 -0.615 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001193414965 1074348786 /nfs/dbraw/zinc/34/87/86/1074348786.db2.gz PSJYMWVMQSQURH-UHFFFAOYSA-N 0 0 447.535 -0.181 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1F ZINC001193415211 1074348807 /nfs/dbraw/zinc/34/88/07/1074348807.db2.gz UDRGBLGEEBYBRR-UHFFFAOYSA-N 0 0 429.470 -0.100 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)CC1 ZINC001193415348 1074348944 /nfs/dbraw/zinc/34/89/44/1074348944.db2.gz XIWUMCKHYZGEPS-UHFFFAOYSA-N 0 0 431.536 -0.410 20 0 IBADRN CC(=O)C(=O)Oc1ccccc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001193421083 1074348900 /nfs/dbraw/zinc/34/89/00/1074348900.db2.gz UKYQDXUYMZHAAB-HOTGVXAUSA-N 0 0 441.444 -0.279 20 0 IBADRN NS(=O)(=O)NC1CCN(S(=O)(=O)C2CN(C(=O)OCc3ccccc3)C2)CC1 ZINC001193425689 1074348884 /nfs/dbraw/zinc/34/88/84/1074348884.db2.gz OYWOGIJHEALZCT-UHFFFAOYSA-N 0 0 432.524 -0.405 20 0 IBADRN COCCOC(=O)N[C@@H]1[C@@H](OC(C)=O)[C@H](OC(C)=O)[C@@H](COC(C)=O)O[C@H]1OC(C)=O ZINC001193433677 1074348855 /nfs/dbraw/zinc/34/88/55/1074348855.db2.gz PXGQYLYWJRSVJO-WRQOLXDDSA-N 0 0 449.409 -0.558 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(S(C)(=O)=O)cc1 ZINC001193445809 1074348934 /nfs/dbraw/zinc/34/89/34/1074348934.db2.gz ZLGGCARCEHOIOT-LLVKDONJSA-N 0 0 425.506 -0.559 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(S(C)(=O)=O)cc1 ZINC001193445810 1074348973 /nfs/dbraw/zinc/34/89/73/1074348973.db2.gz ZLGGCARCEHOIOT-NSHDSACASA-N 0 0 425.506 -0.559 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)c1 ZINC001193448429 1074348845 /nfs/dbraw/zinc/34/88/45/1074348845.db2.gz PTEOLGKQJZHPSS-UHFFFAOYSA-N 0 0 438.462 -0.553 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(S(C)(=O)=O)c(F)c1 ZINC001193455143 1074349282 /nfs/dbraw/zinc/34/92/82/1074349282.db2.gz GCRVGICUQMBQGJ-JTQLQIEISA-N 0 0 443.496 -0.420 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(S(C)(=O)=O)c(F)c1 ZINC001193455149 1074349370 /nfs/dbraw/zinc/34/93/70/1074349370.db2.gz GCRVGICUQMBQGJ-SNVBAGLBSA-N 0 0 443.496 -0.420 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)C[C@@H]1CCC2(CCOCC2)CO1 ZINC001193456710 1074349374 /nfs/dbraw/zinc/34/93/74/1074349374.db2.gz PCNULZDECRMBRF-CABCVRRESA-N 0 0 439.552 -0.046 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)C[C@@H]1CCC2(CCOCC2)CO1 ZINC001193456711 1074349253 /nfs/dbraw/zinc/34/92/53/1074349253.db2.gz PCNULZDECRMBRF-GJZGRUSLSA-N 0 0 439.552 -0.046 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)C[C@H]1CCC2(CCOCC2)CO1 ZINC001193456712 1074349401 /nfs/dbraw/zinc/34/94/01/1074349401.db2.gz PCNULZDECRMBRF-HUUCEWRRSA-N 0 0 439.552 -0.046 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)C[C@H]1CCC2(CCOCC2)CO1 ZINC001193456713 1074349390 /nfs/dbraw/zinc/34/93/90/1074349390.db2.gz PCNULZDECRMBRF-LSDHHAIUSA-N 0 0 439.552 -0.046 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cn(C)nc1Br ZINC001193457651 1074349417 /nfs/dbraw/zinc/34/94/17/1074349417.db2.gz YTJKABABAHJFCX-MRVPVSSYSA-N 0 0 430.302 -0.467 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cn(C)nc1Br ZINC001193457652 1074349332 /nfs/dbraw/zinc/34/93/32/1074349332.db2.gz YTJKABABAHJFCX-QMMMGPOBSA-N 0 0 430.302 -0.467 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CC2 ZINC001193457677 1074349396 /nfs/dbraw/zinc/34/93/96/1074349396.db2.gz ZGZYBHGMSQLPOG-AWEZNQCLSA-N 0 0 442.515 -0.127 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c3c(c1)CCC(=O)N3CC2 ZINC001193457678 1074349423 /nfs/dbraw/zinc/34/94/23/1074349423.db2.gz ZGZYBHGMSQLPOG-CQSZACIVSA-N 0 0 442.515 -0.127 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)c1 ZINC001193462475 1074348955 /nfs/dbraw/zinc/34/89/55/1074348955.db2.gz DOMCZSIFGRLSDP-INIZCTEOSA-N 0 0 449.485 -0.022 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)c1 ZINC001193463113 1074349000 /nfs/dbraw/zinc/34/90/00/1074349000.db2.gz NMWYXVBFDMJCKT-INIZCTEOSA-N 0 0 449.485 -0.022 20 0 IBADRN O=S(=O)(CC1CCC1)Nc1[nH]c(=S)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001193479149 1074349262 /nfs/dbraw/zinc/34/92/62/1074349262.db2.gz SZMVEDZMDRFDTE-IDTAVKCVSA-N 0 0 431.496 -0.732 20 0 IBADRN C[C@]12CN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)C[C@@]1(C)C(=O)NC2=O ZINC001193492949 1074349336 /nfs/dbraw/zinc/34/93/36/1074349336.db2.gz LCCZMDBEZFEFNE-CALCHBBNSA-N 0 0 427.504 -0.100 20 0 IBADRN C[C@]12CN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)C[C@]1(C)C(=O)NC2=O ZINC001193492953 1074349327 /nfs/dbraw/zinc/34/93/27/1074349327.db2.gz LCCZMDBEZFEFNE-IAGOWNOFSA-N 0 0 427.504 -0.100 20 0 IBADRN C[C@@]12CN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)C[C@@]1(C)C(=O)NC2=O ZINC001193492955 1074349322 /nfs/dbraw/zinc/34/93/22/1074349322.db2.gz LCCZMDBEZFEFNE-IRXDYDNUSA-N 0 0 427.504 -0.100 20 0 IBADRN COc1ccnc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1O ZINC001193527477 1074349775 /nfs/dbraw/zinc/34/97/75/1074349775.db2.gz CDTQLLVRWKXXJY-STQMWFEESA-N 0 0 435.481 -0.467 20 0 IBADRN COc1ccnc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1O ZINC001193530638 1074349347 /nfs/dbraw/zinc/34/93/47/1074349347.db2.gz UFUHIWZWTIZHKY-GZBFAFLISA-N 0 0 439.490 -0.470 20 0 IBADRN COc1ccnc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1O ZINC001193530639 1074349407 /nfs/dbraw/zinc/34/94/07/1074349407.db2.gz UFUHIWZWTIZHKY-UMVBOHGHSA-N 0 0 439.490 -0.470 20 0 IBADRN COc1ccnc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)c1O ZINC001193532074 1074349289 /nfs/dbraw/zinc/34/92/89/1074349289.db2.gz SUXZIHBFGNMOFN-UHFFFAOYSA-N 0 0 436.855 -0.004 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NS(=O)(=O)CC2CCC2)[C@H]1O ZINC001193534508 1074349305 /nfs/dbraw/zinc/34/93/05/1074349305.db2.gz NSTIEVAFNWHOGN-CTWCOEIASA-N 0 0 426.499 -0.769 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cccc(S(=O)(=O)N3CCc4ccccc4C3)c2)[C@@H]2NCN[C@@H]21 ZINC001193534573 1074349855 /nfs/dbraw/zinc/34/98/55/1074349855.db2.gz PQAXJALQUKSHAN-AQNXPRMDSA-N 0 0 442.545 -0.434 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cccc(S(=O)(=O)N3CCc4ccccc4C3)c2)[C@H]2NCN[C@@H]21 ZINC001193534579 1074349952 /nfs/dbraw/zinc/34/99/52/1074349952.db2.gz PQAXJALQUKSHAN-VAMGGRTRSA-N 0 0 442.545 -0.434 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cccc(S(=O)(=O)N3CCc4ccccc4C3)c2)[C@H]2NCN[C@H]21 ZINC001193534581 1074349905 /nfs/dbraw/zinc/34/99/05/1074349905.db2.gz PQAXJALQUKSHAN-XUVXKRRUSA-N 0 0 442.545 -0.434 20 0 IBADRN N[C@@H]1NCN(C(=O)c2cccc(S(=O)(=O)N3CCc4ccccc4C3)c2)[C@@H]2NCN[C@H]21 ZINC001193534582 1074349889 /nfs/dbraw/zinc/34/98/89/1074349889.db2.gz PQAXJALQUKSHAN-ZCNNSNEGSA-N 0 0 442.545 -0.434 20 0 IBADRN C[C@]1(CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@]1(C)CO ZINC001193547845 1074349876 /nfs/dbraw/zinc/34/98/76/1074349876.db2.gz ORDTWUYILCWDOF-HDICACEKSA-N 0 0 448.563 -0.291 20 0 IBADRN C[C@H](NS(=O)(=O)CC1CCC1)C(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001193551269 1074350348 /nfs/dbraw/zinc/35/03/48/1074350348.db2.gz YBVGHLJGTJDPAY-LRDDRELGSA-N 0 0 440.522 -0.871 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(S(=O)(=O)c1nccn1C)C2 ZINC001193570111 1074349924 /nfs/dbraw/zinc/34/99/24/1074349924.db2.gz QUCXJEPSWUXIER-IBGZPJMESA-N 0 0 447.521 -0.021 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(S(=O)(=O)c1nccn1C)C2 ZINC001193570113 1074349936 /nfs/dbraw/zinc/34/99/36/1074349936.db2.gz QUCXJEPSWUXIER-LJQANCHMSA-N 0 0 447.521 -0.021 20 0 IBADRN Cn1ccnc1S(=O)(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001193572237 1074349728 /nfs/dbraw/zinc/34/97/28/1074349728.db2.gz HKACJBNXUCXBPF-KRWDZBQOSA-N 0 0 445.567 -0.685 20 0 IBADRN Cn1ccnc1S(=O)(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001193572239 1074349967 /nfs/dbraw/zinc/34/99/67/1074349967.db2.gz HKACJBNXUCXBPF-QGZVFWFLSA-N 0 0 445.567 -0.685 20 0 IBADRN Cn1ccnc1S(=O)(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001193572393 1074349735 /nfs/dbraw/zinc/34/97/35/1074349735.db2.gz JZBAMKYFONNFJA-HLLBOEOZSA-N 0 0 439.519 -0.439 20 0 IBADRN Cn1ccnc1S(=O)(=O)N1C[C@@H]2c3nc(N4CCCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001193572416 1074349716 /nfs/dbraw/zinc/34/97/16/1074349716.db2.gz KXNQLIDOOGMJRF-UONOGXRCSA-N 0 0 438.535 -0.105 20 0 IBADRN Cn1ccnc1S(=O)(=O)N1CCOC[C@]2(CC[C@H](CNC(=O)c3cnccn3)O2)C1 ZINC001193573166 1074349791 /nfs/dbraw/zinc/34/97/91/1074349791.db2.gz UIERSFLSUNQDSB-KDOFPFPSSA-N 0 0 436.494 -0.421 20 0 IBADRN Cn1ccnc1S(=O)(=O)N1CCOC[C@]2(CC[C@@H](CNC(=O)c3cnccn3)O2)C1 ZINC001193573168 1074349868 /nfs/dbraw/zinc/34/98/68/1074349868.db2.gz UIERSFLSUNQDSB-KSSFIOAISA-N 0 0 436.494 -0.421 20 0 IBADRN Cn1ccnc1S(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N3CCCC3)nc2C1 ZINC001193573241 1074349748 /nfs/dbraw/zinc/34/97/48/1074349748.db2.gz FVXCJFIKBXDTIR-UHFFFAOYSA-N 0 0 435.510 -0.123 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1nccn1C)CCC2 ZINC001193575422 1074350260 /nfs/dbraw/zinc/35/02/60/1074350260.db2.gz YYHZSYFDDCBLLI-UHFFFAOYSA-N 0 0 446.493 -0.765 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)c1cc(S(=O)(=O)N3CCCC3)oc1C)C2 ZINC001193585333 1074350250 /nfs/dbraw/zinc/35/02/50/1074350250.db2.gz GZSSARUAWOADIW-IBGZPJMESA-N 0 0 438.506 -0.064 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)c1cc(S(=O)(=O)N3CCCC3)oc1C)C2 ZINC001193585334 1074350356 /nfs/dbraw/zinc/35/03/56/1074350356.db2.gz GZSSARUAWOADIW-LJQANCHMSA-N 0 0 438.506 -0.064 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ncccc2C(N)=O)CC3)C1=O ZINC001193606297 1074350770 /nfs/dbraw/zinc/35/07/70/1074350770.db2.gz AEMAAOTYCDMYEI-FQEVSTJZSA-N 0 0 449.533 -0.473 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ncccc2C(N)=O)CC3)C1=O ZINC001193606298 1074350875 /nfs/dbraw/zinc/35/08/75/1074350875.db2.gz AEMAAOTYCDMYEI-HXUWFJFHSA-N 0 0 449.533 -0.473 20 0 IBADRN NC(=O)c1cccnc1C(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001193606493 1074350745 /nfs/dbraw/zinc/35/07/45/1074350745.db2.gz DHYSXOKMSOPGDF-IIMJZQEZSA-N 0 0 443.485 -0.227 20 0 IBADRN COc1nccn(C(=O)c2ncccc2C(N)=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001193606890 1074350859 /nfs/dbraw/zinc/35/08/59/1074350859.db2.gz AFIFMAZELYMSCF-UHFFFAOYSA-N 0 0 428.430 -0.054 20 0 IBADRN NC(=O)c1cccnc1C(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001193607502 1074350372 /nfs/dbraw/zinc/35/03/72/1074350372.db2.gz QWTIAIVMBWBEQR-UHFFFAOYSA-N 0 0 427.465 -0.043 20 0 IBADRN NC(=O)c1cccnc1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001193607799 1074350314 /nfs/dbraw/zinc/35/03/14/1074350314.db2.gz LJIOEDCRTHJVBG-UHFFFAOYSA-N 0 0 433.855 -0.619 20 0 IBADRN Cn1ccnc1S(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001193611433 1074350328 /nfs/dbraw/zinc/35/03/28/1074350328.db2.gz LRZRTZPNPLQNSF-UHFFFAOYSA-N 0 0 437.544 -0.419 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)c2ncccc2C(N)=O)CC1 ZINC001193615231 1074350368 /nfs/dbraw/zinc/35/03/68/1074350368.db2.gz KVCZMSLLGRSEGZ-UHFFFAOYSA-N 0 0 441.510 -0.207 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1ncccc1C(N)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001193616095 1074350361 /nfs/dbraw/zinc/35/03/61/1074350361.db2.gz OPSOTTWROHBZAY-CVEARBPZSA-N 0 0 435.458 -0.021 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1ncccc1C(N)=O)C(N)=O ZINC001193618239 1074350389 /nfs/dbraw/zinc/35/03/89/1074350389.db2.gz UELSYGXBTAHGIL-CVEARBPZSA-N 0 0 427.461 -0.147 20 0 IBADRN Cn1ccnc1S(=O)(=O)NCCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001193618741 1074350333 /nfs/dbraw/zinc/35/03/33/1074350333.db2.gz AWDDNDSXPJNXJK-OBJOEFQTSA-N 0 0 430.556 -0.460 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1nccn1C)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001193620051 1074350272 /nfs/dbraw/zinc/35/02/72/1074350272.db2.gz QIMASJYQUZNMJF-KGLIPLIRSA-N 0 0 431.492 -0.233 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)[C@H](CC(N)=O)NS(=O)(=O)c3nccn3C)ccc12 ZINC001193628037 1074350830 /nfs/dbraw/zinc/35/08/30/1074350830.db2.gz HXWIONODKHVXNC-ZDUSSCGKSA-N 0 0 433.446 -0.004 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)c1nccn1C ZINC001193632367 1074350848 /nfs/dbraw/zinc/35/08/48/1074350848.db2.gz GICZNVIKHGDUBJ-UHFFFAOYSA-N 0 0 439.531 -0.572 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNS(=O)(=O)c3nccn3C)ccc12 ZINC001193636075 1074350701 /nfs/dbraw/zinc/35/07/01/1074350701.db2.gz JXKYROCDCKVGJK-UHFFFAOYSA-N 0 0 433.446 -0.132 20 0 IBADRN O=C(OCc1ccccc1)N1CCN(S(=O)(=O)CCN2C[C@H](O)C[C@H]2CO)CC1 ZINC001193637344 1074350784 /nfs/dbraw/zinc/35/07/84/1074350784.db2.gz UOACLUPFDKFROI-ZWKOTPCHSA-N 0 0 427.523 -0.302 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(C[C@@H]4CCCS4(=O)=O)CC3)cc2n(C)c1=O ZINC001193638785 1074350762 /nfs/dbraw/zinc/35/07/62/1074350762.db2.gz DIBZQLLOENTTFF-HNNXBMFYSA-N 0 0 442.563 -0.240 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(C[C@H]4CCCS4(=O)=O)CC3)cc2n(C)c1=O ZINC001193638786 1074350755 /nfs/dbraw/zinc/35/07/55/1074350755.db2.gz DIBZQLLOENTTFF-OAHLLOKOSA-N 0 0 442.563 -0.240 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC[C@@H]2CS(N)(=O)=O)c1 ZINC001193641791 1074350869 /nfs/dbraw/zinc/35/08/69/1074350869.db2.gz UPXOVEGMCNOZIA-GFCCVEGCSA-N 0 0 425.554 -0.231 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC[C@H]2CS(N)(=O)=O)c1 ZINC001193641792 1074350641 /nfs/dbraw/zinc/35/06/41/1074350641.db2.gz UPXOVEGMCNOZIA-LBPRGKRZSA-N 0 0 425.554 -0.231 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)cc1C(N)=O ZINC001193642378 1074350660 /nfs/dbraw/zinc/35/06/60/1074350660.db2.gz XLSYXJGLUHDPMO-AWEZNQCLSA-N 0 0 431.536 -0.322 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)cc1C(N)=O ZINC001193642382 1074350815 /nfs/dbraw/zinc/35/08/15/1074350815.db2.gz XLSYXJGLUHDPMO-CQSZACIVSA-N 0 0 431.536 -0.322 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001193659212 1074350676 /nfs/dbraw/zinc/35/06/76/1074350676.db2.gz FKVBRNANQGUHHZ-RKDXNWHRSA-N 0 0 425.286 -0.764 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc1 ZINC001193660743 1074350824 /nfs/dbraw/zinc/35/08/24/1074350824.db2.gz QCBCYZQIIASACW-ZIAGYGMSSA-N 0 0 426.538 -0.847 20 0 IBADRN Cn1ncc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c1C(F)(F)F ZINC001193683119 1074351283 /nfs/dbraw/zinc/35/12/83/1074351283.db2.gz DFRVLNQDLZFMJF-RYUDHWBXSA-N 0 0 427.387 -0.021 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)CCC(C)(C)S(C)(=O)=O)CC1 ZINC001193689853 1074351206 /nfs/dbraw/zinc/35/12/06/1074351206.db2.gz JUPFHOYMRQGSST-UHFFFAOYSA-N 0 0 433.618 -0.266 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1ccc(-n3cncn3)nc1)CC2 ZINC001193689897 1074351386 /nfs/dbraw/zinc/35/13/86/1074351386.db2.gz FGWPXGJAHIZICY-UHFFFAOYSA-N 0 0 435.492 -0.018 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)C[C@H](OC)C2CCOCC2)CC1 ZINC001193690015 1074351325 /nfs/dbraw/zinc/35/13/25/1074351325.db2.gz MYFFDAUWNIPFHJ-INIZCTEOSA-N 0 0 427.589 -0.038 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](OC)C2CCOCC2)CC1 ZINC001193690016 1074351245 /nfs/dbraw/zinc/35/12/45/1074351245.db2.gz MYFFDAUWNIPFHJ-MRXNPFEDSA-N 0 0 427.589 -0.038 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNS(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cc1 ZINC001193690445 1074351403 /nfs/dbraw/zinc/35/14/03/1074351403.db2.gz SDQOOSKXTZMXHW-UHFFFAOYSA-N 0 0 433.533 -0.086 20 0 IBADRN Cn1ccc(-c2ccc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cc2)n1 ZINC001193698768 1074351314 /nfs/dbraw/zinc/35/13/14/1074351314.db2.gz CTJCLGLXIZEJNR-KLICCBINSA-N 0 0 426.433 -0.482 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)cc1 ZINC001193701462 1074351338 /nfs/dbraw/zinc/35/13/38/1074351338.db2.gz JPARXCCUVZGBGK-GASCZTMLSA-N 0 0 429.520 -0.048 20 0 IBADRN CC(=O)Nc1cccc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001193709139 1074351194 /nfs/dbraw/zinc/35/11/94/1074351194.db2.gz HTQSGQITCLJULP-HOTGVXAUSA-N 0 0 446.508 -0.223 20 0 IBADRN CN(CCS(=O)(=O)N1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)S(=O)(=O)c1ccc(F)cc1 ZINC001193709995 1074351258 /nfs/dbraw/zinc/35/12/58/1074351258.db2.gz JBFKFERPCDASFJ-OKILXGFUSA-N 0 0 433.483 -0.677 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)cc1 ZINC001193711055 1074351107 /nfs/dbraw/zinc/35/11/07/1074351107.db2.gz RPKCPVCWTWPQQW-GASCZTMLSA-N 0 0 430.504 -0.265 20 0 IBADRN COC(=O)C1(NCC(=O)N2CCC(C(=O)N3CCCC3)CC2)CCS(=O)(=O)CC1 ZINC001193725675 1074351348 /nfs/dbraw/zinc/35/13/48/1074351348.db2.gz YPGQTXMJRPNCSZ-UHFFFAOYSA-N 0 0 429.539 -0.443 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N[C@@H](CO)CN2CCOCC2)c(Br)cc1F ZINC001193730346 1074351269 /nfs/dbraw/zinc/35/12/69/1074351269.db2.gz PDOSSEOCGDQIHD-SECBINFHSA-N 0 0 440.291 -0.342 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N[C@H](CO)CN2CCOCC2)c(Br)cc1F ZINC001193730347 1074351150 /nfs/dbraw/zinc/35/11/50/1074351150.db2.gz PDOSSEOCGDQIHD-VIFPVBQESA-N 0 0 440.291 -0.342 20 0 IBADRN Cc1csc(CC2(O)CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC001193753227 1074351687 /nfs/dbraw/zinc/35/16/87/1074351687.db2.gz WOWIGINQFMERPN-UHFFFAOYSA-N 0 0 438.597 -0.357 20 0 IBADRN O=C1COC[C@]2(CNS(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)COCCN12 ZINC001193760060 1074351847 /nfs/dbraw/zinc/35/18/47/1074351847.db2.gz FUTPSAVMHMXHOT-KRWDZBQOSA-N 0 0 445.519 -0.967 20 0 IBADRN O=C1COC[C@@]2(CNS(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)COCCN12 ZINC001193760061 1074351807 /nfs/dbraw/zinc/35/18/07/1074351807.db2.gz FUTPSAVMHMXHOT-QGZVFWFLSA-N 0 0 445.519 -0.967 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)cc1C(=O)N(C)C ZINC001193760074 1074351765 /nfs/dbraw/zinc/35/17/65/1074351765.db2.gz GHUJLJOCXYEFOZ-GOSISDBHSA-N 0 0 427.479 -0.697 20 0 IBADRN COc1ccc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)cc1C(=O)N(C)C ZINC001193760075 1074351815 /nfs/dbraw/zinc/35/18/15/1074351815.db2.gz GHUJLJOCXYEFOZ-SFHVURJKSA-N 0 0 427.479 -0.697 20 0 IBADRN O=S(=O)(NC1CC1)c1cccc(S(=O)(=O)N2CCO[C@@H](Cn3ccnn3)C2)c1 ZINC001193761756 1074351825 /nfs/dbraw/zinc/35/18/25/1074351825.db2.gz PDEUALBNGFDZDE-AWEZNQCLSA-N 0 0 427.508 -0.192 20 0 IBADRN O=S(=O)(NC1CC1)c1cccc(S(=O)(=O)N2CCO[C@H](Cn3ccnn3)C2)c1 ZINC001193761757 1074351750 /nfs/dbraw/zinc/35/17/50/1074351750.db2.gz PDEUALBNGFDZDE-CQSZACIVSA-N 0 0 427.508 -0.192 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)ccc1Cl ZINC001193761805 1074351838 /nfs/dbraw/zinc/35/18/38/1074351838.db2.gz PSIIDINSVKNRSC-HNNXBMFYSA-N 0 0 438.911 -0.350 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)ccc1Cl ZINC001193761811 1074351740 /nfs/dbraw/zinc/35/17/40/1074351740.db2.gz PSIIDINSVKNRSC-OAHLLOKOSA-N 0 0 438.911 -0.350 20 0 IBADRN O=C(c1cccc(Cl)c1)N1CC(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001193761818 1074351810 /nfs/dbraw/zinc/35/18/10/1074351810.db2.gz PTHXCADLHLGDOX-GOSISDBHSA-N 0 0 443.909 -0.288 20 0 IBADRN O=C(c1cccc(Cl)c1)N1CC(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001193761842 1074351802 /nfs/dbraw/zinc/35/18/02/1074351802.db2.gz PTHXCADLHLGDOX-SFHVURJKSA-N 0 0 443.909 -0.288 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCO[C@H](Cn3ccnn3)C2)cc1C(=O)NCC(F)(F)F ZINC001193761955 1074351774 /nfs/dbraw/zinc/35/17/74/1074351774.db2.gz RJCOZAODFGMOED-LLVKDONJSA-N 0 0 436.416 -0.002 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCO[C@@H](Cn3ccnn3)C2)cc1C(=O)NCC(F)(F)F ZINC001193761960 1074351820 /nfs/dbraw/zinc/35/18/20/1074351820.db2.gz RJCOZAODFGMOED-NSHDSACASA-N 0 0 436.416 -0.002 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001193763079 1074351795 /nfs/dbraw/zinc/35/17/95/1074351795.db2.gz XMVFWJHDLBGCOS-GOSISDBHSA-N 0 0 428.463 -0.474 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001193763080 1074351780 /nfs/dbraw/zinc/35/17/80/1074351780.db2.gz XMVFWJHDLBGCOS-SFHVURJKSA-N 0 0 428.463 -0.474 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ncn(-c2cccc(F)c2)n1 ZINC001193764535 1074351699 /nfs/dbraw/zinc/35/16/99/1074351699.db2.gz MKCZPRYDHUZKHL-HOTGVXAUSA-N 0 0 440.439 -0.053 20 0 IBADRN CN(C)c1cncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001193809725 1074352240 /nfs/dbraw/zinc/35/22/40/1074352240.db2.gz SOZYGMFLBRJAJG-KZNAEPCWSA-N 0 0 436.534 -0.118 20 0 IBADRN CN(C)c1cncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001193809738 1074352214 /nfs/dbraw/zinc/35/22/14/1074352214.db2.gz SOZYGMFLBRJAJG-RCCFBDPRSA-N 0 0 436.534 -0.118 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cncc(N(C)C)c1)C(=O)NCC(N)=O ZINC001193810835 1074352276 /nfs/dbraw/zinc/35/22/76/1074352276.db2.gz LXSNUOSEVBBCMD-IRXDYDNUSA-N 0 0 432.525 -0.115 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2cc(F)cc(Br)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001193824631 1074352205 /nfs/dbraw/zinc/35/22/05/1074352205.db2.gz NEEVTNOGSIPABO-AJVHJNHVSA-N 0 0 444.275 -0.289 20 0 IBADRN CC(=O)c1nc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1C ZINC001193828520 1074352159 /nfs/dbraw/zinc/35/21/59/1074352159.db2.gz OFLGZHGVIBMQLP-IIAWOOMASA-N 0 0 438.506 -0.643 20 0 IBADRN CC(=O)c1nc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1C ZINC001193828523 1074352233 /nfs/dbraw/zinc/35/22/33/1074352233.db2.gz OFLGZHGVIBMQLP-SQWLQELKSA-N 0 0 438.506 -0.643 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCC(S(=O)(=O)N3CCCC3)CC2)CC1 ZINC001193830048 1074352263 /nfs/dbraw/zinc/35/22/63/1074352263.db2.gz ZTEGJGULNLADCC-UHFFFAOYSA-N 0 0 436.600 -0.583 20 0 IBADRN CC(=O)c1nc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1C ZINC001193831464 1074352197 /nfs/dbraw/zinc/35/21/97/1074352197.db2.gz RMMYVCPBWIIKHK-ZFWWWQNUSA-N 0 0 434.497 -0.640 20 0 IBADRN CC(=O)c1nc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)cn1C ZINC001193833395 1074352222 /nfs/dbraw/zinc/35/22/22/1074352222.db2.gz DXVRFODODCWZNM-UHFFFAOYSA-N 0 0 435.871 -0.177 20 0 IBADRN CC(=O)c1nc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)cn1C ZINC001193837182 1074352192 /nfs/dbraw/zinc/35/21/92/1074352192.db2.gz UNJURITVPJNEJV-CUGJGTHOSA-N 0 0 437.474 -0.233 20 0 IBADRN CC(=O)c1nc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)cn1C ZINC001193837183 1074352287 /nfs/dbraw/zinc/35/22/87/1074352287.db2.gz UNJURITVPJNEJV-INJPQVFBSA-N 0 0 437.474 -0.233 20 0 IBADRN CC(=O)c1nc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)cn1C ZINC001193837184 1074352110 /nfs/dbraw/zinc/35/21/10/1074352110.db2.gz UNJURITVPJNEJV-LCEIHVTHSA-N 0 0 437.474 -0.233 20 0 IBADRN CC(=O)c1nc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1C ZINC001193837185 1074352186 /nfs/dbraw/zinc/35/21/86/1074352186.db2.gz UNJURITVPJNEJV-TVEAVKIZSA-N 0 0 437.474 -0.233 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H](CCC2OCCO2)C(=O)OC)cc1C ZINC001193849000 1074352567 /nfs/dbraw/zinc/35/25/67/1074352567.db2.gz BTEYCFLZBGJVPU-CYBMUJFWSA-N 0 0 436.508 -0.124 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](CCC2OCCO2)C(=O)OC)cc1C ZINC001193849001 1074352691 /nfs/dbraw/zinc/35/26/91/1074352691.db2.gz BTEYCFLZBGJVPU-ZDUSSCGKSA-N 0 0 436.508 -0.124 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H](CCC1OCCO1)C(=O)OC ZINC001193850844 1074352649 /nfs/dbraw/zinc/35/26/49/1074352649.db2.gz RWTMZSRPJMXOQC-GFCCVEGCSA-N 0 0 436.508 -0.042 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H](CCC1OCCO1)C(=O)OC ZINC001193850846 1074352509 /nfs/dbraw/zinc/35/25/09/1074352509.db2.gz RWTMZSRPJMXOQC-LBPRGKRZSA-N 0 0 436.508 -0.042 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(Cc3ccc(O)c(Cl)c3)CC2)c(=O)n(C)c1=O ZINC001193859735 1074352580 /nfs/dbraw/zinc/35/25/80/1074352580.db2.gz LJYXCZAQQAQTBC-UHFFFAOYSA-N 0 0 428.898 -0.051 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC001193876817 1074352128 /nfs/dbraw/zinc/35/21/28/1074352128.db2.gz CZZJKEDGPOJZEX-UHFFFAOYSA-N 0 0 439.494 -0.153 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)NC[C@@]23COC(=O)N2CCOC3)cc1)NC1CC1 ZINC001193878524 1074352246 /nfs/dbraw/zinc/35/22/46/1074352246.db2.gz OXMALBBYVJXBMJ-GOSISDBHSA-N 0 0 425.463 -0.157 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)NC[C@]23COC(=O)N2CCOC3)cc1)NC1CC1 ZINC001193878531 1074352151 /nfs/dbraw/zinc/35/21/51/1074352151.db2.gz OXMALBBYVJXBMJ-SFHVURJKSA-N 0 0 425.463 -0.157 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC[C@@]13COC(=O)N1CCOC3)C(=O)NCCC2 ZINC001193878660 1074352625 /nfs/dbraw/zinc/35/26/25/1074352625.db2.gz QFDYAKKZPWVCGV-GOSISDBHSA-N 0 0 425.463 -0.129 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC[C@]13COC(=O)N1CCOC3)C(=O)NCCC2 ZINC001193878662 1074352735 /nfs/dbraw/zinc/35/27/35/1074352735.db2.gz QFDYAKKZPWVCGV-SFHVURJKSA-N 0 0 425.463 -0.129 20 0 IBADRN O=C1OC[C@]2(CNS(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)COCCN12 ZINC001193878943 1074352746 /nfs/dbraw/zinc/35/27/46/1074352746.db2.gz SGFZYPBSYXTJMH-INIZCTEOSA-N 0 0 431.492 -0.373 20 0 IBADRN O=C1OC[C@@]2(CNS(=O)(=O)c3ccccc3S(=O)(=O)NC3CC3)COCCN12 ZINC001193878962 1074352713 /nfs/dbraw/zinc/35/27/13/1074352713.db2.gz SGFZYPBSYXTJMH-MRXNPFEDSA-N 0 0 431.492 -0.373 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CC3(CC(=O)N3Cc3ccccc3)C2)CC1 ZINC001193891824 1074352178 /nfs/dbraw/zinc/35/21/78/1074352178.db2.gz RWPWCJXIHCWQPS-UHFFFAOYSA-N 0 0 428.536 -0.705 20 0 IBADRN Nc1ccccc1S(=O)(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001193909470 1074352542 /nfs/dbraw/zinc/35/25/42/1074352542.db2.gz OSAOVGWMIDTIFY-CKEIUWERSA-N 0 0 443.547 -0.449 20 0 IBADRN Nc1ccccc1S(=O)(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001193909471 1074352680 /nfs/dbraw/zinc/35/26/80/1074352680.db2.gz OSAOVGWMIDTIFY-JJRVBVJISA-N 0 0 443.547 -0.449 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(S(=O)(=O)c3ccccc3N)CC[C@H]2S1(=O)=O ZINC001193911407 1074352637 /nfs/dbraw/zinc/35/26/37/1074352637.db2.gz SQBLRRGOYYWXGH-UKRRQHHQSA-N 0 0 430.552 -0.228 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccccc1N)C(=O)NCC(N)=O ZINC001193913382 1074352721 /nfs/dbraw/zinc/35/27/21/1074352721.db2.gz ICBINFPDRZOEIP-GJZGRUSLSA-N 0 0 439.538 -0.446 20 0 IBADRN O=C(c1cc(CO)ccn1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001193923436 1074352495 /nfs/dbraw/zinc/35/24/95/1074352495.db2.gz ITUYCNJEJATGSJ-DOTOQJQBSA-N 0 0 445.501 -0.263 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cc(CO)ccn2)CC3)C1=O ZINC001193923487 1074353024 /nfs/dbraw/zinc/35/30/24/1074353024.db2.gz KQZFZBDIBFZXJG-FQEVSTJZSA-N 0 0 436.534 -0.080 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cc(CO)ccn2)CC3)C1=O ZINC001193923490 1074353063 /nfs/dbraw/zinc/35/30/63/1074353063.db2.gz KQZFZBDIBFZXJG-HXUWFJFHSA-N 0 0 436.534 -0.080 20 0 IBADRN COC(=O)NCCCC[C@H](NC(C)=O)C(=O)N1CCC[C@H]1C(=O)N[C@@H](C(N)=O)C(C)C ZINC001193942029 1074353425 /nfs/dbraw/zinc/35/34/25/1074353425.db2.gz DZGXUPOHDORWFU-HRCADAONSA-N 0 0 441.529 -0.366 20 0 IBADRN COC(=O)NCCCC[C@H](NC(C)=O)C(=O)N1CCC[C@H]1C(=O)N[C@H](C(N)=O)C(C)C ZINC001193942034 1074353549 /nfs/dbraw/zinc/35/35/49/1074353549.db2.gz DZGXUPOHDORWFU-JYJNAYRXSA-N 0 0 441.529 -0.366 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCCOCCOCCOCCOCCOCCO ZINC001193948132 1074353717 /nfs/dbraw/zinc/35/37/17/1074353717.db2.gz MGSFOYGEWYABTM-UHFFFAOYSA-N 0 0 436.527 -0.378 20 0 IBADRN C[NH+]1CCN(c2cncc(C(=O)NCCC(=O)N[C@@H](Cc3c[nH]cn3)C(=O)[O-])c2)CC1 ZINC001193951564 1074353033 /nfs/dbraw/zinc/35/30/33/1074353033.db2.gz LPFGQKRJHWWDEJ-KRWDZBQOSA-N 0 0 429.481 -0.512 20 0 IBADRN Cc1nc(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)c(=O)[nH]c1C ZINC001193959259 1074353178 /nfs/dbraw/zinc/35/31/78/1074353178.db2.gz JROBMLPOQGQSBR-GUXCAODWSA-N 0 0 445.501 -0.021 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCc2nc3c(c(=O)[nH]2)COCC3)c1 ZINC001193959260 1074353075 /nfs/dbraw/zinc/35/30/75/1074353075.db2.gz KTYUHWWLIGWBJQ-UHFFFAOYSA-N 0 0 428.492 -0.016 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001193959519 1074353171 /nfs/dbraw/zinc/35/31/71/1074353171.db2.gz OKIKOGIHFWJEAB-CYBMUJFWSA-N 0 0 441.572 -0.432 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001193959531 1074353119 /nfs/dbraw/zinc/35/31/19/1074353119.db2.gz OKIKOGIHFWJEAB-ZDUSSCGKSA-N 0 0 441.572 -0.432 20 0 IBADRN Cc1nc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c(=O)[nH]c1C ZINC001193960209 1074353153 /nfs/dbraw/zinc/35/31/53/1074353153.db2.gz UMKLPAKNXCPBJK-RBSFLKMASA-N 0 0 438.506 -0.879 20 0 IBADRN Cc1nc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c(=O)[nH]c1C ZINC001193960215 1074353144 /nfs/dbraw/zinc/35/31/44/1074353144.db2.gz UMKLPAKNXCPBJK-RRFJBIMHSA-N 0 0 438.506 -0.879 20 0 IBADRN Cc1nc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c(=O)[nH]c1C ZINC001193966700 1074353090 /nfs/dbraw/zinc/35/30/90/1074353090.db2.gz FKJIRKOACZDCAB-KBPBESRZSA-N 0 0 434.497 -0.876 20 0 IBADRN Cc1nc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)c(=O)[nH]c1C ZINC001193968594 1074353205 /nfs/dbraw/zinc/35/32/05/1074353205.db2.gz OZAAOEVBWHXSQX-UHFFFAOYSA-N 0 0 435.871 -0.413 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001193986696 1074353243 /nfs/dbraw/zinc/35/32/43/1074353243.db2.gz AEQQYBAMDGAHQP-HNNXBMFYSA-N 0 0 426.495 -0.033 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001193986701 1074353214 /nfs/dbraw/zinc/35/32/14/1074353214.db2.gz AEQQYBAMDGAHQP-OAHLLOKOSA-N 0 0 426.495 -0.033 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1cnn(C)c1I ZINC001193988023 1074353161 /nfs/dbraw/zinc/35/31/61/1074353161.db2.gz GUKJOXKURZLHBE-MRVPVSSYSA-N 0 0 443.267 -0.657 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1cnn(C)c1I ZINC001193988028 1074353250 /nfs/dbraw/zinc/35/32/50/1074353250.db2.gz GUKJOXKURZLHBE-QMMMGPOBSA-N 0 0 443.267 -0.657 20 0 IBADRN O=C(CN1CCN(c2ncc[nH]2)CC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001193988909 1074353679 /nfs/dbraw/zinc/35/36/79/1074353679.db2.gz IHMBHIHLSISGPG-UHFFFAOYSA-N 0 0 448.549 -0.131 20 0 IBADRN CC(C)OC(=O)c1ncccc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001194044376 1074353528 /nfs/dbraw/zinc/35/35/28/1074353528.db2.gz LSZZRDQZBZVBKY-BNGXUDDSSA-N 0 0 433.421 -0.922 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NC[C@H](O)C(=O)OCc1ccccc1 ZINC001194046141 1074353609 /nfs/dbraw/zinc/35/36/09/1074353609.db2.gz KAUSYLZBWPLRLZ-AWEZNQCLSA-N 0 0 428.488 -0.023 20 0 IBADRN Cc1cc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)ncn1 ZINC001194047761 1074353478 /nfs/dbraw/zinc/35/34/78/1074353478.db2.gz NBAFRBNFWQGMCS-GOEBONIOSA-N 0 0 430.490 -0.052 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cc(C)ncn1)C(N)=O ZINC001194064991 1074353488 /nfs/dbraw/zinc/35/34/88/1074353488.db2.gz GUTWGHIECLVWKX-ZFWWWQNUSA-N 0 0 438.554 -0.231 20 0 IBADRN COC(=O)[C@]1(O)CCN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001194066585 1074354055 /nfs/dbraw/zinc/35/40/55/1074354055.db2.gz MDRSQJVFZSAMEE-KRWDZBQOSA-N 0 0 448.519 -0.686 20 0 IBADRN COC(=O)[C@@]1(O)CCN(S(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001194066588 1074354070 /nfs/dbraw/zinc/35/40/70/1074354070.db2.gz MDRSQJVFZSAMEE-QGZVFWFLSA-N 0 0 448.519 -0.686 20 0 IBADRN COC(=O)[C@]1(O)CCN(S(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)C1 ZINC001194067083 1074354255 /nfs/dbraw/zinc/35/42/55/1074354255.db2.gz UUGWCJQIVQSGIE-HNNXBMFYSA-N 0 0 448.295 -0.062 20 0 IBADRN COC(=O)[C@@]1(O)CCN(S(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)C1 ZINC001194067084 1074354208 /nfs/dbraw/zinc/35/42/08/1074354208.db2.gz UUGWCJQIVQSGIE-OAHLLOKOSA-N 0 0 448.295 -0.062 20 0 IBADRN Cc1cnc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)nc1 ZINC001194070494 1074354078 /nfs/dbraw/zinc/35/40/78/1074354078.db2.gz ZJPAMRKQAHVXPR-LSDHHAIUSA-N 0 0 430.490 -0.052 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ncc(C)cn1)C(N)=O ZINC001194083195 1074354169 /nfs/dbraw/zinc/35/41/69/1074354169.db2.gz QIJNJZVCSWNVSI-KBPBESRZSA-N 0 0 438.554 -0.231 20 0 IBADRN CC(=O)NCc1ccc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1 ZINC001194088246 1074354017 /nfs/dbraw/zinc/35/40/17/1074354017.db2.gz MVUSNDRIMDAXTE-ROUUACIJSA-N 0 0 426.477 -0.137 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N[C@H]3CCCN(CCN4CCOCC4)C3)cc21 ZINC001194088779 1074354303 /nfs/dbraw/zinc/35/43/03/1074354303.db2.gz VSUHJUCXFNVHCA-INIZCTEOSA-N 0 0 438.550 -0.116 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N[C@@H]3CCCN(CCN4CCOCC4)C3)cc21 ZINC001194088780 1074354279 /nfs/dbraw/zinc/35/42/79/1074354279.db2.gz VSUHJUCXFNVHCA-MRXNPFEDSA-N 0 0 438.550 -0.116 20 0 IBADRN O=C1C[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1CC1CC1 ZINC001194097827 1074354688 /nfs/dbraw/zinc/35/46/88/1074354688.db2.gz RKVCAPKNKYEIKN-HNNXBMFYSA-N 0 0 443.547 -0.003 20 0 IBADRN O=C1C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1CC1CC1 ZINC001194097828 1074354636 /nfs/dbraw/zinc/35/46/36/1074354636.db2.gz RKVCAPKNKYEIKN-OAHLLOKOSA-N 0 0 443.547 -0.003 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1CCC(=O)NCC12COC2 ZINC001194117598 1074354107 /nfs/dbraw/zinc/35/41/07/1074354107.db2.gz AAEZEJJEGZKWIZ-UHFFFAOYSA-N 0 0 437.474 -0.780 20 0 IBADRN CC(=O)N1C[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@@H]2C1 ZINC001194118719 1074354265 /nfs/dbraw/zinc/35/42/65/1074354265.db2.gz JWZQHLZCMOWTGK-IYBDPMFKSA-N 0 0 443.547 -0.194 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cncc(S(C)(=O)=O)c1)CC2 ZINC001194121534 1074354338 /nfs/dbraw/zinc/35/43/38/1074354338.db2.gz QLBNNLXCZIDLOE-UHFFFAOYSA-N 0 0 446.533 -0.195 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1cncc(S(C)(=O)=O)c1)CC2 ZINC001194129230 1074354329 /nfs/dbraw/zinc/35/43/29/1074354329.db2.gz OBNSHVZBDUWEGY-UHFFFAOYSA-N 0 0 432.502 -0.024 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1noc2ccccc12 ZINC001194130488 1074354137 /nfs/dbraw/zinc/35/41/37/1074354137.db2.gz DHUFATYFOFBQKO-SRVKXCTJSA-N 0 0 440.478 -0.182 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)Cc1noc2ccccc21 ZINC001194130870 1074354235 /nfs/dbraw/zinc/35/42/35/1074354235.db2.gz IAZMDWRMDCPTRC-HOTGVXAUSA-N 0 0 446.485 -0.179 20 0 IBADRN CS(=O)(=O)c1cncc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c1 ZINC001194139758 1074354980 /nfs/dbraw/zinc/35/49/80/1074354980.db2.gz DFIVFTIKAIFXQO-GJZGRUSLSA-N 0 0 434.478 -0.974 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cn(-c3cccc(Cl)c3)nn2)c(=O)n1 ZINC001194161087 1074354696 /nfs/dbraw/zinc/35/46/96/1074354696.db2.gz FNIOPBNNTVDYKN-DNNBLBMLSA-N 0 0 447.839 -0.649 20 0 IBADRN Cc1[nH]c(=O)ncc1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001194171197 1074354659 /nfs/dbraw/zinc/35/46/59/1074354659.db2.gz AGVYQSZFRISMOX-IBGZPJMESA-N 0 0 437.522 -0.163 20 0 IBADRN Cc1[nH]c(=O)ncc1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001194171198 1074354651 /nfs/dbraw/zinc/35/46/51/1074354651.db2.gz AGVYQSZFRISMOX-LJQANCHMSA-N 0 0 437.522 -0.163 20 0 IBADRN Cc1[nH]c(=O)ncc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001194173242 1074354603 /nfs/dbraw/zinc/35/46/03/1074354603.db2.gz TXVIZJCXBKMPHI-LSDHHAIUSA-N 0 0 446.489 -0.346 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(O)(c3ncnn3C)C2)c(F)c1 ZINC001194223262 1074355029 /nfs/dbraw/zinc/35/50/29/1074355029.db2.gz CBKWAUMGQVKILW-UHFFFAOYSA-N 0 0 433.487 -0.002 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(O)(c3ncnn3C)C2)cc1F ZINC001194223610 1074355076 /nfs/dbraw/zinc/35/50/76/1074355076.db2.gz IZEQRFWEFXLOPZ-UHFFFAOYSA-N 0 0 433.487 -0.004 20 0 IBADRN Cn1ncnc1C1(O)CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)C1 ZINC001194225524 1074355110 /nfs/dbraw/zinc/35/51/10/1074355110.db2.gz YVKPVXCFQIZVAL-UHFFFAOYSA-N 0 0 441.535 -0.118 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1ccc(OC)c(S(C)(=O)=O)c1)C2 ZINC001194231249 1074355151 /nfs/dbraw/zinc/35/51/51/1074355151.db2.gz YZGWEKFEGLOZKI-GFCCVEGCSA-N 0 0 431.488 -0.099 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1ccc(OC)c(S(C)(=O)=O)c1)C2 ZINC001194231250 1074355131 /nfs/dbraw/zinc/35/51/31/1074355131.db2.gz YZGWEKFEGLOZKI-LBPRGKRZSA-N 0 0 431.488 -0.099 20 0 IBADRN Cn1c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c[nH]c1=S ZINC001194247054 1074355267 /nfs/dbraw/zinc/35/52/67/1074355267.db2.gz NJQASMGUKDERGY-OUCADQQQSA-N 0 0 428.536 -0.557 20 0 IBADRN Cn1c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c[nH]c1=S ZINC001194247062 1074355299 /nfs/dbraw/zinc/35/52/99/1074355299.db2.gz NJQASMGUKDERGY-YRGRVCCFSA-N 0 0 428.536 -0.557 20 0 IBADRN Cn1c(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)c[nH]c1=S ZINC001194250375 1074355139 /nfs/dbraw/zinc/35/51/39/1074355139.db2.gz CAYSEGLROIUNJV-UHFFFAOYSA-N 0 0 425.901 -0.091 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001194256943 1074355195 /nfs/dbraw/zinc/35/51/95/1074355195.db2.gz LXXQXZXPSPDGJN-UHFFFAOYSA-N 0 0 447.399 -0.131 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)[nH]n1 ZINC001194285654 1074355735 /nfs/dbraw/zinc/35/57/35/1074355735.db2.gz AUAWNFBBINNLIX-UHFFFAOYSA-N 0 0 431.453 -0.027 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)[nH]n1 ZINC001194286063 1074355676 /nfs/dbraw/zinc/35/56/76/1074355676.db2.gz GKKLCQPWVQGWBC-UHFFFAOYSA-N 0 0 426.437 -0.245 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001194286138 1074355837 /nfs/dbraw/zinc/35/58/37/1074355837.db2.gz RIXSZMAFCLKHNC-IRXDYDNUSA-N 0 0 447.517 -0.061 20 0 IBADRN COC(=O)c1cc(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)[nH]n1 ZINC001194287532 1074355914 /nfs/dbraw/zinc/35/59/14/1074355914.db2.gz RXGVCVYWDHQCGM-AZOIQLNYSA-N 0 0 447.473 -0.211 20 0 IBADRN COC(=O)c1cc(C(=O)n2ccnc(OC)c2=NS(=O)(=O)c2ccc(N)cc2)[nH]n1 ZINC001194288496 1074355763 /nfs/dbraw/zinc/35/57/63/1074355763.db2.gz FWWMPGVRXRHYNT-UHFFFAOYSA-N 0 0 432.418 -0.038 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)NC(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001194289997 1074355725 /nfs/dbraw/zinc/35/57/25/1074355725.db2.gz CNMYFNBXCYKSKD-CVEARBPZSA-N 0 0 438.616 -0.339 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)NC(C)C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001194289998 1074355708 /nfs/dbraw/zinc/35/57/08/1074355708.db2.gz CNMYFNBXCYKSKD-HOTGVXAUSA-N 0 0 438.616 -0.339 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(S(=O)(=O)NC(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001194289999 1074355752 /nfs/dbraw/zinc/35/57/52/1074355752.db2.gz CNMYFNBXCYKSKD-HZPDHXFCSA-N 0 0 438.616 -0.339 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(S(=O)(=O)NC(C)C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001194290000 1074355775 /nfs/dbraw/zinc/35/57/75/1074355775.db2.gz CNMYFNBXCYKSKD-JKSUJKDBSA-N 0 0 438.616 -0.339 20 0 IBADRN NC(=O)C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC001194291764 1074356180 /nfs/dbraw/zinc/35/61/80/1074356180.db2.gz RANJUXMRIUICJO-UHFFFAOYSA-N 0 0 446.476 -0.890 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC001194292350 1074356300 /nfs/dbraw/zinc/35/63/00/1074356300.db2.gz VTFJXZAOBSPCIP-UHFFFAOYSA-N 0 0 432.568 -0.433 20 0 IBADRN COC(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)[nH]n1 ZINC001194293751 1074356165 /nfs/dbraw/zinc/35/61/65/1074356165.db2.gz CSDQLHSMOLNXAG-UHFFFAOYSA-N 0 0 445.498 -0.191 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1cc(C(=O)OC)n[nH]1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001194293993 1074356216 /nfs/dbraw/zinc/35/62/16/1074356216.db2.gz HGXQGAVDTNCVSR-CABCVRRESA-N 0 0 439.446 -0.005 20 0 IBADRN COC(=O)c1cc(C(=O)N(CC[NH3+])c2ccc(S(=O)(=O)N(C)C)c3nonc32)n[n-]1 ZINC001194297907 1074355156 /nfs/dbraw/zinc/35/51/56/1074355156.db2.gz NACFTFQXPJHPBM-UHFFFAOYSA-N 0 0 437.438 -0.412 20 0 IBADRN COC(=O)c1cc(C(=O)N[C@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)C(C)C)[nH]n1 ZINC001194298094 1074355825 /nfs/dbraw/zinc/35/58/25/1074355825.db2.gz GCSVKVXFZTWRRD-CJNGLKHVSA-N 0 0 431.449 -0.131 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2ccc(OC)c(C(=O)N(C)C)c2)C[C@@H]1S(C)(=O)=O ZINC001194302290 1074355873 /nfs/dbraw/zinc/35/58/73/1074355873.db2.gz CPZTVMRQAICXPJ-WMLDXEAASA-N 0 0 448.519 -0.348 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(C(F)(F)F)cn1 ZINC001194323237 1074355660 /nfs/dbraw/zinc/35/56/60/1074355660.db2.gz BXNOLEJTFBPYLI-UHFFFAOYSA-N 0 0 426.373 -0.826 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2ccc(C(F)(F)F)cn2)c(=O)[nH]c1=O ZINC001194324888 1074355584 /nfs/dbraw/zinc/35/55/84/1074355584.db2.gz UXHXGRQQMLQDGI-UHFFFAOYSA-N 0 0 435.384 -0.234 20 0 IBADRN CN(CCS(N)(=O)=O)S(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC001194326471 1074355605 /nfs/dbraw/zinc/35/56/05/1074355605.db2.gz OETKLLMIWLFDOY-UHFFFAOYSA-N 0 0 428.286 -0.311 20 0 IBADRN CN(CCS(N)(=O)=O)S(=O)(=O)c1cc(S(C)(=O)=O)ccc1Br ZINC001194327834 1074355693 /nfs/dbraw/zinc/35/56/93/1074355693.db2.gz WLKDTRHRYKRNFH-UHFFFAOYSA-N 0 0 435.343 -0.238 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(CC(=O)NC(=O)NCc2ccccc2)CC1 ZINC001194335798 1074356416 /nfs/dbraw/zinc/35/64/16/1074356416.db2.gz DTKCARHIQUYVKD-UHFFFAOYSA-N 0 0 431.540 -0.398 20 0 IBADRN CCS(=O)(=O)C1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC001194336035 1074356906 /nfs/dbraw/zinc/35/69/06/1074356906.db2.gz IRSZSCWXAUEFFZ-UHFFFAOYSA-N 0 0 433.527 -0.223 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2S(=O)(=O)CCOC1CCOCC1 ZINC001194346888 1074356732 /nfs/dbraw/zinc/35/67/32/1074356732.db2.gz PZPAAQQKOAOPSB-UHFFFAOYSA-N 0 0 433.527 -0.067 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2S(=O)(=O)C1CCS(=O)(=O)CC1 ZINC001194347762 1074356806 /nfs/dbraw/zinc/35/68/06/1074356806.db2.gz XCOBLNIZGSOWQL-UHFFFAOYSA-N 0 0 437.540 -0.685 20 0 IBADRN C[C@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001194348991 1074356392 /nfs/dbraw/zinc/35/63/92/1074356392.db2.gz URKLCQHCFHGSPM-CYBMUJFWSA-N 0 0 443.551 -0.050 20 0 IBADRN C[C@@H](C(=O)N1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001194348992 1074356366 /nfs/dbraw/zinc/35/63/66/1074356366.db2.gz URKLCQHCFHGSPM-ZDUSSCGKSA-N 0 0 443.551 -0.050 20 0 IBADRN CCOc1c(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cnn1C ZINC001194366637 1074356289 /nfs/dbraw/zinc/35/62/89/1074356289.db2.gz RRBIWECMISUYBA-GJZGRUSLSA-N 0 0 436.513 -0.444 20 0 IBADRN CCOc1c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cnn1C ZINC001194366940 1074356321 /nfs/dbraw/zinc/35/63/21/1074356321.db2.gz FFCGATWQVRDYPV-FVQBIDKESA-N 0 0 440.522 -0.447 20 0 IBADRN CCOc1c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cnn1C ZINC001194366941 1074356245 /nfs/dbraw/zinc/35/62/45/1074356245.db2.gz FFCGATWQVRDYPV-NUEKZKHPSA-N 0 0 440.522 -0.447 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H](O)[C@H](OC)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001194368131 1074356406 /nfs/dbraw/zinc/35/64/06/1074356406.db2.gz AKISZKAJXGBRMA-GDBMZVCRSA-N 0 0 429.495 -0.654 20 0 IBADRN COC(=O)C1(NCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCOCC1 ZINC001194369621 1074356264 /nfs/dbraw/zinc/35/62/64/1074356264.db2.gz UEMAHYJWERYTCL-IBGZPJMESA-N 0 0 434.449 -0.195 20 0 IBADRN COC(=O)C1(NCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCOCC1 ZINC001194369622 1074356358 /nfs/dbraw/zinc/35/63/58/1074356358.db2.gz UEMAHYJWERYTCL-LJQANCHMSA-N 0 0 434.449 -0.195 20 0 IBADRN CCOc1c(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)cnn1C ZINC001194373522 1074356277 /nfs/dbraw/zinc/35/62/77/1074356277.db2.gz AZQOOODUZTUCST-CUGJGTHOSA-N 0 0 439.490 -0.037 20 0 IBADRN CCOc1c(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)cnn1C ZINC001194373523 1074356313 /nfs/dbraw/zinc/35/63/13/1074356313.db2.gz AZQOOODUZTUCST-INJPQVFBSA-N 0 0 439.490 -0.037 20 0 IBADRN CCOc1c(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)cnn1C ZINC001194373524 1074356387 /nfs/dbraw/zinc/35/63/87/1074356387.db2.gz AZQOOODUZTUCST-LCEIHVTHSA-N 0 0 439.490 -0.037 20 0 IBADRN CCOc1c(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cnn1C ZINC001194373526 1074356380 /nfs/dbraw/zinc/35/63/80/1074356380.db2.gz AZQOOODUZTUCST-TVEAVKIZSA-N 0 0 439.490 -0.037 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc(F)c(S(C)(=O)=O)c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001194389128 1074356874 /nfs/dbraw/zinc/35/68/74/1074356874.db2.gz VCHGQUCVFSFTCB-GZBFAFLISA-N 0 0 441.437 -0.122 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc3c(Cl)c[nH]c(=O)c3c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001194404708 1074356759 /nfs/dbraw/zinc/35/67/59/1074356759.db2.gz WLMGWAVUNFPALG-JBLBBTSHSA-N 0 0 448.881 -0.284 20 0 IBADRN COC[C@@]1(CO)CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001194409549 1074356842 /nfs/dbraw/zinc/35/68/42/1074356842.db2.gz LAACTXYBQVEWJT-FQEVSTJZSA-N 0 0 441.550 -0.346 20 0 IBADRN COC[C@]1(CO)CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001194409551 1074356693 /nfs/dbraw/zinc/35/66/93/1074356693.db2.gz LAACTXYBQVEWJT-HXUWFJFHSA-N 0 0 441.550 -0.346 20 0 IBADRN Cc1c(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cccc1S(C)(=O)=O ZINC001194440407 1074356645 /nfs/dbraw/zinc/35/66/45/1074356645.db2.gz YEGYXKZPTIRSNX-HOTGVXAUSA-N 0 0 447.517 -0.061 20 0 IBADRN Cn1nc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cc1Br ZINC001194442847 1074356816 /nfs/dbraw/zinc/35/68/16/1074356816.db2.gz HJOZVLPFIRHOTP-RYUDHWBXSA-N 0 0 438.286 -0.277 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cc(Br)n(C)n3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001194442870 1074357341 /nfs/dbraw/zinc/35/73/41/1074357341.db2.gz IVPBULWQRXWMGI-MKPLZMMCSA-N 0 0 428.243 -0.168 20 0 IBADRN CCOc1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cnn1 ZINC001194453007 1074356591 /nfs/dbraw/zinc/35/65/91/1074356591.db2.gz YDHTUZULZCESLC-GJZGRUSLSA-N 0 0 434.497 -0.388 20 0 IBADRN CCOc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cnn1 ZINC001194453863 1074356865 /nfs/dbraw/zinc/35/68/65/1074356865.db2.gz XCBQWKGYDXODED-ARFHVFGLSA-N 0 0 438.506 -0.391 20 0 IBADRN CCOc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cnn1 ZINC001194453865 1074356913 /nfs/dbraw/zinc/35/69/13/1074356913.db2.gz XCBQWKGYDXODED-BZUAXINKSA-N 0 0 438.506 -0.391 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1 ZINC001194454878 1074356798 /nfs/dbraw/zinc/35/67/98/1074356798.db2.gz MCLNDJVUSDTIQK-CYBMUJFWSA-N 0 0 429.520 -0.126 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C1 ZINC001194454903 1074356623 /nfs/dbraw/zinc/35/66/23/1074356623.db2.gz MCLNDJVUSDTIQK-ZDUSSCGKSA-N 0 0 429.520 -0.126 20 0 IBADRN CCn1cccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1=O ZINC001194471084 1074356932 /nfs/dbraw/zinc/35/69/32/1074356932.db2.gz HLCAWKURILCNGN-DJIMGWMZSA-N 0 0 437.518 -0.398 20 0 IBADRN CCn1cccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1=O ZINC001194471085 1074356922 /nfs/dbraw/zinc/35/69/22/1074356922.db2.gz HLCAWKURILCNGN-USXIJHARSA-N 0 0 437.518 -0.398 20 0 IBADRN CCn1cccc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1=O ZINC001194472658 1074356612 /nfs/dbraw/zinc/35/66/12/1074356612.db2.gz VTYAJGHDYITKPY-HOTGVXAUSA-N 0 0 433.509 -0.395 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc(F)ccc2N2CCCC2)c(=O)n1 ZINC001194474570 1074357491 /nfs/dbraw/zinc/35/74/91/1074357491.db2.gz UQTHPDTVQURXMU-KLICCBINSA-N 0 0 433.440 -0.143 20 0 IBADRN CCn1cccc(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c1=O ZINC001194482280 1074357447 /nfs/dbraw/zinc/35/74/47/1074357447.db2.gz YUFBJYIVKOVPKJ-ZCVOSGHJSA-N 0 0 443.464 -0.877 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC001194483710 1074357507 /nfs/dbraw/zinc/35/75/07/1074357507.db2.gz JNFSQUQVOVXZCK-UHFFFAOYSA-N 0 0 446.595 -0.090 20 0 IBADRN COCCn1cccc(C(=O)N2CCc3ccc(=O)n(CC(=O)N(C)C)c3CC2)c1=O ZINC001194491595 1074357412 /nfs/dbraw/zinc/35/74/12/1074357412.db2.gz NGDVZPVHIDPGCB-UHFFFAOYSA-N 0 0 428.489 -0.014 20 0 IBADRN COCCn1cccc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)c1=O ZINC001194491726 1074357372 /nfs/dbraw/zinc/35/73/72/1074357372.db2.gz LXNVNOWRXQMWHV-UHFFFAOYSA-N 0 0 442.520 -0.186 20 0 IBADRN COCCn1cccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)N2CCC[C@H]2C(N)=O)c1=O ZINC001194504242 1074357558 /nfs/dbraw/zinc/35/75/58/1074357558.db2.gz LAAKOHVHVJZOLQ-HOTGVXAUSA-N 0 0 430.465 -0.965 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(N3CCOCC3)cc2F)c(=O)n1 ZINC001194508123 1074357214 /nfs/dbraw/zinc/35/72/14/1074357214.db2.gz DQCZBANISHZKIM-KLICCBINSA-N 0 0 449.439 -0.907 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cccc2c(Cl)nccc21 ZINC001194514534 1074357457 /nfs/dbraw/zinc/35/74/57/1074357457.db2.gz QIUWCUZDWGRQIN-UHFFFAOYSA-N 0 0 442.881 -0.038 20 0 IBADRN C[NH+]1CCN(c2ccc(C(=O)n3c(N)c(N)c(OS(=O)(=O)[O-])nc3=N)c(F)c2)CC1 ZINC001194518503 1074357230 /nfs/dbraw/zinc/35/72/30/1074357230.db2.gz OHCJVPXWTWKLKO-UHFFFAOYSA-N 0 0 441.445 -0.712 20 0 IBADRN O=C(c1ccnn1CCF)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001194525949 1074357201 /nfs/dbraw/zinc/35/72/01/1074357201.db2.gz AYBTWYJWMITHMU-IIAWOOMASA-N 0 0 428.486 -0.413 20 0 IBADRN O=C(c1ccnn1CCF)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001194525950 1074357334 /nfs/dbraw/zinc/35/73/34/1074357334.db2.gz AYBTWYJWMITHMU-SQWLQELKSA-N 0 0 428.486 -0.413 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1ccnn1CCF ZINC001194539419 1074357876 /nfs/dbraw/zinc/35/78/76/1074357876.db2.gz RDLHPFQFXJNVKL-DXWTWGPWSA-N 0 0 427.454 -0.003 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1ccnn1CCF ZINC001194539421 1074357962 /nfs/dbraw/zinc/35/79/62/1074357962.db2.gz RDLHPFQFXJNVKL-IMPIEMTGSA-N 0 0 427.454 -0.003 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1ccnn1CCF ZINC001194539424 1074357931 /nfs/dbraw/zinc/35/79/31/1074357931.db2.gz RDLHPFQFXJNVKL-JFBPSJKJSA-N 0 0 427.454 -0.003 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1ccnn1CCF ZINC001194539426 1074357943 /nfs/dbraw/zinc/35/79/43/1074357943.db2.gz RDLHPFQFXJNVKL-OCABDXPQSA-N 0 0 427.454 -0.003 20 0 IBADRN COc1ccc(NC(=O)CN2CC(=O)N[C@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001194542568 1074357779 /nfs/dbraw/zinc/35/77/79/1074357779.db2.gz YGQDYWQSZHWSKJ-CYBMUJFWSA-N 0 0 426.495 -0.525 20 0 IBADRN COc1ccc(NC(=O)CN2CC(=O)N[C@@H](C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001194542569 1074357806 /nfs/dbraw/zinc/35/78/06/1074357806.db2.gz YGQDYWQSZHWSKJ-ZDUSSCGKSA-N 0 0 426.495 -0.525 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(=O)n(-c3ccccc3)c2)c(=O)n1 ZINC001194582433 1074357821 /nfs/dbraw/zinc/35/78/21/1074357821.db2.gz MANFNCYTYMZKDW-VXXKDZQQSA-N 0 0 439.428 -0.732 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2ncccc2C(F)(F)F)c(=O)[nH]c1=O ZINC001194585607 1074357969 /nfs/dbraw/zinc/35/79/69/1074357969.db2.gz NPFDNLMOIHPODH-UHFFFAOYSA-N 0 0 435.384 -0.234 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ncccc1C(F)(F)F ZINC001194585881 1074357789 /nfs/dbraw/zinc/35/77/89/1074357789.db2.gz WHKYXGHAOLSQCZ-UHFFFAOYSA-N 0 0 426.373 -0.826 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1cccn(CC2CC2)c1=O ZINC001194604449 1074357899 /nfs/dbraw/zinc/35/78/99/1074357899.db2.gz NXAQVBIIEMVDPB-IRXDYDNUSA-N 0 0 426.477 -0.201 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)NCCC(=O)N2CCOCC2)CC1 ZINC001194620239 1074358752 /nfs/dbraw/zinc/35/87/52/1074358752.db2.gz WWMRYARFWKRXHJ-UHFFFAOYSA-N 0 0 438.550 -0.179 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)n(C)c1 ZINC001194620374 1074358742 /nfs/dbraw/zinc/35/87/42/1074358742.db2.gz DCFGQIXOYMJTCV-UHFFFAOYSA-N 0 0 436.490 -0.135 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNS(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC001194620383 1074358729 /nfs/dbraw/zinc/35/87/29/1074358729.db2.gz DOAJOZBGPFCQOP-UHFFFAOYSA-N 0 0 443.489 -0.285 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNS(=O)(=O)N1CCC2(CC1)OCCO2 ZINC001194620391 1074358843 /nfs/dbraw/zinc/35/88/43/1074358843.db2.gz FCKDCMQBWQREBM-UHFFFAOYSA-N 0 0 440.522 -0.566 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)cn1C ZINC001194620722 1074358757 /nfs/dbraw/zinc/35/87/57/1074358757.db2.gz PSDZDVRPOUDXAW-UHFFFAOYSA-N 0 0 435.506 -0.562 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2ccc(S(C)(=O)=O)cc2N2CCN(C)CC2)CC1 ZINC001194629771 1074357998 /nfs/dbraw/zinc/35/79/98/1074357998.db2.gz ILQKDSAWOXSROP-UHFFFAOYSA-N 0 0 438.550 -0.227 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)N2CC(N3CCNC(=O)C3)C2)CC1 ZINC001194630351 1074357887 /nfs/dbraw/zinc/35/78/87/1074357887.db2.gz LPYCGUUWAMNQEI-UHFFFAOYSA-N 0 0 435.550 -0.902 20 0 IBADRN O=C(CNC(=O)CNS(=O)(=O)N1CCC2(CC1)OCCO2)NCc1ccccc1 ZINC001194630716 1074357861 /nfs/dbraw/zinc/35/78/61/1074357861.db2.gz ZPKXCQHCYGDFQH-UHFFFAOYSA-N 0 0 426.495 -0.908 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC001194630792 1074357814 /nfs/dbraw/zinc/35/78/14/1074357814.db2.gz OQWPRRIPAMFKGW-UHFFFAOYSA-N 0 0 444.579 -0.441 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)N2CCN3C(=O)COC[C@@H]3C2)CC1 ZINC001194631050 1074357839 /nfs/dbraw/zinc/35/78/39/1074357839.db2.gz QEQZZURDQYYIIB-HNNXBMFYSA-N 0 0 436.534 -0.475 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)N2CCN3C(=O)COC[C@H]3C2)CC1 ZINC001194631069 1074358295 /nfs/dbraw/zinc/35/82/95/1074358295.db2.gz QEQZZURDQYYIIB-OAHLLOKOSA-N 0 0 436.534 -0.475 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)N2CC(=O)N(C3CC3)C(=O)C2)CC1 ZINC001194631084 1074358337 /nfs/dbraw/zinc/35/83/37/1074358337.db2.gz QRLHUUKBCJBJHA-UHFFFAOYSA-N 0 0 434.518 -0.185 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)N2CC(N3CCN(C)C(=O)C3)C2)CC1 ZINC001194631086 1074358287 /nfs/dbraw/zinc/35/82/87/1074358287.db2.gz QSJCXGVTTSABFN-UHFFFAOYSA-N 0 0 449.577 -0.560 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2ccc(S(C)(=O)=O)cc2N2CCN(C)CC2)CCN1C ZINC001194631117 1074358399 /nfs/dbraw/zinc/35/83/99/1074358399.db2.gz RNSBVXJKFDYUBR-GOSISDBHSA-N 0 0 438.550 -0.229 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2ccc(S(C)(=O)=O)cc2N2CCN(C)CC2)CCN1C ZINC001194631118 1074358424 /nfs/dbraw/zinc/35/84/24/1074358424.db2.gz RNSBVXJKFDYUBR-SFHVURJKSA-N 0 0 438.550 -0.229 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)N2CC(NS(C)(=O)=O)C2)CC1 ZINC001194631884 1074358318 /nfs/dbraw/zinc/35/83/18/1074358318.db2.gz ZOGCKRVABWLUIZ-UHFFFAOYSA-N 0 0 430.552 -0.785 20 0 IBADRN COc1ccc(NC(=O)CN(C)C(C)(C)C(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001194633490 1074358416 /nfs/dbraw/zinc/35/84/16/1074358416.db2.gz TWRALZPMFZFRKX-UHFFFAOYSA-N 0 0 428.511 -0.150 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(CC(=O)N2CCC(C(=O)N3CCCC3)CC2)CC1 ZINC001194633597 1074358386 /nfs/dbraw/zinc/35/83/86/1074358386.db2.gz WTVISQNATIULMO-UHFFFAOYSA-N 0 0 434.584 -0.409 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cn(Cc3ccccc3F)cn2)c(=O)n1 ZINC001194639197 1074358451 /nfs/dbraw/zinc/35/84/51/1074358451.db2.gz UKYONIFHKVGOEW-KLICCBINSA-N 0 0 444.423 -0.499 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN1C(=O)c1ccc(S(C)(=O)=O)cc1N1CCN(C)CC1 ZINC001194641573 1074358308 /nfs/dbraw/zinc/35/83/08/1074358308.db2.gz DTTORIBJAUPQQI-GOSISDBHSA-N 0 0 438.550 -0.229 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN1C(=O)c1ccc(S(C)(=O)=O)cc1N1CCN(C)CC1 ZINC001194641574 1074358276 /nfs/dbraw/zinc/35/82/76/1074358276.db2.gz DTTORIBJAUPQQI-SFHVURJKSA-N 0 0 438.550 -0.229 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)N2CCN(c3ncncn3)CC2)CC1 ZINC001194642719 1074358428 /nfs/dbraw/zinc/35/84/28/1074358428.db2.gz XECJWZRIOUUHKK-UHFFFAOYSA-N 0 0 445.549 -0.011 20 0 IBADRN COCCn1cncc1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001194644402 1074358330 /nfs/dbraw/zinc/35/83/30/1074358330.db2.gz DWBWSLUFIWXJKJ-GJZGRUSLSA-N 0 0 436.513 -0.734 20 0 IBADRN COCCn1cncc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001194644431 1074358300 /nfs/dbraw/zinc/35/83/00/1074358300.db2.gz FSQUHJCECWPMDJ-BFYDXBDKSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1cncc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001194644432 1074358256 /nfs/dbraw/zinc/35/82/56/1074358256.db2.gz FSQUHJCECWPMDJ-ZMSDIMECSA-N 0 0 440.522 -0.736 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)NC2CN(S(C)(=O)=O)C2)CC1 ZINC001194647292 1074358693 /nfs/dbraw/zinc/35/86/93/1074358693.db2.gz GMNBTRQXBBFBOT-UHFFFAOYSA-N 0 0 430.552 -0.785 20 0 IBADRN COCCn1cncc1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001194649077 1074358886 /nfs/dbraw/zinc/35/88/86/1074358886.db2.gz KQHYUXVXGKZWLK-UHFFFAOYSA-N 0 0 437.887 -0.270 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1ccc(S(C)(=O)=O)cc1N1CCN(C)CC1 ZINC001194650497 1074358671 /nfs/dbraw/zinc/35/86/71/1074358671.db2.gz YTRVVYMFGBKAQX-UHFFFAOYSA-N 0 0 432.568 -0.537 20 0 IBADRN COCCn1cncc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001194652308 1074358715 /nfs/dbraw/zinc/35/87/15/1074358715.db2.gz AOZAGBPANDHRKP-BCDMZGRMSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cncc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001194652309 1074358853 /nfs/dbraw/zinc/35/88/53/1074358853.db2.gz AOZAGBPANDHRKP-MUDKKEKZSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cncc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001194652310 1074358823 /nfs/dbraw/zinc/35/88/23/1074358823.db2.gz AOZAGBPANDHRKP-QSUVVDIXSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cncc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001194652311 1074358814 /nfs/dbraw/zinc/35/88/14/1074358814.db2.gz AOZAGBPANDHRKP-RDHIYWLCSA-N 0 0 439.490 -0.326 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1ccc(S(C)(=O)=O)cc1N1CCN(C)CC1)C(N)=O ZINC001194655185 1074358833 /nfs/dbraw/zinc/35/88/33/1074358833.db2.gz ITUHHGBWWVIBKS-HNNXBMFYSA-N 0 0 440.522 -0.621 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC001194656236 1074358798 /nfs/dbraw/zinc/35/87/98/1074358798.db2.gz SYNAXVBUVJZCNA-UHFFFAOYSA-N 0 0 444.579 -0.393 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCCN1CCC(NC(=O)OC(C)(C)C)CC1 ZINC001194662719 1074358773 /nfs/dbraw/zinc/35/87/73/1074358773.db2.gz MZDYHLAALHQQAE-UHFFFAOYSA-N 0 0 442.604 -0.214 20 0 IBADRN COCCn1cnc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001194665178 1074358644 /nfs/dbraw/zinc/35/86/44/1074358644.db2.gz BIDSZPCLLOMYSN-BFYDXBDKSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1cnc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001194665182 1074359130 /nfs/dbraw/zinc/35/91/30/1074359130.db2.gz BIDSZPCLLOMYSN-ZMSDIMECSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1cnc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)c1 ZINC001194668108 1074359123 /nfs/dbraw/zinc/35/91/23/1074359123.db2.gz JBPKCVVXPKHYNY-UHFFFAOYSA-N 0 0 437.887 -0.270 20 0 IBADRN Cc1cccc(C)c1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001194669251 1074359271 /nfs/dbraw/zinc/35/92/71/1074359271.db2.gz FKFIQENOIQJKDU-IRXDYDNUSA-N 0 0 435.502 -0.139 20 0 IBADRN COCCn1cnc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1 ZINC001194669499 1074359240 /nfs/dbraw/zinc/35/92/40/1074359240.db2.gz SOOTWCGRKDCCON-HOCLYGCPSA-N 0 0 436.513 -0.734 20 0 IBADRN COC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001194670650 1074359195 /nfs/dbraw/zinc/35/91/95/1074359195.db2.gz HOOLCICVKKUMKN-ZDUSSCGKSA-N 0 0 433.508 -0.174 20 0 IBADRN COCCn1cnc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)c1 ZINC001194672942 1074359192 /nfs/dbraw/zinc/35/91/92/1074359192.db2.gz XMFDTVVCRCLUJF-BCDMZGRMSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cnc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)c1 ZINC001194672943 1074359253 /nfs/dbraw/zinc/35/92/53/1074359253.db2.gz XMFDTVVCRCLUJF-MUDKKEKZSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cnc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)c1 ZINC001194672944 1074359262 /nfs/dbraw/zinc/35/92/62/1074359262.db2.gz XMFDTVVCRCLUJF-QSUVVDIXSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cnc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)c1 ZINC001194672945 1074359181 /nfs/dbraw/zinc/35/91/81/1074359181.db2.gz XMFDTVVCRCLUJF-RDHIYWLCSA-N 0 0 439.490 -0.326 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1ccnc(S(C)(=O)=O)c1)CC2 ZINC001194684178 1074359168 /nfs/dbraw/zinc/35/91/68/1074359168.db2.gz PZLJDXHKAUXKID-UHFFFAOYSA-N 0 0 432.502 -0.024 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1ccnc(S(C)(=O)=O)c1)CC2 ZINC001194684572 1074359152 /nfs/dbraw/zinc/35/91/52/1074359152.db2.gz TYOPXUJXTCAZGI-UHFFFAOYSA-N 0 0 446.533 -0.195 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1cccnc1OC)CCC2 ZINC001194687266 1074359138 /nfs/dbraw/zinc/35/91/38/1074359138.db2.gz SGTBHMLEYHZNFG-UHFFFAOYSA-N 0 0 437.478 -0.496 20 0 IBADRN COc1ncccc1S(=O)(=O)N1CCc2c(C(=O)N3CCN(C)CC3)nn(C)c2C1 ZINC001194687326 1074359284 /nfs/dbraw/zinc/35/92/84/1074359284.db2.gz VEKLEIOGURLSOB-UHFFFAOYSA-N 0 0 434.522 -0.042 20 0 IBADRN COc1ncccc1S(=O)(=O)N1CC[C@]2(C1)CN(c1cnn(C)c1)C(=O)CN2C(C)=O ZINC001194687535 1074359219 /nfs/dbraw/zinc/35/92/19/1074359219.db2.gz ZIMDJALXBTVGPN-IBGZPJMESA-N 0 0 448.505 -0.148 20 0 IBADRN COc1ncccc1S(=O)(=O)N1CC[C@@]2(C1)CN(c1cnn(C)c1)C(=O)CN2C(C)=O ZINC001194687539 1074359307 /nfs/dbraw/zinc/35/93/07/1074359307.db2.gz ZIMDJALXBTVGPN-LJQANCHMSA-N 0 0 448.505 -0.148 20 0 IBADRN COc1ncccc1S(=O)(=O)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001194688731 1074359816 /nfs/dbraw/zinc/35/98/16/1074359816.db2.gz QLWNKHLARGZODE-ZIAGYGMSSA-N 0 0 446.551 -0.407 20 0 IBADRN COc1ncccc1S(=O)(=O)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001194688920 1074359822 /nfs/dbraw/zinc/35/98/22/1074359822.db2.gz VGGJMGSTRRKOQX-GOSISDBHSA-N 0 0 437.478 -0.353 20 0 IBADRN COc1ncccc1S(=O)(=O)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001194688921 1074359741 /nfs/dbraw/zinc/35/97/41/1074359741.db2.gz VGGJMGSTRRKOQX-SFHVURJKSA-N 0 0 437.478 -0.353 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)ccn1 ZINC001194694225 1074359626 /nfs/dbraw/zinc/35/96/26/1074359626.db2.gz GSVSNECHPIRBEM-KBPBESRZSA-N 0 0 434.478 -0.974 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccc(N3CCN(C)CC3)c(Cl)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001194697932 1074358862 /nfs/dbraw/zinc/35/88/62/1074358862.db2.gz YPAQJAUXLUHTBV-CXAZJQLCSA-N 0 0 443.928 -0.334 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(CC(=O)NC1CCN(S(C)(=O)=O)CC1)CCO2 ZINC001194703035 1074358898 /nfs/dbraw/zinc/35/88/98/1074358898.db2.gz QKADDVKHJYMZGN-UHFFFAOYSA-N 0 0 446.570 -0.152 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cn(-c3cnccn3)cn1)CC2 ZINC001194716896 1074360263 /nfs/dbraw/zinc/36/02/63/1074360263.db2.gz VAWKDZBMDSSWIO-UHFFFAOYSA-N 0 0 435.492 -0.018 20 0 IBADRN COc1cc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n(C)n1 ZINC001194736433 1074359773 /nfs/dbraw/zinc/35/97/73/1074359773.db2.gz VULKSCKSQXVODT-DZGCQCFKSA-N 0 0 448.505 -0.408 20 0 IBADRN COc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n(C)n1 ZINC001194741561 1074359682 /nfs/dbraw/zinc/35/96/82/1074359682.db2.gz FWYINCNBCPPHHS-GZBFAFLISA-N 0 0 426.495 -0.837 20 0 IBADRN COc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n(C)n1 ZINC001194741565 1074359787 /nfs/dbraw/zinc/35/97/87/1074359787.db2.gz FWYINCNBCPPHHS-UMVBOHGHSA-N 0 0 426.495 -0.837 20 0 IBADRN COc1cc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)n(C)n1 ZINC001194741773 1074359664 /nfs/dbraw/zinc/35/96/64/1074359664.db2.gz HZJZOQDJUWHAAT-IBGZPJMESA-N 0 0 439.538 -0.225 20 0 IBADRN COc1cc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)n(C)n1 ZINC001194741774 1074359847 /nfs/dbraw/zinc/35/98/47/1074359847.db2.gz HZJZOQDJUWHAAT-LJQANCHMSA-N 0 0 439.538 -0.225 20 0 IBADRN COc1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)n(C)n1 ZINC001194749362 1074359581 /nfs/dbraw/zinc/35/95/81/1074359581.db2.gz UQNKSHLHJHJMEU-GHVFGFPKSA-N 0 0 425.463 -0.427 20 0 IBADRN COc1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)n(C)n1 ZINC001194749363 1074359842 /nfs/dbraw/zinc/35/98/42/1074359842.db2.gz UQNKSHLHJHJMEU-USFQYCJESA-N 0 0 425.463 -0.427 20 0 IBADRN COc1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)n(C)n1 ZINC001194749364 1074359591 /nfs/dbraw/zinc/35/95/91/1074359591.db2.gz UQNKSHLHJHJMEU-VUJCFQJHSA-N 0 0 425.463 -0.427 20 0 IBADRN COc1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)n(C)n1 ZINC001194749365 1074359565 /nfs/dbraw/zinc/35/95/65/1074359565.db2.gz UQNKSHLHJHJMEU-YQUYXMIOSA-N 0 0 425.463 -0.427 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1cccnc1OC)NC(=O)CNC(C)=O ZINC001194749701 1074360156 /nfs/dbraw/zinc/36/01/56/1074360156.db2.gz INMVQJKLAPASBG-ZDUSSCGKSA-N 0 0 430.483 -0.667 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)N2CCC(C(=O)N3CCCCCC3)CC2)CC1 ZINC001194771661 1074360202 /nfs/dbraw/zinc/36/02/02/1074360202.db2.gz HIBCASMOYAZLGL-UHFFFAOYSA-N 0 0 429.587 -0.291 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)Nc2ccccc2S(=O)(=O)C(F)F)CC1 ZINC001194773199 1074360052 /nfs/dbraw/zinc/36/00/52/1074360052.db2.gz XDUUFDFYGCDTBG-UHFFFAOYSA-N 0 0 426.467 -0.297 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)C1CCC(=O)CC1)C2 ZINC001194775334 1074360147 /nfs/dbraw/zinc/36/01/47/1074360147.db2.gz MMYNGXBDAFDTKR-IBGZPJMESA-N 0 0 437.522 -0.099 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)C1CCC(=O)CC1)C2 ZINC001194775335 1074360088 /nfs/dbraw/zinc/36/00/88/1074360088.db2.gz MMYNGXBDAFDTKR-LJQANCHMSA-N 0 0 437.522 -0.099 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)C1CCC(=O)CC1)CCC2 ZINC001194775826 1074360332 /nfs/dbraw/zinc/36/03/32/1074360332.db2.gz WBHFGSMNBFSQKS-UHFFFAOYSA-N 0 0 426.495 -0.447 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)C3CCC(=O)CC3)C[C@@H]21)N1CCOCC1 ZINC001194776160 1074360215 /nfs/dbraw/zinc/36/02/15/1074360215.db2.gz XWLWHQXTPRFUMM-BRWVUGGUSA-N 0 0 448.563 -0.578 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)C3CCC(=O)CC3)C[C@@H]21)N1CCOCC1 ZINC001194776161 1074360275 /nfs/dbraw/zinc/36/02/75/1074360275.db2.gz XWLWHQXTPRFUMM-GVDBMIGSSA-N 0 0 448.563 -0.578 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(S(=O)(=O)C4CCC(=O)CC4)C3)OCC2=O)cn1 ZINC001194776170 1074360282 /nfs/dbraw/zinc/36/02/82/1074360282.db2.gz YHMFSWBTEVOGIE-GOSISDBHSA-N 0 0 426.495 -0.304 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(S(=O)(=O)C4CCC(=O)CC4)C3)OCC2=O)cn1 ZINC001194776171 1074360761 /nfs/dbraw/zinc/36/07/61/1074360761.db2.gz YHMFSWBTEVOGIE-SFHVURJKSA-N 0 0 426.495 -0.304 20 0 IBADRN O=c1ccn(CCNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)c(=O)[nH]1 ZINC001194777395 1074360287 /nfs/dbraw/zinc/36/02/87/1074360287.db2.gz GWUGDUROWZLWCX-UHFFFAOYSA-N 0 0 428.492 -0.288 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(S(=O)(=O)C3CCC(=O)CC3)CC[C@H]2S1(=O)=O ZINC001194781094 1074360065 /nfs/dbraw/zinc/36/00/65/1074360065.db2.gz RCFBKBVSSOGSRL-CZUORRHYSA-N 0 0 435.568 -0.358 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cc(Cl)ccc3-n3cnnn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001194781396 1074360255 /nfs/dbraw/zinc/36/02/55/1074360255.db2.gz XBSUKXJPRJZLPH-NWANDNLSSA-N 0 0 447.839 -0.035 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)C1CCC(=O)CC1)C(=O)NCC(N)=O ZINC001194784178 1074360078 /nfs/dbraw/zinc/36/00/78/1074360078.db2.gz NNJSTQNLNXNWMK-HOTGVXAUSA-N 0 0 444.554 -0.575 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)N(C)Cc1nnc2n1CCNC2=O)c1ccccc1 ZINC001194801217 1074360691 /nfs/dbraw/zinc/36/06/91/1074360691.db2.gz ADTXGMHUWZDKIU-HHHXNRCGSA-N 0 0 427.512 -0.298 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)N(C)Cc1nnc2n1CCNC2=O)c1ccccc1 ZINC001194801229 1074360755 /nfs/dbraw/zinc/36/07/55/1074360755.db2.gz ADTXGMHUWZDKIU-MHZLTWQESA-N 0 0 427.512 -0.298 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)S(=O)(=O)c1cc(S(C)(=O)=O)ccc1Cl ZINC001194801241 1074360649 /nfs/dbraw/zinc/36/06/49/1074360649.db2.gz ALNCASXPKVWLNR-UHFFFAOYSA-N 0 0 433.899 -0.101 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)S(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001194801998 1074360707 /nfs/dbraw/zinc/36/07/07/1074360707.db2.gz IWLMUNVVLWJDMI-UHFFFAOYSA-N 0 0 429.503 -0.749 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)Cc1nnc2n1CCNC2=O ZINC001194802648 1074360699 /nfs/dbraw/zinc/36/06/99/1074360699.db2.gz PWJIXIZHEDTKQI-UHFFFAOYSA-N 0 0 428.496 -0.908 20 0 IBADRN O=C1CCC(S(=O)(=O)Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)CC1 ZINC001194807550 1074360672 /nfs/dbraw/zinc/36/06/72/1074360672.db2.gz JWBIDPFJYMORTN-DISONHOPSA-N 0 0 431.463 -0.881 20 0 IBADRN O=C1CCC(S(=O)(=O)Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)CC1 ZINC001194809214 1074360766 /nfs/dbraw/zinc/36/07/66/1074360766.db2.gz JWBIDPFJYMORTN-ZBRFXRBCSA-N 0 0 431.463 -0.881 20 0 IBADRN O=C1CCC(S(=O)(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)CC1 ZINC001194809569 1074360716 /nfs/dbraw/zinc/36/07/16/1074360716.db2.gz QGFBYKTYBIYZKM-FPSMNIFISA-N 0 0 447.531 -0.168 20 0 IBADRN O=C1CCC(S(=O)(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)CC1 ZINC001194809570 1074360749 /nfs/dbraw/zinc/36/07/49/1074360749.db2.gz QGFBYKTYBIYZKM-ICUGJSFKSA-N 0 0 447.531 -0.168 20 0 IBADRN O=C1CCC(S(=O)(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)CC1 ZINC001194809571 1074360636 /nfs/dbraw/zinc/36/06/36/1074360636.db2.gz QGFBYKTYBIYZKM-ILOCAZANSA-N 0 0 447.531 -0.168 20 0 IBADRN O=C1CCC(S(=O)(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)CC1 ZINC001194809572 1074360737 /nfs/dbraw/zinc/36/07/37/1074360737.db2.gz QGFBYKTYBIYZKM-WKULXVSPSA-N 0 0 447.531 -0.168 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cnc(C(=O)N3CC(NS(C)(=O)=O)C3)cn2)CC1 ZINC001194818490 1074360613 /nfs/dbraw/zinc/36/06/13/1074360613.db2.gz IUEQVDYWVQWKEU-UHFFFAOYSA-N 0 0 440.526 -0.093 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cnc(C(=O)N3CC(N4CCNC(=O)C4)C3)cn2)CC1 ZINC001194818891 1074360723 /nfs/dbraw/zinc/36/07/23/1074360723.db2.gz SKGCKBGORKMFDI-UHFFFAOYSA-N 0 0 445.524 -0.210 20 0 IBADRN O=C1CCC(S(=O)(=O)NCCOCCOCCOCCOCCOCCO)CC1 ZINC001194820566 1074360772 /nfs/dbraw/zinc/36/07/72/1074360772.db2.gz AVHFKAROXAJRGY-UHFFFAOYSA-N 0 0 441.543 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cnc(C(=O)NC3CN(S(C)(=O)=O)C3)cn2)CC1 ZINC001194820956 1074360744 /nfs/dbraw/zinc/36/07/44/1074360744.db2.gz GNFXFIKJEVTKQE-UHFFFAOYSA-N 0 0 440.526 -0.093 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2cnc(C(=O)N[C@@H]3C[C@H](CO)[C@@H](O)[C@H]3O)cn2)CC1 ZINC001194821476 1074360785 /nfs/dbraw/zinc/36/07/85/1074360785.db2.gz KZEXQHIYNQQMED-KFZJALRRSA-N 0 0 437.497 -0.634 20 0 IBADRN CNS(=O)(=O)CCNC(=O)c1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC001194822861 1074360684 /nfs/dbraw/zinc/36/06/84/1074360684.db2.gz ZJGARPUIPDLRTL-UHFFFAOYSA-N 0 0 428.515 -0.187 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)c1cnc(N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC001194825022 1074360779 /nfs/dbraw/zinc/36/07/79/1074360779.db2.gz GSNJVHPYGKPHOR-UHFFFAOYSA-N 0 0 436.469 -0.447 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)C1CCC(=O)CC1)C(N)=O ZINC001194830208 1074361214 /nfs/dbraw/zinc/36/12/14/1074361214.db2.gz LQIJKYYWVFIJJZ-NVGVWMPQSA-N 0 0 441.506 -0.915 20 0 IBADRN Cc1cn(C)nc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001194831558 1074361184 /nfs/dbraw/zinc/36/11/84/1074361184.db2.gz OXUVZWLTOZMNQP-LSDHHAIUSA-N 0 0 432.506 -0.108 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)NCC(=O)N1CCN(C)CC1 ZINC001194842489 1074361769 /nfs/dbraw/zinc/36/17/69/1074361769.db2.gz RWQPVBFGIIDDSH-UHFFFAOYSA-N 0 0 447.315 -0.447 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(C)CC2)c(OC)c1 ZINC001194843150 1074361648 /nfs/dbraw/zinc/36/16/48/1074361648.db2.gz XJQNZFMCXDQERR-UHFFFAOYSA-N 0 0 434.540 -0.491 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CC[C@@]2(C1)CN(C(=O)OC(C)(C)C)CCO2 ZINC001194850525 1074361122 /nfs/dbraw/zinc/36/11/22/1074361122.db2.gz PJPPLYUNRVNSJQ-INIZCTEOSA-N 0 0 441.572 -0.081 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CC[C@]2(C1)CN(C(=O)OC(C)(C)C)CCO2 ZINC001194850529 1074361083 /nfs/dbraw/zinc/36/10/83/1074361083.db2.gz PJPPLYUNRVNSJQ-MRXNPFEDSA-N 0 0 441.572 -0.081 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1nn(C)cc1C)C(N)=O ZINC001194850682 1074361293 /nfs/dbraw/zinc/36/12/93/1074361293.db2.gz VXOISZPHFTZRGC-KBPBESRZSA-N 0 0 440.570 -0.288 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]cn1)NS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001194851165 1074361247 /nfs/dbraw/zinc/36/12/47/1074361247.db2.gz ULAGBCRBJBPIRD-NSHDSACASA-N 0 0 436.416 -0.324 20 0 IBADRN Cc1c(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)ncn1C ZINC001194852630 1074361128 /nfs/dbraw/zinc/36/11/28/1074361128.db2.gz QPMBRNFBTPTSIO-LSDHHAIUSA-N 0 0 432.506 -0.108 20 0 IBADRN CN1CCN(C(=O)[C@H](Cc2ccccc2)NS(=O)(=O)CCCS(C)(=O)=O)CC1 ZINC001194858216 1074361011 /nfs/dbraw/zinc/36/10/11/1074361011.db2.gz GZTNGWLWDKASAV-KRWDZBQOSA-N 0 0 431.580 -0.274 20 0 IBADRN CN1CCN(C(=O)[C@@H](Cc2ccccc2)NS(=O)(=O)CCCS(C)(=O)=O)CC1 ZINC001194858224 1074361195 /nfs/dbraw/zinc/36/11/95/1074361195.db2.gz GZTNGWLWDKASAV-QGZVFWFLSA-N 0 0 431.580 -0.274 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCN(C)CC1 ZINC001194858498 1074361044 /nfs/dbraw/zinc/36/10/44/1074361044.db2.gz KCDAQQKVSXJSLM-KRWDZBQOSA-N 0 0 446.595 -0.818 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N[C@H](Cc1ccccc1)C(=O)N1CCN(C)CC1 ZINC001194858689 1074360984 /nfs/dbraw/zinc/36/09/84/1074360984.db2.gz KCDAQQKVSXJSLM-QGZVFWFLSA-N 0 0 446.595 -0.818 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)c(=O)[nH]c1=O ZINC001194858944 1074361157 /nfs/dbraw/zinc/36/11/57/1074361157.db2.gz PCUUWCYJIOXJOC-HNNXBMFYSA-N 0 0 435.506 -0.851 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)c(=O)[nH]c1=O ZINC001194858945 1074361225 /nfs/dbraw/zinc/36/12/25/1074361225.db2.gz PCUUWCYJIOXJOC-OAHLLOKOSA-N 0 0 435.506 -0.851 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ncn(C)c1C)C(N)=O ZINC001194868608 1074361116 /nfs/dbraw/zinc/36/11/16/1074361116.db2.gz OXVGXGUPKWUAEV-KBPBESRZSA-N 0 0 440.570 -0.288 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1c(C)cc(OC)c(C)c1C ZINC001194875805 1074361034 /nfs/dbraw/zinc/36/10/34/1074361034.db2.gz HODSFHXNYFXXGD-UHFFFAOYSA-N 0 0 429.495 -0.306 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC3(C2)C[C@@H](O)CNC3=O)cc1 ZINC001194877524 1074361700 /nfs/dbraw/zinc/36/17/00/1074361700.db2.gz HUBPYHUROUNBOC-CYBMUJFWSA-N 0 0 431.536 -0.411 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC3(C2)C[C@H](O)CNC3=O)cc1 ZINC001194877527 1074361670 /nfs/dbraw/zinc/36/16/70/1074361670.db2.gz HUBPYHUROUNBOC-ZDUSSCGKSA-N 0 0 431.536 -0.411 20 0 IBADRN O=C1NC[C@H](O)CC12CN(S(=O)(=O)c1ccc(S(=O)(=O)C(F)(F)F)cc1)C2 ZINC001194878601 1074361723 /nfs/dbraw/zinc/36/17/23/1074361723.db2.gz PPDCSVDTCAALPO-SECBINFHSA-N 0 0 428.410 -0.148 20 0 IBADRN O=C1NC[C@@H](O)CC12CN(S(=O)(=O)c1ccc(S(=O)(=O)C(F)(F)F)cc1)C2 ZINC001194878614 1074361811 /nfs/dbraw/zinc/36/18/11/1074361811.db2.gz PPDCSVDTCAALPO-VIFPVBQESA-N 0 0 428.410 -0.148 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2nc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@@H]43)cs2)CC1 ZINC001194882849 1074361790 /nfs/dbraw/zinc/36/17/90/1074361790.db2.gz MEEJJEANVDLKDY-HZSPNIEDSA-N 0 0 438.558 -0.667 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2nc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@H]43)cs2)CC1 ZINC001194882853 1074361801 /nfs/dbraw/zinc/36/18/01/1074361801.db2.gz MEEJJEANVDLKDY-IHRRRGAJSA-N 0 0 438.558 -0.667 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2nc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@@H]43)cs2)CC1 ZINC001194882856 1074361577 /nfs/dbraw/zinc/36/15/77/1074361577.db2.gz MEEJJEANVDLKDY-MELADBBJSA-N 0 0 438.558 -0.667 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2nc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@H]43)cs2)CC1 ZINC001194882858 1074361599 /nfs/dbraw/zinc/36/15/99/1074361599.db2.gz MEEJJEANVDLKDY-RDBSUJKOSA-N 0 0 438.558 -0.667 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)CC1 ZINC001194883265 1074361682 /nfs/dbraw/zinc/36/16/82/1074361682.db2.gz ONOFDGKBVTXHNF-AWEZNQCLSA-N 0 0 436.600 -0.680 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCC[C@H](CNS(=O)(=O)C3CC3)C2)CC1 ZINC001194883266 1074361750 /nfs/dbraw/zinc/36/17/50/1074361750.db2.gz ONOFDGKBVTXHNF-CQSZACIVSA-N 0 0 436.600 -0.680 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2S(=O)(=O)N2CCC3(CC2)OCCO3)cn1 ZINC001194886001 1074361825 /nfs/dbraw/zinc/36/18/25/1074361825.db2.gz KFPBHFZEZCASTL-HNNXBMFYSA-N 0 0 434.540 -0.335 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2S(=O)(=O)N2CCC3(CC2)OCCO3)cn1 ZINC001194886003 1074361818 /nfs/dbraw/zinc/36/18/18/1074361818.db2.gz KFPBHFZEZCASTL-OAHLLOKOSA-N 0 0 434.540 -0.335 20 0 IBADRN COc1cc(C)c(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)c(C)c1C ZINC001194886989 1074361714 /nfs/dbraw/zinc/36/17/14/1074361714.db2.gz GEXUPCVKOYQTEE-AWEZNQCLSA-N 0 0 440.522 -0.509 20 0 IBADRN CNC(=O)C1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOCC1 ZINC001194904808 1074361704 /nfs/dbraw/zinc/36/17/04/1074361704.db2.gz CZRKAXIXLFASAO-UHFFFAOYSA-N 0 0 447.535 -0.719 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC2(C(=O)NC)CCOCC2)s1 ZINC001194904930 1074361730 /nfs/dbraw/zinc/36/17/30/1074361730.db2.gz FZISJLVGLXTURJ-UHFFFAOYSA-N 0 0 439.581 -0.197 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCC2(S(=O)(=O)N(C)C)CC2)c1 ZINC001194908273 1074361618 /nfs/dbraw/zinc/36/16/18/1074361618.db2.gz SJAJHTMMRUOCFU-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN Cn1cncc1-c1cccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1 ZINC001194918427 1074362325 /nfs/dbraw/zinc/36/23/25/1074362325.db2.gz VPFPIIKVURCMRA-KLICCBINSA-N 0 0 426.433 -0.482 20 0 IBADRN CN1CCOC[C@@]1(CO)CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001194932962 1074362185 /nfs/dbraw/zinc/36/21/85/1074362185.db2.gz HFTVVQJSYOZMHI-KRWDZBQOSA-N 0 0 433.552 -0.558 20 0 IBADRN CN1CCOC[C@]1(CO)CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001194932965 1074362175 /nfs/dbraw/zinc/36/21/75/1074362175.db2.gz HFTVVQJSYOZMHI-QGZVFWFLSA-N 0 0 433.552 -0.558 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@]2(CO)COCCN2C)c(OC)c1 ZINC001194934012 1074362102 /nfs/dbraw/zinc/36/21/02/1074362102.db2.gz NYCBRFMMOGBUIX-INIZCTEOSA-N 0 0 437.540 -0.572 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@]2(CO)COCCN2C)c(OC)c1 ZINC001194934013 1074362089 /nfs/dbraw/zinc/36/20/89/1074362089.db2.gz NYCBRFMMOGBUIX-MRXNPFEDSA-N 0 0 437.540 -0.572 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCCn3nncc3C2)cc1)N1CCOCC1 ZINC001194934816 1074362123 /nfs/dbraw/zinc/36/21/23/1074362123.db2.gz UCPWXZYLWIISRB-UHFFFAOYSA-N 0 0 427.508 -0.106 20 0 IBADRN O=C(Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)OCCF ZINC001194937210 1074362134 /nfs/dbraw/zinc/36/21/34/1074362134.db2.gz INPKWHVMLPIWEY-IOSLPCCCSA-N 0 0 436.194 -0.282 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)n1 ZINC001194939660 1074362229 /nfs/dbraw/zinc/36/22/29/1074362229.db2.gz FOAMVGKXDABEOI-UHFFFAOYSA-N 0 0 441.535 -0.079 20 0 IBADRN O=C1NCCN1CCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001194940214 1074362307 /nfs/dbraw/zinc/36/23/07/1074362307.db2.gz JMTPRFPDYXCEFG-UHFFFAOYSA-N 0 0 430.430 -0.169 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2nc(C)cc(=O)[nH]2)CC1 ZINC001194940989 1074362252 /nfs/dbraw/zinc/36/22/52/1074362252.db2.gz WUHFFTKUFKXOAJ-UHFFFAOYSA-N 0 0 427.508 -0.090 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1ccc(NS(C)(=O)=O)c(F)c1)C2 ZINC001194973192 1074362161 /nfs/dbraw/zinc/36/21/61/1074362161.db2.gz XTHVRRLFBPRFNB-LLVKDONJSA-N 0 0 434.467 -0.001 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1ccc(NS(C)(=O)=O)c(F)c1)C2 ZINC001194973195 1074362069 /nfs/dbraw/zinc/36/20/69/1074362069.db2.gz XTHVRRLFBPRFNB-NSHDSACASA-N 0 0 434.467 -0.001 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cnc(Br)cc1Br ZINC001194975255 1074362617 /nfs/dbraw/zinc/36/26/17/1074362617.db2.gz PDWJEAHRAPWHBL-SWRBICTNSA-N 0 0 442.060 -0.864 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cnc(Br)cc1Br ZINC001194975276 1074362583 /nfs/dbraw/zinc/36/25/83/1074362583.db2.gz PDWJEAHRAPWHBL-ZYJZCQHASA-N 0 0 442.060 -0.864 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNS(=O)(=O)C[C@H]1CCC2(CCOCC2)CO1 ZINC001194983848 1074362825 /nfs/dbraw/zinc/36/28/25/1074362825.db2.gz IEVYOFOFESWDEL-CYBMUJFWSA-N 0 0 432.586 -0.061 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNS(=O)(=O)C[C@@H]1CCC2(CCOCC2)CO1 ZINC001194983849 1074362753 /nfs/dbraw/zinc/36/27/53/1074362753.db2.gz IEVYOFOFESWDEL-ZDUSSCGKSA-N 0 0 432.586 -0.061 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)NCCS(=O)(=O)N=S(C)(C)=O)CC1 ZINC001194984346 1074362702 /nfs/dbraw/zinc/36/27/02/1074362702.db2.gz NLNPGEFSLPCCDL-UHFFFAOYSA-N 0 0 447.601 -0.068 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNS(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2 ZINC001194984809 1074362722 /nfs/dbraw/zinc/36/27/22/1074362722.db2.gz XCWPDOAKXKBRPC-UHFFFAOYSA-N 0 0 435.549 -0.142 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](NC(=O)OCCF)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001194986695 1074362594 /nfs/dbraw/zinc/36/25/94/1074362594.db2.gz XUGXZPDOLDBFKL-OXGONZEZSA-N 0 0 437.373 -0.235 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(C(=O)C(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC001194992286 1074362813 /nfs/dbraw/zinc/36/28/13/1074362813.db2.gz BVDSXTZDFYFDOA-UHFFFAOYSA-N 0 0 448.586 -0.351 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(CC(=O)NCc2ccc(OCC(N)=O)cc2)CC1 ZINC001194992329 1074362852 /nfs/dbraw/zinc/36/28/52/1074362852.db2.gz BYPKSQAUIYZAEM-UHFFFAOYSA-N 0 0 434.493 -0.347 20 0 IBADRN Cc1cn([C@H]2C[C@H](NS(=O)(=O)c3cccc4nccnc43)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001195007464 1074363113 /nfs/dbraw/zinc/36/31/13/1074363113.db2.gz NNRBTNSLAXBDQT-GZBFAFLISA-N 0 0 433.446 -0.173 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cccc2nccnc21 ZINC001195010003 1074363261 /nfs/dbraw/zinc/36/32/61/1074363261.db2.gz BTXCBCOQDUSTIJ-HNNXBMFYSA-N 0 0 429.458 -0.174 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cccc2nccnc21 ZINC001195010971 1074363221 /nfs/dbraw/zinc/36/32/21/1074363221.db2.gz NPZDHBVHGDDOKY-SRVKXCTJSA-N 0 0 437.478 -0.521 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001195012520 1074362764 /nfs/dbraw/zinc/36/27/64/1074362764.db2.gz DAHARGMHPXDISM-UHFFFAOYSA-N 0 0 428.389 -0.269 20 0 IBADRN O=C(CN1C[C@H](O)[C@@H](CO)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC001195014533 1074362834 /nfs/dbraw/zinc/36/28/34/1074362834.db2.gz PTKZREHBTJHAQC-DOMZBBRYSA-N 0 0 433.914 -0.416 20 0 IBADRN O=C(CN1C[C@H](CO)[C@H](O)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC001195014536 1074362673 /nfs/dbraw/zinc/36/26/73/1074362673.db2.gz PTKZREHBTJHAQC-IUODEOHRSA-N 0 0 433.914 -0.416 20 0 IBADRN O=C(CN1C[C@@H](O)[C@H](CO)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC001195014538 1074362786 /nfs/dbraw/zinc/36/27/86/1074362786.db2.gz PTKZREHBTJHAQC-SWLSCSKDSA-N 0 0 433.914 -0.416 20 0 IBADRN O=C(CN1C[C@H](O)[C@H](CO)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC001195014540 1074362641 /nfs/dbraw/zinc/36/26/41/1074362641.db2.gz PTKZREHBTJHAQC-WFASDCNBSA-N 0 0 433.914 -0.416 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1cccc2nccnc21 ZINC001195015276 1074362625 /nfs/dbraw/zinc/36/26/25/1074362625.db2.gz NMIMLMWOBDFCAC-HNNXBMFYSA-N 0 0 429.458 -0.174 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cccc2nccnc21 ZINC001195015283 1074362695 /nfs/dbraw/zinc/36/26/95/1074362695.db2.gz NPEWOVWYBZZWQJ-HOTGVXAUSA-N 0 0 443.485 -0.518 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CCN(CC(N)=O)C(=O)C2)c1 ZINC001195028864 1074363279 /nfs/dbraw/zinc/36/32/79/1074363279.db2.gz OMXPXIMMFSCWJH-UHFFFAOYSA-N 0 0 425.511 -0.715 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2CCN(c3ncc(Cl)cc3Cl)CC2)CC1=O ZINC001195028975 1074363193 /nfs/dbraw/zinc/36/31/93/1074363193.db2.gz OWYIOLNXURCKHJ-UHFFFAOYSA-N 0 0 429.308 -0.334 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC(O)(COCCO)CC2)c1I ZINC001195033675 1074363251 /nfs/dbraw/zinc/36/32/51/1074363251.db2.gz JYSUCYFATJHTQX-UHFFFAOYSA-N 0 0 445.279 -0.451 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(S(=O)(=O)N2CCC(O)(COCCO)CC2)cc1 ZINC001195033772 1074363286 /nfs/dbraw/zinc/36/32/86/1074363286.db2.gz LETMVIPFRRVRCB-UHFFFAOYSA-N 0 0 434.536 -0.348 20 0 IBADRN O=S(=O)(NC1CC1)c1cccc(S(=O)(=O)N2CCC(O)(COCCO)CC2)c1 ZINC001195033835 1074363163 /nfs/dbraw/zinc/36/31/63/1074363163.db2.gz LWLMZGRIWMJREG-UHFFFAOYSA-N 0 0 434.536 -0.348 20 0 IBADRN C[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001195034186 1074363213 /nfs/dbraw/zinc/36/32/13/1074363213.db2.gz PTOVCBUGZAJCMF-DOMZBBRYSA-N 0 0 430.504 -0.348 20 0 IBADRN O=S(=O)(NC1CC1)c1ccccc1S(=O)(=O)N1CCC(O)(COCCO)CC1 ZINC001195034304 1074363242 /nfs/dbraw/zinc/36/32/42/1074363242.db2.gz UDTHPIUDOFSLAH-UHFFFAOYSA-N 0 0 434.536 -0.348 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC(O)(COCCO)CC2)cc1F ZINC001195034355 1074363144 /nfs/dbraw/zinc/36/31/44/1074363144.db2.gz WYEKFFZJOGHSLU-UHFFFAOYSA-N 0 0 426.488 -0.278 20 0 IBADRN COC(=O)c1cc(-c2ccc(C(=O)NCCO[C@@H]3O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]3O)cc2)on1 ZINC001195038591 1074363186 /nfs/dbraw/zinc/36/31/86/1074363186.db2.gz BDIPLCAKLOHOHH-MMGMHCEZSA-N 0 0 436.417 -0.298 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC[C@@]1(OC)CCS(=O)(=O)C1 ZINC001195061235 1074363204 /nfs/dbraw/zinc/36/32/04/1074363204.db2.gz WXKJNUQPDBEHGA-AWEZNQCLSA-N 0 0 427.522 -0.419 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC[C@]1(OC)CCS(=O)(=O)C1 ZINC001195061239 1074363102 /nfs/dbraw/zinc/36/31/02/1074363102.db2.gz WXKJNUQPDBEHGA-CQSZACIVSA-N 0 0 427.522 -0.419 20 0 IBADRN CO[C@@]1(CNS(=O)(=O)c2cnn3cc(Br)cnc23)CCS(=O)(=O)C1 ZINC001195061242 1074363123 /nfs/dbraw/zinc/36/31/23/1074363123.db2.gz WZCSJYZQLKFGTN-GFCCVEGCSA-N 0 0 439.313 -0.026 20 0 IBADRN CO[C@]1(CNS(=O)(=O)c2cnn3cc(Br)cnc23)CCS(=O)(=O)C1 ZINC001195061243 1074363171 /nfs/dbraw/zinc/36/31/71/1074363171.db2.gz WZCSJYZQLKFGTN-LBPRGKRZSA-N 0 0 439.313 -0.026 20 0 IBADRN CO[C@@]1(CNS(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CCS(=O)(=O)C1 ZINC001195061696 1074363542 /nfs/dbraw/zinc/36/35/42/1074363542.db2.gz YUCWOFSCXWSERQ-CYBMUJFWSA-N 0 0 430.501 -0.321 20 0 IBADRN CO[C@]1(CNS(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CCS(=O)(=O)C1 ZINC001195061699 1074363804 /nfs/dbraw/zinc/36/38/04/1074363804.db2.gz YUCWOFSCXWSERQ-ZDUSSCGKSA-N 0 0 430.501 -0.321 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCO[C@H]([C@@H](C)O)C3)CC2)c1 ZINC001195064967 1074363696 /nfs/dbraw/zinc/36/36/96/1074363696.db2.gz NKJFJJGDZXZJGQ-APWZRJJASA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCO[C@H]([C@H](C)O)C3)CC2)c1 ZINC001195064968 1074363581 /nfs/dbraw/zinc/36/35/81/1074363581.db2.gz NKJFJJGDZXZJGQ-LPHOPBHVSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCO[C@@H]([C@H](C)O)C3)CC2)c1 ZINC001195064971 1074363771 /nfs/dbraw/zinc/36/37/71/1074363771.db2.gz NKJFJJGDZXZJGQ-QFBILLFUSA-N 0 0 439.534 -0.196 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCO[C@@H]([C@@H](C)O)C3)CC2)c1 ZINC001195064972 1074363707 /nfs/dbraw/zinc/36/37/07/1074363707.db2.gz NKJFJJGDZXZJGQ-VQIMIIECSA-N 0 0 439.534 -0.196 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ncc(Br)s2)c(=O)n1 ZINC001195070680 1074363793 /nfs/dbraw/zinc/36/37/93/1074363793.db2.gz LXTSUVXDKZOOIV-JJNLEZRASA-N 0 0 432.256 -0.664 20 0 IBADRN C[Si](C)(C)CCS(=O)(=O)Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001195081036 1074363754 /nfs/dbraw/zinc/36/37/54/1074363754.db2.gz MHBRIIOGCDXZJC-DRRXZNNHSA-N 0 0 435.571 -0.055 20 0 IBADRN C[Si](C)(C)CCS(=O)(=O)Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001195081041 1074363716 /nfs/dbraw/zinc/36/37/16/1074363716.db2.gz MHBRIIOGCDXZJC-NRKLIOEPSA-N 0 0 435.571 -0.055 20 0 IBADRN COc1nccnc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001195082518 1074363781 /nfs/dbraw/zinc/36/37/81/1074363781.db2.gz UJWWAXXYWUOLOC-UONOGXRCSA-N 0 0 446.489 -0.352 20 0 IBADRN COc1nccnc1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001195082836 1074363686 /nfs/dbraw/zinc/36/36/86/1074363686.db2.gz XXOLJVQPVJXUTD-IBGZPJMESA-N 0 0 437.522 -0.169 20 0 IBADRN COc1nccnc1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001195082837 1074363568 /nfs/dbraw/zinc/36/35/68/1074363568.db2.gz XXOLJVQPVJXUTD-LJQANCHMSA-N 0 0 437.522 -0.169 20 0 IBADRN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn(C)c1=O ZINC001195105438 1074364106 /nfs/dbraw/zinc/36/41/06/1074364106.db2.gz HSKRODQUVFGSPV-BRWVUGGUSA-N 0 0 437.518 -0.572 20 0 IBADRN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn(C)c1=O ZINC001195105451 1074364175 /nfs/dbraw/zinc/36/41/75/1074364175.db2.gz HSKRODQUVFGSPV-GVDBMIGSSA-N 0 0 437.518 -0.572 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)CC[Si](C)(C)C)C(N)=O ZINC001195107074 1074364121 /nfs/dbraw/zinc/36/41/21/1074364121.db2.gz BOOYRUULCCVVKR-KCXAZCMYSA-N 0 0 445.614 -0.088 20 0 IBADRN CNC(=O)CN1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CC1 ZINC001195107118 1074363962 /nfs/dbraw/zinc/36/39/62/1074363962.db2.gz GPHZIKGYBAAGFT-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CNC(=O)CN1CCCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC001195107553 1074364074 /nfs/dbraw/zinc/36/40/74/1074364074.db2.gz JOFDBLVXLZAFEU-UHFFFAOYSA-N 0 0 430.552 -0.331 20 0 IBADRN CNC(=O)CN1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC001195108076 1074363672 /nfs/dbraw/zinc/36/36/72/1074363672.db2.gz PELWNCMNTURLER-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN C[Si](C)(C)CCS(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001195109000 1074363742 /nfs/dbraw/zinc/36/37/42/1074363742.db2.gz VXHDOSRLRBQXPB-GJZGRUSLSA-N 0 0 431.587 -0.477 20 0 IBADRN Cc1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn(C)c1=O ZINC001195110644 1074363651 /nfs/dbraw/zinc/36/36/51/1074363651.db2.gz SFRQMFNEDWSUDS-HOTGVXAUSA-N 0 0 433.509 -0.569 20 0 IBADRN Cc1cc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)cn(C)c1=O ZINC001195111427 1074364148 /nfs/dbraw/zinc/36/41/48/1074364148.db2.gz FANANRZMOLMILB-UHFFFAOYSA-N 0 0 434.883 -0.106 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn(C)c1=O ZINC001195117044 1074364134 /nfs/dbraw/zinc/36/41/34/1074364134.db2.gz HDIOPHRFLCMSHS-DYWWHBPLSA-N 0 0 436.486 -0.162 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)cn(C)c1=O ZINC001195117048 1074364035 /nfs/dbraw/zinc/36/40/35/1074364035.db2.gz HDIOPHRFLCMSHS-JZBZHOAESA-N 0 0 436.486 -0.162 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)cn(C)c1=O ZINC001195117050 1074364064 /nfs/dbraw/zinc/36/40/64/1074364064.db2.gz HDIOPHRFLCMSHS-SUFIHLRJSA-N 0 0 436.486 -0.162 20 0 IBADRN Cc1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)cn(C)c1=O ZINC001195117053 1074364199 /nfs/dbraw/zinc/36/41/99/1074364199.db2.gz HDIOPHRFLCMSHS-WDHIOAMHSA-N 0 0 436.486 -0.162 20 0 IBADRN CNC(=O)CN1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC001195118738 1074363996 /nfs/dbraw/zinc/36/39/96/1074363996.db2.gz WVBILGIPFWACPS-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001195135724 1074364010 /nfs/dbraw/zinc/36/40/10/1074364010.db2.gz CMJVMSUNHIQNEL-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC001195137456 1074364162 /nfs/dbraw/zinc/36/41/62/1074364162.db2.gz PQXJGJGIKUWHIQ-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN(CCN2CCOCC2)Cc2ccco2)CC1 ZINC001195155935 1074364634 /nfs/dbraw/zinc/36/46/34/1074364634.db2.gz RKKQTLCPTWXOSS-UHFFFAOYSA-N 0 0 428.555 -0.046 20 0 IBADRN CC(C)c1cccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c1 ZINC001195179539 1074364513 /nfs/dbraw/zinc/36/45/13/1074364513.db2.gz GJAIXGBCRXTBEW-MWQQHZPXSA-N 0 0 425.463 -0.330 20 0 IBADRN O=C(CN1CC[C@H](O)[C@@H](CO)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001195213655 1074364750 /nfs/dbraw/zinc/36/47/50/1074364750.db2.gz FGHRTLDXZNOQDD-PBHICJAKSA-N 0 0 431.942 -0.152 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@@H](CO)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001195213656 1074364703 /nfs/dbraw/zinc/36/47/03/1074364703.db2.gz FGHRTLDXZNOQDD-RHSMWYFYSA-N 0 0 431.942 -0.152 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@H](CO)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001195213657 1074364465 /nfs/dbraw/zinc/36/44/65/1074364465.db2.gz FGHRTLDXZNOQDD-WMLDXEAASA-N 0 0 431.942 -0.152 20 0 IBADRN O=C(CN1CC[C@H](O)[C@H](CO)C1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001195213658 1074364809 /nfs/dbraw/zinc/36/48/09/1074364809.db2.gz FGHRTLDXZNOQDD-YOEHRIQHSA-N 0 0 431.942 -0.152 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@@H](CO)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001195213820 1074364596 /nfs/dbraw/zinc/36/45/96/1074364596.db2.gz JCNRFROPPGHGJT-CXAGYDPISA-N 0 0 449.932 -0.013 20 0 IBADRN O=C(CN1CC[C@H](O)[C@@H](CO)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001195213821 1074364531 /nfs/dbraw/zinc/36/45/31/1074364531.db2.gz JCNRFROPPGHGJT-DYVFJYSZSA-N 0 0 449.932 -0.013 20 0 IBADRN O=C(CN1CC[C@H](O)[C@H](CO)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001195213822 1074364780 /nfs/dbraw/zinc/36/47/80/1074364780.db2.gz JCNRFROPPGHGJT-GUYCJALGSA-N 0 0 449.932 -0.013 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@H](CO)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001195213823 1074364857 /nfs/dbraw/zinc/36/48/57/1074364857.db2.gz JCNRFROPPGHGJT-SUMWQHHRSA-N 0 0 449.932 -0.013 20 0 IBADRN NC(=O)C[C@H]1COCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001195231725 1074364657 /nfs/dbraw/zinc/36/46/57/1074364657.db2.gz AIWDIZNINVXEFP-HNNXBMFYSA-N 0 0 426.495 -0.778 20 0 IBADRN NC(=O)C[C@@H]1COCCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001195231726 1074364565 /nfs/dbraw/zinc/36/45/65/1074364565.db2.gz AIWDIZNINVXEFP-OAHLLOKOSA-N 0 0 426.495 -0.778 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCC(S(=O)(=O)N3CCCCC3)CC2)C1 ZINC001195251404 1074365230 /nfs/dbraw/zinc/36/52/30/1074365230.db2.gz BLEDWNIBPFMAEA-UHFFFAOYSA-N 0 0 443.613 -0.512 20 0 IBADRN O=C(NCC(F)(F)F)c1cccc(S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001195251466 1074365115 /nfs/dbraw/zinc/36/51/15/1074365115.db2.gz CUSUDFFXDNMHHI-LLVKDONJSA-N 0 0 442.441 -0.498 20 0 IBADRN O=C(NCC(F)(F)F)c1cccc(S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001195251468 1074365194 /nfs/dbraw/zinc/36/51/94/1074365194.db2.gz CUSUDFFXDNMHHI-NSHDSACASA-N 0 0 442.441 -0.498 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1 ZINC001195251633 1074365086 /nfs/dbraw/zinc/36/50/86/1074365086.db2.gz FMYCISAKVFPUQD-CVEARBPZSA-N 0 0 428.536 -0.545 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1 ZINC001195251637 1074365143 /nfs/dbraw/zinc/36/51/43/1074365143.db2.gz FMYCISAKVFPUQD-HOTGVXAUSA-N 0 0 428.536 -0.545 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@@H](S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1 ZINC001195251638 1074365071 /nfs/dbraw/zinc/36/50/71/1074365071.db2.gz FMYCISAKVFPUQD-HZPDHXFCSA-N 0 0 428.536 -0.545 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@@H](S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1 ZINC001195251639 1074365153 /nfs/dbraw/zinc/36/51/53/1074365153.db2.gz FMYCISAKVFPUQD-JKSUJKDBSA-N 0 0 428.536 -0.545 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCC(S(=O)(=O)N2CCCCC2)CC1 ZINC001195251760 1074365205 /nfs/dbraw/zinc/36/52/05/1074365205.db2.gz IIXCEIYKVPAULF-UHFFFAOYSA-N 0 0 431.602 -0.512 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1Br ZINC001195252405 1074365048 /nfs/dbraw/zinc/36/50/48/1074365048.db2.gz SGNZWLPZAYBGNS-SECBINFHSA-N 0 0 426.314 -0.019 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1Br ZINC001195252406 1074365133 /nfs/dbraw/zinc/36/51/33/1074365133.db2.gz SGNZWLPZAYBGNS-VIFPVBQESA-N 0 0 426.314 -0.019 20 0 IBADRN O=S(=O)(c1ccc(I)cc1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001195252444 1074365242 /nfs/dbraw/zinc/36/52/42/1074365242.db2.gz UJPDDUNTTISAPF-JTQLQIEISA-N 0 0 443.288 -0.186 20 0 IBADRN O=S(=O)(c1ccc(I)cc1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001195252445 1074365254 /nfs/dbraw/zinc/36/52/54/1074365254.db2.gz UJPDDUNTTISAPF-SNVBAGLBSA-N 0 0 443.288 -0.186 20 0 IBADRN O=S(=O)(c1cccc(I)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001195252555 1074365182 /nfs/dbraw/zinc/36/51/82/1074365182.db2.gz YEHWCGKIBHBOLM-JTQLQIEISA-N 0 0 443.288 -0.186 20 0 IBADRN O=S(=O)(c1cccc(I)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001195252556 1074365170 /nfs/dbraw/zinc/36/51/70/1074365170.db2.gz YEHWCGKIBHBOLM-SNVBAGLBSA-N 0 0 443.288 -0.186 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCN4[C@H](CNS4(=O)=O)C3)ccc2O1 ZINC001195252594 1074365263 /nfs/dbraw/zinc/36/52/63/1074365263.db2.gz ZXVMLRHJIVAPMW-DOMZBBRYSA-N 0 0 431.492 -0.924 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCN4[C@H](CNS4(=O)=O)C3)ccc2O1 ZINC001195252595 1074365099 /nfs/dbraw/zinc/36/50/99/1074365099.db2.gz ZXVMLRHJIVAPMW-IUODEOHRSA-N 0 0 431.492 -0.924 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)ccc2O1 ZINC001195252596 1074365022 /nfs/dbraw/zinc/36/50/22/1074365022.db2.gz ZXVMLRHJIVAPMW-SWLSCSKDSA-N 0 0 431.492 -0.924 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)ccc2O1 ZINC001195252597 1074365219 /nfs/dbraw/zinc/36/52/19/1074365219.db2.gz ZXVMLRHJIVAPMW-WFASDCNBSA-N 0 0 431.492 -0.924 20 0 IBADRN CN1CCO[C@H](CS(=O)(=O)N2CCn3ncc(I)c3C2)C1 ZINC001195260002 1074365774 /nfs/dbraw/zinc/36/57/74/1074365774.db2.gz GJYBRZRUTZLYHP-JTQLQIEISA-N 0 0 426.280 -0.036 20 0 IBADRN CN1CCO[C@@H](CS(=O)(=O)N2CCn3ncc(I)c3C2)C1 ZINC001195260005 1074365634 /nfs/dbraw/zinc/36/56/34/1074365634.db2.gz GJYBRZRUTZLYHP-SNVBAGLBSA-N 0 0 426.280 -0.036 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCn2ncc(I)c2C1 ZINC001195260113 1074365692 /nfs/dbraw/zinc/36/56/92/1074365692.db2.gz HCEJMLAUTKIYHQ-UHFFFAOYSA-N 0 0 448.308 -0.428 20 0 IBADRN Cn1nccc1[C@@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001195280269 1074365588 /nfs/dbraw/zinc/36/55/88/1074365588.db2.gz XJNMMNGFWZSDFS-INIZCTEOSA-N 0 0 430.508 -0.547 20 0 IBADRN Cn1nccc1[C@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001195280274 1074365716 /nfs/dbraw/zinc/36/57/16/1074365716.db2.gz XJNMMNGFWZSDFS-MRXNPFEDSA-N 0 0 430.508 -0.547 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cncc(Br)c1Br ZINC001195293043 1074365647 /nfs/dbraw/zinc/36/56/47/1074365647.db2.gz XMAMCXOBSXICSS-SWRBICTNSA-N 0 0 442.060 -0.864 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cncc(Br)c1Br ZINC001195293044 1074365671 /nfs/dbraw/zinc/36/56/71/1074365671.db2.gz XMAMCXOBSXICSS-ZYJZCQHASA-N 0 0 442.060 -0.864 20 0 IBADRN CN(CCCNC(=O)CN1CCC(O)(CNC(=O)OC(C)(C)C)CC1)S(C)(=O)=O ZINC001195298337 1074365724 /nfs/dbraw/zinc/36/57/24/1074365724.db2.gz ANOBHHCQUZJRKY-UHFFFAOYSA-N 0 0 436.575 -0.264 20 0 IBADRN CC(=O)N[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)C1 ZINC001195305514 1074365548 /nfs/dbraw/zinc/36/55/48/1074365548.db2.gz DCKJIWYDVJQENW-GOSISDBHSA-N 0 0 436.534 -0.068 20 0 IBADRN CC(=O)N[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)C1 ZINC001195305525 1074365568 /nfs/dbraw/zinc/36/55/68/1074365568.db2.gz DCKJIWYDVJQENW-SFHVURJKSA-N 0 0 436.534 -0.068 20 0 IBADRN CCNC(=S)Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001195309254 1074365596 /nfs/dbraw/zinc/36/55/96/1074365596.db2.gz UNUKRNYZZRCOOC-IOSLPCCCSA-N 0 0 433.288 -0.493 20 0 IBADRN Cc1cccc(Cl)c1S(=O)(=O)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001195326715 1074365611 /nfs/dbraw/zinc/36/56/11/1074365611.db2.gz RLZRWWFIUKJPDN-BPGGGUHBSA-N 0 0 431.854 -0.492 20 0 IBADRN Cc1cncnc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001195355340 1074366224 /nfs/dbraw/zinc/36/62/24/1074366224.db2.gz ZHAOJTFUINRTCS-LSDHHAIUSA-N 0 0 430.490 -0.052 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@@H](OCC(=O)N(C)C)[C@H]3COC[C@H]32)c(C)cc1O ZINC001195355424 1074366160 /nfs/dbraw/zinc/36/61/60/1074366160.db2.gz QPDVDPMWMLUMAF-SQWLQELKSA-N 0 0 442.490 -0.020 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(S(=O)(=O)c3cc(C(=O)OC)c(O)cc3C)C[C@H]21 ZINC001195361170 1074366183 /nfs/dbraw/zinc/36/61/83/1074366183.db2.gz XSTUCQSGJKRXEJ-HIFRSBDPSA-N 0 0 427.479 -0.693 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3[C@@H]2CC(=O)N3CC(=O)N(C)C)c(C)cc1O ZINC001195362109 1074366111 /nfs/dbraw/zinc/36/61/11/1074366111.db2.gz YADILMHSZYCTJL-KBPBESRZSA-N 0 0 439.490 -0.061 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@]3(C2)CNC(=O)CN3C(C)=O)c(C)cc1O ZINC001195362397 1074366137 /nfs/dbraw/zinc/36/61/37/1074366137.db2.gz ZKORDXNTHGXQCF-GOSISDBHSA-N 0 0 425.463 -0.401 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@@]3(C2)CNC(=O)CN3C(C)=O)c(C)cc1O ZINC001195362398 1074366212 /nfs/dbraw/zinc/36/62/12/1074366212.db2.gz ZKORDXNTHGXQCF-SFHVURJKSA-N 0 0 425.463 -0.401 20 0 IBADRN Cn1cnc(CCNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1Br ZINC001195362643 1074366071 /nfs/dbraw/zinc/36/60/71/1074366071.db2.gz ODCMWPHVEMVISI-UHFFFAOYSA-N 0 0 430.350 -0.863 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC3(C2)[C@@H](C(=O)OC)CCS3(=O)=O)c(C)cc1O ZINC001195368721 1074366193 /nfs/dbraw/zinc/36/61/93/1074366193.db2.gz KATIDBVKMDDOEC-GFCCVEGCSA-N 0 0 447.487 -0.162 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC3(C2)[C@H](C(=O)OC)CCS3(=O)=O)c(C)cc1O ZINC001195368723 1074366120 /nfs/dbraw/zinc/36/61/20/1074366120.db2.gz KATIDBVKMDDOEC-LBPRGKRZSA-N 0 0 447.487 -0.162 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ncncc1C)C(N)=O ZINC001195370819 1074366090 /nfs/dbraw/zinc/36/60/90/1074366090.db2.gz YVRGOFZAJRFLDW-KBPBESRZSA-N 0 0 438.554 -0.231 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCCC2)cc1)N1CCOCC1 ZINC001195380504 1074366258 /nfs/dbraw/zinc/36/62/58/1074366258.db2.gz WHZPFEAFJPMVOK-UHFFFAOYSA-N 0 0 431.536 -0.137 20 0 IBADRN O=C(NC(=S)Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccccc1 ZINC001195381825 1074366234 /nfs/dbraw/zinc/36/62/34/1074366234.db2.gz UABDELJFOCZQBM-JGLBJEFFSA-N 0 0 448.436 -0.296 20 0 IBADRN O=C(NC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC001195382012 1074366173 /nfs/dbraw/zinc/36/61/73/1074366173.db2.gz YTHIZMFUMWIWSZ-CNEMSGBDSA-N 0 0 430.446 -0.435 20 0 IBADRN O=C(NC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O)c1ccccc1 ZINC001195382016 1074366127 /nfs/dbraw/zinc/36/61/27/1074366127.db2.gz YTHIZMFUMWIWSZ-MMPOEDRJSA-N 0 0 430.446 -0.435 20 0 IBADRN O=C(NC(=S)Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC001195383428 1074366673 /nfs/dbraw/zinc/36/66/73/1074366673.db2.gz UABDELJFOCZQBM-UBEDBUPSSA-N 0 0 448.436 -0.296 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCCS(=O)(=O)N2CCCC2)C1 ZINC001195386136 1074366661 /nfs/dbraw/zinc/36/66/61/1074366661.db2.gz CSWGDMVTOLKSBA-AWEZNQCLSA-N 0 0 441.572 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCCS(=O)(=O)N2CCCC2)C1 ZINC001195386137 1074366787 /nfs/dbraw/zinc/36/67/87/1074366787.db2.gz CSWGDMVTOLKSBA-CQSZACIVSA-N 0 0 441.572 -0.033 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1)N1CCCC1 ZINC001195386366 1074366596 /nfs/dbraw/zinc/36/65/96/1074366596.db2.gz FCHDPGUTLUOLGU-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001195387758 1074366608 /nfs/dbraw/zinc/36/66/08/1074366608.db2.gz UDZMMRWQMQFTDL-BFHYXJOUSA-N 0 0 441.572 -0.178 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001195387759 1074366692 /nfs/dbraw/zinc/36/66/92/1074366692.db2.gz UDZMMRWQMQFTDL-MGPQQGTHSA-N 0 0 441.572 -0.178 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(C)cc1O ZINC001195396969 1074366273 /nfs/dbraw/zinc/36/62/73/1074366273.db2.gz BOHTYLNBAPZLFM-UHFFFAOYSA-N 0 0 435.524 -0.657 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCC(N)=O)c(C)cc1O ZINC001195408830 1074366082 /nfs/dbraw/zinc/36/60/82/1074366082.db2.gz RABNZGLMRGEMJG-HNNXBMFYSA-N 0 0 449.485 -0.022 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)c(C)cc1O ZINC001195408834 1074366248 /nfs/dbraw/zinc/36/62/48/1074366248.db2.gz RDCITXUUDFJWME-HNNXBMFYSA-N 0 0 449.485 -0.022 20 0 IBADRN NC(=O)c1ccncc1C(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001195430590 1074367299 /nfs/dbraw/zinc/36/72/99/1074367299.db2.gz HZNXWSXPIFICNN-LIVBEALHSA-N 0 0 443.485 -0.227 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cnccc2C(N)=O)CC3)C1=O ZINC001195430794 1074367194 /nfs/dbraw/zinc/36/71/94/1074367194.db2.gz LSNURPQTSAJPED-FQEVSTJZSA-N 0 0 449.533 -0.473 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2cnccc2C(N)=O)CC3)C1=O ZINC001195430800 1074367246 /nfs/dbraw/zinc/36/72/46/1074367246.db2.gz LSNURPQTSAJPED-HXUWFJFHSA-N 0 0 449.533 -0.473 20 0 IBADRN NC(=O)c1ccncc1C(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001195431211 1074367281 /nfs/dbraw/zinc/36/72/81/1074367281.db2.gz RZXCXXVPODREQQ-UHFFFAOYSA-N 0 0 427.465 -0.043 20 0 IBADRN NC(=O)c1ccncc1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001195438974 1074367254 /nfs/dbraw/zinc/36/72/54/1074367254.db2.gz AFOBBDKDSDKHCJ-UHFFFAOYSA-N 0 0 433.855 -0.619 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)c2cnccc2C(N)=O)CC1 ZINC001195439042 1074367078 /nfs/dbraw/zinc/36/70/78/1074367078.db2.gz UEGJVWVPGFTKKE-UHFFFAOYSA-N 0 0 441.510 -0.207 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1cnccc1C(N)=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001195440196 1074366767 /nfs/dbraw/zinc/36/67/67/1074366767.db2.gz DWICVWCGDALTRS-CVEARBPZSA-N 0 0 435.458 -0.021 20 0 IBADRN COc1nccn(C(=O)c2cnccc2C(N)=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001195440314 1074366626 /nfs/dbraw/zinc/36/66/26/1074366626.db2.gz QCYBBKFWHCFETP-UHFFFAOYSA-N 0 0 428.430 -0.054 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1cnccc1C(N)=O)C(N)=O ZINC001195448017 1074366560 /nfs/dbraw/zinc/36/65/60/1074366560.db2.gz PXXXKWKHSUKTSD-SJORKVTESA-N 0 0 427.461 -0.147 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1)N1CCOCC1 ZINC001195454014 1074366549 /nfs/dbraw/zinc/36/65/49/1074366549.db2.gz ASIHRWXAAHMHDG-IBGZPJMESA-N 0 0 425.507 -0.773 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1)N1CCOCC1 ZINC001195454016 1074366798 /nfs/dbraw/zinc/36/67/98/1074366798.db2.gz ASIHRWXAAHMHDG-LJQANCHMSA-N 0 0 425.507 -0.773 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1 ZINC001195454412 1074366705 /nfs/dbraw/zinc/36/67/05/1074366705.db2.gz DYWLGOQCSNSFMZ-KRWDZBQOSA-N 0 0 431.536 -0.805 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1 ZINC001195454414 1074366717 /nfs/dbraw/zinc/36/67/17/1074366717.db2.gz DYWLGOQCSNSFMZ-QGZVFWFLSA-N 0 0 431.536 -0.805 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1 ZINC001195454592 1074366650 /nfs/dbraw/zinc/36/66/50/1074366650.db2.gz GCCZZRFVETUXMO-GOSISDBHSA-N 0 0 448.563 -0.432 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1 ZINC001195454597 1074366533 /nfs/dbraw/zinc/36/65/33/1074366533.db2.gz GCCZZRFVETUXMO-SFHVURJKSA-N 0 0 448.563 -0.432 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN3CCOC[C@@]3(CO)C1)C(=O)NCCC2 ZINC001195454716 1074366776 /nfs/dbraw/zinc/36/67/76/1074366776.db2.gz HHIJACSPPANVNJ-IBGZPJMESA-N 0 0 425.507 -0.561 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN3CCOC[C@]3(CO)C1)C(=O)NCCC2 ZINC001195454718 1074366639 /nfs/dbraw/zinc/36/66/39/1074366639.db2.gz HHIJACSPPANVNJ-LJQANCHMSA-N 0 0 425.507 -0.561 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1 ZINC001195454822 1074366755 /nfs/dbraw/zinc/36/67/55/1074366755.db2.gz IZTBKBODRDOUGH-GOSISDBHSA-N 0 0 447.579 -0.312 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1 ZINC001195454824 1074367273 /nfs/dbraw/zinc/36/72/73/1074367273.db2.gz IZTBKBODRDOUGH-SFHVURJKSA-N 0 0 447.579 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1 ZINC001195455150 1074367135 /nfs/dbraw/zinc/36/71/35/1074367135.db2.gz LYRDIYWHHAQLRB-GOSISDBHSA-N 0 0 447.579 -0.215 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1 ZINC001195455152 1074367218 /nfs/dbraw/zinc/36/72/18/1074367218.db2.gz LYRDIYWHHAQLRB-SFHVURJKSA-N 0 0 447.579 -0.215 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001195455220 1074367146 /nfs/dbraw/zinc/36/71/46/1074367146.db2.gz NLYAWWDDCVLCGB-FQEVSTJZSA-N 0 0 437.518 -0.229 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001195455226 1074367068 /nfs/dbraw/zinc/36/70/68/1074367068.db2.gz NLYAWWDDCVLCGB-HXUWFJFHSA-N 0 0 437.518 -0.229 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1 ZINC001195455641 1074367289 /nfs/dbraw/zinc/36/72/89/1074367289.db2.gz RVSUENGHYZHKQF-KRWDZBQOSA-N 0 0 433.552 -0.558 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1 ZINC001195455642 1074367170 /nfs/dbraw/zinc/36/71/70/1074367170.db2.gz RVSUENGHYZHKQF-QGZVFWFLSA-N 0 0 433.552 -0.558 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1C(=O)NCC(F)(F)F ZINC001195455882 1074367263 /nfs/dbraw/zinc/36/72/63/1074367263.db2.gz WLCVFQHSMPBZHT-HNNXBMFYSA-N 0 0 440.444 -0.615 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1C(=O)NCC(F)(F)F ZINC001195455901 1074367207 /nfs/dbraw/zinc/36/72/07/1074367207.db2.gz WLCVFQHSMPBZHT-OAHLLOKOSA-N 0 0 440.444 -0.615 20 0 IBADRN CCOC(=O)NC(=S)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001195458042 1074367094 /nfs/dbraw/zinc/36/70/94/1074367094.db2.gz IMKSXOATHRHAJG-IOSLPCCCSA-N 0 0 432.846 -0.466 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NC(=O)OCC)[C@H](O)[C@@H]1O ZINC001195458312 1074367120 /nfs/dbraw/zinc/36/71/20/1074367120.db2.gz RFODGNRJKKZQRE-AEISUSGSSA-N 0 0 439.454 -0.976 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)N1CCO[C@H](CS(C)(=O)=O)C1)c1ccccc1 ZINC001195482387 1074367229 /nfs/dbraw/zinc/36/72/29/1074367229.db2.gz BCWZIRSVDAEXIA-CANCMXDXSA-N 0 0 425.554 -0.020 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)c1ccccc1 ZINC001195482390 1074367572 /nfs/dbraw/zinc/36/75/72/1074367572.db2.gz BCWZIRSVDAEXIA-SHZVTRLKSA-N 0 0 425.554 -0.020 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)c1ccccc1 ZINC001195482392 1074367575 /nfs/dbraw/zinc/36/75/75/1074367575.db2.gz BCWZIRSVDAEXIA-YMXBGEKHSA-N 0 0 425.554 -0.020 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)N1CCO[C@H](CS(C)(=O)=O)C1)c1ccccc1 ZINC001195482394 1074367548 /nfs/dbraw/zinc/36/75/48/1074367548.db2.gz BCWZIRSVDAEXIA-ZJWNCGCPSA-N 0 0 425.554 -0.020 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCO[C@H](CS(C)(=O)=O)C3)ccc2O1 ZINC001195484436 1074367610 /nfs/dbraw/zinc/36/76/10/1074367610.db2.gz LMFLFFKBLLCJHS-BBRMVZONSA-N 0 0 433.504 -0.013 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCO[C@@H](CS(C)(=O)=O)C3)ccc2O1 ZINC001195484448 1074367627 /nfs/dbraw/zinc/36/76/27/1074367627.db2.gz LMFLFFKBLLCJHS-CJNGLKHVSA-N 0 0 433.504 -0.013 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCO[C@@H](CS(C)(=O)=O)C3)ccc2O1 ZINC001195484452 1074367620 /nfs/dbraw/zinc/36/76/20/1074367620.db2.gz LMFLFFKBLLCJHS-CZUORRHYSA-N 0 0 433.504 -0.013 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCO[C@H](CS(C)(=O)=O)C3)ccc2O1 ZINC001195484456 1074367581 /nfs/dbraw/zinc/36/75/81/1074367581.db2.gz LMFLFFKBLLCJHS-XJKSGUPXSA-N 0 0 433.504 -0.013 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)cc1C ZINC001195485302 1074367635 /nfs/dbraw/zinc/36/76/35/1074367635.db2.gz QCXNKDQLWYWFPN-GFCCVEGCSA-N 0 0 426.538 -0.663 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@H](CS(C)(=O)=O)C2)cc1C ZINC001195485303 1074367601 /nfs/dbraw/zinc/36/76/01/1074367601.db2.gz QCXNKDQLWYWFPN-LBPRGKRZSA-N 0 0 426.538 -0.663 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)c1 ZINC001195485648 1074367589 /nfs/dbraw/zinc/36/75/89/1074367589.db2.gz VBZQPSIBBAHVMF-GFCCVEGCSA-N 0 0 426.538 -0.629 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCO[C@H](CS(C)(=O)=O)C2)c1 ZINC001195485649 1074367643 /nfs/dbraw/zinc/36/76/43/1074367643.db2.gz VBZQPSIBBAHVMF-LBPRGKRZSA-N 0 0 426.538 -0.629 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CCO1 ZINC001195485925 1074367554 /nfs/dbraw/zinc/36/75/54/1074367554.db2.gz XOWJQVJWKMCXBG-CYBMUJFWSA-N 0 0 438.549 -0.439 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CCO1 ZINC001195485926 1074367661 /nfs/dbraw/zinc/36/76/61/1074367661.db2.gz XOWJQVJWKMCXBG-ZDUSSCGKSA-N 0 0 438.549 -0.439 20 0 IBADRN Cn1cc(S(=O)(=O)NCC2(OCCO)CCC(C(F)F)CC2)c(=O)n(C)c1=O ZINC001195503600 1074367535 /nfs/dbraw/zinc/36/75/35/1074367535.db2.gz QWPBSSWSKOVLIW-UHFFFAOYSA-N 0 0 425.454 -0.435 20 0 IBADRN CCOC(=O)NC(=S)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001195504798 1074367562 /nfs/dbraw/zinc/36/75/62/1074367562.db2.gz SKRNHMLTPIMANY-RSVSFAPFSA-N 0 0 425.471 -0.868 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001195507763 1074367651 /nfs/dbraw/zinc/36/76/51/1074367651.db2.gz AWENQASFCWEETO-ACJLOTCBSA-N 0 0 443.547 -0.499 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001195507764 1074367669 /nfs/dbraw/zinc/36/76/69/1074367669.db2.gz AWENQASFCWEETO-FZKQIMNGSA-N 0 0 443.547 -0.499 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001195507765 1074367959 /nfs/dbraw/zinc/36/79/59/1074367959.db2.gz AWENQASFCWEETO-SCLBCKFNSA-N 0 0 443.547 -0.499 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001195507766 1074368145 /nfs/dbraw/zinc/36/81/45/1074368145.db2.gz AWENQASFCWEETO-UGSOOPFHSA-N 0 0 443.547 -0.499 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001195508806 1074368029 /nfs/dbraw/zinc/36/80/29/1074368029.db2.gz LUVCBAUKIJHJBU-IBGZPJMESA-N 0 0 425.507 -0.052 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001195508807 1074367935 /nfs/dbraw/zinc/36/79/35/1074367935.db2.gz LUVCBAUKIJHJBU-LJQANCHMSA-N 0 0 425.507 -0.052 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2cc(Br)ccc2F)[C@@H](O)[C@H](O)[C@@H]1O ZINC001195511136 1074368121 /nfs/dbraw/zinc/36/81/21/1074368121.db2.gz KYVCGXZCWMELJR-AJVHJNHVSA-N 0 0 444.275 -0.289 20 0 IBADRN CCOC(=O)NC(=S)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001195512559 1074368098 /nfs/dbraw/zinc/36/80/98/1074368098.db2.gz UEUULQZANHJADX-RYUDHWBXSA-N 0 0 449.599 -0.139 20 0 IBADRN Cc1cnn(C)c1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001195514474 1074367983 /nfs/dbraw/zinc/36/79/83/1074367983.db2.gz ZMVPIEQSGRRWBG-LSDHHAIUSA-N 0 0 432.506 -0.108 20 0 IBADRN CCOC(=O)CNC(=S)Nc1nc(Cl)nc2c1ncn2[C@H]1C[C@H](O)[C@@H](CO)O1 ZINC001195520965 1074367948 /nfs/dbraw/zinc/36/79/48/1074367948.db2.gz MHRKAXIUJZQROS-DJLDLDEBSA-N 0 0 430.874 -0.030 20 0 IBADRN CCOC(=O)CNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CSC)[C@@H](O)[C@H]1O ZINC001195521477 1074368206 /nfs/dbraw/zinc/36/82/06/1074368206.db2.gz UTERSBNIMWHXJN-PMXXHBEXSA-N 0 0 442.523 -0.342 20 0 IBADRN CCOC(=O)CNC(=S)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1F ZINC001195521480 1074368154 /nfs/dbraw/zinc/36/81/54/1074368154.db2.gz SRTGNFDMYZJZQX-FIIPPMMWSA-N 0 0 448.864 -0.082 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1c(C)cnn1C)C(N)=O ZINC001195524689 1074368014 /nfs/dbraw/zinc/36/80/14/1074368014.db2.gz SLWDNKXAOGKXOB-KBPBESRZSA-N 0 0 440.570 -0.288 20 0 IBADRN CCOC(=O)CNC(=S)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001195526620 1074368077 /nfs/dbraw/zinc/36/80/77/1074368077.db2.gz LUYQMATTYKLMKH-PMXXHBEXSA-N 0 0 429.430 -0.969 20 0 IBADRN Cn1cc(C(=O)n2c([C@H]3COCCN3)ncc/c2=N\Cc2ccco2)c(=O)n(C)c1=O ZINC001195530112 1074368090 /nfs/dbraw/zinc/36/80/90/1074368090.db2.gz BFRWRUZCEKFVQY-BXDHAIISSA-N 0 0 426.433 -0.676 20 0 IBADRN Cn1cc(C(=O)n2c([C@@H]3COCCN3)ncc/c2=N\Cc2ccco2)c(=O)n(C)c1=O ZINC001195530119 1074367968 /nfs/dbraw/zinc/36/79/68/1074367968.db2.gz BFRWRUZCEKFVQY-IXSYQRGLSA-N 0 0 426.433 -0.676 20 0 IBADRN CCOC(=O)CNC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001195530277 1074368540 /nfs/dbraw/zinc/36/85/40/1074368540.db2.gz AEAFKHUTJWYILO-BFHYXJOUSA-N 0 0 433.552 -0.982 20 0 IBADRN CCOC(=O)CNC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001195530286 1074368590 /nfs/dbraw/zinc/36/85/90/1074368590.db2.gz AEAFKHUTJWYILO-MGPQQGTHSA-N 0 0 433.552 -0.982 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(C(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC001195530495 1074368617 /nfs/dbraw/zinc/36/86/17/1074368617.db2.gz GKWUHLPSHVKATM-HNNXBMFYSA-N 0 0 442.432 -0.483 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(C(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC001195530506 1074368523 /nfs/dbraw/zinc/36/85/23/1074368523.db2.gz GKWUHLPSHVKATM-OAHLLOKOSA-N 0 0 442.432 -0.483 20 0 IBADRN Cn1cc(C(=O)N2CC[C@]3(c4ccccc4)CCN(S(C)(=O)=O)[C@@H]3C2)c(=O)n(C)c1=O ZINC001195530787 1074368601 /nfs/dbraw/zinc/36/86/01/1074368601.db2.gz JEFCGXKRTJYBLF-DYESRHJHSA-N 0 0 446.529 -0.098 20 0 IBADRN Cn1cc(C(=O)N2CC[C@]3(c4ccccc4)CCN(S(C)(=O)=O)[C@H]3C2)c(=O)n(C)c1=O ZINC001195530790 1074368452 /nfs/dbraw/zinc/36/84/52/1074368452.db2.gz JEFCGXKRTJYBLF-LAUBAEHRSA-N 0 0 446.529 -0.098 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@]3(c4ccccc4)CCN(S(C)(=O)=O)[C@@H]3C2)c(=O)n(C)c1=O ZINC001195530797 1074368573 /nfs/dbraw/zinc/36/85/73/1074368573.db2.gz JEFCGXKRTJYBLF-UTKZUKDTSA-N 0 0 446.529 -0.098 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@]3(c4ccccc4)CCN(S(C)(=O)=O)[C@H]3C2)c(=O)n(C)c1=O ZINC001195530803 1074368648 /nfs/dbraw/zinc/36/86/48/1074368648.db2.gz JEFCGXKRTJYBLF-UWJYYQICSA-N 0 0 446.529 -0.098 20 0 IBADRN CCN(CC)C(=O)c1cccnc1N1CCN(C(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001195531033 1074368479 /nfs/dbraw/zinc/36/84/79/1074368479.db2.gz NKMXNTRUENNZBA-UHFFFAOYSA-N 0 0 428.493 -0.077 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)c(=O)n(C)c1=O ZINC001195531040 1074368512 /nfs/dbraw/zinc/36/85/12/1074368512.db2.gz NWFITTSUKZXYGP-NRFANRHFSA-N 0 0 440.460 -0.454 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@@]3(CC(C(=O)NCc4ccncc4)=NO3)C2)c(=O)n(C)c1=O ZINC001195531041 1074368609 /nfs/dbraw/zinc/36/86/09/1074368609.db2.gz NWFITTSUKZXYGP-OAQYLSRUSA-N 0 0 440.460 -0.454 20 0 IBADRN Cn1cc(C(=O)N2CC[C@]3(C2)CN(c2cccc(F)c2)C(=O)CN3C)c(=O)n(C)c1=O ZINC001195531192 1074368580 /nfs/dbraw/zinc/36/85/80/1074368580.db2.gz OLLCZDYAYYGKHH-NRFANRHFSA-N 0 0 429.452 -0.214 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@]3(C2)CN(c2cccc(F)c2)C(=O)CN3C)c(=O)n(C)c1=O ZINC001195531196 1074368487 /nfs/dbraw/zinc/36/84/87/1074368487.db2.gz OLLCZDYAYYGKHH-OAQYLSRUSA-N 0 0 429.452 -0.214 20 0 IBADRN CNC(=O)c1ccc2c(c1)C1(CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC1)C(=O)N2 ZINC001195531384 1074368560 /nfs/dbraw/zinc/36/85/60/1074368560.db2.gz QNRGQYCAWRGPCH-UHFFFAOYSA-N 0 0 425.445 -0.430 20 0 IBADRN Cn1cc(C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)c(=O)n(C)c1=O ZINC001195531466 1074368630 /nfs/dbraw/zinc/36/86/30/1074368630.db2.gz RDSGABGJECPFKP-UHFFFAOYSA-N 0 0 428.449 -0.979 20 0 IBADRN Cn1cc(C(=O)Nc2ncc(I)cc2C(N)=O)c(=O)n(C)c1=O ZINC001195531888 1074368639 /nfs/dbraw/zinc/36/86/39/1074368639.db2.gz QUIIVFKNUFJKDV-UHFFFAOYSA-N 0 0 429.174 -0.565 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(C(=O)c4cn(C)c(=O)n(C)c4=O)C3)C2=O)cc1 ZINC001195532204 1074368550 /nfs/dbraw/zinc/36/85/50/1074368550.db2.gz XNYZNSCWCAHSON-NRFANRHFSA-N 0 0 441.444 -0.571 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(C(=O)c4cn(C)c(=O)n(C)c4=O)C3)C2=O)cc1 ZINC001195532209 1074368970 /nfs/dbraw/zinc/36/89/70/1074368970.db2.gz XNYZNSCWCAHSON-OAQYLSRUSA-N 0 0 441.444 -0.571 20 0 IBADRN CCOC(=O)CNC(=S)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001195532680 1074368496 /nfs/dbraw/zinc/36/84/96/1074368496.db2.gz PIJDHZUEFJHNSY-GOSISDBHSA-N 0 0 446.595 -0.370 20 0 IBADRN CCOC(=O)CNC(=S)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001195532681 1074368533 /nfs/dbraw/zinc/36/85/33/1074368533.db2.gz PIJDHZUEFJHNSY-SFHVURJKSA-N 0 0 446.595 -0.370 20 0 IBADRN CCOC(=O)CNC(=S)N1CCOC[C@]2(CC[C@H](CNC(=O)c3cnccn3)O2)C1 ZINC001195532746 1074368961 /nfs/dbraw/zinc/36/89/61/1074368961.db2.gz RFBUUXSQQJOAKW-KUHUBIRLSA-N 0 0 437.522 -0.106 20 0 IBADRN CCOC(=O)CNC(=S)N1CCOC[C@]2(CC[C@@H](CNC(=O)c3cnccn3)O2)C1 ZINC001195532751 1074369103 /nfs/dbraw/zinc/36/91/03/1074369103.db2.gz RFBUUXSQQJOAKW-LIRRHRJNSA-N 0 0 437.522 -0.106 20 0 IBADRN Cn1cc(C(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@@]3(CCNC3=O)C2)c(=O)n(C)c1=O ZINC001195533538 1074368952 /nfs/dbraw/zinc/36/89/52/1074368952.db2.gz ASQHDZPZRJSDRX-NRFANRHFSA-N 0 0 447.492 -0.717 20 0 IBADRN Cn1cc(C(=O)N2CC3(CN(C(=O)OC(C)(C)C)C3)[C@]3(CCNC3=O)C2)c(=O)n(C)c1=O ZINC001195533539 1074369017 /nfs/dbraw/zinc/36/90/17/1074369017.db2.gz ASQHDZPZRJSDRX-OAQYLSRUSA-N 0 0 447.492 -0.717 20 0 IBADRN Cn1cc(C(=O)N2CC[C@H]3C[C@H](C(=O)NCCc4ccccn4)O[C@H]3C2)c(=O)n(C)c1=O ZINC001195533645 1074369113 /nfs/dbraw/zinc/36/91/13/1074369113.db2.gz CHBRFNVJDCLEQP-QGTPRVQTSA-N 0 0 441.488 -0.543 20 0 IBADRN Cn1cc(C(=O)N2CCC3(CC2)C(=O)NCCN3Cc2ccccc2)c(=O)n(C)c1=O ZINC001195533685 1074368983 /nfs/dbraw/zinc/36/89/83/1074368983.db2.gz DARRYKLXVINOJX-UHFFFAOYSA-N 0 0 425.489 -0.309 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(C(=O)c1cn(C)c(=O)n(C)c1=O)CCC2 ZINC001195533715 1074368913 /nfs/dbraw/zinc/36/89/13/1074368913.db2.gz DQKLPQVYDHVXLH-UHFFFAOYSA-N 0 0 444.492 -0.651 20 0 IBADRN Cn1cc(C(=O)N2C[C@@H](NC(=O)c3cccnc3)[C@H]3OCCC[C@H]3C2)c(=O)n(C)c1=O ZINC001195533920 1074368933 /nfs/dbraw/zinc/36/89/33/1074368933.db2.gz FJTDNWVJLWLGPQ-UAGQMJEPSA-N 0 0 427.461 -0.471 20 0 IBADRN Cn1cc(C(=O)N2C[C@H]3OCC[C@H]3[C@H](C(=O)NCc3cccnc3)C2)c(=O)n(C)c1=O ZINC001195533982 1074368901 /nfs/dbraw/zinc/36/89/01/1074368901.db2.gz GFCRSYJVXLBVRZ-ZMSDIMECSA-N 0 0 427.461 -0.728 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@H]3[C@@H](CO[C@@H]3CC(=O)NCc3ccco3)C2)c(=O)n(C)c1=O ZINC001195534049 1074369091 /nfs/dbraw/zinc/36/90/91/1074369091.db2.gz IOZCAMWAZICAQJ-FRFSOERESA-N 0 0 430.461 -0.139 20 0 IBADRN Cn1cccc1C(=O)NCc1cn2c(n1)CN(C(=O)c1cn(C)c(=O)n(C)c1=O)CC2 ZINC001195534057 1074368977 /nfs/dbraw/zinc/36/89/77/1074368977.db2.gz JEYAQMXWEVKWMR-UHFFFAOYSA-N 0 0 425.449 -0.795 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@]3(C2)COCCN(C(=O)c2cn(C)c(=O)n(C)c2=O)C3)nn1 ZINC001195534063 1074369056 /nfs/dbraw/zinc/36/90/56/1074369056.db2.gz JLSPRSUUZOEMNN-BTYIYWSLSA-N 0 0 444.492 -0.458 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@@]3(C2)COCCN(C(=O)c2cn(C)c(=O)n(C)c2=O)C3)nn1 ZINC001195534164 1074368944 /nfs/dbraw/zinc/36/89/44/1074368944.db2.gz JLSPRSUUZOEMNN-QVKFZJNVSA-N 0 0 444.492 -0.458 20 0 IBADRN Cc1ccc(NC[C@@H]2CO[C@]3(C2)COCCN(C(=O)c2cn(C)c(=O)n(C)c2=O)C3)nn1 ZINC001195534165 1074368920 /nfs/dbraw/zinc/36/89/20/1074368920.db2.gz JLSPRSUUZOEMNN-VFNWGFHPSA-N 0 0 444.492 -0.458 20 0 IBADRN Cc1ccc(NC[C@H]2CO[C@@]3(C2)COCCN(C(=O)c2cn(C)c(=O)n(C)c2=O)C3)nn1 ZINC001195534166 1074369046 /nfs/dbraw/zinc/36/90/46/1074369046.db2.gz JLSPRSUUZOEMNN-YCRPNKLZSA-N 0 0 444.492 -0.458 20 0 IBADRN Cn1cc(C(=O)N2CCOC[C@@]3(CN(c4ccsc4)C(=O)CO3)C2)c(=O)n(C)c1=O ZINC001195534183 1074369083 /nfs/dbraw/zinc/36/90/83/1074369083.db2.gz KFTSOOAITHQCHU-IBGZPJMESA-N 0 0 434.474 -0.580 20 0 IBADRN CN(Cc1ccccn1)C(=O)[C@@H]1C[C@@H]2[C@@H](CCN2C(=O)c2cn(C)c(=O)n(C)c2=O)O1 ZINC001195534371 1074369069 /nfs/dbraw/zinc/36/90/69/1074369069.db2.gz MIFNXSIPMIUTBN-ZACQAIPSSA-N 0 0 427.461 -0.490 20 0 IBADRN Cn1cc(C(=O)N2CC[C@H]3CO[C@H](CNC(=O)c4ccncc4)[C@H]3C2)c(=O)n(C)c1=O ZINC001195534592 1074369423 /nfs/dbraw/zinc/36/94/23/1074369423.db2.gz NRMVTRKSAZRBLK-YQQAZPJKSA-N 0 0 427.461 -0.614 20 0 IBADRN Cn1cc(C(=O)N2C[C@@H]3COC[C@]3(C(=O)NCCc3ccccc3)C2)c(=O)n(C)c1=O ZINC001195534667 1074369403 /nfs/dbraw/zinc/36/94/03/1074369403.db2.gz OKXJGYFCMJPPOR-OPAMFIHVSA-N 0 0 426.473 -0.469 20 0 IBADRN Cn1cc(C(=O)N2CCC3(CC2)CN(Cc2cccnc2)C(=O)CO3)c(=O)n(C)c1=O ZINC001195535416 1074369497 /nfs/dbraw/zinc/36/94/97/1074369497.db2.gz QDNMRMAMAMZMOX-UHFFFAOYSA-N 0 0 427.461 -0.487 20 0 IBADRN C[C@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)c1cn(C)c(=O)n(C)c1=O)CC2 ZINC001195535651 1074369430 /nfs/dbraw/zinc/36/94/30/1074369430.db2.gz RIYMZVZDHZVYFX-CYBMUJFWSA-N 0 0 430.465 -0.756 20 0 IBADRN C[C@@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)c1cn(C)c(=O)n(C)c1=O)CC2 ZINC001195535667 1074369392 /nfs/dbraw/zinc/36/93/92/1074369392.db2.gz RIYMZVZDHZVYFX-ZDUSSCGKSA-N 0 0 430.465 -0.756 20 0 IBADRN Cc1cccc(CN2CCO[C@H]3CN(C(=O)c4cn(C)c(=O)n(C)c4=O)CC[C@H]3C2=O)n1 ZINC001195535671 1074369503 /nfs/dbraw/zinc/36/95/03/1074369503.db2.gz RJOKSMBKSMKTHS-AEFFLSMTSA-N 0 0 441.488 -0.323 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@@]3(C2)COCCN(c2ncc(F)cn2)C3)c(=O)n(C)c1=O ZINC001195536260 1074369413 /nfs/dbraw/zinc/36/94/13/1074369413.db2.gz TXVPXJKFLWCPOE-FQEVSTJZSA-N 0 0 432.456 -0.228 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@]3(C2)COCCN(c2ncc(F)cn2)C3)c(=O)n(C)c1=O ZINC001195536264 1074369418 /nfs/dbraw/zinc/36/94/18/1074369418.db2.gz TXVPXJKFLWCPOE-HXUWFJFHSA-N 0 0 432.456 -0.228 20 0 IBADRN Cn1cc(C(=O)N2C[C@@H]3COC[C@]3(C(=O)NCCc3cccs3)C2)c(=O)n(C)c1=O ZINC001195536350 1074369486 /nfs/dbraw/zinc/36/94/86/1074369486.db2.gz WEZRNBRRCFOHBR-ZUOKHONESA-N 0 0 432.502 -0.407 20 0 IBADRN Cn1cc(C(=O)N2CC[C@]3(CC(C(=O)NCc4ccc(F)cc4)=NO3)C2)c(=O)n(C)c1=O ZINC001195536903 1074369388 /nfs/dbraw/zinc/36/93/88/1074369388.db2.gz WSQBRMLTEYJNGJ-NRFANRHFSA-N 0 0 443.435 -0.100 20 0 IBADRN Cn1cc(C(=O)N2CC[C@@]3(CC(C(=O)NCc4ccc(F)cc4)=NO3)C2)c(=O)n(C)c1=O ZINC001195536907 1074369478 /nfs/dbraw/zinc/36/94/78/1074369478.db2.gz WSQBRMLTEYJNGJ-OAQYLSRUSA-N 0 0 443.435 -0.100 20 0 IBADRN Cn1cc(C(=O)N2CC[C@H]3OCC[C@@]3(C(=O)NCc3ccccn3)C2)c(=O)n(C)c1=O ZINC001195536946 1074369438 /nfs/dbraw/zinc/36/94/38/1074369438.db2.gz XZIPTWBXLJJHFC-IIBYNOLFSA-N 0 0 427.461 -0.583 20 0 IBADRN Cn1nnc2c1[C@H](COCc1cccnc1)CN(C(=O)c1cn(C)c(=O)n(C)c1=O)C2 ZINC001195536947 1074369510 /nfs/dbraw/zinc/36/95/10/1074369510.db2.gz XZITXIQERHKZCK-AWEZNQCLSA-N 0 0 425.449 -0.436 20 0 IBADRN Cn1nnc2c1[C@@H](COCc1cccnc1)CN(C(=O)c1cn(C)c(=O)n(C)c1=O)C2 ZINC001195536948 1074369400 /nfs/dbraw/zinc/36/94/00/1074369400.db2.gz XZITXIQERHKZCK-CQSZACIVSA-N 0 0 425.449 -0.436 20 0 IBADRN CCOC(=O)CNC(=S)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001195537174 1074369375 /nfs/dbraw/zinc/36/93/75/1074369375.db2.gz CUJLVUOSDZJYOU-VKJFTORMSA-N 0 0 440.547 -0.124 20 0 IBADRN CCOC(=O)CNC(=S)N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001195537452 1074369519 /nfs/dbraw/zinc/36/95/19/1074369519.db2.gz AAZZSOUOAKTIGK-UHFFFAOYSA-N 0 0 447.521 -0.450 20 0 IBADRN Cn1cc(C(=O)NCc2nnc3n2CCCN(C(=O)OC(C)(C)C)C3)c(=O)n(C)c1=O ZINC001195541527 1074369467 /nfs/dbraw/zinc/36/94/67/1074369467.db2.gz PGCLXPQEXILOTM-UHFFFAOYSA-N 0 0 433.469 -0.254 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CCN(C(=O)c3cn(C)c(=O)n(C)c3=O)CC2)cc1 ZINC001195542361 1074369971 /nfs/dbraw/zinc/36/99/71/1074369971.db2.gz MYRXPSKXJYHWGN-UHFFFAOYSA-N 0 0 436.490 -0.324 20 0 IBADRN COc1ccc(N(C(=O)c2cn(C)c(=O)n(C)c2=O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001195542371 1074369877 /nfs/dbraw/zinc/36/98/77/1074369877.db2.gz NGTOVSXQVDTOSC-UHFFFAOYSA-N 0 0 446.445 -0.500 20 0 IBADRN CCOC(=O)CNC(=S)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001195542942 1074369960 /nfs/dbraw/zinc/36/99/60/1074369960.db2.gz CQEKJOGFRZSTBQ-STQMWFEESA-N 0 0 429.543 -0.979 20 0 IBADRN Cn1cc(C(=O)NC[C@H](c2cnc(C(F)(F)F)nc2)N2CCOCC2)c(=O)n(C)c1=O ZINC001195544742 1074369885 /nfs/dbraw/zinc/36/98/85/1074369885.db2.gz GFHJBXOIFYYOLE-CYBMUJFWSA-N 0 0 442.398 -0.304 20 0 IBADRN Cn1cc(C(=O)NC[C@@H](c2cnc(C(F)(F)F)nc2)N2CCOCC2)c(=O)n(C)c1=O ZINC001195544743 1074369937 /nfs/dbraw/zinc/36/99/37/1074369937.db2.gz GFHJBXOIFYYOLE-ZDUSSCGKSA-N 0 0 442.398 -0.304 20 0 IBADRN COC(=O)[C@H](Cc1ccc(Br)cn1)NC(=O)c1cn(C)c(=O)n(C)c1=O ZINC001195550182 1074369892 /nfs/dbraw/zinc/36/98/92/1074369892.db2.gz LGJMWACOPVNUST-LBPRGKRZSA-N 0 0 425.239 -0.244 20 0 IBADRN Cn1cc(C(=O)NCCOCCOCCOCCC(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC001195550362 1074368038 /nfs/dbraw/zinc/36/80/38/1074368038.db2.gz RTGROSWEUFLQCW-UHFFFAOYSA-N 0 0 443.497 -0.405 20 0 IBADRN CC(C)(C)OC(=O)N[C@@]1(CO)CCCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)C1 ZINC001195556680 1074367998 /nfs/dbraw/zinc/36/79/98/1074367998.db2.gz XGZFFKXSYJFPDJ-INIZCTEOSA-N 0 0 441.572 -0.441 20 0 IBADRN CC(C)(C)OC(=O)N[C@]1(CO)CCCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)C1 ZINC001195556681 1074368194 /nfs/dbraw/zinc/36/81/94/1074368194.db2.gz XGZFFKXSYJFPDJ-MRXNPFEDSA-N 0 0 441.572 -0.441 20 0 IBADRN CCOC(=O)CNC(=S)Nc1ccccc1S(=O)(=O)N1CCN(CCO)CC1 ZINC001195557456 1074368107 /nfs/dbraw/zinc/36/81/07/1074368107.db2.gz ZHTXVONDYMNFBY-UHFFFAOYSA-N 0 0 430.552 -0.165 20 0 IBADRN CCOC(=O)CNC(=S)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001195563730 1074370331 /nfs/dbraw/zinc/37/03/31/1074370331.db2.gz QFFXLSCSQVGAEK-UHFFFAOYSA-N 0 0 438.572 -0.104 20 0 IBADRN CCOC(=O)CNC(=S)NCCOCCOCCOCCOCCOCCO ZINC001195575682 1074369931 /nfs/dbraw/zinc/36/99/31/1074369931.db2.gz DMMBZYXICDGMJK-UHFFFAOYSA-N 0 0 426.532 -0.911 20 0 IBADRN CCOC(=O)CNC(=S)NCCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001195575959 1074369906 /nfs/dbraw/zinc/36/99/06/1074369906.db2.gz GFTVIHQQZJJIPE-HUBLWGQQSA-N 0 0 431.584 -0.144 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN(CCN2CCOCC2)[C@H]2CCSC2)CC1 ZINC001195579570 1074369840 /nfs/dbraw/zinc/36/98/40/1074369840.db2.gz XASNHURALNMUSP-KRWDZBQOSA-N 0 0 434.628 -0.334 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN(CCN2CCOCC2)[C@@H]2CCSC2)CC1 ZINC001195579585 1074369979 /nfs/dbraw/zinc/36/99/79/1074369979.db2.gz XASNHURALNMUSP-QGZVFWFLSA-N 0 0 434.628 -0.334 20 0 IBADRN O=C1NC[C@@H](O)CC12CCN(S(=O)(=O)CCNS(=O)(=O)c1ccccc1)CC2 ZINC001195584083 1074369925 /nfs/dbraw/zinc/36/99/25/1074369925.db2.gz JJCXUBRVLUZRQX-AWEZNQCLSA-N 0 0 431.536 -0.742 20 0 IBADRN O=C1NC[C@H](O)CC12CCN(S(=O)(=O)CCNS(=O)(=O)c1ccccc1)CC2 ZINC001195584084 1074369918 /nfs/dbraw/zinc/36/99/18/1074369918.db2.gz JJCXUBRVLUZRQX-CQSZACIVSA-N 0 0 431.536 -0.742 20 0 IBADRN CCOC(=O)CNC(=S)NCCOCCOCCOCCOCCOCCOC ZINC001195585284 1074370016 /nfs/dbraw/zinc/37/00/16/1074370016.db2.gz BPQHXEFUBAKLGY-UHFFFAOYSA-N 0 0 440.559 -0.257 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1)N1CCOCC1 ZINC001195585730 1074370025 /nfs/dbraw/zinc/37/00/25/1074370025.db2.gz WMYACHFSKPLZCX-INIZCTEOSA-N 0 0 437.518 -0.189 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1)N1CCOCC1 ZINC001195585732 1074370008 /nfs/dbraw/zinc/37/00/08/1074370008.db2.gz WMYACHFSKPLZCX-MRXNPFEDSA-N 0 0 437.518 -0.189 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)c1 ZINC001195585830 1074369951 /nfs/dbraw/zinc/36/99/51/1074369951.db2.gz XMRNGJKXTZJJLJ-AWEZNQCLSA-N 0 0 440.474 -0.089 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)c1 ZINC001195585837 1074369865 /nfs/dbraw/zinc/36/98/65/1074369865.db2.gz XMRNGJKXTZJJLJ-CQSZACIVSA-N 0 0 440.474 -0.089 20 0 IBADRN O=C1NC[C@@H](O)CC12CCN(S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)CC2 ZINC001195585898 1074370397 /nfs/dbraw/zinc/37/03/97/1074370397.db2.gz YJWGGDJOCGVJHB-AWEZNQCLSA-N 0 0 443.547 -0.221 20 0 IBADRN O=C1NC[C@H](O)CC12CCN(S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1)CC2 ZINC001195585922 1074370321 /nfs/dbraw/zinc/37/03/21/1074370321.db2.gz YJWGGDJOCGVJHB-CQSZACIVSA-N 0 0 443.547 -0.221 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCn2ccnc2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001195601612 1074370340 /nfs/dbraw/zinc/37/03/40/1074370340.db2.gz AFBRHJKDAKZNQO-UONOGXRCSA-N 0 0 434.540 -0.069 20 0 IBADRN CCOC(=O)CCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001195601644 1074370300 /nfs/dbraw/zinc/37/03/00/1074370300.db2.gz BSEOBFQIZXFRDK-QWHCGFSZSA-N 0 0 440.540 -0.012 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCn2cccn2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001195602602 1074370388 /nfs/dbraw/zinc/37/03/88/1074370388.db2.gz NTWGYHFWQRACTH-UONOGXRCSA-N 0 0 434.540 -0.069 20 0 IBADRN CN(C)CCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001195603143 1074370372 /nfs/dbraw/zinc/37/03/72/1074370372.db2.gz YNSQIQSNIYEYMS-UONOGXRCSA-N 0 0 425.573 -0.014 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CCC[C@H](NC(=O)C(F)(F)F)[C@@H]2C)c(=O)[nH]c1=O ZINC001195604468 1074370490 /nfs/dbraw/zinc/37/04/90/1074370490.db2.gz HONOMIKATUJWEZ-UWVGGRQHSA-N 0 0 435.403 -0.108 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1C[C@H]2C[C@H]3[C@@](CN(CC)S3(=O)=O)(C1)O2 ZINC001195615731 1074370436 /nfs/dbraw/zinc/37/04/36/1074370436.db2.gz AQFKHZXDJZBQPJ-ISTRZQFTSA-N 0 0 445.519 -0.176 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1C[C@@H](OCC(=O)N(C)C)[C@H]2COC[C@H]21 ZINC001195616041 1074370417 /nfs/dbraw/zinc/37/04/17/1074370417.db2.gz FLURDZBDRHVEEX-RRFJBIMHSA-N 0 0 427.479 -0.249 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001195616056 1074370428 /nfs/dbraw/zinc/37/04/28/1074370428.db2.gz GARGJDDLPRBKMF-UHFFFAOYSA-N 0 0 436.494 -0.114 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1CC[C@H]2O[C@H](C(=O)NCCOC)C[C@H]21 ZINC001195617055 1074370461 /nfs/dbraw/zinc/37/04/61/1074370461.db2.gz TYMKJMCMOZYXGX-KFWWJZLASA-N 0 0 427.479 -0.059 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1C[C@@H](NC(=O)c2cnccn2)[C@H]2COC[C@H]21 ZINC001195618491 1074370471 /nfs/dbraw/zinc/37/04/71/1074370471.db2.gz MKMBGOPXNCUAIS-FVQBIDKESA-N 0 0 447.473 -0.134 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1C[C@H]2C[C@@H](C(=O)N3CCOCC3)[C@@H](C1)O2 ZINC001195618535 1074370454 /nfs/dbraw/zinc/37/04/54/1074370454.db2.gz STIGOZMZNHZDQT-FVQBIDKESA-N 0 0 439.490 -0.105 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1C[C@H]2C[C@H](C(=O)N3CCOCC3)[C@@H](C1)O2 ZINC001195618540 1074370362 /nfs/dbraw/zinc/37/03/62/1074370362.db2.gz STIGOZMZNHZDQT-VNQPRFMTSA-N 0 0 439.490 -0.105 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1CCOC[C@@]2(CN(C(C)=O)CCO2)C1 ZINC001195618928 1074370310 /nfs/dbraw/zinc/37/03/10/1074370310.db2.gz XOMNRSDFYFRGFG-GOSISDBHSA-N 0 0 427.479 -0.103 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1CCOC[C@]2(CN(C(C)=O)CCO2)C1 ZINC001195618929 1074370444 /nfs/dbraw/zinc/37/04/44/1074370444.db2.gz XOMNRSDFYFRGFG-SFHVURJKSA-N 0 0 427.479 -0.103 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1CCO[C@]2(C1)COCCN(C(C)=O)C2 ZINC001195619626 1074370948 /nfs/dbraw/zinc/37/09/48/1074370948.db2.gz UFLZYNXZZKSIFY-GOSISDBHSA-N 0 0 427.479 -0.103 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1CCO[C@@]2(C1)COCCN(C(C)=O)C2 ZINC001195619627 1074370858 /nfs/dbraw/zinc/37/08/58/1074370858.db2.gz UFLZYNXZZKSIFY-SFHVURJKSA-N 0 0 427.479 -0.103 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1CC2(C1)[C@@H](C(=O)OC)CCS2(=O)=O ZINC001195627825 1074370974 /nfs/dbraw/zinc/37/09/74/1074370974.db2.gz OHNYESJJTDUIFT-GFCCVEGCSA-N 0 0 432.476 -0.391 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1CC2(C1)[C@H](C(=O)OC)CCS2(=O)=O ZINC001195627826 1074370934 /nfs/dbraw/zinc/37/09/34/1074370934.db2.gz OHNYESJJTDUIFT-LBPRGKRZSA-N 0 0 432.476 -0.391 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1C[C@@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001195635747 1074370790 /nfs/dbraw/zinc/37/07/90/1074370790.db2.gz PONRGOSCOZJLIN-HNNXBMFYSA-N 0 0 438.506 -0.185 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N1C[C@H](C(=O)N(C)C)C2(CN(C(C)=O)C2)C1 ZINC001195635748 1074370749 /nfs/dbraw/zinc/37/07/49/1074370749.db2.gz PONRGOSCOZJLIN-OAHLLOKOSA-N 0 0 438.506 -0.185 20 0 IBADRN Cn1cnnc1N1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001195639720 1074370776 /nfs/dbraw/zinc/37/07/76/1074370776.db2.gz WVVFUZGGBHAVTP-UHFFFAOYSA-N 0 0 427.509 -0.274 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnc(NC(=O)OC(C)(C)C)cn2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001195641631 1074370760 /nfs/dbraw/zinc/37/07/60/1074370760.db2.gz JYGHIXQACFYAPU-BSVDJGSKSA-N 0 0 428.442 -0.603 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC(NS(=O)(=O)C[C@@H]3CCN(S(C)(=O)=O)C3)CC2)n1 ZINC001195642157 1074370799 /nfs/dbraw/zinc/37/07/99/1074370799.db2.gz GSTSPUUNSYRMQN-CYBMUJFWSA-N 0 0 433.556 -0.340 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC(NS(=O)(=O)C[C@H]3CCN(S(C)(=O)=O)C3)CC2)n1 ZINC001195642158 1074370869 /nfs/dbraw/zinc/37/08/69/1074370869.db2.gz GSTSPUUNSYRMQN-ZDUSSCGKSA-N 0 0 433.556 -0.340 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN(c2nc[nH]n2)CC1 ZINC001195659502 1074371527 /nfs/dbraw/zinc/37/15/27/1074371527.db2.gz NGMSKHQDBMEQGC-UHFFFAOYSA-N 0 0 428.540 -0.349 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)c1F ZINC001195661331 1074371405 /nfs/dbraw/zinc/37/14/05/1074371405.db2.gz NWTALOGMVQMOOL-SECBINFHSA-N 0 0 427.403 -0.288 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)c1F ZINC001195661340 1074371500 /nfs/dbraw/zinc/37/15/00/1074371500.db2.gz NWTALOGMVQMOOL-VIFPVBQESA-N 0 0 427.403 -0.288 20 0 IBADRN CCCCn1c(N)c(N(CC)C(=O)CN2CCN(CC(F)(F)CO)CC2)c(=O)[nH]c1=O ZINC001195669234 1074371487 /nfs/dbraw/zinc/37/14/87/1074371487.db2.gz GHHPSLTWNKIFOV-UHFFFAOYSA-N 0 0 446.499 -0.071 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001195674863 1074370835 /nfs/dbraw/zinc/37/08/35/1074370835.db2.gz OGXGJWQCLZTWII-HNNXBMFYSA-N 0 0 434.474 -0.251 20 0 IBADRN CCOC(=O)c1ccncc1S(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(N)=O ZINC001195675977 1074370998 /nfs/dbraw/zinc/37/09/98/1074370998.db2.gz YVSJGXJATCWSRM-HNNXBMFYSA-N 0 0 434.474 -0.251 20 0 IBADRN CCOC(=O)c1ccc(NCCNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)nc1 ZINC001195677617 1074370825 /nfs/dbraw/zinc/37/08/25/1074370825.db2.gz OFORIWMESUGGSM-CYBMUJFWSA-N 0 0 434.540 -0.129 20 0 IBADRN CCOC(=O)c1ccc(NCCNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)nc1 ZINC001195677619 1074370848 /nfs/dbraw/zinc/37/08/48/1074370848.db2.gz OFORIWMESUGGSM-ZDUSSCGKSA-N 0 0 434.540 -0.129 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001195687414 1074370987 /nfs/dbraw/zinc/37/09/87/1074370987.db2.gz AVSAZWOJFMUBRO-LLVKDONJSA-N 0 0 440.400 -0.679 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001195687417 1074370958 /nfs/dbraw/zinc/37/09/58/1074370958.db2.gz AVSAZWOJFMUBRO-NSHDSACASA-N 0 0 440.400 -0.679 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001195689980 1074371519 /nfs/dbraw/zinc/37/15/19/1074371519.db2.gz XYIKQWBDZUECSL-CHWSQXEVSA-N 0 0 435.499 -0.732 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001195690000 1074371539 /nfs/dbraw/zinc/37/15/39/1074371539.db2.gz XYIKQWBDZUECSL-OLZOCXBDSA-N 0 0 435.499 -0.732 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001195690001 1074371430 /nfs/dbraw/zinc/37/14/30/1074371430.db2.gz XYIKQWBDZUECSL-QWHCGFSZSA-N 0 0 435.499 -0.732 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001195690002 1074371359 /nfs/dbraw/zinc/37/13/59/1074371359.db2.gz XYIKQWBDZUECSL-STQMWFEESA-N 0 0 435.499 -0.732 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)N(C)C[C@@H]2C(=O)OC)cc1F ZINC001195690115 1074371420 /nfs/dbraw/zinc/37/14/20/1074371420.db2.gz YCSUMSGTENITKL-CYBMUJFWSA-N 0 0 437.471 -0.408 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(=O)N(C)C[C@H]2C(=O)OC)cc1F ZINC001195690128 1074371553 /nfs/dbraw/zinc/37/15/53/1074371553.db2.gz YCSUMSGTENITKL-ZDUSSCGKSA-N 0 0 437.471 -0.408 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CC(=O)N(C)C[C@H]2C(=O)OC)c1 ZINC001195690189 1074371474 /nfs/dbraw/zinc/37/14/74/1074371474.db2.gz YXAMCIMPQRLYBU-HNNXBMFYSA-N 0 0 447.535 -0.279 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CC(=O)N(C)C[C@@H]2C(=O)OC)c1 ZINC001195690190 1074371508 /nfs/dbraw/zinc/37/15/08/1074371508.db2.gz YXAMCIMPQRLYBU-OAHLLOKOSA-N 0 0 447.535 -0.279 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001195690247 1074371348 /nfs/dbraw/zinc/37/13/48/1074371348.db2.gz ZVCVFRJJCMHUDM-HNNXBMFYSA-N 0 0 425.463 -0.837 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001195690248 1074371442 /nfs/dbraw/zinc/37/14/42/1074371442.db2.gz ZVCVFRJJCMHUDM-OAHLLOKOSA-N 0 0 425.463 -0.837 20 0 IBADRN COC(=O)C1(C2(O)CCN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CC2)CCC1 ZINC001195697453 1074371393 /nfs/dbraw/zinc/37/13/93/1074371393.db2.gz NKPNATBYBJKRCE-UHFFFAOYSA-N 0 0 426.557 -0.232 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@]3(C2)CN(c2cnn(C)c2)C(=O)CN3C(C)=O)cn1 ZINC001195699579 1074371306 /nfs/dbraw/zinc/37/13/06/1074371306.db2.gz BEPVDHINWTVZTE-IBGZPJMESA-N 0 0 448.505 -0.148 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@]3(C2)CN(c2cnn(C)c2)C(=O)CN3C(C)=O)cn1 ZINC001195699580 1074371546 /nfs/dbraw/zinc/37/15/46/1074371546.db2.gz BEPVDHINWTVZTE-LJQANCHMSA-N 0 0 448.505 -0.148 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1ccc(OC)nc1)CCC2 ZINC001195699725 1074371830 /nfs/dbraw/zinc/37/18/30/1074371830.db2.gz FEMNPXFGWDRYCL-UHFFFAOYSA-N 0 0 437.478 -0.496 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)cn1 ZINC001195700147 1074371979 /nfs/dbraw/zinc/37/19/79/1074371979.db2.gz MSOUBXFYDQUWDS-UHFFFAOYSA-N 0 0 434.522 -0.042 20 0 IBADRN COCCOCCN1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC001195704668 1074371935 /nfs/dbraw/zinc/37/19/35/1074371935.db2.gz OZYFMOKBHIQZIU-UHFFFAOYSA-N 0 0 425.530 -0.201 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@]3(CN(c4cnn(C)c4)C(=O)CO3)C2)cn1 ZINC001195705790 1074372000 /nfs/dbraw/zinc/37/20/00/1074372000.db2.gz OZAVFVDSGJCGQI-GOSISDBHSA-N 0 0 437.478 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOC[C@@]3(CN(c4cnn(C)c4)C(=O)CO3)C2)cn1 ZINC001195705850 1074371990 /nfs/dbraw/zinc/37/19/90/1074371990.db2.gz OZAVFVDSGJCGQI-SFHVURJKSA-N 0 0 437.478 -0.353 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)cn1 ZINC001195706311 1074371922 /nfs/dbraw/zinc/37/19/22/1074371922.db2.gz ZFCFBWHKPLONQY-UKRRQHHQSA-N 0 0 446.551 -0.407 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(Cc3nc[nH]n3)CC2)C1=O ZINC001195721042 1074371959 /nfs/dbraw/zinc/37/19/59/1074371959.db2.gz MYFFLESPAMCFGK-FQEVSTJZSA-N 0 0 426.481 -0.189 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN(Cc3nc[nH]n3)CC2)C1=O ZINC001195721045 1074371840 /nfs/dbraw/zinc/37/18/40/1074371840.db2.gz MYFFLESPAMCFGK-HXUWFJFHSA-N 0 0 426.481 -0.189 20 0 IBADRN COc1ccc(S(=O)(=O)Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001195725755 1074371457 /nfs/dbraw/zinc/37/14/57/1074371457.db2.gz STXMBAOWWFLOGY-PLLDYVMSSA-N 0 0 442.446 -0.930 20 0 IBADRN COc1ccc(S(=O)(=O)Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001195732095 1074371324 /nfs/dbraw/zinc/37/13/24/1074371324.db2.gz STXMBAOWWFLOGY-MWIANEHASA-N 0 0 442.446 -0.930 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccc(OC)nc1)NC(=O)CNC(C)=O ZINC001195755497 1074371913 /nfs/dbraw/zinc/37/19/13/1074371913.db2.gz PHVMPVKYMOOERJ-AWEZNQCLSA-N 0 0 430.483 -0.667 20 0 IBADRN COC[C@@H]1C[C@@H](O)CN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001195756198 1074371894 /nfs/dbraw/zinc/37/18/94/1074371894.db2.gz DNTNHSPUSSTBAW-DLBZAZTESA-N 0 0 427.523 -0.595 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1nc(C)co1)C(N)=O ZINC001195767190 1074371969 /nfs/dbraw/zinc/37/19/69/1074371969.db2.gz VPWMXMSBOVHWLI-STQMWFEESA-N 0 0 427.527 -0.033 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NS(=O)(=O)N=[S@@](C)(=O)N(C)C)CCS2(=O)=O ZINC001195779622 1074372321 /nfs/dbraw/zinc/37/23/21/1074372321.db2.gz DZOKLQVSQFVYDO-AHRMVHOGSA-N 0 0 431.558 -0.706 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NS(=O)(=O)N=[S@](C)(=O)N(C)C)CCS2(=O)=O ZINC001195779623 1074372227 /nfs/dbraw/zinc/37/22/27/1074372227.db2.gz DZOKLQVSQFVYDO-CRNZPNEZSA-N 0 0 431.558 -0.706 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NS(=O)(=O)N=[S@@](C)(=O)N(C)C)CCS2(=O)=O ZINC001195779624 1074372404 /nfs/dbraw/zinc/37/24/04/1074372404.db2.gz DZOKLQVSQFVYDO-SZUSYQOBSA-N 0 0 431.558 -0.706 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NS(=O)(=O)N=[S@](C)(=O)N(C)C)CCS2(=O)=O ZINC001195779625 1074372492 /nfs/dbraw/zinc/37/24/92/1074372492.db2.gz DZOKLQVSQFVYDO-XGGVADFKSA-N 0 0 431.558 -0.706 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3ccc(O)cc3)C[C@@H]21)N1CCOCC1 ZINC001195781457 1074372410 /nfs/dbraw/zinc/37/24/10/1074372410.db2.gz ZFKULCFFZZSUDS-BRWVUGGUSA-N 0 0 444.531 -0.325 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3ccc(O)cc3)C[C@@H]21)N1CCOCC1 ZINC001195781461 1074372374 /nfs/dbraw/zinc/37/23/74/1074372374.db2.gz ZFKULCFFZZSUDS-GVDBMIGSSA-N 0 0 444.531 -0.325 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(S(=O)(=O)c3ccc(O)cc3)CC[C@H]2S1(=O)=O ZINC001195783409 1074372301 /nfs/dbraw/zinc/37/23/01/1074372301.db2.gz OFHYNRQWGIMLGM-CZUORRHYSA-N 0 0 431.536 -0.105 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(O)cc1)C(=O)NCC(N)=O ZINC001195784390 1074372201 /nfs/dbraw/zinc/37/22/01/1074372201.db2.gz JPZJCTALWNAWFK-HOTGVXAUSA-N 0 0 440.522 -0.322 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2occc(=O)c2OCc2ccccc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001195789568 1074372363 /nfs/dbraw/zinc/37/23/63/1074372363.db2.gz LKOKUVCDRRFVIZ-LCONNIHZSA-N 0 0 435.429 -0.207 20 0 IBADRN COc1cnc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)o1 ZINC001195792983 1074372354 /nfs/dbraw/zinc/37/23/54/1074372354.db2.gz CQMWHDBQSZLXHU-QWHCGFSZSA-N 0 0 435.462 -0.154 20 0 IBADRN COc1cnc(C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O)o1 ZINC001195803758 1074372329 /nfs/dbraw/zinc/37/23/29/1074372329.db2.gz JKNYGCZUYJCNAZ-RYUDHWBXSA-N 0 0 443.526 -0.333 20 0 IBADRN COC(=O)[C@](C)(Cn1cccn1)NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC001195806245 1074372473 /nfs/dbraw/zinc/37/24/73/1074372473.db2.gz TUARWDBCDCPXQV-INIZCTEOSA-N 0 0 430.508 -0.287 20 0 IBADRN COC(=O)[C@@](C)(Cn1cccn1)NS(=O)(=O)CCNS(=O)(=O)c1ccccc1 ZINC001195806247 1074372500 /nfs/dbraw/zinc/37/25/00/1074372500.db2.gz TUARWDBCDCPXQV-MRXNPFEDSA-N 0 0 430.508 -0.287 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC001195808805 1074372463 /nfs/dbraw/zinc/37/24/63/1074372463.db2.gz CRJXQKVRUKLOOL-GXTWGEPZSA-N 0 0 429.495 -0.260 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC001195808806 1074372426 /nfs/dbraw/zinc/37/24/26/1074372426.db2.gz CRJXQKVRUKLOOL-JSGCOSHPSA-N 0 0 429.495 -0.260 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC001195808807 1074372393 /nfs/dbraw/zinc/37/23/93/1074372393.db2.gz CRJXQKVRUKLOOL-OCCSQVGLSA-N 0 0 429.495 -0.260 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)C1 ZINC001195808808 1074372343 /nfs/dbraw/zinc/37/23/43/1074372343.db2.gz CRJXQKVRUKLOOL-TZMCWYRMSA-N 0 0 429.495 -0.260 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC001195808813 1074372272 /nfs/dbraw/zinc/37/22/72/1074372272.db2.gz CSHNZVAVQTVTFN-MSOLQXFVSA-N 0 0 439.534 -0.484 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC001195808814 1074372435 /nfs/dbraw/zinc/37/24/35/1074372435.db2.gz CSHNZVAVQTVTFN-QZTJIDSGSA-N 0 0 439.534 -0.484 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC001195808815 1074372241 /nfs/dbraw/zinc/37/22/41/1074372241.db2.gz CSHNZVAVQTVTFN-ROUUACIJSA-N 0 0 439.534 -0.484 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC001195808816 1074372940 /nfs/dbraw/zinc/37/29/40/1074372940.db2.gz CSHNZVAVQTVTFN-ZWKOTPCHSA-N 0 0 439.534 -0.484 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1C[C@@H]2[C@](C(F)(F)F)(C1)CCCS2(=O)=O ZINC001195821757 1074372986 /nfs/dbraw/zinc/37/29/86/1074372986.db2.gz YRWIXCDIVUGDSN-GHMZBOCLSA-N 0 0 442.503 -0.174 20 0 IBADRN COc1ccccc1-n1cnc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1 ZINC001195821962 1074372766 /nfs/dbraw/zinc/37/27/66/1074372766.db2.gz HOBAVRPZGWKOJI-KLICCBINSA-N 0 0 442.432 -0.688 20 0 IBADRN O=S(=O)(NCCOCCOCCOCCOCCOCCO)c1ccc(O)cc1 ZINC001195824869 1074372887 /nfs/dbraw/zinc/37/28/87/1074372887.db2.gz AYTFDRQUINWYQA-UHFFFAOYSA-N 0 0 437.511 -0.254 20 0 IBADRN COc1nn(C)cc1CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001195848116 1074372995 /nfs/dbraw/zinc/37/29/95/1074372995.db2.gz XXSYEWHBVNDCNV-UHFFFAOYSA-N 0 0 430.508 -0.072 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncccc2C(=O)N[C@@H]2C[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001195855815 1074372973 /nfs/dbraw/zinc/37/29/73/1074372973.db2.gz RFBBBVIZPPSCGN-DZUCGIPZSA-N 0 0 436.509 -0.029 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncccc2C(=O)N2CN[C@H](N)[C@H]3NCN[C@@H]32)CC1 ZINC001195857847 1074372835 /nfs/dbraw/zinc/37/28/35/1074372835.db2.gz QJUQQMJDIKQHHI-HLLBOEOZSA-N 0 0 432.529 -0.729 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncccc2C(=O)N2CN[C@H](N)[C@H]3NCN[C@H]32)CC1 ZINC001195857849 1074372872 /nfs/dbraw/zinc/37/28/72/1074372872.db2.gz QJUQQMJDIKQHHI-VYDXJSESSA-N 0 0 432.529 -0.729 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncccc2C(=O)N2CN[C@H](N)[C@@H]3NCN[C@@H]32)CC1 ZINC001195857851 1074372913 /nfs/dbraw/zinc/37/29/13/1074372913.db2.gz QJUQQMJDIKQHHI-YQQAZPJKSA-N 0 0 432.529 -0.729 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(c2ncccc2C(=O)N2CN[C@H](N)[C@@H]3NCN[C@H]32)CC1 ZINC001195857852 1074372926 /nfs/dbraw/zinc/37/29/26/1074372926.db2.gz QJUQQMJDIKQHHI-ZOBUZTSGSA-N 0 0 432.529 -0.729 20 0 IBADRN COC(=O)c1cccc(NC(=S)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c1 ZINC001195864985 1074372707 /nfs/dbraw/zinc/37/27/07/1074372707.db2.gz LNEGKSVGVHMIJW-NMFUWQPSSA-N 0 0 436.446 -0.659 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001195876420 1074372796 /nfs/dbraw/zinc/37/27/96/1074372796.db2.gz BREABMCBZHADRX-AWEZNQCLSA-N 0 0 427.508 -0.349 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001195876425 1074372688 /nfs/dbraw/zinc/37/26/88/1074372688.db2.gz BREABMCBZHADRX-CQSZACIVSA-N 0 0 427.508 -0.349 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)c1ccc(CS(C)(=O)=O)cc1)C2 ZINC001195877702 1074372822 /nfs/dbraw/zinc/37/28/22/1074372822.db2.gz QSYIUJNNYFFMTN-HNNXBMFYSA-N 0 0 441.535 -0.208 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)c1ccc(CS(C)(=O)=O)cc1)C2 ZINC001195877703 1074372785 /nfs/dbraw/zinc/37/27/85/1074372785.db2.gz QSYIUJNNYFFMTN-OAHLLOKOSA-N 0 0 441.535 -0.208 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1Cc1ccccc1 ZINC001195885928 1074372905 /nfs/dbraw/zinc/37/29/05/1074372905.db2.gz DTTNVGDBMXFINO-DLVXIWMQSA-N 0 0 440.460 -0.329 20 0 IBADRN CN(CCc1nccc(C(F)(F)F)n1)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001195909368 1074373365 /nfs/dbraw/zinc/37/33/65/1074373365.db2.gz RYAUKJUCYBHVPM-UHFFFAOYSA-N 0 0 431.462 -0.208 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1n[nH]cc1C)C(N)=O ZINC001195910414 1074373404 /nfs/dbraw/zinc/37/34/04/1074373404.db2.gz ASEPXAKTJURACI-STQMWFEESA-N 0 0 426.543 -0.298 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@@H]3NC(=O)CC[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC001195927524 1074373412 /nfs/dbraw/zinc/37/34/12/1074373412.db2.gz HTJSNFGEXGJCCT-CVEARBPZSA-N 0 0 425.489 -0.039 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@@H]3NC(=O)CC[C@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC001195927525 1074373338 /nfs/dbraw/zinc/37/33/38/1074373338.db2.gz HTJSNFGEXGJCCT-HOTGVXAUSA-N 0 0 425.489 -0.039 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@H]3NC(=O)CC[C@@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC001195927526 1074373174 /nfs/dbraw/zinc/37/31/74/1074373174.db2.gz HTJSNFGEXGJCCT-HZPDHXFCSA-N 0 0 425.489 -0.039 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CC[C@H]3NC(=O)CC[C@H]3C2)c(N)n(Cc2ccccc2)c1=O ZINC001195927527 1074373295 /nfs/dbraw/zinc/37/32/95/1074373295.db2.gz HTJSNFGEXGJCCT-JKSUJKDBSA-N 0 0 425.489 -0.039 20 0 IBADRN CS(=O)(=O)c1ccc(-c2ccccc2C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001195942329 1074373375 /nfs/dbraw/zinc/37/33/75/1074373375.db2.gz PSJYKLHHYNDEFR-HGJKNBTDSA-N 0 0 437.470 -0.713 20 0 IBADRN CS(=O)(=O)c1ccc(-c2ccccc2C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)cc1 ZINC001195942337 1074373326 /nfs/dbraw/zinc/37/33/26/1074373326.db2.gz PSJYKLHHYNDEFR-VBEQINLCSA-N 0 0 437.470 -0.713 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CC2(CN(C(=O)OC(C)(C)C)C2)[C@]2(CCNC2=O)C1 ZINC001195947661 1074373770 /nfs/dbraw/zinc/37/37/70/1074373770.db2.gz JRMPVHWKCQYQRJ-GOSISDBHSA-N 0 0 431.511 -0.062 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CC2(CN(C(=O)OC(C)(C)C)C2)[C@@]2(CCNC2=O)C1 ZINC001195947662 1074373682 /nfs/dbraw/zinc/37/36/82/1074373682.db2.gz JRMPVHWKCQYQRJ-SFHVURJKSA-N 0 0 431.511 -0.062 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001195947850 1074373839 /nfs/dbraw/zinc/37/38/39/1074373839.db2.gz MZZUZDHUEPQPQM-UHFFFAOYSA-N 0 0 429.499 -0.484 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCC2(CN(C(=O)N(C)C)C[C@]23CCN(C)C3=O)CC1 ZINC001195950357 1074373820 /nfs/dbraw/zinc/37/38/20/1074373820.db2.gz KFGZKRITQGNZIT-IBGZPJMESA-N 0 0 444.554 -0.193 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCC2(CN(C(=O)N(C)C)C[C@@]23CCN(C)C3=O)CC1 ZINC001195950361 1074373760 /nfs/dbraw/zinc/37/37/60/1074373760.db2.gz KFGZKRITQGNZIT-LJQANCHMSA-N 0 0 444.554 -0.193 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1Cc2nccn2C[C@H](COCC(=O)N2CCCC2)C1 ZINC001195950434 1074373789 /nfs/dbraw/zinc/37/37/89/1074373789.db2.gz DDOVFQKZCKJLCN-HNNXBMFYSA-N 0 0 428.511 -0.153 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1Cc2nccn2C[C@@H](COCC(=O)N2CCCC2)C1 ZINC001195950435 1074373719 /nfs/dbraw/zinc/37/37/19/1074373719.db2.gz DDOVFQKZCKJLCN-OAHLLOKOSA-N 0 0 428.511 -0.153 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001195950759 1074373864 /nfs/dbraw/zinc/37/38/64/1074373864.db2.gz MBEONXQOBNHKPC-VYDXJSESSA-N 0 0 445.519 -0.668 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCC[C@]2(C(=O)N(C)C)CN(c3ncccn3)C[C@@H]2C1 ZINC001195950770 1074374092 /nfs/dbraw/zinc/37/40/92/1074374092.db2.gz MHIXOSGRCPLIHZ-BEFAXECRSA-N 0 0 439.538 -0.024 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1C[C@@H]2c3nc(N4CCCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001195951067 1074374169 /nfs/dbraw/zinc/37/41/69/1074374169.db2.gz PKDYUXZWURRCKT-UONOGXRCSA-N 0 0 444.535 -0.334 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CC[C@]2(C1)COCc1c(C)nc(N3CCOCC3)nc12 ZINC001195951074 1074374290 /nfs/dbraw/zinc/37/42/90/1074374290.db2.gz PWQVTSGFHXKRML-IBGZPJMESA-N 0 0 440.522 -0.012 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CC[C@@]2(C1)COCc1c(C)nc(N3CCOCC3)nc12 ZINC001195951078 1074374121 /nfs/dbraw/zinc/37/41/21/1074374121.db2.gz PWQVTSGFHXKRML-LJQANCHMSA-N 0 0 440.522 -0.012 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1Cc2ncn(C)c2[C@H](COCCN2CCOCC2)C1 ZINC001195951130 1074374072 /nfs/dbraw/zinc/37/40/72/1074374072.db2.gz QLFPLBCYEBWWBB-HNNXBMFYSA-N 0 0 430.527 -0.439 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1Cc2ncn(C)c2[C@@H](COCCN2CCOCC2)C1 ZINC001195951134 1074374101 /nfs/dbraw/zinc/37/41/01/1074374101.db2.gz QLFPLBCYEBWWBB-OAHLLOKOSA-N 0 0 430.527 -0.439 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001195951396 1074374217 /nfs/dbraw/zinc/37/42/17/1074374217.db2.gz MTTCLADVXQFQTE-UHFFFAOYSA-N 0 0 438.462 -0.135 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)CC3CC3)nc2C1 ZINC001195951428 1074374320 /nfs/dbraw/zinc/37/43/20/1074374320.db2.gz OIEUQQYWHYLQGI-UHFFFAOYSA-N 0 0 426.495 -0.242 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCc2cc(C(=O)OC)c(N3CCOCC3)nc2CC1 ZINC001195951643 1074374132 /nfs/dbraw/zinc/37/41/32/1074374132.db2.gz VERJDAUVHGPMCO-UHFFFAOYSA-N 0 0 441.506 -0.002 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)C3CCC3)nc2C1 ZINC001195951694 1074374281 /nfs/dbraw/zinc/37/42/81/1074374281.db2.gz QGIJHQFHOISBDH-UHFFFAOYSA-N 0 0 426.495 -0.242 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1C[C@H]2N(c3ncccn3)CCC[C@@]2(C(=O)N(C)C)C1 ZINC001195951792 1074374210 /nfs/dbraw/zinc/37/42/10/1074374210.db2.gz SIMVGQYUJZMPDZ-RDTXWAMCSA-N 0 0 425.511 -0.272 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCOC[C@]2(CC[C@H](CNC(=O)c3cnccn3)O2)C1 ZINC001195951982 1074374143 /nfs/dbraw/zinc/37/41/43/1074374143.db2.gz ZPRKRZUFVMVQNC-KDOFPFPSSA-N 0 0 442.494 -0.651 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCOC[C@]2(CC[C@@H](CNC(=O)c3cnccn3)O2)C1 ZINC001195951984 1074374201 /nfs/dbraw/zinc/37/42/01/1074374201.db2.gz ZPRKRZUFVMVQNC-KSSFIOAISA-N 0 0 442.494 -0.651 20 0 IBADRN CCOC(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N3CCCC3)nc2C1 ZINC001195952365 1074374269 /nfs/dbraw/zinc/37/42/69/1074374269.db2.gz ZBGLKCBULDLIMZ-UHFFFAOYSA-N 0 0 441.510 -0.353 20 0 IBADRN CO[C@@]1(CO)CCCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001195955579 1074374235 /nfs/dbraw/zinc/37/42/35/1074374235.db2.gz REYAMGVVUQOWKO-FQEVSTJZSA-N 0 0 441.550 -0.203 20 0 IBADRN CO[C@]1(CO)CCCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001195955584 1074374298 /nfs/dbraw/zinc/37/42/98/1074374298.db2.gz REYAMGVVUQOWKO-HXUWFJFHSA-N 0 0 441.550 -0.203 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@@H]1C(=O)OC ZINC001195961335 1074373284 /nfs/dbraw/zinc/37/32/84/1074373284.db2.gz NQNRSYDEXFELGI-KBPBESRZSA-N 0 0 434.492 -0.480 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@H]1C(=O)OC ZINC001195961336 1074373206 /nfs/dbraw/zinc/37/32/06/1074373206.db2.gz NQNRSYDEXFELGI-OKILXGFUSA-N 0 0 434.492 -0.480 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)C[C@H]1C(=O)OC ZINC001195961337 1074373266 /nfs/dbraw/zinc/37/32/66/1074373266.db2.gz NQNRSYDEXFELGI-ZIAGYGMSSA-N 0 0 434.492 -0.480 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(C(=O)C(OC)OC)CC2)ccc1C ZINC001195978146 1074373218 /nfs/dbraw/zinc/37/32/18/1074373218.db2.gz KSNSFHMHHKWORY-UHFFFAOYSA-N 0 0 428.511 -0.395 20 0 IBADRN O=C(NCCCc1ccc(S(=O)(=O)N2CC3(C2)NC(=O)NC3=O)cc1)C(F)(F)F ZINC001195978802 1074373884 /nfs/dbraw/zinc/37/38/84/1074373884.db2.gz PMQMOTYUUSFPHJ-UHFFFAOYSA-N 0 0 434.396 -0.120 20 0 IBADRN CCOC(=O)CS(=O)(=O)N[C@@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001195982827 1074373693 /nfs/dbraw/zinc/37/36/93/1074373693.db2.gz FHUCDNVDRKRDSX-LLVKDONJSA-N 0 0 426.513 -0.355 20 0 IBADRN CCOC(=O)CS(=O)(=O)N[C@H]1CCS(=O)(=O)C12CN(C(=O)OC(C)(C)C)C2 ZINC001195982832 1074373851 /nfs/dbraw/zinc/37/38/51/1074373851.db2.gz FHUCDNVDRKRDSX-NSHDSACASA-N 0 0 426.513 -0.355 20 0 IBADRN CCOC(=O)CS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001195982916 1074373732 /nfs/dbraw/zinc/37/37/32/1074373732.db2.gz HHLKKOCLBVIVDF-UHFFFAOYSA-N 0 0 443.544 -0.649 20 0 IBADRN CCOC(=O)CS(=O)(=O)N[C@H]1CCc2c(ccc(=O)n2CCN2CCOCC2)C1 ZINC001195983427 1074373805 /nfs/dbraw/zinc/37/38/05/1074373805.db2.gz RQARDXOWYGDCAA-INIZCTEOSA-N 0 0 427.523 -0.480 20 0 IBADRN CCOC(=O)CS(=O)(=O)N[C@@H]1CCc2c(ccc(=O)n2CCN2CCOCC2)C1 ZINC001195983428 1074373711 /nfs/dbraw/zinc/37/37/11/1074373711.db2.gz RQARDXOWYGDCAA-MRXNPFEDSA-N 0 0 427.523 -0.480 20 0 IBADRN CCOC(=O)CS(=O)(=O)N[C@H]1CCc2c(ccc(=O)n2CCN2CCCC2=O)C1 ZINC001195983480 1074373796 /nfs/dbraw/zinc/37/37/96/1074373796.db2.gz UZTXLVWZIVZLNS-HNNXBMFYSA-N 0 0 425.507 -0.190 20 0 IBADRN CCOC(=O)CS(=O)(=O)N[C@@H]1CCc2c(ccc(=O)n2CCN2CCCC2=O)C1 ZINC001195983481 1074373811 /nfs/dbraw/zinc/37/38/11/1074373811.db2.gz UZTXLVWZIVZLNS-OAHLLOKOSA-N 0 0 425.507 -0.190 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nc(C3CC3)ncc2Br)[C@@H](O)[C@H](O)[C@@H]1O ZINC001195987831 1074373828 /nfs/dbraw/zinc/37/38/28/1074373828.db2.gz AQSHAGSQWONXFN-JVGCTUHESA-N 0 0 432.271 -0.310 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC2(C(=O)OC)CC(CO)C2)s1 ZINC001195987923 1074373778 /nfs/dbraw/zinc/37/37/78/1074373778.db2.gz TVNNBFKJJCKEPU-UHFFFAOYSA-N 0 0 440.565 -0.178 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=S)NCc2cccc(Cl)c2)c(=O)[nH]1 ZINC001195999222 1074374155 /nfs/dbraw/zinc/37/41/55/1074374155.db2.gz COKLWEFSUXRHGI-NMFUWQPSSA-N 0 0 426.882 -0.114 20 0 IBADRN CCOC(=O)CS(=O)(=O)NCCOCCOCCOCCOCCOCCOC ZINC001196004474 1074374225 /nfs/dbraw/zinc/37/42/25/1074374225.db2.gz MKXQQIBKIOWRFO-UHFFFAOYSA-N 0 0 445.531 -0.802 20 0 IBADRN CCOC(=O)CS(=O)(=O)NCC(=O)NCCOCCOCCOCc1ccccc1 ZINC001196008227 1074374575 /nfs/dbraw/zinc/37/45/75/1074374575.db2.gz HAHIJMLOGFIZIV-UHFFFAOYSA-N 0 0 446.522 -0.165 20 0 IBADRN CCOC(=O)CS(=O)(=O)NCC(=O)NCC(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001196008255 1074374671 /nfs/dbraw/zinc/37/46/71/1074374671.db2.gz JHCRRZOMHFMYGV-UHFFFAOYSA-N 0 0 439.446 -0.361 20 0 IBADRN CCOC(=O)CS(=O)(=O)N[C@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)C(C)C ZINC001196008779 1074374729 /nfs/dbraw/zinc/37/47/29/1074374729.db2.gz UXYZSVDFUXJJPK-ZBFHGGJFSA-N 0 0 429.495 -0.588 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1c[nH]c(=S)[nH]c1=O)C(=O)NCC(N)=O ZINC001196012719 1074374596 /nfs/dbraw/zinc/37/45/96/1074374596.db2.gz AAKSQXGEQKKGGM-RYUDHWBXSA-N 0 0 438.510 -0.792 20 0 IBADRN O=C(c1c[nH]c(=S)[nH]c1=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001196013323 1074374687 /nfs/dbraw/zinc/37/46/87/1074374687.db2.gz GRFQUYUVLABCSM-CYZMBNFOSA-N 0 0 442.519 -0.795 20 0 IBADRN O=C(c1c[nH]c(=S)[nH]c1=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001196013326 1074374656 /nfs/dbraw/zinc/37/46/56/1074374656.db2.gz GRFQUYUVLABCSM-RAIGVLPGSA-N 0 0 442.519 -0.795 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2c[nH]c(=S)[nH]c2=O)cc1Cl ZINC001196020496 1074374612 /nfs/dbraw/zinc/37/46/12/1074374612.db2.gz ILJFRTQSVXOFIF-UHFFFAOYSA-N 0 0 439.884 -0.329 20 0 IBADRN COCCn1cc(CNS(=O)(=O)c2ccc(CC(=O)OC)c(F)c2)c(=O)[nH]c1=O ZINC001196041533 1074375134 /nfs/dbraw/zinc/37/51/34/1074375134.db2.gz AEMBLRDHCUPOBC-UHFFFAOYSA-N 0 0 429.426 -0.072 20 0 IBADRN CC1(COc2ccc(C(=O)N[C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)cc2)COC1 ZINC001196043145 1074375103 /nfs/dbraw/zinc/37/51/03/1074375103.db2.gz KHLRQSMRZNOTMC-KLICCBINSA-N 0 0 446.460 -0.467 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)c3cccnc3CS(C)(=O)=O)C[C@H]2C1 ZINC001196050536 1074374996 /nfs/dbraw/zinc/37/49/96/1074374996.db2.gz BFZICTVIWUSLPK-KGLIPLIRSA-N 0 0 430.552 -0.174 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)c3cccnc3CS(C)(=O)=O)C2)C1 ZINC001196050632 1074375125 /nfs/dbraw/zinc/37/51/25/1074375125.db2.gz DQLNWOVLJFMHFV-FQEVSTJZSA-N 0 0 438.550 -0.073 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)c3cccnc3CS(C)(=O)=O)C2)C1 ZINC001196050635 1074375014 /nfs/dbraw/zinc/37/50/14/1074375014.db2.gz DQLNWOVLJFMHFV-HXUWFJFHSA-N 0 0 438.550 -0.073 20 0 IBADRN CS(=O)(=O)Cc1ncccc1C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001196050962 1074375070 /nfs/dbraw/zinc/37/50/70/1074375070.db2.gz IDBBNSLSBMFVEA-UHFFFAOYSA-N 0 0 434.478 -0.288 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)c3cccnc3CS(C)(=O)=O)CC[C@H]2C1=O ZINC001196051257 1074374977 /nfs/dbraw/zinc/37/49/77/1074374977.db2.gz MBTJPSWCZSBIKE-WBVHZDCISA-N 0 0 425.507 -0.038 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)c2cccnc2CS(C)(=O)=O)C1 ZINC001196051910 1074375094 /nfs/dbraw/zinc/37/50/94/1074375094.db2.gz UCUXGHLEMDMAJF-AWEZNQCLSA-N 0 0 435.506 -0.143 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)c2cccnc2CS(C)(=O)=O)C1 ZINC001196051911 1074374987 /nfs/dbraw/zinc/37/49/87/1074374987.db2.gz UCUXGHLEMDMAJF-CQSZACIVSA-N 0 0 435.506 -0.143 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCOC3(CCS(=O)(=O)CC3)C1)OCC(=O)N2 ZINC001196052122 1074375058 /nfs/dbraw/zinc/37/50/58/1074375058.db2.gz KJHIQAJGICAQAU-UHFFFAOYSA-N 0 0 446.503 -0.006 20 0 IBADRN Cc1ncc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1C ZINC001196069124 1074375143 /nfs/dbraw/zinc/37/51/43/1074375143.db2.gz ILIDJGSDHVRRHZ-GOEBONIOSA-N 0 0 432.506 -0.108 20 0 IBADRN COC(=O)c1cc(C(=O)NCCC(=O)N2CCOCC2)cc(N(C)S(C)(=O)=O)c1 ZINC001196080398 1074374963 /nfs/dbraw/zinc/37/49/63/1074374963.db2.gz BFDHEKIMVNJMER-UHFFFAOYSA-N 0 0 427.479 -0.152 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnc(C)n1C)C(N)=O ZINC001196084712 1074375115 /nfs/dbraw/zinc/37/51/15/1074375115.db2.gz SCEMVINGBPKDHN-KBPBESRZSA-N 0 0 440.570 -0.288 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCS(=O)(=O)CCO2)cc1)N1CCOCC1 ZINC001196089534 1074375048 /nfs/dbraw/zinc/37/50/48/1074375048.db2.gz RJFIWCFVTOQCGH-UHFFFAOYSA-N 0 0 440.521 -0.942 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC(CO)(CO)C3)CC2)cc1C ZINC001196094675 1074375023 /nfs/dbraw/zinc/37/50/23/1074375023.db2.gz RSKVZQOQGVHXNJ-UHFFFAOYSA-N 0 0 425.551 -0.187 20 0 IBADRN COC(=O)c1cc(C(=O)N2CC(N3CCN(C)C(=O)C3)C2)cc(N(C)S(C)(=O)=O)c1 ZINC001196102521 1074375004 /nfs/dbraw/zinc/37/50/04/1074375004.db2.gz FHQMWCPLPBTXMW-UHFFFAOYSA-N 0 0 438.506 -0.533 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN3C(=O)COC[C@@H]3C2)cc(N(C)S(C)(=O)=O)c1 ZINC001196102963 1074375482 /nfs/dbraw/zinc/37/54/82/1074375482.db2.gz JQNLIPAQOINCAY-HNNXBMFYSA-N 0 0 425.463 -0.448 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN3C(=O)COC[C@H]3C2)cc(N(C)S(C)(=O)=O)c1 ZINC001196102964 1074375574 /nfs/dbraw/zinc/37/55/74/1074375574.db2.gz JQNLIPAQOINCAY-OAHLLOKOSA-N 0 0 425.463 -0.448 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(C)(=O)=O)CC2)cc(N(C)S(C)(=O)=O)c1 ZINC001196102969 1074375513 /nfs/dbraw/zinc/37/55/13/1074375513.db2.gz JYLRPSUKWVFTDW-UHFFFAOYSA-N 0 0 433.508 -0.414 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2cc(C(=O)OC)cc(N(C)S(C)(=O)=O)c2)CC1 ZINC001196102978 1074375558 /nfs/dbraw/zinc/37/55/58/1074375558.db2.gz KDQSJIGHTBGUAD-UHFFFAOYSA-N 0 0 427.479 -0.200 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(C)[C@H](C(=O)OC)C2)cc(N(C)S(C)(=O)=O)c1 ZINC001196103174 1074375541 /nfs/dbraw/zinc/37/55/41/1074375541.db2.gz PJDUJXMPZWYDOB-HNNXBMFYSA-N 0 0 427.479 -0.202 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(C)[C@@H](C(=O)OC)C2)cc(N(C)S(C)(=O)=O)c1 ZINC001196103181 1074375524 /nfs/dbraw/zinc/37/55/24/1074375524.db2.gz PJDUJXMPZWYDOB-OAHLLOKOSA-N 0 0 427.479 -0.202 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCC3(CC2)COCC(=O)N3)cc(N(C)S(C)(=O)=O)c1 ZINC001196103219 1074375584 /nfs/dbraw/zinc/37/55/84/1074375584.db2.gz PUUBHUXKJRJKEF-UHFFFAOYSA-N 0 0 439.490 -0.010 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCC3(CC2)CNC(=O)CO3)cc(N(C)S(C)(=O)=O)c1 ZINC001196103456 1074375567 /nfs/dbraw/zinc/37/55/67/1074375567.db2.gz QPEJBZYDZPXFDJ-UHFFFAOYSA-N 0 0 439.490 -0.010 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)c1nccn(Cc3ccc(F)cc3)c1=O)C2 ZINC001196111233 1074375369 /nfs/dbraw/zinc/37/53/69/1074375369.db2.gz KVWYZMFSALKLAK-NRFANRHFSA-N 0 0 427.436 -0.006 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)c1nccn(Cc3ccc(F)cc3)c1=O)C2 ZINC001196111234 1074375550 /nfs/dbraw/zinc/37/55/50/1074375550.db2.gz KVWYZMFSALKLAK-OAQYLSRUSA-N 0 0 427.436 -0.006 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(C)C[C@H]2C(=O)OC)cc(N(C)S(C)(=O)=O)c1 ZINC001196112192 1074375413 /nfs/dbraw/zinc/37/54/13/1074375413.db2.gz UOANIWPXJMLYKU-HNNXBMFYSA-N 0 0 427.479 -0.202 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(C)C[C@@H]2C(=O)OC)cc(N(C)S(C)(=O)=O)c1 ZINC001196112194 1074375593 /nfs/dbraw/zinc/37/55/93/1074375593.db2.gz UOANIWPXJMLYKU-OAHLLOKOSA-N 0 0 427.479 -0.202 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCN1S(C)(=O)=O ZINC001196113758 1074375455 /nfs/dbraw/zinc/37/54/55/1074375455.db2.gz HTIWNLXDLPMPAB-MRXNPFEDSA-N 0 0 444.579 -0.515 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1cc(C(=O)OC)cc(N(C)S(C)(=O)=O)c1)C(N)=O ZINC001196119673 1074375531 /nfs/dbraw/zinc/37/55/31/1074375531.db2.gz QYEBEHGCKLUGLD-ZDUSSCGKSA-N 0 0 429.451 -0.594 20 0 IBADRN COC(=O)c1cc(C(=O)NCCN2CCCS2(=O)=O)cc(N(C)S(C)(=O)=O)c1 ZINC001196119802 1074375471 /nfs/dbraw/zinc/37/54/71/1074375471.db2.gz ULRHVNOTIRGLOT-UHFFFAOYSA-N 0 0 433.508 -0.366 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2nccn(Cc3ccc(F)cc3)c2=O)[C@@H](O)[C@H](O)[C@@H]1O ZINC001196134423 1074375397 /nfs/dbraw/zinc/37/53/97/1074375397.db2.gz VBAZBKOEMQJQAH-OXTOFMLMSA-N 0 0 437.424 -0.995 20 0 IBADRN CCc1cnccc1C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001196148499 1074375379 /nfs/dbraw/zinc/37/53/79/1074375379.db2.gz LZVXEKKBQCGWRB-NOAAKOMESA-N 0 0 427.465 -0.101 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(C(=O)c3cncc(=O)[nH]3)CC2)c2nonc21 ZINC001196157697 1074375973 /nfs/dbraw/zinc/37/59/73/1074375973.db2.gz DYAKWQPGOXYEQQ-UHFFFAOYSA-N 0 0 433.450 -0.481 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2ccc(Br)cn2)c(=O)[nH]c1=O ZINC001196181587 1074376056 /nfs/dbraw/zinc/37/60/56/1074376056.db2.gz CMKODVKUFWDGTM-UHFFFAOYSA-N 0 0 446.283 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)c1cc(=O)cc(C(N)=O)o1)C[C@]21CCNC1=O ZINC001196193324 1074375946 /nfs/dbraw/zinc/37/59/46/1074375946.db2.gz DUBKALLUIOEFTO-NRFANRHFSA-N 0 0 446.460 -0.062 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)c1cc(=O)cc(C(N)=O)o1)C[C@@]21CCNC1=O ZINC001196193326 1074375930 /nfs/dbraw/zinc/37/59/30/1074375930.db2.gz DUBKALLUIOEFTO-OAQYLSRUSA-N 0 0 446.460 -0.062 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)o1 ZINC001196194171 1074376324 /nfs/dbraw/zinc/37/63/24/1074376324.db2.gz HYTIDIQYDNPKCY-UHFFFAOYSA-N 0 0 427.417 -0.324 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cc(=O)cc(C(N)=O)o1)CC2 ZINC001196194431 1074376026 /nfs/dbraw/zinc/37/60/26/1074376026.db2.gz JJXIUTFMZQIJQS-UHFFFAOYSA-N 0 0 428.449 -0.942 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=O)c1cc(=O)cc(C(N)=O)o1)CC2 ZINC001196195078 1074375919 /nfs/dbraw/zinc/37/59/19/1074375919.db2.gz MTASBFIUGYHHDW-UHFFFAOYSA-N 0 0 439.432 -0.703 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)o1 ZINC001196195174 1074375866 /nfs/dbraw/zinc/37/58/66/1074375866.db2.gz NYDKHIFLLVREDV-UHFFFAOYSA-N 0 0 444.448 -0.485 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)o1 ZINC001196195410 1074376075 /nfs/dbraw/zinc/37/60/75/1074376075.db2.gz PGMGYUJXVFQGME-GFCCVEGCSA-N 0 0 437.416 -0.573 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)o1 ZINC001196195415 1074376005 /nfs/dbraw/zinc/37/60/05/1074376005.db2.gz PGMGYUJXVFQGME-LBPRGKRZSA-N 0 0 437.416 -0.573 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)n2c([C@@H]3COCCN3)ncc/c2=N\Cc2ccco2)o1 ZINC001196195540 1074375897 /nfs/dbraw/zinc/37/58/97/1074375897.db2.gz QJUYMCPUDQLFAJ-OZWUBDKWSA-N 0 0 425.401 -0.022 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)n2c([C@H]3COCCN3)ncc/c2=N\Cc2ccco2)o1 ZINC001196195544 1074375964 /nfs/dbraw/zinc/37/59/64/1074375964.db2.gz QJUYMCPUDQLFAJ-QVINMUBDSA-N 0 0 425.401 -0.022 20 0 IBADRN C[C@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)c1cc(=O)cc(C(N)=O)o1)CC2 ZINC001196195772 1074376014 /nfs/dbraw/zinc/37/60/14/1074376014.db2.gz SHOUVZWNOZPXJK-GFCCVEGCSA-N 0 0 429.433 -0.101 20 0 IBADRN C[C@@H]1N(c2cnn(C)c2)C(=O)COC12CCN(C(=O)c1cc(=O)cc(C(N)=O)o1)CC2 ZINC001196195782 1074375906 /nfs/dbraw/zinc/37/59/06/1074375906.db2.gz SHOUVZWNOZPXJK-LBPRGKRZSA-N 0 0 429.433 -0.101 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(C(=O)c1cc(=O)cc(C(N)=O)o1)C2 ZINC001196195879 1074375879 /nfs/dbraw/zinc/37/58/79/1074375879.db2.gz TWIXKIFTCVHXNK-AWEZNQCLSA-N 0 0 445.476 -0.439 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(C(=O)c1cc(=O)cc(C(N)=O)o1)C2 ZINC001196195881 1074375843 /nfs/dbraw/zinc/37/58/43/1074375843.db2.gz TWIXKIFTCVHXNK-CQSZACIVSA-N 0 0 445.476 -0.439 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=O)c4cc(=O)cc(C(N)=O)o4)CC[C@@H]32)cn1 ZINC001196196182 1074376047 /nfs/dbraw/zinc/37/60/47/1074376047.db2.gz XUXFKHRCUBIWQG-RVSPLBMKSA-N 0 0 429.433 -0.621 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=O)c1cc(=O)cc(C(N)=O)o1)C2 ZINC001196196220 1074375957 /nfs/dbraw/zinc/37/59/57/1074375957.db2.gz YLYIXKIHWGZIIY-NRFANRHFSA-N 0 0 439.428 -0.386 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=O)c1cc(=O)cc(C(N)=O)o1)C2 ZINC001196196222 1074375997 /nfs/dbraw/zinc/37/59/97/1074375997.db2.gz YLYIXKIHWGZIIY-OAQYLSRUSA-N 0 0 439.428 -0.386 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2C[C@H]3OCC[C@H]3[C@H](C(=O)NCc3cccnc3)C2)o1 ZINC001196196243 1074376267 /nfs/dbraw/zinc/37/62/67/1074376267.db2.gz YTTMVDZRZTTZMV-HDMKZQKVSA-N 0 0 426.429 -0.073 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2CCO[C@H]3[C@H]2CC[C@H]3CNC(=O)c2ccnnc2)o1 ZINC001196196285 1074376478 /nfs/dbraw/zinc/37/64/78/1074376478.db2.gz ZVKWSHADVCHPLM-FABXCBLPSA-N 0 0 427.417 -0.422 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@@H]32)o1 ZINC001196196289 1074376498 /nfs/dbraw/zinc/37/64/98/1074376498.db2.gz ZVKWSHADVCHPLM-HYSWKAIVSA-N 0 0 427.417 -0.422 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@H]32)o1 ZINC001196196290 1074376310 /nfs/dbraw/zinc/37/63/10/1074376310.db2.gz ZVKWSHADVCHPLM-JDSLSITLSA-N 0 0 427.417 -0.422 20 0 IBADRN NC(=O)c1cc(=O)cc(C(=O)N2CCO[C@@H]3[C@H](CNC(=O)c4ccnnc4)CC[C@@H]32)o1 ZINC001196196291 1074376351 /nfs/dbraw/zinc/37/63/51/1074376351.db2.gz ZVKWSHADVCHPLM-PZSREKOKSA-N 0 0 427.417 -0.422 20 0 IBADRN COc1nccn(C(=O)c2cc(=O)cc(C(N)=O)o2)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001196199589 1074376427 /nfs/dbraw/zinc/37/64/27/1074376427.db2.gz AXHKLBBBRFHSGJ-UHFFFAOYSA-N 0 0 445.413 -0.496 20 0 IBADRN COc1cc(C(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)ccc1OC1CCOCC1 ZINC001196206106 1074376384 /nfs/dbraw/zinc/37/63/84/1074376384.db2.gz DQCNWNOQUGTTQV-ZCISVBNHSA-N 0 0 441.477 -0.173 20 0 IBADRN CC(C)[C@H](NC(=O)[C@@H](Cc1ccc(O)cc1)NC(=O)c1cc(=O)cc(C(N)=O)o1)C(N)=O ZINC001196210078 1074376256 /nfs/dbraw/zinc/37/62/56/1074376256.db2.gz QJITVVLILPPPDC-PBHICJAKSA-N 0 0 444.444 -0.589 20 0 IBADRN O=C(c1ccnc(CO)c1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001196232676 1074376991 /nfs/dbraw/zinc/37/69/91/1074376991.db2.gz JVOHRIPFTFZNMR-DLBZAZTESA-N 0 0 445.501 -0.263 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccnc(CO)c2)CC3)C1=O ZINC001196232800 1074376887 /nfs/dbraw/zinc/37/68/87/1074376887.db2.gz LYUIJPLTZWRLJL-FQEVSTJZSA-N 0 0 436.534 -0.080 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccnc(CO)c2)CC3)C1=O ZINC001196232813 1074376972 /nfs/dbraw/zinc/37/69/72/1074376972.db2.gz LYUIJPLTZWRLJL-HXUWFJFHSA-N 0 0 436.534 -0.080 20 0 IBADRN COC(=O)[C@]1(OC)CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC001196236330 1074376772 /nfs/dbraw/zinc/37/67/72/1074376772.db2.gz CCGINUSUASFZAA-PMACEKPBSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)[C@]1(OC)CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC001196236331 1074376754 /nfs/dbraw/zinc/37/67/54/1074376754.db2.gz CCGINUSUASFZAA-UXHICEINSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC001196236332 1074377051 /nfs/dbraw/zinc/37/70/51/1074377051.db2.gz CCGINUSUASFZAA-VQTJNVASSA-N 0 0 434.449 -0.243 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C1 ZINC001196236333 1074377040 /nfs/dbraw/zinc/37/70/40/1074377040.db2.gz CCGINUSUASFZAA-WOJBJXKFSA-N 0 0 434.449 -0.243 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCO[C@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001196237425 1074377029 /nfs/dbraw/zinc/37/70/29/1074377029.db2.gz JDRAMJPXJPFQPB-INIZCTEOSA-N 0 0 441.572 -0.081 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCO[C@@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001196237428 1074376703 /nfs/dbraw/zinc/37/67/03/1074376703.db2.gz JDRAMJPXJPFQPB-MRXNPFEDSA-N 0 0 441.572 -0.081 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)c1ccc(N2CCOCC2=O)cc1 ZINC001196250320 1074376275 /nfs/dbraw/zinc/37/62/75/1074376275.db2.gz VQFLPZIPJUWMTI-UHFFFAOYSA-N 0 0 427.421 -0.687 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC001196252866 1074376441 /nfs/dbraw/zinc/37/64/41/1074376441.db2.gz JUXVJTMEKJKODP-AWEZNQCLSA-N 0 0 426.447 -0.103 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC001196252867 1074376408 /nfs/dbraw/zinc/37/64/08/1074376408.db2.gz JUXVJTMEKJKODP-CQSZACIVSA-N 0 0 426.447 -0.103 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001196253919 1074376466 /nfs/dbraw/zinc/37/64/66/1074376466.db2.gz UEUWDZDIWMQKRG-HNNXBMFYSA-N 0 0 428.463 -0.258 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001196253920 1074376287 /nfs/dbraw/zinc/37/62/87/1074376287.db2.gz UEUWDZDIWMQKRG-OAHLLOKOSA-N 0 0 428.463 -0.258 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1nc(-c2ccccc2)ncc1Br ZINC001196262133 1074376506 /nfs/dbraw/zinc/37/65/06/1074376506.db2.gz VDVLYOAAMHLJJA-CMFWHNJASA-N 0 0 440.250 -0.564 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1nc(-c2ccccc2)ncc1Br ZINC001196262136 1074376363 /nfs/dbraw/zinc/37/63/63/1074376363.db2.gz VDVLYOAAMHLJJA-YMYWJRBWSA-N 0 0 440.250 -0.564 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)[C@H](CO)C1 ZINC001196262211 1074376856 /nfs/dbraw/zinc/37/68/56/1074376856.db2.gz CETLDBMWZUNHTG-INIZCTEOSA-N 0 0 430.958 -0.219 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)[C@@H](CO)C1 ZINC001196262212 1074376712 /nfs/dbraw/zinc/37/67/12/1074376712.db2.gz CETLDBMWZUNHTG-MRXNPFEDSA-N 0 0 430.958 -0.219 20 0 IBADRN CN1CCN(CCS(=O)(=O)N2CCN(C(=O)OCc3ccccc3)CC2)[C@H](CO)C1 ZINC001196263366 1074376925 /nfs/dbraw/zinc/37/69/25/1074376925.db2.gz OXRGEAAPXCDVEB-IBGZPJMESA-N 0 0 440.566 -0.121 20 0 IBADRN CN1CCN(CCS(=O)(=O)N2CCN(C(=O)OCc3ccccc3)CC2)[C@@H](CO)C1 ZINC001196263367 1074376820 /nfs/dbraw/zinc/37/68/20/1074376820.db2.gz OXRGEAAPXCDVEB-LJQANCHMSA-N 0 0 440.566 -0.121 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C[C@@H]3CO)CC2)cc1 ZINC001196264518 1074377002 /nfs/dbraw/zinc/37/70/02/1074377002.db2.gz XEBPGVVVVOMNAL-GOSISDBHSA-N 0 0 438.550 -0.670 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCN(C)C[C@H]3CO)CC2)cc1 ZINC001196264519 1074376784 /nfs/dbraw/zinc/37/67/84/1074376784.db2.gz XEBPGVVVVOMNAL-SFHVURJKSA-N 0 0 438.550 -0.670 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccc(N3CCOCC3=O)cc1)C2 ZINC001196266078 1074376911 /nfs/dbraw/zinc/37/69/11/1074376911.db2.gz MEYKBRISLZYKJN-UHFFFAOYSA-N 0 0 442.476 -0.098 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(Cl)cn1 ZINC001196274381 1074376948 /nfs/dbraw/zinc/37/69/48/1074376948.db2.gz KLKSXWNYYRZNKW-KBPBESRZSA-N 0 0 442.881 -0.707 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccc(Cl)cn1)NC(=O)CNC(C)=O ZINC001196274392 1074377463 /nfs/dbraw/zinc/37/74/63/1074377463.db2.gz KUTWVYCUSXUGFF-ZDUSSCGKSA-N 0 0 434.902 -0.023 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cn1 ZINC001196274463 1074377383 /nfs/dbraw/zinc/37/73/83/1074377383.db2.gz MUALWJCZGFABRH-KBPBESRZSA-N 0 0 426.882 -0.413 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc(N4CCOCC4=O)cc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001196279335 1074377554 /nfs/dbraw/zinc/37/75/54/1074377554.db2.gz QLDATBVBMLJMIP-LZLYRXPVSA-N 0 0 444.444 -0.301 20 0 IBADRN Cc1c(Br)ccnc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001196282172 1074377450 /nfs/dbraw/zinc/37/74/50/1074377450.db2.gz OOFSPFLQAPDWAV-QGMIFYJMSA-N 0 0 440.254 -0.417 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCO[C@H](Cn3ccnn3)C2)C1=O ZINC001196284751 1074377535 /nfs/dbraw/zinc/37/75/35/1074377535.db2.gz FBPVRDOCIYABJT-JXFKEZNVSA-N 0 0 427.465 -0.133 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCO[C@H](Cn3ccnn3)C2)C1=O ZINC001196284752 1074377400 /nfs/dbraw/zinc/37/74/00/1074377400.db2.gz FBPVRDOCIYABJT-OXJNMPFZSA-N 0 0 427.465 -0.133 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCO[C@@H](Cn3ccnn3)C2)C1=O ZINC001196284753 1074377513 /nfs/dbraw/zinc/37/75/13/1074377513.db2.gz FBPVRDOCIYABJT-OXQOHEQNSA-N 0 0 427.465 -0.133 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCO[C@@H](Cn3ccnn3)C2)C1=O ZINC001196284754 1074377412 /nfs/dbraw/zinc/37/74/12/1074377412.db2.gz FBPVRDOCIYABJT-UZLBHIALSA-N 0 0 427.465 -0.133 20 0 IBADRN O=C(CN1CCO[C@H](Cn2ccnn2)C1)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001196285687 1074377361 /nfs/dbraw/zinc/37/73/61/1074377361.db2.gz PZMXIMKMSZWLDQ-IBGZPJMESA-N 0 0 428.493 -0.232 20 0 IBADRN O=C(CN1CCO[C@@H](Cn2ccnn2)C1)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001196285688 1074377330 /nfs/dbraw/zinc/37/73/30/1074377330.db2.gz PZMXIMKMSZWLDQ-LJQANCHMSA-N 0 0 428.493 -0.232 20 0 IBADRN O=C(CNC(CO)(CO)C(F)(F)F)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001196285933 1074377441 /nfs/dbraw/zinc/37/74/41/1074377441.db2.gz WKUNAENXQJMOOX-UHFFFAOYSA-N 0 0 425.429 -0.605 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cnc(Br)c(C(F)(F)F)c1 ZINC001196293065 1074377475 /nfs/dbraw/zinc/37/74/75/1074377475.db2.gz LBOYUEGUKAYKGT-QFQOQTIOSA-N 0 0 431.161 -0.607 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cnc(Br)c(C(F)(F)F)c1 ZINC001196293067 1074377371 /nfs/dbraw/zinc/37/73/71/1074377371.db2.gz LBOYUEGUKAYKGT-ZTVJRRTOSA-N 0 0 431.161 -0.607 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2cccc(Br)n2)c(=O)[nH]c1=O ZINC001196332175 1074377301 /nfs/dbraw/zinc/37/73/01/1074377301.db2.gz WGGACOFTOPBLQG-UHFFFAOYSA-N 0 0 446.283 -0.490 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cccc(Br)n2)CC1 ZINC001196333605 1074377421 /nfs/dbraw/zinc/37/74/21/1074377421.db2.gz NLFSVTNLSHOSJQ-UHFFFAOYSA-N 0 0 427.346 -0.300 20 0 IBADRN CN1CCN(C(=O)CNC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001196335206 1074377541 /nfs/dbraw/zinc/37/75/41/1074377541.db2.gz LGSFTOIWGAMFFH-UHFFFAOYSA-N 0 0 425.511 -0.397 20 0 IBADRN COc1ccccc1C(=O)N1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001196348039 1074377850 /nfs/dbraw/zinc/37/78/50/1074377850.db2.gz JZBBDWZYPWVJNG-UHFFFAOYSA-N 0 0 438.550 -0.007 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2snnc2CO)CC3)C1=O ZINC001196354008 1074377804 /nfs/dbraw/zinc/37/78/04/1074377804.db2.gz CIDBXUGEQSPLRV-KRWDZBQOSA-N 0 0 443.551 -0.624 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2snnc2CO)CC3)C1=O ZINC001196354009 1074377906 /nfs/dbraw/zinc/37/79/06/1074377906.db2.gz CIDBXUGEQSPLRV-QGZVFWFLSA-N 0 0 443.551 -0.624 20 0 IBADRN O=C(c1snnc1CO)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001196354712 1074377817 /nfs/dbraw/zinc/37/78/17/1074377817.db2.gz NMOHVHBCHGDNOZ-IFIJOSMWSA-N 0 0 437.503 -0.377 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNC(=O)c2snnc2CO)CC1 ZINC001196362780 1074377955 /nfs/dbraw/zinc/37/79/55/1074377955.db2.gz VLPPBFMQSAFZIO-UHFFFAOYSA-N 0 0 435.528 -0.357 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1snnc1CO)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001196364589 1074378259 /nfs/dbraw/zinc/37/82/59/1074378259.db2.gz NIYCASPWRGWJGU-OLZOCXBDSA-N 0 0 429.476 -0.171 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3snnc3CO)ccc12 ZINC001196367953 1074377794 /nfs/dbraw/zinc/37/77/94/1074377794.db2.gz HLBWOPOLZVFTFF-UHFFFAOYSA-N 0 0 431.430 -0.070 20 0 IBADRN O=C(c1csc(CO)n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001196371469 1074377947 /nfs/dbraw/zinc/37/79/47/1074377947.db2.gz HCYTZNRNOZFGID-OUCADQQQSA-N 0 0 429.520 -0.631 20 0 IBADRN O=C(c1csc(CO)n1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001196371470 1074377893 /nfs/dbraw/zinc/37/78/93/1074377893.db2.gz HCYTZNRNOZFGID-YRGRVCCFSA-N 0 0 429.520 -0.631 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2csc(CO)n2)CC3)C1=O ZINC001196371703 1074377826 /nfs/dbraw/zinc/37/78/26/1074377826.db2.gz MJNAYJLURFHEKP-GOSISDBHSA-N 0 0 442.563 -0.019 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2csc(CO)n2)CC3)C1=O ZINC001196371704 1074377834 /nfs/dbraw/zinc/37/78/34/1074377834.db2.gz MJNAYJLURFHEKP-SFHVURJKSA-N 0 0 442.563 -0.019 20 0 IBADRN O=C(CN1CCN(c2nccnn2)CC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001196374562 1074377882 /nfs/dbraw/zinc/37/78/82/1074377882.db2.gz FTAPNCBZWPPXEH-UHFFFAOYSA-N 0 0 449.512 -0.334 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1csc(CO)n1)C(=O)NCC(N)=O ZINC001196375613 1074377934 /nfs/dbraw/zinc/37/79/34/1074377934.db2.gz BXKSRXLDMAYBTK-AAEUAGOBSA-N 0 0 425.511 -0.628 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2csc(CO)n2)cc1Cl ZINC001196381402 1074377769 /nfs/dbraw/zinc/37/77/69/1074377769.db2.gz ZUPKYUUZFRYWIM-UHFFFAOYSA-N 0 0 426.885 -0.164 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCN(c3ccc(F)cc3C(N)=O)CC2)CC1 ZINC001196392527 1074377874 /nfs/dbraw/zinc/37/78/74/1074377874.db2.gz GMBAXYORYUJXKG-UHFFFAOYSA-N 0 0 441.529 -0.413 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cccc(S(C)(=O)=O)n1)CC2 ZINC001196409192 1074378234 /nfs/dbraw/zinc/37/82/34/1074378234.db2.gz HPDBZSCKDSYSLC-UHFFFAOYSA-N 0 0 446.533 -0.195 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1cccc(S(C)(=O)=O)n1)CC2 ZINC001196409911 1074378267 /nfs/dbraw/zinc/37/82/67/1074378267.db2.gz MEWMLFXLWIBUNI-UHFFFAOYSA-N 0 0 432.502 -0.024 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c(F)c1 ZINC001196412236 1074378313 /nfs/dbraw/zinc/37/83/13/1074378313.db2.gz LXRKNSWMGYIHKU-HOTGVXAUSA-N 0 0 439.465 -0.308 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)n1 ZINC001196421933 1074378387 /nfs/dbraw/zinc/37/83/87/1074378387.db2.gz NEAQVCOQEWTWPR-KBPBESRZSA-N 0 0 434.478 -0.974 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cc(-c2ccccn2)nc(-c2ccccn2)c1 ZINC001196447314 1074378323 /nfs/dbraw/zinc/37/83/23/1074378323.db2.gz HPWRDQRIIHHHEE-CDVBAKLBSA-N 0 0 438.440 -0.265 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cc(-c2ccccn2)nc(-c2ccccn2)c1 ZINC001196447322 1074378431 /nfs/dbraw/zinc/37/84/31/1074378431.db2.gz HPWRDQRIIHHHEE-DOSYZEEDSA-N 0 0 438.440 -0.265 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2C[C@@H](CO)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001196450949 1074379012 /nfs/dbraw/zinc/37/90/12/1074379012.db2.gz TYHJWIXXWQOCFP-IKGGRYGDSA-N 0 0 441.550 -0.124 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2C[C@@H](CO)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001196450950 1074378979 /nfs/dbraw/zinc/37/89/79/1074378979.db2.gz TYHJWIXXWQOCFP-ULQDDVLXSA-N 0 0 441.550 -0.124 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cccc(=O)n2OCc2ccccc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001196470251 1074378296 /nfs/dbraw/zinc/37/82/96/1074378296.db2.gz UUMGKAGVJIKCGV-CBUQMUQRSA-N 0 0 434.445 -0.949 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)c3nc(Br)c[nH]c3=O)C2)C1 ZINC001196492904 1074379292 /nfs/dbraw/zinc/37/92/92/1074379292.db2.gz GDDGRGJQJXTACM-KRWDZBQOSA-N 0 0 442.314 -0.167 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)c3nc(Br)c[nH]c3=O)C2)C1 ZINC001196492909 1074379374 /nfs/dbraw/zinc/37/93/74/1074379374.db2.gz GDDGRGJQJXTACM-QGZVFWFLSA-N 0 0 442.314 -0.167 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)c2nc(Br)c[nH]c2=O)C1 ZINC001196493687 1074379239 /nfs/dbraw/zinc/37/92/39/1074379239.db2.gz ORJSJRFOKMQFKB-JTQLQIEISA-N 0 0 439.270 -0.236 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)c2nc(Br)c[nH]c2=O)C1 ZINC001196493691 1074379437 /nfs/dbraw/zinc/37/94/37/1074379437.db2.gz ORJSJRFOKMQFKB-SNVBAGLBSA-N 0 0 439.270 -0.236 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)c3nc(Br)c[nH]c3=O)CC[C@H]2C1=O ZINC001196494530 1074379432 /nfs/dbraw/zinc/37/94/32/1074379432.db2.gz YAPPCNLULJQHQX-MNOVXSKESA-N 0 0 429.271 -0.132 20 0 IBADRN O=C(Nc1ccnc2c(N(CCO)CCO)cnn21)c1nc(Br)c[nH]c1=O ZINC001196494656 1074379424 /nfs/dbraw/zinc/37/94/24/1074379424.db2.gz ZURSDWQFLPPINL-UHFFFAOYSA-N 0 0 438.242 -0.382 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)c3nc(Br)c[nH]c3=O)C[C@H]2C1 ZINC001196495144 1074379443 /nfs/dbraw/zinc/37/94/43/1074379443.db2.gz MFXKLIYTDQJJFB-ZJUUUORDSA-N 0 0 434.316 -0.267 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1nc(Br)c[nH]c1=O)C2 ZINC001196495492 1074379398 /nfs/dbraw/zinc/37/93/98/1074379398.db2.gz RTPIQYSLSWDOEY-UHFFFAOYSA-N 0 0 440.258 -0.615 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1nc(Br)c[nH]c1=O)C[C@H]2C(=O)N(C)C ZINC001196497682 1074379363 /nfs/dbraw/zinc/37/93/63/1074379363.db2.gz LVIBOYIGGSQTTL-JTQLQIEISA-N 0 0 426.271 -0.459 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1nc(Br)c[nH]c1=O)C[C@@H]2C(=O)N(C)C ZINC001196497683 1074379316 /nfs/dbraw/zinc/37/93/16/1074379316.db2.gz LVIBOYIGGSQTTL-SNVBAGLBSA-N 0 0 426.271 -0.459 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3nc(Br)c[nH]c3=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001196506294 1074378829 /nfs/dbraw/zinc/37/88/29/1074378829.db2.gz FHCAZSWCZYFTIT-QXFUBDJGSA-N 0 0 442.226 -0.818 20 0 IBADRN Cc1nc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)c[nH]c1=O ZINC001196513416 1074378744 /nfs/dbraw/zinc/37/87/44/1074378744.db2.gz QIDIHEVWPRGPCS-IBGZPJMESA-N 0 0 437.522 -0.576 20 0 IBADRN Cc1nc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)c[nH]c1=O ZINC001196513427 1074378945 /nfs/dbraw/zinc/37/89/45/1074378945.db2.gz QIDIHEVWPRGPCS-LJQANCHMSA-N 0 0 437.522 -0.576 20 0 IBADRN Cc1nc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)c[nH]c1=O ZINC001196513613 1074378782 /nfs/dbraw/zinc/37/87/82/1074378782.db2.gz RNVNNWUDIFYVKZ-DZGCQCFKSA-N 0 0 446.489 -0.758 20 0 IBADRN Cc1nc(C(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)c[nH]c1=O ZINC001196513835 1074378846 /nfs/dbraw/zinc/37/88/46/1074378846.db2.gz SOLDISLKSPQGER-ALKREAHSSA-N 0 0 431.474 -0.329 20 0 IBADRN CC(C)(C)OC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCC(=O)NCC(N)=O)cc1 ZINC001196516313 1074378955 /nfs/dbraw/zinc/37/89/55/1074378955.db2.gz UOCUFUFPOIBTEB-UHFFFAOYSA-N 0 0 443.482 -0.970 20 0 IBADRN Cc1nc(C(=O)N2CCN(c3ccc(S(=O)(=O)N(C)C)c4nonc43)CC2)c[nH]c1=O ZINC001196520971 1074378932 /nfs/dbraw/zinc/37/89/32/1074378932.db2.gz ZUZVSUHAFRQDSI-UHFFFAOYSA-N 0 0 447.477 -0.173 20 0 IBADRN Cc1nc(C(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c[nH]c1=O ZINC001196521655 1074378791 /nfs/dbraw/zinc/37/87/91/1074378791.db2.gz RIKCFOOBHATQIB-UHFFFAOYSA-N 0 0 429.499 -0.309 20 0 IBADRN COc1ncncc1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001196528894 1074378966 /nfs/dbraw/zinc/37/89/66/1074378966.db2.gz GFMRZFSGUHUMLI-IBGZPJMESA-N 0 0 437.522 -0.169 20 0 IBADRN COc1ncncc1C(=O)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001196528896 1074379250 /nfs/dbraw/zinc/37/92/50/1074379250.db2.gz GFMRZFSGUHUMLI-LJQANCHMSA-N 0 0 437.522 -0.169 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNC(=O)c3c[nH]c(=O)c(C)n3)ccc12 ZINC001196529028 1074379333 /nfs/dbraw/zinc/37/93/33/1074379333.db2.gz LRONEMMURASUBR-UHFFFAOYSA-N 0 0 425.401 -0.022 20 0 IBADRN COc1ncncc1C(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001196530033 1074379283 /nfs/dbraw/zinc/37/92/83/1074379283.db2.gz XIRCPUBDCFJRPH-LSDHHAIUSA-N 0 0 446.489 -0.352 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001196534265 1074379450 /nfs/dbraw/zinc/37/94/50/1074379450.db2.gz AIYPITWTELEAMF-AEGPPILISA-N 0 0 425.463 -0.709 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001196534273 1074379351 /nfs/dbraw/zinc/37/93/51/1074379351.db2.gz AIYPITWTELEAMF-QEJZJMRPSA-N 0 0 425.463 -0.709 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)cc1 ZINC001196537358 1074379880 /nfs/dbraw/zinc/37/98/80/1074379880.db2.gz YDQYYJIUSKUOOZ-RDJZCZTQSA-N 0 0 448.476 -0.504 20 0 IBADRN CN(CCS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C)CC(=O)N1CCN(C)CC1 ZINC001196545889 1074379734 /nfs/dbraw/zinc/37/97/34/1074379734.db2.gz TWRNMHUJKZAMNK-UHFFFAOYSA-N 0 0 446.595 -0.584 20 0 IBADRN O=C(CN1CCC[C@@H](CO)[C@H]1CO)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001196553660 1074379772 /nfs/dbraw/zinc/37/97/72/1074379772.db2.gz DRSWCLHOJBYHNA-PKOBYXMFSA-N 0 0 441.550 -0.611 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(CC(=O)NCCCN(C)S(C)(=O)=O)CCO1 ZINC001196554463 1074379871 /nfs/dbraw/zinc/37/98/71/1074379871.db2.gz UKSXISFYUROQIP-GOSISDBHSA-N 0 0 437.559 -0.557 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(CC(=O)NCCCN(C)S(C)(=O)=O)CCO1 ZINC001196554464 1074379814 /nfs/dbraw/zinc/37/98/14/1074379814.db2.gz UKSXISFYUROQIP-SFHVURJKSA-N 0 0 437.559 -0.557 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cc(S(=O)(=O)N(C)C)ccc2N(C)C)CC1 ZINC001196565536 1074379410 /nfs/dbraw/zinc/37/94/10/1074379410.db2.gz OELAWJNXGKDOOC-UHFFFAOYSA-N 0 0 448.571 -0.383 20 0 IBADRN Cc1c(Br)cccc1S(=O)(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001196575379 1074379668 /nfs/dbraw/zinc/37/96/68/1074379668.db2.gz ZWMSPDKUODCKGJ-XNHWVXRISA-N 0 0 440.312 -0.120 20 0 IBADRN COCCCS(=O)(=O)NCCN1CCNC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001196630796 1074379915 /nfs/dbraw/zinc/37/99/15/1074379915.db2.gz ACWOYRAXTZESSD-UHFFFAOYSA-N 0 0 434.559 -0.246 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1CC(=O)Nc1cc(S(C)(=O)=O)ccc1Cl ZINC001196637606 1074379922 /nfs/dbraw/zinc/37/99/22/1074379922.db2.gz BJFRMDPACZYOKT-LLVKDONJSA-N 0 0 439.943 -0.068 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1CC(=O)Nc1cc(S(C)(=O)=O)ccc1Cl ZINC001196637608 1074379861 /nfs/dbraw/zinc/37/98/61/1074379861.db2.gz BJFRMDPACZYOKT-NSHDSACASA-N 0 0 439.943 -0.068 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cc(F)c(OCC2CCOCC2)c(F)c1 ZINC001196641859 1074379722 /nfs/dbraw/zinc/37/97/22/1074379722.db2.gz MGDKHQBWBXGZHI-DINLJIAVSA-N 0 0 433.404 -0.700 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cc(F)c(OCC2CCOCC2)c(F)c1 ZINC001196641860 1074379836 /nfs/dbraw/zinc/37/98/36/1074379836.db2.gz MGDKHQBWBXGZHI-HLTONANMSA-N 0 0 433.404 -0.700 20 0 IBADRN COC(=O)CNC(=O)c1ccc(NC(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1 ZINC001196657865 1074379906 /nfs/dbraw/zinc/37/99/06/1074379906.db2.gz GLGWYAGRSDPKGU-UHFFFAOYSA-N 0 0 433.465 -0.208 20 0 IBADRN COc1ccc(NC(=O)CN2C[C@@H]3C(=O)OC[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC001196674346 1074379748 /nfs/dbraw/zinc/37/97/48/1074379748.db2.gz FAJJSFHDGHWACU-ZFWWWQNUSA-N 0 0 439.490 -0.241 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001196695831 1074379934 /nfs/dbraw/zinc/37/99/34/1074379934.db2.gz PXVWKJXNLJIGLU-FQEVSTJZSA-N 0 0 434.449 -0.271 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001196695832 1074379802 /nfs/dbraw/zinc/37/98/02/1074379802.db2.gz PXVWKJXNLJIGLU-HXUWFJFHSA-N 0 0 434.449 -0.271 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1ccc(S(C)(=O)=O)cn1)CC2 ZINC001196708112 1074380311 /nfs/dbraw/zinc/38/03/11/1074380311.db2.gz HHPXMOPGQBGZOA-UHFFFAOYSA-N 0 0 446.533 -0.195 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=O)c1ccc(S(C)(=O)=O)cn1)CC2 ZINC001196708819 1074380292 /nfs/dbraw/zinc/38/02/92/1074380292.db2.gz XAPAXKBAUYRXBO-UHFFFAOYSA-N 0 0 432.502 -0.024 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)nc1 ZINC001196721564 1074380451 /nfs/dbraw/zinc/38/04/51/1074380451.db2.gz NXYJATDAPILYEG-GJZGRUSLSA-N 0 0 434.478 -0.974 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C[C@H]1CO ZINC001196759321 1074380178 /nfs/dbraw/zinc/38/01/78/1074380178.db2.gz NYIBOZBSXHHDFH-INIZCTEOSA-N 0 0 430.958 -0.219 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C[C@@H]1CO ZINC001196759322 1074380330 /nfs/dbraw/zinc/38/03/30/1074380330.db2.gz NYIBOZBSXHHDFH-MRXNPFEDSA-N 0 0 430.958 -0.219 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2CC(=O)NC2CCN(S(C)(=O)=O)CC2)cn1 ZINC001196783008 1074380356 /nfs/dbraw/zinc/38/03/56/1074380356.db2.gz WQIFUVBUAWNPFE-INIZCTEOSA-N 0 0 426.543 -0.846 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2CCCN2CC(=O)NC2CCN(S(C)(=O)=O)CC2)cn1 ZINC001196783017 1074380214 /nfs/dbraw/zinc/38/02/14/1074380214.db2.gz WQIFUVBUAWNPFE-MRXNPFEDSA-N 0 0 426.543 -0.846 20 0 IBADRN COC(=O)c1ccc(NC(=S)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001196785595 1074380383 /nfs/dbraw/zinc/38/03/83/1074380383.db2.gz MBCNPPKUDXEKEP-NMFUWQPSSA-N 0 0 436.446 -0.659 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(OC)ccc1F ZINC001196796941 1074380920 /nfs/dbraw/zinc/38/09/20/1074380920.db2.gz JRCLJRLHLZVGRQ-DCAQKATOSA-N 0 0 433.458 -0.317 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN2CCCN(C(=O)CNC(C)=O)CC2)n1 ZINC001196797864 1074380858 /nfs/dbraw/zinc/38/08/58/1074380858.db2.gz GDSBEAOZVBSBOU-UHFFFAOYSA-N 0 0 425.511 -0.142 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(CC(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC001196797869 1074380912 /nfs/dbraw/zinc/38/09/12/1074380912.db2.gz GGQGRXMVAYRNLO-UHFFFAOYSA-N 0 0 428.486 -0.162 20 0 IBADRN NS(=O)(=O)NC1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001196799360 1074380868 /nfs/dbraw/zinc/38/08/68/1074380868.db2.gz SVEOJBYHZHTGNU-UHFFFAOYSA-N 0 0 439.538 -0.967 20 0 IBADRN COc1ccc(F)c(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001196802999 1074380729 /nfs/dbraw/zinc/38/07/29/1074380729.db2.gz YBMNRGZURIKXLT-HOTGVXAUSA-N 0 0 439.465 -0.314 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)C[C@@H]2CC(=O)OC)ccc1C ZINC001196806666 1074380885 /nfs/dbraw/zinc/38/08/85/1074380885.db2.gz AZKJGDGJWSEFKL-AWEZNQCLSA-N 0 0 447.535 -0.496 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCS(=O)(=O)C[C@H]2CC(=O)OC)ccc1C ZINC001196806667 1074380775 /nfs/dbraw/zinc/38/07/75/1074380775.db2.gz AZKJGDGJWSEFKL-CQSZACIVSA-N 0 0 447.535 -0.496 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC001196808608 1074380768 /nfs/dbraw/zinc/38/07/68/1074380768.db2.gz SFRWDCOVGKFWCV-BBRMVZONSA-N 0 0 443.497 -0.219 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC001196808610 1074380827 /nfs/dbraw/zinc/38/08/27/1074380827.db2.gz SFRWDCOVGKFWCV-CJNGLKHVSA-N 0 0 443.497 -0.219 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)N[C@H](C)c1ccc(F)cc1 ZINC001196808612 1074380801 /nfs/dbraw/zinc/38/08/01/1074380801.db2.gz SFRWDCOVGKFWCV-CZUORRHYSA-N 0 0 443.497 -0.219 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1CC(=O)NCC(=O)N[C@@H](C)c1ccc(F)cc1 ZINC001196808614 1074380820 /nfs/dbraw/zinc/38/08/20/1074380820.db2.gz SFRWDCOVGKFWCV-XJKSGUPXSA-N 0 0 443.497 -0.219 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=S)NCc2ccccc2Cl)c(=O)[nH]1 ZINC001196845831 1074380788 /nfs/dbraw/zinc/38/07/88/1074380788.db2.gz CGTCDSZJRRYMPV-NMFUWQPSSA-N 0 0 426.882 -0.114 20 0 IBADRN CO[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@@](C)(CO)C1 ZINC001196859083 1074381243 /nfs/dbraw/zinc/38/12/43/1074381243.db2.gz DNJCNIJPIRZRBE-FXAWDEMLSA-N 0 0 441.550 -0.205 20 0 IBADRN CO[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@](C)(CO)C1 ZINC001196859100 1074381553 /nfs/dbraw/zinc/38/15/53/1074381553.db2.gz DNJCNIJPIRZRBE-PXNSSMCTSA-N 0 0 441.550 -0.205 20 0 IBADRN CO[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@](C)(CO)C1 ZINC001196859103 1074381429 /nfs/dbraw/zinc/38/14/29/1074381429.db2.gz DNJCNIJPIRZRBE-XLIONFOSSA-N 0 0 441.550 -0.205 20 0 IBADRN CO[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@@](C)(CO)C1 ZINC001196859105 1074381200 /nfs/dbraw/zinc/38/12/00/1074381200.db2.gz DNJCNIJPIRZRBE-YLJYHZDGSA-N 0 0 441.550 -0.205 20 0 IBADRN CC(=O)Nc1c[nH]c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001196876916 1074380808 /nfs/dbraw/zinc/38/08/08/1074380808.db2.gz PIHSVTGXALHNAU-BFYDXBDKSA-N 0 0 438.506 -0.293 20 0 IBADRN CC(=O)Nc1c[nH]c(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001196876917 1074381192 /nfs/dbraw/zinc/38/11/92/1074381192.db2.gz PIHSVTGXALHNAU-ZMSDIMECSA-N 0 0 438.506 -0.293 20 0 IBADRN CC(=O)Nc1c[nH]c(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1 ZINC001196878718 1074381268 /nfs/dbraw/zinc/38/12/68/1074381268.db2.gz KSTYWLCHWCNVPY-HOCLYGCPSA-N 0 0 434.497 -0.290 20 0 IBADRN COc1cc(C)c(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c(C)c1 ZINC001196904467 1074381963 /nfs/dbraw/zinc/38/19/63/1074381963.db2.gz MLOOZBQMMXSATB-DNNBLBMLSA-N 0 0 441.462 -0.828 20 0 IBADRN COc1cc(C)c(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)c(C)c1 ZINC001196906579 1074381871 /nfs/dbraw/zinc/38/18/71/1074381871.db2.gz JCUFFJBQSNYPRV-AWEZNQCLSA-N 0 0 426.495 -0.817 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cc(CNC(=O)OC(C)(C)C)ccn2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001196922828 1074381459 /nfs/dbraw/zinc/38/14/59/1074381459.db2.gz FTYFXJVHTJWGKV-MWSSHBMTSA-N 0 0 441.481 -0.320 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)CCCCC(=O)OC)CCC2 ZINC001196929696 1074381474 /nfs/dbraw/zinc/38/14/74/1074381474.db2.gz FCWJIGYKZNRHFW-UHFFFAOYSA-N 0 0 444.510 -0.615 20 0 IBADRN COC(=O)CCCCS(=O)(=O)N1CCc2c(n(C)nc2C(=O)N2CCN(C)CC2)C1 ZINC001196930169 1074381485 /nfs/dbraw/zinc/38/14/85/1074381485.db2.gz LUOMCWVJSLIECB-UHFFFAOYSA-N 0 0 441.554 -0.161 20 0 IBADRN COC(=O)CCCCS(=O)(=O)N1C[C@@H]2c3nc(N(C)C)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001196930528 1074381137 /nfs/dbraw/zinc/38/11/37/1074381137.db2.gz UMQZXZXWQVZDNC-UONOGXRCSA-N 0 0 446.551 -0.088 20 0 IBADRN COC(=O)CCCCS(=O)(=O)N1Cc2ccnn2C[C@@H](C(=O)N2CCOCC2)C1 ZINC001196933748 1074381997 /nfs/dbraw/zinc/38/19/97/1074381997.db2.gz OCMJQYHLORYSQN-HNNXBMFYSA-N 0 0 428.511 -0.153 20 0 IBADRN COC(=O)CCCCS(=O)(=O)N1Cc2ccnn2C[C@H](C(=O)N2CCOCC2)C1 ZINC001196933773 1074381914 /nfs/dbraw/zinc/38/19/14/1074381914.db2.gz OCMJQYHLORYSQN-OAHLLOKOSA-N 0 0 428.511 -0.153 20 0 IBADRN COC(=O)CCCCS(=O)(=O)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001196933829 1074381933 /nfs/dbraw/zinc/38/19/33/1074381933.db2.gz OSHNVHMILRDIHW-GOSISDBHSA-N 0 0 444.510 -0.473 20 0 IBADRN COC(=O)CCCCS(=O)(=O)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001196933830 1074381904 /nfs/dbraw/zinc/38/19/04/1074381904.db2.gz OSHNVHMILRDIHW-SFHVURJKSA-N 0 0 444.510 -0.473 20 0 IBADRN COC(=O)CCCCS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N(C)C)nc2C1 ZINC001196934095 1074381975 /nfs/dbraw/zinc/38/19/75/1074381975.db2.gz UABNTKKXPUXVGQ-UHFFFAOYSA-N 0 0 443.526 -0.107 20 0 IBADRN CC(C)(C)n1ncc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1Cl ZINC001196959115 1074382241 /nfs/dbraw/zinc/38/22/41/1074382241.db2.gz DWLMKUJOVILKFY-SDBHATRESA-N 0 0 426.861 -0.278 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N(C)C3CS(=O)(=O)C3)CC2)cc1 ZINC001196971896 1074381893 /nfs/dbraw/zinc/38/18/93/1074381893.db2.gz DWOBDKJAHABCNY-HNNXBMFYSA-N 0 0 429.564 -0.055 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N(C)C3CS(=O)(=O)C3)CC2)cc1 ZINC001196971898 1074381924 /nfs/dbraw/zinc/38/19/24/1074381924.db2.gz DWOBDKJAHABCNY-OAHLLOKOSA-N 0 0 429.564 -0.055 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N(C)C1CS(=O)(=O)C1 ZINC001196973320 1074381983 /nfs/dbraw/zinc/38/19/83/1074381983.db2.gz SEIONGVWCMKEHN-CYBMUJFWSA-N 0 0 431.536 -0.237 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N(C)C1CS(=O)(=O)C1 ZINC001196973321 1074381956 /nfs/dbraw/zinc/38/19/56/1074381956.db2.gz SEIONGVWCMKEHN-ZDUSSCGKSA-N 0 0 431.536 -0.237 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCN(C[C@@H]3CCCS3(=O)=O)CC2)cc1 ZINC001196973399 1074381855 /nfs/dbraw/zinc/38/18/55/1074381855.db2.gz UXPJNCREMWUZRM-INIZCTEOSA-N 0 0 430.552 -0.533 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCN(C[C@H]3CCCS3(=O)=O)CC2)cc1 ZINC001196973400 1074381989 /nfs/dbraw/zinc/38/19/89/1074381989.db2.gz UXPJNCREMWUZRM-MRXNPFEDSA-N 0 0 430.552 -0.533 20 0 IBADRN COC(=O)CCCCS(=O)(=O)NCCCC[C@H](NC(=O)CNC(C)=O)C(=O)OC ZINC001196986239 1074382354 /nfs/dbraw/zinc/38/23/54/1074382354.db2.gz ALCOXSYJQHSHSH-AWEZNQCLSA-N 0 0 437.515 -0.787 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2csc(COC(=O)C(C)(C)C)n2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001197013439 1074382276 /nfs/dbraw/zinc/38/22/76/1074382276.db2.gz AZIYYIKKBFXGHL-BSVDJGSKSA-N 0 0 432.495 -0.194 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)ccn1 ZINC001197047554 1074382497 /nfs/dbraw/zinc/38/24/97/1074382497.db2.gz WIUYHIBRXIMWOM-HOCLYGCPSA-N 0 0 447.492 -0.395 20 0 IBADRN COC(=O)c1cccc(C)c1S(=O)(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001197058814 1074382306 /nfs/dbraw/zinc/38/23/06/1074382306.db2.gz YJFPARMSIWKFPW-UHFFFAOYSA-N 0 0 438.462 -0.553 20 0 IBADRN COC(=O)c1cccc(C)c1S(=O)(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001197066476 1074382506 /nfs/dbraw/zinc/38/25/06/1074382506.db2.gz JGLNTWQVSIIGSL-INIZCTEOSA-N 0 0 449.485 -0.022 20 0 IBADRN COC(=O)c1cccc(C)c1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001197067187 1074382292 /nfs/dbraw/zinc/38/22/92/1074382292.db2.gz VYPZFLWXPBGSEC-INIZCTEOSA-N 0 0 449.485 -0.022 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cnn(-c3cccc(F)c3)c2)c(=O)n1 ZINC001197073327 1074382488 /nfs/dbraw/zinc/38/24/88/1074382488.db2.gz QBXMNTAFMMSCBT-GFOCRRMGSA-N 0 0 430.396 -0.558 20 0 IBADRN NC(=O)C1(NCC(=O)NC2CCN(C(=O)C3CCCCC3)CC2)CCS(=O)(=O)CC1 ZINC001197124043 1074382532 /nfs/dbraw/zinc/38/25/32/1074382532.db2.gz ATRZPCITYDELBI-UHFFFAOYSA-N 0 0 442.582 -0.304 20 0 IBADRN NC(=O)C1(NCC(=O)NCc2ccccc2CN2CCCC2=O)CCS(=O)(=O)CC1 ZINC001197125264 1074382425 /nfs/dbraw/zinc/38/24/25/1074382425.db2.gz NLENAQFISDYTNG-UHFFFAOYSA-N 0 0 436.534 -0.553 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnn(C2CCOCC2)c1 ZINC001197126534 1074382893 /nfs/dbraw/zinc/38/28/93/1074382893.db2.gz SNWKGBFSOMDJSZ-IRXDYDNUSA-N 0 0 429.481 -0.225 20 0 IBADRN COC(=O)/C=C/c1cccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC001197134428 1074382337 /nfs/dbraw/zinc/38/23/37/1074382337.db2.gz YRQNOHQBRMERAV-VOTSOKGWSA-N 0 0 431.536 -0.272 20 0 IBADRN COc1ccnc(F)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001197138550 1074382254 /nfs/dbraw/zinc/38/22/54/1074382254.db2.gz XFVJNCBMEVAITP-GZBFAFLISA-N 0 0 441.481 -0.037 20 0 IBADRN COc1ccnc(F)c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001197138565 1074382326 /nfs/dbraw/zinc/38/23/26/1074382326.db2.gz XFVJNCBMEVAITP-UMVBOHGHSA-N 0 0 441.481 -0.037 20 0 IBADRN COc1ccnc(F)c1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001197143007 1074382397 /nfs/dbraw/zinc/38/23/97/1074382397.db2.gz NUUTVJNTCUZBPN-STQMWFEESA-N 0 0 437.472 -0.034 20 0 IBADRN COC(=O)CNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H]2OC(C)(C)O[C@H]12 ZINC001197152704 1074382691 /nfs/dbraw/zinc/38/26/91/1074382691.db2.gz AJBDOTYNCXRMTA-CNUFELBWSA-N 0 0 438.466 -0.305 20 0 IBADRN COC(=O)CNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H]2OC(C)(C)O[C@@H]12 ZINC001197152705 1074382699 /nfs/dbraw/zinc/38/26/99/1074382699.db2.gz AJBDOTYNCXRMTA-ZBTLEPIDSA-N 0 0 438.466 -0.305 20 0 IBADRN COC(=O)CNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CSC)[C@@H](O)[C@H]1O ZINC001197153055 1074382811 /nfs/dbraw/zinc/38/28/11/1074382811.db2.gz FRWVQNXCCRRDFP-FRJWGUMJSA-N 0 0 428.496 -0.732 20 0 IBADRN COC(=O)CNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@H]2OC(C)(C)O[C@H]21 ZINC001197153739 1074382865 /nfs/dbraw/zinc/38/28/65/1074382865.db2.gz AJBDOTYNCXRMTA-PMXXHBEXSA-N 0 0 438.466 -0.305 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1C[C@H](CO)[C@H](CO)C1 ZINC001197157578 1074382672 /nfs/dbraw/zinc/38/26/72/1074382672.db2.gz WQYAFTMHYGOWPX-HRCADAONSA-N 0 0 427.523 -0.433 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1C[C@H](CO)[C@H](CO)C1 ZINC001197157579 1074382789 /nfs/dbraw/zinc/38/27/89/1074382789.db2.gz WQYAFTMHYGOWPX-OAGGEKHMSA-N 0 0 427.523 -0.433 20 0 IBADRN COC(=O)CNC(=S)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1F ZINC001197159546 1074382780 /nfs/dbraw/zinc/38/27/80/1074382780.db2.gz PGROWERRKRDXQW-OTLPJYRMSA-N 0 0 434.837 -0.472 20 0 IBADRN COC(=O)CNC(=S)N1CC[C@]2(C1)CN(c1cccnc1)C(=O)CN2C(=O)N(C)C ZINC001197162899 1074382885 /nfs/dbraw/zinc/38/28/85/1074382885.db2.gz BIMYJLLKEKYXKZ-IBGZPJMESA-N 0 0 434.522 -0.096 20 0 IBADRN COC(=O)CNC(=S)N1CC[C@@]2(C1)CN(c1cccnc1)C(=O)CN2C(=O)N(C)C ZINC001197162900 1074382768 /nfs/dbraw/zinc/38/27/68/1074382768.db2.gz BIMYJLLKEKYXKZ-LJQANCHMSA-N 0 0 434.522 -0.096 20 0 IBADRN COC(=O)CNC(=S)N1CCC2(CN(C(=O)N(C)C)C[C@]23CCN(C)C3=O)CC1 ZINC001197162977 1074382853 /nfs/dbraw/zinc/38/28/53/1074382853.db2.gz CFJMPPMFRSZBHZ-IBGZPJMESA-N 0 0 425.555 -0.038 20 0 IBADRN COC(=O)CNC(=S)N1CCC2(CN(C(=O)N(C)C)C[C@@]23CCN(C)C3=O)CC1 ZINC001197162981 1074382878 /nfs/dbraw/zinc/38/28/78/1074382878.db2.gz CFJMPPMFRSZBHZ-LJQANCHMSA-N 0 0 425.555 -0.038 20 0 IBADRN COC(=O)CNC(=S)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001197164119 1074382842 /nfs/dbraw/zinc/38/28/42/1074382842.db2.gz UGDTWTWDNGRQMM-QWHCGFSZSA-N 0 0 441.535 -0.943 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001197164717 1074382738 /nfs/dbraw/zinc/38/27/38/1074382738.db2.gz COGQORWZGSSWPT-CYBMUJFWSA-N 0 0 429.499 -0.154 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC001197164718 1074383566 /nfs/dbraw/zinc/38/35/66/1074383566.db2.gz COGQORWZGSSWPT-ZDUSSCGKSA-N 0 0 429.499 -0.154 20 0 IBADRN COC(=O)CNC(=S)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001197169221 1074383441 /nfs/dbraw/zinc/38/34/41/1074383441.db2.gz IQVUWQAKADVMGV-KEYYUXOJSA-N 0 0 426.520 -0.514 20 0 IBADRN COC(=O)CNC(=S)N1C[C@@H]2c3nc(N4CCCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001197169259 1074383365 /nfs/dbraw/zinc/38/33/65/1074383365.db2.gz KEUHCWWVJPBWKV-QWHCGFSZSA-N 0 0 425.536 -0.180 20 0 IBADRN COC(=O)CNC(=S)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001197170597 1074383311 /nfs/dbraw/zinc/38/33/11/1074383311.db2.gz ZVYOPEOJUWMLIG-KRWDZBQOSA-N 0 0 432.568 -0.760 20 0 IBADRN COC(=O)CNC(=S)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001197170598 1074383536 /nfs/dbraw/zinc/38/35/36/1074383536.db2.gz ZVYOPEOJUWMLIG-QGZVFWFLSA-N 0 0 432.568 -0.760 20 0 IBADRN COC(=O)CNC(=S)N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001197171582 1074383280 /nfs/dbraw/zinc/38/32/80/1074383280.db2.gz HUMFQPMFVAIRON-UHFFFAOYSA-N 0 0 433.494 -0.840 20 0 IBADRN COC(=O)CNC(=S)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001197181791 1074382936 /nfs/dbraw/zinc/38/29/36/1074382936.db2.gz AHHCKAOKQLEBKD-UHFFFAOYSA-N 0 0 442.523 -0.357 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ncn(Cc2ccccc2)n1 ZINC001197192977 1074383322 /nfs/dbraw/zinc/38/33/22/1074383322.db2.gz JDCLSEQRZYNGQO-IRXDYDNUSA-N 0 0 436.476 -0.133 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ncn(Cc4ccccc4)n3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001197194063 1074383413 /nfs/dbraw/zinc/38/34/13/1074383413.db2.gz VMOLADDUBIAVEA-ARFHVFGLSA-N 0 0 426.433 -0.024 20 0 IBADRN O=C(c1ncc(F)cn1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001197201313 1074383548 /nfs/dbraw/zinc/38/35/48/1074383548.db2.gz VZUCIULJQQBZFC-UONOGXRCSA-N 0 0 434.453 -0.221 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H]3OCCNC(=O)[C@H]32)C1=O ZINC001197203851 1074383387 /nfs/dbraw/zinc/38/33/87/1074383387.db2.gz VGFHQPACUWUEOQ-HZUJVAHNSA-N 0 0 429.477 -0.146 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H]3OCCNC(=O)[C@H]32)C1=O ZINC001197203853 1074383559 /nfs/dbraw/zinc/38/35/59/1074383559.db2.gz VGFHQPACUWUEOQ-LDBYXDLTSA-N 0 0 429.477 -0.146 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@@H]3OCCNC(=O)[C@@H]32)C1=O ZINC001197203856 1074383574 /nfs/dbraw/zinc/38/35/74/1074383574.db2.gz VGFHQPACUWUEOQ-LUQKVYGDSA-N 0 0 429.477 -0.146 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC[C@H]3OCCNC(=O)[C@@H]32)C1=O ZINC001197203858 1074383583 /nfs/dbraw/zinc/38/35/83/1074383583.db2.gz VGFHQPACUWUEOQ-QLVMHMETSA-N 0 0 429.477 -0.146 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ncc(F)cn2)CC3)C1=O ZINC001197204069 1074383427 /nfs/dbraw/zinc/38/34/27/1074383427.db2.gz NLNCGGCSJCUKOK-GOSISDBHSA-N 0 0 425.486 -0.038 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ncc(F)cn2)CC3)C1=O ZINC001197204070 1074383404 /nfs/dbraw/zinc/38/34/04/1074383404.db2.gz NLNCGGCSJCUKOK-SFHVURJKSA-N 0 0 425.486 -0.038 20 0 IBADRN COC(=O)CNC(=S)N[C@H]1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)C1 ZINC001197214526 1074383452 /nfs/dbraw/zinc/38/34/52/1074383452.db2.gz WUYJWQAXYMUDHK-JTQLQIEISA-N 0 0 442.523 -0.311 20 0 IBADRN COCCNC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2ccc(O)c(C(=O)OC)c2)O1 ZINC001197216012 1074383492 /nfs/dbraw/zinc/38/34/92/1074383492.db2.gz LZKMOQDUVPONQR-BMFZPTHFSA-N 0 0 428.463 -0.138 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@@H](OCC(=O)N(C)C)[C@H]3COC[C@H]32)ccc1O ZINC001197217318 1074383992 /nfs/dbraw/zinc/38/39/92/1074383992.db2.gz XSRICWPQTANWAC-SQWLQELKSA-N 0 0 428.463 -0.329 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4ccc(O)c(C(=O)OC)c4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001197217319 1074383890 /nfs/dbraw/zinc/38/38/90/1074383890.db2.gz XTJBIZQICOMNSH-XQAQDONZSA-N 0 0 446.503 -0.255 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCn3c(CN4CCOCC4)nnc3C2)ccc1O ZINC001197217476 1074383843 /nfs/dbraw/zinc/38/38/43/1074383843.db2.gz ZWRFPMDQVLJOQO-UHFFFAOYSA-N 0 0 437.478 -0.193 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ncc(F)cn1)C(N)=O ZINC001197222328 1074383898 /nfs/dbraw/zinc/38/38/98/1074383898.db2.gz MUDDZPHKPYLEGS-STQMWFEESA-N 0 0 442.517 -0.400 20 0 IBADRN COCCOCCOCCOCCOCCOCCNC(=S)NCC(=O)OC ZINC001197223476 1074383954 /nfs/dbraw/zinc/38/39/54/1074383954.db2.gz DZPHTXXKLCWVOS-UHFFFAOYSA-N 0 0 426.532 -0.647 20 0 IBADRN COC(=O)CNC(=S)NCC(=O)NCCOCCOCCOCc1ccccc1 ZINC001197223816 1074383876 /nfs/dbraw/zinc/38/38/76/1074383876.db2.gz IKJCWTFBTDIDNG-UHFFFAOYSA-N 0 0 427.523 -0.010 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCO[C@]3(C2)COCCN(C(C)=O)C3)ccc1O ZINC001197225168 1074383851 /nfs/dbraw/zinc/38/38/51/1074383851.db2.gz DTTFBTFXCGZFOV-GOSISDBHSA-N 0 0 428.463 -0.183 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCO[C@@]3(C2)COCCN(C(C)=O)C3)ccc1O ZINC001197225170 1074383832 /nfs/dbraw/zinc/38/38/32/1074383832.db2.gz DTTFBTFXCGZFOV-SFHVURJKSA-N 0 0 428.463 -0.183 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3O[C@@H](C(=O)N4CCOCC4)C[C@H]32)ccc1O ZINC001197225851 1074383936 /nfs/dbraw/zinc/38/39/36/1074383936.db2.gz MVIGQSLOLZKHCB-DJIMGWMZSA-N 0 0 440.474 -0.042 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3COC[C@]3(COCC(=O)N(C)C)C2)ccc1O ZINC001197226009 1074383945 /nfs/dbraw/zinc/38/39/45/1074383945.db2.gz OMAIFWIDLGQVQT-YJYMSZOUSA-N 0 0 442.490 -0.079 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@H]3C[C@H](C(=O)N4CCOCC4)[C@@H](C2)O3)ccc1O ZINC001197226208 1074383864 /nfs/dbraw/zinc/38/38/64/1074383864.db2.gz LJGDEOWURUFKRO-ISTRZQFTSA-N 0 0 440.474 -0.184 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@H]3C[C@@H](C(=O)N4CCOCC4)[C@@H](C2)O3)ccc1O ZINC001197226209 1074383857 /nfs/dbraw/zinc/38/38/57/1074383857.db2.gz LJGDEOWURUFKRO-SRCQZFHVSA-N 0 0 440.474 -0.184 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)ccc1O ZINC001197226400 1074384001 /nfs/dbraw/zinc/38/40/01/1074384001.db2.gz RSXLEPQVESERTR-CHWSQXEVSA-N 0 0 447.535 -0.072 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3[C@@H]2CC(=O)N3CC(=O)N(C)C)ccc1O ZINC001197226764 1074383917 /nfs/dbraw/zinc/38/39/17/1074383917.db2.gz XHYZQNZXVFCPIQ-KBPBESRZSA-N 0 0 425.463 -0.369 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@@H](NC(=O)c3cnccn3)[C@H]3COC[C@H]32)ccc1O ZINC001197226828 1074383907 /nfs/dbraw/zinc/38/39/07/1074383907.db2.gz YMTPWGJMTOPPAL-FVQBIDKESA-N 0 0 448.457 -0.213 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCOC[C@@]3(CN(C(C)=O)CCO3)C2)ccc1O ZINC001197226829 1074383929 /nfs/dbraw/zinc/38/39/29/1074383929.db2.gz WVQSQSMFRDPGBY-GOSISDBHSA-N 0 0 428.463 -0.183 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCOC[C@]3(CN(C(C)=O)CCO3)C2)ccc1O ZINC001197226830 1074383986 /nfs/dbraw/zinc/38/39/86/1074383986.db2.gz WVQSQSMFRDPGBY-SFHVURJKSA-N 0 0 428.463 -0.183 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC3(C2)[C@@H](C(=O)OC)CCS3(=O)=O)ccc1O ZINC001197233012 1074384511 /nfs/dbraw/zinc/38/45/11/1074384511.db2.gz KOGNICIOXSFCCV-GFCCVEGCSA-N 0 0 433.460 -0.470 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC3(C2)[C@H](C(=O)OC)CCS3(=O)=O)ccc1O ZINC001197233016 1074384224 /nfs/dbraw/zinc/38/42/24/1074384224.db2.gz KOGNICIOXSFCCV-LBPRGKRZSA-N 0 0 433.460 -0.470 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C(=O)N(C)C)C3(CN(C(C)=O)C3)C2)ccc1O ZINC001197235444 1074383884 /nfs/dbraw/zinc/38/38/84/1074383884.db2.gz NNKNXSICMOHIGM-HNNXBMFYSA-N 0 0 439.490 -0.264 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@H](C(=O)N(C)C)C3(CN(C(C)=O)C3)C2)ccc1O ZINC001197235446 1074383965 /nfs/dbraw/zinc/38/39/65/1074383965.db2.gz NNKNXSICMOHIGM-OAHLLOKOSA-N 0 0 439.490 -0.264 20 0 IBADRN COC(=O)[C@@]1(O)CCN([C@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001197263961 1074384263 /nfs/dbraw/zinc/38/42/63/1074384263.db2.gz HXKKKRXEXQYMMI-AUUYWEPGSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@@]1(O)CCN([C@@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001197263962 1074384297 /nfs/dbraw/zinc/38/42/97/1074384297.db2.gz HXKKKRXEXQYMMI-IFXJQAMLSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@]1(O)CCN([C@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001197263963 1074384325 /nfs/dbraw/zinc/38/43/25/1074384325.db2.gz HXKKKRXEXQYMMI-KUHUBIRLSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@]1(O)CCN([C@@H](C)C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001197263964 1074384531 /nfs/dbraw/zinc/38/45/31/1074384531.db2.gz HXKKKRXEXQYMMI-LIRRHRJNSA-N 0 0 441.506 -0.356 20 0 IBADRN COC(=O)[C@]1(O)CCN([C@@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC001197266220 1074384436 /nfs/dbraw/zinc/38/44/36/1074384436.db2.gz WACKQXFOPNCQAU-JXFKEZNVSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)[C@@]1(O)CCN([C@@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC001197266236 1074384399 /nfs/dbraw/zinc/38/43/99/1074384399.db2.gz WACKQXFOPNCQAU-OXJNMPFZSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)[C@@]1(O)CCN([C@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC001197266238 1074384367 /nfs/dbraw/zinc/38/43/67/1074384367.db2.gz WACKQXFOPNCQAU-OXQOHEQNSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)[C@]1(O)CCN([C@H](C)C(=O)N2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC001197266242 1074384185 /nfs/dbraw/zinc/38/41/85/1074384185.db2.gz WACKQXFOPNCQAU-UZLBHIALSA-N 0 0 439.534 -0.174 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)ccc1O ZINC001197271917 1074384588 /nfs/dbraw/zinc/38/45/88/1074384588.db2.gz WNCJKTCYZNJGKK-HNNXBMFYSA-N 0 0 435.458 -0.330 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCC(N)=O)ccc1O ZINC001197272033 1074384519 /nfs/dbraw/zinc/38/45/19/1074384519.db2.gz YQHOYFBQAGPYQZ-HNNXBMFYSA-N 0 0 435.458 -0.330 20 0 IBADRN O=C(CN1CC[C@H](c2nn[nH]n2)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001197297766 1074384466 /nfs/dbraw/zinc/38/44/66/1074384466.db2.gz QSYRBPQOGPDYQN-HNNXBMFYSA-N 0 0 435.510 -0.674 20 0 IBADRN O=C(CN1CC[C@@H](c2nn[nH]n2)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001197297771 1074384551 /nfs/dbraw/zinc/38/45/51/1074384551.db2.gz QSYRBPQOGPDYQN-OAHLLOKOSA-N 0 0 435.510 -0.674 20 0 IBADRN Cc1nn(Cc2ccccc2Cl)c(C)c1C(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001197312655 1074384161 /nfs/dbraw/zinc/38/41/61/1074384161.db2.gz MPZIRSWZNHLGIX-OAIGWWADSA-N 0 0 425.869 -0.269 20 0 IBADRN Cc1nn(Cc2ccccc2Cl)c(C)c1C(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001197312656 1074384429 /nfs/dbraw/zinc/38/44/29/1074384429.db2.gz MPZIRSWZNHLGIX-PMTZBRRQSA-N 0 0 425.869 -0.269 20 0 IBADRN Cc1cc(Br)oc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001197334511 1074384237 /nfs/dbraw/zinc/38/42/37/1074384237.db2.gz QJNLAMWIDYTWAF-FRJWGUMJSA-N 0 0 429.227 -0.219 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)n(-c2ccccc2F)n1 ZINC001197381645 1074384172 /nfs/dbraw/zinc/38/41/72/1074384172.db2.gz IEWPKYKGUPKNDQ-KLICCBINSA-N 0 0 444.423 -0.249 20 0 IBADRN NC(=O)CN(CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)C1CCOCC1 ZINC001197383873 1074385052 /nfs/dbraw/zinc/38/50/52/1074385052.db2.gz AQTGFJKQEZQGGJ-UHFFFAOYSA-N 0 0 438.550 -0.373 20 0 IBADRN COc1cccc(CS(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001197417183 1074385082 /nfs/dbraw/zinc/38/50/82/1074385082.db2.gz QSHZZFLLPZEIOQ-ROUUACIJSA-N 0 0 435.502 -0.312 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1cccc(OC)c1 ZINC001197417980 1074385028 /nfs/dbraw/zinc/38/50/28/1074385028.db2.gz UPDUOARCPLRKRB-AVGNSLFASA-N 0 0 429.495 -0.315 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccc(CNC(=O)OC(C)(C)C)nc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001197424118 1074384941 /nfs/dbraw/zinc/38/49/41/1074384941.db2.gz FFABSJZPHWGSSL-MWSSHBMTSA-N 0 0 441.481 -0.320 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)Cc1cccnc1)C2 ZINC001197438776 1074385043 /nfs/dbraw/zinc/38/50/43/1074385043.db2.gz ZAUZJZQVFSNGBT-IBGZPJMESA-N 0 0 432.506 -0.015 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)Cc1cccnc1)C2 ZINC001197438778 1074385144 /nfs/dbraw/zinc/38/51/44/1074385144.db2.gz ZAUZJZQVFSNGBT-LJQANCHMSA-N 0 0 432.506 -0.015 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)Cc3cccnc3)C[C@@H]21)N1CCOCC1 ZINC001197438811 1074385134 /nfs/dbraw/zinc/38/51/34/1074385134.db2.gz ZOQVZMLLARVOLY-BRWVUGGUSA-N 0 0 443.547 -0.495 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)Cc3cccnc3)C[C@@H]21)N1CCOCC1 ZINC001197438814 1074384961 /nfs/dbraw/zinc/38/49/61/1074384961.db2.gz ZOQVZMLLARVOLY-GVDBMIGSSA-N 0 0 443.547 -0.495 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(S(=O)(=O)Cc3cccnc3)CC[C@H]2S1(=O)=O ZINC001197442730 1074385151 /nfs/dbraw/zinc/38/51/51/1074385151.db2.gz UNONYFIDPVFFPP-HZPDHXFCSA-N 0 0 430.552 -0.274 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)Cc1cccnc1)C(=O)NCC(N)=O ZINC001197444536 1074384996 /nfs/dbraw/zinc/38/49/96/1074384996.db2.gz WORMLHZBTBEJEI-HOTGVXAUSA-N 0 0 439.538 -0.492 20 0 IBADRN COCOc1ccc(F)c(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1F ZINC001197456034 1074385550 /nfs/dbraw/zinc/38/55/50/1074385550.db2.gz ZJVYTJOBSDQVEX-GWBBYGMBSA-N 0 0 442.375 -0.622 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC(O)(c3ncnn3C)CC2)C1=O ZINC001197457299 1074385539 /nfs/dbraw/zinc/38/55/39/1074385539.db2.gz BMQKKTFCQRPWQB-NRFANRHFSA-N 0 0 441.492 -0.013 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC(O)(c3ncnn3C)CC2)C1=O ZINC001197457300 1074385561 /nfs/dbraw/zinc/38/55/61/1074385561.db2.gz BMQKKTFCQRPWQB-OAQYLSRUSA-N 0 0 441.492 -0.013 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC(O)(c2ncnn2C)CC1 ZINC001197458206 1074385369 /nfs/dbraw/zinc/38/53/69/1074385369.db2.gz HVDXSGFVPNJGIL-CYBMUJFWSA-N 0 0 434.522 -0.276 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCC(O)(c2ncnn2C)CC1 ZINC001197458208 1074385484 /nfs/dbraw/zinc/38/54/84/1074385484.db2.gz HVDXSGFVPNJGIL-ZDUSSCGKSA-N 0 0 434.522 -0.276 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cnn(Cc3ccc(F)cc3)c2)c(=O)n1 ZINC001197460569 1074385126 /nfs/dbraw/zinc/38/51/26/1074385126.db2.gz SVKVFBZHBABBFQ-KLICCBINSA-N 0 0 444.423 -0.499 20 0 IBADRN O=S(=O)(Cc1cccnc1)Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001197463979 1074384986 /nfs/dbraw/zinc/38/49/86/1074384986.db2.gz DPIMPYIBPFIPBA-DISONHOPSA-N 0 0 426.447 -0.798 20 0 IBADRN O=S(=O)(Cc1cccnc1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001197464434 1074385109 /nfs/dbraw/zinc/38/51/09/1074385109.db2.gz BNYNFSWSYGUMKR-FPSMNIFISA-N 0 0 442.515 -0.085 20 0 IBADRN O=S(=O)(Cc1cccnc1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001197464435 1074385101 /nfs/dbraw/zinc/38/51/01/1074385101.db2.gz BNYNFSWSYGUMKR-ICUGJSFKSA-N 0 0 442.515 -0.085 20 0 IBADRN O=S(=O)(Cc1cccnc1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001197464436 1074385018 /nfs/dbraw/zinc/38/50/18/1074385018.db2.gz BNYNFSWSYGUMKR-ILOCAZANSA-N 0 0 442.515 -0.085 20 0 IBADRN O=S(=O)(Cc1cccnc1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001197464437 1074384972 /nfs/dbraw/zinc/38/49/72/1074384972.db2.gz BNYNFSWSYGUMKR-WKULXVSPSA-N 0 0 442.515 -0.085 20 0 IBADRN O=S(=O)(Cc1cccnc1)Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001197464501 1074385118 /nfs/dbraw/zinc/38/51/18/1074385118.db2.gz DPIMPYIBPFIPBA-ZBRFXRBCSA-N 0 0 426.447 -0.798 20 0 IBADRN COCCn1cc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1 ZINC001197470340 1074385008 /nfs/dbraw/zinc/38/50/08/1074385008.db2.gz MXQHALGNTUORKE-HOTGVXAUSA-N 0 0 436.513 -0.734 20 0 IBADRN COCCn1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001197471329 1074384896 /nfs/dbraw/zinc/38/48/96/1074384896.db2.gz KVMPITBWXLNKJR-BRWVUGGUSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1cc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001197471330 1074385419 /nfs/dbraw/zinc/38/54/19/1074385419.db2.gz KVMPITBWXLNKJR-GVDBMIGSSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1cc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)cn1 ZINC001197474403 1074385429 /nfs/dbraw/zinc/38/54/29/1074385429.db2.gz NQPWMOARTFRDJA-UHFFFAOYSA-N 0 0 437.887 -0.270 20 0 IBADRN CN(CCS(N)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001197480313 1074385395 /nfs/dbraw/zinc/38/53/95/1074385395.db2.gz AKESRLZCMRGAES-UHFFFAOYSA-N 0 0 444.579 -0.772 20 0 IBADRN COCCn1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)cn1 ZINC001197480745 1074385442 /nfs/dbraw/zinc/38/54/42/1074385442.db2.gz TYXSFINSIUMRPE-BCDMZGRMSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)cn1 ZINC001197480748 1074385466 /nfs/dbraw/zinc/38/54/66/1074385466.db2.gz TYXSFINSIUMRPE-MUDKKEKZSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001197480749 1074385533 /nfs/dbraw/zinc/38/55/33/1074385533.db2.gz TYXSFINSIUMRPE-QSUVVDIXSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1cc(C(=O)Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001197480750 1074385498 /nfs/dbraw/zinc/38/54/98/1074385498.db2.gz TYXSFINSIUMRPE-RDHIYWLCSA-N 0 0 439.490 -0.326 20 0 IBADRN CN(CCS(N)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001197480867 1074385506 /nfs/dbraw/zinc/38/55/06/1074385506.db2.gz FTWJIOUUVIQKDP-UHFFFAOYSA-N 0 0 438.959 -0.607 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCS(N)(=O)=O)CC2)cc1C ZINC001197483308 1074385385 /nfs/dbraw/zinc/38/53/85/1074385385.db2.gz QAPUVXKXBIKFPJ-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN CN(CCS(N)(=O)=O)CC(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC001197483608 1074385514 /nfs/dbraw/zinc/38/55/14/1074385514.db2.gz RSBMLNFQAFGPCF-UHFFFAOYSA-N 0 0 444.579 -0.029 20 0 IBADRN CN(CCS(N)(=O)=O)CCS(=O)(=O)N1CCN(C(=O)OCc2ccccc2)CC1 ZINC001197483966 1074385409 /nfs/dbraw/zinc/38/54/09/1074385409.db2.gz UISJTZPYQVUFNX-UHFFFAOYSA-N 0 0 448.567 -0.509 20 0 IBADRN O=S(=O)(Cc1cccnc1)NCCOCCOCCOCCOCCOCCO ZINC001197486866 1074385475 /nfs/dbraw/zinc/38/54/75/1074385475.db2.gz TUOQUZKNSZCZND-UHFFFAOYSA-N 0 0 436.527 -0.424 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)Cc1cccnc1)C(N)=O ZINC001197495212 1074385830 /nfs/dbraw/zinc/38/58/30/1074385830.db2.gz LOPKWPSGJLGDJV-DQYPLSBCSA-N 0 0 436.490 -0.831 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnn(Cc2cccnc2)c1 ZINC001197504097 1074386030 /nfs/dbraw/zinc/38/60/30/1074386030.db2.gz IKHKULGCWAVNGX-ROUUACIJSA-N 0 0 436.476 -0.133 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnn(Cc4cccnc4)c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001197504365 1074385969 /nfs/dbraw/zinc/38/59/69/1074385969.db2.gz LMJKLQNCMIWFKX-GVDBMIGSSA-N 0 0 426.433 -0.024 20 0 IBADRN CNC(=O)CN(CC(=O)NC)CC(=O)Nc1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC001197514948 1074385454 /nfs/dbraw/zinc/38/54/54/1074385454.db2.gz UMEPJLGAHBNNHC-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1-c1ccccn1 ZINC001197523711 1074385935 /nfs/dbraw/zinc/38/59/35/1074385935.db2.gz MGMGGVOMZVWIRB-HALQFCHDSA-N 0 0 427.421 -0.994 20 0 IBADRN CCOC(=O)CCc1cccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1 ZINC001197549028 1074386064 /nfs/dbraw/zinc/38/60/64/1074386064.db2.gz UIMUUJNYHUNJPF-VXXKDZQQSA-N 0 0 446.460 -0.387 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001197553132 1074386002 /nfs/dbraw/zinc/38/60/02/1074386002.db2.gz OJVUIGYBZXKJON-IPYPFGDCSA-N 0 0 427.548 -0.022 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001197553133 1074385841 /nfs/dbraw/zinc/38/58/41/1074385841.db2.gz OJVUIGYBZXKJON-NFAWXSAZSA-N 0 0 427.548 -0.022 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001197553135 1074386130 /nfs/dbraw/zinc/38/61/30/1074386130.db2.gz OJVUIGYBZXKJON-UMVBOHGHSA-N 0 0 427.548 -0.022 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001197553136 1074386139 /nfs/dbraw/zinc/38/61/39/1074386139.db2.gz OJVUIGYBZXKJON-VNHYZAJKSA-N 0 0 427.548 -0.022 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnn(CC(F)(F)F)c1 ZINC001197568830 1074385888 /nfs/dbraw/zinc/38/58/88/1074385888.db2.gz HBOPOXCYXKMAIH-STQMWFEESA-N 0 0 427.387 -0.014 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=S)NCc2ccc(Cl)cc2)c(=O)[nH]1 ZINC001197596243 1074386699 /nfs/dbraw/zinc/38/66/99/1074386699.db2.gz GEMNVEPITIHXTC-NMFUWQPSSA-N 0 0 426.882 -0.114 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)c1ccc(C[N@H+]2CC[C@@H](O)C2)cc1 ZINC001197621741 1074386412 /nfs/dbraw/zinc/38/64/12/1074386412.db2.gz YTECZZRHUPFSNY-MSOLQXFVSA-N 0 0 429.477 -0.092 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1c(F)cccc1F ZINC001197634454 1074386740 /nfs/dbraw/zinc/38/67/40/1074386740.db2.gz NAPPZSVCFAEHPY-DCAQKATOSA-N 0 0 435.449 -0.045 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)Cc1c(F)cccc1F ZINC001197636273 1074386639 /nfs/dbraw/zinc/38/66/39/1074386639.db2.gz FAEWSMWXIVKEEE-IRXDYDNUSA-N 0 0 441.456 -0.042 20 0 IBADRN COCCOc1ncccc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001197640619 1074386542 /nfs/dbraw/zinc/38/65/42/1074386542.db2.gz CBYHMUGKTKESGP-HOTGVXAUSA-N 0 0 430.465 -0.353 20 0 IBADRN COCCOc1cccnc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001197698023 1074386785 /nfs/dbraw/zinc/38/67/85/1074386785.db2.gz ZQKYNDHSSLLAIK-GJZGRUSLSA-N 0 0 430.465 -0.353 20 0 IBADRN COCCn1cc(C(=O)N2CCn3c(CNC(=O)c4ccno4)cnc3C2)cnc1=O ZINC001197701749 1074386684 /nfs/dbraw/zinc/38/66/84/1074386684.db2.gz AKEBNEFBZMWLBV-UHFFFAOYSA-N 0 0 427.421 -0.340 20 0 IBADRN COCCn1cc(C(=O)N2CC[C@]3(C[C@@H](OCC(=O)N4CCCC4)CO3)C2)cnc1=O ZINC001197701843 1074386624 /nfs/dbraw/zinc/38/66/24/1074386624.db2.gz BTESIIVGNRSVAS-UTKZUKDTSA-N 0 0 434.493 -0.098 20 0 IBADRN COCCn1cc(C(=O)N2CCc3ccc(=O)n(CC(=O)N(C)C)c3CC2)cnc1=O ZINC001197701945 1074386729 /nfs/dbraw/zinc/38/67/29/1074386729.db2.gz DCENBAHXCFWJFU-UHFFFAOYSA-N 0 0 429.477 -0.619 20 0 IBADRN COCCn1cc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)cnc1=O ZINC001197702269 1074386805 /nfs/dbraw/zinc/38/68/05/1074386805.db2.gz GRDRLPQTXLNFMZ-UHFFFAOYSA-N 0 0 443.508 -0.791 20 0 IBADRN COCCn1cc(C(=O)N2CCO[C@H]3[C@H]2CC[C@H]3CNC(=O)c2ccnnc2)cnc1=O ZINC001197702371 1074386617 /nfs/dbraw/zinc/38/66/17/1074386617.db2.gz HNWLLVACFLMGMN-BMGDILEWSA-N 0 0 442.476 -0.271 20 0 IBADRN COCCn1cc(C(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@@H]32)cnc1=O ZINC001197702374 1074386665 /nfs/dbraw/zinc/38/66/65/1074386665.db2.gz HNWLLVACFLMGMN-FHLIZLRMSA-N 0 0 442.476 -0.271 20 0 IBADRN COCCn1cc(C(=O)N2CCO[C@@H]3[C@H](CNC(=O)c4ccnnc4)CC[C@@H]32)cnc1=O ZINC001197702375 1074386782 /nfs/dbraw/zinc/38/67/82/1074386782.db2.gz HNWLLVACFLMGMN-JCGIZDLHSA-N 0 0 442.476 -0.271 20 0 IBADRN COCCn1cc(C(=O)N2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@H]32)cnc1=O ZINC001197702377 1074386487 /nfs/dbraw/zinc/38/64/87/1074386487.db2.gz HNWLLVACFLMGMN-ZTFGCOKTSA-N 0 0 442.476 -0.271 20 0 IBADRN COCCn1cc(C(=O)N2Cc3c(-c4cnn(C)c4)nnn3C[C@H]2COC)cnc1=O ZINC001197702552 1074386674 /nfs/dbraw/zinc/38/66/74/1074386674.db2.gz IFYQAGBZYCXZTJ-HNNXBMFYSA-N 0 0 428.453 -0.447 20 0 IBADRN COCCn1cc(C(=O)N2Cc3c(-c4cnn(C)c4)nnn3C[C@@H]2COC)cnc1=O ZINC001197702555 1074387077 /nfs/dbraw/zinc/38/70/77/1074387077.db2.gz IFYQAGBZYCXZTJ-OAHLLOKOSA-N 0 0 428.453 -0.447 20 0 IBADRN COCCn1cc(C(=O)N2CCO[C@H]3[C@H](OCC(=O)N4CCCC4)CC[C@@H]32)cnc1=O ZINC001197702561 1074387181 /nfs/dbraw/zinc/38/71/81/1074387181.db2.gz ILDODMJSHJVZKQ-YQVWRLOYSA-N 0 0 434.493 -0.099 20 0 IBADRN COCCn1cc(C(=O)N2C[C@H](NC(=O)c3nccn3C)[C@H]3OCCC[C@H]32)cnc1=O ZINC001197702593 1074387236 /nfs/dbraw/zinc/38/72/36/1074387236.db2.gz IZOWPXGWYXREDR-ARFHVFGLSA-N 0 0 430.465 -0.575 20 0 IBADRN COCCn1cc(C(=O)N2CCO[C@]3(C2)COCCN(c2ncccn2)C3)cnc1=O ZINC001197702617 1074387227 /nfs/dbraw/zinc/38/72/27/1074387227.db2.gz JLGMLTGYSQHUDI-FQEVSTJZSA-N 0 0 430.465 -0.572 20 0 IBADRN COCCn1cc(C(=O)N2CCO[C@@]3(C2)COCCN(c2ncccn2)C3)cnc1=O ZINC001197702618 1074387158 /nfs/dbraw/zinc/38/71/58/1074387158.db2.gz JLGMLTGYSQHUDI-HXUWFJFHSA-N 0 0 430.465 -0.572 20 0 IBADRN COCCn1cc(C(=O)N2CCN(C(=O)C(C)C)C[C@@]3(CCCC(=O)N3)C2)cnc1=O ZINC001197702722 1074387200 /nfs/dbraw/zinc/38/72/00/1074387200.db2.gz KUHWVBTVEVHMBU-NRFANRHFSA-N 0 0 433.509 -0.131 20 0 IBADRN COCCn1cc(C(=O)N2CCN(C(=O)C(C)C)C[C@]3(CCCC(=O)N3)C2)cnc1=O ZINC001197702723 1074387210 /nfs/dbraw/zinc/38/72/10/1074387210.db2.gz KUHWVBTVEVHMBU-OAQYLSRUSA-N 0 0 433.509 -0.131 20 0 IBADRN COCCn1cc(C(=O)N2CCC3(C[C@H](C(=O)N4CCOCC4)CO3)CC2)cnc1=O ZINC001197702836 1074387253 /nfs/dbraw/zinc/38/72/53/1074387253.db2.gz OFBHZEQAFULLTN-INIZCTEOSA-N 0 0 434.493 -0.240 20 0 IBADRN COCCn1cc(C(=O)N2CCC3(C[C@@H](C(=O)N4CCOCC4)CO3)CC2)cnc1=O ZINC001197702855 1074387042 /nfs/dbraw/zinc/38/70/42/1074387042.db2.gz OFBHZEQAFULLTN-MRXNPFEDSA-N 0 0 434.493 -0.240 20 0 IBADRN COCCn1cc(C(=O)N2C[C@@H]3COC[C@H](C(=O)Nc4cnccn4)[C@@H]3C2)cnc1=O ZINC001197703093 1074387169 /nfs/dbraw/zinc/38/71/69/1074387169.db2.gz QWBBYSUTXSEUAC-OAGGEKHMSA-N 0 0 428.449 -0.347 20 0 IBADRN COCCn1cc(C(=O)N2C[C@@H]3COC[C@@H](CC(=O)N4CCOCC4)[C@@H]3C2)cnc1=O ZINC001197703109 1074387188 /nfs/dbraw/zinc/38/71/88/1074387188.db2.gz RJALFQUHZJWKON-NXHRZFHOSA-N 0 0 434.493 -0.527 20 0 IBADRN COCCn1cc(C(=O)N2C[C@@H]3COC[C@]3(COCC(=O)N3CCCC3)C2)cnc1=O ZINC001197703419 1074387269 /nfs/dbraw/zinc/38/72/69/1074387269.db2.gz VRIKPSHSAUUPNK-UTKZUKDTSA-N 0 0 434.493 -0.383 20 0 IBADRN COCCn1cc(C(=O)N2CC[C@H]3[C@H](CO[C@@H]3CNC(=O)c3cnn(C)c3)C2)cnc1=O ZINC001197703572 1074387066 /nfs/dbraw/zinc/38/70/66/1074387066.db2.gz WHBDVWVERYQHFC-OKZBNKHCSA-N 0 0 444.492 -0.470 20 0 IBADRN COCCn1cc(C(=O)N2CCC3(CC2)CN(c2cncnc2)C(=O)CO3)cnc1=O ZINC001197703662 1074387261 /nfs/dbraw/zinc/38/72/61/1074387261.db2.gz XJLWUOWGXADCFE-UHFFFAOYSA-N 0 0 428.449 -0.282 20 0 IBADRN COCCn1cc(C(=O)N2CC(=O)NC[C@]23CCN(C(=O)OC(C)(C)C)C3)cnc1=O ZINC001197703740 1074387148 /nfs/dbraw/zinc/38/71/48/1074387148.db2.gz ZIRKCHMRPNWRMG-FQEVSTJZSA-N 0 0 435.481 -0.159 20 0 IBADRN COCCn1cc(C(=O)N2CC(=O)NC[C@@]23CCN(C(=O)OC(C)(C)C)C3)cnc1=O ZINC001197703741 1074387245 /nfs/dbraw/zinc/38/72/45/1074387245.db2.gz ZIRKCHMRPNWRMG-HXUWFJFHSA-N 0 0 435.481 -0.159 20 0 IBADRN COCCn1cc(C(=O)N2CCCn3c(=O)cc(Cn4ccnc4)nc3C2)cnc1=O ZINC001197703749 1074387128 /nfs/dbraw/zinc/38/71/28/1074387128.db2.gz ZQFFWHAOBLXHGJ-UHFFFAOYSA-N 0 0 425.449 -0.263 20 0 IBADRN COCCn1cc(C(=O)N2CCC3(CC2)CN(C(=O)c2cnccn2)CCO3)cnc1=O ZINC001197704838 1074387502 /nfs/dbraw/zinc/38/75/02/1074387502.db2.gz HSVZGDZZQVOWLU-UHFFFAOYSA-N 0 0 442.476 -0.173 20 0 IBADRN COCCn1cc(C(=O)N2C[C@H](NC(=O)c3cnn(C)c3)[C@H]3OCCC[C@H]32)cnc1=O ZINC001197705231 1074387606 /nfs/dbraw/zinc/38/76/06/1074387606.db2.gz IVMASISLGLUWPN-GVDBMIGSSA-N 0 0 430.465 -0.575 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN(C)Cc1nnc3n1CCN(C)C3=O)C2=O ZINC001197710070 1074387555 /nfs/dbraw/zinc/38/75/55/1074387555.db2.gz DKXFXRFQXVUVIU-UHFFFAOYSA-N 0 0 432.485 -0.673 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN(C)Cc3nnc4n3CCNC4=O)CC2)cc1 ZINC001197711229 1074387734 /nfs/dbraw/zinc/38/77/34/1074387734.db2.gz RMKWRDDTCHSBAA-UHFFFAOYSA-N 0 0 427.509 -0.194 20 0 IBADRN COCCn1cc(C(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)cnc1=O ZINC001197712739 1074387632 /nfs/dbraw/zinc/38/76/32/1074387632.db2.gz MNZRRPUYUPWXDS-UHFFFAOYSA-N 0 0 436.490 -0.159 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)Cc1ccc(Cl)c(F)c1 ZINC001197716457 1074386758 /nfs/dbraw/zinc/38/67/58/1074386758.db2.gz QWROMNMLIIKUAL-ZDUSSCGKSA-N 0 0 434.877 -0.509 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc(Oc3cccnc3)ccn2)c(=O)n1 ZINC001197735712 1074387711 /nfs/dbraw/zinc/38/77/11/1074387711.db2.gz ZKEDUFRWHIZHDR-KLICCBINSA-N 0 0 440.416 -0.300 20 0 IBADRN Cc1ncnn1-c1ccc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001197756706 1074387491 /nfs/dbraw/zinc/38/74/91/1074387491.db2.gz LNMIXOYSMPYPKN-GFOCRRMGSA-N 0 0 427.421 -0.994 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ncccc2OCC2CCOCC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001197759812 1074387689 /nfs/dbraw/zinc/38/76/89/1074387689.db2.gz FBFWPFIRAOYUTL-CXAZJQLCSA-N 0 0 426.466 -0.539 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cnc(=O)n(CC3CC3)c1)CC2 ZINC001197764085 1074387513 /nfs/dbraw/zinc/38/75/13/1074387513.db2.gz ORENSOODWDXDML-UHFFFAOYSA-N 0 0 439.520 -0.027 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc(=O)n(CC2CC2)c1 ZINC001197780372 1074388179 /nfs/dbraw/zinc/38/81/79/1074388179.db2.gz FVRWVKANFVVMBU-HOTGVXAUSA-N 0 0 427.465 -0.806 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(S(=O)(=O)Cc3ccccn3)CC[C@H]2S1(=O)=O ZINC001197795888 1074388042 /nfs/dbraw/zinc/38/80/42/1074388042.db2.gz BIEKFWHMKPQZFJ-GDBMZVCRSA-N 0 0 430.552 -0.274 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)Cc3ccccn3)C[C@@H]21)N1CCOCC1 ZINC001197800021 1074388020 /nfs/dbraw/zinc/38/80/20/1074388020.db2.gz DPJOQORUMJFIOE-BRWVUGGUSA-N 0 0 443.547 -0.495 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)Cc3ccccn3)C[C@@H]21)N1CCOCC1 ZINC001197800022 1074388139 /nfs/dbraw/zinc/38/81/39/1074388139.db2.gz DPJOQORUMJFIOE-GVDBMIGSSA-N 0 0 443.547 -0.495 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)Cc1ccccn1)C2 ZINC001197800782 1074387967 /nfs/dbraw/zinc/38/79/67/1074387967.db2.gz PVZCFUPYUPTVNX-IBGZPJMESA-N 0 0 432.506 -0.015 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)Cc1ccccn1)C2 ZINC001197800783 1074387976 /nfs/dbraw/zinc/38/79/76/1074387976.db2.gz PVZCFUPYUPTVNX-LJQANCHMSA-N 0 0 432.506 -0.015 20 0 IBADRN COc1cnc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c(F)c1 ZINC001197807503 1074387955 /nfs/dbraw/zinc/38/79/55/1074387955.db2.gz MJAWKZOLTCMJMS-IIAWOOMASA-N 0 0 441.481 -0.037 20 0 IBADRN COc1cnc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c(F)c1 ZINC001197807506 1074388146 /nfs/dbraw/zinc/38/81/46/1074388146.db2.gz MJAWKZOLTCMJMS-SQWLQELKSA-N 0 0 441.481 -0.037 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)Cc1ccccn1)C(=O)NCC(N)=O ZINC001197810352 1074388128 /nfs/dbraw/zinc/38/81/28/1074388128.db2.gz DGEJDAACXXMUDK-HOTGVXAUSA-N 0 0 439.538 -0.492 20 0 IBADRN COc1cnc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c(F)c1 ZINC001197811284 1074388105 /nfs/dbraw/zinc/38/81/05/1074388105.db2.gz NRYZHWQKUPNGJA-GJZGRUSLSA-N 0 0 437.472 -0.034 20 0 IBADRN COCCNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1OCCOC ZINC001197824690 1074388590 /nfs/dbraw/zinc/38/85/90/1074388590.db2.gz PGLFBFAXZMTONB-XNIJJKJLSA-N 0 0 442.498 -0.959 20 0 IBADRN CNC(=O)C1(NCC(=O)NN2C(=O)N[C@@](C)(CCc3ccccc3)C2=O)CCOCC1 ZINC001197826329 1074388561 /nfs/dbraw/zinc/38/85/61/1074388561.db2.gz MEKHXYMISAGIJJ-FQEVSTJZSA-N 0 0 431.493 -0.154 20 0 IBADRN CNC(=O)C1(NCC(=O)NN2C(=O)N[C@](C)(CCc3ccccc3)C2=O)CCOCC1 ZINC001197826330 1074388521 /nfs/dbraw/zinc/38/85/21/1074388521.db2.gz MEKHXYMISAGIJJ-HXUWFJFHSA-N 0 0 431.493 -0.154 20 0 IBADRN CNC(=O)C1(NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOCC1 ZINC001197826681 1074388669 /nfs/dbraw/zinc/38/86/69/1074388669.db2.gz QUMONJLLHIXMHD-UHFFFAOYSA-N 0 0 440.522 -0.469 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cnn(-c3ncccn3)c1)CC2 ZINC001197829529 1074388505 /nfs/dbraw/zinc/38/85/05/1074388505.db2.gz KENUDBOLYPDTFH-UHFFFAOYSA-N 0 0 435.492 -0.018 20 0 IBADRN O=S(=O)(Cc1ccccn1)Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001197829958 1074388096 /nfs/dbraw/zinc/38/80/96/1074388096.db2.gz PKBSIIPTTYQDEV-DISONHOPSA-N 0 0 426.447 -0.798 20 0 IBADRN O=S(=O)(Cc1ccccn1)Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001197829959 1074388081 /nfs/dbraw/zinc/38/80/81/1074388081.db2.gz PKBSIIPTTYQDEV-ZBRFXRBCSA-N 0 0 426.447 -0.798 20 0 IBADRN O=S(=O)(Cc1ccccn1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001197834306 1074388153 /nfs/dbraw/zinc/38/81/53/1074388153.db2.gz CJNPAUJWGNMLDD-FPSMNIFISA-N 0 0 442.515 -0.085 20 0 IBADRN O=S(=O)(Cc1ccccn1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001197834307 1074388010 /nfs/dbraw/zinc/38/80/10/1074388010.db2.gz CJNPAUJWGNMLDD-ICUGJSFKSA-N 0 0 442.515 -0.085 20 0 IBADRN O=S(=O)(Cc1ccccn1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001197834309 1074387997 /nfs/dbraw/zinc/38/79/97/1074387997.db2.gz CJNPAUJWGNMLDD-ILOCAZANSA-N 0 0 442.515 -0.085 20 0 IBADRN O=S(=O)(Cc1ccccn1)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001197834310 1074387987 /nfs/dbraw/zinc/38/79/87/1074387987.db2.gz CJNPAUJWGNMLDD-WKULXVSPSA-N 0 0 442.515 -0.085 20 0 IBADRN COCCNC(=S)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001197834464 1074388118 /nfs/dbraw/zinc/38/81/18/1074388118.db2.gz QMHMIKHWHGRHBZ-UONOGXRCSA-N 0 0 427.552 -0.470 20 0 IBADRN COCCNC(=S)N1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001197834755 1074388069 /nfs/dbraw/zinc/38/80/69/1074388069.db2.gz VYPFSIBTHILZSW-QAPCUYQASA-N 0 0 448.611 -0.518 20 0 IBADRN O=S(=O)(Cc1ccccn1)NCCOCCOCCOCCOCCOCCO ZINC001197847857 1074388054 /nfs/dbraw/zinc/38/80/54/1074388054.db2.gz FVQKLCGBNZUTBZ-UHFFFAOYSA-N 0 0 436.527 -0.424 20 0 IBADRN CN(C)c1c(F)cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)cc1F ZINC001197858472 1074388034 /nfs/dbraw/zinc/38/80/34/1074388034.db2.gz MEBVSCCVOWZPSI-WBMRYOJMSA-N 0 0 425.392 -0.538 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)Cc1ccccn1)C(N)=O ZINC001197862797 1074388473 /nfs/dbraw/zinc/38/84/73/1074388473.db2.gz REUPYZVQJTYQNX-DQYPLSBCSA-N 0 0 436.490 -0.831 20 0 IBADRN CCOc1ccc(NC(C)=O)cc1S(=O)(=O)N1C[C@@H]2[C@H](C1)OCCN2CC(=O)NC ZINC001197880582 1074388607 /nfs/dbraw/zinc/38/86/07/1074388607.db2.gz OSGOIONWXQZVBU-WBVHZDCISA-N 0 0 440.522 -0.137 20 0 IBADRN COCCNC(=S)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001197893259 1074388576 /nfs/dbraw/zinc/38/85/76/1074388576.db2.gz RQKFHUPSWIQYLZ-STQMWFEESA-N 0 0 435.616 -0.649 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cncn3Cc3ccncc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001197894237 1074388445 /nfs/dbraw/zinc/38/84/45/1074388445.db2.gz FCEXMEPMADISFX-USXIJHARSA-N 0 0 426.433 -0.024 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cncn1Cc1ccncc1 ZINC001197895149 1074388537 /nfs/dbraw/zinc/38/85/37/1074388537.db2.gz RDQZKVSKZNWCFP-IRXDYDNUSA-N 0 0 436.476 -0.133 20 0 IBADRN CCOc1ccc(NC(C)=O)cc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001197914458 1074388456 /nfs/dbraw/zinc/38/84/56/1074388456.db2.gz IWQWDNRAQWCQTB-UHFFFAOYSA-N 0 0 448.567 -0.101 20 0 IBADRN CCOc1ccc(NC(C)=O)cc1S(=O)(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001197931042 1074388494 /nfs/dbraw/zinc/38/84/94/1074388494.db2.gz WSAMUCLTLCKEJW-RLODPRPVSA-N 0 0 448.494 -0.834 20 0 IBADRN CN(CCN1CCOCC1)C(=O)c1cccc(C(=O)N2CCN3C(=O)COC[C@@H]3C2)c1 ZINC001197938208 1074388907 /nfs/dbraw/zinc/38/89/07/1074388907.db2.gz HHIGUGRJYAPZTN-IBGZPJMESA-N 0 0 430.505 -0.226 20 0 IBADRN CN(CCN1CCOCC1)C(=O)c1cccc(C(=O)N2CCN3C(=O)COC[C@H]3C2)c1 ZINC001197938216 1074389063 /nfs/dbraw/zinc/38/90/63/1074389063.db2.gz HHIGUGRJYAPZTN-LJQANCHMSA-N 0 0 430.505 -0.226 20 0 IBADRN CN(CCN1CCOCC1)C(=O)c1cccc(C(=O)N2CC(N3CCNC(=O)C3)C2)c1 ZINC001197938766 1074388855 /nfs/dbraw/zinc/38/88/55/1074388855.db2.gz NHLCXXDYKVQYNZ-UHFFFAOYSA-N 0 0 429.521 -0.653 20 0 IBADRN CN(CCN1CCOCC1)C(=O)c1cccc(C(=O)N2CCN(S(C)(=O)=O)CC2)c1 ZINC001197939047 1074389043 /nfs/dbraw/zinc/38/90/43/1074389043.db2.gz ROAUIGNARFMXIP-UHFFFAOYSA-N 0 0 438.550 -0.192 20 0 IBADRN CN(CCN1CCOCC1)C(=O)c1cccc(C(=O)N2CC(N3CCN(C)C(=O)C3)C2)c1 ZINC001197939066 1074389083 /nfs/dbraw/zinc/38/90/83/1074389083.db2.gz RWNOLIAKNZLNSO-UHFFFAOYSA-N 0 0 443.548 -0.311 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)c1cccc(C(=O)N(C)CCN2CCOCC2)c1 ZINC001197946832 1074388999 /nfs/dbraw/zinc/38/89/99/1074388999.db2.gz VILPOQRROIWEEH-UHFFFAOYSA-N 0 0 426.539 -0.288 20 0 IBADRN CN(CCN1CCOCC1)C(=O)c1cccc(C(=O)NCCN2CCCS2(=O)=O)c1 ZINC001197949830 1074389071 /nfs/dbraw/zinc/38/90/71/1074389071.db2.gz BPKYYDZSYPXWFE-UHFFFAOYSA-N 0 0 438.550 -0.144 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccc(N3CCCCC3)cn2)c(=O)n1 ZINC001197951339 1074388970 /nfs/dbraw/zinc/38/89/70/1074388970.db2.gz WFWOEZBEUSXSAF-KLICCBINSA-N 0 0 430.465 -0.497 20 0 IBADRN COC(=O)CC[C@H](NC(=O)c1cccc(C(=O)N(C)CCN2CCOCC2)c1)C(N)=O ZINC001197951789 1074389015 /nfs/dbraw/zinc/38/90/15/1074389015.db2.gz NILOMKJPUNEEQB-KRWDZBQOSA-N 0 0 434.493 -0.372 20 0 IBADRN NC(=O)c1csc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001197957925 1074388828 /nfs/dbraw/zinc/38/88/28/1074388828.db2.gz CJUZAJCWFPDCLM-GZBFAFLISA-N 0 0 441.531 -0.419 20 0 IBADRN NC(=O)c1csc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001197957926 1074388890 /nfs/dbraw/zinc/38/88/90/1074388890.db2.gz CJUZAJCWFPDCLM-UMVBOHGHSA-N 0 0 441.531 -0.419 20 0 IBADRN CNC(=O)CN1CCCN(CC(=O)Nc2ccc(C)c(S(=O)(=O)N(C)C)c2)CC1 ZINC001197958752 1074388866 /nfs/dbraw/zinc/38/88/66/1074388866.db2.gz DCQZKFWFNMFGEK-UHFFFAOYSA-N 0 0 425.555 -0.062 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cc(C(N)=O)cs1)C(=O)NCC(N)=O ZINC001197961296 1074388959 /nfs/dbraw/zinc/38/89/59/1074388959.db2.gz PGMDZSVHGOVDAF-STQMWFEESA-N 0 0 437.522 -0.416 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2cc(C(N)=O)cs2)[C@H]1O ZINC001197970169 1074388925 /nfs/dbraw/zinc/38/89/25/1074388925.db2.gz KLRXEGGAFFDODP-YLZOEATKSA-N 0 0 447.477 -0.898 20 0 IBADRN CCOc1cncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001197977889 1074389509 /nfs/dbraw/zinc/38/95/09/1074389509.db2.gz MHYFHCBWTYATDJ-IIAWOOMASA-N 0 0 438.506 -0.391 20 0 IBADRN CCOc1cncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001197977890 1074389562 /nfs/dbraw/zinc/38/95/62/1074389562.db2.gz MHYFHCBWTYATDJ-SQWLQELKSA-N 0 0 438.506 -0.391 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC001197981678 1074389594 /nfs/dbraw/zinc/38/95/94/1074389594.db2.gz AIHYQDQXWVNULO-UHFFFAOYSA-N 0 0 427.483 -0.868 20 0 IBADRN Cc1ccnc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1 ZINC001197982285 1074389549 /nfs/dbraw/zinc/38/95/49/1074389549.db2.gz YJRNCIVDNUXNFM-GJZGRUSLSA-N 0 0 439.538 -0.324 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc1 ZINC001197982562 1074389532 /nfs/dbraw/zinc/38/95/32/1074389532.db2.gz JAOWIZUEPZFOTI-XHSDSOJGSA-N 0 0 439.490 -0.206 20 0 IBADRN CCOc1cncc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001197982827 1074389492 /nfs/dbraw/zinc/38/94/92/1074389492.db2.gz QHOFVAHQYGHSGF-ZFWWWQNUSA-N 0 0 434.497 -0.388 20 0 IBADRN Cc1ccnc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001197982958 1074389585 /nfs/dbraw/zinc/38/95/85/1074389585.db2.gz UAJFLSOYIZAUCZ-ARFHVFGLSA-N 0 0 443.547 -0.327 20 0 IBADRN Cc1ccnc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001197982962 1074389377 /nfs/dbraw/zinc/38/93/77/1074389377.db2.gz UAJFLSOYIZAUCZ-BZUAXINKSA-N 0 0 443.547 -0.327 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc(CC(=O)NCC(=O)OC)cc2)CC1 ZINC001197983701 1074389575 /nfs/dbraw/zinc/38/95/75/1074389575.db2.gz SADKFEUWQZCDSP-UHFFFAOYSA-N 0 0 449.508 -0.570 20 0 IBADRN Cc1ccnc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)c1 ZINC001197985291 1074389946 /nfs/dbraw/zinc/38/99/46/1074389946.db2.gz WGYDJBICFQLSJA-HUUCEWRRSA-N 0 0 430.552 -0.107 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1nccnc1OCC1CC1 ZINC001198024213 1074390359 /nfs/dbraw/zinc/39/03/59/1074390359.db2.gz KZFVGKWVOSRNIF-GJZGRUSLSA-N 0 0 427.465 -0.194 20 0 IBADRN Cc1ccnc(S(=O)(=O)NCCOCCOCCOCCOCCOCCO)c1 ZINC001198024909 1074390230 /nfs/dbraw/zinc/39/02/30/1074390230.db2.gz DESPNHVGFMKZJK-UHFFFAOYSA-N 0 0 436.527 -0.256 20 0 IBADRN O=C(c1cncn1CCF)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001198032109 1074390439 /nfs/dbraw/zinc/39/04/39/1074390439.db2.gz NLAXEEYUKRHYBB-IIAWOOMASA-N 0 0 428.486 -0.413 20 0 IBADRN O=C(c1cncn1CCF)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001198032114 1074390196 /nfs/dbraw/zinc/39/01/96/1074390196.db2.gz NLAXEEYUKRHYBB-SQWLQELKSA-N 0 0 428.486 -0.413 20 0 IBADRN Cc1ccnc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)c1 ZINC001198038969 1074390264 /nfs/dbraw/zinc/39/02/64/1074390264.db2.gz PTXSCTXRTSESSB-PVUWLOKVSA-N 0 0 436.490 -0.664 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cncn1CCF ZINC001198039042 1074390468 /nfs/dbraw/zinc/39/04/68/1074390468.db2.gz COUULQQIPJVSHE-DXWTWGPWSA-N 0 0 427.454 -0.003 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cncn1CCF ZINC001198039043 1074390459 /nfs/dbraw/zinc/39/04/59/1074390459.db2.gz COUULQQIPJVSHE-IMPIEMTGSA-N 0 0 427.454 -0.003 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cncn1CCF ZINC001198039044 1074390430 /nfs/dbraw/zinc/39/04/30/1074390430.db2.gz COUULQQIPJVSHE-JFBPSJKJSA-N 0 0 427.454 -0.003 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cncn1CCF ZINC001198039045 1074390345 /nfs/dbraw/zinc/39/03/45/1074390345.db2.gz COUULQQIPJVSHE-OCABDXPQSA-N 0 0 427.454 -0.003 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001198058456 1074390029 /nfs/dbraw/zinc/39/00/29/1074390029.db2.gz AAWQZJFCDYUHBF-HNNXBMFYSA-N 0 0 445.567 -0.510 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001198058457 1074389868 /nfs/dbraw/zinc/38/98/68/1074389868.db2.gz AAWQZJFCDYUHBF-OAHLLOKOSA-N 0 0 445.567 -0.510 20 0 IBADRN CCOC(=O)C1=C(CN2CCN3[C@H](CNS3(=O)=O)C2)NC(=O)N[C@H]1c1ccco1 ZINC001198058841 1074389996 /nfs/dbraw/zinc/38/99/96/1074389996.db2.gz DPOXSIIYYCJDLN-ABAIWWIYSA-N 0 0 425.467 -0.715 20 0 IBADRN CCOC(=O)C1=C(CN2CCN3[C@H](CNS3(=O)=O)C2)NC(=O)N[C@@H]1c1ccco1 ZINC001198058843 1074389912 /nfs/dbraw/zinc/38/99/12/1074389912.db2.gz DPOXSIIYYCJDLN-IAQYHMDHSA-N 0 0 425.467 -0.715 20 0 IBADRN CCOC(=O)C1=C(CN2CCN3[C@@H](CNS3(=O)=O)C2)NC(=O)N[C@H]1c1ccco1 ZINC001198058845 1074389815 /nfs/dbraw/zinc/38/98/15/1074389815.db2.gz DPOXSIIYYCJDLN-NHYWBVRUSA-N 0 0 425.467 -0.715 20 0 IBADRN CCOC(=O)C1=C(CN2CCN3[C@@H](CNS3(=O)=O)C2)NC(=O)N[C@@H]1c1ccco1 ZINC001198058847 1074389862 /nfs/dbraw/zinc/38/98/62/1074389862.db2.gz DPOXSIIYYCJDLN-XHDPSFHLSA-N 0 0 425.467 -0.715 20 0 IBADRN CN(C)c1ccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)nc1 ZINC001198126205 1074390217 /nfs/dbraw/zinc/39/02/17/1074390217.db2.gz PBOYYZOLINBFNU-JFIYKMOQSA-N 0 0 436.534 -0.118 20 0 IBADRN CN(C)c1ccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nc1 ZINC001198126209 1074390796 /nfs/dbraw/zinc/39/07/96/1074390796.db2.gz PBOYYZOLINBFNU-LZLYRXPVSA-N 0 0 436.534 -0.118 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccc(N(C)C)cn1)C(=O)NCC(N)=O ZINC001198131291 1074390898 /nfs/dbraw/zinc/39/08/98/1074390898.db2.gz RXCWIRCPIUDYRD-IRXDYDNUSA-N 0 0 432.525 -0.115 20 0 IBADRN CN1CCN(c2cnccc2C(=O)N2CCn3c(CN4CCOCC4)nnc3C2)CC1 ZINC001198145788 1074390879 /nfs/dbraw/zinc/39/08/79/1074390879.db2.gz JCFAPTRZJILKSJ-UHFFFAOYSA-N 0 0 426.525 -0.087 20 0 IBADRN CN1CCN(c2cnccc2C(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)CC1 ZINC001198145807 1074390722 /nfs/dbraw/zinc/39/07/22/1074390722.db2.gz JRXPORNTNUWOQW-UHFFFAOYSA-N 0 0 440.508 -0.081 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccncc1N1CCN(C)CC1)C2 ZINC001198146160 1074390927 /nfs/dbraw/zinc/39/09/27/1074390927.db2.gz CMVAZODKVOAUTI-UHFFFAOYSA-N 0 0 442.524 -0.314 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1ccncc1N1CCN(C)CC1)C[C@@H]2C(=O)N(C)C ZINC001198151313 1074390775 /nfs/dbraw/zinc/39/07/75/1074390775.db2.gz RKMHZCWHJUTEIN-GOSISDBHSA-N 0 0 428.537 -0.158 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1ccncc1N1CCN(C)CC1)C[C@H]2C(=O)N(C)C ZINC001198151360 1074390860 /nfs/dbraw/zinc/39/08/60/1074390860.db2.gz RKMHZCWHJUTEIN-SFHVURJKSA-N 0 0 428.537 -0.158 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccncc3N3CCN(C)CC3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198158251 1074390841 /nfs/dbraw/zinc/39/08/41/1074390841.db2.gz BLNMWCKWRZTKTM-CGTJXYLNSA-N 0 0 444.492 -0.517 20 0 IBADRN CN(C)c1cnccc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001198166648 1074390645 /nfs/dbraw/zinc/39/06/45/1074390645.db2.gz XFZXDPSXRLTFTK-QGPMSJSTSA-N 0 0 436.534 -0.118 20 0 IBADRN CN(C)c1cnccc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001198166649 1074390806 /nfs/dbraw/zinc/39/08/06/1074390806.db2.gz XFZXDPSXRLTFTK-YXJHDRRASA-N 0 0 436.534 -0.118 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ccncc1N(C)C)C(=O)NCC(N)=O ZINC001198170548 1074390829 /nfs/dbraw/zinc/39/08/29/1074390829.db2.gz PHSUHNMTTRVGLT-HOTGVXAUSA-N 0 0 432.525 -0.115 20 0 IBADRN Cc1cn([C@H]2C[C@H](NS(=O)(=O)c3ccc4nccnc4c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198181418 1074390712 /nfs/dbraw/zinc/39/07/12/1074390712.db2.gz MPBVKSAFSGKSKI-ARFHVFGLSA-N 0 0 433.446 -0.173 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc2nccnc2c1 ZINC001198184719 1074391298 /nfs/dbraw/zinc/39/12/98/1074391298.db2.gz IGTQSGNWSGYLPY-SRVKXCTJSA-N 0 0 437.478 -0.521 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2nccnc2c1 ZINC001198185982 1074391337 /nfs/dbraw/zinc/39/13/37/1074391337.db2.gz SYRFIAPTHXXGSY-KRWDZBQOSA-N 0 0 429.458 -0.174 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1ccc2nccnc2c1 ZINC001198187039 1074391372 /nfs/dbraw/zinc/39/13/72/1074391372.db2.gz AXCDFTFADSJTIV-KRWDZBQOSA-N 0 0 429.458 -0.174 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc2nccnc2c1 ZINC001198189048 1074391237 /nfs/dbraw/zinc/39/12/37/1074391237.db2.gz PXXSOFARHLMTIU-ROUUACIJSA-N 0 0 443.485 -0.518 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccncc1N1CCOCC1)C2 ZINC001198210522 1074391283 /nfs/dbraw/zinc/39/12/83/1074391283.db2.gz ZTDOXAUOLSWSKB-UHFFFAOYSA-N 0 0 429.481 -0.230 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccncc3N3CCOCC3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198217822 1074391356 /nfs/dbraw/zinc/39/13/56/1074391356.db2.gz XTFFXYIGRGARCY-USXIJHARSA-N 0 0 431.449 -0.433 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccncc1N1CCOCC1 ZINC001198221946 1074391308 /nfs/dbraw/zinc/39/13/08/1074391308.db2.gz QTLGBCITVXTIDA-IRXDYDNUSA-N 0 0 441.492 -0.541 20 0 IBADRN CN(C)c1cccnc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001198225904 1074391209 /nfs/dbraw/zinc/39/12/09/1074391209.db2.gz QXMIFFCTRFILQW-BFYDXBDKSA-N 0 0 436.534 -0.118 20 0 IBADRN CN(C)c1cccnc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001198225906 1074391115 /nfs/dbraw/zinc/39/11/15/1074391115.db2.gz QXMIFFCTRFILQW-ZMSDIMECSA-N 0 0 436.534 -0.118 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1ncccc1N(C)C)C(=O)NCC(N)=O ZINC001198228900 1074391252 /nfs/dbraw/zinc/39/12/52/1074391252.db2.gz IEYYHSLRKSPWAZ-HOCLYGCPSA-N 0 0 432.525 -0.115 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)Nc2ccc3c(c2)OCO3)[C@H](O)[C@@H]1O ZINC001198255726 1074391808 /nfs/dbraw/zinc/39/18/08/1074391808.db2.gz NCEZNWKEYYWEDV-LSCFUAHRSA-N 0 0 446.445 -0.025 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)Nc2ccc3c(c2)OCO3)[C@@H](O)[C@@H]1O ZINC001198255735 1074391688 /nfs/dbraw/zinc/39/16/88/1074391688.db2.gz NCEZNWKEYYWEDV-MBMVNNNZSA-N 0 0 446.445 -0.025 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)C1=O ZINC001198260249 1074391349 /nfs/dbraw/zinc/39/13/49/1074391349.db2.gz GGRAPYQIOBZBSX-GQIGUUNPSA-N 0 0 434.518 -0.063 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)C1=O ZINC001198260251 1074391170 /nfs/dbraw/zinc/39/11/70/1074391170.db2.gz GGRAPYQIOBZBSX-YRNRMSPPSA-N 0 0 434.518 -0.063 20 0 IBADRN O=C(CN1C[C@@H]2CS(=O)(=O)C[C@@H]2C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001198260601 1074391158 /nfs/dbraw/zinc/39/11/58/1074391158.db2.gz LYFLGAWBULSHQY-IYBDPMFKSA-N 0 0 427.548 -0.504 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cccc(Cl)n1 ZINC001198263600 1074391187 /nfs/dbraw/zinc/39/11/87/1074391187.db2.gz QBUHPMVEVNNKKU-STQMWFEESA-N 0 0 426.882 -0.413 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cccc(Cl)n1 ZINC001198263631 1074391220 /nfs/dbraw/zinc/39/12/20/1074391220.db2.gz QSHATTILXBHTRL-STQMWFEESA-N 0 0 442.881 -0.707 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1cccc(Cl)n1)NC(=O)CNC(C)=O ZINC001198263868 1074391380 /nfs/dbraw/zinc/39/13/80/1074391380.db2.gz SVWHMJXLUBMLKM-LBPRGKRZSA-N 0 0 434.902 -0.023 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)cn1 ZINC001198284048 1074391778 /nfs/dbraw/zinc/39/17/78/1074391778.db2.gz AQTNOHIMICQHNT-GDBMZVCRSA-N 0 0 430.552 -0.107 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001198284849 1074391882 /nfs/dbraw/zinc/39/18/82/1074391882.db2.gz UGKHHRMZDOKLHS-BRWVUGGUSA-N 0 0 443.547 -0.327 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001198284850 1074391754 /nfs/dbraw/zinc/39/17/54/1074391754.db2.gz UGKHHRMZDOKLHS-GVDBMIGSSA-N 0 0 443.547 -0.327 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3nccn3Cc3ccccn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198286210 1074391855 /nfs/dbraw/zinc/39/18/55/1074391855.db2.gz AYJZLBWBUXTAMV-ARFHVFGLSA-N 0 0 426.433 -0.024 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1 ZINC001198291467 1074391871 /nfs/dbraw/zinc/39/18/71/1074391871.db2.gz MOHAOEDKOZYOGH-HOTGVXAUSA-N 0 0 439.538 -0.324 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1nccn1Cc1ccccn1 ZINC001198293006 1074391698 /nfs/dbraw/zinc/39/16/98/1074391698.db2.gz FOJOTSNUTCGIAT-IRXDYDNUSA-N 0 0 436.476 -0.133 20 0 IBADRN NC(=O)C12CCC(CC1)N2CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001198309072 1074392376 /nfs/dbraw/zinc/39/23/76/1074392376.db2.gz IENNICHWYCBTDT-UHFFFAOYSA-N 0 0 436.534 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1nccn1CC(F)(F)F ZINC001198312253 1074392134 /nfs/dbraw/zinc/39/21/34/1074392134.db2.gz IMPNDJJQMLQNKE-RYUDHWBXSA-N 0 0 427.387 -0.014 20 0 IBADRN Cc1ccc(S(=O)(=O)Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001198321336 1074391906 /nfs/dbraw/zinc/39/19/06/1074391906.db2.gz QFHBRNXHIWKZKO-DISONHOPSA-N 0 0 426.447 -0.630 20 0 IBADRN Cc1ccc(S(=O)(=O)Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001198321337 1074391888 /nfs/dbraw/zinc/39/18/88/1074391888.db2.gz QFHBRNXHIWKZKO-ZBRFXRBCSA-N 0 0 426.447 -0.630 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cn(-c2ccccc2Br)cn1 ZINC001198325110 1074391793 /nfs/dbraw/zinc/39/17/93/1074391793.db2.gz UODYXAZMNQNSDZ-PAURTPPISA-N 0 0 428.239 -0.836 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cn(-c2ccccc2Br)cn1 ZINC001198325111 1074391836 /nfs/dbraw/zinc/39/18/36/1074391836.db2.gz UODYXAZMNQNSDZ-UTGUJQJDSA-N 0 0 428.239 -0.836 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC001198326592 1074391727 /nfs/dbraw/zinc/39/17/27/1074391727.db2.gz HJDUGHRAHBRELM-AWEZNQCLSA-N 0 0 448.542 -0.731 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C1 ZINC001198326595 1074391820 /nfs/dbraw/zinc/39/18/20/1074391820.db2.gz HJDUGHRAHBRELM-CQSZACIVSA-N 0 0 448.542 -0.731 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCOCCOCCOCCOCCOCCO)cn1 ZINC001198334574 1074392193 /nfs/dbraw/zinc/39/21/93/1074392193.db2.gz QYSLEBWDNLZRES-UHFFFAOYSA-N 0 0 436.527 -0.256 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)cn1 ZINC001198343069 1074392312 /nfs/dbraw/zinc/39/23/12/1074392312.db2.gz AFIPHVULSCNZDE-DQYPLSBCSA-N 0 0 436.490 -0.664 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)C[C@H](O)CNC3=O)C1=O ZINC001198347110 1074392244 /nfs/dbraw/zinc/39/22/44/1074392244.db2.gz YTTMZEUYBADFNS-AOMKIAJQSA-N 0 0 443.504 -0.162 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)C[C@H](O)CNC3=O)C1=O ZINC001198347115 1074392321 /nfs/dbraw/zinc/39/23/21/1074392321.db2.gz YTTMZEUYBADFNS-KSFYIVLOSA-N 0 0 443.504 -0.162 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)C[C@@H](O)CNC3=O)C1=O ZINC001198347117 1074392284 /nfs/dbraw/zinc/39/22/84/1074392284.db2.gz YTTMZEUYBADFNS-OPAMFIHVSA-N 0 0 443.504 -0.162 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCC3(CC2)C[C@@H](O)CNC3=O)C1=O ZINC001198347119 1074392360 /nfs/dbraw/zinc/39/23/60/1074392360.db2.gz YTTMZEUYBADFNS-ZHRRBRCNSA-N 0 0 443.504 -0.162 20 0 IBADRN O=C(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cncn1Cc1cccc(Br)c1 ZINC001198353618 1074392814 /nfs/dbraw/zinc/39/28/14/1074392814.db2.gz NGKDQZHQWNUMNE-DRXUAVOGSA-N 0 0 442.266 -0.776 20 0 IBADRN O=C(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cncn1Cc1cccc(Br)c1 ZINC001198353619 1074392906 /nfs/dbraw/zinc/39/29/06/1074392906.db2.gz NGKDQZHQWNUMNE-IETPZCKNSA-N 0 0 442.266 -0.776 20 0 IBADRN Cc1ncc(S(=O)(=O)N2C[C@@H]3c4nc(N(C)C)ncc4CS(=O)(=O)[C@@H]3C2)n1C ZINC001198364747 1074392338 /nfs/dbraw/zinc/39/23/38/1074392338.db2.gz NKFIVQHOZSWPNK-QWHCGFSZSA-N 0 0 426.524 -0.330 20 0 IBADRN Cc1cnc2ncc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@H]4C(=O)N3CCOCC3)n2c1 ZINC001198365070 1074392180 /nfs/dbraw/zinc/39/21/80/1074392180.db2.gz DELQZDSYZXHJNG-BFYDXBDKSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1cnc2ncc(C(=O)N3C[C@H]4[C@@H](C3)S(=O)(=O)CC[C@@H]4C(=O)N3CCOCC3)n2c1 ZINC001198365071 1074392203 /nfs/dbraw/zinc/39/22/03/1074392203.db2.gz DELQZDSYZXHJNG-ZMSDIMECSA-N 0 0 447.517 -0.228 20 0 IBADRN CN(C)[S@](C)(=O)=NC(=O)CN1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001198371991 1074392354 /nfs/dbraw/zinc/39/23/54/1074392354.db2.gz GVHOUUBMESJYMS-MAOKOLTRSA-N 0 0 438.572 -0.194 20 0 IBADRN CN(C)[S@@](C)(=O)=NC(=O)CN1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001198371992 1074392267 /nfs/dbraw/zinc/39/22/67/1074392267.db2.gz GVHOUUBMESJYMS-UIAYHMMPSA-N 0 0 438.572 -0.194 20 0 IBADRN CN(C)S(=O)(=O)CCCN1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001198374035 1074392212 /nfs/dbraw/zinc/39/22/12/1074392212.db2.gz VZSUPUIEWSSCFT-KGLIPLIRSA-N 0 0 425.573 -0.014 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)c1cnc(C)n1C)C2 ZINC001198375099 1074392345 /nfs/dbraw/zinc/39/23/45/1074392345.db2.gz IEFOXMWCZKJNIH-GOSISDBHSA-N 0 0 435.510 -0.509 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)c1cnc(C)n1C)C2 ZINC001198375105 1074392225 /nfs/dbraw/zinc/39/22/25/1074392225.db2.gz IEFOXMWCZKJNIH-SFHVURJKSA-N 0 0 435.510 -0.509 20 0 IBADRN Cc1ncc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1C ZINC001198375608 1074392301 /nfs/dbraw/zinc/39/23/01/1074392301.db2.gz RVFDGZSWMBGTGU-RBSFLKMASA-N 0 0 446.551 -0.989 20 0 IBADRN Cc1ncc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1C ZINC001198375609 1074392234 /nfs/dbraw/zinc/39/22/34/1074392234.db2.gz RVFDGZSWMBGTGU-RRFJBIMHSA-N 0 0 446.551 -0.989 20 0 IBADRN Cc1ncc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)n1C ZINC001198376832 1074392791 /nfs/dbraw/zinc/39/27/91/1074392791.db2.gz IMQNCVHKEHSXRD-ZIAGYGMSSA-N 0 0 433.556 -0.769 20 0 IBADRN Cc1ncc(S(=O)(=O)N2CCc3ncc(CNC(=O)c4cnn(C)c4)n3CC2)n1C ZINC001198377207 1074392702 /nfs/dbraw/zinc/39/27/02/1074392702.db2.gz MCENCJWOCVNHBX-UHFFFAOYSA-N 0 0 432.510 -0.164 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cn(Cc2ccccn2)cn1 ZINC001198377394 1074392715 /nfs/dbraw/zinc/39/27/15/1074392715.db2.gz MFGQNJLBOIDKNX-WMZOPIPTSA-N 0 0 436.476 -0.133 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cn(Cc4ccccn4)cn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198377668 1074392772 /nfs/dbraw/zinc/39/27/72/1074392772.db2.gz ONZZUNYYBZUBHB-USXIJHARSA-N 0 0 426.433 -0.024 20 0 IBADRN Cc1ncc(S(=O)(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)n1C ZINC001198377760 1074392662 /nfs/dbraw/zinc/39/26/62/1074392662.db2.gz PXQZJIGTWCEZAD-AWEZNQCLSA-N 0 0 430.494 -0.034 20 0 IBADRN Cc1ncc(S(=O)(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)n1C ZINC001198377761 1074392761 /nfs/dbraw/zinc/39/27/61/1074392761.db2.gz PXQZJIGTWCEZAD-CQSZACIVSA-N 0 0 430.494 -0.034 20 0 IBADRN Cc1ncc(S(=O)(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)n1C ZINC001198377831 1074392647 /nfs/dbraw/zinc/39/26/47/1074392647.db2.gz RCUMCKDXFHUUEU-UHFFFAOYSA-N 0 0 432.510 -0.164 20 0 IBADRN Cc1ncc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1C ZINC001198380210 1074392896 /nfs/dbraw/zinc/39/28/96/1074392896.db2.gz WEWSKPAXDWOROU-KBPBESRZSA-N 0 0 442.542 -0.986 20 0 IBADRN Cc1cnc2ncc(C(=O)N3CCC[C@H]3C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n2c1 ZINC001198382101 1074392879 /nfs/dbraw/zinc/39/28/79/1074392879.db2.gz AMPOXJDYFJYVSR-GJZGRUSLSA-N 0 0 443.508 -0.225 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cn(C2CCOCC2)cn1 ZINC001198413108 1074393245 /nfs/dbraw/zinc/39/32/45/1074393245.db2.gz NPLFCAVJMNILEF-RDJZCZTQSA-N 0 0 429.481 -0.225 20 0 IBADRN Cc1ncc(S(=O)(=O)NCCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)n1C ZINC001198419331 1074393157 /nfs/dbraw/zinc/39/31/57/1074393157.db2.gz OQGVGIBKFXJCII-XEZPLFJOSA-N 0 0 444.583 -0.151 20 0 IBADRN Cc1ncc(S(=O)(=O)NCCOCCOCCOCCOCCOCCO)n1C ZINC001198419914 1074393172 /nfs/dbraw/zinc/39/31/72/1074393172.db2.gz ZAPJJTHKIJZGPM-UHFFFAOYSA-N 0 0 439.531 -0.918 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1CCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001198421512 1074393185 /nfs/dbraw/zinc/39/31/85/1074393185.db2.gz KAEUYJOSLFSPGE-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1CCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001198421514 1074393311 /nfs/dbraw/zinc/39/33/11/1074393311.db2.gz KAEUYJOSLFSPGE-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C[C@@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1 ZINC001198421759 1074393347 /nfs/dbraw/zinc/39/33/47/1074393347.db2.gz MVYYVJFIJZJRKT-CHWSQXEVSA-N 0 0 426.557 -0.740 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C[C@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1 ZINC001198421760 1074393221 /nfs/dbraw/zinc/39/32/21/1074393221.db2.gz MVYYVJFIJZJRKT-OLZOCXBDSA-N 0 0 426.557 -0.740 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C[C@@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1 ZINC001198421762 1074393338 /nfs/dbraw/zinc/39/33/38/1074393338.db2.gz MVYYVJFIJZJRKT-QWHCGFSZSA-N 0 0 426.557 -0.740 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C[C@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1 ZINC001198421764 1074393134 /nfs/dbraw/zinc/39/31/34/1074393134.db2.gz MVYYVJFIJZJRKT-STQMWFEESA-N 0 0 426.557 -0.740 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2[nH]nc3ccc(Br)cc32)[C@@H](O)[C@H](O)[C@@H]1O ZINC001198425945 1074393389 /nfs/dbraw/zinc/39/33/89/1074393389.db2.gz UHFWQDWROBGRAA-ZLDYVJPJSA-N 0 0 430.255 -0.101 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC001198430015 1074393381 /nfs/dbraw/zinc/39/33/81/1074393381.db2.gz QLMBBKRHGHGQKI-CYBMUJFWSA-N 0 0 442.494 -0.800 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC001198430022 1074393319 /nfs/dbraw/zinc/39/33/19/1074393319.db2.gz QLMBBKRHGHGQKI-ZDUSSCGKSA-N 0 0 442.494 -0.800 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001198431641 1074393230 /nfs/dbraw/zinc/39/32/30/1074393230.db2.gz ZNRSZISWGBTIQS-INIZCTEOSA-N 0 0 438.506 -0.275 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1CC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001198431643 1074393359 /nfs/dbraw/zinc/39/33/59/1074393359.db2.gz ZNRSZISWGBTIQS-MRXNPFEDSA-N 0 0 438.506 -0.275 20 0 IBADRN Cc1ncc(S(=O)(=O)N[C@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)C(C)C)n1C ZINC001198432331 1074393368 /nfs/dbraw/zinc/39/33/68/1074393368.db2.gz KZILLHOZKXKPOD-WBVHZDCISA-N 0 0 437.522 -0.050 20 0 IBADRN Cc1nn(C2CCOCC2)c(C)c1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001198447454 1074393693 /nfs/dbraw/zinc/39/36/93/1074393693.db2.gz WSLOMIKVBBIBPC-VVHMCBODSA-N 0 0 448.480 -0.718 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)c1ccc(N2CCCNC2=O)nc1 ZINC001198454646 1074393213 /nfs/dbraw/zinc/39/32/13/1074393213.db2.gz BXDCMDJOYLBCQZ-UHFFFAOYSA-N 0 0 427.425 -0.736 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccc(N3CCCNC3=O)nc1)C2 ZINC001198461417 1074393145 /nfs/dbraw/zinc/39/31/45/1074393145.db2.gz OYLSVBCLVFQTPV-UHFFFAOYSA-N 0 0 442.480 -0.146 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc(N4CCCNC4=O)nc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198470389 1074393782 /nfs/dbraw/zinc/39/37/82/1074393782.db2.gz PTVMJHRAGOZCRO-SQWLQELKSA-N 0 0 444.448 -0.350 20 0 IBADRN Cn1c2ccc(C(=O)Nc3ccnc4c(N(CCO)CCO)cnn43)cc2n(C)c1=O ZINC001198493866 1074393930 /nfs/dbraw/zinc/39/39/30/1074393930.db2.gz AECPCCHUVZWQAH-UHFFFAOYSA-N 0 0 425.449 -0.037 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccc3c(c1)n(C)c(=O)n3C)C2 ZINC001198494763 1074393617 /nfs/dbraw/zinc/39/36/17/1074393617.db2.gz MQUUEFOLMZXCET-UHFFFAOYSA-N 0 0 427.465 -0.271 20 0 IBADRN Cn1c2ccc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)cc2n(C)c1=O ZINC001198519937 1074393849 /nfs/dbraw/zinc/39/38/49/1074393849.db2.gz NWJLJIVXHJROEC-HOCLYGCPSA-N 0 0 439.476 -0.583 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc4c(c3)n(C)c(=O)n4C)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198520448 1074393914 /nfs/dbraw/zinc/39/39/14/1074393914.db2.gz WFUHRMTUNDKGAF-APHBMKBZSA-N 0 0 429.433 -0.474 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NC2CCCC2)[C@H](O)[C@@H]1O ZINC001198522599 1074393669 /nfs/dbraw/zinc/39/36/69/1074393669.db2.gz SEYVEPSFDODBLF-PFHKOEEOSA-N 0 0 435.510 -0.189 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001198561332 1074394557 /nfs/dbraw/zinc/39/45/57/1074394557.db2.gz ZJGCAMNSAFFJJG-UHFFFAOYSA-N 0 0 438.503 -0.093 20 0 IBADRN C[C@@](Cn1cccn1)(NCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(N)=O ZINC001198572160 1074394240 /nfs/dbraw/zinc/39/42/40/1074394240.db2.gz BHBAXDLNHPMMBF-IBGZPJMESA-N 0 0 434.522 -0.750 20 0 IBADRN C[C@](Cn1cccn1)(NCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C(N)=O ZINC001198572161 1074394413 /nfs/dbraw/zinc/39/44/13/1074394413.db2.gz BHBAXDLNHPMMBF-LJQANCHMSA-N 0 0 434.522 -0.750 20 0 IBADRN Cc1nn(-c2cccnc2)c(C)c1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001198637912 1074394431 /nfs/dbraw/zinc/39/44/31/1074394431.db2.gz GNVZVASLBHJJNG-VVHMCBODSA-N 0 0 441.448 -0.685 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1nc3ccccc3n(C)c1=O)C2 ZINC001198646165 1074394341 /nfs/dbraw/zinc/39/43/41/1074394341.db2.gz WZSUJTLOUIMKLB-UHFFFAOYSA-N 0 0 425.449 -0.214 20 0 IBADRN C=CS(=O)(=O)NCCOCCOCCOCCOCCOCCOCCOC ZINC001198649744 1074394528 /nfs/dbraw/zinc/39/45/28/1074394528.db2.gz BPTWYZSVYUPFMH-UHFFFAOYSA-N 0 0 429.532 -0.205 20 0 IBADRN Cc1nn(CC2CCOCC2)c(C)c1C(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001198665120 1074394174 /nfs/dbraw/zinc/39/41/74/1074394174.db2.gz OZOBCXGISABIPN-NAHOJYEASA-N 0 0 427.498 -0.500 20 0 IBADRN Cn1c2ccccc2nc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c1=O ZINC001198678210 1074394491 /nfs/dbraw/zinc/39/44/91/1074394491.db2.gz GNQGRPKBICLSKN-HOCLYGCPSA-N 0 0 437.460 -0.526 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3nc4ccccc4n(C)c3=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198678559 1074394911 /nfs/dbraw/zinc/39/49/11/1074394911.db2.gz LIGHINZIRXNOMD-NWANDNLSSA-N 0 0 427.417 -0.417 20 0 IBADRN COCCn1c(=O)cccc1C(=O)N1CCc2c(C(=O)N3CCN(C)CC3)nn(C)c2C1 ZINC001198690691 1074394355 /nfs/dbraw/zinc/39/43/55/1074394355.db2.gz YFNUICMQCRWCPW-UHFFFAOYSA-N 0 0 442.520 -0.186 20 0 IBADRN COCCn1c(=O)cccc1C(=O)N1CCc2ccc(=O)n(CC(=O)N(C)C)c2CC1 ZINC001198693738 1074394376 /nfs/dbraw/zinc/39/43/76/1074394376.db2.gz OCHAGZIZBQPYHA-UHFFFAOYSA-N 0 0 428.489 -0.014 20 0 IBADRN COCCn1c(=O)cccc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001198702112 1074394201 /nfs/dbraw/zinc/39/42/01/1074394201.db2.gz BVPYUALCMIVSTQ-GJZGRUSLSA-N 0 0 430.465 -0.965 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cncn2-c2ccc(F)cc2)c(=O)n1 ZINC001198720980 1074394922 /nfs/dbraw/zinc/39/49/22/1074394922.db2.gz XFHNEXAWKOAEFB-GFOCRRMGSA-N 0 0 430.396 -0.558 20 0 IBADRN COc1nc(Cl)ccc1S(=O)(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001198722880 1074394833 /nfs/dbraw/zinc/39/48/33/1074394833.db2.gz NDYXHVPOPHAPBZ-UHFFFAOYSA-N 0 0 431.858 -0.591 20 0 IBADRN Cc1nc2ncccn2c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001198727902 1074394846 /nfs/dbraw/zinc/39/48/46/1074394846.db2.gz VZAHEWHVPSPGAF-ARFHVFGLSA-N 0 0 447.517 -0.228 20 0 IBADRN Cc1nc2ncccn2c1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001198727904 1074394999 /nfs/dbraw/zinc/39/49/99/1074394999.db2.gz VZAHEWHVPSPGAF-BZUAXINKSA-N 0 0 447.517 -0.228 20 0 IBADRN COc1nc(Cl)ccc1S(=O)(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001198728714 1074394978 /nfs/dbraw/zinc/39/49/78/1074394978.db2.gz KEIUIXIUMNFJOG-OPQQBVKSSA-N 0 0 446.869 -0.059 20 0 IBADRN COc1nc(Cl)ccc1S(=O)(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001198730118 1074395061 /nfs/dbraw/zinc/39/50/61/1074395061.db2.gz HBYTUTGBLROIJK-LBPRGKRZSA-N 0 0 442.881 -0.060 20 0 IBADRN COc1nc(Cl)ccc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001198730482 1074394856 /nfs/dbraw/zinc/39/48/56/1074394856.db2.gz LGTHBVRDUMMDIN-LBPRGKRZSA-N 0 0 442.881 -0.060 20 0 IBADRN Cc1nc2ncccn2c1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001198734782 1074394811 /nfs/dbraw/zinc/39/48/11/1074394811.db2.gz UTLMZHMAMPAOBD-GJZGRUSLSA-N 0 0 443.508 -0.225 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1nc(=N)n(C(=O)c1ccc3c(c1)CCC3)c2N ZINC001198763796 1074394820 /nfs/dbraw/zinc/39/48/20/1074394820.db2.gz XGKGDKODYBLAOF-KHTYJDQRSA-N 0 0 440.460 -0.263 20 0 IBADRN O=C(Nc1ncnc2c1[nH]c(=O)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccc2c(c1)CCC2 ZINC001198763836 1074394932 /nfs/dbraw/zinc/39/49/32/1074394932.db2.gz ZFUSRZVMMGPTGX-QEPJRFBGSA-N 0 0 427.417 -0.116 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CCO3)CC2)cc1C ZINC001198767962 1074395100 /nfs/dbraw/zinc/39/51/00/1074395100.db2.gz GCQCEMVACUXDAV-UHFFFAOYSA-N 0 0 445.563 -0.202 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccn(CC2CC2)c(=O)c1 ZINC001198782553 1074394881 /nfs/dbraw/zinc/39/48/81/1074394881.db2.gz HHTBIMXJMYBOFT-IRXDYDNUSA-N 0 0 426.477 -0.201 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cccc(=O)n1CC1CC1 ZINC001198803606 1074395561 /nfs/dbraw/zinc/39/55/61/1074395561.db2.gz MQRNFEPJKKAKNW-HOTGVXAUSA-N 0 0 426.477 -0.201 20 0 IBADRN COCNC(=S)Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001198803629 1074395491 /nfs/dbraw/zinc/39/54/91/1074395491.db2.gz ZTIIEUMTWVUXQP-IOSLPCCCSA-N 0 0 449.287 -0.909 20 0 IBADRN COCNC(=S)N1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001198813641 1074395605 /nfs/dbraw/zinc/39/56/05/1074395605.db2.gz KLKPHRVDHLQRSJ-PBHICJAKSA-N 0 0 434.584 -0.560 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)c2cc(Br)ccc2n1 ZINC001198817785 1074395430 /nfs/dbraw/zinc/39/54/30/1074395430.db2.gz LDCMNDUDMWLIJU-DRXUAVOGSA-N 0 0 427.251 -0.165 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)c2cc(Br)ccc2n1 ZINC001198817802 1074395420 /nfs/dbraw/zinc/39/54/20/1074395420.db2.gz LDCMNDUDMWLIJU-IETPZCKNSA-N 0 0 427.251 -0.165 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc(OCC3CCC3)ncn2)c(=O)n1 ZINC001198823084 1074395632 /nfs/dbraw/zinc/39/56/32/1074395632.db2.gz YKIWSFVGTMPCCZ-HALQFCHDSA-N 0 0 432.437 -0.914 20 0 IBADRN COCCn1cc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)ccc1=O ZINC001198828326 1074395043 /nfs/dbraw/zinc/39/50/43/1074395043.db2.gz FZOXBDKNJJUXTJ-UHFFFAOYSA-N 0 0 442.520 -0.186 20 0 IBADRN COCCn1cc(C(=O)N2CCc3ccc(=O)n(CC(=O)N(C)C)c3CC2)ccc1=O ZINC001198831930 1074394964 /nfs/dbraw/zinc/39/49/64/1074394964.db2.gz IZSAFGKBOJGNBV-UHFFFAOYSA-N 0 0 428.489 -0.014 20 0 IBADRN COCCn1cc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)ccc1=O ZINC001198840348 1074395503 /nfs/dbraw/zinc/39/55/03/1074395503.db2.gz XLMRWLBNIPRFGH-HOTGVXAUSA-N 0 0 430.465 -0.965 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cnccc2OCC2CCOCC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001198867485 1074395814 /nfs/dbraw/zinc/39/58/14/1074395814.db2.gz ICZRWFBUBRQUNA-CXAZJQLCSA-N 0 0 426.466 -0.539 20 0 IBADRN COCCOCCOCCOCCOCCOCCOCCNC(=S)NCOC ZINC001198868336 1074395996 /nfs/dbraw/zinc/39/59/96/1074395996.db2.gz RMBLODOEQIIQPZ-UHFFFAOYSA-N 0 0 442.575 -0.200 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2ccc3c(c2)COC3)[C@H]1O ZINC001198871421 1074395837 /nfs/dbraw/zinc/39/58/37/1074395837.db2.gz OBJARNCEFJTTMX-BONWFUQQSA-N 0 0 440.460 -0.028 20 0 IBADRN O=C(Nc1ccnc2c(N(CCO)CCO)cnn21)c1cnc(=O)n(C2CCOCC2)c1 ZINC001198888482 1074395910 /nfs/dbraw/zinc/39/59/10/1074395910.db2.gz NDJBYELXHHVUOE-UHFFFAOYSA-N 0 0 443.464 -0.319 20 0 IBADRN O=C(c1cnc(=O)n(C2CCOCC2)c1)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001198888498 1074395971 /nfs/dbraw/zinc/39/59/71/1074395971.db2.gz OAZDWOGUWMKXCE-UHFFFAOYSA-N 0 0 429.481 -0.326 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)c2cnc(=O)n(C3CCOCC3)c2)C1 ZINC001198889426 1074395955 /nfs/dbraw/zinc/39/59/55/1074395955.db2.gz YUGCLCRTRYKAPG-INIZCTEOSA-N 0 0 444.492 -0.174 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)c2cnc(=O)n(C3CCOCC3)c2)C1 ZINC001198889428 1074396489 /nfs/dbraw/zinc/39/64/89/1074396489.db2.gz YUGCLCRTRYKAPG-MRXNPFEDSA-N 0 0 444.492 -0.174 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)c3cnc(=O)n(C4CCOCC4)c3)C2)C1 ZINC001198889463 1074396296 /nfs/dbraw/zinc/39/62/96/1074396296.db2.gz ZFOZGQPLDBXXDY-JOCHJYFZSA-N 0 0 447.536 -0.105 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)c3cnc(=O)n(C4CCOCC4)c3)C2)C1 ZINC001198889467 1074396396 /nfs/dbraw/zinc/39/63/96/1074396396.db2.gz ZFOZGQPLDBXXDY-QFIPXVFZSA-N 0 0 447.536 -0.105 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cnc(=O)n(C3CCOCC3)c1)C2 ZINC001198891029 1074396526 /nfs/dbraw/zinc/39/65/26/1074396526.db2.gz CGCMIZJCBMNHRK-UHFFFAOYSA-N 0 0 445.480 -0.553 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1cnc(=O)n(C3CCOCC3)c1)C2 ZINC001198891338 1074396548 /nfs/dbraw/zinc/39/65/48/1074396548.db2.gz HHLUCXPAKVJZPF-AWEZNQCLSA-N 0 0 425.463 -0.603 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1cnc(=O)n(C3CCOCC3)c1)C2 ZINC001198891339 1074396583 /nfs/dbraw/zinc/39/65/83/1074396583.db2.gz HHLUCXPAKVJZPF-CQSZACIVSA-N 0 0 425.463 -0.603 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)c3cnc(=O)n(C4CCOCC4)c3)CC[C@H]2C1=O ZINC001198892717 1074396429 /nfs/dbraw/zinc/39/64/29/1074396429.db2.gz SJXJERVZXFITIL-MSOLQXFVSA-N 0 0 434.493 -0.069 20 0 IBADRN O=C(c1n[nH]c2c1CN(C(=O)c1cnc(=O)n(C3CCOCC3)c1)C2)N1CCOCC1 ZINC001198893259 1074396509 /nfs/dbraw/zinc/39/65/09/1074396509.db2.gz WVUVMMZSCIXCQS-UHFFFAOYSA-N 0 0 428.449 -0.054 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)c3cnc(=O)n(C4CCOCC4)c3)C[C@H]2C1 ZINC001198893396 1074396321 /nfs/dbraw/zinc/39/63/21/1074396321.db2.gz YLEZVLMWAYFWNJ-ZBFHGGJFSA-N 0 0 439.538 -0.205 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1cnc(=O)n(C3CCOCC3)c1)C[C@H]2C(=O)N(C)C ZINC001198893531 1074396576 /nfs/dbraw/zinc/39/65/76/1074396576.db2.gz SPOBRJQCKWGJJQ-KRWDZBQOSA-N 0 0 431.493 -0.397 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1cnc(=O)n(C3CCOCC3)c1)C[C@@H]2C(=O)N(C)C ZINC001198893532 1074396444 /nfs/dbraw/zinc/39/64/44/1074396444.db2.gz SPOBRJQCKWGJJQ-QGZVFWFLSA-N 0 0 431.493 -0.397 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2occc2c1 ZINC001198896174 1074395930 /nfs/dbraw/zinc/39/59/30/1074395930.db2.gz ICUMJQQBLLCSDK-IRXDYDNUSA-N 0 0 447.469 -0.010 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnc(=O)n(C4CCOCC4)c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001198901128 1074395918 /nfs/dbraw/zinc/39/59/18/1074395918.db2.gz SFZZDKUKYLOKFY-ARFHVFGLSA-N 0 0 447.448 -0.756 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1cnc(=O)n(C2CCOCC2)c1 ZINC001198901443 1074395980 /nfs/dbraw/zinc/39/59/80/1074395980.db2.gz WTKWESLXMYOPAD-KRWDZBQOSA-N 0 0 427.461 -0.463 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc(=O)n(CC2CC2)c1 ZINC001198921287 1074396334 /nfs/dbraw/zinc/39/63/34/1074396334.db2.gz JQXAHYMLKWMUTR-IRXDYDNUSA-N 0 0 426.477 -0.201 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC001198923147 1074396590 /nfs/dbraw/zinc/39/65/90/1074396590.db2.gz SXUASCOFJXULCS-CVEARBPZSA-N 0 0 446.551 -0.444 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC001198923148 1074396455 /nfs/dbraw/zinc/39/64/55/1074396455.db2.gz SXUASCOFJXULCS-HOTGVXAUSA-N 0 0 446.551 -0.444 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC001198923149 1074396755 /nfs/dbraw/zinc/39/67/55/1074396755.db2.gz SXUASCOFJXULCS-HZPDHXFCSA-N 0 0 446.551 -0.444 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC001198923150 1074396929 /nfs/dbraw/zinc/39/69/29/1074396929.db2.gz SXUASCOFJXULCS-JKSUJKDBSA-N 0 0 446.551 -0.444 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NCCN3CCNC3=S)c2)CC1 ZINC001198923218 1074396810 /nfs/dbraw/zinc/39/68/10/1074396810.db2.gz WUSZVZJEWKYEJV-UHFFFAOYSA-N 0 0 426.568 -0.066 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c(C)c1 ZINC001198976065 1074396779 /nfs/dbraw/zinc/39/67/79/1074396779.db2.gz VWXVXMOQNZAPCJ-IRXDYDNUSA-N 0 0 435.502 -0.144 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1C ZINC001198977556 1074396964 /nfs/dbraw/zinc/39/69/64/1074396964.db2.gz LBULRSJZOTUWRV-AVGNSLFASA-N 0 0 429.495 -0.147 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc(=O)n(C2CCC2)c1 ZINC001198980960 1074396983 /nfs/dbraw/zinc/39/69/83/1074396983.db2.gz IAAWLGHIFQFRCX-HOTGVXAUSA-N 0 0 427.465 -0.491 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1C[C@@H](O)[C@H](CO)C1 ZINC001198995550 1074396872 /nfs/dbraw/zinc/39/68/72/1074396872.db2.gz IVAVOUUZLSDKFL-DZGCQCFKSA-N 0 0 429.495 -0.077 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1C[C@H](O)[C@@H](CO)C1 ZINC001198995641 1074396767 /nfs/dbraw/zinc/39/67/67/1074396767.db2.gz IVAVOUUZLSDKFL-HIFRSBDPSA-N 0 0 429.495 -0.077 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1C[C@H](CO)[C@H](O)C1 ZINC001198995642 1074396885 /nfs/dbraw/zinc/39/68/85/1074396885.db2.gz IVAVOUUZLSDKFL-UKRRQHHQSA-N 0 0 429.495 -0.077 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1C[C@H](O)[C@H](CO)C1 ZINC001198995643 1074396803 /nfs/dbraw/zinc/39/68/03/1074396803.db2.gz IVAVOUUZLSDKFL-ZFWWWQNUSA-N 0 0 429.495 -0.077 20 0 IBADRN COC(=O)c1ccccc1NC(=S)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001198999496 1074396951 /nfs/dbraw/zinc/39/69/51/1074396951.db2.gz VGFFYBJUCBMWBQ-NMFUWQPSSA-N 0 0 436.446 -0.659 20 0 IBADRN COCCOc1cncc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c1 ZINC001198999561 1074397293 /nfs/dbraw/zinc/39/72/93/1074397293.db2.gz CPNPSFGMHHBGII-IRXDYDNUSA-N 0 0 430.465 -0.353 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCO[C@H](C(N)=O)C1 ZINC001199004924 1074397371 /nfs/dbraw/zinc/39/73/71/1074397371.db2.gz WFALUNSLFJJQDB-HNNXBMFYSA-N 0 0 442.494 -0.176 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001199004925 1074397341 /nfs/dbraw/zinc/39/73/41/1074397341.db2.gz WFALUNSLFJJQDB-OAHLLOKOSA-N 0 0 442.494 -0.176 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N[C@H](CO)C(=O)OC ZINC001199015246 1074396747 /nfs/dbraw/zinc/39/67/47/1074396747.db2.gz YJOKKZJLPRXHER-CYBMUJFWSA-N 0 0 431.467 -0.238 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)NC[C@@H]1CNC(=O)CO1 ZINC001199015681 1074396941 /nfs/dbraw/zinc/39/69/41/1074396941.db2.gz LYVIFQPBXLIOEM-AWEZNQCLSA-N 0 0 442.494 -0.257 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)NC[C@H]1CNC(=O)CO1 ZINC001199015682 1074396916 /nfs/dbraw/zinc/39/69/16/1074396916.db2.gz LYVIFQPBXLIOEM-CQSZACIVSA-N 0 0 442.494 -0.257 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)NC[C@H]1CC(=O)NC1=O ZINC001199018128 1074396731 /nfs/dbraw/zinc/39/67/31/1074396731.db2.gz PYDBZEUAGDNYSC-GFCCVEGCSA-N 0 0 440.478 -0.110 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)NC[C@@H]1CC(=O)NC1=O ZINC001199018138 1074396972 /nfs/dbraw/zinc/39/69/72/1074396972.db2.gz PYDBZEUAGDNYSC-LBPRGKRZSA-N 0 0 440.478 -0.110 20 0 IBADRN CCOc1ccc(NC(=O)N2CCCCC2)cc1S(=O)(=O)NC(C(N)=O)C(N)=O ZINC001199048283 1074397188 /nfs/dbraw/zinc/39/71/88/1074397188.db2.gz PETFCPFODCWQSW-UHFFFAOYSA-N 0 0 427.483 -0.279 20 0 IBADRN CCOc1ccc(NC(=O)N2CCCCC2)cc1S(=O)(=O)NCC(=O)NCC(N)=O ZINC001199062409 1074397228 /nfs/dbraw/zinc/39/72/28/1074397228.db2.gz JUTOZSNEDSZSMR-UHFFFAOYSA-N 0 0 441.510 -0.017 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2cccc(C(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)c2C1 ZINC001199063212 1074397161 /nfs/dbraw/zinc/39/71/61/1074397161.db2.gz ITFIZSDNMHQEIG-VDCDIQELSA-N 0 0 438.477 -0.491 20 0 IBADRN CC(C)(C)OC(=O)N1CCc2cccc(C(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)c2C1 ZINC001199063213 1074397216 /nfs/dbraw/zinc/39/72/16/1074397216.db2.gz ITFIZSDNMHQEIG-VYIWNADRSA-N 0 0 438.477 -0.491 20 0 IBADRN CCOC(=O)c1ccc(NC(=S)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)cc1 ZINC001199069269 1074397438 /nfs/dbraw/zinc/39/74/38/1074397438.db2.gz TWYBYYGFLDAWIW-AWEZNQCLSA-N 0 0 435.506 -0.258 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cncn1C1CCOCC1 ZINC001199084312 1074397247 /nfs/dbraw/zinc/39/72/47/1074397247.db2.gz YFAXFVZBUXZKGU-HOTGVXAUSA-N 0 0 429.481 -0.225 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=S)N2C[C@@H](NC(=O)c3cnccn3)[C@H]3COC[C@H]32)cc1 ZINC001199089684 1074397149 /nfs/dbraw/zinc/39/71/49/1074397149.db2.gz ANUWSMPCFCXLDC-FVQBIDKESA-N 0 0 448.530 -0.050 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=S)N2CCn3c(CN4CCOCC4)nnc3C2)cc1 ZINC001199089846 1074397178 /nfs/dbraw/zinc/39/71/78/1074397178.db2.gz CSRIEXMJMGNJBT-UHFFFAOYSA-N 0 0 437.551 -0.030 20 0 IBADRN CC(=O)N1CCOC[C@@]2(CN(C(=S)Nc3ccc(S(N)(=O)=O)cc3)CCO2)C1 ZINC001199089979 1074397375 /nfs/dbraw/zinc/39/73/75/1074397375.db2.gz DRJRWFGRETZXIQ-KRWDZBQOSA-N 0 0 428.536 -0.020 20 0 IBADRN CC(=O)N1CCOC[C@]2(CN(C(=S)Nc3ccc(S(N)(=O)=O)cc3)CCO2)C1 ZINC001199089980 1074397331 /nfs/dbraw/zinc/39/73/31/1074397331.db2.gz DRJRWFGRETZXIQ-QGZVFWFLSA-N 0 0 428.536 -0.020 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CN(C(=S)Nc2ccc(S(N)(=O)=O)cc2)[C@@H]2COC[C@H]12 ZINC001199090386 1074397237 /nfs/dbraw/zinc/39/72/37/1074397237.db2.gz KHSAHGOTDWACPQ-RRFJBIMHSA-N 0 0 428.536 -0.165 20 0 IBADRN CCN1C[C@@]23CN(C(=S)Nc4ccc(S(N)(=O)=O)cc4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001199091172 1074397362 /nfs/dbraw/zinc/39/73/62/1074397362.db2.gz VDFHBWWLIGNYPP-INWMFGNUSA-N 0 0 446.576 -0.092 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1Cc1ccncc1 ZINC001199101719 1074397400 /nfs/dbraw/zinc/39/74/00/1074397400.db2.gz WWKNZXSTRQBYCL-KLICCBINSA-N 0 0 441.448 -0.934 20 0 IBADRN CN(C)C(=O)CN1C(=O)C[C@H]2[C@@H]1CCN2C(=S)Nc1ccc(S(N)(=O)=O)cc1 ZINC001199104731 1074397202 /nfs/dbraw/zinc/39/72/02/1074397202.db2.gz GLYOBWAOPRXMLO-KBPBESRZSA-N 0 0 425.536 -0.206 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=S)N2C[C@H]3C[C@@H](C(=O)N4CCOCC4)[C@@H](C2)O3)cc1 ZINC001199105324 1074397352 /nfs/dbraw/zinc/39/73/52/1074397352.db2.gz VICSETMVYWILKC-FVQBIDKESA-N 0 0 440.547 -0.021 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=S)N2C[C@H]3C[C@H](C(=O)N4CCOCC4)[C@@H](C2)O3)cc1 ZINC001199105325 1074397390 /nfs/dbraw/zinc/39/73/90/1074397390.db2.gz VICSETMVYWILKC-VNQPRFMTSA-N 0 0 440.547 -0.021 20 0 IBADRN CC(=O)N1CCO[C@]2(C1)COCCN(C(=S)Nc1ccc(S(N)(=O)=O)cc1)C2 ZINC001199105361 1074397868 /nfs/dbraw/zinc/39/78/68/1074397868.db2.gz WKRWOOYPWMDEFT-KRWDZBQOSA-N 0 0 428.536 -0.020 20 0 IBADRN CC(=O)N1CCO[C@@]2(C1)COCCN(C(=S)Nc1ccc(S(N)(=O)=O)cc1)C2 ZINC001199105362 1074397929 /nfs/dbraw/zinc/39/79/29/1074397929.db2.gz WKRWOOYPWMDEFT-QGZVFWFLSA-N 0 0 428.536 -0.020 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=S)Nc1ccc(S(N)(=O)=O)cc1)C2 ZINC001199116082 1074397743 /nfs/dbraw/zinc/39/77/43/1074397743.db2.gz KKOLTWLAFDBDKO-GFCCVEGCSA-N 0 0 433.533 -0.307 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=S)Nc1ccc(S(N)(=O)=O)cc1)C2 ZINC001199116083 1074397804 /nfs/dbraw/zinc/39/78/04/1074397804.db2.gz KKOLTWLAFDBDKO-LBPRGKRZSA-N 0 0 433.533 -0.307 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2ccncc2OCC2CCOCC2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001199124879 1074397763 /nfs/dbraw/zinc/39/77/63/1074397763.db2.gz UXSNEYGUVCGCAS-CXAZJQLCSA-N 0 0 426.466 -0.539 20 0 IBADRN COCCOc1cnc(C(=O)N2CCc3ccc(=O)n(CC(=O)N(C)C)c3CC2)cn1 ZINC001199126721 1074397756 /nfs/dbraw/zinc/39/77/56/1074397756.db2.gz UXDRTUPPCBUENS-UHFFFAOYSA-N 0 0 429.477 -0.007 20 0 IBADRN COCCOc1cnc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)cn1 ZINC001199126917 1074397726 /nfs/dbraw/zinc/39/77/26/1074397726.db2.gz ZHDHAMXLKVTPFW-UHFFFAOYSA-N 0 0 443.508 -0.179 20 0 IBADRN COCCOc1cnc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cn1 ZINC001199131551 1074397648 /nfs/dbraw/zinc/39/76/48/1074397648.db2.gz VHLVROQUOOMVGD-ZFWWWQNUSA-N 0 0 431.453 -0.958 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=S)Nc1ccc(S(N)(=O)=O)cc1)C[C@H]2C(=O)N(C)C ZINC001199137018 1074397873 /nfs/dbraw/zinc/39/78/73/1074397873.db2.gz HKWKQJIMDZQKER-HNNXBMFYSA-N 0 0 439.563 -0.101 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=S)Nc1ccc(S(N)(=O)=O)cc1)C[C@@H]2C(=O)N(C)C ZINC001199137025 1074397777 /nfs/dbraw/zinc/39/77/77/1074397777.db2.gz HKWKQJIMDZQKER-OAHLLOKOSA-N 0 0 439.563 -0.101 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc(OCC2CC2)cn1 ZINC001199143447 1074397936 /nfs/dbraw/zinc/39/79/36/1074397936.db2.gz IFPKWBLBOJANFQ-HOCLYGCPSA-N 0 0 427.465 -0.194 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc(OC2CCC2)cn1 ZINC001199149392 1074397685 /nfs/dbraw/zinc/39/76/85/1074397685.db2.gz URAJDGLFPGVQOP-HOCLYGCPSA-N 0 0 427.465 -0.052 20 0 IBADRN COCCOc1ccnc(C(=O)N2CCc3ccc(=O)n(CC(=O)N(C)C)c3CC2)n1 ZINC001199191237 1074397814 /nfs/dbraw/zinc/39/78/14/1074397814.db2.gz BUEIZVIWWBAZEE-UHFFFAOYSA-N 0 0 429.477 -0.007 20 0 IBADRN COCCOc1ccnc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)n1 ZINC001199193314 1074397825 /nfs/dbraw/zinc/39/78/25/1074397825.db2.gz RTTJTGXHSMYQDR-UHFFFAOYSA-N 0 0 443.508 -0.179 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccc(O)c(=O)[nH]2)CC3)C1=O ZINC001199195563 1074397786 /nfs/dbraw/zinc/39/77/86/1074397786.db2.gz BPUYNVXVXHUOMP-IBGZPJMESA-N 0 0 438.506 -0.161 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C(=O)c2ccc(O)c(=O)[nH]2)CC3)C1=O ZINC001199195564 1074398406 /nfs/dbraw/zinc/39/84/06/1074398406.db2.gz BPUYNVXVXHUOMP-LJQANCHMSA-N 0 0 438.506 -0.161 20 0 IBADRN O=C(c1ccc(O)c(=O)[nH]1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001199196004 1074398307 /nfs/dbraw/zinc/39/83/07/1074398307.db2.gz OFJZWXRTGPTMHW-LALPHHSUSA-N 0 0 425.463 -0.773 20 0 IBADRN O=C(c1ccc(O)c(=O)[nH]1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001199196005 1074398357 /nfs/dbraw/zinc/39/83/57/1074398357.db2.gz OFJZWXRTGPTMHW-YWPYICTPSA-N 0 0 425.463 -0.773 20 0 IBADRN O=C(c1ccc(O)c(=O)[nH]1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001199197067 1074398245 /nfs/dbraw/zinc/39/82/45/1074398245.db2.gz ZMJVLHMHLRIPAQ-SWLSCSKDSA-N 0 0 447.473 -0.344 20 0 IBADRN COCCOc1ccnc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)n1 ZINC001199198158 1074398376 /nfs/dbraw/zinc/39/83/76/1074398376.db2.gz HBSISZJAMYAJPS-KBPBESRZSA-N 0 0 431.453 -0.958 20 0 IBADRN Cc1nn(-c2ccccc2)cc1C(=O)N[C@H]1[C@H](n2ccc(N)nc2=O)O[C@H](CO)[C@H]1O ZINC001199204002 1074398317 /nfs/dbraw/zinc/39/83/17/1074398317.db2.gz BYOBZDWPLMVTHU-KLICCBINSA-N 0 0 426.433 -0.389 20 0 IBADRN Cc1cn(CC(=O)NCCNC(=S)Nc2ccc(S(N)(=O)=O)cc2)c(=O)[nH]c1=O ZINC001199214638 1074398500 /nfs/dbraw/zinc/39/85/00/1074398500.db2.gz AANSYEDSFBRKAF-UHFFFAOYSA-N 0 0 440.507 -0.992 20 0 IBADRN COCCOc1ccnc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)c1 ZINC001199219281 1074398279 /nfs/dbraw/zinc/39/82/79/1074398279.db2.gz POEOWUXBJYTYBX-IRXDYDNUSA-N 0 0 430.465 -0.353 20 0 IBADRN CCOc1ncc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1 ZINC001199228177 1074398197 /nfs/dbraw/zinc/39/81/97/1074398197.db2.gz IYILMBXSTYCTAL-GJZGRUSLSA-N 0 0 434.497 -0.388 20 0 IBADRN CCOc1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001199230686 1074398367 /nfs/dbraw/zinc/39/83/67/1074398367.db2.gz WWJBVMDCQWSSSP-ARFHVFGLSA-N 0 0 438.506 -0.391 20 0 IBADRN CCOc1ncc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001199230687 1074398270 /nfs/dbraw/zinc/39/82/70/1074398270.db2.gz WWJBVMDCQWSSSP-BZUAXINKSA-N 0 0 438.506 -0.391 20 0 IBADRN COCCOc1ccncc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001199237734 1074398451 /nfs/dbraw/zinc/39/84/51/1074398451.db2.gz KEASOBRJGQHXOD-HOTGVXAUSA-N 0 0 430.465 -0.353 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnc(=O)n(C(C)C)c1)C(=O)NCC(N)=O ZINC001199247550 1074398174 /nfs/dbraw/zinc/39/81/74/1074398174.db2.gz NBDXIYISOVSDQD-HOTGVXAUSA-N 0 0 448.524 -0.439 20 0 IBADRN COCCOc1ncc(C(=O)N2CCc3c(C(=O)N4CCN(C)CC4)nn(C)c3C2)cn1 ZINC001199250295 1074398331 /nfs/dbraw/zinc/39/83/31/1074398331.db2.gz HQIUZYRJBTYSHA-UHFFFAOYSA-N 0 0 443.508 -0.179 20 0 IBADRN COCCOc1ncc(C(=O)N2CCc3ccc(=O)n(CC(=O)N(C)C)c3CC2)cn1 ZINC001199250896 1074398186 /nfs/dbraw/zinc/39/81/86/1074398186.db2.gz REWPWPAYYZUGKZ-UHFFFAOYSA-N 0 0 429.477 -0.007 20 0 IBADRN COCCOc1ncc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cn1 ZINC001199256076 1074398214 /nfs/dbraw/zinc/39/82/14/1074398214.db2.gz HOAUHZYDDXUAIG-GJZGRUSLSA-N 0 0 431.453 -0.958 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001199260310 1074398995 /nfs/dbraw/zinc/39/89/95/1074398995.db2.gz DKWONKYAIORLGK-IBGZPJMESA-N 0 0 440.522 -0.246 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001199260311 1074398702 /nfs/dbraw/zinc/39/87/02/1074398702.db2.gz DKWONKYAIORLGK-LJQANCHMSA-N 0 0 440.522 -0.246 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)NCc1nnc2n1CCNC2=O ZINC001199260718 1074398741 /nfs/dbraw/zinc/39/87/41/1074398741.db2.gz NTSHMMPZZQCXGW-UHFFFAOYSA-N 0 0 442.480 -0.261 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccccc1)NC(=S)Nc1ccc(S(N)(=O)=O)cc1 ZINC001199265132 1074398830 /nfs/dbraw/zinc/39/88/30/1074398830.db2.gz GUUIOYWNELPTSO-HNNXBMFYSA-N 0 0 435.531 -0.167 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=S)Nc1ccc(S(N)(=O)=O)cc1 ZINC001199267459 1074398765 /nfs/dbraw/zinc/39/87/65/1074398765.db2.gz XNZFZHRZKDHWCH-HNNXBMFYSA-N 0 0 435.531 -0.167 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cncc(N3CCOCC3)c1)C2 ZINC001199271866 1074398876 /nfs/dbraw/zinc/39/88/76/1074398876.db2.gz SIBQUFIJWQUFLC-UHFFFAOYSA-N 0 0 429.481 -0.230 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cncc(N2CCOCC2)c1 ZINC001199277250 1074398886 /nfs/dbraw/zinc/39/88/86/1074398886.db2.gz YPXRGYPUAPKHHP-ROUUACIJSA-N 0 0 441.492 -0.541 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cncc(N4CCOCC4)c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199277356 1074398958 /nfs/dbraw/zinc/39/89/58/1074398958.db2.gz NCNFXQQZYPBEMR-GVDBMIGSSA-N 0 0 431.449 -0.433 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ncccc3N3CCOCC3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199280164 1074398732 /nfs/dbraw/zinc/39/87/32/1074398732.db2.gz BXXJOIHBUBDYEK-NUEKZKHPSA-N 0 0 431.449 -0.433 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ncccc1N1CCOCC1)C2 ZINC001199280273 1074398818 /nfs/dbraw/zinc/39/88/18/1074398818.db2.gz ZYXFTUROBQMZPS-UHFFFAOYSA-N 0 0 429.481 -0.230 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ncccc1N1CCOCC1 ZINC001199284150 1074398921 /nfs/dbraw/zinc/39/89/21/1074398921.db2.gz SIDBGDJWRHFBLG-RDJZCZTQSA-N 0 0 441.492 -0.541 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc(-c2cnccn2)nc1 ZINC001199292949 1074398776 /nfs/dbraw/zinc/39/87/76/1074398776.db2.gz GGNQFSDYOOMZOE-HOCLYGCPSA-N 0 0 435.448 -0.526 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnc(-c4cnccn4)nc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199293935 1074399013 /nfs/dbraw/zinc/39/90/13/1074399013.db2.gz ZVVAKZQLQVQISN-NWANDNLSSA-N 0 0 425.405 -0.417 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cc(C(=O)N3CCOCC3)ccc2OC)CC1 ZINC001199315425 1074399330 /nfs/dbraw/zinc/39/93/30/1074399330.db2.gz MFJHYNYDVNUUNG-UHFFFAOYSA-N 0 0 441.510 -0.219 20 0 IBADRN COc1nccc(C(=O)N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001199322566 1074399319 /nfs/dbraw/zinc/39/93/19/1074399319.db2.gz TXYXAIQIQOTRAN-DZGCQCFKSA-N 0 0 446.489 -0.352 20 0 IBADRN COc1nccc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)n1 ZINC001199322759 1074399425 /nfs/dbraw/zinc/39/94/25/1074399425.db2.gz XASJWQUSCUOVHW-IBGZPJMESA-N 0 0 437.522 -0.169 20 0 IBADRN COc1nccc(C(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)n1 ZINC001199322760 1074399342 /nfs/dbraw/zinc/39/93/42/1074399342.db2.gz XASJWQUSCUOVHW-LJQANCHMSA-N 0 0 437.522 -0.169 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1-c1cccc(F)c1 ZINC001199348198 1074399360 /nfs/dbraw/zinc/39/93/60/1074399360.db2.gz WNGBUVFKWALGHE-KLICCBINSA-N 0 0 444.423 -0.249 20 0 IBADRN CN1CCN(c2ccncc2C(=O)Nc2ccnc3c(N(CCO)CCO)cnn32)CC1 ZINC001199356075 1074399408 /nfs/dbraw/zinc/39/94/08/1074399408.db2.gz SELVDEITYSCBMJ-UHFFFAOYSA-N 0 0 440.508 -0.081 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccncc2N2CCCCC2)c(=O)n1 ZINC001199362961 1074399681 /nfs/dbraw/zinc/39/96/81/1074399681.db2.gz BTPTZPZSELCZEU-KLICCBINSA-N 0 0 430.465 -0.497 20 0 IBADRN O=C(Nc1ccc2c(ccn2CC(=O)N2CCOCC2)c1)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001199387427 1074399296 /nfs/dbraw/zinc/39/92/96/1074399296.db2.gz UQXRYLBGPAEQAT-NVXWUHKLSA-N 0 0 436.490 -0.221 20 0 IBADRN COc1cccc(-n2cncc2C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1 ZINC001199389887 1074399794 /nfs/dbraw/zinc/39/97/94/1074399794.db2.gz QJTFLKBVFOIKCF-KLICCBINSA-N 0 0 442.432 -0.688 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cn(CC(F)(F)F)cn1 ZINC001199396963 1074399692 /nfs/dbraw/zinc/39/96/92/1074399692.db2.gz CFOQVGWIIRRWFW-AAEUAGOBSA-N 0 0 427.387 -0.014 20 0 IBADRN COCCn1ccnc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001199404642 1074400898 /nfs/dbraw/zinc/40/08/98/1074400898.db2.gz CRBZWGRTOBSHQA-ARFHVFGLSA-N 0 0 440.522 -0.736 20 0 IBADRN COCCn1ccnc1C(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001199404647 1074400790 /nfs/dbraw/zinc/40/07/90/1074400790.db2.gz CRBZWGRTOBSHQA-BZUAXINKSA-N 0 0 440.522 -0.736 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1nccn1Cc1cccnc1 ZINC001199404823 1074400828 /nfs/dbraw/zinc/40/08/28/1074400828.db2.gz VFTZEAWDSNORCI-IRXDYDNUSA-N 0 0 436.476 -0.133 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3nccn3Cc3cccnc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199405090 1074400927 /nfs/dbraw/zinc/40/09/27/1074400927.db2.gz ZETBLABYYVORBA-ARFHVFGLSA-N 0 0 426.433 -0.024 20 0 IBADRN COCCn1ccnc1C(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001199405318 1074400905 /nfs/dbraw/zinc/40/09/05/1074400905.db2.gz QQJLHBXWXZBFTG-GJZGRUSLSA-N 0 0 436.513 -0.734 20 0 IBADRN COCCn1ccnc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001199407442 1074400775 /nfs/dbraw/zinc/40/07/75/1074400775.db2.gz UOAPBBUVTGZMJD-CUGJGTHOSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1ccnc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1 ZINC001199407443 1074401031 /nfs/dbraw/zinc/40/10/31/1074401031.db2.gz UOAPBBUVTGZMJD-INJPQVFBSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1ccnc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001199407444 1074400873 /nfs/dbraw/zinc/40/08/73/1074400873.db2.gz UOAPBBUVTGZMJD-LCEIHVTHSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1ccnc1C(=O)Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001199407445 1074400963 /nfs/dbraw/zinc/40/09/63/1074400963.db2.gz UOAPBBUVTGZMJD-TVEAVKIZSA-N 0 0 439.490 -0.326 20 0 IBADRN COCCn1ccnc1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001199407669 1074400921 /nfs/dbraw/zinc/40/09/21/1074400921.db2.gz IXXQJNJGTJBGJJ-UHFFFAOYSA-N 0 0 437.887 -0.270 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=O)c3cc(N4CCCNC4=O)ncn3)C2)C1 ZINC001199423081 1074399719 /nfs/dbraw/zinc/39/97/19/1074399719.db2.gz BBCGTUPFEGMULD-NRFANRHFSA-N 0 0 445.524 -0.303 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=O)c3cc(N4CCCNC4=O)ncn3)C2)C1 ZINC001199423082 1074399801 /nfs/dbraw/zinc/39/98/01/1074399801.db2.gz BBCGTUPFEGMULD-OAQYLSRUSA-N 0 0 445.524 -0.303 20 0 IBADRN O=C(c1cc(N2CCCNC2=O)ncn1)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001199423518 1074399666 /nfs/dbraw/zinc/39/96/66/1074399666.db2.gz IPHGPNJLDJKTDP-UHFFFAOYSA-N 0 0 427.469 -0.524 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cn(Cc4ccncc4)cn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199423716 1074399816 /nfs/dbraw/zinc/39/98/16/1074399816.db2.gz KJBFTVAFRNIODT-USXIJHARSA-N 0 0 426.433 -0.024 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(C(=O)c1cc(N3CCCNC3=O)ncn1)CC2 ZINC001199423836 1074399774 /nfs/dbraw/zinc/39/97/74/1074399774.db2.gz ODPQYWCSVMAOIH-AWEZNQCLSA-N 0 0 432.481 -0.077 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(C(=O)c1cc(N3CCCNC3=O)ncn1)CC2 ZINC001199423837 1074399751 /nfs/dbraw/zinc/39/97/51/1074399751.db2.gz ODPQYWCSVMAOIH-CQSZACIVSA-N 0 0 432.481 -0.077 20 0 IBADRN O=C(Nc1ccnc2c(N(CCO)CCO)cnn21)c1cc(N2CCCNC2=O)ncn1 ZINC001199423850 1074399764 /nfs/dbraw/zinc/39/97/64/1074399764.db2.gz OLTZZLRYGJKOLP-UHFFFAOYSA-N 0 0 441.452 -0.518 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cn(Cc2ccncc2)cn1 ZINC001199424100 1074400518 /nfs/dbraw/zinc/40/05/18/1074400518.db2.gz SBZZXGUJSGLCDV-WMZOPIPTSA-N 0 0 436.476 -0.133 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(C(=O)c2cc(N3CCCNC3=O)ncn2)C1 ZINC001199424207 1074400484 /nfs/dbraw/zinc/40/04/84/1074400484.db2.gz VPSFBXKVMZIGHT-AWEZNQCLSA-N 0 0 442.480 -0.372 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(C(=O)c2cc(N3CCCNC3=O)ncn2)C1 ZINC001199424208 1074400497 /nfs/dbraw/zinc/40/04/97/1074400497.db2.gz VPSFBXKVMZIGHT-CQSZACIVSA-N 0 0 442.480 -0.372 20 0 IBADRN O=C(c1n[nH]c2c1CN(C(=O)c1cc(N3CCCNC3=O)ncn1)C2)N1CCOCC1 ZINC001199424548 1074400458 /nfs/dbraw/zinc/40/04/58/1074400458.db2.gz DLJZRUDAVMILRB-UHFFFAOYSA-N 0 0 426.437 -0.252 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=O)c3cc(N4CCCNC4=O)ncn3)C2)C1=O ZINC001199424603 1074400469 /nfs/dbraw/zinc/40/04/69/1074400469.db2.gz GSQTWFGRKQKLAH-NRFANRHFSA-N 0 0 443.508 -0.061 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=O)c3cc(N4CCCNC4=O)ncn3)C2)C1=O ZINC001199424604 1074400488 /nfs/dbraw/zinc/40/04/88/1074400488.db2.gz GSQTWFGRKQKLAH-OAQYLSRUSA-N 0 0 443.508 -0.061 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(C(=O)c3cc(N4CCCNC4=O)ncn3)C[C@H]2C1 ZINC001199424795 1074400404 /nfs/dbraw/zinc/40/04/04/1074400404.db2.gz IAOMWUBZDAYNJN-KGLIPLIRSA-N 0 0 437.526 -0.403 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cc(N3CCCNC3=O)ncn1)C2 ZINC001199424808 1074400513 /nfs/dbraw/zinc/40/05/13/1074400513.db2.gz IGXNUXAXAPYQQZ-UHFFFAOYSA-N 0 0 443.468 -0.751 20 0 IBADRN O=C(c1cc(N2CCCNC2=O)ncn1)N1CC[C@@H](NS(=O)(=O)c2ccccn2)C1 ZINC001199425151 1074400504 /nfs/dbraw/zinc/40/05/04/1074400504.db2.gz AACVNYKSFIJTRB-CYBMUJFWSA-N 0 0 431.478 -0.016 20 0 IBADRN O=C(c1cc(N2CCCNC2=O)ncn1)N1CC[C@H](NS(=O)(=O)c2ccccn2)C1 ZINC001199425156 1074400473 /nfs/dbraw/zinc/40/04/73/1074400473.db2.gz AACVNYKSFIJTRB-ZDUSSCGKSA-N 0 0 431.478 -0.016 20 0 IBADRN COCCN1CCO[C@H]2CN(C(=O)c3cc(N4CCCNC4=O)ncn3)CC[C@H]2C1=O ZINC001199425266 1074400511 /nfs/dbraw/zinc/40/05/11/1074400511.db2.gz NJXRRKFSLKUVSQ-ZBFHGGJFSA-N 0 0 432.481 -0.268 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1cc(N3CCCNC3=O)ncn1)C[C@H]2C(=O)N(C)C ZINC001199426742 1074400508 /nfs/dbraw/zinc/40/05/08/1074400508.db2.gz QBYYHSWLUZAJTE-AWEZNQCLSA-N 0 0 429.481 -0.595 20 0 IBADRN CC(=O)N1CC2(C1)CN(C(=O)c1cc(N3CCCNC3=O)ncn1)C[C@@H]2C(=O)N(C)C ZINC001199426743 1074400500 /nfs/dbraw/zinc/40/05/00/1074400500.db2.gz QBYYHSWLUZAJTE-CQSZACIVSA-N 0 0 429.481 -0.595 20 0 IBADRN O=C(CNC(=O)c1cc(N2CCCNC2=O)ncn1)NCC(=O)OCc1ccccc1 ZINC001199427129 1074400479 /nfs/dbraw/zinc/40/04/79/1074400479.db2.gz BIIYUWIEUQOHBT-UHFFFAOYSA-N 0 0 426.433 -0.014 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1cc(N2CCCNC2=O)ncn1 ZINC001199427585 1074400435 /nfs/dbraw/zinc/40/04/35/1074400435.db2.gz JUXQTJSYOGABRF-AWEZNQCLSA-N 0 0 425.449 -0.661 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cc(N4CCCNC4=O)ncn3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199428026 1074400493 /nfs/dbraw/zinc/40/04/93/1074400493.db2.gz OITXLUKQLLNKHE-NJZAAPMLSA-N 0 0 445.436 -0.955 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001199447364 1074400868 /nfs/dbraw/zinc/40/08/68/1074400868.db2.gz YFBSFPXGZIJZRH-AWEZNQCLSA-N 0 0 435.524 -0.108 20 0 IBADRN CS(=O)(=O)CCOc1cccc(NC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001199447366 1074400803 /nfs/dbraw/zinc/40/08/03/1074400803.db2.gz YFBSFPXGZIJZRH-CQSZACIVSA-N 0 0 435.524 -0.108 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2nccn2Cc2ccccc2F)c(=O)n1 ZINC001199470461 1074401040 /nfs/dbraw/zinc/40/10/40/1074401040.db2.gz YYORJOFKMKJQLH-NVQRDWNXSA-N 0 0 444.423 -0.499 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cc(OC2CCC2)ncn1 ZINC001199477488 1074401853 /nfs/dbraw/zinc/40/18/53/1074401853.db2.gz SIVCIXXFSBGMTH-HOTGVXAUSA-N 0 0 427.465 -0.052 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cnc(Oc3cccnc3)nc2)c(=O)n1 ZINC001199506534 1074401805 /nfs/dbraw/zinc/40/18/05/1074401805.db2.gz FPQQKDFAARZWJP-DNNBLBMLSA-N 0 0 441.404 -0.905 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnc(OC4CCOCC4)nc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199518756 1074401796 /nfs/dbraw/zinc/40/17/96/1074401796.db2.gz WYXXICCUHZRERN-ARFHVFGLSA-N 0 0 447.448 -0.316 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1cnc(OC2CCOCC2)nc1 ZINC001199519687 1074401693 /nfs/dbraw/zinc/40/16/93/1074401693.db2.gz JTLLCKOSQPHOEL-KRWDZBQOSA-N 0 0 427.461 -0.023 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(C(=O)c1cnc(OC3CCOCC3)nc1)C2 ZINC001199519818 1074401608 /nfs/dbraw/zinc/40/16/08/1074401608.db2.gz BMQIMMQZEMRSRI-AWEZNQCLSA-N 0 0 425.463 -0.163 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(C(=O)c1cnc(OC3CCOCC3)nc1)C2 ZINC001199519819 1074401705 /nfs/dbraw/zinc/40/17/05/1074401705.db2.gz BMQIMMQZEMRSRI-CQSZACIVSA-N 0 0 425.463 -0.163 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1cnc(OC3CCOCC3)nc1)C2 ZINC001199519820 1074401639 /nfs/dbraw/zinc/40/16/39/1074401639.db2.gz BNMMCOVUUCIESJ-UHFFFAOYSA-N 0 0 445.480 -0.113 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cncc(OCC3CCOCC3)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001199534431 1074401589 /nfs/dbraw/zinc/40/15/89/1074401589.db2.gz NCSGHJGJZDQMSK-CXAZJQLCSA-N 0 0 426.466 -0.539 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc(OCC3CCC3)ccn2)c(=O)n1 ZINC001199541080 1074401566 /nfs/dbraw/zinc/40/15/66/1074401566.db2.gz QYCAYCYRCSQNKX-KLICCBINSA-N 0 0 431.449 -0.309 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cc(OC3CCOCC3)ccn2)c(=O)n1 ZINC001199544378 1074402359 /nfs/dbraw/zinc/40/23/59/1074402359.db2.gz JCNZNRGXHJLSPP-KLICCBINSA-N 0 0 447.448 -0.930 20 0 IBADRN COCCOc1ccc(C(=O)N[C@@H](Cc2c[nH]cn2)C(=O)N2CCC[C@H]2C(N)=O)cn1 ZINC001199551419 1074402446 /nfs/dbraw/zinc/40/24/46/1074402446.db2.gz OWULLLRDMXVTGH-HOTGVXAUSA-N 0 0 430.465 -0.353 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=O)c1cn(-c3ncccn3)cn1)CC2 ZINC001199553942 1074401827 /nfs/dbraw/zinc/40/18/27/1074401827.db2.gz YKAZHGUJSGSASD-UHFFFAOYSA-N 0 0 435.492 -0.018 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnn(CC4CCOCC4)c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199567829 1074401679 /nfs/dbraw/zinc/40/16/79/1074401679.db2.gz ASBBCPSKQYMRDE-GVDBMIGSSA-N 0 0 433.465 -0.041 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnn(CC2CCOCC2)c1 ZINC001199568773 1074401471 /nfs/dbraw/zinc/40/14/71/1074401471.db2.gz ONTRDZAVNGXWAS-ROUUACIJSA-N 0 0 443.508 -0.150 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnn(Cc2ccccn2)c1 ZINC001199578827 1074401523 /nfs/dbraw/zinc/40/15/23/1074401523.db2.gz GXGYIRRHWDHRFA-ROUUACIJSA-N 0 0 436.476 -0.133 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3cnn(Cc4ccccn4)c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199579801 1074402404 /nfs/dbraw/zinc/40/24/04/1074402404.db2.gz WGDAOYBVECDVPQ-GVDBMIGSSA-N 0 0 426.433 -0.024 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)n(-c2cccnc2)n1 ZINC001199587669 1074402440 /nfs/dbraw/zinc/40/24/40/1074402440.db2.gz XHELNUYDMHZSRX-GFOCRRMGSA-N 0 0 427.421 -0.994 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2ccncc2OC2CCOCC2)c(=O)n1 ZINC001199623546 1074402426 /nfs/dbraw/zinc/40/24/26/1074402426.db2.gz ZJGOWGDUTCOCSE-KLICCBINSA-N 0 0 447.448 -0.930 20 0 IBADRN COCCOc1cnccc1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001199631428 1074402318 /nfs/dbraw/zinc/40/23/18/1074402318.db2.gz OZZDWALUYFUBKL-HOTGVXAUSA-N 0 0 430.465 -0.353 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1nccc(OC2CCC2)n1 ZINC001199635613 1074402414 /nfs/dbraw/zinc/40/24/14/1074402414.db2.gz KMRGFBQYOPJERQ-GJZGRUSLSA-N 0 0 427.465 -0.052 20 0 IBADRN CCOc1nccc(C(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001199637615 1074402350 /nfs/dbraw/zinc/40/23/50/1074402350.db2.gz KDTMJOHADCCXNZ-GJZGRUSLSA-N 0 0 434.497 -0.388 20 0 IBADRN CCOc1nccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001199638159 1074402398 /nfs/dbraw/zinc/40/23/98/1074402398.db2.gz OPBGUPPDVGHRAG-IIAWOOMASA-N 0 0 438.506 -0.391 20 0 IBADRN CCOc1nccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001199638161 1074402395 /nfs/dbraw/zinc/40/23/95/1074402395.db2.gz OPBGUPPDVGHRAG-SQWLQELKSA-N 0 0 438.506 -0.391 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccnc(OC2CCC2)n1 ZINC001199656083 1074402376 /nfs/dbraw/zinc/40/23/76/1074402376.db2.gz ZJIOXLLAOKKZDD-HOTGVXAUSA-N 0 0 427.465 -0.052 20 0 IBADRN Cc1cc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1Cc1ccccn1 ZINC001199662740 1074402433 /nfs/dbraw/zinc/40/24/33/1074402433.db2.gz HXLTZKJNWMAPEG-KLICCBINSA-N 0 0 441.448 -0.934 20 0 IBADRN C[Si](C)(C)c1ccnc(C(=O)N[C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)c1F ZINC001199735674 1074403507 /nfs/dbraw/zinc/40/35/07/1074403507.db2.gz JXHOIBXUEMTJEK-BRKJHEKRSA-N 0 0 437.504 -0.803 20 0 IBADRN O=C(c1cc(CCO)ccn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001199758342 1074403231 /nfs/dbraw/zinc/40/32/31/1074403231.db2.gz HHJFUDKVJZWTBJ-JFIYKMOQSA-N 0 0 437.518 -0.650 20 0 IBADRN O=C(c1cc(CCO)ccn1)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001199758346 1074403104 /nfs/dbraw/zinc/40/31/04/1074403104.db2.gz HHJFUDKVJZWTBJ-LZLYRXPVSA-N 0 0 437.518 -0.650 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cc(CCO)ccn2)cc1Cl ZINC001199758614 1074403224 /nfs/dbraw/zinc/40/32/24/1074403224.db2.gz QGRIEIZZGMNSTF-UHFFFAOYSA-N 0 0 434.883 -0.183 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cc(CCO)ccn1)C(=O)NCC(N)=O ZINC001199760119 1074403122 /nfs/dbraw/zinc/40/31/22/1074403122.db2.gz ISOPQAHBOUNHHY-RDJZCZTQSA-N 0 0 433.509 -0.647 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=O)c2cccnc2I)[C@@H](O)[C@H](O)[C@@H]1O ZINC001199769899 1074403200 /nfs/dbraw/zinc/40/32/00/1074403200.db2.gz HCMBAUWIAAQDTK-XXBAHBGESA-N 0 0 438.218 -0.740 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cnc(Oc3ccccc3)nc2)c(=O)n1 ZINC001199774109 1074403192 /nfs/dbraw/zinc/40/31/92/1074403192.db2.gz YZUKTKXHDUQVMF-GFOCRRMGSA-N 0 0 440.416 -0.300 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=O)c2cccn2Cc2ccccc2)c(=O)n1 ZINC001199779451 1074403651 /nfs/dbraw/zinc/40/36/51/1074403651.db2.gz CWHWDHKKXWKSMT-DLVXIWMQSA-N 0 0 425.445 -0.033 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cccc(N(C)C)n1)C(=O)NCC(N)=O ZINC001199789446 1074403637 /nfs/dbraw/zinc/40/36/37/1074403637.db2.gz BRTAMDBANNXVRC-HOTGVXAUSA-N 0 0 432.525 -0.115 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=S)Nc2ccc3c(c2)OCCO3)c(=O)[nH]1 ZINC001199789854 1074403575 /nfs/dbraw/zinc/40/35/75/1074403575.db2.gz PDHNITATGGODCE-DTZQCDIJSA-N 0 0 436.446 -0.674 20 0 IBADRN CN(C)c1cccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001199793989 1074403322 /nfs/dbraw/zinc/40/33/22/1074403322.db2.gz WWMYPTFMRXCNQP-BFYDXBDKSA-N 0 0 436.534 -0.118 20 0 IBADRN CN(C)c1cccc(C(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001199793990 1074403613 /nfs/dbraw/zinc/40/36/13/1074403613.db2.gz WWMYPTFMRXCNQP-ZMSDIMECSA-N 0 0 436.534 -0.118 20 0 IBADRN O=C(c1cnn2ccncc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001199803616 1074403623 /nfs/dbraw/zinc/40/36/23/1074403623.db2.gz BWOOLDFSRWOFRW-FRFSOERESA-N 0 0 433.490 -0.537 20 0 IBADRN O=C(c1cnn2ccncc12)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001199803623 1074403469 /nfs/dbraw/zinc/40/34/69/1074403469.db2.gz BWOOLDFSRWOFRW-YSVLISHTSA-N 0 0 433.490 -0.537 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1OC ZINC001199807079 1074403594 /nfs/dbraw/zinc/40/35/94/1074403594.db2.gz CDDXXPSAYGQJMT-NENBDWHOSA-N 0 0 440.482 -0.670 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1OC ZINC001199807080 1074403427 /nfs/dbraw/zinc/40/34/27/1074403427.db2.gz CDDXXPSAYGQJMT-OPYVMVOTSA-N 0 0 440.482 -0.670 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cnn3ccncc23)cc1Cl ZINC001199808271 1074403535 /nfs/dbraw/zinc/40/35/35/1074403535.db2.gz GTTXXEMBFNKFEW-UHFFFAOYSA-N 0 0 430.855 -0.070 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)c1cnn2ccncc12)C(=O)NCC(N)=O ZINC001199809426 1074403556 /nfs/dbraw/zinc/40/35/56/1074403556.db2.gz KMTWNFSWMQLRBR-GJZGRUSLSA-N 0 0 429.481 -0.534 20 0 IBADRN CCOc1ccc(NC(=O)N2CCCC2)cc1S(=O)(=O)N[C@@H](CC(N)=O)C(N)=O ZINC001199809921 1074403630 /nfs/dbraw/zinc/40/36/30/1074403630.db2.gz DAMCGACWBVJRPL-LBPRGKRZSA-N 0 0 427.483 -0.279 20 0 IBADRN CCOc1ccc(NC(=O)N2CCCC2)cc1S(=O)(=O)N[C@@H](C)C(=O)NCC(N)=O ZINC001199809953 1074403341 /nfs/dbraw/zinc/40/33/41/1074403341.db2.gz DQXLSCYTIATMMU-LBPRGKRZSA-N 0 0 441.510 -0.019 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001199812158 1074403450 /nfs/dbraw/zinc/40/34/50/1074403450.db2.gz QEKIYWLBUZUBLS-NZIXVDBUSA-N 0 0 425.467 -0.719 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001199812159 1074403497 /nfs/dbraw/zinc/40/34/97/1074403497.db2.gz QEKIYWLBUZUBLS-ZFNMXEQFSA-N 0 0 425.467 -0.719 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)c1cnn2ccncc12 ZINC001199814269 1074403371 /nfs/dbraw/zinc/40/33/71/1074403371.db2.gz KAMKQOAGZREKTK-BCDMZGRMSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)c1cnn2ccncc12 ZINC001199814274 1074404238 /nfs/dbraw/zinc/40/42/38/1074404238.db2.gz KAMKQOAGZREKTK-MUDKKEKZSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)c1cnn2ccncc12 ZINC001199814278 1074404119 /nfs/dbraw/zinc/40/41/19/1074404119.db2.gz KAMKQOAGZREKTK-QSUVVDIXSA-N 0 0 432.458 -0.126 20 0 IBADRN O=C(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cnn2ccncc12 ZINC001199814284 1074404182 /nfs/dbraw/zinc/40/41/82/1074404182.db2.gz KAMKQOAGZREKTK-RDHIYWLCSA-N 0 0 432.458 -0.126 20 0 IBADRN CCOc1ccc(NC(=O)N2CCCC2)cc1S(=O)(=O)NCC(=O)NCC(N)=O ZINC001199814883 1074404208 /nfs/dbraw/zinc/40/42/08/1074404208.db2.gz OMDNZLPYEMSJHV-UHFFFAOYSA-N 0 0 427.483 -0.407 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)N1CCCn2c(=O)cc(CNC(=O)COC)nc2C1 ZINC001199816597 1074404094 /nfs/dbraw/zinc/40/40/94/1074404094.db2.gz FBCVYMLCFWULGS-GFCCVEGCSA-N 0 0 425.511 -0.462 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)N1CCCn2c(=O)cc(CNC(=O)COC)nc2C1 ZINC001199816598 1074404127 /nfs/dbraw/zinc/40/41/27/1074404127.db2.gz FBCVYMLCFWULGS-LBPRGKRZSA-N 0 0 425.511 -0.462 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001199820764 1074404177 /nfs/dbraw/zinc/40/41/77/1074404177.db2.gz JEMYXEXCPUUFAL-HANFTRQESA-N 0 0 443.457 -0.580 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001199820768 1074404107 /nfs/dbraw/zinc/40/41/07/1074404107.db2.gz JEMYXEXCPUUFAL-IHWYNZOXSA-N 0 0 443.457 -0.580 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001199821189 1074404199 /nfs/dbraw/zinc/40/41/99/1074404199.db2.gz BODNGZQVAWGGAA-BYNSBNAKSA-N 0 0 447.579 -0.594 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001199821190 1074404168 /nfs/dbraw/zinc/40/41/68/1074404168.db2.gz BODNGZQVAWGGAA-GBJTYRQASA-N 0 0 447.579 -0.594 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001199821191 1074404516 /nfs/dbraw/zinc/40/45/16/1074404516.db2.gz BODNGZQVAWGGAA-KBUPBQIOSA-N 0 0 447.579 -0.594 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001199821192 1074404523 /nfs/dbraw/zinc/40/45/23/1074404523.db2.gz BODNGZQVAWGGAA-LXTVHRRPSA-N 0 0 447.579 -0.594 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001199821598 1074404487 /nfs/dbraw/zinc/40/44/87/1074404487.db2.gz CWYPQFFPGMRHQY-ACJLOTCBSA-N 0 0 425.511 -0.320 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001199821600 1074404532 /nfs/dbraw/zinc/40/45/32/1074404532.db2.gz CWYPQFFPGMRHQY-FZKQIMNGSA-N 0 0 425.511 -0.320 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001199821602 1074404542 /nfs/dbraw/zinc/40/45/42/1074404542.db2.gz CWYPQFFPGMRHQY-SCLBCKFNSA-N 0 0 425.511 -0.320 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001199821604 1074404503 /nfs/dbraw/zinc/40/45/03/1074404503.db2.gz CWYPQFFPGMRHQY-UGSOOPFHSA-N 0 0 425.511 -0.320 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001199833858 1074404186 /nfs/dbraw/zinc/40/41/86/1074404186.db2.gz CYHMQHXPSQNNOH-BFHYXJOUSA-N 0 0 434.584 -0.373 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001199833865 1074404217 /nfs/dbraw/zinc/40/42/17/1074404217.db2.gz CYHMQHXPSQNNOH-MGPQQGTHSA-N 0 0 434.584 -0.373 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001199833870 1074404133 /nfs/dbraw/zinc/40/41/33/1074404133.db2.gz CQPYEIWJWHHLDS-IHRRRGAJSA-N 0 0 443.570 -0.591 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001199833871 1074404242 /nfs/dbraw/zinc/40/42/42/1074404242.db2.gz CQPYEIWJWHHLDS-RDBSUJKOSA-N 0 0 443.570 -0.591 20 0 IBADRN CCOc1ccc(NC(=O)N(C)C)cc1S(=O)(=O)N1CC(N2CCNC(=O)C2)C1 ZINC001199849566 1074404192 /nfs/dbraw/zinc/40/41/92/1074404192.db2.gz KOJOABPJTCLJEG-UHFFFAOYSA-N 0 0 425.511 -0.017 20 0 IBADRN CCOC(=O)[C@H](C)NC(=S)NCCOCCOCCOCCOCCOCCO ZINC001199857766 1074404204 /nfs/dbraw/zinc/40/42/04/1074404204.db2.gz KDLGVTLXYYGFKQ-INIZCTEOSA-N 0 0 440.559 -0.523 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=S)NCCOCCOCCOCCOCCOCCO ZINC001199857767 1074404080 /nfs/dbraw/zinc/40/40/80/1074404080.db2.gz KDLGVTLXYYGFKQ-MRXNPFEDSA-N 0 0 440.559 -0.523 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=S)Nc2cccnc2)[C@H]1O ZINC001199909553 1074405219 /nfs/dbraw/zinc/40/52/19/1074405219.db2.gz QIPJBAOBIUZCTK-CTWCOEIASA-N 0 0 430.494 -0.107 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NCCCN2CCOCC2)C[C@@H]1O ZINC001199937583 1074405069 /nfs/dbraw/zinc/40/50/69/1074405069.db2.gz FKZHZZVWBNNLPU-BFHYXJOUSA-N 0 0 437.526 -0.524 20 0 IBADRN OC[C@@H]1C[C@@H](O)[C@H](n2cnc3c2ncnc3NC(=S)NCCCN2CCOCC2)O1 ZINC001199937712 1074405156 /nfs/dbraw/zinc/40/51/56/1074405156.db2.gz MRRJSRJFKCFISO-OGHNNQOOSA-N 0 0 437.526 -0.524 20 0 IBADRN COC(=O)[C@H](O)CCn1cnc2c1ncnc2NC(=S)NCCCN1CCOCC1 ZINC001199939134 1074405179 /nfs/dbraw/zinc/40/51/79/1074405179.db2.gz NRPBDAHHOKMKSC-CYBMUJFWSA-N 0 0 437.526 -0.241 20 0 IBADRN COC(=O)[C@@H](O)CCn1cnc2c1ncnc2NC(=S)NCCCN1CCOCC1 ZINC001199939135 1074405284 /nfs/dbraw/zinc/40/52/84/1074405284.db2.gz NRPBDAHHOKMKSC-ZDUSSCGKSA-N 0 0 437.526 -0.241 20 0 IBADRN S=C(NCCCN1CCOCC1)N1CCO[C@@]2(C1)COCCN(c1ncccn1)C2 ZINC001199940961 1074405925 /nfs/dbraw/zinc/40/59/25/1074405925.db2.gz ADYGQMSYRYNJOU-FQEVSTJZSA-N 0 0 436.582 -0.019 20 0 IBADRN S=C(NCCCN1CCOCC1)N1CCO[C@]2(C1)COCCN(c1ncccn1)C2 ZINC001199940962 1074405939 /nfs/dbraw/zinc/40/59/39/1074405939.db2.gz ADYGQMSYRYNJOU-HXUWFJFHSA-N 0 0 436.582 -0.019 20 0 IBADRN Cn1ccnc1C(=O)N[C@@H]1CN(C(=S)NCCCN2CCOCC2)[C@@H]2CCCO[C@H]12 ZINC001199941352 1074405888 /nfs/dbraw/zinc/40/58/88/1074405888.db2.gz GWGTVSOXVNWDRK-BRWVUGGUSA-N 0 0 436.582 -0.022 20 0 IBADRN Cn1ccnc1C(=O)N[C@H]1CN(C(=S)NCCCN2CCOCC2)[C@@H]2CCCO[C@@H]21 ZINC001199941357 1074405962 /nfs/dbraw/zinc/40/59/62/1074405962.db2.gz GWGTVSOXVNWDRK-GVDBMIGSSA-N 0 0 436.582 -0.022 20 0 IBADRN COCCN1CCN(C)C2(CCN(C(=S)NCCCN3CCOCC3)CC2)C1=O ZINC001199941770 1074405849 /nfs/dbraw/zinc/40/58/49/1074405849.db2.gz SWLGRFQOBUNMIH-UHFFFAOYSA-N 0 0 427.615 -0.162 20 0 IBADRN Cn1cc(C(=O)N[C@@H]2CN(C(=S)NCCCN3CCOCC3)[C@@H]3CCCO[C@H]23)cn1 ZINC001199942668 1074404981 /nfs/dbraw/zinc/40/49/81/1074404981.db2.gz PUSRAMXEBPEVCA-KZNAEPCWSA-N 0 0 436.582 -0.022 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CN(C(=S)NCCCN3CCOCC3)[C@@H]3CCCO[C@@H]32)cn1 ZINC001199942669 1074405141 /nfs/dbraw/zinc/40/51/41/1074405141.db2.gz PUSRAMXEBPEVCA-RCCFBDPRSA-N 0 0 436.582 -0.022 20 0 IBADRN O=C([C@@H]1Cn2nccc2CN(C(=S)NCCCN2CCOCC2)C1)N1CCOCC1 ZINC001199942701 1074404998 /nfs/dbraw/zinc/40/49/98/1074404998.db2.gz AOHGCOUUJYJASB-KRWDZBQOSA-N 0 0 436.582 -0.230 20 0 IBADRN O=C([C@H]1Cn2nccc2CN(C(=S)NCCCN2CCOCC2)C1)N1CCOCC1 ZINC001199942702 1074405017 /nfs/dbraw/zinc/40/50/17/1074405017.db2.gz AOHGCOUUJYJASB-QGZVFWFLSA-N 0 0 436.582 -0.230 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=S)NCCCN1CCOCC1)C2 ZINC001199942775 1074404993 /nfs/dbraw/zinc/40/49/93/1074404993.db2.gz RELVVYKRWVARAP-UHFFFAOYSA-N 0 0 425.559 -0.705 20 0 IBADRN CN(C)C(=O)Cn1c(=O)ccc2c1CCN(C(=S)NCCCN1CCOCC1)CC2 ZINC001199943059 1074405009 /nfs/dbraw/zinc/40/50/09/1074405009.db2.gz WHRTVAWOUYNSRD-UHFFFAOYSA-N 0 0 435.594 -0.066 20 0 IBADRN CS(=O)(=O)N1CCOCC2(CCN(C(=S)NCCCN3CCOCC3)CC2)C1 ZINC001199943384 1074405239 /nfs/dbraw/zinc/40/52/39/1074405239.db2.gz HQJFMJPQNKPFBC-UHFFFAOYSA-N 0 0 434.628 -0.043 20 0 IBADRN CN(C)C(=O)CN1CC[C@]2(CCCN(C(=S)NCCCN3CCOCC3)C2)C1=O ZINC001199943420 1074405049 /nfs/dbraw/zinc/40/50/49/1074405049.db2.gz IGHDDQADKQDIIN-FQEVSTJZSA-N 0 0 425.599 -0.014 20 0 IBADRN CN(C)C(=O)CN1CC[C@@]2(CCCN(C(=S)NCCCN3CCOCC3)C2)C1=O ZINC001199943421 1074405024 /nfs/dbraw/zinc/40/50/24/1074405024.db2.gz IGHDDQADKQDIIN-HXUWFJFHSA-N 0 0 425.599 -0.014 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(C(=S)NCCCN3CCOCC3)C2)C1 ZINC001199944302 1074405126 /nfs/dbraw/zinc/40/51/26/1074405126.db2.gz VDZQHTZAPKMPGX-FQEVSTJZSA-N 0 0 427.615 -0.256 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(C(=S)NCCCN3CCOCC3)C2)C1 ZINC001199944303 1074405204 /nfs/dbraw/zinc/40/52/04/1074405204.db2.gz VDZQHTZAPKMPGX-HXUWFJFHSA-N 0 0 427.615 -0.256 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=S)NCCCN1CCOCC1)CC2 ZINC001199944386 1074405101 /nfs/dbraw/zinc/40/51/01/1074405101.db2.gz WXBQWNZOXUTFJA-UHFFFAOYSA-N 0 0 449.625 -0.237 20 0 IBADRN O=C([C@H]1CN(C(=S)NCCCN2CCOCC2)C[C@H]2OCC[C@H]21)N1CCOCC1 ZINC001199944668 1074405299 /nfs/dbraw/zinc/40/52/99/1074405299.db2.gz XWYHFUQLNKYRRU-OKZBNKHCSA-N 0 0 426.583 -0.221 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=S)NCCCN3CCOCC3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001199958608 1074405945 /nfs/dbraw/zinc/40/59/45/1074405945.db2.gz HIWSJNCMOSTOLI-RRFJBIMHSA-N 0 0 427.527 -0.908 20 0 IBADRN COC(=O)[C@H](CCCCNC(=S)NCCCN1CCOCC1)NC(=O)CNC(C)=O ZINC001199961025 1074405977 /nfs/dbraw/zinc/40/59/77/1074405977.db2.gz PSVMHKKASSUHAG-INIZCTEOSA-N 0 0 445.586 -0.863 20 0 IBADRN COC(=O)CCNC(=S)Nc1nc(Cl)nc2c1ncn2[C@H]1C[C@H](O)[C@@H](CO)O1 ZINC001199982507 1074405993 /nfs/dbraw/zinc/40/59/93/1074405993.db2.gz BWGXCLGPYAHCNR-DJLDLDEBSA-N 0 0 430.874 -0.030 20 0 IBADRN COC(=O)CCNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CSC)[C@@H](O)[C@H]1O ZINC001199983026 1074405968 /nfs/dbraw/zinc/40/59/68/1074405968.db2.gz NCCGGJBMMFTHIN-PMXXHBEXSA-N 0 0 442.523 -0.342 20 0 IBADRN COC(=O)CCNC(=S)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001199984085 1074405837 /nfs/dbraw/zinc/40/58/37/1074405837.db2.gz IJDHBRKEUQSZPN-PMXXHBEXSA-N 0 0 429.430 -0.969 20 0 IBADRN COC(=O)CCNC(=S)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1F ZINC001199984183 1074405933 /nfs/dbraw/zinc/40/59/33/1074405933.db2.gz WZZNKORWZYKGTI-FIIPPMMWSA-N 0 0 448.864 -0.082 20 0 IBADRN COC(=O)CCNC(=S)N1CCOC[C@]2(CC[C@H](CNC(=O)c3cnccn3)O2)C1 ZINC001199986775 1074405816 /nfs/dbraw/zinc/40/58/16/1074405816.db2.gz GMEQFWQMZWFGAF-KUHUBIRLSA-N 0 0 437.522 -0.106 20 0 IBADRN COC(=O)CCNC(=S)N1CCOC[C@]2(CC[C@@H](CNC(=O)c3cnccn3)O2)C1 ZINC001199986780 1074405866 /nfs/dbraw/zinc/40/58/66/1074405866.db2.gz GMEQFWQMZWFGAF-LIRRHRJNSA-N 0 0 437.522 -0.106 20 0 IBADRN COC(=O)CCNC(=S)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001199987336 1074405954 /nfs/dbraw/zinc/40/59/54/1074405954.db2.gz IBXSFIYDZYXPIF-VKJFTORMSA-N 0 0 440.547 -0.124 20 0 IBADRN COC(=O)CCNC(=S)N1CCC2(CN(S(C)(=O)=O)C[C@@]23CCN(C)C3=O)CC1 ZINC001199987416 1074405920 /nfs/dbraw/zinc/40/59/20/1074405920.db2.gz JFKKDMNTDVIALJ-GOSISDBHSA-N 0 0 446.595 -0.370 20 0 IBADRN COC(=O)CCNC(=S)N1CCC2(CN(S(C)(=O)=O)C[C@]23CCN(C)C3=O)CC1 ZINC001199987420 1074405986 /nfs/dbraw/zinc/40/59/86/1074405986.db2.gz JFKKDMNTDVIALJ-SFHVURJKSA-N 0 0 446.595 -0.370 20 0 IBADRN CCOc1ccc(NC(=O)N(C)C)cc1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(N)=O ZINC001199987854 1074406510 /nfs/dbraw/zinc/40/65/10/1074406510.db2.gz RWPQOCJEPCXMSN-QWRGUYRKSA-N 0 0 429.499 -0.164 20 0 IBADRN COC(=O)CCNC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001199989669 1074406610 /nfs/dbraw/zinc/40/66/10/1074406610.db2.gz SWLLAPXDUUXKMG-BFHYXJOUSA-N 0 0 433.552 -0.982 20 0 IBADRN COC(=O)CCNC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001199989671 1074406525 /nfs/dbraw/zinc/40/65/25/1074406525.db2.gz SWLLAPXDUUXKMG-MGPQQGTHSA-N 0 0 433.552 -0.982 20 0 IBADRN COC(=O)CCNC(=S)N1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001199990411 1074406462 /nfs/dbraw/zinc/40/64/62/1074406462.db2.gz WXZMATWPXFISJB-UHFFFAOYSA-N 0 0 447.521 -0.450 20 0 IBADRN COC(=O)CCNC(=S)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001199993214 1074406594 /nfs/dbraw/zinc/40/65/94/1074406594.db2.gz HZORXPDKYNQRTR-STQMWFEESA-N 0 0 429.543 -0.979 20 0 IBADRN COC(=O)CCNC(=S)Nc1ccccc1S(=O)(=O)N1CCN(CCO)CC1 ZINC001199995251 1074406619 /nfs/dbraw/zinc/40/66/19/1074406619.db2.gz AXDJQFQAVUNCHG-UHFFFAOYSA-N 0 0 430.552 -0.165 20 0 IBADRN COC(=O)CCNC(=S)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001199998131 1074406537 /nfs/dbraw/zinc/40/65/37/1074406537.db2.gz MWPOPXIYFIGMLY-UHFFFAOYSA-N 0 0 438.572 -0.104 20 0 IBADRN COC(=O)CCNC(=S)NCCOCCOCCOCCOCCOCCO ZINC001200002146 1074335240 /nfs/dbraw/zinc/33/52/40/1074335240.db2.gz ILVGKXOOUQGSSZ-UHFFFAOYSA-N 0 0 426.532 -0.911 20 0 IBADRN COC(=O)CCNC(=S)NCCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001200004804 1074335292 /nfs/dbraw/zinc/33/52/92/1074335292.db2.gz SNYMWRLRXITOKD-HUBLWGQQSA-N 0 0 431.584 -0.144 20 0 IBADRN COCCOCCOCCOCCOCCOCCNC(=S)NCCC(=O)OC ZINC001200006346 1074335271 /nfs/dbraw/zinc/33/52/71/1074335271.db2.gz LLYGTVLMIVLINP-UHFFFAOYSA-N 0 0 440.559 -0.257 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2nc(Cl)nc3NC(=S)NC[C@H]2CCCO2)[C@H](O)[C@@H]1O ZINC001200010251 1074335229 /nfs/dbraw/zinc/33/52/29/1074335229.db2.gz CSLBMEBVZAFLOG-BAYCTPFLSA-N 0 0 444.901 -0.443 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2nc(Cl)nc3NC(=S)NC[C@@H]2CCCO2)[C@H](O)[C@@H]1O ZINC001200010252 1074335248 /nfs/dbraw/zinc/33/52/48/1074335248.db2.gz CSLBMEBVZAFLOG-TWBCTODHSA-N 0 0 444.901 -0.443 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2nc(F)nc3NC(=S)NC[C@H]2CCCO2)[C@H](O)[C@@H]1O ZINC001200010637 1074335207 /nfs/dbraw/zinc/33/52/07/1074335207.db2.gz DDFSZTAJLFFCIN-BAYCTPFLSA-N 0 0 428.446 -0.958 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2nc(F)nc3NC(=S)NC[C@@H]2CCCO2)[C@@H](O)[C@@H]1O ZINC001200010642 1074335300 /nfs/dbraw/zinc/33/53/00/1074335300.db2.gz DDFSZTAJLFFCIN-GCVBLCJJSA-N 0 0 428.446 -0.958 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2nc(F)nc3NC(=S)NC[C@@H]2CCCO2)[C@H](O)[C@@H]1O ZINC001200010644 1074335487 /nfs/dbraw/zinc/33/54/87/1074335487.db2.gz DDFSZTAJLFFCIN-TWBCTODHSA-N 0 0 428.446 -0.958 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2nc(F)nc3NC(=S)NC[C@H]2CCCO2)[C@@H](O)[C@@H]1O ZINC001200010645 1074335655 /nfs/dbraw/zinc/33/56/55/1074335655.db2.gz DDFSZTAJLFFCIN-UDTSGEQISA-N 0 0 428.446 -0.958 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CC2)CCOC1 ZINC001200011169 1074335474 /nfs/dbraw/zinc/33/54/74/1074335474.db2.gz JZRQCMMGLAYGKR-KRWDZBQOSA-N 0 0 430.458 -0.045 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)Nc3cc(F)cc(S(N)(=O)=O)c3)CC2)CCOC1 ZINC001200011172 1074335665 /nfs/dbraw/zinc/33/56/65/1074335665.db2.gz JZRQCMMGLAYGKR-QGZVFWFLSA-N 0 0 430.458 -0.045 20 0 IBADRN OC[C@H]1O[C@@H](n2cc(F)c3c2ncnc3NC(=S)NC[C@H]2CCCO2)[C@H](O)[C@@H]1O ZINC001200017133 1074335460 /nfs/dbraw/zinc/33/54/60/1074335460.db2.gz VCXVRPXEGLVRRY-PUKDQBJRSA-N 0 0 427.458 -0.353 20 0 IBADRN OC[C@H]1O[C@@H](n2cc(F)c3c2ncnc3NC(=S)NC[C@@H]2CCCO2)[C@H](O)[C@@H]1O ZINC001200017140 1074335560 /nfs/dbraw/zinc/33/55/60/1074335560.db2.gz VCXVRPXEGLVRRY-TXRSOIPOSA-N 0 0 427.458 -0.353 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(C(=S)NC[C@@H]3CCCO3)C[C@@H]21)N1CCOCC1 ZINC001200017975 1074335643 /nfs/dbraw/zinc/33/56/43/1074335643.db2.gz XQQNZFONDVHGFF-CAOSSQGBSA-N 0 0 431.580 -0.366 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=S)NC[C@H]3CCCO3)C[C@@H]21)N1CCOCC1 ZINC001200017976 1074335492 /nfs/dbraw/zinc/33/54/92/1074335492.db2.gz XQQNZFONDVHGFF-KLHDSHLOSA-N 0 0 431.580 -0.366 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(C(=S)NC[C@H]3CCCO3)C[C@@H]21)N1CCOCC1 ZINC001200017981 1074335594 /nfs/dbraw/zinc/33/55/94/1074335594.db2.gz XQQNZFONDVHGFF-QKPAOTATSA-N 0 0 431.580 -0.366 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C(=S)NC[C@@H]3CCCO3)C[C@@H]21)N1CCOCC1 ZINC001200017984 1074335539 /nfs/dbraw/zinc/33/55/39/1074335539.db2.gz XQQNZFONDVHGFF-ZJIFWQFVSA-N 0 0 431.580 -0.366 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=S)NC[C@H]1CCCO1)C(=O)NCC(N)=O ZINC001200020413 1074335549 /nfs/dbraw/zinc/33/55/49/1074335549.db2.gz HAIYORFWWPOVPG-ILXRZTDVSA-N 0 0 427.571 -0.363 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=S)NC[C@@H]1CCCO1)C(=O)NCC(N)=O ZINC001200020415 1074335587 /nfs/dbraw/zinc/33/55/87/1074335587.db2.gz HAIYORFWWPOVPG-KKUMJFAQSA-N 0 0 427.571 -0.363 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=S)NC[C@@H]2CCCO2)[C@H]1O ZINC001200041471 1074335570 /nfs/dbraw/zinc/33/55/70/1074335570.db2.gz UDRWHMYELYQSFN-GCXGIZPZSA-N 0 0 437.526 -0.845 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=S)NC[C@H]2CCCO2)[C@H]1O ZINC001200041472 1074335512 /nfs/dbraw/zinc/33/55/12/1074335512.db2.gz UDRWHMYELYQSFN-JZLXNPJKSA-N 0 0 437.526 -0.845 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNC(=S)NCCc1ccc(OC)c(OC)c1 ZINC001200086897 1074335834 /nfs/dbraw/zinc/33/58/34/1074335834.db2.gz BMCYSBPRVSVSKT-UHFFFAOYSA-N 0 0 440.522 -0.494 20 0 IBADRN COc1ccc(CCNC(=S)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)cc1OC ZINC001200091254 1074335891 /nfs/dbraw/zinc/33/58/91/1074335891.db2.gz TWNYHYCDALGXEC-XOYXNHQRSA-N 0 0 430.523 -0.446 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(C(=S)NCCN1CCOCC1)C2 ZINC001200119794 1074336309 /nfs/dbraw/zinc/33/63/09/1074336309.db2.gz GFZKSJJDBSWFAI-FQEVSTJZSA-N 0 0 449.581 -0.734 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(C(=S)NCCN1CCOCC1)C2 ZINC001200119801 1074336323 /nfs/dbraw/zinc/33/63/23/1074336323.db2.gz GFZKSJJDBSWFAI-HXUWFJFHSA-N 0 0 449.581 -0.734 20 0 IBADRN O=C(NC[C@H]1OC[C@@H]2CCN(C(=S)NCCN3CCOCC3)C[C@@H]21)c1ccnnc1 ZINC001200119809 1074336270 /nfs/dbraw/zinc/33/62/70/1074336270.db2.gz GJYVEQZAFPXVMG-OKZBNKHCSA-N 0 0 434.566 -0.250 20 0 IBADRN CC(C)CN1C[C@@]23CN(C(=S)NCCN4CCOCC4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001200119818 1074336218 /nfs/dbraw/zinc/33/62/18/1074336218.db2.gz GQYPYQNTAIJBBK-RYRKJORJSA-N 0 0 432.612 -0.294 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(C(=S)NCCN4CCOCC4)C3)OCC2=O)cn1 ZINC001200119945 1074336257 /nfs/dbraw/zinc/33/62/57/1074336257.db2.gz IREVRKSSYRISTB-IBGZPJMESA-N 0 0 438.554 -0.939 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(C(=S)NCCN4CCOCC4)C3)OCC2=O)cn1 ZINC001200119958 1074336263 /nfs/dbraw/zinc/33/62/63/1074336263.db2.gz IREVRKSSYRISTB-LJQANCHMSA-N 0 0 438.554 -0.939 20 0 IBADRN O=C(NC[C@@H]1CC[C@@H]2[C@@H]1OCCN2C(=S)NCCN1CCOCC1)c1ccnnc1 ZINC001200120126 1074336304 /nfs/dbraw/zinc/33/63/04/1074336304.db2.gz KTBLJCUNTDGLIY-CGTJXYLNSA-N 0 0 434.566 -0.108 20 0 IBADRN O=C(NC[C@@H]1CC[C@@H]2[C@H]1OCCN2C(=S)NCCN1CCOCC1)c1ccnnc1 ZINC001200120127 1074336194 /nfs/dbraw/zinc/33/61/94/1074336194.db2.gz KTBLJCUNTDGLIY-JQHSSLGASA-N 0 0 434.566 -0.108 20 0 IBADRN O=C(NC[C@@H]1CC[C@H]2[C@@H]1OCCN2C(=S)NCCN1CCOCC1)c1ccnnc1 ZINC001200120128 1074336247 /nfs/dbraw/zinc/33/62/47/1074336247.db2.gz KTBLJCUNTDGLIY-RYQLBKOJSA-N 0 0 434.566 -0.108 20 0 IBADRN O=C(NC[C@@H]1CC[C@H]2[C@H]1OCCN2C(=S)NCCN1CCOCC1)c1ccnnc1 ZINC001200120129 1074336329 /nfs/dbraw/zinc/33/63/29/1074336329.db2.gz KTBLJCUNTDGLIY-SZMVWBNQSA-N 0 0 434.566 -0.108 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(C(=S)NCCN1CCOCC1)C2 ZINC001200120179 1074336239 /nfs/dbraw/zinc/33/62/39/1074336239.db2.gz MCIAWEQMGRYZSK-NRFANRHFSA-N 0 0 446.577 -0.072 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(C(=S)NCCN1CCOCC1)C2 ZINC001200120180 1074336298 /nfs/dbraw/zinc/33/62/98/1074336298.db2.gz MCIAWEQMGRYZSK-OAQYLSRUSA-N 0 0 446.577 -0.072 20 0 IBADRN CN(C)C(=O)NCc1cc(=O)n2c(n1)CN(C(=S)NCCN1CCOCC1)CCC2 ZINC001200120330 1074336317 /nfs/dbraw/zinc/33/63/17/1074336317.db2.gz NTOIQIMRHPLDLF-UHFFFAOYSA-N 0 0 437.570 -0.573 20 0 IBADRN CNC(=O)[C@]12CN(C(=S)NCCN3CCOCC3)C[C@H]1N(c1ncccn1)CCC2 ZINC001200120515 1074336230 /nfs/dbraw/zinc/33/62/30/1074336230.db2.gz QNYROXPFQTZOCO-OXQOHEQNSA-N 0 0 433.582 -0.300 20 0 IBADRN O=C(C[C@@H]1OC[C@H]2CN(C(=S)NCCN3CCOCC3)CC[C@H]21)N1CCOCC1 ZINC001200120544 1074336358 /nfs/dbraw/zinc/33/63/58/1074336358.db2.gz RLYFAQGRDDHPEE-KURKYZTESA-N 0 0 426.583 -0.221 20 0 IBADRN O=C(COC[C@]12COC[C@H]1CN(C(=S)NCCN1CCOCC1)C2)N1CCCC1 ZINC001200120578 1074336635 /nfs/dbraw/zinc/33/66/35/1074336635.db2.gz SKGWSSAYOOMWFH-XLIONFOSSA-N 0 0 426.583 -0.220 20 0 IBADRN CN(C)C(=O)CN1CCOCC2(CCN(C(=S)NCCN3CCOCC3)CC2)C1 ZINC001200120867 1074336669 /nfs/dbraw/zinc/33/66/69/1074336669.db2.gz VAKXUMVVRDVXOL-UHFFFAOYSA-N 0 0 427.615 -0.304 20 0 IBADRN O=C(C[C@@H]1COC[C@H]2CN(C(=S)NCCN3CCOCC3)C[C@H]21)N1CCOCC1 ZINC001200120939 1074336544 /nfs/dbraw/zinc/33/65/44/1074336544.db2.gz WDOMLCWUXOTTMN-KURKYZTESA-N 0 0 426.583 -0.364 20 0 IBADRN O=C(NC[C@H]1OC[C@@H]2CN(C(=S)NCCN3CCOCC3)CC[C@@H]21)c1cnccn1 ZINC001200121163 1074336606 /nfs/dbraw/zinc/33/66/06/1074336606.db2.gz YMLSBKXKLRQJMG-XYJFISCASA-N 0 0 434.566 -0.250 20 0 IBADRN O=C(NCC1CCOCC1)[C@@H]1C[C@@H]2[C@@H](CCN2C(=S)NCCN2CCOCC2)O1 ZINC001200121598 1074336729 /nfs/dbraw/zinc/33/67/29/1074336729.db2.gz CCGRFKXEQDAGLK-KURKYZTESA-N 0 0 426.583 -0.032 20 0 IBADRN CC(C)C(=O)NCc1cc(=O)n2c(n1)CN(C(=S)NCCN1CCOCC1)CCC2 ZINC001200121613 1074336533 /nfs/dbraw/zinc/33/65/33/1074336533.db2.gz CMTZXZZHLRUMGG-UHFFFAOYSA-N 0 0 436.582 -0.072 20 0 IBADRN O=C([C@@H]1CN(C(=S)NCCN2CCOCC2)CC12CCOCC2)N1CCOCC1 ZINC001200121985 1074336582 /nfs/dbraw/zinc/33/65/82/1074336582.db2.gz KXQMOCIJILAKMJ-KRWDZBQOSA-N 0 0 426.583 -0.220 20 0 IBADRN O=C([C@H]1CN(C(=S)NCCN2CCOCC2)CC12CCOCC2)N1CCOCC1 ZINC001200121987 1074336594 /nfs/dbraw/zinc/33/65/94/1074336594.db2.gz KXQMOCIJILAKMJ-QGZVFWFLSA-N 0 0 426.583 -0.220 20 0 IBADRN CN(C)c1ncc2c(n1)[C@H]1CN(C(=S)NCCN3CCOCC3)C[C@H]1S(=O)(=O)C2 ZINC001200123546 1074336556 /nfs/dbraw/zinc/33/65/56/1074336556.db2.gz XCSHZFKSTLXVEM-LSDHHAIUSA-N 0 0 440.595 -0.555 20 0 IBADRN O=C(N[C@@H]1CN(C(=S)NCCN2CCOCC2)C[C@@H]2CCCO[C@H]12)c1cnccn1 ZINC001200123551 1074336572 /nfs/dbraw/zinc/33/65/72/1074336572.db2.gz XHUDMDMZJDMQOL-JQHSSLGASA-N 0 0 434.566 -0.108 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=S)NCCN1CCOCC1)CC2 ZINC001200123570 1074336712 /nfs/dbraw/zinc/33/67/12/1074336712.db2.gz XZAAEIXDTKFOTM-UHFFFAOYSA-N 0 0 435.598 -0.628 20 0 IBADRN O=C([C@@H]1C[C@]2(CO1)CCCN(C(=S)NCCN1CCOCC1)C2)N1CCOCC1 ZINC001200123927 1074336492 /nfs/dbraw/zinc/33/64/92/1074336492.db2.gz BGZKUQPXCYSTGJ-FXAWDEMLSA-N 0 0 426.583 -0.077 20 0 IBADRN O=C([C@@H]1C[C@@]2(CO1)CCCN(C(=S)NCCN1CCOCC1)C2)N1CCOCC1 ZINC001200123928 1074336503 /nfs/dbraw/zinc/33/65/03/1074336503.db2.gz BGZKUQPXCYSTGJ-PXNSSMCTSA-N 0 0 426.583 -0.077 20 0 IBADRN O=C([C@H]1C[C@@]2(CO1)CCCN(C(=S)NCCN1CCOCC1)C2)N1CCOCC1 ZINC001200123929 1074336627 /nfs/dbraw/zinc/33/66/27/1074336627.db2.gz BGZKUQPXCYSTGJ-XLIONFOSSA-N 0 0 426.583 -0.077 20 0 IBADRN O=C([C@H]1C[C@]2(CO1)CCCN(C(=S)NCCN1CCOCC1)C2)N1CCOCC1 ZINC001200123932 1074336650 /nfs/dbraw/zinc/33/66/50/1074336650.db2.gz BGZKUQPXCYSTGJ-YLJYHZDGSA-N 0 0 426.583 -0.077 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C(=S)NCCN1CCOCC1)CCC2)C1CC1 ZINC001200123952 1074336658 /nfs/dbraw/zinc/33/66/58/1074336658.db2.gz BSBCXVJMCYDNNP-UHFFFAOYSA-N 0 0 434.566 -0.318 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CN(C(=S)NCCN4CCOCC4)CC[C@@H]32)cn1 ZINC001200124073 1074336617 /nfs/dbraw/zinc/33/66/17/1074336617.db2.gz FFHJRUSJNYXYDY-OKZBNKHCSA-N 0 0 436.582 -0.307 20 0 IBADRN O=C(NC[C@H]1Cn2ccnc2CN(C(=S)NCCN2CCOCC2)C1)c1ccnnc1 ZINC001200124464 1074336693 /nfs/dbraw/zinc/33/66/93/1074336693.db2.gz HSTOIGRHMBTSSN-INIZCTEOSA-N 0 0 444.565 -0.258 20 0 IBADRN O=C(NC[C@@H]1Cn2ccnc2CN(C(=S)NCCN2CCOCC2)C1)c1ccnnc1 ZINC001200124465 1074336704 /nfs/dbraw/zinc/33/67/04/1074336704.db2.gz HSTOIGRHMBTSSN-MRXNPFEDSA-N 0 0 444.565 -0.258 20 0 IBADRN O=C(NC[C@H]1OC[C@@H]2CCN(C(=S)NCCN3CCOCC3)C[C@@H]21)c1cnccn1 ZINC001200125210 1074336514 /nfs/dbraw/zinc/33/65/14/1074336514.db2.gz MTFLMVIOLXAWEX-XYJFISCASA-N 0 0 434.566 -0.250 20 0 IBADRN O=C(c1cnccn1)N1CCOC2(CCN(C(=S)NCCN3CCOCC3)CC2)C1 ZINC001200125345 1074337141 /nfs/dbraw/zinc/33/71/41/1074337141.db2.gz OIJHRRBZSWYPRB-UHFFFAOYSA-N 0 0 434.566 -0.010 20 0 IBADRN O=C([C@@H]1COC2(C1)CCN(C(=S)NCCN1CCOCC1)CC2)N1CCOCC1 ZINC001200125682 1074337055 /nfs/dbraw/zinc/33/70/55/1074337055.db2.gz VEHSCIWHEIUDBH-KRWDZBQOSA-N 0 0 426.583 -0.077 20 0 IBADRN O=C([C@H]1COC2(C1)CCN(C(=S)NCCN1CCOCC1)CC2)N1CCOCC1 ZINC001200125683 1074336960 /nfs/dbraw/zinc/33/69/60/1074336960.db2.gz VEHSCIWHEIUDBH-QGZVFWFLSA-N 0 0 426.583 -0.077 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(C(=S)NCCN3CCOCC3)CC[C@H]2S1(=O)=O ZINC001200125713 1074337132 /nfs/dbraw/zinc/33/71/32/1074337132.db2.gz VVFNLOFFZQMKQT-HZPDHXFCSA-N 0 0 447.627 -0.993 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2OC[C@@H]3CCN(C(=S)NCCN4CCOCC4)C[C@@H]32)cn1 ZINC001200125793 1074337036 /nfs/dbraw/zinc/33/70/36/1074337036.db2.gz XXVYKJWNUUWZBF-RYQLBKOJSA-N 0 0 436.582 -0.307 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C(=S)NCCN1CCOCC1)CC2 ZINC001200125855 1074336990 /nfs/dbraw/zinc/33/69/90/1074336990.db2.gz YKTCQOVAMFEDQT-UHFFFAOYSA-N 0 0 446.581 -0.389 20 0 IBADRN Cn1cc(C(=O)NCc2cnc3n2CCN(C(=S)NCCN2CCOCC2)CC3)cn1 ZINC001200125878 1074337160 /nfs/dbraw/zinc/33/71/60/1074337160.db2.gz ZQMPNFWIAYVARR-UHFFFAOYSA-N 0 0 446.581 -0.389 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](NC(=S)NCCN1CCOCC1)CCS2(=O)=O ZINC001200133074 1074336947 /nfs/dbraw/zinc/33/69/47/1074336947.db2.gz NBLQLKWTHTXOKZ-AWEZNQCLSA-N 0 0 448.611 -0.041 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](NC(=S)NCCN1CCOCC1)CCS2(=O)=O ZINC001200133078 1074336972 /nfs/dbraw/zinc/33/69/72/1074336972.db2.gz NBLQLKWTHTXOKZ-CQSZACIVSA-N 0 0 448.611 -0.041 20 0 IBADRN O=c1ccc2c(n1CCN1CCOCC1)CC[C@H](NC(=S)NCCN1CCOCC1)C2 ZINC001200133485 1074337109 /nfs/dbraw/zinc/33/71/09/1074337109.db2.gz ZSRBKPKIDWEVPH-IBGZPJMESA-N 0 0 449.621 -0.166 20 0 IBADRN O=c1ccc2c(n1CCN1CCOCC1)CC[C@@H](NC(=S)NCCN1CCOCC1)C2 ZINC001200133486 1074337065 /nfs/dbraw/zinc/33/70/65/1074337065.db2.gz ZSRBKPKIDWEVPH-LJQANCHMSA-N 0 0 449.621 -0.166 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CNC(=O)CNC(=S)NCCN2CCOCC2)c1 ZINC001200134375 1074337091 /nfs/dbraw/zinc/33/70/91/1074337091.db2.gz OYHUWOWIPUMXHB-INIZCTEOSA-N 0 0 426.539 -0.350 20 0 IBADRN COc1ccc(OC)c([C@H](O)CNC(=O)CNC(=S)NCCN2CCOCC2)c1 ZINC001200134376 1074337079 /nfs/dbraw/zinc/33/70/79/1074337079.db2.gz OYHUWOWIPUMXHB-MRXNPFEDSA-N 0 0 426.539 -0.350 20 0 IBADRN COC(=O)[C@H](Cc1cccc(S(C)(=O)=O)c1)NC(=S)NCCN1CCOCC1 ZINC001200136475 1074337148 /nfs/dbraw/zinc/33/71/48/1074337148.db2.gz MDIXVTULUPBKOX-INIZCTEOSA-N 0 0 429.564 -0.030 20 0 IBADRN O=S(=O)(c1ccccc1)N1CCN(CCNC(=S)NCCN2CCOCC2)CC1 ZINC001200138609 1074336982 /nfs/dbraw/zinc/33/69/82/1074336982.db2.gz SOBGDRVBIVIYJL-UHFFFAOYSA-N 0 0 441.623 -0.211 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=S)NCCN1CCOCC1)C(N)=O ZINC001200139406 1074337123 /nfs/dbraw/zinc/33/71/23/1074337123.db2.gz YAJARTGWGJYVRS-GJZGRUSLSA-N 0 0 433.644 -0.079 20 0 IBADRN CCOC(=O)CCNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1OC ZINC001200159923 1074337477 /nfs/dbraw/zinc/33/74/77/1074337477.db2.gz NKZJATJSQLBXKX-RVXWVPLUSA-N 0 0 440.482 -0.669 20 0 IBADRN CCOC(=O)CCNC(=S)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001200161217 1074337470 /nfs/dbraw/zinc/33/74/70/1074337470.db2.gz SUWXTRVUHYMEOB-RVXWVPLUSA-N 0 0 443.457 -0.579 20 0 IBADRN CCOC(=O)CCNC(=S)Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001200162383 1074337310 /nfs/dbraw/zinc/33/73/10/1074337310.db2.gz DZQHCJAVIFSLQT-XNIJJKJLSA-N 0 0 425.467 -0.718 20 0 IBADRN CCOC(=O)CCNC(=S)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001200165344 1074337318 /nfs/dbraw/zinc/33/73/18/1074337318.db2.gz APRUALZYQWHMEM-GOSISDBHSA-N 0 0 425.511 -0.318 20 0 IBADRN CCOC(=O)CCNC(=S)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001200165349 1074337485 /nfs/dbraw/zinc/33/74/85/1074337485.db2.gz APRUALZYQWHMEM-SFHVURJKSA-N 0 0 425.511 -0.318 20 0 IBADRN CCOC(=O)CCNC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001200165576 1074337447 /nfs/dbraw/zinc/33/74/47/1074337447.db2.gz DYIHORCVVITEDK-RBSFLKMASA-N 0 0 447.579 -0.592 20 0 IBADRN CCOC(=O)CCNC(=S)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001200165577 1074337349 /nfs/dbraw/zinc/33/73/49/1074337349.db2.gz DYIHORCVVITEDK-RRFJBIMHSA-N 0 0 447.579 -0.592 20 0 IBADRN CCOC(=O)CCNC(=S)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001200165706 1074337339 /nfs/dbraw/zinc/33/73/39/1074337339.db2.gz HXPVWQMGAGBUCC-ZIAGYGMSSA-N 0 0 434.584 -0.372 20 0 IBADRN CCOC(=O)CCNC(=S)N1CC[C@]2(C1)CN(c1cnn(C)c1)C(=O)CN2C(C)=O ZINC001200166341 1074337438 /nfs/dbraw/zinc/33/74/38/1074337438.db2.gz NOCAPZGQCFOTGQ-IBGZPJMESA-N 0 0 436.538 -0.113 20 0 IBADRN CCOC(=O)CCNC(=S)N1CC[C@@]2(C1)CN(c1cnn(C)c1)C(=O)CN2C(C)=O ZINC001200166350 1074337398 /nfs/dbraw/zinc/33/73/98/1074337398.db2.gz NOCAPZGQCFOTGQ-LJQANCHMSA-N 0 0 436.538 -0.113 20 0 IBADRN CCOC(=O)CCNC(=S)N1CCCn2c(=O)cc(CNC(=O)COC)nc2C1 ZINC001200167337 1074337405 /nfs/dbraw/zinc/33/74/05/1074337405.db2.gz RUOVVBDOJXEWPU-UHFFFAOYSA-N 0 0 425.511 -0.461 20 0 IBADRN CCOC(=O)CCNC(=S)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001200168787 1074337426 /nfs/dbraw/zinc/33/74/26/1074337426.db2.gz UZEMLCVFEVUUML-KBPBESRZSA-N 0 0 443.570 -0.589 20 0 IBADRN CCOC(=O)CCNC(=S)NCCOCCOCCOCCOCCOCCO ZINC001200180838 1074337648 /nfs/dbraw/zinc/33/76/48/1074337648.db2.gz OXCUJEPAJHSDCL-UHFFFAOYSA-N 0 0 440.559 -0.521 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)Nc3ccc(=O)n(CCO)c3)cn2)CC1 ZINC001200203802 1074337734 /nfs/dbraw/zinc/33/77/34/1074337734.db2.gz CJNIZSSIOIKOSD-UHFFFAOYSA-N 0 0 436.494 -0.039 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC001200204053 1074337837 /nfs/dbraw/zinc/33/78/37/1074337837.db2.gz FWRVZNAIOAWGLP-AWEZNQCLSA-N 0 0 446.555 -0.681 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC001200204054 1074337684 /nfs/dbraw/zinc/33/76/84/1074337684.db2.gz FWRVZNAIOAWGLP-CQSZACIVSA-N 0 0 446.555 -0.681 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CC(C4CS(=O)(=O)C4)C3)cn2)CC1 ZINC001200204430 1074337854 /nfs/dbraw/zinc/33/78/54/1074337854.db2.gz KIRMPSMPHMODIW-UHFFFAOYSA-N 0 0 443.551 -0.329 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CCS(=O)(=O)CCO3)cn2)CC1 ZINC001200204666 1074337627 /nfs/dbraw/zinc/33/76/27/1074337627.db2.gz NIIJMPFUTPTGBW-UHFFFAOYSA-N 0 0 433.512 -0.643 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NC[C@H](C)CC)[C@H](O)[C@@H]1O ZINC001200241419 1074338152 /nfs/dbraw/zinc/33/81/52/1074338152.db2.gz GTZFNTYBYLDOMY-AHCHGFHOSA-N 0 0 437.526 -0.086 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NC[C@@H](C)CC)[C@H](O)[C@@H]1O ZINC001200241420 1074337996 /nfs/dbraw/zinc/33/79/96/1074337996.db2.gz GTZFNTYBYLDOMY-YQHUTTAYSA-N 0 0 437.526 -0.086 20 0 IBADRN CCCOc1ccc(C(C)(C)C)cc1S(=O)(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O ZINC001200332101 1074338975 /nfs/dbraw/zinc/33/89/75/1074338975.db2.gz AYYNBZZHBXRASE-FGTAOJJYSA-N 0 0 433.523 -0.149 20 0 IBADRN CCCOc1ccc(C(C)(C)C)cc1S(=O)(=O)N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001200332102 1074338990 /nfs/dbraw/zinc/33/89/90/1074338990.db2.gz AYYNBZZHBXRASE-JVNHZCFISA-N 0 0 433.523 -0.149 20 0 IBADRN CCCOc1ccc(C(C)(C)C)cc1S(=O)(=O)NCC(=O)NCC(=O)NCC(N)=O ZINC001200344129 1074338937 /nfs/dbraw/zinc/33/89/37/1074338937.db2.gz ZIJUNAOGPYPUNL-UHFFFAOYSA-N 0 0 442.538 -0.231 20 0 IBADRN COc1ccc(CNC(=S)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@@H]2O)cc1 ZINC001200350214 1074339747 /nfs/dbraw/zinc/33/97/47/1074339747.db2.gz PGGQRDNLIICBOZ-LJGDNWOOSA-N 0 0 446.489 -0.067 20 0 IBADRN COc1ccc(CNC(=S)Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001200350215 1074339668 /nfs/dbraw/zinc/33/96/68/1074339668.db2.gz PGGQRDNLIICBOZ-SCFUHWHPSA-N 0 0 446.489 -0.067 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNC(=S)NCc1cccc(OC)c1OC ZINC001200526165 1074341330 /nfs/dbraw/zinc/34/13/30/1074341330.db2.gz FVCRJIDSXIYPEA-UHFFFAOYSA-N 0 0 426.495 -0.537 20 0 IBADRN COc1cccc(CNC(=S)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)c1OC ZINC001200531264 1074342123 /nfs/dbraw/zinc/34/21/23/1074342123.db2.gz SJUGBMLJTSUNHM-ZDUSSCGKSA-N 0 0 437.522 -0.740 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NCc2ccco2)[C@H](O)[C@@H]1O ZINC001200556630 1074343614 /nfs/dbraw/zinc/34/36/14/1074343614.db2.gz AYBXKGBEUIQSOF-PFHKOEEOSA-N 0 0 447.477 -0.339 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=S)NCc2ccco2)[C@H]1O ZINC001200570824 1074343782 /nfs/dbraw/zinc/34/37/82/1074343782.db2.gz BXVXCDDHRWYOMQ-CTWCOEIASA-N 0 0 433.494 -0.231 20 0 IBADRN CNC(=S)NCCOCCOCCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001200617920 1074344391 /nfs/dbraw/zinc/34/43/91/1074344391.db2.gz YVDANPIGNDYHDZ-DZKIICNBSA-N 0 0 447.627 -0.045 20 0 IBADRN CNC(=S)N[C@H]1[C@@H](O)[C@H](n2ccc(=O)[nH]c2=O)O[C@@H]1COC(=O)c1ccc(C)cc1 ZINC001200618113 1074344251 /nfs/dbraw/zinc/34/42/51/1074344251.db2.gz OMBNODNQANQXTJ-DTZQCDIJSA-N 0 0 434.474 -0.165 20 0 IBADRN OC[C@H]1O[C@@H](n2c(Br)nc3c2ncnc3NC(=S)NC2CC2)[C@H](O)[C@@H]1O ZINC001200620633 1074344348 /nfs/dbraw/zinc/34/43/48/1074344348.db2.gz HKRRIRASWSACIP-WOUKDFQISA-N 0 0 445.299 -0.351 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N2CCN(C(=O)C(OC)OC)CC2)cc1 ZINC001200622411 1074344275 /nfs/dbraw/zinc/34/42/75/1074344275.db2.gz SMHMHTSHIDZXMR-UHFFFAOYSA-N 0 0 444.510 -0.094 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(C(=S)NC3CC3)CC[C@@H]12 ZINC001200624086 1074344289 /nfs/dbraw/zinc/34/42/89/1074344289.db2.gz GNJGVEVHAFTDCB-QAPCUYQASA-N 0 0 430.596 -0.002 20 0 IBADRN COc1cc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)ccc1F ZINC001200638427 1074345111 /nfs/dbraw/zinc/34/51/11/1074345111.db2.gz HFXVGJYWUYOYMJ-HOTGVXAUSA-N 0 0 439.465 -0.314 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(F)c(OC)c1 ZINC001200639839 1074345257 /nfs/dbraw/zinc/34/52/57/1074345257.db2.gz YPNBBIPQYREXJT-DCAQKATOSA-N 0 0 433.458 -0.317 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=S)NCc1ccc2c(c1)OCO2 ZINC001200743229 1074346927 /nfs/dbraw/zinc/34/69/27/1074346927.db2.gz PONOJNUZCPSJMI-SRVKXCTJSA-N 0 0 438.506 -0.050 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NC(=S)NCc1ccc2c(c1)OCO2 ZINC001200743392 1074346997 /nfs/dbraw/zinc/34/69/97/1074346997.db2.gz ARRHYKKPIKNTMS-HOTGVXAUSA-N 0 0 444.513 -0.047 20 0 IBADRN COCCO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1ncnc2NC(=S)NC(C)C ZINC001200777247 1074347365 /nfs/dbraw/zinc/34/73/65/1074347365.db2.gz WVOWSMTVGYIBNR-XNIJJKJLSA-N 0 0 426.499 -0.197 20 0 IBADRN CC(C)NC(=S)Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001200777821 1074347504 /nfs/dbraw/zinc/34/75/04/1074347504.db2.gz ZPXPBOJMJGVBSG-WOUKDFQISA-N 0 0 447.315 -0.105 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](NC(=S)NC(C)C)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001200793909 1074347995 /nfs/dbraw/zinc/34/79/95/1074347995.db2.gz IQXRPZVTEVUACI-WRQOLXDDSA-N 0 0 448.494 -0.058 20 0 IBADRN Cc1cccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c1F ZINC001200886465 1074407976 /nfs/dbraw/zinc/40/79/76/1074407976.db2.gz JXCKDVZZIPIBED-GJZGRUSLSA-N 0 0 439.465 -0.308 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNC(=S)Nc1ccc(-n2cccn2)cc1 ZINC001200891901 1074407866 /nfs/dbraw/zinc/40/78/66/1074407866.db2.gz JIFCEPYBVYBRDO-HNNXBMFYSA-N 0 0 429.506 -0.249 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=S)Nc2ccc(-n3cccn3)cc2)c(=O)[nH]1 ZINC001200895651 1074408023 /nfs/dbraw/zinc/40/80/23/1074408023.db2.gz HCELOIVZMCAPMV-MWQQHZPXSA-N 0 0 444.473 -0.260 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)Nc1cnn(CC(=O)N(C)C)c1)CCS2(=O)=O ZINC001200972953 1074407787 /nfs/dbraw/zinc/40/77/87/1074407787.db2.gz SOFLZLKBJVHBEP-XYEKJYRLSA-N 0 0 441.510 -0.008 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)Nc1cnn(CC(=O)N(C)C)c1)CCS2(=O)=O ZINC001200972954 1074407937 /nfs/dbraw/zinc/40/79/37/1074407937.db2.gz SOFLZLKBJVHBEP-YNAXMUEKSA-N 0 0 441.510 -0.008 20 0 IBADRN COc1ccc(NC(=S)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c(OC)c1 ZINC001200990277 1074407800 /nfs/dbraw/zinc/40/78/00/1074407800.db2.gz COAVODBVOWJDRR-DTZQCDIJSA-N 0 0 438.462 -0.428 20 0 IBADRN COc1ccc(NC(=S)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1OC ZINC001201070984 1074408040 /nfs/dbraw/zinc/40/80/40/1074408040.db2.gz QBSPKRGNDVGZLR-DTZQCDIJSA-N 0 0 438.462 -0.428 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2c(F)cccc2Br)[C@@H](O)[C@H](O)[C@@H]1O ZINC001201080357 1074407837 /nfs/dbraw/zinc/40/78/37/1074407837.db2.gz CKBDYXOKBHPOED-SEGASTDMSA-N 0 0 444.275 -0.289 20 0 IBADRN CS(C)(=O)=NC(=O)[C@@H]1CCCN1C(=O)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC001201164066 1074407794 /nfs/dbraw/zinc/40/77/94/1074407794.db2.gz YMEBYCDCXDKBMK-AWEZNQCLSA-N 0 0 426.499 -0.008 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2CC3(C2)[C@H](C(=O)OC)CCS3(=O)=O)cc1 ZINC001201182411 1074408470 /nfs/dbraw/zinc/40/84/70/1074408470.db2.gz FWTJWPNLZJCEMD-AWEZNQCLSA-N 0 0 431.488 -0.035 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2CC3(C2)[C@@H](C(=O)OC)CCS3(=O)=O)cc1 ZINC001201182419 1074408732 /nfs/dbraw/zinc/40/87/32/1074408732.db2.gz FWTJWPNLZJCEMD-CQSZACIVSA-N 0 0 431.488 -0.035 20 0 IBADRN Cc1cn2c(ncc(C(=O)N3CCn4c(COCC(=O)N(C)C)nnc4C3)c2=O)s1 ZINC001201218275 1074408532 /nfs/dbraw/zinc/40/85/32/1074408532.db2.gz QOGODCRVCYNXQW-UHFFFAOYSA-N 0 0 431.478 -0.083 20 0 IBADRN Cc1cn2c(ncc(C(=O)N[C@@H](Cc3cnc[nH]3)C(=O)N3CCC[C@H]3C(N)=O)c2=O)s1 ZINC001201227629 1074408734 /nfs/dbraw/zinc/40/87/34/1074408734.db2.gz FGKXEAMRIVAAKR-KBPBESRZSA-N 0 0 443.489 -0.395 20 0 IBADRN Cc1cn2c(ncc(C(=O)N[C@H]3C[C@H](n4cc(C)c(=O)[nH]c4=O)O[C@@H]3CO)c2=O)s1 ZINC001201227860 1074408484 /nfs/dbraw/zinc/40/84/84/1074408484.db2.gz GHSGZFWAQPGOQZ-YNEHKIRRSA-N 0 0 433.446 -0.286 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)cc1 ZINC001201236647 1074408550 /nfs/dbraw/zinc/40/85/50/1074408550.db2.gz MFVZSRLAOVUUKY-UHFFFAOYSA-N 0 0 438.462 -0.720 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)cc1 ZINC001201244164 1074408751 /nfs/dbraw/zinc/40/87/51/1074408751.db2.gz CKNJOLWNWNUDPT-KRWDZBQOSA-N 0 0 449.485 -0.189 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)cc1 ZINC001201244769 1074408497 /nfs/dbraw/zinc/40/84/97/1074408497.db2.gz RQFQDXQOFOZEKY-KRWDZBQOSA-N 0 0 449.485 -0.189 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)N1CCn3c(COCC(=O)N(C)C)nnc3C1)c2=O ZINC001201258685 1074408670 /nfs/dbraw/zinc/40/86/70/1074408670.db2.gz WZMKZMQVBWABTM-UHFFFAOYSA-N 0 0 425.449 -0.145 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)c2=O ZINC001201267447 1074408682 /nfs/dbraw/zinc/40/86/82/1074408682.db2.gz LMLXJSSTYYXKGI-HOTGVXAUSA-N 0 0 437.460 -0.457 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)N[C@H]1C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]1CO)c2=O ZINC001201267891 1074408745 /nfs/dbraw/zinc/40/87/45/1074408745.db2.gz QETQYOXNVRXQJG-SQWLQELKSA-N 0 0 427.417 -0.348 20 0 IBADRN OC[C@@H]1O[C@@H](Sc2ccc(Nc3cnn(CC4OCCO4)c3)cc2)[C@@H](O)[C@@H](O)[C@H]1O ZINC001201271029 1074408705 /nfs/dbraw/zinc/40/87/05/1074408705.db2.gz BNFCSNYOEJHORQ-GBBGEASQSA-N 0 0 439.490 -0.108 20 0 IBADRN OC[C@@H]1O[C@@H](Sc2ccc(Nc3cnn(CC4OCCO4)c3)cc2)[C@H](O)[C@@H](O)[C@H]1O ZINC001201271030 1074409378 /nfs/dbraw/zinc/40/93/78/1074409378.db2.gz BNFCSNYOEJHORQ-HZZCBIDNSA-N 0 0 439.490 -0.108 20 0 IBADRN OC[C@@H]1O[C@@H](Sc2ccc(Nc3cnn(CC4OCCO4)c3)cc2)[C@H](O)[C@H](O)[C@H]1O ZINC001201271031 1074409097 /nfs/dbraw/zinc/40/90/97/1074409097.db2.gz BNFCSNYOEJHORQ-NLNMYJFZSA-N 0 0 439.490 -0.108 20 0 IBADRN OC[C@@H]1O[C@@H](Sc2ccc(Nc3cnn(CC4OCCO4)c3)cc2)[C@@H](O)[C@H](O)[C@H]1O ZINC001201271032 1074409109 /nfs/dbraw/zinc/40/91/09/1074409109.db2.gz BNFCSNYOEJHORQ-UJCHZGTJSA-N 0 0 439.490 -0.108 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C(=O)c1ccc3c(c1)ncn(C)c3=O)C2 ZINC001201336015 1074409211 /nfs/dbraw/zinc/40/92/11/1074409211.db2.gz CISGPJQLUVZTDC-UHFFFAOYSA-N 0 0 425.449 -0.214 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3ccc4c(c3)ncn(C)c4=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001201349014 1074409387 /nfs/dbraw/zinc/40/93/87/1074409387.db2.gz MFSVPCMLIDYXCF-ARFHVFGLSA-N 0 0 427.417 -0.417 20 0 IBADRN Cn1cnc2cc(C(=O)N[C@@H](Cc3c[nH]cn3)C(=O)N3CCC[C@H]3C(N)=O)ccc2c1=O ZINC001201349552 1074409417 /nfs/dbraw/zinc/40/94/17/1074409417.db2.gz VFCCHSWUQBRFCO-IRXDYDNUSA-N 0 0 437.460 -0.526 20 0 IBADRN Cc1nc2ccccn2c(=O)c1C(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001201355997 1074409062 /nfs/dbraw/zinc/40/90/62/1074409062.db2.gz KDAUQXJYLUZASB-UHFFFAOYSA-N 0 0 425.449 -0.145 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)c3c(C)nc4ccccn4c3=O)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001201368293 1074409076 /nfs/dbraw/zinc/40/90/76/1074409076.db2.gz MKRFRSUDQAFPIG-GZBFAFLISA-N 0 0 427.417 -0.348 20 0 IBADRN Cc1nc2ccccn2c(=O)c1C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001201369547 1074409266 /nfs/dbraw/zinc/40/92/66/1074409266.db2.gz WOWFOOUZORJNBA-GJZGRUSLSA-N 0 0 437.460 -0.457 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(Cl)cc(C(F)(F)F)c1 ZINC001201379045 1074409354 /nfs/dbraw/zinc/40/93/54/1074409354.db2.gz KSTOGZHBHWEFNC-UHFFFAOYSA-N 0 0 430.792 -0.645 20 0 IBADRN CCOc1ccc(NC(=O)NC)cc1S(=O)(=O)N1CC(N2CCS(=O)(=O)CC2)C1 ZINC001201425371 1074409317 /nfs/dbraw/zinc/40/93/17/1074409317.db2.gz KIMNXTBGZYHRDL-UHFFFAOYSA-N 0 0 446.551 -0.060 20 0 IBADRN CCOc1ccc(NC(=O)NC)cc1S(=O)(=O)N1CC(N2CCN(C)C(=O)C2)C1 ZINC001201425557 1074409122 /nfs/dbraw/zinc/40/91/22/1074409122.db2.gz OHFVCCUMLVGZRR-UHFFFAOYSA-N 0 0 425.511 -0.017 20 0 IBADRN CCOc1ccc(NC(=O)NC)cc1S(=O)(=O)NCCN1CCS(=O)(=O)CC1 ZINC001201457474 1074410073 /nfs/dbraw/zinc/41/00/73/1074410073.db2.gz XUIOJILBGJGCIR-UHFFFAOYSA-N 0 0 434.540 -0.155 20 0 IBADRN CCOc1ccc(NC(=O)c2ccccn2)cc1S(=O)(=O)NC(CO)(CO)CO ZINC001201494468 1074410037 /nfs/dbraw/zinc/41/00/37/1074410037.db2.gz MPVMEEUUQQRGSN-UHFFFAOYSA-N 0 0 425.463 -0.273 20 0 IBADRN CCOc1ccc(NC(=O)c2ccccn2)cc1S(=O)(=O)N[C@@H](CC(N)=O)C(N)=O ZINC001201501017 1074409956 /nfs/dbraw/zinc/40/99/56/1074409956.db2.gz OPVJSGXLDHSTFK-ZDUSSCGKSA-N 0 0 435.462 -0.260 20 0 IBADRN CCOc1ccc(NC(=O)c2ccccn2)cc1S(=O)(=O)NCC(=O)NCC(N)=O ZINC001201501453 1074409873 /nfs/dbraw/zinc/40/98/73/1074409873.db2.gz XXMGHACJGLANCO-UHFFFAOYSA-N 0 0 435.462 -0.388 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)N1C[C@@H]2[C@H](C1)OCCN2CC(=O)NC ZINC001201522107 1074409973 /nfs/dbraw/zinc/40/99/73/1074409973.db2.gz UMHVHUYNJUILGI-ZBFHGGJFSA-N 0 0 441.506 -0.308 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)N1CC[C@@]2(C1)CNC(=O)CN2C(C)=O ZINC001201522349 1074409967 /nfs/dbraw/zinc/40/99/67/1074409967.db2.gz WUTSKAMJQSWYMZ-IBGZPJMESA-N 0 0 439.490 -0.017 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)N1CC[C@]2(C1)CNC(=O)CN2C(C)=O ZINC001201522352 1074410056 /nfs/dbraw/zinc/41/00/56/1074410056.db2.gz WUTSKAMJQSWYMZ-LJQANCHMSA-N 0 0 439.490 -0.017 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)N1CC(N2CCS(=O)(=O)CC2)C1 ZINC001201527294 1074409988 /nfs/dbraw/zinc/40/99/88/1074409988.db2.gz GKBWWVHHHMAKHZ-UHFFFAOYSA-N 0 0 432.520 -0.025 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001201556663 1074410060 /nfs/dbraw/zinc/41/00/60/1074410060.db2.gz CPLNITVQLZXCPO-UHFFFAOYSA-N 0 0 449.551 -0.273 20 0 IBADRN Cc1ccccc1Oc1cccc(S(=O)(=O)N[C@H]2[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]2O)c1 ZINC001201588851 1074410008 /nfs/dbraw/zinc/41/00/08/1074410008.db2.gz OCZOFKBKKFLRAV-FVVUREQNSA-N 0 0 425.459 -0.134 20 0 IBADRN Cc1ccccc1Oc1cccc(S(=O)(=O)N[C@@H]2[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]2O)c1 ZINC001201588857 1074410728 /nfs/dbraw/zinc/41/07/28/1074410728.db2.gz OCZOFKBKKFLRAV-NNIGNNQHSA-N 0 0 425.459 -0.134 20 0 IBADRN Cc1ccccc1Oc1cccc(S(=O)(=O)NCC(=O)NCC(=O)NCC(N)=O)c1 ZINC001201589718 1074410748 /nfs/dbraw/zinc/41/07/48/1074410748.db2.gz PPKGOIAYNCSMNY-UHFFFAOYSA-N 0 0 434.474 -0.217 20 0 IBADRN CN1CCN(C(=O)c2ccc(-n3c(N)c4ncn(COCCO)c4nc3=N)cc2)CC1 ZINC001201605979 1074410652 /nfs/dbraw/zinc/41/06/52/1074410652.db2.gz ZGPGPDANVBGSQN-UHFFFAOYSA-N 0 0 426.481 -0.362 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(Oc3ccncc3)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001201645273 1074410758 /nfs/dbraw/zinc/41/07/58/1074410758.db2.gz HYHSXHCRAXCNPM-DQDQBAQVSA-N 0 0 440.474 -0.004 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(S(=O)(=O)[C@@H]3CCN(C(=O)OC(C)(C)C)C3)C[C@H]21 ZINC001201663739 1074410740 /nfs/dbraw/zinc/41/07/40/1074410740.db2.gz IYVCTIBXTNCSFS-KFWWJZLASA-N 0 0 432.543 -0.543 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(S(=O)(=O)[C@H]3CCN(C(=O)OC(C)(C)C)C3)C[C@H]21 ZINC001201663767 1074410704 /nfs/dbraw/zinc/41/07/04/1074410704.db2.gz IYVCTIBXTNCSFS-ZNMIVQPWSA-N 0 0 432.543 -0.543 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(S(=O)(=O)[C@H]1CCN(C(=O)OC(C)(C)C)C1)C2 ZINC001201668802 1074410730 /nfs/dbraw/zinc/41/07/30/1074410730.db2.gz PDOLHMGWMXZGTE-KBXCAEBGSA-N 0 0 430.527 -0.252 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(S(=O)(=O)[C@@H]1CCN(C(=O)OC(C)(C)C)C1)C2 ZINC001201668803 1074410640 /nfs/dbraw/zinc/41/06/40/1074410640.db2.gz PDOLHMGWMXZGTE-KDOFPFPSSA-N 0 0 430.527 -0.252 20 0 IBADRN CC(=O)N1CC(=O)NC[C@]12CCN(S(=O)(=O)[C@H]1CCN(C(=O)OC(C)(C)C)C1)C2 ZINC001201668804 1074410668 /nfs/dbraw/zinc/41/06/68/1074410668.db2.gz PDOLHMGWMXZGTE-KSSFIOAISA-N 0 0 430.527 -0.252 20 0 IBADRN CC(=O)N1CC(=O)NC[C@@]12CCN(S(=O)(=O)[C@@H]1CCN(C(=O)OC(C)(C)C)C1)C2 ZINC001201668805 1074410648 /nfs/dbraw/zinc/41/06/48/1074410648.db2.gz PDOLHMGWMXZGTE-RDTXWAMCSA-N 0 0 430.527 -0.252 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](S(=O)(=O)NCCOCCOCCOCCO)C1 ZINC001201707889 1074410695 /nfs/dbraw/zinc/41/06/95/1074410695.db2.gz QVEZGGUIVOABIC-HNNXBMFYSA-N 0 0 426.532 -0.043 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](S(=O)(=O)NCCOCCOCCOCCO)C1 ZINC001201707890 1074410716 /nfs/dbraw/zinc/41/07/16/1074410716.db2.gz QVEZGGUIVOABIC-OAHLLOKOSA-N 0 0 426.532 -0.043 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001201710579 1074410575 /nfs/dbraw/zinc/41/05/75/1074410575.db2.gz USYOHDDPKRBMBM-AWEZNQCLSA-N 0 0 440.588 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001201710582 1074411464 /nfs/dbraw/zinc/41/14/64/1074411464.db2.gz USYOHDDPKRBMBM-CQSZACIVSA-N 0 0 440.588 -0.508 20 0 IBADRN Cc1nc(Cl)sc1S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001201771661 1074411391 /nfs/dbraw/zinc/41/13/91/1074411391.db2.gz BFRFXMSZWSQLSI-RYUDHWBXSA-N 0 0 446.938 -0.043 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1sc(Cl)nc1C ZINC001201773700 1074411268 /nfs/dbraw/zinc/41/12/68/1074411268.db2.gz YEEHWNQOGJSCPN-FXQIFTODSA-N 0 0 440.931 -0.046 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2cccc(F)c2Br)[C@@H](O)[C@H](O)[C@@H]1O ZINC001201789723 1074411222 /nfs/dbraw/zinc/41/12/22/1074411222.db2.gz MHBPMTYNLSDGDG-AJVHJNHVSA-N 0 0 444.275 -0.289 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCNC(=O)C23CN(C(=O)OC(C)(C)C)C3)c1 ZINC001201844006 1074411873 /nfs/dbraw/zinc/41/18/73/1074411873.db2.gz HKUKJAJOGLKQAQ-UHFFFAOYSA-N 0 0 440.478 -0.022 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCC[C@]3(CCN(CC(=O)N(C)C)C3=O)C2)c1 ZINC001201844658 1074411826 /nfs/dbraw/zinc/41/18/26/1074411826.db2.gz AINGMXRBJZCLGJ-IBGZPJMESA-N 0 0 438.506 -0.040 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCC[C@@]3(CCN(CC(=O)N(C)C)C3=O)C2)c1 ZINC001201844659 1074411957 /nfs/dbraw/zinc/41/19/57/1074411957.db2.gz AINGMXRBJZCLGJ-LJQANCHMSA-N 0 0 438.506 -0.040 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCC3(CC2)COCCN(S(C)(=O)=O)C3)c1 ZINC001201844701 1074411993 /nfs/dbraw/zinc/41/19/93/1074411993.db2.gz BRRITWYQDSHTJB-UHFFFAOYSA-N 0 0 447.535 -0.069 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@H]3OCC[C@H]3[C@@H](C(=O)N3CCOCC3)C2)c1 ZINC001201845354 1074412002 /nfs/dbraw/zinc/41/20/02/1074412002.db2.gz FMWQKXAIUMJNKR-YESZJQIVSA-N 0 0 439.490 -0.247 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCO[C@H]3[C@H](OCC(=O)N(C)C)CC[C@@H]32)c1 ZINC001201845908 1074411966 /nfs/dbraw/zinc/41/19/66/1074411966.db2.gz JFHKTRVHRLGBFT-ZMSDIMECSA-N 0 0 427.479 -0.107 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CC[C@H]3O[C@@H](C(=O)N4CCOCC4)C[C@H]32)c1 ZINC001201846479 1074411883 /nfs/dbraw/zinc/41/18/83/1074411883.db2.gz MMWXBCUNEZOTBU-BZUAXINKSA-N 0 0 425.463 -0.353 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)CCO[C@@H]3C2)c1 ZINC001201846486 1074411929 /nfs/dbraw/zinc/41/19/29/1074411929.db2.gz MPLDHDKKURFZFG-RHSMWYFYSA-N 0 0 440.522 -0.332 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@@H](NC(=O)c3cnn(C)c3)[C@H]3OCCC[C@H]32)c1 ZINC001201846528 1074411918 /nfs/dbraw/zinc/41/19/18/1074411918.db2.gz NISNZTWSAQJNNQ-BRWVUGGUSA-N 0 0 449.489 -0.048 20 0 IBADRN COCCN1CCO[C@H]2CN(S(=O)(=O)c3cncc(C(=O)OC)c3)CC[C@H]2C1=O ZINC001201846548 1074411950 /nfs/dbraw/zinc/41/19/50/1074411950.db2.gz NLELYEVFDPAAGJ-CVEARBPZSA-N 0 0 427.479 -0.247 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2Cc3ccnn3C[C@@H](C(=O)N3CCOCC3)C2)c1 ZINC001201846684 1074411864 /nfs/dbraw/zinc/41/18/64/1074411864.db2.gz ORJPKOXFYGSWMA-HNNXBMFYSA-N 0 0 449.489 -0.256 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2Cc3ccnn3C[C@H](C(=O)N3CCOCC3)C2)c1 ZINC001201846688 1074411847 /nfs/dbraw/zinc/41/18/47/1074411847.db2.gz ORJPKOXFYGSWMA-OAHLLOKOSA-N 0 0 449.489 -0.256 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@@H]3COC[C@]3(COCC(=O)N(C)C)C2)c1 ZINC001201846693 1074412039 /nfs/dbraw/zinc/41/20/39/1074412039.db2.gz OVXZYNUFPBFJLH-KDOFPFPSSA-N 0 0 427.479 -0.390 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(S(=O)(=O)c3cncc(C(=O)OC)c3)C2)C1 ZINC001201847187 1074411895 /nfs/dbraw/zinc/41/18/95/1074411895.db2.gz PHYVCYIASNUAJN-IBGZPJMESA-N 0 0 440.522 -0.283 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(S(=O)(=O)c3cncc(C(=O)OC)c3)C2)C1 ZINC001201847189 1074411839 /nfs/dbraw/zinc/41/18/39/1074411839.db2.gz PHYVCYIASNUAJN-LJQANCHMSA-N 0 0 440.522 -0.283 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)c1 ZINC001201847265 1074412016 /nfs/dbraw/zinc/41/20/16/1074412016.db2.gz QESSGGXDYKEXRR-TZMCWYRMSA-N 0 0 432.524 -0.383 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@@H](NC(=O)c3cnccn3)[C@H]3COC[C@H]32)c1 ZINC001201847595 1074411903 /nfs/dbraw/zinc/41/19/03/1074411903.db2.gz RXGAIOUTFIIPGL-FVQBIDKESA-N 0 0 433.446 -0.524 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(S(=O)(=O)c2cncc(C(=O)OC)c2)C1 ZINC001201847901 1074412029 /nfs/dbraw/zinc/41/20/29/1074412029.db2.gz RRBMWSQPUVTGAH-AWEZNQCLSA-N 0 0 437.478 -0.352 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(S(=O)(=O)c2cncc(C(=O)OC)c2)C1 ZINC001201847911 1074411981 /nfs/dbraw/zinc/41/19/81/1074411981.db2.gz RRBMWSQPUVTGAH-CQSZACIVSA-N 0 0 437.478 -0.352 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4cncc(C(=O)OC)c4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001201847914 1074412437 /nfs/dbraw/zinc/41/24/37/1074412437.db2.gz RSLUTRPGNLMNLS-IVMMDQJWSA-N 0 0 431.492 -0.566 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(S(=O)(=O)c1cncc(C(=O)OC)c1)CC2 ZINC001201847977 1074412359 /nfs/dbraw/zinc/41/23/59/1074412359.db2.gz SKHYDQSKSYMQBW-AWEZNQCLSA-N 0 0 427.479 -0.057 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(S(=O)(=O)c1cncc(C(=O)OC)c1)CC2 ZINC001201847983 1074412292 /nfs/dbraw/zinc/41/22/92/1074412292.db2.gz SKHYDQSKSYMQBW-CQSZACIVSA-N 0 0 427.479 -0.057 20 0 IBADRN CNC(=O)CO[C@@H]1CO[C@@]2(C1)CCCN(S(=O)(=O)c1cncc(C(=O)OC)c1)C2 ZINC001201847998 1074412391 /nfs/dbraw/zinc/41/23/91/1074412391.db2.gz SSBBTVRZYVSUQK-KBXCAEBGSA-N 0 0 427.479 -0.057 20 0 IBADRN CNC(=O)CO[C@H]1CO[C@]2(C1)CCCN(S(=O)(=O)c1cncc(C(=O)OC)c1)C2 ZINC001201848002 1074412310 /nfs/dbraw/zinc/41/23/10/1074412310.db2.gz SSBBTVRZYVSUQK-KDOFPFPSSA-N 0 0 427.479 -0.057 20 0 IBADRN CNC(=O)CO[C@@H]1CO[C@]2(C1)CCCN(S(=O)(=O)c1cncc(C(=O)OC)c1)C2 ZINC001201848004 1074412374 /nfs/dbraw/zinc/41/23/74/1074412374.db2.gz SSBBTVRZYVSUQK-KSSFIOAISA-N 0 0 427.479 -0.057 20 0 IBADRN CNC(=O)CO[C@H]1CO[C@@]2(C1)CCCN(S(=O)(=O)c1cncc(C(=O)OC)c1)C2 ZINC001201848007 1074412338 /nfs/dbraw/zinc/41/23/38/1074412338.db2.gz SSBBTVRZYVSUQK-RDTXWAMCSA-N 0 0 427.479 -0.057 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)[C@@H](C(N)=O)C2)c1 ZINC001201848067 1074412318 /nfs/dbraw/zinc/41/23/18/1074412318.db2.gz MJQCUBPFPAKHSQ-CYBMUJFWSA-N 0 0 428.467 -0.037 20 0 IBADRN COCCN1CCN(C)C2(CCN(S(=O)(=O)c3cncc(C(=O)OC)c3)CC2)C1=O ZINC001201848071 1074412366 /nfs/dbraw/zinc/41/23/66/1074412366.db2.gz UCXNWLMQGTUSBI-UHFFFAOYSA-N 0 0 440.522 -0.188 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)[C@H](C(N)=O)C2)c1 ZINC001201848091 1074412299 /nfs/dbraw/zinc/41/22/99/1074412299.db2.gz MJQCUBPFPAKHSQ-ZDUSSCGKSA-N 0 0 428.467 -0.037 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCO[C@@]3(C2)COCCN(c2ncccn2)C3)c1 ZINC001201848237 1074412397 /nfs/dbraw/zinc/41/23/97/1074412397.db2.gz BLOGTIALQPBFJX-IBGZPJMESA-N 0 0 449.489 -0.045 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCO[C@]3(C2)COCCN(c2ncccn2)C3)c1 ZINC001201848238 1074412445 /nfs/dbraw/zinc/41/24/45/1074412445.db2.gz BLOGTIALQPBFJX-LJQANCHMSA-N 0 0 449.489 -0.045 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@H]3C[C@@H](C(=O)N4CCOCC4)[C@@H](C2)O3)c1 ZINC001201848250 1074412333 /nfs/dbraw/zinc/41/23/33/1074412333.db2.gz CHFFIUHGGPDMFH-FVQBIDKESA-N 0 0 425.463 -0.495 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@H]3C[C@H](C(=O)N4CCOCC4)[C@@H](C2)O3)c1 ZINC001201848251 1074412326 /nfs/dbraw/zinc/41/23/26/1074412326.db2.gz CHFFIUHGGPDMFH-VNQPRFMTSA-N 0 0 425.463 -0.495 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@H](NC(=O)c3nccn3C)[C@H]3OCCC[C@H]32)c1 ZINC001201848303 1074412350 /nfs/dbraw/zinc/41/23/50/1074412350.db2.gz DPVLRURIARNZHU-ARFHVFGLSA-N 0 0 449.489 -0.048 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@@H](NC(=O)c3nccn3C)[C@H]3OCCC[C@H]32)c1 ZINC001201848305 1074412344 /nfs/dbraw/zinc/41/23/44/1074412344.db2.gz DPVLRURIARNZHU-BZUAXINKSA-N 0 0 449.489 -0.048 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2Cc3c(n(C)nc3C(=O)N3CCOCC3)C2)c1 ZINC001201848933 1074412379 /nfs/dbraw/zinc/41/23/79/1074412379.db2.gz KLSNJQYQQYOORX-UHFFFAOYSA-N 0 0 435.462 -0.221 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@@H]3COC[C@H](C(=O)N4CCCO4)[C@@H]3C2)c1 ZINC001201849535 1074412419 /nfs/dbraw/zinc/41/24/19/1074412419.db2.gz ZZMPDCUUDKACSW-BMFZPTHFSA-N 0 0 425.463 -0.085 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)c1 ZINC001201849999 1074412405 /nfs/dbraw/zinc/41/24/05/1074412405.db2.gz MITXHSCHYWBETN-UHFFFAOYSA-N 0 0 438.466 -0.731 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2C[C@H](NC(=O)c3cnn(C)c3)[C@H]3OCCC[C@H]32)c1 ZINC001201850012 1074412433 /nfs/dbraw/zinc/41/24/33/1074412433.db2.gz NISNZTWSAQJNNQ-GVDBMIGSSA-N 0 0 449.489 -0.048 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N2CCc3ccc(=O)n(CC(=O)N(C)C)c3CC2)c1 ZINC001201850265 1074413052 /nfs/dbraw/zinc/41/30/52/1074413052.db2.gz WBYVYTVQRHTEPR-UHFFFAOYSA-N 0 0 448.501 -0.092 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NC[C@@H]2OCCc3cn(CC(=O)N(C)C)nc32)c1 ZINC001201855438 1074411183 /nfs/dbraw/zinc/41/11/83/1074411183.db2.gz XHVIYDFLENJDJX-HNNXBMFYSA-N 0 0 437.478 -0.255 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NC[C@H]2OCCc3cn(CC(=O)N(C)C)nc32)c1 ZINC001201855439 1074411373 /nfs/dbraw/zinc/41/13/73/1074411373.db2.gz XHVIYDFLENJDJX-OAHLLOKOSA-N 0 0 437.478 -0.255 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NCc2ccnc(N3CCS(=O)(=O)CC3)c2)c1 ZINC001201858648 1074411364 /nfs/dbraw/zinc/41/13/64/1074411364.db2.gz NNGQGSYDTINQJF-UHFFFAOYSA-N 0 0 440.503 -0.024 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)c1 ZINC001201859809 1074411297 /nfs/dbraw/zinc/41/12/97/1074411297.db2.gz DGAYKEVBZWCLCO-HNNXBMFYSA-N 0 0 436.446 -0.935 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)c1 ZINC001201860738 1074411207 /nfs/dbraw/zinc/41/12/07/1074411207.db2.gz LUCMMHLXNYPYRS-BFHYXJOUSA-N 0 0 440.434 -0.934 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)c1 ZINC001201861548 1074411125 /nfs/dbraw/zinc/41/11/25/1074411125.db2.gz YVVWQOCABXZKER-HNNXBMFYSA-N 0 0 436.446 -0.935 20 0 IBADRN COC(=O)c1cncc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001201861564 1074411174 /nfs/dbraw/zinc/41/11/74/1074411174.db2.gz ZPVTWTPZYPZJGH-LRDDRELGSA-N 0 0 434.474 -0.252 20 0 IBADRN CCOc1ccc(NC(=O)c2cccnc2)cc1S(=O)(=O)NC(CO)(CO)CO ZINC001201873919 1074413064 /nfs/dbraw/zinc/41/30/64/1074413064.db2.gz FJMQJZUZUCWYPS-UHFFFAOYSA-N 0 0 425.463 -0.273 20 0 IBADRN CCOc1ccc(NC(=O)c2cccnc2)cc1S(=O)(=O)NCC(=O)NCC(N)=O ZINC001201875679 1074413076 /nfs/dbraw/zinc/41/30/76/1074413076.db2.gz ACFCCQLFOUGMNG-UHFFFAOYSA-N 0 0 435.462 -0.388 20 0 IBADRN CCOc1ccc(NC(=O)c2cccnc2)cc1S(=O)(=O)N[C@@H](CC(N)=O)C(N)=O ZINC001201877092 1074413013 /nfs/dbraw/zinc/41/30/13/1074413013.db2.gz LHHQZQZHBYBEEL-ZDUSSCGKSA-N 0 0 435.462 -0.260 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1C[C@@H](NC(=O)c2cnccn2)[C@H]2OCCC[C@H]2C1 ZINC001201905710 1074413045 /nfs/dbraw/zinc/41/30/45/1074413045.db2.gz CRPLMGWKJZYHPZ-LXZKKBNFSA-N 0 0 426.495 -0.031 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1C[C@@H]2c3nc(N(C)C)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001201906733 1074412964 /nfs/dbraw/zinc/41/29/64/1074412964.db2.gz SFLXQHFYJVQHCH-QWHCGFSZSA-N 0 0 432.524 -0.478 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCCn2nnc(COCC(=O)N3CCCC3)c2C1 ZINC001201906765 1074413069 /nfs/dbraw/zinc/41/30/69/1074413069.db2.gz ULTMKCMBMITKRB-UHFFFAOYSA-N 0 0 443.526 -0.094 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCc2c(n(C)nc2C(=O)N2CCN(C)CC2)C1 ZINC001201906797 1074413082 /nfs/dbraw/zinc/41/30/82/1074413082.db2.gz WLMSMWSLLUTYJN-UHFFFAOYSA-N 0 0 427.527 -0.551 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N(C)C)nc2C1 ZINC001201908150 1074413055 /nfs/dbraw/zinc/41/30/55/1074413055.db2.gz BLOWKKMNKJMLML-UHFFFAOYSA-N 0 0 429.499 -0.497 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CC[C@H]2[C@H](CO[C@@H]2CNC(=O)c2cnn(C)c2)C1 ZINC001201908216 1074413033 /nfs/dbraw/zinc/41/30/33/1074413033.db2.gz CICYDXCQQCKIMI-HRCADAONSA-N 0 0 428.511 -0.230 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CC[C@H]2CO[C@H](CNC(=O)c3ccnnc3)[C@H]2C1 ZINC001201908245 1074413091 /nfs/dbraw/zinc/41/30/91/1074413091.db2.gz CIXCIPFMLUGSOC-HRCADAONSA-N 0 0 426.495 -0.174 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1Cc2nccn2C[C@H](CNC(=O)c2ccnnc2)C1 ZINC001201908405 1074412978 /nfs/dbraw/zinc/41/29/78/1074412978.db2.gz DXFRFSZJKNHETK-AWEZNQCLSA-N 0 0 436.494 -0.182 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1Cc2nccn2C[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001201908406 1074413024 /nfs/dbraw/zinc/41/30/24/1074413024.db2.gz DXFRFSZJKNHETK-CQSZACIVSA-N 0 0 436.494 -0.182 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CC[C@H]2[C@H](CO[C@@H]2CNC(=O)c2cnccn2)C1 ZINC001201908524 1074412948 /nfs/dbraw/zinc/41/29/48/1074412948.db2.gz HHMNRVKDTNOZHW-OFQRWUPVSA-N 0 0 426.495 -0.174 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)C3CC3)nc2C1 ZINC001201908732 1074412986 /nfs/dbraw/zinc/41/29/86/1074412986.db2.gz JTAAKWLHVZLWES-UHFFFAOYSA-N 0 0 426.495 -0.242 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCc2ncc(CNC(=O)c3cnn(C)c3)n2CC1 ZINC001201908773 1074413094 /nfs/dbraw/zinc/41/30/94/1074413094.db2.gz KUXKLIMVRIQTGB-UHFFFAOYSA-N 0 0 438.510 -0.312 20 0 IBADRN CNC(=O)[C@]12CN(S(=O)(=O)CCCC(=O)OC)C[C@H]1N(c1ncccn1)CCC2 ZINC001201908814 1074413000 /nfs/dbraw/zinc/41/30/00/1074413000.db2.gz KDGVEJXWCXGXNQ-RDTXWAMCSA-N 0 0 425.511 -0.224 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@H]21 ZINC001201908871 1074413029 /nfs/dbraw/zinc/41/30/29/1074413029.db2.gz KZMLBEMDWNGCSP-FRFSOERESA-N 0 0 426.495 -0.031 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCO[C@@H]2[C@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001201908873 1074413271 /nfs/dbraw/zinc/41/32/71/1074413271.db2.gz KZMLBEMDWNGCSP-JLJPHGGASA-N 0 0 426.495 -0.031 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCO[C@@H]2[C@@H](CNC(=O)c3ccnnc3)CC[C@@H]21 ZINC001201908992 1074413342 /nfs/dbraw/zinc/41/33/42/1074413342.db2.gz KZMLBEMDWNGCSP-UKPHBRMFSA-N 0 0 426.495 -0.031 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCO[C@H]2[C@H]1CC[C@H]2CNC(=O)c1ccnnc1 ZINC001201908993 1074413177 /nfs/dbraw/zinc/41/31/77/1074413177.db2.gz KZMLBEMDWNGCSP-YSVLISHTSA-N 0 0 426.495 -0.031 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCc2ncc(CNC(=O)c3nccn3C)n2CC1 ZINC001201909141 1074413355 /nfs/dbraw/zinc/41/33/55/1074413355.db2.gz PXVZHVPYOIFZCL-UHFFFAOYSA-N 0 0 438.510 -0.312 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CC[C@H]2CO[C@H](CNC(=O)c3cnccn3)[C@H]2C1 ZINC001201909326 1074413261 /nfs/dbraw/zinc/41/32/61/1074413261.db2.gz SEHKHTYQDLWSLM-OFQRWUPVSA-N 0 0 426.495 -0.174 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001201909375 1074413345 /nfs/dbraw/zinc/41/33/45/1074413345.db2.gz UAOCNPMRSMMJMD-ZIAGYGMSSA-N 0 0 439.556 -0.916 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CC[C@H]2CO[C@H](CNC(=O)c3cnn(C)c3)[C@H]2C1 ZINC001201909423 1074413328 /nfs/dbraw/zinc/41/33/28/1074413328.db2.gz VPHCBVWYODCZSU-CWRNSKLLSA-N 0 0 428.511 -0.230 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001201909660 1074413325 /nfs/dbraw/zinc/41/33/25/1074413325.db2.gz YMSSCQCGKUBDSA-KRWDZBQOSA-N 0 0 430.483 -0.863 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001201909662 1074413149 /nfs/dbraw/zinc/41/31/49/1074413149.db2.gz YMSSCQCGKUBDSA-QGZVFWFLSA-N 0 0 430.483 -0.863 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1Cc2ncn(C)c2[C@H](COCCN2CCOCC2)C1 ZINC001201909980 1074413313 /nfs/dbraw/zinc/41/33/13/1074413313.db2.gz YMOGCHDBEJHGND-INIZCTEOSA-N 0 0 444.554 -0.049 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1Cc2ncn(C)c2[C@@H](COCCN2CCOCC2)C1 ZINC001201909982 1074413134 /nfs/dbraw/zinc/41/31/34/1074413134.db2.gz YMOGCHDBEJHGND-MRXNPFEDSA-N 0 0 444.554 -0.049 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CC[C@@]2(C1)CN(c1cnn(C)c1)C(=O)CN2C(C)=O ZINC001201910044 1074413170 /nfs/dbraw/zinc/41/31/70/1074413170.db2.gz ZXSDVYMKKARLGS-GOSISDBHSA-N 0 0 441.510 -0.657 20 0 IBADRN COC(=O)CCCS(=O)(=O)N1CC[C@]2(C1)CN(c1cnn(C)c1)C(=O)CN2C(C)=O ZINC001201910054 1074413192 /nfs/dbraw/zinc/41/31/92/1074413192.db2.gz ZXSDVYMKKARLGS-SFHVURJKSA-N 0 0 441.510 -0.657 20 0 IBADRN COC(=O)CCCS(=O)(=O)N[C@H]1CCc2c(ccc(=O)n2CCN2CCOCC2)C1 ZINC001201918971 1074413383 /nfs/dbraw/zinc/41/33/83/1074413383.db2.gz SGQFVZJHIUWSDA-KRWDZBQOSA-N 0 0 441.550 -0.090 20 0 IBADRN COC(=O)CCCS(=O)(=O)N[C@@H]1CCc2c(ccc(=O)n2CCN2CCOCC2)C1 ZINC001201918972 1074413375 /nfs/dbraw/zinc/41/33/75/1074413375.db2.gz SGQFVZJHIUWSDA-QGZVFWFLSA-N 0 0 441.550 -0.090 20 0 IBADRN COC(=O)CCCS(=O)(=O)NCCN1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001201920577 1074413364 /nfs/dbraw/zinc/41/33/64/1074413364.db2.gz DIJVYNQEBTVQLF-UHFFFAOYSA-N 0 0 433.552 -0.135 20 0 IBADRN COC(=O)CCCS(=O)(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001201922128 1074413244 /nfs/dbraw/zinc/41/32/44/1074413244.db2.gz COCULIDILRDXKQ-STQMWFEESA-N 0 0 425.573 -0.003 20 0 IBADRN COC(=O)CCCS(=O)(=O)N[C@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)C(C)C ZINC001201923619 1074413198 /nfs/dbraw/zinc/41/31/98/1074413198.db2.gz PYBQFWVOFVAVFO-WBVHZDCISA-N 0 0 443.522 -0.198 20 0 IBADRN CN1CC(=O)N(Cc2ccc(C(N)=O)cc2NC(=O)N2CCN3CCOC[C@@H]3C2)C1=O ZINC001202081129 1074413215 /nfs/dbraw/zinc/41/32/15/1074413215.db2.gz USPWGJRTSYKSSF-HNNXBMFYSA-N 0 0 430.465 -0.272 20 0 IBADRN CN1CC(=O)N(Cc2ccc(C(N)=O)cc2NC(=O)N2CCN3CCOC[C@H]3C2)C1=O ZINC001202081135 1074413849 /nfs/dbraw/zinc/41/38/49/1074413849.db2.gz USPWGJRTSYKSSF-OAHLLOKOSA-N 0 0 430.465 -0.272 20 0 IBADRN C[C@@H]1CN(CCO)CCN1C(=O)Nc1cc(C(N)=O)ccc1CN1C(=O)CN(C)C1=O ZINC001202089237 1074413935 /nfs/dbraw/zinc/41/39/35/1074413935.db2.gz MTSIMFZATOBHSA-CYBMUJFWSA-N 0 0 432.481 -0.290 20 0 IBADRN C[C@H]1CN(CCO)CCN1C(=O)Nc1cc(C(N)=O)ccc1CN1C(=O)CN(C)C1=O ZINC001202089246 1074413981 /nfs/dbraw/zinc/41/39/81/1074413981.db2.gz MTSIMFZATOBHSA-ZDUSSCGKSA-N 0 0 432.481 -0.290 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)Nc3ccc(C[C@@H]4NC(=O)NC4=O)cc3)CC2)CCOC1 ZINC001202171354 1074414572 /nfs/dbraw/zinc/41/45/72/1074414572.db2.gz UBPJTSMLNCFFIA-HRAATJIYSA-N 0 0 445.476 -0.081 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)Nc3ccc(C[C@H]4NC(=O)NC4=O)cc3)CC2)CCOC1 ZINC001202171355 1074414459 /nfs/dbraw/zinc/41/44/59/1074414459.db2.gz UBPJTSMLNCFFIA-IERDGZPVSA-N 0 0 445.476 -0.081 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)Nc3ccc(C[C@H]4NC(=O)NC4=O)cc3)CC2)CCOC1 ZINC001202171356 1074414477 /nfs/dbraw/zinc/41/44/77/1074414477.db2.gz UBPJTSMLNCFFIA-IIBYNOLFSA-N 0 0 445.476 -0.081 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)Nc3ccc(C[C@@H]4NC(=O)NC4=O)cc3)CC2)CCOC1 ZINC001202171357 1074414443 /nfs/dbraw/zinc/41/44/43/1074414443.db2.gz UBPJTSMLNCFFIA-KKSFZXQISA-N 0 0 445.476 -0.081 20 0 IBADRN COC(=O)C[C@@H](O)CNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001202318703 1074414361 /nfs/dbraw/zinc/41/43/61/1074414361.db2.gz UHQGMJWEPFABPG-CYBMUJFWSA-N 0 0 444.510 -0.181 20 0 IBADRN COC(=O)C[C@H](O)CNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001202318704 1074414408 /nfs/dbraw/zinc/41/44/08/1074414408.db2.gz UHQGMJWEPFABPG-ZDUSSCGKSA-N 0 0 444.510 -0.181 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)NC(=O)c2ccccc2)[C@H]1O ZINC001202463583 1074415290 /nfs/dbraw/zinc/41/52/90/1074415290.db2.gz PJUPWKSMPDOYMU-DZDCQFRTSA-N 0 0 441.448 -0.349 20 0 IBADRN CC(C)NC(=O)Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001202516597 1074455635 /nfs/dbraw/zinc/45/56/35/1074455635.db2.gz JLXMJLXHEDSLDG-WOUKDFQISA-N 0 0 431.247 -0.270 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](NC(=O)NC(C)C)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001202532881 1074464781 /nfs/dbraw/zinc/46/47/81/1074464781.db2.gz PCMZAACSNDYQCS-WRQOLXDDSA-N 0 0 432.426 -0.223 20 0 IBADRN CCCNC(=O)Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001202583026 1074475202 /nfs/dbraw/zinc/47/52/02/1074475202.db2.gz KYAMKAKFTISZGV-WOUKDFQISA-N 0 0 431.247 -0.268 20 0 IBADRN CCCNC(=O)N[C@@H]1[C@@H](OC(C)=O)[C@H](OC(C)=O)[C@@H](COC(C)=O)O[C@H]1OC(C)=O ZINC001202601323 1074475196 /nfs/dbraw/zinc/47/51/96/1074475196.db2.gz DOFMJFDLSXDSHU-WRQOLXDDSA-N 0 0 432.426 -0.221 20 0 IBADRN COC(=O)c1ccccc1NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001202670560 1074477028 /nfs/dbraw/zinc/47/70/28/1074477028.db2.gz BMUSOLWTOIMANU-LSCFUAHRSA-N 0 0 444.404 -0.132 20 0 IBADRN COC(=O)c1ccccc1NC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001202670561 1074477159 /nfs/dbraw/zinc/47/71/59/1074477159.db2.gz BMUSOLWTOIMANU-MBMVNNNZSA-N 0 0 444.404 -0.132 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCC(CNS(C)(=O)=O)CC2)c1=O ZINC001202864598 1073068483 /nfs/dbraw/zinc/06/84/83/1073068483.db2.gz OMCAIQWUUOAEQY-UHFFFAOYSA-N 0 0 434.540 -0.314 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC001202865463 1073068508 /nfs/dbraw/zinc/06/85/08/1073068508.db2.gz YBEAQTUQYALOHC-UHFFFAOYSA-N 0 0 449.555 -0.715 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)C[C@H]21 ZINC001202875770 1073068695 /nfs/dbraw/zinc/06/86/95/1073068695.db2.gz WPPUYIOBVJGRDQ-YUTCNCBUSA-N 0 0 433.508 -0.831 20 0 IBADRN CN(C)C(=O)c1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1Cl ZINC001203065414 1073074300 /nfs/dbraw/zinc/07/43/00/1073074300.db2.gz HXTMICUTOQGCMF-XWXWGSFUSA-N 0 0 439.856 -0.308 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2cccc(CCO)c2)[C@H](O)[C@@H]1O ZINC001203111105 1073077527 /nfs/dbraw/zinc/07/75/27/1073077527.db2.gz CPUAQZXQENXYRJ-KSVNGYGVSA-N 0 0 428.449 -0.140 20 0 IBADRN COCC(=O)N1CCc2c1cccc2NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001203135465 1073096378 /nfs/dbraw/zinc/09/63/78/1073096378.db2.gz OATMZKZVVNXOJK-UHFFFAOYSA-N 0 0 439.538 -0.079 20 0 IBADRN O=C(CCN1C(=O)CNC1=O)Nc1ccc(NC(=O)NCCN2CCNC2=S)cc1 ZINC001203184610 1073325986 /nfs/dbraw/zinc/32/59/86/1073325986.db2.gz YUPARTYYPKOLBI-UHFFFAOYSA-N 0 0 433.494 -0.121 20 0 IBADRN CC(C)(O)C=CNc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001203210501 1073325993 /nfs/dbraw/zinc/32/59/93/1073325993.db2.gz AGASVSQCTAEXGL-HRGCFXOXSA-N 0 0 430.259 -0.103 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001203398681 1073325840 /nfs/dbraw/zinc/32/58/40/1073325840.db2.gz RKJXCXKTWXMTAO-GOSISDBHSA-N 0 0 427.479 -0.144 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001203398682 1073326488 /nfs/dbraw/zinc/32/64/88/1073326488.db2.gz RKJXCXKTWXMTAO-SFHVURJKSA-N 0 0 427.479 -0.144 20 0 IBADRN OC[C@H]1O[C@@H](Oc2ccc(Nc3ccc(CN4CCOCC4)nc3)cc2)[C@H](O)[C@@H](O)[C@H]1O ZINC001203479921 1073326356 /nfs/dbraw/zinc/32/63/56/1073326356.db2.gz SJTIEOZEMSEPST-CDJZJNNCSA-N 0 0 447.488 -0.164 20 0 IBADRN OC[C@H]1O[C@H](Oc2ccc(Nc3ccc(CN4CCOCC4)nc3)cc2)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001203482198 1073326316 /nfs/dbraw/zinc/32/63/16/1073326316.db2.gz SJTIEOZEMSEPST-AANPDWTMSA-N 0 0 447.488 -0.164 20 0 IBADRN CS(=O)(=O)c1cncc(Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)c1 ZINC001203544754 1073326401 /nfs/dbraw/zinc/32/64/01/1073326401.db2.gz SEETUNVCHJUQAJ-DISONHOPSA-N 0 0 426.447 -0.593 20 0 IBADRN CS(=O)(=O)c1cncc(Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)c1 ZINC001203548869 1073326224 /nfs/dbraw/zinc/32/62/24/1073326224.db2.gz SEETUNVCHJUQAJ-ZBRFXRBCSA-N 0 0 426.447 -0.593 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCCN1C(=O)CNC1=O ZINC001203593893 1073326256 /nfs/dbraw/zinc/32/62/56/1073326256.db2.gz VLEHVBXLAZEYAF-UHFFFAOYSA-N 0 0 425.467 -0.397 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCCNS(=O)(=O)N(C)C ZINC001203601237 1073326419 /nfs/dbraw/zinc/32/64/19/1073326419.db2.gz ZYASJNWIUTWSHI-UHFFFAOYSA-N 0 0 449.555 -0.553 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC001203948985 1073326385 /nfs/dbraw/zinc/32/63/85/1073326385.db2.gz KJAGMUQGNDFDCE-GFCCVEGCSA-N 0 0 433.512 -0.742 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC001203948986 1073326494 /nfs/dbraw/zinc/32/64/94/1073326494.db2.gz KJAGMUQGNDFDCE-LBPRGKRZSA-N 0 0 433.512 -0.742 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N1CC(C2CS(=O)(=O)C2)C1 ZINC001203949017 1073326394 /nfs/dbraw/zinc/32/63/94/1073326394.db2.gz LJERSKVUACNLEK-UHFFFAOYSA-N 0 0 430.508 -0.389 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001204097069 1073326895 /nfs/dbraw/zinc/32/68/95/1073326895.db2.gz MALJUWFHNFLSFK-VXGBXAGGSA-N 0 0 435.300 -0.040 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1cccc(CCN2CCOCC2)c1 ZINC001204332484 1073326885 /nfs/dbraw/zinc/32/68/85/1073326885.db2.gz AVRNMWGYHLNEJR-QTQZEZTPSA-N 0 0 447.492 -0.788 20 0 IBADRN Cn1cncc1CN1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001204382040 1073326904 /nfs/dbraw/zinc/32/69/04/1073326904.db2.gz GGQZMQYUTCWPNI-MJGOQNOKSA-N 0 0 425.555 -0.105 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)Nc2cnn(Cc3nnc4n3CCCC4)c2)CC1 ZINC001204447836 1073326952 /nfs/dbraw/zinc/32/69/52/1073326952.db2.gz HIJOKNWCVYYLIK-UHFFFAOYSA-N 0 0 437.530 -0.091 20 0 IBADRN COc1ccc(Cn2cc(CN3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)nn2)cc1 ZINC001204471976 1073326831 /nfs/dbraw/zinc/32/68/31/1073326831.db2.gz PDFPBBPVSOPTBL-UHFFFAOYSA-N 0 0 449.581 -0.055 20 0 IBADRN Cn1cc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C2CCOCC2)n1 ZINC001204524889 1073326777 /nfs/dbraw/zinc/32/67/77/1073326777.db2.gz QAJDMFAOSJNDMA-KRWDZBQOSA-N 0 0 446.595 -0.051 20 0 IBADRN Cn1cc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C2CCOCC2)n1 ZINC001204524890 1073326902 /nfs/dbraw/zinc/32/69/02/1073326902.db2.gz QAJDMFAOSJNDMA-QGZVFWFLSA-N 0 0 446.595 -0.051 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)NC[C@]2(CO)COCC3(CCC3)N2C)c1 ZINC001204840151 1073326921 /nfs/dbraw/zinc/32/69/21/1073326921.db2.gz PULJWRSQUSGPJJ-NRFANRHFSA-N 0 0 433.509 -0.019 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)c1 ZINC001204840184 1073326845 /nfs/dbraw/zinc/32/68/45/1073326845.db2.gz PULJWRSQUSGPJJ-OAQYLSRUSA-N 0 0 433.509 -0.019 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)NCC(=O)N2CCN(C)CC2)cc1 ZINC001204981554 1073326820 /nfs/dbraw/zinc/32/68/20/1073326820.db2.gz HOKIUMMHANQRDK-HNNXBMFYSA-N 0 0 449.508 -0.110 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)NCC(=O)N2CCN(C)CC2)cc1 ZINC001204981555 1073326797 /nfs/dbraw/zinc/32/67/97/1073326797.db2.gz HOKIUMMHANQRDK-OAHLLOKOSA-N 0 0 449.508 -0.110 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC001205033995 1073326858 /nfs/dbraw/zinc/32/68/58/1073326858.db2.gz ASRUYDLTKNBNJI-NXEZZACHSA-N 0 0 432.421 -0.728 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC001205033996 1073326939 /nfs/dbraw/zinc/32/69/39/1073326939.db2.gz ASRUYDLTKNBNJI-UWVGGRQHSA-N 0 0 432.421 -0.728 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC001205033997 1073327018 /nfs/dbraw/zinc/32/70/18/1073327018.db2.gz ASRUYDLTKNBNJI-VHSXEESVSA-N 0 0 432.421 -0.728 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC001205033998 1073326946 /nfs/dbraw/zinc/32/69/46/1073326946.db2.gz ASRUYDLTKNBNJI-ZJUUUORDSA-N 0 0 432.421 -0.728 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)ccc1F ZINC001205077406 1073327417 /nfs/dbraw/zinc/32/74/17/1073327417.db2.gz IXNYVIWIJYVTOP-KRWDZBQOSA-N 0 0 430.458 -0.175 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)NC[C@]23COCCN2C(=O)COC3)ccc1F ZINC001205077407 1073327455 /nfs/dbraw/zinc/32/74/55/1073327455.db2.gz IXNYVIWIJYVTOP-QGZVFWFLSA-N 0 0 430.458 -0.175 20 0 IBADRN C[NH+]1CCN(C2(c3ccc(-n4c(N)c(N)c(OS(=O)(=O)[O-])nc4=N)cc3)CC2)CC1 ZINC001205096302 1073327357 /nfs/dbraw/zinc/32/73/57/1073327357.db2.gz BCILHBQOMATTCZ-UHFFFAOYSA-N 0 0 435.510 -0.066 20 0 IBADRN Cn1ccnc1CN1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001205120457 1073327214 /nfs/dbraw/zinc/32/72/14/1073327214.db2.gz DGJHIJOXCOQWNF-APWZRJJASA-N 0 0 425.555 -0.105 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3cnc4n3CCOC4)CC2)cc1 ZINC001205124155 1073327250 /nfs/dbraw/zinc/32/72/50/1073327250.db2.gz YZBOZFXCXJHUPP-UHFFFAOYSA-N 0 0 441.535 -0.433 20 0 IBADRN CC(C)(C)OC(=O)N1CCC=C(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC001205234761 1073327314 /nfs/dbraw/zinc/32/73/14/1073327314.db2.gz BUYBGGHZCCFJDZ-LSCFUAHRSA-N 0 0 439.469 -0.470 20 0 IBADRN COc1ccc(NS(C)(=O)=O)cc1NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001205452163 1073327478 /nfs/dbraw/zinc/32/74/78/1073327478.db2.gz UAHXUOKUBZVSTP-UHFFFAOYSA-N 0 0 449.555 -0.235 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001205474661 1073327400 /nfs/dbraw/zinc/32/74/00/1073327400.db2.gz YXONDQAIVHPKRI-BETUJISGSA-N 0 0 444.514 -0.290 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001205474662 1073327448 /nfs/dbraw/zinc/32/74/48/1073327448.db2.gz YXONDQAIVHPKRI-CHWSQXEVSA-N 0 0 444.514 -0.290 20 0 IBADRN COC(=O)c1cnn(C)c1NC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001205474663 1073327386 /nfs/dbraw/zinc/32/73/86/1073327386.db2.gz YXONDQAIVHPKRI-STQMWFEESA-N 0 0 444.514 -0.290 20 0 IBADRN CS(=O)(=O)c1ccc(Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001205660138 1073327197 /nfs/dbraw/zinc/32/71/97/1073327197.db2.gz ANLBFIQFNRTAGH-PLLDYVMSSA-N 0 0 426.447 -0.593 20 0 IBADRN CS(=O)(=O)c1ccc(Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001205666884 1073327329 /nfs/dbraw/zinc/32/73/29/1073327329.db2.gz ANLBFIQFNRTAGH-MWIANEHASA-N 0 0 426.447 -0.593 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cc(Br)nn1C)C2 ZINC001205716690 1073327427 /nfs/dbraw/zinc/32/74/27/1073327427.db2.gz NIDMSFGWJZEKIB-UHFFFAOYSA-N 0 0 427.263 -0.323 20 0 IBADRN CCc1ncc(CN2CCS(=O)(=NS(C)(=O)=O)CC2)n1S(=O)(=O)N(C)C ZINC001205933603 1073327437 /nfs/dbraw/zinc/32/74/37/1073327437.db2.gz UNGNARDXYCINJY-UHFFFAOYSA-N 0 0 427.574 -0.657 20 0 IBADRN Cc1c(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cccc1N1C(=O)CNC1=O ZINC001206073175 1073327458 /nfs/dbraw/zinc/32/74/58/1073327458.db2.gz XPKBYPARIANAFA-UHFFFAOYSA-N 0 0 438.510 -0.250 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)Nc2n[nH]nc2C(=O)N2CCCC2)CC1 ZINC001206114032 1073327348 /nfs/dbraw/zinc/32/73/48/1073327348.db2.gz BMBZDQZEYKKLRZ-AWEZNQCLSA-N 0 0 436.517 -0.269 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)Nc2n[nH]nc2C(=O)N2CCCC2)CC1 ZINC001206114033 1073327294 /nfs/dbraw/zinc/32/72/94/1073327294.db2.gz BMBZDQZEYKKLRZ-CQSZACIVSA-N 0 0 436.517 -0.269 20 0 IBADRN Cn1cnc(CN2CC[C@H]3N(S(C)(=O)=O)CC[C@@]3(C(=O)N3CCOCC3)CC2)c1 ZINC001206213346 1073327266 /nfs/dbraw/zinc/32/72/66/1073327266.db2.gz GABTVMVGSCGTDJ-MJGOQNOKSA-N 0 0 425.555 -0.105 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Nc2nc3c(s2)CS(=O)(=O)CC3)CC1 ZINC001206266658 1073327405 /nfs/dbraw/zinc/32/74/05/1073327405.db2.gz DIERDGMHJKNDEV-UHFFFAOYSA-N 0 0 429.524 -0.184 20 0 IBADRN Cn1ccc(CN2CC[C@H]3N(S(C)(=O)=O)CC[C@@]3(C(=O)N3CCOCC3)CC2)n1 ZINC001206270754 1073327490 /nfs/dbraw/zinc/32/74/90/1073327490.db2.gz KVYIHYBENKEYGY-MJGOQNOKSA-N 0 0 425.555 -0.105 20 0 IBADRN Cn1nccc1CN1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001206330151 1073327907 /nfs/dbraw/zinc/32/79/07/1073327907.db2.gz PWEKSJRBDRLWOC-MJGOQNOKSA-N 0 0 425.555 -0.105 20 0 IBADRN CCn1[nH]c(C)nc1=NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001206689915 1073327981 /nfs/dbraw/zinc/32/79/81/1073327981.db2.gz GPUHRQDGMXDELF-UHFFFAOYSA-N 0 0 449.493 -0.102 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(Cc2ccc(S(N)(=O)=O)cc2F)CC1 ZINC001206837486 1073328081 /nfs/dbraw/zinc/32/80/81/1073328081.db2.gz FORCEFXXYUYCEJ-UHFFFAOYSA-N 0 0 428.533 -0.437 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cn(C)nc1Br)C2 ZINC001207105338 1073327933 /nfs/dbraw/zinc/32/79/33/1073327933.db2.gz LUNJWQDEEVCWLL-UHFFFAOYSA-N 0 0 427.263 -0.323 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(CC1CCN(S(C)(=O)=O)CC1)CC2 ZINC001207444719 1073328104 /nfs/dbraw/zinc/32/81/04/1073328104.db2.gz HCKOHQAPNOFAIN-UHFFFAOYSA-N 0 0 438.598 -0.163 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(CC1CCN(S(C)(=O)=O)CC1)CCC2 ZINC001207445617 1073328477 /nfs/dbraw/zinc/32/84/77/1073328477.db2.gz UEMFSOVVTIMPAK-UHFFFAOYSA-N 0 0 441.554 -0.617 20 0 IBADRN CN(C)c1ncc2c(n1)[C@H]1CN(CC3CCN(S(C)(=O)=O)CC3)C[C@H]1S(=O)(=O)C2 ZINC001207445912 1073328541 /nfs/dbraw/zinc/32/85/41/1073328541.db2.gz XBEIXNLZRWEYIS-JKSUJKDBSA-N 0 0 443.595 -0.090 20 0 IBADRN CN(C)C(=O)NCc1cc(=O)n2c(n1)CN(CC1CCN(S(C)(=O)=O)CC1)CCC2 ZINC001207445938 1073328507 /nfs/dbraw/zinc/32/85/07/1073328507.db2.gz WWJYQYPBNVHRFG-UHFFFAOYSA-N 0 0 440.570 -0.108 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Nc2cccc(CN3CCCS3(=O)=O)c2)C1 ZINC001207561495 1073328437 /nfs/dbraw/zinc/32/84/37/1073328437.db2.gz HIVRTQHMRLGQJB-UHFFFAOYSA-N 0 0 431.540 -0.166 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3COC[C@H]3O)CC2)cc1 ZINC001207878946 1073328408 /nfs/dbraw/zinc/32/84/08/1073328408.db2.gz JLMWCUIOEXPKFV-HZPDHXFCSA-N 0 0 448.519 -0.741 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cn(C)nc1Br ZINC001207907134 1073328353 /nfs/dbraw/zinc/32/83/53/1073328353.db2.gz SVEXERJUKBDWSK-SECBINFHSA-N 0 0 443.345 -0.504 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cn(C)nc1Br ZINC001207907140 1073328379 /nfs/dbraw/zinc/32/83/79/1073328379.db2.gz SVEXERJUKBDWSK-VIFPVBQESA-N 0 0 443.345 -0.504 20 0 IBADRN COc1ccc([C@@H](CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)N2CCOCC2)cc1 ZINC001207982921 1073328314 /nfs/dbraw/zinc/32/83/14/1073328314.db2.gz MKEZHRJUTVFZFB-GOSISDBHSA-N 0 0 447.579 -0.121 20 0 IBADRN COc1ccc([C@H](CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)N2CCOCC2)cc1 ZINC001207982922 1073328359 /nfs/dbraw/zinc/32/83/59/1073328359.db2.gz MKEZHRJUTVFZFB-SFHVURJKSA-N 0 0 447.579 -0.121 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N(Cc1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC001208035268 1073328572 /nfs/dbraw/zinc/32/85/72/1073328572.db2.gz VVKHTZIQTHCJDU-AWEZNQCLSA-N 0 0 425.554 -0.708 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N(Cc1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC001208035269 1073328322 /nfs/dbraw/zinc/32/83/22/1073328322.db2.gz VVKHTZIQTHCJDU-CQSZACIVSA-N 0 0 425.554 -0.708 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001208040792 1073328426 /nfs/dbraw/zinc/32/84/26/1073328426.db2.gz SZNAPWCPHWNHKE-LLVKDONJSA-N 0 0 425.554 -0.452 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001208040793 1073328339 /nfs/dbraw/zinc/32/83/39/1073328339.db2.gz SZNAPWCPHWNHKE-NSHDSACASA-N 0 0 425.554 -0.452 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)c1I ZINC001208067881 1073328369 /nfs/dbraw/zinc/32/83/69/1073328369.db2.gz GCOSKRXGEBFSMM-UHFFFAOYSA-N 0 0 434.281 -0.709 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)c1I ZINC001208075004 1073328394 /nfs/dbraw/zinc/32/83/94/1073328394.db2.gz PQTDSSLNECXQGF-UHFFFAOYSA-N 0 0 439.235 -0.613 20 0 IBADRN C[C@@H]1CN(C(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C[C@H](C)O1 ZINC001208092806 1073328332 /nfs/dbraw/zinc/32/83/32/1073328332.db2.gz IUEUECXMSWMJFQ-OKILXGFUSA-N 0 0 446.551 -0.131 20 0 IBADRN CCN1C[C@@H](S(=O)(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1=O ZINC001208093641 1073328344 /nfs/dbraw/zinc/32/83/44/1073328344.db2.gz XXJWGXSPAUZICY-AWEZNQCLSA-N 0 0 434.540 -0.062 20 0 IBADRN CCN1C[C@H](S(=O)(=O)N2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1=O ZINC001208093642 1073328466 /nfs/dbraw/zinc/32/84/66/1073328466.db2.gz XXJWGXSPAUZICY-CQSZACIVSA-N 0 0 434.540 -0.062 20 0 IBADRN O=S(=O)(c1c[nH]c2ncncc12)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001208104857 1073328452 /nfs/dbraw/zinc/32/84/52/1073328452.db2.gz SNBQIEABNRRWIN-AWEZNQCLSA-N 0 0 443.551 -0.190 20 0 IBADRN O=S(=O)(c1c[nH]c2ncncc12)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001208104859 1073328836 /nfs/dbraw/zinc/32/88/36/1073328836.db2.gz SNBQIEABNRRWIN-CQSZACIVSA-N 0 0 443.551 -0.190 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)C[C@H]3CCN(S(C)(=O)=O)C3)CC2)no1 ZINC001208184228 1073328873 /nfs/dbraw/zinc/32/88/73/1073328873.db2.gz SIGYSJWFCLEFPW-AWEZNQCLSA-N 0 0 449.555 -0.850 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(=O)(=O)C[C@@H]3CCN(S(C)(=O)=O)C3)CC2)no1 ZINC001208184229 1073328935 /nfs/dbraw/zinc/32/89/35/1073328935.db2.gz SIGYSJWFCLEFPW-CQSZACIVSA-N 0 0 449.555 -0.850 20 0 IBADRN O=S(=O)(c1ccc(N2CCN(S(=O)(=O)N3CCC3)CC2)nc1)N1CCOCC1 ZINC001208248517 1073328755 /nfs/dbraw/zinc/32/87/55/1073328755.db2.gz ODPNZSVCPGUPGR-UHFFFAOYSA-N 0 0 431.540 -0.825 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)c2cccnc2OCC(F)F)CC1 ZINC001208248745 1073328846 /nfs/dbraw/zinc/32/88/46/1073328846.db2.gz SJYWPCIVJAVUSM-UHFFFAOYSA-N 0 0 434.465 -0.109 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001208249112 1073328906 /nfs/dbraw/zinc/32/89/06/1073328906.db2.gz ZHDXKWJMLAJBOD-UHFFFAOYSA-N 0 0 433.556 -0.533 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001208259601 1073328895 /nfs/dbraw/zinc/32/88/95/1073328895.db2.gz APMIZRKZKYOODX-UHFFFAOYSA-N 0 0 439.537 -0.264 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCN(S(=O)(=O)N2CCCOCC2)CC1 ZINC001208260064 1073328765 /nfs/dbraw/zinc/32/87/65/1073328765.db2.gz WBXMZCWSXKBRDK-UHFFFAOYSA-N 0 0 447.535 -0.269 20 0 IBADRN CS(=O)(=O)NCCCNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001208285471 1073328796 /nfs/dbraw/zinc/32/87/96/1073328796.db2.gz NVEYNFXQBASCRY-INIZCTEOSA-N 0 0 449.551 -0.117 20 0 IBADRN CS(=O)(=O)NCCCNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001208285472 1073328803 /nfs/dbraw/zinc/32/88/03/1073328803.db2.gz NVEYNFXQBASCRY-MRXNPFEDSA-N 0 0 449.551 -0.117 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CC1 ZINC001208285635 1073328945 /nfs/dbraw/zinc/32/89/45/1073328945.db2.gz PWSYTGXJWMJHIP-HNNXBMFYSA-N 0 0 436.600 -0.774 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CC1 ZINC001208285636 1073328786 /nfs/dbraw/zinc/32/87/86/1073328786.db2.gz PWSYTGXJWMJHIP-OAHLLOKOSA-N 0 0 436.600 -0.774 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCCN(CC(=O)N3CCCC3)CC2)C1 ZINC001208285815 1073328860 /nfs/dbraw/zinc/32/88/60/1073328860.db2.gz UBKUISIMOFTAEJ-INIZCTEOSA-N 0 0 436.600 -0.772 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCCN(CC(=O)N3CCCC3)CC2)C1 ZINC001208285816 1073328917 /nfs/dbraw/zinc/32/89/17/1073328917.db2.gz UBKUISIMOFTAEJ-MRXNPFEDSA-N 0 0 436.600 -0.772 20 0 IBADRN COC(=O)[C@H]1C[C@@H](S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC001208288123 1073328926 /nfs/dbraw/zinc/32/89/26/1073328926.db2.gz HVMALQWVJZINOH-NMWPEEMBSA-N 0 0 439.556 -0.761 20 0 IBADRN COC(=O)[C@H]1C[C@@H](S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC001208288124 1073328810 /nfs/dbraw/zinc/32/88/10/1073328810.db2.gz HVMALQWVJZINOH-TUVASFSCSA-N 0 0 439.556 -0.761 20 0 IBADRN COC(=O)[C@H]1C[C@@H](S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC001208288125 1073328830 /nfs/dbraw/zinc/32/88/30/1073328830.db2.gz HVMALQWVJZINOH-ZQDZILKHSA-N 0 0 439.556 -0.761 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)nc1 ZINC001208294887 1073329215 /nfs/dbraw/zinc/32/92/15/1073329215.db2.gz ILIDFRPJCANPKV-UHFFFAOYSA-N 0 0 434.540 -0.221 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001208295505 1073329285 /nfs/dbraw/zinc/32/92/85/1073329285.db2.gz XDYBEXFFXSUBDL-UHFFFAOYSA-N 0 0 426.561 -0.203 20 0 IBADRN Cn1ncc(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)c1I ZINC001208295549 1073329195 /nfs/dbraw/zinc/32/91/95/1073329195.db2.gz XZYGEPVSCMXOPE-UHFFFAOYSA-N 0 0 448.308 -0.273 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(S(=O)(=O)CCS(=O)(=O)N(C)C)CC2)c1 ZINC001208297535 1073329190 /nfs/dbraw/zinc/32/91/90/1073329190.db2.gz FBVSPBBDRQWNOR-UHFFFAOYSA-N 0 0 449.551 -0.317 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)CCc3ccccc3F)CC2)CC1 ZINC001208311035 1073329174 /nfs/dbraw/zinc/32/91/74/1073329174.db2.gz JVUVNCJJEWAMNT-UHFFFAOYSA-N 0 0 434.559 -0.192 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3ccnn3C3CCC3)CC2)CC1 ZINC001208311100 1073329203 /nfs/dbraw/zinc/32/92/03/1073329203.db2.gz LRWCTBUIEFVOOC-UHFFFAOYSA-N 0 0 432.572 -0.593 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCN(CC(=O)NC)CC2)cc1 ZINC001208311329 1073329209 /nfs/dbraw/zinc/32/92/09/1073329209.db2.gz SFWQYEQHOMEOKY-UHFFFAOYSA-N 0 0 432.568 -0.432 20 0 IBADRN Cc1cnc(Cl)c(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC001208311466 1073329234 /nfs/dbraw/zinc/32/92/34/1073329234.db2.gz WLXVMAAAXNWQEF-UHFFFAOYSA-N 0 0 437.975 -0.158 20 0 IBADRN Cc1cc2cc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2[nH]c1=O ZINC001208354214 1073329164 /nfs/dbraw/zinc/32/91/64/1073329164.db2.gz CLNYTDMBZRYWKX-CYBMUJFWSA-N 0 0 427.504 -0.240 20 0 IBADRN Cc1cc2cc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)ccc2[nH]c1=O ZINC001208354215 1073329186 /nfs/dbraw/zinc/32/91/86/1073329186.db2.gz CLNYTDMBZRYWKX-ZDUSSCGKSA-N 0 0 427.504 -0.240 20 0 IBADRN COC(=O)c1cc(C)c(F)c(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001208354431 1073329169 /nfs/dbraw/zinc/32/91/69/1073329169.db2.gz GSPGGXOXEOCYPE-GFCCVEGCSA-N 0 0 436.483 -0.155 20 0 IBADRN COC(=O)c1cc(C)c(F)c(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001208354432 1073329181 /nfs/dbraw/zinc/32/91/81/1073329181.db2.gz GSPGGXOXEOCYPE-LBPRGKRZSA-N 0 0 436.483 -0.155 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1ncsc1Br ZINC001208354588 1073329217 /nfs/dbraw/zinc/32/92/17/1073329217.db2.gz KFKJDGFPGIYEHP-MRVPVSSYSA-N 0 0 446.370 -0.030 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1ncsc1Br ZINC001208354589 1073329177 /nfs/dbraw/zinc/32/91/77/1073329177.db2.gz KFKJDGFPGIYEHP-QMMMGPOBSA-N 0 0 446.370 -0.030 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc2c1OC(F)(F)O2 ZINC001208354725 1073329262 /nfs/dbraw/zinc/32/92/62/1073329262.db2.gz NWIABMQKJBCOJX-SECBINFHSA-N 0 0 426.419 -0.068 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc2c1OC(F)(F)O2 ZINC001208354726 1073329660 /nfs/dbraw/zinc/32/96/60/1073329660.db2.gz NWIABMQKJBCOJX-VIFPVBQESA-N 0 0 426.419 -0.068 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(F)c1 ZINC001208357569 1073329787 /nfs/dbraw/zinc/32/97/87/1073329787.db2.gz BAZDNOQPDUJDEN-GFCCVEGCSA-N 0 0 436.483 -0.026 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(F)c1 ZINC001208357570 1073329544 /nfs/dbraw/zinc/32/95/44/1073329544.db2.gz BAZDNOQPDUJDEN-LBPRGKRZSA-N 0 0 436.483 -0.026 20 0 IBADRN O=C1OCCN1CCS(=O)(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001208357687 1073329726 /nfs/dbraw/zinc/32/97/26/1073329726.db2.gz GKRJPTOTOGLEHJ-UHFFFAOYSA-N 0 0 447.535 -0.280 20 0 IBADRN O=C(CCNS(=O)(=O)c1ncccc1Br)N[C@@H]1CCS(=O)(=O)C1 ZINC001208358521 1073329706 /nfs/dbraw/zinc/32/97/06/1073329706.db2.gz PJRGREHEMOJXHU-SECBINFHSA-N 0 0 426.314 -0.184 20 0 IBADRN O=C(CCNS(=O)(=O)c1ncccc1Br)N[C@H]1CCS(=O)(=O)C1 ZINC001208358523 1073329595 /nfs/dbraw/zinc/32/95/95/1073329595.db2.gz PJRGREHEMOJXHU-VIFPVBQESA-N 0 0 426.314 -0.184 20 0 IBADRN O=C1CCC(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC001208360831 1073329565 /nfs/dbraw/zinc/32/95/65/1073329565.db2.gz BDRPAPKYSNWBGB-UHFFFAOYSA-N 0 0 430.552 -0.036 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1c[nH]nc1Cl)N1CCN(c2ccccn2)CC1 ZINC001208361065 1073329610 /nfs/dbraw/zinc/32/96/10/1073329610.db2.gz GMFUKLADMIXAES-UHFFFAOYSA-N 0 0 434.931 -0.112 20 0 IBADRN Cc1conc1CS(=O)(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001208362724 1073329491 /nfs/dbraw/zinc/32/94/91/1073329491.db2.gz UTWYLVWAUURFNM-UHFFFAOYSA-N 0 0 429.524 -0.051 20 0 IBADRN COC(=O)C1(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCC1 ZINC001208363127 1073329507 /nfs/dbraw/zinc/32/95/07/1073329507.db2.gz YLKPKNGUUMCUTK-UHFFFAOYSA-N 0 0 446.551 -0.452 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(S(=O)(=O)c3cnn([C@H]4CCOC4)c3)CC2)CC1 ZINC001208388560 1073329693 /nfs/dbraw/zinc/32/96/93/1073329693.db2.gz DPBHDGLGCNQDPO-INIZCTEOSA-N 0 0 439.538 -0.031 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(S(=O)(=O)c3cnn([C@@H]4CCOC4)c3)CC2)CC1 ZINC001208388561 1073329647 /nfs/dbraw/zinc/32/96/47/1073329647.db2.gz DPBHDGLGCNQDPO-MRXNPFEDSA-N 0 0 439.538 -0.031 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)cn1C ZINC001208389049 1073329476 /nfs/dbraw/zinc/32/94/76/1073329476.db2.gz HRPILMUVCHZYGZ-UHFFFAOYSA-N 0 0 439.538 -0.491 20 0 IBADRN CN1CCO[C@@H](CS(=O)(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC001208392080 1073329533 /nfs/dbraw/zinc/32/95/33/1073329533.db2.gz IVAWWJDMMPWBRN-DLBZAZTESA-N 0 0 447.579 -0.106 20 0 IBADRN CN1CCO[C@@H](CS(=O)(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC001208392081 1073329589 /nfs/dbraw/zinc/32/95/89/1073329589.db2.gz IVAWWJDMMPWBRN-IAGOWNOFSA-N 0 0 447.579 -0.106 20 0 IBADRN CN1CCO[C@H](CS(=O)(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)C1 ZINC001208392082 1073329761 /nfs/dbraw/zinc/32/97/61/1073329761.db2.gz IVAWWJDMMPWBRN-IRXDYDNUSA-N 0 0 447.579 -0.106 20 0 IBADRN CN1CCO[C@H](CS(=O)(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)C1 ZINC001208392083 1073329748 /nfs/dbraw/zinc/32/97/48/1073329748.db2.gz IVAWWJDMMPWBRN-SJORKVTESA-N 0 0 447.579 -0.106 20 0 IBADRN CO[C@H](CS(=O)(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)[C@H]1CCOC1 ZINC001208392416 1073329680 /nfs/dbraw/zinc/32/96/80/1073329680.db2.gz VJXDKZVIWVGYKW-DLBZAZTESA-N 0 0 431.555 -0.196 20 0 IBADRN CO[C@H](CS(=O)(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)[C@@H]1CCOC1 ZINC001208392417 1073329738 /nfs/dbraw/zinc/32/97/38/1073329738.db2.gz VJXDKZVIWVGYKW-IAGOWNOFSA-N 0 0 431.555 -0.196 20 0 IBADRN CO[C@@H](CS(=O)(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)[C@H]1CCOC1 ZINC001208392418 1073330042 /nfs/dbraw/zinc/33/00/42/1073330042.db2.gz VJXDKZVIWVGYKW-IRXDYDNUSA-N 0 0 431.555 -0.196 20 0 IBADRN CO[C@@H](CS(=O)(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)[C@@H]1CCOC1 ZINC001208392419 1073330110 /nfs/dbraw/zinc/33/01/10/1073330110.db2.gz VJXDKZVIWVGYKW-SJORKVTESA-N 0 0 431.555 -0.196 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)Oc1cccc(NC(=O)CN2CCCC2=O)c1 ZINC001208406311 1073330034 /nfs/dbraw/zinc/33/00/34/1073330034.db2.gz DKQGXJZDLDIWDX-UHFFFAOYSA-N 0 0 433.508 -0.153 20 0 IBADRN O=C(c1ccc(CNS(=O)(=O)CCOC2CCOCC2)cc1)N1CCNC(=O)C1 ZINC001208410091 1073330133 /nfs/dbraw/zinc/33/01/33/1073330133.db2.gz GXWLOLQEMTVSDM-UHFFFAOYSA-N 0 0 425.507 -0.126 20 0 IBADRN COC(=O)c1ncccc1S(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001208410242 1073330211 /nfs/dbraw/zinc/33/02/11/1073330211.db2.gz MGJPNRGWEVSCSR-UHFFFAOYSA-N 0 0 432.458 -0.081 20 0 IBADRN Cc1cc2cc(S(=O)(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2[nH]c1=O ZINC001208440228 1073330186 /nfs/dbraw/zinc/33/01/86/1073330186.db2.gz BQVVABNKRBEYJY-UHFFFAOYSA-N 0 0 446.485 -0.182 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cccc3c2OCCCO3)CC1 ZINC001208440244 1073330143 /nfs/dbraw/zinc/33/01/43/1073330143.db2.gz CFMSICURCCLKKC-UHFFFAOYSA-N 0 0 437.474 -0.170 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1C(F)(F)F ZINC001208440429 1073330172 /nfs/dbraw/zinc/33/01/72/1073330172.db2.gz GOZYWMRCZXHQRV-UHFFFAOYSA-N 0 0 437.400 -0.579 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cccc(Br)n2)CC1 ZINC001208440464 1073330013 /nfs/dbraw/zinc/33/00/13/1073330013.db2.gz IFCHSUYTZYYPKJ-UHFFFAOYSA-N 0 0 445.295 -0.174 20 0 IBADRN COc1cc(F)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC001208441727 1073330052 /nfs/dbraw/zinc/33/00/52/1073330052.db2.gz YUXRMPFUBVTZSA-UHFFFAOYSA-N 0 0 431.417 -0.045 20 0 IBADRN O=C1CSC(=O)N1CCNS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001208443503 1073330154 /nfs/dbraw/zinc/33/01/54/1073330154.db2.gz WBYZAWDLQYQGLK-UHFFFAOYSA-N 0 0 441.487 -0.086 20 0 IBADRN O=S(=O)(c1c[nH]c2ncccc12)N1CCN(S(=O)(=O)N2CCCOCC2)CC1 ZINC001208477502 1073330021 /nfs/dbraw/zinc/33/00/21/1073330021.db2.gz SBGBUNSSGDTRRD-UHFFFAOYSA-N 0 0 429.524 -0.164 20 0 IBADRN COC[C@H](CS(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)OC ZINC001208477827 1073330217 /nfs/dbraw/zinc/33/02/17/1073330217.db2.gz VZLRMMNVPMVMIT-CYBMUJFWSA-N 0 0 432.524 -0.140 20 0 IBADRN COC[C@@H](CS(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)OC ZINC001208477829 1073330179 /nfs/dbraw/zinc/33/01/79/1073330179.db2.gz VZLRMMNVPMVMIT-ZDUSSCGKSA-N 0 0 432.524 -0.140 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c(F)c1 ZINC001208530895 1073330197 /nfs/dbraw/zinc/33/01/97/1073330197.db2.gz LCADHHOTCFPCKA-UHFFFAOYSA-N 0 0 437.515 -0.142 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)Cc2ccc(-n3cccn3)cc2)CC1 ZINC001208530988 1073330163 /nfs/dbraw/zinc/33/01/63/1073330163.db2.gz NFXYHSYMJDSLEM-UHFFFAOYSA-N 0 0 427.552 -0.131 20 0 IBADRN COc1cccc(CC(=O)N2CCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)CC2)c1 ZINC001208622571 1073330064 /nfs/dbraw/zinc/33/00/64/1073330064.db2.gz ICBZAUXHFFVHPJ-UHFFFAOYSA-N 0 0 445.563 -0.397 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)ccc1Cl ZINC001208661184 1073330569 /nfs/dbraw/zinc/33/05/69/1073330569.db2.gz FALSOECBPOGXCD-UHFFFAOYSA-N 0 0 446.956 -0.316 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001208662036 1073330702 /nfs/dbraw/zinc/33/07/02/1073330702.db2.gz LBIPDKMIOIIFMD-BZUAXINKSA-N 0 0 427.479 -0.462 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001208662039 1073330617 /nfs/dbraw/zinc/33/06/17/1073330617.db2.gz LBIPDKMIOIIFMD-OAGGEKHMSA-N 0 0 427.479 -0.462 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CCO[C@H](C(N)=O)C1 ZINC001208662042 1073330693 /nfs/dbraw/zinc/33/06/93/1073330693.db2.gz LBIPDKMIOIIFMD-OWCLPIDISA-N 0 0 427.479 -0.462 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CCO[C@H](C(N)=O)C1 ZINC001208662046 1073330433 /nfs/dbraw/zinc/33/04/33/1073330433.db2.gz LBIPDKMIOIIFMD-PMPSAXMXSA-N 0 0 427.479 -0.462 20 0 IBADRN O=S(=O)(Cc1ncsc1Br)NCCS(=O)(=O)N1CCOCC1 ZINC001208663242 1073330545 /nfs/dbraw/zinc/33/05/45/1073330545.db2.gz ZCIBRCGSCRXQCP-UHFFFAOYSA-N 0 0 434.359 -0.013 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC001208759715 1073330683 /nfs/dbraw/zinc/33/06/83/1073330683.db2.gz UCSLOKNZQHOPQO-INIZCTEOSA-N 0 0 444.579 -0.464 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC001208759720 1073330718 /nfs/dbraw/zinc/33/07/18/1073330718.db2.gz UCSLOKNZQHOPQO-MRXNPFEDSA-N 0 0 444.579 -0.464 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccc2c1OC(F)(F)O2 ZINC001208804203 1073330680 /nfs/dbraw/zinc/33/06/80/1073330680.db2.gz BHMVGOMZLQWESU-GHMZBOCLSA-N 0 0 440.446 -0.216 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccc2c1OC(F)(F)O2 ZINC001208804204 1073330453 /nfs/dbraw/zinc/33/04/53/1073330453.db2.gz BHMVGOMZLQWESU-MNOVXSKESA-N 0 0 440.446 -0.216 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccc2c1OC(F)(F)O2 ZINC001208804205 1073330671 /nfs/dbraw/zinc/33/06/71/1073330671.db2.gz BHMVGOMZLQWESU-QWRGUYRKSA-N 0 0 440.446 -0.216 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccc2c1OC(F)(F)O2 ZINC001208804206 1073330709 /nfs/dbraw/zinc/33/07/09/1073330709.db2.gz BHMVGOMZLQWESU-WDEREUQCSA-N 0 0 440.446 -0.216 20 0 IBADRN O=S(=O)(Cc1ccc(-n2cccn2)cc1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001208804539 1073331192 /nfs/dbraw/zinc/33/11/92/1073331192.db2.gz DRGNFHBFSHABCA-MSOLQXFVSA-N 0 0 440.547 -0.211 20 0 IBADRN O=S(=O)(Cc1ccc(-n2cccn2)cc1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001208804545 1073330969 /nfs/dbraw/zinc/33/09/69/1073330969.db2.gz DRGNFHBFSHABCA-QZTJIDSGSA-N 0 0 440.547 -0.211 20 0 IBADRN O=S(=O)(Cc1ccc(-n2cccn2)cc1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001208804546 1073331170 /nfs/dbraw/zinc/33/11/70/1073331170.db2.gz DRGNFHBFSHABCA-ROUUACIJSA-N 0 0 440.547 -0.211 20 0 IBADRN O=S(=O)(Cc1ccc(-n2cccn2)cc1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001208804549 1073331215 /nfs/dbraw/zinc/33/12/15/1073331215.db2.gz DRGNFHBFSHABCA-ZWKOTPCHSA-N 0 0 440.547 -0.211 20 0 IBADRN Cc1cc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2[nH]c1=O ZINC001208805483 1073330978 /nfs/dbraw/zinc/33/09/78/1073330978.db2.gz MHZOALNMFYEIBD-DLBZAZTESA-N 0 0 441.531 -0.387 20 0 IBADRN Cc1cc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2[nH]c1=O ZINC001208805488 1073331181 /nfs/dbraw/zinc/33/11/81/1073331181.db2.gz MHZOALNMFYEIBD-IAGOWNOFSA-N 0 0 441.531 -0.387 20 0 IBADRN Cc1cc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2[nH]c1=O ZINC001208805492 1073331161 /nfs/dbraw/zinc/33/11/61/1073331161.db2.gz MHZOALNMFYEIBD-IRXDYDNUSA-N 0 0 441.531 -0.387 20 0 IBADRN Cc1cc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2[nH]c1=O ZINC001208805495 1073331052 /nfs/dbraw/zinc/33/10/52/1073331052.db2.gz MHZOALNMFYEIBD-SJORKVTESA-N 0 0 441.531 -0.387 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)Nc3ccc4c(c3)S(=O)(=O)CC(=O)N4)C[C@H]21 ZINC001208846898 1073331203 /nfs/dbraw/zinc/33/12/03/1073331203.db2.gz ZMXLSWMCKOPAKO-SUHUHFCYSA-N 0 0 429.476 -0.312 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC001208875949 1073331068 /nfs/dbraw/zinc/33/10/68/1073331068.db2.gz SCRIJKWTMXGEAM-UHFFFAOYSA-N 0 0 431.536 -0.530 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCC(=O)Nc2ccc(-n3cccn3)cc2)C1 ZINC001208925252 1073331057 /nfs/dbraw/zinc/33/10/57/1073331057.db2.gz YFSMPMOEGMBBLS-UHFFFAOYSA-N 0 0 427.508 -0.378 20 0 IBADRN Cc1ccc(CC(=O)N2CCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC001208938021 1073331224 /nfs/dbraw/zinc/33/12/24/1073331224.db2.gz QBHPJTXOJJIZJU-UHFFFAOYSA-N 0 0 429.564 -0.097 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)c(=O)[nH]c1=O ZINC001209024183 1073331017 /nfs/dbraw/zinc/33/10/17/1073331017.db2.gz ASWQIFFPJVXFMA-UHFFFAOYSA-N 0 0 441.897 -0.234 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)CC1 ZINC001209043889 1073331438 /nfs/dbraw/zinc/33/14/38/1073331438.db2.gz KZRUNPNLNDJZEI-UHFFFAOYSA-N 0 0 430.483 -0.073 20 0 IBADRN Cn1cc(S(=O)(=O)NCCNC(=O)c2cccc(Br)c2)c(=O)[nH]c1=O ZINC001209044714 1073331594 /nfs/dbraw/zinc/33/15/94/1073331594.db2.gz PBVUALXIDMYBNO-UHFFFAOYSA-N 0 0 431.268 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cnc(C(N)=O)s3)CC2)C[C@@H](C)O1 ZINC001209070526 1073331628 /nfs/dbraw/zinc/33/16/28/1073331628.db2.gz LRNHUWCUZSMYON-GHMZBOCLSA-N 0 0 432.528 -0.255 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cnc(C(N)=O)s3)CC2)C[C@H](C)O1 ZINC001209070527 1073331635 /nfs/dbraw/zinc/33/16/35/1073331635.db2.gz LRNHUWCUZSMYON-PHIMTYICSA-N 0 0 432.528 -0.255 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cnc(C(N)=O)s3)CC2)C[C@H](C)O1 ZINC001209070528 1073331616 /nfs/dbraw/zinc/33/16/16/1073331616.db2.gz LRNHUWCUZSMYON-QWRGUYRKSA-N 0 0 432.528 -0.255 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)[C@H]2C[C@@H](C(=O)OC)C2)CC1 ZINC001209092703 1073331459 /nfs/dbraw/zinc/33/14/59/1073331459.db2.gz SUWNOBIKUOATOM-OKILXGFUSA-N 0 0 431.536 -0.002 20 0 IBADRN Cc1cc(C(N)=O)cc(S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)c1 ZINC001209109553 1073331539 /nfs/dbraw/zinc/33/15/39/1073331539.db2.gz OMZIYNIAELXKRH-UHFFFAOYSA-N 0 0 432.506 -0.099 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC001209231123 1073331507 /nfs/dbraw/zinc/33/15/07/1073331507.db2.gz ODMZCWJIDOQNAW-UHFFFAOYSA-N 0 0 438.616 -0.384 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C1)CNC(=O)CN2C[C@@H]1OC(C)(C)O[C@@H]1[C@H](O)CO ZINC001209270354 1073331449 /nfs/dbraw/zinc/33/14/49/1073331449.db2.gz HVEURNKYQKIHGL-FKEXNYPISA-N 0 0 429.514 -0.329 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CNC(=O)CN2C[C@@H]1OC(C)(C)O[C@@H]1[C@H](O)CO ZINC001209270355 1073331505 /nfs/dbraw/zinc/33/15/05/1073331505.db2.gz HVEURNKYQKIHGL-KGZPDETFSA-N 0 0 429.514 -0.329 20 0 IBADRN CC(C)C(=O)N1CCN(C[C@@H]2OC(C)(C)O[C@@H]2[C@H](O)CO)C[C@@]2(CCCC(=O)N2)C1 ZINC001209271558 1073331569 /nfs/dbraw/zinc/33/15/69/1073331569.db2.gz AOZMVQLTELWUSH-AWQBJMPUSA-N 0 0 427.542 -0.301 20 0 IBADRN CC(C)C(=O)N1CCN(C[C@@H]2OC(C)(C)O[C@@H]2[C@H](O)CO)C[C@]2(CCCC(=O)N2)C1 ZINC001209271563 1073331547 /nfs/dbraw/zinc/33/15/47/1073331547.db2.gz AOZMVQLTELWUSH-VWFIUDSGSA-N 0 0 427.542 -0.301 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCCn3c(=O)cc(CNC(=O)C4CC4)nc3C2)O1 ZINC001209271780 1073331517 /nfs/dbraw/zinc/33/15/17/1073331517.db2.gz DEVFCPYMGHOMCU-JTDSTZFVSA-N 0 0 436.509 -0.652 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C[C@@H]1OC(C)(C)O[C@@H]1[C@H](O)CO)CC2 ZINC001209272318 1073332032 /nfs/dbraw/zinc/33/20/32/1073332032.db2.gz GGGZNLSFRUREPP-ZIFCJYIRSA-N 0 0 437.541 -0.961 20 0 IBADRN Cn1cccc1C(=O)NCc1cn2c(n1)CN(C[C@@H]1OC(C)(C)O[C@@H]1[C@H](O)CO)CC2 ZINC001209272672 1073331986 /nfs/dbraw/zinc/33/19/86/1073331986.db2.gz JZJABZOQSPBNLE-ZIFCJYIRSA-N 0 0 433.509 -0.160 20 0 IBADRN CC(C)CN1C[C@@]23CN(C[C@@H]4OC(C)(C)O[C@@H]4[C@H](O)CO)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001209272717 1073331866 /nfs/dbraw/zinc/33/18/66/1073331866.db2.gz KLGBBNSCFYSHIX-NWBNHEMOSA-N 0 0 434.555 -0.627 20 0 IBADRN CN(C)c1ncc2c(n1)[C@H]1CN(C[C@@H]3OC(C)(C)O[C@@H]3[C@H](O)CO)C[C@H]1S(=O)(=O)C2 ZINC001209272745 1073332115 /nfs/dbraw/zinc/33/21/15/1073332115.db2.gz KKCAYJOABKHSMI-LVNYTYFRSA-N 0 0 442.538 -0.888 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCOC[C@]3(CN(c4ccccc4)C(=O)CO3)C2)O1 ZINC001209272968 1073332017 /nfs/dbraw/zinc/33/20/17/1073332017.db2.gz MCSPMVUYJGXBKW-BAYUQKDNSA-N 0 0 436.505 -0.006 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCOC[C@@]3(CN(c4ccccc4)C(=O)CO3)C2)O1 ZINC001209272972 1073331911 /nfs/dbraw/zinc/33/19/11/1073331911.db2.gz MCSPMVUYJGXBKW-MXKPZRPRSA-N 0 0 436.505 -0.006 20 0 IBADRN CC(C)C(=O)NCc1cc(=O)n2c(n1)CN(C[C@@H]1OC(C)(C)O[C@@H]1[C@H](O)CO)CCC2 ZINC001209273174 1073332468 /nfs/dbraw/zinc/33/24/68/1073332468.db2.gz NMTHDTKNTREECH-JTDSTZFVSA-N 0 0 438.525 -0.406 20 0 IBADRN CN(C)C(=O)NCc1cc(=O)n2c(n1)CN(C[C@@H]1OC(C)(C)O[C@@H]1[C@H](O)CO)CCC2 ZINC001209273175 1073332457 /nfs/dbraw/zinc/33/24/57/1073332457.db2.gz NPAXWLYQNGXGHK-RVKKMQEKSA-N 0 0 439.513 -0.907 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2C[C@H](NC(=O)c3cscn3)[C@@H]3OCCC[C@@H]32)O1 ZINC001209273532 1073332516 /nfs/dbraw/zinc/33/25/16/1073332516.db2.gz QTCYSUYABHTWJS-MNMQIZOCSA-N 0 0 427.523 -0.022 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCO[C@@]3(C2)COCCN(Cc2cccnc2)C3)O1 ZINC001209273763 1073332446 /nfs/dbraw/zinc/33/24/46/1073332446.db2.gz ULSMSVLSKMQCEF-DYQZNNLLSA-N 0 0 437.537 -0.142 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCO[C@]3(C2)COCCN(Cc2cccnc2)C3)O1 ZINC001209273772 1073332482 /nfs/dbraw/zinc/33/24/82/1073332482.db2.gz ULSMSVLSKMQCEF-XAPVIXHLSA-N 0 0 437.537 -0.142 20 0 IBADRN CN(C)C(=O)COCc1ncn2c1CN(C[C@@H]1OC(C)(C)O[C@@H]1[C@H](O)CO)CCC2 ZINC001209274015 1073332534 /nfs/dbraw/zinc/33/25/34/1073332534.db2.gz WUJGWYFPJCJXAQ-ZIFCJYIRSA-N 0 0 426.514 -0.433 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@@H]32)O1 ZINC001209274094 1073332388 /nfs/dbraw/zinc/33/23/88/1073332388.db2.gz XNYSHOVJPGWKIX-JUAVELKISA-N 0 0 436.509 -0.441 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCO[C@@H]3[C@H](CNC(=O)c4ccnnc4)CC[C@@H]32)O1 ZINC001209274098 1073332302 /nfs/dbraw/zinc/33/23/02/1073332302.db2.gz XNYSHOVJPGWKIX-OFDNVBIUSA-N 0 0 436.509 -0.441 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCO[C@@H]3[C@@H](CNC(=O)c4ccnnc4)CC[C@H]32)O1 ZINC001209274099 1073332359 /nfs/dbraw/zinc/33/23/59/1073332359.db2.gz XNYSHOVJPGWKIX-VMLRXSKPSA-N 0 0 436.509 -0.441 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCO[C@H]3[C@H]2CC[C@H]3CNC(=O)c2ccnnc2)O1 ZINC001209274101 1073332424 /nfs/dbraw/zinc/33/24/24/1073332424.db2.gz XNYSHOVJPGWKIX-XBHSAZQKSA-N 0 0 436.509 -0.441 20 0 IBADRN COc1ccc(CN2C(=O)CO[C@@H]3CN(C[C@@H]4OC(C)(C)O[C@@H]4[C@H](O)CO)C[C@H]32)cc1 ZINC001209274467 1073332867 /nfs/dbraw/zinc/33/28/67/1073332867.db2.gz NBYPLJQRASZKJE-BKZNIZDZSA-N 0 0 436.505 -0.020 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCO[C@H]3[C@H](OCC(=O)N4CCCC4)CC[C@@H]32)O1 ZINC001209274861 1073333214 /nfs/dbraw/zinc/33/32/14/1073333214.db2.gz ULMHHNOPFMQVIZ-KUIXFMFUSA-N 0 0 428.526 -0.270 20 0 IBADRN CN(C)C(=O)COC[C@@H]1Cn2nccc2CN(C[C@@H]2OC(C)(C)O[C@@H]2[C@H](O)CO)C1 ZINC001209275049 1073333242 /nfs/dbraw/zinc/33/32/42/1073333242.db2.gz WIXHIGLWKIBDSW-LKCYJCQHSA-N 0 0 426.514 -0.707 20 0 IBADRN CN(C)C(=O)COC[C@H]1Cn2nccc2CN(C[C@@H]2OC(C)(C)O[C@@H]2[C@H](O)CO)C1 ZINC001209275053 1073333117 /nfs/dbraw/zinc/33/31/17/1073333117.db2.gz WIXHIGLWKIBDSW-VYCZESIESA-N 0 0 426.514 -0.707 20 0 IBADRN CC(C)CCN1C[C@@]23CN(C[C@@H]4OC(C)(C)O[C@@H]4[C@H](O)CO)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001209275100 1073333154 /nfs/dbraw/zinc/33/31/54/1073333154.db2.gz XJVPDLYRZTYCJL-QFIAKTPHSA-N 0 0 448.582 -0.237 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)O1 ZINC001209275524 1073333596 /nfs/dbraw/zinc/33/35/96/1073333596.db2.gz ZQCDAZABXBHERD-LKCYJCQHSA-N 0 0 446.508 -0.592 20 0 IBADRN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)O1 ZINC001209275527 1073333522 /nfs/dbraw/zinc/33/35/22/1073333522.db2.gz ZQCDAZABXBHERD-VYCZESIESA-N 0 0 446.508 -0.592 20 0 IBADRN CS(=O)(=O)CCN1CCC(NS(=O)(=O)c2ccc3c(c2)C(=O)NCCO3)CC1 ZINC001209304829 1073333689 /nfs/dbraw/zinc/33/36/89/1073333689.db2.gz CLFCIYIDTPHQIE-UHFFFAOYSA-N 0 0 431.536 -0.404 20 0 IBADRN CN(c1ccc(CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)cc1)S(C)(=O)=O ZINC001209305711 1073333662 /nfs/dbraw/zinc/33/36/62/1073333662.db2.gz MWHZIUKIRKVYFV-UHFFFAOYSA-N 0 0 425.554 -0.607 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(CC1=C3CCOC(=O)C3=CN[C@H]1C)CCC2 ZINC001209329157 1073333704 /nfs/dbraw/zinc/33/37/04/1073333704.db2.gz MYHZORKUESEYQN-AWEZNQCLSA-N 0 0 443.504 -0.169 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(CC1=C3CCOC(=O)C3=CN[C@@H]1C)CCC2 ZINC001209329160 1073333621 /nfs/dbraw/zinc/33/36/21/1073333621.db2.gz MYHZORKUESEYQN-CQSZACIVSA-N 0 0 443.504 -0.169 20 0 IBADRN CS(=O)(=O)NCCNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001209332008 1073333480 /nfs/dbraw/zinc/33/34/80/1073333480.db2.gz AFQKIBSDJJTCSW-HNNXBMFYSA-N 0 0 435.524 -0.507 20 0 IBADRN CS(=O)(=O)NCCNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001209332022 1073333526 /nfs/dbraw/zinc/33/35/26/1073333526.db2.gz AFQKIBSDJJTCSW-OAHLLOKOSA-N 0 0 435.524 -0.507 20 0 IBADRN C[C@H]1NC=C2C(=O)OCCC2=C1CN1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001209333905 1073333489 /nfs/dbraw/zinc/33/34/89/1073333489.db2.gz LDUOJWMHEJHDFG-IVZQSRNASA-N 0 0 443.504 -0.027 20 0 IBADRN C[C@@H]1NC=C2C(=O)OCCC2=C1CN1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001209333906 1073333453 /nfs/dbraw/zinc/33/34/53/1073333453.db2.gz LDUOJWMHEJHDFG-NYHFZMIOSA-N 0 0 443.504 -0.027 20 0 IBADRN C[C@@H]1NC=C2C(=O)OCCC2=C1CN1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001209333907 1073333643 /nfs/dbraw/zinc/33/36/43/1073333643.db2.gz LDUOJWMHEJHDFG-OYHNWAKOSA-N 0 0 443.504 -0.027 20 0 IBADRN C[C@H]1NC=C2C(=O)OCCC2=C1CN1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001209333908 1073333554 /nfs/dbraw/zinc/33/35/54/1073333554.db2.gz LDUOJWMHEJHDFG-QRQCRPRQSA-N 0 0 443.504 -0.027 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)N=[S@](=O)(c2ccccc2)N(C)C)CC1 ZINC001209333959 1073333603 /nfs/dbraw/zinc/33/36/03/1073333603.db2.gz XGNPQYCTGPUJDG-MUUNZHRXSA-N 0 0 445.567 -0.095 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)N=[S@@](=O)(c2ccccc2)N(C)C)CC1 ZINC001209333969 1073333674 /nfs/dbraw/zinc/33/36/74/1073333674.db2.gz XGNPQYCTGPUJDG-NDEPHWFRSA-N 0 0 445.567 -0.095 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2cccnc2C(=O)OC)CC1 ZINC001209342505 1073333697 /nfs/dbraw/zinc/33/36/97/1073333697.db2.gz GUVIFXHXHPNBJY-AWEZNQCLSA-N 0 0 428.511 -0.284 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2cccnc2C(=O)OC)CC1 ZINC001209342507 1073333512 /nfs/dbraw/zinc/33/35/12/1073333512.db2.gz GUVIFXHXHPNBJY-CQSZACIVSA-N 0 0 428.511 -0.284 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)cnc3c2)CC1 ZINC001209343692 1073334086 /nfs/dbraw/zinc/33/40/86/1073334086.db2.gz RQNUNXREEVXJGC-AWEZNQCLSA-N 0 0 437.522 -0.229 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)cnc3c2)CC1 ZINC001209343693 1073334095 /nfs/dbraw/zinc/33/40/95/1073334095.db2.gz RQNUNXREEVXJGC-CQSZACIVSA-N 0 0 437.522 -0.229 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)CC1 ZINC001209343999 1073334043 /nfs/dbraw/zinc/33/40/43/1073334043.db2.gz WBRUZESBAWYODA-CYBMUJFWSA-N 0 0 431.515 -0.648 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)CC1 ZINC001209344000 1073334068 /nfs/dbraw/zinc/33/40/68/1073334068.db2.gz WBRUZESBAWYODA-ZDUSSCGKSA-N 0 0 431.515 -0.648 20 0 IBADRN O=C(Nc1ccn(CCN2CC[NH+]=C2[O-])n1)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001209475431 1073333968 /nfs/dbraw/zinc/33/39/68/1073333968.db2.gz MYDWODJJTBYSDQ-CXAGYDPISA-N 0 0 426.499 -0.234 20 0 IBADRN O=C(Nc1ccn(CCN2CC[NH+]=C2[O-])n1)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001209475435 1073334033 /nfs/dbraw/zinc/33/40/33/1073334033.db2.gz MYDWODJJTBYSDQ-DYVFJYSZSA-N 0 0 426.499 -0.234 20 0 IBADRN O=C(Nc1ccn(CCN2CC[NH+]=C2[O-])n1)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001209475437 1073334077 /nfs/dbraw/zinc/33/40/77/1073334077.db2.gz MYDWODJJTBYSDQ-GUYCJALGSA-N 0 0 426.499 -0.234 20 0 IBADRN O=C(Nc1ccn(CCN2CC[NH+]=C2[O-])n1)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001209475438 1073334128 /nfs/dbraw/zinc/33/41/28/1073334128.db2.gz MYDWODJJTBYSDQ-SUMWQHHRSA-N 0 0 426.499 -0.234 20 0 IBADRN CN(CCNS(C)(=O)=O)S(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001209507217 1073334117 /nfs/dbraw/zinc/33/41/17/1073334117.db2.gz BFUKDCFKNZSSBA-INIZCTEOSA-N 0 0 449.551 -0.165 20 0 IBADRN CN(CCNS(C)(=O)=O)S(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001209507222 1073333957 /nfs/dbraw/zinc/33/39/57/1073333957.db2.gz BFUKDCFKNZSSBA-MRXNPFEDSA-N 0 0 449.551 -0.165 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)c(=O)o1 ZINC001209508813 1073333980 /nfs/dbraw/zinc/33/39/80/1073333980.db2.gz RLYPXIXCULUMIY-UHFFFAOYSA-N 0 0 441.485 -0.339 20 0 IBADRN CN1CCO[C@H](CS(=O)(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1 ZINC001209509273 1073334099 /nfs/dbraw/zinc/33/40/99/1073334099.db2.gz TVSUSYSOEIOYAR-INIZCTEOSA-N 0 0 428.530 -0.167 20 0 IBADRN CN1CCO[C@@H](CS(=O)(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)C1 ZINC001209509278 1073334056 /nfs/dbraw/zinc/33/40/56/1073334056.db2.gz TVSUSYSOEIOYAR-MRXNPFEDSA-N 0 0 428.530 -0.167 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNS(=O)(=O)CCN2CCOC2=O)CC1 ZINC001209548790 1073334011 /nfs/dbraw/zinc/33/40/11/1073334011.db2.gz RUXLWNJWVTUWMU-UHFFFAOYSA-N 0 0 446.551 -0.506 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)CC1CN(S(C)(=O)=O)C1)CC2 ZINC001209549145 1073333931 /nfs/dbraw/zinc/33/39/31/1073333931.db2.gz YUUOSYBIRKUMQV-UHFFFAOYSA-N 0 0 437.565 -0.479 20 0 IBADRN Cn1ncc(NC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1I ZINC001209557549 1073333945 /nfs/dbraw/zinc/33/39/45/1073333945.db2.gz IOTAXKWUDMMSBF-MRVPVSSYSA-N 0 0 443.267 -0.193 20 0 IBADRN Cn1ncc(NC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1I ZINC001209557551 1073334125 /nfs/dbraw/zinc/33/41/25/1073334125.db2.gz IOTAXKWUDMMSBF-QMMMGPOBSA-N 0 0 443.267 -0.193 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001209593889 1073333994 /nfs/dbraw/zinc/33/39/94/1073333994.db2.gz IKKZNBDBZXFEBC-CYBMUJFWSA-N 0 0 426.561 -0.203 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001209593893 1073334023 /nfs/dbraw/zinc/33/40/23/1073334023.db2.gz IKKZNBDBZXFEBC-ZDUSSCGKSA-N 0 0 426.561 -0.203 20 0 IBADRN COc1ccc(CN2CC[C@H](NS(=O)(=O)CC3CN(S(C)(=O)=O)C3)C2=O)cc1 ZINC001209662460 1073334519 /nfs/dbraw/zinc/33/45/19/1073334519.db2.gz GBZVKKNSABXYPE-INIZCTEOSA-N 0 0 431.536 -0.393 20 0 IBADRN COc1ccc(CN2CC[C@@H](NS(=O)(=O)CC3CN(S(C)(=O)=O)C3)C2=O)cc1 ZINC001209662462 1073334431 /nfs/dbraw/zinc/33/44/31/1073334431.db2.gz GBZVKKNSABXYPE-MRXNPFEDSA-N 0 0 431.536 -0.393 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N(C)CC(=O)N1CCOCC1 ZINC001209705516 1073334414 /nfs/dbraw/zinc/33/44/14/1073334414.db2.gz CPFFANSUPCFBJL-UHFFFAOYSA-N 0 0 448.299 -0.020 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001209769662 1073334400 /nfs/dbraw/zinc/33/44/00/1073334400.db2.gz HWFDMXDZJLOOBB-AWEZNQCLSA-N 0 0 449.526 -0.341 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001209769678 1073334511 /nfs/dbraw/zinc/33/45/11/1073334511.db2.gz HWFDMXDZJLOOBB-CQSZACIVSA-N 0 0 449.526 -0.341 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC001209770550 1073334576 /nfs/dbraw/zinc/33/45/76/1073334576.db2.gz SIPHHOIPSYVYQK-UHFFFAOYSA-N 0 0 439.490 -0.260 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3CCCOCC3)CC2)c1 ZINC001209802257 1073334525 /nfs/dbraw/zinc/33/45/25/1073334525.db2.gz CTWCDECRBJSFMY-UHFFFAOYSA-N 0 0 431.536 -0.185 20 0 IBADRN CO[C@@H](CS(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@@H]1CCOC1 ZINC001209862965 1073334618 /nfs/dbraw/zinc/33/46/18/1073334618.db2.gz VXOKMOWKTAXLCC-PBHICJAKSA-N 0 0 440.584 -0.070 20 0 IBADRN CO[C@H](CS(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@@H]1CCOC1 ZINC001209862972 1073334384 /nfs/dbraw/zinc/33/43/84/1073334384.db2.gz VXOKMOWKTAXLCC-RHSMWYFYSA-N 0 0 440.584 -0.070 20 0 IBADRN CO[C@H](CS(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@H]1CCOC1 ZINC001209862973 1073334456 /nfs/dbraw/zinc/33/44/56/1073334456.db2.gz VXOKMOWKTAXLCC-WMLDXEAASA-N 0 0 440.584 -0.070 20 0 IBADRN CO[C@@H](CS(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)[C@H]1CCOC1 ZINC001209863070 1073334622 /nfs/dbraw/zinc/33/46/22/1073334622.db2.gz VXOKMOWKTAXLCC-YOEHRIQHSA-N 0 0 440.584 -0.070 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1ccccc1CN1CCOCC1 ZINC001209926301 1073334589 /nfs/dbraw/zinc/33/45/89/1073334589.db2.gz UNSIGFCCSKBIQK-WVSUBDOOSA-N 0 0 433.465 -0.831 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)CCOC3CCOCC3)CC2)cn1 ZINC001209998548 1073334539 /nfs/dbraw/zinc/33/45/39/1073334539.db2.gz DRLXMQCDNNYTPS-UHFFFAOYSA-N 0 0 436.556 -0.312 20 0 IBADRN CCOC(=O)C1(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCC1 ZINC001209999803 1073334442 /nfs/dbraw/zinc/33/44/42/1073334442.db2.gz MCDYISPCEUXALJ-UHFFFAOYSA-N 0 0 434.540 -0.022 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)C1 ZINC001210030782 1073334366 /nfs/dbraw/zinc/33/43/66/1073334366.db2.gz KLNDJXHMUUJRPX-AWEZNQCLSA-N 0 0 431.536 -0.239 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCN(C(=O)c3cccc(O)c3)CC2)C1 ZINC001210030784 1073334547 /nfs/dbraw/zinc/33/45/47/1073334547.db2.gz KLNDJXHMUUJRPX-CQSZACIVSA-N 0 0 431.536 -0.239 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC001210130753 1073334904 /nfs/dbraw/zinc/33/49/04/1073334904.db2.gz ITSXIAYCAFEJNZ-UHFFFAOYSA-N 0 0 435.524 -0.533 20 0 IBADRN COC(=O)C(C)(C)c1ccc(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC001210130820 1073335039 /nfs/dbraw/zinc/33/50/39/1073335039.db2.gz JKBGSFOKTSWJBE-UHFFFAOYSA-N 0 0 433.552 -0.268 20 0 IBADRN CC(=O)N1CCc2sc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)cc2C1 ZINC001210131528 1073335077 /nfs/dbraw/zinc/33/50/77/1073335077.db2.gz PPPRCRGBDNGDRE-UHFFFAOYSA-N 0 0 436.581 -0.753 20 0 IBADRN CC(C)N1C(=O)C[C@H](NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1=O ZINC001210415042 1073334960 /nfs/dbraw/zinc/33/49/60/1073334960.db2.gz QDDOHMPWUMCVQG-HNNXBMFYSA-N 0 0 437.474 -0.246 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1=O ZINC001210415043 1073334988 /nfs/dbraw/zinc/33/49/88/1073334988.db2.gz QDDOHMPWUMCVQG-OAHLLOKOSA-N 0 0 437.474 -0.246 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C1=O ZINC001210488884 1073335028 /nfs/dbraw/zinc/33/50/28/1073335028.db2.gz YJCMYBSAFNATAR-BXKDBHETSA-N 0 0 435.446 -0.049 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C1=O ZINC001210488885 1073335014 /nfs/dbraw/zinc/33/50/14/1073335014.db2.gz YJCMYBSAFNATAR-CABZTGNLSA-N 0 0 435.446 -0.049 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C1=O ZINC001210488886 1073335035 /nfs/dbraw/zinc/33/50/35/1073335035.db2.gz YJCMYBSAFNATAR-JOYOIKCWSA-N 0 0 435.446 -0.049 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C1=O ZINC001210488887 1073335006 /nfs/dbraw/zinc/33/50/06/1073335006.db2.gz YJCMYBSAFNATAR-SKDRFNHKSA-N 0 0 435.446 -0.049 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1cnn(C)c1I ZINC001210605359 1073334951 /nfs/dbraw/zinc/33/49/51/1073334951.db2.gz LTBSDUYQSGDKEP-JTQLQIEISA-N 0 0 444.295 -0.662 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1cnn(C)c1I ZINC001210605902 1073334930 /nfs/dbraw/zinc/33/49/30/1073334930.db2.gz LTBSDUYQSGDKEP-SNVBAGLBSA-N 0 0 444.295 -0.662 20 0 IBADRN CN1CCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC001210645087 1073334976 /nfs/dbraw/zinc/33/49/76/1073334976.db2.gz KKTAPDBNFGZOHU-AWEZNQCLSA-N 0 0 440.588 -0.652 20 0 IBADRN CN1CCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC001210645093 1073335071 /nfs/dbraw/zinc/33/50/71/1073335071.db2.gz KKTAPDBNFGZOHU-CQSZACIVSA-N 0 0 440.588 -0.652 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC001210725124 1073335050 /nfs/dbraw/zinc/33/50/50/1073335050.db2.gz OXWWOMBWTJBOGS-UHFFFAOYSA-N 0 0 443.491 -0.889 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc(C)cc(C(N)=O)c3)CC2)cn1C ZINC001210746252 1073334921 /nfs/dbraw/zinc/33/49/21/1073334921.db2.gz CUQIWESMZMDTMB-UHFFFAOYSA-N 0 0 441.535 -0.169 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC[S@@](C)=O)CC2)cc1C(=O)OC ZINC001210786141 1073335395 /nfs/dbraw/zinc/33/53/95/1073335395.db2.gz IJKBJZQJIVHJCV-HHHXNRCGSA-N 0 0 432.520 -0.055 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC[S@](C)=O)CC2)cc1C(=O)OC ZINC001210786142 1073335381 /nfs/dbraw/zinc/33/53/81/1073335381.db2.gz IJKBJZQJIVHJCV-MHZLTWQESA-N 0 0 432.520 -0.055 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN(CC[S@](C)=O)CC2)c1I ZINC001210786924 1073335445 /nfs/dbraw/zinc/33/54/45/1073335445.db2.gz XIAZRZPQMDOTAW-FQEVSTJZSA-N 0 0 446.336 -0.291 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN(CC[S@@](C)=O)CC2)c1I ZINC001210786933 1073335453 /nfs/dbraw/zinc/33/54/53/1073335453.db2.gz XIAZRZPQMDOTAW-HXUWFJFHSA-N 0 0 446.336 -0.291 20 0 IBADRN CS(=O)(=O)Cn1cc(Nc2ccccc2S(=O)(=O)N2CCN(CCO)CC2)cn1 ZINC001210787280 1073335328 /nfs/dbraw/zinc/33/53/28/1073335328.db2.gz PMEDTWDWGOZARM-UHFFFAOYSA-N 0 0 443.551 -0.073 20 0 IBADRN COc1nccn(-c2cnn(CS(C)(=O)=O)c2)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001210787753 1073335464 /nfs/dbraw/zinc/33/54/64/1073335464.db2.gz XXGYYVZHPMSSDA-UHFFFAOYSA-N 0 0 438.491 -0.049 20 0 IBADRN CS(=O)(=O)Cn1cc(Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]3O)cc2)cn1 ZINC001210792468 1073335443 /nfs/dbraw/zinc/33/54/43/1073335443.db2.gz MCUCZWMMXPRVJT-ALYAQQCSSA-N 0 0 445.519 -0.479 20 0 IBADRN CS(=O)(=O)Cn1cc(Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001210792473 1073335457 /nfs/dbraw/zinc/33/54/57/1073335457.db2.gz MCUCZWMMXPRVJT-HMDCTGQHSA-N 0 0 445.519 -0.479 20 0 IBADRN CS(=O)(=O)Cn1cc(Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@@H](O)[C@H]3O)cc2)cn1 ZINC001210792474 1073335401 /nfs/dbraw/zinc/33/54/01/1073335401.db2.gz MCUCZWMMXPRVJT-JJTUDDRGSA-N 0 0 445.519 -0.479 20 0 IBADRN CS(=O)(=O)Cn1cc(Nc2ccc(S[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001210792475 1073335413 /nfs/dbraw/zinc/33/54/13/1073335413.db2.gz MCUCZWMMXPRVJT-XAJHFOFHSA-N 0 0 445.519 -0.479 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@@H]3C2)cnc1C(F)F ZINC001210802807 1073335418 /nfs/dbraw/zinc/33/54/18/1073335418.db2.gz KYBKZMGCQZBTIZ-SECBINFHSA-N 0 0 432.405 -0.473 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)C(=O)NC[C@H]3C2)cnc1C(F)F ZINC001210802816 1073335406 /nfs/dbraw/zinc/33/54/06/1073335406.db2.gz KYBKZMGCQZBTIZ-VIFPVBQESA-N 0 0 432.405 -0.473 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)C[C@H](OC)C2CCOCC2)CC1 ZINC001210818938 1073335372 /nfs/dbraw/zinc/33/53/72/1073335372.db2.gz JOKMXLPZTFLNGW-INIZCTEOSA-N 0 0 428.573 -0.258 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H](OC)C2CCOCC2)CC1 ZINC001210818968 1073335438 /nfs/dbraw/zinc/33/54/38/1073335438.db2.gz JOKMXLPZTFLNGW-MRXNPFEDSA-N 0 0 428.573 -0.258 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3C)CC1 ZINC001210820162 1073335359 /nfs/dbraw/zinc/33/53/59/1073335359.db2.gz SNSZPVPYNQWUHJ-UHFFFAOYSA-N 0 0 431.536 -0.122 20 0 IBADRN COc1ccc([C@H](CNC(=O)NC[C@@]2(O)CCS(=O)(=O)C2)N2CCOCC2)cc1 ZINC001210856203 1073335460 /nfs/dbraw/zinc/33/54/60/1073335460.db2.gz HBIURPWTCVRGFH-HKUYNNGSSA-N 0 0 427.523 -0.083 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NC[C@]2(O)CCS(=O)(=O)C2)N2CCOCC2)cc1 ZINC001210856204 1073335431 /nfs/dbraw/zinc/33/54/31/1073335431.db2.gz HBIURPWTCVRGFH-IEBWSBKVSA-N 0 0 427.523 -0.083 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NC[C@@]2(O)CCS(=O)(=O)C2)N2CCOCC2)cc1 ZINC001210856205 1073335386 /nfs/dbraw/zinc/33/53/86/1073335386.db2.gz HBIURPWTCVRGFH-MJGOQNOKSA-N 0 0 427.523 -0.083 20 0 IBADRN COc1ccc([C@H](CNC(=O)NC[C@]2(O)CCS(=O)(=O)C2)N2CCOCC2)cc1 ZINC001210856206 1073335893 /nfs/dbraw/zinc/33/58/93/1073335893.db2.gz HBIURPWTCVRGFH-PKOBYXMFSA-N 0 0 427.523 -0.083 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001210949837 1073335876 /nfs/dbraw/zinc/33/58/76/1073335876.db2.gz LIEWJANSUOOFKH-NXEZZACHSA-N 0 0 430.492 -0.052 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@H]1CNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001210949840 1073335944 /nfs/dbraw/zinc/33/59/44/1073335944.db2.gz LIEWJANSUOOFKH-UWVGGRQHSA-N 0 0 430.492 -0.052 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCC[C@H]1CNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001210949841 1073335806 /nfs/dbraw/zinc/33/58/06/1073335806.db2.gz LIEWJANSUOOFKH-VHSXEESVSA-N 0 0 430.492 -0.052 20 0 IBADRN CS(=O)(=O)N[C@H]1CCC[C@@H]1CNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001210949842 1073335932 /nfs/dbraw/zinc/33/59/32/1073335932.db2.gz LIEWJANSUOOFKH-ZJUUUORDSA-N 0 0 430.492 -0.052 20 0 IBADRN CC(C)(C)OC(=O)c1ncccc1Nc1c(C(N)=O)ncn1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001210961288 1073335762 /nfs/dbraw/zinc/33/57/62/1073335762.db2.gz CXTZZUWRMFAOGV-IWCJZZDYSA-N 0 0 435.437 -0.313 20 0 IBADRN Cc1cc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)ccc1N1CCOCC1 ZINC001210972383 1073335907 /nfs/dbraw/zinc/33/59/07/1073335907.db2.gz NQBMUXZGULPNKH-WVSUBDOOSA-N 0 0 433.465 -0.518 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNS(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC001210977098 1073335936 /nfs/dbraw/zinc/33/59/36/1073335936.db2.gz BKAWMTSKCANQJC-CYBMUJFWSA-N 0 0 431.536 -0.096 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNS(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC001210977101 1073335852 /nfs/dbraw/zinc/33/58/52/1073335852.db2.gz BKAWMTSKCANQJC-ZDUSSCGKSA-N 0 0 431.536 -0.096 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)NCCC(=O)N1CCN(C)CC1 ZINC001211069926 1073335783 /nfs/dbraw/zinc/33/57/83/1073335783.db2.gz QGSYFXAKTWYDME-UHFFFAOYSA-N 0 0 427.527 -0.482 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(Cc3nc4ccccc4[nH]3)CC2)C1 ZINC001211076358 1073335900 /nfs/dbraw/zinc/33/59/00/1073335900.db2.gz ZCPZRUDTPDJDCE-UHFFFAOYSA-N 0 0 427.552 -0.098 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001211077067 1073335925 /nfs/dbraw/zinc/33/59/25/1073335925.db2.gz KUBLKUXETHPFKE-CYBMUJFWSA-N 0 0 445.519 -0.795 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001211077068 1073335919 /nfs/dbraw/zinc/33/59/19/1073335919.db2.gz KUBLKUXETHPFKE-ZDUSSCGKSA-N 0 0 445.519 -0.795 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)CCC(C)(C)S(C)(=O)=O)CC1 ZINC001211119116 1073335913 /nfs/dbraw/zinc/33/59/13/1073335913.db2.gz VJUOXSRLQACPQB-UHFFFAOYSA-N 0 0 426.557 -0.079 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001211124032 1073335917 /nfs/dbraw/zinc/33/59/17/1073335917.db2.gz QTAKQRRYDUGPHE-JTQLQIEISA-N 0 0 443.263 -0.041 20 0 IBADRN COC(=O)[C@H](O)C1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001211124033 1073335886 /nfs/dbraw/zinc/33/58/86/1073335886.db2.gz QTAKQRRYDUGPHE-SNVBAGLBSA-N 0 0 443.263 -0.041 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCC(=O)NC2=O)c(Br)c1 ZINC001211124299 1073335882 /nfs/dbraw/zinc/33/58/82/1073335882.db2.gz UMLNLGYWZMYQLR-SECBINFHSA-N 0 0 425.282 -0.064 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCC(=O)NC2=O)c(Br)c1 ZINC001211124300 1073335828 /nfs/dbraw/zinc/33/58/28/1073335828.db2.gz UMLNLGYWZMYQLR-VIFPVBQESA-N 0 0 425.282 -0.064 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCCN1CCN(c2ccc(F)cc2)CC1 ZINC001211142039 1073335838 /nfs/dbraw/zinc/33/58/38/1073335838.db2.gz JGISHTOKWSJCMG-GOSISDBHSA-N 0 0 443.545 -0.093 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCCN1CCN(c2ccc(F)cc2)CC1 ZINC001211142040 1073336360 /nfs/dbraw/zinc/33/63/60/1073336360.db2.gz JGISHTOKWSJCMG-SFHVURJKSA-N 0 0 443.545 -0.093 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC1CN(c2ccncn2)C1 ZINC001211153754 1073336073 /nfs/dbraw/zinc/33/60/73/1073336073.db2.gz AHVNKKOVOQELNC-UHFFFAOYSA-N 0 0 446.537 -0.489 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N(C)CCN(C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001211154276 1073336182 /nfs/dbraw/zinc/33/61/82/1073336182.db2.gz DWKMIRINGNMSJG-UHFFFAOYSA-N 0 0 440.570 -0.378 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@H](CO)C[C@H]1CCCO1 ZINC001211154786 1073336247 /nfs/dbraw/zinc/33/62/47/1073336247.db2.gz KYXZANIKAWAMNM-DLBZAZTESA-N 0 0 441.554 -0.237 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@@H](CO)C[C@H]1CCCO1 ZINC001211154787 1073336370 /nfs/dbraw/zinc/33/63/70/1073336370.db2.gz KYXZANIKAWAMNM-IAGOWNOFSA-N 0 0 441.554 -0.237 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@H](CO)C[C@@H]1CCCO1 ZINC001211154788 1073336272 /nfs/dbraw/zinc/33/62/72/1073336272.db2.gz KYXZANIKAWAMNM-IRXDYDNUSA-N 0 0 441.554 -0.237 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N[C@@H](CO)C[C@@H]1CCCO1 ZINC001211154789 1073336327 /nfs/dbraw/zinc/33/63/27/1073336327.db2.gz KYXZANIKAWAMNM-SJORKVTESA-N 0 0 441.554 -0.237 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCN(C(=O)C2CC2)C1 ZINC001211154855 1073336351 /nfs/dbraw/zinc/33/63/51/1073336351.db2.gz MZKNGEWWPNBBHC-UHFFFAOYSA-N 0 0 436.538 -0.245 20 0 IBADRN C[C@@H]1[C@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCS1(=O)=O ZINC001211154897 1073336316 /nfs/dbraw/zinc/33/63/16/1073336316.db2.gz ORUJRIHWZMZZHJ-HUUCEWRRSA-N 0 0 445.567 -0.592 20 0 IBADRN CON1CCC(NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC001211154925 1073336298 /nfs/dbraw/zinc/33/62/98/1073336298.db2.gz PRLRXJPOXNHZMI-UHFFFAOYSA-N 0 0 426.543 -0.142 20 0 IBADRN CS(=O)(=O)[C@H]1C[C@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001211154931 1073336148 /nfs/dbraw/zinc/33/61/48/1073336148.db2.gz PXHJWDZFAYMPST-SHTZXODSSA-N 0 0 445.567 -0.592 20 0 IBADRN CN(C)C(=O)[C@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001211154936 1073336097 /nfs/dbraw/zinc/33/60/97/1073336097.db2.gz QABYSAPSMBQIFO-INIZCTEOSA-N 0 0 438.554 -0.347 20 0 IBADRN CN(C)C(=O)[C@@H]1CCN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001211154937 1073336206 /nfs/dbraw/zinc/33/62/06/1073336206.db2.gz QABYSAPSMBQIFO-MRXNPFEDSA-N 0 0 438.554 -0.347 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@H](C2OCCO2)C1 ZINC001211155829 1073336335 /nfs/dbraw/zinc/33/63/35/1073336335.db2.gz ZVHRZMOOBCXFFR-INIZCTEOSA-N 0 0 439.538 -0.062 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@@H](C2OCCO2)C1 ZINC001211155843 1073336225 /nfs/dbraw/zinc/33/62/25/1073336225.db2.gz ZVHRZMOOBCXFFR-MRXNPFEDSA-N 0 0 439.538 -0.062 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NCCS(=O)(=O)N=S(C)(C)=O)CC1 ZINC001211162996 1073336132 /nfs/dbraw/zinc/33/61/32/1073336132.db2.gz LNRVEOYAAFUGIY-UHFFFAOYSA-N 0 0 425.577 -0.666 20 0 IBADRN O=C(C1CC1)N1CC=C(Nc2[nH]c(=S)nc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001211181317 1073336309 /nfs/dbraw/zinc/33/63/09/1073336309.db2.gz FSNCZYAREGNXFE-XWXWGSFUSA-N 0 0 448.505 -0.335 20 0 IBADRN COC(=O)c1ccnc(S(=O)(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC001211216336 1073336263 /nfs/dbraw/zinc/33/62/63/1073336263.db2.gz LPKZJMZFGLICMR-AWEZNQCLSA-N 0 0 433.508 -0.317 20 0 IBADRN COC(=O)c1ccnc(S(=O)(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1 ZINC001211216337 1073336773 /nfs/dbraw/zinc/33/67/73/1073336773.db2.gz LPKZJMZFGLICMR-CQSZACIVSA-N 0 0 433.508 -0.317 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)cc21 ZINC001211265027 1073336723 /nfs/dbraw/zinc/33/67/23/1073336723.db2.gz DURVNZGZOQWCLB-PXAZEXFGSA-N 0 0 430.504 -0.177 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)cc21 ZINC001211265028 1073336651 /nfs/dbraw/zinc/33/66/51/1073336651.db2.gz DURVNZGZOQWCLB-SJCJKPOMSA-N 0 0 430.504 -0.177 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)cc21 ZINC001211265031 1073336616 /nfs/dbraw/zinc/33/66/16/1073336616.db2.gz DURVNZGZOQWCLB-SJKOYZFVSA-N 0 0 430.504 -0.177 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)cc21 ZINC001211265034 1073336799 /nfs/dbraw/zinc/33/67/99/1073336799.db2.gz DURVNZGZOQWCLB-YVEFUNNKSA-N 0 0 430.504 -0.177 20 0 IBADRN NC(=O)c1ncn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1Nc1cc(Br)cnc1F ZINC001211266738 1073336639 /nfs/dbraw/zinc/33/66/39/1073336639.db2.gz VAOJKBCUUOKZPV-AKAIJSEGSA-N 0 0 432.206 -0.366 20 0 IBADRN CC(C)(C)OC(=O)N1CC=C(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC001211311591 1073336691 /nfs/dbraw/zinc/33/66/91/1073336691.db2.gz NSGIISJPFSZBDS-XNIJJKJLSA-N 0 0 425.442 -0.860 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(=Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)C1 ZINC001211312456 1073336703 /nfs/dbraw/zinc/33/67/03/1073336703.db2.gz XZUFFWOEAZOUHC-LSCFUAHRSA-N 0 0 434.453 -0.016 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(=Nc2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@@H]2O)C1 ZINC001211312457 1073336735 /nfs/dbraw/zinc/33/67/35/1073336735.db2.gz XZUFFWOEAZOUHC-MBMVNNNZSA-N 0 0 434.453 -0.016 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCN1S(C)(=O)=O ZINC001211339581 1073336675 /nfs/dbraw/zinc/33/66/75/1073336675.db2.gz APHXOASKVUQFRW-ZDUSSCGKSA-N 0 0 426.561 -0.250 20 0 IBADRN C[C@H]1CN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CCN1S(C)(=O)=O ZINC001211340096 1073336663 /nfs/dbraw/zinc/33/66/63/1073336663.db2.gz DKEYWCFVTWJPCP-KBPBESRZSA-N 0 0 441.572 -0.082 20 0 IBADRN C[C@H]1CN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CCN1S(C)(=O)=O ZINC001211340127 1073336779 /nfs/dbraw/zinc/33/67/79/1073336779.db2.gz DKEYWCFVTWJPCP-UONOGXRCSA-N 0 0 441.572 -0.082 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cnn(C)c2I)CCN1S(C)(=O)=O ZINC001211340231 1073336818 /nfs/dbraw/zinc/33/68/18/1073336818.db2.gz DZIVABUKCBOLBB-QMMMGPOBSA-N 0 0 448.308 -0.321 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)NCC(=O)N1CCOCC1 ZINC001211358171 1073336756 /nfs/dbraw/zinc/33/67/56/1073336756.db2.gz XXIFTUYPLOJLJK-UHFFFAOYSA-N 0 0 434.272 -0.363 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cnn(C)c1Br ZINC001211366284 1073336788 /nfs/dbraw/zinc/33/67/88/1073336788.db2.gz IHAAKYYIGIGHLB-SECBINFHSA-N 0 0 439.288 -0.749 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cnn(C)c1Br ZINC001211366285 1073336627 /nfs/dbraw/zinc/33/66/27/1073336627.db2.gz IHAAKYYIGIGHLB-VIFPVBQESA-N 0 0 439.288 -0.749 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)CC(=O)N2C ZINC001211366336 1073336806 /nfs/dbraw/zinc/33/68/06/1073336806.db2.gz KFHYSCKIAWTCTL-HNNXBMFYSA-N 0 0 425.463 -0.726 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)CC(=O)N2C ZINC001211366337 1073336826 /nfs/dbraw/zinc/33/68/26/1073336826.db2.gz KFHYSCKIAWTCTL-OAHLLOKOSA-N 0 0 425.463 -0.726 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)C(=O)CCO2 ZINC001211366372 1073336713 /nfs/dbraw/zinc/33/67/13/1073336713.db2.gz LURUKYDYHIRBCV-AWEZNQCLSA-N 0 0 426.447 -0.279 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)C(=O)CCO2 ZINC001211366373 1073336946 /nfs/dbraw/zinc/33/69/46/1073336946.db2.gz LURUKYDYHIRBCV-CQSZACIVSA-N 0 0 426.447 -0.279 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1coc(C(=O)N(C)C)c1C ZINC001211366887 1073337126 /nfs/dbraw/zinc/33/71/26/1073337126.db2.gz UOUVKUOOULXPPU-GFCCVEGCSA-N 0 0 431.467 -0.641 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1coc(C(=O)N(C)C)c1C ZINC001211366888 1073337144 /nfs/dbraw/zinc/33/71/44/1073337144.db2.gz UOUVKUOOULXPPU-LBPRGKRZSA-N 0 0 431.467 -0.641 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)CC1 ZINC001211368642 1073337035 /nfs/dbraw/zinc/33/70/35/1073337035.db2.gz IMNUUDAFTPANGA-CYBMUJFWSA-N 0 0 440.588 -0.210 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)CC1 ZINC001211368643 1073337114 /nfs/dbraw/zinc/33/71/14/1073337114.db2.gz IMNUUDAFTPANGA-ZDUSSCGKSA-N 0 0 440.588 -0.210 20 0 IBADRN CN1CCO[C@H](CS(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC001211405961 1073336960 /nfs/dbraw/zinc/33/69/60/1073336960.db2.gz DOBSPAVLWDWQPW-AWEZNQCLSA-N 0 0 447.535 -0.919 20 0 IBADRN CN1CCO[C@@H](CS(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC001211405962 1073337018 /nfs/dbraw/zinc/33/70/18/1073337018.db2.gz DOBSPAVLWDWQPW-CQSZACIVSA-N 0 0 447.535 -0.919 20 0 IBADRN COCCN(CCNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC001211409694 1073337006 /nfs/dbraw/zinc/33/70/06/1073337006.db2.gz NCVOJGLJVJIFPF-UHFFFAOYSA-N 0 0 429.561 -0.319 20 0 IBADRN CCOC(=O)COc1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001211412550 1073336990 /nfs/dbraw/zinc/33/69/90/1073336990.db2.gz AOOMBUNKLBOZCZ-BGIGGGFGSA-N 0 0 436.421 -0.721 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1c[nH]c3ncc(Cl)cc13)C2 ZINC001211527956 1071033268 /nfs/dbraw/zinc/03/32/68/1071033268.db2.gz BCCKKCYZYRCKME-UHFFFAOYSA-N 0 0 439.885 -0.133 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCO1 ZINC001211555397 1071120347 /nfs/dbraw/zinc/12/03/47/1071120347.db2.gz NEAQCHBWKAFGBI-CYBMUJFWSA-N 0 0 427.545 -0.567 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCO1 ZINC001211555408 1071120250 /nfs/dbraw/zinc/12/02/50/1071120250.db2.gz NEAQCHBWKAFGBI-ZDUSSCGKSA-N 0 0 427.545 -0.567 20 0 IBADRN C[C@H](O)CN1CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001211594447 1071196689 /nfs/dbraw/zinc/19/66/89/1071196689.db2.gz GWKZGGLQZJDXIF-HNNXBMFYSA-N 0 0 425.507 -0.373 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC001211622988 1071228317 /nfs/dbraw/zinc/22/83/17/1071228317.db2.gz UUAMSABEIZWZMQ-UHFFFAOYSA-N 0 0 447.507 -0.946 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)c1ccc(CCCNC(=O)C(F)(F)F)cc1 ZINC001211669620 1071424674 /nfs/dbraw/zinc/42/46/74/1071424674.db2.gz HLNYGWXRMUCJHO-UHFFFAOYSA-N 0 0 439.412 -0.135 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc(C(=O)OC)c(F)cc2OC)CC1 ZINC001211669635 1071424781 /nfs/dbraw/zinc/42/47/81/1071424781.db2.gz HXFGZYKPPHCJPV-UHFFFAOYSA-N 0 0 439.487 -0.172 20 0 IBADRN COc1ccccc1CCNC(=O)CNS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001211707708 1071479834 /nfs/dbraw/zinc/47/98/34/1071479834.db2.gz GJGGKCZBRYLLFD-AWEZNQCLSA-N 0 0 433.552 -0.445 20 0 IBADRN COc1ccccc1CCNC(=O)CNS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001211707709 1071479936 /nfs/dbraw/zinc/47/99/36/1071479936.db2.gz GJGGKCZBRYLLFD-CQSZACIVSA-N 0 0 433.552 -0.445 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC001211710459 1071483764 /nfs/dbraw/zinc/48/37/64/1071483764.db2.gz CYLHUMZONFBTCJ-KBPBESRZSA-N 0 0 429.586 -0.902 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)C1 ZINC001211710461 1071483707 /nfs/dbraw/zinc/48/37/07/1071483707.db2.gz CYLHUMZONFBTCJ-KGLIPLIRSA-N 0 0 429.586 -0.902 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC001211710462 1071483929 /nfs/dbraw/zinc/48/39/29/1071483929.db2.gz CYLHUMZONFBTCJ-UONOGXRCSA-N 0 0 429.586 -0.902 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)C1 ZINC001211710463 1071483965 /nfs/dbraw/zinc/48/39/65/1071483965.db2.gz CYLHUMZONFBTCJ-ZIAGYGMSSA-N 0 0 429.586 -0.902 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)C[C@@H](OC)[C@H]2CCOC2)C1 ZINC001211727657 1071515747 /nfs/dbraw/zinc/51/57/47/1071515747.db2.gz LNYPQTFOKZNCNA-ARFHVFGLSA-N 0 0 428.573 -0.260 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)C[C@@H](OC)[C@@H]2CCOC2)C1 ZINC001211727665 1071515825 /nfs/dbraw/zinc/51/58/25/1071515825.db2.gz LNYPQTFOKZNCNA-BZUAXINKSA-N 0 0 428.573 -0.260 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)C[C@H](OC)[C@@H]2CCOC2)C1 ZINC001211727668 1071515650 /nfs/dbraw/zinc/51/56/50/1071515650.db2.gz LNYPQTFOKZNCNA-OAGGEKHMSA-N 0 0 428.573 -0.260 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)C[C@H](OC)[C@H]2CCOC2)C1 ZINC001211727672 1071516315 /nfs/dbraw/zinc/51/63/15/1071516315.db2.gz LNYPQTFOKZNCNA-XHSDSOJGSA-N 0 0 428.573 -0.260 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cccnc2C(=O)OC)C1 ZINC001211728761 1071525108 /nfs/dbraw/zinc/52/51/08/1071525108.db2.gz ZIWFHGVYZSCIKU-CYBMUJFWSA-N 0 0 435.524 -0.071 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cccnc2C(=O)OC)C1 ZINC001211728762 1071524972 /nfs/dbraw/zinc/52/49/72/1071524972.db2.gz ZIWFHGVYZSCIKU-ZDUSSCGKSA-N 0 0 435.524 -0.071 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC001211739097 1071550567 /nfs/dbraw/zinc/55/05/67/1071550567.db2.gz DRXODHJGBWLWKE-INIZCTEOSA-N 0 0 432.524 -0.345 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC001211739098 1071550584 /nfs/dbraw/zinc/55/05/84/1071550584.db2.gz DRXODHJGBWLWKE-MRXNPFEDSA-N 0 0 432.524 -0.345 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CC(=O)N(C4CC4)C3)CC2)cs1 ZINC001211739132 1071550517 /nfs/dbraw/zinc/55/05/17/1071550517.db2.gz FJVPCAPQVOWYHP-CYBMUJFWSA-N 0 0 434.565 -0.149 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CC(=O)N(C4CC4)C3)CC2)cs1 ZINC001211739133 1071550551 /nfs/dbraw/zinc/55/05/51/1071550551.db2.gz FJVPCAPQVOWYHP-ZDUSSCGKSA-N 0 0 434.565 -0.149 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)c2n[nH]cc2C(=O)OC)CC1 ZINC001211765954 1071563609 /nfs/dbraw/zinc/56/36/09/1071563609.db2.gz DYWNJIJVZISZSV-UHFFFAOYSA-N 0 0 437.544 -0.131 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)C[C@@H]2CN(C)CCO2)CC1 ZINC001211765967 1071563492 /nfs/dbraw/zinc/56/34/92/1071563492.db2.gz FMKWRAAEAGSUTB-INIZCTEOSA-N 0 0 426.605 -0.369 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)C[C@H]2CN(C)CCO2)CC1 ZINC001211765968 1071563542 /nfs/dbraw/zinc/56/35/42/1071563542.db2.gz FMKWRAAEAGSUTB-MRXNPFEDSA-N 0 0 426.605 -0.369 20 0 IBADRN NS(=O)(=O)CCOCCNS(=O)(=O)c1cccc(I)c1 ZINC001211773731 1071564342 /nfs/dbraw/zinc/56/43/42/1071564342.db2.gz WPUAWRJKNUGFKU-UHFFFAOYSA-N 0 0 434.277 -0.125 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNS(=O)(=O)CCS(=O)(=O)N(C)C ZINC001211778606 1071565535 /nfs/dbraw/zinc/56/55/35/1071565535.db2.gz KZQOKIPPOVXHFP-UHFFFAOYSA-N 0 0 447.988 -0.262 20 0 IBADRN COC(=O)c1ccc(Br)cc1S(=O)(=O)NCCNS(=O)(=O)N(C)C ZINC001211787116 1071566082 /nfs/dbraw/zinc/56/60/82/1071566082.db2.gz DBVGFLNLPPWICN-UHFFFAOYSA-N 0 0 444.329 -0.090 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1ccc(S(=O)(=O)C(F)(F)F)cc1 ZINC001211788909 1071567271 /nfs/dbraw/zinc/56/72/71/1071567271.db2.gz YVQCRUFYQGMSEL-UHFFFAOYSA-N 0 0 439.459 -0.346 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(S(=O)(=O)CCS(=O)(=O)N(C)C)C2 ZINC001211828769 1071598599 /nfs/dbraw/zinc/59/85/99/1071598599.db2.gz DTRLQKIIKSVFMG-UHFFFAOYSA-N 0 0 425.554 -0.826 20 0 IBADRN NC(=O)C1(O)CCN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CC1 ZINC001211834115 1071600546 /nfs/dbraw/zinc/60/05/46/1071600546.db2.gz AZORTMWVCJSVHS-UHFFFAOYSA-N 0 0 431.536 -0.138 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCCC[C@@H]1CNS(N)(=O)=O ZINC001211853641 1072154923 /nfs/dbraw/zinc/15/49/23/1072154923.db2.gz ASVNHQUFAIIXBS-OLZOCXBDSA-N 0 0 426.561 -0.315 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCCC[C@H]1CNS(N)(=O)=O ZINC001211853642 1072154946 /nfs/dbraw/zinc/15/49/46/1072154946.db2.gz ASVNHQUFAIIXBS-STQMWFEESA-N 0 0 426.561 -0.315 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCCN1S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001211853998 1072155521 /nfs/dbraw/zinc/15/55/21/1072155521.db2.gz HGFBINNJTMDRIG-HNNXBMFYSA-N 0 0 446.551 -0.015 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCCN1S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001211853999 1072155436 /nfs/dbraw/zinc/15/54/36/1072155436.db2.gz HGFBINNJTMDRIG-OAHLLOKOSA-N 0 0 446.551 -0.015 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cn1C ZINC001211854303 1072156535 /nfs/dbraw/zinc/15/65/35/1072156535.db2.gz KEIPIGOSOPEOPE-UHFFFAOYSA-N 0 0 442.541 -0.910 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)c2cnn(C3CCC3)c2)CC1 ZINC001211854864 1072162575 /nfs/dbraw/zinc/16/25/75/1072162575.db2.gz TYDQOJBRINDIIC-UHFFFAOYSA-N 0 0 425.558 -0.113 20 0 IBADRN COc1ccc(O)c(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001211854903 1072163228 /nfs/dbraw/zinc/16/32/28/1072163228.db2.gz USOUTSRLFIENTC-UHFFFAOYSA-N 0 0 427.526 -0.321 20 0 IBADRN COc1cc(CS(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)sn1 ZINC001211855064 1072163292 /nfs/dbraw/zinc/16/32/92/1072163292.db2.gz XMHQDYBLAAIHIO-UHFFFAOYSA-N 0 0 432.571 -0.429 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)c2cnn(CC(F)F)c2)CC1 ZINC001211855150 1072163199 /nfs/dbraw/zinc/16/31/99/1072163199.db2.gz ZKFOFJSBLKNRSR-UHFFFAOYSA-N 0 0 435.500 -0.573 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@]2(O)CCS(=O)(=O)C2)cc1C(=O)NCC(F)(F)F ZINC001211876501 1072462513 /nfs/dbraw/zinc/46/25/13/1072462513.db2.gz XKCBKMDEYNYZSE-GFCCVEGCSA-N 0 0 433.430 -0.855 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@]2(O)CCS(=O)(=O)C2)cc1C(=O)NCC(F)(F)F ZINC001211876502 1072462453 /nfs/dbraw/zinc/46/24/53/1072462453.db2.gz XKCBKMDEYNYZSE-LBPRGKRZSA-N 0 0 433.430 -0.855 20 0 IBADRN O=S(=O)(NCCN1C[C@H]2CC[C@@H](C1)O2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001211879076 1072519143 /nfs/dbraw/zinc/51/91/43/1072519143.db2.gz XUOSKIBJBXGZEK-IYBDPMFKSA-N 0 0 445.563 -0.151 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCC(O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC001211881009 1072525327 /nfs/dbraw/zinc/52/53/27/1072525327.db2.gz GBTHFIUYIRYBLK-GOSISDBHSA-N 0 0 426.495 -0.515 20 0 IBADRN COc1ccc(S(=O)(=O)NCCN2CCC(O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC001211881010 1072525369 /nfs/dbraw/zinc/52/53/69/1072525369.db2.gz GBTHFIUYIRYBLK-SFHVURJKSA-N 0 0 426.495 -0.515 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNS(=O)(=O)C1CCS(=O)(=O)CC1)C1CCCC1 ZINC001211881265 1072526429 /nfs/dbraw/zinc/52/64/29/1072526429.db2.gz LNRBSZVIGCJLEV-AWEZNQCLSA-N 0 0 431.602 -0.562 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNS(=O)(=O)C1CCS(=O)(=O)CC1)C1CCCC1 ZINC001211881266 1072526408 /nfs/dbraw/zinc/52/64/08/1072526408.db2.gz LNRBSZVIGCJLEV-CQSZACIVSA-N 0 0 431.602 -0.562 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCN2CCC(O)CC2)s1 ZINC001211881437 1072527383 /nfs/dbraw/zinc/52/73/83/1072527383.db2.gz RSPYQVRCJLSWKJ-UHFFFAOYSA-N 0 0 425.598 -0.035 20 0 IBADRN COC[C@@]1(CO)CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001211886844 1072543025 /nfs/dbraw/zinc/54/30/25/1072543025.db2.gz CZLZFNYVWVMUCF-IBGZPJMESA-N 0 0 426.491 -0.040 20 0 IBADRN COC[C@]1(CO)CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001211886845 1072543042 /nfs/dbraw/zinc/54/30/42/1072543042.db2.gz CZLZFNYVWVMUCF-LJQANCHMSA-N 0 0 426.491 -0.040 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCc1nn(C)cc1I ZINC001211887108 1072546082 /nfs/dbraw/zinc/54/60/82/1072546082.db2.gz PKFXGFVHLWEZFI-UHFFFAOYSA-N 0 0 436.297 -0.665 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC001211894771 1072595517 /nfs/dbraw/zinc/59/55/17/1072595517.db2.gz XIIAOEUFFNXDHC-RUZDIDTESA-N 0 0 438.549 -0.050 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)CC1 ZINC001211894772 1072595757 /nfs/dbraw/zinc/59/57/57/1072595757.db2.gz XIIAOEUFFNXDHC-VWLOTQADSA-N 0 0 438.549 -0.050 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H](CCNC(=O)OC(C)(C)C)C(F)F)c(=O)n(C)c1=O ZINC001211899512 1072626203 /nfs/dbraw/zinc/62/62/03/1072626203.db2.gz FWZXQUUGVKFWAQ-SECBINFHSA-N 0 0 426.442 -0.089 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H](CCNC(=O)OC(C)(C)C)C(F)F)c(=O)n(C)c1=O ZINC001211899513 1072626284 /nfs/dbraw/zinc/62/62/84/1072626284.db2.gz FWZXQUUGVKFWAQ-VIFPVBQESA-N 0 0 426.442 -0.089 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NS(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001211901780 1072634801 /nfs/dbraw/zinc/63/48/01/1072634801.db2.gz GGULZDKMQPULEW-CHWSQXEVSA-N 0 0 429.561 -0.131 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NS(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001211901781 1072634650 /nfs/dbraw/zinc/63/46/50/1072634650.db2.gz GGULZDKMQPULEW-OLZOCXBDSA-N 0 0 429.561 -0.131 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NS(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001211901782 1072634790 /nfs/dbraw/zinc/63/47/90/1072634790.db2.gz GGULZDKMQPULEW-QWHCGFSZSA-N 0 0 429.561 -0.131 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NS(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001211901783 1072634703 /nfs/dbraw/zinc/63/47/03/1072634703.db2.gz GGULZDKMQPULEW-STQMWFEESA-N 0 0 429.561 -0.131 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001211909869 1072865832 /nfs/dbraw/zinc/86/58/32/1072865832.db2.gz KEARFVZIXFFRNI-UHFFFAOYSA-N 0 0 441.572 -0.033 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)c(Br)c1 ZINC001211921829 1072886322 /nfs/dbraw/zinc/88/63/22/1072886322.db2.gz AKAXFMQQDBYDLR-DTORHVGOSA-N 0 0 437.293 -0.254 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001211934178 1072889417 /nfs/dbraw/zinc/88/94/17/1072889417.db2.gz RPDAERKVDADXHC-UHFFFAOYSA-N 0 0 425.554 -0.361 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(S(=O)(=O)c2cnn(C)c2Br)CC1 ZINC001211937103 1072890505 /nfs/dbraw/zinc/89/05/05/1072890505.db2.gz UHLHPLUINFUQNW-UHFFFAOYSA-N 0 0 430.350 -0.268 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCc1nc(-c2ccc(I)nc2)no1 ZINC001211961527 1072893201 /nfs/dbraw/zinc/89/32/01/1072893201.db2.gz QKPKDCWUOZUDLQ-VIFPVBQESA-N 0 0 431.190 -0.151 20 0 IBADRN CC(=O)N[C@@H](CO)C(=O)NCc1nc(-c2nccc(I)c2F)no1 ZINC001211961544 1072893320 /nfs/dbraw/zinc/89/33/20/1072893320.db2.gz RAMJRYCZVJBQHB-QMMMGPOBSA-N 0 0 449.180 -0.012 20 0 IBADRN CCOC(=O)c1ccc(OC)c(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC001211971860 1072900216 /nfs/dbraw/zinc/90/02/16/1072900216.db2.gz NANCGFKSTFNRPF-SCFUHWHPSA-N 0 0 436.421 -0.478 20 0 IBADRN CCOC(=O)C[C@H](C(F)(F)F)S(=O)(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001211973433 1072900727 /nfs/dbraw/zinc/90/07/27/1072900727.db2.gz HORATSCIMAJVMD-SECBINFHSA-N 0 0 444.475 -0.057 20 0 IBADRN CCOC(=O)C[C@@H](C(F)(F)F)S(=O)(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001211973434 1072900750 /nfs/dbraw/zinc/90/07/50/1072900750.db2.gz HORATSCIMAJVMD-VIFPVBQESA-N 0 0 444.475 -0.057 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC001211973823 1072900722 /nfs/dbraw/zinc/90/07/22/1072900722.db2.gz PHGLBPWGRDNTSM-UHFFFAOYSA-N 0 0 440.521 -0.330 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(S(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CC1 ZINC001211973865 1072900744 /nfs/dbraw/zinc/90/07/44/1072900744.db2.gz QPNAVULWEDMTCY-UHFFFAOYSA-N 0 0 447.560 -0.046 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(S(=O)(=O)c2ccccc2Cn2cncn2)CC1 ZINC001211974116 1072900714 /nfs/dbraw/zinc/90/07/14/1072900714.db2.gz URYFLMGVPNOXME-UHFFFAOYSA-N 0 0 433.537 -0.242 20 0 IBADRN CC(C)(C)OC(=O)N1CC(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)C1 ZINC001211974182 1072900687 /nfs/dbraw/zinc/90/06/87/1072900687.db2.gz VKJQPANZZWXEPY-UHFFFAOYSA-N 0 0 431.558 -0.321 20 0 IBADRN CN(CCN(C)S(=O)(=O)N1CCC2(CC1)OCCO2)c1ccc(S(N)(=O)=O)cc1 ZINC001211987405 1072932060 /nfs/dbraw/zinc/93/20/60/1072932060.db2.gz LOLCHDHSEQJYIV-UHFFFAOYSA-N 0 0 448.567 -0.214 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(S(=O)(=O)CC1CN(S(C)(=O)=O)C1)CCO2 ZINC001211999005 1072953754 /nfs/dbraw/zinc/95/37/54/1072953754.db2.gz SHETVCCFOKWIGT-UHFFFAOYSA-N 0 0 439.556 -0.471 20 0 IBADRN CS(=O)(=O)c1ccc(-c2noc([C@H](CCC(N)=O)NC(=O)[C@@H]3CCC(=O)N3)n2)cc1 ZINC001212027428 1072954940 /nfs/dbraw/zinc/95/49/40/1072954940.db2.gz RFCGWLXDZCXKBA-STQMWFEESA-N 0 0 435.462 -0.159 20 0 IBADRN COC(=O)C[C@@H]1COCCN1S(=O)(=O)c1cnn(C)c1I ZINC001212054735 1072954920 /nfs/dbraw/zinc/95/49/20/1072954920.db2.gz NAWLTKPWMBTQHC-MRVPVSSYSA-N 0 0 429.236 -0.023 20 0 IBADRN COC(=O)C[C@H]1COCCN1S(=O)(=O)c1cnn(C)c1I ZINC001212054736 1072955276 /nfs/dbraw/zinc/95/52/76/1072955276.db2.gz NAWLTKPWMBTQHC-QMMMGPOBSA-N 0 0 429.236 -0.023 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)S(=O)(=O)CCCCS(N)(=O)=O)CC1 ZINC001212054855 1072955553 /nfs/dbraw/zinc/95/55/53/1072955553.db2.gz RAPKELYAFJWWCW-CYBMUJFWSA-N 0 0 433.618 -0.233 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)S(=O)(=O)CCCCS(N)(=O)=O)CC1 ZINC001212054856 1072955324 /nfs/dbraw/zinc/95/53/24/1072955324.db2.gz RAPKELYAFJWWCW-ZDUSSCGKSA-N 0 0 433.618 -0.233 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CC1 ZINC001212054871 1072955564 /nfs/dbraw/zinc/95/55/64/1072955564.db2.gz RQNDLMJTNPPTBV-CYBMUJFWSA-N 0 0 445.629 -0.410 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CC1 ZINC001212054872 1072955458 /nfs/dbraw/zinc/95/54/58/1072955458.db2.gz RQNDLMJTNPPTBV-ZDUSSCGKSA-N 0 0 445.629 -0.410 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1C(F)(F)F ZINC001212069881 1072955466 /nfs/dbraw/zinc/95/54/66/1072955466.db2.gz ROMDOROJIGHMLH-UHFFFAOYSA-N 0 0 428.418 -0.143 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC001212070027 1072955289 /nfs/dbraw/zinc/95/52/89/1072955289.db2.gz XBSJAEYGVQHNTF-UHFFFAOYSA-N 0 0 428.492 -0.180 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)s1 ZINC001212077209 1072956531 /nfs/dbraw/zinc/95/65/31/1072956531.db2.gz INUHBUSBDJZFQO-CHWSQXEVSA-N 0 0 437.565 -0.492 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)c1 ZINC001212080004 1072957152 /nfs/dbraw/zinc/95/71/52/1072957152.db2.gz KLXDKBJPMIDEGT-HZPDHXFCSA-N 0 0 431.536 -0.005 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)c1I ZINC001212080359 1072957053 /nfs/dbraw/zinc/95/70/53/1072957053.db2.gz XSJVBGIGPPQKAX-HTQZYQBOSA-N 0 0 426.236 -0.697 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)c1 ZINC001212110138 1073004848 /nfs/dbraw/zinc/00/48/48/1073004848.db2.gz GCHARHMAKKGOBU-UHFFFAOYSA-N 0 0 439.581 -0.316 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1C(=O)N(C)C ZINC001212110651 1073007070 /nfs/dbraw/zinc/00/70/70/1073007070.db2.gz LUQLFODSSHNQEX-GDBMZVCRSA-N 0 0 432.520 -0.026 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1C(=O)N(C)C ZINC001212110652 1073007230 /nfs/dbraw/zinc/00/72/30/1073007230.db2.gz LUQLFODSSHNQEX-GOEBONIOSA-N 0 0 432.520 -0.026 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1C(=O)N(C)C ZINC001212110653 1073007193 /nfs/dbraw/zinc/00/71/93/1073007193.db2.gz LUQLFODSSHNQEX-HOCLYGCPSA-N 0 0 432.520 -0.026 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1C(=O)N(C)C ZINC001212110654 1073007286 /nfs/dbraw/zinc/00/72/86/1073007286.db2.gz LUQLFODSSHNQEX-ZBFHGGJFSA-N 0 0 432.520 -0.026 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1)N1CCOCC1 ZINC001212113073 1073020254 /nfs/dbraw/zinc/02/02/54/1073020254.db2.gz AZRBCXKKJBNLCT-DLBZAZTESA-N 0 0 444.531 -0.264 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1)N1CCOCC1 ZINC001212113074 1073020151 /nfs/dbraw/zinc/02/01/51/1073020151.db2.gz AZRBCXKKJBNLCT-IAGOWNOFSA-N 0 0 444.531 -0.264 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1)N1CCOCC1 ZINC001212113076 1073020656 /nfs/dbraw/zinc/02/06/56/1073020656.db2.gz AZRBCXKKJBNLCT-IRXDYDNUSA-N 0 0 444.531 -0.264 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1)N1CCOCC1 ZINC001212113081 1073020581 /nfs/dbraw/zinc/02/05/81/1073020581.db2.gz AZRBCXKKJBNLCT-SJORKVTESA-N 0 0 444.531 -0.264 20 0 IBADRN COC(=O)[C@@](C)(CO)NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001212120928 1073190770 /nfs/dbraw/zinc/19/07/70/1073190770.db2.gz NXPFCIRXVSARBE-GFCCVEGCSA-N 0 0 434.414 -0.271 20 0 IBADRN COC(=O)[C@](C)(CO)NS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001212120929 1073190759 /nfs/dbraw/zinc/19/07/59/1073190759.db2.gz NXPFCIRXVSARBE-LBPRGKRZSA-N 0 0 434.414 -0.271 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N(CCO)CCOCCO)c1 ZINC001212121202 1073207218 /nfs/dbraw/zinc/20/72/18/1073207218.db2.gz YVOHCJFFBMMOGD-UHFFFAOYSA-N 0 0 446.341 -0.156 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N2CCN(CC(N)=O)C(=O)C2)cc1Br ZINC001212123253 1073350474 /nfs/dbraw/zinc/35/04/74/1073350474.db2.gz HDFHANIUGROHCN-UHFFFAOYSA-N 0 0 436.284 -0.216 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001212123480 1073351576 /nfs/dbraw/zinc/35/15/76/1073351576.db2.gz NWJXOLOKTDMJTH-UHFFFAOYSA-N 0 0 433.531 -0.808 20 0 IBADRN CCOC(=O)c1ccc(Br)c(S(=O)(=O)N2CCN(CC(N)=O)C(=O)C2)c1 ZINC001212123661 1073355401 /nfs/dbraw/zinc/35/54/01/1073355401.db2.gz SWLZWFRVALOASU-UHFFFAOYSA-N 0 0 448.295 -0.056 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCN(CC(N)=O)C(=O)C2)c1Br ZINC001212123839 1073356093 /nfs/dbraw/zinc/35/60/93/1073356093.db2.gz ZARUDTKFXYGPQJ-UHFFFAOYSA-N 0 0 434.268 -0.446 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N2CC(S(N)(=O)=O)C2)c1 ZINC001212127805 1073458097 /nfs/dbraw/zinc/45/80/97/1073458097.db2.gz AAFQSIVNRJWTFO-UHFFFAOYSA-N 0 0 433.327 -0.486 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCCCC2)cc1S(=O)(=O)N1CC(S(N)(=O)=O)C1 ZINC001212127863 1073459812 /nfs/dbraw/zinc/45/98/12/1073459812.db2.gz BXBTZYBDPSRYOT-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC2CN(C(=O)OCc3ccccc3)C2)CC1 ZINC001212132101 1073534431 /nfs/dbraw/zinc/53/44/31/1073534431.db2.gz PTCYNTYOLQGHFD-UHFFFAOYSA-N 0 0 432.524 -0.581 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C ZINC001212136836 1073573013 /nfs/dbraw/zinc/57/30/13/1073573013.db2.gz XHQWSLZNRKFKFY-GFCCVEGCSA-N 0 0 448.585 -0.962 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C ZINC001212136841 1073573167 /nfs/dbraw/zinc/57/31/67/1073573167.db2.gz XHQWSLZNRKFKFY-LBPRGKRZSA-N 0 0 448.585 -0.962 20 0 IBADRN C[C@H]1CN(CCO)CCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001212140414 1073584022 /nfs/dbraw/zinc/58/40/22/1073584022.db2.gz DZMBVGODIRJREG-HNNXBMFYSA-N 0 0 433.552 -0.605 20 0 IBADRN C[C@@H]1CN(CCO)CCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001212140415 1073584096 /nfs/dbraw/zinc/58/40/96/1073584096.db2.gz DZMBVGODIRJREG-OAHLLOKOSA-N 0 0 433.552 -0.605 20 0 IBADRN CNC(=O)NC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001212161681 1072206644 /nfs/dbraw/zinc/20/66/44/1072206644.db2.gz GWPMPOZHOTTZPU-UHFFFAOYSA-N 0 0 446.551 -0.210 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)C1 ZINC001212193777 1072392538 /nfs/dbraw/zinc/39/25/38/1072392538.db2.gz NQMAJPZFMFHUAS-CABCVRRESA-N 0 0 435.543 -0.011 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)C1 ZINC001212193778 1072392280 /nfs/dbraw/zinc/39/22/80/1072392280.db2.gz NQMAJPZFMFHUAS-GJZGRUSLSA-N 0 0 435.543 -0.011 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)C1 ZINC001212193779 1072392483 /nfs/dbraw/zinc/39/24/83/1072392483.db2.gz NQMAJPZFMFHUAS-HUUCEWRRSA-N 0 0 435.543 -0.011 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)C1 ZINC001212193780 1072392327 /nfs/dbraw/zinc/39/23/27/1072392327.db2.gz NQMAJPZFMFHUAS-LSDHHAIUSA-N 0 0 435.543 -0.011 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC001212193830 1072392364 /nfs/dbraw/zinc/39/23/64/1072392364.db2.gz PHHDVBCFMKOCHH-CYBMUJFWSA-N 0 0 431.536 -0.147 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC001212193831 1072392247 /nfs/dbraw/zinc/39/22/47/1072392247.db2.gz PHHDVBCFMKOCHH-ZDUSSCGKSA-N 0 0 431.536 -0.147 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001212231756 1072801280 /nfs/dbraw/zinc/80/12/80/1072801280.db2.gz BTEGJMIHAAAIKT-INIZCTEOSA-N 0 0 439.490 -0.214 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001212231762 1072801583 /nfs/dbraw/zinc/80/15/83/1072801583.db2.gz BTEGJMIHAAAIKT-MRXNPFEDSA-N 0 0 439.490 -0.214 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001212232552 1072803318 /nfs/dbraw/zinc/80/33/18/1072803318.db2.gz KXENPOHDKOVUQQ-HNNXBMFYSA-N 0 0 445.519 -0.331 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001212232553 1072803411 /nfs/dbraw/zinc/80/34/11/1072803411.db2.gz KXENPOHDKOVUQQ-OAHLLOKOSA-N 0 0 445.519 -0.331 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCC(O)(CNC(=O)OC(C)(C)C)CC1 ZINC001212232586 1072803426 /nfs/dbraw/zinc/80/34/26/1072803426.db2.gz LPVQPIAFMNNPEW-UHFFFAOYSA-N 0 0 429.561 -0.441 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC(O)(CNC(=O)OC(C)(C)C)CC1 ZINC001212233079 1072807481 /nfs/dbraw/zinc/80/74/81/1072807481.db2.gz RQBYSNLQUXWWAN-UHFFFAOYSA-N 0 0 443.588 -0.051 20 0 IBADRN CS(=O)(=O)CCCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001212245029 1072925818 /nfs/dbraw/zinc/92/58/18/1072925818.db2.gz STRHULILSZINJS-UHFFFAOYSA-N 0 0 440.565 -0.189 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N[C@@H]1CCC(=O)N(C)C1=O ZINC001212252771 1072962033 /nfs/dbraw/zinc/96/20/33/1072962033.db2.gz CEWBVBLRFSGIMO-MRVPVSSYSA-N 0 0 432.256 -0.074 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N[C@H]1CCC(=O)N(C)C1=O ZINC001212252772 1072962084 /nfs/dbraw/zinc/96/20/84/1072962084.db2.gz CEWBVBLRFSGIMO-QMMMGPOBSA-N 0 0 432.256 -0.074 20 0 IBADRN CN(CCCN1C(=O)c2ccccc2C1=O)S(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001212259453 1072965546 /nfs/dbraw/zinc/96/55/46/1072965546.db2.gz KGAQTSDHDPDHMO-UHFFFAOYSA-N 0 0 429.520 -0.174 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2Cc3ccccc3C[C@H]2C(=O)NC2CC2)C1 ZINC001212267269 1072986878 /nfs/dbraw/zinc/98/68/78/1072986878.db2.gz SUYRPSMNBCIVLY-KRWDZBQOSA-N 0 0 427.548 -0.087 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1)N1CCOCC1 ZINC001212299691 1073010653 /nfs/dbraw/zinc/01/06/53/1073010653.db2.gz QLSVNJAHHHZVTG-GOSISDBHSA-N 0 0 444.531 -0.263 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1)N1CCOCC1 ZINC001212299693 1073010624 /nfs/dbraw/zinc/01/06/24/1073010624.db2.gz QLSVNJAHHHZVTG-SFHVURJKSA-N 0 0 444.531 -0.263 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001212300381 1073010673 /nfs/dbraw/zinc/01/06/73/1073010673.db2.gz ZNGGHEHUPKHLBY-INIZCTEOSA-N 0 0 439.556 -0.327 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001212300383 1073010634 /nfs/dbraw/zinc/01/06/34/1073010634.db2.gz ZNGGHEHUPKHLBY-MRXNPFEDSA-N 0 0 439.556 -0.327 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1C(=O)N(C)C ZINC001212301988 1073010221 /nfs/dbraw/zinc/01/02/21/1073010221.db2.gz IGMDOGIUNKOHCE-KRWDZBQOSA-N 0 0 432.520 -0.025 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1C(=O)N(C)C ZINC001212301989 1073010266 /nfs/dbraw/zinc/01/02/66/1073010266.db2.gz IGMDOGIUNKOHCE-QGZVFWFLSA-N 0 0 432.520 -0.025 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001212302811 1073010615 /nfs/dbraw/zinc/01/06/15/1073010615.db2.gz YSYMHFQEODBCLS-KRWDZBQOSA-N 0 0 432.520 -0.359 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001212302812 1073010821 /nfs/dbraw/zinc/01/08/21/1073010821.db2.gz YSYMHFQEODBCLS-QGZVFWFLSA-N 0 0 432.520 -0.359 20 0 IBADRN CN1CC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001212309747 1073011154 /nfs/dbraw/zinc/01/11/54/1073011154.db2.gz WYLCVZORHMUCNS-AWEZNQCLSA-N 0 0 431.536 -0.146 20 0 IBADRN CN1CC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001212309748 1073011075 /nfs/dbraw/zinc/01/10/75/1073011075.db2.gz WYLCVZORHMUCNS-CQSZACIVSA-N 0 0 431.536 -0.146 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N[C@]2(C(N)=O)CCOC2)c1 ZINC001212317400 1073012614 /nfs/dbraw/zinc/01/26/14/1073012614.db2.gz WAIGGQDTXRBTRS-GFCCVEGCSA-N 0 0 427.298 -0.225 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N[C@@]2(C(N)=O)CCOC2)c1 ZINC001212317401 1073012530 /nfs/dbraw/zinc/01/25/30/1073012530.db2.gz WAIGGQDTXRBTRS-LBPRGKRZSA-N 0 0 427.298 -0.225 20 0 IBADRN Cn1cnnc1N1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001212335986 1073014626 /nfs/dbraw/zinc/01/46/26/1073014626.db2.gz KKVCZHIEAZLZIK-UHFFFAOYSA-N 0 0 437.267 -0.336 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC2CCN(CC(=O)N(CC)CC)CC2)CC1 ZINC001212343975 1073017834 /nfs/dbraw/zinc/01/78/34/1073017834.db2.gz MJXKDXYUVGCKKM-UHFFFAOYSA-N 0 0 433.575 -0.072 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC001212362908 1073107208 /nfs/dbraw/zinc/10/72/08/1073107208.db2.gz KGMURBNGFHZFBV-OLZOCXBDSA-N 0 0 442.556 -0.149 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC001212362909 1073107272 /nfs/dbraw/zinc/10/72/72/1073107272.db2.gz KGMURBNGFHZFBV-STQMWFEESA-N 0 0 442.556 -0.149 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC001212365019 1073107282 /nfs/dbraw/zinc/10/72/82/1073107282.db2.gz DJKYQJIQZSTCBN-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NS(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)C1 ZINC001212365020 1073107230 /nfs/dbraw/zinc/10/72/30/1073107230.db2.gz DJKYQJIQZSTCBN-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)s1 ZINC001212365254 1073107237 /nfs/dbraw/zinc/10/72/37/1073107237.db2.gz NQUPSMBSPFKQJS-LLVKDONJSA-N 0 0 445.610 -0.458 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@H]2CCN(S(C)(=O)=O)C2)s1 ZINC001212365255 1073107180 /nfs/dbraw/zinc/10/71/80/1073107180.db2.gz NQUPSMBSPFKQJS-NSHDSACASA-N 0 0 445.610 -0.458 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC001212365260 1073107147 /nfs/dbraw/zinc/10/71/47/1073107147.db2.gz NXYXZXGSQXMGRP-CYBMUJFWSA-N 0 0 437.565 -0.217 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC001212365261 1073107265 /nfs/dbraw/zinc/10/72/65/1073107265.db2.gz NXYXZXGSQXMGRP-ZDUSSCGKSA-N 0 0 437.565 -0.217 20 0 IBADRN OC[C@H]1O[C@H](Oc2ccc(Nc3cccnc3N3CCOCC3)cc2)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001212366184 1073107804 /nfs/dbraw/zinc/10/78/04/1073107804.db2.gz FQSQEVUYNJSPHP-AGRFSFNASA-N 0 0 433.461 -0.160 20 0 IBADRN OC[C@H]1O[C@@H](Oc2ccc(Nc3cccnc3N3CCOCC3)cc2)[C@H](O)[C@@H](O)[C@H]1O ZINC001212366185 1073107859 /nfs/dbraw/zinc/10/78/59/1073107859.db2.gz FQSQEVUYNJSPHP-WVXKDWSHSA-N 0 0 433.461 -0.160 20 0 IBADRN Cn1nccc1[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC001212373965 1073110582 /nfs/dbraw/zinc/11/05/82/1073110582.db2.gz KHIGUJACBZNTKS-CYBMUJFWSA-N 0 0 437.544 -0.786 20 0 IBADRN Cn1nccc1[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC001212373966 1073110652 /nfs/dbraw/zinc/11/06/52/1073110652.db2.gz KHIGUJACBZNTKS-ZDUSSCGKSA-N 0 0 437.544 -0.786 20 0 IBADRN O=C1N[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CC[C@H]2CO1 ZINC001212392780 1073123231 /nfs/dbraw/zinc/12/32/31/1073123231.db2.gz QWHVNLAYWGXTNT-XJKSGUPXSA-N 0 0 445.519 -0.174 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1CCN(Cc2nc[nH]n2)CC1 ZINC001212397473 1073125440 /nfs/dbraw/zinc/12/54/40/1073125440.db2.gz PBLRSWZCWCRQTN-UHFFFAOYSA-N 0 0 448.505 -0.435 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001212411303 1073127842 /nfs/dbraw/zinc/12/78/42/1073127842.db2.gz VBDFUKQRBNYQJQ-UHFFFAOYSA-N 0 0 446.595 -0.309 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)CC1 ZINC001212411323 1073127790 /nfs/dbraw/zinc/12/77/90/1073127790.db2.gz VQGVXPYORCVLEP-UHFFFAOYSA-N 0 0 431.536 -0.078 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)N=[S@@](C)(=O)c2ccccc2F)CC1 ZINC001212417762 1073128456 /nfs/dbraw/zinc/12/84/56/1073128456.db2.gz GFJOREIYWVZOGO-DEOSSOPVSA-N 0 0 428.533 -0.049 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)N=[S@](C)(=O)c2ccccc2F)CC1 ZINC001212417763 1073128503 /nfs/dbraw/zinc/12/85/03/1073128503.db2.gz GFJOREIYWVZOGO-XMMPIXPASA-N 0 0 428.533 -0.049 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@H](Oc2ccnc(C(=O)NC)c2)C1 ZINC001212447571 1073162351 /nfs/dbraw/zinc/16/23/51/1073162351.db2.gz CCMWDEJVLGQMQV-AWEZNQCLSA-N 0 0 434.540 -0.447 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@@H](Oc2ccnc(C(=O)NC)c2)C1 ZINC001212447572 1073162371 /nfs/dbraw/zinc/16/23/71/1073162371.db2.gz CCMWDEJVLGQMQV-CQSZACIVSA-N 0 0 434.540 -0.447 20 0 IBADRN NC(=O)N1CC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001212448117 1073162693 /nfs/dbraw/zinc/16/26/93/1073162693.db2.gz YFKJRXLDMWECPI-CYBMUJFWSA-N 0 0 432.524 -0.614 20 0 IBADRN NC(=O)N1CC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001212448118 1073162701 /nfs/dbraw/zinc/16/27/01/1073162701.db2.gz YFKJRXLDMWECPI-ZDUSSCGKSA-N 0 0 432.524 -0.614 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N(CCN2CCOCC2)[C@H]2CCSC2)C1 ZINC001212450020 1073168109 /nfs/dbraw/zinc/16/81/09/1073168109.db2.gz HDPFSWQJUMGBKR-HNNXBMFYSA-N 0 0 427.614 -0.653 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N(CCN2CCOCC2)[C@@H]2CCSC2)C1 ZINC001212450021 1073168083 /nfs/dbraw/zinc/16/80/83/1073168083.db2.gz HDPFSWQJUMGBKR-OAHLLOKOSA-N 0 0 427.614 -0.653 20 0 IBADRN CNC(=O)c1cc(O[C@H]2CCCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)C2)ccn1 ZINC001212450133 1073168030 /nfs/dbraw/zinc/16/80/30/1073168030.db2.gz JTJLDRDLZIRUPM-HNNXBMFYSA-N 0 0 446.551 -0.494 20 0 IBADRN CNC(=O)c1cc(O[C@@H]2CCCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)C2)ccn1 ZINC001212450134 1073168448 /nfs/dbraw/zinc/16/84/48/1073168448.db2.gz JTJLDRDLZIRUPM-OAHLLOKOSA-N 0 0 446.551 -0.494 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001212459695 1073173486 /nfs/dbraw/zinc/17/34/86/1073173486.db2.gz JNLIAQDRSANIDH-NXEZZACHSA-N 0 0 445.288 -0.223 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001212459696 1073173438 /nfs/dbraw/zinc/17/34/38/1073173438.db2.gz JNLIAQDRSANIDH-UWVGGRQHSA-N 0 0 445.288 -0.223 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001212459697 1073173517 /nfs/dbraw/zinc/17/35/17/1073173517.db2.gz JNLIAQDRSANIDH-VHSXEESVSA-N 0 0 445.288 -0.223 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001212459698 1073173376 /nfs/dbraw/zinc/17/33/76/1073173376.db2.gz JNLIAQDRSANIDH-ZJUUUORDSA-N 0 0 445.288 -0.223 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)cc1 ZINC001212461476 1073174647 /nfs/dbraw/zinc/17/46/47/1073174647.db2.gz YECMUCGJCAVPFD-KBPBESRZSA-N 0 0 426.538 -0.535 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)cc1 ZINC001212461477 1073174534 /nfs/dbraw/zinc/17/45/34/1073174534.db2.gz YECMUCGJCAVPFD-KGLIPLIRSA-N 0 0 426.538 -0.535 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)cc1 ZINC001212461478 1073174541 /nfs/dbraw/zinc/17/45/41/1073174541.db2.gz YECMUCGJCAVPFD-UONOGXRCSA-N 0 0 426.538 -0.535 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)cc1 ZINC001212461479 1073174545 /nfs/dbraw/zinc/17/45/45/1073174545.db2.gz YECMUCGJCAVPFD-ZIAGYGMSSA-N 0 0 426.538 -0.535 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001212461543 1073174562 /nfs/dbraw/zinc/17/45/62/1073174562.db2.gz ZSUPGDBBBZZODK-FRRDWIJNSA-N 0 0 428.529 -0.646 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001212461544 1073174488 /nfs/dbraw/zinc/17/44/88/1073174488.db2.gz ZSUPGDBBBZZODK-JHJVBQTASA-N 0 0 428.529 -0.646 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001212461545 1073174945 /nfs/dbraw/zinc/17/49/45/1073174945.db2.gz ZSUPGDBBBZZODK-RWMBFGLXSA-N 0 0 428.529 -0.646 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001212461546 1073174856 /nfs/dbraw/zinc/17/48/56/1073174856.db2.gz ZSUPGDBBBZZODK-YNEHKIRRSA-N 0 0 428.529 -0.646 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(=O)N(C)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001212467595 1073180935 /nfs/dbraw/zinc/18/09/35/1073180935.db2.gz GFVVKKMMGJPPPP-UHFFFAOYSA-N 0 0 431.536 -0.131 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCCNC(=O)c2ccc(Br)cn2)cn1 ZINC001212477614 1073186863 /nfs/dbraw/zinc/18/68/63/1073186863.db2.gz HSXURDKZRLYVRZ-UHFFFAOYSA-N 0 0 446.283 -0.078 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@H]1CC(=O)N(C(C)(C)C)[C@@H]1c1cnn(C)c1 ZINC001212490187 1073191414 /nfs/dbraw/zinc/19/14/14/1073191414.db2.gz MJAZFTFKLVGCBJ-CJNGLKHVSA-N 0 0 449.599 -0.081 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(CO)(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001212492416 1073193155 /nfs/dbraw/zinc/19/31/55/1073193155.db2.gz KXCCQNUSAVJTJG-UHFFFAOYSA-N 0 0 434.536 -0.619 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCN1S(C)(=O)=O ZINC001212501758 1073196382 /nfs/dbraw/zinc/19/63/82/1073196382.db2.gz APHXOASKVUQFRW-CYBMUJFWSA-N 0 0 426.561 -0.250 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H](O)[C@@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC001212502042 1073196924 /nfs/dbraw/zinc/19/69/24/1073196924.db2.gz JNBZLOXBGWOUJQ-CVEARBPZSA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H](O)[C@@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC001212502043 1073196855 /nfs/dbraw/zinc/19/68/55/1073196855.db2.gz JNBZLOXBGWOUJQ-HOTGVXAUSA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H](O)[C@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC001212502044 1073196967 /nfs/dbraw/zinc/19/69/67/1073196967.db2.gz JNBZLOXBGWOUJQ-HZPDHXFCSA-N 0 0 434.536 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H](O)[C@H]2CCCO2)cc1S(=O)(=O)N1CCOCC1 ZINC001212502045 1073196958 /nfs/dbraw/zinc/19/69/58/1073196958.db2.gz JNBZLOXBGWOUJQ-JKSUJKDBSA-N 0 0 434.536 -0.166 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CCN1S(C)(=O)=O ZINC001212502591 1073196838 /nfs/dbraw/zinc/19/68/38/1073196838.db2.gz VFZFYALGMXNEFZ-GFCCVEGCSA-N 0 0 425.554 -0.409 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CCN1S(C)(=O)=O ZINC001212504949 1073197927 /nfs/dbraw/zinc/19/79/27/1073197927.db2.gz PMKAHWLZVZBFOI-SNVBAGLBSA-N 0 0 425.916 -0.142 20 0 IBADRN Cc1nc(Br)ccc1Nc1c(C(N)=O)ncn1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001212562341 1073279349 /nfs/dbraw/zinc/27/93/49/1073279349.db2.gz OPMNXXTXRUCBHT-PMXXHBEXSA-N 0 0 428.243 -0.197 20 0 IBADRN COC(=O)COc1ccc(Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001212663580 1074127385 /nfs/dbraw/zinc/12/73/85/1074127385.db2.gz OIAGJSDNVJGXHY-NSYSGPAISA-N 0 0 436.417 -0.444 20 0 IBADRN COC(=O)COc1ccc(Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001212663581 1074127394 /nfs/dbraw/zinc/12/73/94/1074127394.db2.gz OIAGJSDNVJGXHY-RBUQIHAASA-N 0 0 436.417 -0.444 20 0 IBADRN OC[C@H]1O[C@@H](n2ccc3c2ncnc3Nc2ccc(CN3CC(O)C3)cc2)[C@H](O)[C@@H]1O ZINC001212667493 1074127583 /nfs/dbraw/zinc/12/75/83/1074127583.db2.gz VQJQTBMRLZPXPQ-NEYJZJCJSA-N 0 0 427.461 -0.037 20 0 IBADRN CC(=O)N1CCN(c2ccc(-n3c(N)c4ncn(COCCO)c4nc3=N)cc2)CC1 ZINC001212681262 1074128744 /nfs/dbraw/zinc/12/87/44/1074128744.db2.gz WQULBZRSIQSIGN-UHFFFAOYSA-N 0 0 426.481 -0.081 20 0 IBADRN CCN(CC)C(=O)c1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cn1 ZINC001212820711 1074478653 /nfs/dbraw/zinc/47/86/53/1074478653.db2.gz QAOFAFSKZSKGSR-QEPJRFBGSA-N 0 0 434.453 -0.786 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2ccc(=O)n(CC)c2)[C@H](O)[C@@H]1O ZINC001212910579 1072598907 /nfs/dbraw/zinc/59/89/07/1072598907.db2.gz AUSBXCVJUWELEI-QCUYGVNKSA-N 0 0 429.437 -0.493 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2ccc(CCO)cc2)[C@H](O)[C@@H]1O ZINC001212927985 1072603533 /nfs/dbraw/zinc/60/35/33/1072603533.db2.gz JDXNHUVXXOASRO-KSVNGYGVSA-N 0 0 428.449 -0.140 20 0 IBADRN CC(C)(C)OC(=O)N1C=C(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CCC1 ZINC001213051650 1073307103 /nfs/dbraw/zinc/30/71/03/1073307103.db2.gz GXYSCVCYLSWQKZ-LSCFUAHRSA-N 0 0 439.469 -0.122 20 0 IBADRN COC(=O)N(C)CCNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001213133085 1073337170 /nfs/dbraw/zinc/33/71/70/1073337170.db2.gz HAFBLVYPBZVFOZ-UHFFFAOYSA-N 0 0 435.524 -0.008 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1F ZINC001213140856 1073337068 /nfs/dbraw/zinc/33/70/68/1073337068.db2.gz RENQCPRQGBEOMU-UHFFFAOYSA-N 0 0 441.528 -0.004 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(S(=O)(=O)c3ccc(F)c(S(C)(=O)=O)c3)C[C@H]21 ZINC001213142588 1073336921 /nfs/dbraw/zinc/33/69/21/1073336921.db2.gz BGUHRWRWSGQRHS-SUHUHFCYSA-N 0 0 427.497 -0.338 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)c(=O)[nH]c1=O ZINC001213142707 1073337155 /nfs/dbraw/zinc/33/71/55/1073337155.db2.gz HXZONEVMBHUONP-MJBXVCDLSA-N 0 0 449.551 -0.038 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCO[C@@H](CNC(=O)NC)C3)ccc2O1 ZINC001213142770 1073337104 /nfs/dbraw/zinc/33/71/04/1073337104.db2.gz LFUMJLSUWPVUFK-BBRMVZONSA-N 0 0 427.479 -0.128 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCO[C@H](CNC(=O)NC)C3)ccc2O1 ZINC001213142771 1073336976 /nfs/dbraw/zinc/33/69/76/1073336976.db2.gz LFUMJLSUWPVUFK-CJNGLKHVSA-N 0 0 427.479 -0.128 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCO[C@H](CNC(=O)NC)C3)ccc2O1 ZINC001213142772 1073337097 /nfs/dbraw/zinc/33/70/97/1073337097.db2.gz LFUMJLSUWPVUFK-CZUORRHYSA-N 0 0 427.479 -0.128 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCO[C@@H](CNC(=O)NC)C3)ccc2O1 ZINC001213142773 1073337046 /nfs/dbraw/zinc/33/70/46/1073337046.db2.gz LFUMJLSUWPVUFK-XJKSGUPXSA-N 0 0 427.479 -0.128 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)CCO1 ZINC001213142790 1073337059 /nfs/dbraw/zinc/33/70/59/1073337059.db2.gz MAERVCQOPTXZLS-LLVKDONJSA-N 0 0 431.467 -0.191 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)c2ccc(OC)c(C(=O)OC)c2OC)CCO1 ZINC001213142791 1073337027 /nfs/dbraw/zinc/33/70/27/1073337027.db2.gz MAERVCQOPTXZLS-NSHDSACASA-N 0 0 431.467 -0.191 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CCO1 ZINC001213142960 1073336934 /nfs/dbraw/zinc/33/69/34/1073336934.db2.gz UGZKVNZPKZKLRB-AWEZNQCLSA-N 0 0 446.551 -0.210 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)CCO1 ZINC001213142961 1073337487 /nfs/dbraw/zinc/33/74/87/1073337487.db2.gz UGZKVNZPKZKLRB-CQSZACIVSA-N 0 0 446.551 -0.210 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCCc2cccc3c2OC(F)(F)O3)CC1 ZINC001213157725 1071059245 /nfs/dbraw/zinc/05/92/45/1071059245.db2.gz OTXLYVQRGHBZDD-UHFFFAOYSA-N 0 0 427.451 -0.038 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)c3c[nH]c(C(=O)N4CCCC4)c3)CC2)CCOC1 ZINC001213169116 1073337386 /nfs/dbraw/zinc/33/73/86/1073337386.db2.gz AYSOLRDXIOBFPY-IBGZPJMESA-N 0 0 440.522 -0.111 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)c3c[nH]c(C(=O)N4CCCC4)c3)CC2)CCOC1 ZINC001213169117 1073337414 /nfs/dbraw/zinc/33/74/14/1073337414.db2.gz AYSOLRDXIOBFPY-LJQANCHMSA-N 0 0 440.522 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(S(=O)(=O)NC2CCN(S(N)(=O)=O)CC2)CC1 ZINC001213169123 1073337469 /nfs/dbraw/zinc/33/74/69/1073337469.db2.gz BEKHQUKQWVUAHI-UHFFFAOYSA-N 0 0 426.561 -0.027 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)c3ccc(CS(C)(=O)=O)cc3)CC2)CCOC1 ZINC001213169589 1073337522 /nfs/dbraw/zinc/33/75/22/1073337522.db2.gz LNLHPKWENANCKV-GOSISDBHSA-N 0 0 446.547 -0.130 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)c3ccc(CS(C)(=O)=O)cc3)CC2)CCOC1 ZINC001213169594 1073337546 /nfs/dbraw/zinc/33/75/46/1073337546.db2.gz LNLHPKWENANCKV-SFHVURJKSA-N 0 0 446.547 -0.130 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)N3CCC[C@H]3C(=O)OC(C)(C)C)CC2)CCOC1 ZINC001213169789 1073337460 /nfs/dbraw/zinc/33/74/60/1073337460.db2.gz OLHPBNRRXJJWAA-HNAYVOBHSA-N 0 0 447.554 -0.013 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)N3CCC[C@H]3C(=O)OC(C)(C)C)CC2)CCOC1 ZINC001213169798 1073337399 /nfs/dbraw/zinc/33/73/99/1073337399.db2.gz OLHPBNRRXJJWAA-KXBFYZLASA-N 0 0 447.554 -0.013 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCOC1 ZINC001213169874 1071168523 /nfs/dbraw/zinc/16/85/23/1071168523.db2.gz QGQWRKPPAPNGBN-GOSISDBHSA-N 0 0 425.463 -0.344 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCOC1 ZINC001213169875 1073337407 /nfs/dbraw/zinc/33/74/07/1073337407.db2.gz QGQWRKPPAPNGBN-SFHVURJKSA-N 0 0 425.463 -0.344 20 0 IBADRN NS(=O)(=O)N1CCC(NS(=O)(=O)c2ccc3c(c2)N(C(=O)C2CC2)CC3)CC1 ZINC001213170208 1073337495 /nfs/dbraw/zinc/33/74/95/1073337495.db2.gz VWBTYNAYMFGBSR-UHFFFAOYSA-N 0 0 428.536 -0.068 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCO[C@H](c2ccc(S(C)(=O)=O)cc2)C1 ZINC001213219704 1073337372 /nfs/dbraw/zinc/33/73/72/1073337372.db2.gz FVYYCZKBHSNMGK-HNNXBMFYSA-N 0 0 440.565 -0.315 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCO[C@@H](c2ccc(S(C)(=O)=O)cc2)C1 ZINC001213219705 1073337510 /nfs/dbraw/zinc/33/75/10/1073337510.db2.gz FVYYCZKBHSNMGK-OAHLLOKOSA-N 0 0 440.565 -0.315 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cnn(-c2ccccc2)c1 ZINC001213255151 1073337421 /nfs/dbraw/zinc/33/74/21/1073337421.db2.gz HDFWEGCRRHTFNW-ZFWWWQNUSA-N 0 0 433.446 -0.271 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001213255224 1073337365 /nfs/dbraw/zinc/33/73/65/1073337365.db2.gz JGVZPOYICVENOC-JQWIXIFHSA-N 0 0 425.419 -0.686 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)ccc1C ZINC001213255348 1073337557 /nfs/dbraw/zinc/33/75/57/1073337557.db2.gz MSWILFNHANKIJE-FZMZJTMJSA-N 0 0 439.446 -0.362 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)OC1CN(C(=O)OC(C)(C)C)C1 ZINC001213255400 1073337358 /nfs/dbraw/zinc/33/73/58/1073337358.db2.gz OCPWIJQAVPMQFT-JQWIXIFHSA-N 0 0 426.426 -0.090 20 0 IBADRN CCOC(=O)c1ccccc1S(=O)(=O)N1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)OC ZINC001213257412 1073337431 /nfs/dbraw/zinc/33/74/31/1073337431.db2.gz FWURYHWWERVHCY-AAEUAGOBSA-N 0 0 439.446 -0.280 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)CC1(C(=O)OC)CCCCC1 ZINC001213257434 1073337477 /nfs/dbraw/zinc/33/74/77/1073337477.db2.gz GRUVSVFCYDMTGF-STQMWFEESA-N 0 0 445.494 -0.393 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cc(OC)cc(OC)c1 ZINC001213257522 1073337533 /nfs/dbraw/zinc/33/75/33/1073337533.db2.gz LLPXAARLDOCSPM-HZMBPMFUSA-N 0 0 427.435 -0.440 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)[C@H]1CCCC[C@@H]1C(=O)OC ZINC001213257681 1073337816 /nfs/dbraw/zinc/33/78/16/1073337816.db2.gz TWDLGGBFVLDCPK-CYDGBPFRSA-N 0 0 431.467 -0.784 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)[C@@H]1CCCC[C@H]1C(=O)OC ZINC001213257682 1073337811 /nfs/dbraw/zinc/33/78/11/1073337811.db2.gz TWDLGGBFVLDCPK-QNWHQSFQSA-N 0 0 431.467 -0.784 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)[C@H]1CCCC[C@H]1C(=O)OC ZINC001213257683 1073337823 /nfs/dbraw/zinc/33/78/23/1073337823.db2.gz TWDLGGBFVLDCPK-RNJOBUHISA-N 0 0 431.467 -0.784 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)[C@@H]1CCCC[C@@H]1C(=O)OC ZINC001213257684 1073337841 /nfs/dbraw/zinc/33/78/41/1073337841.db2.gz TWDLGGBFVLDCPK-ZDEQEGDKSA-N 0 0 431.467 -0.784 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)C1 ZINC001213262438 1073337978 /nfs/dbraw/zinc/33/79/78/1073337978.db2.gz XJPBQRFIHHGBMF-KBPBESRZSA-N 0 0 441.572 -0.034 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)C1 ZINC001213262439 1073337832 /nfs/dbraw/zinc/33/78/32/1073337832.db2.gz XJPBQRFIHHGBMF-KGLIPLIRSA-N 0 0 441.572 -0.034 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)C1 ZINC001213262440 1073337871 /nfs/dbraw/zinc/33/78/71/1073337871.db2.gz XJPBQRFIHHGBMF-UONOGXRCSA-N 0 0 441.572 -0.034 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)C1 ZINC001213262441 1073337942 /nfs/dbraw/zinc/33/79/42/1073337942.db2.gz XJPBQRFIHHGBMF-ZIAGYGMSSA-N 0 0 441.572 -0.034 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC(C(=O)NCCO)CC1)C(=O)NCCC2 ZINC001213263509 1073337896 /nfs/dbraw/zinc/33/78/96/1073337896.db2.gz AGRAUSGKPITIQE-UHFFFAOYSA-N 0 0 425.507 -0.120 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)cc1C(=O)OC ZINC001213263514 1073337909 /nfs/dbraw/zinc/33/79/09/1073337909.db2.gz APKXDCXFYQRMSS-UHFFFAOYSA-N 0 0 428.463 -0.231 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@@H]2CCCC[C@H]2NS(=O)(=O)C2CC2)CC1 ZINC001213265961 1073337798 /nfs/dbraw/zinc/33/77/98/1073337798.db2.gz IRBZGEUNOBCXKD-HUUCEWRRSA-N 0 0 438.572 -0.012 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)NC2CN(S(=O)(=O)N(C)C)C2)c1 ZINC001213283598 1073337919 /nfs/dbraw/zinc/33/79/19/1073337919.db2.gz KPSRXTGGYBZGIU-UHFFFAOYSA-N 0 0 435.524 -0.221 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@@H](CS(=O)(=O)NC)C2)cn1C ZINC001213283650 1073337780 /nfs/dbraw/zinc/33/77/80/1073337780.db2.gz MCSQBGLMUAVFNQ-GFCCVEGCSA-N 0 0 435.524 -0.279 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC[C@H](CS(=O)(=O)NC)C2)cn1C ZINC001213283651 1073337790 /nfs/dbraw/zinc/33/77/90/1073337790.db2.gz MCSQBGLMUAVFNQ-LBPRGKRZSA-N 0 0 435.524 -0.279 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)NC2CN(S(=O)(=O)N(C)C)C2)s1 ZINC001213283798 1073337956 /nfs/dbraw/zinc/33/79/56/1073337956.db2.gz RBVHUBOEDANVOS-UHFFFAOYSA-N 0 0 426.542 -0.585 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001213289215 1073337850 /nfs/dbraw/zinc/33/78/50/1073337850.db2.gz KWYBDZJPLZUTMN-CYBMUJFWSA-N 0 0 426.488 -0.210 20 0 IBADRN COCCOc1ccc(F)cc1S(=O)(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001213289216 1073337967 /nfs/dbraw/zinc/33/79/67/1073337967.db2.gz KWYBDZJPLZUTMN-ZDUSSCGKSA-N 0 0 426.488 -0.210 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cc21 ZINC001213289236 1073337882 /nfs/dbraw/zinc/33/78/82/1073337882.db2.gz LLBSYZPGAWRSAY-HNNXBMFYSA-N 0 0 431.536 -0.076 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cc21 ZINC001213289237 1073337933 /nfs/dbraw/zinc/33/79/33/1073337933.db2.gz LLBSYZPGAWRSAY-OAHLLOKOSA-N 0 0 431.536 -0.076 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)c1ccccc1 ZINC001213289314 1073337858 /nfs/dbraw/zinc/33/78/58/1073337858.db2.gz PJULWNDPWUBPKR-NRRJATNASA-N 0 0 440.569 -0.515 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)N1CCOC[C@H]1CNS(C)(=O)=O)c1ccccc1 ZINC001213289315 1073338383 /nfs/dbraw/zinc/33/83/83/1073338383.db2.gz PJULWNDPWUBPKR-SRGMZFCMSA-N 0 0 440.569 -0.515 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)c1ccccc1 ZINC001213289316 1073338428 /nfs/dbraw/zinc/33/84/28/1073338428.db2.gz PJULWNDPWUBPKR-WHDAMIPWSA-N 0 0 440.569 -0.515 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)N1CCOC[C@H]1CNS(C)(=O)=O)c1ccccc1 ZINC001213289317 1073338315 /nfs/dbraw/zinc/33/83/15/1073338315.db2.gz PJULWNDPWUBPKR-ZBSNKRKSSA-N 0 0 440.569 -0.515 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001213289427 1073338293 /nfs/dbraw/zinc/33/82/93/1073338293.db2.gz TUJLWBWINFATOB-CYBMUJFWSA-N 0 0 436.508 -0.189 20 0 IBADRN CCOC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001213289428 1073338332 /nfs/dbraw/zinc/33/83/32/1073338332.db2.gz TUJLWBWINFATOB-ZDUSSCGKSA-N 0 0 436.508 -0.189 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCO[C@H](CC(N)=O)C2)s1 ZINC001213289578 1073338282 /nfs/dbraw/zinc/33/82/82/1073338282.db2.gz YZMBZKZKRVOSNC-LLVKDONJSA-N 0 0 425.554 -0.505 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCO[C@@H](CC(N)=O)C2)s1 ZINC001213289579 1073338422 /nfs/dbraw/zinc/33/84/22/1073338422.db2.gz YZMBZKZKRVOSNC-NSHDSACASA-N 0 0 425.554 -0.505 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC23COCCN2CCOC3)c(OC)c1 ZINC001213293246 1073338337 /nfs/dbraw/zinc/33/83/37/1073338337.db2.gz LAAKJEVXRAKGCH-UHFFFAOYSA-N 0 0 449.551 -0.164 20 0 IBADRN O=S(=O)(NCC(F)(F)F)c1ccc(S(=O)(=O)NC2CC(CO)(CO)C2)cc1 ZINC001213293281 1073338364 /nfs/dbraw/zinc/33/83/64/1073338364.db2.gz MEZROKPAJCAPDK-UHFFFAOYSA-N 0 0 432.442 -0.061 20 0 IBADRN NC(=O)c1cc(Br)cc(S(=O)(=O)NCC23COCCN2CCOC3)c1 ZINC001213293284 1073338325 /nfs/dbraw/zinc/33/83/25/1073338325.db2.gz MIIWEDLILOSJEB-UHFFFAOYSA-N 0 0 434.312 -0.073 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)c1=O ZINC001213293333 1073338401 /nfs/dbraw/zinc/33/84/01/1073338401.db2.gz NNTRSHAYKMGYQB-UHFFFAOYSA-N 0 0 436.490 -0.427 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2ccccc2S(=O)(=O)C(F)F)c1=O ZINC001213293349 1073338285 /nfs/dbraw/zinc/33/82/85/1073338285.db2.gz NSUXUDGYVYCJLM-UHFFFAOYSA-N 0 0 437.446 -0.088 20 0 IBADRN Cn1ncc(S(=O)(=O)NCC23COCCN2CCOC3)c1I ZINC001213293350 1073338262 /nfs/dbraw/zinc/33/82/62/1073338262.db2.gz NSYXDRVLZGXSSY-UHFFFAOYSA-N 0 0 442.279 -0.596 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)NCCCn2c(=O)ccn(C)c2=O)c1 ZINC001213293909 1073338410 /nfs/dbraw/zinc/33/84/10/1073338410.db2.gz WLKPSVDUWNKCKK-UHFFFAOYSA-N 0 0 429.520 -0.064 20 0 IBADRN O=S(=O)(NCC12COCCN1CCOC2)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001213293921 1073338319 /nfs/dbraw/zinc/33/83/19/1073338319.db2.gz XGRAZGJRVQVXMV-UHFFFAOYSA-N 0 0 445.563 -0.149 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)N2CCC(C(=O)OC(C)(C)C)CC2)c1=O ZINC001213294027 1073338297 /nfs/dbraw/zinc/33/82/97/1073338297.db2.gz YZCIFCXUVWNFSM-UHFFFAOYSA-N 0 0 430.527 -0.175 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(C)CC(=O)Nc1ccc2c(c1)OCCO2 ZINC001213299729 1073338353 /nfs/dbraw/zinc/33/83/53/1073338353.db2.gz XAASVSVGEXHMQU-UHFFFAOYSA-N 0 0 435.524 -0.061 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NCC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC001213303888 1073338306 /nfs/dbraw/zinc/33/83/06/1073338306.db2.gz FBUVLWZRDGNVJE-GFCCVEGCSA-N 0 0 433.508 -0.403 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NCC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC001213303889 1073338385 /nfs/dbraw/zinc/33/83/85/1073338385.db2.gz FBUVLWZRDGNVJE-LBPRGKRZSA-N 0 0 433.508 -0.403 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC1(CNC(=O)OC(C)(C)C)CCOCC1 ZINC001213313320 1073338272 /nfs/dbraw/zinc/33/82/72/1073338272.db2.gz RAVQNMAQQIDVNT-UHFFFAOYSA-N 0 0 429.561 -0.129 20 0 IBADRN COC(=O)COCCNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001213321366 1073338733 /nfs/dbraw/zinc/33/87/33/1073338733.db2.gz KDSIKAWRNFHSLZ-UHFFFAOYSA-N 0 0 436.508 -0.516 20 0 IBADRN CNS(=O)(=O)CCCNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC001213327824 1073338674 /nfs/dbraw/zinc/33/86/74/1073338674.db2.gz KTLMKZYHPLOFNQ-UHFFFAOYSA-N 0 0 442.313 -0.002 20 0 IBADRN COC(=O)c1cc(Cl)cc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c1 ZINC001213331845 1073338648 /nfs/dbraw/zinc/33/86/48/1073338648.db2.gz NPKCIZUBLYZOGT-XNIJJKJLSA-N 0 0 426.813 -0.223 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001213338774 1073338753 /nfs/dbraw/zinc/33/87/53/1073338753.db2.gz BDSQSOUHBLQDTC-UHFFFAOYSA-N 0 0 446.551 -0.656 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001213338832 1073338720 /nfs/dbraw/zinc/33/87/20/1073338720.db2.gz DVMSBTLOHFUZAU-UHFFFAOYSA-N 0 0 447.558 -0.546 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CC1 ZINC001213338941 1073338780 /nfs/dbraw/zinc/33/87/80/1073338780.db2.gz KJHFUBBJVXNVAX-UHFFFAOYSA-N 0 0 446.913 -0.437 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)N2CCC(C(=O)OC(C)(C)C)CC2)CC1 ZINC001213339030 1073338742 /nfs/dbraw/zinc/33/87/42/1073338742.db2.gz NTYZLXRCHLIIDA-UHFFFAOYSA-N 0 0 446.570 -0.045 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)CC1 ZINC001213339114 1073338711 /nfs/dbraw/zinc/33/87/11/1073338711.db2.gz SHBKXNUTYPSACG-UHFFFAOYSA-N 0 0 437.462 -0.689 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1 ZINC001213339375 1073338813 /nfs/dbraw/zinc/33/88/13/1073338813.db2.gz ZYGMPPYWNSUBDW-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001213341610 1073338824 /nfs/dbraw/zinc/33/88/24/1073338824.db2.gz NNXMCFGKVNJVBQ-UHFFFAOYSA-N 0 0 447.535 -0.181 20 0 IBADRN CCOC(=O)C[C@H](C(F)(F)F)S(=O)(=O)N1CCS(=O)(=O)C[C@H]1CC(=O)OC ZINC001213348049 1073338663 /nfs/dbraw/zinc/33/86/63/1073338663.db2.gz IEFXVRIHMUCJDA-NXEZZACHSA-N 0 0 439.430 -0.138 20 0 IBADRN CCOC(=O)C[C@@H](C(F)(F)F)S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1CC(=O)OC ZINC001213348050 1073338653 /nfs/dbraw/zinc/33/86/53/1073338653.db2.gz IEFXVRIHMUCJDA-UWVGGRQHSA-N 0 0 439.430 -0.138 20 0 IBADRN CCOC(=O)C[C@H](C(F)(F)F)S(=O)(=O)N1CCS(=O)(=O)C[C@@H]1CC(=O)OC ZINC001213348051 1073338790 /nfs/dbraw/zinc/33/87/90/1073338790.db2.gz IEFXVRIHMUCJDA-VHSXEESVSA-N 0 0 439.430 -0.138 20 0 IBADRN CCOC(=O)C[C@@H](C(F)(F)F)S(=O)(=O)N1CCS(=O)(=O)C[C@H]1CC(=O)OC ZINC001213348052 1073338698 /nfs/dbraw/zinc/33/86/98/1073338698.db2.gz IEFXVRIHMUCJDA-ZJUUUORDSA-N 0 0 439.430 -0.138 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cccc(C(=O)NC2CC2)c1 ZINC001213348635 1073338660 /nfs/dbraw/zinc/33/86/60/1073338660.db2.gz MUKSRXONSCDSSD-AWEZNQCLSA-N 0 0 430.504 -0.070 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cccc(C(=O)NC2CC2)c1 ZINC001213348636 1073338763 /nfs/dbraw/zinc/33/87/63/1073338763.db2.gz MUKSRXONSCDSSD-CQSZACIVSA-N 0 0 430.504 -0.070 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)Cc1cccc(S(C)(=O)=O)c1 ZINC001213348866 1073338725 /nfs/dbraw/zinc/33/87/25/1073338725.db2.gz ONPSHSJDHKDXDX-CYBMUJFWSA-N 0 0 439.533 -0.418 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)Cc1cccc(S(C)(=O)=O)c1 ZINC001213348869 1073338682 /nfs/dbraw/zinc/33/86/82/1073338682.db2.gz ONPSHSJDHKDXDX-ZDUSSCGKSA-N 0 0 439.533 -0.418 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)OC)c1 ZINC001213348887 1073338773 /nfs/dbraw/zinc/33/87/73/1073338773.db2.gz OUZDLAGUPWOGLJ-GFCCVEGCSA-N 0 0 439.533 -0.169 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)OC)c1 ZINC001213348888 1073338691 /nfs/dbraw/zinc/33/86/91/1073338691.db2.gz OUZDLAGUPWOGLJ-LBPRGKRZSA-N 0 0 439.533 -0.169 20 0 IBADRN Cn1ncc(S(=O)(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)c1I ZINC001213358942 1073339159 /nfs/dbraw/zinc/33/91/59/1073339159.db2.gz AHXYNPJSJMUVEK-RYUDHWBXSA-N 0 0 438.247 -0.302 20 0 IBADRN Cn1ncc(S(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)c1I ZINC001213358943 1073339055 /nfs/dbraw/zinc/33/90/55/1073339055.db2.gz AHXYNPJSJMUVEK-TXEJJXNPSA-N 0 0 438.247 -0.302 20 0 IBADRN Cn1ncc(S(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)c1I ZINC001213358944 1073339167 /nfs/dbraw/zinc/33/91/67/1073339167.db2.gz AHXYNPJSJMUVEK-VXGBXAGGSA-N 0 0 438.247 -0.302 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1C(=O)NCC(F)(F)F ZINC001213359163 1073339077 /nfs/dbraw/zinc/33/90/77/1073339077.db2.gz BYNMVXMWYBBBPO-GASCZTMLSA-N 0 0 436.412 -0.010 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1C(=O)NCC(F)(F)F ZINC001213359164 1073339040 /nfs/dbraw/zinc/33/90/40/1073339040.db2.gz BYNMVXMWYBBBPO-GJZGRUSLSA-N 0 0 436.412 -0.010 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)cc1C(=O)NCC(F)(F)F ZINC001213359165 1073339153 /nfs/dbraw/zinc/33/91/53/1073339153.db2.gz BYNMVXMWYBBBPO-HUUCEWRRSA-N 0 0 436.412 -0.010 20 0 IBADRN CO[C@H]1C[C@@H]2CN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)Cc3cccnc3N2C1 ZINC001213383096 1073339060 /nfs/dbraw/zinc/33/90/60/1073339060.db2.gz LEHPVHUNACIBBF-CVEARBPZSA-N 0 0 430.552 -0.288 20 0 IBADRN CO[C@H]1C[C@H]2CN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)Cc3cccnc3N2C1 ZINC001213383097 1073339147 /nfs/dbraw/zinc/33/91/47/1073339147.db2.gz LEHPVHUNACIBBF-HOTGVXAUSA-N 0 0 430.552 -0.288 20 0 IBADRN CO[C@@H]1C[C@@H]2CN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)Cc3cccnc3N2C1 ZINC001213383098 1073339135 /nfs/dbraw/zinc/33/91/35/1073339135.db2.gz LEHPVHUNACIBBF-HZPDHXFCSA-N 0 0 430.552 -0.288 20 0 IBADRN CO[C@@H]1C[C@H]2CN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)Cc3cccnc3N2C1 ZINC001213383099 1073339081 /nfs/dbraw/zinc/33/90/81/1073339081.db2.gz LEHPVHUNACIBBF-JKSUJKDBSA-N 0 0 430.552 -0.288 20 0 IBADRN CO[C@H]1C[C@@H]2CN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)Cc3cccnc3N2C1 ZINC001213383126 1073339108 /nfs/dbraw/zinc/33/91/08/1073339108.db2.gz LUDLNVJASCWHQN-CVEARBPZSA-N 0 0 445.567 -0.687 20 0 IBADRN CO[C@H]1C[C@H]2CN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)Cc3cccnc3N2C1 ZINC001213383127 1073339128 /nfs/dbraw/zinc/33/91/28/1073339128.db2.gz LUDLNVJASCWHQN-HOTGVXAUSA-N 0 0 445.567 -0.687 20 0 IBADRN CO[C@@H]1C[C@@H]2CN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)Cc3cccnc3N2C1 ZINC001213383128 1073339102 /nfs/dbraw/zinc/33/91/02/1073339102.db2.gz LUDLNVJASCWHQN-HZPDHXFCSA-N 0 0 445.567 -0.687 20 0 IBADRN CO[C@@H]1C[C@H]2CN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)Cc3cccnc3N2C1 ZINC001213383129 1073339110 /nfs/dbraw/zinc/33/91/10/1073339110.db2.gz LUDLNVJASCWHQN-JKSUJKDBSA-N 0 0 445.567 -0.687 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCCC[C@@H]2CS(N)(=O)=O)CC1 ZINC001213404047 1073339086 /nfs/dbraw/zinc/33/90/86/1073339086.db2.gz AORCFLKLGQDHLC-CYBMUJFWSA-N 0 0 426.561 -0.073 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCCC[C@H]2CS(N)(=O)=O)CC1 ZINC001213404052 1073339050 /nfs/dbraw/zinc/33/90/50/1073339050.db2.gz AORCFLKLGQDHLC-ZDUSSCGKSA-N 0 0 426.561 -0.073 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN(C[C@@H]4CCCS4(=O)=O)CC3)cc2C1=O ZINC001213404161 1073339172 /nfs/dbraw/zinc/33/91/72/1073339172.db2.gz BOSRGXWBZWEOQQ-AWEZNQCLSA-N 0 0 441.531 -0.204 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCN(C[C@H]4CCCS4(=O)=O)CC3)cc2C1=O ZINC001213404162 1073339116 /nfs/dbraw/zinc/33/91/16/1073339116.db2.gz BOSRGXWBZWEOQQ-CQSZACIVSA-N 0 0 441.531 -0.204 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)c1 ZINC001213404713 1073339140 /nfs/dbraw/zinc/33/91/40/1073339140.db2.gz LJTHMNLUSOPUOA-HNNXBMFYSA-N 0 0 437.565 -0.783 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)c1 ZINC001213404716 1073339091 /nfs/dbraw/zinc/33/90/91/1073339091.db2.gz LJTHMNLUSOPUOA-OAHLLOKOSA-N 0 0 437.565 -0.783 20 0 IBADRN COc1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c(OC)cc1Br ZINC001213407875 1073339578 /nfs/dbraw/zinc/33/95/78/1073339578.db2.gz DISLDQNDXZMQHI-RKDXNWHRSA-N 0 0 430.298 -0.098 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c(Br)cc1F ZINC001213408012 1073339418 /nfs/dbraw/zinc/33/94/18/1073339418.db2.gz GCBHALVJAFEMJU-NXEZZACHSA-N 0 0 446.272 -0.189 20 0 IBADRN COc1cc(Br)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc1OC ZINC001213408031 1073339403 /nfs/dbraw/zinc/33/94/03/1073339403.db2.gz GXRAFUAGJGFUQN-RKDXNWHRSA-N 0 0 430.298 -0.098 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC001213408729 1073339540 /nfs/dbraw/zinc/33/95/40/1073339540.db2.gz WTNHIRNOMZKVGS-HUUCEWRRSA-N 0 0 438.549 -0.703 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)N[C@@H](CO)CN1CCOCC1 ZINC001213425024 1073339485 /nfs/dbraw/zinc/33/94/85/1073339485.db2.gz TYPBUBDWQYTJFE-GFCCVEGCSA-N 0 0 434.316 -0.228 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)N[C@H](CO)CN1CCOCC1 ZINC001213425025 1073339469 /nfs/dbraw/zinc/33/94/69/1073339469.db2.gz TYPBUBDWQYTJFE-LBPRGKRZSA-N 0 0 434.316 -0.228 20 0 IBADRN CN(CCS(=O)(=O)N[C@H](CO)CN1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC001213425147 1073339567 /nfs/dbraw/zinc/33/95/67/1073339567.db2.gz XWXVVVRBYUGUNZ-HNNXBMFYSA-N 0 0 439.531 -0.941 20 0 IBADRN CN(CCS(=O)(=O)N[C@@H](CO)CN1CCOCC1)S(=O)(=O)c1ccc(F)cc1 ZINC001213425148 1073339583 /nfs/dbraw/zinc/33/95/83/1073339583.db2.gz XWXVVVRBYUGUNZ-OAHLLOKOSA-N 0 0 439.531 -0.941 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)N[C@H](CO)CN1CCOCC1 ZINC001213425216 1073339572 /nfs/dbraw/zinc/33/95/72/1073339572.db2.gz ZOUXUEVUBJKUNJ-HNNXBMFYSA-N 0 0 425.507 -0.325 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)N[C@@H](CO)CN1CCOCC1 ZINC001213425217 1073339390 /nfs/dbraw/zinc/33/93/90/1073339390.db2.gz ZOUXUEVUBJKUNJ-OAHLLOKOSA-N 0 0 425.507 -0.325 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccc(CN3CCS(=O)CC3)cc2)c(=O)n(C)c1=O ZINC001213427208 1073339338 /nfs/dbraw/zinc/33/93/38/1073339338.db2.gz BWJOLDUCBLSCAI-UHFFFAOYSA-N 0 0 440.547 -0.873 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC1CCN(CC(=O)OC(C)(C)C)CC1 ZINC001213433194 1073339576 /nfs/dbraw/zinc/33/95/76/1073339576.db2.gz UESGHLZMAISTIN-UHFFFAOYSA-N 0 0 427.589 -0.007 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001213435109 1073339456 /nfs/dbraw/zinc/33/94/56/1073339456.db2.gz HJGYWAVKLSOCPP-IBGZPJMESA-N 0 0 442.490 -0.084 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001213435110 1073339499 /nfs/dbraw/zinc/33/94/99/1073339499.db2.gz HJGYWAVKLSOCPP-LJQANCHMSA-N 0 0 442.490 -0.084 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)cc1)N1CCOCC1 ZINC001213435116 1073339440 /nfs/dbraw/zinc/33/94/40/1073339440.db2.gz HLLRLTJAHGJVBZ-IBGZPJMESA-N 0 0 439.490 -0.935 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)cc1)N1CCOCC1 ZINC001213435121 1073339522 /nfs/dbraw/zinc/33/95/22/1073339522.db2.gz HLLRLTJAHGJVBZ-LJQANCHMSA-N 0 0 439.490 -0.935 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)NC[C@]12COCCN1C(=O)COC2)c1ccccc1 ZINC001213435162 1073339555 /nfs/dbraw/zinc/33/95/55/1073339555.db2.gz ICMOUHVVHURVCK-CHAGWJKLSA-N 0 0 432.524 -0.548 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)NC[C@]12COCCN1C(=O)COC2)c1ccccc1 ZINC001213435165 1073339507 /nfs/dbraw/zinc/33/95/07/1073339507.db2.gz ICMOUHVVHURVCK-JWIGPWBQSA-N 0 0 432.524 -0.548 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)NC[C@@]12COCCN1C(=O)COC2)c1ccccc1 ZINC001213435167 1073339364 /nfs/dbraw/zinc/33/93/64/1073339364.db2.gz ICMOUHVVHURVCK-OQRWROFFSA-N 0 0 432.524 -0.548 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)NC[C@@]12COCCN1C(=O)COC2)c1ccccc1 ZINC001213435169 1073339530 /nfs/dbraw/zinc/33/95/30/1073339530.db2.gz ICMOUHVVHURVCK-RKOGDMNLSA-N 0 0 432.524 -0.548 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCS(=O)(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001213435860 1073339803 /nfs/dbraw/zinc/33/98/03/1073339803.db2.gz QKLOCVLYYQJOJW-IBGZPJMESA-N 0 0 437.474 -0.780 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCS(=O)(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001213435863 1073339856 /nfs/dbraw/zinc/33/98/56/1073339856.db2.gz QKLOCVLYYQJOJW-LJQANCHMSA-N 0 0 437.474 -0.780 20 0 IBADRN O=C1COC[C@@]2(CNS(=O)(=O)Cc3ncsc3Br)COCCN12 ZINC001213436315 1073339875 /nfs/dbraw/zinc/33/98/75/1073339875.db2.gz STOLMVXMFGHPCQ-GFCCVEGCSA-N 0 0 426.314 -0.047 20 0 IBADRN O=C1COC[C@]2(CNS(=O)(=O)Cc3ncsc3Br)COCCN12 ZINC001213436323 1073339838 /nfs/dbraw/zinc/33/98/38/1073339838.db2.gz STOLMVXMFGHPCQ-LBPRGKRZSA-N 0 0 426.314 -0.047 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001213436753 1073339971 /nfs/dbraw/zinc/33/99/71/1073339971.db2.gz XSBBTTKCBVNNRI-INIZCTEOSA-N 0 0 433.870 -0.890 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001213436754 1073339918 /nfs/dbraw/zinc/33/99/18/1073339918.db2.gz XSBBTTKCBVNNRI-MRXNPFEDSA-N 0 0 433.870 -0.890 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNS(=O)(=O)c1cnn(C)c1I ZINC001213438536 1073339959 /nfs/dbraw/zinc/33/99/59/1073339959.db2.gz LLBWBZYHEORGOJ-VXNVDRBHSA-N 0 0 429.236 -0.119 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001213438764 1073339937 /nfs/dbraw/zinc/33/99/37/1073339937.db2.gz VRUUOEDHKDUXRX-CZUORRHYSA-N 0 0 448.519 -0.436 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cnn2cc(Br)cnc12)c1cnccn1 ZINC001213453376 1073339812 /nfs/dbraw/zinc/33/98/12/1073339812.db2.gz GDRDGBVYYBPYCM-UHFFFAOYSA-N 0 0 426.256 -0.010 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCCNC(=O)c2cnccn2)C1 ZINC001213454245 1073339949 /nfs/dbraw/zinc/33/99/49/1073339949.db2.gz UUQGHYLIBDNCEC-CYBMUJFWSA-N 0 0 429.499 -0.238 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCCNC(=O)c2cnccn2)C1 ZINC001213454246 1073339956 /nfs/dbraw/zinc/33/99/56/1073339956.db2.gz UUQGHYLIBDNCEC-ZDUSSCGKSA-N 0 0 429.499 -0.238 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC001213468563 1073339944 /nfs/dbraw/zinc/33/99/44/1073339944.db2.gz IARGYMNZWQDNAZ-PRHODGIISA-N 0 0 448.295 -0.064 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001213468675 1073339828 /nfs/dbraw/zinc/33/98/28/1073339828.db2.gz JMLADACRRYOHSQ-UKRRQHHQSA-N 0 0 448.519 -0.687 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2C[C@H](C(=O)OCc3ccccc3)C2)CC1 ZINC001213479480 1073339781 /nfs/dbraw/zinc/33/97/81/1073339781.db2.gz ZKYGRKKXUADMIN-WKILWMFISA-N 0 0 431.536 -0.080 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001213489065 1073339932 /nfs/dbraw/zinc/33/99/32/1073339932.db2.gz AZABWRDHDQRMRA-JTQLQIEISA-N 0 0 441.432 -0.364 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001213489066 1073339894 /nfs/dbraw/zinc/33/98/94/1073339894.db2.gz AZABWRDHDQRMRA-SNVBAGLBSA-N 0 0 441.432 -0.364 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1cc2c(cc1OC)CCCNC2=O ZINC001213490661 1073339925 /nfs/dbraw/zinc/33/99/25/1073339925.db2.gz SRSRWBKNIJKGPG-CYBMUJFWSA-N 0 0 426.495 -0.310 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1cc2c(cc1OC)CCCNC2=O ZINC001213490662 1073339864 /nfs/dbraw/zinc/33/98/64/1073339864.db2.gz SRSRWBKNIJKGPG-ZDUSSCGKSA-N 0 0 426.495 -0.310 20 0 IBADRN COC(=O)c1cc(C2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC001213495694 1073339903 /nfs/dbraw/zinc/33/99/03/1073339903.db2.gz MOYQEMRDVDIARQ-UHFFFAOYSA-N 0 0 436.512 -0.537 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC001213498647 1073340341 /nfs/dbraw/zinc/34/03/41/1073340341.db2.gz HKGAQFHAVJMGPX-GFCCVEGCSA-N 0 0 437.565 -0.697 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCc3c(cccc3S(N)(=O)=O)C2)C1 ZINC001213498648 1073340335 /nfs/dbraw/zinc/34/03/35/1073340335.db2.gz HKGAQFHAVJMGPX-LBPRGKRZSA-N 0 0 437.565 -0.697 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCc3c(cccc3S(N)(=O)=O)C2)CC1 ZINC001213498662 1073340268 /nfs/dbraw/zinc/34/02/68/1073340268.db2.gz IAPMOTNMWBGCLS-UHFFFAOYSA-N 0 0 432.524 -0.289 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(S(=O)(=O)[C@@H]2COC[C@H]2O)C1 ZINC001213501826 1073340387 /nfs/dbraw/zinc/34/03/87/1073340387.db2.gz LHAXGJNWHAPNKK-ARILJUKYSA-N 0 0 447.510 -0.410 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(S(=O)(=O)[C@@H]2COC[C@H]2O)C1 ZINC001213501827 1073340375 /nfs/dbraw/zinc/34/03/75/1073340375.db2.gz LHAXGJNWHAPNKK-VYDRJRHOSA-N 0 0 447.510 -0.410 20 0 IBADRN CS(=O)(=O)[C@H]1C[C@H](NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001213506265 1073340301 /nfs/dbraw/zinc/34/03/01/1073340301.db2.gz APFXNIBLPGHOEQ-JOCQHMNTSA-N 0 0 430.504 -0.206 20 0 IBADRN COC(=O)[C@]1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001213514865 1073340329 /nfs/dbraw/zinc/34/03/29/1073340329.db2.gz IGRBFRSGQKKWNL-INIZCTEOSA-N 0 0 434.492 -0.994 20 0 IBADRN COC(=O)[C@@]1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001213514866 1073340346 /nfs/dbraw/zinc/34/03/46/1073340346.db2.gz IGRBFRSGQKKWNL-MRXNPFEDSA-N 0 0 434.492 -0.994 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCCN(CCN3CCOCC3)C2)cn1 ZINC001213520136 1073340312 /nfs/dbraw/zinc/34/03/12/1073340312.db2.gz WNPANRLPWQUXKX-HNNXBMFYSA-N 0 0 432.568 -0.440 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCN(CCN3CCOCC3)C2)cn1 ZINC001213520137 1073340194 /nfs/dbraw/zinc/34/01/94/1073340194.db2.gz WNPANRLPWQUXKX-OAHLLOKOSA-N 0 0 432.568 -0.440 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N[C@H]3CCCN(CCN4CCOCC4)C3)cc2C1=O ZINC001213520424 1073340239 /nfs/dbraw/zinc/34/02/39/1073340239.db2.gz YXNSGXJEJZTEAA-HNNXBMFYSA-N 0 0 436.534 -0.013 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N[C@@H]3CCCN(CCN4CCOCC4)C3)cc2C1=O ZINC001213520427 1073340354 /nfs/dbraw/zinc/34/03/54/1073340354.db2.gz YXNSGXJEJZTEAA-OAHLLOKOSA-N 0 0 436.534 -0.013 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC(=O)NCC23COC3)c1 ZINC001213527978 1073340646 /nfs/dbraw/zinc/34/06/46/1073340646.db2.gz IUMKDGMPSLRNBN-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CCC(=O)NCC12COC2 ZINC001213528001 1073340703 /nfs/dbraw/zinc/34/07/03/1073340703.db2.gz JKXVNPCZIYGHME-UHFFFAOYSA-N 0 0 446.283 -0.220 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H]3CN(C(C)=O)C[C@H]3C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC001213530759 1073340764 /nfs/dbraw/zinc/34/07/64/1073340764.db2.gz VMKQEPAOTUEHHQ-QHRIQVFBSA-N 0 0 436.490 -0.151 20 0 IBADRN COc1ccc(S(=O)(=O)N2C[C@H]3CN(C(C)=O)C[C@H]3C2)cc1[C@]1(C)NC(=O)NC1=O ZINC001213530760 1073340728 /nfs/dbraw/zinc/34/07/28/1073340728.db2.gz VMKQEPAOTUEHHQ-QUJCMNEKSA-N 0 0 436.490 -0.151 20 0 IBADRN COc1cc(N2CC[NH+](C)CC2)ccc1-n1c(N)c(N)c(OS(=O)(=O)[O-])nc1=N ZINC001213541414 1073340741 /nfs/dbraw/zinc/34/07/41/1073340741.db2.gz XLCLLKLARXKFHI-UHFFFAOYSA-N 0 0 425.471 -0.542 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3ccnn3COC)CC2)cc1 ZINC001213550636 1073340655 /nfs/dbraw/zinc/34/06/55/1073340655.db2.gz DJVOQCRMYCUNSK-UHFFFAOYSA-N 0 0 429.524 -0.094 20 0 IBADRN Cn1ncnc1C1(O)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)C1 ZINC001213555955 1073340596 /nfs/dbraw/zinc/34/05/96/1073340596.db2.gz MXIPJHLJRYWMFI-UHFFFAOYSA-N 0 0 441.535 -0.118 20 0 IBADRN Cc1cc(N2CCOCC2)ccc1Nc1c(C(N)=O)ncn1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001213556685 1073340689 /nfs/dbraw/zinc/34/06/89/1073340689.db2.gz WXSXUXLHHXYBJP-WVSUBDOOSA-N 0 0 433.465 -0.518 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCc3nnc4c(=O)n(C)ccn34)cc2CC1 ZINC001213568035 1073340637 /nfs/dbraw/zinc/34/06/37/1073340637.db2.gz DYVDQPPFDONSAS-UHFFFAOYSA-N 0 0 430.490 -0.146 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCCN(C)CCS(C)(=O)=O)cc2CC1 ZINC001213575798 1073340626 /nfs/dbraw/zinc/34/06/26/1073340626.db2.gz VWTBEDFJVRGXOF-UHFFFAOYSA-N 0 0 431.580 -0.112 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCN(C)CCS(C)(=O)=O)c(OC)cc1F ZINC001213575847 1073340806 /nfs/dbraw/zinc/34/08/06/1073340806.db2.gz XMFXXGBKTHQQGM-UHFFFAOYSA-N 0 0 426.488 -0.124 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2ccc3c(c2)CCN3C(C)=O)C[C@@H]1S(C)(=O)=O ZINC001213577450 1073340774 /nfs/dbraw/zinc/34/07/74/1073340774.db2.gz ANERJKGWBPWPIV-DOTOQJQBSA-N 0 0 430.504 -0.150 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2ccc3c(c2)N(C(C)=O)CC3)C[C@@H]1S(C)(=O)=O ZINC001213577772 1073340665 /nfs/dbraw/zinc/34/06/65/1073340665.db2.gz PZDYRQWGPTYHKA-DOTOQJQBSA-N 0 0 430.504 -0.150 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2ccc3c(c2)CN(C(C)=O)C3)C[C@@H]1S(C)(=O)=O ZINC001213577840 1073340798 /nfs/dbraw/zinc/34/07/98/1073340798.db2.gz UJRXPSGBCXTVME-DOTOQJQBSA-N 0 0 430.504 -0.197 20 0 IBADRN CN(CCS(N)(=O)=O)S(=O)(=O)c1cc2c(cc1Br)n(C)c(=O)n2C ZINC001213582226 1073340734 /nfs/dbraw/zinc/34/07/34/1073340734.db2.gz QFVMAAODIAUBPO-UHFFFAOYSA-N 0 0 441.329 -0.452 20 0 IBADRN CN(CCS(N)(=O)=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCCC2)cc1 ZINC001213582298 1073340716 /nfs/dbraw/zinc/34/07/16/1073340716.db2.gz SFHLWXDWLSZNPX-UHFFFAOYSA-N 0 0 425.554 -0.230 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2S(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC001213587437 1073340782 /nfs/dbraw/zinc/34/07/82/1073340782.db2.gz MZGMVAJTSSPRTR-CYBMUJFWSA-N 0 0 441.510 -0.046 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2S(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC001213587438 1073340817 /nfs/dbraw/zinc/34/08/17/1073340817.db2.gz MZGMVAJTSSPRTR-ZDUSSCGKSA-N 0 0 441.510 -0.046 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2S(=O)(=O)CCCN1CCOCC1 ZINC001213587508 1073341234 /nfs/dbraw/zinc/34/12/34/1073341234.db2.gz PHEUMTWHAIHRNF-UHFFFAOYSA-N 0 0 432.543 -0.540 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CC(=O)NCC12COC2 ZINC001213598285 1073341252 /nfs/dbraw/zinc/34/12/52/1073341252.db2.gz SCDYJIPMCWUOBV-CVEARBPZSA-N 0 0 439.490 -0.447 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CC(=O)NCC12COC2 ZINC001213598287 1073341015 /nfs/dbraw/zinc/34/10/15/1073341015.db2.gz SCDYJIPMCWUOBV-HOTGVXAUSA-N 0 0 439.490 -0.447 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CC(=O)NCC12COC2 ZINC001213598288 1073341242 /nfs/dbraw/zinc/34/12/42/1073341242.db2.gz SCDYJIPMCWUOBV-HZPDHXFCSA-N 0 0 439.490 -0.447 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CC(=O)NCC12COC2 ZINC001213598289 1073341097 /nfs/dbraw/zinc/34/10/97/1073341097.db2.gz SCDYJIPMCWUOBV-JKSUJKDBSA-N 0 0 439.490 -0.447 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC001213616278 1073341022 /nfs/dbraw/zinc/34/10/22/1073341022.db2.gz NTSSVEQOVKEGIE-JTQLQIEISA-N 0 0 446.473 -0.123 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC[C@H](CNS(C)(=O)=O)C2)cc1C(=O)NCC(F)(F)F ZINC001213616280 1073341164 /nfs/dbraw/zinc/34/11/64/1073341164.db2.gz NTSSVEQOVKEGIE-SNVBAGLBSA-N 0 0 446.473 -0.123 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC001213616720 1073340998 /nfs/dbraw/zinc/34/09/98/1073340998.db2.gz TYZXOTFPQWKKIN-JTQLQIEISA-N 0 0 425.916 -0.236 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)C1 ZINC001213616728 1073341175 /nfs/dbraw/zinc/34/11/75/1073341175.db2.gz TYZXOTFPQWKKIN-SNVBAGLBSA-N 0 0 425.916 -0.236 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1 ZINC001213616949 1073341109 /nfs/dbraw/zinc/34/11/09/1073341109.db2.gz XUVFTQIUYINUQR-JTQLQIEISA-N 0 0 429.517 -0.243 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C1 ZINC001213616951 1073341051 /nfs/dbraw/zinc/34/10/51/1073341051.db2.gz XUVFTQIUYINUQR-SNVBAGLBSA-N 0 0 429.517 -0.243 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)c1 ZINC001213624383 1073341187 /nfs/dbraw/zinc/34/11/87/1073341187.db2.gz QFTZGNGJXYVLPF-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN COC(=O)c1c(OC)ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)c1OC ZINC001213624585 1073341072 /nfs/dbraw/zinc/34/10/72/1073341072.db2.gz WRJVNFNVPSTFSG-UHFFFAOYSA-N 0 0 429.495 -0.115 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2CNC(=O)c2cnn(C)c2)cc1 ZINC001213628646 1073341041 /nfs/dbraw/zinc/34/10/41/1073341041.db2.gz QYYJNSMRMJHFIM-AWEZNQCLSA-N 0 0 441.535 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2CNC(=O)c2cnn(C)c2)cc1 ZINC001213628647 1073341031 /nfs/dbraw/zinc/34/10/31/1073341031.db2.gz QYYJNSMRMJHFIM-CQSZACIVSA-N 0 0 441.535 -0.089 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC[C@@H]2CNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001213641319 1073341223 /nfs/dbraw/zinc/34/12/23/1073341223.db2.gz LLZQOARGMGRLPY-AWEZNQCLSA-N 0 0 431.536 -0.180 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC[C@H]2CNC(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001213641320 1073341150 /nfs/dbraw/zinc/34/11/50/1073341150.db2.gz LLZQOARGMGRLPY-CQSZACIVSA-N 0 0 431.536 -0.180 20 0 IBADRN COC(=O)c1nscc1S(=O)(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1 ZINC001213657556 1073341134 /nfs/dbraw/zinc/34/11/34/1073341134.db2.gz FOSWLJMMEWYDAG-UHFFFAOYSA-N 0 0 440.503 -0.017 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)cn1C ZINC001213657902 1073341007 /nfs/dbraw/zinc/34/10/07/1073341007.db2.gz LXLBJKGOKHWGCN-UHFFFAOYSA-N 0 0 436.490 -0.135 20 0 IBADRN Cn1ncc(S(=O)(=O)NCC(=O)NCC(=O)NCc2ccccc2)c1Br ZINC001213660903 1073341695 /nfs/dbraw/zinc/34/16/95/1073341695.db2.gz LHALSZIWJHDDKX-UHFFFAOYSA-N 0 0 444.311 -0.107 20 0 IBADRN O=C(CNC(=O)CNS(=O)(=O)C1CN(C(=O)C2CCCC2)C1)NCc1ccccc1 ZINC001213661134 1073341642 /nfs/dbraw/zinc/34/16/42/1073341642.db2.gz RSOZREFBLNYOCJ-UHFFFAOYSA-N 0 0 436.534 -0.261 20 0 IBADRN Cc1nn(C)c2ncc(S(=O)(=O)NCC(=O)NCC(=O)NCc3ccccc3)cc12 ZINC001213661278 1073341662 /nfs/dbraw/zinc/34/16/62/1073341662.db2.gz YPTBFWJSYNIRSZ-UHFFFAOYSA-N 0 0 430.490 -0.012 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCC(=O)NCC(=O)NCc3ccccc3)cc2n(C)c1=O ZINC001213661287 1073341669 /nfs/dbraw/zinc/34/16/69/1073341669.db2.gz YZHZAPXVEINECG-UHFFFAOYSA-N 0 0 445.501 -0.412 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)C(=O)NCC2)NCC(=O)NCc1ccccc1 ZINC001213661296 1073341548 /nfs/dbraw/zinc/34/15/48/1073341548.db2.gz ZLZALDHPVHHZJA-UHFFFAOYSA-N 0 0 430.486 -0.317 20 0 IBADRN COC[C@@H](CS(=O)(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O)OC ZINC001213668666 1073341680 /nfs/dbraw/zinc/34/16/80/1073341680.db2.gz SWIGIBVZAOPNTP-KBPBESRZSA-N 0 0 444.572 -0.009 20 0 IBADRN COC[C@H](CS(=O)(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O)OC ZINC001213668667 1073341617 /nfs/dbraw/zinc/34/16/17/1073341617.db2.gz SWIGIBVZAOPNTP-KGLIPLIRSA-N 0 0 444.572 -0.009 20 0 IBADRN COC[C@@H](CS(=O)(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O)OC ZINC001213668668 1073341537 /nfs/dbraw/zinc/34/15/37/1073341537.db2.gz SWIGIBVZAOPNTP-UONOGXRCSA-N 0 0 444.572 -0.009 20 0 IBADRN COC[C@H](CS(=O)(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O)OC ZINC001213668669 1073341689 /nfs/dbraw/zinc/34/16/89/1073341689.db2.gz SWIGIBVZAOPNTP-ZIAGYGMSSA-N 0 0 444.572 -0.009 20 0 IBADRN Cn1ncc(S(=O)(=O)N[C@@H]2C(=O)NCC23CCOCC3)c1I ZINC001213674352 1073341573 /nfs/dbraw/zinc/34/15/73/1073341573.db2.gz MJFNXFVXZNHDCT-SECBINFHSA-N 0 0 440.263 -0.402 20 0 IBADRN Cn1ncc(S(=O)(=O)N[C@H]2C(=O)NCC23CCOCC3)c1I ZINC001213674353 1073341592 /nfs/dbraw/zinc/34/15/92/1073341592.db2.gz MJFNXFVXZNHDCT-VIFPVBQESA-N 0 0 440.263 -0.402 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N[C@H]2C(=O)NCC23CCOCC3)c1 ZINC001213674387 1073341564 /nfs/dbraw/zinc/34/15/64/1073341564.db2.gz NRYPGZDJBHXQIP-AWEZNQCLSA-N 0 0 426.447 -0.167 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N[C@@H]2C(=O)NCC23CCOCC3)c1 ZINC001213674388 1073341796 /nfs/dbraw/zinc/34/17/96/1073341796.db2.gz NRYPGZDJBHXQIP-CQSZACIVSA-N 0 0 426.447 -0.167 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2nc(Cc3ccccc3F)no2)CC1 ZINC001213675151 1073341750 /nfs/dbraw/zinc/34/17/50/1073341750.db2.gz XZSFMPYWGSTFDN-UHFFFAOYSA-N 0 0 433.487 -0.289 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)S(=O)(=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001213709200 1073341605 /nfs/dbraw/zinc/34/16/05/1073341605.db2.gz KKGYDVZQNUBTQM-UHFFFAOYSA-N 0 0 434.478 -0.500 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001213709398 1073341625 /nfs/dbraw/zinc/34/16/25/1073341625.db2.gz QGCHXEQBLWLPQN-UHFFFAOYSA-N 0 0 434.478 -0.196 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2nnc3n2CC[C@H](C(F)(F)F)C3)CC1 ZINC001213714791 1073341522 /nfs/dbraw/zinc/34/15/22/1073341522.db2.gz VSBKEINXAZFMIG-JTQLQIEISA-N 0 0 446.477 -0.686 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2nnc3n2CC[C@@H](C(F)(F)F)C3)CC1 ZINC001213714792 1073341738 /nfs/dbraw/zinc/34/17/38/1073341738.db2.gz VSBKEINXAZFMIG-SNVBAGLBSA-N 0 0 446.477 -0.686 20 0 IBADRN CN1CCN(C(=O)CNS(=O)(=O)c2cc(C(N)=O)c(Br)cc2F)CC1 ZINC001213722511 1073341770 /nfs/dbraw/zinc/34/17/70/1073341770.db2.gz JMPQPDJSHMOZTH-UHFFFAOYSA-N 0 0 437.291 -0.261 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)NCC(=O)N1CCN(C)CC1 ZINC001213722990 1073341716 /nfs/dbraw/zinc/34/17/16/1073341716.db2.gz RBJNEZZGLCVGRZ-UHFFFAOYSA-N 0 0 433.575 -0.074 20 0 IBADRN CN1CCN(C(=O)CNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)CC1 ZINC001213723199 1073342067 /nfs/dbraw/zinc/34/20/67/1073342067.db2.gz YWDHLYBUINYKNH-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC3(C2)C[C@@H](O)CNC3=O)cc1 ZINC001213729952 1073342169 /nfs/dbraw/zinc/34/21/69/1073342169.db2.gz BLPDMBVRGWDQKH-CYBMUJFWSA-N 0 0 431.536 -0.508 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CC3(C2)C[C@H](O)CNC3=O)cc1 ZINC001213729953 1073342007 /nfs/dbraw/zinc/34/20/07/1073342007.db2.gz BLPDMBVRGWDQKH-ZDUSSCGKSA-N 0 0 431.536 -0.508 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2CC3(C2)C[C@@H](O)CNC3=O)c(C)c1NS(C)(=O)=O ZINC001213730987 1073342129 /nfs/dbraw/zinc/34/21/29/1073342129.db2.gz UCIUGPZMUUKYKI-CYBMUJFWSA-N 0 0 431.536 -0.145 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N2CC3(C2)C[C@H](O)CNC3=O)c(C)c1NS(C)(=O)=O ZINC001213730990 1073342158 /nfs/dbraw/zinc/34/21/58/1073342158.db2.gz UCIUGPZMUUKYKI-ZDUSSCGKSA-N 0 0 431.536 -0.145 20 0 IBADRN O=C1NC[C@H](O)CC12CN(S(=O)(=O)c1ccccc1S(=O)(=O)C(F)(F)F)C2 ZINC001213731338 1073342094 /nfs/dbraw/zinc/34/20/94/1073342094.db2.gz WXSRJYKJEPVMOJ-SECBINFHSA-N 0 0 428.410 -0.148 20 0 IBADRN O=C1NC[C@@H](O)CC12CN(S(=O)(=O)c1ccccc1S(=O)(=O)C(F)(F)F)C2 ZINC001213731340 1073342211 /nfs/dbraw/zinc/34/22/11/1073342211.db2.gz WXSRJYKJEPVMOJ-VIFPVBQESA-N 0 0 428.410 -0.148 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCN(CC(=O)N(C)C)CC1 ZINC001213734850 1073342053 /nfs/dbraw/zinc/34/20/53/1073342053.db2.gz DINDQRGJXISHOB-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN CS(=O)(=O)c1ccc(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)cn1 ZINC001213735320 1073342222 /nfs/dbraw/zinc/34/22/22/1073342222.db2.gz QTOZPEMOBZAHHC-UHFFFAOYSA-N 0 0 433.533 -0.117 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCC1(S(=O)(=O)N(C)C)CC1 ZINC001213737631 1073341996 /nfs/dbraw/zinc/34/19/96/1073341996.db2.gz HIYIUKWXCHBLQG-UHFFFAOYSA-N 0 0 425.554 -0.313 20 0 IBADRN CN(C)S(=O)(=O)C1(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC001213738039 1073341957 /nfs/dbraw/zinc/34/19/57/1073341957.db2.gz RGFKIPGLSSDDHK-UHFFFAOYSA-N 0 0 425.554 -0.692 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCC2(S(=O)(=O)N(C)C)CC2)cc1C ZINC001213739249 1073342108 /nfs/dbraw/zinc/34/21/08/1073342108.db2.gz AOKSIKIUKOPARN-UHFFFAOYSA-N 0 0 425.554 -0.395 20 0 IBADRN CNC(=O)C1(NS(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CCOCC1 ZINC001213739766 1073342043 /nfs/dbraw/zinc/34/20/43/1073342043.db2.gz IIGMTTJEJGJDFR-UHFFFAOYSA-N 0 0 426.417 -0.109 20 0 IBADRN CN(C)S(=O)(=O)C1(CNS(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC001213740434 1073342142 /nfs/dbraw/zinc/34/21/42/1073342142.db2.gz ZKMCAPPIILFIGJ-UHFFFAOYSA-N 0 0 437.565 -0.071 20 0 IBADRN CN1CCOC[C@@]1(CO)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001213743921 1073341988 /nfs/dbraw/zinc/34/19/88/1073341988.db2.gz NBTGLWDVNHLGLT-KRWDZBQOSA-N 0 0 433.552 -0.558 20 0 IBADRN CN1CCOC[C@]1(CO)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001213743925 1073342199 /nfs/dbraw/zinc/34/21/99/1073342199.db2.gz NBTGLWDVNHLGLT-QGZVFWFLSA-N 0 0 433.552 -0.558 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)NC[C@]1(CO)COCCN1C ZINC001213746221 1073342020 /nfs/dbraw/zinc/34/20/20/1073342020.db2.gz BXPXXQFKMBLYIS-AWEZNQCLSA-N 0 0 434.316 -0.228 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)NC[C@@]1(CO)COCCN1C ZINC001213746222 1073342180 /nfs/dbraw/zinc/34/21/80/1073342180.db2.gz BXPXXQFKMBLYIS-CQSZACIVSA-N 0 0 434.316 -0.228 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@]2(CO)COCCN2C)s1 ZINC001213746236 1073341973 /nfs/dbraw/zinc/34/19/73/1073341973.db2.gz CJFFVIBYBLLONB-HNNXBMFYSA-N 0 0 441.597 -0.799 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@@]2(CO)COCCN2C)s1 ZINC001213746237 1073342442 /nfs/dbraw/zinc/34/24/42/1073342442.db2.gz CJFFVIBYBLLONB-OAHLLOKOSA-N 0 0 441.597 -0.799 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@H](CS(=O)(=O)NCCN2CCNC2=O)C1 ZINC001213746546 1073342466 /nfs/dbraw/zinc/34/24/66/1073342466.db2.gz RCFZFBGPQLYJLB-INIZCTEOSA-N 0 0 426.495 -0.031 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@@H](CS(=O)(=O)NCCN2CCNC2=O)C1 ZINC001213746547 1073342646 /nfs/dbraw/zinc/34/26/46/1073342646.db2.gz RCFZFBGPQLYJLB-MRXNPFEDSA-N 0 0 426.495 -0.031 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@]2(CO)COCCN2C)cc1F ZINC001213746721 1073342631 /nfs/dbraw/zinc/34/26/31/1073342631.db2.gz YCLHRBFBCDRFAC-INIZCTEOSA-N 0 0 439.531 -0.051 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@]2(CO)COCCN2C)cc1F ZINC001213746722 1073342656 /nfs/dbraw/zinc/34/26/56/1073342656.db2.gz YCLHRBFBCDRFAC-MRXNPFEDSA-N 0 0 439.531 -0.051 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1S(=O)(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001213758379 1073342517 /nfs/dbraw/zinc/34/25/17/1073342517.db2.gz DNQTVAOOUKSSKL-UHFFFAOYSA-N 0 0 441.553 -0.267 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCS(=O)(=O)N=S(C)(C)=O)s1 ZINC001213758528 1073342676 /nfs/dbraw/zinc/34/26/76/1073342676.db2.gz KPWBSGQWBZVEGM-UHFFFAOYSA-N 0 0 431.583 -0.238 20 0 IBADRN C[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC001213770866 1073342543 /nfs/dbraw/zinc/34/25/43/1073342543.db2.gz MWPRSZFKWADTBA-RISCZKNCSA-N 0 0 428.529 -0.201 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC(O)(COCCO)CC1)C(=O)NCCC2 ZINC001213773182 1073342569 /nfs/dbraw/zinc/34/25/69/1073342569.db2.gz KKCXDVIHMMQTQL-UHFFFAOYSA-N 0 0 428.507 -0.104 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC(O)(COCCO)CC2)c(F)c1 ZINC001213774355 1073342597 /nfs/dbraw/zinc/34/25/97/1073342597.db2.gz ZUBYHSANIURHGJ-UHFFFAOYSA-N 0 0 426.488 -0.278 20 0 IBADRN CO[C@]1(CNS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCS(=O)(=O)C1 ZINC001213778195 1073342584 /nfs/dbraw/zinc/34/25/84/1073342584.db2.gz JFBINDHVUJZDEM-HNNXBMFYSA-N 0 0 427.545 -0.423 20 0 IBADRN CO[C@@]1(CNS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCS(=O)(=O)C1 ZINC001213778196 1073342532 /nfs/dbraw/zinc/34/25/32/1073342532.db2.gz JFBINDHVUJZDEM-OAHLLOKOSA-N 0 0 427.545 -0.423 20 0 IBADRN CO[C@]1(CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CCS(=O)(=O)C1 ZINC001213778375 1073342683 /nfs/dbraw/zinc/34/26/83/1073342683.db2.gz NWAREILQQDFDTR-HNNXBMFYSA-N 0 0 438.549 -0.391 20 0 IBADRN CO[C@@]1(CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CCS(=O)(=O)C1 ZINC001213778376 1073342557 /nfs/dbraw/zinc/34/25/57/1073342557.db2.gz NWAREILQQDFDTR-OAHLLOKOSA-N 0 0 438.549 -0.391 20 0 IBADRN Cn1c(CNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)cc(=O)n1-c1ccccc1 ZINC001213794259 1073342619 /nfs/dbraw/zinc/34/26/19/1073342619.db2.gz ANNMUAUSSMPTOJ-AWEZNQCLSA-N 0 0 428.536 -0.123 20 0 IBADRN Cn1c(CNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)cc(=O)n1-c1ccccc1 ZINC001213794265 1073342671 /nfs/dbraw/zinc/34/26/71/1073342671.db2.gz ANNMUAUSSMPTOJ-CQSZACIVSA-N 0 0 428.536 -0.123 20 0 IBADRN CNC(=O)CN1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)N2CCCC2)CC1 ZINC001213794556 1073342454 /nfs/dbraw/zinc/34/24/54/1073342454.db2.gz GUJRTNXKPXCVKC-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN CNC(=O)CN1CCCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001213794817 1073342504 /nfs/dbraw/zinc/34/25/04/1073342504.db2.gz LNFPWZQSJNQOTF-HNNXBMFYSA-N 0 0 434.559 -0.294 20 0 IBADRN CNC(=O)CN1CCCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001213794818 1073343004 /nfs/dbraw/zinc/34/30/04/1073343004.db2.gz LNFPWZQSJNQOTF-OAHLLOKOSA-N 0 0 434.559 -0.294 20 0 IBADRN CCCCCCn1cc(S(=O)(=O)N2CCN(C(=O)C(OC)OC)CC2)c(=O)[nH]c1=O ZINC001213797560 1073342863 /nfs/dbraw/zinc/34/28/63/1073342863.db2.gz HCGPFNVSKZEITN-UHFFFAOYSA-N 0 0 446.526 -0.019 20 0 IBADRN COC(OC)C(=O)N1CCN(S(=O)(=O)N=[S@](=O)(c2ccccc2)N(C)C)CC1 ZINC001213797846 1073343057 /nfs/dbraw/zinc/34/30/57/1073343057.db2.gz PXWZSTLZZJNDNU-HHHXNRCGSA-N 0 0 434.540 -0.002 20 0 IBADRN COC(OC)C(=O)N1CCN(S(=O)(=O)N=[S@@](=O)(c2ccccc2)N(C)C)CC1 ZINC001213797847 1073342978 /nfs/dbraw/zinc/34/29/78/1073342978.db2.gz PXWZSTLZZJNDNU-MHZLTWQESA-N 0 0 434.540 -0.002 20 0 IBADRN COC(OC)C(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC001213797851 1073342990 /nfs/dbraw/zinc/34/29/90/1073342990.db2.gz QGFJFPORKDJRFK-UHFFFAOYSA-N 0 0 447.535 -0.421 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C(OC)OC)CC1 ZINC001213798034 1073342856 /nfs/dbraw/zinc/34/28/56/1073342856.db2.gz XOZXECDMCXSBIT-UHFFFAOYSA-N 0 0 436.508 -0.450 20 0 IBADRN CN(CCS(=O)(=O)NC(C)(C)CNS(C)(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC001213799886 1073342923 /nfs/dbraw/zinc/34/29/23/1073342923.db2.gz SDQCTNMJIGAOLW-UHFFFAOYSA-N 0 0 445.560 -0.307 20 0 IBADRN O=S(=O)(NCCN1CCC2(C1)OCCO2)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001213802027 1073343039 /nfs/dbraw/zinc/34/30/39/1073343039.db2.gz LBOSGQZRGPHXSB-UHFFFAOYSA-N 0 0 431.536 -0.146 20 0 IBADRN COC[C@@H]1CC[C@H]([C@H]2COCCN2S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)O1 ZINC001213821482 1073343013 /nfs/dbraw/zinc/34/30/13/1073343013.db2.gz PJURGCMZJHXGBO-CAOSSQGBSA-N 0 0 426.557 -0.508 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)O1 ZINC001213821488 1073342943 /nfs/dbraw/zinc/34/29/43/1073342943.db2.gz PJURGCMZJHXGBO-FXUDXRNXSA-N 0 0 426.557 -0.508 20 0 IBADRN COC[C@@H]1CC[C@H]([C@H]2COCCN2S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)O1 ZINC001213821490 1073343024 /nfs/dbraw/zinc/34/30/24/1073343024.db2.gz PJURGCMZJHXGBO-QKPAOTATSA-N 0 0 426.557 -0.508 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)O1 ZINC001213821493 1073342909 /nfs/dbraw/zinc/34/29/09/1073342909.db2.gz PJURGCMZJHXGBO-YHUYYLMFSA-N 0 0 426.557 -0.508 20 0 IBADRN CC[C@@H]1C(=O)NCCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001213826182 1073343049 /nfs/dbraw/zinc/34/30/49/1073343049.db2.gz COAZQQHEPGYAFY-MRXNPFEDSA-N 0 0 431.536 -0.003 20 0 IBADRN O=S(=O)(c1ccccc1S(=O)(=O)C(F)(F)F)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001213829273 1073343068 /nfs/dbraw/zinc/34/30/68/1073343068.db2.gz DKXLFVPPABWXJC-SECBINFHSA-N 0 0 449.454 -0.497 20 0 IBADRN O=S(=O)(c1ccccc1S(=O)(=O)C(F)(F)F)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001213829274 1073342967 /nfs/dbraw/zinc/34/29/67/1073342967.db2.gz DKXLFVPPABWXJC-VIFPVBQESA-N 0 0 449.454 -0.497 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N3CCN4[C@H](CNS4(=O)=O)C3)c(Cl)cc21 ZINC001213829590 1073342877 /nfs/dbraw/zinc/34/28/77/1073342877.db2.gz JPWFIXBDQKZRFJ-GFCCVEGCSA-N 0 0 434.927 -0.228 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)c(Cl)cc21 ZINC001213829591 1073342835 /nfs/dbraw/zinc/34/28/35/1073342835.db2.gz JPWFIXBDQKZRFJ-LBPRGKRZSA-N 0 0 434.927 -0.228 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c1)C(F)(F)F ZINC001213829997 1073342933 /nfs/dbraw/zinc/34/29/33/1073342933.db2.gz WJSVVIRCYWRROW-JTQLQIEISA-N 0 0 428.414 -0.290 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c1)C(F)(F)F ZINC001213829998 1073342955 /nfs/dbraw/zinc/34/29/55/1073342955.db2.gz WJSVVIRCYWRROW-SNVBAGLBSA-N 0 0 428.414 -0.290 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCn3ncc(I)c3C2)c(=O)o1 ZINC001213832025 1073342891 /nfs/dbraw/zinc/34/28/91/1073342891.db2.gz TVIHTVHHQVYCPV-UHFFFAOYSA-N 0 0 439.235 -0.209 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1)NC1CC1 ZINC001213880611 1073343283 /nfs/dbraw/zinc/34/32/83/1073343283.db2.gz BVRNWZWQLIUHFN-IBGZPJMESA-N 0 0 425.507 -0.588 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1)NC1CC1 ZINC001213880612 1073343434 /nfs/dbraw/zinc/34/34/34/1073343434.db2.gz BVRNWZWQLIUHFN-LJQANCHMSA-N 0 0 425.507 -0.588 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)c1OC ZINC001213880929 1073343317 /nfs/dbraw/zinc/34/33/17/1073343317.db2.gz IKHJQTFWQKYCOA-KRWDZBQOSA-N 0 0 433.914 -0.224 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)c1OC ZINC001213880931 1073343224 /nfs/dbraw/zinc/34/32/24/1073343224.db2.gz IKHJQTFWQKYCOA-QGZVFWFLSA-N 0 0 433.914 -0.224 20 0 IBADRN O=C(c1cccc(Cl)c1)N1CC(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)C1 ZINC001213881123 1073343308 /nfs/dbraw/zinc/34/33/08/1073343308.db2.gz LJWOVWRKNUVCHA-GOSISDBHSA-N 0 0 429.926 -0.127 20 0 IBADRN O=C(c1cccc(Cl)c1)N1CC(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)C1 ZINC001213881125 1073343296 /nfs/dbraw/zinc/34/32/96/1073343296.db2.gz LJWOVWRKNUVCHA-SFHVURJKSA-N 0 0 429.926 -0.127 20 0 IBADRN O=S(=O)(c1ccc(N2CCCS2(=O)=O)cc1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001213881452 1073343397 /nfs/dbraw/zinc/34/33/97/1073343397.db2.gz QPXPFKBHXDAFPG-KRWDZBQOSA-N 0 0 431.536 -0.706 20 0 IBADRN O=S(=O)(c1ccc(N2CCCS2(=O)=O)cc1)N1CCN2CCOC[C@]2(CO)C1 ZINC001213881453 1073343364 /nfs/dbraw/zinc/34/33/64/1073343364.db2.gz QPXPFKBHXDAFPG-QGZVFWFLSA-N 0 0 431.536 -0.706 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)C1 ZINC001213881627 1073343327 /nfs/dbraw/zinc/34/33/27/1073343327.db2.gz UCPJNXLVCOEDDE-CRAIPNDOSA-N 0 0 435.543 -0.669 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)C1 ZINC001213881628 1073343418 /nfs/dbraw/zinc/34/34/18/1073343418.db2.gz UCPJNXLVCOEDDE-MAUKXSAKSA-N 0 0 435.543 -0.669 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)C1 ZINC001213881629 1073343426 /nfs/dbraw/zinc/34/34/26/1073343426.db2.gz UCPJNXLVCOEDDE-QAPCUYQASA-N 0 0 435.543 -0.669 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)C1 ZINC001213881630 1073343386 /nfs/dbraw/zinc/34/33/86/1073343386.db2.gz UCPJNXLVCOEDDE-YJBOKZPZSA-N 0 0 435.543 -0.669 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CCO1 ZINC001213888472 1073343340 /nfs/dbraw/zinc/34/33/40/1073343340.db2.gz FRRUXAULWLOMQA-LLVKDONJSA-N 0 0 441.325 -0.018 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(S(=O)(=O)c2cc(Br)cc(C(N)=O)c2)CCO1 ZINC001213888473 1073343407 /nfs/dbraw/zinc/34/34/07/1073343407.db2.gz FRRUXAULWLOMQA-NSHDSACASA-N 0 0 441.325 -0.018 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC001213888939 1073343352 /nfs/dbraw/zinc/34/33/52/1073343352.db2.gz OUQLAQCIMHWDNN-HNNXBMFYSA-N 0 0 432.520 -0.407 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC001213888940 1073343375 /nfs/dbraw/zinc/34/33/75/1073343375.db2.gz OUQLAQCIMHWDNN-OAHLLOKOSA-N 0 0 432.520 -0.407 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CCO1 ZINC001213889029 1073343237 /nfs/dbraw/zinc/34/32/37/1073343237.db2.gz QKMKJHKIKKUOJB-LLVKDONJSA-N 0 0 430.501 -0.369 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CCO1 ZINC001213889030 1073343253 /nfs/dbraw/zinc/34/32/53/1073343253.db2.gz QKMKJHKIKKUOJB-NSHDSACASA-N 0 0 430.501 -0.369 20 0 IBADRN Cn1cc(C(=O)CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC001213910356 1073343271 /nfs/dbraw/zinc/34/32/71/1073343271.db2.gz RLJJINQSTPVZRG-UHFFFAOYSA-N 0 0 428.492 -0.398 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@@H](O)CS(C)(=O)=O)ccc1Br ZINC001213912660 1073343211 /nfs/dbraw/zinc/34/32/11/1073343211.db2.gz IUBMILFPIPYNOB-MRVPVSSYSA-N 0 0 430.298 -0.081 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NC[C@H](O)CS(C)(=O)=O)ccc1Br ZINC001213912661 1073343841 /nfs/dbraw/zinc/34/38/41/1073343841.db2.gz IUBMILFPIPYNOB-QMMMGPOBSA-N 0 0 430.298 -0.081 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001213912704 1073343746 /nfs/dbraw/zinc/34/37/46/1073343746.db2.gz KIAKSQBCHUPXKG-LLVKDONJSA-N 0 0 444.325 -0.152 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)NC[C@H](O)CS(C)(=O)=O ZINC001213912705 1073343735 /nfs/dbraw/zinc/34/37/35/1073343735.db2.gz KIAKSQBCHUPXKG-NSHDSACASA-N 0 0 444.325 -0.152 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cn1C ZINC001213919098 1073343592 /nfs/dbraw/zinc/34/35/92/1073343592.db2.gz BGIHZNWXYIWIFT-GFCCVEGCSA-N 0 0 427.479 -0.577 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cn1C ZINC001213919099 1073343713 /nfs/dbraw/zinc/34/37/13/1073343713.db2.gz BGIHZNWXYIWIFT-LBPRGKRZSA-N 0 0 427.479 -0.577 20 0 IBADRN O=C1NC[C@@H](O)CC12CCN(S(=O)(=O)c1ccc(S(=O)(=O)NC3CC3)cc1)CC2 ZINC001213919153 1073343624 /nfs/dbraw/zinc/34/36/24/1073343624.db2.gz DBNIGYJNMXQPNW-AWEZNQCLSA-N 0 0 443.547 -0.221 20 0 IBADRN O=C1NC[C@H](O)CC12CCN(S(=O)(=O)c1ccc(S(=O)(=O)NC3CC3)cc1)CC2 ZINC001213919154 1073343613 /nfs/dbraw/zinc/34/36/13/1073343613.db2.gz DBNIGYJNMXQPNW-CQSZACIVSA-N 0 0 443.547 -0.221 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001213941662 1073343671 /nfs/dbraw/zinc/34/36/71/1073343671.db2.gz KPJNBGGUBRNFCD-GFCCVEGCSA-N 0 0 441.528 -0.944 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001213941663 1073343599 /nfs/dbraw/zinc/34/35/99/1073343599.db2.gz KPJNBGGUBRNFCD-LBPRGKRZSA-N 0 0 441.528 -0.944 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccc(C(=O)NCC(F)(F)F)cc1 ZINC001213945151 1073343637 /nfs/dbraw/zinc/34/36/37/1073343637.db2.gz QNGUKQIRYFIOCG-GFCCVEGCSA-N 0 0 437.396 -0.017 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccc(C(=O)NCC(F)(F)F)cc1 ZINC001213945152 1073343682 /nfs/dbraw/zinc/34/36/82/1073343682.db2.gz QNGUKQIRYFIOCG-LBPRGKRZSA-N 0 0 437.396 -0.017 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)c1c(C)nn2cc(Br)cnc12 ZINC001213945540 1073343793 /nfs/dbraw/zinc/34/37/93/1073343793.db2.gz XFXAINRLYKTYLG-JTQLQIEISA-N 0 0 446.283 -0.195 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)c1c(C)nn2cc(Br)cnc12 ZINC001213945541 1073343725 /nfs/dbraw/zinc/34/37/25/1073343725.db2.gz XFXAINRLYKTYLG-SNVBAGLBSA-N 0 0 446.283 -0.195 20 0 IBADRN Cc1nn(CCS(=O)(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)c(=O)o1 ZINC001213965573 1073343813 /nfs/dbraw/zinc/34/38/13/1073343813.db2.gz BAGBTIBCTCHNSI-JTQLQIEISA-N 0 0 432.256 -0.215 20 0 IBADRN Cc1nn(CCS(=O)(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)c(=O)o1 ZINC001213965574 1073343648 /nfs/dbraw/zinc/34/36/48/1073343648.db2.gz BAGBTIBCTCHNSI-SNVBAGLBSA-N 0 0 432.256 -0.215 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)c(=O)n(C)c1=O ZINC001213965825 1073343577 /nfs/dbraw/zinc/34/35/77/1073343577.db2.gz DZTZRRQYEWZJKV-SECBINFHSA-N 0 0 444.267 -0.917 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)c(=O)n(C)c1=O ZINC001213965826 1073343693 /nfs/dbraw/zinc/34/36/93/1073343693.db2.gz DZTZRRQYEWZJKV-VIFPVBQESA-N 0 0 444.267 -0.917 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@@H]3[C@](C(F)(F)F)(C2)CCCS3(=O)=O)c(=O)n(C)c1=O ZINC001213988532 1073343760 /nfs/dbraw/zinc/34/37/60/1073343760.db2.gz FXNOSPFHHCACGX-ZWNOBZJWSA-N 0 0 445.441 -0.786 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@H]2CCN(c3cn[nH]c(=O)c3Cl)C2)C1 ZINC001213992861 1073343800 /nfs/dbraw/zinc/34/38/00/1073343800.db2.gz IBBZAFQMIPELEY-JTQLQIEISA-N 0 0 425.920 -0.775 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@@H]2CCN(c3cn[nH]c(=O)c3Cl)C2)C1 ZINC001213992862 1073343777 /nfs/dbraw/zinc/34/37/77/1073343777.db2.gz IBBZAFQMIPELEY-SNVBAGLBSA-N 0 0 425.920 -0.775 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)c1ccc3c(c1)C(=O)N(C)C3=O)C2 ZINC001214000743 1073344132 /nfs/dbraw/zinc/34/41/32/1073344132.db2.gz CZEFTFSDBOJCSQ-AWEZNQCLSA-N 0 0 432.462 -0.526 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)c1ccc3c(c1)C(=O)N(C)C3=O)C2 ZINC001214000751 1073344117 /nfs/dbraw/zinc/34/41/17/1073344117.db2.gz CZEFTFSDBOJCSQ-CQSZACIVSA-N 0 0 432.462 -0.526 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C2 ZINC001214003075 1073344086 /nfs/dbraw/zinc/34/40/86/1073344086.db2.gz IKFJKJBZFKAEJZ-JTQLQIEISA-N 0 0 447.461 -0.487 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C2 ZINC001214003085 1073344076 /nfs/dbraw/zinc/34/40/76/1073344076.db2.gz IKFJKJBZFKAEJZ-SNVBAGLBSA-N 0 0 447.461 -0.487 20 0 IBADRN COC[C@H]1CN(C(=O)OC(C)(C)C)CCN1S(=O)(=O)CCCCS(N)(=O)=O ZINC001214003130 1073344067 /nfs/dbraw/zinc/34/40/67/1073344067.db2.gz IUVCOOAZLCEQSK-CYBMUJFWSA-N 0 0 429.561 -0.047 20 0 IBADRN COC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1S(=O)(=O)CCCCS(N)(=O)=O ZINC001214003134 1073344105 /nfs/dbraw/zinc/34/41/05/1073344105.db2.gz IUVCOOAZLCEQSK-ZDUSSCGKSA-N 0 0 429.561 -0.047 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)N1CCC[C@H]1C(=O)OC(C)(C)C)C2 ZINC001214003300 1073344110 /nfs/dbraw/zinc/34/41/10/1073344110.db2.gz MPCMTIXQQCIXKZ-KBPBESRZSA-N 0 0 442.542 -0.090 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)N1CCC[C@H]1C(=O)OC(C)(C)C)C2 ZINC001214003324 1073344072 /nfs/dbraw/zinc/34/40/72/1073344072.db2.gz MPCMTIXQQCIXKZ-UONOGXRCSA-N 0 0 442.542 -0.090 20 0 IBADRN Cn1ncc(S(=O)(=O)N[C@](C)(Cn2cccn2)C(N)=O)c1I ZINC001214003625 1073533911 /nfs/dbraw/zinc/53/39/11/1073533911.db2.gz QQBZRGWNJIBDCS-LLVKDONJSA-N 0 0 438.251 -0.556 20 0 IBADRN Cn1ncc(S(=O)(=O)N[C@@](C)(Cn2cccn2)C(N)=O)c1I ZINC001214003628 1073533815 /nfs/dbraw/zinc/53/38/15/1073533815.db2.gz QQBZRGWNJIBDCS-NSHDSACASA-N 0 0 438.251 -0.556 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C[C@H]1C(=O)OC ZINC001214027156 1073344112 /nfs/dbraw/zinc/34/41/12/1073344112.db2.gz AJLCOQMVWQFMOC-GASCZTMLSA-N 0 0 438.458 -0.104 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C[C@@H]1C(=O)OC ZINC001214027157 1073344124 /nfs/dbraw/zinc/34/41/24/1073344124.db2.gz AJLCOQMVWQFMOC-GJZGRUSLSA-N 0 0 438.458 -0.104 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)C[C@H]1C(=O)OC ZINC001214027158 1073344088 /nfs/dbraw/zinc/34/40/88/1073344088.db2.gz AJLCOQMVWQFMOC-HUUCEWRRSA-N 0 0 438.458 -0.104 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C[C@H]1C(=O)OC ZINC001214027214 1073344127 /nfs/dbraw/zinc/34/41/27/1073344127.db2.gz CEAFXWRGSRTNLY-GHMZBOCLSA-N 0 0 438.455 -0.220 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C[C@H]1C(=O)OC ZINC001214027215 1073344119 /nfs/dbraw/zinc/34/41/19/1073344119.db2.gz CEAFXWRGSRTNLY-PHIMTYICSA-N 0 0 438.455 -0.220 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)C[C@@H]1C(=O)OC ZINC001214027216 1073344080 /nfs/dbraw/zinc/34/40/80/1073344080.db2.gz CEAFXWRGSRTNLY-QWRGUYRKSA-N 0 0 438.455 -0.220 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2cc(C(=O)N(C)C)ccc2OC)C[C@H]1C(=O)OC ZINC001214028065 1073344101 /nfs/dbraw/zinc/34/41/01/1073344101.db2.gz RKQCJVDKSSICID-BETUJISGSA-N 0 0 428.463 -0.020 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2cc(C(=O)N(C)C)ccc2OC)C[C@H]1C(=O)OC ZINC001214028066 1073344108 /nfs/dbraw/zinc/34/41/08/1073344108.db2.gz RKQCJVDKSSICID-CHWSQXEVSA-N 0 0 428.463 -0.020 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2cc(C(=O)N(C)C)ccc2OC)C[C@@H]1C(=O)OC ZINC001214028067 1073344122 /nfs/dbraw/zinc/34/41/22/1073344122.db2.gz RKQCJVDKSSICID-STQMWFEESA-N 0 0 428.463 -0.020 20 0 IBADRN COC(=O)C1(NS(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CC(CO)C1 ZINC001214036130 1073344093 /nfs/dbraw/zinc/34/40/93/1073344093.db2.gz INRNMIPCZNPQTQ-UHFFFAOYSA-N 0 0 438.499 -0.320 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C2(CC2)C1 ZINC001214042557 1073344114 /nfs/dbraw/zinc/34/41/14/1073344114.db2.gz QCVDYJJBIWFTSN-CYBMUJFWSA-N 0 0 438.572 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C2(CC2)C1 ZINC001214042558 1073344134 /nfs/dbraw/zinc/34/41/34/1073344134.db2.gz QCVDYJJBIWFTSN-ZDUSSCGKSA-N 0 0 438.572 -0.203 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001214064651 1073344439 /nfs/dbraw/zinc/34/44/39/1073344439.db2.gz OGSGPAFKONIKKJ-CABCVRRESA-N 0 0 426.491 -0.448 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1C[C@H](CCO)[C@H](CO)C1 ZINC001214064652 1073344412 /nfs/dbraw/zinc/34/44/12/1073344412.db2.gz OGSGPAFKONIKKJ-GJZGRUSLSA-N 0 0 426.491 -0.448 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1C[C@H](CO)[C@H](CCO)C1 ZINC001214064653 1073344458 /nfs/dbraw/zinc/34/44/58/1073344458.db2.gz OGSGPAFKONIKKJ-HUUCEWRRSA-N 0 0 426.491 -0.448 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001214064654 1073344365 /nfs/dbraw/zinc/34/43/65/1073344365.db2.gz OGSGPAFKONIKKJ-LSDHHAIUSA-N 0 0 426.491 -0.448 20 0 IBADRN CN([C@@H]1[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001214067017 1073344338 /nfs/dbraw/zinc/34/43/38/1073344338.db2.gz LTMIBJIEUYIQKM-WDNDVIMCSA-N 0 0 438.572 -0.395 20 0 IBADRN NS(=O)(=O)C[C@H]1CC[C@@H](NS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC001214081958 1073344451 /nfs/dbraw/zinc/34/44/51/1073344451.db2.gz VMRRODHLEUALJZ-DZGCQCFKSA-N 0 0 431.536 -0.106 20 0 IBADRN CN(CCS(=O)(=O)NCc1cn(C)c(=O)[nH]c1=O)S(=O)(=O)c1ccc(F)cc1 ZINC001214100229 1073344351 /nfs/dbraw/zinc/34/43/51/1073344351.db2.gz IJVGESJXRAPRGP-UHFFFAOYSA-N 0 0 434.471 -0.635 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCN2CCNC2=S)s1 ZINC001214107931 1073344475 /nfs/dbraw/zinc/34/44/75/1073344475.db2.gz BSGVYJYPEXIMEA-UHFFFAOYSA-N 0 0 426.611 -0.302 20 0 IBADRN CN1CCOC[C@@H]1CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001214205708 1073344442 /nfs/dbraw/zinc/34/44/42/1073344442.db2.gz KNLJEEPBCXBZQZ-CABCVRRESA-N 0 0 446.551 -0.396 20 0 IBADRN CN1CCOC[C@@H]1CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001214205709 1073344382 /nfs/dbraw/zinc/34/43/82/1073344382.db2.gz KNLJEEPBCXBZQZ-GJZGRUSLSA-N 0 0 446.551 -0.396 20 0 IBADRN CN1CCOC[C@H]1CNC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001214205710 1073344430 /nfs/dbraw/zinc/34/44/30/1073344430.db2.gz KNLJEEPBCXBZQZ-HUUCEWRRSA-N 0 0 446.551 -0.396 20 0 IBADRN CN1CCOC[C@H]1CNC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001214205711 1073344489 /nfs/dbraw/zinc/34/44/89/1073344489.db2.gz KNLJEEPBCXBZQZ-LSDHHAIUSA-N 0 0 446.551 -0.396 20 0 IBADRN CO[C@@H]1COC[C@H]1NC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001214205941 1073344386 /nfs/dbraw/zinc/34/43/86/1073344386.db2.gz RHCVJCDEJLJZFE-BPLDGKMQSA-N 0 0 433.508 -0.313 20 0 IBADRN CO[C@@H]1COC[C@H]1NC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001214205942 1073344373 /nfs/dbraw/zinc/34/43/73/1073344373.db2.gz RHCVJCDEJLJZFE-NWANDNLSSA-N 0 0 433.508 -0.313 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)C1 ZINC001214226659 1073344419 /nfs/dbraw/zinc/34/44/19/1073344419.db2.gz TZMZFSQYPCOERN-UHFFFAOYSA-N 0 0 440.526 -0.431 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2OC)CC1 ZINC001214234517 1073344394 /nfs/dbraw/zinc/34/43/94/1073344394.db2.gz NOVFHQKCLKJJSS-UHFFFAOYSA-N 0 0 447.539 -0.250 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1 ZINC001214235368 1073344467 /nfs/dbraw/zinc/34/44/67/1073344467.db2.gz XUODYZBOANLEKL-AWEZNQCLSA-N 0 0 425.467 -0.654 20 0 IBADRN COC(=O)CCNC(=O)c1ccc(NC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1 ZINC001214235369 1073344936 /nfs/dbraw/zinc/34/49/36/1073344936.db2.gz XUODYZBOANLEKL-CQSZACIVSA-N 0 0 425.467 -0.654 20 0 IBADRN COC(=O)[C@@]1(O)CCN(C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001214257459 1073344842 /nfs/dbraw/zinc/34/48/42/1073344842.db2.gz GBOFTWYHBVKUHM-GOSISDBHSA-N 0 0 443.478 -0.142 20 0 IBADRN COC(=O)[C@]1(O)CCN(C(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001214257460 1073344885 /nfs/dbraw/zinc/34/48/85/1073344885.db2.gz GBOFTWYHBVKUHM-SFHVURJKSA-N 0 0 443.478 -0.142 20 0 IBADRN COc1ccc(NC(=O)N2CCC(CO)(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001214258945 1073344931 /nfs/dbraw/zinc/34/49/31/1073344931.db2.gz WNLAGEULONEAAC-UHFFFAOYSA-N 0 0 429.495 -0.075 20 0 IBADRN COCOc1cccc(Cl)c1Nc1c(C(N)=O)ncn1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001214304651 1073344907 /nfs/dbraw/zinc/34/49/07/1073344907.db2.gz FKVHBZVTLMNAIG-IWCJZZDYSA-N 0 0 428.829 -0.027 20 0 IBADRN COCOc1ccc(Cl)cc1Nc1c(C(N)=O)ncn1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001214419045 1073344813 /nfs/dbraw/zinc/34/48/13/1073344813.db2.gz RIGMDINJIAGXGP-LSCFUAHRSA-N 0 0 428.829 -0.027 20 0 IBADRN CCOC(=O)c1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c(OC)c1 ZINC001214540120 1073344827 /nfs/dbraw/zinc/34/48/27/1073344827.db2.gz GILMPYDUOBCCLF-SCFUHWHPSA-N 0 0 436.421 -0.478 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NC1CC(CO)(CO)C1 ZINC001214594932 1073344950 /nfs/dbraw/zinc/34/49/50/1073344950.db2.gz OMALDUSFLYEPAK-UHFFFAOYSA-N 0 0 429.495 -0.029 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC(NS(C)(=O)=O)C1 ZINC001214595660 1073344861 /nfs/dbraw/zinc/34/48/61/1073344861.db2.gz ZJHHCBBQLLAKEX-UHFFFAOYSA-N 0 0 448.523 -0.519 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001214612621 1073344870 /nfs/dbraw/zinc/34/48/70/1073344870.db2.gz ABTFFLABOASROV-IBGZPJMESA-N 0 0 439.490 -0.060 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001214612622 1073344889 /nfs/dbraw/zinc/34/48/89/1073344889.db2.gz ABTFFLABOASROV-LJQANCHMSA-N 0 0 439.490 -0.060 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1 ZINC001214613205 1073344925 /nfs/dbraw/zinc/34/49/25/1073344925.db2.gz LEYGBQALYCRICE-UHFFFAOYSA-N 0 0 447.492 -0.279 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001214779598 1073344835 /nfs/dbraw/zinc/34/48/35/1073344835.db2.gz RESGGJSENLEFHZ-UHFFFAOYSA-N 0 0 440.457 -0.290 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001214854532 1073344945 /nfs/dbraw/zinc/34/49/45/1073344945.db2.gz NRSWKLCPIZYTDW-GFCCVEGCSA-N 0 0 433.487 -0.268 20 0 IBADRN O=C(Nc1cc(N2CCCS2(=O)=O)ccc1F)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001214854533 1073344852 /nfs/dbraw/zinc/34/48/52/1073344852.db2.gz NRSWKLCPIZYTDW-LBPRGKRZSA-N 0 0 433.487 -0.268 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NN3CCS(=O)(=O)CC3)c2)CC1 ZINC001214874285 1073344876 /nfs/dbraw/zinc/34/48/76/1073344876.db2.gz DRRNOMFVFWWSAT-UHFFFAOYSA-N 0 0 431.540 -0.610 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCO[C@@H](CC(N)=O)C3)c2)CC1 ZINC001214874476 1073344940 /nfs/dbraw/zinc/34/49/40/1073344940.db2.gz LYHDWOGPLDCNMQ-HNNXBMFYSA-N 0 0 425.511 -0.269 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCO[C@H](CC(N)=O)C3)c2)CC1 ZINC001214874477 1073345522 /nfs/dbraw/zinc/34/55/22/1073345522.db2.gz LYHDWOGPLDCNMQ-OAHLLOKOSA-N 0 0 425.511 -0.269 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC[C@@]3(O)CCS(=O)(=O)C3)c2)CC1 ZINC001214874555 1073345511 /nfs/dbraw/zinc/34/55/11/1073345511.db2.gz OCSFBNOSKANBNE-KRWDZBQOSA-N 0 0 446.551 -0.706 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)NC[C@]3(O)CCS(=O)(=O)C3)c2)CC1 ZINC001214874556 1073345308 /nfs/dbraw/zinc/34/53/08/1073345308.db2.gz OCSFBNOSKANBNE-QGZVFWFLSA-N 0 0 446.551 -0.706 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CC[C@H](CS(N)(=O)=O)C3)c2)CC1 ZINC001214874762 1073345434 /nfs/dbraw/zinc/34/54/34/1073345434.db2.gz VJNWSVJXFGTXPH-AWEZNQCLSA-N 0 0 445.567 -0.235 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CC[C@@H](CS(N)(=O)=O)C3)c2)CC1 ZINC001214874763 1073345246 /nfs/dbraw/zinc/34/52/46/1073345246.db2.gz VJNWSVJXFGTXPH-CQSZACIVSA-N 0 0 445.567 -0.235 20 0 IBADRN NC(=O)[C@H]1CCCN1Cc1cccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001214935962 1073345214 /nfs/dbraw/zinc/34/52/14/1073345214.db2.gz UVJCKXZPJHVAQN-DYESRHJHSA-N 0 0 431.493 -0.114 20 0 IBADRN NC(=O)[C@@H]1CCCN1Cc1cccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001214935965 1073345614 /nfs/dbraw/zinc/34/56/14/1073345614.db2.gz UVJCKXZPJHVAQN-LAUBAEHRSA-N 0 0 431.493 -0.114 20 0 IBADRN NC(=O)[C@H]1CCCN1Cc1cccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001214935968 1073345343 /nfs/dbraw/zinc/34/53/43/1073345343.db2.gz UVJCKXZPJHVAQN-UTKZUKDTSA-N 0 0 431.493 -0.114 20 0 IBADRN NC(=O)[C@@H]1CCCN1Cc1cccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001214935972 1073345534 /nfs/dbraw/zinc/34/55/34/1073345534.db2.gz UVJCKXZPJHVAQN-UWJYYQICSA-N 0 0 431.493 -0.114 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)NCc1nnc2n1CCNC2=O ZINC001214988583 1073345601 /nfs/dbraw/zinc/34/56/01/1073345601.db2.gz HQLZKMLKYDXLMY-UHFFFAOYSA-N 0 0 429.437 -0.176 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC001215064676 1073345646 /nfs/dbraw/zinc/34/56/46/1073345646.db2.gz OFTBZRUCOYYCKO-NRFANRHFSA-N 0 0 432.525 -0.045 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)Nc1ccc(N2CCN(C(=O)C3CC3)CC2)nc1 ZINC001215064680 1073345451 /nfs/dbraw/zinc/34/54/51/1073345451.db2.gz OFTBZRUCOYYCKO-OAQYLSRUSA-N 0 0 432.525 -0.045 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC001215125045 1073345286 /nfs/dbraw/zinc/34/52/86/1073345286.db2.gz FCNURCKAVRHVOO-UHFFFAOYSA-N 0 0 441.510 -0.577 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)NC[C@H](O)Cn3ccnn3)c2)CC1 ZINC001215125150 1073345408 /nfs/dbraw/zinc/34/54/08/1073345408.db2.gz HPAYJJVCDLJLFD-INIZCTEOSA-N 0 0 437.526 -0.213 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)NC[C@@H](O)Cn3ccnn3)c2)CC1 ZINC001215125152 1073345320 /nfs/dbraw/zinc/34/53/20/1073345320.db2.gz HPAYJJVCDLJLFD-MRXNPFEDSA-N 0 0 437.526 -0.213 20 0 IBADRN CN(CCS(N)(=O)=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001215140788 1073345572 /nfs/dbraw/zinc/34/55/72/1073345572.db2.gz VQLGLSAFKZHAGS-UHFFFAOYSA-N 0 0 426.543 -0.351 20 0 IBADRN CSCC[C@H](NC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1)C(N)=O ZINC001215223671 1073345443 /nfs/dbraw/zinc/34/54/43/1073345443.db2.gz PSTKYJITFUCMAT-ZDUSSCGKSA-N 0 0 430.556 -0.108 20 0 IBADRN CN1CCN(C(=O)CNC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC001215227042 1073345955 /nfs/dbraw/zinc/34/59/55/1073345955.db2.gz WCLMGAZYTAFYCE-UHFFFAOYSA-N 0 0 439.542 -0.941 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N3CCN(CC(N)=O)C(=O)C3)cc2)CC1 ZINC001215237168 1073345835 /nfs/dbraw/zinc/34/58/35/1073345835.db2.gz OZODDDKKTODAHJ-UHFFFAOYSA-N 0 0 432.481 -0.857 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)NCCNS(=O)(=O)N(C)C)cc2)CC1 ZINC001215237524 1073345995 /nfs/dbraw/zinc/34/59/95/1073345995.db2.gz WOVZXACBYMTKEC-UHFFFAOYSA-N 0 0 442.542 -0.501 20 0 IBADRN COCOc1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1Cl ZINC001215253024 1073345854 /nfs/dbraw/zinc/34/58/54/1073345854.db2.gz CFRBUVYSAASYSD-LSCFUAHRSA-N 0 0 428.829 -0.027 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)C[C@@H]3CCCO3)cc2)CC1 ZINC001215275476 1073345805 /nfs/dbraw/zinc/34/58/05/1073345805.db2.gz AYLGOBHGSZBRFC-AWEZNQCLSA-N 0 0 432.524 -0.008 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)C[C@H]3CCCO3)cc2)CC1 ZINC001215275477 1073346006 /nfs/dbraw/zinc/34/60/06/1073346006.db2.gz AYLGOBHGSZBRFC-CQSZACIVSA-N 0 0 432.524 -0.008 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001215330140 1073345978 /nfs/dbraw/zinc/34/59/78/1073345978.db2.gz ZLJAZCGAUWTJOW-AWEZNQCLSA-N 0 0 432.524 -0.091 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)Nc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001215330141 1073345947 /nfs/dbraw/zinc/34/59/47/1073345947.db2.gz ZLJAZCGAUWTJOW-CQSZACIVSA-N 0 0 432.524 -0.091 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)Nc2ccn(CCS(C)(=O)=O)n2)CC1 ZINC001215339626 1073346030 /nfs/dbraw/zinc/34/60/30/1073346030.db2.gz PXGGALLXUIJEOG-UHFFFAOYSA-N 0 0 429.499 -0.149 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCC2(N3CCOCC3)CCOCC2)n1)N1CCOCC1 ZINC001215364352 1073345923 /nfs/dbraw/zinc/34/59/23/1073345923.db2.gz ZIJBRPXBFOSOEM-UHFFFAOYSA-N 0 0 436.513 -0.255 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cn1 ZINC001215421891 1073346018 /nfs/dbraw/zinc/34/60/18/1073346018.db2.gz YJKHDHYHMOSAPP-UHFFFAOYSA-N 0 0 435.532 -0.907 20 0 IBADRN O=C(NCCS(=O)(=O)C1CCOCC1)Nc1cnn(CC(=O)N2CCOCC2)c1 ZINC001215470584 1073345938 /nfs/dbraw/zinc/34/59/38/1073345938.db2.gz VJRMZQGODXVELN-UHFFFAOYSA-N 0 0 429.499 -0.543 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC001215533886 1073345910 /nfs/dbraw/zinc/34/59/10/1073345910.db2.gz NKJCMLVZCYCVGD-UHFFFAOYSA-N 0 0 429.437 -0.419 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC001215573834 1073345967 /nfs/dbraw/zinc/34/59/67/1073345967.db2.gz DIMIPAZCPWYHHA-HZSPNIEDSA-N 0 0 428.515 -0.326 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N[C@H]3CCN(S(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC001215573846 1073345791 /nfs/dbraw/zinc/34/57/91/1073345791.db2.gz DIMIPAZCPWYHHA-MCIONIFRSA-N 0 0 428.515 -0.326 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC001215573849 1073345844 /nfs/dbraw/zinc/34/58/44/1073345844.db2.gz DIMIPAZCPWYHHA-MGPQQGTHSA-N 0 0 428.515 -0.326 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N[C@H]3CCN(S(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC001215573853 1073346040 /nfs/dbraw/zinc/34/60/40/1073346040.db2.gz DIMIPAZCPWYHHA-MJBXVCDLSA-N 0 0 428.515 -0.326 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(Cc4nc[nH]n4)CC3)cn2)C[C@H](C)O1 ZINC001215574354 1073346345 /nfs/dbraw/zinc/34/63/45/1073346345.db2.gz HYFWKJSKNKSRNR-GASCZTMLSA-N 0 0 431.501 -0.013 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(Cc4nc[nH]n4)CC3)cn2)C[C@H](C)O1 ZINC001215574355 1073346288 /nfs/dbraw/zinc/34/62/88/1073346288.db2.gz HYFWKJSKNKSRNR-GJZGRUSLSA-N 0 0 431.501 -0.013 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCN(Cc4nc[nH]n4)CC3)cn2)C[C@@H](C)O1 ZINC001215574356 1073346329 /nfs/dbraw/zinc/34/63/29/1073346329.db2.gz HYFWKJSKNKSRNR-HUUCEWRRSA-N 0 0 431.501 -0.013 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC4(CC3)NC(=O)NC4=O)cn2)C[C@H](C)O1 ZINC001215575522 1073346273 /nfs/dbraw/zinc/34/62/73/1073346273.db2.gz TYQCYNZMPHUPQR-BETUJISGSA-N 0 0 433.469 -0.275 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC4(CC3)NC(=O)NC4=O)cn2)C[C@@H](C)O1 ZINC001215575523 1073346278 /nfs/dbraw/zinc/34/62/78/1073346278.db2.gz TYQCYNZMPHUPQR-CHWSQXEVSA-N 0 0 433.469 -0.275 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC4(CC3)NC(=O)NC4=O)cn2)C[C@H](C)O1 ZINC001215575524 1073346323 /nfs/dbraw/zinc/34/63/23/1073346323.db2.gz TYQCYNZMPHUPQR-STQMWFEESA-N 0 0 433.469 -0.275 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CC1 ZINC001215575820 1073346257 /nfs/dbraw/zinc/34/62/57/1073346257.db2.gz VDQPNIOJXUDMPC-KBPBESRZSA-N 0 0 443.530 -0.867 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CC1 ZINC001215575824 1073346377 /nfs/dbraw/zinc/34/63/77/1073346377.db2.gz VDQPNIOJXUDMPC-OKILXGFUSA-N 0 0 443.530 -0.867 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CC1 ZINC001215575826 1073346339 /nfs/dbraw/zinc/34/63/39/1073346339.db2.gz VDQPNIOJXUDMPC-ZIAGYGMSSA-N 0 0 443.530 -0.867 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Nc2ccc(C[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC001215966712 1073346391 /nfs/dbraw/zinc/34/63/91/1073346391.db2.gz UZJWXEYYLPPWOJ-INIZCTEOSA-N 0 0 430.465 -0.361 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Nc2ccc(C[C@H]3NC(=O)NC3=O)cc2)CC1 ZINC001215966719 1073346400 /nfs/dbraw/zinc/34/64/00/1073346400.db2.gz UZJWXEYYLPPWOJ-MRXNPFEDSA-N 0 0 430.465 -0.361 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1 ZINC001215979928 1073346282 /nfs/dbraw/zinc/34/62/82/1073346282.db2.gz HNYOXGZEGCZISY-UHFFFAOYSA-N 0 0 449.555 -0.004 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001216301931 1073346315 /nfs/dbraw/zinc/34/63/15/1073346315.db2.gz OYQNFGDUAVGLDD-KBXCAEBGSA-N 0 0 441.510 -0.391 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001216301932 1073346359 /nfs/dbraw/zinc/34/63/59/1073346359.db2.gz OYQNFGDUAVGLDD-KDOFPFPSSA-N 0 0 441.510 -0.391 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001216301933 1073346354 /nfs/dbraw/zinc/34/63/54/1073346354.db2.gz OYQNFGDUAVGLDD-KSSFIOAISA-N 0 0 441.510 -0.391 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001216301934 1073346405 /nfs/dbraw/zinc/34/64/05/1073346405.db2.gz OYQNFGDUAVGLDD-RDTXWAMCSA-N 0 0 441.510 -0.391 20 0 IBADRN CCOC(=O)/C=C/c1ccc(Nc2c(C(N)=O)ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)cc1 ZINC001216473668 1073346381 /nfs/dbraw/zinc/34/63/81/1073346381.db2.gz SHFWHSBEFGVUNQ-OVJLAYDTSA-N 0 0 432.433 -0.087 20 0 IBADRN OC[C@H]1O[C@@H](n2c(Br)nc3c2ncnc3N=C2CCCOC2)[C@H](O)[C@@H]1O ZINC001216505567 1073346639 /nfs/dbraw/zinc/34/66/39/1073346639.db2.gz YWEJHJLQHLIROL-IDTAVKCVSA-N 0 0 428.243 -0.084 20 0 IBADRN CNS(=O)(=O)c1ccccc1Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001216533149 1073346715 /nfs/dbraw/zinc/34/67/15/1073346715.db2.gz ZQBVCYGDQLRRPR-SCFUHWHPSA-N 0 0 435.462 -0.306 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC001216533408 1073346665 /nfs/dbraw/zinc/34/66/65/1073346665.db2.gz IYDWOEVOJBMDRI-GFCCVEGCSA-N 0 0 432.524 -0.209 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)Nc1cccc2c1CCN2S(C)(=O)=O ZINC001216533409 1073346628 /nfs/dbraw/zinc/34/66/28/1073346628.db2.gz IYDWOEVOJBMDRI-LBPRGKRZSA-N 0 0 432.524 -0.209 20 0 IBADRN CSC[C@H]1O[C@@H](n2cnc3c2ncnc3N=C2CCS(=O)(=O)CC2)[C@H](O)[C@@H]1O ZINC001216562608 1073346702 /nfs/dbraw/zinc/34/67/02/1073346702.db2.gz NPAYDXOWEBFRBK-XNIJJKJLSA-N 0 0 427.508 -0.077 20 0 IBADRN OC[C@H]1O[C@H](Oc2ccc(Nc3cncc(CN4CCOCC4)c3)cc2)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001216608425 1073346709 /nfs/dbraw/zinc/34/67/09/1073346709.db2.gz IYTLGVJKBNNFQC-AANPDWTMSA-N 0 0 447.488 -0.164 20 0 IBADRN OC[C@H]1O[C@@H](Oc2ccc(Nc3cncc(CN4CCOCC4)c3)cc2)[C@H](O)[C@@H](O)[C@H]1O ZINC001216609623 1073346614 /nfs/dbraw/zinc/34/66/14/1073346614.db2.gz IYTLGVJKBNNFQC-CDJZJNNCSA-N 0 0 447.488 -0.164 20 0 IBADRN CC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC001216624737 1073346765 /nfs/dbraw/zinc/34/67/65/1073346765.db2.gz OCKWLFGSKOPOHE-AWEZNQCLSA-N 0 0 430.508 -0.049 20 0 IBADRN CC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC001216624739 1073346672 /nfs/dbraw/zinc/34/66/72/1073346672.db2.gz OCKWLFGSKOPOHE-CQSZACIVSA-N 0 0 430.508 -0.049 20 0 IBADRN OC[C@H]1O[C@H](Oc2ccc(Nc3cncc(N4CCOCC4)c3)cc2)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001216633751 1073346732 /nfs/dbraw/zinc/34/67/32/1073346732.db2.gz OCOWPAWCDPGQKV-MJCUULBUSA-N 0 0 433.461 -0.160 20 0 IBADRN OC[C@H]1O[C@@H](Oc2ccc(Nc3cncc(N4CCOCC4)c3)cc2)[C@H](O)[C@@H](O)[C@H]1O ZINC001216634520 1073346738 /nfs/dbraw/zinc/34/67/38/1073346738.db2.gz OCOWPAWCDPGQKV-XDWAVFMPSA-N 0 0 433.461 -0.160 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCC1CN(S(C)(=O)=O)C1 ZINC001216759812 1073346657 /nfs/dbraw/zinc/34/66/57/1073346657.db2.gz AAEHWUXUGFDIGY-UHFFFAOYSA-N 0 0 446.551 -0.057 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NN1CCS(=O)(=O)CC1 ZINC001216759819 1073346723 /nfs/dbraw/zinc/34/67/23/1073346723.db2.gz AGVRJKPPWXRAQJ-UHFFFAOYSA-N 0 0 432.524 -0.303 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCCS(=O)(=O)N(C)C ZINC001216760116 1073346750 /nfs/dbraw/zinc/34/67/50/1073346750.db2.gz NMMNUTFXFBMMAV-UHFFFAOYSA-N 0 0 434.540 -0.057 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NC[C@]1(CO)COCCN1C ZINC001216760198 1073346773 /nfs/dbraw/zinc/34/67/73/1073346773.db2.gz RINQVIGWGQQSOC-IBGZPJMESA-N 0 0 442.538 -0.255 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NC[C@@]1(CO)COCCN1C ZINC001216760199 1073346646 /nfs/dbraw/zinc/34/66/46/1073346646.db2.gz RINQVIGWGQQSOC-LJQANCHMSA-N 0 0 442.538 -0.255 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC001216852779 1073346678 /nfs/dbraw/zinc/34/66/78/1073346678.db2.gz WOLFNOYEGDVQOX-CHWSQXEVSA-N 0 0 428.467 -0.510 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC001216852780 1073346758 /nfs/dbraw/zinc/34/67/58/1073346758.db2.gz WOLFNOYEGDVQOX-OLZOCXBDSA-N 0 0 428.467 -0.510 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC001216852781 1073346686 /nfs/dbraw/zinc/34/66/86/1073346686.db2.gz WOLFNOYEGDVQOX-QWHCGFSZSA-N 0 0 428.467 -0.510 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1 ZINC001216852782 1073347107 /nfs/dbraw/zinc/34/71/07/1073347107.db2.gz WOLFNOYEGDVQOX-STQMWFEESA-N 0 0 428.467 -0.510 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001216852858 1073347094 /nfs/dbraw/zinc/34/70/94/1073347094.db2.gz ZCXKMJNECMLOQL-ZIAGYGMSSA-N 0 0 425.467 -0.776 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)c1 ZINC001217007677 1073346958 /nfs/dbraw/zinc/34/69/58/1073346958.db2.gz AXCDODVCNQUYKI-UHFFFAOYSA-N 0 0 440.526 -0.445 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2CCCN(C(=O)CNC(C)=O)CC2)n1 ZINC001217129023 1073347135 /nfs/dbraw/zinc/34/71/35/1073347135.db2.gz FHFZYJFZNIWDHF-UHFFFAOYSA-N 0 0 442.542 -0.171 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N(C)C)cn2)CC1 ZINC001217363580 1073346941 /nfs/dbraw/zinc/34/69/41/1073346941.db2.gz BNPNXXXSKFODSO-UHFFFAOYSA-N 0 0 434.544 -0.276 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)C(F)(F)F ZINC001217656804 1073346925 /nfs/dbraw/zinc/34/69/25/1073346925.db2.gz HZPNQFUAUYFFLT-NXEZZACHSA-N 0 0 425.451 -0.736 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCO[C@H](CS(C)(=O)=O)C1)C(F)(F)F ZINC001217656806 1073347025 /nfs/dbraw/zinc/34/70/25/1073347025.db2.gz HZPNQFUAUYFFLT-UWVGGRQHSA-N 0 0 425.451 -0.736 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCO[C@H](CS(C)(=O)=O)C1)C(F)(F)F ZINC001217656807 1073347199 /nfs/dbraw/zinc/34/71/99/1073347199.db2.gz HZPNQFUAUYFFLT-VHSXEESVSA-N 0 0 425.451 -0.736 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)C(F)(F)F ZINC001217656809 1073346950 /nfs/dbraw/zinc/34/69/50/1073346950.db2.gz HZPNQFUAUYFFLT-ZJUUUORDSA-N 0 0 425.451 -0.736 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NC[C@]1(CO)COCC2(CCC2)N1C)C(F)(F)F ZINC001217657056 1073347167 /nfs/dbraw/zinc/34/71/67/1073347167.db2.gz JJWSCODLCVCKKW-DOMZBBRYSA-N 0 0 446.492 -0.276 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NC[C@@]1(CO)COCC2(CCC2)N1C)C(F)(F)F ZINC001217657057 1073347051 /nfs/dbraw/zinc/34/70/51/1073347051.db2.gz JJWSCODLCVCKKW-IUODEOHRSA-N 0 0 446.492 -0.276 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@@]1(CO)COCC2(CCC2)N1C)C(F)(F)F ZINC001217657058 1073347183 /nfs/dbraw/zinc/34/71/83/1073347183.db2.gz JJWSCODLCVCKKW-SWLSCSKDSA-N 0 0 446.492 -0.276 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NC[C@]1(CO)COCC2(CCC2)N1C)C(F)(F)F ZINC001217657059 1073347074 /nfs/dbraw/zinc/34/70/74/1073347074.db2.gz JJWSCODLCVCKKW-WFASDCNBSA-N 0 0 446.492 -0.276 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC001217659398 1073347144 /nfs/dbraw/zinc/34/71/44/1073347144.db2.gz QCFHCDMJQHRBGH-GHMZBOCLSA-N 0 0 438.494 -0.221 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC001217659412 1073347642 /nfs/dbraw/zinc/34/76/42/1073347642.db2.gz QCFHCDMJQHRBGH-MNOVXSKESA-N 0 0 438.494 -0.221 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC001217659414 1073347565 /nfs/dbraw/zinc/34/75/65/1073347565.db2.gz QCFHCDMJQHRBGH-QWRGUYRKSA-N 0 0 438.494 -0.221 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C1 ZINC001217659416 1073347646 /nfs/dbraw/zinc/34/76/46/1073347646.db2.gz QCFHCDMJQHRBGH-WDEREUQCSA-N 0 0 438.494 -0.221 20 0 IBADRN O=c1cc(COC[C@H]2COCCOCCOCCOCCO2)nc2n1CCCNC2 ZINC001217893065 1073347432 /nfs/dbraw/zinc/34/74/32/1073347432.db2.gz KVNZRIRDPKLWLQ-GOSISDBHSA-N 0 0 427.498 -0.282 20 0 IBADRN O=c1cc(COC[C@@H]2COCCOCCOCCOCCO2)nc2n1CCCNC2 ZINC001217893066 1073347544 /nfs/dbraw/zinc/34/75/44/1073347544.db2.gz KVNZRIRDPKLWLQ-SFHVURJKSA-N 0 0 427.498 -0.282 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2nc3cc(Br)ccn3n2)CC1 ZINC001218165940 1073348255 /nfs/dbraw/zinc/34/82/55/1073348255.db2.gz PHEFECOVARUBQR-UHFFFAOYSA-N 0 0 432.304 -0.070 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](Oc2nc(NC(C)=O)nc3c2ncn3COCCOC(C)=O)CN1 ZINC001218173423 1073348221 /nfs/dbraw/zinc/34/82/21/1073348221.db2.gz YEKOVZNOEHRJQB-OLZOCXBDSA-N 0 0 436.425 -0.396 20 0 IBADRN COC(=O)[C@@H]1C[C@H](Oc2nc(NC(C)=O)nc3c2ncn3COCCOC(C)=O)CN1 ZINC001218175031 1073348154 /nfs/dbraw/zinc/34/81/54/1073348154.db2.gz YEKOVZNOEHRJQB-STQMWFEESA-N 0 0 436.425 -0.396 20 0 IBADRN COc1ccc(CNS(C)(=O)=O)cc1NC(=O)N(CCO)CCN1CCOCC1 ZINC001218176933 1073348137 /nfs/dbraw/zinc/34/81/37/1073348137.db2.gz SYCSWEGCINCJIW-UHFFFAOYSA-N 0 0 430.527 -0.097 20 0 IBADRN COC(=O)[C@H](CCO)Oc1nc(NC(C)=O)nc2c1ncn2COCCOC(C)=O ZINC001218218234 1073348461 /nfs/dbraw/zinc/34/84/61/1073348461.db2.gz CCJFFQARTDBOPY-LBPRGKRZSA-N 0 0 425.398 -0.375 20 0 IBADRN CN(C)C(=O)[C@@H]([NH3+])Cc1ccc(O)c(O[C@H](CN2CCOCC2)CS(=O)(=O)[O-])c1 ZINC001218224446 1073348379 /nfs/dbraw/zinc/34/83/79/1073348379.db2.gz ZPFAEBLDOWNJFQ-CABCVRRESA-N 0 0 431.511 -0.682 20 0 IBADRN CN(C)C(=O)[C@@H]([NH3+])Cc1ccc(O)c(O[C@@H](CN2CCOCC2)CS(=O)(=O)[O-])c1 ZINC001218224447 1073348477 /nfs/dbraw/zinc/34/84/77/1073348477.db2.gz ZPFAEBLDOWNJFQ-GJZGRUSLSA-N 0 0 431.511 -0.682 20 0 IBADRN COC(=O)c1nc(C(C)(C)[NH3+])[n-]c(=O)c1O[C@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001218296004 1073348627 /nfs/dbraw/zinc/34/86/27/1073348627.db2.gz QNCXQPGSYDORGB-SECBINFHSA-N 0 0 447.452 -0.623 20 0 IBADRN COC(=O)c1nc(C(C)(C)[NH3+])[n-]c(=O)c1O[C@@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001218296011 1073348889 /nfs/dbraw/zinc/34/88/89/1073348889.db2.gz QNCXQPGSYDORGB-VIFPVBQESA-N 0 0 447.452 -0.623 20 0 IBADRN COC(=O)[C@@H](N)Cc1ccc(O)c(O[C@@H](C)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC001218296015 1073348962 /nfs/dbraw/zinc/34/89/62/1073348962.db2.gz QWOHTKZILADECI-AAEUAGOBSA-N 0 0 431.449 -0.350 20 0 IBADRN COC(=O)[C@@H](N)Cc1ccc(O)c(O[C@H](C)Cn2cnc3c2c(=O)n(C)c(=O)n3C)c1 ZINC001218296019 1073349068 /nfs/dbraw/zinc/34/90/68/1073349068.db2.gz QWOHTKZILADECI-YPMHNXCESA-N 0 0 431.449 -0.350 20 0 IBADRN COC(=O)c1nc(C(C)(C)[NH3+])[n-]c(=O)c1O[C@@H]1C(=O)O[C@@H]2[C@H]3OC(C)(C)O[C@H]3O[C@H]12 ZINC001218314522 1073348986 /nfs/dbraw/zinc/34/89/86/1073348986.db2.gz SCRRXNCKYABFNF-VTLCSEFTSA-N 0 0 425.394 -0.288 20 0 IBADRN CC1=C(C(=O)O[C@@H]2CNC(=O)C2)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001218336309 1073348910 /nfs/dbraw/zinc/34/89/10/1073348910.db2.gz BDHFYXYJMIFQQS-DKEWWPDHSA-N 0 0 446.485 -0.502 20 0 IBADRN CC1=C(C(=O)O[C@H]2CNC(=O)C2)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001218336321 1073348934 /nfs/dbraw/zinc/34/89/34/1073348934.db2.gz BDHFYXYJMIFQQS-QEPJRFBGSA-N 0 0 446.485 -0.502 20 0 IBADRN COC(=O)[C@H]1NCC[C@H]1Oc1nc(NC(C)=O)nc2c1ncn2COCCOC(C)=O ZINC001218345867 1073349138 /nfs/dbraw/zinc/34/91/38/1073349138.db2.gz DYWUAHDWUJQKFI-OLZOCXBDSA-N 0 0 436.425 -0.396 20 0 IBADRN COC(=O)c1nc(C(C)(C)[NH3+])[n-]c(=O)c1O[C@H](C[n+]1ccccc1)CS(=O)(=O)[O-] ZINC001218442013 1073349869 /nfs/dbraw/zinc/34/98/69/1073349869.db2.gz OGLDVECBQIMFCG-LLVKDONJSA-O 0 0 427.459 -0.215 20 0 IBADRN COC(=O)c1nc(C(C)(C)[NH3+])[n-]c(=O)c1O[C@@H](C[n+]1ccccc1)CS(=O)(=O)[O-] ZINC001218442042 1073350006 /nfs/dbraw/zinc/35/00/06/1073350006.db2.gz OGLDVECBQIMFCG-NSHDSACASA-O 0 0 427.459 -0.215 20 0 IBADRN Cc1ccc(S(=O)(=O)n2cnc(C[C@@H](N)C(=O)N=c3ncnc4[nH][nH]cc3-4)c2)cc1 ZINC001218517660 1073350192 /nfs/dbraw/zinc/35/01/92/1073350192.db2.gz JMXYVFUMIWXYLL-OAHLLOKOSA-N 0 0 426.462 -0.023 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)C[C@@H]1CN(Cc2ccccc2)CC[NH2+]1 ZINC001218601467 1073351799 /nfs/dbraw/zinc/35/17/99/1073351799.db2.gz CAFIYOLJMMXWSF-GFCCVEGCSA-N 0 0 437.482 -0.787 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)C[C@H]1CN(Cc2ccccc2)CC[NH2+]1 ZINC001218601468 1073351827 /nfs/dbraw/zinc/35/18/27/1073351827.db2.gz CAFIYOLJMMXWSF-LBPRGKRZSA-N 0 0 437.482 -0.787 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)c1ccc2c(c1)C1(CC2=O)CC[NH2+]CC1 ZINC001218601672 1073352120 /nfs/dbraw/zinc/35/21/20/1073352120.db2.gz GDRNGOUCOWGZOD-UHFFFAOYSA-N 0 0 448.461 -0.395 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)C[C@@H]1C[C@@H](OCc2ccccc2)C[NH2+]1 ZINC001218601773 1073351956 /nfs/dbraw/zinc/35/19/56/1073351956.db2.gz HHAVJTVLROSSIC-NWDGAFQWSA-N 0 0 438.466 -0.314 20 0 IBADRN COc1ccc(CSC[C@H]([NH3+])C(=O)n2c(N)c(N)c(OS(=O)(=O)[O-])nc2=N)cc1 ZINC001218601972 1073351993 /nfs/dbraw/zinc/35/19/93/1073351993.db2.gz KIJRDLDMJYSTMM-JTQLQIEISA-N 0 0 444.495 -0.382 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@@H](c1ccsc1)N1CCC[NH2+]CC1 ZINC001218602023 1073351981 /nfs/dbraw/zinc/35/19/81/1073351981.db2.gz PTMHIDOJNWMXEN-LLVKDONJSA-N 0 0 443.511 -0.553 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@H](c1ccsc1)N1CCC[NH2+]CC1 ZINC001218602024 1073351967 /nfs/dbraw/zinc/35/19/67/1073351967.db2.gz PTMHIDOJNWMXEN-NSHDSACASA-N 0 0 443.511 -0.553 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@@H](c1ccsc1)N1CCC[C@H]([NH3+])C1 ZINC001218602039 1073352057 /nfs/dbraw/zinc/35/20/57/1073352057.db2.gz QEZLETXPESOFJG-GXSJLCMTSA-N 0 0 443.511 -0.425 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@H](c1ccsc1)N1CCC[C@@H]([NH3+])C1 ZINC001218602040 1073351942 /nfs/dbraw/zinc/35/19/42/1073351942.db2.gz QEZLETXPESOFJG-KOLCDFICSA-N 0 0 443.511 -0.425 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@@H](c1ccsc1)N1CCC[C@@H]([NH3+])C1 ZINC001218602041 1073352350 /nfs/dbraw/zinc/35/23/50/1073352350.db2.gz QEZLETXPESOFJG-MWLCHTKSSA-N 0 0 443.511 -0.425 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@H](c1ccsc1)N1CCC[C@H]([NH3+])C1 ZINC001218602042 1073352391 /nfs/dbraw/zinc/35/23/91/1073352391.db2.gz QEZLETXPESOFJG-ONGXEEELSA-N 0 0 443.511 -0.425 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@@H](c1ccco1)N1CCC([NH3+])CC1 ZINC001218602087 1073352302 /nfs/dbraw/zinc/35/23/02/1073352302.db2.gz RWVQRVDVFUNJKW-LLVKDONJSA-N 0 0 427.443 -0.894 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@H](c1ccco1)N1CCC([NH3+])CC1 ZINC001218602088 1073352249 /nfs/dbraw/zinc/35/22/49/1073352249.db2.gz RWVQRVDVFUNJKW-NSHDSACASA-N 0 0 427.443 -0.894 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@H]1Oc2ccccc2C12CC[NH2+]CC2 ZINC001218602433 1073352325 /nfs/dbraw/zinc/35/23/25/1073352325.db2.gz MNYZNTOJSOJWJX-GFCCVEGCSA-N 0 0 436.450 -0.569 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@@H]1Oc2ccccc2C12CC[NH2+]CC2 ZINC001218602434 1073352331 /nfs/dbraw/zinc/35/23/31/1073352331.db2.gz MNYZNTOJSOJWJX-LBPRGKRZSA-N 0 0 436.450 -0.569 20 0 IBADRN COc1ccc(C2(C(=O)n3c(=N)nc(OS(=O)(=O)[O-])c(N)c3N)CC[NH2+]CC2)cc1 ZINC001218602542 1073352487 /nfs/dbraw/zinc/35/24/87/1073352487.db2.gz OVBARBGANXXJDR-UHFFFAOYSA-N 0 0 438.466 -0.321 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)c1ccc2ccc(N3CC[NH2+]CC3)n2c1 ZINC001218602560 1073352275 /nfs/dbraw/zinc/35/22/75/1073352275.db2.gz PGFUNHMOTYPQKU-UHFFFAOYSA-N 0 0 448.465 -0.941 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@@H]1OC2(CC[NH2+]CC2)c2ccccc21 ZINC001218602846 1073352499 /nfs/dbraw/zinc/35/24/99/1073352499.db2.gz SBVLJCPVHKMNDA-GFCCVEGCSA-N 0 0 436.450 -0.301 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@H]1OC2(CC[NH2+]CC2)c2ccccc21 ZINC001218602847 1073352380 /nfs/dbraw/zinc/35/23/80/1073352380.db2.gz SBVLJCPVHKMNDA-LBPRGKRZSA-N 0 0 436.450 -0.301 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@H]([NH3+])CCC(=O)OCc1ccccc1 ZINC001218602867 1073352218 /nfs/dbraw/zinc/35/22/18/1073352218.db2.gz SMBVGNAOXPYLGY-SNVBAGLBSA-N 0 0 440.438 -0.800 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@H]1CN(Cc2ccccc2)CC12C[NH2+]C2 ZINC001218603098 1073352401 /nfs/dbraw/zinc/35/24/01/1073352401.db2.gz UNUWSUUZCWEQOJ-GFCCVEGCSA-N 0 0 449.493 -0.930 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)[C@@H]1CN(Cc2ccccc2)CC12C[NH2+]C2 ZINC001218603099 1073352440 /nfs/dbraw/zinc/35/24/40/1073352440.db2.gz UNUWSUUZCWEQOJ-LBPRGKRZSA-N 0 0 449.493 -0.930 20 0 IBADRN N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1C(=O)C1(N)CC[NH+](Cc2ccccc2)CC1 ZINC001218603590 1073352476 /nfs/dbraw/zinc/35/24/76/1073352476.db2.gz XLYCWMYUSIXXMQ-UHFFFAOYSA-N 0 0 437.482 -0.658 20 0 IBADRN Cc1ccc(CSC[C@H]([NH3+])C(=O)n2c(N)c(N)c(OS(=O)(=O)[O-])nc2=N)cc1 ZINC001218603646 1073352361 /nfs/dbraw/zinc/35/23/61/1073352361.db2.gz XRYYXQNEGPBSFJ-JTQLQIEISA-N 0 0 428.496 -0.082 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)[C@@H]1[NH2+]C[C@H]2C[C@H]21 ZINC001218630007 1073353338 /nfs/dbraw/zinc/35/33/38/1073353338.db2.gz AQKKMUUFXIFRSV-JGKQDTKBSA-N 0 0 438.337 -0.853 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)[C@@H]1[NH2+]C[C@H]2C[C@H]21 ZINC001218630010 1073353279 /nfs/dbraw/zinc/35/32/79/1073353279.db2.gz AQKKMUUFXIFRSV-OLWMAWLWSA-N 0 0 438.337 -0.853 20 0 IBADRN [NH3+][C@H](CC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)C1CC1 ZINC001218630120 1073353775 /nfs/dbraw/zinc/35/37/75/1073353775.db2.gz BVZBNAYWDDFELP-KUJRLGSTSA-N 0 0 440.353 -0.334 20 0 IBADRN [NH3+][C@H](CC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)C1CC1 ZINC001218630121 1073353614 /nfs/dbraw/zinc/35/36/14/1073353614.db2.gz BVZBNAYWDDFELP-UDKFHMFTSA-N 0 0 440.353 -0.334 20 0 IBADRN CCC[C@H]([NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218630125 1073353598 /nfs/dbraw/zinc/35/35/98/1073353598.db2.gz BYIKYHNAVHNJBI-NTFFAHPLSA-N 0 0 428.342 -0.334 20 0 IBADRN CCC[C@H]([NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218630126 1073353755 /nfs/dbraw/zinc/35/37/55/1073353755.db2.gz BYIKYHNAVHNJBI-OSQRPLQGSA-N 0 0 428.342 -0.334 20 0 IBADRN Nc1cc[nH+]cc1C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218630482 1073353663 /nfs/dbraw/zinc/35/36/63/1073353663.db2.gz DJSFFNHMFFXDER-DFANHVJVSA-N 0 0 449.320 -0.340 20 0 IBADRN Nc1cc[nH+]cc1C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218630485 1073353801 /nfs/dbraw/zinc/35/38/01/1073353801.db2.gz DJSFFNHMFFXDER-HNNUMXFLSA-N 0 0 449.320 -0.340 20 0 IBADRN C[C@]1(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@H]3[C@@H]2O)CCC[NH2+]1 ZINC001218630603 1073353623 /nfs/dbraw/zinc/35/36/23/1073353623.db2.gz FDDXVNPRKBDARP-HDZMVIEWSA-N 0 0 440.353 -0.319 20 0 IBADRN C[C@@]1(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@H]3[C@@H]2O)CCC[NH2+]1 ZINC001218630611 1073353631 /nfs/dbraw/zinc/35/36/31/1073353631.db2.gz FDDXVNPRKBDARP-PWAHPCEWSA-N 0 0 440.353 -0.319 20 0 IBADRN C[C@]1(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@@H]3[C@@H]2O)CCC[NH2+]1 ZINC001218630618 1073353590 /nfs/dbraw/zinc/35/35/90/1073353590.db2.gz FDDXVNPRKBDARP-SFNFOGAPSA-N 0 0 440.353 -0.319 20 0 IBADRN C[C@@]1(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@@H]3[C@@H]2O)CCC[NH2+]1 ZINC001218630623 1073353638 /nfs/dbraw/zinc/35/36/38/1073353638.db2.gz FDDXVNPRKBDARP-WQEOLBQYSA-N 0 0 440.353 -0.319 20 0 IBADRN CC(C)(C)[C@@H]([NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218630814 1073353823 /nfs/dbraw/zinc/35/38/23/1073353823.db2.gz FRUIIVPOWJFZND-RWTHQLGUSA-N 0 0 442.369 -0.088 20 0 IBADRN CC(C)(C)[C@@H]([NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218630832 1073353647 /nfs/dbraw/zinc/35/36/47/1073353647.db2.gz FRUIIVPOWJFZND-WBMULXAQSA-N 0 0 442.369 -0.088 20 0 IBADRN [NH3+]C1(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@@H]3[C@@H]2O)CC(F)C1 ZINC001218630859 1073353787 /nfs/dbraw/zinc/35/37/87/1073353787.db2.gz GGKKDSLWFIXWOE-PZXSWSJLSA-N 0 0 444.316 -0.632 20 0 IBADRN [NH3+]C1(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@H]3[C@@H]2O)CC(F)C1 ZINC001218630860 1073353812 /nfs/dbraw/zinc/35/38/12/1073353812.db2.gz GGKKDSLWFIXWOE-UBYWQZTESA-N 0 0 444.316 -0.632 20 0 IBADRN CC(C)[C@H]([NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218631333 1073353676 /nfs/dbraw/zinc/35/36/76/1073353676.db2.gz HCBGWXMWPIWFEX-BSHDVKOJSA-N 0 0 428.342 -0.478 20 0 IBADRN CC(C)[C@H]([NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218631334 1073353693 /nfs/dbraw/zinc/35/36/93/1073353693.db2.gz HCBGWXMWPIWFEX-SCLLTWPDSA-N 0 0 428.342 -0.478 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)C12CC(C1)C[NH2+]2 ZINC001218631336 1073354117 /nfs/dbraw/zinc/35/41/17/1073354117.db2.gz HDFKWZDDQUTECL-FCMBLYSPSA-N 0 0 438.337 -0.709 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)C12CC(C1)C[NH2+]2 ZINC001218631337 1073354031 /nfs/dbraw/zinc/35/40/31/1073354031.db2.gz HDFKWZDDQUTECL-QBSXUDOBSA-N 0 0 438.337 -0.709 20 0 IBADRN CC(C)[C@H](C[NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218631826 1073354252 /nfs/dbraw/zinc/35/42/52/1073354252.db2.gz ISVRTHPUYPGJPC-KQZMOCBMSA-N 0 0 442.369 -0.230 20 0 IBADRN CC(C)[C@H](C[NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218631838 1073354070 /nfs/dbraw/zinc/35/40/70/1073354070.db2.gz ISVRTHPUYPGJPC-UXPAFGDBSA-N 0 0 442.369 -0.230 20 0 IBADRN [NH3+]CC1CC(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@H]3[C@@H]2O)C1 ZINC001218632383 1073354222 /nfs/dbraw/zinc/35/42/22/1073354222.db2.gz LETDCXHNPBOHEY-IHNGOBFWSA-N 0 0 440.353 -0.476 20 0 IBADRN [NH3+]CC1CC(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@@H]3[C@@H]2O)C1 ZINC001218632384 1073354196 /nfs/dbraw/zinc/35/41/96/1073354196.db2.gz LETDCXHNPBOHEY-SXSGDUBASA-N 0 0 440.353 -0.476 20 0 IBADRN C[C@]1(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@H]3[C@@H]2O)CC[NH2+]C1 ZINC001218633097 1073354207 /nfs/dbraw/zinc/35/42/07/1073354207.db2.gz PPUNNGORBWLFQH-PWAHPCEWSA-N 0 0 440.353 -0.462 20 0 IBADRN C[C@]1(C(=O)Nc2ncnc3c2ncn3[C@@H]2O[C@@H]3CO[P@](=O)([O-])O[C@@H]3[C@@H]2O)CC[NH2+]C1 ZINC001218633098 1073354096 /nfs/dbraw/zinc/35/40/96/1073354096.db2.gz PPUNNGORBWLFQH-WQEOLBQYSA-N 0 0 440.353 -0.462 20 0 IBADRN [NH3+]CCCCC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218633111 1073354247 /nfs/dbraw/zinc/35/42/47/1073354247.db2.gz QEUWTQBYNSMZIR-CNUFELBWSA-N 0 0 428.342 -0.332 20 0 IBADRN [NH3+]CCCCC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218633112 1073354237 /nfs/dbraw/zinc/35/42/37/1073354237.db2.gz QEUWTQBYNSMZIR-ZBTLEPIDSA-N 0 0 428.342 -0.332 20 0 IBADRN C[NH2+]CCCC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218633128 1073354161 /nfs/dbraw/zinc/35/41/61/1073354161.db2.gz QRXHMBKWRRZRQZ-CNUFELBWSA-N 0 0 428.342 -0.462 20 0 IBADRN C[NH2+]CCCC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218633129 1073354217 /nfs/dbraw/zinc/35/42/17/1073354217.db2.gz QRXHMBKWRRZRQZ-ZBTLEPIDSA-N 0 0 428.342 -0.462 20 0 IBADRN [NH3+][C@H]1CC[C@@H]1C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218633400 1073354083 /nfs/dbraw/zinc/35/40/83/1073354083.db2.gz SYBRFQBHGBTCOL-GTRIKOBUSA-N 0 0 426.326 -0.724 20 0 IBADRN [NH3+][C@H]1CC[C@@H]1C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218633401 1073354230 /nfs/dbraw/zinc/35/42/30/1073354230.db2.gz SYBRFQBHGBTCOL-HDRBPHDESA-N 0 0 426.326 -0.724 20 0 IBADRN C[NH2+]C(C)(C)C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218633418 1073354242 /nfs/dbraw/zinc/35/42/42/1073354242.db2.gz TYXPAUOEAUEHBD-ISCDUZKHSA-N 0 0 428.342 -0.463 20 0 IBADRN C[NH2+]C(C)(C)C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218633419 1073354178 /nfs/dbraw/zinc/35/41/78/1073354178.db2.gz TYXPAUOEAUEHBD-ZRPKBAQZSA-N 0 0 428.342 -0.463 20 0 IBADRN CC(C)[NH2+]CC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218634030 1073354131 /nfs/dbraw/zinc/35/41/31/1073354131.db2.gz UZUIJWSAIWMEOO-CNUFELBWSA-N 0 0 428.342 -0.463 20 0 IBADRN CC(C)[NH2+]CC(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218634031 1073354256 /nfs/dbraw/zinc/35/42/56/1073354256.db2.gz UZUIJWSAIWMEOO-ZBTLEPIDSA-N 0 0 428.342 -0.463 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)[C@H]1[C@@H]2C[NH2+]C[C@@H]21 ZINC001218634039 1073354427 /nfs/dbraw/zinc/35/44/27/1073354427.db2.gz VGEVHJPGQJQLFT-CMEUUXFCSA-N 0 0 438.337 -0.996 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)[C@@H]1[C@@H]2C[NH2+]C[C@@H]21 ZINC001218634042 1073354599 /nfs/dbraw/zinc/35/45/99/1073354599.db2.gz VGEVHJPGQJQLFT-PSLCGGKWSA-N 0 0 438.337 -0.996 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)[C@@H]1[C@@H]2C[NH2+]C[C@@H]21 ZINC001218634044 1073354547 /nfs/dbraw/zinc/35/45/47/1073354547.db2.gz VGEVHJPGQJQLFT-RGBBUYBISA-N 0 0 438.337 -0.996 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)[C@H]1[C@@H]2C[NH2+]C[C@@H]21 ZINC001218634048 1073354557 /nfs/dbraw/zinc/35/45/57/1073354557.db2.gz VGEVHJPGQJQLFT-SNTYBTCDSA-N 0 0 438.337 -0.996 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)[C@@H]1C[C@H]2C[C@H]2[NH2+]1 ZINC001218634051 1073354415 /nfs/dbraw/zinc/35/44/15/1073354415.db2.gz VHMSQAIHBBMKPT-FCWQCSEYSA-N 0 0 438.337 -0.711 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)[C@@H]1C[C@H]2C[C@H]2[NH2+]1 ZINC001218634062 1073354590 /nfs/dbraw/zinc/35/45/90/1073354590.db2.gz VHMSQAIHBBMKPT-UBBQWOORSA-N 0 0 438.337 -0.711 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)C1CC[NH2+]CC1 ZINC001218634063 1073354488 /nfs/dbraw/zinc/35/44/88/1073354488.db2.gz VHTUZFPZOUTTES-DFANHVJVSA-N 0 0 440.353 -0.462 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)C1CC[NH2+]CC1 ZINC001218634067 1073354627 /nfs/dbraw/zinc/35/46/27/1073354627.db2.gz VHTUZFPZOUTTES-HNNUMXFLSA-N 0 0 440.353 -0.462 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)[C@@H]1CCCC[NH2+]1 ZINC001218634117 1073354575 /nfs/dbraw/zinc/35/45/75/1073354575.db2.gz VXXVKHKALASVEO-KQZMOCBMSA-N 0 0 440.353 -0.319 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)[C@@H]1CCCC[NH2+]1 ZINC001218634121 1073354519 /nfs/dbraw/zinc/35/45/19/1073354519.db2.gz VXXVKHKALASVEO-UXPAFGDBSA-N 0 0 440.353 -0.319 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O)[C@@]12C[C@@H]1CC[NH2+]2 ZINC001218634140 1073354502 /nfs/dbraw/zinc/35/45/02/1073354502.db2.gz WHVSJYPLBNNIIY-BCYHBDBZSA-N 0 0 438.337 -0.709 20 0 IBADRN O=C(Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O)[C@@]12C[C@@H]1CC[NH2+]2 ZINC001218634144 1073354477 /nfs/dbraw/zinc/35/44/77/1073354477.db2.gz WHVSJYPLBNNIIY-MRFNCNQVSA-N 0 0 438.337 -0.709 20 0 IBADRN CC(C)(C[NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218634705 1073355028 /nfs/dbraw/zinc/35/50/28/1073355028.db2.gz ZNJCQCIZBKSYSZ-ISCDUZKHSA-N 0 0 428.342 -0.476 20 0 IBADRN CC(C)(C[NH3+])C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218634706 1073354906 /nfs/dbraw/zinc/35/49/06/1073354906.db2.gz ZNJCQCIZBKSYSZ-ZRPKBAQZSA-N 0 0 428.342 -0.476 20 0 IBADRN [NH3+][C@@H]1CCC[C@H]1C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@@H]2[C@@H]1O ZINC001218634711 1073354890 /nfs/dbraw/zinc/35/48/90/1073354890.db2.gz ZPITWEGYZDSKCX-PQKXHLLUSA-N 0 0 440.353 -0.334 20 0 IBADRN [NH3+][C@@H]1CCC[C@H]1C(=O)Nc1ncnc2c1ncn2[C@@H]1O[C@@H]2CO[P@](=O)([O-])O[C@H]2[C@@H]1O ZINC001218634712 1073354899 /nfs/dbraw/zinc/35/48/99/1073354899.db2.gz ZPITWEGYZDSKCX-RLCGUYTHSA-N 0 0 440.353 -0.334 20 0 IBADRN CC(C)[C@H]([NH3+])C(=O)N[C@@H](C)C(=O)N[C@@H](CC(=O)Nc1cc(=O)[n-]c(Cl)n1)C(=O)CF ZINC001218647645 1073355038 /nfs/dbraw/zinc/35/50/38/1073355038.db2.gz LZEGLRWHMDNPEC-FZNYLWTLSA-N 0 0 446.867 -0.324 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)Nc1ncc(I)cc1C(N)=O ZINC001218675066 1073355434 /nfs/dbraw/zinc/35/54/34/1073355434.db2.gz KCCGSQZHXCJNSQ-NAXOPYRSSA-N 0 0 432.218 -0.145 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)Nc1ncc(I)cc1C(N)=O ZINC001218675069 1073355415 /nfs/dbraw/zinc/35/54/15/1073355415.db2.gz KCCGSQZHXCJNSQ-SXILTZBTSA-N 0 0 432.218 -0.145 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)C[C@@H](N)C(=O)OCc1ccccc1 ZINC001218701641 1073355806 /nfs/dbraw/zinc/35/58/06/1073355806.db2.gz BOTRCNZUTKUTSK-CYBMUJFWSA-N 0 0 429.437 -0.638 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@H](N)Cc1cn(C=O)c2ccccc12 ZINC001218702069 1073355946 /nfs/dbraw/zinc/35/59/46/1073355946.db2.gz JUQXXMPRZRRUJX-CQSZACIVSA-N 0 0 438.448 -0.536 20 0 IBADRN N=c1nc2c(ncn2COCCO)c(N)n1C(=O)[C@@H](N)CC(=O)OCc1ccccc1 ZINC001218702862 1073356372 /nfs/dbraw/zinc/35/63/72/1073356372.db2.gz YEQTZLKBODIKNW-ZDUSSCGKSA-N 0 0 429.437 -0.638 20 0 IBADRN N[C@H](CC(=O)Nc1ccnc2c(N(CCO)CCO)cnn21)C(=O)OCc1ccccc1 ZINC001218770337 1073357709 /nfs/dbraw/zinc/35/77/09/1073357709.db2.gz DILCPNGMPDVEBN-MRXNPFEDSA-N 0 0 442.476 -0.080 20 0 IBADRN N[C@@H](CC(=O)OCc1ccccc1)C(=O)Nc1ccnc2c(N(CCO)CCO)cnn21 ZINC001218771482 1073357558 /nfs/dbraw/zinc/35/75/58/1073357558.db2.gz ZZOBZJZSKQSHNT-INIZCTEOSA-N 0 0 442.476 -0.080 20 0 IBADRN Nc1ncn(C(=O)c2c[n-]c3cccnc3c2=O)c(=NC[C@@H]2CC[NH2+]C[C@@H]2O)c1Cl ZINC001218784196 1073361968 /nfs/dbraw/zinc/36/19/68/1073361968.db2.gz PLXIOJIIOQMMSP-GWCFXTLKSA-N 0 0 429.868 -0.085 20 0 IBADRN Nc1ncn(C(=O)c2c[n-]c3cccnc3c2=O)c(=NC[C@@H]2CC[NH2+]C[C@H]2O)c1Cl ZINC001218784198 1073362046 /nfs/dbraw/zinc/36/20/46/1073362046.db2.gz PLXIOJIIOQMMSP-GXFFZTMASA-N 0 0 429.868 -0.085 20 0 IBADRN Nc1ncn(C(=O)c2c[n-]c3cccnc3c2=O)c(=NC[C@H]2CC[NH2+]C[C@@H]2O)c1Cl ZINC001218784200 1073362022 /nfs/dbraw/zinc/36/20/22/1073362022.db2.gz PLXIOJIIOQMMSP-MFKMUULPSA-N 0 0 429.868 -0.085 20 0 IBADRN Nc1ncn(C(=O)c2c[n-]c3cccnc3c2=O)c(=NC[C@H]2CC[NH2+]C[C@H]2O)c1Cl ZINC001218784202 1073361916 /nfs/dbraw/zinc/36/19/16/1073361916.db2.gz PLXIOJIIOQMMSP-ZWNOBZJWSA-N 0 0 429.868 -0.085 20 0 IBADRN CSc1ncc([O-])c(C(=O)n2cnc(N)c(Cl)c2=NC[C@H]2CC[NH2+]C[C@@H]2O)n1 ZINC001218787259 1073363342 /nfs/dbraw/zinc/36/33/42/1073363342.db2.gz KROZWNLISNGWTN-BDAKNGLRSA-N 0 0 425.902 -0.104 20 0 IBADRN CSc1ncc([O-])c(C(=O)n2cnc(N)c(Cl)c2=NC[C@@H]2CC[NH2+]C[C@H]2O)n1 ZINC001218787262 1073363410 /nfs/dbraw/zinc/36/34/10/1073363410.db2.gz KROZWNLISNGWTN-DTWKUNHWSA-N 0 0 425.902 -0.104 20 0 IBADRN CSc1ncc([O-])c(C(=O)n2cnc(N)c(Cl)c2=NC[C@@H]2CC[NH2+]C[C@@H]2O)n1 ZINC001218787264 1073363434 /nfs/dbraw/zinc/36/34/34/1073363434.db2.gz KROZWNLISNGWTN-IUCAKERBSA-N 0 0 425.902 -0.104 20 0 IBADRN CSc1ncc([O-])c(C(=O)n2cnc(N)c(Cl)c2=NC[C@H]2CC[NH2+]C[C@H]2O)n1 ZINC001218787266 1073363458 /nfs/dbraw/zinc/36/34/58/1073363458.db2.gz KROZWNLISNGWTN-RKDXNWHRSA-N 0 0 425.902 -0.104 20 0 IBADRN COc1nccn(C(=O)c2cc3n(n2)CCNC3)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218874453 1073365288 /nfs/dbraw/zinc/36/52/88/1073365288.db2.gz CGISUIOZPMCSRF-UHFFFAOYSA-N 0 0 429.462 -0.248 20 0 IBADRN COc1nccn(C(=O)c2ncn3c2CNCC3)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218874708 1073365147 /nfs/dbraw/zinc/36/51/47/1073365147.db2.gz FNAYLOBTMMMZPT-UHFFFAOYSA-N 0 0 429.462 -0.248 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)n2ccnc(OC)c2=NS(=O)(=O)c2ccc(N)cc2)CCN1 ZINC001218874852 1073365280 /nfs/dbraw/zinc/36/52/80/1073365280.db2.gz HPEBVLHQQDTIMR-DOMZBBRYSA-N 0 0 449.489 -0.055 20 0 IBADRN COC(=O)[C@H]1C[C@H](C(=O)n2ccnc(OC)c2=NS(=O)(=O)c2ccc(N)cc2)CCN1 ZINC001218874853 1073365010 /nfs/dbraw/zinc/36/50/10/1073365010.db2.gz HPEBVLHQQDTIMR-IUODEOHRSA-N 0 0 449.489 -0.055 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)n2ccnc(OC)c2=NS(=O)(=O)c2ccc(N)cc2)CCN1 ZINC001218874854 1073365087 /nfs/dbraw/zinc/36/50/87/1073365087.db2.gz HPEBVLHQQDTIMR-SWLSCSKDSA-N 0 0 449.489 -0.055 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)n2ccnc(OC)c2=NS(=O)(=O)c2ccc(N)cc2)CCN1 ZINC001218874855 1073365273 /nfs/dbraw/zinc/36/52/73/1073365273.db2.gz HPEBVLHQQDTIMR-WFASDCNBSA-N 0 0 449.489 -0.055 20 0 IBADRN COc1nccn(C(=O)c2cnn3c2CNCC3)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218875051 1073365187 /nfs/dbraw/zinc/36/51/87/1073365187.db2.gz KEQUCQMNVVPMSG-UHFFFAOYSA-N 0 0 429.462 -0.248 20 0 IBADRN COc1nccn(C(=O)c2c3c(nn2C)CNC3)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218875077 1073365260 /nfs/dbraw/zinc/36/52/60/1073365260.db2.gz KVINWCYBARDMKW-UHFFFAOYSA-N 0 0 429.462 -0.211 20 0 IBADRN COc1nccn(C(=O)Cn2cccc(N)c2=O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218875305 1073365566 /nfs/dbraw/zinc/36/55/66/1073365566.db2.gz PBOMNRNLALAPJZ-UHFFFAOYSA-N 0 0 430.446 -0.152 20 0 IBADRN COc1nccn(C(=O)c2cnc3n2CCNC3)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218875659 1073365644 /nfs/dbraw/zinc/36/56/44/1073365644.db2.gz WGZLSJIPGCLUOY-UHFFFAOYSA-N 0 0 429.462 -0.248 20 0 IBADRN COc1nccn(C(=O)[C@@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218875705 1073365504 /nfs/dbraw/zinc/36/55/04/1073365504.db2.gz XJFSSMIXXUVLAS-RBDSIQFVSA-N 0 0 449.489 -0.465 20 0 IBADRN COc1nccn(C(=O)[C@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218875706 1073365522 /nfs/dbraw/zinc/36/55/22/1073365522.db2.gz XJFSSMIXXUVLAS-YIKOMLBNSA-N 0 0 449.489 -0.465 20 0 IBADRN COc1nccn(C(=O)c2nnn3c2CNCC3)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218875736 1073365580 /nfs/dbraw/zinc/36/55/80/1073365580.db2.gz YOHFOOGUURDXNK-UHFFFAOYSA-N 0 0 430.450 -0.853 20 0 IBADRN Nc1cccn(CC(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)c1=O ZINC001218876011 1073366096 /nfs/dbraw/zinc/36/60/96/1073366096.db2.gz BHROKTBHKFTRJH-UHFFFAOYSA-N 0 0 435.871 -0.983 20 0 IBADRN COc1cncc(N)c1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001218876097 1073366115 /nfs/dbraw/zinc/36/61/15/1073366115.db2.gz DPELPFZLFNBGHB-UHFFFAOYSA-N 0 0 435.871 -0.127 20 0 IBADRN Nc1nn2cccnc2c1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001218876280 1073366028 /nfs/dbraw/zinc/36/60/28/1073366028.db2.gz GCCHJHFGNGGENO-UHFFFAOYSA-N 0 0 445.870 -0.488 20 0 IBADRN COc1nccn(C(=O)[C@@H]2CNCc3ccnn32)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218876663 1073366273 /nfs/dbraw/zinc/36/62/73/1073366273.db2.gz FNYFRUSRBBBWGT-HNNXBMFYSA-N 0 0 429.462 -0.055 20 0 IBADRN COc1nccn(C(=O)[C@H]2CNCc3ccnn32)c1=NS(=O)(=O)c1ccc(N)cc1 ZINC001218876666 1073366239 /nfs/dbraw/zinc/36/62/39/1073366239.db2.gz FNYFRUSRBBBWGT-OAHLLOKOSA-N 0 0 429.462 -0.055 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cc3n(n2)CCNC3)cc1Cl ZINC001218876770 1073366455 /nfs/dbraw/zinc/36/64/55/1073366455.db2.gz MTAXUTJDFJQFPT-UHFFFAOYSA-N 0 0 434.887 -0.813 20 0 IBADRN COc1ccc(N(C(=O)[C@H](N)CCCNC(N)=O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001218876833 1073366512 /nfs/dbraw/zinc/36/65/12/1073366512.db2.gz AHJPWYUWKLWLBJ-CYBMUJFWSA-N 0 0 437.482 -0.435 20 0 IBADRN COc1ccc(N(C(=O)[C@@H](N)CCCNC(N)=O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001218876835 1073366473 /nfs/dbraw/zinc/36/64/73/1073366473.db2.gz AHJPWYUWKLWLBJ-ZDUSSCGKSA-N 0 0 437.482 -0.435 20 0 IBADRN COc1ccc(N(C(=O)[C@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001218876953 1073366421 /nfs/dbraw/zinc/36/64/21/1073366421.db2.gz DNPVOAURSOMOTL-LMCLETFFSA-N 0 0 449.489 -0.079 20 0 IBADRN COc1ccc(N(C(=O)[C@@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)S(=O)(=O)c2ccc(N)cc2)nn1 ZINC001218876954 1073366259 /nfs/dbraw/zinc/36/62/59/1073366259.db2.gz DNPVOAURSOMOTL-YGSYIFCWSA-N 0 0 449.489 -0.079 20 0 IBADRN CC1(C)CN[C@H](C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)CO1 ZINC001218877227 1073366221 /nfs/dbraw/zinc/36/62/21/1073366221.db2.gz QNBBVGGVJBJZMV-VIFPVBQESA-N 0 0 426.904 -0.660 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cnn3c2CNCC3)cc1Cl ZINC001218877558 1073366401 /nfs/dbraw/zinc/36/64/01/1073366401.db2.gz SFTOUPHTZDENOL-UHFFFAOYSA-N 0 0 434.887 -0.813 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cnc3c(c2)CCN3)cc1Cl ZINC001218877576 1073366388 /nfs/dbraw/zinc/36/63/88/1073366388.db2.gz SQFQHWKADXCPPM-UHFFFAOYSA-N 0 0 431.883 -0.211 20 0 IBADRN COc1cc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)c(N)cn1 ZINC001218877644 1073366907 /nfs/dbraw/zinc/36/69/07/1073366907.db2.gz VHUKXSNSGOZKET-UHFFFAOYSA-N 0 0 435.871 -0.127 20 0 IBADRN Cn1nc2c(c1C(=O)Nc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O)CNC2 ZINC001218877951 1073366913 /nfs/dbraw/zinc/36/69/13/1073366913.db2.gz WWTRSNPAKGAOBF-UHFFFAOYSA-N 0 0 434.887 -0.776 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)c2cnc3n2CCNC3)cc1Cl ZINC001218877976 1073366705 /nfs/dbraw/zinc/36/67/05/1073366705.db2.gz XSMVCJVOOIHLML-UHFFFAOYSA-N 0 0 434.887 -0.813 20 0 IBADRN NCc1ncc(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)s1 ZINC001218877995 1073366871 /nfs/dbraw/zinc/36/68/71/1073366871.db2.gz YDBNKBXVCSDLCM-UHFFFAOYSA-N 0 0 425.901 -0.198 20 0 IBADRN C[C@]1(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)CC(F)(F)CN1 ZINC001218879087 1073367142 /nfs/dbraw/zinc/36/71/42/1073367142.db2.gz MUXWGHSNGFPIDX-LLVKDONJSA-N 0 0 432.858 -0.039 20 0 IBADRN C[C@@]1(C(=O)Nc2cc(Cl)c(S(N)(=O)=O)cc2S(N)(=O)=O)CC(F)(F)CN1 ZINC001218879088 1073367099 /nfs/dbraw/zinc/36/70/99/1073367099.db2.gz MUXWGHSNGFPIDX-NSHDSACASA-N 0 0 432.858 -0.039 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)[C@@H]2CNCC(F)(F)C2)cc1Cl ZINC001218879274 1073367658 /nfs/dbraw/zinc/36/76/58/1073367658.db2.gz POEUKBTZHHYCQZ-LURJTMIESA-N 0 0 432.858 -0.182 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)[C@H]2CNCC(F)(F)C2)cc1Cl ZINC001218879275 1073367530 /nfs/dbraw/zinc/36/75/30/1073367530.db2.gz POEUKBTZHHYCQZ-ZCFIWIBFSA-N 0 0 432.858 -0.182 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)[C@@H]2CNCc3ccnn32)cc1Cl ZINC001218879296 1073367635 /nfs/dbraw/zinc/36/76/35/1073367635.db2.gz QQEJXXDDHXZPST-JTQLQIEISA-N 0 0 434.887 -0.886 20 0 IBADRN NS(=O)(=O)c1cc(S(N)(=O)=O)c(NC(=O)[C@H]2CNCc3ccnn32)cc1Cl ZINC001218879297 1073367412 /nfs/dbraw/zinc/36/74/12/1073367412.db2.gz QQEJXXDDHXZPST-SNVBAGLBSA-N 0 0 434.887 -0.886 20 0 IBADRN COc1cc(N(C(=O)[C@@H](N)COC(C)=O)S(=O)(=O)c2ccc(N)cc2)nc(OC)n1 ZINC001218918537 1073368559 /nfs/dbraw/zinc/36/85/59/1073368559.db2.gz IHAVRIDFXHGTTC-ZDUSSCGKSA-N 0 0 439.450 -0.312 20 0 IBADRN CCOC(=O)[C@@H](N)C(=O)N(c1cc(OC)nc(OC)n1)S(=O)(=O)c1ccc(N)cc1 ZINC001218920104 1073368862 /nfs/dbraw/zinc/36/88/62/1073368862.db2.gz GAVYLTAQDTUMLQ-AWEZNQCLSA-N 0 0 439.450 -0.312 20 0 IBADRN CCOC(=O)[C@H](N)C(=O)N(c1cc(OC)nc(OC)n1)S(=O)(=O)c1ccc(N)cc1 ZINC001218920106 1073368744 /nfs/dbraw/zinc/36/87/44/1073368744.db2.gz GAVYLTAQDTUMLQ-CQSZACIVSA-N 0 0 439.450 -0.312 20 0 IBADRN COC(=O)[C@@H](N)CC(=O)N(c1cc(OC)nc(OC)n1)S(=O)(=O)c1ccc(N)cc1 ZINC001218920345 1073368811 /nfs/dbraw/zinc/36/88/11/1073368811.db2.gz KATFTPCNMWYEMB-LBPRGKRZSA-N 0 0 439.450 -0.312 20 0 IBADRN C[C@H]([NH3+])C(=O)N[C@H](CCC(=O)Nc1cccc2c(=O)cc(-c3nnn[n-]3)oc12)C(N)=O ZINC001218925621 1073369401 /nfs/dbraw/zinc/36/94/01/1073369401.db2.gz CHMCURRKXMLBRF-GZMMTYOYSA-N 0 0 428.409 -0.991 20 0 IBADRN Cc1cc(C)c(NC(=O)[C@H](C)NC(=O)[C@@H]2CCCN2C(=O)C[NH3+])c(S(=O)(=O)[O-])c1 ZINC001218947918 1073369376 /nfs/dbraw/zinc/36/93/76/1073369376.db2.gz JSPCCUUOTSMFEO-STQMWFEESA-N 0 0 426.495 -0.057 20 0 IBADRN COc1ncnc(N(C(=O)[C@@H](N)COC(C)=O)S(=O)(=O)c2ccc(N)cc2)c1OC ZINC001218961819 1073369758 /nfs/dbraw/zinc/36/97/58/1073369758.db2.gz DCYAEKRLRADJHV-ZDUSSCGKSA-N 0 0 439.450 -0.312 20 0 IBADRN COc1ncnc(N(C(=O)[C@@H](N)C(C)(C)O)S(=O)(=O)c2ccc(N)cc2)c1OC ZINC001218962268 1073369836 /nfs/dbraw/zinc/36/98/36/1073369836.db2.gz HDKZXSKCPCKXNS-CYBMUJFWSA-N 0 0 425.467 -0.104 20 0 IBADRN CCOC(=O)[C@H](N)C(=O)N(c1ncnc(OC)c1OC)S(=O)(=O)c1ccc(N)cc1 ZINC001218962330 1073369833 /nfs/dbraw/zinc/36/98/33/1073369833.db2.gz JHXPKCJWDZFBOT-GFCCVEGCSA-N 0 0 439.450 -0.312 20 0 IBADRN CCOC(=O)[C@@H](N)C(=O)N(c1ncnc(OC)c1OC)S(=O)(=O)c1ccc(N)cc1 ZINC001218962332 1073369704 /nfs/dbraw/zinc/36/97/04/1073369704.db2.gz JHXPKCJWDZFBOT-LBPRGKRZSA-N 0 0 439.450 -0.312 20 0 IBADRN COC(=O)[C@@H](N)CC(=O)N(c1ncnc(OC)c1OC)S(=O)(=O)c1ccc(N)cc1 ZINC001218963951 1073370127 /nfs/dbraw/zinc/37/01/27/1073370127.db2.gz WAJYCILDIXXXSZ-LBPRGKRZSA-N 0 0 439.450 -0.312 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001218988175 1073370520 /nfs/dbraw/zinc/37/05/20/1073370520.db2.gz CZQCSTRDXHAHDS-KQEPKPNTSA-N 0 0 431.537 -0.033 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001218988177 1073370479 /nfs/dbraw/zinc/37/04/79/1073370479.db2.gz CZQCSTRDXHAHDS-PBGAUENZSA-N 0 0 431.537 -0.033 20 0 IBADRN COC(=O)[N-]S(=O)(=O)c1ccc(NC(=O)[C@H]2Cn3nnnc3C23CC[NH2+]CC3)cc1 ZINC001219035548 1073370475 /nfs/dbraw/zinc/37/04/75/1073370475.db2.gz DKLHKHMRGFBJRQ-CYBMUJFWSA-N 0 0 435.466 -0.393 20 0 IBADRN COC(=O)[N-]S(=O)(=O)c1ccc(NC(=O)[C@@H]2Cn3nnnc3C23CC[NH2+]CC3)cc1 ZINC001219035549 1073370619 /nfs/dbraw/zinc/37/06/19/1073370619.db2.gz DKLHKHMRGFBJRQ-ZDUSSCGKSA-N 0 0 435.466 -0.393 20 0 IBADRN COC(=O)[N-]S(=O)(=O)c1ccc(NC(=O)CNC(=O)[C@@H]([NH3+])COC(C)(C)C)cc1 ZINC001219036244 1073370644 /nfs/dbraw/zinc/37/06/44/1073370644.db2.gz VTXQLOLRQVOMHO-ZDUSSCGKSA-N 0 0 430.483 -0.072 20 0 IBADRN COC(=O)[N-]S(=O)(=O)c1ccc(NC(=O)[C@@H]2COC(C)(C)N2C(=O)[C@H](C)[NH3+])cc1 ZINC001219036411 1073370434 /nfs/dbraw/zinc/37/04/34/1073370434.db2.gz YYMBGBTYMVRYDE-GWCFXTLKSA-N 0 0 428.467 -0.020 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Nc2n[nH]nc2C(=O)N2CCCC2)CC1 ZINC001219064684 1073370467 /nfs/dbraw/zinc/37/04/67/1073370467.db2.gz BLAVBIVYQUXCJY-UHFFFAOYSA-N 0 0 428.519 -0.223 20 0 IBADRN COc1ccc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1S(C)(=O)=O ZINC001219137286 1073371063 /nfs/dbraw/zinc/37/10/63/1073371063.db2.gz GPBFSFQIWALNLD-UHFFFAOYSA-N 0 0 434.540 -0.203 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)[C@@H]2Cn3nnnc3C23CC[NH2+]CC3)cc1 ZINC001219227875 1073371495 /nfs/dbraw/zinc/37/14/95/1073371495.db2.gz QWUZHCBNSJSAHO-AWEZNQCLSA-N 0 0 433.494 -0.819 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)[C@H]2Cn3nnnc3C23CC[NH2+]CC3)cc1 ZINC001219227876 1073371511 /nfs/dbraw/zinc/37/15/11/1073371511.db2.gz QWUZHCBNSJSAHO-CQSZACIVSA-N 0 0 433.494 -0.819 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)[C@@H]2Cn3cnnc3C23CC[NH2+]CC3)cc1 ZINC001219227968 1073371562 /nfs/dbraw/zinc/37/15/62/1073371562.db2.gz ULMHOXANCPBTAA-HNNXBMFYSA-N 0 0 432.506 -0.214 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)[C@H]2Cn3cnnc3C23CC[NH2+]CC3)cc1 ZINC001219227969 1073371578 /nfs/dbraw/zinc/37/15/78/1073371578.db2.gz ULMHOXANCPBTAA-OAHLLOKOSA-N 0 0 432.506 -0.214 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)[C@@H]([NH3+])CCCNC(=O)c2cnccn2)cc1 ZINC001219227978 1073371518 /nfs/dbraw/zinc/37/15/18/1073371518.db2.gz URSDIUXDZXFTPQ-HNNXBMFYSA-N 0 0 448.505 -0.429 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)[C@@H]2C[NH2+]CC23CCS(=O)(=O)CC3)cc1 ZINC001219227998 1073371452 /nfs/dbraw/zinc/37/14/52/1073371452.db2.gz VMGNVLSKQUYOMA-HNNXBMFYSA-N 0 0 443.547 -0.342 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)[C@H]2C[NH2+]CC23CCS(=O)(=O)CC3)cc1 ZINC001219227999 1073371502 /nfs/dbraw/zinc/37/15/02/1073371502.db2.gz VMGNVLSKQUYOMA-OAHLLOKOSA-N 0 0 443.547 -0.342 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)[C@H](Cc2ccccc2)NC(=O)C[NH3+])cc1 ZINC001219230446 1073371539 /nfs/dbraw/zinc/37/15/39/1073371539.db2.gz KMAGUTAPYGLVBZ-KRWDZBQOSA-N 0 0 432.502 -0.070 20 0 IBADRN CC(C)(C)OC[C@H]([NH3+])C(=O)NCC(=O)Nc1ccc(CC(=O)[N-]S(C)(=O)=O)cc1 ZINC001219230550 1073371587 /nfs/dbraw/zinc/37/15/87/1073371587.db2.gz ODVNULANFKCNBC-AWEZNQCLSA-N 0 0 428.511 -0.498 20 0 IBADRN CS(=O)(=O)[N-]C(=O)Cc1ccc(NC(=O)CNC(=O)[C@@H]([NH3+])Cc2ccccc2)cc1 ZINC001219230763 1073371433 /nfs/dbraw/zinc/37/14/33/1073371433.db2.gz WOLPRIWAMFDPFQ-KRWDZBQOSA-N 0 0 432.502 -0.070 20 0 IBADRN C[C@H]([NH3+])C(=O)N1[C@H](C(=O)Nc2ccc(CC(=O)[N-]S(C)(=O)=O)cc2)COC1(C)C ZINC001219230893 1073371572 /nfs/dbraw/zinc/37/15/72/1073371572.db2.gz ZZPUJYMYRRVRJZ-FZMZJTMJSA-N 0 0 426.495 -0.446 20 0 IBADRN NC(=O)CN1CCN(C(=O)Nc2cc(C(F)(F)F)ccc2N2CCNC(=O)C2)CC1=O ZINC001219299863 1073371421 /nfs/dbraw/zinc/37/14/21/1073371421.db2.gz PWVUHPBLWMGREI-UHFFFAOYSA-N 0 0 442.398 -0.197 20 0 IBADRN CCOC(=O)C[C@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(F)(F)F ZINC001219392582 1073371915 /nfs/dbraw/zinc/37/19/15/1073371915.db2.gz INJXTXGFMNHDSD-JTQLQIEISA-N 0 0 436.391 -0.458 20 0 IBADRN CCOC(=O)C[C@@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)C(F)(F)F ZINC001219392586 1073372033 /nfs/dbraw/zinc/37/20/33/1073372033.db2.gz INJXTXGFMNHDSD-SNVBAGLBSA-N 0 0 436.391 -0.458 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)Nc2cccc(CN3CCCS3(=O)=O)c2)CC1 ZINC001219424357 1073371928 /nfs/dbraw/zinc/37/19/28/1073371928.db2.gz OAEYOHGRVACNPA-UHFFFAOYSA-N 0 0 445.567 -0.340 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cccc(CN3CCCS3(=O)=O)c2)CC1 ZINC001219424985 1073372018 /nfs/dbraw/zinc/37/20/18/1073372018.db2.gz ZGOXIMDLVOVUEB-UHFFFAOYSA-N 0 0 431.540 -0.164 20 0 IBADRN Nc1ccc(S(=O)(=O)N=c2cncc(Cl)n2C(=O)c2nnn3c2CNCC3)cc1 ZINC001219469181 1073372364 /nfs/dbraw/zinc/37/23/64/1073372364.db2.gz ACAWFEPSLAPCNJ-UHFFFAOYSA-N 0 0 434.869 -0.209 20 0 IBADRN CCS(=O)(=O)N(C)c1ccc(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cc1 ZINC001219507631 1073372907 /nfs/dbraw/zinc/37/29/07/1073372907.db2.gz TZUOMCMKGCXWSS-UHFFFAOYSA-N 0 0 436.498 -0.165 20 0 IBADRN CCOC(=O)c1cc(N)cc(NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(C)=O)c1 ZINC001219524856 1073372872 /nfs/dbraw/zinc/37/28/72/1073372872.db2.gz XVUIEOUDLMMBPN-SRVKXCTJSA-N 0 0 435.481 -0.082 20 0 IBADRN CSc1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ncn1 ZINC001219552551 1073372984 /nfs/dbraw/zinc/37/29/84/1073372984.db2.gz HMEFXZYYAIEWHP-LLVKDONJSA-N 0 0 435.553 -0.135 20 0 IBADRN CSc1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ncn1 ZINC001219552554 1073372942 /nfs/dbraw/zinc/37/29/42/1073372942.db2.gz HMEFXZYYAIEWHP-NSHDSACASA-N 0 0 435.553 -0.135 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)NC[C@@]2(OC)CCS(=O)(=O)C2)c1 ZINC001219662219 1073373685 /nfs/dbraw/zinc/37/36/85/1073373685.db2.gz KORJAVLJOCLQGQ-INIZCTEOSA-N 0 0 435.524 -0.064 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)NC[C@]2(OC)CCS(=O)(=O)C2)c1 ZINC001219662220 1073373748 /nfs/dbraw/zinc/37/37/48/1073373748.db2.gz KORJAVLJOCLQGQ-MRXNPFEDSA-N 0 0 435.524 -0.064 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)c1 ZINC001219662856 1073374211 /nfs/dbraw/zinc/37/42/11/1073374211.db2.gz SJXHPFFFPLCMJJ-XHSDSOJGSA-N 0 0 447.535 -0.113 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC001219663160 1073374350 /nfs/dbraw/zinc/37/43/50/1073374350.db2.gz VUGHYCFTKPMDRJ-UHFFFAOYSA-N 0 0 449.555 -0.433 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N2CCC(O)(COCCO)CC2)c1 ZINC001219663399 1073374496 /nfs/dbraw/zinc/37/44/96/1073374496.db2.gz XUCHPYQEHAERPP-UHFFFAOYSA-N 0 0 431.511 -0.021 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC001219663447 1073374265 /nfs/dbraw/zinc/37/42/65/1073374265.db2.gz YYGJVLOQOWDYEL-UHFFFAOYSA-N 0 0 427.527 -0.151 20 0 IBADRN Cc1ccc(S(=O)(=O)n2cnc(C[C@@H](N)C(=O)N[C@@H]3CCS(=O)(=O)C3)c2)cc1 ZINC001219669451 1073374240 /nfs/dbraw/zinc/37/42/40/1073374240.db2.gz YIODDUQTSBNSOH-CZUORRHYSA-N 0 0 426.520 -0.398 20 0 IBADRN Cc1ccc(S(=O)(=O)n2cnc(C[C@@H](N)C(=O)N[C@H]3CCS(=O)(=O)C3)c2)cc1 ZINC001219669452 1073374370 /nfs/dbraw/zinc/37/43/70/1073374370.db2.gz YIODDUQTSBNSOH-XJKSGUPXSA-N 0 0 426.520 -0.398 20 0 IBADRN CSCC[C@H](NC(=O)/C=C/c1ccco1)C(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001219676120 1073374314 /nfs/dbraw/zinc/37/43/14/1073374314.db2.gz ABBFSXSMBAILBU-APHBUQMISA-N 0 0 444.579 -0.118 20 0 IBADRN O=C(CCC(=O)Nc1ccc(Br)cn1)NCCS(=O)(=O)N1CCNCC1 ZINC001219676173 1073374507 /nfs/dbraw/zinc/37/45/07/1073374507.db2.gz AZHAMJFMPKEYAF-UHFFFAOYSA-N 0 0 448.343 -0.086 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)C1CCN(C(=O)c2cc(Cl)ccn2)CC1 ZINC001219676233 1073374458 /nfs/dbraw/zinc/37/44/58/1073374458.db2.gz CEABLTSUOZERHG-UHFFFAOYSA-N 0 0 443.957 -0.062 20 0 IBADRN O=C(N[C@@H](Cc1c[nH]cn1)C(=O)NCCS(=O)(=O)N1CCNCC1)c1ccccc1 ZINC001219676302 1073374291 /nfs/dbraw/zinc/37/42/91/1073374291.db2.gz FCLZHDKAVXIWDT-KRWDZBQOSA-N 0 0 434.522 -0.898 20 0 IBADRN O=C(N[C@@H](Cc1ccccc1)C(=O)NCCS(=O)(=O)N1CCNCC1)c1cnccn1 ZINC001219676549 1073374191 /nfs/dbraw/zinc/37/41/91/1073374191.db2.gz OIVWJAMNMAWDJX-KRWDZBQOSA-N 0 0 446.533 -0.831 20 0 IBADRN O=C(CCCC(=O)N1CC(=O)Nc2ccccc21)NCCS(=O)(=O)N1CCNCC1 ZINC001219676705 1073374223 /nfs/dbraw/zinc/37/42/23/1073374223.db2.gz UGLHTZLXKWQSHX-UHFFFAOYSA-N 0 0 437.522 -0.507 20 0 IBADRN O=C(CC[C@@H]1NC(=S)N(c2ccccc2)C1=O)NCCS(=O)(=O)N1CCNCC1 ZINC001219678062 1073374353 /nfs/dbraw/zinc/37/43/53/1073374353.db2.gz AVLGEWBPDXALLM-HNNXBMFYSA-N 0 0 439.563 -0.592 20 0 IBADRN O=C(CC[C@H]1NC(=S)N(c2ccccc2)C1=O)NCCS(=O)(=O)N1CCNCC1 ZINC001219678063 1073374272 /nfs/dbraw/zinc/37/42/72/1073374272.db2.gz AVLGEWBPDXALLM-OAHLLOKOSA-N 0 0 439.563 -0.592 20 0 IBADRN CC(C)(OC(=O)[C@@H](N)CCC(=O)NCCS(=O)(=O)N1CCNCC1)c1ccccc1 ZINC001219678115 1073374665 /nfs/dbraw/zinc/37/46/65/1073374665.db2.gz CWZRRRHFLHBJQZ-KRWDZBQOSA-N 0 0 440.566 -0.076 20 0 IBADRN O=C(CN(Cc1ccccc1)C(=O)C(F)(F)F)NCCS(=O)(=O)N1CCNCC1 ZINC001219678170 1073374875 /nfs/dbraw/zinc/37/48/75/1073374875.db2.gz GBXVJYRQFRRZCW-UHFFFAOYSA-N 0 0 436.456 -0.071 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)c1c(I)ccnc1F ZINC001219678204 1073374798 /nfs/dbraw/zinc/37/47/98/1073374798.db2.gz HDCQRHTXBVIMDX-UHFFFAOYSA-N 0 0 442.254 -0.210 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)CCC(=O)NCCS(=O)(=O)N2CCNCC2)cc1 ZINC001219678297 1073375019 /nfs/dbraw/zinc/37/50/19/1073375019.db2.gz JTDPVPDDHNDMQM-UHFFFAOYSA-N 0 0 431.536 -0.596 20 0 IBADRN COc1cccc(C(=O)N2CCC(C(=O)NCCS(=O)(=O)N3CCNCC3)CC2)c1 ZINC001219678366 1073374685 /nfs/dbraw/zinc/37/46/85/1073374685.db2.gz MDLYJCSQIUCJLT-UHFFFAOYSA-N 0 0 438.550 -0.101 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCS(=O)(=O)N2CCNCC2)cc1 ZINC001219678464 1073374898 /nfs/dbraw/zinc/37/48/98/1073374898.db2.gz OZMHKTXXMJFNKH-KRWDZBQOSA-N 0 0 444.579 -0.501 20 0 IBADRN CC(C)N(CC(=O)NCCS(=O)(=O)N1CCNCC1)S(=O)(=O)c1ccccc1 ZINC001219678493 1073375074 /nfs/dbraw/zinc/37/50/74/1073375074.db2.gz QITRASKSRDKHCM-UHFFFAOYSA-N 0 0 432.568 -0.563 20 0 IBADRN O=C(Cc1cn2cc(Br)ccc2n1)NCCS(=O)(=O)N1CCNCC1 ZINC001219678523 1073374922 /nfs/dbraw/zinc/37/49/22/1073374922.db2.gz RMDRVPIUVYWSGF-UHFFFAOYSA-N 0 0 430.328 -0.010 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001219678549 1073374639 /nfs/dbraw/zinc/37/46/39/1073374639.db2.gz SKEGDTPRZVKULS-LBPRGKRZSA-N 0 0 438.959 -0.642 20 0 IBADRN CC(=O)N[C@@H](CSCc1ccccc1)C(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001219678562 1073375574 /nfs/dbraw/zinc/37/55/74/1073375574.db2.gz SUQXGRRADOBTIQ-KRWDZBQOSA-N 0 0 428.580 -0.224 20 0 IBADRN O=C(CCCCCNS(=O)(=O)c1ccccc1)NCCS(=O)(=O)N1CCNCC1 ZINC001219678648 1073375565 /nfs/dbraw/zinc/37/55/65/1073375565.db2.gz YAYXJZMEJCFLNF-UHFFFAOYSA-N 0 0 446.595 -0.124 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)c1cc(O)cc(I)c1 ZINC001219680351 1073375237 /nfs/dbraw/zinc/37/52/37/1073375237.db2.gz DLMJXIIQAWTRJG-UHFFFAOYSA-N 0 0 439.275 -0.038 20 0 IBADRN COc1nc(OC)c(C(=O)NCCS(=O)(=O)N2CCNCC2)cc1Br ZINC001219680365 1073375296 /nfs/dbraw/zinc/37/52/96/1073375296.db2.gz FGMHYPPFSXZQQB-UHFFFAOYSA-N 0 0 437.316 -0.174 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)c1cc(I)c[nH]c1=O ZINC001219680372 1073375401 /nfs/dbraw/zinc/37/54/01/1073375401.db2.gz FPNWSUADBXKHCY-UHFFFAOYSA-N 0 0 440.263 -0.643 20 0 IBADRN Cc1nc(I)oc1C(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001219680375 1073375553 /nfs/dbraw/zinc/37/55/53/1073375553.db2.gz FTIFFDHVLWZBER-UHFFFAOYSA-N 0 0 428.252 -0.448 20 0 IBADRN Nc1nc(C(=O)NCCS(=O)(=O)N2CCNCC2)c(I)s1 ZINC001219680396 1073375344 /nfs/dbraw/zinc/37/53/44/1073375344.db2.gz GHUPTNWAOVKSDG-UHFFFAOYSA-N 0 0 445.308 -0.705 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](N)Cc1ccc(C(=O)NCCS(=O)(=O)N2CCNCC2)cc1 ZINC001219680422 1073375510 /nfs/dbraw/zinc/37/55/10/1073375510.db2.gz HNYSBEHXIPRMNV-KRWDZBQOSA-N 0 0 440.566 -0.137 20 0 IBADRN CC(C)(C)OC(=O)[C@H](N)Cc1ccc(C(=O)NCCS(=O)(=O)N2CCNCC2)cc1 ZINC001219680423 1073375529 /nfs/dbraw/zinc/37/55/29/1073375529.db2.gz HNYSBEHXIPRMNV-QGZVFWFLSA-N 0 0 440.566 -0.137 20 0 IBADRN COc1ccc(Cn2ccnc(C(=O)NCCS(=O)(=O)N3CCNCC3)c2=O)cc1 ZINC001219680424 1073375500 /nfs/dbraw/zinc/37/55/00/1073375500.db2.gz HODDDFVNZNJMNG-UHFFFAOYSA-N 0 0 435.506 -0.735 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)N2CCNCC2)c(N2CCCC2)c1 ZINC001219680577 1073375468 /nfs/dbraw/zinc/37/54/68/1073375468.db2.gz NZKMFCABXFIMDH-UHFFFAOYSA-N 0 0 444.579 -0.345 20 0 IBADRN Cc1ccc(S(=O)(=O)n2ccc(C(=O)NCCS(=O)(=O)N3CCNCC3)c2)cc1 ZINC001219680634 1073375384 /nfs/dbraw/zinc/37/53/84/1073375384.db2.gz QEQMEUFURJGULS-UHFFFAOYSA-N 0 0 440.547 -0.002 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)c1nccnc1I ZINC001219680647 1073375321 /nfs/dbraw/zinc/37/53/21/1073375321.db2.gz QRJODTKTYCLTAT-UHFFFAOYSA-N 0 0 425.252 -0.954 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)c1ccc(S(=O)(=O)N(Cl)Cl)cc1 ZINC001219680721 1073375420 /nfs/dbraw/zinc/37/54/20/1073375420.db2.gz VKPQQVWGGGOXBW-UHFFFAOYSA-N 0 0 445.350 -0.050 20 0 IBADRN CCn1cc(C(=O)NCCS(=O)(=O)N2CCNCC2)c(=O)c2cc3c(cc21)OCO3 ZINC001219681818 1073375972 /nfs/dbraw/zinc/37/59/72/1073375972.db2.gz BMRSQBZZPWFZDS-UHFFFAOYSA-N 0 0 436.490 -0.285 20 0 IBADRN Cn1nc(C(=O)NCCS(=O)(=O)N2CCNCC2)c2cc(Br)cnc21 ZINC001219681819 1073376085 /nfs/dbraw/zinc/37/60/85/1073376085.db2.gz BPRHNRMPNPUZMM-UHFFFAOYSA-N 0 0 431.316 -0.304 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)c1nc2c(Br)cc(F)cn2n1 ZINC001219681830 1073375858 /nfs/dbraw/zinc/37/58/58/1073375858.db2.gz BYGHSSUJKKKNPL-UHFFFAOYSA-N 0 0 435.279 -0.404 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)c1nn(-c2ccccc2)c(=O)c2ncccc12 ZINC001219681831 1073375908 /nfs/dbraw/zinc/37/59/08/1073375908.db2.gz BYMLSVVEQJTFEC-UHFFFAOYSA-N 0 0 442.501 -0.255 20 0 IBADRN CCn1nc(C(=O)NCCS(=O)(=O)N2CCNCC2)c(=O)c2cc3c(cc21)OCO3 ZINC001219682811 1073375996 /nfs/dbraw/zinc/37/59/96/1073375996.db2.gz QJLIHNADXRIZAK-UHFFFAOYSA-N 0 0 437.478 -0.890 20 0 IBADRN CC(=O)[N-]S(=O)(=O)c1ccc(NC(=O)c2ccccc2C(=O)N[C@@H]2C[NH2+]C[C@H]2O)cc1 ZINC001219714654 1073376359 /nfs/dbraw/zinc/37/63/59/1073376359.db2.gz RZLKHIMCCHZJKP-QZTJIDSGSA-N 0 0 446.485 -0.174 20 0 IBADRN CN(C)c1cncc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001219755097 1073377206 /nfs/dbraw/zinc/37/72/06/1073377206.db2.gz KIEWYXVLTDCKIY-HNNXBMFYSA-N 0 0 431.540 -0.186 20 0 IBADRN CN(C)c1cncc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001219755098 1073377498 /nfs/dbraw/zinc/37/74/98/1073377498.db2.gz KIEWYXVLTDCKIY-OAHLLOKOSA-N 0 0 431.540 -0.186 20 0 IBADRN CNC(=O)COc1cc(C(=O)OC)ccc1NC(=O)NCCC(=O)N1CCN(C)CC1 ZINC001219764223 1073377462 /nfs/dbraw/zinc/37/74/62/1073377462.db2.gz RZOWQDLXGNQLCQ-UHFFFAOYSA-N 0 0 435.481 -0.116 20 0 IBADRN CC(=O)N[C@H](C(=O)c1ccccc1)[C@H](O)C(=O)NCc1cc(=O)n2c(n1)CNCCC2 ZINC001219805668 1073377666 /nfs/dbraw/zinc/37/76/66/1073377666.db2.gz BNMGEXVFCAMSLN-QUCCMNQESA-N 0 0 427.461 -0.899 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CNCCC2)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001219807388 1073377799 /nfs/dbraw/zinc/37/77/99/1073377799.db2.gz DNWSZUKRMFOZEW-UHFFFAOYSA-N 0 0 447.517 -0.313 20 0 IBADRN COC(=O)N[C@H](C(=O)N1CCC[C@H]1C(=O)NCc1cc(=O)n2c(n1)CNCCC2)C(C)C ZINC001219807661 1073377851 /nfs/dbraw/zinc/37/78/51/1073377851.db2.gz KZYVBAHLIDHKDI-YJBOKZPZSA-N 0 0 448.524 -0.276 20 0 IBADRN COc1cc(S(N)(=O)=O)cc(C(=O)NCc2cc(=O)n3c(n2)CNCCC3)c1OC ZINC001219808082 1073377691 /nfs/dbraw/zinc/37/76/91/1073377691.db2.gz TWNDNOHYYOBLQX-UHFFFAOYSA-N 0 0 437.478 -0.669 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N[C@H]2CCc3c(ccc(=O)n3CCn3cccn3)C2)c(=O)[nH]1 ZINC001219895401 1073380881 /nfs/dbraw/zinc/38/08/81/1073380881.db2.gz CGXFGNJTSUZDTI-AWEZNQCLSA-N 0 0 449.475 -0.154 20 0 IBADRN Nc1nc2c(ncn2CC(=O)N[C@@H]2CCc3c(ccc(=O)n3CCn3cccn3)C2)c(=O)[nH]1 ZINC001219895402 1073380800 /nfs/dbraw/zinc/38/08/00/1073380800.db2.gz CGXFGNJTSUZDTI-CQSZACIVSA-N 0 0 449.475 -0.154 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N[C@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001219896121 1073380903 /nfs/dbraw/zinc/38/09/03/1073380903.db2.gz LSAXUDRBABEORW-GDNCQQSUSA-N 0 0 427.505 -0.150 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)N[C@@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001219896122 1073380757 /nfs/dbraw/zinc/38/07/57/1073380757.db2.gz LSAXUDRBABEORW-HHGNXTJHSA-N 0 0 427.505 -0.150 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N[C@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001219896123 1073380793 /nfs/dbraw/zinc/38/07/93/1073380793.db2.gz LSAXUDRBABEORW-NTNYXWSSSA-N 0 0 427.505 -0.150 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)N[C@@H]1CCc2c(ccc(=O)n2CCn2cccn2)C1 ZINC001219896124 1073380918 /nfs/dbraw/zinc/38/09/18/1073380918.db2.gz LSAXUDRBABEORW-TWFRWHMKSA-N 0 0 427.505 -0.150 20 0 IBADRN CN1CCn2nc(NC(=O)NCCS(=O)(=O)N3CCN(c4ccccn4)CC3)cc2C1 ZINC001219903066 1073381890 /nfs/dbraw/zinc/38/18/90/1073381890.db2.gz PRUMSQGKIFZGDA-UHFFFAOYSA-N 0 0 448.553 -0.003 20 0 IBADRN CC(C)[C@H]([NH3+])C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(=O)N[C@@H]1SC(=O)[N-]C1=O ZINC001219904623 1073381930 /nfs/dbraw/zinc/38/19/30/1073381930.db2.gz YRWIPTWZHYLMPW-XAYKYTGQSA-N 0 0 435.506 -0.761 20 0 IBADRN CC(C)[C@H]([NH3+])C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(=O)N[C@H]1SC(=O)[N-]C1=O ZINC001219904624 1073381709 /nfs/dbraw/zinc/38/17/09/1073381709.db2.gz YRWIPTWZHYLMPW-YEWDVWPNSA-N 0 0 435.506 -0.761 20 0 IBADRN CCN1CCN(C(=O)N[C@@H](C(=O)N[C@H]2CN[C@H](C(=O)OC)C2)c2ccccc2)C(=O)C1=O ZINC001219965491 1073382437 /nfs/dbraw/zinc/38/24/37/1073382437.db2.gz PFCSPNGRTJRMBD-OWCLPIDISA-N 0 0 445.476 -0.852 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)[C@@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)CN1 ZINC001219965517 1073382411 /nfs/dbraw/zinc/38/24/11/1073382411.db2.gz QJCKMFMPQYHTQU-ILXRZTDVSA-N 0 0 434.497 -0.726 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)[C@H]2Cn3ccnc3C3(CCN(C(=O)N(C)C)CC3)O2)CN1 ZINC001219965518 1073382429 /nfs/dbraw/zinc/38/24/29/1073382429.db2.gz QJCKMFMPQYHTQU-QLFBSQMISA-N 0 0 434.497 -0.726 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)CN(CC(=O)OC(C)(C)C)CC(=O)OC(C)(C)C)CN1 ZINC001219965700 1073382354 /nfs/dbraw/zinc/38/23/54/1073382354.db2.gz XNGGBZAAALGWOV-KGLIPLIRSA-N 0 0 429.514 -0.009 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)c2ccc3nnc([C@@H]4CCN(S(C)(=O)=O)C4)n3c2)CN1 ZINC001219967652 1073382400 /nfs/dbraw/zinc/38/24/00/1073382400.db2.gz DMFAAKQLOBGEBS-BNOWGMLFSA-N 0 0 436.494 -0.889 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)c2ccc3nnc([C@H]4CCN(S(C)(=O)=O)C4)n3c2)CN1 ZINC001219967653 1073382489 /nfs/dbraw/zinc/38/24/89/1073382489.db2.gz DMFAAKQLOBGEBS-YUTCNCBUSA-N 0 0 436.494 -0.889 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)c2ccc3ccc(N4CCN(S(C)(=O)=O)CC4)n3c2)CN1 ZINC001219967986 1073382364 /nfs/dbraw/zinc/38/23/64/1073382364.db2.gz RKJHJUHAJDOWQG-WBVHZDCISA-N 0 0 449.533 -0.346 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NC(=O)[C@H](Cc2c[nH]c3ccccc23)NC(=O)[C@@H]2CCC(=O)N2)CN1 ZINC001219968017 1073382388 /nfs/dbraw/zinc/38/23/88/1073382388.db2.gz SIVACCWZLQRYOE-QCSYZSNVSA-N 0 0 441.488 -0.507 20 0 IBADRN Cn1ncc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1Cl ZINC001219995807 1073382679 /nfs/dbraw/zinc/38/26/79/1073382679.db2.gz OKLGSVIDRNWQFJ-JTQLQIEISA-N 0 0 425.920 -0.260 20 0 IBADRN Cn1ncc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1Cl ZINC001219995808 1073382770 /nfs/dbraw/zinc/38/27/70/1073382770.db2.gz OKLGSVIDRNWQFJ-SNVBAGLBSA-N 0 0 425.920 -0.260 20 0 IBADRN COc1ccc(C[C@@H](C)NC(=O)Cn2cnc3c2nc(N)[nH]c3=O)cc1S(N)(=O)=O ZINC001220041492 1073383772 /nfs/dbraw/zinc/38/37/72/1073383772.db2.gz OBEWBHRLEGBHAY-SECBINFHSA-N 0 0 435.466 -0.483 20 0 IBADRN CC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1ccc(N)nc1C ZINC001220071986 1073384267 /nfs/dbraw/zinc/38/42/67/1073384267.db2.gz ZKJVGAKEHBQSFC-IRXDYDNUSA-N 0 0 430.509 -0.293 20 0 IBADRN C[C@H]1[C@H](NC(=O)[C@@H]([NH3+])Cc2cn(COCc3ccccc3)cn2)C(=O)N1S(=O)(=O)[O-] ZINC001220098968 1073384039 /nfs/dbraw/zinc/38/40/39/1073384039.db2.gz AUDZEKGCQFJHKS-RCBQFDQVSA-N 0 0 437.478 -0.555 20 0 IBADRN COc1ccc2c(C[C@H]([NH3+])C(=O)N[C@@H]3C(=O)N(S(=O)(=O)[O-])[C@H]3C)cc(=O)oc2c1 ZINC001220101310 1073384674 /nfs/dbraw/zinc/38/46/74/1073384674.db2.gz HYNNVGFHXYDXLW-LFSCMUJPSA-N 0 0 425.419 -0.810 20 0 IBADRN [NH3+][C@@H](Cc1nnn[n-]1)C(=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1 ZINC001220102956 1073384573 /nfs/dbraw/zinc/38/45/73/1073384573.db2.gz AEGKUJIWAUJNJG-JSGCOSHPSA-N 0 0 434.432 -0.813 20 0 IBADRN O=C(CN1CCNCC1=O)NC[C@H]1CN(c2ccc(N3CCOCC3)c(F)c2)C(=O)O1 ZINC001220103352 1073384503 /nfs/dbraw/zinc/38/45/03/1073384503.db2.gz PAAHYVBZGSRJOB-HNNXBMFYSA-N 0 0 435.456 -0.465 20 0 IBADRN COC(=O)[C@H](CCC(=O)[O-])NC(=O)[C@H](CC(C)C)NC(=O)[C@@H]1CCCN1C(=O)[C@H](C)[NH3+] ZINC001220104255 1073384496 /nfs/dbraw/zinc/38/44/96/1073384496.db2.gz AMWGILITEBAXIF-AJNGGQMLSA-N 0 0 442.513 -0.622 20 0 IBADRN COC(=O)[C@H](CCC(=O)[O-])NC(=O)c1cccc(S(=O)(=O)NC2CC[NH2+]CC2)c1 ZINC001220105186 1073385016 /nfs/dbraw/zinc/38/50/16/1073385016.db2.gz VLKYWNBHFUIOTC-HNNXBMFYSA-N 0 0 427.479 -0.147 20 0 IBADRN CSCC[C@H]([NH3+])C(=O)N[C@@H](CCSC)C(=O)NC(=N)SCCS(=O)(=O)[O-] ZINC001220127454 1073385150 /nfs/dbraw/zinc/38/51/50/1073385150.db2.gz WBHZOAOXSZFWGH-UWVGGRQHSA-N 0 0 446.642 -0.023 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)Nc2ccn(CCN3CC[NH+]=C3[O-])n2)CC1 ZINC001220131312 1073385072 /nfs/dbraw/zinc/38/50/72/1073385072.db2.gz MSWJNHOHIDOFMR-UHFFFAOYSA-N 0 0 428.519 -0.699 20 0 IBADRN [NH3+][C@@H](Cc1nnn[n-]1)C(=O)Nc1c(Br)c(=O)[nH]c(=O)n1Cc1ccccc1 ZINC001220134580 1073385215 /nfs/dbraw/zinc/38/52/15/1073385215.db2.gz KETWEPUDRMXJBU-VIFPVBQESA-N 0 0 435.242 -0.219 20 0 IBADRN Cc1ccc(S(=O)(=O)n2cnc(C[C@@H](N)C(=O)N[C@H]3C[C@H](S(C)(=O)=O)C3)c2)cc1 ZINC001220139676 1073385595 /nfs/dbraw/zinc/38/55/95/1073385595.db2.gz VLTCKCWTOCTIPD-KBRIMQKVSA-N 0 0 440.547 -0.010 20 0 IBADRN CCOc1cc([C@@H](CS(C)(=O)=O)NC(=O)[C@H](C)NC(=O)[C@@H](N)CO)ccc1OC ZINC001220195849 1073386129 /nfs/dbraw/zinc/38/61/29/1073386129.db2.gz SEPGUNGWCOJSGJ-FPMFFAJLSA-N 0 0 431.511 -0.880 20 0 IBADRN COC(=O)Cn1ncc2ccc(NC(=O)NCCS(=O)(=O)N3CCOCC3)cc21 ZINC001220199929 1073386033 /nfs/dbraw/zinc/38/60/33/1073386033.db2.gz UQXBASGGSGSTDV-UHFFFAOYSA-N 0 0 425.467 -0.007 20 0 IBADRN CC(C)(C)OC(=O)CN1c2ccccc2CC[C@H](NC(=O)[C@@H]([NH3+])Cc2nnn[n-]2)C1=O ZINC001220222988 1073386458 /nfs/dbraw/zinc/38/64/58/1073386458.db2.gz MMUINQXFXHDJIX-KBPBESRZSA-N 0 0 429.481 -0.125 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H]1CNCc2ccnn21)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220281965 1073387646 /nfs/dbraw/zinc/38/76/46/1073387646.db2.gz DYPFLJVFPWXGJV-BBWFWOEESA-N 0 0 436.490 -0.288 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H]1CNCc2ccnn21)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220281967 1073387679 /nfs/dbraw/zinc/38/76/79/1073387679.db2.gz DYPFLJVFPWXGJV-ZACQAIPSSA-N 0 0 436.490 -0.288 20 0 IBADRN CCOC(=O)[C@H](NC(=O)CC[C@H](N)C(=O)OC)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220282543 1073388013 /nfs/dbraw/zinc/38/80/13/1073388013.db2.gz LAJNIEQNXLHMCV-IMJJTQAJSA-N 0 0 430.479 -0.548 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1c2c(nn1C)CNC2)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220283980 1073388120 /nfs/dbraw/zinc/38/81/20/1073388120.db2.gz ATOZQQBLRZYVFZ-WBVHZDCISA-N 0 0 436.490 -0.178 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1cnc2n1CCNC2)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220284040 1073388512 /nfs/dbraw/zinc/38/85/12/1073388512.db2.gz CQBYEDJTIICNQI-SJORKVTESA-N 0 0 436.490 -0.215 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1cnn2c1CNCC2)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220284056 1073388442 /nfs/dbraw/zinc/38/84/42/1073388442.db2.gz DJUJQZFUPWIYQO-SJORKVTESA-N 0 0 436.490 -0.215 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1cc2n(n1)CCNC2)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220284154 1073388482 /nfs/dbraw/zinc/38/84/82/1073388482.db2.gz HXVANDKCIJVANK-SJORKVTESA-N 0 0 436.490 -0.215 20 0 IBADRN CCOC(=O)[C@H](NC(=O)Cn1cccc(N)c1=O)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220284256 1073388429 /nfs/dbraw/zinc/38/84/29/1073388429.db2.gz LEUDITTVJUWGEQ-SJORKVTESA-N 0 0 437.474 -0.385 20 0 IBADRN CCOC(=O)[C@H](NC(=O)c1nnn2c1CNCC2)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220284286 1073388983 /nfs/dbraw/zinc/38/89/83/1073388983.db2.gz MCBRQWUGORHHIT-CVEARBPZSA-N 0 0 437.478 -0.820 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H]1COC(C)(C)CN1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001220284648 1073388904 /nfs/dbraw/zinc/38/89/04/1073388904.db2.gz UQZMJXBBUGXDMX-XHSDSOJGSA-N 0 0 428.507 -0.062 20 0 IBADRN Cn1cc(Br)c(NC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1=O ZINC001220289639 1073388853 /nfs/dbraw/zinc/38/88/53/1073388853.db2.gz LLHXWORGXSCYPN-UHFFFAOYSA-N 0 0 446.287 -0.196 20 0 IBADRN COC(=O)c1n[nH]cc1NC(=O)[C@@H]1CCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001220373480 1073389396 /nfs/dbraw/zinc/38/93/96/1073389396.db2.gz OQUOFCRRBAMZRB-KBPBESRZSA-N 0 0 434.453 -0.747 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cnc4c(c3)CNC4=O)CC2)C[C@H](C)O1 ZINC001220374752 1073389406 /nfs/dbraw/zinc/38/94/06/1073389406.db2.gz PLAQKVURJRPOOQ-BETUJISGSA-N 0 0 438.510 -0.172 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cnc4c(c3)CNC4=O)CC2)C[C@@H](C)O1 ZINC001220374753 1073389419 /nfs/dbraw/zinc/38/94/19/1073389419.db2.gz PLAQKVURJRPOOQ-CHWSQXEVSA-N 0 0 438.510 -0.172 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Nc3cnc4c(c3)CNC4=O)CC2)C[C@H](C)O1 ZINC001220374754 1073389504 /nfs/dbraw/zinc/38/95/04/1073389504.db2.gz PLAQKVURJRPOOQ-STQMWFEESA-N 0 0 438.510 -0.172 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H](C)[NH3+])C(=O)NCCCC[P@@](=O)([O-])O ZINC001220375329 1073389804 /nfs/dbraw/zinc/38/98/04/1073389804.db2.gz NXADEJNKQMRKSP-KKUMJFAQSA-N 0 0 434.474 -0.070 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cccn(Cc3cccc(OC)n3)c2=O)CC1 ZINC001220414023 1073389857 /nfs/dbraw/zinc/38/98/57/1073389857.db2.gz JXMBRASHVOFMFK-UHFFFAOYSA-N 0 0 436.494 -0.086 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1 ZINC001220433828 1073390827 /nfs/dbraw/zinc/39/08/27/1073390827.db2.gz KOCXWUZCNYQZDB-DOTOQJQBSA-N 0 0 425.507 -0.135 20 0 IBADRN COC(=O)[C@H]1C[C@H](C(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1 ZINC001220433829 1073390927 /nfs/dbraw/zinc/39/09/27/1073390927.db2.gz KOCXWUZCNYQZDB-NVXWUHKLSA-N 0 0 425.507 -0.135 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](C(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1 ZINC001220433830 1073390890 /nfs/dbraw/zinc/39/08/90/1073390890.db2.gz KOCXWUZCNYQZDB-RDJZCZTQSA-N 0 0 425.507 -0.135 20 0 IBADRN COC(=O)[C@@H]1C[C@H](C(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)CCN1 ZINC001220433831 1073390839 /nfs/dbraw/zinc/39/08/39/1073390839.db2.gz KOCXWUZCNYQZDB-WBVHZDCISA-N 0 0 425.507 -0.135 20 0 IBADRN CCn1nnc2c1[C@@H](C(=O)NCc1cccc(S(=O)(=O)N3CCOCC3)c1)CNC2 ZINC001220433877 1073390806 /nfs/dbraw/zinc/39/08/06/1073390806.db2.gz LWHOXURVKZHHPQ-INIZCTEOSA-N 0 0 434.522 -0.178 20 0 IBADRN CCn1nnc2c1[C@H](C(=O)NCc1cccc(S(=O)(=O)N3CCOCC3)c1)CNC2 ZINC001220433878 1073390961 /nfs/dbraw/zinc/39/09/61/1073390961.db2.gz LWHOXURVKZHHPQ-MRXNPFEDSA-N 0 0 434.522 -0.178 20 0 IBADRN Nc1nc2c(ncn2CC(=O)NCc2cccc(S(=O)(=O)N3CCOCC3)c2)c(=O)[nH]1 ZINC001220433890 1073390941 /nfs/dbraw/zinc/39/09/41/1073390941.db2.gz MNDNJKSKCREOJP-UHFFFAOYSA-N 0 0 447.477 -0.549 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)NCc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001220434145 1073390835 /nfs/dbraw/zinc/39/08/35/1073390835.db2.gz WWDLFAPAAVJMAE-BQGCOEIASA-N 0 0 425.507 -0.545 20 0 IBADRN C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)NCc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001220434146 1073390880 /nfs/dbraw/zinc/39/08/80/1073390880.db2.gz WWDLFAPAAVJMAE-NSNWQYSKSA-N 0 0 425.507 -0.545 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NC[C@]23COCCN2C(=O)COC3)N2CCOCC2)cc1 ZINC001220486084 1073392133 /nfs/dbraw/zinc/39/21/33/1073392133.db2.gz NXCRVGQYERVEFS-DENIHFKCSA-N 0 0 448.520 -0.005 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NC[C@@]23COCCN2C(=O)COC3)N2CCOCC2)cc1 ZINC001220486085 1073392120 /nfs/dbraw/zinc/39/21/20/1073392120.db2.gz NXCRVGQYERVEFS-KNQAVFIVSA-N 0 0 448.520 -0.005 20 0 IBADRN COc1ccc([C@H](CNC(=O)NC[C@]23COCCN2C(=O)COC3)N2CCOCC2)cc1 ZINC001220486086 1073392033 /nfs/dbraw/zinc/39/20/33/1073392033.db2.gz NXCRVGQYERVEFS-SIKLNZKXSA-N 0 0 448.520 -0.005 20 0 IBADRN COc1ccc([C@H](CNC(=O)NC[C@@]23COCCN2C(=O)COC3)N2CCOCC2)cc1 ZINC001220486087 1073392130 /nfs/dbraw/zinc/39/21/30/1073392130.db2.gz NXCRVGQYERVEFS-UGKGYDQZSA-N 0 0 448.520 -0.005 20 0 IBADRN COc1ccc([C@H](CNC(=O)NCCNS(=O)(=O)N(C)C)N2CCOCC2)cc1 ZINC001220486130 1073391994 /nfs/dbraw/zinc/39/19/94/1073391994.db2.gz ORCFOORKUVQRHX-KRWDZBQOSA-N 0 0 429.543 -0.236 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NCCNS(=O)(=O)N(C)C)N2CCOCC2)cc1 ZINC001220486131 1073392026 /nfs/dbraw/zinc/39/20/26/1073392026.db2.gz ORCFOORKUVQRHX-QGZVFWFLSA-N 0 0 429.543 -0.236 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC001220488823 1073392088 /nfs/dbraw/zinc/39/20/88/1073392088.db2.gz JBUZDSVFUNPZLP-MSOLQXFVSA-N 0 0 426.539 -0.153 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC001220488824 1073392005 /nfs/dbraw/zinc/39/20/05/1073392005.db2.gz JBUZDSVFUNPZLP-QZTJIDSGSA-N 0 0 426.539 -0.153 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NC[C@H]1CN(Cc2ccccc2)CCO1 ZINC001220488825 1073391976 /nfs/dbraw/zinc/39/19/76/1073391976.db2.gz JBUZDSVFUNPZLP-ROUUACIJSA-N 0 0 426.539 -0.153 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC001220488826 1073392054 /nfs/dbraw/zinc/39/20/54/1073392054.db2.gz JBUZDSVFUNPZLP-ZWKOTPCHSA-N 0 0 426.539 -0.153 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2Cc3ccccc3N2)[C@H]1O ZINC001220514204 1073396714 /nfs/dbraw/zinc/39/67/14/1073396714.db2.gz BCZUEJAYHWMHNQ-YXDKPKCJSA-N 0 0 439.476 -0.336 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)Cc2ccccc2N)[C@H]1O ZINC001220514387 1073397267 /nfs/dbraw/zinc/39/72/67/1073397267.db2.gz JTKJWHUVFSZDHP-UNFPFYSMSA-N 0 0 427.465 -0.547 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2cc3c(s2)CCN3)[C@H]1O ZINC001220514428 1073397254 /nfs/dbraw/zinc/39/72/54/1073397254.db2.gz LBSRQNCAASLRAA-UDKDQOOJSA-N 0 0 445.505 -0.029 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]2CNc3ccccc32)[C@H]1O ZINC001220514528 1073397560 /nfs/dbraw/zinc/39/75/60/1073397560.db2.gz NXLXQOFUJCMIJS-ANJOJPLOSA-N 0 0 439.476 -0.163 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@H]2CNc3ccccc32)[C@H]1O ZINC001220514529 1073397831 /nfs/dbraw/zinc/39/78/31/1073397831.db2.gz NXLXQOFUJCMIJS-NQHJAPQLSA-N 0 0 439.476 -0.163 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)CCc2cccc(N)c2)[C@H]1O ZINC001220514540 1073397722 /nfs/dbraw/zinc/39/77/22/1073397722.db2.gz OPKQFZXHXMSUPB-AUSMBZDKSA-N 0 0 441.492 -0.157 20 0 IBADRN CNc1ccccc1C(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001220514570 1073397648 /nfs/dbraw/zinc/39/76/48/1073397648.db2.gz PZLFCNVSAXVZTL-NOAAKOMESA-N 0 0 427.465 -0.017 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)c2ccc3c(c2)NCC3)[C@H]1O ZINC001220514617 1073397695 /nfs/dbraw/zinc/39/76/95/1073397695.db2.gz RWHAYKHJDLRQOR-BONWFUQQSA-N 0 0 439.476 -0.091 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NC(=O)[C@@H]([NH3+])CC2CCCC2)[C@H]1O ZINC001220514881 1073397591 /nfs/dbraw/zinc/39/75/91/1073397591.db2.gz WIBZWUQQRMLLGZ-GJIZYBQMSA-N 0 0 433.513 -0.465 20 0 IBADRN Cc1ccc(C(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)cc1N ZINC001220514991 1073398138 /nfs/dbraw/zinc/39/81/38/1073398138.db2.gz YUYZAACTFHCDRC-NOAAKOMESA-N 0 0 427.465 -0.168 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)c1cccc(CN2CC[NH2+]CC2)c1 ZINC001220550630 1073399480 /nfs/dbraw/zinc/39/94/80/1073399480.db2.gz BZXFCNGOUZOJCZ-SFHVURJKSA-N 0 0 428.493 -0.253 20 0 IBADRN [NH3+][C@@H](CC(=O)OCc1ccccc1)C(=O)NCCC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-] ZINC001220550693 1073399112 /nfs/dbraw/zinc/39/91/12/1073399112.db2.gz FHHFKEPJBIIAHV-HOTGVXAUSA-N 0 0 431.449 -0.511 20 0 IBADRN [NH3+][C@H](Cc1cn(C=O)c2ccccc12)C(=O)NCCC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-] ZINC001220550758 1073399642 /nfs/dbraw/zinc/39/96/42/1073399642.db2.gz IFBKVTGHAVTXTA-SJORKVTESA-N 0 0 440.460 -0.409 20 0 IBADRN [NH3+]C1CCN([C@@H](C(=O)NCCC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)[O-])c2ccco2)CC1 ZINC001220550817 1073399786 /nfs/dbraw/zinc/39/97/86/1073399786.db2.gz KUBHQXBRONEUAI-MAUKXSAKSA-N 0 0 432.481 -0.215 20 0 IBADRN [NH3+]C1CCN([C@H](C(=O)NCCC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)[O-])c2ccco2)CC1 ZINC001220550818 1073399702 /nfs/dbraw/zinc/39/97/02/1073399702.db2.gz KUBHQXBRONEUAI-YJBOKZPZSA-N 0 0 432.481 -0.215 20 0 IBADRN [NH3+][C@H](CCC(=O)OCc1ccccc1)C(=O)NCCC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-] ZINC001220550855 1073399417 /nfs/dbraw/zinc/39/94/17/1073399417.db2.gz LZAOFDFRRAOVSL-SJORKVTESA-N 0 0 445.476 -0.121 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@@]12C[NH2+]C[C@@H]1CN(c1ccccc1)C2 ZINC001220550883 1073399067 /nfs/dbraw/zinc/39/90/67/1073399067.db2.gz MTZSEODIEPRDLD-FXCLAUTBSA-N 0 0 440.504 -0.246 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@@]12C[NH2+]C[C@H]1CN(c1ccccc1)C2 ZINC001220550884 1073399334 /nfs/dbraw/zinc/39/93/34/1073399334.db2.gz MTZSEODIEPRDLD-LZFQIVBZSA-N 0 0 440.504 -0.246 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@]12C[NH2+]C[C@@H]1CN(c1ccccc1)C2 ZINC001220550885 1073399279 /nfs/dbraw/zinc/39/92/79/1073399279.db2.gz MTZSEODIEPRDLD-QRFQSNJMSA-N 0 0 440.504 -0.246 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@]12C[NH2+]C[C@H]1CN(c1ccccc1)C2 ZINC001220550886 1073399141 /nfs/dbraw/zinc/39/91/41/1073399141.db2.gz MTZSEODIEPRDLD-VPKVUBIPSA-N 0 0 440.504 -0.246 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@H]1Cn2ccnc2C12CC[NH2+]CC2 ZINC001220550910 1073399821 /nfs/dbraw/zinc/39/98/21/1073399821.db2.gz NHFZLJRJBULVMP-CABCVRRESA-N 0 0 429.481 -0.824 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)[C@@H]1Cn2ccnc2C12CC[NH2+]CC2 ZINC001220550911 1073399391 /nfs/dbraw/zinc/39/93/91/1073399391.db2.gz NHFZLJRJBULVMP-GJZGRUSLSA-N 0 0 429.481 -0.824 20 0 IBADRN CCC(C)(CC)OC(=O)C[C@H]([NH3+])C(=O)NCCC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-] ZINC001220551234 1073399597 /nfs/dbraw/zinc/39/95/97/1073399597.db2.gz RJRUTENCYONKIO-KBPBESRZSA-N 0 0 425.486 -0.133 20 0 IBADRN CC(C)(C)OC(=O)CC[C@H]([NH3+])CC(=O)NCCC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)[O-] ZINC001220551293 1073399846 /nfs/dbraw/zinc/39/98/46/1073399846.db2.gz RQYUJQOJJPAPEW-JSGCOSHPSA-N 0 0 425.486 -0.133 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)C[C@@H]1CN(Cc2ccccc2)CC[NH2+]1 ZINC001220551618 1073399252 /nfs/dbraw/zinc/39/92/52/1073399252.db2.gz VMLYUXGDDVPDTR-MOPGFXCFSA-N 0 0 442.520 -0.108 20 0 IBADRN O=C([O-])[C@H](Cc1c[nH]cn1)NC(=O)CCNC(=O)C[C@H]1CN(Cc2ccccc2)CC[NH2+]1 ZINC001220551619 1073399181 /nfs/dbraw/zinc/39/91/81/1073399181.db2.gz VMLYUXGDDVPDTR-OALUTQOASA-N 0 0 442.520 -0.108 20 0 IBADRN O=C(CCNC(=O)[C@@H](c1ccco1)N1CCC[NH2+]CC1)N[C@@H](Cc1c[nH]cn1)C(=O)[O-] ZINC001220551993 1073399536 /nfs/dbraw/zinc/39/95/36/1073399536.db2.gz YPEJSCORIXVNDN-MAUKXSAKSA-N 0 0 432.481 -0.343 20 0 IBADRN O=C(CCNC(=O)[C@H](c1ccco1)N1CCC[NH2+]CC1)N[C@@H](Cc1c[nH]cn1)C(=O)[O-] ZINC001220551994 1073399200 /nfs/dbraw/zinc/39/92/00/1073399200.db2.gz YPEJSCORIXVNDN-YJBOKZPZSA-N 0 0 432.481 -0.343 20 0 IBADRN O=C(NCCO[C@H]1CCOC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001220580511 1073400398 /nfs/dbraw/zinc/40/03/98/1073400398.db2.gz OWYTZACPDIOICF-INIZCTEOSA-N 0 0 427.527 -0.362 20 0 IBADRN O=C(NCCO[C@@H]1CCOC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001220580512 1073400238 /nfs/dbraw/zinc/40/02/38/1073400238.db2.gz OWYTZACPDIOICF-MRXNPFEDSA-N 0 0 427.527 -0.362 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC001220580609 1073400253 /nfs/dbraw/zinc/40/02/53/1073400253.db2.gz SGZJFWCGBDOTKV-UHFFFAOYSA-N 0 0 432.525 -0.729 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCC(O)(CO)CC1 ZINC001220580689 1073400367 /nfs/dbraw/zinc/40/03/67/1073400367.db2.gz VFSIESQYTCQFEI-UHFFFAOYSA-N 0 0 427.527 -0.938 20 0 IBADRN C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001220580792 1073401014 /nfs/dbraw/zinc/40/10/14/1073401014.db2.gz ZEYZDECSAVYPFR-CABCVRRESA-N 0 0 445.567 -0.734 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCOC3(CCS(=O)(=O)CC3)C2)CC1 ZINC001220582379 1073400973 /nfs/dbraw/zinc/40/09/73/1073400973.db2.gz PJVPFZCGZGHSIW-UHFFFAOYSA-N 0 0 430.571 -0.034 20 0 IBADRN Nc1nc2c(ncn2CC(=O)NC2CCN(S(=O)(=O)c3ccccn3)CC2)c(=O)[nH]1 ZINC001220622614 1073401768 /nfs/dbraw/zinc/40/17/68/1073401768.db2.gz RBKGTUGTJGMNOK-UHFFFAOYSA-N 0 0 432.466 -0.521 20 0 IBADRN C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001220729268 1073402239 /nfs/dbraw/zinc/40/22/39/1073402239.db2.gz OJDMOYMQAPCRBK-CJNGLKHVSA-N 0 0 431.536 -0.060 20 0 IBADRN Cn1nc(Cl)c(I)c(NC(=O)CN2CCNCC2=O)c1=O ZINC001220730227 1073402894 /nfs/dbraw/zinc/40/28/94/1073402894.db2.gz ROYZBEXCQMROGA-UHFFFAOYSA-N 0 0 425.614 -0.591 20 0 IBADRN Cn1nc(Cl)c(I)c(NC(=O)c2nnn3c2CNCC3)c1=O ZINC001220730358 1073403068 /nfs/dbraw/zinc/40/30/68/1073403068.db2.gz WGACDVRQBLGRKK-UHFFFAOYSA-N 0 0 435.613 -0.015 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1CCC(CO)(CO)C1 ZINC001220744956 1073403054 /nfs/dbraw/zinc/40/30/54/1073403054.db2.gz KQFYSHKSJGVCKR-UHFFFAOYSA-N 0 0 427.523 -0.265 20 0 IBADRN CS(C)(=O)=NC(=O)CNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001220745319 1073403093 /nfs/dbraw/zinc/40/30/93/1073403093.db2.gz OJZHPFWYBIAFHU-UHFFFAOYSA-N 0 0 446.551 -0.098 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC001220745724 1073403084 /nfs/dbraw/zinc/40/30/84/1073403084.db2.gz ZJNSLELTBHZMFK-UHFFFAOYSA-N 0 0 446.551 -0.708 20 0 IBADRN CC(N)=CC(=O)OCCCCOC(=O)/C=C(/C)NC(=O)Cn1cnc2c1nc(N)[nH]c2=O ZINC001220760362 1073405923 /nfs/dbraw/zinc/40/59/23/1073405923.db2.gz HVGPAQYSAOLLFT-OXAWKVHCSA-N 0 0 447.452 -0.137 20 0 IBADRN CC(N)=CC(=O)OCCCCOC(=O)/C=C(/C)NC(=O)[C@H](C)[C@H]1NC(=O)[C@@H]1[C@@H](C)O ZINC001220760378 1073406048 /nfs/dbraw/zinc/40/60/48/1073406048.db2.gz IOHHMAGDBZAHKR-QNIFSQAYSA-N 0 0 425.482 -0.133 20 0 IBADRN CC(N)=CC(=O)OCCCCOC(=O)/C=C(/C)NC(=O)[C@@H](C)[C@H]1NC(=O)[C@@H]1[C@@H](C)O ZINC001220760379 1073406165 /nfs/dbraw/zinc/40/61/65/1073406165.db2.gz IOHHMAGDBZAHKR-TZDCINAVSA-N 0 0 425.482 -0.133 20 0 IBADRN C=C(N)C(=O)N[C@H]1[C@@H](O)[C@H](n2ccc(=O)[nH]c2=O)O[C@@H]1COC(=O)c1ccc(C)cc1 ZINC001220765714 1073405939 /nfs/dbraw/zinc/40/59/39/1073405939.db2.gz DDQKYICJJSTBIJ-GFOCRRMGSA-N 0 0 430.417 -0.670 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCN(c2nc(N)nc(C3CC3)n2)CC1 ZINC001220799155 1073406770 /nfs/dbraw/zinc/40/67/70/1073406770.db2.gz ZVXHSUHGRTUKBG-UHFFFAOYSA-N 0 0 426.547 -0.166 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001220806773 1073406667 /nfs/dbraw/zinc/40/66/67/1073406667.db2.gz HARWGBTXUUMFGK-KRWDZBQOSA-N 0 0 431.536 -0.128 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001220806775 1073406734 /nfs/dbraw/zinc/40/67/34/1073406734.db2.gz HARWGBTXUUMFGK-QGZVFWFLSA-N 0 0 431.536 -0.128 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001220820743 1073406750 /nfs/dbraw/zinc/40/67/50/1073406750.db2.gz QFWNLADXFIRBIX-HNNXBMFYSA-N 0 0 434.540 -0.805 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001220820744 1073406720 /nfs/dbraw/zinc/40/67/20/1073406720.db2.gz QFWNLADXFIRBIX-OAHLLOKOSA-N 0 0 434.540 -0.805 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001220844287 1073407544 /nfs/dbraw/zinc/40/75/44/1073407544.db2.gz DTKQEHNCVZSLAK-GWCFXTLKSA-N 0 0 426.421 -0.896 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001220844288 1073407397 /nfs/dbraw/zinc/40/73/97/1073407397.db2.gz DTKQEHNCVZSLAK-GXFFZTMASA-N 0 0 426.421 -0.896 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001220844289 1073407486 /nfs/dbraw/zinc/40/74/86/1073407486.db2.gz DTKQEHNCVZSLAK-MFKMUULPSA-N 0 0 426.421 -0.896 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001220844290 1073407479 /nfs/dbraw/zinc/40/74/79/1073407479.db2.gz DTKQEHNCVZSLAK-ZWNOBZJWSA-N 0 0 426.421 -0.896 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)C(F)(F)F ZINC001220844773 1073407549 /nfs/dbraw/zinc/40/75/49/1073407549.db2.gz QOUIDJJLRQLOOU-BXUZGUMPSA-N 0 0 443.448 -0.480 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)C(F)(F)F ZINC001220844774 1073407513 /nfs/dbraw/zinc/40/75/13/1073407513.db2.gz QOUIDJJLRQLOOU-FZMZJTMJSA-N 0 0 443.448 -0.480 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)C(F)(F)F ZINC001220844775 1073408135 /nfs/dbraw/zinc/40/81/35/1073408135.db2.gz QOUIDJJLRQLOOU-RISCZKNCSA-N 0 0 443.448 -0.480 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)C(F)(F)F ZINC001220844776 1073407975 /nfs/dbraw/zinc/40/79/75/1073407975.db2.gz QOUIDJJLRQLOOU-SMDDNHRTSA-N 0 0 443.448 -0.480 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)NCC1(O)CCS(=O)(=O)CC1)C(F)(F)F ZINC001220844843 1073408055 /nfs/dbraw/zinc/40/80/55/1073408055.db2.gz SWMNBHVZVXKMAL-AWEZNQCLSA-N 0 0 428.433 -0.201 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)NCC1(O)CCS(=O)(=O)CC1)C(F)(F)F ZINC001220844844 1073408210 /nfs/dbraw/zinc/40/82/10/1073408210.db2.gz SWMNBHVZVXKMAL-CQSZACIVSA-N 0 0 428.433 -0.201 20 0 IBADRN CC(C)[C@H]([NH3+])C(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(=O)CCC(=O)[O-] ZINC001220849048 1073408228 /nfs/dbraw/zinc/40/82/28/1073408228.db2.gz RAKPKDQCNTVAMB-LPHOPBHVSA-N 0 0 434.493 -0.637 20 0 IBADRN O=C(NCc1cc[nH]n1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001220856798 1073408921 /nfs/dbraw/zinc/40/89/21/1073408921.db2.gz WPDOAKLGWQGGEE-UHFFFAOYSA-N 0 0 435.510 -0.143 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N[C@H]2CCC(=O)N(C)C2=O)CC1 ZINC001220861125 1073408861 /nfs/dbraw/zinc/40/88/61/1073408861.db2.gz NIRDWUNYFVFHDD-AWEZNQCLSA-N 0 0 425.486 -0.050 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N[C@@H]2CCC(=O)N(C)C2=O)CC1 ZINC001220861126 1073408829 /nfs/dbraw/zinc/40/88/29/1073408829.db2.gz NIRDWUNYFVFHDD-CQSZACIVSA-N 0 0 425.486 -0.050 20 0 IBADRN COc1cc(CNC(=O)NC[C@]2(CO)COCC3(CCC3)N2C)ccc1OCC(N)=O ZINC001220864810 1073408946 /nfs/dbraw/zinc/40/89/46/1073408946.db2.gz BGDFLOWQZRRIDB-NRFANRHFSA-N 0 0 436.509 -0.026 20 0 IBADRN COc1cc(CNC(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)ccc1OCC(N)=O ZINC001220864811 1073408970 /nfs/dbraw/zinc/40/89/70/1073408970.db2.gz BGDFLOWQZRRIDB-OAQYLSRUSA-N 0 0 436.509 -0.026 20 0 IBADRN COc1cc(CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)ccc1OCC(=O)N(C)C ZINC001220866938 1073408907 /nfs/dbraw/zinc/40/89/07/1073408907.db2.gz FXZHUYJIZGOFOG-UHFFFAOYSA-N 0 0 443.526 -0.548 20 0 IBADRN CC(C)C[C@H](NC(=O)CNC(=O)/C=C/c1ccco1)C(=O)NCCN1CCNCC1=O ZINC001220872135 1073408758 /nfs/dbraw/zinc/40/87/58/1073408758.db2.gz LJMXRCSBQAIAES-RTRPANQVSA-N 0 0 433.509 -0.512 20 0 IBADRN O=C(CN1C[C@@H](c2ccc(Br)cc2)OCC1=O)NCCN1CCNCC1=O ZINC001220872558 1073408843 /nfs/dbraw/zinc/40/88/43/1073408843.db2.gz YUXBYZCQMYLBIS-HNNXBMFYSA-N 0 0 439.310 -0.103 20 0 IBADRN O=C(CN1C[C@H](c2ccc(Br)cc2)OCC1=O)NCCN1CCNCC1=O ZINC001220872559 1073408997 /nfs/dbraw/zinc/40/89/97/1073408997.db2.gz YUXBYZCQMYLBIS-OAHLLOKOSA-N 0 0 439.310 -0.103 20 0 IBADRN O=C(NCCN1CCNCC1=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)[C@@H]1CCC(=O)N1 ZINC001220874139 1073408989 /nfs/dbraw/zinc/40/89/89/1073408989.db2.gz APNPSZDKOWXZIZ-ROUUACIJSA-N 0 0 440.504 -0.978 20 0 IBADRN CCCCS(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCCN1CCNCC1=O ZINC001220874177 1073408775 /nfs/dbraw/zinc/40/87/75/1073408775.db2.gz CEBDKVJZPWRERF-KRWDZBQOSA-N 0 0 426.539 -0.429 20 0 IBADRN CN(C)c1cccc2c1cccc2S(=O)(=O)NCC(=O)NCCN1CCNCC1=O ZINC001220874182 1073408935 /nfs/dbraw/zinc/40/89/35/1073408935.db2.gz CKPDCXYEELZTSU-UHFFFAOYSA-N 0 0 433.534 -0.268 20 0 IBADRN CC(=O)Nc1ccc2c3c1cccc3C(=O)N(CC(=O)NCCN1CCNCC1=O)C2=O ZINC001220874345 1073408814 /nfs/dbraw/zinc/40/88/14/1073408814.db2.gz GFOVUTOPDPZIRA-UHFFFAOYSA-N 0 0 437.456 -0.058 20 0 IBADRN CC(C)(C)OC(=O)CN(CC(=O)NCCN1CCNCC1=O)CC(=O)OC(C)(C)C ZINC001220874414 1073409754 /nfs/dbraw/zinc/40/97/54/1073409754.db2.gz IWNIFYFVDKHWBK-UHFFFAOYSA-N 0 0 428.530 -0.480 20 0 IBADRN O=C(CCCCNC(=O)c1cc2ccc(O)cc2oc1=O)NCCN1CCNCC1=O ZINC001220875260 1073409826 /nfs/dbraw/zinc/40/98/26/1073409826.db2.gz ZPRIJYDHSLJPJM-UHFFFAOYSA-N 0 0 430.461 -0.053 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc3ccc(C(=O)NCCN4CCNCC4=O)cn32)CC1 ZINC001220876444 1073409736 /nfs/dbraw/zinc/40/97/36/1073409736.db2.gz JZBXUIDAXPPCNM-UHFFFAOYSA-N 0 0 448.549 -0.818 20 0 IBADRN CC(C)NC(=O)N1CC[C@H](c2nnc3ccc(C(=O)NCCN4CCNCC4=O)cn32)C1 ZINC001220876748 1073409637 /nfs/dbraw/zinc/40/96/37/1073409637.db2.gz NTCKDNFKLULPLP-HNNXBMFYSA-N 0 0 442.524 -0.202 20 0 IBADRN CC(C)NC(=O)N1CC[C@@H](c2nnc3ccc(C(=O)NCCN4CCNCC4=O)cn32)C1 ZINC001220876754 1073409572 /nfs/dbraw/zinc/40/95/72/1073409572.db2.gz NTCKDNFKLULPLP-OAHLLOKOSA-N 0 0 442.524 -0.202 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1OC ZINC001220891933 1073409657 /nfs/dbraw/zinc/40/96/57/1073409657.db2.gz CTFQXLQTOSCARC-AWEZNQCLSA-N 0 0 441.510 -0.746 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1OC ZINC001220891934 1073410231 /nfs/dbraw/zinc/41/02/31/1073410231.db2.gz CTFQXLQTOSCARC-CQSZACIVSA-N 0 0 441.510 -0.746 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1OC ZINC001220892205 1073410403 /nfs/dbraw/zinc/41/04/03/1073410403.db2.gz MRUBFVUHDBTXJY-UHFFFAOYSA-N 0 0 443.526 -0.500 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@@H]1C(=O)OC ZINC001220897574 1073410345 /nfs/dbraw/zinc/41/03/45/1073410345.db2.gz KCFHWHQZEHXUIB-HOTGVXAUSA-N 0 0 446.460 -0.638 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H]1C(=O)OC ZINC001220897575 1073410218 /nfs/dbraw/zinc/41/02/18/1073410218.db2.gz KCFHWHQZEHXUIB-HZPDHXFCSA-N 0 0 446.460 -0.638 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C[C@H]1C(=O)OC ZINC001220897576 1073410338 /nfs/dbraw/zinc/41/03/38/1073410338.db2.gz KCFHWHQZEHXUIB-IYBDPMFKSA-N 0 0 446.460 -0.638 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)C)CC1 ZINC001220930790 1073411137 /nfs/dbraw/zinc/41/11/37/1073411137.db2.gz UZHAPMQGEMYUIY-UHFFFAOYSA-N 0 0 433.556 -0.335 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CNC(=O)NCCc2nnc3c(=O)n(C)ccn23)cc1 ZINC001220949884 1073412510 /nfs/dbraw/zinc/41/25/10/1073412510.db2.gz ANTRCKHPJKNWHJ-UHFFFAOYSA-N 0 0 433.494 -0.280 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(c3nncn3C)CC2)cc1 ZINC001220963152 1073412485 /nfs/dbraw/zinc/41/24/85/1073412485.db2.gz NGUWAQOBCJCSQP-UHFFFAOYSA-N 0 0 437.526 -0.228 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=O)C[C@@H](N)C(=O)OCc3ccccc3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001220967234 1073413247 /nfs/dbraw/zinc/41/32/47/1073413247.db2.gz WVPQAISEGSTODG-KYHPRHEASA-N 0 0 446.460 -0.517 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)NCCC(=O)N1CCOCC1)CCS2(=O)=O ZINC001220977213 1073413841 /nfs/dbraw/zinc/41/38/41/1073413841.db2.gz DMUPWAUQQXWXJH-FVRSWCFKSA-N 0 0 431.511 -0.567 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)NCCC(=O)N1CCOCC1)CCS2(=O)=O ZINC001220977214 1073413943 /nfs/dbraw/zinc/41/39/43/1073413943.db2.gz DMUPWAUQQXWXJH-TXKKKEFDSA-N 0 0 431.511 -0.567 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC001220993720 1073413784 /nfs/dbraw/zinc/41/37/84/1073413784.db2.gz NJCLFUHBXRUONV-UHFFFAOYSA-N 0 0 425.559 -0.288 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001220995933 1073414017 /nfs/dbraw/zinc/41/40/17/1073414017.db2.gz YDOQLXMDMQXCFM-IBGZPJMESA-N 0 0 437.497 -0.442 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)NC[C@]12COCCN1C(=O)COC2 ZINC001220995934 1073413967 /nfs/dbraw/zinc/41/39/67/1073413967.db2.gz YDOQLXMDMQXCFM-LJQANCHMSA-N 0 0 437.497 -0.442 20 0 IBADRN Nc1nc2c(ncn2CC(=O)NC2(Cc3cccnc3)CCS(=O)(=O)CC2)c(=O)[nH]1 ZINC001221028340 1073414461 /nfs/dbraw/zinc/41/44/61/1073414461.db2.gz NHNCGVIFROOJLO-UHFFFAOYSA-N 0 0 431.478 -0.185 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)CC1 ZINC001221111722 1073416695 /nfs/dbraw/zinc/41/66/95/1073416695.db2.gz WPOPHEMCMCNANM-UHFFFAOYSA-N 0 0 443.570 -0.444 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001221113546 1073416755 /nfs/dbraw/zinc/41/67/55/1073416755.db2.gz SHESLMKPDOFGTA-UHFFFAOYSA-N 0 0 427.405 -0.266 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cccc(CNC(=O)N2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)c1 ZINC001221189148 1073418273 /nfs/dbraw/zinc/41/82/73/1073418273.db2.gz LBVGOIHGOSAUAB-CALCHBBNSA-N 0 0 429.477 -0.239 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1NCCS1)C(N)=O ZINC001221231942 1073418938 /nfs/dbraw/zinc/41/89/38/1073418938.db2.gz DCICZXQMCLPTDJ-NVGCLXPQSA-N 0 0 433.600 -0.981 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1CSCN1)C(N)=O ZINC001221233301 1073420322 /nfs/dbraw/zinc/42/03/22/1073420322.db2.gz XZMHNSCGYUEKPT-AVGNSLFASA-N 0 0 433.600 -0.981 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H]1COC(C)(C)N1)C(N)=O ZINC001221234097 1073420205 /nfs/dbraw/zinc/42/02/05/1073420205.db2.gz CHROPAXAZGRVHA-IHRRRGAJSA-N 0 0 445.586 -0.919 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@@H](N)C(F)(F)F)C(N)=O ZINC001221234318 1073420238 /nfs/dbraw/zinc/42/02/38/1073420238.db2.gz DXISYDUSTYWDLE-JBLDHEPKSA-N 0 0 443.492 -0.754 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)[C@H](N)C(F)(F)F)C(N)=O ZINC001221234322 1073420193 /nfs/dbraw/zinc/42/01/93/1073420193.db2.gz DXISYDUSTYWDLE-NHCYSSNCSA-N 0 0 443.492 -0.754 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccnc(N)c1)C(N)=O ZINC001221234764 1073420899 /nfs/dbraw/zinc/42/08/99/1073420899.db2.gz MFHTZDGFCMPARR-KBPBESRZSA-N 0 0 438.554 -0.352 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1ccc(N)cn1)C(N)=O ZINC001221234941 1073420824 /nfs/dbraw/zinc/42/08/24/1073420824.db2.gz OPPSPTIYZYSARW-ZFWWWQNUSA-N 0 0 438.554 -0.352 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)c1cnc(N)cn1)C(N)=O ZINC001221234970 1073420787 /nfs/dbraw/zinc/42/07/87/1073420787.db2.gz PQPBULWSKDTMHM-RYUDHWBXSA-N 0 0 439.542 -0.957 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=O)[C@H](C)[NH3+])C(=O)n1[n-]c2ccnc(=O)c-2c1N ZINC001221236789 1073421472 /nfs/dbraw/zinc/42/14/72/1073421472.db2.gz JMYLTHGCKBVZTI-UBHSHLNASA-N 0 0 431.497 -0.232 20 0 IBADRN C[C@H](NC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001221247697 1073421512 /nfs/dbraw/zinc/42/15/12/1073421512.db2.gz UZGWBOOVEMWTAX-GXTWGEPZSA-N 0 0 431.540 -0.458 20 0 IBADRN C[C@H](NC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001221247698 1073421516 /nfs/dbraw/zinc/42/15/16/1073421516.db2.gz UZGWBOOVEMWTAX-JSGCOSHPSA-N 0 0 431.540 -0.458 20 0 IBADRN C[C@@H](NC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001221247699 1073421555 /nfs/dbraw/zinc/42/15/55/1073421555.db2.gz UZGWBOOVEMWTAX-OCCSQVGLSA-N 0 0 431.540 -0.458 20 0 IBADRN C[C@@H](NC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001221247700 1073421461 /nfs/dbraw/zinc/42/14/61/1073421461.db2.gz UZGWBOOVEMWTAX-TZMCWYRMSA-N 0 0 431.540 -0.458 20 0 IBADRN CC(C)(C)OC(=O)CCOCCOCCOCCNC(=O)c1nnn2c1CNCC2 ZINC001221258583 1073422213 /nfs/dbraw/zinc/42/22/13/1073422213.db2.gz KFVYDPGDBRJCSH-UHFFFAOYSA-N 0 0 427.502 -0.107 20 0 IBADRN C[C@@H]1CN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCN1S(C)(=O)=O ZINC001221265531 1073422390 /nfs/dbraw/zinc/42/23/90/1073422390.db2.gz CATDXPICSYYQAX-CQSZACIVSA-N 0 0 447.558 -0.259 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001221265728 1073422173 /nfs/dbraw/zinc/42/21/73/1073422173.db2.gz GRRAEMCGQFDLNQ-CYBMUJFWSA-N 0 0 433.531 -0.601 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001221265729 1073422201 /nfs/dbraw/zinc/42/22/01/1073422201.db2.gz GRRAEMCGQFDLNQ-ZDUSSCGKSA-N 0 0 433.531 -0.601 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC[C@]23COC(=O)N2CCOC3)CC1 ZINC001221266246 1073422207 /nfs/dbraw/zinc/42/22/07/1073422207.db2.gz OIXIXJVYYNINOA-IBGZPJMESA-N 0 0 441.485 -0.414 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC[C@@]23COC(=O)N2CCOC3)CC1 ZINC001221266247 1073422193 /nfs/dbraw/zinc/42/21/93/1073422193.db2.gz OIXIXJVYYNINOA-LJQANCHMSA-N 0 0 441.485 -0.414 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001221266628 1073422958 /nfs/dbraw/zinc/42/29/58/1073422958.db2.gz VFSXFXFKLIHTRQ-UKRRQHHQSA-N 0 0 428.486 -0.057 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2ccc(Br)cc2)CC1 ZINC001221318411 1073423011 /nfs/dbraw/zinc/42/30/11/1073423011.db2.gz XJBDJPIONYLQHU-UHFFFAOYSA-N 0 0 448.343 -0.030 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@H](c2nnc3ccc(C(=O)NC4=CC(=O)NCC4)cn32)C1 ZINC001221318854 1073422927 /nfs/dbraw/zinc/42/29/27/1073422927.db2.gz BGLRIQGLNJJRAC-HNNXBMFYSA-N 0 0 426.481 -0.167 20 0 IBADRN CN(C)C(=O)N1CCN(C)[C@@H](c2nnc3ccc(C(=O)NC4=CC(=O)NCC4)cn32)C1 ZINC001221318855 1073422852 /nfs/dbraw/zinc/42/28/52/1073422852.db2.gz BGLRIQGLNJJRAC-OAHLLOKOSA-N 0 0 426.481 -0.167 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@@H]1CC[C@H](C(F)(F)F)NC1 ZINC001221347733 1073424527 /nfs/dbraw/zinc/42/45/27/1073424527.db2.gz BWNCDVKIOYGGSC-VZZFWQQMSA-N 0 0 430.431 -0.156 20 0 IBADRN COc1ccc2c(c1)CCN[C@@H]2C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001221348598 1073425364 /nfs/dbraw/zinc/42/53/64/1073425364.db2.gz LWMNLFROROLQRF-FHWLQOOXSA-N 0 0 440.504 -0.191 20 0 IBADRN COc1ccc2c(c1)CCN[C@H]2C(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O ZINC001221348606 1073425324 /nfs/dbraw/zinc/42/53/24/1073425324.db2.gz LWMNLFROROLQRF-GBESFXJTSA-N 0 0 440.504 -0.191 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@H]1NCCc2cc(F)ccc21 ZINC001221349496 1073426236 /nfs/dbraw/zinc/42/62/36/1073426236.db2.gz XWMZJTXQQSICLF-BZSNNMDCSA-N 0 0 428.468 -0.061 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@@H]1NCCc2cc(F)ccc21 ZINC001221349510 1073425970 /nfs/dbraw/zinc/42/59/70/1073425970.db2.gz XWMZJTXQQSICLF-OKZBNKHCSA-N 0 0 428.468 -0.061 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@@H]1Cc2cc(O)ccc2CN1 ZINC001221349541 1073425934 /nfs/dbraw/zinc/42/59/34/1073425934.db2.gz YKLBBQYRVVLIHJ-BZSNNMDCSA-N 0 0 426.477 -0.667 20 0 IBADRN NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1c[nH]cn1)NC(=O)[C@H]1Cc2cc(O)ccc2CN1 ZINC001221349543 1073426374 /nfs/dbraw/zinc/42/63/74/1073426374.db2.gz YKLBBQYRVVLIHJ-SQNIBIBYSA-N 0 0 426.477 -0.667 20 0 IBADRN CC(C)N(C)S(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(N)=O)C(=O)C2)cc1 ZINC001221380153 1073427618 /nfs/dbraw/zinc/42/76/18/1073427618.db2.gz SLCIXNFLLLZSGQ-UHFFFAOYSA-N 0 0 425.511 -0.445 20 0 IBADRN CC[C@H](CO)NC(=O)c1ncn2c1CN(CC(=O)N(C)C)S(=O)(=O)c1ccccc1-2 ZINC001221380288 1073427687 /nfs/dbraw/zinc/42/76/87/1073427687.db2.gz LHCOWMJJRNTUHC-CYBMUJFWSA-N 0 0 435.506 -0.035 20 0 IBADRN CC[C@@H](CO)NC(=O)c1ncn2c1CN(CC(=O)N(C)C)S(=O)(=O)c1ccccc1-2 ZINC001221380291 1073427726 /nfs/dbraw/zinc/42/77/26/1073427726.db2.gz LHCOWMJJRNTUHC-ZDUSSCGKSA-N 0 0 435.506 -0.035 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N1CCC(C2=CC=C(N)C[NH2+]2)CC1 ZINC001221391151 1073428845 /nfs/dbraw/zinc/42/88/45/1073428845.db2.gz CCKWOZDYLGHOSJ-IHRRRGAJSA-N 0 0 434.541 -0.911 20 0 IBADRN CN(C)C(=O)c1ccc(CCNC(=O)[C@H](CO)NC(=O)[C@@H]([NH3+])Cc2ccc(O)cc2)cc1 ZINC001221391699 1073428984 /nfs/dbraw/zinc/42/89/84/1073428984.db2.gz CLIPAKWJMPRQOX-PMACEKPBSA-N 0 0 442.516 -0.200 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)C1 ZINC001221391840 1073428872 /nfs/dbraw/zinc/42/88/72/1073428872.db2.gz VVAYYJHTWGLTAQ-JTQLQIEISA-N 0 0 427.405 -0.266 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)C1 ZINC001221391844 1073428941 /nfs/dbraw/zinc/42/89/41/1073428941.db2.gz VVAYYJHTWGLTAQ-SNVBAGLBSA-N 0 0 427.405 -0.266 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001221483677 1073429500 /nfs/dbraw/zinc/42/95/00/1073429500.db2.gz LBTKQBMRZOSRIQ-IBGZPJMESA-N 0 0 427.523 -0.254 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001221483678 1073429353 /nfs/dbraw/zinc/42/93/53/1073429353.db2.gz LBTKQBMRZOSRIQ-LJQANCHMSA-N 0 0 427.523 -0.254 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)NCc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001221491034 1073429325 /nfs/dbraw/zinc/42/93/25/1073429325.db2.gz VKRBRYJINMFSPJ-IBGZPJMESA-N 0 0 426.539 -0.037 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)NCc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001221491035 1073429580 /nfs/dbraw/zinc/42/95/80/1073429580.db2.gz VKRBRYJINMFSPJ-LJQANCHMSA-N 0 0 426.539 -0.037 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001221493390 1073429398 /nfs/dbraw/zinc/42/93/98/1073429398.db2.gz GEWFNGRLIJZWEH-AWEZNQCLSA-N 0 0 445.567 -0.193 20 0 IBADRN CC(C)(C)NS(=O)(=O)c1ccccc1CNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001221493391 1073429515 /nfs/dbraw/zinc/42/95/15/1073429515.db2.gz GEWFNGRLIJZWEH-CQSZACIVSA-N 0 0 445.567 -0.193 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001221502230 1073429520 /nfs/dbraw/zinc/42/95/20/1073429520.db2.gz CZJNWKDEXUOFFX-IBGZPJMESA-N 0 0 427.523 -0.254 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001221502231 1073429482 /nfs/dbraw/zinc/42/94/82/1073429482.db2.gz CZJNWKDEXUOFFX-LJQANCHMSA-N 0 0 427.523 -0.254 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)C1 ZINC001221502241 1073429607 /nfs/dbraw/zinc/42/96/07/1073429607.db2.gz DGSXHMVJBVNDSC-KRWDZBQOSA-N 0 0 439.538 -0.115 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)C1 ZINC001221502242 1073429550 /nfs/dbraw/zinc/42/95/50/1073429550.db2.gz DGSXHMVJBVNDSC-QGZVFWFLSA-N 0 0 439.538 -0.115 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)NCCS(=O)(=O)NC(C)C)CC2)cc1 ZINC001221505993 1073429614 /nfs/dbraw/zinc/42/96/14/1073429614.db2.gz YYVUNVPLDPIMTD-UHFFFAOYSA-N 0 0 447.583 -0.246 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)NCCS(=O)(=O)N1CCOCC1 ZINC001221550325 1073429532 /nfs/dbraw/zinc/42/95/32/1073429532.db2.gz AOGACCXPNALZAI-UHFFFAOYSA-N 0 0 437.316 -0.428 20 0 IBADRN C[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCOCC2)CCN1C(=O)c1cscn1 ZINC001221550390 1073430228 /nfs/dbraw/zinc/43/02/28/1073430228.db2.gz BSPDLWALZNDWLF-ZDUSSCGKSA-N 0 0 431.540 -0.339 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001221550980 1073430224 /nfs/dbraw/zinc/43/02/24/1073430224.db2.gz LTKMJAXJRZTPCF-AWEZNQCLSA-N 0 0 436.531 -0.417 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001221550981 1073430239 /nfs/dbraw/zinc/43/02/39/1073430239.db2.gz LTKMJAXJRZTPCF-CQSZACIVSA-N 0 0 436.531 -0.417 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCc1ccc(CN2C(=O)CNC2=O)cc1 ZINC001221551281 1073430151 /nfs/dbraw/zinc/43/01/51/1073430151.db2.gz SBQJEQUQNVYGER-UHFFFAOYSA-N 0 0 439.494 -0.800 20 0 IBADRN COCCN(CCNC(=O)NCCS(=O)(=O)N1CCOCC1)C(=O)OC(C)(C)C ZINC001221551397 1073430144 /nfs/dbraw/zinc/43/01/44/1073430144.db2.gz XOCBOWHCIQSQNU-UHFFFAOYSA-N 0 0 438.547 -0.169 20 0 IBADRN O=C(CCNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)N1CCN(c2ccccc2)CC1 ZINC001221553914 1073430241 /nfs/dbraw/zinc/43/02/41/1073430241.db2.gz XEFIADGPUVWAED-KRWDZBQOSA-N 0 0 436.538 -0.731 20 0 IBADRN O=C(CCNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)N1CCN(c2ccccc2)CC1 ZINC001221553915 1073430298 /nfs/dbraw/zinc/43/02/98/1073430298.db2.gz XEFIADGPUVWAED-QGZVFWFLSA-N 0 0 436.538 -0.731 20 0 IBADRN O=C(CCNC(=O)N1CCC(O)(COCCO)CC1)N1CCN(c2ccccn2)CC1 ZINC001221554712 1073430122 /nfs/dbraw/zinc/43/01/22/1073430122.db2.gz HDIRGGGDTIGXID-UHFFFAOYSA-N 0 0 435.525 -0.334 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)CC1 ZINC001221561213 1073430293 /nfs/dbraw/zinc/43/02/93/1073430293.db2.gz CXYLZTGIGIYWML-HNNXBMFYSA-N 0 0 434.559 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)CC1 ZINC001221561214 1073430287 /nfs/dbraw/zinc/43/02/87/1073430287.db2.gz CXYLZTGIGIYWML-OAHLLOKOSA-N 0 0 434.559 -0.006 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC001221592041 1073430195 /nfs/dbraw/zinc/43/01/95/1073430195.db2.gz JRDOUMCDYBSKGS-UHFFFAOYSA-N 0 0 425.511 -0.655 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001221592067 1073430303 /nfs/dbraw/zinc/43/03/03/1073430303.db2.gz JZMKXUKMHPEVCZ-UHFFFAOYSA-N 0 0 431.536 -0.046 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC001221602053 1073430283 /nfs/dbraw/zinc/43/02/83/1073430283.db2.gz FDMXJABUDTZAFW-CYBMUJFWSA-N 0 0 433.918 -0.042 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NCCNS(=O)(=O)c1cccc(Cl)c1 ZINC001221602054 1073430231 /nfs/dbraw/zinc/43/02/31/1073430231.db2.gz FDMXJABUDTZAFW-ZDUSSCGKSA-N 0 0 433.918 -0.042 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001221624826 1073430806 /nfs/dbraw/zinc/43/08/06/1073430806.db2.gz FRKITWIBHDLOER-KRWDZBQOSA-N 0 0 440.522 -0.381 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001221624827 1073430915 /nfs/dbraw/zinc/43/09/15/1073430915.db2.gz FRKITWIBHDLOER-QGZVFWFLSA-N 0 0 440.522 -0.381 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC001221662854 1073430979 /nfs/dbraw/zinc/43/09/79/1073430979.db2.gz FKMLKVXZPQWWHL-UHFFFAOYSA-N 0 0 439.604 -0.887 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCc2cnc3c(Cl)cnn3c2)CC1 ZINC001221663079 1073430773 /nfs/dbraw/zinc/43/07/73/1073430773.db2.gz MBHXVVTVQMBBEC-UHFFFAOYSA-N 0 0 429.934 -0.198 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)CC1 ZINC001221663188 1073430953 /nfs/dbraw/zinc/43/09/53/1073430953.db2.gz PQQXRRDGEWZZEQ-DLBZAZTESA-N 0 0 438.554 -0.876 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@@H]2CN(Cc3ccncc3)CCO2)CC1 ZINC001221663645 1073430882 /nfs/dbraw/zinc/43/08/82/1073430882.db2.gz XKYKSYZCSBGDJM-GOSISDBHSA-N 0 0 440.570 -0.841 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NC[C@H]2CN(Cc3ccncc3)CCO2)CC1 ZINC001221663646 1073430943 /nfs/dbraw/zinc/43/09/43/1073430943.db2.gz XKYKSYZCSBGDJM-SFHVURJKSA-N 0 0 440.570 -0.841 20 0 IBADRN COc1ccccc1C[C@@](C)(CO)NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001221663676 1073430975 /nfs/dbraw/zinc/43/09/75/1073430975.db2.gz YJOVJAJABCLYPA-IBGZPJMESA-N 0 0 428.555 -0.135 20 0 IBADRN COc1ccccc1C[C@](C)(CO)NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001221663677 1073430820 /nfs/dbraw/zinc/43/08/20/1073430820.db2.gz YJOVJAJABCLYPA-LJQANCHMSA-N 0 0 428.555 -0.135 20 0 IBADRN CNC(=O)[C@]1(C(F)(F)F)CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001221663684 1073430923 /nfs/dbraw/zinc/43/09/23/1073430923.db2.gz YTZKEBCTGRUHOZ-AWEZNQCLSA-N 0 0 429.465 -0.726 20 0 IBADRN CNC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001221663685 1073430903 /nfs/dbraw/zinc/43/09/03/1073430903.db2.gz YTZKEBCTGRUHOZ-CQSZACIVSA-N 0 0 429.465 -0.726 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCc2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001221677749 1073430876 /nfs/dbraw/zinc/43/08/76/1073430876.db2.gz BCABWPZTOGWUGZ-FQEVSTJZSA-N 0 0 433.465 -0.286 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCc2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001221677750 1073430850 /nfs/dbraw/zinc/43/08/50/1073430850.db2.gz BCABWPZTOGWUGZ-HXUWFJFHSA-N 0 0 433.465 -0.286 20 0 IBADRN O=C(CCNC(=O)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1)N1CCN(c2ncccn2)CC1 ZINC001221717218 1073430887 /nfs/dbraw/zinc/43/08/87/1073430887.db2.gz BUCUUUDJXPNVHH-CVEARBPZSA-N 0 0 436.538 -0.409 20 0 IBADRN O=C(CCNC(=O)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1)N1CCN(c2ncccn2)CC1 ZINC001221717219 1073430893 /nfs/dbraw/zinc/43/08/93/1073430893.db2.gz BUCUUUDJXPNVHH-HOTGVXAUSA-N 0 0 436.538 -0.409 20 0 IBADRN O=C(CCNC(=O)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1)N1CCN(c2ncccn2)CC1 ZINC001221717220 1073430830 /nfs/dbraw/zinc/43/08/30/1073430830.db2.gz BUCUUUDJXPNVHH-HZPDHXFCSA-N 0 0 436.538 -0.409 20 0 IBADRN O=C(CCNC(=O)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1)N1CCN(c2ncccn2)CC1 ZINC001221717221 1073430844 /nfs/dbraw/zinc/43/08/44/1073430844.db2.gz BUCUUUDJXPNVHH-JKSUJKDBSA-N 0 0 436.538 -0.409 20 0 IBADRN O=C(CCNC(=O)N1CCC(C(=O)NCCO)CC1)N1CCN(c2ncccn2)CC1 ZINC001221717354 1073431677 /nfs/dbraw/zinc/43/16/77/1073431677.db2.gz GTPMWNZNKUKIFF-UHFFFAOYSA-N 0 0 433.513 -0.955 20 0 IBADRN O=C(CCNC(=O)N1CC(CNC(=O)C(F)(F)F)C1)N1CCN(c2ncccn2)CC1 ZINC001221717546 1073431948 /nfs/dbraw/zinc/43/19/48/1073431948.db2.gz MNBNICSXSLDVCQ-UHFFFAOYSA-N 0 0 443.430 -0.165 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001221743069 1073431613 /nfs/dbraw/zinc/43/16/13/1073431613.db2.gz DIUQFYKRVXMVLB-ACJLOTCBSA-N 0 0 426.495 -0.417 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001221743070 1073431585 /nfs/dbraw/zinc/43/15/85/1073431585.db2.gz DIUQFYKRVXMVLB-FZKQIMNGSA-N 0 0 426.495 -0.417 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001221743071 1073431924 /nfs/dbraw/zinc/43/19/24/1073431924.db2.gz DIUQFYKRVXMVLB-SCLBCKFNSA-N 0 0 426.495 -0.417 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001221743072 1073431608 /nfs/dbraw/zinc/43/16/08/1073431608.db2.gz DIUQFYKRVXMVLB-UGSOOPFHSA-N 0 0 426.495 -0.417 20 0 IBADRN CS(=O)(=O)CCNC(=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001221763541 1073431810 /nfs/dbraw/zinc/43/18/10/1073431810.db2.gz VGBMUNRGNXAHCT-UHFFFAOYSA-N 0 0 439.279 -0.043 20 0 IBADRN Cn1ccnc1CNC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001221764657 1073431855 /nfs/dbraw/zinc/43/18/55/1073431855.db2.gz JYEGYVURJCERIW-UHFFFAOYSA-N 0 0 449.537 -0.132 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)NCCCN3C(=O)CCC3=O)CC2)cc1 ZINC001221773220 1073431977 /nfs/dbraw/zinc/43/19/77/1073431977.db2.gz KHISQXPHLUGPKV-UHFFFAOYSA-N 0 0 437.522 -0.035 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1 ZINC001221798197 1073431618 /nfs/dbraw/zinc/43/16/18/1073431618.db2.gz AXJQVABAZOWWOC-UHFFFAOYSA-N 0 0 434.559 -0.224 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC001221798574 1073431930 /nfs/dbraw/zinc/43/19/30/1073431930.db2.gz JCWBKDZKZNLYJZ-UHFFFAOYSA-N 0 0 439.604 -0.935 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)NCC[N@@H+]3CC[C@H](O)C3)ncnc1-2 ZINC001221808148 1073431592 /nfs/dbraw/zinc/43/15/92/1073431592.db2.gz OMWCTHXFMPUZIG-JTQLQIEISA-N 0 0 427.307 -0.723 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)NCCS(=O)(=O)N2CCSCC2)CC1 ZINC001221814250 1073431659 /nfs/dbraw/zinc/43/16/59/1073431659.db2.gz MGEFMKZDHPWPEZ-UHFFFAOYSA-N 0 0 442.629 -0.085 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC001221821578 1073432915 /nfs/dbraw/zinc/43/29/15/1073432915.db2.gz PSDZWZMGJKQEQP-CABCVRRESA-N 0 0 431.497 -0.271 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC001221821579 1073432888 /nfs/dbraw/zinc/43/28/88/1073432888.db2.gz PSDZWZMGJKQEQP-GJZGRUSLSA-N 0 0 431.497 -0.271 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC001221821580 1073432666 /nfs/dbraw/zinc/43/26/66/1073432666.db2.gz PSDZWZMGJKQEQP-HUUCEWRRSA-N 0 0 431.497 -0.271 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)C1=O ZINC001221821581 1073432804 /nfs/dbraw/zinc/43/28/04/1073432804.db2.gz PSDZWZMGJKQEQP-LSDHHAIUSA-N 0 0 431.497 -0.271 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)N2CCN(CC(N)=O)C(=O)C2)s1 ZINC001221821928 1073432824 /nfs/dbraw/zinc/43/28/24/1073432824.db2.gz YWAGBJPQEKKRRV-UHFFFAOYSA-N 0 0 445.567 -0.340 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1C[C@](C)(CO)[C@](C)(CO)C1 ZINC001221824694 1073432923 /nfs/dbraw/zinc/43/29/23/1073432923.db2.gz DCPPMZTZXKMGNZ-KDURUIRLSA-N 0 0 429.539 -0.178 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCO[C@@H](CC(N)=O)C2)CC1 ZINC001221902524 1073432911 /nfs/dbraw/zinc/43/29/11/1073432911.db2.gz BNRDMVNZNPXAJC-INIZCTEOSA-N 0 0 439.538 -0.592 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCO[C@H](CC(N)=O)C2)CC1 ZINC001221902525 1073432631 /nfs/dbraw/zinc/43/26/31/1073432631.db2.gz BNRDMVNZNPXAJC-MRXNPFEDSA-N 0 0 439.538 -0.592 20 0 IBADRN COC(=O)C1(O)CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC001221902619 1073432905 /nfs/dbraw/zinc/43/29/05/1073432905.db2.gz DOXQEBSFQQFAFL-UHFFFAOYSA-N 0 0 426.495 -0.948 20 0 IBADRN CON(C)C(=O)[C@H](C)NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001221902878 1073432794 /nfs/dbraw/zinc/43/27/94/1073432794.db2.gz KYWJZWZTTBOSQL-AWEZNQCLSA-N 0 0 427.527 -0.170 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)NCc3ccccc3S(=O)(=O)N3CCN(C)CC3)C[C@H]2C1=O ZINC001221903015 1073432708 /nfs/dbraw/zinc/43/27/08/1073432708.db2.gz NRGGFJQMLKZLKK-IYBDPMFKSA-N 0 0 449.533 -0.621 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@H]2CCc3nnnn3CC2)CC1 ZINC001221903501 1073433591 /nfs/dbraw/zinc/43/35/91/1073433591.db2.gz TXEWWODPSNPTCV-INIZCTEOSA-N 0 0 448.553 -0.187 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@@H]2CCc3nnnn3CC2)CC1 ZINC001221903509 1073433703 /nfs/dbraw/zinc/43/37/03/1073433703.db2.gz TXEWWODPSNPTCV-MRXNPFEDSA-N 0 0 448.553 -0.187 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@H]2COCCN2C)CC1 ZINC001221903921 1073433524 /nfs/dbraw/zinc/43/35/24/1073433524.db2.gz XHHBPFSRPQWWHO-KRWDZBQOSA-N 0 0 425.555 -0.248 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NC[C@@H]2COCCN2C)CC1 ZINC001221903923 1073433433 /nfs/dbraw/zinc/43/34/33/1073433433.db2.gz XHHBPFSRPQWWHO-QGZVFWFLSA-N 0 0 425.555 -0.248 20 0 IBADRN COC[C@@H]1C[C@@H](O)CN1C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001221903953 1073433509 /nfs/dbraw/zinc/43/35/09/1073433509.db2.gz XNBPCBKQJGPYEK-DLBZAZTESA-N 0 0 426.539 -0.086 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001221920073 1073433680 /nfs/dbraw/zinc/43/36/80/1073433680.db2.gz PCJHZMNVIXWKPU-UHFFFAOYSA-N 0 0 439.482 -0.757 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC001221977751 1073433728 /nfs/dbraw/zinc/43/37/28/1073433728.db2.gz CUAJLVBCVWXGGB-UHFFFAOYSA-N 0 0 444.602 -0.498 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC001221978183 1073433714 /nfs/dbraw/zinc/43/37/14/1073433714.db2.gz NALWISHZQLYWPY-UHFFFAOYSA-N 0 0 432.591 -0.594 20 0 IBADRN C[C@@H]1CN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CCN1S(C)(=O)=O ZINC001221990415 1073433613 /nfs/dbraw/zinc/43/36/13/1073433613.db2.gz XGAZOKZUMDSKBO-LLVKDONJSA-N 0 0 432.515 -0.074 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001221997678 1073433583 /nfs/dbraw/zinc/43/35/83/1073433583.db2.gz PRJVKHFELWENGX-CVEARBPZSA-N 0 0 430.531 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001221997679 1073433454 /nfs/dbraw/zinc/43/34/54/1073433454.db2.gz PRJVKHFELWENGX-HOTGVXAUSA-N 0 0 430.531 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001221997680 1073433572 /nfs/dbraw/zinc/43/35/72/1073433572.db2.gz PRJVKHFELWENGX-HZPDHXFCSA-N 0 0 430.531 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001221997681 1073433658 /nfs/dbraw/zinc/43/36/58/1073433658.db2.gz PRJVKHFELWENGX-JKSUJKDBSA-N 0 0 430.531 -0.395 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)NCCS(=O)(=O)N1CCCC1 ZINC001222000217 1073434336 /nfs/dbraw/zinc/43/43/36/1073434336.db2.gz YIBUDLUTCUTJHO-AWEZNQCLSA-N 0 0 426.561 -0.800 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)NCCS(=O)(=O)N1CCCC1 ZINC001222000218 1073434293 /nfs/dbraw/zinc/43/42/93/1073434293.db2.gz YIBUDLUTCUTJHO-CQSZACIVSA-N 0 0 426.561 -0.800 20 0 IBADRN NC(=O)COC1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001222051562 1073434530 /nfs/dbraw/zinc/43/45/30/1073434530.db2.gz XPPVDHVJCMCLAL-UHFFFAOYSA-N 0 0 432.543 -0.365 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CCO1 ZINC001222052373 1073434536 /nfs/dbraw/zinc/43/45/36/1073434536.db2.gz CKEYMUDAVYTTMT-HNNXBMFYSA-N 0 0 447.558 -0.701 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CCO1 ZINC001222052374 1073434420 /nfs/dbraw/zinc/43/44/20/1073434420.db2.gz CKEYMUDAVYTTMT-OAHLLOKOSA-N 0 0 447.558 -0.701 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001222052649 1073434556 /nfs/dbraw/zinc/43/45/56/1073434556.db2.gz JJFQEIITKDJLNW-GOSISDBHSA-N 0 0 446.526 -0.520 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001222052650 1073434494 /nfs/dbraw/zinc/43/44/94/1073434494.db2.gz JJFQEIITKDJLNW-SFHVURJKSA-N 0 0 446.526 -0.520 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)C1 ZINC001222070383 1073434463 /nfs/dbraw/zinc/43/44/63/1073434463.db2.gz FAPVJJVXOZIAAX-KRWDZBQOSA-N 0 0 425.555 -0.280 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)C1 ZINC001222070384 1073434512 /nfs/dbraw/zinc/43/45/12/1073434512.db2.gz FAPVJJVXOZIAAX-QGZVFWFLSA-N 0 0 425.555 -0.280 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCn3c(nnc3-c3cn(C)cn3)C2)CC1 ZINC001222108739 1073434378 /nfs/dbraw/zinc/43/43/78/1073434378.db2.gz HCUFOUZAJBWABB-UHFFFAOYSA-N 0 0 429.485 -0.715 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001222126285 1073434313 /nfs/dbraw/zinc/43/43/13/1073434313.db2.gz RLYACRHFFBNDTB-DYESRHJHSA-N 0 0 432.525 -0.481 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001222126286 1073434450 /nfs/dbraw/zinc/43/44/50/1073434450.db2.gz RLYACRHFFBNDTB-LAUBAEHRSA-N 0 0 432.525 -0.481 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001222126287 1073434503 /nfs/dbraw/zinc/43/45/03/1073434503.db2.gz RLYACRHFFBNDTB-UTKZUKDTSA-N 0 0 432.525 -0.481 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001222126288 1073434485 /nfs/dbraw/zinc/43/44/85/1073434485.db2.gz RLYACRHFFBNDTB-UWJYYQICSA-N 0 0 432.525 -0.481 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001222126478 1073435131 /nfs/dbraw/zinc/43/51/31/1073435131.db2.gz WDXSCGHVHXJQRO-HNNXBMFYSA-N 0 0 446.599 -0.051 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001222126579 1073434964 /nfs/dbraw/zinc/43/49/64/1073434964.db2.gz WDXSCGHVHXJQRO-OAHLLOKOSA-N 0 0 446.599 -0.051 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC[C@H]4CC[C@@H](C3)S4(=O)=O)CC2)cn1 ZINC001222200466 1073435189 /nfs/dbraw/zinc/43/51/89/1073435189.db2.gz RLEJIFSMFSAARQ-CABCVRRESA-N 0 0 445.567 -0.066 20 0 IBADRN CONC(=O)C1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001222200522 1073435122 /nfs/dbraw/zinc/43/51/22/1073435122.db2.gz RWQCHRZOBIQYCA-UHFFFAOYSA-N 0 0 428.515 -0.328 20 0 IBADRN Cn1ccn2c(CCNC(=O)N[C@H]3CC(=O)N(CCOc4ccccc4)C3)nnc2c1=O ZINC001222248579 1073435062 /nfs/dbraw/zinc/43/50/62/1073435062.db2.gz RJVPEXKCLPHPAO-HNNXBMFYSA-N 0 0 439.476 -0.050 20 0 IBADRN Cn1ccn2c(CCNC(=O)N[C@@H]3CC(=O)N(CCOc4ccccc4)C3)nnc2c1=O ZINC001222248580 1073435030 /nfs/dbraw/zinc/43/50/30/1073435030.db2.gz RJVPEXKCLPHPAO-OAHLLOKOSA-N 0 0 439.476 -0.050 20 0 IBADRN NC(=O)C[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC001222260238 1073435141 /nfs/dbraw/zinc/43/51/41/1073435141.db2.gz HEHUGQQNDFJTQT-HNNXBMFYSA-N 0 0 426.495 -0.507 20 0 IBADRN NC(=O)C[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCO1 ZINC001222260239 1073435054 /nfs/dbraw/zinc/43/50/54/1073435054.db2.gz HEHUGQQNDFJTQT-OAHLLOKOSA-N 0 0 426.495 -0.507 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCN(c2nccnn2)CC1 ZINC001222260277 1073434974 /nfs/dbraw/zinc/43/49/74/1073434974.db2.gz HTFZXEGEKZYSPF-UHFFFAOYSA-N 0 0 447.521 -0.076 20 0 IBADRN C[C@H]1CN(CCO)CCN1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001222260399 1073435103 /nfs/dbraw/zinc/43/51/03/1073435103.db2.gz JMRXJVPRXCVCIQ-INIZCTEOSA-N 0 0 426.539 -0.085 20 0 IBADRN C[C@@H]1CN(CCO)CCN1C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001222260416 1073435230 /nfs/dbraw/zinc/43/52/30/1073435230.db2.gz JMRXJVPRXCVCIQ-MRXNPFEDSA-N 0 0 426.539 -0.085 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001222260655 1073434997 /nfs/dbraw/zinc/43/49/97/1073434997.db2.gz NPTGTNUDLSUMMF-IAGOWNOFSA-N 0 0 438.506 -0.494 20 0 IBADRN CCOC(=O)COc1ccc(CCNC(=O)N2CCN(S(=O)(=O)NC)CC2)cc1 ZINC001222440089 1073435832 /nfs/dbraw/zinc/43/58/32/1073435832.db2.gz NPNWGAIMRZHGCE-UHFFFAOYSA-N 0 0 428.511 -0.038 20 0 IBADRN O=C(NC[C@@H]1CCOC1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001222535464 1073435736 /nfs/dbraw/zinc/43/57/36/1073435736.db2.gz LUMNLMAKOXBQGP-INIZCTEOSA-N 0 0 439.538 -0.029 20 0 IBADRN O=C(NC[C@H]1CCOC1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001222535465 1073435787 /nfs/dbraw/zinc/43/57/87/1073435787.db2.gz LUMNLMAKOXBQGP-MRXNPFEDSA-N 0 0 439.538 -0.029 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccccc2F)CC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001222565937 1073435817 /nfs/dbraw/zinc/43/58/17/1073435817.db2.gz BOEOYBHVSSOKDM-AWEZNQCLSA-N 0 0 425.486 -0.026 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccccc2F)CC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001222565938 1073435715 /nfs/dbraw/zinc/43/57/15/1073435715.db2.gz BOEOYBHVSSOKDM-CQSZACIVSA-N 0 0 425.486 -0.026 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C(=O)N1c1cnn(C)c1 ZINC001222583603 1073435861 /nfs/dbraw/zinc/43/58/61/1073435861.db2.gz OLMWRRMBMYQLHH-GXTWGEPZSA-N 0 0 436.498 -0.647 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C(=O)N1c1cnn(C)c1 ZINC001222583604 1073435825 /nfs/dbraw/zinc/43/58/25/1073435825.db2.gz OLMWRRMBMYQLHH-JSGCOSHPSA-N 0 0 436.498 -0.647 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C(=O)N1c1cnn(C)c1 ZINC001222583605 1073435781 /nfs/dbraw/zinc/43/57/81/1073435781.db2.gz OLMWRRMBMYQLHH-OCCSQVGLSA-N 0 0 436.498 -0.647 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)C(=O)N1c1cnn(C)c1 ZINC001222583606 1073435689 /nfs/dbraw/zinc/43/56/89/1073435689.db2.gz OLMWRRMBMYQLHH-TZMCWYRMSA-N 0 0 436.498 -0.647 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)N[C@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)CCOC1 ZINC001222591059 1073435879 /nfs/dbraw/zinc/43/58/79/1073435879.db2.gz UNUGQPTZVTWFIW-IIMJZQEZSA-N 0 0 434.497 -0.427 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)N[C@@H]3C[C@@H](C)N(c4cnn(C)c4)C3=O)CC2)CCOC1 ZINC001222591060 1073435853 /nfs/dbraw/zinc/43/58/53/1073435853.db2.gz UNUGQPTZVTWFIW-KKVAFCGZSA-N 0 0 434.497 -0.427 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)N[C@@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)CCOC1 ZINC001222591061 1073435846 /nfs/dbraw/zinc/43/58/46/1073435846.db2.gz UNUGQPTZVTWFIW-NBQZKYEYSA-N 0 0 434.497 -0.427 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)N[C@H]3C[C@H](C)N(c4cnn(C)c4)C3=O)CC2)CCOC1 ZINC001222591062 1073435703 /nfs/dbraw/zinc/43/57/03/1073435703.db2.gz UNUGQPTZVTWFIW-UVFQYZLESA-N 0 0 434.497 -0.427 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001222703043 1073436235 /nfs/dbraw/zinc/43/62/35/1073436235.db2.gz YGYMYABJDVFMRX-GOSISDBHSA-N 0 0 441.492 -0.111 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001222703048 1073436310 /nfs/dbraw/zinc/43/63/10/1073436310.db2.gz YGYMYABJDVFMRX-SFHVURJKSA-N 0 0 441.492 -0.111 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N[C@H]1CCN(c2cc(=O)[nH]cn2)C1 ZINC001222707857 1073436257 /nfs/dbraw/zinc/43/62/57/1073436257.db2.gz CRMJYOIAUBLKJG-INIZCTEOSA-N 0 0 439.476 -0.168 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1 ZINC001222707863 1073436512 /nfs/dbraw/zinc/43/65/12/1073436512.db2.gz CRMJYOIAUBLKJG-MRXNPFEDSA-N 0 0 439.476 -0.168 20 0 IBADRN COCC(COC)N1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001222709097 1073436358 /nfs/dbraw/zinc/43/63/58/1073436358.db2.gz MNKCFZFNDAVDLP-UHFFFAOYSA-N 0 0 447.536 -0.253 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cn2)CCO1 ZINC001222725215 1073436572 /nfs/dbraw/zinc/43/65/72/1073436572.db2.gz GOGNWWFPIHYKLJ-GDBMZVCRSA-N 0 0 427.527 -0.234 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cn2)CCO1 ZINC001222725216 1073436410 /nfs/dbraw/zinc/43/64/10/1073436410.db2.gz GOGNWWFPIHYKLJ-GOEBONIOSA-N 0 0 427.527 -0.234 20 0 IBADRN C[C@H]1CN(c2ccc(CNC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cn2)CCO1 ZINC001222725217 1073436562 /nfs/dbraw/zinc/43/65/62/1073436562.db2.gz GOGNWWFPIHYKLJ-HOCLYGCPSA-N 0 0 427.527 -0.234 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cn2)CCO1 ZINC001222725218 1073436193 /nfs/dbraw/zinc/43/61/93/1073436193.db2.gz GOGNWWFPIHYKLJ-ZBFHGGJFSA-N 0 0 427.527 -0.234 20 0 IBADRN O=[N+]([O-])O[C@@H]1CO[C@@H]2[C@@H](OCc3ccc(S(=O)(=O)N4CCOCC4)cc3)CO[C@H]12 ZINC001222751519 1073436553 /nfs/dbraw/zinc/43/65/53/1073436553.db2.gz RKXHSSDRMGYWGG-YLFCFFPRSA-N 0 0 430.435 -0.033 20 0 IBADRN O=[N+]([O-])O[C@H]1CO[C@@H]2[C@H](OCc3ccc(S(=O)(=O)N4CCOCC4)cc3)CO[C@@H]21 ZINC001222751520 1073436538 /nfs/dbraw/zinc/43/65/38/1073436538.db2.gz RKXHSSDRMGYWGG-YYIAUSFCSA-N 0 0 430.435 -0.033 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)N3CCN(CC(N)=O)C(=O)C3)CC2)cc1 ZINC001222847239 1073436478 /nfs/dbraw/zinc/43/64/78/1073436478.db2.gz BTAIEPDBHPZXJH-UHFFFAOYSA-N 0 0 437.522 -0.513 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)NCc3nnc4n3CCNC4=O)CC2)cc1 ZINC001222849025 1073436170 /nfs/dbraw/zinc/43/61/70/1073436170.db2.gz UVOAIZLYBACCIF-UHFFFAOYSA-N 0 0 447.521 -0.018 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)NC[C@]3(O)CCS(=O)(=O)C3)CC2)cc1 ZINC001222849029 1073436978 /nfs/dbraw/zinc/43/69/78/1073436978.db2.gz UZLGCWYSESTMDZ-GOSISDBHSA-N 0 0 445.563 -0.003 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)NC[C@@]3(O)CCS(=O)(=O)C3)CC2)cc1 ZINC001222849030 1073436988 /nfs/dbraw/zinc/43/69/88/1073436988.db2.gz UZLGCWYSESTMDZ-SFHVURJKSA-N 0 0 445.563 -0.003 20 0 IBADRN CS(=O)(=O)c1cc(CO[C@H]2CO[C@@H]3[C@H](O[N+](=O)[O-])CO[C@H]23)cc(S(C)(=O)=O)c1 ZINC001222867346 1073437094 /nfs/dbraw/zinc/43/70/94/1073437094.db2.gz JADBSCKMWWERHW-GBJTYRQASA-N 0 0 437.448 -0.247 20 0 IBADRN CS(=O)(=O)c1cc(CO[C@@H]2CO[C@H]3[C@@H]2OC[C@@H]3O[N+](=O)[O-])cc(S(C)(=O)=O)c1 ZINC001222869665 1073437038 /nfs/dbraw/zinc/43/70/38/1073437038.db2.gz JADBSCKMWWERHW-LXTVHRRPSA-N 0 0 437.448 -0.247 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)NCCc2nnc3c(=O)n(C)ccn23)c1 ZINC001222886419 1073437144 /nfs/dbraw/zinc/43/71/44/1073437144.db2.gz QRXHCBFXXJABCV-UHFFFAOYSA-N 0 0 427.465 -0.015 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCC(NS(=O)(=O)NC)CC2)c1 ZINC001222886591 1073437117 /nfs/dbraw/zinc/43/71/17/1073437117.db2.gz SKWHDVMTSKMNGT-UHFFFAOYSA-N 0 0 427.527 -0.071 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC001222931543 1073437007 /nfs/dbraw/zinc/43/70/07/1073437007.db2.gz NPQYGYNWFUMXLF-UHFFFAOYSA-N 0 0 447.583 -0.324 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)cc1 ZINC001222990536 1073437154 /nfs/dbraw/zinc/43/71/54/1073437154.db2.gz NTYUWZSWBSWZQI-CABCVRRESA-N 0 0 435.524 -0.778 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)cc1 ZINC001222990539 1073437049 /nfs/dbraw/zinc/43/70/49/1073437049.db2.gz NTYUWZSWBSWZQI-GJZGRUSLSA-N 0 0 435.524 -0.778 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)cc1 ZINC001222990541 1073436968 /nfs/dbraw/zinc/43/69/68/1073436968.db2.gz NTYUWZSWBSWZQI-HUUCEWRRSA-N 0 0 435.524 -0.778 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)cc1 ZINC001222990543 1073437205 /nfs/dbraw/zinc/43/72/05/1073437205.db2.gz NTYUWZSWBSWZQI-LSDHHAIUSA-N 0 0 435.524 -0.778 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCC(C(=O)NCCO)CC2)cc1 ZINC001222990719 1073436952 /nfs/dbraw/zinc/43/69/52/1073436952.db2.gz OMJPMCXSNSEOAM-UHFFFAOYSA-N 0 0 442.538 -0.359 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NCc1ccc(S(=O)(=O)NCCOC)cc1 ZINC001222999227 1073438015 /nfs/dbraw/zinc/43/80/15/1073438015.db2.gz OVBYHKJXAXTDKT-HNNXBMFYSA-N 0 0 443.526 -0.549 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NCc1ccc(S(=O)(=O)NCCOC)cc1 ZINC001222999234 1073437998 /nfs/dbraw/zinc/43/79/98/1073437998.db2.gz OVBYHKJXAXTDKT-OAHLLOKOSA-N 0 0 443.526 -0.549 20 0 IBADRN O=[N+]([O-])O[C@H]1CO[C@@H]2[C@H](OCc3ccccc3S(=O)(=O)N3CCOCC3)CO[C@@H]21 ZINC001223071287 1073437855 /nfs/dbraw/zinc/43/78/55/1073437855.db2.gz BTYFXJOSVWLNSD-YALNPMBYSA-N 0 0 430.435 -0.033 20 0 IBADRN O=[N+]([O-])O[C@@H]1CO[C@@H]2[C@@H](OCc3ccccc3S(=O)(=O)N3CCOCC3)CO[C@H]12 ZINC001223074542 1073437777 /nfs/dbraw/zinc/43/77/77/1073437777.db2.gz BTYFXJOSVWLNSD-XOSAIJSUSA-N 0 0 430.435 -0.033 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)CC1 ZINC001223215504 1073437944 /nfs/dbraw/zinc/43/79/44/1073437944.db2.gz KEROFLKTUSEGEE-INIZCTEOSA-N 0 0 441.510 -0.400 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(c3cc(OC)cc(OC)c3)C2=O)CC1 ZINC001223215513 1073437912 /nfs/dbraw/zinc/43/79/12/1073437912.db2.gz KEROFLKTUSEGEE-MRXNPFEDSA-N 0 0 441.510 -0.400 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(C(=O)C(OC)OC)CC2)cc1 ZINC001223473725 1073438556 /nfs/dbraw/zinc/43/85/56/1073438556.db2.gz PYRLPFDPASOKOV-UHFFFAOYSA-N 0 0 428.511 -0.043 20 0 IBADRN CO[C@@]1(CNC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCS(=O)(=O)C1 ZINC001223473780 1073438624 /nfs/dbraw/zinc/43/86/24/1073438624.db2.gz REZIFLRBFIRAIY-GFCCVEGCSA-N 0 0 437.462 -0.197 20 0 IBADRN CO[C@]1(CNC(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCS(=O)(=O)C1 ZINC001223473781 1073438511 /nfs/dbraw/zinc/43/85/11/1073438511.db2.gz REZIFLRBFIRAIY-LBPRGKRZSA-N 0 0 437.462 -0.197 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001223473899 1073438587 /nfs/dbraw/zinc/43/85/87/1073438587.db2.gz VZZPVWQYSULUDP-UHFFFAOYSA-N 0 0 437.404 -0.127 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001223474020 1073438597 /nfs/dbraw/zinc/43/85/97/1073438597.db2.gz WTLZTOGERMQJJZ-AWEZNQCLSA-N 0 0 444.432 -0.773 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001223474021 1073438482 /nfs/dbraw/zinc/43/84/82/1073438482.db2.gz WTLZTOGERMQJJZ-CQSZACIVSA-N 0 0 444.432 -0.773 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC001223566805 1073438606 /nfs/dbraw/zinc/43/86/06/1073438606.db2.gz FSSWSEGFJJOVSP-UHFFFAOYSA-N 0 0 430.531 -0.531 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NCC(=O)NCC(=O)NCc1ccccc1)CC2 ZINC001223574242 1073438469 /nfs/dbraw/zinc/43/84/69/1073438469.db2.gz GIYQHCRUZUILPZ-HNNXBMFYSA-N 0 0 429.481 -0.529 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NCC(=O)NCC(=O)NCc1ccccc1)CC2 ZINC001223574243 1073438273 /nfs/dbraw/zinc/43/82/73/1073438273.db2.gz GIYQHCRUZUILPZ-OAHLLOKOSA-N 0 0 429.481 -0.529 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC001223713463 1073438568 /nfs/dbraw/zinc/43/85/68/1073438568.db2.gz KCPCVCGJIBQNDS-UHFFFAOYSA-N 0 0 437.588 -0.487 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC001223714270 1073438314 /nfs/dbraw/zinc/43/83/14/1073438314.db2.gz QANCAWBEYCTLBH-IBGZPJMESA-N 0 0 430.571 -0.142 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC001223714277 1073438436 /nfs/dbraw/zinc/43/84/36/1073438436.db2.gz QANCAWBEYCTLBH-LJQANCHMSA-N 0 0 430.571 -0.142 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CC(NS(C)(=O)=O)C2)cc1 ZINC001223760566 1073438409 /nfs/dbraw/zinc/43/84/09/1073438409.db2.gz WZRVZPJRYKQVEA-UHFFFAOYSA-N 0 0 433.508 -0.783 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCCN2CCNC2=S)cc1 ZINC001223766610 1073438375 /nfs/dbraw/zinc/43/83/75/1073438375.db2.gz XEUMGPMJPMMEQJ-UHFFFAOYSA-N 0 0 428.536 -0.236 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001223776160 1073438525 /nfs/dbraw/zinc/43/85/25/1073438525.db2.gz ZXIYRATUNKACAY-FQEVSTJZSA-N 0 0 426.514 -0.327 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001223776162 1073438545 /nfs/dbraw/zinc/43/85/45/1073438545.db2.gz ZXIYRATUNKACAY-HXUWFJFHSA-N 0 0 426.514 -0.327 20 0 IBADRN CN(C)C(=O)CNC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001223788475 1073439233 /nfs/dbraw/zinc/43/92/33/1073439233.db2.gz BIJYPFPMDOYQHS-UHFFFAOYSA-N 0 0 440.526 -0.978 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC001223911298 1073439255 /nfs/dbraw/zinc/43/92/55/1073439255.db2.gz NSIREJGGSUHSME-JOCHJYFZSA-N 0 0 449.552 -0.160 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC001223911302 1073439270 /nfs/dbraw/zinc/43/92/70/1073439270.db2.gz NSIREJGGSUHSME-QFIPXVFZSA-N 0 0 449.552 -0.160 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC001223912602 1073439161 /nfs/dbraw/zinc/43/91/61/1073439161.db2.gz ZCXYAHDIXVUJQE-KRWDZBQOSA-N 0 0 442.538 -0.448 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC001223912605 1073439331 /nfs/dbraw/zinc/43/93/31/1073439331.db2.gz ZCXYAHDIXVUJQE-QGZVFWFLSA-N 0 0 442.538 -0.448 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC001224126371 1073439308 /nfs/dbraw/zinc/43/93/08/1073439308.db2.gz FZYIYFMSVVHYQB-OAHLLOKOSA-N 0 0 447.583 -0.164 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)C1 ZINC001224132140 1073439405 /nfs/dbraw/zinc/43/94/05/1073439405.db2.gz UVFXJCVCHWPVLP-UHFFFAOYSA-N 0 0 439.538 -0.324 20 0 IBADRN CCN(CCCNC(=O)N1CCN(c2nc(N)nc(C3CC3)n2)CC1)S(C)(=O)=O ZINC001224184428 1073439387 /nfs/dbraw/zinc/43/93/87/1073439387.db2.gz PKRNQYPHAMKWKM-UHFFFAOYSA-N 0 0 426.547 -0.166 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001224202145 1073439281 /nfs/dbraw/zinc/43/92/81/1073439281.db2.gz MXEYQEKFGRXXHJ-UHFFFAOYSA-N 0 0 436.517 -0.274 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)CC1 ZINC001224202416 1073439292 /nfs/dbraw/zinc/43/92/92/1073439292.db2.gz OTBOCQXSEAWDMJ-ZIAGYGMSSA-N 0 0 425.486 -0.635 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001224205362 1073439301 /nfs/dbraw/zinc/43/93/01/1073439301.db2.gz CATFKAGABHHBDZ-GOSISDBHSA-N 0 0 432.543 -0.540 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001224205364 1073439181 /nfs/dbraw/zinc/43/91/81/1073439181.db2.gz CATFKAGABHHBDZ-SFHVURJKSA-N 0 0 432.543 -0.540 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCc1ccccc1CS(=O)(=O)N1CCCC1 ZINC001224230209 1073439415 /nfs/dbraw/zinc/43/94/15/1073439415.db2.gz PYIVKNRQEDSVKF-UHFFFAOYSA-N 0 0 447.583 -0.193 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)NCc1ccccc1CS(=O)(=O)N1CCCC1 ZINC001224230784 1073439217 /nfs/dbraw/zinc/43/92/17/1073439217.db2.gz YNZATHRTYSLDHV-UHFFFAOYSA-N 0 0 447.521 -0.054 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001224337946 1073439343 /nfs/dbraw/zinc/43/93/43/1073439343.db2.gz RODAPAUVAQNTQH-ACJLOTCBSA-N 0 0 426.495 -0.417 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001224337952 1073440381 /nfs/dbraw/zinc/44/03/81/1073440381.db2.gz RODAPAUVAQNTQH-FZKQIMNGSA-N 0 0 426.495 -0.417 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001224337955 1073440377 /nfs/dbraw/zinc/44/03/77/1073440377.db2.gz RODAPAUVAQNTQH-SCLBCKFNSA-N 0 0 426.495 -0.417 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001224337961 1073440222 /nfs/dbraw/zinc/44/02/22/1073440222.db2.gz RODAPAUVAQNTQH-UGSOOPFHSA-N 0 0 426.495 -0.417 20 0 IBADRN O=C(NCCNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1ccc(Br)cc1 ZINC001224408695 1073440229 /nfs/dbraw/zinc/44/02/29/1073440229.db2.gz YAYPEFOKAKWOAZ-CYBMUJFWSA-N 0 0 446.327 -0.277 20 0 IBADRN O=C(NCCNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1ccc(Br)cc1 ZINC001224408697 1073440387 /nfs/dbraw/zinc/44/03/87/1073440387.db2.gz YAYPEFOKAKWOAZ-ZDUSSCGKSA-N 0 0 446.327 -0.277 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2cc(C)sc2C)CC1 ZINC001224442670 1073440288 /nfs/dbraw/zinc/44/02/88/1073440288.db2.gz UJIDUMHSHNJQJU-UHFFFAOYSA-N 0 0 439.585 -0.565 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCCNS(=O)(=O)c3cccnc3)CC2)CCOC1 ZINC001224535344 1073440210 /nfs/dbraw/zinc/44/02/10/1073440210.db2.gz AQFPZTVLISQCPS-GOSISDBHSA-N 0 0 441.510 -0.981 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCCNS(=O)(=O)c3cccnc3)CC2)CCOC1 ZINC001224535347 1073440392 /nfs/dbraw/zinc/44/03/92/1073440392.db2.gz AQFPZTVLISQCPS-SFHVURJKSA-N 0 0 441.510 -0.981 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)C1 ZINC001224583539 1073440361 /nfs/dbraw/zinc/44/03/61/1073440361.db2.gz CKJRTGWRWSALSP-UHFFFAOYSA-N 0 0 445.976 -0.530 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001224821397 1073441027 /nfs/dbraw/zinc/44/10/27/1073441027.db2.gz RVIODJVQESLQCV-KRWDZBQOSA-N 0 0 444.583 -0.367 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001224821403 1073441113 /nfs/dbraw/zinc/44/11/13/1073441113.db2.gz RVIODJVQESLQCV-QGZVFWFLSA-N 0 0 444.583 -0.367 20 0 IBADRN COCCOCCOCCOCCO[C@@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001224934142 1073441150 /nfs/dbraw/zinc/44/11/50/1073441150.db2.gz NASDKINEWYLJBP-HNNXBMFYSA-N 0 0 428.486 -0.465 20 0 IBADRN COCCOCCOCCOCCO[C@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001224934143 1073441076 /nfs/dbraw/zinc/44/10/76/1073441076.db2.gz NASDKINEWYLJBP-OAHLLOKOSA-N 0 0 428.486 -0.465 20 0 IBADRN O=S(=O)([O-])C[C@@H](CN1CCOCC1)OCCCC[NH+]1CCN(c2ncccn2)CC1 ZINC001224946053 1073440941 /nfs/dbraw/zinc/44/09/41/1073440941.db2.gz QPVCJGRZTSKSFQ-GOSISDBHSA-N 0 0 443.570 -0.016 20 0 IBADRN O=S(=O)([O-])C[C@H](CN1CCOCC1)OCCCC[NH+]1CCN(c2ncccn2)CC1 ZINC001224946054 1073441007 /nfs/dbraw/zinc/44/10/07/1073441007.db2.gz QPVCJGRZTSKSFQ-SFHVURJKSA-N 0 0 443.570 -0.016 20 0 IBADRN C[C@]1(C2CCN(C(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)CC2)NC(=O)NC1=O ZINC001224982230 1073441098 /nfs/dbraw/zinc/44/10/98/1073441098.db2.gz LUAPGCPTXCKBFZ-FSPWUOQZSA-N 0 0 428.511 -0.258 20 0 IBADRN C[C@]1(C2CCN(C(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)CC2)NC(=O)NC1=O ZINC001224982237 1073441050 /nfs/dbraw/zinc/44/10/50/1073441050.db2.gz LUAPGCPTXCKBFZ-MORSLUCNSA-N 0 0 428.511 -0.258 20 0 IBADRN C[C@]1(C2CCN(C(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)CC2)NC(=O)NC1=O ZINC001224982242 1073440978 /nfs/dbraw/zinc/44/09/78/1073440978.db2.gz LUAPGCPTXCKBFZ-VHSSKADRSA-N 0 0 428.511 -0.258 20 0 IBADRN C[C@]1(C2CCN(C(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)CC2)NC(=O)NC1=O ZINC001224982243 1073440857 /nfs/dbraw/zinc/44/08/57/1073440857.db2.gz LUAPGCPTXCKBFZ-XWIAVFTESA-N 0 0 428.511 -0.258 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001224990121 1073441136 /nfs/dbraw/zinc/44/11/36/1073441136.db2.gz LIPCESSZCOBDHC-KRWDZBQOSA-N 0 0 439.538 -0.559 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001224990127 1073441056 /nfs/dbraw/zinc/44/10/56/1073441056.db2.gz LIPCESSZCOBDHC-QGZVFWFLSA-N 0 0 439.538 -0.559 20 0 IBADRN O=C(NCCn1ccnc1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001224998554 1073440985 /nfs/dbraw/zinc/44/09/85/1073440985.db2.gz OYXNWIKHKVWELC-UHFFFAOYSA-N 0 0 449.537 -0.169 20 0 IBADRN CCOC(=O)[C@](C)(CCO[C@@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C)S(C)(=O)=O ZINC001225070228 1073441129 /nfs/dbraw/zinc/44/11/29/1073441129.db2.gz YUWNZNOQLMIEBQ-SGTLLEGYSA-N 0 0 444.510 -0.405 20 0 IBADRN CCOC(=O)[C@](C)(CCO[C@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C)S(C)(=O)=O ZINC001225070229 1073441617 /nfs/dbraw/zinc/44/16/17/1073441617.db2.gz YUWNZNOQLMIEBQ-XIKOKIGWSA-N 0 0 444.510 -0.405 20 0 IBADRN COC(=O)[C@H](CO[C@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C)NC(=O)OC(C)(C)C ZINC001225113736 1073441567 /nfs/dbraw/zinc/44/15/67/1073441567.db2.gz UHRBCJNTPBJSFY-NEPJUHHUSA-N 0 0 439.469 -0.095 20 0 IBADRN COC(=O)[C@H](CO[C@@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C)NC(=O)OC(C)(C)C ZINC001225113741 1073441392 /nfs/dbraw/zinc/44/13/92/1073441392.db2.gz UHRBCJNTPBJSFY-RYUDHWBXSA-N 0 0 439.469 -0.095 20 0 IBADRN COC(=O)[C@@H](CO[C@@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C)NC(=O)OC(C)(C)C ZINC001225128015 1073441345 /nfs/dbraw/zinc/44/13/45/1073441345.db2.gz UHRBCJNTPBJSFY-NWDGAFQWSA-N 0 0 439.469 -0.095 20 0 IBADRN COC(=O)[C@@H](CO[C@H](C)Cn1cnc2c1c(=O)n(C)c(=O)n2C)NC(=O)OC(C)(C)C ZINC001225128016 1073441499 /nfs/dbraw/zinc/44/14/99/1073441499.db2.gz UHRBCJNTPBJSFY-VXGBXAGGSA-N 0 0 439.469 -0.095 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)NCC(=O)N3CCOCC3)CC2)cc1 ZINC001225203417 1073441526 /nfs/dbraw/zinc/44/15/26/1073441526.db2.gz VJTNBQOEFHNVTG-UHFFFAOYSA-N 0 0 425.511 -0.715 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)NCC(=O)N2CCOCC2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001225203473 1073441692 /nfs/dbraw/zinc/44/16/92/1073441692.db2.gz WPVZOVNSCDUVEY-KGLIPLIRSA-N 0 0 446.526 -0.727 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC23CCOCC3)cn1 ZINC001225334880 1073441668 /nfs/dbraw/zinc/44/16/68/1073441668.db2.gz RQCKIKWKUKAWOG-KRWDZBQOSA-N 0 0 441.554 -0.012 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC23CCOCC3)cn1 ZINC001225334885 1073441447 /nfs/dbraw/zinc/44/14/47/1073441447.db2.gz RQCKIKWKUKAWOG-QGZVFWFLSA-N 0 0 441.554 -0.012 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCOCC2)C[C@H]1c1ccc(O)cc1 ZINC001225335510 1073441623 /nfs/dbraw/zinc/44/16/23/1073441623.db2.gz SMQSJPLSGSMJID-DLBZAZTESA-N 0 0 441.506 -0.048 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001225336681 1073441597 /nfs/dbraw/zinc/44/15/97/1073441597.db2.gz ZPEBBHJBVKNSAC-GOSISDBHSA-N 0 0 445.563 -0.064 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)c2ccccc2)CC1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001225336688 1073442278 /nfs/dbraw/zinc/44/22/78/1073442278.db2.gz ZPEBBHJBVKNSAC-SFHVURJKSA-N 0 0 445.563 -0.064 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N(O)CCCN1C(=O)c2ccccc2C1=O ZINC001225341882 1073442165 /nfs/dbraw/zinc/44/21/65/1073442165.db2.gz MSXXSPBXWGRTKN-UHFFFAOYSA-N 0 0 440.478 -0.265 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)OC(C)(C)C)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001225342952 1073442427 /nfs/dbraw/zinc/44/24/27/1073442427.db2.gz YUCDFFNVSCEGDG-AWEZNQCLSA-N 0 0 438.547 -0.482 20 0 IBADRN CN(C[C@@H](O)CN(C)C(=O)OC(C)(C)C)C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001225342953 1073442179 /nfs/dbraw/zinc/44/21/79/1073442179.db2.gz YUCDFFNVSCEGDG-CQSZACIVSA-N 0 0 438.547 -0.482 20 0 IBADRN CN(CCOCCNC(=O)NCCS(=O)(=O)N1CCOCC1)C(=O)OC(C)(C)C ZINC001225342965 1073442189 /nfs/dbraw/zinc/44/21/89/1073442189.db2.gz ZAIICLPWDUOLHZ-UHFFFAOYSA-N 0 0 438.547 -0.169 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1 ZINC001225347551 1073442140 /nfs/dbraw/zinc/44/21/40/1073442140.db2.gz DURSXYCTIOHALH-UHFFFAOYSA-N 0 0 447.583 -0.089 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC001225359195 1073442200 /nfs/dbraw/zinc/44/22/00/1073442200.db2.gz DLMCVKPIIRNBLS-UHFFFAOYSA-N 0 0 427.513 -0.219 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001225360158 1073442332 /nfs/dbraw/zinc/44/23/32/1073442332.db2.gz KYFHONREWIJGOO-INIZCTEOSA-N 0 0 433.513 -0.540 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001225360166 1073442233 /nfs/dbraw/zinc/44/22/33/1073442233.db2.gz KYFHONREWIJGOO-MRXNPFEDSA-N 0 0 433.513 -0.540 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC001225360924 1073442284 /nfs/dbraw/zinc/44/22/84/1073442284.db2.gz SUWOJZFVRQMCQW-HNNXBMFYSA-N 0 0 426.543 -0.253 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC001225360928 1073442100 /nfs/dbraw/zinc/44/21/00/1073442100.db2.gz SUWOJZFVRQMCQW-OAHLLOKOSA-N 0 0 426.543 -0.253 20 0 IBADRN O=C(CCNC(=O)NC[C@]12COC(=O)N1CCOC2)N1CCN(c2ccccn2)CC1 ZINC001225361000 1073442357 /nfs/dbraw/zinc/44/23/57/1073442357.db2.gz VAXLCSWQKLIWNU-FQEVSTJZSA-N 0 0 432.481 -0.359 20 0 IBADRN O=C(CCNC(=O)NC[C@@]12COC(=O)N1CCOC2)N1CCN(c2ccccn2)CC1 ZINC001225361001 1073442415 /nfs/dbraw/zinc/44/24/15/1073442415.db2.gz VAXLCSWQKLIWNU-HXUWFJFHSA-N 0 0 432.481 -0.359 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)C(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC001225361141 1073442384 /nfs/dbraw/zinc/44/23/84/1073442384.db2.gz XBLGGGCCVLVCPJ-UHFFFAOYSA-N 0 0 439.480 -0.307 20 0 IBADRN O=C(NCCCN(C1CC1)S(=O)(=O)c1cccc(F)c1)N1CC2(C1)NC(=O)NC2=O ZINC001225380681 1073442402 /nfs/dbraw/zinc/44/24/02/1073442402.db2.gz KGUOTABANHJHGU-UHFFFAOYSA-N 0 0 439.469 -0.028 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NCC3CCS(=O)(=O)CC3)CC2)CC1 ZINC001225465911 1073442259 /nfs/dbraw/zinc/44/22/59/1073442259.db2.gz OSSLZPXREBBLOT-UHFFFAOYSA-N 0 0 428.555 -0.043 20 0 IBADRN O=C(NC[C@H](c1cccc(F)c1)N1CCOCC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001225473691 1073442349 /nfs/dbraw/zinc/44/23/49/1073442349.db2.gz QGPXRCGDNXWDJZ-DLBZAZTESA-N 0 0 427.502 -0.257 20 0 IBADRN O=C(NC[C@H](c1cccc(F)c1)N1CCOCC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001225473692 1073442374 /nfs/dbraw/zinc/44/23/74/1073442374.db2.gz QGPXRCGDNXWDJZ-IAGOWNOFSA-N 0 0 427.502 -0.257 20 0 IBADRN O=C(NC[C@@H](c1cccc(F)c1)N1CCOCC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001225473693 1073442311 /nfs/dbraw/zinc/44/23/11/1073442311.db2.gz QGPXRCGDNXWDJZ-IRXDYDNUSA-N 0 0 427.502 -0.257 20 0 IBADRN O=C(NC[C@@H](c1cccc(F)c1)N1CCOCC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001225473694 1073443159 /nfs/dbraw/zinc/44/31/59/1073443159.db2.gz QGPXRCGDNXWDJZ-SJORKVTESA-N 0 0 427.502 -0.257 20 0 IBADRN COC(=O)c1cc(O[C@@H](C)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cnc1C(=O)OC ZINC001225527791 1073442926 /nfs/dbraw/zinc/44/29/26/1073442926.db2.gz MBKHXXCYPVNXNJ-JTQLQIEISA-N 0 0 431.405 -0.131 20 0 IBADRN COC(=O)c1cc(O[C@H](C)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cnc1C(=O)OC ZINC001225527792 1073443249 /nfs/dbraw/zinc/44/32/49/1073443249.db2.gz MBKHXXCYPVNXNJ-SNVBAGLBSA-N 0 0 431.405 -0.131 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCc2ccccc2CS(=O)(=O)NC(C)C)CC1 ZINC001225556649 1073443215 /nfs/dbraw/zinc/44/32/15/1073443215.db2.gz YLMSSKNQRBOXHG-UHFFFAOYSA-N 0 0 447.583 -0.194 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC001225603075 1073442962 /nfs/dbraw/zinc/44/29/62/1073442962.db2.gz QSRQHXJQKPKXBX-UHFFFAOYSA-N 0 0 434.544 -0.903 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001225773628 1073443243 /nfs/dbraw/zinc/44/32/43/1073443243.db2.gz VPOFKPKXBUCZQA-KRWDZBQOSA-N 0 0 425.507 -0.150 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)N1CCS(=O)(=O)C[C@H]1CCO ZINC001225773632 1073443141 /nfs/dbraw/zinc/44/31/41/1073443141.db2.gz VPOFKPKXBUCZQA-QGZVFWFLSA-N 0 0 425.507 -0.150 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCN(c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC001225826448 1073443172 /nfs/dbraw/zinc/44/31/72/1073443172.db2.gz HRKNZZFYWCAPLK-UHFFFAOYSA-N 0 0 433.556 -0.634 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC001225854615 1073443016 /nfs/dbraw/zinc/44/30/16/1073443016.db2.gz LLLCEONPTOZRQM-UHFFFAOYSA-N 0 0 436.488 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@@H](O)C1 ZINC001225992194 1073442987 /nfs/dbraw/zinc/44/29/87/1073442987.db2.gz GJAZCTKWWCNPNI-CABCVRRESA-N 0 0 449.574 -0.767 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@@H](O)C1 ZINC001225992197 1073443235 /nfs/dbraw/zinc/44/32/35/1073443235.db2.gz GJAZCTKWWCNPNI-GJZGRUSLSA-N 0 0 449.574 -0.767 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@H](O)C1 ZINC001225992200 1073443731 /nfs/dbraw/zinc/44/37/31/1073443731.db2.gz GJAZCTKWWCNPNI-HUUCEWRRSA-N 0 0 449.574 -0.767 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)[C@H](O)C1 ZINC001225992202 1073443545 /nfs/dbraw/zinc/44/35/45/1073443545.db2.gz GJAZCTKWWCNPNI-LSDHHAIUSA-N 0 0 449.574 -0.767 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCO[C@H](c3noc(C4CC4)n3)C2)CC1 ZINC001225992431 1073443843 /nfs/dbraw/zinc/44/38/43/1073443843.db2.gz JVGOAIQASPSOJH-AWEZNQCLSA-N 0 0 428.515 -0.393 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N2CCO[C@@H](c3noc(C4CC4)n3)C2)CC1 ZINC001225992438 1073443599 /nfs/dbraw/zinc/44/35/99/1073443599.db2.gz JVGOAIQASPSOJH-CQSZACIVSA-N 0 0 428.515 -0.393 20 0 IBADRN CCS(=O)(=O)c1ccccc1CCNC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001225992467 1073443783 /nfs/dbraw/zinc/44/37/83/1073443783.db2.gz KEFGIFNZRWCCEF-UHFFFAOYSA-N 0 0 446.595 -0.101 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CNC(=O)NCCN3CCN(S(C)(=O)=O)CC3)[C@@H]2C1 ZINC001225992632 1073443658 /nfs/dbraw/zinc/44/36/58/1073443658.db2.gz LTBHIUYORKUSFF-PHZGNYQRSA-N 0 0 445.586 -0.024 20 0 IBADRN CC(C)(C)OC(=O)[C@@]12COC[C@@H]1CN(C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2 ZINC001225992801 1073443745 /nfs/dbraw/zinc/44/37/45/1073443745.db2.gz NNZGGPKDWFYYPG-KXBFYZLASA-N 0 0 446.570 -0.437 20 0 IBADRN COCCN(Cc1c(C)nn(C)c1C)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001225993060 1073443704 /nfs/dbraw/zinc/44/37/04/1073443704.db2.gz OWPPWWWBKMSBGF-UHFFFAOYSA-N 0 0 430.575 -0.228 20 0 IBADRN Cn1nccc1[C@]1(O)C[C@H]2CC[C@@H](C1)N2C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001225995368 1073443792 /nfs/dbraw/zinc/44/37/92/1073443792.db2.gz ZUNQNTVJIJUNRE-MNZLEMJZSA-N 0 0 440.570 -0.479 20 0 IBADRN NC(=O)CN1CCN(C(=O)NCCCNC(=O)c2cccc(Br)c2)CC1=O ZINC001226012886 1073443621 /nfs/dbraw/zinc/44/36/21/1073443621.db2.gz LYLZFYCVBAEZPQ-UHFFFAOYSA-N 0 0 440.298 -0.092 20 0 IBADRN O=C(CNC(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC001226023736 1073443507 /nfs/dbraw/zinc/44/35/07/1073443507.db2.gz WCZSSQCOOSEQIW-FQEVSTJZSA-N 0 0 436.534 -0.066 20 0 IBADRN O=C(CNC(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCN(c2ccccc2)CC1 ZINC001226023737 1073444435 /nfs/dbraw/zinc/44/44/35/1073444435.db2.gz WCZSSQCOOSEQIW-HXUWFJFHSA-N 0 0 436.534 -0.066 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCCN2CCNC(=O)C2)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001226057004 1073444221 /nfs/dbraw/zinc/44/42/21/1073444221.db2.gz HHUDNNODCHQKIJ-AWEZNQCLSA-N 0 0 439.513 -0.530 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCCN2CCNC(=O)C2)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001226057005 1073444272 /nfs/dbraw/zinc/44/42/72/1073444272.db2.gz HHUDNNODCHQKIJ-CQSZACIVSA-N 0 0 439.513 -0.530 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N3C[C@H]4[C@@H](C3)[C@H](OC)CS4(=O)=O)C2)nc1 ZINC001226123928 1073444503 /nfs/dbraw/zinc/44/45/03/1073444503.db2.gz XMKORSCHZDOKSS-XHSDSOJGSA-N 0 0 438.506 -0.100 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)CC1 ZINC001226145594 1073444472 /nfs/dbraw/zinc/44/44/72/1073444472.db2.gz ZQNBVAXZKMHGMS-UHFFFAOYSA-N 0 0 445.586 -0.041 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NC[C@@H]1CN(C)CCN1Cc1ccccc1 ZINC001226192058 1073444387 /nfs/dbraw/zinc/44/43/87/1073444387.db2.gz ZZCUBZSMXPOGGN-GOSISDBHSA-N 0 0 426.587 -0.066 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)NC[C@H]1CN(C)CCN1Cc1ccccc1 ZINC001226192059 1073444283 /nfs/dbraw/zinc/44/42/83/1073444283.db2.gz ZZCUBZSMXPOGGN-SFHVURJKSA-N 0 0 426.587 -0.066 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)C[C@H]21 ZINC001226217757 1073444409 /nfs/dbraw/zinc/44/44/09/1073444409.db2.gz FLWBYFAZIJWOAM-QCUYGVNKSA-N 0 0 436.490 -0.305 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCc3ccc([C@]4(C)NC(=O)NC4=O)cc3)C[C@H]21 ZINC001226217761 1073444481 /nfs/dbraw/zinc/44/44/81/1073444481.db2.gz FLWBYFAZIJWOAM-YGTYGHESSA-N 0 0 436.490 -0.305 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)N2CCN(CC(N)=O)C(=O)C2)CC1 ZINC001226272538 1073444242 /nfs/dbraw/zinc/44/42/42/1073444242.db2.gz GWXNHOBVOXXTJB-UHFFFAOYSA-N 0 0 431.493 -0.432 20 0 IBADRN O=C(CCNC(=O)N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)N1CCN(c2ncccn2)CC1 ZINC001226315571 1073444425 /nfs/dbraw/zinc/44/44/25/1073444425.db2.gz BAWCNWRETQVCHV-HNNXBMFYSA-N 0 0 441.496 -0.411 20 0 IBADRN O=C(CCNC(=O)N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)N1CCN(c2ncccn2)CC1 ZINC001226315576 1073444168 /nfs/dbraw/zinc/44/41/68/1073444168.db2.gz BAWCNWRETQVCHV-OAHLLOKOSA-N 0 0 441.496 -0.411 20 0 IBADRN O=C(CCNC(=O)NCC1(O)CCC2(CC1)OCCO2)N1CCN(c2ncccn2)CC1 ZINC001226315926 1073444353 /nfs/dbraw/zinc/44/43/53/1073444353.db2.gz FMPIIEXKHDCIMQ-UHFFFAOYSA-N 0 0 448.524 -0.137 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001226316979 1073444442 /nfs/dbraw/zinc/44/44/42/1073444442.db2.gz NKCOZQGCQSAWBC-UHFFFAOYSA-N 0 0 427.531 -0.858 20 0 IBADRN O=C(CCNC(=O)NC[C@]12COC(=O)N1CCOC2)N1CCN(c2ncccn2)CC1 ZINC001226317648 1073444322 /nfs/dbraw/zinc/44/43/22/1073444322.db2.gz SOTZDXOJXYUZKD-IBGZPJMESA-N 0 0 433.469 -0.964 20 0 IBADRN O=C(CCNC(=O)NC[C@@]12COC(=O)N1CCOC2)N1CCN(c2ncccn2)CC1 ZINC001226317650 1073445000 /nfs/dbraw/zinc/44/50/00/1073445000.db2.gz SOTZDXOJXYUZKD-LJQANCHMSA-N 0 0 433.469 -0.964 20 0 IBADRN C[C@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1ccc(CCNS(C)(=O)=O)cc1 ZINC001226335050 1073445113 /nfs/dbraw/zinc/44/51/13/1073445113.db2.gz HYNCZRUEODMQHL-CYBMUJFWSA-N 0 0 435.506 -0.007 20 0 IBADRN C[C@@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1ccc(CCNS(C)(=O)=O)cc1 ZINC001226335051 1073445069 /nfs/dbraw/zinc/44/50/69/1073445069.db2.gz HYNCZRUEODMQHL-ZDUSSCGKSA-N 0 0 435.506 -0.007 20 0 IBADRN COC(=O)C1(O)CN(C(=O)NCCc2ccc(OCCN3CCN(C(C)=O)CC3)cc2)C1 ZINC001226339170 1073445021 /nfs/dbraw/zinc/44/50/21/1073445021.db2.gz BUTIKOYVBUXEEB-UHFFFAOYSA-N 0 0 448.520 -0.299 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)NCCS(C)(=O)=O)C1 ZINC001226560282 1073445060 /nfs/dbraw/zinc/44/50/60/1073445060.db2.gz JSVIGIRLDPJJFV-GFCCVEGCSA-N 0 0 427.545 -0.246 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)NCCS(C)(=O)=O)C1 ZINC001226560285 1073445048 /nfs/dbraw/zinc/44/50/48/1073445048.db2.gz JSVIGIRLDPJJFV-LBPRGKRZSA-N 0 0 427.545 -0.246 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001226663231 1073445080 /nfs/dbraw/zinc/44/50/80/1073445080.db2.gz AINRUSFKHHSKRK-UHFFFAOYSA-N 0 0 434.419 -0.137 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC001226783728 1073445010 /nfs/dbraw/zinc/44/50/10/1073445010.db2.gz BGKLIPMFIFAOJT-UHFFFAOYSA-N 0 0 446.595 -0.055 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001226786294 1073444943 /nfs/dbraw/zinc/44/49/43/1073444943.db2.gz SPYAGCQCNZDEOK-DOTOQJQBSA-N 0 0 437.584 -0.469 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001226786298 1073445810 /nfs/dbraw/zinc/44/58/10/1073445810.db2.gz SPYAGCQCNZDEOK-NVXWUHKLSA-N 0 0 437.584 -0.469 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001226786300 1073445697 /nfs/dbraw/zinc/44/56/97/1073445697.db2.gz SPYAGCQCNZDEOK-RDJZCZTQSA-N 0 0 437.584 -0.469 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001226786304 1073445798 /nfs/dbraw/zinc/44/57/98/1073445798.db2.gz SPYAGCQCNZDEOK-WBVHZDCISA-N 0 0 437.584 -0.469 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)NCCNS(C)(=O)=O)C[C@H]2NC(=O)OC(C)(C)C)cn1 ZINC001226816169 1073445849 /nfs/dbraw/zinc/44/58/49/1073445849.db2.gz MZQQRAKRPRINNI-UONOGXRCSA-N 0 0 430.531 -0.029 20 0 IBADRN NC(=O)C[C@H]1CN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC001226927237 1073446440 /nfs/dbraw/zinc/44/64/40/1073446440.db2.gz NYORATJUGPQDEJ-CVEARBPZSA-N 0 0 433.513 -0.432 20 0 IBADRN NC(=O)C[C@H]1CN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC001226927263 1073446521 /nfs/dbraw/zinc/44/65/21/1073446521.db2.gz NYORATJUGPQDEJ-HOTGVXAUSA-N 0 0 433.513 -0.432 20 0 IBADRN NC(=O)C[C@@H]1CN(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC001226927265 1073446627 /nfs/dbraw/zinc/44/66/27/1073446627.db2.gz NYORATJUGPQDEJ-HZPDHXFCSA-N 0 0 433.513 -0.432 20 0 IBADRN NC(=O)C[C@@H]1CN(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CCO1 ZINC001226927266 1073446598 /nfs/dbraw/zinc/44/65/98/1073446598.db2.gz NYORATJUGPQDEJ-JKSUJKDBSA-N 0 0 433.513 -0.432 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)NCC3CN(S(C)(=O)=O)C3)CC2=O)C1 ZINC001226945580 1073446303 /nfs/dbraw/zinc/44/63/03/1073446303.db2.gz HKYFEGRGUKQDMY-CYBMUJFWSA-N 0 0 445.542 -0.603 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)NCC3CN(S(C)(=O)=O)C3)CC2=O)C1 ZINC001226945590 1073446350 /nfs/dbraw/zinc/44/63/50/1073446350.db2.gz HKYFEGRGUKQDMY-ZDUSSCGKSA-N 0 0 445.542 -0.603 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)C1 ZINC001226945642 1073446486 /nfs/dbraw/zinc/44/64/86/1073446486.db2.gz HWTQHXMLMGBTMX-UHFFFAOYSA-N 0 0 431.559 -0.176 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)C1 ZINC001226945853 1073446552 /nfs/dbraw/zinc/44/65/52/1073446552.db2.gz KAZRSHDINOGHDF-LLVKDONJSA-N 0 0 432.300 -0.102 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)C1 ZINC001226945854 1073446684 /nfs/dbraw/zinc/44/66/84/1073446684.db2.gz KAZRSHDINOGHDF-NSHDSACASA-N 0 0 432.300 -0.102 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC(C(=O)NCCO)CC1 ZINC001226947321 1073446265 /nfs/dbraw/zinc/44/62/65/1073446265.db2.gz SFQDIBGGHRSNMH-UHFFFAOYSA-N 0 0 442.538 -0.671 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC001226947572 1073446544 /nfs/dbraw/zinc/44/65/44/1073446544.db2.gz WXRWGLMDNQZYDO-GOSISDBHSA-N 0 0 429.495 -0.227 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C1 ZINC001226947573 1073446502 /nfs/dbraw/zinc/44/65/02/1073446502.db2.gz WXRWGLMDNQZYDO-SFHVURJKSA-N 0 0 429.495 -0.227 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)NCCNC(=O)c2ccc(Br)cn2)C1 ZINC001226954399 1073446566 /nfs/dbraw/zinc/44/65/66/1073446566.db2.gz ILSVRKSEPQKRFR-UHFFFAOYSA-N 0 0 434.316 -0.047 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC001227144450 1073446513 /nfs/dbraw/zinc/44/65/13/1073446513.db2.gz JYLKCWXKVLMKQP-HRAATJIYSA-N 0 0 432.477 -0.407 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC001227144452 1073446419 /nfs/dbraw/zinc/44/64/19/1073446419.db2.gz JYLKCWXKVLMKQP-IERDGZPVSA-N 0 0 432.477 -0.407 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC001227144453 1073447324 /nfs/dbraw/zinc/44/73/24/1073447324.db2.gz JYLKCWXKVLMKQP-IIBYNOLFSA-N 0 0 432.477 -0.407 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC001227144455 1073447415 /nfs/dbraw/zinc/44/74/15/1073447415.db2.gz JYLKCWXKVLMKQP-KKSFZXQISA-N 0 0 432.477 -0.407 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)NCCS(=O)(=O)N1CCCC1 ZINC001227177182 1073447549 /nfs/dbraw/zinc/44/75/49/1073447549.db2.gz BCZDIDLTVAFYHT-UHFFFAOYSA-N 0 0 440.494 -0.032 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CCO1 ZINC001227179286 1073447166 /nfs/dbraw/zinc/44/71/66/1073447166.db2.gz SWVQRKXOCMPDDN-GFCCVEGCSA-N 0 0 435.453 -0.418 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CCO1 ZINC001227179288 1073447205 /nfs/dbraw/zinc/44/72/05/1073447205.db2.gz SWVQRKXOCMPDDN-LBPRGKRZSA-N 0 0 435.453 -0.418 20 0 IBADRN CN(CCNC(=O)NC[C@]12COC(=O)N1CCOC2)S(=O)(=O)c1ccc(F)cc1 ZINC001227260945 1073447223 /nfs/dbraw/zinc/44/72/23/1073447223.db2.gz AWWRUGSOPQTFJM-KRWDZBQOSA-N 0 0 430.458 -0.033 20 0 IBADRN CN(CCNC(=O)NC[C@@]12COC(=O)N1CCOC2)S(=O)(=O)c1ccc(F)cc1 ZINC001227260946 1073447314 /nfs/dbraw/zinc/44/73/14/1073447314.db2.gz AWWRUGSOPQTFJM-QGZVFWFLSA-N 0 0 430.458 -0.033 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC001227262645 1073447579 /nfs/dbraw/zinc/44/75/79/1073447579.db2.gz UUVQHZAKHYIOQT-UHFFFAOYSA-N 0 0 425.508 -0.859 20 0 IBADRN CN(CCNC(=O)N1CCS(=O)(=O)C[C@@H]1CCO)S(=O)(=O)c1ccc(F)cc1 ZINC001227272946 1073447300 /nfs/dbraw/zinc/44/73/00/1073447300.db2.gz KISIURVTNFHAQB-AWEZNQCLSA-N 0 0 437.515 -0.363 20 0 IBADRN CN(CCNC(=O)N1CCS(=O)(=O)C[C@H]1CCO)S(=O)(=O)c1ccc(F)cc1 ZINC001227272949 1073447285 /nfs/dbraw/zinc/44/72/85/1073447285.db2.gz KISIURVTNFHAQB-CQSZACIVSA-N 0 0 437.515 -0.363 20 0 IBADRN CN(CCNC(=O)N1CCO[C@H](CS(C)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001227274342 1073447185 /nfs/dbraw/zinc/44/71/85/1073447185.db2.gz TUTSOBGUECOSBO-AWEZNQCLSA-N 0 0 437.515 -0.099 20 0 IBADRN CN(CCNC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001227274343 1073447609 /nfs/dbraw/zinc/44/76/09/1073447609.db2.gz TUTSOBGUECOSBO-CQSZACIVSA-N 0 0 437.515 -0.099 20 0 IBADRN CC(=O)Nc1nc2c(ncn2C(C)=O)c(O[C@@H]2C(=O)O[C@@H]3[C@H]4OC(C)(C)O[C@H]4O[C@H]23)n1 ZINC001227366629 1073448599 /nfs/dbraw/zinc/44/85/99/1073448599.db2.gz LURZTPATUMZKKL-BGCDDMGFSA-N 0 0 433.377 -0.006 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N[C@H]2C[C@H](S(C)(=O)=O)C2)CC1 ZINC001227402779 1073448586 /nfs/dbraw/zinc/44/85/86/1073448586.db2.gz LQMRNGQMKTUZBW-WKILWMFISA-N 0 0 444.579 -0.003 20 0 IBADRN CN1CCN(C)[C@H](CNC(=O)N2CCN(C(=O)OC(C)(C)C)[C@H]3CS(=O)(=O)C[C@H]32)C1 ZINC001227466762 1073448571 /nfs/dbraw/zinc/44/85/71/1073448571.db2.gz HZBUHVZCHXOSPT-OAGGEKHMSA-N 0 0 445.586 -0.340 20 0 IBADRN CN1CCN(C)[C@@H](CNC(=O)N2CCN(C(=O)OC(C)(C)C)[C@H]3CS(=O)(=O)C[C@H]32)C1 ZINC001227466765 1073448514 /nfs/dbraw/zinc/44/85/14/1073448514.db2.gz HZBUHVZCHXOSPT-XHSDSOJGSA-N 0 0 445.586 -0.340 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001227497740 1073449415 /nfs/dbraw/zinc/44/94/15/1073449415.db2.gz KRZXWKXGJYOXAG-JTQLQIEISA-N 0 0 425.451 -0.747 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001227497743 1073449309 /nfs/dbraw/zinc/44/93/09/1073449309.db2.gz KRZXWKXGJYOXAG-SNVBAGLBSA-N 0 0 425.451 -0.747 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001227497809 1073449384 /nfs/dbraw/zinc/44/93/84/1073449384.db2.gz LHFXHIJGJTUQAX-UHFFFAOYSA-N 0 0 439.420 -0.618 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001227497884 1073450111 /nfs/dbraw/zinc/45/01/11/1073450111.db2.gz MPDYTPOJLUWMHG-GFCCVEGCSA-N 0 0 445.464 -0.113 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001227497925 1073449925 /nfs/dbraw/zinc/44/99/25/1073449925.db2.gz MPDYTPOJLUWMHG-LBPRGKRZSA-N 0 0 445.464 -0.113 20 0 IBADRN CCOC1CC(CNC(=O)N2C[C@H](C(=O)OC)[C@@H](C(=O)OC)C2)(N2CCOCC2)C1 ZINC001227517864 1073449999 /nfs/dbraw/zinc/44/99/99/1073449999.db2.gz JERTZTALELIEMF-FZTQNYJHSA-N 0 0 427.498 -0.140 20 0 IBADRN CCOC1CC(CNC(=O)N2C[C@@H](C(=O)OC)[C@H](C(=O)OC)C2)(N2CCOCC2)C1 ZINC001227517867 1073450124 /nfs/dbraw/zinc/45/01/24/1073450124.db2.gz JERTZTALELIEMF-KMFGOTIKSA-N 0 0 427.498 -0.140 20 0 IBADRN CCOC1CC(CNC(=O)N2C[C@H](C(=O)OC)[C@H](C(=O)OC)C2)(N2CCOCC2)C1 ZINC001227517868 1073450012 /nfs/dbraw/zinc/45/00/12/1073450012.db2.gz JERTZTALELIEMF-QKSYQBNISA-N 0 0 427.498 -0.140 20 0 IBADRN CCOC1CC(CNC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)(N2CCOCC2)C1 ZINC001227518101 1073449934 /nfs/dbraw/zinc/44/99/34/1073449934.db2.gz MJVNZOIMKQLARH-UHFFFAOYSA-N 0 0 447.602 -0.174 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)NCCCS(C)(=O)=O)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001227688796 1073453789 /nfs/dbraw/zinc/45/37/89/1073453789.db2.gz HDFYNCJEUUBLKF-OLZOCXBDSA-N 0 0 439.556 -0.151 20 0 IBADRN CCOC(=O)Cc1nc(O[C@@H]2CO[C@H]3[C@@H]2OC[C@@H]3O[N+](=O)[O-])cc(N2CCOCC2)n1 ZINC001227780131 1073453869 /nfs/dbraw/zinc/45/38/69/1073453869.db2.gz JRVDKHVIIOCQEK-FVEFGIFQSA-N 0 0 440.409 -0.459 20 0 IBADRN CCOC(=O)Cc1nc(O[C@H]2CO[C@@H]3[C@H](O[N+](=O)[O-])CO[C@H]23)cc(N2CCOCC2)n1 ZINC001227780133 1073453716 /nfs/dbraw/zinc/45/37/16/1073453716.db2.gz JRVDKHVIIOCQEK-PCHOHSICSA-N 0 0 440.409 -0.459 20 0 IBADRN CCOC(=O)Cc1nc(O[C@@H]2CO[C@H]3[C@@H]2OC[C@@H]3OC(C)=O)cc(N2CCOCC2)n1 ZINC001227783681 1073453743 /nfs/dbraw/zinc/45/37/43/1073453743.db2.gz VAPNHLZYCZDRPA-TUGJPZLJSA-N 0 0 437.449 -0.105 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC001227790965 1073453706 /nfs/dbraw/zinc/45/37/06/1073453706.db2.gz RIMYHLRISKODHM-GDBMZVCRSA-N 0 0 435.456 -0.227 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC001227790968 1073453728 /nfs/dbraw/zinc/45/37/28/1073453728.db2.gz RIMYHLRISKODHM-GOEBONIOSA-N 0 0 435.456 -0.227 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC001227790969 1073453836 /nfs/dbraw/zinc/45/38/36/1073453836.db2.gz RIMYHLRISKODHM-HOCLYGCPSA-N 0 0 435.456 -0.227 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC001227790970 1073453810 /nfs/dbraw/zinc/45/38/10/1073453810.db2.gz RIMYHLRISKODHM-ZBFHGGJFSA-N 0 0 435.456 -0.227 20 0 IBADRN O=C(NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001227791021 1073453812 /nfs/dbraw/zinc/45/38/12/1073453812.db2.gz SGVROXJPOIMPNB-BHYGNILZSA-N 0 0 432.452 -0.243 20 0 IBADRN O=C(NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001227791022 1073453759 /nfs/dbraw/zinc/45/37/59/1073453759.db2.gz SGVROXJPOIMPNB-OIISXLGYSA-N 0 0 432.452 -0.243 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)NCC1(O)CCS(=O)(=O)CC1 ZINC001227895091 1073453800 /nfs/dbraw/zinc/45/38/00/1073453800.db2.gz PUSZDDIPRJEFJQ-UHFFFAOYSA-N 0 0 433.499 -0.510 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)N1CCS(=O)(=O)C[C@H]1CCO ZINC001227904098 1073453774 /nfs/dbraw/zinc/45/37/74/1073453774.db2.gz CGAXEKICTCWJNM-GFCCVEGCSA-N 0 0 433.499 -0.558 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001227904099 1073453841 /nfs/dbraw/zinc/45/38/41/1073453841.db2.gz CGAXEKICTCWJNM-LBPRGKRZSA-N 0 0 433.499 -0.558 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC001227905318 1073454559 /nfs/dbraw/zinc/45/45/59/1073454559.db2.gz KRMWPYQZIDSMMI-UHFFFAOYSA-N 0 0 447.530 -0.521 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)N1CCC(O)(COCCO)CC1 ZINC001227905613 1073454337 /nfs/dbraw/zinc/45/43/37/1073454337.db2.gz OSZAHMJZXFFMCV-UHFFFAOYSA-N 0 0 429.486 -0.204 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)NC[C@]12COC(=O)N1CCOC2 ZINC001227906948 1073454553 /nfs/dbraw/zinc/45/45/53/1073454553.db2.gz VZXNIFZPMUEADB-HNNXBMFYSA-N 0 0 426.442 -0.229 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)NC[C@@]12COC(=O)N1CCOC2 ZINC001227906951 1073454449 /nfs/dbraw/zinc/45/44/49/1073454449.db2.gz VZXNIFZPMUEADB-OAHLLOKOSA-N 0 0 426.442 -0.229 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC001227907326 1073454657 /nfs/dbraw/zinc/45/46/57/1073454657.db2.gz XNLPGVVTKVMHDG-UHFFFAOYSA-N 0 0 428.458 -0.381 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NC[C@@H](N2CCOCC2)C(F)(F)F)CC1 ZINC001227924199 1073454648 /nfs/dbraw/zinc/45/46/48/1073454648.db2.gz UWHPMQDLZUXEJW-CYBMUJFWSA-N 0 0 431.481 -0.181 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NC[C@H](N2CCOCC2)C(F)(F)F)CC1 ZINC001227924200 1073454420 /nfs/dbraw/zinc/45/44/20/1073454420.db2.gz UWHPMQDLZUXEJW-ZDUSSCGKSA-N 0 0 431.481 -0.181 20 0 IBADRN CC(=O)N[C@@H](Cc1ccc(O[C@@H](C)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1)C(N)=O ZINC001227929910 1073454542 /nfs/dbraw/zinc/45/45/42/1073454542.db2.gz GXTJSDHQNJSJBK-LRDDRELGSA-N 0 0 442.476 -0.566 20 0 IBADRN CC(=O)N[C@@H](Cc1ccc(O[C@H](C)Cn2cnc3c2c(=O)n(C)c(=O)n3C)cc1)C(N)=O ZINC001227929911 1073454351 /nfs/dbraw/zinc/45/43/51/1073454351.db2.gz GXTJSDHQNJSJBK-WBMJQRKESA-N 0 0 442.476 -0.566 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)N1CC(O)(c2ncnn2C)C1 ZINC001227942995 1073454577 /nfs/dbraw/zinc/45/45/77/1073454577.db2.gz WPVJVSYAPAYDAV-UHFFFAOYSA-N 0 0 428.902 -0.032 20 0 IBADRN COCC(=O)N1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)CC1 ZINC001227953352 1073454434 /nfs/dbraw/zinc/45/44/34/1073454434.db2.gz ZXDIGHUBNUPYGQ-UHFFFAOYSA-N 0 0 426.495 -0.432 20 0 IBADRN COCCN1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)CC1=O ZINC001227960982 1073454669 /nfs/dbraw/zinc/45/46/69/1073454669.db2.gz GJGXUGLEFBMHHD-UHFFFAOYSA-N 0 0 426.495 -0.432 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC001227964178 1073454367 /nfs/dbraw/zinc/45/43/67/1073454367.db2.gz YASSEHBXVNAUDR-GFCCVEGCSA-N 0 0 434.540 -0.305 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC001227964179 1073454537 /nfs/dbraw/zinc/45/45/37/1073454537.db2.gz YASSEHBXVNAUDR-LBPRGKRZSA-N 0 0 434.540 -0.305 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CC(CNC(=O)C(F)(F)F)C1 ZINC001227965813 1073454484 /nfs/dbraw/zinc/45/44/84/1073454484.db2.gz JNNNAYKUDZAGSI-GFCCVEGCSA-N 0 0 430.449 -0.205 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CC(CNC(=O)C(F)(F)F)C1 ZINC001227965814 1073454519 /nfs/dbraw/zinc/45/45/19/1073454519.db2.gz JNNNAYKUDZAGSI-LBPRGKRZSA-N 0 0 430.449 -0.205 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001227968116 1073455515 /nfs/dbraw/zinc/45/55/15/1073455515.db2.gz VBBPBBDCYHDICN-CABCVRRESA-N 0 0 432.543 -0.853 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001227968117 1073455511 /nfs/dbraw/zinc/45/55/11/1073455511.db2.gz VBBPBBDCYHDICN-GJZGRUSLSA-N 0 0 432.543 -0.853 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001227968118 1073455462 /nfs/dbraw/zinc/45/54/62/1073455462.db2.gz VBBPBBDCYHDICN-HUUCEWRRSA-N 0 0 432.543 -0.853 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001227968119 1073455412 /nfs/dbraw/zinc/45/54/12/1073455412.db2.gz VBBPBBDCYHDICN-LSDHHAIUSA-N 0 0 432.543 -0.853 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NC[C@@]3(CO)COCCN3C)C2=O)c(OC)c1 ZINC001228012150 1073455509 /nfs/dbraw/zinc/45/55/09/1073455509.db2.gz XNQLZUPOMFXXKM-DYESRHJHSA-N 0 0 436.509 -0.203 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NC[C@@]3(CO)COCCN3C)C2=O)c(OC)c1 ZINC001228012151 1073455524 /nfs/dbraw/zinc/45/55/24/1073455524.db2.gz XNQLZUPOMFXXKM-LAUBAEHRSA-N 0 0 436.509 -0.203 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NC[C@]3(CO)COCCN3C)C2=O)c(OC)c1 ZINC001228012152 1073455467 /nfs/dbraw/zinc/45/54/67/1073455467.db2.gz XNQLZUPOMFXXKM-UTKZUKDTSA-N 0 0 436.509 -0.203 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NC[C@]3(CO)COCCN3C)C2=O)c(OC)c1 ZINC001228012153 1073455530 /nfs/dbraw/zinc/45/55/30/1073455530.db2.gz XNQLZUPOMFXXKM-UWJYYQICSA-N 0 0 436.509 -0.203 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC001228205110 1073455534 /nfs/dbraw/zinc/45/55/34/1073455534.db2.gz XTPXLVWAEGHFCR-CYBMUJFWSA-N 0 0 427.458 -0.138 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)N2CCCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC001228205114 1073455431 /nfs/dbraw/zinc/45/54/31/1073455431.db2.gz XTPXLVWAEGHFCR-ZDUSSCGKSA-N 0 0 427.458 -0.138 20 0 IBADRN COC(=O)c1cn(CCNC(=O)N2C[C@@H]3C(=O)N(Cc4ccccc4)C(=O)[C@@H]3C2)nn1 ZINC001228254169 1073455895 /nfs/dbraw/zinc/45/58/95/1073455895.db2.gz ALRJTLRCNAIJCI-GASCZTMLSA-N 0 0 426.433 -0.109 20 0 IBADRN Cn1cc(I)c(CNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)n1 ZINC001228270417 1073456083 /nfs/dbraw/zinc/45/60/83/1073456083.db2.gz QRUHZGGMOMCRRB-MRVPVSSYSA-N 0 0 440.267 -0.932 20 0 IBADRN Cn1cc(I)c(CNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)n1 ZINC001228270418 1073456219 /nfs/dbraw/zinc/45/62/19/1073456219.db2.gz QRUHZGGMOMCRRB-QMMMGPOBSA-N 0 0 440.267 -0.932 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)N[C@@H]1CCc2nnnn2CC1 ZINC001228271464 1073455836 /nfs/dbraw/zinc/45/58/36/1073455836.db2.gz GWOLPHLIMMHRRK-CYBMUJFWSA-N 0 0 427.531 -0.350 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)N[C@H]1CCc2nnnn2CC1 ZINC001228271470 1073456163 /nfs/dbraw/zinc/45/61/63/1073456163.db2.gz GWOLPHLIMMHRRK-ZDUSSCGKSA-N 0 0 427.531 -0.350 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001228271939 1073456150 /nfs/dbraw/zinc/45/61/50/1073456150.db2.gz KOUUDEOQAZIZMA-UHFFFAOYSA-N 0 0 426.561 -0.850 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001228272142 1073456177 /nfs/dbraw/zinc/45/61/77/1073456177.db2.gz LQVSUNYMYONRMV-CYBMUJFWSA-N 0 0 440.588 -0.413 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001228272144 1073456195 /nfs/dbraw/zinc/45/61/95/1073456195.db2.gz LQVSUNYMYONRMV-ZDUSSCGKSA-N 0 0 440.588 -0.413 20 0 IBADRN O=C(NCC1(OCCO)CCOCC1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001228272468 1073456128 /nfs/dbraw/zinc/45/61/28/1073456128.db2.gz QHCZOKZBUMQUKG-UHFFFAOYSA-N 0 0 449.570 -0.183 20 0 IBADRN C[C@]1(CO)CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C[C@@]1(C)CO ZINC001228279841 1073456021 /nfs/dbraw/zinc/45/60/21/1073456021.db2.gz CUMABLHCYUVLKR-KDURUIRLSA-N 0 0 433.571 -0.018 20 0 IBADRN C[S@](=N)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001228280557 1073456068 /nfs/dbraw/zinc/45/60/68/1073456068.db2.gz GJKLHKGSRAQPRY-HHHXNRCGSA-N 0 0 437.588 -0.122 20 0 IBADRN C[S@@](=N)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001228280558 1073456201 /nfs/dbraw/zinc/45/62/01/1073456201.db2.gz GJKLHKGSRAQPRY-MHZLTWQESA-N 0 0 437.588 -0.122 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)N1CCC(O)(COCCO)CC1 ZINC001228280787 1073456041 /nfs/dbraw/zinc/45/60/41/1073456041.db2.gz IERVWEJHHKVUHF-UHFFFAOYSA-N 0 0 449.570 -0.495 20 0 IBADRN COC(=O)NCCNC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001228310160 1073455999 /nfs/dbraw/zinc/45/59/99/1073455999.db2.gz RKDCXMONKYGCOZ-UHFFFAOYSA-N 0 0 449.530 -0.381 20 0 IBADRN CC(=O)Nc1nc2c(ncn2COCCOC(C)=O)c(O[C@@H]2CCS(=O)(=O)C2)n1 ZINC001228364521 1073456186 /nfs/dbraw/zinc/45/61/86/1073456186.db2.gz GDKHKMGGILNMQR-GFCCVEGCSA-N 0 0 427.439 -0.112 20 0 IBADRN CC(=O)Nc1nc2c(ncn2COCCOC(C)=O)c(O[C@H]2CCS(=O)(=O)C2)n1 ZINC001228364522 1073455945 /nfs/dbraw/zinc/45/59/45/1073455945.db2.gz GDKHKMGGILNMQR-LBPRGKRZSA-N 0 0 427.439 -0.112 20 0 IBADRN CC(=O)Nc1nc2c(ncn2COCCOC(C)=O)c(OC[C@H]2OCCN(C)C2=O)n1 ZINC001228371989 1073456950 /nfs/dbraw/zinc/45/69/50/1073456950.db2.gz QNHJDMHJZDDLQB-CYBMUJFWSA-N 0 0 436.425 -0.442 20 0 IBADRN CC(=O)Nc1nc2c(ncn2COCCOC(C)=O)c(OC[C@@H]2OCCN(C)C2=O)n1 ZINC001228371990 1073456756 /nfs/dbraw/zinc/45/67/56/1073456756.db2.gz QNHJDMHJZDDLQB-ZDUSSCGKSA-N 0 0 436.425 -0.442 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC001228421676 1073456956 /nfs/dbraw/zinc/45/69/56/1073456956.db2.gz BPSHJNRITOCQAD-UHFFFAOYSA-N 0 0 444.492 -0.382 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC001228423430 1073456872 /nfs/dbraw/zinc/45/68/72/1073456872.db2.gz MTSDZMLWPWHTIK-PMERELPUSA-N 0 0 444.554 -0.447 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC001228423434 1073456718 /nfs/dbraw/zinc/45/67/18/1073456718.db2.gz MTSDZMLWPWHTIK-SSEXGKCCSA-N 0 0 444.554 -0.447 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCCn2cc(Br)ccc2=O)CC1 ZINC001228423485 1073456843 /nfs/dbraw/zinc/45/68/43/1073456843.db2.gz NLCPXRZYHHDEJR-UHFFFAOYSA-N 0 0 442.314 -0.009 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCC(C)(C)[C@@H]2COCC23OCCO3)CC1 ZINC001228424328 1073456906 /nfs/dbraw/zinc/45/69/06/1073456906.db2.gz RNXOFNDSCYTZEJ-INIZCTEOSA-N 0 0 426.514 -0.218 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCC(C)(C)[C@H]2COCC23OCCO3)CC1 ZINC001228424333 1073456859 /nfs/dbraw/zinc/45/68/59/1073456859.db2.gz RNXOFNDSCYTZEJ-MRXNPFEDSA-N 0 0 426.514 -0.218 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C2)CC1 ZINC001228424419 1073456852 /nfs/dbraw/zinc/45/68/52/1073456852.db2.gz TYSRWCOGAKJFKB-CHWSQXEVSA-N 0 0 442.460 -0.316 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@@]2(CO)COCCN2C)c1 ZINC001228443788 1073456897 /nfs/dbraw/zinc/45/68/97/1073456897.db2.gz YZHNLIKGBBCALQ-GOSISDBHSA-N 0 0 430.527 -0.896 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@]2(CO)COCCN2C)c1 ZINC001228443789 1073456930 /nfs/dbraw/zinc/45/69/30/1073456930.db2.gz YZHNLIKGBBCALQ-SFHVURJKSA-N 0 0 430.527 -0.896 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)N3CCC(C(=O)N4CCC(C(N)=O)CC4)CC3)C2=O)cn1 ZINC001228491433 1073456798 /nfs/dbraw/zinc/45/67/98/1073456798.db2.gz NTBXSRSHUFQGJT-KRWDZBQOSA-N 0 0 445.524 -0.329 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)N3CCC(C(=O)N4CCC(C(N)=O)CC4)CC3)C2=O)cn1 ZINC001228491449 1073456925 /nfs/dbraw/zinc/45/69/25/1073456925.db2.gz NTBXSRSHUFQGJT-QGZVFWFLSA-N 0 0 445.524 -0.329 20 0 IBADRN CNC(=O)C[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001228499802 1073456834 /nfs/dbraw/zinc/45/68/34/1073456834.db2.gz AULABHIUGDBQPO-GFCCVEGCSA-N 0 0 439.494 -0.442 20 0 IBADRN CNC(=O)C[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001228499807 1073456682 /nfs/dbraw/zinc/45/66/82/1073456682.db2.gz AULABHIUGDBQPO-LBPRGKRZSA-N 0 0 439.494 -0.442 20 0 IBADRN CCOC(=O)COCCNC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001228575189 1073457622 /nfs/dbraw/zinc/45/76/22/1073457622.db2.gz DZDGLDJGNWWBTP-KGLIPLIRSA-N 0 0 449.526 -0.006 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001228594196 1073457428 /nfs/dbraw/zinc/45/74/28/1073457428.db2.gz BYVMKHXEYFLFAV-HNNXBMFYSA-N 0 0 441.558 -0.527 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001228594197 1073457633 /nfs/dbraw/zinc/45/76/33/1073457633.db2.gz BYVMKHXEYFLFAV-OAHLLOKOSA-N 0 0 441.558 -0.527 20 0 IBADRN O=C(CN1CCN(C(=O)NCCS(=O)(=O)C2CCOCC2)CC1)N1CCCCC1 ZINC001228673297 1073457535 /nfs/dbraw/zinc/45/75/35/1073457535.db2.gz KABGGTLKFBGHRH-UHFFFAOYSA-N 0 0 430.571 -0.080 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(CC(=O)N(C)C2CCCCC2)CC1 ZINC001228763389 1073457590 /nfs/dbraw/zinc/45/75/90/1073457590.db2.gz ACHJJKFRMDPUQY-UHFFFAOYSA-N 0 0 432.591 -0.499 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001228764210 1073457567 /nfs/dbraw/zinc/45/75/67/1073457567.db2.gz GXKRNZJNTYCKGQ-UHFFFAOYSA-N 0 0 437.519 -0.762 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)n1 ZINC001228765938 1073457401 /nfs/dbraw/zinc/45/74/01/1073457401.db2.gz OONUFBLIVYICLB-CYBMUJFWSA-N 0 0 433.535 -0.610 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)n1 ZINC001228765943 1073458453 /nfs/dbraw/zinc/45/84/53/1073458453.db2.gz OONUFBLIVYICLB-ZDUSSCGKSA-N 0 0 433.535 -0.610 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(C(=O)COc2ccc(Cl)cc2)CC1 ZINC001228766709 1073458370 /nfs/dbraw/zinc/45/83/70/1073458370.db2.gz SVRPNFBOAYMNMM-UHFFFAOYSA-N 0 0 447.945 -0.031 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCc3cccc(S(=O)(=O)N(C)C)c3)C[C@H]21 ZINC001228782662 1073458256 /nfs/dbraw/zinc/45/82/56/1073458256.db2.gz AAWRFNVBLSDMPN-XHSDSOJGSA-N 0 0 431.536 -0.110 20 0 IBADRN Cn1ncc(CCCNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)n1 ZINC001228828466 1073458355 /nfs/dbraw/zinc/45/83/55/1073458355.db2.gz CQAUWFBOBAXOEF-UHFFFAOYSA-N 0 0 447.521 -0.042 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc21)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001228918623 1073458458 /nfs/dbraw/zinc/45/84/58/1073458458.db2.gz LGDWYZBCJXMBQL-CYBMUJFWSA-N 0 0 439.519 -0.003 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)c2ccccc21)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001228918632 1073458382 /nfs/dbraw/zinc/45/83/82/1073458382.db2.gz LGDWYZBCJXMBQL-ZDUSSCGKSA-N 0 0 439.519 -0.003 20 0 IBADRN CCOC(=O)COc1ccc(CCNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1 ZINC001228984707 1073458434 /nfs/dbraw/zinc/45/84/34/1073458434.db2.gz WVXIPFDSSBWMBD-UHFFFAOYSA-N 0 0 428.511 -0.039 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2)CCOC1 ZINC001229197938 1073458064 /nfs/dbraw/zinc/45/80/64/1073458064.db2.gz JFFLMZDDKSAALY-FOIQADDNSA-N 0 0 434.497 -0.507 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2)CCOC1 ZINC001229197941 1073458249 /nfs/dbraw/zinc/45/82/49/1073458249.db2.gz JFFLMZDDKSAALY-MGPUTAFESA-N 0 0 434.497 -0.507 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2)CCOC1 ZINC001229197943 1073458447 /nfs/dbraw/zinc/45/84/47/1073458447.db2.gz JFFLMZDDKSAALY-QRWLVFNGSA-N 0 0 434.497 -0.507 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2)CCOC1 ZINC001229197944 1073458341 /nfs/dbraw/zinc/45/83/41/1073458341.db2.gz JFFLMZDDKSAALY-YWZLYKJASA-N 0 0 434.497 -0.507 20 0 IBADRN O=C(NCC[N@@H+]1CCCOCC1)N1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC001229210937 1073458299 /nfs/dbraw/zinc/45/82/99/1073458299.db2.gz XLFKLPTZWPNMDL-UHFFFAOYSA-N 0 0 431.481 -0.131 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCn2cc(Br)ccc2=O)CC1 ZINC001229284464 1073459161 /nfs/dbraw/zinc/45/91/61/1073459161.db2.gz UDCBDGJUSDHNOU-UHFFFAOYSA-N 0 0 436.332 -0.256 20 0 IBADRN CC1=C(C(=O)O[C@@H]2COC(=O)C2)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328061 1073458876 /nfs/dbraw/zinc/45/88/76/1073458876.db2.gz CFDAKIGFUVIXPJ-DKEWWPDHSA-N 0 0 447.469 -0.075 20 0 IBADRN CC1=C(C(=O)OC2COCOC2)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328256 1073459112 /nfs/dbraw/zinc/45/91/12/1073459112.db2.gz FLPOOTDOQMSSDD-SPYBWZPUSA-N 0 0 449.485 -0.018 20 0 IBADRN CC1=C(C(=O)OC[C@H]2COCO2)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328420 1073459088 /nfs/dbraw/zinc/45/90/88/1073459088.db2.gz GYLKJCICZUBOLY-DEXNDLTESA-N 0 0 449.485 -0.018 20 0 IBADRN CC1=C(C(=O)OC[C@@H]2COCO2)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328421 1073459015 /nfs/dbraw/zinc/45/90/15/1073459015.db2.gz GYLKJCICZUBOLY-NRTGNBEESA-N 0 0 449.485 -0.018 20 0 IBADRN CC1=C(C(=O)O[C@@H](C)CON)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328525 1073459131 /nfs/dbraw/zinc/45/91/31/1073459131.db2.gz IBLDNTUKIUDZOW-CBDOYQQHSA-N 0 0 436.490 -0.112 20 0 IBADRN CC1=C(C(=O)O[C@H](C)CON)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328527 1073459061 /nfs/dbraw/zinc/45/90/61/1073459061.db2.gz IBLDNTUKIUDZOW-OXQGGJHDSA-N 0 0 436.490 -0.112 20 0 IBADRN CC1=C(C(=O)O[C@H]2CCOC2=O)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328551 1073459072 /nfs/dbraw/zinc/45/90/72/1073459072.db2.gz IRRASKBMNLQORM-HNSFDTNUSA-N 0 0 447.469 -0.075 20 0 IBADRN CC1=C(C(=O)O[C@@H]2CCOC2=O)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328552 1073459101 /nfs/dbraw/zinc/45/91/01/1073459101.db2.gz IRRASKBMNLQORM-UHQDVWGKSA-N 0 0 447.469 -0.075 20 0 IBADRN CC1=C(C(=O)OC2CN(C)C2)N2C(=O)[C@@H](NC(=O)[C@H](N)c3ccc(O)cc3)[C@H]2SC1 ZINC001229328570 1073458913 /nfs/dbraw/zinc/45/89/13/1073458913.db2.gz JHCGFWCSUZJKOE-SPYBWZPUSA-N 0 0 432.502 -0.077 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)NCCS(=O)(=O)N(C)C)CCS2(=O)=O ZINC001229412870 1073459043 /nfs/dbraw/zinc/45/90/43/1073459043.db2.gz HODOLNKKBAWEOU-AVERBVTBSA-N 0 0 425.529 -0.924 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)NCCS(=O)(=O)N(C)C)CCS2(=O)=O ZINC001229412875 1073459127 /nfs/dbraw/zinc/45/91/27/1073459127.db2.gz HODOLNKKBAWEOU-MQYJIDSJSA-N 0 0 425.529 -0.924 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NC[C@@H]3CCCS(=O)(=O)C3)CC2)CC1 ZINC001229435220 1073458978 /nfs/dbraw/zinc/45/89/78/1073458978.db2.gz NXVANZUAZNDACC-AWEZNQCLSA-N 0 0 428.555 -0.043 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NC[C@H]3CCCS(=O)(=O)C3)CC2)CC1 ZINC001229435221 1073459056 /nfs/dbraw/zinc/45/90/56/1073459056.db2.gz NXVANZUAZNDACC-CQSZACIVSA-N 0 0 428.555 -0.043 20 0 IBADRN CS(=O)(=O)[C@@H]1CN(C(=O)NCCNS(=O)(=O)Cc2ccon2)C[C@H]1C(F)(F)F ZINC001229515398 1073459147 /nfs/dbraw/zinc/45/91/47/1073459147.db2.gz ZANSSOFDGYKIQF-GHMZBOCLSA-N 0 0 448.445 -0.289 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CCC(N3CCCS3(=O)=O)CC2)C1=O ZINC001229595737 1073459032 /nfs/dbraw/zinc/45/90/32/1073459032.db2.gz FBHUVHVUSYHYBE-ACJLOTCBSA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)N2CCC(N3CCCS3(=O)=O)CC2)C1=O ZINC001229595742 1073459083 /nfs/dbraw/zinc/45/90/83/1073459083.db2.gz FBHUVHVUSYHYBE-FZKQIMNGSA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)N2CCC(N3CCCS3(=O)=O)CC2)C1=O ZINC001229595744 1073458995 /nfs/dbraw/zinc/45/89/95/1073458995.db2.gz FBHUVHVUSYHYBE-SCLBCKFNSA-N 0 0 427.527 -0.084 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CCC(N3CCCS3(=O)=O)CC2)C1=O ZINC001229595747 1073459004 /nfs/dbraw/zinc/45/90/04/1073459004.db2.gz FBHUVHVUSYHYBE-UGSOOPFHSA-N 0 0 427.527 -0.084 20 0 IBADRN COC(=O)N(C)CCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001229729711 1073459987 /nfs/dbraw/zinc/45/99/87/1073459987.db2.gz AAFOKKQRMCWARJ-UHFFFAOYSA-N 0 0 428.467 -0.172 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC001229730632 1073459885 /nfs/dbraw/zinc/45/98/85/1073459885.db2.gz GOBXFZXTLAWJSZ-AWEZNQCLSA-N 0 0 445.519 -0.341 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC001229730639 1073459957 /nfs/dbraw/zinc/45/99/57/1073459957.db2.gz GOBXFZXTLAWJSZ-CQSZACIVSA-N 0 0 445.519 -0.341 20 0 IBADRN CN(C)C(=O)[C@@H]1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC001229732185 1073459878 /nfs/dbraw/zinc/45/98/78/1073459878.db2.gz MTAMXUWLRDRESU-CYBMUJFWSA-N 0 0 438.506 -0.050 20 0 IBADRN CN(C)C(=O)[C@H]1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC001229732187 1073459984 /nfs/dbraw/zinc/45/99/84/1073459984.db2.gz MTAMXUWLRDRESU-ZDUSSCGKSA-N 0 0 438.506 -0.050 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1CCC(CO)(CO)CC1 ZINC001229732770 1073459917 /nfs/dbraw/zinc/45/99/17/1073459917.db2.gz PIQDKYYMXRSYNH-UHFFFAOYSA-N 0 0 441.506 -0.393 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001229732808 1073460001 /nfs/dbraw/zinc/46/00/01/1073460001.db2.gz PSORZYRRNGUXBR-UHFFFAOYSA-N 0 0 441.510 -0.257 20 0 IBADRN C[C@@H](O)[C@@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCO1 ZINC001229733159 1073459858 /nfs/dbraw/zinc/45/98/58/1073459858.db2.gz QFKCGLGZKNFNOE-PXAZEXFGSA-N 0 0 427.479 -0.378 20 0 IBADRN C[C@H](O)[C@@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCO1 ZINC001229733165 1073459927 /nfs/dbraw/zinc/45/99/27/1073459927.db2.gz QFKCGLGZKNFNOE-SJCJKPOMSA-N 0 0 427.479 -0.378 20 0 IBADRN C[C@@H](O)[C@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCO1 ZINC001229733168 1073459941 /nfs/dbraw/zinc/45/99/41/1073459941.db2.gz QFKCGLGZKNFNOE-SJKOYZFVSA-N 0 0 427.479 -0.378 20 0 IBADRN C[C@H](O)[C@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCO1 ZINC001229733169 1073460032 /nfs/dbraw/zinc/46/00/32/1073460032.db2.gz QFKCGLGZKNFNOE-YVEFUNNKSA-N 0 0 427.479 -0.378 20 0 IBADRN COC[C@H]1COCCN1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001229733215 1073459969 /nfs/dbraw/zinc/45/99/69/1073459969.db2.gz RMIUDGUPEJGUMU-AWEZNQCLSA-N 0 0 427.479 -0.113 20 0 IBADRN COC[C@@H]1COCCN1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001229733216 1073459963 /nfs/dbraw/zinc/45/99/63/1073459963.db2.gz RMIUDGUPEJGUMU-CQSZACIVSA-N 0 0 427.479 -0.113 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1CCOC[C@@H]1CCO ZINC001229733814 1073460016 /nfs/dbraw/zinc/46/00/16/1073460016.db2.gz WERQDERFSXCEFD-AWEZNQCLSA-N 0 0 427.479 -0.377 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1CCOC[C@H]1CCO ZINC001229733816 1073460025 /nfs/dbraw/zinc/46/00/25/1073460025.db2.gz WERQDERFSXCEFD-CQSZACIVSA-N 0 0 427.479 -0.377 20 0 IBADRN CCS(=O)(=O)CCCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001229734114 1073460005 /nfs/dbraw/zinc/46/00/05/1073460005.db2.gz ZFYZLWQFMZFYBP-UHFFFAOYSA-N 0 0 447.535 -0.045 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NCCOCCS(N)(=O)=O ZINC001229739257 1073459948 /nfs/dbraw/zinc/45/99/48/1073459948.db2.gz AMBMZFHIHPVTDK-UHFFFAOYSA-N 0 0 431.256 -0.871 20 0 IBADRN COc1cc(CN2CCN(C(=O)NCCOCCS(N)(=O)=O)CC2)cc(OC)c1 ZINC001229739387 1073460614 /nfs/dbraw/zinc/46/06/14/1073460614.db2.gz DEZXXAOOSNXXGT-UHFFFAOYSA-N 0 0 430.527 -0.164 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCC(S(=O)(=O)N2CCCCC2)CC1 ZINC001229739999 1073460646 /nfs/dbraw/zinc/46/06/46/1073460646.db2.gz JIESQGVJTAMLLO-UHFFFAOYSA-N 0 0 426.561 -0.719 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)NCCOCCS(N)(=O)=O)C2 ZINC001229740904 1073460659 /nfs/dbraw/zinc/46/06/59/1073460659.db2.gz UJJJIFFGQCPAPO-UHFFFAOYSA-N 0 0 434.540 -0.690 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1 ZINC001229845039 1073460624 /nfs/dbraw/zinc/46/06/24/1073460624.db2.gz ZTARHFIPJXVABD-UHFFFAOYSA-N 0 0 433.556 -0.382 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)NCc3nnc4n3CCNC4=O)C2=O)c1 ZINC001229909726 1073460610 /nfs/dbraw/zinc/46/06/10/1073460610.db2.gz GDSNIYGXWDCQAD-AWEZNQCLSA-N 0 0 429.437 -0.357 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)NCc3nnc4n3CCNC4=O)C2=O)c1 ZINC001229909727 1073460553 /nfs/dbraw/zinc/46/05/53/1073460553.db2.gz GDSNIYGXWDCQAD-CQSZACIVSA-N 0 0 429.437 -0.357 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001230106633 1073461334 /nfs/dbraw/zinc/46/13/34/1073461334.db2.gz OKUFVPXQUZFMDM-UHFFFAOYSA-N 0 0 432.421 -0.331 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CCN1S(C)(=O)=O ZINC001230107102 1073461389 /nfs/dbraw/zinc/46/13/89/1073461389.db2.gz VFAKFPXNULWDGE-SNVBAGLBSA-N 0 0 436.478 -0.024 20 0 IBADRN COc1cc(CN2CC[C@H](NC(=O)NCCNS(=O)(=O)N(C)C)C2)cc(OC)c1 ZINC001230135080 1073461427 /nfs/dbraw/zinc/46/14/27/1073461427.db2.gz WSESLSVGTOPNFA-HNNXBMFYSA-N 0 0 429.543 -0.027 20 0 IBADRN COc1cc(CN2CC[C@@H](NC(=O)NCCNS(=O)(=O)N(C)C)C2)cc(OC)c1 ZINC001230135124 1073461470 /nfs/dbraw/zinc/46/14/70/1073461470.db2.gz WSESLSVGTOPNFA-OAHLLOKOSA-N 0 0 429.543 -0.027 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NCCS(=O)(=O)CC3CC3)CC2)CC1 ZINC001230140214 1073461411 /nfs/dbraw/zinc/46/14/11/1073461411.db2.gz KLSBUMNFMZJGMQ-UHFFFAOYSA-N 0 0 428.555 -0.043 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCC(C(=O)N3CCC(C(N)=O)CC3)CC1)CC2 ZINC001230160383 1073461372 /nfs/dbraw/zinc/46/13/72/1073461372.db2.gz JRTKPYCFKKFNOE-INIZCTEOSA-N 0 0 447.540 -0.115 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)N1CCC(C(=O)N3CCC(C(N)=O)CC3)CC1)CC2 ZINC001230160384 1073461402 /nfs/dbraw/zinc/46/14/02/1073461402.db2.gz JRTKPYCFKKFNOE-MRXNPFEDSA-N 0 0 447.540 -0.115 20 0 IBADRN C[C@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1ncc(Br)nc1C(N)=O ZINC001230198065 1073462033 /nfs/dbraw/zinc/46/20/33/1073462033.db2.gz CWJXNEKURTYQPR-SSDOTTSWSA-N 0 0 438.242 -0.447 20 0 IBADRN C[C@@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1ncc(Br)nc1C(N)=O ZINC001230198066 1073461967 /nfs/dbraw/zinc/46/19/67/1073461967.db2.gz CWJXNEKURTYQPR-ZETCQYMHSA-N 0 0 438.242 -0.447 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCc4nnnn4CC3)CC2)C[C@@H](C)O1 ZINC001230206087 1073461984 /nfs/dbraw/zinc/46/19/84/1073461984.db2.gz XWYRDDICWMJBIJ-KFWWJZLASA-N 0 0 442.546 -0.941 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCc4nnnn4CC3)CC2)C[C@H](C)O1 ZINC001230206091 1073461995 /nfs/dbraw/zinc/46/19/95/1073461995.db2.gz XWYRDDICWMJBIJ-QLFBSQMISA-N 0 0 442.546 -0.941 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCc4nnnn4CC3)CC2)C[C@@H](C)O1 ZINC001230206093 1073461941 /nfs/dbraw/zinc/46/19/41/1073461941.db2.gz XWYRDDICWMJBIJ-RBSFLKMASA-N 0 0 442.546 -0.941 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCc4nnnn4CC3)CC2)C[C@H](C)O1 ZINC001230206094 1073461923 /nfs/dbraw/zinc/46/19/23/1073461923.db2.gz XWYRDDICWMJBIJ-ZNMIVQPWSA-N 0 0 442.546 -0.941 20 0 IBADRN COC1([C@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCOCC1 ZINC001230219172 1073462086 /nfs/dbraw/zinc/46/20/86/1073462086.db2.gz RWCKFJQZJWJACU-INIZCTEOSA-N 0 0 433.575 -0.610 20 0 IBADRN COC1([C@@H](C)NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCOCC1 ZINC001230219181 1073461879 /nfs/dbraw/zinc/46/18/79/1073461879.db2.gz RWCKFJQZJWJACU-MRXNPFEDSA-N 0 0 433.575 -0.610 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)N[C@H]3CCc4nnnn4CC3)CC2)c1 ZINC001230219684 1073461914 /nfs/dbraw/zinc/46/19/14/1073461914.db2.gz WBNYYUGPOHWODT-HNNXBMFYSA-N 0 0 447.521 -0.051 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)N[C@@H]3CCc4nnnn4CC3)CC2)c1 ZINC001230219686 1073461904 /nfs/dbraw/zinc/46/19/04/1073461904.db2.gz WBNYYUGPOHWODT-OAHLLOKOSA-N 0 0 447.521 -0.051 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)cc1 ZINC001230265207 1073462001 /nfs/dbraw/zinc/46/20/01/1073462001.db2.gz UQHUNDZRAYIWNM-GASCZTMLSA-N 0 0 437.474 -0.469 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N[C@H]2CCC(=O)N(C)C2=O)cc1 ZINC001230265535 1073462037 /nfs/dbraw/zinc/46/20/37/1073462037.db2.gz WQSNBFBVEQZHFY-AWEZNQCLSA-N 0 0 425.463 -0.279 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N[C@@H]2CCC(=O)N(C)C2=O)cc1 ZINC001230265542 1073461950 /nfs/dbraw/zinc/46/19/50/1073461950.db2.gz WQSNBFBVEQZHFY-CQSZACIVSA-N 0 0 425.463 -0.279 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC1 ZINC001230269522 1073462009 /nfs/dbraw/zinc/46/20/09/1073462009.db2.gz KGQJNWWIGXMKPP-UHFFFAOYSA-N 0 0 433.509 -0.254 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)C1=O ZINC001230295591 1073462907 /nfs/dbraw/zinc/46/29/07/1073462907.db2.gz ALSRYBIMRFIDNH-HNNXBMFYSA-N 0 0 436.490 -0.295 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)C1=O ZINC001230295592 1073462741 /nfs/dbraw/zinc/46/27/41/1073462741.db2.gz ALSRYBIMRFIDNH-OAHLLOKOSA-N 0 0 436.490 -0.295 20 0 IBADRN CCn1nc(C)c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC001230301190 1073462858 /nfs/dbraw/zinc/46/28/58/1073462858.db2.gz TYYGHTDNIWVLHF-KBPBESRZSA-N 0 0 429.547 -0.212 20 0 IBADRN CCn1nc(C)c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC001230301191 1073462862 /nfs/dbraw/zinc/46/28/62/1073462862.db2.gz TYYGHTDNIWVLHF-OKILXGFUSA-N 0 0 429.547 -0.212 20 0 IBADRN CCn1nc(C)c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC001230301192 1073462781 /nfs/dbraw/zinc/46/27/81/1073462781.db2.gz TYYGHTDNIWVLHF-ZIAGYGMSSA-N 0 0 429.547 -0.212 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)N[C@@H](CC(C)C)C(=O)N2CCOCC2)CC1 ZINC001230345640 1073462866 /nfs/dbraw/zinc/46/28/66/1073462866.db2.gz FRJFDANAHCTMHB-KRWDZBQOSA-N 0 0 448.586 -0.047 20 0 IBADRN CC(C)C[C@H](NC(=O)NCCNS(=O)(=O)c1cccnc1)C(=O)N1CCOCC1 ZINC001230346180 1073462842 /nfs/dbraw/zinc/46/28/42/1073462842.db2.gz MLQGZGJFUCZPRH-INIZCTEOSA-N 0 0 427.527 -0.067 20 0 IBADRN CNC(=O)[C@H](CNC(=O)N1CCN(S(=O)(=O)NC)CC1)Cc1ccc(OC)c(F)c1 ZINC001230369618 1073462762 /nfs/dbraw/zinc/46/27/62/1073462762.db2.gz IXXDHFAGRNKBDZ-AWEZNQCLSA-N 0 0 445.517 -0.470 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)N1CCN(S(=O)(=O)NC)CC1)Cc1ccc(OC)c(F)c1 ZINC001230369621 1073462806 /nfs/dbraw/zinc/46/28/06/1073462806.db2.gz IXXDHFAGRNKBDZ-CQSZACIVSA-N 0 0 445.517 -0.470 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCc3ncn(C)n3)CC2)C[C@H](C)O1 ZINC001230380257 1073462811 /nfs/dbraw/zinc/46/28/11/1073462811.db2.gz PRWLWITWASQZBX-GASCZTMLSA-N 0 0 429.547 -0.571 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCc3ncn(C)n3)CC2)C[C@H](C)O1 ZINC001230380258 1073462847 /nfs/dbraw/zinc/46/28/47/1073462847.db2.gz PRWLWITWASQZBX-GJZGRUSLSA-N 0 0 429.547 -0.571 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCCc3ncn(C)n3)CC2)C[C@@H](C)O1 ZINC001230380259 1073462903 /nfs/dbraw/zinc/46/29/03/1073462903.db2.gz PRWLWITWASQZBX-HUUCEWRRSA-N 0 0 429.547 -0.571 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001230418794 1073462877 /nfs/dbraw/zinc/46/28/77/1073462877.db2.gz QXJXKLKFPGVKJP-MRXNPFEDSA-N 0 0 443.570 -0.444 20 0 IBADRN COCC1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC001230453332 1073462872 /nfs/dbraw/zinc/46/28/72/1073462872.db2.gz NEQSJIUYSNUQQK-UHFFFAOYSA-N 0 0 446.551 -0.224 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC1 ZINC001230463316 1073463360 /nfs/dbraw/zinc/46/33/60/1073463360.db2.gz HXMXXFMGOIBIQF-UHFFFAOYSA-N 0 0 439.538 -0.468 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCCCS(N)(=O)=O)CC1 ZINC001230465726 1073463307 /nfs/dbraw/zinc/46/33/07/1073463307.db2.gz OXEYWNUWBLSZAC-UHFFFAOYSA-N 0 0 425.577 -0.499 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)NCc1ccccc1CS(=O)(=O)N1CCCC1 ZINC001230472413 1073463468 /nfs/dbraw/zinc/46/34/68/1073463468.db2.gz BWWFWKODSNZHPX-INIZCTEOSA-N 0 0 433.552 -0.183 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)NCc1ccccc1CS(=O)(=O)N1CCCC1 ZINC001230472414 1073463417 /nfs/dbraw/zinc/46/34/17/1073463417.db2.gz BWWFWKODSNZHPX-MRXNPFEDSA-N 0 0 433.552 -0.183 20 0 IBADRN CC(C)N1C(=S)N=NC1CCNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001230474662 1073463428 /nfs/dbraw/zinc/46/34/28/1073463428.db2.gz MMKWDVXMEROZIC-UHFFFAOYSA-N 0 0 425.559 -0.124 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCN(c2nccnn2)CC1)C1CCCC1 ZINC001230510576 1073463396 /nfs/dbraw/zinc/46/33/96/1073463396.db2.gz JVWBRGIHHSBGMA-HNNXBMFYSA-N 0 0 426.547 -0.342 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCN(c2nccnn2)CC1)C1CCCC1 ZINC001230510577 1073463389 /nfs/dbraw/zinc/46/33/89/1073463389.db2.gz JVWBRGIHHSBGMA-OAHLLOKOSA-N 0 0 426.547 -0.342 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCN(C(C)(C)C(N)=O)CC1)C1CCCC1 ZINC001230511036 1073463408 /nfs/dbraw/zinc/46/34/08/1073463408.db2.gz NULPFOOHOVZYJP-HNNXBMFYSA-N 0 0 432.591 -0.468 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCN(C(C)(C)C(N)=O)CC1)C1CCCC1 ZINC001230511037 1073463450 /nfs/dbraw/zinc/46/34/50/1073463450.db2.gz NULPFOOHOVZYJP-OAHLLOKOSA-N 0 0 432.591 -0.468 20 0 IBADRN CO[C@@]1(CNC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CCS(=O)(=O)C1 ZINC001230511295 1073463355 /nfs/dbraw/zinc/46/33/55/1073463355.db2.gz QJFCJFQRWRKSHS-GDBMZVCRSA-N 0 0 440.588 -0.556 20 0 IBADRN CO[C@@]1(CNC(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CCS(=O)(=O)C1 ZINC001230511297 1073463474 /nfs/dbraw/zinc/46/34/74/1073463474.db2.gz QJFCJFQRWRKSHS-GOEBONIOSA-N 0 0 440.588 -0.556 20 0 IBADRN CO[C@]1(CNC(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CCS(=O)(=O)C1 ZINC001230511309 1073463402 /nfs/dbraw/zinc/46/34/02/1073463402.db2.gz QJFCJFQRWRKSHS-HOCLYGCPSA-N 0 0 440.588 -0.556 20 0 IBADRN CO[C@]1(CNC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CCS(=O)(=O)C1 ZINC001230511310 1073463373 /nfs/dbraw/zinc/46/33/73/1073463373.db2.gz QJFCJFQRWRKSHS-ZBFHGGJFSA-N 0 0 440.588 -0.556 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001230511317 1073463442 /nfs/dbraw/zinc/46/34/42/1073463442.db2.gz QQUXXXGKMPFLRU-KRWDZBQOSA-N 0 0 446.618 -0.207 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001230511318 1073463324 /nfs/dbraw/zinc/46/33/24/1073463324.db2.gz QQUXXXGKMPFLRU-QGZVFWFLSA-N 0 0 446.618 -0.207 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CC[C@@H](CNS(C)(=O)=O)C1)C1CCCC1 ZINC001230511643 1073463458 /nfs/dbraw/zinc/46/34/58/1073463458.db2.gz YBDUBYITXWZFPC-DZGCQCFKSA-N 0 0 439.604 -0.478 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CC[C@H](CNS(C)(=O)=O)C1)C1CCCC1 ZINC001230511644 1073464155 /nfs/dbraw/zinc/46/41/55/1073464155.db2.gz YBDUBYITXWZFPC-HIFRSBDPSA-N 0 0 439.604 -0.478 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CC[C@H](CNS(C)(=O)=O)C1)C1CCCC1 ZINC001230511645 1073464133 /nfs/dbraw/zinc/46/41/33/1073464133.db2.gz YBDUBYITXWZFPC-UKRRQHHQSA-N 0 0 439.604 -0.478 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CC[C@@H](CNS(C)(=O)=O)C1)C1CCCC1 ZINC001230511646 1073464152 /nfs/dbraw/zinc/46/41/52/1073464152.db2.gz YBDUBYITXWZFPC-ZFWWWQNUSA-N 0 0 439.604 -0.478 20 0 IBADRN C[C@@H]1CN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CCN1S(C)(=O)=O ZINC001230511745 1073464076 /nfs/dbraw/zinc/46/40/76/1073464076.db2.gz ZUWBOOKVENVDEA-HIFRSBDPSA-N 0 0 439.604 -0.384 20 0 IBADRN C[C@@H]1CN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CCN1S(C)(=O)=O ZINC001230511746 1073464187 /nfs/dbraw/zinc/46/41/87/1073464187.db2.gz ZUWBOOKVENVDEA-UKRRQHHQSA-N 0 0 439.604 -0.384 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001230514089 1073464123 /nfs/dbraw/zinc/46/41/23/1073464123.db2.gz HEIFLGSWHYOKAH-HNNXBMFYSA-N 0 0 449.574 -0.586 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001230514090 1073464174 /nfs/dbraw/zinc/46/41/74/1073464174.db2.gz HEIFLGSWHYOKAH-OAHLLOKOSA-N 0 0 449.574 -0.586 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CCCc3c1ccc(=O)n3C)C2 ZINC001230558267 1073464108 /nfs/dbraw/zinc/46/41/08/1073464108.db2.gz CFMXTLYFIUYRGH-AWEZNQCLSA-N 0 0 429.481 -0.566 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCCc3c1ccc(=O)n3C)C2 ZINC001230558268 1073464184 /nfs/dbraw/zinc/46/41/84/1073464184.db2.gz CFMXTLYFIUYRGH-CQSZACIVSA-N 0 0 429.481 -0.566 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)N[C@H]3CCN(S(C)(=O)=O)C3)CC2)C1 ZINC001230575962 1073464138 /nfs/dbraw/zinc/46/41/38/1073464138.db2.gz DMNDVYZUWXHLQP-BBWFWOEESA-N 0 0 429.587 -0.148 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)CC2)C1 ZINC001230576239 1073464045 /nfs/dbraw/zinc/46/40/45/1073464045.db2.gz DMNDVYZUWXHLQP-BRWVUGGUSA-N 0 0 429.587 -0.148 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)CC2)C1 ZINC001230576242 1073464010 /nfs/dbraw/zinc/46/40/10/1073464010.db2.gz DMNDVYZUWXHLQP-IXDOHACOSA-N 0 0 429.587 -0.148 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C(=O)N[C@H]3CCN(S(C)(=O)=O)C3)CC2)C1 ZINC001230576244 1073464054 /nfs/dbraw/zinc/46/40/54/1073464054.db2.gz DMNDVYZUWXHLQP-ZACQAIPSSA-N 0 0 429.587 -0.148 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001230577640 1073464100 /nfs/dbraw/zinc/46/41/00/1073464100.db2.gz VTBQFSHGXWEICO-CYBMUJFWSA-N 0 0 435.528 -0.659 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001230577641 1073464145 /nfs/dbraw/zinc/46/41/45/1073464145.db2.gz VTBQFSHGXWEICO-ZDUSSCGKSA-N 0 0 435.528 -0.659 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001230577752 1073464159 /nfs/dbraw/zinc/46/41/59/1073464159.db2.gz YDDCJCVAUWJEET-AWEZNQCLSA-N 0 0 449.555 -0.269 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001230577753 1073464061 /nfs/dbraw/zinc/46/40/61/1073464061.db2.gz YDDCJCVAUWJEET-CQSZACIVSA-N 0 0 449.555 -0.269 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)NCCNC(=O)c2ccc(Br)cn2)C1 ZINC001230581625 1073464180 /nfs/dbraw/zinc/46/41/80/1073464180.db2.gz ATLHQTXWOXLVNX-LLVKDONJSA-N 0 0 434.316 -0.093 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)NCCNC(=O)c2ccc(Br)cn2)C1 ZINC001230581626 1073464166 /nfs/dbraw/zinc/46/41/66/1073464166.db2.gz ATLHQTXWOXLVNX-NSHDSACASA-N 0 0 434.316 -0.093 20 0 IBADRN Cn1ncc(I)c1CNC(=O)N[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001230584948 1073464087 /nfs/dbraw/zinc/46/40/87/1073464087.db2.gz NYIWTVUUABIMRT-MRVPVSSYSA-N 0 0 427.268 -0.142 20 0 IBADRN Cn1ncc(I)c1CNC(=O)N[C@H]1CCN(S(C)(=O)=O)C1 ZINC001230584949 1073464678 /nfs/dbraw/zinc/46/46/78/1073464678.db2.gz NYIWTVUUABIMRT-QMMMGPOBSA-N 0 0 427.268 -0.142 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)N[C@H]3CCN(S(C)(=O)=O)C3)CC2)cc1 ZINC001230585030 1073464702 /nfs/dbraw/zinc/46/47/02/1073464702.db2.gz PMOGBBUFEBDUQA-HNNXBMFYSA-N 0 0 445.567 -0.076 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cc1 ZINC001230585050 1073464647 /nfs/dbraw/zinc/46/46/47/1073464647.db2.gz PMOGBBUFEBDUQA-OAHLLOKOSA-N 0 0 445.567 -0.076 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NCCNS(=O)(=O)c2cc(C)sc2C)CCO1 ZINC001230588902 1073464572 /nfs/dbraw/zinc/46/45/72/1073464572.db2.gz INOTXVZIPDXXGL-CYBMUJFWSA-N 0 0 433.556 -0.017 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NCCNS(=O)(=O)c2cc(C)sc2C)CCO1 ZINC001230588903 1073464728 /nfs/dbraw/zinc/46/47/28/1073464728.db2.gz INOTXVZIPDXXGL-ZDUSSCGKSA-N 0 0 433.556 -0.017 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC001230589009 1073464718 /nfs/dbraw/zinc/46/47/18/1073464718.db2.gz JASYUKXHHKOOMX-NEPJUHHUSA-N 0 0 425.554 -0.246 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC001230589015 1073464509 /nfs/dbraw/zinc/46/45/09/1073464509.db2.gz JASYUKXHHKOOMX-NWDGAFQWSA-N 0 0 425.554 -0.246 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC001230589019 1073464640 /nfs/dbraw/zinc/46/46/40/1073464640.db2.gz JASYUKXHHKOOMX-RYUDHWBXSA-N 0 0 425.554 -0.246 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCNS(=O)(=O)c1cc(C)sc1C ZINC001230589022 1073464581 /nfs/dbraw/zinc/46/45/81/1073464581.db2.gz JASYUKXHHKOOMX-VXGBXAGGSA-N 0 0 425.554 -0.246 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)N2CCS(=O)(=O)C[C@H]2CCO)c(C)s1 ZINC001230589382 1073464751 /nfs/dbraw/zinc/46/47/51/1073464751.db2.gz KDTAZERENOKQDG-CYBMUJFWSA-N 0 0 439.581 -0.166 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)c(C)s1 ZINC001230589385 1073464741 /nfs/dbraw/zinc/46/47/41/1073464741.db2.gz KDTAZERENOKQDG-ZDUSSCGKSA-N 0 0 439.581 -0.166 20 0 IBADRN CN(CCNC(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1)S(=O)(=O)c1cccs1 ZINC001230601045 1073464735 /nfs/dbraw/zinc/46/47/35/1073464735.db2.gz QQYPJXZYANKOLU-HNNXBMFYSA-N 0 0 437.565 -0.032 20 0 IBADRN CN(CCNC(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1)S(=O)(=O)c1cccs1 ZINC001230601046 1073464690 /nfs/dbraw/zinc/46/46/90/1073464690.db2.gz QQYPJXZYANKOLU-OAHLLOKOSA-N 0 0 437.565 -0.032 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCCN(C)S(=O)(=O)c3cccs3)C[C@H]21 ZINC001230601667 1073464528 /nfs/dbraw/zinc/46/45/28/1073464528.db2.gz YOTWPRODSSZWSN-XQQFMLRXSA-N 0 0 437.565 -0.178 20 0 IBADRN O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001230607033 1073464591 /nfs/dbraw/zinc/46/45/91/1073464591.db2.gz XWZZNMGKOGZLJQ-LLVKDONJSA-N 0 0 438.432 -0.021 20 0 IBADRN O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001230607034 1073464669 /nfs/dbraw/zinc/46/46/69/1073464669.db2.gz XWZZNMGKOGZLJQ-NSHDSACASA-N 0 0 438.432 -0.021 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC001230625746 1073464628 /nfs/dbraw/zinc/46/46/28/1073464628.db2.gz RRZKJEYRRJQUBH-MUUNZHRXSA-N 0 0 446.551 -0.154 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)NCCNS(=O)(=O)c2cccnc2)CC1 ZINC001230625747 1073464611 /nfs/dbraw/zinc/46/46/11/1073464611.db2.gz RRZKJEYRRJQUBH-NDEPHWFRSA-N 0 0 446.551 -0.154 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)NCC[C@]2(O)CCOC2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001230645256 1073464711 /nfs/dbraw/zinc/46/47/11/1073464711.db2.gz YBXCNAAMJKMNBU-GLJUWKHASA-N 0 0 433.527 -0.044 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)NCC[C@@]2(O)CCOC2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001230645257 1073464553 /nfs/dbraw/zinc/46/45/53/1073464553.db2.gz YBXCNAAMJKMNBU-QWQRMKEZSA-N 0 0 433.527 -0.044 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC001230657678 1073465329 /nfs/dbraw/zinc/46/53/29/1073465329.db2.gz VHDWNIGLLRGAAK-UHFFFAOYSA-N 0 0 445.976 -0.529 20 0 IBADRN O=C(NCc1ncc2c(n1)CCOC2)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001230664443 1073465354 /nfs/dbraw/zinc/46/53/54/1073465354.db2.gz MXLASAPCTDRXJR-HNNXBMFYSA-N 0 0 425.511 -0.115 20 0 IBADRN O=C(NCc1ncc2c(n1)CCOC2)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001230664462 1073465252 /nfs/dbraw/zinc/46/52/52/1073465252.db2.gz MXLASAPCTDRXJR-OAHLLOKOSA-N 0 0 425.511 -0.115 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC[C@H](C)S(=O)(=O)C3)CC2)cn1C ZINC001230717101 1073465497 /nfs/dbraw/zinc/46/54/97/1073465497.db2.gz FPUXCCTWUQNVAJ-GXTWGEPZSA-N 0 0 433.556 -0.290 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC[C@H](C)S(=O)(=O)C3)CC2)cn1C ZINC001230717102 1073465450 /nfs/dbraw/zinc/46/54/50/1073465450.db2.gz FPUXCCTWUQNVAJ-JSGCOSHPSA-N 0 0 433.556 -0.290 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC[C@@H](C)S(=O)(=O)C3)CC2)cn1C ZINC001230717103 1073465378 /nfs/dbraw/zinc/46/53/78/1073465378.db2.gz FPUXCCTWUQNVAJ-OCCSQVGLSA-N 0 0 433.556 -0.290 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC[C@@H](C)S(=O)(=O)C3)CC2)cn1C ZINC001230717104 1073465501 /nfs/dbraw/zinc/46/55/01/1073465501.db2.gz FPUXCCTWUQNVAJ-TZMCWYRMSA-N 0 0 433.556 -0.290 20 0 IBADRN CON(C)C(=O)[C@H](CCSC)NC(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001230717338 1073465268 /nfs/dbraw/zinc/46/52/68/1073465268.db2.gz OVWDLIOMDBCDTL-LBPRGKRZSA-N 0 0 434.544 -0.433 20 0 IBADRN COCc1n[nH]c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC001230719273 1073465465 /nfs/dbraw/zinc/46/54/65/1073465465.db2.gz RRXKWBVQEQNCJA-BETUJISGSA-N 0 0 431.519 -0.868 20 0 IBADRN COCc1n[nH]c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC001230719275 1073465426 /nfs/dbraw/zinc/46/54/26/1073465426.db2.gz RRXKWBVQEQNCJA-CHWSQXEVSA-N 0 0 431.519 -0.868 20 0 IBADRN COCc1n[nH]c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC001230719276 1073465482 /nfs/dbraw/zinc/46/54/82/1073465482.db2.gz RRXKWBVQEQNCJA-STQMWFEESA-N 0 0 431.519 -0.868 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCCS(=O)(=O)CC(F)(F)F)CC2)CCOC1 ZINC001230736595 1073465362 /nfs/dbraw/zinc/46/53/62/1073465362.db2.gz DGVIWFHCZBPKNG-AWEZNQCLSA-N 0 0 431.433 -0.377 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCCS(=O)(=O)CC(F)(F)F)CC2)CCOC1 ZINC001230736596 1073465399 /nfs/dbraw/zinc/46/53/99/1073465399.db2.gz DGVIWFHCZBPKNG-CQSZACIVSA-N 0 0 431.433 -0.377 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)N[C@H]3CS(=O)(=O)C[C@H]3OC)CC2)c(OC)c1 ZINC001230816829 1073466671 /nfs/dbraw/zinc/46/66/71/1073466671.db2.gz GGXULWNCPYTLFK-DOTOQJQBSA-N 0 0 441.506 -0.017 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3OC)CC2)c(OC)c1 ZINC001230816833 1073466802 /nfs/dbraw/zinc/46/68/02/1073466802.db2.gz GGXULWNCPYTLFK-NVXWUHKLSA-N 0 0 441.506 -0.017 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3OC)CC2)c(OC)c1 ZINC001230816836 1073466711 /nfs/dbraw/zinc/46/67/11/1073466711.db2.gz GGXULWNCPYTLFK-RDJZCZTQSA-N 0 0 441.506 -0.017 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3OC)CC2)c(OC)c1 ZINC001230816837 1073466660 /nfs/dbraw/zinc/46/66/60/1073466660.db2.gz GGXULWNCPYTLFK-WBVHZDCISA-N 0 0 441.506 -0.017 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC001230818069 1073466704 /nfs/dbraw/zinc/46/67/04/1073466704.db2.gz XOLIRAHEIAKMNP-LUKYLMHMSA-N 0 0 430.571 -0.370 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC001230818070 1073466696 /nfs/dbraw/zinc/46/66/96/1073466696.db2.gz XOLIRAHEIAKMNP-VVLHAWIVSA-N 0 0 430.571 -0.370 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC001230818071 1073466689 /nfs/dbraw/zinc/46/66/89/1073466689.db2.gz XOLIRAHEIAKMNP-WCXIOVBPSA-N 0 0 430.571 -0.370 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC001230818072 1073466723 /nfs/dbraw/zinc/46/67/23/1073466723.db2.gz XOLIRAHEIAKMNP-YYIAUSFCSA-N 0 0 430.571 -0.370 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001230821189 1073466793 /nfs/dbraw/zinc/46/67/93/1073466793.db2.gz HABUKKZBOXENBD-BFHYXJOUSA-N 0 0 433.527 -0.180 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001230821192 1073466775 /nfs/dbraw/zinc/46/67/75/1073466775.db2.gz HABUKKZBOXENBD-HZSPNIEDSA-N 0 0 433.527 -0.180 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001230821194 1073466682 /nfs/dbraw/zinc/46/66/82/1073466682.db2.gz HABUKKZBOXENBD-MELADBBJSA-N 0 0 433.527 -0.180 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001230821195 1073466733 /nfs/dbraw/zinc/46/67/33/1073466733.db2.gz HABUKKZBOXENBD-MGPQQGTHSA-N 0 0 433.527 -0.180 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3OC)CC2)nc1 ZINC001230821268 1073466739 /nfs/dbraw/zinc/46/67/39/1073466739.db2.gz IPLXYFRLCMSNDN-CABCVRRESA-N 0 0 426.495 -0.098 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3OC)CC2)nc1 ZINC001230821269 1073467347 /nfs/dbraw/zinc/46/73/47/1073467347.db2.gz IPLXYFRLCMSNDN-GJZGRUSLSA-N 0 0 426.495 -0.098 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3OC)CC2)nc1 ZINC001230821270 1073467494 /nfs/dbraw/zinc/46/74/94/1073467494.db2.gz IPLXYFRLCMSNDN-HUUCEWRRSA-N 0 0 426.495 -0.098 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)N[C@H]3CS(=O)(=O)C[C@H]3OC)CC2)nc1 ZINC001230821271 1073467311 /nfs/dbraw/zinc/46/73/11/1073467311.db2.gz IPLXYFRLCMSNDN-LSDHHAIUSA-N 0 0 426.495 -0.098 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001230821919 1073467351 /nfs/dbraw/zinc/46/73/51/1073467351.db2.gz NMBJFEGBZXYZAO-NEPJUHHUSA-N 0 0 435.300 -0.315 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001230821922 1073467288 /nfs/dbraw/zinc/46/72/88/1073467288.db2.gz NMBJFEGBZXYZAO-NWDGAFQWSA-N 0 0 435.300 -0.315 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001230821924 1073467295 /nfs/dbraw/zinc/46/72/95/1073467295.db2.gz NMBJFEGBZXYZAO-RYUDHWBXSA-N 0 0 435.300 -0.315 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001230821926 1073467341 /nfs/dbraw/zinc/46/73/41/1073467341.db2.gz NMBJFEGBZXYZAO-VXGBXAGGSA-N 0 0 435.300 -0.315 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)NCc1c(I)cnn1C ZINC001230822374 1073467503 /nfs/dbraw/zinc/46/75/03/1073467503.db2.gz RWFVMQGHCAQIEL-PSASIEDQSA-N 0 0 428.252 -0.364 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NCc1c(I)cnn1C ZINC001230822380 1073467336 /nfs/dbraw/zinc/46/73/36/1073467336.db2.gz RWFVMQGHCAQIEL-SCZZXKLOSA-N 0 0 428.252 -0.364 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCc1c(I)cnn1C ZINC001230822381 1073466648 /nfs/dbraw/zinc/46/66/48/1073466648.db2.gz RWFVMQGHCAQIEL-WCBMZHEXSA-N 0 0 428.252 -0.364 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCc1c(I)cnn1C ZINC001230822382 1073466701 /nfs/dbraw/zinc/46/67/01/1073466701.db2.gz RWFVMQGHCAQIEL-WPRPVWTQSA-N 0 0 428.252 -0.364 20 0 IBADRN CC(=O)NCc1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC001230842592 1073467317 /nfs/dbraw/zinc/46/73/17/1073467317.db2.gz QWLOEBKBCACIDM-UHFFFAOYSA-N 0 0 429.481 -0.506 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)CC2)nc1 ZINC001230847670 1073467300 /nfs/dbraw/zinc/46/73/00/1073467300.db2.gz QNPKGPVSIHVZTB-BEFAXECRSA-N 0 0 437.522 -0.252 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)CC2)nc1 ZINC001230847671 1073467477 /nfs/dbraw/zinc/46/74/77/1073467477.db2.gz QNPKGPVSIHVZTB-DNVCBOLYSA-N 0 0 437.522 -0.252 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)CC2)nc1 ZINC001230847672 1073467467 /nfs/dbraw/zinc/46/74/67/1073467467.db2.gz QNPKGPVSIHVZTB-HNAYVOBHSA-N 0 0 437.522 -0.252 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)CC2)nc1 ZINC001230847673 1073467486 /nfs/dbraw/zinc/46/74/86/1073467486.db2.gz QNPKGPVSIHVZTB-KXBFYZLASA-N 0 0 437.522 -0.252 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001230847881 1073467513 /nfs/dbraw/zinc/46/75/13/1073467513.db2.gz WDKFWSZHCIYIOI-ATZDWAIDSA-N 0 0 431.555 -0.362 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001230847882 1073467358 /nfs/dbraw/zinc/46/73/58/1073467358.db2.gz WDKFWSZHCIYIOI-IPELMVKDSA-N 0 0 431.555 -0.362 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001230847883 1073467379 /nfs/dbraw/zinc/46/73/79/1073467379.db2.gz WDKFWSZHCIYIOI-KFKAGJAMSA-N 0 0 431.555 -0.362 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001230847884 1073467448 /nfs/dbraw/zinc/46/74/48/1073467448.db2.gz WDKFWSZHCIYIOI-LZQZEXGQSA-N 0 0 431.555 -0.362 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCC[S@@](=O)CC(F)(F)F)CC1 ZINC001230864634 1073467271 /nfs/dbraw/zinc/46/72/71/1073467271.db2.gz WQWARYJNAQPHIQ-MUUNZHRXSA-N 0 0 426.417 -0.700 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCC[S@](=O)CC(F)(F)F)CC1 ZINC001230864639 1073468149 /nfs/dbraw/zinc/46/81/49/1073468149.db2.gz WQWARYJNAQPHIQ-NDEPHWFRSA-N 0 0 426.417 -0.700 20 0 IBADRN O=C(NCCNC(=O)c1ccc(Br)cn1)NCCN1CCCS1(=O)=O ZINC001230869037 1073468183 /nfs/dbraw/zinc/46/81/83/1073468183.db2.gz RYKOJSMEGGLGEU-UHFFFAOYSA-N 0 0 434.316 -0.091 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NCCNC(=O)c2ccc(Br)cn2)CCO1 ZINC001230872408 1073468258 /nfs/dbraw/zinc/46/82/58/1073468258.db2.gz FDFCABPKBPILEM-GFCCVEGCSA-N 0 0 443.302 -0.087 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NCCNC(=O)c2ccc(Br)cn2)CCO1 ZINC001230872411 1073468162 /nfs/dbraw/zinc/46/81/62/1073468162.db2.gz FDFCABPKBPILEM-LBPRGKRZSA-N 0 0 443.302 -0.087 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)NCCc2nnc3c(=O)n(C)ccn23)CC1 ZINC001230877405 1073468174 /nfs/dbraw/zinc/46/81/74/1073468174.db2.gz ASBHOPVRQOFHFE-UHFFFAOYSA-N 0 0 439.542 -0.280 20 0 IBADRN CO[C@]1(CNC(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)CCS(=O)(=O)C1 ZINC001230877517 1073468113 /nfs/dbraw/zinc/46/81/13/1073468113.db2.gz CYNRRTXSJPBEJE-INIZCTEOSA-N 0 0 425.573 -0.061 20 0 IBADRN CO[C@@]1(CNC(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)CCS(=O)(=O)C1 ZINC001230877518 1073468142 /nfs/dbraw/zinc/46/81/42/1073468142.db2.gz CYNRRTXSJPBEJE-MRXNPFEDSA-N 0 0 425.573 -0.061 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)NCc2nnc3c(=O)n(C)ccn23)CC1 ZINC001230877590 1073468237 /nfs/dbraw/zinc/46/82/37/1073468237.db2.gz FIRHTDSRLWSRJI-UHFFFAOYSA-N 0 0 425.515 -0.323 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)NCC2(O)CCS(=O)(=O)CC2)CC1 ZINC001230877736 1073468246 /nfs/dbraw/zinc/46/82/46/1073468246.db2.gz HWJNGOWZDJWXNX-UHFFFAOYSA-N 0 0 425.573 -0.325 20 0 IBADRN O=C(N[C@H]1CCCS(=O)(=O)C1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001230946561 1073468230 /nfs/dbraw/zinc/46/82/30/1073468230.db2.gz WTZBOYMXCIHRSP-INIZCTEOSA-N 0 0 430.552 -0.075 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001230946565 1073468195 /nfs/dbraw/zinc/46/81/95/1073468195.db2.gz WTZBOYMXCIHRSP-MRXNPFEDSA-N 0 0 430.552 -0.075 20 0 IBADRN CCON(CC)C(=O)CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001231042501 1073468129 /nfs/dbraw/zinc/46/81/29/1073468129.db2.gz PVAIADYKKBZUBO-GASCZTMLSA-N 0 0 435.547 -0.532 20 0 IBADRN CCON(CC)C(=O)CNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001231042506 1073468226 /nfs/dbraw/zinc/46/82/26/1073468226.db2.gz PVAIADYKKBZUBO-GJZGRUSLSA-N 0 0 435.547 -0.532 20 0 IBADRN CCON(CC)C(=O)CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001231042508 1073468156 /nfs/dbraw/zinc/46/81/56/1073468156.db2.gz PVAIADYKKBZUBO-HUUCEWRRSA-N 0 0 435.547 -0.532 20 0 IBADRN Cn1ccnc1C(NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)c1nccn1C ZINC001231210254 1073468251 /nfs/dbraw/zinc/46/82/51/1073468251.db2.gz GAFUSHXMUXAWHL-UHFFFAOYSA-N 0 0 442.480 -0.754 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1CS(=O)(=O)Cc3ccccc31)C2 ZINC001231404305 1073468819 /nfs/dbraw/zinc/46/88/19/1073468819.db2.gz JLKUXEOPTGZELQ-HNNXBMFYSA-N 0 0 448.505 -0.672 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CS(=O)(=O)Cc3ccccc31)C2 ZINC001231404306 1073468956 /nfs/dbraw/zinc/46/89/56/1073468956.db2.gz JLKUXEOPTGZELQ-OAHLLOKOSA-N 0 0 448.505 -0.672 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@@H]2CS(=O)(=O)Cc3ccccc32)CC1 ZINC001231404722 1073468934 /nfs/dbraw/zinc/46/89/34/1073468934.db2.gz RACCVGFSZXDAER-GOSISDBHSA-N 0 0 436.534 -0.158 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)N[C@H]2CS(=O)(=O)Cc3ccccc32)CC1 ZINC001231404723 1073468925 /nfs/dbraw/zinc/46/89/25/1073468925.db2.gz RACCVGFSZXDAER-SFHVURJKSA-N 0 0 436.534 -0.158 20 0 IBADRN COC(=O)c1cccc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)n1 ZINC001231426387 1073468757 /nfs/dbraw/zinc/46/87/57/1073468757.db2.gz QOGMJRGIQYZLAW-JFIYKMOQSA-N 0 0 437.518 -0.038 20 0 IBADRN COC(=O)c1cccc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)n1 ZINC001231426390 1073468845 /nfs/dbraw/zinc/46/88/45/1073468845.db2.gz QOGMJRGIQYZLAW-LZLYRXPVSA-N 0 0 437.518 -0.038 20 0 IBADRN COC(=O)c1cccc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)n1 ZINC001231426909 1073468876 /nfs/dbraw/zinc/46/88/76/1073468876.db2.gz NKQCQFOKQFCHTN-IRXDYDNUSA-N 0 0 433.509 -0.035 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(Cc1c[nH]c(=O)[nH]c1=O)CCC2 ZINC001231701255 1073468960 /nfs/dbraw/zinc/46/89/60/1073468960.db2.gz NZESNVROCSEMMI-UHFFFAOYSA-N 0 0 426.437 -0.486 20 0 IBADRN CC(C)CCN1C[C@@]23CN(Cc4cn(C)c(=O)n(C)c4=O)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001231767654 1073469692 /nfs/dbraw/zinc/46/96/92/1073469692.db2.gz JWQPSFGMUSZFTF-GJYPPUQNSA-N 0 0 426.539 -0.513 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(Cc1cn(C)c(=O)n(C)c1=O)CCC2 ZINC001231767970 1073469679 /nfs/dbraw/zinc/46/96/79/1073469679.db2.gz NMKBWXCCRFDMHX-UHFFFAOYSA-N 0 0 430.509 -0.291 20 0 IBADRN Cn1cc(CN2CCCn3c(=O)cc(CNC(=O)CC4CC4)nc3C2)c(=O)n(C)c1=O ZINC001231767988 1073469643 /nfs/dbraw/zinc/46/96/43/1073469643.db2.gz BIAPPZFWEWIXCX-UHFFFAOYSA-N 0 0 428.493 -0.537 20 0 IBADRN Cn1cc(CN2CCCn3c(=O)cc(CNC(=O)N4CCCC4)nc3C2)c(=O)n(C)c1=O ZINC001231768008 1073469709 /nfs/dbraw/zinc/46/97/09/1073469709.db2.gz BQTCVLOAHOJZSR-UHFFFAOYSA-N 0 0 443.508 -0.648 20 0 IBADRN Cn1cc(CN2Cc3nccn3C[C@@H](COCC(=O)N3CCCC3)C2)c(=O)n(C)c1=O ZINC001231768066 1073469797 /nfs/dbraw/zinc/46/97/97/1073469797.db2.gz DCUXAFSVXVPVQO-INIZCTEOSA-N 0 0 430.509 -0.449 20 0 IBADRN Cn1cc(CN2Cc3nccn3C[C@H](COCC(=O)N3CCCC3)C2)c(=O)n(C)c1=O ZINC001231768067 1073469543 /nfs/dbraw/zinc/46/95/43/1073469543.db2.gz DCUXAFSVXVPVQO-MRXNPFEDSA-N 0 0 430.509 -0.449 20 0 IBADRN Cn1cc(CN2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)c(=O)n(C)c1=O ZINC001231768151 1073469729 /nfs/dbraw/zinc/46/97/29/1073469729.db2.gz OVWMRUDIQYDRQF-UWVAXJGDSA-N 0 0 447.517 -0.964 20 0 IBADRN Cn1cc(CN2CCOC[C@@]3(CN(c4cccc(F)c4)C(=O)CO3)C2)c(=O)n(C)c1=O ZINC001231768185 1073469591 /nfs/dbraw/zinc/46/95/91/1073469591.db2.gz PNBBZOPCYPQRBH-NRFANRHFSA-N 0 0 432.452 -0.143 20 0 IBADRN Cn1cc(CN2CCOC[C@]3(CN(c4cccc(F)c4)C(=O)CO3)C2)c(=O)n(C)c1=O ZINC001231768187 1073469511 /nfs/dbraw/zinc/46/95/11/1073469511.db2.gz PNBBZOPCYPQRBH-OAQYLSRUSA-N 0 0 432.452 -0.143 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(Cc1cn(C)c(=O)n(C)c1=O)C2 ZINC001231768369 1073469703 /nfs/dbraw/zinc/46/97/03/1073469703.db2.gz SILFTONBDXKYHI-KRWDZBQOSA-N 0 0 432.525 -0.734 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(Cc1cn(C)c(=O)n(C)c1=O)C2 ZINC001231768379 1073469727 /nfs/dbraw/zinc/46/97/27/1073469727.db2.gz SILFTONBDXKYHI-QGZVFWFLSA-N 0 0 432.525 -0.734 20 0 IBADRN Cn1cc(CN2CCCn3c(=O)cc(CNC(=O)c4ccco4)nc3C2)c(=O)n(C)c1=O ZINC001231768809 1073469567 /nfs/dbraw/zinc/46/95/67/1073469567.db2.gz KRBLAGSKPGVTAH-UHFFFAOYSA-N 0 0 440.460 -0.430 20 0 IBADRN Cn1cc(CN2C[C@@H]3c4nc(N5CCCC5)ncc4CS(=O)(=O)[C@@H]3C2)c(=O)n(C)c1=O ZINC001231768923 1073469757 /nfs/dbraw/zinc/46/97/57/1073469757.db2.gz XLJQHHICSVKALF-JKSUJKDBSA-N 0 0 446.533 -0.629 20 0 IBADRN Cc1nc(CN2CCOC[C@]3(CN(Cc4cn(C)c(=O)n(C)c4=O)CCO3)C2)cs1 ZINC001231769517 1073470326 /nfs/dbraw/zinc/47/03/26/1073470326.db2.gz QDQHVMAIIDOISO-FQEVSTJZSA-N 0 0 435.550 -0.048 20 0 IBADRN Cc1nc(CN2CCOC[C@@]3(CN(Cc4cn(C)c(=O)n(C)c4=O)CCO3)C2)cs1 ZINC001231769518 1073470379 /nfs/dbraw/zinc/47/03/79/1073470379.db2.gz QDQHVMAIIDOISO-HXUWFJFHSA-N 0 0 435.550 -0.048 20 0 IBADRN Cn1cc(CN2CCCn3c(=O)cc(CNC(=O)C4CCC4)nc3C2)c(=O)n(C)c1=O ZINC001231769556 1073470471 /nfs/dbraw/zinc/47/04/71/1073470471.db2.gz RJOBNQNWZCPDPA-UHFFFAOYSA-N 0 0 428.493 -0.537 20 0 IBADRN Cn1cc(CN2CCCn3nnc(COCC(=O)N4CCCC4)c3C2)c(=O)n(C)c1=O ZINC001231769845 1073470487 /nfs/dbraw/zinc/47/04/87/1073470487.db2.gz KJCPNBAYGDQBQK-UHFFFAOYSA-N 0 0 431.497 -0.780 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(Cc2cn(C)c(=O)n(C)c2=O)CCO1 ZINC001231773421 1073471287 /nfs/dbraw/zinc/47/12/87/1073471287.db2.gz KIVXBRQNEFHMEX-INIZCTEOSA-N 0 0 428.449 -0.123 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(Cc2cn(C)c(=O)n(C)c2=O)CCO1 ZINC001231773441 1073471208 /nfs/dbraw/zinc/47/12/08/1073471208.db2.gz KIVXBRQNEFHMEX-MRXNPFEDSA-N 0 0 428.449 -0.123 20 0 IBADRN CCN1C[C@@]23CN(Cc4c(C)nn(C)c4N4CCOCC4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001231832348 1073471119 /nfs/dbraw/zinc/47/11/19/1073471119.db2.gz DPWGBNZLBBIQCW-AYBZRNKSSA-N 0 0 425.555 -0.058 20 0 IBADRN Cc1nn(C)c(N2CCOCC2)c1CN1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001231835667 1073471160 /nfs/dbraw/zinc/47/11/60/1073471160.db2.gz NKXQOORMBDQTEQ-UHFFFAOYSA-N 0 0 432.529 -0.223 20 0 IBADRN Cc1nn(C)c(N2CCOCC2)c1CN1C[C@@H](NC(=O)c2cnccn2)[C@H]2COC[C@H]21 ZINC001231836433 1073471360 /nfs/dbraw/zinc/47/13/60/1073471360.db2.gz SMWOFPQGHIOLIR-BHIYHBOVSA-N 0 0 427.509 -0.016 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(Cc3ccc(=O)[nH]n3)CC[C@@H]12 ZINC001232023418 1073471320 /nfs/dbraw/zinc/47/13/20/1073471320.db2.gz LEIRPFAYVBVKEK-APWZRJJASA-N 0 0 439.538 -0.343 20 0 IBADRN COC(=O)c1ccc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)[nH]1 ZINC001232075954 1073471109 /nfs/dbraw/zinc/47/11/09/1073471109.db2.gz BFDSJFRDRYWZHO-BFYDXBDKSA-N 0 0 425.507 -0.105 20 0 IBADRN COC(=O)c1ccc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)[nH]1 ZINC001232075981 1073471071 /nfs/dbraw/zinc/47/10/71/1073471071.db2.gz BFDSJFRDRYWZHO-ZMSDIMECSA-N 0 0 425.507 -0.105 20 0 IBADRN COc1ncnc(OC)c1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001232513356 1073471303 /nfs/dbraw/zinc/47/13/03/1073471303.db2.gz FBPFWNOSXSPDRB-IIAWOOMASA-N 0 0 440.522 -0.412 20 0 IBADRN COc1ncnc(OC)c1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001232513360 1073471268 /nfs/dbraw/zinc/47/12/68/1073471268.db2.gz FBPFWNOSXSPDRB-SQWLQELKSA-N 0 0 440.522 -0.412 20 0 IBADRN COc1ncnc(OC)c1CN1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001232514069 1073471174 /nfs/dbraw/zinc/47/11/74/1073471174.db2.gz CJVCIPWZNWHPPO-UKRRQHHQSA-N 0 0 427.527 -0.192 20 0 IBADRN COc1ncnc(OC)c1CN1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001232518369 1073471278 /nfs/dbraw/zinc/47/12/78/1073471278.db2.gz YXTOHYPKFUNBTP-GJZGRUSLSA-N 0 0 436.513 -0.409 20 0 IBADRN COC(=O)c1cc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)ccn1 ZINC001232770782 1073471399 /nfs/dbraw/zinc/47/13/99/1073471399.db2.gz DPWOEUATJRAGKF-RDJZCZTQSA-N 0 0 433.509 -0.035 20 0 IBADRN COC(=O)c1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)ccn1 ZINC001232770945 1073471261 /nfs/dbraw/zinc/47/12/61/1073471261.db2.gz RROOIYFOSFBHET-JFIYKMOQSA-N 0 0 437.518 -0.038 20 0 IBADRN COC(=O)c1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)ccn1 ZINC001232770961 1073471090 /nfs/dbraw/zinc/47/10/90/1073471090.db2.gz RROOIYFOSFBHET-LZLYRXPVSA-N 0 0 437.518 -0.038 20 0 IBADRN CCOC(=O)c1nc[nH]c1CN1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001232916199 1073471379 /nfs/dbraw/zinc/47/13/79/1073471379.db2.gz SXMXIOMZJMIBCI-UKRRQHHQSA-N 0 0 427.527 -0.099 20 0 IBADRN CCOC(=O)c1nc[nH]c1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001232917174 1073471352 /nfs/dbraw/zinc/47/13/52/1073471352.db2.gz WNBMLDCFOQIOFL-IIAWOOMASA-N 0 0 440.522 -0.320 20 0 IBADRN CCOC(=O)c1nc[nH]c1CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001232917175 1073471229 /nfs/dbraw/zinc/47/12/29/1073471229.db2.gz WNBMLDCFOQIOFL-SQWLQELKSA-N 0 0 440.522 -0.320 20 0 IBADRN CCOC(=O)c1nc[nH]c1CN1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001232920226 1073471142 /nfs/dbraw/zinc/47/11/42/1073471142.db2.gz QBQIGWLIFCOESY-ZFWWWQNUSA-N 0 0 436.513 -0.317 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(Cc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC001233301485 1073471844 /nfs/dbraw/zinc/47/18/44/1073471844.db2.gz CYWXWXOFAGWVSU-HNNXBMFYSA-N 0 0 437.522 -0.066 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(Cc2ccc(OC)c(S(N)(=O)=O)c2)C1 ZINC001233301486 1073471940 /nfs/dbraw/zinc/47/19/40/1073471940.db2.gz CYWXWXOFAGWVSU-OAHLLOKOSA-N 0 0 437.522 -0.066 20 0 IBADRN COc1ccc(CN2C[C@@H](NC(=O)c3cnccn3)[C@H]3COC[C@H]32)cc1S(N)(=O)=O ZINC001233302349 1073471858 /nfs/dbraw/zinc/47/18/58/1073471858.db2.gz LKOIEEGVFQEHKI-FVQBIDKESA-N 0 0 433.490 -0.238 20 0 IBADRN COc1ccc(CN2CC[C@H]3O[C@@H](C(=O)N4CCOCC4)C[C@H]32)cc1S(N)(=O)=O ZINC001233302499 1073471946 /nfs/dbraw/zinc/47/19/46/1073471946.db2.gz PWCRUCWTHXGKRA-BFYDXBDKSA-N 0 0 425.507 -0.067 20 0 IBADRN COc1ccc(CN2C[C@@H]3COC[C@]3(COCC(=O)N(C)C)C2)cc1S(N)(=O)=O ZINC001233302508 1073471981 /nfs/dbraw/zinc/47/19/81/1073471981.db2.gz QAADGKNTIUVLFB-BEFAXECRSA-N 0 0 427.523 -0.104 20 0 IBADRN CCN1C[C@@]23CN(Cc4ccc(OC)c(S(N)(=O)=O)c4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001233302681 1073472094 /nfs/dbraw/zinc/47/20/94/1073472094.db2.gz WRCAONBQBURWSE-COXVUDFISA-N 0 0 431.536 -0.280 20 0 IBADRN COc1ccc(CN2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)cc1S(N)(=O)=O ZINC001233302833 1073472036 /nfs/dbraw/zinc/47/20/36/1073472036.db2.gz BESGOLSLXZXMOC-CABCVRRESA-N 0 0 432.568 -0.097 20 0 IBADRN COc1ccc(CN2C[C@H]3C[C@@H](C(=O)N4CCOCC4)[C@@H](C2)O3)cc1S(N)(=O)=O ZINC001233302839 1073472083 /nfs/dbraw/zinc/47/20/83/1073472083.db2.gz BMEQNXIAOAEVTQ-BFYDXBDKSA-N 0 0 425.507 -0.209 20 0 IBADRN COc1ccc(CN2C[C@H]3C[C@H](C(=O)N4CCOCC4)[C@@H](C2)O3)cc1S(N)(=O)=O ZINC001233302840 1073472058 /nfs/dbraw/zinc/47/20/58/1073472058.db2.gz BMEQNXIAOAEVTQ-HLLBOEOZSA-N 0 0 425.507 -0.209 20 0 IBADRN COc1ccc(CN2CCn3c(COCC(=O)N(C)C)nnc3C2)cc1S(N)(=O)=O ZINC001233303394 1073471966 /nfs/dbraw/zinc/47/19/66/1073471966.db2.gz XATPVGDDCFLXCW-UHFFFAOYSA-N 0 0 438.510 -0.445 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC1 ZINC001233509942 1073471913 /nfs/dbraw/zinc/47/19/13/1073471913.db2.gz MNZGCRXZOXAADG-UHFFFAOYSA-N 0 0 439.538 -0.610 20 0 IBADRN CCn1ncc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC001233569992 1073471924 /nfs/dbraw/zinc/47/19/24/1073471924.db2.gz ZLZMGECHICAYMK-UHFFFAOYSA-N 0 0 449.493 -0.155 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCC3(CC(N)=O)CCCCC3)CC2)CC1 ZINC001233667056 1073472825 /nfs/dbraw/zinc/47/28/25/1073472825.db2.gz KYGWVOBDWBWKMB-UHFFFAOYSA-N 0 0 444.602 -0.368 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCc2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC001233679104 1073472705 /nfs/dbraw/zinc/47/27/05/1073472705.db2.gz FOWOXOUMQOXPJF-UHFFFAOYSA-N 0 0 431.540 -0.484 20 0 IBADRN Cn1ccn2c(CNC(=O)NCc3ccc(N4CCCS4(=O)=O)cc3)nnc2c1=O ZINC001233681153 1073472922 /nfs/dbraw/zinc/47/29/22/1073472922.db2.gz QYQFIJQOTAWILK-UHFFFAOYSA-N 0 0 431.478 -0.033 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCCOCCF)CC2)cc1 ZINC001233757208 1073472858 /nfs/dbraw/zinc/47/28/58/1073472858.db2.gz GGCJALMBFVWQOR-UHFFFAOYSA-N 0 0 438.503 -0.664 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCc2cnc(N3CCOCC3)c(F)c2)CC1 ZINC001233774219 1073472874 /nfs/dbraw/zinc/47/28/74/1073472874.db2.gz PZQWIXROAOLLNQ-UHFFFAOYSA-N 0 0 430.506 -0.215 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(Cc3ccc4c(n3)OCCO4)C[C@@H]21)N1CCOCC1 ZINC001233858735 1073472800 /nfs/dbraw/zinc/47/28/00/1073472800.db2.gz IXPDBNZSKGRROA-JFIYKMOQSA-N 0 0 437.518 -0.053 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(Cc3ccc4c(n3)OCCO4)C[C@@H]21)N1CCOCC1 ZINC001233858739 1073472782 /nfs/dbraw/zinc/47/27/82/1073472782.db2.gz IXPDBNZSKGRROA-LZLYRXPVSA-N 0 0 437.518 -0.053 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1Cc1ccc2c(n1)OCCO2)C(=O)NCC(N)=O ZINC001233885490 1073472909 /nfs/dbraw/zinc/47/29/09/1073472909.db2.gz LMCCSZCJWXVUNV-HOTGVXAUSA-N 0 0 433.509 -0.050 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)CC1 ZINC001233891764 1073472938 /nfs/dbraw/zinc/47/29/38/1073472938.db2.gz QPMVNNUMBBWZRC-UHFFFAOYSA-N 0 0 439.604 -0.382 20 0 IBADRN CON(C)C(=O)[C@H](C)NC(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC001233908589 1073472772 /nfs/dbraw/zinc/47/27/72/1073472772.db2.gz QKLQHHGDWVAUSH-HOTGVXAUSA-N 0 0 435.529 -0.041 20 0 IBADRN CON(C)C(=O)[C@H](C)NC(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC001233908590 1073472722 /nfs/dbraw/zinc/47/27/22/1073472722.db2.gz QKLQHHGDWVAUSH-JKSUJKDBSA-N 0 0 435.529 -0.041 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N(C)CCN2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001233943391 1073472956 /nfs/dbraw/zinc/47/29/56/1073472956.db2.gz BRRLVQRACQHKHS-UHFFFAOYSA-N 0 0 448.590 -0.329 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(c3ccc(F)cc3C(N)=O)CC2)C1 ZINC001233943732 1073472843 /nfs/dbraw/zinc/47/28/43/1073472843.db2.gz FOBINCDQVKRRFA-UHFFFAOYSA-N 0 0 428.490 -0.753 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCOCC2)C1 ZINC001233944307 1073472899 /nfs/dbraw/zinc/47/28/99/1073472899.db2.gz KGIPSGWMOQCAON-KRWDZBQOSA-N 0 0 439.538 -0.754 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCOCC2)C1 ZINC001233944308 1073472948 /nfs/dbraw/zinc/47/29/48/1073472948.db2.gz KGIPSGWMOQCAON-QGZVFWFLSA-N 0 0 439.538 -0.754 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C3CN(C(=O)OC(C)(C)C)C3)CC2)C1 ZINC001233944749 1073473518 /nfs/dbraw/zinc/47/35/18/1073473518.db2.gz MZGPSHWWMWXLQJ-UHFFFAOYSA-N 0 0 446.574 -0.577 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)C1 ZINC001233944988 1073473444 /nfs/dbraw/zinc/47/34/44/1073473444.db2.gz PAHXANRZQVWJKC-UHFFFAOYSA-N 0 0 430.575 -0.793 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C(=O)c3ccc(F)cc3F)CC2)C1 ZINC001233945318 1073473289 /nfs/dbraw/zinc/47/32/89/1073473289.db2.gz RXYZCSVJDNBNHE-UHFFFAOYSA-N 0 0 431.465 -0.077 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)CCO1 ZINC001233945594 1073473526 /nfs/dbraw/zinc/47/35/26/1073473526.db2.gz VJNHXZWKRPGLIH-GXTWGEPZSA-N 0 0 435.547 -0.199 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)CCO1 ZINC001233945596 1073473494 /nfs/dbraw/zinc/47/34/94/1073473494.db2.gz VJNHXZWKRPGLIH-JSGCOSHPSA-N 0 0 435.547 -0.199 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)CCO1 ZINC001233945597 1073473545 /nfs/dbraw/zinc/47/35/45/1073473545.db2.gz VJNHXZWKRPGLIH-OCCSQVGLSA-N 0 0 435.547 -0.199 20 0 IBADRN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)CCO1 ZINC001233945599 1073473459 /nfs/dbraw/zinc/47/34/59/1073473459.db2.gz VJNHXZWKRPGLIH-TZMCWYRMSA-N 0 0 435.547 -0.199 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001233945980 1073473579 /nfs/dbraw/zinc/47/35/79/1073473579.db2.gz YZCZVMQVEYLPPT-UHFFFAOYSA-N 0 0 431.540 -0.807 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001233945988 1073473563 /nfs/dbraw/zinc/47/35/63/1073473563.db2.gz ZIHUXONRHMQMNG-GFCCVEGCSA-N 0 0 439.494 -0.395 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NCc1ccc(CS(=O)(=O)N(C)C)cc1 ZINC001234037351 1073473416 /nfs/dbraw/zinc/47/34/16/1073473416.db2.gz REBIVBRDRYGWSI-STQMWFEESA-N 0 0 428.511 -0.057 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC001234037407 1073473389 /nfs/dbraw/zinc/47/33/89/1073473389.db2.gz RVFYSDRJOHRNNH-GJZGRUSLSA-N 0 0 425.530 -0.362 20 0 IBADRN Cn1ccn2c(CNC(=O)NC3CCN(S(=O)(=O)C(C)(C)C)CC3)nnc2c1=O ZINC001234054413 1073473335 /nfs/dbraw/zinc/47/33/35/1073473335.db2.gz RRGXVWZMQPOGPI-UHFFFAOYSA-N 0 0 425.515 -0.180 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001234082999 1073473476 /nfs/dbraw/zinc/47/34/76/1073473476.db2.gz KPRCGAKHMOQITN-UHFFFAOYSA-N 0 0 448.590 -0.235 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC(CN=[S@@](C)(=O)N(C)C)CC1 ZINC001234091216 1073473571 /nfs/dbraw/zinc/47/35/71/1073473571.db2.gz DXWUBOVOZIWGKK-AREMUKBSSA-N 0 0 426.609 -0.185 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC(CN=[S@](C)(=O)N(C)C)CC1 ZINC001234091217 1073473551 /nfs/dbraw/zinc/47/35/51/1073473551.db2.gz DXWUBOVOZIWGKK-SANMLTNESA-N 0 0 426.609 -0.185 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cnc(Br)cn1)C2 ZINC001234129630 1073473500 /nfs/dbraw/zinc/47/35/00/1073473500.db2.gz AFJFFJDEUVNQEU-UHFFFAOYSA-N 0 0 439.274 -0.589 20 0 IBADRN CS(C)(=O)=NC(=O)CNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001234130723 1073474168 /nfs/dbraw/zinc/47/41/68/1073474168.db2.gz KZTFPSGXLOVRMM-UHFFFAOYSA-N 0 0 425.515 -0.601 20 0 IBADRN CS(C)(=O)=NC(=O)CNC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001234131624 1073474276 /nfs/dbraw/zinc/47/42/76/1073474276.db2.gz UGZMXSOAUSPTDS-UHFFFAOYSA-N 0 0 431.540 -0.398 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001234145246 1073474212 /nfs/dbraw/zinc/47/42/12/1073474212.db2.gz CPZCHNDQFZYGHV-IBGZPJMESA-N 0 0 441.506 -0.466 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001234145247 1073474234 /nfs/dbraw/zinc/47/42/34/1073474234.db2.gz CPZCHNDQFZYGHV-LJQANCHMSA-N 0 0 441.506 -0.466 20 0 IBADRN CC(C)(C)OC(=O)NCCCOCCNC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001234203543 1073474311 /nfs/dbraw/zinc/47/43/11/1073474311.db2.gz QEEIJZFODGKAMD-IBGZPJMESA-N 0 0 430.502 -0.155 20 0 IBADRN CC(C)(C)OC(=O)NCCCOCCNC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001234203545 1073474222 /nfs/dbraw/zinc/47/42/22/1073474222.db2.gz QEEIJZFODGKAMD-LJQANCHMSA-N 0 0 430.502 -0.155 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC001234247963 1073474294 /nfs/dbraw/zinc/47/42/94/1073474294.db2.gz BCPIWKOCCCLVDT-UHFFFAOYSA-N 0 0 430.911 -0.004 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1CCN(CC(=O)Nc2ccccc2OC)CC1 ZINC001234248807 1073474149 /nfs/dbraw/zinc/47/41/49/1073474149.db2.gz JAFFDWPOCVXEKI-UHFFFAOYSA-N 0 0 427.527 -0.100 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1CCN(c2ccccc2S(=O)(=O)NC)CC1 ZINC001234249832 1073474305 /nfs/dbraw/zinc/47/43/05/1073474305.db2.gz RQDVTKHYUVCSHH-UHFFFAOYSA-N 0 0 433.556 -0.634 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC001234294013 1073474125 /nfs/dbraw/zinc/47/41/25/1073474125.db2.gz FRPXLLUMEZMCGL-UHFFFAOYSA-N 0 0 433.509 -0.301 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC001234295141 1073474283 /nfs/dbraw/zinc/47/42/83/1073474283.db2.gz RFESUMVXXFHWOB-KRWDZBQOSA-N 0 0 434.493 -0.175 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC001234295146 1073474264 /nfs/dbraw/zinc/47/42/64/1073474264.db2.gz RFESUMVXXFHWOB-QGZVFWFLSA-N 0 0 434.493 -0.175 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC001234295622 1073474314 /nfs/dbraw/zinc/47/43/14/1073474314.db2.gz VSRPCEXCNUUDJY-UHFFFAOYSA-N 0 0 438.506 -0.158 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCC([C@@H]2OCC[C@H]2NC(=O)C(F)(F)F)CC1 ZINC001234427583 1073474988 /nfs/dbraw/zinc/47/49/88/1073474988.db2.gz BGOFWBADQYYQDA-NEPJUHHUSA-N 0 0 430.449 -0.207 20 0 IBADRN CNS(=O)(=O)CCNC(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001234440756 1073474663 /nfs/dbraw/zinc/47/46/63/1073474663.db2.gz QCQPZFVTOSGZRA-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccnc(-n3cncn3)c2)CC1 ZINC001234447777 1073475010 /nfs/dbraw/zinc/47/50/10/1073475010.db2.gz TWVUYZZHUVFTIS-UHFFFAOYSA-N 0 0 426.437 -0.835 20 0 IBADRN C[C@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1[nH]c(=O)nc2ccc(C(N)=O)cc21 ZINC001234519299 1073474969 /nfs/dbraw/zinc/47/49/69/1073474969.db2.gz QKHQIWNCSOOUCP-SECBINFHSA-N 0 0 425.405 -0.351 20 0 IBADRN C[C@@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1[nH]c(=O)nc2ccc(C(N)=O)cc21 ZINC001234519300 1073474894 /nfs/dbraw/zinc/47/48/94/1073474894.db2.gz QKHQIWNCSOOUCP-VIFPVBQESA-N 0 0 425.405 -0.351 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3COCCN3C)CC2)c1 ZINC001234559829 1073474979 /nfs/dbraw/zinc/47/49/79/1073474979.db2.gz RGKPLIJYDHCWLI-AWEZNQCLSA-N 0 0 446.551 -0.119 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3COCCN3C)CC2)c1 ZINC001234559832 1073474852 /nfs/dbraw/zinc/47/48/52/1073474852.db2.gz RGKPLIJYDHCWLI-CQSZACIVSA-N 0 0 446.551 -0.119 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCC(C)(C)CS(N)(=O)=O)CC1 ZINC001234591167 1073474714 /nfs/dbraw/zinc/47/47/14/1073474714.db2.gz ALSKNQFSWQYVIZ-UHFFFAOYSA-N 0 0 441.620 -0.005 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCC(C)(C)CS(N)(=O)=O)C1 ZINC001234592024 1073474804 /nfs/dbraw/zinc/47/48/04/1073474804.db2.gz LMEANGKWAGBEQA-CYBMUJFWSA-N 0 0 428.577 -0.617 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCC(C)(C)CS(N)(=O)=O)C1 ZINC001234592025 1073474840 /nfs/dbraw/zinc/47/48/40/1073474840.db2.gz LMEANGKWAGBEQA-ZDUSSCGKSA-N 0 0 428.577 -0.617 20 0 IBADRN O=C1N[C@@H](Cc2ccc(O[C@H]3CO[C@@H]4[C@H](O[N+](=O)[O-])CO[C@H]34)cc2)C(=O)N2CCC[C@@H]12 ZINC001234705468 1073474948 /nfs/dbraw/zinc/47/49/48/1073474948.db2.gz NYQLKXOXWZPORS-SJUNHDGSSA-N 0 0 433.417 -0.160 20 0 IBADRN O=C1N[C@@H](Cc2ccc(O[C@@H]3CO[C@H]4[C@@H]3OC[C@@H]4O[N+](=O)[O-])cc2)C(=O)N2CCC[C@@H]12 ZINC001234708945 1073475660 /nfs/dbraw/zinc/47/56/60/1073475660.db2.gz NYQLKXOXWZPORS-KHHDSSOXSA-N 0 0 433.417 -0.160 20 0 IBADRN Cc1cc(O[C@H](C[n+]2ccccc2)CS(=O)(=O)[O-])n2nc(S(C)(=O)=O)nc2n1 ZINC001234827599 1073475723 /nfs/dbraw/zinc/47/57/23/1073475723.db2.gz FGYRNOFNDFAMRC-GFCCVEGCSA-O 0 0 428.472 -0.541 20 0 IBADRN Cc1cc(O[C@@H](C[n+]2ccccc2)CS(=O)(=O)[O-])n2nc(S(C)(=O)=O)nc2n1 ZINC001234827601 1073475690 /nfs/dbraw/zinc/47/56/90/1073475690.db2.gz FGYRNOFNDFAMRC-LBPRGKRZSA-O 0 0 428.472 -0.541 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001234940285 1073475727 /nfs/dbraw/zinc/47/57/27/1073475727.db2.gz QCJBKPMGWCUQIB-UHFFFAOYSA-N 0 0 430.552 -0.043 20 0 IBADRN O=C(Cc1ccccc1F)N1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001235025788 1073475702 /nfs/dbraw/zinc/47/57/02/1073475702.db2.gz AWUXSGKEIXMBRV-NRFANRHFSA-N 0 0 434.468 -0.150 20 0 IBADRN O=C(Cc1ccccc1F)N1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001235025794 1073475641 /nfs/dbraw/zinc/47/56/41/1073475641.db2.gz AWUXSGKEIXMBRV-OAQYLSRUSA-N 0 0 434.468 -0.150 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)NC[C@]12COCCN1C(=O)COC2 ZINC001235026596 1073475605 /nfs/dbraw/zinc/47/56/05/1073475605.db2.gz DPMFRALJFWOEOC-GOSISDBHSA-N 0 0 426.495 -0.967 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)NC[C@@]12COCCN1C(=O)COC2 ZINC001235026598 1073475649 /nfs/dbraw/zinc/47/56/49/1073475649.db2.gz DPMFRALJFWOEOC-SFHVURJKSA-N 0 0 426.495 -0.967 20 0 IBADRN CS(=O)(=O)Nc1cccc2c1CCN(C(=O)NC[C@@]13COCCN1C(=O)COC3)C2 ZINC001235028065 1073475675 /nfs/dbraw/zinc/47/56/75/1073475675.db2.gz LLBOMLRCDXSZLN-IBGZPJMESA-N 0 0 438.506 -0.246 20 0 IBADRN CS(=O)(=O)Nc1cccc2c1CCN(C(=O)NC[C@]13COCCN1C(=O)COC3)C2 ZINC001235028077 1073475707 /nfs/dbraw/zinc/47/57/07/1073475707.db2.gz LLBOMLRCDXSZLN-LJQANCHMSA-N 0 0 438.506 -0.246 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@@H](CNC(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001235028128 1073475668 /nfs/dbraw/zinc/47/56/68/1073475668.db2.gz MCPAIEOFPCFZLV-IQUTYRLHSA-N 0 0 428.486 -0.859 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@@H](CNC(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001235028129 1073475713 /nfs/dbraw/zinc/47/57/13/1073475713.db2.gz MCPAIEOFPCFZLV-KSMMKXTCSA-N 0 0 428.486 -0.859 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1)N1CCCCCC1 ZINC001235028347 1073475655 /nfs/dbraw/zinc/47/56/55/1073475655.db2.gz OKMJQZLXLXBTIL-NRFANRHFSA-N 0 0 437.541 -0.656 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1)N1CCCCCC1 ZINC001235028354 1073476388 /nfs/dbraw/zinc/47/63/88/1073476388.db2.gz OKMJQZLXLXBTIL-OAQYLSRUSA-N 0 0 437.541 -0.656 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)N1CCC(S(=O)(=O)N2CCCCC2)CC1 ZINC001235028983 1073476131 /nfs/dbraw/zinc/47/61/31/1073476131.db2.gz RANIAFOXYACUAC-IBGZPJMESA-N 0 0 444.554 -0.396 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CCC(S(=O)(=O)N2CCCCC2)CC1 ZINC001235028984 1073476283 /nfs/dbraw/zinc/47/62/83/1073476283.db2.gz RANIAFOXYACUAC-LJQANCHMSA-N 0 0 444.554 -0.396 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)NC[C@@]13COCCN1C(=O)COC3)C2 ZINC001235029098 1073476377 /nfs/dbraw/zinc/47/63/77/1073476377.db2.gz RHTUWHQBJWMPFK-IBGZPJMESA-N 0 0 438.506 -0.710 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)NC[C@]13COCCN1C(=O)COC3)C2 ZINC001235029129 1073476243 /nfs/dbraw/zinc/47/62/43/1073476243.db2.gz RHTUWHQBJWMPFK-LJQANCHMSA-N 0 0 438.506 -0.710 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)NC[C@@]12COCCN1C(=O)COC2 ZINC001235030935 1073476269 /nfs/dbraw/zinc/47/62/69/1073476269.db2.gz WFGRGJLDFXXNJT-FQEVSTJZSA-N 0 0 431.449 -0.835 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)NC[C@]12COCCN1C(=O)COC2 ZINC001235030941 1073476382 /nfs/dbraw/zinc/47/63/82/1073476382.db2.gz WFGRGJLDFXXNJT-HXUWFJFHSA-N 0 0 431.449 -0.835 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001235031534 1073476321 /nfs/dbraw/zinc/47/63/21/1073476321.db2.gz YKSGHTAJQRTOSK-NRFANRHFSA-N 0 0 440.541 -0.033 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001235031545 1073476426 /nfs/dbraw/zinc/47/64/26/1073476426.db2.gz YKSGHTAJQRTOSK-OAQYLSRUSA-N 0 0 440.541 -0.033 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001235106646 1073476336 /nfs/dbraw/zinc/47/63/36/1073476336.db2.gz MXLLBVNBAOTYRB-CZUORRHYSA-N 0 0 426.495 -0.256 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)c(F)c1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001235136031 1073476144 /nfs/dbraw/zinc/47/61/44/1073476144.db2.gz DZKOKIKERLGFJO-INIZCTEOSA-N 0 0 435.499 -0.286 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)c(F)c1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001235136032 1073476355 /nfs/dbraw/zinc/47/63/55/1073476355.db2.gz DZKOKIKERLGFJO-MRXNPFEDSA-N 0 0 435.499 -0.286 20 0 IBADRN COC(=O)c1ccnc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)c1 ZINC001235203289 1073476450 /nfs/dbraw/zinc/47/64/50/1073476450.db2.gz MLENVVGLMDDXSS-KZNAEPCWSA-N 0 0 437.518 -0.038 20 0 IBADRN COC(=O)c1ccnc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)c1 ZINC001235203290 1073476438 /nfs/dbraw/zinc/47/64/38/1073476438.db2.gz MLENVVGLMDDXSS-RCCFBDPRSA-N 0 0 437.518 -0.038 20 0 IBADRN COC(=O)c1ccnc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)c1 ZINC001235208272 1073476256 /nfs/dbraw/zinc/47/62/56/1073476256.db2.gz YMKKETSNGGNVKE-IRXDYDNUSA-N 0 0 433.509 -0.035 20 0 IBADRN Cn1cc(CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c2cccnc21 ZINC001235293405 1073477238 /nfs/dbraw/zinc/47/72/38/1073477238.db2.gz WGZGYWTWYLTXEN-UHFFFAOYSA-N 0 0 449.581 -0.065 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCc2cccc(C(=O)N3CCOCC3)c2)CC1 ZINC001235327603 1073477263 /nfs/dbraw/zinc/47/72/63/1073477263.db2.gz GTPIVXAGBUOCDU-UHFFFAOYSA-N 0 0 439.538 -0.113 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCc1cccc(C(=O)N2CCOCC2)c1 ZINC001235328900 1073477214 /nfs/dbraw/zinc/47/72/14/1073477214.db2.gz QZQCQEUQYUIDLT-KRWDZBQOSA-N 0 0 440.522 -0.381 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCc1cccc(C(=O)N2CCOCC2)c1 ZINC001235328901 1073477154 /nfs/dbraw/zinc/47/71/54/1073477154.db2.gz QZQCQEUQYUIDLT-QGZVFWFLSA-N 0 0 440.522 -0.381 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NCC1CN(S(C)(=O)=O)C1 ZINC001235344484 1073477205 /nfs/dbraw/zinc/47/72/05/1073477205.db2.gz UQMVQOBAIVHESU-UHFFFAOYSA-N 0 0 427.268 -0.285 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@]23COC(=O)N2CCOC3)CC1 ZINC001235372812 1073477135 /nfs/dbraw/zinc/47/71/35/1073477135.db2.gz KRJDFILWNQWEIO-KRWDZBQOSA-N 0 0 434.515 -0.710 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NC[C@@]23COC(=O)N2CCOC3)CC1 ZINC001235372815 1073477218 /nfs/dbraw/zinc/47/72/18/1073477218.db2.gz KRJDFILWNQWEIO-QGZVFWFLSA-N 0 0 434.515 -0.710 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCc1ccc(CN2C(=O)CNC2=O)cc1 ZINC001235387103 1073477253 /nfs/dbraw/zinc/47/72/53/1073477253.db2.gz FZFGIVADKMOSIZ-HNNXBMFYSA-N 0 0 439.494 -0.802 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCc1ccc(CN2C(=O)CNC2=O)cc1 ZINC001235387106 1073477259 /nfs/dbraw/zinc/47/72/59/1073477259.db2.gz FZFGIVADKMOSIZ-OAHLLOKOSA-N 0 0 439.494 -0.802 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCc3ccc(CN4C(=O)CNC4=O)cc3)CC2)C1=O ZINC001235387601 1073477089 /nfs/dbraw/zinc/47/70/89/1073477089.db2.gz JILVGTDTTDNQMU-UHFFFAOYSA-N 0 0 428.449 -0.036 20 0 IBADRN O=S1(=O)Cc2cnc(N3CCOCC3)nc2[C@H]2CN(Cc3cn4c(n3)CNCC4)C[C@H]21 ZINC001235459929 1073477231 /nfs/dbraw/zinc/47/72/31/1073477231.db2.gz RYVWRYCIVYREMX-DLBZAZTESA-N 0 0 445.549 -0.491 20 0 IBADRN O=S1(=O)Cc2cnc(N3CCOCC3)nc2[C@H]2CN(Cc3cnc4n3CCNC4)C[C@H]21 ZINC001235459980 1073477177 /nfs/dbraw/zinc/47/71/77/1073477177.db2.gz SJAJGFINSVPYHS-DLBZAZTESA-N 0 0 445.549 -0.491 20 0 IBADRN CN(C)c1ncc2c(n1)[C@H]1CN(C[C@@H]3O[C@H]4OC(C)(C)O[C@H]4[C@@H]3O)C[C@H]1S(=O)(=O)C2 ZINC001235468448 1073477197 /nfs/dbraw/zinc/47/71/97/1073477197.db2.gz YEPVIKRRLOVSDL-ISNIPMMDSA-N 0 0 440.522 -0.524 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(CC3=CS[C@@H](N)N3)CC[C@@H]12 ZINC001235492309 1073478251 /nfs/dbraw/zinc/47/82/51/1073478251.db2.gz GMSCLBUNHMBZIY-NJAFHUGGSA-N 0 0 445.611 -0.619 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(CC3=CS[C@H](N)N3)CC[C@@H]12 ZINC001235492314 1073478226 /nfs/dbraw/zinc/47/82/26/1073478226.db2.gz GMSCLBUNHMBZIY-NXHRZFHOSA-N 0 0 445.611 -0.619 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(Cc3cnc(N)cn3)CC[C@@H]12 ZINC001235493497 1073478098 /nfs/dbraw/zinc/47/80/98/1073478098.db2.gz MXJSSSQEORGZSY-APWZRJJASA-N 0 0 438.554 -0.466 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C[C@@H]1O[C@H]3OC(C)(C)O[C@H]3[C@@H]1O)CC2 ZINC001235497398 1073477953 /nfs/dbraw/zinc/47/79/53/1073477953.db2.gz IRBIRRNCKJRXGA-NFBUACBFSA-N 0 0 435.525 -0.597 20 0 IBADRN CC(C)C(=O)NCc1cc(=O)n2c(n1)CN(C[C@@H]1O[C@H]3OC(C)(C)O[C@H]3[C@@H]1O)CCC2 ZINC001235498567 1073478275 /nfs/dbraw/zinc/47/82/75/1073478275.db2.gz RWWQGLSNJSEPRP-MKNHVETJSA-N 0 0 436.509 -0.042 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(Cc2cnc4n2CCNC4)CC3)C1=O ZINC001235524618 1073478080 /nfs/dbraw/zinc/47/80/80/1073478080.db2.gz BPRUVBHWFSDBFN-FQEVSTJZSA-N 0 0 436.582 -0.308 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(Cc2cnc4n2CCNC4)CC3)C1=O ZINC001235524620 1073478186 /nfs/dbraw/zinc/47/81/86/1073478186.db2.gz BPRUVBHWFSDBFN-HXUWFJFHSA-N 0 0 436.582 -0.308 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(Cc2cn4c(n2)CNCC4)CC3)C1=O ZINC001235527864 1073477897 /nfs/dbraw/zinc/47/78/97/1073477897.db2.gz XRVRPSVKCPOMNO-FQEVSTJZSA-N 0 0 436.582 -0.308 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(Cc2cn4c(n2)CNCC4)CC3)C1=O ZINC001235527866 1073478997 /nfs/dbraw/zinc/47/89/97/1073478997.db2.gz XRVRPSVKCPOMNO-HXUWFJFHSA-N 0 0 436.582 -0.308 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@H](NC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1=O ZINC001235546857 1073478806 /nfs/dbraw/zinc/47/88/06/1073478806.db2.gz TZALRVALQPGOGO-KBPBESRZSA-N 0 0 445.542 -0.747 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@H](NC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1=O ZINC001235546883 1073478658 /nfs/dbraw/zinc/47/86/58/1073478658.db2.gz TZALRVALQPGOGO-KGLIPLIRSA-N 0 0 445.542 -0.747 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@@H](NC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1=O ZINC001235546889 1073478800 /nfs/dbraw/zinc/47/88/00/1073478800.db2.gz TZALRVALQPGOGO-UONOGXRCSA-N 0 0 445.542 -0.747 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@@H](NC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1=O ZINC001235546893 1073478719 /nfs/dbraw/zinc/47/87/19/1073478719.db2.gz TZALRVALQPGOGO-ZIAGYGMSSA-N 0 0 445.542 -0.747 20 0 IBADRN CN(C)C(=O)NCc1cc(=O)n2c(n1)CN(C[C@@H]1O[C@H]3OC(C)(C)O[C@H]3[C@@H]1O)CCC2 ZINC001235548185 1073478977 /nfs/dbraw/zinc/47/89/77/1073478977.db2.gz TVJKBMKXPSEFAO-NBMRYCAZSA-N 0 0 437.497 -0.543 20 0 IBADRN O=C(NC[C@H]1CC[C@]2(COCCN(Cc3cnc4n3CCNC4)C2)O1)c1cnccn1 ZINC001235552149 1073478885 /nfs/dbraw/zinc/47/88/85/1073478885.db2.gz YMYCQPNNMSHVMU-UTKZUKDTSA-N 0 0 427.509 -0.044 20 0 IBADRN O=C(NC[C@@H]1CC[C@]2(COCCN(Cc3cnc4n3CCNC4)C2)O1)c1cnccn1 ZINC001235552158 1073478844 /nfs/dbraw/zinc/47/88/44/1073478844.db2.gz YMYCQPNNMSHVMU-UWJYYQICSA-N 0 0 427.509 -0.044 20 0 IBADRN O=C(NC[C@H]1CC[C@]2(COCCN(Cc3cn4c(n3)CNCC4)C2)O1)c1cnccn1 ZINC001235552206 1073478631 /nfs/dbraw/zinc/47/86/31/1073478631.db2.gz ZKDRFOGPPQQWMA-UTKZUKDTSA-N 0 0 427.509 -0.044 20 0 IBADRN O=C(NC[C@@H]1CC[C@]2(COCCN(Cc3cn4c(n3)CNCC4)C2)O1)c1cnccn1 ZINC001235552207 1073478793 /nfs/dbraw/zinc/47/87/93/1073478793.db2.gz ZKDRFOGPPQQWMA-UWJYYQICSA-N 0 0 427.509 -0.044 20 0 IBADRN O=S1(=O)[C@H]2C[C@@H]3CN(Cc4cnc5n4CCNC5)C[C@@]2(CN1Cc1ccncc1)O3 ZINC001235570698 1073479530 /nfs/dbraw/zinc/47/95/30/1073479530.db2.gz RKSNHLMDERAVNK-HBFSDRIKSA-N 0 0 430.534 -0.061 20 0 IBADRN O=S1(=O)[C@H]2C[C@@H]3CN(Cc4cn5c(n4)CNCC5)C[C@@]2(CN1Cc1ccncc1)O3 ZINC001235570782 1073479718 /nfs/dbraw/zinc/47/97/18/1073479718.db2.gz SHQLYZCJJYTJMA-HBFSDRIKSA-N 0 0 430.534 -0.061 20 0 IBADRN CC1(C)O[C@@H]2O[C@@H](CN3CCO[C@@H]4[C@@H](CNC(=O)c5ccnnc5)CC[C@H]43)[C@@H](O)[C@@H]2O1 ZINC001235588299 1073479738 /nfs/dbraw/zinc/47/97/38/1073479738.db2.gz JLQNXAAMOQDLQK-ADEZNJNTSA-N 0 0 434.493 -0.077 20 0 IBADRN CC1(C)O[C@@H]2O[C@@H](CN3CCO[C@H]4[C@H]3CC[C@H]4CNC(=O)c3ccnnc3)[C@@H](O)[C@@H]2O1 ZINC001235588301 1073479616 /nfs/dbraw/zinc/47/96/16/1073479616.db2.gz JLQNXAAMOQDLQK-LWCROZKZSA-N 0 0 434.493 -0.077 20 0 IBADRN CC1(C)O[C@@H]2O[C@@H](CN3CCO[C@@H]4[C@@H](CNC(=O)c5ccnnc5)CC[C@@H]43)[C@@H](O)[C@@H]2O1 ZINC001235588303 1073479671 /nfs/dbraw/zinc/47/96/71/1073479671.db2.gz JLQNXAAMOQDLQK-MZCGBVDWSA-N 0 0 434.493 -0.077 20 0 IBADRN CC1(C)O[C@@H]2O[C@@H](CN3CCO[C@@H]4[C@H](CNC(=O)c5ccnnc5)CC[C@@H]43)[C@@H](O)[C@@H]2O1 ZINC001235588305 1073479693 /nfs/dbraw/zinc/47/96/93/1073479693.db2.gz JLQNXAAMOQDLQK-UPUUQKQESA-N 0 0 434.493 -0.077 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(C[C@@H]4O[C@H]5OC(C)(C)O[C@H]5[C@@H]4O)C3)OCC2=O)cn1 ZINC001235593148 1073479563 /nfs/dbraw/zinc/47/95/63/1073479563.db2.gz KGWXVPIXBBEUNK-IZOMPHCZSA-N 0 0 438.481 -0.908 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(C[C@@H]4O[C@H]5OC(C)(C)O[C@H]5[C@@H]4O)C3)OCC2=O)cn1 ZINC001235593149 1073479503 /nfs/dbraw/zinc/47/95/03/1073479503.db2.gz KGWXVPIXBBEUNK-PIUDJOPYSA-N 0 0 438.481 -0.908 20 0 IBADRN CC(C)CN1C[C@@]23CN(C[C@@H]4O[C@H]5OC(C)(C)O[C@H]5[C@@H]4O)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001235614820 1073480628 /nfs/dbraw/zinc/48/06/28/1073480628.db2.gz IRJSRHHUHXXLHV-PFMHHQEISA-N 0 0 432.539 -0.263 20 0 IBADRN CC1(C)O[C@@H]2O[C@@H](CN3CCCn4c(=O)cc(CNC(=O)C5CC5)nc4C3)[C@@H](O)[C@@H]2O1 ZINC001235617477 1073480674 /nfs/dbraw/zinc/48/06/74/1073480674.db2.gz FOCNYSMFZREROZ-MKNHVETJSA-N 0 0 434.493 -0.288 20 0 IBADRN CC1(C)O[C@@H]2O[C@@H](CN3Cc4nccn4C[C@H](CNC(=O)c4ccnnc4)C3)[C@@H](O)[C@@H]2O1 ZINC001235636476 1073480727 /nfs/dbraw/zinc/48/07/27/1073480727.db2.gz GUZGVTNSNRVTBE-RCYGISQKSA-N 0 0 444.492 -0.228 20 0 IBADRN CC1(C)O[C@@H]2O[C@@H](CN3Cc4nccn4C[C@@H](CNC(=O)c4ccnnc4)C3)[C@@H](O)[C@@H]2O1 ZINC001235636488 1073480649 /nfs/dbraw/zinc/48/06/49/1073480649.db2.gz GUZGVTNSNRVTBE-RGSPPTOCSA-N 0 0 444.492 -0.228 20 0 IBADRN Cn1cc(C(=O)NCc2cnc3n2CCN(C[C@@H]2O[C@H]4OC(C)(C)O[C@H]4[C@@H]2O)CC3)cn1 ZINC001235643146 1073480500 /nfs/dbraw/zinc/48/05/00/1073480500.db2.gz INRLJMXTIHKVQD-NFBUACBFSA-N 0 0 446.508 -0.358 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(Cc1cn3c(n1)CNCC3)CCC2 ZINC001235645632 1073480719 /nfs/dbraw/zinc/48/07/19/1073480719.db2.gz ILZXIWAQNHQRGI-UHFFFAOYSA-N 0 0 437.508 -0.388 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(Cc1cnc3n1CCNC3)CCC2 ZINC001235645989 1073480593 /nfs/dbraw/zinc/48/05/93/1073480593.db2.gz MBNFSCJTNQWQKD-UHFFFAOYSA-N 0 0 437.508 -0.388 20 0 IBADRN Cn1ccnc1C(=O)NCc1cnc2n1CCN(C[C@@H]1O[C@H]3OC(C)(C)O[C@H]3[C@@H]1O)CC2 ZINC001235651536 1073480572 /nfs/dbraw/zinc/48/05/72/1073480572.db2.gz BODONAYVVSIVPT-FORWCCJISA-N 0 0 446.508 -0.358 20 0 IBADRN Cn1ncc(I)c1CNC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001235703820 1073481340 /nfs/dbraw/zinc/48/13/40/1073481340.db2.gz GNBLLBCRVFSGON-SECBINFHSA-N 0 0 442.279 -0.020 20 0 IBADRN Cn1ncc(I)c1CNC(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001235703821 1073481147 /nfs/dbraw/zinc/48/11/47/1073481147.db2.gz GNBLLBCRVFSGON-VIFPVBQESA-N 0 0 442.279 -0.020 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC001235783229 1073481160 /nfs/dbraw/zinc/48/11/60/1073481160.db2.gz ZKWUBHBIAWFRRD-UHFFFAOYSA-N 0 0 429.453 -0.097 20 0 IBADRN COC(=O)CN(CC(=O)OC)Cc1ccc(S(C)(=O)=O)cc1N1CCN(C)CC1 ZINC001236937627 1073481351 /nfs/dbraw/zinc/48/13/51/1073481351.db2.gz AAWYTSSKAJMSDY-UHFFFAOYSA-N 0 0 427.523 -0.010 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2CN2CC(N3CCN(C)C(=O)C3)C2)CC1 ZINC001236937903 1073481315 /nfs/dbraw/zinc/48/13/15/1073481315.db2.gz DDTOGWDTZQXCFD-UHFFFAOYSA-N 0 0 435.594 -0.200 20 0 IBADRN CN1CCN(c2cc(S(C)(=O)=O)ccc2CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC001236948223 1073481189 /nfs/dbraw/zinc/48/11/89/1073481189.db2.gz TYOBHYINDRAWAR-UHFFFAOYSA-N 0 0 430.596 -0.081 20 0 IBADRN CCOC(=O)c1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)[nH]n1 ZINC001237029061 1073481259 /nfs/dbraw/zinc/48/12/59/1073481259.db2.gz FGSUOFZKANNZPN-BFYDXBDKSA-N 0 0 440.522 -0.320 20 0 IBADRN CCOC(=O)c1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)[nH]n1 ZINC001237029072 1073481227 /nfs/dbraw/zinc/48/12/27/1073481227.db2.gz FGSUOFZKANNZPN-ZMSDIMECSA-N 0 0 440.522 -0.320 20 0 IBADRN CCOC(=O)c1cc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)[nH]n1 ZINC001237033747 1073481281 /nfs/dbraw/zinc/48/12/81/1073481281.db2.gz WXCBQXSBNAJMPC-HOCLYGCPSA-N 0 0 436.513 -0.317 20 0 IBADRN CCOC(=O)c1cc(CN2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)[nH]n1 ZINC001237034433 1073481399 /nfs/dbraw/zinc/48/13/99/1073481399.db2.gz ZMIQAKSBOMINPP-CZUORRHYSA-N 0 0 427.527 -0.099 20 0 IBADRN COC(=O)c1cc(CN2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)nn1C ZINC001237562350 1073482645 /nfs/dbraw/zinc/48/26/45/1073482645.db2.gz WQUGMLPMOYXAMQ-ZMSDIMECSA-N 0 0 440.522 -0.699 20 0 IBADRN COC(=O)c1cc(CN2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)nn1C ZINC001237563757 1073482583 /nfs/dbraw/zinc/48/25/83/1073482583.db2.gz MJLZERYBNZEWIZ-CZUORRHYSA-N 0 0 427.527 -0.479 20 0 IBADRN COC(=O)c1cc(CN2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)nn1C ZINC001237570036 1073482636 /nfs/dbraw/zinc/48/26/36/1073482636.db2.gz YMTXRZVOOPIITI-GJZGRUSLSA-N 0 0 436.513 -0.697 20 0 IBADRN O=S(=O)(CC1CC[NH2+]CC1)[N-]c1ccccc1S(=O)(=O)N1CCN(CCO)CC1 ZINC001237646846 1073482820 /nfs/dbraw/zinc/48/28/20/1073482820.db2.gz QIWKECCATVFZNZ-UHFFFAOYSA-N 0 0 446.595 -0.274 20 0 IBADRN O=S(=O)([N-]c1ccccc1S(=O)(=O)N1CCN(CCO)CC1)C1CC[NH2+]CC1 ZINC001237647609 1073482530 /nfs/dbraw/zinc/48/25/30/1073482530.db2.gz XRMKLRDNXHTOOI-UHFFFAOYSA-N 0 0 432.568 -0.521 20 0 IBADRN O=S(=O)(NC[C@@H]1CC(F)(F)CN1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238109490 1073482840 /nfs/dbraw/zinc/48/28/40/1073482840.db2.gz WEUPSYUXDMFETE-LBPRGKRZSA-N 0 0 425.479 -0.017 20 0 IBADRN CCOc1ccc(NC(C)=O)cc1S(=O)(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001238121900 1073483633 /nfs/dbraw/zinc/48/36/33/1073483633.db2.gz AYYRWGJYYNESNT-UHFFFAOYSA-N 0 0 434.540 -0.443 20 0 IBADRN COC(=O)c1sc(Cl)cc1S(=O)(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001238121972 1073483586 /nfs/dbraw/zinc/48/35/86/1073483586.db2.gz CZAPLLXUBQFHBT-UHFFFAOYSA-N 0 0 431.945 -0.299 20 0 IBADRN COc1cc(Br)ccc1S(=O)(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001238122190 1073483600 /nfs/dbraw/zinc/48/36/00/1073483600.db2.gz GEPPDHXLDUUFHC-UHFFFAOYSA-N 0 0 442.357 -0.029 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001238123001 1073483475 /nfs/dbraw/zinc/48/34/75/1073483475.db2.gz NFMUSWGNGYOYQS-UHFFFAOYSA-N 0 0 435.524 -0.615 20 0 IBADRN CCOc1ccc(NC(=O)NC)cc1S(=O)(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001238123408 1073483645 /nfs/dbraw/zinc/48/36/45/1073483645.db2.gz OKNQWEMYVOVGTJ-UHFFFAOYSA-N 0 0 449.555 -0.650 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCNCC2)c(Br)c1 ZINC001238123430 1073483621 /nfs/dbraw/zinc/48/36/21/1073483621.db2.gz OLPAOTURNGVZGG-UHFFFAOYSA-N 0 0 442.357 -0.029 20 0 IBADRN COc1ccc(Br)cc1S(=O)(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001238123664 1073483509 /nfs/dbraw/zinc/48/35/09/1073483509.db2.gz QUJQUQSYRLVTBU-UHFFFAOYSA-N 0 0 442.357 -0.029 20 0 IBADRN COC(=O)c1ccc(Cl)cc1S(=O)(=O)NCCS(=O)(=O)N1CCNCC1 ZINC001238124470 1073483667 /nfs/dbraw/zinc/48/36/67/1073483667.db2.gz WEPYQMMGSXRXMD-UHFFFAOYSA-N 0 0 425.916 -0.360 20 0 IBADRN COc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCNCC2)cc1Br ZINC001238124822 1073483493 /nfs/dbraw/zinc/48/34/93/1073483493.db2.gz XHHGIRQLCAEQFJ-UHFFFAOYSA-N 0 0 442.357 -0.029 20 0 IBADRN Cn1nncc1CN1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001238156586 1073483544 /nfs/dbraw/zinc/48/35/44/1073483544.db2.gz HSPNIFMBDVNXRM-AEFFLSMTSA-N 0 0 426.543 -0.710 20 0 IBADRN O=C([O-])c1ccc(Br)c(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C23C[NH2+]C3)c1 ZINC001238245049 1073484333 /nfs/dbraw/zinc/48/43/33/1073484333.db2.gz ZSJPNQUMRNYWIQ-LLVKDONJSA-N 0 0 439.309 -0.045 20 0 IBADRN O=C([O-])c1ccc(Br)c(S(=O)(=O)N[C@H]2CCS(=O)(=O)C23C[NH2+]C3)c1 ZINC001238245058 1073484244 /nfs/dbraw/zinc/48/42/44/1073484244.db2.gz ZSJPNQUMRNYWIQ-NSHDSACASA-N 0 0 439.309 -0.045 20 0 IBADRN COC(=O)[C@@H](N)CCCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238424409 1073485716 /nfs/dbraw/zinc/48/57/16/1073485716.db2.gz DIJJWSBTRDOTND-INIZCTEOSA-N 0 0 449.551 -0.344 20 0 IBADRN [NH3+]CCCOCCOCCOCCC[N-]S(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001238438161 1073486429 /nfs/dbraw/zinc/48/64/29/1073486429.db2.gz NJKFAAPFCFTVIC-UHFFFAOYSA-N 0 0 436.922 -0.155 20 0 IBADRN Cn1c(=O)c([O-])c(C(=O)NCc2ccc(F)cc2)nc1C(C)(C)NS(=O)(=O)CC[NH3+] ZINC001238440935 1073486271 /nfs/dbraw/zinc/48/62/71/1073486271.db2.gz HRLOMWLQSCAXTN-UHFFFAOYSA-N 0 0 441.485 -0.332 20 0 IBADRN O=S(=O)(N[C@H]1CCNCC1(F)F)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238455832 1073486368 /nfs/dbraw/zinc/48/63/68/1073486368.db2.gz KUYALSUVMJDVNW-AWEZNQCLSA-N 0 0 425.479 -0.017 20 0 IBADRN O=S(=O)(N[C@@H]1CCNCC1(F)F)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238455837 1073486331 /nfs/dbraw/zinc/48/63/31/1073486331.db2.gz KUYALSUVMJDVNW-CQSZACIVSA-N 0 0 425.479 -0.017 20 0 IBADRN [NH3+]CCOCCOCCOCCOCCNS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001238456297 1073486520 /nfs/dbraw/zinc/48/65/20/1073486520.db2.gz SCKIXNACWCBNTB-UHFFFAOYSA-N 0 0 438.474 -0.173 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCOCCOCCOCCOCC[NH3+])cc1C(=O)[O-] ZINC001238467965 1073486238 /nfs/dbraw/zinc/48/62/38/1073486238.db2.gz CHUDJDXOSQCOKV-UHFFFAOYSA-N 0 0 434.511 -0.003 20 0 IBADRN [NH3+]CCOCCOCCOCCOCCNS(=O)(=O)c1ccc(O)c(C(=O)[O-])c1 ZINC001238468410 1073487100 /nfs/dbraw/zinc/48/71/00/1073487100.db2.gz HBYICQQZTUTJEH-UHFFFAOYSA-N 0 0 436.483 -0.606 20 0 IBADRN [NH3+]CCOCCOCCOCCOCCNS(=O)(=O)c1ccc(F)c(C(=O)[O-])c1 ZINC001238468501 1073487116 /nfs/dbraw/zinc/48/71/16/1073487116.db2.gz KIDJZSYKKWLZRM-UHFFFAOYSA-N 0 0 438.474 -0.173 20 0 IBADRN Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCCOCCOCCOCCOCC[NH3+] ZINC001238469431 1073487125 /nfs/dbraw/zinc/48/71/25/1073487125.db2.gz ZILXUXXAGSZQEW-UHFFFAOYSA-N 0 0 434.511 -0.003 20 0 IBADRN O=S(=O)(N[C@H]1CNCC(F)(F)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238499359 1073487139 /nfs/dbraw/zinc/48/71/39/1073487139.db2.gz JPQAVSWRHBTGQP-GFCCVEGCSA-N 0 0 425.479 -0.017 20 0 IBADRN O=S(=O)(N[C@@H]1CNCC(F)(F)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238499360 1073487045 /nfs/dbraw/zinc/48/70/45/1073487045.db2.gz JPQAVSWRHBTGQP-LBPRGKRZSA-N 0 0 425.479 -0.017 20 0 IBADRN CCOC(=O)[C@H]([N-]S(=O)(=O)C1CC[NH2+]CC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001238524606 1073487525 /nfs/dbraw/zinc/48/75/25/1073487525.db2.gz FECJBZWWPFRVRP-CVEARBPZSA-N 0 0 434.536 -0.273 20 0 IBADRN CCOC(=O)[C@H]([N-]S(=O)(=O)CC1CC[NH2+]CC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001238525154 1073487726 /nfs/dbraw/zinc/48/77/26/1073487726.db2.gz RXIMYKGQQDJVLN-SJORKVTESA-N 0 0 448.563 -0.026 20 0 IBADRN O=S(=O)(NC1(C(F)(F)F)CNC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238564912 1073487650 /nfs/dbraw/zinc/48/76/50/1073487650.db2.gz KISRTKLSNDDMEA-UHFFFAOYSA-N 0 0 429.442 -0.110 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)NC[C@H](N)C(=O)OC ZINC001238581786 1073487768 /nfs/dbraw/zinc/48/77/68/1073487768.db2.gz JQZUZBUVTZXJIM-ZDUSSCGKSA-N 0 0 430.483 -0.272 20 0 IBADRN COC(=O)[C@@H](N)CNS(=O)(=O)c1ccc(NC(=O)N2CCN(C)CC2)cc1Cl ZINC001238582403 1073487592 /nfs/dbraw/zinc/48/75/92/1073487592.db2.gz NJGFSQUXLVVFNT-ZDUSSCGKSA-N 0 0 433.918 -0.102 20 0 IBADRN Nc1ccc(S(=O)(=O)NCCOCCOCCOCCOCCOCCO)cc1 ZINC001238594040 1073487837 /nfs/dbraw/zinc/48/78/37/1073487837.db2.gz ARPCYJMCBZRAQE-UHFFFAOYSA-N 0 0 436.527 -0.378 20 0 IBADRN CCOC(=O)c1sc(S(=O)(=O)[N-]CCOCCOCCOCC[NH3+])nc1C ZINC001238610927 1073488359 /nfs/dbraw/zinc/48/83/59/1073488359.db2.gz OWOPMSDEUYRQHH-UHFFFAOYSA-N 0 0 425.529 -0.085 20 0 IBADRN O=C1CNCCN1CCNS(=O)(=O)c1ccc(F)c(S(=O)(=O)C(F)(F)F)c1 ZINC001238799326 1073489754 /nfs/dbraw/zinc/48/97/54/1073489754.db2.gz AYAWNPCKINIFLO-UHFFFAOYSA-N 0 0 433.405 -0.171 20 0 IBADRN O=C(N1CCc2ccc(S(=O)(=O)NCCN3CCNCC3=O)cc2C1)C(F)(F)F ZINC001238800022 1073489842 /nfs/dbraw/zinc/48/98/42/1073489842.db2.gz GZENBZLDHXZBOA-UHFFFAOYSA-N 0 0 434.440 -0.156 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(Cc3cn(-c4cnccn4)cn3)C[C@@H]21)N1CCOCC1 ZINC001238807242 1073489809 /nfs/dbraw/zinc/48/98/09/1073489809.db2.gz JMYGSNSFICERKA-KZNAEPCWSA-N 0 0 446.533 -0.244 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(Cc3cn(-c4cnccn4)cn3)C[C@@H]21)N1CCOCC1 ZINC001238807246 1073489903 /nfs/dbraw/zinc/48/99/03/1073489903.db2.gz JMYGSNSFICERKA-RCCFBDPRSA-N 0 0 446.533 -0.244 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1Cc1cn(-c2cnccn2)cn1)C(=O)NCC(N)=O ZINC001238810181 1073489877 /nfs/dbraw/zinc/48/98/77/1073489877.db2.gz CZWGWIBAOZTXAU-IRXDYDNUSA-N 0 0 442.524 -0.241 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(Cc3cn(-c4cnccn4)cn3)CC[C@H]2S1(=O)=O ZINC001238811927 1073489774 /nfs/dbraw/zinc/48/97/74/1073489774.db2.gz SXMFJGNOVXQKAR-NVXWUHKLSA-N 0 0 433.538 -0.024 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1 ZINC001238888240 1073489899 /nfs/dbraw/zinc/48/98/99/1073489899.db2.gz WEVXAEGRHVVPAW-BBRMVZONSA-N 0 0 447.535 -0.721 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](N)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238895493 1073489864 /nfs/dbraw/zinc/48/98/64/1073489864.db2.gz JNPSSTOFWXAGSF-HNNXBMFYSA-N 0 0 449.551 -0.345 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCNCC(F)(F)C2)cc1)N1CCOCC1 ZINC001238988869 1073491344 /nfs/dbraw/zinc/49/13/44/1073491344.db2.gz NHBMLWYCHNNTJB-UHFFFAOYSA-N 0 0 425.479 -0.063 20 0 IBADRN CCOC(=O)[C@@H]1CNCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238989862 1073491275 /nfs/dbraw/zinc/49/12/75/1073491275.db2.gz VZQGIAXLWCIRQE-INIZCTEOSA-N 0 0 447.535 -0.767 20 0 IBADRN CCOC(=O)[C@H]1CNCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001238989863 1073491327 /nfs/dbraw/zinc/49/13/27/1073491327.db2.gz VZQGIAXLWCIRQE-MRXNPFEDSA-N 0 0 447.535 -0.767 20 0 IBADRN O=C1NCCN(S(=O)(=O)c2ccc(F)c(S(=O)(=O)C(F)(F)F)c2)C12CNC2 ZINC001239033303 1073492598 /nfs/dbraw/zinc/49/25/98/1073492598.db2.gz NAQAYGREIJTMHU-UHFFFAOYSA-N 0 0 431.389 -0.418 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CC[C@@H]3OCCN[C@H]3C2)cc1)N1CCOCC1 ZINC001239047239 1073492673 /nfs/dbraw/zinc/49/26/73/1073492673.db2.gz SQKFJSDSGDYRIG-IRXDYDNUSA-N 0 0 431.536 -0.541 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCNC[C@H]1CO ZINC001239072829 1073492592 /nfs/dbraw/zinc/49/25/92/1073492592.db2.gz DNDCYWVARLWATH-HNNXBMFYSA-N 0 0 428.511 -0.096 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCNC[C@@H]1CO ZINC001239072830 1073492554 /nfs/dbraw/zinc/49/25/54/1073492554.db2.gz DNDCYWVARLWATH-OAHLLOKOSA-N 0 0 428.511 -0.096 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCn3cnc(CO)c3C2)cc1)N1CCOCC1 ZINC001239074286 1073493186 /nfs/dbraw/zinc/49/31/86/1073493186.db2.gz BYBMVCGYAJBADF-UHFFFAOYSA-N 0 0 442.519 -0.399 20 0 IBADRN Nc1ccc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cc1 ZINC001239078044 1073493828 /nfs/dbraw/zinc/49/38/28/1073493828.db2.gz QHVVOYBWNNVJAQ-BRWVUGGUSA-N 0 0 443.547 -0.449 20 0 IBADRN Nc1ccc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cc1 ZINC001239078045 1073493848 /nfs/dbraw/zinc/49/38/48/1073493848.db2.gz QHVVOYBWNNVJAQ-GVDBMIGSSA-N 0 0 443.547 -0.449 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(S(=O)(=O)c3ccc(N)cc3)CC[C@H]2S1(=O)=O ZINC001239083356 1073494499 /nfs/dbraw/zinc/49/44/99/1073494499.db2.gz YVTYPTLGPQANKM-CZUORRHYSA-N 0 0 430.552 -0.228 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCN[C@H](C(N)=O)C3)c(Cl)c2)CC1 ZINC001239087895 1073495178 /nfs/dbraw/zinc/49/51/78/1073495178.db2.gz PDJGFYSGWIHLMM-AWEZNQCLSA-N 0 0 444.945 -0.433 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCN[C@@H](C(N)=O)C3)c(Cl)c2)CC1 ZINC001239087922 1073495120 /nfs/dbraw/zinc/49/51/20/1073495120.db2.gz PDJGFYSGWIHLMM-CQSZACIVSA-N 0 0 444.945 -0.433 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCN[C@@H](CO)C1 ZINC001239088364 1073495189 /nfs/dbraw/zinc/49/51/89/1073495189.db2.gz SOJCKRXARKQZBZ-OAHLLOKOSA-N 0 0 428.511 -0.096 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCN[C@H](C(N)=O)C1 ZINC001239088830 1073495200 /nfs/dbraw/zinc/49/52/00/1073495200.db2.gz ZGJXZIRNHAGSMS-AWEZNQCLSA-N 0 0 441.510 -0.603 20 0 IBADRN CCOc1ccc(NC(=O)N2CCOCC2)cc1S(=O)(=O)N1CCN[C@@H](C(N)=O)C1 ZINC001239088831 1073495067 /nfs/dbraw/zinc/49/50/67/1073495067.db2.gz ZGJXZIRNHAGSMS-CQSZACIVSA-N 0 0 441.510 -0.603 20 0 IBADRN COC(=O)C1(N)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001239090346 1073495102 /nfs/dbraw/zinc/49/51/02/1073495102.db2.gz CICDKFBNKSXFRT-UHFFFAOYSA-N 0 0 447.535 -0.638 20 0 IBADRN COC(=O)C[C@H]1CNCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001239095091 1073495071 /nfs/dbraw/zinc/49/50/71/1073495071.db2.gz SFEJDKZGHSADAA-AWEZNQCLSA-N 0 0 447.535 -0.767 20 0 IBADRN COC(=O)C[C@@H]1CNCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001239095092 1073495143 /nfs/dbraw/zinc/49/51/43/1073495143.db2.gz SFEJDKZGHSADAA-CQSZACIVSA-N 0 0 447.535 -0.767 20 0 IBADRN O=C([O-])c1ccc(Br)c(S(=O)(=O)N2CCNCC[NH2+]CCNCC2)c1 ZINC001239095461 1073495155 /nfs/dbraw/zinc/49/51/55/1073495155.db2.gz CEXGGUGXXWZSJP-UHFFFAOYSA-N 0 0 435.344 -0.080 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(N)cc1)C(=O)NCC(N)=O ZINC001239097605 1073495209 /nfs/dbraw/zinc/49/52/09/1073495209.db2.gz NURYNBKCIPQIAU-HOTGVXAUSA-N 0 0 439.538 -0.446 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(-c3cnoc3)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001239193966 1073496470 /nfs/dbraw/zinc/49/64/70/1073496470.db2.gz IKPODFDCHRDRDV-KCYZZUKISA-N 0 0 437.361 -0.072 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCNCC1)NCc1cc(=O)oc2cc3c(cc12)OCO3 ZINC001239207057 1073497077 /nfs/dbraw/zinc/49/70/77/1073497077.db2.gz CFSLYFUKHXAKDY-UHFFFAOYSA-N 0 0 438.462 -0.444 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(C3=CCOC3)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001239495981 1073497959 /nfs/dbraw/zinc/49/79/59/1073497959.db2.gz JCZMMXQHTDGPEN-YFHUEUNASA-N 0 0 438.389 -0.314 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(-c3cn[nH]c3)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001240244292 1073497828 /nfs/dbraw/zinc/49/78/28/1073497828.db2.gz CECCLMYNWKFSST-KCYZZUKISA-N 0 0 436.377 -0.337 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(-c3cncnc3)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001240378221 1073497880 /nfs/dbraw/zinc/49/78/80/1073497880.db2.gz HJYIOLDLHRZMTJ-YFHUEUNASA-N 0 0 448.388 -0.270 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(-c3ncc[nH]3)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001242020116 1073497851 /nfs/dbraw/zinc/49/78/51/1073497851.db2.gz PVDWVSPGSAGSJP-VMUDFCTBSA-N 0 0 436.377 -0.337 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(-c3ccnnc3)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001242068673 1073497781 /nfs/dbraw/zinc/49/77/81/1073497781.db2.gz UGUKXEVAZWIMOF-YFHUEUNASA-N 0 0 448.388 -0.270 20 0 IBADRN COC(=O)c1cnc(-c2cnn(CS(C)(=O)=O)c2)c(S(=O)(=O)N2CCOCC2)c1 ZINC001242751324 1073497795 /nfs/dbraw/zinc/49/77/95/1073497795.db2.gz IPRSZKAQFJCSRB-UHFFFAOYSA-N 0 0 444.491 -0.245 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(-c3ccncn3)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001242921375 1073497625 /nfs/dbraw/zinc/49/76/25/1073497625.db2.gz PIKDVVXNHLATJW-YFHUEUNASA-N 0 0 448.388 -0.270 20 0 IBADRN COC(=O)CNS(=O)(=O)c1ccc(-c2cnc(OC)c(NS(C)(=O)=O)c2)nc1 ZINC001244790861 1073497684 /nfs/dbraw/zinc/49/76/84/1073497684.db2.gz LMTXOHKNJCENAF-UHFFFAOYSA-N 0 0 430.464 -0.025 20 0 IBADRN CCCNC(=S)Nc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001244845115 1073497994 /nfs/dbraw/zinc/49/79/94/1073497994.db2.gz RBCGTDRZNWJKRZ-WOUKDFQISA-N 0 0 447.315 -0.103 20 0 IBADRN CCCNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1OCCOC ZINC001244847759 1073497759 /nfs/dbraw/zinc/49/77/59/1073497759.db2.gz VGKNFLHEIMTXAI-XNIJJKJLSA-N 0 0 426.499 -0.195 20 0 IBADRN COc1cc(NC(=S)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc(OC)c1 ZINC001245005861 1073497733 /nfs/dbraw/zinc/49/77/33/1073497733.db2.gz LXJFRCOBEAUZBH-DTZQCDIJSA-N 0 0 438.462 -0.428 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NCCc2ccccc2)[C@@H](O)[C@@H]1O ZINC001245294229 1073497869 /nfs/dbraw/zinc/49/78/69/1073497869.db2.gz XCPJMSBXNHBAKC-LJGDNWOOSA-N 0 0 430.490 -0.033 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NCCc2ccccc2)[C@H](O)[C@@H]1O ZINC001245294266 1073497656 /nfs/dbraw/zinc/49/76/56/1073497656.db2.gz XCPJMSBXNHBAKC-SCFUHWHPSA-N 0 0 430.490 -0.033 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](n2cc(-c3cc[nH]n3)c(=O)[nH]c2=O)[C@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001245315116 1073497714 /nfs/dbraw/zinc/49/77/14/1073497714.db2.gz SJPKDHXHKGEELA-KCYZZUKISA-N 0 0 436.377 -0.337 20 0 IBADRN CCOC(=O)c1ccccc1NC(=S)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001245446953 1073497673 /nfs/dbraw/zinc/49/76/73/1073497673.db2.gz OWCLNOSMRNMEAR-AWEZNQCLSA-N 0 0 435.506 -0.258 20 0 IBADRN COCCCNC(=S)Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001245581732 1073497943 /nfs/dbraw/zinc/49/79/43/1073497943.db2.gz UDRDCUBFAIKPCJ-QYVSTXNMSA-N 0 0 432.890 -0.586 20 0 IBADRN COCCCNC(=S)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001245584189 1073497917 /nfs/dbraw/zinc/49/79/17/1073497917.db2.gz LJWNCAJXGWGQEH-LSDHHAIUSA-N 0 0 441.579 -0.080 20 0 IBADRN COCCCNC(=S)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001245638290 1073498578 /nfs/dbraw/zinc/49/85/78/1073498578.db2.gz SYVHXXUVCDAVEC-QQHRNGFRSA-N 0 0 425.515 -0.988 20 0 IBADRN COCCCNC(=S)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001245645781 1073498553 /nfs/dbraw/zinc/49/85/53/1073498553.db2.gz NYNBNVRFZHHGJD-KBPBESRZSA-N 0 0 449.643 -0.259 20 0 IBADRN COc1ccc(OC)c(NC(=S)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c1 ZINC001245721104 1073498647 /nfs/dbraw/zinc/49/86/47/1073498647.db2.gz QUXPSMKHRXHFJE-DTZQCDIJSA-N 0 0 438.462 -0.428 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NC[C@]3(O)CCC[NH2+]C3)[C@H](O)[C@@H]2O1 ZINC001245954936 1073498522 /nfs/dbraw/zinc/49/85/22/1073498522.db2.gz BIWBWYFGCROCDJ-FKHLYAMYSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NC[C@@]3(O)CCC[NH2+]C3)[C@H](O)[C@@H]2O1 ZINC001245954946 1073498516 /nfs/dbraw/zinc/49/85/16/1073498516.db2.gz BIWBWYFGCROCDJ-OBOJTPGXSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NCC3(O)CC[NH2+]CC3)[C@H](O)[C@@H]2O1 ZINC001245956858 1073498607 /nfs/dbraw/zinc/49/86/07/1073498607.db2.gz LAXCKAFMPUCJBD-SDBHATRESA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NC[C@@]3(O)CCC[NH2+]C3)[C@@H](O)[C@H]2O1 ZINC001245957574 1073498642 /nfs/dbraw/zinc/49/86/42/1073498642.db2.gz BIWBWYFGCROCDJ-FUGKTIDQSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NC[C@]3(O)CCC[NH2+]C3)[C@@H](O)[C@H]2O1 ZINC001245957575 1073498587 /nfs/dbraw/zinc/49/85/87/1073498587.db2.gz BIWBWYFGCROCDJ-HTJBVVOSSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NC[C@@]3(O)CCC[NH2+]C3)[C@@H](O)[C@@H]2O1 ZINC001245957576 1073498561 /nfs/dbraw/zinc/49/85/61/1073498561.db2.gz BIWBWYFGCROCDJ-NECHCEJVSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NC[C@]3(O)CCC[NH2+]C3)[C@@H](O)[C@@H]2O1 ZINC001245957577 1073498546 /nfs/dbraw/zinc/49/85/46/1073498546.db2.gz BIWBWYFGCROCDJ-ROGCUXJCSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NCC3(O)CC[NH2+]CC3)[C@@H](O)[C@H]2O1 ZINC001245958946 1073499136 /nfs/dbraw/zinc/49/91/36/1073499136.db2.gz LAXCKAFMPUCJBD-CKRXIKOQSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])OC[C@H]2O[C@@H](n3cnc4c3ncnc4NCC3(O)CC[NH2+]CC3)[C@@H](O)[C@@H]2O1 ZINC001245958947 1073499265 /nfs/dbraw/zinc/49/92/65/1073499265.db2.gz LAXCKAFMPUCJBD-JDTTZNEISA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@@]1([O-])O[C@@H]2[C@H](O1)[C@H](CO)O[C@H]2n1cnc2c1ncnc2NCC1(O)CC[NH2+]CC1 ZINC001245959301 1073499217 /nfs/dbraw/zinc/49/92/17/1073499217.db2.gz LAPCZQSSLNXKLL-AYIJCJCZSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])O[C@@H]2[C@H](CO)O[C@H](n3cnc4c3ncnc4NCC3(O)CC[NH2+]CC3)[C@H]2O1 ZINC001245959305 1073499210 /nfs/dbraw/zinc/49/92/10/1073499210.db2.gz LAPCZQSSLNXKLL-LIBKGXAOSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])O[C@H]2[C@@H](O1)[C@@H](n1cnc3c1ncnc3NCC1(O)CC[NH2+]CC1)O[C@H]2CO ZINC001245959309 1073499284 /nfs/dbraw/zinc/49/92/84/1073499284.db2.gz LAPCZQSSLNXKLL-NFOTXUCKSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])O[C@@H]2[C@H](CO)O[C@@H](n3cnc4c3ncnc4NCC3(O)CC[NH2+]CC3)[C@H]2O1 ZINC001245959314 1073499260 /nfs/dbraw/zinc/49/92/60/1073499260.db2.gz LAPCZQSSLNXKLL-XDYICZGBSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])O[C@H]2[C@@H](O1)[C@@H](n1cnc3c1ncnc3NC[C@@]1(O)CCC[NH2+]C1)O[C@H]2CO ZINC001245961546 1073499201 /nfs/dbraw/zinc/49/92/01/1073499201.db2.gz VHJMXBRDAHGRNP-CUFCCSJMSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])O[C@@H]2[C@H](CO)O[C@H](n3cnc4c3ncnc4NC[C@@]3(O)CCC[NH2+]C3)[C@H]2O1 ZINC001245961548 1073499129 /nfs/dbraw/zinc/49/91/29/1073499129.db2.gz VHJMXBRDAHGRNP-OLZGDFGGSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])O[C@H]2[C@@H](O1)[C@@H](n1cnc3c1ncnc3NC[C@]1(O)CCC[NH2+]C1)O[C@H]2CO ZINC001245961551 1073499157 /nfs/dbraw/zinc/49/91/57/1073499157.db2.gz VHJMXBRDAHGRNP-RKWGGURWSA-N 0 0 442.369 -0.873 20 0 IBADRN O=[P@]1([O-])O[C@@H]2[C@H](CO)O[C@H](n3cnc4c3ncnc4NC[C@]3(O)CCC[NH2+]C3)[C@H]2O1 ZINC001245961554 1073499091 /nfs/dbraw/zinc/49/90/91/1073499091.db2.gz VHJMXBRDAHGRNP-WCEGMFJQSA-N 0 0 442.369 -0.873 20 0 IBADRN O=C(N[C@H]1CCCN(CCN2CCOCC2)C1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001245973845 1073499838 /nfs/dbraw/zinc/49/98/38/1073499838.db2.gz RDQICWLKPUPECJ-HKUYNNGSSA-N 0 0 430.571 -0.618 20 0 IBADRN O=C(N[C@@H]1CCCN(CCN2CCOCC2)C1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001245973861 1073499828 /nfs/dbraw/zinc/49/98/28/1073499828.db2.gz RDQICWLKPUPECJ-IEBWSBKVSA-N 0 0 430.571 -0.618 20 0 IBADRN O=C(N[C@@H]1CCCN(CCN2CCOCC2)C1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001245973864 1073499807 /nfs/dbraw/zinc/49/98/07/1073499807.db2.gz RDQICWLKPUPECJ-MJGOQNOKSA-N 0 0 430.571 -0.618 20 0 IBADRN O=C(N[C@H]1CCCN(CCN2CCOCC2)C1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001245973866 1073499727 /nfs/dbraw/zinc/49/97/27/1073499727.db2.gz RDQICWLKPUPECJ-PKOBYXMFSA-N 0 0 430.571 -0.618 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCN(CC(=O)N4CCCCCC4)CC3)nnc2c1=O ZINC001246028443 1073501321 /nfs/dbraw/zinc/50/13/21/1073501321.db2.gz VURMLBZICMUZQN-UHFFFAOYSA-N 0 0 430.513 -0.342 20 0 IBADRN CCCOC[C@H](O)CN1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001246040889 1073502750 /nfs/dbraw/zinc/50/27/50/1073502750.db2.gz WIQLRAVLEXUGBT-IXDOHACOSA-N 0 0 426.539 -0.203 20 0 IBADRN CCCOC[C@@H](O)CN1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001246040893 1073502755 /nfs/dbraw/zinc/50/27/55/1073502755.db2.gz WIQLRAVLEXUGBT-YESZJQIVSA-N 0 0 426.539 -0.203 20 0 IBADRN O=C([O-])CCOCCOCCOCCOCCOCCn1cc([C@H]2CC[NH2+]C2)nn1 ZINC001246059193 1073504484 /nfs/dbraw/zinc/50/44/84/1073504484.db2.gz YZXGHIVGHGKONZ-KRWDZBQOSA-N 0 0 430.502 -0.087 20 0 IBADRN O=C([O-])CCOCCOCCOCCOCCOCCn1cc([C@@H]2CC[NH2+]C2)nn1 ZINC001246059199 1073504393 /nfs/dbraw/zinc/50/43/93/1073504393.db2.gz YZXGHIVGHGKONZ-QGZVFWFLSA-N 0 0 430.502 -0.087 20 0 IBADRN O=C([O-])[C@@H]1C[C@H](n2cc(CNC(=O)C3CCC(CN4C(=O)C=CC4=O)CC3)nn2)C[NH2+]1 ZINC001246067384 1073505152 /nfs/dbraw/zinc/50/51/52/1073505152.db2.gz NHOOSMSXUVNTPY-BGHVQYPCSA-N 0 0 430.465 -0.387 20 0 IBADRN O=C([O-])[C@@H]1C[C@@H](n2cc(CNC(=O)C3CCC(CN4C(=O)C=CC4=O)CC3)nn2)C[NH2+]1 ZINC001246067389 1073505165 /nfs/dbraw/zinc/50/51/65/1073505165.db2.gz NHOOSMSXUVNTPY-GMEATFMZSA-N 0 0 430.465 -0.387 20 0 IBADRN [NH3+][C@@H](CCCn1cc(CNC(=O)C2CCC(CN3C(=O)C=CC3=O)CC2)nn1)C(=O)[O-] ZINC001246068407 1073505138 /nfs/dbraw/zinc/50/51/38/1073505138.db2.gz PSUOMMXHXGDOAF-XUJLQICISA-N 0 0 432.481 -0.182 20 0 IBADRN [NH3+][C@H](CCCn1cc(CNC(=O)C2CCC(CN3C(=O)C=CC3=O)CC2)nn1)C(=O)[O-] ZINC001246068422 1073505119 /nfs/dbraw/zinc/50/51/19/1073505119.db2.gz PSUOMMXHXGDOAF-ZBCRRDGASA-N 0 0 432.481 -0.182 20 0 IBADRN CCOC(=O)[C@H](N[C@H]1CCN[C@H](C(=O)OC)C1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001246244226 1073506444 /nfs/dbraw/zinc/50/64/44/1073506444.db2.gz OBALFFKJKNNLRI-LLLHUVSDSA-N 0 0 428.507 -0.062 20 0 IBADRN CCOC(=O)[C@H](N[C@@H]1CCN[C@H](C(=O)OC)C1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001246244229 1073506485 /nfs/dbraw/zinc/50/64/85/1073506485.db2.gz OBALFFKJKNNLRI-XBVQOTNRSA-N 0 0 428.507 -0.062 20 0 IBADRN CCOC(=O)[C@H](N[C@H]1CC[C@@H](C(=O)OC)NC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001246244242 1073506438 /nfs/dbraw/zinc/50/64/38/1073506438.db2.gz OGULVXXZKHOSQK-LLLHUVSDSA-N 0 0 428.507 -0.062 20 0 IBADRN CCOC(=O)[C@H](N[C@@H]1CC[C@@H](C(=O)OC)NC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001246244244 1073506311 /nfs/dbraw/zinc/50/63/11/1073506311.db2.gz OGULVXXZKHOSQK-XBVQOTNRSA-N 0 0 428.507 -0.062 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1ncnc2NC(=S)NCCC[S@@](C)=O ZINC001246366506 1073509987 /nfs/dbraw/zinc/50/99/87/1073509987.db2.gz JOZIIOUDDQFHJL-PJWREVGISA-N 0 0 444.539 -0.853 20 0 IBADRN CO[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1n1cnc2c1ncnc2NC(=S)NCCC[S@](C)=O ZINC001246366508 1073509771 /nfs/dbraw/zinc/50/97/71/1073509771.db2.gz JOZIIOUDDQFHJL-WELHOKJMSA-N 0 0 444.539 -0.853 20 0 IBADRN C[S@](=O)CCCNC(=S)Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001246400625 1073510034 /nfs/dbraw/zinc/51/00/34/1073510034.db2.gz VQBSUOXPSSLNAL-FQNRMZRZSA-N 0 0 429.524 -0.902 20 0 IBADRN C[S@@](=O)CCCNC(=S)Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001246400635 1073509867 /nfs/dbraw/zinc/50/98/67/1073509867.db2.gz VQBSUOXPSSLNAL-VSBUIRNMSA-N 0 0 429.524 -0.902 20 0 IBADRN C[S@@](=O)CCCNC(=S)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001246408280 1073509790 /nfs/dbraw/zinc/50/97/90/1073509790.db2.gz RPOOCEYMCVGTJK-PJWREVGISA-N 0 0 447.514 -0.763 20 0 IBADRN C[S@](=O)CCCNC(=S)Nc1ncnc2c1c(F)cn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001246408442 1073509977 /nfs/dbraw/zinc/50/99/77/1073509977.db2.gz RPOOCEYMCVGTJK-WELHOKJMSA-N 0 0 447.514 -0.763 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(C(=S)NCCC[S@@](C)=O)CCC2 ZINC001246416749 1073509946 /nfs/dbraw/zinc/50/99/46/1073509946.db2.gz NJRJZIZNFLTWHV-MUUNZHRXSA-N 0 0 429.568 -0.645 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(C(=S)NCCC[S@](C)=O)CCC2 ZINC001246416750 1073510098 /nfs/dbraw/zinc/51/00/98/1073510098.db2.gz NJRJZIZNFLTWHV-NDEPHWFRSA-N 0 0 429.568 -0.645 20 0 IBADRN CN(C)c1ncc2c(n1)[C@H]1CN(C(=S)NCCC[S@](C)=O)C[C@H]1S(=O)(=O)C2 ZINC001246418381 1073510113 /nfs/dbraw/zinc/51/01/13/1073510113.db2.gz RHYRQUVNQRDFRO-CXHCJZKCSA-N 0 0 431.609 -0.118 20 0 IBADRN CN(C)c1ncc2c(n1)[C@H]1CN(C(=S)NCCC[S@@](C)=O)C[C@H]1S(=O)(=O)C2 ZINC001246418413 1073509886 /nfs/dbraw/zinc/50/98/86/1073509886.db2.gz RHYRQUVNQRDFRO-NKINMBLBSA-N 0 0 431.609 -0.118 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=S)NCCC[S@@](C)=O)CC2 ZINC001246420487 1073509824 /nfs/dbraw/zinc/50/98/24/1073509824.db2.gz XVLXPGKFPODDFF-MUUNZHRXSA-N 0 0 426.612 -0.191 20 0 IBADRN Cn1nc(C(=O)N2CCN(C)CC2)c2c1CN(C(=S)NCCC[S@](C)=O)CC2 ZINC001246420488 1073510003 /nfs/dbraw/zinc/51/00/03/1073510003.db2.gz XVLXPGKFPODDFF-NDEPHWFRSA-N 0 0 426.612 -0.191 20 0 IBADRN CN(C)C(=O)NCc1cc(=O)n2c(n1)CN(C(=S)NCCC[S@@](C)=O)CCC2 ZINC001246428022 1073509902 /nfs/dbraw/zinc/50/99/02/1073509902.db2.gz SKSQZKKPQUSMTR-MUUNZHRXSA-N 0 0 428.584 -0.137 20 0 IBADRN CN(C)C(=O)NCc1cc(=O)n2c(n1)CN(C(=S)NCCC[S@](C)=O)CCC2 ZINC001246428026 1073510044 /nfs/dbraw/zinc/51/00/44/1073510044.db2.gz SKSQZKKPQUSMTR-NDEPHWFRSA-N 0 0 428.584 -0.137 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(C(=S)NCCC[S@](C)=O)C2 ZINC001246429033 1073510457 /nfs/dbraw/zinc/51/04/57/1073510457.db2.gz XXRJFGCHLJCRPS-LBEKAKSKSA-N 0 0 440.595 -0.297 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(C(=S)NCCC[S@@](C)=O)C2 ZINC001246429038 1073510424 /nfs/dbraw/zinc/51/04/24/1073510424.db2.gz XXRJFGCHLJCRPS-LDLUVENISA-N 0 0 440.595 -0.297 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(C(=S)NCCC[S@@](C)=O)C2 ZINC001246429039 1073510522 /nfs/dbraw/zinc/51/05/22/1073510522.db2.gz XXRJFGCHLJCRPS-RBSBEOHCSA-N 0 0 440.595 -0.297 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(C(=S)NCCC[S@](C)=O)C2 ZINC001246429040 1073510405 /nfs/dbraw/zinc/51/04/05/1073510405.db2.gz XXRJFGCHLJCRPS-YRVHBCJASA-N 0 0 440.595 -0.297 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=S)NCCC[S@@](C)=O)C(=O)NCC(N)=O ZINC001246447045 1073510539 /nfs/dbraw/zinc/51/05/39/1073510539.db2.gz AQXXQIFRWFMNAX-SHSSRLJWSA-N 0 0 447.627 -0.774 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C(=S)NCCC[S@](C)=O)C(=O)NCC(N)=O ZINC001246447046 1073510429 /nfs/dbraw/zinc/51/04/29/1073510429.db2.gz AQXXQIFRWFMNAX-WXWKEVLISA-N 0 0 447.627 -0.774 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(C(=S)NCCC[S@@](C)=O)CC[C@H]2S1(=O)=O ZINC001246448621 1073510922 /nfs/dbraw/zinc/51/09/22/1073510922.db2.gz BGUXPWGWTXGYDW-UPINHGDLSA-N 0 0 438.641 -0.556 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN(C(=S)NCCC[S@](C)=O)CC[C@H]2S1(=O)=O ZINC001246448622 1073510884 /nfs/dbraw/zinc/51/08/84/1073510884.db2.gz BGUXPWGWTXGYDW-YFONVMMFSA-N 0 0 438.641 -0.556 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(C(=S)NCCC[S@](C)=O)C3)OCC2=O)cn1 ZINC001246455267 1073510932 /nfs/dbraw/zinc/51/09/32/1073510932.db2.gz PYNSJRDITXTOMF-HPGBDJQBSA-N 0 0 429.568 -0.503 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(C(=S)NCCC[S@@](C)=O)C3)OCC2=O)cn1 ZINC001246455277 1073511077 /nfs/dbraw/zinc/51/10/77/1073511077.db2.gz PYNSJRDITXTOMF-JYRCXFKTSA-N 0 0 429.568 -0.503 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(C(=S)NCCC[S@](C)=O)C3)OCC2=O)cn1 ZINC001246455280 1073510960 /nfs/dbraw/zinc/51/09/60/1073510960.db2.gz PYNSJRDITXTOMF-UULLZXFKSA-N 0 0 429.568 -0.503 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(C(=S)NCCC[S@@](C)=O)C3)OCC2=O)cn1 ZINC001246455284 1073510950 /nfs/dbraw/zinc/51/09/50/1073510950.db2.gz PYNSJRDITXTOMF-YMGMXPECSA-N 0 0 429.568 -0.503 20 0 IBADRN C[S@@](=O)CCCNC(=S)NCCOCCOCCOCCOCCOCCO ZINC001246668019 1073514539 /nfs/dbraw/zinc/51/45/39/1073514539.db2.gz VDVFHYXEFLGPPZ-MUUNZHRXSA-N 0 0 444.616 -0.706 20 0 IBADRN C[S@](=O)CCCNC(=S)NCCOCCOCCOCCOCCOCCO ZINC001246668020 1073514668 /nfs/dbraw/zinc/51/46/68/1073514668.db2.gz VDVFHYXEFLGPPZ-NDEPHWFRSA-N 0 0 444.616 -0.706 20 0 IBADRN COC(=O)[C@H]1C[C@@H](N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(=O)N(C)C)CN1 ZINC001246685307 1073514688 /nfs/dbraw/zinc/51/46/88/1073514688.db2.gz GFXQAJPGJVGAQX-CBGDNZLLSA-N 0 0 430.509 -0.240 20 0 IBADRN COC(=O)[C@H]1C[C@H](N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(=O)N(C)C)CN1 ZINC001246685316 1073515250 /nfs/dbraw/zinc/51/52/50/1073515250.db2.gz GFXQAJPGJVGAQX-CSODHUTKSA-N 0 0 430.509 -0.240 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(=O)N(C)C)CN1 ZINC001246685320 1073515047 /nfs/dbraw/zinc/51/50/47/1073515047.db2.gz GFXQAJPGJVGAQX-FIKGOQFSSA-N 0 0 430.509 -0.240 20 0 IBADRN COC(=O)[C@H]1C[C@H](N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(=O)N(C)C)CN1 ZINC001246685323 1073515103 /nfs/dbraw/zinc/51/51/03/1073515103.db2.gz GFXQAJPGJVGAQX-FVJLSDCUSA-N 0 0 430.509 -0.240 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(=O)N(C)C)CN1 ZINC001246685326 1073515288 /nfs/dbraw/zinc/51/52/88/1073515288.db2.gz GFXQAJPGJVGAQX-LLGFUMIMSA-N 0 0 430.509 -0.240 20 0 IBADRN COC(=O)[C@H]1C[C@@H](N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(=O)N(C)C)CN1 ZINC001246685332 1073515265 /nfs/dbraw/zinc/51/52/65/1073515265.db2.gz GFXQAJPGJVGAQX-LZJOCLMNSA-N 0 0 430.509 -0.240 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CC[C@]3(C2)CN(c2cccnc2)C(=O)CN3C(=O)N(C)C)CN1 ZINC001246685339 1073515372 /nfs/dbraw/zinc/51/53/72/1073515372.db2.gz GFXQAJPGJVGAQX-WWMYMODYSA-N 0 0 430.509 -0.240 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CC[C@@]3(C2)CN(c2cccnc2)C(=O)CN3C(=O)N(C)C)CN1 ZINC001246685343 1073515420 /nfs/dbraw/zinc/51/54/20/1073515420.db2.gz GFXQAJPGJVGAQX-XGHQBKJUSA-N 0 0 430.509 -0.240 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN([C@H]1CN[C@H](C(=O)OC(C)(C)C)C1)CCC2 ZINC001246702315 1073515853 /nfs/dbraw/zinc/51/58/53/1073515853.db2.gz FHIWHOZZFJNLEK-CVEARBPZSA-N 0 0 435.525 -0.216 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN([C@@H]1CN[C@H](C(=O)OC(C)(C)C)C1)CCC2 ZINC001246702318 1073515900 /nfs/dbraw/zinc/51/59/00/1073515900.db2.gz FHIWHOZZFJNLEK-HOTGVXAUSA-N 0 0 435.525 -0.216 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@@H](N2CC[C@@]3(C2)CN(c2cnn(C)c2)C(=O)CN3C(C)=O)CN1 ZINC001246724064 1073517683 /nfs/dbraw/zinc/51/76/83/1073517683.db2.gz WOKJEMQHLYWEOI-MAODMQOUSA-N 0 0 432.525 -0.257 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@H](N2CC[C@]3(C2)CN(c2cnn(C)c2)C(=O)CN3C(C)=O)CN1 ZINC001246724067 1073518461 /nfs/dbraw/zinc/51/84/61/1073518461.db2.gz WOKJEMQHLYWEOI-ORYQWCPZSA-N 0 0 432.525 -0.257 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@@H](N2CC[C@]3(C2)CN(c2cnn(C)c2)C(=O)CN3C(C)=O)CN1 ZINC001246724071 1073517990 /nfs/dbraw/zinc/51/79/90/1073517990.db2.gz WOKJEMQHLYWEOI-TYCQWZJGSA-N 0 0 432.525 -0.257 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@H](N2CC[C@@]3(C2)CN(c2cnn(C)c2)C(=O)CN3C(C)=O)CN1 ZINC001246724076 1073518190 /nfs/dbraw/zinc/51/81/90/1073518190.db2.gz WOKJEMQHLYWEOI-ZLGUVYLKSA-N 0 0 432.525 -0.257 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@@H](N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CN1 ZINC001246727775 1073518142 /nfs/dbraw/zinc/51/81/42/1073518142.db2.gz WGCQPNSPJZFTJV-FLXNRXMOSA-N 0 0 443.566 -0.736 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@H](N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CN1 ZINC001246727777 1073518098 /nfs/dbraw/zinc/51/80/98/1073518098.db2.gz WGCQPNSPJZFTJV-GXAQHZSOSA-N 0 0 443.566 -0.736 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@@H](N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)CN1 ZINC001246727779 1073518248 /nfs/dbraw/zinc/51/82/48/1073518248.db2.gz WGCQPNSPJZFTJV-QRXRQMIZSA-N 0 0 443.566 -0.736 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@H](N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)CN1 ZINC001246727781 1073518542 /nfs/dbraw/zinc/51/85/42/1073518542.db2.gz WGCQPNSPJZFTJV-VMNRDUPSSA-N 0 0 443.566 -0.736 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CN1 ZINC001246730503 1073519164 /nfs/dbraw/zinc/51/91/64/1073519164.db2.gz SKUQBCHSMLWIJK-JGLNRKDHSA-N 0 0 436.534 -0.268 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CN1 ZINC001246730504 1073518981 /nfs/dbraw/zinc/51/89/81/1073518981.db2.gz SKUQBCHSMLWIJK-STEXWYFWSA-N 0 0 436.534 -0.268 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CCN1 ZINC001246730541 1073519224 /nfs/dbraw/zinc/51/92/24/1073519224.db2.gz SZJPSCAOFHJVKX-JGLNRKDHSA-N 0 0 436.534 -0.268 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)CCN1 ZINC001246730543 1073519052 /nfs/dbraw/zinc/51/90/52/1073519052.db2.gz SZJPSCAOFHJVKX-STEXWYFWSA-N 0 0 436.534 -0.268 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)CN1 ZINC001246740682 1073519791 /nfs/dbraw/zinc/51/97/91/1073519791.db2.gz QNEZMDRFCNIBCY-AGRFSFNASA-N 0 0 435.546 -0.010 20 0 IBADRN COC(=O)[C@H]1C[C@H](N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)CN1 ZINC001246740683 1073519616 /nfs/dbraw/zinc/51/96/16/1073519616.db2.gz QNEZMDRFCNIBCY-KKKDIUQISA-N 0 0 435.546 -0.010 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)CN1 ZINC001246740684 1073519713 /nfs/dbraw/zinc/51/97/13/1073519713.db2.gz QNEZMDRFCNIBCY-RVDAZCDBSA-N 0 0 435.546 -0.010 20 0 IBADRN COC(=O)[C@H]1C[C@@H](N2C[C@H]3C[C@H]4[C@@](CN(CCc5ccccc5)S4(=O)=O)(C2)O3)CN1 ZINC001246740685 1073519935 /nfs/dbraw/zinc/51/99/35/1073519935.db2.gz QNEZMDRFCNIBCY-TYZHSBRISA-N 0 0 435.546 -0.010 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1C[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CN1 ZINC001246748960 1073520598 /nfs/dbraw/zinc/52/05/98/1073520598.db2.gz ADKONFHOURXGMK-CABCVRRESA-N 0 0 441.554 -0.430 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1C[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CN1 ZINC001246748965 1073520493 /nfs/dbraw/zinc/52/04/93/1073520493.db2.gz ADKONFHOURXGMK-GJZGRUSLSA-N 0 0 441.554 -0.430 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN([C@@H]4CN[C@H](C(=O)OC(C)(C)C)C4)C3)OCC2=O)cn1 ZINC001246749067 1073520443 /nfs/dbraw/zinc/52/04/43/1073520443.db2.gz QPHBFSFXLLDHJW-HZUJVAHNSA-N 0 0 435.525 -0.074 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN([C@H]4CN[C@H](C(=O)OC(C)(C)C)C4)C3)OCC2=O)cn1 ZINC001246749074 1073520541 /nfs/dbraw/zinc/52/05/41/1073520541.db2.gz QPHBFSFXLLDHJW-KUDFPVQQSA-N 0 0 435.525 -0.074 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN([C@H]4CN[C@H](C(=O)OC(C)(C)C)C4)C3)OCC2=O)cn1 ZINC001246749084 1073520346 /nfs/dbraw/zinc/52/03/46/1073520346.db2.gz QPHBFSFXLLDHJW-LDBYXDLTSA-N 0 0 435.525 -0.074 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN([C@@H]4CN[C@H](C(=O)OC(C)(C)C)C4)C3)OCC2=O)cn1 ZINC001246749093 1073520655 /nfs/dbraw/zinc/52/06/55/1073520655.db2.gz QPHBFSFXLLDHJW-WJPUGNRLSA-N 0 0 435.525 -0.074 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CN1 ZINC001246751943 1073521125 /nfs/dbraw/zinc/52/11/25/1073521125.db2.gz HHZBWNSPYJMQSQ-KBXCAEBGSA-N 0 0 427.527 -0.818 20 0 IBADRN CC[C@@]1(C(=O)OC)C[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CN1 ZINC001246751945 1073520967 /nfs/dbraw/zinc/52/09/67/1073520967.db2.gz HHZBWNSPYJMQSQ-KDOFPFPSSA-N 0 0 427.527 -0.818 20 0 IBADRN CC[C@@]1(C(=O)OC)C[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CN1 ZINC001246751947 1073521206 /nfs/dbraw/zinc/52/12/06/1073521206.db2.gz HHZBWNSPYJMQSQ-KSSFIOAISA-N 0 0 427.527 -0.818 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CN1 ZINC001246751949 1073521056 /nfs/dbraw/zinc/52/10/56/1073521056.db2.gz HHZBWNSPYJMQSQ-RDTXWAMCSA-N 0 0 427.527 -0.818 20 0 IBADRN CCOC(=O)[C@@H]1C[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CCN1 ZINC001246755618 1073521734 /nfs/dbraw/zinc/52/17/34/1073521734.db2.gz QAHLHFLXTZWEST-CABCVRRESA-N 0 0 427.527 -0.818 20 0 IBADRN CCOC(=O)[C@@H]1C[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CCN1 ZINC001246755619 1073521501 /nfs/dbraw/zinc/52/15/01/1073521501.db2.gz QAHLHFLXTZWEST-GJZGRUSLSA-N 0 0 427.527 -0.818 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CN1 ZINC001246756407 1073521532 /nfs/dbraw/zinc/52/15/32/1073521532.db2.gz RINIDZKIUVGZCU-CABCVRRESA-N 0 0 427.527 -0.818 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CN1 ZINC001246756408 1073521704 /nfs/dbraw/zinc/52/17/04/1073521704.db2.gz RINIDZKIUVGZCU-GJZGRUSLSA-N 0 0 427.527 -0.818 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN([C@@H]3CN[C@H](C(=O)OC(C)(C)C)C3)CC[C@H]2S1(=O)=O ZINC001246759071 1073521886 /nfs/dbraw/zinc/52/18/86/1073521886.db2.gz KHQZWNKPHDLHAL-LTIDMASMSA-N 0 0 444.598 -0.127 20 0 IBADRN CN(C)C(=O)CN1C[C@H]2CCN([C@H]3CN[C@H](C(=O)OC(C)(C)C)C3)CC[C@H]2S1(=O)=O ZINC001246759143 1073521562 /nfs/dbraw/zinc/52/15/62/1073521562.db2.gz KHQZWNKPHDLHAL-WCXIOVBPSA-N 0 0 444.598 -0.127 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(=O)(=O)C2CC2)CN1 ZINC001246764301 1073523201 /nfs/dbraw/zinc/52/32/01/1073523201.db2.gz GCIRKTNLZPRLRT-HOCLYGCPSA-N 0 0 439.538 -0.676 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(=O)(=O)C2CC2)CN1 ZINC001246764311 1073522971 /nfs/dbraw/zinc/52/29/71/1073522971.db2.gz GCIRKTNLZPRLRT-ZBFHGGJFSA-N 0 0 439.538 -0.676 20 0 IBADRN COC(=O)[C@H]1C[C@@H](N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)CN1 ZINC001246764867 1073523066 /nfs/dbraw/zinc/52/30/66/1073523066.db2.gz RBYWAMLXZZJTLZ-CLCXKQKWSA-N 0 0 428.555 -0.904 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)CN1 ZINC001246764913 1073523101 /nfs/dbraw/zinc/52/31/01/1073523101.db2.gz RBYWAMLXZZJTLZ-DOXZYTNZSA-N 0 0 428.555 -0.904 20 0 IBADRN COC(=O)[C@H]1C[C@H](N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)CN1 ZINC001246764915 1073523276 /nfs/dbraw/zinc/52/32/76/1073523276.db2.gz RBYWAMLXZZJTLZ-KHYOSLBOSA-N 0 0 428.555 -0.904 20 0 IBADRN COC(=O)[C@H]1C[C@H](N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)CN1 ZINC001246764920 1073523143 /nfs/dbraw/zinc/52/31/43/1073523143.db2.gz RBYWAMLXZZJTLZ-QMTMVMCOSA-N 0 0 428.555 -0.904 20 0 IBADRN COC(=O)[C@H]1C[C@@H](N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)CN1 ZINC001246764924 1073523124 /nfs/dbraw/zinc/52/31/24/1073523124.db2.gz RBYWAMLXZZJTLZ-SPYBWZPUSA-N 0 0 428.555 -0.904 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)CN1 ZINC001246764928 1073523000 /nfs/dbraw/zinc/52/30/00/1073523000.db2.gz RBYWAMLXZZJTLZ-VCBZYWHSSA-N 0 0 428.555 -0.904 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)CN1 ZINC001246764933 1073523220 /nfs/dbraw/zinc/52/32/20/1073523220.db2.gz RBYWAMLXZZJTLZ-YZVOILCLSA-N 0 0 428.555 -0.904 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)CN1 ZINC001246764938 1073523309 /nfs/dbraw/zinc/52/33/09/1073523309.db2.gz RBYWAMLXZZJTLZ-ZRGWGRIASA-N 0 0 428.555 -0.904 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)CCN1 ZINC001246766464 1073523039 /nfs/dbraw/zinc/52/30/39/1073523039.db2.gz BITPMSSNKQNOEM-CVEARBPZSA-N 0 0 443.508 -0.594 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)CCN1 ZINC001246766465 1073523870 /nfs/dbraw/zinc/52/38/70/1073523870.db2.gz BITPMSSNKQNOEM-HOTGVXAUSA-N 0 0 443.508 -0.594 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(=O)(=O)C2CC2)CCN1 ZINC001246769956 1073523676 /nfs/dbraw/zinc/52/36/76/1073523676.db2.gz XUITVGBAAXSRQX-HOCLYGCPSA-N 0 0 439.538 -0.676 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N(Cc2cc(=O)n3c(n2)CNCCC3)S(=O)(=O)C2CC2)CCN1 ZINC001246769961 1073523773 /nfs/dbraw/zinc/52/37/73/1073523773.db2.gz XUITVGBAAXSRQX-ZBFHGGJFSA-N 0 0 439.538 -0.676 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)CN1 ZINC001246771600 1073524440 /nfs/dbraw/zinc/52/44/40/1073524440.db2.gz NNFZZMFBVWNULW-CVEARBPZSA-N 0 0 443.508 -0.594 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)CN1 ZINC001246771601 1073524472 /nfs/dbraw/zinc/52/44/72/1073524472.db2.gz NNFZZMFBVWNULW-HOTGVXAUSA-N 0 0 443.508 -0.594 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)CN1 ZINC001246773859 1073524374 /nfs/dbraw/zinc/52/43/74/1073524374.db2.gz VYERORATCWVYTD-CABCVRRESA-N 0 0 429.481 -0.984 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)CN1 ZINC001246773861 1073524647 /nfs/dbraw/zinc/52/46/47/1073524647.db2.gz VYERORATCWVYTD-GJZGRUSLSA-N 0 0 429.481 -0.984 20 0 IBADRN COC(=O)[C@H]1C[C@@H](N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)CN1 ZINC001246773862 1073524338 /nfs/dbraw/zinc/52/43/38/1073524338.db2.gz VYERORATCWVYTD-HUUCEWRRSA-N 0 0 429.481 -0.984 20 0 IBADRN COC(=O)[C@H]1C[C@H](N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)CN1 ZINC001246773864 1073524390 /nfs/dbraw/zinc/52/43/90/1073524390.db2.gz VYERORATCWVYTD-LSDHHAIUSA-N 0 0 429.481 -0.984 20 0 IBADRN C[S@@](=O)CCCCNC(=S)Nc1ncnc2c1ncn2[C@@H]1O[C@H](CO)C[C@H]1O ZINC001246776599 1073524480 /nfs/dbraw/zinc/52/44/80/1073524480.db2.gz JWORGUCRTAMWCJ-HKEPKONESA-N 0 0 428.540 -0.088 20 0 IBADRN C[S@@](=O)CCCCNC(=S)Nc1ncnc2c1ncn2[C@H]1C[C@H](O)[C@@H](CO)O1 ZINC001246778885 1073524450 /nfs/dbraw/zinc/52/44/50/1073524450.db2.gz XZQYRFOUNVHISF-IIJYSVDKSA-N 0 0 428.540 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@]2(CCN(C(=O)NCc3nnc4n3CCNC4=O)C2)C1 ZINC001246797416 1073525187 /nfs/dbraw/zinc/52/51/87/1073525187.db2.gz ABBAUFHMEMJERD-IBGZPJMESA-N 0 0 435.485 -0.057 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@]2(CCN(C(=O)NCc3nnc4n3CCNC4=O)C2)C1 ZINC001246797417 1073525174 /nfs/dbraw/zinc/52/51/74/1073525174.db2.gz ABBAUFHMEMJERD-LJQANCHMSA-N 0 0 435.485 -0.057 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001246797846 1073524982 /nfs/dbraw/zinc/52/49/82/1073524982.db2.gz CBKPEWKRGRDUOY-UHFFFAOYSA-N 0 0 433.494 -0.621 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)c1OC ZINC001246798877 1073525036 /nfs/dbraw/zinc/52/50/36/1073525036.db2.gz DZQWCTOVVRLTEC-UHFFFAOYSA-N 0 0 443.464 -0.294 20 0 IBADRN C[S@@](=O)CCCCNC(=S)Nc1ncnc2c1ccn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001246805023 1073525133 /nfs/dbraw/zinc/52/51/33/1073525133.db2.gz WOMUCHIGCXSAOW-RNGQLBFVSA-N 0 0 443.551 -0.512 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(C(=S)NCCCC[S@@](C)=O)CCC2 ZINC001246817202 1073524996 /nfs/dbraw/zinc/52/49/96/1073524996.db2.gz NHCLSEGPOHYZJQ-GDLZYMKVSA-N 0 0 443.595 -0.255 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CN1 ZINC001246829119 1073525113 /nfs/dbraw/zinc/52/51/13/1073525113.db2.gz AGLFBHCGLVZXSM-QAETUUGQSA-N 0 0 439.557 -0.733 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CN1 ZINC001246829123 1073525144 /nfs/dbraw/zinc/52/51/44/1073525144.db2.gz AGLFBHCGLVZXSM-QZWWFDLISA-N 0 0 439.557 -0.733 20 0 IBADRN CCOC(=O)[C@@H]1C[C@@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CCN1 ZINC001246830998 1073525063 /nfs/dbraw/zinc/52/50/63/1073525063.db2.gz JOCBBKAQCRJYJN-QAETUUGQSA-N 0 0 439.557 -0.733 20 0 IBADRN CCOC(=O)[C@@H]1C[C@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CCN1 ZINC001246830999 1073525015 /nfs/dbraw/zinc/52/50/15/1073525015.db2.gz JOCBBKAQCRJYJN-QZWWFDLISA-N 0 0 439.557 -0.733 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CN1 ZINC001246831678 1073525198 /nfs/dbraw/zinc/52/51/98/1073525198.db2.gz KBODHGRQAIKVID-FAPUVCABSA-N 0 0 439.557 -0.733 20 0 IBADRN CC[C@@]1(C(=O)OC)C[C@@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CN1 ZINC001246831680 1073525099 /nfs/dbraw/zinc/52/50/99/1073525099.db2.gz KBODHGRQAIKVID-JXSLOMFPSA-N 0 0 439.557 -0.733 20 0 IBADRN CC[C@@]1(C(=O)OC)C[C@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CN1 ZINC001246831683 1073525223 /nfs/dbraw/zinc/52/52/23/1073525223.db2.gz KBODHGRQAIKVID-OSAWLIQMSA-N 0 0 439.557 -0.733 20 0 IBADRN CC[C@]1(C(=O)OC)C[C@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)CN1 ZINC001246831685 1073525000 /nfs/dbraw/zinc/52/50/00/1073525000.db2.gz KBODHGRQAIKVID-VCAMNPENSA-N 0 0 439.557 -0.733 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(C(=S)NCCCC[S@@](C)=O)C3)OCC2=O)cn1 ZINC001246846346 1073524974 /nfs/dbraw/zinc/52/49/74/1073524974.db2.gz VDUMUTDXHLRETJ-LDLUVENISA-N 0 0 443.595 -0.113 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(C(=S)NCCCC[S@@](C)=O)C3)OCC2=O)cn1 ZINC001246846347 1073524990 /nfs/dbraw/zinc/52/49/90/1073524990.db2.gz VDUMUTDXHLRETJ-RBSBEOHCSA-N 0 0 443.595 -0.113 20 0 IBADRN O=C([C@@H]1C[C@H](N2CCN(c3ncccn3)CC2)CN1[C@H]1CNC(=O)C1)N1CCC(F)(F)C1 ZINC001246895888 1073525916 /nfs/dbraw/zinc/52/59/16/1073525916.db2.gz QAGOICYINVNNQS-IKGGRYGDSA-N 0 0 449.506 -0.202 20 0 IBADRN O=C([C@@H]1C[C@H](N2CCN(c3ncccn3)CC2)CN1[C@@H]1CNC(=O)C1)N1CCC(F)(F)C1 ZINC001246895904 1073525543 /nfs/dbraw/zinc/52/55/43/1073525543.db2.gz QAGOICYINVNNQS-ULQDDVLXSA-N 0 0 449.506 -0.202 20 0 IBADRN COC(=O)[C@H]1C[C@@H](N2CCN(c3ccc(S(=O)(=O)N(C)C)c4nonc43)CC2)CN1 ZINC001246920074 1073525496 /nfs/dbraw/zinc/52/54/96/1073525496.db2.gz CPGRAOSUJCVJLY-CHWSQXEVSA-N 0 0 438.510 -0.501 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](N2CCN(c3ccc(S(=O)(=O)N(C)C)c4nonc43)CC2)CN1 ZINC001246920084 1073525989 /nfs/dbraw/zinc/52/59/89/1073525989.db2.gz CPGRAOSUJCVJLY-OLZOCXBDSA-N 0 0 438.510 -0.501 20 0 IBADRN COC(=O)[C@H]1C[C@H](N2CCN(c3ccc(S(=O)(=O)N(C)C)c4nonc43)CC2)CN1 ZINC001246920088 1073525862 /nfs/dbraw/zinc/52/58/62/1073525862.db2.gz CPGRAOSUJCVJLY-QWHCGFSZSA-N 0 0 438.510 -0.501 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2CCN(c3ccc(S(=O)(=O)N(C)C)c4nonc43)CC2)CN1 ZINC001246920092 1073526351 /nfs/dbraw/zinc/52/63/51/1073526351.db2.gz CPGRAOSUJCVJLY-STQMWFEESA-N 0 0 438.510 -0.501 20 0 IBADRN C[S@@](=O)CCCCNC(=S)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001247027653 1073526687 /nfs/dbraw/zinc/52/66/87/1073526687.db2.gz CUGJAOFLDOPIGX-WLOOLWSVSA-N 0 0 428.580 -0.817 20 0 IBADRN COC(=O)[C@H](CCCCNC(=S)NCCCC[S@@](C)=O)NC(=O)CNC(C)=O ZINC001247028697 1073526611 /nfs/dbraw/zinc/52/66/11/1073526611.db2.gz PYSQKVUVIOIZQJ-HJFSHJIFSA-N 0 0 436.600 -0.427 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNC(=S)Nc1ccc(N2CCOCC2)cc1 ZINC001247263406 1073526489 /nfs/dbraw/zinc/52/64/89/1073526489.db2.gz YOJXROAFYMXALQ-UHFFFAOYSA-N 0 0 437.522 -0.395 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNC(=S)Nc1ccc(N2CCOCC2)cc1 ZINC001247279036 1073526374 /nfs/dbraw/zinc/52/63/74/1073526374.db2.gz AYFCOSSDISQCGJ-INIZCTEOSA-N 0 0 448.549 -0.598 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=S)Nc2ccc(N3CCOCC3)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001247281935 1073526517 /nfs/dbraw/zinc/52/65/17/1073526517.db2.gz MJURSJSNDRBDCQ-ARYPMIFLSA-N 0 0 427.523 -0.346 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=S)Nc2c(F)cc(F)cc2F)c(=O)[nH]1 ZINC001247453376 1073526637 /nfs/dbraw/zinc/52/66/37/1073526637.db2.gz ZKJABAJQIBMISW-ICGCDAGXSA-N 0 0 432.380 -0.028 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=S)Nc2ccc(N3CCOCC3)nc2)CC1 ZINC001247566808 1073526701 /nfs/dbraw/zinc/52/67/01/1073526701.db2.gz FGPWNOLLELDOSF-UHFFFAOYSA-N 0 0 428.584 -0.218 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNC(=S)Nc1ccc(N2CCOCC2)nc1 ZINC001247579765 1073526506 /nfs/dbraw/zinc/52/65/06/1073526506.db2.gz PKOSDIMURKPYEJ-UHFFFAOYSA-N 0 0 438.510 -1.000 20 0 IBADRN Cc1cn(CC(=O)NCCNC(=S)Nc2ccc(N3CCOCC3)nc2)c(=O)[nH]c1=O ZINC001247580176 1073526336 /nfs/dbraw/zinc/52/63/36/1073526336.db2.gz UTMQLRWRXQLIKQ-UHFFFAOYSA-N 0 0 447.521 -0.408 20 0 IBADRN C[C@@H]1O[C@@H](OCCNC(=S)Nc2ccc(N3CCOCC3)nc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001247583816 1073527035 /nfs/dbraw/zinc/52/70/35/1073527035.db2.gz AHQIHCZTXKMMIB-PAZMTAOXSA-N 0 0 428.511 -0.951 20 0 IBADRN O=C(c1nc(-c2nccc(I)c2F)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247600099 1073527055 /nfs/dbraw/zinc/52/70/55/1073527055.db2.gz IYJXJZYCRKCBGJ-HFHMMWAZSA-N 0 0 439.137 -0.867 20 0 IBADRN O=C(c1nc(-c2nccc(I)c2F)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247600105 1073527206 /nfs/dbraw/zinc/52/72/06/1073527206.db2.gz IYJXJZYCRKCBGJ-JISRMSBWSA-N 0 0 439.137 -0.867 20 0 IBADRN O=C(c1nc(-c2nccc(I)c2F)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247600106 1073527259 /nfs/dbraw/zinc/52/72/59/1073527259.db2.gz IYJXJZYCRKCBGJ-LQQYEPNUSA-N 0 0 439.137 -0.867 20 0 IBADRN O=C(c1nc(-c2nccc(I)c2F)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247600107 1073526980 /nfs/dbraw/zinc/52/69/80/1073526980.db2.gz IYJXJZYCRKCBGJ-WLGLDCGKSA-N 0 0 439.137 -0.867 20 0 IBADRN O=C(c1nc(-c2cc(I)ccc2F)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247606863 1073527168 /nfs/dbraw/zinc/52/71/68/1073527168.db2.gz AGHDERDZALSHRI-IVZWLZJFSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(I)ccc2F)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247606864 1073527136 /nfs/dbraw/zinc/52/71/36/1073527136.db2.gz AGHDERDZALSHRI-KXUCPTDWSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(I)ccc2F)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247606865 1073527113 /nfs/dbraw/zinc/52/71/13/1073527113.db2.gz AGHDERDZALSHRI-LPEHRKFASA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(I)ccc2F)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247606866 1073527003 /nfs/dbraw/zinc/52/70/03/1073527003.db2.gz AGHDERDZALSHRI-OPRDCNLKSA-N 0 0 438.149 -0.262 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3cc(I)ccc3F)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247607158 1073527254 /nfs/dbraw/zinc/52/72/54/1073527254.db2.gz AFAXHBCRALYRHY-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3cc(I)ccc3F)no2)[C@H](O)[C@@H]1O ZINC001247607159 1073527085 /nfs/dbraw/zinc/52/70/85/1073527085.db2.gz AFAXHBCRALYRHY-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3cccc(F)c3I)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247607403 1073527158 /nfs/dbraw/zinc/52/71/58/1073527158.db2.gz CZCCMESYUXGFAV-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3cccc(F)c3I)no2)[C@H](O)[C@@H]1O ZINC001247607404 1073527070 /nfs/dbraw/zinc/52/70/70/1073527070.db2.gz CZCCMESYUXGFAV-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN Cc1ccc(I)cc1-c1noc(C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)n1 ZINC001247607508 1073527228 /nfs/dbraw/zinc/52/72/28/1073527228.db2.gz BMMHBQWVARXFHA-GARJFASQSA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1ccc(I)cc1-c1noc(C(=O)[C@H](O)[C@H](O)[C@H](O)CO)n1 ZINC001247607509 1073527185 /nfs/dbraw/zinc/52/71/85/1073527185.db2.gz BMMHBQWVARXFHA-GMTAPVOTSA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1ccc(I)cc1-c1noc(C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)n1 ZINC001247607510 1073527215 /nfs/dbraw/zinc/52/72/15/1073527215.db2.gz BMMHBQWVARXFHA-HBNTYKKESA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1ccc(I)cc1-c1noc(C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)n1 ZINC001247607511 1073527241 /nfs/dbraw/zinc/52/72/41/1073527241.db2.gz BMMHBQWVARXFHA-OUAUKWLOSA-N 0 0 434.186 -0.093 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3cc(F)cc(I)c3)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247607984 1073527268 /nfs/dbraw/zinc/52/72/68/1073527268.db2.gz DOGOFSUKTNFXFV-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3cc(F)cc(I)c3)no2)[C@H](O)[C@@H]1O ZINC001247607985 1073527264 /nfs/dbraw/zinc/52/72/64/1073527264.db2.gz DOGOFSUKTNFXFV-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN O=C(c1nc(-c2cccc(-n3cc(Br)cn3)c2)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247608478 1073527749 /nfs/dbraw/zinc/52/77/49/1073527749.db2.gz FBORYRYZZXDEFY-FRRDWIJNSA-N 0 0 439.222 -0.058 20 0 IBADRN O=C(c1nc(-c2cccc(-n3cc(Br)cn3)c2)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247608479 1073527809 /nfs/dbraw/zinc/52/78/09/1073527809.db2.gz FBORYRYZZXDEFY-JHJVBQTASA-N 0 0 439.222 -0.058 20 0 IBADRN O=C(c1nc(-c2cccc(-n3cc(Br)cn3)c2)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247608480 1073527800 /nfs/dbraw/zinc/52/78/00/1073527800.db2.gz FBORYRYZZXDEFY-RWMBFGLXSA-N 0 0 439.222 -0.058 20 0 IBADRN O=C(c1nc(-c2cccc(-n3cc(Br)cn3)c2)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247608481 1073527641 /nfs/dbraw/zinc/52/76/41/1073527641.db2.gz FBORYRYZZXDEFY-YNEHKIRRSA-N 0 0 439.222 -0.058 20 0 IBADRN COCOc1ccc(Br)cc1-c1noc([C@H]2O[C@H](O)[C@H](O)[C@@H](O)[C@H]2O)n1 ZINC001247609348 1073527763 /nfs/dbraw/zinc/52/77/63/1073527763.db2.gz JLVSIROXYDPHIA-AATHHKPASA-N 0 0 433.211 -0.046 20 0 IBADRN COCOc1ccc(Br)cc1-c1noc([C@H]2O[C@@H](O)[C@H](O)[C@@H](O)[C@H]2O)n1 ZINC001247609357 1073527535 /nfs/dbraw/zinc/52/75/35/1073527535.db2.gz JLVSIROXYDPHIA-MVIRXUPPSA-N 0 0 433.211 -0.046 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3ccc(F)cc3I)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247609439 1073527728 /nfs/dbraw/zinc/52/77/28/1073527728.db2.gz JYNMPUSFEPTOSA-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3ccc(F)cc3I)no2)[C@H](O)[C@@H]1O ZINC001247609442 1073527846 /nfs/dbraw/zinc/52/78/46/1073527846.db2.gz JYNMPUSFEPTOSA-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3ccc(I)cc3F)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247610204 1073527674 /nfs/dbraw/zinc/52/76/74/1073527674.db2.gz OGZGZMHXMHMGRO-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3ccc(I)cc3F)no2)[C@H](O)[C@@H]1O ZINC001247610205 1073527508 /nfs/dbraw/zinc/52/75/08/1073527508.db2.gz OGZGZMHXMHMGRO-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN O=C(c1nc(-c2ccc(I)c(F)c2)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247610435 1073527612 /nfs/dbraw/zinc/52/76/12/1073527612.db2.gz IYJYHHSNSFPNTB-IVZWLZJFSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(I)c(F)c2)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247610436 1073527776 /nfs/dbraw/zinc/52/77/76/1073527776.db2.gz IYJYHHSNSFPNTB-KXUCPTDWSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(I)c(F)c2)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247610437 1073527821 /nfs/dbraw/zinc/52/78/21/1073527821.db2.gz IYJYHHSNSFPNTB-LPEHRKFASA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(I)c(F)c2)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247610438 1073527570 /nfs/dbraw/zinc/52/75/70/1073527570.db2.gz IYJYHHSNSFPNTB-OPRDCNLKSA-N 0 0 438.149 -0.262 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3ccc(I)c(F)c3)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247610445 1073527553 /nfs/dbraw/zinc/52/75/53/1073527553.db2.gz PAYMQPUZZPKVEB-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3ccc(I)c(F)c3)no2)[C@H](O)[C@@H]1O ZINC001247610446 1073527717 /nfs/dbraw/zinc/52/77/17/1073527717.db2.gz PAYMQPUZZPKVEB-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN O=C(c1nc(-c2ccc(-n3cc(Br)cn3)cc2)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247610800 1073527597 /nfs/dbraw/zinc/52/75/97/1073527597.db2.gz KWJWIJGUQRFHEZ-FRRDWIJNSA-N 0 0 439.222 -0.058 20 0 IBADRN O=C(c1nc(-c2ccc(-n3cc(Br)cn3)cc2)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247610802 1073527703 /nfs/dbraw/zinc/52/77/03/1073527703.db2.gz KWJWIJGUQRFHEZ-JHJVBQTASA-N 0 0 439.222 -0.058 20 0 IBADRN O=C(c1nc(-c2ccc(-n3cc(Br)cn3)cc2)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247610804 1073528125 /nfs/dbraw/zinc/52/81/25/1073528125.db2.gz KWJWIJGUQRFHEZ-RWMBFGLXSA-N 0 0 439.222 -0.058 20 0 IBADRN O=C(c1nc(-c2ccc(-n3cc(Br)cn3)cc2)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247610806 1073528328 /nfs/dbraw/zinc/52/83/28/1073528328.db2.gz KWJWIJGUQRFHEZ-YNEHKIRRSA-N 0 0 439.222 -0.058 20 0 IBADRN COc1cc(-c2noc([C@H]3O[C@H](O)[C@H](O)[C@@H](O)[C@H]3O)n2)cc(Br)c1OC ZINC001247610812 1073527661 /nfs/dbraw/zinc/52/76/61/1073527661.db2.gz UCMMSSLTEFUKEQ-AWERMBDISA-N 0 0 433.211 -0.011 20 0 IBADRN COc1cc(-c2noc([C@H]3O[C@@H](O)[C@H](O)[C@@H](O)[C@H]3O)n2)cc(Br)c1OC ZINC001247610815 1073527690 /nfs/dbraw/zinc/52/76/90/1073527690.db2.gz UCMMSSLTEFUKEQ-KISBCMQVSA-N 0 0 433.211 -0.011 20 0 IBADRN COCOc1ccc(Br)cc1-c1noc(C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)n1 ZINC001247611200 1073528379 /nfs/dbraw/zinc/52/83/79/1073528379.db2.gz LSTXGYVICZGHEV-ADEWGFFLSA-N 0 0 433.211 -0.261 20 0 IBADRN COCOc1ccc(Br)cc1-c1noc(C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)n1 ZINC001247611211 1073528274 /nfs/dbraw/zinc/52/82/74/1073528274.db2.gz LSTXGYVICZGHEV-MVWJERBFSA-N 0 0 433.211 -0.261 20 0 IBADRN COCOc1ccc(Br)cc1-c1noc(C(=O)[C@H](O)[C@H](O)[C@H](O)CO)n1 ZINC001247611213 1073528483 /nfs/dbraw/zinc/52/84/83/1073528483.db2.gz LSTXGYVICZGHEV-YUSALJHKSA-N 0 0 433.211 -0.261 20 0 IBADRN COCOc1ccc(Br)cc1-c1noc(C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)n1 ZINC001247611214 1073528181 /nfs/dbraw/zinc/52/81/81/1073528181.db2.gz LSTXGYVICZGHEV-ZMLRMANQSA-N 0 0 433.211 -0.261 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3ccc(F)c(I)c3)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247611439 1073528437 /nfs/dbraw/zinc/52/84/37/1073528437.db2.gz WOHOZKOGCCGYNS-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3ccc(F)c(I)c3)no2)[C@H](O)[C@@H]1O ZINC001247611440 1073528422 /nfs/dbraw/zinc/52/84/22/1073528422.db2.gz WOHOZKOGCCGYNS-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3cccc(I)c3F)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247611453 1073528139 /nfs/dbraw/zinc/52/81/39/1073528139.db2.gz WULVIUCBJBLCPL-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3cccc(I)c3F)no2)[C@H](O)[C@@H]1O ZINC001247611454 1073528312 /nfs/dbraw/zinc/52/83/12/1073528312.db2.gz WULVIUCBJBLCPL-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3cc(F)ccc3I)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247611501 1073528497 /nfs/dbraw/zinc/52/84/97/1073528497.db2.gz YFZWYNDSNACWAQ-XGJGVMOOSA-N 0 0 438.149 -0.047 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3cc(F)ccc3I)no2)[C@H](O)[C@@H]1O ZINC001247611502 1073528340 /nfs/dbraw/zinc/52/83/40/1073528340.db2.gz YFZWYNDSNACWAQ-YYLDOJIMSA-N 0 0 438.149 -0.047 20 0 IBADRN Cc1cc(-c2noc(C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)n2)ccc1I ZINC001247611834 1073528450 /nfs/dbraw/zinc/52/84/50/1073528450.db2.gz OEIVPDXPBWFITO-GARJFASQSA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1cc(-c2noc(C(=O)[C@H](O)[C@H](O)[C@H](O)CO)n2)ccc1I ZINC001247611835 1073528249 /nfs/dbraw/zinc/52/82/49/1073528249.db2.gz OEIVPDXPBWFITO-GMTAPVOTSA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1cc(-c2noc(C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)n2)ccc1I ZINC001247611837 1073528354 /nfs/dbraw/zinc/52/83/54/1073528354.db2.gz OEIVPDXPBWFITO-HBNTYKKESA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1cc(-c2noc(C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)n2)ccc1I ZINC001247611838 1073528156 /nfs/dbraw/zinc/52/81/56/1073528156.db2.gz OEIVPDXPBWFITO-OUAUKWLOSA-N 0 0 434.186 -0.093 20 0 IBADRN COc1cc(Br)c(-c2noc([C@H]3O[C@H](O)[C@H](O)[C@@H](O)[C@H]3O)n2)cc1OC ZINC001247611988 1073528408 /nfs/dbraw/zinc/52/84/08/1073528408.db2.gz YYDRDCIVVNLCEV-AATHHKPASA-N 0 0 433.211 -0.011 20 0 IBADRN COc1cc(Br)c(-c2noc([C@H]3O[C@@H](O)[C@H](O)[C@@H](O)[C@H]3O)n2)cc1OC ZINC001247611995 1073528235 /nfs/dbraw/zinc/52/82/35/1073528235.db2.gz YYDRDCIVVNLCEV-MVIRXUPPSA-N 0 0 433.211 -0.011 20 0 IBADRN O=C(c1nc(-c2ccccc2CS(=O)(=O)c2ccccc2)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247612659 1073528472 /nfs/dbraw/zinc/52/84/72/1073528472.db2.gz QANYLUKFJOICOC-BRWVUGGUSA-N 0 0 448.453 -0.032 20 0 IBADRN O=C(c1nc(-c2ccccc2CS(=O)(=O)c2ccccc2)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247612660 1073528219 /nfs/dbraw/zinc/52/82/19/1073528219.db2.gz QANYLUKFJOICOC-GVDBMIGSSA-N 0 0 448.453 -0.032 20 0 IBADRN O=C(c1nc(-c2ccccc2CS(=O)(=O)c2ccccc2)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247612661 1073528919 /nfs/dbraw/zinc/52/89/19/1073528919.db2.gz QANYLUKFJOICOC-IXDOHACOSA-N 0 0 448.453 -0.032 20 0 IBADRN O=C(c1nc(-c2ccccc2CS(=O)(=O)c2ccccc2)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247612663 1073528866 /nfs/dbraw/zinc/52/88/66/1073528866.db2.gz QANYLUKFJOICOC-YESZJQIVSA-N 0 0 448.453 -0.032 20 0 IBADRN O=C(c1nc(-c2ccc(F)c(I)c2)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247612687 1073528757 /nfs/dbraw/zinc/52/87/57/1073528757.db2.gz QCMJOHQGZIADGT-IVZWLZJFSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(F)c(I)c2)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247612689 1073528895 /nfs/dbraw/zinc/52/88/95/1073528895.db2.gz QCMJOHQGZIADGT-KXUCPTDWSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(F)c(I)c2)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247612691 1073529025 /nfs/dbraw/zinc/52/90/25/1073529025.db2.gz QCMJOHQGZIADGT-LPEHRKFASA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(F)c(I)c2)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247612693 1073529067 /nfs/dbraw/zinc/52/90/67/1073529067.db2.gz QCMJOHQGZIADGT-OPRDCNLKSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(F)ccc2I)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247613618 1073528727 /nfs/dbraw/zinc/52/87/27/1073528727.db2.gz QNBICSNGTVXMNY-IVZWLZJFSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(F)ccc2I)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247613619 1073528999 /nfs/dbraw/zinc/52/89/99/1073528999.db2.gz QNBICSNGTVXMNY-KXUCPTDWSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(F)ccc2I)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247613620 1073528953 /nfs/dbraw/zinc/52/89/53/1073528953.db2.gz QNBICSNGTVXMNY-LPEHRKFASA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(F)ccc2I)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247613621 1073529046 /nfs/dbraw/zinc/52/90/46/1073529046.db2.gz QNBICSNGTVXMNY-OPRDCNLKSA-N 0 0 438.149 -0.262 20 0 IBADRN COc1cc(-c2noc(C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)n2)cc(Br)c1OC ZINC001247614317 1073528978 /nfs/dbraw/zinc/52/89/78/1073528978.db2.gz RLUPUJIVBTUOQE-DVVUODLYSA-N 0 0 433.211 -0.226 20 0 IBADRN COc1cc(-c2noc(C(=O)[C@H](O)[C@H](O)[C@H](O)CO)n2)cc(Br)c1OC ZINC001247614325 1073528845 /nfs/dbraw/zinc/52/88/45/1073528845.db2.gz RLUPUJIVBTUOQE-FBIMIBRVSA-N 0 0 433.211 -0.226 20 0 IBADRN COc1cc(-c2noc(C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)n2)cc(Br)c1OC ZINC001247614329 1073528822 /nfs/dbraw/zinc/52/88/22/1073528822.db2.gz RLUPUJIVBTUOQE-INTQDDNPSA-N 0 0 433.211 -0.226 20 0 IBADRN COc1cc(-c2noc(C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)n2)cc(Br)c1OC ZINC001247614335 1073528876 /nfs/dbraw/zinc/52/88/76/1073528876.db2.gz RLUPUJIVBTUOQE-JMJZKYOTSA-N 0 0 433.211 -0.226 20 0 IBADRN O=C(c1nc(-c2ccc(S(=O)(=O)c3ccccc3)cc2)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247615550 1073528802 /nfs/dbraw/zinc/52/88/02/1073528802.db2.gz VLNPUSLWBBTGFB-ARFHVFGLSA-N 0 0 434.426 -0.173 20 0 IBADRN O=C(c1nc(-c2ccc(S(=O)(=O)c3ccccc3)cc2)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247615735 1073528742 /nfs/dbraw/zinc/52/87/42/1073528742.db2.gz VLNPUSLWBBTGFB-BZUAXINKSA-N 0 0 434.426 -0.173 20 0 IBADRN O=C(c1nc(-c2ccc(S(=O)(=O)c3ccccc3)cc2)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247615737 1073528750 /nfs/dbraw/zinc/52/87/50/1073528750.db2.gz VLNPUSLWBBTGFB-HRCADAONSA-N 0 0 434.426 -0.173 20 0 IBADRN O=C(c1nc(-c2ccc(S(=O)(=O)c3ccccc3)cc2)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247615739 1073528772 /nfs/dbraw/zinc/52/87/72/1073528772.db2.gz VLNPUSLWBBTGFB-OWCLPIDISA-N 0 0 434.426 -0.173 20 0 IBADRN O=C(c1nc(-c2ccc(F)cc2I)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247615746 1073528784 /nfs/dbraw/zinc/52/87/84/1073528784.db2.gz VPTWOBDNQKWWGR-IVZWLZJFSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(F)cc2I)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247615747 1073528932 /nfs/dbraw/zinc/52/89/32/1073528932.db2.gz VPTWOBDNQKWWGR-KXUCPTDWSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(F)cc2I)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247615748 1073529622 /nfs/dbraw/zinc/52/96/22/1073529622.db2.gz VPTWOBDNQKWWGR-LPEHRKFASA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(F)cc2I)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247615749 1073529742 /nfs/dbraw/zinc/52/97/42/1073529742.db2.gz VPTWOBDNQKWWGR-OPRDCNLKSA-N 0 0 438.149 -0.262 20 0 IBADRN O[C@@H]1O[C@H](c2nc(-c3nccc(I)c3F)no2)[C@H](O)[C@H](O)[C@H]1O ZINC001247615788 1073529571 /nfs/dbraw/zinc/52/95/71/1073529571.db2.gz VWUNYFFBCDRGRQ-LDDAIVOZSA-N 0 0 439.137 -0.652 20 0 IBADRN O[C@H]1[C@@H](O)O[C@H](c2nc(-c3nccc(I)c3F)no2)[C@H](O)[C@@H]1O ZINC001247615792 1073529404 /nfs/dbraw/zinc/52/94/04/1073529404.db2.gz VWUNYFFBCDRGRQ-SRMAAPQWSA-N 0 0 439.137 -0.652 20 0 IBADRN O=C(c1nc(-c2cc(F)cc(I)c2)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247615807 1073529642 /nfs/dbraw/zinc/52/96/42/1073529642.db2.gz WALQATAWKXQVHA-IVZWLZJFSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(F)cc(I)c2)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247615809 1073529443 /nfs/dbraw/zinc/52/94/43/1073529443.db2.gz WALQATAWKXQVHA-KXUCPTDWSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(F)cc(I)c2)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247615810 1073529659 /nfs/dbraw/zinc/52/96/59/1073529659.db2.gz WALQATAWKXQVHA-LPEHRKFASA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cc(F)cc(I)c2)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247615812 1073529706 /nfs/dbraw/zinc/52/97/06/1073529706.db2.gz WALQATAWKXQVHA-OPRDCNLKSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(I)cc2F)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247616346 1073529472 /nfs/dbraw/zinc/52/94/72/1073529472.db2.gz WJKWTXFIIVUFJA-IVZWLZJFSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(I)cc2F)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247616347 1073529498 /nfs/dbraw/zinc/52/94/98/1073529498.db2.gz WJKWTXFIIVUFJA-KXUCPTDWSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(I)cc2F)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247616348 1073529679 /nfs/dbraw/zinc/52/96/79/1073529679.db2.gz WJKWTXFIIVUFJA-LPEHRKFASA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2ccc(I)cc2F)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247616349 1073529758 /nfs/dbraw/zinc/52/97/58/1073529758.db2.gz WJKWTXFIIVUFJA-OPRDCNLKSA-N 0 0 438.149 -0.262 20 0 IBADRN Cc1ccc(-c2noc(C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)n2)cc1I ZINC001247616354 1073529549 /nfs/dbraw/zinc/52/95/49/1073529549.db2.gz WJZDINSYUOKBGS-GARJFASQSA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1ccc(-c2noc(C(=O)[C@H](O)[C@H](O)[C@H](O)CO)n2)cc1I ZINC001247616355 1073529724 /nfs/dbraw/zinc/52/97/24/1073529724.db2.gz WJZDINSYUOKBGS-GMTAPVOTSA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1ccc(-c2noc(C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)n2)cc1I ZINC001247616356 1073529377 /nfs/dbraw/zinc/52/93/77/1073529377.db2.gz WJZDINSYUOKBGS-HBNTYKKESA-N 0 0 434.186 -0.093 20 0 IBADRN Cc1ccc(-c2noc(C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)n2)cc1I ZINC001247616357 1073529580 /nfs/dbraw/zinc/52/95/80/1073529580.db2.gz WJZDINSYUOKBGS-OUAUKWLOSA-N 0 0 434.186 -0.093 20 0 IBADRN O=C(c1nc(-c2cccc(F)c2I)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247616762 1073529777 /nfs/dbraw/zinc/52/97/77/1073529777.db2.gz XRJXKMKZIRAEGO-FKTZTGRPSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cccc(F)c2I)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247616763 1073529525 /nfs/dbraw/zinc/52/95/25/1073529525.db2.gz XRJXKMKZIRAEGO-FXBDTBDDSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cccc(F)c2I)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247616764 1073529599 /nfs/dbraw/zinc/52/95/99/1073529599.db2.gz XRJXKMKZIRAEGO-SZEHBUNVSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cccc(F)c2I)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247616765 1073529416 /nfs/dbraw/zinc/52/94/16/1073529416.db2.gz XRJXKMKZIRAEGO-UJNFCWOMSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cccc(I)c2F)no1)[C@H](O)[C@@H](O)[C@H](O)CO ZINC001247617332 1073530399 /nfs/dbraw/zinc/53/03/99/1073530399.db2.gz YMYFIVVRNGQZMY-FKTZTGRPSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cccc(I)c2F)no1)[C@H](O)[C@H](O)[C@@H](O)CO ZINC001247617333 1073530121 /nfs/dbraw/zinc/53/01/21/1073530121.db2.gz YMYFIVVRNGQZMY-FXBDTBDDSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cccc(I)c2F)no1)[C@H](O)[C@H](O)[C@H](O)CO ZINC001247617334 1073530288 /nfs/dbraw/zinc/53/02/88/1073530288.db2.gz YMYFIVVRNGQZMY-SZEHBUNVSA-N 0 0 438.149 -0.262 20 0 IBADRN O=C(c1nc(-c2cccc(I)c2F)no1)[C@H](O)[C@@H](O)[C@@H](O)CO ZINC001247617335 1073530310 /nfs/dbraw/zinc/53/03/10/1073530310.db2.gz YMYFIVVRNGQZMY-UJNFCWOMSA-N 0 0 438.149 -0.262 20 0 IBADRN COc1cc(Br)c(-c2noc(C(=O)[C@H](O)[C@H](O)[C@H](O)CO)n2)cc1OC ZINC001247617390 1073530091 /nfs/dbraw/zinc/53/00/91/1073530091.db2.gz ZEFIMZZZDBSABO-GGZOMVNGSA-N 0 0 433.211 -0.226 20 0 IBADRN COc1cc(Br)c(-c2noc(C(=O)[C@H](O)[C@@H](O)[C@H](O)CO)n2)cc1OC ZINC001247617391 1073530325 /nfs/dbraw/zinc/53/03/25/1073530325.db2.gz ZEFIMZZZDBSABO-JFUSQASVSA-N 0 0 433.211 -0.226 20 0 IBADRN COc1cc(Br)c(-c2noc(C(=O)[C@H](O)[C@@H](O)[C@@H](O)CO)n2)cc1OC ZINC001247617392 1073530421 /nfs/dbraw/zinc/53/04/21/1073530421.db2.gz ZEFIMZZZDBSABO-KPXOXKRLSA-N 0 0 433.211 -0.226 20 0 IBADRN COc1cc(Br)c(-c2noc(C(=O)[C@H](O)[C@H](O)[C@@H](O)CO)n2)cc1OC ZINC001247617393 1073530348 /nfs/dbraw/zinc/53/03/48/1073530348.db2.gz ZEFIMZZZDBSABO-XXILOJSOSA-N 0 0 433.211 -0.226 20 0 IBADRN CSCCCNC(=S)Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001247799455 1073530434 /nfs/dbraw/zinc/53/04/34/1073530434.db2.gz ZPLAXWHFZNYFST-QYVSTXNMSA-N 0 0 432.503 -0.384 20 0 IBADRN CSCCCNC(=S)Nc1nc(F)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@@H]1O ZINC001247799456 1073530385 /nfs/dbraw/zinc/53/03/85/1073530385.db2.gz ZPLAXWHFZNYFST-SQFXPHBZSA-N 0 0 432.503 -0.384 20 0 IBADRN CSCCCNC(=S)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001247908523 1073530368 /nfs/dbraw/zinc/53/03/68/1073530368.db2.gz ATCSDHWAPSGOCI-QQHRNGFRSA-N 0 0 441.583 -0.271 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC(=S)NCC(C)(C)C)[C@H](O)[C@@H]1O ZINC001247943450 1073530071 /nfs/dbraw/zinc/53/00/71/1073530071.db2.gz GOKARCNIWXOXFU-MEQWQQMJSA-N 0 0 437.526 -0.086 20 0 IBADRN Cc1cn(CC(=O)NCCNC(=S)NCCNC(=O)OC(C)(C)C)c(=O)[nH]c1=O ZINC001248100901 1073530165 /nfs/dbraw/zinc/53/01/65/1073530165.db2.gz BOIWFAFAXCJIOD-UHFFFAOYSA-N 0 0 428.515 -0.638 20 0 IBADRN CCOC1CC(CNC(=O)N2CCCN(C(=O)CNC(C)=O)CC2)(N2CCOCC2)C1 ZINC001248107842 1073530210 /nfs/dbraw/zinc/53/02/10/1073530210.db2.gz FIFMFBJEOZVGQX-UHFFFAOYSA-N 0 0 439.557 -0.364 20 0 IBADRN Cc1cn([C@H]2C[C@H](NC(=S)NCCNC(=O)OC(C)(C)C)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001248121715 1073530262 /nfs/dbraw/zinc/53/02/62/1073530262.db2.gz BECXVJXOUPLNNR-YNEHKIRRSA-N 0 0 443.526 -0.105 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NC(=S)NCCNC(=O)OC(C)(C)C ZINC001248127758 1073530187 /nfs/dbraw/zinc/53/01/87/1073530187.db2.gz WPGRKBLQHXVXRM-SRVKXCTJSA-N 0 0 447.558 -0.454 20 0 IBADRN O=C(NC(=S)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O)c1ccc(Cl)cc1 ZINC001248219390 1073530245 /nfs/dbraw/zinc/53/02/45/1073530245.db2.gz ZBFHORTUOXLGAC-BPGGGUHBSA-N 0 0 440.865 -0.474 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNC(=S)NC(=O)c1ccc(Cl)cc1 ZINC001248228504 1073530933 /nfs/dbraw/zinc/53/09/33/1073530933.db2.gz KDJSUTBQZMXINK-LBPRGKRZSA-N 0 0 425.898 -0.463 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NCc3nnc4n3CCOC4)CC2)c1 ZINC001248372363 1073530700 /nfs/dbraw/zinc/53/07/00/1073530700.db2.gz BZGAIIBKTGPTCU-UHFFFAOYSA-N 0 0 448.505 -0.121 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)NCc3nnc4n3CCOC4)CC2=O)C1 ZINC001248373119 1073530920 /nfs/dbraw/zinc/53/09/20/1073530920.db2.gz OAZGFHPFBXLTSP-GFCCVEGCSA-N 0 0 435.485 -0.172 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)NCc3nnc4n3CCOC4)CC2=O)C1 ZINC001248373120 1073530762 /nfs/dbraw/zinc/53/07/62/1073530762.db2.gz OAZGFHPFBXLTSP-LBPRGKRZSA-N 0 0 435.485 -0.172 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001248387687 1073530844 /nfs/dbraw/zinc/53/08/44/1073530844.db2.gz DEUIODPQYXALEN-UHFFFAOYSA-N 0 0 439.490 -0.412 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CC1 ZINC001248388174 1073530898 /nfs/dbraw/zinc/53/08/98/1073530898.db2.gz SSRPHBIHUYJMBF-NRFANRHFSA-N 0 0 428.445 -0.353 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CC1 ZINC001248388175 1073530858 /nfs/dbraw/zinc/53/08/58/1073530858.db2.gz SSRPHBIHUYJMBF-OAQYLSRUSA-N 0 0 428.445 -0.353 20 0 IBADRN CCOC(=O)[C@@H](C)n1cc(Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001248391917 1073530784 /nfs/dbraw/zinc/53/07/84/1073530784.db2.gz BEYZYYNOBZKDTA-IOLFNBPGSA-N 0 0 437.449 -0.071 20 0 IBADRN CCOC(=O)[C@H](C)n1cc(Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cn1 ZINC001248391920 1073530775 /nfs/dbraw/zinc/53/07/75/1073530775.db2.gz BEYZYYNOBZKDTA-OIPODQLLSA-N 0 0 437.449 -0.071 20 0 IBADRN CCOC(=O)[C@@H](C)n1cc(Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001248397538 1073530797 /nfs/dbraw/zinc/53/07/97/1073530797.db2.gz BEYZYYNOBZKDTA-LLVWRSTESA-N 0 0 437.449 -0.071 20 0 IBADRN CCOC(=O)[C@H](C)n1cc(Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)cn1 ZINC001248397539 1073530834 /nfs/dbraw/zinc/53/08/34/1073530834.db2.gz BEYZYYNOBZKDTA-PIQHXFFRSA-N 0 0 437.449 -0.071 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC001248442172 1073530750 /nfs/dbraw/zinc/53/07/50/1073530750.db2.gz GILQJZZMZPLMIM-DZGCQCFKSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC001248442178 1073530870 /nfs/dbraw/zinc/53/08/70/1073530870.db2.gz GILQJZZMZPLMIM-HIFRSBDPSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC001248442179 1073530911 /nfs/dbraw/zinc/53/09/11/1073530911.db2.gz GILQJZZMZPLMIM-UKRRQHHQSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC001248442180 1073530809 /nfs/dbraw/zinc/53/08/09/1073530809.db2.gz GILQJZZMZPLMIM-ZFWWWQNUSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1S(=O)(=O)N(C)C ZINC001248442373 1073530743 /nfs/dbraw/zinc/53/07/43/1073530743.db2.gz ZKLWCDYDOLPVCA-HNNXBMFYSA-N 0 0 445.563 -0.110 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1S(=O)(=O)N(C)C ZINC001248442374 1073530716 /nfs/dbraw/zinc/53/07/16/1073530716.db2.gz ZKLWCDYDOLPVCA-OAHLLOKOSA-N 0 0 445.563 -0.110 20 0 IBADRN NS(=O)(=O)C1CN(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC001248449848 1073530730 /nfs/dbraw/zinc/53/07/30/1073530730.db2.gz JNAPMITWDCLAPD-AWEZNQCLSA-N 0 0 428.486 -0.475 20 0 IBADRN NS(=O)(=O)C1CN(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC001248449870 1073530819 /nfs/dbraw/zinc/53/08/19/1073530819.db2.gz JNAPMITWDCLAPD-CQSZACIVSA-N 0 0 428.486 -0.475 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCc3ncccc3S(C)(=O)=O)CC2)CCOC1 ZINC001248451459 1073531375 /nfs/dbraw/zinc/53/13/75/1073531375.db2.gz YAVYBLYFGRRXKM-GOSISDBHSA-N 0 0 426.495 -0.356 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCc3ncccc3S(C)(=O)=O)CC2)CCOC1 ZINC001248451460 1073531385 /nfs/dbraw/zinc/53/13/85/1073531385.db2.gz YAVYBLYFGRRXKM-SFHVURJKSA-N 0 0 426.495 -0.356 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2ccccc2c1=O ZINC001248452603 1073531248 /nfs/dbraw/zinc/53/12/48/1073531248.db2.gz BCELLXAQNNDLSI-AWEZNQCLSA-N 0 0 448.501 -0.578 20 0 IBADRN Cn1nc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc2c1=O ZINC001248452615 1073531280 /nfs/dbraw/zinc/53/12/80/1073531280.db2.gz BCELLXAQNNDLSI-CQSZACIVSA-N 0 0 448.501 -0.578 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC001248456740 1073531269 /nfs/dbraw/zinc/53/12/69/1073531269.db2.gz FLJYWGXGAKTKSO-INIZCTEOSA-N 0 0 445.563 -0.456 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC001248456741 1073531460 /nfs/dbraw/zinc/53/14/60/1073531460.db2.gz FLJYWGXGAKTKSO-MRXNPFEDSA-N 0 0 445.563 -0.456 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1C(=O)N[C@H](CCS(C)(=O)=O)C1=O ZINC001248457009 1073531473 /nfs/dbraw/zinc/53/14/73/1073531473.db2.gz SDSJBCLIHZXTSK-CABCVRRESA-N 0 0 437.474 -0.532 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1C(=O)N[C@@H](CCS(C)(=O)=O)C1=O ZINC001248457010 1073531231 /nfs/dbraw/zinc/53/12/31/1073531231.db2.gz SDSJBCLIHZXTSK-GJZGRUSLSA-N 0 0 437.474 -0.532 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1C(=O)N[C@H](CCS(C)(=O)=O)C1=O ZINC001248457011 1073531399 /nfs/dbraw/zinc/53/13/99/1073531399.db2.gz SDSJBCLIHZXTSK-HUUCEWRRSA-N 0 0 437.474 -0.532 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1C(=O)N[C@@H](CCS(C)(=O)=O)C1=O ZINC001248457012 1073531366 /nfs/dbraw/zinc/53/13/66/1073531366.db2.gz SDSJBCLIHZXTSK-LSDHHAIUSA-N 0 0 437.474 -0.532 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCCCCC2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001248462644 1073531481 /nfs/dbraw/zinc/53/14/81/1073531481.db2.gz XJMJOSVKTRBXQI-INIZCTEOSA-N 0 0 443.570 -0.981 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCCCCC2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001248462645 1073531499 /nfs/dbraw/zinc/53/14/99/1073531499.db2.gz XJMJOSVKTRBXQI-MRXNPFEDSA-N 0 0 443.570 -0.981 20 0 IBADRN O=C(NCC[C@H]1CNC(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001248485151 1073531325 /nfs/dbraw/zinc/53/13/25/1073531325.db2.gz NOHRHTTXHNYTMW-CYBMUJFWSA-N 0 0 435.506 -0.277 20 0 IBADRN O=C(NCC[C@@H]1CNC(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001248485152 1073531508 /nfs/dbraw/zinc/53/15/08/1073531508.db2.gz NOHRHTTXHNYTMW-ZDUSSCGKSA-N 0 0 435.506 -0.277 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CNC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)[C@@H]2C1 ZINC001248490518 1073531914 /nfs/dbraw/zinc/53/19/14/1073531914.db2.gz GJPLJAMMJAXCJR-QKDCVEJESA-N 0 0 434.501 -0.360 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(O)cc2OC)c(=O)n1CC(=O)N1CCOCC1 ZINC001248491068 1073531840 /nfs/dbraw/zinc/53/18/40/1073531840.db2.gz FXZXYRGQKPXDMC-UHFFFAOYSA-N 0 0 448.497 -0.345 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001248491469 1073531888 /nfs/dbraw/zinc/53/18/88/1073531888.db2.gz MPJXTFIGEIEDEA-CYBMUJFWSA-N 0 0 430.504 -0.104 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001248491472 1073531814 /nfs/dbraw/zinc/53/18/14/1073531814.db2.gz MPJXTFIGEIEDEA-ZDUSSCGKSA-N 0 0 430.504 -0.104 20 0 IBADRN NC(=O)C1CCN(C(=O)CNc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001248491977 1073531938 /nfs/dbraw/zinc/53/19/38/1073531938.db2.gz SRNNBGDBAHDZMJ-UHFFFAOYSA-N 0 0 428.486 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@](C)(CNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1 ZINC001248493895 1073531898 /nfs/dbraw/zinc/53/18/98/1073531898.db2.gz ZVKFFCDTBOYBCW-CXAGYDPISA-N 0 0 433.531 -0.444 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@](C)(CNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1 ZINC001248493896 1073531827 /nfs/dbraw/zinc/53/18/27/1073531827.db2.gz ZVKFFCDTBOYBCW-DYVFJYSZSA-N 0 0 433.531 -0.444 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@](C)(CNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1 ZINC001248493897 1073532006 /nfs/dbraw/zinc/53/20/06/1073532006.db2.gz ZVKFFCDTBOYBCW-GUYCJALGSA-N 0 0 433.531 -0.444 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@](C)(CNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1 ZINC001248493898 1073531976 /nfs/dbraw/zinc/53/19/76/1073531976.db2.gz ZVKFFCDTBOYBCW-SUMWQHHRSA-N 0 0 433.531 -0.444 20 0 IBADRN COCCN(C(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O)[C@H]1CCS(=O)(=O)C1 ZINC001248514756 1073531871 /nfs/dbraw/zinc/53/18/71/1073531871.db2.gz HWADOPCXIZCXFM-HNNXBMFYSA-N 0 0 442.538 -0.078 20 0 IBADRN COCCN(C(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001248514757 1073531950 /nfs/dbraw/zinc/53/19/50/1073531950.db2.gz HWADOPCXIZCXFM-OAHLLOKOSA-N 0 0 442.538 -0.078 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCc2cn(C3CN(C(=O)OC(C)(C)C)C3)nn2)C1 ZINC001248517929 1073532028 /nfs/dbraw/zinc/53/20/28/1073532028.db2.gz PDJLJJQDYKDZLI-AWEZNQCLSA-N 0 0 443.530 -0.097 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2cn(C3CN(C(=O)OC(C)(C)C)C3)nn2)C1 ZINC001248517930 1073531924 /nfs/dbraw/zinc/53/19/24/1073531924.db2.gz PDJLJJQDYKDZLI-CQSZACIVSA-N 0 0 443.530 -0.097 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccc(CN2C(=O)CNC2=O)c1 ZINC001248518891 1073532018 /nfs/dbraw/zinc/53/20/18/1073532018.db2.gz OZFNDPDFHSSUEN-HNNXBMFYSA-N 0 0 436.490 -0.496 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccc(CN2C(=O)CNC2=O)c1 ZINC001248518894 1073531989 /nfs/dbraw/zinc/53/19/89/1073531989.db2.gz OZFNDPDFHSSUEN-OAHLLOKOSA-N 0 0 436.490 -0.496 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCN([S@@](C)(=N)=O)CC3)C2)cc1 ZINC001248529389 1073531801 /nfs/dbraw/zinc/53/18/01/1073531801.db2.gz CEAWXSIYHUCMCJ-HHHXNRCGSA-N 0 0 431.540 -0.013 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCN([S@](C)(=N)=O)CC3)C2)cc1 ZINC001248529390 1073532385 /nfs/dbraw/zinc/53/23/85/1073532385.db2.gz CEAWXSIYHUCMCJ-MHZLTWQESA-N 0 0 431.540 -0.013 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCN(S(C)(=O)=O)[C@@H](C)C3)C2)cc1 ZINC001248529466 1073532556 /nfs/dbraw/zinc/53/25/56/1073532556.db2.gz DTDHGEABZDFGGT-ZDUSSCGKSA-N 0 0 446.551 -0.257 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@@]3(OC)CCS(=O)(=O)C3)C2)cc1 ZINC001248530106 1073532726 /nfs/dbraw/zinc/53/27/26/1073532726.db2.gz IRWOAFVJRFFXCK-KRWDZBQOSA-N 0 0 447.535 -0.429 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@]3(OC)CCS(=O)(=O)C3)C2)cc1 ZINC001248530107 1073532489 /nfs/dbraw/zinc/53/24/89/1073532489.db2.gz IRWOAFVJRFFXCK-QGZVFWFLSA-N 0 0 447.535 -0.429 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001248532074 1073532660 /nfs/dbraw/zinc/53/26/60/1073532660.db2.gz WEPOTJDKFHBXRT-INIZCTEOSA-N 0 0 446.551 -0.209 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001248532075 1073532647 /nfs/dbraw/zinc/53/26/47/1073532647.db2.gz WEPOTJDKFHBXRT-MRXNPFEDSA-N 0 0 446.551 -0.209 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001248532256 1073532462 /nfs/dbraw/zinc/53/24/62/1073532462.db2.gz YBJQSDFGVZVTHP-INIZCTEOSA-N 0 0 446.551 -0.209 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001248532257 1073532475 /nfs/dbraw/zinc/53/24/75/1073532475.db2.gz YBJQSDFGVZVTHP-MRXNPFEDSA-N 0 0 446.551 -0.209 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC001248532283 1073532568 /nfs/dbraw/zinc/53/25/68/1073532568.db2.gz YYYRLAFNIJOWND-UHFFFAOYSA-N 0 0 434.540 -0.303 20 0 IBADRN [NH3+]CC(=O)NCC(=O)NCC(=O)NCc1nc(-c2ccc3[n-]c(=S)sc3c2)no1 ZINC001248546489 1073533275 /nfs/dbraw/zinc/53/32/75/1073533275.db2.gz CIZXYDIYZMCPHR-UHFFFAOYSA-N 0 0 435.491 -0.558 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CC(O)(c4ncnn4C)C3)C2=O)c(OC)c1 ZINC001248553524 1073534412 /nfs/dbraw/zinc/53/44/12/1073534412.db2.gz UZZVQSUVIYMJGZ-HNNXBMFYSA-N 0 0 430.465 -0.154 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CC(O)(c4ncnn4C)C3)C2=O)c(OC)c1 ZINC001248553525 1073534516 /nfs/dbraw/zinc/53/45/16/1073534516.db2.gz UZZVQSUVIYMJGZ-OAHLLOKOSA-N 0 0 430.465 -0.154 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC001248578771 1073534678 /nfs/dbraw/zinc/53/46/78/1073534678.db2.gz SFLZTDUGJCXYNG-HNNXBMFYSA-N 0 0 443.547 -0.296 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC001248578781 1073534655 /nfs/dbraw/zinc/53/46/55/1073534655.db2.gz SFLZTDUGJCXYNG-OAHLLOKOSA-N 0 0 443.547 -0.296 20 0 IBADRN CS(=O)(=O)c1ccccc1CCNC(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001248579858 1073534381 /nfs/dbraw/zinc/53/43/81/1073534381.db2.gz VJNNFEIOLMSUAZ-UHFFFAOYSA-N 0 0 425.554 -0.011 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC001248590900 1073534710 /nfs/dbraw/zinc/53/47/10/1073534710.db2.gz TYMDENBMFOYXPH-UHFFFAOYSA-N 0 0 434.540 -0.847 20 0 IBADRN COC(=O)C1=NO[C@@]2(CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc3ccccc3)C2)C1 ZINC001248591207 1073534592 /nfs/dbraw/zinc/53/45/92/1073534592.db2.gz FTLFHOYINGEINJ-NRFANRHFSA-N 0 0 445.476 -0.135 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc3ccccc3)C2)C1 ZINC001248591208 1073534642 /nfs/dbraw/zinc/53/46/42/1073534642.db2.gz FTLFHOYINGEINJ-OAQYLSRUSA-N 0 0 445.476 -0.135 20 0 IBADRN COCc1nnc2n1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1)C2 ZINC001248591286 1073534630 /nfs/dbraw/zinc/53/46/30/1073534630.db2.gz ITDSVBDHDOVQLI-UHFFFAOYSA-N 0 0 429.481 -0.276 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)C1 ZINC001248591426 1073534694 /nfs/dbraw/zinc/53/46/94/1073534694.db2.gz MUJDWSKIVORDBB-HNNXBMFYSA-N 0 0 425.511 -0.906 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)C1 ZINC001248591427 1073534473 /nfs/dbraw/zinc/53/44/73/1073534473.db2.gz MUJDWSKIVORDBB-OAHLLOKOSA-N 0 0 425.511 -0.906 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)C1 ZINC001248591520 1073535265 /nfs/dbraw/zinc/53/52/65/1073535265.db2.gz PMUUDUSCQUFVGU-INIZCTEOSA-N 0 0 439.538 -0.516 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)C1 ZINC001248591521 1073535157 /nfs/dbraw/zinc/53/51/57/1073535157.db2.gz PMUUDUSCQUFVGU-MRXNPFEDSA-N 0 0 439.538 -0.516 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)NC(C)(C)CNS(C)(=O)=O ZINC001248591595 1073535152 /nfs/dbraw/zinc/53/51/52/1073535152.db2.gz SDNVKLRCDKQVQE-UHFFFAOYSA-N 0 0 427.527 -0.612 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001248591657 1073535293 /nfs/dbraw/zinc/53/52/93/1073535293.db2.gz VPAGOTLCRQWPRS-DLBZAZTESA-N 0 0 436.534 -0.163 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001248591658 1073535144 /nfs/dbraw/zinc/53/51/44/1073535144.db2.gz VPAGOTLCRQWPRS-IAGOWNOFSA-N 0 0 436.534 -0.163 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001248591659 1073535282 /nfs/dbraw/zinc/53/52/82/1073535282.db2.gz VPAGOTLCRQWPRS-IRXDYDNUSA-N 0 0 436.534 -0.163 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001248591660 1073535304 /nfs/dbraw/zinc/53/53/04/1073535304.db2.gz VPAGOTLCRQWPRS-SJORKVTESA-N 0 0 436.534 -0.163 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001248591782 1073535274 /nfs/dbraw/zinc/53/52/74/1073535274.db2.gz ZGERMRILCNRDIP-GOSISDBHSA-N 0 0 445.520 -0.206 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001248591783 1073535129 /nfs/dbraw/zinc/53/51/29/1073535129.db2.gz ZGERMRILCNRDIP-SFHVURJKSA-N 0 0 445.520 -0.206 20 0 IBADRN C[C@H]1CN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)CCN1S(C)(=O)=O ZINC001248595139 1073535214 /nfs/dbraw/zinc/53/52/14/1073535214.db2.gz JSGCPGMKWXKPHQ-AWEZNQCLSA-N 0 0 425.511 -0.906 20 0 IBADRN Cn1cc(N2CCN(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)CC2=O)cn1 ZINC001248595936 1073535164 /nfs/dbraw/zinc/53/51/64/1073535164.db2.gz XHTAWYMZVOPFQA-UHFFFAOYSA-N 0 0 427.465 -0.789 20 0 IBADRN O=C(CNC(=O)NCC1(O)CCC2(CC1)OCCO2)NCC(=O)NCc1ccccc1 ZINC001248598510 1073535139 /nfs/dbraw/zinc/53/51/39/1073535139.db2.gz FZOBBUSTFPFPAQ-UHFFFAOYSA-N 0 0 434.493 -0.234 20 0 IBADRN C[C@@H]1CN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)CCN1S(C)(=O)=O ZINC001248598991 1073535230 /nfs/dbraw/zinc/53/52/30/1073535230.db2.gz JSGCPGMKWXKPHQ-CQSZACIVSA-N 0 0 425.511 -0.906 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCC(=O)N2CCOCC2)c1 ZINC001248600888 1073535220 /nfs/dbraw/zinc/53/52/20/1073535220.db2.gz OHTNVHIDSMTYEV-UHFFFAOYSA-N 0 0 426.495 -0.851 20 0 IBADRN NC(=O)C1CCN(C(=O)CN(CC(=O)N2CCC(C(N)=O)CC2)Cc2ccccc2)CC1 ZINC001248616956 1073535235 /nfs/dbraw/zinc/53/52/35/1073535235.db2.gz MJRRJVOTWFBGIY-UHFFFAOYSA-N 0 0 443.548 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)NCCN2CC[C@H](O)C2)C1 ZINC001248619805 1073535172 /nfs/dbraw/zinc/53/51/72/1073535172.db2.gz FXAZLAVCEABFSS-GJZGRUSLSA-N 0 0 434.559 -0.224 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)NCCN2CC[C@H](O)C2)C1 ZINC001248619806 1073535203 /nfs/dbraw/zinc/53/52/03/1073535203.db2.gz FXAZLAVCEABFSS-LSDHHAIUSA-N 0 0 434.559 -0.224 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1F ZINC001248621352 1073535924 /nfs/dbraw/zinc/53/59/24/1073535924.db2.gz IBNPLCXZZSCPOG-GFCCVEGCSA-N 0 0 436.483 -0.028 20 0 IBADRN CC(C)NS(=O)(=O)c1cc(C(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)ccc1F ZINC001248621358 1073535745 /nfs/dbraw/zinc/53/57/45/1073535745.db2.gz IBNPLCXZZSCPOG-LBPRGKRZSA-N 0 0 436.483 -0.028 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001248626607 1073535714 /nfs/dbraw/zinc/53/57/14/1073535714.db2.gz QSVKVMWDONIYKH-UHFFFAOYSA-N 0 0 439.490 -0.412 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)NCCN1Cc2ccccc2OCC1=O ZINC001248630860 1073535900 /nfs/dbraw/zinc/53/59/00/1073535900.db2.gz KAVSRYDJTFNSBW-UHFFFAOYSA-N 0 0 432.524 -0.236 20 0 IBADRN COCC1(CNC(=O)N2CCN(CC(N)=O)C(=O)C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001248638955 1073535829 /nfs/dbraw/zinc/53/58/29/1073535829.db2.gz PZWDTVDKGFRNPO-UHFFFAOYSA-N 0 0 441.529 -0.011 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NC[C@H]4CC(C(N)=O)=NO4)CC3)C2=O)n(C)n1 ZINC001248642216 1073535610 /nfs/dbraw/zinc/53/56/10/1073535610.db2.gz CSIQITXGXDPTTH-GDBMZVCRSA-N 0 0 446.512 -0.819 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NC[C@@H]4CC(C(N)=O)=NO4)CC3)C2=O)n(C)n1 ZINC001248642217 1073535968 /nfs/dbraw/zinc/53/59/68/1073535968.db2.gz CSIQITXGXDPTTH-GOEBONIOSA-N 0 0 446.512 -0.819 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@@H]4CC(C(N)=O)=NO4)CC3)C2=O)n(C)n1 ZINC001248642218 1073535641 /nfs/dbraw/zinc/53/56/41/1073535641.db2.gz CSIQITXGXDPTTH-HOCLYGCPSA-N 0 0 446.512 -0.819 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@H]4CC(C(N)=O)=NO4)CC3)C2=O)n(C)n1 ZINC001248642219 1073535912 /nfs/dbraw/zinc/53/59/12/1073535912.db2.gz CSIQITXGXDPTTH-ZBFHGGJFSA-N 0 0 446.512 -0.819 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)N2CCC([C@@H]3OCC[C@H]3NC(=O)C(F)(F)F)CC2)C1 ZINC001248642592 1073535873 /nfs/dbraw/zinc/53/58/73/1073535873.db2.gz HESXAUAAEDXAOV-LOWVWBTDSA-N 0 0 435.403 -0.126 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)N2CCC([C@@H]3OCC[C@H]3NC(=O)C(F)(F)F)CC2)C1 ZINC001248642603 1073535781 /nfs/dbraw/zinc/53/57/81/1073535781.db2.gz HESXAUAAEDXAOV-WZRBSPASSA-N 0 0 435.403 -0.126 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001248644164 1073535844 /nfs/dbraw/zinc/53/58/44/1073535844.db2.gz HNLLZDDOVUSSCD-JTQLQIEISA-N 0 0 437.927 -0.329 20 0 IBADRN CNS(=O)(=O)c1ccc(Cl)c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001248644165 1073535860 /nfs/dbraw/zinc/53/58/60/1073535860.db2.gz HNLLZDDOVUSSCD-SNVBAGLBSA-N 0 0 437.927 -0.329 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC001248644498 1073535951 /nfs/dbraw/zinc/53/59/51/1073535951.db2.gz SJLHVHPYLDNEPK-UHFFFAOYSA-N 0 0 430.552 -0.346 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)c(C)n2n1 ZINC001248644548 1073535623 /nfs/dbraw/zinc/53/56/23/1073535623.db2.gz WCULCTRTDJFAFD-GFCCVEGCSA-N 0 0 440.551 -0.185 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)c(C)n2n1 ZINC001248644551 1073535654 /nfs/dbraw/zinc/53/56/54/1073535654.db2.gz WCULCTRTDJFAFD-LBPRGKRZSA-N 0 0 440.551 -0.185 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001248667640 1073536438 /nfs/dbraw/zinc/53/64/38/1073536438.db2.gz ADNLVJQNYIMTAK-GDBMZVCRSA-N 0 0 428.555 -0.030 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001248667641 1073536363 /nfs/dbraw/zinc/53/63/63/1073536363.db2.gz ADNLVJQNYIMTAK-GOEBONIOSA-N 0 0 428.555 -0.030 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001248667642 1073536398 /nfs/dbraw/zinc/53/63/98/1073536398.db2.gz ADNLVJQNYIMTAK-HOCLYGCPSA-N 0 0 428.555 -0.030 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001248667643 1073536517 /nfs/dbraw/zinc/53/65/17/1073536517.db2.gz ADNLVJQNYIMTAK-ZBFHGGJFSA-N 0 0 428.555 -0.030 20 0 IBADRN CC(=O)N1CCN(C(=O)CS(=O)(=O)Cc2ccc(S(=O)(=O)N3CCCC3)o2)CC1 ZINC001248667861 1073536544 /nfs/dbraw/zinc/53/65/44/1073536544.db2.gz KMBHIFVTEVHKPH-UHFFFAOYSA-N 0 0 447.535 -0.330 20 0 IBADRN CC(C)(C)OC(=O)N1CCCO[C@@H](CNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1 ZINC001248669692 1073536287 /nfs/dbraw/zinc/53/62/87/1073536287.db2.gz BXSIXXXVMTZTPG-KBPBESRZSA-N 0 0 433.531 -0.444 20 0 IBADRN CC(C)(C)OC(=O)N1CCCO[C@@H](CNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1 ZINC001248669693 1073536346 /nfs/dbraw/zinc/53/63/46/1073536346.db2.gz BXSIXXXVMTZTPG-KGLIPLIRSA-N 0 0 433.531 -0.444 20 0 IBADRN CC(C)(C)OC(=O)N1CCCO[C@H](CNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1 ZINC001248669694 1073536455 /nfs/dbraw/zinc/53/64/55/1073536455.db2.gz BXSIXXXVMTZTPG-UONOGXRCSA-N 0 0 433.531 -0.444 20 0 IBADRN CC(C)(C)OC(=O)N1CCCO[C@H](CNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1 ZINC001248669695 1073536265 /nfs/dbraw/zinc/53/62/65/1073536265.db2.gz BXSIXXXVMTZTPG-ZIAGYGMSSA-N 0 0 433.531 -0.444 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCc2nn(C)cc2I)CC1 ZINC001248670079 1073536320 /nfs/dbraw/zinc/53/63/20/1073536320.db2.gz HFGQFEQADIKSNF-UHFFFAOYSA-N 0 0 434.282 -0.060 20 0 IBADRN Cn1cc(I)c(CNC(=O)NCCCCn2cc(C(N)=O)nn2)n1 ZINC001248670678 1073536530 /nfs/dbraw/zinc/53/65/30/1073536530.db2.gz NNEZRJYMKWLAII-UHFFFAOYSA-N 0 0 446.253 -0.005 20 0 IBADRN Cn1cc(I)c(CNC(=O)N2CCC(S(N)(=O)=O)CC2)n1 ZINC001248670978 1073536470 /nfs/dbraw/zinc/53/64/70/1073536470.db2.gz QCXTWMRUIPTCAW-UHFFFAOYSA-N 0 0 427.268 -0.013 20 0 IBADRN COC(=O)[C@@H](F)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001248671938 1073536488 /nfs/dbraw/zinc/53/64/88/1073536488.db2.gz UVDSRYDVJCCXFM-ZDUSSCGKSA-N 0 0 431.442 -0.015 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001248679346 1073536303 /nfs/dbraw/zinc/53/63/03/1073536303.db2.gz KYGAZJSINKPNKN-UHFFFAOYSA-N 0 0 425.511 -0.344 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)C1CCN(c2ccc3nnnn3n2)CC1 ZINC001248696091 1073536414 /nfs/dbraw/zinc/53/64/14/1073536414.db2.gz HXILJEFDYLNIOX-UHFFFAOYSA-N 0 0 444.521 -0.029 20 0 IBADRN CN(Cc1nnc2n1CCC2)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001248699900 1073536243 /nfs/dbraw/zinc/53/62/43/1073536243.db2.gz XJSVXWYWDZGRQS-UHFFFAOYSA-N 0 0 448.553 -0.087 20 0 IBADRN COCC(=O)NC1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001248699903 1073536504 /nfs/dbraw/zinc/53/65/04/1073536504.db2.gz XLHJETKOOVMWOO-UHFFFAOYSA-N 0 0 431.493 -0.305 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001248699930 1073536226 /nfs/dbraw/zinc/53/62/26/1073536226.db2.gz YXOZKTUKQUZHND-UHFFFAOYSA-N 0 0 425.511 -0.126 20 0 IBADRN COc1cc(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)ccc1OCC(N)=O ZINC001248704170 1073536879 /nfs/dbraw/zinc/53/68/79/1073536879.db2.gz WZZXIUHSHPDURH-UHFFFAOYSA-N 0 0 435.481 -0.161 20 0 IBADRN CCO[C@@H]1COC[C@H]1NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001248706802 1073536859 /nfs/dbraw/zinc/53/68/59/1073536859.db2.gz DHYPSFNFGXYDIP-RHSMWYFYSA-N 0 0 427.479 -0.066 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Cn2cc(C(F)(F)F)ccc2=O)CC1 ZINC001248713456 1073536794 /nfs/dbraw/zinc/53/67/94/1073536794.db2.gz NECCULGQFYQKBR-UHFFFAOYSA-N 0 0 428.367 -0.313 20 0 IBADRN O=C(CCc1nc(-c2ccncc2)no1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001248713564 1073537001 /nfs/dbraw/zinc/53/70/01/1073537001.db2.gz SSCZFVUWABWGLR-UHFFFAOYSA-N 0 0 426.433 -0.116 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC001248719458 1073537093 /nfs/dbraw/zinc/53/70/93/1073537093.db2.gz SSPQWVAWNZRGHQ-UHFFFAOYSA-N 0 0 437.585 -0.432 20 0 IBADRN CC1CCN(C(=O)C2CCN(CC(=O)NC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001248725118 1073536967 /nfs/dbraw/zinc/53/69/67/1073536967.db2.gz SGQULGVUMXJNGY-INIZCTEOSA-N 0 0 428.555 -0.030 20 0 IBADRN CC1CCN(C(=O)C2CCN(CC(=O)NC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001248725119 1073537013 /nfs/dbraw/zinc/53/70/13/1073537013.db2.gz SGQULGVUMXJNGY-MRXNPFEDSA-N 0 0 428.555 -0.030 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001248728169 1073536846 /nfs/dbraw/zinc/53/68/46/1073536846.db2.gz AFIUVISQOYPXPE-AWEZNQCLSA-N 0 0 431.536 -0.711 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001248728170 1073536813 /nfs/dbraw/zinc/53/68/13/1073536813.db2.gz AFIUVISQOYPXPE-CQSZACIVSA-N 0 0 431.536 -0.711 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)no1 ZINC001248728913 1073537078 /nfs/dbraw/zinc/53/70/78/1073537078.db2.gz VNZDBPZSXQDYMR-NEPJUHHUSA-N 0 0 432.524 -0.195 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)no1 ZINC001248728914 1073537025 /nfs/dbraw/zinc/53/70/25/1073537025.db2.gz VNZDBPZSXQDYMR-NWDGAFQWSA-N 0 0 432.524 -0.195 20 0 IBADRN Cc1cc(NC(=O)[C@H](C)SCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)no1 ZINC001248728915 1073537062 /nfs/dbraw/zinc/53/70/62/1073537062.db2.gz VNZDBPZSXQDYMR-RYUDHWBXSA-N 0 0 432.524 -0.195 20 0 IBADRN Cc1cc(NC(=O)[C@@H](C)SCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)no1 ZINC001248728916 1073536831 /nfs/dbraw/zinc/53/68/31/1073536831.db2.gz VNZDBPZSXQDYMR-VXGBXAGGSA-N 0 0 432.524 -0.195 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCC2(S(=O)(=O)N(C)C)CC2)CC1 ZINC001248730342 1073536986 /nfs/dbraw/zinc/53/69/86/1073536986.db2.gz GPMJNKXMYXHESJ-UHFFFAOYSA-N 0 0 425.577 -0.676 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)CC1 ZINC001248730367 1073536780 /nfs/dbraw/zinc/53/67/80/1073536780.db2.gz HCSNHQJGJMYPRG-UHFFFAOYSA-N 0 0 443.570 -0.444 20 0 IBADRN CS(=O)(=O)C1CCC(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC001248730630 1073536936 /nfs/dbraw/zinc/53/69/36/1073536936.db2.gz MCPPATBQSOHHPV-UHFFFAOYSA-N 0 0 430.571 -0.224 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)C3=CC=CN4CCS(=O)(=O)N=C34)CC2)CC1 ZINC001248732641 1073536906 /nfs/dbraw/zinc/53/69/06/1073536906.db2.gz KOVKZJXFJOLSAJ-UHFFFAOYSA-N 0 0 449.533 -0.554 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(NC(=O)COC)CC2)c1 ZINC001248733465 1073537041 /nfs/dbraw/zinc/53/70/41/1073537041.db2.gz XYAWIEIIAJWMOY-UHFFFAOYSA-N 0 0 442.538 -0.368 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)c(C)c12 ZINC001248747641 1073537669 /nfs/dbraw/zinc/53/76/69/1073537669.db2.gz WJAYGPDZKHXFEJ-UHFFFAOYSA-N 0 0 427.469 -0.831 20 0 IBADRN Cn1c(CN2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)cccc1=O ZINC001248806105 1073537516 /nfs/dbraw/zinc/53/75/16/1073537516.db2.gz TUOAQSMUFJAJNU-DLBZAZTESA-N 0 0 431.518 -0.092 20 0 IBADRN Cc1nonc1CN1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001248857759 1073537390 /nfs/dbraw/zinc/53/73/90/1073537390.db2.gz OKUXVHHFRLGDAG-AEFFLSMTSA-N 0 0 427.527 -0.147 20 0 IBADRN COc1nccc(-c2noc(C[C@@H]3NC(=O)CNC3=O)n2)c1I ZINC001249247823 1073537616 /nfs/dbraw/zinc/53/76/16/1073537616.db2.gz HMCJMZLFDWXCJJ-ZETCQYMHSA-N 0 0 429.174 -0.098 20 0 IBADRN COc1ncc(I)cc1-c1noc(C[C@@H]2NC(=O)CNC2=O)n1 ZINC001249250148 1073537445 /nfs/dbraw/zinc/53/74/45/1073537445.db2.gz ZWNPPUOFTFRHOA-QMMMGPOBSA-N 0 0 429.174 -0.098 20 0 IBADRN NC(=O)Cc1ccccc1Nc1nc(Cl)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001249598851 1073537340 /nfs/dbraw/zinc/53/73/40/1073537340.db2.gz LNNZJCUVQOTNRC-IWCJZZDYSA-N 0 0 434.840 -0.137 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2ccccc2CC(N)=O)[C@H](O)[C@@H]1O ZINC001249603277 1073537626 /nfs/dbraw/zinc/53/76/26/1073537626.db2.gz ORZVBIBADDIZHF-KSVNGYGVSA-N 0 0 441.448 -0.647 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC(=S)NCCc2ccc(Cl)cc2)c(=O)[nH]1 ZINC001249771023 1073537465 /nfs/dbraw/zinc/53/74/65/1073537465.db2.gz SXLALZKCZZOYPY-DTZQCDIJSA-N 0 0 440.909 -0.072 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNC(=S)NCCc1ccc(Cl)cc1 ZINC001249774444 1073537482 /nfs/dbraw/zinc/53/74/82/1073537482.db2.gz PPMZWSQTVNQLEN-AWEZNQCLSA-N 0 0 425.942 -0.061 20 0 IBADRN CN(C)CCNC(=S)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001249834232 1073537529 /nfs/dbraw/zinc/53/75/29/1073537529.db2.gz JPZFGOGCQOENQA-LSDHHAIUSA-N 0 0 440.595 -0.555 20 0 IBADRN COC(=O)c1c(Cl)cccc1Nc1c(C(N)=O)ncn1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001250144990 1073537999 /nfs/dbraw/zinc/53/79/99/1073537999.db2.gz IIGCDYYMYOGEKB-RVXWVPLUSA-N 0 0 426.813 -0.223 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3Nc2ccc3c(c2)NC(=O)C3)[C@H](O)[C@@H]1O ZINC001250314155 1073538098 /nfs/dbraw/zinc/53/80/98/1073538098.db2.gz PEWLAHABJGQWQF-KSVNGYGVSA-N 0 0 439.432 -0.180 20 0 IBADRN COC(=O)C[C@@H](C(=O)OC)N1C[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001250363978 1073537914 /nfs/dbraw/zinc/53/79/14/1073537914.db2.gz MDEWEWRUNQIBAC-OXRQTFEDSA-N 0 0 448.494 -0.117 20 0 IBADRN COC(=O)C[C@H](C(=O)OC)N1C[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001250363984 1073538136 /nfs/dbraw/zinc/53/81/36/1073538136.db2.gz MDEWEWRUNQIBAC-SDFXEEBMSA-N 0 0 448.494 -0.117 20 0 IBADRN CN1CCO[C@@H](CN2C[C@@]34CN(C(=O)OC(C)(C)C)C[C@@H](C[C@@H]3S2(=O)=O)O4)C1=O ZINC001250368445 1073538172 /nfs/dbraw/zinc/53/81/72/1073538172.db2.gz ZTWNNKRZQWIPSZ-COJSNBRMSA-N 0 0 431.511 -0.364 20 0 IBADRN CN1CCO[C@H](CN2C[C@@]34CN(C(=O)OC(C)(C)C)C[C@@H](C[C@@H]3S2(=O)=O)O4)C1=O ZINC001250368452 1073538084 /nfs/dbraw/zinc/53/80/84/1073538084.db2.gz ZTWNNKRZQWIPSZ-ZXTQRBJTSA-N 0 0 431.511 -0.364 20 0 IBADRN CC(=O)O[C@H]1CO[C@@H]2[C@H](N3C[C@H]4CN(C(=O)OC(C)(C)C)C[C@H]4S3(=O)=O)CO[C@@H]21 ZINC001250400060 1073538123 /nfs/dbraw/zinc/53/81/23/1073538123.db2.gz PISCWTNLHXXSIF-BKQXGZDCSA-N 0 0 432.495 -0.035 20 0 IBADRN CC(=O)O[C@H]1CO[C@@H]2[C@H](N3C[C@@H]4CN(C(=O)OC(C)(C)C)C[C@H]4S3(=O)=O)CO[C@@H]21 ZINC001250400061 1073538115 /nfs/dbraw/zinc/53/81/15/1073538115.db2.gz PISCWTNLHXXSIF-LFTMDZKFSA-N 0 0 432.495 -0.035 20 0 IBADRN CC(=O)O[C@H]1CO[C@@H]2[C@H](N3C[C@H]4CN(C(=O)OC(C)(C)C)C[C@@H]4S3(=O)=O)CO[C@@H]21 ZINC001250400062 1073537956 /nfs/dbraw/zinc/53/79/56/1073537956.db2.gz PISCWTNLHXXSIF-OWDFPOFWSA-N 0 0 432.495 -0.035 20 0 IBADRN CC(=O)O[C@H]1CO[C@@H]2[C@H](N3C[C@@H]4CN(C(=O)OC(C)(C)C)C[C@@H]4S3(=O)=O)CO[C@@H]21 ZINC001250400063 1073538055 /nfs/dbraw/zinc/53/80/55/1073538055.db2.gz PISCWTNLHXXSIF-VNAATALASA-N 0 0 432.495 -0.035 20 0 IBADRN CCOC(=O)c1cc(CN2CCCn3c(=O)cc(CNC(=O)COC)nc3C2)nn1C ZINC001250537601 1073537924 /nfs/dbraw/zinc/53/79/24/1073537924.db2.gz LRBLBSKLDAIBKG-UHFFFAOYSA-N 0 0 432.481 -0.178 20 0 IBADRN CCOC(=O)c1cc(CN2CCOC[C@@]3(CN(c4cnn(C)c4)C(=O)CO3)C2)nn1C ZINC001250543801 1073537985 /nfs/dbraw/zinc/53/79/85/1073537985.db2.gz ZHLDWOHQFVRWNI-FQEVSTJZSA-N 0 0 432.481 -0.035 20 0 IBADRN CCOC(=O)c1cc(CN2CCOC[C@]3(CN(c4cnn(C)c4)C(=O)CO3)C2)nn1C ZINC001250543802 1073537970 /nfs/dbraw/zinc/53/79/70/1073537970.db2.gz ZHLDWOHQFVRWNI-HXUWFJFHSA-N 0 0 432.481 -0.035 20 0 IBADRN CCOC(=O)c1cc(CN2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)nn1C ZINC001250546598 1073538022 /nfs/dbraw/zinc/53/80/22/1073538022.db2.gz NLRHCKHEMGXNTK-RHSMWYFYSA-N 0 0 441.554 -0.089 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cccc3ccccc32)c(=O)[nH]1 ZINC001250627997 1073538066 /nfs/dbraw/zinc/53/80/66/1073538066.db2.gz JNVCLBDRBYSUGZ-BNEJOLLZSA-N 0 0 433.442 -0.300 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC[C@@H](O)COc2ccc(Cl)cc2)c(=O)[nH]1 ZINC001250688406 1073538150 /nfs/dbraw/zinc/53/81/50/1073538150.db2.gz VMBHXNZFTANMEP-MNGYRCLDSA-N 0 0 427.841 -0.749 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC[C@H](O)COc2ccc(Cl)cc2)c(=O)[nH]1 ZINC001250688407 1073538580 /nfs/dbraw/zinc/53/85/80/1073538580.db2.gz VMBHXNZFTANMEP-WRCBOWBKSA-N 0 0 427.841 -0.749 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2ccc3ccccc3c2)c(=O)[nH]1 ZINC001250736750 1073538856 /nfs/dbraw/zinc/53/88/56/1073538856.db2.gz JIDMVQBNPASUKP-VDHUWJSZSA-N 0 0 433.442 -0.300 20 0 IBADRN CC(=O)Nc1nc(C)c(S(=O)(=O)N2C[C@@H](OCC(=O)N(C)C)[C@H]3COC[C@H]32)s1 ZINC001250776594 1073538837 /nfs/dbraw/zinc/53/88/37/1073538837.db2.gz JJDHGIDPHDNGMS-YNEHKIRRSA-N 0 0 432.524 -0.097 20 0 IBADRN CC(=O)Nc1nc(C)c(S(=O)(=O)N2CC[C@H]3[C@@H]2CC(=O)N3CC(=O)N(C)C)s1 ZINC001250778663 1073538658 /nfs/dbraw/zinc/53/86/58/1073538658.db2.gz RTQKSXJNHPTHQP-RYUDHWBXSA-N 0 0 429.524 -0.138 20 0 IBADRN CC(C)c1ccc(OC[C@H](O)CN[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001250783264 1073538493 /nfs/dbraw/zinc/53/84/93/1073538493.db2.gz RRHCONVEBBOGFQ-QSQZVVNTSA-N 0 0 435.477 -0.279 20 0 IBADRN CC(C)c1ccc(OC[C@@H](O)CN[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001250783265 1073538698 /nfs/dbraw/zinc/53/86/98/1073538698.db2.gz RRHCONVEBBOGFQ-RDWNTVFTSA-N 0 0 435.477 -0.279 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1sc(NC(C)=O)nc1C)C2 ZINC001250786596 1073538686 /nfs/dbraw/zinc/53/86/86/1073538686.db2.gz NYKJNGLKWZPWHG-JTQLQIEISA-N 0 0 437.521 -0.239 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1sc(NC(C)=O)nc1C)C2 ZINC001250786601 1073538728 /nfs/dbraw/zinc/53/87/28/1073538728.db2.gz NYKJNGLKWZPWHG-SNVBAGLBSA-N 0 0 437.521 -0.239 20 0 IBADRN CC(=O)Nc1nc(C)c(S(=O)(=O)N2C[C@H](C(=O)N(C)C)C3(CN(C(C)=O)C3)C2)s1 ZINC001250801055 1073538530 /nfs/dbraw/zinc/53/85/30/1073538530.db2.gz UUPAIDDGQRCALE-CYBMUJFWSA-N 0 0 443.551 -0.033 20 0 IBADRN CC(=O)Nc1nc(C)c(S(=O)(=O)N2C[C@@H](C(=O)N(C)C)C3(CN(C(C)=O)C3)C2)s1 ZINC001250801059 1073538775 /nfs/dbraw/zinc/53/87/75/1073538775.db2.gz UUPAIDDGQRCALE-ZDUSSCGKSA-N 0 0 443.551 -0.033 20 0 IBADRN CC(=O)Nc1nc(C)c(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)s1 ZINC001250839537 1073538639 /nfs/dbraw/zinc/53/86/39/1073538639.db2.gz RERGSSXDPITERW-UHFFFAOYSA-N 0 0 425.558 -0.735 20 0 IBADRN CC(=O)Nc1nc(C)c(S(=O)(=O)NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)s1 ZINC001250842761 1073538794 /nfs/dbraw/zinc/53/87/94/1073538794.db2.gz OJXCZPCCDSONEU-UHFFFAOYSA-N 0 0 444.495 -0.925 20 0 IBADRN CC(=O)Nc1nc(C)c(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCC(N)=O)s1 ZINC001250858365 1073538673 /nfs/dbraw/zinc/53/86/73/1073538673.db2.gz VIHWIWFGJYCBOD-ZDUSSCGKSA-N 0 0 439.519 -0.099 20 0 IBADRN CC(=O)Nc1nc(C)c(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)s1 ZINC001250858697 1073538816 /nfs/dbraw/zinc/53/88/16/1073538816.db2.gz YFLPKCANAHPFCY-ZDUSSCGKSA-N 0 0 439.519 -0.099 20 0 IBADRN O=S(=O)(Nc1[nH]c(=S)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1cccs1 ZINC001250875936 1073538552 /nfs/dbraw/zinc/53/85/52/1073538552.db2.gz PNDJGEQMVJOOGB-ZRFIDHNTSA-N 0 0 445.504 -0.411 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NC[C@@H](O)COc1ccc(F)cc1F ZINC001250888872 1073538744 /nfs/dbraw/zinc/53/87/44/1073538744.db2.gz PNFXZQQTMZWUOZ-NJAFHUGGSA-N 0 0 437.443 -0.132 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NC[C@H](O)COc1ccc(F)cc1F ZINC001250888900 1073538455 /nfs/dbraw/zinc/53/84/55/1073538455.db2.gz PNFXZQQTMZWUOZ-SZMVWBNQSA-N 0 0 437.443 -0.132 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NS(=O)(=O)c2cccs2)[C@H]1O ZINC001250943193 1073539293 /nfs/dbraw/zinc/53/92/93/1073539293.db2.gz JNVFWMZHBRFONY-NZLORHDLSA-N 0 0 440.507 -0.449 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cccs1)C(N)=O ZINC001250956795 1073539457 /nfs/dbraw/zinc/53/94/57/1073539457.db2.gz APCIWJKFMOFZCW-DGFSRKRXSA-N 0 0 427.504 -0.306 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC[C@@H](O)C(F)(F)F)C(N)=O ZINC001251000669 1073539192 /nfs/dbraw/zinc/53/91/92/1073539192.db2.gz ZVMSRVRYRQCKSM-SDDRHHMPSA-N 0 0 430.493 -0.247 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNC[C@H](O)C(F)(F)F)C(N)=O ZINC001251000671 1073539467 /nfs/dbraw/zinc/53/94/67/1073539467.db2.gz ZVMSRVRYRQCKSM-SRVKXCTJSA-N 0 0 430.493 -0.247 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C[C@H](O)CN1CCOCC1)CCC2)N1CCCC1 ZINC001251015754 1073539895 /nfs/dbraw/zinc/53/98/95/1073539895.db2.gz HMRXNTUBOGHZJZ-GOSISDBHSA-N 0 0 434.541 -0.553 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C[C@@H](O)CN1CCOCC1)CCC2)N1CCCC1 ZINC001251015755 1073540245 /nfs/dbraw/zinc/54/02/45/1073540245.db2.gz HMRXNTUBOGHZJZ-SFHVURJKSA-N 0 0 434.541 -0.553 20 0 IBADRN O=S1(=O)Cc2cnc(N3CCCC3)nc2[C@H]2CN(C[C@H](O)CN3CCOCC3)C[C@H]21 ZINC001251016539 1073540140 /nfs/dbraw/zinc/54/01/40/1073540140.db2.gz KRYWYRBRYLDNLJ-FGTMMUONSA-N 0 0 437.566 -0.534 20 0 IBADRN O=S1(=O)Cc2cnc(N3CCCC3)nc2[C@H]2CN(C[C@@H](O)CN3CCOCC3)C[C@H]21 ZINC001251016540 1073539920 /nfs/dbraw/zinc/53/99/20/1073539920.db2.gz KRYWYRBRYLDNLJ-OKZBNKHCSA-N 0 0 437.566 -0.534 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C[C@@H](O)CN1CCOCC1)CCC2)c1ccco1 ZINC001251016891 1073540702 /nfs/dbraw/zinc/54/07/02/1073540702.db2.gz MRKCXXPVTVRHHX-KRWDZBQOSA-N 0 0 431.493 -0.335 20 0 IBADRN O=C(NCc1cc(=O)n2c(n1)CN(C[C@H](O)CN1CCOCC1)CCC2)c1ccco1 ZINC001251016892 1073540906 /nfs/dbraw/zinc/54/09/06/1073540906.db2.gz MRKCXXPVTVRHHX-QGZVFWFLSA-N 0 0 431.493 -0.335 20 0 IBADRN COCCN1[C@@]2(CCN(C[C@@H](O)CN3CCOCC3)C2)c2ccccc2S1(=O)=O ZINC001251018173 1073540646 /nfs/dbraw/zinc/54/06/46/1073540646.db2.gz WRPQWVBSZXVHEL-FXAWDEMLSA-N 0 0 425.551 -0.069 20 0 IBADRN COCCN1[C@]2(CCN(C[C@@H](O)CN3CCOCC3)C2)c2ccccc2S1(=O)=O ZINC001251018178 1073541150 /nfs/dbraw/zinc/54/11/50/1073541150.db2.gz WRPQWVBSZXVHEL-PXNSSMCTSA-N 0 0 425.551 -0.069 20 0 IBADRN COCCN1[C@]2(CCN(C[C@H](O)CN3CCOCC3)C2)c2ccccc2S1(=O)=O ZINC001251018181 1073540994 /nfs/dbraw/zinc/54/09/94/1073540994.db2.gz WRPQWVBSZXVHEL-XLIONFOSSA-N 0 0 425.551 -0.069 20 0 IBADRN COCCN1[C@@]2(CCN(C[C@H](O)CN3CCOCC3)C2)c2ccccc2S1(=O)=O ZINC001251018185 1073540965 /nfs/dbraw/zinc/54/09/65/1073540965.db2.gz WRPQWVBSZXVHEL-YLJYHZDGSA-N 0 0 425.551 -0.069 20 0 IBADRN O=S1(=O)[C@H]2C[C@@H]3CN(C[C@@H](O)CN4CCOCC4)C[C@@]2(CN1Cc1ccncc1)O3 ZINC001251018737 1073540785 /nfs/dbraw/zinc/54/07/85/1073540785.db2.gz ZNZBVHJEPLSNJW-YRPNKDGESA-N 0 0 438.550 -0.868 20 0 IBADRN O=S1(=O)[C@H]2C[C@@H]3CN(C[C@H](O)CN4CCOCC4)C[C@@]2(CN1Cc1ccncc1)O3 ZINC001251018751 1073540926 /nfs/dbraw/zinc/54/09/26/1073540926.db2.gz ZNZBVHJEPLSNJW-ZRNYENFQSA-N 0 0 438.550 -0.868 20 0 IBADRN O=S(=O)(c1ccccc1NC[C@H](O)CN1CCOCC1)N1CCN(CCO)CC1 ZINC001251026189 1073540603 /nfs/dbraw/zinc/54/06/03/1073540603.db2.gz CLTIEIAJWSLBMF-KRWDZBQOSA-N 0 0 428.555 -0.910 20 0 IBADRN O=S(=O)(c1ccccc1NC[C@@H](O)CN1CCOCC1)N1CCN(CCO)CC1 ZINC001251026191 1073541041 /nfs/dbraw/zinc/54/10/41/1073541041.db2.gz CLTIEIAJWSLBMF-QGZVFWFLSA-N 0 0 428.555 -0.910 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cccc3cccnc32)c(=O)[nH]1 ZINC001251029937 1073541099 /nfs/dbraw/zinc/54/10/99/1073541099.db2.gz PBYQBCOKMGKUFD-GAEVZRCVSA-N 0 0 434.430 -0.905 20 0 IBADRN CCOC(=O)[C@H](NC[C@H](O)CN1CCOCC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001251036734 1073540848 /nfs/dbraw/zinc/54/08/48/1073540848.db2.gz NKDSQSPGGYMLBP-JQHSSLGASA-N 0 0 430.523 -0.662 20 0 IBADRN CCOC(=O)[C@H](NC[C@@H](O)CN1CCOCC1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001251036735 1073541820 /nfs/dbraw/zinc/54/18/20/1073541820.db2.gz NKDSQSPGGYMLBP-NXHRZFHOSA-N 0 0 430.523 -0.662 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)[C@H](CC(N)=O)NC[C@H](O)CN3CCOCC3)ccc12 ZINC001251041372 1073541777 /nfs/dbraw/zinc/54/17/77/1073541777.db2.gz IDUQKXCGKNLQOT-RDJZCZTQSA-N 0 0 432.477 -0.433 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)[C@H](CC(N)=O)NC[C@@H](O)CN3CCOCC3)ccc12 ZINC001251041378 1073541687 /nfs/dbraw/zinc/54/16/87/1073541687.db2.gz IDUQKXCGKNLQOT-WBVHZDCISA-N 0 0 432.477 -0.433 20 0 IBADRN CN(C)S(=O)(=O)N1CCC[C@]2(C(=O)N3CCOCC3)CN(c3ncccn3)C[C@@H]2C1 ZINC001251063999 1073541516 /nfs/dbraw/zinc/54/15/16/1073541516.db2.gz GGMLLPKJSNUYIU-APWZRJJASA-N 0 0 438.554 -0.340 20 0 IBADRN CN(C)S(=O)(=O)NCCOCCOCCOCCOCCNC(=O)OC(C)(C)C ZINC001251100239 1073542397 /nfs/dbraw/zinc/54/23/97/1073542397.db2.gz ARWGANWWFOPKAY-UHFFFAOYSA-N 0 0 443.563 -0.027 20 0 IBADRN Cn1ccn2c(CCNC(=O)N3CCN(CC(=O)Nc4ccccc4)CC3)nnc2c1=O ZINC001251104264 1073542291 /nfs/dbraw/zinc/54/22/91/1073542291.db2.gz MHNHDCAFHDUKJT-UHFFFAOYSA-N 0 0 438.492 -0.064 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NCCc3nnc4c(=O)n(C)ccn34)CC2)CC1 ZINC001251105377 1073542422 /nfs/dbraw/zinc/54/24/22/1073542422.db2.gz SCLWNMFYLGHJCK-UHFFFAOYSA-N 0 0 430.513 -0.834 20 0 IBADRN COCCOCCOCCOCCOCCOCCOCCNS(=O)(=O)N(C)C ZINC001251110332 1073542341 /nfs/dbraw/zinc/54/23/41/1073542341.db2.gz NPILQGSTNRJOPO-UHFFFAOYSA-N 0 0 446.563 -0.872 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)NCc1nnc3c(=O)[nH]ccn13)C2 ZINC001251111309 1073542406 /nfs/dbraw/zinc/54/24/06/1073542406.db2.gz CHEDAKMXSVUWBU-UHFFFAOYSA-N 0 0 431.478 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2CCN(C(=O)NCc3nnc4c(=O)[nH]ccn34)CC2)C1 ZINC001251112717 1073542268 /nfs/dbraw/zinc/54/22/68/1073542268.db2.gz VWNBXYIJUXRLRC-UHFFFAOYSA-N 0 0 432.485 -0.136 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)CC1 ZINC001251117905 1073542322 /nfs/dbraw/zinc/54/23/22/1073542322.db2.gz BWGWNRXLTHBMSY-UHFFFAOYSA-N 0 0 439.604 -0.371 20 0 IBADRN O=S(=O)(Nc1[nH]c(=S)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)c1ccccc1 ZINC001251118060 1073542334 /nfs/dbraw/zinc/54/23/34/1073542334.db2.gz RZTJBRSDNKZPQM-SDBHATRESA-N 0 0 439.475 -0.473 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3ccccc3)C[C@@H]21)N1CCOCC1 ZINC001251124006 1073542388 /nfs/dbraw/zinc/54/23/88/1073542388.db2.gz JWRRUANMTDXVDZ-BRWVUGGUSA-N 0 0 428.532 -0.031 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3ccccc3)C[C@@H]21)N1CCOCC1 ZINC001251124010 1073542446 /nfs/dbraw/zinc/54/24/46/1073542446.db2.gz JWRRUANMTDXVDZ-GVDBMIGSSA-N 0 0 428.532 -0.031 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001251127333 1073542351 /nfs/dbraw/zinc/54/23/51/1073542351.db2.gz DBKHEKCDVHPRQY-UHFFFAOYSA-N 0 0 441.432 -0.018 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCc3cn(CC(F)(F)F)c(=O)[nH]c3=O)CC2)C1=O ZINC001251127343 1073542493 /nfs/dbraw/zinc/54/24/93/1073542493.db2.gz DDZMFRXSCWWOQL-UHFFFAOYSA-N 0 0 432.359 -0.263 20 0 IBADRN COCC(COC)N1CCN(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001251127723 1073542248 /nfs/dbraw/zinc/54/22/48/1073542248.db2.gz JNYGTXRLWWABCJ-UHFFFAOYSA-N 0 0 437.419 0.000 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(Br)cc1C(N)=O)c(=O)n2C ZINC001251131272 1073542279 /nfs/dbraw/zinc/54/22/79/1073542279.db2.gz HZBHMGSYPWLDTD-UHFFFAOYSA-N 0 0 435.238 -0.066 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CCO1 ZINC001251132373 1073542301 /nfs/dbraw/zinc/54/23/01/1073542301.db2.gz ZSKFKKNUWKPTDI-JTQLQIEISA-N 0 0 428.389 -0.534 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CCO1 ZINC001251132381 1073542837 /nfs/dbraw/zinc/54/28/37/1073542837.db2.gz ZSKFKKNUWKPTDI-SNVBAGLBSA-N 0 0 428.389 -0.534 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O ZINC001251132401 1073542981 /nfs/dbraw/zinc/54/29/81/1073542981.db2.gz ZZMONGJZCHLGGW-UHFFFAOYSA-N 0 0 427.405 -0.264 20 0 IBADRN C[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NCC(F)(F)F ZINC001251135165 1073542898 /nfs/dbraw/zinc/54/28/98/1073542898.db2.gz YDTDTBNZVCRDOY-JTQLQIEISA-N 0 0 436.412 -0.396 20 0 IBADRN C[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C(=O)NCC(F)(F)F ZINC001251135169 1073542972 /nfs/dbraw/zinc/54/29/72/1073542972.db2.gz YDTDTBNZVCRDOY-SNVBAGLBSA-N 0 0 436.412 -0.396 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001251136971 1073542927 /nfs/dbraw/zinc/54/29/27/1073542927.db2.gz JFEUZVFSMLDMSV-AWEZNQCLSA-N 0 0 447.535 -0.745 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001251136972 1073542888 /nfs/dbraw/zinc/54/28/88/1073542888.db2.gz JFEUZVFSMLDMSV-CQSZACIVSA-N 0 0 447.535 -0.745 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001251136973 1073542936 /nfs/dbraw/zinc/54/29/36/1073542936.db2.gz JGHDWASAJKWCRK-HNNXBMFYSA-N 0 0 431.536 -0.663 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001251136974 1073542853 /nfs/dbraw/zinc/54/28/53/1073542853.db2.gz JGHDWASAJKWCRK-OAHLLOKOSA-N 0 0 431.536 -0.663 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)Cn2nc3n(c2=O)CCCCC3)CC1 ZINC001251137189 1073542878 /nfs/dbraw/zinc/54/28/78/1073542878.db2.gz PMMJXNBBMWYNLD-UHFFFAOYSA-N 0 0 436.513 -0.033 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc(OC)c1OCC(N)=O ZINC001251140929 1073542960 /nfs/dbraw/zinc/54/29/60/1073542960.db2.gz GDKYYWVKPJZEGU-UHFFFAOYSA-N 0 0 436.465 -0.222 20 0 IBADRN NC(=O)C1(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CCOCC1 ZINC001251146849 1073542943 /nfs/dbraw/zinc/54/29/43/1073542943.db2.gz MQSYWWDKKLHVOM-UHFFFAOYSA-N 0 0 429.470 -0.142 20 0 IBADRN O=C(c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001251155481 1073542951 /nfs/dbraw/zinc/54/29/51/1073542951.db2.gz FBMPITILXXSHSW-AWEZNQCLSA-N 0 0 433.490 -0.223 20 0 IBADRN O=C(c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001251155496 1073542913 /nfs/dbraw/zinc/54/29/13/1073542913.db2.gz FBMPITILXXSHSW-CQSZACIVSA-N 0 0 433.490 -0.223 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC001251156162 1073542826 /nfs/dbraw/zinc/54/28/26/1073542826.db2.gz OVCVDJBYEKHMSU-AWEZNQCLSA-N 0 0 427.513 -0.453 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC001251156163 1073543015 /nfs/dbraw/zinc/54/30/15/1073543015.db2.gz OVCVDJBYEKHMSU-CQSZACIVSA-N 0 0 427.513 -0.453 20 0 IBADRN CNC(=O)C[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001251160999 1073542814 /nfs/dbraw/zinc/54/28/14/1073542814.db2.gz SZHMKNSLFGZZCE-CYBMUJFWSA-N 0 0 426.495 -0.002 20 0 IBADRN CNC(=O)C[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001251161000 1073542921 /nfs/dbraw/zinc/54/29/21/1073542921.db2.gz SZHMKNSLFGZZCE-ZDUSSCGKSA-N 0 0 426.495 -0.002 20 0 IBADRN CO[C@@]1(CNC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CCS(=O)(=O)C1 ZINC001251161264 1073543010 /nfs/dbraw/zinc/54/30/10/1073543010.db2.gz DBQMLTJLVHMAHZ-GOSISDBHSA-N 0 0 443.547 -0.024 20 0 IBADRN CO[C@]1(CNC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)CCS(=O)(=O)C1 ZINC001251161275 1073542994 /nfs/dbraw/zinc/54/29/94/1073542994.db2.gz DBQMLTJLVHMAHZ-SFHVURJKSA-N 0 0 443.547 -0.024 20 0 IBADRN CO[C@@]1(CNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CCS(=O)(=O)C1 ZINC001251161524 1073542905 /nfs/dbraw/zinc/54/29/05/1073542905.db2.gz FPOBUCKGVDFZAV-BXUZGUMPSA-N 0 0 426.538 -0.614 20 0 IBADRN CO[C@]1(CNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CCS(=O)(=O)C1 ZINC001251161529 1073543324 /nfs/dbraw/zinc/54/33/24/1073543324.db2.gz FPOBUCKGVDFZAV-FZMZJTMJSA-N 0 0 426.538 -0.614 20 0 IBADRN CO[C@]1(CNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CCS(=O)(=O)C1 ZINC001251161530 1073543404 /nfs/dbraw/zinc/54/34/04/1073543404.db2.gz FPOBUCKGVDFZAV-RISCZKNCSA-N 0 0 426.538 -0.614 20 0 IBADRN CO[C@@]1(CNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CCS(=O)(=O)C1 ZINC001251161531 1073543545 /nfs/dbraw/zinc/54/35/45/1073543545.db2.gz FPOBUCKGVDFZAV-SMDDNHRTSA-N 0 0 426.538 -0.614 20 0 IBADRN CO[C@]1(CNC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)CCS(=O)(=O)C1 ZINC001251163648 1073543508 /nfs/dbraw/zinc/54/35/08/1073543508.db2.gz ZVCQDYXPRNADIL-INIZCTEOSA-N 0 0 435.524 -0.060 20 0 IBADRN CO[C@@]1(CNC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)CCS(=O)(=O)C1 ZINC001251163649 1073543498 /nfs/dbraw/zinc/54/34/98/1073543498.db2.gz ZVCQDYXPRNADIL-MRXNPFEDSA-N 0 0 435.524 -0.060 20 0 IBADRN CNC(=O)C[C@@H](C)NC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001251164909 1073543531 /nfs/dbraw/zinc/54/35/31/1073543531.db2.gz UNFMVYWKFHVCIH-CYBMUJFWSA-N 0 0 447.558 -0.213 20 0 IBADRN CNC(=O)C[C@H](C)NC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001251164910 1073543637 /nfs/dbraw/zinc/54/36/37/1073543637.db2.gz UNFMVYWKFHVCIH-ZDUSSCGKSA-N 0 0 447.558 -0.213 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCC3(C4(O)CCOCC4)CC3)CC2)CC1 ZINC001251167019 1073543517 /nfs/dbraw/zinc/54/35/17/1073543517.db2.gz RWZUNSZIKDDJLQ-UHFFFAOYSA-N 0 0 445.586 -0.873 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NS(=O)(=O)c2ccccc2)[C@H]1O ZINC001251170283 1073543389 /nfs/dbraw/zinc/54/33/89/1073543389.db2.gz PHBQHDQQKMHLID-HOPMXRPOSA-N 0 0 434.478 -0.510 20 0 IBADRN O=C(NCCN1CCC2(C1)OCCO2)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001251180725 1073543455 /nfs/dbraw/zinc/54/34/55/1073543455.db2.gz DDOPQIXXHVRDGQ-UHFFFAOYSA-N 0 0 429.499 -0.720 20 0 IBADRN COc1cc(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)ccc1OCCO ZINC001251181214 1073543430 /nfs/dbraw/zinc/54/34/30/1073543430.db2.gz ZDIPRZCCPVNKBA-AWEZNQCLSA-N 0 0 439.490 -0.152 20 0 IBADRN COc1cc(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)ccc1OCCO ZINC001251181218 1073543575 /nfs/dbraw/zinc/54/35/75/1073543575.db2.gz ZDIPRZCCPVNKBA-CQSZACIVSA-N 0 0 439.490 -0.152 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCN3CCC4(C3)OCCO4)CC2)cs1 ZINC001251181405 1073543645 /nfs/dbraw/zinc/54/36/45/1073543645.db2.gz PHCCZPVHUCTIBY-UHFFFAOYSA-N 0 0 445.567 -0.084 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NCCN3CCC4(C3)OCCO4)CC2)CC1 ZINC001251181482 1073543339 /nfs/dbraw/zinc/54/33/39/1073543339.db2.gz RDASYAPQPNOYFM-UHFFFAOYSA-N 0 0 437.541 -0.419 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCN2CCC3(C2)OCCO3)C1 ZINC001251181959 1073543554 /nfs/dbraw/zinc/54/35/54/1073543554.db2.gz ZSFRHHAPVZKUNH-INIZCTEOSA-N 0 0 434.559 -0.483 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCN2CCC3(C2)OCCO3)C1 ZINC001251181960 1073543586 /nfs/dbraw/zinc/54/35/86/1073543586.db2.gz ZSFRHHAPVZKUNH-MRXNPFEDSA-N 0 0 434.559 -0.483 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001251186192 1073543486 /nfs/dbraw/zinc/54/34/86/1073543486.db2.gz IFYRNHFKNSZSHC-GUYCJALGSA-N 0 0 448.501 -0.612 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC001251188865 1073543418 /nfs/dbraw/zinc/54/34/18/1073543418.db2.gz UDCXKZRUKAIKQM-GFCCVEGCSA-N 0 0 437.565 -0.602 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC001251188866 1073544124 /nfs/dbraw/zinc/54/41/24/1073544124.db2.gz UDCXKZRUKAIKQM-LBPRGKRZSA-N 0 0 437.565 -0.602 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)COC(=O)C2CCN(S(C)(=O)=O)CC2)cc1 ZINC001251193392 1073544175 /nfs/dbraw/zinc/54/41/75/1073544175.db2.gz FASJYAXMHBKKEN-UHFFFAOYSA-N 0 0 432.520 -0.079 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N1CCC(N2CCNC2=O)CC1 ZINC001251193403 1073544044 /nfs/dbraw/zinc/54/40/44/1073544044.db2.gz FNASMXKFFITWMH-UHFFFAOYSA-N 0 0 428.493 -0.042 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001251201242 1073544165 /nfs/dbraw/zinc/54/41/65/1073544165.db2.gz ISCUYDJOMKAHFX-CYBMUJFWSA-N 0 0 445.519 -0.941 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001251201243 1073544054 /nfs/dbraw/zinc/54/40/54/1073544054.db2.gz ISCUYDJOMKAHFX-ZDUSSCGKSA-N 0 0 445.519 -0.941 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CN2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001251205428 1073544142 /nfs/dbraw/zinc/54/41/42/1073544142.db2.gz HXIUKRHVBYTLMH-HNNXBMFYSA-N 0 0 440.551 -0.630 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CN2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001251205429 1073543991 /nfs/dbraw/zinc/54/39/91/1073543991.db2.gz HXIUKRHVBYTLMH-OAHLLOKOSA-N 0 0 440.551 -0.630 20 0 IBADRN NS(=O)(=O)CCCNC(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC001251220332 1073544033 /nfs/dbraw/zinc/54/40/33/1073544033.db2.gz KEFNSAIUOHOZFR-UHFFFAOYSA-N 0 0 428.330 -0.088 20 0 IBADRN O=C(c1n[nH]c(=O)c2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001251220362 1073544113 /nfs/dbraw/zinc/54/41/13/1073544113.db2.gz LOADOOPJHSNUPR-GFCCVEGCSA-N 0 0 440.503 -0.390 20 0 IBADRN O=C(c1n[nH]c(=O)c2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001251220363 1073544152 /nfs/dbraw/zinc/54/41/52/1073544152.db2.gz LOADOOPJHSNUPR-LBPRGKRZSA-N 0 0 440.503 -0.390 20 0 IBADRN Cc1csc(=O)n1CCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001251220725 1073544015 /nfs/dbraw/zinc/54/40/15/1073544015.db2.gz QZAKJCYIHXJLEB-CYBMUJFWSA-N 0 0 437.565 -0.731 20 0 IBADRN Cc1csc(=O)n1CCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001251220726 1073544024 /nfs/dbraw/zinc/54/40/24/1073544024.db2.gz QZAKJCYIHXJLEB-ZDUSSCGKSA-N 0 0 437.565 -0.731 20 0 IBADRN O=C(CCNC(=O)c1nc2ncc(Br)cn2n1)N[C@@H]1CCS(=O)(=O)C1 ZINC001251224732 1073544097 /nfs/dbraw/zinc/54/40/97/1073544097.db2.gz IIZUDYCIPQYVND-SECBINFHSA-N 0 0 431.272 -0.690 20 0 IBADRN O=C(CCNC(=O)c1nc2ncc(Br)cn2n1)N[C@H]1CCS(=O)(=O)C1 ZINC001251224733 1073544077 /nfs/dbraw/zinc/54/40/77/1073544077.db2.gz IIZUDYCIPQYVND-VIFPVBQESA-N 0 0 431.272 -0.690 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)CN3C(=O)CNC3=O)C2)CC1 ZINC001251225247 1073544003 /nfs/dbraw/zinc/54/40/03/1073544003.db2.gz OGNIPYHHSRKKHS-AWEZNQCLSA-N 0 0 437.497 -0.144 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)CN3C(=O)CNC3=O)C2)CC1 ZINC001251225248 1073544159 /nfs/dbraw/zinc/54/41/59/1073544159.db2.gz OGNIPYHHSRKKHS-CQSZACIVSA-N 0 0 437.497 -0.144 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001251238154 1073544066 /nfs/dbraw/zinc/54/40/66/1073544066.db2.gz FJEWVUGICCXYDA-INIZCTEOSA-N 0 0 430.571 -0.082 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001251238155 1073544134 /nfs/dbraw/zinc/54/41/34/1073544134.db2.gz FJEWVUGICCXYDA-MRXNPFEDSA-N 0 0 430.571 -0.082 20 0 IBADRN COCCCN(C(=O)NCCS(=O)(=O)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001251238279 1073544107 /nfs/dbraw/zinc/54/41/07/1073544107.db2.gz JTWPFRRGWIEKOP-AWEZNQCLSA-N 0 0 426.557 -0.185 20 0 IBADRN COCCCN(C(=O)NCCS(=O)(=O)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001251238280 1073544086 /nfs/dbraw/zinc/54/40/86/1073544086.db2.gz JTWPFRRGWIEKOP-CQSZACIVSA-N 0 0 426.557 -0.185 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001251238405 1073544666 /nfs/dbraw/zinc/54/46/66/1073544666.db2.gz OACDOQROOHIGKT-UHFFFAOYSA-N 0 0 426.561 -0.850 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)NCCS(=O)(=O)C3CCOCC3)CC2)nc1 ZINC001251238685 1073544465 /nfs/dbraw/zinc/54/44/65/1073544465.db2.gz ZLXGDTMFSRTCTI-UHFFFAOYSA-N 0 0 425.511 -0.394 20 0 IBADRN CCc1noc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001251244917 1073544674 /nfs/dbraw/zinc/54/46/74/1073544674.db2.gz FKXIBLDHWMOTEW-CHWSQXEVSA-N 0 0 435.524 -0.259 20 0 IBADRN CCc1noc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001251244918 1073544547 /nfs/dbraw/zinc/54/45/47/1073544547.db2.gz FKXIBLDHWMOTEW-OLZOCXBDSA-N 0 0 435.524 -0.259 20 0 IBADRN CCc1noc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001251244919 1073544605 /nfs/dbraw/zinc/54/46/05/1073544605.db2.gz FKXIBLDHWMOTEW-QWHCGFSZSA-N 0 0 435.524 -0.259 20 0 IBADRN CCc1noc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001251244920 1073544714 /nfs/dbraw/zinc/54/47/14/1073544714.db2.gz FKXIBLDHWMOTEW-STQMWFEESA-N 0 0 435.524 -0.259 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001251256914 1073544504 /nfs/dbraw/zinc/54/45/04/1073544504.db2.gz DAGNDTITCRMCFW-JTQLQIEISA-N 0 0 435.300 -0.957 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001251256915 1073544700 /nfs/dbraw/zinc/54/47/00/1073544700.db2.gz DAGNDTITCRMCFW-SNVBAGLBSA-N 0 0 435.300 -0.957 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1S(N)(=O)=O ZINC001251260617 1073544639 /nfs/dbraw/zinc/54/46/39/1073544639.db2.gz AMHMITFQPHCQBM-UHFFFAOYSA-N 0 0 444.485 -0.379 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC001251260980 1073544481 /nfs/dbraw/zinc/54/44/81/1073544481.db2.gz UAQINAPHEJMCOU-HNNXBMFYSA-N 0 0 425.507 -0.235 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1OC ZINC001251260981 1073544573 /nfs/dbraw/zinc/54/45/73/1073544573.db2.gz UAQINAPHEJMCOU-OAHLLOKOSA-N 0 0 425.507 -0.235 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC001251269002 1073544653 /nfs/dbraw/zinc/54/46/53/1073544653.db2.gz ALRGGSQIRRDELZ-SREVYHEPSA-N 0 0 436.465 -0.280 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC001251269006 1073544682 /nfs/dbraw/zinc/54/46/82/1073544682.db2.gz ALRGGSQIRRDELZ-VOTSOKGWSA-N 0 0 436.465 -0.280 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)OCC(=O)NCc2ccccc2CN2CCCC2=O)nn1 ZINC001251269964 1073544563 /nfs/dbraw/zinc/54/45/63/1073544563.db2.gz RXCQEJMPOSTPBK-UHFFFAOYSA-N 0 0 442.476 -0.038 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001251275623 1073544690 /nfs/dbraw/zinc/54/46/90/1073544690.db2.gz XMHGYYMAGADFSQ-BFHYXJOUSA-N 0 0 436.531 -0.420 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001251275633 1073545099 /nfs/dbraw/zinc/54/50/99/1073545099.db2.gz XMHGYYMAGADFSQ-MGPQQGTHSA-N 0 0 436.531 -0.420 20 0 IBADRN COc1ccc(OC)c(CN2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)c1 ZINC001251277805 1073545344 /nfs/dbraw/zinc/54/53/44/1073545344.db2.gz MUBCLQCMBLDESJ-UHFFFAOYSA-N 0 0 429.543 -0.073 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC001251277987 1073545082 /nfs/dbraw/zinc/54/50/82/1073545082.db2.gz NPCHSCUBNXBIOM-UHFFFAOYSA-N 0 0 426.543 -0.974 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC001251278059 1073545177 /nfs/dbraw/zinc/54/51/77/1073545177.db2.gz PWPVTACZBQLKBR-UHFFFAOYSA-N 0 0 427.483 -0.721 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(C(=O)Cc2c[nH]c3ccccc23)CC1 ZINC001251278062 1073545322 /nfs/dbraw/zinc/54/53/22/1073545322.db2.gz QBPPHZJUMTVTAW-UHFFFAOYSA-N 0 0 436.538 -0.040 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NC[C@@H](O)COc1ccccc1Br ZINC001251278088 1073545124 /nfs/dbraw/zinc/54/51/24/1073545124.db2.gz QYHDGWXJHNRHCH-LLVKDONJSA-N 0 0 439.332 -0.116 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NC[C@H](O)COc1ccccc1Br ZINC001251278089 1073545274 /nfs/dbraw/zinc/54/52/74/1073545274.db2.gz QYHDGWXJHNRHCH-NSHDSACASA-N 0 0 439.332 -0.116 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2=O)cc1 ZINC001251281933 1073545334 /nfs/dbraw/zinc/54/53/34/1073545334.db2.gz KWPSIENJZONXEK-UHFFFAOYSA-N 0 0 427.527 -0.165 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CC[C@]2(C1)CN(C(=O)OC(C)(C)C)CCO2 ZINC001251282035 1073545249 /nfs/dbraw/zinc/54/52/49/1073545249.db2.gz ODMURAJTBUYWGG-KRWDZBQOSA-N 0 0 435.547 -0.196 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CC[C@@]2(C1)CN(C(=O)OC(C)(C)C)CCO2 ZINC001251282036 1073545190 /nfs/dbraw/zinc/54/51/90/1073545190.db2.gz ODMURAJTBUYWGG-QGZVFWFLSA-N 0 0 435.547 -0.196 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC001251282153 1073545165 /nfs/dbraw/zinc/54/51/65/1073545165.db2.gz SONKPKAMAJRPFX-UHFFFAOYSA-N 0 0 430.506 -0.513 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(Cl)c(Cl)cc1Cl ZINC001251310562 1073545300 /nfs/dbraw/zinc/54/53/00/1073545300.db2.gz PVALNVOGZMYXGX-UHFFFAOYSA-N 0 0 431.685 -0.357 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC2C[C@H]3CC[C@@H](C2)S3(=O)=O)CC1 ZINC001251330319 1073545238 /nfs/dbraw/zinc/54/52/38/1073545238.db2.gz XEOIPJFMQPXLJG-AGUYFDCRSA-N 0 0 426.495 -0.903 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NC2C[C@H]3CC[C@@H](C2)S3(=O)=O)CC1 ZINC001251330370 1073545229 /nfs/dbraw/zinc/54/52/29/1073545229.db2.gz YRZDINNGCHGTKE-MGNMYUMUSA-N 0 0 430.571 -0.037 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NC2C[C@H]3CC[C@@H](C2)S3(=O)=O)CC1 ZINC001251330371 1073545138 /nfs/dbraw/zinc/54/51/38/1073545138.db2.gz YRZDINNGCHGTKE-XYTVBORESA-N 0 0 430.571 -0.037 20 0 IBADRN O=C(COC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1)N1CCNC1=O ZINC001251344093 1073545286 /nfs/dbraw/zinc/54/52/86/1073545286.db2.gz XZBXQFVHFQSSBH-UHFFFAOYSA-N 0 0 431.492 -0.150 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)c3cnc4c(c3)c(=O)n(C)c(=O)n4C)CC2)no1 ZINC001251347305 1073545109 /nfs/dbraw/zinc/54/51/09/1073545109.db2.gz WOFOXVLHMIJHAD-UHFFFAOYSA-N 0 0 426.433 -0.037 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@@H]1CS(=O)(=O)c3ccccc31)C2 ZINC001251352025 1073545924 /nfs/dbraw/zinc/54/59/24/1073545924.db2.gz GQILJOBQOXYAKW-CYBMUJFWSA-N 0 0 448.505 -0.771 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@H]1CS(=O)(=O)c3ccccc31)C2 ZINC001251352026 1073545940 /nfs/dbraw/zinc/54/59/40/1073545940.db2.gz GQILJOBQOXYAKW-ZDUSSCGKSA-N 0 0 448.505 -0.771 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)cc1 ZINC001251355741 1073545770 /nfs/dbraw/zinc/54/57/70/1073545770.db2.gz FCYDLFXUTXYTPS-UHFFFAOYSA-N 0 0 445.519 -0.137 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CO1 ZINC001251362032 1073545798 /nfs/dbraw/zinc/54/57/98/1073545798.db2.gz AIFXQVLBFUEACO-CYBMUJFWSA-N 0 0 439.469 -0.460 20 0 IBADRN CC1CCN(C(=O)CS(=O)(=O)c2nnc(CCC(N)=O)n2C[C@@H]2CCCO2)CC1 ZINC001251369195 1073545728 /nfs/dbraw/zinc/54/57/28/1073545728.db2.gz SOTWIQPRQIWUAX-AWEZNQCLSA-N 0 0 427.527 -0.093 20 0 IBADRN CC1CCN(C(=O)CS(=O)(=O)c2nnc(CCC(N)=O)n2C[C@H]2CCCO2)CC1 ZINC001251369196 1073545894 /nfs/dbraw/zinc/54/58/94/1073545894.db2.gz SOTWIQPRQIWUAX-CQSZACIVSA-N 0 0 427.527 -0.093 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)s1)N1CCN(C(=O)C2CC2)CC1 ZINC001251375296 1073545829 /nfs/dbraw/zinc/54/58/29/1073545829.db2.gz CSBMNKLLTUFCCM-UHFFFAOYSA-N 0 0 429.524 -0.771 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Cl)ccc1Cl ZINC001251381003 1073545979 /nfs/dbraw/zinc/54/59/79/1073545979.db2.gz ONRSVUSYIVWKNK-NSHDSACASA-N 0 0 437.305 -0.136 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CO1 ZINC001251384921 1073545710 /nfs/dbraw/zinc/54/57/10/1073545710.db2.gz HONXMXDYZJMYGI-LBPRGKRZSA-N 0 0 430.487 -0.024 20 0 IBADRN CS(=O)(=O)CC1CCC(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC001251388817 1073545761 /nfs/dbraw/zinc/54/57/61/1073545761.db2.gz SGXPBVONCYLAJQ-UHFFFAOYSA-N 0 0 442.538 -0.407 20 0 IBADRN O=C(NC1CC1)[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC001251389293 1073545859 /nfs/dbraw/zinc/54/58/59/1073545859.db2.gz FUQYSJYVLFGQGG-OAHLLOKOSA-N 0 0 434.518 -0.062 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCC(=O)N3CCOCC3)CC2)cn1C ZINC001251389323 1073545741 /nfs/dbraw/zinc/54/57/41/1073545741.db2.gz HQTWPLYKDZRNRA-UHFFFAOYSA-N 0 0 427.527 -0.506 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N3CCC(CO)(CO)CC3)CC2=O)C1 ZINC001251435990 1073546441 /nfs/dbraw/zinc/54/64/41/1073546441.db2.gz BEDHGQSQQAIETA-AWEZNQCLSA-N 0 0 426.514 -0.017 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N3CCC(CO)(CO)CC3)CC2=O)C1 ZINC001251435991 1073546350 /nfs/dbraw/zinc/54/63/50/1073546350.db2.gz BEDHGQSQQAIETA-CQSZACIVSA-N 0 0 426.514 -0.017 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001251437400 1073546404 /nfs/dbraw/zinc/54/64/04/1073546404.db2.gz NDMJXEDWKFFDRV-AWEZNQCLSA-N 0 0 448.528 -0.133 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001251437401 1073546393 /nfs/dbraw/zinc/54/63/93/1073546393.db2.gz NDMJXEDWKFFDRV-CQSZACIVSA-N 0 0 448.528 -0.133 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001251438046 1073546424 /nfs/dbraw/zinc/54/64/24/1073546424.db2.gz ZUNPHCCEXVSFAV-CYBMUJFWSA-N 0 0 447.558 -0.165 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001251438047 1073546320 /nfs/dbraw/zinc/54/63/20/1073546320.db2.gz ZUNPHCCEXVSFAV-ZDUSSCGKSA-N 0 0 447.558 -0.165 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)nn1-c1ccccc1 ZINC001251441180 1073546487 /nfs/dbraw/zinc/54/64/87/1073546487.db2.gz XFMXNNUSTNFEOJ-AEFFLSMTSA-N 0 0 432.502 -0.543 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)nn1-c1ccccc1 ZINC001251441186 1073546430 /nfs/dbraw/zinc/54/64/30/1073546430.db2.gz XFMXNNUSTNFEOJ-FUHWJXTLSA-N 0 0 432.502 -0.543 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)nn1-c1ccccc1 ZINC001251441188 1073546479 /nfs/dbraw/zinc/54/64/79/1073546479.db2.gz XFMXNNUSTNFEOJ-SJLPKXTDSA-N 0 0 432.502 -0.543 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)nn1-c1ccccc1 ZINC001251441189 1073546385 /nfs/dbraw/zinc/54/63/85/1073546385.db2.gz XFMXNNUSTNFEOJ-WMZOPIPTSA-N 0 0 432.502 -0.543 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc(C4CC4)[nH]3)CC2)C[C@H](C)O1 ZINC001251445337 1073546335 /nfs/dbraw/zinc/54/63/35/1073546335.db2.gz YBAAUMNIRJDCGK-BETUJISGSA-N 0 0 427.531 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc(C4CC4)[nH]3)CC2)C[C@@H](C)O1 ZINC001251445338 1073546459 /nfs/dbraw/zinc/54/64/59/1073546459.db2.gz YBAAUMNIRJDCGK-CHWSQXEVSA-N 0 0 427.531 -0.137 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnc(C4CC4)[nH]3)CC2)C[C@H](C)O1 ZINC001251445339 1073546263 /nfs/dbraw/zinc/54/62/63/1073546263.db2.gz YBAAUMNIRJDCGK-STQMWFEESA-N 0 0 427.531 -0.137 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](O)(C[NH2+]CCC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)[O-])C1 ZINC001251457331 1073547069 /nfs/dbraw/zinc/54/70/69/1073547069.db2.gz BRKVLXOULYMOFM-IFXJQAMLSA-N 0 0 425.486 -0.127 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(C[NH2+]CCC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)[O-])C1 ZINC001251457332 1073547023 /nfs/dbraw/zinc/54/70/23/1073547023.db2.gz BRKVLXOULYMOFM-LIRRHRJNSA-N 0 0 425.486 -0.127 20 0 IBADRN C[C@@]1(c2cccc(CNC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)c2)NC(=O)NC1=O ZINC001251459421 1073546788 /nfs/dbraw/zinc/54/67/88/1073546788.db2.gz LWBLIQBMSHSTKF-IBGZPJMESA-N 0 0 429.458 -0.145 20 0 IBADRN C[C@]1(c2cccc(CNC(=O)C3=CC=CN4CCS(=O)(=O)N=C34)c2)NC(=O)NC1=O ZINC001251459422 1073547183 /nfs/dbraw/zinc/54/71/83/1073547183.db2.gz LWBLIQBMSHSTKF-LJQANCHMSA-N 0 0 429.458 -0.145 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)C2CCS(=O)(=O)CC2)cc1 ZINC001251464362 1073546961 /nfs/dbraw/zinc/54/69/61/1073546961.db2.gz NKELMPDQEMTVNA-UHFFFAOYSA-N 0 0 440.565 -0.392 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCOC3CCSCC3)CC2)CC1 ZINC001251466466 1073546986 /nfs/dbraw/zinc/54/69/86/1073546986.db2.gz UFNAXFCZCJUZQB-UHFFFAOYSA-N 0 0 435.616 -0.282 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001251475589 1073547141 /nfs/dbraw/zinc/54/71/41/1073547141.db2.gz VPCSCFFPEXMWQK-UHFFFAOYSA-N 0 0 428.540 -0.348 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N(C)CC(=O)N1CCOCC1)CCS2(=O)=O ZINC001251481660 1073547607 /nfs/dbraw/zinc/54/76/07/1073547607.db2.gz RENUIJQHAZGDCQ-FVRSWCFKSA-N 0 0 431.511 -0.614 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N(C)CC(=O)N1CCOCC1)CCS2(=O)=O ZINC001251481661 1073547647 /nfs/dbraw/zinc/54/76/47/1073547647.db2.gz RENUIJQHAZGDCQ-TXKKKEFDSA-N 0 0 431.511 -0.614 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CCO1 ZINC001251481713 1073547728 /nfs/dbraw/zinc/54/77/28/1073547728.db2.gz TXJRLQXDJZCWJA-HPGRBHQUSA-N 0 0 432.495 -0.141 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CCO1 ZINC001251481714 1073547497 /nfs/dbraw/zinc/54/74/97/1073547497.db2.gz TXJRLQXDJZCWJA-NTXUUIFSSA-N 0 0 432.495 -0.141 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CCO1 ZINC001251481715 1073547692 /nfs/dbraw/zinc/54/76/92/1073547692.db2.gz TXJRLQXDJZCWJA-OOWFEOPPSA-N 0 0 432.495 -0.141 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CCO1 ZINC001251481716 1073547744 /nfs/dbraw/zinc/54/77/44/1073547744.db2.gz TXJRLQXDJZCWJA-RYTBJUFESA-N 0 0 432.495 -0.141 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1)CCS2(=O)=O ZINC001251485224 1073547479 /nfs/dbraw/zinc/54/74/79/1073547479.db2.gz RTPVSTVZPQGARE-DOWLLFGTSA-N 0 0 431.555 -0.018 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1)CCS2(=O)=O ZINC001251485226 1073547595 /nfs/dbraw/zinc/54/75/95/1073547595.db2.gz RTPVSTVZPQGARE-KGTZEYCTSA-N 0 0 431.555 -0.018 20 0 IBADRN Cc1cc(C)c(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c(C)c1 ZINC001251512998 1073547536 /nfs/dbraw/zinc/54/75/36/1073547536.db2.gz JDMLHZNGXUMOEV-DNNBLBMLSA-N 0 0 425.463 -0.528 20 0 IBADRN Cc1nc2ccccn2c1CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001251514058 1073547658 /nfs/dbraw/zinc/54/76/58/1073547658.db2.gz ZXHDDNQBVVYWKD-UHFFFAOYSA-N 0 0 435.554 -0.038 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2cccc(N(C)S(C)(=O)=O)c2)CC1 ZINC001251521383 1073547511 /nfs/dbraw/zinc/54/75/11/1073547511.db2.gz DOEMEYZDTZFHJC-UHFFFAOYSA-N 0 0 433.556 -0.284 20 0 IBADRN Cn1c2ncn(CCC(=O)NC3CCN(C(=O)CC(F)(F)F)CC3)c2c(=O)n(C)c1=O ZINC001251523671 1073547684 /nfs/dbraw/zinc/54/76/84/1073547684.db2.gz TUBQVSQSAFDUSN-UHFFFAOYSA-N 0 0 444.414 -0.117 20 0 IBADRN Cn1c2ncn(CC(=O)NC3CCN(C(=O)CC(F)(F)F)CC3)c2c(=O)n(C)c1=O ZINC001251523680 1073547714 /nfs/dbraw/zinc/54/77/14/1073547714.db2.gz UDMGBRMUGBQBHC-UHFFFAOYSA-N 0 0 430.387 -0.507 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)NCCn1cc(Br)ccc1=O ZINC001251524343 1073547720 /nfs/dbraw/zinc/54/77/20/1073547720.db2.gz DJBMOYIVPUTPGX-UHFFFAOYSA-N 0 0 443.345 -0.033 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCCOCCOCC(=O)OC(C)(C)C)CC1 ZINC001251526140 1073547553 /nfs/dbraw/zinc/54/75/53/1073547553.db2.gz JATPTOJEUTZCNT-UHFFFAOYSA-N 0 0 444.529 -0.259 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C(F)F ZINC001251538807 1073547571 /nfs/dbraw/zinc/54/75/71/1073547571.db2.gz FHTXSMMACOHCLQ-JTQLQIEISA-N 0 0 426.467 -0.368 20 0 IBADRN Cn1ncc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C(F)F ZINC001251538808 1073547737 /nfs/dbraw/zinc/54/77/37/1073547737.db2.gz FHTXSMMACOHCLQ-SNVBAGLBSA-N 0 0 426.467 -0.368 20 0 IBADRN NS(=O)(=O)c1ccc(CCCNC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001251540134 1073547638 /nfs/dbraw/zinc/54/76/38/1073547638.db2.gz RFCVVQUQBCABQI-KRWDZBQOSA-N 0 0 431.536 -0.134 20 0 IBADRN NS(=O)(=O)c1ccc(CCCNC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001251540135 1073547622 /nfs/dbraw/zinc/54/76/22/1073547622.db2.gz RFCVVQUQBCABQI-QGZVFWFLSA-N 0 0 431.536 -0.134 20 0 IBADRN Cn1c2ncn(CCC(=O)NCCN3C(=O)COc4ccccc43)c2c(=O)n(C)c1=O ZINC001251547438 1073547704 /nfs/dbraw/zinc/54/77/04/1073547704.db2.gz LPCKUFIHIAHNCA-UHFFFAOYSA-N 0 0 426.433 -0.634 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C)CCS(C)(=O)=O)c2)CC1 ZINC001251568194 1073548355 /nfs/dbraw/zinc/54/83/55/1073548355.db2.gz HEZRICVIKDSBSM-UHFFFAOYSA-N 0 0 431.536 -0.344 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001251581506 1073548251 /nfs/dbraw/zinc/54/82/51/1073548251.db2.gz HHUOGWKGBILVRY-UHFFFAOYSA-N 0 0 445.563 -0.080 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)C(=O)N(C)C ZINC001251586390 1073548222 /nfs/dbraw/zinc/54/82/22/1073548222.db2.gz VVSIKQKPRSUGOJ-MGNBDDOMSA-N 0 0 440.453 -0.657 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)C(=O)N(C)C ZINC001251586391 1073548039 /nfs/dbraw/zinc/54/80/39/1073548039.db2.gz VVSIKQKPRSUGOJ-MLCYQJTMSA-N 0 0 440.453 -0.657 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)C(=O)N(C)C ZINC001251586392 1073548026 /nfs/dbraw/zinc/54/80/26/1073548026.db2.gz VVSIKQKPRSUGOJ-XTZNXHDOSA-N 0 0 440.453 -0.657 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)N[C@]2(CCS(=O)(=O)c3ccc(F)cc32)C1=O)C(=O)N(C)C ZINC001251586393 1073548098 /nfs/dbraw/zinc/54/80/98/1073548098.db2.gz VVSIKQKPRSUGOJ-YPMLDQLKSA-N 0 0 440.453 -0.657 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001251589261 1073548128 /nfs/dbraw/zinc/54/81/28/1073548128.db2.gz ZQELCTVGVXJMET-UHFFFAOYSA-N 0 0 436.284 -0.477 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001251596784 1073548082 /nfs/dbraw/zinc/54/80/82/1073548082.db2.gz KEFVDYNKSXZXHP-LBPRGKRZSA-N 0 0 447.311 -0.680 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(Br)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001251601123 1073548144 /nfs/dbraw/zinc/54/81/44/1073548144.db2.gz OCUYQHAFRCCXGT-YPCYXAEESA-N 0 0 426.285 -0.429 20 0 IBADRN COc1cc(CCNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(OC)c1 ZINC001251635619 1073548186 /nfs/dbraw/zinc/54/81/86/1073548186.db2.gz PUXVUIFUYMQIML-UHFFFAOYSA-N 0 0 432.481 -0.082 20 0 IBADRN O=C(COC(=O)c1cnc2sccn2c1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001251639982 1073548108 /nfs/dbraw/zinc/54/81/08/1073548108.db2.gz AZUZWCDHKYLCJV-GFCCVEGCSA-N 0 0 440.503 -0.756 20 0 IBADRN O=C(COC(=O)c1cnc2sccn2c1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001251639983 1073548238 /nfs/dbraw/zinc/54/82/38/1073548238.db2.gz AZUZWCDHKYLCJV-LBPRGKRZSA-N 0 0 440.503 -0.756 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCCN(C(N)=O)CC3)c2)CC1 ZINC001251656788 1073548699 /nfs/dbraw/zinc/54/86/99/1073548699.db2.gz NYZUGHZBRBLXCH-UHFFFAOYSA-N 0 0 437.522 -0.234 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CSCC(=O)N3CCOCC3)CC2)c(C(N)=O)c1C ZINC001251660586 1073548761 /nfs/dbraw/zinc/54/87/61/1073548761.db2.gz XOMFLDYUHBUUMY-UHFFFAOYSA-N 0 0 436.538 -0.567 20 0 IBADRN O=S(=O)(Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1ccc(F)cc1 ZINC001251665396 1073548856 /nfs/dbraw/zinc/54/88/56/1073548856.db2.gz ZGAYVMBLAHBNJR-DISONHOPSA-N 0 0 429.422 -0.195 20 0 IBADRN O=S(=O)(Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1)c1ccc(F)cc1 ZINC001251668649 1073548935 /nfs/dbraw/zinc/54/89/35/1073548935.db2.gz ZGAYVMBLAHBNJR-ZBRFXRBCSA-N 0 0 429.422 -0.195 20 0 IBADRN COC(=O)c1ccc(OC[C@H](O)CN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)cc1 ZINC001251668955 1073548976 /nfs/dbraw/zinc/54/89/76/1073548976.db2.gz MAYHQVXAEZXRLL-CYBMUJFWSA-N 0 0 426.447 -0.288 20 0 IBADRN COC(=O)c1ccc(OC[C@@H](O)CN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)cc1 ZINC001251668956 1073548745 /nfs/dbraw/zinc/54/87/45/1073548745.db2.gz MAYHQVXAEZXRLL-ZDUSSCGKSA-N 0 0 426.447 -0.288 20 0 IBADRN O=C(CS(=O)(=O)Cc1nnnn1C1CC1)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC001251673651 1073548929 /nfs/dbraw/zinc/54/89/29/1073548929.db2.gz BWUREDBHBSSEKS-AWEZNQCLSA-N 0 0 431.540 -0.119 20 0 IBADRN O=C(CS(=O)(=O)Cc1nnnn1C1CC1)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001251673652 1073548773 /nfs/dbraw/zinc/54/87/73/1073548773.db2.gz BWUREDBHBSSEKS-CQSZACIVSA-N 0 0 431.540 -0.119 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1nnnn1-c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001251673665 1073548962 /nfs/dbraw/zinc/54/89/62/1073548962.db2.gz CFXBUFPJTJXZIL-AWEZNQCLSA-N 0 0 427.508 -0.387 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1nnnn1-c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC001251673666 1073549009 /nfs/dbraw/zinc/54/90/09/1073549009.db2.gz CFXBUFPJTJXZIL-CQSZACIVSA-N 0 0 427.508 -0.387 20 0 IBADRN CCN(C(=O)CS(=O)(=O)c1nnc(N2CCOCC2)s1)[C@@H]1CCS(=O)(=O)C1 ZINC001251673674 1073548685 /nfs/dbraw/zinc/54/86/85/1073548685.db2.gz CMEUSRDVGBXXBK-LLVKDONJSA-N 0 0 438.553 -0.816 20 0 IBADRN CCN(C(=O)CS(=O)(=O)c1nnc(N2CCOCC2)s1)[C@H]1CCS(=O)(=O)C1 ZINC001251673675 1073548878 /nfs/dbraw/zinc/54/88/78/1073548878.db2.gz CMEUSRDVGBXXBK-NSHDSACASA-N 0 0 438.553 -0.816 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C[S@@](=O)CC(=O)N2CCN(C(=O)C3CC3)CC2)o1 ZINC001251675036 1073549023 /nfs/dbraw/zinc/54/90/23/1073549023.db2.gz SRVOTPANYCPSLZ-HHHXNRCGSA-N 0 0 431.536 -0.141 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C[S@](=O)CC(=O)N2CCN(C(=O)C3CC3)CC2)o1 ZINC001251675045 1073549336 /nfs/dbraw/zinc/54/93/36/1073549336.db2.gz SRVOTPANYCPSLZ-MHZLTWQESA-N 0 0 431.536 -0.141 20 0 IBADRN CN(C(=O)c1ccc(-n2cncn2)nc1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001251678028 1073549376 /nfs/dbraw/zinc/54/93/76/1073549376.db2.gz JBZBPHMBKOZAIR-UHFFFAOYSA-N 0 0 426.525 -0.027 20 0 IBADRN CN(C(=O)c1ccc(S(N)(=O)=O)o1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001251678090 1073549314 /nfs/dbraw/zinc/54/93/14/1073549314.db2.gz MHVHFHNYDKZRTQ-UHFFFAOYSA-N 0 0 427.527 -0.763 20 0 IBADRN CN(C(=O)CCSCCC(=O)N(C)[C@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001251678279 1073549292 /nfs/dbraw/zinc/54/92/92/1073549292.db2.gz WTVKQHLLIRMGNG-KBPBESRZSA-N 0 0 440.609 -0.209 20 0 IBADRN CN(C(=O)CCSCCC(=O)N(C)[C@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001251678280 1073549427 /nfs/dbraw/zinc/54/94/27/1073549427.db2.gz WTVKQHLLIRMGNG-OKILXGFUSA-N 0 0 440.609 -0.209 20 0 IBADRN CN(C(=O)CCSCCC(=O)N(C)[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001251678281 1073549302 /nfs/dbraw/zinc/54/93/02/1073549302.db2.gz WTVKQHLLIRMGNG-ZIAGYGMSSA-N 0 0 440.609 -0.209 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCNS(C)(=O)=O)ccc1Br ZINC001251682942 1073549439 /nfs/dbraw/zinc/54/94/39/1073549439.db2.gz HUZKYUJSVCWXFZ-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001251682947 1073549346 /nfs/dbraw/zinc/54/93/46/1073549346.db2.gz HWJQNGNRVSIYEL-BBWFWOEESA-N 0 0 442.582 -0.577 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001251682948 1073549535 /nfs/dbraw/zinc/54/95/35/1073549535.db2.gz HWJQNGNRVSIYEL-BRWVUGGUSA-N 0 0 442.582 -0.577 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001251682949 1073549508 /nfs/dbraw/zinc/54/95/08/1073549508.db2.gz HWJQNGNRVSIYEL-GVDBMIGSSA-N 0 0 442.582 -0.577 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001251682950 1073549406 /nfs/dbraw/zinc/54/94/06/1073549406.db2.gz HWJQNGNRVSIYEL-ZACQAIPSSA-N 0 0 442.582 -0.577 20 0 IBADRN O=C(NCCN1C(=O)CSC1=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001251682958 1073549456 /nfs/dbraw/zinc/54/94/56/1073549456.db2.gz IAZXTKXZFZNNJR-JHJVBQTASA-N 0 0 431.536 -0.092 20 0 IBADRN O=C(NCCN1C(=O)CSC1=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001251682959 1073549273 /nfs/dbraw/zinc/54/92/73/1073549273.db2.gz IAZXTKXZFZNNJR-UPJWGTAASA-N 0 0 431.536 -0.092 20 0 IBADRN O=C(NCCN1C(=O)CSC1=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001251682960 1073549255 /nfs/dbraw/zinc/54/92/55/1073549255.db2.gz IAZXTKXZFZNNJR-XQQFMLRXSA-N 0 0 431.536 -0.092 20 0 IBADRN O=C(NCCN1C(=O)CSC1=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001251682961 1073549446 /nfs/dbraw/zinc/54/94/46/1073549446.db2.gz IAZXTKXZFZNNJR-YNEHKIRRSA-N 0 0 431.536 -0.092 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001251683163 1073549364 /nfs/dbraw/zinc/54/93/64/1073549364.db2.gz LLLXVMZBZPYHJP-NEPJUHHUSA-N 0 0 440.551 -0.025 20 0 IBADRN CN1C(=S)N=NC1[C@H]1CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001251683164 1073549483 /nfs/dbraw/zinc/54/94/83/1073549483.db2.gz LLLXVMZBZPYHJP-NWDGAFQWSA-N 0 0 440.551 -0.025 20 0 IBADRN CN1C(=S)N=NC1[C@H]1CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001251683165 1073549494 /nfs/dbraw/zinc/54/94/94/1073549494.db2.gz LLLXVMZBZPYHJP-RYUDHWBXSA-N 0 0 440.551 -0.025 20 0 IBADRN CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001251683166 1073549469 /nfs/dbraw/zinc/54/94/69/1073549469.db2.gz LLLXVMZBZPYHJP-VXGBXAGGSA-N 0 0 440.551 -0.025 20 0 IBADRN O=C(CCNC(=O)CSc1nnnn1CC(F)(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC001251683298 1073549934 /nfs/dbraw/zinc/54/99/34/1073549934.db2.gz NFHDFXRVLNZSNL-MRVPVSSYSA-N 0 0 430.434 -0.863 20 0 IBADRN O=C(CCNC(=O)CSc1nnnn1CC(F)(F)F)N[C@H]1CCS(=O)(=O)C1 ZINC001251683311 1073549825 /nfs/dbraw/zinc/54/98/25/1073549825.db2.gz NFHDFXRVLNZSNL-QMMMGPOBSA-N 0 0 430.434 -0.863 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(F)cc1)C(N)=O ZINC001251697322 1073550004 /nfs/dbraw/zinc/55/00/04/1073550004.db2.gz GNXOAPVNUOBAKL-NVGVWMPQSA-N 0 0 439.465 -0.228 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(F)cc1 ZINC001251697402 1073550014 /nfs/dbraw/zinc/55/00/14/1073550014.db2.gz MTNQKLXKWBEHRH-HOTGVXAUSA-N 0 0 425.438 -0.617 20 0 IBADRN O=C(CN1CCC(c2nnc3n2CCC3)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001251699215 1073549899 /nfs/dbraw/zinc/54/98/99/1073549899.db2.gz UEUSZYUNQPWCTJ-KRWDZBQOSA-N 0 0 436.582 -0.265 20 0 IBADRN O=C(CN1CCC(c2nnc3n2CCC3)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001251699216 1073549911 /nfs/dbraw/zinc/54/99/11/1073549911.db2.gz UEUSZYUNQPWCTJ-QGZVFWFLSA-N 0 0 436.582 -0.265 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001251705576 1073550050 /nfs/dbraw/zinc/55/00/50/1073550050.db2.gz SCHCHJOIYVGCLO-UHFFFAOYSA-N 0 0 425.511 -0.405 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNc2ccc(S(C)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC001251705844 1073549886 /nfs/dbraw/zinc/54/98/86/1073549886.db2.gz VICFXDVXZGHVFK-UHFFFAOYSA-N 0 0 431.536 -0.014 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)CC1 ZINC001251706089 1073550027 /nfs/dbraw/zinc/55/00/27/1073550027.db2.gz ZGTCSOZXFNIROP-RLFYNMQTSA-N 0 0 425.555 -0.091 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]23)CC1 ZINC001251706090 1073549946 /nfs/dbraw/zinc/54/99/46/1073549946.db2.gz ZGTCSOZXFNIROP-RVKKMQEKSA-N 0 0 425.555 -0.091 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]23)CC1 ZINC001251706091 1073550036 /nfs/dbraw/zinc/55/00/36/1073550036.db2.gz ZGTCSOZXFNIROP-VKJFTORMSA-N 0 0 425.555 -0.091 20 0 IBADRN O=C(COCC(=O)NCc1cccnc1)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001251716809 1073549922 /nfs/dbraw/zinc/54/99/22/1073549922.db2.gz GEJGVJLKEXQSGR-UHFFFAOYSA-N 0 0 441.492 -0.641 20 0 IBADRN CC(C)N1C(=S)N=NC1CCNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001251724127 1073550071 /nfs/dbraw/zinc/55/00/71/1073550071.db2.gz HWXHGJRAOKPDAG-LLVKDONJSA-N 0 0 428.540 -0.028 20 0 IBADRN CC(C)N1C(=S)N=NC1CCNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001251724153 1073549854 /nfs/dbraw/zinc/54/98/54/1073549854.db2.gz HWXHGJRAOKPDAG-NSHDSACASA-N 0 0 428.540 -0.028 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001251725100 1073549976 /nfs/dbraw/zinc/54/99/76/1073549976.db2.gz RWRJIHAXFAYMKQ-AWEZNQCLSA-N 0 0 448.567 -0.576 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001251725105 1073549991 /nfs/dbraw/zinc/54/99/91/1073549991.db2.gz RWRJIHAXFAYMKQ-CQSZACIVSA-N 0 0 448.567 -0.576 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1C[C@H](CCO)[C@H](CO)C1 ZINC001251731178 1073550536 /nfs/dbraw/zinc/55/05/36/1073550536.db2.gz AFXZKDNPTJLODJ-KBPBESRZSA-N 0 0 441.506 -0.537 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001251731179 1073550524 /nfs/dbraw/zinc/55/05/24/1073550524.db2.gz AFXZKDNPTJLODJ-KGLIPLIRSA-N 0 0 441.506 -0.537 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001251731180 1073550620 /nfs/dbraw/zinc/55/06/20/1073550620.db2.gz AFXZKDNPTJLODJ-UONOGXRCSA-N 0 0 441.506 -0.537 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1C[C@H](CO)[C@H](CCO)C1 ZINC001251731181 1073550578 /nfs/dbraw/zinc/55/05/78/1073550578.db2.gz AFXZKDNPTJLODJ-ZIAGYGMSSA-N 0 0 441.506 -0.537 20 0 IBADRN C[C@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCS1(=O)=O ZINC001251731449 1073550640 /nfs/dbraw/zinc/55/06/40/1073550640.db2.gz HEXDTZCIQKEYMT-LBPRGKRZSA-N 0 0 445.519 -0.341 20 0 IBADRN CO[C@@H]1CCOC[C@H]1NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001251731471 1073550482 /nfs/dbraw/zinc/55/04/82/1073550482.db2.gz HNYRNXTWOWHZIG-HUUCEWRRSA-N 0 0 427.479 -0.066 20 0 IBADRN CON(C)C(=O)[C@H](C)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001251731533 1073550469 /nfs/dbraw/zinc/55/04/69/1073550469.db2.gz IUJDXLMPOBHWPS-NSHDSACASA-N 0 0 428.467 -0.462 20 0 IBADRN O=C(NC[C@@]1(O)CCSC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001251731576 1073550568 /nfs/dbraw/zinc/55/05/68/1073550568.db2.gz JSJKFEVEEZLYFC-KRWDZBQOSA-N 0 0 429.520 -0.002 20 0 IBADRN O=C(NC[C@]1(O)CCSC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001251731577 1073550545 /nfs/dbraw/zinc/55/05/45/1073550545.db2.gz JSJKFEVEEZLYFC-QGZVFWFLSA-N 0 0 429.520 -0.002 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC001251731584 1073550653 /nfs/dbraw/zinc/55/06/53/1073550653.db2.gz JXIKHOUWPOJTRX-IBGZPJMESA-N 0 0 438.506 -0.002 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C1 ZINC001251731585 1073550557 /nfs/dbraw/zinc/55/05/57/1073550557.db2.gz JXIKHOUWPOJTRX-LJQANCHMSA-N 0 0 438.506 -0.002 20 0 IBADRN CNC(=O)CCCN(C)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001251731666 1073550589 /nfs/dbraw/zinc/55/05/89/1073550589.db2.gz LGXGOJSVJNPDRB-UHFFFAOYSA-N 0 0 426.495 -0.002 20 0 IBADRN CCN1C[C@@H](CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC001251732048 1073550664 /nfs/dbraw/zinc/55/06/64/1073550664.db2.gz SHYJCNUKAYJQAN-CYBMUJFWSA-N 0 0 438.506 -0.002 20 0 IBADRN CCN1C[C@H](CNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC001251732049 1073550675 /nfs/dbraw/zinc/55/06/75/1073550675.db2.gz SHYJCNUKAYJQAN-ZDUSSCGKSA-N 0 0 438.506 -0.002 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1 ZINC001251732308 1073550444 /nfs/dbraw/zinc/55/04/44/1073550444.db2.gz YRMPYAGEVUHIMG-HNNXBMFYSA-N 0 0 437.478 -0.403 20 0 IBADRN Cn1cc([C@@H](CO)NC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)cn1 ZINC001251732309 1073550422 /nfs/dbraw/zinc/55/04/22/1073550422.db2.gz YRMPYAGEVUHIMG-OAHLLOKOSA-N 0 0 437.478 -0.403 20 0 IBADRN CCOc1ccccc1C(=O)N1CCN(C(=O)NCCOCCS(N)(=O)=O)CC1 ZINC001251734818 1073551058 /nfs/dbraw/zinc/55/10/58/1073551058.db2.gz JYWWXQUQQJBPAX-UHFFFAOYSA-N 0 0 428.511 -0.142 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1C[C@@H]2CCCS(=O)(=O)[C@]2(C(F)(F)F)C1 ZINC001251734857 1073550982 /nfs/dbraw/zinc/55/09/82/1073550982.db2.gz KITUYZSKTVSRPI-CMPLNLGQSA-N 0 0 437.462 -0.557 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1C[C@@H]2CCCS(=O)(=O)[C@@]2(C(F)(F)F)C1 ZINC001251734860 1073551052 /nfs/dbraw/zinc/55/10/52/1073551052.db2.gz KITUYZSKTVSRPI-JQWIXIFHSA-N 0 0 437.462 -0.557 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1C[C@H]2CCCS(=O)(=O)[C@@]2(C(F)(F)F)C1 ZINC001251734863 1073550970 /nfs/dbraw/zinc/55/09/70/1073550970.db2.gz KITUYZSKTVSRPI-PWSUYJOCSA-N 0 0 437.462 -0.557 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1C[C@H]2CCCS(=O)(=O)[C@]2(C(F)(F)F)C1 ZINC001251734865 1073551010 /nfs/dbraw/zinc/55/10/10/1073551010.db2.gz KITUYZSKTVSRPI-ZYHUDNBSSA-N 0 0 437.462 -0.557 20 0 IBADRN CN(Cc1ccccc1)C(=O)N1CCN(C(=O)NCCOCCS(N)(=O)=O)CC1 ZINC001251735225 1073551003 /nfs/dbraw/zinc/55/10/03/1073551003.db2.gz RZRKHXWCWUTENT-UHFFFAOYSA-N 0 0 427.527 -0.129 20 0 IBADRN CO[C@@H]1COC[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001251741555 1073550989 /nfs/dbraw/zinc/55/09/89/1073550989.db2.gz HIOGYBDBHMJFOD-GOEBONIOSA-N 0 0 440.478 -0.553 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC001251743180 1073551073 /nfs/dbraw/zinc/55/10/73/1073551073.db2.gz HUMIEHWXFLVXHS-UHFFFAOYSA-N 0 0 438.616 -0.049 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCCS(=O)(=O)CC3)cc2)CC1 ZINC001251746921 1073551040 /nfs/dbraw/zinc/55/10/40/1073551040.db2.gz VWVGCDWSHISVKC-UHFFFAOYSA-N 0 0 443.547 -0.200 20 0 IBADRN COc1ccc(CC(=O)N2CCCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001251749866 1073551034 /nfs/dbraw/zinc/55/10/34/1073551034.db2.gz WCMWUOATOLXJJV-UHFFFAOYSA-N 0 0 446.547 -0.094 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCOCC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001251758953 1073551018 /nfs/dbraw/zinc/55/10/18/1073551018.db2.gz GIDSZGKGUHCEIL-UHFFFAOYSA-N 0 0 442.542 -0.693 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001251760085 1073551066 /nfs/dbraw/zinc/55/10/66/1073551066.db2.gz LCGBNFZXCYENRI-HOTGVXAUSA-N 0 0 441.893 -0.102 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC001251761627 1073551084 /nfs/dbraw/zinc/55/10/84/1073551084.db2.gz JZCCZDKQFVZGKV-UHFFFAOYSA-N 0 0 446.551 -0.678 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001251771800 1073551731 /nfs/dbraw/zinc/55/17/31/1073551731.db2.gz BZZBYDYZIBIEGU-HNNXBMFYSA-N 0 0 448.567 -0.167 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001251771801 1073551764 /nfs/dbraw/zinc/55/17/64/1073551764.db2.gz BZZBYDYZIBIEGU-OAHLLOKOSA-N 0 0 448.567 -0.167 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001251772890 1073551560 /nfs/dbraw/zinc/55/15/60/1073551560.db2.gz QGXKTPHGGJLPEY-IBGZPJMESA-N 0 0 440.522 -0.200 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001251772891 1073551428 /nfs/dbraw/zinc/55/14/28/1073551428.db2.gz QGXKTPHGGJLPEY-LJQANCHMSA-N 0 0 440.522 -0.200 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)N2CCCN(C(=O)CNC(C)=O)CC2)c1 ZINC001251773103 1073551850 /nfs/dbraw/zinc/55/18/50/1073551850.db2.gz SZRGOKSEBCXUIV-UHFFFAOYSA-N 0 0 439.538 -0.135 20 0 IBADRN CN(c1ccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1)S(C)(=O)=O ZINC001251775259 1073551705 /nfs/dbraw/zinc/55/17/05/1073551705.db2.gz OXKOUXFXORJOEK-GDBMZVCRSA-N 0 0 443.547 -0.266 20 0 IBADRN CN(c1ccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1)S(C)(=O)=O ZINC001251775260 1073551831 /nfs/dbraw/zinc/55/18/31/1073551831.db2.gz OXKOUXFXORJOEK-GOEBONIOSA-N 0 0 443.547 -0.266 20 0 IBADRN CN(c1ccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1)S(C)(=O)=O ZINC001251775261 1073551468 /nfs/dbraw/zinc/55/14/68/1073551468.db2.gz OXKOUXFXORJOEK-HOCLYGCPSA-N 0 0 443.547 -0.266 20 0 IBADRN CN(c1ccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1)S(C)(=O)=O ZINC001251775262 1073551743 /nfs/dbraw/zinc/55/17/43/1073551743.db2.gz OXKOUXFXORJOEK-ZBFHGGJFSA-N 0 0 443.547 -0.266 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001251790695 1073551775 /nfs/dbraw/zinc/55/17/75/1073551775.db2.gz ZUSPNPOQPSMDNJ-INIZCTEOSA-N 0 0 447.558 -0.746 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001251790705 1073551842 /nfs/dbraw/zinc/55/18/42/1073551842.db2.gz ZUSPNPOQPSMDNJ-MRXNPFEDSA-N 0 0 447.558 -0.746 20 0 IBADRN CS(=O)(=O)N1CCN(CCNc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001251796495 1073551716 /nfs/dbraw/zinc/55/17/16/1073551716.db2.gz SEIFUCXLHSTFFA-UHFFFAOYSA-N 0 0 433.556 -0.908 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001251798440 1073551449 /nfs/dbraw/zinc/55/14/49/1073551449.db2.gz BFSWEDKTJGAEML-UHFFFAOYSA-N 0 0 434.453 -0.047 20 0 IBADRN COCCn1nc(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)ccc1=O ZINC001251798623 1073551549 /nfs/dbraw/zinc/55/15/49/1073551549.db2.gz JOXXPFFUERFZKT-UHFFFAOYSA-N 0 0 436.494 -0.381 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)Cn3ncn4nccc4c3=O)c2)CC1 ZINC001251798745 1073551671 /nfs/dbraw/zinc/55/16/71/1073551671.db2.gz OWUQSCOJNCHDBK-UHFFFAOYSA-N 0 0 445.505 -0.144 20 0 IBADRN C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(I)cc1)C(N)=O ZINC001251803516 1073552318 /nfs/dbraw/zinc/55/23/18/1073552318.db2.gz FULZLFPBQKUHKQ-YUMQZZPRSA-N 0 0 425.248 -0.052 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(CC(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001251807629 1073552336 /nfs/dbraw/zinc/55/23/36/1073552336.db2.gz JKUBWXSQBCNUKV-UHFFFAOYSA-N 0 0 428.477 -0.001 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC001251807697 1073552300 /nfs/dbraw/zinc/55/23/00/1073552300.db2.gz LATNUZIUNPWGCR-NEPJUHHUSA-N 0 0 449.517 -0.034 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC001251807698 1073552218 /nfs/dbraw/zinc/55/22/18/1073552218.db2.gz LATNUZIUNPWGCR-NWDGAFQWSA-N 0 0 449.517 -0.034 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC001251807699 1073552248 /nfs/dbraw/zinc/55/22/48/1073552248.db2.gz LATNUZIUNPWGCR-RYUDHWBXSA-N 0 0 449.517 -0.034 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC001251807700 1073552283 /nfs/dbraw/zinc/55/22/83/1073552283.db2.gz LATNUZIUNPWGCR-VXGBXAGGSA-N 0 0 449.517 -0.034 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1CCS(=O)(=O)CC1 ZINC001251807729 1073552326 /nfs/dbraw/zinc/55/23/26/1073552326.db2.gz MIYKLSWDGSFPHG-UHFFFAOYSA-N 0 0 430.552 -0.526 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CCCN(CC(N)=O)C2)c1 ZINC001251813408 1073552191 /nfs/dbraw/zinc/55/21/91/1073552191.db2.gz HMILREGEDLVBMZ-GFCCVEGCSA-N 0 0 432.930 -0.056 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H]2CCCN(CC(N)=O)C2)c1 ZINC001251813409 1073552267 /nfs/dbraw/zinc/55/22/67/1073552267.db2.gz HMILREGEDLVBMZ-LBPRGKRZSA-N 0 0 432.930 -0.056 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C)CCNS(C)(=O)=O)cc2)CC1 ZINC001251828374 1073552674 /nfs/dbraw/zinc/55/26/74/1073552674.db2.gz NUXRIVRZGYPZJI-UHFFFAOYSA-N 0 0 446.551 -0.839 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(Cn2nc(C[C@H]3CCS(=O)(=O)C3)n(C)c2=S)C1 ZINC001251830797 1073552639 /nfs/dbraw/zinc/55/26/39/1073552639.db2.gz PFFMRNMYPGSDHO-CHWSQXEVSA-N 0 0 437.613 -0.101 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(Cn2nc(C[C@H]3CCS(=O)(=O)C3)n(C)c2=S)C1 ZINC001251830798 1073552757 /nfs/dbraw/zinc/55/27/57/1073552757.db2.gz PFFMRNMYPGSDHO-OLZOCXBDSA-N 0 0 437.613 -0.101 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(Cn2nc(C[C@@H]3CCS(=O)(=O)C3)n(C)c2=S)C1 ZINC001251830799 1073552661 /nfs/dbraw/zinc/55/26/61/1073552661.db2.gz PFFMRNMYPGSDHO-QWHCGFSZSA-N 0 0 437.613 -0.101 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(Cn2nc(C[C@@H]3CCS(=O)(=O)C3)n(C)c2=S)C1 ZINC001251830800 1073552859 /nfs/dbraw/zinc/55/28/59/1073552859.db2.gz PFFMRNMYPGSDHO-STQMWFEESA-N 0 0 437.613 -0.101 20 0 IBADRN CN(CCNS(C)(=O)=O)CN1C(=O)N[C@](C)(c2cccc(N3CCOC3=O)c2)C1=O ZINC001251830991 1073552798 /nfs/dbraw/zinc/55/27/98/1073552798.db2.gz RRTHJIQWBBOATQ-GOSISDBHSA-N 0 0 439.494 -0.151 20 0 IBADRN CN(CCNS(C)(=O)=O)CN1C(=O)N[C@@](C)(c2cccc(N3CCOC3=O)c2)C1=O ZINC001251830992 1073552704 /nfs/dbraw/zinc/55/27/04/1073552704.db2.gz RRTHJIQWBBOATQ-SFHVURJKSA-N 0 0 439.494 -0.151 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001251833847 1073552768 /nfs/dbraw/zinc/55/27/68/1073552768.db2.gz KOOMMDXVXQKZJK-UHFFFAOYSA-N 0 0 436.531 -0.860 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)OCC(=O)N2CCC(C(=O)N3CCCCCC3)CC2)C1=O ZINC001251837095 1073552843 /nfs/dbraw/zinc/55/28/43/1073552843.db2.gz SXKQRYAUNVIWCB-UHFFFAOYSA-N 0 0 436.465 -0.409 20 0 IBADRN CN(CC1CC1)C(=O)CS(=O)(=O)Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC001251837165 1073552924 /nfs/dbraw/zinc/55/29/24/1073552924.db2.gz WEFKKNIJXYSIFS-UHFFFAOYSA-N 0 0 427.508 -0.151 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001251840506 1073552907 /nfs/dbraw/zinc/55/29/07/1073552907.db2.gz YYGPSKRZZFQCRG-CABCVRRESA-N 0 0 425.442 -0.249 20 0 IBADRN Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001251840507 1073552886 /nfs/dbraw/zinc/55/28/86/1073552886.db2.gz YYGPSKRZZFQCRG-GJZGRUSLSA-N 0 0 425.442 -0.249 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001251840508 1073552807 /nfs/dbraw/zinc/55/28/07/1073552807.db2.gz YYGPSKRZZFQCRG-HUUCEWRRSA-N 0 0 425.442 -0.249 20 0 IBADRN Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001251840509 1073553325 /nfs/dbraw/zinc/55/33/25/1073553325.db2.gz YYGPSKRZZFQCRG-LSDHHAIUSA-N 0 0 425.442 -0.249 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCN(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001251846341 1073553276 /nfs/dbraw/zinc/55/32/76/1073553276.db2.gz CBQFQVTUWWJNIA-AWEZNQCLSA-N 0 0 426.495 -0.472 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CCN(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001251846342 1073553285 /nfs/dbraw/zinc/55/32/85/1073553285.db2.gz CBQFQVTUWWJNIA-CQSZACIVSA-N 0 0 426.495 -0.472 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)cc1 ZINC001251868678 1073553339 /nfs/dbraw/zinc/55/33/39/1073553339.db2.gz JCFBUDQOFFSDOT-ARFHVFGLSA-N 0 0 438.462 -0.157 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)cc1 ZINC001251872716 1073553403 /nfs/dbraw/zinc/55/34/03/1073553403.db2.gz CJGZEFPJVQXTKU-KRWDZBQOSA-N 0 0 434.474 -0.158 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(C)=O)cc1 ZINC001251873201 1073553290 /nfs/dbraw/zinc/55/32/90/1073553290.db2.gz WRCXKQYDIWSBQO-SRVKXCTJSA-N 0 0 442.494 -0.506 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001251876159 1073553307 /nfs/dbraw/zinc/55/33/07/1073553307.db2.gz JPKAFBJYMFUPSX-ROUUACIJSA-N 0 0 448.501 -0.503 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)cc1 ZINC001251876256 1073553315 /nfs/dbraw/zinc/55/33/15/1073553315.db2.gz QEKKUNPNQMBVMN-KRWDZBQOSA-N 0 0 434.474 -0.158 20 0 IBADRN O=C(NC[C@@H]1CNC(=O)C1)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001251876853 1073553421 /nfs/dbraw/zinc/55/34/21/1073553421.db2.gz BCMQVLOHLCGEDB-AWEZNQCLSA-N 0 0 438.506 0.000 20 0 IBADRN O=C(NC[C@H]1CNC(=O)C1)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001251876854 1073553331 /nfs/dbraw/zinc/55/33/31/1073553331.db2.gz BCMQVLOHLCGEDB-CQSZACIVSA-N 0 0 438.506 0.000 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@H]2CNC(=O)C2)CC1 ZINC001251877163 1073553925 /nfs/dbraw/zinc/55/39/25/1073553925.db2.gz FAESUTMCRIJNHE-CYBMUJFWSA-N 0 0 442.494 -0.165 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CNC(=O)C2)CC1 ZINC001251877166 1073553740 /nfs/dbraw/zinc/55/37/40/1073553740.db2.gz FAESUTMCRIJNHE-ZDUSSCGKSA-N 0 0 442.494 -0.165 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NCCN3C(=O)CNC3=O)CC2)c1 ZINC001251889491 1073553830 /nfs/dbraw/zinc/55/38/30/1073553830.db2.gz KPDGAUCRZYVQNC-UHFFFAOYSA-N 0 0 437.478 -0.891 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)Cn2ncn3nccc3c2=O)CC1 ZINC001251902393 1073553894 /nfs/dbraw/zinc/55/38/94/1073553894.db2.gz LBVLZQUUMVWGQR-UHFFFAOYSA-N 0 0 445.505 -0.857 20 0 IBADRN Cn1cc(C(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)c(=O)n(C)c1=O ZINC001251902878 1073553909 /nfs/dbraw/zinc/55/39/09/1073553909.db2.gz UUFJMRDCAQFRQB-UHFFFAOYSA-N 0 0 426.417 -0.488 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@H]2CCN(c3ccc(F)cc3)C2=O)CC1 ZINC001251907946 1073553869 /nfs/dbraw/zinc/55/38/69/1073553869.db2.gz YFHRMNHCLBDSCY-INIZCTEOSA-N 0 0 445.451 -0.066 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)N[C@@H]2CCN(c3ccc(F)cc3)C2=O)CC1 ZINC001251907947 1073553966 /nfs/dbraw/zinc/55/39/66/1073553966.db2.gz YFHRMNHCLBDSCY-MRXNPFEDSA-N 0 0 445.451 -0.066 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CCC1 ZINC001251910357 1073553840 /nfs/dbraw/zinc/55/38/40/1073553840.db2.gz NTRVJNLFGAQVOS-UHFFFAOYSA-N 0 0 428.511 -0.796 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001251918976 1073553810 /nfs/dbraw/zinc/55/38/10/1073553810.db2.gz JDFBFRAQGNGUIW-DZGCQCFKSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001251918977 1073553903 /nfs/dbraw/zinc/55/39/03/1073553903.db2.gz JDFBFRAQGNGUIW-HIFRSBDPSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001251918978 1073553766 /nfs/dbraw/zinc/55/37/66/1073553766.db2.gz JDFBFRAQGNGUIW-UKRRQHHQSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)NCc1ccc(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001251918979 1073553754 /nfs/dbraw/zinc/55/37/54/1073553754.db2.gz JDFBFRAQGNGUIW-ZFWWWQNUSA-N 0 0 429.520 -0.290 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1nccc(C(F)(F)F)n1)C2 ZINC001251923494 1073553776 /nfs/dbraw/zinc/55/37/76/1073553776.db2.gz JNHPIXAFYWHVEG-UHFFFAOYSA-N 0 0 428.375 -0.333 20 0 IBADRN COc1ccc(S(=O)(=O)Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)cc1 ZINC001251939674 1073553953 /nfs/dbraw/zinc/55/39/53/1073553953.db2.gz VKHKOEJVFKIKIA-GFEQUFNTSA-N 0 0 441.458 -0.325 20 0 IBADRN COc1ccc(S(=O)(=O)Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)cc1 ZINC001251939680 1073553937 /nfs/dbraw/zinc/55/39/37/1073553937.db2.gz VKHKOEJVFKIKIA-ICBNADEASA-N 0 0 441.458 -0.325 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2N2CCCC2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001251968636 1073553917 /nfs/dbraw/zinc/55/39/17/1073553917.db2.gz FFHIFFDQOMZWTI-INIZCTEOSA-N 0 0 448.545 -0.126 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2N2CCCC2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001251968638 1073553817 /nfs/dbraw/zinc/55/38/17/1073553817.db2.gz FFHIFFDQOMZWTI-MRXNPFEDSA-N 0 0 448.545 -0.126 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3nnc(-c4ccncc4)[nH]3)CC2)CC1 ZINC001251971004 1073553851 /nfs/dbraw/zinc/55/38/51/1073553851.db2.gz HLSVZNFDARUYKQ-UHFFFAOYSA-N 0 0 449.541 -0.814 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)cc2c1CCC2 ZINC001251973661 1073553875 /nfs/dbraw/zinc/55/38/75/1073553875.db2.gz YYGUEVSGQNFRKK-UHFFFAOYSA-N 0 0 436.534 -0.561 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)cc1 ZINC001251979064 1073554412 /nfs/dbraw/zinc/55/44/12/1073554412.db2.gz AOSKFSBUGXSUIT-IRXDYDNUSA-N 0 0 437.474 -0.747 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccc(OC)cc1)NC(=O)CNC(C)=O ZINC001251979504 1073554381 /nfs/dbraw/zinc/55/43/81/1073554381.db2.gz PIVOOMPTJFJUAU-INIZCTEOSA-N 0 0 429.495 -0.062 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@@H](O)c2ccccc2)[C@H](O)[C@@H]1O ZINC001251980787 1073554514 /nfs/dbraw/zinc/55/45/14/1073554514.db2.gz UZDLYQFFSOFXCZ-AYJPCTPTSA-N 0 0 428.449 -0.273 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@H](O)c2ccccc2)[C@H](O)[C@@H]1O ZINC001251980788 1073554366 /nfs/dbraw/zinc/55/43/66/1073554366.db2.gz UZDLYQFFSOFXCZ-NIQZGXKPSA-N 0 0 428.449 -0.273 20 0 IBADRN O=C(NCc1nc2ncccn2n1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001251981353 1073554484 /nfs/dbraw/zinc/55/44/84/1073554484.db2.gz JLTJDEAXXNHPSW-UHFFFAOYSA-N 0 0 430.494 -0.014 20 0 IBADRN O=C(NCCS(=O)(=O)NC1CC1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001251983555 1073554297 /nfs/dbraw/zinc/55/42/97/1073554297.db2.gz URPVMDUHINUCER-UHFFFAOYSA-N 0 0 438.572 -0.659 20 0 IBADRN CN(CCNS(C)(=O)=O)S(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC001251983766 1073554499 /nfs/dbraw/zinc/55/44/99/1073554499.db2.gz YWRZVVXXSCSNIZ-UHFFFAOYSA-N 0 0 431.533 -0.743 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@H]2CCc3nnnn3CC2)CC1 ZINC001252004405 1073554317 /nfs/dbraw/zinc/55/43/17/1073554317.db2.gz KZIDCTJKWVKEMK-AWEZNQCLSA-N 0 0 434.526 -0.182 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@@H]2CCc3nnnn3CC2)CC1 ZINC001252004407 1073554398 /nfs/dbraw/zinc/55/43/98/1073554398.db2.gz KZIDCTJKWVKEMK-CQSZACIVSA-N 0 0 434.526 -0.182 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N[C@@H]3CCc4nnnn4CC3)CC2=O)C1 ZINC001252005421 1073554246 /nfs/dbraw/zinc/55/42/46/1073554246.db2.gz WAEPWYHJUBHEGH-CHWSQXEVSA-N 0 0 434.501 -0.103 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N[C@@H]3CCc4nnnn4CC3)CC2=O)C1 ZINC001252005422 1073554453 /nfs/dbraw/zinc/55/44/53/1073554453.db2.gz WAEPWYHJUBHEGH-OLZOCXBDSA-N 0 0 434.501 -0.103 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N[C@H]3CCc4nnnn4CC3)CC2=O)C1 ZINC001252005423 1073554260 /nfs/dbraw/zinc/55/42/60/1073554260.db2.gz WAEPWYHJUBHEGH-QWHCGFSZSA-N 0 0 434.501 -0.103 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N[C@H]3CCc4nnnn4CC3)CC2=O)C1 ZINC001252005424 1073554219 /nfs/dbraw/zinc/55/42/19/1073554219.db2.gz WAEPWYHJUBHEGH-STQMWFEESA-N 0 0 434.501 -0.103 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NCC(=O)N(C)OC)CC2)c1 ZINC001252008485 1073554347 /nfs/dbraw/zinc/55/43/47/1073554347.db2.gz KIQVNJGDYMRJTF-UHFFFAOYSA-N 0 0 434.496 -0.430 20 0 IBADRN CCOC[C@@H](O)CN[C@@H]1[C@@H](OC(C)=O)[C@H](OC(C)=O)[C@@H](COC(C)=O)O[C@H]1OC(C)=O ZINC001252047963 1073555181 /nfs/dbraw/zinc/55/51/81/1073555181.db2.gz QMTXUSWVJDNFBT-DOQFWFFUSA-N 0 0 449.453 -0.944 20 0 IBADRN CCOC[C@H](O)CN[C@@H]1[C@@H](OC(C)=O)[C@H](OC(C)=O)[C@@H](COC(C)=O)O[C@H]1OC(C)=O ZINC001252047967 1073555003 /nfs/dbraw/zinc/55/50/03/1073555003.db2.gz QMTXUSWVJDNFBT-MGYGNFHQSA-N 0 0 449.453 -0.944 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(N2CCOC2=O)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001252057783 1073555174 /nfs/dbraw/zinc/55/51/74/1073555174.db2.gz XGNSGEUFIYMWRC-GFCCVEGCSA-N 0 0 431.492 -0.385 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(N2CCOC2=O)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001252057790 1073554953 /nfs/dbraw/zinc/55/49/53/1073554953.db2.gz XGNSGEUFIYMWRC-LBPRGKRZSA-N 0 0 431.492 -0.385 20 0 IBADRN CC(C)(C)c1ccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001252060611 1073554859 /nfs/dbraw/zinc/55/48/59/1073554859.db2.gz FPKCIDYCJCIYCX-MWQQHZPXSA-N 0 0 439.490 -0.156 20 0 IBADRN O=C([C@@H]1C[C@H](N2CCN(c3ncccn3)CC2)CN1C[C@H](O)CO)N1CCC(F)(F)C1 ZINC001252062248 1073555139 /nfs/dbraw/zinc/55/51/39/1073555139.db2.gz GUDWQOUJDJLTBV-ULQDDVLXSA-N 0 0 440.495 -0.738 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(CC[S@@](C)=O)CC1 ZINC001252069738 1073555039 /nfs/dbraw/zinc/55/50/39/1073555039.db2.gz XTQUTXLTXDNGJC-GDLZYMKVSA-N 0 0 425.555 -0.217 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(CC[S@](C)=O)CC1 ZINC001252069739 1073554882 /nfs/dbraw/zinc/55/48/82/1073554882.db2.gz XTQUTXLTXDNGJC-LJAQVGFWSA-N 0 0 425.555 -0.217 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCCN3C(=O)CCC3=O)CC2)cn1 ZINC001252073840 1073555604 /nfs/dbraw/zinc/55/56/04/1073555604.db2.gz HCVBQCZFNGADRM-UHFFFAOYSA-N 0 0 426.499 -0.589 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCC(=O)N3CCOCC3)CC2)cn1 ZINC001252075006 1073555512 /nfs/dbraw/zinc/55/55/12/1073555512.db2.gz XJXQOWCOJJRCSA-UHFFFAOYSA-N 0 0 428.515 -0.879 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC001252080775 1073555459 /nfs/dbraw/zinc/55/54/59/1073555459.db2.gz VDROPAWBDONQRI-HNNXBMFYSA-N 0 0 428.515 -0.880 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC001252080776 1073555634 /nfs/dbraw/zinc/55/56/34/1073555634.db2.gz VDROPAWBDONQRI-OAHLLOKOSA-N 0 0 428.515 -0.880 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCOCCS(C)(=O)=O)c2=O ZINC001252087433 1073555774 /nfs/dbraw/zinc/55/57/74/1073555774.db2.gz DOOMORHBSPTQKH-UHFFFAOYSA-N 0 0 436.288 -0.930 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC001252089687 1073555646 /nfs/dbraw/zinc/55/56/46/1073555646.db2.gz WCSVJBGCSULDAK-UHFFFAOYSA-N 0 0 429.314 -0.113 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(CCS(=O)(=O)CCC(N)=O)CC2)c1 ZINC001252097840 1073555494 /nfs/dbraw/zinc/55/54/94/1073555494.db2.gz RRTPUWLTFXAWOY-UHFFFAOYSA-N 0 0 431.536 -0.862 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)N[C@H]2CCC(=O)N(C)C2=O)CC1 ZINC001252108336 1073555571 /nfs/dbraw/zinc/55/55/71/1073555571.db2.gz ZKLOZCJPNGTLIR-HNNXBMFYSA-N 0 0 429.543 -0.030 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CCC(=O)N(C)C2=O)CC1 ZINC001252108337 1073555786 /nfs/dbraw/zinc/55/57/86/1073555786.db2.gz ZKLOZCJPNGTLIR-OAHLLOKOSA-N 0 0 429.543 -0.030 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC001252122776 1073555741 /nfs/dbraw/zinc/55/57/41/1073555741.db2.gz PNSTWNQQQRUICE-CYBMUJFWSA-N 0 0 449.599 -0.671 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC001252122786 1073555715 /nfs/dbraw/zinc/55/57/15/1073555715.db2.gz PNSTWNQQQRUICE-ZDUSSCGKSA-N 0 0 449.599 -0.671 20 0 IBADRN O=C(CN1C(=O)CNC1=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC001252131844 1073555702 /nfs/dbraw/zinc/55/57/02/1073555702.db2.gz CXVKSGNXGYYPRY-UHFFFAOYSA-N 0 0 431.421 -0.149 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001252132060 1073555479 /nfs/dbraw/zinc/55/54/79/1073555479.db2.gz FUKBDHDOKVAPRS-DZGCQCFKSA-N 0 0 431.536 -0.495 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001252132061 1073555582 /nfs/dbraw/zinc/55/55/82/1073555582.db2.gz FUKBDHDOKVAPRS-HIFRSBDPSA-N 0 0 431.536 -0.495 20 0 IBADRN C[C@@H]1CC(=O)NCCN1C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001252132062 1073555532 /nfs/dbraw/zinc/55/55/32/1073555532.db2.gz FUKBDHDOKVAPRS-UKRRQHHQSA-N 0 0 431.536 -0.495 20 0 IBADRN C[C@H]1CC(=O)NCCN1C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001252132063 1073555761 /nfs/dbraw/zinc/55/57/61/1073555761.db2.gz FUKBDHDOKVAPRS-ZFWWWQNUSA-N 0 0 431.536 -0.495 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001252146868 1073556177 /nfs/dbraw/zinc/55/61/77/1073556177.db2.gz HSXRDIGVFHXKOF-AGIUHOORSA-N 0 0 427.479 -0.023 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001252146869 1073556037 /nfs/dbraw/zinc/55/60/37/1073556037.db2.gz HSXRDIGVFHXKOF-AVGNSLFASA-N 0 0 427.479 -0.023 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001252146870 1073556131 /nfs/dbraw/zinc/55/61/31/1073556131.db2.gz HSXRDIGVFHXKOF-FRRDWIJNSA-N 0 0 427.479 -0.023 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001252146871 1073555996 /nfs/dbraw/zinc/55/59/96/1073555996.db2.gz HSXRDIGVFHXKOF-RWMBFGLXSA-N 0 0 427.479 -0.023 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(N2CCNC2=O)cc1 ZINC001252147040 1073556141 /nfs/dbraw/zinc/55/61/41/1073556141.db2.gz MBJQYAKQJIHISC-AWEZNQCLSA-N 0 0 427.469 -0.182 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)c1ccc(N2CCNC2=O)cc1 ZINC001252147041 1073556024 /nfs/dbraw/zinc/55/60/24/1073556024.db2.gz MBJQYAKQJIHISC-CQSZACIVSA-N 0 0 427.469 -0.182 20 0 IBADRN CC(C)NC(=O)CN1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001252154476 1073556152 /nfs/dbraw/zinc/55/61/52/1073556152.db2.gz NSXCDTMBMUYTQV-INIZCTEOSA-N 0 0 437.522 -0.892 20 0 IBADRN CC(C)NC(=O)CN1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001252154477 1073555985 /nfs/dbraw/zinc/55/59/85/1073555985.db2.gz NSXCDTMBMUYTQV-MRXNPFEDSA-N 0 0 437.522 -0.892 20 0 IBADRN COCCc1nnc(SCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1N ZINC001252173271 1073556165 /nfs/dbraw/zinc/55/61/65/1073556165.db2.gz SYRJCOUDSKUHAQ-CHWSQXEVSA-N 0 0 433.556 -0.532 20 0 IBADRN COCCc1nnc(SCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1N ZINC001252173272 1073556012 /nfs/dbraw/zinc/55/60/12/1073556012.db2.gz SYRJCOUDSKUHAQ-OLZOCXBDSA-N 0 0 433.556 -0.532 20 0 IBADRN COCCc1nnc(SCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1N ZINC001252173273 1073556074 /nfs/dbraw/zinc/55/60/74/1073556074.db2.gz SYRJCOUDSKUHAQ-QWHCGFSZSA-N 0 0 433.556 -0.532 20 0 IBADRN COCCc1nnc(SCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1N ZINC001252173274 1073556050 /nfs/dbraw/zinc/55/60/50/1073556050.db2.gz SYRJCOUDSKUHAQ-STQMWFEESA-N 0 0 433.556 -0.532 20 0 IBADRN Cc1ccc(S(=O)(=O)Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)cc1 ZINC001252198526 1073556541 /nfs/dbraw/zinc/55/65/41/1073556541.db2.gz NZENYHCNTQDWKQ-GFEQUFNTSA-N 0 0 425.459 -0.025 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)Cn1ncn2nccc2c1=O ZINC001252199606 1073556597 /nfs/dbraw/zinc/55/65/97/1073556597.db2.gz UMLJPOZXBIDTEY-AWEZNQCLSA-N 0 0 434.453 -0.098 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)Cn1ncn2nccc2c1=O ZINC001252199609 1073556569 /nfs/dbraw/zinc/55/65/69/1073556569.db2.gz UMLJPOZXBIDTEY-CQSZACIVSA-N 0 0 434.453 -0.098 20 0 IBADRN COC(=O)CCN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CCOCC1 ZINC001252216156 1073556513 /nfs/dbraw/zinc/55/65/13/1073556513.db2.gz WJGCSWVOMOAPBG-AWEZNQCLSA-N 0 0 429.495 -0.277 20 0 IBADRN COC(=O)CCN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C1CCOCC1 ZINC001252216157 1073556607 /nfs/dbraw/zinc/55/66/07/1073556607.db2.gz WJGCSWVOMOAPBG-CQSZACIVSA-N 0 0 429.495 -0.277 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)cc1 ZINC001252231728 1073556527 /nfs/dbraw/zinc/55/65/27/1073556527.db2.gz AVGWPXPSCMJNBM-NPNMTCQASA-N 0 0 448.505 -0.202 20 0 IBADRN CNC(=O)c1nn(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)c(=O)c2ccccc21 ZINC001252248570 1073556587 /nfs/dbraw/zinc/55/65/87/1073556587.db2.gz SNHJCSICPFVNBQ-UHFFFAOYSA-N 0 0 446.489 -0.151 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC001252248688 1073556579 /nfs/dbraw/zinc/55/65/79/1073556579.db2.gz XBGOFPKXCGSMES-AWEZNQCLSA-N 0 0 445.563 -0.050 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC001252248689 1073556493 /nfs/dbraw/zinc/55/64/93/1073556493.db2.gz XBGOFPKXCGSMES-CQSZACIVSA-N 0 0 445.563 -0.050 20 0 IBADRN CC(C)C[C@H](NC(=O)N1CCN(S(=O)(=O)NC(C)C)CC1)C(=O)N1CCOCC1 ZINC001252249943 1073556465 /nfs/dbraw/zinc/55/64/65/1073556465.db2.gz BRADMBLFCDYXJS-INIZCTEOSA-N 0 0 433.575 -0.170 20 0 IBADRN CCO[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[C@@H]1SC ZINC001252251998 1073556473 /nfs/dbraw/zinc/55/64/73/1073556473.db2.gz SRCZIBZKLGUIPP-OAGGEKHMSA-N 0 0 435.616 -0.285 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(CC[S@@](C)=O)CC2)cc1 ZINC001252256920 1073556561 /nfs/dbraw/zinc/55/65/61/1073556561.db2.gz XJJHAGWBUVULAF-HHHXNRCGSA-N 0 0 429.564 -0.315 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(CC[S@](C)=O)CC2)cc1 ZINC001252256921 1073556447 /nfs/dbraw/zinc/55/64/47/1073556447.db2.gz XJJHAGWBUVULAF-MHZLTWQESA-N 0 0 429.564 -0.315 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)cc1 ZINC001252258801 1073557064 /nfs/dbraw/zinc/55/70/64/1073557064.db2.gz GNLWGHXYICCDAO-BVGQSLNGSA-N 0 0 435.502 -0.059 20 0 IBADRN CCN(OC)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC001252260877 1073557079 /nfs/dbraw/zinc/55/70/79/1073557079.db2.gz IIVADDYIPGUDIG-UHFFFAOYSA-N 0 0 448.523 -0.040 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C(=O)N1c1cnn(C)c1 ZINC001252264965 1073557033 /nfs/dbraw/zinc/55/70/33/1073557033.db2.gz ZCHZSOYOFOAYEY-JMSVASOKSA-N 0 0 436.494 -0.804 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C(=O)N1c1cnn(C)c1 ZINC001252264966 1073556996 /nfs/dbraw/zinc/55/69/96/1073556996.db2.gz ZCHZSOYOFOAYEY-LALPHHSUSA-N 0 0 436.494 -0.804 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C(=O)N1c1cnn(C)c1 ZINC001252264967 1073556946 /nfs/dbraw/zinc/55/69/46/1073556946.db2.gz ZCHZSOYOFOAYEY-TYNCELHUSA-N 0 0 436.494 -0.804 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C(=O)N1c1cnn(C)c1 ZINC001252264968 1073556935 /nfs/dbraw/zinc/55/69/35/1073556935.db2.gz ZCHZSOYOFOAYEY-XUJVJEKNSA-N 0 0 436.494 -0.804 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN([C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001252278836 1073557026 /nfs/dbraw/zinc/55/70/26/1073557026.db2.gz HPNRYFRTJITGIY-KFWWJZLASA-N 0 0 429.543 -0.024 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN([C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001252278837 1073557018 /nfs/dbraw/zinc/55/70/18/1073557018.db2.gz HPNRYFRTJITGIY-RBSFLKMASA-N 0 0 429.543 -0.024 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN([C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001252278838 1073556982 /nfs/dbraw/zinc/55/69/82/1073556982.db2.gz HPNRYFRTJITGIY-RRFJBIMHSA-N 0 0 429.543 -0.024 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN([C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001252278839 1073557105 /nfs/dbraw/zinc/55/71/05/1073557105.db2.gz HPNRYFRTJITGIY-ZNMIVQPWSA-N 0 0 429.543 -0.024 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nc(CS(C)(=O)=O)no3)CC2)C[C@H](C)O1 ZINC001252278936 1073556972 /nfs/dbraw/zinc/55/69/72/1073556972.db2.gz JAJUBBYVZLYNOO-BETUJISGSA-N 0 0 437.544 -0.914 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3nc(CS(C)(=O)=O)no3)CC2)C[C@@H](C)O1 ZINC001252279295 1073557088 /nfs/dbraw/zinc/55/70/88/1073557088.db2.gz JAJUBBYVZLYNOO-CHWSQXEVSA-N 0 0 437.544 -0.914 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cc3nc(CS(C)(=O)=O)no3)CC2)C[C@H](C)O1 ZINC001252279296 1073557098 /nfs/dbraw/zinc/55/70/98/1073557098.db2.gz JAJUBBYVZLYNOO-STQMWFEESA-N 0 0 437.544 -0.914 20 0 IBADRN NC(=O)COC1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001252301597 1073557114 /nfs/dbraw/zinc/55/71/14/1073557114.db2.gz PCLQBLBIDOXSRA-UHFFFAOYSA-N 0 0 440.522 -0.117 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)C(F)(F)F)CCC2 ZINC001252319894 1073556953 /nfs/dbraw/zinc/55/69/53/1073556953.db2.gz OCCRNTMSDULKPW-UHFFFAOYSA-N 0 0 434.400 -0.038 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)OC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001252341743 1073556965 /nfs/dbraw/zinc/55/69/65/1073556965.db2.gz DYORHDRMSYENJV-JTQLQIEISA-N 0 0 434.492 -0.272 20 0 IBADRN COC(=O)CNC(=O)[C@@H](C)OC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001252341744 1073557044 /nfs/dbraw/zinc/55/70/44/1073557044.db2.gz DYORHDRMSYENJV-SNVBAGLBSA-N 0 0 434.492 -0.272 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCC(NC(N)=O)CC3)cc2)CC1 ZINC001252374031 1073557450 /nfs/dbraw/zinc/55/74/50/1073557450.db2.gz DTZLERXRRKHUAT-UHFFFAOYSA-N 0 0 437.522 -0.188 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnn(C(C)(C)C)n3)CC2)C[C@H](C)O1 ZINC001252384773 1073557666 /nfs/dbraw/zinc/55/76/66/1073557666.db2.gz RNGFCFODVGDYCJ-KBPBESRZSA-N 0 0 444.562 -0.391 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnn(C(C)(C)C)n3)CC2)C[C@H](C)O1 ZINC001252384777 1073557557 /nfs/dbraw/zinc/55/75/57/1073557557.db2.gz RNGFCFODVGDYCJ-OKILXGFUSA-N 0 0 444.562 -0.391 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nnn(C(C)(C)C)n3)CC2)C[C@@H](C)O1 ZINC001252384780 1073557593 /nfs/dbraw/zinc/55/75/93/1073557593.db2.gz RNGFCFODVGDYCJ-ZIAGYGMSSA-N 0 0 444.562 -0.391 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC[C@@H](O)COc2cccc3ccccc32)c(=O)[nH]1 ZINC001252399824 1073557617 /nfs/dbraw/zinc/55/76/17/1073557617.db2.gz YBRUPJOVFAEBAU-NQKWYQNWSA-N 0 0 443.456 -0.249 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NC[C@H](O)COc2cccc3ccccc32)c(=O)[nH]1 ZINC001252399825 1073557513 /nfs/dbraw/zinc/55/75/13/1073557513.db2.gz YBRUPJOVFAEBAU-RXLLHPONSA-N 0 0 443.456 -0.249 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001252409521 1073557464 /nfs/dbraw/zinc/55/74/64/1073557464.db2.gz FVTXKTLFDOIJFS-UHFFFAOYSA-N 0 0 425.573 -0.253 20 0 IBADRN COC(=O)[C@H](O)CNc1ncnc2c1nc(Br)n2[C@H]1C[C@H](O)[C@@H](CO)O1 ZINC001252410073 1073557485 /nfs/dbraw/zinc/55/74/85/1073557485.db2.gz KKVRMBZXLQVJSX-JQCXWYLXSA-N 0 0 432.231 -0.825 20 0 IBADRN COC(=O)[C@@H](O)CNc1ncnc2c1nc(Br)n2[C@H]1C[C@H](O)[C@@H](CO)O1 ZINC001252410076 1073557571 /nfs/dbraw/zinc/55/75/71/1073557571.db2.gz KKVRMBZXLQVJSX-RBXMUDONSA-N 0 0 432.231 -0.825 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](CNC(C)=O)C2)s1 ZINC001252410530 1073557674 /nfs/dbraw/zinc/55/76/74/1073557674.db2.gz NZZDNERLPNMOHE-CYBMUJFWSA-N 0 0 432.524 -0.974 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CNC(C)=O)C2)s1 ZINC001252410534 1073557437 /nfs/dbraw/zinc/55/74/37/1073557437.db2.gz NZZDNERLPNMOHE-ZDUSSCGKSA-N 0 0 432.524 -0.974 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2)s1 ZINC001252410672 1073557534 /nfs/dbraw/zinc/55/75/34/1073557534.db2.gz RHCSTZONCZRFEO-CYBMUJFWSA-N 0 0 440.551 -0.209 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3cnn(C)c3)C2)s1 ZINC001252410677 1073557656 /nfs/dbraw/zinc/55/76/56/1073557656.db2.gz RHCSTZONCZRFEO-ZDUSSCGKSA-N 0 0 440.551 -0.209 20 0 IBADRN COC(=O)[C@H](O)CNc1ncnc2c1ncn2[C@@H]1O[C@H](CSCC(C)C)[C@@H](O)[C@H]1O ZINC001252410848 1073557419 /nfs/dbraw/zinc/55/74/19/1073557419.db2.gz OZPFCIBRHBHMGD-RSUGUSAISA-N 0 0 441.510 -0.220 20 0 IBADRN COC(=O)[C@@H](O)CNc1ncnc2c1ncn2[C@@H]1O[C@H](CSCC(C)C)[C@@H](O)[C@H]1O ZINC001252410858 1073557501 /nfs/dbraw/zinc/55/75/01/1073557501.db2.gz OZPFCIBRHBHMGD-YRGUDCOPSA-N 0 0 441.510 -0.220 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001252411269 1073557541 /nfs/dbraw/zinc/55/75/41/1073557541.db2.gz XLLJIAAQZRXQBY-DOTOQJQBSA-N 0 0 446.508 -0.774 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001252411273 1073557601 /nfs/dbraw/zinc/55/76/01/1073557601.db2.gz XLLJIAAQZRXQBY-NVXWUHKLSA-N 0 0 446.508 -0.774 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001252411274 1073557975 /nfs/dbraw/zinc/55/79/75/1073557975.db2.gz XLLJIAAQZRXQBY-RDJZCZTQSA-N 0 0 446.508 -0.774 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001252411275 1073557935 /nfs/dbraw/zinc/55/79/35/1073557935.db2.gz XLLJIAAQZRXQBY-WBVHZDCISA-N 0 0 446.508 -0.774 20 0 IBADRN Cc1nc(SCCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001252411654 1073558231 /nfs/dbraw/zinc/55/82/31/1073558231.db2.gz ZJHYSNQVBUQUBO-CYBMUJFWSA-N 0 0 430.556 -0.957 20 0 IBADRN Cc1nc(SCCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001252411656 1073558441 /nfs/dbraw/zinc/55/84/41/1073558441.db2.gz ZJHYSNQVBUQUBO-ZDUSSCGKSA-N 0 0 430.556 -0.957 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)NCCCS(N)(=O)=O)CC2)cc1 ZINC001252419282 1073557959 /nfs/dbraw/zinc/55/79/59/1073557959.db2.gz VXVHZQIEKMBICP-UHFFFAOYSA-N 0 0 434.540 -0.220 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001252437898 1073558430 /nfs/dbraw/zinc/55/84/30/1073558430.db2.gz CDVNNNIDVWXYJS-UHFFFAOYSA-N 0 0 439.542 -0.762 20 0 IBADRN COC(=O)[C@H](O)CNC(C)(C)c1nc(C(=O)NCc2ccc(F)cc2)c(O)c(=O)n1C ZINC001252440958 1073558302 /nfs/dbraw/zinc/55/83/02/1073558302.db2.gz VKDYTQRCEJZEMK-CYBMUJFWSA-N 0 0 436.440 -0.086 20 0 IBADRN COC(=O)[C@@H](O)CNC(C)(C)c1nc(C(=O)NCc2ccc(F)cc2)c(O)c(=O)n1C ZINC001252440959 1073558399 /nfs/dbraw/zinc/55/83/99/1073558399.db2.gz VKDYTQRCEJZEMK-ZDUSSCGKSA-N 0 0 436.440 -0.086 20 0 IBADRN COC(=O)[C@@H](O)CN[C@H](CCCNC(N)=O)C(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001252449493 1073558937 /nfs/dbraw/zinc/55/89/37/1073558937.db2.gz RKSOMOICBUMLOJ-CABCVRRESA-N 0 0 434.449 -0.019 20 0 IBADRN COC(=O)[C@@H](O)CN[C@@H](CCCNC(N)=O)C(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001252449501 1073558759 /nfs/dbraw/zinc/55/87/59/1073558759.db2.gz RKSOMOICBUMLOJ-GJZGRUSLSA-N 0 0 434.449 -0.019 20 0 IBADRN COC(=O)[C@H](O)CN[C@H](CCCNC(N)=O)C(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001252449502 1073558801 /nfs/dbraw/zinc/55/88/01/1073558801.db2.gz RKSOMOICBUMLOJ-HUUCEWRRSA-N 0 0 434.449 -0.019 20 0 IBADRN COC(=O)[C@H](O)CN[C@@H](CCCNC(N)=O)C(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001252449503 1073558710 /nfs/dbraw/zinc/55/87/10/1073558710.db2.gz RKSOMOICBUMLOJ-LSDHHAIUSA-N 0 0 434.449 -0.019 20 0 IBADRN COC(=O)[C@H](O)CN[C@@H](Cc1ccc(O)cc1)C(=O)N1Cc2ccccc2C[C@H]1C(N)=O ZINC001252451450 1073558731 /nfs/dbraw/zinc/55/87/31/1073558731.db2.gz BWENTCLITDSZSN-SLFFLAALSA-N 0 0 441.484 -0.134 20 0 IBADRN COC(=O)[C@@H](O)CN[C@@H](Cc1ccc(O)cc1)C(=O)N1Cc2ccccc2C[C@H]1C(N)=O ZINC001252451451 1073559015 /nfs/dbraw/zinc/55/90/15/1073559015.db2.gz BWENTCLITDSZSN-UFYCRDLUSA-N 0 0 441.484 -0.134 20 0 IBADRN CC(C)S(=O)(=O)N1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001252457300 1073558881 /nfs/dbraw/zinc/55/88/81/1073558881.db2.gz XXNKEWKQMPWCOA-WBVHZDCISA-N 0 0 437.584 -0.301 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)Cn2nc3n(c2=O)CCCCC3)CC1 ZINC001252457403 1073558697 /nfs/dbraw/zinc/55/86/97/1073558697.db2.gz SYMVOERMOKGYTI-UHFFFAOYSA-N 0 0 429.543 -0.330 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1)C2 ZINC001252478295 1073559080 /nfs/dbraw/zinc/55/90/80/1073559080.db2.gz BNJJLLRQKHYLRC-HNNXBMFYSA-N 0 0 443.574 -0.053 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1)C2 ZINC001252478306 1073558964 /nfs/dbraw/zinc/55/89/64/1073558964.db2.gz BNJJLLRQKHYLRC-OAHLLOKOSA-N 0 0 443.574 -0.053 20 0 IBADRN COCC(=O)NC1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252479452 1073559530 /nfs/dbraw/zinc/55/95/30/1073559530.db2.gz NJSXAHNFBKNMBB-INIZCTEOSA-N 0 0 433.575 -0.122 20 0 IBADRN COCC(=O)NC1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252479453 1073559558 /nfs/dbraw/zinc/55/95/58/1073559558.db2.gz NJSXAHNFBKNMBB-MRXNPFEDSA-N 0 0 433.575 -0.122 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252479506 1073559675 /nfs/dbraw/zinc/55/96/75/1073559675.db2.gz OBRCHLSGNCJCRL-INIZCTEOSA-N 0 0 432.591 -0.596 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252479507 1073559389 /nfs/dbraw/zinc/55/93/89/1073559389.db2.gz OBRCHLSGNCJCRL-MRXNPFEDSA-N 0 0 432.591 -0.596 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252479520 1073559518 /nfs/dbraw/zinc/55/95/18/1073559518.db2.gz OKVXGUVGDNQJIG-CVEARBPZSA-N 0 0 434.559 -0.103 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252479521 1073559666 /nfs/dbraw/zinc/55/96/66/1073559666.db2.gz OKVXGUVGDNQJIG-HOTGVXAUSA-N 0 0 434.559 -0.103 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252479522 1073559597 /nfs/dbraw/zinc/55/95/97/1073559597.db2.gz OKVXGUVGDNQJIG-HZPDHXFCSA-N 0 0 434.559 -0.103 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252479523 1073559546 /nfs/dbraw/zinc/55/95/46/1073559546.db2.gz OKVXGUVGDNQJIG-JKSUJKDBSA-N 0 0 434.559 -0.103 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCN(CC(=O)NC2CC2)CC1)C1CCCC1 ZINC001252480527 1073559350 /nfs/dbraw/zinc/55/93/50/1073559350.db2.gz YDGUNIRJMRAPCO-KRWDZBQOSA-N 0 0 444.602 -0.453 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCN(CC(=O)NC2CC2)CC1)C1CCCC1 ZINC001252480528 1073559574 /nfs/dbraw/zinc/55/95/74/1073559574.db2.gz YDGUNIRJMRAPCO-QGZVFWFLSA-N 0 0 444.602 -0.453 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252493008 1073559501 /nfs/dbraw/zinc/55/95/01/1073559501.db2.gz SEUFNXWZOURROI-HNNXBMFYSA-N 0 0 439.604 -0.382 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001252493017 1073559581 /nfs/dbraw/zinc/55/95/81/1073559581.db2.gz SEUFNXWZOURROI-OAHLLOKOSA-N 0 0 439.604 -0.382 20 0 IBADRN COC(=O)N1CCCN(CC(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC001252496035 1073559609 /nfs/dbraw/zinc/55/96/09/1073559609.db2.gz RSTHPEHAIJYPEN-UHFFFAOYSA-N 0 0 443.526 -0.102 20 0 IBADRN O=C(CO[C@@H]1CCOC1)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC001252527519 1073559409 /nfs/dbraw/zinc/55/94/09/1073559409.db2.gz YYNOEVVAVNEUHD-CRAIPNDOSA-N 0 0 434.445 -0.154 20 0 IBADRN O=C(CO[C@H]1CCOC1)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC001252527520 1073559452 /nfs/dbraw/zinc/55/94/52/1073559452.db2.gz YYNOEVVAVNEUHD-MAUKXSAKSA-N 0 0 434.445 -0.154 20 0 IBADRN O=C(CO[C@@H]1CCOC1)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC001252527521 1073559371 /nfs/dbraw/zinc/55/93/71/1073559371.db2.gz YYNOEVVAVNEUHD-QAPCUYQASA-N 0 0 434.445 -0.154 20 0 IBADRN O=C(CO[C@H]1CCOC1)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC001252527522 1073559964 /nfs/dbraw/zinc/55/99/64/1073559964.db2.gz YYNOEVVAVNEUHD-YJBOKZPZSA-N 0 0 434.445 -0.154 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CO[C@H]3CCOC3)C2)CC1 ZINC001252537445 1073559929 /nfs/dbraw/zinc/55/99/29/1073559929.db2.gz JQXHOUOWFKCECK-AWEZNQCLSA-N 0 0 433.527 -0.115 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CO[C@@H]3CCOC3)C2)CC1 ZINC001252537446 1073560160 /nfs/dbraw/zinc/56/01/60/1073560160.db2.gz JQXHOUOWFKCECK-CQSZACIVSA-N 0 0 433.527 -0.115 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)Nc3ccc(CN4CCOCC4)cc3)C(=O)[C@@H]2C1 ZINC001252560349 1073560096 /nfs/dbraw/zinc/56/00/96/1073560096.db2.gz UKYPCBIEUQJMTK-INIZCTEOSA-N 0 0 430.465 -0.516 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)Nc3ccc(CN4CCOCC4)cc3)C(=O)[C@H]2C1 ZINC001252560350 1073560064 /nfs/dbraw/zinc/56/00/64/1073560064.db2.gz UKYPCBIEUQJMTK-MRXNPFEDSA-N 0 0 430.465 -0.516 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1 ZINC001252571373 1073560134 /nfs/dbraw/zinc/56/01/34/1073560134.db2.gz FHQPLGOWOCLXGF-CYBMUJFWSA-N 0 0 440.551 -0.383 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)C1 ZINC001252571374 1073560025 /nfs/dbraw/zinc/56/00/25/1073560025.db2.gz FHQPLGOWOCLXGF-ZDUSSCGKSA-N 0 0 440.551 -0.383 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)CC2=O)C1 ZINC001252572003 1073560013 /nfs/dbraw/zinc/56/00/13/1073560013.db2.gz HLPACOAXQFBYNR-CHWSQXEVSA-N 0 0 445.542 -0.460 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)CC2=O)C1 ZINC001252572008 1073560214 /nfs/dbraw/zinc/56/02/14/1073560214.db2.gz HLPACOAXQFBYNR-OLZOCXBDSA-N 0 0 445.542 -0.460 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N[C@H]3CCN(S(C)(=O)=O)C3)CC2=O)C1 ZINC001252572011 1073560123 /nfs/dbraw/zinc/56/01/23/1073560123.db2.gz HLPACOAXQFBYNR-QWHCGFSZSA-N 0 0 445.542 -0.460 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N[C@H]3CCN(S(C)(=O)=O)C3)CC2=O)C1 ZINC001252572013 1073560198 /nfs/dbraw/zinc/56/01/98/1073560198.db2.gz HLPACOAXQFBYNR-STQMWFEESA-N 0 0 445.542 -0.460 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001252572132 1073559978 /nfs/dbraw/zinc/55/99/78/1073559978.db2.gz IRFCMWACJOPDNM-KBPBESRZSA-N 0 0 426.561 -0.898 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001252572135 1073560041 /nfs/dbraw/zinc/56/00/41/1073560041.db2.gz IRFCMWACJOPDNM-KGLIPLIRSA-N 0 0 426.561 -0.898 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001252572310 1073560149 /nfs/dbraw/zinc/56/01/49/1073560149.db2.gz IRFCMWACJOPDNM-UONOGXRCSA-N 0 0 426.561 -0.898 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001252572312 1073560053 /nfs/dbraw/zinc/56/00/53/1073560053.db2.gz IRFCMWACJOPDNM-ZIAGYGMSSA-N 0 0 426.561 -0.898 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC001252573107 1073560174 /nfs/dbraw/zinc/56/01/74/1073560174.db2.gz NNYONCGQCLSBKN-AWEZNQCLSA-N 0 0 434.515 -0.125 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC001252573112 1073560207 /nfs/dbraw/zinc/56/02/07/1073560207.db2.gz NNYONCGQCLSBKN-CQSZACIVSA-N 0 0 434.515 -0.125 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC001252575162 1073560187 /nfs/dbraw/zinc/56/01/87/1073560187.db2.gz WVTIABUNASNIDT-AWEZNQCLSA-N 0 0 428.536 -0.193 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC001252575164 1073560908 /nfs/dbraw/zinc/56/09/08/1073560908.db2.gz WVTIABUNASNIDT-CQSZACIVSA-N 0 0 428.536 -0.193 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(CCn3cncn3)CC2)cc1S(N)(=O)=O ZINC001252587920 1073560799 /nfs/dbraw/zinc/56/07/99/1073560799.db2.gz DQFDNDJHXMDGBJ-UHFFFAOYSA-N 0 0 426.474 -0.540 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(CCn4cncn4)CC3)ccc21 ZINC001252590576 1073560664 /nfs/dbraw/zinc/56/06/64/1073560664.db2.gz WALFLQNANTXHJH-UHFFFAOYSA-N 0 0 425.493 -0.239 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)n1 ZINC001252622159 1073560902 /nfs/dbraw/zinc/56/09/02/1073560902.db2.gz HUZHGVPKPJDPPF-CYBMUJFWSA-N 0 0 430.531 -0.273 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)n1 ZINC001252622168 1073560569 /nfs/dbraw/zinc/56/05/69/1073560569.db2.gz HUZHGVPKPJDPPF-ZDUSSCGKSA-N 0 0 430.531 -0.273 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(C[C@H](O)COCc3ccco3)C[C@@H]21)N1CCOCC1 ZINC001252635986 1073560882 /nfs/dbraw/zinc/56/08/82/1073560882.db2.gz RSSLSMNJVNFASL-GDAAHCPNSA-N 0 0 442.534 -0.249 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C[C@H](O)COCc3ccco3)C[C@@H]21)N1CCOCC1 ZINC001252635990 1073560734 /nfs/dbraw/zinc/56/07/34/1073560734.db2.gz RSSLSMNJVNFASL-JCHJZTRSSA-N 0 0 442.534 -0.249 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(C[C@@H](O)COCc3ccco3)C[C@@H]21)N1CCOCC1 ZINC001252635991 1073560823 /nfs/dbraw/zinc/56/08/23/1073560823.db2.gz RSSLSMNJVNFASL-NXWXRZEISA-N 0 0 442.534 -0.249 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(C[C@@H](O)COCc3ccco3)C[C@@H]21)N1CCOCC1 ZINC001252635992 1073560588 /nfs/dbraw/zinc/56/05/88/1073560588.db2.gz RSSLSMNJVNFASL-QXCFHYIPSA-N 0 0 442.534 -0.249 20 0 IBADRN COc1nc(N2CCN(CCS(=O)(=O)CCC(N)=O)CC2)ncc1Br ZINC001252638556 1073560510 /nfs/dbraw/zinc/56/05/10/1073560510.db2.gz SCGPGNNKFNQGGI-UHFFFAOYSA-N 0 0 436.332 -0.340 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C[C@@H](O)COCc1ccco1)C(=O)NCC(N)=O ZINC001252642388 1073561264 /nfs/dbraw/zinc/56/12/64/1073561264.db2.gz ZTZCUVGLPNIRJV-NJAFHUGGSA-N 0 0 438.525 -0.246 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C[C@H](O)COCc1ccco1)C(=O)NCC(N)=O ZINC001252642389 1073561517 /nfs/dbraw/zinc/56/15/17/1073561517.db2.gz ZTZCUVGLPNIRJV-SZMVWBNQSA-N 0 0 438.525 -0.246 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N[C@H]3C[C@@H](C(N)=O)C3)CC2)c1 ZINC001252646791 1073561451 /nfs/dbraw/zinc/56/14/51/1073561451.db2.gz MXQZMZGGXOTKQT-BETUJISGSA-N 0 0 426.495 -0.016 20 0 IBADRN Cn1ncnc1C[S@@](=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001252666526 1073561439 /nfs/dbraw/zinc/56/14/39/1073561439.db2.gz WIBXSVFRDNWSIF-HHHXNRCGSA-N 0 0 427.508 -0.277 20 0 IBADRN Cn1ncnc1C[S@](=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001252666527 1073561488 /nfs/dbraw/zinc/56/14/88/1073561488.db2.gz WIBXSVFRDNWSIF-MHZLTWQESA-N 0 0 427.508 -0.277 20 0 IBADRN CC(C)OC[C@H](O)CN1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001252670868 1073561497 /nfs/dbraw/zinc/56/14/97/1073561497.db2.gz IJUZTUWKSNEJMO-IXDOHACOSA-N 0 0 426.539 -0.205 20 0 IBADRN CC(C)OC[C@@H](O)CN1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001252670869 1073561548 /nfs/dbraw/zinc/56/15/48/1073561548.db2.gz IJUZTUWKSNEJMO-YESZJQIVSA-N 0 0 426.539 -0.205 20 0 IBADRN CN(C)C(=O)COC1CN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001252673693 1073561386 /nfs/dbraw/zinc/56/13/86/1073561386.db2.gz ZPWVBPVCIDDVKD-CYBMUJFWSA-N 0 0 426.543 -0.243 20 0 IBADRN CN(C)C(=O)COC1CN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001252673694 1073561402 /nfs/dbraw/zinc/56/14/02/1073561402.db2.gz ZPWVBPVCIDDVKD-ZDUSSCGKSA-N 0 0 426.543 -0.243 20 0 IBADRN CS(=O)(=O)N1C[C@@H](N2CCN(c3ncccn3)CC2)C[C@H]1C(=O)N1CCC(F)(F)C1 ZINC001252693927 1073561985 /nfs/dbraw/zinc/56/19/85/1073561985.db2.gz OXFLJGHHIJJTTI-GJZGRUSLSA-N 0 0 444.508 -0.131 20 0 IBADRN CCO[C@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC001252744818 1073562188 /nfs/dbraw/zinc/56/21/88/1073562188.db2.gz PWHIHQQMSIBSOT-HDJSIYSDSA-N 0 0 446.551 -0.083 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC001252747037 1073561957 /nfs/dbraw/zinc/56/19/57/1073561957.db2.gz CWASZBTUFHFGEX-KPZWWZAWSA-N 0 0 427.527 -0.037 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC001252747043 1073562008 /nfs/dbraw/zinc/56/20/08/1073562008.db2.gz CWASZBTUFHFGEX-KZULUSFZSA-N 0 0 427.527 -0.037 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC001252747044 1073562048 /nfs/dbraw/zinc/56/20/48/1073562048.db2.gz CWASZBTUFHFGEX-SGTLLEGYSA-N 0 0 427.527 -0.037 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)C1=O ZINC001252747045 1073562017 /nfs/dbraw/zinc/56/20/17/1073562017.db2.gz CWASZBTUFHFGEX-XIKOKIGWSA-N 0 0 427.527 -0.037 20 0 IBADRN COc1ccc(OC[C@H](O)CN[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001252747108 1073561969 /nfs/dbraw/zinc/56/19/69/1073561969.db2.gz UQICUFXDJALKQS-UXPWSPDFSA-N 0 0 431.489 -0.402 20 0 IBADRN COc1ccc(OC[C@@H](O)CN[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001252747110 1073562162 /nfs/dbraw/zinc/56/21/62/1073562162.db2.gz UQICUFXDJALKQS-VDGAXYAQSA-N 0 0 431.489 -0.402 20 0 IBADRN CC(C)(C)c1ccc(OC[C@H](O)CN[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001252769102 1073562024 /nfs/dbraw/zinc/56/20/24/1073562024.db2.gz LRAHTRDKTBZOSR-QSQZVVNTSA-N 0 0 449.504 -0.105 20 0 IBADRN CC(C)(C)c1ccc(OC[C@@H](O)CN[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001252769103 1073562739 /nfs/dbraw/zinc/56/27/39/1073562739.db2.gz LRAHTRDKTBZOSR-RDWNTVFTSA-N 0 0 449.504 -0.105 20 0 IBADRN Cc1ccc(C(=O)OC[C@H]2O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](O)[C@@H]2NS(C)(=O)=O)cc1 ZINC001252772900 1073562592 /nfs/dbraw/zinc/56/25/92/1073562592.db2.gz UIYCUKNZHXSMMU-DTZQCDIJSA-N 0 0 439.446 -0.710 20 0 IBADRN CCC[C@@H](O)CNc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001252774315 1073562830 /nfs/dbraw/zinc/56/28/30/1073562830.db2.gz JSMASNCCGXZAAO-BAYCTPFLSA-N 0 0 432.275 -0.227 20 0 IBADRN CCC[C@H](O)CNc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001252774316 1073562815 /nfs/dbraw/zinc/56/28/15/1073562815.db2.gz JSMASNCCGXZAAO-TWBCTODHSA-N 0 0 432.275 -0.227 20 0 IBADRN CS(=O)(=O)N[C@@H](CCCCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)C(N)=O ZINC001252783257 1073562660 /nfs/dbraw/zinc/56/26/60/1073562660.db2.gz FWIDOUJEWKIERW-ABHRYQDASA-N 0 0 449.599 -0.598 20 0 IBADRN CCC[C@H](O)CN[C@@H]1[C@@H](OC(C)=O)[C@H](OC(C)=O)[C@@H](COC(C)=O)O[C@H]1OC(C)=O ZINC001252802013 1073562562 /nfs/dbraw/zinc/56/25/62/1073562562.db2.gz FFKNIRGRPKWCOJ-DOQFWFFUSA-N 0 0 433.454 -0.180 20 0 IBADRN CCC[C@@H](O)CN[C@@H]1[C@@H](OC(C)=O)[C@H](OC(C)=O)[C@@H](COC(C)=O)O[C@H]1OC(C)=O ZINC001252802016 1073562629 /nfs/dbraw/zinc/56/26/29/1073562629.db2.gz FFKNIRGRPKWCOJ-MGYGNFHQSA-N 0 0 433.454 -0.180 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3c(F)cccc3NS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC001252810780 1073562681 /nfs/dbraw/zinc/56/26/81/1073562681.db2.gz MWTJWEAWIFIFCX-UHFFFAOYSA-N 0 0 438.441 -0.739 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1csnc1C(=O)OC ZINC001252822861 1073562894 /nfs/dbraw/zinc/56/28/94/1073562894.db2.gz RSMBYZVCHFEORD-SECBINFHSA-N 0 0 425.510 -0.756 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1csnc1C(=O)OC ZINC001252822862 1073562944 /nfs/dbraw/zinc/56/29/44/1073562944.db2.gz RSMBYZVCHFEORD-VIFPVBQESA-N 0 0 425.510 -0.756 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001252876225 1073563558 /nfs/dbraw/zinc/56/35/58/1073563558.db2.gz CREAUQBOTLTDBI-CABCVRRESA-N 0 0 432.543 -0.255 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001252876229 1073563583 /nfs/dbraw/zinc/56/35/83/1073563583.db2.gz CREAUQBOTLTDBI-GJZGRUSLSA-N 0 0 432.543 -0.255 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001252876230 1073563469 /nfs/dbraw/zinc/56/34/69/1073563469.db2.gz CREAUQBOTLTDBI-HUUCEWRRSA-N 0 0 432.543 -0.255 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001252876231 1073563339 /nfs/dbraw/zinc/56/33/39/1073563339.db2.gz CREAUQBOTLTDBI-LSDHHAIUSA-N 0 0 432.543 -0.255 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC001252877100 1073563479 /nfs/dbraw/zinc/56/34/79/1073563479.db2.gz JFOAIIDLBNTLLN-CVEARBPZSA-N 0 0 434.522 -0.149 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC001252877104 1073563422 /nfs/dbraw/zinc/56/34/22/1073563422.db2.gz JFOAIIDLBNTLLN-HOTGVXAUSA-N 0 0 434.522 -0.149 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC001252877107 1073563543 /nfs/dbraw/zinc/56/35/43/1073563543.db2.gz JFOAIIDLBNTLLN-HZPDHXFCSA-N 0 0 434.522 -0.149 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC001252877111 1073563394 /nfs/dbraw/zinc/56/33/94/1073563394.db2.gz JFOAIIDLBNTLLN-JKSUJKDBSA-N 0 0 434.522 -0.149 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCn2ncc(I)c2C1 ZINC001252879439 1073563312 /nfs/dbraw/zinc/56/33/12/1073563312.db2.gz XMBWIRFHEAPDGG-GXSJLCMTSA-N 0 0 440.263 -0.175 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCn2ncc(I)c2C1 ZINC001252879447 1073563496 /nfs/dbraw/zinc/56/34/96/1073563496.db2.gz XMBWIRFHEAPDGG-KOLCDFICSA-N 0 0 440.263 -0.175 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCn2ncc(I)c2C1 ZINC001252879448 1073563372 /nfs/dbraw/zinc/56/33/72/1073563372.db2.gz XMBWIRFHEAPDGG-MWLCHTKSSA-N 0 0 440.263 -0.175 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCn2ncc(I)c2C1 ZINC001252879449 1073563438 /nfs/dbraw/zinc/56/34/38/1073563438.db2.gz XMBWIRFHEAPDGG-ONGXEEELSA-N 0 0 440.263 -0.175 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC001252879684 1073563410 /nfs/dbraw/zinc/56/34/10/1073563410.db2.gz ZILGBTLLLIXSMJ-NEPJUHHUSA-N 0 0 438.553 -0.721 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC001252879687 1073563322 /nfs/dbraw/zinc/56/33/22/1073563322.db2.gz ZILGBTLLLIXSMJ-NWDGAFQWSA-N 0 0 438.553 -0.721 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC001252879688 1073563383 /nfs/dbraw/zinc/56/33/83/1073563383.db2.gz ZILGBTLLLIXSMJ-RYUDHWBXSA-N 0 0 438.553 -0.721 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)c2csc(C)n2)CC1 ZINC001252879691 1073563286 /nfs/dbraw/zinc/56/32/86/1073563286.db2.gz ZILGBTLLLIXSMJ-VXGBXAGGSA-N 0 0 438.553 -0.721 20 0 IBADRN O=S(=O)(Cc1ccccc1)Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001252888442 1073563572 /nfs/dbraw/zinc/56/35/72/1073563572.db2.gz JQLOWYZGKJJGNE-ICBNADEASA-N 0 0 425.459 -0.193 20 0 IBADRN O=S(=O)(Cc1ccccc1)Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001252892633 1073563528 /nfs/dbraw/zinc/56/35/28/1073563528.db2.gz JQLOWYZGKJJGNE-GFEQUFNTSA-N 0 0 425.459 -0.193 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2ccn(C)c(=O)c2)CCN1C(=O)c1ccn(C)c(=O)c1 ZINC001252895786 1073564060 /nfs/dbraw/zinc/56/40/60/1073564060.db2.gz RVKKZFXYMVCZLN-INIZCTEOSA-N 0 0 427.461 -0.861 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2ccn(C)c(=O)c2)CCN1C(=O)c1ccn(C)c(=O)c1 ZINC001252895788 1073564244 /nfs/dbraw/zinc/56/42/44/1073564244.db2.gz RVKKZFXYMVCZLN-MRXNPFEDSA-N 0 0 427.461 -0.861 20 0 IBADRN COCCN(C(=O)CN(C)C1CCN(S(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001252900219 1073564009 /nfs/dbraw/zinc/56/40/09/1073564009.db2.gz BZYKZMZZQZGZDD-HNNXBMFYSA-N 0 0 425.573 -0.996 20 0 IBADRN COCCN(C(=O)CN(C)C1CCN(S(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001252900220 1073563851 /nfs/dbraw/zinc/56/38/51/1073563851.db2.gz BZYKZMZZQZGZDD-OAHLLOKOSA-N 0 0 425.573 -0.996 20 0 IBADRN COc1ccccc1OC[C@@H](O)CN[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001252914811 1073563890 /nfs/dbraw/zinc/56/38/90/1073563890.db2.gz AZMOQVMYPXFBKF-BZSNNMDCSA-N 0 0 431.489 -0.402 20 0 IBADRN COc1ccccc1OC[C@H](O)CN[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001252914812 1073564257 /nfs/dbraw/zinc/56/42/57/1073564257.db2.gz AZMOQVMYPXFBKF-SQNIBIBYSA-N 0 0 431.489 -0.402 20 0 IBADRN CN(C1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1)S(C)(=O)=O ZINC001252915390 1073564341 /nfs/dbraw/zinc/56/43/41/1073564341.db2.gz HCZXBFNEJCQHPR-UHFFFAOYSA-N 0 0 431.536 -0.123 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NS(=O)(=O)Cc2ccccc2)[C@H]1O ZINC001252922552 1073564284 /nfs/dbraw/zinc/56/42/84/1073564284.db2.gz LZRVSWMTGCGFLI-NPNMTCQASA-N 0 0 448.505 -0.369 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)Cc1ccccc1)C(N)=O ZINC001252942520 1073564212 /nfs/dbraw/zinc/56/42/12/1073564212.db2.gz AOXCGYLFJKUVTR-BVGQSLNGSA-N 0 0 435.502 -0.226 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC001252944731 1073564350 /nfs/dbraw/zinc/56/43/50/1073564350.db2.gz NFGNYHZZSFISCH-INIZCTEOSA-N 0 0 446.547 -0.491 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001252944732 1073564313 /nfs/dbraw/zinc/56/43/13/1073564313.db2.gz NFGNYHZZSFISCH-MRXNPFEDSA-N 0 0 446.547 -0.491 20 0 IBADRN O=C(NCCNC(=O)N1CCS(=O)(=O)C[C@H]1CCO)c1ccc(Br)cn1 ZINC001252945297 1073564327 /nfs/dbraw/zinc/56/43/27/1073564327.db2.gz GWFZUGNCJOLSNW-GFCCVEGCSA-N 0 0 449.327 -0.235 20 0 IBADRN O=C(NCCNC(=O)N1CCS(=O)(=O)C[C@@H]1CCO)c1ccc(Br)cn1 ZINC001252945305 1073564154 /nfs/dbraw/zinc/56/41/54/1073564154.db2.gz GWFZUGNCJOLSNW-LBPRGKRZSA-N 0 0 449.327 -0.235 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCCNC(=O)c2ccc(Br)cn2)CC1 ZINC001252946460 1073564196 /nfs/dbraw/zinc/56/41/96/1073564196.db2.gz QVATXGBLFJQPEX-UHFFFAOYSA-N 0 0 441.330 -0.011 20 0 IBADRN CS(=O)(=O)C1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC001252974885 1073564976 /nfs/dbraw/zinc/56/49/76/1073564976.db2.gz RBJZXFXOSVFFSS-GFCCVEGCSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)C1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC001252974886 1073565003 /nfs/dbraw/zinc/56/50/03/1073565003.db2.gz RBJZXFXOSVFFSS-LBPRGKRZSA-N 0 0 429.520 -0.278 20 0 IBADRN CNC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC001252999950 1073564990 /nfs/dbraw/zinc/56/49/90/1073564990.db2.gz IGYSVODXTBVCOS-KBPBESRZSA-N 0 0 425.511 -0.447 20 0 IBADRN CNC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC001252999956 1073564786 /nfs/dbraw/zinc/56/47/86/1073564786.db2.gz IGYSVODXTBVCOS-OKILXGFUSA-N 0 0 425.511 -0.447 20 0 IBADRN CNC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC001252999959 1073565438 /nfs/dbraw/zinc/56/54/38/1073565438.db2.gz IGYSVODXTBVCOS-ZIAGYGMSSA-N 0 0 425.511 -0.447 20 0 IBADRN CCCC[C@@H](CC)COC[C@H](O)CN[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001253025175 1073565492 /nfs/dbraw/zinc/56/54/92/1073565492.db2.gz IGYFHYAMEWLHPA-BNKGTRELSA-N 0 0 429.514 -0.248 20 0 IBADRN CCCC[C@H](CC)COC[C@H](O)CN[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001253025176 1073565667 /nfs/dbraw/zinc/56/56/67/1073565667.db2.gz IGYFHYAMEWLHPA-BTAUFWJCSA-N 0 0 429.514 -0.248 20 0 IBADRN CCCC[C@@H](CC)COC[C@@H](O)CN[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001253025177 1073565592 /nfs/dbraw/zinc/56/55/92/1073565592.db2.gz IGYFHYAMEWLHPA-CRMPAWTRSA-N 0 0 429.514 -0.248 20 0 IBADRN CCCC[C@H](CC)COC[C@@H](O)CN[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001253025178 1073565380 /nfs/dbraw/zinc/56/53/80/1073565380.db2.gz IGYFHYAMEWLHPA-DJYIOQBOSA-N 0 0 429.514 -0.248 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001253033719 1073565466 /nfs/dbraw/zinc/56/54/66/1073565466.db2.gz KAZFOIQYEQTYCR-GDBMZVCRSA-N 0 0 445.563 -0.105 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001253033720 1073565424 /nfs/dbraw/zinc/56/54/24/1073565424.db2.gz KAZFOIQYEQTYCR-GOEBONIOSA-N 0 0 445.563 -0.105 20 0 IBADRN CCC(=O)N1CC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001253033721 1073565517 /nfs/dbraw/zinc/56/55/17/1073565517.db2.gz KAZFOIQYEQTYCR-HOCLYGCPSA-N 0 0 445.563 -0.105 20 0 IBADRN CCC(=O)N1CC[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001253033722 1073565526 /nfs/dbraw/zinc/56/55/26/1073565526.db2.gz KAZFOIQYEQTYCR-ZBFHGGJFSA-N 0 0 445.563 -0.105 20 0 IBADRN O=C(CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)NCc1ccc(N2CCCC2=O)cc1 ZINC001253041425 1073565557 /nfs/dbraw/zinc/56/55/57/1073565557.db2.gz NJVGLCXSLWTLRS-UHFFFAOYSA-N 0 0 448.501 -0.071 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCCC2)o1)C(=O)N(C)C ZINC001253041756 1073565609 /nfs/dbraw/zinc/56/56/09/1073565609.db2.gz VAELQAIAKDUYFP-GFCCVEGCSA-N 0 0 435.524 -0.428 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCCC2)o1)C(=O)N(C)C ZINC001253041758 1073565479 /nfs/dbraw/zinc/56/54/79/1073565479.db2.gz VAELQAIAKDUYFP-LBPRGKRZSA-N 0 0 435.524 -0.428 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@](C)(O)c2ccccc2)[C@H](O)[C@@H]1O ZINC001253093622 1073565411 /nfs/dbraw/zinc/56/54/11/1073565411.db2.gz HFMBFNHGQMGTBW-BPYGSDBUSA-N 0 0 442.476 -0.099 20 0 IBADRN CCNC(=O)[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@@](C)(O)c2ccccc2)[C@H](O)[C@@H]1O ZINC001253093623 1073566221 /nfs/dbraw/zinc/56/62/21/1073566221.db2.gz HFMBFNHGQMGTBW-NUJXGAOPSA-N 0 0 442.476 -0.099 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)/C=C/c1ccccc1 ZINC001253095404 1073566208 /nfs/dbraw/zinc/56/62/08/1073566208.db2.gz OEEUXFVLNMDYFY-QTYXSNHHSA-N 0 0 433.486 -0.144 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(c4cnn(C)c4)C3)CC2)cn1C ZINC001253103847 1073566065 /nfs/dbraw/zinc/56/60/65/1073566065.db2.gz MPMMBZPBPJHIHX-HNNXBMFYSA-N 0 0 436.542 -0.243 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3)CC2)cn1C ZINC001253103854 1073566265 /nfs/dbraw/zinc/56/62/65/1073566265.db2.gz MPMMBZPBPJHIHX-OAHLLOKOSA-N 0 0 436.542 -0.243 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1C ZINC001253109665 1073566139 /nfs/dbraw/zinc/56/61/39/1073566139.db2.gz HQMDFFJBXQKLPB-CHWSQXEVSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1C ZINC001253109677 1073566126 /nfs/dbraw/zinc/56/61/26/1073566126.db2.gz HQMDFFJBXQKLPB-OLZOCXBDSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1C ZINC001253109679 1073566150 /nfs/dbraw/zinc/56/61/50/1073566150.db2.gz HQMDFFJBXQKLPB-QWHCGFSZSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1C ZINC001253109681 1073566000 /nfs/dbraw/zinc/56/60/00/1073566000.db2.gz HQMDFFJBXQKLPB-STQMWFEESA-N 0 0 429.520 -0.123 20 0 IBADRN OCCc1ccc(OC[C@@H](O)CNc2ccnc3c(N(CCO)CCO)cnn32)cc1 ZINC001253134036 1073566245 /nfs/dbraw/zinc/56/62/45/1073566245.db2.gz OVAMDDRPFMJCCY-KRWDZBQOSA-N 0 0 431.493 -0.093 20 0 IBADRN OCCc1ccc(OC[C@H](O)CNc2ccnc3c(N(CCO)CCO)cnn32)cc1 ZINC001253134044 1073566052 /nfs/dbraw/zinc/56/60/52/1073566052.db2.gz OVAMDDRPFMJCCY-QGZVFWFLSA-N 0 0 431.493 -0.093 20 0 IBADRN O=C(N[C@@H]1CN(C[C@@H](O)COc2ccc(CCO)cc2)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001253140154 1073566174 /nfs/dbraw/zinc/56/61/74/1073566174.db2.gz SRPMQIBTWNXNKJ-KRZXBLKESA-N 0 0 428.489 -0.120 20 0 IBADRN O=C(N[C@@H]1CN(C[C@H](O)COc2ccc(CCO)cc2)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001253140155 1073565968 /nfs/dbraw/zinc/56/59/68/1073565968.db2.gz SRPMQIBTWNXNKJ-RCVZYCBYSA-N 0 0 428.489 -0.120 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C[C@H](O)COc1ccc(CCO)cc1)C2 ZINC001253140262 1073566252 /nfs/dbraw/zinc/56/62/52/1073566252.db2.gz GUBAGZUCNGCSBN-KRWDZBQOSA-N 0 0 433.509 -0.327 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(C[C@@H](O)COc1ccc(CCO)cc1)C2 ZINC001253140263 1073566112 /nfs/dbraw/zinc/56/61/12/1073566112.db2.gz GUBAGZUCNGCSBN-QGZVFWFLSA-N 0 0 433.509 -0.327 20 0 IBADRN CCN1C[C@@]23CN(C[C@@H](O)COc4ccc(CCO)cc4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001253140749 1073566079 /nfs/dbraw/zinc/56/60/79/1073566079.db2.gz XTLAWSSCMBETNL-LMCOJAPRSA-N 0 0 426.535 -0.162 20 0 IBADRN CCN1C[C@@]23CN(C[C@H](O)COc4ccc(CCO)cc4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001253140760 1073566017 /nfs/dbraw/zinc/56/60/17/1073566017.db2.gz XTLAWSSCMBETNL-RNQOJCNYSA-N 0 0 426.535 -0.162 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)CC(F)(F)F)C[C@@H]21)N1CCOCC1 ZINC001253142051 1073566232 /nfs/dbraw/zinc/56/62/32/1073566232.db2.gz KARHOCJRKJTUFM-IJLUTSLNSA-N 0 0 434.458 -0.528 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)CC(F)(F)F)C[C@@H]21)N1CCOCC1 ZINC001253142052 1073566286 /nfs/dbraw/zinc/56/62/86/1073566286.db2.gz KARHOCJRKJTUFM-QJPTWQEYSA-N 0 0 434.458 -0.528 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)S(=O)(=O)C1CCOCC1 ZINC001253147067 1073566161 /nfs/dbraw/zinc/56/61/61/1073566161.db2.gz KSVJSRHUZDUINO-AWEZNQCLSA-N 0 0 445.563 -0.035 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)S(=O)(=O)C1CCOCC1 ZINC001253147068 1073566277 /nfs/dbraw/zinc/56/62/77/1073566277.db2.gz KSVJSRHUZDUINO-CQSZACIVSA-N 0 0 445.563 -0.035 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)CC(F)(F)F)C(=O)NCC(N)=O ZINC001253157279 1073566681 /nfs/dbraw/zinc/56/66/81/1073566681.db2.gz PKBKOMLCCTVOEU-QWRGUYRKSA-N 0 0 430.449 -0.525 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC001253163528 1073566913 /nfs/dbraw/zinc/56/69/13/1073566913.db2.gz SEBDCIUCKPHUMK-UHFFFAOYSA-N 0 0 443.526 -0.141 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(CC[NH+](C)C)CC(=O)[O-])cc2)CC1 ZINC001253223829 1073567302 /nfs/dbraw/zinc/56/73/02/1073567302.db2.gz MIOROLUCAUPIPN-UHFFFAOYSA-N 0 0 440.522 -0.372 20 0 IBADRN Cn1ccnc1C(NC(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1)c1nccn1C ZINC001253251410 1073567468 /nfs/dbraw/zinc/56/74/68/1073567468.db2.gz KFPIYVNWUGCQAH-UHFFFAOYSA-N 0 0 433.498 -0.318 20 0 IBADRN Cn1ccnc1C(NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)c1nccn1C ZINC001253252510 1073567244 /nfs/dbraw/zinc/56/72/44/1073567244.db2.gz SBKXEBQGKUEAAV-UHFFFAOYSA-N 0 0 430.513 -0.571 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cncc(OCC(F)F)c3)CC2)CC1 ZINC001253265942 1073567337 /nfs/dbraw/zinc/56/73/37/1073567337.db2.gz CXMHJCNZOQNTLX-UHFFFAOYSA-N 0 0 433.481 -0.025 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)Nc2cc(S(N)(=O)=O)ccc2Cl)CC1 ZINC001253265944 1073567458 /nfs/dbraw/zinc/56/74/58/1073567458.db2.gz CYMCMFYEQADVGZ-UHFFFAOYSA-N 0 0 447.945 -0.694 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CCc2nc3c(cnn3C)c(=O)[nH]2)CC1 ZINC001253272347 1073567264 /nfs/dbraw/zinc/56/72/64/1073567264.db2.gz FDAQJLFMOLLFSJ-UHFFFAOYSA-N 0 0 426.499 -0.488 20 0 IBADRN COCCNC(=O)CN1CCN(CCC(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC001253272920 1073567423 /nfs/dbraw/zinc/56/74/23/1073567423.db2.gz MDDRKVOYSLABET-UHFFFAOYSA-N 0 0 427.527 -0.957 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(C(=O)N2CCOCC2)CC1 ZINC001253278925 1073567410 /nfs/dbraw/zinc/56/74/10/1073567410.db2.gz AHYNKJLDHKZQEW-UHFFFAOYSA-N 0 0 441.510 -0.649 20 0 IBADRN COC(=O)c1ccncc1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001253281082 1073567507 /nfs/dbraw/zinc/56/75/07/1073567507.db2.gz XBTGKELZZUPRLX-CYBMUJFWSA-N 0 0 425.463 -0.644 20 0 IBADRN COC(=O)c1ccncc1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001253281083 1073567285 /nfs/dbraw/zinc/56/72/85/1073567285.db2.gz XBTGKELZZUPRLX-ZDUSSCGKSA-N 0 0 425.463 -0.644 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H](O)CN1CCCC1=O ZINC001253287508 1073567481 /nfs/dbraw/zinc/56/74/81/1073567481.db2.gz PCXPNICWFLWJPU-AWEZNQCLSA-N 0 0 441.506 -0.571 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H](O)CN1CCCC1=O ZINC001253287509 1073567224 /nfs/dbraw/zinc/56/72/24/1073567224.db2.gz PCXPNICWFLWJPU-CQSZACIVSA-N 0 0 441.506 -0.571 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@@H](O)CN3CCCC3=O)cc2S1(=O)=O ZINC001253288210 1073567394 /nfs/dbraw/zinc/56/73/94/1073567394.db2.gz VBBCUFAHXVLCGD-CYBMUJFWSA-N 0 0 425.463 -0.809 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@H](O)CN3CCCC3=O)cc2S1(=O)=O ZINC001253288213 1073567449 /nfs/dbraw/zinc/56/74/49/1073567449.db2.gz VBBCUFAHXVLCGD-ZDUSSCGKSA-N 0 0 425.463 -0.809 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N(C)CCNS(C)(=O)=O)C1=O ZINC001253295233 1073568096 /nfs/dbraw/zinc/56/80/96/1073568096.db2.gz KROBHLBBCJKOML-GOSISDBHSA-N 0 0 426.495 -0.222 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N(C)CCNS(C)(=O)=O)C1=O ZINC001253295238 1073568114 /nfs/dbraw/zinc/56/81/14/1073568114.db2.gz KROBHLBBCJKOML-SFHVURJKSA-N 0 0 426.495 -0.222 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001253296075 1073567888 /nfs/dbraw/zinc/56/78/88/1073567888.db2.gz XFFQAJVNFRXLKX-CAOSSQGBSA-N 0 0 429.539 -0.804 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001253296083 1073567911 /nfs/dbraw/zinc/56/79/11/1073567911.db2.gz XFFQAJVNFRXLKX-JONQDZQNSA-N 0 0 429.539 -0.804 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001253296084 1073568128 /nfs/dbraw/zinc/56/81/28/1073568128.db2.gz XFFQAJVNFRXLKX-QKPAOTATSA-N 0 0 429.539 -0.804 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001253296086 1073567958 /nfs/dbraw/zinc/56/79/58/1073567958.db2.gz XFFQAJVNFRXLKX-QXSJWSMHSA-N 0 0 429.539 -0.804 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC001253311557 1073567945 /nfs/dbraw/zinc/56/79/45/1073567945.db2.gz GAMDSGCIUYETAR-UHFFFAOYSA-N 0 0 439.513 -0.832 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC001253312539 1073567854 /nfs/dbraw/zinc/56/78/54/1073567854.db2.gz MRRSYEVCGVZPFJ-UHFFFAOYSA-N 0 0 446.570 -0.404 20 0 IBADRN CN1CCN(C2CN(C[C@@H](O)CC3(O)CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC001253316737 1073568000 /nfs/dbraw/zinc/56/80/00/1073568000.db2.gz KEGVYIQBDJUEMN-KRWDZBQOSA-N 0 0 426.558 -0.042 20 0 IBADRN CN1CCN(C2CN(C[C@H](O)CC3(O)CCN(C(=O)OC(C)(C)C)CC3)C2)CC1=O ZINC001253316747 1073567971 /nfs/dbraw/zinc/56/79/71/1073567971.db2.gz KEGVYIQBDJUEMN-QGZVFWFLSA-N 0 0 426.558 -0.042 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(O)(C[C@H](O)CN2CC(N3CCS(=O)(=O)CC3)C2)CC1 ZINC001253317430 1073567876 /nfs/dbraw/zinc/56/78/76/1073567876.db2.gz MQQPNDBGNOMDEO-KRWDZBQOSA-N 0 0 447.598 -0.086 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(O)(C[C@@H](O)CN2CC(N3CCS(=O)(=O)CC3)C2)CC1 ZINC001253317441 1073567806 /nfs/dbraw/zinc/56/78/06/1073567806.db2.gz MQQPNDBGNOMDEO-QGZVFWFLSA-N 0 0 447.598 -0.086 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCC(=O)N(C)OCC(F)(F)F)CC1 ZINC001253325000 1073567796 /nfs/dbraw/zinc/56/77/96/1073567796.db2.gz KEZDSUWEBMGXJU-CYBMUJFWSA-N 0 0 441.451 -0.193 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCC(=O)N(C)OCC(F)(F)F)CC1 ZINC001253325001 1073567823 /nfs/dbraw/zinc/56/78/23/1073567823.db2.gz KEZDSUWEBMGXJU-ZDUSSCGKSA-N 0 0 441.451 -0.193 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCC(=O)N(C)OCC(F)(F)F)CC1 ZINC001253325525 1073568077 /nfs/dbraw/zinc/56/80/77/1073568077.db2.gz SQJSCRIFHMCNKA-UHFFFAOYSA-N 0 0 434.437 -0.368 20 0 IBADRN COC(=O)N1CCCN(CCS(=O)(=O)CCN2CCCN(C(=O)OC)CC2)CC1 ZINC001253330420 1073567836 /nfs/dbraw/zinc/56/78/36/1073567836.db2.gz UCDWEQBKPLBSAQ-UHFFFAOYSA-N 0 0 434.559 -0.051 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N(C)CCNS(C)(=O)=O ZINC001253337554 1073568593 /nfs/dbraw/zinc/56/85/93/1073568593.db2.gz BIQNZPZKBZZQDB-AWEZNQCLSA-N 0 0 448.567 -0.485 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N(C)CCNS(C)(=O)=O ZINC001253337555 1073568575 /nfs/dbraw/zinc/56/85/75/1073568575.db2.gz BIQNZPZKBZZQDB-CQSZACIVSA-N 0 0 448.567 -0.485 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001253337841 1073568800 /nfs/dbraw/zinc/56/88/00/1073568800.db2.gz GDIWJPYDXUDPMW-UHFFFAOYSA-N 0 0 434.540 -0.873 20 0 IBADRN O=C(CN1CCn2c(nnc2C(F)(F)F)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001253345696 1073568791 /nfs/dbraw/zinc/56/87/91/1073568791.db2.gz BQRYIWHEQNPMLU-GFCCVEGCSA-N 0 0 436.460 -0.556 20 0 IBADRN O=C(CN1CCn2c(nnc2C(F)(F)F)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001253345697 1073568512 /nfs/dbraw/zinc/56/85/12/1073568512.db2.gz BQRYIWHEQNPMLU-LBPRGKRZSA-N 0 0 436.460 -0.556 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001253398600 1073568662 /nfs/dbraw/zinc/56/86/62/1073568662.db2.gz JUGCMIBQMWHXQJ-KRWDZBQOSA-N 0 0 441.506 -0.443 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001253398606 1073568778 /nfs/dbraw/zinc/56/87/78/1073568778.db2.gz JUGCMIBQMWHXQJ-QGZVFWFLSA-N 0 0 441.506 -0.443 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC001253398668 1073568710 /nfs/dbraw/zinc/56/87/10/1073568710.db2.gz KDWBJVIHVGWIBN-KRWDZBQOSA-N 0 0 426.491 -0.065 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC001253398678 1073568620 /nfs/dbraw/zinc/56/86/20/1073568620.db2.gz KDWBJVIHVGWIBN-QGZVFWFLSA-N 0 0 426.491 -0.065 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC2(CO)COC2)c1OC ZINC001253399274 1073568632 /nfs/dbraw/zinc/56/86/32/1073568632.db2.gz VLAQQWLWNAMBMF-UHFFFAOYSA-N 0 0 430.479 -0.537 20 0 IBADRN CN(C1CCN(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)CC1)S(C)(=O)=O ZINC001253412049 1073569253 /nfs/dbraw/zinc/56/92/53/1073569253.db2.gz WFLQTOBIAJQTPQ-UHFFFAOYSA-N 0 0 428.540 -0.078 20 0 IBADRN CCS(=O)(=O)NCCOCCOCCOCCOCCOCCOCCOC ZINC001253414932 1073569192 /nfs/dbraw/zinc/56/91/92/1073569192.db2.gz YXBYNNGVZCDKOP-UHFFFAOYSA-N 0 0 431.548 -0.328 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC(N2CCCNC2=O)CC1 ZINC001253427287 1073569058 /nfs/dbraw/zinc/56/90/58/1073569058.db2.gz DMWLUENTTDGQTO-UHFFFAOYSA-N 0 0 449.533 -0.173 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCc3sccc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001253432338 1073569078 /nfs/dbraw/zinc/56/90/78/1073569078.db2.gz AGVIGHNNBOTHCP-INIZCTEOSA-N 0 0 440.591 -0.446 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCc3sccc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001253432343 1073569071 /nfs/dbraw/zinc/56/90/71/1073569071.db2.gz AGVIGHNNBOTHCP-MRXNPFEDSA-N 0 0 440.591 -0.446 20 0 IBADRN C[C@H](C(=O)NCc1cccs1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001253432528 1073569140 /nfs/dbraw/zinc/56/91/40/1073569140.db2.gz BQFWSDZUUJUGCA-CABCVRRESA-N 0 0 428.580 -0.326 20 0 IBADRN C[C@@H](C(=O)NCc1cccs1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001253432537 1073569236 /nfs/dbraw/zinc/56/92/36/1073569236.db2.gz BQFWSDZUUJUGCA-GJZGRUSLSA-N 0 0 428.580 -0.326 20 0 IBADRN C[C@H](C(=O)NCc1cccs1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001253432539 1073569246 /nfs/dbraw/zinc/56/92/46/1073569246.db2.gz BQFWSDZUUJUGCA-HUUCEWRRSA-N 0 0 428.580 -0.326 20 0 IBADRN C[C@@H](C(=O)NCc1cccs1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001253432541 1073569083 /nfs/dbraw/zinc/56/90/83/1073569083.db2.gz BQFWSDZUUJUGCA-LSDHHAIUSA-N 0 0 428.580 -0.326 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCc1ccc(F)cc1 ZINC001253435980 1073569175 /nfs/dbraw/zinc/56/91/75/1073569175.db2.gz YMRVDKBUXKGAJW-KRWDZBQOSA-N 0 0 426.514 -0.637 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCc1ccc(F)cc1 ZINC001253435981 1073569184 /nfs/dbraw/zinc/56/91/84/1073569184.db2.gz YMRVDKBUXKGAJW-QGZVFWFLSA-N 0 0 426.514 -0.637 20 0 IBADRN C[S@](=O)CCN1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001253441762 1073569153 /nfs/dbraw/zinc/56/91/53/1073569153.db2.gz HCNJXTWVYXEZCQ-PMERELPUSA-N 0 0 436.578 -0.229 20 0 IBADRN C[S@@](=O)CCN1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001253441765 1073569163 /nfs/dbraw/zinc/56/91/63/1073569163.db2.gz HCNJXTWVYXEZCQ-SSEXGKCCSA-N 0 0 436.578 -0.229 20 0 IBADRN C[S@@](=O)CCN1CCN(CCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001253442395 1073569109 /nfs/dbraw/zinc/56/91/09/1073569109.db2.gz LVHDDKYUXBYTGN-HHHXNRCGSA-N 0 0 430.596 -0.621 20 0 IBADRN C[S@](=O)CCN1CCN(CCC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001253442397 1073569040 /nfs/dbraw/zinc/56/90/40/1073569040.db2.gz LVHDDKYUXBYTGN-MHZLTWQESA-N 0 0 430.596 -0.621 20 0 IBADRN COc1cc(CNC(=O)CN2CCN(CCS(N)(=O)=O)CC2)cc(OC)c1OC ZINC001253443230 1073569203 /nfs/dbraw/zinc/56/92/03/1073569203.db2.gz SHROSYUGFYWXAZ-UHFFFAOYSA-N 0 0 430.527 -0.765 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCN2C[C@@H]3CCCCN3C2=O)CC1 ZINC001253452213 1073569566 /nfs/dbraw/zinc/56/95/66/1073569566.db2.gz SRKCXTSZRJROMU-INIZCTEOSA-N 0 0 431.559 -0.030 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCN2C[C@H]3CCCCN3C2=O)CC1 ZINC001253452214 1073569554 /nfs/dbraw/zinc/56/95/54/1073569554.db2.gz SRKCXTSZRJROMU-MRXNPFEDSA-N 0 0 431.559 -0.030 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cnn(C3CCOCC3)c2)CC1 ZINC001253456742 1073569695 /nfs/dbraw/zinc/56/96/95/1073569695.db2.gz IPFYBCAHELVPEW-UHFFFAOYSA-N 0 0 439.494 -0.784 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(C2CCOCC2)c1 ZINC001253457684 1073569608 /nfs/dbraw/zinc/56/96/08/1073569608.db2.gz TYYUTNILJUNBDE-CYBMUJFWSA-N 0 0 434.540 -0.452 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(C2CCOCC2)c1 ZINC001253457685 1073569590 /nfs/dbraw/zinc/56/95/90/1073569590.db2.gz TYYUTNILJUNBDE-ZDUSSCGKSA-N 0 0 434.540 -0.452 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)C1 ZINC001253462784 1073569675 /nfs/dbraw/zinc/56/96/75/1073569675.db2.gz MRIUWPFZUMLKBM-HNNXBMFYSA-N 0 0 443.551 -0.281 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)C1 ZINC001253462785 1073569651 /nfs/dbraw/zinc/56/96/51/1073569651.db2.gz MRIUWPFZUMLKBM-OAHLLOKOSA-N 0 0 443.551 -0.281 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN([C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001253462841 1073569545 /nfs/dbraw/zinc/56/95/45/1073569545.db2.gz OTPUBDMHARBHNJ-CVEARBPZSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN([C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001253462842 1073569600 /nfs/dbraw/zinc/56/96/00/1073569600.db2.gz OTPUBDMHARBHNJ-HOTGVXAUSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN([C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001253462843 1073569531 /nfs/dbraw/zinc/56/95/31/1073569531.db2.gz OTPUBDMHARBHNJ-HZPDHXFCSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN([C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001253462844 1073569708 /nfs/dbraw/zinc/56/97/08/1073569708.db2.gz OTPUBDMHARBHNJ-JKSUJKDBSA-N 0 0 438.550 -0.057 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC001253462936 1073569715 /nfs/dbraw/zinc/56/97/15/1073569715.db2.gz PFNOZTGNYSBSSG-CVEARBPZSA-N 0 0 449.526 -0.745 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC001253462939 1073569617 /nfs/dbraw/zinc/56/96/17/1073569617.db2.gz PFNOZTGNYSBSSG-HOTGVXAUSA-N 0 0 449.526 -0.745 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC001253462940 1073569660 /nfs/dbraw/zinc/56/96/60/1073569660.db2.gz PFNOZTGNYSBSSG-HZPDHXFCSA-N 0 0 449.526 -0.745 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC001253462941 1073569734 /nfs/dbraw/zinc/56/97/34/1073569734.db2.gz PFNOZTGNYSBSSG-JKSUJKDBSA-N 0 0 449.526 -0.745 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001253470667 1073569514 /nfs/dbraw/zinc/56/95/14/1073569514.db2.gz FYZPNJHHZPJCSB-DLBZAZTESA-N 0 0 425.555 -0.113 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001253470668 1073569576 /nfs/dbraw/zinc/56/95/76/1073569576.db2.gz FYZPNJHHZPJCSB-IAGOWNOFSA-N 0 0 425.555 -0.113 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC001253470669 1073569641 /nfs/dbraw/zinc/56/96/41/1073569641.db2.gz FYZPNJHHZPJCSB-IRXDYDNUSA-N 0 0 425.555 -0.113 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC001253470670 1073570178 /nfs/dbraw/zinc/57/01/78/1073570178.db2.gz FYZPNJHHZPJCSB-SJORKVTESA-N 0 0 425.555 -0.113 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC001253478115 1073569971 /nfs/dbraw/zinc/56/99/71/1073569971.db2.gz BXFWHLXUPNFBPV-UHFFFAOYSA-N 0 0 426.904 -0.884 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@H](O)CN2CCCC2=O)cc1 ZINC001253479484 1073570109 /nfs/dbraw/zinc/57/01/09/1073570109.db2.gz YPKKIHKDGMJYDG-AWEZNQCLSA-N 0 0 433.552 -0.021 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NC[C@@H](O)CN2CCCC2=O)cc1 ZINC001253479485 1073570072 /nfs/dbraw/zinc/57/00/72/1073570072.db2.gz YPKKIHKDGMJYDG-CQSZACIVSA-N 0 0 433.552 -0.021 20 0 IBADRN O=C1CCc2cc(OC[C@H](O)CNS(=O)(=O)C3CCS(=O)(=O)CC3)ccc2N1 ZINC001253479570 1073570027 /nfs/dbraw/zinc/57/00/27/1073570027.db2.gz ZKJBASFFNLGEMC-CYBMUJFWSA-N 0 0 432.520 -0.192 20 0 IBADRN O=C1CCc2cc(OC[C@@H](O)CNS(=O)(=O)C3CCS(=O)(=O)CC3)ccc2N1 ZINC001253479571 1073570060 /nfs/dbraw/zinc/57/00/60/1073570060.db2.gz ZKJBASFFNLGEMC-ZDUSSCGKSA-N 0 0 432.520 -0.192 20 0 IBADRN NC(=O)C(NC[C@@H](O)COc1ccc(Br)cc1Br)C(N)=O ZINC001253513790 1073570239 /nfs/dbraw/zinc/57/02/39/1073570239.db2.gz UBFPSNRQEPNYQP-SSDOTTSWSA-N 0 0 425.077 -0.120 20 0 IBADRN NC(=O)C(NC[C@H](O)COc1ccc(Br)cc1Br)C(N)=O ZINC001253513791 1073570198 /nfs/dbraw/zinc/57/01/98/1073570198.db2.gz UBFPSNRQEPNYQP-ZETCQYMHSA-N 0 0 425.077 -0.120 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)NCc2ccc(F)c(S(N)(=O)=O)c2)CC1 ZINC001253560994 1073570043 /nfs/dbraw/zinc/57/00/43/1073570043.db2.gz YUYDOBWUBXMVPJ-GFCCVEGCSA-N 0 0 427.502 -0.033 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)NCc2ccc(F)c(S(N)(=O)=O)c2)CC1 ZINC001253560995 1073570016 /nfs/dbraw/zinc/57/00/16/1073570016.db2.gz YUYDOBWUBXMVPJ-LBPRGKRZSA-N 0 0 427.502 -0.033 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC001253570123 1073570190 /nfs/dbraw/zinc/57/01/90/1073570190.db2.gz GKRZWQWHTYFYJH-AWEZNQCLSA-N 0 0 430.552 -0.120 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC001253570124 1073570134 /nfs/dbraw/zinc/57/01/34/1073570134.db2.gz GKRZWQWHTYFYJH-CQSZACIVSA-N 0 0 430.552 -0.120 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N(CCS(C)(=O)=O)C3CC3)CC2)cn1 ZINC001253570666 1073570230 /nfs/dbraw/zinc/57/02/30/1073570230.db2.gz QHZYQOAQUWRVHW-UHFFFAOYSA-N 0 0 433.556 -0.208 20 0 IBADRN Cc1ccnc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001253640495 1073570702 /nfs/dbraw/zinc/57/07/02/1073570702.db2.gz CDFJEKAZVOBUAS-CABCVRRESA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1ccnc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001253640496 1073570691 /nfs/dbraw/zinc/57/06/91/1073570691.db2.gz CDFJEKAZVOBUAS-GJZGRUSLSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1ccnc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001253640497 1073570476 /nfs/dbraw/zinc/57/04/76/1073570476.db2.gz CDFJEKAZVOBUAS-HUUCEWRRSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1ccnc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001253640498 1073570648 /nfs/dbraw/zinc/57/06/48/1073570648.db2.gz CDFJEKAZVOBUAS-LSDHHAIUSA-N 0 0 431.536 -0.105 20 0 IBADRN CCCS(=O)(=O)N1CC[C@H]2N(S(C)(=O)=O)CC[C@@]2(C(=O)N2CCOCC2)CC1 ZINC001253644738 1073570767 /nfs/dbraw/zinc/57/07/67/1073570767.db2.gz NRYRMUFERPNRGW-WBVHZDCISA-N 0 0 437.584 -0.299 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCc2ccc(N3CCCNC3=O)cc2)CC1 ZINC001253651440 1073570588 /nfs/dbraw/zinc/57/05/88/1073570588.db2.gz MDJIDRHWKNVBLK-UHFFFAOYSA-N 0 0 427.469 -0.353 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC1CCS(=O)(=O)CC1)c2=O ZINC001253655070 1073570495 /nfs/dbraw/zinc/57/04/95/1073570495.db2.gz XANYKUZGPJETIV-UHFFFAOYSA-N 0 0 432.300 -0.167 20 0 IBADRN C[C@@](O)(CN[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)C(=O)OCc1ccccc1 ZINC001253663826 1073570540 /nfs/dbraw/zinc/57/05/40/1073570540.db2.gz MRYDSUXJYPMVMT-NPPFBWRTSA-N 0 0 443.504 -0.119 20 0 IBADRN C[C@](O)(CN[C@@H](Cc1c[nH]cn1)C(=O)N1CCC[C@H]1C(N)=O)C(=O)OCc1ccccc1 ZINC001253663827 1073570848 /nfs/dbraw/zinc/57/08/48/1073570848.db2.gz MRYDSUXJYPMVMT-SPEDKVCISA-N 0 0 443.504 -0.119 20 0 IBADRN C[C@](O)(CN[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O)C(=O)OCc1ccccc1 ZINC001253664211 1073570514 /nfs/dbraw/zinc/57/05/14/1073570514.db2.gz UUPYRWSBCQEUBL-AVRDEDQJSA-N 0 0 429.473 -0.011 20 0 IBADRN C[C@@](O)(CN[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O)C(=O)OCc1ccccc1 ZINC001253664212 1073570902 /nfs/dbraw/zinc/57/09/02/1073570902.db2.gz UUPYRWSBCQEUBL-PGRDOPGGSA-N 0 0 429.473 -0.011 20 0 IBADRN C[C@@](O)(CN[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O)C(=O)OCc1ccccc1 ZINC001253667754 1073571592 /nfs/dbraw/zinc/57/15/92/1073571592.db2.gz SOBHJLVTNFDTRE-SFYKDHMMSA-N 0 0 443.500 -0.356 20 0 IBADRN C[C@](O)(CN[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O)C(=O)OCc1ccccc1 ZINC001253667755 1073571169 /nfs/dbraw/zinc/57/11/69/1073571169.db2.gz SOBHJLVTNFDTRE-YDHSSHFGSA-N 0 0 443.500 -0.356 20 0 IBADRN CSC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@H](O)C(=O)OC(C)(C)C)[C@H](O)[C@@H]1O ZINC001253669447 1073571566 /nfs/dbraw/zinc/57/15/66/1073571566.db2.gz CHTCSTXHHJHGBM-UOYPZJKHSA-N 0 0 441.510 -0.077 20 0 IBADRN CSC[C@H]1O[C@@H](n2cnc3c2ncnc3NC[C@@H](O)C(=O)OC(C)(C)C)[C@H](O)[C@@H]1O ZINC001253669448 1073571391 /nfs/dbraw/zinc/57/13/91/1073571391.db2.gz CHTCSTXHHJHGBM-ZGOQAQPGSA-N 0 0 441.510 -0.077 20 0 IBADRN CC(C)(C)OC(=O)[C@H](O)CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001253679991 1073571405 /nfs/dbraw/zinc/57/14/05/1073571405.db2.gz UQMSCCSVODBDJX-KLHDSHLOSA-N 0 0 432.539 -0.717 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](O)CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001253679992 1073571315 /nfs/dbraw/zinc/57/13/15/1073571315.db2.gz UQMSCCSVODBDJX-LVQVYYBASA-N 0 0 432.539 -0.717 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](O)CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001253680313 1073571227 /nfs/dbraw/zinc/57/12/27/1073571227.db2.gz UQMSCCSVODBDJX-XUWVNRHRSA-N 0 0 432.539 -0.717 20 0 IBADRN CC(C)(C)OC(=O)[C@H](O)CN1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001253680314 1073571433 /nfs/dbraw/zinc/57/14/33/1073571433.db2.gz UQMSCCSVODBDJX-ZJIFWQFVSA-N 0 0 432.539 -0.717 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(C[C@H](O)C(=O)OC(C)(C)C)CCC2 ZINC001253681394 1073571580 /nfs/dbraw/zinc/57/15/80/1073571580.db2.gz XGDZBMLTVQFNEJ-HNNXBMFYSA-N 0 0 446.508 -0.185 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(C[C@@H](O)C(=O)OC(C)(C)C)CCC2 ZINC001253681395 1073571541 /nfs/dbraw/zinc/57/15/41/1073571541.db2.gz XGDZBMLTVQFNEJ-OAHLLOKOSA-N 0 0 446.508 -0.185 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C[C@H](O)C(=O)OC(C)(C)C)C(=O)NCC(N)=O ZINC001253682537 1073571283 /nfs/dbraw/zinc/57/12/83/1073571283.db2.gz BCRBGBQZGJVGDP-KKUMJFAQSA-N 0 0 428.530 -0.714 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C[C@@H](O)C(=O)OC(C)(C)C)C(=O)NCC(N)=O ZINC001253682542 1073571502 /nfs/dbraw/zinc/57/15/02/1073571502.db2.gz BCRBGBQZGJVGDP-SOUVJXGZSA-N 0 0 428.530 -0.714 20 0 IBADRN CC(C)(C)OC(=O)[C@H](O)CNc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001253689976 1073571378 /nfs/dbraw/zinc/57/13/78/1073571378.db2.gz VVXJOYKJVHEIAP-SECBINFHSA-N 0 0 429.904 -0.251 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](O)CNc1cc(Cl)c(S(N)(=O)=O)cc1S(N)(=O)=O ZINC001253689978 1073571556 /nfs/dbraw/zinc/57/15/56/1073571556.db2.gz VVXJOYKJVHEIAP-VIFPVBQESA-N 0 0 429.904 -0.251 20 0 IBADRN CN(CC(=O)Nc1cccn(CCS(C)(=O)=O)c1=O)S(=O)(=O)c1cccnc1 ZINC001253738864 1073572045 /nfs/dbraw/zinc/57/20/45/1073572045.db2.gz SKVRSXDGPQEAMS-UHFFFAOYSA-N 0 0 428.492 -0.453 20 0 IBADRN CC(C)[C@H](O)CNc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001253755098 1073572105 /nfs/dbraw/zinc/57/21/05/1073572105.db2.gz XRTZVRDCAWLPKP-BAYCTPFLSA-N 0 0 432.275 -0.371 20 0 IBADRN CC(C)[C@@H](O)CNc1ncnc2c1nc(Br)n2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001253755102 1073572072 /nfs/dbraw/zinc/57/20/72/1073572072.db2.gz XRTZVRDCAWLPKP-TWBCTODHSA-N 0 0 432.275 -0.371 20 0 IBADRN CC1(C)C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C(=O)O1 ZINC001253783650 1073571910 /nfs/dbraw/zinc/57/19/10/1073571910.db2.gz VDDWHELDUKHETC-KBPBESRZSA-N 0 0 432.520 -0.022 20 0 IBADRN CC1(C)C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C(=O)O1 ZINC001253783651 1073572062 /nfs/dbraw/zinc/57/20/62/1073572062.db2.gz VDDWHELDUKHETC-KGLIPLIRSA-N 0 0 432.520 -0.022 20 0 IBADRN CC1(C)C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C(=O)O1 ZINC001253783652 1073572057 /nfs/dbraw/zinc/57/20/57/1073572057.db2.gz VDDWHELDUKHETC-UONOGXRCSA-N 0 0 432.520 -0.022 20 0 IBADRN CC1(C)C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C(=O)O1 ZINC001253783653 1073572019 /nfs/dbraw/zinc/57/20/19/1073572019.db2.gz VDDWHELDUKHETC-ZIAGYGMSSA-N 0 0 432.520 -0.022 20 0 IBADRN CCOC(=O)C[C@H](O)CNc1ncnc2c1ncn2[C@@H]1O[C@H](CSC)[C@@H](O)[C@H]1O ZINC001253791099 1073571948 /nfs/dbraw/zinc/57/19/48/1073571948.db2.gz GPDPCYJUIAQCKM-VENUWKOOSA-N 0 0 427.483 -0.466 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCCO[C@@H](CNC(=O)OC(C)(C)C)C2)C1 ZINC001253791632 1073571962 /nfs/dbraw/zinc/57/19/62/1073571962.db2.gz FKFPIBGAYCUXQR-AWEZNQCLSA-N 0 0 435.547 -0.198 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCCO[C@H](CNC(=O)OC(C)(C)C)C2)C1 ZINC001253791633 1073571866 /nfs/dbraw/zinc/57/18/66/1073571866.db2.gz FKFPIBGAYCUXQR-CQSZACIVSA-N 0 0 435.547 -0.198 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCC(O)(CNC(=O)OC(C)(C)C)CC2)C1 ZINC001253792293 1073572034 /nfs/dbraw/zinc/57/20/34/1073572034.db2.gz KCBODNJYEMENHC-UHFFFAOYSA-N 0 0 435.547 -0.462 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)C1 ZINC001253792964 1073572082 /nfs/dbraw/zinc/57/20/82/1073572082.db2.gz PUGBRAOQQZXFNK-CVEARBPZSA-N 0 0 444.602 -0.501 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)C1 ZINC001253792965 1073572010 /nfs/dbraw/zinc/57/20/10/1073572010.db2.gz PUGBRAOQQZXFNK-HOTGVXAUSA-N 0 0 444.602 -0.501 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)C1 ZINC001253792966 1073571927 /nfs/dbraw/zinc/57/19/27/1073571927.db2.gz PUGBRAOQQZXFNK-HZPDHXFCSA-N 0 0 444.602 -0.501 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)C1 ZINC001253792967 1073571988 /nfs/dbraw/zinc/57/19/88/1073571988.db2.gz PUGBRAOQQZXFNK-JKSUJKDBSA-N 0 0 444.602 -0.501 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(Cc3nc(N)c4ccccc4n3)CC2)C1 ZINC001253792993 1073571938 /nfs/dbraw/zinc/57/19/38/1073571938.db2.gz QPWCUNQPBCTKSS-UHFFFAOYSA-N 0 0 448.553 -0.470 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)nc1 ZINC001253793421 1073572612 /nfs/dbraw/zinc/57/26/12/1073572612.db2.gz VHEWVBCRIOLYIG-UHFFFAOYSA-N 0 0 440.526 -0.420 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)C1 ZINC001253793430 1073572352 /nfs/dbraw/zinc/57/23/52/1073572352.db2.gz VJSYGLHCXXWPDB-UHFFFAOYSA-N 0 0 439.494 -0.627 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)C1 ZINC001253793690 1073572395 /nfs/dbraw/zinc/57/23/95/1073572395.db2.gz XSKNLXVQRAGJGC-UHFFFAOYSA-N 0 0 442.517 -0.418 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N(C)CCCOc2ccc(S(C)(=O)=O)cc2)C1 ZINC001253793727 1073572600 /nfs/dbraw/zinc/57/26/00/1073572600.db2.gz YPKWVOPVZTVLIT-UHFFFAOYSA-N 0 0 448.567 -0.009 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC001253793982 1073572320 /nfs/dbraw/zinc/57/23/20/1073572320.db2.gz HNMQOIYWTLRBOD-UHFFFAOYSA-N 0 0 426.495 -0.009 20 0 IBADRN CCOC(=O)C[C@H](O)CN1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001253795787 1073572409 /nfs/dbraw/zinc/57/24/09/1073572409.db2.gz VGGISBFRRYVXPW-HRCADAONSA-N 0 0 440.522 -0.677 20 0 IBADRN CCOC(=O)C[C@H](O)CN1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001253796961 1073572509 /nfs/dbraw/zinc/57/25/09/1073572509.db2.gz PUXFZDZHWVQVKI-ZMMAXQRCSA-N 0 0 425.507 -0.247 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)N[C@H](C)C(=O)N(C)OC)CC2)c1 ZINC001253797580 1073572466 /nfs/dbraw/zinc/57/24/66/1073572466.db2.gz IXILVWZIPLRGRM-LLVKDONJSA-N 0 0 448.523 -0.041 20 0 IBADRN CCOC(=O)C[C@H](O)CN1CCCn2c(=O)cc(CNC(=O)c3nccn3C)nc2C1 ZINC001253797763 1073572537 /nfs/dbraw/zinc/57/25/37/1073572537.db2.gz GRJAAAZQISKOKX-HNNXBMFYSA-N 0 0 432.481 -0.573 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)C1 ZINC001253798116 1073572365 /nfs/dbraw/zinc/57/23/65/1073572365.db2.gz OYADVILIQJJNJF-GWCFXTLKSA-N 0 0 448.489 -0.372 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)C1 ZINC001253798122 1073572428 /nfs/dbraw/zinc/57/24/28/1073572428.db2.gz OYADVILIQJJNJF-GXFFZTMASA-N 0 0 448.489 -0.372 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2C[C@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)C1 ZINC001253798123 1073572483 /nfs/dbraw/zinc/57/24/83/1073572483.db2.gz OYADVILIQJJNJF-MFKMUULPSA-N 0 0 448.489 -0.372 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2C[C@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)C1 ZINC001253798124 1073572494 /nfs/dbraw/zinc/57/24/94/1073572494.db2.gz OYADVILIQJJNJF-ZWNOBZJWSA-N 0 0 448.489 -0.372 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC001253798261 1073572420 /nfs/dbraw/zinc/57/24/20/1073572420.db2.gz QKLQHHGDWVAUSH-CVEARBPZSA-N 0 0 435.529 -0.041 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC001253798274 1073572336 /nfs/dbraw/zinc/57/23/36/1073572336.db2.gz QKLQHHGDWVAUSH-HZPDHXFCSA-N 0 0 435.529 -0.041 20 0 IBADRN CCOC(=O)C[C@H](O)CN1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001253799373 1073573211 /nfs/dbraw/zinc/57/32/11/1073573211.db2.gz FAVXFIXHBJSPBZ-ZDUSSCGKSA-N 0 0 441.510 -0.091 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NC[C@H]2CN(Cc3ccncc3)CCO2)CC1 ZINC001253834843 1073572929 /nfs/dbraw/zinc/57/29/29/1073572929.db2.gz WYMBJBBOSPTBHE-FQEVSTJZSA-N 0 0 446.552 -0.532 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NC[C@@H]2CN(Cc3ccncc3)CCO2)CC1 ZINC001253834844 1073573196 /nfs/dbraw/zinc/57/31/96/1073573196.db2.gz WYMBJBBOSPTBHE-HXUWFJFHSA-N 0 0 446.552 -0.532 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NC[C@H]2CN(Cc3ccncc3)CCO2)CC1 ZINC001253835121 1073572984 /nfs/dbraw/zinc/57/29/84/1073572984.db2.gz YGOPMCLELZHXBD-KRWDZBQOSA-N 0 0 426.543 -0.584 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2CN(Cc3ccncc3)CCO2)CC1 ZINC001253835129 1073573150 /nfs/dbraw/zinc/57/31/50/1073573150.db2.gz YGOPMCLELZHXBD-QGZVFWFLSA-N 0 0 426.543 -0.584 20 0 IBADRN O=C(NC[C@H]1CN(Cc2ccncc2)CCO1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001253843266 1073572959 /nfs/dbraw/zinc/57/29/59/1073572959.db2.gz ZWCDWLAZIGEDEY-KRWDZBQOSA-N 0 0 449.537 -0.278 20 0 IBADRN O=C(NC[C@@H]1CN(Cc2ccncc2)CCO1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001253843267 1073573109 /nfs/dbraw/zinc/57/31/09/1073573109.db2.gz ZWCDWLAZIGEDEY-QGZVFWFLSA-N 0 0 449.537 -0.278 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)CCc3nc4c(cnn4C)c(=O)[nH]3)CC2)nn1 ZINC001253846187 1073573238 /nfs/dbraw/zinc/57/32/38/1073573238.db2.gz OOJKIWDABZHMKQ-UHFFFAOYSA-N 0 0 426.437 -0.073 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001253860114 1073573258 /nfs/dbraw/zinc/57/32/58/1073573258.db2.gz PXOZSQXLUHLSAH-KBPBESRZSA-N 0 0 426.495 -0.231 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(C)C[C@@H]1CNC(=O)OC(C)(C)C ZINC001253860115 1073573914 /nfs/dbraw/zinc/57/39/14/1073573914.db2.gz PYEOTYFGOMJCMY-IHRRRGAJSA-N 0 0 429.518 -0.097 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(C)C[C@H]1CNC(=O)OC(C)(C)C ZINC001253860116 1073573613 /nfs/dbraw/zinc/57/36/13/1073573613.db2.gz PYEOTYFGOMJCMY-MELADBBJSA-N 0 0 429.518 -0.097 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001253860561 1073573587 /nfs/dbraw/zinc/57/35/87/1073573587.db2.gz UIIHXZSRWDGBNZ-IHRRRGAJSA-N 0 0 446.460 -0.241 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001253860562 1073573576 /nfs/dbraw/zinc/57/35/76/1073573576.db2.gz UIIHXZSRWDGBNZ-MELADBBJSA-N 0 0 446.460 -0.241 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CCC(=O)N2CCC(O)CC2)CC1 ZINC001253863046 1073573724 /nfs/dbraw/zinc/57/37/24/1073573724.db2.gz BNAGATNFRMOEBO-UHFFFAOYSA-N 0 0 428.511 -0.112 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(C[C@H](O)[C@@H](c3ccc(F)cc3F)n3cncn3)C[C@H]21 ZINC001253865481 1073573889 /nfs/dbraw/zinc/57/38/89/1073573889.db2.gz CTAGOTDZONGJHU-DOADOZAASA-N 0 0 436.463 -0.362 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(C[C@@H](O)[C@@H](c3ccc(F)cc3F)n3cncn3)C[C@H]21 ZINC001253865482 1073573968 /nfs/dbraw/zinc/57/39/68/1073573968.db2.gz CTAGOTDZONGJHU-FTEYMNFISA-N 0 0 436.463 -0.362 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(C[C@H](O)[C@H](c3ccc(F)cc3F)n3cncn3)C[C@H]21 ZINC001253865484 1073573739 /nfs/dbraw/zinc/57/37/39/1073573739.db2.gz CTAGOTDZONGJHU-LXZJYRNTSA-N 0 0 436.463 -0.362 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(C[C@@H](O)[C@H](c3ccc(F)cc3F)n3cncn3)C[C@H]21 ZINC001253865486 1073573777 /nfs/dbraw/zinc/57/37/77/1073573777.db2.gz CTAGOTDZONGJHU-XSYGEPLQSA-N 0 0 436.463 -0.362 20 0 IBADRN COC(=O)Cn1cc(CCS(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)nn1 ZINC001253872246 1073573707 /nfs/dbraw/zinc/57/37/07/1073573707.db2.gz RKAXECJDGNROKW-UHFFFAOYSA-N 0 0 430.508 -0.141 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(C(=O)[C@@H](C)O)CC3)CC2)cn1C ZINC001253878098 1073573597 /nfs/dbraw/zinc/57/35/97/1073573597.db2.gz DJIFPSLMGZXYNN-CYBMUJFWSA-N 0 0 427.527 -0.819 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(C(=O)[C@H](C)O)CC3)CC2)cn1C ZINC001253878099 1073573696 /nfs/dbraw/zinc/57/36/96/1073573696.db2.gz DJIFPSLMGZXYNN-ZDUSSCGKSA-N 0 0 427.527 -0.819 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001253879019 1073573853 /nfs/dbraw/zinc/57/38/53/1073573853.db2.gz KMJCSZJAWRSRML-MOPGFXCFSA-N 0 0 441.550 -0.897 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001253879020 1073573683 /nfs/dbraw/zinc/57/36/83/1073573683.db2.gz KMJCSZJAWRSRML-OALUTQOASA-N 0 0 441.550 -0.897 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001253879021 1073573987 /nfs/dbraw/zinc/57/39/87/1073573987.db2.gz KMJCSZJAWRSRML-RBUKOAKNSA-N 0 0 441.550 -0.897 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001253879022 1073573814 /nfs/dbraw/zinc/57/38/14/1073573814.db2.gz KMJCSZJAWRSRML-RTBURBONSA-N 0 0 441.550 -0.897 20 0 IBADRN COCCN(C(C)=O)c1nc(CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cs1 ZINC001253879416 1073574459 /nfs/dbraw/zinc/57/44/59/1073574459.db2.gz MIQMKVROOMQFCR-CVEARBPZSA-N 0 0 432.568 -0.582 20 0 IBADRN COCCN(C(C)=O)c1nc(CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cs1 ZINC001253879417 1073574290 /nfs/dbraw/zinc/57/42/90/1073574290.db2.gz MIQMKVROOMQFCR-HOTGVXAUSA-N 0 0 432.568 -0.582 20 0 IBADRN COCCN(C(C)=O)c1nc(CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cs1 ZINC001253879418 1073574449 /nfs/dbraw/zinc/57/44/49/1073574449.db2.gz MIQMKVROOMQFCR-HZPDHXFCSA-N 0 0 432.568 -0.582 20 0 IBADRN COCCN(C(C)=O)c1nc(CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cs1 ZINC001253879419 1073574494 /nfs/dbraw/zinc/57/44/94/1073574494.db2.gz MIQMKVROOMQFCR-JKSUJKDBSA-N 0 0 432.568 -0.582 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001253880173 1073574271 /nfs/dbraw/zinc/57/42/71/1073574271.db2.gz QAQVZMVWFUAVJK-DLBZAZTESA-N 0 0 440.522 -0.743 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001253880194 1073574377 /nfs/dbraw/zinc/57/43/77/1073574377.db2.gz QAQVZMVWFUAVJK-IAGOWNOFSA-N 0 0 440.522 -0.743 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001253880195 1073574249 /nfs/dbraw/zinc/57/42/49/1073574249.db2.gz QAQVZMVWFUAVJK-IRXDYDNUSA-N 0 0 440.522 -0.743 20 0 IBADRN COC(=O)Nc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001253880196 1073574404 /nfs/dbraw/zinc/57/44/04/1073574404.db2.gz QAQVZMVWFUAVJK-SJORKVTESA-N 0 0 440.522 -0.743 20 0 IBADRN O=S1(=O)CCN(C2CN(C[C@@H](O)[C@H](c3ccc(F)cc3F)n3cncn3)C2)CC1 ZINC001253889249 1073574231 /nfs/dbraw/zinc/57/42/31/1073574231.db2.gz NLMDFCBJEZNEPY-MSOLQXFVSA-N 0 0 427.477 -0.079 20 0 IBADRN O=S1(=O)CCN(C2CN(C[C@@H](O)[C@@H](c3ccc(F)cc3F)n3cncn3)C2)CC1 ZINC001253889250 1073574482 /nfs/dbraw/zinc/57/44/82/1073574482.db2.gz NLMDFCBJEZNEPY-QZTJIDSGSA-N 0 0 427.477 -0.079 20 0 IBADRN O=S1(=O)CCN(C2CN(C[C@H](O)[C@H](c3ccc(F)cc3F)n3cncn3)C2)CC1 ZINC001253889251 1073574426 /nfs/dbraw/zinc/57/44/26/1073574426.db2.gz NLMDFCBJEZNEPY-ROUUACIJSA-N 0 0 427.477 -0.079 20 0 IBADRN O=S1(=O)CCN(C2CN(C[C@H](O)[C@@H](c3ccc(F)cc3F)n3cncn3)C2)CC1 ZINC001253889252 1073574345 /nfs/dbraw/zinc/57/43/45/1073574345.db2.gz NLMDFCBJEZNEPY-ZWKOTPCHSA-N 0 0 427.477 -0.079 20 0 IBADRN COc1ccc(CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC001253912188 1073574195 /nfs/dbraw/zinc/57/41/95/1073574195.db2.gz PISOGILXRTYYOE-UHFFFAOYSA-N 0 0 426.543 -0.548 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001253931674 1073574307 /nfs/dbraw/zinc/57/43/07/1073574307.db2.gz ILFGBBFOZUNCQQ-KBPBESRZSA-N 0 0 438.616 -0.040 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCC(=O)N=S(C)(C)=O)CC1 ZINC001253935589 1073574391 /nfs/dbraw/zinc/57/43/91/1073574391.db2.gz PDIBPMXDFXXUHV-UHFFFAOYSA-N 0 0 431.540 -0.320 20 0 IBADRN CCCCS(=O)(=O)N[C@@H](C)C(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001253937031 1073574468 /nfs/dbraw/zinc/57/44/68/1073574468.db2.gz FUZNAVPXAHZYQT-WFASDCNBSA-N 0 0 428.511 -0.871 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)NCC(=O)N=S(C)(C)=O)CC2=O)C1 ZINC001253939370 1073574981 /nfs/dbraw/zinc/57/49/81/1073574981.db2.gz CAKMCNHRACDNLH-LLVKDONJSA-N 0 0 431.515 -0.240 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)NCC(=O)N=S(C)(C)=O)CC2=O)C1 ZINC001253939376 1073574926 /nfs/dbraw/zinc/57/49/26/1073574926.db2.gz CAKMCNHRACDNLH-NSHDSACASA-N 0 0 431.515 -0.240 20 0 IBADRN COC(=O)COCCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001253940193 1073574969 /nfs/dbraw/zinc/57/49/69/1073574969.db2.gz LSGJUAYEMPIDMV-UHFFFAOYSA-N 0 0 429.451 -0.681 20 0 IBADRN CN(C(=O)c1ccnc2c(C(N)=O)cnn21)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001253940728 1073574721 /nfs/dbraw/zinc/57/47/21/1073574721.db2.gz BHAHZFCQXKKDDP-UHFFFAOYSA-N 0 0 442.524 -0.861 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(CC3(O)CCS(=O)(=O)CC3)CC2)cc1 ZINC001253941858 1073575056 /nfs/dbraw/zinc/57/50/56/1073575056.db2.gz KZQXTCULXRHNTD-UHFFFAOYSA-N 0 0 425.551 -0.018 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC1(O)CCS(=O)(=O)CC1)c(=O)n2CC(C)C ZINC001253949406 1073574882 /nfs/dbraw/zinc/57/48/82/1073574882.db2.gz LOEOSJPNBKKKCP-UHFFFAOYSA-N 0 0 428.511 -0.398 20 0 IBADRN COc1ccc(CN2CCN(S(=O)(=O)N3CCOCC3)CC2)cc1OCC(N)=O ZINC001253976739 1073574869 /nfs/dbraw/zinc/57/48/69/1073574869.db2.gz ZVISIPBVNHRWAL-UHFFFAOYSA-N 0 0 428.511 -0.746 20 0 IBADRN COc1cccc(OC[C@H](O)CN[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001253983946 1073574834 /nfs/dbraw/zinc/57/48/34/1073574834.db2.gz KMQKJYCYNSIICS-UXPWSPDFSA-N 0 0 431.489 -0.402 20 0 IBADRN COc1cccc(OC[C@@H](O)CN[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001253983956 1073574947 /nfs/dbraw/zinc/57/49/47/1073574947.db2.gz KMQKJYCYNSIICS-VDGAXYAQSA-N 0 0 431.489 -0.402 20 0 IBADRN COc1cc(CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(Cl)c1OCC(N)=O ZINC001253989855 1073575048 /nfs/dbraw/zinc/57/50/48/1073575048.db2.gz NPZOOZYEYGQHPD-GFCCVEGCSA-N 0 0 433.914 -0.052 20 0 IBADRN COc1cc(CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc(Cl)c1OCC(N)=O ZINC001253989856 1073575039 /nfs/dbraw/zinc/57/50/39/1073575039.db2.gz NPZOOZYEYGQHPD-LBPRGKRZSA-N 0 0 433.914 -0.052 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001253995242 1073574896 /nfs/dbraw/zinc/57/48/96/1073574896.db2.gz JCVBYLQMHXVJTK-UHFFFAOYSA-N 0 0 437.478 -0.126 20 0 IBADRN CC(C)(C)OC(=O)NCCCOCCNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001254001785 1073574936 /nfs/dbraw/zinc/57/49/36/1073574936.db2.gz IFKGAJXNKYFLGC-AWEZNQCLSA-N 0 0 438.547 -0.123 20 0 IBADRN CC(C)(C)OC(=O)NCCCOCCNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001254001786 1073574765 /nfs/dbraw/zinc/57/47/65/1073574765.db2.gz IFKGAJXNKYFLGC-CQSZACIVSA-N 0 0 438.547 -0.123 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001254029920 1073575571 /nfs/dbraw/zinc/57/55/71/1073575571.db2.gz NIALFEXAVQSJJL-UHFFFAOYSA-N 0 0 440.494 -0.080 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC001254041117 1073575454 /nfs/dbraw/zinc/57/54/54/1073575454.db2.gz XZTVVPCFHVCGSB-UHFFFAOYSA-N 0 0 428.243 -0.581 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001254051859 1073575299 /nfs/dbraw/zinc/57/52/99/1073575299.db2.gz FNWFTBPWVDIZIN-KBPBESRZSA-N 0 0 446.599 -0.018 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001254051860 1073575277 /nfs/dbraw/zinc/57/52/77/1073575277.db2.gz FNWFTBPWVDIZIN-KGLIPLIRSA-N 0 0 446.599 -0.018 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001254051861 1073575550 /nfs/dbraw/zinc/57/55/50/1073575550.db2.gz FNWFTBPWVDIZIN-UONOGXRCSA-N 0 0 446.599 -0.018 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001254051862 1073575584 /nfs/dbraw/zinc/57/55/84/1073575584.db2.gz FNWFTBPWVDIZIN-ZIAGYGMSSA-N 0 0 446.599 -0.018 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)co1 ZINC001254052216 1073575514 /nfs/dbraw/zinc/57/55/14/1073575514.db2.gz WYFVGJZEGHUXDD-UHFFFAOYSA-N 0 0 428.511 -0.382 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1C[C@@H]2C(=O)N(Cc3ccccc3)C(=O)[C@@H]2C1 ZINC001254058251 1073575629 /nfs/dbraw/zinc/57/56/29/1073575629.db2.gz QASXGKKKHFEYQG-IYBDPMFKSA-N 0 0 430.461 -0.118 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCC[C@@H](O)C2)C1 ZINC001254058485 1073575435 /nfs/dbraw/zinc/57/54/35/1073575435.db2.gz VCSSKVWUYPAOMD-BZUAXINKSA-N 0 0 426.514 -0.103 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCC[C@H](O)C2)C1 ZINC001254058486 1073575594 /nfs/dbraw/zinc/57/55/94/1073575594.db2.gz VCSSKVWUYPAOMD-OAGGEKHMSA-N 0 0 426.514 -0.103 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCC[C@@H](C(=O)N[C@H]2CCC[C@@H](O)C2)C1 ZINC001254058487 1073575560 /nfs/dbraw/zinc/57/55/60/1073575560.db2.gz VCSSKVWUYPAOMD-OWCLPIDISA-N 0 0 426.514 -0.103 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCC[C@@H](C(=O)N[C@H]2CCC[C@H](O)C2)C1 ZINC001254058488 1073575496 /nfs/dbraw/zinc/57/54/96/1073575496.db2.gz VCSSKVWUYPAOMD-PMPSAXMXSA-N 0 0 426.514 -0.103 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001254091977 1073576485 /nfs/dbraw/zinc/57/64/85/1073576485.db2.gz VRTOCXGNWMXCKK-GZBFAFLISA-N 0 0 439.446 -0.329 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OC ZINC001254097131 1073576477 /nfs/dbraw/zinc/57/64/77/1073576477.db2.gz DPAUIOLXACZFAR-SRVKXCTJSA-N 0 0 443.478 -0.678 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001254097902 1073576426 /nfs/dbraw/zinc/57/64/26/1073576426.db2.gz YRYUASCVDWKOCR-HNNXBMFYSA-N 0 0 435.458 -0.330 20 0 IBADRN O=C(NCc1cn(CCOCCOCCO)nn1)C1CCC(CN2C(=O)C=CC2=O)CC1 ZINC001254101523 1073576455 /nfs/dbraw/zinc/57/64/55/1073576455.db2.gz UQDCKRCDLTWINJ-UHFFFAOYSA-N 0 0 449.508 -0.349 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(CSc4ccccc4)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254103823 1073576351 /nfs/dbraw/zinc/57/63/51/1073576351.db2.gz ABKAVELTIFHOTF-LISAXSMJSA-N 0 0 433.446 -0.571 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccc(Cl)s4)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104046 1073576447 /nfs/dbraw/zinc/57/64/47/1073576447.db2.gz DDZWIGCZZIITNE-RMRHIDDWSA-N 0 0 427.826 -0.482 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001254104052 1073576411 /nfs/dbraw/zinc/57/64/11/1073576411.db2.gz GUESAACISBICMQ-HNNXBMFYSA-N 0 0 435.458 -0.330 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cc5ccccc5cn4)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104056 1073576341 /nfs/dbraw/zinc/57/63/41/1073576341.db2.gz DFQVWZZWFLPZQE-PNYFIKQUSA-N 0 0 437.416 -0.529 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccc(Cl)s4)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104098 1073576463 /nfs/dbraw/zinc/57/64/63/1073576463.db2.gz DXKPJOLRPUGPQW-RMRHIDDWSA-N 0 0 426.842 -0.363 20 0 IBADRN CC(C)(C)OC(=O)N1CC(c2cn([C@]3(CO)O[C@@H]4[C@@H](Oc5nc(=O)ccn54)[C@@H]3O)nn2)C1 ZINC001254104118 1073576400 /nfs/dbraw/zinc/57/64/00/1073576400.db2.gz FDYZCJPWPLQWTR-XTDOFWJNSA-N 0 0 448.436 -0.835 20 0 IBADRN CSc1cccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@@H](O)[C@@H]3O)nn2)c1 ZINC001254104138 1073576500 /nfs/dbraw/zinc/57/65/00/1073576500.db2.gz FJLBAWJCFLUBFE-LISAXSMJSA-N 0 0 432.462 -0.356 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001254104316 1073576880 /nfs/dbraw/zinc/57/68/80/1073576880.db2.gz UGBGYWROZVXNJB-HOTGVXAUSA-N 0 0 449.485 -0.675 20 0 IBADRN CC(=O)c1cccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@@H](O)[C@@H]3O)nn2)c1 ZINC001254104326 1073576965 /nfs/dbraw/zinc/57/69/65/1073576965.db2.gz GGYMISMQTBUKEN-IMBTUZDBSA-N 0 0 429.389 -0.994 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(CSc4ccccc4)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104352 1073576777 /nfs/dbraw/zinc/57/67/77/1073576777.db2.gz HANPZZHZFMKXDL-LISAXSMJSA-N 0 0 432.462 -0.452 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(C45CC6CC(CC(C6)C4)C5)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104354 1073576951 /nfs/dbraw/zinc/57/69/51/1073576951.db2.gz HBXLPHKSAIWDNX-LKNHXCFXSA-N 0 0 444.492 -0.277 20 0 IBADRN COc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@@H](O)[C@@H]3O)nn2)c(C)c1 ZINC001254104370 1073576752 /nfs/dbraw/zinc/57/67/52/1073576752.db2.gz HKIHQFSKYVXLQO-IMBTUZDBSA-N 0 0 430.421 -0.760 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccc5ncccc5c4)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104395 1073576839 /nfs/dbraw/zinc/57/68/39/1073576839.db2.gz HYVKRBOGXTWSKF-PNYFIKQUSA-N 0 0 437.416 -0.529 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccnc5ccccc54)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104424 1073576810 /nfs/dbraw/zinc/57/68/10/1073576810.db2.gz JIBSTVCSDBWSMY-PNYFIKQUSA-N 0 0 438.400 -0.649 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(C4(c5ccccc5)CC4)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104438 1073576930 /nfs/dbraw/zinc/57/69/30/1073576930.db2.gz JWNOTCHESJGMKQ-MIALQEHNSA-N 0 0 427.417 -0.784 20 0 IBADRN CSc1cccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@@H](O)[C@@H]3O)nn2)c1 ZINC001254104562 1073576941 /nfs/dbraw/zinc/57/69/41/1073576941.db2.gz LIQCXKYSYNWRSR-LISAXSMJSA-N 0 0 433.446 -0.475 20 0 IBADRN O=c1ccn2c(n1)O[C@@H]1[C@H]2O[C@@](CO)(n2cc(-c3ccc(Br)cn3)nn2)[C@H]1O ZINC001254104569 1073576919 /nfs/dbraw/zinc/57/69/19/1073576919.db2.gz LQZYZYLDTPYTNF-TTZDDIAXSA-N 0 0 449.221 -0.345 20 0 IBADRN CC(=O)c1cccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@@H](O)[C@@H]3O)nn2)c1 ZINC001254104572 1073577001 /nfs/dbraw/zinc/57/70/01/1073577001.db2.gz LTZGPWUAMTVCOP-IMBTUZDBSA-N 0 0 428.405 -0.875 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(C4(c5ccccc5)CC4)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104578 1073576907 /nfs/dbraw/zinc/57/69/07/1073576907.db2.gz LZJYXYQTVLXFTO-MIALQEHNSA-N 0 0 426.433 -0.665 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4c[nH]c5ccccc54)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104579 1073576862 /nfs/dbraw/zinc/57/68/62/1073576862.db2.gz MBABSUKLKQYBML-IMBTUZDBSA-N 0 0 426.389 -0.715 20 0 IBADRN CC(=O)c1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@@H](O)[C@@H]3O)nn2)cc1 ZINC001254104736 1073576953 /nfs/dbraw/zinc/57/69/53/1073576953.db2.gz PBQHCGBEMAGLGF-IMBTUZDBSA-N 0 0 428.405 -0.875 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cccc5ccccc54)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104784 1073576851 /nfs/dbraw/zinc/57/68/51/1073576851.db2.gz QDIXQSXLXALCEU-CTAFRAEOSA-N 0 0 437.412 -0.044 20 0 IBADRN CC(=O)c1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@@H](O)[C@@H]3O)nn2)cc1 ZINC001254104827 1073576790 /nfs/dbraw/zinc/57/67/90/1073576790.db2.gz RHOKODHYXDHXHZ-IMBTUZDBSA-N 0 0 429.389 -0.994 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cc5ccccc5cn4)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104828 1073576894 /nfs/dbraw/zinc/57/68/94/1073576894.db2.gz RHZFLZQREKGOHZ-PNYFIKQUSA-N 0 0 438.400 -0.649 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cccc5cnccc54)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104829 1073576978 /nfs/dbraw/zinc/57/69/78/1073576978.db2.gz RIWFLGXHNZJHDD-PNYFIKQUSA-N 0 0 437.416 -0.529 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(CCCc4ccccc4)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104863 1073576825 /nfs/dbraw/zinc/57/68/25/1073576825.db2.gz RYDXBFRDPRDCOA-PNYFIKQUSA-N 0 0 429.433 -0.688 20 0 IBADRN CCCc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@@H](O)[C@@H]3O)nn2)cc1 ZINC001254104905 1073576988 /nfs/dbraw/zinc/57/69/88/1073576988.db2.gz SLHSPYYHAPOOKT-PNYFIKQUSA-N 0 0 429.433 -0.244 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cccc5cnccc54)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104913 1073577399 /nfs/dbraw/zinc/57/73/99/1073577399.db2.gz SWTPRKYPQZNURB-PNYFIKQUSA-N 0 0 438.400 -0.649 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4nccc5ccccc54)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104917 1073577272 /nfs/dbraw/zinc/57/72/72/1073577272.db2.gz TVTZAIAQQHDKHH-PNYFIKQUSA-N 0 0 437.416 -0.529 20 0 IBADRN CCCc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@@H](O)[C@@H]3O)nn2)cc1 ZINC001254104918 1073577337 /nfs/dbraw/zinc/57/73/37/1073577337.db2.gz TWMHBCWDDBUESU-PNYFIKQUSA-N 0 0 428.449 -0.125 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccc5ccccc5c4)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104923 1073577350 /nfs/dbraw/zinc/57/73/50/1073577350.db2.gz UERNOKFFHVLHRS-CTAFRAEOSA-N 0 0 437.412 -0.044 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(CCCc4ccccc4)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104941 1073577322 /nfs/dbraw/zinc/57/73/22/1073577322.db2.gz VDIVTOCNLXQFSI-PNYFIKQUSA-N 0 0 428.449 -0.569 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(C45CC6CC(CC(C6)C4)C5)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104948 1073577304 /nfs/dbraw/zinc/57/73/04/1073577304.db2.gz VHKHSJOWSBLXDA-LKNHXCFXSA-N 0 0 445.476 -0.396 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccnc5ccccc54)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104949 1073577253 /nfs/dbraw/zinc/57/72/53/1073577253.db2.gz VHKLCWUHZWKBEJ-PNYFIKQUSA-N 0 0 437.416 -0.529 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cccc5cccnc54)nn3)[C@@H](O)[C@@H]2O)c(=O)n1 ZINC001254104953 1073577524 /nfs/dbraw/zinc/57/75/24/1073577524.db2.gz VMZARQBAJGIRSZ-PNYFIKQUSA-N 0 0 437.416 -0.529 20 0 IBADRN COC(=O)c1ccc(-c2cn([C@]3(CO)O[C@@H]4[C@@H](Oc5nc(=O)ccn54)[C@@H]3O)nn2)cc1 ZINC001254104954 1073577438 /nfs/dbraw/zinc/57/74/38/1073577438.db2.gz VNBUCHOHDIIJTL-YIOZNXECSA-N 0 0 427.373 -0.716 20 0 IBADRN CCOC(=O)c1ccc(-c2cn([C@]3(CO)O[C@@H]4[C@@H](Oc5nc(=O)ccn54)[C@@H]3O)nn2)cc1 ZINC001254104955 1073577491 /nfs/dbraw/zinc/57/74/91/1073577491.db2.gz VPKMCVXSFOKZGQ-MIALQEHNSA-N 0 0 441.400 -0.326 20 0 IBADRN CCOc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@@H](O)[C@@H]3O)nn2)cc1 ZINC001254104984 1073577412 /nfs/dbraw/zinc/57/74/12/1073577412.db2.gz WFCUJKYXLGXYQE-IMBTUZDBSA-N 0 0 430.421 -0.679 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4nccc5ccccc54)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254104992 1073577480 /nfs/dbraw/zinc/57/74/80/1073577480.db2.gz WLIYNAFLUMZUCA-PNYFIKQUSA-N 0 0 438.400 -0.649 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cccc5cccnc54)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254105006 1073577459 /nfs/dbraw/zinc/57/74/59/1073577459.db2.gz WPEYRRQLCRRIFF-PNYFIKQUSA-N 0 0 438.400 -0.649 20 0 IBADRN COc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@@H](O)[C@@H]3O)nn2)c(C)c1 ZINC001254105037 1073577366 /nfs/dbraw/zinc/57/73/66/1073577366.db2.gz WZAYBZPHBYULAX-IMBTUZDBSA-N 0 0 431.405 -0.880 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccc5ncccc5c4)nn3)[C@@H](O)[C@@H]2O)c(=O)[nH]1 ZINC001254105162 1073577515 /nfs/dbraw/zinc/57/75/15/1073577515.db2.gz YBWDUYNYYRZMBH-PNYFIKQUSA-N 0 0 438.400 -0.649 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cc5ccccc5cn4)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105196 1073577288 /nfs/dbraw/zinc/57/72/88/1073577288.db2.gz DFQVWZZWFLPZQE-AJYBTWMASA-N 0 0 437.416 -0.529 20 0 IBADRN CCOc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@@H](O)[C@@H]3O)nn2)cc1 ZINC001254105215 1073577504 /nfs/dbraw/zinc/57/75/04/1073577504.db2.gz ZIRKSOXHSXZQSR-IMBTUZDBSA-N 0 0 431.405 -0.798 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccc(Cl)s4)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105222 1073577383 /nfs/dbraw/zinc/57/73/83/1073577383.db2.gz DXKPJOLRPUGPQW-QVHKTLOISA-N 0 0 426.842 -0.363 20 0 IBADRN CSc1cccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@H](O)[C@@H]3O)nn2)c1 ZINC001254105232 1073577453 /nfs/dbraw/zinc/57/74/53/1073577453.db2.gz FJLBAWJCFLUBFE-KYHPRHEASA-N 0 0 432.462 -0.356 20 0 IBADRN O=C(OCc1ccccc1)c1cn([C@]2(CO)O[C@@H]3[C@@H](Oc4nc(=O)ccn43)[C@@H]2O)nn1 ZINC001254105235 1073577424 /nfs/dbraw/zinc/57/74/24/1073577424.db2.gz FMAJUUQSSASACB-YIOZNXECSA-N 0 0 427.373 -0.812 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(CSc4ccccc4)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105303 1073577936 /nfs/dbraw/zinc/57/79/36/1073577936.db2.gz HANPZZHZFMKXDL-KYHPRHEASA-N 0 0 432.462 -0.452 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(C45CC6CC(CC(C6)C4)C5)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105304 1073577778 /nfs/dbraw/zinc/57/77/78/1073577778.db2.gz HBXLPHKSAIWDNX-RSAMWJRLSA-N 0 0 444.492 -0.277 20 0 IBADRN COc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@H](O)[C@@H]3O)nn2)c(C)c1 ZINC001254105320 1073577683 /nfs/dbraw/zinc/57/76/83/1073577683.db2.gz HKIHQFSKYVXLQO-SFNKJDCFSA-N 0 0 430.421 -0.760 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccc5ncccc5c4)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105335 1073577825 /nfs/dbraw/zinc/57/78/25/1073577825.db2.gz HYVKRBOGXTWSKF-AJYBTWMASA-N 0 0 437.416 -0.529 20 0 IBADRN CN(Cc1cn([C@]2(CO)O[C@@H]3[C@@H](Oc4nc(=O)ccn43)[C@@H]2O)nn1)Cc1ccccc1 ZINC001254105471 1073577725 /nfs/dbraw/zinc/57/77/25/1073577725.db2.gz LSGSMFNSKKQSAP-PNYFIKQUSA-N 0 0 426.433 -0.537 20 0 IBADRN CC(=O)c1cccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@H](O)[C@@H]3O)nn2)c1 ZINC001254105474 1073577868 /nfs/dbraw/zinc/57/78/68/1073577868.db2.gz LTZGPWUAMTVCOP-SFNKJDCFSA-N 0 0 428.405 -0.875 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(C4(c5ccccc5)CC4)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105481 1073577882 /nfs/dbraw/zinc/57/78/82/1073577882.db2.gz LZJYXYQTVLXFTO-AXVVYFOYSA-N 0 0 426.433 -0.665 20 0 IBADRN COC(=O)c1cccc(-c2cn([C@]3(CO)O[C@@H]4[C@@H](Oc5nc(=O)ccn54)[C@@H]3O)nn2)c1 ZINC001254105505 1073577926 /nfs/dbraw/zinc/57/79/26/1073577926.db2.gz MZRSJVKZLZEBKA-YIOZNXECSA-N 0 0 427.373 -0.716 20 0 IBADRN CC(=O)c1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@H](O)[C@@H]3O)nn2)cc1 ZINC001254105571 1073577713 /nfs/dbraw/zinc/57/77/13/1073577713.db2.gz PBQHCGBEMAGLGF-SFNKJDCFSA-N 0 0 428.405 -0.875 20 0 IBADRN O=C(OCc1cn([C@]2(CO)O[C@@H]3[C@@H](Oc4nc(=O)ccn43)[C@@H]2O)nn1)c1ccccc1 ZINC001254105581 1073577691 /nfs/dbraw/zinc/57/76/91/1073577691.db2.gz PKQBDQGJXMBPBC-YIOZNXECSA-N 0 0 427.373 -0.812 20 0 IBADRN CCOC(=O)C(CCc1cn(CCOCCOCCOCCO)nn1)C(=O)OCC ZINC001254105650 1073577763 /nfs/dbraw/zinc/57/77/63/1073577763.db2.gz BVGNIBNNEFGXIG-UHFFFAOYSA-N 0 0 431.486 -0.005 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cccc5cnccc54)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105764 1073577912 /nfs/dbraw/zinc/57/79/12/1073577912.db2.gz RIWFLGXHNZJHDD-AJYBTWMASA-N 0 0 437.416 -0.529 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4nccc5ccccc54)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105799 1073577835 /nfs/dbraw/zinc/57/78/35/1073577835.db2.gz TVTZAIAQQHDKHH-AJYBTWMASA-N 0 0 437.416 -0.529 20 0 IBADRN CCCc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@H](O)[C@@H]3O)nn2)cc1 ZINC001254105800 1073577949 /nfs/dbraw/zinc/57/79/49/1073577949.db2.gz TWMHBCWDDBUESU-AJYBTWMASA-N 0 0 428.449 -0.125 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(CCCc4ccccc4)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105902 1073577959 /nfs/dbraw/zinc/57/79/59/1073577959.db2.gz VDIVTOCNLXQFSI-AJYBTWMASA-N 0 0 428.449 -0.569 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4ccnc5ccccc54)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105907 1073577898 /nfs/dbraw/zinc/57/78/98/1073577898.db2.gz VHKLCWUHZWKBEJ-AJYBTWMASA-N 0 0 437.416 -0.529 20 0 IBADRN Nc1ccn([C@@H]2O[C@@](CO)(n3cc(-c4cccc5cccnc54)nn3)[C@@H](O)[C@H]2O)c(=O)n1 ZINC001254105922 1073577854 /nfs/dbraw/zinc/57/78/54/1073577854.db2.gz VMZARQBAJGIRSZ-AJYBTWMASA-N 0 0 437.416 -0.529 20 0 IBADRN O=c1ccn2c(n1)O[C@@H]1[C@H]2O[C@@](CO)(n2cc(-c3ccc(Br)nc3)nn2)[C@H]1O ZINC001254105947 1073577702 /nfs/dbraw/zinc/57/77/02/1073577702.db2.gz VVFSQNOCFIOHQA-TTZDDIAXSA-N 0 0 449.221 -0.345 20 0 IBADRN CCOc1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(N)nc4=O)[C@H](O)[C@@H]3O)nn2)cc1 ZINC001254105955 1073577796 /nfs/dbraw/zinc/57/77/96/1073577796.db2.gz WFCUJKYXLGXYQE-SFNKJDCFSA-N 0 0 430.421 -0.679 20 0 IBADRN COC(=O)c1ccccc1-c1cn([C@]2(CO)O[C@@H]3[C@@H](Oc4nc(=O)ccn43)[C@@H]2O)nn1 ZINC001254105987 1073577811 /nfs/dbraw/zinc/57/78/11/1073577811.db2.gz XMEGJGFMHBPITB-YIOZNXECSA-N 0 0 427.373 -0.716 20 0 IBADRN CC(C)(C)OC(=O)C(C)(C)c1cn([C@]2(CO)O[C@@H]3[C@@H](Oc4nc(=O)ccn43)[C@@H]2O)nn1 ZINC001254106028 1073578311 /nfs/dbraw/zinc/57/83/11/1073578311.db2.gz ZQYILNPRAQZSFH-WZFJYNIESA-N 0 0 435.437 -0.550 20 0 IBADRN COC(=O)Cc1cn([C@@H]2O[C@@H]3CO[C@@H](c4ccccc4)O[C@H]3[C@H](O)[C@H]2NC(C)=O)nn1 ZINC001254106582 1073578360 /nfs/dbraw/zinc/57/83/60/1073578360.db2.gz APRIBJZYTRGKKU-CKOOPXGMSA-N 0 0 432.433 -0.129 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(CCC2CCCCC2)nn1 ZINC001254106660 1073578195 /nfs/dbraw/zinc/57/81/95/1073578195.db2.gz CBEWITZWHHCXRT-LASHMREHSA-N 0 0 426.514 -0.249 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2ccc3ncccc3c2)nn1 ZINC001254106828 1073578427 /nfs/dbraw/zinc/57/84/27/1073578427.db2.gz FXMWUDSVSUBHNG-PFAUGDHASA-N 0 0 443.460 -0.546 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(CSc2ccccc2)nn1 ZINC001254106914 1073578183 /nfs/dbraw/zinc/57/81/83/1073578183.db2.gz IHXZSAXMSNULDG-FVVUREQNSA-N 0 0 438.506 -0.469 20 0 IBADRN CCOC(=O)c1ccc(-c2cn([C@H]3[C@H](n4ccc(=O)[nH]c4=O)O[C@H](CO)[C@H]3O)nn2)cc1 ZINC001254106998 1073578275 /nfs/dbraw/zinc/57/82/75/1073578275.db2.gz LCDTZULPDXWPPI-VDHUWJSZSA-N 0 0 443.416 -0.124 20 0 IBADRN COC(=O)c1ccc(F)c(-c2cn([C@H]3[C@H](n4ccc(=O)[nH]c4=O)O[C@H](CO)[C@H]3O)nn2)c1 ZINC001254107061 1073578343 /nfs/dbraw/zinc/57/83/43/1073578343.db2.gz LUYIWHYMHTXAOX-MWQQHZPXSA-N 0 0 447.379 -0.375 20 0 IBADRN CSc1cccc(-c2cn(CCO[C@@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@H]3NC(C)=O)nn2)c1 ZINC001254107114 1073578399 /nfs/dbraw/zinc/57/83/99/1073578399.db2.gz OAPFVVMVJUKUNT-FVVUREQNSA-N 0 0 438.506 -0.373 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(CCCc2ccccc2)nn1 ZINC001254107141 1073578170 /nfs/dbraw/zinc/57/81/70/1073578170.db2.gz PCEQKUAGZSCMBE-PFAUGDHASA-N 0 0 434.493 -0.586 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2ccnc3ccccc32)nn1 ZINC001254107149 1073578206 /nfs/dbraw/zinc/57/82/06/1073578206.db2.gz PLQXJSWXBDVWMY-PFAUGDHASA-N 0 0 443.460 -0.546 20 0 IBADRN CC(C)(O[C@@H]1CCCCO1)c1cn([C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254107192 1073578246 /nfs/dbraw/zinc/57/82/46/1073578246.db2.gz PWLBWRHWCGOMHW-JSPVNYKOSA-N 0 0 437.453 -0.189 20 0 IBADRN CC(C)(O[C@H]1CCCCO1)c1cn([C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254107193 1073578388 /nfs/dbraw/zinc/57/83/88/1073578388.db2.gz PWLBWRHWCGOMHW-XFPGOJQTSA-N 0 0 437.453 -0.189 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2ccccc2Cl)nn1 ZINC001254107207 1073578287 /nfs/dbraw/zinc/57/82/87/1073578287.db2.gz QJCZWECYHDCUJV-DUQPFJRNSA-N 0 0 426.857 -0.441 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2c[nH]c3ccccc32)nn1 ZINC001254107225 1073578375 /nfs/dbraw/zinc/57/83/75/1073578375.db2.gz RLFSZISEFYGHIU-LASHMREHSA-N 0 0 431.449 -0.613 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(C2(c3ccccc3)CC2)nn1 ZINC001254107226 1073578220 /nfs/dbraw/zinc/57/82/20/1073578220.db2.gz RLNJJBWDMKQAQD-YHUYVZNPSA-N 0 0 432.477 -0.682 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2cccc3cnccc32)nn1 ZINC001254107266 1073578233 /nfs/dbraw/zinc/57/82/33/1073578233.db2.gz TWPDXKGEWIZYEW-PFAUGDHASA-N 0 0 443.460 -0.546 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2ccc(C(C)=O)cc2)nn1 ZINC001254107288 1073578296 /nfs/dbraw/zinc/57/82/96/1073578296.db2.gz VAMURIMMVVYXAO-LASHMREHSA-N 0 0 434.449 -0.892 20 0 IBADRN COc1ccc(-c2cn(CCO[C@@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@H]3NC(C)=O)nn2)c(C)c1 ZINC001254107296 1073578328 /nfs/dbraw/zinc/57/83/28/1073578328.db2.gz VGJGKUUIWBZVCS-LASHMREHSA-N 0 0 436.465 -0.778 20 0 IBADRN CCCc1ccc(-c2cn(CCO[C@@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@H]3NC(C)=O)nn2)cc1 ZINC001254107306 1073578263 /nfs/dbraw/zinc/57/82/63/1073578263.db2.gz VNYSXNXZCJLLPJ-PFAUGDHASA-N 0 0 434.493 -0.142 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2cccc(Cl)c2)nn1 ZINC001254107358 1073578413 /nfs/dbraw/zinc/57/84/13/1073578413.db2.gz XYVVJZJPZQDGCA-DUQPFJRNSA-N 0 0 426.857 -0.441 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2cccc(C(C)=O)c2)nn1 ZINC001254107363 1073578631 /nfs/dbraw/zinc/57/86/31/1073578631.db2.gz YEMKBFRJNLVHSM-LASHMREHSA-N 0 0 434.449 -0.892 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2ccc(Cl)s2)nn1 ZINC001254107375 1073578679 /nfs/dbraw/zinc/57/86/79/1073578679.db2.gz YVRLYKMYZQYWKU-XZINFULNSA-N 0 0 432.886 -0.380 20 0 IBADRN CCOc1ccc(-c2cn(CCO[C@@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@H]3NC(C)=O)nn2)cc1 ZINC001254107381 1073578775 /nfs/dbraw/zinc/57/87/75/1073578775.db2.gz ZGGJOTSHSFDWDC-LASHMREHSA-N 0 0 436.465 -0.696 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2cccc3cccnc32)nn1 ZINC001254107383 1073578765 /nfs/dbraw/zinc/57/87/65/1073578765.db2.gz ZGSGWMIVDAIOPQ-GHRYLNIYSA-N 0 0 443.460 -0.546 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2cc3ccccc3cn2)nn1 ZINC001254107389 1073578784 /nfs/dbraw/zinc/57/87/84/1073578784.db2.gz ZNKKLZYOLNJUQD-PFAUGDHASA-N 0 0 443.460 -0.546 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2ccc(Cl)cc2)nn1 ZINC001254107393 1073578719 /nfs/dbraw/zinc/57/87/19/1073578719.db2.gz ZSRKVGCTPIVSMQ-DUQPFJRNSA-N 0 0 426.857 -0.441 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1OCCn1cc(-c2nccc3ccccc32)nn1 ZINC001254107397 1073578797 /nfs/dbraw/zinc/57/87/97/1073578797.db2.gz ZZTNHRNDNGOTLP-GHRYLNIYSA-N 0 0 443.460 -0.546 20 0 IBADRN O=C(OCc1ccccc1)c1cn([C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254107410 1073578847 /nfs/dbraw/zinc/57/88/47/1073578847.db2.gz AXHJQTDDNJQAGY-MWQQHZPXSA-N 0 0 429.389 -0.611 20 0 IBADRN COC(=O)c1ccccc1-c1cn([C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254107419 1073578805 /nfs/dbraw/zinc/57/88/05/1073578805.db2.gz BHXGUPPKGPJVEO-MWQQHZPXSA-N 0 0 429.389 -0.514 20 0 IBADRN COc1ccc(-c2cn([C@H]3[C@H](n4ccc(=O)[nH]c4=O)O[C@H](CO)[C@H]3O)nn2)cc1OC ZINC001254107420 1073578862 /nfs/dbraw/zinc/57/88/62/1073578862.db2.gz BHZIBRXWGJATBG-VDHUWJSZSA-N 0 0 431.405 -0.284 20 0 IBADRN CN(Cc1cn([C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)nn1)Cc1ccccc1 ZINC001254107429 1073578689 /nfs/dbraw/zinc/57/86/89/1073578689.db2.gz BUGNLIJYBPGAHP-NXWXRZEISA-N 0 0 428.449 -0.336 20 0 IBADRN COc1cccc(OC)c1-c1cn([C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254107481 1073578817 /nfs/dbraw/zinc/57/88/17/1073578817.db2.gz FPSBZEQSKPCYMP-BNEJOLLZSA-N 0 0 431.405 -0.284 20 0 IBADRN COc1cc(OC)cc(-c2cn([C@H]3[C@H](n4ccc(=O)[nH]c4=O)O[C@H](CO)[C@H]3O)nn2)c1 ZINC001254107580 1073578833 /nfs/dbraw/zinc/57/88/33/1073578833.db2.gz LHKMXHFFPYLZJK-VDHUWJSZSA-N 0 0 431.405 -0.284 20 0 IBADRN Cc1n[nH]c2ccc(-c3cn([C@H]4[C@H](n5ccc(=O)[nH]c5=O)O[C@H](CO)[C@H]4O)nn3)cc12 ZINC001254107581 1073578661 /nfs/dbraw/zinc/57/86/61/1073578661.db2.gz LIALEHIVEBEJPU-VDHUWJSZSA-N 0 0 425.405 -0.116 20 0 IBADRN O=C(OCc1cn([C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)nn1)c1ccccc1 ZINC001254107679 1073578727 /nfs/dbraw/zinc/57/87/27/1073578727.db2.gz QDICYUNNBWWWFS-MWQQHZPXSA-N 0 0 429.389 -0.611 20 0 IBADRN CS(=O)(=O)c1ccc(-c2cn([C@H]3[C@H](n4ccc(=O)[nH]c4=O)O[C@H](CO)[C@H]3O)nn2)cc1 ZINC001254107684 1073578640 /nfs/dbraw/zinc/57/86/40/1073578640.db2.gz QKXRWCPFVUVGJO-MWQQHZPXSA-N 0 0 449.445 -0.897 20 0 IBADRN COC(=O)c1cccc(-c2cn([C@H]3[C@H](n4ccc(=O)[nH]c4=O)O[C@H](CO)[C@H]3O)nn2)c1 ZINC001254107686 1073578649 /nfs/dbraw/zinc/57/86/49/1073578649.db2.gz QMPWGLREEYPJMW-MWQQHZPXSA-N 0 0 429.389 -0.514 20 0 IBADRN CC(C)(C)OC(=O)C(C)(C)c1cn([C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254107712 1073578710 /nfs/dbraw/zinc/57/87/10/1073578710.db2.gz RVPRXZGFKZWNRK-JUDXGUMMSA-N 0 0 437.453 -0.348 20 0 IBADRN COC(=O)c1ccc(-c2cn([C@H]3[C@H](n4ccc(=O)[nH]c4=O)O[C@H](CO)[C@H]3O)nn2)cc1 ZINC001254107924 1073578752 /nfs/dbraw/zinc/57/87/52/1073578752.db2.gz ZLSNEOUOTVCVPJ-MWQQHZPXSA-N 0 0 429.389 -0.514 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc([C@H]2CCN(C(=O)OC(C)(C)C)C2)nn1 ZINC001254108847 1073578738 /nfs/dbraw/zinc/57/87/38/1073578738.db2.gz BENDILNWPBNWJD-IIYRAJEGSA-N 0 0 441.485 -0.881 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc([C@@H]2CCN(C(=O)OC(C)(C)C)C2)nn1 ZINC001254108852 1073579128 /nfs/dbraw/zinc/57/91/28/1073579128.db2.gz BENDILNWPBNWJD-XLMMCZFXSA-N 0 0 441.485 -0.881 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(C(C)(C)C(=O)OCc2ccccc2)nn1 ZINC001254108942 1073579227 /nfs/dbraw/zinc/57/92/27/1073579227.db2.gz BVRZOPLPIZXYQV-WSIUPNEHSA-N 0 0 448.476 -0.585 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2ccc(Br)nc2)nn1 ZINC001254109420 1073579205 /nfs/dbraw/zinc/57/92/05/1073579205.db2.gz FOFDURRFSKQECE-DIAXPKBXSA-N 0 0 428.243 -0.781 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(C(C)(C)COCc2ccccc2)nn1 ZINC001254109586 1073579263 /nfs/dbraw/zinc/57/92/63/1073579263.db2.gz HFRLEDZNHOORGF-YHUYVZNPSA-N 0 0 434.493 -0.111 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2cccc(Br)c2)nn1 ZINC001254110145 1073579241 /nfs/dbraw/zinc/57/92/41/1073579241.db2.gz KCJOJDZGXXMDFZ-OXGONZEZSA-N 0 0 427.255 -0.176 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2cc(Br)ccc2F)nn1 ZINC001254110667 1073579277 /nfs/dbraw/zinc/57/92/77/1073579277.db2.gz NJOGTVJFDMHGCK-OXGONZEZSA-N 0 0 445.245 -0.037 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C)(c2cn(C[C@H]3O[C@H](O)[C@H](O)[C@@H](O)[C@H]3O)nn2)CC1 ZINC001254111955 1073579256 /nfs/dbraw/zinc/57/92/56/1073579256.db2.gz OUROIGXOTLKSKK-CHUNWDLHSA-N 0 0 428.486 -0.633 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C)(c2cn(C[C@H]3O[C@@H](O)[C@H](O)[C@@H](O)[C@H]3O)nn2)CC1 ZINC001254111958 1073579076 /nfs/dbraw/zinc/57/90/76/1073579076.db2.gz OUROIGXOTLKSKK-DZQJYWQESA-N 0 0 428.486 -0.633 20 0 IBADRN OC[C@H]1O[C@@H](n2cc(-c3ccc(I)cc3)nn2)[C@H](O)[C@@H](O)[C@H]1O ZINC001254112072 1073579160 /nfs/dbraw/zinc/57/91/60/1073579160.db2.gz QZXVHJMBDLVOOA-MBJXGIAVSA-N 0 0 433.202 -0.478 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2ccc(Br)s2)nn1 ZINC001254112312 1073579169 /nfs/dbraw/zinc/57/91/69/1073579169.db2.gz OINJGUIADYMIIT-LXOGICJWSA-N 0 0 433.284 -0.115 20 0 IBADRN CCOC(=O)c1ccc(F)c(-c2cn([C@@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@H]3NC(C)=O)nn2)c1 ZINC001254112342 1073579192 /nfs/dbraw/zinc/57/91/92/1073579192.db2.gz OWAUUVOLZYWQQW-DUQPFJRNSA-N 0 0 438.412 -0.623 20 0 IBADRN OC[C@H]1O[C@@](CO)(OCCn2cc(-c3ccccc3Br)nn2)[C@@H](O)[C@@H]1O ZINC001254112407 1073579120 /nfs/dbraw/zinc/57/91/20/1073579120.db2.gz AGNGLDBJOAEDCR-LVQVYYBASA-N 0 0 430.255 -0.474 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc([C@H]2CCCN2C(=O)OC(C)(C)C)nn1 ZINC001254112534 1073579138 /nfs/dbraw/zinc/57/91/38/1073579138.db2.gz QLRINLDSAYQVOA-HDKMNVHJSA-N 0 0 441.485 -0.534 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc([C@@H]2CCCN2C(=O)OC(C)(C)C)nn1 ZINC001254112540 1073579181 /nfs/dbraw/zinc/57/91/81/1073579181.db2.gz QLRINLDSAYQVOA-XXJXLTMMSA-N 0 0 441.485 -0.534 20 0 IBADRN CCN(CC)Cc1cn([C@@H]2[C@@H](OC(C)=O)[C@@H](OC(C)=O)[C@@H](COC(C)=O)O[C@@H]2O)nn1 ZINC001254112551 1073579102 /nfs/dbraw/zinc/57/91/02/1073579102.db2.gz BOWMNDPULWFZQB-FQBWVUSXSA-N 0 0 442.469 -0.195 20 0 IBADRN CCN(CC)Cc1cn([C@H]2[C@H](O)O[C@H](COC(C)=O)[C@H](OC(C)=O)[C@@H]2OC(C)=O)nn1 ZINC001254112553 1073579290 /nfs/dbraw/zinc/57/92/90/1073579290.db2.gz BOWMNDPULWFZQB-UJWQCDCRSA-N 0 0 442.469 -0.195 20 0 IBADRN COC(=O)c1ccc(-c2cn(CCO[C@]3(CO)O[C@H](CO)[C@@H](O)[C@@H]3O)nn2)cc1Cl ZINC001254112595 1073579088 /nfs/dbraw/zinc/57/90/88/1073579088.db2.gz CFWGPQXJGGSUPJ-XLMAVXFVSA-N 0 0 443.840 -0.797 20 0 IBADRN COC(=O)c1ccc(-c2cn([C@@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@H]3NC(C)=O)nn2)cc1Cl ZINC001254112689 1073579608 /nfs/dbraw/zinc/57/96/08/1073579608.db2.gz SETMXFKWOINQJJ-WRQOLXDDSA-N 0 0 440.840 -0.499 20 0 IBADRN OC[C@H]1O[C@@](CO)(OCCn2cc(-c3cccc(Br)c3)nn2)[C@@H](O)[C@@H]1O ZINC001254112801 1073579651 /nfs/dbraw/zinc/57/96/51/1073579651.db2.gz DHKOPGNVDPYFOF-LVQVYYBASA-N 0 0 430.255 -0.474 20 0 IBADRN CCOC(=O)c1cn([C@@H]2[C@@H](OC(C)=O)[C@@H](OC(C)=O)[C@@H](COC(C)=O)O[C@@H]2O)nn1 ZINC001254112806 1073579576 /nfs/dbraw/zinc/57/95/76/1073579576.db2.gz DLUDYFDBYNNZMU-GAGVYUBLSA-N 0 0 429.382 -0.860 20 0 IBADRN CCOC(=O)c1cn([C@H]2[C@H](O)O[C@H](COC(C)=O)[C@H](OC(C)=O)[C@@H]2OC(C)=O)nn1 ZINC001254112807 1073579709 /nfs/dbraw/zinc/57/97/09/1073579709.db2.gz DLUDYFDBYNNZMU-OWVAZHOYSA-N 0 0 429.382 -0.860 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2ccc(Br)cc2F)nn1 ZINC001254112974 1073579727 /nfs/dbraw/zinc/57/97/27/1073579727.db2.gz SUTNEYUDJQFYBE-OXGONZEZSA-N 0 0 445.245 -0.037 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2ccc(Br)cc2)nn1 ZINC001254112984 1073579560 /nfs/dbraw/zinc/57/95/60/1073579560.db2.gz UEPVVGPISYNVSY-OXGONZEZSA-N 0 0 427.255 -0.176 20 0 IBADRN OC[C@H]1O[C@@](CO)(OCCn2cc(-c3ccc(Br)cc3)nn2)[C@@H](O)[C@@H]1O ZINC001254113118 1073579638 /nfs/dbraw/zinc/57/96/38/1073579638.db2.gz ILYLEKSMEZTWBM-LVQVYYBASA-N 0 0 430.255 -0.474 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(-c3ncccn3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113123 1073579565 /nfs/dbraw/zinc/57/95/65/1073579565.db2.gz IPNOOZHXLVHXSX-QFXBJFAPSA-N 0 0 435.393 -0.580 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(-c3ncccn3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113124 1073579628 /nfs/dbraw/zinc/57/96/28/1073579628.db2.gz IPNOOZHXLVHXSX-XLKGFZLASA-N 0 0 435.393 -0.580 20 0 IBADRN O[C@H]1[C@@H](Cn2cc(-c3ccc(I)cc3)nn2)O[C@H](O)[C@H](O)[C@H]1O ZINC001254113129 1073579665 /nfs/dbraw/zinc/57/96/65/1073579665.db2.gz IQNWUACHGULJLA-HTOAHKCRSA-N 0 0 433.202 -0.650 20 0 IBADRN O[C@@H]1O[C@H](Cn2cc(-c3ccc(I)cc3)nn2)[C@H](O)[C@H](O)[C@H]1O ZINC001254113130 1073579697 /nfs/dbraw/zinc/57/96/97/1073579697.db2.gz IQNWUACHGULJLA-MBJXGIAVSA-N 0 0 433.202 -0.650 20 0 IBADRN COC(=O)CCCCCCCCc1cn(CCO[C@]2(CO)O[C@H](CO)[C@@H](O)[C@@H]2O)nn1 ZINC001254113133 1073579615 /nfs/dbraw/zinc/57/96/15/1073579615.db2.gz ITPBYFUKRBROSL-RSPOEFSDSA-N 0 0 445.513 -0.458 20 0 IBADRN CC(C)(COCc1ccccc1)c1cn(CCO[C@]2(CO)O[C@H](CO)[C@@H](O)[C@@H]2O)nn1 ZINC001254113150 1073579552 /nfs/dbraw/zinc/57/95/52/1073579552.db2.gz JMYWYKNFZNMDJD-RDPOXOKHSA-N 0 0 437.493 -0.409 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(-c3cnc(C)cn3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113156 1073579592 /nfs/dbraw/zinc/57/95/92/1073579592.db2.gz JVVOISCPJVOSLK-FQBWVUSXSA-N 0 0 449.420 -0.272 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(-c3cnc(C)cn3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113157 1073579688 /nfs/dbraw/zinc/57/96/88/1073579688.db2.gz JVVOISCPJVOSLK-UJWQCDCRSA-N 0 0 449.420 -0.272 20 0 IBADRN COC(=O)CCCCCCCCc1cn([C@@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@H]2NC(C)=O)nn1 ZINC001254113179 1073579599 /nfs/dbraw/zinc/57/95/99/1073579599.db2.gz WKDVIBHOFHUPRK-YHUYVZNPSA-N 0 0 442.513 -0.160 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C)(c2cn([C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)nn2)CC1 ZINC001254113189 1073579674 /nfs/dbraw/zinc/57/96/74/1073579674.db2.gz WWPUDQMWJAKTGL-DZQJYWQESA-N 0 0 428.486 -0.461 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2ccccc2Br)nn1 ZINC001254113196 1073579583 /nfs/dbraw/zinc/57/95/83/1073579583.db2.gz WZUYEPFQYIUIFN-OXGONZEZSA-N 0 0 427.255 -0.176 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2ccc(-c3ccccn3)nc2)nn1 ZINC001254113234 1073579544 /nfs/dbraw/zinc/57/95/44/1073579544.db2.gz YRPLQGUIPJWGFJ-LASHMREHSA-N 0 0 426.433 -0.482 20 0 IBADRN CC(=O)N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@H]1n1cc(-c2ccc(Br)cn2)nn1 ZINC001254113239 1073580071 /nfs/dbraw/zinc/58/00/71/1073580071.db2.gz YZFRLZXLLQCRHR-KJWHEZOQSA-N 0 0 428.243 -0.781 20 0 IBADRN CCC(=O)OCc1cn([C@@H]2[C@@H](OC(C)=O)[C@@H](OC(C)=O)[C@@H](COC(C)=O)O[C@@H]2O)nn1 ZINC001254113319 1073580088 /nfs/dbraw/zinc/58/00/88/1073580088.db2.gz KTUHJLAIMWQFOG-LHKMKVQPSA-N 0 0 443.409 -0.584 20 0 IBADRN CCC(=O)OCc1cn([C@H]2[C@H](O)O[C@H](COC(C)=O)[C@H](OC(C)=O)[C@@H]2OC(C)=O)nn1 ZINC001254113320 1073580174 /nfs/dbraw/zinc/58/01/74/1073580174.db2.gz KTUHJLAIMWQFOG-SOVHRIKKSA-N 0 0 443.409 -0.584 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(-c3nccnc3C)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113346 1073580061 /nfs/dbraw/zinc/58/00/61/1073580061.db2.gz LNFAILBJDLYVPH-FTWQHDNSSA-N 0 0 449.420 -0.272 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(-c3nccnc3C)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113347 1073580153 /nfs/dbraw/zinc/58/01/53/1073580153.db2.gz LNFAILBJDLYVPH-IQZDNPOKSA-N 0 0 449.420 -0.272 20 0 IBADRN CC(=O)OCc1cn([C@H]2[C@H](O)O[C@H](COC(C)=O)[C@H](OC(C)=O)[C@@H]2OC(C)=O)nn1 ZINC001254113353 1073580083 /nfs/dbraw/zinc/58/00/83/1073580083.db2.gz LWZOEGOJTHZBGX-NQNKBUKLSA-N 0 0 429.382 -0.974 20 0 IBADRN CC(=O)OCc1cn([C@@H]2[C@@H](OC(C)=O)[C@@H](OC(C)=O)[C@@H](COC(C)=O)O[C@@H]2O)nn1 ZINC001254113354 1073580052 /nfs/dbraw/zinc/58/00/52/1073580052.db2.gz LWZOEGOJTHZBGX-UUAJXVIYSA-N 0 0 429.382 -0.974 20 0 IBADRN C=CC(=O)OCc1cn([C@@H]2[C@@H](OC(C)=O)[C@@H](OC(C)=O)[C@@H](COC(C)=O)O[C@@H]2O)nn1 ZINC001254113372 1073580029 /nfs/dbraw/zinc/58/00/29/1073580029.db2.gz MRYJRMFCAYNYRZ-LHKMKVQPSA-N 0 0 441.393 -0.808 20 0 IBADRN C=CC(=O)OCc1cn([C@H]2[C@H](O)O[C@H](COC(C)=O)[C@H](OC(C)=O)[C@@H]2OC(C)=O)nn1 ZINC001254113373 1073580144 /nfs/dbraw/zinc/58/01/44/1073580144.db2.gz MRYJRMFCAYNYRZ-SOVHRIKKSA-N 0 0 441.393 -0.808 20 0 IBADRN COC(=O)CCc1cn([C@@H]2[C@@H](OC(C)=O)[C@@H](OC(C)=O)[C@@H](COC(C)=O)O[C@@H]2O)nn1 ZINC001254113374 1073580042 /nfs/dbraw/zinc/58/00/42/1073580042.db2.gz MSPXCMRAMOHLDT-LHKMKVQPSA-N 0 0 443.409 -0.932 20 0 IBADRN COC(=O)CCc1cn([C@H]2[C@H](O)O[C@H](COC(C)=O)[C@H](OC(C)=O)[C@@H]2OC(C)=O)nn1 ZINC001254113375 1073580019 /nfs/dbraw/zinc/58/00/19/1073580019.db2.gz MSPXCMRAMOHLDT-SOVHRIKKSA-N 0 0 443.409 -0.932 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(C3CCOCC3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113457 1073580133 /nfs/dbraw/zinc/58/01/33/1073580133.db2.gz OYZPKFXYJIPHNK-FQBWVUSXSA-N 0 0 441.437 -0.143 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(C3CCOCC3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113458 1073579982 /nfs/dbraw/zinc/57/99/82/1073579982.db2.gz OYZPKFXYJIPHNK-UJWQCDCRSA-N 0 0 441.437 -0.143 20 0 IBADRN COCCOCc1cn([C@@H]2[C@@H](OC(C)=O)[C@@H](OC(C)=O)[C@@H](COC(C)=O)O[C@@H]2O)nn1 ZINC001254113482 1073580006 /nfs/dbraw/zinc/58/00/06/1073580006.db2.gz PRIZOGIGSKCWJA-SFFUCWETSA-N 0 0 445.425 -0.874 20 0 IBADRN COCCOCc1cn([C@H]2[C@H](O)O[C@H](COC(C)=O)[C@H](OC(C)=O)[C@@H]2OC(C)=O)nn1 ZINC001254113483 1073580166 /nfs/dbraw/zinc/58/01/66/1073580166.db2.gz PRIZOGIGSKCWJA-UYTYNIKBSA-N 0 0 445.425 -0.874 20 0 IBADRN OC[C@H]1O[C@@](CO)(OCCn2cc(COc3cccc4ccccc43)nn2)[C@@H](O)[C@@H]1O ZINC001254113492 1073579969 /nfs/dbraw/zinc/57/99/69/1073579969.db2.gz PXWLUMJGZAYZTH-MXEMCNAFSA-N 0 0 431.445 -0.172 20 0 IBADRN OC[C@H]1O[C@@](CO)(OCCn2cc(-c3ccc(Br)s3)nn2)[C@@H](O)[C@@H]1O ZINC001254113493 1073579958 /nfs/dbraw/zinc/57/99/58/1073579958.db2.gz PYRZBUGCDZJBCB-WBMYTEFPSA-N 0 0 436.284 -0.413 20 0 IBADRN OC[C@H]1O[C@@](CO)(OCCn2cc(-c3ccc(Br)cc3F)nn2)[C@@H](O)[C@@H]1O ZINC001254113511 1073579992 /nfs/dbraw/zinc/57/99/92/1073579992.db2.gz QHRIQOFZAKLAPK-LVQVYYBASA-N 0 0 448.245 -0.335 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(C3(C)COC3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113516 1073580527 /nfs/dbraw/zinc/58/05/27/1073580527.db2.gz QJYPQPROBVGCKE-CMZRPVNOSA-N 0 0 427.410 -0.749 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(C3(C)COC3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113517 1073580575 /nfs/dbraw/zinc/58/05/75/1073580575.db2.gz QJYPQPROBVGCKE-USACIQFYSA-N 0 0 427.410 -0.749 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C)(c2cn([C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)nn2)CC1 ZINC001254113587 1073580615 /nfs/dbraw/zinc/58/06/15/1073580615.db2.gz BABBGDGCPUJWBW-BTAUDXDXSA-N 0 0 428.486 -0.461 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C)(c2cn([C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)nn2)CC1 ZINC001254113588 1073580538 /nfs/dbraw/zinc/58/05/38/1073580538.db2.gz BABBGDGCPUJWBW-JPIRQXTESA-N 0 0 428.486 -0.461 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1c1cn(CCO[C@]2(CO)O[C@H](CO)[C@@H](O)[C@@H]2O)nn1 ZINC001254113687 1073580479 /nfs/dbraw/zinc/58/04/79/1073580479.db2.gz TVPCUVJBBWCSFZ-GMKVZWAMSA-N 0 0 444.485 -0.832 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1c1cn(CCO[C@]2(CO)O[C@H](CO)[C@@H](O)[C@@H]2O)nn1 ZINC001254113690 1073580512 /nfs/dbraw/zinc/58/05/12/1073580512.db2.gz TVPCUVJBBWCSFZ-IPXJXJKCSA-N 0 0 444.485 -0.832 20 0 IBADRN OC[C@H]1O[C@@](CO)(OCCn2cc(COc3ccc4ccccc4c3)nn2)[C@@H](O)[C@@H]1O ZINC001254113765 1073580588 /nfs/dbraw/zinc/58/05/88/1073580588.db2.gz TXPQGKGTNQYPNN-MXEMCNAFSA-N 0 0 431.445 -0.172 20 0 IBADRN Cn1cnc2c1c(=O)n(Cc1cn([C@H]3CN(C(=O)OC(C)(C)C)C[C@@H]3O)nn1)c(=O)n2C ZINC001254113790 1073580550 /nfs/dbraw/zinc/58/05/50/1073580550.db2.gz GNNJKEBWSVQRTN-STQMWFEESA-N 0 0 446.468 -0.774 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(-c3cncnc3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113877 1073580504 /nfs/dbraw/zinc/58/05/04/1073580504.db2.gz VOEYCQCHOVXVMO-SFFUCWETSA-N 0 0 435.393 -0.580 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(-c3cncnc3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113878 1073580491 /nfs/dbraw/zinc/58/04/91/1073580491.db2.gz VOEYCQCHOVXVMO-UYTYNIKBSA-N 0 0 435.393 -0.580 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(-c3cnccn3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113925 1073580437 /nfs/dbraw/zinc/58/04/37/1073580437.db2.gz WDKTUUIFKYBYNT-SFFUCWETSA-N 0 0 435.393 -0.580 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(-c3cnccn3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254113926 1073580668 /nfs/dbraw/zinc/58/06/68/1073580668.db2.gz WDKTUUIFKYBYNT-UYTYNIKBSA-N 0 0 435.393 -0.580 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(-c3cncn3C)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254114186 1073580561 /nfs/dbraw/zinc/58/05/61/1073580561.db2.gz XCQDDZZOWKJFDW-SFFUCWETSA-N 0 0 437.409 -0.637 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(-c3cncn3C)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254114187 1073580623 /nfs/dbraw/zinc/58/06/23/1073580623.db2.gz XCQDDZZOWKJFDW-UYTYNIKBSA-N 0 0 437.409 -0.637 20 0 IBADRN CC(=O)OC[C@H]1O[C@H](O)[C@H](n2cc(-c3cccnn3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254114227 1073580424 /nfs/dbraw/zinc/58/04/24/1073580424.db2.gz XLQOJOLZDXFXEU-SFFUCWETSA-N 0 0 435.393 -0.580 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](O)[C@H](n2cc(-c3cccnn3)nn2)[C@@H](OC(C)=O)[C@H]1OC(C)=O ZINC001254114236 1073580634 /nfs/dbraw/zinc/58/06/34/1073580634.db2.gz XLQOJOLZDXFXEU-UYTYNIKBSA-N 0 0 435.393 -0.580 20 0 IBADRN OC[C@H]1O[C@@](CO)(OCCn2cc(-c3cc(Br)ccc3F)nn2)[C@@H](O)[C@@H]1O ZINC001254114341 1073581098 /nfs/dbraw/zinc/58/10/98/1073581098.db2.gz YUQCEVQDJMGOIM-LVQVYYBASA-N 0 0 448.245 -0.335 20 0 IBADRN OC[C@H]1O[C@@H](OCCn2cc(-c3cc(Br)ccc3F)nn2)[C@H](O)[C@@H](O)[C@@H]1O ZINC001254115114 1073580940 /nfs/dbraw/zinc/58/09/40/1073580940.db2.gz CYFJHIIYKWTFTD-IBEHDNSVSA-N 0 0 448.245 -0.337 20 0 IBADRN CC(C)(O[C@H]1CCCCO1)c1cn(CCOCCOCCC(=O)NC(CO)CO)nn1 ZINC001254115220 1073581124 /nfs/dbraw/zinc/58/11/24/1073581124.db2.gz FLOFPOJYRDVQMY-IBGZPJMESA-N 0 0 444.529 -0.051 20 0 IBADRN CC(C)(O[C@@H]1CCCCO1)c1cn(CCOCCOCCC(=O)NC(CO)CO)nn1 ZINC001254115221 1073581137 /nfs/dbraw/zinc/58/11/37/1073581137.db2.gz FLOFPOJYRDVQMY-LJQANCHMSA-N 0 0 444.529 -0.051 20 0 IBADRN CN(C)c1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@H](F)[C@@H]3O)nn2)cc1 ZINC001254115234 1073580927 /nfs/dbraw/zinc/58/09/27/1073580927.db2.gz AEXIGFYNDCJJAX-SFNKJDCFSA-N 0 0 432.412 -0.154 20 0 IBADRN COc1ccc(-c2cn(CCOCCOCCC(=O)NC(CO)CO)nn2)cc1OC ZINC001254115300 1073580994 /nfs/dbraw/zinc/58/09/94/1073580994.db2.gz BFWZDHRXTZFZLY-UHFFFAOYSA-N 0 0 438.481 -0.145 20 0 IBADRN COC(=O)c1ccc(-c2cn(CCO[C@@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@H]3O)nn2)cc1Cl ZINC001254115353 1073581035 /nfs/dbraw/zinc/58/10/35/1073581035.db2.gz FVRPITTVBZAMNK-XLKGFZLASA-N 0 0 443.840 -0.798 20 0 IBADRN COc1cc(OC)cc(-c2cn(CCOCCOCCC(=O)NC(CO)CO)nn2)c1 ZINC001254115365 1073580897 /nfs/dbraw/zinc/58/08/97/1073580897.db2.gz CGCUBNFHQXJWHR-UHFFFAOYSA-N 0 0 438.481 -0.145 20 0 IBADRN CC(C)(C)OC(=O)C(C)(C)c1cn(CCOCCOCCC(=O)NC(CO)CO)nn1 ZINC001254115367 1073581004 /nfs/dbraw/zinc/58/10/04/1073581004.db2.gz CHWXRPSFFWGJGQ-UHFFFAOYSA-N 0 0 444.529 -0.210 20 0 IBADRN OC[C@H]1O[C@@H](OCCn2cc(-c3ccccc3Br)nn2)[C@H](O)[C@@H](O)[C@@H]1O ZINC001254115435 1073581046 /nfs/dbraw/zinc/58/10/46/1073581046.db2.gz GZTKTMOROQFKHB-IBEHDNSVSA-N 0 0 430.255 -0.476 20 0 IBADRN CCOC(=O)CCc1cn(CCOCCOCCOCCOCCOCCO)nn1 ZINC001254115515 1073581396 /nfs/dbraw/zinc/58/13/96/1073581396.db2.gz IRZXEFPJNAYEND-UHFFFAOYSA-N 0 0 433.502 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1c1cn(CCO[C@@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@H]2O)nn1 ZINC001254115520 1073581595 /nfs/dbraw/zinc/58/15/95/1073581595.db2.gz ITTFAFIVRPQSGT-JXMXSTLTSA-N 0 0 444.485 -0.833 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1c1cn(CCO[C@@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@H]2O)nn1 ZINC001254115522 1073581504 /nfs/dbraw/zinc/58/15/04/1073581504.db2.gz ITTFAFIVRPQSGT-NWHWRWDZSA-N 0 0 444.485 -0.833 20 0 IBADRN CC(=O)c1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@H](F)[C@@H]3O)nn2)cc1 ZINC001254115692 1073581453 /nfs/dbraw/zinc/58/14/53/1073581453.db2.gz GKAXQTRVILPQIK-SFNKJDCFSA-N 0 0 431.380 -0.017 20 0 IBADRN COC(=O)c1ccc(-c2cn(CCOCCOCCC(=O)NC(CO)CO)nn2)cc1 ZINC001254115698 1073581567 /nfs/dbraw/zinc/58/15/67/1073581567.db2.gz KEWVZGJLDYJJLQ-UHFFFAOYSA-N 0 0 436.465 -0.376 20 0 IBADRN OC[C@H]1O[C@@H](OCCn2cc(COc3ccc4ccccc4c3)nn2)[C@H](O)[C@@H](O)[C@@H]1O ZINC001254115758 1073581465 /nfs/dbraw/zinc/58/14/65/1073581465.db2.gz LQASUJURMQANCD-YMQHIKHWSA-N 0 0 431.445 -0.173 20 0 IBADRN OC[C@H]1O[C@@H](OCCn2cc(-c3ccc(Br)cc3)nn2)[C@H](O)[C@@H](O)[C@@H]1O ZINC001254115793 1073581554 /nfs/dbraw/zinc/58/15/54/1073581554.db2.gz MSVNFWUJHXRJTE-IBEHDNSVSA-N 0 0 430.255 -0.476 20 0 IBADRN COC(=O)c1ccccc1-c1cn([C@]2(CO)O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](F)[C@@H]2O)nn1 ZINC001254115796 1073581514 /nfs/dbraw/zinc/58/15/14/1073581514.db2.gz HJBDVPMNCYKWQR-YYAJDYIMSA-N 0 0 447.379 -0.433 20 0 IBADRN CC(C)(COCc1ccccc1)c1cn(CCO[C@@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@H]2O)nn1 ZINC001254115798 1073581525 /nfs/dbraw/zinc/58/15/25/1073581525.db2.gz MUFKKFKNKUGYSM-XIKSMUEASA-N 0 0 437.493 -0.411 20 0 IBADRN OC[C@H]1O[C@@H](OCCn2cc(-c3ccc(Br)s3)nn2)[C@H](O)[C@@H](O)[C@@H]1O ZINC001254115804 1073581386 /nfs/dbraw/zinc/58/13/86/1073581386.db2.gz MXBHGKMDRNBPFC-LNNRFACYSA-N 0 0 436.284 -0.414 20 0 IBADRN O=C(CCOCCOCCn1cc(COC(=O)c2ccccc2)nn1)NC(CO)CO ZINC001254115834 1073581377 /nfs/dbraw/zinc/58/13/77/1073581377.db2.gz HVIWNWPVKKXXPK-UHFFFAOYSA-N 0 0 436.465 -0.472 20 0 IBADRN COC(=O)c1ccccc1-c1cn(CCOCCOCCC(=O)NC(CO)CO)nn1 ZINC001254115881 1073581535 /nfs/dbraw/zinc/58/15/35/1073581535.db2.gz IKMKFERTXBNELG-UHFFFAOYSA-N 0 0 436.465 -0.376 20 0 IBADRN COC(=O)CCCCCCCCc1cn(CCO[C@@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@H]2O)nn1 ZINC001254115882 1073581544 /nfs/dbraw/zinc/58/15/44/1073581544.db2.gz ONIFYROTKWUODP-XIKSMUEASA-N 0 0 445.513 -0.459 20 0 IBADRN O=c1ccn([C@@H]2O[C@@](CO)(n3cc(COCc4ccccc4)nn3)[C@@H](O)[C@H]2F)c(=O)[nH]1 ZINC001254115893 1073581367 /nfs/dbraw/zinc/58/13/67/1073581367.db2.gz IUGANOLVOPUJFV-SFNKJDCFSA-N 0 0 433.396 -0.170 20 0 IBADRN COC(=O)c1ccc(-c2cn(CCOCCOCCC(=O)NC(CO)CO)nn2)nc1 ZINC001254115930 1073581406 /nfs/dbraw/zinc/58/14/06/1073581406.db2.gz JEVZRKYPKLSJKH-UHFFFAOYSA-N 0 0 437.453 -0.981 20 0 IBADRN OC[C@H]1O[C@@H](O)[C@H](n2cc(-c3ccc(I)cc3)nn2)[C@@H](O)[C@@H]1O ZINC001254115934 1073581430 /nfs/dbraw/zinc/58/14/30/1073581430.db2.gz PHDCGGQTGSGNIY-DHGKCCLASA-N 0 0 433.202 -0.478 20 0 IBADRN OC[C@H]1O[C@H](O)[C@H](n2cc(-c3ccc(I)cc3)nn2)[C@@H](O)[C@@H]1O ZINC001254115935 1073581418 /nfs/dbraw/zinc/58/14/18/1073581418.db2.gz PHDCGGQTGSGNIY-KSTCHIGDSA-N 0 0 433.202 -0.478 20 0 IBADRN COC(=O)C(C)(C)c1cn(CCOCCOCCOCCOCCOCCO)nn1 ZINC001254116098 1073582036 /nfs/dbraw/zinc/58/20/36/1073582036.db2.gz QAQPDVJLHSQRIC-UHFFFAOYSA-N 0 0 433.502 -0.196 20 0 IBADRN COC(=O)CCCc1cn(CCOCCOCCOCCOCCOCCO)nn1 ZINC001254116140 1073581882 /nfs/dbraw/zinc/58/18/82/1073581882.db2.gz RACLSRUBIYPMPZ-UHFFFAOYSA-N 0 0 433.502 -0.151 20 0 IBADRN OC[C@H]1O[C@@H](OCCn2cc(COc3cccc4ccccc43)nn2)[C@H](O)[C@@H](O)[C@@H]1O ZINC001254116166 1073581910 /nfs/dbraw/zinc/58/19/10/1073581910.db2.gz ROINFMHFYBJDGP-YMQHIKHWSA-N 0 0 431.445 -0.173 20 0 IBADRN O=C(CCOCCOCCn1cc(C(=O)OCc2ccccc2)nn1)NC(CO)CO ZINC001254116246 1073581993 /nfs/dbraw/zinc/58/19/93/1073581993.db2.gz KRBPKLBCTVQRAW-UHFFFAOYSA-N 0 0 436.465 -0.472 20 0 IBADRN COC(=O)c1cccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@H](F)[C@@H]3O)nn2)c1 ZINC001254116269 1073582026 /nfs/dbraw/zinc/58/20/26/1073582026.db2.gz LBIRXNBHMXWLKY-YYAJDYIMSA-N 0 0 447.379 -0.433 20 0 IBADRN CN(Cc1cn(CCOCCOCCC(=O)NC(CO)CO)nn1)Cc1ccccc1 ZINC001254116350 1073581961 /nfs/dbraw/zinc/58/19/61/1073581961.db2.gz NKLGTQTYSSAVDP-UHFFFAOYSA-N 0 0 435.525 -0.197 20 0 IBADRN O=C(OCc1cn([C@]2(CO)O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](F)[C@@H]2O)nn1)c1ccccc1 ZINC001254116351 1073582056 /nfs/dbraw/zinc/58/20/56/1073582056.db2.gz NPGRIITYSZECAR-YYAJDYIMSA-N 0 0 447.379 -0.530 20 0 IBADRN COC(=O)c1ccnc(-c2cn(CCOCCOCCC(=O)NC(CO)CO)nn2)c1 ZINC001254116375 1073582011 /nfs/dbraw/zinc/58/20/11/1073582011.db2.gz OBDURGTWTLEWBM-UHFFFAOYSA-N 0 0 437.453 -0.981 20 0 IBADRN COC(=O)c1ccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@H](F)[C@@H]3O)nn2)cc1 ZINC001254116391 1073581841 /nfs/dbraw/zinc/58/18/41/1073581841.db2.gz ONYCKPJYEHUUAL-YYAJDYIMSA-N 0 0 447.379 -0.433 20 0 IBADRN COC(=O)c1ccc(-c2cn(CCOCCOCCC(=O)NC(CO)CO)nn2)cn1 ZINC001254116462 1073582020 /nfs/dbraw/zinc/58/20/20/1073582020.db2.gz PMJOWKMUHRLBNE-UHFFFAOYSA-N 0 0 437.453 -0.981 20 0 IBADRN OC[C@H]1O[C@@H](OCCn2cc(-c3ccc(Br)cc3F)nn2)[C@H](O)[C@@H](O)[C@@H]1O ZINC001254116489 1073582067 /nfs/dbraw/zinc/58/20/67/1073582067.db2.gz VDUXFBAXLNRYOL-IBEHDNSVSA-N 0 0 448.245 -0.337 20 0 IBADRN COc1cccc(OC)c1-c1cn(CCOCCOCCC(=O)NC(CO)CO)nn1 ZINC001254116587 1073581854 /nfs/dbraw/zinc/58/18/54/1073581854.db2.gz WTJPHGPYRNRTQA-UHFFFAOYSA-N 0 0 438.481 -0.145 20 0 IBADRN OC[C@H]1O[C@@H](OCCn2cc(-c3cccc(Br)c3)nn2)[C@H](O)[C@@H](O)[C@@H]1O ZINC001254116597 1073582000 /nfs/dbraw/zinc/58/20/00/1073582000.db2.gz XDDQZBNRIBPGOI-IBEHDNSVSA-N 0 0 430.255 -0.476 20 0 IBADRN CC(=O)c1cccc(-c2cn([C@]3(CO)O[C@@H](n4ccc(=O)[nH]c4=O)[C@H](F)[C@@H]3O)nn2)c1 ZINC001254116771 1073582046 /nfs/dbraw/zinc/58/20/46/1073582046.db2.gz QOMFMJDXAVSHHG-SFNKJDCFSA-N 0 0 431.380 -0.017 20 0 IBADRN COC(=O)c1cccc(-c2cn(CCOCCOCCC(=O)NC(CO)CO)nn2)n1 ZINC001254116804 1073581935 /nfs/dbraw/zinc/58/19/35/1073581935.db2.gz QYBBXHLDKGDMKR-UHFFFAOYSA-N 0 0 437.453 -0.981 20 0 IBADRN O=C(CCOCCOCCn1cc(CCO[C@H]2CCCCO2)nn1)NC(CO)CO ZINC001254116872 1073581948 /nfs/dbraw/zinc/58/19/48/1073581948.db2.gz RWTIGRKVKYXQGU-IBGZPJMESA-N 0 0 430.502 -0.744 20 0 IBADRN O=C(CCOCCOCCn1cc(CCO[C@@H]2CCCCO2)nn1)NC(CO)CO ZINC001254116873 1073581871 /nfs/dbraw/zinc/58/18/71/1073581871.db2.gz RWTIGRKVKYXQGU-LJQANCHMSA-N 0 0 430.502 -0.744 20 0 IBADRN O=C(OCc1ccccc1)c1cn([C@]2(CO)O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](F)[C@@H]2O)nn1 ZINC001254117057 1073581898 /nfs/dbraw/zinc/58/18/98/1073581898.db2.gz VGRIDNQXQRUYFG-YYAJDYIMSA-N 0 0 447.379 -0.530 20 0 IBADRN COC(=O)c1cccc(-c2cn(CCOCCOCCC(=O)NC(CO)CO)nn2)c1 ZINC001254117084 1073582490 /nfs/dbraw/zinc/58/24/90/1073582490.db2.gz VRYBDXLRSHCQNV-UHFFFAOYSA-N 0 0 436.465 -0.376 20 0 IBADRN CC(C)(Br)c1cn([C@]2(CO)O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](F)[C@@H]2O)nn1 ZINC001254117142 1073582318 /nfs/dbraw/zinc/58/23/18/1073582318.db2.gz WNYMMQDUJXKYGB-FBKDDSFISA-N 0 0 434.222 -0.257 20 0 IBADRN CCOC(=O)C(Cc1cn(CCOCCOCCOCCCO)nn1)C(=O)OCC ZINC001254117368 1073582396 /nfs/dbraw/zinc/58/23/96/1073582396.db2.gz GBGCYEPFSYZJLI-UHFFFAOYSA-N 0 0 431.486 -0.005 20 0 IBADRN CN(Cc1cn([C@]2(CO)O[C@@H](n3ccc(=O)[nH]c3=O)[C@H](F)[C@@H]2O)nn1)Cc1ccccc1 ZINC001254117374 1073582426 /nfs/dbraw/zinc/58/24/26/1073582426.db2.gz ZROOQXPWHZYEDM-AJYBTWMASA-N 0 0 446.439 -0.255 20 0 IBADRN CCOC(=O)[C@@H](O)Cn1cc(CNC(=O)C2CCC(CN3C(=O)C=CC3=O)CC2)nn1 ZINC001254121100 1073582385 /nfs/dbraw/zinc/58/23/85/1073582385.db2.gz AAVLPAHZDDLUGO-XUJLQICISA-N 0 0 433.465 -0.450 20 0 IBADRN CCOC(=O)[C@H](O)Cn1cc(CNC(=O)C2CCC(CN3C(=O)C=CC3=O)CC2)nn1 ZINC001254121101 1073582332 /nfs/dbraw/zinc/58/23/32/1073582332.db2.gz AAVLPAHZDDLUGO-ZBCRRDGASA-N 0 0 433.465 -0.450 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CO[C@H]2CCOC2)cc1)S(C)(=O)=O ZINC001254121638 1073582452 /nfs/dbraw/zinc/58/24/52/1073582452.db2.gz GMNYRUBPTATZIT-HNNXBMFYSA-N 0 0 436.508 -0.287 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)CO[C@@H]2CCOC2)cc1)S(C)(=O)=O ZINC001254121639 1073582410 /nfs/dbraw/zinc/58/24/10/1073582410.db2.gz GMNYRUBPTATZIT-OAHLLOKOSA-N 0 0 436.508 -0.287 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2cccc[n+]2[O-])cc1)S(C)(=O)=O ZINC001254122291 1073582498 /nfs/dbraw/zinc/58/24/98/1073582498.db2.gz KXTKLMITLJSOPJ-UHFFFAOYSA-N 0 0 429.476 -0.146 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)C2 ZINC001254136680 1073582362 /nfs/dbraw/zinc/58/23/62/1073582362.db2.gz FBGNSUQSYIRFEZ-DYVFJYSZSA-N 0 0 431.453 -0.711 20 0 IBADRN CS(=O)(=O)c1ccc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)cc1 ZINC001254151879 1073582994 /nfs/dbraw/zinc/58/29/94/1073582994.db2.gz AEGOHWLNRYBWJP-MWQQHZPXSA-N 0 0 448.461 -0.778 20 0 IBADRN CC(C)(O[C@@H]1CCCCO1)c1cn([C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254151938 1073582923 /nfs/dbraw/zinc/58/29/23/1073582923.db2.gz BBNVWFMXJAPUSH-JSPVNYKOSA-N 0 0 436.469 -0.070 20 0 IBADRN CC(C)(O[C@H]1CCCCO1)c1cn([C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254151946 1073582745 /nfs/dbraw/zinc/58/27/45/1073582745.db2.gz BBNVWFMXJAPUSH-XFPGOJQTSA-N 0 0 436.469 -0.070 20 0 IBADRN COc1cccc(OC)c1-c1cn([C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254151994 1073582764 /nfs/dbraw/zinc/58/27/64/1073582764.db2.gz BQOGTLHZPLYGGW-BNEJOLLZSA-N 0 0 430.421 -0.164 20 0 IBADRN COC(=O)c1ccc(F)c(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)c1 ZINC001254152162 1073582845 /nfs/dbraw/zinc/58/28/45/1073582845.db2.gz HDYLVZAKLKAFKT-MWQQHZPXSA-N 0 0 446.395 -0.256 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2n2cc(COC(=O)c3ccccc3)nn2)c(=O)n1 ZINC001254152243 1073582894 /nfs/dbraw/zinc/58/28/94/1073582894.db2.gz AGFUAZDKXUNPQF-MWQQHZPXSA-N 0 0 428.405 -0.491 20 0 IBADRN Nc1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2n2cc(C(=O)OCc3ccccc3)nn2)c(=O)n1 ZINC001254152350 1073582906 /nfs/dbraw/zinc/58/29/06/1073582906.db2.gz CDWLMCNWKAQCOC-MWQQHZPXSA-N 0 0 428.405 -0.491 20 0 IBADRN COC(=O)c1ccccc1-c1cn([C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254152361 1073582862 /nfs/dbraw/zinc/58/28/62/1073582862.db2.gz CMVAGXXBRFAHPA-MWQQHZPXSA-N 0 0 428.405 -0.395 20 0 IBADRN COC(=O)c1ccc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)cc1 ZINC001254152423 1073582968 /nfs/dbraw/zinc/58/29/68/1073582968.db2.gz KOCFGTSXWUCONF-MWQQHZPXSA-N 0 0 428.405 -0.395 20 0 IBADRN CN(Cc1cn([C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1)Cc1ccccc1 ZINC001254152519 1073582694 /nfs/dbraw/zinc/58/26/94/1073582694.db2.gz FNILXFXHCVVLEN-NXWXRZEISA-N 0 0 427.465 -0.216 20 0 IBADRN COC(=O)c1ccc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)cn1 ZINC001254152535 1073583004 /nfs/dbraw/zinc/58/30/04/1073583004.db2.gz FSOXYOSJIVQRHT-DTZQCDIJSA-N 0 0 429.393 -1.000 20 0 IBADRN COc1ccc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)cc1OC ZINC001254152755 1073582728 /nfs/dbraw/zinc/58/27/28/1073582728.db2.gz IUPMBGJZNWIGQV-VDHUWJSZSA-N 0 0 430.421 -0.164 20 0 IBADRN COC(=O)c1cccc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)c1 ZINC001254152762 1073582828 /nfs/dbraw/zinc/58/28/28/1073582828.db2.gz IVEPHVQYBJKYOZ-MWQQHZPXSA-N 0 0 428.405 -0.395 20 0 IBADRN CCOC(=O)c1ccc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)cc1 ZINC001254152812 1073582778 /nfs/dbraw/zinc/58/27/78/1073582778.db2.gz PORJUAOSVIEHCI-VDHUWJSZSA-N 0 0 442.432 -0.005 20 0 IBADRN CC(C)(C)OC(=O)C(C)(C)c1cn([C@H]2[C@H](n3ccc(N)nc3=O)O[C@H](CO)[C@H]2O)nn1 ZINC001254153092 1073582940 /nfs/dbraw/zinc/58/29/40/1073582940.db2.gz JWZZAXKSZHUSLZ-JUDXGUMMSA-N 0 0 436.469 -0.229 20 0 IBADRN COc1cc(OC)cc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)c1 ZINC001254153175 1073583405 /nfs/dbraw/zinc/58/34/05/1073583405.db2.gz NKJUXTRIOCSDNQ-VDHUWJSZSA-N 0 0 430.421 -0.164 20 0 IBADRN CC(C)(C)OC(=O)N1CC(c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)C1 ZINC001254153383 1073583477 /nfs/dbraw/zinc/58/34/77/1073583477.db2.gz XYKGLKBOZLWNQF-DTZQCDIJSA-N 0 0 449.468 -0.514 20 0 IBADRN COC(=O)c1ccnc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)c1 ZINC001254153485 1073583325 /nfs/dbraw/zinc/58/33/25/1073583325.db2.gz QHQBEJRPAKGLFI-DTZQCDIJSA-N 0 0 429.393 -1.000 20 0 IBADRN COC(=O)c1cccc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)n1 ZINC001254153584 1073583467 /nfs/dbraw/zinc/58/34/67/1073583467.db2.gz QZWLBABQHWZAAX-DTZQCDIJSA-N 0 0 429.393 -1.000 20 0 IBADRN COC(=O)c1ccc(-c2cn([C@H]3[C@H](n4ccc(N)nc4=O)O[C@H](CO)[C@H]3O)nn2)nc1 ZINC001254153669 1073583301 /nfs/dbraw/zinc/58/33/01/1073583301.db2.gz SDPDEXHYVGHHGG-DTZQCDIJSA-N 0 0 429.393 -1.000 20 0 IBADRN CCN(C(=O)c1cn(C)c(=O)n(C)c1=O)c1ccnc(CN2CCN(C(C)=O)CC2)c1 ZINC001254153870 1073583524 /nfs/dbraw/zinc/58/35/24/1073583524.db2.gz NGUPVKVABCEMIC-UHFFFAOYSA-N 0 0 428.493 -0.190 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(OCC(N)=O)CC2)cc1C(=O)NCC(F)(F)F ZINC001254166299 1073583311 /nfs/dbraw/zinc/58/33/11/1073583311.db2.gz UHCZICDIXPZUOK-UHFFFAOYSA-N 0 0 426.417 -0.028 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001254178531 1073583544 /nfs/dbraw/zinc/58/35/44/1073583544.db2.gz WIKFDFQFZCPCFJ-DZGCQCFKSA-N 0 0 441.597 -0.600 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001254178532 1073583397 /nfs/dbraw/zinc/58/33/97/1073583397.db2.gz WIKFDFQFZCPCFJ-HIFRSBDPSA-N 0 0 441.597 -0.600 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001254178533 1073583592 /nfs/dbraw/zinc/58/35/92/1073583592.db2.gz WIKFDFQFZCPCFJ-UKRRQHHQSA-N 0 0 441.597 -0.600 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001254178535 1073583258 /nfs/dbraw/zinc/58/32/58/1073583258.db2.gz WIKFDFQFZCPCFJ-ZFWWWQNUSA-N 0 0 441.597 -0.600 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c1C ZINC001254182527 1073583494 /nfs/dbraw/zinc/58/34/94/1073583494.db2.gz ZCOOPGGEWTXLPV-GFOCRRMGSA-N 0 0 439.490 -0.220 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)C1 ZINC001254189570 1073583560 /nfs/dbraw/zinc/58/35/60/1073583560.db2.gz CXSDYLJYVMZWIS-IHWGESPNSA-N 0 0 439.513 -0.143 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)C1 ZINC001254189571 1073583353 /nfs/dbraw/zinc/58/33/53/1073583353.db2.gz CXSDYLJYVMZWIS-PVTMVUMOSA-N 0 0 439.513 -0.143 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)C1 ZINC001254190884 1073583272 /nfs/dbraw/zinc/58/32/72/1073583272.db2.gz VLGKGCUAZPSIRI-IHWGESPNSA-N 0 0 440.497 -0.053 20 0 IBADRN CC(C)(C)OC(=O)N1CCCC[C@@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)C1 ZINC001254190885 1073583420 /nfs/dbraw/zinc/58/34/20/1073583420.db2.gz VLGKGCUAZPSIRI-PVTMVUMOSA-N 0 0 440.497 -0.053 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(C)(=O)=O)[C@@H](C)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001254211260 1073583934 /nfs/dbraw/zinc/58/39/34/1073583934.db2.gz OBGYOGNMPZDWFK-KBPBESRZSA-N 0 0 433.556 -0.545 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(S(C)(=O)=O)[C@@H](C)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001254211261 1073583975 /nfs/dbraw/zinc/58/39/75/1073583975.db2.gz OBGYOGNMPZDWFK-UONOGXRCSA-N 0 0 433.556 -0.545 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC(=O)N1CCOCC1 ZINC001254215969 1073584080 /nfs/dbraw/zinc/58/40/80/1073584080.db2.gz NFSYCDRDDNZETD-HNNXBMFYSA-N 0 0 441.506 -0.797 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCC(=O)N1CCOCC1 ZINC001254215970 1073583833 /nfs/dbraw/zinc/58/38/33/1073583833.db2.gz NFSYCDRDDNZETD-OAHLLOKOSA-N 0 0 441.506 -0.797 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@@H]4COCCN4C)CC3)C2=O)n(C)n1 ZINC001254221630 1073583990 /nfs/dbraw/zinc/58/39/90/1073583990.db2.gz FMSBOUCQZPMPJG-MSOLQXFVSA-N 0 0 433.557 -0.118 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NC[C@@H]4COCCN4C)CC3)C2=O)n(C)n1 ZINC001254221637 1073583920 /nfs/dbraw/zinc/58/39/20/1073583920.db2.gz FMSBOUCQZPMPJG-QZTJIDSGSA-N 0 0 433.557 -0.118 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NC[C@H]4COCCN4C)CC3)C2=O)n(C)n1 ZINC001254221638 1073583848 /nfs/dbraw/zinc/58/38/48/1073583848.db2.gz FMSBOUCQZPMPJG-ROUUACIJSA-N 0 0 433.557 -0.118 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NC[C@H]4COCCN4C)CC3)C2=O)n(C)n1 ZINC001254221639 1073583865 /nfs/dbraw/zinc/58/38/65/1073583865.db2.gz FMSBOUCQZPMPJG-ZWKOTPCHSA-N 0 0 433.557 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CC1=O ZINC001254236242 1073584057 /nfs/dbraw/zinc/58/40/57/1073584057.db2.gz ITMJOKOAHBFHQJ-IQVWLFHZSA-N 0 0 440.453 -0.916 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)CC1=O ZINC001254236252 1073584004 /nfs/dbraw/zinc/58/40/04/1073584004.db2.gz ITMJOKOAHBFHQJ-JERLVFOGSA-N 0 0 440.453 -0.916 20 0 IBADRN NC(=O)CC[C@@H](C(=O)NC[C@H]1COC2(CCOCC2)O1)N1C(=O)c2ccccc2C1=O ZINC001254247130 1073583799 /nfs/dbraw/zinc/58/37/99/1073583799.db2.gz YNWBFZMFTDIJCL-BBRMVZONSA-N 0 0 431.445 -0.045 20 0 IBADRN NC(=O)CC[C@@H](C(=O)NC[C@@H]1COC2(CCOCC2)O1)N1C(=O)c2ccccc2C1=O ZINC001254247131 1073583907 /nfs/dbraw/zinc/58/39/07/1073583907.db2.gz YNWBFZMFTDIJCL-CJNGLKHVSA-N 0 0 431.445 -0.045 20 0 IBADRN NC(=O)CC[C@H](C(=O)NC[C@@H]1COC2(CCOCC2)O1)N1C(=O)c2ccccc2C1=O ZINC001254247132 1073583878 /nfs/dbraw/zinc/58/38/78/1073583878.db2.gz YNWBFZMFTDIJCL-CZUORRHYSA-N 0 0 431.445 -0.045 20 0 IBADRN NC(=O)CC[C@H](C(=O)NC[C@H]1COC2(CCOCC2)O1)N1C(=O)c2ccccc2C1=O ZINC001254247315 1073584044 /nfs/dbraw/zinc/58/40/44/1073584044.db2.gz YNWBFZMFTDIJCL-XJKSGUPXSA-N 0 0 431.445 -0.045 20 0 IBADRN CC(C)(CNC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1)CS(N)(=O)=O ZINC001254249094 1073583892 /nfs/dbraw/zinc/58/38/92/1073583892.db2.gz NHIMBTKAGJJBHT-UHFFFAOYSA-N 0 0 442.567 -0.102 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCc2ccc(CN3CCNC3=O)cc2)CC1 ZINC001254261892 1073584338 /nfs/dbraw/zinc/58/43/38/1073584338.db2.gz CFMYNEWRALUSRT-UHFFFAOYSA-N 0 0 444.536 -0.102 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@H]3Cc4ccc(Br)cc4C3)nc2=O)O[C@H](CO)[C@H]1O ZINC001254273857 1073584433 /nfs/dbraw/zinc/58/44/33/1073584433.db2.gz RKWBYISKOAHJBB-IHWGESPNSA-N 0 0 437.294 -0.003 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@@H]3Cc4ccc(Br)cc4C3)nc2=O)O[C@H](CO)[C@H]1O ZINC001254273858 1073584530 /nfs/dbraw/zinc/58/45/30/1073584530.db2.gz RKWBYISKOAHJBB-PVTMVUMOSA-N 0 0 437.294 -0.003 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CC1 ZINC001254296206 1073584567 /nfs/dbraw/zinc/58/45/67/1073584567.db2.gz XRJZMTQYHWHKOU-IHWGESPNSA-N 0 0 439.513 -0.143 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CC1 ZINC001254296207 1073584351 /nfs/dbraw/zinc/58/43/51/1073584351.db2.gz XRJZMTQYHWHKOU-PVTMVUMOSA-N 0 0 439.513 -0.143 20 0 IBADRN Cn1cc(C(N)=O)c(NCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)n1 ZINC001254301494 1073584397 /nfs/dbraw/zinc/58/43/97/1073584397.db2.gz CSYLORDMYUFHAW-UHFFFAOYSA-N 0 0 446.533 -0.047 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NC[C@@]34COCCN3C(=O)COC4)CC2)CC1 ZINC001254315855 1073584461 /nfs/dbraw/zinc/58/44/61/1073584461.db2.gz ITCFPFYAMXKZJW-NRFANRHFSA-N 0 0 437.541 -0.800 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NC[C@]34COCCN3C(=O)COC4)CC2)CC1 ZINC001254315856 1073584506 /nfs/dbraw/zinc/58/45/06/1073584506.db2.gz ITCFPFYAMXKZJW-OAQYLSRUSA-N 0 0 437.541 -0.800 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1)Nc1ccccc1 ZINC001254316011 1073584386 /nfs/dbraw/zinc/58/43/86/1073584386.db2.gz KHZCVZCFQCIVLR-NRFANRHFSA-N 0 0 431.493 -0.420 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1)Nc1ccccc1 ZINC001254316013 1073584491 /nfs/dbraw/zinc/58/44/91/1073584491.db2.gz KHZCVZCFQCIVLR-OAQYLSRUSA-N 0 0 431.493 -0.420 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC001254319200 1073584371 /nfs/dbraw/zinc/58/43/71/1073584371.db2.gz FNOHSBRKLATBKS-UHFFFAOYSA-N 0 0 428.511 -0.193 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCC1CCS(=O)(=O)CC1 ZINC001254319964 1073584612 /nfs/dbraw/zinc/58/46/12/1073584612.db2.gz ORXXEWNOVQTPGV-UHFFFAOYSA-N 0 0 433.552 -0.077 20 0 IBADRN CC(C)(C)OC(=O)NCC1(O)CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001254322084 1073585002 /nfs/dbraw/zinc/58/50/02/1073585002.db2.gz BFECDFBDUYKGQK-IBGZPJMESA-N 0 0 442.513 -0.325 20 0 IBADRN CC(C)(C)OC(=O)NCC1(O)CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001254322085 1073584804 /nfs/dbraw/zinc/58/48/04/1073584804.db2.gz BFECDFBDUYKGQK-LJQANCHMSA-N 0 0 442.513 -0.325 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC001254323513 1073584831 /nfs/dbraw/zinc/58/48/31/1073584831.db2.gz MZRNXLMRSKRCGY-UHFFFAOYSA-N 0 0 425.385 -0.221 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC001254324764 1073584933 /nfs/dbraw/zinc/58/49/33/1073584933.db2.gz LOLHIVNXGJCIBM-APWZRJJASA-N 0 0 444.554 -0.350 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC001254324772 1073585104 /nfs/dbraw/zinc/58/51/04/1073585104.db2.gz LOLHIVNXGJCIBM-LPHOPBHVSA-N 0 0 444.554 -0.350 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC001254324774 1073585068 /nfs/dbraw/zinc/58/50/68/1073585068.db2.gz LOLHIVNXGJCIBM-QFBILLFUSA-N 0 0 444.554 -0.350 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC001254324775 1073585204 /nfs/dbraw/zinc/58/52/04/1073585204.db2.gz LOLHIVNXGJCIBM-VQIMIIECSA-N 0 0 444.554 -0.350 20 0 IBADRN NC(=O)c1cc(F)ccc1N1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001254325956 1073584818 /nfs/dbraw/zinc/58/48/18/1073584818.db2.gz SUYXDYRYLXSPFU-FQEVSTJZSA-N 0 0 435.456 -0.616 20 0 IBADRN NC(=O)c1cc(F)ccc1N1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001254325957 1073585018 /nfs/dbraw/zinc/58/50/18/1073585018.db2.gz SUYXDYRYLXSPFU-HXUWFJFHSA-N 0 0 435.456 -0.616 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CN[C@H]1CCS(=O)(=O)C1)C(N)=O ZINC001254326433 1073585140 /nfs/dbraw/zinc/58/51/40/1073585140.db2.gz CZQQPULBKCDQGD-IHRRRGAJSA-N 0 0 436.600 -0.983 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CN[C@@H]1CCS(=O)(=O)C1)C(N)=O ZINC001254326434 1073584852 /nfs/dbraw/zinc/58/48/52/1073584852.db2.gz CZQQPULBKCDQGD-RDBSUJKOSA-N 0 0 436.600 -0.983 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)ccc1OC ZINC001254328753 1073584787 /nfs/dbraw/zinc/58/47/87/1073584787.db2.gz QAKGDDVFDUDFFK-UHFFFAOYSA-N 0 0 445.563 -0.062 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)[C@@H](N)Cc1cn([C@@H]2CCS(=O)(=O)C2)cn1 ZINC001254328881 1073584898 /nfs/dbraw/zinc/58/48/98/1073584898.db2.gz XGXWYLVUJGFHIF-PVAVHDDUSA-N 0 0 435.506 -0.969 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)[C@@H](N)Cc1cn([C@H]2CCS(=O)(=O)C2)cn1 ZINC001254328882 1073584969 /nfs/dbraw/zinc/58/49/69/1073584969.db2.gz XGXWYLVUJGFHIF-XIRDDKMYSA-N 0 0 435.506 -0.969 20 0 IBADRN O=S1(=O)CC[C@@H](N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)C1 ZINC001254330190 1073584952 /nfs/dbraw/zinc/58/49/52/1073584952.db2.gz GNWZYELCIDYWNB-QLFBSQMISA-N 0 0 428.536 -0.804 20 0 IBADRN O=S1(=O)CC[C@H](N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)C1 ZINC001254330208 1073585217 /nfs/dbraw/zinc/58/52/17/1073585217.db2.gz GNWZYELCIDYWNB-SOUVJXGZSA-N 0 0 428.536 -0.804 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN([C@H]3CCS(=O)(=O)C3)CC[C@@H]12 ZINC001254333720 1073585167 /nfs/dbraw/zinc/58/51/67/1073585167.db2.gz WSYXBSRZPAFXJA-JZXOWHBKSA-N 0 0 449.595 -0.852 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN([C@@H]3CCS(=O)(=O)C3)CC[C@@H]12 ZINC001254333721 1073585030 /nfs/dbraw/zinc/58/50/30/1073585030.db2.gz WSYXBSRZPAFXJA-NUJGCVRESA-N 0 0 449.595 -0.852 20 0 IBADRN CN1C(=O)CN(NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2Cl)C1=O ZINC001254338225 1073585530 /nfs/dbraw/zinc/58/55/30/1073585530.db2.gz IHUOBXCGBKWVNZ-GFCCVEGCSA-N 0 0 430.870 -0.202 20 0 IBADRN CN1C(=O)CN(NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2Cl)C1=O ZINC001254338241 1073585496 /nfs/dbraw/zinc/58/54/96/1073585496.db2.gz IHUOBXCGBKWVNZ-LBPRGKRZSA-N 0 0 430.870 -0.202 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2nonc21 ZINC001254344034 1073585640 /nfs/dbraw/zinc/58/56/40/1073585640.db2.gz RKXDTRLBEOYZAV-GFCCVEGCSA-N 0 0 429.524 -0.218 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2nonc21 ZINC001254344036 1073585541 /nfs/dbraw/zinc/58/55/41/1073585541.db2.gz RKXDTRLBEOYZAV-LBPRGKRZSA-N 0 0 429.524 -0.218 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC001254347309 1073585628 /nfs/dbraw/zinc/58/56/28/1073585628.db2.gz FXHGYWHBXPFQQZ-LBPRGKRZSA-N 0 0 436.412 -0.424 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)CSCC(=O)N3CCOCC3)no2)cn1 ZINC001254352181 1073585597 /nfs/dbraw/zinc/58/55/97/1073585597.db2.gz MASNBNWUYAMDLC-AWEZNQCLSA-N 0 0 436.494 -0.038 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)CSCC(=O)N3CCOCC3)no2)cn1 ZINC001254352182 1073585485 /nfs/dbraw/zinc/58/54/85/1073585485.db2.gz MASNBNWUYAMDLC-CQSZACIVSA-N 0 0 436.494 -0.038 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)NC3(CCC(=O)CC3)C2=O)CC1 ZINC001254352350 1073585510 /nfs/dbraw/zinc/58/55/10/1073585510.db2.gz SOGAMLHFEPBCJR-UHFFFAOYSA-N 0 0 440.464 -0.554 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CN(Cc3ccc(C)cc3)CCO2)CC1 ZINC001254362733 1073585685 /nfs/dbraw/zinc/58/56/85/1073585685.db2.gz LGSQQFYBNTVLAC-GOSISDBHSA-N 0 0 425.555 -0.013 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NC[C@H]2CN(Cc3ccc(C)cc3)CCO2)CC1 ZINC001254362734 1073585709 /nfs/dbraw/zinc/58/57/09/1073585709.db2.gz LGSQQFYBNTVLAC-SFHVURJKSA-N 0 0 425.555 -0.013 20 0 IBADRN O=C(COC(=O)[C@]1(NC(=O)OCc2ccccc2)CCOC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001254366286 1073585617 /nfs/dbraw/zinc/58/56/17/1073585617.db2.gz CXKHLKVUIBQHNR-BEFAXECRSA-N 0 0 440.474 -0.082 20 0 IBADRN O=C(COC(=O)[C@@]1(NC(=O)OCc2ccccc2)CCOC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001254366296 1073585572 /nfs/dbraw/zinc/58/55/72/1073585572.db2.gz CXKHLKVUIBQHNR-DNVCBOLYSA-N 0 0 440.474 -0.082 20 0 IBADRN O=C(COC(=O)[C@@]1(NC(=O)OCc2ccccc2)CCOC1)N[C@H]1CCS(=O)(=O)C1 ZINC001254366297 1073585606 /nfs/dbraw/zinc/58/56/06/1073585606.db2.gz CXKHLKVUIBQHNR-HNAYVOBHSA-N 0 0 440.474 -0.082 20 0 IBADRN O=C(COC(=O)[C@]1(NC(=O)OCc2ccccc2)CCOC1)N[C@H]1CCS(=O)(=O)C1 ZINC001254366298 1073585675 /nfs/dbraw/zinc/58/56/75/1073585675.db2.gz CXKHLKVUIBQHNR-KXBFYZLASA-N 0 0 440.474 -0.082 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](N[C@H]2CCCOC2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001254367678 1073585747 /nfs/dbraw/zinc/58/57/47/1073585747.db2.gz KZLILYUQYNIGJR-DOQFWFFUSA-N 0 0 431.438 -0.162 20 0 IBADRN CC(=O)OC[C@H]1O[C@@H](OC(C)=O)[C@H](N[C@@H]2CCCOC2)[C@@H](OC(C)=O)[C@@H]1OC(C)=O ZINC001254367680 1073586457 /nfs/dbraw/zinc/58/64/57/1073586457.db2.gz KZLILYUQYNIGJR-MGYGNFHQSA-N 0 0 431.438 -0.162 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccccc1Cn1cncn1 ZINC001254403467 1073586067 /nfs/dbraw/zinc/58/60/67/1073586067.db2.gz JTOGGONBXFUPKE-AWEZNQCLSA-N 0 0 427.508 -0.750 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccccc1Cn1cncn1 ZINC001254403469 1073586082 /nfs/dbraw/zinc/58/60/82/1073586082.db2.gz JTOGGONBXFUPKE-CQSZACIVSA-N 0 0 427.508 -0.750 20 0 IBADRN CCOC(=O)CN1CCC(N(Cc2cc(=O)n3c(n2)CNCCC3)S(C)(=O)=O)CC1 ZINC001254406584 1073586349 /nfs/dbraw/zinc/58/63/49/1073586349.db2.gz BSLAGILGWMTCBO-UHFFFAOYSA-N 0 0 441.554 -0.474 20 0 IBADRN CCOC(=O)CN1CCC(N2CCOC[C@@]3(CN(c4cnn(C)c4)C(=O)CO3)C2)CC1 ZINC001254408066 1073586093 /nfs/dbraw/zinc/58/60/93/1073586093.db2.gz SDZVCFBFOJVAEC-NRFANRHFSA-N 0 0 435.525 -0.118 20 0 IBADRN CCOC(=O)CN1CCC(N2CCOC[C@]3(CN(c4cnn(C)c4)C(=O)CO3)C2)CC1 ZINC001254408073 1073586162 /nfs/dbraw/zinc/58/61/62/1073586162.db2.gz SDZVCFBFOJVAEC-OAQYLSRUSA-N 0 0 435.525 -0.118 20 0 IBADRN Cc1ccccc1CN1CCO[C@@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC001254414677 1073586132 /nfs/dbraw/zinc/58/61/32/1073586132.db2.gz GEEYNZDLDLAYGB-IBGZPJMESA-N 0 0 442.524 -0.264 20 0 IBADRN Cc1ccccc1CN1CCO[C@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC001254414682 1073586387 /nfs/dbraw/zinc/58/63/87/1073586387.db2.gz GEEYNZDLDLAYGB-LJQANCHMSA-N 0 0 442.524 -0.264 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(C(=O)NC[C@@]23COC(=O)N2CCOC3)CCO1 ZINC001254439325 1073586999 /nfs/dbraw/zinc/58/69/99/1073586999.db2.gz SUOBOIKRUZJGMN-MOPGFXCFSA-N 0 0 429.470 -0.024 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(C(=O)NC[C@]23COC(=O)N2CCOC3)CCO1 ZINC001254439328 1073586974 /nfs/dbraw/zinc/58/69/74/1073586974.db2.gz SUOBOIKRUZJGMN-OALUTQOASA-N 0 0 429.470 -0.024 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(C(=O)NC[C@]23COC(=O)N2CCOC3)CCO1 ZINC001254439329 1073586987 /nfs/dbraw/zinc/58/69/87/1073586987.db2.gz SUOBOIKRUZJGMN-RBUKOAKNSA-N 0 0 429.470 -0.024 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(C(=O)NC[C@@]23COC(=O)N2CCOC3)CCO1 ZINC001254439331 1073586957 /nfs/dbraw/zinc/58/69/57/1073586957.db2.gz SUOBOIKRUZJGMN-RTBURBONSA-N 0 0 429.470 -0.024 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001254444659 1073587029 /nfs/dbraw/zinc/58/70/29/1073587029.db2.gz JSDORBAAYQEXIL-IBGZPJMESA-N 0 0 436.490 -0.163 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001254444660 1073587118 /nfs/dbraw/zinc/58/71/18/1073587118.db2.gz JSDORBAAYQEXIL-LJQANCHMSA-N 0 0 436.490 -0.163 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC001254444682 1073587128 /nfs/dbraw/zinc/58/71/28/1073587128.db2.gz KLTQGGDVVGXCHC-UHFFFAOYSA-N 0 0 433.465 -0.289 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3ccc(CN4C(=O)CNC4=O)cc3)CCN2C1=O ZINC001254444742 1073586943 /nfs/dbraw/zinc/58/69/43/1073586943.db2.gz LVWDZWSQMUMCHK-HNNXBMFYSA-N 0 0 428.449 -0.084 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3ccc(CN4C(=O)CNC4=O)cc3)CCN2C1=O ZINC001254444743 1073586855 /nfs/dbraw/zinc/58/68/55/1073586855.db2.gz LVWDZWSQMUMCHK-OAHLLOKOSA-N 0 0 428.449 -0.084 20 0 IBADRN CC(=O)c1cccc(NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001254453988 1073587065 /nfs/dbraw/zinc/58/70/65/1073587065.db2.gz SBEWFNLAGRHONN-GOSISDBHSA-N 0 0 436.534 -0.251 20 0 IBADRN CC(=O)c1cccc(NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001254453989 1073587081 /nfs/dbraw/zinc/58/70/81/1073587081.db2.gz SBEWFNLAGRHONN-SFHVURJKSA-N 0 0 436.534 -0.251 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)c1 ZINC001254471911 1073587144 /nfs/dbraw/zinc/58/71/44/1073587144.db2.gz RPINTPICZGEKRE-UHFFFAOYSA-N 0 0 426.451 -0.631 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)c1 ZINC001254478479 1073587685 /nfs/dbraw/zinc/58/76/85/1073587685.db2.gz BHZVKAWOIYVKQF-JGGQBBKZSA-N 0 0 441.462 -0.099 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(OC)ccc1OC ZINC001254488680 1073587636 /nfs/dbraw/zinc/58/76/36/1073587636.db2.gz FYSDSHHRCUCEOJ-SRVKXCTJSA-N 0 0 445.494 -0.447 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)c1 ZINC001254490448 1073587594 /nfs/dbraw/zinc/58/75/94/1073587594.db2.gz VPDYENOLJIFPAX-HNNXBMFYSA-N 0 0 437.474 -0.100 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)c1 ZINC001254490578 1073587776 /nfs/dbraw/zinc/58/77/76/1073587776.db2.gz XMYBOPKOMOFPLI-HNNXBMFYSA-N 0 0 437.474 -0.100 20 0 IBADRN Cc1ccccc1S(=O)(=O)Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001254618802 1073588521 /nfs/dbraw/zinc/58/85/21/1073588521.db2.gz JHIORESMDJSPOZ-IQRFUGTFSA-N 0 0 425.459 -0.025 20 0 IBADRN Cc1ccccc1S(=O)(=O)Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001254618804 1073588346 /nfs/dbraw/zinc/58/83/46/1073588346.db2.gz JHIORESMDJSPOZ-QFACEVIFSA-N 0 0 425.459 -0.025 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+]C2CCN(c3ccc(F)cc3)CC2)[C@@H](O)[C@@H]1O ZINC001254620831 1073588455 /nfs/dbraw/zinc/58/84/55/1073588455.db2.gz UQQFTWWOEDEATG-HHARLNAUSA-N 0 0 436.458 -0.989 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+]C2CCN(c3ccc(F)cc3)CC2)[C@@H](O)[C@@H]1O ZINC001254620842 1073588473 /nfs/dbraw/zinc/58/84/73/1073588473.db2.gz UQQFTWWOEDEATG-WRQOLXDDSA-N 0 0 436.458 -0.989 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@H]3CCN(C)C(=O)C3)CCN2C(=O)[C@H]2CCN(C)C(=O)C2)cn1 ZINC001254629741 1073588416 /nfs/dbraw/zinc/58/84/16/1073588416.db2.gz JAUDAWKXBNFOSN-BQFCYCMXSA-N 0 0 444.536 -0.131 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@H]3CCN(C)C(=O)C3)CCN2C(=O)[C@@H]2CCN(C)C(=O)C2)cn1 ZINC001254629743 1073588445 /nfs/dbraw/zinc/58/84/45/1073588445.db2.gz JAUDAWKXBNFOSN-JZXOWHBKSA-N 0 0 444.536 -0.131 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)[C@H]3CCN(C)C(=O)C3)CCN2C(=O)[C@@H]2CCN(C)C(=O)C2)cn1 ZINC001254629744 1073588370 /nfs/dbraw/zinc/58/83/70/1073588370.db2.gz JAUDAWKXBNFOSN-LZLYRXPVSA-N 0 0 444.536 -0.131 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)[C@H]3CCN(C)C(=O)C3)CCN2C(=O)[C@H]2CCN(C)C(=O)C2)cn1 ZINC001254629746 1073588384 /nfs/dbraw/zinc/58/83/84/1073588384.db2.gz JAUDAWKXBNFOSN-XYJFISCASA-N 0 0 444.536 -0.131 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)nn1-c1ccccc1 ZINC001254653104 1073589060 /nfs/dbraw/zinc/58/90/60/1073589060.db2.gz BMDPYVRZROQJSQ-UHFFFAOYSA-N 0 0 429.462 -0.194 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N(CC4CC4)C3)CC2)C[C@@H](C)O1 ZINC001254655074 1073589543 /nfs/dbraw/zinc/58/95/43/1073589543.db2.gz QVHTXMVCZVHBDV-BFYDXBDKSA-N 0 0 443.570 -0.322 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CC(=O)N(CC4CC4)C3)CC2)C[C@H](C)O1 ZINC001254655086 1073589079 /nfs/dbraw/zinc/58/90/79/1073589079.db2.gz QVHTXMVCZVHBDV-HLLBOEOZSA-N 0 0 443.570 -0.322 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N(CC4CC4)C3)CC2)C[C@@H](C)O1 ZINC001254655087 1073589091 /nfs/dbraw/zinc/58/90/91/1073589091.db2.gz QVHTXMVCZVHBDV-INMHGKMJSA-N 0 0 443.570 -0.322 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CC(=O)N(CC4CC4)C3)CC2)C[C@H](C)O1 ZINC001254655088 1073589676 /nfs/dbraw/zinc/58/96/76/1073589676.db2.gz QVHTXMVCZVHBDV-UXLLHSPISA-N 0 0 443.570 -0.322 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)C1=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC1)CC2 ZINC001254656545 1073589530 /nfs/dbraw/zinc/58/95/30/1073589530.db2.gz YOSQYEJQAUQNTE-GFCCVEGCSA-N 0 0 440.478 -0.538 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)C1=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC1)CC2 ZINC001254656546 1073589104 /nfs/dbraw/zinc/58/91/04/1073589104.db2.gz YOSQYEJQAUQNTE-LBPRGKRZSA-N 0 0 440.478 -0.538 20 0 IBADRN Cc1ccccc1S(=O)(=O)N[C@H]1[C@@H](O)[C@H](n2cnc3c2ncnc3N(C)C)O[C@@H]1CO ZINC001254661665 1073589434 /nfs/dbraw/zinc/58/94/34/1073589434.db2.gz SXPFXPQZQSZTKF-OZSDWXKXSA-N 0 0 448.505 -0.202 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001254666314 1073589656 /nfs/dbraw/zinc/58/96/56/1073589656.db2.gz YJZKDUOWKHWQSP-DOTOQJQBSA-N 0 0 428.942 -0.213 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001254666315 1073589683 /nfs/dbraw/zinc/58/96/83/1073589683.db2.gz YJZKDUOWKHWQSP-NVXWUHKLSA-N 0 0 428.942 -0.213 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001254666316 1073590158 /nfs/dbraw/zinc/59/01/58/1073590158.db2.gz YJZKDUOWKHWQSP-RDJZCZTQSA-N 0 0 428.942 -0.213 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001254666317 1073590091 /nfs/dbraw/zinc/59/00/91/1073590091.db2.gz YJZKDUOWKHWQSP-WBVHZDCISA-N 0 0 428.942 -0.213 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)NCc3nnc4c(=O)n(C)ccn34)CC2)CC1 ZINC001254666952 1073590040 /nfs/dbraw/zinc/59/00/40/1073590040.db2.gz ORMUTCHBZCMGOJ-UHFFFAOYSA-N 0 0 430.513 -0.486 20 0 IBADRN Cc1ccccc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)[C@@H](C)O ZINC001254685563 1073590182 /nfs/dbraw/zinc/59/01/82/1073590182.db2.gz IVYWAUOFVHSARS-SKDZVZGDSA-N 0 0 435.502 -0.059 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Br)C(=O)N[C@@H]1CNC(=O)C1 ZINC001254704026 1073590241 /nfs/dbraw/zinc/59/02/41/1073590241.db2.gz JEBBLNFKDLGNSE-GWCFXTLKSA-N 0 0 446.323 -0.013 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Br)C(=O)N[C@@H]1CNC(=O)C1 ZINC001254704050 1073590266 /nfs/dbraw/zinc/59/02/66/1073590266.db2.gz JEBBLNFKDLGNSE-GXFFZTMASA-N 0 0 446.323 -0.013 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Br)C(=O)N[C@H]1CNC(=O)C1 ZINC001254704051 1073590209 /nfs/dbraw/zinc/59/02/09/1073590209.db2.gz JEBBLNFKDLGNSE-MFKMUULPSA-N 0 0 446.323 -0.013 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Br)C(=O)N[C@H]1CNC(=O)C1 ZINC001254704052 1073590063 /nfs/dbraw/zinc/59/00/63/1073590063.db2.gz JEBBLNFKDLGNSE-ZWNOBZJWSA-N 0 0 446.323 -0.013 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC001254755531 1073590869 /nfs/dbraw/zinc/59/08/69/1073590869.db2.gz RHGADRRDKRMZOD-UHFFFAOYSA-N 0 0 445.586 -0.577 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)cc1OC ZINC001254762622 1073590687 /nfs/dbraw/zinc/59/06/87/1073590687.db2.gz IDALDURQHBRCIG-UHFFFAOYSA-N 0 0 443.464 -0.294 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2)nn1 ZINC001254768972 1073590719 /nfs/dbraw/zinc/59/07/19/1073590719.db2.gz PEMAOJJCDIDKDW-UHFFFAOYSA-N 0 0 442.542 -0.080 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)N1CCC(S(=O)(=O)N2CCCCC2)CC1 ZINC001254770698 1073590569 /nfs/dbraw/zinc/59/05/69/1073590569.db2.gz AQDKSRORYOGKAU-UHFFFAOYSA-N 0 0 425.515 -0.489 20 0 IBADRN CC1(Cn2cc(C(=O)N(CCCN3CCOCC3)[C@H]3CCS(=O)(=O)C3)nn2)COC1 ZINC001254774941 1073590751 /nfs/dbraw/zinc/59/07/51/1073590751.db2.gz DEFFHOYXDBGWNF-INIZCTEOSA-N 0 0 441.554 -0.334 20 0 IBADRN CC1(Cn2cc(C(=O)N(CCCN3CCOCC3)[C@@H]3CCS(=O)(=O)C3)nn2)COC1 ZINC001254774942 1073590615 /nfs/dbraw/zinc/59/06/15/1073590615.db2.gz DEFFHOYXDBGWNF-MRXNPFEDSA-N 0 0 441.554 -0.334 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)O[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001254775038 1073590823 /nfs/dbraw/zinc/59/08/23/1073590823.db2.gz HJKCVROXRHFARR-GXTWGEPZSA-N 0 0 432.520 -0.286 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)O[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC001254775039 1073590629 /nfs/dbraw/zinc/59/06/29/1073590629.db2.gz HJKCVROXRHFARR-JSGCOSHPSA-N 0 0 432.520 -0.286 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)O[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC001254775040 1073590739 /nfs/dbraw/zinc/59/07/39/1073590739.db2.gz HJKCVROXRHFARR-OCCSQVGLSA-N 0 0 432.520 -0.286 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)O[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001254775041 1073590585 /nfs/dbraw/zinc/59/05/85/1073590585.db2.gz HJKCVROXRHFARR-TZMCWYRMSA-N 0 0 432.520 -0.286 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)Cc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC001254775069 1073590767 /nfs/dbraw/zinc/59/07/67/1073590767.db2.gz IQXYWEYQZZCXCK-UHFFFAOYSA-N 0 0 427.479 -0.019 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001254793281 1073590702 /nfs/dbraw/zinc/59/07/02/1073590702.db2.gz KBJJOXRGTKKFGZ-UHFFFAOYSA-N 0 0 425.573 -0.948 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NC[C@@H](O)CN1CCCC1=O ZINC001254807095 1073591246 /nfs/dbraw/zinc/59/12/46/1073591246.db2.gz JMTJKWZIWVVTRQ-CABCVRRESA-N 0 0 426.514 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NC[C@H](O)CN1CCCC1=O ZINC001254807099 1073591177 /nfs/dbraw/zinc/59/11/77/1073591177.db2.gz JMTJKWZIWVVTRQ-GJZGRUSLSA-N 0 0 426.514 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)NC[C@@H](O)CN1CCCC1=O ZINC001254807102 1073591349 /nfs/dbraw/zinc/59/13/49/1073591349.db2.gz JMTJKWZIWVVTRQ-HUUCEWRRSA-N 0 0 426.514 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)NC[C@H](O)CN1CCCC1=O ZINC001254807104 1073591189 /nfs/dbraw/zinc/59/11/89/1073591189.db2.gz JMTJKWZIWVVTRQ-LSDHHAIUSA-N 0 0 426.514 -0.008 20 0 IBADRN CC(C)N(CCc1noc([C@H]2CC(=O)N(CCN3CCOCC3)C2)n1)S(C)(=O)=O ZINC001254835915 1073591284 /nfs/dbraw/zinc/59/12/84/1073591284.db2.gz PPDNEVFGWSXRAK-HNNXBMFYSA-N 0 0 429.543 -0.070 20 0 IBADRN CC(C)N(CCc1noc([C@@H]2CC(=O)N(CCN3CCOCC3)C2)n1)S(C)(=O)=O ZINC001254835918 1073591926 /nfs/dbraw/zinc/59/19/26/1073591926.db2.gz PPDNEVFGWSXRAK-OAHLLOKOSA-N 0 0 429.543 -0.070 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c1 ZINC001254851718 1073591834 /nfs/dbraw/zinc/59/18/34/1073591834.db2.gz CVBVJBRANSCAFE-IRXDYDNUSA-N 0 0 435.502 -0.139 20 0 IBADRN CN1CC[C@](O)(CNC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C1 ZINC001254876405 1073591767 /nfs/dbraw/zinc/59/17/67/1073591767.db2.gz CMDKRMHHIYVSEO-APWZRJJASA-N 0 0 427.523 -0.101 20 0 IBADRN CN1CC[C@](O)(CNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C1 ZINC001254876406 1073591681 /nfs/dbraw/zinc/59/16/81/1073591681.db2.gz CMDKRMHHIYVSEO-LPHOPBHVSA-N 0 0 427.523 -0.101 20 0 IBADRN CN1CC[C@@](O)(CNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C1 ZINC001254876407 1073591868 /nfs/dbraw/zinc/59/18/68/1073591868.db2.gz CMDKRMHHIYVSEO-QFBILLFUSA-N 0 0 427.523 -0.101 20 0 IBADRN CN1CC[C@@](O)(CNC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C1 ZINC001254876408 1073591938 /nfs/dbraw/zinc/59/19/38/1073591938.db2.gz CMDKRMHHIYVSEO-VQIMIIECSA-N 0 0 427.523 -0.101 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(N(C)C)n[nH]3)CC2)C[C@H](C)O1 ZINC001254879190 1073591915 /nfs/dbraw/zinc/59/19/15/1073591915.db2.gz RFWLVUHMMVUVGV-BETUJISGSA-N 0 0 430.535 -0.948 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(N(C)C)n[nH]3)CC2)C[C@@H](C)O1 ZINC001254879191 1073591896 /nfs/dbraw/zinc/59/18/96/1073591896.db2.gz RFWLVUHMMVUVGV-CHWSQXEVSA-N 0 0 430.535 -0.948 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(N(C)C)n[nH]3)CC2)C[C@H](C)O1 ZINC001254879192 1073591854 /nfs/dbraw/zinc/59/18/54/1073591854.db2.gz RFWLVUHMMVUVGV-STQMWFEESA-N 0 0 430.535 -0.948 20 0 IBADRN O=C(Cc1ccccc1C(=O)NCCN1C(=O)CCC1=O)NCCN1C(=O)CCC1=O ZINC001254882049 1073591752 /nfs/dbraw/zinc/59/17/52/1073591752.db2.gz GSOJCMSJEKPRCK-UHFFFAOYSA-N 0 0 428.445 -0.627 20 0 IBADRN C[C@@H](CS(C)(=O)=O)NC(=O)Cc1ccc(CC(=O)N[C@@H](C)CS(C)(=O)=O)cc1 ZINC001254897014 1073591904 /nfs/dbraw/zinc/59/19/04/1073591904.db2.gz LVESGPAPAPTPLR-KBPBESRZSA-N 0 0 432.564 -0.130 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)Cc1ccc(CC(=O)N[C@@H](C)CS(C)(=O)=O)cc1 ZINC001254897015 1073591653 /nfs/dbraw/zinc/59/16/53/1073591653.db2.gz LVESGPAPAPTPLR-OKILXGFUSA-N 0 0 432.564 -0.130 20 0 IBADRN C[C@H](CS(C)(=O)=O)NC(=O)Cc1ccc(CC(=O)N[C@H](C)CS(C)(=O)=O)cc1 ZINC001254897016 1073591629 /nfs/dbraw/zinc/59/16/29/1073591629.db2.gz LVESGPAPAPTPLR-ZIAGYGMSSA-N 0 0 432.564 -0.130 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001254918574 1073592247 /nfs/dbraw/zinc/59/22/47/1073592247.db2.gz GONUDLFKOZDXKC-AWEZNQCLSA-N 0 0 430.552 -0.008 20 0 IBADRN CCOC(=O)Cc1csc(NC(=O)CN2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001254918575 1073592353 /nfs/dbraw/zinc/59/23/53/1073592353.db2.gz GONUDLFKOZDXKC-CQSZACIVSA-N 0 0 430.552 -0.008 20 0 IBADRN O=C(CN1CCN(CCCS(=O)(=O)c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001254962335 1073592408 /nfs/dbraw/zinc/59/24/08/1073592408.db2.gz GATJNZHMAVRAPQ-KRWDZBQOSA-N 0 0 443.591 -0.229 20 0 IBADRN O=C(CN1CCN(CCCS(=O)(=O)c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001254962347 1073592455 /nfs/dbraw/zinc/59/24/55/1073592455.db2.gz GATJNZHMAVRAPQ-QGZVFWFLSA-N 0 0 443.591 -0.229 20 0 IBADRN Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001254970316 1073592426 /nfs/dbraw/zinc/59/24/26/1073592426.db2.gz FOMDNIPFFZULLK-UHFFFAOYSA-N 0 0 444.579 -0.224 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2ccc(F)c(Cl)c2)c(=O)[nH]1 ZINC001254996393 1073592466 /nfs/dbraw/zinc/59/24/66/1073592466.db2.gz IAAHZKMHVCOUHG-FMKGYKFTSA-N 0 0 435.817 -0.661 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)CNC(=O)c3cccc(S(=O)(=O)N(C)C)c3)C2)nn1 ZINC001255007840 1073592396 /nfs/dbraw/zinc/59/23/96/1073592396.db2.gz KUKVNULTTKMVEL-GFCCVEGCSA-N 0 0 436.494 -0.605 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)CNC(=O)c3cccc(S(=O)(=O)N(C)C)c3)C2)nn1 ZINC001255007841 1073592365 /nfs/dbraw/zinc/59/23/65/1073592365.db2.gz KUKVNULTTKMVEL-LBPRGKRZSA-N 0 0 436.494 -0.605 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)nn1 ZINC001255008292 1073592380 /nfs/dbraw/zinc/59/23/80/1073592380.db2.gz PXNFJJWYSGYFBX-GFCCVEGCSA-N 0 0 434.478 -0.851 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)nn1 ZINC001255008299 1073592275 /nfs/dbraw/zinc/59/22/75/1073592275.db2.gz PXNFJJWYSGYFBX-LBPRGKRZSA-N 0 0 434.478 -0.851 20 0 IBADRN COC(=O)NC1CN(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)C1 ZINC001255026848 1073593009 /nfs/dbraw/zinc/59/30/09/1073593009.db2.gz ZNBJAWLGBHOQRG-UHFFFAOYSA-N 0 0 425.511 -0.128 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ccc4oc(=O)[nH]c4c3)CC2)CC1 ZINC001255034124 1073592890 /nfs/dbraw/zinc/59/28/90/1073592890.db2.gz HDGZBWKQMZZSAI-UHFFFAOYSA-N 0 0 438.510 -0.147 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)[C@@H]1CCS(=O)(=O)C1 ZINC001255065508 1073592928 /nfs/dbraw/zinc/59/29/28/1073592928.db2.gz LDAYSFWCDKADDC-CYBMUJFWSA-N 0 0 433.508 -0.476 20 0 IBADRN CCN(C(=O)COC(=O)c1ccc(S(=O)(=O)N(C)C)nc1)[C@H]1CCS(=O)(=O)C1 ZINC001255065510 1073592879 /nfs/dbraw/zinc/59/28/79/1073592879.db2.gz LDAYSFWCDKADDC-ZDUSSCGKSA-N 0 0 433.508 -0.476 20 0 IBADRN CN1CC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)C1=O ZINC001255082884 1073592974 /nfs/dbraw/zinc/59/29/74/1073592974.db2.gz DKWGKFBVIYRNAX-IJVTXNRDSA-N 0 0 443.547 -0.273 20 0 IBADRN CN1CC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)C1=O ZINC001255082885 1073592842 /nfs/dbraw/zinc/59/28/42/1073592842.db2.gz DKWGKFBVIYRNAX-KYDQQWHWSA-N 0 0 443.547 -0.273 20 0 IBADRN CN1CC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)C1=O ZINC001255082886 1073592871 /nfs/dbraw/zinc/59/28/71/1073592871.db2.gz DKWGKFBVIYRNAX-MIAKERSBSA-N 0 0 443.547 -0.273 20 0 IBADRN CN1CC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)C1=O ZINC001255082887 1073592922 /nfs/dbraw/zinc/59/29/22/1073592922.db2.gz DKWGKFBVIYRNAX-VGAQJYNHSA-N 0 0 443.547 -0.273 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)[C@@H]1CC(=O)N([C@@H]3CCS(=O)(=O)C3)C1)CC2 ZINC001255090276 1073592969 /nfs/dbraw/zinc/59/29/69/1073592969.db2.gz UPBNLLHMRGODDR-CHWSQXEVSA-N 0 0 427.479 -0.668 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)[C@@H]1CC(=O)N([C@H]3CCS(=O)(=O)C3)C1)CC2 ZINC001255090291 1073592958 /nfs/dbraw/zinc/59/29/58/1073592958.db2.gz UPBNLLHMRGODDR-OLZOCXBDSA-N 0 0 427.479 -0.668 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)[C@H]1CC(=O)N([C@@H]3CCS(=O)(=O)C3)C1)CC2 ZINC001255090295 1073593345 /nfs/dbraw/zinc/59/33/45/1073593345.db2.gz UPBNLLHMRGODDR-QWHCGFSZSA-N 0 0 427.479 -0.668 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(C(=O)[C@H]1CC(=O)N([C@H]3CCS(=O)(=O)C3)C1)CC2 ZINC001255090298 1073593408 /nfs/dbraw/zinc/59/34/08/1073593408.db2.gz UPBNLLHMRGODDR-STQMWFEESA-N 0 0 427.479 -0.668 20 0 IBADRN O=C1CCCN1C[C@@H](O)CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001255122992 1073593558 /nfs/dbraw/zinc/59/35/58/1073593558.db2.gz DVEQFOHFARVWNQ-AWEZNQCLSA-N 0 0 431.536 -0.267 20 0 IBADRN O=C1CCCN1C[C@H](O)CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001255122993 1073593565 /nfs/dbraw/zinc/59/35/65/1073593565.db2.gz DVEQFOHFARVWNQ-CQSZACIVSA-N 0 0 431.536 -0.267 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001255123235 1073593474 /nfs/dbraw/zinc/59/34/74/1073593474.db2.gz GHSZLTLOFTWABH-UHFFFAOYSA-N 0 0 437.565 -0.783 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccccc2F)n1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001255125416 1073593620 /nfs/dbraw/zinc/59/36/20/1073593620.db2.gz ZXDAIUUZKYCOCR-DLBZAZTESA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccccc2F)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001255125422 1073593611 /nfs/dbraw/zinc/59/36/11/1073593611.db2.gz ZXDAIUUZKYCOCR-IAGOWNOFSA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccccc2F)n1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001255125424 1073593515 /nfs/dbraw/zinc/59/35/15/1073593515.db2.gz ZXDAIUUZKYCOCR-IRXDYDNUSA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccccc2F)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001255125426 1073593571 /nfs/dbraw/zinc/59/35/71/1073593571.db2.gz ZXDAIUUZKYCOCR-SJORKVTESA-N 0 0 436.465 -0.713 20 0 IBADRN CCc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)cc1 ZINC001255137064 1073593421 /nfs/dbraw/zinc/59/34/21/1073593421.db2.gz YRGGHLWSTDMNDQ-ROUUACIJSA-N 0 0 435.502 -0.193 20 0 IBADRN COc1cc(C(=O)NCCC(=O)N2CCN(C(=O)c3cc(OC)n(C)n3)CC2)nn1C ZINC001255139453 1073593504 /nfs/dbraw/zinc/59/35/04/1073593504.db2.gz GIMVBWFWSSRABB-UHFFFAOYSA-N 0 0 433.469 -0.725 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001255198965 1073594172 /nfs/dbraw/zinc/59/41/72/1073594172.db2.gz DBOIEXRFNCAABM-UHFFFAOYSA-N 0 0 427.483 -0.575 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001255199454 1073594023 /nfs/dbraw/zinc/59/40/23/1073594023.db2.gz LHBUTXZFYSHOOP-UHFFFAOYSA-N 0 0 440.526 -0.612 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC3(CC(C(=O)OC)=NO3)CC2)c1 ZINC001255207112 1073594144 /nfs/dbraw/zinc/59/41/44/1073594144.db2.gz HYUBJQRWDKMBAZ-UHFFFAOYSA-N 0 0 428.467 -0.137 20 0 IBADRN COCC(=O)NC1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC001255219644 1073594224 /nfs/dbraw/zinc/59/42/24/1073594224.db2.gz ZWXXTLZSQSAWFD-UHFFFAOYSA-N 0 0 433.509 -0.302 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001255224515 1073594202 /nfs/dbraw/zinc/59/42/02/1073594202.db2.gz JXWMTMGZPGJYTM-UHFFFAOYSA-N 0 0 444.492 -0.513 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N(C)C[C@@H](O)CN1CCOCC1 ZINC001255224813 1073594209 /nfs/dbraw/zinc/59/42/09/1073594209.db2.gz MGQLZLRZAHAQLW-GOSISDBHSA-N 0 0 435.525 -0.904 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N(C)C[C@H](O)CN1CCOCC1 ZINC001255224824 1073594099 /nfs/dbraw/zinc/59/40/99/1073594099.db2.gz MGQLZLRZAHAQLW-SFHVURJKSA-N 0 0 435.525 -0.904 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCC(=O)NCC(=O)N(C)Cc3ccccc3)CCN2C1=O ZINC001255225489 1073594059 /nfs/dbraw/zinc/59/40/59/1073594059.db2.gz RABJBZOXPQFFHK-INIZCTEOSA-N 0 0 444.492 -0.561 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCC(=O)NCC(=O)N(C)Cc3ccccc3)CCN2C1=O ZINC001255225490 1073594137 /nfs/dbraw/zinc/59/41/37/1073594137.db2.gz RABJBZOXPQFFHK-MRXNPFEDSA-N 0 0 444.492 -0.561 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC001255226129 1073594047 /nfs/dbraw/zinc/59/40/47/1073594047.db2.gz WTEZTWGVSSJWPZ-UHFFFAOYSA-N 0 0 439.538 -0.562 20 0 IBADRN Cn1ncc2cc(S(=O)(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)cnc21 ZINC001255233643 1073594012 /nfs/dbraw/zinc/59/40/12/1073594012.db2.gz FFXWODYIKJAIKP-UHFFFAOYSA-N 0 0 430.494 -0.225 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)[C@@H](C)C1 ZINC001255234464 1073593963 /nfs/dbraw/zinc/59/39/63/1073593963.db2.gz ZKZSCPJORZLQFV-INIZCTEOSA-N 0 0 433.509 -0.302 20 0 IBADRN COC(=O)CCN1CCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)[C@H](C)C1 ZINC001255234465 1073594826 /nfs/dbraw/zinc/59/48/26/1073594826.db2.gz ZKZSCPJORZLQFV-MRXNPFEDSA-N 0 0 433.509 -0.302 20 0 IBADRN C[C@]12CN(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)C[C@@]1(C)CS(=O)(=O)C2 ZINC001255241519 1073594665 /nfs/dbraw/zinc/59/46/65/1073594665.db2.gz HSFGXAZOUJDLRZ-BGYRXZFFSA-N 0 0 436.534 -0.115 20 0 IBADRN CCCc1ccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001255247324 1073594777 /nfs/dbraw/zinc/59/47/77/1073594777.db2.gz RADMEAHPUZYMPH-MWQQHZPXSA-N 0 0 425.463 -0.501 20 0 IBADRN O=C([O-])C[N@@H+]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001255248914 1073594732 /nfs/dbraw/zinc/59/47/32/1073594732.db2.gz AAQXELSDQDLOHE-UHFFFAOYSA-N 0 0 447.535 -0.512 20 0 IBADRN CC(C)(C)n1cc(CNC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(=O)[nH]c1=O ZINC001255255973 1073594700 /nfs/dbraw/zinc/59/47/00/1073594700.db2.gz LKKLENDJTBATEO-UHFFFAOYSA-N 0 0 436.513 -0.610 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC001255256361 1073594539 /nfs/dbraw/zinc/59/45/39/1073594539.db2.gz QUJMPAOMRTXSMX-GOSISDBHSA-N 0 0 428.555 -0.088 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC001255256371 1073594680 /nfs/dbraw/zinc/59/46/80/1073594680.db2.gz QUJMPAOMRTXSMX-SFHVURJKSA-N 0 0 428.555 -0.088 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC[C@@H](C(F)(F)F)O3)CC2)CC1 ZINC001255277656 1073594714 /nfs/dbraw/zinc/59/47/14/1073594714.db2.gz WXNCQSCKIRAKDN-KBPBESRZSA-N 0 0 443.492 -0.084 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC[C@@H](C(F)(F)F)O3)CC2)CC1 ZINC001255277657 1073594793 /nfs/dbraw/zinc/59/47/93/1073594793.db2.gz WXNCQSCKIRAKDN-KGLIPLIRSA-N 0 0 443.492 -0.084 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CC[C@H](C(F)(F)F)O3)CC2)CC1 ZINC001255277658 1073594885 /nfs/dbraw/zinc/59/48/85/1073594885.db2.gz WXNCQSCKIRAKDN-UONOGXRCSA-N 0 0 443.492 -0.084 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CC[C@H](C(F)(F)F)O3)CC2)CC1 ZINC001255277659 1073594764 /nfs/dbraw/zinc/59/47/64/1073594764.db2.gz WXNCQSCKIRAKDN-ZIAGYGMSSA-N 0 0 443.492 -0.084 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001255284067 1073594567 /nfs/dbraw/zinc/59/45/67/1073594567.db2.gz DPANUVGVHNYJTB-UHFFFAOYSA-N 0 0 448.505 -0.153 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnc(C(F)(F)F)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001255289200 1073595551 /nfs/dbraw/zinc/59/55/51/1073595551.db2.gz VNLQHOWFRNHBCL-GFCCVEGCSA-N 0 0 435.428 -0.444 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnc(C(F)(F)F)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001255289201 1073595411 /nfs/dbraw/zinc/59/54/11/1073595411.db2.gz VNLQHOWFRNHBCL-LBPRGKRZSA-N 0 0 435.428 -0.444 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NCC2CN(S(C)(=O)=O)C2)c1 ZINC001255309522 1073595427 /nfs/dbraw/zinc/59/54/27/1073595427.db2.gz FBLVFHLAVLEKKL-UHFFFAOYSA-N 0 0 440.341 -0.022 20 0 IBADRN NC(=O)COC1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001255360513 1073595257 /nfs/dbraw/zinc/59/52/57/1073595257.db2.gz OINXPTVBUVTWER-CJNGLKHVSA-N 0 0 429.470 -0.558 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001255371239 1073595481 /nfs/dbraw/zinc/59/54/81/1073595481.db2.gz JHKKCNAHRXWLFB-MWQQHZPXSA-N 0 0 425.463 -0.330 20 0 IBADRN CCN(CCNC(=O)c1cnc2n(c1=O)CCS2)C(=O)c1cnc2n(c1=O)CCS2 ZINC001255383422 1073595601 /nfs/dbraw/zinc/59/56/01/1073595601.db2.gz SHOBNXCGKBCDKQ-UHFFFAOYSA-N 0 0 448.530 -0.097 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H](N[C@H]2CCC[N@H+](Cc3ccccc3)CC2)[C@@H](O)[C@@H]1O ZINC001255392711 1073595618 /nfs/dbraw/zinc/59/56/18/1073595618.db2.gz KJNNQMACDLAYAK-BVPSZOQCSA-N 0 0 446.522 -0.742 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H](N[C@H]2CCC[N@H+](Cc3ccccc3)CC2)[C@@H](O)[C@@H]1O ZINC001255392714 1073595357 /nfs/dbraw/zinc/59/53/57/1073595357.db2.gz KJNNQMACDLAYAK-DOQFWFFUSA-N 0 0 446.522 -0.742 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H](N[C@@H]2CCC[N@H+](Cc3ccccc3)CC2)[C@@H](O)[C@@H]1O ZINC001255392715 1073595499 /nfs/dbraw/zinc/59/54/99/1073595499.db2.gz KJNNQMACDLAYAK-MGYGNFHQSA-N 0 0 446.522 -0.742 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H](N[C@@H]2CCC[N@H+](Cc3ccccc3)CC2)[C@@H](O)[C@@H]1O ZINC001255392717 1073595658 /nfs/dbraw/zinc/59/56/58/1073595658.db2.gz KJNNQMACDLAYAK-UPGMHYFXSA-N 0 0 446.522 -0.742 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCC1CCC(S(C)(=O)=O)CC1)C2 ZINC001255459301 1073596061 /nfs/dbraw/zinc/59/60/61/1073596061.db2.gz CVJRJYGOQQCIHU-UHFFFAOYSA-N 0 0 442.542 -0.738 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)CC1 ZINC001255459997 1073596200 /nfs/dbraw/zinc/59/62/00/1073596200.db2.gz LXKCLXNSDWTKKV-UHFFFAOYSA-N 0 0 426.543 -0.005 20 0 IBADRN COC(=O)CC1(NC(=O)[C@@H](C)N2C(=O)NC3(CCCC3)C2=O)CCS(=O)(=O)CC1 ZINC001255489716 1073596172 /nfs/dbraw/zinc/59/61/72/1073596172.db2.gz FJEUWSZNQYMLGV-GFCCVEGCSA-N 0 0 429.495 -0.134 20 0 IBADRN COC(=O)CC1(NC(=O)[C@H](C)N2C(=O)NC3(CCCC3)C2=O)CCS(=O)(=O)CC1 ZINC001255489720 1073596117 /nfs/dbraw/zinc/59/61/17/1073596117.db2.gz FJEUWSZNQYMLGV-LBPRGKRZSA-N 0 0 429.495 -0.134 20 0 IBADRN O=C(NCCN1CCNC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001255498285 1073596050 /nfs/dbraw/zinc/59/60/50/1073596050.db2.gz GNJKLZWHOURDOH-UHFFFAOYSA-N 0 0 436.494 -0.778 20 0 IBADRN Cn1ccn2c(CCNC(=O)N3CCN(c4ccc(F)cc4C(N)=O)CC3)nnc2c1=O ZINC001255502541 1073596216 /nfs/dbraw/zinc/59/62/16/1073596216.db2.gz AJFUXIASQDFDBL-UHFFFAOYSA-N 0 0 442.455 -0.260 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)NCCc2nnc3c(=O)n(C)ccn23)CC1 ZINC001255504377 1073596072 /nfs/dbraw/zinc/59/60/72/1073596072.db2.gz OUQCQXPKAAVCJT-UHFFFAOYSA-N 0 0 425.515 -0.526 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)NCCc2nnc3c(=O)n(C)ccn23)cc1 ZINC001255504600 1073595969 /nfs/dbraw/zinc/59/59/69/1073595969.db2.gz RLRDNCBBRMZZGL-UHFFFAOYSA-N 0 0 447.521 -0.101 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(C(=O)NCc2nnc3c(=O)[nH]ccn23)CC1 ZINC001255535851 1073596692 /nfs/dbraw/zinc/59/66/92/1073596692.db2.gz ANGOONFGPJRNAX-UHFFFAOYSA-N 0 0 429.412 -0.011 20 0 IBADRN O=C(NCc1nnc2c(=O)[nH]ccn12)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001255539008 1073596712 /nfs/dbraw/zinc/59/67/12/1073596712.db2.gz VINGZMSTQZTJQQ-UHFFFAOYSA-N 0 0 435.441 -0.227 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccccc1F)C(N)=O ZINC001255551394 1073596907 /nfs/dbraw/zinc/59/69/07/1073596907.db2.gz IFWMJATZTMKDQD-PJQXDXOGSA-N 0 0 439.465 -0.228 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccccc1F ZINC001255551594 1073596632 /nfs/dbraw/zinc/59/66/32/1073596632.db2.gz OUGIYUGBNAHJTL-GJZGRUSLSA-N 0 0 425.438 -0.617 20 0 IBADRN CS(=O)(=O)CCN1CCC(Nc2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC001255564920 1073596573 /nfs/dbraw/zinc/59/65/73/1073596573.db2.gz QOSBHCQHZPEBOE-UHFFFAOYSA-N 0 0 444.579 -0.127 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001255589256 1073596676 /nfs/dbraw/zinc/59/66/76/1073596676.db2.gz XFOCUOVKXHKGHL-UHFFFAOYSA-N 0 0 427.405 -0.312 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCN(C(=O)c3ccncc3)C1)C2 ZINC001255595806 1073597530 /nfs/dbraw/zinc/59/75/30/1073597530.db2.gz NVIBPNCQPKOILO-INIZCTEOSA-N 0 0 441.492 -0.577 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCN(C(=O)c3ccncc3)C1)C2 ZINC001255595808 1073597248 /nfs/dbraw/zinc/59/72/48/1073597248.db2.gz NVIBPNCQPKOILO-MRXNPFEDSA-N 0 0 441.492 -0.577 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001255601257 1073597519 /nfs/dbraw/zinc/59/75/19/1073597519.db2.gz IFWVLYFNFYJLOE-DLBZAZTESA-N 0 0 430.548 -0.675 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001255601268 1073597508 /nfs/dbraw/zinc/59/75/08/1073597508.db2.gz IFWVLYFNFYJLOE-IAGOWNOFSA-N 0 0 430.548 -0.675 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001255601270 1073597373 /nfs/dbraw/zinc/59/73/73/1073597373.db2.gz IFWVLYFNFYJLOE-IRXDYDNUSA-N 0 0 430.548 -0.675 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001255601273 1073597309 /nfs/dbraw/zinc/59/73/09/1073597309.db2.gz IFWVLYFNFYJLOE-SJORKVTESA-N 0 0 430.548 -0.675 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@@H]12)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001255602743 1073597421 /nfs/dbraw/zinc/59/74/21/1073597421.db2.gz PFWOTYGNJOXMEE-DRXUAVOGSA-N 0 0 446.595 -0.986 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@H]12)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001255602745 1073597401 /nfs/dbraw/zinc/59/74/01/1073597401.db2.gz PFWOTYGNJOXMEE-GAGVYUBLSA-N 0 0 446.595 -0.986 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@H]12)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001255602746 1073597468 /nfs/dbraw/zinc/59/74/68/1073597468.db2.gz PFWOTYGNJOXMEE-IETPZCKNSA-N 0 0 446.595 -0.986 20 0 IBADRN O=C(CCCC[C@H]1SC[C@H]2NC(=O)N[C@@H]12)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001255602747 1073597480 /nfs/dbraw/zinc/59/74/80/1073597480.db2.gz PFWOTYGNJOXMEE-OWVAZHOYSA-N 0 0 446.595 -0.986 20 0 IBADRN C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001255608808 1073597386 /nfs/dbraw/zinc/59/73/86/1073597386.db2.gz RTCWXGYFLFCWGQ-CJNGLKHVSA-N 0 0 443.547 -0.009 20 0 IBADRN CC[C@@H](CN1CCOCC1)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC001255616311 1073597430 /nfs/dbraw/zinc/59/74/30/1073597430.db2.gz RUGITBJHOHKKNU-AWEZNQCLSA-N 0 0 443.526 -0.495 20 0 IBADRN CC[C@H](CN1CCOCC1)NC(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1 ZINC001255616312 1073597328 /nfs/dbraw/zinc/59/73/28/1073597328.db2.gz RUGITBJHOHKKNU-CQSZACIVSA-N 0 0 443.526 -0.495 20 0 IBADRN Cn1nnnc1CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC001255634235 1073597263 /nfs/dbraw/zinc/59/72/63/1073597263.db2.gz XESNKDMSRBRNPC-UHFFFAOYSA-N 0 0 448.509 -0.633 20 0 IBADRN CO[C@]1(CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CCS(=O)(=O)C1 ZINC001255639264 1073597450 /nfs/dbraw/zinc/59/74/50/1073597450.db2.gz SWUPZYJGAFNLLT-KRWDZBQOSA-N 0 0 446.551 -0.631 20 0 IBADRN CO[C@@]1(CNC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CCS(=O)(=O)C1 ZINC001255639266 1073598019 /nfs/dbraw/zinc/59/80/19/1073598019.db2.gz SWUPZYJGAFNLLT-QGZVFWFLSA-N 0 0 446.551 -0.631 20 0 IBADRN CO[C@]1(CNC(=O)N(C)CCN(C)c2ccc(S(N)(=O)=O)cc2)CCS(=O)(=O)C1 ZINC001255649059 1073598000 /nfs/dbraw/zinc/59/80/00/1073598000.db2.gz MHJYGRARYXZWCB-KRWDZBQOSA-N 0 0 448.567 -0.385 20 0 IBADRN CO[C@@]1(CNC(=O)N(C)CCN(C)c2ccc(S(N)(=O)=O)cc2)CCS(=O)(=O)C1 ZINC001255649062 1073597907 /nfs/dbraw/zinc/59/79/07/1073597907.db2.gz MHJYGRARYXZWCB-QGZVFWFLSA-N 0 0 448.567 -0.385 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001255650787 1073598036 /nfs/dbraw/zinc/59/80/36/1073598036.db2.gz UDYMFQSVJHIFEV-HNNXBMFYSA-N 0 0 426.561 -0.896 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NC[C@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001255650790 1073597863 /nfs/dbraw/zinc/59/78/63/1073597863.db2.gz UDYMFQSVJHIFEV-OAHLLOKOSA-N 0 0 426.561 -0.896 20 0 IBADRN O=S(=O)(Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cccc(F)c1 ZINC001255654165 1073597924 /nfs/dbraw/zinc/59/79/24/1073597924.db2.gz KSCGQTSZBPGTAL-DISONHOPSA-N 0 0 429.422 -0.195 20 0 IBADRN O=S(=O)(Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1)c1cccc(F)c1 ZINC001255661218 1073597950 /nfs/dbraw/zinc/59/79/50/1073597950.db2.gz KSCGQTSZBPGTAL-ZBRFXRBCSA-N 0 0 429.422 -0.195 20 0 IBADRN O=C(c1[nH]ncc1I)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001255666101 1073597833 /nfs/dbraw/zinc/59/78/33/1073597833.db2.gz RHWAUYKQTOMSLF-UHFFFAOYSA-N 0 0 437.223 -0.721 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)CC1 ZINC001255674297 1073598008 /nfs/dbraw/zinc/59/80/08/1073598008.db2.gz HQHMNQVMAUHYLZ-UHFFFAOYSA-N 0 0 439.604 -0.239 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCCN2CCC3(C2)OCCO3)CC1 ZINC001255683660 1073597875 /nfs/dbraw/zinc/59/78/75/1073597875.db2.gz FDSKECGVTKSBMU-KRWDZBQOSA-N 0 0 427.546 -0.696 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCCN2CCC3(C2)OCCO3)CC1 ZINC001255683672 1073598083 /nfs/dbraw/zinc/59/80/83/1073598083.db2.gz FDSKECGVTKSBMU-QGZVFWFLSA-N 0 0 427.546 -0.696 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)C(=O)NCCN1CCC2(C1)OCCO2 ZINC001255684449 1073597962 /nfs/dbraw/zinc/59/79/62/1073597962.db2.gz JDWFFJDSXILDHA-UHFFFAOYSA-N 0 0 428.511 -0.197 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001255684750 1073597990 /nfs/dbraw/zinc/59/79/90/1073597990.db2.gz KPJSFFYYYWSACB-IBGZPJMESA-N 0 0 446.570 -0.150 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001255684756 1073598147 /nfs/dbraw/zinc/59/81/47/1073598147.db2.gz KPJSFFYYYWSACB-LJQANCHMSA-N 0 0 446.570 -0.150 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCN2CCC3(C2)OCCO3)CC1 ZINC001255685152 1073598027 /nfs/dbraw/zinc/59/80/27/1073598027.db2.gz NAIWWNXGGYWISL-UHFFFAOYSA-N 0 0 433.527 -0.413 20 0 IBADRN Cc1nnc(CNS(=O)(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)n1C ZINC001255690181 1073598106 /nfs/dbraw/zinc/59/81/06/1073598106.db2.gz QSYLXYYFWHICDE-UHFFFAOYSA-N 0 0 429.524 -0.069 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@@H](O)C(F)(F)F ZINC001255701118 1073598070 /nfs/dbraw/zinc/59/80/70/1073598070.db2.gz YZZFIXFZWKAOHX-NWDGAFQWSA-N 0 0 432.442 -0.192 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@@H](O)C(F)(F)F ZINC001255701119 1073598120 /nfs/dbraw/zinc/59/81/20/1073598120.db2.gz YZZFIXFZWKAOHX-VXGBXAGGSA-N 0 0 432.442 -0.192 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cccc(F)c1)C(N)=O ZINC001255715612 1073598580 /nfs/dbraw/zinc/59/85/80/1073598580.db2.gz QDLVHOJWUBDKDE-NVGVWMPQSA-N 0 0 439.465 -0.228 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cccc(F)c1 ZINC001255715654 1073598486 /nfs/dbraw/zinc/59/84/86/1073598486.db2.gz SYQLNMBEMBSNOH-HOTGVXAUSA-N 0 0 425.438 -0.617 20 0 IBADRN C[C@@H](CSc1ccc(F)cc1)[NH2+][C@@H]1[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]1O ZINC001255733241 1073598412 /nfs/dbraw/zinc/59/84/12/1073598412.db2.gz KBEULQWALPHQGQ-BUYINNJUSA-N 0 0 427.472 -0.477 20 0 IBADRN C[C@H](CSc1ccc(F)cc1)[NH2+][C@@H]1[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]1O ZINC001255733245 1073598701 /nfs/dbraw/zinc/59/87/01/1073598701.db2.gz KBEULQWALPHQGQ-KGOYKBJISA-N 0 0 427.472 -0.477 20 0 IBADRN C[C@@H](CSc1ccc(F)cc1)[NH2+][C@H]1[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]1O ZINC001255733246 1073598560 /nfs/dbraw/zinc/59/85/60/1073598560.db2.gz KBEULQWALPHQGQ-MIQUVIGZSA-N 0 0 427.472 -0.477 20 0 IBADRN C[C@H](CSc1ccc(F)cc1)[NH2+][C@H]1[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]1O ZINC001255733247 1073598537 /nfs/dbraw/zinc/59/85/37/1073598537.db2.gz KBEULQWALPHQGQ-SSPFGONASA-N 0 0 427.472 -0.477 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)c2C1 ZINC001255756844 1073598572 /nfs/dbraw/zinc/59/85/72/1073598572.db2.gz QTADDUKSEZXMKX-KRWDZBQOSA-N 0 0 432.525 -0.802 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(CC(=O)N4CCOCC4)CC3)c2C1 ZINC001255756848 1073598497 /nfs/dbraw/zinc/59/84/97/1073598497.db2.gz QTADDUKSEZXMKX-QGZVFWFLSA-N 0 0 432.525 -0.802 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3noc4c3COCC4)CC2)CC1 ZINC001255777854 1073598685 /nfs/dbraw/zinc/59/86/85/1073598685.db2.gz WVEIVPURIAYYFD-UHFFFAOYSA-N 0 0 428.515 -0.933 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccccc1)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001255805944 1073598466 /nfs/dbraw/zinc/59/84/66/1073598466.db2.gz ZUVVKHSNNSCYAR-MNGYRCLDSA-N 0 0 425.463 -0.980 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccccc1)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001255805945 1073598505 /nfs/dbraw/zinc/59/85/05/1073598505.db2.gz ZUVVKHSNNSCYAR-WRCBOWBKSA-N 0 0 425.463 -0.980 20 0 IBADRN C[C@H](CS(=O)(=O)c1ccccc1)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001255810466 1073598665 /nfs/dbraw/zinc/59/86/65/1073598665.db2.gz CDFQPOWKZMNAQB-CCKFTAQKSA-N 0 0 449.529 -0.282 20 0 IBADRN C[C@@H](CS(=O)(=O)c1ccccc1)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001255810477 1073598719 /nfs/dbraw/zinc/59/87/19/1073598719.db2.gz CDFQPOWKZMNAQB-JVPBZIDWSA-N 0 0 449.529 -0.282 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC001255836593 1073598439 /nfs/dbraw/zinc/59/84/39/1073598439.db2.gz KOAIYKBDSFBVHG-UHFFFAOYSA-N 0 0 441.384 -0.341 20 0 IBADRN C[C@H]1CN(CCS(=O)(=O)CCN2CCS(=O)(=O)C[C@@H](C)C2)CCS(=O)(=O)C1 ZINC001255852610 1073598553 /nfs/dbraw/zinc/59/85/53/1073598553.db2.gz BTCUQAQMUSRAPY-HOTGVXAUSA-N 0 0 444.641 -0.866 20 0 IBADRN C[C@@H]1CN(CCS(=O)(=O)CCN2CCS(=O)(=O)C[C@H](C)C2)CCS(=O)(=O)C1 ZINC001255852611 1073599175 /nfs/dbraw/zinc/59/91/75/1073599175.db2.gz BTCUQAQMUSRAPY-HZPDHXFCSA-N 0 0 444.641 -0.866 20 0 IBADRN C[C@@H]1CN(CCS(=O)(=O)CCN2CCS(=O)(=O)C[C@@H](C)C2)CCS(=O)(=O)C1 ZINC001255852612 1073599312 /nfs/dbraw/zinc/59/93/12/1073599312.db2.gz BTCUQAQMUSRAPY-IYBDPMFKSA-N 0 0 444.641 -0.866 20 0 IBADRN CN(CC(=O)Nc1ccccc1OCC(=O)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001255868716 1073599273 /nfs/dbraw/zinc/59/92/73/1073599273.db2.gz YQUBCTFFKQAENK-HNNXBMFYSA-N 0 0 425.507 -0.018 20 0 IBADRN CN(CC(=O)Nc1ccccc1OCC(=O)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001255868717 1073599287 /nfs/dbraw/zinc/59/92/87/1073599287.db2.gz YQUBCTFFKQAENK-OAHLLOKOSA-N 0 0 425.507 -0.018 20 0 IBADRN O=C(CS(=O)(=O)Cc1nnnn1CC1CCOCC1)N1CCN(C(=O)C2CC2)CC1 ZINC001255883068 1073599161 /nfs/dbraw/zinc/59/91/61/1073599161.db2.gz GXDLWIJAAJLDRR-UHFFFAOYSA-N 0 0 440.526 -0.905 20 0 IBADRN CCS(=O)(=O)C1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001255883404 1073599155 /nfs/dbraw/zinc/59/91/55/1073599155.db2.gz LFEQZLRRAIQRAN-HNNXBMFYSA-N 0 0 429.520 -0.135 20 0 IBADRN CCS(=O)(=O)C1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001255883405 1073599166 /nfs/dbraw/zinc/59/91/66/1073599166.db2.gz LFEQZLRRAIQRAN-OAHLLOKOSA-N 0 0 429.520 -0.135 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)C1 ZINC001255898858 1073599234 /nfs/dbraw/zinc/59/92/34/1073599234.db2.gz AIQUPEYVCROVOA-CCECPURYSA-N 0 0 425.486 -0.533 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)C1 ZINC001255898864 1073599224 /nfs/dbraw/zinc/59/92/24/1073599224.db2.gz AIQUPEYVCROVOA-OVJXPFRRSA-N 0 0 425.486 -0.533 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)C1 ZINC001255899882 1073599139 /nfs/dbraw/zinc/59/91/39/1073599139.db2.gz UFVRCQROKSXHSB-CCECPURYSA-N 0 0 426.470 -0.443 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)C1 ZINC001255899883 1073599180 /nfs/dbraw/zinc/59/91/80/1073599180.db2.gz UFVRCQROKSXHSB-OVJXPFRRSA-N 0 0 426.470 -0.443 20 0 IBADRN CCOC1CC(CNS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)(N2CCOCC2)C1 ZINC001255908618 1073599207 /nfs/dbraw/zinc/59/92/07/1073599207.db2.gz BRNJYCNIQWSAGO-UHFFFAOYSA-N 0 0 438.506 -0.235 20 0 IBADRN CCOC1CC(CNC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)(N2CCOCC2)C1 ZINC001255908741 1073599116 /nfs/dbraw/zinc/59/91/16/1073599116.db2.gz FYSMGXWXLRKNNV-LYGPFTKASA-N 0 0 446.570 -0.751 20 0 IBADRN CCOC1CC(CNC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)(N2CCOCC2)C1 ZINC001255908742 1073599189 /nfs/dbraw/zinc/59/91/89/1073599189.db2.gz FYSMGXWXLRKNNV-MDCZIUGASA-N 0 0 446.570 -0.751 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C[C@@H](C)CC(=O)N2CCO[C@@H](CNC(C)=O)C2)CCO1 ZINC001255909229 1073599131 /nfs/dbraw/zinc/59/91/31/1073599131.db2.gz NYQVPEZJNXWSAQ-GJWQZFMSSA-N 0 0 426.514 -0.870 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CC(C)CC(=O)N2CCO[C@H](CNC(C)=O)C2)CCO1 ZINC001255909428 1073599200 /nfs/dbraw/zinc/59/92/00/1073599200.db2.gz NYQVPEZJNXWSAQ-QZTJIDSGSA-N 0 0 426.514 -0.870 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CC(C)CC(=O)N2CCO[C@@H](CNC(C)=O)C2)CCO1 ZINC001255909429 1073599215 /nfs/dbraw/zinc/59/92/15/1073599215.db2.gz NYQVPEZJNXWSAQ-ROUUACIJSA-N 0 0 426.514 -0.870 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(C(F)(F)F)cc1 ZINC001255957325 1073599262 /nfs/dbraw/zinc/59/92/62/1073599262.db2.gz WJTXJJVLFMGRLT-UHFFFAOYSA-N 0 0 425.385 -0.221 20 0 IBADRN O=C(NC1C[C@H]2CC[C@@H](C1)S2(=O)=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001255965174 1073599766 /nfs/dbraw/zinc/59/97/66/1073599766.db2.gz FUZWOZGPXNSHKF-UYTSQGDYSA-N 0 0 435.568 -0.069 20 0 IBADRN O=C(NC1C[C@H]2CC[C@@H](C1)S2(=O)=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001255965175 1073599837 /nfs/dbraw/zinc/59/98/37/1073599837.db2.gz FUZWOZGPXNSHKF-WTXIUTQJSA-N 0 0 435.568 -0.069 20 0 IBADRN O=C(Cc1noc(CCNS(=O)(=O)c2ccc(F)cc2)n1)N1CCS(=O)(=O)CC1 ZINC001255970995 1073599777 /nfs/dbraw/zinc/59/97/77/1073599777.db2.gz VZRYUEWVMCEOHE-UHFFFAOYSA-N 0 0 446.482 -0.471 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(C(F)(F)F)cc1 ZINC001255973964 1073599872 /nfs/dbraw/zinc/59/98/72/1073599872.db2.gz YBEFJPSOXGAOBX-LBPRGKRZSA-N 0 0 436.412 -0.424 20 0 IBADRN COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)[C@@H]2C1 ZINC001255974746 1073599951 /nfs/dbraw/zinc/59/99/51/1073599951.db2.gz UILJLIMHMSLCMW-TWMKSMIVSA-N 0 0 443.570 -0.607 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)[C@@H]2C1 ZINC001255974749 1073599849 /nfs/dbraw/zinc/59/98/49/1073599849.db2.gz UILJLIMHMSLCMW-VQHPVUNQSA-N 0 0 443.570 -0.607 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCC4(CCOCC4)O3)CC2)CC1 ZINC001255987239 1073599741 /nfs/dbraw/zinc/59/97/41/1073599741.db2.gz DKZBFHHSBAFIHF-KRWDZBQOSA-N 0 0 445.586 -0.466 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCC4(CCOCC4)O3)CC2)CC1 ZINC001255987243 1073599923 /nfs/dbraw/zinc/59/99/23/1073599923.db2.gz DKZBFHHSBAFIHF-QGZVFWFLSA-N 0 0 445.586 -0.466 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)c2nnc(N3CCOCC3)n2C2CC2)CC1 ZINC001256025960 1073599972 /nfs/dbraw/zinc/59/99/72/1073599972.db2.gz PHZBBHVIFQYQAG-CYBMUJFWSA-N 0 0 440.526 -0.698 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)c2nnc(N3CCOCC3)n2C2CC2)CC1 ZINC001256025961 1073600010 /nfs/dbraw/zinc/60/00/10/1073600010.db2.gz PHZBBHVIFQYQAG-ZDUSSCGKSA-N 0 0 440.526 -0.698 20 0 IBADRN O=C(CS(=O)(=O)c1nncn1CCc1ccccn1)N1CCN(C(=O)C2CC2)CC1 ZINC001256026157 1073599934 /nfs/dbraw/zinc/59/99/34/1073599934.db2.gz RAZCBKLKKPWBCV-UHFFFAOYSA-N 0 0 432.506 -0.230 20 0 IBADRN CCOC(=O)N1CC[C@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C1 ZINC001256040436 1073600459 /nfs/dbraw/zinc/60/04/59/1073600459.db2.gz VAWGLQXZSNXGJD-JYJNAYRXSA-N 0 0 425.530 -0.186 20 0 IBADRN CCOC(=O)N1CC[C@@H](N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)C1 ZINC001256040437 1073600601 /nfs/dbraw/zinc/60/06/01/1073600601.db2.gz VAWGLQXZSNXGJD-PMPSAXMXSA-N 0 0 425.530 -0.186 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001256048173 1073600620 /nfs/dbraw/zinc/60/06/20/1073600620.db2.gz KBQMPPAIFREZGC-UHFFFAOYSA-N 0 0 425.511 -0.078 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CC(=O)CCC(=O)OC)c(=O)c1=O ZINC001256059305 1073600670 /nfs/dbraw/zinc/60/06/70/1073600670.db2.gz GQMMNBPKGNWIJQ-UHFFFAOYSA-N 0 0 425.463 -0.044 20 0 IBADRN CS(=O)(=O)N(Cc1cc(=O)n2c(n1)CNCCC2)C1CCN(c2ncccn2)CC1 ZINC001256060664 1073600807 /nfs/dbraw/zinc/60/08/07/1073600807.db2.gz LGICFUUKNPMJGX-UHFFFAOYSA-N 0 0 433.538 -0.043 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC001256104842 1073600760 /nfs/dbraw/zinc/60/07/60/1073600760.db2.gz SVQFNHFFVSAATH-UHFFFAOYSA-N 0 0 448.501 -0.323 20 0 IBADRN COCCN1CCN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1=O ZINC001256124405 1073600414 /nfs/dbraw/zinc/60/04/14/1073600414.db2.gz MBCIMAUVAVGYGF-AWEZNQCLSA-N 0 0 439.513 -0.293 20 0 IBADRN COCCN1CCN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1=O ZINC001256124406 1073601349 /nfs/dbraw/zinc/60/13/49/1073601349.db2.gz MBCIMAUVAVGYGF-CQSZACIVSA-N 0 0 439.513 -0.293 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(F)cc1F ZINC001256125036 1073601315 /nfs/dbraw/zinc/60/13/15/1073601315.db2.gz GPLFSCRPUKSXGT-GJZGRUSLSA-N 0 0 443.428 -0.478 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CCO1 ZINC001256125362 1073601342 /nfs/dbraw/zinc/60/13/42/1073601342.db2.gz RORAAGJJEHZNBK-GXTWGEPZSA-N 0 0 425.486 -0.637 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CCO1 ZINC001256125365 1073601195 /nfs/dbraw/zinc/60/11/95/1073601195.db2.gz RORAAGJJEHZNBK-JSGCOSHPSA-N 0 0 425.486 -0.637 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CCO1 ZINC001256125366 1073601259 /nfs/dbraw/zinc/60/12/59/1073601259.db2.gz RORAAGJJEHZNBK-OCCSQVGLSA-N 0 0 425.486 -0.637 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CCO1 ZINC001256125367 1073601334 /nfs/dbraw/zinc/60/13/34/1073601334.db2.gz RORAAGJJEHZNBK-TZMCWYRMSA-N 0 0 425.486 -0.637 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001256125628 1073601357 /nfs/dbraw/zinc/60/13/57/1073601357.db2.gz VDFWQQVUTOFKQN-GJZGRUSLSA-N 0 0 425.530 -0.085 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001256125629 1073601232 /nfs/dbraw/zinc/60/12/32/1073601232.db2.gz VDFWQQVUTOFKQN-LSDHHAIUSA-N 0 0 425.530 -0.085 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(F)cc1F ZINC001256125740 1073601268 /nfs/dbraw/zinc/60/12/68/1073601268.db2.gz RNERNZKOZNREMG-GJZGRUSLSA-N 0 0 427.429 -0.183 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2cn(Cc3noc(C)n3)nn2)CC1 ZINC001256156051 1073601215 /nfs/dbraw/zinc/60/12/15/1073601215.db2.gz LNXTYQBHJAREOJ-UHFFFAOYSA-N 0 0 446.493 -0.112 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c(C(F)(F)F)c3)CC2)CC1 ZINC001256167339 1073601381 /nfs/dbraw/zinc/60/13/81/1073601381.db2.gz IEDJYSPXHOXCOQ-UHFFFAOYSA-N 0 0 445.489 -0.168 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001256175065 1073601407 /nfs/dbraw/zinc/60/14/07/1073601407.db2.gz FFORLHZUSWVAEF-CYBMUJFWSA-N 0 0 429.520 -0.544 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001256175068 1073601419 /nfs/dbraw/zinc/60/14/19/1073601419.db2.gz FFORLHZUSWVAEF-ZDUSSCGKSA-N 0 0 429.520 -0.544 20 0 IBADRN O=C(Cn1c(=O)oc2cc(S(=O)(=O)CCO)ccc21)N1CCN(C(=O)C2CC2)CC1 ZINC001256175720 1073601295 /nfs/dbraw/zinc/60/12/95/1073601295.db2.gz OUBMIXXIPHFGID-UHFFFAOYSA-N 0 0 437.474 -0.559 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC001256175798 1073601183 /nfs/dbraw/zinc/60/11/83/1073601183.db2.gz QDMJXVJGSNDCIY-HPGBDJQBSA-N 0 0 439.563 -0.468 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC001256175800 1073601305 /nfs/dbraw/zinc/60/13/05/1073601305.db2.gz QDMJXVJGSNDCIY-JYRCXFKTSA-N 0 0 439.563 -0.468 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC001256175801 1073601156 /nfs/dbraw/zinc/60/11/56/1073601156.db2.gz QDMJXVJGSNDCIY-UULLZXFKSA-N 0 0 439.563 -0.468 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC001256175803 1073601373 /nfs/dbraw/zinc/60/13/73/1073601373.db2.gz QDMJXVJGSNDCIY-YMGMXPECSA-N 0 0 439.563 -0.468 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)c1=O ZINC001256185526 1073601948 /nfs/dbraw/zinc/60/19/48/1073601948.db2.gz VRXAWUSMWXEKPA-UHFFFAOYSA-N 0 0 435.506 -0.451 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1CCN(C(C)(C)C(N)=O)CC1)CCS2(=O)=O ZINC001256203602 1073601982 /nfs/dbraw/zinc/60/19/82/1073601982.db2.gz QXKJPZOMGIJCDW-BIWSTMPVSA-N 0 0 444.554 -0.524 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1CCN(C(C)(C)C(N)=O)CC1)CCS2(=O)=O ZINC001256203603 1073601962 /nfs/dbraw/zinc/60/19/62/1073601962.db2.gz QXKJPZOMGIJCDW-SHYRYGCCSA-N 0 0 444.554 -0.524 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N(CCO)CCN1CCOCC1)CCS2(=O)=O ZINC001256204558 1073601976 /nfs/dbraw/zinc/60/19/76/1073601976.db2.gz XRDAUONBBVYRTN-KOHRHEQBSA-N 0 0 447.554 -0.779 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N(CCO)CCN1CCOCC1)CCS2(=O)=O ZINC001256204564 1073601994 /nfs/dbraw/zinc/60/19/94/1073601994.db2.gz XRDAUONBBVYRTN-WUJZJPHMSA-N 0 0 447.554 -0.779 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001256204696 1073601957 /nfs/dbraw/zinc/60/19/57/1073601957.db2.gz ZLKNIPCICJYDEN-HVEKOLDVSA-N 0 0 444.554 -0.651 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001256204701 1073602013 /nfs/dbraw/zinc/60/20/13/1073602013.db2.gz ZLKNIPCICJYDEN-XAUUPUKJSA-N 0 0 444.554 -0.651 20 0 IBADRN Cc1cc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c(C)cc1Cl ZINC001256224363 1073602014 /nfs/dbraw/zinc/60/20/14/1073602014.db2.gz PZXAYHVFTUPZGP-RAEVTNRLSA-N 0 0 445.881 -0.183 20 0 IBADRN O=C(CN1CCN(C(=O)NCCCn2ccc(=O)[nH]c2=O)CC1)NCc1ccccc1 ZINC001256225566 1073602008 /nfs/dbraw/zinc/60/20/08/1073602008.db2.gz FOQYBEXNXJUQCE-UHFFFAOYSA-N 0 0 428.493 -0.017 20 0 IBADRN Cc1cc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)c(C)cc1Cl ZINC001256230528 1073601968 /nfs/dbraw/zinc/60/19/68/1073601968.db2.gz QFDBCBFOJGQQAQ-ZDUSSCGKSA-N 0 0 430.914 -0.172 20 0 IBADRN O=C(c1ccn(CC(F)F)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001256233595 1073602025 /nfs/dbraw/zinc/60/20/25/1073602025.db2.gz WFWWDOZIPULQFH-LLVKDONJSA-N 0 0 426.467 -0.577 20 0 IBADRN O=C(c1ccn(CC(F)F)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001256233597 1073602755 /nfs/dbraw/zinc/60/27/55/1073602755.db2.gz WFWWDOZIPULQFH-NSHDSACASA-N 0 0 426.467 -0.577 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1F ZINC001256238602 1073602775 /nfs/dbraw/zinc/60/27/75/1073602775.db2.gz PAAWQDQPSRWPNF-UHFFFAOYSA-N 0 0 428.486 -0.051 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN([C@@H]3C[C@H](C(=O)OC)N(C(=O)OC(C)(C)C)C3)C[C@H]21 ZINC001256272177 1073602708 /nfs/dbraw/zinc/60/27/08/1073602708.db2.gz YPWLNESNTYMIOU-FPCVCCKLSA-N 0 0 426.514 -0.332 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN([C@H]3C[C@H](C(=O)OC)N(C(=O)OC(C)(C)C)C3)C[C@H]21 ZINC001256272178 1073602746 /nfs/dbraw/zinc/60/27/46/1073602746.db2.gz YPWLNESNTYMIOU-JJXSEGSLSA-N 0 0 426.514 -0.332 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cn(CC(=O)N(C)C)nn3)CC2)cs1 ZINC001256278612 1073602544 /nfs/dbraw/zinc/60/25/44/1073602544.db2.gz IBGIIIHWBLGDFS-UHFFFAOYSA-N 0 0 427.512 -0.722 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CC1 ZINC001256284483 1073602651 /nfs/dbraw/zinc/60/26/51/1073602651.db2.gz DZHASJVGJDXPKY-DTZQCDIJSA-N 0 0 425.486 -0.533 20 0 IBADRN O=C(NCc1cccc(NS(=O)(=O)C2CC2)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001256291189 1073602769 /nfs/dbraw/zinc/60/27/69/1073602769.db2.gz PQYYEKCPYLGIPB-AWEZNQCLSA-N 0 0 429.524 -0.366 20 0 IBADRN O=C(NCc1cccc(NS(=O)(=O)C2CC2)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001256291195 1073602583 /nfs/dbraw/zinc/60/25/83/1073602583.db2.gz PQYYEKCPYLGIPB-CQSZACIVSA-N 0 0 429.524 -0.366 20 0 IBADRN CN1CC[C@@H](NC(=O)c2cc(Br)c(C(=O)N[C@@H]3CCN(C)C3=O)o2)C1=O ZINC001256293883 1073602759 /nfs/dbraw/zinc/60/27/59/1073602759.db2.gz VHVOEPLZHDYSSL-NXEZZACHSA-N 0 0 427.255 -0.037 20 0 IBADRN CN1CC[C@H](NC(=O)c2cc(Br)c(C(=O)N[C@H]3CCN(C)C3=O)o2)C1=O ZINC001256293884 1073602701 /nfs/dbraw/zinc/60/27/01/1073602701.db2.gz VHVOEPLZHDYSSL-UWVGGRQHSA-N 0 0 427.255 -0.037 20 0 IBADRN CN1CC[C@@H](NC(=O)c2oc(C(=O)N[C@H]3CCN(C)C3=O)cc2Br)C1=O ZINC001256293885 1073602738 /nfs/dbraw/zinc/60/27/38/1073602738.db2.gz VHVOEPLZHDYSSL-VHSXEESVSA-N 0 0 427.255 -0.037 20 0 IBADRN CN1CC[C@@H](NC(=O)c2cc(Br)c(C(=O)N[C@H]3CCN(C)C3=O)o2)C1=O ZINC001256293886 1073602600 /nfs/dbraw/zinc/60/26/00/1073602600.db2.gz VHVOEPLZHDYSSL-ZJUUUORDSA-N 0 0 427.255 -0.037 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001256305174 1073603213 /nfs/dbraw/zinc/60/32/13/1073603213.db2.gz NYPWYGMTJFBMTB-UHFFFAOYSA-N 0 0 441.554 -0.644 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001256309808 1073603146 /nfs/dbraw/zinc/60/31/46/1073603146.db2.gz BDBPZOOUZBKBII-UHFFFAOYSA-N 0 0 428.511 -0.261 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCOC4)CC2)cs1 ZINC001256315674 1073603194 /nfs/dbraw/zinc/60/31/94/1073603194.db2.gz LIYOFYRLNMELIV-UHFFFAOYSA-N 0 0 427.512 -0.211 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)NCCCc2nnnn2C)CC1 ZINC001256319585 1073603127 /nfs/dbraw/zinc/60/31/27/1073603127.db2.gz VJTWBADOKKHRFW-UHFFFAOYSA-N 0 0 428.563 -0.021 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001256325290 1073603294 /nfs/dbraw/zinc/60/32/94/1073603294.db2.gz VHSPRGHKEHZIRS-IBGZPJMESA-N 0 0 437.497 -0.802 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001256325291 1073603317 /nfs/dbraw/zinc/60/33/17/1073603317.db2.gz VHSPRGHKEHZIRS-LJQANCHMSA-N 0 0 437.497 -0.802 20 0 IBADRN C[C@@H](CC(=O)N1CCOCC1)N(Cc1cc(=O)n2c(n1)CNCCC2)S(C)(=O)=O ZINC001256341015 1073603221 /nfs/dbraw/zinc/60/32/21/1073603221.db2.gz VLQPPLGVLQIHBT-AWEZNQCLSA-N 0 0 427.527 -0.864 20 0 IBADRN C[C@H](CC(=O)N1CCOCC1)N(Cc1cc(=O)n2c(n1)CNCCC2)S(C)(=O)=O ZINC001256341016 1073603052 /nfs/dbraw/zinc/60/30/52/1073603052.db2.gz VLQPPLGVLQIHBT-CQSZACIVSA-N 0 0 427.527 -0.864 20 0 IBADRN Cn1cncc1CCC(=O)NCCC(=O)N1CCN(C(=O)CCc2cncn2C)CC1 ZINC001256347288 1073603849 /nfs/dbraw/zinc/60/38/49/1073603849.db2.gz QZQUPHHRAXJWBO-UHFFFAOYSA-N 0 0 429.525 -0.104 20 0 IBADRN O=C(NCc1cnccn1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001256365375 1073603887 /nfs/dbraw/zinc/60/38/87/1073603887.db2.gz GNPHOVVJQVFGIF-UHFFFAOYSA-N 0 0 447.521 -0.076 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@H]1C[C@H](NC(=O)OC(C)(C)C)C1)C2 ZINC001256378983 1073603829 /nfs/dbraw/zinc/60/38/29/1073603829.db2.gz UQYIECNVYHYEBW-JOCQHMNTSA-N 0 0 437.501 -0.286 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccccc1Cl ZINC001256379350 1073603840 /nfs/dbraw/zinc/60/38/40/1073603840.db2.gz BNLFXAOMNLZBQY-GJZGRUSLSA-N 0 0 441.893 -0.102 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1C ZINC001256381879 1073604036 /nfs/dbraw/zinc/60/40/36/1073604036.db2.gz KDJAIRHDOHYOGG-UHFFFAOYSA-N 0 0 446.551 -0.338 20 0 IBADRN O=S1(=O)CCC(N2C[C@@H]3c4nc(N5CCOCC5)ncc4CS(=O)(=O)[C@@H]3C2)CC1 ZINC001256384745 1073604070 /nfs/dbraw/zinc/60/40/70/1073604070.db2.gz QHNVERRWDZABSY-JKSUJKDBSA-N 0 0 442.563 -0.413 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(C2CCS(=O)(=O)CC2)CC3)C1=O ZINC001256384971 1073603953 /nfs/dbraw/zinc/60/39/53/1073603953.db2.gz SNTBLTYLLROENG-GOSISDBHSA-N 0 0 433.596 -0.231 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(C2CCS(=O)(=O)CC2)CC3)C1=O ZINC001256384972 1073603927 /nfs/dbraw/zinc/60/39/27/1073603927.db2.gz SNTBLTYLLROENG-SFHVURJKSA-N 0 0 433.596 -0.231 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)[C@@H](N)Cc1cn(C2CCS(=O)(=O)CC2)cn1 ZINC001256385011 1073603859 /nfs/dbraw/zinc/60/38/59/1073603859.db2.gz MICXKRHSCQSAFN-ROUUACIJSA-N 0 0 449.533 -0.579 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)OCC(=O)NC2CCN(C(C)=O)CC2)c1 ZINC001256388190 1073604678 /nfs/dbraw/zinc/60/46/78/1073604678.db2.gz PKLMZGUZYUOKHL-UHFFFAOYSA-N 0 0 439.490 -0.162 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)CSCC(=O)NCC2(S(C)(=O)=O)CCC2)CCC1 ZINC001256389137 1073604783 /nfs/dbraw/zinc/60/47/83/1073604783.db2.gz ZLAHKJNJLRYSQX-UHFFFAOYSA-N 0 0 440.609 -0.113 20 0 IBADRN O=c1cc(CN(C2CCS(=O)(=O)CC2)S(=O)(=O)C2CC2)nc2n1CCCNC2 ZINC001256389294 1073604751 /nfs/dbraw/zinc/60/47/51/1073604751.db2.gz KMLJXVHVUXLBOG-UHFFFAOYSA-N 0 0 430.552 -0.392 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(C1CCS(=O)(=O)CC1)CCC2 ZINC001256390753 1073604710 /nfs/dbraw/zinc/60/47/10/1073604710.db2.gz VNFXZKTUIHWLNY-UHFFFAOYSA-N 0 0 434.522 -0.310 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001256400761 1073604638 /nfs/dbraw/zinc/60/46/38/1073604638.db2.gz BOXIWUDMOOYLAY-ABAIWWIYSA-N 0 0 440.396 -0.535 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001256400777 1073604734 /nfs/dbraw/zinc/60/47/34/1073604734.db2.gz BOXIWUDMOOYLAY-IAQYHMDHSA-N 0 0 440.396 -0.535 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001256400778 1073604509 /nfs/dbraw/zinc/60/45/09/1073604509.db2.gz BOXIWUDMOOYLAY-NHYWBVRUSA-N 0 0 440.396 -0.535 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001256400779 1073604743 /nfs/dbraw/zinc/60/47/43/1073604743.db2.gz BOXIWUDMOOYLAY-XHDPSFHLSA-N 0 0 440.396 -0.535 20 0 IBADRN C[C@@]1(NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCOC1=O ZINC001256426497 1073604462 /nfs/dbraw/zinc/60/44/62/1073604462.db2.gz BFEPDQSQLQCGGD-GOSISDBHSA-N 0 0 438.462 -0.261 20 0 IBADRN C[C@]1(NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCOC1=O ZINC001256426504 1073604727 /nfs/dbraw/zinc/60/47/27/1073604727.db2.gz BFEPDQSQLQCGGD-SFHVURJKSA-N 0 0 438.462 -0.261 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CC[C@@H](NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001256440267 1073604580 /nfs/dbraw/zinc/60/45/80/1073604580.db2.gz DIEPWMPHTIPCLM-PBHICJAKSA-N 0 0 431.536 -0.409 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CC[C@@H](NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001256440268 1073604482 /nfs/dbraw/zinc/60/44/82/1073604482.db2.gz DIEPWMPHTIPCLM-RHSMWYFYSA-N 0 0 431.536 -0.409 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CC[C@H](NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001256440269 1073604776 /nfs/dbraw/zinc/60/47/76/1073604776.db2.gz DIEPWMPHTIPCLM-WMLDXEAASA-N 0 0 431.536 -0.409 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CC[C@H](NS(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001256440270 1073604542 /nfs/dbraw/zinc/60/45/42/1073604542.db2.gz DIEPWMPHTIPCLM-YOEHRIQHSA-N 0 0 431.536 -0.409 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(CO)COC3)CC2)cc1 ZINC001256453159 1073604553 /nfs/dbraw/zinc/60/45/53/1073604553.db2.gz RYOHLZLEZLCTQK-UHFFFAOYSA-N 0 0 428.463 -0.920 20 0 IBADRN C[C@@H]1C[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CCN1C(=O)OC(C)(C)C ZINC001256459086 1073605356 /nfs/dbraw/zinc/60/53/56/1073605356.db2.gz OALGFRWKPPFCDH-CHBVHBROSA-N 0 0 439.513 -0.145 20 0 IBADRN C[C@@H]1C[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CCN1C(=O)OC(C)(C)C ZINC001256459087 1073605188 /nfs/dbraw/zinc/60/51/88/1073605188.db2.gz OALGFRWKPPFCDH-GTIXAXMVSA-N 0 0 439.513 -0.145 20 0 IBADRN C[C@H]1C[C@@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CCN1C(=O)OC(C)(C)C ZINC001256459088 1073605211 /nfs/dbraw/zinc/60/52/11/1073605211.db2.gz OALGFRWKPPFCDH-NZYBOLKRSA-N 0 0 439.513 -0.145 20 0 IBADRN C[C@H]1C[C@H](Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)CCN1C(=O)OC(C)(C)C ZINC001256459089 1073605291 /nfs/dbraw/zinc/60/52/91/1073605291.db2.gz OALGFRWKPPFCDH-YCEVJDTHSA-N 0 0 439.513 -0.145 20 0 IBADRN C[S@@](=O)c1ccc(CC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001256459752 1073605420 /nfs/dbraw/zinc/60/54/20/1073605420.db2.gz HBIZTHWWFUZACZ-DEXQXJORSA-N 0 0 442.559 -0.159 20 0 IBADRN C[S@](=O)c1ccc(CC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001256459753 1073605560 /nfs/dbraw/zinc/60/55/60/1073605560.db2.gz HBIZTHWWFUZACZ-HJWYETAXSA-N 0 0 442.559 -0.159 20 0 IBADRN C[S@](=O)c1ccc(CC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001256459754 1073605478 /nfs/dbraw/zinc/60/54/78/1073605478.db2.gz HBIZTHWWFUZACZ-OLRZCDJHSA-N 0 0 442.559 -0.159 20 0 IBADRN C[S@@](=O)c1ccc(CC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001256459755 1073605224 /nfs/dbraw/zinc/60/52/24/1073605224.db2.gz HBIZTHWWFUZACZ-WVDZOPJMSA-N 0 0 442.559 -0.159 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)cn1 ZINC001256496469 1073606027 /nfs/dbraw/zinc/60/60/27/1073606027.db2.gz NILBEXABRHPQCC-UHFFFAOYSA-N 0 0 439.538 -0.580 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)Cn2cc(S(N)(=O)=O)cn2)cc1 ZINC001256496694 1073606204 /nfs/dbraw/zinc/60/62/04/1073606204.db2.gz QEQKWKOXOMRRNH-UHFFFAOYSA-N 0 0 449.537 -0.621 20 0 IBADRN COCCN(C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC001256497731 1073606234 /nfs/dbraw/zinc/60/62/34/1073606234.db2.gz ZJMUWSGCTNPKHL-HNNXBMFYSA-N 0 0 434.536 -0.425 20 0 IBADRN COCCN(C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC001256497735 1073606260 /nfs/dbraw/zinc/60/62/60/1073606260.db2.gz ZJMUWSGCTNPKHL-OAHLLOKOSA-N 0 0 434.536 -0.425 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@H](CO)[C@@H](O)C3)cc2)CC1 ZINC001256531507 1073606192 /nfs/dbraw/zinc/60/61/92/1073606192.db2.gz CYBFJVRFQUDQJR-AEFFLSMTSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@@H](CO)[C@H](O)C3)cc2)CC1 ZINC001256531510 1073606176 /nfs/dbraw/zinc/60/61/76/1073606176.db2.gz CYBFJVRFQUDQJR-FUHWJXTLSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@H](CO)[C@H](O)C3)cc2)CC1 ZINC001256531511 1073606185 /nfs/dbraw/zinc/60/61/85/1073606185.db2.gz CYBFJVRFQUDQJR-SJLPKXTDSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@@H](CO)[C@@H](O)C3)cc2)CC1 ZINC001256531512 1073606067 /nfs/dbraw/zinc/60/60/67/1073606067.db2.gz CYBFJVRFQUDQJR-WMZOPIPTSA-N 0 0 425.507 -0.645 20 0 IBADRN C[C@@H]1C[C@@H](N[C@@H]2[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]2O)CC[N@@H+]1Cc1ccccc1 ZINC001256537996 1073605977 /nfs/dbraw/zinc/60/59/77/1073605977.db2.gz ROSQIHSPNKSVME-BBCLEEHXSA-N 0 0 446.522 -0.744 20 0 IBADRN C[C@@H]1C[C@H](N[C@H]2[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]2O)CC[N@@H+]1Cc1ccccc1 ZINC001256537998 1073606086 /nfs/dbraw/zinc/60/60/86/1073606086.db2.gz ROSQIHSPNKSVME-SSBZYEIASA-N 0 0 446.522 -0.744 20 0 IBADRN C[C@@H]1C[C@@H](N[C@H]2[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]2O)CC[N@@H+]1Cc1ccccc1 ZINC001256538000 1073606215 /nfs/dbraw/zinc/60/62/15/1073606215.db2.gz ROSQIHSPNKSVME-XPDRQHSKSA-N 0 0 446.522 -0.744 20 0 IBADRN C[C@@H]1C[C@H](N[C@@H]2[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]2O)CC[N@@H+]1Cc1ccccc1 ZINC001256538001 1073606729 /nfs/dbraw/zinc/60/67/29/1073606729.db2.gz ROSQIHSPNKSVME-ZNXONEHFSA-N 0 0 446.522 -0.744 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)c(=O)[nH]c1=O ZINC001256556528 1073606812 /nfs/dbraw/zinc/60/68/12/1073606812.db2.gz DFFOQZCQWDINHG-UHFFFAOYSA-N 0 0 443.432 -0.020 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCc2cn(C(C)C)c(=O)[nH]c2=O)CC1 ZINC001256556847 1073606937 /nfs/dbraw/zinc/60/69/37/1073606937.db2.gz GOOODSUZEPRHQT-UHFFFAOYSA-N 0 0 430.531 -0.056 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)NCc2cn(C)c(=O)[nH]c2=O)CC1 ZINC001256557942 1073606866 /nfs/dbraw/zinc/60/68/66/1073606866.db2.gz QYZPKMBGCCLTCO-UHFFFAOYSA-N 0 0 430.465 -0.040 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(Cl)s1 ZINC001256562684 1073606830 /nfs/dbraw/zinc/60/68/30/1073606830.db2.gz HKRIDMRICKFKPF-RYUDHWBXSA-N 0 0 447.922 -0.041 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H](CO)C(=O)OCc1ccccc1 ZINC001256580089 1073606686 /nfs/dbraw/zinc/60/66/86/1073606686.db2.gz DEYOSMFUFRIAFX-AWEZNQCLSA-N 0 0 428.488 -0.023 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H](CO)C(=O)OCc1ccccc1 ZINC001256580091 1073606881 /nfs/dbraw/zinc/60/68/81/1073606881.db2.gz DEYOSMFUFRIAFX-CQSZACIVSA-N 0 0 428.488 -0.023 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](CS(N)(=O)=O)C2)cc1 ZINC001256580512 1073606893 /nfs/dbraw/zinc/60/68/93/1073606893.db2.gz HAYKARHMHSOAQH-CYBMUJFWSA-N 0 0 431.536 -0.311 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](CS(N)(=O)=O)C2)cc1 ZINC001256580513 1073606669 /nfs/dbraw/zinc/60/66/69/1073606669.db2.gz HAYKARHMHSOAQH-ZDUSSCGKSA-N 0 0 431.536 -0.311 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOC[C@@]23CCOC3)c[nH]1)N1CCOC[C@]12CCOC2 ZINC001256581536 1073606930 /nfs/dbraw/zinc/60/69/30/1073606930.db2.gz PTZAZWKTRIYDTI-MOPGFXCFSA-N 0 0 441.506 -0.174 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOC[C@@]23CCOC3)c[nH]1)N1CCOC[C@@]12CCOC2 ZINC001256581540 1073606703 /nfs/dbraw/zinc/60/67/03/1073606703.db2.gz PTZAZWKTRIYDTI-OALUTQOASA-N 0 0 441.506 -0.174 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOC[C@]23CCOC3)c[nH]1)N1CCOC[C@@]12CCOC2 ZINC001256581543 1073606647 /nfs/dbraw/zinc/60/66/47/1073606647.db2.gz PTZAZWKTRIYDTI-RBUKOAKNSA-N 0 0 441.506 -0.174 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOC[C@]23CCOC3)c[nH]1)N1CCOC[C@]12CCOC2 ZINC001256581546 1073606955 /nfs/dbraw/zinc/60/69/55/1073606955.db2.gz PTZAZWKTRIYDTI-RTBURBONSA-N 0 0 441.506 -0.174 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc3c(c2)N(C(C)=O)CC3)CCS(=O)(=O)CC1 ZINC001256587752 1073606854 /nfs/dbraw/zinc/60/68/54/1073606854.db2.gz HBGMMFJXDCRKAY-UHFFFAOYSA-N 0 0 430.504 -0.006 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc3c(c2)CCN3C(C)=O)CCS(=O)(=O)CC1 ZINC001256588160 1073606897 /nfs/dbraw/zinc/60/68/97/1073606897.db2.gz NBYCMQXYJRCWJW-UHFFFAOYSA-N 0 0 430.504 -0.006 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCc2cccc(CS(N)(=O)=O)c2)CC1 ZINC001256588460 1073606944 /nfs/dbraw/zinc/60/69/44/1073606944.db2.gz OYEQVJVIIJXBCS-UHFFFAOYSA-N 0 0 432.568 -0.217 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC001256589159 1073606716 /nfs/dbraw/zinc/60/67/16/1073606716.db2.gz YWTDIGFDKZVQHW-UHFFFAOYSA-N 0 0 432.515 -0.039 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)cc1 ZINC001256598675 1073606767 /nfs/dbraw/zinc/60/67/67/1073606767.db2.gz FZGMAJMJCDZPCG-UHFFFAOYSA-N 0 0 433.504 -0.043 20 0 IBADRN COC(=O)C1(NC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CCS(=O)(=O)CC1 ZINC001256599732 1073606919 /nfs/dbraw/zinc/60/69/19/1073606919.db2.gz RODYWDJBAATLHZ-UHFFFAOYSA-N 0 0 443.503 -0.696 20 0 IBADRN COC(=O)C1(NCC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CCS(=O)(=O)CC1 ZINC001256600411 1073607234 /nfs/dbraw/zinc/60/72/34/1073607234.db2.gz YVMDQWYYXRGSGQ-UHFFFAOYSA-N 0 0 447.535 -0.415 20 0 IBADRN COC(=O)C1(NCC(=O)NCC(=O)N[C@H](C)c2ccc(F)cc2)CCS(=O)(=O)CC1 ZINC001256600441 1073607253 /nfs/dbraw/zinc/60/72/53/1073607253.db2.gz ZHEDHXAFTXPOPX-CYBMUJFWSA-N 0 0 443.497 -0.171 20 0 IBADRN COC(=O)C1(NCC(=O)NCC(=O)N[C@@H](C)c2ccc(F)cc2)CCS(=O)(=O)CC1 ZINC001256600442 1073607470 /nfs/dbraw/zinc/60/74/70/1073607470.db2.gz ZHEDHXAFTXPOPX-ZDUSSCGKSA-N 0 0 443.497 -0.171 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC001256615254 1073607373 /nfs/dbraw/zinc/60/73/73/1073607373.db2.gz ZHBCKAZIWASJRF-CABCVRRESA-N 0 0 447.579 -0.561 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC001256615255 1073607315 /nfs/dbraw/zinc/60/73/15/1073607315.db2.gz ZHBCKAZIWASJRF-GJZGRUSLSA-N 0 0 447.579 -0.561 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC001256615256 1073607267 /nfs/dbraw/zinc/60/72/67/1073607267.db2.gz ZHBCKAZIWASJRF-HUUCEWRRSA-N 0 0 447.579 -0.561 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC001256615257 1073607362 /nfs/dbraw/zinc/60/73/62/1073607362.db2.gz ZHBCKAZIWASJRF-LSDHHAIUSA-N 0 0 447.579 -0.561 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)Cc2nnc(N(C)CCNS(C)(=O)=O)n2C)cc1 ZINC001256620738 1073607427 /nfs/dbraw/zinc/60/74/27/1073607427.db2.gz WVFOEFBOHNBBBB-UHFFFAOYSA-N 0 0 430.556 -0.070 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C)CC1 ZINC001256628054 1073607410 /nfs/dbraw/zinc/60/74/10/1073607410.db2.gz ZKWVWRQNJYNKSI-CABCVRRESA-N 0 0 428.559 -0.620 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C)CC1 ZINC001256628055 1073607479 /nfs/dbraw/zinc/60/74/79/1073607479.db2.gz ZKWVWRQNJYNKSI-GJZGRUSLSA-N 0 0 428.559 -0.620 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C)CC1 ZINC001256628056 1073607386 /nfs/dbraw/zinc/60/73/86/1073607386.db2.gz ZKWVWRQNJYNKSI-HUUCEWRRSA-N 0 0 428.559 -0.620 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C)CC1 ZINC001256628057 1073607416 /nfs/dbraw/zinc/60/74/16/1073607416.db2.gz ZKWVWRQNJYNKSI-LSDHHAIUSA-N 0 0 428.559 -0.620 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)c2ccnc(S(N)(=O)=O)c2)CC1 ZINC001256662744 1073607287 /nfs/dbraw/zinc/60/72/87/1073607287.db2.gz ZDNSXDXKAZPAIU-UHFFFAOYSA-N 0 0 426.467 -0.140 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001256680548 1073607450 /nfs/dbraw/zinc/60/74/50/1073607450.db2.gz IHPLQNNXWAXOCX-UHFFFAOYSA-N 0 0 425.385 -0.221 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001256694477 1073608304 /nfs/dbraw/zinc/60/83/04/1073608304.db2.gz YBCJOCIDOJOJDZ-NSHDSACASA-N 0 0 436.412 -0.424 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2COCC[C@@]2(C(=O)OC)C1 ZINC001256706498 1073608239 /nfs/dbraw/zinc/60/82/39/1073608239.db2.gz YAVMJSRQVGXTNJ-GDZNZVCISA-N 0 0 431.515 -0.449 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2COCC[C@@]2(C(=O)OC)C1 ZINC001256706499 1073608089 /nfs/dbraw/zinc/60/80/89/1073608089.db2.gz YAVMJSRQVGXTNJ-IIYDPXPESA-N 0 0 431.515 -0.449 20 0 IBADRN Cn1nc(-c2nnc(N3CCO[C@H](C(N)=O)C3)n2C[C@@H]2CCOC2)c2ccccc2c1=O ZINC001256730598 1073608234 /nfs/dbraw/zinc/60/82/34/1073608234.db2.gz RLIFOSPFJNYQCL-BBRMVZONSA-N 0 0 439.476 -0.081 20 0 IBADRN Cn1nc(-c2nnc(N3CCO[C@H](C(N)=O)C3)n2C[C@H]2CCOC2)c2ccccc2c1=O ZINC001256730601 1073608324 /nfs/dbraw/zinc/60/83/24/1073608324.db2.gz RLIFOSPFJNYQCL-CJNGLKHVSA-N 0 0 439.476 -0.081 20 0 IBADRN Cn1nc(-c2nnc(N3CCO[C@@H](C(N)=O)C3)n2C[C@H]2CCOC2)c2ccccc2c1=O ZINC001256730603 1073608168 /nfs/dbraw/zinc/60/81/68/1073608168.db2.gz RLIFOSPFJNYQCL-CZUORRHYSA-N 0 0 439.476 -0.081 20 0 IBADRN Cn1nc(-c2nnc(N3CCO[C@@H](C(N)=O)C3)n2C[C@@H]2CCOC2)c2ccccc2c1=O ZINC001256730606 1073608289 /nfs/dbraw/zinc/60/82/89/1073608289.db2.gz RLIFOSPFJNYQCL-XJKSGUPXSA-N 0 0 439.476 -0.081 20 0 IBADRN CCn1nc(C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)ccc1=O ZINC001256731162 1073608192 /nfs/dbraw/zinc/60/81/92/1073608192.db2.gz VVBCKBOAISFWSA-HNNXBMFYSA-N 0 0 427.509 -0.160 20 0 IBADRN CCn1nc(C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)ccc1=O ZINC001256731169 1073608212 /nfs/dbraw/zinc/60/82/12/1073608212.db2.gz VVBCKBOAISFWSA-OAHLLOKOSA-N 0 0 427.509 -0.160 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)CC1 ZINC001256741548 1073608261 /nfs/dbraw/zinc/60/82/61/1073608261.db2.gz VEOSMJKEXQZGLY-UHFFFAOYSA-N 0 0 429.524 -0.811 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001256791868 1073608904 /nfs/dbraw/zinc/60/89/04/1073608904.db2.gz KZIGILUCXKVUEG-UHFFFAOYSA-N 0 0 425.507 -0.521 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cccc(Cl)c1 ZINC001256855005 1073608878 /nfs/dbraw/zinc/60/88/78/1073608878.db2.gz AVTWEFKVGCAMCL-HOTGVXAUSA-N 0 0 441.893 -0.102 20 0 IBADRN Cc1cccc(S(=O)(=O)Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)c1 ZINC001256954379 1073608924 /nfs/dbraw/zinc/60/89/24/1073608924.db2.gz RVJZETCJFWZQCL-ICBNADEASA-N 0 0 425.459 -0.025 20 0 IBADRN Cc1cccc(S(=O)(=O)Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)c1 ZINC001256958740 1073609043 /nfs/dbraw/zinc/60/90/43/1073609043.db2.gz RVJZETCJFWZQCL-GFEQUFNTSA-N 0 0 425.459 -0.025 20 0 IBADRN Cc1cccc(S(=O)(=O)N[C@H]2[C@@H](O)[C@H](n3cnc4c3ncnc4N(C)C)O[C@@H]2CO)c1 ZINC001256979166 1073609004 /nfs/dbraw/zinc/60/90/04/1073609004.db2.gz CVQFCCZVOVMQQV-NPNMTCQASA-N 0 0 448.505 -0.202 20 0 IBADRN Cc1cccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)[C@@H](C)O)c1 ZINC001257000513 1073608976 /nfs/dbraw/zinc/60/89/76/1073608976.db2.gz RCNNZQHQBFAEOH-BVGQSLNGSA-N 0 0 435.502 -0.059 20 0 IBADRN CCOC(=O)c1ccc(C[C@@H](C)Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1 ZINC001257152068 1073609540 /nfs/dbraw/zinc/60/95/40/1073609540.db2.gz RSBOTRBUQVVYGG-AQCJLOBTSA-N 0 0 432.477 -0.125 20 0 IBADRN CCOC(=O)c1ccc(C[C@H](C)Nc2ccn([C@@H]3O[C@H](CO)[C@@H](O)[C@H]3N)c(=O)n2)cc1 ZINC001257152069 1073609652 /nfs/dbraw/zinc/60/96/52/1073609652.db2.gz RSBOTRBUQVVYGG-FMAJMWNWSA-N 0 0 432.477 -0.125 20 0 IBADRN COc1ccc(S(=O)(=O)NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)cc1OC ZINC001257153315 1073609576 /nfs/dbraw/zinc/60/95/76/1073609576.db2.gz RCMIGDUGQWSYIS-UHFFFAOYSA-N 0 0 426.451 -0.631 20 0 IBADRN CCOC(=O)c1ccc(C[C@H](C)[NH2+][C@@H]2[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]2O)cc1 ZINC001257154931 1073609589 /nfs/dbraw/zinc/60/95/89/1073609589.db2.gz QKRAIUJDFJPWRL-BADGOOAWSA-N 0 0 449.478 -0.989 20 0 IBADRN CCOC(=O)c1ccc(C[C@@H](C)[NH2+][C@@H]2[C@@H](O)[C@H](O)[C@@H](COS(=O)(=O)[O-])O[C@@H]2O)cc1 ZINC001257154932 1073609751 /nfs/dbraw/zinc/60/97/51/1073609751.db2.gz QKRAIUJDFJPWRL-HGVVAYSCSA-N 0 0 449.478 -0.989 20 0 IBADRN CCOC(=O)c1ccc(C[C@H](C)[NH2+][C@H]2[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]2O)cc1 ZINC001257154933 1073609780 /nfs/dbraw/zinc/60/97/80/1073609780.db2.gz QKRAIUJDFJPWRL-SUSCDOEESA-N 0 0 449.478 -0.989 20 0 IBADRN CCOC(=O)c1ccc(C[C@@H](C)[NH2+][C@H]2[C@H](O)O[C@H](COS(=O)(=O)[O-])[C@@H](O)[C@@H]2O)cc1 ZINC001257154934 1073609728 /nfs/dbraw/zinc/60/97/28/1073609728.db2.gz QKRAIUJDFJPWRL-VRBXCPMESA-N 0 0 449.478 -0.989 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)cc1OC ZINC001257159367 1073609767 /nfs/dbraw/zinc/60/97/67/1073609767.db2.gz JPFBGOYATDHALA-APHBMKBZSA-N 0 0 441.462 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)cc1OC ZINC001257174426 1073609693 /nfs/dbraw/zinc/60/96/93/1073609693.db2.gz FRTPQJKYLLDIII-HNNXBMFYSA-N 0 0 437.474 -0.100 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)c(OC)c1 ZINC001257174621 1073609683 /nfs/dbraw/zinc/60/96/83/1073609683.db2.gz IHQDJMRPVCZJNK-SRVKXCTJSA-N 0 0 445.494 -0.447 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)cc1OC ZINC001257175256 1073609791 /nfs/dbraw/zinc/60/97/91/1073609791.db2.gz QKAIKLNZXQWUAS-HNNXBMFYSA-N 0 0 437.474 -0.100 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001257225284 1073609715 /nfs/dbraw/zinc/60/97/15/1073609715.db2.gz CFFRXCTVLNLSDV-UHFFFAOYSA-N 0 0 426.538 -0.845 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCS(=O)(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001257225313 1073609739 /nfs/dbraw/zinc/60/97/39/1073609739.db2.gz CLUBZMNREGTVCP-UHFFFAOYSA-N 0 0 430.504 -0.468 20 0 IBADRN Cn1cc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)cc1C(=O)NCC(F)(F)F ZINC001257227313 1073610504 /nfs/dbraw/zinc/61/05/04/1073610504.db2.gz YJZWPXVDAJTGBL-UHFFFAOYSA-N 0 0 447.457 -0.465 20 0 IBADRN CN(C)C(=O)NCc1cc(=O)n2c(n1)CN(C1CCN(S(C)(=O)=O)CC1)CCC2 ZINC001257233480 1073610520 /nfs/dbraw/zinc/61/05/20/1073610520.db2.gz HELDJWNCCZPXPF-UHFFFAOYSA-N 0 0 426.543 -0.356 20 0 IBADRN Cn1cc(N2C[C@]3(COCCN(C4CCN(S(C)(=O)=O)CC4)C3)OCC2=O)cn1 ZINC001257235345 1073610440 /nfs/dbraw/zinc/61/04/40/1073610440.db2.gz WXCPKRBYQMMYOI-GOSISDBHSA-N 0 0 427.527 -0.722 20 0 IBADRN Cn1cc(N2C[C@@]3(COCCN(C4CCN(S(C)(=O)=O)CC4)C3)OCC2=O)cn1 ZINC001257235361 1073610396 /nfs/dbraw/zinc/61/03/96/1073610396.db2.gz WXCPKRBYQMMYOI-SFHVURJKSA-N 0 0 427.527 -0.722 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(C1CCN(S(C)(=O)=O)CC1)CCC2 ZINC001257235504 1073610463 /nfs/dbraw/zinc/61/04/63/1073610463.db2.gz ATDLVVKOTTVEIW-UHFFFAOYSA-N 0 0 427.527 -0.864 20 0 IBADRN CN(C)c1ncc2c(n1)[C@H]1CN(C3CCN(S(C)(=O)=O)CC3)C[C@H]1S(=O)(=O)C2 ZINC001257235991 1073610303 /nfs/dbraw/zinc/61/03/03/1073610303.db2.gz FFDPPKCXIPJQMX-LSDHHAIUSA-N 0 0 429.568 -0.337 20 0 IBADRN CS(=O)(=O)N1CCC(N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)CC1 ZINC001257238371 1073610494 /nfs/dbraw/zinc/61/04/94/1073610494.db2.gz SAHIECAVHINHRB-HNNXBMFYSA-N 0 0 433.538 -0.041 20 0 IBADRN CS(=O)(=O)N1CCC(N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)CC1 ZINC001257238377 1073611200 /nfs/dbraw/zinc/61/12/00/1073611200.db2.gz SAHIECAVHINHRB-OAHLLOKOSA-N 0 0 433.538 -0.041 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1C1CCN(S(C)(=O)=O)CC1)C(=O)NCC(N)=O ZINC001257239454 1073611145 /nfs/dbraw/zinc/61/11/45/1073611145.db2.gz OQJKLAFNLHHZQH-HOTGVXAUSA-N 0 0 445.586 -0.993 20 0 IBADRN CN(CCCNC(=O)N(C)[C@H]1CCS(=O)(=O)C1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001257241512 1073611081 /nfs/dbraw/zinc/61/10/81/1073611081.db2.gz KZTNULDGZLYDSY-KBPBESRZSA-N 0 0 438.572 -0.624 20 0 IBADRN CN(CCCNC(=O)N(C)[C@@H]1CCS(=O)(=O)C1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001257241514 1073611102 /nfs/dbraw/zinc/61/11/02/1073611102.db2.gz KZTNULDGZLYDSY-KGLIPLIRSA-N 0 0 438.572 -0.624 20 0 IBADRN CN(CCCNC(=O)N(C)[C@H]1CCS(=O)(=O)C1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001257241515 1073611122 /nfs/dbraw/zinc/61/11/22/1073611122.db2.gz KZTNULDGZLYDSY-UONOGXRCSA-N 0 0 438.572 -0.624 20 0 IBADRN CN(CCCNC(=O)N(C)[C@@H]1CCS(=O)(=O)C1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001257241516 1073611233 /nfs/dbraw/zinc/61/12/33/1073611233.db2.gz KZTNULDGZLYDSY-ZIAGYGMSSA-N 0 0 438.572 -0.624 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)NCc1c(F)cc(F)c(F)c1F ZINC001257255525 1073611270 /nfs/dbraw/zinc/61/12/70/1073611270.db2.gz ZURPQTCQXDFGIG-UHFFFAOYSA-N 0 0 427.376 -0.363 20 0 IBADRN COC(=O)[C@H](C[S@@](=O)CC(=O)N1CCC(C(=O)N2CCC[C@H](C)C2)CC1)NC(C)=O ZINC001257259730 1073611280 /nfs/dbraw/zinc/61/12/80/1073611280.db2.gz AKIKEHGDWQGMPP-GQYSXLKBSA-N 0 0 443.566 -0.090 20 0 IBADRN COC(=O)[C@H](C[S@@](=O)CC(=O)N1CCC(C(=O)N2CCC[C@@H](C)C2)CC1)NC(C)=O ZINC001257259734 1073611112 /nfs/dbraw/zinc/61/11/12/1073611112.db2.gz AKIKEHGDWQGMPP-UFZITNIESA-N 0 0 443.566 -0.090 20 0 IBADRN COC(=O)[C@H](C[S@](=O)CC(=O)N1CCC(C(=O)N2CCC[C@@H](C)C2)CC1)NC(C)=O ZINC001257259737 1073611215 /nfs/dbraw/zinc/61/12/15/1073611215.db2.gz AKIKEHGDWQGMPP-UKEXBGPQSA-N 0 0 443.566 -0.090 20 0 IBADRN COC(=O)[C@H](C[S@](=O)CC(=O)N1CCC(C(=O)N2CCC[C@H](C)C2)CC1)NC(C)=O ZINC001257259739 1073611175 /nfs/dbraw/zinc/61/11/75/1073611175.db2.gz AKIKEHGDWQGMPP-XGODZNJDSA-N 0 0 443.566 -0.090 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cccc(Cl)c1Cl ZINC001257307123 1073611130 /nfs/dbraw/zinc/61/11/30/1073611130.db2.gz ZKQZXZLJDYYPME-JTQLQIEISA-N 0 0 437.305 -0.136 20 0 IBADRN NC(=O)C1CCN(C(=O)C[S@@](=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001257398518 1073611164 /nfs/dbraw/zinc/61/11/64/1073611164.db2.gz DGGFGSGTCMLCOB-PMERELPUSA-N 0 0 435.502 -0.228 20 0 IBADRN NC(=O)C1CCN(C(=O)C[S@](=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001257398519 1073611308 /nfs/dbraw/zinc/61/13/08/1073611308.db2.gz DGGFGSGTCMLCOB-SSEXGKCCSA-N 0 0 435.502 -0.228 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nnn1Cc1ccncc1 ZINC001257410487 1073611843 /nfs/dbraw/zinc/61/18/43/1073611843.db2.gz BYCOHHNMCAOPRL-UHFFFAOYSA-N 0 0 425.449 -0.537 20 0 IBADRN COc1ccc(-c2nnc(S(=O)(=O)CC(=O)N[C@@H]3CCS(=O)(=O)C3)n2C)cc1 ZINC001257412266 1073611999 /nfs/dbraw/zinc/61/19/99/1073611999.db2.gz YIGIDTKJWGHATQ-GFCCVEGCSA-N 0 0 428.492 -0.432 20 0 IBADRN COc1ccc(-c2nnc(S(=O)(=O)CC(=O)N[C@H]3CCS(=O)(=O)C3)n2C)cc1 ZINC001257412267 1073611928 /nfs/dbraw/zinc/61/19/28/1073611928.db2.gz YIGIDTKJWGHATQ-LBPRGKRZSA-N 0 0 428.492 -0.432 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccccc1Br ZINC001257417272 1073611728 /nfs/dbraw/zinc/61/17/28/1073611728.db2.gz CWEFEZZXEPUDOT-UHFFFAOYSA-N 0 0 436.284 -0.477 20 0 IBADRN CCCn1c(CO)nnc1S(=O)(=O)CC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC001257420107 1073611752 /nfs/dbraw/zinc/61/17/52/1073611752.db2.gz ZGYWOBIATYHPNS-UHFFFAOYSA-N 0 0 425.467 -0.468 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2ncn(CC(=O)NCc3ccccc3)n2)CC1 ZINC001257428587 1073611805 /nfs/dbraw/zinc/61/18/05/1073611805.db2.gz XVJOEFWBSYOSLI-UHFFFAOYSA-N 0 0 435.510 -0.291 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC001257428592 1073611783 /nfs/dbraw/zinc/61/17/83/1073611783.db2.gz YASKJARYNIIOSZ-UHFFFAOYSA-N 0 0 438.554 -0.587 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC001257431813 1073611812 /nfs/dbraw/zinc/61/18/12/1073611812.db2.gz JOOSVZXTBYZUPQ-UHFFFAOYSA-N 0 0 440.540 -0.442 20 0 IBADRN O=C(CN1CC[C@H](C(F)(F)F)[C@@H](CO)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001257432215 1073611889 /nfs/dbraw/zinc/61/18/89/1073611889.db2.gz OOTOOIJEQKAFTE-ILXRZTDVSA-N 0 0 427.489 -0.190 20 0 IBADRN O=C(CN1CC[C@H](C(F)(F)F)[C@@H](CO)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001257432216 1073611953 /nfs/dbraw/zinc/61/19/53/1073611953.db2.gz OOTOOIJEQKAFTE-KFWWJZLASA-N 0 0 427.489 -0.190 20 0 IBADRN O=C(CN1CC[C@H](C(F)(F)F)[C@H](CO)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001257432217 1073611822 /nfs/dbraw/zinc/61/18/22/1073611822.db2.gz OOTOOIJEQKAFTE-KKUMJFAQSA-N 0 0 427.489 -0.190 20 0 IBADRN O=C(CN1CC[C@H](C(F)(F)F)[C@H](CO)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001257432218 1073611980 /nfs/dbraw/zinc/61/19/80/1073611980.db2.gz OOTOOIJEQKAFTE-ZNMIVQPWSA-N 0 0 427.489 -0.190 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccccc2Br)[C@@H](O)[C@H](O)[C@@H]1O ZINC001257432799 1073611877 /nfs/dbraw/zinc/61/18/77/1073611877.db2.gz GRGYXUDCLAKPPA-YPCYXAEESA-N 0 0 426.285 -0.429 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccccc1Br ZINC001257434393 1073611940 /nfs/dbraw/zinc/61/19/40/1073611940.db2.gz XNRANISNEVREMX-NSHDSACASA-N 0 0 447.311 -0.680 20 0 IBADRN CN1C(=O)CN(NC(=O)CCc2cccc(CCC(=O)NN3CC(=O)N(C)C3=O)c2)C1=O ZINC001257449311 1073611860 /nfs/dbraw/zinc/61/18/60/1073611860.db2.gz CMVNTHYEKUYAMN-UHFFFAOYSA-N 0 0 444.448 -0.598 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)CC1 ZINC001257449438 1073612001 /nfs/dbraw/zinc/61/20/01/1073612001.db2.gz HNRDZPRIYTXREK-UHFFFAOYSA-N 0 0 434.540 -0.271 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)CC1 ZINC001257449805 1073611985 /nfs/dbraw/zinc/61/19/85/1073611985.db2.gz MVGCFYDOTPVEST-UHFFFAOYSA-N 0 0 425.511 -0.274 20 0 IBADRN Cc1c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nnn1Cc1ccncc1 ZINC001257471615 1073612581 /nfs/dbraw/zinc/61/25/81/1073612581.db2.gz FXCSZINGROKWFH-UHFFFAOYSA-N 0 0 425.453 -0.868 20 0 IBADRN COCCS(=O)(=O)CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001257483238 1073612600 /nfs/dbraw/zinc/61/26/00/1073612600.db2.gz LOKJZSBDEBTMGB-HNNXBMFYSA-N 0 0 441.554 -0.990 20 0 IBADRN COCCS(=O)(=O)CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001257483239 1073612620 /nfs/dbraw/zinc/61/26/20/1073612620.db2.gz LOKJZSBDEBTMGB-OAHLLOKOSA-N 0 0 441.554 -0.990 20 0 IBADRN C[C@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)N1CCN(C)CC1 ZINC001257483892 1073612510 /nfs/dbraw/zinc/61/25/10/1073612510.db2.gz SYQIHKGHGYVMFM-MSOLQXFVSA-N 0 0 431.585 -0.415 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)N1CCN(C)CC1 ZINC001257483893 1073612442 /nfs/dbraw/zinc/61/24/42/1073612442.db2.gz SYQIHKGHGYVMFM-QZTJIDSGSA-N 0 0 431.585 -0.415 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)N1CCN(C)CC1 ZINC001257483894 1073612571 /nfs/dbraw/zinc/61/25/71/1073612571.db2.gz SYQIHKGHGYVMFM-ROUUACIJSA-N 0 0 431.585 -0.415 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)N1CCN(C)CC1 ZINC001257483895 1073612627 /nfs/dbraw/zinc/61/26/27/1073612627.db2.gz SYQIHKGHGYVMFM-ZWKOTPCHSA-N 0 0 431.585 -0.415 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC001257510988 1073612612 /nfs/dbraw/zinc/61/26/12/1073612612.db2.gz AXQZPMGPZSFLHZ-UHFFFAOYSA-N 0 0 428.488 -0.337 20 0 IBADRN COc1ccc(C(=O)NCC2(O)CCS(=O)(=O)CC2)cc1S(=O)(=O)NC1CC1 ZINC001257511465 1073612636 /nfs/dbraw/zinc/61/26/36/1073612636.db2.gz GHHCXNMDYGBJOF-UHFFFAOYSA-N 0 0 432.520 -0.195 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001257512787 1073612498 /nfs/dbraw/zinc/61/24/98/1073612498.db2.gz PDXKDMRMBZSFBM-UHFFFAOYSA-N 0 0 432.520 -0.623 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257544311 1073612398 /nfs/dbraw/zinc/61/23/98/1073612398.db2.gz ZVHOBGFACGVCRR-QMMMGPOBSA-N 0 0 443.334 -0.074 20 0 IBADRN Cc1c(Cl)cccc1S(=O)(=O)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001257647002 1073612591 /nfs/dbraw/zinc/61/25/91/1073612591.db2.gz OKQSDHCSYCLEDD-JUDXGUMMSA-N 0 0 431.854 -0.492 20 0 IBADRN CCN1C[C@@]23CN([C@@H]4CC[C@@H](C(=O)OC)[C@H](C(=O)OC)C4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001257735952 1073613299 /nfs/dbraw/zinc/61/32/99/1073613299.db2.gz KVMPINCFJMIMGH-LAOQMUKWSA-N 0 0 430.523 -0.006 20 0 IBADRN CCN1C[C@@]23CN([C@H]4CC[C@@H](C(=O)OC)[C@H](C(=O)OC)C4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001257735954 1073613169 /nfs/dbraw/zinc/61/31/69/1073613169.db2.gz KVMPINCFJMIMGH-QHJVRGJGSA-N 0 0 430.523 -0.006 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](N2CCn3c(COCC(=O)N(C)C)nnc3C2)C[C@H]1C(=O)OC ZINC001257739294 1073613353 /nfs/dbraw/zinc/61/33/53/1073613353.db2.gz AJTJFTWLZDMWDN-RBSFLKMASA-N 0 0 437.497 -0.171 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](N2CCn3c(COCC(=O)N(C)C)nnc3C2)C[C@H]1C(=O)OC ZINC001257739299 1073613397 /nfs/dbraw/zinc/61/33/97/1073613397.db2.gz AJTJFTWLZDMWDN-RRFJBIMHSA-N 0 0 437.497 -0.171 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1c(Cl)cccc1Cl ZINC001257794752 1073613196 /nfs/dbraw/zinc/61/31/96/1073613196.db2.gz CGUUARYEPDHQMC-NSHDSACASA-N 0 0 437.305 -0.136 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+]C2CCC(OCc3ccccc3)CC2)[C@@H](O)[C@@H]1O ZINC001257828361 1073613957 /nfs/dbraw/zinc/61/39/57/1073613957.db2.gz JHJCRKYZVNWQAR-TZUSGKDYSA-N 0 0 447.506 -0.269 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+]C2CCC(OCc3ccccc3)CC2)[C@@H](O)[C@@H]1O ZINC001257828367 1073614032 /nfs/dbraw/zinc/61/40/32/1073614032.db2.gz JHJCRKYZVNWQAR-ZZYSVPOESA-N 0 0 447.506 -0.269 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001257888941 1073614063 /nfs/dbraw/zinc/61/40/63/1073614063.db2.gz FHEPFSLLSVWPKM-UHFFFAOYSA-N 0 0 436.284 -0.477 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001257904298 1073614024 /nfs/dbraw/zinc/61/40/24/1073614024.db2.gz DFOQLBFYEWCRDP-LBPRGKRZSA-N 0 0 447.311 -0.680 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2cccc(Br)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001257904325 1073613930 /nfs/dbraw/zinc/61/39/30/1073613930.db2.gz GCGHLCPNQVMPID-YPCYXAEESA-N 0 0 426.285 -0.429 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001257921769 1073614054 /nfs/dbraw/zinc/61/40/54/1073614054.db2.gz YRZVJIYJEHNDOK-JSGCOSHPSA-N 0 0 432.499 -0.727 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)n1cncc1C[C@H](NC(=O)CC[NH3+])C(=O)[O-] ZINC001257921770 1073613914 /nfs/dbraw/zinc/61/39/14/1073613914.db2.gz YRZVJIYJEHNDOK-OCCSQVGLSA-N 0 0 432.499 -0.727 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN([C@H](C)CCS(=O)(=O)CCC(=O)OC)C1 ZINC001257933181 1073614707 /nfs/dbraw/zinc/61/47/07/1073614707.db2.gz AJTCJNWNUQJKKL-CVEARBPZSA-N 0 0 444.554 -0.166 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN([C@@H](C)CCS(=O)(=O)CCC(=O)OC)C1 ZINC001257933183 1073614577 /nfs/dbraw/zinc/61/45/77/1073614577.db2.gz AJTCJNWNUQJKKL-HOTGVXAUSA-N 0 0 444.554 -0.166 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN([C@H](C)CCS(=O)(=O)CCC(=O)OC)C1 ZINC001257933186 1073614664 /nfs/dbraw/zinc/61/46/64/1073614664.db2.gz AJTCJNWNUQJKKL-HZPDHXFCSA-N 0 0 444.554 -0.166 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN([C@@H](C)CCS(=O)(=O)CCC(=O)OC)C1 ZINC001257933190 1073614742 /nfs/dbraw/zinc/61/47/42/1073614742.db2.gz AJTCJNWNUQJKKL-JKSUJKDBSA-N 0 0 444.554 -0.166 20 0 IBADRN CCN1C[C@@]23CN([C@H](C)CCS(=O)(=O)CCC(=O)OC)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001257933251 1073614790 /nfs/dbraw/zinc/61/47/90/1073614790.db2.gz AZUAUNJAWKKBLZ-AIANPOQGSA-N 0 0 438.568 -0.380 20 0 IBADRN CCN1C[C@@]23CN([C@@H](C)CCS(=O)(=O)CCC(=O)OC)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001257933252 1073614816 /nfs/dbraw/zinc/61/48/16/1073614816.db2.gz AZUAUNJAWKKBLZ-IVSAIRAKSA-N 0 0 438.568 -0.380 20 0 IBADRN COCCN1CCN(C)C2(CCN([C@@H](C)CCS(=O)(=O)CCC(=O)OC)CC2)C1=O ZINC001257933253 1073614694 /nfs/dbraw/zinc/61/46/94/1073614694.db2.gz BACMXXJTPROBHN-KRWDZBQOSA-N 0 0 447.598 -0.002 20 0 IBADRN COCCN1CCN(C)C2(CCN([C@H](C)CCS(=O)(=O)CCC(=O)OC)CC2)C1=O ZINC001257933254 1073614600 /nfs/dbraw/zinc/61/46/00/1073614600.db2.gz BACMXXJTPROBHN-QGZVFWFLSA-N 0 0 447.598 -0.002 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001257933291 1073615274 /nfs/dbraw/zinc/61/52/74/1073615274.db2.gz BLXUGUFAVCJBEA-HNNXBMFYSA-N 0 0 429.543 -0.318 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001257933292 1073615467 /nfs/dbraw/zinc/61/54/67/1073615467.db2.gz BLXUGUFAVCJBEA-OAHLLOKOSA-N 0 0 429.543 -0.318 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN([C@H](C)CCS(=O)(=O)CCC(=O)OC)C2 ZINC001257933732 1073615380 /nfs/dbraw/zinc/61/53/80/1073615380.db2.gz GLFPZKKZHPBPPN-BEFAXECRSA-N 0 0 431.555 -0.054 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN([C@H](C)CCS(=O)(=O)CCC(=O)OC)C2 ZINC001257933733 1073615369 /nfs/dbraw/zinc/61/53/69/1073615369.db2.gz GLFPZKKZHPBPPN-DNVCBOLYSA-N 0 0 431.555 -0.054 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN([C@@H](C)CCS(=O)(=O)CCC(=O)OC)C2 ZINC001257933734 1073615265 /nfs/dbraw/zinc/61/52/65/1073615265.db2.gz GLFPZKKZHPBPPN-HNAYVOBHSA-N 0 0 431.555 -0.054 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN([C@@H](C)CCS(=O)(=O)CCC(=O)OC)C2 ZINC001257933735 1073615251 /nfs/dbraw/zinc/61/52/51/1073615251.db2.gz GLFPZKKZHPBPPN-KXBFYZLASA-N 0 0 431.555 -0.054 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)n1nc(C(=O)N2CCOCC2)c2c1CNC2 ZINC001257933864 1073615429 /nfs/dbraw/zinc/61/54/29/1073615429.db2.gz BKVGVSBTRZXMFQ-CYBMUJFWSA-N 0 0 428.511 -0.112 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)n1nc(C(=O)N2CCOCC2)c2c1CNC2 ZINC001257933865 1073615310 /nfs/dbraw/zinc/61/53/10/1073615310.db2.gz BKVGVSBTRZXMFQ-ZDUSSCGKSA-N 0 0 428.511 -0.112 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1CC[C@H]2O[C@@H](C(=O)N3CCOCC3)C[C@H]21 ZINC001257934397 1073615295 /nfs/dbraw/zinc/61/52/95/1073615295.db2.gz GFXSTFGAIDVWPN-QBPKDAKJSA-N 0 0 432.539 -0.167 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1CC[C@H]2O[C@@H](C(=O)N3CCOCC3)C[C@H]21 ZINC001257934402 1073615397 /nfs/dbraw/zinc/61/53/97/1073615397.db2.gz GFXSTFGAIDVWPN-YLFCFFPRSA-N 0 0 432.539 -0.167 20 0 IBADRN COCCN1CCO[C@H]2CN([C@@H](C)CCS(=O)(=O)CCC(=O)OC)CC[C@H]2C1=O ZINC001257934701 1073615320 /nfs/dbraw/zinc/61/53/20/1073615320.db2.gz GSTORAHVWZBLCN-BBWFWOEESA-N 0 0 434.555 -0.061 20 0 IBADRN COCCN1CCO[C@H]2CN([C@H](C)CCS(=O)(=O)CCC(=O)OC)CC[C@H]2C1=O ZINC001257934702 1073615353 /nfs/dbraw/zinc/61/53/53/1073615353.db2.gz GSTORAHVWZBLCN-ZACQAIPSSA-N 0 0 434.555 -0.061 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N(Cc1noc2c1CNCC2)S(C)(=O)=O ZINC001257934930 1073615442 /nfs/dbraw/zinc/61/54/42/1073615442.db2.gz LUCWSGZHBTUSFA-GFCCVEGCSA-N 0 0 437.540 -0.162 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N(Cc1noc2c1CNCC2)S(C)(=O)=O ZINC001257934931 1073615453 /nfs/dbraw/zinc/61/54/53/1073615453.db2.gz LUCWSGZHBTUSFA-LBPRGKRZSA-N 0 0 437.540 -0.162 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001257935115 1073616122 /nfs/dbraw/zinc/61/61/22/1073616122.db2.gz FHZUBTOGVXVKGF-AWEZNQCLSA-N 0 0 445.542 -0.545 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001257935116 1073616094 /nfs/dbraw/zinc/61/60/94/1073616094.db2.gz FHZUBTOGVXVKGF-CQSZACIVSA-N 0 0 445.542 -0.545 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1CCCn2nnc(Cn3cnnc3)c2C1 ZINC001257935368 1073616117 /nfs/dbraw/zinc/61/61/17/1073616117.db2.gz LUTPCBFQJDFKHU-AWEZNQCLSA-N 0 0 425.515 -0.120 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1CCCn2nnc(Cn3cnnc3)c2C1 ZINC001257935377 1073616145 /nfs/dbraw/zinc/61/61/45/1073616145.db2.gz LUTPCBFQJDFKHU-CQSZACIVSA-N 0 0 425.515 -0.120 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1CC[C@@H]2CN(CC(=O)N(C)C)CCO[C@@H]2C1 ZINC001257935382 1073616135 /nfs/dbraw/zinc/61/61/35/1073616135.db2.gz LWBAHLBJIWBMKI-KZNAEPCWSA-N 0 0 447.598 -0.146 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1CC[C@@H]2CN(CC(=O)N(C)C)CCO[C@@H]2C1 ZINC001257935386 1073616104 /nfs/dbraw/zinc/61/61/04/1073616104.db2.gz LWBAHLBJIWBMKI-RCCFBDPRSA-N 0 0 447.598 -0.146 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1Cc2c(n(C)nc2C(=O)N2CCOCC2)C1 ZINC001257935796 1073616174 /nfs/dbraw/zinc/61/61/74/1073616174.db2.gz JLGDVEAWDMZPIA-AWEZNQCLSA-N 0 0 442.538 -0.035 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1Cc2c(n(C)nc2C(=O)N2CCOCC2)C1 ZINC001257935797 1073616190 /nfs/dbraw/zinc/61/61/90/1073616190.db2.gz JLGDVEAWDMZPIA-CQSZACIVSA-N 0 0 442.538 -0.035 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1C[C@H]2OCC[C@H]2[C@@H](C(=O)N2CCOCC2)C1 ZINC001257936018 1073616206 /nfs/dbraw/zinc/61/62/06/1073616206.db2.gz UKHFFJCDLZLHHB-VSZNYVQBSA-N 0 0 446.566 -0.061 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1C[C@H]2OCC[C@H]2[C@@H](C(=O)N2CCOCC2)C1 ZINC001257936019 1073616193 /nfs/dbraw/zinc/61/61/93/1073616193.db2.gz UKHFFJCDLZLHHB-XLAORIBOSA-N 0 0 446.566 -0.061 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1C[C@@H](NC(=O)c2cnccn2)[C@H]2COC[C@H]21 ZINC001257938470 1073616127 /nfs/dbraw/zinc/61/61/27/1073616127.db2.gz YMAIPSOUDFVPRW-MUIFIZLQSA-N 0 0 440.522 -0.338 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1C[C@@H](NC(=O)c2cnccn2)[C@H]2COC[C@H]21 ZINC001257938471 1073616218 /nfs/dbraw/zinc/61/62/18/1073616218.db2.gz YMAIPSOUDFVPRW-XOSAIJSUSA-N 0 0 440.522 -0.338 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1C[C@H]2C[C@@H](C(=O)N3CCOCC3)[C@@H](C1)O2 ZINC001257938507 1073616163 /nfs/dbraw/zinc/61/61/63/1073616163.db2.gz WOURGODESJFEPG-QBPKDAKJSA-N 0 0 432.539 -0.309 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1C[C@H]2C[C@H](C(=O)N3CCOCC3)[C@@H](C1)O2 ZINC001257938508 1073616165 /nfs/dbraw/zinc/61/61/65/1073616165.db2.gz WOURGODESJFEPG-VVLHAWIVSA-N 0 0 432.539 -0.309 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1C[C@H]2C[C@H](C(=O)N3CCOCC3)[C@@H](C1)O2 ZINC001257938509 1073616079 /nfs/dbraw/zinc/61/60/79/1073616079.db2.gz WOURGODESJFEPG-WCXIOVBPSA-N 0 0 432.539 -0.309 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1C[C@H]2C[C@@H](C(=O)N3CCOCC3)[C@@H](C1)O2 ZINC001257938510 1073616099 /nfs/dbraw/zinc/61/60/99/1073616099.db2.gz WOURGODESJFEPG-YLFCFFPRSA-N 0 0 432.539 -0.309 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1CC2(C1)[C@@H](C(=O)OC)CCS2(=O)=O ZINC001257942491 1073616911 /nfs/dbraw/zinc/61/69/11/1073616911.db2.gz WCXDRXYGGDUCAV-CHWSQXEVSA-N 0 0 425.525 -0.595 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@@H](C)N1CC2(C1)[C@H](C(=O)OC)CCS2(=O)=O ZINC001257942492 1073616737 /nfs/dbraw/zinc/61/67/37/1073616737.db2.gz WCXDRXYGGDUCAV-OLZOCXBDSA-N 0 0 425.525 -0.595 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1CC2(C1)[C@@H](C(=O)OC)CCS2(=O)=O ZINC001257942493 1073616779 /nfs/dbraw/zinc/61/67/79/1073616779.db2.gz WCXDRXYGGDUCAV-QWHCGFSZSA-N 0 0 425.525 -0.595 20 0 IBADRN COC(=O)CCS(=O)(=O)CC[C@H](C)N1CC2(C1)[C@H](C(=O)OC)CCS2(=O)=O ZINC001257942494 1073616811 /nfs/dbraw/zinc/61/68/11/1073616811.db2.gz WCXDRXYGGDUCAV-STQMWFEESA-N 0 0 425.525 -0.595 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccccc1OC(F)(F)F ZINC001258010375 1073616628 /nfs/dbraw/zinc/61/66/28/1073616628.db2.gz PMFJJZCJWUOQJI-UHFFFAOYSA-N 0 0 441.384 -0.341 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)c1nnc(CCN2C[C@@H](C)O[C@@H](C)C2)o1 ZINC001258101592 1073616842 /nfs/dbraw/zinc/61/68/42/1073616842.db2.gz KVQZYWFHHCMSDK-AICCOOGYSA-N 0 0 438.529 -0.072 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)c1nnc(CCN2C[C@@H](C)O[C@H](C)C2)o1 ZINC001258101593 1073617004 /nfs/dbraw/zinc/61/70/04/1073617004.db2.gz KVQZYWFHHCMSDK-MRLBHPIUSA-N 0 0 438.529 -0.072 20 0 IBADRN CC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)c1nnc(CCN2C[C@H](C)O[C@@H](C)C2)o1 ZINC001258101594 1073616723 /nfs/dbraw/zinc/61/67/23/1073616723.db2.gz KVQZYWFHHCMSDK-YTFOTSKYSA-N 0 0 438.529 -0.072 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)NC(=O)NC1=O ZINC001258115087 1073616704 /nfs/dbraw/zinc/61/67/04/1073616704.db2.gz HSVHJPZORPEMNO-BTYIYWSLSA-N 0 0 443.508 -0.115 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)NC(=O)NC1=O ZINC001258115089 1073617535 /nfs/dbraw/zinc/61/75/35/1073617535.db2.gz HSVHJPZORPEMNO-QVKFZJNVSA-N 0 0 443.508 -0.115 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)NC(=O)NC1=O ZINC001258115091 1073617580 /nfs/dbraw/zinc/61/75/80/1073617580.db2.gz HSVHJPZORPEMNO-VFNWGFHPSA-N 0 0 443.508 -0.115 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)NC(=O)NC1=O ZINC001258115093 1073617631 /nfs/dbraw/zinc/61/76/31/1073617631.db2.gz HSVHJPZORPEMNO-YCRPNKLZSA-N 0 0 443.508 -0.115 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)CC1 ZINC001258144166 1073617665 /nfs/dbraw/zinc/61/76/65/1073617665.db2.gz LZYWNBCEEPOKGJ-UHFFFAOYSA-N 0 0 435.524 -0.153 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)c2cc(N3CCNC(=O)C3)ccn2)CC1 ZINC001258149628 1073617560 /nfs/dbraw/zinc/61/75/60/1073617560.db2.gz OTFZWBVYENTWAK-UHFFFAOYSA-N 0 0 438.506 -0.400 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(Br)n1C ZINC001258221705 1073618330 /nfs/dbraw/zinc/61/83/30/1073618330.db2.gz QHSANOQVOUUSAF-LLVKDONJSA-N 0 0 441.373 -0.016 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(Br)n1C ZINC001258221708 1073618471 /nfs/dbraw/zinc/61/84/71/1073618471.db2.gz QHSANOQVOUUSAF-NSHDSACASA-N 0 0 441.373 -0.016 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCN2CCCS2(=O)=O)CC1 ZINC001258227361 1073618478 /nfs/dbraw/zinc/61/84/78/1073618478.db2.gz DPPSZBPUPRJJEX-UHFFFAOYSA-N 0 0 425.529 -0.826 20 0 IBADRN O=S(=O)(NCC1(O)CCS(=O)(=O)CC1)c1ccccc1S(=O)(=O)C(F)F ZINC001258234683 1073618379 /nfs/dbraw/zinc/61/83/79/1073618379.db2.gz FWPGPNXYEBHGAO-UHFFFAOYSA-N 0 0 433.476 -0.099 20 0 IBADRN Cc1nn(C)c(Cl)c1S(=O)(=O)N1C[C@@H](NC(=O)c2cnccn2)[C@H]2COC[C@H]21 ZINC001258384550 1073619134 /nfs/dbraw/zinc/61/91/34/1073619134.db2.gz DJFBUZOVENYKQF-RAIGVLPGSA-N 0 0 426.886 -0.010 20 0 IBADRN Cc1nn(C)c(Cl)c1S(=O)(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001258391644 1073619265 /nfs/dbraw/zinc/61/92/65/1073619265.db2.gz BQODVPUMLKLVJM-UHFFFAOYSA-N 0 0 431.906 -0.217 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@H](O)[C@H]([NH2+]C2CCN(c3cccc(F)c3)CC2)[C@@H](O)[C@@H]1O ZINC001258416327 1073618973 /nfs/dbraw/zinc/61/89/73/1073618973.db2.gz CCGUGDCKESUTDH-HHARLNAUSA-N 0 0 436.458 -0.989 20 0 IBADRN O=S(=O)([O-])OC[C@H]1O[C@@H](O)[C@H]([NH2+]C2CCN(c3cccc(F)c3)CC2)[C@@H](O)[C@@H]1O ZINC001258416330 1073618947 /nfs/dbraw/zinc/61/89/47/1073618947.db2.gz CCGUGDCKESUTDH-WRQOLXDDSA-N 0 0 436.458 -0.989 20 0 IBADRN Cc1nn(C)c(Cl)c1S(=O)(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001258479385 1073619040 /nfs/dbraw/zinc/61/90/40/1073619040.db2.gz PMXXHMZKNULRFL-HBNTYKKESA-N 0 0 433.874 -0.420 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC001258482486 1073619078 /nfs/dbraw/zinc/61/90/78/1073619078.db2.gz YSFPAOODBSVOGD-HNNXBMFYSA-N 0 0 440.522 -0.397 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)CC1 ZINC001258482487 1073619107 /nfs/dbraw/zinc/61/91/07/1073619107.db2.gz YSFPAOODBSVOGD-OAHLLOKOSA-N 0 0 440.522 -0.397 20 0 IBADRN Cc1nn(C)c(Cl)c1S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001258493757 1073619161 /nfs/dbraw/zinc/61/91/61/1073619161.db2.gz CGVBKUFIOCTIDS-STQMWFEESA-N 0 0 443.913 -0.766 20 0 IBADRN Cc1nn(C)c(Cl)c1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001258493938 1073618983 /nfs/dbraw/zinc/61/89/83/1073618983.db2.gz HGLXJMBJGIMQME-LBPRGKRZSA-N 0 0 429.886 -0.421 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1c(C)nn(C)c1Cl ZINC001258494537 1073619063 /nfs/dbraw/zinc/61/90/63/1073619063.db2.gz SGLMEVVVIFIPFV-GUBZILKMSA-N 0 0 437.906 -0.769 20 0 IBADRN Cc1nn(C)c(Cl)c1S(=O)(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001258494838 1073619149 /nfs/dbraw/zinc/61/91/49/1073619149.db2.gz ZDKVAGMSSORULG-LBPRGKRZSA-N 0 0 429.886 -0.421 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@H]3CCC(=O)N(Cc4ccccc4)CC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001258578157 1073619919 /nfs/dbraw/zinc/61/99/19/1073619919.db2.gz NCGFGUQWOZZKEY-AKJHWKIKSA-N 0 0 443.504 -0.351 20 0 IBADRN N[C@H]1[C@H](n2ccc(N[C@@H]3CCC(=O)N(Cc4ccccc4)CC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001258578158 1073619967 /nfs/dbraw/zinc/61/99/67/1073619967.db2.gz NCGFGUQWOZZKEY-HVXMAHFMSA-N 0 0 443.504 -0.351 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1c(C)noc1C)CCC2 ZINC001258581099 1073619952 /nfs/dbraw/zinc/61/99/52/1073619952.db2.gz NMQQJPTWDGYSCU-UHFFFAOYSA-N 0 0 425.467 -0.295 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001258581390 1073619911 /nfs/dbraw/zinc/61/99/11/1073619911.db2.gz SJRHQFBVIAETQI-RBSFLKMASA-N 0 0 447.535 -0.426 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001258581391 1073619760 /nfs/dbraw/zinc/61/97/60/1073619760.db2.gz SJRHQFBVIAETQI-RRFJBIMHSA-N 0 0 447.535 -0.426 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001258589028 1073619939 /nfs/dbraw/zinc/61/99/39/1073619939.db2.gz HYQUJLBFUVJIHC-ZIAGYGMSSA-N 0 0 434.540 -0.206 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001258589039 1073619865 /nfs/dbraw/zinc/61/98/65/1073619865.db2.gz HZGQQAPDEMQTJX-KRWDZBQOSA-N 0 0 425.467 -0.152 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001258589040 1073619944 /nfs/dbraw/zinc/61/99/44/1073619944.db2.gz HZGQQAPDEMQTJX-QGZVFWFLSA-N 0 0 425.467 -0.152 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001258607565 1073619776 /nfs/dbraw/zinc/61/97/76/1073619776.db2.gz VWCCUNIRMKLBDI-KBPBESRZSA-N 0 0 443.526 -0.423 20 0 IBADRN N[C@H]1[C@H](n2ccc(NC3CCN(C(=O)c4ccccc4)CC3)nc2=O)O[C@H](CO)[C@H]1O ZINC001258639199 1073619823 /nfs/dbraw/zinc/61/98/23/1073619823.db2.gz REOXWIWEPZWWTH-DLVXIWMQSA-N 0 0 429.477 -0.628 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCCOCCOCCOCCOCCOCCO ZINC001258761861 1073620594 /nfs/dbraw/zinc/62/05/94/1073620594.db2.gz JTGJILZOKWCBMJ-UHFFFAOYSA-N 0 0 440.515 -0.355 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@H](C(N)=O)[C@@H](C)O ZINC001258810263 1073620478 /nfs/dbraw/zinc/62/04/78/1073620478.db2.gz CTFAPEGHZKSKGG-ONERCXAPSA-N 0 0 440.478 -0.762 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)cc1Cl ZINC001258908834 1073621321 /nfs/dbraw/zinc/62/13/21/1073621321.db2.gz LQLFKEVKRAHWIA-NSHDSACASA-N 0 0 437.305 -0.136 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2ccc(F)cc2Cl)c(=O)[nH]1 ZINC001258919133 1073621293 /nfs/dbraw/zinc/62/12/93/1073621293.db2.gz YDWQSOWMBDMQBE-ICGCDAGXSA-N 0 0 435.817 -0.661 20 0 IBADRN Cc1ccc(F)cc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001258930707 1073621379 /nfs/dbraw/zinc/62/13/79/1073621379.db2.gz CYPCOMVKZHDQOX-HOTGVXAUSA-N 0 0 439.465 -0.308 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001258939590 1073621280 /nfs/dbraw/zinc/62/12/80/1073621280.db2.gz FHTOCTBGSVHKGF-JUDXGUMMSA-N 0 0 447.853 -0.791 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001258941529 1073621334 /nfs/dbraw/zinc/62/13/34/1073621334.db2.gz ZAVOWMQLCRKQMZ-NSHDSACASA-N 0 0 432.886 -0.781 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cc(F)ccc1F ZINC001258951428 1073621217 /nfs/dbraw/zinc/62/12/17/1073621217.db2.gz HLTQYOXCALRXNE-GJZGRUSLSA-N 0 0 427.429 -0.183 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cc(F)ccc1F ZINC001258951702 1073621407 /nfs/dbraw/zinc/62/14/07/1073621407.db2.gz XBDQYQGIMXMLGP-GJZGRUSLSA-N 0 0 443.428 -0.478 20 0 IBADRN COc1ccc(S(=O)(=O)NCCNC(=O)Cn2cc(C)c(=O)[nH]c2=O)c(OC)c1 ZINC001258963570 1073621236 /nfs/dbraw/zinc/62/12/36/1073621236.db2.gz ZHUZGZHXIYGIQP-UHFFFAOYSA-N 0 0 426.451 -0.631 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)c(OC)c1 ZINC001258965289 1073621260 /nfs/dbraw/zinc/62/12/60/1073621260.db2.gz RIPGKFQDDLEDTF-JGGQBBKZSA-N 0 0 441.462 -0.099 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)cc1OC ZINC001258965581 1073621350 /nfs/dbraw/zinc/62/13/50/1073621350.db2.gz HBUNUKCCBCFOEC-SRVKXCTJSA-N 0 0 445.494 -0.447 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)c(OC)c1 ZINC001258965984 1073621402 /nfs/dbraw/zinc/62/14/02/1073621402.db2.gz WNJSGIWZVQABMZ-HNNXBMFYSA-N 0 0 437.474 -0.100 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)c(OC)c1 ZINC001258966488 1073621188 /nfs/dbraw/zinc/62/11/88/1073621188.db2.gz KADUKFUSQPEAMQ-HNNXBMFYSA-N 0 0 437.474 -0.100 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)C[C@@]23CC[C@@H](CC2=O)C3(C)C)c(=O)[nH]c1=O ZINC001258977223 1073621390 /nfs/dbraw/zinc/62/13/90/1073621390.db2.gz NSXBPHJORZUQQW-DJJJIMSYSA-N 0 0 440.522 -0.312 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)C[C@@]12CC[C@@H](CC1=O)C2(C)C ZINC001258977454 1073621362 /nfs/dbraw/zinc/62/13/62/1073621362.db2.gz ZVSOGHMHMKUDEX-SGTLLEGYSA-N 0 0 431.511 -0.903 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)C[C@]23CC[C@H](CC2=O)C3(C)C)c(=O)[nH]c1=O ZINC001258991070 1073621936 /nfs/dbraw/zinc/62/19/36/1073621936.db2.gz NSXBPHJORZUQQW-BFUOFWGJSA-N 0 0 440.522 -0.312 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)C[C@]12CC[C@H](CC1=O)C2(C)C ZINC001258991426 1073622010 /nfs/dbraw/zinc/62/20/10/1073622010.db2.gz ZVSOGHMHMKUDEX-KZULUSFZSA-N 0 0 431.511 -0.903 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(S(=O)(=O)c1cn(C)cn1)C2 ZINC001258994569 1073622049 /nfs/dbraw/zinc/62/20/49/1073622049.db2.gz APUZGYRNAJHLOJ-IBGZPJMESA-N 0 0 447.521 -0.021 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(S(=O)(=O)c1cn(C)cn1)C2 ZINC001258994570 1073621962 /nfs/dbraw/zinc/62/19/62/1073621962.db2.gz APUZGYRNAJHLOJ-LJQANCHMSA-N 0 0 447.521 -0.021 20 0 IBADRN Cn1cnc(S(=O)(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)c1 ZINC001258994864 1073622056 /nfs/dbraw/zinc/62/20/56/1073622056.db2.gz DMVXKOVEHMSKHZ-HLLBOEOZSA-N 0 0 439.519 -0.439 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)c1 ZINC001258995652 1073621919 /nfs/dbraw/zinc/62/19/19/1073621919.db2.gz VAVZNCKKPHQREC-KRWDZBQOSA-N 0 0 445.567 -0.685 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)c1 ZINC001258995653 1073622071 /nfs/dbraw/zinc/62/20/71/1073622071.db2.gz VAVZNCKKPHQREC-QGZVFWFLSA-N 0 0 445.567 -0.685 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCOC[C@]3(CC[C@H](CNC(=O)c4cnccn4)O3)C2)c1 ZINC001258995677 1073621884 /nfs/dbraw/zinc/62/18/84/1073621884.db2.gz VRKPKKCHXFHOPG-KDOFPFPSSA-N 0 0 436.494 -0.421 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCOC[C@]3(CC[C@@H](CNC(=O)c4cnccn4)O3)C2)c1 ZINC001258995678 1073622006 /nfs/dbraw/zinc/62/20/06/1073622006.db2.gz VRKPKKCHXFHOPG-KSSFIOAISA-N 0 0 436.494 -0.421 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCCn3c(=O)cc(CNC(=O)N4CCCC4)nc3C2)c1 ZINC001258995889 1073621868 /nfs/dbraw/zinc/62/18/68/1073621868.db2.gz DSVRSSYKTWJUJY-UHFFFAOYSA-N 0 0 435.510 -0.123 20 0 IBADRN Cn1cnc(S(=O)(=O)N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)c1 ZINC001258996042 1073622027 /nfs/dbraw/zinc/62/20/27/1073622027.db2.gz JJAHPPJOTBOGCL-UHFFFAOYSA-N 0 0 446.493 -0.765 20 0 IBADRN Cn1cnc(S(=O)(=O)N2C[C@@H]3c4nc(N5CCCC5)ncc4CS(=O)(=O)[C@@H]3C2)c1 ZINC001258996211 1073622044 /nfs/dbraw/zinc/62/20/44/1073622044.db2.gz NMUZHBYURGCRLG-UONOGXRCSA-N 0 0 438.535 -0.105 20 0 IBADRN Cn1cnc(S(=O)(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c1 ZINC001259001006 1073621852 /nfs/dbraw/zinc/62/18/52/1073621852.db2.gz VGHMJWJDJOJVLU-UHFFFAOYSA-N 0 0 437.544 -0.419 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1cn(C)cn1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001259001528 1073621906 /nfs/dbraw/zinc/62/19/06/1073621906.db2.gz FWOCOUCSPVLYIY-CABCVRRESA-N 0 0 431.492 -0.233 20 0 IBADRN Cn1cnc(S(=O)(=O)NCCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)c1 ZINC001259003306 1073622591 /nfs/dbraw/zinc/62/25/91/1073622591.db2.gz UEPNPSNFRBJXFN-HUBLWGQQSA-N 0 0 430.556 -0.460 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)[C@H](CC(N)=O)NS(=O)(=O)c3cn(C)cn3)ccc12 ZINC001259003584 1073622700 /nfs/dbraw/zinc/62/27/00/1073622700.db2.gz LNTFQFFTARNAIR-ZDUSSCGKSA-N 0 0 433.446 -0.004 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)c1cn(C)cn1 ZINC001259004328 1073622605 /nfs/dbraw/zinc/62/26/05/1073622605.db2.gz ZJPLYPWXIIGVJF-UHFFFAOYSA-N 0 0 439.531 -0.572 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNS(=O)(=O)c3cn(C)cn3)ccc12 ZINC001259004660 1073622553 /nfs/dbraw/zinc/62/25/53/1073622553.db2.gz XTZGTRQYDDYZSO-UHFFFAOYSA-N 0 0 433.446 -0.132 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N1CCn2c(COCC(=O)N(C)C)nnc2C1 ZINC001259007341 1073622613 /nfs/dbraw/zinc/62/26/13/1073622613.db2.gz GAWPQNXSPBODHP-UHFFFAOYSA-N 0 0 443.507 -0.065 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)NCCNC(=O)Cn1cc(C)c(=O)[nH]c1=O ZINC001259015300 1073622496 /nfs/dbraw/zinc/62/24/96/1073622496.db2.gz YEPCDMYLNRPWJR-UHFFFAOYSA-N 0 0 430.464 -0.800 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N[C@H]1C[C@H](n2cc(C)c(=O)[nH]c2=O)O[C@@H]1CO ZINC001259015388 1073622666 /nfs/dbraw/zinc/62/26/66/1073622666.db2.gz CRJSOSAHGCEQPI-HOSYDEDBSA-N 0 0 445.475 -0.268 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OC ZINC001259016727 1073622648 /nfs/dbraw/zinc/62/26/48/1073622648.db2.gz WKCBQRDDWPKJPO-GUBZILKMSA-N 0 0 449.507 -0.616 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)NCC(N)=O ZINC001259016764 1073622662 /nfs/dbraw/zinc/62/26/62/1073622662.db2.gz YKUMZRBWSXANFZ-LBPRGKRZSA-N 0 0 441.487 -0.269 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001259017392 1073622508 /nfs/dbraw/zinc/62/25/08/1073622508.db2.gz SZOHIWCNJQONCN-LBPRGKRZSA-N 0 0 441.487 -0.269 20 0 IBADRN COC(=O)CS(=O)(=O)N1C[C@@H](c2ccccc2)[C@@]2(CCN(CC(=O)N(C)C)C2=O)C1 ZINC001259019289 1073622631 /nfs/dbraw/zinc/62/26/31/1073622631.db2.gz AUNMEQJTVIDAIE-OXJNMPFZSA-N 0 0 437.518 -0.105 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCC[C@@]2(C1)COCCN(c1ccnc(N(C)C)n1)C2 ZINC001259019403 1073622519 /nfs/dbraw/zinc/62/25/19/1073622519.db2.gz DMAHXSQWCDYQLP-GOSISDBHSA-N 0 0 427.527 -0.036 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCC[C@]2(C1)COCCN(c1ccnc(N(C)C)n1)C2 ZINC001259019404 1073622681 /nfs/dbraw/zinc/62/26/81/1073622681.db2.gz DMAHXSQWCDYQLP-SFHVURJKSA-N 0 0 427.527 -0.036 20 0 IBADRN COC(=O)CS(=O)(=O)N1CC[C@@]2(C1)CN(c1cccnc1)C(=O)CN2C(=O)N(C)C ZINC001259019479 1073622545 /nfs/dbraw/zinc/62/25/45/1073622545.db2.gz GZDWBWUGAGCGRL-GOSISDBHSA-N 0 0 439.494 -0.641 20 0 IBADRN COC(=O)CS(=O)(=O)N1CC[C@]2(C1)CN(c1cccnc1)C(=O)CN2C(=O)N(C)C ZINC001259019480 1073622624 /nfs/dbraw/zinc/62/26/24/1073622624.db2.gz GZDWBWUGAGCGRL-SFHVURJKSA-N 0 0 439.494 -0.641 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCC2(CN(C(=O)N(C)C)C[C@@]23CCN(C)C3=O)CC1 ZINC001259019558 1073622655 /nfs/dbraw/zinc/62/26/55/1073622655.db2.gz KAIVAFXKJQEKPT-GOSISDBHSA-N 0 0 430.527 -0.583 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCC2(CN(C(=O)N(C)C)C[C@]23CCN(C)C3=O)CC1 ZINC001259019559 1073622659 /nfs/dbraw/zinc/62/26/59/1073622659.db2.gz KAIVAFXKJQEKPT-SFHVURJKSA-N 0 0 430.527 -0.583 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCOC[C@@]2(CN(c3ccc(F)c(F)c3)C(=O)CO2)C1 ZINC001259019635 1073622637 /nfs/dbraw/zinc/62/26/37/1073622637.db2.gz NEUHCKLNPKHXDU-KRWDZBQOSA-N 0 0 434.417 -0.098 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCOC[C@]2(CN(c3ccc(F)c(F)c3)C(=O)CO2)C1 ZINC001259019636 1073623180 /nfs/dbraw/zinc/62/31/80/1073623180.db2.gz NEUHCKLNPKHXDU-QGZVFWFLSA-N 0 0 434.417 -0.098 20 0 IBADRN CCN1CC[C@]2(CN(C(=O)N(C)C)CC23CCN(S(=O)(=O)CC(=O)OC)CC3)C1=O ZINC001259019849 1073623318 /nfs/dbraw/zinc/62/33/18/1073623318.db2.gz VTNGPMJFYAYECU-IBGZPJMESA-N 0 0 444.554 -0.193 20 0 IBADRN CCN1CC[C@@]2(CN(C(=O)N(C)C)CC23CCN(S(=O)(=O)CC(=O)OC)CC3)C1=O ZINC001259019850 1073623192 /nfs/dbraw/zinc/62/31/92/1073623192.db2.gz VTNGPMJFYAYECU-LJQANCHMSA-N 0 0 444.554 -0.193 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCC[C@]2(C(=O)N(C)C)CN(c3ncccn3)C[C@@H]2C1 ZINC001259019906 1073623236 /nfs/dbraw/zinc/62/32/36/1073623236.db2.gz XXOBOCBXHLMRRH-KDOFPFPSSA-N 0 0 425.511 -0.414 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCc2cc(C(=O)OC)c(N3CCOCC3)nc2CC1 ZINC001259020537 1073623171 /nfs/dbraw/zinc/62/31/71/1073623171.db2.gz ALGWGBZSGNQIPL-UHFFFAOYSA-N 0 0 427.479 -0.392 20 0 IBADRN COC(=O)CS(=O)(=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001259020681 1073623243 /nfs/dbraw/zinc/62/32/43/1073623243.db2.gz DACCPCWPROVUEK-YQQAZPJKSA-N 0 0 425.507 -0.030 20 0 IBADRN COC(=O)CS(=O)(=O)N1CC[C@@]2(C1)COCc1c(C)nc(N3CCOCC3)nc12 ZINC001259020731 1073623260 /nfs/dbraw/zinc/62/32/60/1073623260.db2.gz GBGJPOHUENMUDS-GOSISDBHSA-N 0 0 426.495 -0.402 20 0 IBADRN COC(=O)CS(=O)(=O)N1CC[C@]2(C1)COCc1c(C)nc(N3CCOCC3)nc12 ZINC001259020732 1073623282 /nfs/dbraw/zinc/62/32/82/1073623282.db2.gz GBGJPOHUENMUDS-SFHVURJKSA-N 0 0 426.495 -0.402 20 0 IBADRN COC(=O)CS(=O)(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccccn3)[C@@H]2C1 ZINC001259020969 1073623325 /nfs/dbraw/zinc/62/33/25/1073623325.db2.gz ODMUXVFBEZVTTN-IXDOHACOSA-N 0 0 425.507 -0.030 20 0 IBADRN COC(=O)CS(=O)(=O)N1C[C@@H]2c3nc(N4CCCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001259021007 1073623271 /nfs/dbraw/zinc/62/32/71/1073623271.db2.gz PHUWTRNEIVQJFG-QWHCGFSZSA-N 0 0 430.508 -0.724 20 0 IBADRN COC(=O)CS(=O)(=O)N1C[C@H]2C[C@H]3[C@@](CN(CCc4ccccc4)S3(=O)=O)(C1)O2 ZINC001259021222 1073623265 /nfs/dbraw/zinc/62/32/65/1073623265.db2.gz XABFIJMYFBDKFJ-SOLBZPMBSA-N 0 0 444.531 -0.411 20 0 IBADRN COC(=O)CS(=O)(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001259021964 1073623162 /nfs/dbraw/zinc/62/31/62/1073623162.db2.gz MHMAUABFGRNIEE-IXDOHACOSA-N 0 0 425.507 -0.030 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N3CCCC3)nc2C1 ZINC001259022247 1073623182 /nfs/dbraw/zinc/62/31/82/1073623182.db2.gz QHXUPUVRXFAAQU-UHFFFAOYSA-N 0 0 427.483 -0.743 20 0 IBADRN COC(=O)CS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001259023677 1073623154 /nfs/dbraw/zinc/62/31/54/1073623154.db2.gz OAAPSOAKPRRRLO-UHFFFAOYSA-N 0 0 447.495 -0.902 20 0 IBADRN COC(=O)CS(=O)(=O)NCc1cc(=O)n2c(n1)CN(C(=O)OC(C)(C)C)CCC2 ZINC001259028179 1073623287 /nfs/dbraw/zinc/62/32/87/1073623287.db2.gz AKFOLPHNIMJKLD-UHFFFAOYSA-N 0 0 430.483 -0.024 20 0 IBADRN COC(=O)CS(=O)(=O)NC[C@H]1CN(c2ccc(N3CCOCC3=O)cc2)C(=O)O1 ZINC001259029068 1073623199 /nfs/dbraw/zinc/62/31/99/1073623199.db2.gz BFXZNEYDUPAMJK-AWEZNQCLSA-N 0 0 427.435 -0.533 20 0 IBADRN COC(=O)CS(=O)(=O)NCCOCCOCCOCCNC(=O)OC(C)(C)C ZINC001259031554 1073623217 /nfs/dbraw/zinc/62/32/17/1073623217.db2.gz PSZAIPZHATZTHG-UHFFFAOYSA-N 0 0 428.504 -0.347 20 0 IBADRN COC(=O)CS(=O)(=O)N[C@H]1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)C1 ZINC001259032315 1073623230 /nfs/dbraw/zinc/62/32/30/1073623230.db2.gz BFULFGAVMNPMBP-JTQLQIEISA-N 0 0 447.495 -0.856 20 0 IBADRN COC(=O)CS(=O)(=O)NCC(=O)NCCOCCOCCOCc1ccccc1 ZINC001259033601 1073623334 /nfs/dbraw/zinc/62/33/34/1073623334.db2.gz BYSDLMXHAUUZSK-UHFFFAOYSA-N 0 0 432.495 -0.555 20 0 IBADRN COC(=O)CS(=O)(=O)NCC(=O)N[C@@H](C)C(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001259033899 1073624030 /nfs/dbraw/zinc/62/40/30/1073624030.db2.gz MSUBUPOJGPJTIJ-NSHDSACASA-N 0 0 439.446 -0.363 20 0 IBADRN COC(=O)CS(=O)(=O)N[C@@H](CCC(N)=O)C(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001259033921 1073623881 /nfs/dbraw/zinc/62/38/81/1073623881.db2.gz YUNYEZBCPDAAJJ-ZDUSSCGKSA-N 0 0 439.446 -0.234 20 0 IBADRN COC(=O)CS(=O)(=O)NCC(=O)NCC(=O)Nc1ccc2c(C)cc(=O)oc2c1 ZINC001259034082 1073624056 /nfs/dbraw/zinc/62/40/56/1073624056.db2.gz VLPFWZBQSKQPMM-UHFFFAOYSA-N 0 0 425.419 -0.751 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(F)c(F)cc1F ZINC001259044987 1073624040 /nfs/dbraw/zinc/62/40/40/1073624040.db2.gz KNYJFHMWJSJOMA-CIUDSAMLSA-N 0 0 439.412 -0.047 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cc(F)c(F)cc1F ZINC001259045686 1073623918 /nfs/dbraw/zinc/62/39/18/1073623918.db2.gz XUNWTPDXAZANMT-GJZGRUSLSA-N 0 0 445.419 -0.044 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC001259060624 1073624091 /nfs/dbraw/zinc/62/40/91/1073624091.db2.gz ZRGWOSJLCRQHFQ-UHFFFAOYSA-N 0 0 442.313 -0.416 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(Br)s2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001259062955 1073623971 /nfs/dbraw/zinc/62/39/71/1073623971.db2.gz LKDIBFDMTBKRFR-NFOQIUCISA-N 0 0 432.314 -0.367 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(F)c(F)c1F ZINC001259083475 1073623960 /nfs/dbraw/zinc/62/39/60/1073623960.db2.gz LEKNGWBRDNUFGM-CIUDSAMLSA-N 0 0 439.412 -0.047 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(F)c(F)c1F ZINC001259083480 1073623938 /nfs/dbraw/zinc/62/39/38/1073623938.db2.gz LRMGHVORYYFDBY-STQMWFEESA-N 0 0 445.419 -0.044 20 0 IBADRN O=S(=O)(Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1ccc(F)c(F)c1 ZINC001259091792 1073624084 /nfs/dbraw/zinc/62/40/84/1073624084.db2.gz XKELABWFHUBTCL-DISONHOPSA-N 0 0 447.412 -0.056 20 0 IBADRN O=S(=O)(Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1)c1ccc(F)c(F)c1 ZINC001259091793 1073624002 /nfs/dbraw/zinc/62/40/02/1073624002.db2.gz XKELABWFHUBTCL-ZBRFXRBCSA-N 0 0 447.412 -0.056 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc(F)c(F)c1 ZINC001259095723 1073623864 /nfs/dbraw/zinc/62/38/64/1073623864.db2.gz NLAHJJNZZAWIJG-HOTGVXAUSA-N 0 0 443.428 -0.478 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(F)c(F)c1 ZINC001259095888 1073623905 /nfs/dbraw/zinc/62/39/05/1073623905.db2.gz SIRRQMWEYUXNGM-HOTGVXAUSA-N 0 0 427.429 -0.183 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c(C)c1 ZINC001259106397 1073624592 /nfs/dbraw/zinc/62/45/92/1073624592.db2.gz KMIZPXAPIJSJMF-IRXDYDNUSA-N 0 0 435.502 -0.139 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1Cl ZINC001259138435 1073624616 /nfs/dbraw/zinc/62/46/16/1073624616.db2.gz HNMMWXQFMPMXOY-NMFUWQPSSA-N 0 0 431.854 -0.492 20 0 IBADRN CC(=O)N1CCOC[C@@]2(CN(S(=O)(=O)c3ccc(S(C)(=O)=O)cc3)CCO2)C1 ZINC001259141599 1073624581 /nfs/dbraw/zinc/62/45/81/1073624581.db2.gz DVOWTZDNIOIDKE-KRWDZBQOSA-N 0 0 432.520 -0.272 20 0 IBADRN CC(=O)N1CCOC[C@]2(CN(S(=O)(=O)c3ccc(S(C)(=O)=O)cc3)CCO2)C1 ZINC001259141600 1073624624 /nfs/dbraw/zinc/62/46/24/1073624624.db2.gz DVOWTZDNIOIDKE-QGZVFWFLSA-N 0 0 432.520 -0.272 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H]3O[C@@H](C(=O)N4CCOCC4)C[C@H]32)cc1 ZINC001259141917 1073624670 /nfs/dbraw/zinc/62/46/70/1073624670.db2.gz JIIJEABCQWQWDH-BRWVUGGUSA-N 0 0 444.531 -0.131 20 0 IBADRN CN(C)C(=O)CN1C(=O)C[C@H]2[C@@H]1CCN2S(=O)(=O)c1ccc(S(C)(=O)=O)cc1 ZINC001259141955 1073625168 /nfs/dbraw/zinc/62/51/68/1073625168.db2.gz JXPXQCILMCDIRR-GJZGRUSLSA-N 0 0 429.520 -0.458 20 0 IBADRN COCCNC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)O1 ZINC001259142098 1073625255 /nfs/dbraw/zinc/62/52/55/1073625255.db2.gz GYVJEAIRDBKHPA-OAGGEKHMSA-N 0 0 432.520 -0.227 20 0 IBADRN COCCN1CCO[C@H]2CN(S(=O)(=O)c3ccc(S(C)(=O)=O)cc3)CC[C@H]2C1=O ZINC001259142118 1073625238 /nfs/dbraw/zinc/62/52/38/1073625238.db2.gz MEAJRSHGKDKGQA-SJORKVTESA-N 0 0 446.547 -0.026 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCCn3nnc(Cn4cnnc4)c3C2)cc1 ZINC001259142185 1073625232 /nfs/dbraw/zinc/62/52/32/1073625232.db2.gz JDUXUTFUWGSPBY-UHFFFAOYSA-N 0 0 437.507 -0.084 20 0 IBADRN CN(C)C(=O)COC[C@]12COC[C@H]1CN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC001259142231 1073625252 /nfs/dbraw/zinc/62/52/52/1073625252.db2.gz MZWNZJWKWMNOCJ-KDOFPFPSSA-N 0 0 446.547 -0.168 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H]3C[C@@H](C(=O)N4CCOCC4)[C@@H](C2)O3)cc1 ZINC001259142432 1073625212 /nfs/dbraw/zinc/62/52/12/1073625212.db2.gz MQQQCLNBAIWBKY-KBRIMQKVSA-N 0 0 444.531 -0.273 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H]3C[C@H](C(=O)N4CCOCC4)[C@@H](C2)O3)cc1 ZINC001259142435 1073625174 /nfs/dbraw/zinc/62/51/74/1073625174.db2.gz MQQQCLNBAIWBKY-XOKHGSTOSA-N 0 0 444.531 -0.273 20 0 IBADRN CC(=O)N1CCO[C@]2(C1)COCCN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC001259142611 1073625224 /nfs/dbraw/zinc/62/52/24/1073625224.db2.gz REEHONQRWKAMRF-KRWDZBQOSA-N 0 0 432.520 -0.272 20 0 IBADRN CC(=O)N1CCO[C@@]2(C1)COCCN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC001259142613 1073625229 /nfs/dbraw/zinc/62/52/29/1073625229.db2.gz REEHONQRWKAMRF-QGZVFWFLSA-N 0 0 432.520 -0.272 20 0 IBADRN CC(=O)NCc1nnn2c1CN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)CCC2 ZINC001259142837 1073625180 /nfs/dbraw/zinc/62/51/80/1073625180.db2.gz SXXAAAOVMMCYLF-UHFFFAOYSA-N 0 0 427.508 -0.088 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CN(S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)[C@@H]2COC[C@H]12 ZINC001259142894 1073625215 /nfs/dbraw/zinc/62/52/15/1073625215.db2.gz RLMHHAPESQWAKU-ARFHVFGLSA-N 0 0 432.520 -0.417 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCn3c(CN4CCOCC4)nnc3C2)cc1 ZINC001259143086 1073625198 /nfs/dbraw/zinc/62/51/98/1073625198.db2.gz VAHUSGBSCDZJKQ-UHFFFAOYSA-N 0 0 441.535 -0.282 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC001259143169 1073625242 /nfs/dbraw/zinc/62/52/42/1073625242.db2.gz XPHNKFPIRSRBSW-GOSISDBHSA-N 0 0 443.547 -0.018 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC001259143172 1073625267 /nfs/dbraw/zinc/62/52/67/1073625267.db2.gz XPHNKFPIRSRBSW-SFHVURJKSA-N 0 0 443.547 -0.018 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001259143448 1073625184 /nfs/dbraw/zinc/62/51/84/1073625184.db2.gz FEFWLLANKHVEFZ-UHFFFAOYSA-N 0 0 436.577 -0.026 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C[C@H]2C(=O)N(C)C ZINC001259143998 1073625203 /nfs/dbraw/zinc/62/52/03/1073625203.db2.gz CYSDLPISZPWPRH-INIZCTEOSA-N 0 0 443.547 -0.353 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C[C@@H]2C(=O)N(C)C ZINC001259144000 1073625221 /nfs/dbraw/zinc/62/52/21/1073625221.db2.gz CYSDLPISZPWPRH-MRXNPFEDSA-N 0 0 443.547 -0.353 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC001259144255 1073625192 /nfs/dbraw/zinc/62/51/92/1073625192.db2.gz RVYVOFRRSRSEOK-CYBMUJFWSA-N 0 0 437.517 -0.559 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc(S(C)(=O)=O)cc1)C2 ZINC001259144256 1073625246 /nfs/dbraw/zinc/62/52/46/1073625246.db2.gz RVYVOFRRSRSEOK-ZDUSSCGKSA-N 0 0 437.517 -0.559 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCC(N)=O)cc1 ZINC001259150548 1073625824 /nfs/dbraw/zinc/62/58/24/1073625824.db2.gz GRMVKNDEMANIIQ-INIZCTEOSA-N 0 0 439.515 -0.419 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001259150730 1073625762 /nfs/dbraw/zinc/62/57/62/1073625762.db2.gz QYSOKDLCXUQHGR-INIZCTEOSA-N 0 0 439.515 -0.419 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cccc3cnccc32)c(=O)[nH]1 ZINC001259160353 1073625814 /nfs/dbraw/zinc/62/58/14/1073625814.db2.gz RTXDSNXLLZIXFE-BASLNEPJSA-N 0 0 434.430 -0.905 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)cc1C ZINC001259170334 1073625716 /nfs/dbraw/zinc/62/57/16/1073625716.db2.gz DDPKKKWTYHXUKA-ROUUACIJSA-N 0 0 435.502 -0.139 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001259182425 1073625741 /nfs/dbraw/zinc/62/57/41/1073625741.db2.gz BTPSYKVKTXVSGA-SRVKXCTJSA-N 0 0 427.479 -0.262 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001259182426 1073625838 /nfs/dbraw/zinc/62/58/38/1073625838.db2.gz BVGLPAFZELBJAT-ROUUACIJSA-N 0 0 433.486 -0.259 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)cc1 ZINC001259182558 1073625796 /nfs/dbraw/zinc/62/57/96/1073625796.db2.gz KCTUQPVVEUNOHZ-ROUUACIJSA-N 0 0 449.485 -0.553 20 0 IBADRN COc1cccc(S(=O)(=O)Nc2ccc(O[C@@H]3O[C@H](CO)[C@H](O)[C@H](O)[C@H]3O)cc2)c1 ZINC001259189996 1073626422 /nfs/dbraw/zinc/62/64/22/1073626422.db2.gz OZGIVJKHOZDJOI-ICBNADEASA-N 0 0 441.458 -0.325 20 0 IBADRN COc1cccc(S(=O)(=O)Nc2ccc(O[C@H]3O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]3O)cc2)c1 ZINC001259190803 1073626590 /nfs/dbraw/zinc/62/65/90/1073626590.db2.gz OZGIVJKHOZDJOI-GFEQUFNTSA-N 0 0 441.458 -0.325 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1cccc(OC)c1)NC(=O)CNC(C)=O ZINC001259195501 1073626398 /nfs/dbraw/zinc/62/63/98/1073626398.db2.gz DKFJEOQSYFSWSZ-INIZCTEOSA-N 0 0 429.495 -0.062 20 0 IBADRN COc1cccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c1 ZINC001259195802 1073626529 /nfs/dbraw/zinc/62/65/29/1073626529.db2.gz PTJIZBHHOKULAX-IRXDYDNUSA-N 0 0 437.474 -0.747 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C)cc1OC ZINC001259211447 1073626390 /nfs/dbraw/zinc/62/63/90/1073626390.db2.gz HHBRPAXBNMHRJA-AVGNSLFASA-N 0 0 429.495 -0.147 20 0 IBADRN COc1cc(C)ccc1S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001259211532 1073626365 /nfs/dbraw/zinc/62/63/65/1073626365.db2.gz KXFWUUGAOJDPAZ-HOTGVXAUSA-N 0 0 435.502 -0.144 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)Cc2ccc(Cl)cc2)c(=O)[nH]1 ZINC001259244627 1073626563 /nfs/dbraw/zinc/62/65/63/1073626563.db2.gz MQTCZDBOGYMXCF-NMFUWQPSSA-N 0 0 431.854 -0.659 20 0 IBADRN O=S(=O)(Cc1ccc(F)cc1)Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001259253950 1073626467 /nfs/dbraw/zinc/62/64/67/1073626467.db2.gz UNPSYBPTEOYOEE-ICBNADEASA-N 0 0 443.449 -0.054 20 0 IBADRN O=S(=O)(Cc1ccc(F)cc1)Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001259254447 1073626618 /nfs/dbraw/zinc/62/66/18/1073626618.db2.gz UNPSYBPTEOYOEE-GFEQUFNTSA-N 0 0 443.449 -0.054 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)Cc1ccc(F)cc1 ZINC001259258177 1073626378 /nfs/dbraw/zinc/62/63/78/1073626378.db2.gz XKUWTRYDDLFYNP-IRXDYDNUSA-N 0 0 439.465 -0.476 20 0 IBADRN Cc1ccc(CS(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)cc1 ZINC001259269522 1073626415 /nfs/dbraw/zinc/62/64/15/1073626415.db2.gz RYLJCVZOJVLGSN-ROUUACIJSA-N 0 0 435.502 -0.306 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(S(=O)(=O)C3CC3)CC[C@@H]12 ZINC001259272363 1073627271 /nfs/dbraw/zinc/62/72/71/1073627271.db2.gz QIPKOMHMGROUFK-WBVHZDCISA-N 0 0 435.568 -0.547 20 0 IBADRN COCCOCCOCCOCCOCCOCCOCCNS(=O)(=O)C1CC1 ZINC001259280661 1073627096 /nfs/dbraw/zinc/62/70/96/1073627096.db2.gz XHLYXHBJKFJGGZ-UHFFFAOYSA-N 0 0 443.559 -0.186 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2ccccn2)CC3)C1=O ZINC001259283272 1073627111 /nfs/dbraw/zinc/62/71/11/1073627111.db2.gz DZHWWOQMRGPZAB-GOSISDBHSA-N 0 0 442.563 -0.024 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2ccccn2)CC3)C1=O ZINC001259283273 1073627157 /nfs/dbraw/zinc/62/71/57/1073627157.db2.gz DZHWWOQMRGPZAB-SFHVURJKSA-N 0 0 442.563 -0.024 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3ccccn3)C[C@@H]21)N1CCOCC1 ZINC001259284324 1073627264 /nfs/dbraw/zinc/62/72/64/1073627264.db2.gz FYYXQCUROXRKQB-RBSFLKMASA-N 0 0 429.520 -0.636 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3ccccn3)C[C@@H]21)N1CCOCC1 ZINC001259284329 1073627248 /nfs/dbraw/zinc/62/72/48/1073627248.db2.gz FYYXQCUROXRKQB-RRFJBIMHSA-N 0 0 429.520 -0.636 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1ccccn1)CCC2 ZINC001259285131 1073627136 /nfs/dbraw/zinc/62/71/36/1073627136.db2.gz SRKYBLSAZPPKTG-UHFFFAOYSA-N 0 0 443.489 -0.104 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccccn1)C(=O)NCC(N)=O ZINC001259285698 1073627164 /nfs/dbraw/zinc/62/71/64/1073627164.db2.gz LLXPEMRVHZPUGL-KBPBESRZSA-N 0 0 425.511 -0.633 20 0 IBADRN COc1ccccc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001259305523 1073627821 /nfs/dbraw/zinc/62/78/21/1073627821.db2.gz CLKUDKLSDOKNLG-GJZGRUSLSA-N 0 0 437.474 -0.747 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccccc1OC)NC(=O)CNC(C)=O ZINC001259305539 1073627741 /nfs/dbraw/zinc/62/77/41/1073627741.db2.gz DJQLFYGUCFQNFT-AWEZNQCLSA-N 0 0 429.495 -0.062 20 0 IBADRN O=S(=O)(Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)c1cc(F)cc(F)c1 ZINC001259312518 1073627784 /nfs/dbraw/zinc/62/77/84/1073627784.db2.gz PJACPMSRXNQNCO-DISONHOPSA-N 0 0 447.412 -0.056 20 0 IBADRN O=S(=O)(Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1)c1cc(F)cc(F)c1 ZINC001259312519 1073627828 /nfs/dbraw/zinc/62/78/28/1073627828.db2.gz PJACPMSRXNQNCO-ZBRFXRBCSA-N 0 0 447.412 -0.056 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cc(F)cc(F)c1 ZINC001259316126 1073627925 /nfs/dbraw/zinc/62/79/25/1073627925.db2.gz GWGSSICVVQWPNB-HOTGVXAUSA-N 0 0 443.428 -0.478 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cc(F)cc(F)c1 ZINC001259316423 1073627808 /nfs/dbraw/zinc/62/78/08/1073627808.db2.gz UPKRPYLAGBLBLB-HOTGVXAUSA-N 0 0 427.429 -0.183 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(S(=O)(=O)c3ccc(CCC(=O)OC)cc3)C[C@H]21 ZINC001259320341 1073627776 /nfs/dbraw/zinc/62/77/76/1073627776.db2.gz JNNZONPLKKAGCD-SJORKVTESA-N 0 0 425.507 -0.388 20 0 IBADRN COC(=O)CCc1ccc(S(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC001259329018 1073627838 /nfs/dbraw/zinc/62/78/38/1073627838.db2.gz HEFVUHQXPQJRQS-UHFFFAOYSA-N 0 0 433.552 -0.352 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(S(=O)(=O)c1ccc3c(c1)OCCO3)C2 ZINC001259342332 1073627907 /nfs/dbraw/zinc/62/79/07/1073627907.db2.gz GATJLGRANFLILE-UHFFFAOYSA-N 0 0 437.478 -0.141 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001259348443 1073627758 /nfs/dbraw/zinc/62/77/58/1073627758.db2.gz DEJLIJSEFWBRGR-HNNXBMFYSA-N 0 0 435.458 -0.346 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001259349192 1073627917 /nfs/dbraw/zinc/62/79/17/1073627917.db2.gz RNBDCOKAXAXIER-SRVKXCTJSA-N 0 0 443.478 -0.693 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001259349556 1073627769 /nfs/dbraw/zinc/62/77/69/1073627769.db2.gz OWNMEQNIKCBSAY-HOTGVXAUSA-N 0 0 449.485 -0.690 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001259349561 1073627849 /nfs/dbraw/zinc/62/78/49/1073627849.db2.gz PEYUFESRKXZPPM-HNNXBMFYSA-N 0 0 435.458 -0.346 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)CCO2 ZINC001259360251 1073627800 /nfs/dbraw/zinc/62/78/00/1073627800.db2.gz FLJAHOVZRDRZAW-SRVKXCTJSA-N 0 0 427.479 -0.529 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccc2c(c1)CCO2)NC(=O)CNC(C)=O ZINC001259361132 1073627844 /nfs/dbraw/zinc/62/78/44/1073627844.db2.gz FQYAEIYIAHPCQD-INIZCTEOSA-N 0 0 441.506 -0.136 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2c(c1)CCO2 ZINC001259361340 1073627716 /nfs/dbraw/zinc/62/77/16/1073627716.db2.gz QFCPFXQDCXBTLC-IRXDYDNUSA-N 0 0 449.485 -0.821 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc2c(c1)CCO2 ZINC001259361406 1073628465 /nfs/dbraw/zinc/62/84/65/1073628465.db2.gz TYKFYGFZHKCGAH-IRXDYDNUSA-N 0 0 433.486 -0.527 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2ccc(-n3cccn3)cc2)c(=O)[nH]c1=O ZINC001259373366 1073628477 /nfs/dbraw/zinc/62/84/77/1073628477.db2.gz DVKPPNOQYBTYQL-UHFFFAOYSA-N 0 0 432.462 -0.462 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(S(=O)(=O)c1ccc3[nH]c(=O)oc3c1)C2 ZINC001259380875 1073628423 /nfs/dbraw/zinc/62/84/23/1073628423.db2.gz UJFANJQAYAYWLI-UHFFFAOYSA-N 0 0 436.450 -0.066 20 0 IBADRN Cc1cn([C@H]2C[C@H](NS(=O)(=O)c3ccc4[nH]c(=O)oc4c3)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001259388551 1073628452 /nfs/dbraw/zinc/62/84/52/1073628452.db2.gz LJATXKSYEPVPAO-IACUBPJLSA-N 0 0 438.418 -0.269 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001259389814 1073628501 /nfs/dbraw/zinc/62/85/01/1073628501.db2.gz CMUOOPDLJFHJQU-AWEZNQCLSA-N 0 0 434.430 -0.270 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001259389964 1073628413 /nfs/dbraw/zinc/62/84/13/1073628413.db2.gz JGIHOCOVXGWZEI-AWEZNQCLSA-N 0 0 434.430 -0.270 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001259390001 1073628510 /nfs/dbraw/zinc/62/85/10/1073628510.db2.gz KVYNXNBVDHXUTI-GUBZILKMSA-N 0 0 442.450 -0.617 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001259390068 1073628544 /nfs/dbraw/zinc/62/85/44/1073628544.db2.gz ORIIZTBBEAGWFS-GJZGRUSLSA-N 0 0 448.457 -0.615 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2ccc3ncsc3c2)c(=O)[nH]1 ZINC001259400631 1073628577 /nfs/dbraw/zinc/62/85/77/1073628577.db2.gz ZVXQJPZVIQEXSF-JUDXGUMMSA-N 0 0 440.459 -0.844 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc2ncsc2c1 ZINC001259400946 1073628493 /nfs/dbraw/zinc/62/84/93/1073628493.db2.gz QLYUIISXJRMXMN-LBPRGKRZSA-N 0 0 425.492 -0.833 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1 ZINC001259410542 1073628569 /nfs/dbraw/zinc/62/85/69/1073628569.db2.gz QQJKMJMJVHIILP-MWQQHZPXSA-N 0 0 441.462 -0.666 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)cc1 ZINC001259411548 1073628551 /nfs/dbraw/zinc/62/85/51/1073628551.db2.gz ZYGULZAHSVCSGI-HNNXBMFYSA-N 0 0 426.495 -0.655 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2ccc(OC(F)F)cc2)c(=O)[nH]1 ZINC001259434646 1073628559 /nfs/dbraw/zinc/62/85/59/1073628559.db2.gz HNWZFBWSUHYEFR-FMKGYKFTSA-N 0 0 449.388 -0.852 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2ccc(OC(F)F)cc2)c(=O)[nH]c1=O ZINC001259434985 1073629020 /nfs/dbraw/zinc/62/90/20/1073629020.db2.gz RGOFYUAMDGZOIG-UHFFFAOYSA-N 0 0 432.405 -0.047 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(OC(F)F)cc1 ZINC001259437595 1073629045 /nfs/dbraw/zinc/62/90/45/1073629045.db2.gz QEEORGTXWWOAPN-LBPRGKRZSA-N 0 0 434.421 -0.841 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cccc(OC(F)F)c2)c(=O)[nH]1 ZINC001259450156 1073629009 /nfs/dbraw/zinc/62/90/09/1073629009.db2.gz HLDOQJCQMRONDI-FMKGYKFTSA-N 0 0 449.388 -0.852 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2cccc(OC(F)F)c2)c(=O)[nH]c1=O ZINC001259450421 1073629153 /nfs/dbraw/zinc/62/91/53/1073629153.db2.gz PNJZMVGFYXAZCC-UHFFFAOYSA-N 0 0 432.405 -0.047 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cccc(OC(F)F)c1 ZINC001259451891 1073629163 /nfs/dbraw/zinc/62/91/63/1073629163.db2.gz MKIRVKHSUPAACS-LBPRGKRZSA-N 0 0 434.421 -0.841 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cc(Cl)ccc2F)c(=O)[nH]1 ZINC001259461282 1073628978 /nfs/dbraw/zinc/62/89/78/1073628978.db2.gz LXMPNSGZGUMIHO-ICGCDAGXSA-N 0 0 435.817 -0.661 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cccc(Cl)c2F)c(=O)[nH]1 ZINC001259472133 1073629101 /nfs/dbraw/zinc/62/91/01/1073629101.db2.gz KNXAHEJTIPEZCG-HKSFMPNISA-N 0 0 435.817 -0.661 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)cc1F ZINC001259484677 1073629178 /nfs/dbraw/zinc/62/91/78/1073629178.db2.gz UZMAWGONDVTGIH-IRXDYDNUSA-N 0 0 439.465 -0.308 20 0 IBADRN Cc1cc(F)ccc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001259494317 1073629125 /nfs/dbraw/zinc/62/91/25/1073629125.db2.gz KHSHTNORKWVCGE-HOTGVXAUSA-N 0 0 439.465 -0.308 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(F)c(F)c(F)c1 ZINC001259505533 1073628944 /nfs/dbraw/zinc/62/89/44/1073628944.db2.gz PGNRPEAGFBCRQJ-CIUDSAMLSA-N 0 0 439.412 -0.047 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cc(F)c(F)c(F)c1 ZINC001259505637 1073628964 /nfs/dbraw/zinc/62/89/64/1073628964.db2.gz SWVCVHJGOVWHTG-GJZGRUSLSA-N 0 0 445.419 -0.044 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2ccc(Cl)cc2F)c(=O)[nH]1 ZINC001259514659 1073629191 /nfs/dbraw/zinc/62/91/91/1073629191.db2.gz ZIXGFZAQVADOGD-ICGCDAGXSA-N 0 0 435.817 -0.661 20 0 IBADRN Cc1cc(C)cc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c1 ZINC001259530335 1073629080 /nfs/dbraw/zinc/62/90/80/1073629080.db2.gz ZJDILQMDXSJYMZ-ROUUACIJSA-N 0 0 435.502 -0.139 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2ccc(NC(=O)N3CCOCC3)cc2)CC1 ZINC001259534835 1073629830 /nfs/dbraw/zinc/62/98/30/1073629830.db2.gz LIPCOTUZUYTFKA-UHFFFAOYSA-N 0 0 439.538 -0.055 20 0 IBADRN COC(=O)CN(CC(=O)OC)S(=O)(=O)c1ccc(NC(=O)N2CCOCC2)cc1 ZINC001259535035 1073629845 /nfs/dbraw/zinc/62/98/45/1073629845.db2.gz SLZBVYJSKHWILJ-UHFFFAOYSA-N 0 0 429.451 -0.113 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(NC(=O)N3CCOCC3)cc2)CC1 ZINC001259535087 1073629854 /nfs/dbraw/zinc/62/98/54/1073629854.db2.gz VINXBLMZGQBAKD-UHFFFAOYSA-N 0 0 432.524 -0.183 20 0 IBADRN COC(=O)C[C@H](NS(=O)(=O)c1ccc(NC(=O)N2CCOCC2)cc1)C(=O)OC ZINC001259539172 1073629816 /nfs/dbraw/zinc/62/98/16/1073629816.db2.gz ZEXGFWNMCMQZPN-AWEZNQCLSA-N 0 0 429.451 -0.066 20 0 IBADRN CCOC(=O)CNC(=O)CNS(=O)(=O)c1ccc(NC(=O)N2CCOCC2)cc1 ZINC001259539210 1073629850 /nfs/dbraw/zinc/62/98/50/1073629850.db2.gz GDJRHOQRTNLFJC-UHFFFAOYSA-N 0 0 428.467 -0.492 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(NC(=O)N2CCOCC2)cc1)N1CCOCC1 ZINC001259539446 1073629812 /nfs/dbraw/zinc/62/98/12/1073629812.db2.gz PAPININUXUZDBR-UHFFFAOYSA-N 0 0 441.510 -0.129 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(=O)N3CCOCC3)cc2)CC1 ZINC001259540430 1073629857 /nfs/dbraw/zinc/62/98/57/1073629857.db2.gz WBAHVXXDTQNGTE-UHFFFAOYSA-N 0 0 439.538 -0.007 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(=O)N2CCOCC2)cc1 ZINC001259540631 1073629829 /nfs/dbraw/zinc/62/98/29/1073629829.db2.gz FULIVKAAQDSNGM-STQMWFEESA-N 0 0 442.494 -0.105 20 0 IBADRN COC(=O)CC[C@H](NS(=O)(=O)c1ccc(NC(=O)N2CCOCC2)cc1)C(N)=O ZINC001259541350 1073629807 /nfs/dbraw/zinc/62/98/07/1073629807.db2.gz GDKHTGRZJJIOLD-AWEZNQCLSA-N 0 0 428.467 -0.364 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NCCN2CCCS2(=O)=O)cc1)N1CCOCC1 ZINC001259541539 1073629783 /nfs/dbraw/zinc/62/97/83/1073629783.db2.gz AAJPUOXPIUGVLR-UHFFFAOYSA-N 0 0 432.524 -0.136 20 0 IBADRN COC(=O)CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(=O)N2CCOCC2)cc1 ZINC001259541728 1073629763 /nfs/dbraw/zinc/62/97/63/1073629763.db2.gz QNZOOZHSCXWKSX-LBPRGKRZSA-N 0 0 428.467 -0.493 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NS(=O)(=O)c2ccc(NC(=O)N3CCOCC3)cc2)C1 ZINC001259541974 1073629756 /nfs/dbraw/zinc/62/97/56/1073629756.db2.gz BYJCEQSQROPZKQ-AWEZNQCLSA-N 0 0 432.524 -0.137 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NS(=O)(=O)c2ccc(NC(=O)N3CCOCC3)cc2)C1 ZINC001259541977 1073629840 /nfs/dbraw/zinc/62/98/40/1073629840.db2.gz BYJCEQSQROPZKQ-CQSZACIVSA-N 0 0 432.524 -0.137 20 0 IBADRN C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1ccc(NC(=O)N2CCOCC2)cc1)C(N)=O ZINC001259542484 1073629772 /nfs/dbraw/zinc/62/97/72/1073629772.db2.gz KFBXFGIVGATLIO-RYUDHWBXSA-N 0 0 427.483 -0.793 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)NCCN2CCS(=O)(=O)CC2)cc1)N1CCOCC1 ZINC001259542863 1073630541 /nfs/dbraw/zinc/63/05/41/1073630541.db2.gz XFGYDIHDIZVBFD-UHFFFAOYSA-N 0 0 446.551 -0.441 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1cccnc1)CCC2 ZINC001259604502 1073630608 /nfs/dbraw/zinc/63/06/08/1073630608.db2.gz BDFZKJNLNJTGFE-UHFFFAOYSA-N 0 0 443.489 -0.104 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2cccnc2)CC3)C1=O ZINC001259604882 1073630621 /nfs/dbraw/zinc/63/06/21/1073630621.db2.gz SPZAQZOLZFCLLZ-GOSISDBHSA-N 0 0 442.563 -0.024 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2cccnc2)CC3)C1=O ZINC001259604887 1073630585 /nfs/dbraw/zinc/63/05/85/1073630585.db2.gz SPZAQZOLZFCLLZ-SFHVURJKSA-N 0 0 442.563 -0.024 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3cccnc3)C[C@@H]21)N1CCOCC1 ZINC001259605142 1073630563 /nfs/dbraw/zinc/63/05/63/1073630563.db2.gz WCKWDPIAYYCNPU-ARFHVFGLSA-N 0 0 429.520 -0.636 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)c3cccnc3)C[C@@H]21)N1CCOCC1 ZINC001259605146 1073630415 /nfs/dbraw/zinc/63/04/15/1073630415.db2.gz WCKWDPIAYYCNPU-BZUAXINKSA-N 0 0 429.520 -0.636 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccnc1)C(=O)NCC(N)=O ZINC001259605620 1073630456 /nfs/dbraw/zinc/63/04/56/1073630456.db2.gz OTQUOCPNKGVPPY-GJZGRUSLSA-N 0 0 425.511 -0.633 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)CC1CCCCC1 ZINC001259623633 1073630503 /nfs/dbraw/zinc/63/05/03/1073630503.db2.gz OQBNVFWQWYZBSD-IRXDYDNUSA-N 0 0 427.523 -0.235 20 0 IBADRN O=C1CCCCN1CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259624064 1073630516 /nfs/dbraw/zinc/63/05/16/1073630516.db2.gz OQEDRVVXHHQPTM-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCN(C3COC3)CC2)cc1)N1CCOCC1 ZINC001259624140 1073630570 /nfs/dbraw/zinc/63/05/70/1073630570.db2.gz AILAPZKQDAEPOA-UHFFFAOYSA-N 0 0 431.536 -0.587 20 0 IBADRN CCC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001259624281 1073630580 /nfs/dbraw/zinc/63/05/80/1073630580.db2.gz KTBOVWDKFNPKEV-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CC(=O)N1CCC2(CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2)C1 ZINC001259624934 1073631175 /nfs/dbraw/zinc/63/11/75/1073631175.db2.gz AHTUPQYPSGQRCG-UHFFFAOYSA-N 0 0 443.547 -0.050 20 0 IBADRN CC(C)(C)N(C[C@@H](O)CO)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259624990 1073631219 /nfs/dbraw/zinc/63/12/19/1073631219.db2.gz CFLVMMODSIARGF-CQSZACIVSA-N 0 0 436.552 -0.150 20 0 IBADRN O=C1NCC[C@@]12CCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC001259625138 1073631185 /nfs/dbraw/zinc/63/11/85/1073631185.db2.gz FKWIAZSQBXSIRD-KRWDZBQOSA-N 0 0 429.520 -0.392 20 0 IBADRN O=C1NCC[C@]12CCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC001259625142 1073631212 /nfs/dbraw/zinc/63/12/12/1073631212.db2.gz FKWIAZSQBXSIRD-QGZVFWFLSA-N 0 0 429.520 -0.392 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](F)CN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259625388 1073631239 /nfs/dbraw/zinc/63/12/39/1073631239.db2.gz IBTLAGATSPGLBY-DOMZBBRYSA-N 0 0 436.483 -0.018 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC(=O)N1C1CC1 ZINC001259625586 1073631228 /nfs/dbraw/zinc/63/12/28/1073631228.db2.gz YIMYXPIAQPHTMH-UHFFFAOYSA-N 0 0 443.503 -0.771 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCc3nncn3CC2)cc1)N1CCOCC1 ZINC001259625763 1073631168 /nfs/dbraw/zinc/63/11/68/1073631168.db2.gz PPTCNJHOHRYDHV-UHFFFAOYSA-N 0 0 427.508 -0.454 20 0 IBADRN C[C@]1(CO)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H]1O ZINC001259625783 1073631234 /nfs/dbraw/zinc/63/12/34/1073631234.db2.gz QDOVWKAPCPUNOC-DLBZAZTESA-N 0 0 434.536 -0.539 20 0 IBADRN C[C@]1(CO)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H]1O ZINC001259625784 1073631205 /nfs/dbraw/zinc/63/12/05/1073631205.db2.gz QDOVWKAPCPUNOC-IAGOWNOFSA-N 0 0 434.536 -0.539 20 0 IBADRN C[C@@]1(CO)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H]1O ZINC001259625785 1073631215 /nfs/dbraw/zinc/63/12/15/1073631215.db2.gz QDOVWKAPCPUNOC-IRXDYDNUSA-N 0 0 434.536 -0.539 20 0 IBADRN C[C@@]1(CO)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H]1O ZINC001259625786 1073631138 /nfs/dbraw/zinc/63/11/38/1073631138.db2.gz QDOVWKAPCPUNOC-SJORKVTESA-N 0 0 434.536 -0.539 20 0 IBADRN CN1C[C@@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@@H]2C1=O ZINC001259625803 1073631812 /nfs/dbraw/zinc/63/18/12/1073631812.db2.gz RQOCWHABBXWMPI-CJNGLKHVSA-N 0 0 429.520 -0.584 20 0 IBADRN COC[C@]12COC[C@H]1CN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC001259625818 1073631760 /nfs/dbraw/zinc/63/17/60/1073631760.db2.gz SBJOXVSWZRULTA-CRAIPNDOSA-N 0 0 446.547 -0.009 20 0 IBADRN O=C1NCC[C@@]12CCCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC001259625850 1073631746 /nfs/dbraw/zinc/63/17/46/1073631746.db2.gz UJPPZNUCNWXKLI-GOSISDBHSA-N 0 0 443.547 -0.002 20 0 IBADRN O=C1NCC[C@]12CCCN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCOCC3)cc1)C2 ZINC001259625851 1073631844 /nfs/dbraw/zinc/63/18/44/1073631844.db2.gz UJPPZNUCNWXKLI-SFHVURJKSA-N 0 0 443.547 -0.002 20 0 IBADRN CCN1C(=O)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001259625874 1073631751 /nfs/dbraw/zinc/63/17/51/1073631751.db2.gz VEMJZACWTHJGLB-UHFFFAOYSA-N 0 0 431.492 -0.913 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCO[C@@H]3C[C@@H](CO)C[C@H]32)cc1)N1CCOCC1 ZINC001259625887 1073631776 /nfs/dbraw/zinc/63/17/76/1073631776.db2.gz VWQAGMPKGWWOOL-BMGDILEWSA-N 0 0 446.547 -0.132 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCO[C@@H]3C[C@H](CO)C[C@@H]32)cc1)N1CCOCC1 ZINC001259625888 1073631767 /nfs/dbraw/zinc/63/17/67/1073631767.db2.gz VWQAGMPKGWWOOL-FHLIZLRMSA-N 0 0 446.547 -0.132 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCO[C@@H]3C[C@@H](CO)C[C@@H]32)cc1)N1CCOCC1 ZINC001259625889 1073631704 /nfs/dbraw/zinc/63/17/04/1073631704.db2.gz VWQAGMPKGWWOOL-JCGIZDLHSA-N 0 0 446.547 -0.132 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCO[C@@H]3C[C@H](CO)C[C@H]32)cc1)N1CCOCC1 ZINC001259625890 1073631825 /nfs/dbraw/zinc/63/18/25/1073631825.db2.gz VWQAGMPKGWWOOL-ZTFGCOKTSA-N 0 0 446.547 -0.132 20 0 IBADRN C[C@]1(CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[C@@H]1O ZINC001259625974 1073631791 /nfs/dbraw/zinc/63/17/91/1073631791.db2.gz ZSFXVVDCPCTKKP-DLBZAZTESA-N 0 0 434.536 -0.539 20 0 IBADRN C[C@]1(CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[C@H]1O ZINC001259625975 1073631736 /nfs/dbraw/zinc/63/17/36/1073631736.db2.gz ZSFXVVDCPCTKKP-IAGOWNOFSA-N 0 0 434.536 -0.539 20 0 IBADRN C[C@@]1(CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[C@@H]1O ZINC001259625976 1073631836 /nfs/dbraw/zinc/63/18/36/1073631836.db2.gz ZSFXVVDCPCTKKP-IRXDYDNUSA-N 0 0 434.536 -0.539 20 0 IBADRN C[C@@]1(CO)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC[C@H]1O ZINC001259625977 1073631710 /nfs/dbraw/zinc/63/17/10/1073631710.db2.gz ZSFXVVDCPCTKKP-SJORKVTESA-N 0 0 434.536 -0.539 20 0 IBADRN CC1(C(N)=O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001259626035 1073631672 /nfs/dbraw/zinc/63/16/72/1073631672.db2.gz DBLWBHQACKGVGO-UHFFFAOYSA-N 0 0 431.536 -0.016 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC(N2CCOCC2)C1 ZINC001259626101 1073631784 /nfs/dbraw/zinc/63/17/84/1073631784.db2.gz IGYYFOXVGCBLBA-UHFFFAOYSA-N 0 0 431.536 -0.587 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H]2CCCN12 ZINC001259626288 1073631817 /nfs/dbraw/zinc/63/18/17/1073631817.db2.gz UCSQLHXJSHBHND-AWEZNQCLSA-N 0 0 429.520 -0.297 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H]2CCCN12 ZINC001259626289 1073631799 /nfs/dbraw/zinc/63/17/99/1073631799.db2.gz UCSQLHXJSHBHND-CQSZACIVSA-N 0 0 429.520 -0.297 20 0 IBADRN CN(C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1)[C@H]1CCOC1 ZINC001259626320 1073631686 /nfs/dbraw/zinc/63/16/86/1073631686.db2.gz VVFOQJQDYGMVTP-HNNXBMFYSA-N 0 0 445.563 -0.199 20 0 IBADRN CN(C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1)[C@@H]1CCOC1 ZINC001259626321 1073631718 /nfs/dbraw/zinc/63/17/18/1073631718.db2.gz VVFOQJQDYGMVTP-OAHLLOKOSA-N 0 0 445.563 -0.199 20 0 IBADRN O=C1C[C@@H]2COC[C@H](C1)N2S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259626334 1073631740 /nfs/dbraw/zinc/63/17/40/1073631740.db2.gz WMYUQKRZEQGHPY-OKILXGFUSA-N 0 0 430.504 -0.172 20 0 IBADRN CN(C1COC1)C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259626352 1073632033 /nfs/dbraw/zinc/63/20/33/1073632033.db2.gz XJGUFKJRSWGDAO-UHFFFAOYSA-N 0 0 431.536 -0.589 20 0 IBADRN O=C1NC[C@@H]2CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@H]12 ZINC001259626398 1073632088 /nfs/dbraw/zinc/63/20/88/1073632088.db2.gz ZPTRPWGKXPDANJ-BBRMVZONSA-N 0 0 429.520 -0.536 20 0 IBADRN CNC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001259626469 1073632200 /nfs/dbraw/zinc/63/22/00/1073632200.db2.gz AFDLHTDPNMQYCF-UHFFFAOYSA-N 0 0 432.524 -0.647 20 0 IBADRN O=C1NCC[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@@H]12 ZINC001259626525 1073632148 /nfs/dbraw/zinc/63/21/48/1073632148.db2.gz FAYBOGATNROSBH-XJKSGUPXSA-N 0 0 429.520 -0.536 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCCO1 ZINC001259626550 1073632188 /nfs/dbraw/zinc/63/21/88/1073632188.db2.gz GROSUXLLKNZWIK-INIZCTEOSA-N 0 0 448.519 -0.340 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCCO1 ZINC001259626551 1073632215 /nfs/dbraw/zinc/63/22/15/1073632215.db2.gz GROSUXLLKNZWIK-MRXNPFEDSA-N 0 0 448.519 -0.340 20 0 IBADRN CCN1C[C@@H](C)N(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001259626645 1073632075 /nfs/dbraw/zinc/63/20/75/1073632075.db2.gz MJDSCPVXGVPKJM-CQSZACIVSA-N 0 0 431.536 -0.051 20 0 IBADRN CC(=O)N(C)[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259626654 1073632113 /nfs/dbraw/zinc/63/21/13/1073632113.db2.gz NCLGRUJASLPFBH-HNNXBMFYSA-N 0 0 431.536 -0.051 20 0 IBADRN CC(=O)N(C)[C@@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259626655 1073632222 /nfs/dbraw/zinc/63/22/22/1073632222.db2.gz NCLGRUJASLPFBH-OAHLLOKOSA-N 0 0 431.536 -0.051 20 0 IBADRN COC(=O)CO[C@H]1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259626670 1073632178 /nfs/dbraw/zinc/63/21/78/1073632178.db2.gz AHXFIOHTLKEBLY-AWEZNQCLSA-N 0 0 448.519 -0.340 20 0 IBADRN O=C1NC[C@]2(CCCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2)O1 ZINC001259626732 1073632123 /nfs/dbraw/zinc/63/21/23/1073632123.db2.gz NZWZFYSDDOHFOP-KRWDZBQOSA-N 0 0 445.519 -0.029 20 0 IBADRN O=C1NC[C@@]2(CCCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2)O1 ZINC001259626733 1073632060 /nfs/dbraw/zinc/63/20/60/1073632060.db2.gz NZWZFYSDDOHFOP-QGZVFWFLSA-N 0 0 445.519 -0.029 20 0 IBADRN CCOC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259626747 1073632134 /nfs/dbraw/zinc/63/21/34/1073632134.db2.gz OHYMKRMALRWKQN-INIZCTEOSA-N 0 0 448.519 -0.340 20 0 IBADRN CCOC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259626751 1073632172 /nfs/dbraw/zinc/63/21/72/1073632172.db2.gz OHYMKRMALRWKQN-MRXNPFEDSA-N 0 0 448.519 -0.340 20 0 IBADRN C[NH+](C)C[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259627057 1073632049 /nfs/dbraw/zinc/63/20/49/1073632049.db2.gz SLZLJLHVEMNCGS-HNNXBMFYSA-N 0 0 433.552 -0.341 20 0 IBADRN C[NH+](C)C[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259627058 1073632098 /nfs/dbraw/zinc/63/20/98/1073632098.db2.gz SLZLJLHVEMNCGS-OAHLLOKOSA-N 0 0 433.552 -0.341 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259627062 1073632167 /nfs/dbraw/zinc/63/21/67/1073632167.db2.gz KZSLNPVNZCTUEG-INIZCTEOSA-N 0 0 447.535 -0.815 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259627065 1073632027 /nfs/dbraw/zinc/63/20/27/1073632027.db2.gz KZSLNPVNZCTUEG-MRXNPFEDSA-N 0 0 447.535 -0.815 20 0 IBADRN O=C1NCC2(CCN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CC2)N1 ZINC001259627122 1073632633 /nfs/dbraw/zinc/63/26/33/1073632633.db2.gz VWXBYCJZVOTKEI-UHFFFAOYSA-N 0 0 444.535 -0.456 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H]1C ZINC001259627128 1073632609 /nfs/dbraw/zinc/63/26/09/1073632609.db2.gz WCWHURTXTNYCQD-AWEZNQCLSA-N 0 0 431.536 -0.051 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H]1C ZINC001259627129 1073632640 /nfs/dbraw/zinc/63/26/40/1073632640.db2.gz WCWHURTXTNYCQD-CQSZACIVSA-N 0 0 431.536 -0.051 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1C ZINC001259627150 1073632732 /nfs/dbraw/zinc/63/27/32/1073632732.db2.gz XGPQRMLHIMUYLP-INIZCTEOSA-N 0 0 447.535 -0.815 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1C ZINC001259627151 1073632689 /nfs/dbraw/zinc/63/26/89/1073632689.db2.gz XGPQRMLHIMUYLP-MRXNPFEDSA-N 0 0 447.535 -0.815 20 0 IBADRN CNC(=O)N[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259627244 1073632744 /nfs/dbraw/zinc/63/27/44/1073632744.db2.gz NKUPKXDPRATUJR-AWEZNQCLSA-N 0 0 446.551 -0.210 20 0 IBADRN COC(=O)[C@]1(C)COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259627289 1073632739 /nfs/dbraw/zinc/63/27/39/1073632739.db2.gz PDIHOJJSNGGVQE-KRWDZBQOSA-N 0 0 448.519 -0.340 20 0 IBADRN COC(=O)[C@@]1(C)COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259627290 1073632681 /nfs/dbraw/zinc/63/26/81/1073632681.db2.gz PDIHOJJSNGGVQE-QGZVFWFLSA-N 0 0 448.519 -0.340 20 0 IBADRN CCNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259627374 1073632700 /nfs/dbraw/zinc/63/27/00/1073632700.db2.gz QVLNZRBGCNZSBG-INIZCTEOSA-N 0 0 431.536 -0.003 20 0 IBADRN CN1CCC2(CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2)C1=O ZINC001259627551 1073632712 /nfs/dbraw/zinc/63/27/12/1073632712.db2.gz ZSNMUPYEXVLBJO-UHFFFAOYSA-N 0 0 429.520 -0.440 20 0 IBADRN CN(C(=O)CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CC1 ZINC001259628665 1073632625 /nfs/dbraw/zinc/63/26/25/1073632625.db2.gz RGYAUANGPLMUIL-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN O=c1[nH][nH]cc1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259628884 1073632649 /nfs/dbraw/zinc/63/26/49/1073632649.db2.gz IGUXQOURLWYUFX-CYBMUJFWSA-N 0 0 430.508 -0.502 20 0 IBADRN O=c1[nH][nH]cc1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259628885 1073632669 /nfs/dbraw/zinc/63/26/69/1073632669.db2.gz IGUXQOURLWYUFX-ZDUSSCGKSA-N 0 0 430.508 -0.502 20 0 IBADRN CC(=O)N1C[C@H]2C[C@@]2(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259628977 1073632696 /nfs/dbraw/zinc/63/26/96/1073632696.db2.gz PZMDGFSDKVAVCL-RHSMWYFYSA-N 0 0 429.520 -0.393 20 0 IBADRN CC(=O)N1C[C@@H]2C[C@]2(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259628979 1073632629 /nfs/dbraw/zinc/63/26/29/1073632629.db2.gz PZMDGFSDKVAVCL-YOEHRIQHSA-N 0 0 429.520 -0.393 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCCC1 ZINC001259629068 1073633328 /nfs/dbraw/zinc/63/33/28/1073633328.db2.gz WVFIBSGHNIZIFM-UHFFFAOYSA-N 0 0 431.536 -0.002 20 0 IBADRN CC(=O)N1CCC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259629075 1073633362 /nfs/dbraw/zinc/63/33/62/1073633362.db2.gz XKDANBVZRQJWCK-HNNXBMFYSA-N 0 0 431.536 -0.003 20 0 IBADRN CC(=O)N1CCC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259629076 1073633347 /nfs/dbraw/zinc/63/33/47/1073633347.db2.gz XKDANBVZRQJWCK-OAHLLOKOSA-N 0 0 431.536 -0.003 20 0 IBADRN O=S(=O)(NC1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629100 1073633340 /nfs/dbraw/zinc/63/33/40/1073633340.db2.gz YTLSDGTXHVLBST-UHFFFAOYSA-N 0 0 438.549 -0.437 20 0 IBADRN CCOC(=O)[C@@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H](C)O ZINC001259629124 1073633322 /nfs/dbraw/zinc/63/33/22/1073633322.db2.gz ARVMYQNPXXECJD-DOMZBBRYSA-N 0 0 436.508 -0.702 20 0 IBADRN O=S(=O)(NCCOCCOCCO)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629144 1073633305 /nfs/dbraw/zinc/63/33/05/1073633305.db2.gz CAGLEDTZWFVJOL-UHFFFAOYSA-N 0 0 438.524 -0.989 20 0 IBADRN Cn1c(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)cc(=O)[nH]c1=O ZINC001259629170 1073633231 /nfs/dbraw/zinc/63/32/31/1073633231.db2.gz DZHWIDQPLQANLJ-UHFFFAOYSA-N 0 0 430.464 -0.692 20 0 IBADRN CS(=O)(=O)[C@H]1C[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259629219 1073633333 /nfs/dbraw/zinc/63/33/33/1073633333.db2.gz HTYITXZXOMTCOW-JNSHFYNHSA-N 0 0 438.549 -0.439 20 0 IBADRN CS(=O)(=O)[C@H]1C[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259629220 1073633268 /nfs/dbraw/zinc/63/32/68/1073633268.db2.gz HTYITXZXOMTCOW-NNUKFRKNSA-N 0 0 438.549 -0.439 20 0 IBADRN Cn1ccc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)cc1=O ZINC001259629286 1073633293 /nfs/dbraw/zinc/63/32/93/1073633293.db2.gz MMTIDKKZBHYTSD-UHFFFAOYSA-N 0 0 427.504 -0.115 20 0 IBADRN COC(=O)CCC(=O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629297 1073633250 /nfs/dbraw/zinc/63/32/50/1073633250.db2.gz NELHCKQKORMWQJ-UHFFFAOYSA-N 0 0 434.492 -0.492 20 0 IBADRN CCOC(=O)CC1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)COC1 ZINC001259629298 1073633297 /nfs/dbraw/zinc/63/32/97/1073633297.db2.gz NFDBQEGZIGOBGP-UHFFFAOYSA-N 0 0 448.519 -0.292 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H](C)O ZINC001259629318 1073633260 /nfs/dbraw/zinc/63/32/60/1073633260.db2.gz ARVMYQNPXXECJD-IUODEOHRSA-N 0 0 436.508 -0.702 20 0 IBADRN O=S(=O)(NCC1(N2CCCC2)COC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629325 1073633279 /nfs/dbraw/zinc/63/32/79/1073633279.db2.gz OATSNEJEFHNAQV-UHFFFAOYSA-N 0 0 445.563 -0.149 20 0 IBADRN O=S(=O)(NCCN1CCC(O)CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629330 1073633312 /nfs/dbraw/zinc/63/33/12/1073633312.db2.gz OGOFOOYBLDFSMV-UHFFFAOYSA-N 0 0 433.552 -0.558 20 0 IBADRN O=S(=O)(N[C@@H]1CCCN(C2COC2)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629449 1073633356 /nfs/dbraw/zinc/63/33/56/1073633356.db2.gz QUNDJVSLPZZZFJ-OAHLLOKOSA-N 0 0 445.563 -0.151 20 0 IBADRN O=S(=O)(NC[C@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629535 1073633254 /nfs/dbraw/zinc/63/32/54/1073633254.db2.gz VXTCDGZBOWJRJL-CYBMUJFWSA-N 0 0 438.549 -0.580 20 0 IBADRN O=S(=O)(NC[C@@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629536 1073633272 /nfs/dbraw/zinc/63/32/72/1073633272.db2.gz VXTCDGZBOWJRJL-ZDUSSCGKSA-N 0 0 438.549 -0.580 20 0 IBADRN O=S(=O)(N[C@H]1CCN(C2COC2)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629570 1073633241 /nfs/dbraw/zinc/63/32/41/1073633241.db2.gz XCIKLNNYSNMUKZ-AWEZNQCLSA-N 0 0 431.536 -0.541 20 0 IBADRN O=S(=O)(N[C@H]1COCCC12OCCO2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629575 1073633825 /nfs/dbraw/zinc/63/38/25/1073633825.db2.gz XEFNYNAUKKGDHY-INIZCTEOSA-N 0 0 448.519 -0.482 20 0 IBADRN O=S(=O)(N[C@@H]1COCCC12OCCO2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629578 1073633863 /nfs/dbraw/zinc/63/38/63/1073633863.db2.gz XEFNYNAUKKGDHY-MRXNPFEDSA-N 0 0 448.519 -0.482 20 0 IBADRN O=S(=O)(NCCN1CC2(COC2)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629653 1073633844 /nfs/dbraw/zinc/63/38/44/1073633844.db2.gz GTQPICSYICFNQJ-UHFFFAOYSA-N 0 0 431.536 -0.682 20 0 IBADRN O=S(=O)(NC1CCN(C2COC2)CC1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629667 1073633873 /nfs/dbraw/zinc/63/38/73/1073633873.db2.gz HLBHCOWEILFKTN-UHFFFAOYSA-N 0 0 445.563 -0.151 20 0 IBADRN O=S(=O)(NC1CN(c2ncccn2)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629688 1073633877 /nfs/dbraw/zinc/63/38/77/1073633877.db2.gz INCSWJBPOCCYOH-UHFFFAOYSA-N 0 0 439.519 -0.335 20 0 IBADRN COc1nccc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC001259629693 1073633807 /nfs/dbraw/zinc/63/38/07/1073633807.db2.gz IVYIDNYTPRSWIM-UHFFFAOYSA-N 0 0 428.492 -0.015 20 0 IBADRN O=S(=O)(NC1CN(c2cnccn2)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259629759 1073633860 /nfs/dbraw/zinc/63/38/60/1073633860.db2.gz MFJKDIMIHLSMBJ-UHFFFAOYSA-N 0 0 439.519 -0.335 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOCC1 ZINC001259629775 1073633833 /nfs/dbraw/zinc/63/38/33/1073633833.db2.gz MTIJKICUTYARFA-UHFFFAOYSA-N 0 0 448.519 -0.292 20 0 IBADRN CCOC(=O)C(NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)=C(C)O ZINC001259629786 1073633820 /nfs/dbraw/zinc/63/38/20/1073633820.db2.gz NCVSYOJDPRALFB-HNNXBMFYSA-N 0 0 434.492 -0.494 20 0 IBADRN CCOC(=O)C(NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)=C(C)O ZINC001259629787 1073633811 /nfs/dbraw/zinc/63/38/11/1073633811.db2.gz NCVSYOJDPRALFB-OAHLLOKOSA-N 0 0 434.492 -0.494 20 0 IBADRN O=c1nc2nc[nH]c2c(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[nH]1 ZINC001259629799 1073633867 /nfs/dbraw/zinc/63/38/67/1073633867.db2.gz NTSLMMCWHXRVEJ-UHFFFAOYSA-N 0 0 440.463 -0.120 20 0 IBADRN Cn1c(=O)cc(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)[nH]c1=O ZINC001259629827 1073633856 /nfs/dbraw/zinc/63/38/56/1073633856.db2.gz OVVQKPLSNCJEMO-UHFFFAOYSA-N 0 0 430.464 -0.692 20 0 IBADRN NC(=O)C1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOCC1 ZINC001259629963 1073633816 /nfs/dbraw/zinc/63/38/16/1073633816.db2.gz WHROBGMQILNVND-UHFFFAOYSA-N 0 0 433.508 -0.980 20 0 IBADRN C[C@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCC(=O)NC1=O ZINC001259629983 1073633850 /nfs/dbraw/zinc/63/38/50/1073633850.db2.gz XITYEUDSVQLDMC-INIZCTEOSA-N 0 0 431.492 -0.819 20 0 IBADRN C[C@@]1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCC(=O)NC1=O ZINC001259629984 1073633852 /nfs/dbraw/zinc/63/38/52/1073633852.db2.gz XITYEUDSVQLDMC-MRXNPFEDSA-N 0 0 431.492 -0.819 20 0 IBADRN COc1ccc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)nn1 ZINC001259629986 1073633846 /nfs/dbraw/zinc/63/38/46/1073633846.db2.gz XLBGXDWFYSWYKN-UHFFFAOYSA-N 0 0 428.492 -0.015 20 0 IBADRN O=C(C1CC1)N1CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259630045 1073633800 /nfs/dbraw/zinc/63/38/00/1073633800.db2.gz BFFKLYSJDDVTBN-HNNXBMFYSA-N 0 0 443.547 -0.003 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)C(N)=O ZINC001259630140 1073633858 /nfs/dbraw/zinc/63/38/58/1073633858.db2.gz GQZMQEUGYSEDOT-AWEZNQCLSA-N 0 0 437.565 -0.407 20 0 IBADRN O=S(=O)(NCCN1CCC[C@H](O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259630172 1073634483 /nfs/dbraw/zinc/63/44/83/1073634483.db2.gz HUJRZJAKFKQPOT-HNNXBMFYSA-N 0 0 433.552 -0.558 20 0 IBADRN O=S(=O)(NCCN1CCC[C@@H](O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259630173 1073634414 /nfs/dbraw/zinc/63/44/14/1073634414.db2.gz HUJRZJAKFKQPOT-OAHLLOKOSA-N 0 0 433.552 -0.558 20 0 IBADRN CNC(=O)N1CCC(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001259630362 1073634468 /nfs/dbraw/zinc/63/44/68/1073634468.db2.gz QISMABJLOFHOHJ-UHFFFAOYSA-N 0 0 446.551 -0.210 20 0 IBADRN CC(=O)N1CC[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259630655 1073634392 /nfs/dbraw/zinc/63/43/92/1073634392.db2.gz DHWOLUWAMAIEFO-HNNXBMFYSA-N 0 0 431.536 -0.146 20 0 IBADRN CC(=O)N1CC[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001259630656 1073634461 /nfs/dbraw/zinc/63/44/61/1073634461.db2.gz DHWOLUWAMAIEFO-OAHLLOKOSA-N 0 0 431.536 -0.146 20 0 IBADRN O=S(=O)(NC1CN(c2ccncn2)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259630747 1073634306 /nfs/dbraw/zinc/63/43/06/1073634306.db2.gz KBBRNHLNZCCBMR-UHFFFAOYSA-N 0 0 439.519 -0.335 20 0 IBADRN CN(C)C(=O)C1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCC1 ZINC001259630867 1073634349 /nfs/dbraw/zinc/63/43/49/1073634349.db2.gz MUCXXIHMXYXPAT-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN NC(=O)c1cncc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)c1 ZINC001259630933 1073634438 /nfs/dbraw/zinc/63/44/38/1073634438.db2.gz PPWSDWOUFKLJOR-UHFFFAOYSA-N 0 0 440.503 -0.320 20 0 IBADRN COC(=O)[C@@H]1COCC[C@@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001259630987 1073634336 /nfs/dbraw/zinc/63/43/36/1073634336.db2.gz RXCWFKRJTRHIEK-CVEARBPZSA-N 0 0 448.519 -0.436 20 0 IBADRN Cc1ccc(F)c(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c1 ZINC001259642232 1073634445 /nfs/dbraw/zinc/63/44/45/1073634445.db2.gz ZDWASEFNJZLIBN-HOTGVXAUSA-N 0 0 439.465 -0.308 20 0 IBADRN CNC(=O)CN1CCO[C@H]2CN(S(=O)(=O)CCCOc3ccc(OC)cc3)C[C@H]21 ZINC001259645958 1073634374 /nfs/dbraw/zinc/63/43/74/1073634374.db2.gz SRKWOXCOCOTVFW-MSOLQXFVSA-N 0 0 427.523 -0.075 20 0 IBADRN COc1ccc(OCCCS(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC001259650580 1073635016 /nfs/dbraw/zinc/63/50/16/1073635016.db2.gz HXLANPDOBYRMEP-UHFFFAOYSA-N 0 0 435.568 -0.039 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)CCCOc1ccc(OC)cc1 ZINC001259652503 1073635035 /nfs/dbraw/zinc/63/50/35/1073635035.db2.gz HIFHYNZFUZTPFS-UHFFFAOYSA-N 0 0 445.494 -0.821 20 0 IBADRN COc1ccc(OCCCS(=O)(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)cc1 ZINC001259654601 1073635113 /nfs/dbraw/zinc/63/51/13/1073635113.db2.gz FHCDWHSNGPGGAE-ARYPMIFLSA-N 0 0 435.495 -0.772 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCO[C@H](C(N)=O)C3)c(Cl)c2)CC1 ZINC001259657283 1073635054 /nfs/dbraw/zinc/63/50/54/1073635054.db2.gz JWVSNNFLSDXLDW-AWEZNQCLSA-N 0 0 445.929 -0.006 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCO[C@@H](C(N)=O)C3)c(Cl)c2)CC1 ZINC001259657284 1073634866 /nfs/dbraw/zinc/63/48/66/1073634866.db2.gz JWVSNNFLSDXLDW-CQSZACIVSA-N 0 0 445.929 -0.006 20 0 IBADRN COC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(NC(=O)N2CCN(C)CC2)cc1Cl ZINC001259659667 1073635099 /nfs/dbraw/zinc/63/50/99/1073635099.db2.gz IXKGGZVQHORXLS-CYBMUJFWSA-N 0 0 434.902 -0.069 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)NC(CO)(CO)CO)c(Cl)c2)CC1 ZINC001259660660 1073634912 /nfs/dbraw/zinc/63/49/12/1073634912.db2.gz RBJFTUXKKHYJGW-UHFFFAOYSA-N 0 0 436.918 -0.887 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)NC[C@H]3CNC(=O)CO3)c(Cl)c2)CC1 ZINC001259660878 1073634931 /nfs/dbraw/zinc/63/49/31/1073634931.db2.gz WOVGBRWQIBDNFC-CYBMUJFWSA-N 0 0 445.929 -0.087 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)NC[C@@H]3CNC(=O)CO3)c(Cl)c2)CC1 ZINC001259660882 1073635003 /nfs/dbraw/zinc/63/50/03/1073635003.db2.gz WOVGBRWQIBDNFC-ZDUSSCGKSA-N 0 0 445.929 -0.087 20 0 IBADRN CN1CCN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H](CC(N)=O)C(N)=O)c(Cl)c2)CC1 ZINC001259662448 1073634894 /nfs/dbraw/zinc/63/48/94/1073634894.db2.gz VSABTXQFVHXIIA-LBPRGKRZSA-N 0 0 446.917 -0.873 20 0 IBADRN O=S(=O)(CCc1ccccc1)Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001259738832 1073635078 /nfs/dbraw/zinc/63/50/78/1073635078.db2.gz VZAWDASDWUCPEQ-LCWAXJCOSA-N 0 0 439.486 -0.150 20 0 IBADRN O=S(=O)(CCc1ccccc1)Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001259739302 1073635123 /nfs/dbraw/zinc/63/51/23/1073635123.db2.gz VZAWDASDWUCPEQ-SLHNCBLASA-N 0 0 439.486 -0.150 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)CCc1ccccc1 ZINC001259742433 1073635880 /nfs/dbraw/zinc/63/58/80/1073635880.db2.gz HEIQMWQXIMXPIF-ROUUACIJSA-N 0 0 435.502 -0.572 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)CCc1ccccc1)C(N)=O ZINC001259742456 1073635896 /nfs/dbraw/zinc/63/58/96/1073635896.db2.gz JJQUAKSSWCWTKR-CCKFTAQKSA-N 0 0 449.529 -0.184 20 0 IBADRN Cc1cccc(CS(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c1 ZINC001259753763 1073635736 /nfs/dbraw/zinc/63/57/36/1073635736.db2.gz PUPTVYKGZOGRTR-ROUUACIJSA-N 0 0 435.502 -0.306 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)CCc1ccc(F)cc1 ZINC001259765737 1073635913 /nfs/dbraw/zinc/63/59/13/1073635913.db2.gz DTZALGWTSNIBHX-ROUUACIJSA-N 0 0 437.493 -0.139 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)CCc1ccc(F)cc1 ZINC001259766340 1073635832 /nfs/dbraw/zinc/63/58/32/1073635832.db2.gz YBOGVCFHCNDYQK-AVGNSLFASA-N 0 0 431.486 -0.142 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)CCc1cccc(F)c1 ZINC001259779381 1073635781 /nfs/dbraw/zinc/63/57/81/1073635781.db2.gz IDPRWZDDYKWFAK-ROUUACIJSA-N 0 0 437.493 -0.139 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)CCc1cccc(F)c1 ZINC001259779490 1073635757 /nfs/dbraw/zinc/63/57/57/1073635757.db2.gz OUTYIEWFLWYHHP-AVGNSLFASA-N 0 0 431.486 -0.142 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)CCc1ccccc1F ZINC001259792237 1073635800 /nfs/dbraw/zinc/63/58/00/1073635800.db2.gz POIJZQJDYOSHGW-AVGNSLFASA-N 0 0 431.486 -0.142 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)CCc1ccccc1F ZINC001259792550 1073635587 /nfs/dbraw/zinc/63/55/87/1073635587.db2.gz BIGTXJOUGKSAFY-ROUUACIJSA-N 0 0 437.493 -0.139 20 0 IBADRN O=S(=O)(Nc1[nH]c(=S)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C1CCCC1 ZINC001259795679 1073635623 /nfs/dbraw/zinc/63/56/23/1073635623.db2.gz LYEUMFQDVYOLQE-IDTAVKCVSA-N 0 0 431.496 -0.589 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NS(=O)(=O)C2CCCC2)[C@H]1O ZINC001259805368 1073635844 /nfs/dbraw/zinc/63/58/44/1073635844.db2.gz LONQJCZGASXSBE-CTWCOEIASA-N 0 0 426.499 -0.627 20 0 IBADRN C[C@H](NS(=O)(=O)C1CCCC1)C(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001259807920 1073635499 /nfs/dbraw/zinc/63/54/99/1073635499.db2.gz OEISJUSYOZDMGX-LRDDRELGSA-N 0 0 440.522 -0.729 20 0 IBADRN O=S(=O)(Nc1[nH]c(=S)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)C1CCCCC1 ZINC001259810075 1073635562 /nfs/dbraw/zinc/63/55/62/1073635562.db2.gz UGRQUBNYJAMEQI-SDBHATRESA-N 0 0 445.523 -0.199 20 0 IBADRN CN(C)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](NS(=O)(=O)C2CCCCC2)[C@H]1O ZINC001259817907 1073636269 /nfs/dbraw/zinc/63/62/69/1073636269.db2.gz PSJAVGMYYMQEKQ-HOPMXRPOSA-N 0 0 440.526 -0.236 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)C1CCCCC1)C(N)=O ZINC001259820097 1073636385 /nfs/dbraw/zinc/63/63/85/1073636385.db2.gz YJJQCNJZUOSWML-DQYPLSBCSA-N 0 0 427.523 -0.094 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(S(=O)(=O)c1cnn(C)c1)C2 ZINC001259822042 1073636303 /nfs/dbraw/zinc/63/63/03/1073636303.db2.gz DNFNVJUFNFCAPZ-IBGZPJMESA-N 0 0 447.521 -0.021 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(S(=O)(=O)c1cnn(C)c1)C2 ZINC001259822043 1073636397 /nfs/dbraw/zinc/63/63/97/1073636397.db2.gz DNFNVJUFNFCAPZ-LJQANCHMSA-N 0 0 447.521 -0.021 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)cn1 ZINC001259823062 1073636361 /nfs/dbraw/zinc/63/63/61/1073636361.db2.gz JBOLFMJDVKXCNJ-HYVNUMGLSA-N 0 0 439.519 -0.439 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)cn1 ZINC001259823105 1073636353 /nfs/dbraw/zinc/63/63/53/1073636353.db2.gz NFBSLKIYVKYLFS-UHFFFAOYSA-N 0 0 446.493 -0.765 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCn3c(=O)cc(CNC(=O)N4CCCC4)nc3C2)cn1 ZINC001259823157 1073636229 /nfs/dbraw/zinc/63/62/29/1073636229.db2.gz OETAGLJFFBEFSF-UHFFFAOYSA-N 0 0 435.510 -0.123 20 0 IBADRN Cn1cc(S(=O)(=O)N2C[C@@H]3c4nc(N5CCCC5)ncc4CS(=O)(=O)[C@@H]3C2)cn1 ZINC001259823511 1073636340 /nfs/dbraw/zinc/63/63/40/1073636340.db2.gz OYVITZOMERRDNS-LSDHHAIUSA-N 0 0 438.535 -0.105 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOC[C@]3(CC[C@H](CNC(=O)c4cnccn4)O3)C2)cn1 ZINC001259823513 1073636212 /nfs/dbraw/zinc/63/62/12/1073636212.db2.gz PDSRDZHITAWMDR-KDOFPFPSSA-N 0 0 436.494 -0.421 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOC[C@]3(CC[C@@H](CNC(=O)c4cnccn4)O3)C2)cn1 ZINC001259823514 1073636346 /nfs/dbraw/zinc/63/63/46/1073636346.db2.gz PDSRDZHITAWMDR-KSSFIOAISA-N 0 0 436.494 -0.421 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)cn1 ZINC001259823587 1073636370 /nfs/dbraw/zinc/63/63/70/1073636370.db2.gz QZFJYULKZPPEGQ-KRWDZBQOSA-N 0 0 445.567 -0.685 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)cn1 ZINC001259823590 1073636377 /nfs/dbraw/zinc/63/63/77/1073636377.db2.gz QZFJYULKZPPEGQ-QGZVFWFLSA-N 0 0 445.567 -0.685 20 0 IBADRN Cn1cc(S(=O)(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)cn1 ZINC001259828365 1073636291 /nfs/dbraw/zinc/63/62/91/1073636291.db2.gz ZAVMMCOMPNEJED-UHFFFAOYSA-N 0 0 437.544 -0.419 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1cnn(C)c1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001259829545 1073636423 /nfs/dbraw/zinc/63/64/23/1073636423.db2.gz RNTKEEMPCGBJSM-CABCVRRESA-N 0 0 431.492 -0.233 20 0 IBADRN Cn1cc(S(=O)(=O)NCCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)cn1 ZINC001259829557 1073636950 /nfs/dbraw/zinc/63/69/50/1073636950.db2.gz SMYBHLRZJCERJQ-YDHLFZDLSA-N 0 0 430.556 -0.460 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)[C@H](CC(N)=O)NS(=O)(=O)c3cnn(C)c3)ccc12 ZINC001259830772 1073637062 /nfs/dbraw/zinc/63/70/62/1073637062.db2.gz MYPOJQXQXCCGBU-AWEZNQCLSA-N 0 0 433.446 -0.004 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)c1cnn(C)c1 ZINC001259831241 1073637083 /nfs/dbraw/zinc/63/70/83/1073637083.db2.gz HGXGMODGDXICMQ-UHFFFAOYSA-N 0 0 439.531 -0.572 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNS(=O)(=O)c3cnn(C)c3)ccc12 ZINC001259831804 1073636966 /nfs/dbraw/zinc/63/69/66/1073636966.db2.gz XKTUTVHPKRWBPH-UHFFFAOYSA-N 0 0 433.446 -0.132 20 0 IBADRN CCn1cc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1 ZINC001259834357 1073637208 /nfs/dbraw/zinc/63/72/08/1073637208.db2.gz HBUPZQQSPPVVDM-ARFHVFGLSA-N 0 0 446.551 -0.814 20 0 IBADRN CCn1cc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1 ZINC001259834358 1073637139 /nfs/dbraw/zinc/63/71/39/1073637139.db2.gz HBUPZQQSPPVVDM-BZUAXINKSA-N 0 0 446.551 -0.814 20 0 IBADRN CCn1cc(S(=O)(=O)N2CC[C@@]3(C2)CN(c2cnn(C)c2)C(=O)CN3C(C)=O)cn1 ZINC001259834368 1073636935 /nfs/dbraw/zinc/63/69/35/1073636935.db2.gz HEZIMFOTCDWROL-GOSISDBHSA-N 0 0 435.510 -0.335 20 0 IBADRN CCn1cc(S(=O)(=O)N2CC[C@]3(C2)CN(c2cnn(C)c2)C(=O)CN3C(C)=O)cn1 ZINC001259834369 1073636889 /nfs/dbraw/zinc/63/68/89/1073636889.db2.gz HEZIMFOTCDWROL-SFHVURJKSA-N 0 0 435.510 -0.335 20 0 IBADRN CCn1cc(S(=O)(=O)N2C[C@@H]3c4nc(N(C)C)ncc4CS(=O)(=O)[C@@H]3C2)cn1 ZINC001259834715 1073637171 /nfs/dbraw/zinc/63/71/71/1073637171.db2.gz ZWCYTKIXTCKOAD-UONOGXRCSA-N 0 0 426.524 -0.156 20 0 IBADRN CCn1cc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)cn1 ZINC001259835592 1073637098 /nfs/dbraw/zinc/63/70/98/1073637098.db2.gz VREUNBONIXYXKL-UKRRQHHQSA-N 0 0 433.556 -0.594 20 0 IBADRN CCn1cc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1 ZINC001259835671 1073637198 /nfs/dbraw/zinc/63/71/98/1073637198.db2.gz ANTZWPCXVDMAJM-GJZGRUSLSA-N 0 0 442.542 -0.812 20 0 IBADRN CCn1cc(S(=O)(=O)NCCOCCOCCOCCOCCOCCO)cn1 ZINC001259841900 1073637150 /nfs/dbraw/zinc/63/71/50/1073637150.db2.gz NMVQRXNYNDPNAG-UHFFFAOYSA-N 0 0 439.531 -0.743 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc2c(c1)OCO2 ZINC001259853943 1073637035 /nfs/dbraw/zinc/63/70/35/1073637035.db2.gz UQYXTVZHVFAOCU-DCAQKATOSA-N 0 0 429.451 -0.736 20 0 IBADRN Cc1cn([C@H]2C[C@H](NS(=O)(=O)c3ccc4c(c3)OCO4)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001259854366 1073637114 /nfs/dbraw/zinc/63/71/14/1073637114.db2.gz OYFHXMRYGGDVFZ-NILFDRSVSA-N 0 0 425.419 -0.387 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1ccc2c(c1)OCO2 ZINC001259854709 1073637217 /nfs/dbraw/zinc/63/72/17/1073637217.db2.gz JLJKBPZGLLPLTN-GJZGRUSLSA-N 0 0 435.458 -0.733 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1ccc2c(c1)OCO2)NC(=O)CNC(C)=O ZINC001259854758 1073637539 /nfs/dbraw/zinc/63/75/39/1073637539.db2.gz OIZCYZOYYUBVGI-AWEZNQCLSA-N 0 0 443.478 -0.342 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2c(c1)CCC2 ZINC001259865215 1073637660 /nfs/dbraw/zinc/63/76/60/1073637660.db2.gz OFNGUVIDNYIBLO-OALUTQOASA-N 0 0 447.513 -0.267 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)CCC(F)(F)F)CCC2 ZINC001259868545 1073637705 /nfs/dbraw/zinc/63/77/05/1073637705.db2.gz WKCSCLLVLKMLKD-UHFFFAOYSA-N 0 0 426.417 -0.006 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)CCC(F)(F)F)C[C@@H]21)N1CCOCC1 ZINC001259869045 1073637677 /nfs/dbraw/zinc/63/76/77/1073637677.db2.gz PRTIBMSPHUCVCR-JHJVBQTASA-N 0 0 448.485 -0.138 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)CCC(F)(F)F)C[C@@H]21)N1CCOCC1 ZINC001259869046 1073637770 /nfs/dbraw/zinc/63/77/70/1073637770.db2.gz PRTIBMSPHUCVCR-YNEHKIRRSA-N 0 0 448.485 -0.138 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)CCC(F)(F)F)C(=O)NCC(N)=O ZINC001259870060 1073637796 /nfs/dbraw/zinc/63/77/96/1073637796.db2.gz QLYNNIWPNDNLOE-RYUDHWBXSA-N 0 0 444.476 -0.135 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)CCC(F)(F)F)C(N)=O ZINC001259877887 1073637742 /nfs/dbraw/zinc/63/77/42/1073637742.db2.gz YLEMIXYPRFTWOP-ICCXJUOJSA-N 0 0 441.428 -0.474 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)CCC(F)(F)F ZINC001259877895 1073637779 /nfs/dbraw/zinc/63/77/79/1073637779.db2.gz YTCGWPMCXYISTF-RYUDHWBXSA-N 0 0 427.401 -0.863 20 0 IBADRN CC(C)CS(=O)(=O)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001259880152 1073637629 /nfs/dbraw/zinc/63/76/29/1073637629.db2.gz IYHBWQWWGPLTME-LSDHHAIUSA-N 0 0 430.552 -0.005 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)CC(C)C)C(N)=O ZINC001259890860 1073637754 /nfs/dbraw/zinc/63/77/54/1073637754.db2.gz RYYLDYABZYYIOC-KBPBESRZSA-N 0 0 438.616 -0.184 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)Cc1ccc(OC)cc1 ZINC001259906230 1073637641 /nfs/dbraw/zinc/63/76/41/1073637641.db2.gz FCHPBUMAKDUVLB-AVGNSLFASA-N 0 0 429.495 -0.315 20 0 IBADRN COc1ccc(CS(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001259906780 1073638264 /nfs/dbraw/zinc/63/82/64/1073638264.db2.gz UASYCUMSDARNPM-ROUUACIJSA-N 0 0 435.502 -0.312 20 0 IBADRN O=S(=O)(C1CCOCC1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001259910267 1073638340 /nfs/dbraw/zinc/63/83/40/1073638340.db2.gz HAGSPKIGLWDTPY-BPQIPLTHSA-N 0 0 443.547 -0.052 20 0 IBADRN O=C(NC[C@H]1CC[C@]2(COCCN(S(=O)(=O)C3CCOCC3)C2)O1)c1cnccn1 ZINC001259910523 1073638336 /nfs/dbraw/zinc/63/83/36/1073638336.db2.gz MCWBVZUKOBRXFC-BEFAXECRSA-N 0 0 440.522 -0.035 20 0 IBADRN O=C(NC[C@@H]1CC[C@]2(COCCN(S(=O)(=O)C3CCOCC3)C2)O1)c1cnccn1 ZINC001259910524 1073638318 /nfs/dbraw/zinc/63/83/18/1073638318.db2.gz MCWBVZUKOBRXFC-KXBFYZLASA-N 0 0 440.522 -0.035 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)C3CCOCC3)C[C@@H]21)N1CCOCC1 ZINC001259910681 1073638308 /nfs/dbraw/zinc/63/83/08/1073638308.db2.gz OQONUMNDYSMBOX-ARFHVFGLSA-N 0 0 436.552 -0.911 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)[C@@H]2CN(S(=O)(=O)C3CCOCC3)C[C@@H]21)N1CCOCC1 ZINC001259910682 1073638395 /nfs/dbraw/zinc/63/83/95/1073638395.db2.gz OQONUMNDYSMBOX-BZUAXINKSA-N 0 0 436.552 -0.911 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)C2CCOCC2)CC3)C1=O ZINC001259910995 1073638388 /nfs/dbraw/zinc/63/83/88/1073638388.db2.gz ZVGCKVVEFKEHCB-GOSISDBHSA-N 0 0 449.595 -0.299 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)C2CCOCC2)CC3)C1=O ZINC001259910996 1073638348 /nfs/dbraw/zinc/63/83/48/1073638348.db2.gz ZVGCKVVEFKEHCB-SFHVURJKSA-N 0 0 449.595 -0.299 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)C1CCOCC1)C2 ZINC001259911035 1073638284 /nfs/dbraw/zinc/63/82/84/1073638284.db2.gz VHQYOJKNLPWLTP-GOSISDBHSA-N 0 0 425.511 -0.432 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)C1CCOCC1)C2 ZINC001259911036 1073638242 /nfs/dbraw/zinc/63/82/42/1073638242.db2.gz VHQYOJKNLPWLTP-SFHVURJKSA-N 0 0 425.511 -0.432 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@@H]1CCCN1S(=O)(=O)C1CCOCC1)C(=O)NCC(N)=O ZINC001259912100 1073638359 /nfs/dbraw/zinc/63/83/59/1073638359.db2.gz FVBAZHUPMXQKAR-GJZGRUSLSA-N 0 0 432.543 -0.908 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCNS(=O)(=O)C2CCOCC2)CC1 ZINC001259916999 1073638327 /nfs/dbraw/zinc/63/83/27/1073638327.db2.gz RLGRFCGOYVULNO-UHFFFAOYSA-N 0 0 441.572 -0.033 20 0 IBADRN O=S(=O)(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@@H]2O)cc1)C1CCOCC1 ZINC001259917013 1073638354 /nfs/dbraw/zinc/63/83/54/1073638354.db2.gz SDFSQCMLIZPRNM-ALYAQQCSSA-N 0 0 435.520 -0.501 20 0 IBADRN O=S(=O)(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1)C1CCOCC1 ZINC001259917014 1073638234 /nfs/dbraw/zinc/63/82/34/1073638234.db2.gz SDFSQCMLIZPRNM-HMDCTGQHSA-N 0 0 435.520 -0.501 20 0 IBADRN O=S(=O)(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@@H](O)[C@H]2O)cc1)C1CCOCC1 ZINC001259917015 1073638206 /nfs/dbraw/zinc/63/82/06/1073638206.db2.gz SDFSQCMLIZPRNM-JJTUDDRGSA-N 0 0 435.520 -0.501 20 0 IBADRN O=S(=O)(Nc1ccc(S[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@@H]2O)cc1)C1CCOCC1 ZINC001259917016 1073638333 /nfs/dbraw/zinc/63/83/33/1073638333.db2.gz SDFSQCMLIZPRNM-XAJHFOFHSA-N 0 0 435.520 -0.501 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)NCCNS(=O)(=O)C1CCOCC1 ZINC001259919235 1073638251 /nfs/dbraw/zinc/63/82/51/1073638251.db2.gz MVELVUCFBVZRES-DZKIICNBSA-N 0 0 434.584 -0.073 20 0 IBADRN O=S(=O)(NCCOCCOCCOCCOCCOCCO)C1CCOCC1 ZINC001259919471 1073638400 /nfs/dbraw/zinc/63/84/00/1073638400.db2.gz WKJNHVQIGALMRG-UHFFFAOYSA-N 0 0 429.532 -0.840 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)C1CCOCC1 ZINC001259920725 1073638937 /nfs/dbraw/zinc/63/89/37/1073638937.db2.gz CZORHYYZUCIQPZ-UHFFFAOYSA-N 0 0 443.559 -0.186 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CCC3(CN(S(C)(=O)=O)C[C@]34CCN(C)C4=O)CC2)n1 ZINC001259939455 1073639011 /nfs/dbraw/zinc/63/90/11/1073639011.db2.gz CYEMOTTYURSADJ-KRWDZBQOSA-N 0 0 445.567 -0.685 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CCC3(CN(S(C)(=O)=O)C[C@@]34CCN(C)C4=O)CC2)n1 ZINC001259939456 1073638965 /nfs/dbraw/zinc/63/89/65/1073638965.db2.gz CYEMOTTYURSADJ-QGZVFWFLSA-N 0 0 445.567 -0.685 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(S(=O)(=O)c1ccn(C)n1)C2 ZINC001259939844 1073639006 /nfs/dbraw/zinc/63/90/06/1073639006.db2.gz QKSUMZQZBGBIIE-IBGZPJMESA-N 0 0 447.521 -0.021 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(S(=O)(=O)c1ccn(C)n1)C2 ZINC001259939845 1073639031 /nfs/dbraw/zinc/63/90/31/1073639031.db2.gz QKSUMZQZBGBIIE-LJQANCHMSA-N 0 0 447.521 -0.021 20 0 IBADRN Cn1ccc(S(=O)(=O)N2C[C@H]3C[C@H]4[C@@](CN(Cc5ccncc5)S4(=O)=O)(C2)O3)n1 ZINC001259940180 1073639044 /nfs/dbraw/zinc/63/90/44/1073639044.db2.gz BFNVEYMZTDOOTC-HLLBOEOZSA-N 0 0 439.519 -0.439 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CCCn3c(=O)cc(CNC(=O)N4CCCC4)nc3C2)n1 ZINC001259940465 1073638906 /nfs/dbraw/zinc/63/89/06/1073638906.db2.gz BABLGBBSHJRFKW-UHFFFAOYSA-N 0 0 435.510 -0.123 20 0 IBADRN Cn1ccc(S(=O)(=O)N2C[C@@H]3c4nc(N5CCCC5)ncc4CS(=O)(=O)[C@@H]3C2)n1 ZINC001259940628 1073638914 /nfs/dbraw/zinc/63/89/14/1073638914.db2.gz ODAGRQSMRDCFNQ-UONOGXRCSA-N 0 0 438.535 -0.105 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CCCn3c(=O)cc(CNC(=O)c4nccn4C)nc3C2)n1 ZINC001259940647 1073638874 /nfs/dbraw/zinc/63/88/74/1073638874.db2.gz GPFBMYLQSCVMTG-UHFFFAOYSA-N 0 0 446.493 -0.765 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CCOC[C@]3(CC[C@H](CNC(=O)c4cnccn4)O3)C2)n1 ZINC001259941126 1073638885 /nfs/dbraw/zinc/63/88/85/1073638885.db2.gz WBXVPQXBSWPEEW-KDOFPFPSSA-N 0 0 436.494 -0.421 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CCOC[C@]3(CC[C@@H](CNC(=O)c4cnccn4)O3)C2)n1 ZINC001259941127 1073638945 /nfs/dbraw/zinc/63/89/45/1073638945.db2.gz WBXVPQXBSWPEEW-KSSFIOAISA-N 0 0 436.494 -0.421 20 0 IBADRN Cn1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)n1 ZINC001259945181 1073639562 /nfs/dbraw/zinc/63/95/62/1073639562.db2.gz OCLROIAXYYIBPP-UHFFFAOYSA-N 0 0 437.544 -0.419 20 0 IBADRN CCOC(=O)[C@H](NS(=O)(=O)c1ccn(C)n1)[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001259946487 1073639575 /nfs/dbraw/zinc/63/95/75/1073639575.db2.gz VMMGWHBZGDOUOJ-CABCVRRESA-N 0 0 431.492 -0.233 20 0 IBADRN Cn1ccc(S(=O)(=O)NCCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)n1 ZINC001259946871 1073639550 /nfs/dbraw/zinc/63/95/50/1073639550.db2.gz JXXYEQFZGWRHJW-HUBLWGQQSA-N 0 0 430.556 -0.460 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)c1ccn(C)n1 ZINC001259948054 1073639649 /nfs/dbraw/zinc/63/96/49/1073639649.db2.gz DOWZSWUTGYSEKC-UHFFFAOYSA-N 0 0 439.531 -0.572 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)[C@H](CC(N)=O)NS(=O)(=O)c3ccn(C)n3)ccc12 ZINC001259948211 1073639606 /nfs/dbraw/zinc/63/96/06/1073639606.db2.gz GBNUZACIKDKCQB-ZDUSSCGKSA-N 0 0 433.446 -0.004 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNS(=O)(=O)c3ccn(C)n3)ccc12 ZINC001259948805 1073639669 /nfs/dbraw/zinc/63/96/69/1073639669.db2.gz JLEGJVGTCFUAJQ-UHFFFAOYSA-N 0 0 433.446 -0.132 20 0 IBADRN CS(=O)(=O)N1CC[C@@]2(C(=O)N3CCOCC3)CCN(S(=O)(=O)C(F)F)CC[C@@H]12 ZINC001259952738 1073639680 /nfs/dbraw/zinc/63/96/80/1073639680.db2.gz SKOLYJUZZGIIKM-DOMZBBRYSA-N 0 0 445.510 -0.486 20 0 IBADRN CSCC[C@H](NC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)C(F)F)C(N)=O ZINC001259964577 1073639705 /nfs/dbraw/zinc/63/97/05/1073639705.db2.gz XZFFFXCTFJJSQD-UWVGGRQHSA-N 0 0 432.515 -0.618 20 0 IBADRN COCCS(=O)(=O)N1CC[C@@]2(C1)CN(c1cccnc1)C(=O)CN2C(=O)N(C)C ZINC001259967171 1073639725 /nfs/dbraw/zinc/63/97/25/1073639725.db2.gz JJZCZXGPYTXZOP-GOSISDBHSA-N 0 0 425.511 -0.168 20 0 IBADRN COCCS(=O)(=O)N1CC[C@]2(C1)CN(c1cccnc1)C(=O)CN2C(=O)N(C)C ZINC001259967173 1073639621 /nfs/dbraw/zinc/63/96/21/1073639621.db2.gz JJZCZXGPYTXZOP-SFHVURJKSA-N 0 0 425.511 -0.168 20 0 IBADRN COCCS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)CC1 ZINC001259970274 1073639690 /nfs/dbraw/zinc/63/96/90/1073639690.db2.gz NSNJKYXWWDJJHM-UHFFFAOYSA-N 0 0 433.512 -0.429 20 0 IBADRN COCCS(=O)(=O)N[C@H]1CCN(c2ccc(S(=O)(=O)N(C)C)c3nonc32)C1 ZINC001259974777 1073639593 /nfs/dbraw/zinc/63/95/93/1073639593.db2.gz LFJLTMGGYDROSI-NSHDSACASA-N 0 0 433.512 -0.382 20 0 IBADRN Cc1nc(-c2ccc(S(=O)(=O)NCC(=O)NCC(=O)NCC(N)=O)s2)cs1 ZINC001259987037 1073639532 /nfs/dbraw/zinc/63/95/32/1073639532.db2.gz CUUGKLHGHDDLLW-UHFFFAOYSA-N 0 0 431.521 -0.824 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@@H]2CCc3nc(COC)nn3C2)CC1 ZINC001259994888 1073639658 /nfs/dbraw/zinc/63/96/58/1073639658.db2.gz JCMOXBSSFUAOMX-CYBMUJFWSA-N 0 0 443.526 -0.109 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@H]2CCc3nc(COC)nn3C2)CC1 ZINC001259994890 1073639721 /nfs/dbraw/zinc/63/97/21/1073639721.db2.gz JCMOXBSSFUAOMX-ZDUSSCGKSA-N 0 0 443.526 -0.109 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(-c2cnco2)s1 ZINC001260002640 1073640139 /nfs/dbraw/zinc/64/01/39/1073640139.db2.gz XWNXFRMJBOTXAA-UHFFFAOYSA-N 0 0 430.464 -0.523 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(-c2cnco2)s1 ZINC001260003991 1073640066 /nfs/dbraw/zinc/64/00/66/1073640066.db2.gz LACSZKJBWKKYHO-JTQLQIEISA-N 0 0 441.491 -0.726 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2cnc3ccccc3c2)c(=O)[nH]1 ZINC001260013933 1073640172 /nfs/dbraw/zinc/64/01/72/1073640172.db2.gz UHVURIUBCFIZKC-MWQQHZPXSA-N 0 0 434.430 -0.905 20 0 IBADRN Cc1ccccc1CS(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001260026826 1073640105 /nfs/dbraw/zinc/64/01/05/1073640105.db2.gz OROZRMXLUGZTRG-ROUUACIJSA-N 0 0 435.502 -0.306 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)Cc1cccc(C(F)(F)F)c1 ZINC001260035982 1073640260 /nfs/dbraw/zinc/64/02/60/1073640260.db2.gz HQTNDDIBQFMGTI-UHFFFAOYSA-N 0 0 439.412 -0.080 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)Cc2cccc(C(F)(F)F)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001260037382 1073640162 /nfs/dbraw/zinc/64/01/62/1073640162.db2.gz MKGXAZZGFLBBSO-XNHWVXRISA-N 0 0 429.413 -0.031 20 0 IBADRN Cc1ccsc1S(=O)(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)N[C@@H](CO)C(N)=O ZINC001260072659 1073640830 /nfs/dbraw/zinc/64/08/30/1073640830.db2.gz JYLVIMYEUPADIC-KBPBESRZSA-N 0 0 427.504 -0.386 20 0 IBADRN CC(C)CNC(=O)[C@@]12CCC3(CCN(S(N)(=O)=O)CC3)[C@@H]1CN(S(C)(=O)=O)C2 ZINC001260076910 1073640773 /nfs/dbraw/zinc/64/07/73/1073640773.db2.gz CLCIZEBSIRNLGJ-WMLDXEAASA-N 0 0 436.600 -0.284 20 0 IBADRN CS(=O)(=O)N1C[C@H]2C3(CC[C@@]2(C(=O)N2CCCC2)C1)CCN(S(N)(=O)=O)CC3 ZINC001260077445 1073640888 /nfs/dbraw/zinc/64/08/88/1073640888.db2.gz QBYRSITWYZFCIB-WMLDXEAASA-N 0 0 434.584 -0.434 20 0 IBADRN NS(=O)(=O)N1C[C@@H](N2CCN(c3ncccn3)CC2)C[C@H]1C(=O)N1CCC(F)(F)C1 ZINC001260079662 1073640937 /nfs/dbraw/zinc/64/09/37/1073640937.db2.gz XBBOUQIWIOTPBB-KBPBESRZSA-N 0 0 445.496 -0.887 20 0 IBADRN CC(C)C[C@H](NC(=O)[C@H](CC(C)C)NC(=O)[C@H](CC(C)C)NS(N)(=O)=O)C(N)=O ZINC001260086069 1073640789 /nfs/dbraw/zinc/64/07/89/1073640789.db2.gz SPGWGLDQIISXOF-KKUMJFAQSA-N 0 0 435.591 -0.259 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)c2ccncc12 ZINC001260098191 1073640973 /nfs/dbraw/zinc/64/09/73/1073640973.db2.gz GYTQHJGAONRWPZ-BNEJOLLZSA-N 0 0 448.457 -0.597 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)c2ccncc12 ZINC001260100324 1073640765 /nfs/dbraw/zinc/64/07/65/1073640765.db2.gz KAIQSVFSXPSIIA-HNNXBMFYSA-N 0 0 433.490 -0.586 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1C[C@@H]2c3nc(N(C)C)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001260106407 1073640723 /nfs/dbraw/zinc/64/07/23/1073640723.db2.gz CBYPFERYDHDSEH-UONOGXRCSA-N 0 0 440.551 -0.022 20 0 IBADRN COCC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1c(C)nn(C)c1C)CCC2 ZINC001260106745 1073640842 /nfs/dbraw/zinc/64/08/42/1073640842.db2.gz IEURJZSYQTTWTI-UHFFFAOYSA-N 0 0 438.510 -0.549 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CCc2c(C(=O)N3CCN(C)CC3)nn(C)c2C1 ZINC001260107510 1073640737 /nfs/dbraw/zinc/64/07/37/1073640737.db2.gz VRQCVOWLALATRR-UHFFFAOYSA-N 0 0 435.554 -0.095 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N(C)C)nc2C1 ZINC001260107890 1073640908 /nfs/dbraw/zinc/64/09/08/1073640908.db2.gz RTGICXXJCRRAOS-UHFFFAOYSA-N 0 0 437.526 -0.041 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CCOC[C@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001260107993 1073640914 /nfs/dbraw/zinc/64/09/14/1073640914.db2.gz WFJBHLXEFMNAFZ-GOSISDBHSA-N 0 0 438.510 -0.406 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CCOC[C@@]2(CN(c3cnn(C)c3)C(=O)CO2)C1 ZINC001260107994 1073640918 /nfs/dbraw/zinc/64/09/18/1073640918.db2.gz WFJBHLXEFMNAFZ-SFHVURJKSA-N 0 0 438.510 -0.406 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)c1c(C)nn(C)c1C)C2 ZINC001260107995 1073640755 /nfs/dbraw/zinc/64/07/55/1073640755.db2.gz WFZMSANRJIIHAB-IBGZPJMESA-N 0 0 449.537 -0.201 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)c1c(C)nn(C)c1C)C2 ZINC001260107996 1073641641 /nfs/dbraw/zinc/64/16/41/1073641641.db2.gz WFZMSANRJIIHAB-LJQANCHMSA-N 0 0 449.537 -0.201 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001260108468 1073641631 /nfs/dbraw/zinc/64/16/31/1073641631.db2.gz RVKVEUSGMMKZHT-HUUCEWRRSA-N 0 0 447.583 -0.460 20 0 IBADRN COC(=O)[C@H](CCCCNS(=O)(=O)c1c(C)nn(C)c1C)NC(=O)CNC(C)=O ZINC001260116152 1073641448 /nfs/dbraw/zinc/64/14/48/1073641448.db2.gz ZCMWBXLYMMTFDR-AWEZNQCLSA-N 0 0 431.515 -0.721 20 0 IBADRN Cc1ccc2cccnc2c1S(=O)(=O)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001260137595 1073641409 /nfs/dbraw/zinc/64/14/09/1073641409.db2.gz VPQOZYXZPDHNLT-HALQFCHDSA-N 0 0 448.457 -0.597 20 0 IBADRN Cc1ccc2cccnc2c1S(=O)(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001260138681 1073641534 /nfs/dbraw/zinc/64/15/34/1073641534.db2.gz QNIPCJQUNHASFH-AWEZNQCLSA-N 0 0 433.490 -0.586 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(Oc3ccccn3)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001260153006 1073641546 /nfs/dbraw/zinc/64/15/46/1073641546.db2.gz ORMODTNNNSPHDB-DQDQBAQVSA-N 0 0 440.474 -0.004 20 0 IBADRN CN1C(=O)Cc2cc(S(=O)(=O)N3CCn4c(CN5CCOCC5)nnc4C3)ccc21 ZINC001260155375 1073641584 /nfs/dbraw/zinc/64/15/84/1073641584.db2.gz FKVFPVWBOSPBQE-UHFFFAOYSA-N 0 0 432.506 -0.166 20 0 IBADRN CN1C(=O)Cc2cc(S(=O)(=O)N3CC[C@H]4O[C@@H](C(=O)N5CCOCC5)C[C@H]43)ccc21 ZINC001260155396 1073641650 /nfs/dbraw/zinc/64/16/50/1073641650.db2.gz GGJFGAQMBCJYTD-KZNAEPCWSA-N 0 0 435.502 -0.015 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3C)C1 ZINC001260155552 1073641553 /nfs/dbraw/zinc/64/15/53/1073641553.db2.gz LXXMEFQRHKLWLA-HNNXBMFYSA-N 0 0 447.517 -0.015 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3C)C1 ZINC001260155553 1073641598 /nfs/dbraw/zinc/64/15/98/1073641598.db2.gz LXXMEFQRHKLWLA-OAHLLOKOSA-N 0 0 447.517 -0.015 20 0 IBADRN CN(C)C(=O)COC[C@]12COC[C@H]1CN(S(=O)(=O)c1ccc3c(c1)CC(=O)N3C)C2 ZINC001260155620 1073641387 /nfs/dbraw/zinc/64/13/87/1073641387.db2.gz PFEZNMSHINSGBP-QRWLVFNGSA-N 0 0 437.518 -0.053 20 0 IBADRN CN1C(=O)Cc2cc(S(=O)(=O)N3C[C@@H](NC(=O)c4cnccn4)[C@H]4COC[C@H]43)ccc21 ZINC001260155865 1073641617 /nfs/dbraw/zinc/64/16/17/1073641617.db2.gz UGZQZSAJHJVQCN-QGPMSJSTSA-N 0 0 443.485 -0.187 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4ccc5c(c4)CC(=O)N5C)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001260156132 1073641577 /nfs/dbraw/zinc/64/15/77/1073641577.db2.gz ZKSSMNZAVLETFZ-RPVQJOFSSA-N 0 0 441.531 -0.229 20 0 IBADRN CN1C(=O)Cc2cc(S(=O)(=O)N3C[C@H]4C[C@@H](C(=O)N5CCOCC5)[C@@H](C3)O4)ccc21 ZINC001260157080 1073641523 /nfs/dbraw/zinc/64/15/23/1073641523.db2.gz OUNCCHOAHYXWNO-QGPMSJSTSA-N 0 0 435.502 -0.158 20 0 IBADRN CN1C(=O)Cc2cc(S(=O)(=O)N3C[C@H]4C[C@H](C(=O)N5CCOCC5)[C@@H](C3)O4)ccc21 ZINC001260157081 1073641606 /nfs/dbraw/zinc/64/16/06/1073641606.db2.gz OUNCCHOAHYXWNO-UWWQBHOKSA-N 0 0 435.502 -0.158 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4C)C[C@H]2C1 ZINC001260157472 1073641464 /nfs/dbraw/zinc/64/14/64/1073641464.db2.gz WPOQKBHVFSKREV-UKRRQHHQSA-N 0 0 442.563 -0.046 20 0 IBADRN CN(C)C(=O)COCc1nnc2n1CCN(S(=O)(=O)c1ccc3c(c1)CC(=O)N3C)C2 ZINC001260157475 1073642239 /nfs/dbraw/zinc/64/22/39/1073642239.db2.gz WUPXXPDBGODWMI-UHFFFAOYSA-N 0 0 448.505 -0.394 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc3c(c1)CC(=O)N3C)C2 ZINC001260158118 1073642304 /nfs/dbraw/zinc/64/23/04/1073642304.db2.gz BXPJHDZLYPMSDS-CYBMUJFWSA-N 0 0 428.488 -0.444 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc3c(c1)CC(=O)N3C)C2 ZINC001260158119 1073642321 /nfs/dbraw/zinc/64/23/21/1073642321.db2.gz BXPJHDZLYPMSDS-ZDUSSCGKSA-N 0 0 428.488 -0.444 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccc3c(c1)CC(=O)N3C)C[C@H]2C(=O)N(C)C ZINC001260159252 1073642307 /nfs/dbraw/zinc/64/23/07/1073642307.db2.gz RKFBGHFYUSQPTK-INIZCTEOSA-N 0 0 434.518 -0.237 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccc3c(c1)CC(=O)N3C)C[C@@H]2C(=O)N(C)C ZINC001260159257 1073642256 /nfs/dbraw/zinc/64/22/56/1073642256.db2.gz RKFBGHFYUSQPTK-MRXNPFEDSA-N 0 0 434.518 -0.237 20 0 IBADRN CN1c2ccc(S(=O)(=O)N[C@@H](Cc3ccc(O)cc3)C(=O)NCC(N)=O)cc2CC1=O ZINC001260165250 1073642265 /nfs/dbraw/zinc/64/22/65/1073642265.db2.gz BFSBEOYOYAMJNT-INIZCTEOSA-N 0 0 446.485 -0.598 20 0 IBADRN CN1c2ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc3ccc(O)cc3)C(N)=O)cc2CC1=O ZINC001260165571 1073642326 /nfs/dbraw/zinc/64/23/26/1073642326.db2.gz AUEGGVJLHBZIPE-INIZCTEOSA-N 0 0 446.485 -0.598 20 0 IBADRN CN1c2ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc3ccccc3)C(N)=O)cc2CC1=O ZINC001260165898 1073642311 /nfs/dbraw/zinc/64/23/11/1073642311.db2.gz IDHPODBEXIEWND-INIZCTEOSA-N 0 0 430.486 -0.303 20 0 IBADRN CN1c2ccc(S(=O)(=O)N[C@@H](Cc3ccccc3)C(=O)NCC(N)=O)cc2CC1=O ZINC001260166356 1073642286 /nfs/dbraw/zinc/64/22/86/1073642286.db2.gz VHNNIKAAPROTRO-INIZCTEOSA-N 0 0 430.486 -0.303 20 0 IBADRN O=S(=O)(c1nc2nc(Cl)ccn2n1)N1CC(N2CCN(c3ncccn3)CC2)C1 ZINC001260167039 1073642219 /nfs/dbraw/zinc/64/22/19/1073642219.db2.gz ROJZCECWDMBQLH-UHFFFAOYSA-N 0 0 435.901 -0.237 20 0 IBADRN CN(C)C(=O)CN1C(=O)C[C@H]2[C@@H]1CCN2S(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260168842 1073642345 /nfs/dbraw/zinc/64/23/45/1073642345.db2.gz CPLJRGADARPJKT-UWVGGRQHSA-N 0 0 427.874 -0.770 20 0 IBADRN CC(=O)N1CCO[C@]2(C1)COCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260168986 1073642299 /nfs/dbraw/zinc/64/22/99/1073642299.db2.gz FGOIXHKXWKAINB-HNNXBMFYSA-N 0 0 430.874 -0.584 20 0 IBADRN CC(=O)N1CCO[C@@]2(C1)COCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260168987 1073642294 /nfs/dbraw/zinc/64/22/94/1073642294.db2.gz FGOIXHKXWKAINB-OAHLLOKOSA-N 0 0 430.874 -0.584 20 0 IBADRN CC(=O)NCc1nnn2c1CN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)CCC2 ZINC001260169183 1073642249 /nfs/dbraw/zinc/64/22/49/1073642249.db2.gz ITNOVQICFSLNQH-UHFFFAOYSA-N 0 0 425.862 -0.400 20 0 IBADRN CC(=O)N(C)Cc1nnc2n1CCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260169313 1073642281 /nfs/dbraw/zinc/64/22/81/1073642281.db2.gz LQXDZJURNHABNJ-UHFFFAOYSA-N 0 0 439.889 -0.058 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(S(=O)(=O)c3nc4nc(Cl)ccn4n3)C[C@H]2C1 ZINC001260169436 1073642230 /nfs/dbraw/zinc/64/22/30/1073642230.db2.gz NWNQMAOERVWABS-GHMZBOCLSA-N 0 0 449.946 -0.474 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4nc5nc(Cl)ccn5n4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001260169597 1073642350 /nfs/dbraw/zinc/64/23/50/1073642350.db2.gz AULVVZRTOUEUAA-ISTVAULSSA-N 0 0 448.914 -0.656 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CN(S(=O)(=O)c2nc3nc(Cl)ccn3n2)[C@@H]2COC[C@H]12 ZINC001260169639 1073642317 /nfs/dbraw/zinc/64/23/17/1073642317.db2.gz CMFGOFFPVSWUKI-HBNTYKKESA-N 0 0 430.874 -0.730 20 0 IBADRN O=C([C@H]1COC[C@H]2CN(S(=O)(=O)c3nc4nc(Cl)ccn4n3)C[C@H]21)N1CCCO1 ZINC001260169889 1073642243 /nfs/dbraw/zinc/64/22/43/1073642243.db2.gz SGSAIKDZIKWGOW-UTUOFQBUSA-N 0 0 442.885 -0.175 20 0 IBADRN COCCN1CCO[C@H]2CN(S(=O)(=O)c3nc4nc(Cl)ccn4n3)CC[C@H]2C1=O ZINC001260169893 1073642275 /nfs/dbraw/zinc/64/22/75/1073642275.db2.gz SLORGIWMCYVJMK-NEPJUHHUSA-N 0 0 444.901 -0.338 20 0 IBADRN CNC(=O)CO[C@H]1COC2(C1)CCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)CC2 ZINC001260170060 1073642834 /nfs/dbraw/zinc/64/28/34/1073642834.db2.gz LHKKIHDVLAPPKD-LLVKDONJSA-N 0 0 444.901 -0.148 20 0 IBADRN CNC(=O)CO[C@@H]1COC2(C1)CCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)CC2 ZINC001260170061 1073642887 /nfs/dbraw/zinc/64/28/87/1073642887.db2.gz LHKKIHDVLAPPKD-NSHDSACASA-N 0 0 444.901 -0.148 20 0 IBADRN CC(=O)N1CCOC[C@@]2(CN(S(=O)(=O)c3nc4nc(Cl)ccn4n3)CCO2)C1 ZINC001260170076 1073642825 /nfs/dbraw/zinc/64/28/25/1073642825.db2.gz MATJMAMWZLWKIB-HNNXBMFYSA-N 0 0 430.874 -0.584 20 0 IBADRN CC(=O)N1CCOC[C@]2(CN(S(=O)(=O)c3nc4nc(Cl)ccn4n3)CCO2)C1 ZINC001260170211 1073642848 /nfs/dbraw/zinc/64/28/48/1073642848.db2.gz MATJMAMWZLWKIB-OAHLLOKOSA-N 0 0 430.874 -0.584 20 0 IBADRN COCCNC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2nc3nc(Cl)ccn3n2)O1 ZINC001260170234 1073642865 /nfs/dbraw/zinc/64/28/65/1073642865.db2.gz MRGGXDMJXKBJCF-MXWKQRLJSA-N 0 0 430.874 -0.539 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260170414 1073642757 /nfs/dbraw/zinc/64/27/57/1073642757.db2.gz QZFBUFQNNUGUFG-INIZCTEOSA-N 0 0 441.901 -0.331 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260170415 1073642880 /nfs/dbraw/zinc/64/28/80/1073642880.db2.gz QZFBUFQNNUGUFG-MRXNPFEDSA-N 0 0 441.901 -0.331 20 0 IBADRN CN(C)C(=O)COC[C@]12COC[C@H]1CN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260170533 1073642817 /nfs/dbraw/zinc/64/28/17/1073642817.db2.gz WBQWPVMVOAJMFN-BZNIZROVSA-N 0 0 444.901 -0.480 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CC[C@H]2[C@H]1OCCN2S(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260170538 1073642743 /nfs/dbraw/zinc/64/27/43/1073642743.db2.gz WFFGOPXXJUGOCL-MISXGVKJSA-N 0 0 444.901 -0.197 20 0 IBADRN O=C([C@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2nc3nc(Cl)ccn3n2)O1)N1CCOCC1 ZINC001260170662 1073642769 /nfs/dbraw/zinc/64/27/69/1073642769.db2.gz ZAFBJLMZGJPTNQ-IJLUTSLNSA-N 0 0 442.885 -0.443 20 0 IBADRN CNC(=O)CO[C@H]1CO[C@@]2(C1)CCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260170667 1073642854 /nfs/dbraw/zinc/64/28/54/1073642854.db2.gz ZFSJHBMKPBVPTK-BDJLRTHQSA-N 0 0 444.901 -0.148 20 0 IBADRN CNC(=O)CO[C@H]1CO[C@]2(C1)CCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260170668 1073642809 /nfs/dbraw/zinc/64/28/09/1073642809.db2.gz ZFSJHBMKPBVPTK-BZNIZROVSA-N 0 0 444.901 -0.148 20 0 IBADRN CNC(=O)CO[C@@H]1CO[C@@]2(C1)CCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260170669 1073642764 /nfs/dbraw/zinc/64/27/64/1073642764.db2.gz ZFSJHBMKPBVPTK-MEDUHNTESA-N 0 0 444.901 -0.148 20 0 IBADRN CNC(=O)CO[C@@H]1CO[C@]2(C1)CCCN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260170670 1073642802 /nfs/dbraw/zinc/64/28/02/1073642802.db2.gz ZFSJHBMKPBVPTK-ZBEGNZNMSA-N 0 0 444.901 -0.148 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)c2nc3nc(Cl)ccn3n2)C[C@@H]1C(N)=O ZINC001260170840 1073642876 /nfs/dbraw/zinc/64/28/76/1073642876.db2.gz GFLXRXQJJVHQKL-SECBINFHSA-N 0 0 445.889 -0.127 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)c2nc3nc(Cl)ccn3n2)C[C@H]1C(N)=O ZINC001260170841 1073642839 /nfs/dbraw/zinc/64/28/39/1073642839.db2.gz GFLXRXQJJVHQKL-VIFPVBQESA-N 0 0 445.889 -0.127 20 0 IBADRN CN1CCN(C(=O)C2CCN(S(=O)(=O)c3nc4nc(Cl)ccn4n3)CC2)CC1 ZINC001260170962 1073642782 /nfs/dbraw/zinc/64/27/82/1073642782.db2.gz KQHHVJPZDZONOT-UHFFFAOYSA-N 0 0 427.918 -0.048 20 0 IBADRN O=S(=O)(c1nc2nc(Cl)ccn2n1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001260172351 1073643585 /nfs/dbraw/zinc/64/35/85/1073643585.db2.gz ZVYQEWOWCXIWEV-UHFFFAOYSA-N 0 0 434.931 -0.339 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260172793 1073643539 /nfs/dbraw/zinc/64/35/39/1073643539.db2.gz SJHXYDRCXINCAC-MRVPVSSYSA-N 0 0 435.871 -0.872 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C2 ZINC001260172794 1073643378 /nfs/dbraw/zinc/64/33/78/1073643378.db2.gz SJHXYDRCXINCAC-QMMMGPOBSA-N 0 0 435.871 -0.872 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)c2nc3nc(Cl)ccn3n2)CC1)N1CCOCC1 ZINC001260173788 1073643508 /nfs/dbraw/zinc/64/35/08/1073643508.db2.gz SMNMKWHABCVVGI-UHFFFAOYSA-N 0 0 429.890 -0.027 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C[C@@H]2C(=O)N(C)C ZINC001260174001 1073643595 /nfs/dbraw/zinc/64/35/95/1073643595.db2.gz VGZGZSMJKKMYSR-LLVKDONJSA-N 0 0 441.901 -0.665 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1nc3nc(Cl)ccn3n1)C[C@H]2C(=O)N(C)C ZINC001260174002 1073643395 /nfs/dbraw/zinc/64/33/95/1073643395.db2.gz VGZGZSMJKKMYSR-NSHDSACASA-N 0 0 441.901 -0.665 20 0 IBADRN O=C(N[C@H]1CCCN(S(=O)(=O)c2nc3nc(Cl)ccn3n2)C1)N1CCOCC1 ZINC001260174157 1073643553 /nfs/dbraw/zinc/64/35/53/1073643553.db2.gz YFVPAACPOHTBPC-NSHDSACASA-N 0 0 429.890 -0.027 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260207072 1073644026 /nfs/dbraw/zinc/64/40/26/1073644026.db2.gz JXLVWPAUBNAYHU-UHFFFAOYSA-N 0 0 427.813 -0.308 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260207860 1073644140 /nfs/dbraw/zinc/64/41/40/1073644140.db2.gz AOVTXNQMRSPVFN-NSHDSACASA-N 0 0 438.840 -0.511 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)cc1 ZINC001260236653 1073643947 /nfs/dbraw/zinc/64/39/47/1073643947.db2.gz PWTQCTZMAUNMKV-UHFFFAOYSA-N 0 0 437.478 -0.126 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)cc1 ZINC001260242820 1073644114 /nfs/dbraw/zinc/64/41/14/1073644114.db2.gz RTLLDJFZWUZVQQ-RRFJBIMHSA-N 0 0 439.446 -0.329 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)cc1 ZINC001260243536 1073644172 /nfs/dbraw/zinc/64/41/72/1073644172.db2.gz QKEGESYZJSFPDB-INIZCTEOSA-N 0 0 435.458 -0.330 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OC)cc1 ZINC001260243688 1073644195 /nfs/dbraw/zinc/64/41/95/1073644195.db2.gz XKTHSUMHVJAMLC-SRVKXCTJSA-N 0 0 443.478 -0.678 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)cc1 ZINC001260244358 1073644158 /nfs/dbraw/zinc/64/41/58/1073644158.db2.gz XMKAXDYEACBXMI-INIZCTEOSA-N 0 0 435.458 -0.330 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1 ZINC001260244396 1073644676 /nfs/dbraw/zinc/64/46/76/1073644676.db2.gz ZNUWNMHCKQCXLT-IRXDYDNUSA-N 0 0 449.485 -0.675 20 0 IBADRN Cc1c2c(c(C)c(S(=O)(=O)N[C@@H]3[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]3O)c1C)CC(C)(C)O2 ZINC001260248419 1073644669 /nfs/dbraw/zinc/64/46/69/1073644669.db2.gz RRTLOABGRBISFK-DWJZYCCASA-N 0 0 431.507 -0.596 20 0 IBADRN Cc1c2c(c(C)c(S(=O)(=O)N[C@H]3[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]3O)c1C)CC(C)(C)O2 ZINC001260248420 1073644680 /nfs/dbraw/zinc/64/46/80/1073644680.db2.gz RRTLOABGRBISFK-VFCJXBEMSA-N 0 0 431.507 -0.596 20 0 IBADRN Cc1c2c(c(C)c(S(=O)(=O)NCC(=O)NCC(=O)NCC(N)=O)c1C)CC(C)(C)O2 ZINC001260249025 1073644612 /nfs/dbraw/zinc/64/46/12/1073644612.db2.gz QSCHDNOWKPONKN-UHFFFAOYSA-N 0 0 440.522 -0.679 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(C(F)(F)F)ccc1Cl ZINC001260273141 1073644697 /nfs/dbraw/zinc/64/46/97/1073644697.db2.gz TVZIUUWQTVVQGD-UHFFFAOYSA-N 0 0 430.792 -0.645 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260289073 1073644711 /nfs/dbraw/zinc/64/47/11/1073644711.db2.gz LVQAMDIROJVYMI-UHFFFAOYSA-N 0 0 427.813 -0.308 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260291239 1073644620 /nfs/dbraw/zinc/64/46/20/1073644620.db2.gz PMZQWGMLQKQLGM-NSHDSACASA-N 0 0 438.840 -0.511 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(C)ccc1OC ZINC001260302434 1073644652 /nfs/dbraw/zinc/64/46/52/1073644652.db2.gz RJWUQAXQQFYCST-AVGNSLFASA-N 0 0 429.495 -0.147 20 0 IBADRN COc1ccc(C)cc1S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001260302461 1073644704 /nfs/dbraw/zinc/64/47/04/1073644704.db2.gz SONNSHJAHZYJRZ-HOTGVXAUSA-N 0 0 435.502 -0.144 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)c(Cl)c1 ZINC001260316812 1073644661 /nfs/dbraw/zinc/64/46/61/1073644661.db2.gz FKKLBPQRYHNYCN-LBPRGKRZSA-N 0 0 437.305 -0.136 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(C(F)(F)F)cc1Cl ZINC001260325434 1073644733 /nfs/dbraw/zinc/64/47/33/1073644733.db2.gz HYBDJVZKTSFPTN-UHFFFAOYSA-N 0 0 430.792 -0.645 20 0 IBADRN Cc1c2cc(Cl)ccc2sc1S(=O)(=O)NCC(=O)NCC(=O)NCC(N)=O ZINC001260347227 1073644608 /nfs/dbraw/zinc/64/46/08/1073644608.db2.gz FTMDUUKERSPVGG-UHFFFAOYSA-N 0 0 432.911 -0.141 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Cl)cc(Cl)c1 ZINC001260373585 1073644745 /nfs/dbraw/zinc/64/47/45/1073644745.db2.gz BSSZZVQXFDUHGB-LBPRGKRZSA-N 0 0 437.305 -0.136 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(Br)cc2F)[C@@H](O)[C@H](O)[C@@H]1O ZINC001260382287 1073644628 /nfs/dbraw/zinc/64/46/28/1073644628.db2.gz KVDFQSNHWCSBES-AJVHJNHVSA-N 0 0 444.275 -0.289 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cccc2nsnc21 ZINC001260391115 1073644598 /nfs/dbraw/zinc/64/45/98/1073644598.db2.gz CPGRODSPGYUGJP-GUBZILKMSA-N 0 0 443.507 -0.460 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)[C@H](CO)NS(=O)(=O)c1cccc2nsnc21 ZINC001260391493 1073644656 /nfs/dbraw/zinc/64/46/56/1073644656.db2.gz LCKNVSSIUTWXCJ-KBPBESRZSA-N 0 0 449.514 -0.457 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1cccc2nsnc21 ZINC001260391755 1073644645 /nfs/dbraw/zinc/64/46/45/1073644645.db2.gz RIDNGKANWRWSMV-ZDUSSCGKSA-N 0 0 435.487 -0.112 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1cccc2nsnc21 ZINC001260391845 1073645304 /nfs/dbraw/zinc/64/53/04/1073645304.db2.gz WHERGWVBDKRRLM-ZDUSSCGKSA-N 0 0 435.487 -0.112 20 0 IBADRN Cc1cn([C@H]2C[C@H](NS(=O)(=O)c3cccc4nsnc43)[C@@H](CO)O2)c(=O)[nH]c1=O ZINC001260391922 1073645352 /nfs/dbraw/zinc/64/53/52/1073645352.db2.gz HIEIRZZEVRUOKS-DMDPSCGWSA-N 0 0 439.475 -0.111 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2oc(=O)ccc2c1 ZINC001260457575 1073646400 /nfs/dbraw/zinc/64/64/00/1073646400.db2.gz VRBMVQNZJWZFIS-INIZCTEOSA-N 0 0 445.453 -0.010 20 0 IBADRN Cc1cc(Br)ccc1S(=O)(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001260465699 1073646482 /nfs/dbraw/zinc/64/64/82/1073646482.db2.gz JJNAWTYWWOAFEB-XNHWVXRISA-N 0 0 440.312 -0.120 20 0 IBADRN NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc(Cl)sc1Cl ZINC001260474975 1073646365 /nfs/dbraw/zinc/64/63/65/1073646365.db2.gz VSRPCINCWWUOLO-ZETCQYMHSA-N 0 0 443.334 -0.074 20 0 IBADRN O=S(=O)(N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)c1cc(S(=O)(=O)c2ccccc2)cs1 ZINC001260483080 1073646388 /nfs/dbraw/zinc/64/63/88/1073646388.db2.gz ZEHCPUJDRHQJTA-NMFKLSHFSA-N 0 0 433.529 -0.038 20 0 IBADRN C[C@H](NS(=O)(=O)c1cc(S(=O)(=O)c2ccccc2)cs1)C(=O)NCC(N)=O ZINC001260484223 1073646501 /nfs/dbraw/zinc/64/65/01/1073646501.db2.gz LJSVAABPPCGKEJ-JTQLQIEISA-N 0 0 431.517 -0.151 20 0 IBADRN O=S(=O)(N[C@@H]1[C@@H](O)[C@H](O)[C@@H](CO)O[C@@H]1O)c1cc(Br)c(Cl)s1 ZINC001260493377 1073646345 /nfs/dbraw/zinc/64/63/45/1073646345.db2.gz JTVXXICSPXNNAF-KMHNAULVSA-N 0 0 438.705 -0.758 20 0 IBADRN O=S(=O)(N[C@H]1[C@H](O)O[C@H](CO)[C@@H](O)[C@@H]1O)c1cc(Br)c(Cl)s1 ZINC001260493378 1073646417 /nfs/dbraw/zinc/64/64/17/1073646417.db2.gz JTVXXICSPXNNAF-VHZGFARSSA-N 0 0 438.705 -0.758 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(Br)c(Cl)s1 ZINC001260494932 1073646447 /nfs/dbraw/zinc/64/64/47/1073646447.db2.gz AMBPLSUTUGXTHY-UHFFFAOYSA-N 0 0 447.720 -0.840 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cccc(OC(F)(F)F)c1 ZINC001260502276 1073646459 /nfs/dbraw/zinc/64/64/59/1073646459.db2.gz WGNSLJHZTHGDTM-UHFFFAOYSA-N 0 0 441.384 -0.341 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C2 ZINC001260516678 1073646334 /nfs/dbraw/zinc/64/63/34/1073646334.db2.gz GVPKNYWLIFICNA-GOSISDBHSA-N 0 0 443.547 -0.018 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C2 ZINC001260516679 1073646476 /nfs/dbraw/zinc/64/64/76/1073646476.db2.gz GVPKNYWLIFICNA-SFHVURJKSA-N 0 0 443.547 -0.018 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CCn2c(CN3CCOCC3)nnc2C1 ZINC001260516904 1073646425 /nfs/dbraw/zinc/64/64/25/1073646425.db2.gz PNPIMCKECKKSMW-UHFFFAOYSA-N 0 0 441.535 -0.282 20 0 IBADRN COCCNC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2ccccc2S(C)(=O)=O)O1 ZINC001260516925 1073646441 /nfs/dbraw/zinc/64/64/41/1073646441.db2.gz PQVPMCVZLFRBRG-MCIONIFRSA-N 0 0 432.520 -0.227 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CN(S(=O)(=O)c2ccccc2S(C)(=O)=O)[C@@H]2COC[C@H]12 ZINC001260517191 1073646319 /nfs/dbraw/zinc/64/63/19/1073646319.db2.gz VQPDUNCKSCXPFW-BFHYXJOUSA-N 0 0 432.520 -0.417 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1C[C@H]2C[C@H](C(=O)N3CCOCC3)[C@@H](C1)O2 ZINC001260517663 1073646435 /nfs/dbraw/zinc/64/64/35/1073646435.db2.gz AUTNLICMFCHSQH-QLFBSQMISA-N 0 0 444.531 -0.273 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1C[C@H]2C[C@@H](C(=O)N3CCOCC3)[C@@H](C1)O2 ZINC001260517664 1073646547 /nfs/dbraw/zinc/64/65/47/1073646547.db2.gz AUTNLICMFCHSQH-RBSFLKMASA-N 0 0 444.531 -0.273 20 0 IBADRN CC(=O)N1CCO[C@]2(C1)COCCN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C2 ZINC001260517898 1073646470 /nfs/dbraw/zinc/64/64/70/1073646470.db2.gz FONLOCRXXPLIKE-KRWDZBQOSA-N 0 0 432.520 -0.272 20 0 IBADRN CC(=O)N1CCO[C@@]2(C1)COCCN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C2 ZINC001260517901 1073646936 /nfs/dbraw/zinc/64/69/36/1073646936.db2.gz FONLOCRXXPLIKE-QGZVFWFLSA-N 0 0 432.520 -0.272 20 0 IBADRN CN(C)C(=O)COC[C@]12COC[C@H]1CN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C2 ZINC001260517908 1073647059 /nfs/dbraw/zinc/64/70/59/1073647059.db2.gz BXSQAUKCRPRTPZ-KDOFPFPSSA-N 0 0 446.547 -0.168 20 0 IBADRN CN(C)C(=O)CN1C(=O)C[C@H]2[C@@H]1CCN2S(=O)(=O)c1ccccc1S(C)(=O)=O ZINC001260518043 1073646977 /nfs/dbraw/zinc/64/69/77/1073646977.db2.gz DPZFDZYKAUYWRN-STQMWFEESA-N 0 0 429.520 -0.458 20 0 IBADRN CC(=O)N1CCOC[C@@]2(CN(S(=O)(=O)c3ccccc3S(C)(=O)=O)CCO2)C1 ZINC001260518088 1073646949 /nfs/dbraw/zinc/64/69/49/1073646949.db2.gz FWWMNJYBAIZCHW-KRWDZBQOSA-N 0 0 432.520 -0.272 20 0 IBADRN CC(=O)N1CCOC[C@]2(CN(S(=O)(=O)c3ccccc3S(C)(=O)=O)CCO2)C1 ZINC001260518089 1073646944 /nfs/dbraw/zinc/64/69/44/1073646944.db2.gz FWWMNJYBAIZCHW-QGZVFWFLSA-N 0 0 432.520 -0.272 20 0 IBADRN CC(=O)NCc1nnn2c1CN(S(=O)(=O)c1ccccc1S(C)(=O)=O)CCC2 ZINC001260518435 1073647010 /nfs/dbraw/zinc/64/70/10/1073647010.db2.gz LNLWBACIXUXMIN-UHFFFAOYSA-N 0 0 427.508 -0.088 20 0 IBADRN COCCN1CCO[C@H]2CN(S(=O)(=O)c3ccccc3S(C)(=O)=O)CC[C@H]2C1=O ZINC001260518462 1073646917 /nfs/dbraw/zinc/64/69/17/1073646917.db2.gz MKSKPZLBYKXSAC-CABCVRRESA-N 0 0 446.547 -0.026 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCn2nnc(Cn3cnnc3)c2C1 ZINC001260518685 1073646956 /nfs/dbraw/zinc/64/69/56/1073646956.db2.gz QLOWNWIBIRZFJJ-UHFFFAOYSA-N 0 0 437.507 -0.084 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CC[C@H]2O[C@@H](C(=O)N3CCOCC3)C[C@H]21 ZINC001260518917 1073646927 /nfs/dbraw/zinc/64/69/27/1073646927.db2.gz VCDACEQIECLMDL-RBSFLKMASA-N 0 0 444.531 -0.131 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001260519263 1073647015 /nfs/dbraw/zinc/64/70/15/1073647015.db2.gz UYQWRYXVZMCKKZ-UHFFFAOYSA-N 0 0 436.577 -0.026 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C2 ZINC001260519946 1073646901 /nfs/dbraw/zinc/64/69/01/1073646901.db2.gz CMDHUHWMJJKAJQ-LLVKDONJSA-N 0 0 437.517 -0.559 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C2 ZINC001260519947 1073647043 /nfs/dbraw/zinc/64/70/43/1073647043.db2.gz CMDHUHWMJJKAJQ-NSHDSACASA-N 0 0 437.517 -0.559 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C[C@H]2C(=O)N(C)C ZINC001260521332 1073646965 /nfs/dbraw/zinc/64/69/65/1073646965.db2.gz VFZFAGOQNHWRHR-AWEZNQCLSA-N 0 0 443.547 -0.353 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccccc1S(C)(=O)=O)C[C@@H]2C(=O)N(C)C ZINC001260521333 1073647019 /nfs/dbraw/zinc/64/70/19/1073647019.db2.gz VFZFAGOQNHWRHR-CQSZACIVSA-N 0 0 443.547 -0.353 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)NCC(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001260526024 1073646985 /nfs/dbraw/zinc/64/69/85/1073646985.db2.gz KHFIOZRDOAGLMS-AWEZNQCLSA-N 0 0 439.515 -0.419 20 0 IBADRN CS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(N)=O ZINC001260526113 1073647006 /nfs/dbraw/zinc/64/70/06/1073647006.db2.gz NYHRFJLLEIQUKP-AWEZNQCLSA-N 0 0 439.515 -0.419 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(-c2ccccn2)s1 ZINC001260538691 1073647066 /nfs/dbraw/zinc/64/70/66/1073647066.db2.gz GLFOLCACILTCGX-UHFFFAOYSA-N 0 0 440.503 -0.116 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(-c3ccccn3)s2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001260541466 1073647049 /nfs/dbraw/zinc/64/70/49/1073647049.db2.gz WBSHJRUJILYAAU-SKPIDZIXSA-N 0 0 430.504 -0.068 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)c1cn(C)c(C)n1)C2 ZINC001260543874 1073647689 /nfs/dbraw/zinc/64/76/89/1073647689.db2.gz DHBAPGSBBMBUFM-GOSISDBHSA-N 0 0 435.510 -0.509 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)c1cn(C)c(C)n1)C2 ZINC001260543876 1073647718 /nfs/dbraw/zinc/64/77/18/1073647718.db2.gz DHBAPGSBBMBUFM-SFHVURJKSA-N 0 0 435.510 -0.509 20 0 IBADRN Cc1nc(S(=O)(=O)N2Cc3nccn3C[C@H](CNC(=O)c3ccnnc3)C2)cn1C ZINC001260544753 1073647721 /nfs/dbraw/zinc/64/77/21/1073647721.db2.gz AHTPTKOIEIRDJA-AWEZNQCLSA-N 0 0 430.494 -0.034 20 0 IBADRN Cc1nc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]3CC2)cn1C ZINC001260544756 1073647729 /nfs/dbraw/zinc/64/77/29/1073647729.db2.gz VLQXQXRBXOFFBN-ZIAGYGMSSA-N 0 0 433.556 -0.769 20 0 IBADRN Cc1nc(S(=O)(=O)N2Cc3nccn3C[C@@H](CNC(=O)c3ccnnc3)C2)cn1C ZINC001260544757 1073647696 /nfs/dbraw/zinc/64/76/96/1073647696.db2.gz AHTPTKOIEIRDJA-CQSZACIVSA-N 0 0 430.494 -0.034 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCc3ncc(CNC(=O)c4nccn4C)n3CC2)cn1C ZINC001260544848 1073647702 /nfs/dbraw/zinc/64/77/02/1073647702.db2.gz FBHSTEHYWNKHID-UHFFFAOYSA-N 0 0 432.510 -0.164 20 0 IBADRN Cc1nc(S(=O)(=O)N2C[C@@H]3c4nc(N(C)C)ncc4CS(=O)(=O)[C@@H]3C2)cn1C ZINC001260545098 1073647740 /nfs/dbraw/zinc/64/77/40/1073647740.db2.gz DWJLBCVJUVDIMJ-QWHCGFSZSA-N 0 0 426.524 -0.330 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCc3ncc(CNC(=O)c4cnn(C)c4)n3CC2)cn1C ZINC001260545187 1073647639 /nfs/dbraw/zinc/64/76/39/1073647639.db2.gz NVESIBXZEMZZOL-UHFFFAOYSA-N 0 0 432.510 -0.164 20 0 IBADRN Cc1nc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@H]3C(=O)N2CCOCC2)cn1C ZINC001260545886 1073647615 /nfs/dbraw/zinc/64/76/15/1073647615.db2.gz WAPDRNKKXLIWFP-RBSFLKMASA-N 0 0 446.551 -0.989 20 0 IBADRN Cc1nc(S(=O)(=O)N2C[C@H]3[C@@H](C2)S(=O)(=O)CC[C@@H]3C(=O)N2CCOCC2)cn1C ZINC001260545887 1073647725 /nfs/dbraw/zinc/64/77/25/1073647725.db2.gz WAPDRNKKXLIWFP-RRFJBIMHSA-N 0 0 446.551 -0.989 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC[C@H]2C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O)cn1C ZINC001260546041 1073647672 /nfs/dbraw/zinc/64/76/72/1073647672.db2.gz LTHLAJXSYMBGSO-KBPBESRZSA-N 0 0 442.542 -0.986 20 0 IBADRN Cc1nc(S(=O)(=O)NCCOCCOCCOCCOCCOCCO)cn1C ZINC001260552088 1073647650 /nfs/dbraw/zinc/64/76/50/1073647650.db2.gz RLVXNFMVMRWIAB-UHFFFAOYSA-N 0 0 439.531 -0.918 20 0 IBADRN Cc1nc(S(=O)(=O)NCCNC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)cn1C ZINC001260552205 1073647662 /nfs/dbraw/zinc/64/76/62/1073647662.db2.gz ZLWYQQQXFIFOKJ-XEZPLFJOSA-N 0 0 444.583 -0.151 20 0 IBADRN Cc1nc(S(=O)(=O)N[C@H](Cc2ccc(O)cc2)C(=O)N[C@H](C(N)=O)C(C)C)cn1C ZINC001260553891 1073647709 /nfs/dbraw/zinc/64/77/09/1073647709.db2.gz ZQWAZCYFWKBPHF-WBVHZDCISA-N 0 0 437.522 -0.050 20 0 IBADRN NC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1c(Cl)cc(Cl)cc1Cl ZINC001260560743 1073647587 /nfs/dbraw/zinc/64/75/87/1073647587.db2.gz KRGLNZAVJDRHOG-UHFFFAOYSA-N 0 0 431.685 -0.357 20 0 IBADRN CCCCOc1ccc(S(=O)(=O)NCC(=O)NCC(=O)NCC(=O)OCC)cc1 ZINC001260580714 1073648080 /nfs/dbraw/zinc/64/80/80/1073648080.db2.gz YVFBDXJMDCLUGA-UHFFFAOYSA-N 0 0 429.495 -0.061 20 0 IBADRN CCCCOc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)cc1 ZINC001260581947 1073648148 /nfs/dbraw/zinc/64/81/48/1073648148.db2.gz IKGPBAJGCSOPNA-INIZCTEOSA-N 0 0 440.522 -0.264 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@H]2C[C@H](C(=O)NCCc3ccccn3)O[C@H]2C1 ZINC001260585390 1073648072 /nfs/dbraw/zinc/64/80/72/1073648072.db2.gz BLGKTPIMYIEJIP-IMJJTQAJSA-N 0 0 431.536 -0.448 20 0 IBADRN CN(C)C(=O)[C@@]12CN(c3ncccn3)C[C@@H]1CN(S(=O)(=O)CS(C)(=O)=O)CCC2 ZINC001260585395 1073648178 /nfs/dbraw/zinc/64/81/78/1073648178.db2.gz BMJLKOYQDLQASO-PBHICJAKSA-N 0 0 445.567 -0.585 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCOC[C@@]2(CN(c3cccc(F)c3)C(=O)CO2)C1 ZINC001260585427 1073648098 /nfs/dbraw/zinc/64/80/98/1073648098.db2.gz CLWTZYFHOHCEFS-INIZCTEOSA-N 0 0 436.483 -0.408 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCOC[C@]2(CN(c3cccc(F)c3)C(=O)CO2)C1 ZINC001260585428 1073648090 /nfs/dbraw/zinc/64/80/90/1073648090.db2.gz CLWTZYFHOHCEFS-MRXNPFEDSA-N 0 0 436.483 -0.408 20 0 IBADRN CN(Cc1ccccn1)C(=O)[C@H]1C[C@H]2OCC[C@H]2N(S(=O)(=O)CS(C)(=O)=O)C1 ZINC001260585447 1073648064 /nfs/dbraw/zinc/64/80/64/1073648064.db2.gz DMIFKVIQZKHFOZ-NUEKZKHPSA-N 0 0 431.536 -0.149 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@]2(CC(C(=O)NCc3ccc(F)cc3)=NO2)C1 ZINC001260585448 1073648123 /nfs/dbraw/zinc/64/81/23/1073648123.db2.gz DPIHEMHXTDPOJA-INIZCTEOSA-N 0 0 433.483 -0.005 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@@]2(CC(C(=O)NCc3ccc(F)cc3)=NO2)C1 ZINC001260585451 1073647992 /nfs/dbraw/zinc/64/79/92/1073647992.db2.gz DPIHEMHXTDPOJA-MRXNPFEDSA-N 0 0 433.483 -0.005 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@H]2OC[C@@H](C(=O)NCCc3ccccn3)C[C@H]2C1 ZINC001260585505 1073648139 /nfs/dbraw/zinc/64/81/39/1073648139.db2.gz FLBPEFWSDCNRKQ-YQQAZPJKSA-N 0 0 445.563 -0.201 20 0 IBADRN CN1[C@H]2CCN(S(=O)(=O)CS(C)(=O)=O)CC[C@@H]2Oc2ccccc2S1(=O)=O ZINC001260585543 1073648136 /nfs/dbraw/zinc/64/81/36/1073648136.db2.gz FUFLQRRDGAAGEA-STQMWFEESA-N 0 0 438.549 -0.136 20 0 IBADRN CN(C)c1nccc(N2CCOC[C@@]3(CCCN(S(=O)(=O)CS(C)(=O)=O)C3)C2)n1 ZINC001260585554 1073648168 /nfs/dbraw/zinc/64/81/68/1073648168.db2.gz GGOBBVCODVNZLD-KRWDZBQOSA-N 0 0 447.583 -0.207 20 0 IBADRN CN(C)c1nccc(N2CCOC[C@]3(CCCN(S(=O)(=O)CS(C)(=O)=O)C3)C2)n1 ZINC001260585556 1073647966 /nfs/dbraw/zinc/64/79/66/1073647966.db2.gz GGOBBVCODVNZLD-QGZVFWFLSA-N 0 0 447.583 -0.207 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CS(C)(=O)=O)C[C@]2(CCCC(=O)N2)C1 ZINC001260585561 1073648002 /nfs/dbraw/zinc/64/80/02/1073648002.db2.gz CRTMGQIZMZYIGW-INIZCTEOSA-N 0 0 439.556 -0.090 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CS(C)(=O)=O)C[C@@]2(CCCC(=O)N2)C1 ZINC001260585567 1073648162 /nfs/dbraw/zinc/64/81/62/1073648162.db2.gz CRTMGQIZMZYIGW-MRXNPFEDSA-N 0 0 439.556 -0.090 20 0 IBADRN CN(Cc1ccccn1)C(=O)C1=NO[C@]2(C1)CCCN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260585575 1073648009 /nfs/dbraw/zinc/64/80/09/1073648009.db2.gz GLRRHXWHDQTUOX-KRWDZBQOSA-N 0 0 444.535 -0.017 20 0 IBADRN CN(Cc1ccccn1)C(=O)C1=NO[C@@]2(C1)CCCN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260585577 1073648116 /nfs/dbraw/zinc/64/81/16/1073648116.db2.gz GLRRHXWHDQTUOX-QGZVFWFLSA-N 0 0 444.535 -0.017 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@]2(C1)COCCN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260585662 1073648129 /nfs/dbraw/zinc/64/81/29/1073648129.db2.gz FKRILSOQAAWWAP-HNNXBMFYSA-N 0 0 428.529 -0.343 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@]2(C1)COCCN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260585664 1073648753 /nfs/dbraw/zinc/64/87/53/1073648753.db2.gz FKRILSOQAAWWAP-OAHLLOKOSA-N 0 0 428.529 -0.343 20 0 IBADRN CC(C)CC(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)CS(C)(=O)=O)CCC2 ZINC001260585694 1073648637 /nfs/dbraw/zinc/64/86/37/1073648637.db2.gz IVHZUEFDEVSISX-UHFFFAOYSA-N 0 0 434.540 -0.557 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCc3ccccn3)[C@@H]2C1 ZINC001260585826 1073648749 /nfs/dbraw/zinc/64/87/49/1073648749.db2.gz LCKDZTMGOKZFDV-OWCLPIDISA-N 0 0 431.536 -0.243 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(S(=O)(=O)CS(C)(=O)=O)C[C@]21CCNC1=O ZINC001260585886 1073648725 /nfs/dbraw/zinc/64/87/25/1073648725.db2.gz JSQKCBIIHTYFIZ-INIZCTEOSA-N 0 0 437.540 -0.623 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(S(=O)(=O)CS(C)(=O)=O)C[C@@]21CCNC1=O ZINC001260585887 1073648590 /nfs/dbraw/zinc/64/85/90/1073648590.db2.gz JSQKCBIIHTYFIZ-MRXNPFEDSA-N 0 0 437.540 -0.623 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCC(N2CCC(C(=O)N3CCOCC3)CC2)CC1 ZINC001260586054 1073648738 /nfs/dbraw/zinc/64/87/38/1073648738.db2.gz CDKBOSONHNVQPO-UHFFFAOYSA-N 0 0 437.584 -0.647 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CC1)CN(S(=O)(=O)CS(C)(=O)=O)CC(=O)N2 ZINC001260586202 1073648675 /nfs/dbraw/zinc/64/86/75/1073648675.db2.gz NPMCTOZESDTZIN-UHFFFAOYSA-N 0 0 425.529 -0.480 20 0 IBADRN Cc1nc(N2CCOCC2)nc2c1COC[C@@]21CCN(S(=O)(=O)CS(C)(=O)=O)C1 ZINC001260586279 1073648713 /nfs/dbraw/zinc/64/87/13/1073648713.db2.gz FHXDDSHFOHIBKD-KRWDZBQOSA-N 0 0 446.551 -0.573 20 0 IBADRN Cc1nc(N2CCOCC2)nc2c1COC[C@]21CCN(S(=O)(=O)CS(C)(=O)=O)C1 ZINC001260586280 1073648571 /nfs/dbraw/zinc/64/85/71/1073648571.db2.gz FHXDDSHFOHIBKD-QGZVFWFLSA-N 0 0 446.551 -0.573 20 0 IBADRN CN(C)C(=O)[C@]12CN(S(=O)(=O)CS(C)(=O)=O)C[C@H]1N(c1ncccn1)CCC2 ZINC001260586296 1073648693 /nfs/dbraw/zinc/64/86/93/1073648693.db2.gz FSWPBVNBCDLKGK-CZUORRHYSA-N 0 0 431.540 -0.833 20 0 IBADRN C[C@@H]1N(Cc2cccnc2)C(=O)COC12CCN(S(=O)(=O)CS(C)(=O)=O)CC2 ZINC001260586546 1073648666 /nfs/dbraw/zinc/64/86/66/1073648666.db2.gz HTLHZLQAAMAHJO-AWEZNQCLSA-N 0 0 431.536 -0.005 20 0 IBADRN C[C@H]1N(Cc2cccnc2)C(=O)COC12CCN(S(=O)(=O)CS(C)(=O)=O)CC2 ZINC001260586547 1073648654 /nfs/dbraw/zinc/64/86/54/1073648654.db2.gz HTLHZLQAAMAHJO-CQSZACIVSA-N 0 0 431.536 -0.005 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(CN(S(=O)(=O)CS(C)(=O)=O)CCO2)C1 ZINC001260586675 1073648646 /nfs/dbraw/zinc/64/86/46/1073648646.db2.gz UJRYETFXDFACND-HNNXBMFYSA-N 0 0 428.529 -0.343 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(CN(S(=O)(=O)CS(C)(=O)=O)CCO2)C1 ZINC001260586676 1073648757 /nfs/dbraw/zinc/64/87/57/1073648757.db2.gz UJRYETFXDFACND-OAHLLOKOSA-N 0 0 428.529 -0.343 20 0 IBADRN Cc1cccc(CN2CC3(CCN(S(=O)(=O)CS(C)(=O)=O)CC3)OCC2=O)n1 ZINC001260586768 1073648618 /nfs/dbraw/zinc/64/86/18/1073648618.db2.gz AWXNCJTVKZOOGO-UHFFFAOYSA-N 0 0 431.536 -0.085 20 0 IBADRN Cc1nc(CN2CCO[C@H]3CN(S(=O)(=O)CS(C)(=O)=O)CC[C@H]3C2=O)cs1 ZINC001260586836 1073648732 /nfs/dbraw/zinc/64/87/32/1073648732.db2.gz DFZKQRMHSBDTTD-KGLIPLIRSA-N 0 0 437.565 -0.167 20 0 IBADRN Cc1cccc(N2C[C@@]3(COCCN(S(=O)(=O)CS(C)(=O)=O)C3)OCC2=O)c1 ZINC001260586945 1073648600 /nfs/dbraw/zinc/64/86/00/1073648600.db2.gz MHJYLIZIEQWMSV-KRWDZBQOSA-N 0 0 432.520 -0.239 20 0 IBADRN Cc1cccc(N2C[C@]3(COCCN(S(=O)(=O)CS(C)(=O)=O)C3)OCC2=O)c1 ZINC001260586950 1073648718 /nfs/dbraw/zinc/64/87/18/1073648718.db2.gz MHJYLIZIEQWMSV-QGZVFWFLSA-N 0 0 432.520 -0.239 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@H]2OCC[C@@]2(C(=O)NCCc2ccccn2)C1 ZINC001260586999 1073649362 /nfs/dbraw/zinc/64/93/62/1073649362.db2.gz NOAXKCCNRXKWFH-NVXWUHKLSA-N 0 0 431.536 -0.447 20 0 IBADRN CC(C)CCN1C[C@@]23CN(S(=O)(=O)CS(C)(=O)=O)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001260587009 1073649339 /nfs/dbraw/zinc/64/93/39/1073649339.db2.gz SOYQULNRJMPBEK-HZSPNIEDSA-N 0 0 430.570 -0.778 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCN(C(=O)Nc2ccccc2)C[C@]2(CNC(=O)C2)C1 ZINC001260587036 1073649462 /nfs/dbraw/zinc/64/94/62/1073649462.db2.gz SYABPOPRYBVGBG-KRWDZBQOSA-N 0 0 444.535 -0.326 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCN(C(=O)Nc2ccccc2)C[C@@]2(CNC(=O)C2)C1 ZINC001260587037 1073649402 /nfs/dbraw/zinc/64/94/02/1073649402.db2.gz SYABPOPRYBVGBG-QGZVFWFLSA-N 0 0 444.535 -0.326 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccncc3)[C@@H]2C1 ZINC001260587050 1073649330 /nfs/dbraw/zinc/64/93/30/1073649330.db2.gz FCXVDWBGJIPIAH-IXDOHACOSA-N 0 0 445.563 -0.201 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1Cc2nccn2C[C@H](COCC(=O)N2CCCC2)C1 ZINC001260587226 1073649454 /nfs/dbraw/zinc/64/94/54/1073649454.db2.gz PYDWGPZBIUVFAD-AWEZNQCLSA-N 0 0 434.540 -0.714 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1Cc2nccn2C[C@@H](COCC(=O)N2CCCC2)C1 ZINC001260587227 1073649371 /nfs/dbraw/zinc/64/93/71/1073649371.db2.gz PYDWGPZBIUVFAD-CQSZACIVSA-N 0 0 434.540 -0.714 20 0 IBADRN Cn1cc(-c2cnc3n2CCN(C)C32CCN(S(=O)(=O)CS(C)(=O)=O)CC2)cn1 ZINC001260587250 1073649305 /nfs/dbraw/zinc/64/93/05/1073649305.db2.gz QMTJFYNBONPINP-UHFFFAOYSA-N 0 0 442.567 -0.148 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)N3CCCC3)nc2C1 ZINC001260587258 1073649424 /nfs/dbraw/zinc/64/94/24/1073649424.db2.gz QQAFYOWGRKZORU-UHFFFAOYSA-N 0 0 447.539 -0.914 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260587502 1073649410 /nfs/dbraw/zinc/64/94/10/1073649410.db2.gz SQCPZDPJDDZTSB-INIZCTEOSA-N 0 0 430.508 -0.947 20 0 IBADRN CC(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260587509 1073649394 /nfs/dbraw/zinc/64/93/94/1073649394.db2.gz SQCPZDPJDDZTSB-MRXNPFEDSA-N 0 0 430.508 -0.947 20 0 IBADRN COCCN1[C@]2(CCN(S(=O)(=O)CS(C)(=O)=O)C2)c2ccccc2S1(=O)=O ZINC001260587530 1073649350 /nfs/dbraw/zinc/64/93/50/1073649350.db2.gz TXJLGOKSGURMBN-HNNXBMFYSA-N 0 0 438.549 -0.430 20 0 IBADRN COCCN1[C@@]2(CCN(S(=O)(=O)CS(C)(=O)=O)C2)c2ccccc2S1(=O)=O ZINC001260587531 1073649381 /nfs/dbraw/zinc/64/93/81/1073649381.db2.gz TXJLGOKSGURMBN-OAHLLOKOSA-N 0 0 438.549 -0.430 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCc2nc(C(=O)Nc3ccncc3)sc2CC1 ZINC001260587539 1073649470 /nfs/dbraw/zinc/64/94/70/1073649470.db2.gz UDIYMZQDWBZENL-UHFFFAOYSA-N 0 0 430.533 -0.055 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@H]2OCC[C@@H](C(=O)NCCc3ccccn3)[C@@H]2C1 ZINC001260587541 1073649492 /nfs/dbraw/zinc/64/94/92/1073649492.db2.gz UFOCDDJZRFWSBY-IXDOHACOSA-N 0 0 445.563 -0.201 20 0 IBADRN COC(=O)c1cc2c(nc1N1CCOCC1)CCN(S(=O)(=O)CS(C)(=O)=O)CC2 ZINC001260587582 1073649445 /nfs/dbraw/zinc/64/94/45/1073649445.db2.gz VZDVPCYDUYGJGN-UHFFFAOYSA-N 0 0 447.535 -0.563 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@@]2(c3ccccc3)CCN(S(C)(=O)=O)[C@@H]2C1 ZINC001260587608 1073649497 /nfs/dbraw/zinc/64/94/97/1073649497.db2.gz MVRJGPKFPSPKQW-CVEARBPZSA-N 0 0 436.577 -0.004 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@@]2(c3ccccc3)CCN(S(C)(=O)=O)[C@H]2C1 ZINC001260587609 1073649414 /nfs/dbraw/zinc/64/94/14/1073649414.db2.gz MVRJGPKFPSPKQW-HOTGVXAUSA-N 0 0 436.577 -0.004 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@]2(c3ccccc3)CCN(S(C)(=O)=O)[C@@H]2C1 ZINC001260587610 1073649438 /nfs/dbraw/zinc/64/94/38/1073649438.db2.gz MVRJGPKFPSPKQW-HZPDHXFCSA-N 0 0 436.577 -0.004 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CC[C@]2(c3ccccc3)CCN(S(C)(=O)=O)[C@H]2C1 ZINC001260587611 1073649476 /nfs/dbraw/zinc/64/94/76/1073649476.db2.gz MVRJGPKFPSPKQW-JKSUJKDBSA-N 0 0 436.577 -0.004 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)C3CCC3)nc2C1 ZINC001260587685 1073649967 /nfs/dbraw/zinc/64/99/67/1073649967.db2.gz OSSCBICIVKSTSM-UHFFFAOYSA-N 0 0 432.524 -0.803 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCC[C@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001260587738 1073650025 /nfs/dbraw/zinc/65/00/25/1073650025.db2.gz PQUOAWHGXQARJY-INIZCTEOSA-N 0 0 430.508 -0.359 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCC[C@@]2(CC(C(=O)NCc3ccncc3)=NO2)C1 ZINC001260587739 1073650013 /nfs/dbraw/zinc/65/00/13/1073650013.db2.gz PQUOAWHGXQARJY-MRXNPFEDSA-N 0 0 430.508 -0.359 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1C[C@@H]2COC[C@@H](CC(=O)N3CCC(F)CC3)[C@@H]2C1 ZINC001260587769 1073650031 /nfs/dbraw/zinc/65/00/31/1073650031.db2.gz WQXBFBNHFOCXKM-NFAWXSAZSA-N 0 0 426.532 -0.137 20 0 IBADRN Cc1nc(CN2CCOC[C@@]3(CN(S(=O)(=O)CS(C)(=O)=O)CCO3)C2)cs1 ZINC001260587776 1073649899 /nfs/dbraw/zinc/64/98/99/1073649899.db2.gz WWJNIGICEAOJAF-HNNXBMFYSA-N 0 0 439.581 -0.313 20 0 IBADRN Cc1nc(CN2CCOC[C@]3(CN(S(=O)(=O)CS(C)(=O)=O)CCO3)C2)cs1 ZINC001260587777 1073649871 /nfs/dbraw/zinc/64/98/71/1073649871.db2.gz WWJNIGICEAOJAF-OAHLLOKOSA-N 0 0 439.581 -0.313 20 0 IBADRN Cc1cccc(CN2CCO[C@H]3CN(S(=O)(=O)CS(C)(=O)=O)CC[C@H]3C2=O)n1 ZINC001260587783 1073649996 /nfs/dbraw/zinc/64/99/96/1073649996.db2.gz XBYMSJPLKWEOLB-CVEARBPZSA-N 0 0 431.536 -0.229 20 0 IBADRN COC[C@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(S(=O)(=O)CS(C)(=O)=O)CC2 ZINC001260587802 1073649883 /nfs/dbraw/zinc/64/98/83/1073649883.db2.gz XPMFTNQTVMUASY-CYBMUJFWSA-N 0 0 444.597 -0.387 20 0 IBADRN COC[C@@H]1CC2(CN1S(=O)(=O)C1CC1)CCN(S(=O)(=O)CS(C)(=O)=O)CC2 ZINC001260587803 1073650033 /nfs/dbraw/zinc/65/00/33/1073650033.db2.gz XPMFTNQTVMUASY-ZDUSSCGKSA-N 0 0 444.597 -0.387 20 0 IBADRN CC(=O)N1CC(=O)N(c2ccccc2)C[C@@]12CCN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260587825 1073650021 /nfs/dbraw/zinc/65/00/21/1073650021.db2.gz YMLUMGODULNZSK-KRWDZBQOSA-N 0 0 429.520 -0.342 20 0 IBADRN CC(=O)N1CC(=O)N(c2ccccc2)C[C@]12CCN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260587826 1073649955 /nfs/dbraw/zinc/64/99/55/1073649955.db2.gz YMLUMGODULNZSK-QGZVFWFLSA-N 0 0 429.520 -0.342 20 0 IBADRN Cn1cnc2c1[C@H](COCCN1CCOCC1)CN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260587865 1073649974 /nfs/dbraw/zinc/64/99/74/1073649974.db2.gz ZUGKBOYIDAFJLO-AWEZNQCLSA-N 0 0 436.556 -1.000 20 0 IBADRN Cn1cnc2c1[C@@H](COCCN1CCOCC1)CN(S(=O)(=O)CS(C)(=O)=O)C2 ZINC001260587873 1073649911 /nfs/dbraw/zinc/64/99/11/1073649911.db2.gz ZUGKBOYIDAFJLO-CQSZACIVSA-N 0 0 436.556 -1.000 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)c3ccco3)nc2C1 ZINC001260587878 1073649962 /nfs/dbraw/zinc/64/99/62/1073649962.db2.gz ZXDFWXVGCPNARQ-UHFFFAOYSA-N 0 0 444.491 -0.696 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCOC[C@@]2(CN(c3ccc(F)cc3)C(=O)CO2)C1 ZINC001260588226 1073649924 /nfs/dbraw/zinc/64/99/24/1073649924.db2.gz YSJSKDUIVQSMDM-INIZCTEOSA-N 0 0 436.483 -0.408 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCOC[C@]2(CN(c3ccc(F)cc3)C(=O)CO2)C1 ZINC001260588227 1073649947 /nfs/dbraw/zinc/64/99/47/1073649947.db2.gz YSJSKDUIVQSMDM-MRXNPFEDSA-N 0 0 436.483 -0.408 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N1CCCn2c(=O)cc(CNC(=O)CC3CC3)nc2C1 ZINC001260588236 1073650003 /nfs/dbraw/zinc/65/00/03/1073650003.db2.gz ZCFJPDILNQPGSQ-UHFFFAOYSA-N 0 0 432.524 -0.803 20 0 IBADRN COc1ccc(CN2C(=O)N[C@]3(CCN(S(=O)(=O)CS(C)(=O)=O)C3)C2=O)cc1 ZINC001260589220 1073649888 /nfs/dbraw/zinc/64/98/88/1073649888.db2.gz ALAUZCQJOIZLOK-INIZCTEOSA-N 0 0 431.492 -0.477 20 0 IBADRN COc1ccc(CN2C(=O)N[C@@]3(CCN(S(=O)(=O)CS(C)(=O)=O)C3)C2=O)cc1 ZINC001260589222 1073649986 /nfs/dbraw/zinc/64/99/86/1073649986.db2.gz ALAUZCQJOIZLOK-MRXNPFEDSA-N 0 0 431.492 -0.477 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@H]1CN(S(=O)(=O)CS(C)(=O)=O)CCO1 ZINC001260590323 1073650723 /nfs/dbraw/zinc/65/07/23/1073650723.db2.gz XOOURFKZHDADKA-CYBMUJFWSA-N 0 0 432.480 -0.389 20 0 IBADRN CCOC(=O)c1cnn2c1nccc2[C@@H]1CN(S(=O)(=O)CS(C)(=O)=O)CCO1 ZINC001260590324 1073650855 /nfs/dbraw/zinc/65/08/55/1073650855.db2.gz XOOURFKZHDADKA-ZDUSSCGKSA-N 0 0 432.480 -0.389 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@@H](CNS(=O)(=O)CS(C)(=O)=O)CO2)C1 ZINC001260593955 1073650605 /nfs/dbraw/zinc/65/06/05/1073650605.db2.gz GEJVMMNVCRXYAB-BBRMVZONSA-N 0 0 442.556 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@]2(C[C@H](CNS(=O)(=O)CS(C)(=O)=O)CO2)C1 ZINC001260593956 1073650680 /nfs/dbraw/zinc/65/06/80/1073650680.db2.gz GEJVMMNVCRXYAB-CJNGLKHVSA-N 0 0 442.556 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@H](CNS(=O)(=O)CS(C)(=O)=O)CO2)C1 ZINC001260593957 1073650690 /nfs/dbraw/zinc/65/06/90/1073650690.db2.gz GEJVMMNVCRXYAB-CZUORRHYSA-N 0 0 442.556 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@]2(C[C@@H](CNS(=O)(=O)CS(C)(=O)=O)CO2)C1 ZINC001260593958 1073650671 /nfs/dbraw/zinc/65/06/71/1073650671.db2.gz GEJVMMNVCRXYAB-XJKSGUPXSA-N 0 0 442.556 -0.050 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@@H](NS(=O)(=O)CS(C)(=O)=O)CCS2(=O)=O ZINC001260594331 1073650838 /nfs/dbraw/zinc/65/08/38/1073650838.db2.gz PDZFIHRQSNALOP-JTQLQIEISA-N 0 0 432.542 -0.916 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)[C@H](NS(=O)(=O)CS(C)(=O)=O)CCS2(=O)=O ZINC001260594332 1073650634 /nfs/dbraw/zinc/65/06/34/1073650634.db2.gz PDZFIHRQSNALOP-SNVBAGLBSA-N 0 0 432.542 -0.916 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N[C@H]1CCc2c(ccc(=O)n2CCN2CCCC2=O)C1 ZINC001260594590 1073650765 /nfs/dbraw/zinc/65/07/65/1073650765.db2.gz ZGAPCOOWUBLXRW-AWEZNQCLSA-N 0 0 431.536 -0.751 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)N[C@@H]1CCc2c(ccc(=O)n2CCN2CCCC2=O)C1 ZINC001260594591 1073650704 /nfs/dbraw/zinc/65/07/04/1073650704.db2.gz ZGAPCOOWUBLXRW-CQSZACIVSA-N 0 0 431.536 -0.751 20 0 IBADRN CC(C)(C)OC(=O)NCCOCCOCCOCCNS(=O)(=O)CS(C)(=O)=O ZINC001260594649 1073650618 /nfs/dbraw/zinc/65/06/18/1073650618.db2.gz AOZVOLYEZZAKNI-UHFFFAOYSA-N 0 0 448.560 -0.518 20 0 IBADRN CS(=O)(=O)CS(=O)(=O)NC[C@H]1CN(c2ccc(N3CCOCC3=O)cc2)C(=O)O1 ZINC001260596284 1073650792 /nfs/dbraw/zinc/65/07/92/1073650792.db2.gz FCSUWOLKGVZDJM-AWEZNQCLSA-N 0 0 447.491 -0.704 20 0 IBADRN CC(C)(C)OC(=O)CCOCCOCCOCCNS(=O)(=O)CS(C)(=O)=O ZINC001260597547 1073650652 /nfs/dbraw/zinc/65/06/52/1073650652.db2.gz AJGHYIXOKAXOFF-UHFFFAOYSA-N 0 0 433.545 -0.311 20 0 IBADRN CC(C)C[C@H](NS(=O)(=O)CS(C)(=O)=O)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001260598531 1073650749 /nfs/dbraw/zinc/65/07/49/1073650749.db2.gz CAFRVHLTWHSSEG-GJZGRUSLSA-N 0 0 433.552 -0.465 20 0 IBADRN Cc1cc(=O)oc2cc(NC(=O)CNC(=O)CNS(=O)(=O)CS(C)(=O)=O)ccc12 ZINC001260598723 1073650580 /nfs/dbraw/zinc/65/05/80/1073650580.db2.gz HPDJGKWTUKSNGF-UHFFFAOYSA-N 0 0 445.475 -0.922 20 0 IBADRN COCCNC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2cccc(S(C)(=O)=O)c2)O1 ZINC001260601061 1073651409 /nfs/dbraw/zinc/65/14/09/1073651409.db2.gz FODATNBNWZMYMT-OAGGEKHMSA-N 0 0 432.520 -0.227 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001260601109 1073651459 /nfs/dbraw/zinc/65/14/59/1073651459.db2.gz GQTDJDGVDFZFAW-GOSISDBHSA-N 0 0 443.547 -0.018 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001260601110 1073651470 /nfs/dbraw/zinc/65/14/70/1073651470.db2.gz GQTDJDGVDFZFAW-SFHVURJKSA-N 0 0 443.547 -0.018 20 0 IBADRN CC(=O)NCc1nnn2c1CN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)CCC2 ZINC001260601208 1073651379 /nfs/dbraw/zinc/65/13/79/1073651379.db2.gz KKRXWFUYAFEYEU-UHFFFAOYSA-N 0 0 427.508 -0.088 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CC[C@H]3O[C@@H](C(=O)N4CCOCC4)C[C@H]32)c1 ZINC001260601437 1073651422 /nfs/dbraw/zinc/65/14/22/1073651422.db2.gz POJBIIISRNRNKN-BRWVUGGUSA-N 0 0 444.531 -0.131 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CN(S(=O)(=O)c2cccc(S(C)(=O)=O)c2)[C@@H]2COC[C@H]12 ZINC001260601608 1073651362 /nfs/dbraw/zinc/65/13/62/1073651362.db2.gz LAAQJFDDXCFXKY-ARFHVFGLSA-N 0 0 432.520 -0.417 20 0 IBADRN CC(=O)N1CCOC[C@@]2(CN(S(=O)(=O)c3cccc(S(C)(=O)=O)c3)CCO2)C1 ZINC001260601704 1073651323 /nfs/dbraw/zinc/65/13/23/1073651323.db2.gz SHOAUGJMOLNHFZ-KRWDZBQOSA-N 0 0 432.520 -0.272 20 0 IBADRN CC(=O)N1CCOC[C@]2(CN(S(=O)(=O)c3cccc(S(C)(=O)=O)c3)CCO2)C1 ZINC001260601706 1073651402 /nfs/dbraw/zinc/65/14/02/1073651402.db2.gz SHOAUGJMOLNHFZ-QGZVFWFLSA-N 0 0 432.520 -0.272 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCn3c(CN4CCOCC4)nnc3C2)c1 ZINC001260602042 1073651353 /nfs/dbraw/zinc/65/13/53/1073651353.db2.gz VIVVEIDBUYTIAS-UHFFFAOYSA-N 0 0 441.535 -0.282 20 0 IBADRN CN(C)C(=O)COC[C@]12COC[C@H]1CN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001260602054 1073651386 /nfs/dbraw/zinc/65/13/86/1073651386.db2.gz YKQFOBMSEKOPGD-KDOFPFPSSA-N 0 0 446.547 -0.168 20 0 IBADRN CC(=O)N1CCO[C@]2(C1)COCCN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001260602202 1073651481 /nfs/dbraw/zinc/65/14/81/1073651481.db2.gz CRNCSZJZKRCGMU-KRWDZBQOSA-N 0 0 432.520 -0.272 20 0 IBADRN CC(=O)N1CCO[C@@]2(C1)COCCN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001260602203 1073651373 /nfs/dbraw/zinc/65/13/73/1073651373.db2.gz CRNCSZJZKRCGMU-QGZVFWFLSA-N 0 0 432.520 -0.272 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCCn3nnc(Cn4cnnc4)c3C2)c1 ZINC001260602332 1073651476 /nfs/dbraw/zinc/65/14/76/1073651476.db2.gz GPTHFVKDFUEGPZ-UHFFFAOYSA-N 0 0 437.507 -0.084 20 0 IBADRN COCCN1CCO[C@H]2CN(S(=O)(=O)c3cccc(S(C)(=O)=O)c3)CC[C@H]2C1=O ZINC001260602349 1073651428 /nfs/dbraw/zinc/65/14/28/1073651428.db2.gz HKQWINUDQXHOKW-SJORKVTESA-N 0 0 446.547 -0.026 20 0 IBADRN CN(C)C(=O)CN1C(=O)C[C@H]2[C@@H]1CCN2S(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001260602386 1073651456 /nfs/dbraw/zinc/65/14/56/1073651456.db2.gz JDMONBPAWZATKU-GJZGRUSLSA-N 0 0 429.520 -0.458 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2C[C@H]3C[C@@H](C(=O)N4CCOCC4)[C@@H](C2)O3)c1 ZINC001260602757 1073651414 /nfs/dbraw/zinc/65/14/14/1073651414.db2.gz SDIFVPXUUAHTJP-KBRIMQKVSA-N 0 0 444.531 -0.273 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2C[C@H]3C[C@H](C(=O)N4CCOCC4)[C@@H](C2)O3)c1 ZINC001260602762 1073651394 /nfs/dbraw/zinc/65/13/94/1073651394.db2.gz SDIFVPXUUAHTJP-XOKHGSTOSA-N 0 0 444.531 -0.273 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)c1 ZINC001260602880 1073651449 /nfs/dbraw/zinc/65/14/49/1073651449.db2.gz JDICEIJXJCGKMU-UHFFFAOYSA-N 0 0 436.577 -0.026 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001260603852 1073652063 /nfs/dbraw/zinc/65/20/63/1073652063.db2.gz ZQMHLBQMXNBTPA-CYBMUJFWSA-N 0 0 437.517 -0.559 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001260603853 1073652029 /nfs/dbraw/zinc/65/20/29/1073652029.db2.gz ZQMHLBQMXNBTPA-ZDUSSCGKSA-N 0 0 437.517 -0.559 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C[C@H]2C(=O)N(C)C ZINC001260604684 1073652022 /nfs/dbraw/zinc/65/20/22/1073652022.db2.gz KUVVEORVYYNWQU-INIZCTEOSA-N 0 0 443.547 -0.353 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1cccc(S(C)(=O)=O)c1)C[C@@H]2C(=O)N(C)C ZINC001260604685 1073651967 /nfs/dbraw/zinc/65/19/67/1073651967.db2.gz KUVVEORVYYNWQU-MRXNPFEDSA-N 0 0 443.547 -0.353 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCC(N)=O)c1 ZINC001260610799 1073652082 /nfs/dbraw/zinc/65/20/82/1073652082.db2.gz KHYKAOVPCLJRAN-INIZCTEOSA-N 0 0 439.515 -0.419 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001260611301 1073651941 /nfs/dbraw/zinc/65/19/41/1073651941.db2.gz XTZGHJFHPKOYHF-INIZCTEOSA-N 0 0 439.515 -0.419 20 0 IBADRN COCCNC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)O1 ZINC001260612398 1073651897 /nfs/dbraw/zinc/65/18/97/1073651897.db2.gz AECLKIKNICEUPS-KFWWJZLASA-N 0 0 438.462 -0.276 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)[C@@H]2COC[C@H]12 ZINC001260613258 1073652012 /nfs/dbraw/zinc/65/20/12/1073652012.db2.gz QGQFRPOABOLVBB-NWANDNLSSA-N 0 0 438.462 -0.466 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)C2 ZINC001260613399 1073652004 /nfs/dbraw/zinc/65/20/04/1073652004.db2.gz SWSMGTFOWJWCJW-IBGZPJMESA-N 0 0 449.489 -0.067 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)C2 ZINC001260613401 1073652035 /nfs/dbraw/zinc/65/20/35/1073652035.db2.gz SWSMGTFOWJWCJW-LJQANCHMSA-N 0 0 449.489 -0.067 20 0 IBADRN CC(=O)N1CCO[C@@]2(C1)COCCN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)C2 ZINC001260613529 1073651930 /nfs/dbraw/zinc/65/19/30/1073651930.db2.gz LITOYZYVNMQJQU-GOSISDBHSA-N 0 0 438.462 -0.321 20 0 IBADRN CC(=O)N1CCO[C@]2(C1)COCCN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)C2 ZINC001260613530 1073651978 /nfs/dbraw/zinc/65/19/78/1073651978.db2.gz LITOYZYVNMQJQU-SFHVURJKSA-N 0 0 438.462 -0.321 20 0 IBADRN COC(=O)[C@@H]1CS[C@H](C(=O)OC)N1S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260613998 1073651912 /nfs/dbraw/zinc/65/19/12/1073651912.db2.gz WGESQTBBLFPMBB-CMPLNLGQSA-N 0 0 429.432 -0.181 20 0 IBADRN COC(=O)[C@@H]1CS[C@@H](C(=O)OC)N1S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260613999 1073652049 /nfs/dbraw/zinc/65/20/49/1073652049.db2.gz WGESQTBBLFPMBB-JQWIXIFHSA-N 0 0 429.432 -0.181 20 0 IBADRN COC(=O)[C@H]1CS[C@@H](C(=O)OC)N1S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260614000 1073651997 /nfs/dbraw/zinc/65/19/97/1073651997.db2.gz WGESQTBBLFPMBB-PWSUYJOCSA-N 0 0 429.432 -0.181 20 0 IBADRN COC(=O)[C@H]1SC[C@H](C(=O)OC)N1S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260614001 1073651923 /nfs/dbraw/zinc/65/19/23/1073651923.db2.gz WGESQTBBLFPMBB-ZYHUDNBSSA-N 0 0 429.432 -0.181 20 0 IBADRN CN(C)C(=O)CN1C(=O)C[C@H]2[C@@H]1CCN2S(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260614162 1073651988 /nfs/dbraw/zinc/65/19/88/1073651988.db2.gz PPBVROFUGFHWJR-KBPBESRZSA-N 0 0 435.462 -0.507 20 0 IBADRN CC(=O)N1CCOC[C@]2(CN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c(=O)c4c3)CCO2)C1 ZINC001260614215 1073651953 /nfs/dbraw/zinc/65/19/53/1073651953.db2.gz RFSCDQNLWXJCMC-GOSISDBHSA-N 0 0 438.462 -0.321 20 0 IBADRN CC(=O)N1CCOC[C@@]2(CN(S(=O)(=O)c3ccc4[nH]c(=O)[nH]c(=O)c4c3)CCO2)C1 ZINC001260614216 1073652041 /nfs/dbraw/zinc/65/20/41/1073652041.db2.gz RFSCDQNLWXJCMC-SFHVURJKSA-N 0 0 438.462 -0.321 20 0 IBADRN CC(=O)NCc1nnn2c1CN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)CCC2 ZINC001260614308 1073652714 /nfs/dbraw/zinc/65/27/14/1073652714.db2.gz VBVMBUMNHVPIPM-UHFFFAOYSA-N 0 0 433.450 -0.137 20 0 IBADRN CS(=O)(=O)CCCN1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c(=O)c3c2)CC1 ZINC001260614696 1073652639 /nfs/dbraw/zinc/65/26/39/1073652639.db2.gz RPBJHRGESLIODJ-UHFFFAOYSA-N 0 0 430.508 -0.218 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)C2 ZINC001260614837 1073652723 /nfs/dbraw/zinc/65/27/23/1073652723.db2.gz DBOTTXMULBEEAO-LLVKDONJSA-N 0 0 443.459 -0.608 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)C2 ZINC001260614845 1073652602 /nfs/dbraw/zinc/65/26/02/1073652602.db2.gz DBOTTXMULBEEAO-NSHDSACASA-N 0 0 443.459 -0.608 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)C[C@H]2C(=O)N(C)C ZINC001260616915 1073652708 /nfs/dbraw/zinc/65/27/08/1073652708.db2.gz RKQIIAQTFDJVJI-AWEZNQCLSA-N 0 0 449.489 -0.402 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccc3[nH]c(=O)[nH]c(=O)c3c1)C[C@@H]2C(=O)N(C)C ZINC001260616916 1073652661 /nfs/dbraw/zinc/65/26/61/1073652661.db2.gz RKQIIAQTFDJVJI-CQSZACIVSA-N 0 0 449.489 -0.402 20 0 IBADRN CC1(C)O[C@@H]2[C@@H](OCCO)C[C@@H](NS(=O)(=O)c3ccc4[nH]c(=O)[nH]c(=O)c4c3)[C@@H]2O1 ZINC001260618643 1073652612 /nfs/dbraw/zinc/65/26/12/1073652612.db2.gz BNJAFBSYFZWESW-CBBWQLFWSA-N 0 0 441.462 -0.011 20 0 IBADRN CNC(=O)[C@H](CCCCNS(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1)NC(C)=O ZINC001260620357 1073652686 /nfs/dbraw/zinc/65/26/86/1073652686.db2.gz OYVUSEDGJNHUHH-AWEZNQCLSA-N 0 0 425.467 -0.260 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260620588 1073652621 /nfs/dbraw/zinc/65/26/21/1073652621.db2.gz ATOPUJVBYKFPJF-HNNXBMFYSA-N 0 0 445.457 -0.468 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc2[nH]c(=O)[nH]c(=O)c2c1 ZINC001260620627 1073652679 /nfs/dbraw/zinc/65/26/79/1073652679.db2.gz DUYGMJFRXVSICS-HNNXBMFYSA-N 0 0 445.457 -0.468 20 0 IBADRN O=S(=O)(Cc1ccccc1F)Nc1ccc(O[C@@H]2O[C@H](CO)[C@H](O)[C@H](O)[C@H]2O)cc1 ZINC001260628104 1073652670 /nfs/dbraw/zinc/65/26/70/1073652670.db2.gz FOOLHVJJHKQAEC-ICBNADEASA-N 0 0 443.449 -0.054 20 0 IBADRN O=S(=O)(Cc1ccccc1F)Nc1ccc(O[C@H]2O[C@H](CO)[C@@H](O)[C@H](O)[C@@H]2O)cc1 ZINC001260628577 1073652596 /nfs/dbraw/zinc/65/25/96/1073652596.db2.gz FOOLHVJJHKQAEC-GFEQUFNTSA-N 0 0 443.449 -0.054 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)Cc1ccccc1F ZINC001260632333 1073652648 /nfs/dbraw/zinc/65/26/48/1073652648.db2.gz QODFZWZIHNJQCJ-IRXDYDNUSA-N 0 0 439.465 -0.476 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)c(C)c1 ZINC001260642252 1073652609 /nfs/dbraw/zinc/65/26/09/1073652609.db2.gz IHQPQNBFNHDSBH-AVGNSLFASA-N 0 0 429.495 -0.147 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1C ZINC001260642720 1073652604 /nfs/dbraw/zinc/65/26/04/1073652604.db2.gz AVNRIHYFCXRHFH-IRXDYDNUSA-N 0 0 435.502 -0.144 20 0 IBADRN COc1ccc(F)cc1S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001260655437 1073652628 /nfs/dbraw/zinc/65/26/28/1073652628.db2.gz GWNSJBZDDZBXHM-GJZGRUSLSA-N 0 0 439.465 -0.314 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(F)ccc1OC ZINC001260656010 1073653304 /nfs/dbraw/zinc/65/33/04/1073653304.db2.gz ODSZWERWFVTNPD-DCAQKATOSA-N 0 0 433.458 -0.317 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(OC)c(F)c1 ZINC001260666482 1073653251 /nfs/dbraw/zinc/65/32/51/1073653251.db2.gz NWCYASGJDDQYBO-DCAQKATOSA-N 0 0 433.458 -0.317 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)cc1F ZINC001260666994 1073653371 /nfs/dbraw/zinc/65/33/71/1073653371.db2.gz SWJRGHQNRGERIQ-HOTGVXAUSA-N 0 0 439.465 -0.314 20 0 IBADRN O=C(N[C@@H]1CN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)[C@@H]2COC[C@H]12)c1cnccn1 ZINC001260668313 1073653321 /nfs/dbraw/zinc/65/33/21/1073653321.db2.gz CGUYKTNAPTZLAG-DAXOMENPSA-N 0 0 445.457 -0.375 20 0 IBADRN CN(C)C(=O)COC[C@]12COC[C@H]1CN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C2 ZINC001260669023 1073653297 /nfs/dbraw/zinc/65/32/97/1073653297.db2.gz IESSQRKCMPKFIJ-YJYMSZOUSA-N 0 0 439.490 -0.241 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C2 ZINC001260669044 1073653366 /nfs/dbraw/zinc/65/33/66/1073653366.db2.gz LGBBWWLIASWAMV-IBGZPJMESA-N 0 0 436.490 -0.091 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C2 ZINC001260669049 1073653378 /nfs/dbraw/zinc/65/33/78/1073653378.db2.gz LGBBWWLIASWAMV-LJQANCHMSA-N 0 0 436.490 -0.091 20 0 IBADRN COCCNC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)O1 ZINC001260669521 1073653385 /nfs/dbraw/zinc/65/33/85/1073653385.db2.gz PVEWRETYWBZJBA-BMFZPTHFSA-N 0 0 425.463 -0.299 20 0 IBADRN CN(C)C(=O)CO[C@@H]1CN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)[C@@H]2COC[C@H]12 ZINC001260669800 1073653315 /nfs/dbraw/zinc/65/33/15/1073653315.db2.gz SMHHLGCWNRKLQB-JGGQBBKZSA-N 0 0 425.463 -0.490 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4ccc5c(c4)NC(=O)CO5)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001260669894 1073653280 /nfs/dbraw/zinc/65/32/80/1073653280.db2.gz VAGPOVCRAKHVLM-XQAQDONZSA-N 0 0 443.503 -0.417 20 0 IBADRN COCCN1CCO[C@H]2CN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC[C@H]2C1=O ZINC001260669945 1073653339 /nfs/dbraw/zinc/65/33/39/1073653339.db2.gz QFYPIRJXPBRPDN-PBHICJAKSA-N 0 0 439.490 -0.098 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCCn4nnc(Cn5cnnc5)c4C3)cc2N1 ZINC001260669979 1073653344 /nfs/dbraw/zinc/65/33/44/1073653344.db2.gz QYFQAUYOUWAPHK-UHFFFAOYSA-N 0 0 430.450 -0.157 20 0 IBADRN CC(=O)N1CCOC[C@]2(CN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CCO2)C1 ZINC001260669986 1073653286 /nfs/dbraw/zinc/65/32/86/1073653286.db2.gz RHKGNXGUSDVUDA-GOSISDBHSA-N 0 0 425.463 -0.344 20 0 IBADRN CC(=O)N1CCOC[C@@]2(CN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CCO2)C1 ZINC001260669987 1073653334 /nfs/dbraw/zinc/65/33/34/1073653334.db2.gz RHKGNXGUSDVUDA-SFHVURJKSA-N 0 0 425.463 -0.344 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)C1 ZINC001260670050 1073653390 /nfs/dbraw/zinc/65/33/90/1073653390.db2.gz WJSCSRUGFVRSSJ-CYBMUJFWSA-N 0 0 449.489 -0.203 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)C1 ZINC001260670051 1073653310 /nfs/dbraw/zinc/65/33/10/1073653310.db2.gz WJSCSRUGFVRSSJ-ZDUSSCGKSA-N 0 0 449.489 -0.203 20 0 IBADRN O=C([C@H]1C[C@@H]2[C@@H](CCN2S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)O1)N1CCOCC1 ZINC001260670081 1073653291 /nfs/dbraw/zinc/65/32/91/1073653291.db2.gz SVTMBAFHSZUXCE-DJIMGWMZSA-N 0 0 437.474 -0.203 20 0 IBADRN O=C1COc2ccc(S(=O)(=O)N3CCn4c(CN5CCOCC5)nnc4C3)cc2N1 ZINC001260670130 1073653361 /nfs/dbraw/zinc/65/33/61/1073653361.db2.gz YFOWHESZYUWBED-UHFFFAOYSA-N 0 0 434.478 -0.354 20 0 IBADRN CN(C)S(=O)(=O)N1CC[C@@H]2CN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)C[C@H]2C1 ZINC001260670262 1073653913 /nfs/dbraw/zinc/65/39/13/1073653913.db2.gz XMCMWDMIDJFIFU-CHWSQXEVSA-N 0 0 444.535 -0.234 20 0 IBADRN CC(=O)N1CCO[C@@]2(C1)COCCN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C2 ZINC001260670387 1073653941 /nfs/dbraw/zinc/65/39/41/1073653941.db2.gz DCDRLMKZEHYEMO-GOSISDBHSA-N 0 0 425.463 -0.344 20 0 IBADRN CC(=O)N1CCO[C@]2(C1)COCCN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C2 ZINC001260670388 1073653956 /nfs/dbraw/zinc/65/39/56/1073653956.db2.gz DCDRLMKZEHYEMO-SFHVURJKSA-N 0 0 425.463 -0.344 20 0 IBADRN O=C([C@H]1C[C@@H]2CN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)C[C@H]1O2)N1CCOCC1 ZINC001260670563 1073653893 /nfs/dbraw/zinc/65/38/93/1073653893.db2.gz NQDWYFDNHAWUBW-HACGYAERSA-N 0 0 437.474 -0.346 20 0 IBADRN O=C([C@@H]1C[C@@H]2CN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)C[C@H]1O2)N1CCOCC1 ZINC001260670564 1073653959 /nfs/dbraw/zinc/65/39/59/1073653959.db2.gz NQDWYFDNHAWUBW-SUYBPPKGSA-N 0 0 437.474 -0.346 20 0 IBADRN Cn1nc(C(=O)N2CCOCC2)c2c1CN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C2 ZINC001260670826 1073653961 /nfs/dbraw/zinc/65/39/61/1073653961.db2.gz ZEGMPLKHWOYIFY-UHFFFAOYSA-N 0 0 447.473 -0.072 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C[C@H]2C(=O)N(C)C ZINC001260672348 1073653976 /nfs/dbraw/zinc/65/39/76/1073653976.db2.gz YQWGKTSTJOCXQQ-AWEZNQCLSA-N 0 0 436.490 -0.425 20 0 IBADRN CC(=O)N1CC2(C1)CN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C[C@@H]2C(=O)N(C)C ZINC001260672349 1073653966 /nfs/dbraw/zinc/65/39/66/1073653966.db2.gz YQWGKTSTJOCXQQ-CQSZACIVSA-N 0 0 436.490 -0.425 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C2 ZINC001260672511 1073653982 /nfs/dbraw/zinc/65/39/82/1073653982.db2.gz KJUIWXNFSCLMCP-LLVKDONJSA-N 0 0 430.460 -0.632 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc3c(c1)NC(=O)CO3)C2 ZINC001260672512 1073653970 /nfs/dbraw/zinc/65/39/70/1073653970.db2.gz KJUIWXNFSCLMCP-NSHDSACASA-N 0 0 430.460 -0.632 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@H](CNS(=O)(=O)c1ccc3c(c1)NC(=O)CO3)OCC2 ZINC001260674813 1073653877 /nfs/dbraw/zinc/65/38/77/1073653877.db2.gz ROSYMZZRWMFFMT-INIZCTEOSA-N 0 0 449.489 -0.106 20 0 IBADRN CN(C)C(=O)Cn1cc2c(n1)[C@@H](CNS(=O)(=O)c1ccc3c(c1)NC(=O)CO3)OCC2 ZINC001260674814 1073653935 /nfs/dbraw/zinc/65/39/35/1073653935.db2.gz ROSYMZZRWMFFMT-MRXNPFEDSA-N 0 0 449.489 -0.106 20 0 IBADRN CC1(C)O[C@@H]2[C@@H](OCCO)C[C@@H](NS(=O)(=O)c3ccc4c(c3)NC(=O)CO4)[C@@H]2O1 ZINC001260675685 1073653885 /nfs/dbraw/zinc/65/38/85/1073653885.db2.gz WAHQXHWWRBVWCV-NQZOLGFYSA-N 0 0 428.463 -0.034 20 0 IBADRN NC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CNS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001260677621 1073653927 /nfs/dbraw/zinc/65/39/27/1073653927.db2.gz KPJPVWPGGYPDQW-HNNXBMFYSA-N 0 0 448.457 -0.786 20 0 IBADRN NC(=O)[C@H](Cc1ccccc1)NC(=O)CNS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001260677832 1073653919 /nfs/dbraw/zinc/65/39/19/1073653919.db2.gz LGTZELOTKVLXMK-HNNXBMFYSA-N 0 0 432.458 -0.491 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001260678214 1073653937 /nfs/dbraw/zinc/65/39/37/1073653937.db2.gz NZOPIDBJKQDQQW-LRDDRELGSA-N 0 0 446.485 -0.103 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccc(O)cc1)NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001260678293 1073653953 /nfs/dbraw/zinc/65/39/53/1073653953.db2.gz RTSYWRUJKWOUTO-HNNXBMFYSA-N 0 0 448.457 -0.786 20 0 IBADRN NC(=O)CNC(=O)[C@H](Cc1ccccc1)NS(=O)(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001260678366 1073653898 /nfs/dbraw/zinc/65/38/98/1073653898.db2.gz WOAODICZBOKOML-HNNXBMFYSA-N 0 0 432.458 -0.491 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)s1 ZINC001260689653 1073654426 /nfs/dbraw/zinc/65/44/26/1073654426.db2.gz RQQCCCRMMUOOHD-KBPBESRZSA-N 0 0 427.504 -0.386 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@]12CCCN(S(=O)(=O)c1cnc(NC(C)=O)s1)C2 ZINC001260702303 1073654465 /nfs/dbraw/zinc/65/44/65/1073654465.db2.gz AILXVVXKCJYHPB-INIZCTEOSA-N 0 0 429.524 -0.007 20 0 IBADRN CNC(=O)CN1C(=O)CC[C@@]12CCCN(S(=O)(=O)c1cnc(NC(C)=O)s1)C2 ZINC001260702304 1073654533 /nfs/dbraw/zinc/65/45/33/1073654533.db2.gz AILXVVXKCJYHPB-MRXNPFEDSA-N 0 0 429.524 -0.007 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2C[C@H]3OCC[C@H]3[C@@H](C(=O)N3CCOCC3)C2)s1 ZINC001260702336 1073654400 /nfs/dbraw/zinc/65/44/00/1073654400.db2.gz BZZPGQQOCFRIDZ-MELADBBJSA-N 0 0 444.535 -0.014 20 0 IBADRN COCCNC(=O)[C@H]1Cn2nccc2CN(S(=O)(=O)c2cnc(NC(C)=O)s2)C1 ZINC001260702352 1073654549 /nfs/dbraw/zinc/65/45/49/1073654549.db2.gz COBKYPNHPBLPRQ-GFCCVEGCSA-N 0 0 442.523 -0.119 20 0 IBADRN COCCNC(=O)[C@@H]1Cn2nccc2CN(S(=O)(=O)c2cnc(NC(C)=O)s2)C1 ZINC001260702353 1073654436 /nfs/dbraw/zinc/65/44/36/1073654436.db2.gz COBKYPNHPBLPRQ-LBPRGKRZSA-N 0 0 442.523 -0.119 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CCn3c(CN4CCOCC4)nnc3C2)s1 ZINC001260702617 1073654448 /nfs/dbraw/zinc/65/44/48/1073654448.db2.gz OBZHWDUADDTGCM-UHFFFAOYSA-N 0 0 427.512 -0.270 20 0 IBADRN CCN1C[C@@]23CN(S(=O)(=O)c4cnc(NC(C)=O)s4)C[C@@H](C[C@@H]2S1(=O)=O)O3 ZINC001260702765 1073654416 /nfs/dbraw/zinc/65/44/16/1073654416.db2.gz UHMDLZRHYVUXFM-UHIISALHSA-N 0 0 436.537 -0.333 20 0 IBADRN CNC(=O)CN1CCOC[C@@]2(CCCN(S(=O)(=O)c3cnc(NC(C)=O)s3)C2)C1 ZINC001260702766 1073654460 /nfs/dbraw/zinc/65/44/60/1073654460.db2.gz UILNWFUKFGEHNU-KRWDZBQOSA-N 0 0 445.567 -0.049 20 0 IBADRN CNC(=O)CN1CCOC[C@]2(CCCN(S(=O)(=O)c3cnc(NC(C)=O)s3)C2)C1 ZINC001260702767 1073654503 /nfs/dbraw/zinc/65/45/03/1073654503.db2.gz UILNWFUKFGEHNU-QGZVFWFLSA-N 0 0 445.567 -0.049 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2C[C@@H](NC(=O)c3cnccn3)[C@H]3COC[C@H]32)s1 ZINC001260703015 1073654526 /nfs/dbraw/zinc/65/45/26/1073654526.db2.gz ARGNXSQSZZVVFO-RAIGVLPGSA-N 0 0 438.491 -0.291 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2C[C@H]3C[C@H](C(=O)N4CCOCC4)[C@@H](C2)O3)s1 ZINC001260703118 1073654453 /nfs/dbraw/zinc/65/44/53/1073654453.db2.gz GFXTWQVWYGDGCM-FRRDWIJNSA-N 0 0 430.508 -0.262 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2C[C@H]3C[C@@H](C(=O)N4CCOCC4)[C@@H](C2)O3)s1 ZINC001260703121 1073654545 /nfs/dbraw/zinc/65/45/45/1073654545.db2.gz GFXTWQVWYGDGCM-JHJVBQTASA-N 0 0 430.508 -0.262 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2C[C@H]3CCN(S(=O)(=O)N(C)C)C[C@@H]3C2)s1 ZINC001260703128 1073654419 /nfs/dbraw/zinc/65/44/19/1073654419.db2.gz CXQXKPVCNAGTPZ-VXGBXAGGSA-N 0 0 437.569 -0.150 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)s1 ZINC001260703202 1073655211 /nfs/dbraw/zinc/65/52/11/1073655211.db2.gz KCYKWMLUJLWWON-UHFFFAOYSA-N 0 0 443.511 -0.498 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2C[C@@H]3COC[C@]3(COCC(=O)N(C)C)C2)s1 ZINC001260703310 1073655160 /nfs/dbraw/zinc/65/51/60/1073655160.db2.gz GEJATPJPBSGITI-WBMJQRKESA-N 0 0 432.524 -0.157 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CC[C@@H]3CN(CC(=O)N(C)C)CCO[C@@H]3C2)s1 ZINC001260703316 1073655243 /nfs/dbraw/zinc/65/52/43/1073655243.db2.gz GIJNABCVYQFQAL-ZIAGYGMSSA-N 0 0 445.567 -0.099 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2CC[C@H]3O[C@@H](C(=O)N4CCOCC4)C[C@H]32)s1 ZINC001260704157 1073655230 /nfs/dbraw/zinc/65/52/30/1073655230.db2.gz ZPYLYYHYUNCFMR-JHJVBQTASA-N 0 0 430.508 -0.119 20 0 IBADRN COCCN1CCO[C@H]2CN(S(=O)(=O)c3cnc(NC(C)=O)s3)CC[C@H]2C1=O ZINC001260704158 1073655118 /nfs/dbraw/zinc/65/51/18/1073655118.db2.gz ZQCQZIAMHLMUMR-OLZOCXBDSA-N 0 0 432.524 -0.014 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2C[C@H](C(=O)N(C)C)C3(CN(C(C)=O)C3)C2)s1 ZINC001260706480 1073655127 /nfs/dbraw/zinc/65/51/27/1073655127.db2.gz SGBUJPBBKLOWSP-GFCCVEGCSA-N 0 0 429.524 -0.341 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N2C[C@@H](C(=O)N(C)C)C3(CN(C(C)=O)C3)C2)s1 ZINC001260706481 1073655188 /nfs/dbraw/zinc/65/51/88/1073655188.db2.gz SGBUJPBBKLOWSP-LBPRGKRZSA-N 0 0 429.524 -0.341 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)NC[C@H]2OCCc3cn(CC(=O)N(C)C)nc32)s1 ZINC001260708530 1073655192 /nfs/dbraw/zinc/65/51/92/1073655192.db2.gz RVHRNSZXUVZRDS-GFCCVEGCSA-N 0 0 442.523 -0.022 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)NC[C@@H]2OCCc3cn(CC(=O)N(C)C)nc32)s1 ZINC001260708531 1073655212 /nfs/dbraw/zinc/65/52/12/1073655212.db2.gz RVHRNSZXUVZRDS-LBPRGKRZSA-N 0 0 442.523 -0.022 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)s1 ZINC001260710291 1073655219 /nfs/dbraw/zinc/65/52/19/1073655219.db2.gz XBSBKLITSDOJDM-HBNTYKKESA-N 0 0 445.479 -0.701 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)s1 ZINC001260711555 1073655234 /nfs/dbraw/zinc/65/52/34/1073655234.db2.gz KQZNUYBXXHGFET-GWCFXTLKSA-N 0 0 439.519 -0.019 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)s1 ZINC001260711647 1073655068 /nfs/dbraw/zinc/65/50/68/1073655068.db2.gz OVDFHHIUYSGGHC-LBPRGKRZSA-N 0 0 441.491 -0.702 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)NCC(N)=O)s1 ZINC001260711990 1073655105 /nfs/dbraw/zinc/65/51/05/1073655105.db2.gz GVGANSCLHPHHFI-LBPRGKRZSA-N 0 0 425.492 -0.407 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)s1 ZINC001260712027 1073655168 /nfs/dbraw/zinc/65/51/68/1073655168.db2.gz HUJHLPDOACVEGP-LBPRGKRZSA-N 0 0 441.491 -0.702 20 0 IBADRN CC(=O)Nc1ncc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(N)=O)s1 ZINC001260712099 1073655146 /nfs/dbraw/zinc/65/51/46/1073655146.db2.gz JZVZUSUJEJYVBI-LBPRGKRZSA-N 0 0 425.492 -0.407 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc2c(c1)CCC(C)(C)O2 ZINC001260721070 1073655057 /nfs/dbraw/zinc/65/50/57/1073655057.db2.gz SXNYYJOLVVUSMU-UHFFFAOYSA-N 0 0 441.506 -0.136 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc3c(c2)CCC(C)(C)O3)[C@@H](O)[C@H](O)[C@@H]1O ZINC001260722370 1073655139 /nfs/dbraw/zinc/65/51/39/1073655139.db2.gz WBYWIYPPDBZLEH-XOYXNHQRSA-N 0 0 431.507 -0.087 20 0 IBADRN CC[C@H](C)S(=O)(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001260752548 1073655703 /nfs/dbraw/zinc/65/57/03/1073655703.db2.gz PISOPQQBFRGPQD-IHRRRGAJSA-N 0 0 438.616 -0.042 20 0 IBADRN CC[C@@H](C)S(=O)(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)C(N)=O ZINC001260752549 1073655726 /nfs/dbraw/zinc/65/57/26/1073655726.db2.gz PISOPQQBFRGPQD-RDBSUJKOSA-N 0 0 438.616 -0.042 20 0 IBADRN CC[C@H](C)S(=O)(=O)N[C@@H](C)C(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001260753402 1073655558 /nfs/dbraw/zinc/65/55/58/1073655558.db2.gz AFNKJYXBZFEONQ-HUBLWGQQSA-N 0 0 428.511 -0.873 20 0 IBADRN CC[C@@H](C)S(=O)(=O)N[C@@H](C)C(=O)NCC(=O)N[C@@H](Cc1ccc(O)cc1)C(N)=O ZINC001260753403 1073655683 /nfs/dbraw/zinc/65/56/83/1073655683.db2.gz AFNKJYXBZFEONQ-XUJVJEKNSA-N 0 0 428.511 -0.873 20 0 IBADRN Cc1cc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)ccc1F ZINC001260764485 1073655640 /nfs/dbraw/zinc/65/56/40/1073655640.db2.gz SDDQQIBLMQTALL-IRXDYDNUSA-N 0 0 439.465 -0.308 20 0 IBADRN COc1cc(C)c(C)cc1S(=O)(=O)N[C@H]1[C@H](n2ccc(=O)[nH]c2=O)O[C@H](CO)[C@H]1O ZINC001260776156 1073655688 /nfs/dbraw/zinc/65/56/88/1073655688.db2.gz INEPEIRSSLTBEK-BASLNEPJSA-N 0 0 441.462 -0.828 20 0 IBADRN COc1cc(C)c(C)cc1S(=O)(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001260778226 1073655728 /nfs/dbraw/zinc/65/57/28/1073655728.db2.gz QJGUILDBINOUGO-ZDUSSCGKSA-N 0 0 426.495 -0.817 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1cc(Cl)c(C)cc1OC ZINC001260790246 1073655594 /nfs/dbraw/zinc/65/55/94/1073655594.db2.gz XBDLGXJTGSNGKS-UHFFFAOYSA-N 0 0 435.886 -0.269 20 0 IBADRN COc1cc(C)c(Cl)cc1S(=O)(=O)NCC(=O)N1CCC[C@H]1C(=O)NCC(N)=O ZINC001260791031 1073655613 /nfs/dbraw/zinc/65/56/13/1073655613.db2.gz CUHUCABVUPCIEK-LBPRGKRZSA-N 0 0 446.913 -0.472 20 0 IBADRN COc1cc(C)c(Cl)cc1S(=O)(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001260791656 1073655670 /nfs/dbraw/zinc/65/56/70/1073655670.db2.gz XPRVGXVPWAIIPP-LKGZJPOGSA-N 0 0 425.887 -0.221 20 0 IBADRN COC(=O)[C@@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc3c(c1)CCN3C(C)=O)C2 ZINC001260795626 1073655583 /nfs/dbraw/zinc/65/55/83/1073655583.db2.gz CYYZEAZMGZTHOZ-HNNXBMFYSA-N 0 0 442.515 -0.054 20 0 IBADRN COC(=O)[C@H]1CCS(=O)(=O)C12CN(S(=O)(=O)c1ccc3c(c1)CCN3C(C)=O)C2 ZINC001260795627 1073655622 /nfs/dbraw/zinc/65/56/22/1073655622.db2.gz CYYZEAZMGZTHOZ-OAHLLOKOSA-N 0 0 442.515 -0.054 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)NCCNC(=O)Cn3cc(C)c(=O)[nH]c3=O)ccc21 ZINC001260800516 1073655574 /nfs/dbraw/zinc/65/55/74/1073655574.db2.gz JABNBRNSWSLKBG-UHFFFAOYSA-N 0 0 449.489 -0.739 20 0 IBADRN CC(=O)Nc1cccc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)c1 ZINC001260815923 1073655665 /nfs/dbraw/zinc/65/56/65/1073655665.db2.gz HHLFNRHXQURANG-ARFHVFGLSA-N 0 0 438.462 -0.157 20 0 IBADRN CC(=O)Nc1cccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001260817401 1073655604 /nfs/dbraw/zinc/65/56/04/1073655604.db2.gz LLZRRDBOULRJDK-ROUUACIJSA-N 0 0 448.501 -0.503 20 0 IBADRN CC(=O)Nc1cccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)c1 ZINC001260817428 1073655716 /nfs/dbraw/zinc/65/57/16/1073655716.db2.gz MFKZBPMVUXGFKP-KRWDZBQOSA-N 0 0 434.474 -0.158 20 0 IBADRN CC(=O)Nc1cccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)c1 ZINC001260817632 1073655625 /nfs/dbraw/zinc/65/56/25/1073655625.db2.gz URMPKCBZMNBIAR-KRWDZBQOSA-N 0 0 434.474 -0.158 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cccc(NC(C)=O)c1 ZINC001260817658 1073655678 /nfs/dbraw/zinc/65/56/78/1073655678.db2.gz VYWSASJZHJAFJD-SRVKXCTJSA-N 0 0 442.494 -0.506 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)Cc2cccc(Cl)c2)c(=O)[nH]1 ZINC001260836485 1073655646 /nfs/dbraw/zinc/65/56/46/1073655646.db2.gz CGRKUWGXBRFEDF-NMFUWQPSSA-N 0 0 431.854 -0.659 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N2CCn3c(COCC(=O)N(C)C)nnc3C2)c1 ZINC001260841348 1073656339 /nfs/dbraw/zinc/65/63/39/1073656339.db2.gz QNQISIMBGDHUNC-UHFFFAOYSA-N 0 0 437.478 -0.126 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@H]2C[C@H](n3cc(C)c(=O)[nH]c3=O)O[C@@H]2CO)c1 ZINC001260848666 1073656475 /nfs/dbraw/zinc/65/64/75/1073656475.db2.gz QRCTUPHVJSIIJS-RRFJBIMHSA-N 0 0 439.446 -0.329 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccc(O)cc2)C(N)=O)c1 ZINC001260849489 1073656411 /nfs/dbraw/zinc/65/64/11/1073656411.db2.gz KXQFSBFAQZOEHY-INIZCTEOSA-N 0 0 435.458 -0.330 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)NCC(N)=O)c1 ZINC001260849646 1073656453 /nfs/dbraw/zinc/65/64/53/1073656453.db2.gz SIPSSXHUQMKFSJ-INIZCTEOSA-N 0 0 435.458 -0.330 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OC)c1 ZINC001260849670 1073656278 /nfs/dbraw/zinc/65/62/78/1073656278.db2.gz UUIYVOXGRZFFRB-SRVKXCTJSA-N 0 0 443.478 -0.678 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@@H](CO)C(=O)N[C@@H](Cc2ccccc2)C(N)=O)c1 ZINC001260849750 1073656353 /nfs/dbraw/zinc/65/63/53/1073656353.db2.gz ZDANLOCGJYUKLN-IRXDYDNUSA-N 0 0 449.485 -0.675 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)c2ccc(Br)c(F)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001260858727 1073656425 /nfs/dbraw/zinc/65/64/25/1073656425.db2.gz UZNYOBAKRONTNR-AJVHJNHVSA-N 0 0 444.275 -0.289 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)Cc1ccc(C(F)(F)F)cc1 ZINC001260900020 1073656292 /nfs/dbraw/zinc/65/62/92/1073656292.db2.gz IBYAWAUXZYAVNE-UHFFFAOYSA-N 0 0 439.412 -0.080 20 0 IBADRN C[C@@H]1O[C@@H](OCCNS(=O)(=O)Cc2ccc(C(F)(F)F)cc2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001260903120 1073656438 /nfs/dbraw/zinc/65/64/38/1073656438.db2.gz KXMPNVGPEOVSMA-XNHWVXRISA-N 0 0 429.413 -0.031 20 0 IBADRN CN1CC[C@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2cn[nH]c2)CC3)C1=O ZINC001260955551 1073656448 /nfs/dbraw/zinc/65/64/48/1073656448.db2.gz JOTCABDLXLJMEI-INIZCTEOSA-N 0 0 431.540 -0.696 20 0 IBADRN CN1CC[C@@]2(CN(S(C)(=O)=O)CC23CCN(S(=O)(=O)c2cn[nH]c2)CC3)C1=O ZINC001260955552 1073656369 /nfs/dbraw/zinc/65/63/69/1073656369.db2.gz JOTCABDLXLJMEI-MRXNPFEDSA-N 0 0 431.540 -0.696 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@]12CCN(S(=O)(=O)c1cn[nH]c1)C2 ZINC001260955876 1073656316 /nfs/dbraw/zinc/65/63/16/1073656316.db2.gz RTXMAYQRHIQDLZ-GOSISDBHSA-N 0 0 433.494 -0.032 20 0 IBADRN CN(C)C(=O)N1CC(=O)N(c2cccnc2)C[C@@]12CCN(S(=O)(=O)c1cn[nH]c1)C2 ZINC001260955877 1073656882 /nfs/dbraw/zinc/65/68/82/1073656882.db2.gz RTXMAYQRHIQDLZ-SFHVURJKSA-N 0 0 433.494 -0.032 20 0 IBADRN O=S(=O)(c1cn[nH]c1)N1C[C@@H]2c3nc(N4CCOCC4)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001260955907 1073656814 /nfs/dbraw/zinc/65/68/14/1073656814.db2.gz SVGSOCPWQYCNTL-UONOGXRCSA-N 0 0 440.507 -0.879 20 0 IBADRN O=S(=O)(c1cn[nH]c1)N1C[C@H]2C[C@H]3[C@@](CN(Cc4ccncc4)S3(=O)=O)(C1)O2 ZINC001260956533 1073656949 /nfs/dbraw/zinc/65/69/49/1073656949.db2.gz HHISTBWRKTWXQU-VNQPRFMTSA-N 0 0 425.492 -0.449 20 0 IBADRN Cn1ccnc1C(=O)NCc1cc(=O)n2c(n1)CN(S(=O)(=O)c1cn[nH]c1)CCC2 ZINC001260957551 1073656837 /nfs/dbraw/zinc/65/68/37/1073656837.db2.gz GFLRBNNLVLTMAG-UHFFFAOYSA-N 0 0 432.466 -0.776 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3cn[nH]c3)CC2)c2nonc21 ZINC001260960004 1073656885 /nfs/dbraw/zinc/65/68/85/1073656885.db2.gz LWYFSSZXKIWURM-UHFFFAOYSA-N 0 0 441.495 -0.293 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CC[C@H](NS(=O)(=O)c3cn[nH]c3)C2)c2nonc21 ZINC001260964974 1073656819 /nfs/dbraw/zinc/65/68/19/1073656819.db2.gz HSUXMDSQIJSBKB-JTQLQIEISA-N 0 0 441.495 -0.247 20 0 IBADRN COCCOCCOCCOCCOCCOCCNS(=O)(=O)c1cn[nH]c1 ZINC001260965094 1073656921 /nfs/dbraw/zinc/65/69/21/1073656921.db2.gz CPYZJOLKESFULU-UHFFFAOYSA-N 0 0 425.504 -0.583 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2[C@H](n3ccc(=O)[nH]c3=O)O[C@H](CO)[C@H]2O)cc1Cl ZINC001260976423 1073656807 /nfs/dbraw/zinc/65/68/07/1073656807.db2.gz XMGUESQMUMWPNE-NMFUWQPSSA-N 0 0 447.853 -0.791 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]2C(=O)NCC(N)=O)cc1Cl ZINC001260977201 1073656856 /nfs/dbraw/zinc/65/68/56/1073656856.db2.gz MNFNGOKBAYCHOK-LBPRGKRZSA-N 0 0 432.886 -0.781 20 0 IBADRN O=c1ccn([C@@H]2O[C@H](CO)[C@@H](O)[C@H]2NS(=O)(=O)c2ccc3c(c2)CCCC3)c(=O)[nH]1 ZINC001260984721 1073656847 /nfs/dbraw/zinc/65/68/47/1073656847.db2.gz OHXPGBBPRIKHEI-VDHUWJSZSA-N 0 0 437.474 -0.575 20 0 IBADRN Cc1cccc(S(=O)(=O)N[C@@H](Cc2ccc(O)cc2)C(=O)N[C@@H](CO)C(N)=O)c1C ZINC001261004643 1073656903 /nfs/dbraw/zinc/65/69/03/1073656903.db2.gz ZBHASAJPTKIOBH-IRXDYDNUSA-N 0 0 435.502 -0.139 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(F)cc1C(F)(F)F ZINC001261012154 1073656873 /nfs/dbraw/zinc/65/68/73/1073656873.db2.gz OWVVRIGMHJFMFU-UHFFFAOYSA-N 0 0 443.375 -0.082 20 0 IBADRN CCOC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001261021880 1073656842 /nfs/dbraw/zinc/65/68/42/1073656842.db2.gz KUCAZGOGFPQDQG-UHFFFAOYSA-N 0 0 443.375 -0.082 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@]12CCN(S(=O)(=O)c1c(C)n[nH]c1C)C2 ZINC001261025654 1073656929 /nfs/dbraw/zinc/65/69/29/1073656929.db2.gz FUAOCOJGMNVHJG-GOSISDBHSA-N 0 0 435.510 -0.211 20 0 IBADRN CC(=O)N1CC(=O)N(c2cnn(C)c2)C[C@@]12CCN(S(=O)(=O)c1c(C)n[nH]c1C)C2 ZINC001261025658 1073656863 /nfs/dbraw/zinc/65/68/63/1073656863.db2.gz FUAOCOJGMNVHJG-SFHVURJKSA-N 0 0 435.510 -0.211 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1C[C@@H]2c3nc(N(C)C)ncc3CS(=O)(=O)[C@@H]2C1 ZINC001261025766 1073656911 /nfs/dbraw/zinc/65/69/11/1073656911.db2.gz YXQWHWRNLNJTJK-QWHCGFSZSA-N 0 0 426.524 -0.032 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@H]2C(=O)N1CCOCC1 ZINC001261026442 1073656940 /nfs/dbraw/zinc/65/69/40/1073656940.db2.gz PHVMZTSDZRYMOT-RBSFLKMASA-N 0 0 446.551 -0.691 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1C[C@H]2[C@@H](C1)S(=O)(=O)CC[C@@H]2C(=O)N1CCOCC1 ZINC001261026443 1073657718 /nfs/dbraw/zinc/65/77/18/1073657718.db2.gz PHVMZTSDZRYMOT-RRFJBIMHSA-N 0 0 446.551 -0.691 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CC[C@@H]2CN(CC(=O)N(C)C)S(=O)(=O)[C@@H]2CC1 ZINC001261027385 1073657553 /nfs/dbraw/zinc/65/75/53/1073657553.db2.gz SGWFEZLTSQNUKW-ZIAGYGMSSA-N 0 0 433.556 -0.471 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NCC(N)=O ZINC001261027798 1073657722 /nfs/dbraw/zinc/65/77/22/1073657722.db2.gz SHWNRJBKUKEYHO-KBPBESRZSA-N 0 0 442.542 -0.688 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)NCCOCCOCCOCCOCCOCCO ZINC001261032888 1073657573 /nfs/dbraw/zinc/65/75/73/1073657573.db2.gz ILGPBYYMWUIDPQ-UHFFFAOYSA-N 0 0 439.531 -0.620 20 0 IBADRN Cc1cc(S(=O)(=O)NCCO[C@@H]2O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]2O)ccc1Br ZINC001261051213 1073657590 /nfs/dbraw/zinc/65/75/90/1073657590.db2.gz LLKDSDDUDQEZPR-XNHWVXRISA-N 0 0 440.312 -0.120 20 0 IBADRN COC(=O)c1sc(Cl)cc1S(=O)(=O)NCCO[C@@H]1O[C@@H](C)[C@@H](O)[C@@H](O)[C@@H]1O ZINC001261066390 1073657621 /nfs/dbraw/zinc/65/76/21/1073657621.db2.gz UDOOQJADSCIASN-CQIGTSQESA-N 0 0 445.899 -0.690 20 0 IBADRN Cc1cn(CC(=O)NCCNS(=O)(=O)c2ccc(Cl)c3nonc32)c(=O)[nH]c1=O ZINC001261074096 1073657609 /nfs/dbraw/zinc/65/76/09/1073657609.db2.gz CHBZUTLUXPRRSO-UHFFFAOYSA-N 0 0 442.841 -0.458 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)C1CCN(S(=O)(=O)NC)CC1)C2 ZINC001261095512 1073657600 /nfs/dbraw/zinc/65/76/00/1073657600.db2.gz OBNMIGLWRWFHHN-UHFFFAOYSA-N 0 0 430.552 -0.344 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)Cn3ncn4nccc4c3=O)C2=O)c(OC)c1 ZINC001261120534 1073657731 /nfs/dbraw/zinc/65/77/31/1073657731.db2.gz LHYFSNNGLRQDOC-HNNXBMFYSA-N 0 0 426.433 -0.175 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)Cn3ncn4nccc4c3=O)C2=O)c(OC)c1 ZINC001261120535 1073657690 /nfs/dbraw/zinc/65/76/90/1073657690.db2.gz LHYFSNNGLRQDOC-OAHLLOKOSA-N 0 0 426.433 -0.175 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)c2C1 ZINC001261127536 1073657659 /nfs/dbraw/zinc/65/76/59/1073657659.db2.gz RCZHACGXACPCKF-AWEZNQCLSA-N 0 0 435.510 -0.549 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(S(=O)(=O)c4cc[nH]n4)CC3)c2C1 ZINC001261127537 1073657579 /nfs/dbraw/zinc/65/75/79/1073657579.db2.gz RCZHACGXACPCKF-CQSZACIVSA-N 0 0 435.510 -0.549 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NC[C@@H](NC(=O)[C@@H]1CCc3nnn(C)c3C1)C1CC1)CC2 ZINC001261202441 1073657561 /nfs/dbraw/zinc/65/75/61/1073657561.db2.gz NYKLSEKJLUEQDO-CKEIUWERSA-N 0 0 426.525 -0.135 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NC[C@H](NC(=O)[C@@H]1CCc3nnn(C)c3C1)C1CC1)CC2 ZINC001261202442 1073657587 /nfs/dbraw/zinc/65/75/87/1073657587.db2.gz NYKLSEKJLUEQDO-CPUCHLNUSA-N 0 0 426.525 -0.135 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NC[C@@H](NC(=O)[C@H]1CCc3nnn(C)c3C1)C1CC1)CC2 ZINC001261202443 1073657711 /nfs/dbraw/zinc/65/77/11/1073657711.db2.gz NYKLSEKJLUEQDO-JKIFEVAISA-N 0 0 426.525 -0.135 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NC[C@H](NC(=O)[C@H]1CCc3nnn(C)c3C1)C1CC1)CC2 ZINC001261202444 1073657704 /nfs/dbraw/zinc/65/77/04/1073657704.db2.gz NYKLSEKJLUEQDO-KEYYUXOJSA-N 0 0 426.525 -0.135 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)(C)O)CC1 ZINC001261205788 1073657638 /nfs/dbraw/zinc/65/76/38/1073657638.db2.gz PJCDWEYCJJYOIR-MRXNPFEDSA-N 0 0 444.529 -0.574 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001261208853 1073657649 /nfs/dbraw/zinc/65/76/49/1073657649.db2.gz IMIWPRVTJLEQEU-UHFFFAOYSA-N 0 0 426.561 -0.850 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCC(=O)N(C)CCc1ccccn1 ZINC001261213865 1073658260 /nfs/dbraw/zinc/65/82/60/1073658260.db2.gz BDEYNWDRNRZVOR-INIZCTEOSA-N 0 0 439.538 -0.583 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCC(=O)N(C)CCc1ccccn1 ZINC001261213866 1073658337 /nfs/dbraw/zinc/65/83/37/1073658337.db2.gz BDEYNWDRNRZVOR-MRXNPFEDSA-N 0 0 439.538 -0.583 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NN2C(=O)N[C@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC001261218669 1073658245 /nfs/dbraw/zinc/65/82/45/1073658245.db2.gz BYUQQJLSKWCLOS-GOSISDBHSA-N 0 0 439.494 -0.330 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NN2C(=O)N[C@@](C)(c3cccc(OC)c3)C2=O)CC1 ZINC001261218670 1073658290 /nfs/dbraw/zinc/65/82/90/1073658290.db2.gz BYUQQJLSKWCLOS-SFHVURJKSA-N 0 0 439.494 -0.330 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2cc(C)cc(C(=O)N3CCO[C@H](C(=O)NC)C3)c2)CCO1 ZINC001261218709 1073658303 /nfs/dbraw/zinc/65/83/03/1073658303.db2.gz DHEYQVLZHGFAID-CALCHBBNSA-N 0 0 432.477 -0.831 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2cc(C)cc(C(=O)N3CCO[C@@H](C(=O)NC)C3)c2)CCO1 ZINC001261218710 1073658153 /nfs/dbraw/zinc/65/81/53/1073658153.db2.gz DHEYQVLZHGFAID-IAGOWNOFSA-N 0 0 432.477 -0.831 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2cc(C)cc(C(=O)N3CCO[C@H](C(=O)NC)C3)c2)CCO1 ZINC001261218711 1073658377 /nfs/dbraw/zinc/65/83/77/1073658377.db2.gz DHEYQVLZHGFAID-IRXDYDNUSA-N 0 0 432.477 -0.831 20 0 IBADRN O=C(NC[C@H]1CCCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001261219389 1073658214 /nfs/dbraw/zinc/65/82/14/1073658214.db2.gz DKFFOYJPMGTRBQ-CYBMUJFWSA-N 0 0 429.520 -0.638 20 0 IBADRN O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001261219390 1073658349 /nfs/dbraw/zinc/65/83/49/1073658349.db2.gz DKFFOYJPMGTRBQ-ZDUSSCGKSA-N 0 0 429.520 -0.638 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001261219840 1073658310 /nfs/dbraw/zinc/65/83/10/1073658310.db2.gz UFDNLBFNOCMXKI-RDJZCZTQSA-N 0 0 434.541 -0.918 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001261219841 1073658196 /nfs/dbraw/zinc/65/81/96/1073658196.db2.gz UFDNLBFNOCMXKI-WBVHZDCISA-N 0 0 434.541 -0.918 20 0 IBADRN O=C(NC[C@@H]1CCCS(=O)(=O)C1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001261220583 1073658166 /nfs/dbraw/zinc/65/81/66/1073658166.db2.gz SCYSRCDFJPZRJI-BJJXKVORSA-N 0 0 434.511 -0.109 20 0 IBADRN O=C(NC[C@H]1CCCS(=O)(=O)C1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001261220584 1073658185 /nfs/dbraw/zinc/65/81/85/1073658185.db2.gz SCYSRCDFJPZRJI-XPKDYRNWSA-N 0 0 434.511 -0.109 20 0 IBADRN Cc1cc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)ncn1 ZINC001261223057 1073658280 /nfs/dbraw/zinc/65/82/80/1073658280.db2.gz IDDYJECFBHKLJR-CVEARBPZSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)ncn1 ZINC001261223058 1073658331 /nfs/dbraw/zinc/65/83/31/1073658331.db2.gz IDDYJECFBHKLJR-HOTGVXAUSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)ncn1 ZINC001261223059 1073658234 /nfs/dbraw/zinc/65/82/34/1073658234.db2.gz IDDYJECFBHKLJR-HZPDHXFCSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)ncn1 ZINC001261223060 1073658135 /nfs/dbraw/zinc/65/81/35/1073658135.db2.gz IDDYJECFBHKLJR-JKSUJKDBSA-N 0 0 431.536 -0.105 20 0 IBADRN C[C@H](OC(=O)C1(S(C)(=O)=O)CCOCC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC001261225375 1073658321 /nfs/dbraw/zinc/65/83/21/1073658321.db2.gz XHUFKPDGWPIEEU-AWEZNQCLSA-N 0 0 426.495 -0.349 20 0 IBADRN C[C@@H](OC(=O)C1(S(C)(=O)=O)CCOCC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC001261225376 1073658115 /nfs/dbraw/zinc/65/81/15/1073658115.db2.gz XHUFKPDGWPIEEU-CQSZACIVSA-N 0 0 426.495 -0.349 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)C2(S(C)(=O)=O)CCOCC2)CC1 ZINC001261232178 1073658354 /nfs/dbraw/zinc/65/83/54/1073658354.db2.gz XANJUPPBJLRHHH-UHFFFAOYSA-N 0 0 435.524 -0.282 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CCOCC1 ZINC001261232773 1073658947 /nfs/dbraw/zinc/65/89/47/1073658947.db2.gz SRQFADGQNYUWMQ-UHFFFAOYSA-N 0 0 440.547 -0.006 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N(C)CCNS(C)(=O)=O)cc1 ZINC001261233497 1073658808 /nfs/dbraw/zinc/65/88/08/1073658808.db2.gz UQDCSZZXRYRDHX-UHFFFAOYSA-N 0 0 427.570 -0.209 20 0 IBADRN CNS(=O)(=O)C1CCN(c2cc(N3CCC(S(=O)(=O)NC)CC3)ncn2)CC1 ZINC001261235490 1073658758 /nfs/dbraw/zinc/65/87/58/1073658758.db2.gz VUOMWHVGFZJJRG-UHFFFAOYSA-N 0 0 432.572 -0.487 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001261249416 1073658791 /nfs/dbraw/zinc/65/87/91/1073658791.db2.gz NFNIGCTXLHULHN-INIZCTEOSA-N 0 0 426.495 -0.888 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H]1CCc2c(nc(C)n2CCO)C1 ZINC001261252121 1073658903 /nfs/dbraw/zinc/65/89/03/1073658903.db2.gz YSLYISONZVIRQU-DOMZBBRYSA-N 0 0 438.554 -0.125 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1CCc2c(nc(C)n2CCO)C1 ZINC001261252122 1073658732 /nfs/dbraw/zinc/65/87/32/1073658732.db2.gz YSLYISONZVIRQU-IUODEOHRSA-N 0 0 438.554 -0.125 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CCc2c(nc(C)n2CCO)C1 ZINC001261252123 1073658925 /nfs/dbraw/zinc/65/89/25/1073658925.db2.gz YSLYISONZVIRQU-SWLSCSKDSA-N 0 0 438.554 -0.125 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H]1CCc2c(nc(C)n2CCO)C1 ZINC001261252124 1073658958 /nfs/dbraw/zinc/65/89/58/1073658958.db2.gz YSLYISONZVIRQU-WFASDCNBSA-N 0 0 438.554 -0.125 20 0 IBADRN O=C(NCc1nc[nH]n1)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC001261253200 1073658861 /nfs/dbraw/zinc/65/88/61/1073658861.db2.gz STFMRHOWZPADOK-UHFFFAOYSA-N 0 0 436.494 -0.408 20 0 IBADRN COCCN(CCCNC(=O)[C@@H]1CCc2nncn2C1)C(=O)[C@H]1CCc2nncn2C1 ZINC001261258318 1073658832 /nfs/dbraw/zinc/65/88/32/1073658832.db2.gz XJSDAUBBEKBGKA-CVEARBPZSA-N 0 0 430.513 -0.324 20 0 IBADRN COCCN(CCCNC(=O)[C@H]1CCc2nncn2C1)C(=O)[C@H]1CCc2nncn2C1 ZINC001261258319 1073658940 /nfs/dbraw/zinc/65/89/40/1073658940.db2.gz XJSDAUBBEKBGKA-HOTGVXAUSA-N 0 0 430.513 -0.324 20 0 IBADRN COCCN(CCCNC(=O)[C@@H]1CCc2nncn2C1)C(=O)[C@@H]1CCc2nncn2C1 ZINC001261258320 1073658780 /nfs/dbraw/zinc/65/87/80/1073658780.db2.gz XJSDAUBBEKBGKA-HZPDHXFCSA-N 0 0 430.513 -0.324 20 0 IBADRN COCCN(CCCNC(=O)[C@H]1CCc2nncn2C1)C(=O)[C@@H]1CCc2nncn2C1 ZINC001261258321 1073658897 /nfs/dbraw/zinc/65/88/97/1073658897.db2.gz XJSDAUBBEKBGKA-JKSUJKDBSA-N 0 0 430.513 -0.324 20 0 IBADRN Cc1nn(CCC(=O)NCc2cnn(C)n2)c(C)c1CCC(=O)NCc1cnn(C)n1 ZINC001261288040 1073659617 /nfs/dbraw/zinc/65/96/17/1073659617.db2.gz VCVYUFFQMUCQQX-UHFFFAOYSA-N 0 0 428.501 -0.288 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001261291962 1073659606 /nfs/dbraw/zinc/65/96/06/1073659606.db2.gz OYJPPENRFRMGKH-INIZCTEOSA-N 0 0 438.554 -0.019 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001261291963 1073659437 /nfs/dbraw/zinc/65/94/37/1073659437.db2.gz OYJPPENRFRMGKH-MRXNPFEDSA-N 0 0 438.554 -0.019 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001261335170 1073659695 /nfs/dbraw/zinc/65/96/95/1073659695.db2.gz MYZLVDWFOLORNY-AWEZNQCLSA-N 0 0 427.527 -0.248 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001261335171 1073659584 /nfs/dbraw/zinc/65/95/84/1073659584.db2.gz MYZLVDWFOLORNY-CQSZACIVSA-N 0 0 427.527 -0.248 20 0 IBADRN O=C(CN(CC(=O)NCC1(O)CCOCC1)Cc1ccccc1)NCC1(O)CCOCC1 ZINC001261544779 1073659671 /nfs/dbraw/zinc/65/96/71/1073659671.db2.gz DWXOIUBMCTXMDV-UHFFFAOYSA-N 0 0 449.548 -0.196 20 0 IBADRN O=C(CNS(=O)(=O)c1cccnc1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001261670022 1073659557 /nfs/dbraw/zinc/65/95/57/1073659557.db2.gz AAQXNPYNDMOWMU-UHFFFAOYSA-N 0 0 446.551 -0.551 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NC1(C(N)=O)CCOCC1)n2C ZINC001261747591 1073659465 /nfs/dbraw/zinc/65/94/65/1073659465.db2.gz RVEVPCMHZAJZDP-UHFFFAOYSA-N 0 0 437.522 -0.093 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001261747727 1073659480 /nfs/dbraw/zinc/65/94/80/1073659480.db2.gz XVSVXJUALKRPHC-AWEZNQCLSA-N 0 0 426.499 -0.873 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001261747728 1073659548 /nfs/dbraw/zinc/65/95/48/1073659548.db2.gz XVSVXJUALKRPHC-CQSZACIVSA-N 0 0 426.499 -0.873 20 0 IBADRN O=C(CN1Cc2cccnc2N2C[C@H](O)C[C@H]2C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001261758089 1073659519 /nfs/dbraw/zinc/65/95/19/1073659519.db2.gz MMSTWPVBDRUZHQ-CEXWTWQISA-N 0 0 449.577 -0.832 20 0 IBADRN O=C(CN1Cc2cccnc2N2C[C@H](O)C[C@H]2C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001261758090 1073659636 /nfs/dbraw/zinc/65/96/36/1073659636.db2.gz MMSTWPVBDRUZHQ-GBESFXJTSA-N 0 0 449.577 -0.832 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cn(CCO)nc1C1CCOCC1 ZINC001261759183 1073659535 /nfs/dbraw/zinc/65/95/35/1073659535.db2.gz ZLQNQYXNCPVXPI-INIZCTEOSA-N 0 0 438.481 -0.710 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cn(CCO)nc1C1CCOCC1 ZINC001261759184 1073659643 /nfs/dbraw/zinc/65/96/43/1073659643.db2.gz ZLQNQYXNCPVXPI-MRXNPFEDSA-N 0 0 438.481 -0.710 20 0 IBADRN Cn1c(CCC(=O)N[C@H]2CCOC2=O)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001261860697 1073660372 /nfs/dbraw/zinc/66/03/72/1073660372.db2.gz FAXPKWLGTDAIKJ-AWEZNQCLSA-N 0 0 436.490 -0.042 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC001261879571 1073660237 /nfs/dbraw/zinc/66/02/37/1073660237.db2.gz XUYBQXNNIKJKIF-UHFFFAOYSA-N 0 0 430.552 -0.287 20 0 IBADRN O=C(COc1nsnc1N1CCOCC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001261906000 1073660172 /nfs/dbraw/zinc/66/01/72/1073660172.db2.gz GOEOMQBQUQPQBG-UHFFFAOYSA-N 0 0 426.499 -0.650 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)[C@@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001261910850 1073660197 /nfs/dbraw/zinc/66/01/97/1073660197.db2.gz KYYYVXPHLBFDQG-KRWDZBQOSA-N 0 0 440.508 -0.095 20 0 IBADRN O=C(NCCN1CCN(c2ncccn2)CC1)[C@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001261910851 1073660274 /nfs/dbraw/zinc/66/02/74/1073660274.db2.gz KYYYVXPHLBFDQG-QGZVFWFLSA-N 0 0 440.508 -0.095 20 0 IBADRN Cn1c(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)cc2c1n(C)c(=O)n(C)c2=O ZINC001262079480 1073660350 /nfs/dbraw/zinc/66/03/50/1073660350.db2.gz SJNQKIGWYOKGJK-UHFFFAOYSA-N 0 0 435.462 -0.966 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCc3cc(=O)c(O)cn3C)c2)CC1 ZINC001262127629 1073660256 /nfs/dbraw/zinc/66/02/56/1073660256.db2.gz JBDZBIAIIOUJKE-UHFFFAOYSA-N 0 0 448.501 -0.126 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCCn2cncn2)CCN1C(=O)CCCn1cncn1 ZINC001262154817 1073660085 /nfs/dbraw/zinc/66/00/85/1073660085.db2.gz SHRSRKWAFNLRQB-INIZCTEOSA-N 0 0 431.501 -0.742 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCCn2cncn2)CCN1C(=O)CCCn1cncn1 ZINC001262154818 1073660159 /nfs/dbraw/zinc/66/01/59/1073660159.db2.gz SHRSRKWAFNLRQB-MRXNPFEDSA-N 0 0 431.501 -0.742 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC4(CNC(=O)O4)CC3)CC2)cn1 ZINC001262186948 1073660338 /nfs/dbraw/zinc/66/03/38/1073660338.db2.gz SGBHEDOXAFCIJV-UHFFFAOYSA-N 0 0 426.499 -0.143 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCCN2C(=O)NC(C)(C)C2=O)C(=O)C1 ZINC001262819163 1073660285 /nfs/dbraw/zinc/66/02/85/1073660285.db2.gz LXMMQQXBDAEHKN-UHFFFAOYSA-N 0 0 425.486 -0.098 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCCCN1C(=O)NC(C)(C)C1=O)C(F)(F)F ZINC001263138111 1073660320 /nfs/dbraw/zinc/66/03/20/1073660320.db2.gz CMMOOVUWWWXUDA-SECBINFHSA-N 0 0 431.437 -0.172 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCCCN1C(=O)NC(C)(C)C1=O)C(F)(F)F ZINC001263138112 1073660303 /nfs/dbraw/zinc/66/03/03/1073660303.db2.gz CMMOOVUWWWXUDA-VIFPVBQESA-N 0 0 431.437 -0.172 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)OCc2nnc3n2CCOC3)c1 ZINC001263474516 1073660932 /nfs/dbraw/zinc/66/09/32/1073660932.db2.gz FGBRIPUFTTXIFL-UHFFFAOYSA-N 0 0 425.467 -0.256 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCc2nc(Cc3ccccc3)no2)CC1 ZINC001263757726 1073661051 /nfs/dbraw/zinc/66/10/51/1073661051.db2.gz DHTSKFWWHGMFEF-UHFFFAOYSA-N 0 0 425.453 -0.257 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)CCC1=O)c1ccc(CNC(=O)CN2C(=O)CCC2=O)cc1 ZINC001263759031 1073660908 /nfs/dbraw/zinc/66/09/08/1073660908.db2.gz POTVORUIABIBOV-CYBMUJFWSA-N 0 0 428.445 -0.222 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)CCC1=O)c1ccc(CNC(=O)CN2C(=O)CCC2=O)cc1 ZINC001263759032 1073661019 /nfs/dbraw/zinc/66/10/19/1073661019.db2.gz POTVORUIABIBOV-ZDUSSCGKSA-N 0 0 428.445 -0.222 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)C1 ZINC001263759624 1073660887 /nfs/dbraw/zinc/66/08/87/1073660887.db2.gz HKKBGXWZRSTLJN-AWEZNQCLSA-N 0 0 447.558 -0.211 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)C1 ZINC001263759625 1073660925 /nfs/dbraw/zinc/66/09/25/1073660925.db2.gz HKKBGXWZRSTLJN-CQSZACIVSA-N 0 0 447.558 -0.211 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NCC(=O)N2CCN(C)CC2)CC1 ZINC001263974503 1073660996 /nfs/dbraw/zinc/66/09/96/1073660996.db2.gz UZGGZRKOPJYDDI-UHFFFAOYSA-N 0 0 427.527 -0.418 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@]1(OC)CCS(=O)(=O)C1 ZINC001264080960 1073661075 /nfs/dbraw/zinc/66/10/75/1073661075.db2.gz ARNONUNSLLKEDT-KRWDZBQOSA-N 0 0 448.519 -0.142 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@@]1(OC)CCS(=O)(=O)C1 ZINC001264080961 1073661060 /nfs/dbraw/zinc/66/10/60/1073661060.db2.gz ARNONUNSLLKEDT-QGZVFWFLSA-N 0 0 448.519 -0.142 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCN(c2c(F)c(F)c(F)c(F)c2F)CC1 ZINC001264176113 1073660971 /nfs/dbraw/zinc/66/09/71/1073660971.db2.gz MOZNTVIQOHLDQO-ZETCQYMHSA-N 0 0 427.351 -0.056 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)C1(n2cnnn2)CCOCC1 ZINC001264226027 1073661425 /nfs/dbraw/zinc/66/14/25/1073661425.db2.gz QWTAGGNYPHCKJU-UHFFFAOYSA-N 0 0 442.448 -0.010 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC001264226601 1073661647 /nfs/dbraw/zinc/66/16/47/1073661647.db2.gz MKFHTYMGYGRRMH-AWEZNQCLSA-N 0 0 436.490 -0.390 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC001264226602 1073661599 /nfs/dbraw/zinc/66/15/99/1073661599.db2.gz MKFHTYMGYGRRMH-CQSZACIVSA-N 0 0 436.490 -0.390 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cn1ccc(Br)cc1=O ZINC001264226970 1073661627 /nfs/dbraw/zinc/66/16/27/1073661627.db2.gz ZZGBJOGAZKHRKX-GFCCVEGCSA-N 0 0 434.312 -0.237 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cn1ccc(Br)cc1=O ZINC001264226971 1073661538 /nfs/dbraw/zinc/66/15/38/1073661538.db2.gz ZZGBJOGAZKHRKX-LBPRGKRZSA-N 0 0 434.312 -0.237 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(CC(=O)N3CCCC3)CC2)[C@H]1c1nccn1C ZINC001264251302 1073661612 /nfs/dbraw/zinc/66/16/12/1073661612.db2.gz XDVZDDSCXKCEJX-OALUTQOASA-N 0 0 432.525 -0.525 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CSCC(=O)N3)CC2)c1 ZINC001264279874 1073661557 /nfs/dbraw/zinc/66/15/57/1073661557.db2.gz UYNCUKGDQRXFPW-NSHDSACASA-N 0 0 433.533 -0.401 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)CN2CCCCS2(=O)=O)CC1 ZINC001264289979 1073661508 /nfs/dbraw/zinc/66/15/08/1073661508.db2.gz NZKLESJPXAHGAR-UHFFFAOYSA-N 0 0 434.540 -0.060 20 0 IBADRN CCOc1ccc(NC(=O)C2(OC)CS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001264307145 1073661713 /nfs/dbraw/zinc/66/17/13/1073661713.db2.gz LHJYALZWYMCGBC-UHFFFAOYSA-N 0 0 448.519 -0.142 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1=O ZINC001264346442 1073661657 /nfs/dbraw/zinc/66/16/57/1073661657.db2.gz BDYLPOWYPQUNEO-UHFFFAOYSA-N 0 0 438.462 -0.815 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2c3c(nn2C)CCS(=O)(=O)C3)cc1 ZINC001264360674 1073661455 /nfs/dbraw/zinc/66/14/55/1073661455.db2.gz ZVSGSNXVKAJUOA-UHFFFAOYSA-N 0 0 426.520 -0.092 20 0 IBADRN CO[C@@]1(C(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)CCS(=O)(=O)C1 ZINC001264411097 1073661482 /nfs/dbraw/zinc/66/14/82/1073661482.db2.gz FMFZUTXPKNSVRO-KRWDZBQOSA-N 0 0 437.584 -0.156 20 0 IBADRN CO[C@]1(C(=O)N2CCN(S(=O)(=O)N(C)C3CCCCC3)CC2)CCS(=O)(=O)C1 ZINC001264411101 1073661640 /nfs/dbraw/zinc/66/16/40/1073661640.db2.gz FMFZUTXPKNSVRO-QGZVFWFLSA-N 0 0 437.584 -0.156 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)Nc2ccc(N3CCNC(=O)C3)nc2)[C@H]1c1nccn1C ZINC001264478277 1073661691 /nfs/dbraw/zinc/66/16/91/1073661691.db2.gz SIQMXBCDURIICD-ROUUACIJSA-N 0 0 427.465 -0.322 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)[C@H]1CCCN(S(C)(=O)=O)C1)C(=O)NCCN1CCOCC1 ZINC001264544353 1073661582 /nfs/dbraw/zinc/66/15/82/1073661582.db2.gz KEURNQFFGWHHLG-IKGGRYGDSA-N 0 0 432.587 -0.363 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)[C@H]1CCCN(S(C)(=O)=O)C1)C(=O)NCCN1CCOCC1 ZINC001264544354 1073661590 /nfs/dbraw/zinc/66/15/90/1073661590.db2.gz KEURNQFFGWHHLG-IXDOHACOSA-N 0 0 432.587 -0.363 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)[C@H]1CCCN(S(C)(=O)=O)C1)C(=O)NCCN1CCOCC1 ZINC001264544355 1073661398 /nfs/dbraw/zinc/66/13/98/1073661398.db2.gz KEURNQFFGWHHLG-ULQDDVLXSA-N 0 0 432.587 -0.363 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)[C@H]1CCCN(S(C)(=O)=O)C1)C(=O)NCCN1CCOCC1 ZINC001264544356 1073661678 /nfs/dbraw/zinc/66/16/78/1073661678.db2.gz KEURNQFFGWHHLG-YESZJQIVSA-N 0 0 432.587 -0.363 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1C(=O)N[C@](C)(CC)C1=O)C(=O)NCCN1CCOCC1 ZINC001264544814 1073661683 /nfs/dbraw/zinc/66/16/83/1073661683.db2.gz UYMJOYUKWUDCKV-DKICVRJWSA-N 0 0 425.530 -0.314 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1C(=O)N[C@@](C)(CC)C1=O)C(=O)NCCN1CCOCC1 ZINC001264544815 1073662087 /nfs/dbraw/zinc/66/20/87/1073662087.db2.gz UYMJOYUKWUDCKV-NBQZKYEYSA-N 0 0 425.530 -0.314 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)CN1C(=O)N[C@@](C)(CC)C1=O)C(=O)NCCN1CCOCC1 ZINC001264544816 1073662128 /nfs/dbraw/zinc/66/21/28/1073662128.db2.gz UYMJOYUKWUDCKV-UVFQYZLESA-N 0 0 425.530 -0.314 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)CN1C(=O)N[C@](C)(CC)C1=O)C(=O)NCCN1CCOCC1 ZINC001264544817 1073662311 /nfs/dbraw/zinc/66/23/11/1073662311.db2.gz UYMJOYUKWUDCKV-YYFZDKIDSA-N 0 0 425.530 -0.314 20 0 IBADRN COC1(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CS(=O)(=O)C1 ZINC001264548080 1073662294 /nfs/dbraw/zinc/66/22/94/1073662294.db2.gz XTDUWBDUSDSLDA-UHFFFAOYSA-N 0 0 432.520 -0.722 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H]1C ZINC001264557558 1073662216 /nfs/dbraw/zinc/66/22/16/1073662216.db2.gz KMWGUDMPGSBNLN-BFYDXBDKSA-N 0 0 440.522 -0.384 20 0 IBADRN Cc1occc(=O)c1OCC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001264557901 1073662235 /nfs/dbraw/zinc/66/22/35/1073662235.db2.gz UHBRYYQFPRBYPK-UHFFFAOYSA-N 0 0 436.490 -0.010 20 0 IBADRN NC(=O)c1ccc(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)cn1 ZINC001264557949 1073662098 /nfs/dbraw/zinc/66/20/98/1073662098.db2.gz VVFXCSATOMVKRG-UHFFFAOYSA-N 0 0 432.506 -0.614 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)c2c3c(nn2C)CCS(=O)(=O)C3)cc1 ZINC001264581757 1073662175 /nfs/dbraw/zinc/66/21/75/1073662175.db2.gz IJMQCRAWVTVVLJ-UHFFFAOYSA-N 0 0 434.474 -0.025 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC001264743719 1073662272 /nfs/dbraw/zinc/66/22/72/1073662272.db2.gz SEIRWCIPXCSUGY-AWEZNQCLSA-N 0 0 440.497 -0.407 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC001264743720 1073662053 /nfs/dbraw/zinc/66/20/53/1073662053.db2.gz SEIRWCIPXCSUGY-CQSZACIVSA-N 0 0 440.497 -0.407 20 0 IBADRN CC(=O)Nc1ccc(N2C[C@@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)cc1 ZINC001264843365 1073662198 /nfs/dbraw/zinc/66/21/98/1073662198.db2.gz OSUVSZKDOOKIBM-HNNXBMFYSA-N 0 0 438.506 -0.224 20 0 IBADRN CC(=O)Nc1ccc(N2C[C@H](C(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)cc1 ZINC001264843366 1073662254 /nfs/dbraw/zinc/66/22/54/1073662254.db2.gz OSUVSZKDOOKIBM-OAHLLOKOSA-N 0 0 438.506 -0.224 20 0 IBADRN O=C(Cc1csc([C@@H]2CCS(=O)(=O)C2)n1)NCCS(=O)(=O)N1CCOCC1 ZINC001264843886 1073662209 /nfs/dbraw/zinc/66/22/09/1073662209.db2.gz MKJDZUKHITXUMM-GFCCVEGCSA-N 0 0 437.565 -0.634 20 0 IBADRN O=C(Cc1csc([C@H]2CCS(=O)(=O)C2)n1)NCCS(=O)(=O)N1CCOCC1 ZINC001264843887 1073662034 /nfs/dbraw/zinc/66/20/34/1073662034.db2.gz MKJDZUKHITXUMM-LBPRGKRZSA-N 0 0 437.565 -0.634 20 0 IBADRN CN1CCn2nc(C(=O)NCCNS(=O)(=O)c3cccc(Cl)c3)cc2S1(=O)=O ZINC001264862713 1073662156 /nfs/dbraw/zinc/66/21/56/1073662156.db2.gz BJTPVAGKUURZIL-UHFFFAOYSA-N 0 0 447.926 -0.121 20 0 IBADRN CN1CCn2nc(C(=O)NCCOc3ccc(S(C)(=O)=O)cc3)cc2S1(=O)=O ZINC001264994429 1073662073 /nfs/dbraw/zinc/66/20/73/1073662073.db2.gz XNTABDDSSHOOFS-UHFFFAOYSA-N 0 0 428.492 -0.271 20 0 IBADRN C[C@@]1(CC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCS(=O)(=O)N1 ZINC001265031692 1073662262 /nfs/dbraw/zinc/66/22/62/1073662262.db2.gz UVZBGTRZJVQQOH-INIZCTEOSA-N 0 0 431.540 -0.426 20 0 IBADRN C[C@]1(CC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCS(=O)(=O)N1 ZINC001265031693 1073662134 /nfs/dbraw/zinc/66/21/34/1073662134.db2.gz UVZBGTRZJVQQOH-MRXNPFEDSA-N 0 0 431.540 -0.426 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)c1cn(C[C@@H]2CNC(=O)O2)nn1 ZINC001265101963 1073662797 /nfs/dbraw/zinc/66/27/97/1073662797.db2.gz FVNCFZYWHDRENT-INIZCTEOSA-N 0 0 433.513 -0.159 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)c1cn(C[C@H]2CNC(=O)O2)nn1 ZINC001265101964 1073662707 /nfs/dbraw/zinc/66/27/07/1073662707.db2.gz FVNCFZYWHDRENT-MRXNPFEDSA-N 0 0 433.513 -0.159 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)[C@]2(F)CCS(=O)(=O)C2)CC1 ZINC001265103314 1073662747 /nfs/dbraw/zinc/66/27/47/1073662747.db2.gz BZHLFOHDWLCFAN-KRWDZBQOSA-N 0 0 433.527 -0.234 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)[C@@]2(F)CCS(=O)(=O)C2)CC1 ZINC001265103315 1073662656 /nfs/dbraw/zinc/66/26/56/1073662656.db2.gz BZHLFOHDWLCFAN-QGZVFWFLSA-N 0 0 433.527 -0.234 20 0 IBADRN CC[C@]1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCNC1=O ZINC001265135054 1073662641 /nfs/dbraw/zinc/66/26/41/1073662641.db2.gz SKDBWHJCMGQKQF-IBGZPJMESA-N 0 0 436.490 -0.233 20 0 IBADRN CC[C@@]1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCNC1=O ZINC001265135055 1073662762 /nfs/dbraw/zinc/66/27/62/1073662762.db2.gz SKDBWHJCMGQKQF-LJQANCHMSA-N 0 0 436.490 -0.233 20 0 IBADRN CCn1cc(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nn1 ZINC001265135167 1073662756 /nfs/dbraw/zinc/66/27/56/1073662756.db2.gz WUIOFSKEBISGAT-UHFFFAOYSA-N 0 0 434.478 -0.296 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)Cn2ncn3nccc3c2=O)CC1 ZINC001265218207 1073662813 /nfs/dbraw/zinc/66/28/13/1073662813.db2.gz WBEYBRKUOPXXFO-UHFFFAOYSA-N 0 0 436.416 -0.294 20 0 IBADRN CO[C@]1(C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)CCS(=O)(=O)C1 ZINC001265257566 1073662725 /nfs/dbraw/zinc/66/27/25/1073662725.db2.gz YONISWDBQBKTBP-GOSISDBHSA-N 0 0 444.531 -0.422 20 0 IBADRN CO[C@@]1(C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)CCS(=O)(=O)C1 ZINC001265257567 1073662862 /nfs/dbraw/zinc/66/28/62/1073662862.db2.gz YONISWDBQBKTBP-SFHVURJKSA-N 0 0 444.531 -0.422 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001265300915 1073662683 /nfs/dbraw/zinc/66/26/83/1073662683.db2.gz ZHYXTRWVPJOOMS-KRWDZBQOSA-N 0 0 444.554 -0.797 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001265300916 1073662838 /nfs/dbraw/zinc/66/28/38/1073662838.db2.gz ZHYXTRWVPJOOMS-QGZVFWFLSA-N 0 0 444.554 -0.797 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1cn(C)nc1I ZINC001265340783 1073662620 /nfs/dbraw/zinc/66/26/20/1073662620.db2.gz BDHGEOYSZIITPW-UHFFFAOYSA-N 0 0 436.250 -0.028 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)c3ccc(S(C)(=O)=O)nc3)CC2)cn1 ZINC001265402716 1073663583 /nfs/dbraw/zinc/66/35/83/1073663583.db2.gz POEQIEYNJUVDNX-UHFFFAOYSA-N 0 0 427.508 -0.198 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)C1 ZINC001265419775 1073663535 /nfs/dbraw/zinc/66/35/35/1073663535.db2.gz KJIJZTRHVWAIOO-INIZCTEOSA-N 0 0 438.554 -0.367 20 0 IBADRN CO[C@@]1(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2F)CCS(=O)(=O)C1 ZINC001265421843 1073663511 /nfs/dbraw/zinc/66/35/11/1073663511.db2.gz QUWOMWITMPMTJO-INIZCTEOSA-N 0 0 436.483 -0.011 20 0 IBADRN CO[C@]1(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2F)CCS(=O)(=O)C1 ZINC001265421844 1073663529 /nfs/dbraw/zinc/66/35/29/1073663529.db2.gz QUWOMWITMPMTJO-MRXNPFEDSA-N 0 0 436.483 -0.011 20 0 IBADRN COc1nc(N2CCN(C(=O)CN3CC(=O)N(C)C3=O)CC2)ncc1Br ZINC001265661498 1073663298 /nfs/dbraw/zinc/66/32/98/1073663298.db2.gz SDUGYPHPHCQZDM-UHFFFAOYSA-N 0 0 427.259 -0.210 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCC(=O)N(c4cnn(C)c4)C3)CC2)cn1C ZINC001265932537 1073663440 /nfs/dbraw/zinc/66/34/40/1073663440.db2.gz LFXNMUKFJGHHMX-HNNXBMFYSA-N 0 0 449.537 -0.262 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCC(=O)N(c4cnn(C)c4)C3)CC2)cn1C ZINC001265932547 1073663383 /nfs/dbraw/zinc/66/33/83/1073663383.db2.gz LFXNMUKFJGHHMX-OAHLLOKOSA-N 0 0 449.537 -0.262 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc4c(c3)C(=O)NCCO4)CC2)cn1C ZINC001265932705 1073663494 /nfs/dbraw/zinc/66/34/94/1073663494.db2.gz MIPBQZBPPWPOGV-UHFFFAOYSA-N 0 0 433.490 -0.003 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CC3CCN(S(C)(=O)=O)CC3)CC2)cn1C ZINC001265933493 1073663572 /nfs/dbraw/zinc/66/35/72/1073663572.db2.gz XYUKFFQENIWQLO-UHFFFAOYSA-N 0 0 447.583 -0.377 20 0 IBADRN CN(C(=O)[C@@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C)C1CCN(S(C)(=O)=O)CC1 ZINC001266091616 1073663407 /nfs/dbraw/zinc/66/34/07/1073663407.db2.gz PZFDLXZIBJWYRW-AWEZNQCLSA-N 0 0 432.543 -0.054 20 0 IBADRN CN(C(=O)[C@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C)C1CCN(S(C)(=O)=O)CC1 ZINC001266091617 1073663504 /nfs/dbraw/zinc/66/35/04/1073663504.db2.gz PZFDLXZIBJWYRW-CQSZACIVSA-N 0 0 432.543 -0.054 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)CN2CC(=O)N(C)C2=O)c1 ZINC001266379022 1070847539 /nfs/dbraw/zinc/84/75/39/1070847539.db2.gz XYMZMLSQRMAHJL-UHFFFAOYSA-N 0 0 439.494 -0.394 20 0 IBADRN CN1CCn2nc(C(=O)N3CCC[C@H](S(=O)(=O)NC4CCCC4)C3)cc2S1(=O)=O ZINC001266578711 1070915854 /nfs/dbraw/zinc/91/58/54/1070915854.db2.gz CQKPFVQVKONVAH-AWEZNQCLSA-N 0 0 445.567 -0.016 20 0 IBADRN CN1CCn2nc(C(=O)N3CCC[C@@H](S(=O)(=O)NC4CCCC4)C3)cc2S1(=O)=O ZINC001266578712 1070915810 /nfs/dbraw/zinc/91/58/10/1070915810.db2.gz CQKPFVQVKONVAH-CQSZACIVSA-N 0 0 445.567 -0.016 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)C1=O ZINC001266592686 1070920399 /nfs/dbraw/zinc/92/03/99/1070920399.db2.gz JNFBDJSOOFJJIC-HNNXBMFYSA-N 0 0 427.479 -0.035 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NCCOc2ccc(NS(C)(=O)=O)cc2)C1=O ZINC001266592688 1070920379 /nfs/dbraw/zinc/92/03/79/1070920379.db2.gz JNFBDJSOOFJJIC-OAHLLOKOSA-N 0 0 427.479 -0.035 20 0 IBADRN CC[C@H](NC(C)=O)C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC001266693933 1070968311 /nfs/dbraw/zinc/96/83/11/1070968311.db2.gz QTKYDVAWOYHXBX-HNNXBMFYSA-N 0 0 435.524 -0.178 20 0 IBADRN CC[C@@H](NC(C)=O)C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC001266693934 1070969114 /nfs/dbraw/zinc/96/91/14/1070969114.db2.gz QTKYDVAWOYHXBX-OAHLLOKOSA-N 0 0 435.524 -0.178 20 0 IBADRN C[C@@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCC(=O)N1 ZINC001266694019 1070969018 /nfs/dbraw/zinc/96/90/18/1070969018.db2.gz VANZQJHLPYWPDU-INIZCTEOSA-N 0 0 433.508 -0.424 20 0 IBADRN CN1CCn2nc(C(=O)NCc3cccc(N4CCCS4(=O)=O)c3)cc2S1(=O)=O ZINC001267748174 1071348550 /nfs/dbraw/zinc/34/85/50/1071348550.db2.gz PBOVSWFVFUKYFI-UHFFFAOYSA-N 0 0 439.519 -0.013 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCC[C@@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC001267918578 1071370125 /nfs/dbraw/zinc/37/01/25/1071370125.db2.gz VVIMAIRDXJCZEW-CABCVRRESA-N 0 0 441.531 -0.717 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCC[C@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC001267918579 1071370145 /nfs/dbraw/zinc/37/01/45/1071370145.db2.gz VVIMAIRDXJCZEW-GJZGRUSLSA-N 0 0 441.531 -0.717 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCC[C@@H](S(=O)(=O)N3CCOCC3)C1)CS(=O)(=O)CC2 ZINC001268869657 1071655428 /nfs/dbraw/zinc/65/54/28/1071655428.db2.gz IIITXQZXTYYFJE-CYBMUJFWSA-N 0 0 446.551 -0.842 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCC[C@H](S(=O)(=O)N3CCOCC3)C1)CS(=O)(=O)CC2 ZINC001268869660 1071655417 /nfs/dbraw/zinc/65/54/17/1071655417.db2.gz IIITXQZXTYYFJE-ZDUSSCGKSA-N 0 0 446.551 -0.842 20 0 IBADRN COC1(C(=O)N2CCN([C@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)CS(=O)(=O)C1 ZINC001268953062 1071664587 /nfs/dbraw/zinc/66/45/87/1071664587.db2.gz RBHABUISQRSFLK-HNNXBMFYSA-N 0 0 439.538 -0.818 20 0 IBADRN COC1(C(=O)N2CCN([C@@H]3CCCN(c4cc(C)nn4C)C3=O)CC2)CS(=O)(=O)C1 ZINC001268953063 1071664551 /nfs/dbraw/zinc/66/45/51/1071664551.db2.gz RBHABUISQRSFLK-OAHLLOKOSA-N 0 0 439.538 -0.818 20 0 IBADRN COC(=O)CC1(NC(=O)[C@@H](C)S(=O)(=O)C2CCOCC2)CCS(=O)(=O)CC1 ZINC001269492215 1071738470 /nfs/dbraw/zinc/73/84/70/1071738470.db2.gz MZKFEWDJVHEKDS-GFCCVEGCSA-N 0 0 425.525 -0.405 20 0 IBADRN COC(=O)CC1(NC(=O)[C@H](C)S(=O)(=O)C2CCOCC2)CCS(=O)(=O)CC1 ZINC001269492218 1071738990 /nfs/dbraw/zinc/73/89/90/1071738990.db2.gz MZKFEWDJVHEKDS-LBPRGKRZSA-N 0 0 425.525 -0.405 20 0 IBADRN O=C(Nc1cnc(N2CCOCC2)c(Br)c1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001270311373 1071997823 /nfs/dbraw/zinc/99/78/23/1071997823.db2.gz WJOWJIBOYNYONN-NSHDSACASA-N 0 0 433.284 -0.468 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)CN1CC(=O)N(C)C1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001270569431 1072118567 /nfs/dbraw/zinc/11/85/67/1072118567.db2.gz KLCGINDLTKXWPK-GOSISDBHSA-N 0 0 425.463 -0.121 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)CN1CC(=O)N(C)C1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001270569433 1072118510 /nfs/dbraw/zinc/11/85/10/1072118510.db2.gz KLCGINDLTKXWPK-SFHVURJKSA-N 0 0 425.463 -0.121 20 0 IBADRN CN(CCNC(=O)c1cn(C[C@H]2CNC(=O)O2)nn1)S(=O)(=O)c1ccc(F)cc1 ZINC001270881074 1072301761 /nfs/dbraw/zinc/30/17/61/1072301761.db2.gz FRSOIUSDVUKGPY-GFCCVEGCSA-N 0 0 426.430 -0.424 20 0 IBADRN CN(CCNC(=O)c1cn(C[C@@H]2CNC(=O)O2)nn1)S(=O)(=O)c1ccc(F)cc1 ZINC001270881079 1072301686 /nfs/dbraw/zinc/30/16/86/1072301686.db2.gz FRSOIUSDVUKGPY-LBPRGKRZSA-N 0 0 426.430 -0.424 20 0 IBADRN CN(CCNC(=O)c1cc2n(n1)CCN(C)S2(=O)=O)S(=O)(=O)c1ccc(F)cc1 ZINC001270881204 1072301731 /nfs/dbraw/zinc/30/17/31/1072301731.db2.gz IQMNNIAWJGAXCS-UHFFFAOYSA-N 0 0 445.498 -0.293 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)[C@H]1c1nccn1C ZINC001270998085 1072369120 /nfs/dbraw/zinc/36/91/20/1072369120.db2.gz UUKGFPFRKQHLNI-PVAVHDDUSA-N 0 0 439.538 -0.265 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)[C@H]1c1nccn1C ZINC001270998086 1072369234 /nfs/dbraw/zinc/36/92/34/1072369234.db2.gz UUKGFPFRKQHLNI-XIRDDKMYSA-N 0 0 439.538 -0.265 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2ccnc(N3CCOCC3)n2)C1 ZINC001271195655 1072562399 /nfs/dbraw/zinc/56/23/99/1072562399.db2.gz YUCABHHNSXOVBS-HNNXBMFYSA-N 0 0 427.527 -0.174 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2ccnc(N3CCOCC3)n2)C1 ZINC001271195656 1072562336 /nfs/dbraw/zinc/56/23/36/1072562336.db2.gz YUCABHHNSXOVBS-OAHLLOKOSA-N 0 0 427.527 -0.174 20 0 IBADRN CN1CCn2nc(C(=O)N(CC(F)F)C3CCS(=O)(=O)CC3)cc2S1(=O)=O ZINC001271440599 1072596114 /nfs/dbraw/zinc/59/61/14/1072596114.db2.gz IUVGSGHLLNCRGD-UHFFFAOYSA-N 0 0 426.467 -0.198 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CN2CCC[C@H](C(=O)OC)C2=O)CC1 ZINC001271650572 1072615490 /nfs/dbraw/zinc/61/54/90/1072615490.db2.gz WUVHAJUFAQOBJH-INIZCTEOSA-N 0 0 446.570 -0.091 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CN2CCC[C@@H](C(=O)OC)C2=O)CC1 ZINC001271650577 1072615471 /nfs/dbraw/zinc/61/54/71/1072615471.db2.gz WUVHAJUFAQOBJH-MRXNPFEDSA-N 0 0 446.570 -0.091 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@@H]2CC[C@H](CN3CCOCC3)O2)CC1 ZINC001271885307 1072761144 /nfs/dbraw/zinc/76/11/44/1072761144.db2.gz PMOJAFVHAQLRBA-CVEARBPZSA-N 0 0 432.539 -0.165 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@@H]2CC(=O)N(c3cnn(C)c3)C2)CC1 ZINC001271886510 1072762392 /nfs/dbraw/zinc/76/23/92/1072762392.db2.gz WOJQBTZFDOGBHX-CYBMUJFWSA-N 0 0 426.495 -0.258 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)[C@H]2CC(=O)N(c3cnn(C)c3)C2)CC1 ZINC001271886511 1072762254 /nfs/dbraw/zinc/76/22/54/1072762254.db2.gz WOJQBTZFDOGBHX-ZDUSSCGKSA-N 0 0 426.495 -0.258 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1Cl)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001272000008 1072823056 /nfs/dbraw/zinc/82/30/56/1072823056.db2.gz RZRZPAQTHBRORW-VIFPVBQESA-N 0 0 444.318 -0.699 20 0 IBADRN CS(=O)(=O)c1cc(CNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)cc(C(F)(F)F)c1 ZINC001272305172 1072462801 /nfs/dbraw/zinc/46/28/01/1072462801.db2.gz KIHAEECKGDOPJB-NSHDSACASA-N 0 0 428.410 -0.362 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@@H]4CN(C(=O)C(F)(F)F)C[C@H]4C3)c2c(=O)n(C)c1=O ZINC001272586831 1072647228 /nfs/dbraw/zinc/64/72/28/1072647228.db2.gz NAZVABRAWJVDMO-GHMZBOCLSA-N 0 0 442.398 -0.697 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@@H]4CN(C(=O)C(F)(F)F)C[C@@H]4C3)c2c(=O)n(C)c1=O ZINC001272586832 1072647289 /nfs/dbraw/zinc/64/72/89/1072647289.db2.gz NAZVABRAWJVDMO-MNOVXSKESA-N 0 0 442.398 -0.697 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@H]4CN(C(=O)C(F)(F)F)C[C@@H]4C3)c2c(=O)n(C)c1=O ZINC001272586833 1072648016 /nfs/dbraw/zinc/64/80/16/1072648016.db2.gz NAZVABRAWJVDMO-QWRGUYRKSA-N 0 0 442.398 -0.697 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC[C@H]4CN(C(=O)C(F)(F)F)C[C@H]4C3)c2c(=O)n(C)c1=O ZINC001272586834 1072647954 /nfs/dbraw/zinc/64/79/54/1072647954.db2.gz NAZVABRAWJVDMO-WDEREUQCSA-N 0 0 442.398 -0.697 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCS(=O)(=O)C[C@H]1C1CC1)c2=O ZINC001273033214 1073230189 /nfs/dbraw/zinc/23/01/89/1073230189.db2.gz KJHXDYTWLNFWCL-JTQLQIEISA-N 0 0 444.311 -0.072 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCS(=O)(=O)C[C@@H]1C1CC1)c2=O ZINC001273033215 1073230279 /nfs/dbraw/zinc/23/02/79/1073230279.db2.gz KJHXDYTWLNFWCL-SNVBAGLBSA-N 0 0 444.311 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)C[C@H]2C2CC2)c1 ZINC001273033849 1073230245 /nfs/dbraw/zinc/23/02/45/1073230245.db2.gz OYKBGSMBIYSEHP-INIZCTEOSA-N 0 0 445.563 -0.086 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCS(=O)(=O)C[C@@H]2C2CC2)c1 ZINC001273033850 1073230293 /nfs/dbraw/zinc/23/02/93/1073230293.db2.gz OYKBGSMBIYSEHP-MRXNPFEDSA-N 0 0 445.563 -0.086 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC001273133870 1073265031 /nfs/dbraw/zinc/26/50/31/1073265031.db2.gz ORPUINAMZUYJRZ-MRVPVSSYSA-N 0 0 435.304 -0.664 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC001273133871 1073265065 /nfs/dbraw/zinc/26/50/65/1073265065.db2.gz ORPUINAMZUYJRZ-QMMMGPOBSA-N 0 0 435.304 -0.664 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001273134201 1073267564 /nfs/dbraw/zinc/26/75/64/1073267564.db2.gz OVQNNQDCOLAVGJ-AWEZNQCLSA-N 0 0 449.551 -0.226 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001273134203 1073267591 /nfs/dbraw/zinc/26/75/91/1073267591.db2.gz OVQNNQDCOLAVGJ-CQSZACIVSA-N 0 0 449.551 -0.226 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](OC(=O)c1cc2n(n1)CCN(C)S2(=O)=O)C(F)(F)F ZINC001274635817 1074481109 /nfs/dbraw/zinc/48/11/09/1074481109.db2.gz PTJITEAWUNZPLD-SECBINFHSA-N 0 0 434.418 -0.504 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](OC(=O)c1cc2n(n1)CCN(C)S2(=O)=O)C(F)(F)F ZINC001274635819 1074481179 /nfs/dbraw/zinc/48/11/79/1074481179.db2.gz PTJITEAWUNZPLD-VIFPVBQESA-N 0 0 434.418 -0.504 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@H]3OCC(=O)N[C@@H]3C1)c2=O ZINC001275036894 1074483614 /nfs/dbraw/zinc/48/36/14/1074483614.db2.gz GJKCXRUOFGOQNS-RKDXNWHRSA-N 0 0 425.243 -0.992 20 0 IBADRN C[C@@H](NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)C(=O)NCCc1ccccc1 ZINC001275043125 1074483505 /nfs/dbraw/zinc/48/35/05/1074483505.db2.gz BASQUOZLZNLWKW-CQSZACIVSA-N 0 0 426.477 -0.313 20 0 IBADRN COCCNC(=O)C1(NC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001275166242 1074484193 /nfs/dbraw/zinc/48/41/93/1074484193.db2.gz VQTKBTGGDSUJSA-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN C[C@@H](C(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C)S(=O)(=O)C1CCOCC1 ZINC001275707134 1074486995 /nfs/dbraw/zinc/48/69/95/1074486995.db2.gz OOYPYQYHJYJHHR-GXTWGEPZSA-N 0 0 439.552 -0.064 20 0 IBADRN C[C@@H](C(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C)S(=O)(=O)C1CCOCC1 ZINC001275707136 1074486999 /nfs/dbraw/zinc/48/69/99/1074486999.db2.gz OOYPYQYHJYJHHR-JSGCOSHPSA-N 0 0 439.552 -0.064 20 0 IBADRN C[C@H](C(=O)N1CCS(=O)(=O)C[C@H]1C(=O)OC(C)(C)C)S(=O)(=O)C1CCOCC1 ZINC001275707139 1074486982 /nfs/dbraw/zinc/48/69/82/1074486982.db2.gz OOYPYQYHJYJHHR-OCCSQVGLSA-N 0 0 439.552 -0.064 20 0 IBADRN C[C@H](C(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)OC(C)(C)C)S(=O)(=O)C1CCOCC1 ZINC001275707141 1074487046 /nfs/dbraw/zinc/48/70/46/1074487046.db2.gz OOYPYQYHJYJHHR-TZMCWYRMSA-N 0 0 439.552 -0.064 20 0 IBADRN COCC(COC)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC001275847389 1074487685 /nfs/dbraw/zinc/48/76/85/1074487685.db2.gz VFPJBUDOHMTGTR-UHFFFAOYSA-N 0 0 444.554 -0.535 20 0 IBADRN CC[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)C(=O)NC ZINC001276155947 1074506943 /nfs/dbraw/zinc/50/69/43/1074506943.db2.gz GEBQGGBVSMQNBG-AWEZNQCLSA-N 0 0 429.495 -0.021 20 0 IBADRN CC[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC)C(=O)NC ZINC001276155951 1074506988 /nfs/dbraw/zinc/50/69/88/1074506988.db2.gz GEBQGGBVSMQNBG-CQSZACIVSA-N 0 0 429.495 -0.021 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001276524489 1073328035 /nfs/dbraw/zinc/32/80/35/1073328035.db2.gz HJUJHINSYSOAOW-INIZCTEOSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001276524490 1073328095 /nfs/dbraw/zinc/32/80/95/1073328095.db2.gz HJUJHINSYSOAOW-MRXNPFEDSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC001276524790 1073328001 /nfs/dbraw/zinc/32/80/01/1073328001.db2.gz OECBITXHLLPXBB-HNNXBMFYSA-N 0 0 439.490 -0.558 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1 ZINC001276524793 1073327832 /nfs/dbraw/zinc/32/78/32/1073327832.db2.gz OECBITXHLLPXBB-OAHLLOKOSA-N 0 0 439.490 -0.558 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@H]2CCC(=O)N(C)C2=O)c1 ZINC001276754932 1073346973 /nfs/dbraw/zinc/34/69/73/1073346973.db2.gz UBVBFHYBNJJUQG-HNNXBMFYSA-N 0 0 438.506 -0.349 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@@H]2CCC(=O)N(C)C2=O)c1 ZINC001276754935 1073347160 /nfs/dbraw/zinc/34/71/60/1073347160.db2.gz UBVBFHYBNJJUQG-OAHLLOKOSA-N 0 0 438.506 -0.349 20 0 IBADRN CNC(=O)[C@H](CNC(=O)[C@H]1CCCN1C(=O)CNC(C)=O)Cc1ccc(OC)c(F)c1 ZINC001277215268 1073450049 /nfs/dbraw/zinc/45/00/49/1073450049.db2.gz NYDCLQITNPCNFD-DOTOQJQBSA-N 0 0 436.484 -0.018 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)[C@H]1CCCN1C(=O)CNC(C)=O)Cc1ccc(OC)c(F)c1 ZINC001277215269 1073450117 /nfs/dbraw/zinc/45/01/17/1073450117.db2.gz NYDCLQITNPCNFD-NVXWUHKLSA-N 0 0 436.484 -0.018 20 0 IBADRN CNC(=O)[C@H](CNC(=O)[C@@H]1CCCN1C(=O)CNC(C)=O)Cc1ccc(OC)c(F)c1 ZINC001277215270 1073450081 /nfs/dbraw/zinc/45/00/81/1073450081.db2.gz NYDCLQITNPCNFD-RDJZCZTQSA-N 0 0 436.484 -0.018 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)[C@@H]1CCCN1C(=O)CNC(C)=O)Cc1ccc(OC)c(F)c1 ZINC001277215271 1073450158 /nfs/dbraw/zinc/45/01/58/1073450158.db2.gz NYDCLQITNPCNFD-WBVHZDCISA-N 0 0 436.484 -0.018 20 0 IBADRN CN(CC(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001277258901 1073455487 /nfs/dbraw/zinc/45/54/87/1073455487.db2.gz WRVSFMOOMZBWNU-KRWDZBQOSA-N 0 0 427.504 -0.517 20 0 IBADRN CN(CC(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001277258902 1073455491 /nfs/dbraw/zinc/45/54/91/1073455491.db2.gz WRVSFMOOMZBWNU-QGZVFWFLSA-N 0 0 427.504 -0.517 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCO[C@]4(CCS(=O)(=O)C4)C3)CC2=O)cn1 ZINC001277265441 1073455525 /nfs/dbraw/zinc/45/55/25/1073455525.db2.gz IXTWRCGABINCQJ-KBXCAEBGSA-N 0 0 426.495 -0.702 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCO[C@@]4(CCS(=O)(=O)C4)C3)CC2=O)cn1 ZINC001277265442 1073455535 /nfs/dbraw/zinc/45/55/35/1073455535.db2.gz IXTWRCGABINCQJ-KDOFPFPSSA-N 0 0 426.495 -0.702 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCO[C@@]4(CCS(=O)(=O)C4)C3)CC2=O)cn1 ZINC001277265443 1073455518 /nfs/dbraw/zinc/45/55/18/1073455518.db2.gz IXTWRCGABINCQJ-KSSFIOAISA-N 0 0 426.495 -0.702 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCO[C@]4(CCS(=O)(=O)C4)C3)CC2=O)cn1 ZINC001277265444 1073455504 /nfs/dbraw/zinc/45/55/04/1073455504.db2.gz IXTWRCGABINCQJ-RDTXWAMCSA-N 0 0 426.495 -0.702 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)[C@H]1c1nccn1C ZINC001277265819 1073455480 /nfs/dbraw/zinc/45/54/80/1073455480.db2.gz MIZRJUNFXVMWEB-MPGHIAIKSA-N 0 0 426.495 -0.875 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)[C@H]1c1nccn1C ZINC001277265820 1073455521 /nfs/dbraw/zinc/45/55/21/1073455521.db2.gz MIZRJUNFXVMWEB-RLFYNMQTSA-N 0 0 426.495 -0.875 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@@H](N3CCOC3=O)C1)c2=O ZINC001278478644 1073526695 /nfs/dbraw/zinc/52/66/95/1073526695.db2.gz WXZVDHJQLGAKHL-SECBINFHSA-N 0 0 425.243 -0.054 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@H](N3CCOC3=O)C1)c2=O ZINC001278478645 1073526623 /nfs/dbraw/zinc/52/66/23/1073526623.db2.gz WXZVDHJQLGAKHL-VIFPVBQESA-N 0 0 425.243 -0.054 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Cc2csc([C@@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001278494368 1073530441 /nfs/dbraw/zinc/53/04/41/1073530441.db2.gz KQGCMXGWLBECAJ-GFCCVEGCSA-N 0 0 436.581 -0.462 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Cc2csc([C@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001278494373 1073530457 /nfs/dbraw/zinc/53/04/57/1073530457.db2.gz KQGCMXGWLBECAJ-LBPRGKRZSA-N 0 0 436.581 -0.462 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C1(C(=O)OC(C)(C)C)CCS(=O)(=O)CC1 ZINC001278813560 1073590676 /nfs/dbraw/zinc/59/06/76/1073590676.db2.gz HDLARSLBGNLAQC-NEPJUHHUSA-N 0 0 425.525 -0.549 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)C1(C(=O)OC(C)(C)C)CCS(=O)(=O)CC1 ZINC001278813561 1073590810 /nfs/dbraw/zinc/59/08/10/1073590810.db2.gz HDLARSLBGNLAQC-NWDGAFQWSA-N 0 0 425.525 -0.549 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)C1(C(=O)OC(C)(C)C)CCS(=O)(=O)CC1 ZINC001278813562 1073590644 /nfs/dbraw/zinc/59/06/44/1073590644.db2.gz HDLARSLBGNLAQC-RYUDHWBXSA-N 0 0 425.525 -0.549 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)C1(C(=O)OC(C)(C)C)CCS(=O)(=O)CC1 ZINC001278813563 1073590840 /nfs/dbraw/zinc/59/08/40/1073590840.db2.gz HDLARSLBGNLAQC-VXGBXAGGSA-N 0 0 425.525 -0.549 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001278814118 1073590853 /nfs/dbraw/zinc/59/08/53/1073590853.db2.gz PTXKNTMFENIUDP-CABCVRRESA-N 0 0 441.531 -0.224 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001278814119 1073591202 /nfs/dbraw/zinc/59/12/02/1073591202.db2.gz PTXKNTMFENIUDP-GJZGRUSLSA-N 0 0 441.531 -0.224 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001278814120 1073591400 /nfs/dbraw/zinc/59/14/00/1073591400.db2.gz PTXKNTMFENIUDP-HUUCEWRRSA-N 0 0 441.531 -0.224 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001278814121 1073591363 /nfs/dbraw/zinc/59/13/63/1073591363.db2.gz PTXKNTMFENIUDP-LSDHHAIUSA-N 0 0 441.531 -0.224 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N[C@H]3CS(=O)(=O)C[C@@H]3OC)C2=O)cc1 ZINC001278814433 1073591260 /nfs/dbraw/zinc/59/12/60/1073591260.db2.gz XAVPBEGMVGBBRT-DEYYWGMASA-N 0 0 425.463 -0.610 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3OC)C2=O)cc1 ZINC001278814434 1073591217 /nfs/dbraw/zinc/59/12/17/1073591217.db2.gz XAVPBEGMVGBBRT-GLJUWKHASA-N 0 0 425.463 -0.610 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N[C@H]3CS(=O)(=O)C[C@H]3OC)C2=O)cc1 ZINC001278814435 1073591108 /nfs/dbraw/zinc/59/11/08/1073591108.db2.gz XAVPBEGMVGBBRT-IYOUNJFTSA-N 0 0 425.463 -0.610 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N[C@@H]3CS(=O)(=O)C[C@H]3OC)C2=O)cc1 ZINC001278814436 1073591148 /nfs/dbraw/zinc/59/11/48/1073591148.db2.gz XAVPBEGMVGBBRT-LBTNJELSSA-N 0 0 425.463 -0.610 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC001278814549 1073591306 /nfs/dbraw/zinc/59/13/06/1073591306.db2.gz ZIAJDFKIMNVODX-NEPJUHHUSA-N 0 0 431.264 -0.027 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC001278814550 1073591274 /nfs/dbraw/zinc/59/12/74/1073591274.db2.gz ZIAJDFKIMNVODX-NWDGAFQWSA-N 0 0 431.264 -0.027 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC001278814551 1073591163 /nfs/dbraw/zinc/59/11/63/1073591163.db2.gz ZIAJDFKIMNVODX-RYUDHWBXSA-N 0 0 431.264 -0.027 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC001278814552 1073591231 /nfs/dbraw/zinc/59/12/31/1073591231.db2.gz ZIAJDFKIMNVODX-VXGBXAGGSA-N 0 0 431.264 -0.027 20 0 IBADRN CS(=O)(=O)CC1(CCNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001278981820 1073608713 /nfs/dbraw/zinc/60/87/13/1073608713.db2.gz LZEMEAUYUXDOGD-UHFFFAOYSA-N 0 0 443.547 -0.248 20 0 IBADRN CN1CCn2nc(C(=O)N3C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C3)cc2S1(=O)=O ZINC001279037455 1073612540 /nfs/dbraw/zinc/61/25/40/1073612540.db2.gz XZRXAUSMWJMOPR-PSASIEDQSA-N 0 0 430.430 -0.435 20 0 IBADRN COc1cc(C(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc(OC)c1OCC(N)=O ZINC001279670820 1073663448 /nfs/dbraw/zinc/66/34/48/1073663448.db2.gz HKXSGBXVLNLOME-GLQYFDAESA-N 0 0 428.463 -0.548 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CN3C(=O)N[C@](C)(c4ccc(F)cc4)C3=O)C[C@H]21 ZINC001279670857 1073663340 /nfs/dbraw/zinc/66/33/40/1073663340.db2.gz JBVRRYNTVYCIMD-QCUYGVNKSA-N 0 0 439.465 -0.137 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CN3C(=O)N[C@@](C)(c4ccc(F)cc4)C3=O)C[C@H]21 ZINC001279670858 1073663372 /nfs/dbraw/zinc/66/33/72/1073663372.db2.gz JBVRRYNTVYCIMD-YGTYGHESSA-N 0 0 439.465 -0.137 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CCc3c(C)nc4c(C(N)=O)cnn4c3C)C[C@H]21 ZINC001279671381 1073663481 /nfs/dbraw/zinc/66/34/81/1073663481.db2.gz VCABKOOQWREEQI-XHSDSOJGSA-N 0 0 435.506 -0.352 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)Cc3csc([C@@H]4CCS(=O)(=O)C4)n3)C[C@H]21 ZINC001279678927 1073663360 /nfs/dbraw/zinc/66/33/60/1073663360.db2.gz ZODONYKVVJLKAK-CABNGKKXSA-N 0 0 434.561 -0.142 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)Cc3csc([C@H]4CCS(=O)(=O)C4)n3)C[C@H]21 ZINC001279678928 1073663476 /nfs/dbraw/zinc/66/34/76/1073663476.db2.gz ZODONYKVVJLKAK-DEQVHRJGSA-N 0 0 434.561 -0.142 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC001279832992 1073663591 /nfs/dbraw/zinc/66/35/91/1073663591.db2.gz PQUKBBQLGOCJSH-GOSISDBHSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC001279832993 1073663424 /nfs/dbraw/zinc/66/34/24/1073663424.db2.gz PQUKBBQLGOCJSH-SFHVURJKSA-N 0 0 425.463 -0.789 20 0 IBADRN CCS(=O)(=O)C1(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001280212025 1073663457 /nfs/dbraw/zinc/66/34/57/1073663457.db2.gz MMFNSWOQAKVJLZ-UHFFFAOYSA-N 0 0 429.520 -0.496 20 0 IBADRN COC(=O)N(C)CCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001280456447 1073664168 /nfs/dbraw/zinc/66/41/68/1073664168.db2.gz ZFBQUINELNNVCA-UHFFFAOYSA-N 0 0 426.495 -0.033 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1C[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@]2(C)C1 ZINC001282447687 1073664276 /nfs/dbraw/zinc/66/42/76/1073664276.db2.gz UVCLIQYSZPOJFH-IMFHHBSKSA-N 0 0 426.539 -0.887 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@@H]3O[C@H]1[C@H]1C[C@H]13)C2 ZINC001282768852 1073664241 /nfs/dbraw/zinc/66/42/41/1073664241.db2.gz MNNFMKAWHKXFTR-GFNZPECISA-N 0 0 426.539 -0.604 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001286838004 1073675333 /nfs/dbraw/zinc/67/53/33/1073675333.db2.gz HSTDSKDOFVPHFM-CYBMUJFWSA-N 0 0 443.574 -0.140 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001286838035 1073675365 /nfs/dbraw/zinc/67/53/65/1073675365.db2.gz HSTDSKDOFVPHFM-ZDUSSCGKSA-N 0 0 443.574 -0.140 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)CC1 ZINC001286841747 1073675386 /nfs/dbraw/zinc/67/53/86/1073675386.db2.gz OYEPMHFHLOZBIE-AWEZNQCLSA-N 0 0 436.542 -0.196 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)CC1 ZINC001286841749 1073675284 /nfs/dbraw/zinc/67/52/84/1073675284.db2.gz OYEPMHFHLOZBIE-CQSZACIVSA-N 0 0 436.542 -0.196 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001286842186 1073675999 /nfs/dbraw/zinc/67/59/99/1073675999.db2.gz NFHBDZXYNOJFEB-INIZCTEOSA-N 0 0 447.540 -0.042 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001286842190 1073676086 /nfs/dbraw/zinc/67/60/86/1073676086.db2.gz NFHBDZXYNOJFEB-MRXNPFEDSA-N 0 0 447.540 -0.042 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CC1 ZINC001286842280 1073676028 /nfs/dbraw/zinc/67/60/28/1073676028.db2.gz SJDUDRODPSFZOD-BEFAXECRSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CC1 ZINC001286842292 1073676075 /nfs/dbraw/zinc/67/60/75/1073676075.db2.gz SJDUDRODPSFZOD-DNVCBOLYSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CC1 ZINC001286842293 1073676015 /nfs/dbraw/zinc/67/60/15/1073676015.db2.gz SJDUDRODPSFZOD-HNAYVOBHSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CC1 ZINC001286842296 1073676010 /nfs/dbraw/zinc/67/60/10/1073676010.db2.gz SJDUDRODPSFZOD-KXBFYZLASA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001286842532 1073676045 /nfs/dbraw/zinc/67/60/45/1073676045.db2.gz SDNUJCVDLVBCNR-AWEZNQCLSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001286842534 1073676039 /nfs/dbraw/zinc/67/60/39/1073676039.db2.gz SDNUJCVDLVBCNR-CQSZACIVSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)C1 ZINC001286844634 1073676073 /nfs/dbraw/zinc/67/60/73/1073676073.db2.gz CFXCCVFVQVECGW-DZGCQCFKSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)C1 ZINC001286844636 1073676018 /nfs/dbraw/zinc/67/60/18/1073676018.db2.gz CFXCCVFVQVECGW-HIFRSBDPSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)C1 ZINC001286844637 1073676080 /nfs/dbraw/zinc/67/60/80/1073676080.db2.gz CFXCCVFVQVECGW-UKRRQHHQSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)C1 ZINC001286844639 1073676025 /nfs/dbraw/zinc/67/60/25/1073676025.db2.gz CFXCCVFVQVECGW-ZFWWWQNUSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc(-c3cnn(C)c3)n2CC(=O)N2CCOCC2)C1 ZINC001286847536 1073676056 /nfs/dbraw/zinc/67/60/56/1073676056.db2.gz KHONFFRFFTZLDA-INIZCTEOSA-N 0 0 430.513 -0.158 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc(-c3cnn(C)c3)n2CC(=O)N2CCOCC2)C1 ZINC001286847539 1073676064 /nfs/dbraw/zinc/67/60/64/1073676064.db2.gz KHONFFRFFTZLDA-MRXNPFEDSA-N 0 0 430.513 -0.158 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)N(C)C)C1 ZINC001286848757 1073676084 /nfs/dbraw/zinc/67/60/84/1073676084.db2.gz QVYHZEXDRNNBJE-HNNXBMFYSA-N 0 0 438.558 -0.125 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)N(C)C)C1 ZINC001286848758 1073676051 /nfs/dbraw/zinc/67/60/51/1073676051.db2.gz QVYHZEXDRNNBJE-OAHLLOKOSA-N 0 0 438.558 -0.125 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2C[C@H]3OCO[C@H]3C2)CC1 ZINC001286850926 1073676071 /nfs/dbraw/zinc/67/60/71/1073676071.db2.gz IPRAMBITZYEKME-VBNZEHGJSA-N 0 0 442.542 -0.292 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2C[C@H]3OCO[C@H]3C2)CC1 ZINC001286850927 1073676030 /nfs/dbraw/zinc/67/60/30/1073676030.db2.gz IPRAMBITZYEKME-WQVCFCJDSA-N 0 0 442.542 -0.292 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001286851533 1073676088 /nfs/dbraw/zinc/67/60/88/1073676088.db2.gz UADXHMFTHNRXMS-KBPBESRZSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001286851535 1073676068 /nfs/dbraw/zinc/67/60/68/1073676068.db2.gz UADXHMFTHNRXMS-KGLIPLIRSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001286851537 1073676689 /nfs/dbraw/zinc/67/66/89/1073676689.db2.gz UADXHMFTHNRXMS-UONOGXRCSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001286851539 1073676615 /nfs/dbraw/zinc/67/66/15/1073676615.db2.gz UADXHMFTHNRXMS-ZIAGYGMSSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN(C)C(=O)C2)CC1 ZINC001286852259 1073676707 /nfs/dbraw/zinc/67/67/07/1073676707.db2.gz SUPOBVYJHPTMDP-CYBMUJFWSA-N 0 0 441.558 -0.575 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN(C)C(=O)C2)CC1 ZINC001286852261 1073676737 /nfs/dbraw/zinc/67/67/37/1073676737.db2.gz SUPOBVYJHPTMDP-ZDUSSCGKSA-N 0 0 441.558 -0.575 20 0 IBADRN CC(=O)N1CCCN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001286860454 1073676649 /nfs/dbraw/zinc/67/66/49/1073676649.db2.gz GVIHAJINHAFVQV-UHFFFAOYSA-N 0 0 443.512 -0.274 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nncn2C)CC1 ZINC001286860653 1073676787 /nfs/dbraw/zinc/67/67/87/1073676787.db2.gz BPTGZAIHBPXCGI-GFCCVEGCSA-N 0 0 425.519 -0.876 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nncn2C)CC1 ZINC001286860656 1073676560 /nfs/dbraw/zinc/67/65/60/1073676560.db2.gz BPTGZAIHBPXCGI-LBPRGKRZSA-N 0 0 425.519 -0.876 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CC1 ZINC001286861084 1073676746 /nfs/dbraw/zinc/67/67/46/1073676746.db2.gz FRRYJPXSICPXLN-AWEZNQCLSA-N 0 0 443.574 -0.185 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CC1 ZINC001286861085 1073676679 /nfs/dbraw/zinc/67/66/79/1073676679.db2.gz FRRYJPXSICPXLN-CQSZACIVSA-N 0 0 443.574 -0.185 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001286861573 1073676542 /nfs/dbraw/zinc/67/65/42/1073676542.db2.gz IJLDQPDIFNTKAI-GXTWGEPZSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001286861574 1073676624 /nfs/dbraw/zinc/67/66/24/1073676624.db2.gz IJLDQPDIFNTKAI-TZMCWYRMSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCn2ccnn2)CC1 ZINC001286861833 1073676771 /nfs/dbraw/zinc/67/67/71/1073676771.db2.gz JVJCIGMEPJNHAT-AWEZNQCLSA-N 0 0 439.546 -0.371 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCn2ccnn2)CC1 ZINC001286861850 1073676697 /nfs/dbraw/zinc/67/66/97/1073676697.db2.gz JVJCIGMEPJNHAT-CQSZACIVSA-N 0 0 439.546 -0.371 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOCCCO)CC1 ZINC001286862063 1073676717 /nfs/dbraw/zinc/67/67/17/1073676717.db2.gz LTXFWDOOUWPQJB-AWEZNQCLSA-N 0 0 432.547 -0.654 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOCCCO)CC1 ZINC001286862066 1073676519 /nfs/dbraw/zinc/67/65/19/1073676519.db2.gz LTXFWDOOUWPQJB-CQSZACIVSA-N 0 0 432.547 -0.654 20 0 IBADRN CC(=O)N1CCC[C@H](Cn2c(CC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)C1 ZINC001286863815 1073676632 /nfs/dbraw/zinc/67/66/32/1073676632.db2.gz VVILDQQUVGJMKD-DLBZAZTESA-N 0 0 431.541 -0.118 20 0 IBADRN CC(=O)N1CCC[C@@H](Cn2c(CC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)C1 ZINC001286863816 1073676670 /nfs/dbraw/zinc/67/66/70/1073676670.db2.gz VVILDQQUVGJMKD-IAGOWNOFSA-N 0 0 431.541 -0.118 20 0 IBADRN CC(=O)N1CCC[C@H](Cn2c(CC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)C1 ZINC001286863817 1073676659 /nfs/dbraw/zinc/67/66/59/1073676659.db2.gz VVILDQQUVGJMKD-IRXDYDNUSA-N 0 0 431.541 -0.118 20 0 IBADRN CC(=O)N1CCC[C@@H](Cn2c(CC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)C1 ZINC001286863818 1073676727 /nfs/dbraw/zinc/67/67/27/1073676727.db2.gz VVILDQQUVGJMKD-SJORKVTESA-N 0 0 431.541 -0.118 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286865776 1073676755 /nfs/dbraw/zinc/67/67/55/1073676755.db2.gz ONLNTIOURJFEMZ-CRAIPNDOSA-N 0 0 427.527 -0.610 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286865777 1073677294 /nfs/dbraw/zinc/67/72/94/1073677294.db2.gz ONLNTIOURJFEMZ-MAUKXSAKSA-N 0 0 427.527 -0.610 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286865778 1073677225 /nfs/dbraw/zinc/67/72/25/1073677225.db2.gz ONLNTIOURJFEMZ-QAPCUYQASA-N 0 0 427.527 -0.610 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286865779 1073677316 /nfs/dbraw/zinc/67/73/16/1073677316.db2.gz ONLNTIOURJFEMZ-YJBOKZPZSA-N 0 0 427.527 -0.610 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001286866146 1073677297 /nfs/dbraw/zinc/67/72/97/1073677297.db2.gz YHAGTAYGZCNTKP-INIZCTEOSA-N 0 0 426.543 -0.124 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001286866147 1073677288 /nfs/dbraw/zinc/67/72/88/1073677288.db2.gz YHAGTAYGZCNTKP-MRXNPFEDSA-N 0 0 426.543 -0.124 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)N(C)C)[C@@H](C)C1 ZINC001286866620 1073677313 /nfs/dbraw/zinc/67/73/13/1073677313.db2.gz DGAFIURYYDIQIQ-AWEZNQCLSA-N 0 0 441.558 -0.538 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)N(C)C)[C@H](C)C1 ZINC001286866621 1073677337 /nfs/dbraw/zinc/67/73/37/1073677337.db2.gz DGAFIURYYDIQIQ-CQSZACIVSA-N 0 0 441.558 -0.538 20 0 IBADRN CC(=O)N1CCCN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001286866641 1073677262 /nfs/dbraw/zinc/67/72/62/1073677262.db2.gz NLLITTIIGNDKRG-UHFFFAOYSA-N 0 0 443.512 -0.388 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001286867358 1073677255 /nfs/dbraw/zinc/67/72/55/1073677255.db2.gz OEFSPHHMDZVILE-UHFFFAOYSA-N 0 0 439.542 -0.782 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CC1 ZINC001286867543 1073677282 /nfs/dbraw/zinc/67/72/82/1073677282.db2.gz CNPNFWFCCSULBB-HNNXBMFYSA-N 0 0 442.542 -0.806 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CC1 ZINC001286867544 1073677320 /nfs/dbraw/zinc/67/73/20/1073677320.db2.gz CNPNFWFCCSULBB-OAHLLOKOSA-N 0 0 442.542 -0.806 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCCS2(=O)=O)CC1 ZINC001286867656 1073677323 /nfs/dbraw/zinc/67/73/23/1073677323.db2.gz SUKDVZPREADKGR-UHFFFAOYSA-N 0 0 427.531 -0.880 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCOCCS(C)(=O)=O)CC1 ZINC001286867703 1073677241 /nfs/dbraw/zinc/67/72/41/1073677241.db2.gz UFPYYLGVWVWYTR-UHFFFAOYSA-N 0 0 430.531 -0.854 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)[C@H](C)C1 ZINC001286867862 1073677328 /nfs/dbraw/zinc/67/73/28/1073677328.db2.gz UVDLGRCZYFHQTJ-CYBMUJFWSA-N 0 0 429.547 -0.635 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)[C@@H](C)C1 ZINC001286867863 1073677339 /nfs/dbraw/zinc/67/73/39/1073677339.db2.gz UVDLGRCZYFHQTJ-ZDUSSCGKSA-N 0 0 429.547 -0.635 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001286868356 1073677309 /nfs/dbraw/zinc/67/73/09/1073677309.db2.gz KMNYTXLRPRYETL-IXDOHACOSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001286868357 1073677301 /nfs/dbraw/zinc/67/73/01/1073677301.db2.gz KMNYTXLRPRYETL-YESZJQIVSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001286868729 1073677334 /nfs/dbraw/zinc/67/73/34/1073677334.db2.gz BIPHUHIUQSHIFP-AEGPPILISA-N 0 0 430.531 -0.903 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001286868731 1073677232 /nfs/dbraw/zinc/67/72/32/1073677232.db2.gz BIPHUHIUQSHIFP-VHDGCEQUSA-N 0 0 430.531 -0.903 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)[C@H](C)C1 ZINC001286869357 1073677248 /nfs/dbraw/zinc/67/72/48/1073677248.db2.gz GLXDAIJJWUDUTI-CHWSQXEVSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)[C@H](C)C1 ZINC001286869361 1073677972 /nfs/dbraw/zinc/67/79/72/1073677972.db2.gz GLXDAIJJWUDUTI-OLZOCXBDSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)[C@@H](C)C1 ZINC001286869362 1073677954 /nfs/dbraw/zinc/67/79/54/1073677954.db2.gz GLXDAIJJWUDUTI-QWHCGFSZSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)[C@@H](C)C1 ZINC001286869365 1073677885 /nfs/dbraw/zinc/67/78/85/1073677885.db2.gz GLXDAIJJWUDUTI-STQMWFEESA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)CC1 ZINC001286869850 1073677958 /nfs/dbraw/zinc/67/79/58/1073677958.db2.gz IRTBXWQJKJPEEW-CXAGYDPISA-N 0 0 430.531 -0.638 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)CC1 ZINC001286869851 1073677859 /nfs/dbraw/zinc/67/78/59/1073677859.db2.gz IRTBXWQJKJPEEW-DYVFJYSZSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)CC1 ZINC001286869852 1073677943 /nfs/dbraw/zinc/67/79/43/1073677943.db2.gz IRTBXWQJKJPEEW-GUYCJALGSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)CC1 ZINC001286869853 1073677965 /nfs/dbraw/zinc/67/79/65/1073677965.db2.gz IRTBXWQJKJPEEW-SUMWQHHRSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001286870130 1073677916 /nfs/dbraw/zinc/67/79/16/1073677916.db2.gz HVHMPCQGCSGOBV-UHFFFAOYSA-N 0 0 426.481 -0.275 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)[C@H](C)C1 ZINC001286870420 1073677931 /nfs/dbraw/zinc/67/79/31/1073677931.db2.gz OXVWZUWYQNRMTP-NEPJUHHUSA-N 0 0 425.519 -0.878 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)[C@@H](C)C1 ZINC001286870421 1073677969 /nfs/dbraw/zinc/67/79/69/1073677969.db2.gz OXVWZUWYQNRMTP-NWDGAFQWSA-N 0 0 425.519 -0.878 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)[C@@H](C)C1 ZINC001286870422 1073677870 /nfs/dbraw/zinc/67/78/70/1073677870.db2.gz OXVWZUWYQNRMTP-RYUDHWBXSA-N 0 0 425.519 -0.878 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)[C@H](C)C1 ZINC001286870423 1073677950 /nfs/dbraw/zinc/67/79/50/1073677950.db2.gz OXVWZUWYQNRMTP-VXGBXAGGSA-N 0 0 425.519 -0.878 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)[C@H](C)C1 ZINC001286870731 1073677893 /nfs/dbraw/zinc/67/78/93/1073677893.db2.gz REQNOKAAQIXCFL-CHWSQXEVSA-N 0 0 429.547 -0.530 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)[C@H](C)C1 ZINC001286870732 1073677911 /nfs/dbraw/zinc/67/79/11/1073677911.db2.gz REQNOKAAQIXCFL-OLZOCXBDSA-N 0 0 429.547 -0.530 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)[C@@H](C)C1 ZINC001286870733 1073677961 /nfs/dbraw/zinc/67/79/61/1073677961.db2.gz REQNOKAAQIXCFL-QWHCGFSZSA-N 0 0 429.547 -0.530 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)[C@@H](C)C1 ZINC001286870734 1073677934 /nfs/dbraw/zinc/67/79/34/1073677934.db2.gz REQNOKAAQIXCFL-STQMWFEESA-N 0 0 429.547 -0.530 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)[C@@H](C)C1 ZINC001286871288 1073677967 /nfs/dbraw/zinc/67/79/67/1073677967.db2.gz VDUBPQJJKNDEQC-KBPBESRZSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)[C@H](C)C1 ZINC001286871289 1073677963 /nfs/dbraw/zinc/67/79/63/1073677963.db2.gz VDUBPQJJKNDEQC-KGLIPLIRSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)[C@@H](C)C1 ZINC001286871290 1073677903 /nfs/dbraw/zinc/67/79/03/1073677903.db2.gz VDUBPQJJKNDEQC-UONOGXRCSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)[C@H](C)C1 ZINC001286871291 1073677924 /nfs/dbraw/zinc/67/79/24/1073677924.db2.gz VDUBPQJJKNDEQC-ZIAGYGMSSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)CC1 ZINC001286871551 1073678436 /nfs/dbraw/zinc/67/84/36/1073678436.db2.gz JXWRQIXKPISLKX-GOSISDBHSA-N 0 0 444.558 -0.464 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)CC1 ZINC001286871552 1073678459 /nfs/dbraw/zinc/67/84/59/1073678459.db2.gz JXWRQIXKPISLKX-SFHVURJKSA-N 0 0 444.558 -0.464 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)CC1 ZINC001286871644 1073678473 /nfs/dbraw/zinc/67/84/73/1073678473.db2.gz YHUJEOKYPDMVNL-BIXPNRAVSA-N 0 0 432.572 -0.532 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)CC1 ZINC001286871645 1073678421 /nfs/dbraw/zinc/67/84/21/1073678421.db2.gz YHUJEOKYPDMVNL-JWNZJDHWSA-N 0 0 432.572 -0.532 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)CC1 ZINC001286871646 1073678451 /nfs/dbraw/zinc/67/84/51/1073678451.db2.gz YHUJEOKYPDMVNL-KHXSAUHESA-N 0 0 432.572 -0.532 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)CC1 ZINC001286871647 1073678316 /nfs/dbraw/zinc/67/83/16/1073678316.db2.gz YHUJEOKYPDMVNL-MUDIAHQHSA-N 0 0 432.572 -0.532 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)[C@@H](C)C1 ZINC001286871788 1073678413 /nfs/dbraw/zinc/67/84/13/1073678413.db2.gz ZLSGOPNRRXIFER-KBPBESRZSA-N 0 0 444.558 -0.513 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)[C@H](C)C1 ZINC001286871790 1073678383 /nfs/dbraw/zinc/67/83/83/1073678383.db2.gz ZLSGOPNRRXIFER-KGLIPLIRSA-N 0 0 444.558 -0.513 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)[C@@H](C)C1 ZINC001286871792 1073678362 /nfs/dbraw/zinc/67/83/62/1073678362.db2.gz ZLSGOPNRRXIFER-UONOGXRCSA-N 0 0 444.558 -0.513 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)[C@H](C)C1 ZINC001286871794 1073678471 /nfs/dbraw/zinc/67/84/71/1073678471.db2.gz ZLSGOPNRRXIFER-ZIAGYGMSSA-N 0 0 444.558 -0.513 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3noc4c3COCC4)n2CCNS(C)(=O)=O)CC1 ZINC001286876086 1073678388 /nfs/dbraw/zinc/67/83/88/1073678388.db2.gz BWQXQAQUHXZETE-UHFFFAOYSA-N 0 0 439.498 -0.777 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3ccc4c(c3)OCO4)n2CCNS(C)(=O)=O)CC1 ZINC001286876127 1073678466 /nfs/dbraw/zinc/67/84/66/1073678466.db2.gz KRVPVJZECLRZQF-UHFFFAOYSA-N 0 0 436.494 -0.109 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnccn3)n2CC2(O)CCS(=O)(=O)CC2)[C@@H](C)C1 ZINC001286876379 1073678398 /nfs/dbraw/zinc/67/83/98/1073678398.db2.gz KANFEFMZJMLRFJ-AWEZNQCLSA-N 0 0 449.537 -0.268 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnccn3)n2CC2(O)CCS(=O)(=O)CC2)[C@H](C)C1 ZINC001286876393 1073678330 /nfs/dbraw/zinc/67/83/30/1073678330.db2.gz KANFEFMZJMLRFJ-CQSZACIVSA-N 0 0 449.537 -0.268 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnccn3)n2CCC[C@@H]2NC(=O)NC2=O)[C@@H](C)C1 ZINC001286878374 1073678445 /nfs/dbraw/zinc/67/84/45/1073678445.db2.gz PNKDEMHWRKNKBY-JSGCOSHPSA-N 0 0 427.469 -0.220 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnccn3)n2CCC[C@@H]2NC(=O)NC2=O)[C@H](C)C1 ZINC001286878383 1073678453 /nfs/dbraw/zinc/67/84/53/1073678453.db2.gz PNKDEMHWRKNKBY-OCCSQVGLSA-N 0 0 427.469 -0.220 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001286878649 1073678405 /nfs/dbraw/zinc/67/84/05/1073678405.db2.gz SLTDMBHLAAGVGT-AWEZNQCLSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001286878650 1073678428 /nfs/dbraw/zinc/67/84/28/1073678428.db2.gz SLTDMBHLAAGVGT-CQSZACIVSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)CC1 ZINC001286878979 1073678342 /nfs/dbraw/zinc/67/83/42/1073678342.db2.gz AOFQNYULZNAVEF-HNNXBMFYSA-N 0 0 427.527 -0.610 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)CC1 ZINC001286878980 1073678374 /nfs/dbraw/zinc/67/83/74/1073678374.db2.gz AOFQNYULZNAVEF-OAHLLOKOSA-N 0 0 427.527 -0.610 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)[C@H](C)C1 ZINC001286879174 1073679070 /nfs/dbraw/zinc/67/90/70/1073679070.db2.gz ATSFSLATRSDILK-GDBMZVCRSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)[C@@H](C)C1 ZINC001286879175 1073679066 /nfs/dbraw/zinc/67/90/66/1073679066.db2.gz ATSFSLATRSDILK-GOEBONIOSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)[C@@H](C)C1 ZINC001286879176 1073679075 /nfs/dbraw/zinc/67/90/75/1073679075.db2.gz ATSFSLATRSDILK-HOCLYGCPSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)[C@H](C)C1 ZINC001286879177 1073679010 /nfs/dbraw/zinc/67/90/10/1073679010.db2.gz ATSFSLATRSDILK-ZBFHGGJFSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)[C@H](C)C1 ZINC001286879916 1073679017 /nfs/dbraw/zinc/67/90/17/1073679017.db2.gz LBOFGFDQLYKQHM-GDBMZVCRSA-N 0 0 436.542 -0.081 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)[C@@H](C)C1 ZINC001286879917 1073678963 /nfs/dbraw/zinc/67/89/63/1073678963.db2.gz LBOFGFDQLYKQHM-GOEBONIOSA-N 0 0 436.542 -0.081 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)[C@@H](C)C1 ZINC001286879918 1073678994 /nfs/dbraw/zinc/67/89/94/1073678994.db2.gz LBOFGFDQLYKQHM-HOCLYGCPSA-N 0 0 436.542 -0.081 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)[C@H](C)C1 ZINC001286879919 1073679055 /nfs/dbraw/zinc/67/90/55/1073679055.db2.gz LBOFGFDQLYKQHM-ZBFHGGJFSA-N 0 0 436.542 -0.081 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCOC2=O)CC1 ZINC001286880124 1073678933 /nfs/dbraw/zinc/67/89/33/1073678933.db2.gz NAGLLCFINZKVAQ-HNNXBMFYSA-N 0 0 440.526 -0.309 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCOC2=O)CC1 ZINC001286880126 1073678956 /nfs/dbraw/zinc/67/89/56/1073678956.db2.gz NAGLLCFINZKVAQ-OAHLLOKOSA-N 0 0 440.526 -0.309 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(C)(C)O)CC1 ZINC001286880426 1073678984 /nfs/dbraw/zinc/67/89/84/1073678984.db2.gz OTUBMZIZVFZWIK-HNNXBMFYSA-N 0 0 444.558 -0.560 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(C)(C)O)CC1 ZINC001286880427 1073679002 /nfs/dbraw/zinc/67/90/02/1073679002.db2.gz OTUBMZIZVFZWIK-OAHLLOKOSA-N 0 0 444.558 -0.560 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(C)COC2)CC1 ZINC001286880546 1073679079 /nfs/dbraw/zinc/67/90/79/1073679079.db2.gz PDLDPALILIIPJU-HNNXBMFYSA-N 0 0 442.542 -0.684 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(C)COC2)CC1 ZINC001286880547 1073679096 /nfs/dbraw/zinc/67/90/96/1073679096.db2.gz PDLDPALILIIPJU-OAHLLOKOSA-N 0 0 442.542 -0.684 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccncc3)n2CCN2CCCS2(=O)=O)CC1 ZINC001286883401 1073679026 /nfs/dbraw/zinc/67/90/26/1073679026.db2.gz JGWXDOFDDQZTIW-UHFFFAOYSA-N 0 0 433.538 -0.032 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)(F)F)CC1 ZINC001286883947 1073678968 /nfs/dbraw/zinc/67/89/68/1073678968.db2.gz AFTYMJDVJJYDCK-UHFFFAOYSA-N 0 0 431.419 -0.253 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3cccnc3)n2CCOCCS(C)(=O)=O)CC1 ZINC001286884004 1073679043 /nfs/dbraw/zinc/67/90/43/1073679043.db2.gz OMEHPFFWTNZUQE-UHFFFAOYSA-N 0 0 436.538 -0.006 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)[C@@H](C)C1 ZINC001286884005 1073679036 /nfs/dbraw/zinc/67/90/36/1073679036.db2.gz AVYXYQFTMQKVQB-HNNXBMFYSA-N 0 0 433.513 -0.684 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)[C@H](C)C1 ZINC001286884007 1073679051 /nfs/dbraw/zinc/67/90/51/1073679051.db2.gz AVYXYQFTMQKVQB-OAHLLOKOSA-N 0 0 433.513 -0.684 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)[C@@H](C)C1 ZINC001286885167 1073679087 /nfs/dbraw/zinc/67/90/87/1073679087.db2.gz HSUGDJSARLFAAK-AWEZNQCLSA-N 0 0 441.558 -0.603 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)[C@H](C)C1 ZINC001286885168 1073679540 /nfs/dbraw/zinc/67/95/40/1073679540.db2.gz HSUGDJSARLFAAK-CQSZACIVSA-N 0 0 441.558 -0.603 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286885491 1073679526 /nfs/dbraw/zinc/67/95/26/1073679526.db2.gz XKXCZQMPWVFXHW-IBGZPJMESA-N 0 0 434.522 -0.518 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccccn3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286885492 1073679396 /nfs/dbraw/zinc/67/93/96/1073679396.db2.gz XKXCZQMPWVFXHW-LJQANCHMSA-N 0 0 434.522 -0.518 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001286885585 1073679655 /nfs/dbraw/zinc/67/96/55/1073679655.db2.gz LOPCVVNECCGTSP-UHFFFAOYSA-N 0 0 441.558 -0.603 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)[C@@H](C)C1 ZINC001286885961 1073679575 /nfs/dbraw/zinc/67/95/75/1073679575.db2.gz UISVZMUVPGDIAK-INIZCTEOSA-N 0 0 447.540 -0.294 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)[C@H](C)C1 ZINC001286885962 1073679477 /nfs/dbraw/zinc/67/94/77/1073679477.db2.gz UISVZMUVPGDIAK-MRXNPFEDSA-N 0 0 447.540 -0.294 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc(C)n3CCOCCS(C)(=O)=O)C[C@H]2C)CC1 ZINC001286886245 1073679680 /nfs/dbraw/zinc/67/96/80/1073679680.db2.gz BKKNPZQAVFACAQ-CRAIPNDOSA-N 0 0 442.586 -0.363 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc(C)n3CCOCCS(C)(=O)=O)C[C@@H]2C)CC1 ZINC001286886246 1073679617 /nfs/dbraw/zinc/67/96/17/1073679617.db2.gz BKKNPZQAVFACAQ-MAUKXSAKSA-N 0 0 442.586 -0.363 20 0 IBADRN CC(=O)N1CCN([C@H]2CN(c3nnc(C)n3CCOCCS(C)(=O)=O)C[C@H]2C)CC1 ZINC001286886247 1073679428 /nfs/dbraw/zinc/67/94/28/1073679428.db2.gz BKKNPZQAVFACAQ-QAPCUYQASA-N 0 0 442.586 -0.363 20 0 IBADRN CC(=O)N1CCN([C@H]2CN(c3nnc(C)n3CCOCCS(C)(=O)=O)C[C@@H]2C)CC1 ZINC001286886248 1073679406 /nfs/dbraw/zinc/67/94/06/1073679406.db2.gz BKKNPZQAVFACAQ-YJBOKZPZSA-N 0 0 442.586 -0.363 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001286886316 1073679517 /nfs/dbraw/zinc/67/95/17/1073679517.db2.gz YUGXLPBKGZADOE-UHFFFAOYSA-N 0 0 429.485 -0.778 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CCO[C@@]3(CCOC3)C2)CC1 ZINC001286888558 1073679505 /nfs/dbraw/zinc/67/95/05/1073679505.db2.gz CENUBVGOECISFJ-NRFANRHFSA-N 0 0 449.556 -0.424 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CCO[C@]3(CCOC3)C2)CC1 ZINC001286888559 1073679566 /nfs/dbraw/zinc/67/95/66/1073679566.db2.gz CENUBVGOECISFJ-OAQYLSRUSA-N 0 0 449.556 -0.424 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CC(=O)N(C)C[C@@H]2C)CC1 ZINC001286888810 1073679673 /nfs/dbraw/zinc/67/96/73/1073679673.db2.gz GKMZGBNHSBMREW-HNNXBMFYSA-N 0 0 434.545 -0.753 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CC(=O)N(C)C[C@H]2C)CC1 ZINC001286888811 1073679601 /nfs/dbraw/zinc/67/96/01/1073679601.db2.gz GKMZGBNHSBMREW-OAHLLOKOSA-N 0 0 434.545 -0.753 20 0 IBADRN CC(=O)N1CCN(CCn2c(C)nnc2N2CCC(CNS(C)(=O)=O)CC2)CC1 ZINC001286889774 1073679630 /nfs/dbraw/zinc/67/96/30/1073679630.db2.gz LGRGBCDEWIGTQH-UHFFFAOYSA-N 0 0 427.575 -0.484 20 0 IBADRN CC(=O)N1CCN(CCn2c(CN3CCCC3=O)nnc2N2CCC(C(N)=O)CC2)CC1 ZINC001286889895 1073679444 /nfs/dbraw/zinc/67/94/44/1073679444.db2.gz MQSBQKOWTKZKSE-UHFFFAOYSA-N 0 0 446.556 -0.734 20 0 IBADRN CC(=O)N1CCN(CCn2c(Cc3ccccn3)nnc2N2CC[C@H](C(N)=O)C2)CC1 ZINC001286889999 1073679553 /nfs/dbraw/zinc/67/95/53/1073679553.db2.gz MBVLLCKOALGOEO-KRWDZBQOSA-N 0 0 426.525 -0.260 20 0 IBADRN CC(=O)N1CCN(CCn2c(Cc3ccccn3)nnc2N2CC[C@@H](C(N)=O)C2)CC1 ZINC001286890000 1073679584 /nfs/dbraw/zinc/67/95/84/1073679584.db2.gz MBVLLCKOALGOEO-QGZVFWFLSA-N 0 0 426.525 -0.260 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCOC3)nnc2N2CCC(OCC(N)=O)CC2)CC1 ZINC001286890018 1073679663 /nfs/dbraw/zinc/67/96/63/1073679663.db2.gz NDWAEMXAUWGVEC-KRWDZBQOSA-N 0 0 449.556 -0.583 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCOC3)nnc2N2CCC(OCC(N)=O)CC2)CC1 ZINC001286890019 1073680279 /nfs/dbraw/zinc/68/02/79/1073680279.db2.gz NDWAEMXAUWGVEC-QGZVFWFLSA-N 0 0 449.556 -0.583 20 0 IBADRN CC(=O)N1CCN(CCn2c(CC3CC3)nnc2N2CCN(S(C)(=O)=O)CC2)CC1 ZINC001286890417 1073680043 /nfs/dbraw/zinc/68/00/43/1073680043.db2.gz PXVIVEGUIOPZGB-UHFFFAOYSA-N 0 0 439.586 -0.524 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N(C)CC(=O)NC(C)C)CC1 ZINC001286890647 1073680250 /nfs/dbraw/zinc/68/02/50/1073680250.db2.gz BNUCNZQVRKAWDO-UHFFFAOYSA-N 0 0 431.545 -0.197 20 0 IBADRN CC(=O)N1CCN(CCn2c(Cn3nc(C)cc3C)nnc2N2CCNC(=O)C2)CC1 ZINC001286890649 1073680060 /nfs/dbraw/zinc/68/00/60/1073680060.db2.gz UUBHSKVSMBGWFV-UHFFFAOYSA-N 0 0 429.529 -0.760 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCCO3)nnc2N(C)CCNS(C)(=O)=O)CC1 ZINC001286891024 1073680146 /nfs/dbraw/zinc/68/01/46/1073680146.db2.gz YIFGZUFKVRDSRF-MRXNPFEDSA-N 0 0 443.574 -0.721 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N2CC(=O)N(C)[C@@H](C)C2)CC1 ZINC001286891609 1073680235 /nfs/dbraw/zinc/68/02/35/1073680235.db2.gz LGIMGDCZFUSKQS-HNNXBMFYSA-N 0 0 427.513 -0.434 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N2CC(=O)N(C)[C@H](C)C2)CC1 ZINC001286891610 1073680290 /nfs/dbraw/zinc/68/02/90/1073680290.db2.gz LGIMGDCZFUSKQS-OAHLLOKOSA-N 0 0 427.513 -0.434 20 0 IBADRN CC(=O)N1CCN(CCn2c(C3CC3)nnc2N2CCC(NS(C)(=O)=O)CC2)CC1 ZINC001286891717 1073680081 /nfs/dbraw/zinc/68/00/81/1073680081.db2.gz ODQXUGIHKJGYEL-UHFFFAOYSA-N 0 0 439.586 -0.162 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N2CCC[C@H](C(N)=O)C2)CC1 ZINC001286891826 1073680226 /nfs/dbraw/zinc/68/02/26/1073680226.db2.gz OLALAJHWAGFNOB-INIZCTEOSA-N 0 0 427.513 -0.399 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(C)=O)CC2)CC1 ZINC001286891848 1073680261 /nfs/dbraw/zinc/68/02/61/1073680261.db2.gz PEDAYAZSHRTDOA-KRWDZBQOSA-N 0 0 432.529 -0.938 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(C)=O)CC2)CC1 ZINC001286891849 1073680160 /nfs/dbraw/zinc/68/01/60/1073680160.db2.gz PEDAYAZSHRTDOA-QGZVFWFLSA-N 0 0 432.529 -0.938 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N2CCC[C@@H](CC(N)=O)C2)CC1 ZINC001286891850 1073680199 /nfs/dbraw/zinc/68/01/99/1073680199.db2.gz PHEJWIFIYRFZBW-KRWDZBQOSA-N 0 0 443.556 -0.066 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N2CCC[C@H](CC(N)=O)C2)CC1 ZINC001286891851 1073680211 /nfs/dbraw/zinc/68/02/11/1073680211.db2.gz PHEJWIFIYRFZBW-QGZVFWFLSA-N 0 0 443.556 -0.066 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCC[C@@H](C(N)=O)C2)CC1 ZINC001286891894 1073680131 /nfs/dbraw/zinc/68/01/31/1073680131.db2.gz QCTWIVIIVRXBJZ-CVEARBPZSA-N 0 0 432.529 -0.905 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCC[C@H](C(N)=O)C2)CC1 ZINC001286891896 1073680097 /nfs/dbraw/zinc/68/00/97/1073680097.db2.gz QCTWIVIIVRXBJZ-HOTGVXAUSA-N 0 0 432.529 -0.905 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCC[C@@H](C(N)=O)C2)CC1 ZINC001286891898 1073680172 /nfs/dbraw/zinc/68/01/72/1073680172.db2.gz QCTWIVIIVRXBJZ-HZPDHXFCSA-N 0 0 432.529 -0.905 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCC[C@H](C(N)=O)C2)CC1 ZINC001286891899 1073680270 /nfs/dbraw/zinc/68/02/70/1073680270.db2.gz QCTWIVIIVRXBJZ-JKSUJKDBSA-N 0 0 432.529 -0.905 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cccn3C)nnc2N(C)CCS(C)(=O)=O)CC1 ZINC001286891930 1073680023 /nfs/dbraw/zinc/68/00/23/1073680023.db2.gz QPPIALZNIPXFKO-UHFFFAOYSA-N 0 0 437.570 -0.072 20 0 IBADRN CC(=O)N1CCN(CCn2c(C3CC3)nnc2N2CCCN(S(C)(=O)=O)CC2)CC1 ZINC001286892508 1073680180 /nfs/dbraw/zinc/68/01/80/1073680180.db2.gz WNGWAKGMGVTAPM-UHFFFAOYSA-N 0 0 439.586 -0.209 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N2CCN(C(C)=O)C[C@@H]2C)CC1 ZINC001286892530 1073680113 /nfs/dbraw/zinc/68/01/13/1073680113.db2.gz WPRKUWJKQZKQGW-INIZCTEOSA-N 0 0 441.540 -0.044 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N2CCN(C(C)=O)C[C@H]2C)CC1 ZINC001286892533 1073680914 /nfs/dbraw/zinc/68/09/14/1073680914.db2.gz WPRKUWJKQZKQGW-MRXNPFEDSA-N 0 0 441.540 -0.044 20 0 IBADRN CC(=O)Nc1ccc(Cn2c(C)nnc2N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC001286893753 1073680898 /nfs/dbraw/zinc/68/08/98/1073680898.db2.gz GOCFVIBFJLRZDY-UHFFFAOYSA-N 0 0 442.524 -0.031 20 0 IBADRN CC(=O)NC1CCC(N(C)c2nnc(C(=O)N3CCCC3)n2Cc2nnn(C)n2)CC1 ZINC001286896355 1073680840 /nfs/dbraw/zinc/68/08/40/1073680840.db2.gz BJSBBMIACYDBIM-UHFFFAOYSA-N 0 0 430.517 -0.031 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCn2ccnn2)C1 ZINC001286906592 1073680865 /nfs/dbraw/zinc/68/08/65/1073680865.db2.gz FJKMDJDROLCISQ-DZGCQCFKSA-N 0 0 439.546 -0.325 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCn2ccnn2)C1 ZINC001286906593 1073680960 /nfs/dbraw/zinc/68/09/60/1073680960.db2.gz FJKMDJDROLCISQ-HIFRSBDPSA-N 0 0 439.546 -0.325 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCn2ccnn2)C1 ZINC001286906595 1073680932 /nfs/dbraw/zinc/68/09/32/1073680932.db2.gz FJKMDJDROLCISQ-UKRRQHHQSA-N 0 0 439.546 -0.325 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCn2ccnn2)C1 ZINC001286906596 1073680979 /nfs/dbraw/zinc/68/09/79/1073680979.db2.gz FJKMDJDROLCISQ-ZFWWWQNUSA-N 0 0 439.546 -0.325 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)C1 ZINC001286906624 1073680854 /nfs/dbraw/zinc/68/08/54/1073680854.db2.gz FRHAEYCIIPXSKO-DXCKQFNASA-N 0 0 430.531 -0.855 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)C1 ZINC001286906625 1073680947 /nfs/dbraw/zinc/68/09/47/1073680947.db2.gz FRHAEYCIIPXSKO-MRRJBJDNSA-N 0 0 430.531 -0.855 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)C1 ZINC001286906626 1073680906 /nfs/dbraw/zinc/68/09/06/1073680906.db2.gz FRHAEYCIIPXSKO-QEORTHHSSA-N 0 0 430.531 -0.855 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)C1 ZINC001286906627 1073680928 /nfs/dbraw/zinc/68/09/28/1073680928.db2.gz FRHAEYCIIPXSKO-SUYBPPKGSA-N 0 0 430.531 -0.855 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCOCCS(C)(=O)=O)C1 ZINC001286907769 1073680875 /nfs/dbraw/zinc/68/08/75/1073680875.db2.gz DMDTURZMOJGCFF-INIZCTEOSA-N 0 0 439.542 -0.155 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCOCCS(C)(=O)=O)C1 ZINC001286907770 1073680798 /nfs/dbraw/zinc/68/07/98/1073680798.db2.gz DMDTURZMOJGCFF-MRXNPFEDSA-N 0 0 439.542 -0.155 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)C1 ZINC001286908075 1073680781 /nfs/dbraw/zinc/68/07/81/1073680781.db2.gz NYRUWUHUHJIRKD-DZGCQCFKSA-N 0 0 443.574 -0.138 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)C1 ZINC001286908078 1073680952 /nfs/dbraw/zinc/68/09/52/1073680952.db2.gz NYRUWUHUHJIRKD-HIFRSBDPSA-N 0 0 443.574 -0.138 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)C1 ZINC001286908406 1073680881 /nfs/dbraw/zinc/68/08/81/1073680881.db2.gz NYRUWUHUHJIRKD-UKRRQHHQSA-N 0 0 443.574 -0.138 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)C1 ZINC001286908407 1073680940 /nfs/dbraw/zinc/68/09/40/1073680940.db2.gz NYRUWUHUHJIRKD-ZFWWWQNUSA-N 0 0 443.574 -0.138 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)C1 ZINC001286908892 1073680972 /nfs/dbraw/zinc/68/09/72/1073680972.db2.gz FJHBGMXSJJHUAJ-CABCVRRESA-N 0 0 441.558 -0.338 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)C1 ZINC001286908893 1073680827 /nfs/dbraw/zinc/68/08/27/1073680827.db2.gz FJHBGMXSJJHUAJ-GJZGRUSLSA-N 0 0 441.558 -0.338 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)C1 ZINC001286908894 1073680889 /nfs/dbraw/zinc/68/08/89/1073680889.db2.gz FJHBGMXSJJHUAJ-HUUCEWRRSA-N 0 0 441.558 -0.338 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)C1 ZINC001286908895 1073681442 /nfs/dbraw/zinc/68/14/42/1073681442.db2.gz FJHBGMXSJJHUAJ-LSDHHAIUSA-N 0 0 441.558 -0.338 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001286909420 1073681390 /nfs/dbraw/zinc/68/13/90/1073681390.db2.gz SXVXABZDDJXYJQ-GXTWGEPZSA-N 0 0 425.519 -0.715 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001286909421 1073681547 /nfs/dbraw/zinc/68/15/47/1073681547.db2.gz SXVXABZDDJXYJQ-JSGCOSHPSA-N 0 0 425.519 -0.715 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001286909422 1073681401 /nfs/dbraw/zinc/68/14/01/1073681401.db2.gz SXVXABZDDJXYJQ-OCCSQVGLSA-N 0 0 425.519 -0.715 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001286909423 1073681502 /nfs/dbraw/zinc/68/15/02/1073681502.db2.gz SXVXABZDDJXYJQ-TZMCWYRMSA-N 0 0 425.519 -0.715 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)C1 ZINC001286909484 1073681522 /nfs/dbraw/zinc/68/15/22/1073681522.db2.gz UNMRJHIIQULWSL-DOMZBBRYSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)C1 ZINC001286909485 1073681573 /nfs/dbraw/zinc/68/15/73/1073681573.db2.gz UNMRJHIIQULWSL-IUODEOHRSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)C1 ZINC001286909486 1073681555 /nfs/dbraw/zinc/68/15/55/1073681555.db2.gz UNMRJHIIQULWSL-SWLSCSKDSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)C1 ZINC001286909487 1073681475 /nfs/dbraw/zinc/68/14/75/1073681475.db2.gz UNMRJHIIQULWSL-WFASDCNBSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001286909686 1073681566 /nfs/dbraw/zinc/68/15/66/1073681566.db2.gz KDOICXRDQMUHGN-KBPBESRZSA-N 0 0 427.531 -0.727 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001286909691 1073681562 /nfs/dbraw/zinc/68/15/62/1073681562.db2.gz KDOICXRDQMUHGN-KGLIPLIRSA-N 0 0 427.531 -0.727 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001286909693 1073681407 /nfs/dbraw/zinc/68/14/07/1073681407.db2.gz KDOICXRDQMUHGN-UONOGXRCSA-N 0 0 427.531 -0.727 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001286909694 1073681513 /nfs/dbraw/zinc/68/15/13/1073681513.db2.gz KDOICXRDQMUHGN-ZIAGYGMSSA-N 0 0 427.531 -0.727 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001286911418 1073681466 /nfs/dbraw/zinc/68/14/66/1073681466.db2.gz RAOFUHNTOOUFBV-CVEARBPZSA-N 0 0 426.543 -0.078 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001286911419 1073681531 /nfs/dbraw/zinc/68/15/31/1073681531.db2.gz RAOFUHNTOOUFBV-HOTGVXAUSA-N 0 0 426.543 -0.078 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001286911420 1073681419 /nfs/dbraw/zinc/68/14/19/1073681419.db2.gz RAOFUHNTOOUFBV-HZPDHXFCSA-N 0 0 426.543 -0.078 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001286911421 1073681539 /nfs/dbraw/zinc/68/15/39/1073681539.db2.gz RAOFUHNTOOUFBV-JKSUJKDBSA-N 0 0 426.543 -0.078 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nncn2C)CC1 ZINC001286914504 1073681451 /nfs/dbraw/zinc/68/14/51/1073681451.db2.gz DVCMFYXLLDISCT-LLVKDONJSA-N 0 0 425.519 -0.830 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nncn2C)CC1 ZINC001286914505 1073681482 /nfs/dbraw/zinc/68/14/82/1073681482.db2.gz DVCMFYXLLDISCT-NSHDSACASA-N 0 0 425.519 -0.830 20 0 IBADRN CC(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001286915777 1073681492 /nfs/dbraw/zinc/68/14/92/1073681492.db2.gz VTKNVUYYLAMLTK-AWEZNQCLSA-N 0 0 426.543 -0.044 20 0 IBADRN CC(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001286915778 1073681986 /nfs/dbraw/zinc/68/19/86/1073681986.db2.gz VTKNVUYYLAMLTK-CQSZACIVSA-N 0 0 426.543 -0.044 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)C1 ZINC001286915961 1073682017 /nfs/dbraw/zinc/68/20/17/1073682017.db2.gz WSUKBVXDRUZBMB-CVEARBPZSA-N 0 0 436.542 -0.033 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)C1 ZINC001286915962 1073681960 /nfs/dbraw/zinc/68/19/60/1073681960.db2.gz WSUKBVXDRUZBMB-HOTGVXAUSA-N 0 0 436.542 -0.033 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)C1 ZINC001286915963 1073682011 /nfs/dbraw/zinc/68/20/11/1073682011.db2.gz WSUKBVXDRUZBMB-HZPDHXFCSA-N 0 0 436.542 -0.033 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)C1 ZINC001286915964 1073681993 /nfs/dbraw/zinc/68/19/93/1073681993.db2.gz WSUKBVXDRUZBMB-JKSUJKDBSA-N 0 0 436.542 -0.033 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)CC1 ZINC001286917241 1073682001 /nfs/dbraw/zinc/68/20/01/1073682001.db2.gz HTQUBDDLZCJWAE-HNNXBMFYSA-N 0 0 438.554 -0.189 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)CC1 ZINC001286917242 1073681961 /nfs/dbraw/zinc/68/19/61/1073681961.db2.gz HTQUBDDLZCJWAE-OAHLLOKOSA-N 0 0 438.554 -0.189 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001286917343 1073681975 /nfs/dbraw/zinc/68/19/75/1073681975.db2.gz JRTQBENZASJEMT-BHYGNILZSA-N 0 0 441.554 -0.175 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001286917344 1073682022 /nfs/dbraw/zinc/68/20/22/1073682022.db2.gz JRTQBENZASJEMT-HYVNUMGLSA-N 0 0 441.554 -0.175 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001286917388 1073681979 /nfs/dbraw/zinc/68/19/79/1073681979.db2.gz KPDMJVIZQMSXGX-GDBMZVCRSA-N 0 0 427.527 -0.300 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001286917389 1073682007 /nfs/dbraw/zinc/68/20/07/1073682007.db2.gz KPDMJVIZQMSXGX-GOEBONIOSA-N 0 0 427.527 -0.300 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001286917390 1073681990 /nfs/dbraw/zinc/68/19/90/1073681990.db2.gz KPDMJVIZQMSXGX-HOCLYGCPSA-N 0 0 427.527 -0.300 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001286917391 1073681982 /nfs/dbraw/zinc/68/19/82/1073681982.db2.gz KPDMJVIZQMSXGX-ZBFHGGJFSA-N 0 0 427.527 -0.300 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCOC3)n2CCOCCS(C)(=O)=O)CC1 ZINC001286917407 1073681973 /nfs/dbraw/zinc/68/19/73/1073681973.db2.gz LAKXFUPBXQJHTQ-HNNXBMFYSA-N 0 0 429.543 -0.052 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCOC3)n2CCOCCS(C)(=O)=O)CC1 ZINC001286917408 1073681953 /nfs/dbraw/zinc/68/19/53/1073681953.db2.gz LAKXFUPBXQJHTQ-OAHLLOKOSA-N 0 0 429.543 -0.052 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)CC1 ZINC001286917452 1073681996 /nfs/dbraw/zinc/68/19/96/1073681996.db2.gz MITVCBKUQQFLNA-HNNXBMFYSA-N 0 0 441.554 -0.173 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)CC1 ZINC001286917453 1073681969 /nfs/dbraw/zinc/68/19/69/1073681969.db2.gz MITVCBKUQQFLNA-OAHLLOKOSA-N 0 0 441.554 -0.173 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)CC1 ZINC001286917625 1073681957 /nfs/dbraw/zinc/68/19/57/1073681957.db2.gz QMGVHQZCJRIYNQ-HNNXBMFYSA-N 0 0 442.542 -0.605 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)CC1 ZINC001286917626 1073681967 /nfs/dbraw/zinc/68/19/67/1073681967.db2.gz QMGVHQZCJRIYNQ-OAHLLOKOSA-N 0 0 442.542 -0.605 20 0 IBADRN CC(=O)NC1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286918834 1073682027 /nfs/dbraw/zinc/68/20/27/1073682027.db2.gz AKRFWXMSDIGABQ-GOSISDBHSA-N 0 0 437.526 -0.667 20 0 IBADRN CC(=O)NC1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286918836 1073682588 /nfs/dbraw/zinc/68/25/88/1073682588.db2.gz AKRFWXMSDIGABQ-SFHVURJKSA-N 0 0 437.526 -0.667 20 0 IBADRN CC(=O)NC1CCN(c2nnc(-c3ccccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286919127 1073682456 /nfs/dbraw/zinc/68/24/56/1073682456.db2.gz GDJJXSWEXAEMQU-IBGZPJMESA-N 0 0 434.522 -0.005 20 0 IBADRN CC(=O)NC1CCN(c2nnc(-c3ccccn3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286919128 1073682592 /nfs/dbraw/zinc/68/25/92/1073682592.db2.gz GDJJXSWEXAEMQU-LJQANCHMSA-N 0 0 434.522 -0.005 20 0 IBADRN CC(=O)NC1CCN(c2nnc(-c3cnccn3)n2CCOCCS(C)(=O)=O)CC1 ZINC001286919254 1073682552 /nfs/dbraw/zinc/68/25/52/1073682552.db2.gz IVBJBYINCHPQTI-UHFFFAOYSA-N 0 0 437.526 -0.099 20 0 IBADRN CC(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001286919346 1073682580 /nfs/dbraw/zinc/68/25/80/1073682580.db2.gz GGVOLYWVQOCKAX-HNNXBMFYSA-N 0 0 438.554 -0.060 20 0 IBADRN CC(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001286919348 1073682479 /nfs/dbraw/zinc/68/24/79/1073682479.db2.gz GGVOLYWVQOCKAX-OAHLLOKOSA-N 0 0 438.554 -0.060 20 0 IBADRN CC(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2CCCn2cc(CO)nn2)CC1 ZINC001286919582 1073682488 /nfs/dbraw/zinc/68/24/88/1073682488.db2.gz IFISTHXGSFBHCE-UHFFFAOYSA-N 0 0 445.528 -0.321 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)C1 ZINC001286920699 1073682515 /nfs/dbraw/zinc/68/25/15/1073682515.db2.gz BIIADOMDMYQFEM-GXTWGEPZSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)C1 ZINC001286920700 1073682570 /nfs/dbraw/zinc/68/25/70/1073682570.db2.gz BIIADOMDMYQFEM-JSGCOSHPSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)C1 ZINC001286920701 1073682535 /nfs/dbraw/zinc/68/25/35/1073682535.db2.gz BIIADOMDMYQFEM-OCCSQVGLSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)C1 ZINC001286920702 1073682507 /nfs/dbraw/zinc/68/25/07/1073682507.db2.gz BIIADOMDMYQFEM-TZMCWYRMSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)C1 ZINC001286922317 1073682521 /nfs/dbraw/zinc/68/25/21/1073682521.db2.gz SKZWUOMFWBKOLL-DZGCQCFKSA-N 0 0 443.574 -0.281 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)C1 ZINC001286922318 1073682583 /nfs/dbraw/zinc/68/25/83/1073682583.db2.gz SKZWUOMFWBKOLL-HIFRSBDPSA-N 0 0 443.574 -0.281 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)C1 ZINC001286922319 1073682576 /nfs/dbraw/zinc/68/25/76/1073682576.db2.gz SKZWUOMFWBKOLL-UKRRQHHQSA-N 0 0 443.574 -0.281 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)C1 ZINC001286922320 1073682497 /nfs/dbraw/zinc/68/24/97/1073682497.db2.gz SKZWUOMFWBKOLL-ZFWWWQNUSA-N 0 0 443.574 -0.281 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CC1 ZINC001286932530 1073682541 /nfs/dbraw/zinc/68/25/41/1073682541.db2.gz YPRJYIGCAVFXAN-ACJLOTCBSA-N 0 0 444.558 -0.608 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)CC1 ZINC001286932531 1073682555 /nfs/dbraw/zinc/68/25/55/1073682555.db2.gz YPRJYIGCAVFXAN-FZKQIMNGSA-N 0 0 444.558 -0.608 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)CC1 ZINC001286932532 1073682559 /nfs/dbraw/zinc/68/25/59/1073682559.db2.gz YPRJYIGCAVFXAN-SCLBCKFNSA-N 0 0 444.558 -0.608 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CC1 ZINC001286932533 1073682564 /nfs/dbraw/zinc/68/25/64/1073682564.db2.gz YPRJYIGCAVFXAN-UGSOOPFHSA-N 0 0 444.558 -0.608 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCCO)CC1 ZINC001286935090 1073682527 /nfs/dbraw/zinc/68/25/27/1073682527.db2.gz MPLKOSIYYUAYGP-KRWDZBQOSA-N 0 0 443.570 -0.068 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCCO)CC1 ZINC001286935095 1073683024 /nfs/dbraw/zinc/68/30/24/1073683024.db2.gz MPLKOSIYYUAYGP-QGZVFWFLSA-N 0 0 443.570 -0.068 20 0 IBADRN CC(=O)NCC1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)CC1 ZINC001286935236 1073683073 /nfs/dbraw/zinc/68/30/73/1073683073.db2.gz NTWUMKPZJLJLEK-UHFFFAOYSA-N 0 0 447.540 -0.388 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001286935653 1073682956 /nfs/dbraw/zinc/68/29/56/1073682956.db2.gz QRLJBRIHCZAEMG-HNNXBMFYSA-N 0 0 426.543 -0.379 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001286935655 1073683030 /nfs/dbraw/zinc/68/30/30/1073683030.db2.gz QRLJBRIHCZAEMG-OAHLLOKOSA-N 0 0 426.543 -0.379 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286935782 1073683004 /nfs/dbraw/zinc/68/30/04/1073683004.db2.gz SZOMUTKDGIXMJG-APWZRJJASA-N 0 0 441.554 -0.316 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286935783 1073683014 /nfs/dbraw/zinc/68/30/14/1073683014.db2.gz SZOMUTKDGIXMJG-LPHOPBHVSA-N 0 0 441.554 -0.316 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286935784 1073682986 /nfs/dbraw/zinc/68/29/86/1073682986.db2.gz SZOMUTKDGIXMJG-QFBILLFUSA-N 0 0 441.554 -0.316 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001286935813 1073683050 /nfs/dbraw/zinc/68/30/50/1073683050.db2.gz SZOMUTKDGIXMJG-VQIMIIECSA-N 0 0 441.554 -0.316 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccn(C)n2)CCO1 ZINC001286935985 1073683070 /nfs/dbraw/zinc/68/30/70/1073683070.db2.gz AKSILKGNUQCCBK-DOMZBBRYSA-N 0 0 440.530 -0.989 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccn(C)n2)CCO1 ZINC001286935991 1073683058 /nfs/dbraw/zinc/68/30/58/1073683058.db2.gz AKSILKGNUQCCBK-IUODEOHRSA-N 0 0 440.530 -0.989 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccn(C)n2)CCO1 ZINC001286935995 1073682996 /nfs/dbraw/zinc/68/29/96/1073682996.db2.gz AKSILKGNUQCCBK-SWLSCSKDSA-N 0 0 440.530 -0.989 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccn(C)n2)CCO1 ZINC001286935999 1073683010 /nfs/dbraw/zinc/68/30/10/1073683010.db2.gz AKSILKGNUQCCBK-WFASDCNBSA-N 0 0 440.530 -0.989 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CCO1 ZINC001286936462 1073683064 /nfs/dbraw/zinc/68/30/64/1073683064.db2.gz DDHNVJMUUFSWDJ-DZGCQCFKSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CCO1 ZINC001286936463 1073683047 /nfs/dbraw/zinc/68/30/47/1073683047.db2.gz DDHNVJMUUFSWDJ-HIFRSBDPSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CCO1 ZINC001286936464 1073682979 /nfs/dbraw/zinc/68/29/79/1073682979.db2.gz DDHNVJMUUFSWDJ-UKRRQHHQSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CCO1 ZINC001286936465 1073682990 /nfs/dbraw/zinc/68/29/90/1073682990.db2.gz DDHNVJMUUFSWDJ-ZFWWWQNUSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001286936696 1073683040 /nfs/dbraw/zinc/68/30/40/1073683040.db2.gz HZESJMRKAXDTDT-FOIQADDNSA-N 0 0 433.513 -0.666 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001286936697 1073683054 /nfs/dbraw/zinc/68/30/54/1073683054.db2.gz HZESJMRKAXDTDT-MGPUTAFESA-N 0 0 433.513 -0.666 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001286936698 1073683652 /nfs/dbraw/zinc/68/36/52/1073683652.db2.gz HZESJMRKAXDTDT-QRWLVFNGSA-N 0 0 433.513 -0.666 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001286936699 1073683626 /nfs/dbraw/zinc/68/36/26/1073683626.db2.gz HZESJMRKAXDTDT-YWZLYKJASA-N 0 0 433.513 -0.666 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(F)(F)F)CCO1 ZINC001286936858 1073682969 /nfs/dbraw/zinc/68/29/69/1073682969.db2.gz LGHPZTINQPWVLM-GXSJLCMTSA-N 0 0 428.437 -0.208 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(F)(F)F)CCO1 ZINC001286936859 1073683020 /nfs/dbraw/zinc/68/30/20/1073683020.db2.gz LGHPZTINQPWVLM-KOLCDFICSA-N 0 0 428.437 -0.208 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(F)(F)F)CCO1 ZINC001286936860 1073683581 /nfs/dbraw/zinc/68/35/81/1073683581.db2.gz LGHPZTINQPWVLM-MWLCHTKSSA-N 0 0 428.437 -0.208 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(F)(F)F)CCO1 ZINC001286936861 1073683570 /nfs/dbraw/zinc/68/35/70/1073683570.db2.gz LGHPZTINQPWVLM-ONGXEEELSA-N 0 0 428.437 -0.208 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CCO1 ZINC001286937193 1073683648 /nfs/dbraw/zinc/68/36/48/1073683648.db2.gz NUPJGDGLBZFKBI-GXTWGEPZSA-N 0 0 432.547 -0.609 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CCO1 ZINC001286937194 1073683532 /nfs/dbraw/zinc/68/35/32/1073683532.db2.gz NUPJGDGLBZFKBI-JSGCOSHPSA-N 0 0 432.547 -0.609 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CCO1 ZINC001286937195 1073683520 /nfs/dbraw/zinc/68/35/20/1073683520.db2.gz NUPJGDGLBZFKBI-OCCSQVGLSA-N 0 0 432.547 -0.609 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CCO1 ZINC001286937196 1073683576 /nfs/dbraw/zinc/68/35/76/1073683576.db2.gz NUPJGDGLBZFKBI-TZMCWYRMSA-N 0 0 432.547 -0.609 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCN2CCSCC2)CCO1 ZINC001286937464 1073683604 /nfs/dbraw/zinc/68/36/04/1073683604.db2.gz QPDRRKVAISOBSM-INIZCTEOSA-N 0 0 439.586 -0.194 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCN2CCSCC2)CCO1 ZINC001286937465 1073683596 /nfs/dbraw/zinc/68/35/96/1073683596.db2.gz QPDRRKVAISOBSM-MRXNPFEDSA-N 0 0 439.586 -0.194 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCC2(O)CCOCC2)CCO1 ZINC001286937823 1073683511 /nfs/dbraw/zinc/68/35/11/1073683511.db2.gz TYVFOZWZLYYCFU-INIZCTEOSA-N 0 0 438.529 -0.311 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCC2(O)CCOCC2)CCO1 ZINC001286937824 1073683590 /nfs/dbraw/zinc/68/35/90/1073683590.db2.gz TYVFOZWZLYYCFU-MRXNPFEDSA-N 0 0 438.529 -0.311 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(C)(C)C)n2CCN2CCCS2(=O)=O)CCO1 ZINC001286937876 1073683558 /nfs/dbraw/zinc/68/35/58/1073683558.db2.gz UYYAYRYBZGYACX-HNNXBMFYSA-N 0 0 428.559 -0.048 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(C)(C)C)n2CCN2CCCS2(=O)=O)CCO1 ZINC001286937877 1073683615 /nfs/dbraw/zinc/68/36/15/1073683615.db2.gz UYYAYRYBZGYACX-OAHLLOKOSA-N 0 0 428.559 -0.048 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2C[C@H]2COCCO2)CCO1 ZINC001286938060 1073683640 /nfs/dbraw/zinc/68/36/40/1073683640.db2.gz VXBDNHHBXCUCPX-CVEARBPZSA-N 0 0 445.480 -0.318 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2C[C@H]2COCCO2)CCO1 ZINC001286938061 1073683634 /nfs/dbraw/zinc/68/36/34/1073683634.db2.gz VXBDNHHBXCUCPX-HOTGVXAUSA-N 0 0 445.480 -0.318 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2C[C@@H]2COCCO2)CCO1 ZINC001286938062 1073684313 /nfs/dbraw/zinc/68/43/13/1073684313.db2.gz VXBDNHHBXCUCPX-HZPDHXFCSA-N 0 0 445.480 -0.318 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2C[C@@H]2COCCO2)CCO1 ZINC001286938063 1073684280 /nfs/dbraw/zinc/68/42/80/1073684280.db2.gz VXBDNHHBXCUCPX-JKSUJKDBSA-N 0 0 445.480 -0.318 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCCO2)CCO1 ZINC001286938521 1073684231 /nfs/dbraw/zinc/68/42/31/1073684231.db2.gz ZHVBTTAEETXHQW-CWRNSKLLSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCCCO2)CCO1 ZINC001286938522 1073684266 /nfs/dbraw/zinc/68/42/66/1073684266.db2.gz ZHVBTTAEETXHQW-FVQBIDKESA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCCCO2)CCO1 ZINC001286938523 1073684259 /nfs/dbraw/zinc/68/42/59/1073684259.db2.gz ZHVBTTAEETXHQW-NUEKZKHPSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCCO2)CCO1 ZINC001286938524 1073684252 /nfs/dbraw/zinc/68/42/52/1073684252.db2.gz ZHVBTTAEETXHQW-VNQPRFMTSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C3CCC3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001286941806 1073684299 /nfs/dbraw/zinc/68/42/99/1073684299.db2.gz DMCYVDTXYQPCJK-INIZCTEOSA-N 0 0 429.543 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C3CCC3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001286941807 1073684243 /nfs/dbraw/zinc/68/42/43/1073684243.db2.gz DMCYVDTXYQPCJK-MRXNPFEDSA-N 0 0 429.543 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001286942149 1073684214 /nfs/dbraw/zinc/68/42/14/1073684214.db2.gz HIRWHXMZJGINIF-NEPJUHHUSA-N 0 0 447.418 -0.751 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001286942151 1073684273 /nfs/dbraw/zinc/68/42/73/1073684273.db2.gz HIRWHXMZJGINIF-NWDGAFQWSA-N 0 0 447.418 -0.751 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001286942153 1073684222 /nfs/dbraw/zinc/68/42/22/1073684222.db2.gz HIRWHXMZJGINIF-RYUDHWBXSA-N 0 0 447.418 -0.751 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001286942154 1073684306 /nfs/dbraw/zinc/68/43/06/1073684306.db2.gz HIRWHXMZJGINIF-VXGBXAGGSA-N 0 0 447.418 -0.751 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCCN2CCOCC2)CCO1 ZINC001286942316 1073684286 /nfs/dbraw/zinc/68/42/86/1073684286.db2.gz NQQHNYOCBPADAQ-GOSISDBHSA-N 0 0 432.529 -0.258 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCCN2CCOCC2)CCO1 ZINC001286942318 1073684199 /nfs/dbraw/zinc/68/41/99/1073684199.db2.gz NQQHNYOCBPADAQ-SFHVURJKSA-N 0 0 432.529 -0.258 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cccn3C)n2CCNC(=O)c2ccn[nH]2)CCO1 ZINC001286943180 1073684330 /nfs/dbraw/zinc/68/43/30/1073684330.db2.gz SWVHMAUMXHOUFR-HNNXBMFYSA-N 0 0 441.496 -0.222 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cccn3C)n2CCNC(=O)c2ccn[nH]2)CCO1 ZINC001286943181 1073684133 /nfs/dbraw/zinc/68/41/33/1073684133.db2.gz SWVHMAUMXHOUFR-OAHLLOKOSA-N 0 0 441.496 -0.222 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCN2CCOCC2)CCO1 ZINC001286943492 1073684837 /nfs/dbraw/zinc/68/48/37/1073684837.db2.gz ABLJJASEVNTAOC-GOSISDBHSA-N 0 0 449.556 -0.536 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCN2CCOCC2)CCO1 ZINC001286943493 1073684790 /nfs/dbraw/zinc/68/47/90/1073684790.db2.gz ABLJJASEVNTAOC-SFHVURJKSA-N 0 0 449.556 -0.536 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCc2ccn(C)n2)CCO1 ZINC001286943502 1073684747 /nfs/dbraw/zinc/68/47/47/1073684747.db2.gz AIDCUYRODQTTGY-KRWDZBQOSA-N 0 0 430.513 -0.282 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCc2ccn(C)n2)CCO1 ZINC001286943503 1073684853 /nfs/dbraw/zinc/68/48/53/1073684853.db2.gz AIDCUYRODQTTGY-QGZVFWFLSA-N 0 0 430.513 -0.282 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccccc3F)n2CCNS(C)(=O)=O)CCO1 ZINC001286943644 1073684885 /nfs/dbraw/zinc/68/48/85/1073684885.db2.gz WDKJTIAXSIREOY-AWEZNQCLSA-N 0 0 440.501 -0.025 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccccc3F)n2CCNS(C)(=O)=O)CCO1 ZINC001286943645 1073684824 /nfs/dbraw/zinc/68/48/24/1073684824.db2.gz WDKJTIAXSIREOY-CQSZACIVSA-N 0 0 440.501 -0.025 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCCN(C(C)=O)CC1 ZINC001286944106 1073684857 /nfs/dbraw/zinc/68/48/57/1073684857.db2.gz BVITUXBQJMOXEM-CYBMUJFWSA-N 0 0 429.547 -0.527 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCCN(C(C)=O)CC1 ZINC001286944109 1073684780 /nfs/dbraw/zinc/68/47/80/1073684780.db2.gz BVITUXBQJMOXEM-ZDUSSCGKSA-N 0 0 429.547 -0.527 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCn2ccnn2)CCO1 ZINC001286944320 1073684881 /nfs/dbraw/zinc/68/48/81/1073684881.db2.gz FUSVOJFCEJFTAS-INIZCTEOSA-N 0 0 431.501 -0.576 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCn2ccnn2)CCO1 ZINC001286944321 1073684770 /nfs/dbraw/zinc/68/47/70/1073684770.db2.gz FUSVOJFCEJFTAS-MRXNPFEDSA-N 0 0 431.501 -0.576 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H](C)CN2CCOCC2)CCO1 ZINC001286944737 1073684811 /nfs/dbraw/zinc/68/48/11/1073684811.db2.gz UXZWSYZWPVWVMS-CGTJXYLNSA-N 0 0 449.556 -0.461 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H](C)CN2CCOCC2)CCO1 ZINC001286944739 1073684721 /nfs/dbraw/zinc/68/47/21/1073684721.db2.gz UXZWSYZWPVWVMS-JQHSSLGASA-N 0 0 449.556 -0.461 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H](C)CN2CCOCC2)CCO1 ZINC001286944741 1073684733 /nfs/dbraw/zinc/68/47/33/1073684733.db2.gz UXZWSYZWPVWVMS-KBAYOESNSA-N 0 0 449.556 -0.461 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H](C)CN2CCOCC2)CCO1 ZINC001286944743 1073684863 /nfs/dbraw/zinc/68/48/63/1073684863.db2.gz UXZWSYZWPVWVMS-NXHRZFHOSA-N 0 0 449.556 -0.461 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001286944771 1073684874 /nfs/dbraw/zinc/68/48/74/1073684874.db2.gz GDTAEUZCIQVZMD-CABCVRRESA-N 0 0 430.531 -0.985 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001286944773 1073684715 /nfs/dbraw/zinc/68/47/15/1073684715.db2.gz GDTAEUZCIQVZMD-GJZGRUSLSA-N 0 0 430.531 -0.985 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001286944775 1073684700 /nfs/dbraw/zinc/68/47/00/1073684700.db2.gz GDTAEUZCIQVZMD-HUUCEWRRSA-N 0 0 430.531 -0.985 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001286944777 1073684888 /nfs/dbraw/zinc/68/48/88/1073684888.db2.gz GDTAEUZCIQVZMD-LSDHHAIUSA-N 0 0 430.531 -0.985 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3noc4c3COCC4)n2CC(=O)NC(C)C)CCO1 ZINC001286945109 1073684755 /nfs/dbraw/zinc/68/47/55/1073684755.db2.gz VEYCKNDDJDOHTJ-AWEZNQCLSA-N 0 0 447.496 -0.128 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3noc4c3COCC4)n2CC(=O)NC(C)C)CCO1 ZINC001286945111 1073684804 /nfs/dbraw/zinc/68/48/04/1073684804.db2.gz VEYCKNDDJDOHTJ-CQSZACIVSA-N 0 0 447.496 -0.128 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001286945168 1073685320 /nfs/dbraw/zinc/68/53/20/1073685320.db2.gz VUMLCLPYRURAMP-DLBZAZTESA-N 0 0 447.540 -0.123 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001286945169 1073685361 /nfs/dbraw/zinc/68/53/61/1073685361.db2.gz VUMLCLPYRURAMP-IAGOWNOFSA-N 0 0 447.540 -0.123 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001286945170 1073685379 /nfs/dbraw/zinc/68/53/79/1073685379.db2.gz VUMLCLPYRURAMP-IRXDYDNUSA-N 0 0 447.540 -0.123 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001286945171 1073685444 /nfs/dbraw/zinc/68/54/44/1073685444.db2.gz VUMLCLPYRURAMP-SJORKVTESA-N 0 0 447.540 -0.123 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCC(=O)NC2CC2)CCO1 ZINC001286945218 1073685406 /nfs/dbraw/zinc/68/54/06/1073685406.db2.gz JZAVELVYHAKCNK-KRWDZBQOSA-N 0 0 447.540 -0.199 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCC(=O)NC2CC2)CCO1 ZINC001286945219 1073685474 /nfs/dbraw/zinc/68/54/74/1073685474.db2.gz JZAVELVYHAKCNK-QGZVFWFLSA-N 0 0 447.540 -0.199 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2CC2(CS(C)(=O)=O)CC2)CCO1 ZINC001286945257 1073685388 /nfs/dbraw/zinc/68/53/88/1073685388.db2.gz WOYQHHHFZVFYBV-HNNXBMFYSA-N 0 0 449.537 -0.099 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2CC2(CS(C)(=O)=O)CC2)CCO1 ZINC001286945261 1073685397 /nfs/dbraw/zinc/68/53/97/1073685397.db2.gz WOYQHHHFZVFYBV-OAHLLOKOSA-N 0 0 449.537 -0.099 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2CCCN2CCOC2=O)CCO1 ZINC001286945269 1073685370 /nfs/dbraw/zinc/68/53/70/1073685370.db2.gz WPDWNARMJQOMPJ-HNNXBMFYSA-N 0 0 430.469 -0.081 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2CCCN2CCOC2=O)CCO1 ZINC001286945270 1073685331 /nfs/dbraw/zinc/68/53/31/1073685331.db2.gz WPDWNARMJQOMPJ-OAHLLOKOSA-N 0 0 430.469 -0.081 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001286945660 1073685281 /nfs/dbraw/zinc/68/52/81/1073685281.db2.gz MLFXZVYLDYQVRH-CRAIPNDOSA-N 0 0 427.527 -0.879 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001286945661 1073685459 /nfs/dbraw/zinc/68/54/59/1073685459.db2.gz MLFXZVYLDYQVRH-MAUKXSAKSA-N 0 0 427.527 -0.879 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001286945663 1073685418 /nfs/dbraw/zinc/68/54/18/1073685418.db2.gz MLFXZVYLDYQVRH-QAPCUYQASA-N 0 0 427.527 -0.879 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001286945665 1073685464 /nfs/dbraw/zinc/68/54/64/1073685464.db2.gz MLFXZVYLDYQVRH-YJBOKZPZSA-N 0 0 427.527 -0.879 20 0 IBADRN CC(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001286945756 1073685451 /nfs/dbraw/zinc/68/54/51/1073685451.db2.gz IORQGWRWTZBWEV-UHFFFAOYSA-N 0 0 446.556 -0.559 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CC(F)(F)CO2)CCO1 ZINC001286946150 1073686093 /nfs/dbraw/zinc/68/60/93/1073686093.db2.gz ZBSJWYAADCAFPM-BFHYXJOUSA-N 0 0 428.440 -0.005 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CC(F)(F)CO2)CCO1 ZINC001286946160 1073685982 /nfs/dbraw/zinc/68/59/82/1073685982.db2.gz ZBSJWYAADCAFPM-MCIONIFRSA-N 0 0 428.440 -0.005 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CC(F)(F)CO2)CCO1 ZINC001286946162 1073685345 /nfs/dbraw/zinc/68/53/45/1073685345.db2.gz ZBSJWYAADCAFPM-MGPQQGTHSA-N 0 0 428.440 -0.005 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CC(F)(F)CO2)CCO1 ZINC001286946164 1073685990 /nfs/dbraw/zinc/68/59/90/1073685990.db2.gz ZBSJWYAADCAFPM-MJBXVCDLSA-N 0 0 428.440 -0.005 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(CNC(C)=O)CC1 ZINC001286946522 1073686054 /nfs/dbraw/zinc/68/60/54/1073686054.db2.gz PSGJTINHDAIZDM-CYBMUJFWSA-N 0 0 443.574 -0.233 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(CNC(C)=O)CC1 ZINC001286946524 1073686023 /nfs/dbraw/zinc/68/60/23/1073686023.db2.gz PSGJTINHDAIZDM-ZDUSSCGKSA-N 0 0 443.574 -0.233 20 0 IBADRN CC(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001286946688 1073685958 /nfs/dbraw/zinc/68/59/58/1073685958.db2.gz MCKOCTOZPWPBSR-UHFFFAOYSA-N 0 0 443.512 -0.373 20 0 IBADRN CC(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001286946717 1073685911 /nfs/dbraw/zinc/68/59/11/1073685911.db2.gz MSQMRHYSEMAOIO-KRWDZBQOSA-N 0 0 447.540 -0.132 20 0 IBADRN CC(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001286946718 1073686062 /nfs/dbraw/zinc/68/60/62/1073686062.db2.gz MSQMRHYSEMAOIO-QGZVFWFLSA-N 0 0 447.540 -0.132 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cc3cccnc3)n2CC(=O)NCC(F)F)CCO1 ZINC001286946798 1073685951 /nfs/dbraw/zinc/68/59/51/1073685951.db2.gz KQPVCCJIVVGXOS-HNNXBMFYSA-N 0 0 437.451 -0.014 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cc3cccnc3)n2CC(=O)NCC(F)F)CCO1 ZINC001286946799 1073685927 /nfs/dbraw/zinc/68/59/27/1073685927.db2.gz KQPVCCJIVVGXOS-OAHLLOKOSA-N 0 0 437.451 -0.014 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccn(C)n2)CCO1 ZINC001286948351 1073686079 /nfs/dbraw/zinc/68/60/79/1073686079.db2.gz QLKNECJDBGAHDE-GDBMZVCRSA-N 0 0 437.526 -0.697 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccn(C)n2)CCO1 ZINC001286948352 1073686034 /nfs/dbraw/zinc/68/60/34/1073686034.db2.gz QLKNECJDBGAHDE-GOEBONIOSA-N 0 0 437.526 -0.697 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccn(C)n2)CCO1 ZINC001286948353 1073686088 /nfs/dbraw/zinc/68/60/88/1073686088.db2.gz QLKNECJDBGAHDE-HOCLYGCPSA-N 0 0 437.526 -0.697 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccn(C)n2)CCO1 ZINC001286948354 1073685971 /nfs/dbraw/zinc/68/59/71/1073685971.db2.gz QLKNECJDBGAHDE-ZBFHGGJFSA-N 0 0 437.526 -0.697 20 0 IBADRN CC(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001286948529 1073685937 /nfs/dbraw/zinc/68/59/37/1073685937.db2.gz YUPJPAYGBVPCEY-HNNXBMFYSA-N 0 0 448.572 -0.268 20 0 IBADRN CC(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001286948530 1073686000 /nfs/dbraw/zinc/68/60/00/1073686000.db2.gz YUPJPAYGBVPCEY-OAHLLOKOSA-N 0 0 448.572 -0.268 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001286951677 1073686073 /nfs/dbraw/zinc/68/60/73/1073686073.db2.gz JLCONOTZHSMBPS-KRWDZBQOSA-N 0 0 435.529 -0.118 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001286951679 1073686041 /nfs/dbraw/zinc/68/60/41/1073686041.db2.gz JLCONOTZHSMBPS-QGZVFWFLSA-N 0 0 435.529 -0.118 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001286953211 1073686068 /nfs/dbraw/zinc/68/60/68/1073686068.db2.gz WVGXXIBJMNKNFF-CVEARBPZSA-N 0 0 426.543 -0.773 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001286953212 1073686011 /nfs/dbraw/zinc/68/60/11/1073686011.db2.gz WVGXXIBJMNKNFF-HOTGVXAUSA-N 0 0 426.543 -0.773 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001286953213 1073686621 /nfs/dbraw/zinc/68/66/21/1073686621.db2.gz WVGXXIBJMNKNFF-HZPDHXFCSA-N 0 0 426.543 -0.773 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001286953214 1073686660 /nfs/dbraw/zinc/68/66/60/1073686660.db2.gz WVGXXIBJMNKNFF-JKSUJKDBSA-N 0 0 426.543 -0.773 20 0 IBADRN CC(=O)NCCCn1c(-c2csc(N3CCOCC3)n2)nnc1N1CCNC(=O)C1 ZINC001286953547 1073686593 /nfs/dbraw/zinc/68/65/93/1073686593.db2.gz OSSHJYTXJCPBLD-UHFFFAOYSA-N 0 0 434.526 -0.299 20 0 IBADRN CC(=O)NCCCn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001286953865 1073686603 /nfs/dbraw/zinc/68/66/03/1073686603.db2.gz ZQDQEMFYQCHBIW-UHFFFAOYSA-N 0 0 443.556 -0.051 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001286954230 1073686583 /nfs/dbraw/zinc/68/65/83/1073686583.db2.gz IUHNBDUOBOFIRA-UHFFFAOYSA-N 0 0 429.529 -0.013 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001286954716 1073686666 /nfs/dbraw/zinc/68/66/66/1073686666.db2.gz NSPYUPVIRDQNNY-UHFFFAOYSA-N 0 0 441.558 -0.602 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001286954763 1073686626 /nfs/dbraw/zinc/68/66/26/1073686626.db2.gz OZIDETZQOQKGDX-UHFFFAOYSA-N 0 0 432.529 -0.079 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001286954778 1073686651 /nfs/dbraw/zinc/68/66/51/1073686651.db2.gz PJECYSNIKOGSTI-IBGZPJMESA-N 0 0 438.554 -0.058 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001286954780 1073686550 /nfs/dbraw/zinc/68/65/50/1073686550.db2.gz PJECYSNIKOGSTI-LJQANCHMSA-N 0 0 438.554 -0.058 20 0 IBADRN CC(=O)NCCCn1c(CC2CCCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001286956234 1073686562 /nfs/dbraw/zinc/68/65/62/1073686562.db2.gz DIKZTKCKDJJKAR-UHFFFAOYSA-N 0 0 444.544 -0.128 20 0 IBADRN CC(=O)NCCCn1c(C2CCCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001286958307 1073686639 /nfs/dbraw/zinc/68/66/39/1073686639.db2.gz CAFACRRAWUTCLB-UHFFFAOYSA-N 0 0 430.517 -0.203 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001286963990 1073686575 /nfs/dbraw/zinc/68/65/75/1073686575.db2.gz TZLLEYROVKPOFW-HNNXBMFYSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001286963991 1073686469 /nfs/dbraw/zinc/68/64/69/1073686469.db2.gz TZLLEYROVKPOFW-OAHLLOKOSA-N 0 0 441.558 -0.479 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)N(C)C)CC1 ZINC001286971892 1073686513 /nfs/dbraw/zinc/68/65/13/1073686513.db2.gz LXGMOBHZAQBUKK-INIZCTEOSA-N 0 0 443.556 -0.100 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)N(C)C)CC1 ZINC001286971893 1073686680 /nfs/dbraw/zinc/68/66/80/1073686680.db2.gz LXGMOBHZAQBUKK-MRXNPFEDSA-N 0 0 443.556 -0.100 20 0 IBADRN C[C@H]([C@H](C)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCC(=O)N1)S(C)(=O)=O ZINC001286977152 1073686675 /nfs/dbraw/zinc/68/66/75/1073686675.db2.gz LUGQQFLOYMUCHS-BFHYXJOUSA-N 0 0 426.543 -0.063 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCC(=O)N1 ZINC001286977153 1073686496 /nfs/dbraw/zinc/68/64/96/1073686496.db2.gz LUGQQFLOYMUCHS-HZSPNIEDSA-N 0 0 426.543 -0.063 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCC(=O)N1 ZINC001286977154 1073686506 /nfs/dbraw/zinc/68/65/06/1073686506.db2.gz LUGQQFLOYMUCHS-MELADBBJSA-N 0 0 426.543 -0.063 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCC(=O)N1 ZINC001286977155 1073686481 /nfs/dbraw/zinc/68/64/81/1073686481.db2.gz LUGQQFLOYMUCHS-MGPQQGTHSA-N 0 0 426.543 -0.063 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc(-c2cnccn2)n1CCS(=O)(=O)N(C)C ZINC001286983735 1073686536 /nfs/dbraw/zinc/68/65/36/1073686536.db2.gz TXULKTCUCZGQAG-CHWSQXEVSA-N 0 0 445.571 -0.116 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc(-c2cnccn2)n1CCS(=O)(=O)N(C)C ZINC001286983737 1073687134 /nfs/dbraw/zinc/68/71/34/1073687134.db2.gz TXULKTCUCZGQAG-OLZOCXBDSA-N 0 0 445.571 -0.116 20 0 IBADRN C[C@H]([C@H](C)N(C)c1nnc(-c2cnccn2)n1CCS(=O)(=O)N(C)C)S(C)(=O)=O ZINC001286983738 1073687101 /nfs/dbraw/zinc/68/71/01/1073687101.db2.gz TXULKTCUCZGQAG-QWHCGFSZSA-N 0 0 445.571 -0.116 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc(-c2cnccn2)n1CCS(=O)(=O)N(C)C ZINC001286983740 1073687241 /nfs/dbraw/zinc/68/72/41/1073687241.db2.gz TXULKTCUCZGQAG-STQMWFEESA-N 0 0 445.571 -0.116 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1 ZINC001286984479 1073687261 /nfs/dbraw/zinc/68/72/61/1073687261.db2.gz ZMQFDELUWDGGNR-HZSPNIEDSA-N 0 0 442.542 -0.654 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1 ZINC001286984480 1073687233 /nfs/dbraw/zinc/68/72/33/1073687233.db2.gz ZMQFDELUWDGGNR-MCIONIFRSA-N 0 0 442.542 -0.654 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1 ZINC001286984481 1073687213 /nfs/dbraw/zinc/68/72/13/1073687213.db2.gz ZMQFDELUWDGGNR-MGPQQGTHSA-N 0 0 442.542 -0.654 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1 ZINC001286984482 1073687174 /nfs/dbraw/zinc/68/71/74/1073687174.db2.gz ZMQFDELUWDGGNR-RDBSUJKOSA-N 0 0 442.542 -0.654 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCS(C)(=O)=O ZINC001287006180 1073687193 /nfs/dbraw/zinc/68/71/93/1073687193.db2.gz ANRWQDRKWPJCGZ-UHFFFAOYSA-N 0 0 426.543 -0.453 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001287007456 1073687283 /nfs/dbraw/zinc/68/72/83/1073687283.db2.gz NULMJUNSKJQOJX-AWEZNQCLSA-N 0 0 444.544 -0.174 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1NC(=O)CC1(C)C ZINC001287007458 1073687290 /nfs/dbraw/zinc/68/72/90/1073687290.db2.gz NULMJUNSKJQOJX-CQSZACIVSA-N 0 0 444.544 -0.174 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC1CCS(=O)(=O)CC1 ZINC001287011092 1073687160 /nfs/dbraw/zinc/68/71/60/1073687160.db2.gz PNGGXVNVJNHUGM-AWEZNQCLSA-N 0 0 438.554 -0.453 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC1CCS(=O)(=O)CC1 ZINC001287011093 1073687273 /nfs/dbraw/zinc/68/72/73/1073687273.db2.gz PNGGXVNVJNHUGM-CQSZACIVSA-N 0 0 438.554 -0.453 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCS(=O)(=O)C(C)(C)C ZINC001287011721 1073687148 /nfs/dbraw/zinc/68/71/48/1073687148.db2.gz VDKQLVPPWYXQQT-CYBMUJFWSA-N 0 0 440.570 -0.064 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCS(=O)(=O)C(C)(C)C ZINC001287011723 1073687301 /nfs/dbraw/zinc/68/73/01/1073687301.db2.gz VDKQLVPPWYXQQT-ZDUSSCGKSA-N 0 0 440.570 -0.064 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCCn1cnnn1 ZINC001287030062 1073687248 /nfs/dbraw/zinc/68/72/48/1073687248.db2.gz IOQUQPVUWDPVPN-UHFFFAOYSA-N 0 0 444.544 -0.129 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCCNS(C)(=O)=O ZINC001287034970 1073687114 /nfs/dbraw/zinc/68/71/14/1073687114.db2.gz CUJMWCKCESMYCN-UHFFFAOYSA-N 0 0 436.604 -0.112 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001287036255 1073687746 /nfs/dbraw/zinc/68/77/46/1073687746.db2.gz VGWURNSDQAIDLL-KBPBESRZSA-N 0 0 438.554 -0.552 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001287036256 1073687585 /nfs/dbraw/zinc/68/75/85/1073687585.db2.gz VGWURNSDQAIDLL-KGLIPLIRSA-N 0 0 438.554 -0.552 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001287036257 1073687786 /nfs/dbraw/zinc/68/77/86/1073687786.db2.gz VGWURNSDQAIDLL-UONOGXRCSA-N 0 0 438.554 -0.552 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001287036258 1073687714 /nfs/dbraw/zinc/68/77/14/1073687714.db2.gz VGWURNSDQAIDLL-ZIAGYGMSSA-N 0 0 438.554 -0.552 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCC(=O)N1CCOCC1 ZINC001287036876 1073687596 /nfs/dbraw/zinc/68/75/96/1073687596.db2.gz YKCZNVKEPUXZGB-HNNXBMFYSA-N 0 0 447.540 -0.737 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCC(=O)N1CCOCC1 ZINC001287036877 1073687809 /nfs/dbraw/zinc/68/78/09/1073687809.db2.gz YKCZNVKEPUXZGB-OAHLLOKOSA-N 0 0 447.540 -0.737 20 0 IBADRN CC(C)(C)NC(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287044681 1073687615 /nfs/dbraw/zinc/68/76/15/1073687615.db2.gz FLLMTIWSCNJCMP-KBPBESRZSA-N 0 0 433.513 -0.766 20 0 IBADRN CC(C)(C)NC(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287044684 1073687778 /nfs/dbraw/zinc/68/77/78/1073687778.db2.gz FLLMTIWSCNJCMP-KGLIPLIRSA-N 0 0 433.513 -0.766 20 0 IBADRN CC(C)(C)NC(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287044688 1073687680 /nfs/dbraw/zinc/68/76/80/1073687680.db2.gz FLLMTIWSCNJCMP-UONOGXRCSA-N 0 0 433.513 -0.766 20 0 IBADRN CC(C)(C)NC(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287044691 1073687564 /nfs/dbraw/zinc/68/75/64/1073687564.db2.gz FLLMTIWSCNJCMP-ZIAGYGMSSA-N 0 0 433.513 -0.766 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(C)(=O)=O)CC1 ZINC001287049703 1073687628 /nfs/dbraw/zinc/68/76/28/1073687628.db2.gz FLYMGQHHSTZFNY-CYBMUJFWSA-N 0 0 441.558 -0.096 20 0 IBADRN CC(C)(C)NC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(C)(=O)=O)CC1 ZINC001287049704 1073687799 /nfs/dbraw/zinc/68/77/99/1073687799.db2.gz FLYMGQHHSTZFNY-ZDUSSCGKSA-N 0 0 441.558 -0.096 20 0 IBADRN CC(C)(C)OC(=O)NC1(Cn2c(C(=O)N3CCCC3)nnc2N2CCNC(=O)C2)COC1 ZINC001287056615 1073687726 /nfs/dbraw/zinc/68/77/26/1073687726.db2.gz IVJKQWVOHABRDF-UHFFFAOYSA-N 0 0 449.512 -0.256 20 0 IBADRN CC(C)(C)OC(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287069344 1073687766 /nfs/dbraw/zinc/68/77/66/1073687766.db2.gz SRKHDUYASRGCNP-KBPBESRZSA-N 0 0 449.512 -0.546 20 0 IBADRN CC(C)(C)OC(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287069345 1073687756 /nfs/dbraw/zinc/68/77/56/1073687756.db2.gz SRKHDUYASRGCNP-KGLIPLIRSA-N 0 0 449.512 -0.546 20 0 IBADRN CC(C)(C)OC(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287069346 1073687540 /nfs/dbraw/zinc/68/75/40/1073687540.db2.gz SRKHDUYASRGCNP-UONOGXRCSA-N 0 0 449.512 -0.546 20 0 IBADRN CC(C)(C)OC(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287069347 1073687792 /nfs/dbraw/zinc/68/77/92/1073687792.db2.gz SRKHDUYASRGCNP-ZIAGYGMSSA-N 0 0 449.512 -0.546 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)(=O)CC1 ZINC001287082705 1073687699 /nfs/dbraw/zinc/68/76/99/1073687699.db2.gz LQIDHDVLRBWMFF-UHFFFAOYSA-N 0 0 447.583 -0.038 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1 ZINC001287083381 1073687688 /nfs/dbraw/zinc/68/76/88/1073687688.db2.gz OZLHMPMMPFRFGQ-GFCCVEGCSA-N 0 0 433.556 -0.670 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1 ZINC001287083382 1073687643 /nfs/dbraw/zinc/68/76/43/1073687643.db2.gz OZLHMPMMPFRFGQ-LBPRGKRZSA-N 0 0 433.556 -0.670 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001287083748 1073688371 /nfs/dbraw/zinc/68/83/71/1073688371.db2.gz WJVIMGPBPBMPMK-CABCVRRESA-N 0 0 440.570 -0.037 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001287083749 1073688391 /nfs/dbraw/zinc/68/83/91/1073688391.db2.gz WJVIMGPBPBMPMK-GJZGRUSLSA-N 0 0 440.570 -0.037 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001287083750 1073688399 /nfs/dbraw/zinc/68/83/99/1073688399.db2.gz WJVIMGPBPBMPMK-HUUCEWRRSA-N 0 0 440.570 -0.037 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001287083751 1073688333 /nfs/dbraw/zinc/68/83/33/1073688333.db2.gz WJVIMGPBPBMPMK-LSDHHAIUSA-N 0 0 440.570 -0.037 20 0 IBADRN CC(C)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)N1CCOCC1 ZINC001287091505 1073688266 /nfs/dbraw/zinc/68/82/66/1073688266.db2.gz CPHDJKFKGRNITN-INIZCTEOSA-N 0 0 449.556 -0.189 20 0 IBADRN CC(C)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)N1CCOCC1 ZINC001287091506 1073688356 /nfs/dbraw/zinc/68/83/56/1073688356.db2.gz CPHDJKFKGRNITN-MRXNPFEDSA-N 0 0 449.556 -0.189 20 0 IBADRN CC(C)(Cn1c([C@H]2CCOC2)nnc1N1CCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC001287094242 1073688434 /nfs/dbraw/zinc/68/84/34/1073688434.db2.gz MXEZVKCHYFQGSG-INIZCTEOSA-N 0 0 442.586 -0.026 20 0 IBADRN CC(C)(Cn1c([C@@H]2CCOC2)nnc1N1CCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC001287094243 1073688242 /nfs/dbraw/zinc/68/82/42/1073688242.db2.gz MXEZVKCHYFQGSG-MRXNPFEDSA-N 0 0 442.586 -0.026 20 0 IBADRN CC(C)(O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001287096794 1073688375 /nfs/dbraw/zinc/68/83/75/1073688375.db2.gz OUFBKHBGNKWGRK-AWEZNQCLSA-N 0 0 433.513 -0.683 20 0 IBADRN CC(C)(O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001287096795 1073688429 /nfs/dbraw/zinc/68/84/29/1073688429.db2.gz OUFBKHBGNKWGRK-CQSZACIVSA-N 0 0 433.513 -0.683 20 0 IBADRN CC(C)(O)Cn1c(CN2CCCC2=O)nnc1N1CCN(c2ncccc2C(N)=O)CC1 ZINC001287100411 1073688411 /nfs/dbraw/zinc/68/84/11/1073688411.db2.gz MBANGGIWOSOWGV-UHFFFAOYSA-N 0 0 442.524 -0.008 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001287101327 1073688425 /nfs/dbraw/zinc/68/84/25/1073688425.db2.gz FOGVQXZXJGBJSJ-CABCVRRESA-N 0 0 441.554 -0.221 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001287101328 1073688212 /nfs/dbraw/zinc/68/82/12/1073688212.db2.gz FOGVQXZXJGBJSJ-GJZGRUSLSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001287101329 1073688351 /nfs/dbraw/zinc/68/83/51/1073688351.db2.gz FOGVQXZXJGBJSJ-HUUCEWRRSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001287101330 1073688344 /nfs/dbraw/zinc/68/83/44/1073688344.db2.gz FOGVQXZXJGBJSJ-LSDHHAIUSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001287101949 1073688418 /nfs/dbraw/zinc/68/84/18/1073688418.db2.gz IRMMFKAVSFRAMV-OAHLLOKOSA-N 0 0 436.513 -0.592 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001287104345 1073688387 /nfs/dbraw/zinc/68/83/87/1073688387.db2.gz YCMAHAPZCWTIIH-AWEZNQCLSA-N 0 0 442.542 -0.807 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001287104346 1073688289 /nfs/dbraw/zinc/68/82/89/1073688289.db2.gz YCMAHAPZCWTIIH-CQSZACIVSA-N 0 0 442.542 -0.807 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001287113499 1073688308 /nfs/dbraw/zinc/68/83/08/1073688308.db2.gz KIBDFYFEEOPTIC-UONOGXRCSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001287113500 1073688405 /nfs/dbraw/zinc/68/84/05/1073688405.db2.gz KIBDFYFEEOPTIC-ZIAGYGMSSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001287114697 1073688875 /nfs/dbraw/zinc/68/88/75/1073688875.db2.gz YNLJBPTVOGNSTQ-CABCVRRESA-N 0 0 444.558 -0.392 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001287114699 1073688837 /nfs/dbraw/zinc/68/88/37/1073688837.db2.gz YNLJBPTVOGNSTQ-GJZGRUSLSA-N 0 0 444.558 -0.392 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1 ZINC001287114701 1073688843 /nfs/dbraw/zinc/68/88/43/1073688843.db2.gz YNLJBPTVOGNSTQ-HUUCEWRRSA-N 0 0 444.558 -0.392 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1 ZINC001287114703 1073688827 /nfs/dbraw/zinc/68/88/27/1073688827.db2.gz YNLJBPTVOGNSTQ-LSDHHAIUSA-N 0 0 444.558 -0.392 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCn2cncn2)CC1 ZINC001287116858 1073688933 /nfs/dbraw/zinc/68/89/33/1073688933.db2.gz RJBXXKUYPDBIMD-HNNXBMFYSA-N 0 0 436.542 -0.224 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCn2cncn2)CC1 ZINC001287116860 1073688862 /nfs/dbraw/zinc/68/88/62/1073688862.db2.gz RJBXXKUYPDBIMD-OAHLLOKOSA-N 0 0 436.542 -0.224 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001287117328 1073688915 /nfs/dbraw/zinc/68/89/15/1073688915.db2.gz UKCUSIASJGMXIO-CVEARBPZSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001287117329 1073688909 /nfs/dbraw/zinc/68/89/09/1073688909.db2.gz UKCUSIASJGMXIO-HOTGVXAUSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001287117330 1073688852 /nfs/dbraw/zinc/68/88/52/1073688852.db2.gz UKCUSIASJGMXIO-HZPDHXFCSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001287117331 1073688781 /nfs/dbraw/zinc/68/87/81/1073688781.db2.gz UKCUSIASJGMXIO-JKSUJKDBSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001287117641 1073688881 /nfs/dbraw/zinc/68/88/81/1073688881.db2.gz XGWSEIAVJGEUOF-HNNXBMFYSA-N 0 0 447.540 -0.184 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001287117642 1073688949 /nfs/dbraw/zinc/68/89/49/1073688949.db2.gz XGWSEIAVJGEUOF-OAHLLOKOSA-N 0 0 447.540 -0.184 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001287117878 1073688764 /nfs/dbraw/zinc/68/87/64/1073688764.db2.gz ZYVOXRXGGWUBRC-CABCVRRESA-N 0 0 438.554 -0.032 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001287117881 1073688890 /nfs/dbraw/zinc/68/88/90/1073688890.db2.gz ZYVOXRXGGWUBRC-GJZGRUSLSA-N 0 0 438.554 -0.032 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001287117884 1073688870 /nfs/dbraw/zinc/68/88/70/1073688870.db2.gz ZYVOXRXGGWUBRC-HUUCEWRRSA-N 0 0 438.554 -0.032 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001287117887 1073688942 /nfs/dbraw/zinc/68/89/42/1073688942.db2.gz ZYVOXRXGGWUBRC-LSDHHAIUSA-N 0 0 438.554 -0.032 20 0 IBADRN CC(C)C(=O)NC1CCN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)CC1 ZINC001287121080 1073688740 /nfs/dbraw/zinc/68/87/40/1073688740.db2.gz UUMJAQJQBGENNZ-UHFFFAOYSA-N 0 0 438.558 -0.031 20 0 IBADRN CC(C)C(=O)NCCCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287126265 1073688818 /nfs/dbraw/zinc/68/88/18/1073688818.db2.gz DIEJZESXYCWORA-HNNXBMFYSA-N 0 0 440.508 -0.351 20 0 IBADRN CC(C)C(=O)NCCCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287126266 1073688924 /nfs/dbraw/zinc/68/89/24/1073688924.db2.gz DIEJZESXYCWORA-OAHLLOKOSA-N 0 0 440.508 -0.351 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)CC1 ZINC001287127461 1073688897 /nfs/dbraw/zinc/68/88/97/1073688897.db2.gz WSADTNFOWCEESV-CYBMUJFWSA-N 0 0 429.547 -0.623 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)CC1 ZINC001287127462 1073689352 /nfs/dbraw/zinc/68/93/52/1073689352.db2.gz WSADTNFOWCEESV-ZDUSSCGKSA-N 0 0 429.547 -0.623 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001287127838 1073689452 /nfs/dbraw/zinc/68/94/52/1073689452.db2.gz XTDYCCLLDNDCCR-KBPBESRZSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001287127841 1073689362 /nfs/dbraw/zinc/68/93/62/1073689362.db2.gz XTDYCCLLDNDCCR-KGLIPLIRSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001287127844 1073689435 /nfs/dbraw/zinc/68/94/35/1073689435.db2.gz XTDYCCLLDNDCCR-UONOGXRCSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001287127846 1073689475 /nfs/dbraw/zinc/68/94/75/1073689475.db2.gz XTDYCCLLDNDCCR-ZIAGYGMSSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001287128389 1073689429 /nfs/dbraw/zinc/68/94/29/1073689429.db2.gz OLDVLZGDQLQDLU-CABCVRRESA-N 0 0 432.529 -0.053 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001287128390 1073689407 /nfs/dbraw/zinc/68/94/07/1073689407.db2.gz OLDVLZGDQLQDLU-GJZGRUSLSA-N 0 0 432.529 -0.053 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001287128391 1073689421 /nfs/dbraw/zinc/68/94/21/1073689421.db2.gz OLDVLZGDQLQDLU-HUUCEWRRSA-N 0 0 432.529 -0.053 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001287128392 1073689441 /nfs/dbraw/zinc/68/94/41/1073689441.db2.gz OLDVLZGDQLQDLU-LSDHHAIUSA-N 0 0 432.529 -0.053 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1cnn(C)c1 ZINC001287130328 1073689415 /nfs/dbraw/zinc/68/94/15/1073689415.db2.gz BCFNOJGYYPCLHI-CYBMUJFWSA-N 0 0 426.547 -0.122 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1cnn(C)c1 ZINC001287130329 1073689375 /nfs/dbraw/zinc/68/93/75/1073689375.db2.gz BCFNOJGYYPCLHI-ZDUSSCGKSA-N 0 0 426.547 -0.122 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCC(=O)N2)n1CCS(=O)(=O)N(C)C ZINC001287132629 1073689384 /nfs/dbraw/zinc/68/93/84/1073689384.db2.gz LNJGEAZSNLKOIV-CYBMUJFWSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCC(=O)N2)n1CCS(=O)(=O)N(C)C ZINC001287132630 1073689447 /nfs/dbraw/zinc/68/94/47/1073689447.db2.gz LNJGEAZSNLKOIV-ZDUSSCGKSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCCO2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001287133156 1073689471 /nfs/dbraw/zinc/68/94/71/1073689471.db2.gz FUFHAUUXYUUXEY-KDOFPFPSSA-N 0 0 429.543 -0.112 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCCO2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001287133158 1073689367 /nfs/dbraw/zinc/68/93/67/1073689367.db2.gz FUFHAUUXYUUXEY-RDTXWAMCSA-N 0 0 429.543 -0.112 20 0 IBADRN CC(C)C(=O)NCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001287134421 1073689359 /nfs/dbraw/zinc/68/93/59/1073689359.db2.gz ANTWUNJRFNLDKI-AWEZNQCLSA-N 0 0 441.496 -0.089 20 0 IBADRN CC(C)C(=O)NCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001287134422 1073689339 /nfs/dbraw/zinc/68/93/39/1073689339.db2.gz ANTWUNJRFNLDKI-CQSZACIVSA-N 0 0 441.496 -0.089 20 0 IBADRN CC(C)C(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001287136273 1073689333 /nfs/dbraw/zinc/68/93/33/1073689333.db2.gz OQRAKYICMMGKHI-AWEZNQCLSA-N 0 0 438.558 -0.079 20 0 IBADRN CC(C)C(=O)NCCn1c(-c2cnccn2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001287136515 1073689459 /nfs/dbraw/zinc/68/94/59/1073689459.db2.gz XVPGXXRYGDRCPZ-AWEZNQCLSA-N 0 0 436.542 -0.023 20 0 IBADRN CC(C)C(=O)NCCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(C)C(=O)C1 ZINC001287136587 1073689346 /nfs/dbraw/zinc/68/93/46/1073689346.db2.gz AQZRIQSFLPHDCF-UHFFFAOYSA-N 0 0 438.496 -0.029 20 0 IBADRN CC(C)C(=O)NCCn1c(C2CCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001287138164 1073689915 /nfs/dbraw/zinc/68/99/15/1073689915.db2.gz JIPBRLRAZFELCA-UHFFFAOYSA-N 0 0 430.517 -0.347 20 0 IBADRN CC(C)C(=O)NCCn1c(Nc2cnn(C)c2)nnc1[C@@H]1CN(S(C)(=O)=O)CCO1 ZINC001287139723 1073689896 /nfs/dbraw/zinc/68/98/96/1073689896.db2.gz QSDAXJWNMIBSMR-AWEZNQCLSA-N 0 0 440.530 -0.140 20 0 IBADRN CC(C)C(=O)NCCn1c(Nc2cnn(C)c2)nnc1[C@H]1CN(S(C)(=O)=O)CCO1 ZINC001287139725 1073689940 /nfs/dbraw/zinc/68/99/40/1073689940.db2.gz QSDAXJWNMIBSMR-CQSZACIVSA-N 0 0 440.530 -0.140 20 0 IBADRN CC(C)C(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001287140857 1073689888 /nfs/dbraw/zinc/68/98/88/1073689888.db2.gz SSJIHGCAGKHNCC-AWEZNQCLSA-N 0 0 426.543 -0.204 20 0 IBADRN CC(C)C(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001287140859 1073689945 /nfs/dbraw/zinc/68/99/45/1073689945.db2.gz SSJIHGCAGKHNCC-CQSZACIVSA-N 0 0 426.543 -0.204 20 0 IBADRN CC(C)C(=O)NCCn1c(C2CC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001287140869 1073690023 /nfs/dbraw/zinc/69/00/23/1073690023.db2.gz SVWBTWCPWAGWAS-UHFFFAOYSA-N 0 0 445.528 -0.606 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001287141501 1073689934 /nfs/dbraw/zinc/68/99/34/1073689934.db2.gz XHUDBIRWAXCFRN-CYBMUJFWSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001287141503 1073689968 /nfs/dbraw/zinc/68/99/68/1073689968.db2.gz XHUDBIRWAXCFRN-ZDUSSCGKSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287141536 1073689870 /nfs/dbraw/zinc/68/98/70/1073689870.db2.gz XTBJJRWIVLDVKK-CABCVRRESA-N 0 0 433.513 -0.561 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287141537 1073689951 /nfs/dbraw/zinc/68/99/51/1073689951.db2.gz XTBJJRWIVLDVKK-GJZGRUSLSA-N 0 0 433.513 -0.561 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287141539 1073689865 /nfs/dbraw/zinc/68/98/65/1073689865.db2.gz XTBJJRWIVLDVKK-HUUCEWRRSA-N 0 0 433.513 -0.561 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287141542 1073689854 /nfs/dbraw/zinc/68/98/54/1073689854.db2.gz XTBJJRWIVLDVKK-LSDHHAIUSA-N 0 0 433.513 -0.561 20 0 IBADRN CC(C)[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1)N1CCOCC1 ZINC001287158431 1073690007 /nfs/dbraw/zinc/69/00/07/1073690007.db2.gz DUFCJHBOFXCJDK-CVEARBPZSA-N 0 0 440.570 -0.527 20 0 IBADRN CC(C)[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1)N1CCOCC1 ZINC001287158432 1073689905 /nfs/dbraw/zinc/68/99/05/1073689905.db2.gz DUFCJHBOFXCJDK-HOTGVXAUSA-N 0 0 440.570 -0.527 20 0 IBADRN CC(C)[C@@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1)N1CCOCC1 ZINC001287158433 1073689962 /nfs/dbraw/zinc/68/99/62/1073689962.db2.gz DUFCJHBOFXCJDK-HZPDHXFCSA-N 0 0 440.570 -0.527 20 0 IBADRN CC(C)[C@@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1)N1CCOCC1 ZINC001287158435 1073689958 /nfs/dbraw/zinc/68/99/58/1073689958.db2.gz DUFCJHBOFXCJDK-JKSUJKDBSA-N 0 0 440.570 -0.527 20 0 IBADRN CC(C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001287200943 1073689990 /nfs/dbraw/zinc/68/99/90/1073689990.db2.gz GUZGLMXTAQTJAC-UHFFFAOYSA-N 0 0 449.599 -0.141 20 0 IBADRN CC(C)c1nnc(N2CCC[C@H](n3cnc(C(N)=O)n3)C2)n1CC(=O)N1CCOCC1 ZINC001287212384 1073689874 /nfs/dbraw/zinc/68/98/74/1073689874.db2.gz PRZHNVGAWCLGPT-AWEZNQCLSA-N 0 0 431.501 -0.208 20 0 IBADRN CC(C)c1nnc(N2CCC[C@@H](n3cnc(C(N)=O)n3)C2)n1CC(=O)N1CCOCC1 ZINC001287212386 1073690014 /nfs/dbraw/zinc/69/00/14/1073690014.db2.gz PRZHNVGAWCLGPT-CQSZACIVSA-N 0 0 431.501 -0.208 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H]1COCCO1 ZINC001287213943 1073689925 /nfs/dbraw/zinc/68/99/25/1073689925.db2.gz HBWKEUFJAXUBHT-INIZCTEOSA-N 0 0 436.513 -0.676 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCNC(=O)C(C)(C)C ZINC001287214165 1073690470 /nfs/dbraw/zinc/69/04/70/1073690470.db2.gz JLJYKRIXBUSHJO-UHFFFAOYSA-N 0 0 432.533 -0.101 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCc1ccn(C)n1 ZINC001287214238 1073690561 /nfs/dbraw/zinc/69/05/61/1073690561.db2.gz KUJKKJBXNBMWNS-UHFFFAOYSA-N 0 0 444.540 -0.115 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC1(S(C)(=O)=O)CC1 ZINC001287215063 1073690523 /nfs/dbraw/zinc/69/05/23/1073690523.db2.gz VENOROSPGJRTKH-UHFFFAOYSA-N 0 0 438.554 -0.094 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CC(=O)NCC1CC1 ZINC001287215246 1073690519 /nfs/dbraw/zinc/69/05/19/1073690519.db2.gz XTCSJYABLVLODP-UHFFFAOYSA-N 0 0 433.557 -0.092 20 0 IBADRN CC(C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001287219948 1073690555 /nfs/dbraw/zinc/69/05/55/1073690555.db2.gz PQFGLHUDVVALMH-GOSISDBHSA-N 0 0 428.559 -0.839 20 0 IBADRN CC(C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001287219949 1073690511 /nfs/dbraw/zinc/69/05/11/1073690511.db2.gz PQFGLHUDVVALMH-SFHVURJKSA-N 0 0 428.559 -0.839 20 0 IBADRN CC(C)c1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CCNC(=O)C1CC1 ZINC001287224599 1073690524 /nfs/dbraw/zinc/69/05/24/1073690524.db2.gz UODQXMRESHMRGN-UHFFFAOYSA-N 0 0 445.528 -0.606 20 0 IBADRN CC(C)[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CCO1 ZINC001287287265 1073690488 /nfs/dbraw/zinc/69/04/88/1073690488.db2.gz LQSNLUBRORZHPK-CABCVRRESA-N 0 0 429.543 -0.003 20 0 IBADRN CC(C)[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CCO1 ZINC001287287266 1073690553 /nfs/dbraw/zinc/69/05/53/1073690553.db2.gz LQSNLUBRORZHPK-GJZGRUSLSA-N 0 0 429.543 -0.003 20 0 IBADRN CC(C)[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CCO1 ZINC001287287267 1073690460 /nfs/dbraw/zinc/69/04/60/1073690460.db2.gz LQSNLUBRORZHPK-HUUCEWRRSA-N 0 0 429.543 -0.003 20 0 IBADRN CC(C)[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CCO1 ZINC001287287268 1073690497 /nfs/dbraw/zinc/69/04/97/1073690497.db2.gz LQSNLUBRORZHPK-LSDHHAIUSA-N 0 0 429.543 -0.003 20 0 IBADRN CC(C)Cc1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1CCNS(C)(=O)=O ZINC001287442561 1073690533 /nfs/dbraw/zinc/69/05/33/1073690533.db2.gz KZGHLSFTCDAZFY-AWEZNQCLSA-N 0 0 436.604 -0.209 20 0 IBADRN CC(C)Cc1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1CCNS(C)(=O)=O ZINC001287442562 1073690542 /nfs/dbraw/zinc/69/05/42/1073690542.db2.gz KZGHLSFTCDAZFY-CQSZACIVSA-N 0 0 436.604 -0.209 20 0 IBADRN COCCOc1ccc(-n2nc(C)c(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)n2)cc1 ZINC001287453255 1073690473 /nfs/dbraw/zinc/69/04/73/1073690473.db2.gz CQUCINCCLGIRDS-UHFFFAOYSA-N 0 0 438.510 -0.179 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2ccc(Br)cc2-n2cnnn2)C1 ZINC001287453536 1073690483 /nfs/dbraw/zinc/69/04/83/1073690483.db2.gz HASYLCMLFYUOMF-UHFFFAOYSA-N 0 0 430.288 -0.355 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Cn2cnc3ccc(Br)cc3c2=O)C1 ZINC001287453726 1073690565 /nfs/dbraw/zinc/69/05/65/1073690565.db2.gz IWKMAXMUSHMLNY-UHFFFAOYSA-N 0 0 444.311 -0.234 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CCCN(C)S(=O)(=O)c2ccc(F)cc2)C1 ZINC001287453920 1073690492 /nfs/dbraw/zinc/69/04/92/1073690492.db2.gz KFDXQPNVFCAYBE-UHFFFAOYSA-N 0 0 436.531 -0.167 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cc(Cn3cc(Br)cn3)on2)C1 ZINC001287454462 1073690500 /nfs/dbraw/zinc/69/05/00/1073690500.db2.gz RIPKWWXTRAZPCP-UHFFFAOYSA-N 0 0 433.288 -0.098 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)CN2CCC[C@H](C(=O)OC)C2=O)c1 ZINC001287454796 1073691111 /nfs/dbraw/zinc/69/11/11/1073691111.db2.gz NOVXHYMYORIOSK-HNNXBMFYSA-N 0 0 427.479 -0.039 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)CN2CCC[C@@H](C(=O)OC)C2=O)c1 ZINC001287454797 1073691105 /nfs/dbraw/zinc/69/11/05/1073691105.db2.gz NOVXHYMYORIOSK-OAHLLOKOSA-N 0 0 427.479 -0.039 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cc(S(=O)(=O)N3CCC3)ccc2Cl)C1 ZINC001287455019 1073691144 /nfs/dbraw/zinc/69/11/44/1073691144.db2.gz ZMPJJCLOOABFGR-UHFFFAOYSA-N 0 0 436.943 -0.045 20 0 IBADRN CC(C)Cc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001287456599 1073691125 /nfs/dbraw/zinc/69/11/25/1073691125.db2.gz FZNJFBJLNBOZJB-KRWDZBQOSA-N 0 0 449.599 -0.502 20 0 IBADRN CC(C)Cc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001287456600 1073691077 /nfs/dbraw/zinc/69/10/77/1073691077.db2.gz FZNJFBJLNBOZJB-QGZVFWFLSA-N 0 0 449.599 -0.502 20 0 IBADRN CC(C)Cc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CC(=O)N1CCOCC1 ZINC001287456636 1073691150 /nfs/dbraw/zinc/69/11/50/1073691150.db2.gz GSXRRRCFWBQCOK-UHFFFAOYSA-N 0 0 428.559 -0.193 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cccc(S(=O)(=O)N=S(C)(C)=O)c2)C1 ZINC001287458331 1073691067 /nfs/dbraw/zinc/69/10/67/1073691067.db2.gz JHVNCSRTESJTIA-UHFFFAOYSA-N 0 0 438.553 -0.677 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC(=O)N1CCOCC1 ZINC001287459705 1073691137 /nfs/dbraw/zinc/69/11/37/1073691137.db2.gz KNNNBVIXTFXKAW-UHFFFAOYSA-N 0 0 449.556 -0.491 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CC1(O)CCC1 ZINC001287459825 1073691090 /nfs/dbraw/zinc/69/10/90/1073691090.db2.gz OIHRHCUYTPHWCN-UHFFFAOYSA-N 0 0 434.541 -0.101 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCS(C)(=O)=O ZINC001287459874 1073691116 /nfs/dbraw/zinc/69/11/16/1073691116.db2.gz PZUCNJIXVZAJQZ-UHFFFAOYSA-N 0 0 428.559 -0.306 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC001287460854 1073691127 /nfs/dbraw/zinc/69/11/27/1073691127.db2.gz JCWBCMGNNMZLBM-DZGCQCFKSA-N 0 0 439.490 -0.413 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC001287460859 1073691072 /nfs/dbraw/zinc/69/10/72/1073691072.db2.gz JCWBCMGNNMZLBM-HIFRSBDPSA-N 0 0 439.490 -0.413 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC001287460860 1073691052 /nfs/dbraw/zinc/69/10/52/1073691052.db2.gz JCWBCMGNNMZLBM-UKRRQHHQSA-N 0 0 439.490 -0.413 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC001287460861 1073691102 /nfs/dbraw/zinc/69/11/02/1073691102.db2.gz JCWBCMGNNMZLBM-ZFWWWQNUSA-N 0 0 439.490 -0.413 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)ccc1Cl ZINC001287462020 1073691042 /nfs/dbraw/zinc/69/10/42/1073691042.db2.gz WGKBFTAJMAFPJM-GFCCVEGCSA-N 0 0 439.943 -0.020 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)ccc1Cl ZINC001287462021 1073691133 /nfs/dbraw/zinc/69/11/33/1073691133.db2.gz WGKBFTAJMAFPJM-LBPRGKRZSA-N 0 0 439.943 -0.020 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC001287463445 1073691020 /nfs/dbraw/zinc/69/10/20/1073691020.db2.gz DSIQTWATERDHQD-DZGCQCFKSA-N 0 0 427.458 -0.978 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC001287463446 1073691036 /nfs/dbraw/zinc/69/10/36/1073691036.db2.gz DSIQTWATERDHQD-HIFRSBDPSA-N 0 0 427.458 -0.978 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC001287463447 1073691087 /nfs/dbraw/zinc/69/10/87/1073691087.db2.gz DSIQTWATERDHQD-UKRRQHHQSA-N 0 0 427.458 -0.978 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC001287463448 1073691119 /nfs/dbraw/zinc/69/11/19/1073691119.db2.gz DSIQTWATERDHQD-ZFWWWQNUSA-N 0 0 427.458 -0.978 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1C ZINC001287463497 1073691596 /nfs/dbraw/zinc/69/15/96/1073691596.db2.gz GQSTXVFSHZLBJA-HNNXBMFYSA-N 0 0 433.552 -0.299 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1C ZINC001287463498 1073691589 /nfs/dbraw/zinc/69/15/89/1073691589.db2.gz GQSTXVFSHZLBJA-OAHLLOKOSA-N 0 0 433.552 -0.299 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)[C@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC001287463645 1073691547 /nfs/dbraw/zinc/69/15/47/1073691547.db2.gz JXILPVRKRQIBAY-DOTOQJQBSA-N 0 0 427.498 -0.007 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)[C@@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC001287463647 1073691636 /nfs/dbraw/zinc/69/16/36/1073691636.db2.gz JXILPVRKRQIBAY-NVXWUHKLSA-N 0 0 427.498 -0.007 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)[C@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC001287463649 1073691592 /nfs/dbraw/zinc/69/15/92/1073691592.db2.gz JXILPVRKRQIBAY-RDJZCZTQSA-N 0 0 427.498 -0.007 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)[C@@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC001287463651 1073691626 /nfs/dbraw/zinc/69/16/26/1073691626.db2.gz JXILPVRKRQIBAY-WBVHZDCISA-N 0 0 427.498 -0.007 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CCc1ccc(N2CCCS2(=O)=O)cc1 ZINC001287463833 1073691568 /nfs/dbraw/zinc/69/15/68/1073691568.db2.gz OHPIHKPGXPFARK-KRWDZBQOSA-N 0 0 445.563 -0.064 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CCc1ccc(N2CCCS2(=O)=O)cc1 ZINC001287463835 1073691585 /nfs/dbraw/zinc/69/15/85/1073691585.db2.gz OHPIHKPGXPFARK-QGZVFWFLSA-N 0 0 445.563 -0.064 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1Cc1nncn1C ZINC001287465411 1073691572 /nfs/dbraw/zinc/69/15/72/1073691572.db2.gz YCFRPUQMFCNMND-UHFFFAOYSA-N 0 0 431.545 -0.366 20 0 IBADRN CC(C)Cc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCNC(=O)c1ccn[nH]1 ZINC001287476362 1073691524 /nfs/dbraw/zinc/69/15/24/1073691524.db2.gz JKWVOONXBFVGKB-UHFFFAOYSA-N 0 0 431.545 -0.160 20 0 IBADRN CC(C)Cc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCN1CCCS1(=O)=O ZINC001287476396 1073691639 /nfs/dbraw/zinc/69/16/39/1073691639.db2.gz JWJITOLMCIYSEZ-UHFFFAOYSA-N 0 0 441.602 -0.278 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)NCC(F)(F)F ZINC001287487597 1073691604 /nfs/dbraw/zinc/69/16/04/1073691604.db2.gz SMAGPBLTYAPZNM-LLVKDONJSA-N 0 0 431.419 -0.698 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)NCC(F)(F)F ZINC001287487598 1073691555 /nfs/dbraw/zinc/69/15/55/1073691555.db2.gz SMAGPBLTYAPZNM-NSHDSACASA-N 0 0 431.419 -0.698 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCNC(=O)c1ccco1 ZINC001287487644 1073691541 /nfs/dbraw/zinc/69/15/41/1073691541.db2.gz UBPGSWIXTLWICU-AWEZNQCLSA-N 0 0 429.481 -0.353 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCNC(=O)c1ccco1 ZINC001287487645 1073691631 /nfs/dbraw/zinc/69/16/31/1073691631.db2.gz UBPGSWIXTLWICU-CQSZACIVSA-N 0 0 429.481 -0.353 20 0 IBADRN CC(C)Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCOCCS(C)(=O)=O ZINC001287487864 1073691533 /nfs/dbraw/zinc/69/15/33/1073691533.db2.gz UOPOXGDNIJZEEM-UHFFFAOYSA-N 0 0 437.588 -0.381 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC001287521244 1073692093 /nfs/dbraw/zinc/69/20/93/1073692093.db2.gz HXGWMHQFYSVWFA-STQMWFEESA-N 0 0 427.479 -0.497 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001287522741 1073692117 /nfs/dbraw/zinc/69/21/17/1073692117.db2.gz VPHOMLZZSYTWRO-STQMWFEESA-N 0 0 427.479 -0.497 20 0 IBADRN CC(C)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287606290 1073692034 /nfs/dbraw/zinc/69/20/34/1073692034.db2.gz LKQAGCQQRGBUDO-CHWSQXEVSA-N 0 0 427.531 -0.919 20 0 IBADRN CC(C)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287606292 1073692137 /nfs/dbraw/zinc/69/21/37/1073692137.db2.gz LKQAGCQQRGBUDO-OLZOCXBDSA-N 0 0 427.531 -0.919 20 0 IBADRN CC(C)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001287606294 1073692053 /nfs/dbraw/zinc/69/20/53/1073692053.db2.gz LKQAGCQQRGBUDO-QWHCGFSZSA-N 0 0 427.531 -0.919 20 0 IBADRN CC(C)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001287606296 1073692148 /nfs/dbraw/zinc/69/21/48/1073692148.db2.gz LKQAGCQQRGBUDO-STQMWFEESA-N 0 0 427.531 -0.919 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)c1sccc1S(=O)(=O)N1CCOCC1 ZINC001287634532 1073692126 /nfs/dbraw/zinc/69/21/26/1073692126.db2.gz HCGIAMQNLCEDEP-UHFFFAOYSA-N 0 0 433.508 -0.432 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)OC)cc1 ZINC001287650603 1073692084 /nfs/dbraw/zinc/69/20/84/1073692084.db2.gz ASFZACNUKXFBFM-AWEZNQCLSA-N 0 0 433.504 -0.091 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)OC)cc1 ZINC001287650604 1073692107 /nfs/dbraw/zinc/69/21/07/1073692107.db2.gz ASFZACNUKXFBFM-CQSZACIVSA-N 0 0 433.504 -0.091 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)OC)CC1 ZINC001287653418 1073692043 /nfs/dbraw/zinc/69/20/43/1073692043.db2.gz RENHUVRVGVGULP-GPANFISMSA-N 0 0 448.538 -0.487 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)OC)CC1 ZINC001287653420 1073692099 /nfs/dbraw/zinc/69/20/99/1073692099.db2.gz RENHUVRVGVGULP-UYSNPLJNSA-N 0 0 448.538 -0.487 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)OC)cc1OC ZINC001287654907 1073692154 /nfs/dbraw/zinc/69/21/54/1073692154.db2.gz XRYNKNQYHVUYCL-CYBMUJFWSA-N 0 0 428.463 -0.378 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)OC)cc1OC ZINC001287654908 1073692056 /nfs/dbraw/zinc/69/20/56/1073692056.db2.gz XRYNKNQYHVUYCL-ZDUSSCGKSA-N 0 0 428.463 -0.378 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001287692275 1073692075 /nfs/dbraw/zinc/69/20/75/1073692075.db2.gz AOIYVPUXYDYBJX-ACJLOTCBSA-N 0 0 429.495 -0.161 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001287692276 1073692103 /nfs/dbraw/zinc/69/21/03/1073692103.db2.gz AOIYVPUXYDYBJX-FZKQIMNGSA-N 0 0 429.495 -0.161 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001287692277 1073692109 /nfs/dbraw/zinc/69/21/09/1073692109.db2.gz AOIYVPUXYDYBJX-SCLBCKFNSA-N 0 0 429.495 -0.161 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001287692278 1073692141 /nfs/dbraw/zinc/69/21/41/1073692141.db2.gz AOIYVPUXYDYBJX-UGSOOPFHSA-N 0 0 429.495 -0.161 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001287696430 1073692114 /nfs/dbraw/zinc/69/21/14/1073692114.db2.gz YZUMZLXXZXVBPI-AUUYWEPGSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001287696432 1073692048 /nfs/dbraw/zinc/69/20/48/1073692048.db2.gz YZUMZLXXZXVBPI-IFXJQAMLSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001287696433 1073692101 /nfs/dbraw/zinc/69/21/01/1073692101.db2.gz YZUMZLXXZXVBPI-KUHUBIRLSA-N 0 0 439.490 -0.400 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001287696434 1073692721 /nfs/dbraw/zinc/69/27/21/1073692721.db2.gz YZUMZLXXZXVBPI-LIRRHRJNSA-N 0 0 439.490 -0.400 20 0 IBADRN CC(C)CN1CCN(c2nnc(C(=O)NC(C)C)n2CC(=O)N2CCOCC2)[C@@H](C)C1=O ZINC001287739702 1073692619 /nfs/dbraw/zinc/69/26/19/1073692619.db2.gz AXBQAADJEKDZOD-INIZCTEOSA-N 0 0 449.556 -0.032 20 0 IBADRN CC(C)CN1CCN(c2nnc(C(=O)NC(C)C)n2CC(=O)N2CCOCC2)[C@H](C)C1=O ZINC001287739705 1073692675 /nfs/dbraw/zinc/69/26/75/1073692675.db2.gz AXBQAADJEKDZOD-MRXNPFEDSA-N 0 0 449.556 -0.032 20 0 IBADRN CC(C)CN1CCN(c2nnc(C(=O)NC(C)C)n2CCCNS(C)(=O)=O)CC1=O ZINC001287742532 1073692664 /nfs/dbraw/zinc/69/26/64/1073692664.db2.gz VCXDACRZWFRANG-UHFFFAOYSA-N 0 0 443.574 -0.340 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnn(C)c2)CC1=O ZINC001287747985 1073692737 /nfs/dbraw/zinc/69/27/37/1073692737.db2.gz CTENMTDEBYMOIA-AWEZNQCLSA-N 0 0 438.558 -0.025 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnn(C)c2)CC1=O ZINC001287747987 1073692640 /nfs/dbraw/zinc/69/26/40/1073692640.db2.gz CTENMTDEBYMOIA-CQSZACIVSA-N 0 0 438.558 -0.025 20 0 IBADRN O=C(NC[C@@H](n1cncn1)C(F)(F)F)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001287753228 1073692715 /nfs/dbraw/zinc/69/27/15/1073692715.db2.gz FABZOGHBFAQALF-CYBMUJFWSA-N 0 0 446.411 -0.068 20 0 IBADRN O=C(NC[C@H](n1cncn1)C(F)(F)F)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001287753230 1073692629 /nfs/dbraw/zinc/69/26/29/1073692629.db2.gz FABZOGHBFAQALF-ZDUSSCGKSA-N 0 0 446.411 -0.068 20 0 IBADRN CC(C)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCCNS(C)(=O)=O)CC1=O ZINC001287760257 1073692741 /nfs/dbraw/zinc/69/27/41/1073692741.db2.gz GMDGFOXGCLSOKY-UHFFFAOYSA-N 0 0 438.558 -0.078 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCn2cnnn2)[C@H](C)C1=O ZINC001287770046 1073692755 /nfs/dbraw/zinc/69/27/55/1073692755.db2.gz QJYOSVBHBHPWRP-CABCVRRESA-N 0 0 430.517 -0.001 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCn2cnnn2)[C@@H](C)C1=O ZINC001287770048 1073692697 /nfs/dbraw/zinc/69/26/97/1073692697.db2.gz QJYOSVBHBHPWRP-GJZGRUSLSA-N 0 0 430.517 -0.001 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCn2cnnn2)[C@H](C)C1=O ZINC001287770050 1073692594 /nfs/dbraw/zinc/69/25/94/1073692594.db2.gz QJYOSVBHBHPWRP-HUUCEWRRSA-N 0 0 430.517 -0.001 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCn2cnnn2)[C@@H](C)C1=O ZINC001287770052 1073692761 /nfs/dbraw/zinc/69/27/61/1073692761.db2.gz QJYOSVBHBHPWRP-LSDHHAIUSA-N 0 0 430.517 -0.001 20 0 IBADRN Cn1c2ncn(CC(=O)NCc3ccc(CN4CCNC4=O)cc3)c2c(=O)n(C)c1=O ZINC001287889378 1073692611 /nfs/dbraw/zinc/69/26/11/1073692611.db2.gz LLANSRVHZDJFBN-UHFFFAOYSA-N 0 0 425.449 -0.725 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)c3ccc(F)c(S(=O)(=O)N4CCOCC4)c3)C[C@H]2C1=O ZINC001287906579 1073692651 /nfs/dbraw/zinc/69/26/51/1073692651.db2.gz BSJOFYIQBSMMBU-BETUJISGSA-N 0 0 425.438 -0.467 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)c1ccc(-n2cc(Br)cn2)nn1 ZINC001287913952 1073692702 /nfs/dbraw/zinc/69/27/02/1073692702.db2.gz BILYLQZOVMQESZ-INIZCTEOSA-N 0 0 437.254 -0.218 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)c1ccc(-n2cc(Br)cn2)nn1 ZINC001287913955 1073692776 /nfs/dbraw/zinc/69/27/76/1073692776.db2.gz BILYLQZOVMQESZ-MRXNPFEDSA-N 0 0 437.254 -0.218 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)c1sccc1S(=O)(=O)N1CCCC1 ZINC001287914273 1073692766 /nfs/dbraw/zinc/69/27/66/1073692766.db2.gz CUAMPZRZAIXEIR-KRWDZBQOSA-N 0 0 429.520 -0.110 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)c1sccc1S(=O)(=O)N1CCCC1 ZINC001287914274 1073693202 /nfs/dbraw/zinc/69/32/02/1073693202.db2.gz CUAMPZRZAIXEIR-QGZVFWFLSA-N 0 0 429.520 -0.110 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)[C@@H]1CCCCN1S(=O)(=O)c1ccccc1 ZINC001287914765 1073693258 /nfs/dbraw/zinc/69/32/58/1073693258.db2.gz LJPXAUSCHPLQFR-FXAWDEMLSA-N 0 0 437.518 -0.026 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)[C@@H]1CCCCN1S(=O)(=O)c1ccccc1 ZINC001287914766 1073693206 /nfs/dbraw/zinc/69/32/06/1073693206.db2.gz LJPXAUSCHPLQFR-PXNSSMCTSA-N 0 0 437.518 -0.026 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)[C@H]1CCCCN1S(=O)(=O)c1ccccc1 ZINC001287914767 1073693276 /nfs/dbraw/zinc/69/32/76/1073693276.db2.gz LJPXAUSCHPLQFR-XLIONFOSSA-N 0 0 437.518 -0.026 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)[C@H]1CCCCN1S(=O)(=O)c1ccccc1 ZINC001287914768 1073693268 /nfs/dbraw/zinc/69/32/68/1073693268.db2.gz LJPXAUSCHPLQFR-YLJYHZDGSA-N 0 0 437.518 -0.026 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001287915622 1073693234 /nfs/dbraw/zinc/69/32/34/1073693234.db2.gz WORBIMJIDQUAES-LEWJYISDSA-N 0 0 430.461 -0.494 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NC[C@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001287915626 1073693286 /nfs/dbraw/zinc/69/32/86/1073693286.db2.gz WORBIMJIDQUAES-NHCUHLMSSA-N 0 0 430.461 -0.494 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NC[C@@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001287915629 1073693224 /nfs/dbraw/zinc/69/32/24/1073693224.db2.gz WORBIMJIDQUAES-RTWAWAEBSA-N 0 0 430.461 -0.494 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NC[C@@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001287915632 1073693263 /nfs/dbraw/zinc/69/32/63/1073693263.db2.gz WORBIMJIDQUAES-SFTDATJTSA-N 0 0 430.461 -0.494 20 0 IBADRN CN(C)C(=O)COc1ccc(C(F)(F)C(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001287915676 1073693210 /nfs/dbraw/zinc/69/32/10/1073693210.db2.gz XLRYHKHIEXCNHF-IBGZPJMESA-N 0 0 441.431 -0.011 20 0 IBADRN CN(C)C(=O)COc1ccc(C(F)(F)C(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001287915679 1073693219 /nfs/dbraw/zinc/69/32/19/1073693219.db2.gz XLRYHKHIEXCNHF-LJQANCHMSA-N 0 0 441.431 -0.011 20 0 IBADRN C[C@@H](C(=O)NC[C@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001287915732 1073693242 /nfs/dbraw/zinc/69/32/42/1073693242.db2.gz YGCDHRCICSXDHG-AUUYWEPGSA-N 0 0 425.507 -0.216 20 0 IBADRN C[C@H](C(=O)NC[C@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001287915733 1073693289 /nfs/dbraw/zinc/69/32/89/1073693289.db2.gz YGCDHRCICSXDHG-IFXJQAMLSA-N 0 0 425.507 -0.216 20 0 IBADRN C[C@@H](C(=O)NC[C@@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001287915734 1073693283 /nfs/dbraw/zinc/69/32/83/1073693283.db2.gz YGCDHRCICSXDHG-KUHUBIRLSA-N 0 0 425.507 -0.216 20 0 IBADRN C[C@H](C(=O)NC[C@@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001287915735 1073693229 /nfs/dbraw/zinc/69/32/29/1073693229.db2.gz YGCDHRCICSXDHG-LIRRHRJNSA-N 0 0 425.507 -0.216 20 0 IBADRN CC(C)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CC1 ZINC001288005460 1073693890 /nfs/dbraw/zinc/69/38/90/1073693890.db2.gz NSOILGFJSVCCDC-AWEZNQCLSA-N 0 0 434.588 -0.740 20 0 IBADRN CC(C)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CC1 ZINC001288005466 1073693956 /nfs/dbraw/zinc/69/39/56/1073693956.db2.gz NSOILGFJSVCCDC-CQSZACIVSA-N 0 0 434.588 -0.740 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@](C)(O)C2CC2)CC1 ZINC001288013982 1073693878 /nfs/dbraw/zinc/69/38/78/1073693878.db2.gz AZCJQEQZCFLTOB-NRFANRHFSA-N 0 0 449.556 -0.250 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@](C)(O)C2CC2)CC1 ZINC001288013983 1073693885 /nfs/dbraw/zinc/69/38/85/1073693885.db2.gz AZCJQEQZCFLTOB-OAQYLSRUSA-N 0 0 449.556 -0.250 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(C)(C)C)n2CCCn2cnnn2)CC1 ZINC001288014171 1073693874 /nfs/dbraw/zinc/69/38/74/1073693874.db2.gz IUOQCWIDTBDOEF-UHFFFAOYSA-N 0 0 432.533 -0.174 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccnc3)n2Cc2cnnn2C)CC1 ZINC001288014188 1073693921 /nfs/dbraw/zinc/69/39/21/1073693921.db2.gz JIISVFNAFKYASD-UHFFFAOYSA-N 0 0 438.496 -0.310 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccn3C)n2CCC(=O)N(C)C)CC1 ZINC001288014909 1073693937 /nfs/dbraw/zinc/69/39/37/1073693937.db2.gz RNALQRNAHZIMFR-UHFFFAOYSA-N 0 0 444.540 -0.065 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccnc3)n2CC(=O)N(C)C)CC1 ZINC001288015021 1073693926 /nfs/dbraw/zinc/69/39/26/1073693926.db2.gz USIGRHCBDZANBQ-UHFFFAOYSA-N 0 0 428.497 -0.399 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@H]2COCCO2)CC1 ZINC001288015036 1073693798 /nfs/dbraw/zinc/69/37/98/1073693798.db2.gz VGUXZLQGXQYLBO-HNNXBMFYSA-N 0 0 431.497 -0.138 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@@H]2COCCO2)CC1 ZINC001288015037 1073693816 /nfs/dbraw/zinc/69/38/16/1073693816.db2.gz VGUXZLQGXQYLBO-OAHLLOKOSA-N 0 0 431.497 -0.138 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccn3C)n2Cc2nncn2C)CC1 ZINC001288015285 1073693787 /nfs/dbraw/zinc/69/37/87/1073693787.db2.gz YJDFWVLVLWPCNU-UHFFFAOYSA-N 0 0 440.512 -0.366 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3ccccn3)n2CCOCCCO)CC1 ZINC001288015338 1073694492 /nfs/dbraw/zinc/69/44/92/1073694492.db2.gz ZUJDERIMYWEXGT-UHFFFAOYSA-N 0 0 445.524 -0.088 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCOC2)CC1 ZINC001288015489 1073694460 /nfs/dbraw/zinc/69/44/60/1073694460.db2.gz QJMZPCJGZCZTQZ-INIZCTEOSA-N 0 0 447.540 -0.276 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCOC2)CC1 ZINC001288015492 1073694473 /nfs/dbraw/zinc/69/44/73/1073694473.db2.gz QJMZPCJGZCZTQZ-MRXNPFEDSA-N 0 0 447.540 -0.276 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)NCC2CC2)CC1 ZINC001288017459 1073694436 /nfs/dbraw/zinc/69/44/36/1073694436.db2.gz AWNGMEPKDUXVIE-INIZCTEOSA-N 0 0 447.540 -0.519 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)NCC2CC2)CC1 ZINC001288017465 1073694415 /nfs/dbraw/zinc/69/44/15/1073694415.db2.gz AWNGMEPKDUXVIE-MRXNPFEDSA-N 0 0 447.540 -0.519 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2COCCO2)CC1 ZINC001288018252 1073694441 /nfs/dbraw/zinc/69/44/41/1073694441.db2.gz HOCIARCHPDVWMK-CVEARBPZSA-N 0 0 436.513 -0.629 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2COCCO2)CC1 ZINC001288018253 1073694423 /nfs/dbraw/zinc/69/44/23/1073694423.db2.gz HOCIARCHPDVWMK-HOTGVXAUSA-N 0 0 436.513 -0.629 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2COCCO2)CC1 ZINC001288018254 1073694433 /nfs/dbraw/zinc/69/44/33/1073694433.db2.gz HOCIARCHPDVWMK-HZPDHXFCSA-N 0 0 436.513 -0.629 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2COCCO2)CC1 ZINC001288018255 1073694456 /nfs/dbraw/zinc/69/44/56/1073694456.db2.gz HOCIARCHPDVWMK-JKSUJKDBSA-N 0 0 436.513 -0.629 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCc2ccn(C)n2)CC1 ZINC001288018257 1073694466 /nfs/dbraw/zinc/69/44/66/1073694466.db2.gz HQZGMOKMAGZVBK-INIZCTEOSA-N 0 0 444.540 -0.069 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCc2ccn(C)n2)CC1 ZINC001288018258 1073694470 /nfs/dbraw/zinc/69/44/70/1073694470.db2.gz HQZGMOKMAGZVBK-MRXNPFEDSA-N 0 0 444.540 -0.069 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCn2cncn2)CC1 ZINC001288019108 1073694363 /nfs/dbraw/zinc/69/43/63/1073694363.db2.gz OTEWJJQKEUHKNE-HNNXBMFYSA-N 0 0 431.501 -0.753 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCn2cncn2)CC1 ZINC001288019117 1073694376 /nfs/dbraw/zinc/69/43/76/1073694376.db2.gz OTEWJJQKEUHKNE-OAHLLOKOSA-N 0 0 431.501 -0.753 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H](C)CS(C)(=O)=O)n1CCS(=O)(=O)N(C)C ZINC001288021497 1073694385 /nfs/dbraw/zinc/69/43/85/1073694385.db2.gz AQMFXCCDZKRCKH-GFCCVEGCSA-N 0 0 438.576 -0.823 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H](C)CS(C)(=O)=O)n1CCS(=O)(=O)N(C)C ZINC001288021521 1073694477 /nfs/dbraw/zinc/69/44/77/1073694477.db2.gz AQMFXCCDZKRCKH-LBPRGKRZSA-N 0 0 438.576 -0.823 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001288025421 1073694451 /nfs/dbraw/zinc/69/44/51/1073694451.db2.gz HVCLWFLYWIUKTH-GOSISDBHSA-N 0 0 425.463 -0.342 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001288025422 1073694406 /nfs/dbraw/zinc/69/44/06/1073694406.db2.gz HVCLWFLYWIUKTH-SFHVURJKSA-N 0 0 425.463 -0.342 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CCC2)CC1 ZINC001288026004 1073694483 /nfs/dbraw/zinc/69/44/83/1073694483.db2.gz BLURUUWIMAVNRZ-UHFFFAOYSA-N 0 0 447.540 -0.511 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCCO3)n2Cc2cnnn2C)CC1 ZINC001288027277 1073694443 /nfs/dbraw/zinc/69/44/43/1073694443.db2.gz PIZLDYCEFMRYHH-OAHLLOKOSA-N 0 0 431.501 -0.520 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCCO3)n2Cc2ncn(C)n2)CC1 ZINC001288029012 1073694487 /nfs/dbraw/zinc/69/44/87/1073694487.db2.gz YBVSEYLPMKFCSH-CQSZACIVSA-N 0 0 431.501 -0.520 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCCN1CCC(O)CC1 ZINC001288029913 1073694989 /nfs/dbraw/zinc/69/49/89/1073694989.db2.gz NHWMRQAPMQSNDK-HNNXBMFYSA-N 0 0 442.586 -0.114 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCCN1CCC(O)CC1 ZINC001288029914 1073694975 /nfs/dbraw/zinc/69/49/75/1073694975.db2.gz NHWMRQAPMQSNDK-OAHLLOKOSA-N 0 0 442.586 -0.114 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CC(=O)NCC(F)F ZINC001288031880 1073694917 /nfs/dbraw/zinc/69/49/17/1073694917.db2.gz ARTVCEWTDZYJDG-UHFFFAOYSA-N 0 0 431.444 -0.907 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCN1CCSCC1 ZINC001288032324 1073694965 /nfs/dbraw/zinc/69/49/65/1073694965.db2.gz GHUDIEMBLZUECN-UHFFFAOYSA-N 0 0 439.586 -0.240 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCCO[C@H]1CCOC1 ZINC001288032763 1073694905 /nfs/dbraw/zinc/69/49/05/1073694905.db2.gz LORAPQHJJBDYGO-INIZCTEOSA-N 0 0 438.529 -0.093 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCCO[C@@H]1CCOC1 ZINC001288032765 1073694939 /nfs/dbraw/zinc/69/49/39/1073694939.db2.gz LORAPQHJJBDYGO-MRXNPFEDSA-N 0 0 438.529 -0.093 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCCNC(=O)C(C)C ZINC001288034745 1073695011 /nfs/dbraw/zinc/69/50/11/1073695011.db2.gz XZYKCTPYKFIDQX-UHFFFAOYSA-N 0 0 437.545 -0.126 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCCS(C)(=O)=O)n1CC(=O)N1CCOCC1 ZINC001288057904 1073694982 /nfs/dbraw/zinc/69/49/82/1073694982.db2.gz DDYUXCXNBZMTSA-UHFFFAOYSA-N 0 0 430.531 -0.854 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCN2CCOCC2)n1CC1(S(C)(=O)=O)CC1 ZINC001288058380 1073694933 /nfs/dbraw/zinc/69/49/33/1073694933.db2.gz IQSQLMWMQWUIIG-UHFFFAOYSA-N 0 0 428.559 -0.238 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1Cc1ccc(N(C)C)nc1 ZINC001288059682 1073694998 /nfs/dbraw/zinc/69/49/98/1073694998.db2.gz AJDJABBYNSWVEU-UHFFFAOYSA-N 0 0 438.558 -0.089 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@]1(C)CN(C2CC2)C1=O ZINC001288059732 1073695062 /nfs/dbraw/zinc/69/50/62/1073695062.db2.gz BSTCPEIPDVQCEG-GOSISDBHSA-N 0 0 441.558 -0.587 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@]1(C)CN(C2CC2)C1=O ZINC001288059734 1073694947 /nfs/dbraw/zinc/69/49/47/1073694947.db2.gz BSTCPEIPDVQCEG-SFHVURJKSA-N 0 0 441.558 -0.587 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1CC(=O)NCC(F)(F)F ZINC001288060257 1073695068 /nfs/dbraw/zinc/69/50/68/1073695068.db2.gz MFQUFVBGCIHOBI-UHFFFAOYSA-N 0 0 443.452 -0.920 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1CC[S@@](=O)C(C)(C)C ZINC001288061486 1073694957 /nfs/dbraw/zinc/69/49/57/1073694957.db2.gz WRYDSBHFNGCEIL-HHHXNRCGSA-N 0 0 436.604 -0.051 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1CC[S@](=O)C(C)(C)C ZINC001288061487 1073695048 /nfs/dbraw/zinc/69/50/48/1073695048.db2.gz WRYDSBHFNGCEIL-MHZLTWQESA-N 0 0 436.604 -0.051 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC1(S(C)(=O)=O)CCC1 ZINC001288061637 1073695018 /nfs/dbraw/zinc/69/50/18/1073695018.db2.gz XZXUBJGGURCLQJ-UHFFFAOYSA-N 0 0 435.572 -0.136 20 0 IBADRN NC(=O)C1(NC(=O)[C@H]2CCCN(C(=O)COc3ccccc3)C2)CCS(=O)(=O)CC1 ZINC001288061803 1073695042 /nfs/dbraw/zinc/69/50/42/1073695042.db2.gz KGRJGMXQUWPIIQ-HNNXBMFYSA-N 0 0 437.518 -0.147 20 0 IBADRN NC(=O)C1(NC(=O)[C@@H]2CCCN(C(=O)COc3ccccc3)C2)CCS(=O)(=O)CC1 ZINC001288061804 1073695079 /nfs/dbraw/zinc/69/50/79/1073695079.db2.gz KGRJGMXQUWPIIQ-OAHLLOKOSA-N 0 0 437.518 -0.147 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1CC1(O)CCOCC1 ZINC001288066298 1073695052 /nfs/dbraw/zinc/69/50/52/1073695052.db2.gz KYDWQYBJEKISRK-UHFFFAOYSA-N 0 0 429.543 -0.029 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001288066441 1073695030 /nfs/dbraw/zinc/69/50/30/1073695030.db2.gz NVNKUBLLJBJOEZ-GOSISDBHSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@]1(C)CN(C)C1=O ZINC001288066442 1073695614 /nfs/dbraw/zinc/69/56/14/1073695614.db2.gz NVNKUBLLJBJOEZ-SFHVURJKSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1Cc1cccc(OCC(N)=O)c1 ZINC001288068100 1073695632 /nfs/dbraw/zinc/69/56/32/1073695632.db2.gz BVBNDBBJUWPEOV-AWEZNQCLSA-N 0 0 443.508 -0.004 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1Cc1cccc(OCC(N)=O)c1 ZINC001288068101 1073695579 /nfs/dbraw/zinc/69/55/79/1073695579.db2.gz BVBNDBBJUWPEOV-CQSZACIVSA-N 0 0 443.508 -0.004 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CC1CCS(=O)(=O)CC1 ZINC001288069016 1073695607 /nfs/dbraw/zinc/69/56/07/1073695607.db2.gz JDHQMUXFQKDQMX-CYBMUJFWSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CC1CCS(=O)(=O)CC1 ZINC001288069017 1073695592 /nfs/dbraw/zinc/69/55/92/1073695592.db2.gz JDHQMUXFQKDQMX-ZDUSSCGKSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCN1CCCS1(=O)=O ZINC001288069020 1073695523 /nfs/dbraw/zinc/69/55/23/1073695523.db2.gz JEGYXDSEQFWUJG-CYBMUJFWSA-N 0 0 427.531 -0.881 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCN1CCCS1(=O)=O ZINC001288069021 1073695598 /nfs/dbraw/zinc/69/55/98/1073695598.db2.gz JEGYXDSEQFWUJG-ZDUSSCGKSA-N 0 0 427.531 -0.881 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(F)(F)C(=O)NC1(C(N)=O)CCS(=O)(=O)CC1 ZINC001288071495 1073695542 /nfs/dbraw/zinc/69/55/42/1073695542.db2.gz RNWYKNDSPWZZKQ-UHFFFAOYSA-N 0 0 447.460 -0.206 20 0 IBADRN Cn1cc(I)c(C(=O)NC2(C(N)=O)CCS(=O)(=O)CC2)n1 ZINC001288071654 1073695639 /nfs/dbraw/zinc/69/56/39/1073695639.db2.gz VMCHWIUFSMVICJ-UHFFFAOYSA-N 0 0 426.236 -0.813 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H](C)O[C@@]3(CCOC3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001288078065 1073695624 /nfs/dbraw/zinc/69/56/24/1073695624.db2.gz WIXACWVJJORRDB-CKNLXJGOSA-N 0 0 449.512 -0.211 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H](C)O[C@]3(CCOC3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001288078066 1073695648 /nfs/dbraw/zinc/69/56/48/1073695648.db2.gz WIXACWVJJORRDB-LAPQFRIASA-N 0 0 449.512 -0.211 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H](C)O[C@]3(CCOC3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001288078067 1073695643 /nfs/dbraw/zinc/69/56/43/1073695643.db2.gz WIXACWVJJORRDB-PJSUUKDQSA-N 0 0 449.512 -0.211 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H](C)O[C@@]3(CCOC3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001288078068 1073695665 /nfs/dbraw/zinc/69/56/65/1073695665.db2.gz WIXACWVJJORRDB-YRVVQQKDSA-N 0 0 449.512 -0.211 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001288078209 1073695619 /nfs/dbraw/zinc/69/56/19/1073695619.db2.gz MIRSPEKTSBOXES-ILXRZTDVSA-N 0 0 438.554 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001288078210 1073695637 /nfs/dbraw/zinc/69/56/37/1073695637.db2.gz MIRSPEKTSBOXES-KKUMJFAQSA-N 0 0 438.554 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001288078211 1073695673 /nfs/dbraw/zinc/69/56/73/1073695673.db2.gz MIRSPEKTSBOXES-QLFBSQMISA-N 0 0 438.554 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001288078212 1073695570 /nfs/dbraw/zinc/69/55/70/1073695570.db2.gz MIRSPEKTSBOXES-SOUVJXGZSA-N 0 0 438.554 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(C(=O)N3CCOCC3)CC2)n1CCn1cncn1 ZINC001288082898 1073695654 /nfs/dbraw/zinc/69/56/54/1073695654.db2.gz BREVDCFKIJQEHQ-UHFFFAOYSA-N 0 0 445.528 -0.217 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](C(N)=O)C2)n1C[C@@H](N1CCOCC1)C(F)(F)F ZINC001288087120 1073695604 /nfs/dbraw/zinc/69/56/04/1073695604.db2.gz BHAOUVNJSQADQH-CHWSQXEVSA-N 0 0 447.462 -0.009 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](C(N)=O)C2)n1C[C@H](N1CCOCC1)C(F)(F)F ZINC001288087121 1073695558 /nfs/dbraw/zinc/69/55/58/1073695558.db2.gz BHAOUVNJSQADQH-OLZOCXBDSA-N 0 0 447.462 -0.009 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](C(N)=O)C2)n1C[C@@H](N1CCOCC1)C(F)(F)F ZINC001288087122 1073696229 /nfs/dbraw/zinc/69/62/29/1073696229.db2.gz BHAOUVNJSQADQH-QWHCGFSZSA-N 0 0 447.462 -0.009 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](C(N)=O)C2)n1C[C@H](N1CCOCC1)C(F)(F)F ZINC001288087123 1073696199 /nfs/dbraw/zinc/69/61/99/1073696199.db2.gz BHAOUVNJSQADQH-STQMWFEESA-N 0 0 447.462 -0.009 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@](C)(N3CCOCC3)C2)n1CCS(C)(=O)=O ZINC001288089355 1073696171 /nfs/dbraw/zinc/69/61/71/1073696171.db2.gz UQNBJDQSEBLGLL-GOSISDBHSA-N 0 0 428.559 -0.238 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@](C)(N3CCOCC3)C2)n1CCS(C)(=O)=O ZINC001288089357 1073696235 /nfs/dbraw/zinc/69/62/35/1073696235.db2.gz UQNBJDQSEBLGLL-SFHVURJKSA-N 0 0 428.559 -0.238 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](CN3CCOCC3)C2)n1CCNS(C)(=O)=O ZINC001288098208 1073696148 /nfs/dbraw/zinc/69/61/48/1073696148.db2.gz BRKVIRHAVNDALC-HNNXBMFYSA-N 0 0 443.574 -0.876 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](CN3CCOCC3)C2)n1CCNS(C)(=O)=O ZINC001288098209 1073696095 /nfs/dbraw/zinc/69/60/95/1073696095.db2.gz BRKVIRHAVNDALC-OAHLLOKOSA-N 0 0 443.574 -0.876 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](CN3CCOCC3)C2)n1CC(=O)NCC(F)F ZINC001288098665 1073696246 /nfs/dbraw/zinc/69/62/46/1073696246.db2.gz GWZBMCJEOONFMD-AWEZNQCLSA-N 0 0 443.499 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](CN3CCOCC3)C2)n1CC(=O)NCC(F)F ZINC001288098666 1073696214 /nfs/dbraw/zinc/69/62/14/1073696214.db2.gz GWZBMCJEOONFMD-CQSZACIVSA-N 0 0 443.499 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](CN3CCOCC3)C2)n1CCS(C)(=O)=O ZINC001288098683 1073696158 /nfs/dbraw/zinc/69/61/58/1073696158.db2.gz HFOSMSGKQSNFHL-HNNXBMFYSA-N 0 0 428.559 -0.381 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](CN3CCOCC3)C2)n1CCS(C)(=O)=O ZINC001288098684 1073696239 /nfs/dbraw/zinc/69/62/39/1073696239.db2.gz HFOSMSGKQSNFHL-OAHLLOKOSA-N 0 0 428.559 -0.381 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(CNS(C)(=O)=O)CC2)n1Cc1cnn(C)c1 ZINC001288100490 1073696132 /nfs/dbraw/zinc/69/61/32/1073696132.db2.gz VPYCBVHVAGNSFF-UHFFFAOYSA-N 0 0 438.558 -0.036 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(CNS(C)(=O)=O)CC2)n1C[C@]1(O)CCOC1 ZINC001288100720 1073696189 /nfs/dbraw/zinc/69/61/89/1073696189.db2.gz ZUBDSZQGHRTBER-GOSISDBHSA-N 0 0 444.558 -0.667 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(CNS(C)(=O)=O)CC2)n1C[C@@]1(O)CCOC1 ZINC001288100721 1073696205 /nfs/dbraw/zinc/69/62/05/1073696205.db2.gz ZUBDSZQGHRTBER-SFHVURJKSA-N 0 0 444.558 -0.667 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCCNC3=O)CC2)n1C[C@@]1(O)CCOC1 ZINC001288106533 1073696178 /nfs/dbraw/zinc/69/61/78/1073696178.db2.gz QKKQTNOJARSKOY-FQEVSTJZSA-N 0 0 435.529 -0.048 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCCNC3=O)CC2)n1C[C@]1(O)CCOC1 ZINC001288106534 1073696110 /nfs/dbraw/zinc/69/61/10/1073696110.db2.gz QKKQTNOJARSKOY-HXUWFJFHSA-N 0 0 435.529 -0.048 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N(C)S(C)(=O)=O)CC2)n1C[C@H]1COCCO1 ZINC001288106981 1073696182 /nfs/dbraw/zinc/69/61/82/1073696182.db2.gz YISKVGYGFAETBC-HNNXBMFYSA-N 0 0 444.558 -0.308 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1CC1(O)CCOCC1 ZINC001288108008 1073696210 /nfs/dbraw/zinc/69/62/10/1073696210.db2.gz GXVTVTKDCNGVKR-UHFFFAOYSA-N 0 0 435.529 -0.048 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@@]1(O)CCOC1 ZINC001288108067 1073696071 /nfs/dbraw/zinc/69/60/71/1073696071.db2.gz IETGUSLDYUYPQA-KRWDZBQOSA-N 0 0 430.531 -0.914 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@]1(O)CCOC1 ZINC001288108068 1073696125 /nfs/dbraw/zinc/69/61/25/1073696125.db2.gz IETGUSLDYUYPQA-QGZVFWFLSA-N 0 0 430.531 -0.914 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NC(=O)C3CC3)CC2)n1CC(=O)N1CCOCC1 ZINC001288108085 1073696119 /nfs/dbraw/zinc/69/61/19/1073696119.db2.gz IJGJFYJCKOFIGN-UHFFFAOYSA-N 0 0 447.540 -0.230 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](NC(=O)NC3CC3)C2)n1CC(=O)N1CCOCC1 ZINC001288108804 1073696628 /nfs/dbraw/zinc/69/66/28/1073696628.db2.gz NHCROFNOBTVFGP-HNNXBMFYSA-N 0 0 448.528 -0.685 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](NC(=O)NC3CC3)C2)n1CC(=O)N1CCOCC1 ZINC001288108805 1073696794 /nfs/dbraw/zinc/69/67/94/1073696794.db2.gz NHCROFNOBTVFGP-OAHLLOKOSA-N 0 0 448.528 -0.685 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(OCC(N)=O)CC2)n1CC1(N2CCOCC2)CC1 ZINC001288112590 1073696753 /nfs/dbraw/zinc/69/67/53/1073696753.db2.gz AIBOYZWZNNIRNG-UHFFFAOYSA-N 0 0 449.556 -0.248 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(S(C)(=O)=O)CC2)n1CCNC(=O)C1CC1 ZINC001288113717 1073696687 /nfs/dbraw/zinc/69/66/87/1073696687.db2.gz JDQICSTVYGHZOY-UHFFFAOYSA-N 0 0 426.543 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1CCN1CCOC[C@H]1C ZINC001288114416 1073696743 /nfs/dbraw/zinc/69/67/43/1073696743.db2.gz SLXUYMYCWHCDCL-CABCVRRESA-N 0 0 428.559 -0.240 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1CCN1CCOC[C@@H]1C ZINC001288114417 1073696659 /nfs/dbraw/zinc/69/66/59/1073696659.db2.gz SLXUYMYCWHCDCL-GJZGRUSLSA-N 0 0 428.559 -0.240 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1CCN1CCOC[C@H]1C ZINC001288114418 1073696849 /nfs/dbraw/zinc/69/68/49/1073696849.db2.gz SLXUYMYCWHCDCL-HUUCEWRRSA-N 0 0 428.559 -0.240 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1CCN1CCOC[C@@H]1C ZINC001288114419 1073696670 /nfs/dbraw/zinc/69/66/70/1073696670.db2.gz SLXUYMYCWHCDCL-LSDHHAIUSA-N 0 0 428.559 -0.240 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(OCC(N)=O)CC2)n1CCN1CCOC[C@@H]1C ZINC001288114437 1073696809 /nfs/dbraw/zinc/69/68/09/1073696809.db2.gz TVPCCCGUGWVZRW-HNNXBMFYSA-N 0 0 437.545 -0.392 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(OCC(N)=O)CC2)n1CCN1CCOC[C@H]1C ZINC001288114438 1073696612 /nfs/dbraw/zinc/69/66/12/1073696612.db2.gz TVPCCCGUGWVZRW-OAHLLOKOSA-N 0 0 437.545 -0.392 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(S(C)(=O)=O)CC2)n1CC(=O)NCC1CC1 ZINC001288114738 1073696834 /nfs/dbraw/zinc/69/68/34/1073696834.db2.gz ZLNWVFUORZLEMS-UHFFFAOYSA-N 0 0 426.543 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC3(COC(=O)N3)CC2)n1CCS(=O)(=O)N(C)C ZINC001288115926 1073696702 /nfs/dbraw/zinc/69/67/02/1073696702.db2.gz ITIZCGAAHWIQGD-UHFFFAOYSA-N 0 0 443.530 -0.614 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCS(=O)(=O)N(C)C ZINC001288116466 1073696801 /nfs/dbraw/zinc/69/68/01/1073696801.db2.gz FETODQXVSIPIOT-KRWDZBQOSA-N 0 0 427.531 -0.976 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCS(=O)(=O)N(C)C ZINC001288116467 1073696714 /nfs/dbraw/zinc/69/67/14/1073696714.db2.gz FETODQXVSIPIOT-QGZVFWFLSA-N 0 0 427.531 -0.976 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1C[C@@]1(C)COCCO1 ZINC001288116631 1073696643 /nfs/dbraw/zinc/69/66/43/1073696643.db2.gz PHXNYSDPCIYISM-IBGZPJMESA-N 0 0 449.512 -0.258 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1C[C@]1(C)COCCO1 ZINC001288116632 1073696678 /nfs/dbraw/zinc/69/66/78/1073696678.db2.gz PHXNYSDPCIYISM-LJQANCHMSA-N 0 0 449.512 -0.258 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CCc1ccn(C)n1 ZINC001288116844 1073696859 /nfs/dbraw/zinc/69/68/59/1073696859.db2.gz PSDOBZZFRMJEPU-UHFFFAOYSA-N 0 0 443.512 -0.087 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(CCS(=O)(=O)C3)C2)n1CCNC(=O)C1CC1 ZINC001288117236 1073696773 /nfs/dbraw/zinc/69/67/73/1073696773.db2.gz TXGRYQDGBXHUPZ-IBGZPJMESA-N 0 0 438.554 -0.043 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(CCS(=O)(=O)C3)C2)n1CCNC(=O)C1CC1 ZINC001288117238 1073697614 /nfs/dbraw/zinc/69/76/14/1073697614.db2.gz TXGRYQDGBXHUPZ-LJQANCHMSA-N 0 0 438.554 -0.043 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(C2)CCCOC3)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001288117708 1073697521 /nfs/dbraw/zinc/69/75/21/1073697521.db2.gz WTEJDQVPXWYTEG-MOPGFXCFSA-N 0 0 441.554 -0.027 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(C2)CCCOC3)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001288117711 1073697550 /nfs/dbraw/zinc/69/75/50/1073697550.db2.gz WTEJDQVPXWYTEG-OALUTQOASA-N 0 0 441.554 -0.027 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(C2)CCCOC3)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001288117712 1073697605 /nfs/dbraw/zinc/69/76/05/1073697605.db2.gz WTEJDQVPXWYTEG-RBUKOAKNSA-N 0 0 441.554 -0.027 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(C2)CCCOC3)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001288117713 1073697469 /nfs/dbraw/zinc/69/74/69/1073697469.db2.gz WTEJDQVPXWYTEG-RTBURBONSA-N 0 0 441.554 -0.027 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@@]1(O)CCSC1 ZINC001288119743 1073697525 /nfs/dbraw/zinc/69/75/25/1073697525.db2.gz FLVCPALMBWHQQL-IBGZPJMESA-N 0 0 433.538 -0.059 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@]1(O)CCSC1 ZINC001288119745 1073697475 /nfs/dbraw/zinc/69/74/75/1073697475.db2.gz FLVCPALMBWHQQL-LJQANCHMSA-N 0 0 433.538 -0.059 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](C(=O)NC3CC3)C2)n1CCNS(C)(=O)=O ZINC001288123189 1073697533 /nfs/dbraw/zinc/69/75/33/1073697533.db2.gz AOVVMWRHDBHYOR-CYBMUJFWSA-N 0 0 441.558 -0.540 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](C(=O)NC3CC3)C2)n1CCNS(C)(=O)=O ZINC001288123191 1073697580 /nfs/dbraw/zinc/69/75/80/1073697580.db2.gz AOVVMWRHDBHYOR-ZDUSSCGKSA-N 0 0 441.558 -0.540 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](C(=O)NC3CC3)C2)n1CCCn1cnnn1 ZINC001288125281 1073697571 /nfs/dbraw/zinc/69/75/71/1073697571.db2.gz QJXYROGCJWSWCI-AWEZNQCLSA-N 0 0 430.517 -0.012 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](C(=O)NC3CC3)C2)n1CCCn1cnnn1 ZINC001288125282 1073697564 /nfs/dbraw/zinc/69/75/64/1073697564.db2.gz QJXYROGCJWSWCI-CQSZACIVSA-N 0 0 430.517 -0.012 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](C(=O)NC3CC3)C2)n1CCS(C)(=O)=O ZINC001288126183 1073697574 /nfs/dbraw/zinc/69/75/74/1073697574.db2.gz XACOVQRNSNSRJM-CYBMUJFWSA-N 0 0 426.543 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](C(=O)NC3CC3)C2)n1CCS(C)(=O)=O ZINC001288126186 1073697504 /nfs/dbraw/zinc/69/75/04/1073697504.db2.gz XACOVQRNSNSRJM-ZDUSSCGKSA-N 0 0 426.543 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1Cc1cnn(C)c1 ZINC001288127103 1073697556 /nfs/dbraw/zinc/69/75/56/1073697556.db2.gz BGOGHBRWFOXVMB-AWEZNQCLSA-N 0 0 438.558 -0.036 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1Cc1cnn(C)c1 ZINC001288127104 1073697543 /nfs/dbraw/zinc/69/75/43/1073697543.db2.gz BGOGHBRWFOXVMB-CQSZACIVSA-N 0 0 438.558 -0.036 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](N3CCCS3(=O)=O)C2)n1CC(=O)N(C)C ZINC001288128181 1073697610 /nfs/dbraw/zinc/69/76/10/1073697610.db2.gz NLNDQMIETUHWEN-AWEZNQCLSA-N 0 0 441.558 -0.491 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)n1CC(=O)N(C)C ZINC001288128182 1073697584 /nfs/dbraw/zinc/69/75/84/1073697584.db2.gz NLNDQMIETUHWEN-CQSZACIVSA-N 0 0 441.558 -0.491 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1C[C@]1(O)CCOC1 ZINC001288130115 1073697485 /nfs/dbraw/zinc/69/74/85/1073697485.db2.gz WFCXIINRSLCAJX-KBXCAEBGSA-N 0 0 444.558 -0.667 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1C[C@@]1(O)CCOC1 ZINC001288130116 1073697516 /nfs/dbraw/zinc/69/75/16/1073697516.db2.gz WFCXIINRSLCAJX-KDOFPFPSSA-N 0 0 444.558 -0.667 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1C[C@@]1(O)CCOC1 ZINC001288130117 1073697601 /nfs/dbraw/zinc/69/76/01/1073697601.db2.gz WFCXIINRSLCAJX-KSSFIOAISA-N 0 0 444.558 -0.667 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1C[C@]1(O)CCOC1 ZINC001288130118 1073698118 /nfs/dbraw/zinc/69/81/18/1073698118.db2.gz WFCXIINRSLCAJX-RDTXWAMCSA-N 0 0 444.558 -0.667 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1CC(=O)N1CCOCC1 ZINC001288136997 1073698180 /nfs/dbraw/zinc/69/81/80/1073698180.db2.gz YUZQXSGKZKWAHZ-AWEZNQCLSA-N 0 0 442.542 -0.712 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1CC(=O)N1CCOCC1 ZINC001288136998 1073698237 /nfs/dbraw/zinc/69/82/37/1073698237.db2.gz YUZQXSGKZKWAHZ-CQSZACIVSA-N 0 0 442.542 -0.712 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(C(=O)C(C)C)CC2)n1CC(=O)N1CCOCC1 ZINC001288139344 1073698303 /nfs/dbraw/zinc/69/83/03/1073698303.db2.gz YVQBUVULUMIHOS-UHFFFAOYSA-N 0 0 449.556 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CCOCCCO ZINC001288143879 1073698123 /nfs/dbraw/zinc/69/81/23/1073698123.db2.gz BEILOVDPNKYOLU-UHFFFAOYSA-N 0 0 432.547 -0.713 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(C)(C)C)CC2)n1CC(=O)N1CCOCC1 ZINC001288148063 1073698356 /nfs/dbraw/zinc/69/83/56/1073698356.db2.gz QOZHIGNUKFTUDT-UHFFFAOYSA-N 0 0 449.556 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(C)C)CC2)n1CCS(=O)(=O)N(C)C ZINC001288148287 1073698422 /nfs/dbraw/zinc/69/84/22/1073698422.db2.gz RHTLLRFHBZIONM-UHFFFAOYSA-N 0 0 443.574 -0.388 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CC1(O)CCC1 ZINC001288148796 1073698376 /nfs/dbraw/zinc/69/83/76/1073698376.db2.gz YHJPYJWNOHHXQE-UHFFFAOYSA-N 0 0 447.540 -0.398 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1Cc1cnnn1C ZINC001288151738 1073698338 /nfs/dbraw/zinc/69/83/38/1073698338.db2.gz OVANMLOCXAVXJG-UHFFFAOYSA-N 0 0 446.516 -0.833 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CC1CCS(=O)(=O)CC1 ZINC001288153391 1073698348 /nfs/dbraw/zinc/69/83/48/1073698348.db2.gz LHCBFHCDTBJNCY-CYBMUJFWSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CC1CCS(=O)(=O)CC1 ZINC001288153393 1073698289 /nfs/dbraw/zinc/69/82/89/1073698289.db2.gz LHCBFHCDTBJNCY-ZDUSSCGKSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1Cc1cccc(OCC(N)=O)c1 ZINC001288153723 1073698223 /nfs/dbraw/zinc/69/82/23/1073698223.db2.gz PNKIMBUAMFKZIX-AWEZNQCLSA-N 0 0 443.508 -0.004 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1Cc1cccc(OCC(N)=O)c1 ZINC001288153724 1073698873 /nfs/dbraw/zinc/69/88/73/1073698873.db2.gz PNKIMBUAMFKZIX-CQSZACIVSA-N 0 0 443.508 -0.004 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)CC2)n1CC(=O)NCC(F)F ZINC001288157735 1073698825 /nfs/dbraw/zinc/69/88/25/1073698825.db2.gz AVOXRCHFZHUDIW-UHFFFAOYSA-N 0 0 439.471 -0.142 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CCCC(=O)N(C)C ZINC001288157752 1073698858 /nfs/dbraw/zinc/69/88/58/1073698858.db2.gz BESNTRRKOAVZLG-UHFFFAOYSA-N 0 0 445.528 -0.129 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnccn3)CC2)n1CC(=O)N1CCOCC1 ZINC001288158281 1073698818 /nfs/dbraw/zinc/69/88/18/1073698818.db2.gz JBDIFVXCFUARGF-UHFFFAOYSA-N 0 0 443.512 -0.608 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CCOCCCO ZINC001288158486 1073698837 /nfs/dbraw/zinc/69/88/37/1073698837.db2.gz MKMSQMMAXKMKTP-UHFFFAOYSA-N 0 0 434.501 -0.598 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1Cc1ccc(C(N)=O)nc1 ZINC001288158559 1073698915 /nfs/dbraw/zinc/69/89/15/1073698915.db2.gz OQIYEYLSGJMVIZ-UHFFFAOYSA-N 0 0 426.481 -0.231 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@@]1(O)CCO[C@H]1C ZINC001288159312 1073698830 /nfs/dbraw/zinc/69/88/30/1073698830.db2.gz BIUHZSYQQYJJQL-BTYIYWSLSA-N 0 0 449.556 -0.643 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@]1(O)CCO[C@@H]1C ZINC001288159329 1073698810 /nfs/dbraw/zinc/69/88/10/1073698810.db2.gz BIUHZSYQQYJJQL-QVKFZJNVSA-N 0 0 449.556 -0.643 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001288159330 1073698842 /nfs/dbraw/zinc/69/88/42/1073698842.db2.gz BIUHZSYQQYJJQL-VFNWGFHPSA-N 0 0 449.556 -0.643 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@]1(O)CCO[C@H]1C ZINC001288159331 1073698892 /nfs/dbraw/zinc/69/88/92/1073698892.db2.gz BIUHZSYQQYJJQL-YCRPNKLZSA-N 0 0 449.556 -0.643 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC(=O)NCC1CC1 ZINC001288159498 1073698798 /nfs/dbraw/zinc/69/87/98/1073698798.db2.gz DZKUKQSFPFIZCH-UHFFFAOYSA-N 0 0 434.545 -0.847 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001288159739 1073698906 /nfs/dbraw/zinc/69/89/06/1073698906.db2.gz JCMWAQOVSKPHTP-HNNXBMFYSA-N 0 0 448.572 -0.459 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H]1NC(=O)CC1(C)C ZINC001288159740 1073698899 /nfs/dbraw/zinc/69/88/99/1073698899.db2.gz JCMWAQOVSKPHTP-OAHLLOKOSA-N 0 0 448.572 -0.459 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1Cc1ccn(C)n1 ZINC001288160035 1073698789 /nfs/dbraw/zinc/69/87/89/1073698789.db2.gz LPSJLBDMFRENOX-UHFFFAOYSA-N 0 0 429.529 -0.401 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1Cc1cnnn1C ZINC001288161167 1073698865 /nfs/dbraw/zinc/69/88/65/1073698865.db2.gz ZGLANDBKHASMTI-UHFFFAOYSA-N 0 0 444.544 -0.663 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001288162000 1073698881 /nfs/dbraw/zinc/69/88/81/1073698881.db2.gz XZYGROVFVUPHBG-OAHLLOKOSA-N 0 0 432.529 -0.905 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCC(=O)NC(C)(C)C ZINC001288164775 1073699510 /nfs/dbraw/zinc/69/95/10/1073699510.db2.gz ATPMGQJPQIJXRK-UHFFFAOYSA-N 0 0 443.574 -0.197 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCNC(=O)C1CC1 ZINC001288164845 1073699460 /nfs/dbraw/zinc/69/94/60/1073699460.db2.gz CTKBHXQJZZMMOE-UHFFFAOYSA-N 0 0 427.531 -0.976 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC1(S(C)(=O)=O)CC1 ZINC001288166092 1073699445 /nfs/dbraw/zinc/69/94/45/1073699445.db2.gz LHKMDJOYVMJYKQ-UHFFFAOYSA-N 0 0 448.571 -0.925 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288166339 1073699501 /nfs/dbraw/zinc/69/95/01/1073699501.db2.gz MONACLFELASXSK-SOUVJXGZSA-N 0 0 444.558 -0.574 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCCN1CCOC1=O ZINC001288166385 1073699539 /nfs/dbraw/zinc/69/95/39/1073699539.db2.gz OEKFGMDSVYQISD-UHFFFAOYSA-N 0 0 443.530 -0.660 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1CC(=O)N1CCOCC1 ZINC001288167085 1073699474 /nfs/dbraw/zinc/69/94/74/1073699474.db2.gz AYAYIKQPJKRMAY-IBGZPJMESA-N 0 0 435.485 -0.694 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1CC(=O)N1CCOCC1 ZINC001288167086 1073699495 /nfs/dbraw/zinc/69/94/95/1073699495.db2.gz AYAYIKQPJKRMAY-LJQANCHMSA-N 0 0 435.485 -0.694 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001288167529 1073699469 /nfs/dbraw/zinc/69/94/69/1073699469.db2.gz DPHIITIHYVHDBV-KBPBESRZSA-N 0 0 439.542 -0.545 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001288167530 1073699394 /nfs/dbraw/zinc/69/93/94/1073699394.db2.gz DPHIITIHYVHDBV-KGLIPLIRSA-N 0 0 439.542 -0.545 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001288167531 1073699407 /nfs/dbraw/zinc/69/94/07/1073699407.db2.gz DPHIITIHYVHDBV-UONOGXRCSA-N 0 0 439.542 -0.545 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001288167532 1073699535 /nfs/dbraw/zinc/69/95/35/1073699535.db2.gz DPHIITIHYVHDBV-ZIAGYGMSSA-N 0 0 439.542 -0.545 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCNC(=O)CC2)n1CCNS(=O)(=O)c1ccccc1 ZINC001288167995 1073699517 /nfs/dbraw/zinc/69/95/17/1073699517.db2.gz HTOUQIPNUCRRCH-UHFFFAOYSA-N 0 0 449.537 -0.279 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCc1nccs1 ZINC001288168025 1073699413 /nfs/dbraw/zinc/69/94/13/1073699413.db2.gz ILVFNSMBTGXNRL-GFCCVEGCSA-N 0 0 432.510 -0.738 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCc1nccs1 ZINC001288168026 1073699525 /nfs/dbraw/zinc/69/95/25/1073699525.db2.gz ILVFNSMBTGXNRL-LBPRGKRZSA-N 0 0 432.510 -0.738 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCN1CCOC(C)(C)C1 ZINC001288168043 1073699480 /nfs/dbraw/zinc/69/94/80/1073699480.db2.gz ITEYIZHYVSLVQV-HNNXBMFYSA-N 0 0 434.545 -0.259 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCN1CCOC(C)(C)C1 ZINC001288168044 1073699431 /nfs/dbraw/zinc/69/94/31/1073699431.db2.gz ITEYIZHYVSLVQV-OAHLLOKOSA-N 0 0 434.545 -0.259 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCNC(=O)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001288168094 1073699458 /nfs/dbraw/zinc/69/94/58/1073699458.db2.gz KDVDBVBSGGCOBV-CYBMUJFWSA-N 0 0 427.531 -0.976 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCNC(=O)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001288168095 1073699453 /nfs/dbraw/zinc/69/94/53/1073699453.db2.gz KDVDBVBSGGCOBV-ZDUSSCGKSA-N 0 0 427.531 -0.976 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001288168168 1073699425 /nfs/dbraw/zinc/69/94/25/1073699425.db2.gz LLXOUKWBZZBCOB-CHWSQXEVSA-N 0 0 425.515 -0.935 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001288168169 1073700011 /nfs/dbraw/zinc/70/00/11/1073700011.db2.gz LLXOUKWBZZBCOB-OLZOCXBDSA-N 0 0 425.515 -0.935 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001288168170 1073700026 /nfs/dbraw/zinc/70/00/26/1073700026.db2.gz LLXOUKWBZZBCOB-QWHCGFSZSA-N 0 0 425.515 -0.935 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001288168171 1073699990 /nfs/dbraw/zinc/69/99/90/1073699990.db2.gz LLXOUKWBZZBCOB-STQMWFEESA-N 0 0 425.515 -0.935 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCNC(=O)C2)n1Cc1ccccc1S(=O)(=O)N(C)C ZINC001288168199 1073700002 /nfs/dbraw/zinc/70/00/02/1073700002.db2.gz MCNCOZYYXROIIM-UHFFFAOYSA-N 0 0 449.537 -0.349 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccc(F)cc1F ZINC001288168304 1073699920 /nfs/dbraw/zinc/69/99/20/1073699920.db2.gz NVJYCSBGWGLRBJ-AWEZNQCLSA-N 0 0 447.446 -0.110 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccc(F)cc1F ZINC001288168305 1073700081 /nfs/dbraw/zinc/70/00/81/1073700081.db2.gz NVJYCSBGWGLRBJ-CQSZACIVSA-N 0 0 447.446 -0.110 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1CCCS(C)(=O)=O ZINC001288168495 1073700064 /nfs/dbraw/zinc/70/00/64/1073700064.db2.gz QIEXMURBCIGFTJ-KRWDZBQOSA-N 0 0 428.515 -0.118 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1CCCS(C)(=O)=O ZINC001288168496 1073699983 /nfs/dbraw/zinc/69/99/83/1073699983.db2.gz QIEXMURBCIGFTJ-QGZVFWFLSA-N 0 0 428.515 -0.118 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC(=O)NCC(F)(F)F ZINC001288168574 1073700042 /nfs/dbraw/zinc/70/00/42/1073700042.db2.gz SQXNTFHZJKBWLD-LLVKDONJSA-N 0 0 446.434 -0.349 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC(=O)NCC(F)(F)F ZINC001288168575 1073700058 /nfs/dbraw/zinc/70/00/58/1073700058.db2.gz SQXNTFHZJKBWLD-NSHDSACASA-N 0 0 446.434 -0.349 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCc1ccccc1F ZINC001288169135 1073700034 /nfs/dbraw/zinc/70/00/34/1073700034.db2.gz WVENCCVHMKQJEW-HNNXBMFYSA-N 0 0 443.483 -0.055 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCc1ccccc1F ZINC001288169136 1073699947 /nfs/dbraw/zinc/69/99/47/1073699947.db2.gz WVENCCVHMKQJEW-OAHLLOKOSA-N 0 0 443.483 -0.055 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@H](c3cnn(C)c3)C2)n1CCNS(C)(=O)=O ZINC001288173625 1073700447 /nfs/dbraw/zinc/70/04/47/1073700447.db2.gz DCFUTXYNWPFWAX-AWEZNQCLSA-N 0 0 440.530 -0.723 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@H](c3cnn(C)c3)C2)n1CCNS(C)(=O)=O ZINC001288173630 1073700520 /nfs/dbraw/zinc/70/05/20/1073700520.db2.gz DCFUTXYNWPFWAX-CQSZACIVSA-N 0 0 440.530 -0.723 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1CCCNS(C)(=O)=O ZINC001288178412 1073700525 /nfs/dbraw/zinc/70/05/25/1073700525.db2.gz BVOBPQYCOZXRHK-KRWDZBQOSA-N 0 0 430.531 -0.649 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1CCCNS(C)(=O)=O ZINC001288178415 1073700473 /nfs/dbraw/zinc/70/04/73/1073700473.db2.gz BVOBPQYCOZXRHK-QGZVFWFLSA-N 0 0 430.531 -0.649 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)CC2)n1Cc1cccc(OCC(N)=O)c1 ZINC001288179008 1073700463 /nfs/dbraw/zinc/70/04/63/1073700463.db2.gz IEJDKPKNZJMRHW-UHFFFAOYSA-N 0 0 434.522 -0.103 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC(=O)NCC(F)(F)F ZINC001288179352 1073700497 /nfs/dbraw/zinc/70/04/97/1073700497.db2.gz KMAOUTOLMDIPRQ-UHFFFAOYSA-N 0 0 426.421 -0.670 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@]3(CCO[C@@H]3C)C2)n1CC(=O)N1CCOCC1 ZINC001288179550 1073700532 /nfs/dbraw/zinc/70/05/32/1073700532.db2.gz MWRMTPQZCZOEBW-FOIQADDNSA-N 0 0 436.513 -0.341 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@]3(CCO[C@H]3C)C2)n1CC(=O)N1CCOCC1 ZINC001288179551 1073700611 /nfs/dbraw/zinc/70/06/11/1073700611.db2.gz MWRMTPQZCZOEBW-MGPUTAFESA-N 0 0 436.513 -0.341 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@]3(CCO[C@@H]3C)C2)n1CC(=O)N1CCOCC1 ZINC001288179552 1073700622 /nfs/dbraw/zinc/70/06/22/1073700622.db2.gz MWRMTPQZCZOEBW-QRWLVFNGSA-N 0 0 436.513 -0.341 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@]3(CCO[C@H]3C)C2)n1CC(=O)N1CCOCC1 ZINC001288179553 1073700509 /nfs/dbraw/zinc/70/05/09/1073700509.db2.gz MWRMTPQZCZOEBW-YWZLYKJASA-N 0 0 436.513 -0.341 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001288186299 1073700456 /nfs/dbraw/zinc/70/04/56/1073700456.db2.gz FPSSGEZCOHWIPG-MCIONIFRSA-N 0 0 428.515 -0.598 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001288186332 1073700430 /nfs/dbraw/zinc/70/04/30/1073700430.db2.gz GTKIXMKKDVZJMD-MCIONIFRSA-N 0 0 428.515 -0.741 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001288186333 1073700411 /nfs/dbraw/zinc/70/04/11/1073700411.db2.gz GTKIXMKKDVZJMD-MELADBBJSA-N 0 0 428.515 -0.741 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CC(=O)N1CCOCC1 ZINC001288187030 1073700555 /nfs/dbraw/zinc/70/05/55/1073700555.db2.gz SJMRMADDOJEORL-LSDHHAIUSA-N 0 0 433.513 -0.668 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CC1(C(N)=O)CCOCC1 ZINC001288187413 1073700540 /nfs/dbraw/zinc/70/05/40/1073700540.db2.gz VVDUJPVXPWOACD-LSDHHAIUSA-N 0 0 447.540 -0.245 20 0 IBADRN CC(C)NC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001288191262 1073700600 /nfs/dbraw/zinc/70/06/00/1073700600.db2.gz LRZWRZBBQCMLFA-UHFFFAOYSA-N 0 0 436.561 -0.459 20 0 IBADRN CC(C)NC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001288191412 1073700503 /nfs/dbraw/zinc/70/05/03/1073700503.db2.gz NKMWFOWWEMPSQZ-UHFFFAOYSA-N 0 0 429.547 -0.587 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](C)C1 ZINC001288201277 1073700572 /nfs/dbraw/zinc/70/05/72/1073700572.db2.gz CLALFLRDVBTRQZ-CABCVRRESA-N 0 0 444.558 -0.249 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](C)C1 ZINC001288201278 1073701062 /nfs/dbraw/zinc/70/10/62/1073701062.db2.gz CLALFLRDVBTRQZ-GJZGRUSLSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](C)C1 ZINC001288201279 1073700981 /nfs/dbraw/zinc/70/09/81/1073700981.db2.gz CLALFLRDVBTRQZ-HUUCEWRRSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](C)C1 ZINC001288201280 1073700970 /nfs/dbraw/zinc/70/09/70/1073700970.db2.gz CLALFLRDVBTRQZ-LSDHHAIUSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001288201784 1073701077 /nfs/dbraw/zinc/70/10/77/1073701077.db2.gz IHMPDCAJLLVOII-KBPBESRZSA-N 0 0 449.599 -0.036 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001288201785 1073701070 /nfs/dbraw/zinc/70/10/70/1073701070.db2.gz IHMPDCAJLLVOII-KGLIPLIRSA-N 0 0 449.599 -0.036 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001288201786 1073700991 /nfs/dbraw/zinc/70/09/91/1073700991.db2.gz IHMPDCAJLLVOII-UONOGXRCSA-N 0 0 449.599 -0.036 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001288201787 1073701039 /nfs/dbraw/zinc/70/10/39/1073701039.db2.gz IHMPDCAJLLVOII-ZIAGYGMSSA-N 0 0 449.599 -0.036 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(C)(=O)=O ZINC001288202388 1073701105 /nfs/dbraw/zinc/70/11/05/1073701105.db2.gz VNOSBMZXKWOIDW-CYBMUJFWSA-N 0 0 435.572 -0.424 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(C)(=O)=O ZINC001288202389 1073701014 /nfs/dbraw/zinc/70/10/14/1073701014.db2.gz VNOSBMZXKWOIDW-ZDUSSCGKSA-N 0 0 435.572 -0.424 20 0 IBADRN CC(C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001288204619 1073701129 /nfs/dbraw/zinc/70/11/29/1073701129.db2.gz NCPBXRIURAPFEN-UHFFFAOYSA-N 0 0 435.529 -0.390 20 0 IBADRN CC(C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001288206147 1073701026 /nfs/dbraw/zinc/70/10/26/1073701026.db2.gz VMYSQEFOTZYMLJ-AWEZNQCLSA-N 0 0 426.543 -0.062 20 0 IBADRN CC(C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001288206155 1073701100 /nfs/dbraw/zinc/70/11/00/1073701100.db2.gz VMYSQEFOTZYMLJ-CQSZACIVSA-N 0 0 426.543 -0.062 20 0 IBADRN CC(C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001288209254 1073700940 /nfs/dbraw/zinc/70/09/40/1073700940.db2.gz UMZQPOGAUDAMSK-UHFFFAOYSA-N 0 0 441.558 -0.603 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(C(=O)N2CCCC2)n1CCCn1cc(CO)nn1 ZINC001288210547 1073701091 /nfs/dbraw/zinc/70/10/91/1073701091.db2.gz DFOHKZBUPUHWAZ-UHFFFAOYSA-N 0 0 433.517 -0.351 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC1(O)CCOCC1 ZINC001288210605 1073701003 /nfs/dbraw/zinc/70/10/03/1073701003.db2.gz GJMXLFHDFBFBCA-CYBMUJFWSA-N 0 0 432.547 -0.609 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC1(O)CCOCC1 ZINC001288210606 1073701121 /nfs/dbraw/zinc/70/11/21/1073701121.db2.gz GJMXLFHDFBFBCA-ZDUSSCGKSA-N 0 0 432.547 -0.609 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001288211989 1073701045 /nfs/dbraw/zinc/70/10/45/1073701045.db2.gz ZBFOBFUXFYPZGT-CYBMUJFWSA-N 0 0 426.547 -0.175 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001288211990 1073701085 /nfs/dbraw/zinc/70/10/85/1073701085.db2.gz ZBFOBFUXFYPZGT-ZDUSSCGKSA-N 0 0 426.547 -0.175 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCOC1 ZINC001288219185 1073701112 /nfs/dbraw/zinc/70/11/12/1073701112.db2.gz FIZDXYXQNBAHAR-CABCVRRESA-N 0 0 444.558 -0.392 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCOC1 ZINC001288219187 1073701478 /nfs/dbraw/zinc/70/14/78/1073701478.db2.gz FIZDXYXQNBAHAR-GJZGRUSLSA-N 0 0 444.558 -0.392 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCOC1 ZINC001288219189 1073700956 /nfs/dbraw/zinc/70/09/56/1073700956.db2.gz FIZDXYXQNBAHAR-HUUCEWRRSA-N 0 0 444.558 -0.392 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCOC1 ZINC001288219191 1073701498 /nfs/dbraw/zinc/70/14/98/1073701498.db2.gz FIZDXYXQNBAHAR-LSDHHAIUSA-N 0 0 444.558 -0.392 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCOC(C)C ZINC001288219211 1073701483 /nfs/dbraw/zinc/70/14/83/1073701483.db2.gz FNWBPOHDGRUTSQ-HNNXBMFYSA-N 0 0 446.574 -0.003 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCOC(C)C ZINC001288219213 1073701489 /nfs/dbraw/zinc/70/14/89/1073701489.db2.gz FNWBPOHDGRUTSQ-OAHLLOKOSA-N 0 0 446.574 -0.003 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NCC1CC1 ZINC001288219664 1073701549 /nfs/dbraw/zinc/70/15/49/1073701549.db2.gz JBZMDRJURKTBGP-AWEZNQCLSA-N 0 0 426.543 -0.333 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCC1CC1 ZINC001288219665 1073701501 /nfs/dbraw/zinc/70/15/01/1073701501.db2.gz JBZMDRJURKTBGP-CQSZACIVSA-N 0 0 426.543 -0.333 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNC(=O)C(C)C ZINC001288221335 1073701509 /nfs/dbraw/zinc/70/15/09/1073701509.db2.gz UQZXRPBGMGPGAG-AWEZNQCLSA-N 0 0 428.559 -0.087 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNC(=O)C(C)C ZINC001288221336 1073701566 /nfs/dbraw/zinc/70/15/66/1073701566.db2.gz UQZXRPBGMGPGAG-CQSZACIVSA-N 0 0 428.559 -0.087 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001288227721 1073701573 /nfs/dbraw/zinc/70/15/73/1073701573.db2.gz FOHTVFSNSCIVLV-PXAZEXFGSA-N 0 0 427.531 -0.871 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001288227723 1073701577 /nfs/dbraw/zinc/70/15/77/1073701577.db2.gz FOHTVFSNSCIVLV-SJCJKPOMSA-N 0 0 427.531 -0.871 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001288227726 1073701600 /nfs/dbraw/zinc/70/16/00/1073701600.db2.gz FOHTVFSNSCIVLV-SJKOYZFVSA-N 0 0 427.531 -0.871 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001288227728 1073701595 /nfs/dbraw/zinc/70/15/95/1073701595.db2.gz FOHTVFSNSCIVLV-YVEFUNNKSA-N 0 0 427.531 -0.871 20 0 IBADRN CC(C)NC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001288227950 1073701552 /nfs/dbraw/zinc/70/15/52/1073701552.db2.gz GQHQUTOFEHAEGC-UHFFFAOYSA-N 0 0 448.572 -0.315 20 0 IBADRN CC(C)NC(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001288227975 1073701519 /nfs/dbraw/zinc/70/15/19/1073701519.db2.gz HFEQYKKLXTUADN-UHFFFAOYSA-N 0 0 428.497 -0.305 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)(C)C ZINC001288228285 1073701544 /nfs/dbraw/zinc/70/15/44/1073701544.db2.gz MEXHUDABPRPDPU-GFCCVEGCSA-N 0 0 431.563 -0.236 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)(C)C ZINC001288228286 1073701561 /nfs/dbraw/zinc/70/15/61/1073701561.db2.gz MEXHUDABPRPDPU-LBPRGKRZSA-N 0 0 431.563 -0.236 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001288228426 1073701584 /nfs/dbraw/zinc/70/15/84/1073701584.db2.gz PXDMYQYHRTWGRO-CYBMUJFWSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001288228427 1073701467 /nfs/dbraw/zinc/70/14/67/1073701467.db2.gz PXDMYQYHRTWGRO-ZDUSSCGKSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001288228699 1073701589 /nfs/dbraw/zinc/70/15/89/1073701589.db2.gz UYJVSRKEJHCWFH-NEPJUHHUSA-N 0 0 429.503 -0.946 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001288228700 1073701980 /nfs/dbraw/zinc/70/19/80/1073701980.db2.gz UYJVSRKEJHCWFH-NWDGAFQWSA-N 0 0 429.503 -0.946 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001288228701 1073701987 /nfs/dbraw/zinc/70/19/87/1073701987.db2.gz UYJVSRKEJHCWFH-RYUDHWBXSA-N 0 0 429.503 -0.946 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001288228702 1073702100 /nfs/dbraw/zinc/70/21/00/1073702100.db2.gz UYJVSRKEJHCWFH-VXGBXAGGSA-N 0 0 429.503 -0.946 20 0 IBADRN CC(C)NC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001288228751 1073702031 /nfs/dbraw/zinc/70/20/31/1073702031.db2.gz VXZPWOVJYDNXCC-AWEZNQCLSA-N 0 0 443.574 -0.294 20 0 IBADRN CC(C)NC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001288228752 1073701930 /nfs/dbraw/zinc/70/19/30/1073701930.db2.gz VXZPWOVJYDNXCC-CQSZACIVSA-N 0 0 443.574 -0.294 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2ccccc2F)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001288234453 1073702071 /nfs/dbraw/zinc/70/20/71/1073702071.db2.gz WFFLASPPKSMEAO-CYBMUJFWSA-N 0 0 429.456 -0.244 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2ccccc2F)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001288234454 1073702022 /nfs/dbraw/zinc/70/20/22/1073702022.db2.gz WFFLASPPKSMEAO-ZDUSSCGKSA-N 0 0 429.456 -0.244 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001288235767 1073702005 /nfs/dbraw/zinc/70/20/05/1073702005.db2.gz SQZPYMGBTRWEQR-HNNXBMFYSA-N 0 0 443.556 -0.008 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001288235768 1073702084 /nfs/dbraw/zinc/70/20/84/1073702084.db2.gz SQZPYMGBTRWEQR-OAHLLOKOSA-N 0 0 443.556 -0.008 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001288235821 1073702051 /nfs/dbraw/zinc/70/20/51/1073702051.db2.gz UJTAKFQBXGFRPW-UHFFFAOYSA-N 0 0 443.512 -0.567 20 0 IBADRN CC(C)NC(=O)Cn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001288236219 1073701948 /nfs/dbraw/zinc/70/19/48/1073701948.db2.gz XZSOZMQRPRYUCJ-UHFFFAOYSA-N 0 0 433.513 -0.813 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccon1 ZINC001288241259 1073702109 /nfs/dbraw/zinc/70/21/09/1073702109.db2.gz HMUZFHOIVPQCRL-AWEZNQCLSA-N 0 0 441.514 -0.240 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccon1 ZINC001288241260 1073701963 /nfs/dbraw/zinc/70/19/63/1073701963.db2.gz HMUZFHOIVPQCRL-CQSZACIVSA-N 0 0 441.514 -0.240 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001288241489 1073701940 /nfs/dbraw/zinc/70/19/40/1073701940.db2.gz LOSXDFWUEWIDKQ-KBPBESRZSA-N 0 0 439.542 -0.739 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001288241490 1073702103 /nfs/dbraw/zinc/70/21/03/1073702103.db2.gz LOSXDFWUEWIDKQ-KGLIPLIRSA-N 0 0 439.542 -0.739 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001288241491 1073701996 /nfs/dbraw/zinc/70/19/96/1073701996.db2.gz LOSXDFWUEWIDKQ-UONOGXRCSA-N 0 0 439.542 -0.739 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001288241492 1073702039 /nfs/dbraw/zinc/70/20/39/1073702039.db2.gz LOSXDFWUEWIDKQ-ZIAGYGMSSA-N 0 0 439.542 -0.739 20 0 IBADRN CC(C)NC(=O)Cn1c(CCc2ccccc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001288242738 1073702078 /nfs/dbraw/zinc/70/20/78/1073702078.db2.gz MGACHXIKEIRENO-KRWDZBQOSA-N 0 0 439.520 -0.265 20 0 IBADRN CC(C)NC(=O)Cn1c(CCc2ccccc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001288242742 1073701973 /nfs/dbraw/zinc/70/19/73/1073701973.db2.gz MGACHXIKEIRENO-QGZVFWFLSA-N 0 0 439.520 -0.265 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001288242820 1073702061 /nfs/dbraw/zinc/70/20/61/1073702061.db2.gz WHVFTSJCEJAFSX-CYBMUJFWSA-N 0 0 449.537 -0.639 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001288242824 1073702582 /nfs/dbraw/zinc/70/25/82/1073702582.db2.gz WHVFTSJCEJAFSX-ZDUSSCGKSA-N 0 0 449.537 -0.639 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001288242931 1073702596 /nfs/dbraw/zinc/70/25/96/1073702596.db2.gz JRYSJQMDYKGPCS-CYBMUJFWSA-N 0 0 427.531 -0.728 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001288242932 1073702496 /nfs/dbraw/zinc/70/24/96/1073702496.db2.gz JRYSJQMDYKGPCS-ZDUSSCGKSA-N 0 0 427.531 -0.728 20 0 IBADRN CC(C)NC(=O)Cn1c(CN2CCCC2=O)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001288243948 1073702559 /nfs/dbraw/zinc/70/25/59/1073702559.db2.gz ZFOUKJATACIMEV-HNNXBMFYSA-N 0 0 432.529 -0.035 20 0 IBADRN CC(C)NC(=O)Cn1c(CN2CCCC2=O)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001288243949 1073702509 /nfs/dbraw/zinc/70/25/09/1073702509.db2.gz ZFOUKJATACIMEV-OAHLLOKOSA-N 0 0 432.529 -0.035 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001288244171 1073702563 /nfs/dbraw/zinc/70/25/63/1073702563.db2.gz UENQWUFPTXIGJO-AWEZNQCLSA-N 0 0 433.513 -0.766 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001288244179 1073702573 /nfs/dbraw/zinc/70/25/73/1073702573.db2.gz UENQWUFPTXIGJO-CQSZACIVSA-N 0 0 433.513 -0.766 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001288244392 1073702520 /nfs/dbraw/zinc/70/25/20/1073702520.db2.gz VIOJYVWIAXFLNR-KRWDZBQOSA-N 0 0 449.556 -0.713 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001288244393 1073702553 /nfs/dbraw/zinc/70/25/53/1073702553.db2.gz VIOJYVWIAXFLNR-QGZVFWFLSA-N 0 0 449.556 -0.713 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nncn2C)CC1 ZINC001288248681 1073702546 /nfs/dbraw/zinc/70/25/46/1073702546.db2.gz CSQPPXHNOKGCKW-UHFFFAOYSA-N 0 0 432.533 -0.760 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CCCn2ccnn2)CC1 ZINC001288248908 1073702466 /nfs/dbraw/zinc/70/24/66/1073702466.db2.gz GJEDNHHJPGZRQS-UHFFFAOYSA-N 0 0 446.560 -0.255 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2ccn(C)n2)CC1 ZINC001288249167 1073702528 /nfs/dbraw/zinc/70/25/28/1073702528.db2.gz NWDQWTNPYUSKHR-UHFFFAOYSA-N 0 0 443.556 -0.058 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCC(=O)N2)CC1 ZINC001288249298 1073702450 /nfs/dbraw/zinc/70/24/50/1073702450.db2.gz RTJGDFSVDGFXKL-OAHLLOKOSA-N 0 0 434.545 -0.659 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@H]2COCCO2)CC1 ZINC001288252858 1073702535 /nfs/dbraw/zinc/70/25/35/1073702535.db2.gz DITGNYMPVATHQO-INIZCTEOSA-N 0 0 430.513 -0.203 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2COCCO2)CC1 ZINC001288253158 1073702590 /nfs/dbraw/zinc/70/25/90/1073702590.db2.gz HEUHIIIUWNDWBH-KRWDZBQOSA-N 0 0 432.529 -0.259 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ncn(C)n2)CC1 ZINC001288253437 1073702501 /nfs/dbraw/zinc/70/25/01/1073702501.db2.gz KEXIRARMPJKMLY-AWEZNQCLSA-N 0 0 430.517 -0.947 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ncn(C)n2)CC1 ZINC001288253438 1073702488 /nfs/dbraw/zinc/70/24/88/1073702488.db2.gz KEXIRARMPJKMLY-CQSZACIVSA-N 0 0 430.517 -0.947 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2CCCn2cnnn2)CC1 ZINC001288254040 1073702481 /nfs/dbraw/zinc/70/24/81/1073702481.db2.gz QMQHQDVRZCBCRG-UHFFFAOYSA-N 0 0 427.517 -0.003 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001288254356 1073702889 /nfs/dbraw/zinc/70/28/89/1073702889.db2.gz ILQVYVLIDFVDGN-HRAATJIYSA-N 0 0 433.557 -0.093 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001288254358 1073702978 /nfs/dbraw/zinc/70/29/78/1073702978.db2.gz ILQVYVLIDFVDGN-IERDGZPVSA-N 0 0 433.557 -0.093 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001288254360 1073703044 /nfs/dbraw/zinc/70/30/44/1073703044.db2.gz ILQVYVLIDFVDGN-IIBYNOLFSA-N 0 0 433.557 -0.093 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001288254362 1073702924 /nfs/dbraw/zinc/70/29/24/1073702924.db2.gz ILQVYVLIDFVDGN-KKSFZXQISA-N 0 0 433.557 -0.093 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(O)CCOCC2)CC1 ZINC001288254386 1073702990 /nfs/dbraw/zinc/70/29/90/1073702990.db2.gz VKCAOAQKTVJLMK-INIZCTEOSA-N 0 0 449.556 -0.583 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(O)CCOCC2)CC1 ZINC001288254479 1073703026 /nfs/dbraw/zinc/70/30/26/1073703026.db2.gz VKCAOAQKTVJLMK-MRXNPFEDSA-N 0 0 449.556 -0.583 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2COCCO2)CC1 ZINC001288255058 1073702955 /nfs/dbraw/zinc/70/29/55/1073702955.db2.gz UOJXLKAVEXOELV-QGZVFWFLSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(C)C(=O)C1 ZINC001288268046 1073702997 /nfs/dbraw/zinc/70/29/97/1073702997.db2.gz AQJVZJNJKALRHK-UHFFFAOYSA-N 0 0 427.531 -0.880 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](C)C1 ZINC001288269966 1073702936 /nfs/dbraw/zinc/70/29/36/1073702936.db2.gz ZRHIWTPNLACZJQ-CHWSQXEVSA-N 0 0 438.576 -0.559 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](C)C1 ZINC001288269967 1073702901 /nfs/dbraw/zinc/70/29/01/1073702901.db2.gz ZRHIWTPNLACZJQ-OLZOCXBDSA-N 0 0 438.576 -0.559 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](C)C1 ZINC001288269968 1073703074 /nfs/dbraw/zinc/70/30/74/1073703074.db2.gz ZRHIWTPNLACZJQ-QWHCGFSZSA-N 0 0 438.576 -0.559 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](C)C1 ZINC001288269969 1073702945 /nfs/dbraw/zinc/70/29/45/1073702945.db2.gz ZRHIWTPNLACZJQ-STQMWFEESA-N 0 0 438.576 -0.559 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(C2CC2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001288272061 1073703012 /nfs/dbraw/zinc/70/30/12/1073703012.db2.gz GYODYWUHKPOZBM-UHFFFAOYSA-N 0 0 427.575 -0.307 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001288272490 1073703034 /nfs/dbraw/zinc/70/30/34/1073703034.db2.gz RSYNKWXLSIAQPZ-KBPBESRZSA-N 0 0 427.531 -0.676 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001288272491 1073703067 /nfs/dbraw/zinc/70/30/67/1073703067.db2.gz RSYNKWXLSIAQPZ-KGLIPLIRSA-N 0 0 427.531 -0.676 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001288272492 1073702969 /nfs/dbraw/zinc/70/29/69/1073702969.db2.gz RSYNKWXLSIAQPZ-UONOGXRCSA-N 0 0 427.531 -0.676 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001288272493 1073703019 /nfs/dbraw/zinc/70/30/19/1073703019.db2.gz RSYNKWXLSIAQPZ-ZIAGYGMSSA-N 0 0 427.531 -0.676 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001288272707 1073703069 /nfs/dbraw/zinc/70/30/69/1073703069.db2.gz UXFDKIUYBPSSKO-AWEZNQCLSA-N 0 0 445.571 -0.370 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001288272789 1073702916 /nfs/dbraw/zinc/70/29/16/1073702916.db2.gz UXFDKIUYBPSSKO-CQSZACIVSA-N 0 0 445.571 -0.370 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](C)C1 ZINC001288275778 1073703055 /nfs/dbraw/zinc/70/30/55/1073703055.db2.gz OZPUPJVELZJYGM-KBPBESRZSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](C)C1 ZINC001288275779 1073703479 /nfs/dbraw/zinc/70/34/79/1073703479.db2.gz OZPUPJVELZJYGM-KGLIPLIRSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](C)C1 ZINC001288275780 1073703458 /nfs/dbraw/zinc/70/34/58/1073703458.db2.gz OZPUPJVELZJYGM-UONOGXRCSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](C)C1 ZINC001288275781 1073703583 /nfs/dbraw/zinc/70/35/83/1073703583.db2.gz OZPUPJVELZJYGM-ZIAGYGMSSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCS(=O)(=O)CC1 ZINC001288276900 1073703558 /nfs/dbraw/zinc/70/35/58/1073703558.db2.gz XRUQLLVNUDVJRM-UHFFFAOYSA-N 0 0 442.567 -0.174 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001288277927 1073703663 /nfs/dbraw/zinc/70/36/63/1073703663.db2.gz WFJVDACDDOSDDE-OAHLLOKOSA-N 0 0 444.558 -0.248 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001288278213 1073703516 /nfs/dbraw/zinc/70/35/16/1073703516.db2.gz XHEKAPSGOXEWCJ-AWEZNQCLSA-N 0 0 441.558 -0.605 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001288278215 1073703444 /nfs/dbraw/zinc/70/34/44/1073703444.db2.gz XHEKAPSGOXEWCJ-CQSZACIVSA-N 0 0 441.558 -0.605 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(O)CCN(C)C2)CCOC1 ZINC001288295174 1073703488 /nfs/dbraw/zinc/70/34/88/1073703488.db2.gz GEPMDQIKNXJEOE-MOPGFXCFSA-N 0 0 445.586 -0.134 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(O)CCN(C)C2)CCOC1 ZINC001288295175 1073703649 /nfs/dbraw/zinc/70/36/49/1073703649.db2.gz GEPMDQIKNXJEOE-OALUTQOASA-N 0 0 445.586 -0.134 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(O)CCN(C)C2)CCOC1 ZINC001288295176 1073703610 /nfs/dbraw/zinc/70/36/10/1073703610.db2.gz GEPMDQIKNXJEOE-RBUKOAKNSA-N 0 0 445.586 -0.134 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(O)CCN(C)C2)CCOC1 ZINC001288295177 1073703534 /nfs/dbraw/zinc/70/35/34/1073703534.db2.gz GEPMDQIKNXJEOE-RTBURBONSA-N 0 0 445.586 -0.134 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@]2(O)CCOC2)CCOC1 ZINC001288297461 1073703527 /nfs/dbraw/zinc/70/35/27/1073703527.db2.gz SKOSCXXWNYINLO-MSOLQXFVSA-N 0 0 447.558 -0.545 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@]2(O)CCOC2)CCOC1 ZINC001288297463 1073703470 /nfs/dbraw/zinc/70/34/70/1073703470.db2.gz SKOSCXXWNYINLO-QZTJIDSGSA-N 0 0 447.558 -0.545 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001288297465 1073703632 /nfs/dbraw/zinc/70/36/32/1073703632.db2.gz SKOSCXXWNYINLO-ROUUACIJSA-N 0 0 447.558 -0.545 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001288297466 1073703426 /nfs/dbraw/zinc/70/34/26/1073703426.db2.gz SKOSCXXWNYINLO-ZWKOTPCHSA-N 0 0 447.558 -0.545 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001288298854 1073703643 /nfs/dbraw/zinc/70/36/43/1073703643.db2.gz ZRULWEQVJUBBDB-KBXCAEBGSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001288298855 1073703603 /nfs/dbraw/zinc/70/36/03/1073703603.db2.gz ZRULWEQVJUBBDB-KDOFPFPSSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001288298856 1073703595 /nfs/dbraw/zinc/70/35/95/1073703595.db2.gz ZRULWEQVJUBBDB-KSSFIOAISA-N 0 0 444.558 -0.465 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001288298857 1073703637 /nfs/dbraw/zinc/70/36/37/1073703637.db2.gz ZRULWEQVJUBBDB-RDTXWAMCSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCNS(C)(=O)=O)CCO1 ZINC001288353624 1073704046 /nfs/dbraw/zinc/70/40/46/1073704046.db2.gz VYWWUADVTBMANN-HNNXBMFYSA-N 0 0 444.558 -0.307 20 0 IBADRN CC(C)OC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCNS(C)(=O)=O)CCO1 ZINC001288353625 1073704030 /nfs/dbraw/zinc/70/40/30/1073704030.db2.gz VYWWUADVTBMANN-OAHLLOKOSA-N 0 0 444.558 -0.307 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)NCc2nnc3n2CCNC3=O)c(OC)c1 ZINC001288366608 1073704085 /nfs/dbraw/zinc/70/40/85/1073704085.db2.gz HPIVNLVBXXLTMZ-AWEZNQCLSA-N 0 0 428.449 -0.040 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2C(=O)NCc2nnc3n2CCNC3=O)c(OC)c1 ZINC001288366609 1073704007 /nfs/dbraw/zinc/70/40/07/1073704007.db2.gz HPIVNLVBXXLTMZ-CQSZACIVSA-N 0 0 428.449 -0.040 20 0 IBADRN CC(C)OCCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001288367513 1073704002 /nfs/dbraw/zinc/70/40/02/1073704002.db2.gz CFYJGRQVAVVLCF-CABCVRRESA-N 0 0 433.513 -0.419 20 0 IBADRN CC(C)OCCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001288367515 1073704094 /nfs/dbraw/zinc/70/40/94/1073704094.db2.gz CFYJGRQVAVVLCF-GJZGRUSLSA-N 0 0 433.513 -0.419 20 0 IBADRN CC(C)OCCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001288367517 1073704079 /nfs/dbraw/zinc/70/40/79/1073704079.db2.gz CFYJGRQVAVVLCF-HUUCEWRRSA-N 0 0 433.513 -0.419 20 0 IBADRN CC(C)OCCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001288367518 1073703969 /nfs/dbraw/zinc/70/39/69/1073703969.db2.gz CFYJGRQVAVVLCF-LSDHHAIUSA-N 0 0 433.513 -0.419 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC[C@H]1CCCS1(=O)=O)c2=O ZINC001288370693 1073704699 /nfs/dbraw/zinc/70/46/99/1073704699.db2.gz SKTBODJQSBVFIG-SECBINFHSA-N 0 0 432.300 -0.024 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC[C@@H]1CCCS1(=O)=O)c2=O ZINC001288370694 1073704723 /nfs/dbraw/zinc/70/47/23/1073704723.db2.gz SKTBODJQSBVFIG-VIFPVBQESA-N 0 0 432.300 -0.024 20 0 IBADRN CN(CCCC(=O)NCc1nnc2n1CCNC2=O)C1=NS(=O)(=O)c2ccccc21 ZINC001288370716 1073704686 /nfs/dbraw/zinc/70/46/86/1073704686.db2.gz TXSONRLNGADFOC-UHFFFAOYSA-N 0 0 431.478 -0.501 20 0 IBADRN CC(C)OCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001288375712 1073704705 /nfs/dbraw/zinc/70/47/05/1073704705.db2.gz HEWPRWGFDOQRGO-KBPBESRZSA-N 0 0 429.547 -0.083 20 0 IBADRN CC(C)OCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001288375715 1073704532 /nfs/dbraw/zinc/70/45/32/1073704532.db2.gz HEWPRWGFDOQRGO-KGLIPLIRSA-N 0 0 429.547 -0.083 20 0 IBADRN CC(C)OCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001288375717 1073704717 /nfs/dbraw/zinc/70/47/17/1073704717.db2.gz HEWPRWGFDOQRGO-UONOGXRCSA-N 0 0 429.547 -0.083 20 0 IBADRN CC(C)OCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001288375719 1073704407 /nfs/dbraw/zinc/70/44/07/1073704407.db2.gz HEWPRWGFDOQRGO-ZIAGYGMSSA-N 0 0 429.547 -0.083 20 0 IBADRN CC(C)OCCCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001288375991 1073704607 /nfs/dbraw/zinc/70/46/07/1073704607.db2.gz KNZFNJJBJOTWLI-INIZCTEOSA-N 0 0 447.540 -0.247 20 0 IBADRN CC(C)OCCCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001288375992 1073704542 /nfs/dbraw/zinc/70/45/42/1073704542.db2.gz KNZFNJJBJOTWLI-MRXNPFEDSA-N 0 0 447.540 -0.247 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2C(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC001288468552 1073704439 /nfs/dbraw/zinc/70/44/39/1073704439.db2.gz CZUGIXHGLTUQDZ-OKILXGFUSA-N 0 0 432.477 -0.115 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CC(=O)NCC23CN(C(=O)OC(C)(C)C)C3)C1=O ZINC001288469579 1073704678 /nfs/dbraw/zinc/70/46/78/1073704678.db2.gz PLFKQODMDUBWFO-CYBMUJFWSA-N 0 0 438.481 -0.654 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CC(=O)NCC23CN(C(=O)OC(C)(C)C)C3)C1=O ZINC001288469580 1073704597 /nfs/dbraw/zinc/70/45/97/1073704597.db2.gz PLFKQODMDUBWFO-ZDUSSCGKSA-N 0 0 438.481 -0.654 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2C[C@@H]3COC[C@@H]3C2)c(=O)[nH]c1=O ZINC001288474628 1073704650 /nfs/dbraw/zinc/70/46/50/1073704650.db2.gz HWCKPYAAQZGRTE-OKILXGFUSA-N 0 0 437.497 -0.195 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nccs1 ZINC001288546723 1073704990 /nfs/dbraw/zinc/70/49/90/1073704990.db2.gz CHXCPLPFLOSNOA-NEPJUHHUSA-N 0 0 443.555 -0.184 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nccs1 ZINC001288546724 1073705019 /nfs/dbraw/zinc/70/50/19/1073705019.db2.gz CHXCPLPFLOSNOA-NWDGAFQWSA-N 0 0 443.555 -0.184 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nccs1 ZINC001288546725 1073705222 /nfs/dbraw/zinc/70/52/22/1073705222.db2.gz CHXCPLPFLOSNOA-RYUDHWBXSA-N 0 0 443.555 -0.184 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nccs1 ZINC001288546726 1073705185 /nfs/dbraw/zinc/70/51/85/1073705185.db2.gz CHXCPLPFLOSNOA-VXGBXAGGSA-N 0 0 443.555 -0.184 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccco1 ZINC001288547307 1073705213 /nfs/dbraw/zinc/70/52/13/1073705213.db2.gz FVERGNOCHCFRJB-GXTWGEPZSA-N 0 0 426.499 -0.048 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccco1 ZINC001288547308 1073705038 /nfs/dbraw/zinc/70/50/38/1073705038.db2.gz FVERGNOCHCFRJB-JSGCOSHPSA-N 0 0 426.499 -0.048 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccco1 ZINC001288547309 1073705140 /nfs/dbraw/zinc/70/51/40/1073705140.db2.gz FVERGNOCHCFRJB-OCCSQVGLSA-N 0 0 426.499 -0.048 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccco1 ZINC001288547310 1073705168 /nfs/dbraw/zinc/70/51/68/1073705168.db2.gz FVERGNOCHCFRJB-TZMCWYRMSA-N 0 0 426.499 -0.048 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(C)(C)N1CCOCC1 ZINC001288548631 1073705227 /nfs/dbraw/zinc/70/52/27/1073705227.db2.gz LLWKRHMLNJGYJP-CABCVRRESA-N 0 0 442.586 -0.009 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(C)(C)N1CCOCC1 ZINC001288548634 1073705049 /nfs/dbraw/zinc/70/50/49/1073705049.db2.gz LLWKRHMLNJGYJP-GJZGRUSLSA-N 0 0 442.586 -0.009 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(C)(C)N1CCOCC1 ZINC001288548636 1073705114 /nfs/dbraw/zinc/70/51/14/1073705114.db2.gz LLWKRHMLNJGYJP-HUUCEWRRSA-N 0 0 442.586 -0.009 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(C)(C)N1CCOCC1 ZINC001288548638 1073705176 /nfs/dbraw/zinc/70/51/76/1073705176.db2.gz LLWKRHMLNJGYJP-LSDHHAIUSA-N 0 0 442.586 -0.009 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCOC1 ZINC001288550591 1073705194 /nfs/dbraw/zinc/70/51/94/1073705194.db2.gz WLGDDFIXJZXDII-HZSPNIEDSA-N 0 0 430.531 -0.652 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCOC1 ZINC001288550602 1073705028 /nfs/dbraw/zinc/70/50/28/1073705028.db2.gz WLGDDFIXJZXDII-MCIONIFRSA-N 0 0 430.531 -0.652 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCOC1 ZINC001288550604 1073705007 /nfs/dbraw/zinc/70/50/07/1073705007.db2.gz WLGDDFIXJZXDII-MGPQQGTHSA-N 0 0 430.531 -0.652 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCOC1 ZINC001288550606 1073705608 /nfs/dbraw/zinc/70/56/08/1073705608.db2.gz WLGDDFIXJZXDII-RDBSUJKOSA-N 0 0 430.531 -0.652 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001288551890 1073705529 /nfs/dbraw/zinc/70/55/29/1073705529.db2.gz ROWJLECXXZDJRX-KBPBESRZSA-N 0 0 426.543 -0.075 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001288551891 1073705678 /nfs/dbraw/zinc/70/56/78/1073705678.db2.gz ROWJLECXXZDJRX-KGLIPLIRSA-N 0 0 426.543 -0.075 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001288551892 1073705733 /nfs/dbraw/zinc/70/57/33/1073705733.db2.gz ROWJLECXXZDJRX-UONOGXRCSA-N 0 0 426.543 -0.075 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001288551893 1073705753 /nfs/dbraw/zinc/70/57/53/1073705753.db2.gz ROWJLECXXZDJRX-ZIAGYGMSSA-N 0 0 426.543 -0.075 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC001288587661 1073705747 /nfs/dbraw/zinc/70/57/47/1073705747.db2.gz YECXBTKCOWQONA-AWEZNQCLSA-N 0 0 430.552 -0.120 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)C1 ZINC001288587662 1073705720 /nfs/dbraw/zinc/70/57/20/1073705720.db2.gz YECXBTKCOWQONA-CQSZACIVSA-N 0 0 430.552 -0.120 20 0 IBADRN C[C@H](Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC001288592447 1073705580 /nfs/dbraw/zinc/70/55/80/1073705580.db2.gz HUOXWIKHWVECHC-CVEARBPZSA-N 0 0 440.570 -0.142 20 0 IBADRN C[C@@H](Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC001288592462 1073705570 /nfs/dbraw/zinc/70/55/70/1073705570.db2.gz HUOXWIKHWVECHC-HOTGVXAUSA-N 0 0 440.570 -0.142 20 0 IBADRN C[C@H](Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC001288592505 1073705688 /nfs/dbraw/zinc/70/56/88/1073705688.db2.gz HUOXWIKHWVECHC-HZPDHXFCSA-N 0 0 440.570 -0.142 20 0 IBADRN C[C@@H](Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC001288592506 1073705710 /nfs/dbraw/zinc/70/57/10/1073705710.db2.gz HUOXWIKHWVECHC-JKSUJKDBSA-N 0 0 440.570 -0.142 20 0 IBADRN C[C@@H](Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C)C(=O)C1)N1CCOCC1 ZINC001288598842 1073705551 /nfs/dbraw/zinc/70/55/51/1073705551.db2.gz AQABLAZWHJKCBJ-HNNXBMFYSA-N 0 0 428.497 -0.076 20 0 IBADRN C[C@H](Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C)C(=O)C1)N1CCOCC1 ZINC001288598843 1073705560 /nfs/dbraw/zinc/70/55/60/1073705560.db2.gz AQABLAZWHJKCBJ-OAHLLOKOSA-N 0 0 428.497 -0.076 20 0 IBADRN C[C@H](Cn1c(C(=O)Nc2ccccn2)nnc1N1CC[C@H](C(N)=O)C1)N1CCOCC1 ZINC001288599404 1073705592 /nfs/dbraw/zinc/70/55/92/1073705592.db2.gz MNVZGJHVKKHFJQ-CABCVRRESA-N 0 0 428.497 -0.042 20 0 IBADRN C[C@@H](Cn1c(C(=O)Nc2ccccn2)nnc1N1CC[C@H](C(N)=O)C1)N1CCOCC1 ZINC001288599405 1073705600 /nfs/dbraw/zinc/70/56/00/1073705600.db2.gz MNVZGJHVKKHFJQ-GJZGRUSLSA-N 0 0 428.497 -0.042 20 0 IBADRN C[C@H](Cn1c(C(=O)Nc2ccccn2)nnc1N1CC[C@@H](C(N)=O)C1)N1CCOCC1 ZINC001288599406 1073705538 /nfs/dbraw/zinc/70/55/38/1073705538.db2.gz MNVZGJHVKKHFJQ-HUUCEWRRSA-N 0 0 428.497 -0.042 20 0 IBADRN C[C@@H](Cn1c(C(=O)Nc2ccccn2)nnc1N1CC[C@@H](C(N)=O)C1)N1CCOCC1 ZINC001288599407 1073705616 /nfs/dbraw/zinc/70/56/16/1073705616.db2.gz MNVZGJHVKKHFJQ-LSDHHAIUSA-N 0 0 428.497 -0.042 20 0 IBADRN C[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N(CCS(C)(=O)=O)C1CC1)N1CCOCC1 ZINC001288638084 1073706227 /nfs/dbraw/zinc/70/62/27/1073706227.db2.gz HCIALVFMJWXHQN-GDBMZVCRSA-N 0 0 440.570 -0.037 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N(CCS(C)(=O)=O)C1CC1)N1CCOCC1 ZINC001288638087 1073706258 /nfs/dbraw/zinc/70/62/58/1073706258.db2.gz HCIALVFMJWXHQN-GOEBONIOSA-N 0 0 440.570 -0.037 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N(CCS(C)(=O)=O)C1CC1)N1CCOCC1 ZINC001288638089 1073706251 /nfs/dbraw/zinc/70/62/51/1073706251.db2.gz HCIALVFMJWXHQN-HOCLYGCPSA-N 0 0 440.570 -0.037 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N(CCS(C)(=O)=O)C1CC1)N1CCOCC1 ZINC001288638091 1073706220 /nfs/dbraw/zinc/70/62/20/1073706220.db2.gz HCIALVFMJWXHQN-ZBFHGGJFSA-N 0 0 440.570 -0.037 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC001288642202 1073706212 /nfs/dbraw/zinc/70/62/12/1073706212.db2.gz WXVQXHZGXRSYNR-DLBZAZTESA-N 0 0 448.572 -0.840 20 0 IBADRN C[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC001288642204 1073706171 /nfs/dbraw/zinc/70/61/71/1073706171.db2.gz WXVQXHZGXRSYNR-IAGOWNOFSA-N 0 0 448.572 -0.840 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC001288642205 1073706114 /nfs/dbraw/zinc/70/61/14/1073706114.db2.gz WXVQXHZGXRSYNR-IRXDYDNUSA-N 0 0 448.572 -0.840 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1)N1CCOCC1 ZINC001288642206 1073706244 /nfs/dbraw/zinc/70/62/44/1073706244.db2.gz WXVQXHZGXRSYNR-SJORKVTESA-N 0 0 448.572 -0.840 20 0 IBADRN C[C@@H](Cn1c(Cc2cccnc2)nnc1N(C)CCNS(C)(=O)=O)N1CCOCC1 ZINC001288659374 1073706681 /nfs/dbraw/zinc/70/66/81/1073706681.db2.gz KTSTZFCZNBTPNJ-INIZCTEOSA-N 0 0 437.570 -0.030 20 0 IBADRN C[C@H](Cn1c(Cc2cccnc2)nnc1N(C)CCNS(C)(=O)=O)N1CCOCC1 ZINC001288659376 1073706729 /nfs/dbraw/zinc/70/67/29/1073706729.db2.gz KTSTZFCZNBTPNJ-MRXNPFEDSA-N 0 0 437.570 -0.030 20 0 IBADRN C[C@@H](Cn1c(CN2CCCC2=O)nnc1N(C)CCS(C)(=O)=O)N1CCOCC1 ZINC001288668857 1073706698 /nfs/dbraw/zinc/70/66/98/1073706698.db2.gz MFAQKWIIXAWCFW-HNNXBMFYSA-N 0 0 428.559 -0.398 20 0 IBADRN C[C@H](Cn1c(CN2CCCC2=O)nnc1N(C)CCS(C)(=O)=O)N1CCOCC1 ZINC001288668858 1073706595 /nfs/dbraw/zinc/70/65/95/1073706595.db2.gz MFAQKWIIXAWCFW-OAHLLOKOSA-N 0 0 428.559 -0.398 20 0 IBADRN C[C@@H](Cn1c(CN2CCCC2=O)nnc1N(C)CCNS(C)(=O)=O)N1CCOCC1 ZINC001288669594 1073706525 /nfs/dbraw/zinc/70/65/25/1073706525.db2.gz OOMCJEWAFIOBAZ-HNNXBMFYSA-N 0 0 443.574 -0.893 20 0 IBADRN C[C@H](Cn1c(CN2CCCC2=O)nnc1N(C)CCNS(C)(=O)=O)N1CCOCC1 ZINC001288669598 1073706714 /nfs/dbraw/zinc/70/67/14/1073706714.db2.gz OOMCJEWAFIOBAZ-OAHLLOKOSA-N 0 0 443.574 -0.893 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O ZINC001288694125 1073706664 /nfs/dbraw/zinc/70/66/64/1073706664.db2.gz MGAJYCWRKKNBGM-NRFANRHFSA-N 0 0 429.477 -0.402 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O ZINC001288694128 1073706632 /nfs/dbraw/zinc/70/66/32/1073706632.db2.gz MGAJYCWRKKNBGM-OAQYLSRUSA-N 0 0 429.477 -0.402 20 0 IBADRN COC(=O)c1cccn(CC(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)c1=O ZINC001288698839 1073706564 /nfs/dbraw/zinc/70/65/64/1073706564.db2.gz BTEGDBYOCJVHRF-UHFFFAOYSA-N 0 0 428.445 -0.474 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@@H](C)CN1CCOCC1 ZINC001288700371 1073706583 /nfs/dbraw/zinc/70/65/83/1073706583.db2.gz HVEOVJLRIVPDQB-HNNXBMFYSA-N 0 0 430.575 -0.135 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@H](C)CN1CCOCC1 ZINC001288700372 1073707264 /nfs/dbraw/zinc/70/72/64/1073707264.db2.gz HVEOVJLRIVPDQB-OAHLLOKOSA-N 0 0 430.575 -0.135 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCS(=O)(=O)CC1)CN1CCOCC1 ZINC001288709343 1073707299 /nfs/dbraw/zinc/70/72/99/1073707299.db2.gz JZDYCFBJTZWUKT-CABCVRRESA-N 0 0 426.543 -0.568 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCS(=O)(=O)CC1)CN1CCOCC1 ZINC001288709344 1073707231 /nfs/dbraw/zinc/70/72/31/1073707231.db2.gz JZDYCFBJTZWUKT-GJZGRUSLSA-N 0 0 426.543 -0.568 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCS(=O)(=O)CC1)CN1CCOCC1 ZINC001288709345 1073707130 /nfs/dbraw/zinc/70/71/30/1073707130.db2.gz JZDYCFBJTZWUKT-HUUCEWRRSA-N 0 0 426.543 -0.568 20 0 IBADRN C[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCS(=O)(=O)CC1)CN1CCOCC1 ZINC001288709346 1073707188 /nfs/dbraw/zinc/70/71/88/1073707188.db2.gz JZDYCFBJTZWUKT-LSDHHAIUSA-N 0 0 426.543 -0.568 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@H](S(C)(=O)=O)C1)CN1CCOCC1 ZINC001288709455 1073707177 /nfs/dbraw/zinc/70/71/77/1073707177.db2.gz LUBPHTSSQDZXES-BZUAXINKSA-N 0 0 440.570 -0.179 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@H](S(C)(=O)=O)C1)CN1CCOCC1 ZINC001288709456 1073707283 /nfs/dbraw/zinc/70/72/83/1073707283.db2.gz LUBPHTSSQDZXES-OAGGEKHMSA-N 0 0 440.570 -0.179 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@H](S(C)(=O)=O)C1)CN1CCOCC1 ZINC001288709457 1073707156 /nfs/dbraw/zinc/70/71/56/1073707156.db2.gz LUBPHTSSQDZXES-OWCLPIDISA-N 0 0 440.570 -0.179 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@H](S(C)(=O)=O)C1)CN1CCOCC1 ZINC001288709458 1073707254 /nfs/dbraw/zinc/70/72/54/1073707254.db2.gz LUBPHTSSQDZXES-PMPSAXMXSA-N 0 0 440.570 -0.179 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)N2CCCC2)n1CCNC(=O)C1CC1 ZINC001288724385 1073707196 /nfs/dbraw/zinc/70/71/96/1073707196.db2.gz FVEBOBKJKVVMIE-CYBMUJFWSA-N 0 0 426.543 -0.090 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)N2CCCC2)n1CCNC(=O)C1CC1 ZINC001288724386 1073707224 /nfs/dbraw/zinc/70/72/24/1073707224.db2.gz FVEBOBKJKVVMIE-ZDUSSCGKSA-N 0 0 426.543 -0.090 20 0 IBADRN CN1C(=O)CN(CC(=O)NCC[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)C1=O ZINC001288730001 1073707290 /nfs/dbraw/zinc/70/72/90/1073707290.db2.gz BYYKBTZZSFNTSO-GFCCVEGCSA-N 0 0 432.499 -0.579 20 0 IBADRN CN1C(=O)CN(CC(=O)NCC[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)C1=O ZINC001288730004 1073707167 /nfs/dbraw/zinc/70/71/67/1073707167.db2.gz BYYKBTZZSFNTSO-LBPRGKRZSA-N 0 0 432.499 -0.579 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(-c2cnn(C)c2)n1CCCNS(C)(=O)=O ZINC001288731157 1073707182 /nfs/dbraw/zinc/70/71/82/1073707182.db2.gz OTWXEOLWNMAXSY-GFCCVEGCSA-N 0 0 433.560 -0.513 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(-c2cnn(C)c2)n1CCCNS(C)(=O)=O ZINC001288731159 1073707205 /nfs/dbraw/zinc/70/72/05/1073707205.db2.gz OTWXEOLWNMAXSY-LBPRGKRZSA-N 0 0 433.560 -0.513 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(-c2cccn2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001288732136 1073707145 /nfs/dbraw/zinc/70/71/45/1073707145.db2.gz ZOQVRLPPMYAVSX-CXAGYDPISA-N 0 0 445.567 -0.298 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(-c2cccn2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001288732137 1073707249 /nfs/dbraw/zinc/70/72/49/1073707249.db2.gz ZOQVRLPPMYAVSX-DYVFJYSZSA-N 0 0 445.567 -0.298 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(-c2cccn2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001288732138 1073707238 /nfs/dbraw/zinc/70/72/38/1073707238.db2.gz ZOQVRLPPMYAVSX-GUYCJALGSA-N 0 0 445.567 -0.298 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(-c2cccn2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001288732139 1073707688 /nfs/dbraw/zinc/70/76/88/1073707688.db2.gz ZOQVRLPPMYAVSX-SUMWQHHRSA-N 0 0 445.567 -0.298 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1ccn(C)n1 ZINC001288734782 1073707694 /nfs/dbraw/zinc/70/76/94/1073707694.db2.gz GNZCVMFRJZYASK-CHWSQXEVSA-N 0 0 430.556 -0.169 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1ccn(C)n1 ZINC001288734783 1073707806 /nfs/dbraw/zinc/70/78/06/1073707806.db2.gz GNZCVMFRJZYASK-OLZOCXBDSA-N 0 0 430.556 -0.169 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1ccn(C)n1 ZINC001288734784 1073707800 /nfs/dbraw/zinc/70/78/00/1073707800.db2.gz GNZCVMFRJZYASK-QWHCGFSZSA-N 0 0 430.556 -0.169 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1ccn(C)n1 ZINC001288734785 1073707715 /nfs/dbraw/zinc/70/77/15/1073707715.db2.gz GNZCVMFRJZYASK-STQMWFEESA-N 0 0 430.556 -0.169 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001288736168 1073707707 /nfs/dbraw/zinc/70/77/07/1073707707.db2.gz WBOKWUYFRMYNHR-CABCVRRESA-N 0 0 429.543 -0.100 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001288736169 1073707766 /nfs/dbraw/zinc/70/77/66/1073707766.db2.gz WBOKWUYFRMYNHR-GJZGRUSLSA-N 0 0 429.543 -0.100 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001288736170 1073707774 /nfs/dbraw/zinc/70/77/74/1073707774.db2.gz WBOKWUYFRMYNHR-HUUCEWRRSA-N 0 0 429.543 -0.100 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001288736172 1073707662 /nfs/dbraw/zinc/70/76/62/1073707662.db2.gz WBOKWUYFRMYNHR-LSDHHAIUSA-N 0 0 429.543 -0.100 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1CCCN1CCOCC1 ZINC001288737574 1073707813 /nfs/dbraw/zinc/70/78/13/1073707813.db2.gz SFXCCBKVHLUEFP-INIZCTEOSA-N 0 0 442.586 -0.008 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1CCCN1CCOCC1 ZINC001288737575 1073707678 /nfs/dbraw/zinc/70/76/78/1073707678.db2.gz SFXCCBKVHLUEFP-MRXNPFEDSA-N 0 0 442.586 -0.008 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@]1(C)CN(C)C1=O ZINC001288737804 1073707760 /nfs/dbraw/zinc/70/77/60/1073707760.db2.gz UWCGAQYKTOFOLM-ACJLOTCBSA-N 0 0 426.543 -0.252 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@]1(C)CN(C)C1=O ZINC001288737805 1073707744 /nfs/dbraw/zinc/70/77/44/1073707744.db2.gz UWCGAQYKTOFOLM-FZKQIMNGSA-N 0 0 426.543 -0.252 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@]1(C)CN(C)C1=O ZINC001288737806 1073707782 /nfs/dbraw/zinc/70/77/82/1073707782.db2.gz UWCGAQYKTOFOLM-SCLBCKFNSA-N 0 0 426.543 -0.252 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@]1(C)CN(C)C1=O ZINC001288737807 1073707736 /nfs/dbraw/zinc/70/77/36/1073707736.db2.gz UWCGAQYKTOFOLM-UGSOOPFHSA-N 0 0 426.543 -0.252 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001288737950 1073707729 /nfs/dbraw/zinc/70/77/29/1073707729.db2.gz WKJDTTLEDCZIAM-KBPBESRZSA-N 0 0 447.583 -0.296 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001288737951 1073707789 /nfs/dbraw/zinc/70/77/89/1073707789.db2.gz WKJDTTLEDCZIAM-KGLIPLIRSA-N 0 0 447.583 -0.296 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCS(=O)(=O)C1 ZINC001288737952 1073707647 /nfs/dbraw/zinc/70/76/47/1073707647.db2.gz WKJDTTLEDCZIAM-UONOGXRCSA-N 0 0 447.583 -0.296 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCS(=O)(=O)C1 ZINC001288737953 1073707722 /nfs/dbraw/zinc/70/77/22/1073707722.db2.gz WKJDTTLEDCZIAM-ZIAGYGMSSA-N 0 0 447.583 -0.296 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288738155 1073707751 /nfs/dbraw/zinc/70/77/51/1073707751.db2.gz XSJRPGDOJMAKQU-QLFBSQMISA-N 0 0 429.543 -0.190 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288738156 1073708367 /nfs/dbraw/zinc/70/83/67/1073708367.db2.gz XSJRPGDOJMAKQU-SOUVJXGZSA-N 0 0 429.543 -0.190 20 0 IBADRN COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001288739480 1073708288 /nfs/dbraw/zinc/70/82/88/1073708288.db2.gz OLWJGMYTZBEXOG-DZGCQCFKSA-N 0 0 429.495 -0.114 20 0 IBADRN COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001288739481 1073708442 /nfs/dbraw/zinc/70/84/42/1073708442.db2.gz OLWJGMYTZBEXOG-HIFRSBDPSA-N 0 0 429.495 -0.114 20 0 IBADRN COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001288739482 1073708460 /nfs/dbraw/zinc/70/84/60/1073708460.db2.gz OLWJGMYTZBEXOG-UKRRQHHQSA-N 0 0 429.495 -0.114 20 0 IBADRN COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001288739483 1073708319 /nfs/dbraw/zinc/70/83/19/1073708319.db2.gz OLWJGMYTZBEXOG-ZFWWWQNUSA-N 0 0 429.495 -0.114 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCc1cn[nH]c1 ZINC001288776686 1073708358 /nfs/dbraw/zinc/70/83/58/1073708358.db2.gz RHXHKAUABQLYPQ-CYBMUJFWSA-N 0 0 440.530 -0.851 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCc1cn[nH]c1 ZINC001288776687 1073708220 /nfs/dbraw/zinc/70/82/20/1073708220.db2.gz RHXHKAUABQLYPQ-ZDUSSCGKSA-N 0 0 440.530 -0.851 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1CCn1cncn1 ZINC001288777211 1073708432 /nfs/dbraw/zinc/70/84/32/1073708432.db2.gz WLMWAZCZSRILDM-GFCCVEGCSA-N 0 0 427.535 -0.469 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1CCn1cncn1 ZINC001288777216 1073708257 /nfs/dbraw/zinc/70/82/57/1073708257.db2.gz WLMWAZCZSRILDM-LBPRGKRZSA-N 0 0 427.535 -0.469 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1Cc1ncn(C)n1 ZINC001288777486 1073708200 /nfs/dbraw/zinc/70/82/00/1073708200.db2.gz YHHLRUKZIHGBFY-LLVKDONJSA-N 0 0 427.535 -0.584 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1Cc1ncn(C)n1 ZINC001288777487 1073708451 /nfs/dbraw/zinc/70/84/51/1073708451.db2.gz YHHLRUKZIHGBFY-NSHDSACASA-N 0 0 427.535 -0.584 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CC1CCOCC1 ZINC001288778924 1073708470 /nfs/dbraw/zinc/70/84/70/1073708470.db2.gz ACLSFEIMEOZVFG-GXTWGEPZSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CC1CCOCC1 ZINC001288778925 1073708904 /nfs/dbraw/zinc/70/89/04/1073708904.db2.gz ACLSFEIMEOZVFG-JSGCOSHPSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CC1CCOCC1 ZINC001288778926 1073708978 /nfs/dbraw/zinc/70/89/78/1073708978.db2.gz ACLSFEIMEOZVFG-OCCSQVGLSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CC1CCOCC1 ZINC001288778927 1073708877 /nfs/dbraw/zinc/70/88/77/1073708877.db2.gz ACLSFEIMEOZVFG-TZMCWYRMSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCC1(O)CCC1 ZINC001288779878 1073708924 /nfs/dbraw/zinc/70/89/24/1073708924.db2.gz FSHBVKDNOYGFCH-CHWSQXEVSA-N 0 0 435.572 -0.183 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCC1(O)CCC1 ZINC001288779879 1073708952 /nfs/dbraw/zinc/70/89/52/1073708952.db2.gz FSHBVKDNOYGFCH-OLZOCXBDSA-N 0 0 435.572 -0.183 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCC1(O)CCC1 ZINC001288779880 1073708946 /nfs/dbraw/zinc/70/89/46/1073708946.db2.gz FSHBVKDNOYGFCH-QWHCGFSZSA-N 0 0 435.572 -0.183 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCC1(O)CCC1 ZINC001288779881 1073708885 /nfs/dbraw/zinc/70/88/85/1073708885.db2.gz FSHBVKDNOYGFCH-STQMWFEESA-N 0 0 435.572 -0.183 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCOC2)n1CC1CCS(=O)(=O)CC1 ZINC001288781161 1073709021 /nfs/dbraw/zinc/70/90/21/1073709021.db2.gz OTPSUWQWUIXPJY-GXTWGEPZSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCOC2)n1CC1CCS(=O)(=O)CC1 ZINC001288781163 1073708897 /nfs/dbraw/zinc/70/88/97/1073708897.db2.gz OTPSUWQWUIXPJY-JSGCOSHPSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCOC2)n1CC1CCS(=O)(=O)CC1 ZINC001288781165 1073709007 /nfs/dbraw/zinc/70/90/07/1073709007.db2.gz OTPSUWQWUIXPJY-OCCSQVGLSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCOC2)n1CC1CCS(=O)(=O)CC1 ZINC001288781167 1073708974 /nfs/dbraw/zinc/70/89/74/1073708974.db2.gz OTPSUWQWUIXPJY-TZMCWYRMSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCSC2)n1CC(=O)N1CCOCC1 ZINC001288781737 1073708932 /nfs/dbraw/zinc/70/89/32/1073708932.db2.gz RVYPVSGFOKDTRX-CHWSQXEVSA-N 0 0 432.572 -0.311 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCSC2)n1CC(=O)N1CCOCC1 ZINC001288781738 1073708984 /nfs/dbraw/zinc/70/89/84/1073708984.db2.gz RVYPVSGFOKDTRX-OLZOCXBDSA-N 0 0 432.572 -0.311 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCSC2)n1CC(=O)N1CCOCC1 ZINC001288781739 1073708913 /nfs/dbraw/zinc/70/89/13/1073708913.db2.gz RVYPVSGFOKDTRX-QWHCGFSZSA-N 0 0 432.572 -0.311 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCSC2)n1CC(=O)N1CCOCC1 ZINC001288781740 1073708938 /nfs/dbraw/zinc/70/89/38/1073708938.db2.gz RVYPVSGFOKDTRX-STQMWFEESA-N 0 0 432.572 -0.311 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCOC2)n1CC(=O)NCC(F)(F)F ZINC001288782854 1073709003 /nfs/dbraw/zinc/70/90/03/1073709003.db2.gz WVMBAGFHSXMPAV-NXEZZACHSA-N 0 0 428.437 -0.208 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCOC2)n1CC(=O)NCC(F)(F)F ZINC001288782855 1073708959 /nfs/dbraw/zinc/70/89/59/1073708959.db2.gz WVMBAGFHSXMPAV-UWVGGRQHSA-N 0 0 428.437 -0.208 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCOC2)n1CC(=O)NCC(F)(F)F ZINC001288782856 1073709013 /nfs/dbraw/zinc/70/90/13/1073709013.db2.gz WVMBAGFHSXMPAV-VHSXEESVSA-N 0 0 428.437 -0.208 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCOC2)n1CC(=O)NCC(F)(F)F ZINC001288782857 1073708966 /nfs/dbraw/zinc/70/89/66/1073708966.db2.gz WVMBAGFHSXMPAV-ZJUUUORDSA-N 0 0 428.437 -0.208 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288783938 1073708987 /nfs/dbraw/zinc/70/89/87/1073708987.db2.gz GLGPGRALPXGECW-AEGPPILISA-N 0 0 427.531 -0.202 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288783939 1073709343 /nfs/dbraw/zinc/70/93/43/1073709343.db2.gz GLGPGRALPXGECW-VHDGCEQUSA-N 0 0 427.531 -0.202 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1CC1(S(C)(=O)=O)CC1 ZINC001288783992 1073709514 /nfs/dbraw/zinc/70/95/14/1073709514.db2.gz GYNCWCGYUWULGB-GFCCVEGCSA-N 0 0 445.571 -0.165 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1CC1(S(C)(=O)=O)CC1 ZINC001288783994 1073709373 /nfs/dbraw/zinc/70/93/73/1073709373.db2.gz GYNCWCGYUWULGB-LBPRGKRZSA-N 0 0 445.571 -0.165 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2ccon2)n1CCC(=O)N1CCOCC1 ZINC001288784637 1073709360 /nfs/dbraw/zinc/70/93/60/1073709360.db2.gz ROANGZUTRNVUNT-CYBMUJFWSA-N 0 0 441.514 -0.238 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)Cc2ccon2)n1CCC(=O)N1CCOCC1 ZINC001288784638 1073709366 /nfs/dbraw/zinc/70/93/66/1073709366.db2.gz ROANGZUTRNVUNT-ZDUSSCGKSA-N 0 0 441.514 -0.238 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCC(F)(F)F)n1CCNS(C)(=O)=O ZINC001288789679 1073709492 /nfs/dbraw/zinc/70/94/92/1073709492.db2.gz FXOTZDFNPSKUCW-SECBINFHSA-N 0 0 436.482 -0.174 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCC(F)(F)F)n1CCNS(C)(=O)=O ZINC001288789680 1073709473 /nfs/dbraw/zinc/70/94/73/1073709473.db2.gz FXOTZDFNPSKUCW-VIFPVBQESA-N 0 0 436.482 -0.174 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC2CC(F)(F)C2)n1CCNS(C)(=O)=O ZINC001288790487 1073709466 /nfs/dbraw/zinc/70/94/66/1073709466.db2.gz RCYXMWNWBZFNFR-JTQLQIEISA-N 0 0 444.530 -0.081 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC2CC(F)(F)C2)n1CCNS(C)(=O)=O ZINC001288790488 1073709392 /nfs/dbraw/zinc/70/93/92/1073709392.db2.gz RCYXMWNWBZFNFR-SNVBAGLBSA-N 0 0 444.530 -0.081 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)C[C@@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001288792288 1073709436 /nfs/dbraw/zinc/70/94/36/1073709436.db2.gz WMZWYMPOQLGLQF-CABCVRRESA-N 0 0 444.558 -0.390 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)C[C@@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001288792309 1073709429 /nfs/dbraw/zinc/70/94/29/1073709429.db2.gz WMZWYMPOQLGLQF-GJZGRUSLSA-N 0 0 444.558 -0.390 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)C[C@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001288792315 1073709414 /nfs/dbraw/zinc/70/94/14/1073709414.db2.gz WMZWYMPOQLGLQF-HUUCEWRRSA-N 0 0 444.558 -0.390 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)C[C@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001288792320 1073709505 /nfs/dbraw/zinc/70/95/05/1073709505.db2.gz WMZWYMPOQLGLQF-LSDHHAIUSA-N 0 0 444.558 -0.390 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1CC1CCOCC1 ZINC001288792923 1073709334 /nfs/dbraw/zinc/70/93/34/1073709334.db2.gz JEADGIXDFBRQHS-GFCCVEGCSA-N 0 0 438.576 -0.700 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1CC1CCOCC1 ZINC001288792925 1073709354 /nfs/dbraw/zinc/70/93/54/1073709354.db2.gz JEADGIXDFBRQHS-LBPRGKRZSA-N 0 0 438.576 -0.700 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@]1(O)CCSC1 ZINC001288795414 1073709385 /nfs/dbraw/zinc/70/93/85/1073709385.db2.gz SMDOHPPDLWMLHK-BXUZGUMPSA-N 0 0 441.601 -0.763 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@@]1(O)CCSC1 ZINC001288795416 1073709497 /nfs/dbraw/zinc/70/94/97/1073709497.db2.gz SMDOHPPDLWMLHK-FZMZJTMJSA-N 0 0 441.601 -0.763 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@@]1(O)CCSC1 ZINC001288795419 1073709323 /nfs/dbraw/zinc/70/93/23/1073709323.db2.gz SMDOHPPDLWMLHK-RISCZKNCSA-N 0 0 441.601 -0.763 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@]1(O)CCSC1 ZINC001288795421 1073709406 /nfs/dbraw/zinc/70/94/06/1073709406.db2.gz SMDOHPPDLWMLHK-SMDDNHRTSA-N 0 0 441.601 -0.763 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@H]1CC=CCO1 ZINC001288796709 1073709448 /nfs/dbraw/zinc/70/94/48/1073709448.db2.gz YPYOATJQEWZVGJ-CHWSQXEVSA-N 0 0 436.560 -0.781 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@H]1CC=CCO1 ZINC001288796710 1073709969 /nfs/dbraw/zinc/70/99/69/1073709969.db2.gz YPYOATJQEWZVGJ-OLZOCXBDSA-N 0 0 436.560 -0.781 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@H]1CC=CCO1 ZINC001288796711 1073710039 /nfs/dbraw/zinc/71/00/39/1073710039.db2.gz YPYOATJQEWZVGJ-QWHCGFSZSA-N 0 0 436.560 -0.781 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@H]1CC=CCO1 ZINC001288796712 1073710003 /nfs/dbraw/zinc/71/00/03/1073710003.db2.gz YPYOATJQEWZVGJ-STQMWFEESA-N 0 0 436.560 -0.781 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@H]32)n1Cc1ccn(C)n1 ZINC001288798679 1073709950 /nfs/dbraw/zinc/70/99/50/1073709950.db2.gz AFZUREREXPOCJS-AEGPPILISA-N 0 0 436.542 -0.083 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1Cc1ccn(C)n1 ZINC001288798680 1073709961 /nfs/dbraw/zinc/70/99/61/1073709961.db2.gz AFZUREREXPOCJS-CFVMTHIKSA-N 0 0 436.542 -0.083 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@H]32)n1Cc1ccn(C)n1 ZINC001288798681 1073710032 /nfs/dbraw/zinc/71/00/32/1073710032.db2.gz AFZUREREXPOCJS-NWANDNLSSA-N 0 0 436.542 -0.083 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@@H]32)n1Cc1ccn(C)n1 ZINC001288798682 1073709923 /nfs/dbraw/zinc/70/99/23/1073709923.db2.gz AFZUREREXPOCJS-QEJZJMRPSA-N 0 0 436.542 -0.083 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288798831 1073709907 /nfs/dbraw/zinc/70/99/07/1073709907.db2.gz CLRUUEKNQSFBKS-RFQIPJPRSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288798832 1073710048 /nfs/dbraw/zinc/71/00/48/1073710048.db2.gz CLRUUEKNQSFBKS-XDQVBPFNSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288798833 1073709939 /nfs/dbraw/zinc/70/99/39/1073709939.db2.gz CLRUUEKNQSFBKS-YIYPIFLZSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288798834 1073710022 /nfs/dbraw/zinc/71/00/22/1073710022.db2.gz CLRUUEKNQSFBKS-ZOBORPQBSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1C[C@H]1CC(F)(F)CO1 ZINC001288799627 1073709932 /nfs/dbraw/zinc/70/99/32/1073709932.db2.gz FSHQDXHRLWMJDC-GRYCIOLGSA-N 0 0 436.485 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@H]1CC(F)(F)CO1 ZINC001288799629 1073709874 /nfs/dbraw/zinc/70/98/74/1073709874.db2.gz FSHQDXHRLWMJDC-SDDRHHMPSA-N 0 0 436.485 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@@H]1CC(F)(F)CO1 ZINC001288799631 1073709888 /nfs/dbraw/zinc/70/98/88/1073709888.db2.gz FSHQDXHRLWMJDC-SRVKXCTJSA-N 0 0 436.485 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1C[C@@H]1CC(F)(F)CO1 ZINC001288799633 1073710057 /nfs/dbraw/zinc/71/00/57/1073710057.db2.gz FSHQDXHRLWMJDC-WOPDTQHZSA-N 0 0 436.485 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@@]1(C)COCCO1 ZINC001288800225 1073709896 /nfs/dbraw/zinc/70/98/96/1073709896.db2.gz IROCHXILAJHPGC-DCGLDWPTSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@]1(C)COCCO1 ZINC001288800226 1073709978 /nfs/dbraw/zinc/70/99/78/1073709978.db2.gz IROCHXILAJHPGC-GDZNZVCISA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@]1(C)COCCO1 ZINC001288800227 1073710068 /nfs/dbraw/zinc/71/00/68/1073710068.db2.gz IROCHXILAJHPGC-IGCXYCKISA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@]1(C)COCCO1 ZINC001288800228 1073709990 /nfs/dbraw/zinc/70/99/90/1073709990.db2.gz IROCHXILAJHPGC-IIYDPXPESA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(C)(C)OC[C@@H]2C)n1CC(=O)N1CCOCC1 ZINC001288800267 1073710012 /nfs/dbraw/zinc/71/00/12/1073710012.db2.gz JIKUEBJOJTZBSD-KBPBESRZSA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(C)(C)OC[C@@H]2C)n1CC(=O)N1CCOCC1 ZINC001288800268 1073710421 /nfs/dbraw/zinc/71/04/21/1073710421.db2.gz JIKUEBJOJTZBSD-UONOGXRCSA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCc1cnn(C)n1 ZINC001288800601 1073710491 /nfs/dbraw/zinc/71/04/91/1073710491.db2.gz JTLPDIZWTSRCSJ-CHWSQXEVSA-N 0 0 439.546 -0.684 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCc1cnn(C)n1 ZINC001288800602 1073710390 /nfs/dbraw/zinc/71/03/90/1073710390.db2.gz JTLPDIZWTSRCSJ-OLZOCXBDSA-N 0 0 439.546 -0.684 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCc1cnn(C)n1 ZINC001288800603 1073710402 /nfs/dbraw/zinc/71/04/02/1073710402.db2.gz JTLPDIZWTSRCSJ-QWHCGFSZSA-N 0 0 439.546 -0.684 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCc1cnn(C)n1 ZINC001288800604 1073710551 /nfs/dbraw/zinc/71/05/51/1073710551.db2.gz JTLPDIZWTSRCSJ-STQMWFEESA-N 0 0 439.546 -0.684 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CC1(O)CCOCC1 ZINC001288800972 1073710522 /nfs/dbraw/zinc/71/05/22/1073710522.db2.gz LHGRFSFIBNVODD-CHWSQXEVSA-N 0 0 430.531 -0.903 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CC1(O)CCOCC1 ZINC001288800988 1073710534 /nfs/dbraw/zinc/71/05/34/1073710534.db2.gz LHGRFSFIBNVODD-OLZOCXBDSA-N 0 0 430.531 -0.903 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CC1(O)CCOCC1 ZINC001288800990 1073710516 /nfs/dbraw/zinc/71/05/16/1073710516.db2.gz LHGRFSFIBNVODD-QWHCGFSZSA-N 0 0 430.531 -0.903 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CC1(O)CCOCC1 ZINC001288800991 1073710455 /nfs/dbraw/zinc/71/04/55/1073710455.db2.gz LHGRFSFIBNVODD-STQMWFEESA-N 0 0 430.531 -0.903 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCNC(=O)C1CC1 ZINC001288801393 1073710543 /nfs/dbraw/zinc/71/05/43/1073710543.db2.gz MNTHMUGSHDVSIA-NEPJUHHUSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCNC(=O)C1CC1 ZINC001288801400 1073710463 /nfs/dbraw/zinc/71/04/63/1073710463.db2.gz MNTHMUGSHDVSIA-NWDGAFQWSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCNC(=O)C1CC1 ZINC001288801405 1073710583 /nfs/dbraw/zinc/71/05/83/1073710583.db2.gz MNTHMUGSHDVSIA-RYUDHWBXSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCNC(=O)C1CC1 ZINC001288801412 1073710498 /nfs/dbraw/zinc/71/04/98/1073710498.db2.gz MNTHMUGSHDVSIA-VXGBXAGGSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCC1(O)CCOCC1 ZINC001288801904 1073710474 /nfs/dbraw/zinc/71/04/74/1073710474.db2.gz NXTGAPLSBFHNQW-KBPBESRZSA-N 0 0 444.558 -0.513 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCC1(O)CCOCC1 ZINC001288801909 1073710410 /nfs/dbraw/zinc/71/04/10/1073710410.db2.gz NXTGAPLSBFHNQW-KGLIPLIRSA-N 0 0 444.558 -0.513 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCC1(O)CCOCC1 ZINC001288801915 1073710482 /nfs/dbraw/zinc/71/04/82/1073710482.db2.gz NXTGAPLSBFHNQW-UONOGXRCSA-N 0 0 444.558 -0.513 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCC1(O)CCOCC1 ZINC001288801921 1073710448 /nfs/dbraw/zinc/71/04/48/1073710448.db2.gz NXTGAPLSBFHNQW-ZIAGYGMSSA-N 0 0 444.558 -0.513 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1Cc1nnc2n1CCC2 ZINC001288801995 1073711174 /nfs/dbraw/zinc/71/11/74/1073711174.db2.gz OFWIZARAHVOFIQ-NEPJUHHUSA-N 0 0 437.530 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1Cc1nnc2n1CCC2 ZINC001288802003 1073711020 /nfs/dbraw/zinc/71/10/20/1073711020.db2.gz OFWIZARAHVOFIQ-NWDGAFQWSA-N 0 0 437.530 -0.859 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1Cc1nnc2n1CCC2 ZINC001288802007 1073711132 /nfs/dbraw/zinc/71/11/32/1073711132.db2.gz OFWIZARAHVOFIQ-RYUDHWBXSA-N 0 0 437.530 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1Cc1nnc2n1CCC2 ZINC001288802011 1073711170 /nfs/dbraw/zinc/71/11/70/1073711170.db2.gz OFWIZARAHVOFIQ-VXGBXAGGSA-N 0 0 437.530 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCC(=O)NC1CC1 ZINC001288802077 1073711215 /nfs/dbraw/zinc/71/12/15/1073711215.db2.gz OUKSBRLJJBFQQW-CHWSQXEVSA-N 0 0 441.558 -0.386 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCC(=O)NC1CC1 ZINC001288802091 1073711142 /nfs/dbraw/zinc/71/11/42/1073711142.db2.gz OUKSBRLJJBFQQW-OLZOCXBDSA-N 0 0 441.558 -0.386 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCC(=O)NC1CC1 ZINC001288802094 1073711039 /nfs/dbraw/zinc/71/10/39/1073711039.db2.gz OUKSBRLJJBFQQW-QWHCGFSZSA-N 0 0 441.558 -0.386 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCC(=O)NC1CC1 ZINC001288802097 1073710995 /nfs/dbraw/zinc/71/09/95/1073710995.db2.gz OUKSBRLJJBFQQW-STQMWFEESA-N 0 0 441.558 -0.386 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@@H]32)n1CCOCCCO ZINC001288802573 1073711094 /nfs/dbraw/zinc/71/10/94/1073711094.db2.gz QEMSHPNLMQOSGF-KKUMJFAQSA-N 0 0 444.558 -0.465 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@H]32)n1CCOCCCO ZINC001288802575 1073711008 /nfs/dbraw/zinc/71/10/08/1073711008.db2.gz QEMSHPNLMQOSGF-RRFJBIMHSA-N 0 0 444.558 -0.465 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@H]32)n1CCOCCCO ZINC001288802577 1073711085 /nfs/dbraw/zinc/71/10/85/1073711085.db2.gz QEMSHPNLMQOSGF-SOUVJXGZSA-N 0 0 444.558 -0.465 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1CCOCCCO ZINC001288802578 1073711030 /nfs/dbraw/zinc/71/10/30/1073711030.db2.gz QEMSHPNLMQOSGF-ZNMIVQPWSA-N 0 0 444.558 -0.465 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CC[C@@H]1COCCO1 ZINC001288804046 1073711125 /nfs/dbraw/zinc/71/11/25/1073711125.db2.gz SRQORLKFLIXVSN-HZSPNIEDSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CC[C@H]1COCCO1 ZINC001288804049 1073711071 /nfs/dbraw/zinc/71/10/71/1073711071.db2.gz SRQORLKFLIXVSN-IHRRRGAJSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CC[C@@H]1COCCO1 ZINC001288804054 1073711100 /nfs/dbraw/zinc/71/11/00/1073711100.db2.gz SRQORLKFLIXVSN-MELADBBJSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CC[C@H]1COCCO1 ZINC001288804057 1073711662 /nfs/dbraw/zinc/71/16/62/1073711662.db2.gz SRQORLKFLIXVSN-RDBSUJKOSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H](C)[C@@H](C)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001288805696 1073711870 /nfs/dbraw/zinc/71/18/70/1073711870.db2.gz BQOAEBJDPNXFEK-CYDGBPFRSA-N 0 0 427.531 -0.031 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@H](C)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001288805698 1073711654 /nfs/dbraw/zinc/71/16/54/1073711654.db2.gz BQOAEBJDPNXFEK-NDBYEHHHSA-N 0 0 427.531 -0.031 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H](C)[C@H](C)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001288805700 1073711635 /nfs/dbraw/zinc/71/16/35/1073711635.db2.gz BQOAEBJDPNXFEK-RNJOBUHISA-N 0 0 427.531 -0.031 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H](C)[C@H](C)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001288805701 1073711690 /nfs/dbraw/zinc/71/16/90/1073711690.db2.gz BQOAEBJDPNXFEK-XQHKEYJVSA-N 0 0 427.531 -0.031 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](N3CCOCC3)C2)n1CC(=O)N(C)C ZINC001288806303 1073711721 /nfs/dbraw/zinc/71/17/21/1073711721.db2.gz FKNDSCJPVSBSHH-ILXRZTDVSA-N 0 0 443.574 -0.867 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H](N3CCOCC3)[C@@H](C)C2)n1CC(=O)N(C)C ZINC001288806304 1073711669 /nfs/dbraw/zinc/71/16/69/1073711669.db2.gz FKNDSCJPVSBSHH-KKUMJFAQSA-N 0 0 443.574 -0.867 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@H](N3CCOCC3)C2)n1CC(=O)N(C)C ZINC001288806305 1073711618 /nfs/dbraw/zinc/71/16/18/1073711618.db2.gz FKNDSCJPVSBSHH-QLFBSQMISA-N 0 0 443.574 -0.867 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H](C)[C@H](N3CCOCC3)C2)n1CC(=O)N(C)C ZINC001288806306 1073711700 /nfs/dbraw/zinc/71/17/00/1073711700.db2.gz FKNDSCJPVSBSHH-SOUVJXGZSA-N 0 0 443.574 -0.867 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CC[C@H]1COCCO1 ZINC001288807701 1073711905 /nfs/dbraw/zinc/71/19/05/1073711905.db2.gz LPUDANUYNUUSPZ-AJNGGQMLSA-N 0 0 442.542 -0.591 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CC[C@@H]1COCCO1 ZINC001288807702 1073711609 /nfs/dbraw/zinc/71/16/09/1073711609.db2.gz LPUDANUYNUUSPZ-BYNSBNAKSA-N 0 0 442.542 -0.591 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CC[C@@H]1COCCO1 ZINC001288807703 1073711596 /nfs/dbraw/zinc/71/15/96/1073711596.db2.gz LPUDANUYNUUSPZ-XQLPTFJDSA-N 0 0 442.542 -0.591 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CC[C@H]1COCCO1 ZINC001288807704 1073711895 /nfs/dbraw/zinc/71/18/95/1073711895.db2.gz LPUDANUYNUUSPZ-ZQDZILKHSA-N 0 0 442.542 -0.591 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC[C@H]32)n1CCOCCS(C)(=O)=O ZINC001288808105 1073711745 /nfs/dbraw/zinc/71/17/45/1073711745.db2.gz NEBMTWOMWOMKAX-BFHYXJOUSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC[C@@H]32)n1CCOCCS(C)(=O)=O ZINC001288808106 1073711885 /nfs/dbraw/zinc/71/18/85/1073711885.db2.gz NEBMTWOMWOMKAX-IHRRRGAJSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC[C@H]32)n1CCOCCS(C)(=O)=O ZINC001288808107 1073711914 /nfs/dbraw/zinc/71/19/14/1073711914.db2.gz NEBMTWOMWOMKAX-MELADBBJSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC[C@@H]32)n1CCOCCS(C)(=O)=O ZINC001288808108 1073712275 /nfs/dbraw/zinc/71/22/75/1073712275.db2.gz NEBMTWOMWOMKAX-MJBXVCDLSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CCC(=O)N(C)C ZINC001288809641 1073712343 /nfs/dbraw/zinc/71/23/43/1073712343.db2.gz ZUXBDPMCPBCAAU-AVGNSLFASA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CCC(=O)N(C)C ZINC001288809642 1073712540 /nfs/dbraw/zinc/71/25/40/1073712540.db2.gz ZUXBDPMCPBCAAU-RWMBFGLXSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CCC(=O)N(C)C ZINC001288809643 1073712427 /nfs/dbraw/zinc/71/24/27/1073712427.db2.gz ZUXBDPMCPBCAAU-XQQFMLRXSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CCC(=O)N(C)C ZINC001288809644 1073712309 /nfs/dbraw/zinc/71/23/09/1073712309.db2.gz ZUXBDPMCPBCAAU-YNEHKIRRSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(C(N)=O)CC2)n1CCN1CCOC[C@@H]1C ZINC001288814269 1073712286 /nfs/dbraw/zinc/71/22/86/1073712286.db2.gz CTBKLAPTBVATOX-KBPBESRZSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(C(N)=O)CC2)n1CCN1CCOC[C@H]1C ZINC001288814270 1073712464 /nfs/dbraw/zinc/71/24/64/1073712464.db2.gz CTBKLAPTBVATOX-KGLIPLIRSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(C(N)=O)CC2)n1CCN1CCOC[C@@H]1C ZINC001288814271 1073712232 /nfs/dbraw/zinc/71/22/32/1073712232.db2.gz CTBKLAPTBVATOX-UONOGXRCSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(C(N)=O)CC2)n1CCN1CCOC[C@H]1C ZINC001288814272 1073712375 /nfs/dbraw/zinc/71/23/75/1073712375.db2.gz CTBKLAPTBVATOX-ZIAGYGMSSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](C(N)=O)C2)n1CC(C)(C)N1CCOCC1 ZINC001288815663 1073712504 /nfs/dbraw/zinc/71/25/04/1073712504.db2.gz JDMRALZAYPJQRV-KBPBESRZSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](C(N)=O)C2)n1CC(C)(C)N1CCOCC1 ZINC001288815664 1073712433 /nfs/dbraw/zinc/71/24/33/1073712433.db2.gz JDMRALZAYPJQRV-KGLIPLIRSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CC(C)(C)N1CCOCC1 ZINC001288815665 1073712403 /nfs/dbraw/zinc/71/24/03/1073712403.db2.gz JDMRALZAYPJQRV-UONOGXRCSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CC(C)(C)N1CCOCC1 ZINC001288815666 1073712930 /nfs/dbraw/zinc/71/29/30/1073712930.db2.gz JDMRALZAYPJQRV-ZIAGYGMSSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C3CC3)C2)n1CC(=O)N1CCOCC1 ZINC001288821634 1073712916 /nfs/dbraw/zinc/71/29/16/1073712916.db2.gz TWVFHFINJVXIAB-DZGCQCFKSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](C3CC3)C2)n1CC(=O)N1CCOCC1 ZINC001288821635 1073712959 /nfs/dbraw/zinc/71/29/59/1073712959.db2.gz TWVFHFINJVXIAB-HIFRSBDPSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C3CC3)C2)n1CC(=O)N1CCOCC1 ZINC001288821636 1073712992 /nfs/dbraw/zinc/71/29/92/1073712992.db2.gz TWVFHFINJVXIAB-UKRRQHHQSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](C3CC3)C2)n1CC(=O)N1CCOCC1 ZINC001288821637 1073712967 /nfs/dbraw/zinc/71/29/67/1073712967.db2.gz TWVFHFINJVXIAB-ZFWWWQNUSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(CO)CC2)n1CC1(N2CCOCC2)CC1 ZINC001288825792 1073712996 /nfs/dbraw/zinc/71/29/96/1073712996.db2.gz LSWFPNSEVKIBAY-HNNXBMFYSA-N 0 0 442.586 -0.038 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(CO)CC2)n1CC1(N2CCOCC2)CC1 ZINC001288825793 1073712974 /nfs/dbraw/zinc/71/29/74/1073712974.db2.gz LSWFPNSEVKIBAY-OAHLLOKOSA-N 0 0 442.586 -0.038 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](N3CCCC3=O)C2)n1C[C@H]1COCCO1 ZINC001288825814 1073713008 /nfs/dbraw/zinc/71/30/08/1073713008.db2.gz LYIUPMZKJFAMOT-KKUMJFAQSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](N3CCCC3=O)C2)n1C[C@@H]1COCCO1 ZINC001288825815 1073713046 /nfs/dbraw/zinc/71/30/46/1073713046.db2.gz LYIUPMZKJFAMOT-RRFJBIMHSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](N3CCCC3=O)C2)n1C[C@@H]1COCCO1 ZINC001288825816 1073712953 /nfs/dbraw/zinc/71/29/53/1073712953.db2.gz LYIUPMZKJFAMOT-SOUVJXGZSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](N3CCCC3=O)C2)n1C[C@H]1COCCO1 ZINC001288825817 1073712883 /nfs/dbraw/zinc/71/28/83/1073712883.db2.gz LYIUPMZKJFAMOT-ZNMIVQPWSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(CO)CC2)n1CCCc1cnn(C)n1 ZINC001288825844 1073713028 /nfs/dbraw/zinc/71/30/28/1073713028.db2.gz MMSKWPKLHGHJNO-CYBMUJFWSA-N 0 0 426.547 -0.142 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(CO)CC2)n1CCCc1cnn(C)n1 ZINC001288825845 1073713051 /nfs/dbraw/zinc/71/30/51/1073713051.db2.gz MMSKWPKLHGHJNO-ZDUSSCGKSA-N 0 0 426.547 -0.142 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(F)(F)C2)n1CCN1CCCS1(=O)=O ZINC001288826868 1073713003 /nfs/dbraw/zinc/71/30/03/1073713003.db2.gz WKXSSALGAGMWTE-LLVKDONJSA-N 0 0 442.514 -0.231 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(F)(F)C2)n1CCN1CCCS1(=O)=O ZINC001288826869 1073712905 /nfs/dbraw/zinc/71/29/05/1073712905.db2.gz WKXSSALGAGMWTE-NSHDSACASA-N 0 0 442.514 -0.231 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(F)(F)CC2)n1CC(=O)N1CCOCC1 ZINC001288827024 1073713015 /nfs/dbraw/zinc/71/30/15/1073713015.db2.gz XGWMSYDWVIHKFG-GFCCVEGCSA-N 0 0 436.485 -0.017 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(F)(F)CC2)n1CC(=O)N1CCOCC1 ZINC001288827025 1073713657 /nfs/dbraw/zinc/71/36/57/1073713657.db2.gz XGWMSYDWVIHKFG-LBPRGKRZSA-N 0 0 436.485 -0.017 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(S(C)(=O)=O)CC2)n1C[C@H]1CCOC1 ZINC001288828536 1073713426 /nfs/dbraw/zinc/71/34/26/1073713426.db2.gz FKWYXGDHSSZCTG-CHWSQXEVSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(S(C)(=O)=O)CC2)n1C[C@@H]1CCOC1 ZINC001288828537 1073713608 /nfs/dbraw/zinc/71/36/08/1073713608.db2.gz FKWYXGDHSSZCTG-OLZOCXBDSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(S(C)(=O)=O)CC2)n1C[C@H]1CCOC1 ZINC001288828538 1073713465 /nfs/dbraw/zinc/71/34/65/1073713465.db2.gz FKWYXGDHSSZCTG-QWHCGFSZSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(S(C)(=O)=O)CC2)n1C[C@@H]1CCOC1 ZINC001288828539 1073713530 /nfs/dbraw/zinc/71/35/30/1073713530.db2.gz FKWYXGDHSSZCTG-STQMWFEESA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1Cc1ccn(C)n1 ZINC001288828930 1073713650 /nfs/dbraw/zinc/71/36/50/1073713650.db2.gz HCXZYTZTQMAIRY-AAEUAGOBSA-N 0 0 431.544 -0.707 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1Cc1ccn(C)n1 ZINC001288828931 1073713567 /nfs/dbraw/zinc/71/35/67/1073713567.db2.gz HCXZYTZTQMAIRY-DGCLKSJQSA-N 0 0 431.544 -0.707 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1Cc1ccn(C)n1 ZINC001288828932 1073713637 /nfs/dbraw/zinc/71/36/37/1073713637.db2.gz HCXZYTZTQMAIRY-WCQYABFASA-N 0 0 431.544 -0.707 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1Cc1ccn(C)n1 ZINC001288828933 1073713588 /nfs/dbraw/zinc/71/35/88/1073713588.db2.gz HCXZYTZTQMAIRY-YPMHNXCESA-N 0 0 431.544 -0.707 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CC1([S@](C)=O)CC1 ZINC001288830543 1073713401 /nfs/dbraw/zinc/71/34/01/1073713401.db2.gz BBJCNZISOVNLEV-GICJBLSMSA-N 0 0 444.583 -0.342 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CC1([S@](C)=O)CC1 ZINC001288830544 1073713630 /nfs/dbraw/zinc/71/36/30/1073713630.db2.gz BBJCNZISOVNLEV-MNQKSIBDSA-N 0 0 444.583 -0.342 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CC1([S@@](C)=O)CC1 ZINC001288830545 1073713620 /nfs/dbraw/zinc/71/36/20/1073713620.db2.gz BBJCNZISOVNLEV-QMXJMEEFSA-N 0 0 444.583 -0.342 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CC1([S@@](C)=O)CC1 ZINC001288830546 1073713577 /nfs/dbraw/zinc/71/35/77/1073713577.db2.gz BBJCNZISOVNLEV-ZEJCNGGZSA-N 0 0 444.583 -0.342 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CCOCCCO ZINC001288830885 1073713541 /nfs/dbraw/zinc/71/35/41/1073713541.db2.gz BRXVDHZUGPIGEN-AWEZNQCLSA-N 0 0 444.558 -0.606 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CCOCCCO ZINC001288830886 1073713597 /nfs/dbraw/zinc/71/35/97/1073713597.db2.gz BRXVDHZUGPIGEN-CQSZACIVSA-N 0 0 444.558 -0.606 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1CC1(O)CCC1 ZINC001288831321 1073713488 /nfs/dbraw/zinc/71/34/88/1073713488.db2.gz RVGQFSVENXQALS-CYBMUJFWSA-N 0 0 441.558 -0.203 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1CC1(O)CCC1 ZINC001288831322 1073713378 /nfs/dbraw/zinc/71/33/78/1073713378.db2.gz RVGQFSVENXQALS-ZDUSSCGKSA-N 0 0 441.558 -0.203 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCOC3)C2)n1CC(=O)NCC(F)F ZINC001288831564 1073713554 /nfs/dbraw/zinc/71/35/54/1073713554.db2.gz FFEXBXADOZTWNN-BDJLRTHQSA-N 0 0 436.485 -0.114 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCOC3)C2)n1CC(=O)NCC(F)F ZINC001288831569 1073713983 /nfs/dbraw/zinc/71/39/83/1073713983.db2.gz FFEXBXADOZTWNN-BZNIZROVSA-N 0 0 436.485 -0.114 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCOC3)C2)n1CC(=O)NCC(F)F ZINC001288831571 1073714075 /nfs/dbraw/zinc/71/40/75/1073714075.db2.gz FFEXBXADOZTWNN-MEDUHNTESA-N 0 0 436.485 -0.114 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCOC3)C2)n1CC(=O)NCC(F)F ZINC001288831574 1073714047 /nfs/dbraw/zinc/71/40/47/1073714047.db2.gz FFEXBXADOZTWNN-ZBEGNZNMSA-N 0 0 436.485 -0.114 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288832588 1073714084 /nfs/dbraw/zinc/71/40/84/1073714084.db2.gz IDHWZCIIGPTEKW-FLTUCWPJSA-N 0 0 442.542 -0.855 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288832590 1073713992 /nfs/dbraw/zinc/71/39/92/1073713992.db2.gz IDHWZCIIGPTEKW-KYZVSKTDSA-N 0 0 442.542 -0.855 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288832591 1073713953 /nfs/dbraw/zinc/71/39/53/1073713953.db2.gz IDHWZCIIGPTEKW-NPSOMJIGSA-N 0 0 442.542 -0.855 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001288832593 1073714100 /nfs/dbraw/zinc/71/41/00/1073714100.db2.gz IDHWZCIIGPTEKW-UYAYXHRUSA-N 0 0 442.542 -0.855 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CC1CC1 ZINC001288832997 1073714040 /nfs/dbraw/zinc/71/40/40/1073714040.db2.gz JVQHEQJWALHYQE-LLVKDONJSA-N 0 0 425.515 -0.181 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CC1CC1 ZINC001288832999 1073714070 /nfs/dbraw/zinc/71/40/70/1073714070.db2.gz JVQHEQJWALHYQE-NSHDSACASA-N 0 0 425.515 -0.181 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCS(=O)(=O)C3)C2)n1CC1(C)COC1 ZINC001288833287 1073714092 /nfs/dbraw/zinc/71/40/92/1073714092.db2.gz LKOCTSBTJHPAIN-CXAGYDPISA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCS(=O)(=O)C3)C2)n1CC1(C)COC1 ZINC001288833297 1073714025 /nfs/dbraw/zinc/71/40/25/1073714025.db2.gz LKOCTSBTJHPAIN-DYVFJYSZSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCS(=O)(=O)C3)C2)n1CC1(C)COC1 ZINC001288833300 1073714006 /nfs/dbraw/zinc/71/40/06/1073714006.db2.gz LKOCTSBTJHPAIN-GUYCJALGSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCS(=O)(=O)C3)C2)n1CC1(C)COC1 ZINC001288833303 1073714016 /nfs/dbraw/zinc/71/40/16/1073714016.db2.gz LKOCTSBTJHPAIN-SUMWQHHRSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1Cc1cnn(C)c1 ZINC001288833344 1073714049 /nfs/dbraw/zinc/71/40/49/1073714049.db2.gz ZCYJNLDYVPICOJ-AAEUAGOBSA-N 0 0 431.544 -0.707 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1Cc1cnn(C)c1 ZINC001288833346 1073714055 /nfs/dbraw/zinc/71/40/55/1073714055.db2.gz ZCYJNLDYVPICOJ-DGCLKSJQSA-N 0 0 431.544 -0.707 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1Cc1cnn(C)c1 ZINC001288833349 1073714061 /nfs/dbraw/zinc/71/40/61/1073714061.db2.gz ZCYJNLDYVPICOJ-WCQYABFASA-N 0 0 431.544 -0.707 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1Cc1cnn(C)c1 ZINC001288833352 1073713964 /nfs/dbraw/zinc/71/39/64/1073713964.db2.gz ZCYJNLDYVPICOJ-YPMHNXCESA-N 0 0 431.544 -0.707 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1C[C@H]1COCCO1 ZINC001288833890 1073714001 /nfs/dbraw/zinc/71/40/01/1073714001.db2.gz PLTOVHIAUCEBCI-DCGLDWPTSA-N 0 0 428.515 -0.837 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1C[C@H]1COCCO1 ZINC001288833891 1073713972 /nfs/dbraw/zinc/71/39/72/1073713972.db2.gz PLTOVHIAUCEBCI-GDZNZVCISA-N 0 0 428.515 -0.837 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1C[C@H]1COCCO1 ZINC001288833892 1073714037 /nfs/dbraw/zinc/71/40/37/1073714037.db2.gz PLTOVHIAUCEBCI-IGCXYCKISA-N 0 0 428.515 -0.837 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1C[C@H]1COCCO1 ZINC001288833893 1073714540 /nfs/dbraw/zinc/71/45/40/1073714540.db2.gz PLTOVHIAUCEBCI-IIYDPXPESA-N 0 0 428.515 -0.837 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCOC3)C2)n1C[C@]1(C)CN(C)C1=O ZINC001288834136 1073714478 /nfs/dbraw/zinc/71/44/78/1073714478.db2.gz QKKQPVZRDCKBGR-DOPJRALCSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCOC3)C2)n1C[C@]1(C)CN(C)C1=O ZINC001288834139 1073714443 /nfs/dbraw/zinc/71/44/43/1073714443.db2.gz QKKQPVZRDCKBGR-KKXDTOCCSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCOC3)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001288834141 1073714468 /nfs/dbraw/zinc/71/44/68/1073714468.db2.gz QKKQPVZRDCKBGR-MORSLUCNSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCOC3)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001288834144 1073714458 /nfs/dbraw/zinc/71/44/58/1073714458.db2.gz QKKQPVZRDCKBGR-VHSSKADRSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1Cc1ccn(C)n1 ZINC001288834200 1073714450 /nfs/dbraw/zinc/71/44/50/1073714450.db2.gz RCHCPFVJTYPDIC-CYBMUJFWSA-N 0 0 436.542 -0.223 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1Cc1ccn(C)n1 ZINC001288834201 1073714492 /nfs/dbraw/zinc/71/44/92/1073714492.db2.gz RCHCPFVJTYPDIC-ZDUSSCGKSA-N 0 0 436.542 -0.223 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@H]1CCCO1 ZINC001288834659 1073714513 /nfs/dbraw/zinc/71/45/13/1073714513.db2.gz DAPWPWLQEOTSQM-GXTWGEPZSA-N 0 0 437.526 -0.276 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@H]1CCCO1 ZINC001288834660 1073714526 /nfs/dbraw/zinc/71/45/26/1073714526.db2.gz DAPWPWLQEOTSQM-TZMCWYRMSA-N 0 0 437.526 -0.276 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CC(=O)NCC1CC1 ZINC001288834805 1073714419 /nfs/dbraw/zinc/71/44/19/1073714419.db2.gz USTIKMRSUCLHTQ-KPZWWZAWSA-N 0 0 439.542 -0.727 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CC(=O)NCC1CC1 ZINC001288834808 1073714436 /nfs/dbraw/zinc/71/44/36/1073714436.db2.gz USTIKMRSUCLHTQ-KZULUSFZSA-N 0 0 439.542 -0.727 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CC(=O)NCC1CC1 ZINC001288834811 1073714423 /nfs/dbraw/zinc/71/44/23/1073714423.db2.gz USTIKMRSUCLHTQ-SGTLLEGYSA-N 0 0 439.542 -0.727 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CC(=O)NCC1CC1 ZINC001288834820 1073714432 /nfs/dbraw/zinc/71/44/32/1073714432.db2.gz USTIKMRSUCLHTQ-XIKOKIGWSA-N 0 0 439.542 -0.727 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CC(F)(F)F ZINC001288852094 1073715126 /nfs/dbraw/zinc/71/51/26/1073715126.db2.gz KDGXIRPLYSMLNX-JTQLQIEISA-N 0 0 448.493 -0.078 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CC(F)(F)F ZINC001288852096 1073714955 /nfs/dbraw/zinc/71/49/55/1073714955.db2.gz KDGXIRPLYSMLNX-SNVBAGLBSA-N 0 0 448.493 -0.078 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCCN(C(=O)C3CC3)CC2)n1CC(=O)N(C)C ZINC001288852383 1073715105 /nfs/dbraw/zinc/71/51/05/1073715105.db2.gz NVWGNPMKCQFZLF-CYBMUJFWSA-N 0 0 441.558 -0.575 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCCN(C(=O)C3CC3)CC2)n1CC(=O)N(C)C ZINC001288852384 1073714927 /nfs/dbraw/zinc/71/49/27/1073714927.db2.gz NVWGNPMKCQFZLF-ZDUSSCGKSA-N 0 0 441.558 -0.575 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](C(N)=O)C2)n1CCN1CCOC[C@H]1C ZINC001288857277 1073715037 /nfs/dbraw/zinc/71/50/37/1073715037.db2.gz UXCJAZIZCPVMLF-ILXRZTDVSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](C(N)=O)C2)n1CCN1CCOC[C@H]1C ZINC001288857278 1073715082 /nfs/dbraw/zinc/71/50/82/1073715082.db2.gz UXCJAZIZCPVMLF-KFWWJZLASA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](C(N)=O)C2)n1CCN1CCOC[C@@H]1C ZINC001288857279 1073715007 /nfs/dbraw/zinc/71/50/07/1073715007.db2.gz UXCJAZIZCPVMLF-KKUMJFAQSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](C(N)=O)C2)n1CCN1CCOC[C@@H]1C ZINC001288857280 1073715110 /nfs/dbraw/zinc/71/51/10/1073715110.db2.gz UXCJAZIZCPVMLF-ZNMIVQPWSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1[C@@H]1C[C@H]1CF ZINC001288857377 1073715131 /nfs/dbraw/zinc/71/51/31/1073715131.db2.gz WPZKOQMQWVLBOP-FRRDWIJNSA-N 0 0 438.551 -0.110 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1[C@@H]1C[C@H]1CF ZINC001288857378 1073715557 /nfs/dbraw/zinc/71/55/57/1073715557.db2.gz WPZKOQMQWVLBOP-RWMBFGLXSA-N 0 0 438.551 -0.110 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1[C@H]1C[C@H]1C ZINC001288857451 1073715566 /nfs/dbraw/zinc/71/55/66/1073715566.db2.gz YGMCJRLZEXOBLJ-DYEKYZERSA-N 0 0 439.542 -0.604 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1[C@@H]1C[C@H]1C ZINC001288857452 1073715446 /nfs/dbraw/zinc/71/54/46/1073715446.db2.gz YGMCJRLZEXOBLJ-MBNYWOFBSA-N 0 0 439.542 -0.604 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1[C@H]1C[C@@H]1C ZINC001288857453 1073715473 /nfs/dbraw/zinc/71/54/73/1073715473.db2.gz YGMCJRLZEXOBLJ-OBJOEFQTSA-N 0 0 439.542 -0.604 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1[C@@H]1C[C@@H]1C ZINC001288857454 1073715520 /nfs/dbraw/zinc/71/55/20/1073715520.db2.gz YGMCJRLZEXOBLJ-SGMGOOAPSA-N 0 0 439.542 -0.604 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1CCC(=O)N1CCCCC1 ZINC001288857606 1073715581 /nfs/dbraw/zinc/71/55/81/1073715581.db2.gz ACGIVTROTSZZLP-AWEZNQCLSA-N 0 0 441.558 -0.431 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1CCC(=O)N1CCCCC1 ZINC001288857609 1073715541 /nfs/dbraw/zinc/71/55/41/1073715541.db2.gz ACGIVTROTSZZLP-CQSZACIVSA-N 0 0 441.558 -0.431 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001288858105 1073715605 /nfs/dbraw/zinc/71/56/05/1073715605.db2.gz CHJLRXMEDQXEOH-GFCCVEGCSA-N 0 0 448.571 -0.866 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001288858106 1073715373 /nfs/dbraw/zinc/71/53/73/1073715373.db2.gz CHJLRXMEDQXEOH-LBPRGKRZSA-N 0 0 448.571 -0.866 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCCC(=O)N(C)C ZINC001288859675 1073715573 /nfs/dbraw/zinc/71/55/73/1073715573.db2.gz LOISZAIEXZXMSK-CHWSQXEVSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCCC(=O)N(C)C ZINC001288859676 1073715551 /nfs/dbraw/zinc/71/55/51/1073715551.db2.gz LOISZAIEXZXMSK-OLZOCXBDSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCCC(=O)N(C)C ZINC001288859677 1073715431 /nfs/dbraw/zinc/71/54/31/1073715431.db2.gz LOISZAIEXZXMSK-QWHCGFSZSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCCC(=O)N(C)C ZINC001288859678 1073715489 /nfs/dbraw/zinc/71/54/89/1073715489.db2.gz LOISZAIEXZXMSK-STQMWFEESA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1CCN(C)CC(F)(F)F ZINC001288859693 1073715418 /nfs/dbraw/zinc/71/54/18/1073715418.db2.gz LVTUYIALYMMPIB-LLVKDONJSA-N 0 0 441.480 -0.339 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1CCN(C)CC(F)(F)F ZINC001288859701 1073715409 /nfs/dbraw/zinc/71/54/09/1073715409.db2.gz LVTUYIALYMMPIB-NSHDSACASA-N 0 0 441.480 -0.339 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@@H]1NC(=O)CC1(C)C ZINC001288860180 1073715389 /nfs/dbraw/zinc/71/53/89/1073715389.db2.gz ONOYPAKCDSNTHU-AVGNSLFASA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@H]1NC(=O)CC1(C)C ZINC001288860183 1073715507 /nfs/dbraw/zinc/71/55/07/1073715507.db2.gz ONOYPAKCDSNTHU-RWMBFGLXSA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@@H]1NC(=O)CC1(C)C ZINC001288860185 1073715587 /nfs/dbraw/zinc/71/55/87/1073715587.db2.gz ONOYPAKCDSNTHU-XQQFMLRXSA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@H]1NC(=O)CC1(C)C ZINC001288860188 1073715866 /nfs/dbraw/zinc/71/58/66/1073715866.db2.gz ONOYPAKCDSNTHU-YNEHKIRRSA-N 0 0 441.558 -0.530 20 0 IBADRN CC(C)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001288860907 1073715886 /nfs/dbraw/zinc/71/58/86/1073715886.db2.gz VUZOGRDMMTVTEU-CABCVRRESA-N 0 0 448.615 -0.147 20 0 IBADRN CC(C)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001288860908 1073715846 /nfs/dbraw/zinc/71/58/46/1073715846.db2.gz VUZOGRDMMTVTEU-GJZGRUSLSA-N 0 0 448.615 -0.147 20 0 IBADRN CC(C)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001288860909 1073715935 /nfs/dbraw/zinc/71/59/35/1073715935.db2.gz VUZOGRDMMTVTEU-HUUCEWRRSA-N 0 0 448.615 -0.147 20 0 IBADRN CC(C)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001288860910 1073715904 /nfs/dbraw/zinc/71/59/04/1073715904.db2.gz VUZOGRDMMTVTEU-LSDHHAIUSA-N 0 0 448.615 -0.147 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1CCNC(=O)C(C)(C)C ZINC001288860935 1073716090 /nfs/dbraw/zinc/71/60/90/1073716090.db2.gz WITPZBXEEYWCJA-GFCCVEGCSA-N 0 0 429.547 -0.671 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1CCNC(=O)C(C)(C)C ZINC001288860936 1073716001 /nfs/dbraw/zinc/71/60/01/1073716001.db2.gz WITPZBXEEYWCJA-LBPRGKRZSA-N 0 0 429.547 -0.671 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@]1(O)CCO[C@@H]1C ZINC001288861161 1073715992 /nfs/dbraw/zinc/71/59/92/1073715992.db2.gz YKQVIFCNFZRGFM-APNQJHFZSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@@]1(O)CCO[C@@H]1C ZINC001288861162 1073715974 /nfs/dbraw/zinc/71/59/74/1073715974.db2.gz YKQVIFCNFZRGFM-JRATXPSKSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@@]1(O)CCO[C@H]1C ZINC001288861163 1073716070 /nfs/dbraw/zinc/71/60/70/1073716070.db2.gz YKQVIFCNFZRGFM-MRHIQRDNSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@]1(O)CCO[C@H]1C ZINC001288861164 1073716060 /nfs/dbraw/zinc/71/60/60/1073716060.db2.gz YKQVIFCNFZRGFM-NEULZYRMSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1[C@@H]1C[C@H]1CF ZINC001288861601 1073716048 /nfs/dbraw/zinc/71/60/48/1073716048.db2.gz BSRIXTBUKIUVAF-KCQAQPDRSA-N 0 0 443.549 -0.181 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1[C@@H]1C[C@H]1CF ZINC001288861602 1073715920 /nfs/dbraw/zinc/71/59/20/1073715920.db2.gz BSRIXTBUKIUVAF-VNHYZAJKSA-N 0 0 443.549 -0.181 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CC(C)(C)O ZINC001288861715 1073716015 /nfs/dbraw/zinc/71/60/15/1073716015.db2.gz CBWXCCVQYINCAP-GFCCVEGCSA-N 0 0 440.530 -0.754 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CC(C)(C)O ZINC001288861716 1073715830 /nfs/dbraw/zinc/71/58/30/1073715830.db2.gz CBWXCCVQYINCAP-LBPRGKRZSA-N 0 0 440.530 -0.754 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(c3ncc(F)cn3)CC2)n1CC1(O)CC1 ZINC001288862175 1073716027 /nfs/dbraw/zinc/71/60/27/1073716027.db2.gz HPTBUDXDJQQNRC-GFCCVEGCSA-N 0 0 440.505 -0.331 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(c3ncc(F)cn3)CC2)n1CC1(O)CC1 ZINC001288862181 1073716474 /nfs/dbraw/zinc/71/64/74/1073716474.db2.gz HPTBUDXDJQQNRC-LBPRGKRZSA-N 0 0 440.505 -0.331 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C[C@@H]3CCCO3)CC2)n1CC1(O)CC1 ZINC001288867599 1073716496 /nfs/dbraw/zinc/71/64/96/1073716496.db2.gz ANBZHLRJTINBBH-CABCVRRESA-N 0 0 428.559 -0.286 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C[C@@H]3CCCO3)CC2)n1CC1(O)CC1 ZINC001288867607 1073716517 /nfs/dbraw/zinc/71/65/17/1073716517.db2.gz ANBZHLRJTINBBH-GJZGRUSLSA-N 0 0 428.559 -0.286 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C[C@H]3CCCO3)CC2)n1CC1(O)CC1 ZINC001288867610 1073716364 /nfs/dbraw/zinc/71/63/64/1073716364.db2.gz ANBZHLRJTINBBH-HUUCEWRRSA-N 0 0 428.559 -0.286 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C[C@H]3CCCO3)CC2)n1CC1(O)CC1 ZINC001288867612 1073716444 /nfs/dbraw/zinc/71/64/44/1073716444.db2.gz ANBZHLRJTINBBH-LSDHHAIUSA-N 0 0 428.559 -0.286 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCCOCC1CC1 ZINC001288867665 1073716351 /nfs/dbraw/zinc/71/63/51/1073716351.db2.gz ARXMTLAZZRNALN-DZGCQCFKSA-N 0 0 441.558 -0.081 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCCOCC1CC1 ZINC001288867666 1073716413 /nfs/dbraw/zinc/71/64/13/1073716413.db2.gz ARXMTLAZZRNALN-HIFRSBDPSA-N 0 0 441.558 -0.081 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCCOCC1CC1 ZINC001288867667 1073716343 /nfs/dbraw/zinc/71/63/43/1073716343.db2.gz ARXMTLAZZRNALN-UKRRQHHQSA-N 0 0 441.558 -0.081 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCCOCC1CC1 ZINC001288867668 1073716403 /nfs/dbraw/zinc/71/64/03/1073716403.db2.gz ARXMTLAZZRNALN-ZFWWWQNUSA-N 0 0 441.558 -0.081 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@H]1CCC=CO1 ZINC001288867941 1073716330 /nfs/dbraw/zinc/71/63/30/1073716330.db2.gz BXNUHJFHLOSAFN-KBPBESRZSA-N 0 0 448.571 -0.337 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@H]1CCC=CO1 ZINC001288867942 1073716395 /nfs/dbraw/zinc/71/63/95/1073716395.db2.gz BXNUHJFHLOSAFN-KGLIPLIRSA-N 0 0 448.571 -0.337 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCC=CO1 ZINC001288867943 1073716461 /nfs/dbraw/zinc/71/64/61/1073716461.db2.gz BXNUHJFHLOSAFN-UONOGXRCSA-N 0 0 448.571 -0.337 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCC=CO1 ZINC001288867945 1073716904 /nfs/dbraw/zinc/71/69/04/1073716904.db2.gz BXNUHJFHLOSAFN-ZIAGYGMSSA-N 0 0 448.571 -0.337 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCSCCCO ZINC001288868299 1073717012 /nfs/dbraw/zinc/71/70/12/1073717012.db2.gz DCUWZTRYQAQADU-KBPBESRZSA-N 0 0 446.599 -0.185 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCSCCCO ZINC001288868302 1073716929 /nfs/dbraw/zinc/71/69/29/1073716929.db2.gz DCUWZTRYQAQADU-KGLIPLIRSA-N 0 0 446.599 -0.185 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCSCCCO ZINC001288868303 1073717001 /nfs/dbraw/zinc/71/70/01/1073717001.db2.gz DCUWZTRYQAQADU-UONOGXRCSA-N 0 0 446.599 -0.185 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCSCCCO ZINC001288868304 1073716916 /nfs/dbraw/zinc/71/69/16/1073716916.db2.gz DCUWZTRYQAQADU-ZIAGYGMSSA-N 0 0 446.599 -0.185 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCC=CO1 ZINC001288868485 1073716939 /nfs/dbraw/zinc/71/69/39/1073716939.db2.gz FBAZPFNZUUMYHL-BFHYXJOUSA-N 0 0 425.515 -0.205 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@H]1CCC=CO1 ZINC001288868489 1073716891 /nfs/dbraw/zinc/71/68/91/1073716891.db2.gz FBAZPFNZUUMYHL-IHRRRGAJSA-N 0 0 425.515 -0.205 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@H]1CCC=CO1 ZINC001288868491 1073716995 /nfs/dbraw/zinc/71/69/95/1073716995.db2.gz FBAZPFNZUUMYHL-MELADBBJSA-N 0 0 425.515 -0.205 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCC=CO1 ZINC001288868493 1073716965 /nfs/dbraw/zinc/71/69/65/1073716965.db2.gz FBAZPFNZUUMYHL-MJBXVCDLSA-N 0 0 425.515 -0.205 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1Cc1cnn(C)c1 ZINC001288868709 1073717056 /nfs/dbraw/zinc/71/70/56/1073717056.db2.gz FFXPLRAWVCSXEB-GXTWGEPZSA-N 0 0 437.530 -0.774 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1Cc1cnn(C)c1 ZINC001288868710 1073716974 /nfs/dbraw/zinc/71/69/74/1073716974.db2.gz FFXPLRAWVCSXEB-JSGCOSHPSA-N 0 0 437.530 -0.774 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1Cc1cnn(C)c1 ZINC001288868711 1073717049 /nfs/dbraw/zinc/71/70/49/1073717049.db2.gz FFXPLRAWVCSXEB-OCCSQVGLSA-N 0 0 437.530 -0.774 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1Cc1cnn(C)c1 ZINC001288868712 1073717021 /nfs/dbraw/zinc/71/70/21/1073717021.db2.gz FFXPLRAWVCSXEB-TZMCWYRMSA-N 0 0 437.530 -0.774 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCO[C@H]1CCOC1 ZINC001288868923 1073716985 /nfs/dbraw/zinc/71/69/85/1073716985.db2.gz DVMVKPVKPVVBSG-KBPBESRZSA-N 0 0 430.531 -0.590 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCO[C@H]1CCOC1 ZINC001288868924 1073717375 /nfs/dbraw/zinc/71/73/75/1073717375.db2.gz DVMVKPVKPVVBSG-KGLIPLIRSA-N 0 0 430.531 -0.590 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCO[C@@H]1CCOC1 ZINC001288868925 1073717396 /nfs/dbraw/zinc/71/73/96/1073717396.db2.gz DVMVKPVKPVVBSG-UONOGXRCSA-N 0 0 430.531 -0.590 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCO[C@@H]1CCOC1 ZINC001288868926 1073717355 /nfs/dbraw/zinc/71/73/55/1073717355.db2.gz DVMVKPVKPVVBSG-ZIAGYGMSSA-N 0 0 430.531 -0.590 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCOc1ccc(Cl)cn1 ZINC001288868997 1073717432 /nfs/dbraw/zinc/71/74/32/1073717432.db2.gz FSZVYWCIOFODEI-LLVKDONJSA-N 0 0 443.917 -0.048 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCOc1ccc(Cl)cn1 ZINC001288868998 1073717441 /nfs/dbraw/zinc/71/74/41/1073717441.db2.gz FSZVYWCIOFODEI-NSHDSACASA-N 0 0 443.917 -0.048 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CC[C@H]1COCCO1 ZINC001288869176 1073717465 /nfs/dbraw/zinc/71/74/65/1073717465.db2.gz GXCALULHHJBNQC-KKUMJFAQSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CC[C@@H]1COCCO1 ZINC001288869178 1073717448 /nfs/dbraw/zinc/71/74/48/1073717448.db2.gz GXCALULHHJBNQC-RRFJBIMHSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CC[C@@H]1COCCO1 ZINC001288869180 1073717403 /nfs/dbraw/zinc/71/74/03/1073717403.db2.gz GXCALULHHJBNQC-SOUVJXGZSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CC[C@H]1COCCO1 ZINC001288869182 1073717412 /nfs/dbraw/zinc/71/74/12/1073717412.db2.gz GXCALULHHJBNQC-ZNMIVQPWSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1C[C@H]1COCCO1 ZINC001288869183 1073717439 /nfs/dbraw/zinc/71/74/39/1073717439.db2.gz GVNBHPRPYURPME-DCGLDWPTSA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1C[C@H]1COCCO1 ZINC001288869188 1073717477 /nfs/dbraw/zinc/71/74/77/1073717477.db2.gz GVNBHPRPYURPME-GDZNZVCISA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1C[C@H]1COCCO1 ZINC001288869192 1073717387 /nfs/dbraw/zinc/71/73/87/1073717387.db2.gz GVNBHPRPYURPME-IGCXYCKISA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1C[C@H]1COCCO1 ZINC001288869196 1073717418 /nfs/dbraw/zinc/71/74/18/1073717418.db2.gz GVNBHPRPYURPME-IIYDPXPESA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1Cc1nncn1C ZINC001288869232 1073717363 /nfs/dbraw/zinc/71/73/63/1073717363.db2.gz HIKAWSMPSKLUQI-LLVKDONJSA-N 0 0 433.489 -0.548 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1Cc1nncn1C ZINC001288869235 1073717423 /nfs/dbraw/zinc/71/74/23/1073717423.db2.gz HIKAWSMPSKLUQI-NSHDSACASA-N 0 0 433.489 -0.548 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCc1cn2ccccc2n1 ZINC001288869508 1073717483 /nfs/dbraw/zinc/71/74/83/1073717483.db2.gz IELSZUXZQSKXON-CYBMUJFWSA-N 0 0 432.510 -0.285 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCc1cn2ccccc2n1 ZINC001288869514 1073717341 /nfs/dbraw/zinc/71/73/41/1073717341.db2.gz IELSZUXZQSKXON-ZDUSSCGKSA-N 0 0 432.510 -0.285 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1cccc2c1OCO2 ZINC001288869875 1073717949 /nfs/dbraw/zinc/71/79/49/1073717949.db2.gz LFNRDEZTAUURIO-GFCCVEGCSA-N 0 0 436.494 -0.008 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1cccc2c1OCO2 ZINC001288869876 1073717980 /nfs/dbraw/zinc/71/79/80/1073717980.db2.gz LFNRDEZTAUURIO-LBPRGKRZSA-N 0 0 436.494 -0.008 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CCCC(=O)N(C)C ZINC001288869889 1073717867 /nfs/dbraw/zinc/71/78/67/1073717867.db2.gz LLMRNLZPPJMXIS-CHWSQXEVSA-N 0 0 443.530 -0.603 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CCCC(=O)N(C)C ZINC001288869890 1073718008 /nfs/dbraw/zinc/71/80/08/1073718008.db2.gz LLMRNLZPPJMXIS-OLZOCXBDSA-N 0 0 443.530 -0.603 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CCCC(=O)N(C)C ZINC001288869891 1073717943 /nfs/dbraw/zinc/71/79/43/1073717943.db2.gz LLMRNLZPPJMXIS-QWHCGFSZSA-N 0 0 443.530 -0.603 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CCCC(=O)N(C)C ZINC001288869892 1073717908 /nfs/dbraw/zinc/71/79/08/1073717908.db2.gz LLMRNLZPPJMXIS-STQMWFEESA-N 0 0 443.530 -0.603 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCSCCCO ZINC001288869955 1073718016 /nfs/dbraw/zinc/71/80/16/1073718016.db2.gz LCXBKNXGGKKRJM-CHWSQXEVSA-N 0 0 447.587 -0.782 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCSCCCO ZINC001288869956 1073717857 /nfs/dbraw/zinc/71/78/57/1073717857.db2.gz LCXBKNXGGKKRJM-OLZOCXBDSA-N 0 0 447.587 -0.782 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCSCCCO ZINC001288869957 1073717882 /nfs/dbraw/zinc/71/78/82/1073717882.db2.gz LCXBKNXGGKKRJM-QWHCGFSZSA-N 0 0 447.587 -0.782 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCSCCCO ZINC001288869958 1073717936 /nfs/dbraw/zinc/71/79/36/1073717936.db2.gz LCXBKNXGGKKRJM-STQMWFEESA-N 0 0 447.587 -0.782 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CC1([S@](C)=O)CC1 ZINC001288869967 1073717849 /nfs/dbraw/zinc/71/78/49/1073717849.db2.gz LGCOAPDFVAKQLL-HSRKRZFJSA-N 0 0 444.583 -0.390 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CC1([S@@](C)=O)CC1 ZINC001288869968 1073717929 /nfs/dbraw/zinc/71/79/29/1073717929.db2.gz LGCOAPDFVAKQLL-PVLCWKDFSA-N 0 0 444.583 -0.390 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CC1([S@](C)=O)CC1 ZINC001288869969 1073717889 /nfs/dbraw/zinc/71/78/89/1073717889.db2.gz LGCOAPDFVAKQLL-RTPGUIODSA-N 0 0 444.583 -0.390 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CC1([S@@](C)=O)CC1 ZINC001288869970 1073717840 /nfs/dbraw/zinc/71/78/40/1073717840.db2.gz LGCOAPDFVAKQLL-WFTMENRYSA-N 0 0 444.583 -0.390 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC3CC3)CC2)n1CCCS(C)(=O)=O ZINC001288870202 1073717986 /nfs/dbraw/zinc/71/79/86/1073717986.db2.gz MKPNPPRAQOCZAZ-AWEZNQCLSA-N 0 0 448.615 -0.145 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC3CC3)CC2)n1CCCS(C)(=O)=O ZINC001288870203 1073717960 /nfs/dbraw/zinc/71/79/60/1073717960.db2.gz MKPNPPRAQOCZAZ-CQSZACIVSA-N 0 0 448.615 -0.145 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC(C)(C)O ZINC001288870220 1073717874 /nfs/dbraw/zinc/71/78/74/1073717874.db2.gz MOWHTLOHPYUKTI-NWDGAFQWSA-N 0 0 438.576 -0.871 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC(C)(C)O ZINC001288870222 1073717918 /nfs/dbraw/zinc/71/79/18/1073717918.db2.gz MOWHTLOHPYUKTI-RYUDHWBXSA-N 0 0 438.576 -0.871 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1Cc1ccc2nsnc2c1 ZINC001288870677 1073718504 /nfs/dbraw/zinc/71/85/04/1073718504.db2.gz QNQCNACJNMEADA-JTQLQIEISA-N 0 0 436.523 -0.123 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1Cc1ccc2nsnc2c1 ZINC001288870678 1073718460 /nfs/dbraw/zinc/71/84/60/1073718460.db2.gz QNQCNACJNMEADA-SNVBAGLBSA-N 0 0 436.523 -0.123 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@H]1CCSC1 ZINC001288870969 1073718555 /nfs/dbraw/zinc/71/85/55/1073718555.db2.gz OYEMQEBCRKSOIC-AVGNSLFASA-N 0 0 429.572 -0.145 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCSC1 ZINC001288870981 1073718411 /nfs/dbraw/zinc/71/84/11/1073718411.db2.gz OYEMQEBCRKSOIC-RWMBFGLXSA-N 0 0 429.572 -0.145 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@H]1CCSC1 ZINC001288870983 1073718479 /nfs/dbraw/zinc/71/84/79/1073718479.db2.gz OYEMQEBCRKSOIC-XQQFMLRXSA-N 0 0 429.572 -0.145 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCSC1 ZINC001288870985 1073718586 /nfs/dbraw/zinc/71/85/86/1073718586.db2.gz OYEMQEBCRKSOIC-YNEHKIRRSA-N 0 0 429.572 -0.145 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCCNC(=O)C1CCC1 ZINC001288871211 1073718472 /nfs/dbraw/zinc/71/84/72/1073718472.db2.gz SEPRJDZPEHUBOL-GFCCVEGCSA-N 0 0 427.531 -0.869 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCCNC(=O)C1CCC1 ZINC001288871212 1073718482 /nfs/dbraw/zinc/71/84/82/1073718482.db2.gz SEPRJDZPEHUBOL-LBPRGKRZSA-N 0 0 427.531 -0.869 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CCC(=O)N(C)C ZINC001288871290 1073718592 /nfs/dbraw/zinc/71/85/92/1073718592.db2.gz UBBWRUULFAZHLX-NEPJUHHUSA-N 0 0 429.503 -0.993 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CCC(=O)N(C)C ZINC001288871291 1073718575 /nfs/dbraw/zinc/71/85/75/1073718575.db2.gz UBBWRUULFAZHLX-NWDGAFQWSA-N 0 0 429.503 -0.993 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CCC(=O)N(C)C ZINC001288871293 1073718579 /nfs/dbraw/zinc/71/85/79/1073718579.db2.gz UBBWRUULFAZHLX-RYUDHWBXSA-N 0 0 429.503 -0.993 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CCC(=O)N(C)C ZINC001288871295 1073718568 /nfs/dbraw/zinc/71/85/68/1073718568.db2.gz UBBWRUULFAZHLX-VXGBXAGGSA-N 0 0 429.503 -0.993 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC[C@@H]1CCOC1 ZINC001288871339 1073718508 /nfs/dbraw/zinc/71/85/08/1073718508.db2.gz UHLYAWWPZUOPDI-ARFHVFGLSA-N 0 0 428.559 -0.164 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC[C@H]1CCOC1 ZINC001288871344 1073718388 /nfs/dbraw/zinc/71/83/88/1073718388.db2.gz UHLYAWWPZUOPDI-HRCADAONSA-N 0 0 428.559 -0.164 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC[C@H]1CCOC1 ZINC001288871428 1073718489 /nfs/dbraw/zinc/71/84/89/1073718489.db2.gz UHLYAWWPZUOPDI-JYJNAYRXSA-N 0 0 428.559 -0.164 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC[C@@H]1CCOC1 ZINC001288871430 1073718367 /nfs/dbraw/zinc/71/83/67/1073718367.db2.gz UHLYAWWPZUOPDI-XHSDSOJGSA-N 0 0 428.559 -0.164 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CC1(C)C ZINC001288871629 1073718562 /nfs/dbraw/zinc/71/85/62/1073718562.db2.gz QVFDGRRFWWBMAB-AVGNSLFASA-N 0 0 439.542 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CC1(C)C ZINC001288871632 1073719013 /nfs/dbraw/zinc/71/90/13/1073719013.db2.gz QVFDGRRFWWBMAB-RWMBFGLXSA-N 0 0 439.542 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CC1(C)C ZINC001288871636 1073719091 /nfs/dbraw/zinc/71/90/91/1073719091.db2.gz QVFDGRRFWWBMAB-XQQFMLRXSA-N 0 0 439.542 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CC1(C)C ZINC001288871640 1073719065 /nfs/dbraw/zinc/71/90/65/1073719065.db2.gz QVFDGRRFWWBMAB-YNEHKIRRSA-N 0 0 439.542 -0.919 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCC(=O)N(C)C ZINC001288872149 1073719202 /nfs/dbraw/zinc/71/92/02/1073719202.db2.gz SMWVQIJCIODMSY-CHWSQXEVSA-N 0 0 427.531 -0.822 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCC(=O)N(C)C ZINC001288872152 1073719055 /nfs/dbraw/zinc/71/90/55/1073719055.db2.gz SMWVQIJCIODMSY-OLZOCXBDSA-N 0 0 427.531 -0.822 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCC(=O)N(C)C ZINC001288872155 1073718997 /nfs/dbraw/zinc/71/89/97/1073718997.db2.gz SMWVQIJCIODMSY-QWHCGFSZSA-N 0 0 427.531 -0.822 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCC(=O)N(C)C ZINC001288872158 1073719022 /nfs/dbraw/zinc/71/90/22/1073719022.db2.gz SMWVQIJCIODMSY-STQMWFEESA-N 0 0 427.531 -0.822 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1ccc(N(C)C)nc1 ZINC001288872318 1073719071 /nfs/dbraw/zinc/71/90/71/1073719071.db2.gz XMRSBQOBZINDCY-CYBMUJFWSA-N 0 0 436.542 -0.276 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1ccc(N(C)C)nc1 ZINC001288872320 1073719039 /nfs/dbraw/zinc/71/90/39/1073719039.db2.gz XMRSBQOBZINDCY-ZDUSSCGKSA-N 0 0 436.542 -0.276 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001288872620 1073719126 /nfs/dbraw/zinc/71/91/26/1073719126.db2.gz YGRCPUZCXPGHLT-LKQDWFRTSA-N 0 0 444.514 -0.931 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1C[C@@]1(O)CCO[C@H]1C ZINC001288872627 1073719100 /nfs/dbraw/zinc/71/91/00/1073719100.db2.gz YGRCPUZCXPGHLT-MRHIQRDNSA-N 0 0 444.514 -0.931 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1C[C@]1(O)CCO[C@H]1C ZINC001288872629 1073718962 /nfs/dbraw/zinc/71/89/62/1073718962.db2.gz YGRCPUZCXPGHLT-NEULZYRMSA-N 0 0 444.514 -0.931 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1C[C@]1(O)CCO[C@@H]1C ZINC001288872631 1073719595 /nfs/dbraw/zinc/71/95/95/1073719595.db2.gz YGRCPUZCXPGHLT-PFHKOEEOSA-N 0 0 444.514 -0.931 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCc1ccncc1 ZINC001288873032 1073719559 /nfs/dbraw/zinc/71/95/59/1073719559.db2.gz XJHXLYRSZRMOJX-DZGCQCFKSA-N 0 0 434.526 -0.260 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCc1ccncc1 ZINC001288873033 1073719589 /nfs/dbraw/zinc/71/95/89/1073719589.db2.gz XJHXLYRSZRMOJX-HIFRSBDPSA-N 0 0 434.526 -0.260 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCc1ccncc1 ZINC001288873034 1073719547 /nfs/dbraw/zinc/71/95/47/1073719547.db2.gz XJHXLYRSZRMOJX-UKRRQHHQSA-N 0 0 434.526 -0.260 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCc1ccncc1 ZINC001288873035 1073719606 /nfs/dbraw/zinc/71/96/06/1073719606.db2.gz XJHXLYRSZRMOJX-ZFWWWQNUSA-N 0 0 434.526 -0.260 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1Cc1ccco1 ZINC001288873376 1073719555 /nfs/dbraw/zinc/71/95/55/1073719555.db2.gz ZSXKTRLSFQNAOF-GFCCVEGCSA-N 0 0 432.528 -0.389 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1Cc1ccco1 ZINC001288873377 1073719531 /nfs/dbraw/zinc/71/95/31/1073719531.db2.gz ZSXKTRLSFQNAOF-LBPRGKRZSA-N 0 0 432.528 -0.389 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1CC(=O)NCC1CC1 ZINC001288873590 1073719536 /nfs/dbraw/zinc/71/95/36/1073719536.db2.gz BCBUBAPZMYMOSG-ACJLOTCBSA-N 0 0 442.542 -0.590 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1CC(=O)NCC1CC1 ZINC001288873591 1073719544 /nfs/dbraw/zinc/71/95/44/1073719544.db2.gz BCBUBAPZMYMOSG-FZKQIMNGSA-N 0 0 442.542 -0.590 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1CC(=O)NCC1CC1 ZINC001288873592 1073719510 /nfs/dbraw/zinc/71/95/10/1073719510.db2.gz BCBUBAPZMYMOSG-SCLBCKFNSA-N 0 0 442.542 -0.590 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1CC(=O)NCC1CC1 ZINC001288873593 1073719525 /nfs/dbraw/zinc/71/95/25/1073719525.db2.gz BCBUBAPZMYMOSG-UGSOOPFHSA-N 0 0 442.542 -0.590 20 0 IBADRN CNC(=O)C1(NC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCOCC1 ZINC001288878849 1073719480 /nfs/dbraw/zinc/71/94/80/1073719480.db2.gz DSTYTXBICXAFKY-UHFFFAOYSA-N 0 0 427.259 -0.696 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC[C@H]2C)n1CC1CCS(=O)(=O)CC1 ZINC001288880198 1073720102 /nfs/dbraw/zinc/72/01/02/1073720102.db2.gz MOXXLPXXUUNRKU-CHWSQXEVSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC[C@H]2C)n1CC1CCS(=O)(=O)CC1 ZINC001288880199 1073720058 /nfs/dbraw/zinc/72/00/58/1073720058.db2.gz MOXXLPXXUUNRKU-OLZOCXBDSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC[C@@H]2C)n1CC1CCS(=O)(=O)CC1 ZINC001288880200 1073720095 /nfs/dbraw/zinc/72/00/95/1073720095.db2.gz MOXXLPXXUUNRKU-QWHCGFSZSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC[C@@H]2C)n1CC1CCS(=O)(=O)CC1 ZINC001288880201 1073719983 /nfs/dbraw/zinc/71/99/83/1073719983.db2.gz MOXXLPXXUUNRKU-STQMWFEESA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOCC3(CC3)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001288880364 1073720085 /nfs/dbraw/zinc/72/00/85/1073720085.db2.gz PJJVWSGLQUFWSR-KBPBESRZSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOCC3(CC3)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001288880365 1073719996 /nfs/dbraw/zinc/71/99/96/1073719996.db2.gz PJJVWSGLQUFWSR-KGLIPLIRSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOCC3(CC3)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001288880366 1073720003 /nfs/dbraw/zinc/72/00/03/1073720003.db2.gz PJJVWSGLQUFWSR-UONOGXRCSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOCC3(CC3)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001288880367 1073720129 /nfs/dbraw/zinc/72/01/29/1073720129.db2.gz PJJVWSGLQUFWSR-ZIAGYGMSSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CC(=O)NCC(F)(F)F ZINC001288880388 1073720020 /nfs/dbraw/zinc/72/00/20/1073720020.db2.gz PUNXDCAMFVLEQN-SECBINFHSA-N 0 0 446.477 -0.865 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CC(=O)NCC(F)(F)F ZINC001288880389 1073720072 /nfs/dbraw/zinc/72/00/72/1073720072.db2.gz PUNXDCAMFVLEQN-VIFPVBQESA-N 0 0 446.477 -0.865 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCc1cccnc1 ZINC001288880648 1073720090 /nfs/dbraw/zinc/72/00/90/1073720090.db2.gz RUPWZFMEFLTOMD-CYBMUJFWSA-N 0 0 428.540 -0.239 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCc1cccnc1 ZINC001288880649 1073720065 /nfs/dbraw/zinc/72/00/65/1073720065.db2.gz RUPWZFMEFLTOMD-ZDUSSCGKSA-N 0 0 428.540 -0.239 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCCN1CCCCC1=O ZINC001288880676 1073720052 /nfs/dbraw/zinc/72/00/52/1073720052.db2.gz SKFQCBCUJYZMKA-AWEZNQCLSA-N 0 0 446.599 -0.140 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCCN1CCCCC1=O ZINC001288880677 1073720077 /nfs/dbraw/zinc/72/00/77/1073720077.db2.gz SKFQCBCUJYZMKA-CQSZACIVSA-N 0 0 446.599 -0.140 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@]3(CCO[C@H]3C)C2)n1CCC(=O)N(C)C ZINC001288881120 1073720009 /nfs/dbraw/zinc/72/00/09/1073720009.db2.gz WSHUQYCHOIACCC-DEYYWGMASA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@]3(CCO[C@@H]3C)C2)n1CCC(=O)N(C)C ZINC001288881121 1073720049 /nfs/dbraw/zinc/72/00/49/1073720049.db2.gz WSHUQYCHOIACCC-IYOUNJFTSA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCO[C@@H]3C)C2)n1CCC(=O)N(C)C ZINC001288881122 1073720621 /nfs/dbraw/zinc/72/06/21/1073720621.db2.gz WSHUQYCHOIACCC-PMUMKWKESA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCO[C@H]3C)C2)n1CCC(=O)N(C)C ZINC001288881123 1073720523 /nfs/dbraw/zinc/72/05/23/1073720523.db2.gz WSHUQYCHOIACCC-SUNYJGFJSA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCSCC2)n1Cc1ccc(C(N)=O)nc1 ZINC001288882658 1073720550 /nfs/dbraw/zinc/72/05/50/1073720550.db2.gz BMZUZHUCMSDFFU-LLVKDONJSA-N 0 0 425.540 -0.016 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCSCC2)n1Cc1ccc(C(N)=O)nc1 ZINC001288882659 1073720562 /nfs/dbraw/zinc/72/05/62/1073720562.db2.gz BMZUZHUCMSDFFU-NSHDSACASA-N 0 0 425.540 -0.016 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CCn1cncn1 ZINC001288884214 1073720593 /nfs/dbraw/zinc/72/05/93/1073720593.db2.gz MAEJPMFWFVDTBK-HZSPNIEDSA-N 0 0 437.530 -0.763 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CCn1cncn1 ZINC001288884215 1073720453 /nfs/dbraw/zinc/72/04/53/1073720453.db2.gz MAEJPMFWFVDTBK-MELADBBJSA-N 0 0 437.530 -0.763 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1cnn(C)c1 ZINC001288886021 1073720570 /nfs/dbraw/zinc/72/05/70/1073720570.db2.gz RZIGBKVYMCJWJC-AEGPPILISA-N 0 0 436.542 -0.273 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1cnn(C)c1 ZINC001288886023 1073720459 /nfs/dbraw/zinc/72/04/59/1073720459.db2.gz RZIGBKVYMCJWJC-VHDGCEQUSA-N 0 0 436.542 -0.273 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCS(=O)(=O)CC1CC1 ZINC001288886287 1073720481 /nfs/dbraw/zinc/72/04/81/1073720481.db2.gz IYNQDIYQGYETJZ-BNOWGMLFSA-N 0 0 449.555 -0.725 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCS(=O)(=O)CC1CC1 ZINC001288886298 1073720491 /nfs/dbraw/zinc/72/04/91/1073720491.db2.gz IYNQDIYQGYETJZ-FPMFFAJLSA-N 0 0 449.555 -0.725 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C)C2)n1CCS(=O)(=O)N(C)C ZINC001288886633 1073720517 /nfs/dbraw/zinc/72/05/17/1073720517.db2.gz JBRFNAIAOBMPMO-FRRDWIJNSA-N 0 0 438.576 -0.607 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C)C2)n1CCS(=O)(=O)N(C)C ZINC001288886640 1073720501 /nfs/dbraw/zinc/72/05/01/1073720501.db2.gz JBRFNAIAOBMPMO-XQQFMLRXSA-N 0 0 438.576 -0.607 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](F)C2)n1CCOCCS(C)(=O)=O ZINC001288887301 1073720540 /nfs/dbraw/zinc/72/05/40/1073720540.db2.gz WIOJCOICLBYKAB-AGIUHOORSA-N 0 0 441.551 -0.256 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](F)C2)n1CCOCCS(C)(=O)=O ZINC001288887304 1073720472 /nfs/dbraw/zinc/72/04/72/1073720472.db2.gz WIOJCOICLBYKAB-UPJWGTAASA-N 0 0 441.551 -0.256 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](F)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001288888093 1073721084 /nfs/dbraw/zinc/72/10/84/1073721084.db2.gz XOXJLJVEZOBHJA-FJJYHAOUSA-N 0 0 439.535 -0.768 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](F)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001288888100 1073721155 /nfs/dbraw/zinc/72/11/55/1073721155.db2.gz XOXJLJVEZOBHJA-MCYUEQNJSA-N 0 0 439.535 -0.768 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](F)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001288888104 1073721077 /nfs/dbraw/zinc/72/10/77/1073721077.db2.gz XOXJLJVEZOBHJA-OXJKWZBOSA-N 0 0 439.535 -0.768 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](F)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001288888107 1073721073 /nfs/dbraw/zinc/72/10/73/1073721073.db2.gz XOXJLJVEZOBHJA-YXMPFFBPSA-N 0 0 439.535 -0.768 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1CCS(=O)(=O)CC1CC1 ZINC001288888126 1073720993 /nfs/dbraw/zinc/72/09/93/1073720993.db2.gz XPXHVMVJBULASU-IPYPFGDCSA-N 0 0 449.599 -0.080 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1CCS(=O)(=O)CC1CC1 ZINC001288888129 1073721001 /nfs/dbraw/zinc/72/10/01/1073721001.db2.gz XPXHVMVJBULASU-NFAWXSAZSA-N 0 0 449.599 -0.080 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCNC(=O)OC(C)(C)C ZINC001288889862 1073721126 /nfs/dbraw/zinc/72/11/26/1073721126.db2.gz WYABGGDSIDDRIP-RWMBFGLXSA-N 0 0 446.530 -0.026 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCNC(=O)OC(C)(C)C ZINC001288889863 1073721007 /nfs/dbraw/zinc/72/10/07/1073721007.db2.gz WYABGGDSIDDRIP-UPJWGTAASA-N 0 0 446.530 -0.026 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(Nc2cnn(C)c2)n1CCC(=O)N1CCOCC1 ZINC001288890113 1073721052 /nfs/dbraw/zinc/72/10/52/1073721052.db2.gz YLHBYRKWPUEGBW-GFCCVEGCSA-N 0 0 426.503 -0.386 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(Nc2cnn(C)c2)n1CCC(=O)N1CCOCC1 ZINC001288890114 1073721121 /nfs/dbraw/zinc/72/11/21/1073721121.db2.gz YLHBYRKWPUEGBW-LBPRGKRZSA-N 0 0 426.503 -0.386 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)NCCc1nnc3c(=O)n(C)ccn13)C2=O ZINC001288907976 1073721145 /nfs/dbraw/zinc/72/11/45/1073721145.db2.gz LUHSMSRWHTWWBV-CHWSQXEVSA-N 0 0 429.481 -0.167 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)NCCc1nnc3c(=O)n(C)ccn13)C2=O ZINC001288907977 1073721183 /nfs/dbraw/zinc/72/11/83/1073721183.db2.gz LUHSMSRWHTWWBV-NXCRSVRDSA-N 0 0 429.481 -0.167 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)NCCc1nnc3c(=O)n(C)ccn13)C2=O ZINC001288907978 1073721110 /nfs/dbraw/zinc/72/11/10/1073721110.db2.gz LUHSMSRWHTWWBV-STQMWFEESA-N 0 0 429.481 -0.167 20 0 IBADRN O=C(NCCN1CCNC1=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001288912493 1073721174 /nfs/dbraw/zinc/72/11/74/1073721174.db2.gz IZSGULLZAVMZIZ-UHFFFAOYSA-N 0 0 438.506 0.000 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc1 ZINC001288951979 1073721103 /nfs/dbraw/zinc/72/11/03/1073721103.db2.gz PACJZCAQUBZBIH-UHFFFAOYSA-N 0 0 425.554 -0.435 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)Cc1csc([C@H]2CCS(=O)(=O)C2)n1 ZINC001288952780 1073721090 /nfs/dbraw/zinc/72/10/90/1073721090.db2.gz NDSUNMDOTPHIDL-JTQLQIEISA-N 0 0 443.594 -0.239 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)Cc1csc([C@@H]2CCS(=O)(=O)C2)n1 ZINC001288952781 1073721133 /nfs/dbraw/zinc/72/11/33/1073721133.db2.gz NDSUNMDOTPHIDL-SNVBAGLBSA-N 0 0 443.594 -0.239 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@H]1C ZINC001288987346 1073721037 /nfs/dbraw/zinc/72/10/37/1073721037.db2.gz YMKNLCYJVXSVKV-DOMZBBRYSA-N 0 0 432.520 -0.121 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCO2)C1 ZINC001288994673 1073721063 /nfs/dbraw/zinc/72/10/63/1073721063.db2.gz MTJMUOCUBUNFGM-GLJUWKHASA-N 0 0 442.542 -0.508 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCO2)C1 ZINC001288994674 1073721167 /nfs/dbraw/zinc/72/11/67/1073721167.db2.gz MTJMUOCUBUNFGM-HBUWYVDXSA-N 0 0 442.542 -0.508 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCO2)C1 ZINC001288994675 1073721633 /nfs/dbraw/zinc/72/16/33/1073721633.db2.gz MTJMUOCUBUNFGM-LBTNJELSSA-N 0 0 442.542 -0.508 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCO2)C1 ZINC001288994676 1073721600 /nfs/dbraw/zinc/72/16/00/1073721600.db2.gz MTJMUOCUBUNFGM-QWQRMKEZSA-N 0 0 442.542 -0.508 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C1 ZINC001288996450 1073721636 /nfs/dbraw/zinc/72/16/36/1073721636.db2.gz VWURRKVODSGGMC-BEFAXECRSA-N 0 0 440.570 -0.396 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C1 ZINC001288996457 1073721616 /nfs/dbraw/zinc/72/16/16/1073721616.db2.gz VWURRKVODSGGMC-DNVCBOLYSA-N 0 0 440.570 -0.396 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C1 ZINC001288996458 1073721549 /nfs/dbraw/zinc/72/15/49/1073721549.db2.gz VWURRKVODSGGMC-HNAYVOBHSA-N 0 0 440.570 -0.396 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C1 ZINC001288996459 1073721663 /nfs/dbraw/zinc/72/16/63/1073721663.db2.gz VWURRKVODSGGMC-KXBFYZLASA-N 0 0 440.570 -0.396 20 0 IBADRN CC1(C)CC(=O)N[C@@H]1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001288997313 1073721610 /nfs/dbraw/zinc/72/16/10/1073721610.db2.gz SMAXYARTPSDGED-CHWSQXEVSA-N 0 0 439.542 -0.775 20 0 IBADRN CC1(C)CC(=O)N[C@H]1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001288997314 1073721559 /nfs/dbraw/zinc/72/15/59/1073721559.db2.gz SMAXYARTPSDGED-OLZOCXBDSA-N 0 0 439.542 -0.775 20 0 IBADRN CC1(C)CC(=O)N[C@@H]1Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001288997315 1073721570 /nfs/dbraw/zinc/72/15/70/1073721570.db2.gz SMAXYARTPSDGED-QWHCGFSZSA-N 0 0 439.542 -0.775 20 0 IBADRN CC1(C)CC(=O)N[C@H]1Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001288997316 1073721668 /nfs/dbraw/zinc/72/16/68/1073721668.db2.gz SMAXYARTPSDGED-STQMWFEESA-N 0 0 439.542 -0.775 20 0 IBADRN CO[C@]1(CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CCS(=O)(=O)C1 ZINC001289012020 1073721676 /nfs/dbraw/zinc/72/16/76/1073721676.db2.gz UQSZZROQSPICIS-HNNXBMFYSA-N 0 0 438.549 -0.298 20 0 IBADRN CO[C@@]1(CNC(=O)c2sccc2S(=O)(=O)N2CCOCC2)CCS(=O)(=O)C1 ZINC001289012021 1073721644 /nfs/dbraw/zinc/72/16/44/1073721644.db2.gz UQSZZROQSPICIS-OAHLLOKOSA-N 0 0 438.549 -0.298 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@@]2(OC)CCS(=O)(=O)C2)C1=O ZINC001289015322 1073721594 /nfs/dbraw/zinc/72/15/94/1073721594.db2.gz UJDZQCNITGWONK-MOPGFXCFSA-N 0 0 439.490 -0.218 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@@]2(OC)CCS(=O)(=O)C2)C1=O ZINC001289015323 1073721691 /nfs/dbraw/zinc/72/16/91/1073721691.db2.gz UJDZQCNITGWONK-OALUTQOASA-N 0 0 439.490 -0.218 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@]2(OC)CCS(=O)(=O)C2)C1=O ZINC001289015324 1073721579 /nfs/dbraw/zinc/72/15/79/1073721579.db2.gz UJDZQCNITGWONK-RBUKOAKNSA-N 0 0 439.490 -0.218 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@]2(OC)CCS(=O)(=O)C2)C1=O ZINC001289015325 1073721684 /nfs/dbraw/zinc/72/16/84/1073721684.db2.gz UJDZQCNITGWONK-RTBURBONSA-N 0 0 439.490 -0.218 20 0 IBADRN CC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)CCO1 ZINC001289038574 1073721529 /nfs/dbraw/zinc/72/15/29/1073721529.db2.gz QMCNRAHOCWIVBJ-CABCVRRESA-N 0 0 445.542 -0.358 20 0 IBADRN CC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)CCO1 ZINC001289038575 1073721672 /nfs/dbraw/zinc/72/16/72/1073721672.db2.gz QMCNRAHOCWIVBJ-HUUCEWRRSA-N 0 0 445.542 -0.358 20 0 IBADRN CC1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCS(=O)CC2)COC1 ZINC001289057798 1073721624 /nfs/dbraw/zinc/72/16/24/1073721624.db2.gz FPFSHPWCRMTVNQ-CYBMUJFWSA-N 0 0 433.556 -0.784 20 0 IBADRN CC1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCS(=O)CC2)COC1 ZINC001289057799 1073721510 /nfs/dbraw/zinc/72/15/10/1073721510.db2.gz FPFSHPWCRMTVNQ-ZDUSSCGKSA-N 0 0 433.556 -0.784 20 0 IBADRN C[C@]1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)CC2)CCCO1 ZINC001289059402 1073722045 /nfs/dbraw/zinc/72/20/45/1073722045.db2.gz RJLJTSQIANPDCS-KBXCAEBGSA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@@]1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)CC2)CCCO1 ZINC001289059403 1073722078 /nfs/dbraw/zinc/72/20/78/1073722078.db2.gz RJLJTSQIANPDCS-KDOFPFPSSA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@@]1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)CC2)CCCO1 ZINC001289059404 1073722054 /nfs/dbraw/zinc/72/20/54/1073722054.db2.gz RJLJTSQIANPDCS-KSSFIOAISA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@]1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)CC2)CCCO1 ZINC001289059405 1073722033 /nfs/dbraw/zinc/72/20/33/1073722033.db2.gz RJLJTSQIANPDCS-RDTXWAMCSA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@]1(Cn2c(CN3CCCC3=O)nnc2N2CCC(OCC(N)=O)CC2)COCCO1 ZINC001289062010 1073722158 /nfs/dbraw/zinc/72/21/58/1073722158.db2.gz VRNOYNYNUWNARE-FQEVSTJZSA-N 0 0 436.513 -0.323 20 0 IBADRN C[C@@]1(Cn2c(CN3CCCC3=O)nnc2N2CCC(OCC(N)=O)CC2)COCCO1 ZINC001289062011 1073722109 /nfs/dbraw/zinc/72/21/09/1073722109.db2.gz VRNOYNYNUWNARE-HXUWFJFHSA-N 0 0 436.513 -0.323 20 0 IBADRN C[C@@]1(N2CCOCC2)CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C1 ZINC001289065080 1073722123 /nfs/dbraw/zinc/72/21/23/1073722123.db2.gz ORPGHDAFSBXITO-BFUOFWGJSA-N 0 0 441.483 -0.089 20 0 IBADRN C[C@]1(N2CCOCC2)CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C1 ZINC001289065082 1073722136 /nfs/dbraw/zinc/72/21/36/1073722136.db2.gz ORPGHDAFSBXITO-DJJJIMSYSA-N 0 0 441.483 -0.089 20 0 IBADRN C[C@@]1(N2CCOCC2)CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C1 ZINC001289065083 1073722086 /nfs/dbraw/zinc/72/20/86/1073722086.db2.gz ORPGHDAFSBXITO-ORAYPTAESA-N 0 0 441.483 -0.089 20 0 IBADRN C[C@]1(N2CCOCC2)CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C1 ZINC001289065084 1073721963 /nfs/dbraw/zinc/72/19/63/1073721963.db2.gz ORPGHDAFSBXITO-YJYMSZOUSA-N 0 0 441.483 -0.089 20 0 IBADRN C[C@@]1(N2CCOCC2)CCN(c2nnc(-c3cnccn3)n2CCNS(C)(=O)=O)C1 ZINC001289066194 1073722060 /nfs/dbraw/zinc/72/20/60/1073722060.db2.gz XRRABOLVQXIYMJ-GOSISDBHSA-N 0 0 436.542 -0.415 20 0 IBADRN C[C@]1(N2CCOCC2)CCN(c2nnc(-c3cnccn3)n2CCNS(C)(=O)=O)C1 ZINC001289066195 1073722012 /nfs/dbraw/zinc/72/20/12/1073722012.db2.gz XRRABOLVQXIYMJ-SFHVURJKSA-N 0 0 436.542 -0.415 20 0 IBADRN C[C@]1(N2CCOCC2)CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)C1 ZINC001289066230 1073722100 /nfs/dbraw/zinc/72/21/00/1073722100.db2.gz YZTQWLYPPBYXQO-BEFAXECRSA-N 0 0 440.570 -0.035 20 0 IBADRN C[C@@]1(N2CCOCC2)CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)C1 ZINC001289066231 1073721980 /nfs/dbraw/zinc/72/19/80/1073721980.db2.gz YZTQWLYPPBYXQO-DNVCBOLYSA-N 0 0 440.570 -0.035 20 0 IBADRN C[C@@]1(N2CCOCC2)CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)C1 ZINC001289066232 1073722065 /nfs/dbraw/zinc/72/20/65/1073722065.db2.gz YZTQWLYPPBYXQO-HNAYVOBHSA-N 0 0 440.570 -0.035 20 0 IBADRN C[C@]1(N2CCOCC2)CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)C1 ZINC001289066233 1073721993 /nfs/dbraw/zinc/72/19/93/1073721993.db2.gz YZTQWLYPPBYXQO-KXBFYZLASA-N 0 0 440.570 -0.035 20 0 IBADRN C[C@@]12COC(=O)N1CCN(c1nnc([C@@H]3CCOC3)n1CCCNS(C)(=O)=O)C2 ZINC001289068320 1073722002 /nfs/dbraw/zinc/72/20/02/1073722002.db2.gz MZNAGBUPKXZZFT-CXAGYDPISA-N 0 0 428.515 -0.248 20 0 IBADRN C[C@]12COC(=O)N1CCN(c1nnc([C@@H]3CCOC3)n1CCCNS(C)(=O)=O)C2 ZINC001289068321 1073722073 /nfs/dbraw/zinc/72/20/73/1073722073.db2.gz MZNAGBUPKXZZFT-DYVFJYSZSA-N 0 0 428.515 -0.248 20 0 IBADRN C[C@]12COC(=O)N1CCN(c1nnc([C@H]3CCOC3)n1CCCNS(C)(=O)=O)C2 ZINC001289068322 1073722027 /nfs/dbraw/zinc/72/20/27/1073722027.db2.gz MZNAGBUPKXZZFT-GUYCJALGSA-N 0 0 428.515 -0.248 20 0 IBADRN C[C@@]12COC(=O)N1CCN(c1nnc([C@H]3CCOC3)n1CCCNS(C)(=O)=O)C2 ZINC001289068323 1073722150 /nfs/dbraw/zinc/72/21/50/1073722150.db2.gz MZNAGBUPKXZZFT-SUMWQHHRSA-N 0 0 428.515 -0.248 20 0 IBADRN C[C@@]12COC(=O)N1CCN(c1nnc(Cc3ccccn3)n1CCNS(C)(=O)=O)C2 ZINC001289068631 1073722677 /nfs/dbraw/zinc/72/26/77/1073722677.db2.gz PWIAHAVSQIORCM-GOSISDBHSA-N 0 0 435.510 -0.156 20 0 IBADRN C[C@]12COC(=O)N1CCN(c1nnc(Cc3ccccn3)n1CCNS(C)(=O)=O)C2 ZINC001289068636 1073722600 /nfs/dbraw/zinc/72/26/00/1073722600.db2.gz PWIAHAVSQIORCM-SFHVURJKSA-N 0 0 435.510 -0.156 20 0 IBADRN CN1C[C@H](C(=O)Nc2ccn(CCN3CC[NH+]=C3[O-])n2)N(C(=O)OC(C)(C)C)CC1=O ZINC001289144774 1073722668 /nfs/dbraw/zinc/72/26/68/1073722668.db2.gz GAVGKWMUBZUTBY-CYBMUJFWSA-N 0 0 435.485 -0.076 20 0 IBADRN CN1C[C@@H](C(=O)Nc2ccn(CCN3CC[NH+]=C3[O-])n2)N(C(=O)OC(C)(C)C)CC1=O ZINC001289144776 1073722559 /nfs/dbraw/zinc/72/25/59/1073722559.db2.gz GAVGKWMUBZUTBY-ZDUSSCGKSA-N 0 0 435.485 -0.076 20 0 IBADRN Cc1n[nH]cc1CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001289164596 1073722541 /nfs/dbraw/zinc/72/25/41/1073722541.db2.gz AZNMWXMCVMZJRN-HNNXBMFYSA-N 0 0 436.542 -0.025 20 0 IBADRN Cc1n[nH]cc1CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001289164598 1073722589 /nfs/dbraw/zinc/72/25/89/1073722589.db2.gz AZNMWXMCVMZJRN-OAHLLOKOSA-N 0 0 436.542 -0.025 20 0 IBADRN Cc1occc1CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCNS(C)(=O)=O ZINC001289193325 1073722519 /nfs/dbraw/zinc/72/25/19/1073722519.db2.gz YQZLUTXFIWOQOV-LLVKDONJSA-N 0 0 434.544 -0.025 20 0 IBADRN Cc1occc1CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCNS(C)(=O)=O ZINC001289193326 1073722625 /nfs/dbraw/zinc/72/26/25/1073722625.db2.gz YQZLUTXFIWOQOV-NSHDSACASA-N 0 0 434.544 -0.025 20 0 IBADRN Cc1c(CN(C)c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)cnn1C ZINC001289220723 1073722575 /nfs/dbraw/zinc/72/25/75/1073722575.db2.gz DPNOPLKBJMAGIZ-UHFFFAOYSA-N 0 0 430.513 -0.062 20 0 IBADRN Cc1c(Cn2c(C(=O)N3CCCC3)nnc2N2CCN(S(C)(=O)=O)CC2)cnn1C ZINC001289225496 1073722654 /nfs/dbraw/zinc/72/26/54/1073722654.db2.gz BXFBVMREDRVIJI-UHFFFAOYSA-N 0 0 436.542 -0.314 20 0 IBADRN Cc1nnsc1Cn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001289226513 1073722487 /nfs/dbraw/zinc/72/24/87/1073722487.db2.gz QOQLDIAVDPBITH-UHFFFAOYSA-N 0 0 435.558 -0.165 20 0 IBADRN Cc1c(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CCS(C)(=O)=O)cnn1C ZINC001289234086 1073722505 /nfs/dbraw/zinc/72/25/05/1073722505.db2.gz ZTIOLLQYDABESK-LLVKDONJSA-N 0 0 433.560 -0.541 20 0 IBADRN Cc1c(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CCS(C)(=O)=O)cnn1C ZINC001289234087 1073722615 /nfs/dbraw/zinc/72/26/15/1073722615.db2.gz ZTIOLLQYDABESK-NSHDSACASA-N 0 0 433.560 -0.541 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)NC(C)C)CC2)cnn1C ZINC001289245120 1073722632 /nfs/dbraw/zinc/72/26/32/1073722632.db2.gz FDQHGFJVKBSCEL-KRWDZBQOSA-N 0 0 443.556 -0.034 20 0 IBADRN Cc1c(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)NC(C)C)CC2)cnn1C ZINC001289245121 1073722645 /nfs/dbraw/zinc/72/26/45/1073722645.db2.gz FDQHGFJVKBSCEL-QGZVFWFLSA-N 0 0 443.556 -0.034 20 0 IBADRN Cc1nnsc1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001289245128 1073722707 /nfs/dbraw/zinc/72/27/07/1073722707.db2.gz FJFDDZGBKZQMKC-LLVKDONJSA-N 0 0 426.528 -0.481 20 0 IBADRN Cc1nnsc1Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001289245135 1073722695 /nfs/dbraw/zinc/72/26/95/1073722695.db2.gz FJFDDZGBKZQMKC-NSHDSACASA-N 0 0 426.528 -0.481 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)cnn1C ZINC001289245161 1073722454 /nfs/dbraw/zinc/72/24/54/1073722454.db2.gz FTZWUMIXIYKCNE-HNNXBMFYSA-N 0 0 436.542 -0.209 20 0 IBADRN Cc1c(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)cnn1C ZINC001289245162 1073723070 /nfs/dbraw/zinc/72/30/70/1073723070.db2.gz FTZWUMIXIYKCNE-OAHLLOKOSA-N 0 0 436.542 -0.209 20 0 IBADRN Cc1c(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCO[C@@H](C)C2)cnn1C ZINC001289249804 1073723066 /nfs/dbraw/zinc/72/30/66/1073723066.db2.gz QVAHSEISWZYXES-BBRMVZONSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1c(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCO[C@H](C)C2)cnn1C ZINC001289249805 1073723026 /nfs/dbraw/zinc/72/30/26/1073723026.db2.gz QVAHSEISWZYXES-CJNGLKHVSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1c(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCO[C@H](C)C2)cnn1C ZINC001289249806 1073723082 /nfs/dbraw/zinc/72/30/82/1073723082.db2.gz QVAHSEISWZYXES-CZUORRHYSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1c(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCO[C@@H](C)C2)cnn1C ZINC001289249808 1073723061 /nfs/dbraw/zinc/72/30/61/1073723061.db2.gz QVAHSEISWZYXES-XJKSGUPXSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1c(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)cnn1C ZINC001289249972 1073723053 /nfs/dbraw/zinc/72/30/53/1073723053.db2.gz UOYYYJSMUFCYLA-DZGCQCFKSA-N 0 0 442.567 -0.106 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)[C@H]2CCS(=O)(=O)C2)cnn1C ZINC001289249973 1073723064 /nfs/dbraw/zinc/72/30/64/1073723064.db2.gz UOYYYJSMUFCYLA-HIFRSBDPSA-N 0 0 442.567 -0.106 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)cnn1C ZINC001289249974 1073723040 /nfs/dbraw/zinc/72/30/40/1073723040.db2.gz UOYYYJSMUFCYLA-UKRRQHHQSA-N 0 0 442.567 -0.106 20 0 IBADRN Cc1c(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)[C@H]2CCS(=O)(=O)C2)cnn1C ZINC001289249975 1073723015 /nfs/dbraw/zinc/72/30/15/1073723015.db2.gz UOYYYJSMUFCYLA-ZFWWWQNUSA-N 0 0 442.567 -0.106 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(CN2CCNC2=O)CC1 ZINC001289339251 1073723009 /nfs/dbraw/zinc/72/30/09/1073723009.db2.gz MROXKKUWIOJZDP-UHFFFAOYSA-N 0 0 439.538 -0.077 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)C1=O ZINC001289357834 1073723042 /nfs/dbraw/zinc/72/30/42/1073723042.db2.gz JOJASVPSCFVZFT-BFUOFWGJSA-N 0 0 439.490 -0.266 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)C1=O ZINC001289357979 1073723021 /nfs/dbraw/zinc/72/30/21/1073723021.db2.gz JOJASVPSCFVZFT-DJJJIMSYSA-N 0 0 439.490 -0.266 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)C1=O ZINC001289357981 1073723079 /nfs/dbraw/zinc/72/30/79/1073723079.db2.gz JOJASVPSCFVZFT-ORAYPTAESA-N 0 0 439.490 -0.266 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)C1=O ZINC001289357984 1073723073 /nfs/dbraw/zinc/72/30/73/1073723073.db2.gz JOJASVPSCFVZFT-YJYMSZOUSA-N 0 0 439.490 -0.266 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CCO1 ZINC001289359420 1073723003 /nfs/dbraw/zinc/72/30/03/1073723003.db2.gz XQPJEENIJPCVHH-CYBMUJFWSA-N 0 0 428.488 -0.121 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C2CC2)C3=O)CCO1 ZINC001289359423 1073723058 /nfs/dbraw/zinc/72/30/58/1073723058.db2.gz XQPJEENIJPCVHH-ZDUSSCGKSA-N 0 0 428.488 -0.121 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001289377586 1073723049 /nfs/dbraw/zinc/72/30/49/1073723049.db2.gz CERXXJSRVZSKJN-BETUJISGSA-N 0 0 432.495 -0.037 20 0 IBADRN COc1ccc(C(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC001289378643 1073722995 /nfs/dbraw/zinc/72/29/95/1073722995.db2.gz NEEKXFSEOOCPCX-GASCZTMLSA-N 0 0 444.531 -0.167 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(c3nnc(C)n3CCS(=O)(=O)N(C)C)CC2)no1 ZINC001289435468 1073723056 /nfs/dbraw/zinc/72/30/56/1073723056.db2.gz XPSIINSUDNYZIV-UHFFFAOYSA-N 0 0 440.530 -0.465 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@@H](CS(N)(=O)=O)C1)c2=O ZINC001289437112 1073723629 /nfs/dbraw/zinc/72/36/29/1073723629.db2.gz NOTZZSLPMKZYKV-MRVPVSSYSA-N 0 0 433.288 -0.971 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC[C@H](CS(N)(=O)=O)C1)c2=O ZINC001289437113 1073723682 /nfs/dbraw/zinc/72/36/82/1073723682.db2.gz NOTZZSLPMKZYKV-QMMMGPOBSA-N 0 0 433.288 -0.971 20 0 IBADRN Cc1ccc(C(=O)NCCn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)cc1 ZINC001289453878 1073723483 /nfs/dbraw/zinc/72/34/83/1073723483.db2.gz MMURYYVAXDVEEU-AWEZNQCLSA-N 0 0 449.537 -0.437 20 0 IBADRN Cc1ccc(C(=O)NCCn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)cc1 ZINC001289453880 1073723506 /nfs/dbraw/zinc/72/35/06/1073723506.db2.gz MMURYYVAXDVEEU-CQSZACIVSA-N 0 0 449.537 -0.437 20 0 IBADRN Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCNC(=O)c1ccc(C)cc1 ZINC001289454554 1073723545 /nfs/dbraw/zinc/72/35/45/1073723545.db2.gz QABDGILBRPFCEF-UHFFFAOYSA-N 0 0 438.496 -0.340 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001289515072 1073723601 /nfs/dbraw/zinc/72/36/01/1073723601.db2.gz BWOAVNYWLOYGMI-HNNXBMFYSA-N 0 0 443.453 -0.698 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001289515073 1073723673 /nfs/dbraw/zinc/72/36/73/1073723673.db2.gz BWOAVNYWLOYGMI-OAHLLOKOSA-N 0 0 443.453 -0.698 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001289517722 1073723438 /nfs/dbraw/zinc/72/34/38/1073723438.db2.gz VPPCYRRGYORVDG-CYBMUJFWSA-N 0 0 445.519 -0.846 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001289517723 1073723618 /nfs/dbraw/zinc/72/36/18/1073723618.db2.gz VPPCYRRGYORVDG-ZDUSSCGKSA-N 0 0 445.519 -0.846 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)CN1C(=O)NC3(CCCC3)C1=O)CCS2(=O)=O ZINC001289581846 1073723555 /nfs/dbraw/zinc/72/35/55/1073723555.db2.gz INEDKJGQBIYHCJ-XYEKJYRLSA-N 0 0 441.506 -0.134 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)CN1C(=O)NC3(CCCC3)C1=O)CCS2(=O)=O ZINC001289581847 1073723530 /nfs/dbraw/zinc/72/35/30/1073723530.db2.gz INEDKJGQBIYHCJ-YNAXMUEKSA-N 0 0 441.506 -0.134 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)Cc1c(C)[nH]c3ccnn3c1=O)CCS2(=O)=O ZINC001289582586 1073723411 /nfs/dbraw/zinc/72/34/11/1073723411.db2.gz OBTUDRPUMAOUBH-FWHMADFJSA-N 0 0 436.490 -0.111 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)Cc1c(C)[nH]c3ccnn3c1=O)CCS2(=O)=O ZINC001289582587 1073723428 /nfs/dbraw/zinc/72/34/28/1073723428.db2.gz OBTUDRPUMAOUBH-MLZJXEJWSA-N 0 0 436.490 -0.111 20 0 IBADRN Cc1ccc(-c2nnc(N3CCCN(S(C)(=O)=O)CC3)n2CCNS(C)(=O)=O)o1 ZINC001289594378 1073723665 /nfs/dbraw/zinc/72/36/65/1073723665.db2.gz QKGYTPLDJPGXIM-UHFFFAOYSA-N 0 0 446.555 -0.133 20 0 IBADRN Cc1ccc(-c2nnc(N3CCN(C(=O)C(=O)NC4CC4)CC3)n2CC(=O)N(C)C)o1 ZINC001289595262 1073723455 /nfs/dbraw/zinc/72/34/55/1073723455.db2.gz YSZKGMCNVNRBMC-UHFFFAOYSA-N 0 0 429.481 -0.138 20 0 IBADRN Cc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCCC(=O)N(C)C)o1 ZINC001289601761 1073723657 /nfs/dbraw/zinc/72/36/57/1073723657.db2.gz FSNHNPNHEGGWMU-AWEZNQCLSA-N 0 0 429.481 -0.138 20 0 IBADRN Cc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCCC(=O)N(C)C)o1 ZINC001289601763 1073723586 /nfs/dbraw/zinc/72/35/86/1073723586.db2.gz FSNHNPNHEGGWMU-CQSZACIVSA-N 0 0 429.481 -0.138 20 0 IBADRN Cc1ccc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CCNS(C)(=O)=O)o1 ZINC001289601972 1073723648 /nfs/dbraw/zinc/72/36/48/1073723648.db2.gz JIMLIXRTIPYZIN-UHFFFAOYSA-N 0 0 432.528 -0.523 20 0 IBADRN Cc1ccc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)c(=O)n1C ZINC001289662293 1073723470 /nfs/dbraw/zinc/72/34/70/1073723470.db2.gz LKLMDXMOVVXRQF-CYBMUJFWSA-N 0 0 442.567 -0.488 20 0 IBADRN Cc1ccc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)c(=O)n1C ZINC001289662294 1073724153 /nfs/dbraw/zinc/72/41/53/1073724153.db2.gz LKLMDXMOVVXRQF-ZDUSSCGKSA-N 0 0 442.567 -0.488 20 0 IBADRN C[C@@](Cn1cccn1)(NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(N)=O ZINC001289672745 1073724175 /nfs/dbraw/zinc/72/41/75/1073724175.db2.gz FRQVKXIFDDSMPT-KRWDZBQOSA-N 0 0 441.535 -0.432 20 0 IBADRN C[C@](Cn1cccn1)(NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)C(N)=O ZINC001289672746 1073723999 /nfs/dbraw/zinc/72/39/99/1073723999.db2.gz FRQVKXIFDDSMPT-QGZVFWFLSA-N 0 0 441.535 -0.432 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)c(=O)n1C ZINC001289677003 1073724147 /nfs/dbraw/zinc/72/41/47/1073724147.db2.gz NATCSXOHGLXQJA-CABCVRRESA-N 0 0 434.522 -0.092 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N(C)[C@H]2CCS(=O)(=O)C2)c(=O)n1C ZINC001289677007 1073724064 /nfs/dbraw/zinc/72/40/64/1073724064.db2.gz NATCSXOHGLXQJA-GJZGRUSLSA-N 0 0 434.522 -0.092 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CCC(=O)N3)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)c(=O)n1C ZINC001289677009 1073724049 /nfs/dbraw/zinc/72/40/49/1073724049.db2.gz NATCSXOHGLXQJA-HUUCEWRRSA-N 0 0 434.522 -0.092 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CCC(=O)N3)nnc2N(C)[C@H]2CCS(=O)(=O)C2)c(=O)n1C ZINC001289677011 1073724061 /nfs/dbraw/zinc/72/40/61/1073724061.db2.gz NATCSXOHGLXQJA-LSDHHAIUSA-N 0 0 434.522 -0.092 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CC[C@@H](C(N)=O)C2)cn1 ZINC001289680380 1073724022 /nfs/dbraw/zinc/72/40/22/1073724022.db2.gz GVHLAEUEWKAWGC-CVEARBPZSA-N 0 0 449.537 -0.326 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CC[C@H](C(N)=O)C2)cn1 ZINC001289680381 1073724105 /nfs/dbraw/zinc/72/41/05/1073724105.db2.gz GVHLAEUEWKAWGC-HOTGVXAUSA-N 0 0 449.537 -0.326 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CC[C@@H](C(N)=O)C2)cn1 ZINC001289680382 1073724006 /nfs/dbraw/zinc/72/40/06/1073724006.db2.gz GVHLAEUEWKAWGC-HZPDHXFCSA-N 0 0 449.537 -0.326 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CC[C@H](C(N)=O)C2)cn1 ZINC001289680383 1073724201 /nfs/dbraw/zinc/72/42/01/1073724201.db2.gz GVHLAEUEWKAWGC-JKSUJKDBSA-N 0 0 449.537 -0.326 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)c(=O)n1C ZINC001289680866 1073724132 /nfs/dbraw/zinc/72/41/32/1073724132.db2.gz NBSSRSFZIRHLMI-HNNXBMFYSA-N 0 0 434.522 -0.438 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)c(=O)n1C ZINC001289680867 1073724169 /nfs/dbraw/zinc/72/41/69/1073724169.db2.gz NBSSRSFZIRHLMI-OAHLLOKOSA-N 0 0 434.522 -0.438 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCCc3nnnn3C)cc2)CC1 ZINC001289684029 1073724030 /nfs/dbraw/zinc/72/40/30/1073724030.db2.gz QBLBLVSGQIVWLS-UHFFFAOYSA-N 0 0 435.510 -0.575 20 0 IBADRN Cn1c(C(=O)NC2(C(=O)N3CCOCC3)CCCCC2)cc2c1n(C)c(=O)n(C)c2=O ZINC001289748827 1073724039 /nfs/dbraw/zinc/72/40/39/1073724039.db2.gz PCUMUZRKVRDMDT-UHFFFAOYSA-N 0 0 431.493 -0.133 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCS(=O)(=O)CCO2)c1 ZINC001289823491 1073724114 /nfs/dbraw/zinc/72/41/14/1073724114.db2.gz QHJSMTXGWYKXBP-UHFFFAOYSA-N 0 0 447.535 -0.424 20 0 IBADRN Cc1ccc(OCc2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CCNS(C)(=O)=O)cc1 ZINC001289826580 1073724016 /nfs/dbraw/zinc/72/40/16/1073724016.db2.gz YZFORSFUOLZAKU-HNNXBMFYSA-N 0 0 449.537 -0.071 20 0 IBADRN Cc1ccc(OCc2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CCNS(C)(=O)=O)cc1 ZINC001289826581 1073724094 /nfs/dbraw/zinc/72/40/94/1073724094.db2.gz YZFORSFUOLZAKU-OAHLLOKOSA-N 0 0 449.537 -0.071 20 0 IBADRN Cc1ccc(OCc2nnc(N3CCNC(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)cc1C ZINC001289827843 1073724073 /nfs/dbraw/zinc/72/40/73/1073724073.db2.gz LNSLONPOLDYXOY-FQEVSTJZSA-N 0 0 449.533 -0.040 20 0 IBADRN Cc1ccc(OCc2nnc(N3CCNC(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)cc1C ZINC001289827845 1073724189 /nfs/dbraw/zinc/72/41/89/1073724189.db2.gz LNSLONPOLDYXOY-HXUWFJFHSA-N 0 0 449.533 -0.040 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCS(=O)(=O)CCO3)c2)CC1 ZINC001289828038 1073724788 /nfs/dbraw/zinc/72/47/88/1073724788.db2.gz PNSMEBYOIVAYPA-UHFFFAOYSA-N 0 0 445.519 -0.658 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CCS(=O)(=O)CCO2)C1=O ZINC001289829520 1073724702 /nfs/dbraw/zinc/72/47/02/1073724702.db2.gz YLQKBKNAABADCE-GOSISDBHSA-N 0 0 425.463 -0.041 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CCS(=O)(=O)CCO2)C1=O ZINC001289829527 1073724651 /nfs/dbraw/zinc/72/46/51/1073724651.db2.gz YLQKBKNAABADCE-SFHVURJKSA-N 0 0 425.463 -0.041 20 0 IBADRN Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCNC(=O)c1cccc(C)c1 ZINC001289890216 1073724724 /nfs/dbraw/zinc/72/47/24/1073724724.db2.gz QRYFFRYFPJNKRJ-UHFFFAOYSA-N 0 0 438.496 -0.340 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)Nc3ccc(CN4C(=O)CNC4=O)cc3)[C@@H](O)C(=O)N2C)cn1 ZINC001289955751 1073724640 /nfs/dbraw/zinc/72/46/40/1073724640.db2.gz CHOXVFHJSAXTLA-BRWVUGGUSA-N 0 0 426.433 -0.399 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001289983003 1073724834 /nfs/dbraw/zinc/72/48/34/1073724834.db2.gz UIGXHTCESMJJIQ-UHFFFAOYSA-N 0 0 440.494 -0.464 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCOCCCO)n1 ZINC001289984894 1073724823 /nfs/dbraw/zinc/72/48/23/1073724823.db2.gz BXLADCARNCOCQG-HNNXBMFYSA-N 0 0 429.481 -0.805 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCOCCCO)n1 ZINC001289984895 1073724772 /nfs/dbraw/zinc/72/47/72/1073724772.db2.gz BXLADCARNCOCQG-OAHLLOKOSA-N 0 0 429.481 -0.805 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CCNS(C)(=O)=O)n1 ZINC001289984942 1073724801 /nfs/dbraw/zinc/72/48/01/1073724801.db2.gz DRJWCNMIFAWLLH-UHFFFAOYSA-N 0 0 443.555 -0.721 20 0 IBADRN O=C(CO)NCCC(=O)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC001289998963 1073724755 /nfs/dbraw/zinc/72/47/55/1073724755.db2.gz BDLXFBYCYUSDOQ-UHFFFAOYSA-N 0 0 434.312 -0.219 20 0 IBADRN O=C([C@@H]1CC(=O)NC(=O)N1)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC001290001225 1073724694 /nfs/dbraw/zinc/72/46/94/1073724694.db2.gz UTBXRICWIVXICX-LBPRGKRZSA-N 0 0 445.295 -0.120 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCc2ccc(S(N)(=O)=O)s2)[C@H]1c1nccn1C ZINC001290012376 1073724678 /nfs/dbraw/zinc/72/46/78/1073724678.db2.gz DFEKHTUQTYPJOF-KBPBESRZSA-N 0 0 427.508 -0.266 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCc2ccc(S(N)(=O)=O)s2)[C@H]1c1ccnn1C ZINC001290013447 1073724603 /nfs/dbraw/zinc/72/46/03/1073724603.db2.gz VRBJWJZYAKGYLM-CABCVRRESA-N 0 0 427.508 -0.266 20 0 IBADRN CC(C)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001290016456 1073724710 /nfs/dbraw/zinc/72/47/10/1073724710.db2.gz SXVIGLDLWSUTOG-UHFFFAOYSA-N 0 0 431.536 -0.305 20 0 IBADRN Cc1cccc(CCn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)n1 ZINC001290017674 1073724627 /nfs/dbraw/zinc/72/46/27/1073724627.db2.gz UKVBMMMQCTZAIK-INIZCTEOSA-N 0 0 440.508 -0.491 20 0 IBADRN Cc1cccc(CCn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1 ZINC001290017675 1073724812 /nfs/dbraw/zinc/72/48/12/1073724812.db2.gz UKVBMMMQCTZAIK-MRXNPFEDSA-N 0 0 440.508 -0.491 20 0 IBADRN O=C(C1=CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC001290039413 1073724667 /nfs/dbraw/zinc/72/46/67/1073724667.db2.gz FRRSKFYTNXLCIJ-UHFFFAOYSA-N 0 0 428.517 -0.121 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC001290053251 1073724592 /nfs/dbraw/zinc/72/45/92/1073724592.db2.gz CLKINYZJIRVDPH-UHFFFAOYSA-N 0 0 445.339 -0.286 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)c1 ZINC001290054137 1073724738 /nfs/dbraw/zinc/72/47/38/1073724738.db2.gz QTOFGJPNVKQJRE-AWEZNQCLSA-N 0 0 429.520 -0.950 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(c3ncccn3)CC2)c1 ZINC001290073379 1073725288 /nfs/dbraw/zinc/72/52/88/1073725288.db2.gz QOIDPVTVZDAUGB-UHFFFAOYSA-N 0 0 438.897 -0.144 20 0 IBADRN Cc1ccccc1-c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC(=O)N(C)C ZINC001290129915 1073725276 /nfs/dbraw/zinc/72/52/76/1073725276.db2.gz WNGLTJDXFOFOJO-UHFFFAOYSA-N 0 0 438.496 -0.323 20 0 IBADRN Cc1ccccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)NC(C)C ZINC001290132872 1073725163 /nfs/dbraw/zinc/72/51/63/1073725163.db2.gz KOUJYYYMNUNPGR-HNNXBMFYSA-N 0 0 425.493 -0.075 20 0 IBADRN Cc1ccccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)NC(C)C ZINC001290132915 1073725201 /nfs/dbraw/zinc/72/52/01/1073725201.db2.gz KOUJYYYMNUNPGR-OAHLLOKOSA-N 0 0 425.493 -0.075 20 0 IBADRN Cc1ccccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCOCCCO ZINC001290133714 1073725177 /nfs/dbraw/zinc/72/51/77/1073725177.db2.gz UIIZOLYNANJXHU-INIZCTEOSA-N 0 0 428.493 -0.200 20 0 IBADRN Cc1ccccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCOCCCO ZINC001290133717 1073725302 /nfs/dbraw/zinc/72/53/02/1073725302.db2.gz UIIZOLYNANJXHU-MRXNPFEDSA-N 0 0 428.493 -0.200 20 0 IBADRN COCCNC(=O)CNC(=O)c1ccc(I)cc1-n1cnnn1 ZINC001290143109 1073725210 /nfs/dbraw/zinc/72/52/10/1073725210.db2.gz ORBJRAKJJYFVNT-UHFFFAOYSA-N 0 0 430.206 -0.241 20 0 IBADRN COCCNC(=O)CNC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001290143845 1073725266 /nfs/dbraw/zinc/72/52/66/1073725266.db2.gz ZRDLCEJUBJXEES-DOTOQJQBSA-N 0 0 427.523 -0.187 20 0 IBADRN COCCNC(=O)CNC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001290143846 1073725246 /nfs/dbraw/zinc/72/52/46/1073725246.db2.gz ZRDLCEJUBJXEES-NVXWUHKLSA-N 0 0 427.523 -0.187 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001290143847 1073725229 /nfs/dbraw/zinc/72/52/29/1073725229.db2.gz ZRDLCEJUBJXEES-RDJZCZTQSA-N 0 0 427.523 -0.187 20 0 IBADRN COCCNC(=O)CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001290143848 1073725347 /nfs/dbraw/zinc/72/53/47/1073725347.db2.gz ZRDLCEJUBJXEES-WBVHZDCISA-N 0 0 427.523 -0.187 20 0 IBADRN COc1ccc(NC(=O)C2CN(S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001290204768 1073725254 /nfs/dbraw/zinc/72/52/54/1073725254.db2.gz ASISTQKISSSBAE-UHFFFAOYSA-N 0 0 433.508 -0.454 20 0 IBADRN Cc1cccnc1Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001290217155 1073725236 /nfs/dbraw/zinc/72/52/36/1073725236.db2.gz GNOCMWUBJKWENY-AWEZNQCLSA-N 0 0 426.481 -0.685 20 0 IBADRN Cc1cccnc1Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001290217156 1073725310 /nfs/dbraw/zinc/72/53/10/1073725310.db2.gz GNOCMWUBJKWENY-CQSZACIVSA-N 0 0 426.481 -0.685 20 0 IBADRN Cc1cccnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)(=O)CC1 ZINC001290221838 1073725149 /nfs/dbraw/zinc/72/51/49/1073725149.db2.gz QPVVXZKPPQMVST-CYBMUJFWSA-N 0 0 428.540 -0.125 20 0 IBADRN Cc1cccnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)(=O)CC1 ZINC001290221841 1073725221 /nfs/dbraw/zinc/72/52/21/1073725221.db2.gz QPVVXZKPPQMVST-ZDUSSCGKSA-N 0 0 428.540 -0.125 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC001290222455 1073725196 /nfs/dbraw/zinc/72/51/96/1073725196.db2.gz WTKBGJFPHLKQCT-KRWDZBQOSA-N 0 0 433.484 -0.434 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)N1CCN(CC(=O)N2CCCC2)CC1 ZINC001290222456 1073725188 /nfs/dbraw/zinc/72/51/88/1073725188.db2.gz WTKBGJFPHLKQCT-QGZVFWFLSA-N 0 0 433.484 -0.434 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)[C@@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001290225905 1073725318 /nfs/dbraw/zinc/72/53/18/1073725318.db2.gz LIWAEOPMHCJVOW-OAHLLOKOSA-N 0 0 428.497 -0.477 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC2)c1OC ZINC001290232378 1073725332 /nfs/dbraw/zinc/72/53/32/1073725332.db2.gz FSRFDOXGPGITFF-UHFFFAOYSA-N 0 0 428.511 -0.274 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)[C@@H](C)NC(=O)Cn3cnnn3)CC2)c1C ZINC001290234517 1073725806 /nfs/dbraw/zinc/72/58/06/1073725806.db2.gz KEGDSIGKKHUEJI-MRXNPFEDSA-N 0 0 428.497 -0.422 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2NC(=O)CCn2cnnn2)cn1 ZINC001290236239 1073725789 /nfs/dbraw/zinc/72/57/89/1073725789.db2.gz WWNIGTHEJWBMRN-DDVYYSQMSA-N 0 0 426.481 -0.669 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)[C@H]1c1ccnn1C ZINC001290246732 1073725710 /nfs/dbraw/zinc/72/57/10/1073725710.db2.gz BVJGNUDQKDLGLQ-OLMNPRSZSA-N 0 0 439.538 -0.960 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)[C@H]1c1ccnn1C ZINC001290246733 1073725755 /nfs/dbraw/zinc/72/57/55/1073725755.db2.gz BVJGNUDQKDLGLQ-QGTPRVQTSA-N 0 0 439.538 -0.960 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001290246768 1073725737 /nfs/dbraw/zinc/72/57/37/1073725737.db2.gz DHGWTVOGFXZULS-ABHNRTSZSA-N 0 0 445.582 -0.344 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001290246769 1073725750 /nfs/dbraw/zinc/72/57/50/1073725750.db2.gz DHGWTVOGFXZULS-DAWZGUTISA-N 0 0 445.582 -0.344 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)[C@H]1c1nccn1C ZINC001290246814 1073725741 /nfs/dbraw/zinc/72/57/41/1073725741.db2.gz FKGFKHDKQCYFQL-PVAVHDDUSA-N 0 0 439.538 -0.960 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)[C@H]1c1nccn1C ZINC001290246815 1073725780 /nfs/dbraw/zinc/72/57/80/1073725780.db2.gz FKGFKHDKQCYFQL-XIRDDKMYSA-N 0 0 439.538 -0.960 20 0 IBADRN O=C(C[C@H]1CS(=O)(=O)c2ccccc2O1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001290247177 1073725800 /nfs/dbraw/zinc/72/58/00/1073725800.db2.gz KLXFFLYUHDAMLK-CABCVRRESA-N 0 0 428.532 -0.057 20 0 IBADRN O=C(C[C@H]1CS(=O)(=O)c2ccccc2O1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001290247178 1073725719 /nfs/dbraw/zinc/72/57/19/1073725719.db2.gz KLXFFLYUHDAMLK-GJZGRUSLSA-N 0 0 428.532 -0.057 20 0 IBADRN O=C(C[C@@H]1CS(=O)(=O)c2ccccc2O1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001290247179 1073725829 /nfs/dbraw/zinc/72/58/29/1073725829.db2.gz KLXFFLYUHDAMLK-HUUCEWRRSA-N 0 0 428.532 -0.057 20 0 IBADRN O=C(C[C@@H]1CS(=O)(=O)c2ccccc2O1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001290247180 1073725729 /nfs/dbraw/zinc/72/57/29/1073725729.db2.gz KLXFFLYUHDAMLK-LSDHHAIUSA-N 0 0 428.532 -0.057 20 0 IBADRN C[C@H](Sc1nc(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cs1)C(N)=O ZINC001290247620 1073725820 /nfs/dbraw/zinc/72/58/20/1073725820.db2.gz VCEJXMXGVYACNC-AAEUAGOBSA-N 0 0 432.593 -0.017 20 0 IBADRN C[C@@H](Sc1nc(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cs1)C(N)=O ZINC001290247621 1073725835 /nfs/dbraw/zinc/72/58/35/1073725835.db2.gz VCEJXMXGVYACNC-DGCLKSJQSA-N 0 0 432.593 -0.017 20 0 IBADRN C[C@H](Sc1nc(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cs1)C(N)=O ZINC001290247622 1073725764 /nfs/dbraw/zinc/72/57/64/1073725764.db2.gz VCEJXMXGVYACNC-WCQYABFASA-N 0 0 432.593 -0.017 20 0 IBADRN C[C@@H](Sc1nc(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cs1)C(N)=O ZINC001290247623 1073725773 /nfs/dbraw/zinc/72/57/73/1073725773.db2.gz VCEJXMXGVYACNC-YPMHNXCESA-N 0 0 432.593 -0.017 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001290247767 1073725701 /nfs/dbraw/zinc/72/57/01/1073725701.db2.gz ZQKFZPHNQAWZSJ-GFCCVEGCSA-N 0 0 447.535 -0.704 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001290247768 1073725724 /nfs/dbraw/zinc/72/57/24/1073725724.db2.gz ZQKFZPHNQAWZSJ-LBPRGKRZSA-N 0 0 447.535 -0.704 20 0 IBADRN COc1ccc(F)cc1CN1CCN(C(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)CC1 ZINC001290258738 1073726339 /nfs/dbraw/zinc/72/63/39/1073726339.db2.gz BJECPXNVFORPPQ-UHFFFAOYSA-N 0 0 433.440 -0.070 20 0 IBADRN CC1=CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCS(C)(=O)=O)CC1 ZINC001290266385 1073726400 /nfs/dbraw/zinc/72/64/00/1073726400.db2.gz ZGSUOVQFRMTUHJ-AWEZNQCLSA-N 0 0 433.556 -0.188 20 0 IBADRN CC1=CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCS(C)(=O)=O)CC1 ZINC001290266386 1073726417 /nfs/dbraw/zinc/72/64/17/1073726417.db2.gz ZGSUOVQFRMTUHJ-CQSZACIVSA-N 0 0 433.556 -0.188 20 0 IBADRN C[C@@H](C(=O)N1CCN(CC(=O)NC2CC2)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001290269887 1073726216 /nfs/dbraw/zinc/72/62/16/1073726216.db2.gz PJKKQDVKPDNFGV-HNNXBMFYSA-N 0 0 437.541 -0.123 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)NC2CC2)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001290269891 1073726439 /nfs/dbraw/zinc/72/64/39/1073726439.db2.gz PJKKQDVKPDNFGV-OAHLLOKOSA-N 0 0 437.541 -0.123 20 0 IBADRN Cc1cnn(C[C@@H]2CN(c3nnc(CN4CCCC4=O)n3CC(=O)N(C)C)CCO2)c1 ZINC001290277871 1073726392 /nfs/dbraw/zinc/72/63/92/1073726392.db2.gz BKBTWDBWODCKHE-INIZCTEOSA-N 0 0 430.513 -0.101 20 0 IBADRN Cc1cnn(C[C@H]2CN(c3nnc(CN4CCCC4=O)n3CC(=O)N(C)C)CCO2)c1 ZINC001290277875 1073726371 /nfs/dbraw/zinc/72/63/71/1073726371.db2.gz BKBTWDBWODCKHE-MRXNPFEDSA-N 0 0 430.513 -0.101 20 0 IBADRN Cc1cnn(CCn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@@H]3C2)c1 ZINC001290280020 1073726257 /nfs/dbraw/zinc/72/62/57/1073726257.db2.gz SAEDZYAVXAWDCN-KBPBESRZSA-N 0 0 438.514 -0.266 20 0 IBADRN Cc1cnn(CCn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@@H]3C2)c1 ZINC001290280021 1073726383 /nfs/dbraw/zinc/72/63/83/1073726383.db2.gz SAEDZYAVXAWDCN-KGLIPLIRSA-N 0 0 438.514 -0.266 20 0 IBADRN Cc1cnn(CCn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@H]3C2)c1 ZINC001290280022 1073726432 /nfs/dbraw/zinc/72/64/32/1073726432.db2.gz SAEDZYAVXAWDCN-UONOGXRCSA-N 0 0 438.514 -0.266 20 0 IBADRN Cc1cnn(CCn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@H]3C2)c1 ZINC001290280023 1073726360 /nfs/dbraw/zinc/72/63/60/1073726360.db2.gz SAEDZYAVXAWDCN-ZIAGYGMSSA-N 0 0 438.514 -0.266 20 0 IBADRN Cc1cnn(C[C@@H]2CN(c3nnc([C@@H]4CCOC4)n3CCNS(C)(=O)=O)CCO2)c1 ZINC001290280749 1073726351 /nfs/dbraw/zinc/72/63/51/1073726351.db2.gz QIGYCWNGCUIIDR-CVEARBPZSA-N 0 0 439.542 -0.258 20 0 IBADRN Cc1cnn(C[C@@H]2CN(c3nnc([C@H]4CCOC4)n3CCNS(C)(=O)=O)CCO2)c1 ZINC001290280750 1073726327 /nfs/dbraw/zinc/72/63/27/1073726327.db2.gz QIGYCWNGCUIIDR-HOTGVXAUSA-N 0 0 439.542 -0.258 20 0 IBADRN Cc1cnn(C[C@H]2CN(c3nnc([C@@H]4CCOC4)n3CCNS(C)(=O)=O)CCO2)c1 ZINC001290280751 1073726447 /nfs/dbraw/zinc/72/64/47/1073726447.db2.gz QIGYCWNGCUIIDR-HZPDHXFCSA-N 0 0 439.542 -0.258 20 0 IBADRN Cc1cnn(C[C@H]2CN(c3nnc([C@H]4CCOC4)n3CCNS(C)(=O)=O)CCO2)c1 ZINC001290280752 1073726287 /nfs/dbraw/zinc/72/62/87/1073726287.db2.gz QIGYCWNGCUIIDR-JKSUJKDBSA-N 0 0 439.542 -0.258 20 0 IBADRN Cc1cnn(CCn2c(CN3CCCC3=O)nnc2N2CCN(S(C)(=O)=O)CC2)c1 ZINC001290285922 1073726277 /nfs/dbraw/zinc/72/62/77/1073726277.db2.gz MIYGXUOAMKNIOX-UHFFFAOYSA-N 0 0 436.542 -0.313 20 0 IBADRN Cc1cnn(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(NS(C)(=O)=O)CC2)c1 ZINC001290286678 1073726422 /nfs/dbraw/zinc/72/64/22/1073726422.db2.gz MBLSTVVQOZCPGH-HNNXBMFYSA-N 0 0 436.542 -0.048 20 0 IBADRN Cc1cnn(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCC(NS(C)(=O)=O)CC2)c1 ZINC001290286679 1073726301 /nfs/dbraw/zinc/72/63/01/1073726301.db2.gz MBLSTVVQOZCPGH-OAHLLOKOSA-N 0 0 436.542 -0.048 20 0 IBADRN Cc1cnn(CCn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)CCS(C)(=O)=O)c1 ZINC001290287167 1073726244 /nfs/dbraw/zinc/72/62/44/1073726244.db2.gz QQWPKJNMFYGHAC-AWEZNQCLSA-N 0 0 430.556 -0.134 20 0 IBADRN Cc1cnn(CCn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)CCS(C)(=O)=O)c1 ZINC001290287168 1073726315 /nfs/dbraw/zinc/72/63/15/1073726315.db2.gz QQWPKJNMFYGHAC-CQSZACIVSA-N 0 0 430.556 -0.134 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)N3CCN(CC[NH+]4CCCC4)CC3)c(CCO)c2=O)n1 ZINC001290290325 1073726862 /nfs/dbraw/zinc/72/68/62/1073726862.db2.gz YSZKBPFGJXBIOO-UHFFFAOYSA-N 0 0 443.552 -0.039 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)CC1 ZINC001290300276 1073726873 /nfs/dbraw/zinc/72/68/73/1073726873.db2.gz YPRDUTSXDIXODA-INIZCTEOSA-N 0 0 440.541 -0.527 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)CC1 ZINC001290300277 1073726936 /nfs/dbraw/zinc/72/69/36/1073726936.db2.gz YPRDUTSXDIXODA-MRXNPFEDSA-N 0 0 440.541 -0.527 20 0 IBADRN Cc1csc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1 ZINC001290345355 1073726913 /nfs/dbraw/zinc/72/69/13/1073726913.db2.gz ZUUUCVKCRGVTDG-GFCCVEGCSA-N 0 0 432.510 -0.623 20 0 IBADRN Cc1csc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)n1 ZINC001290345360 1073726930 /nfs/dbraw/zinc/72/69/30/1073726930.db2.gz ZUUUCVKCRGVTDG-LBPRGKRZSA-N 0 0 432.510 -0.623 20 0 IBADRN CCOc1ccc(NC(=O)[C@H]2CCS(=O)(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC001290346600 1073726841 /nfs/dbraw/zinc/72/68/41/1073726841.db2.gz FILIHNQZZXJPBS-CYBMUJFWSA-N 0 0 433.508 -0.264 20 0 IBADRN CCOc1ccc(NC(=O)[C@@H]2CCS(=O)(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC001290346601 1073726908 /nfs/dbraw/zinc/72/69/08/1073726908.db2.gz FILIHNQZZXJPBS-ZDUSSCGKSA-N 0 0 433.508 -0.264 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)C3=CCS(=O)(=O)C3)CC2)c1 ZINC001290352497 1073726834 /nfs/dbraw/zinc/72/68/34/1073726834.db2.gz LVZCGENIZKOEAY-UHFFFAOYSA-N 0 0 434.517 -0.278 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001290355853 1073726901 /nfs/dbraw/zinc/72/69/01/1073726901.db2.gz DLKUUCRGGMVVOD-UHFFFAOYSA-N 0 0 447.535 -0.380 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(Cc2cn3ccccc3n2)CC1 ZINC001290355886 1073726940 /nfs/dbraw/zinc/72/69/40/1073726940.db2.gz DRNGDBVCYLVBKM-UHFFFAOYSA-N 0 0 430.490 -0.153 20 0 IBADRN CCNC(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001290356483 1073726882 /nfs/dbraw/zinc/72/68/82/1073726882.db2.gz LRGHNDBBVOHNJI-UHFFFAOYSA-N 0 0 432.524 -0.758 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001290356697 1073726803 /nfs/dbraw/zinc/72/68/03/1073726803.db2.gz OIPBVICKQTUEBY-AWEZNQCLSA-N 0 0 432.543 -0.147 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001290356698 1073726851 /nfs/dbraw/zinc/72/68/51/1073726851.db2.gz OIPBVICKQTUEBY-CQSZACIVSA-N 0 0 432.543 -0.147 20 0 IBADRN Cc1nc(Cc2nnc(N(C)[C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)cs1 ZINC001290385612 1073726947 /nfs/dbraw/zinc/72/69/47/1073726947.db2.gz KCKIUNARNTXFDH-CYBMUJFWSA-N 0 0 448.596 -0.194 20 0 IBADRN Cc1nc(Cc2nnc(N(C)[C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)cs1 ZINC001290385613 1073726888 /nfs/dbraw/zinc/72/68/88/1073726888.db2.gz KCKIUNARNTXFDH-ZDUSSCGKSA-N 0 0 448.596 -0.194 20 0 IBADRN Cc1nc(Cc2nnc(N3CC(=O)N(C)C[C@H]3C)n2CCNS(C)(=O)=O)cs1 ZINC001290404157 1073727602 /nfs/dbraw/zinc/72/76/02/1073727602.db2.gz GFHGYYVSXWPPIO-LLVKDONJSA-N 0 0 427.556 -0.150 20 0 IBADRN Cc1nc(Cc2nnc(N3CC(=O)N(C)C[C@@H]3C)n2CCNS(C)(=O)=O)cs1 ZINC001290404158 1073727326 /nfs/dbraw/zinc/72/73/26/1073727326.db2.gz GFHGYYVSXWPPIO-NSHDSACASA-N 0 0 427.556 -0.150 20 0 IBADRN Cc1nc(Cc2nnc(N3CC[C@]4(CNC(=O)C4)C3)n2CCNS(C)(=O)=O)cs1 ZINC001290420848 1073727308 /nfs/dbraw/zinc/72/73/08/1073727308.db2.gz FIMUTOGIEAHSCS-KRWDZBQOSA-N 0 0 439.567 -0.101 20 0 IBADRN Cc1nc(Cc2nnc(N3CC[C@@]4(CNC(=O)C4)C3)n2CCNS(C)(=O)=O)cs1 ZINC001290420849 1073727459 /nfs/dbraw/zinc/72/74/59/1073727459.db2.gz FIMUTOGIEAHSCS-QGZVFWFLSA-N 0 0 439.567 -0.101 20 0 IBADRN Cc1nc(Cc2nnc(N3CCN(CC(=O)N(C)C)CC3)n2CC(=O)N(C)C)cs1 ZINC001290432843 1073727468 /nfs/dbraw/zinc/72/74/68/1073727468.db2.gz PMRUDHLXZHTTKF-UHFFFAOYSA-N 0 0 434.570 -0.068 20 0 IBADRN Cc1nc(Cc2nnc(N3CCNC(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)cs1 ZINC001290435294 1073727423 /nfs/dbraw/zinc/72/74/23/1073727423.db2.gz MNELZYYWUPAZGH-UHFFFAOYSA-N 0 0 440.551 -0.490 20 0 IBADRN Cc1nc(Cc2nnc(N3CCNC(=O)CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)cs1 ZINC001290435414 1073727378 /nfs/dbraw/zinc/72/73/78/1073727378.db2.gz PQEXKKQLWKFNAK-KRWDZBQOSA-N 0 0 440.551 -0.490 20 0 IBADRN Cc1nc(Cc2nnc(N3CCNC(=O)CC3)n2C[C@]2(O)CCS(=O)(=O)C2)cs1 ZINC001290435415 1073727520 /nfs/dbraw/zinc/72/75/20/1073727520.db2.gz PQEXKKQLWKFNAK-QGZVFWFLSA-N 0 0 440.551 -0.490 20 0 IBADRN Cc1nc(Cc2nnc(N3CCS(=O)(=O)CC3)n2CCS(=O)(=O)N(C)C)cs1 ZINC001290440425 1073727341 /nfs/dbraw/zinc/72/73/41/1073727341.db2.gz SUPKDWYHMNRXSG-UHFFFAOYSA-N 0 0 448.596 -0.240 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC001290445652 1073727361 /nfs/dbraw/zinc/72/73/61/1073727361.db2.gz FRFDEEDIIQHYQV-CABCVRRESA-N 0 0 438.550 -0.229 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC001290445653 1073727511 /nfs/dbraw/zinc/72/75/11/1073727511.db2.gz FRFDEEDIIQHYQV-GJZGRUSLSA-N 0 0 438.550 -0.229 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC001290445654 1073727529 /nfs/dbraw/zinc/72/75/29/1073727529.db2.gz FRFDEEDIIQHYQV-HUUCEWRRSA-N 0 0 438.550 -0.229 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)CC1 ZINC001290445655 1073727588 /nfs/dbraw/zinc/72/75/88/1073727588.db2.gz FRFDEEDIIQHYQV-LSDHHAIUSA-N 0 0 438.550 -0.229 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001290446058 1073727595 /nfs/dbraw/zinc/72/75/95/1073727595.db2.gz PQPJWWYZWGCMNQ-GFCCVEGCSA-N 0 0 440.522 -0.224 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001290446059 1073727573 /nfs/dbraw/zinc/72/75/73/1073727573.db2.gz PQPJWWYZWGCMNQ-LBPRGKRZSA-N 0 0 440.522 -0.224 20 0 IBADRN Cc1nc(CCn2c(C(=O)N3CCCC3)nnc2N2CCN(S(C)(=O)=O)CC2)n[nH]1 ZINC001290446627 1073727551 /nfs/dbraw/zinc/72/75/51/1073727551.db2.gz GXECDCYROSSSLF-UHFFFAOYSA-N 0 0 437.530 -0.735 20 0 IBADRN Cc1nc(CCn2c(-c3cnn(C)c3)nnc2N2CCCN(S(C)(=O)=O)CC2)n[nH]1 ZINC001290453539 1073727434 /nfs/dbraw/zinc/72/74/34/1073727434.db2.gz FWQRUFWXVCHJTF-UHFFFAOYSA-N 0 0 434.530 -0.180 20 0 IBADRN Cc1nc(CCn2c(-c3cnccn3)nnc2N2CCCN(S(C)(=O)=O)CC2)n[nH]1 ZINC001290453659 1073727391 /nfs/dbraw/zinc/72/73/91/1073727391.db2.gz HDISDDQOHSEVTF-UHFFFAOYSA-N 0 0 432.514 -0.124 20 0 IBADRN Cc1nc(CCn2c(-c3cnccn3)nnc2N2CCN(CC(=O)N(C)C)CC2)n[nH]1 ZINC001290454114 1073727481 /nfs/dbraw/zinc/72/74/81/1073727481.db2.gz NESMDPUMNRBFPZ-UHFFFAOYSA-N 0 0 425.501 -0.385 20 0 IBADRN Cc1cnn(CC(=O)N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)c1 ZINC001290454930 1073727539 /nfs/dbraw/zinc/72/75/39/1073727539.db2.gz FVJSYLWQZGETBA-UHFFFAOYSA-N 0 0 434.522 -0.044 20 0 IBADRN CCOCCOCC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001290455477 1073727987 /nfs/dbraw/zinc/72/79/87/1073727987.db2.gz HJFMKIJOGJLTDB-UHFFFAOYSA-N 0 0 442.538 -0.196 20 0 IBADRN O=C([C@@H](O)c1cccnc1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001290455488 1073727969 /nfs/dbraw/zinc/72/79/69/1073727969.db2.gz HNHGOKXXMJOXJI-IBGZPJMESA-N 0 0 447.517 -0.120 20 0 IBADRN O=C([C@H](O)c1cccnc1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001290455489 1073728045 /nfs/dbraw/zinc/72/80/45/1073728045.db2.gz HNHGOKXXMJOXJI-LJQANCHMSA-N 0 0 447.517 -0.120 20 0 IBADRN COCCCOCC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001290456001 1073728018 /nfs/dbraw/zinc/72/80/18/1073728018.db2.gz KEJFXFQDCUSGDE-UHFFFAOYSA-N 0 0 442.538 -0.196 20 0 IBADRN Cc1nc(CCn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCSCC2)n[nH]1 ZINC001290456609 1073728100 /nfs/dbraw/zinc/72/81/00/1073728100.db2.gz LJMVZBOWEOEGKE-CYBMUJFWSA-N 0 0 442.571 -0.167 20 0 IBADRN Cc1nc(CCn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCSCC2)n[nH]1 ZINC001290456623 1073728172 /nfs/dbraw/zinc/72/81/72/1073728172.db2.gz LJMVZBOWEOEGKE-ZDUSSCGKSA-N 0 0 442.571 -0.167 20 0 IBADRN O=C(N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1)C1(O)CCOCC1 ZINC001290457271 1073728211 /nfs/dbraw/zinc/72/82/11/1073728211.db2.gz WGKLUAWTKITPBF-UHFFFAOYSA-N 0 0 440.522 -0.707 20 0 IBADRN Cc1nc(CCn2c(CN3CCCC3=O)nnc2N(C)CC(=O)N2CCOCC2)n[nH]1 ZINC001290461322 1073728052 /nfs/dbraw/zinc/72/80/52/1073728052.db2.gz ROIKYSCDANRESA-UHFFFAOYSA-N 0 0 431.501 -0.635 20 0 IBADRN Cc1nc(CN2CCCN(c3nnc(CN4CCCC4=O)n3CC(=O)N(C)C)CC2)no1 ZINC001290468351 1073728163 /nfs/dbraw/zinc/72/81/63/1073728163.db2.gz PKWCBZRJCMWLIY-UHFFFAOYSA-N 0 0 445.528 -0.108 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3nnc(C)n3CC(=O)NCC3CC3)CC2)cn1C ZINC001290468394 1073728001 /nfs/dbraw/zinc/72/80/01/1073728001.db2.gz JRVWZAROAVTRSS-UHFFFAOYSA-N 0 0 436.542 -0.334 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1C[C@H]1COCCO1 ZINC001290469162 1073728032 /nfs/dbraw/zinc/72/80/32/1073728032.db2.gz QBAQVTVHRXEVSQ-HNNXBMFYSA-N 0 0 425.515 -0.445 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCN([C@H](C(N)=O)c3ccccc3)CC1)CS(=O)(=O)CC2 ZINC001290476096 1073728118 /nfs/dbraw/zinc/72/81/18/1073728118.db2.gz UFIDGCWSBFPPDZ-KRWDZBQOSA-N 0 0 431.518 -0.125 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCN([C@@H](C(N)=O)c3ccccc3)CC1)CS(=O)(=O)CC2 ZINC001290476104 1073728155 /nfs/dbraw/zinc/72/81/55/1073728155.db2.gz UFIDGCWSBFPPDZ-QGZVFWFLSA-N 0 0 431.518 -0.125 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN([C@H](C(N)=O)c4ccccc4)CC3)cc2S1(=O)=O ZINC001290476707 1073728144 /nfs/dbraw/zinc/72/81/44/1073728144.db2.gz ZEZLTVIIUDMIKZ-KRWDZBQOSA-N 0 0 432.506 -0.498 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN([C@@H](C(N)=O)c4ccccc4)CC3)cc2S1(=O)=O ZINC001290476711 1073728202 /nfs/dbraw/zinc/72/82/02/1073728202.db2.gz ZEZLTVIIUDMIKZ-QGZVFWFLSA-N 0 0 432.506 -0.498 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(C[C@@H]3CNC(=O)O3)nn2)CC1)NCc1ccccc1 ZINC001290486556 1073728252 /nfs/dbraw/zinc/72/82/52/1073728252.db2.gz OHNZQAWUMLGODM-INIZCTEOSA-N 0 0 427.465 -0.539 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(C[C@H]3CNC(=O)O3)nn2)CC1)NCc1ccccc1 ZINC001290486557 1073728133 /nfs/dbraw/zinc/72/81/33/1073728133.db2.gz OHNZQAWUMLGODM-MRXNPFEDSA-N 0 0 427.465 -0.539 20 0 IBADRN Cc1ncc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)(=O)CC2)s1 ZINC001290490718 1073728246 /nfs/dbraw/zinc/72/82/46/1073728246.db2.gz SMFVXNCLNVRNHT-JTQLQIEISA-N 0 0 434.569 -0.064 20 0 IBADRN Cc1ncc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)(=O)CC2)s1 ZINC001290490721 1073728222 /nfs/dbraw/zinc/72/82/22/1073728222.db2.gz SMFVXNCLNVRNHT-SNVBAGLBSA-N 0 0 434.569 -0.064 20 0 IBADRN O=C(Cn1nc(C(F)(F)F)c2c1CCOC2)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001290492802 1073728746 /nfs/dbraw/zinc/72/87/46/1073728746.db2.gz XZPCGBIJAFCZSW-UHFFFAOYSA-N 0 0 445.442 -0.022 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001290492864 1073728767 /nfs/dbraw/zinc/72/87/67/1073728767.db2.gz ZYQLAGMWRSKSNR-DLBZAZTESA-N 0 0 442.557 -0.147 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001290492865 1073728750 /nfs/dbraw/zinc/72/87/50/1073728750.db2.gz ZYQLAGMWRSKSNR-IAGOWNOFSA-N 0 0 442.557 -0.147 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001290492866 1073728642 /nfs/dbraw/zinc/72/86/42/1073728642.db2.gz ZYQLAGMWRSKSNR-IRXDYDNUSA-N 0 0 442.557 -0.147 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001290492867 1073728737 /nfs/dbraw/zinc/72/87/37/1073728737.db2.gz ZYQLAGMWRSKSNR-SJORKVTESA-N 0 0 442.557 -0.147 20 0 IBADRN Cc1nccc(N2CCN(c3nnc([C@H]4CCOC4)n3CCNS(C)(=O)=O)CC2)n1 ZINC001290500941 1073728693 /nfs/dbraw/zinc/72/86/93/1073728693.db2.gz GKGIDGGYYWGUBP-HNNXBMFYSA-N 0 0 436.542 -0.244 20 0 IBADRN Cc1nccc(N2CCN(c3nnc([C@@H]4CCOC4)n3CCNS(C)(=O)=O)CC2)n1 ZINC001290500944 1073728756 /nfs/dbraw/zinc/72/87/56/1073728756.db2.gz GKGIDGGYYWGUBP-OAHLLOKOSA-N 0 0 436.542 -0.244 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001290502690 1073728724 /nfs/dbraw/zinc/72/87/24/1073728724.db2.gz QWSBDUOGADKFFX-DOMZBBRYSA-N 0 0 433.552 -0.223 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001290502691 1073728729 /nfs/dbraw/zinc/72/87/29/1073728729.db2.gz QWSBDUOGADKFFX-IUODEOHRSA-N 0 0 433.552 -0.223 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001290502692 1073728664 /nfs/dbraw/zinc/72/86/64/1073728664.db2.gz QWSBDUOGADKFFX-SWLSCSKDSA-N 0 0 433.552 -0.223 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001290502693 1073728631 /nfs/dbraw/zinc/72/86/31/1073728631.db2.gz QWSBDUOGADKFFX-WFASDCNBSA-N 0 0 433.552 -0.223 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1C[C@H]2CCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2C1 ZINC001290512577 1073728655 /nfs/dbraw/zinc/72/86/55/1073728655.db2.gz IMMVMXKVBGQOMU-BCHHIPDFSA-N 0 0 426.539 -0.887 20 0 IBADRN Cc1nccn1CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001290515336 1073728681 /nfs/dbraw/zinc/72/86/81/1073728681.db2.gz XPYDXJDMDNYWMA-HNNXBMFYSA-N 0 0 436.542 -0.094 20 0 IBADRN Cc1nccn1CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001290515339 1073728594 /nfs/dbraw/zinc/72/85/94/1073728594.db2.gz XPYDXJDMDNYWMA-OAHLLOKOSA-N 0 0 436.542 -0.094 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)N1C[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)CC[C@@H]2C1 ZINC001290519373 1073728621 /nfs/dbraw/zinc/72/86/21/1073728621.db2.gz YZAYWOBHKTUUMX-DDVYYSQMSA-N 0 0 425.551 -0.002 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CCN1CCOCC1 ZINC001290521244 1073728773 /nfs/dbraw/zinc/72/87/73/1073728773.db2.gz HQDLQLOIRUKJDT-HNNXBMFYSA-N 0 0 440.574 -0.138 20 0 IBADRN Cc1nccn1CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CCN1CCOCC1 ZINC001290521247 1073728788 /nfs/dbraw/zinc/72/87/88/1073728788.db2.gz HQDLQLOIRUKJDT-OAHLLOKOSA-N 0 0 440.574 -0.138 20 0 IBADRN Cc1nccn1CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001290524162 1073728709 /nfs/dbraw/zinc/72/87/09/1073728709.db2.gz ZCHHKMOUWJGFPT-HNNXBMFYSA-N 0 0 436.542 -0.094 20 0 IBADRN Cc1nccn1CCn1c([C@H]2CCC(=O)N2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001290524165 1073729206 /nfs/dbraw/zinc/72/92/06/1073729206.db2.gz ZCHHKMOUWJGFPT-OAHLLOKOSA-N 0 0 436.542 -0.094 20 0 IBADRN Cc1nccnc1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001290530363 1073729248 /nfs/dbraw/zinc/72/92/48/1073729248.db2.gz PEOSCEXGNGSWKY-KBPBESRZSA-N 0 0 449.541 -0.557 20 0 IBADRN Cc1nccnc1CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001290530367 1073729323 /nfs/dbraw/zinc/72/93/23/1073729323.db2.gz PEOSCEXGNGSWKY-KGLIPLIRSA-N 0 0 449.541 -0.557 20 0 IBADRN Cc1nccnc1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001290530368 1073729260 /nfs/dbraw/zinc/72/92/60/1073729260.db2.gz PEOSCEXGNGSWKY-UONOGXRCSA-N 0 0 449.541 -0.557 20 0 IBADRN Cc1nccnc1CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001290530370 1073729329 /nfs/dbraw/zinc/72/93/29/1073729329.db2.gz PEOSCEXGNGSWKY-ZIAGYGMSSA-N 0 0 449.541 -0.557 20 0 IBADRN Cc1nccnc1CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001290535540 1073729267 /nfs/dbraw/zinc/72/92/67/1073729267.db2.gz IRNYNJJRQJOPDQ-AWEZNQCLSA-N 0 0 440.551 -0.244 20 0 IBADRN Cc1nccnc1CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001290535541 1073729196 /nfs/dbraw/zinc/72/91/96/1073729196.db2.gz IRNYNJJRQJOPDQ-CQSZACIVSA-N 0 0 440.551 -0.244 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCC3(CC2)NC(=O)NC3=O)sc1Br ZINC001290536896 1073729139 /nfs/dbraw/zinc/72/91/39/1073729139.db2.gz FQHWOKHVVAFUKK-UHFFFAOYSA-N 0 0 437.297 -0.028 20 0 IBADRN Cc1nn(C)c(C)c1CN(C)c1nnc(C(=O)NC(C)C)n1CCNS(C)(=O)=O ZINC001290538488 1073729167 /nfs/dbraw/zinc/72/91/67/1073729167.db2.gz RRVOXPTZHFAZHG-UHFFFAOYSA-N 0 0 426.547 -0.048 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1C[C@H]2CCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2C1 ZINC001290539382 1073729297 /nfs/dbraw/zinc/72/92/97/1073729297.db2.gz YLZQBMKOPYBNCC-DDVYYSQMSA-N 0 0 425.551 -0.002 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC1CCN(C(=O)c2ccoc2)CC1 ZINC001290541430 1073729284 /nfs/dbraw/zinc/72/92/84/1073729284.db2.gz PUMSIYYKGXPIIY-UHFFFAOYSA-N 0 0 428.511 -0.166 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCC3(NS(C)(=O)=O)CCCCC3)[C@@H](O)C(=O)N2C)cn1 ZINC001290546222 1073729180 /nfs/dbraw/zinc/72/91/80/1073729180.db2.gz YEKUPBVMAATDOS-RBSFLKMASA-N 0 0 427.527 -0.721 20 0 IBADRN COc1ccc([C@H](CNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)N2CCOCC2)cc1OC ZINC001290549470 1073729229 /nfs/dbraw/zinc/72/92/29/1073729229.db2.gz YAYWATBPFQMOKI-GJZGRUSLSA-N 0 0 441.506 -0.894 20 0 IBADRN COc1ccc([C@@H](CNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)N2CCOCC2)cc1OC ZINC001290549474 1073729275 /nfs/dbraw/zinc/72/92/75/1073729275.db2.gz YAYWATBPFQMOKI-LSDHHAIUSA-N 0 0 441.506 -0.894 20 0 IBADRN CCc1ccc(C(=O)N2CCN(C(=O)CCn3cc(C(N)=O)c(=O)[nH]c3=O)CC2)cc1 ZINC001290553254 1073729308 /nfs/dbraw/zinc/72/93/08/1073729308.db2.gz KJUGRAJNPGSWFS-UHFFFAOYSA-N 0 0 427.461 -0.015 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)n(C)n1 ZINC001290562408 1073729243 /nfs/dbraw/zinc/72/92/43/1073729243.db2.gz GEVJKOACGHHMLV-CHWSQXEVSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)[C@H]2CCS(=O)(=O)C2)n(C)n1 ZINC001290562409 1073729258 /nfs/dbraw/zinc/72/92/58/1073729258.db2.gz GEVJKOACGHHMLV-OLZOCXBDSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)n(C)n1 ZINC001290562410 1073729151 /nfs/dbraw/zinc/72/91/51/1073729151.db2.gz GEVJKOACGHHMLV-QWHCGFSZSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)[C@H]2CCS(=O)(=O)C2)n(C)n1 ZINC001290562411 1073729338 /nfs/dbraw/zinc/72/93/38/1073729338.db2.gz GEVJKOACGHHMLV-STQMWFEESA-N 0 0 445.571 -0.398 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC2)cc1 ZINC001290562564 1073729217 /nfs/dbraw/zinc/72/92/17/1073729217.db2.gz VTPHDOPOYAHJFV-UHFFFAOYSA-N 0 0 446.551 -0.540 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CCS(C)(=O)=O)n(C)n1 ZINC001290565940 1073729726 /nfs/dbraw/zinc/72/97/26/1073729726.db2.gz WWWWWPNTHXQTML-GFCCVEGCSA-N 0 0 433.560 -0.541 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CCS(C)(=O)=O)n(C)n1 ZINC001290565942 1073729806 /nfs/dbraw/zinc/72/98/06/1073729806.db2.gz WWWWWPNTHXQTML-LBPRGKRZSA-N 0 0 433.560 -0.541 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC2)cc1C ZINC001290569583 1073729714 /nfs/dbraw/zinc/72/97/14/1073729714.db2.gz DYFALCRMWSPDSZ-UHFFFAOYSA-N 0 0 432.568 -0.125 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)n(C)n1 ZINC001290573440 1073729819 /nfs/dbraw/zinc/72/98/19/1073729819.db2.gz RDIJQZIPENSUKR-HNNXBMFYSA-N 0 0 425.515 -0.462 20 0 IBADRN Cc1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)n(C)n1 ZINC001290573444 1073729698 /nfs/dbraw/zinc/72/96/98/1073729698.db2.gz RDIJQZIPENSUKR-OAHLLOKOSA-N 0 0 425.515 -0.462 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)n(C)n1 ZINC001290574028 1073729737 /nfs/dbraw/zinc/72/97/37/1073729737.db2.gz RPQQYRXPCJEDSP-INIZCTEOSA-N 0 0 429.529 -0.470 20 0 IBADRN Cc1cc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)n(C)n1 ZINC001290574034 1073729769 /nfs/dbraw/zinc/72/97/69/1073729769.db2.gz RPQQYRXPCJEDSP-MRXNPFEDSA-N 0 0 429.529 -0.470 20 0 IBADRN Cc1cc(Cn2c(CN3CCCC3=O)nnc2N(C)CC(=O)N2CCOCC2)n(C)n1 ZINC001290574381 1073729763 /nfs/dbraw/zinc/72/97/63/1073729763.db2.gz WRKYXOSOYCWGTC-UHFFFAOYSA-N 0 0 430.513 -0.214 20 0 IBADRN CCc1c(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001290586782 1073729800 /nfs/dbraw/zinc/72/98/00/1073729800.db2.gz CYFZUZNFFNHUMP-UHFFFAOYSA-N 0 0 444.492 -0.595 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001290587119 1073729811 /nfs/dbraw/zinc/72/98/11/1073729811.db2.gz ILKFNKZXKHQVII-INIZCTEOSA-N 0 0 435.456 -0.604 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001290587120 1073729813 /nfs/dbraw/zinc/72/98/13/1073729813.db2.gz ILKFNKZXKHQVII-MRXNPFEDSA-N 0 0 435.456 -0.604 20 0 IBADRN O=C(OCc1ccccc1)N1CC(O)(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)C1 ZINC001290587139 1073729780 /nfs/dbraw/zinc/72/97/80/1073729780.db2.gz IYSLEONVJLGOCW-UHFFFAOYSA-N 0 0 432.477 -0.034 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001290587561 1073729830 /nfs/dbraw/zinc/72/98/30/1073729830.db2.gz QGWSHOBSEKXNIT-UHFFFAOYSA-N 0 0 438.506 -0.236 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC001290592717 1073729824 /nfs/dbraw/zinc/72/98/24/1073729824.db2.gz WLVXTGQLIHMSFW-NEPJUHHUSA-N 0 0 427.445 -0.061 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCCN(CC(F)(F)F)C1=O ZINC001290592718 1073729756 /nfs/dbraw/zinc/72/97/56/1073729756.db2.gz WLVXTGQLIHMSFW-NWDGAFQWSA-N 0 0 427.445 -0.061 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC001290592719 1073729826 /nfs/dbraw/zinc/72/98/26/1073729826.db2.gz WLVXTGQLIHMSFW-RYUDHWBXSA-N 0 0 427.445 -0.061 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCCN(CC(F)(F)F)C1=O ZINC001290592720 1073729777 /nfs/dbraw/zinc/72/97/77/1073729777.db2.gz WLVXTGQLIHMSFW-VXGBXAGGSA-N 0 0 427.445 -0.061 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@]1(C)C[C@H](O)CN1C(=O)OC(C)(C)C ZINC001290592779 1073729748 /nfs/dbraw/zinc/72/97/48/1073729748.db2.gz YSGDIINHQCGTDI-BIENJYKASA-N 0 0 447.554 -0.101 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@]1(C)C[C@@H](O)CN1C(=O)OC(C)(C)C ZINC001290592780 1073729796 /nfs/dbraw/zinc/72/97/96/1073729796.db2.gz YSGDIINHQCGTDI-LPMFXHHGSA-N 0 0 447.554 -0.101 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@]1(C)C[C@@H](O)CN1C(=O)OC(C)(C)C ZINC001290592781 1073729787 /nfs/dbraw/zinc/72/97/87/1073729787.db2.gz YSGDIINHQCGTDI-PJIJBLCYSA-N 0 0 447.554 -0.101 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@]1(C)C[C@H](O)CN1C(=O)OC(C)(C)C ZINC001290592782 1073730234 /nfs/dbraw/zinc/73/02/34/1073730234.db2.gz YSGDIINHQCGTDI-TYILLQQXSA-N 0 0 447.554 -0.101 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCNS(C)(=O)=O)n2CC2(O)CCOCC2)n1 ZINC001290612518 1073730328 /nfs/dbraw/zinc/73/03/28/1073730328.db2.gz LSSOGWHZNBAKMA-UHFFFAOYSA-N 0 0 441.558 -0.333 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCCNS(C)(=O)=O)n1 ZINC001290617352 1073730339 /nfs/dbraw/zinc/73/03/39/1073730339.db2.gz OUBGWHLGWYOPCM-HNNXBMFYSA-N 0 0 438.558 -0.254 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCCNS(C)(=O)=O)n1 ZINC001290617356 1073730295 /nfs/dbraw/zinc/73/02/95/1073730295.db2.gz OUBGWHLGWYOPCM-OAHLLOKOSA-N 0 0 438.558 -0.254 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001290618527 1073730207 /nfs/dbraw/zinc/73/02/07/1073730207.db2.gz CSRILPPIGYSYSO-HNNXBMFYSA-N 0 0 446.570 -0.263 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001290618529 1073730261 /nfs/dbraw/zinc/73/02/61/1073730261.db2.gz CSRILPPIGYSYSO-OAHLLOKOSA-N 0 0 446.570 -0.263 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001290636202 1073730306 /nfs/dbraw/zinc/73/03/06/1073730306.db2.gz JBPWHMTYLJUEMZ-AWEZNQCLSA-N 0 0 439.943 -0.481 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001290636206 1073730245 /nfs/dbraw/zinc/73/02/45/1073730245.db2.gz JBPWHMTYLJUEMZ-CQSZACIVSA-N 0 0 439.943 -0.481 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001290636755 1073730383 /nfs/dbraw/zinc/73/03/83/1073730383.db2.gz VWCVTNBRTJZZGV-HNNXBMFYSA-N 0 0 428.486 -0.731 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001290636756 1073730348 /nfs/dbraw/zinc/73/03/48/1073730348.db2.gz VWCVTNBRTJZZGV-OAHLLOKOSA-N 0 0 428.486 -0.731 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(C)C(=O)C3)n2CCS(=O)(=O)NC(C)C)n1 ZINC001290639907 1073730319 /nfs/dbraw/zinc/73/03/19/1073730319.db2.gz DZDVCMBKPIENAC-UHFFFAOYSA-N 0 0 438.558 -0.254 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCCNS(C)(=O)=O)n1 ZINC001290645231 1073730166 /nfs/dbraw/zinc/73/01/66/1073730166.db2.gz GCRDQBNYBRLPEH-HNNXBMFYSA-N 0 0 438.558 -0.254 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCCNS(C)(=O)=O)n1 ZINC001290645232 1073730141 /nfs/dbraw/zinc/73/01/41/1073730141.db2.gz GCRDQBNYBRLPEH-OAHLLOKOSA-N 0 0 438.558 -0.254 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(S(C)(=O)=O)[C@@H](C)C3)n2CC(=O)N(C)C)n1 ZINC001290645533 1073730218 /nfs/dbraw/zinc/73/02/18/1073730218.db2.gz BCFZZGSIHWNHFZ-HNNXBMFYSA-N 0 0 438.558 -0.302 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCNC(=O)C3)n2C[C@H]2CCN(S(C)(=O)=O)C2)n1 ZINC001290646117 1073730266 /nfs/dbraw/zinc/73/02/66/1073730266.db2.gz FSPKDRQKVCWFFX-HNNXBMFYSA-N 0 0 436.542 -0.643 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCNC(=O)C3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)n1 ZINC001290646122 1073730360 /nfs/dbraw/zinc/73/03/60/1073730360.db2.gz FSPKDRQKVCWFFX-OAHLLOKOSA-N 0 0 436.542 -0.643 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@H]2COCCO2)n1 ZINC001290646619 1073730256 /nfs/dbraw/zinc/73/02/56/1073730256.db2.gz JCNJPIDZYRVNAS-INIZCTEOSA-N 0 0 439.542 -0.363 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@@H]2COCCO2)n1 ZINC001290646628 1073730186 /nfs/dbraw/zinc/73/01/86/1073730186.db2.gz JCNJPIDZYRVNAS-MRXNPFEDSA-N 0 0 439.542 -0.363 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@]2(O)CCOC2)n1 ZINC001290646724 1073730795 /nfs/dbraw/zinc/73/07/95/1073730795.db2.gz JZWMEASKVLEIDV-GOSISDBHSA-N 0 0 439.542 -0.627 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@@]2(O)CCOC2)n1 ZINC001290646726 1073730877 /nfs/dbraw/zinc/73/08/77/1073730877.db2.gz JZWMEASKVLEIDV-SFHVURJKSA-N 0 0 439.542 -0.627 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CC(=O)NCC(F)F)n1 ZINC001290647097 1073730789 /nfs/dbraw/zinc/73/07/89/1073730789.db2.gz MKUKYERYKNMISH-CYBMUJFWSA-N 0 0 437.455 -0.265 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CC(=O)NCC(F)F)n1 ZINC001290647100 1073730702 /nfs/dbraw/zinc/73/07/02/1073730702.db2.gz MKUKYERYKNMISH-ZDUSSCGKSA-N 0 0 437.455 -0.265 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(S(C)(=O)=O)CC3)n2CCc2n[nH]c(C)n2)n1 ZINC001290647230 1073730842 /nfs/dbraw/zinc/73/08/42/1073730842.db2.gz NCFFLZYUQUGIEC-UHFFFAOYSA-N 0 0 448.557 -0.109 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC001290654452 1073730822 /nfs/dbraw/zinc/73/08/22/1073730822.db2.gz WNEBELFMTBRALO-AWEZNQCLSA-N 0 0 434.540 -0.204 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@@H](C)NS(C)(=O)=O)n1CCNC(=O)C1CC1)C2 ZINC001290671529 1073730832 /nfs/dbraw/zinc/73/08/32/1073730832.db2.gz KYHCPKUIFFOXIX-LLVKDONJSA-N 0 0 437.530 -0.665 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@H](C)NS(C)(=O)=O)n1CCNC(=O)C1CC1)C2 ZINC001290671530 1073730730 /nfs/dbraw/zinc/73/07/30/1073730730.db2.gz KYHCPKUIFFOXIX-NSHDSACASA-N 0 0 437.530 -0.665 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001290674120 1073730751 /nfs/dbraw/zinc/73/07/51/1073730751.db2.gz LMNYHAGDMICHLB-CRAIPNDOSA-N 0 0 449.527 -0.213 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001290674124 1073730758 /nfs/dbraw/zinc/73/07/58/1073730758.db2.gz LMNYHAGDMICHLB-MAUKXSAKSA-N 0 0 449.527 -0.213 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001290674126 1073730713 /nfs/dbraw/zinc/73/07/13/1073730713.db2.gz LMNYHAGDMICHLB-QAPCUYQASA-N 0 0 449.527 -0.213 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001290674128 1073730784 /nfs/dbraw/zinc/73/07/84/1073730784.db2.gz LMNYHAGDMICHLB-YJBOKZPZSA-N 0 0 449.527 -0.213 20 0 IBADRN Cc1nnc(CCn2c(C(=O)N3CCCC3)nnc2N(C)CCNS(C)(=O)=O)s1 ZINC001290685768 1073730862 /nfs/dbraw/zinc/73/08/62/1073730862.db2.gz QCWMMCAOYUXRED-UHFFFAOYSA-N 0 0 442.571 -0.098 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)c2cnn3c2S(=O)(=O)N(C)CC3)CC1 ZINC001290687802 1073730882 /nfs/dbraw/zinc/73/08/82/1073730882.db2.gz UDEBNARGSIZNPL-HNNXBMFYSA-N 0 0 438.554 -0.324 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)c2cnn3c2S(=O)(=O)N(C)CC3)CC1 ZINC001290687803 1073730775 /nfs/dbraw/zinc/73/07/75/1073730775.db2.gz UDEBNARGSIZNPL-OAHLLOKOSA-N 0 0 438.554 -0.324 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001290701168 1073730869 /nfs/dbraw/zinc/73/08/69/1073730869.db2.gz WGHRPKJHXXKQMB-HNNXBMFYSA-N 0 0 425.530 -0.266 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001290701170 1073730806 /nfs/dbraw/zinc/73/08/06/1073730806.db2.gz WGHRPKJHXXKQMB-OAHLLOKOSA-N 0 0 425.530 -0.266 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC001290701309 1073730741 /nfs/dbraw/zinc/73/07/41/1073730741.db2.gz ZHMAXKGCHAMUSU-KRWDZBQOSA-N 0 0 433.509 -0.173 20 0 IBADRN Cc1nnc(N(C)C2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001290708516 1073731428 /nfs/dbraw/zinc/73/14/28/1073731428.db2.gz FJMXBHMXXLYHDE-OAHLLOKOSA-N 0 0 434.588 -0.129 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCCN3CCN(c4ccccc4)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001290721829 1073731351 /nfs/dbraw/zinc/73/13/51/1073731351.db2.gz DYXQFSALSHRPOV-VAMGGRTRSA-N 0 0 426.521 -0.151 20 0 IBADRN Cc1nnc(N(C)CCNS(C)(=O)=O)n1CC(=O)N1CCN(c2ccccc2)CC1 ZINC001290737814 1073731417 /nfs/dbraw/zinc/73/14/17/1073731417.db2.gz WBBLFDIWYGXDSO-UHFFFAOYSA-N 0 0 435.554 -0.079 20 0 IBADRN Cc1nnc(N2CC[C@@H](CN3CCOCC3)C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001290793848 1073731404 /nfs/dbraw/zinc/73/14/04/1073731404.db2.gz XJHONOYNIKQWDN-INIZCTEOSA-N 0 0 439.542 -0.147 20 0 IBADRN Cc1nnc(N2CC[C@H](CN3CCOCC3)C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001290793849 1073731243 /nfs/dbraw/zinc/73/12/43/1073731243.db2.gz XJHONOYNIKQWDN-MRXNPFEDSA-N 0 0 439.542 -0.147 20 0 IBADRN Cc1nnc(N2CCC(N(C)S(C)(=O)=O)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001290793986 1073731200 /nfs/dbraw/zinc/73/12/00/1073731200.db2.gz LQZPROMUCXVIHN-UHFFFAOYSA-N 0 0 435.572 -0.614 20 0 IBADRN Cc1nnc(N2CCC(N3CCNC3=O)CC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001290801549 1073731263 /nfs/dbraw/zinc/73/12/63/1073731263.db2.gz NHANWZXODROXRB-UHFFFAOYSA-N 0 0 443.599 -0.041 20 0 IBADRN NC(=O)C1(CNC(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CCOCC1 ZINC001290802791 1073731392 /nfs/dbraw/zinc/73/13/92/1073731392.db2.gz ANQTVMLIRJEMOF-UHFFFAOYSA-N 0 0 431.536 -0.095 20 0 IBADRN Cc1nnc(N2CCC(S(C)(=O)=O)CC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001290808900 1073731382 /nfs/dbraw/zinc/73/13/82/1073731382.db2.gz JYBKOGBHPGLKJE-UHFFFAOYSA-N 0 0 437.613 -0.021 20 0 IBADRN Cc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001290810072 1073731288 /nfs/dbraw/zinc/73/12/88/1073731288.db2.gz CMITUUXQUMCXDU-CYBMUJFWSA-N 0 0 425.515 -0.469 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C[C@@H](O)C(Cl)(Cl)Cl)CC2)CC1 ZINC001290817313 1073731437 /nfs/dbraw/zinc/73/14/37/1073731437.db2.gz AJJWVFHHCHZMJL-LLVKDONJSA-N 0 0 437.777 -0.256 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C[C@H](O)C(Cl)(Cl)Cl)CC2)CC1 ZINC001290817314 1073731399 /nfs/dbraw/zinc/73/13/99/1073731399.db2.gz AJJWVFHHCHZMJL-NSHDSACASA-N 0 0 437.777 -0.256 20 0 IBADRN CCOC(=O)C1(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCOCC1 ZINC001290817319 1073731220 /nfs/dbraw/zinc/73/12/20/1073731220.db2.gz AQPPYNOQWBVLEG-UHFFFAOYSA-N 0 0 446.570 -0.627 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3sccc3-n3ccnn3)CC2)CC1 ZINC001290817320 1073731302 /nfs/dbraw/zinc/73/13/02/1073731302.db2.gz AWVQERMKPFWECJ-UHFFFAOYSA-N 0 0 425.540 -0.421 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(Nc4nn[nH]n4)c3)CC2)CC1 ZINC001290817326 1073731445 /nfs/dbraw/zinc/73/14/45/1073731445.db2.gz BCTKBFQVODMMMH-UHFFFAOYSA-N 0 0 435.514 -0.807 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C(C)(C)NC(=O)COC(C)(C)C)CC2)CC1 ZINC001290817464 1073731275 /nfs/dbraw/zinc/73/12/75/1073731275.db2.gz DWRUSPZNCAGJHW-UHFFFAOYSA-N 0 0 447.602 -0.667 20 0 IBADRN CCn1cc(Br)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC001290817868 1073731449 /nfs/dbraw/zinc/73/14/49/1073731449.db2.gz LXGGXAOINXCXBA-UHFFFAOYSA-N 0 0 449.375 -0.085 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3Cc4cc(F)ccc4NC3=O)CC2)CC1 ZINC001290817878 1073731342 /nfs/dbraw/zinc/73/13/42/1073731342.db2.gz MEJCAJBEDWIESU-INIZCTEOSA-N 0 0 439.513 -0.427 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3Cc4cc(F)ccc4NC3=O)CC2)CC1 ZINC001290817879 1073731334 /nfs/dbraw/zinc/73/13/34/1073731334.db2.gz MEJCAJBEDWIESU-MRXNPFEDSA-N 0 0 439.513 -0.427 20 0 IBADRN CCOCn1nc(C)c(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC001290817897 1073731310 /nfs/dbraw/zinc/73/13/10/1073731310.db2.gz NBAZQVCBERWYIQ-UHFFFAOYSA-N 0 0 442.586 -0.327 20 0 IBADRN COc1cccc(-n2cc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)nn2)c1 ZINC001290818483 1073731970 /nfs/dbraw/zinc/73/19/70/1073731970.db2.gz VSQNDECLCSFZAP-UHFFFAOYSA-N 0 0 449.537 -0.474 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001290818722 1073732019 /nfs/dbraw/zinc/73/20/19/1073732019.db2.gz XXXYXOXQXQZTEJ-HNNXBMFYSA-N 0 0 449.574 -0.838 20 0 IBADRN Cc1nnc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1CCN1CCCS1(=O)=O ZINC001290823094 1073731960 /nfs/dbraw/zinc/73/19/60/1073731960.db2.gz FSKRAHVFDFGWNE-HNNXBMFYSA-N 0 0 434.588 -0.270 20 0 IBADRN Cc1nnc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1CCN1CCCS1(=O)=O ZINC001290823096 1073731943 /nfs/dbraw/zinc/73/19/43/1073731943.db2.gz FSKRAHVFDFGWNE-OAHLLOKOSA-N 0 0 434.588 -0.270 20 0 IBADRN Cn1cnnc1[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001290823423 1073732121 /nfs/dbraw/zinc/73/21/21/1073732121.db2.gz NGIMAZHMVRVLRT-CYBMUJFWSA-N 0 0 441.535 -0.097 20 0 IBADRN Cn1cnnc1[C@H]1CCCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001290823424 1073732144 /nfs/dbraw/zinc/73/21/44/1073732144.db2.gz NGIMAZHMVRVLRT-ZDUSSCGKSA-N 0 0 441.535 -0.097 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CC1 ZINC001290825910 1073731926 /nfs/dbraw/zinc/73/19/26/1073731926.db2.gz GSRUTDCCCLGIQE-HNNXBMFYSA-N 0 0 439.488 -0.020 20 0 IBADRN Cc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001290832384 1073732097 /nfs/dbraw/zinc/73/20/97/1073732097.db2.gz CZJVHIMEJOUHPN-HNNXBMFYSA-N 0 0 447.583 -0.205 20 0 IBADRN Cc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001290832388 1073732089 /nfs/dbraw/zinc/73/20/89/1073732089.db2.gz CZJVHIMEJOUHPN-OAHLLOKOSA-N 0 0 447.583 -0.205 20 0 IBADRN Cc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1C[C@@H](C)N1CCOCC1 ZINC001290833208 1073732034 /nfs/dbraw/zinc/73/20/34/1073732034.db2.gz JEFQLLBHZRPACW-AEFFLSMTSA-N 0 0 442.586 -0.062 20 0 IBADRN Cc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1C[C@H](C)N1CCOCC1 ZINC001290833209 1073732070 /nfs/dbraw/zinc/73/20/70/1073732070.db2.gz JEFQLLBHZRPACW-FUHWJXTLSA-N 0 0 442.586 -0.062 20 0 IBADRN Cc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1C[C@@H](C)N1CCOCC1 ZINC001290833210 1073732053 /nfs/dbraw/zinc/73/20/53/1073732053.db2.gz JEFQLLBHZRPACW-SJLPKXTDSA-N 0 0 442.586 -0.062 20 0 IBADRN Cc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1C[C@H](C)N1CCOCC1 ZINC001290833211 1073732103 /nfs/dbraw/zinc/73/21/03/1073732103.db2.gz JEFQLLBHZRPACW-WMZOPIPTSA-N 0 0 442.586 -0.062 20 0 IBADRN Cc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1Cc1ccc(C(N)=O)nc1 ZINC001290834259 1073732010 /nfs/dbraw/zinc/73/20/10/1073732010.db2.gz WQTBQFYVIGBJJQ-INIZCTEOSA-N 0 0 449.537 -0.240 20 0 IBADRN Cc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1Cc1ccc(C(N)=O)nc1 ZINC001290834260 1073731994 /nfs/dbraw/zinc/73/19/94/1073731994.db2.gz WQTBQFYVIGBJJQ-MRXNPFEDSA-N 0 0 449.537 -0.240 20 0 IBADRN Cc1cc(C)n(CC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c(=O)n1 ZINC001290834641 1073732116 /nfs/dbraw/zinc/73/21/16/1073732116.db2.gz GLRJSCDVRBYICU-HOTGVXAUSA-N 0 0 427.527 -0.642 20 0 IBADRN Cc1cc(C)n(CC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c(=O)n1 ZINC001290834642 1073731857 /nfs/dbraw/zinc/73/18/57/1073731857.db2.gz GLRJSCDVRBYICU-HZPDHXFCSA-N 0 0 427.527 -0.642 20 0 IBADRN Cc1cc(C)n(CC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c(=O)n1 ZINC001290834643 1073732082 /nfs/dbraw/zinc/73/20/82/1073732082.db2.gz GLRJSCDVRBYICU-IYBDPMFKSA-N 0 0 427.527 -0.642 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CCc3nc(N(C)C)no3)CC2)C[C@H](C)O1 ZINC001290834804 1073732599 /nfs/dbraw/zinc/73/25/99/1073732599.db2.gz KPIIHJRIYADCFX-KBPBESRZSA-N 0 0 430.531 -0.434 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCc3nc(N(C)C)no3)CC2)C[C@H](C)O1 ZINC001290834805 1073732569 /nfs/dbraw/zinc/73/25/69/1073732569.db2.gz KPIIHJRIYADCFX-OKILXGFUSA-N 0 0 430.531 -0.434 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CCc3nc(N(C)C)no3)CC2)C[C@@H](C)O1 ZINC001290834806 1073732450 /nfs/dbraw/zinc/73/24/50/1073732450.db2.gz KPIIHJRIYADCFX-ZIAGYGMSSA-N 0 0 430.531 -0.434 20 0 IBADRN Cc1cn2nnc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@@H](C)C4)CC3)c2c(=O)[nH]1 ZINC001290836297 1073732533 /nfs/dbraw/zinc/73/25/33/1073732533.db2.gz AWPVGFPTBRUOPL-BETUJISGSA-N 0 0 439.498 -0.750 20 0 IBADRN Cc1cn2nnc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@H](C)C4)CC3)c2c(=O)[nH]1 ZINC001290836301 1073732522 /nfs/dbraw/zinc/73/25/22/1073732522.db2.gz AWPVGFPTBRUOPL-CHWSQXEVSA-N 0 0 439.498 -0.750 20 0 IBADRN Cc1cn2nnc(C(=O)N3CCN(S(=O)(=O)N4C[C@H](C)O[C@@H](C)C4)CC3)c2c(=O)[nH]1 ZINC001290836303 1073732578 /nfs/dbraw/zinc/73/25/78/1073732578.db2.gz AWPVGFPTBRUOPL-STQMWFEESA-N 0 0 439.498 -0.750 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4n(n3)CCCC4=O)CC2)C[C@H](C)O1 ZINC001290836453 1073732618 /nfs/dbraw/zinc/73/26/18/1073732618.db2.gz COEUAGGSYWCPBK-KBPBESRZSA-N 0 0 425.511 -0.029 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4n(n3)CCCC4=O)CC2)C[C@H](C)O1 ZINC001290836455 1073732590 /nfs/dbraw/zinc/73/25/90/1073732590.db2.gz COEUAGGSYWCPBK-OKILXGFUSA-N 0 0 425.511 -0.029 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4n(n3)CCCC4=O)CC2)C[C@@H](C)O1 ZINC001290836456 1073732461 /nfs/dbraw/zinc/73/24/61/1073732461.db2.gz COEUAGGSYWCPBK-ZIAGYGMSSA-N 0 0 425.511 -0.029 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnnc3-c3ccccc3)CC2)C[C@H](C)O1 ZINC001290836636 1073732513 /nfs/dbraw/zinc/73/25/13/1073732513.db2.gz DWFCQOSKMNOKJO-HOTGVXAUSA-N 0 0 449.537 -0.162 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnnc3-c3ccccc3)CC2)C[C@@H](C)O1 ZINC001290836637 1073732433 /nfs/dbraw/zinc/73/24/33/1073732433.db2.gz DWFCQOSKMNOKJO-HZPDHXFCSA-N 0 0 449.537 -0.162 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnnc3-c3ccccc3)CC2)C[C@H](C)O1 ZINC001290836638 1073732542 /nfs/dbraw/zinc/73/25/42/1073732542.db2.gz DWFCQOSKMNOKJO-IYBDPMFKSA-N 0 0 449.537 -0.162 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CN3CCCCC(=O)C3=O)CC2)C[C@H](C)O1 ZINC001290837179 1073732605 /nfs/dbraw/zinc/73/26/05/1073732605.db2.gz LKNNSCCKUHEDBQ-GASCZTMLSA-N 0 0 430.527 -0.934 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CN3CCCCC(=O)C3=O)CC2)C[C@H](C)O1 ZINC001290837190 1073732633 /nfs/dbraw/zinc/73/26/33/1073732633.db2.gz LKNNSCCKUHEDBQ-GJZGRUSLSA-N 0 0 430.527 -0.934 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CN3CCCCC(=O)C3=O)CC2)C[C@@H](C)O1 ZINC001290837192 1073732489 /nfs/dbraw/zinc/73/24/89/1073732489.db2.gz LKNNSCCKUHEDBQ-HUUCEWRRSA-N 0 0 430.527 -0.934 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1C[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@]2(C)C1 ZINC001290838091 1073732555 /nfs/dbraw/zinc/73/25/55/1073732555.db2.gz DLXBMLYLDITMMI-RRBDSEFYSA-N 0 0 426.535 -0.222 20 0 IBADRN COCCn1ncc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1C ZINC001290838321 1073732503 /nfs/dbraw/zinc/73/25/03/1073732503.db2.gz YNOIMESWEMKSLA-GASCZTMLSA-N 0 0 429.543 -0.050 20 0 IBADRN COCCn1ncc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1C ZINC001290838323 1073732408 /nfs/dbraw/zinc/73/24/08/1073732408.db2.gz YNOIMESWEMKSLA-GJZGRUSLSA-N 0 0 429.543 -0.050 20 0 IBADRN COCCn1ncc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1C ZINC001290838325 1073732612 /nfs/dbraw/zinc/73/26/12/1073732612.db2.gz YNOIMESWEMKSLA-HUUCEWRRSA-N 0 0 429.543 -0.050 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1Cc1cccc(OCC(N)=O)c1 ZINC001290850574 1073732472 /nfs/dbraw/zinc/73/24/72/1073732472.db2.gz DTQYQFXNFPFJHN-UHFFFAOYSA-N 0 0 443.508 -0.328 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CC1(C(N)=O)CCOCC1 ZINC001290850577 1073733180 /nfs/dbraw/zinc/73/31/80/1073733180.db2.gz DWGUDIFOFSTRIV-UHFFFAOYSA-N 0 0 433.513 -0.860 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCOc1ncccc1F ZINC001290850661 1073733140 /nfs/dbraw/zinc/73/31/40/1073733140.db2.gz HGNXVVOEWAHHEZ-UHFFFAOYSA-N 0 0 447.471 -0.293 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1Cc1cccc(OCC(N)=O)c1 ZINC001290850799 1073733167 /nfs/dbraw/zinc/73/31/67/1073733167.db2.gz MTWWCUXGRNODLK-UHFFFAOYSA-N 0 0 441.492 -0.574 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1cccc(CO)c1 ZINC001290851045 1073733216 /nfs/dbraw/zinc/73/32/16/1073733216.db2.gz SFBJKOKQCVYRTK-UHFFFAOYSA-N 0 0 428.493 -0.365 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CC(=O)NCC(F)(F)F ZINC001290851055 1073733086 /nfs/dbraw/zinc/73/30/86/1073733086.db2.gz SOJPMOKWPAINIH-UHFFFAOYSA-N 0 0 431.419 -0.464 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001290852203 1073733153 /nfs/dbraw/zinc/73/31/53/1073733153.db2.gz JDSHPZQPJIWTHP-HNNXBMFYSA-N 0 0 427.527 -0.646 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001290852204 1073733209 /nfs/dbraw/zinc/73/32/09/1073733209.db2.gz JDSHPZQPJIWTHP-OAHLLOKOSA-N 0 0 427.527 -0.646 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CC(C)(C)N1CCOCC1 ZINC001290852317 1073733092 /nfs/dbraw/zinc/73/30/92/1073733092.db2.gz LENNCOXQOAHGBO-UHFFFAOYSA-N 0 0 435.573 -0.322 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001290852497 1073733070 /nfs/dbraw/zinc/73/30/70/1073733070.db2.gz LZGZEXBLTIPVCH-CVEARBPZSA-N 0 0 426.543 -0.162 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001290852498 1073733199 /nfs/dbraw/zinc/73/31/99/1073733199.db2.gz LZGZEXBLTIPVCH-HZPDHXFCSA-N 0 0 426.543 -0.162 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001290852767 1073733120 /nfs/dbraw/zinc/73/31/20/1073733120.db2.gz OHAQBHFMDVEVRK-CVEARBPZSA-N 0 0 426.543 -0.304 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001290852769 1073733190 /nfs/dbraw/zinc/73/31/90/1073733190.db2.gz OHAQBHFMDVEVRK-HOTGVXAUSA-N 0 0 426.543 -0.304 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001290852771 1073732999 /nfs/dbraw/zinc/73/29/99/1073732999.db2.gz OHAQBHFMDVEVRK-HZPDHXFCSA-N 0 0 426.543 -0.304 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001290852772 1073733222 /nfs/dbraw/zinc/73/32/22/1073733222.db2.gz OHAQBHFMDVEVRK-JKSUJKDBSA-N 0 0 426.543 -0.304 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NCC(=O)NCc2ccccc2)c1 ZINC001290852831 1073733130 /nfs/dbraw/zinc/73/31/30/1073733130.db2.gz XFUYOFUBDMFCDC-UHFFFAOYSA-N 0 0 439.515 -0.199 20 0 IBADRN Cc1nnc(N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)n1CCOCCS(C)(=O)=O ZINC001290856264 1073733243 /nfs/dbraw/zinc/73/32/43/1073733243.db2.gz DMAHUEMMDOTDGU-INIZCTEOSA-N 0 0 442.586 -0.219 20 0 IBADRN Cc1nnc(N2CCN([C@H](C)C(=O)N3CCCC3)CC2)n1CCOCCS(C)(=O)=O ZINC001290856285 1073733040 /nfs/dbraw/zinc/73/30/40/1073733040.db2.gz DMAHUEMMDOTDGU-MRXNPFEDSA-N 0 0 442.586 -0.219 20 0 IBADRN Cc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CC1(CS(C)(=O)=O)CC1 ZINC001290858414 1073733106 /nfs/dbraw/zinc/73/31/06/1073733106.db2.gz VVMBADXZJWHBGR-UHFFFAOYSA-N 0 0 426.543 -0.014 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cc(N2CCOCC2)ncn1 ZINC001290860438 1073733659 /nfs/dbraw/zinc/73/36/59/1073733659.db2.gz BBPOXCALTKHPNO-UHFFFAOYSA-N 0 0 446.489 -0.314 20 0 IBADRN CC1=C(C)C(=O)N(CCC(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1=O ZINC001290861158 1073733720 /nfs/dbraw/zinc/73/37/20/1073733720.db2.gz OFFRICZEKREFJF-UHFFFAOYSA-N 0 0 434.474 -0.159 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001290861598 1073733608 /nfs/dbraw/zinc/73/36/08/1073733608.db2.gz WMLLJKCDHKPILQ-OBJOEFQTSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001290861599 1073733673 /nfs/dbraw/zinc/73/36/73/1073733673.db2.gz WMLLJKCDHKPILQ-OUCADQQQSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001290861600 1073733800 /nfs/dbraw/zinc/73/38/00/1073733800.db2.gz WMLLJKCDHKPILQ-SCRDCRAPSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001290861601 1073733621 /nfs/dbraw/zinc/73/36/21/1073733621.db2.gz WMLLJKCDHKPILQ-SGMGOOAPSA-N 0 0 439.581 -0.436 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001290862495 1073733744 /nfs/dbraw/zinc/73/37/44/1073733744.db2.gz OMFFXEKOHZTQOY-KRWDZBQOSA-N 0 0 435.500 -0.094 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001290862496 1073733695 /nfs/dbraw/zinc/73/36/95/1073733695.db2.gz OMFFXEKOHZTQOY-QGZVFWFLSA-N 0 0 435.500 -0.094 20 0 IBADRN Cc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1CCOCCS(C)(=O)=O ZINC001290864932 1073733575 /nfs/dbraw/zinc/73/35/75/1073733575.db2.gz FBGUKHNZIJOJLS-UHFFFAOYSA-N 0 0 437.526 -0.532 20 0 IBADRN Cc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001290865145 1073733780 /nfs/dbraw/zinc/73/37/80/1073733780.db2.gz LXGNDEFQZWIIPS-HNNXBMFYSA-N 0 0 448.553 -0.311 20 0 IBADRN Cc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001290865147 1073733764 /nfs/dbraw/zinc/73/37/64/1073733764.db2.gz LXGNDEFQZWIIPS-OAHLLOKOSA-N 0 0 448.553 -0.311 20 0 IBADRN Cc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001290865171 1073733646 /nfs/dbraw/zinc/73/36/46/1073733646.db2.gz MEZPYXKSGNPPMB-OAHLLOKOSA-N 0 0 448.553 -0.169 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001290869054 1073733629 /nfs/dbraw/zinc/73/36/29/1073733629.db2.gz ARLJNXYAKJORMB-IBGZPJMESA-N 0 0 440.570 -0.729 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001290869055 1073733789 /nfs/dbraw/zinc/73/37/89/1073733789.db2.gz ARLJNXYAKJORMB-LJQANCHMSA-N 0 0 440.570 -0.729 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1CCS(=O)(=O)N(C)C ZINC001290869956 1073733810 /nfs/dbraw/zinc/73/38/10/1073733810.db2.gz NHIJBRRFILYECX-UHFFFAOYSA-N 0 0 427.575 -0.388 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCCCC3)CC2)n1CC(=O)N1CCOCC1 ZINC001290870036 1073733682 /nfs/dbraw/zinc/73/36/82/1073733682.db2.gz PEMPDIPXHVDCDT-UHFFFAOYSA-N 0 0 433.557 -0.030 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1CCCNS(C)(=O)=O ZINC001290870335 1073733536 /nfs/dbraw/zinc/73/35/36/1073733536.db2.gz SSWUFMJVJIGFTI-UHFFFAOYSA-N 0 0 427.575 -0.340 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001290870628 1073733731 /nfs/dbraw/zinc/73/37/31/1073733731.db2.gz VYQKHOCVSRJKIO-MRXNPFEDSA-N 0 0 425.559 -0.589 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1CCNS(C)(=O)=O ZINC001290878146 1073733597 /nfs/dbraw/zinc/73/35/97/1073733597.db2.gz CHSIYMKGWJOYKX-UHFFFAOYSA-N 0 0 446.530 -0.214 20 0 IBADRN Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CCCOCC(F)F ZINC001290879004 1073733585 /nfs/dbraw/zinc/73/35/85/1073733585.db2.gz LJRDTQUKOAGKCA-UHFFFAOYSA-N 0 0 428.444 -0.275 20 0 IBADRN Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CCCC(=O)NC1CC1 ZINC001290879222 1073734335 /nfs/dbraw/zinc/73/43/35/1073734335.db2.gz OBPUIOKWDCKLMQ-UHFFFAOYSA-N 0 0 431.501 -0.888 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccc(CS(C)(=O)=O)cc1 ZINC001290879257 1073734401 /nfs/dbraw/zinc/73/44/01/1073734401.db2.gz OJGYVNWFOJBXMS-INIZCTEOSA-N 0 0 432.506 -0.674 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccc(CS(C)(=O)=O)cc1 ZINC001290879260 1073734460 /nfs/dbraw/zinc/73/44/60/1073734460.db2.gz OJGYVNWFOJBXMS-MRXNPFEDSA-N 0 0 432.506 -0.674 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1CCCS(C)(=O)=O ZINC001290879484 1073734438 /nfs/dbraw/zinc/73/44/38/1073734438.db2.gz REYVRTVZOKJWGZ-UHFFFAOYSA-N 0 0 432.528 -0.339 20 0 IBADRN Cc1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001290881247 1073734491 /nfs/dbraw/zinc/73/44/91/1073734491.db2.gz RQIPBFOAVHDXJA-INIZCTEOSA-N 0 0 430.556 -0.004 20 0 IBADRN Cc1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001290881248 1073734501 /nfs/dbraw/zinc/73/45/01/1073734501.db2.gz RQIPBFOAVHDXJA-MRXNPFEDSA-N 0 0 430.556 -0.004 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@@H]2CC[C@H](CN3CCOCC3)O2)c1 ZINC001290894358 1073734412 /nfs/dbraw/zinc/73/44/12/1073734412.db2.gz MJJMNZDLAAHSOK-WBVHZDCISA-N 0 0 441.506 -0.044 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@H](CO)NC(=O)Cc3ccc(F)cc3)CC2)CC1 ZINC001290901962 1073734286 /nfs/dbraw/zinc/73/42/86/1073734286.db2.gz JSPJAOKXNPQXBW-IBGZPJMESA-N 0 0 434.512 -0.142 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)c3nc(S(N)(=O)=O)c4ccccn43)CC2)CC1 ZINC001290902644 1073734311 /nfs/dbraw/zinc/73/43/11/1073734311.db2.gz RIKXYKSWGBCWJX-UHFFFAOYSA-N 0 0 434.522 -0.392 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001290902709 1073734391 /nfs/dbraw/zinc/73/43/91/1073734391.db2.gz UQIQXHBVQRECSZ-INIZCTEOSA-N 0 0 442.513 -0.341 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001290902710 1073734371 /nfs/dbraw/zinc/73/43/71/1073734371.db2.gz UQIQXHBVQRECSZ-MRXNPFEDSA-N 0 0 442.513 -0.341 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C1(CC(=O)N2CCOCC2)CCCC1 ZINC001290925924 1073734504 /nfs/dbraw/zinc/73/45/04/1073734504.db2.gz IJIKHNMHKRQNFZ-HNNXBMFYSA-N 0 0 429.539 -0.443 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C1(CC(=O)N2CCOCC2)CCCC1 ZINC001290925927 1073734482 /nfs/dbraw/zinc/73/44/82/1073734482.db2.gz IJIKHNMHKRQNFZ-OAHLLOKOSA-N 0 0 429.539 -0.443 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)CC1 ZINC001290926969 1073734478 /nfs/dbraw/zinc/73/44/78/1073734478.db2.gz MJCGLBOYCHLNLC-UHFFFAOYSA-N 0 0 431.555 -0.197 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)N3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)CC2=O)cn1 ZINC001290931347 1073734382 /nfs/dbraw/zinc/73/43/82/1073734382.db2.gz QARMPAKJFVFJJH-AWEZNQCLSA-N 0 0 432.506 -0.231 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)N3CCN(c4ccc(S(N)(=O)=O)cc4)CC3)CC2=O)cn1 ZINC001290931349 1073734358 /nfs/dbraw/zinc/73/43/58/1073734358.db2.gz QARMPAKJFVFJJH-CQSZACIVSA-N 0 0 432.506 -0.231 20 0 IBADRN O=C(CNC(=O)N1CCCC1)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC001290931497 1073734453 /nfs/dbraw/zinc/73/44/53/1073734453.db2.gz LPTCBPOQZZNBSD-UHFFFAOYSA-N 0 0 436.494 -0.093 20 0 IBADRN COc1cc2ncc(C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)c(O)c2c(OC)c1 ZINC001290931922 1073734881 /nfs/dbraw/zinc/73/48/81/1073734881.db2.gz PBLLLXHFJAYWQW-LLVKDONJSA-N 0 0 437.474 -0.079 20 0 IBADRN COc1cc2ncc(C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)c(O)c2c(OC)c1 ZINC001290931923 1073734985 /nfs/dbraw/zinc/73/49/85/1073734985.db2.gz PBLLLXHFJAYWQW-NSHDSACASA-N 0 0 437.474 -0.079 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1ccccc1S(=O)(=O)C(F)F ZINC001290932238 1073734838 /nfs/dbraw/zinc/73/48/38/1073734838.db2.gz RJSPFTDVRMDFIV-GFCCVEGCSA-N 0 0 438.474 -0.013 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1ccccc1S(=O)(=O)C(F)F ZINC001290932239 1073734870 /nfs/dbraw/zinc/73/48/70/1073734870.db2.gz RJSPFTDVRMDFIV-LBPRGKRZSA-N 0 0 438.474 -0.013 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001290932440 1073734927 /nfs/dbraw/zinc/73/49/27/1073734927.db2.gz VXIMKUIHTRQTKN-CHWSQXEVSA-N 0 0 430.504 -0.627 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001290932441 1073734826 /nfs/dbraw/zinc/73/48/26/1073734826.db2.gz VXIMKUIHTRQTKN-OLZOCXBDSA-N 0 0 430.504 -0.627 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001290932442 1073734974 /nfs/dbraw/zinc/73/49/74/1073734974.db2.gz VXIMKUIHTRQTKN-QWHCGFSZSA-N 0 0 430.504 -0.627 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001290932443 1073735013 /nfs/dbraw/zinc/73/50/13/1073735013.db2.gz VXIMKUIHTRQTKN-STQMWFEESA-N 0 0 430.504 -0.627 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc2ncc(Br)cn2n1 ZINC001290932446 1073734913 /nfs/dbraw/zinc/73/49/13/1073734913.db2.gz VZHWDGZNDWVMBF-JTQLQIEISA-N 0 0 430.284 -0.133 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc2ncc(Br)cn2n1 ZINC001290932447 1073734938 /nfs/dbraw/zinc/73/49/38/1073734938.db2.gz VZHWDGZNDWVMBF-SNVBAGLBSA-N 0 0 430.284 -0.133 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(I)nn1C ZINC001290932511 1073734966 /nfs/dbraw/zinc/73/49/66/1073734966.db2.gz XVJLMMNEVAHXAJ-MRVPVSSYSA-N 0 0 440.263 -0.600 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(I)nn1C ZINC001290932512 1073734749 /nfs/dbraw/zinc/73/47/49/1073734749.db2.gz XVJLMMNEVAHXAJ-QMMMGPOBSA-N 0 0 440.263 -0.600 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001290933224 1073734946 /nfs/dbraw/zinc/73/49/46/1073734946.db2.gz BOJZKVGRJSDPAQ-UHFFFAOYSA-N 0 0 448.567 -0.797 20 0 IBADRN Cc1noc(C)c1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1 ZINC001290937985 1073734737 /nfs/dbraw/zinc/73/47/37/1073734737.db2.gz OCEIYONTHDHWNG-AWEZNQCLSA-N 0 0 439.498 -0.800 20 0 IBADRN Cc1noc(C)c1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1 ZINC001290937986 1073735017 /nfs/dbraw/zinc/73/50/17/1073735017.db2.gz OCEIYONTHDHWNG-CQSZACIVSA-N 0 0 439.498 -0.800 20 0 IBADRN O=C(CCNC(=O)c1c[nH]nc1I)N[C@@H]1CCS(=O)(=O)C1 ZINC001290939589 1073734859 /nfs/dbraw/zinc/73/48/59/1073734859.db2.gz QPGKNOBMFWVUBI-SSDOTTSWSA-N 0 0 426.236 -0.563 20 0 IBADRN O=C(CCNC(=O)c1c[nH]nc1I)N[C@H]1CCS(=O)(=O)C1 ZINC001290939590 1073734847 /nfs/dbraw/zinc/73/48/47/1073734847.db2.gz QPGKNOBMFWVUBI-ZETCQYMHSA-N 0 0 426.236 -0.563 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CCO[C@]2(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001290940233 1073735375 /nfs/dbraw/zinc/73/53/75/1073735375.db2.gz YLCSKYAAHIQWLJ-CKFHNAJUSA-N 0 0 445.538 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CCO[C@]2(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001290940234 1073735710 /nfs/dbraw/zinc/73/57/10/1073735710.db2.gz YLCSKYAAHIQWLJ-IQUTYRLHSA-N 0 0 445.538 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NC2CCS(=O)(=O)CC2)C1 ZINC001290946607 1073735575 /nfs/dbraw/zinc/73/55/75/1073735575.db2.gz HUCQDIMZKTUNEV-SJKOYZFVSA-N 0 0 436.552 -0.039 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001290953718 1073735674 /nfs/dbraw/zinc/73/56/74/1073735674.db2.gz DSLUDIPXGCBJCU-INIZCTEOSA-N 0 0 447.583 -0.777 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001290953719 1073735493 /nfs/dbraw/zinc/73/54/93/1073735493.db2.gz DSLUDIPXGCBJCU-MRXNPFEDSA-N 0 0 447.583 -0.777 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1ccnc2c1CC(=O)N2 ZINC001290953759 1073735438 /nfs/dbraw/zinc/73/54/38/1073735438.db2.gz FVLLBCDAVDULNN-UHFFFAOYSA-N 0 0 430.490 -0.147 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C1CC2(C1)CS(=O)(=O)C2 ZINC001290954218 1073735528 /nfs/dbraw/zinc/73/55/28/1073735528.db2.gz MOWDCPMHTWVALV-UHFFFAOYSA-N 0 0 442.563 -0.526 20 0 IBADRN O=C(Cn1nnc2c1CCCC2)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001290954985 1073735605 /nfs/dbraw/zinc/73/56/05/1073735605.db2.gz RTQYFTMSWGYGMR-UHFFFAOYSA-N 0 0 433.538 -0.180 20 0 IBADRN O=C(CN1CCC(CO)CC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001290955728 1073735404 /nfs/dbraw/zinc/73/54/04/1073735404.db2.gz WIFZWYDBWJDEJI-UHFFFAOYSA-N 0 0 425.555 -0.646 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CC(=O)N2CCOCC2)on1 ZINC001290959843 1073735479 /nfs/dbraw/zinc/73/54/79/1073735479.db2.gz KBSWLYPJRJWZAH-CYBMUJFWSA-N 0 0 441.514 -0.472 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CC(=O)N2CCOCC2)on1 ZINC001290959844 1073735590 /nfs/dbraw/zinc/73/55/90/1073735590.db2.gz KBSWLYPJRJWZAH-ZDUSSCGKSA-N 0 0 441.514 -0.472 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(C(=O)N2CCCC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001290972919 1073735691 /nfs/dbraw/zinc/73/56/91/1073735691.db2.gz KAPIAPKJPNZWQU-OLZOCXBDSA-N 0 0 432.485 -0.831 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(C(=O)N2CCCC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001290972920 1073735463 /nfs/dbraw/zinc/73/54/63/1073735463.db2.gz KAPIAPKJPNZWQU-STQMWFEESA-N 0 0 432.485 -0.831 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)N(C)C ZINC001290973335 1073735385 /nfs/dbraw/zinc/73/53/85/1073735385.db2.gz YASLWLONKHWWGC-CYBMUJFWSA-N 0 0 427.531 -0.928 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)N(C)C ZINC001290973336 1073735713 /nfs/dbraw/zinc/73/57/13/1073735713.db2.gz YASLWLONKHWWGC-ZDUSSCGKSA-N 0 0 427.531 -0.928 20 0 IBADRN Cn1cc(I)c(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)n1 ZINC001290973736 1073735718 /nfs/dbraw/zinc/73/57/18/1073735718.db2.gz LDKPMEYWIQFLJQ-MRVPVSSYSA-N 0 0 427.268 -0.330 20 0 IBADRN Cn1cc(I)c(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)n1 ZINC001290973737 1073735557 /nfs/dbraw/zinc/73/55/57/1073735557.db2.gz LDKPMEYWIQFLJQ-QMMMGPOBSA-N 0 0 427.268 -0.330 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CC(=O)N(C)C ZINC001290978618 1073735702 /nfs/dbraw/zinc/73/57/02/1073735702.db2.gz KILODGGZUSYFDW-CYBMUJFWSA-N 0 0 438.492 -0.053 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CC(=O)N(C)C ZINC001290978619 1073735515 /nfs/dbraw/zinc/73/55/15/1073735515.db2.gz KILODGGZUSYFDW-ZDUSSCGKSA-N 0 0 438.492 -0.053 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCC(=O)N2)n1Cc1cccc(OCC(N)=O)c1 ZINC001290978652 1073736126 /nfs/dbraw/zinc/73/61/26/1073736126.db2.gz KZUSODUBRQYIML-BBRMVZONSA-N 0 0 441.492 -0.192 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCC(=O)N2)n1Cc1cccc(OCC(N)=O)c1 ZINC001290978653 1073736120 /nfs/dbraw/zinc/73/61/20/1073736120.db2.gz KZUSODUBRQYIML-CJNGLKHVSA-N 0 0 441.492 -0.192 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCC(=O)N2)n1Cc1cccc(OCC(N)=O)c1 ZINC001290978654 1073736153 /nfs/dbraw/zinc/73/61/53/1073736153.db2.gz KZUSODUBRQYIML-CZUORRHYSA-N 0 0 441.492 -0.192 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCC(=O)N2)n1Cc1cccc(OCC(N)=O)c1 ZINC001290978655 1073736134 /nfs/dbraw/zinc/73/61/34/1073736134.db2.gz KZUSODUBRQYIML-XJKSGUPXSA-N 0 0 441.492 -0.192 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001290980716 1073736116 /nfs/dbraw/zinc/73/61/16/1073736116.db2.gz NPWVAYSBRFIKHD-HZSPNIEDSA-N 0 0 438.554 -0.238 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001290980717 1073736124 /nfs/dbraw/zinc/73/61/24/1073736124.db2.gz NPWVAYSBRFIKHD-MCIONIFRSA-N 0 0 438.554 -0.238 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001290980718 1073736168 /nfs/dbraw/zinc/73/61/68/1073736168.db2.gz NPWVAYSBRFIKHD-MGPQQGTHSA-N 0 0 438.554 -0.238 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001290980719 1073736080 /nfs/dbraw/zinc/73/60/80/1073736080.db2.gz NPWVAYSBRFIKHD-RDBSUJKOSA-N 0 0 438.554 -0.238 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001290980738 1073736072 /nfs/dbraw/zinc/73/60/72/1073736072.db2.gz OBNWKCOHRDHZFO-KBPBESRZSA-N 0 0 426.543 -0.285 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001290980739 1073736099 /nfs/dbraw/zinc/73/60/99/1073736099.db2.gz OBNWKCOHRDHZFO-KGLIPLIRSA-N 0 0 426.543 -0.285 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001290980740 1073736173 /nfs/dbraw/zinc/73/61/73/1073736173.db2.gz OBNWKCOHRDHZFO-UONOGXRCSA-N 0 0 426.543 -0.285 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001290980741 1073736102 /nfs/dbraw/zinc/73/61/02/1073736102.db2.gz OBNWKCOHRDHZFO-ZIAGYGMSSA-N 0 0 426.543 -0.285 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(Cc2ccccn2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001290981019 1073736058 /nfs/dbraw/zinc/73/60/58/1073736058.db2.gz RLJIRNKJVBOZQR-HIFRSBDPSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(Cc2ccccn2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001290981020 1073736164 /nfs/dbraw/zinc/73/61/64/1073736164.db2.gz RLJIRNKJVBOZQR-ZFWWWQNUSA-N 0 0 426.481 -0.081 20 0 IBADRN Cc1ccc(CNC(=O)C2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc1F ZINC001290982579 1073736146 /nfs/dbraw/zinc/73/61/46/1073736146.db2.gz YFRQATNKLUQRNO-INIZCTEOSA-N 0 0 425.482 -0.098 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(CN2CCCC2=O)n1CCC[C@@H]1NC(=O)NC1=O ZINC001290985035 1073736107 /nfs/dbraw/zinc/73/61/07/1073736107.db2.gz CYEVGINVRWYJLR-OLZOCXBDSA-N 0 0 432.485 -0.944 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(CN2CCCC2=O)n1CCC[C@@H]1NC(=O)NC1=O ZINC001290985042 1073736136 /nfs/dbraw/zinc/73/61/36/1073736136.db2.gz CYEVGINVRWYJLR-STQMWFEESA-N 0 0 432.485 -0.944 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001291033504 1073736142 /nfs/dbraw/zinc/73/61/42/1073736142.db2.gz CVJTXWYVOXQWGY-BARDWOONSA-N 0 0 426.543 -0.066 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001291033505 1073736158 /nfs/dbraw/zinc/73/61/58/1073736158.db2.gz CVJTXWYVOXQWGY-KBUPBQIOSA-N 0 0 426.543 -0.066 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001291033506 1073736090 /nfs/dbraw/zinc/73/60/90/1073736090.db2.gz CVJTXWYVOXQWGY-LXTVHRRPSA-N 0 0 426.543 -0.066 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001291033507 1073736600 /nfs/dbraw/zinc/73/66/00/1073736600.db2.gz CVJTXWYVOXQWGY-TUVASFSCSA-N 0 0 426.543 -0.066 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001291033664 1073736647 /nfs/dbraw/zinc/73/66/47/1073736647.db2.gz FMYAAOJKYHLLOB-BARDWOONSA-N 0 0 426.543 -0.031 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](C(N)=O)C1 ZINC001291033665 1073736585 /nfs/dbraw/zinc/73/65/85/1073736585.db2.gz FMYAAOJKYHLLOB-KBUPBQIOSA-N 0 0 426.543 -0.031 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001291033666 1073736760 /nfs/dbraw/zinc/73/67/60/1073736760.db2.gz FMYAAOJKYHLLOB-LXTVHRRPSA-N 0 0 426.543 -0.031 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](C(N)=O)C1 ZINC001291033667 1073736720 /nfs/dbraw/zinc/73/67/20/1073736720.db2.gz FMYAAOJKYHLLOB-TUVASFSCSA-N 0 0 426.543 -0.031 20 0 IBADRN C[C@@H]1C[C@@H]1n1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCNS(C)(=O)=O ZINC001291039700 1073736564 /nfs/dbraw/zinc/73/65/64/1073736564.db2.gz GSYOYQYPKJWUHH-AGIUHOORSA-N 0 0 436.560 -0.823 20 0 IBADRN C[C@@H]1C[C@@H]1n1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCNS(C)(=O)=O ZINC001291039701 1073736743 /nfs/dbraw/zinc/73/67/43/1073736743.db2.gz GSYOYQYPKJWUHH-FRRDWIJNSA-N 0 0 436.560 -0.823 20 0 IBADRN C[C@@H]1C[C@H]1n1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCNS(C)(=O)=O ZINC001291039702 1073736538 /nfs/dbraw/zinc/73/65/38/1073736538.db2.gz GSYOYQYPKJWUHH-JHJVBQTASA-N 0 0 436.560 -0.823 20 0 IBADRN C[C@@H]1C[C@H]1n1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCNS(C)(=O)=O ZINC001291039703 1073736550 /nfs/dbraw/zinc/73/65/50/1073736550.db2.gz GSYOYQYPKJWUHH-UPJWGTAASA-N 0 0 436.560 -0.823 20 0 IBADRN C[C@@H]1CCC[C@@]1(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001291079668 1073736573 /nfs/dbraw/zinc/73/65/73/1073736573.db2.gz FSVICSDSBVTXRT-IIDMSEBBSA-N 0 0 429.543 -0.001 20 0 IBADRN C[C@@H]1CCC[C@]1(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001291079669 1073736735 /nfs/dbraw/zinc/73/67/35/1073736735.db2.gz FSVICSDSBVTXRT-RKVPGOIHSA-N 0 0 429.543 -0.001 20 0 IBADRN C[C@@H]1CCC[C@@]1(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001291079670 1073736637 /nfs/dbraw/zinc/73/66/37/1073736637.db2.gz FSVICSDSBVTXRT-RVKKMQEKSA-N 0 0 429.543 -0.001 20 0 IBADRN C[C@@H]1CCC[C@]1(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001291079671 1073736754 /nfs/dbraw/zinc/73/67/54/1073736754.db2.gz FSVICSDSBVTXRT-VKJFTORMSA-N 0 0 429.543 -0.001 20 0 IBADRN C[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001291166321 1073736727 /nfs/dbraw/zinc/73/67/27/1073736727.db2.gz YELWPSIKLDVPIH-KBPBESRZSA-N 0 0 432.568 -0.034 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001291166322 1073736712 /nfs/dbraw/zinc/73/67/12/1073736712.db2.gz YELWPSIKLDVPIH-KGLIPLIRSA-N 0 0 432.568 -0.034 20 0 IBADRN C[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001291166323 1073736520 /nfs/dbraw/zinc/73/65/20/1073736520.db2.gz YELWPSIKLDVPIH-UONOGXRCSA-N 0 0 432.568 -0.034 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001291166324 1073736659 /nfs/dbraw/zinc/73/66/59/1073736659.db2.gz YELWPSIKLDVPIH-ZIAGYGMSSA-N 0 0 432.568 -0.034 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001291167596 1073737290 /nfs/dbraw/zinc/73/72/90/1073737290.db2.gz RTPZEXMMLXFLNO-GLJUWKHASA-N 0 0 426.543 -0.065 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001291167597 1073737281 /nfs/dbraw/zinc/73/72/81/1073737281.db2.gz RTPZEXMMLXFLNO-HBUWYVDXSA-N 0 0 426.543 -0.065 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001291167598 1073737254 /nfs/dbraw/zinc/73/72/54/1073737254.db2.gz RTPZEXMMLXFLNO-LBTNJELSSA-N 0 0 426.543 -0.065 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001291167599 1073737274 /nfs/dbraw/zinc/73/72/74/1073737274.db2.gz RTPZEXMMLXFLNO-QWQRMKEZSA-N 0 0 426.543 -0.065 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(-c2cccnc2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001291191011 1073737245 /nfs/dbraw/zinc/73/72/45/1073737245.db2.gz IEYKELGGEOGAGT-AWEZNQCLSA-N 0 0 434.522 -0.053 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(-c2cccnc2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001291191012 1073737121 /nfs/dbraw/zinc/73/71/21/1073737121.db2.gz IEYKELGGEOGAGT-CQSZACIVSA-N 0 0 434.522 -0.053 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(-c2ccccn2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001291191805 1073737214 /nfs/dbraw/zinc/73/72/14/1073737214.db2.gz YUINWZWTTNCHFY-AWEZNQCLSA-N 0 0 434.522 -0.053 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(-c2ccccn2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001291191806 1073737172 /nfs/dbraw/zinc/73/71/72/1073737172.db2.gz YUINWZWTTNCHFY-CQSZACIVSA-N 0 0 434.522 -0.053 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001291191861 1073737112 /nfs/dbraw/zinc/73/71/12/1073737112.db2.gz ABKUWHWEKAJDEL-RRFJBIMHSA-N 0 0 442.542 -0.543 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001291191862 1073737103 /nfs/dbraw/zinc/73/71/03/1073737103.db2.gz ABKUWHWEKAJDEL-ZNMIVQPWSA-N 0 0 442.542 -0.543 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001291191971 1073737198 /nfs/dbraw/zinc/73/71/98/1073737198.db2.gz BZDLVCDLFSYIHO-KBPBESRZSA-N 0 0 438.554 -0.094 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001291191972 1073737181 /nfs/dbraw/zinc/73/71/81/1073737181.db2.gz BZDLVCDLFSYIHO-KGLIPLIRSA-N 0 0 438.554 -0.094 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001291191973 1073737089 /nfs/dbraw/zinc/73/70/89/1073737089.db2.gz BZDLVCDLFSYIHO-UONOGXRCSA-N 0 0 438.554 -0.094 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001291191974 1073737237 /nfs/dbraw/zinc/73/72/37/1073737237.db2.gz BZDLVCDLFSYIHO-ZIAGYGMSSA-N 0 0 438.554 -0.094 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001291192181 1073737266 /nfs/dbraw/zinc/73/72/66/1073737266.db2.gz GEHIFVNFJLPNLV-APIJFGDWSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001291192182 1073737609 /nfs/dbraw/zinc/73/76/09/1073737609.db2.gz GEHIFVNFJLPNLV-CBBWQLFWSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001291192183 1073737740 /nfs/dbraw/zinc/73/77/40/1073737740.db2.gz GEHIFVNFJLPNLV-LJISPDSOSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001291192184 1073737745 /nfs/dbraw/zinc/73/77/45/1073737745.db2.gz GEHIFVNFJLPNLV-ZQDZILKHSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001291192649 1073737695 /nfs/dbraw/zinc/73/76/95/1073737695.db2.gz NUFQNRBQELCGDN-CABCVRRESA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001291192650 1073737649 /nfs/dbraw/zinc/73/76/49/1073737649.db2.gz NUFQNRBQELCGDN-GJZGRUSLSA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001291192651 1073737683 /nfs/dbraw/zinc/73/76/83/1073737683.db2.gz NUFQNRBQELCGDN-HUUCEWRRSA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001291192652 1073737617 /nfs/dbraw/zinc/73/76/17/1073737617.db2.gz NUFQNRBQELCGDN-LSDHHAIUSA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(Cc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001291192699 1073737736 /nfs/dbraw/zinc/73/77/36/1073737736.db2.gz OOVNWBOHSZSJJE-AUUYWEPGSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(Cc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001291192700 1073737611 /nfs/dbraw/zinc/73/76/11/1073737611.db2.gz OOVNWBOHSZSJJE-IFXJQAMLSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(Cc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001291192701 1073737655 /nfs/dbraw/zinc/73/76/55/1073737655.db2.gz OOVNWBOHSZSJJE-KUHUBIRLSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(Cc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001291192702 1073737640 /nfs/dbraw/zinc/73/76/40/1073737640.db2.gz OOVNWBOHSZSJJE-LIRRHRJNSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(Cc2ccncc2)n1CCOCCS(C)(=O)=O ZINC001291193119 1073737724 /nfs/dbraw/zinc/73/77/24/1073737724.db2.gz VRNSRNLKPODUQD-HNNXBMFYSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(Cc2ccncc2)n1CCOCCS(C)(=O)=O ZINC001291193120 1073737748 /nfs/dbraw/zinc/73/77/48/1073737748.db2.gz VRNSRNLKPODUQD-OAHLLOKOSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CC2(C(N)=O)CCOCC2)CC(=O)N1C ZINC001291195257 1073737626 /nfs/dbraw/zinc/73/76/26/1073737626.db2.gz NDKHJZGBSYLRBC-AWEZNQCLSA-N 0 0 433.513 -0.537 20 0 IBADRN C[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CC2(C(N)=O)CCOCC2)CC(=O)N1C ZINC001291195258 1073737664 /nfs/dbraw/zinc/73/76/64/1073737664.db2.gz NDKHJZGBSYLRBC-CQSZACIVSA-N 0 0 433.513 -0.537 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS[C@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001291205777 1073737700 /nfs/dbraw/zinc/73/77/00/1073737700.db2.gz NRVKXSQUHDQTKB-CHWSQXEVSA-N 0 0 432.572 -0.311 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS[C@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001291205778 1073737708 /nfs/dbraw/zinc/73/77/08/1073737708.db2.gz NRVKXSQUHDQTKB-OLZOCXBDSA-N 0 0 432.572 -0.311 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS[C@@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001291205779 1073737716 /nfs/dbraw/zinc/73/77/16/1073737716.db2.gz NRVKXSQUHDQTKB-QWHCGFSZSA-N 0 0 432.572 -0.311 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS[C@@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001291205780 1073737671 /nfs/dbraw/zinc/73/76/71/1073737671.db2.gz NRVKXSQUHDQTKB-STQMWFEESA-N 0 0 432.572 -0.311 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCCO[C@H](C)C2)n1CCC(=O)N1CCOCC1 ZINC001291206009 1073737752 /nfs/dbraw/zinc/73/77/52/1073737752.db2.gz QVGBNOMAMIERQW-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCCO[C@@H](C)C2)n1CCC(=O)N1CCOCC1 ZINC001291206010 1073738267 /nfs/dbraw/zinc/73/82/67/1073738267.db2.gz QVGBNOMAMIERQW-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCCO[C@H](C)C2)n1CCC(=O)N1CCOCC1 ZINC001291206011 1073738339 /nfs/dbraw/zinc/73/83/39/1073738339.db2.gz QVGBNOMAMIERQW-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCCO[C@@H](C)C2)n1CCC(=O)N1CCOCC1 ZINC001291206012 1073738131 /nfs/dbraw/zinc/73/81/31/1073738131.db2.gz QVGBNOMAMIERQW-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@H](C3CC3)C2)n1CCS(C)(=O)=O ZINC001291208302 1073738258 /nfs/dbraw/zinc/73/82/58/1073738258.db2.gz VUDVJTNDZIRYJO-BZPMIXESSA-N 0 0 435.572 -0.063 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@H](C3CC3)C2)n1CCS(C)(=O)=O ZINC001291208303 1073738290 /nfs/dbraw/zinc/73/82/90/1073738290.db2.gz VUDVJTNDZIRYJO-DYEKYZERSA-N 0 0 435.572 -0.063 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C3CC3)C2)n1CCS(C)(=O)=O ZINC001291208304 1073738331 /nfs/dbraw/zinc/73/83/31/1073738331.db2.gz VUDVJTNDZIRYJO-MBNYWOFBSA-N 0 0 435.572 -0.063 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C3CC3)C2)n1CCS(C)(=O)=O ZINC001291208305 1073738198 /nfs/dbraw/zinc/73/81/98/1073738198.db2.gz VUDVJTNDZIRYJO-YRGRVCCFSA-N 0 0 435.572 -0.063 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCCN2S(C)(=O)=O)CC(=O)N1C ZINC001291243626 1073738186 /nfs/dbraw/zinc/73/81/86/1073738186.db2.gz WYVZWCMHVWRJKQ-BFHYXJOUSA-N 0 0 439.542 -0.680 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCCN2S(C)(=O)=O)CC(=O)N1C ZINC001291243627 1073738143 /nfs/dbraw/zinc/73/81/43/1073738143.db2.gz WYVZWCMHVWRJKQ-MCIONIFRSA-N 0 0 439.542 -0.680 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCCN2S(C)(=O)=O)CC(=O)N1C ZINC001291243628 1073738247 /nfs/dbraw/zinc/73/82/47/1073738247.db2.gz WYVZWCMHVWRJKQ-MGPQQGTHSA-N 0 0 439.542 -0.680 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCCN2S(C)(=O)=O)CC(=O)N1C ZINC001291243629 1073738349 /nfs/dbraw/zinc/73/83/49/1073738349.db2.gz WYVZWCMHVWRJKQ-MJBXVCDLSA-N 0 0 439.542 -0.680 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)CC(=O)N1C ZINC001291253064 1073738314 /nfs/dbraw/zinc/73/83/14/1073738314.db2.gz CVLXFQZLBACLTC-CHWSQXEVSA-N 0 0 433.556 -0.718 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)CC(=O)N1C ZINC001291253065 1073738157 /nfs/dbraw/zinc/73/81/57/1073738157.db2.gz CVLXFQZLBACLTC-OLZOCXBDSA-N 0 0 433.556 -0.718 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)CC(=O)N1C ZINC001291253066 1073738360 /nfs/dbraw/zinc/73/83/60/1073738360.db2.gz CVLXFQZLBACLTC-QWHCGFSZSA-N 0 0 433.556 -0.718 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)CC(=O)N1C ZINC001291253067 1073738323 /nfs/dbraw/zinc/73/83/23/1073738323.db2.gz CVLXFQZLBACLTC-STQMWFEESA-N 0 0 433.556 -0.718 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(S(C)(=O)=O)CC2)CC(=O)N1C ZINC001291253700 1073738175 /nfs/dbraw/zinc/73/81/75/1073738175.db2.gz KWGBQEDHEFKUBJ-CHWSQXEVSA-N 0 0 445.567 -0.576 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(S(C)(=O)=O)CC2)CC(=O)N1C ZINC001291253701 1073738689 /nfs/dbraw/zinc/73/86/89/1073738689.db2.gz KWGBQEDHEFKUBJ-OLZOCXBDSA-N 0 0 445.567 -0.576 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(S(C)(=O)=O)CC2)CC(=O)N1C ZINC001291253702 1073738722 /nfs/dbraw/zinc/73/87/22/1073738722.db2.gz KWGBQEDHEFKUBJ-QWHCGFSZSA-N 0 0 445.567 -0.576 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(S(C)(=O)=O)CC2)CC(=O)N1C ZINC001291253703 1073738725 /nfs/dbraw/zinc/73/87/25/1073738725.db2.gz KWGBQEDHEFKUBJ-STQMWFEESA-N 0 0 445.567 -0.576 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)C[C@H](C)O1 ZINC001291254060 1073738707 /nfs/dbraw/zinc/73/87/07/1073738707.db2.gz PCRZNAKNVFVYKQ-HZSPNIEDSA-N 0 0 435.572 -0.315 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)C[C@@H](C)O1 ZINC001291254061 1073738757 /nfs/dbraw/zinc/73/87/57/1073738757.db2.gz PCRZNAKNVFVYKQ-MCIONIFRSA-N 0 0 435.572 -0.315 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)C[C@@H](C)O1 ZINC001291254062 1073738654 /nfs/dbraw/zinc/73/86/54/1073738654.db2.gz PCRZNAKNVFVYKQ-MGPQQGTHSA-N 0 0 435.572 -0.315 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)C[C@H](C)O1 ZINC001291254063 1073738769 /nfs/dbraw/zinc/73/87/69/1073738769.db2.gz PCRZNAKNVFVYKQ-MJBXVCDLSA-N 0 0 435.572 -0.315 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)CCCO1 ZINC001291256539 1073738730 /nfs/dbraw/zinc/73/87/30/1073738730.db2.gz WKGZSLLOUGQVSL-KFWWJZLASA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)CCCO1 ZINC001291256540 1073738763 /nfs/dbraw/zinc/73/87/63/1073738763.db2.gz WKGZSLLOUGQVSL-RBSFLKMASA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)CCCO1 ZINC001291256541 1073738685 /nfs/dbraw/zinc/73/86/85/1073738685.db2.gz WKGZSLLOUGQVSL-RRFJBIMHSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)CCCO1 ZINC001291256542 1073738712 /nfs/dbraw/zinc/73/87/12/1073738712.db2.gz WKGZSLLOUGQVSL-ZNMIVQPWSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)CCCO1 ZINC001291256579 1073738754 /nfs/dbraw/zinc/73/87/54/1073738754.db2.gz WTATWYIFGMFOIR-BZUAXINKSA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)CCCO1 ZINC001291256580 1073738717 /nfs/dbraw/zinc/73/87/17/1073738717.db2.gz WTATWYIFGMFOIR-OAGGEKHMSA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCCO1 ZINC001291256581 1073738665 /nfs/dbraw/zinc/73/86/65/1073738665.db2.gz WTATWYIFGMFOIR-OWCLPIDISA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCCO1 ZINC001291256582 1073738696 /nfs/dbraw/zinc/73/86/96/1073738696.db2.gz WTATWYIFGMFOIR-PMPSAXMXSA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCO1 ZINC001291256611 1073738751 /nfs/dbraw/zinc/73/87/51/1073738751.db2.gz XEIIGWKNSQCUCL-ILXRZTDVSA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCO1 ZINC001291256612 1073738681 /nfs/dbraw/zinc/73/86/81/1073738681.db2.gz XEIIGWKNSQCUCL-KKUMJFAQSA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCO1 ZINC001291256613 1073738700 /nfs/dbraw/zinc/73/87/00/1073738700.db2.gz XEIIGWKNSQCUCL-QLFBSQMISA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCO1 ZINC001291256614 1073738677 /nfs/dbraw/zinc/73/86/77/1073738677.db2.gz XEIIGWKNSQCUCL-SOUVJXGZSA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3ccncc3)n2CCNS(C)(=O)=O)C[C@@H]1N1CCOCC1 ZINC001291267796 1073738735 /nfs/dbraw/zinc/73/87/35/1073738735.db2.gz LFPLAAGKMCLEIO-AEFFLSMTSA-N 0 0 449.581 -0.030 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccncc3)n2CCNS(C)(=O)=O)C[C@H]1N1CCOCC1 ZINC001291267797 1073739141 /nfs/dbraw/zinc/73/91/41/1073739141.db2.gz LFPLAAGKMCLEIO-FUHWJXTLSA-N 0 0 449.581 -0.030 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3ccncc3)n2CCNS(C)(=O)=O)C[C@H]1N1CCOCC1 ZINC001291267798 1073739254 /nfs/dbraw/zinc/73/92/54/1073739254.db2.gz LFPLAAGKMCLEIO-SJLPKXTDSA-N 0 0 449.581 -0.030 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccncc3)n2CCNS(C)(=O)=O)C[C@@H]1N1CCOCC1 ZINC001291267799 1073739156 /nfs/dbraw/zinc/73/91/56/1073739156.db2.gz LFPLAAGKMCLEIO-WMZOPIPTSA-N 0 0 449.581 -0.030 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC2(CS(C)(=O)=O)CC2)CC(=O)N1C ZINC001291276419 1073739270 /nfs/dbraw/zinc/73/92/70/1073739270.db2.gz LRYHPWDUOHAVOI-AWEZNQCLSA-N 0 0 438.554 -0.108 20 0 IBADRN C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC2(CS(C)(=O)=O)CC2)CC(=O)N1C ZINC001291276420 1073739282 /nfs/dbraw/zinc/73/92/82/1073739282.db2.gz LRYHPWDUOHAVOI-CQSZACIVSA-N 0 0 438.554 -0.108 20 0 IBADRN C[C@@H]1COCCN1c1nnc(C(=O)Nc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001291300029 1073739232 /nfs/dbraw/zinc/73/92/32/1073739232.db2.gz HRSHEHVWZYCVFU-ACJLOTCBSA-N 0 0 436.494 -0.300 20 0 IBADRN C[C@@H]1COCCN1c1nnc(C(=O)Nc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001291300030 1073739223 /nfs/dbraw/zinc/73/92/23/1073739223.db2.gz HRSHEHVWZYCVFU-FZKQIMNGSA-N 0 0 436.494 -0.300 20 0 IBADRN C[C@H]1COCCN1c1nnc(C(=O)Nc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001291300031 1073739211 /nfs/dbraw/zinc/73/92/11/1073739211.db2.gz HRSHEHVWZYCVFU-SCLBCKFNSA-N 0 0 436.494 -0.300 20 0 IBADRN C[C@H]1COCCN1c1nnc(C(=O)Nc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001291300032 1073739289 /nfs/dbraw/zinc/73/92/89/1073739289.db2.gz HRSHEHVWZYCVFU-UGSOOPFHSA-N 0 0 436.494 -0.300 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCCC(=O)N(C)C ZINC001291303925 1073739296 /nfs/dbraw/zinc/73/92/96/1073739296.db2.gz CTCPYGWBMOALMZ-CABCVRRESA-N 0 0 444.558 -0.296 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCCC(=O)N(C)C ZINC001291303926 1073739110 /nfs/dbraw/zinc/73/91/10/1073739110.db2.gz CTCPYGWBMOALMZ-GJZGRUSLSA-N 0 0 444.558 -0.296 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCCC(=O)N(C)C ZINC001291303927 1073739248 /nfs/dbraw/zinc/73/92/48/1073739248.db2.gz CTCPYGWBMOALMZ-HUUCEWRRSA-N 0 0 444.558 -0.296 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCCC(=O)N(C)C ZINC001291303928 1073739170 /nfs/dbraw/zinc/73/91/70/1073739170.db2.gz CTCPYGWBMOALMZ-LSDHHAIUSA-N 0 0 444.558 -0.296 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCC(=O)N(C)C ZINC001291304387 1073739242 /nfs/dbraw/zinc/73/92/42/1073739242.db2.gz KZWJXDISVGOXML-KBPBESRZSA-N 0 0 430.531 -0.686 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCC(=O)N(C)C ZINC001291304388 1073739201 /nfs/dbraw/zinc/73/92/01/1073739201.db2.gz KZWJXDISVGOXML-KGLIPLIRSA-N 0 0 430.531 -0.686 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCC(=O)N(C)C ZINC001291304389 1073739304 /nfs/dbraw/zinc/73/93/04/1073739304.db2.gz KZWJXDISVGOXML-UONOGXRCSA-N 0 0 430.531 -0.686 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCC(=O)N(C)C ZINC001291304390 1073739126 /nfs/dbraw/zinc/73/91/26/1073739126.db2.gz KZWJXDISVGOXML-ZIAGYGMSSA-N 0 0 430.531 -0.686 20 0 IBADRN C[C@H]1COCCN1c1nnc(CN2CCCC2=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001291306375 1073739311 /nfs/dbraw/zinc/73/93/11/1073739311.db2.gz XXNCPUFESHNUSP-AWEZNQCLSA-N 0 0 427.527 -0.435 20 0 IBADRN C[C@@H]1COCCN1c1nnc(CN2CCCC2=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001291306376 1073739177 /nfs/dbraw/zinc/73/91/77/1073739177.db2.gz XXNCPUFESHNUSP-CQSZACIVSA-N 0 0 427.527 -0.435 20 0 IBADRN C[C@H]1COCCN1CCn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001291306783 1073739191 /nfs/dbraw/zinc/73/91/91/1073739191.db2.gz GQWLCIYDRHRHRH-HNNXBMFYSA-N 0 0 443.574 -0.780 20 0 IBADRN C[C@@H]1COCCN1CCn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001291306784 1073739826 /nfs/dbraw/zinc/73/98/26/1073739826.db2.gz GQWLCIYDRHRHRH-OAHLLOKOSA-N 0 0 443.574 -0.780 20 0 IBADRN C[C@H]1COCCN1CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C)C(=O)C1 ZINC001291306807 1073739723 /nfs/dbraw/zinc/73/97/23/1073739723.db2.gz GZZLUHGQPOPEFM-HNNXBMFYSA-N 0 0 428.497 -0.076 20 0 IBADRN C[C@@H]1COCCN1CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C)C(=O)C1 ZINC001291306808 1073739846 /nfs/dbraw/zinc/73/98/46/1073739846.db2.gz GZZLUHGQPOPEFM-OAHLLOKOSA-N 0 0 428.497 -0.076 20 0 IBADRN C[C@@H]1COCCN1CCn1c(C(=O)N2CCCC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001291306867 1073739760 /nfs/dbraw/zinc/73/97/60/1073739760.db2.gz IURDBSGIGPXQDC-CVEARBPZSA-N 0 0 440.570 -0.142 20 0 IBADRN C[C@H]1COCCN1CCn1c(C(=O)N2CCCC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001291306868 1073739871 /nfs/dbraw/zinc/73/98/71/1073739871.db2.gz IURDBSGIGPXQDC-HOTGVXAUSA-N 0 0 440.570 -0.142 20 0 IBADRN C[C@@H]1COCCN1CCn1c(C(=O)N2CCCC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001291306869 1073739668 /nfs/dbraw/zinc/73/96/68/1073739668.db2.gz IURDBSGIGPXQDC-HZPDHXFCSA-N 0 0 440.570 -0.142 20 0 IBADRN C[C@H]1COCCN1CCn1c(C(=O)N2CCCC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001291306870 1073739766 /nfs/dbraw/zinc/73/97/66/1073739766.db2.gz IURDBSGIGPXQDC-JKSUJKDBSA-N 0 0 440.570 -0.142 20 0 IBADRN C[C@@H]1COCCN1CCn1c(C(=O)Nc2ccccn2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001291307677 1073739842 /nfs/dbraw/zinc/73/98/42/1073739842.db2.gz ZHNGYDCQGGTIPV-CABCVRRESA-N 0 0 428.497 -0.042 20 0 IBADRN C[C@H]1COCCN1CCn1c(C(=O)Nc2ccccn2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001291307678 1073739862 /nfs/dbraw/zinc/73/98/62/1073739862.db2.gz ZHNGYDCQGGTIPV-GJZGRUSLSA-N 0 0 428.497 -0.042 20 0 IBADRN C[C@@H]1COCCN1CCn1c(C(=O)Nc2ccccn2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001291307679 1073739677 /nfs/dbraw/zinc/73/96/77/1073739677.db2.gz ZHNGYDCQGGTIPV-HUUCEWRRSA-N 0 0 428.497 -0.042 20 0 IBADRN C[C@H]1COCCN1CCn1c(C(=O)Nc2ccccn2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001291307680 1073739832 /nfs/dbraw/zinc/73/98/32/1073739832.db2.gz ZHNGYDCQGGTIPV-LSDHHAIUSA-N 0 0 428.497 -0.042 20 0 IBADRN C[C@H]1COCCN1CCn1c(-c2cnccn2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001291308485 1073739806 /nfs/dbraw/zinc/73/98/06/1073739806.db2.gz NZVKBKUPXWXAOH-HNNXBMFYSA-N 0 0 430.513 -0.074 20 0 IBADRN C[C@@H]1COCCN1CCn1c(-c2cnccn2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001291308486 1073739709 /nfs/dbraw/zinc/73/97/09/1073739709.db2.gz NZVKBKUPXWXAOH-OAHLLOKOSA-N 0 0 430.513 -0.074 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001291308551 1073739876 /nfs/dbraw/zinc/73/98/76/1073739876.db2.gz PEXILGUKPWGXDS-CVEARBPZSA-N 0 0 444.558 -0.832 20 0 IBADRN C[C@H]1COCCN1CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001291308552 1073739726 /nfs/dbraw/zinc/73/97/26/1073739726.db2.gz PEXILGUKPWGXDS-HOTGVXAUSA-N 0 0 444.558 -0.832 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001291308553 1073739745 /nfs/dbraw/zinc/73/97/45/1073739745.db2.gz PEXILGUKPWGXDS-HZPDHXFCSA-N 0 0 444.558 -0.832 20 0 IBADRN C[C@H]1COCCN1CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001291308554 1073739686 /nfs/dbraw/zinc/73/96/86/1073739686.db2.gz PEXILGUKPWGXDS-JKSUJKDBSA-N 0 0 444.558 -0.832 20 0 IBADRN C[C@H]1COCCN1CCn1c(CN2CCCC2=O)nnc1N(C)CCS(C)(=O)=O ZINC001291309341 1073739701 /nfs/dbraw/zinc/73/97/01/1073739701.db2.gz DUURLTPOCFUFRT-HNNXBMFYSA-N 0 0 428.559 -0.398 20 0 IBADRN C[C@@H]1COCCN1CCn1c(CN2CCCC2=O)nnc1N(C)CCS(C)(=O)=O ZINC001291309342 1073739856 /nfs/dbraw/zinc/73/98/56/1073739856.db2.gz DUURLTPOCFUFRT-OAHLLOKOSA-N 0 0 428.559 -0.398 20 0 IBADRN C[C@H]1COCCN1CCn1c(CN2CCCC2=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001291310197 1073739784 /nfs/dbraw/zinc/73/97/84/1073739784.db2.gz WDEIWKWUGKLAKE-KRWDZBQOSA-N 0 0 449.556 -0.584 20 0 IBADRN C[C@@H]1COCCN1CCn1c(CN2CCCC2=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001291310198 1073740330 /nfs/dbraw/zinc/74/03/30/1073740330.db2.gz WDEIWKWUGKLAKE-QGZVFWFLSA-N 0 0 449.556 -0.584 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001291320919 1073740357 /nfs/dbraw/zinc/74/03/57/1073740357.db2.gz CFXKOQIAANMZRZ-DEYYWGMASA-N 0 0 427.527 -0.323 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001291320920 1073740284 /nfs/dbraw/zinc/74/02/84/1073740284.db2.gz CFXKOQIAANMZRZ-IYOUNJFTSA-N 0 0 427.527 -0.323 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001291320921 1073740362 /nfs/dbraw/zinc/74/03/62/1073740362.db2.gz CFXKOQIAANMZRZ-PMUMKWKESA-N 0 0 427.527 -0.323 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001291320922 1073740380 /nfs/dbraw/zinc/74/03/80/1073740380.db2.gz CFXKOQIAANMZRZ-SUNYJGFJSA-N 0 0 427.527 -0.323 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c(CN2CCCC2=O)nnc1N(C)CCCS(C)(=O)=O ZINC001291323255 1073740352 /nfs/dbraw/zinc/74/03/52/1073740352.db2.gz IRSWVIDFWKFWAL-KBXCAEBGSA-N 0 0 429.543 -0.189 20 0 IBADRN C[C@H]1OCC[C@]1(O)Cn1c(CN2CCCC2=O)nnc1N(C)CCCS(C)(=O)=O ZINC001291323256 1073740304 /nfs/dbraw/zinc/74/03/04/1073740304.db2.gz IRSWVIDFWKFWAL-KDOFPFPSSA-N 0 0 429.543 -0.189 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c(CN2CCCC2=O)nnc1N(C)CCCS(C)(=O)=O ZINC001291323257 1073740313 /nfs/dbraw/zinc/74/03/13/1073740313.db2.gz IRSWVIDFWKFWAL-KSSFIOAISA-N 0 0 429.543 -0.189 20 0 IBADRN C[C@H]1OCC[C@@]1(O)Cn1c(CN2CCCC2=O)nnc1N(C)CCCS(C)(=O)=O ZINC001291323258 1073740240 /nfs/dbraw/zinc/74/02/40/1073740240.db2.gz IRSWVIDFWKFWAL-RDTXWAMCSA-N 0 0 429.543 -0.189 20 0 IBADRN C[C@@H]1OCC[C@]12CN(c1nnc([C@@H]3CCC(=O)N3)n1CCCNS(C)(=O)=O)CCO2 ZINC001291325465 1073740368 /nfs/dbraw/zinc/74/03/68/1073740368.db2.gz JLSSWGBEDKWQPL-DEYYWGMASA-N 0 0 442.542 -0.447 20 0 IBADRN C[C@@H]1OCC[C@]12CN(c1nnc([C@H]3CCC(=O)N3)n1CCCNS(C)(=O)=O)CCO2 ZINC001291325466 1073740373 /nfs/dbraw/zinc/74/03/73/1073740373.db2.gz JLSSWGBEDKWQPL-IYOUNJFTSA-N 0 0 442.542 -0.447 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(c1nnc([C@H]3CCC(=O)N3)n1CCCNS(C)(=O)=O)CCO2 ZINC001291325467 1073740294 /nfs/dbraw/zinc/74/02/94/1073740294.db2.gz JLSSWGBEDKWQPL-PMUMKWKESA-N 0 0 442.542 -0.447 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(c1nnc([C@@H]3CCC(=O)N3)n1CCCNS(C)(=O)=O)CCO2 ZINC001291325468 1073740386 /nfs/dbraw/zinc/74/03/86/1073740386.db2.gz JLSSWGBEDKWQPL-SUNYJGFJSA-N 0 0 442.542 -0.447 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(c1nnc(C(=O)N3CCCC3)n1CCS(C)(=O)=O)CCO2 ZINC001291326473 1073740265 /nfs/dbraw/zinc/74/02/65/1073740265.db2.gz WQGDHXOUGIEDCI-KBXCAEBGSA-N 0 0 427.527 -0.057 20 0 IBADRN C[C@H]1OCC[C@]12CN(c1nnc(C(=O)N3CCCC3)n1CCS(C)(=O)=O)CCO2 ZINC001291326474 1073740255 /nfs/dbraw/zinc/74/02/55/1073740255.db2.gz WQGDHXOUGIEDCI-KDOFPFPSSA-N 0 0 427.527 -0.057 20 0 IBADRN C[C@@H]1OCC[C@]12CN(c1nnc(C(=O)N3CCCC3)n1CCS(C)(=O)=O)CCO2 ZINC001291326475 1073740394 /nfs/dbraw/zinc/74/03/94/1073740394.db2.gz WQGDHXOUGIEDCI-KSSFIOAISA-N 0 0 427.527 -0.057 20 0 IBADRN C[C@H]1OCC[C@@]12CN(c1nnc(C(=O)N3CCCC3)n1CCS(C)(=O)=O)CCO2 ZINC001291326476 1073740218 /nfs/dbraw/zinc/74/02/18/1073740218.db2.gz WQGDHXOUGIEDCI-RDTXWAMCSA-N 0 0 427.527 -0.057 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(c1nnc(CN3CCCC3=O)n1CCS(C)(=O)=O)CCO2 ZINC001291326609 1073740272 /nfs/dbraw/zinc/74/02/72/1073740272.db2.gz YBORAWISIUEHCP-KBXCAEBGSA-N 0 0 427.527 -0.171 20 0 IBADRN C[C@H]1OCC[C@]12CN(c1nnc(CN3CCCC3=O)n1CCS(C)(=O)=O)CCO2 ZINC001291326610 1073740231 /nfs/dbraw/zinc/74/02/31/1073740231.db2.gz YBORAWISIUEHCP-KDOFPFPSSA-N 0 0 427.527 -0.171 20 0 IBADRN C[C@@H]1OCC[C@]12CN(c1nnc(CN3CCCC3=O)n1CCS(C)(=O)=O)CCO2 ZINC001291326611 1073740322 /nfs/dbraw/zinc/74/03/22/1073740322.db2.gz YBORAWISIUEHCP-KSSFIOAISA-N 0 0 427.527 -0.171 20 0 IBADRN C[C@H]1OCC[C@@]12CN(c1nnc(CN3CCCC3=O)n1CCS(C)(=O)=O)CCO2 ZINC001291326612 1073740863 /nfs/dbraw/zinc/74/08/63/1073740863.db2.gz YBORAWISIUEHCP-RDTXWAMCSA-N 0 0 427.527 -0.171 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)C1 ZINC001291342617 1073740811 /nfs/dbraw/zinc/74/08/11/1073740811.db2.gz XKXZTWXGOKENBR-CHWSQXEVSA-N 0 0 427.531 -0.727 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)C1 ZINC001291342618 1073740804 /nfs/dbraw/zinc/74/08/04/1073740804.db2.gz XKXZTWXGOKENBR-OLZOCXBDSA-N 0 0 427.531 -0.727 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)C1 ZINC001291342619 1073740911 /nfs/dbraw/zinc/74/09/11/1073740911.db2.gz XKXZTWXGOKENBR-QWHCGFSZSA-N 0 0 427.531 -0.727 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)C1 ZINC001291342620 1073740816 /nfs/dbraw/zinc/74/08/16/1073740816.db2.gz XKXZTWXGOKENBR-STQMWFEESA-N 0 0 427.531 -0.727 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)C1 ZINC001291343325 1073740885 /nfs/dbraw/zinc/74/08/85/1073740885.db2.gz KOVZKUCJGMMTOW-KBPBESRZSA-N 0 0 436.542 -0.148 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)C1 ZINC001291343326 1073740826 /nfs/dbraw/zinc/74/08/26/1073740826.db2.gz KOVZKUCJGMMTOW-KGLIPLIRSA-N 0 0 436.542 -0.148 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)C1 ZINC001291343327 1073740791 /nfs/dbraw/zinc/74/07/91/1073740791.db2.gz KOVZKUCJGMMTOW-UONOGXRCSA-N 0 0 436.542 -0.148 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)C1 ZINC001291343328 1073740798 /nfs/dbraw/zinc/74/07/98/1073740798.db2.gz KOVZKUCJGMMTOW-ZIAGYGMSSA-N 0 0 436.542 -0.148 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C1 ZINC001291343345 1073740770 /nfs/dbraw/zinc/74/07/70/1073740770.db2.gz LDOORNAWXVJYRK-KBPBESRZSA-N 0 0 426.543 -0.237 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C1 ZINC001291343346 1073740821 /nfs/dbraw/zinc/74/08/21/1073740821.db2.gz LDOORNAWXVJYRK-KGLIPLIRSA-N 0 0 426.543 -0.237 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C1 ZINC001291343347 1073740912 /nfs/dbraw/zinc/74/09/12/1073740912.db2.gz LDOORNAWXVJYRK-UONOGXRCSA-N 0 0 426.543 -0.237 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C1 ZINC001291343348 1073740785 /nfs/dbraw/zinc/74/07/85/1073740785.db2.gz LDOORNAWXVJYRK-ZIAGYGMSSA-N 0 0 426.543 -0.237 20 0 IBADRN CCC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001291349705 1073740893 /nfs/dbraw/zinc/74/08/93/1073740893.db2.gz WECSUYPYRXCFNU-CHWSQXEVSA-N 0 0 441.558 -0.529 20 0 IBADRN CCC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001291349706 1073740847 /nfs/dbraw/zinc/74/08/47/1073740847.db2.gz WECSUYPYRXCFNU-OLZOCXBDSA-N 0 0 441.558 -0.529 20 0 IBADRN CCC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001291349707 1073740903 /nfs/dbraw/zinc/74/09/03/1073740903.db2.gz WECSUYPYRXCFNU-QWHCGFSZSA-N 0 0 441.558 -0.529 20 0 IBADRN CCC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001291349708 1073740897 /nfs/dbraw/zinc/74/08/97/1073740897.db2.gz WECSUYPYRXCFNU-STQMWFEESA-N 0 0 441.558 -0.529 20 0 IBADRN CC[C@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001291358628 1073740869 /nfs/dbraw/zinc/74/08/69/1073740869.db2.gz PWSRBQQXUUGYTM-FQEVSTJZSA-N 0 0 437.545 -0.250 20 0 IBADRN CC[C@@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001291358629 1073740835 /nfs/dbraw/zinc/74/08/35/1073740835.db2.gz PWSRBQQXUUGYTM-HXUWFJFHSA-N 0 0 437.545 -0.250 20 0 IBADRN CC[C@](C)(O)Cn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001291368265 1073740877 /nfs/dbraw/zinc/74/08/77/1073740877.db2.gz KFLWSLVBFZFLSK-NRFANRHFSA-N 0 0 445.524 -0.058 20 0 IBADRN CC[C@@](C)(O)Cn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001291368266 1073741419 /nfs/dbraw/zinc/74/14/19/1073741419.db2.gz KFLWSLVBFZFLSK-OAQYLSRUSA-N 0 0 445.524 -0.058 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001291369089 1073741411 /nfs/dbraw/zinc/74/14/11/1073741411.db2.gz AAOHGIJGWLIJJU-JLTOFOAXSA-N 0 0 433.513 -0.683 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001291369090 1073741350 /nfs/dbraw/zinc/74/13/50/1073741350.db2.gz AAOHGIJGWLIJJU-VBKZILBWSA-N 0 0 433.513 -0.683 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001291369091 1073741482 /nfs/dbraw/zinc/74/14/82/1073741482.db2.gz AAOHGIJGWLIJJU-VLIAUNLRSA-N 0 0 433.513 -0.683 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001291369092 1073741432 /nfs/dbraw/zinc/74/14/32/1073741432.db2.gz AAOHGIJGWLIJJU-XOBRGWDASA-N 0 0 433.513 -0.683 20 0 IBADRN CC[C@](C)(O)Cn1c(CC2CC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001291375499 1073741385 /nfs/dbraw/zinc/74/13/85/1073741385.db2.gz DKECRZZHWIVNJB-FQEVSTJZSA-N 0 0 432.529 -0.142 20 0 IBADRN CC[C@@](C)(O)Cn1c(CC2CC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001291375500 1073741343 /nfs/dbraw/zinc/74/13/43/1073741343.db2.gz DKECRZZHWIVNJB-HXUWFJFHSA-N 0 0 432.529 -0.142 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCC(=O)N(C)C ZINC001291576965 1073741360 /nfs/dbraw/zinc/74/13/60/1073741360.db2.gz DBSYQCQBFAWXIB-INIZCTEOSA-N 0 0 449.556 -0.223 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCC(=O)N(C)C ZINC001291576981 1073741439 /nfs/dbraw/zinc/74/14/39/1073741439.db2.gz DBSYQCQBFAWXIB-MRXNPFEDSA-N 0 0 449.556 -0.223 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1nncn1C ZINC001291578378 1073741467 /nfs/dbraw/zinc/74/14/67/1073741467.db2.gz MMRZNOZEWIABOE-HNNXBMFYSA-N 0 0 445.528 -0.524 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1nncn1C ZINC001291578382 1073741493 /nfs/dbraw/zinc/74/14/93/1073741493.db2.gz MMRZNOZEWIABOE-OAHLLOKOSA-N 0 0 445.528 -0.524 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC(C)(C)C(=O)NC ZINC001291582759 1073741487 /nfs/dbraw/zinc/74/14/87/1073741487.db2.gz LMBVUHKWXCCXJN-AWEZNQCLSA-N 0 0 432.533 -0.101 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC(C)(C)C(=O)NC ZINC001291582760 1073741370 /nfs/dbraw/zinc/74/13/70/1073741370.db2.gz LMBVUHKWXCCXJN-CQSZACIVSA-N 0 0 432.533 -0.101 20 0 IBADRN CO[C@]1(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CCS(=O)(=O)C1 ZINC001291596549 1073741454 /nfs/dbraw/zinc/74/14/54/1073741454.db2.gz MZIFMUCALIUSFP-CRAIPNDOSA-N 0 0 446.547 -0.036 20 0 IBADRN CO[C@]1(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CCS(=O)(=O)C1 ZINC001291596550 1073741392 /nfs/dbraw/zinc/74/13/92/1073741392.db2.gz MZIFMUCALIUSFP-MAUKXSAKSA-N 0 0 446.547 -0.036 20 0 IBADRN CO[C@@]1(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CCS(=O)(=O)C1 ZINC001291596551 1073741425 /nfs/dbraw/zinc/74/14/25/1073741425.db2.gz MZIFMUCALIUSFP-QAPCUYQASA-N 0 0 446.547 -0.036 20 0 IBADRN CO[C@@]1(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CCS(=O)(=O)C1 ZINC001291596552 1073741477 /nfs/dbraw/zinc/74/14/77/1073741477.db2.gz MZIFMUCALIUSFP-YJBOKZPZSA-N 0 0 446.547 -0.036 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(CC(=O)NC(C)C)CC2)n1CCS(=O)(=O)NC ZINC001291627266 1073741460 /nfs/dbraw/zinc/74/14/60/1073741460.db2.gz LNSKFKXEXCDIMY-HNNXBMFYSA-N 0 0 429.591 -0.013 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(CC(=O)NC(C)C)CC2)n1CCS(=O)(=O)NC ZINC001291627268 1073741446 /nfs/dbraw/zinc/74/14/46/1073741446.db2.gz LNSKFKXEXCDIMY-OAHLLOKOSA-N 0 0 429.591 -0.013 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCCNS(C)(=O)=O ZINC001291627353 1073741322 /nfs/dbraw/zinc/74/13/22/1073741322.db2.gz LVEHDCROYOHDMI-HNNXBMFYSA-N 0 0 429.591 -0.059 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCCNS(C)(=O)=O ZINC001291627367 1073741851 /nfs/dbraw/zinc/74/18/51/1073741851.db2.gz LVEHDCROYOHDMI-OAHLLOKOSA-N 0 0 429.591 -0.059 20 0 IBADRN C=CC(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC001291639697 1073741876 /nfs/dbraw/zinc/74/18/76/1073741876.db2.gz QXZLWQBUCORWEK-UHFFFAOYSA-N 0 0 443.547 -0.124 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCC(=O)O2)CC1 ZINC001291640137 1073741974 /nfs/dbraw/zinc/74/19/74/1073741974.db2.gz XWSGQQQBDQJTFO-CQSZACIVSA-N 0 0 430.504 -0.063 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCOCCS(C)(=O)=O ZINC001291644564 1073741913 /nfs/dbraw/zinc/74/19/13/1073741913.db2.gz RJTGLYHVXTUKIX-AWEZNQCLSA-N 0 0 437.588 -0.066 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCOCCS(C)(=O)=O ZINC001291644565 1073741902 /nfs/dbraw/zinc/74/19/02/1073741902.db2.gz RJTGLYHVXTUKIX-CQSZACIVSA-N 0 0 437.588 -0.066 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001291647562 1073741925 /nfs/dbraw/zinc/74/19/25/1073741925.db2.gz DNAPXVZULNAQGY-KKUMJFAQSA-N 0 0 438.554 -0.237 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001291647575 1073741798 /nfs/dbraw/zinc/74/17/98/1073741798.db2.gz DNAPXVZULNAQGY-RRFJBIMHSA-N 0 0 438.554 -0.237 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001291647577 1073741842 /nfs/dbraw/zinc/74/18/42/1073741842.db2.gz DNAPXVZULNAQGY-SOUVJXGZSA-N 0 0 438.554 -0.237 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001291647579 1073741939 /nfs/dbraw/zinc/74/19/39/1073741939.db2.gz DNAPXVZULNAQGY-ZNMIVQPWSA-N 0 0 438.554 -0.237 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCCCS1(=O)=O ZINC001291649763 1073741968 /nfs/dbraw/zinc/74/19/68/1073741968.db2.gz IWFXXDVMUMTPDY-KKUMJFAQSA-N 0 0 438.554 -0.094 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCCCS1(=O)=O ZINC001291649767 1073741831 /nfs/dbraw/zinc/74/18/31/1073741831.db2.gz IWFXXDVMUMTPDY-RRFJBIMHSA-N 0 0 438.554 -0.094 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCCCS1(=O)=O ZINC001291649769 1073741931 /nfs/dbraw/zinc/74/19/31/1073741931.db2.gz IWFXXDVMUMTPDY-SOUVJXGZSA-N 0 0 438.554 -0.094 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCCS1(=O)=O ZINC001291649771 1073741947 /nfs/dbraw/zinc/74/19/47/1073741947.db2.gz IWFXXDVMUMTPDY-ZNMIVQPWSA-N 0 0 438.554 -0.094 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001291652598 1073741859 /nfs/dbraw/zinc/74/18/59/1073741859.db2.gz UPWXAXGHKISKCM-DEYYWGMASA-N 0 0 426.543 -0.103 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001291652599 1073741868 /nfs/dbraw/zinc/74/18/68/1073741868.db2.gz UPWXAXGHKISKCM-IYOUNJFTSA-N 0 0 426.543 -0.103 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001291652600 1073741811 /nfs/dbraw/zinc/74/18/11/1073741811.db2.gz UPWXAXGHKISKCM-PMUMKWKESA-N 0 0 426.543 -0.103 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001291652601 1073741770 /nfs/dbraw/zinc/74/17/70/1073741770.db2.gz UPWXAXGHKISKCM-SUNYJGFJSA-N 0 0 426.543 -0.103 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CCCC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001291652901 1073741819 /nfs/dbraw/zinc/74/18/19/1073741819.db2.gz DUBQSDWPEIOKQZ-AUUYWEPGSA-N 0 0 430.505 -0.069 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CCCC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001291652903 1073741887 /nfs/dbraw/zinc/74/18/87/1073741887.db2.gz DUBQSDWPEIOKQZ-IFXJQAMLSA-N 0 0 430.505 -0.069 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CCCC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001291652905 1073741784 /nfs/dbraw/zinc/74/17/84/1073741784.db2.gz DUBQSDWPEIOKQZ-KUHUBIRLSA-N 0 0 430.505 -0.069 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CCCC1)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001291652907 1073742514 /nfs/dbraw/zinc/74/25/14/1073742514.db2.gz DUBQSDWPEIOKQZ-LIRRHRJNSA-N 0 0 430.505 -0.069 20 0 IBADRN CC[C@H](C)c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001291672060 1073742423 /nfs/dbraw/zinc/74/24/23/1073742423.db2.gz PALOJCQMDCEPOC-DHSIGJKJSA-N 0 0 429.543 -0.318 20 0 IBADRN CC[C@H](C)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001291672061 1073742507 /nfs/dbraw/zinc/74/25/07/1073742507.db2.gz PALOJCQMDCEPOC-JCKWVBRZSA-N 0 0 429.543 -0.318 20 0 IBADRN CC[C@H](C)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001291672062 1073742561 /nfs/dbraw/zinc/74/25/61/1073742561.db2.gz PALOJCQMDCEPOC-JOQOYGCGSA-N 0 0 429.543 -0.318 20 0 IBADRN CC[C@H](C)c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001291672063 1073742411 /nfs/dbraw/zinc/74/24/11/1073742411.db2.gz PALOJCQMDCEPOC-YEWWUXTCSA-N 0 0 429.543 -0.318 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001291702612 1073742568 /nfs/dbraw/zinc/74/25/68/1073742568.db2.gz GMUVHTHHKDBEJU-DZGCQCFKSA-N 0 0 433.552 -0.110 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cc1 ZINC001291702613 1073742619 /nfs/dbraw/zinc/74/26/19/1073742619.db2.gz GMUVHTHHKDBEJU-HIFRSBDPSA-N 0 0 433.552 -0.110 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001291702614 1073742459 /nfs/dbraw/zinc/74/24/59/1073742459.db2.gz GMUVHTHHKDBEJU-UKRRQHHQSA-N 0 0 433.552 -0.110 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2CNS(C)(=O)=O)cc1 ZINC001291702615 1073742498 /nfs/dbraw/zinc/74/24/98/1073742498.db2.gz GMUVHTHHKDBEJU-ZFWWWQNUSA-N 0 0 433.552 -0.110 20 0 IBADRN CCCc1ccc(S(=O)(=O)N2CCN(C(=O)CNS(=O)(=O)N(C)C)CC2)cc1 ZINC001291720902 1073742366 /nfs/dbraw/zinc/74/23/66/1073742366.db2.gz BDPJORRAPVXBGN-UHFFFAOYSA-N 0 0 432.568 -0.132 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)Nc2ccc3c(c2)N(S(N)(=O)=O)CC3)[C@H]1c1nccn1C ZINC001291769621 1073742637 /nfs/dbraw/zinc/74/26/37/1073742637.db2.gz JYGPCTNEKKWAGV-IRXDYDNUSA-N 0 0 448.505 -0.087 20 0 IBADRN O=C(c1c2c(ccc1F)OCO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291776771 1073742348 /nfs/dbraw/zinc/74/23/48/1073742348.db2.gz APFRXDVVXJSDRS-LLVKDONJSA-N 0 0 434.467 -0.171 20 0 IBADRN O=C(c1c2c(ccc1F)OCO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291776772 1073743051 /nfs/dbraw/zinc/74/30/51/1073743051.db2.gz APFRXDVVXJSDRS-NSHDSACASA-N 0 0 434.467 -0.171 20 0 IBADRN Cc1cc(C)c(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(=O)[nH]1 ZINC001291776796 1073742973 /nfs/dbraw/zinc/74/29/73/1073742973.db2.gz AXIHLOZKKPZVFG-AWEZNQCLSA-N 0 0 431.536 -0.392 20 0 IBADRN Cc1cc(C)c(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(=O)[nH]1 ZINC001291776801 1073742948 /nfs/dbraw/zinc/74/29/48/1073742948.db2.gz AXIHLOZKKPZVFG-CQSZACIVSA-N 0 0 431.536 -0.392 20 0 IBADRN O=C(c1cccc2nsnc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291776872 1073742962 /nfs/dbraw/zinc/74/29/62/1073742962.db2.gz BVIUSNGTXKVCJQ-LLVKDONJSA-N 0 0 430.533 -0.034 20 0 IBADRN O=C(c1cccc2nsnc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291776873 1073743133 /nfs/dbraw/zinc/74/31/33/1073743133.db2.gz BVIUSNGTXKVCJQ-NSHDSACASA-N 0 0 430.533 -0.034 20 0 IBADRN CN1CCC[C@H]1c1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001291777102 1073743187 /nfs/dbraw/zinc/74/31/87/1073743187.db2.gz DXOMLPYFDUREEL-BBRMVZONSA-N 0 0 445.567 -0.549 20 0 IBADRN CN1CCC[C@H]1c1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001291777117 1073743061 /nfs/dbraw/zinc/74/30/61/1073743061.db2.gz DXOMLPYFDUREEL-CJNGLKHVSA-N 0 0 445.567 -0.549 20 0 IBADRN CN1CCC[C@@H]1c1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001291777118 1073742980 /nfs/dbraw/zinc/74/29/80/1073742980.db2.gz DXOMLPYFDUREEL-CZUORRHYSA-N 0 0 445.567 -0.549 20 0 IBADRN CN1CCC[C@@H]1c1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001291777119 1073743020 /nfs/dbraw/zinc/74/30/20/1073743020.db2.gz DXOMLPYFDUREEL-XJKSGUPXSA-N 0 0 445.567 -0.549 20 0 IBADRN C[C@@H](OCc1ccncc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291777152 1073743091 /nfs/dbraw/zinc/74/30/91/1073743091.db2.gz FMXRCQXDFOUCJZ-GDBMZVCRSA-N 0 0 431.536 -0.352 20 0 IBADRN C[C@H](OCc1ccncc1)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291777160 1073742931 /nfs/dbraw/zinc/74/29/31/1073742931.db2.gz FMXRCQXDFOUCJZ-GOEBONIOSA-N 0 0 431.536 -0.352 20 0 IBADRN C[C@H](OCc1ccncc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291777162 1073743099 /nfs/dbraw/zinc/74/30/99/1073743099.db2.gz FMXRCQXDFOUCJZ-HOCLYGCPSA-N 0 0 431.536 -0.352 20 0 IBADRN C[C@@H](OCc1ccncc1)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291777164 1073743164 /nfs/dbraw/zinc/74/31/64/1073743164.db2.gz FMXRCQXDFOUCJZ-ZBFHGGJFSA-N 0 0 431.536 -0.352 20 0 IBADRN O=C(c1ocnc1C(F)(F)F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291777305 1073742943 /nfs/dbraw/zinc/74/29/43/1073742943.db2.gz GVSCUHNQNGNEIK-SECBINFHSA-N 0 0 431.414 -0.032 20 0 IBADRN O=C(c1ocnc1C(F)(F)F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291777307 1073743215 /nfs/dbraw/zinc/74/32/15/1073743215.db2.gz GVSCUHNQNGNEIK-VIFPVBQESA-N 0 0 431.414 -0.032 20 0 IBADRN C[C@](O)(C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC001291777311 1073743171 /nfs/dbraw/zinc/74/31/71/1073743171.db2.gz GYRSVJHWAFCETD-DOTOQJQBSA-N 0 0 434.511 -0.306 20 0 IBADRN C[C@](O)(C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC001291777312 1073743179 /nfs/dbraw/zinc/74/31/79/1073743179.db2.gz GYRSVJHWAFCETD-NVXWUHKLSA-N 0 0 434.511 -0.306 20 0 IBADRN C[C@@](O)(C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC001291777314 1073743155 /nfs/dbraw/zinc/74/31/55/1073743155.db2.gz GYRSVJHWAFCETD-RDJZCZTQSA-N 0 0 434.511 -0.306 20 0 IBADRN C[C@@](O)(C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC001291777315 1073743143 /nfs/dbraw/zinc/74/31/43/1073743143.db2.gz GYRSVJHWAFCETD-WBVHZDCISA-N 0 0 434.511 -0.306 20 0 IBADRN O=C(c1ncnc2sccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291777589 1073743719 /nfs/dbraw/zinc/74/37/19/1073743719.db2.gz IZKYWBMQZVXUJQ-LLVKDONJSA-N 0 0 430.533 -0.034 20 0 IBADRN O=C(c1ncnc2sccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291777590 1073743617 /nfs/dbraw/zinc/74/36/17/1073743617.db2.gz IZKYWBMQZVXUJQ-NSHDSACASA-N 0 0 430.533 -0.034 20 0 IBADRN O=C(c1ccn(C2CCOCC2)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291777593 1073743710 /nfs/dbraw/zinc/74/37/10/1073743710.db2.gz JBNFWJVCUSMXQU-HNNXBMFYSA-N 0 0 446.551 -0.491 20 0 IBADRN O=C(c1ccn(C2CCOCC2)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291777594 1073743601 /nfs/dbraw/zinc/74/36/01/1073743601.db2.gz JBNFWJVCUSMXQU-OAHLLOKOSA-N 0 0 446.551 -0.491 20 0 IBADRN O=C(c1ccc(C2CC2)[nH]c1=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291777622 1073743690 /nfs/dbraw/zinc/74/36/90/1073743690.db2.gz JYFWDYOUJCBDLP-CYBMUJFWSA-N 0 0 429.520 -0.061 20 0 IBADRN O=C(c1ccc(C2CC2)[nH]c1=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291777623 1073743635 /nfs/dbraw/zinc/74/36/35/1073743635.db2.gz JYFWDYOUJCBDLP-ZDUSSCGKSA-N 0 0 429.520 -0.061 20 0 IBADRN CO[C@@H](C(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291777700 1073743659 /nfs/dbraw/zinc/74/36/59/1073743659.db2.gz KEDIPRXQEKOPNM-CHWSQXEVSA-N 0 0 440.540 -0.996 20 0 IBADRN CO[C@H](C(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291777701 1073743707 /nfs/dbraw/zinc/74/37/07/1073743707.db2.gz KEDIPRXQEKOPNM-OLZOCXBDSA-N 0 0 440.540 -0.996 20 0 IBADRN CO[C@@H](C(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291777702 1073743666 /nfs/dbraw/zinc/74/36/66/1073743666.db2.gz KEDIPRXQEKOPNM-QWHCGFSZSA-N 0 0 440.540 -0.996 20 0 IBADRN CO[C@H](C(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291777703 1073743716 /nfs/dbraw/zinc/74/37/16/1073743716.db2.gz KEDIPRXQEKOPNM-STQMWFEESA-N 0 0 440.540 -0.996 20 0 IBADRN COC(=O)c1cc(C)c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001291777955 1073743672 /nfs/dbraw/zinc/74/36/72/1073743672.db2.gz OHMLFVZOBXMGPZ-GFCCVEGCSA-N 0 0 434.492 -0.351 20 0 IBADRN COC(=O)c1cc(C)c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001291777956 1073743684 /nfs/dbraw/zinc/74/36/84/1073743684.db2.gz OHMLFVZOBXMGPZ-LBPRGKRZSA-N 0 0 434.492 -0.351 20 0 IBADRN COC(=O)c1oc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC001291777963 1073743640 /nfs/dbraw/zinc/74/36/40/1073743640.db2.gz OIXIJGILNMOZDV-GFCCVEGCSA-N 0 0 434.492 -0.351 20 0 IBADRN COC(=O)c1oc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC001291777964 1073743626 /nfs/dbraw/zinc/74/36/26/1073743626.db2.gz OIXIJGILNMOZDV-LBPRGKRZSA-N 0 0 434.492 -0.351 20 0 IBADRN C[C@H]1CCn2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c2C1 ZINC001291778224 1073743723 /nfs/dbraw/zinc/74/37/23/1073743723.db2.gz QUTSZJSUCFZSHK-KBPBESRZSA-N 0 0 430.552 -0.260 20 0 IBADRN C[C@@H]1CCn2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c2C1 ZINC001291778225 1073743611 /nfs/dbraw/zinc/74/36/11/1073743611.db2.gz QUTSZJSUCFZSHK-KGLIPLIRSA-N 0 0 430.552 -0.260 20 0 IBADRN C[C@H]1CCn2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c2C1 ZINC001291778226 1073743704 /nfs/dbraw/zinc/74/37/04/1073743704.db2.gz QUTSZJSUCFZSHK-UONOGXRCSA-N 0 0 430.552 -0.260 20 0 IBADRN C[C@@H]1CCn2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c2C1 ZINC001291778227 1073744251 /nfs/dbraw/zinc/74/42/51/1073744251.db2.gz QUTSZJSUCFZSHK-ZIAGYGMSSA-N 0 0 430.552 -0.260 20 0 IBADRN O=C(c1cnc2n1CCCCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291778245 1073744159 /nfs/dbraw/zinc/74/41/59/1073744159.db2.gz RJPQNPHAQJKBCJ-AWEZNQCLSA-N 0 0 430.552 -0.116 20 0 IBADRN O=C(c1cnc2n1CCCCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291778246 1073744152 /nfs/dbraw/zinc/74/41/52/1073744152.db2.gz RJPQNPHAQJKBCJ-CQSZACIVSA-N 0 0 430.552 -0.116 20 0 IBADRN Cc1nn(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c2ncccc12 ZINC001291778259 1073744059 /nfs/dbraw/zinc/74/40/59/1073744059.db2.gz RZRQGNDQWLNXFY-AWEZNQCLSA-N 0 0 441.535 -0.599 20 0 IBADRN Cc1nn(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c2ncccc12 ZINC001291778260 1073744021 /nfs/dbraw/zinc/74/40/21/1073744021.db2.gz RZRQGNDQWLNXFY-CQSZACIVSA-N 0 0 441.535 -0.599 20 0 IBADRN CCc1[nH]c(=O)c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC001291778298 1073744261 /nfs/dbraw/zinc/74/42/61/1073744261.db2.gz SSLPDFZPSCSNGB-CYBMUJFWSA-N 0 0 431.536 -0.067 20 0 IBADRN CCc1[nH]c(=O)c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC001291778299 1073744068 /nfs/dbraw/zinc/74/40/68/1073744068.db2.gz SSLPDFZPSCSNGB-ZDUSSCGKSA-N 0 0 431.536 -0.067 20 0 IBADRN Cn1cnc2cncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c21 ZINC001291778482 1073744080 /nfs/dbraw/zinc/74/40/80/1073744080.db2.gz WKQTYNMVEKMLBI-GFCCVEGCSA-N 0 0 427.508 -0.757 20 0 IBADRN Cn1cnc2cncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c21 ZINC001291778483 1073744169 /nfs/dbraw/zinc/74/41/69/1073744169.db2.gz WKQTYNMVEKMLBI-LBPRGKRZSA-N 0 0 427.508 -0.757 20 0 IBADRN O=C(c1c2nc[nH]c2ccc1F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291778497 1073744215 /nfs/dbraw/zinc/74/42/15/1073744215.db2.gz XHGGINQDNOPVKO-LLVKDONJSA-N 0 0 430.483 -0.023 20 0 IBADRN O=C(c1c2nc[nH]c2ccc1F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291778498 1073744132 /nfs/dbraw/zinc/74/41/32/1073744132.db2.gz XHGGINQDNOPVKO-NSHDSACASA-N 0 0 430.483 -0.023 20 0 IBADRN CC(=O)Nc1cnccc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001291778567 1073744182 /nfs/dbraw/zinc/74/41/82/1073744182.db2.gz YZRRQPWOFUURHR-CYBMUJFWSA-N 0 0 430.508 -0.685 20 0 IBADRN CC(=O)Nc1cnccc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001291778571 1073744031 /nfs/dbraw/zinc/74/40/31/1073744031.db2.gz YZRRQPWOFUURHR-ZDUSSCGKSA-N 0 0 430.508 -0.685 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001291791840 1073744191 /nfs/dbraw/zinc/74/41/91/1073744191.db2.gz ARZUDRPOLOSDCC-BFHYXJOUSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001291791841 1073744235 /nfs/dbraw/zinc/74/42/35/1073744235.db2.gz ARZUDRPOLOSDCC-IHRRRGAJSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001291791842 1073744008 /nfs/dbraw/zinc/74/40/08/1073744008.db2.gz ARZUDRPOLOSDCC-MELADBBJSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001291791843 1073744048 /nfs/dbraw/zinc/74/40/48/1073744048.db2.gz ARZUDRPOLOSDCC-MJBXVCDLSA-N 0 0 443.574 -0.140 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC001291799738 1073744108 /nfs/dbraw/zinc/74/41/08/1073744108.db2.gz QRGRIBZGHANIJK-UHFFFAOYSA-N 0 0 427.527 -0.640 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)CC2(Cn3cnnn3)CCCCC2)CC1 ZINC001291809001 1073744204 /nfs/dbraw/zinc/74/42/04/1073744204.db2.gz FDRZZLWGSHYDSL-UHFFFAOYSA-N 0 0 431.497 -0.167 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001291809428 1073744090 /nfs/dbraw/zinc/74/40/90/1073744090.db2.gz UACRFQACQHDWLY-KBPBESRZSA-N 0 0 441.558 -0.384 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001291809434 1073744662 /nfs/dbraw/zinc/74/46/62/1073744662.db2.gz UACRFQACQHDWLY-KGLIPLIRSA-N 0 0 441.558 -0.384 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001291809437 1073744864 /nfs/dbraw/zinc/74/48/64/1073744864.db2.gz UACRFQACQHDWLY-UONOGXRCSA-N 0 0 441.558 -0.384 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001291809440 1073744647 /nfs/dbraw/zinc/74/46/47/1073744647.db2.gz UACRFQACQHDWLY-ZIAGYGMSSA-N 0 0 441.558 -0.384 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(Cn3ccccc3=O)o2)CC1 ZINC001291810928 1073744758 /nfs/dbraw/zinc/74/47/58/1073744758.db2.gz UWXOJDNUHVYSLQ-UHFFFAOYSA-N 0 0 426.429 -0.077 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(F)c3)C2)CC1 ZINC001291810986 1073744666 /nfs/dbraw/zinc/74/46/66/1073744666.db2.gz XCNCBKSXXFXSRK-AWEZNQCLSA-N 0 0 430.436 -0.002 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(F)c3)C2)CC1 ZINC001291810987 1073744691 /nfs/dbraw/zinc/74/46/91/1073744691.db2.gz XCNCBKSXXFXSRK-CQSZACIVSA-N 0 0 430.436 -0.002 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CCN(C(=O)c3ccccc3)C2)CC1 ZINC001291811866 1073744745 /nfs/dbraw/zinc/74/47/45/1073744745.db2.gz ADFRJFKXZYLHPH-KRWDZBQOSA-N 0 0 426.473 -0.032 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CCN(C(=O)c3ccccc3)C2)CC1 ZINC001291811867 1073744798 /nfs/dbraw/zinc/74/47/98/1073744798.db2.gz ADFRJFKXZYLHPH-QGZVFWFLSA-N 0 0 426.473 -0.032 20 0 IBADRN COc1ccc(-n2cc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)nn2)cc1 ZINC001291812569 1073744699 /nfs/dbraw/zinc/74/46/99/1073744699.db2.gz HJSDUGXBRLUIBF-UHFFFAOYSA-N 0 0 426.433 -0.291 20 0 IBADRN O=C(/C=C/c1ccc2c(c1)NC(=O)CO2)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001291812897 1073744633 /nfs/dbraw/zinc/74/46/33/1073744633.db2.gz LGSUTYSAJBEHDS-DUXPYHPUSA-N 0 0 426.429 -0.150 20 0 IBADRN O=C(/C=C\c1ccc2c(c1)NC(=O)CO2)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001291812898 1073744832 /nfs/dbraw/zinc/74/48/32/1073744832.db2.gz LGSUTYSAJBEHDS-RQOWECAXSA-N 0 0 426.429 -0.150 20 0 IBADRN Cc1c(Br)c(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nn1C ZINC001291812900 1073744809 /nfs/dbraw/zinc/74/48/09/1073744809.db2.gz LIPFMGRFRFYZRQ-UHFFFAOYSA-N 0 0 426.271 -0.076 20 0 IBADRN Cc1c(Br)cnn1CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001291813862 1073744843 /nfs/dbraw/zinc/74/48/43/1073744843.db2.gz WRVXKCMJVZMINI-UHFFFAOYSA-N 0 0 426.271 -0.226 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC001291814057 1073744717 /nfs/dbraw/zinc/74/47/17/1073744717.db2.gz YGEPZUPQJXBBFW-GFCCVEGCSA-N 0 0 432.399 -0.393 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)[C@@H]2CCCN(CC(F)(F)F)C2=O)CC1 ZINC001291814068 1073744654 /nfs/dbraw/zinc/74/46/54/1073744654.db2.gz YGEPZUPQJXBBFW-LBPRGKRZSA-N 0 0 432.399 -0.393 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(C(C)C)n2CCS(=O)(=O)NC)CC1 ZINC001291828629 1073744735 /nfs/dbraw/zinc/74/47/35/1073744735.db2.gz HSZRLSPFKTXPNB-HNNXBMFYSA-N 0 0 429.591 -0.013 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(C(C)C)n2CCS(=O)(=O)NC)CC1 ZINC001291828630 1073744641 /nfs/dbraw/zinc/74/46/41/1073744641.db2.gz HSZRLSPFKTXPNB-OAHLLOKOSA-N 0 0 429.591 -0.013 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CCn2cncn2)CC1 ZINC001291829148 1073744821 /nfs/dbraw/zinc/74/48/21/1073744821.db2.gz KYFZJTAUCKLGNQ-INIZCTEOSA-N 0 0 446.560 -0.255 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CCn2cncn2)CC1 ZINC001291829149 1073744619 /nfs/dbraw/zinc/74/46/19/1073744619.db2.gz KYFZJTAUCKLGNQ-MRXNPFEDSA-N 0 0 446.560 -0.255 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2COCCO2)CC1 ZINC001291835923 1073744770 /nfs/dbraw/zinc/74/47/70/1073744770.db2.gz LJMTZDGUTUINBM-IKGGRYGDSA-N 0 0 449.556 -0.319 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2COCCO2)CC1 ZINC001291835924 1073745492 /nfs/dbraw/zinc/74/54/92/1073745492.db2.gz LJMTZDGUTUINBM-IXDOHACOSA-N 0 0 449.556 -0.319 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2COCCO2)CC1 ZINC001291835925 1073745452 /nfs/dbraw/zinc/74/54/52/1073745452.db2.gz LJMTZDGUTUINBM-ULQDDVLXSA-N 0 0 449.556 -0.319 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2COCCO2)CC1 ZINC001291835926 1073745399 /nfs/dbraw/zinc/74/53/99/1073745399.db2.gz LJMTZDGUTUINBM-YESZJQIVSA-N 0 0 449.556 -0.319 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2Cc2nnn(C)n2)CC1 ZINC001291837535 1073745345 /nfs/dbraw/zinc/74/53/45/1073745345.db2.gz WBTCCZLILUIQBS-AWEZNQCLSA-N 0 0 427.517 -0.118 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2Cc2nnn(C)n2)CC1 ZINC001291837536 1073745501 /nfs/dbraw/zinc/74/55/01/1073745501.db2.gz WBTCCZLILUIQBS-CQSZACIVSA-N 0 0 427.517 -0.118 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(N2CCNC2=O)CC1 ZINC001291842101 1073745303 /nfs/dbraw/zinc/74/53/03/1073745303.db2.gz IUJZPQBERJXVLU-UHFFFAOYSA-N 0 0 425.511 -0.324 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N1CCN(C(=O)c2ccccc2I)CC1 ZINC001291871102 1073745379 /nfs/dbraw/zinc/74/53/79/1073745379.db2.gz COJXZBRTEPCFSE-GFCCVEGCSA-N 0 0 446.245 -0.395 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N1CCN(C(=O)c2ccccc2I)CC1 ZINC001291871104 1073745408 /nfs/dbraw/zinc/74/54/08/1073745408.db2.gz COJXZBRTEPCFSE-LBPRGKRZSA-N 0 0 446.245 -0.395 20 0 IBADRN CCC(CC)(Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)OC ZINC001291931497 1073745523 /nfs/dbraw/zinc/74/55/23/1073745523.db2.gz ALVQACNVTIBFDW-AWEZNQCLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCC(CC)(Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)OC ZINC001291931515 1073745480 /nfs/dbraw/zinc/74/54/80/1073745480.db2.gz ALVQACNVTIBFDW-CQSZACIVSA-N 0 0 444.558 -0.248 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCS(C)(=O)=O ZINC001291988477 1073745528 /nfs/dbraw/zinc/74/55/28/1073745528.db2.gz HEGUFBGUTNOBLF-UHFFFAOYSA-N 0 0 444.558 -0.464 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@]1(C)CN(C)C1=O ZINC001291988964 1073745389 /nfs/dbraw/zinc/74/53/89/1073745389.db2.gz JXFMXGZJCBSHIH-NRFANRHFSA-N 0 0 449.556 -0.030 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@@]1(C)CN(C)C1=O ZINC001291988972 1073745540 /nfs/dbraw/zinc/74/55/40/1073745540.db2.gz JXFMXGZJCBSHIH-OAQYLSRUSA-N 0 0 449.556 -0.030 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)C1 ZINC001292018160 1073745973 /nfs/dbraw/zinc/74/59/73/1073745973.db2.gz XNWYPXFMRKAKHT-BXUZGUMPSA-N 0 0 430.914 -0.146 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)C1 ZINC001292018161 1073746014 /nfs/dbraw/zinc/74/60/14/1073746014.db2.gz XNWYPXFMRKAKHT-FZMZJTMJSA-N 0 0 430.914 -0.146 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)C1 ZINC001292018162 1073746115 /nfs/dbraw/zinc/74/61/15/1073746115.db2.gz XNWYPXFMRKAKHT-RISCZKNCSA-N 0 0 430.914 -0.146 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)C1 ZINC001292018163 1073745984 /nfs/dbraw/zinc/74/59/84/1073745984.db2.gz XNWYPXFMRKAKHT-SMDDNHRTSA-N 0 0 430.914 -0.146 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)Nc3cccc(N4CCS(=O)(=O)CC4)c3)[C@@H](O)C(=O)N2C)cn1 ZINC001292023004 1073745994 /nfs/dbraw/zinc/74/59/94/1073745994.db2.gz YHGJOSFPQJWVIN-KZNAEPCWSA-N 0 0 447.517 -0.216 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@H](C(=O)OC)C2)n1CCNS(C)(=O)=O ZINC001292036294 1073745950 /nfs/dbraw/zinc/74/59/50/1073745950.db2.gz MPLUJQSALCQCGX-GFCCVEGCSA-N 0 0 430.531 -0.255 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@H](C(=O)OC)C2)n1CCNS(C)(=O)=O ZINC001292036295 1073745898 /nfs/dbraw/zinc/74/58/98/1073745898.db2.gz MPLUJQSALCQCGX-LBPRGKRZSA-N 0 0 430.531 -0.255 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@H](C(N)=O)C2)n1CCC(=O)N1CCOCC1 ZINC001292037141 1073746127 /nfs/dbraw/zinc/74/61/27/1073746127.db2.gz ZCXLNOXCQHPTAI-AWEZNQCLSA-N 0 0 435.529 -0.243 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CCC(=O)N1CCOCC1 ZINC001292037142 1073745959 /nfs/dbraw/zinc/74/59/59/1073745959.db2.gz ZCXLNOXCQHPTAI-CQSZACIVSA-N 0 0 435.529 -0.243 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@](C)(C(=O)NC)C2)n1CCNS(C)(=O)=O ZINC001292041383 1073745922 /nfs/dbraw/zinc/74/59/22/1073745922.db2.gz LOHWKTIJBBHZFT-GOSISDBHSA-N 0 0 443.574 -0.292 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@](C)(C(=O)NC)C2)n1CCNS(C)(=O)=O ZINC001292041384 1073746006 /nfs/dbraw/zinc/74/60/06/1073746006.db2.gz LOHWKTIJBBHZFT-SFHVURJKSA-N 0 0 443.574 -0.292 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001292050447 1073746025 /nfs/dbraw/zinc/74/60/25/1073746025.db2.gz ZJYVNDNCXITIOE-HNNXBMFYSA-N 0 0 430.552 -0.175 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001292050448 1073746101 /nfs/dbraw/zinc/74/61/01/1073746101.db2.gz ZJYVNDNCXITIOE-OAHLLOKOSA-N 0 0 430.552 -0.175 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CCNS(C)(=O)=O ZINC001292069368 1073745912 /nfs/dbraw/zinc/74/59/12/1073745912.db2.gz DHGUCIPJUKGBMO-GOSISDBHSA-N 0 0 441.558 -0.395 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CCNS(C)(=O)=O ZINC001292069369 1073746034 /nfs/dbraw/zinc/74/60/34/1073746034.db2.gz DHGUCIPJUKGBMO-SFHVURJKSA-N 0 0 441.558 -0.395 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CC(=O)N1CCOCC1 ZINC001292075003 1073746091 /nfs/dbraw/zinc/74/60/91/1073746091.db2.gz FAQYITDSLONOER-NRFANRHFSA-N 0 0 447.540 -0.228 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CC(=O)N1CCOCC1 ZINC001292075005 1073745934 /nfs/dbraw/zinc/74/59/34/1073745934.db2.gz FAQYITDSLONOER-OAQYLSRUSA-N 0 0 447.540 -0.228 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC[C@@H](C(=O)NC)C2)n1CCS(=O)(=O)NC ZINC001292086792 1073746047 /nfs/dbraw/zinc/74/60/47/1073746047.db2.gz WMIVAFRGGHIJSK-CYBMUJFWSA-N 0 0 443.574 -0.292 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC[C@H](C(=O)NC)C2)n1CCS(=O)(=O)NC ZINC001292086793 1073746137 /nfs/dbraw/zinc/74/61/37/1073746137.db2.gz WMIVAFRGGHIJSK-ZDUSSCGKSA-N 0 0 443.574 -0.292 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Cn2nc(C(F)(F)F)c3c2CCOC3)CC1 ZINC001292105560 1073746567 /nfs/dbraw/zinc/74/65/67/1073746567.db2.gz FKFRVKIMXBHLNS-UHFFFAOYSA-N 0 0 439.460 -0.332 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCCN(C(C)=O)CC2)n1CCNS(C)(=O)=O ZINC001292105687 1073746726 /nfs/dbraw/zinc/74/67/26/1073746726.db2.gz PLWOIPKAMVRRIC-UHFFFAOYSA-N 0 0 443.574 -0.196 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Cc2ccccc2S(=O)(=O)C(F)F)CC1 ZINC001292105758 1073746488 /nfs/dbraw/zinc/74/64/88/1073746488.db2.gz HXUSICOCTJTILJ-UHFFFAOYSA-N 0 0 439.506 -0.081 20 0 IBADRN Cc1n[nH]c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1I ZINC001292106034 1073746706 /nfs/dbraw/zinc/74/67/06/1073746706.db2.gz JBEJKFHAJZQPJX-UHFFFAOYSA-N 0 0 441.295 -0.370 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001292106122 1073746497 /nfs/dbraw/zinc/74/64/97/1073746497.db2.gz LPRUTNRIPWCRJY-UHFFFAOYSA-N 0 0 438.597 -0.768 20 0 IBADRN COc1cc2ncc(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)c(O)c2c(OC)c1 ZINC001292106390 1073746543 /nfs/dbraw/zinc/74/65/43/1073746543.db2.gz NYJOBAKNMWFFQW-UHFFFAOYSA-N 0 0 438.506 -0.148 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CC1 ZINC001292106414 1073746676 /nfs/dbraw/zinc/74/66/76/1073746676.db2.gz OSUCKVIBPQTBID-UHFFFAOYSA-N 0 0 439.469 -0.819 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1=O ZINC001292106697 1073746532 /nfs/dbraw/zinc/74/65/32/1073746532.db2.gz SHSWEWKOXATEEJ-HNNXBMFYSA-N 0 0 446.570 -0.740 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1=O ZINC001292106698 1073746578 /nfs/dbraw/zinc/74/65/78/1073746578.db2.gz SHSWEWKOXATEEJ-OAHLLOKOSA-N 0 0 446.570 -0.740 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)CNC(=O)c2cnc(Cl)c(Cl)c2)CC1 ZINC001292106791 1073746715 /nfs/dbraw/zinc/74/67/15/1073746715.db2.gz WXXJVJXIZZZDGZ-UHFFFAOYSA-N 0 0 438.337 -0.188 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NCc1ccccc1S(=O)(=O)N1CCCCC1 ZINC001292110363 1073746516 /nfs/dbraw/zinc/74/65/16/1073746516.db2.gz UCHKZZSNWMOORB-AWEZNQCLSA-N 0 0 435.510 -0.331 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@]1(O)CCOC1 ZINC001292126126 1073746625 /nfs/dbraw/zinc/74/66/25/1073746625.db2.gz HRWZAHSJOFHNME-GOSISDBHSA-N 0 0 444.558 -0.571 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@]1(O)CCOC1 ZINC001292126127 1073746588 /nfs/dbraw/zinc/74/65/88/1073746588.db2.gz HRWZAHSJOFHNME-SFHVURJKSA-N 0 0 444.558 -0.571 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC(=O)N(C)C ZINC001292126854 1073746653 /nfs/dbraw/zinc/74/66/53/1073746653.db2.gz QCEMJJVKKLYXMJ-UHFFFAOYSA-N 0 0 429.547 -0.634 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1COCCO1 ZINC001292127068 1073746603 /nfs/dbraw/zinc/74/66/03/1073746603.db2.gz UQKAQGOHHMGZBK-HNNXBMFYSA-N 0 0 444.558 -0.307 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(CCOC)C(=O)C2)n1C[C@H]1COCCO1 ZINC001292127122 1073746643 /nfs/dbraw/zinc/74/66/43/1073746643.db2.gz WVARULMEHABCMP-INIZCTEOSA-N 0 0 438.529 -0.093 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(CCOC)C(=O)C2)n1C[C@@H]1COCCO1 ZINC001292127123 1073746737 /nfs/dbraw/zinc/74/67/37/1073746737.db2.gz WVARULMEHABCMP-MRXNPFEDSA-N 0 0 438.529 -0.093 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1Cc1nncn1C ZINC001292127155 1073746615 /nfs/dbraw/zinc/74/66/15/1073746615.db2.gz YDHYLADLOKCADZ-UHFFFAOYSA-N 0 0 446.560 -0.417 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)[C@@H]2CC)n1CCS(=O)(=O)N(C)C ZINC001292132373 1073747092 /nfs/dbraw/zinc/74/70/92/1073747092.db2.gz APXKPMVGWFYRMO-AWEZNQCLSA-N 0 0 443.574 -0.197 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)[C@H]2CC)n1CCS(=O)(=O)N(C)C ZINC001292132374 1073747126 /nfs/dbraw/zinc/74/71/26/1073747126.db2.gz APXKPMVGWFYRMO-CQSZACIVSA-N 0 0 443.574 -0.197 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1C[C@]1(C)COCCO1 ZINC001292133622 1073747190 /nfs/dbraw/zinc/74/71/90/1073747190.db2.gz JILPWAXVUKUEGX-FOIQADDNSA-N 0 0 438.529 -0.047 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@]1(C)COCCO1 ZINC001292133623 1073747155 /nfs/dbraw/zinc/74/71/55/1073747155.db2.gz JILPWAXVUKUEGX-MGPUTAFESA-N 0 0 438.529 -0.047 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1C[C@@]1(C)COCCO1 ZINC001292133624 1073747078 /nfs/dbraw/zinc/74/70/78/1073747078.db2.gz JILPWAXVUKUEGX-QRWLVFNGSA-N 0 0 438.529 -0.047 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@@]1(C)COCCO1 ZINC001292133625 1073747214 /nfs/dbraw/zinc/74/72/14/1073747214.db2.gz JILPWAXVUKUEGX-YWZLYKJASA-N 0 0 438.529 -0.047 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1Cc1nnn(C)n1 ZINC001292133997 1073747054 /nfs/dbraw/zinc/74/70/54/1073747054.db2.gz OCCAVWPXBAMZGU-CYBMUJFWSA-N 0 0 431.505 -0.676 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1Cc1nnn(C)n1 ZINC001292133998 1073747201 /nfs/dbraw/zinc/74/72/01/1073747201.db2.gz OCCAVWPXBAMZGU-ZDUSSCGKSA-N 0 0 431.505 -0.676 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001292134382 1073747144 /nfs/dbraw/zinc/74/71/44/1073747144.db2.gz SPAMOKPZLNFNLH-ARFHVFGLSA-N 0 0 438.529 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001292134383 1073747067 /nfs/dbraw/zinc/74/70/67/1073747067.db2.gz SPAMOKPZLNFNLH-XHSDSOJGSA-N 0 0 438.529 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cc(C)no1 ZINC001292134516 1073747060 /nfs/dbraw/zinc/74/70/60/1073747060.db2.gz SNKQJYVFFXLHMV-AWEZNQCLSA-N 0 0 444.496 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cc(C)no1 ZINC001292134517 1073747169 /nfs/dbraw/zinc/74/71/69/1073747169.db2.gz SNKQJYVFFXLHMV-CQSZACIVSA-N 0 0 444.496 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1CC1(O)CCOCC1 ZINC001292134649 1073747117 /nfs/dbraw/zinc/74/71/17/1073747117.db2.gz YWBIMAGXAAWRFB-HNNXBMFYSA-N 0 0 438.529 -0.311 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1CC1(O)CCOCC1 ZINC001292134650 1073747105 /nfs/dbraw/zinc/74/71/05/1073747105.db2.gz YWBIMAGXAAWRFB-OAHLLOKOSA-N 0 0 438.529 -0.311 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001292134675 1073747226 /nfs/dbraw/zinc/74/72/26/1073747226.db2.gz ZHJYMUHQLKWUSL-CYBMUJFWSA-N 0 0 426.543 -0.043 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001292134766 1073747236 /nfs/dbraw/zinc/74/72/36/1073747236.db2.gz ZHJYMUHQLKWUSL-ZDUSSCGKSA-N 0 0 426.543 -0.043 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001292134949 1073747178 /nfs/dbraw/zinc/74/71/78/1073747178.db2.gz ZCFSHBKJCYBAHW-HRCADAONSA-N 0 0 435.529 -0.050 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001292134950 1073747048 /nfs/dbraw/zinc/74/70/48/1073747048.db2.gz ZCFSHBKJCYBAHW-OWCLPIDISA-N 0 0 435.529 -0.050 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1Cc1ncn(C)n1 ZINC001292143472 1073747131 /nfs/dbraw/zinc/74/71/31/1073747131.db2.gz FPTSFUUPMZNMJG-HNNXBMFYSA-N 0 0 433.517 -0.285 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1Cc1ncn(C)n1 ZINC001292143473 1073747245 /nfs/dbraw/zinc/74/72/45/1073747245.db2.gz FPTSFUUPMZNMJG-OAHLLOKOSA-N 0 0 433.517 -0.285 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC(=O)NCC1CC1 ZINC001292146865 1073747696 /nfs/dbraw/zinc/74/76/96/1073747696.db2.gz RNYUDKUZPOVWKN-UHFFFAOYSA-N 0 0 426.543 -0.043 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001292154631 1073747845 /nfs/dbraw/zinc/74/78/45/1073747845.db2.gz IQXUIEGEPLPGCR-MELADBBJSA-N 0 0 435.485 -0.254 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1CCS(C)(=O)=O ZINC001292166976 1073747813 /nfs/dbraw/zinc/74/78/13/1073747813.db2.gz VZNMFFJBKKXPPN-HNNXBMFYSA-N 0 0 444.558 -0.418 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1CCS(C)(=O)=O ZINC001292166980 1073747711 /nfs/dbraw/zinc/74/77/11/1073747711.db2.gz VZNMFFJBKKXPPN-OAHLLOKOSA-N 0 0 444.558 -0.418 20 0 IBADRN CC[C@@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)COC)CC1)OC ZINC001292172184 1073747833 /nfs/dbraw/zinc/74/78/33/1073747833.db2.gz JIEQWWSCXYYPKJ-KBPBESRZSA-N 0 0 432.547 -0.392 20 0 IBADRN CC[C@@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)COC)CC1)OC ZINC001292172194 1073747629 /nfs/dbraw/zinc/74/76/29/1073747629.db2.gz JIEQWWSCXYYPKJ-KGLIPLIRSA-N 0 0 432.547 -0.392 20 0 IBADRN CC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)COC)CC1)OC ZINC001292172195 1073747722 /nfs/dbraw/zinc/74/77/22/1073747722.db2.gz JIEQWWSCXYYPKJ-UONOGXRCSA-N 0 0 432.547 -0.392 20 0 IBADRN CC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)COC)CC1)OC ZINC001292172196 1073747829 /nfs/dbraw/zinc/74/78/29/1073747829.db2.gz JIEQWWSCXYYPKJ-ZIAGYGMSSA-N 0 0 432.547 -0.392 20 0 IBADRN COCCCN(C(=O)CNc1ccc(S(N)(=O)=O)cc1F)[C@@H]1CCS(=O)(=O)C1 ZINC001292177058 1073747822 /nfs/dbraw/zinc/74/78/22/1073747822.db2.gz XPMCVVJINZTQNN-GFCCVEGCSA-N 0 0 437.515 -0.063 20 0 IBADRN COCCCN(C(=O)CNc1ccc(S(N)(=O)=O)cc1F)[C@H]1CCS(=O)(=O)C1 ZINC001292177060 1073747744 /nfs/dbraw/zinc/74/77/44/1073747744.db2.gz XPMCVVJINZTQNN-LBPRGKRZSA-N 0 0 437.515 -0.063 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)NCc2ccccc2OCCN2CCOCC2)C1 ZINC001292183215 1073747660 /nfs/dbraw/zinc/74/76/60/1073747660.db2.gz RGCHJAJFVYJNRD-KRWDZBQOSA-N 0 0 426.539 -0.071 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)NCc2ccccc2OCCN2CCOCC2)C1 ZINC001292183224 1073747758 /nfs/dbraw/zinc/74/77/58/1073747758.db2.gz RGCHJAJFVYJNRD-QGZVFWFLSA-N 0 0 426.539 -0.071 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001292192059 1073747781 /nfs/dbraw/zinc/74/77/81/1073747781.db2.gz STEBXRCMZAEFFX-UHFFFAOYSA-N 0 0 439.581 -0.049 20 0 IBADRN CC[C@@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1)OC ZINC001292222154 1073747734 /nfs/dbraw/zinc/74/77/34/1073747734.db2.gz AYHMINYDQLHZND-CABCVRRESA-N 0 0 429.543 -0.100 20 0 IBADRN CC[C@@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1)OC ZINC001292222156 1073747808 /nfs/dbraw/zinc/74/78/08/1073747808.db2.gz AYHMINYDQLHZND-GJZGRUSLSA-N 0 0 429.543 -0.100 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1)OC ZINC001292222158 1073747775 /nfs/dbraw/zinc/74/77/75/1073747775.db2.gz AYHMINYDQLHZND-HUUCEWRRSA-N 0 0 429.543 -0.100 20 0 IBADRN CC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1)OC ZINC001292222160 1073747838 /nfs/dbraw/zinc/74/78/38/1073747838.db2.gz AYHMINYDQLHZND-LSDHHAIUSA-N 0 0 429.543 -0.100 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1)OC ZINC001292223322 1073747797 /nfs/dbraw/zinc/74/77/97/1073747797.db2.gz JIQYOXATKFJLIM-KFWWJZLASA-N 0 0 444.558 -0.297 20 0 IBADRN CC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1)OC ZINC001292223323 1073748277 /nfs/dbraw/zinc/74/82/77/1073748277.db2.gz JIQYOXATKFJLIM-RBSFLKMASA-N 0 0 444.558 -0.297 20 0 IBADRN CC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1)OC ZINC001292223324 1073748416 /nfs/dbraw/zinc/74/84/16/1073748416.db2.gz JIQYOXATKFJLIM-RRFJBIMHSA-N 0 0 444.558 -0.297 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1)OC ZINC001292223325 1073748354 /nfs/dbraw/zinc/74/83/54/1073748354.db2.gz JIQYOXATKFJLIM-ZNMIVQPWSA-N 0 0 444.558 -0.297 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H](C)C(=O)NC)OC ZINC001292223334 1073748369 /nfs/dbraw/zinc/74/83/69/1073748369.db2.gz JKCINTNXQNCJBH-KFWWJZLASA-N 0 0 446.574 -0.146 20 0 IBADRN CC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H](C)C(=O)NC)OC ZINC001292223335 1073748308 /nfs/dbraw/zinc/74/83/08/1073748308.db2.gz JKCINTNXQNCJBH-RBSFLKMASA-N 0 0 446.574 -0.146 20 0 IBADRN CC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H](C)C(=O)NC)OC ZINC001292223336 1073748297 /nfs/dbraw/zinc/74/82/97/1073748297.db2.gz JKCINTNXQNCJBH-RRFJBIMHSA-N 0 0 446.574 -0.146 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H](C)C(=O)NC)OC ZINC001292223337 1073748287 /nfs/dbraw/zinc/74/82/87/1073748287.db2.gz JKCINTNXQNCJBH-ZNMIVQPWSA-N 0 0 446.574 -0.146 20 0 IBADRN CC[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1)OC ZINC001292224941 1073748317 /nfs/dbraw/zinc/74/83/17/1073748317.db2.gz OZQAHNCJJKENIG-KBPBESRZSA-N 0 0 430.531 -0.638 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1)OC ZINC001292224942 1073748362 /nfs/dbraw/zinc/74/83/62/1073748362.db2.gz OZQAHNCJJKENIG-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN CC[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1)OC ZINC001292224943 1073748406 /nfs/dbraw/zinc/74/84/06/1073748406.db2.gz OZQAHNCJJKENIG-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN CC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1)OC ZINC001292224944 1073748439 /nfs/dbraw/zinc/74/84/39/1073748439.db2.gz OZQAHNCJJKENIG-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NCC1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001292289156 1073748230 /nfs/dbraw/zinc/74/82/30/1073748230.db2.gz ATXOBKRNTSYLPP-AWEZNQCLSA-N 0 0 435.510 -0.605 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCN1CCCS1(=O)=O ZINC001292291394 1073748393 /nfs/dbraw/zinc/74/83/93/1073748393.db2.gz SXKBUXRXBAUXOT-LBPRGKRZSA-N 0 0 427.545 -0.534 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC001292304737 1073748423 /nfs/dbraw/zinc/74/84/23/1073748423.db2.gz PBPCDOVGLTUWRY-DJIMGWMZSA-N 0 0 425.507 -0.353 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@@H](C(N)=O)C2)cc1 ZINC001292304738 1073748431 /nfs/dbraw/zinc/74/84/31/1073748431.db2.gz PBPCDOVGLTUWRY-HYVNUMGLSA-N 0 0 425.507 -0.353 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC001292304739 1073748382 /nfs/dbraw/zinc/74/83/82/1073748382.db2.gz PBPCDOVGLTUWRY-OIISXLGYSA-N 0 0 425.507 -0.353 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CCO[C@H](C(N)=O)C2)cc1 ZINC001292304740 1073748259 /nfs/dbraw/zinc/74/82/59/1073748259.db2.gz PBPCDOVGLTUWRY-PVAVHDDUSA-N 0 0 425.507 -0.353 20 0 IBADRN O=C(CO)NCCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001292368515 1073748248 /nfs/dbraw/zinc/74/82/48/1073748248.db2.gz MOGNOSFNOWQPLE-UHFFFAOYSA-N 0 0 427.479 -0.821 20 0 IBADRN O=C(OCc1ccccc1)N1CC(O)(C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC001292368649 1073748271 /nfs/dbraw/zinc/74/82/71/1073748271.db2.gz OGEFJEVAAICESI-UHFFFAOYSA-N 0 0 427.479 -0.852 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CCO[C@]2(C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC001292369685 1073748922 /nfs/dbraw/zinc/74/89/22/1073748922.db2.gz YDCSPBACESFOBK-KBXCAEBGSA-N 0 0 433.527 -0.209 20 0 IBADRN Cn1nc2c(c1C(=O)NCCC(=O)N1CCN(c3ccccn3)CC1)CS(=O)(=O)CC2 ZINC001292374073 1073749029 /nfs/dbraw/zinc/74/90/29/1073749029.db2.gz ICPISGSSUAGFTQ-UHFFFAOYSA-N 0 0 446.533 -0.245 20 0 IBADRN O=C(CCNC(=O)[C@H](CO)NC(=O)c1cccc(F)n1)N1CCN(c2ccccn2)CC1 ZINC001292374404 1073749089 /nfs/dbraw/zinc/74/90/89/1073749089.db2.gz RKLZRUSYEWPYJV-INIZCTEOSA-N 0 0 444.467 -0.439 20 0 IBADRN CCOc1ccc(C(=O)N2CCC(NC(=O)[C@H](C)NC(=O)Cn3cnnn3)CC2)cc1 ZINC001292390057 1073749006 /nfs/dbraw/zinc/74/90/06/1073749006.db2.gz SHYWLRZZPBPYJZ-AWEZNQCLSA-N 0 0 429.481 -0.003 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001292416565 1073749107 /nfs/dbraw/zinc/74/91/07/1073749107.db2.gz XWNBSVGLYNDAJP-CYBMUJFWSA-N 0 0 441.572 -0.144 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001292416567 1073748786 /nfs/dbraw/zinc/74/87/86/1073748786.db2.gz XWNBSVGLYNDAJP-ZDUSSCGKSA-N 0 0 441.572 -0.144 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)[C@H]3[C@@H](O)C(=O)N(C)[C@@H]3c3cnn(C)c3)CC2)cn1 ZINC001292452120 1073748908 /nfs/dbraw/zinc/74/89/08/1073748908.db2.gz HABMIOKEOFCABG-KZNAEPCWSA-N 0 0 443.508 -0.688 20 0 IBADRN O=C([C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC[C@H](N2CCNC2=O)C1 ZINC001292456505 1073749082 /nfs/dbraw/zinc/74/90/82/1073749082.db2.gz RFHDKIBJMRCKIQ-DZGCQCFKSA-N 0 0 430.914 -0.005 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC001292456506 1073749052 /nfs/dbraw/zinc/74/90/52/1073749052.db2.gz RFHDKIBJMRCKIQ-HIFRSBDPSA-N 0 0 430.914 -0.005 20 0 IBADRN O=C([C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC001292456507 1073748951 /nfs/dbraw/zinc/74/89/51/1073748951.db2.gz RFHDKIBJMRCKIQ-UKRRQHHQSA-N 0 0 430.914 -0.005 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC[C@H](N2CCNC2=O)C1 ZINC001292456508 1073748998 /nfs/dbraw/zinc/74/89/98/1073748998.db2.gz RFHDKIBJMRCKIQ-ZFWWWQNUSA-N 0 0 430.914 -0.005 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)[C@H]1c1nccn1C ZINC001292498092 1073748983 /nfs/dbraw/zinc/74/89/83/1073748983.db2.gz PTQIUFGMUABBED-ROUUACIJSA-N 0 0 442.476 -0.206 20 0 IBADRN CCc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCS(C)(=O)=O)cc1 ZINC001292524655 1073748823 /nfs/dbraw/zinc/74/88/23/1073748823.db2.gz HDIVWWIRMBBGMV-INIZCTEOSA-N 0 0 446.533 -0.301 20 0 IBADRN CCc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCS(C)(=O)=O)cc1 ZINC001292524656 1073749020 /nfs/dbraw/zinc/74/90/20/1073749020.db2.gz HDIVWWIRMBBGMV-MRXNPFEDSA-N 0 0 446.533 -0.301 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(c3cccnn3)CC2)c1 ZINC001292529448 1073749472 /nfs/dbraw/zinc/74/94/72/1073749472.db2.gz XFCIKGIHWOXLRC-UHFFFAOYSA-N 0 0 439.519 -0.493 20 0 IBADRN COC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001292554256 1073749677 /nfs/dbraw/zinc/74/96/77/1073749677.db2.gz GQJVALANBGSMOX-UHFFFAOYSA-N 0 0 433.508 -0.331 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2cnn3c2S(=O)(=O)N(C)CC3)CC1 ZINC001292615654 1073749703 /nfs/dbraw/zinc/74/97/03/1073749703.db2.gz DPLBUUYXRRHVDZ-UHFFFAOYSA-N 0 0 441.510 -0.609 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)c2c3c(nn2C)CCS(=O)(=O)C3)CC1 ZINC001292616600 1073749453 /nfs/dbraw/zinc/74/94/53/1073749453.db2.gz PCQCAWPNLORCOW-UHFFFAOYSA-N 0 0 440.522 -0.235 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCCC(=O)NCc1cccnc1 ZINC001292632489 1073749543 /nfs/dbraw/zinc/74/95/43/1073749543.db2.gz MWESVEGJULCKQQ-BLLLJJGKSA-N 0 0 426.520 -0.007 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCCC(=O)NCc1cccnc1 ZINC001292632490 1073749657 /nfs/dbraw/zinc/74/96/57/1073749657.db2.gz MWESVEGJULCKQQ-LRDDRELGSA-N 0 0 426.520 -0.007 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCCC(=O)NCc1cccnc1 ZINC001292632491 1073749726 /nfs/dbraw/zinc/74/97/26/1073749726.db2.gz MWESVEGJULCKQQ-MLGOLLRUSA-N 0 0 426.520 -0.007 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCCC(=O)NCc1cccnc1 ZINC001292632492 1073749691 /nfs/dbraw/zinc/74/96/91/1073749691.db2.gz MWESVEGJULCKQQ-WBMJQRKESA-N 0 0 426.520 -0.007 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)NCc3cccc(CS(N)(=O)=O)c3)CC2=O)cn1 ZINC001292641832 1073749422 /nfs/dbraw/zinc/74/94/22/1073749422.db2.gz ININSIGFQLQFNY-INIZCTEOSA-N 0 0 435.506 -0.013 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NCc3cccc(CS(N)(=O)=O)c3)CC2=O)cn1 ZINC001292641833 1073749487 /nfs/dbraw/zinc/74/94/87/1073749487.db2.gz ININSIGFQLQFNY-MRXNPFEDSA-N 0 0 435.506 -0.013 20 0 IBADRN CCc1cnc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)s1 ZINC001292648106 1073749714 /nfs/dbraw/zinc/74/97/14/1073749714.db2.gz AFJFZXGDUIRTAD-GFCCVEGCSA-N 0 0 446.537 -0.370 20 0 IBADRN CCc1cnc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)s1 ZINC001292648107 1073749638 /nfs/dbraw/zinc/74/96/38/1073749638.db2.gz AFJFZXGDUIRTAD-LBPRGKRZSA-N 0 0 446.537 -0.370 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)n1 ZINC001292654833 1073749602 /nfs/dbraw/zinc/74/96/02/1073749602.db2.gz KKRHGZJGOFCYKI-NEPJUHHUSA-N 0 0 437.927 -0.634 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)n1 ZINC001292654834 1073749665 /nfs/dbraw/zinc/74/96/65/1073749665.db2.gz KKRHGZJGOFCYKI-NWDGAFQWSA-N 0 0 437.927 -0.634 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)n1 ZINC001292654835 1073750242 /nfs/dbraw/zinc/75/02/42/1073750242.db2.gz KKRHGZJGOFCYKI-RYUDHWBXSA-N 0 0 437.927 -0.634 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)n1 ZINC001292654836 1073750303 /nfs/dbraw/zinc/75/03/03/1073750303.db2.gz KKRHGZJGOFCYKI-VXGBXAGGSA-N 0 0 437.927 -0.634 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(Cl)cn1 ZINC001292655396 1073750315 /nfs/dbraw/zinc/75/03/15/1073750315.db2.gz OIPAZBJSYHUBQT-CHWSQXEVSA-N 0 0 437.927 -0.634 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(Cl)cn1 ZINC001292655397 1073750320 /nfs/dbraw/zinc/75/03/20/1073750320.db2.gz OIPAZBJSYHUBQT-OLZOCXBDSA-N 0 0 437.927 -0.634 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(Cl)cn1 ZINC001292655398 1073750296 /nfs/dbraw/zinc/75/02/96/1073750296.db2.gz OIPAZBJSYHUBQT-QWHCGFSZSA-N 0 0 437.927 -0.634 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(Cl)cn1 ZINC001292655399 1073750309 /nfs/dbraw/zinc/75/03/09/1073750309.db2.gz OIPAZBJSYHUBQT-STQMWFEESA-N 0 0 437.927 -0.634 20 0 IBADRN COc1ccc(CNC(=O)/C=C\C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001292655411 1073750227 /nfs/dbraw/zinc/75/02/27/1073750227.db2.gz OUFMQCSCRGTFGB-DFOOCIKTSA-N 0 0 437.518 -0.518 20 0 IBADRN COc1ccc(CNC(=O)/C=C/C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001292655412 1073750213 /nfs/dbraw/zinc/75/02/13/1073750213.db2.gz OUFMQCSCRGTFGB-IBQUMJASSA-N 0 0 437.518 -0.518 20 0 IBADRN COc1ccc(CNC(=O)/C=C/C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001292655413 1073750238 /nfs/dbraw/zinc/75/02/38/1073750238.db2.gz OUFMQCSCRGTFGB-IKSUFZCESA-N 0 0 437.518 -0.518 20 0 IBADRN COc1ccc(CNC(=O)/C=C\C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001292655414 1073750255 /nfs/dbraw/zinc/75/02/55/1073750255.db2.gz OUFMQCSCRGTFGB-XXCOWLKOSA-N 0 0 437.518 -0.518 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c(Br)nc2n1CCOC2 ZINC001292655822 1073750327 /nfs/dbraw/zinc/75/03/27/1073750327.db2.gz VMIDBJXKKGUOTC-GHMZBOCLSA-N 0 0 449.327 -0.597 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c(Br)nc2n1CCOC2 ZINC001292655831 1073750264 /nfs/dbraw/zinc/75/02/64/1073750264.db2.gz VMIDBJXKKGUOTC-MNOVXSKESA-N 0 0 449.327 -0.597 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c(Br)nc2n1CCOC2 ZINC001292655833 1073750274 /nfs/dbraw/zinc/75/02/74/1073750274.db2.gz VMIDBJXKKGUOTC-QWRGUYRKSA-N 0 0 449.327 -0.597 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c(Br)nc2n1CCOC2 ZINC001292655835 1073750343 /nfs/dbraw/zinc/75/03/43/1073750343.db2.gz VMIDBJXKKGUOTC-WDEREUQCSA-N 0 0 449.327 -0.597 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cc2nccc(C(F)(F)F)n2n1 ZINC001292655869 1073750249 /nfs/dbraw/zinc/75/02/49/1073750249.db2.gz VXUIKMYMPGYFOX-NEPJUHHUSA-N 0 0 433.412 -0.024 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cc2nccc(C(F)(F)F)n2n1 ZINC001292655870 1073750329 /nfs/dbraw/zinc/75/03/29/1073750329.db2.gz VXUIKMYMPGYFOX-NWDGAFQWSA-N 0 0 433.412 -0.024 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cc2nccc(C(F)(F)F)n2n1 ZINC001292655871 1073750271 /nfs/dbraw/zinc/75/02/71/1073750271.db2.gz VXUIKMYMPGYFOX-RYUDHWBXSA-N 0 0 433.412 -0.024 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cc2nccc(C(F)(F)F)n2n1 ZINC001292655872 1073750233 /nfs/dbraw/zinc/75/02/33/1073750233.db2.gz VXUIKMYMPGYFOX-VXGBXAGGSA-N 0 0 433.412 -0.024 20 0 IBADRN CC(C)CC(=O)N[C@H](CC(N)=O)C(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC001292660624 1073750280 /nfs/dbraw/zinc/75/02/80/1073750280.db2.gz JRKUVGPZHRVIJH-GOSISDBHSA-N 0 0 431.537 -0.147 20 0 IBADRN CC(C)CC(=O)N[C@@H](CC(N)=O)C(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC001292660625 1073750333 /nfs/dbraw/zinc/75/03/33/1073750333.db2.gz JRKUVGPZHRVIJH-SFHVURJKSA-N 0 0 431.537 -0.147 20 0 IBADRN Cn1nc2c(c1C(=O)NCC(=O)N1CCN(Cc3ccccc3)CC1)CS(=O)(=O)CC2 ZINC001292661064 1073750939 /nfs/dbraw/zinc/75/09/39/1073750939.db2.gz PTJOPKQUXQIZDW-UHFFFAOYSA-N 0 0 445.545 -0.035 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001292669850 1073751069 /nfs/dbraw/zinc/75/10/69/1073751069.db2.gz CLQJEUWBUQKPKX-KRWDZBQOSA-N 0 0 431.536 -0.553 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001292669853 1073750869 /nfs/dbraw/zinc/75/08/69/1073750869.db2.gz CLQJEUWBUQKPKX-QGZVFWFLSA-N 0 0 431.536 -0.553 20 0 IBADRN CCc1nc(CCn2c(C(=O)NC(C)C)nnc2N2CCN(CCOC)C(=O)C2)no1 ZINC001292693686 1073750785 /nfs/dbraw/zinc/75/07/85/1073750785.db2.gz BIPZJBDKRJATNV-UHFFFAOYSA-N 0 0 434.501 -0.101 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001292694833 1073750830 /nfs/dbraw/zinc/75/08/30/1073750830.db2.gz PIHWVFNLGGVKJE-UHFFFAOYSA-N 0 0 434.497 -0.387 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)C1CC1)C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001292695052 1073750857 /nfs/dbraw/zinc/75/08/57/1073750857.db2.gz QAODJPCNQMAUJR-QGZVFWFLSA-N 0 0 438.554 -0.262 20 0 IBADRN O=C(CCNC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)Nc1ccncc1 ZINC001292758709 1073751077 /nfs/dbraw/zinc/75/10/77/1073751077.db2.gz SDBATNWTVROSKS-HNNXBMFYSA-N 0 0 426.882 -0.059 20 0 IBADRN O=C(CCNC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)Nc1ccncc1 ZINC001292758710 1073751029 /nfs/dbraw/zinc/75/10/29/1073751029.db2.gz SDBATNWTVROSKS-OAHLLOKOSA-N 0 0 426.882 -0.059 20 0 IBADRN CCc1nn(C)c(OC)c1Cn1c(C)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001292772134 1073751048 /nfs/dbraw/zinc/75/10/48/1073751048.db2.gz NCMCNXBYIFYPKL-UHFFFAOYSA-N 0 0 430.513 -0.133 20 0 IBADRN CCc1nnc(Cn2c(C(=O)NC(C)C)nnc2N(C)CCNS(C)(=O)=O)s1 ZINC001292783529 1073751008 /nfs/dbraw/zinc/75/10/08/1073751008.db2.gz VJGTXWHELUTVRA-UHFFFAOYSA-N 0 0 430.560 -0.136 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC001292791299 1073750702 /nfs/dbraw/zinc/75/07/02/1073750702.db2.gz CHWGSPNHMFVYLJ-UHFFFAOYSA-N 0 0 447.583 -0.311 20 0 IBADRN CCc1nnc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)s1 ZINC001292792136 1073750976 /nfs/dbraw/zinc/75/09/76/1073750976.db2.gz QXWITZNNBRIISQ-LLVKDONJSA-N 0 0 440.555 -0.227 20 0 IBADRN CCc1nnc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)s1 ZINC001292792138 1073750904 /nfs/dbraw/zinc/75/09/04/1073750904.db2.gz QXWITZNNBRIISQ-NSHDSACASA-N 0 0 440.555 -0.227 20 0 IBADRN CCc1nnc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(=O)COC)CC2)s1 ZINC001292792196 1073750922 /nfs/dbraw/zinc/75/09/22/1073750922.db2.gz RVDNQIDPLGLMLJ-GFCCVEGCSA-N 0 0 434.526 -0.014 20 0 IBADRN CCc1nnc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(=O)COC)CC2)s1 ZINC001292792198 1073750844 /nfs/dbraw/zinc/75/08/44/1073750844.db2.gz RVDNQIDPLGLMLJ-LBPRGKRZSA-N 0 0 434.526 -0.014 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)Nc1cccc(S(=O)(=O)N2CCCN(C)CC2)c1 ZINC001292792637 1073750757 /nfs/dbraw/zinc/75/07/57/1073750757.db2.gz VWBOZCFLYWCTFV-UHFFFAOYSA-N 0 0 433.556 -0.653 20 0 IBADRN CCc1nnc(Cn2c(CC)nnc2N2CCN(C(=O)C(=O)N3CCOCC3)CC2)s1 ZINC001292796941 1073750984 /nfs/dbraw/zinc/75/09/84/1073750984.db2.gz VBNAVRAYVYNKCQ-UHFFFAOYSA-N 0 0 448.553 -0.190 20 0 IBADRN CCc1nnc(N(C)C2CCN(S(C)(=O)=O)CC2)n1CCOCCS(C)(=O)=O ZINC001292816314 1073750800 /nfs/dbraw/zinc/75/08/00/1073750800.db2.gz LIAAONNQFZVIMM-UHFFFAOYSA-N 0 0 437.588 -0.238 20 0 IBADRN CCc1nnc(N(C)C2CCN(S(C)(=O)=O)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001292816492 1073750811 /nfs/dbraw/zinc/75/08/11/1073750811.db2.gz NAPDADGPSIADCA-UHFFFAOYSA-N 0 0 441.602 -0.135 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCN1CCS(=O)(=O)CC1 ZINC001292817975 1073751470 /nfs/dbraw/zinc/75/14/70/1073751470.db2.gz YNCHMEUXEBIIKR-ZDUSSCGKSA-N 0 0 441.572 -0.839 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001292828685 1073751564 /nfs/dbraw/zinc/75/15/64/1073751564.db2.gz CMDLSDXNJSQNMJ-LLVKDONJSA-N 0 0 437.565 -0.700 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001292828686 1073751594 /nfs/dbraw/zinc/75/15/94/1073751594.db2.gz CMDLSDXNJSQNMJ-NSHDSACASA-N 0 0 437.565 -0.700 20 0 IBADRN C[C@@H](NC(=O)Cc1csc([C@H]2CCS(=O)(=O)C2)n1)C(=O)N1CCS(=O)(=O)CC1 ZINC001292830201 1073751600 /nfs/dbraw/zinc/75/16/00/1073751600.db2.gz VIHCLOGNKJAUAT-NEPJUHHUSA-N 0 0 449.576 -0.651 20 0 IBADRN C[C@H](NC(=O)Cc1csc([C@@H]2CCS(=O)(=O)C2)n1)C(=O)N1CCS(=O)(=O)CC1 ZINC001292830204 1073751370 /nfs/dbraw/zinc/75/13/70/1073751370.db2.gz VIHCLOGNKJAUAT-NWDGAFQWSA-N 0 0 449.576 -0.651 20 0 IBADRN C[C@H](NC(=O)Cc1csc([C@H]2CCS(=O)(=O)C2)n1)C(=O)N1CCS(=O)(=O)CC1 ZINC001292830205 1073751484 /nfs/dbraw/zinc/75/14/84/1073751484.db2.gz VIHCLOGNKJAUAT-RYUDHWBXSA-N 0 0 449.576 -0.651 20 0 IBADRN C[C@@H](NC(=O)Cc1csc([C@@H]2CCS(=O)(=O)C2)n1)C(=O)N1CCS(=O)(=O)CC1 ZINC001292830207 1073751511 /nfs/dbraw/zinc/75/15/11/1073751511.db2.gz VIHCLOGNKJAUAT-VXGBXAGGSA-N 0 0 449.576 -0.651 20 0 IBADRN C[C@@H](NC(=O)c1cc2ncc(Br)cn2n1)C(=O)N1CCS(=O)(=O)CC1 ZINC001292830301 1073751451 /nfs/dbraw/zinc/75/14/51/1073751451.db2.gz WVWFFLYFSMRUFF-SECBINFHSA-N 0 0 430.284 -0.133 20 0 IBADRN C[C@H](NC(=O)c1cc2ncc(Br)cn2n1)C(=O)N1CCS(=O)(=O)CC1 ZINC001292830302 1073751405 /nfs/dbraw/zinc/75/14/05/1073751405.db2.gz WVWFFLYFSMRUFF-VIFPVBQESA-N 0 0 430.284 -0.133 20 0 IBADRN Nc1ccc(I)cc1C(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001292839088 1073751445 /nfs/dbraw/zinc/75/14/45/1073751445.db2.gz WVYGFSJESJZGOJ-UHFFFAOYSA-N 0 0 441.233 -0.156 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2cccc(S(=O)(=O)C(F)(F)F)c2)CC1 ZINC001292839124 1073751361 /nfs/dbraw/zinc/75/13/61/1073751361.db2.gz XXIYREMASMCIKD-UHFFFAOYSA-N 0 0 432.384 -0.049 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)C2(CS(C)(=O)=O)COC2)cc1 ZINC001292864750 1073751526 /nfs/dbraw/zinc/75/15/26/1073751526.db2.gz LFTYDVMLXLRSLM-UHFFFAOYSA-N 0 0 438.550 -0.104 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)NCC(=O)NCCN2CCOCC2)C1=O ZINC001292871979 1073751576 /nfs/dbraw/zinc/75/15/76/1073751576.db2.gz IVTWINJRRRZSRH-HNNXBMFYSA-N 0 0 426.514 -0.869 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)NCC(=O)NCCN2CCOCC2)C1=O ZINC001292871982 1073751392 /nfs/dbraw/zinc/75/13/92/1073751392.db2.gz IVTWINJRRRZSRH-OAHLLOKOSA-N 0 0 426.514 -0.869 20 0 IBADRN O=C(CNC(=O)c1ccc(Br)cc1-n1cnnn1)NCCN1CCOCC1 ZINC001292872562 1073751457 /nfs/dbraw/zinc/75/14/57/1073751457.db2.gz KNGDMZBWXGAJJC-UHFFFAOYSA-N 0 0 438.286 -0.397 20 0 IBADRN CO[C@@H]1C[C@H](C(=O)NCC(=O)NCCN2CCOCC2)N(C(=O)OCc2ccccc2)C1 ZINC001292872568 1073751584 /nfs/dbraw/zinc/75/15/84/1073751584.db2.gz KXGGYUGKCBBFEQ-RTBURBONSA-N 0 0 448.520 -0.023 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)[C@@H](CO)NC(=O)OC(C)(C)C)C(=O)NCCN1CCOCC1 ZINC001292872756 1073751432 /nfs/dbraw/zinc/75/14/32/1073751432.db2.gz WNJBNWIXFVTKDV-ARFHVFGLSA-N 0 0 430.546 -0.149 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)[C@@H](CO)NC(=O)OC(C)(C)C)C(=O)NCCN1CCOCC1 ZINC001292872758 1073751552 /nfs/dbraw/zinc/75/15/52/1073751552.db2.gz WNJBNWIXFVTKDV-BZUAXINKSA-N 0 0 430.546 -0.149 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)[C@@H](CO)NC(=O)OC(C)(C)C)C(=O)NCCN1CCOCC1 ZINC001292872760 1073751496 /nfs/dbraw/zinc/75/14/96/1073751496.db2.gz WNJBNWIXFVTKDV-OAGGEKHMSA-N 0 0 430.546 -0.149 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NCCCN1C(=O)CCC1=O ZINC001292872809 1073752216 /nfs/dbraw/zinc/75/22/16/1073752216.db2.gz UQXMKYDIJQHJGI-UHFFFAOYSA-N 0 0 430.504 -0.226 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)[C@@H](CO)NC(=O)OC(C)(C)C)C(=O)NCCN1CCOCC1 ZINC001292872963 1073752041 /nfs/dbraw/zinc/75/20/41/1073752041.db2.gz WNJBNWIXFVTKDV-XHSDSOJGSA-N 0 0 430.546 -0.149 20 0 IBADRN CC(=O)Nc1ccc(N2C[C@@H](C(=O)NCC(=O)NCCN3CCOCC3)CC2=O)cc1 ZINC001292873201 1073752247 /nfs/dbraw/zinc/75/22/47/1073752247.db2.gz ZRUDWPPJEDKZIJ-INIZCTEOSA-N 0 0 431.493 -0.438 20 0 IBADRN CC(=O)Nc1ccc(N2C[C@H](C(=O)NCC(=O)NCCN3CCOCC3)CC2=O)cc1 ZINC001292873233 1073751916 /nfs/dbraw/zinc/75/19/16/1073751916.db2.gz ZRUDWPPJEDKZIJ-MRXNPFEDSA-N 0 0 431.493 -0.438 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)NCCN1CCOCC1 ZINC001292873709 1073752258 /nfs/dbraw/zinc/75/22/58/1073752258.db2.gz UXHCVJPKCPGIMG-CQSZACIVSA-N 0 0 430.502 -0.603 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001292909845 1073752095 /nfs/dbraw/zinc/75/20/95/1073752095.db2.gz YVZXOSGBXMFSGF-INIZCTEOSA-N 0 0 428.530 -0.129 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001292909848 1073752231 /nfs/dbraw/zinc/75/22/31/1073752231.db2.gz YVZXOSGBXMFSGF-MRXNPFEDSA-N 0 0 428.530 -0.129 20 0 IBADRN CN(C(=O)CCN1C(=O)CCNC1=S)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001292919092 1073751884 /nfs/dbraw/zinc/75/18/84/1073751884.db2.gz DFLXWRXVGJGILU-UHFFFAOYSA-N 0 0 438.598 -0.820 20 0 IBADRN CN(C(=O)CCCCCCS(N)(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001292919619 1073752068 /nfs/dbraw/zinc/75/20/68/1073752068.db2.gz GUKSYUSTDBKFTM-UHFFFAOYSA-N 0 0 445.630 -0.078 20 0 IBADRN CN(C(=O)c1cn(-c2cccnc2)nn1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001292920357 1073751986 /nfs/dbraw/zinc/75/19/86/1073751986.db2.gz KRIXHRQLQNTGPY-UHFFFAOYSA-N 0 0 426.525 -0.027 20 0 IBADRN CN(C(=O)CNc1ccccc1C(N)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001292920864 1073752011 /nfs/dbraw/zinc/75/20/11/1073752011.db2.gz PQUVNLVAQRGZIW-UHFFFAOYSA-N 0 0 430.553 -0.106 20 0 IBADRN Cc1nn(C)cc1C1=NO[C@H](C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)C1 ZINC001292921036 1073751927 /nfs/dbraw/zinc/75/19/27/1073751927.db2.gz TTXVWEABPNPNAO-FQEVSTJZSA-N 0 0 445.568 -0.082 20 0 IBADRN Cc1nn(C)cc1C1=NO[C@@H](C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)C1 ZINC001292921037 1073752173 /nfs/dbraw/zinc/75/21/73/1073752173.db2.gz TTXVWEABPNPNAO-HXUWFJFHSA-N 0 0 445.568 -0.082 20 0 IBADRN CCc1nnc(N2CCC(C(=O)N3CCOCC3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001292923280 1073751971 /nfs/dbraw/zinc/75/19/71/1073751971.db2.gz PLDKKNGULZVGDM-IBGZPJMESA-N 0 0 441.554 -0.535 20 0 IBADRN CCc1nnc(N2CCC(C(=O)N3CCOCC3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001292923281 1073752237 /nfs/dbraw/zinc/75/22/37/1073752237.db2.gz PLDKKNGULZVGDM-LJQANCHMSA-N 0 0 441.554 -0.535 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC001292933640 1073751954 /nfs/dbraw/zinc/75/19/54/1073751954.db2.gz NUVHULFVRCBWIZ-UHFFFAOYSA-N 0 0 439.538 -0.552 20 0 IBADRN CCc1nnc(N2CCC([C@]3(C)NC(=O)NC3=O)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001292940610 1073752739 /nfs/dbraw/zinc/75/27/39/1073752739.db2.gz SLLCDTGWNLZDNJ-NRFANRHFSA-N 0 0 446.556 -0.181 20 0 IBADRN CCc1nnc(N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001292940611 1073752807 /nfs/dbraw/zinc/75/28/07/1073752807.db2.gz SLLCDTGWNLZDNJ-OAQYLSRUSA-N 0 0 446.556 -0.181 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCN(Cc4ccc(C(N)=O)cc4)CC3)c2S1(=O)=O ZINC001292946112 1073752626 /nfs/dbraw/zinc/75/26/26/1073752626.db2.gz GWPGPHUIOBMGJQ-UHFFFAOYSA-N 0 0 432.506 -0.426 20 0 IBADRN CCc1nnc(N2CCC([C@@H]3NC(=O)N(C)C3=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001292951150 1073752784 /nfs/dbraw/zinc/75/27/84/1073752784.db2.gz FNLYTUWOXLHDMV-KBXCAEBGSA-N 0 0 440.526 -0.843 20 0 IBADRN CCc1nnc(N2CCC([C@H]3NC(=O)N(C)C3=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001292951153 1073752617 /nfs/dbraw/zinc/75/26/17/1073752617.db2.gz FNLYTUWOXLHDMV-KDOFPFPSSA-N 0 0 440.526 -0.843 20 0 IBADRN CCc1nnc(N2CCC([C@@H]3NC(=O)N(C)C3=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001292951156 1073752558 /nfs/dbraw/zinc/75/25/58/1073752558.db2.gz FNLYTUWOXLHDMV-KSSFIOAISA-N 0 0 440.526 -0.843 20 0 IBADRN CCc1nnc(N2CCC([C@H]3NC(=O)N(C)C3=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001292951158 1073752795 /nfs/dbraw/zinc/75/27/95/1073752795.db2.gz FNLYTUWOXLHDMV-RDTXWAMCSA-N 0 0 440.526 -0.843 20 0 IBADRN CCc1nnc(N2CCC([C@@H]3NC(=O)N(C)C3=O)CC2)n1CCN1CCCS1(=O)=O ZINC001292951235 1073752600 /nfs/dbraw/zinc/75/26/00/1073752600.db2.gz GQEKSLZZEGLKAD-HNNXBMFYSA-N 0 0 439.542 -0.357 20 0 IBADRN CCc1nnc(N2CCC([C@H]3NC(=O)N(C)C3=O)CC2)n1CCN1CCCS1(=O)=O ZINC001292951236 1073752537 /nfs/dbraw/zinc/75/25/37/1073752537.db2.gz GQEKSLZZEGLKAD-OAHLLOKOSA-N 0 0 439.542 -0.357 20 0 IBADRN CCc1nnc(N2CCC(CNS(C)(=O)=O)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001292962013 1073752639 /nfs/dbraw/zinc/75/26/39/1073752639.db2.gz KICMXZHWUGYLQW-ZDUSSCGKSA-N 0 0 427.531 -0.406 20 0 IBADRN CCc1nnc(N2CCC(N(C)S(C)(=O)=O)CC2)n1CCCn1cc(CO)nn1 ZINC001292970233 1073752586 /nfs/dbraw/zinc/75/25/86/1073752586.db2.gz FUUJXASMLHYEBR-UHFFFAOYSA-N 0 0 426.547 -0.125 20 0 IBADRN CCc1nnc(N2CCC(N(C)S(=O)(=O)CC)CC2)n1CC(=O)N1CCOCC1 ZINC001292971599 1073752547 /nfs/dbraw/zinc/75/25/47/1073752547.db2.gz YYMBIPIJLZCNSZ-UHFFFAOYSA-N 0 0 428.559 -0.050 20 0 IBADRN NS(=O)(=O)c1nc(C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)n2ccccc12 ZINC001292985961 1073752697 /nfs/dbraw/zinc/75/26/97/1073752697.db2.gz KJEDRDUMLIQDOC-UHFFFAOYSA-N 0 0 434.522 -0.248 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC001292987013 1073752484 /nfs/dbraw/zinc/75/24/84/1073752484.db2.gz OZJYIZJGHNGCMM-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC001292987790 1073752565 /nfs/dbraw/zinc/75/25/65/1073752565.db2.gz UWCQSAITZDSZLW-GOSISDBHSA-N 0 0 447.511 -0.044 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC001292987791 1073752502 /nfs/dbraw/zinc/75/25/02/1073752502.db2.gz UWCQSAITZDSZLW-SFHVURJKSA-N 0 0 447.511 -0.044 20 0 IBADRN CCc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CCN1CCN(C(C)=O)CC1 ZINC001293000397 1073752830 /nfs/dbraw/zinc/75/28/30/1073752830.db2.gz HEUSTPZTVFPOBE-UHFFFAOYSA-N 0 0 432.529 -0.475 20 0 IBADRN CCc1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001293000441 1073753209 /nfs/dbraw/zinc/75/32/09/1073753209.db2.gz UNOURUIUIFSBPC-CYBMUJFWSA-N 0 0 437.613 -0.158 20 0 IBADRN CCc1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001293000442 1073753275 /nfs/dbraw/zinc/75/32/75/1073753275.db2.gz UNOURUIUIFSBPC-ZDUSSCGKSA-N 0 0 437.613 -0.158 20 0 IBADRN CCc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001293001176 1073753332 /nfs/dbraw/zinc/75/33/32/1073753332.db2.gz KTZGOUISGDVXKV-CYBMUJFWSA-N 0 0 439.542 -0.357 20 0 IBADRN CCc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001293001180 1073753140 /nfs/dbraw/zinc/75/31/40/1073753140.db2.gz KTZGOUISGDVXKV-ZDUSSCGKSA-N 0 0 439.542 -0.357 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(C(=O)c3nc4n(n3)CCCN4)CC2)cc1 ZINC001293001935 1073753311 /nfs/dbraw/zinc/75/33/11/1073753311.db2.gz CBVRYRHWJSVRQO-UHFFFAOYSA-N 0 0 433.538 -0.604 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)[C@H]1c1nccn1C ZINC001293002263 1073753445 /nfs/dbraw/zinc/75/34/45/1073753445.db2.gz IKLXZVQZIWBLRW-ROUUACIJSA-N 0 0 447.540 -0.780 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)CCC(=O)Nc3ccc4[nH]c(=O)[nH]c4n3)CC2)CC1 ZINC001293003158 1073753287 /nfs/dbraw/zinc/75/32/87/1073753287.db2.gz ZORJOHXWPUIWFF-UHFFFAOYSA-N 0 0 444.496 -0.106 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)c1 ZINC001293004376 1073753401 /nfs/dbraw/zinc/75/34/01/1073753401.db2.gz KDOUUXLCIXWHTK-UHFFFAOYSA-N 0 0 433.509 -0.055 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)ccc1F ZINC001293005053 1073753375 /nfs/dbraw/zinc/75/33/75/1073753375.db2.gz QIYFDSHZYJWPGQ-UHFFFAOYSA-N 0 0 427.502 -0.141 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)CC1 ZINC001293005334 1073753351 /nfs/dbraw/zinc/75/33/51/1073753351.db2.gz RATYIGSYBVVNAO-UHFFFAOYSA-N 0 0 443.302 -0.777 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)C3=NN(c4ccccc4)[C@@H](C(N)=O)C3)CC2)CC1 ZINC001293005862 1073753186 /nfs/dbraw/zinc/75/31/86/1073753186.db2.gz ZNFOVMOFDTUMEQ-GOSISDBHSA-N 0 0 427.509 -0.382 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)C3=NN(c4ccccc4)[C@H](C(N)=O)C3)CC2)CC1 ZINC001293005863 1073753231 /nfs/dbraw/zinc/75/32/31/1073753231.db2.gz ZNFOVMOFDTUMEQ-SFHVURJKSA-N 0 0 427.509 -0.382 20 0 IBADRN O=C(C1CC2(C1)CS(=O)(=O)C2)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001293012845 1073753340 /nfs/dbraw/zinc/75/33/40/1073753340.db2.gz VDGBAGQISVRHRP-INIZCTEOSA-N 0 0 434.580 -0.451 20 0 IBADRN O=C(C1CC2(C1)CS(=O)(=O)C2)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001293012852 1073753172 /nfs/dbraw/zinc/75/31/72/1073753172.db2.gz VDGBAGQISVRHRP-MRXNPFEDSA-N 0 0 434.580 -0.451 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC2CCN(CCS(C)(=O)=O)CC2)[C@H]1c1nccn1C ZINC001293022242 1073753251 /nfs/dbraw/zinc/75/32/51/1073753251.db2.gz JJZBXVKUNLFIRR-IRXDYDNUSA-N 0 0 441.554 -0.666 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)c2C1 ZINC001293024254 1073753117 /nfs/dbraw/zinc/75/31/17/1073753117.db2.gz WRWZESYNOCIGBD-HNNXBMFYSA-N 0 0 425.555 -0.180 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)c2C1 ZINC001293024256 1073753217 /nfs/dbraw/zinc/75/32/17/1073753217.db2.gz WRWZESYNOCIGBD-OAHLLOKOSA-N 0 0 425.555 -0.180 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)[C@@H]2[C@H]3CC[C@]4(COC(=O)[C@H]24)O3)c1 ZINC001293033443 1073753926 /nfs/dbraw/zinc/75/39/26/1073753926.db2.gz KHWLHSMBHODTQI-BUQKYKDUSA-N 0 0 437.474 -0.242 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)[C@@H]2[C@H]3CC[C@]4(COC(=O)[C@H]24)O3)c1 ZINC001293033444 1073753772 /nfs/dbraw/zinc/75/37/72/1073753772.db2.gz KHWLHSMBHODTQI-YFYHAMRPSA-N 0 0 437.474 -0.242 20 0 IBADRN CCc1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001293035440 1073753909 /nfs/dbraw/zinc/75/39/09/1073753909.db2.gz LDJGQFJLVFMEJH-KRWDZBQOSA-N 0 0 441.602 -0.230 20 0 IBADRN CCc1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001293035441 1073753816 /nfs/dbraw/zinc/75/38/16/1073753816.db2.gz LDJGQFJLVFMEJH-QGZVFWFLSA-N 0 0 441.602 -0.230 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N[C@H]2CCCN(CC(N)=O)C2)C1 ZINC001293041514 1073753882 /nfs/dbraw/zinc/75/38/82/1073753882.db2.gz QFVRDPKZINJHJD-KBPBESRZSA-N 0 0 432.543 -0.524 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N[C@@H]2CCCN(CC(N)=O)C2)C1 ZINC001293041523 1073753785 /nfs/dbraw/zinc/75/37/85/1073753785.db2.gz QFVRDPKZINJHJD-KGLIPLIRSA-N 0 0 432.543 -0.524 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N[C@H]2CCCN(CC(N)=O)C2)C1 ZINC001293041525 1073753949 /nfs/dbraw/zinc/75/39/49/1073753949.db2.gz QFVRDPKZINJHJD-UONOGXRCSA-N 0 0 432.543 -0.524 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N[C@@H]2CCCN(CC(N)=O)C2)C1 ZINC001293041526 1073753834 /nfs/dbraw/zinc/75/38/34/1073753834.db2.gz QFVRDPKZINJHJD-ZIAGYGMSSA-N 0 0 432.543 -0.524 20 0 IBADRN CCc1nnc(N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)n1Cc1cnnn1C ZINC001293047313 1073753957 /nfs/dbraw/zinc/75/39/57/1073753957.db2.gz KZQVNVUCCLWJES-HNNXBMFYSA-N 0 0 426.547 -0.106 20 0 IBADRN CCc1nnc(N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)n1Cc1cnnn1C ZINC001293047314 1073753933 /nfs/dbraw/zinc/75/39/33/1073753933.db2.gz KZQVNVUCCLWJES-OAHLLOKOSA-N 0 0 426.547 -0.106 20 0 IBADRN CCc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1CCCS(C)(=O)=O ZINC001293047478 1073753802 /nfs/dbraw/zinc/75/38/02/1073753802.db2.gz LWZZLAAIOUJKDK-HNNXBMFYSA-N 0 0 449.599 -0.094 20 0 IBADRN CCc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1CCCS(C)(=O)=O ZINC001293047485 1073753897 /nfs/dbraw/zinc/75/38/97/1073753897.db2.gz LWZZLAAIOUJKDK-OAHLLOKOSA-N 0 0 449.599 -0.094 20 0 IBADRN CCc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1C[C@H]1CCC(=O)N1 ZINC001293048849 1073753749 /nfs/dbraw/zinc/75/37/49/1073753749.db2.gz UJRUUBXMAJMBLN-CABCVRRESA-N 0 0 426.543 -0.250 20 0 IBADRN CCc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1C[C@H]1CCC(=O)N1 ZINC001293048850 1073753892 /nfs/dbraw/zinc/75/38/92/1073753892.db2.gz UJRUUBXMAJMBLN-HUUCEWRRSA-N 0 0 426.543 -0.250 20 0 IBADRN CCc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1CCNC(=O)C1CC1 ZINC001293049244 1073753762 /nfs/dbraw/zinc/75/37/62/1073753762.db2.gz WMSBHGNQZIOMLE-INIZCTEOSA-N 0 0 440.570 -0.003 20 0 IBADRN CCc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1CCNC(=O)C1CC1 ZINC001293049245 1073753717 /nfs/dbraw/zinc/75/37/17/1073753717.db2.gz WMSBHGNQZIOMLE-MRXNPFEDSA-N 0 0 440.570 -0.003 20 0 IBADRN CCc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1CCS(=O)(=O)CC ZINC001293049286 1073753726 /nfs/dbraw/zinc/75/37/26/1073753726.db2.gz XBPZMHXYJKNBJJ-HNNXBMFYSA-N 0 0 449.599 -0.094 20 0 IBADRN CCc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1CCS(=O)(=O)CC ZINC001293049287 1073753705 /nfs/dbraw/zinc/75/37/05/1073753705.db2.gz XBPZMHXYJKNBJJ-OAHLLOKOSA-N 0 0 449.599 -0.094 20 0 IBADRN COC(=O)C[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC001293063049 1073753735 /nfs/dbraw/zinc/75/37/35/1073753735.db2.gz KDUFJBHLQLOIRS-AWEZNQCLSA-N 0 0 428.486 -0.360 20 0 IBADRN CCc1nnc(N2CCCN(CC(=O)N3CCCC3)CC2)n1CCNS(C)(=O)=O ZINC001293069132 1073753845 /nfs/dbraw/zinc/75/38/45/1073753845.db2.gz RCCJOZZGRHPDEQ-UHFFFAOYSA-N 0 0 427.575 -0.476 20 0 IBADRN CCc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001293072638 1073754493 /nfs/dbraw/zinc/75/44/93/1073754493.db2.gz QLIBXZSDIPADJO-HNNXBMFYSA-N 0 0 428.559 -0.193 20 0 IBADRN CCc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001293072639 1073754478 /nfs/dbraw/zinc/75/44/78/1073754478.db2.gz QLIBXZSDIPADJO-OAHLLOKOSA-N 0 0 428.559 -0.193 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCCN(CC(N)=O)CC3)CC2)cn1C ZINC001293074872 1073754439 /nfs/dbraw/zinc/75/44/39/1073754439.db2.gz RXBVAJJKOSUAKB-UHFFFAOYSA-N 0 0 426.543 -0.851 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1Cc1ccc(C(N)=O)nc1 ZINC001293075089 1073754269 /nfs/dbraw/zinc/75/42/69/1073754269.db2.gz AWTAIEWHEZMKGD-UHFFFAOYSA-N 0 0 440.508 -0.346 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCS(=O)(=O)CC1CC1 ZINC001293075094 1073754359 /nfs/dbraw/zinc/75/43/59/1073754359.db2.gz BHWGYRVULHPKEZ-UHFFFAOYSA-N 0 0 438.554 -0.408 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCCc1cnn(C)n1 ZINC001293075150 1073754406 /nfs/dbraw/zinc/75/44/06/1073754406.db2.gz CYYTYMJZKWDHLZ-UHFFFAOYSA-N 0 0 429.529 -0.127 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCCc1cnn(C)c1 ZINC001293075201 1073754688 /nfs/dbraw/zinc/75/46/88/1073754688.db2.gz GAUQIMRPEFBDND-UHFFFAOYSA-N 0 0 444.540 -0.286 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCCC(=O)N(C)C ZINC001293075209 1073754394 /nfs/dbraw/zinc/75/43/94/1073754394.db2.gz GMMVACNMRNRBSO-UHFFFAOYSA-N 0 0 435.529 -0.784 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCNC(=O)c1ccco1 ZINC001293075267 1073754588 /nfs/dbraw/zinc/75/45/88/1073754588.db2.gz IGHSVPKLCVWQCR-UHFFFAOYSA-N 0 0 429.481 -0.209 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCNC(=O)C(C)C ZINC001293075268 1073754677 /nfs/dbraw/zinc/75/46/77/1073754677.db2.gz IGXAQCQNXAHRIP-UHFFFAOYSA-N 0 0 435.529 -0.880 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1Cc1ccc(C(N)=O)nc1 ZINC001293075419 1073754382 /nfs/dbraw/zinc/75/43/82/1073754382.db2.gz NTFGFUQOOPRNMI-UHFFFAOYSA-N 0 0 426.481 -0.690 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CC1([S@](C)=O)CC1 ZINC001293075447 1073754337 /nfs/dbraw/zinc/75/43/37/1073754337.db2.gz OQJDEPCJSJCDHD-PMERELPUSA-N 0 0 438.554 -0.741 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CC1([S@@](C)=O)CC1 ZINC001293075448 1073754348 /nfs/dbraw/zinc/75/43/48/1073754348.db2.gz OQJDEPCJSJCDHD-SSEXGKCCSA-N 0 0 438.554 -0.741 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1c(C)nn(C)c1C ZINC001293075462 1073754370 /nfs/dbraw/zinc/75/43/70/1073754370.db2.gz POZBAHWTUAJYNL-UHFFFAOYSA-N 0 0 444.540 -0.253 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCN1CCOC[C@@H]1C ZINC001293075628 1073754550 /nfs/dbraw/zinc/75/45/50/1073754550.db2.gz WDUKTLSKEZIVBZ-KRWDZBQOSA-N 0 0 433.557 -0.168 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCN1CCOC[C@H]1C ZINC001293075629 1073754507 /nfs/dbraw/zinc/75/45/07/1073754507.db2.gz WDUKTLSKEZIVBZ-QGZVFWFLSA-N 0 0 433.557 -0.168 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCc1nnc(C)s1 ZINC001293075774 1073755071 /nfs/dbraw/zinc/75/50/71/1073755071.db2.gz XPWGPVXHWPXGFM-UHFFFAOYSA-N 0 0 448.553 -0.249 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001293075784 1073755091 /nfs/dbraw/zinc/75/50/91/1073755091.db2.gz XTSZKEANVSPTQU-UHFFFAOYSA-N 0 0 448.572 -0.822 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCC(=O)NC(C)(C)C ZINC001293075786 1073755051 /nfs/dbraw/zinc/75/50/51/1073755051.db2.gz XVMPRTFCSQLLJK-UHFFFAOYSA-N 0 0 449.556 -0.347 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)C[NH+]3CCC(CO)CC3)ncnc1-2 ZINC001293080218 1073755003 /nfs/dbraw/zinc/75/50/03/1073755003.db2.gz UMCGIDHBRFZDMN-UHFFFAOYSA-N 0 0 426.319 -0.268 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)cnc2C)CC1 ZINC001293080542 1073755099 /nfs/dbraw/zinc/75/50/99/1073755099.db2.gz MXALZNFFAAYRHE-AWEZNQCLSA-N 0 0 427.527 -0.664 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)cnc2C)CC1 ZINC001293080543 1073755058 /nfs/dbraw/zinc/75/50/58/1073755058.db2.gz MXALZNFFAAYRHE-CQSZACIVSA-N 0 0 427.527 -0.664 20 0 IBADRN CCc1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1CCCNS(=O)(=O)CC ZINC001293082937 1073755038 /nfs/dbraw/zinc/75/50/38/1073755038.db2.gz BUWUANSKXHFDMZ-HNNXBMFYSA-N 0 0 428.559 -0.003 20 0 IBADRN CCc1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1CCCNS(=O)(=O)CC ZINC001293082938 1073755041 /nfs/dbraw/zinc/75/50/41/1073755041.db2.gz BUWUANSKXHFDMZ-OAHLLOKOSA-N 0 0 428.559 -0.003 20 0 IBADRN CCc1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001293083369 1073755068 /nfs/dbraw/zinc/75/50/68/1073755068.db2.gz PLUFGZOXACUUJE-KBXCAEBGSA-N 0 0 427.527 -0.782 20 0 IBADRN CCc1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001293083370 1073755522 /nfs/dbraw/zinc/75/55/22/1073755522.db2.gz PLUFGZOXACUUJE-KDOFPFPSSA-N 0 0 427.527 -0.782 20 0 IBADRN CCc1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001293083371 1073755512 /nfs/dbraw/zinc/75/55/12/1073755512.db2.gz PLUFGZOXACUUJE-KSSFIOAISA-N 0 0 427.527 -0.782 20 0 IBADRN CCc1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001293083372 1073755630 /nfs/dbraw/zinc/75/56/30/1073755630.db2.gz PLUFGZOXACUUJE-RDTXWAMCSA-N 0 0 427.527 -0.782 20 0 IBADRN CCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CCNC(=O)C(C)(C)C ZINC001293086059 1073755477 /nfs/dbraw/zinc/75/54/77/1073755477.db2.gz LFWOBTNYCQQLNW-UHFFFAOYSA-N 0 0 435.573 -0.016 20 0 IBADRN CCc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC(=O)Nc1ccc(F)cc1 ZINC001293087470 1073755621 /nfs/dbraw/zinc/75/56/21/1073755621.db2.gz VTPWODLRWLEEJR-UHFFFAOYSA-N 0 0 442.459 -0.046 20 0 IBADRN CCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@@H](C)N1CCOCC1 ZINC001293087522 1073755638 /nfs/dbraw/zinc/75/56/38/1073755638.db2.gz WTMNDTUUIZNMEI-GOSISDBHSA-N 0 0 435.573 -0.458 20 0 IBADRN CCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@H](C)N1CCOCC1 ZINC001293087525 1073755669 /nfs/dbraw/zinc/75/56/69/1073755669.db2.gz WTMNDTUUIZNMEI-SFHVURJKSA-N 0 0 435.573 -0.458 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001293092953 1073755592 /nfs/dbraw/zinc/75/55/92/1073755592.db2.gz DQYWTNOCCPPVLC-KRWDZBQOSA-N 0 0 446.600 -0.206 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001293092955 1073755794 /nfs/dbraw/zinc/75/57/94/1073755794.db2.gz DQYWTNOCCPPVLC-QGZVFWFLSA-N 0 0 446.600 -0.206 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC001293093329 1073755717 /nfs/dbraw/zinc/75/57/17/1073755717.db2.gz JSYKEPQKGRWFQX-AWEZNQCLSA-N 0 0 446.526 -0.706 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC001293093332 1073755655 /nfs/dbraw/zinc/75/56/55/1073755655.db2.gz JSYKEPQKGRWFQX-CQSZACIVSA-N 0 0 446.526 -0.706 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1CCNS(=O)(=O)CC ZINC001293093624 1073755688 /nfs/dbraw/zinc/75/56/88/1073755688.db2.gz HUTOLLPBLCIOOJ-AWEZNQCLSA-N 0 0 427.575 -0.431 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1CCNS(=O)(=O)CC ZINC001293093625 1073755534 /nfs/dbraw/zinc/75/55/34/1073755534.db2.gz HUTOLLPBLCIOOJ-CQSZACIVSA-N 0 0 427.575 -0.431 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)n1CCCNS(C)(=O)=O ZINC001293094351 1073755778 /nfs/dbraw/zinc/75/57/78/1073755778.db2.gz MNOGFPOJRIMFSE-INIZCTEOSA-N 0 0 441.602 -0.087 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)N3CCCC3)CC2)n1CCCNS(C)(=O)=O ZINC001293094352 1073755748 /nfs/dbraw/zinc/75/57/48/1073755748.db2.gz MNOGFPOJRIMFSE-MRXNPFEDSA-N 0 0 441.602 -0.087 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)NCCS(=O)(=O)N1CCSCC1 ZINC001293094457 1073755706 /nfs/dbraw/zinc/75/57/06/1073755706.db2.gz USCFBFIJYNGUJU-HNNXBMFYSA-N 0 0 433.527 -0.660 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1CCS(=O)(=O)NC(C)C ZINC001293096301 1073755552 /nfs/dbraw/zinc/75/55/52/1073755552.db2.gz WOGPLGDPMULZQT-HNNXBMFYSA-N 0 0 441.602 -0.043 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1CCS(=O)(=O)NC(C)C ZINC001293096303 1073755497 /nfs/dbraw/zinc/75/54/97/1073755497.db2.gz WOGPLGDPMULZQT-OAHLLOKOSA-N 0 0 441.602 -0.043 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1CCCNS(C)(=O)=O ZINC001293096309 1073755697 /nfs/dbraw/zinc/75/56/97/1073755697.db2.gz WQZXFIDIFDELKF-AWEZNQCLSA-N 0 0 427.575 -0.431 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1CCCNS(C)(=O)=O ZINC001293096310 1073756255 /nfs/dbraw/zinc/75/62/55/1073756255.db2.gz WQZXFIDIFDELKF-CQSZACIVSA-N 0 0 427.575 -0.431 20 0 IBADRN CCc1nnc(N2CCN(c3cc(N4CCOCC4)ncn3)CC2)n1CCC(=O)NC ZINC001293101233 1073756177 /nfs/dbraw/zinc/75/61/77/1073756177.db2.gz VAWFLPGGQZKHLD-UHFFFAOYSA-N 0 0 429.529 -0.070 20 0 IBADRN CCc1nnc(N2CCN(c3ccc(C(N)=O)cn3)CC2)n1CCS(=O)(=O)N(C)C ZINC001293104277 1073756231 /nfs/dbraw/zinc/75/62/31/1073756231.db2.gz VZDLLAMLEPZPPG-UHFFFAOYSA-N 0 0 436.542 -0.448 20 0 IBADRN CCc1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001293105030 1073756282 /nfs/dbraw/zinc/75/62/82/1073756282.db2.gz YJNMHSJVOJJLRG-HNNXBMFYSA-N 0 0 430.513 -0.078 20 0 IBADRN CCc1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001293105031 1073756290 /nfs/dbraw/zinc/75/62/90/1073756290.db2.gz YJNMHSJVOJJLRG-OAHLLOKOSA-N 0 0 430.513 -0.078 20 0 IBADRN CCC[C@@H](C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)S(N)(=O)=O ZINC001293108055 1073756345 /nfs/dbraw/zinc/75/63/45/1073756345.db2.gz WOOCBKGXBAPHBA-CABCVRRESA-N 0 0 426.543 -0.145 20 0 IBADRN CCC[C@@H](C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)S(N)(=O)=O ZINC001293108056 1073756243 /nfs/dbraw/zinc/75/62/43/1073756243.db2.gz WOOCBKGXBAPHBA-GJZGRUSLSA-N 0 0 426.543 -0.145 20 0 IBADRN CCC[C@H](C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)S(N)(=O)=O ZINC001293108057 1073756213 /nfs/dbraw/zinc/75/62/13/1073756213.db2.gz WOOCBKGXBAPHBA-HUUCEWRRSA-N 0 0 426.543 -0.145 20 0 IBADRN CCC[C@H](C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)S(N)(=O)=O ZINC001293108058 1073756199 /nfs/dbraw/zinc/75/61/99/1073756199.db2.gz WOOCBKGXBAPHBA-LSDHHAIUSA-N 0 0 426.543 -0.145 20 0 IBADRN CCc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1CCCNS(C)(=O)=O ZINC001293110710 1073756160 /nfs/dbraw/zinc/75/61/60/1073756160.db2.gz CQFGCIYRMHRYFP-UHFFFAOYSA-N 0 0 436.542 -0.400 20 0 IBADRN CCc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1CC(=O)N1CCOCC1 ZINC001293111340 1073756295 /nfs/dbraw/zinc/75/62/95/1073756295.db2.gz PVKXOCJQQRSQEK-UHFFFAOYSA-N 0 0 428.497 -0.480 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1CCNS(C)(=O)=O ZINC001293118737 1073756266 /nfs/dbraw/zinc/75/62/66/1073756266.db2.gz DALMALSJAMMTSA-UHFFFAOYSA-N 0 0 427.575 -0.476 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC(C)C)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001293118824 1073756148 /nfs/dbraw/zinc/75/61/48/1073756148.db2.gz FEQOYDLXEUALJI-GOSISDBHSA-N 0 0 428.559 -0.963 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC(C)C)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001293118827 1073756167 /nfs/dbraw/zinc/75/61/67/1073756167.db2.gz FEQOYDLXEUALJI-SFHVURJKSA-N 0 0 428.559 -0.963 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001293121002 1073756323 /nfs/dbraw/zinc/75/63/23/1073756323.db2.gz KXLNGYAJYNFTJU-UHFFFAOYSA-N 0 0 440.570 -0.819 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC3CCCCC3)CC2)n1CCS(=O)(=O)NC ZINC001293121048 1073756275 /nfs/dbraw/zinc/75/62/75/1073756275.db2.gz LJYZMXUMQFASIF-UHFFFAOYSA-N 0 0 441.602 -0.039 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NCCOC)CC2)n1Cc1ccc(C)n(C)c1=O ZINC001293121335 1073756260 /nfs/dbraw/zinc/75/62/60/1073756260.db2.gz OPSSHEDYCOKTTK-UHFFFAOYSA-N 0 0 431.541 -0.219 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCN1CCCS1(=O)=O ZINC001293121403 1073756237 /nfs/dbraw/zinc/75/62/37/1073756237.db2.gz QHMLZPAQQLALSU-UHFFFAOYSA-N 0 0 425.559 -0.723 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NCCOC)CC2)n1CC1CCN(C(C)=O)CC1 ZINC001293121778 1073756669 /nfs/dbraw/zinc/75/66/69/1073756669.db2.gz HEQIFSMFCZGQBW-UHFFFAOYSA-N 0 0 435.573 -0.016 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1CC(=O)N(C)CC ZINC001293137486 1073756705 /nfs/dbraw/zinc/75/67/05/1073756705.db2.gz CTFWBKIIDSEIFT-UHFFFAOYSA-N 0 0 425.515 -0.041 20 0 IBADRN CCc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCNS(=O)(=O)c1ccccc1 ZINC001293139210 1073756796 /nfs/dbraw/zinc/75/67/96/1073756796.db2.gz NYZKJNBSSHPFLQ-UHFFFAOYSA-N 0 0 442.567 -0.099 20 0 IBADRN CCc1nnc(N2CCN3CCOC[C@@H]3C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001293139926 1073756759 /nfs/dbraw/zinc/75/67/59/1073756759.db2.gz GOEZWXUEHSPPSV-HNNXBMFYSA-N 0 0 430.600 -0.260 20 0 IBADRN CCc1nnc(N2CCN3CCOC[C@H]3C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001293139928 1073756717 /nfs/dbraw/zinc/75/67/17/1073756717.db2.gz GOEZWXUEHSPPSV-OAHLLOKOSA-N 0 0 430.600 -0.260 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@@H]1CCC[C@H](O)C1 ZINC001293140261 1073756739 /nfs/dbraw/zinc/75/67/39/1073756739.db2.gz FRPFQTFKMPBDMV-CABCVRRESA-N 0 0 432.529 -0.142 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@H]1CCC[C@H](O)C1 ZINC001293140262 1073756679 /nfs/dbraw/zinc/75/66/79/1073756679.db2.gz FRPFQTFKMPBDMV-GJZGRUSLSA-N 0 0 432.529 -0.142 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@@H]1CCC[C@@H](O)C1 ZINC001293140263 1073756699 /nfs/dbraw/zinc/75/66/99/1073756699.db2.gz FRPFQTFKMPBDMV-HUUCEWRRSA-N 0 0 432.529 -0.142 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@H]1CCC[C@@H](O)C1 ZINC001293140264 1073756686 /nfs/dbraw/zinc/75/66/86/1073756686.db2.gz FRPFQTFKMPBDMV-LSDHHAIUSA-N 0 0 432.529 -0.142 20 0 IBADRN CCc1nnc(N2CCN3CCOC[C@@H]3C2)n1Cc1ccc(C(=O)NCC(N)=O)cc1 ZINC001293141187 1073756819 /nfs/dbraw/zinc/75/68/19/1073756819.db2.gz YERSBDRRVGADGJ-KRWDZBQOSA-N 0 0 427.509 -0.375 20 0 IBADRN CCc1nnc(N2CCN3CCOC[C@H]3C2)n1Cc1ccc(C(=O)NCC(N)=O)cc1 ZINC001293141188 1073756774 /nfs/dbraw/zinc/75/67/74/1073756774.db2.gz YERSBDRRVGADGJ-QGZVFWFLSA-N 0 0 427.509 -0.375 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@]1(O)CCC[C@H]1C ZINC001293141373 1073756692 /nfs/dbraw/zinc/75/66/92/1073756692.db2.gz RQRGDXPUFPRMFF-JLTOFOAXSA-N 0 0 432.529 -0.142 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@]1(O)CCC[C@@H]1C ZINC001293141374 1073756788 /nfs/dbraw/zinc/75/67/88/1073756788.db2.gz RQRGDXPUFPRMFF-VBKZILBWSA-N 0 0 432.529 -0.142 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@@]1(O)CCC[C@H]1C ZINC001293141375 1073756754 /nfs/dbraw/zinc/75/67/54/1073756754.db2.gz RQRGDXPUFPRMFF-VLIAUNLRSA-N 0 0 432.529 -0.142 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@@]1(O)CCC[C@@H]1C ZINC001293141376 1073756829 /nfs/dbraw/zinc/75/68/29/1073756829.db2.gz RQRGDXPUFPRMFF-XOBRGWDASA-N 0 0 432.529 -0.142 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001293141400 1073756733 /nfs/dbraw/zinc/75/67/33/1073756733.db2.gz SBFIPYAYHOVVKP-AWEZNQCLSA-N 0 0 438.514 -0.496 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001293141401 1073756659 /nfs/dbraw/zinc/75/66/59/1073756659.db2.gz SBFIPYAYHOVVKP-CQSZACIVSA-N 0 0 438.514 -0.496 20 0 IBADRN CCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC1(NC(=O)OC(C)(C)C)COC1 ZINC001293141495 1073757348 /nfs/dbraw/zinc/75/73/48/1073757348.db2.gz UOJVZVHLZJOZSA-CYBMUJFWSA-N 0 0 449.512 -0.719 20 0 IBADRN CCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC1(NC(=O)OC(C)(C)C)COC1 ZINC001293141496 1073757223 /nfs/dbraw/zinc/75/72/23/1073757223.db2.gz UOJVZVHLZJOZSA-ZDUSSCGKSA-N 0 0 449.512 -0.719 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CCCC(=O)NC1CC1 ZINC001293141678 1073757395 /nfs/dbraw/zinc/75/73/95/1073757395.db2.gz XTNXKRHUFRXECR-UHFFFAOYSA-N 0 0 445.528 -0.634 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H]2CC[C@H](CN3CCOCC3)O2)c1 ZINC001293164167 1073757303 /nfs/dbraw/zinc/75/73/03/1073757303.db2.gz HKGCJYVEJIDWTE-WBVHZDCISA-N 0 0 440.522 -0.471 20 0 IBADRN CCc1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001293178275 1073757373 /nfs/dbraw/zinc/75/73/73/1073757373.db2.gz QSVAESDDJYNBLY-HIFRSBDPSA-N 0 0 437.526 -0.090 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC001293209976 1073757226 /nfs/dbraw/zinc/75/72/26/1073757226.db2.gz IBSQBLQNMBETJJ-BZUAXINKSA-N 0 0 427.527 -0.817 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1 ZINC001293211031 1073757322 /nfs/dbraw/zinc/75/73/22/1073757322.db2.gz WLJDPSUGCVKWOT-UHFFFAOYSA-N 0 0 440.588 -0.907 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC(N(C)S(=O)(=O)CC)CC2)[C@H]1c1nccn1C ZINC001293211293 1073757278 /nfs/dbraw/zinc/75/72/78/1073757278.db2.gz YZXKDWKUQFETPQ-IRXDYDNUSA-N 0 0 441.554 -0.019 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N[C@@H]3CCN(c4ccccc4C(N)=O)C3)[C@@H](O)C(=O)N2C)cn1 ZINC001293215749 1073757353 /nfs/dbraw/zinc/75/73/53/1073757353.db2.gz VIWJHCUKBYTACE-BNEJOLLZSA-N 0 0 426.477 -0.596 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N[C@H]3CCN(c4ccccc4C(N)=O)C3)[C@@H](O)C(=O)N2C)cn1 ZINC001293215750 1073757387 /nfs/dbraw/zinc/75/73/87/1073757387.db2.gz VIWJHCUKBYTACE-OKDNKWQNSA-N 0 0 426.477 -0.596 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)(C)C ZINC001293217367 1073757341 /nfs/dbraw/zinc/75/73/41/1073757341.db2.gz LUWMKJRMIAYMDH-CHWSQXEVSA-N 0 0 443.574 -0.092 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)(C)C ZINC001293217368 1073757242 /nfs/dbraw/zinc/75/72/42/1073757242.db2.gz LUWMKJRMIAYMDH-OLZOCXBDSA-N 0 0 443.574 -0.092 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)(C)C ZINC001293217369 1073757319 /nfs/dbraw/zinc/75/73/19/1073757319.db2.gz LUWMKJRMIAYMDH-QWHCGFSZSA-N 0 0 443.574 -0.092 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)(C)C ZINC001293217370 1073757757 /nfs/dbraw/zinc/75/77/57/1073757757.db2.gz LUWMKJRMIAYMDH-STQMWFEESA-N 0 0 443.574 -0.092 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H](C)N1CCOCC1 ZINC001293219925 1073757786 /nfs/dbraw/zinc/75/77/86/1073757786.db2.gz STCUSPWFAIOWNM-QLFBSQMISA-N 0 0 443.574 -0.676 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@H](C)N1CCOCC1 ZINC001293219928 1073757795 /nfs/dbraw/zinc/75/77/95/1073757795.db2.gz STCUSPWFAIOWNM-RBSFLKMASA-N 0 0 443.574 -0.676 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@H](C)N1CCOCC1 ZINC001293219931 1073757724 /nfs/dbraw/zinc/75/77/24/1073757724.db2.gz STCUSPWFAIOWNM-RRFJBIMHSA-N 0 0 443.574 -0.676 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H](C)N1CCOCC1 ZINC001293219934 1073757802 /nfs/dbraw/zinc/75/78/02/1073757802.db2.gz STCUSPWFAIOWNM-SOUVJXGZSA-N 0 0 443.574 -0.676 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001293220151 1073757759 /nfs/dbraw/zinc/75/77/59/1073757759.db2.gz RUWYGZAHQCNHNP-CVEARBPZSA-N 0 0 447.540 -0.276 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001293220152 1073757751 /nfs/dbraw/zinc/75/77/51/1073757751.db2.gz RUWYGZAHQCNHNP-HOTGVXAUSA-N 0 0 447.540 -0.276 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001293220154 1073757807 /nfs/dbraw/zinc/75/78/07/1073757807.db2.gz RUWYGZAHQCNHNP-HZPDHXFCSA-N 0 0 447.540 -0.276 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001293220155 1073757792 /nfs/dbraw/zinc/75/77/92/1073757792.db2.gz RUWYGZAHQCNHNP-JKSUJKDBSA-N 0 0 447.540 -0.276 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCCC(=O)NC1CC1 ZINC001293221353 1073757743 /nfs/dbraw/zinc/75/77/43/1073757743.db2.gz YPMAXOZQVRBVEZ-GXTWGEPZSA-N 0 0 441.558 -0.338 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCCC(=O)NC1CC1 ZINC001293221355 1073757735 /nfs/dbraw/zinc/75/77/35/1073757735.db2.gz YPMAXOZQVRBVEZ-JSGCOSHPSA-N 0 0 441.558 -0.338 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCC(=O)NC1CC1 ZINC001293221356 1073757782 /nfs/dbraw/zinc/75/77/82/1073757782.db2.gz YPMAXOZQVRBVEZ-OCCSQVGLSA-N 0 0 441.558 -0.338 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCC(=O)NC1CC1 ZINC001293221358 1073757721 /nfs/dbraw/zinc/75/77/21/1073757721.db2.gz YPMAXOZQVRBVEZ-TZMCWYRMSA-N 0 0 441.558 -0.338 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC001293222251 1073757812 /nfs/dbraw/zinc/75/78/12/1073757812.db2.gz IFUMIIIFMNOYCK-UHFFFAOYSA-N 0 0 430.508 -0.577 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCCCO2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001293228924 1073757750 /nfs/dbraw/zinc/75/77/50/1073757750.db2.gz ISGGHFUKETYGNG-GLJUWKHASA-N 0 0 427.527 -0.216 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCCCO2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001293228925 1073757746 /nfs/dbraw/zinc/75/77/46/1073757746.db2.gz ISGGHFUKETYGNG-HBUWYVDXSA-N 0 0 427.527 -0.216 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCCCO2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001293228926 1073757775 /nfs/dbraw/zinc/75/77/75/1073757775.db2.gz ISGGHFUKETYGNG-LBTNJELSSA-N 0 0 427.527 -0.216 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCCCO2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001293228927 1073757740 /nfs/dbraw/zinc/75/77/40/1073757740.db2.gz ISGGHFUKETYGNG-QWQRMKEZSA-N 0 0 427.527 -0.216 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)F ZINC001293230684 1073757763 /nfs/dbraw/zinc/75/77/63/1073757763.db2.gz BNVOLOJBKDVPTM-GHMZBOCLSA-N 0 0 434.469 -0.724 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)F ZINC001293230685 1073757778 /nfs/dbraw/zinc/75/77/78/1073757778.db2.gz BNVOLOJBKDVPTM-MNOVXSKESA-N 0 0 434.469 -0.724 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)F ZINC001293230686 1073758296 /nfs/dbraw/zinc/75/82/96/1073758296.db2.gz BNVOLOJBKDVPTM-QWRGUYRKSA-N 0 0 434.469 -0.724 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)F ZINC001293230687 1073758337 /nfs/dbraw/zinc/75/83/37/1073758337.db2.gz BNVOLOJBKDVPTM-WDEREUQCSA-N 0 0 434.469 -0.724 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1[C@@H]1C[C@H]1CF ZINC001293231006 1073758317 /nfs/dbraw/zinc/75/83/17/1073758317.db2.gz DFIAJWMPTNGPGI-DGAVXFQQSA-N 0 0 430.506 -0.144 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1[C@@H]1C[C@H]1CF ZINC001293231007 1073758271 /nfs/dbraw/zinc/75/82/71/1073758271.db2.gz DFIAJWMPTNGPGI-FQUUOJAGSA-N 0 0 430.506 -0.144 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1[C@@H]1C[C@H]1CF ZINC001293231008 1073758305 /nfs/dbraw/zinc/75/83/05/1073758305.db2.gz DFIAJWMPTNGPGI-IGQOVBAYSA-N 0 0 430.506 -0.144 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1[C@@H]1C[C@H]1CF ZINC001293231009 1073758209 /nfs/dbraw/zinc/75/82/09/1073758209.db2.gz DFIAJWMPTNGPGI-REWJHTLYSA-N 0 0 430.506 -0.144 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(-c2cnn(C)c2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001293232223 1073758284 /nfs/dbraw/zinc/75/82/84/1073758284.db2.gz UJVQICCOPXWWLZ-DZGCQCFKSA-N 0 0 436.542 -0.325 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(-c2cnn(C)c2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001293232227 1073758324 /nfs/dbraw/zinc/75/83/24/1073758324.db2.gz UJVQICCOPXWWLZ-HIFRSBDPSA-N 0 0 436.542 -0.325 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(-c2cnn(C)c2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001293232228 1073758344 /nfs/dbraw/zinc/75/83/44/1073758344.db2.gz UJVQICCOPXWWLZ-UKRRQHHQSA-N 0 0 436.542 -0.325 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(-c2cnn(C)c2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001293232229 1073758232 /nfs/dbraw/zinc/75/82/32/1073758232.db2.gz UJVQICCOPXWWLZ-ZFWWWQNUSA-N 0 0 436.542 -0.325 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(O)CCC1 ZINC001293232422 1073758262 /nfs/dbraw/zinc/75/82/62/1073758262.db2.gz MMHZYSDOHJWLDB-KBPBESRZSA-N 0 0 442.542 -0.759 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(O)CCC1 ZINC001293232423 1073758348 /nfs/dbraw/zinc/75/83/48/1073758348.db2.gz MMHZYSDOHJWLDB-KGLIPLIRSA-N 0 0 442.542 -0.759 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(O)CCC1 ZINC001293232424 1073758291 /nfs/dbraw/zinc/75/82/91/1073758291.db2.gz MMHZYSDOHJWLDB-UONOGXRCSA-N 0 0 442.542 -0.759 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(O)CCC1 ZINC001293232425 1073758278 /nfs/dbraw/zinc/75/82/78/1073758278.db2.gz MMHZYSDOHJWLDB-ZIAGYGMSSA-N 0 0 442.542 -0.759 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCO[C@H]1C ZINC001293232568 1073758314 /nfs/dbraw/zinc/75/83/14/1073758314.db2.gz NAFIDXVWRHSELG-HNSFDTNUSA-N 0 0 427.527 -0.565 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCO[C@H]1C ZINC001293232591 1073758309 /nfs/dbraw/zinc/75/83/09/1073758309.db2.gz NAFIDXVWRHSELG-LWGWVAHUSA-N 0 0 427.527 -0.565 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001293232593 1073758220 /nfs/dbraw/zinc/75/82/20/1073758220.db2.gz NAFIDXVWRHSELG-MMQJMDILSA-N 0 0 427.527 -0.565 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCO[C@@H]1C ZINC001293232595 1073758193 /nfs/dbraw/zinc/75/81/93/1073758193.db2.gz NAFIDXVWRHSELG-UHQDVWGKSA-N 0 0 427.527 -0.565 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001293233483 1073758331 /nfs/dbraw/zinc/75/83/31/1073758331.db2.gz SBRTZDHYBIQZKY-CVEARBPZSA-N 0 0 440.570 -0.383 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001293233484 1073758246 /nfs/dbraw/zinc/75/82/46/1073758246.db2.gz SBRTZDHYBIQZKY-HOTGVXAUSA-N 0 0 440.570 -0.383 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001293233485 1073758799 /nfs/dbraw/zinc/75/87/99/1073758799.db2.gz SBRTZDHYBIQZKY-HZPDHXFCSA-N 0 0 440.570 -0.383 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001293233486 1073758876 /nfs/dbraw/zinc/75/88/76/1073758876.db2.gz SBRTZDHYBIQZKY-JKSUJKDBSA-N 0 0 440.570 -0.383 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCOC[C@@H]1OC ZINC001293233847 1073758765 /nfs/dbraw/zinc/75/87/65/1073758765.db2.gz WLFQXOGPRAXIBW-FPCVCCKLSA-N 0 0 441.554 -0.054 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCOC[C@@H]1OC ZINC001293233848 1073758740 /nfs/dbraw/zinc/75/87/40/1073758740.db2.gz WLFQXOGPRAXIBW-JJXSEGSLSA-N 0 0 441.554 -0.054 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCOC[C@H]1OC ZINC001293233849 1073758834 /nfs/dbraw/zinc/75/88/34/1073758834.db2.gz WLFQXOGPRAXIBW-KLHDSHLOSA-N 0 0 441.554 -0.054 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCOC[C@H]1OC ZINC001293233850 1073758846 /nfs/dbraw/zinc/75/88/46/1073758846.db2.gz WLFQXOGPRAXIBW-ZJIFWQFVSA-N 0 0 441.554 -0.054 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(Cc2cn3ccccc3n2)n1CCS(=O)(=O)NC ZINC001293240960 1073758808 /nfs/dbraw/zinc/75/88/08/1073758808.db2.gz IKVXPQHMSJBSMT-HNNXBMFYSA-N 0 0 446.537 -0.219 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(Cc2cn3ccccc3n2)n1CCS(=O)(=O)NC ZINC001293240961 1073758862 /nfs/dbraw/zinc/75/88/62/1073758862.db2.gz IKVXPQHMSJBSMT-OAHLLOKOSA-N 0 0 446.537 -0.219 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CCCNS(C)(=O)=O ZINC001293241478 1073758868 /nfs/dbraw/zinc/75/88/68/1073758868.db2.gz CTUIETXZSAQFEN-CYBMUJFWSA-N 0 0 427.531 -0.945 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CCCNS(C)(=O)=O ZINC001293241480 1073758727 /nfs/dbraw/zinc/75/87/27/1073758727.db2.gz CTUIETXZSAQFEN-ZDUSSCGKSA-N 0 0 427.531 -0.945 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(Cn2nc(C)cc2C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001293241740 1073758719 /nfs/dbraw/zinc/75/87/19/1073758719.db2.gz FNXJVAQUSMMLPF-GJZGRUSLSA-N 0 0 443.512 -0.157 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(Cn2nc(C)cc2C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001293241741 1073758703 /nfs/dbraw/zinc/75/87/03/1073758703.db2.gz FNXJVAQUSMMLPF-LSDHHAIUSA-N 0 0 443.512 -0.157 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CCS(=O)(=O)N(C)C ZINC001293242893 1073758757 /nfs/dbraw/zinc/75/87/57/1073758757.db2.gz PBRNSBIPMMQTCQ-CYBMUJFWSA-N 0 0 427.531 -0.993 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CCS(=O)(=O)N(C)C ZINC001293242899 1073758857 /nfs/dbraw/zinc/75/88/57/1073758857.db2.gz PBRNSBIPMMQTCQ-ZDUSSCGKSA-N 0 0 427.531 -0.993 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CC1(C(N)=O)CCOCC1 ZINC001293243005 1073758873 /nfs/dbraw/zinc/75/88/73/1073758873.db2.gz PRMMTFVFVOQIHH-AWEZNQCLSA-N 0 0 433.513 -0.603 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CC1(C(N)=O)CCOCC1 ZINC001293243009 1073758839 /nfs/dbraw/zinc/75/88/39/1073758839.db2.gz PRMMTFVFVOQIHH-CQSZACIVSA-N 0 0 433.513 -0.603 20 0 IBADRN CO[C@@]1(C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CCS(=O)(=O)C1 ZINC001293245719 1073758824 /nfs/dbraw/zinc/75/88/24/1073758824.db2.gz HCAWZXNASXTNLE-IBGZPJMESA-N 0 0 427.498 -0.097 20 0 IBADRN CO[C@]1(C(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)CCS(=O)(=O)C1 ZINC001293245720 1073758854 /nfs/dbraw/zinc/75/88/54/1073758854.db2.gz HCAWZXNASXTNLE-LJQANCHMSA-N 0 0 427.498 -0.097 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1=O ZINC001293317028 1073758772 /nfs/dbraw/zinc/75/87/72/1073758772.db2.gz JIUUZKZIKAZMBG-KBPBESRZSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC001293317029 1073758792 /nfs/dbraw/zinc/75/87/92/1073758792.db2.gz JIUUZKZIKAZMBG-KGLIPLIRSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N2CC[C@H](NS(C)(=O)=O)C2)C1=O ZINC001293317030 1073759327 /nfs/dbraw/zinc/75/93/27/1073759327.db2.gz JIUUZKZIKAZMBG-UONOGXRCSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)C1=O ZINC001293317031 1073759227 /nfs/dbraw/zinc/75/92/27/1073759227.db2.gz JIUUZKZIKAZMBG-ZIAGYGMSSA-N 0 0 432.543 -0.100 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)C1 ZINC001293359586 1073759341 /nfs/dbraw/zinc/75/93/41/1073759341.db2.gz AUBGIFGWHLALFY-DMDPSCGWSA-N 0 0 425.554 -0.684 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)C1 ZINC001293359588 1073759215 /nfs/dbraw/zinc/75/92/15/1073759215.db2.gz AUBGIFGWHLALFY-LOWVWBTDSA-N 0 0 425.554 -0.684 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)C1 ZINC001293359590 1073759261 /nfs/dbraw/zinc/75/92/61/1073759261.db2.gz AUBGIFGWHLALFY-NQBHXWOUSA-N 0 0 425.554 -0.684 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)C1 ZINC001293359593 1073759142 /nfs/dbraw/zinc/75/91/42/1073759142.db2.gz AUBGIFGWHLALFY-WZRBSPASSA-N 0 0 425.554 -0.684 20 0 IBADRN O=C(COc1ccc(Br)cc1)N1CCN(C(=O)CN2CCNC(=O)C2)CC1 ZINC001293361183 1073759119 /nfs/dbraw/zinc/75/91/19/1073759119.db2.gz GQODGQWCBVIRLL-UHFFFAOYSA-N 0 0 439.310 -0.070 20 0 IBADRN CN1C(=O)CCC[C@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001293381879 1073759201 /nfs/dbraw/zinc/75/92/01/1073759201.db2.gz LPCLJGVZGURWKN-HNNXBMFYSA-N 0 0 436.490 -0.139 20 0 IBADRN CN1C(=O)CCC[C@@H]1C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001293381881 1073759271 /nfs/dbraw/zinc/75/92/71/1073759271.db2.gz LPCLJGVZGURWKN-OAHLLOKOSA-N 0 0 436.490 -0.139 20 0 IBADRN CC(C)C[C@@H](C(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001293382670 1073759286 /nfs/dbraw/zinc/75/92/86/1073759286.db2.gz WLIYHLWIXYNQNO-AWEZNQCLSA-N 0 0 438.506 -0.002 20 0 IBADRN CC(C)C[C@H](C(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001293382671 1073759300 /nfs/dbraw/zinc/75/93/00/1073759300.db2.gz WLIYHLWIXYNQNO-CQSZACIVSA-N 0 0 438.506 -0.002 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n(C)c1=O ZINC001293382721 1073759249 /nfs/dbraw/zinc/75/92/49/1073759249.db2.gz YTXNHYHTCMONBI-UHFFFAOYSA-N 0 0 435.462 -0.799 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCCC3(CC3)S2(=O)=O)CC1)Nc1nncs1 ZINC001293495389 1073759311 /nfs/dbraw/zinc/75/93/11/1073759311.db2.gz FCEWRZIDIYYXSS-UHFFFAOYSA-N 0 0 428.540 -0.421 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)c(F)c1 ZINC001293496123 1073759101 /nfs/dbraw/zinc/75/91/01/1073759101.db2.gz NLVMKKPYTBUNFZ-UHFFFAOYSA-N 0 0 428.471 -0.279 20 0 IBADRN CS(=O)(=O)N1CCC(CC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)CC1 ZINC001293496671 1073759167 /nfs/dbraw/zinc/75/91/67/1073759167.db2.gz TXZURRDHDYECBH-UHFFFAOYSA-N 0 0 430.556 -0.318 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H](CO)NC(=O)c2ccc(F)cc2)CC1)Nc1nncs1 ZINC001293496939 1073759237 /nfs/dbraw/zinc/75/92/37/1073759237.db2.gz WDMFLMHCTUOPSE-AWEZNQCLSA-N 0 0 436.469 -0.449 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001293522732 1073759295 /nfs/dbraw/zinc/75/92/95/1073759295.db2.gz LXMYVKSPLQTQPY-BFHYXJOUSA-N 0 0 435.572 -0.062 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001293522733 1073759345 /nfs/dbraw/zinc/75/93/45/1073759345.db2.gz LXMYVKSPLQTQPY-HZSPNIEDSA-N 0 0 435.572 -0.062 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001293522734 1073759185 /nfs/dbraw/zinc/75/91/85/1073759185.db2.gz LXMYVKSPLQTQPY-MELADBBJSA-N 0 0 435.572 -0.062 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001293522735 1073759336 /nfs/dbraw/zinc/75/93/36/1073759336.db2.gz LXMYVKSPLQTQPY-MGPQQGTHSA-N 0 0 435.572 -0.062 20 0 IBADRN CC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)N2CCOCC2)CCO1 ZINC001293526111 1073759842 /nfs/dbraw/zinc/75/98/42/1073759842.db2.gz YEHNJQFVMZZKCH-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)N2CCOCC2)CCO1 ZINC001293526113 1073759616 /nfs/dbraw/zinc/75/96/16/1073759616.db2.gz YEHNJQFVMZZKCH-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)N2CCOCC2)CCO1 ZINC001293526117 1073759822 /nfs/dbraw/zinc/75/98/22/1073759822.db2.gz YEHNJQFVMZZKCH-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)N2CCOCC2)CCO1 ZINC001293526119 1073759710 /nfs/dbraw/zinc/75/97/10/1073759710.db2.gz YEHNJQFVMZZKCH-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC001293531833 1073759634 /nfs/dbraw/zinc/75/96/34/1073759634.db2.gz CLDDAIYWYLNMMI-UHFFFAOYSA-N 0 0 440.494 -0.072 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001293561870 1073759796 /nfs/dbraw/zinc/75/97/96/1073759796.db2.gz ZJXRJTVUZKVARR-UHFFFAOYSA-N 0 0 431.536 -0.176 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCCCC(=O)N1CCN(c2ccccc2)CC1 ZINC001293566696 1073759753 /nfs/dbraw/zinc/75/97/53/1073759753.db2.gz ZDAQFNTZQBPBOF-UHFFFAOYSA-N 0 0 425.555 -0.030 20 0 IBADRN CC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)CCO1 ZINC001293594520 1073759833 /nfs/dbraw/zinc/75/98/33/1073759833.db2.gz MIDGFAXNDWWLSV-CABCVRRESA-N 0 0 427.527 -0.346 20 0 IBADRN CC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)CCO1 ZINC001293594521 1073759653 /nfs/dbraw/zinc/75/96/53/1073759653.db2.gz MIDGFAXNDWWLSV-GJZGRUSLSA-N 0 0 427.527 -0.346 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)CCO1 ZINC001293594522 1073759905 /nfs/dbraw/zinc/75/99/05/1073759905.db2.gz MIDGFAXNDWWLSV-HUUCEWRRSA-N 0 0 427.527 -0.346 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)CCO1 ZINC001293594523 1073759885 /nfs/dbraw/zinc/75/98/85/1073759885.db2.gz MIDGFAXNDWWLSV-LSDHHAIUSA-N 0 0 427.527 -0.346 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001293597516 1073759865 /nfs/dbraw/zinc/75/98/65/1073759865.db2.gz XTFDDANGQRHCLZ-CKEIUWERSA-N 0 0 448.567 -0.655 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001293597517 1073759722 /nfs/dbraw/zinc/75/97/22/1073759722.db2.gz XTFDDANGQRHCLZ-CPUCHLNUSA-N 0 0 448.567 -0.655 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001293597518 1073759767 /nfs/dbraw/zinc/75/97/67/1073759767.db2.gz XTFDDANGQRHCLZ-JJRVBVJISA-N 0 0 448.567 -0.655 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001293597519 1073759811 /nfs/dbraw/zinc/75/98/11/1073759811.db2.gz XTFDDANGQRHCLZ-VBQJREDUSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001293618284 1073759777 /nfs/dbraw/zinc/75/97/77/1073759777.db2.gz JGTQSTRKUYLCLD-UHFFFAOYSA-N 0 0 440.565 -0.316 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001293626479 1073759852 /nfs/dbraw/zinc/75/98/52/1073759852.db2.gz LAKITXAEWFIRNP-UHFFFAOYSA-N 0 0 446.551 -0.887 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)c3cn(C(F)(F)CO)nn3)CC2)c1 ZINC001293627243 1073759873 /nfs/dbraw/zinc/75/98/73/1073759873.db2.gz XMQFFKCLEGOARY-UHFFFAOYSA-N 0 0 443.432 -0.179 20 0 IBADRN O=C(CS[C@H]1CCS(=O)(=O)C1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001293688962 1073760173 /nfs/dbraw/zinc/76/01/73/1073760173.db2.gz IBFDFNIXVKLCFZ-AWEZNQCLSA-N 0 0 440.609 -0.004 20 0 IBADRN O=C(CS[C@@H]1CCS(=O)(=O)C1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001293688964 1073760404 /nfs/dbraw/zinc/76/04/04/1073760404.db2.gz IBFDFNIXVKLCFZ-CQSZACIVSA-N 0 0 440.609 -0.004 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001293690260 1073760379 /nfs/dbraw/zinc/76/03/79/1073760379.db2.gz QMPNDVSCEAUTAF-UHFFFAOYSA-N 0 0 432.543 -0.892 20 0 IBADRN CC/C=C/Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)(=O)CC1 ZINC001293705241 1073760335 /nfs/dbraw/zinc/76/03/35/1073760335.db2.gz TWESAHYOXCQVGJ-ISZGNANSSA-N 0 0 433.556 -0.188 20 0 IBADRN CC/C=C/Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)(=O)CC1 ZINC001293705242 1073760197 /nfs/dbraw/zinc/76/01/97/1073760197.db2.gz TWESAHYOXCQVGJ-NNTXTVRGSA-N 0 0 433.556 -0.188 20 0 IBADRN CC/C=C\Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)(=O)CC1 ZINC001293705243 1073760264 /nfs/dbraw/zinc/76/02/64/1073760264.db2.gz TWESAHYOXCQVGJ-WSNITJDQSA-N 0 0 433.556 -0.188 20 0 IBADRN CC/C=C\Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)(=O)CC1 ZINC001293705244 1073760371 /nfs/dbraw/zinc/76/03/71/1073760371.db2.gz TWESAHYOXCQVGJ-ZRUQZJFASA-N 0 0 433.556 -0.188 20 0 IBADRN CCCC(=O)N[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCNS(C)(=O)=O)C1 ZINC001293736720 1073760321 /nfs/dbraw/zinc/76/03/21/1073760321.db2.gz WCKACMQYGTYEFT-AWEZNQCLSA-N 0 0 443.574 -0.149 20 0 IBADRN CCCC(=O)N[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCNS(C)(=O)=O)C1 ZINC001293736721 1073760407 /nfs/dbraw/zinc/76/04/07/1073760407.db2.gz WCKACMQYGTYEFT-CQSZACIVSA-N 0 0 443.574 -0.149 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)[C@@H]3OCCN(C)C3=O)CC2)cc1OC ZINC001293752136 1073760875 /nfs/dbraw/zinc/76/08/75/1073760875.db2.gz YKNYHHCYLHOVHW-KRWDZBQOSA-N 0 0 441.506 -0.170 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)[C@H]3OCCN(C)C3=O)CC2)cc1OC ZINC001293752255 1073760733 /nfs/dbraw/zinc/76/07/33/1073760733.db2.gz YKNYHHCYLHOVHW-QGZVFWFLSA-N 0 0 441.506 -0.170 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C2=CN(C)S(=O)(=O)N=C2C)c1 ZINC001293762645 1073760946 /nfs/dbraw/zinc/76/09/46/1073760946.db2.gz DGWHFVUIFVCPEW-UHFFFAOYSA-N 0 0 430.508 -0.238 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC001293764366 1073760902 /nfs/dbraw/zinc/76/09/02/1073760902.db2.gz VIZJFCDUNNXPQT-AWEZNQCLSA-N 0 0 434.522 -0.423 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)C(=O)c1cc(S(N)(=O)=O)cn1C ZINC001293764367 1073760690 /nfs/dbraw/zinc/76/06/90/1073760690.db2.gz VIZJFCDUNNXPQT-CQSZACIVSA-N 0 0 434.522 -0.423 20 0 IBADRN NC(=O)c1cn(CCC(=O)N[C@@H](Cc2ccccc2)CN2CCOCC2)c(=O)[nH]c1=O ZINC001293767008 1073760853 /nfs/dbraw/zinc/76/08/53/1073760853.db2.gz ORTWHHMPDGVUGZ-INIZCTEOSA-N 0 0 429.477 -0.502 20 0 IBADRN NC(=O)c1cn(CCC(=O)N[C@H](Cc2ccccc2)CN2CCOCC2)c(=O)[nH]c1=O ZINC001293767013 1073760747 /nfs/dbraw/zinc/76/07/47/1073760747.db2.gz ORTWHHMPDGVUGZ-MRXNPFEDSA-N 0 0 429.477 -0.502 20 0 IBADRN CCCC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001293774775 1073760939 /nfs/dbraw/zinc/76/09/39/1073760939.db2.gz PINGWJGCYRWQLB-AWEZNQCLSA-N 0 0 441.558 -0.337 20 0 IBADRN CCCC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001293774776 1073760930 /nfs/dbraw/zinc/76/09/30/1073760930.db2.gz PINGWJGCYRWQLB-CQSZACIVSA-N 0 0 441.558 -0.337 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)C2=O)cn1 ZINC001293775460 1073760723 /nfs/dbraw/zinc/76/07/23/1073760723.db2.gz AFZNECMWNYENRM-BPQIPLTHSA-N 0 0 429.452 -0.015 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)C2=O)cn1 ZINC001293775481 1073760710 /nfs/dbraw/zinc/76/07/10/1073760710.db2.gz AFZNECMWNYENRM-NJAFHUGGSA-N 0 0 429.452 -0.015 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)C2=O)cn1 ZINC001293775487 1073760921 /nfs/dbraw/zinc/76/09/21/1073760921.db2.gz AFZNECMWNYENRM-RYQLBKOJSA-N 0 0 429.452 -0.015 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)C2=O)cn1 ZINC001293775491 1073760841 /nfs/dbraw/zinc/76/08/41/1073760841.db2.gz AFZNECMWNYENRM-SZMVWBNQSA-N 0 0 429.452 -0.015 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001293789839 1073760908 /nfs/dbraw/zinc/76/09/08/1073760908.db2.gz MEBIIUJVHHLLPM-BCOMRZATSA-N 0 0 426.514 -0.749 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001293789840 1073760779 /nfs/dbraw/zinc/76/07/79/1073760779.db2.gz MEBIIUJVHHLLPM-GUNSETOZSA-N 0 0 426.514 -0.749 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001293789841 1073760958 /nfs/dbraw/zinc/76/09/58/1073760958.db2.gz MEBIIUJVHHLLPM-HYDGNGQDSA-N 0 0 426.514 -0.749 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001293789842 1073760894 /nfs/dbraw/zinc/76/08/94/1073760894.db2.gz MEBIIUJVHHLLPM-OFJJUDJNSA-N 0 0 426.514 -0.749 20 0 IBADRN CCC[C@@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001293820063 1073760886 /nfs/dbraw/zinc/76/08/86/1073760886.db2.gz AHSBZZBWNQDBHJ-KBXCAEBGSA-N 0 0 444.558 -0.560 20 0 IBADRN CCC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001293820064 1073761556 /nfs/dbraw/zinc/76/15/56/1073761556.db2.gz AHSBZZBWNQDBHJ-KDOFPFPSSA-N 0 0 444.558 -0.560 20 0 IBADRN CCC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001293820065 1073761493 /nfs/dbraw/zinc/76/14/93/1073761493.db2.gz AHSBZZBWNQDBHJ-KSSFIOAISA-N 0 0 444.558 -0.560 20 0 IBADRN CCC[C@@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001293820066 1073761488 /nfs/dbraw/zinc/76/14/88/1073761488.db2.gz AHSBZZBWNQDBHJ-RDTXWAMCSA-N 0 0 444.558 -0.560 20 0 IBADRN CCC[C@@H](C)C(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001293848578 1073761328 /nfs/dbraw/zinc/76/13/28/1073761328.db2.gz SUAYKDVAUISZCE-CABCVRRESA-N 0 0 437.545 -0.080 20 0 IBADRN CCC[C@H](C)C(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001293848579 1073761404 /nfs/dbraw/zinc/76/14/04/1073761404.db2.gz SUAYKDVAUISZCE-GJZGRUSLSA-N 0 0 437.545 -0.080 20 0 IBADRN CCC[C@@H](C)C(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001293848580 1073761520 /nfs/dbraw/zinc/76/15/20/1073761520.db2.gz SUAYKDVAUISZCE-HUUCEWRRSA-N 0 0 437.545 -0.080 20 0 IBADRN CCC[C@H](C)C(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001293848581 1073761391 /nfs/dbraw/zinc/76/13/91/1073761391.db2.gz SUAYKDVAUISZCE-LSDHHAIUSA-N 0 0 437.545 -0.080 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(C(=O)c2cn(C(F)(F)CO)nn2)CC1 ZINC001293861567 1073761526 /nfs/dbraw/zinc/76/15/26/1073761526.db2.gz UZWMJMRVXPDSAT-UHFFFAOYSA-N 0 0 430.456 -0.490 20 0 IBADRN CS(=O)(=O)CC1(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)COC1 ZINC001293861961 1073761469 /nfs/dbraw/zinc/76/14/69/1073761469.db2.gz VPOMLOCOJVOZLC-UHFFFAOYSA-N 0 0 431.555 -0.875 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001293902262 1073761441 /nfs/dbraw/zinc/76/14/41/1073761441.db2.gz BPFQKEXFGMVRIY-AWEZNQCLSA-N 0 0 444.579 -0.412 20 0 IBADRN Cc1nc(CS(C)(=O)=O)sc1CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001293902263 1073761418 /nfs/dbraw/zinc/76/14/18/1073761418.db2.gz BPFQKEXFGMVRIY-CQSZACIVSA-N 0 0 444.579 -0.412 20 0 IBADRN CCS(=O)(=O)c1c[nH]c(=O)c(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC001293902416 1073761296 /nfs/dbraw/zinc/76/12/96/1073761296.db2.gz GEVAJMYMGCJREW-CYBMUJFWSA-N 0 0 426.495 -0.756 20 0 IBADRN CCS(=O)(=O)c1c[nH]c(=O)c(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC001293902417 1073761340 /nfs/dbraw/zinc/76/13/40/1073761340.db2.gz GEVAJMYMGCJREW-ZDUSSCGKSA-N 0 0 426.495 -0.756 20 0 IBADRN CC(C)(C)OC(=O)NC1(CC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)COC1 ZINC001293902448 1073761560 /nfs/dbraw/zinc/76/15/60/1073761560.db2.gz HIXXXONIJPXLQE-HNNXBMFYSA-N 0 0 426.514 -0.281 20 0 IBADRN CC(C)(C)OC(=O)NC1(CC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)COC1 ZINC001293902449 1073761509 /nfs/dbraw/zinc/76/15/09/1073761509.db2.gz HIXXXONIJPXLQE-OAHLLOKOSA-N 0 0 426.514 -0.281 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001293903025 1073761364 /nfs/dbraw/zinc/76/13/64/1073761364.db2.gz QGJDTNREPILYQG-IBGZPJMESA-N 0 0 430.505 -0.178 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001293903027 1073761499 /nfs/dbraw/zinc/76/14/99/1073761499.db2.gz QGJDTNREPILYQG-LJQANCHMSA-N 0 0 430.505 -0.178 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1O ZINC001293905211 1073761558 /nfs/dbraw/zinc/76/15/58/1073761558.db2.gz AJPZCZBPHXYEDI-HNNXBMFYSA-N 0 0 425.507 -0.609 20 0 IBADRN COc1ccc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1O ZINC001293905212 1073761262 /nfs/dbraw/zinc/76/12/62/1073761262.db2.gz AJPZCZBPHXYEDI-OAHLLOKOSA-N 0 0 425.507 -0.609 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2nnc(-c3ccccc3)o2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001293905315 1073761536 /nfs/dbraw/zinc/76/15/36/1073761536.db2.gz BBCMABFQQWWFAA-INIZCTEOSA-N 0 0 447.517 -0.273 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2nnc(-c3ccccc3)o2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001293905327 1073761971 /nfs/dbraw/zinc/76/19/71/1073761971.db2.gz BBCMABFQQWWFAA-MRXNPFEDSA-N 0 0 447.517 -0.273 20 0 IBADRN COc1cccc([C@H](OC)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001293905359 1073762022 /nfs/dbraw/zinc/76/20/22/1073762022.db2.gz BYKGIZKMNIMNBT-APWZRJJASA-N 0 0 439.534 -0.170 20 0 IBADRN COc1cccc([C@H](OC)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001293905360 1073761910 /nfs/dbraw/zinc/76/19/10/1073761910.db2.gz BYKGIZKMNIMNBT-LPHOPBHVSA-N 0 0 439.534 -0.170 20 0 IBADRN COc1cccc([C@@H](OC)C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001293905361 1073762012 /nfs/dbraw/zinc/76/20/12/1073762012.db2.gz BYKGIZKMNIMNBT-QFBILLFUSA-N 0 0 439.534 -0.170 20 0 IBADRN COc1cccc([C@@H](OC)C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001293905362 1073761981 /nfs/dbraw/zinc/76/19/81/1073761981.db2.gz BYKGIZKMNIMNBT-VQIMIIECSA-N 0 0 439.534 -0.170 20 0 IBADRN Cn1nc(-c2cccnc2)cc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001293905367 1073761928 /nfs/dbraw/zinc/76/19/28/1073761928.db2.gz CEWVJNZPLFWJCP-INIZCTEOSA-N 0 0 446.533 -0.457 20 0 IBADRN Cn1nc(-c2cccnc2)cc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001293905368 1073762005 /nfs/dbraw/zinc/76/20/05/1073762005.db2.gz CEWVJNZPLFWJCP-MRXNPFEDSA-N 0 0 446.533 -0.457 20 0 IBADRN Cn1ncc(Br)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001293905528 1073761988 /nfs/dbraw/zinc/76/19/88/1073761988.db2.gz DFJIHQAPMUGPLI-LLVKDONJSA-N 0 0 448.343 -0.756 20 0 IBADRN Cn1ncc(Br)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001293905529 1073761888 /nfs/dbraw/zinc/76/18/88/1073761888.db2.gz DFJIHQAPMUGPLI-NSHDSACASA-N 0 0 448.343 -0.756 20 0 IBADRN COc1ccc(COCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001293905544 1073761846 /nfs/dbraw/zinc/76/18/46/1073761846.db2.gz DSYIPXBOYCAHQY-INIZCTEOSA-N 0 0 440.522 -0.946 20 0 IBADRN COc1ccc(COCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001293905545 1073762027 /nfs/dbraw/zinc/76/20/27/1073762027.db2.gz DSYIPXBOYCAHQY-MRXNPFEDSA-N 0 0 440.522 -0.946 20 0 IBADRN CC(C)(C)n1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC001293905546 1073761955 /nfs/dbraw/zinc/76/19/55/1073761955.db2.gz DTCMXAPDMUMVKG-HNNXBMFYSA-N 0 0 438.550 -0.336 20 0 IBADRN CC(C)(C)n1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC001293905547 1073761997 /nfs/dbraw/zinc/76/19/97/1073761997.db2.gz DTCMXAPDMUMVKG-OAHLLOKOSA-N 0 0 438.550 -0.336 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccn(CC(F)(F)F)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001293905681 1073761939 /nfs/dbraw/zinc/76/19/39/1073761939.db2.gz FSWMWFUYMOXZNX-GFCCVEGCSA-N 0 0 437.444 -0.494 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccn(CC(F)(F)F)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001293905682 1073762017 /nfs/dbraw/zinc/76/20/17/1073762017.db2.gz FSWMWFUYMOXZNX-LBPRGKRZSA-N 0 0 437.444 -0.494 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-c3cn[nH]c3)cn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001293905694 1073761900 /nfs/dbraw/zinc/76/19/00/1073761900.db2.gz FXJHOSALLRJQJK-INIZCTEOSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-c3cn[nH]c3)cn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001293905695 1073761827 /nfs/dbraw/zinc/76/18/27/1073761827.db2.gz FXJHOSALLRJQJK-MRXNPFEDSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2ccc(=O)c3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001293905743 1073761865 /nfs/dbraw/zinc/76/18/65/1073761865.db2.gz HASJLFSHJFIXAD-INIZCTEOSA-N 0 0 446.529 -0.551 20 0 IBADRN O=C(CN1CCN(C(=O)Cn2ccc(=O)c3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001293905744 1073762031 /nfs/dbraw/zinc/76/20/31/1073762031.db2.gz HASJLFSHJFIXAD-MRXNPFEDSA-N 0 0 446.529 -0.551 20 0 IBADRN COC(=O)C1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC2(CCC2)C1 ZINC001293905871 1073761873 /nfs/dbraw/zinc/76/18/73/1073761873.db2.gz IALMWUBNYYGYAL-HNNXBMFYSA-N 0 0 441.550 -0.443 20 0 IBADRN COC(=O)C1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC2(CCC2)C1 ZINC001293905873 1073762405 /nfs/dbraw/zinc/76/24/05/1073762405.db2.gz IALMWUBNYYGYAL-OAHLLOKOSA-N 0 0 441.550 -0.443 20 0 IBADRN CN1CCC[C@H]1c1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001293906595 1073762216 /nfs/dbraw/zinc/76/22/16/1073762216.db2.gz TUHGNPSMUOMPDJ-PBHICJAKSA-N 0 0 438.554 -0.763 20 0 IBADRN CN1CCC[C@@H]1c1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001293906596 1073762286 /nfs/dbraw/zinc/76/22/86/1073762286.db2.gz TUHGNPSMUOMPDJ-RHSMWYFYSA-N 0 0 438.554 -0.763 20 0 IBADRN CN1CCC[C@@H]1c1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001293906597 1073762416 /nfs/dbraw/zinc/76/24/16/1073762416.db2.gz TUHGNPSMUOMPDJ-WMLDXEAASA-N 0 0 438.554 -0.763 20 0 IBADRN CN1CCC[C@H]1c1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001293906598 1073762443 /nfs/dbraw/zinc/76/24/43/1073762443.db2.gz TUHGNPSMUOMPDJ-YOEHRIQHSA-N 0 0 438.554 -0.763 20 0 IBADRN COc1ccc(F)c(OC)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001293906877 1073762475 /nfs/dbraw/zinc/76/24/75/1073762475.db2.gz VYHUKIKSHKWZBW-CYBMUJFWSA-N 0 0 443.497 -0.096 20 0 IBADRN COc1ccc(F)c(OC)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001293906878 1073762250 /nfs/dbraw/zinc/76/22/50/1073762250.db2.gz VYHUKIKSHKWZBW-ZDUSSCGKSA-N 0 0 443.497 -0.096 20 0 IBADRN C[C@]1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)COc2ccccc2O1 ZINC001293906901 1073762502 /nfs/dbraw/zinc/76/25/02/1073762502.db2.gz WKUAHSHTMHPCHV-FOIQADDNSA-N 0 0 437.518 -0.336 20 0 IBADRN C[C@]1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)COc2ccccc2O1 ZINC001293906902 1073762510 /nfs/dbraw/zinc/76/25/10/1073762510.db2.gz WKUAHSHTMHPCHV-MGPUTAFESA-N 0 0 437.518 -0.336 20 0 IBADRN C[C@@]1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)COc2ccccc2O1 ZINC001293906903 1073762274 /nfs/dbraw/zinc/76/22/74/1073762274.db2.gz WKUAHSHTMHPCHV-QRWLVFNGSA-N 0 0 437.518 -0.336 20 0 IBADRN C[C@@]1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)COc2ccccc2O1 ZINC001293906904 1073762344 /nfs/dbraw/zinc/76/23/44/1073762344.db2.gz WKUAHSHTMHPCHV-YWZLYKJASA-N 0 0 437.518 -0.336 20 0 IBADRN Cc1nn(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c2ncccc12 ZINC001293906919 1073762324 /nfs/dbraw/zinc/76/23/24/1073762324.db2.gz WTCUSLCLWRIXAX-HNNXBMFYSA-N 0 0 434.522 -0.813 20 0 IBADRN Cc1nn(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c2ncccc12 ZINC001293906920 1073762266 /nfs/dbraw/zinc/76/22/66/1073762266.db2.gz WTCUSLCLWRIXAX-OAHLLOKOSA-N 0 0 434.522 -0.813 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cc[nH]c3=O)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001293907295 1073762482 /nfs/dbraw/zinc/76/24/82/1073762482.db2.gz YEVIJWDTGCOSPO-INIZCTEOSA-N 0 0 447.517 -0.361 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cc[nH]c3=O)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001293907296 1073762493 /nfs/dbraw/zinc/76/24/93/1073762493.db2.gz YEVIJWDTGCOSPO-MRXNPFEDSA-N 0 0 447.517 -0.361 20 0 IBADRN O=C(CN1CCN(C(=O)c2cncc(N3CCCC3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001293907343 1073762401 /nfs/dbraw/zinc/76/24/01/1073762401.db2.gz ZUEYQTHDYKQUBW-HNNXBMFYSA-N 0 0 436.538 -0.862 20 0 IBADRN O=C(CN1CCN(C(=O)c2cncc(N3CCCC3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001293907344 1073762333 /nfs/dbraw/zinc/76/23/33/1073762333.db2.gz ZUEYQTHDYKQUBW-OAHLLOKOSA-N 0 0 436.538 -0.862 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@H]1C(=O)N1CCN(CCn2cncn2)CC1 ZINC001293932191 1073762351 /nfs/dbraw/zinc/76/23/51/1073762351.db2.gz GZPOUSZWXSKSAO-HKUYNNGSSA-N 0 0 430.484 -0.234 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)N1CCN(CCn2cncn2)CC1 ZINC001293932192 1073762369 /nfs/dbraw/zinc/76/23/69/1073762369.db2.gz GZPOUSZWXSKSAO-IEBWSBKVSA-N 0 0 430.484 -0.234 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@H]1C(=O)N1CCN(CCn2cncn2)CC1 ZINC001293932193 1073762385 /nfs/dbraw/zinc/76/23/85/1073762385.db2.gz GZPOUSZWXSKSAO-MJGOQNOKSA-N 0 0 430.484 -0.234 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@@H]1C(=O)N1CCN(CCn2cncn2)CC1 ZINC001293932194 1073762898 /nfs/dbraw/zinc/76/28/98/1073762898.db2.gz GZPOUSZWXSKSAO-PKOBYXMFSA-N 0 0 430.484 -0.234 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(C)(C)C(N)=O)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001293965537 1073762818 /nfs/dbraw/zinc/76/28/18/1073762818.db2.gz MBTZHVXUODNEFJ-AWEZNQCLSA-N 0 0 425.530 -0.138 20 0 IBADRN C[C@H](C(=O)N1CCN(C(C)(C)C(N)=O)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001293965538 1073762867 /nfs/dbraw/zinc/76/28/67/1073762867.db2.gz MBTZHVXUODNEFJ-CQSZACIVSA-N 0 0 425.530 -0.138 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001293966348 1073762934 /nfs/dbraw/zinc/76/29/34/1073762934.db2.gz PSFBNSDJCHUXBN-UHFFFAOYSA-N 0 0 438.550 -0.146 20 0 IBADRN CCC[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1)OC ZINC001293978965 1073763002 /nfs/dbraw/zinc/76/30/02/1073763002.db2.gz GDEMJMXCAWNWBK-CAOSSQGBSA-N 0 0 445.542 -0.012 20 0 IBADRN CCC[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1)OC ZINC001293978966 1073763013 /nfs/dbraw/zinc/76/30/13/1073763013.db2.gz GDEMJMXCAWNWBK-JONQDZQNSA-N 0 0 445.542 -0.012 20 0 IBADRN CCC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1)OC ZINC001293978967 1073762956 /nfs/dbraw/zinc/76/29/56/1073762956.db2.gz GDEMJMXCAWNWBK-LVQVYYBASA-N 0 0 445.542 -0.012 20 0 IBADRN CCC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1)OC ZINC001293978968 1073762848 /nfs/dbraw/zinc/76/28/48/1073762848.db2.gz GDEMJMXCAWNWBK-WCVJEAGWSA-N 0 0 445.542 -0.012 20 0 IBADRN CCCC(O)(CCC)Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001293992712 1073762885 /nfs/dbraw/zinc/76/28/85/1073762885.db2.gz IPERVDTXQFLMCS-HNNXBMFYSA-N 0 0 449.556 -0.105 20 0 IBADRN CCCC(O)(CCC)Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001293992714 1073762925 /nfs/dbraw/zinc/76/29/25/1073762925.db2.gz IPERVDTXQFLMCS-OAHLLOKOSA-N 0 0 449.556 -0.105 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C[C@H]3CC(=O)NC3=O)C2)CC1 ZINC001294000092 1073762964 /nfs/dbraw/zinc/76/29/64/1073762964.db2.gz QDPIJFXKKVQWIT-GFCCVEGCSA-N 0 0 444.510 -0.868 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)C[C@@H]3CC(=O)NC3=O)C2)CC1 ZINC001294000093 1073762876 /nfs/dbraw/zinc/76/28/76/1073762876.db2.gz QDPIJFXKKVQWIT-LBPRGKRZSA-N 0 0 444.510 -0.868 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@H]3CCCN3C=O)C2)CC1 ZINC001294000361 1073762858 /nfs/dbraw/zinc/76/28/58/1073762858.db2.gz WQKQXQVLRDZTMT-HNNXBMFYSA-N 0 0 430.527 -0.300 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CCCN3C=O)C2)CC1 ZINC001294000362 1073762808 /nfs/dbraw/zinc/76/28/08/1073762808.db2.gz WQKQXQVLRDZTMT-OAHLLOKOSA-N 0 0 430.527 -0.300 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCC(OCC(N)=O)CC2)C1 ZINC001294077015 1073762973 /nfs/dbraw/zinc/76/29/73/1073762973.db2.gz DQTBWBJWWZOBOZ-BFUOFWGJSA-N 0 0 445.538 -0.239 20 0 IBADRN CCC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CCO1 ZINC001294087799 1073762825 /nfs/dbraw/zinc/76/28/25/1073762825.db2.gz XARVKKREKQVJGW-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CCC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CCO1 ZINC001294087800 1073762839 /nfs/dbraw/zinc/76/28/39/1073762839.db2.gz XARVKKREKQVJGW-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CCC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CCO1 ZINC001294087801 1073763020 /nfs/dbraw/zinc/76/30/20/1073763020.db2.gz XARVKKREKQVJGW-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CCC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CCO1 ZINC001294087802 1073762945 /nfs/dbraw/zinc/76/29/45/1073762945.db2.gz XARVKKREKQVJGW-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN COc1cc(CN2CCN(C(=O)CNS(=O)(=O)N(C)C)CC2)cc(OC)c1OC ZINC001294123535 1073763353 /nfs/dbraw/zinc/76/33/53/1073763353.db2.gz KINLNQAIMPQYFN-UHFFFAOYSA-N 0 0 430.527 -0.247 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001294124414 1073763453 /nfs/dbraw/zinc/76/34/53/1073763453.db2.gz XNUSQDGQTIZUFG-GXTWGEPZSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001294124415 1073763542 /nfs/dbraw/zinc/76/35/42/1073763542.db2.gz XNUSQDGQTIZUFG-JSGCOSHPSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001294124416 1073763340 /nfs/dbraw/zinc/76/33/40/1073763340.db2.gz XNUSQDGQTIZUFG-OCCSQVGLSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001294124417 1073763546 /nfs/dbraw/zinc/76/35/46/1073763546.db2.gz XNUSQDGQTIZUFG-TZMCWYRMSA-N 0 0 439.943 -0.481 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCC3(CNC(=O)C3)CC2)c1 ZINC001294214377 1073763477 /nfs/dbraw/zinc/76/34/77/1073763477.db2.gz SYRWRZCAOHJPDD-UHFFFAOYSA-N 0 0 428.898 -0.154 20 0 IBADRN Cn1cc(N2CCN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CC2=O)cn1 ZINC001294240312 1073763379 /nfs/dbraw/zinc/76/33/79/1073763379.db2.gz WFXKHMSGSSNWHG-HNNXBMFYSA-N 0 0 441.897 -0.412 20 0 IBADRN Cn1cc(N2CCN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CC2=O)cn1 ZINC001294240313 1073763523 /nfs/dbraw/zinc/76/35/23/1073763523.db2.gz WFXKHMSGSSNWHG-OAHLLOKOSA-N 0 0 441.897 -0.412 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C2 ZINC001294248683 1073763323 /nfs/dbraw/zinc/76/33/23/1073763323.db2.gz QTKYAJQLDFKCFE-HNNXBMFYSA-N 0 0 441.535 -0.289 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C2 ZINC001294248684 1073763368 /nfs/dbraw/zinc/76/33/68/1073763368.db2.gz QTKYAJQLDFKCFE-OAHLLOKOSA-N 0 0 441.535 -0.289 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2cn(C)c3c(cnn3C)c2=O)CC1 ZINC001294253238 1073763530 /nfs/dbraw/zinc/76/35/30/1073763530.db2.gz GIPJYAZEPFRIQK-UHFFFAOYSA-N 0 0 425.511 -0.215 20 0 IBADRN CCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001294272216 1073763490 /nfs/dbraw/zinc/76/34/90/1073763490.db2.gz POCPUPAERMYRCJ-HNNXBMFYSA-N 0 0 433.513 -0.463 20 0 IBADRN CCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001294272217 1073763437 /nfs/dbraw/zinc/76/34/37/1073763437.db2.gz POCPUPAERMYRCJ-OAHLLOKOSA-N 0 0 433.513 -0.463 20 0 IBADRN CCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001294280779 1073763447 /nfs/dbraw/zinc/76/34/47/1073763447.db2.gz MWGHJDKZFOHWQA-KBPBESRZSA-N 0 0 430.531 -0.638 20 0 IBADRN CCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001294280780 1073763500 /nfs/dbraw/zinc/76/35/00/1073763500.db2.gz MWGHJDKZFOHWQA-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN CCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001294280781 1073763398 /nfs/dbraw/zinc/76/33/98/1073763398.db2.gz MWGHJDKZFOHWQA-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN CCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001294280782 1073763426 /nfs/dbraw/zinc/76/34/26/1073763426.db2.gz MWGHJDKZFOHWQA-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN CCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001294280959 1073763463 /nfs/dbraw/zinc/76/34/63/1073763463.db2.gz OTKPSEVCRDRJSX-HNNXBMFYSA-N 0 0 444.558 -0.294 20 0 IBADRN CCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001294280960 1073763817 /nfs/dbraw/zinc/76/38/17/1073763817.db2.gz OTKPSEVCRDRJSX-OAHLLOKOSA-N 0 0 444.558 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NCCNS(=O)(=O)Cc2ccon2)CC1 ZINC001294301254 1073763903 /nfs/dbraw/zinc/76/39/03/1073763903.db2.gz BQKVOJWWFINKRT-UHFFFAOYSA-N 0 0 431.515 -0.237 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@@H]1OCCN(C)C1=O ZINC001294302998 1073763953 /nfs/dbraw/zinc/76/39/53/1073763953.db2.gz WGALIHUNTGMNPN-INIZCTEOSA-N 0 0 427.479 -0.098 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)[C@H]1OCCN(C)C1=O ZINC001294302999 1073763820 /nfs/dbraw/zinc/76/38/20/1073763820.db2.gz WGALIHUNTGMNPN-MRXNPFEDSA-N 0 0 427.479 -0.098 20 0 IBADRN COc1nc(N2CCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)CC2)ncc1Br ZINC001294305184 1073763833 /nfs/dbraw/zinc/76/38/33/1073763833.db2.gz SRSTVPJLJHGJRX-JHJVBQTASA-N 0 0 429.271 -0.691 20 0 IBADRN CC[C@H](NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1)C(=O)N1CCOCC1 ZINC001294333833 1073763932 /nfs/dbraw/zinc/76/39/32/1073763932.db2.gz LLPREUHDTCXHIE-INIZCTEOSA-N 0 0 426.495 -0.323 20 0 IBADRN CC[C@@H](NC(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1)C(=O)N1CCOCC1 ZINC001294333835 1073763804 /nfs/dbraw/zinc/76/38/04/1073763804.db2.gz LLPREUHDTCXHIE-MRXNPFEDSA-N 0 0 426.495 -0.323 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)C1 ZINC001294377477 1073763792 /nfs/dbraw/zinc/76/37/92/1073763792.db2.gz XEJKSPRTQMAPHF-UHFFFAOYSA-N 0 0 432.886 -0.967 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N(CCN(C)C)[C@H]3CCS(=O)(=O)C3)CC2=O)cn1 ZINC001294386706 1073764033 /nfs/dbraw/zinc/76/40/33/1073764033.db2.gz ISAHDSHEPWVPRN-CVEARBPZSA-N 0 0 441.554 -0.540 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N(CCN(C)C)[C@H]3CCS(=O)(=O)C3)CC2=O)cn1 ZINC001294386707 1073763872 /nfs/dbraw/zinc/76/38/72/1073763872.db2.gz ISAHDSHEPWVPRN-HOTGVXAUSA-N 0 0 441.554 -0.540 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N(CCN(C)C)[C@@H]3CCS(=O)(=O)C3)CC2=O)cn1 ZINC001294386708 1073763887 /nfs/dbraw/zinc/76/38/87/1073763887.db2.gz ISAHDSHEPWVPRN-HZPDHXFCSA-N 0 0 441.554 -0.540 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N(CCN(C)C)[C@@H]3CCS(=O)(=O)C3)CC2=O)cn1 ZINC001294386709 1073764014 /nfs/dbraw/zinc/76/40/14/1073764014.db2.gz ISAHDSHEPWVPRN-JKSUJKDBSA-N 0 0 441.554 -0.540 20 0 IBADRN CN(C)CCN(C(=O)c1nc(S(N)(=O)=O)c2ccccn21)[C@@H]1CCS(=O)(=O)C1 ZINC001294386828 1073763976 /nfs/dbraw/zinc/76/39/76/1073763976.db2.gz MXNSSXGJQHWUTM-GFCCVEGCSA-N 0 0 429.524 -0.827 20 0 IBADRN CN(C)CCN(C(=O)c1nc(S(N)(=O)=O)c2ccccn21)[C@H]1CCS(=O)(=O)C1 ZINC001294386829 1073763840 /nfs/dbraw/zinc/76/38/40/1073763840.db2.gz MXNSSXGJQHWUTM-LBPRGKRZSA-N 0 0 429.524 -0.827 20 0 IBADRN CCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCNS(=O)(=O)CC ZINC001294440628 1073763859 /nfs/dbraw/zinc/76/38/59/1073763859.db2.gz DJPQWEYTFGVDEA-CYBMUJFWSA-N 0 0 438.576 -0.604 20 0 IBADRN CCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCNS(=O)(=O)CC ZINC001294440634 1073763966 /nfs/dbraw/zinc/76/39/66/1073763966.db2.gz DJPQWEYTFGVDEA-ZDUSSCGKSA-N 0 0 438.576 -0.604 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001294446501 1073763941 /nfs/dbraw/zinc/76/39/41/1073763941.db2.gz FSHMVSCMXXGNEO-CVEARBPZSA-N 0 0 449.570 -0.438 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001294446502 1073763918 /nfs/dbraw/zinc/76/39/18/1073763918.db2.gz FSHMVSCMXXGNEO-HOTGVXAUSA-N 0 0 449.570 -0.438 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001294446503 1073763996 /nfs/dbraw/zinc/76/39/96/1073763996.db2.gz FSHMVSCMXXGNEO-HZPDHXFCSA-N 0 0 449.570 -0.438 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001294446504 1073764006 /nfs/dbraw/zinc/76/40/06/1073764006.db2.gz FSHMVSCMXXGNEO-JKSUJKDBSA-N 0 0 449.570 -0.438 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)CCN2C1=O ZINC001294457246 1073764360 /nfs/dbraw/zinc/76/43/60/1073764360.db2.gz RZTFYNHFBFPMBP-OIISXLGYSA-N 0 0 432.452 -0.175 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)CCN2C1=O ZINC001294457247 1073764435 /nfs/dbraw/zinc/76/44/35/1073764435.db2.gz RZTFYNHFBFPMBP-PVAVHDDUSA-N 0 0 432.452 -0.175 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)CCN2C1=O ZINC001294457248 1073764505 /nfs/dbraw/zinc/76/45/05/1073764505.db2.gz RZTFYNHFBFPMBP-UAGQMJEPSA-N 0 0 432.452 -0.175 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)CCN2C1=O ZINC001294457249 1073764483 /nfs/dbraw/zinc/76/44/83/1073764483.db2.gz RZTFYNHFBFPMBP-XIRDDKMYSA-N 0 0 432.452 -0.175 20 0 IBADRN CCCN(CC)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCNS(C)(=O)=O ZINC001294496759 1073764526 /nfs/dbraw/zinc/76/45/26/1073764526.db2.gz AQUIVBMJUYCALM-CYBMUJFWSA-N 0 0 438.576 -0.604 20 0 IBADRN CCCN(CC)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCNS(C)(=O)=O ZINC001294496760 1073764492 /nfs/dbraw/zinc/76/44/92/1073764492.db2.gz AQUIVBMJUYCALM-ZDUSSCGKSA-N 0 0 438.576 -0.604 20 0 IBADRN CCCN(CC)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001294497448 1073764514 /nfs/dbraw/zinc/76/45/14/1073764514.db2.gz LEKDBNGLYDDGTH-HNNXBMFYSA-N 0 0 444.558 -0.294 20 0 IBADRN CCCN(CC)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001294497449 1073764381 /nfs/dbraw/zinc/76/43/81/1073764381.db2.gz LEKDBNGLYDDGTH-OAHLLOKOSA-N 0 0 444.558 -0.294 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001294501810 1073764567 /nfs/dbraw/zinc/76/45/67/1073764567.db2.gz BIBQZKMYKUUXIX-HEHGZKQESA-N 0 0 433.552 -0.128 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001294501811 1073764401 /nfs/dbraw/zinc/76/44/01/1073764401.db2.gz BIBQZKMYKUUXIX-WOSRLPQWSA-N 0 0 433.552 -0.128 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001294501812 1073764548 /nfs/dbraw/zinc/76/45/48/1073764548.db2.gz BIBQZKMYKUUXIX-XEZPLFJOSA-N 0 0 433.552 -0.128 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001294501813 1073764444 /nfs/dbraw/zinc/76/44/44/1073764444.db2.gz BIBQZKMYKUUXIX-ZENOOKHLSA-N 0 0 433.552 -0.128 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CCOC1 ZINC001294546823 1073764426 /nfs/dbraw/zinc/76/44/26/1073764426.db2.gz ISIMUGNJEUZQMG-GOSISDBHSA-N 0 0 428.463 -0.035 20 0 IBADRN COC(=O)[C@]1(NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CCOC1 ZINC001294546824 1073764348 /nfs/dbraw/zinc/76/43/48/1073764348.db2.gz ISIMUGNJEUZQMG-SFHVURJKSA-N 0 0 428.463 -0.035 20 0 IBADRN CCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001294614484 1073764391 /nfs/dbraw/zinc/76/43/91/1073764391.db2.gz ZRGSOBQDCIWPMQ-HNNXBMFYSA-N 0 0 437.526 -0.323 20 0 IBADRN CCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001294614485 1073764477 /nfs/dbraw/zinc/76/44/77/1073764477.db2.gz ZRGSOBQDCIWPMQ-OAHLLOKOSA-N 0 0 437.526 -0.323 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1 ZINC001294615254 1073764466 /nfs/dbraw/zinc/76/44/66/1073764466.db2.gz ZLSNCPJGCNWSAK-BRWVUGGUSA-N 0 0 435.506 -0.475 20 0 IBADRN CCCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001294626519 1073764416 /nfs/dbraw/zinc/76/44/16/1073764416.db2.gz NQLCRVQUBKDVJS-UHFFFAOYSA-N 0 0 433.513 -0.682 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)CNS(=O)(=O)N(C)C)CC2)cc1 ZINC001294629269 1073764369 /nfs/dbraw/zinc/76/43/69/1073764369.db2.gz CNTSKXJYQIWPIF-UHFFFAOYSA-N 0 0 427.527 -0.436 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H](CO)NC(=O)OC(C)(C)C)CC2)cn1C ZINC001294650418 1073764537 /nfs/dbraw/zinc/76/45/37/1073764537.db2.gz RYTMDYJIVXZDGW-CYBMUJFWSA-N 0 0 431.515 -0.553 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1 ZINC001294655072 1073765049 /nfs/dbraw/zinc/76/50/49/1073765049.db2.gz LORNTKSUKRSERJ-UHFFFAOYSA-N 0 0 440.913 -0.201 20 0 IBADRN Cn1ccnc1N1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001294657570 1073765016 /nfs/dbraw/zinc/76/50/16/1073765016.db2.gz XWAKVSKFADJJTF-UHFFFAOYSA-N 0 0 441.535 -0.549 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)[C@H]1c1ccnn1C ZINC001294658782 1073764980 /nfs/dbraw/zinc/76/49/80/1073764980.db2.gz DBTJUIPFXSAHGY-AHRSYUTCSA-N 0 0 446.552 -0.136 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)[C@H]1c1ccnn1C ZINC001294658784 1073764972 /nfs/dbraw/zinc/76/49/72/1073764972.db2.gz DBTJUIPFXSAHGY-DBVUQKKJSA-N 0 0 446.552 -0.136 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2cc(Cl)ccc2OC)CC1 ZINC001294661204 1073764894 /nfs/dbraw/zinc/76/48/94/1073764894.db2.gz YZHUNUYRLNFPBY-UHFFFAOYSA-N 0 0 440.931 -0.765 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@H](C)C(=O)N3CCCC3)CC2)[C@H]1c1nccn1C ZINC001294661290 1073764952 /nfs/dbraw/zinc/76/49/52/1073764952.db2.gz ZZGGPGPGWLTDDI-NEWSRXKRSA-N 0 0 446.552 -0.136 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)[C@H]1c1nccn1C ZINC001294661296 1073764941 /nfs/dbraw/zinc/76/49/41/1073764941.db2.gz ZZGGPGPGWLTDDI-WDSOQIARSA-N 0 0 446.552 -0.136 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCCC[C@H]2CCO)CC1 ZINC001294687266 1073765041 /nfs/dbraw/zinc/76/50/41/1073765041.db2.gz OMCMXYLRRSJFPP-AWEZNQCLSA-N 0 0 444.579 -0.083 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCCC[C@@H]2CCO)CC1 ZINC001294687267 1073765030 /nfs/dbraw/zinc/76/50/30/1073765030.db2.gz OMCMXYLRRSJFPP-CQSZACIVSA-N 0 0 444.579 -0.083 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CC[C@H](OCCN3CCOCC3)C2)cc1 ZINC001294690895 1073764918 /nfs/dbraw/zinc/76/49/18/1073764918.db2.gz MYGRTVRHSNZCIU-AEFFLSMTSA-N 0 0 441.550 -0.091 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)cc1 ZINC001294690896 1073764931 /nfs/dbraw/zinc/76/49/31/1073764931.db2.gz MYGRTVRHSNZCIU-FUHWJXTLSA-N 0 0 441.550 -0.091 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)cc1 ZINC001294690897 1073764995 /nfs/dbraw/zinc/76/49/95/1073764995.db2.gz MYGRTVRHSNZCIU-SJLPKXTDSA-N 0 0 441.550 -0.091 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CC[C@H](OCCN3CCOCC3)C2)cc1 ZINC001294690898 1073765006 /nfs/dbraw/zinc/76/50/06/1073765006.db2.gz MYGRTVRHSNZCIU-WMZOPIPTSA-N 0 0 441.550 -0.091 20 0 IBADRN CCCN1CCCN(c2nnc(C(=O)N3CCCC3)n2CCNS(C)(=O)=O)CC1=O ZINC001294713197 1073764883 /nfs/dbraw/zinc/76/48/83/1073764883.db2.gz VFSLNWJRJMSXSD-UHFFFAOYSA-N 0 0 441.558 -0.488 20 0 IBADRN CCCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)CC1=O ZINC001294719527 1073765066 /nfs/dbraw/zinc/76/50/66/1073765066.db2.gz GVABBXGKWJYXJZ-CYBMUJFWSA-N 0 0 429.547 -0.575 20 0 IBADRN CCCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)CC1=O ZINC001294719528 1073765080 /nfs/dbraw/zinc/76/50/80/1073765080.db2.gz GVABBXGKWJYXJZ-ZDUSSCGKSA-N 0 0 429.547 -0.575 20 0 IBADRN CCCN1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)CC1=O ZINC001294731891 1073765582 /nfs/dbraw/zinc/76/55/82/1073765582.db2.gz ZIQGFHBUAIGTPD-CYBMUJFWSA-N 0 0 427.531 -0.773 20 0 IBADRN CCCN1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)CC1=O ZINC001294731906 1073765530 /nfs/dbraw/zinc/76/55/30/1073765530.db2.gz ZIQGFHBUAIGTPD-ZDUSSCGKSA-N 0 0 427.531 -0.773 20 0 IBADRN CCCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CC1=O ZINC001294734143 1073765442 /nfs/dbraw/zinc/76/54/42/1073765442.db2.gz RQCJHDUNAISZDG-BEFAXECRSA-N 0 0 441.554 -0.220 20 0 IBADRN CCCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CC1=O ZINC001294734144 1073765487 /nfs/dbraw/zinc/76/54/87/1073765487.db2.gz RQCJHDUNAISZDG-DNVCBOLYSA-N 0 0 441.554 -0.220 20 0 IBADRN CCCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CC1=O ZINC001294734145 1073765514 /nfs/dbraw/zinc/76/55/14/1073765514.db2.gz RQCJHDUNAISZDG-HNAYVOBHSA-N 0 0 441.554 -0.220 20 0 IBADRN CCCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CC1=O ZINC001294734146 1073765476 /nfs/dbraw/zinc/76/54/76/1073765476.db2.gz RQCJHDUNAISZDG-KXBFYZLASA-N 0 0 441.554 -0.220 20 0 IBADRN CCCN1CCCN(c2nnc(CN3CCCC3=O)n2CCS(C)(=O)=O)CC1=O ZINC001294739461 1073765542 /nfs/dbraw/zinc/76/55/42/1073765542.db2.gz IHZMUQSIYBPCTR-UHFFFAOYSA-N 0 0 426.543 -0.106 20 0 IBADRN O=C(NCc1ccc(Br)cc1N1CCOCC1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001294746878 1073765495 /nfs/dbraw/zinc/76/54/95/1073765495.db2.gz WIIIFXCEXJNJOX-ZDUSSCGKSA-N 0 0 446.323 -0.185 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2Cc2nnn(C)n2)C1 ZINC001294781125 1073765462 /nfs/dbraw/zinc/76/54/62/1073765462.db2.gz QRONZYJBOMPSEY-AWEZNQCLSA-N 0 0 430.517 -0.172 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2Cc2nnn(C)n2)C1 ZINC001294781126 1073765481 /nfs/dbraw/zinc/76/54/81/1073765481.db2.gz QRONZYJBOMPSEY-CQSZACIVSA-N 0 0 430.517 -0.172 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)C1 ZINC001294784560 1073765506 /nfs/dbraw/zinc/76/55/06/1073765506.db2.gz KGPPAYAFTYZCQE-KBPBESRZSA-N 0 0 443.574 -0.281 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)C1 ZINC001294784563 1073765585 /nfs/dbraw/zinc/76/55/85/1073765585.db2.gz KGPPAYAFTYZCQE-KGLIPLIRSA-N 0 0 443.574 -0.281 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)C1 ZINC001294784567 1073765453 /nfs/dbraw/zinc/76/54/53/1073765453.db2.gz KGPPAYAFTYZCQE-UONOGXRCSA-N 0 0 443.574 -0.281 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)C1 ZINC001294784572 1073765522 /nfs/dbraw/zinc/76/55/22/1073765522.db2.gz KGPPAYAFTYZCQE-ZIAGYGMSSA-N 0 0 443.574 -0.281 20 0 IBADRN NS(=O)(=O)C1(C(=O)NC[C@H](O)COc2ccc(I)cc2)CC1 ZINC001294795067 1073765577 /nfs/dbraw/zinc/76/55/77/1073765577.db2.gz OXNNMJPTMXRSAG-JTQLQIEISA-N 0 0 440.259 -0.032 20 0 IBADRN NS(=O)(=O)C1(C(=O)NC[C@@H](O)COc2ccc(I)cc2)CC1 ZINC001294795068 1073765601 /nfs/dbraw/zinc/76/56/01/1073765601.db2.gz OXNNMJPTMXRSAG-SNVBAGLBSA-N 0 0 440.259 -0.032 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](CO)NC(=O)c2ccc(F)cc2)CC1 ZINC001294820096 1073765549 /nfs/dbraw/zinc/76/55/49/1073765549.db2.gz KQNFBTKMPKBRKM-INIZCTEOSA-N 0 0 431.486 -0.573 20 0 IBADRN CCCNC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001294834141 1073765432 /nfs/dbraw/zinc/76/54/32/1073765432.db2.gz MLYDPNTXQNVIGM-UHFFFAOYSA-N 0 0 435.529 -0.099 20 0 IBADRN CCCNC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)COC)CC1 ZINC001294837517 1073765562 /nfs/dbraw/zinc/76/55/62/1073765562.db2.gz DWPYXGCSTGVENM-UHFFFAOYSA-N 0 0 435.529 -0.275 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001294837565 1073766018 /nfs/dbraw/zinc/76/60/18/1073766018.db2.gz FUTDKAUWOKZHLH-BFHYXJOUSA-N 0 0 441.558 -0.481 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001294837566 1073765903 /nfs/dbraw/zinc/76/59/03/1073765903.db2.gz FUTDKAUWOKZHLH-IHRRRGAJSA-N 0 0 441.558 -0.481 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001294837567 1073766136 /nfs/dbraw/zinc/76/61/36/1073766136.db2.gz FUTDKAUWOKZHLH-MELADBBJSA-N 0 0 441.558 -0.481 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001294837568 1073765977 /nfs/dbraw/zinc/76/59/77/1073765977.db2.gz FUTDKAUWOKZHLH-MJBXVCDLSA-N 0 0 441.558 -0.481 20 0 IBADRN CCCNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)CC1 ZINC001294838100 1073766090 /nfs/dbraw/zinc/76/60/90/1073766090.db2.gz KPDRIMHTMGWEDA-CYBMUJFWSA-N 0 0 429.547 -0.527 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)CC1 ZINC001294838102 1073765951 /nfs/dbraw/zinc/76/59/51/1073765951.db2.gz KPDRIMHTMGWEDA-ZDUSSCGKSA-N 0 0 429.547 -0.527 20 0 IBADRN CCCNC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001294838725 1073765915 /nfs/dbraw/zinc/76/59/15/1073765915.db2.gz OREKLHRCGCMDQP-UHFFFAOYSA-N 0 0 436.561 -0.457 20 0 IBADRN CCCNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001294839239 1073766150 /nfs/dbraw/zinc/76/61/50/1073766150.db2.gz SMLBFGAYZCILPN-CHWSQXEVSA-N 0 0 443.530 -0.555 20 0 IBADRN CCCNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001294839247 1073765960 /nfs/dbraw/zinc/76/59/60/1073765960.db2.gz SMLBFGAYZCILPN-OLZOCXBDSA-N 0 0 443.530 -0.555 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001294839249 1073766037 /nfs/dbraw/zinc/76/60/37/1073766037.db2.gz SMLBFGAYZCILPN-QWHCGFSZSA-N 0 0 443.530 -0.555 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001294839251 1073765990 /nfs/dbraw/zinc/76/59/90/1073765990.db2.gz SMLBFGAYZCILPN-STQMWFEESA-N 0 0 443.530 -0.555 20 0 IBADRN CCCNC(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001294851741 1073766049 /nfs/dbraw/zinc/76/60/49/1073766049.db2.gz ZPNSKUZFAXEPDM-HNNXBMFYSA-N 0 0 426.481 -0.597 20 0 IBADRN CCCNC(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001294851742 1073766113 /nfs/dbraw/zinc/76/61/13/1073766113.db2.gz ZPNSKUZFAXEPDM-OAHLLOKOSA-N 0 0 426.481 -0.597 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC[C@H]1C ZINC001294856672 1073766123 /nfs/dbraw/zinc/76/61/23/1073766123.db2.gz IPYGMQKCRUJQRK-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC[C@@H]1C ZINC001294856674 1073766001 /nfs/dbraw/zinc/76/60/01/1073766001.db2.gz IPYGMQKCRUJQRK-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC[C@H]1C ZINC001294856677 1073766064 /nfs/dbraw/zinc/76/60/64/1073766064.db2.gz IPYGMQKCRUJQRK-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC[C@@H]1C ZINC001294856806 1073766077 /nfs/dbraw/zinc/76/60/77/1073766077.db2.gz IPYGMQKCRUJQRK-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](OC)C1 ZINC001294857447 1073766100 /nfs/dbraw/zinc/76/61/00/1073766100.db2.gz NOMRPSILCPWXEF-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](OC)C1 ZINC001294857449 1073765935 /nfs/dbraw/zinc/76/59/35/1073765935.db2.gz NOMRPSILCPWXEF-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H](C)C(=O)NC ZINC001294858156 1073766027 /nfs/dbraw/zinc/76/60/27/1073766027.db2.gz UUUWYCGQHLJWON-KBPBESRZSA-N 0 0 428.559 -0.085 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H](C)C(=O)NC ZINC001294858157 1073766605 /nfs/dbraw/zinc/76/66/05/1073766605.db2.gz UUUWYCGQHLJWON-KGLIPLIRSA-N 0 0 428.559 -0.085 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H](C)C(=O)NC ZINC001294858158 1073766582 /nfs/dbraw/zinc/76/65/82/1073766582.db2.gz UUUWYCGQHLJWON-UONOGXRCSA-N 0 0 428.559 -0.085 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H](C)C(=O)NC ZINC001294858159 1073766463 /nfs/dbraw/zinc/76/64/63/1073766463.db2.gz UUUWYCGQHLJWON-ZIAGYGMSSA-N 0 0 428.559 -0.085 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001294858868 1073766589 /nfs/dbraw/zinc/76/65/89/1073766589.db2.gz YGPSDNIEJFKQBV-AUUYWEPGSA-N 0 0 438.554 -0.187 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001294858872 1073766478 /nfs/dbraw/zinc/76/64/78/1073766478.db2.gz YGPSDNIEJFKQBV-IFXJQAMLSA-N 0 0 438.554 -0.187 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001294858877 1073766440 /nfs/dbraw/zinc/76/64/40/1073766440.db2.gz YGPSDNIEJFKQBV-KUHUBIRLSA-N 0 0 438.554 -0.187 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001294858881 1073766485 /nfs/dbraw/zinc/76/64/85/1073766485.db2.gz YGPSDNIEJFKQBV-LIRRHRJNSA-N 0 0 438.554 -0.187 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001294862461 1073766470 /nfs/dbraw/zinc/76/64/70/1073766470.db2.gz VRYFUPDXBLRTJX-INIZCTEOSA-N 0 0 446.556 -0.454 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001294862462 1073766560 /nfs/dbraw/zinc/76/65/60/1073766560.db2.gz VRYFUPDXBLRTJX-MRXNPFEDSA-N 0 0 446.556 -0.454 20 0 IBADRN CCCNC(=O)CCn1c(Cc2ccsc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001294862653 1073766613 /nfs/dbraw/zinc/76/66/13/1073766613.db2.gz FIVDDKSHLQHNNU-HNNXBMFYSA-N 0 0 445.549 -0.006 20 0 IBADRN CCCNC(=O)CCn1c(Cc2ccsc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001294862655 1073766570 /nfs/dbraw/zinc/76/65/70/1073766570.db2.gz FIVDDKSHLQHNNU-OAHLLOKOSA-N 0 0 445.549 -0.006 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001294862904 1073766450 /nfs/dbraw/zinc/76/64/50/1073766450.db2.gz YQNNNKLQOLIVNO-CYBMUJFWSA-N 0 0 427.531 -0.773 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001294862906 1073766597 /nfs/dbraw/zinc/76/65/97/1073766597.db2.gz YQNNNKLQOLIVNO-ZDUSSCGKSA-N 0 0 427.531 -0.773 20 0 IBADRN CCCNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001294862955 1073766494 /nfs/dbraw/zinc/76/64/94/1073766494.db2.gz HYDOFJIDUYQZKZ-UHFFFAOYSA-N 0 0 441.558 -0.602 20 0 IBADRN CCCNC(=O)CCn1c(N2CCO[C@@H](C(=O)NC)C2)nnc1[C@@]1(OCC)CCOC1 ZINC001294871213 1073766428 /nfs/dbraw/zinc/76/64/28/1073766428.db2.gz FTVOWPWLXSWWBF-FOIQADDNSA-N 0 0 438.529 -0.202 20 0 IBADRN CCCNC(=O)CCn1c(N2CCO[C@H](C(=O)NC)C2)nnc1[C@@]1(OCC)CCOC1 ZINC001294871214 1073766497 /nfs/dbraw/zinc/76/64/97/1073766497.db2.gz FTVOWPWLXSWWBF-MGPUTAFESA-N 0 0 438.529 -0.202 20 0 IBADRN CCCNC(=O)CCn1c(N2CCO[C@@H](C(=O)NC)C2)nnc1[C@]1(OCC)CCOC1 ZINC001294871215 1073766506 /nfs/dbraw/zinc/76/65/06/1073766506.db2.gz FTVOWPWLXSWWBF-QRWLVFNGSA-N 0 0 438.529 -0.202 20 0 IBADRN CCCNC(=O)CCn1c(N2CCO[C@H](C(=O)NC)C2)nnc1[C@]1(OCC)CCOC1 ZINC001294871216 1073766535 /nfs/dbraw/zinc/76/65/35/1073766535.db2.gz FTVOWPWLXSWWBF-YWZLYKJASA-N 0 0 438.529 -0.202 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001294872869 1073766544 /nfs/dbraw/zinc/76/65/44/1073766544.db2.gz FVKAYTSXFJGJED-AWEZNQCLSA-N 0 0 441.558 -0.490 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001294874210 1073766514 /nfs/dbraw/zinc/76/65/14/1073766514.db2.gz MTDQPAZBRAKPHX-UHFFFAOYSA-N 0 0 448.572 -0.313 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(C)C)CC1 ZINC001294875679 1073766978 /nfs/dbraw/zinc/76/69/78/1073766978.db2.gz CROZETUJTMUAQV-AWEZNQCLSA-N 0 0 443.574 -0.281 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(C)C)CC1 ZINC001294875680 1073766943 /nfs/dbraw/zinc/76/69/43/1073766943.db2.gz CROZETUJTMUAQV-CQSZACIVSA-N 0 0 443.574 -0.281 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](C(=O)OC)C1 ZINC001294875825 1073767044 /nfs/dbraw/zinc/76/70/44/1073767044.db2.gz HRNLRUBWVYIECJ-CHWSQXEVSA-N 0 0 430.531 -0.196 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](C(=O)OC)C1 ZINC001294875826 1073767033 /nfs/dbraw/zinc/76/70/33/1073767033.db2.gz HRNLRUBWVYIECJ-OLZOCXBDSA-N 0 0 430.531 -0.196 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](C(=O)OC)C1 ZINC001294875827 1073767059 /nfs/dbraw/zinc/76/70/59/1073767059.db2.gz HRNLRUBWVYIECJ-QWHCGFSZSA-N 0 0 430.531 -0.196 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](C(=O)OC)C1 ZINC001294875828 1073767006 /nfs/dbraw/zinc/76/70/06/1073767006.db2.gz HRNLRUBWVYIECJ-STQMWFEESA-N 0 0 430.531 -0.196 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001294875947 1073767001 /nfs/dbraw/zinc/76/70/01/1073767001.db2.gz JYZBRXXBSOFAFN-PXAZEXFGSA-N 0 0 427.531 -0.869 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001294875948 1073767064 /nfs/dbraw/zinc/76/70/64/1073767064.db2.gz JYZBRXXBSOFAFN-SJCJKPOMSA-N 0 0 427.531 -0.869 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001294875949 1073766968 /nfs/dbraw/zinc/76/69/68/1073766968.db2.gz JYZBRXXBSOFAFN-SJKOYZFVSA-N 0 0 427.531 -0.869 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001294875950 1073767013 /nfs/dbraw/zinc/76/70/13/1073767013.db2.gz JYZBRXXBSOFAFN-YVEFUNNKSA-N 0 0 427.531 -0.869 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001294875984 1073767019 /nfs/dbraw/zinc/76/70/19/1073767019.db2.gz KUBICKFAVREUTR-CYBMUJFWSA-N 0 0 441.558 -0.479 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001294875985 1073767051 /nfs/dbraw/zinc/76/70/51/1073767051.db2.gz KUBICKFAVREUTR-ZDUSSCGKSA-N 0 0 441.558 -0.479 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCCN(CCC)C(=O)C1 ZINC001294876587 1073767039 /nfs/dbraw/zinc/76/70/39/1073767039.db2.gz QYIKSHNPKLTXQN-AWEZNQCLSA-N 0 0 443.574 -0.137 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCCN(CCC)C(=O)C1 ZINC001294876588 1073766961 /nfs/dbraw/zinc/76/69/61/1073766961.db2.gz QYIKSHNPKLTXQN-CQSZACIVSA-N 0 0 443.574 -0.137 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)OCC)CC1 ZINC001294876594 1073766929 /nfs/dbraw/zinc/76/69/29/1073766929.db2.gz RBBVMQVKRZNNNL-CYBMUJFWSA-N 0 0 445.546 -0.307 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)OCC)CC1 ZINC001294876595 1073767069 /nfs/dbraw/zinc/76/70/69/1073767069.db2.gz RBBVMQVKRZNNNL-ZDUSSCGKSA-N 0 0 445.546 -0.307 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)NC[C@@H](O)CN2CCCC2=O)C1=O ZINC001294895230 1073766948 /nfs/dbraw/zinc/76/69/48/1073766948.db2.gz NTRWIFMZXSIWQV-CABCVRRESA-N 0 0 426.514 -0.151 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)NC[C@H](O)CN2CCCC2=O)C1=O ZINC001294895231 1073767025 /nfs/dbraw/zinc/76/70/25/1073767025.db2.gz NTRWIFMZXSIWQV-GJZGRUSLSA-N 0 0 426.514 -0.151 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)NC[C@@H](O)CN2CCCC2=O)C1=O ZINC001294895232 1073766986 /nfs/dbraw/zinc/76/69/86/1073766986.db2.gz NTRWIFMZXSIWQV-HUUCEWRRSA-N 0 0 426.514 -0.151 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)NC[C@H](O)CN2CCCC2=O)C1=O ZINC001294895233 1073766995 /nfs/dbraw/zinc/76/69/95/1073766995.db2.gz NTRWIFMZXSIWQV-LSDHHAIUSA-N 0 0 426.514 -0.151 20 0 IBADRN CCCNC(=O)Cn1c(-c2noc3c2COCC3)nnc1N1CCN(CCOC)C(=O)C1 ZINC001294898505 1073767481 /nfs/dbraw/zinc/76/74/81/1073767481.db2.gz OJLYEYAUBGZWBO-UHFFFAOYSA-N 0 0 447.496 -0.173 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(=O)(=O)CC ZINC001294901063 1073767632 /nfs/dbraw/zinc/76/76/32/1073767632.db2.gz AEQYIZNAJVWPDR-CYBMUJFWSA-N 0 0 435.572 -0.423 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(=O)(=O)CC ZINC001294901065 1073767612 /nfs/dbraw/zinc/76/76/12/1073767612.db2.gz AEQYIZNAJVWPDR-ZDUSSCGKSA-N 0 0 435.572 -0.423 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001294901073 1073767654 /nfs/dbraw/zinc/76/76/54/1073767654.db2.gz AGWPCCDPZIYNIJ-KBPBESRZSA-N 0 0 447.583 -0.280 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001294901076 1073767510 /nfs/dbraw/zinc/76/75/10/1073767510.db2.gz AGWPCCDPZIYNIJ-KGLIPLIRSA-N 0 0 447.583 -0.280 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001294901078 1073767539 /nfs/dbraw/zinc/76/75/39/1073767539.db2.gz AGWPCCDPZIYNIJ-UONOGXRCSA-N 0 0 447.583 -0.280 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001294901080 1073767456 /nfs/dbraw/zinc/76/74/56/1073767456.db2.gz AGWPCCDPZIYNIJ-ZIAGYGMSSA-N 0 0 447.583 -0.280 20 0 IBADRN CCCNC(=O)Cn1c(CC(C)C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001294901669 1073767623 /nfs/dbraw/zinc/76/76/23/1073767623.db2.gz DLEMHIRDKNFSPX-UHFFFAOYSA-N 0 0 449.556 -0.490 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(NC(C)=O)CC1 ZINC001294901700 1073767532 /nfs/dbraw/zinc/76/75/32/1073767532.db2.gz DRYCQQRRHVAMSE-AWEZNQCLSA-N 0 0 426.543 -0.189 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(NC(C)=O)CC1 ZINC001294901702 1073767522 /nfs/dbraw/zinc/76/75/22/1073767522.db2.gz DRYCQQRRHVAMSE-CQSZACIVSA-N 0 0 426.543 -0.189 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001294901721 1073767590 /nfs/dbraw/zinc/76/75/90/1073767590.db2.gz DWTXYCFTHBPFOP-CYBMUJFWSA-N 0 0 440.526 -0.215 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001294901724 1073767498 /nfs/dbraw/zinc/76/74/98/1073767498.db2.gz DWTXYCFTHBPFOP-ZDUSSCGKSA-N 0 0 440.526 -0.215 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001294902098 1073767678 /nfs/dbraw/zinc/76/76/78/1073767678.db2.gz FMRNGQVVLXSJES-CYBMUJFWSA-N 0 0 432.572 -0.310 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001294902102 1073767554 /nfs/dbraw/zinc/76/75/54/1073767554.db2.gz FMRNGQVVLXSJES-ZDUSSCGKSA-N 0 0 432.572 -0.310 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001294903449 1073767568 /nfs/dbraw/zinc/76/75/68/1073767568.db2.gz MMRWZKNHXPPJMI-INIZCTEOSA-N 0 0 447.540 -0.421 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001294903450 1073767643 /nfs/dbraw/zinc/76/76/43/1073767643.db2.gz MMRWZKNHXPPJMI-MRXNPFEDSA-N 0 0 447.540 -0.421 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NC)CC1 ZINC001294903918 1073768098 /nfs/dbraw/zinc/76/80/98/1073768098.db2.gz PPZXHPIFIJNTPA-CYBMUJFWSA-N 0 0 427.531 -0.832 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NC)CC1 ZINC001294903919 1073768089 /nfs/dbraw/zinc/76/80/89/1073768089.db2.gz PPZXHPIFIJNTPA-ZDUSSCGKSA-N 0 0 427.531 -0.832 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001294905000 1073768105 /nfs/dbraw/zinc/76/81/05/1073768105.db2.gz VLXFCTABMKZTFQ-CHWSQXEVSA-N 0 0 426.499 -0.653 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001294905006 1073768080 /nfs/dbraw/zinc/76/80/80/1073768080.db2.gz VLXFCTABMKZTFQ-OLZOCXBDSA-N 0 0 426.499 -0.653 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001294905007 1073768084 /nfs/dbraw/zinc/76/80/84/1073768084.db2.gz VLXFCTABMKZTFQ-QWHCGFSZSA-N 0 0 426.499 -0.653 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001294905008 1073768086 /nfs/dbraw/zinc/76/80/86/1073768086.db2.gz VLXFCTABMKZTFQ-STQMWFEESA-N 0 0 426.499 -0.653 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001294905242 1073768077 /nfs/dbraw/zinc/76/80/77/1073768077.db2.gz VOFKIOOOYCXTRF-CHWSQXEVSA-N 0 0 435.572 -0.424 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001294905243 1073768096 /nfs/dbraw/zinc/76/80/96/1073768096.db2.gz VOFKIOOOYCXTRF-OLZOCXBDSA-N 0 0 435.572 -0.424 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001294905244 1073768107 /nfs/dbraw/zinc/76/81/07/1073768107.db2.gz VOFKIOOOYCXTRF-QWHCGFSZSA-N 0 0 435.572 -0.424 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001294905245 1073768100 /nfs/dbraw/zinc/76/81/00/1073768100.db2.gz VOFKIOOOYCXTRF-STQMWFEESA-N 0 0 435.572 -0.424 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(=O)NC)CC1 ZINC001294905486 1073768088 /nfs/dbraw/zinc/76/80/88/1073768088.db2.gz XVVRHPJRUDCHMW-AWEZNQCLSA-N 0 0 426.543 -0.331 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(=O)NC)CC1 ZINC001294905488 1073768073 /nfs/dbraw/zinc/76/80/73/1073768073.db2.gz XVVRHPJRUDCHMW-CQSZACIVSA-N 0 0 426.543 -0.331 20 0 IBADRN CCCNC(=O)Cn1c(CC)nnc1N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001294907047 1073768103 /nfs/dbraw/zinc/76/81/03/1073768103.db2.gz FFDYBTXQVGFZEC-UHFFFAOYSA-N 0 0 438.558 -0.080 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NCCCO)CC2)cc1 ZINC001294907919 1073768094 /nfs/dbraw/zinc/76/80/94/1073768094.db2.gz QJUNPJVCRFQYGB-UHFFFAOYSA-N 0 0 439.534 -0.101 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NCCCO)CC2)cc1 ZINC001294908519 1073768085 /nfs/dbraw/zinc/76/80/85/1073768085.db2.gz FFTFHZCQNJBNRG-AWEZNQCLSA-N 0 0 427.523 -0.304 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NCCCO)CC2)cc1 ZINC001294908520 1073768082 /nfs/dbraw/zinc/76/80/82/1073768082.db2.gz FFTFHZCQNJBNRG-CQSZACIVSA-N 0 0 427.523 -0.304 20 0 IBADRN CCCNC(=O)Cn1c(CC)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001294909244 1073768091 /nfs/dbraw/zinc/76/80/91/1073768091.db2.gz XKMOBEZWFKHLGA-HNNXBMFYSA-N 0 0 428.559 -0.003 20 0 IBADRN CCCNC(=O)Cn1c(CC)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001294909245 1073768079 /nfs/dbraw/zinc/76/80/79/1073768079.db2.gz XKMOBEZWFKHLGA-OAHLLOKOSA-N 0 0 428.559 -0.003 20 0 IBADRN CCCNC(=O)Cn1c(CC2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001294910448 1073768066 /nfs/dbraw/zinc/76/80/66/1073768066.db2.gz OLKOSMAHOFDXRN-UHFFFAOYSA-N 0 0 447.540 -0.736 20 0 IBADRN CCCNC(=O)Cn1c(Cc2csc(C)n2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001294910850 1073768109 /nfs/dbraw/zinc/76/81/09/1073768109.db2.gz VMMLLYCSVCREGN-AWEZNQCLSA-N 0 0 446.537 -0.693 20 0 IBADRN CCCNC(=O)Cn1c(Cc2csc(C)n2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001294910851 1073768558 /nfs/dbraw/zinc/76/85/58/1073768558.db2.gz VMMLLYCSVCREGN-CQSZACIVSA-N 0 0 446.537 -0.693 20 0 IBADRN CCCNC(=O)Cn1c(CN2CCCC2=O)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001294910967 1073768626 /nfs/dbraw/zinc/76/86/26/1073768626.db2.gz WAQMBANUZJKGEZ-UHFFFAOYSA-N 0 0 448.572 -0.427 20 0 IBADRN CCCNC(=O)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001294911221 1073768611 /nfs/dbraw/zinc/76/86/11/1073768611.db2.gz YWSUFLGTERTXDO-UHFFFAOYSA-N 0 0 438.558 -0.252 20 0 IBADRN CCCNC(=O)Cn1c(N2CCO[C@@H](C(=O)NC)C2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001294920251 1073768459 /nfs/dbraw/zinc/76/84/59/1073768459.db2.gz IOUOZQSHBISORP-FOIQADDNSA-N 0 0 438.529 -0.204 20 0 IBADRN CCCNC(=O)Cn1c(N2CCO[C@H](C(=O)NC)C2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001294920252 1073768570 /nfs/dbraw/zinc/76/85/70/1073768570.db2.gz IOUOZQSHBISORP-MGPUTAFESA-N 0 0 438.529 -0.204 20 0 IBADRN CCCNC(=O)Cn1c(N2CCO[C@@H](C(=O)NC)C2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001294920253 1073768525 /nfs/dbraw/zinc/76/85/25/1073768525.db2.gz IOUOZQSHBISORP-QRWLVFNGSA-N 0 0 438.529 -0.204 20 0 IBADRN CCCNC(=O)Cn1c(N2CCO[C@H](C(=O)NC)C2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001294920254 1073768564 /nfs/dbraw/zinc/76/85/64/1073768564.db2.gz IOUOZQSHBISORP-YWZLYKJASA-N 0 0 438.529 -0.204 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN(C(=O)COC)CC1 ZINC001294920941 1073768588 /nfs/dbraw/zinc/76/85/88/1073768588.db2.gz SSQNINUDPXZNRE-YJBOKZPZSA-N 0 0 438.529 -0.177 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001294921019 1073768534 /nfs/dbraw/zinc/76/85/34/1073768534.db2.gz UPBOPRXPTJIANI-OAHLLOKOSA-N 0 0 435.529 -0.171 20 0 IBADRN CCCNC(=O)Cn1c(N2CCO[C@@H](CNC(C)=O)C2)nnc1[C@]1(OCC)CCOC1 ZINC001294921121 1073768582 /nfs/dbraw/zinc/76/85/82/1073768582.db2.gz WWKHEEHLTSTMEV-JXFKEZNVSA-N 0 0 438.529 -0.202 20 0 IBADRN CCCNC(=O)Cn1c(N2CCO[C@@H](CNC(C)=O)C2)nnc1[C@@]1(OCC)CCOC1 ZINC001294921122 1073768512 /nfs/dbraw/zinc/76/85/12/1073768512.db2.gz WWKHEEHLTSTMEV-OXJNMPFZSA-N 0 0 438.529 -0.202 20 0 IBADRN CCCNC(=O)Cn1c(N2CCO[C@H](CNC(C)=O)C2)nnc1[C@@]1(OCC)CCOC1 ZINC001294921123 1073768495 /nfs/dbraw/zinc/76/84/95/1073768495.db2.gz WWKHEEHLTSTMEV-OXQOHEQNSA-N 0 0 438.529 -0.202 20 0 IBADRN CCCNC(=O)Cn1c(N2CCO[C@H](CNC(C)=O)C2)nnc1[C@]1(OCC)CCOC1 ZINC001294921124 1073768603 /nfs/dbraw/zinc/76/86/03/1073768603.db2.gz WWKHEEHLTSTMEV-UZLBHIALSA-N 0 0 438.529 -0.202 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)CCN2C(=O)CCNC2=S)cc1 ZINC001294932599 1073768595 /nfs/dbraw/zinc/76/85/95/1073768595.db2.gz IUPJEOJDJIZHST-UHFFFAOYSA-N 0 0 441.531 -0.113 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001294933303 1073768631 /nfs/dbraw/zinc/76/86/31/1073768631.db2.gz UMSRSEHROKZSGM-KRWDZBQOSA-N 0 0 436.484 -0.128 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001294933304 1073768617 /nfs/dbraw/zinc/76/86/17/1073768617.db2.gz UMSRSEHROKZSGM-QGZVFWFLSA-N 0 0 436.484 -0.128 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)c1 ZINC001294995793 1073768636 /nfs/dbraw/zinc/76/86/36/1073768636.db2.gz VSOOZGJRNWTSMT-CABCVRRESA-N 0 0 429.520 -0.362 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)c1 ZINC001294995799 1073768478 /nfs/dbraw/zinc/76/84/78/1073768478.db2.gz VSOOZGJRNWTSMT-GJZGRUSLSA-N 0 0 429.520 -0.362 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)c1 ZINC001294995805 1073768548 /nfs/dbraw/zinc/76/85/48/1073768548.db2.gz VSOOZGJRNWTSMT-HUUCEWRRSA-N 0 0 429.520 -0.362 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)c1 ZINC001294995808 1073768576 /nfs/dbraw/zinc/76/85/76/1073768576.db2.gz VSOOZGJRNWTSMT-LSDHHAIUSA-N 0 0 429.520 -0.362 20 0 IBADRN CCCS(=O)(=O)NC1CCN(c2nnc(CC)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001295020821 1073769157 /nfs/dbraw/zinc/76/91/57/1073769157.db2.gz GKHMZCVGAYGGMN-KRWDZBQOSA-N 0 0 449.599 -0.312 20 0 IBADRN CCCS(=O)(=O)NC1CCN(c2nnc(CC)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001295020822 1073768974 /nfs/dbraw/zinc/76/89/74/1073768974.db2.gz GKHMZCVGAYGGMN-QGZVFWFLSA-N 0 0 449.599 -0.312 20 0 IBADRN NC(=O)c1cn(CCC(=O)Nc2ccncc2I)c(=O)[nH]c1=O ZINC001295022623 1073769035 /nfs/dbraw/zinc/76/90/35/1073769035.db2.gz ZAGNFZLWAGXYLY-UHFFFAOYSA-N 0 0 429.174 -0.502 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C(=O)NC)CC1 ZINC001295052685 1073769139 /nfs/dbraw/zinc/76/91/39/1073769139.db2.gz GKACGMQIZNVKTI-UHFFFAOYSA-N 0 0 429.485 -0.135 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001295052710 1073769129 /nfs/dbraw/zinc/76/91/29/1073769129.db2.gz HCBPMXRXHUXHEZ-UHFFFAOYSA-N 0 0 446.512 -0.487 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001295053760 1073769053 /nfs/dbraw/zinc/76/90/53/1073769053.db2.gz XIABGBSRQIBNSN-UHFFFAOYSA-N 0 0 434.545 -0.705 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCOC2(CCOCC2)C1 ZINC001295055661 1073769102 /nfs/dbraw/zinc/76/91/02/1073769102.db2.gz KTMCPDBCROQFRP-AWEZNQCLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCOC2(CCOCC2)C1 ZINC001295055662 1073768989 /nfs/dbraw/zinc/76/89/89/1073768989.db2.gz KTMCPDBCROQFRP-CQSZACIVSA-N 0 0 444.558 -0.248 20 0 IBADRN CCN(C)C(=O)Cn1c(C(C)C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001295055859 1073769025 /nfs/dbraw/zinc/76/90/25/1073769025.db2.gz MZSJAMZYECOYLB-UHFFFAOYSA-N 0 0 435.529 -0.613 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(F)F)CC1 ZINC001295055964 1073769161 /nfs/dbraw/zinc/76/91/61/1073769161.db2.gz NSUSAWRUKGFGNR-GFCCVEGCSA-N 0 0 437.517 -0.246 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(F)F)CC1 ZINC001295055967 1073769006 /nfs/dbraw/zinc/76/90/06/1073769006.db2.gz NSUSAWRUKGFGNR-LBPRGKRZSA-N 0 0 437.517 -0.246 20 0 IBADRN CCN(C)C(=O)Cn1c(C(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001295056258 1073768952 /nfs/dbraw/zinc/76/89/52/1073768952.db2.gz RFXOCBZIEYLSKN-UHFFFAOYSA-N 0 0 433.517 -0.654 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2ccc(Cl)nc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001295062562 1073769152 /nfs/dbraw/zinc/76/91/52/1073769152.db2.gz SQWPJBJDSYAYGW-CYBMUJFWSA-N 0 0 446.899 -0.381 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2ccc(Cl)nc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001295062563 1073769111 /nfs/dbraw/zinc/76/91/11/1073769111.db2.gz SQWPJBJDSYAYGW-ZDUSSCGKSA-N 0 0 446.899 -0.381 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001295065059 1073769066 /nfs/dbraw/zinc/76/90/66/1073769066.db2.gz CCRVEEIEEWXOGB-UHFFFAOYSA-N 0 0 429.485 -0.323 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC001295065796 1073769121 /nfs/dbraw/zinc/76/91/21/1073769121.db2.gz INZIYRCFDYMMBB-FQEVSTJZSA-N 0 0 443.512 -0.029 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC001295065799 1073769475 /nfs/dbraw/zinc/76/94/75/1073769475.db2.gz INZIYRCFDYMMBB-HXUWFJFHSA-N 0 0 443.512 -0.029 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2csc(N3CCOCC3)n2)nnc1N1CCNC(=O)C1 ZINC001295066174 1073769540 /nfs/dbraw/zinc/76/95/40/1073769540.db2.gz LVAILFSROGZRDZ-UHFFFAOYSA-N 0 0 434.526 -0.347 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N(C)CCC(=O)NC ZINC001295066327 1073769610 /nfs/dbraw/zinc/76/96/10/1073769610.db2.gz QURYUPRFJWVFFQ-UHFFFAOYSA-N 0 0 426.485 -0.029 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cccnc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001295067537 1073769496 /nfs/dbraw/zinc/76/94/96/1073769496.db2.gz WFAHTPKKTBLUFH-UHFFFAOYSA-N 0 0 440.508 -0.254 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001295067666 1073769551 /nfs/dbraw/zinc/76/95/51/1073769551.db2.gz XHYPJGMRHUQCBP-UHFFFAOYSA-N 0 0 445.528 -0.670 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001295069474 1073769592 /nfs/dbraw/zinc/76/95/92/1073769592.db2.gz DTGDLOPIZAEIAS-HNNXBMFYSA-N 0 0 448.528 -0.718 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001295069475 1073769529 /nfs/dbraw/zinc/76/95/29/1073769529.db2.gz DTGDLOPIZAEIAS-OAHLLOKOSA-N 0 0 448.528 -0.718 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(C(=O)NCCOC)CC1 ZINC001295069909 1073769601 /nfs/dbraw/zinc/76/96/01/1073769601.db2.gz LUABHMDFQIXFNI-HNNXBMFYSA-N 0 0 435.529 -0.314 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(C(=O)NCCOC)CC1 ZINC001295069910 1073769585 /nfs/dbraw/zinc/76/95/85/1073769585.db2.gz LUABHMDFQIXFNI-OAHLLOKOSA-N 0 0 435.529 -0.314 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(CO)CC1 ZINC001295072347 1073769579 /nfs/dbraw/zinc/76/95/79/1073769579.db2.gz FEOHKBNBOJWMRH-HNNXBMFYSA-N 0 0 444.558 -0.702 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(CO)CC1 ZINC001295072348 1073769485 /nfs/dbraw/zinc/76/94/85/1073769485.db2.gz FEOHKBNBOJWMRH-OAHLLOKOSA-N 0 0 444.558 -0.702 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001295072364 1073769619 /nfs/dbraw/zinc/76/96/19/1073769619.db2.gz FLLZSQBYOGKOBP-QLFBSQMISA-N 0 0 438.554 -0.285 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001295072365 1073769564 /nfs/dbraw/zinc/76/95/64/1073769564.db2.gz FLLZSQBYOGKOBP-SOUVJXGZSA-N 0 0 438.554 -0.285 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCO1 ZINC001295072681 1073769596 /nfs/dbraw/zinc/76/95/96/1073769596.db2.gz GGHROJIMCZDWFQ-CABCVRRESA-N 0 0 444.558 -0.296 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCO1 ZINC001295072682 1073769631 /nfs/dbraw/zinc/76/96/31/1073769631.db2.gz GGHROJIMCZDWFQ-HUUCEWRRSA-N 0 0 444.558 -0.296 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCCOC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001295073177 1073769572 /nfs/dbraw/zinc/76/95/72/1073769572.db2.gz CUHHQGHAECKPDH-HNNXBMFYSA-N 0 0 447.540 -0.422 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCCOC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001295073179 1073769604 /nfs/dbraw/zinc/76/96/04/1073769604.db2.gz CUHHQGHAECKPDH-OAHLLOKOSA-N 0 0 447.540 -0.422 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCNC(=O)C(C)C ZINC001295074596 1073769556 /nfs/dbraw/zinc/76/95/56/1073769556.db2.gz XRQYANGRIQCFHX-AWEZNQCLSA-N 0 0 428.559 -0.133 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCNC(=O)C(C)C ZINC001295074597 1073769625 /nfs/dbraw/zinc/76/96/25/1073769625.db2.gz XRQYANGRIQCFHX-CQSZACIVSA-N 0 0 428.559 -0.133 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001295074607 1073769518 /nfs/dbraw/zinc/76/95/18/1073769518.db2.gz XUGHCCMRDCRPEY-KBXCAEBGSA-N 0 0 427.527 -0.346 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001295074608 1073770176 /nfs/dbraw/zinc/77/01/76/1073770176.db2.gz XUGHCCMRDCRPEY-KDOFPFPSSA-N 0 0 427.527 -0.346 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001295074609 1073770207 /nfs/dbraw/zinc/77/02/07/1073770207.db2.gz XUGHCCMRDCRPEY-KSSFIOAISA-N 0 0 427.527 -0.346 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001295074610 1073770116 /nfs/dbraw/zinc/77/01/16/1073770116.db2.gz XUGHCCMRDCRPEY-RDTXWAMCSA-N 0 0 427.527 -0.346 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001295076168 1073770035 /nfs/dbraw/zinc/77/00/35/1073770035.db2.gz SNTFEFINJHWVDG-KRWDZBQOSA-N 0 0 449.556 -0.759 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001295076169 1073770123 /nfs/dbraw/zinc/77/01/23/1073770123.db2.gz SNTFEFINJHWVDG-QGZVFWFLSA-N 0 0 449.556 -0.759 20 0 IBADRN CCN(C)C(=O)Cn1c(CC2CCCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001295078660 1073770156 /nfs/dbraw/zinc/77/01/56/1073770156.db2.gz OYLSVKQGTFEHRO-UHFFFAOYSA-N 0 0 444.544 -0.176 20 0 IBADRN CCN(C)C(=O)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN(C(=O)COC)CC1 ZINC001295080381 1073770225 /nfs/dbraw/zinc/77/02/25/1073770225.db2.gz KSJMFIMLZGRTJK-UHFFFAOYSA-N 0 0 432.529 -0.087 20 0 IBADRN CCN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001295080644 1073770170 /nfs/dbraw/zinc/77/01/70/1073770170.db2.gz NONLEYIVIVFDGI-UHFFFAOYSA-N 0 0 432.529 -0.127 20 0 IBADRN CCN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N(CC)[C@H]1CCS(=O)(=O)C1 ZINC001295080964 1073770198 /nfs/dbraw/zinc/77/01/98/1073770198.db2.gz SPVXEUSJIDRKCU-AWEZNQCLSA-N 0 0 426.543 -0.108 20 0 IBADRN CCN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC001295080966 1073770132 /nfs/dbraw/zinc/77/01/32/1073770132.db2.gz SPVXEUSJIDRKCU-CQSZACIVSA-N 0 0 426.543 -0.108 20 0 IBADRN CCN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001295081500 1073770214 /nfs/dbraw/zinc/77/02/14/1073770214.db2.gz ZTPXSRPDXYMIAB-IBGZPJMESA-N 0 0 438.554 -0.106 20 0 IBADRN CCN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001295081504 1073770076 /nfs/dbraw/zinc/77/00/76/1073770076.db2.gz ZTPXSRPDXYMIAB-LJQANCHMSA-N 0 0 438.554 -0.106 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN(C(=O)COC)CC1 ZINC001295088217 1073770187 /nfs/dbraw/zinc/77/01/87/1073770187.db2.gz MOUMKQMRQBABMI-YJBOKZPZSA-N 0 0 438.529 -0.225 20 0 IBADRN CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1CCS(=O)(=O)CC1 ZINC001295109394 1073770018 /nfs/dbraw/zinc/77/00/18/1073770018.db2.gz GHWIDWGFAJBNEX-AWEZNQCLSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1CCS(=O)(=O)CC1 ZINC001295109395 1073770111 /nfs/dbraw/zinc/77/01/11/1073770111.db2.gz GHWIDWGFAJBNEX-CQSZACIVSA-N 0 0 435.572 -0.108 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001295135231 1073770094 /nfs/dbraw/zinc/77/00/94/1073770094.db2.gz QIKHYVMBDCBRPU-UHFFFAOYSA-N 0 0 433.504 -0.355 20 0 IBADRN CS(=O)(=O)CCN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)C1CC1 ZINC001295144242 1073770695 /nfs/dbraw/zinc/77/06/95/1073770695.db2.gz XOHGGDYOGYENFO-UHFFFAOYSA-N 0 0 438.549 -0.596 20 0 IBADRN CCN(c1nnc([C@@H](C)NS(C)(=O)=O)n1CCN1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC001295146386 1073770700 /nfs/dbraw/zinc/77/07/00/1073770700.db2.gz WCPFRRKUWOWVTM-CABCVRRESA-N 0 0 448.615 -0.003 20 0 IBADRN CCN(c1nnc([C@H](C)NS(C)(=O)=O)n1CCN1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC001295146387 1073770685 /nfs/dbraw/zinc/77/06/85/1073770685.db2.gz WCPFRRKUWOWVTM-GJZGRUSLSA-N 0 0 448.615 -0.003 20 0 IBADRN CCN(c1nnc([C@@H](C)NS(C)(=O)=O)n1CCN1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001295146390 1073770626 /nfs/dbraw/zinc/77/06/26/1073770626.db2.gz WCPFRRKUWOWVTM-HUUCEWRRSA-N 0 0 448.615 -0.003 20 0 IBADRN CCN(c1nnc([C@H](C)NS(C)(=O)=O)n1CCN1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001295146392 1073770660 /nfs/dbraw/zinc/77/06/60/1073770660.db2.gz WCPFRRKUWOWVTM-LSDHHAIUSA-N 0 0 448.615 -0.003 20 0 IBADRN CN1C(=O)CN(NC(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]23)C1=O ZINC001295172437 1073770671 /nfs/dbraw/zinc/77/06/71/1073770671.db2.gz AVJUIKKJELIIMN-GRYCIOLGSA-N 0 0 430.483 -0.418 20 0 IBADRN CN1C(=O)CN(NC(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]23)C1=O ZINC001295172438 1073770619 /nfs/dbraw/zinc/77/06/19/1073770619.db2.gz AVJUIKKJELIIMN-IJLUTSLNSA-N 0 0 430.483 -0.418 20 0 IBADRN CN1C(=O)CN(NC(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@@H]32)C1=O ZINC001295172439 1073770682 /nfs/dbraw/zinc/77/06/82/1073770682.db2.gz AVJUIKKJELIIMN-UTUOFQBUSA-N 0 0 430.483 -0.418 20 0 IBADRN CN1C(=O)CN(NC(=O)C[C@@H]2CS(=O)(=O)[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1=O ZINC001295172440 1073770712 /nfs/dbraw/zinc/77/07/12/1073770712.db2.gz AVJUIKKJELIIMN-WOPDTQHZSA-N 0 0 430.483 -0.418 20 0 IBADRN CN1CCn2ncc(C(=O)Nc3ccc(N4CCOCC4)cc3C(N)=O)c2S1(=O)=O ZINC001295185200 1073770675 /nfs/dbraw/zinc/77/06/75/1073770675.db2.gz WVWJQUBCYLMHIH-UHFFFAOYSA-N 0 0 434.478 -0.295 20 0 IBADRN CCN(c1nnc(-c2ccc[nH]2)n1C[C@]1(O)CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001295188782 1073770708 /nfs/dbraw/zinc/77/07/08/1073770708.db2.gz RYQRQTJLKDTNDY-CXAGYDPISA-N 0 0 443.551 -0.164 20 0 IBADRN CCN(c1nnc(-c2ccc[nH]2)n1C[C@@]1(O)CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001295188783 1073770640 /nfs/dbraw/zinc/77/06/40/1073770640.db2.gz RYQRQTJLKDTNDY-DYVFJYSZSA-N 0 0 443.551 -0.164 20 0 IBADRN CCN(c1nnc(-c2ccc[nH]2)n1C[C@@]1(O)CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001295188784 1073770668 /nfs/dbraw/zinc/77/06/68/1073770668.db2.gz RYQRQTJLKDTNDY-GUYCJALGSA-N 0 0 443.551 -0.164 20 0 IBADRN CCN(c1nnc(-c2ccc[nH]2)n1C[C@]1(O)CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001295188785 1073770689 /nfs/dbraw/zinc/77/06/89/1073770689.db2.gz RYQRQTJLKDTNDY-SUMWQHHRSA-N 0 0 443.551 -0.164 20 0 IBADRN CCN(c1nnc([C@H]2CCC(=O)N2)n1CCS(=O)(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001295204548 1073770667 /nfs/dbraw/zinc/77/06/67/1073770667.db2.gz TXSKGZCWPHLCQW-CHWSQXEVSA-N 0 0 448.571 -0.866 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCS(=O)(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001295204549 1073770648 /nfs/dbraw/zinc/77/06/48/1073770648.db2.gz TXSKGZCWPHLCQW-OLZOCXBDSA-N 0 0 448.571 -0.866 20 0 IBADRN CCN(c1nnc([C@H]2CCC(=O)N2)n1CCS(=O)(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001295204550 1073770657 /nfs/dbraw/zinc/77/06/57/1073770657.db2.gz TXSKGZCWPHLCQW-QWHCGFSZSA-N 0 0 448.571 -0.866 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCS(=O)(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001295204551 1073770693 /nfs/dbraw/zinc/77/06/93/1073770693.db2.gz TXSKGZCWPHLCQW-STQMWFEESA-N 0 0 448.571 -0.866 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1cnn(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC001295218039 1073770679 /nfs/dbraw/zinc/77/06/79/1073770679.db2.gz MFULIVONSOYLNJ-CABCVRRESA-N 0 0 442.567 -0.025 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1cnn(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC001295218070 1073770706 /nfs/dbraw/zinc/77/07/06/1073770706.db2.gz MFULIVONSOYLNJ-GJZGRUSLSA-N 0 0 442.567 -0.025 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1cnn(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001295218075 1073771050 /nfs/dbraw/zinc/77/10/50/1073771050.db2.gz MFULIVONSOYLNJ-HUUCEWRRSA-N 0 0 442.567 -0.025 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1cnn(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001295218104 1073771149 /nfs/dbraw/zinc/77/11/49/1073771149.db2.gz MFULIVONSOYLNJ-LSDHHAIUSA-N 0 0 442.567 -0.025 20 0 IBADRN CCN(c1nnc([C@H]2CCOC2)n1CCNS(=O)(=O)CC)[C@H]1CCS(=O)(=O)C1 ZINC001295221878 1073771068 /nfs/dbraw/zinc/77/10/68/1073771068.db2.gz YQCBEQFSXFBYHJ-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CCN(c1nnc([C@@H]2CCOC2)n1CCNS(=O)(=O)CC)[C@H]1CCS(=O)(=O)C1 ZINC001295221879 1073771225 /nfs/dbraw/zinc/77/12/25/1073771225.db2.gz YQCBEQFSXFBYHJ-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CCN(c1nnc([C@H]2CCOC2)n1CCNS(=O)(=O)CC)[C@@H]1CCS(=O)(=O)C1 ZINC001295221880 1073771131 /nfs/dbraw/zinc/77/11/31/1073771131.db2.gz YQCBEQFSXFBYHJ-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CCN(c1nnc([C@@H]2CCOC2)n1CCNS(=O)(=O)CC)[C@@H]1CCS(=O)(=O)C1 ZINC001295221881 1073771121 /nfs/dbraw/zinc/77/11/21/1073771121.db2.gz YQCBEQFSXFBYHJ-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN O=C(Cn1nc(Br)c(Br)n1)N(CCO)CCN1CCOCC1 ZINC001295238367 1073771058 /nfs/dbraw/zinc/77/10/58/1073771058.db2.gz UAEDAWKBNPXBOM-UHFFFAOYSA-N 0 0 441.124 -0.044 20 0 IBADRN CN(CCNC(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1)S(=O)(=O)c1cccs1 ZINC001295254381 1073771172 /nfs/dbraw/zinc/77/11/72/1073771172.db2.gz QBNDFFCOUBMQSJ-RBSFLKMASA-N 0 0 441.535 -0.591 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N[C@@H]3CCC(=O)NC3=O)CS(=O)(=O)[C@@H]2C1 ZINC001295277078 1073771142 /nfs/dbraw/zinc/77/11/42/1073771142.db2.gz KWZLCXXTWIVIHL-FDYHWXHSSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N[C@H]3CCC(=O)NC3=O)CS(=O)(=O)[C@@H]2C1 ZINC001295277080 1073771009 /nfs/dbraw/zinc/77/10/09/1073771009.db2.gz KWZLCXXTWIVIHL-FVCCEPFGSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N[C@@H]1CCC(=O)NC1=O)CS2(=O)=O ZINC001295277082 1073771161 /nfs/dbraw/zinc/77/11/61/1073771161.db2.gz KWZLCXXTWIVIHL-LPWJVIDDSA-N 0 0 429.495 -0.422 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N[C@H]1CCC(=O)NC1=O)CS2(=O)=O ZINC001295277085 1073771093 /nfs/dbraw/zinc/77/10/93/1073771093.db2.gz KWZLCXXTWIVIHL-NDBYEHHHSA-N 0 0 429.495 -0.422 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(c2nnc(C)n2CCC[C@@H]2NC(=O)NC2=O)CC1 ZINC001295328113 1073771190 /nfs/dbraw/zinc/77/11/90/1073771190.db2.gz CYIMHDGDKJOKDY-INIZCTEOSA-N 0 0 434.545 -0.045 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(c2nnc(C)n2CCNS(=O)(=O)CC)CC1 ZINC001295329657 1073771234 /nfs/dbraw/zinc/77/12/34/1073771234.db2.gz UFMWOCZITDSYQB-UHFFFAOYSA-N 0 0 429.591 -0.094 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CCC(=O)NC)CC1 ZINC001295334743 1073771102 /nfs/dbraw/zinc/77/11/02/1073771102.db2.gz GEOVSMFNGIQXEC-UHFFFAOYSA-N 0 0 436.561 -0.457 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)NC)CC1 ZINC001295335203 1073771023 /nfs/dbraw/zinc/77/10/23/1073771023.db2.gz OKFCYMZVQKNVNF-UHFFFAOYSA-N 0 0 448.572 -0.359 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nncn2C)CC1 ZINC001295335365 1073771255 /nfs/dbraw/zinc/77/12/55/1073771255.db2.gz VEJGEHFOLGZVGW-UHFFFAOYSA-N 0 0 446.560 -0.417 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)NC)CC1 ZINC001295337769 1073771083 /nfs/dbraw/zinc/77/10/83/1073771083.db2.gz HMAGKUSDZBRBHE-HNNXBMFYSA-N 0 0 434.545 -0.644 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)NC)CC1 ZINC001295337770 1073771218 /nfs/dbraw/zinc/77/12/18/1073771218.db2.gz HMAGKUSDZBRBHE-OAHLLOKOSA-N 0 0 434.545 -0.644 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N(C)C)CC1 ZINC001295338371 1073771039 /nfs/dbraw/zinc/77/10/39/1073771039.db2.gz NAOKAVONXBWIPI-HNNXBMFYSA-N 0 0 434.545 -0.692 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N(C)C)CC1 ZINC001295338372 1073771738 /nfs/dbraw/zinc/77/17/38/1073771738.db2.gz NAOKAVONXBWIPI-OAHLLOKOSA-N 0 0 434.545 -0.692 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc(-c3cnccn3)n2CC(=O)N(C)C)CC1 ZINC001295338920 1073771806 /nfs/dbraw/zinc/77/18/06/1073771806.db2.gz PNHWAOAFBSVZAB-UHFFFAOYSA-N 0 0 429.529 -0.186 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOC)CC1 ZINC001295339577 1073771761 /nfs/dbraw/zinc/77/17/61/1073771761.db2.gz SWTOSDQYGVBBND-INIZCTEOSA-N 0 0 442.586 -0.183 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOC)CC1 ZINC001295339578 1073771865 /nfs/dbraw/zinc/77/18/65/1073771865.db2.gz SWTOSDQYGVBBND-MRXNPFEDSA-N 0 0 442.586 -0.183 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC1(CO)CCOCC1 ZINC001295344387 1073771731 /nfs/dbraw/zinc/77/17/31/1073771731.db2.gz PYHOWFGZHQMVAI-INIZCTEOSA-N 0 0 448.563 -0.327 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC1(CO)CCOCC1 ZINC001295344388 1073771857 /nfs/dbraw/zinc/77/18/57/1073771857.db2.gz PYHOWFGZHQMVAI-MRXNPFEDSA-N 0 0 448.563 -0.327 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@H](Cn3ccnn3)C2)c1 ZINC001295351382 1073771841 /nfs/dbraw/zinc/77/18/41/1073771841.db2.gz BTFBYPHJPHFTLB-AWEZNQCLSA-N 0 0 441.535 -0.101 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](Cn3ccnn3)C2)c1 ZINC001295351383 1073771796 /nfs/dbraw/zinc/77/17/96/1073771796.db2.gz BTFBYPHJPHFTLB-CQSZACIVSA-N 0 0 441.535 -0.101 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2cn(C)nc2CN2C(=O)c3ccccc3C2=O)C1 ZINC001295352462 1073771784 /nfs/dbraw/zinc/77/17/84/1073771784.db2.gz JGGZJJDQGONOSF-GFCCVEGCSA-N 0 0 431.474 -0.020 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2cn(C)nc2CN2C(=O)c3ccccc3C2=O)C1 ZINC001295352463 1073771742 /nfs/dbraw/zinc/77/17/42/1073771742.db2.gz JGGZJJDQGONOSF-LBPRGKRZSA-N 0 0 431.474 -0.020 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C1CN(S(C)(=O)=O)C1 ZINC001295369708 1073771787 /nfs/dbraw/zinc/77/17/87/1073771787.db2.gz BOHDANROQPQRNY-UHFFFAOYSA-N 0 0 446.551 -0.031 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C1(OC)CS(=O)(=O)C1 ZINC001295371223 1073771724 /nfs/dbraw/zinc/77/17/24/1073771724.db2.gz UCHMBCYOYBDLEW-UHFFFAOYSA-N 0 0 447.535 -0.109 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cnn2c1S(=O)(=O)N(C)CC2 ZINC001295384167 1073771716 /nfs/dbraw/zinc/77/17/16/1073771716.db2.gz SZZFYLQFXIGNHF-INIZCTEOSA-N 0 0 435.506 -0.379 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)C3=C[C@@H](O)[C@@H](O)[C@H](O)C3)CC2)cc1 ZINC001295423908 1073772498 /nfs/dbraw/zinc/77/24/98/1073772498.db2.gz IEGIRCVAWLWFDQ-KZNAEPCWSA-N 0 0 426.491 -0.669 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)CNS(=O)(=O)N(C)C)CC2)cc1 ZINC001295425147 1073772454 /nfs/dbraw/zinc/77/24/54/1073772454.db2.gz TXCXWAMUOXJVTA-UHFFFAOYSA-N 0 0 434.540 -0.686 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NC1CCN([C@H]2CCOC2=O)CC1 ZINC001295429395 1073772480 /nfs/dbraw/zinc/77/24/80/1073772480.db2.gz NOGRAPZUJTYCJH-KRWDZBQOSA-N 0 0 430.509 -0.199 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NC1CCN([C@@H]2CCOC2=O)CC1 ZINC001295429400 1073772260 /nfs/dbraw/zinc/77/22/60/1073772260.db2.gz NOGRAPZUJTYCJH-QGZVFWFLSA-N 0 0 430.509 -0.199 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)[C@H]1c1cccnc1 ZINC001295432438 1073772321 /nfs/dbraw/zinc/77/23/21/1073772321.db2.gz DHOJUUBNSKZTHX-XKQJLSEDSA-N 0 0 439.515 -0.008 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)[C@H]1c1cccnc1 ZINC001295432440 1073772383 /nfs/dbraw/zinc/77/23/83/1073772383.db2.gz DHOJUUBNSKZTHX-XYPHTWIQSA-N 0 0 439.515 -0.008 20 0 IBADRN CCN(CC)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001295448198 1073772530 /nfs/dbraw/zinc/77/25/30/1073772530.db2.gz OVJFKTMWLMFJHX-QWHCGFSZSA-N 0 0 443.530 -0.555 20 0 IBADRN CCN(CC)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001295448199 1073772247 /nfs/dbraw/zinc/77/22/47/1073772247.db2.gz OVJFKTMWLMFJHX-STQMWFEESA-N 0 0 443.530 -0.555 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC3(COC(=O)N3)CC2)s1 ZINC001295475387 1073772441 /nfs/dbraw/zinc/77/24/41/1073772441.db2.gz PLBANWJXAOJOMP-UHFFFAOYSA-N 0 0 430.508 -0.237 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(N)c1 ZINC001295499422 1073773158 /nfs/dbraw/zinc/77/31/58/1073773158.db2.gz FQXMKDGTHNIGOR-AWEZNQCLSA-N 0 0 431.536 -0.061 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(N)c1 ZINC001295499423 1073773125 /nfs/dbraw/zinc/77/31/25/1073773125.db2.gz FQXMKDGTHNIGOR-CQSZACIVSA-N 0 0 431.536 -0.061 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1c1ccncn1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001295499865 1073773040 /nfs/dbraw/zinc/77/30/40/1073773040.db2.gz OSUWSDXTXWNIJE-JYJNAYRXSA-N 0 0 425.511 -0.931 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1c1ccncn1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001295499866 1073773108 /nfs/dbraw/zinc/77/31/08/1073773108.db2.gz OSUWSDXTXWNIJE-OAGGEKHMSA-N 0 0 425.511 -0.931 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1c1ccncn1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001295499867 1073772965 /nfs/dbraw/zinc/77/29/65/1073772965.db2.gz OSUWSDXTXWNIJE-PMPSAXMXSA-N 0 0 425.511 -0.931 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1c1ccncn1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001295499868 1073773047 /nfs/dbraw/zinc/77/30/47/1073773047.db2.gz OSUWSDXTXWNIJE-XHSDSOJGSA-N 0 0 425.511 -0.931 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(C(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001295500054 1073772937 /nfs/dbraw/zinc/77/29/37/1073772937.db2.gz RAIWHUDYXHCEFS-AWEZNQCLSA-N 0 0 433.527 -0.379 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(C(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001295500055 1073773074 /nfs/dbraw/zinc/77/30/74/1073773074.db2.gz RAIWHUDYXHCEFS-CQSZACIVSA-N 0 0 433.527 -0.379 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1)c1ccc(F)cc1 ZINC001295500214 1073772954 /nfs/dbraw/zinc/77/29/54/1073772954.db2.gz WAJXRCULFAYPOF-IRXDYDNUSA-N 0 0 443.497 -0.431 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1)c1ccc(F)cc1 ZINC001295500215 1073772990 /nfs/dbraw/zinc/77/29/90/1073772990.db2.gz WAJXRCULFAYPOF-SJORKVTESA-N 0 0 443.497 -0.431 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)c1 ZINC001295544463 1073772998 /nfs/dbraw/zinc/77/29/98/1073772998.db2.gz NNODYLQKBQXSOR-JTQLQIEISA-N 0 0 428.898 -0.156 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)NC[C@H]2CC(=O)N(C3CC3)C2)c1 ZINC001295544467 1073773643 /nfs/dbraw/zinc/77/36/43/1073773643.db2.gz NNODYLQKBQXSOR-SNVBAGLBSA-N 0 0 428.898 -0.156 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCN([C@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC001295570466 1073773754 /nfs/dbraw/zinc/77/37/54/1073773754.db2.gz POOIGEXBLAKRKY-DOIPELPJSA-N 0 0 429.517 -0.265 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCN([C@@H]2CCCN(Cc3ccccc3)C2=O)CC1 ZINC001295570550 1073773695 /nfs/dbraw/zinc/77/36/95/1073773695.db2.gz POOIGEXBLAKRKY-XRXFAXGQSA-N 0 0 429.517 -0.265 20 0 IBADRN CN1C[C@@H](NC(=O)[C@]2(C(=O)OC(C)(C)C)CN(C(=O)OC(C)(C)C)CCO2)C(=O)N1 ZINC001295619118 1073773763 /nfs/dbraw/zinc/77/37/63/1073773763.db2.gz QTXFZYTWAUANDA-BLVKFPJESA-N 0 0 428.486 -0.204 20 0 IBADRN CN1C[C@H](NC(=O)[C@]2(C(=O)OC(C)(C)C)CN(C(=O)OC(C)(C)C)CCO2)C(=O)N1 ZINC001295619124 1073773607 /nfs/dbraw/zinc/77/36/07/1073773607.db2.gz QTXFZYTWAUANDA-BUXKBTBVSA-N 0 0 428.486 -0.204 20 0 IBADRN CN1C[C@@H](NC(=O)[C@@]2(C(=O)OC(C)(C)C)CN(C(=O)OC(C)(C)C)CCO2)C(=O)N1 ZINC001295619128 1073773664 /nfs/dbraw/zinc/77/36/64/1073773664.db2.gz QTXFZYTWAUANDA-CWTRNNRKSA-N 0 0 428.486 -0.204 20 0 IBADRN CN1C[C@H](NC(=O)[C@@]2(C(=O)OC(C)(C)C)CN(C(=O)OC(C)(C)C)CCO2)C(=O)N1 ZINC001295619132 1073773652 /nfs/dbraw/zinc/77/36/52/1073773652.db2.gz QTXFZYTWAUANDA-HXPMCKFVSA-N 0 0 428.486 -0.204 20 0 IBADRN CCN(CC1CC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1 ZINC001295693657 1073773672 /nfs/dbraw/zinc/77/36/72/1073773672.db2.gz DFLKAFVIWKASCD-CRAIPNDOSA-N 0 0 429.543 -0.001 20 0 IBADRN CCN(CC1CC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1 ZINC001295693658 1073773708 /nfs/dbraw/zinc/77/37/08/1073773708.db2.gz DFLKAFVIWKASCD-MAUKXSAKSA-N 0 0 429.543 -0.001 20 0 IBADRN CCN(CC1CC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1 ZINC001295693659 1073773716 /nfs/dbraw/zinc/77/37/16/1073773716.db2.gz DFLKAFVIWKASCD-QAPCUYQASA-N 0 0 429.543 -0.001 20 0 IBADRN CCN(CC1CC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1 ZINC001295693660 1073773618 /nfs/dbraw/zinc/77/36/18/1073773618.db2.gz DFLKAFVIWKASCD-YJBOKZPZSA-N 0 0 429.543 -0.001 20 0 IBADRN NS(=O)(=O)c1nc(C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)n2ccccc12 ZINC001295707489 1073773679 /nfs/dbraw/zinc/77/36/79/1073773679.db2.gz NKPICFJNSKJBRO-UHFFFAOYSA-N 0 0 441.535 -0.683 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CN1C(=O)c2ccccc2S1(=O)=O ZINC001295732138 1073774276 /nfs/dbraw/zinc/77/42/76/1073774276.db2.gz NHTNATOJWSZDEN-ZDUSSCGKSA-N 0 0 425.463 -0.349 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CCN1S(C)(=O)=O ZINC001295733201 1073774254 /nfs/dbraw/zinc/77/42/54/1073774254.db2.gz AZQGUERINKJDCW-FZMZJTMJSA-N 0 0 439.943 -0.529 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CCN1S(C)(=O)=O ZINC001295733202 1073774289 /nfs/dbraw/zinc/77/42/89/1073774289.db2.gz AZQGUERINKJDCW-SMDDNHRTSA-N 0 0 439.943 -0.529 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CCN1S(C)(=O)=O ZINC001295733244 1073774196 /nfs/dbraw/zinc/77/41/96/1073774196.db2.gz CTEDOZCRDLSBNC-SWLSCSKDSA-N 0 0 428.486 -0.779 20 0 IBADRN C[C@H]1CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CCN1S(C)(=O)=O ZINC001295733245 1073774250 /nfs/dbraw/zinc/77/42/50/1073774250.db2.gz CTEDOZCRDLSBNC-WFASDCNBSA-N 0 0 428.486 -0.779 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C[C@H]1C ZINC001295742215 1073774151 /nfs/dbraw/zinc/77/41/51/1073774151.db2.gz NCZQBVXXHYRLTL-PBHICJAKSA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C[C@H]1C ZINC001295742216 1073774238 /nfs/dbraw/zinc/77/42/38/1073774238.db2.gz NCZQBVXXHYRLTL-RHSMWYFYSA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C[C@@H]1C ZINC001295742217 1073774260 /nfs/dbraw/zinc/77/42/60/1073774260.db2.gz NCZQBVXXHYRLTL-WMLDXEAASA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C[C@@H]1C ZINC001295742218 1073774296 /nfs/dbraw/zinc/77/42/96/1073774296.db2.gz NCZQBVXXHYRLTL-YOEHRIQHSA-N 0 0 436.484 -0.176 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NCC(=O)N2CCOCC2)cc1 ZINC001295762922 1073774177 /nfs/dbraw/zinc/77/41/77/1073774177.db2.gz KRLUTTVZJBBSPD-AEFFLSMTSA-N 0 0 439.534 -0.091 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)NCC(=O)N2CCOCC2)cc1 ZINC001295762923 1073774285 /nfs/dbraw/zinc/77/42/85/1073774285.db2.gz KRLUTTVZJBBSPD-FUHWJXTLSA-N 0 0 439.534 -0.091 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NCC(=O)N2CCOCC2)cc1 ZINC001295762924 1073774269 /nfs/dbraw/zinc/77/42/69/1073774269.db2.gz KRLUTTVZJBBSPD-SJLPKXTDSA-N 0 0 439.534 -0.091 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)NCC(=O)N2CCOCC2)cc1 ZINC001295762925 1073774182 /nfs/dbraw/zinc/77/41/82/1073774182.db2.gz KRLUTTVZJBBSPD-WMZOPIPTSA-N 0 0 439.534 -0.091 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001295764395 1073774233 /nfs/dbraw/zinc/77/42/33/1073774233.db2.gz YOFKAVQQJLWGQL-CABCVRRESA-N 0 0 428.486 -0.731 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001295764397 1073774193 /nfs/dbraw/zinc/77/41/93/1073774193.db2.gz YOFKAVQQJLWGQL-GJZGRUSLSA-N 0 0 428.486 -0.731 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001295764398 1073774169 /nfs/dbraw/zinc/77/41/69/1073774169.db2.gz YOFKAVQQJLWGQL-HUUCEWRRSA-N 0 0 428.486 -0.731 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001295764399 1073774203 /nfs/dbraw/zinc/77/42/03/1073774203.db2.gz YOFKAVQQJLWGQL-LSDHHAIUSA-N 0 0 428.486 -0.731 20 0 IBADRN CCN(CCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C)C(=O)C1)S(C)(=O)=O ZINC001295806554 1073774186 /nfs/dbraw/zinc/77/41/86/1073774186.db2.gz IKUQIBWVWPCDMU-UHFFFAOYSA-N 0 0 441.558 -0.536 20 0 IBADRN CCN(CCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](C(N)=O)C1)S(C)(=O)=O ZINC001295806621 1073774881 /nfs/dbraw/zinc/77/48/81/1073774881.db2.gz KJFLECGRLKMBJZ-AWEZNQCLSA-N 0 0 441.558 -0.503 20 0 IBADRN CCN(CCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](C(N)=O)C1)S(C)(=O)=O ZINC001295806622 1073774887 /nfs/dbraw/zinc/77/48/87/1073774887.db2.gz KJFLECGRLKMBJZ-CQSZACIVSA-N 0 0 441.558 -0.503 20 0 IBADRN CCN(CCCn1c(C(=O)N2CCCC2)nnc1N1CCNC(=O)C1)S(C)(=O)=O ZINC001295806930 1073774828 /nfs/dbraw/zinc/77/48/28/1073774828.db2.gz MDRJJINFTYPLPB-UHFFFAOYSA-N 0 0 427.531 -0.878 20 0 IBADRN CCN(CCCn1c(C(=O)NC(C)C)nnc1N1C[C@H]2OCO[C@H]2C1)S(C)(=O)=O ZINC001295806997 1073774847 /nfs/dbraw/zinc/77/48/47/1073774847.db2.gz MREIHYALMQKRTQ-OKILXGFUSA-N 0 0 430.531 -0.351 20 0 IBADRN CCN(CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCNC(=O)CC1)S(C)(=O)=O ZINC001295810354 1073774877 /nfs/dbraw/zinc/77/48/77/1073774877.db2.gz HEBCYDXHPHLSHK-CYBMUJFWSA-N 0 0 427.531 -0.773 20 0 IBADRN CCN(CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCNC(=O)CC1)S(C)(=O)=O ZINC001295810356 1073774758 /nfs/dbraw/zinc/77/47/58/1073774758.db2.gz HEBCYDXHPHLSHK-ZDUSSCGKSA-N 0 0 427.531 -0.773 20 0 IBADRN CS(=O)(=O)CC1(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)COC1 ZINC001295813033 1073774801 /nfs/dbraw/zinc/77/48/01/1073774801.db2.gz ISVZNRWTWFSHCD-UHFFFAOYSA-N 0 0 446.503 -0.992 20 0 IBADRN C[C@@]1(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCCS1(=O)=O ZINC001295813220 1073774747 /nfs/dbraw/zinc/77/47/47/1073774747.db2.gz MSHMKWWCMDOBBO-KRWDZBQOSA-N 0 0 430.504 -0.086 20 0 IBADRN C[C@]1(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCCS1(=O)=O ZINC001295813221 1073774815 /nfs/dbraw/zinc/77/48/15/1073774815.db2.gz MSHMKWWCMDOBBO-QGZVFWFLSA-N 0 0 430.504 -0.086 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)C3=CN(C)S(=O)(=O)N=C3C)C2)cc1 ZINC001295850811 1073774792 /nfs/dbraw/zinc/77/47/92/1073774792.db2.gz ZIYNTUDYTMKKQM-UHFFFAOYSA-N 0 0 428.492 -0.281 20 0 IBADRN CCN(CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1)C1CC1 ZINC001295856334 1073774734 /nfs/dbraw/zinc/77/47/34/1073774734.db2.gz KBPNQSRKKVCFJG-AWEZNQCLSA-N 0 0 431.584 -0.101 20 0 IBADRN CCN(CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1)C1CC1 ZINC001295856335 1073774872 /nfs/dbraw/zinc/77/48/72/1073774872.db2.gz KBPNQSRKKVCFJG-CQSZACIVSA-N 0 0 431.584 -0.101 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001295871040 1073775285 /nfs/dbraw/zinc/77/52/85/1073775285.db2.gz FQNABYKTOXAIHV-AWEZNQCLSA-N 0 0 427.527 -0.187 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001295871041 1073775337 /nfs/dbraw/zinc/77/53/37/1073775337.db2.gz FQNABYKTOXAIHV-CQSZACIVSA-N 0 0 427.527 -0.187 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)CC1 ZINC001295871123 1073775218 /nfs/dbraw/zinc/77/52/18/1073775218.db2.gz HWHVNSOMBNHHBD-CYBMUJFWSA-N 0 0 433.552 -0.110 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)CC1 ZINC001295871124 1073775358 /nfs/dbraw/zinc/77/53/58/1073775358.db2.gz HWHVNSOMBNHHBD-ZDUSSCGKSA-N 0 0 433.552 -0.110 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001295872240 1073775257 /nfs/dbraw/zinc/77/52/57/1073775257.db2.gz VEZJDYNGDMIASV-INIZCTEOSA-N 0 0 442.513 -0.341 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001295872241 1073775236 /nfs/dbraw/zinc/77/52/36/1073775236.db2.gz VEZJDYNGDMIASV-MRXNPFEDSA-N 0 0 442.513 -0.341 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC2CCN(S(=O)(=O)CC)CC2)[C@H]1c1nccn1C ZINC001295872318 1073775333 /nfs/dbraw/zinc/77/53/33/1073775333.db2.gz XBCKWTLDXXUMGH-HOTGVXAUSA-N 0 0 427.527 -0.361 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001295872752 1073775375 /nfs/dbraw/zinc/77/53/75/1073775375.db2.gz CNALIKMQWMUECJ-AWEZNQCLSA-N 0 0 447.583 -0.180 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001295872753 1073775324 /nfs/dbraw/zinc/77/53/24/1073775324.db2.gz CNALIKMQWMUECJ-CQSZACIVSA-N 0 0 447.583 -0.180 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@H]1COCCO1 ZINC001295873597 1073775369 /nfs/dbraw/zinc/77/53/69/1073775369.db2.gz LHIGWQDYDQNJPP-OLZOCXBDSA-N 0 0 439.560 -0.825 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H]1COCCO1 ZINC001295873598 1073775364 /nfs/dbraw/zinc/77/53/64/1073775364.db2.gz LHIGWQDYDQNJPP-STQMWFEESA-N 0 0 439.560 -0.825 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CC(=O)NCC1CC1 ZINC001295874142 1073775251 /nfs/dbraw/zinc/77/52/51/1073775251.db2.gz QACAMTLYFIRKKQ-UHFFFAOYSA-N 0 0 426.543 -0.089 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@@]1(O)CCN(C)C1 ZINC001295874344 1073775264 /nfs/dbraw/zinc/77/52/64/1073775264.db2.gz QSFWRAWXHYTTLJ-GOSISDBHSA-N 0 0 428.559 -0.548 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@]1(O)CCN(C)C1 ZINC001295874359 1073775343 /nfs/dbraw/zinc/77/53/43/1073775343.db2.gz QSFWRAWXHYTTLJ-SFHVURJKSA-N 0 0 428.559 -0.548 20 0 IBADRN COC(=O)[C@@H](CNC(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1)Oc1ccc(F)cc1 ZINC001295876306 1073775204 /nfs/dbraw/zinc/77/52/04/1073775204.db2.gz BLHYWSRXXJJPCG-QBPKDAKJSA-N 0 0 434.424 -0.214 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1)Oc1ccc(F)cc1 ZINC001295876307 1073775244 /nfs/dbraw/zinc/77/52/44/1073775244.db2.gz BLHYWSRXXJJPCG-YLFCFFPRSA-N 0 0 434.424 -0.214 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CCCN1CCC(O)CC1 ZINC001295881880 1073775310 /nfs/dbraw/zinc/77/53/10/1073775310.db2.gz UUGLQWNELYSLPM-INIZCTEOSA-N 0 0 442.586 -0.053 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CCCN1CCC(O)CC1 ZINC001295881881 1073775291 /nfs/dbraw/zinc/77/52/91/1073775291.db2.gz UUGLQWNELYSLPM-MRXNPFEDSA-N 0 0 442.586 -0.053 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(-c2cnn(C)c2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001295882256 1073775185 /nfs/dbraw/zinc/77/51/85/1073775185.db2.gz YIVBZLWLKHRQPC-CYBMUJFWSA-N 0 0 430.556 -0.016 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(-c2cnn(C)c2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001295882258 1073775195 /nfs/dbraw/zinc/77/51/95/1073775195.db2.gz YIVBZLWLKHRQPC-ZDUSSCGKSA-N 0 0 430.556 -0.016 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1 ZINC001295885468 1073775698 /nfs/dbraw/zinc/77/56/98/1073775698.db2.gz JNZPJOIRSSMUHE-KBPBESRZSA-N 0 0 436.556 -0.534 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1 ZINC001295885469 1073775712 /nfs/dbraw/zinc/77/57/12/1073775712.db2.gz JNZPJOIRSSMUHE-KGLIPLIRSA-N 0 0 436.556 -0.534 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1 ZINC001295885471 1073775750 /nfs/dbraw/zinc/77/57/50/1073775750.db2.gz JNZPJOIRSSMUHE-UONOGXRCSA-N 0 0 436.556 -0.534 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1 ZINC001295885473 1073775814 /nfs/dbraw/zinc/77/58/14/1073775814.db2.gz JNZPJOIRSSMUHE-ZIAGYGMSSA-N 0 0 436.556 -0.534 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001295885783 1073775739 /nfs/dbraw/zinc/77/57/39/1073775739.db2.gz LTEBZXWDGPRMNY-CABCVRRESA-N 0 0 449.599 -0.066 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001295885784 1073775730 /nfs/dbraw/zinc/77/57/30/1073775730.db2.gz LTEBZXWDGPRMNY-GJZGRUSLSA-N 0 0 449.599 -0.066 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001295885785 1073775789 /nfs/dbraw/zinc/77/57/89/1073775789.db2.gz LTEBZXWDGPRMNY-HUUCEWRRSA-N 0 0 449.599 -0.066 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001295885791 1073775769 /nfs/dbraw/zinc/77/57/69/1073775769.db2.gz LTEBZXWDGPRMNY-LSDHHAIUSA-N 0 0 449.599 -0.066 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001295887315 1073775686 /nfs/dbraw/zinc/77/56/86/1073775686.db2.gz UXUFWWVZQBVJPG-GFCCVEGCSA-N 0 0 442.585 -0.904 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001295887325 1073775662 /nfs/dbraw/zinc/77/56/62/1073775662.db2.gz UXUFWWVZQBVJPG-LBPRGKRZSA-N 0 0 442.585 -0.904 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)N4CCCC4)n3C[C@H](COC)OC)CCN2C1=O ZINC001295888126 1073775800 /nfs/dbraw/zinc/77/58/00/1073775800.db2.gz FGVXSKCTQVTEMA-CABCVRRESA-N 0 0 449.512 -0.352 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)N4CCCC4)n3C[C@@H](COC)OC)CCN2C1=O ZINC001295888127 1073775782 /nfs/dbraw/zinc/77/57/82/1073775782.db2.gz FGVXSKCTQVTEMA-GJZGRUSLSA-N 0 0 449.512 -0.352 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)N4CCCC4)n3C[C@H](COC)OC)CCN2C1=O ZINC001295888128 1073775677 /nfs/dbraw/zinc/77/56/77/1073775677.db2.gz FGVXSKCTQVTEMA-HUUCEWRRSA-N 0 0 449.512 -0.352 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)N4CCCC4)n3C[C@@H](COC)OC)CCN2C1=O ZINC001295888129 1073775806 /nfs/dbraw/zinc/77/58/06/1073775806.db2.gz FGVXSKCTQVTEMA-LSDHHAIUSA-N 0 0 449.512 -0.352 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CCCn3ccnn3)CCN2C1=O ZINC001295888195 1073775762 /nfs/dbraw/zinc/77/57/62/1073775762.db2.gz GXBAGQGPQICDDH-AWEZNQCLSA-N 0 0 444.500 -0.429 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3CCCn3ccnn3)CCN2C1=O ZINC001295888196 1073775776 /nfs/dbraw/zinc/77/57/76/1073775776.db2.gz GXBAGQGPQICDDH-CQSZACIVSA-N 0 0 444.500 -0.429 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnccn4)n3CC(C)(C)C(=O)NC)CCN2C1=O ZINC001295889042 1073775721 /nfs/dbraw/zinc/77/57/21/1073775721.db2.gz ASALJSAMTDVIDK-AWEZNQCLSA-N 0 0 441.496 -0.020 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnccn4)n3CC(C)(C)C(=O)NC)CCN2C1=O ZINC001295889044 1073775810 /nfs/dbraw/zinc/77/58/10/1073775810.db2.gz ASALJSAMTDVIDK-CQSZACIVSA-N 0 0 441.496 -0.020 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnn(C)c4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001295889502 1073776217 /nfs/dbraw/zinc/77/62/17/1073776217.db2.gz DKYCERJEYKCGQU-CYBMUJFWSA-N 0 0 436.498 -0.804 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnn(C)c4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001295889503 1073776135 /nfs/dbraw/zinc/77/61/35/1073776135.db2.gz DKYCERJEYKCGQU-ZDUSSCGKSA-N 0 0 436.498 -0.804 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C4CC4)n3CCOCCS(C)(=O)=O)CCN2C1=O ZINC001295889839 1073776197 /nfs/dbraw/zinc/77/61/97/1073776197.db2.gz IUYDOLQIHRVFLA-AWEZNQCLSA-N 0 0 440.526 -0.311 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C4CC4)n3CCOCCS(C)(=O)=O)CCN2C1=O ZINC001295889840 1073776167 /nfs/dbraw/zinc/77/61/67/1073776167.db2.gz IUYDOLQIHRVFLA-CQSZACIVSA-N 0 0 440.526 -0.311 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4ccccn4)n3CCS(=O)(=O)NC)CCN2C1=O ZINC001295890419 1073776148 /nfs/dbraw/zinc/77/61/48/1073776148.db2.gz NPYNNNKEPYKSRF-AWEZNQCLSA-N 0 0 448.509 -0.638 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4ccccn4)n3CCS(=O)(=O)NC)CCN2C1=O ZINC001295890420 1073776251 /nfs/dbraw/zinc/77/62/51/1073776251.db2.gz NPYNNNKEPYKSRF-CQSZACIVSA-N 0 0 448.509 -0.638 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(C)C)n3CCN3CCCS3(=O)=O)CCN2C1=O ZINC001295890841 1073776185 /nfs/dbraw/zinc/77/61/85/1073776185.db2.gz QJDXNDWXRIAFOS-AWEZNQCLSA-N 0 0 439.542 -0.090 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(C)C)n3CCN3CCCS3(=O)=O)CCN2C1=O ZINC001295890842 1073776276 /nfs/dbraw/zinc/77/62/76/1073776276.db2.gz QJDXNDWXRIAFOS-CQSZACIVSA-N 0 0 439.542 -0.090 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cccn4C)n3CCS(C)(=O)=O)CCN2C1=O ZINC001295891083 1073776225 /nfs/dbraw/zinc/77/62/25/1073776225.db2.gz VTRMTKZIYWNOMG-AWEZNQCLSA-N 0 0 435.510 -0.199 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cccn4C)n3CCS(C)(=O)=O)CCN2C1=O ZINC001295891084 1073776239 /nfs/dbraw/zinc/77/62/39/1073776239.db2.gz VTRMTKZIYWNOMG-CQSZACIVSA-N 0 0 435.510 -0.199 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(CC(C)C)n3CCC[C@@H]3NC(=O)NC3=O)CCN2C1=O ZINC001295891631 1073776290 /nfs/dbraw/zinc/77/62/90/1073776290.db2.gz AKVOFUJFEXXZIE-KBPBESRZSA-N 0 0 446.512 -0.063 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(CC(C)C)n3CCC[C@@H]3NC(=O)NC3=O)CCN2C1=O ZINC001295891632 1073776281 /nfs/dbraw/zinc/77/62/81/1073776281.db2.gz AKVOFUJFEXXZIE-UONOGXRCSA-N 0 0 446.512 -0.063 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(Cc4ccccn4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001295892075 1073776267 /nfs/dbraw/zinc/77/62/67/1073776267.db2.gz DPJVNQPBXJELMH-HNNXBMFYSA-N 0 0 447.521 -0.219 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(Cc4ccccn4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001295892080 1073776230 /nfs/dbraw/zinc/77/62/30/1073776230.db2.gz DPJVNQPBXJELMH-OAHLLOKOSA-N 0 0 447.521 -0.219 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(CN4CCCC4=O)n3CCCNC(C)=O)CCN2C1=O ZINC001295892085 1073776793 /nfs/dbraw/zinc/77/67/93/1073776793.db2.gz DTTOBSVXVXLTDI-HNNXBMFYSA-N 0 0 446.512 -0.601 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(CN4CCCC4=O)n3CCCNC(C)=O)CCN2C1=O ZINC001295892088 1073776725 /nfs/dbraw/zinc/77/67/25/1073776725.db2.gz DTTOBSVXVXLTDI-OAHLLOKOSA-N 0 0 446.512 -0.601 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(Cc4ccncc4)n3CCC(=O)NC)CCN2C1=O ZINC001295896052 1073776784 /nfs/dbraw/zinc/77/67/84/1073776784.db2.gz VCAREGZTXSSZAM-HNNXBMFYSA-N 0 0 426.481 -0.127 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(Cc4ccncc4)n3CCC(=O)NC)CCN2C1=O ZINC001295896053 1073776682 /nfs/dbraw/zinc/77/66/82/1073776682.db2.gz VCAREGZTXSSZAM-OAHLLOKOSA-N 0 0 426.481 -0.127 20 0 IBADRN CCn1cc(Cn2c(C(=O)N3CCCC3)nnc2N2CCN(S(C)(=O)=O)CC2)cn1 ZINC001295917382 1073776718 /nfs/dbraw/zinc/77/67/18/1073776718.db2.gz JOJPZGBJNWAVMI-UHFFFAOYSA-N 0 0 436.542 -0.140 20 0 IBADRN CCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN(C(=O)NC)CC2)cn1 ZINC001295919803 1073776634 /nfs/dbraw/zinc/77/66/34/1073776634.db2.gz JURXXTYPBKEJCE-CYBMUJFWSA-N 0 0 439.546 -0.386 20 0 IBADRN CCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN(C(=O)NC)CC2)cn1 ZINC001295919804 1073776693 /nfs/dbraw/zinc/77/66/93/1073776693.db2.gz JURXXTYPBKEJCE-ZDUSSCGKSA-N 0 0 439.546 -0.386 20 0 IBADRN CCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CCN2CCOCC2)cn1 ZINC001295920476 1073776663 /nfs/dbraw/zinc/77/66/63/1073776663.db2.gz TZTIMGQIKMMBER-HNNXBMFYSA-N 0 0 440.574 -0.079 20 0 IBADRN CCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CCN2CCOCC2)cn1 ZINC001295920477 1073776592 /nfs/dbraw/zinc/77/65/92/1073776592.db2.gz TZTIMGQIKMMBER-OAHLLOKOSA-N 0 0 440.574 -0.079 20 0 IBADRN CCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3CCOC[C@H]3C2)cn1 ZINC001295920766 1073776764 /nfs/dbraw/zinc/77/67/64/1073776764.db2.gz YGAYADREANDBIV-GDBMZVCRSA-N 0 0 438.558 -0.326 20 0 IBADRN CCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3CCOC[C@H]3C2)cn1 ZINC001295920776 1073776729 /nfs/dbraw/zinc/77/67/29/1073776729.db2.gz YGAYADREANDBIV-GOEBONIOSA-N 0 0 438.558 -0.326 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001295920836 1073776627 /nfs/dbraw/zinc/77/66/27/1073776627.db2.gz CZUVQZKKNQSLDV-CYBMUJFWSA-N 0 0 432.520 -0.830 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001295920837 1073776757 /nfs/dbraw/zinc/77/67/57/1073776757.db2.gz CZUVQZKKNQSLDV-ZDUSSCGKSA-N 0 0 432.520 -0.830 20 0 IBADRN CCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3CCOC[C@@H]3C2)cn1 ZINC001295920846 1073776611 /nfs/dbraw/zinc/77/66/11/1073776611.db2.gz YGAYADREANDBIV-HOCLYGCPSA-N 0 0 438.558 -0.326 20 0 IBADRN CCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3CCOC[C@@H]3C2)cn1 ZINC001295920847 1073776744 /nfs/dbraw/zinc/77/67/44/1073776744.db2.gz YGAYADREANDBIV-ZBFHGGJFSA-N 0 0 438.558 -0.326 20 0 IBADRN CCn1cc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)CCS(C)(=O)=O)cn1 ZINC001295928100 1073776675 /nfs/dbraw/zinc/77/66/75/1073776675.db2.gz PENOFRMEMFRXGE-AWEZNQCLSA-N 0 0 430.556 -0.074 20 0 IBADRN CCn1cc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)CCS(C)(=O)=O)cn1 ZINC001295928112 1073776654 /nfs/dbraw/zinc/77/66/54/1073776654.db2.gz PENOFRMEMFRXGE-CQSZACIVSA-N 0 0 430.556 -0.074 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H](CO)C(=O)OCc2ccccc2)[C@H]1c1nccn1C ZINC001295929202 1073776709 /nfs/dbraw/zinc/77/67/09/1073776709.db2.gz FYCWGRCAEQQXCJ-NJAFHUGGSA-N 0 0 430.461 -0.071 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H](CO)C(=O)OCc2ccccc2)[C@H]1c1nccn1C ZINC001295929227 1073776644 /nfs/dbraw/zinc/77/66/44/1073776644.db2.gz FYCWGRCAEQQXCJ-SZMVWBNQSA-N 0 0 430.461 -0.071 20 0 IBADRN CCn1cc(Cn2c(CN3CCCC3=O)nnc2N2CCN(S(C)(=O)=O)CC2)cn1 ZINC001295933126 1073776698 /nfs/dbraw/zinc/77/66/98/1073776698.db2.gz NNVLPPDOLNMYKK-UHFFFAOYSA-N 0 0 436.542 -0.253 20 0 IBADRN CCn1cccc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2C[C@H]3OCO[C@H]3C2)c1=O ZINC001295938234 1073777419 /nfs/dbraw/zinc/77/74/19/1073777419.db2.gz GKOGFVBQTVAALZ-AEGPPILISA-N 0 0 438.510 -0.320 20 0 IBADRN CCn1cccc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2C[C@H]3OCO[C@H]3C2)c1=O ZINC001295938235 1073777404 /nfs/dbraw/zinc/77/74/04/1073777404.db2.gz GKOGFVBQTVAALZ-YUELXQCFSA-N 0 0 438.510 -0.320 20 0 IBADRN CCn1cccc(Cn2c(C(=O)NC(C)C)nnc2N2CCO[C@H](C(=O)NC)C2)c1=O ZINC001295939561 1073777365 /nfs/dbraw/zinc/77/73/65/1073777365.db2.gz XZUBBGMFTOVSMR-HNNXBMFYSA-N 0 0 431.497 -0.403 20 0 IBADRN CCn1cccc(Cn2c(C(=O)NC(C)C)nnc2N2CCO[C@@H](C(=O)NC)C2)c1=O ZINC001295939562 1073777315 /nfs/dbraw/zinc/77/73/15/1073777315.db2.gz XZUBBGMFTOVSMR-OAHLLOKOSA-N 0 0 431.497 -0.403 20 0 IBADRN CCn1cccc(Cn2c(-c3cnccn3)nnc2N(C)CCNS(C)(=O)=O)c1=O ZINC001295943391 1073777291 /nfs/dbraw/zinc/77/72/91/1073777291.db2.gz NTMXVZYLVOAIOP-UHFFFAOYSA-N 0 0 432.510 -0.050 20 0 IBADRN CCn1cccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N(C)CCNS(C)(=O)=O)c1=O ZINC001295944766 1073777277 /nfs/dbraw/zinc/77/72/77/1073777277.db2.gz ZJACEMGYCXVWSY-AWEZNQCLSA-N 0 0 437.526 -0.556 20 0 IBADRN CCn1cccc(Cn2c([C@H]3CCC(=O)N3)nnc2N(C)CCNS(C)(=O)=O)c1=O ZINC001295944783 1073777348 /nfs/dbraw/zinc/77/73/48/1073777348.db2.gz ZJACEMGYCXVWSY-CQSZACIVSA-N 0 0 437.526 -0.556 20 0 IBADRN CCn1cccc(Cn2c(CN3CCCC3=O)nnc2N2CCO[C@H](C(=O)NC)C2)c1=O ZINC001295951565 1073777301 /nfs/dbraw/zinc/77/73/01/1073777301.db2.gz VEPLSYLULQXXHC-INIZCTEOSA-N 0 0 443.508 -0.418 20 0 IBADRN CCn1cccc(Cn2c(CN3CCCC3=O)nnc2N2CCO[C@@H](C(=O)NC)C2)c1=O ZINC001295951566 1073777382 /nfs/dbraw/zinc/77/73/82/1073777382.db2.gz VEPLSYLULQXXHC-MRXNPFEDSA-N 0 0 443.508 -0.418 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)C[C@@H](C)C(=O)NC ZINC001295954247 1073777343 /nfs/dbraw/zinc/77/73/43/1073777343.db2.gz CKDVKBPMYZVPKU-NEPJUHHUSA-N 0 0 427.535 -0.634 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)C[C@H](C)C(=O)NC ZINC001295954249 1073777413 /nfs/dbraw/zinc/77/74/13/1073777413.db2.gz CKDVKBPMYZVPKU-NWDGAFQWSA-N 0 0 427.535 -0.634 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)C[C@H](C)C(=O)NC ZINC001295954251 1073777268 /nfs/dbraw/zinc/77/72/68/1073777268.db2.gz CKDVKBPMYZVPKU-RYUDHWBXSA-N 0 0 427.535 -0.634 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)C[C@@H](C)C(=O)NC ZINC001295954253 1073777376 /nfs/dbraw/zinc/77/73/76/1073777376.db2.gz CKDVKBPMYZVPKU-VXGBXAGGSA-N 0 0 427.535 -0.634 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@](C)(C(=O)NC)C1 ZINC001295954870 1073777336 /nfs/dbraw/zinc/77/73/36/1073777336.db2.gz GKEGERWULPYJEK-PXAZEXFGSA-N 0 0 439.546 -0.490 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@](C)(C(=O)NC)C1 ZINC001295954872 1073777250 /nfs/dbraw/zinc/77/72/50/1073777250.db2.gz GKEGERWULPYJEK-SJCJKPOMSA-N 0 0 439.546 -0.490 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@](C)(C(=O)NC)C1 ZINC001295954874 1073777396 /nfs/dbraw/zinc/77/73/96/1073777396.db2.gz GKEGERWULPYJEK-SJKOYZFVSA-N 0 0 439.546 -0.490 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@](C)(C(=O)NC)C1 ZINC001295954876 1073777938 /nfs/dbraw/zinc/77/79/38/1073777938.db2.gz GKEGERWULPYJEK-YVEFUNNKSA-N 0 0 439.546 -0.490 20 0 IBADRN CCn1cnnc1Cn1c(C(=O)NC(C)C)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001295956935 1073777828 /nfs/dbraw/zinc/77/78/28/1073777828.db2.gz NSQDIWLVAXYKPB-UHFFFAOYSA-N 0 0 439.546 -0.452 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001295958504 1073777930 /nfs/dbraw/zinc/77/79/30/1073777930.db2.gz YWGAQRRVWNMODO-GXTWGEPZSA-N 0 0 439.546 -0.347 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001295958505 1073777824 /nfs/dbraw/zinc/77/78/24/1073777824.db2.gz YWGAQRRVWNMODO-JSGCOSHPSA-N 0 0 439.546 -0.347 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001295958506 1073777802 /nfs/dbraw/zinc/77/78/02/1073777802.db2.gz YWGAQRRVWNMODO-OCCSQVGLSA-N 0 0 439.546 -0.347 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001295958507 1073777820 /nfs/dbraw/zinc/77/78/20/1073777820.db2.gz YWGAQRRVWNMODO-TZMCWYRMSA-N 0 0 439.546 -0.347 20 0 IBADRN CCn1cnnc1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(NC(C)=O)CC1 ZINC001295964487 1073777868 /nfs/dbraw/zinc/77/78/68/1073777868.db2.gz SYLDZNYMVBHGJD-AWEZNQCLSA-N 0 0 436.542 -0.055 20 0 IBADRN CCn1cnnc1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(NC(C)=O)CC1 ZINC001295964489 1073777811 /nfs/dbraw/zinc/77/78/11/1073777811.db2.gz SYLDZNYMVBHGJD-CQSZACIVSA-N 0 0 436.542 -0.055 20 0 IBADRN CCN1C[C@H](C)N(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)C[C@H]1C ZINC001295997513 1073777856 /nfs/dbraw/zinc/77/78/56/1073777856.db2.gz RHDMFYKRTWPHMV-ILXRZTDVSA-N 0 0 448.615 -0.352 20 0 IBADRN CCN1C[C@H](C)N(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)C[C@@H]1C ZINC001295997514 1073777974 /nfs/dbraw/zinc/77/79/74/1073777974.db2.gz RHDMFYKRTWPHMV-KKUMJFAQSA-N 0 0 448.615 -0.352 20 0 IBADRN CCN1C[C@H](C)N(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)C[C@H]1C ZINC001295997515 1073777844 /nfs/dbraw/zinc/77/78/44/1073777844.db2.gz RHDMFYKRTWPHMV-QLFBSQMISA-N 0 0 448.615 -0.352 20 0 IBADRN CCN1C[C@H](C)N(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)C[C@@H]1C ZINC001295997516 1073777742 /nfs/dbraw/zinc/77/77/42/1073777742.db2.gz RHDMFYKRTWPHMV-SOUVJXGZSA-N 0 0 448.615 -0.352 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)cc1 ZINC001296037838 1073778557 /nfs/dbraw/zinc/77/85/57/1073778557.db2.gz VBHXRLGKVVYNBK-AWEZNQCLSA-N 0 0 445.567 -0.378 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)cc1 ZINC001296037846 1073778496 /nfs/dbraw/zinc/77/84/96/1073778496.db2.gz VBHXRLGKVVYNBK-CQSZACIVSA-N 0 0 445.567 -0.378 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC001296038341 1073778402 /nfs/dbraw/zinc/77/84/02/1073778402.db2.gz JIHYZZZUDRBEIE-UHFFFAOYSA-N 0 0 440.503 -0.336 20 0 IBADRN CCN1CCCC[C@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)(=O)CC1 ZINC001296081509 1073778480 /nfs/dbraw/zinc/77/84/80/1073778480.db2.gz VGUVFGXSTLQIDV-CABCVRRESA-N 0 0 448.615 -0.003 20 0 IBADRN CCN1CCCC[C@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)(=O)CC1 ZINC001296081510 1073778506 /nfs/dbraw/zinc/77/85/06/1073778506.db2.gz VGUVFGXSTLQIDV-GJZGRUSLSA-N 0 0 448.615 -0.003 20 0 IBADRN CCN1CCCC[C@@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)(=O)CC1 ZINC001296081511 1073778453 /nfs/dbraw/zinc/77/84/53/1073778453.db2.gz VGUVFGXSTLQIDV-HUUCEWRRSA-N 0 0 448.615 -0.003 20 0 IBADRN CCN1CCCC[C@@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)(=O)CC1 ZINC001296081512 1073778580 /nfs/dbraw/zinc/77/85/80/1073778580.db2.gz VGUVFGXSTLQIDV-LSDHHAIUSA-N 0 0 448.615 -0.003 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)NC(C)C)n2CCN2CCN(C(C)=O)CC2)CC1=O ZINC001296095256 1073778539 /nfs/dbraw/zinc/77/85/39/1073778539.db2.gz FXNKZZWHZSVOGP-UHFFFAOYSA-N 0 0 448.572 -0.361 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)NC)CC1=O ZINC001296097511 1073778410 /nfs/dbraw/zinc/77/84/10/1073778410.db2.gz WGFLGZXQTPXLNU-UHFFFAOYSA-N 0 0 427.531 -0.878 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H](COC)OC)CC1=O ZINC001296100146 1073778437 /nfs/dbraw/zinc/77/84/37/1073778437.db2.gz FCHFQENKLHJGBW-KBPBESRZSA-N 0 0 432.547 -0.392 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H](COC)OC)CC1=O ZINC001296100147 1073778414 /nfs/dbraw/zinc/77/84/14/1073778414.db2.gz FCHFQENKLHJGBW-KGLIPLIRSA-N 0 0 432.547 -0.392 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H](COC)OC)CC1=O ZINC001296100148 1073778444 /nfs/dbraw/zinc/77/84/44/1073778444.db2.gz FCHFQENKLHJGBW-UONOGXRCSA-N 0 0 432.547 -0.392 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H](COC)OC)CC1=O ZINC001296100149 1073778473 /nfs/dbraw/zinc/77/84/73/1073778473.db2.gz FCHFQENKLHJGBW-ZIAGYGMSSA-N 0 0 432.547 -0.392 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)CC1=O ZINC001296100224 1073778386 /nfs/dbraw/zinc/77/83/86/1073778386.db2.gz GKPKONLFJDZYKM-GFCCVEGCSA-N 0 0 425.519 -0.876 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)CC1=O ZINC001296100225 1073778608 /nfs/dbraw/zinc/77/86/08/1073778608.db2.gz GKPKONLFJDZYKM-LBPRGKRZSA-N 0 0 425.519 -0.876 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)CC1=O ZINC001296100226 1073778551 /nfs/dbraw/zinc/77/85/51/1073778551.db2.gz GLRDUZVKKVXOHC-CXAGYDPISA-N 0 0 430.531 -0.902 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CC1=O ZINC001296100232 1073779079 /nfs/dbraw/zinc/77/90/79/1073779079.db2.gz GLRDUZVKKVXOHC-DYVFJYSZSA-N 0 0 430.531 -0.902 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CC1=O ZINC001296100234 1073779146 /nfs/dbraw/zinc/77/91/46/1073779146.db2.gz GLRDUZVKKVXOHC-GUYCJALGSA-N 0 0 430.531 -0.902 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)CC1=O ZINC001296100236 1073779085 /nfs/dbraw/zinc/77/90/85/1073779085.db2.gz GLRDUZVKKVXOHC-SUMWQHHRSA-N 0 0 430.531 -0.902 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1=O ZINC001296101870 1073779176 /nfs/dbraw/zinc/77/91/76/1073779176.db2.gz WYDUKKAGTYTRRP-KBPBESRZSA-N 0 0 430.531 -0.638 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1=O ZINC001296101879 1073779160 /nfs/dbraw/zinc/77/91/60/1073779160.db2.gz WYDUKKAGTYTRRP-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1=O ZINC001296101881 1073779122 /nfs/dbraw/zinc/77/91/22/1073779122.db2.gz WYDUKKAGTYTRRP-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1=O ZINC001296101882 1073779149 /nfs/dbraw/zinc/77/91/49/1073779149.db2.gz WYDUKKAGTYTRRP-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN CCN1CCCN(c2nnc(-c3cnccn3)n2CCN2CCCS2(=O)=O)CC1=O ZINC001296108655 1073779169 /nfs/dbraw/zinc/77/91/69/1073779169.db2.gz KDRIJNCZXLFUCO-UHFFFAOYSA-N 0 0 434.526 -0.171 20 0 IBADRN CCN1CCCN(c2nnc(-c3cnn(C)c3)n2CCOCCS(C)(=O)=O)CC1=O ZINC001296108870 1073779107 /nfs/dbraw/zinc/77/91/07/1073779107.db2.gz QVEFCZUQKRUJFD-UHFFFAOYSA-N 0 0 439.542 -0.202 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)CC1=O ZINC001296109805 1073779130 /nfs/dbraw/zinc/77/91/30/1073779130.db2.gz AXHNBCFWNGCFHG-KRWDZBQOSA-N 0 0 446.556 -0.548 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)CC1=O ZINC001296109807 1073779061 /nfs/dbraw/zinc/77/90/61/1073779061.db2.gz AXHNBCFWNGCFHG-QGZVFWFLSA-N 0 0 446.556 -0.548 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)CC1=O ZINC001296111032 1073779165 /nfs/dbraw/zinc/77/91/65/1073779165.db2.gz UOJWZHCUBXDDDX-HNNXBMFYSA-N 0 0 438.554 -0.235 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)CC1=O ZINC001296111033 1073779050 /nfs/dbraw/zinc/77/90/50/1073779050.db2.gz UOJWZHCUBXDDDX-OAHLLOKOSA-N 0 0 438.554 -0.235 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1=O ZINC001296111294 1073779100 /nfs/dbraw/zinc/77/91/00/1073779100.db2.gz WOZXZOQBLXRLCW-HUUCEWRRSA-N 0 0 427.527 -0.346 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1=O ZINC001296111295 1073779034 /nfs/dbraw/zinc/77/90/34/1073779034.db2.gz WOZXZOQBLXRLCW-LSDHHAIUSA-N 0 0 427.527 -0.346 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)CC1=O ZINC001296111353 1073779156 /nfs/dbraw/zinc/77/91/56/1073779156.db2.gz YEPXVYCGNFUVML-AWEZNQCLSA-N 0 0 444.558 -0.560 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)CC1=O ZINC001296111354 1073779190 /nfs/dbraw/zinc/77/91/90/1073779190.db2.gz YEPXVYCGNFUVML-CQSZACIVSA-N 0 0 444.558 -0.560 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CC1=O ZINC001296111810 1073779142 /nfs/dbraw/zinc/77/91/42/1073779142.db2.gz QXHFUWXMTFBJEF-AWEZNQCLSA-N 0 0 426.543 -0.237 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CC1=O ZINC001296112111 1073779091 /nfs/dbraw/zinc/77/90/91/1073779091.db2.gz QXHFUWXMTFBJEF-CQSZACIVSA-N 0 0 426.543 -0.237 20 0 IBADRN CN1CCn2ncc(C(=O)N[C@@H](Cc3ccccc3)C(=O)N3CCOCC3)c2S1(=O)=O ZINC001296113041 1073779180 /nfs/dbraw/zinc/77/91/80/1073779180.db2.gz IJVNJZHCKGGMEM-KRWDZBQOSA-N 0 0 447.517 -0.283 20 0 IBADRN CN1CCn2ncc(C(=O)N[C@H](Cc3ccccc3)C(=O)N3CCOCC3)c2S1(=O)=O ZINC001296113042 1073779574 /nfs/dbraw/zinc/77/95/74/1073779574.db2.gz IJVNJZHCKGGMEM-QGZVFWFLSA-N 0 0 447.517 -0.283 20 0 IBADRN CCN1CCCN(c2nnc(Cc3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001296113564 1073779612 /nfs/dbraw/zinc/77/96/12/1073779612.db2.gz VZLHTFMPHHXYBQ-FQEVSTJZSA-N 0 0 448.549 -0.128 20 0 IBADRN CCN1CCCN(c2nnc(Cc3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001296113565 1073779566 /nfs/dbraw/zinc/77/95/66/1073779566.db2.gz VZLHTFMPHHXYBQ-HXUWFJFHSA-N 0 0 448.549 -0.128 20 0 IBADRN CCN1CCCN(c2nnc(CN3CCCC3=O)n2CCNS(=O)(=O)CC)CC1=O ZINC001296116172 1073779600 /nfs/dbraw/zinc/77/96/00/1073779600.db2.gz BXDUROAJVGKSQC-UHFFFAOYSA-N 0 0 441.558 -0.602 20 0 IBADRN CCN1CCCN(c2nnc(CN3CCCC3=O)n2CC2(C(N)=O)CCOCC2)CC1=O ZINC001296116531 1073779585 /nfs/dbraw/zinc/77/95/85/1073779585.db2.gz GONOJXIFMNUTCU-UHFFFAOYSA-N 0 0 447.540 -0.259 20 0 IBADRN CCN1CCCN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)CC)CC1=O ZINC001296117241 1073779695 /nfs/dbraw/zinc/77/96/95/1073779695.db2.gz OIBIMRLRXFMOPW-UHFFFAOYSA-N 0 0 426.543 -0.106 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12 ZINC001296144487 1073780579 /nfs/dbraw/zinc/78/05/79/1073780579.db2.gz BLOAPYAUSQHSAV-JJFYZRJRSA-N 0 0 434.511 -0.303 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001296144488 1073780267 /nfs/dbraw/zinc/78/02/67/1073780267.db2.gz BLOAPYAUSQHSAV-OVSNFEJUSA-N 0 0 434.511 -0.303 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12 ZINC001296144489 1073780456 /nfs/dbraw/zinc/78/04/56/1073780456.db2.gz BLOAPYAUSQHSAV-SJBDTSRBSA-N 0 0 434.511 -0.303 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001296144490 1073780469 /nfs/dbraw/zinc/78/04/69/1073780469.db2.gz BLOAPYAUSQHSAV-ZIVKBKJYSA-N 0 0 434.511 -0.303 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001296145350 1073780441 /nfs/dbraw/zinc/78/04/41/1073780441.db2.gz HDNQOPSMUHPWPH-CXAGYDPISA-N 0 0 434.898 -0.087 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001296145360 1073780417 /nfs/dbraw/zinc/78/04/17/1073780417.db2.gz HDNQOPSMUHPWPH-DYVFJYSZSA-N 0 0 434.898 -0.087 20 0 IBADRN COC(=O)[C@@](C)(O)CNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001296145361 1073780482 /nfs/dbraw/zinc/78/04/82/1073780482.db2.gz HDNQOPSMUHPWPH-GUYCJALGSA-N 0 0 434.898 -0.087 20 0 IBADRN COC(=O)[C@](C)(O)CNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001296145363 1073780431 /nfs/dbraw/zinc/78/04/31/1073780431.db2.gz HDNQOPSMUHPWPH-SUMWQHHRSA-N 0 0 434.898 -0.087 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC[C@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC001296190007 1073781294 /nfs/dbraw/zinc/78/12/94/1073781294.db2.gz OLTMVONYYQLQEB-HNNXBMFYSA-N 0 0 430.552 -0.234 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC[C@@H](S(=O)(=O)N2CCc3ccccc32)C1 ZINC001296190009 1073781105 /nfs/dbraw/zinc/78/11/05/1073781105.db2.gz OLTMVONYYQLQEB-OAHLLOKOSA-N 0 0 430.552 -0.234 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)C2)nn1 ZINC001296190667 1073781239 /nfs/dbraw/zinc/78/12/39/1073781239.db2.gz RQRKDDXTNIMRLG-PBHICJAKSA-N 0 0 431.424 -0.208 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)C2)nn1 ZINC001296190675 1073781188 /nfs/dbraw/zinc/78/11/88/1073781188.db2.gz RQRKDDXTNIMRLG-RHSMWYFYSA-N 0 0 431.424 -0.208 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)C2)nn1 ZINC001296190677 1073781091 /nfs/dbraw/zinc/78/10/91/1073781091.db2.gz RQRKDDXTNIMRLG-WMLDXEAASA-N 0 0 431.424 -0.208 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)[C@@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)C2)nn1 ZINC001296190678 1073781311 /nfs/dbraw/zinc/78/13/11/1073781311.db2.gz RQRKDDXTNIMRLG-YOEHRIQHSA-N 0 0 431.424 -0.208 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(C(=O)NC(C)C)nnc1N1CCN(CCOC)C(=O)C1 ZINC001296222526 1073781878 /nfs/dbraw/zinc/78/18/78/1073781878.db2.gz VDSBZRYJGITQAA-UHFFFAOYSA-N 0 0 437.545 -0.126 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001296223779 1073781684 /nfs/dbraw/zinc/78/16/84/1073781684.db2.gz BBZLCTOFAKAUFD-CHWSQXEVSA-N 0 0 443.574 -0.283 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001296223781 1073781643 /nfs/dbraw/zinc/78/16/43/1073781643.db2.gz BBZLCTOFAKAUFD-OLZOCXBDSA-N 0 0 443.574 -0.283 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001296223782 1073781857 /nfs/dbraw/zinc/78/18/57/1073781857.db2.gz BBZLCTOFAKAUFD-QWHCGFSZSA-N 0 0 443.574 -0.283 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001296223783 1073781853 /nfs/dbraw/zinc/78/18/53/1073781853.db2.gz BBZLCTOFAKAUFD-STQMWFEESA-N 0 0 443.574 -0.283 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)[C@H]1CC ZINC001296224106 1073782371 /nfs/dbraw/zinc/78/23/71/1073782371.db2.gz DFLNAOHXTQGPAM-CHWSQXEVSA-N 0 0 443.574 -0.235 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)[C@@H]1CC ZINC001296224107 1073782391 /nfs/dbraw/zinc/78/23/91/1073782391.db2.gz DFLNAOHXTQGPAM-OLZOCXBDSA-N 0 0 443.574 -0.235 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)[C@H]1CC ZINC001296224108 1073782326 /nfs/dbraw/zinc/78/23/26/1073782326.db2.gz DFLNAOHXTQGPAM-QWHCGFSZSA-N 0 0 443.574 -0.235 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)[C@@H]1CC ZINC001296224109 1073782287 /nfs/dbraw/zinc/78/22/87/1073782287.db2.gz DFLNAOHXTQGPAM-STQMWFEESA-N 0 0 443.574 -0.235 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001296224712 1073782342 /nfs/dbraw/zinc/78/23/42/1073782342.db2.gz OVRQKQLJUVJNSB-UHFFFAOYSA-N 0 0 435.529 -0.744 20 0 IBADRN CN1CC[C@@](Cc2ccccc2)(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1=O ZINC001296229628 1073782229 /nfs/dbraw/zinc/78/22/29/1073782229.db2.gz MTVFIRORPMTUQW-IBGZPJMESA-N 0 0 432.506 -0.271 20 0 IBADRN CN1CC[C@](Cc2ccccc2)(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1=O ZINC001296229631 1073782337 /nfs/dbraw/zinc/78/23/37/1073782337.db2.gz MTVFIRORPMTUQW-LJQANCHMSA-N 0 0 432.506 -0.271 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CC1 ZINC001296229810 1073782381 /nfs/dbraw/zinc/78/23/81/1073782381.db2.gz PYTRJEHAGLZLBL-UHFFFAOYSA-N 0 0 443.530 -0.810 20 0 IBADRN COc1ccc(CNC(=O)C=CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC001296230001 1073782377 /nfs/dbraw/zinc/78/23/77/1073782377.db2.gz RKKHKURAXSRYNO-SREVYHEPSA-N 0 0 434.478 -0.481 20 0 IBADRN COc1ccc(CNC(=O)C=CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC001296230002 1073782256 /nfs/dbraw/zinc/78/22/56/1073782256.db2.gz RKKHKURAXSRYNO-VOTSOKGWSA-N 0 0 434.478 -0.481 20 0 IBADRN O=C([C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001296230056 1073782357 /nfs/dbraw/zinc/78/23/57/1073782357.db2.gz SZIRYBBZMPBYOL-LLVKDONJSA-N 0 0 440.432 -0.031 20 0 IBADRN O=C([C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001296230063 1073782295 /nfs/dbraw/zinc/78/22/95/1073782295.db2.gz SZIRYBBZMPBYOL-NSHDSACASA-N 0 0 440.432 -0.031 20 0 IBADRN O=C(c1c(Br)nc2n1CCC2)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001296230274 1073782397 /nfs/dbraw/zinc/78/23/97/1073782397.db2.gz WJKFMFJWLRDHKD-UHFFFAOYSA-N 0 0 430.288 -0.143 20 0 IBADRN CCOC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001296230620 1073782241 /nfs/dbraw/zinc/78/22/41/1073782241.db2.gz YGKMNDHTCSAIHG-HNNXBMFYSA-N 0 0 436.494 -0.005 20 0 IBADRN CCOC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001296230621 1073782248 /nfs/dbraw/zinc/78/22/48/1073782248.db2.gz YGKMNDHTCSAIHG-OAHLLOKOSA-N 0 0 436.494 -0.005 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(-c2cnccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001296234670 1073782271 /nfs/dbraw/zinc/78/22/71/1073782271.db2.gz LMLKAMUOAKTQCJ-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)c1sccc1S(=O)(=O)N1CCCC[C@H]1CCO ZINC001296236517 1073782351 /nfs/dbraw/zinc/78/23/51/1073782351.db2.gz GNNDOERUSNPXIX-AWEZNQCLSA-N 0 0 444.579 -0.165 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)c1sccc1S(=O)(=O)N1CCCC[C@@H]1CCO ZINC001296236518 1073782316 /nfs/dbraw/zinc/78/23/16/1073782316.db2.gz GNNDOERUSNPXIX-CQSZACIVSA-N 0 0 444.579 -0.165 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)NCCN1CCNC(=O)C1 ZINC001296236927 1073782306 /nfs/dbraw/zinc/78/23/06/1073782306.db2.gz KOAPWXHSROPMMY-INIZCTEOSA-N 0 0 440.522 -0.736 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)NCCN1CCNC(=O)C1 ZINC001296236928 1073782366 /nfs/dbraw/zinc/78/23/66/1073782366.db2.gz KOAPWXHSROPMMY-MRXNPFEDSA-N 0 0 440.522 -0.736 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001296248213 1073782946 /nfs/dbraw/zinc/78/29/46/1073782946.db2.gz KJJBHZXMSXCYQR-AWEZNQCLSA-N 0 0 432.529 -0.223 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001296248214 1073782851 /nfs/dbraw/zinc/78/28/51/1073782851.db2.gz KJJBHZXMSXCYQR-CQSZACIVSA-N 0 0 432.529 -0.223 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(CC2CC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001296249475 1073782922 /nfs/dbraw/zinc/78/29/22/1073782922.db2.gz YTVKHKZCVFEDND-UHFFFAOYSA-N 0 0 444.544 -0.272 20 0 IBADRN CCNC(=O)C1(Cn2c(-c3cnn(C)c3)nnc2N2CCO[C@H](C(=O)NC)C2)CCC1 ZINC001296260945 1073782885 /nfs/dbraw/zinc/78/28/85/1073782885.db2.gz OFCMRKQVQNAWIX-HNNXBMFYSA-N 0 0 430.513 -0.064 20 0 IBADRN CCNC(=O)C1(Cn2c(-c3cnn(C)c3)nnc2N2CCO[C@@H](C(=O)NC)C2)CCC1 ZINC001296260946 1073782914 /nfs/dbraw/zinc/78/29/14/1073782914.db2.gz OFCMRKQVQNAWIX-OAHLLOKOSA-N 0 0 430.513 -0.064 20 0 IBADRN CCNC(=O)C1(Cn2c(-c3cnccn3)nnc2N2CCO[C@H](C(=O)NC)C2)CCC1 ZINC001296261511 1073782991 /nfs/dbraw/zinc/78/29/91/1073782991.db2.gz XVUMWMVHRYSTDF-HNNXBMFYSA-N 0 0 428.497 -0.007 20 0 IBADRN CCNC(=O)C1(Cn2c(-c3cnccn3)nnc2N2CCO[C@@H](C(=O)NC)C2)CCC1 ZINC001296261512 1073782981 /nfs/dbraw/zinc/78/29/81/1073782981.db2.gz XVUMWMVHRYSTDF-OAHLLOKOSA-N 0 0 428.497 -0.007 20 0 IBADRN CCNC(=O)C1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(=O)COC)CC2)CCC1 ZINC001296261535 1073782818 /nfs/dbraw/zinc/78/28/18/1073782818.db2.gz YKBAKAHKBWASFN-HNNXBMFYSA-N 0 0 447.540 -0.169 20 0 IBADRN CCNC(=O)C1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(=O)COC)CC2)CCC1 ZINC001296261536 1073782878 /nfs/dbraw/zinc/78/28/78/1073782878.db2.gz YKBAKAHKBWASFN-OAHLLOKOSA-N 0 0 447.540 -0.169 20 0 IBADRN CCNC(=O)c1ccc(Cn2c(CC)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)cc1 ZINC001296273029 1073783009 /nfs/dbraw/zinc/78/30/09/1073783009.db2.gz KQUUIFAQGPFDPH-INIZCTEOSA-N 0 0 425.493 -0.215 20 0 IBADRN CCNC(=O)c1ccc(Cn2c(CC)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)cc1 ZINC001296273030 1073782972 /nfs/dbraw/zinc/78/29/72/1073782972.db2.gz KQUUIFAQGPFDPH-MRXNPFEDSA-N 0 0 425.493 -0.215 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CC(C)(C)C(=O)NC)CC1 ZINC001296281478 1073782958 /nfs/dbraw/zinc/78/29/58/1073782958.db2.gz DKZTYSOXRDTAOV-UHFFFAOYSA-N 0 0 448.572 -0.456 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CC[S@@](=O)CC)CC1 ZINC001296282155 1073782999 /nfs/dbraw/zinc/78/29/99/1073782999.db2.gz GNDPGSIKOKJJQF-PMERELPUSA-N 0 0 439.586 -0.459 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CC[S@](=O)CC)CC1 ZINC001296282170 1073782805 /nfs/dbraw/zinc/78/28/05/1073782805.db2.gz GNDPGSIKOKJJQF-SSEXGKCCSA-N 0 0 439.586 -0.459 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2cnn(C)c2C)CC1 ZINC001296282534 1073782867 /nfs/dbraw/zinc/78/28/67/1073782867.db2.gz HEGKOGMHHXBPEK-UHFFFAOYSA-N 0 0 443.556 -0.138 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(CC)CC)n2Cc2cnnn2C)CC1 ZINC001296282640 1073782773 /nfs/dbraw/zinc/78/27/73/1073782773.db2.gz ITTRGINGRDKMHC-UHFFFAOYSA-N 0 0 446.560 -0.369 20 0 IBADRN CCNC(=O)c1cccc(Cn2c(CC)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC001296283236 1073782795 /nfs/dbraw/zinc/78/27/95/1073782795.db2.gz UXYSWDPZTWTBQI-INIZCTEOSA-N 0 0 425.493 -0.215 20 0 IBADRN CCNC(=O)c1cccc(Cn2c(CC)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC001296283241 1073782941 /nfs/dbraw/zinc/78/29/41/1073782941.db2.gz UXYSWDPZTWTBQI-MRXNPFEDSA-N 0 0 425.493 -0.215 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2nnc(C)s2)CC1 ZINC001296284083 1073782900 /nfs/dbraw/zinc/78/29/00/1073782900.db2.gz QYPCGDVVGGLPRP-UHFFFAOYSA-N 0 0 447.569 -0.020 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)Nc3ccccn3)n2CC(=O)N(C)C)CC1 ZINC001296284580 1073782832 /nfs/dbraw/zinc/78/28/32/1073782832.db2.gz YPXKPJASPFXEOJ-UHFFFAOYSA-N 0 0 443.512 -0.728 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(C)C)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001296286037 1073783532 /nfs/dbraw/zinc/78/35/32/1073783532.db2.gz DQCIKWGMYTWSHY-UHFFFAOYSA-N 0 0 429.591 -0.013 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)C4CN(S(C)(=O)=O)C4)CC3)C2=O)n(C)n1 ZINC001296286150 1073783516 /nfs/dbraw/zinc/78/35/16/1073783516.db2.gz QEBNLRGHXUAZMO-INIZCTEOSA-N 0 0 438.554 -0.740 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)C4CN(S(C)(=O)=O)C4)CC3)C2=O)n(C)n1 ZINC001296286151 1073783415 /nfs/dbraw/zinc/78/34/15/1073783415.db2.gz QEBNLRGHXUAZMO-MRXNPFEDSA-N 0 0 438.554 -0.740 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2C[C@H]2C)CC1 ZINC001296287203 1073783502 /nfs/dbraw/zinc/78/35/02/1073783502.db2.gz QUGMRIRIHKEIJD-ILXRZTDVSA-N 0 0 427.575 -0.198 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2C[C@@H]2C)CC1 ZINC001296287204 1073783377 /nfs/dbraw/zinc/78/33/77/1073783377.db2.gz QUGMRIRIHKEIJD-KKUMJFAQSA-N 0 0 427.575 -0.198 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2C[C@H]2C)CC1 ZINC001296287205 1073783398 /nfs/dbraw/zinc/78/33/98/1073783398.db2.gz QUGMRIRIHKEIJD-QLFBSQMISA-N 0 0 427.575 -0.198 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2C[C@@H]2C)CC1 ZINC001296287206 1073783479 /nfs/dbraw/zinc/78/34/79/1073783479.db2.gz QUGMRIRIHKEIJD-SOUVJXGZSA-N 0 0 427.575 -0.198 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2[C@@H]2C[C@H]2CF)CC1 ZINC001296287225 1073783491 /nfs/dbraw/zinc/78/34/91/1073783491.db2.gz RFPVHARQQZSAFA-HZSPNIEDSA-N 0 0 431.538 -0.323 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2[C@@H]2C[C@H]2CF)CC1 ZINC001296287226 1073783391 /nfs/dbraw/zinc/78/33/91/1073783391.db2.gz RFPVHARQQZSAFA-MELADBBJSA-N 0 0 431.538 -0.323 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccco2)CC1 ZINC001296287262 1073783450 /nfs/dbraw/zinc/78/34/50/1073783450.db2.gz SKCWYVNNIVMBRZ-AWEZNQCLSA-N 0 0 439.542 -0.212 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccco2)CC1 ZINC001296287263 1073783458 /nfs/dbraw/zinc/78/34/58/1073783458.db2.gz SKCWYVNNIVMBRZ-CQSZACIVSA-N 0 0 439.542 -0.212 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(C)(C)OC)CC1 ZINC001296288119 1073783439 /nfs/dbraw/zinc/78/34/39/1073783439.db2.gz YFDFBJCRUPKMCT-AWEZNQCLSA-N 0 0 445.590 -0.429 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(C)(C)OC)CC1 ZINC001296288121 1073783487 /nfs/dbraw/zinc/78/34/87/1073783487.db2.gz YFDFBJCRUPKMCT-CQSZACIVSA-N 0 0 445.590 -0.429 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@]3(OCC)CCOC3)n2Cc2cnn(C)c2)CC1 ZINC001296288192 1073783527 /nfs/dbraw/zinc/78/35/27/1073783527.db2.gz ZINGDLPKSMSYPW-NRFANRHFSA-N 0 0 446.556 -0.030 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@]3(OCC)CCOC3)n2Cc2cnn(C)c2)CC1 ZINC001296288193 1073783509 /nfs/dbraw/zinc/78/35/09/1073783509.db2.gz ZINGDLPKSMSYPW-OAQYLSRUSA-N 0 0 446.556 -0.030 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C)n2Cc2ccc(C(=O)NCC(N)=O)cc2)CC1 ZINC001296288307 1073783544 /nfs/dbraw/zinc/78/35/44/1073783544.db2.gz ZVBVGDJXEDNDHP-UHFFFAOYSA-N 0 0 442.524 -0.892 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cccn3C)n2CCS(=O)(=O)NC)CC1 ZINC001296289092 1073783464 /nfs/dbraw/zinc/78/34/64/1073783464.db2.gz OFYOLVCBMMJTBB-UHFFFAOYSA-N 0 0 438.558 -0.909 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)C(C)C)CC1 ZINC001296290339 1073783404 /nfs/dbraw/zinc/78/34/04/1073783404.db2.gz CWTUZTWESYLBJH-UHFFFAOYSA-N 0 0 431.545 -0.291 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCSC2)CC1 ZINC001296290380 1073783551 /nfs/dbraw/zinc/78/35/51/1073783551.db2.gz DRRUWAZZTZQOPG-IBGZPJMESA-N 0 0 434.570 -0.195 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCSC2)CC1 ZINC001296290383 1073783429 /nfs/dbraw/zinc/78/34/29/1073783429.db2.gz DRRUWAZZTZQOPG-LJQANCHMSA-N 0 0 434.570 -0.195 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCOC[C@@H]2OC)CC1 ZINC001296290575 1073783976 /nfs/dbraw/zinc/78/39/76/1073783976.db2.gz HJMRBNFCQUWZTM-AEFFLSMTSA-N 0 0 446.556 -0.012 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCOC[C@H]2OC)CC1 ZINC001296290576 1073784029 /nfs/dbraw/zinc/78/40/29/1073784029.db2.gz HJMRBNFCQUWZTM-FUHWJXTLSA-N 0 0 446.556 -0.012 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCOC[C@H]2OC)CC1 ZINC001296290577 1073784058 /nfs/dbraw/zinc/78/40/58/1073784058.db2.gz HJMRBNFCQUWZTM-SJLPKXTDSA-N 0 0 446.556 -0.012 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCOC[C@@H]2OC)CC1 ZINC001296290578 1073784020 /nfs/dbraw/zinc/78/40/20/1073784020.db2.gz HJMRBNFCQUWZTM-WMZOPIPTSA-N 0 0 446.556 -0.012 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CC2(O)CCOCC2)CC1 ZINC001296290842 1073784002 /nfs/dbraw/zinc/78/40/02/1073784002.db2.gz LECCMTSYELTWHK-UHFFFAOYSA-N 0 0 430.513 -0.465 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)N[C@H](C)CC)CC1 ZINC001296291275 1073783972 /nfs/dbraw/zinc/78/39/72/1073783972.db2.gz PGNWOZBYRRZVNG-CVEARBPZSA-N 0 0 448.572 -0.208 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)N[C@@H](C)CC)CC1 ZINC001296291276 1073784065 /nfs/dbraw/zinc/78/40/65/1073784065.db2.gz PGNWOZBYRRZVNG-HOTGVXAUSA-N 0 0 448.572 -0.208 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)N[C@H](C)CC)CC1 ZINC001296291277 1073783922 /nfs/dbraw/zinc/78/39/22/1073783922.db2.gz PGNWOZBYRRZVNG-HZPDHXFCSA-N 0 0 448.572 -0.208 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)N[C@@H](C)CC)CC1 ZINC001296291278 1073783930 /nfs/dbraw/zinc/78/39/30/1073783930.db2.gz PGNWOZBYRRZVNG-JKSUJKDBSA-N 0 0 448.572 -0.208 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cnn(C)c2C)CC1 ZINC001296291280 1073783993 /nfs/dbraw/zinc/78/39/93/1073783993.db2.gz PGZVJLKXKHRGTJ-INIZCTEOSA-N 0 0 429.529 -0.422 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cnn(C)c2C)CC1 ZINC001296291281 1073784012 /nfs/dbraw/zinc/78/40/12/1073784012.db2.gz PGZVJLKXKHRGTJ-MRXNPFEDSA-N 0 0 429.529 -0.422 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CCS(=O)(=O)CC)CC1 ZINC001296291319 1073783909 /nfs/dbraw/zinc/78/39/09/1073783909.db2.gz QGRADTOQJIWYSP-UHFFFAOYSA-N 0 0 436.542 -0.572 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cnccc2OC)CC1 ZINC001296291637 1073783937 /nfs/dbraw/zinc/78/39/37/1073783937.db2.gz YQRRNGPLCUAESO-INIZCTEOSA-N 0 0 442.524 -0.061 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cnccc2OC)CC1 ZINC001296291638 1073784072 /nfs/dbraw/zinc/78/40/72/1073784072.db2.gz YQRRNGPLCUAESO-MRXNPFEDSA-N 0 0 442.524 -0.061 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CC2(O)CC(OCC)C2)CC1 ZINC001296291644 1073783985 /nfs/dbraw/zinc/78/39/85/1073783985.db2.gz YXSUBFXJRBWNQU-UHFFFAOYSA-N 0 0 444.540 -0.077 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001296291651 1073784049 /nfs/dbraw/zinc/78/40/49/1073784049.db2.gz ZCUJWIXKKVSZOJ-UHFFFAOYSA-N 0 0 444.540 -0.187 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C3CC3)n2C[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC001296291745 1073784039 /nfs/dbraw/zinc/78/40/39/1073784039.db2.gz ZYRZLVLBBZZGMV-MRXNPFEDSA-N 0 0 439.586 -0.162 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CC1 ZINC001296292581 1073783979 /nfs/dbraw/zinc/78/39/79/1073783979.db2.gz ATSZHCFGDJJVIF-CYBMUJFWSA-N 0 0 447.462 -0.281 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CC1 ZINC001296292582 1073783961 /nfs/dbraw/zinc/78/39/61/1073783961.db2.gz ATSZHCFGDJJVIF-ZDUSSCGKSA-N 0 0 447.462 -0.281 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCCOC3)n2CC(=O)NCC(F)F)CC1 ZINC001296292972 1073783952 /nfs/dbraw/zinc/78/39/52/1073783952.db2.gz FNDPXDJTTDDOOM-AWEZNQCLSA-N 0 0 443.499 -0.189 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCCOC3)n2CC(=O)NCC(F)F)CC1 ZINC001296292978 1073784517 /nfs/dbraw/zinc/78/45/17/1073784517.db2.gz FNDPXDJTTDDOOM-CQSZACIVSA-N 0 0 443.499 -0.189 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001296293232 1073784375 /nfs/dbraw/zinc/78/43/75/1073784375.db2.gz HKOIPDDPVMPUBF-CVEARBPZSA-N 0 0 440.570 -0.525 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001296293234 1073784532 /nfs/dbraw/zinc/78/45/32/1073784532.db2.gz HKOIPDDPVMPUBF-HOTGVXAUSA-N 0 0 440.570 -0.525 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001296293237 1073784552 /nfs/dbraw/zinc/78/45/52/1073784552.db2.gz HKOIPDDPVMPUBF-HZPDHXFCSA-N 0 0 440.570 -0.525 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001296293240 1073784441 /nfs/dbraw/zinc/78/44/41/1073784441.db2.gz HKOIPDDPVMPUBF-JKSUJKDBSA-N 0 0 440.570 -0.525 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CC(C)C)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001296293581 1073784422 /nfs/dbraw/zinc/78/44/22/1073784422.db2.gz AIPXTGFEBZMRQG-UHFFFAOYSA-N 0 0 431.545 -0.112 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cc3csc(C)n3)n2CC(=O)N(C)C)CC1 ZINC001296294222 1073784475 /nfs/dbraw/zinc/78/44/75/1073784475.db2.gz HGLLGFHECBWBFE-UHFFFAOYSA-N 0 0 434.570 -0.020 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)CC)CC1 ZINC001296295397 1073784388 /nfs/dbraw/zinc/78/43/88/1073784388.db2.gz RRQCTOQQTMPTLL-INIZCTEOSA-N 0 0 442.586 -0.135 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)CC)CC1 ZINC001296295399 1073784523 /nfs/dbraw/zinc/78/45/23/1073784523.db2.gz RRQCTOQQTMPTLL-MRXNPFEDSA-N 0 0 442.586 -0.135 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CC(C)(C)C)n2CCS(=O)(=O)NC)CC1 ZINC001296295728 1073784565 /nfs/dbraw/zinc/78/45/65/1073784565.db2.gz TZZKVRVLGBMUCX-UHFFFAOYSA-N 0 0 429.591 -0.326 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cc3cccnc3)n2C[C@@H]2COCCO2)CC1 ZINC001296295876 1073784431 /nfs/dbraw/zinc/78/44/31/1073784431.db2.gz RNUJKDZHERJEPC-GOSISDBHSA-N 0 0 429.525 -0.063 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cc3ccncc3)n2CCS(C)(=O)=O)CC1 ZINC001296296491 1073784455 /nfs/dbraw/zinc/78/44/55/1073784455.db2.gz XYCJNDDIYRQZTN-UHFFFAOYSA-N 0 0 435.554 -0.433 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(OC)CCOC2)CC1 ZINC001296296996 1073784399 /nfs/dbraw/zinc/78/43/99/1073784399.db2.gz DCUBGCYMXZADLP-NRFANRHFSA-N 0 0 449.556 -0.536 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(OC)CCOC2)CC1 ZINC001296296998 1073784541 /nfs/dbraw/zinc/78/45/41/1073784541.db2.gz DCUBGCYMXZADLP-OAQYLSRUSA-N 0 0 449.556 -0.536 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)NC(C)C)CC1 ZINC001296297474 1073784497 /nfs/dbraw/zinc/78/44/97/1073784497.db2.gz JDCHOQHPSQHPFA-UHFFFAOYSA-N 0 0 448.572 -0.427 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2Cc2nnc(C)s2)CC1 ZINC001296297609 1073784487 /nfs/dbraw/zinc/78/44/87/1073784487.db2.gz KEDGECYNKMLVIS-UHFFFAOYSA-N 0 0 447.569 -0.133 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2CC(=O)NCC(F)F)CC1 ZINC001296297833 1073784508 /nfs/dbraw/zinc/78/45/08/1073784508.db2.gz AWFSIYBVBSFRFE-CYBMUJFWSA-N 0 0 429.472 -0.231 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cn3nc(C)cc3C)n2CC(=O)N(C)C)CC1 ZINC001296298070 1073784416 /nfs/dbraw/zinc/78/44/16/1073784416.db2.gz OXWJSZDBZAJCPI-UHFFFAOYSA-N 0 0 431.545 -0.514 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CC3CCCC3)n2CCS(=O)(=O)NC)CC1 ZINC001296298530 1073784575 /nfs/dbraw/zinc/78/45/75/1073784575.db2.gz TXKJLCLRHWORGR-UHFFFAOYSA-N 0 0 441.602 -0.182 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2COCCO2)CC1 ZINC001296298559 1073784464 /nfs/dbraw/zinc/78/44/64/1073784464.db2.gz ULGDPXDHNARSRL-MRXNPFEDSA-N 0 0 435.529 -0.926 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CC3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001296298577 1073784932 /nfs/dbraw/zinc/78/49/32/1073784932.db2.gz VJQZABBOSPFBIR-IBGZPJMESA-N 0 0 440.570 -0.962 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CC3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001296298578 1073784998 /nfs/dbraw/zinc/78/49/98/1073784998.db2.gz VJQZABBOSPFBIR-LJQANCHMSA-N 0 0 440.570 -0.962 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCCn2cnnn2)CC1 ZINC001296298658 1073784963 /nfs/dbraw/zinc/78/49/63/1073784963.db2.gz PFCWFFNLWYYXTA-UHFFFAOYSA-N 0 0 431.505 -0.558 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001296298979 1073784867 /nfs/dbraw/zinc/78/48/67/1073784867.db2.gz UCBJLMZNNKSSEW-OAHLLOKOSA-N 0 0 440.570 -0.035 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)N2CCOCC2)CC1 ZINC001296298984 1073784845 /nfs/dbraw/zinc/78/48/45/1073784845.db2.gz UEGWUBIHCQPUHN-UHFFFAOYSA-N 0 0 448.528 -0.776 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCOC[C@@H]2C)CC1 ZINC001296299177 1073784916 /nfs/dbraw/zinc/78/49/16/1073784916.db2.gz XMAZDTBNNCUSKB-INIZCTEOSA-N 0 0 436.561 -0.012 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCOC[C@H]2C)CC1 ZINC001296299179 1073784925 /nfs/dbraw/zinc/78/49/25/1073784925.db2.gz XMAZDTBNNCUSKB-MRXNPFEDSA-N 0 0 436.561 -0.012 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCCS(C)(=O)=O)CC1 ZINC001296299211 1073784942 /nfs/dbraw/zinc/78/49/42/1073784942.db2.gz XYWUVLQSHYQHCP-UHFFFAOYSA-N 0 0 429.547 -0.298 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001296299262 1073784875 /nfs/dbraw/zinc/78/48/75/1073784875.db2.gz ZVUBSPYLMKNBLR-MRXNPFEDSA-N 0 0 445.528 -0.459 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@]3(OCC)CCOC3)n2Cc2cnnn2C)CC1 ZINC001296301118 1073784972 /nfs/dbraw/zinc/78/49/72/1073784972.db2.gz AOARZSTXXORBFK-IBGZPJMESA-N 0 0 433.517 -0.042 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@]3(OCC)CCOC3)n2Cc2cnnn2C)CC1 ZINC001296301119 1073784892 /nfs/dbraw/zinc/78/48/92/1073784892.db2.gz AOARZSTXXORBFK-LJQANCHMSA-N 0 0 433.517 -0.042 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(=O)Nc3ccccn3)n2CCC(=O)NC)CC1 ZINC001296301900 1073784953 /nfs/dbraw/zinc/78/49/53/1073784953.db2.gz JUHHLAPGJFSLAG-UHFFFAOYSA-N 0 0 429.485 -0.087 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(=O)Nc3ccccn3)n2Cc2ncn(C)n2)CC1 ZINC001296301913 1073784862 /nfs/dbraw/zinc/78/48/62/1073784862.db2.gz KJMAGRAAYJJEBQ-UHFFFAOYSA-N 0 0 439.484 -0.046 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H](COC)OC)CC1 ZINC001296302580 1073784981 /nfs/dbraw/zinc/78/49/81/1073784981.db2.gz VGOAAOGOJMBUEI-KBPBESRZSA-N 0 0 447.562 -0.599 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H](COC)OC)CC1 ZINC001296302582 1073784839 /nfs/dbraw/zinc/78/48/39/1073784839.db2.gz VGOAAOGOJMBUEI-KGLIPLIRSA-N 0 0 447.562 -0.599 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H](COC)OC)CC1 ZINC001296302584 1073784831 /nfs/dbraw/zinc/78/48/31/1073784831.db2.gz VGOAAOGOJMBUEI-UONOGXRCSA-N 0 0 447.562 -0.599 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H](COC)OC)CC1 ZINC001296302587 1073784989 /nfs/dbraw/zinc/78/49/89/1073784989.db2.gz VGOAAOGOJMBUEI-ZIAGYGMSSA-N 0 0 447.562 -0.599 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CC1 ZINC001296311887 1073784883 /nfs/dbraw/zinc/78/48/83/1073784883.db2.gz VSYDAXIZJAMQDO-HNNXBMFYSA-N 0 0 430.517 -0.158 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CC1 ZINC001296311891 1073784853 /nfs/dbraw/zinc/78/48/53/1073784853.db2.gz VSYDAXIZJAMQDO-OAHLLOKOSA-N 0 0 430.517 -0.158 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(-c3cnccn3)n2CCCNS(C)(=O)=O)CC1 ZINC001296312277 1073784903 /nfs/dbraw/zinc/78/49/03/1073784903.db2.gz ZDEOEPXZVICEHU-UHFFFAOYSA-N 0 0 437.530 -0.474 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCCCO3)n2CCS(=O)(=O)NC)CC1 ZINC001296314201 1073785598 /nfs/dbraw/zinc/78/55/98/1073785598.db2.gz ADJATCURTAEOKI-AWEZNQCLSA-N 0 0 429.547 -0.080 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCCCO3)n2CCS(=O)(=O)NC)CC1 ZINC001296314202 1073785524 /nfs/dbraw/zinc/78/55/24/1073785524.db2.gz ADJATCURTAEOKI-CQSZACIVSA-N 0 0 429.547 -0.080 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001296315598 1073785457 /nfs/dbraw/zinc/78/54/57/1073785457.db2.gz TZKDZLOLNUFMGQ-HNNXBMFYSA-N 0 0 443.574 -0.085 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001296315599 1073785539 /nfs/dbraw/zinc/78/55/39/1073785539.db2.gz TZKDZLOLNUFMGQ-OAHLLOKOSA-N 0 0 443.574 -0.085 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccn(C)n2)CC1 ZINC001296315929 1073785576 /nfs/dbraw/zinc/78/55/76/1073785576.db2.gz WNTZJIIWVALSAF-AWEZNQCLSA-N 0 0 436.542 -0.187 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccn(C)n2)CC1 ZINC001296315934 1073785550 /nfs/dbraw/zinc/78/55/50/1073785550.db2.gz WNTZJIIWVALSAF-CQSZACIVSA-N 0 0 436.542 -0.187 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCC2)CC1 ZINC001296316139 1073785509 /nfs/dbraw/zinc/78/55/09/1073785509.db2.gz YXHCYAJZLLRVAC-AWEZNQCLSA-N 0 0 426.543 -0.053 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCC2)CC1 ZINC001296316140 1073785564 /nfs/dbraw/zinc/78/55/64/1073785564.db2.gz YXHCYAJZLLRVAC-CQSZACIVSA-N 0 0 426.543 -0.053 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001296316521 1073785448 /nfs/dbraw/zinc/78/54/48/1073785448.db2.gz CEOQTTPFRLIBDW-BARDWOONSA-N 0 0 434.511 -0.303 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12 ZINC001296316523 1073785658 /nfs/dbraw/zinc/78/56/58/1073785658.db2.gz CEOQTTPFRLIBDW-CBBWQLFWSA-N 0 0 434.511 -0.303 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12 ZINC001296316525 1073785585 /nfs/dbraw/zinc/78/55/85/1073785585.db2.gz CEOQTTPFRLIBDW-LXTVHRRPSA-N 0 0 434.511 -0.303 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001296316527 1073785425 /nfs/dbraw/zinc/78/54/25/1073785425.db2.gz CEOQTTPFRLIBDW-QPSCCSFWSA-N 0 0 434.511 -0.303 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC2CC2)CC1 ZINC001296319835 1073785468 /nfs/dbraw/zinc/78/54/68/1073785468.db2.gz MHWJQGODXSRLSQ-UHFFFAOYSA-N 0 0 432.529 -0.222 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)CNc2ccc(S(N)(=O)=O)cc2F)cn1 ZINC001296322389 1073785499 /nfs/dbraw/zinc/78/54/99/1073785499.db2.gz APGMNVSLLJLUCA-KUNJGFBQSA-N 0 0 429.499 -0.049 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CNc2ccc(S(N)(=O)=O)cc2F)cn1 ZINC001296322390 1073785606 /nfs/dbraw/zinc/78/56/06/1073785606.db2.gz APGMNVSLLJLUCA-QZXCRCNTSA-N 0 0 429.499 -0.049 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2C(=O)CNc2ccc(S(N)(=O)=O)cc2F)cn1 ZINC001296322391 1073785667 /nfs/dbraw/zinc/78/56/67/1073785667.db2.gz APGMNVSLLJLUCA-RXAIFQJESA-N 0 0 429.499 -0.049 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2C(=O)CNc2ccc(S(N)(=O)=O)cc2F)cn1 ZINC001296322392 1073785486 /nfs/dbraw/zinc/78/54/86/1073785486.db2.gz APGMNVSLLJLUCA-YVORESIASA-N 0 0 429.499 -0.049 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(COCC)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001296324273 1073785643 /nfs/dbraw/zinc/78/56/43/1073785643.db2.gz ISRYMXUCUUSHHD-UHFFFAOYSA-N 0 0 431.563 -0.006 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2COCCO2)C1 ZINC001296324698 1073786028 /nfs/dbraw/zinc/78/60/28/1073786028.db2.gz CTQGUMHZHPRLDE-GJZGRUSLSA-N 0 0 442.542 -0.553 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2COCCO2)C1 ZINC001296324857 1073786084 /nfs/dbraw/zinc/78/60/84/1073786084.db2.gz CTQGUMHZHPRLDE-LSDHHAIUSA-N 0 0 442.542 -0.553 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nncn2CC)C1 ZINC001296325901 1073786108 /nfs/dbraw/zinc/78/61/08/1073786108.db2.gz MSYBWCRNRAXDIA-CYBMUJFWSA-N 0 0 439.546 -0.406 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nncn2CC)C1 ZINC001296325903 1073786055 /nfs/dbraw/zinc/78/60/55/1073786055.db2.gz MSYBWCRNRAXDIA-ZDUSSCGKSA-N 0 0 439.546 -0.406 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2cnn(C)c2)C1 ZINC001296326497 1073786020 /nfs/dbraw/zinc/78/60/20/1073786020.db2.gz QHKPDGVDQWSTGQ-HNNXBMFYSA-N 0 0 436.542 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2cnn(C)c2)C1 ZINC001296326499 1073786052 /nfs/dbraw/zinc/78/60/52/1073786052.db2.gz QHKPDGVDQWSTGQ-OAHLLOKOSA-N 0 0 436.542 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(OC)CCOC2)C1 ZINC001296327002 1073786070 /nfs/dbraw/zinc/78/60/70/1073786070.db2.gz UNIIBCKVFMLOFX-KBXCAEBGSA-N 0 0 444.558 -0.260 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(OC)CCOC2)C1 ZINC001296327003 1073786113 /nfs/dbraw/zinc/78/61/13/1073786113.db2.gz UNIIBCKVFMLOFX-KDOFPFPSSA-N 0 0 444.558 -0.260 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(OC)CCOC2)C1 ZINC001296327004 1073786074 /nfs/dbraw/zinc/78/60/74/1073786074.db2.gz UNIIBCKVFMLOFX-KSSFIOAISA-N 0 0 444.558 -0.260 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(OC)CCOC2)C1 ZINC001296327005 1073786012 /nfs/dbraw/zinc/78/60/12/1073786012.db2.gz UNIIBCKVFMLOFX-RDTXWAMCSA-N 0 0 444.558 -0.260 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2COCCO2)C1 ZINC001296327331 1073786066 /nfs/dbraw/zinc/78/60/66/1073786066.db2.gz VHZOJLRHVWDVKA-KBPBESRZSA-N 0 0 430.531 -0.650 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2COCCO2)C1 ZINC001296327332 1073786023 /nfs/dbraw/zinc/78/60/23/1073786023.db2.gz VHZOJLRHVWDVKA-UONOGXRCSA-N 0 0 430.531 -0.650 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCOC2)C1 ZINC001296334808 1073786100 /nfs/dbraw/zinc/78/61/00/1073786100.db2.gz BAKLESADLZFJOS-PBHICJAKSA-N 0 0 425.515 -0.652 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCOC2)C1 ZINC001296334809 1073786060 /nfs/dbraw/zinc/78/60/60/1073786060.db2.gz BAKLESADLZFJOS-RHSMWYFYSA-N 0 0 425.515 -0.652 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCOC2)C1 ZINC001296334810 1073786031 /nfs/dbraw/zinc/78/60/31/1073786031.db2.gz BAKLESADLZFJOS-WMLDXEAASA-N 0 0 425.515 -0.652 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCOC2)C1 ZINC001296334811 1073786049 /nfs/dbraw/zinc/78/60/49/1073786049.db2.gz BAKLESADLZFJOS-YOEHRIQHSA-N 0 0 425.515 -0.652 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cnccn3)n2CC2(O)CCOCC2)C1 ZINC001296335428 1073786045 /nfs/dbraw/zinc/78/60/45/1073786045.db2.gz GPNKPIGDOQTADD-AWEZNQCLSA-N 0 0 437.526 -0.205 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2CC2(O)CCOCC2)C1 ZINC001296335429 1073786078 /nfs/dbraw/zinc/78/60/78/1073786078.db2.gz GPNKPIGDOQTADD-CQSZACIVSA-N 0 0 437.526 -0.205 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cccn3C)n2CCS(=O)(=O)NC)C1 ZINC001296336449 1073786504 /nfs/dbraw/zinc/78/65/04/1073786504.db2.gz JWYMXAMCIVIBMA-CYBMUJFWSA-N 0 0 445.571 -0.649 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cccn3C)n2CCS(=O)(=O)NC)C1 ZINC001296336451 1073786659 /nfs/dbraw/zinc/78/66/59/1073786659.db2.gz JWYMXAMCIVIBMA-ZDUSSCGKSA-N 0 0 445.571 -0.649 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(Cc3cccnc3)n2C[C@@]2(O)CCOC2)C1 ZINC001296337004 1073786669 /nfs/dbraw/zinc/78/66/69/1073786669.db2.gz DFFLDVBDHVZOHM-APWZRJJASA-N 0 0 436.538 -0.067 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(Cc3cccnc3)n2C[C@@]2(O)CCOC2)C1 ZINC001296337008 1073786617 /nfs/dbraw/zinc/78/66/17/1073786617.db2.gz DFFLDVBDHVZOHM-LPHOPBHVSA-N 0 0 436.538 -0.067 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(Cc3cccnc3)n2C[C@]2(O)CCOC2)C1 ZINC001296337010 1073786607 /nfs/dbraw/zinc/78/66/07/1073786607.db2.gz DFFLDVBDHVZOHM-QFBILLFUSA-N 0 0 436.538 -0.067 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(Cc3cccnc3)n2C[C@]2(O)CCOC2)C1 ZINC001296337013 1073786652 /nfs/dbraw/zinc/78/66/52/1073786652.db2.gz DFFLDVBDHVZOHM-VQIMIIECSA-N 0 0 436.538 -0.067 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2COCCO2)C1 ZINC001296337055 1073786627 /nfs/dbraw/zinc/78/66/27/1073786627.db2.gz DWVIYHLWVLNGIO-GJZGRUSLSA-N 0 0 442.542 -0.666 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2COCCO2)C1 ZINC001296337061 1073786526 /nfs/dbraw/zinc/78/65/26/1073786526.db2.gz DWVIYHLWVLNGIO-LSDHHAIUSA-N 0 0 442.542 -0.666 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2Cc2nncn2C)C1 ZINC001296337460 1073786454 /nfs/dbraw/zinc/78/64/54/1073786454.db2.gz FBXLOWWXGCTBMK-CYBMUJFWSA-N 0 0 437.530 -0.905 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2Cc2nncn2C)C1 ZINC001296337461 1073786683 /nfs/dbraw/zinc/78/66/83/1073786683.db2.gz FBXLOWWXGCTBMK-ZDUSSCGKSA-N 0 0 437.530 -0.905 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCn2ccnn2)C1 ZINC001296337810 1073786644 /nfs/dbraw/zinc/78/66/44/1073786644.db2.gz HYMOKFIQSQANHF-KBPBESRZSA-N 0 0 437.530 -0.571 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCn2ccnn2)C1 ZINC001296337811 1073786494 /nfs/dbraw/zinc/78/64/94/1073786494.db2.gz HYMOKFIQSQANHF-KGLIPLIRSA-N 0 0 437.530 -0.571 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCn2ccnn2)C1 ZINC001296337812 1073786677 /nfs/dbraw/zinc/78/66/77/1073786677.db2.gz HYMOKFIQSQANHF-UONOGXRCSA-N 0 0 437.530 -0.571 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCn2ccnn2)C1 ZINC001296337813 1073786635 /nfs/dbraw/zinc/78/66/35/1073786635.db2.gz HYMOKFIQSQANHF-ZIAGYGMSSA-N 0 0 437.530 -0.571 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCO[C@H]2C)C1 ZINC001296337844 1073786537 /nfs/dbraw/zinc/78/65/37/1073786537.db2.gz IUYNVUDHIJJKIH-AHXJXLJNSA-N 0 0 442.542 -0.713 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCO[C@H]2C)C1 ZINC001296337845 1073786481 /nfs/dbraw/zinc/78/64/81/1073786481.db2.gz IUYNVUDHIJJKIH-MOROJQBDSA-N 0 0 442.542 -0.713 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCO[C@@H]2C)C1 ZINC001296337846 1073786469 /nfs/dbraw/zinc/78/64/69/1073786469.db2.gz IUYNVUDHIJJKIH-ZUMXRPEOSA-N 0 0 442.542 -0.713 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCO[C@@H]2C)C1 ZINC001296337847 1073786594 /nfs/dbraw/zinc/78/65/94/1073786594.db2.gz IUYNVUDHIJJKIH-ZXTQRBJTSA-N 0 0 442.542 -0.713 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cccnc3)n2CCNS(C)(=O)=O)C1 ZINC001296338118 1073787049 /nfs/dbraw/zinc/78/70/49/1073787049.db2.gz NDTVPRLVUUNDIG-AWEZNQCLSA-N 0 0 443.555 -0.593 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cccnc3)n2CCNS(C)(=O)=O)C1 ZINC001296338119 1073787062 /nfs/dbraw/zinc/78/70/62/1073787062.db2.gz NDTVPRLVUUNDIG-CQSZACIVSA-N 0 0 443.555 -0.593 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cnccn3)n2CCNC(=O)C2CC2)C1 ZINC001296338834 1073787144 /nfs/dbraw/zinc/78/71/44/1073787144.db2.gz PKHPOJYLRGAZGC-AWEZNQCLSA-N 0 0 434.526 -0.221 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2CCNC(=O)C2CC2)C1 ZINC001296338837 1073787184 /nfs/dbraw/zinc/78/71/84/1073787184.db2.gz PKHPOJYLRGAZGC-CQSZACIVSA-N 0 0 434.526 -0.221 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NC(C)C)C1 ZINC001296338989 1073787121 /nfs/dbraw/zinc/78/71/21/1073787121.db2.gz MXFRPYKMCITGBR-AWEZNQCLSA-N 0 0 441.558 -0.557 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NC(C)C)C1 ZINC001296338990 1073787100 /nfs/dbraw/zinc/78/71/00/1073787100.db2.gz MXFRPYKMCITGBR-CQSZACIVSA-N 0 0 441.558 -0.557 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CC(C)(C)C)n2CCNS(C)(=O)=O)C1 ZINC001296339478 1073787134 /nfs/dbraw/zinc/78/71/34/1073787134.db2.gz GRJAZBKMMOTHAN-CYBMUJFWSA-N 0 0 436.604 -0.066 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CC(C)(C)C)n2CCNS(C)(=O)=O)C1 ZINC001296339479 1073787079 /nfs/dbraw/zinc/78/70/79/1073787079.db2.gz GRJAZBKMMOTHAN-ZDUSSCGKSA-N 0 0 436.604 -0.066 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCO[C@@H]2C)C1 ZINC001296339592 1073787171 /nfs/dbraw/zinc/78/71/71/1073787171.db2.gz MEWXMWGXNAVCIH-ADAWSYLGSA-N 0 0 429.543 -0.160 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCO[C@@H]2C)C1 ZINC001296339594 1073787191 /nfs/dbraw/zinc/78/71/91/1073787191.db2.gz MEWXMWGXNAVCIH-ATNYBXOESA-N 0 0 429.543 -0.160 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCO[C@H]2C)C1 ZINC001296339596 1073787167 /nfs/dbraw/zinc/78/71/67/1073787167.db2.gz MEWXMWGXNAVCIH-BORJPKMPSA-N 0 0 429.543 -0.160 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCO[C@H]2C)C1 ZINC001296339599 1073787179 /nfs/dbraw/zinc/78/71/79/1073787179.db2.gz MEWXMWGXNAVCIH-LUXYFRNMSA-N 0 0 429.543 -0.160 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@](C)(O)C2CC2)C1 ZINC001296339667 1073787069 /nfs/dbraw/zinc/78/70/69/1073787069.db2.gz MQNMEELBPDMSQA-GLJUWKHASA-N 0 0 426.543 -0.092 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@](C)(O)C2CC2)C1 ZINC001296339668 1073787197 /nfs/dbraw/zinc/78/71/97/1073787197.db2.gz MQNMEELBPDMSQA-HBUWYVDXSA-N 0 0 426.543 -0.092 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@](C)(O)C2CC2)C1 ZINC001296339670 1073787186 /nfs/dbraw/zinc/78/71/86/1073787186.db2.gz MQNMEELBPDMSQA-LBTNJELSSA-N 0 0 426.543 -0.092 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@](C)(O)C2CC2)C1 ZINC001296339672 1073787127 /nfs/dbraw/zinc/78/71/27/1073787127.db2.gz MQNMEELBPDMSQA-QWQRMKEZSA-N 0 0 426.543 -0.092 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(Cc3ccncc3)n2Cc2cnnn2C)C1 ZINC001296339812 1073787116 /nfs/dbraw/zinc/78/71/16/1073787116.db2.gz QYHLMOBXQLSMSN-INIZCTEOSA-N 0 0 431.526 -0.041 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(Cc3ccncc3)n2Cc2cnnn2C)C1 ZINC001296339815 1073787108 /nfs/dbraw/zinc/78/71/08/1073787108.db2.gz QYHLMOBXQLSMSN-MRXNPFEDSA-N 0 0 431.526 -0.041 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001296342197 1073787712 /nfs/dbraw/zinc/78/77/12/1073787712.db2.gz RPARRXVBXJJLFB-CAOSSQGBSA-N 0 0 429.543 -0.160 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001296342198 1073787543 /nfs/dbraw/zinc/78/75/43/1073787543.db2.gz RPARRXVBXJJLFB-JONQDZQNSA-N 0 0 429.543 -0.160 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001296342199 1073787628 /nfs/dbraw/zinc/78/76/28/1073787628.db2.gz RPARRXVBXJJLFB-QKPAOTATSA-N 0 0 429.543 -0.160 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001296342200 1073787520 /nfs/dbraw/zinc/78/75/20/1073787520.db2.gz RPARRXVBXJJLFB-QXSJWSMHSA-N 0 0 429.543 -0.160 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CCO[C@@H]1C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001296367199 1073787667 /nfs/dbraw/zinc/78/76/67/1073787667.db2.gz HGPRTGIXKYCFHS-OCCSQVGLSA-N 0 0 429.499 -0.075 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccs1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001296368213 1073787560 /nfs/dbraw/zinc/78/75/60/1073787560.db2.gz MPBBBCSHIIYJLB-UHFFFAOYSA-N 0 0 433.537 -0.327 20 0 IBADRN O=C(c1ccc(N2NC(=O)CCC2=O)cc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001296369293 1073787692 /nfs/dbraw/zinc/78/76/92/1073787692.db2.gz RVVLBTFSLDRRRA-UHFFFAOYSA-N 0 0 432.462 -0.286 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@@H](N3CCCC3=O)C2)c1 ZINC001296369454 1073787659 /nfs/dbraw/zinc/78/76/59/1073787659.db2.gz UEYHQTIYXAIGBJ-CYBMUJFWSA-N 0 0 429.520 -0.408 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@H](N3CCCC3=O)C2)c1 ZINC001296369457 1073787586 /nfs/dbraw/zinc/78/75/86/1073787586.db2.gz UEYHQTIYXAIGBJ-ZDUSSCGKSA-N 0 0 429.520 -0.408 20 0 IBADRN CCNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001296370118 1073787718 /nfs/dbraw/zinc/78/77/18/1073787718.db2.gz WYRBSICSHXDOHX-UHFFFAOYSA-N 0 0 427.508 -0.145 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)CC)CC1 ZINC001296374529 1073787727 /nfs/dbraw/zinc/78/77/27/1073787727.db2.gz CFIBIANCUQRZOD-CYBMUJFWSA-N 0 0 428.515 -0.058 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)CC)CC1 ZINC001296374532 1073787684 /nfs/dbraw/zinc/78/76/84/1073787684.db2.gz CFIBIANCUQRZOD-ZDUSSCGKSA-N 0 0 428.515 -0.058 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(=O)(=O)CC)CC1 ZINC001296377694 1073787673 /nfs/dbraw/zinc/78/76/73/1073787673.db2.gz WOLQUYNAOKRVIH-CYBMUJFWSA-N 0 0 443.530 -0.553 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(=O)(=O)CC)CC1 ZINC001296377706 1073787602 /nfs/dbraw/zinc/78/76/02/1073787602.db2.gz WOLQUYNAOKRVIH-ZDUSSCGKSA-N 0 0 443.530 -0.553 20 0 IBADRN CCOC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001296435615 1073787721 /nfs/dbraw/zinc/78/77/21/1073787721.db2.gz CJRNIHLCVNFLGV-CHWSQXEVSA-N 0 0 429.547 -0.083 20 0 IBADRN CCOC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001296435616 1073787614 /nfs/dbraw/zinc/78/76/14/1073787614.db2.gz CJRNIHLCVNFLGV-OLZOCXBDSA-N 0 0 429.547 -0.083 20 0 IBADRN CCOC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001296435617 1073787654 /nfs/dbraw/zinc/78/76/54/1073787654.db2.gz CJRNIHLCVNFLGV-QWHCGFSZSA-N 0 0 429.547 -0.083 20 0 IBADRN CCOC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001296435618 1073787678 /nfs/dbraw/zinc/78/76/78/1073787678.db2.gz CJRNIHLCVNFLGV-STQMWFEESA-N 0 0 429.547 -0.083 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001296437017 1073788250 /nfs/dbraw/zinc/78/82/50/1073788250.db2.gz RTINQHQMARZEQV-DLBZAZTESA-N 0 0 426.491 -0.030 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001296437019 1073788197 /nfs/dbraw/zinc/78/81/97/1073788197.db2.gz RTINQHQMARZEQV-IAGOWNOFSA-N 0 0 426.491 -0.030 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001296437021 1073788126 /nfs/dbraw/zinc/78/81/26/1073788126.db2.gz RTINQHQMARZEQV-IRXDYDNUSA-N 0 0 426.491 -0.030 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001296437022 1073788205 /nfs/dbraw/zinc/78/82/05/1073788205.db2.gz RTINQHQMARZEQV-SJORKVTESA-N 0 0 426.491 -0.030 20 0 IBADRN CCn1c(Br)ncc1C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001296447428 1073788177 /nfs/dbraw/zinc/78/81/77/1073788177.db2.gz BCZRHLVPZVXJSC-UHFFFAOYSA-N 0 0 426.275 -0.232 20 0 IBADRN Cc1c(Br)cnn1CC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001296447836 1073788221 /nfs/dbraw/zinc/78/82/21/1073788221.db2.gz IGOGUUXVPFQPKM-UHFFFAOYSA-N 0 0 426.275 -0.557 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@]1(Cc3ccccc3)CCN(C)C1=O)C2 ZINC001296448769 1073788140 /nfs/dbraw/zinc/78/81/40/1073788140.db2.gz OVXCPPPUXBNMFM-JOCHJYFZSA-N 0 0 440.504 -0.434 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@]1(Cc3ccccc3)CCN(C)C1=O)C2 ZINC001296448770 1073788079 /nfs/dbraw/zinc/78/80/79/1073788079.db2.gz OVXCPPPUXBNMFM-QFIPXVFZSA-N 0 0 440.504 -0.434 20 0 IBADRN Cc1c(Br)c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nn1C ZINC001296448785 1073788167 /nfs/dbraw/zinc/78/81/67/1073788167.db2.gz PKIOTRAADXNIMS-UHFFFAOYSA-N 0 0 426.275 -0.407 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCc1ccc(S(C)(=O)=O)cc1)C2 ZINC001296449125 1073788061 /nfs/dbraw/zinc/78/80/61/1073788061.db2.gz VFYWUHSGFNRQAE-UHFFFAOYSA-N 0 0 435.506 -0.489 20 0 IBADRN CCOC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1 ZINC001296457940 1073788151 /nfs/dbraw/zinc/78/81/51/1073788151.db2.gz INDNYGYVRZNDKE-CYBMUJFWSA-N 0 0 430.531 -0.638 20 0 IBADRN CCOC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1 ZINC001296457943 1073788211 /nfs/dbraw/zinc/78/82/11/1073788211.db2.gz INDNYGYVRZNDKE-ZDUSSCGKSA-N 0 0 430.531 -0.638 20 0 IBADRN CCOC(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCNS(C)(=O)=O ZINC001296459443 1073788183 /nfs/dbraw/zinc/78/81/83/1073788183.db2.gz QZAJZYJHIDHZQL-CYBMUJFWSA-N 0 0 437.588 -0.019 20 0 IBADRN CCOC(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCNS(C)(=O)=O ZINC001296459444 1073788112 /nfs/dbraw/zinc/78/81/12/1073788112.db2.gz QZAJZYJHIDHZQL-ZDUSSCGKSA-N 0 0 437.588 -0.019 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC001296511199 1073788218 /nfs/dbraw/zinc/78/82/18/1073788218.db2.gz WBVPHITYSHMHJO-GOSISDBHSA-N 0 0 434.522 -0.781 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC001296511214 1073788190 /nfs/dbraw/zinc/78/81/90/1073788190.db2.gz WBVPHITYSHMHJO-SFHVURJKSA-N 0 0 434.522 -0.781 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CC(=O)N3CCOCC3)n2C[C@H]2COCCO2)CCOC1 ZINC001296527623 1073788093 /nfs/dbraw/zinc/78/80/93/1073788093.db2.gz SWQMKNBCNXCZTJ-JXFKEZNVSA-N 0 0 439.513 -0.359 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CC(=O)N3CCOCC3)n2C[C@H]2COCCO2)CCOC1 ZINC001296527624 1073788230 /nfs/dbraw/zinc/78/82/30/1073788230.db2.gz SWQMKNBCNXCZTJ-OXJNMPFZSA-N 0 0 439.513 -0.359 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CC(=O)N3CCOCC3)n2CCS(C)(=O)=O)CCOC1 ZINC001296528045 1073788240 /nfs/dbraw/zinc/78/82/40/1073788240.db2.gz XPDCIZNPMRBFCZ-GOSISDBHSA-N 0 0 445.542 -0.730 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CC(=O)N3CCOCC3)n2CCS(C)(=O)=O)CCOC1 ZINC001296528046 1073788256 /nfs/dbraw/zinc/78/82/56/1073788256.db2.gz XPDCIZNPMRBFCZ-SFHVURJKSA-N 0 0 445.542 -0.730 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)Cc3cnn(C)c3)n2CCS(=O)(=O)NC)CCOC1 ZINC001296546754 1073788762 /nfs/dbraw/zinc/78/87/62/1073788762.db2.gz VAJNLMJKBCRLSD-KRWDZBQOSA-N 0 0 427.531 -0.151 20 0 IBADRN CCO[C@]1(c2nnc(N(C)Cc3cnn(C)c3)n2CCS(=O)(=O)NC)CCOC1 ZINC001296546755 1073788844 /nfs/dbraw/zinc/78/88/44/1073788844.db2.gz VAJNLMJKBCRLSD-QGZVFWFLSA-N 0 0 427.531 -0.151 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@H]2COCCO2)CCOC1 ZINC001296559212 1073788786 /nfs/dbraw/zinc/78/87/86/1073788786.db2.gz LCNQAKUVHQEWBB-PBHICJAKSA-N 0 0 433.531 -0.669 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@H]2COCCO2)CCOC1 ZINC001296559213 1073788829 /nfs/dbraw/zinc/78/88/29/1073788829.db2.gz LCNQAKUVHQEWBB-RHSMWYFYSA-N 0 0 433.531 -0.669 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@H]2COCCO2)CCOC1 ZINC001296559214 1073788826 /nfs/dbraw/zinc/78/88/26/1073788826.db2.gz LCNQAKUVHQEWBB-WMLDXEAASA-N 0 0 433.531 -0.669 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@H]2COCCO2)CCOC1 ZINC001296559215 1073788776 /nfs/dbraw/zinc/78/87/76/1073788776.db2.gz LCNQAKUVHQEWBB-YOEHRIQHSA-N 0 0 433.531 -0.669 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCCOC)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001296562041 1073788716 /nfs/dbraw/zinc/78/87/16/1073788716.db2.gz SAQOFRTUGTUXLN-MSOLQXFVSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCCOC)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001296562042 1073788678 /nfs/dbraw/zinc/78/86/78/1073788678.db2.gz SAQOFRTUGTUXLN-QZTJIDSGSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCCOC)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001296562043 1073788801 /nfs/dbraw/zinc/78/88/01/1073788801.db2.gz SAQOFRTUGTUXLN-ROUUACIJSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCCOC)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001296562044 1073788851 /nfs/dbraw/zinc/78/88/51/1073788851.db2.gz SAQOFRTUGTUXLN-ZWKOTPCHSA-N 0 0 432.543 -0.048 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCO[C@H](CNC(=O)OC(C)(C)C)C3)c2S1(=O)=O ZINC001296570676 1073788754 /nfs/dbraw/zinc/78/87/54/1073788754.db2.gz FLTPDCQOAAYSRC-GFCCVEGCSA-N 0 0 429.499 -0.117 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCO[C@@H](CNC(=O)OC(C)(C)C)C3)c2S1(=O)=O ZINC001296570677 1073788817 /nfs/dbraw/zinc/78/88/17/1073788817.db2.gz FLTPDCQOAAYSRC-LBPRGKRZSA-N 0 0 429.499 -0.117 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001296571373 1073788702 /nfs/dbraw/zinc/78/87/02/1073788702.db2.gz VFWADYUMPSZLBG-FOIQADDNSA-N 0 0 436.513 -0.544 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001296571374 1073788648 /nfs/dbraw/zinc/78/86/48/1073788648.db2.gz VFWADYUMPSZLBG-MGPUTAFESA-N 0 0 436.513 -0.544 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001296571375 1073788856 /nfs/dbraw/zinc/78/88/56/1073788856.db2.gz VFWADYUMPSZLBG-QRWLVFNGSA-N 0 0 436.513 -0.544 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001296571376 1073788744 /nfs/dbraw/zinc/78/87/44/1073788744.db2.gz VFWADYUMPSZLBG-YWZLYKJASA-N 0 0 436.513 -0.544 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC(C(=O)OC)CC3)n2CCS(=O)(=O)NC)CCOC1 ZINC001296587948 1073789344 /nfs/dbraw/zinc/78/93/44/1073789344.db2.gz LRQQTLMCDWKPDC-GOSISDBHSA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC(C(=O)OC)CC3)n2CCS(=O)(=O)NC)CCOC1 ZINC001296587949 1073789247 /nfs/dbraw/zinc/78/92/47/1073789247.db2.gz LRQQTLMCDWKPDC-SFHVURJKSA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2CCN2CCN(C(C)=O)CC2)CCOC1 ZINC001296588790 1073789286 /nfs/dbraw/zinc/78/92/86/1073789286.db2.gz QYWZZGLOVNNMDN-DYESRHJHSA-N 0 0 449.556 -0.594 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2CCN2CCN(C(C)=O)CC2)CCOC1 ZINC001296588791 1073789274 /nfs/dbraw/zinc/78/92/74/1073789274.db2.gz QYWZZGLOVNNMDN-LAUBAEHRSA-N 0 0 449.556 -0.594 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2CCN2CCN(C(C)=O)CC2)CCOC1 ZINC001296588792 1073789388 /nfs/dbraw/zinc/78/93/88/1073789388.db2.gz QYWZZGLOVNNMDN-UTKZUKDTSA-N 0 0 449.556 -0.594 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2CCN2CCN(C(C)=O)CC2)CCOC1 ZINC001296588793 1073789308 /nfs/dbraw/zinc/78/93/08/1073789308.db2.gz QYWZZGLOVNNMDN-UWJYYQICSA-N 0 0 449.556 -0.594 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2CC(=O)NCC(F)(F)F)CCOC1 ZINC001296589455 1073789194 /nfs/dbraw/zinc/78/91/94/1073789194.db2.gz UYSVOCYBBCPTKD-BDJLRTHQSA-N 0 0 434.419 -0.080 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2CC(=O)NCC(F)(F)F)CCOC1 ZINC001296589456 1073789300 /nfs/dbraw/zinc/78/93/00/1073789300.db2.gz UYSVOCYBBCPTKD-BZNIZROVSA-N 0 0 434.419 -0.080 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2CC(=O)NCC(F)(F)F)CCOC1 ZINC001296589457 1073789351 /nfs/dbraw/zinc/78/93/51/1073789351.db2.gz UYSVOCYBBCPTKD-MEDUHNTESA-N 0 0 434.419 -0.080 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2CC(=O)NCC(F)(F)F)CCOC1 ZINC001296589458 1073789364 /nfs/dbraw/zinc/78/93/64/1073789364.db2.gz UYSVOCYBBCPTKD-ZBEGNZNMSA-N 0 0 434.419 -0.080 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC(NS(C)(=O)=O)CC3)n2CCC(=O)NC)CCOC1 ZINC001296612500 1073789162 /nfs/dbraw/zinc/78/91/62/1073789162.db2.gz JVRJUIVKEROCPE-GOSISDBHSA-N 0 0 444.558 -0.416 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC(NS(C)(=O)=O)CC3)n2CCC(=O)NC)CCOC1 ZINC001296612502 1073789359 /nfs/dbraw/zinc/78/93/59/1073789359.db2.gz JVRJUIVKEROCPE-SFHVURJKSA-N 0 0 444.558 -0.416 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC(OCC(N)=O)CC3)n2CCC(=O)N(C)C)CCOC1 ZINC001296614060 1073789205 /nfs/dbraw/zinc/78/92/05/1073789205.db2.gz KFYDLMJRRXSPGW-FQEVSTJZSA-N 0 0 438.529 -0.121 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC(OCC(N)=O)CC3)n2CCC(=O)N(C)C)CCOC1 ZINC001296614061 1073789177 /nfs/dbraw/zinc/78/91/77/1073789177.db2.gz KFYDLMJRRXSPGW-HXUWFJFHSA-N 0 0 438.529 -0.121 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC(NS(C)(=O)=O)CC3)n2CC(=O)N(C)C)CCOC1 ZINC001296614255 1073789324 /nfs/dbraw/zinc/78/93/24/1073789324.db2.gz SOTHVHODWNXIRM-GOSISDBHSA-N 0 0 444.558 -0.464 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC(NS(C)(=O)=O)CC3)n2CC(=O)N(C)C)CCOC1 ZINC001296614260 1073789237 /nfs/dbraw/zinc/78/92/37/1073789237.db2.gz SOTHVHODWNXIRM-SFHVURJKSA-N 0 0 444.558 -0.464 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@]4(CCC(=O)N4)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001296614548 1073789864 /nfs/dbraw/zinc/78/98/64/1073789864.db2.gz MXEIBSOBKXIMQU-MSOLQXFVSA-N 0 0 427.527 -0.166 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@]4(CCC(=O)N4)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001296614549 1073789776 /nfs/dbraw/zinc/78/97/76/1073789776.db2.gz MXEIBSOBKXIMQU-QZTJIDSGSA-N 0 0 427.527 -0.166 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@]4(CCC(=O)N4)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001296614550 1073789839 /nfs/dbraw/zinc/78/98/39/1073789839.db2.gz MXEIBSOBKXIMQU-ROUUACIJSA-N 0 0 427.527 -0.166 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@]4(CCC(=O)N4)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001296614551 1073789847 /nfs/dbraw/zinc/78/98/47/1073789847.db2.gz MXEIBSOBKXIMQU-ZWKOTPCHSA-N 0 0 427.527 -0.166 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@@H](NC(C)=O)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296628796 1073789708 /nfs/dbraw/zinc/78/97/08/1073789708.db2.gz IQTHJHGELJDKRV-CRAIPNDOSA-N 0 0 444.558 -0.416 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@H](NC(C)=O)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296628797 1073789854 /nfs/dbraw/zinc/78/98/54/1073789854.db2.gz IQTHJHGELJDKRV-MAUKXSAKSA-N 0 0 444.558 -0.416 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@@H](NC(C)=O)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296628798 1073789822 /nfs/dbraw/zinc/78/98/22/1073789822.db2.gz IQTHJHGELJDKRV-QAPCUYQASA-N 0 0 444.558 -0.416 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@H](NC(C)=O)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296628799 1073789806 /nfs/dbraw/zinc/78/98/06/1073789806.db2.gz IQTHJHGELJDKRV-YJBOKZPZSA-N 0 0 444.558 -0.416 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2CCCS(C)(=O)=O)CCOC1 ZINC001296646815 1073789783 /nfs/dbraw/zinc/78/97/83/1073789783.db2.gz DMPAVNZGSGGIKU-GOSISDBHSA-N 0 0 444.558 -0.174 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2CCCS(C)(=O)=O)CCOC1 ZINC001296646816 1073789739 /nfs/dbraw/zinc/78/97/39/1073789739.db2.gz DMPAVNZGSGGIKU-SFHVURJKSA-N 0 0 444.558 -0.174 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2CC2(O)CCOCC2)CCOC1 ZINC001296646821 1073789813 /nfs/dbraw/zinc/78/98/13/1073789813.db2.gz DOXOFCNUPYUJIY-FQEVSTJZSA-N 0 0 438.529 -0.067 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2CC2(O)CCOCC2)CCOC1 ZINC001296646822 1073789716 /nfs/dbraw/zinc/78/97/16/1073789716.db2.gz DOXOFCNUPYUJIY-HXUWFJFHSA-N 0 0 438.529 -0.067 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2C[C@@H]2CC[C@H](CO)O2)CCOC1 ZINC001296647327 1073789860 /nfs/dbraw/zinc/78/98/60/1073789860.db2.gz KMTADJZZQXSOIT-RZQQEMMASA-N 0 0 438.529 -0.069 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2C[C@@H]2CC[C@H](CO)O2)CCOC1 ZINC001296647328 1073789870 /nfs/dbraw/zinc/78/98/70/1073789870.db2.gz KMTADJZZQXSOIT-YRNRMSPPSA-N 0 0 438.529 -0.069 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)COC)CC3)n2CCc2n[nH]c(C)n2)CCOC1 ZINC001296648169 1073789751 /nfs/dbraw/zinc/78/97/51/1073789751.db2.gz PEZOJSRBLSRXCA-FQEVSTJZSA-N 0 0 448.528 -0.106 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)COC)CC3)n2CCc2n[nH]c(C)n2)CCOC1 ZINC001296648171 1073789790 /nfs/dbraw/zinc/78/97/90/1073789790.db2.gz PEZOJSRBLSRXCA-HXUWFJFHSA-N 0 0 448.528 -0.106 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)OC)CC3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296648627 1073789724 /nfs/dbraw/zinc/78/97/24/1073789724.db2.gz RDNWOVCGOGGGNB-KRWDZBQOSA-N 0 0 446.530 -0.632 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)OC)CC3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296648631 1073789772 /nfs/dbraw/zinc/78/97/72/1073789772.db2.gz RDNWOVCGOGGGNB-QGZVFWFLSA-N 0 0 446.530 -0.632 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(c4cnn(C)c4)C(=O)C3)n2CC(=O)N(C)C)CCOC1 ZINC001296649606 1073789731 /nfs/dbraw/zinc/78/97/31/1073789731.db2.gz HZDGPIJYVBLDAX-FQEVSTJZSA-N 0 0 446.512 -0.395 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(c4cnn(C)c4)C(=O)C3)n2CC(=O)N(C)C)CCOC1 ZINC001296649607 1073789831 /nfs/dbraw/zinc/78/98/31/1073789831.db2.gz HZDGPIJYVBLDAX-HXUWFJFHSA-N 0 0 446.512 -0.395 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)COC)CC3)n2CCC(=O)N(C)C)CCOC1 ZINC001296651092 1073790370 /nfs/dbraw/zinc/79/03/70/1073790370.db2.gz ZRCAHMHSHVJVBA-FQEVSTJZSA-N 0 0 438.529 -0.297 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)COC)CC3)n2CCC(=O)N(C)C)CCOC1 ZINC001296651093 1073790501 /nfs/dbraw/zinc/79/05/01/1073790501.db2.gz ZRCAHMHSHVJVBA-HXUWFJFHSA-N 0 0 438.529 -0.297 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCS(=O)(=O)NC)CCOC1 ZINC001296652848 1073790382 /nfs/dbraw/zinc/79/03/82/1073790382.db2.gz VMUZQIWXDBJZIV-CXAGYDPISA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCS(=O)(=O)NC)CCOC1 ZINC001296652849 1073790316 /nfs/dbraw/zinc/79/03/16/1073790316.db2.gz VMUZQIWXDBJZIV-DYVFJYSZSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCS(=O)(=O)NC)CCOC1 ZINC001296652850 1073790463 /nfs/dbraw/zinc/79/04/63/1073790463.db2.gz VMUZQIWXDBJZIV-GUYCJALGSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCS(=O)(=O)NC)CCOC1 ZINC001296652851 1073790392 /nfs/dbraw/zinc/79/03/92/1073790392.db2.gz VMUZQIWXDBJZIV-SUMWQHHRSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296652993 1073790558 /nfs/dbraw/zinc/79/05/58/1073790558.db2.gz XPYKCXKWOGQSER-JLTOFOAXSA-N 0 0 446.512 -0.250 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296653001 1073790566 /nfs/dbraw/zinc/79/05/66/1073790566.db2.gz XPYKCXKWOGQSER-VBKZILBWSA-N 0 0 446.512 -0.250 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296653004 1073790471 /nfs/dbraw/zinc/79/04/71/1073790471.db2.gz XPYKCXKWOGQSER-VLIAUNLRSA-N 0 0 446.512 -0.250 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296653006 1073790305 /nfs/dbraw/zinc/79/03/05/1073790305.db2.gz XPYKCXKWOGQSER-XOBRGWDASA-N 0 0 446.512 -0.250 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)C3)n2CC2(S(C)(=O)=O)CC2)CCOC1 ZINC001296655210 1073790525 /nfs/dbraw/zinc/79/05/25/1073790525.db2.gz GSSBVUKNUPOVPY-GOSISDBHSA-N 0 0 427.527 -0.214 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)C3)n2CC2(S(C)(=O)=O)CC2)CCOC1 ZINC001296655211 1073790326 /nfs/dbraw/zinc/79/03/26/1073790326.db2.gz GSSBVUKNUPOVPY-SFHVURJKSA-N 0 0 427.527 -0.214 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4CCOC[C@@H]4C3)n2CCOCCCO)CCOC1 ZINC001296660108 1073790535 /nfs/dbraw/zinc/79/05/35/1073790535.db2.gz CZALCIJNNNTBKO-FXAWDEMLSA-N 0 0 425.530 -0.150 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4CCOC[C@@H]4C3)n2CCOCCCO)CCOC1 ZINC001296660109 1073790406 /nfs/dbraw/zinc/79/04/06/1073790406.db2.gz CZALCIJNNNTBKO-PXNSSMCTSA-N 0 0 425.530 -0.150 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4CCOC[C@H]4C3)n2CCOCCCO)CCOC1 ZINC001296660110 1073790479 /nfs/dbraw/zinc/79/04/79/1073790479.db2.gz CZALCIJNNNTBKO-XLIONFOSSA-N 0 0 425.530 -0.150 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4CCOC[C@H]4C3)n2CCOCCCO)CCOC1 ZINC001296660111 1073790438 /nfs/dbraw/zinc/79/04/38/1073790438.db2.gz CZALCIJNNNTBKO-YLJYHZDGSA-N 0 0 425.530 -0.150 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)[C@H]3CC)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296660945 1073790512 /nfs/dbraw/zinc/79/05/12/1073790512.db2.gz HZCJJSPNRSWTAW-FOIQADDNSA-N 0 0 446.512 -0.202 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)[C@@H]3CC)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296660947 1073790261 /nfs/dbraw/zinc/79/02/61/1073790261.db2.gz HZCJJSPNRSWTAW-MGPUTAFESA-N 0 0 446.512 -0.202 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)[C@H]3CC)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296660949 1073790888 /nfs/dbraw/zinc/79/08/88/1073790888.db2.gz HZCJJSPNRSWTAW-QRWLVFNGSA-N 0 0 446.512 -0.202 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)[C@@H]3CC)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296660951 1073790935 /nfs/dbraw/zinc/79/09/35/1073790935.db2.gz HZCJJSPNRSWTAW-YWZLYKJASA-N 0 0 446.512 -0.202 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4CCOC[C@H]4C3)n2CCCS(C)(=O)=O)CCOC1 ZINC001296661121 1073791020 /nfs/dbraw/zinc/79/10/20/1073791020.db2.gz JLSHNIUUINJXJL-APWZRJJASA-N 0 0 443.570 -0.114 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4CCOC[C@@H]4C3)n2CCCS(C)(=O)=O)CCOC1 ZINC001296661123 1073790894 /nfs/dbraw/zinc/79/08/94/1073790894.db2.gz JLSHNIUUINJXJL-LPHOPBHVSA-N 0 0 443.570 -0.114 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4CCOC[C@@H]4C3)n2CCCS(C)(=O)=O)CCOC1 ZINC001296661125 1073790995 /nfs/dbraw/zinc/79/09/95/1073790995.db2.gz JLSHNIUUINJXJL-QFBILLFUSA-N 0 0 443.570 -0.114 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4CCOC[C@H]4C3)n2CCCS(C)(=O)=O)CCOC1 ZINC001296661127 1073790910 /nfs/dbraw/zinc/79/09/10/1073790910.db2.gz JLSHNIUUINJXJL-VQIMIIECSA-N 0 0 443.570 -0.114 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)C3)n2CC2(CS(C)(=O)=O)CC2)CCOC1 ZINC001296661212 1073791006 /nfs/dbraw/zinc/79/10/06/1073791006.db2.gz KMJLQBMDUPERJW-GOSISDBHSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)C3)n2CC2(CS(C)(=O)=O)CC2)CCOC1 ZINC001296661219 1073790928 /nfs/dbraw/zinc/79/09/28/1073790928.db2.gz KMJLQBMDUPERJW-SFHVURJKSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)CC[C@H]4C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296661271 1073791012 /nfs/dbraw/zinc/79/10/12/1073791012.db2.gz KVFLBFBYPKZNTF-KBXCAEBGSA-N 0 0 442.542 -0.710 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)CC[C@@H]4C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296661287 1073790898 /nfs/dbraw/zinc/79/08/98/1073790898.db2.gz KVFLBFBYPKZNTF-KDOFPFPSSA-N 0 0 442.542 -0.710 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)CC[C@H]4C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296661289 1073790921 /nfs/dbraw/zinc/79/09/21/1073790921.db2.gz KVFLBFBYPKZNTF-KSSFIOAISA-N 0 0 442.542 -0.710 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)CC[C@@H]4C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001296661291 1073790905 /nfs/dbraw/zinc/79/09/05/1073790905.db2.gz KVFLBFBYPKZNTF-RDTXWAMCSA-N 0 0 442.542 -0.710 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CCS(C)(=O)=O)CCOC1 ZINC001296662197 1073790881 /nfs/dbraw/zinc/79/08/81/1073790881.db2.gz PETYAAJKKUDTHJ-CXAGYDPISA-N 0 0 428.515 -0.811 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CCS(C)(=O)=O)CCOC1 ZINC001296662200 1073790965 /nfs/dbraw/zinc/79/09/65/1073790965.db2.gz PETYAAJKKUDTHJ-DYVFJYSZSA-N 0 0 428.515 -0.811 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CCS(C)(=O)=O)CCOC1 ZINC001296662202 1073790958 /nfs/dbraw/zinc/79/09/58/1073790958.db2.gz PETYAAJKKUDTHJ-GUYCJALGSA-N 0 0 428.515 -0.811 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CCS(C)(=O)=O)CCOC1 ZINC001296662205 1073790916 /nfs/dbraw/zinc/79/09/16/1073790916.db2.gz PETYAAJKKUDTHJ-SUMWQHHRSA-N 0 0 428.515 -0.811 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CC(=O)N(C)CC)CCOC1 ZINC001296663287 1073790941 /nfs/dbraw/zinc/79/09/41/1073790941.db2.gz VQRAFGSRLUXESA-GOSISDBHSA-N 0 0 444.558 -0.510 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CC(=O)N(C)CC)CCOC1 ZINC001296663299 1073790973 /nfs/dbraw/zinc/79/09/73/1073790973.db2.gz VQRAFGSRLUXESA-SFHVURJKSA-N 0 0 444.558 -0.510 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)CC3)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296664502 1073790980 /nfs/dbraw/zinc/79/09/80/1073790980.db2.gz NELCHYQYYYOPJU-IBGZPJMESA-N 0 0 432.485 -0.590 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)CC3)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001296664511 1073791584 /nfs/dbraw/zinc/79/15/84/1073791584.db2.gz NELCHYQYYYOPJU-LJQANCHMSA-N 0 0 432.485 -0.590 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2C[C@]2(O)CCSC2)CCOC1 ZINC001296664867 1073791612 /nfs/dbraw/zinc/79/16/12/1073791612.db2.gz POOZXHRMVHNGQX-GDIGMMSISA-N 0 0 441.554 -0.251 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2C[C@@]2(O)CCSC2)CCOC1 ZINC001296664870 1073791590 /nfs/dbraw/zinc/79/15/90/1073791590.db2.gz POOZXHRMVHNGQX-MDASCCDHSA-N 0 0 441.554 -0.251 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2C[C@]2(O)CCSC2)CCOC1 ZINC001296664871 1073791471 /nfs/dbraw/zinc/79/14/71/1073791471.db2.gz POOZXHRMVHNGQX-NIKGAXFTSA-N 0 0 441.554 -0.251 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2C[C@@]2(O)CCSC2)CCOC1 ZINC001296664872 1073791484 /nfs/dbraw/zinc/79/14/84/1073791484.db2.gz POOZXHRMVHNGQX-ZOCIIQOWSA-N 0 0 441.554 -0.251 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2C[C@](C)(O)CCOC)CCOC1 ZINC001296664905 1073791607 /nfs/dbraw/zinc/79/16/07/1073791607.db2.gz PYKZOMHBBXEUTL-CDHQVMDDSA-N 0 0 441.529 -0.331 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2C[C@](C)(O)CCOC)CCOC1 ZINC001296664907 1073791578 /nfs/dbraw/zinc/79/15/78/1073791578.db2.gz PYKZOMHBBXEUTL-CWFSZBLJSA-N 0 0 441.529 -0.331 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2C[C@@](C)(O)CCOC)CCOC1 ZINC001296664910 1073791560 /nfs/dbraw/zinc/79/15/60/1073791560.db2.gz PYKZOMHBBXEUTL-RYGJVYDSSA-N 0 0 441.529 -0.331 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2C[C@@](C)(O)CCOC)CCOC1 ZINC001296664911 1073791573 /nfs/dbraw/zinc/79/15/73/1073791573.db2.gz PYKZOMHBBXEUTL-UIAACRFSSA-N 0 0 441.529 -0.331 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)CC3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001296665323 1073791506 /nfs/dbraw/zinc/79/15/06/1073791506.db2.gz UQTVGMPPCSBFGH-KRWDZBQOSA-N 0 0 430.531 -0.852 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)CC3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001296665324 1073791549 /nfs/dbraw/zinc/79/15/49/1073791549.db2.gz UQTVGMPPCSBFGH-QGZVFWFLSA-N 0 0 430.531 -0.852 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)CN(C)S(=O)(=O)N(C)C ZINC001296666668 1073791598 /nfs/dbraw/zinc/79/15/98/1073791598.db2.gz BZUHIBKLUXKPBQ-UHFFFAOYSA-N 0 0 434.540 -0.393 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C1CCN(S(N)(=O)=O)CC1 ZINC001296667047 1073791524 /nfs/dbraw/zinc/79/15/24/1073791524.db2.gz KRTWKUDPZMZNOW-UHFFFAOYSA-N 0 0 446.551 -0.216 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)C1(CS(C)(=O)=O)COC1 ZINC001296667712 1073792045 /nfs/dbraw/zinc/79/20/45/1073792045.db2.gz QZDYHNGJWWALKX-UHFFFAOYSA-N 0 0 432.520 -0.070 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@@H](CNC(C)=O)C3)n2CCC(=O)N(C)C)CCOC1 ZINC001296670496 1073792056 /nfs/dbraw/zinc/79/20/56/1073792056.db2.gz FRTXULLHTFYNSE-JXFKEZNVSA-N 0 0 438.529 -0.250 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](CNC(C)=O)C3)n2CCC(=O)N(C)C)CCOC1 ZINC001296670497 1073792003 /nfs/dbraw/zinc/79/20/03/1073792003.db2.gz FRTXULLHTFYNSE-OXJNMPFZSA-N 0 0 438.529 -0.250 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](CNC(C)=O)C3)n2CCC(=O)N(C)C)CCOC1 ZINC001296670498 1073791931 /nfs/dbraw/zinc/79/19/31/1073791931.db2.gz FRTXULLHTFYNSE-OXQOHEQNSA-N 0 0 438.529 -0.250 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@H](CNC(C)=O)C3)n2CCC(=O)N(C)C)CCOC1 ZINC001296670499 1073791990 /nfs/dbraw/zinc/79/19/90/1073791990.db2.gz FRTXULLHTFYNSE-UZLBHIALSA-N 0 0 438.529 -0.250 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@@H](CNC(C)=O)C3)n2CCc2n[nH]c(C)n2)CCOC1 ZINC001296672499 1073791974 /nfs/dbraw/zinc/79/19/74/1073791974.db2.gz JECMAIURXXTARO-JXFKEZNVSA-N 0 0 448.528 -0.059 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](CNC(C)=O)C3)n2CCc2n[nH]c(C)n2)CCOC1 ZINC001296672500 1073791937 /nfs/dbraw/zinc/79/19/37/1073791937.db2.gz JECMAIURXXTARO-OXJNMPFZSA-N 0 0 448.528 -0.059 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](CNC(C)=O)C3)n2CCc2n[nH]c(C)n2)CCOC1 ZINC001296672501 1073792014 /nfs/dbraw/zinc/79/20/14/1073792014.db2.gz JECMAIURXXTARO-OXQOHEQNSA-N 0 0 448.528 -0.059 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@H](CNC(C)=O)C3)n2CCc2n[nH]c(C)n2)CCOC1 ZINC001296672502 1073792063 /nfs/dbraw/zinc/79/20/63/1073792063.db2.gz JECMAIURXXTARO-UZLBHIALSA-N 0 0 448.528 -0.059 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@H](COC)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001296674194 1073792029 /nfs/dbraw/zinc/79/20/29/1073792029.db2.gz POZYEJPRNONUCR-JXFKEZNVSA-N 0 0 439.513 -0.359 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](COC)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001296674195 1073791958 /nfs/dbraw/zinc/79/19/58/1073791958.db2.gz POZYEJPRNONUCR-OXJNMPFZSA-N 0 0 439.513 -0.359 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](COC)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001296674296 1073791924 /nfs/dbraw/zinc/79/19/24/1073791924.db2.gz POZYEJPRNONUCR-OXQOHEQNSA-N 0 0 439.513 -0.359 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@@H](COC)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001296674297 1073792097 /nfs/dbraw/zinc/79/20/97/1073792097.db2.gz POZYEJPRNONUCR-UZLBHIALSA-N 0 0 439.513 -0.359 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@]2(C)COCCO2)CCOC1 ZINC001296674384 1073791965 /nfs/dbraw/zinc/79/19/65/1073791965.db2.gz RJYPDIIDWSLHSF-MSOLQXFVSA-N 0 0 430.527 -0.030 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@]2(C)COCCO2)CCOC1 ZINC001296674385 1073792093 /nfs/dbraw/zinc/79/20/93/1073792093.db2.gz RJYPDIIDWSLHSF-QZTJIDSGSA-N 0 0 430.527 -0.030 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@]2(C)COCCO2)CCOC1 ZINC001296674386 1073792078 /nfs/dbraw/zinc/79/20/78/1073792078.db2.gz RJYPDIIDWSLHSF-ROUUACIJSA-N 0 0 430.527 -0.030 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@]2(C)COCCO2)CCOC1 ZINC001296674387 1073792011 /nfs/dbraw/zinc/79/20/11/1073792011.db2.gz RJYPDIIDWSLHSF-ZWKOTPCHSA-N 0 0 430.527 -0.030 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@]2(OC)CCOC2)CCOC1 ZINC001296674866 1073792037 /nfs/dbraw/zinc/79/20/37/1073792037.db2.gz UVRTYZMPLBKCFI-MSOLQXFVSA-N 0 0 430.527 -0.030 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@]2(OC)CCOC2)CCOC1 ZINC001296674867 1073791998 /nfs/dbraw/zinc/79/19/98/1073791998.db2.gz UVRTYZMPLBKCFI-QZTJIDSGSA-N 0 0 430.527 -0.030 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@]2(OC)CCOC2)CCOC1 ZINC001296674868 1073792562 /nfs/dbraw/zinc/79/25/62/1073792562.db2.gz UVRTYZMPLBKCFI-ROUUACIJSA-N 0 0 430.527 -0.030 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@]2(OC)CCOC2)CCOC1 ZINC001296674869 1073792627 /nfs/dbraw/zinc/79/26/27/1073792627.db2.gz UVRTYZMPLBKCFI-ZWKOTPCHSA-N 0 0 430.527 -0.030 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CC1 ZINC001296728673 1073792485 /nfs/dbraw/zinc/79/24/85/1073792485.db2.gz XHYJJOSXEVBNND-QMTMVMCOSA-N 0 0 431.555 -0.207 20 0 IBADRN CCOc1ccc(-c2nnc(N3CCNC(=O)C3)n2CCNS(C)(=O)=O)cc1OC ZINC001296765153 1073792655 /nfs/dbraw/zinc/79/26/55/1073792655.db2.gz YOCLSHQDMIXUMD-UHFFFAOYSA-N 0 0 438.510 -0.162 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC(O)(C(F)F)CC2)c1 ZINC001296803884 1073792645 /nfs/dbraw/zinc/79/26/45/1073792645.db2.gz BKUZNBKYODZHJE-UHFFFAOYSA-N 0 0 426.463 -0.013 20 0 IBADRN CCN1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C(C)(C)C1=O ZINC001296809474 1073792614 /nfs/dbraw/zinc/79/26/14/1073792614.db2.gz KYZVDPRDJXBAAK-UHFFFAOYSA-N 0 0 431.536 -0.162 20 0 IBADRN O=C(N[C@H](CO)CN1CCOCC1)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC001296841334 1073792589 /nfs/dbraw/zinc/79/25/89/1073792589.db2.gz ISZJOPDZZUMMJO-HNNXBMFYSA-N 0 0 447.579 -0.436 20 0 IBADRN O=C(N[C@@H](CO)CN1CCOCC1)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC001296841338 1073792665 /nfs/dbraw/zinc/79/26/65/1073792665.db2.gz ISZJOPDZZUMMJO-OAHLLOKOSA-N 0 0 447.579 -0.436 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N[C@H](CO)CN2CCOCC2)CC1 ZINC001296842461 1073792673 /nfs/dbraw/zinc/79/26/73/1073792673.db2.gz OYPNKFVTKXUNRN-INIZCTEOSA-N 0 0 429.543 -0.763 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N[C@@H](CO)CN2CCOCC2)CC1 ZINC001296842462 1073792600 /nfs/dbraw/zinc/79/26/00/1073792600.db2.gz OYPNKFVTKXUNRN-MRXNPFEDSA-N 0 0 429.543 -0.763 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N[C@@H](CO)CN2CCOCC2)C1 ZINC001296842796 1073792498 /nfs/dbraw/zinc/79/24/98/1073792498.db2.gz WNRFBRADONJDHT-SPYBWZPUSA-N 0 0 447.554 -0.923 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N[C@H](CO)CN2CCOCC2)C1 ZINC001296842798 1073792456 /nfs/dbraw/zinc/79/24/56/1073792456.db2.gz WNRFBRADONJDHT-ZRGWGRIASA-N 0 0 447.554 -0.923 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)C1 ZINC001296859786 1073792540 /nfs/dbraw/zinc/79/25/40/1073792540.db2.gz GRJONOABRPPTHI-HNNXBMFYSA-N 0 0 425.457 -0.057 20 0 IBADRN CCOc1ccnc(N2CCN(c3nnc([C@@H]4CCC(=O)N4)n3CC(=O)N(C)C)CC2)n1 ZINC001296894148 1073792633 /nfs/dbraw/zinc/79/26/33/1073792633.db2.gz REAWQIAIBUTXJV-AWEZNQCLSA-N 0 0 443.512 -0.167 20 0 IBADRN CCOc1ccnc(N2CCN(c3nnc([C@H]4CCC(=O)N4)n3CC(=O)N(C)C)CC2)n1 ZINC001296894149 1073792575 /nfs/dbraw/zinc/79/25/75/1073792575.db2.gz REAWQIAIBUTXJV-CQSZACIVSA-N 0 0 443.512 -0.167 20 0 IBADRN C[C@@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@@]2(CCOC2)O1 ZINC001296900183 1073792550 /nfs/dbraw/zinc/79/25/50/1073792550.db2.gz KVQQZTKYCJYPQG-CXAGYDPISA-N 0 0 432.520 -0.225 20 0 IBADRN C[C@@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@]2(CCOC2)O1 ZINC001296900184 1073792508 /nfs/dbraw/zinc/79/25/08/1073792508.db2.gz KVQQZTKYCJYPQG-DYVFJYSZSA-N 0 0 432.520 -0.225 20 0 IBADRN C[C@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@]2(CCOC2)O1 ZINC001296900185 1073792472 /nfs/dbraw/zinc/79/24/72/1073792472.db2.gz KVQQZTKYCJYPQG-GUYCJALGSA-N 0 0 432.520 -0.225 20 0 IBADRN C[C@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@@]2(CCOC2)O1 ZINC001296900186 1073792530 /nfs/dbraw/zinc/79/25/30/1073792530.db2.gz KVQQZTKYCJYPQG-SUMWQHHRSA-N 0 0 432.520 -0.225 20 0 IBADRN COCCN1CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CC1=O ZINC001296908419 1073793107 /nfs/dbraw/zinc/79/31/07/1073793107.db2.gz LRGMYFSYKIBZFJ-FZKQIMNGSA-N 0 0 431.511 -0.457 20 0 IBADRN CCOC1CC(CCn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)C1 ZINC001296912808 1073793090 /nfs/dbraw/zinc/79/30/90/1073793090.db2.gz XNWYLMQVZNVPHA-HWOWSKLDSA-N 0 0 447.540 -0.232 20 0 IBADRN CCOC1CC(CCn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)C1 ZINC001296912809 1073793072 /nfs/dbraw/zinc/79/30/72/1073793072.db2.gz XNWYLMQVZNVPHA-PCKAHOCUSA-N 0 0 447.540 -0.232 20 0 IBADRN CCOC1CC(O)(Cn2c(C(=O)NC(C)C)nnc2N2C[C@@H](CC(=O)OC)[C@H](O)C2)C1 ZINC001296951385 1073793193 /nfs/dbraw/zinc/79/31/93/1073793193.db2.gz BUYWLRBJZYXRPT-MGVZARIASA-N 0 0 439.513 -0.294 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)CC1 ZINC001296954312 1073793022 /nfs/dbraw/zinc/79/30/22/1073793022.db2.gz SDXUEEUIRPJPKI-UHFFFAOYSA-N 0 0 446.542 -0.320 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)C1 ZINC001296959782 1073793169 /nfs/dbraw/zinc/79/31/69/1073793169.db2.gz MXCPVEKDKPHNLU-CSLYMUCUSA-N 0 0 445.542 -0.622 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)C1 ZINC001296959784 1073793140 /nfs/dbraw/zinc/79/31/40/1073793140.db2.gz MXCPVEKDKPHNLU-SWKXRBFHSA-N 0 0 445.542 -0.622 20 0 IBADRN CCOC1CC(O)(Cn2c(CC(C)(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)C1 ZINC001296960083 1073793159 /nfs/dbraw/zinc/79/31/59/1073793159.db2.gz PNAHNPLFPMOFIM-UMRPUCSYSA-N 0 0 434.541 -0.056 20 0 IBADRN CCOC1CC(O)(Cn2c(CC(C)(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)C1 ZINC001296960085 1073793203 /nfs/dbraw/zinc/79/32/03/1073793203.db2.gz PNAHNPLFPMOFIM-VEBLATHCSA-N 0 0 434.541 -0.056 20 0 IBADRN COC(=O)C1(NC(=O)c2c[nH]nc2I)CCS(=O)(=O)CC1 ZINC001296960894 1073793002 /nfs/dbraw/zinc/79/30/02/1073793002.db2.gz JJNPXROUXWLWQT-UHFFFAOYSA-N 0 0 427.220 -0.136 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)NCCN3CC[N@@H+](C)C(C)(C)C3)c(CCO)c2=O)n1 ZINC001296963302 1073793042 /nfs/dbraw/zinc/79/30/42/1073793042.db2.gz FOZCWMWDRULQQI-UHFFFAOYSA-N 0 0 431.541 -0.137 20 0 IBADRN CCOC1CC(O)(Cn2c(CC)nnc2N2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC001296963467 1073793176 /nfs/dbraw/zinc/79/31/76/1073793176.db2.gz KGCQUGFVMRKZBO-UHFFFAOYSA-N 0 0 436.557 -0.249 20 0 IBADRN CCO[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)C1 ZINC001296965347 1073793014 /nfs/dbraw/zinc/79/30/14/1073793014.db2.gz JDRZNKYEHYQRAV-CHWSQXEVSA-N 0 0 438.576 -0.557 20 0 IBADRN CCO[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)C1 ZINC001296965348 1073792981 /nfs/dbraw/zinc/79/29/81/1073792981.db2.gz JDRZNKYEHYQRAV-OLZOCXBDSA-N 0 0 438.576 -0.557 20 0 IBADRN CCO[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)C1 ZINC001296965349 1073793100 /nfs/dbraw/zinc/79/31/00/1073793100.db2.gz JDRZNKYEHYQRAV-QWHCGFSZSA-N 0 0 438.576 -0.557 20 0 IBADRN CCO[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)C1 ZINC001296965350 1073793185 /nfs/dbraw/zinc/79/31/85/1073793185.db2.gz JDRZNKYEHYQRAV-STQMWFEESA-N 0 0 438.576 -0.557 20 0 IBADRN CCO[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001296980830 1073793667 /nfs/dbraw/zinc/79/36/67/1073793667.db2.gz ZFAZRPVYPNFPKK-GLJUWKHASA-N 0 0 427.527 -0.216 20 0 IBADRN CCO[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001296980833 1073793589 /nfs/dbraw/zinc/79/35/89/1073793589.db2.gz ZFAZRPVYPNFPKK-HBUWYVDXSA-N 0 0 427.527 -0.216 20 0 IBADRN CCO[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001296980836 1073793553 /nfs/dbraw/zinc/79/35/53/1073793553.db2.gz ZFAZRPVYPNFPKK-LBTNJELSSA-N 0 0 427.527 -0.216 20 0 IBADRN CCO[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001296980839 1073793633 /nfs/dbraw/zinc/79/36/33/1073793633.db2.gz ZFAZRPVYPNFPKK-QWQRMKEZSA-N 0 0 427.527 -0.216 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C1=O ZINC001296988203 1073793499 /nfs/dbraw/zinc/79/34/99/1073793499.db2.gz OZAKRLAMZJUHBM-KBPBESRZSA-N 0 0 425.463 -0.410 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C1=O ZINC001296988205 1073793679 /nfs/dbraw/zinc/79/36/79/1073793679.db2.gz OZAKRLAMZJUHBM-KGLIPLIRSA-N 0 0 425.463 -0.410 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C1=O ZINC001296988207 1073793622 /nfs/dbraw/zinc/79/36/22/1073793622.db2.gz OZAKRLAMZJUHBM-UONOGXRCSA-N 0 0 425.463 -0.410 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)C1=O ZINC001296988208 1073793476 /nfs/dbraw/zinc/79/34/76/1073793476.db2.gz OZAKRLAMZJUHBM-ZIAGYGMSSA-N 0 0 425.463 -0.410 20 0 IBADRN CCOCC(C)(C)Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001296989716 1073793569 /nfs/dbraw/zinc/79/35/69/1073793569.db2.gz SJDFIEUACJCKJJ-AWEZNQCLSA-N 0 0 435.529 -0.374 20 0 IBADRN CCOCC(C)(C)Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001296989719 1073793491 /nfs/dbraw/zinc/79/34/91/1073793491.db2.gz SJDFIEUACJCKJJ-CQSZACIVSA-N 0 0 435.529 -0.374 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCC(S(=O)(=O)N4CCCC4)CC3)c2S1(=O)=O ZINC001296994168 1073793577 /nfs/dbraw/zinc/79/35/77/1073793577.db2.gz KHLUQOOMZPTRKS-UHFFFAOYSA-N 0 0 431.540 -0.453 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCOc1ccc(S(C)(=O)=O)cc1 ZINC001296997240 1073793645 /nfs/dbraw/zinc/79/36/45/1073793645.db2.gz CDEAGZJNUFZYFJ-INIZCTEOSA-N 0 0 442.490 -0.234 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCOc1ccc(S(C)(=O)=O)cc1 ZINC001296997241 1073793689 /nfs/dbraw/zinc/79/36/89/1073793689.db2.gz CDEAGZJNUFZYFJ-MRXNPFEDSA-N 0 0 442.490 -0.234 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H](C)S(=O)(=O)c1ccc(F)cc1 ZINC001296997384 1073793537 /nfs/dbraw/zinc/79/35/37/1073793537.db2.gz DTYGTMGWROVCJT-DOMZBBRYSA-N 0 0 430.454 -0.105 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H](C)S(=O)(=O)c1ccc(F)cc1 ZINC001296997385 1073793703 /nfs/dbraw/zinc/79/37/03/1073793703.db2.gz DTYGTMGWROVCJT-IUODEOHRSA-N 0 0 430.454 -0.105 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@H](C)S(=O)(=O)c1ccc(F)cc1 ZINC001296997386 1073793599 /nfs/dbraw/zinc/79/35/99/1073793599.db2.gz DTYGTMGWROVCJT-SWLSCSKDSA-N 0 0 430.454 -0.105 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H](C)S(=O)(=O)c1ccc(F)cc1 ZINC001296997387 1073793657 /nfs/dbraw/zinc/79/36/57/1073793657.db2.gz DTYGTMGWROVCJT-WFASDCNBSA-N 0 0 430.454 -0.105 20 0 IBADRN CN1C(=O)CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)CC1=O ZINC001296997459 1073793504 /nfs/dbraw/zinc/79/35/04/1073793504.db2.gz GEFJQECAUMZXMY-CYBMUJFWSA-N 0 0 429.882 -0.300 20 0 IBADRN CN1C(=O)CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)CC1=O ZINC001296997461 1073793527 /nfs/dbraw/zinc/79/35/27/1073793527.db2.gz GEFJQECAUMZXMY-ZDUSSCGKSA-N 0 0 429.882 -0.300 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(C)cc1 ZINC001296997802 1073793608 /nfs/dbraw/zinc/79/36/08/1073793608.db2.gz MGHLIEMWZVGNTB-HNNXBMFYSA-N 0 0 427.479 -0.820 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(C)cc1 ZINC001296997803 1073794311 /nfs/dbraw/zinc/79/43/11/1073794311.db2.gz MGHLIEMWZVGNTB-OAHLLOKOSA-N 0 0 427.479 -0.820 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1nn(-c2ccc(F)cc2)ccc1=O ZINC001296997912 1073794241 /nfs/dbraw/zinc/79/42/41/1073794241.db2.gz PSVARHAZNPMGLZ-HNNXBMFYSA-N 0 0 432.408 -0.108 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1nn(-c2ccc(F)cc2)ccc1=O ZINC001296997913 1073794301 /nfs/dbraw/zinc/79/43/01/1073794301.db2.gz PSVARHAZNPMGLZ-OAHLLOKOSA-N 0 0 432.408 -0.108 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(=O)n(C)cc1Br ZINC001296997917 1073794115 /nfs/dbraw/zinc/79/41/15/1073794115.db2.gz PUPOFCBGQSTUSB-GFCCVEGCSA-N 0 0 430.255 -0.332 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(=O)n(C)cc1Br ZINC001296997918 1073794126 /nfs/dbraw/zinc/79/41/26/1073794126.db2.gz PUPOFCBGQSTUSB-LBPRGKRZSA-N 0 0 430.255 -0.332 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001296998625 1073794229 /nfs/dbraw/zinc/79/42/29/1073794229.db2.gz UOEOOCBWLYJBNP-INIZCTEOSA-N 0 0 439.490 -0.253 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001296998628 1073794273 /nfs/dbraw/zinc/79/42/73/1073794273.db2.gz UOEOOCBWLYJBNP-MRXNPFEDSA-N 0 0 439.490 -0.253 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C1CCN(C(=O)c2cccnc2)CC1 ZINC001296998781 1073794193 /nfs/dbraw/zinc/79/41/93/1073794193.db2.gz WPPCTSRRUIDLOM-KRWDZBQOSA-N 0 0 432.477 -0.160 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C1CCN(C(=O)c2cccnc2)CC1 ZINC001296998784 1073794252 /nfs/dbraw/zinc/79/42/52/1073794252.db2.gz WPPCTSRRUIDLOM-QGZVFWFLSA-N 0 0 432.477 -0.160 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C[C@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC001296998896 1073794261 /nfs/dbraw/zinc/79/42/61/1073794261.db2.gz XATRZFMTELCSDC-JLTOFOAXSA-N 0 0 443.497 -0.345 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C[C@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC001296998900 1073794151 /nfs/dbraw/zinc/79/41/51/1073794151.db2.gz XATRZFMTELCSDC-VBKZILBWSA-N 0 0 443.497 -0.345 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C[C@@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC001296998902 1073794175 /nfs/dbraw/zinc/79/41/75/1073794175.db2.gz XATRZFMTELCSDC-VLIAUNLRSA-N 0 0 443.497 -0.345 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C[C@@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC001296998904 1073794319 /nfs/dbraw/zinc/79/43/19/1073794319.db2.gz XATRZFMTELCSDC-XOBRGWDASA-N 0 0 443.497 -0.345 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CCCN1C(=O)c2ccccc2C1=O ZINC001296999125 1073794160 /nfs/dbraw/zinc/79/41/60/1073794160.db2.gz YSXRSLVOLGZGRC-INIZCTEOSA-N 0 0 431.445 -0.031 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CCCN1C(=O)c2ccccc2C1=O ZINC001296999126 1073794184 /nfs/dbraw/zinc/79/41/84/1073794184.db2.gz YSXRSLVOLGZGRC-MRXNPFEDSA-N 0 0 431.445 -0.031 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3Cl)CC2)CC1 ZINC001297016050 1073794285 /nfs/dbraw/zinc/79/42/85/1073794285.db2.gz DLKPRRHHLFPSSR-UHFFFAOYSA-N 0 0 430.914 -0.026 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CC1 ZINC001297016413 1074487566 /nfs/dbraw/zinc/48/75/66/1074487566.db2.gz MMCAOZQSEHPUJE-UHFFFAOYSA-N 0 0 426.314 -0.372 20 0 IBADRN CCOCc1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001297043468 1073794325 /nfs/dbraw/zinc/79/43/25/1073794325.db2.gz KOJYWCUHWHUDQT-HNNXBMFYSA-N 0 0 429.543 -0.066 20 0 IBADRN CCOCc1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001297043469 1073794210 /nfs/dbraw/zinc/79/42/10/1073794210.db2.gz KOJYWCUHWHUDQT-OAHLLOKOSA-N 0 0 429.543 -0.066 20 0 IBADRN CCOCc1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297046105 1073794137 /nfs/dbraw/zinc/79/41/37/1073794137.db2.gz YPCRJAATRHIXIX-CYBMUJFWSA-N 0 0 436.556 -0.622 20 0 IBADRN CCOCc1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297046107 1073794798 /nfs/dbraw/zinc/79/47/98/1073794798.db2.gz YPCRJAATRHIXIX-ZDUSSCGKSA-N 0 0 436.556 -0.622 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)C1CN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001297082938 1073794691 /nfs/dbraw/zinc/79/46/91/1073794691.db2.gz VOSMQPDRKXNKHV-KRWDZBQOSA-N 0 0 432.520 -0.124 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)C1CN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001297082939 1073794896 /nfs/dbraw/zinc/79/48/96/1073794896.db2.gz VOSMQPDRKXNKHV-QGZVFWFLSA-N 0 0 432.520 -0.124 20 0 IBADRN CCOCc1nnc(N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001297092851 1073794680 /nfs/dbraw/zinc/79/46/80/1073794680.db2.gz GUGMUXRLZFYGJJ-GLJUWKHASA-N 0 0 427.527 -0.388 20 0 IBADRN CCOCc1nnc(N2CC(=O)N[C@@H]3CCCC[C@H]32)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001297092852 1073794549 /nfs/dbraw/zinc/79/45/49/1073794549.db2.gz GUGMUXRLZFYGJJ-HBUWYVDXSA-N 0 0 427.527 -0.388 20 0 IBADRN CCOCc1nnc(N2CC(=O)N[C@@H]3CCCC[C@H]32)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001297092853 1073794906 /nfs/dbraw/zinc/79/49/06/1073794906.db2.gz GUGMUXRLZFYGJJ-LBTNJELSSA-N 0 0 427.527 -0.388 20 0 IBADRN CCOCc1nnc(N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001297092854 1073794886 /nfs/dbraw/zinc/79/48/86/1073794886.db2.gz GUGMUXRLZFYGJJ-QWQRMKEZSA-N 0 0 427.527 -0.388 20 0 IBADRN CCOCc1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCS(=O)(=O)N1CCSCC1 ZINC001297093025 1073794809 /nfs/dbraw/zinc/79/48/09/1073794809.db2.gz KIBKSZGLEIASDG-AWEZNQCLSA-N 0 0 446.599 -0.140 20 0 IBADRN CCOCc1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCS(=O)(=O)N1CCSCC1 ZINC001297093026 1073794535 /nfs/dbraw/zinc/79/45/35/1073794535.db2.gz KIBKSZGLEIASDG-CQSZACIVSA-N 0 0 446.599 -0.140 20 0 IBADRN CCOCc1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001297093143 1073794519 /nfs/dbraw/zinc/79/45/19/1073794519.db2.gz MOCXDDFMQCNAPX-INIZCTEOSA-N 0 0 436.556 -0.622 20 0 IBADRN CCOCc1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001297093144 1073794667 /nfs/dbraw/zinc/79/46/67/1073794667.db2.gz MOCXDDFMQCNAPX-MRXNPFEDSA-N 0 0 436.556 -0.622 20 0 IBADRN CCOCc1nnc(N2C[C@H](C)[C@H](N3CCOCC3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297100202 1073794817 /nfs/dbraw/zinc/79/48/17/1073794817.db2.gz SNAYSPVAQZKUIT-HRCADAONSA-N 0 0 435.529 -0.040 20 0 IBADRN CCOCc1nnc(N2C[C@H](N3CCOCC3)[C@@H](C)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297100203 1073794596 /nfs/dbraw/zinc/79/45/96/1073794596.db2.gz SNAYSPVAQZKUIT-JYJNAYRXSA-N 0 0 435.529 -0.040 20 0 IBADRN CCOCc1nnc(N2C[C@@H](C)[C@H](N3CCOCC3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297100209 1073794645 /nfs/dbraw/zinc/79/46/45/1073794645.db2.gz SNAYSPVAQZKUIT-OWCLPIDISA-N 0 0 435.529 -0.040 20 0 IBADRN CCOCc1nnc(N2C[C@@H](C)[C@@H](N3CCOCC3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297100211 1073794624 /nfs/dbraw/zinc/79/46/24/1073794624.db2.gz SNAYSPVAQZKUIT-PMPSAXMXSA-N 0 0 435.529 -0.040 20 0 IBADRN CCOCc1nnc(N2C[C@@H](CC)[C@@H](N3CCOCC3)C2)n1CCS(=O)(=O)NC ZINC001297106982 1073794865 /nfs/dbraw/zinc/79/48/65/1073794865.db2.gz AJVDGDODOHWJPY-CVEARBPZSA-N 0 0 430.575 -0.089 20 0 IBADRN CCOCc1nnc(N2C[C@H](N3CCOCC3)[C@@H](CC)C2)n1CCS(=O)(=O)NC ZINC001297106983 1073795251 /nfs/dbraw/zinc/79/52/51/1073795251.db2.gz AJVDGDODOHWJPY-HOTGVXAUSA-N 0 0 430.575 -0.089 20 0 IBADRN CCOCc1nnc(N2C[C@@H](CC)[C@H](N3CCOCC3)C2)n1CCS(=O)(=O)NC ZINC001297106984 1073795322 /nfs/dbraw/zinc/79/53/22/1073795322.db2.gz AJVDGDODOHWJPY-HZPDHXFCSA-N 0 0 430.575 -0.089 20 0 IBADRN CCOCc1nnc(N2C[C@H](CC)[C@H](N3CCOCC3)C2)n1CCS(=O)(=O)NC ZINC001297106985 1073795484 /nfs/dbraw/zinc/79/54/84/1073795484.db2.gz AJVDGDODOHWJPY-JKSUJKDBSA-N 0 0 430.575 -0.089 20 0 IBADRN CCOCc1nnc(N2C[C@@H](C)O[C@H](COC)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297107187 1073795497 /nfs/dbraw/zinc/79/54/97/1073795497.db2.gz SZEVURBOFVEHEQ-CABCVRRESA-N 0 0 432.543 -0.006 20 0 IBADRN CCOCc1nnc(N2C[C@H](C)O[C@H](COC)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297107190 1073795422 /nfs/dbraw/zinc/79/54/22/1073795422.db2.gz SZEVURBOFVEHEQ-GJZGRUSLSA-N 0 0 432.543 -0.006 20 0 IBADRN CCOCc1nnc(N2C[C@@H](C)O[C@@H](COC)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297107191 1073795518 /nfs/dbraw/zinc/79/55/18/1073795518.db2.gz SZEVURBOFVEHEQ-HUUCEWRRSA-N 0 0 432.543 -0.006 20 0 IBADRN CCOCc1nnc(N2C[C@H](COC)O[C@@H](C)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297107192 1073795313 /nfs/dbraw/zinc/79/53/13/1073795313.db2.gz SZEVURBOFVEHEQ-LSDHHAIUSA-N 0 0 432.543 -0.006 20 0 IBADRN CCOCc1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297115227 1073795269 /nfs/dbraw/zinc/79/52/69/1073795269.db2.gz ZGQYSWDUHZJCNS-KBPBESRZSA-N 0 0 427.527 -0.531 20 0 IBADRN CCOCc1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297115229 1073795449 /nfs/dbraw/zinc/79/54/49/1073795449.db2.gz ZGQYSWDUHZJCNS-KGLIPLIRSA-N 0 0 427.527 -0.531 20 0 IBADRN CCOCc1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297115232 1073795466 /nfs/dbraw/zinc/79/54/66/1073795466.db2.gz ZGQYSWDUHZJCNS-UONOGXRCSA-N 0 0 427.527 -0.531 20 0 IBADRN CCOCc1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297115236 1073795473 /nfs/dbraw/zinc/79/54/73/1073795473.db2.gz ZGQYSWDUHZJCNS-ZIAGYGMSSA-N 0 0 427.527 -0.531 20 0 IBADRN CCOCc1nnc(N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)n1CC(=O)N(C)C ZINC001297118715 1073795528 /nfs/dbraw/zinc/79/55/28/1073795528.db2.gz AYBWYPLUOFBXFD-UHFFFAOYSA-N 0 0 449.556 -0.157 20 0 IBADRN CCOCc1nnc(N2CCC([C@@H]3NC(=O)N(C)C3=O)CC2)n1CCS(=O)(=O)NC ZINC001297145388 1073795431 /nfs/dbraw/zinc/79/54/31/1073795431.db2.gz ZCYGKBSMBDHBIQ-AWEZNQCLSA-N 0 0 443.530 -0.870 20 0 IBADRN CCOCc1nnc(N2CCC([C@H]3NC(=O)N(C)C3=O)CC2)n1CCS(=O)(=O)NC ZINC001297145389 1073795459 /nfs/dbraw/zinc/79/54/59/1073795459.db2.gz ZCYGKBSMBDHBIQ-CQSZACIVSA-N 0 0 443.530 -0.870 20 0 IBADRN CCOCc1nnc(N2CCC(N3CCNC3=O)CC2)n1CCNC(=O)c1ccn[nH]1 ZINC001297165092 1073795223 /nfs/dbraw/zinc/79/52/23/1073795223.db2.gz OFNQJUXRWIGFRG-UHFFFAOYSA-N 0 0 431.501 -0.038 20 0 IBADRN CCOCc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CCS(=O)(=O)N(C)C ZINC001297183257 1073795862 /nfs/dbraw/zinc/79/58/62/1073795862.db2.gz AWZSXNQHHNSJBI-UHFFFAOYSA-N 0 0 443.530 -0.773 20 0 IBADRN CCOCc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CCCNS(C)(=O)=O ZINC001297184588 1073795855 /nfs/dbraw/zinc/79/58/55/1073795855.db2.gz UANJOMWRELOXBJ-UHFFFAOYSA-N 0 0 443.530 -0.726 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N[C@H]1CCN(c2cccc(Br)c2)C1=O ZINC001297186923 1073795793 /nfs/dbraw/zinc/79/57/93/1073795793.db2.gz GPDJNRRBHPSNOA-MFKMUULPSA-N 0 0 436.270 -0.138 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N[C@@H]1CCN(c2cccc(Br)c2)C1=O ZINC001297186927 1073795941 /nfs/dbraw/zinc/79/59/41/1073795941.db2.gz GPDJNRRBHPSNOA-ZWNOBZJWSA-N 0 0 436.270 -0.138 20 0 IBADRN CCOCc1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CCCNS(=O)(=O)CC ZINC001297199189 1073795909 /nfs/dbraw/zinc/79/59/09/1073795909.db2.gz RPQWOSPWBGSTSO-UHFFFAOYSA-N 0 0 439.542 -0.200 20 0 IBADRN CCOCc1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CCN1CCCS1(=O)=O ZINC001297199209 1073795946 /nfs/dbraw/zinc/79/59/46/1073795946.db2.gz RXPUALQTBSAPAE-UHFFFAOYSA-N 0 0 437.526 -0.494 20 0 IBADRN CCOCc1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CCS(=O)(=O)N(C)C ZINC001297200476 1073795931 /nfs/dbraw/zinc/79/59/31/1073795931.db2.gz YWHJOEFTZBYIHV-UHFFFAOYSA-N 0 0 425.515 -0.638 20 0 IBADRN CCOCc1nnc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1CCS(C)(=O)=O ZINC001297211434 1073795846 /nfs/dbraw/zinc/79/58/46/1073795846.db2.gz BOOHFYZKGTXNOK-AWEZNQCLSA-N 0 0 437.588 -0.033 20 0 IBADRN CCOCc1nnc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1CCS(C)(=O)=O ZINC001297211435 1073795902 /nfs/dbraw/zinc/79/59/02/1073795902.db2.gz BOOHFYZKGTXNOK-CQSZACIVSA-N 0 0 437.588 -0.033 20 0 IBADRN CCOCc1nnc(N2CCC[C@@H](N3CCCC3=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001297221832 1073795812 /nfs/dbraw/zinc/79/58/12/1073795812.db2.gz DVCAYNHLSKCABO-BEFAXECRSA-N 0 0 441.554 -0.045 20 0 IBADRN CCOCc1nnc(N2CCC[C@@H](N3CCCC3=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001297221835 1073795876 /nfs/dbraw/zinc/79/58/76/1073795876.db2.gz DVCAYNHLSKCABO-DNVCBOLYSA-N 0 0 441.554 -0.045 20 0 IBADRN CCOCc1nnc(N2CCC[C@H](N3CCCC3=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001297221838 1073795955 /nfs/dbraw/zinc/79/59/55/1073795955.db2.gz DVCAYNHLSKCABO-HNAYVOBHSA-N 0 0 441.554 -0.045 20 0 IBADRN CCOCc1nnc(N2CCC[C@H](N3CCCC3=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001297221840 1073795951 /nfs/dbraw/zinc/79/59/51/1073795951.db2.gz DVCAYNHLSKCABO-KXBFYZLASA-N 0 0 441.554 -0.045 20 0 IBADRN Cn1ccc(NC(=O)CNC(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)n1 ZINC001297230601 1073795890 /nfs/dbraw/zinc/79/58/90/1073795890.db2.gz DPUHOSOLYGUXIH-UHFFFAOYSA-N 0 0 427.508 -0.005 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC1([S@](C)=O)CC1 ZINC001297255752 1073795918 /nfs/dbraw/zinc/79/59/18/1073795918.db2.gz COJUBMNTZKAGPZ-PMERELPUSA-N 0 0 440.570 -0.101 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC1([S@@](C)=O)CC1 ZINC001297255753 1073795838 /nfs/dbraw/zinc/79/58/38/1073795838.db2.gz COJUBMNTZKAGPZ-SSEXGKCCSA-N 0 0 440.570 -0.101 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1Cc1nncn1CC ZINC001297255956 1073795924 /nfs/dbraw/zinc/79/59/24/1073795924.db2.gz HSYFOZZUGLIIET-UHFFFAOYSA-N 0 0 445.528 -0.255 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC[S@](=O)CC ZINC001297256153 1073795884 /nfs/dbraw/zinc/79/58/84/1073795884.db2.gz LDCUKYMEJDLEII-GDLZYMKVSA-N 0 0 426.543 -0.490 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC[S@@](=O)CC ZINC001297256154 1073795826 /nfs/dbraw/zinc/79/58/26/1073795826.db2.gz LDCUKYMEJDLEII-LJAQVGFWSA-N 0 0 426.543 -0.490 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CC(=O)NCC1CC1 ZINC001297256242 1073795872 /nfs/dbraw/zinc/79/58/72/1073795872.db2.gz MSPOLSULAIXTTD-UHFFFAOYSA-N 0 0 447.540 -0.388 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCn1cncn1 ZINC001297256325 1073796371 /nfs/dbraw/zinc/79/63/71/1073796371.db2.gz NKYIMQIXDJIJIC-UHFFFAOYSA-N 0 0 431.501 -0.623 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CC1(O)CCC1 ZINC001297256333 1073796474 /nfs/dbraw/zinc/79/64/74/1073796474.db2.gz NVJJURSNTYSEMK-UHFFFAOYSA-N 0 0 436.513 -0.763 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCNC(=O)C1CC1 ZINC001297256400 1073796239 /nfs/dbraw/zinc/79/62/39/1073796239.db2.gz QNRKYOMITWQHCV-UHFFFAOYSA-N 0 0 433.513 -0.732 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC[S@](=O)CC ZINC001297256466 1073796544 /nfs/dbraw/zinc/79/65/44/1073796544.db2.gz SYNWQJTTYKXUGS-GDLZYMKVSA-N 0 0 428.559 -0.244 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC[S@@](=O)CC ZINC001297256467 1073796325 /nfs/dbraw/zinc/79/63/25/1073796325.db2.gz SYNWQJTTYKXUGS-LJAQVGFWSA-N 0 0 428.559 -0.244 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC1([S@](C)=O)CC1 ZINC001297256584 1073796574 /nfs/dbraw/zinc/79/65/74/1073796574.db2.gz UFIGCNAPBAIMIZ-PMERELPUSA-N 0 0 438.554 -0.347 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC1([S@@](C)=O)CC1 ZINC001297256585 1073796312 /nfs/dbraw/zinc/79/63/12/1073796312.db2.gz UFIGCNAPBAIMIZ-SSEXGKCCSA-N 0 0 438.554 -0.347 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCC(C)(C)O ZINC001297256651 1073796560 /nfs/dbraw/zinc/79/65/60/1073796560.db2.gz WJDUOFXIPPBTJY-UHFFFAOYSA-N 0 0 438.529 -0.517 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCCC(=O)NC1CC1 ZINC001297256704 1073796303 /nfs/dbraw/zinc/79/63/03/1073796303.db2.gz YFTFTOSHTBQGJV-UHFFFAOYSA-N 0 0 447.540 -0.199 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)C1 ZINC001297260240 1073796397 /nfs/dbraw/zinc/79/63/97/1073796397.db2.gz KVLNHXUELRBOIH-ARFHVFGLSA-N 0 0 429.514 -0.064 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)C1 ZINC001297260242 1073796361 /nfs/dbraw/zinc/79/63/61/1073796361.db2.gz KVLNHXUELRBOIH-BZUAXINKSA-N 0 0 429.514 -0.064 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)C1 ZINC001297260244 1073796337 /nfs/dbraw/zinc/79/63/37/1073796337.db2.gz KVLNHXUELRBOIH-OAGGEKHMSA-N 0 0 429.514 -0.064 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)C1 ZINC001297260246 1073796383 /nfs/dbraw/zinc/79/63/83/1073796383.db2.gz KVLNHXUELRBOIH-XHSDSOJGSA-N 0 0 429.514 -0.064 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CC(=O)N(C)CC ZINC001297261095 1073796498 /nfs/dbraw/zinc/79/64/98/1073796498.db2.gz HJRWFPOJEXEQOC-UHFFFAOYSA-N 0 0 437.545 -0.726 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CCc1n[nH]c(C)n1 ZINC001297261497 1073796511 /nfs/dbraw/zinc/79/65/11/1073796511.db2.gz MESMWIAWNVRRMQ-UHFFFAOYSA-N 0 0 447.544 -0.535 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1Cc1cnn(C)c1C ZINC001297261520 1073796486 /nfs/dbraw/zinc/79/64/86/1073796486.db2.gz NHFSJRGMXJUEDJ-UHFFFAOYSA-N 0 0 446.556 -0.114 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@H]1COCCO1 ZINC001297261692 1073796349 /nfs/dbraw/zinc/79/63/49/1073796349.db2.gz QQLKWYPLYYYFDV-KRWDZBQOSA-N 0 0 438.529 -0.789 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)COC)CC2)n1CC1CCS(=O)(=O)CC1 ZINC001297262029 1073796918 /nfs/dbraw/zinc/79/69/18/1073796918.db2.gz RDMTVXVXJUVVKL-UHFFFAOYSA-N 0 0 429.543 -0.066 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)NC)CC2)n1CCCN(CC)S(C)(=O)=O ZINC001297263133 1073796963 /nfs/dbraw/zinc/79/69/63/1073796963.db2.gz GRIGEROCNVEMFY-UHFFFAOYSA-N 0 0 431.563 -0.052 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)N3CCCC3)CC2)n1CCNS(C)(=O)=O ZINC001297263581 1073797073 /nfs/dbraw/zinc/79/70/73/1073797073.db2.gz IHJRHVINCYBSIF-UHFFFAOYSA-N 0 0 429.547 -0.298 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CCCS(C)(=O)=O ZINC001297263598 1073796988 /nfs/dbraw/zinc/79/69/88/1073796988.db2.gz IVEOKBOBRAAXLK-UHFFFAOYSA-N 0 0 444.558 -0.177 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001297263934 1073796997 /nfs/dbraw/zinc/79/69/97/1073796997.db2.gz MGRLNTRFHNBTHD-FQEVSTJZSA-N 0 0 435.529 -0.133 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001297263936 1073796924 /nfs/dbraw/zinc/79/69/24/1073796924.db2.gz MGRLNTRFHNBTHD-HXUWFJFHSA-N 0 0 435.529 -0.133 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCc2ccc(S(N)(=O)=O)c(C)c2)[C@H]1c1ccnn1C ZINC001297279095 1073796974 /nfs/dbraw/zinc/79/69/74/1073796974.db2.gz XLQVRWCCLLUUDO-MSOLQXFVSA-N 0 0 435.506 -0.019 20 0 IBADRN CCOCc1nnc(N2CCN(c3cnn(C)c3)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297282215 1073796909 /nfs/dbraw/zinc/79/69/09/1073796909.db2.gz KOEWYZFKBHYOQU-HNNXBMFYSA-N 0 0 431.501 -0.137 20 0 IBADRN CCOCc1nnc(N2CCN(c3cnccn3)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297282794 1073796942 /nfs/dbraw/zinc/79/69/42/1073796942.db2.gz SLZZTLUBPSPVHL-AWEZNQCLSA-N 0 0 429.485 -0.081 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)C2CCC(NC(=O)COCCOC)CC2)CC1 ZINC001297287517 1073796932 /nfs/dbraw/zinc/79/69/32/1073796932.db2.gz DHNPTODFLNGKPC-UHFFFAOYSA-N 0 0 434.559 -0.631 20 0 IBADRN CCOCc1nnc(N2CCN(c3ncccn3)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297287545 1073796890 /nfs/dbraw/zinc/79/68/90/1073796890.db2.gz FMTBXGDUIYQPPJ-AWEZNQCLSA-N 0 0 429.485 -0.081 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](CSC)N2C(=O)[C@@H]3CCCC[C@H]3C2=O)CC1 ZINC001297288299 1073797066 /nfs/dbraw/zinc/79/70/66/1073797066.db2.gz VEXIBKBFDMFXOZ-KFWWJZLASA-N 0 0 446.595 -0.062 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](CSC)N2C(=O)[C@H]3CCCC[C@@H]3C2=O)CC1 ZINC001297288301 1073797059 /nfs/dbraw/zinc/79/70/59/1073797059.db2.gz VEXIBKBFDMFXOZ-KKUMJFAQSA-N 0 0 446.595 -0.062 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H](CSC)N2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC001297288303 1073796967 /nfs/dbraw/zinc/79/69/67/1073796967.db2.gz VEXIBKBFDMFXOZ-QLFBSQMISA-N 0 0 446.595 -0.062 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H](CSC)N2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC001297288305 1073796951 /nfs/dbraw/zinc/79/69/51/1073796951.db2.gz VEXIBKBFDMFXOZ-ZNMIVQPWSA-N 0 0 446.595 -0.062 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)C2(O)CN(C(=O)OCc3ccccc3)C2)CC1 ZINC001297288540 1073797051 /nfs/dbraw/zinc/79/70/51/1073797051.db2.gz YOAFZBXLEQPUBT-UHFFFAOYSA-N 0 0 426.495 -0.585 20 0 IBADRN CCOCc1nnc(N2CCN(C3CCOCC3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001297290838 1073797035 /nfs/dbraw/zinc/79/70/35/1073797035.db2.gz QWCWPTIHVZJZHS-IBGZPJMESA-N 0 0 443.570 -0.335 20 0 IBADRN CCOCc1nnc(N2CCN(C3CCOCC3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001297290839 1073796899 /nfs/dbraw/zinc/79/68/99/1073796899.db2.gz QWCWPTIHVZJZHS-LJQANCHMSA-N 0 0 443.570 -0.335 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCN1CCOC[C@@H]1C ZINC001297292807 1073797080 /nfs/dbraw/zinc/79/70/80/1073797080.db2.gz HRHLIUPDZVOEAZ-KRWDZBQOSA-N 0 0 435.573 -0.064 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCN1CCOC[C@H]1C ZINC001297292808 1073797006 /nfs/dbraw/zinc/79/70/06/1073797006.db2.gz HRHLIUPDZVOEAZ-QGZVFWFLSA-N 0 0 435.573 -0.064 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CC(=O)N1CCOCC1 ZINC001297292845 1073797578 /nfs/dbraw/zinc/79/75/78/1073797578.db2.gz ISVIOXNFOFJQOS-UHFFFAOYSA-N 0 0 435.529 -0.926 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)Nc3cc(C)on3)CC2)n1CC(=O)N(C)C ZINC001297293792 1073797667 /nfs/dbraw/zinc/79/76/67/1073797667.db2.gz VLOCPNHGLRRLRA-UHFFFAOYSA-N 0 0 434.501 -0.040 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCc3nnc4n3CCOC4)c2)CC1 ZINC001297304512 1073797428 /nfs/dbraw/zinc/79/74/28/1073797428.db2.gz ZDIHYASNVTZETE-UHFFFAOYSA-N 0 0 448.505 -0.409 20 0 IBADRN CCOCc1nnc(N2CCN(CCOC)C(=O)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001297304906 1073797462 /nfs/dbraw/zinc/79/74/62/1073797462.db2.gz KSCYTKLOHDXXFT-UHFFFAOYSA-N 0 0 437.545 -0.726 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCNc1ccccn1 ZINC001297307199 1073797607 /nfs/dbraw/zinc/79/76/07/1073797607.db2.gz COSBZTTYEZZVOJ-HNNXBMFYSA-N 0 0 428.497 -0.141 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCNc1ccccn1 ZINC001297307201 1073797644 /nfs/dbraw/zinc/79/76/44/1073797644.db2.gz COSBZTTYEZZVOJ-OAHLLOKOSA-N 0 0 428.497 -0.141 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCOc1ncccc1F ZINC001297307626 1073797692 /nfs/dbraw/zinc/79/76/92/1073797692.db2.gz GTJOLINDHHUCGS-CYBMUJFWSA-N 0 0 433.444 -0.425 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCOc1ncccc1F ZINC001297307627 1073797523 /nfs/dbraw/zinc/79/75/23/1073797523.db2.gz GTJOLINDHHUCGS-ZDUSSCGKSA-N 0 0 433.444 -0.425 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cccc(NC(C)=O)c1 ZINC001297307765 1073797421 /nfs/dbraw/zinc/79/74/21/1073797421.db2.gz IZDSMARRYWWNJR-KRWDZBQOSA-N 0 0 441.492 -0.032 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cccc(NC(C)=O)c1 ZINC001297307796 1073797677 /nfs/dbraw/zinc/79/76/77/1073797677.db2.gz IZDSMARRYWWNJR-QGZVFWFLSA-N 0 0 441.492 -0.032 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@](C)(O)c1cccs1 ZINC001297307802 1073797453 /nfs/dbraw/zinc/79/74/53/1073797453.db2.gz JBQOLNACUKWEPF-BFUOFWGJSA-N 0 0 434.522 -0.069 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@](C)(O)c1cccs1 ZINC001297307803 1073797564 /nfs/dbraw/zinc/79/75/64/1073797564.db2.gz JBQOLNACUKWEPF-DJJJIMSYSA-N 0 0 434.522 -0.069 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@](C)(O)c1cccs1 ZINC001297307804 1073797484 /nfs/dbraw/zinc/79/74/84/1073797484.db2.gz JBQOLNACUKWEPF-ORAYPTAESA-N 0 0 434.522 -0.069 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@](C)(O)c1cccs1 ZINC001297307805 1073797438 /nfs/dbraw/zinc/79/74/38/1073797438.db2.gz JBQOLNACUKWEPF-YJYMSZOUSA-N 0 0 434.522 -0.069 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCN1CCCCCC1=O ZINC001297307815 1073797634 /nfs/dbraw/zinc/79/76/34/1073797634.db2.gz JISJDKBVMGGCQE-INIZCTEOSA-N 0 0 447.540 -0.246 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCN1CCCCCC1=O ZINC001297307816 1073797592 /nfs/dbraw/zinc/79/75/92/1073797592.db2.gz JISJDKBVMGGCQE-MRXNPFEDSA-N 0 0 447.540 -0.246 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cccn(CC)c1=O ZINC001297308285 1073798037 /nfs/dbraw/zinc/79/80/37/1073798037.db2.gz QUKGSUOVPQJNIH-HNNXBMFYSA-N 0 0 429.481 -0.809 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cccn(CC)c1=O ZINC001297308286 1073798150 /nfs/dbraw/zinc/79/81/50/1073798150.db2.gz QUKGSUOVPQJNIH-OAHLLOKOSA-N 0 0 429.481 -0.809 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1c(CC)nn(C)c1CC ZINC001297308287 1073798128 /nfs/dbraw/zinc/79/81/28/1073798128.db2.gz QWNDSLKIAHUAQK-AWEZNQCLSA-N 0 0 444.540 -0.132 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1c(CC)nn(C)c1CC ZINC001297308288 1073798088 /nfs/dbraw/zinc/79/80/88/1073798088.db2.gz QWNDSLKIAHUAQK-CQSZACIVSA-N 0 0 444.540 -0.132 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCS(=O)(=O)C(C)(C)C ZINC001297308384 1073798103 /nfs/dbraw/zinc/79/81/03/1073798103.db2.gz UEIWSVDROZOKGZ-CYBMUJFWSA-N 0 0 442.542 -0.825 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCS(=O)(=O)C(C)(C)C ZINC001297308385 1073798097 /nfs/dbraw/zinc/79/80/97/1073798097.db2.gz UEIWSVDROZOKGZ-ZDUSSCGKSA-N 0 0 442.542 -0.825 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCC(=O)N(CC)CC ZINC001297308397 1073797958 /nfs/dbraw/zinc/79/79/58/1073797958.db2.gz UNOHKVZPDZFQFX-HNNXBMFYSA-N 0 0 435.529 -0.390 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCC(=O)N(CC)CC ZINC001297308398 1073798011 /nfs/dbraw/zinc/79/80/11/1073798011.db2.gz UNOHKVZPDZFQFX-OAHLLOKOSA-N 0 0 435.529 -0.390 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccc(C(N)=O)cc1 ZINC001297308402 1073797942 /nfs/dbraw/zinc/79/79/42/1073797942.db2.gz UUPWYVKLHYPBMA-HNNXBMFYSA-N 0 0 427.465 -0.891 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccc(C(N)=O)cc1 ZINC001297308403 1073797952 /nfs/dbraw/zinc/79/79/52/1073797952.db2.gz UUPWYVKLHYPBMA-OAHLLOKOSA-N 0 0 427.465 -0.891 20 0 IBADRN CCOCc1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001297308494 1073797931 /nfs/dbraw/zinc/79/79/31/1073797931.db2.gz YLILSWYZBGVKNJ-AWEZNQCLSA-N 0 0 430.531 -0.857 20 0 IBADRN CCOCc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001297308537 1073797999 /nfs/dbraw/zinc/79/79/99/1073797999.db2.gz ZJNFDAKMYOWYIU-UHFFFAOYSA-N 0 0 443.574 -0.940 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)Nc1ccc(F)cc1 ZINC001297308538 1073798048 /nfs/dbraw/zinc/79/80/48/1073798048.db2.gz ZKSPZYQPTKFULX-HNNXBMFYSA-N 0 0 445.455 -0.261 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)Nc1ccc(F)cc1 ZINC001297308539 1073797972 /nfs/dbraw/zinc/79/79/72/1073797972.db2.gz ZKSPZYQPTKFULX-OAHLLOKOSA-N 0 0 445.455 -0.261 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1CCC(=O)NC ZINC001297308541 1073798592 /nfs/dbraw/zinc/79/85/92/1073798592.db2.gz ZMJAWDRCWFQPGH-UHFFFAOYSA-N 0 0 441.514 -0.409 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccc(N(C)C)nc1 ZINC001297308564 1073798646 /nfs/dbraw/zinc/79/86/46/1073798646.db2.gz ZWAQDYFYSSCIAP-HNNXBMFYSA-N 0 0 428.497 -0.529 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccc(N(C)C)nc1 ZINC001297308565 1073798555 /nfs/dbraw/zinc/79/85/55/1073798555.db2.gz ZWAQDYFYSSCIAP-OAHLLOKOSA-N 0 0 428.497 -0.529 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(F)(F)Br ZINC001297308568 1073798602 /nfs/dbraw/zinc/79/86/02/1073798602.db2.gz ZXAWZCMDXVXHHU-SECBINFHSA-N 0 0 437.245 -0.051 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(F)(F)Br ZINC001297308569 1073798790 /nfs/dbraw/zinc/79/87/90/1073798790.db2.gz ZXAWZCMDXVXHHU-VIFPVBQESA-N 0 0 437.245 -0.051 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001297311088 1073798668 /nfs/dbraw/zinc/79/86/68/1073798668.db2.gz GQQKHLIOHMZFMC-CABCVRRESA-N 0 0 441.558 -0.205 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001297311119 1073798757 /nfs/dbraw/zinc/79/87/57/1073798757.db2.gz GQQKHLIOHMZFMC-HUUCEWRRSA-N 0 0 441.558 -0.205 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001297314362 1073798745 /nfs/dbraw/zinc/79/87/45/1073798745.db2.gz PVCBTCOEPCCLHR-KBPBESRZSA-N 0 0 427.531 -0.690 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001297314363 1073798544 /nfs/dbraw/zinc/79/85/44/1073798544.db2.gz PVCBTCOEPCCLHR-KGLIPLIRSA-N 0 0 427.531 -0.690 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001297314364 1073798723 /nfs/dbraw/zinc/79/87/23/1073798723.db2.gz PVCBTCOEPCCLHR-UONOGXRCSA-N 0 0 427.531 -0.690 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001297314365 1073798767 /nfs/dbraw/zinc/79/87/67/1073798767.db2.gz PVCBTCOEPCCLHR-ZIAGYGMSSA-N 0 0 427.531 -0.690 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)n1CC1(C(N)=O)CCOCC1 ZINC001297315758 1073798716 /nfs/dbraw/zinc/79/87/16/1073798716.db2.gz ZUQXQSQXMBBDFS-AWEZNQCLSA-N 0 0 449.512 -0.431 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)n1CC1(C(N)=O)CCOCC1 ZINC001297315759 1073798686 /nfs/dbraw/zinc/79/86/86/1073798686.db2.gz ZUQXQSQXMBBDFS-CQSZACIVSA-N 0 0 449.512 -0.431 20 0 IBADRN CCOCc1nnc(N2CCO[C@](C)(c3cnn(C)c3)C2)n1CCNS(C)(=O)=O ZINC001297318984 1073798618 /nfs/dbraw/zinc/79/86/18/1073798618.db2.gz AAQHINBDVMWAPA-KRWDZBQOSA-N 0 0 427.531 -0.151 20 0 IBADRN CCOCc1nnc(N2CCO[C@@](C)(c3cnn(C)c3)C2)n1CCNS(C)(=O)=O ZINC001297318985 1073798580 /nfs/dbraw/zinc/79/85/80/1073798580.db2.gz AAQHINBDVMWAPA-QGZVFWFLSA-N 0 0 427.531 -0.151 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)NCC2(N3CCOCC3)CC2)c1 ZINC001297322990 1073798699 /nfs/dbraw/zinc/79/86/99/1073798699.db2.gz PPTKIPAYGLPTJA-UHFFFAOYSA-N 0 0 430.914 -0.302 20 0 IBADRN CCOCc1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297331795 1073798734 /nfs/dbraw/zinc/79/87/34/1073798734.db2.gz HEBKYURGZBXISC-HNNXBMFYSA-N 0 0 445.542 -0.904 20 0 IBADRN CCOCc1nnc(N2CCO[C@H](CNC(C)=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001297331796 1073798520 /nfs/dbraw/zinc/79/85/20/1073798520.db2.gz HEBKYURGZBXISC-OAHLLOKOSA-N 0 0 445.542 -0.904 20 0 IBADRN CCOCc1nnc(N2CCO[C@@H](Cn3cccn3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297333843 1073798780 /nfs/dbraw/zinc/79/87/80/1073798780.db2.gz UEEJFQNLCAZMTF-CABCVRRESA-N 0 0 432.485 -0.095 20 0 IBADRN CCOCc1nnc(N2CCO[C@H](Cn3cccn3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001297333844 1073798627 /nfs/dbraw/zinc/79/86/27/1073798627.db2.gz UEEJFQNLCAZMTF-GJZGRUSLSA-N 0 0 432.485 -0.095 20 0 IBADRN CCOCc1nnc(N2CCS(=O)(=O)CC2)n1CCCN1CCC[C@H]1C(=O)N(C)C ZINC001297341987 1073799082 /nfs/dbraw/zinc/79/90/82/1073799082.db2.gz ALWJCPRIDWNSKW-INIZCTEOSA-N 0 0 442.586 -0.008 20 0 IBADRN CCOCc1nnc(N2CCS(=O)(=O)CC2)n1CCCN1CCC[C@@H]1C(=O)N(C)C ZINC001297341988 1073799312 /nfs/dbraw/zinc/79/93/12/1073799312.db2.gz ALWJCPRIDWNSKW-MRXNPFEDSA-N 0 0 442.586 -0.008 20 0 IBADRN CCOCc1nnc(N2CCS(=O)CC2)n1Cc1ccc(C(=O)NCC(N)=O)cc1 ZINC001297343496 1073799291 /nfs/dbraw/zinc/79/92/91/1073799291.db2.gz MKQJJYDUQFQJNY-UHFFFAOYSA-N 0 0 434.522 -0.353 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCO[C@H](C(=O)C3CC3)C2)c1 ZINC001297346112 1073799228 /nfs/dbraw/zinc/79/92/28/1073799228.db2.gz QURMXOVQAJHKSY-AWEZNQCLSA-N 0 0 429.882 -0.076 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCO[C@@H](C(=O)C3CC3)C2)c1 ZINC001297346113 1073799305 /nfs/dbraw/zinc/79/93/05/1073799305.db2.gz QURMXOVQAJHKSY-CQSZACIVSA-N 0 0 429.882 -0.076 20 0 IBADRN CCOCc1nnc(N2CC[C@@H]3NC(=O)OC[C@H]3C2)n1CCN1CCCS1(=O)=O ZINC001297346497 1073799183 /nfs/dbraw/zinc/79/91/83/1073799183.db2.gz BQAXYCVAEWACQB-KGLIPLIRSA-N 0 0 428.515 -0.215 20 0 IBADRN CCOCc1nnc(N2C[C@@H](CC(=O)OC)[C@H](O)C2)n1CC1CCS(=O)(=O)CC1 ZINC001297351429 1073799332 /nfs/dbraw/zinc/79/93/32/1073799332.db2.gz HPVLSNOJSVQFRT-HUUCEWRRSA-N 0 0 430.527 0.000 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)NCCCn1c(=O)ccn(C)c1=O ZINC001297379357 1073799164 /nfs/dbraw/zinc/79/91/64/1073799164.db2.gz CKGZYIQWZANXTR-UHFFFAOYSA-N 0 0 431.493 -0.330 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)c1=O ZINC001297379809 1073799241 /nfs/dbraw/zinc/79/92/41/1073799241.db2.gz GNOFHXUDOSHWFH-HNNXBMFYSA-N 0 0 428.445 -0.122 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)c1=O ZINC001297379810 1073799267 /nfs/dbraw/zinc/79/92/67/1073799267.db2.gz GNOFHXUDOSHWFH-OAHLLOKOSA-N 0 0 428.445 -0.122 20 0 IBADRN CCOC[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(=O)(=O)CC)C1 ZINC001297411861 1073799204 /nfs/dbraw/zinc/79/92/04/1073799204.db2.gz UCFXIXWRSWANTC-CABCVRRESA-N 0 0 449.599 -0.018 20 0 IBADRN CCOC[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(=O)(=O)CC)C1 ZINC001297411864 1073799112 /nfs/dbraw/zinc/79/91/12/1073799112.db2.gz UCFXIXWRSWANTC-GJZGRUSLSA-N 0 0 449.599 -0.018 20 0 IBADRN CCOC[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(=O)(=O)CC)C1 ZINC001297411867 1073799097 /nfs/dbraw/zinc/79/90/97/1073799097.db2.gz UCFXIXWRSWANTC-HUUCEWRRSA-N 0 0 449.599 -0.018 20 0 IBADRN CCOC[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(=O)(=O)CC)C1 ZINC001297411870 1073799276 /nfs/dbraw/zinc/79/92/76/1073799276.db2.gz UCFXIXWRSWANTC-LSDHHAIUSA-N 0 0 449.599 -0.018 20 0 IBADRN CCOCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001297489787 1073799155 /nfs/dbraw/zinc/79/91/55/1073799155.db2.gz XZKUWFMDEHRDIO-INIZCTEOSA-N 0 0 447.540 -0.073 20 0 IBADRN CCOCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001297489788 1073799138 /nfs/dbraw/zinc/79/91/38/1073799138.db2.gz XZKUWFMDEHRDIO-MRXNPFEDSA-N 0 0 447.540 -0.073 20 0 IBADRN CCOCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001297490138 1073799198 /nfs/dbraw/zinc/79/91/98/1073799198.db2.gz DFDOPGAISSHDLC-HNNXBMFYSA-N 0 0 429.543 -0.098 20 0 IBADRN CCOCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001297490139 1073799125 /nfs/dbraw/zinc/79/91/25/1073799125.db2.gz DFDOPGAISSHDLC-OAHLLOKOSA-N 0 0 429.543 -0.098 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC1 ZINC001297505404 1073799794 /nfs/dbraw/zinc/79/97/94/1073799794.db2.gz LAUJSQBQRJFLQD-UHFFFAOYSA-N 0 0 427.593 -0.764 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC001297506010 1073799666 /nfs/dbraw/zinc/79/96/66/1073799666.db2.gz GQNUGPBANCLYJT-UHFFFAOYSA-N 0 0 443.425 -0.441 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)C2=C(C)N(C)c3nnnn3C2)CC1 ZINC001297506030 1073799828 /nfs/dbraw/zinc/79/98/28/1073799828.db2.gz RCPJBGORSYHEJC-UHFFFAOYSA-N 0 0 426.547 -0.092 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1 ZINC001297523506 1073799887 /nfs/dbraw/zinc/79/98/87/1073799887.db2.gz AKPGDYKNVSRVJL-PBHICJAKSA-N 0 0 433.531 -0.765 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1 ZINC001297523507 1073799747 /nfs/dbraw/zinc/79/97/47/1073799747.db2.gz AKPGDYKNVSRVJL-RHSMWYFYSA-N 0 0 433.531 -0.765 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1 ZINC001297523508 1073799862 /nfs/dbraw/zinc/79/98/62/1073799862.db2.gz AKPGDYKNVSRVJL-WMLDXEAASA-N 0 0 433.531 -0.765 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1 ZINC001297523509 1073799757 /nfs/dbraw/zinc/79/97/57/1073799757.db2.gz AKPGDYKNVSRVJL-YOEHRIQHSA-N 0 0 433.531 -0.765 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001297523987 1073799781 /nfs/dbraw/zinc/79/97/81/1073799781.db2.gz DNRNEPXMEFGSRM-CABCVRRESA-N 0 0 449.599 -0.066 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001297523989 1073799854 /nfs/dbraw/zinc/79/98/54/1073799854.db2.gz DNRNEPXMEFGSRM-GJZGRUSLSA-N 0 0 449.599 -0.066 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001297523991 1073800162 /nfs/dbraw/zinc/80/01/62/1073800162.db2.gz DNRNEPXMEFGSRM-HUUCEWRRSA-N 0 0 449.599 -0.066 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001297523993 1073800292 /nfs/dbraw/zinc/80/02/92/1073800292.db2.gz DNRNEPXMEFGSRM-LSDHHAIUSA-N 0 0 449.599 -0.066 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCc1n[nH]c(C)n1 ZINC001297527097 1073800171 /nfs/dbraw/zinc/80/01/71/1073800171.db2.gz XBWHQPTXQWMTHT-AWEZNQCLSA-N 0 0 442.546 -0.247 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCc1n[nH]c(C)n1 ZINC001297527111 1073800239 /nfs/dbraw/zinc/80/02/39/1073800239.db2.gz XBWHQPTXQWMTHT-CQSZACIVSA-N 0 0 442.546 -0.247 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)CN2CCNC(=O)C2)c1 ZINC001297559538 1073800408 /nfs/dbraw/zinc/80/04/08/1073800408.db2.gz XSGJCLQMVXJREP-UHFFFAOYSA-N 0 0 433.328 -0.416 20 0 IBADRN CCOCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001297571202 1073800341 /nfs/dbraw/zinc/80/03/41/1073800341.db2.gz HHKONRCXCMURMW-INIZCTEOSA-N 0 0 435.529 -0.754 20 0 IBADRN CCOCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001297571209 1073800384 /nfs/dbraw/zinc/80/03/84/1073800384.db2.gz HHKONRCXCMURMW-MRXNPFEDSA-N 0 0 435.529 -0.754 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)c(Cl)c2)C1=O ZINC001297573914 1073800111 /nfs/dbraw/zinc/80/01/11/1073800111.db2.gz HKIUJLFTGKKVEP-INIZCTEOSA-N 0 0 449.892 -0.242 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CN1C(=O)N[C@](C)(c2ccc(F)c(Cl)c2)C1=O ZINC001297573915 1073800123 /nfs/dbraw/zinc/80/01/23/1073800123.db2.gz HKIUJLFTGKKVEP-MRXNPFEDSA-N 0 0 449.892 -0.242 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1ccc(S(C)(=O)=O)c(Br)c1 ZINC001297577613 1073800223 /nfs/dbraw/zinc/80/02/23/1073800223.db2.gz XAULRRGMBKWAAM-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN CCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCn1cccn1 ZINC001297579562 1073800091 /nfs/dbraw/zinc/80/00/91/1073800091.db2.gz QPVLSHVHPCZOLC-HNNXBMFYSA-N 0 0 427.531 -0.020 20 0 IBADRN CCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCn1cccn1 ZINC001297579565 1073800130 /nfs/dbraw/zinc/80/01/30/1073800130.db2.gz QPVLSHVHPCZOLC-OAHLLOKOSA-N 0 0 427.531 -0.020 20 0 IBADRN CCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(=O)NC)CC1 ZINC001297580009 1073800428 /nfs/dbraw/zinc/80/04/28/1073800428.db2.gz TVGWXWVOGXCMRD-AWEZNQCLSA-N 0 0 445.546 -0.891 20 0 IBADRN CCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(=O)NC)CC1 ZINC001297580029 1073800363 /nfs/dbraw/zinc/80/03/63/1073800363.db2.gz TVGWXWVOGXCMRD-CQSZACIVSA-N 0 0 445.546 -0.891 20 0 IBADRN CCOCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001297580416 1073800441 /nfs/dbraw/zinc/80/04/41/1073800441.db2.gz UQEYRIGTMBSHQP-HNNXBMFYSA-N 0 0 428.559 -0.573 20 0 IBADRN CCOCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001297580417 1073800084 /nfs/dbraw/zinc/80/00/84/1073800084.db2.gz UQEYRIGTMBSHQP-OAHLLOKOSA-N 0 0 428.559 -0.573 20 0 IBADRN CCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCN(C(C)=O)CC1 ZINC001297580464 1073800314 /nfs/dbraw/zinc/80/03/14/1073800314.db2.gz VJQDZWIYEVNGSC-INIZCTEOSA-N 0 0 444.558 -0.294 20 0 IBADRN CCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCN(C(C)=O)CC1 ZINC001297580465 1073800143 /nfs/dbraw/zinc/80/01/43/1073800143.db2.gz VJQDZWIYEVNGSC-MRXNPFEDSA-N 0 0 444.558 -0.294 20 0 IBADRN CCOCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001297580648 1073800454 /nfs/dbraw/zinc/80/04/54/1073800454.db2.gz WCCLTPSGLNPLJA-HNNXBMFYSA-N 0 0 440.570 -0.383 20 0 IBADRN CCOCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001297580654 1073800101 /nfs/dbraw/zinc/80/01/01/1073800101.db2.gz WCCLTPSGLNPLJA-OAHLLOKOSA-N 0 0 440.570 -0.383 20 0 IBADRN CCOCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001297580690 1073800754 /nfs/dbraw/zinc/80/07/54/1073800754.db2.gz WGJWSONBHNKYGQ-KBPBESRZSA-N 0 0 435.572 -0.313 20 0 IBADRN CCOCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001297580692 1073800911 /nfs/dbraw/zinc/80/09/11/1073800911.db2.gz WGJWSONBHNKYGQ-UONOGXRCSA-N 0 0 435.572 -0.313 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)C3(CS(C)(=O)=O)COC3)C2=O)c(OC)c1 ZINC001297585297 1073800853 /nfs/dbraw/zinc/80/08/53/1073800853.db2.gz HAFHDPBABANXKE-HNNXBMFYSA-N 0 0 426.491 -0.018 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)C3(CS(C)(=O)=O)COC3)C2=O)c(OC)c1 ZINC001297585305 1073800812 /nfs/dbraw/zinc/80/08/12/1073800812.db2.gz HAFHDPBABANXKE-OAHLLOKOSA-N 0 0 426.491 -0.018 20 0 IBADRN COc1ccc(C(=O)NC[C@H]2CCN(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001297586360 1073800836 /nfs/dbraw/zinc/80/08/36/1073800836.db2.gz HGEJIHFDLLTWOA-CYBMUJFWSA-N 0 0 426.495 -0.154 20 0 IBADRN COc1ccc(C(=O)NC[C@@H]2CCN(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001297586362 1073800872 /nfs/dbraw/zinc/80/08/72/1073800872.db2.gz HGEJIHFDLLTWOA-ZDUSSCGKSA-N 0 0 426.495 -0.154 20 0 IBADRN CCOCCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001297589175 1073800957 /nfs/dbraw/zinc/80/09/57/1073800957.db2.gz CZRAEZKWYMEGEV-UHFFFAOYSA-N 0 0 433.513 -0.636 20 0 IBADRN CCOCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)N2CCOCC2)CC1 ZINC001297596378 1073800881 /nfs/dbraw/zinc/80/08/81/1073800881.db2.gz MAJWPPMJJQQGHY-UHFFFAOYSA-N 0 0 449.556 -0.469 20 0 IBADRN CCOCCn1c(N2CCN3C(=O)C(=O)NC[C@@H]3C2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001297596701 1073800986 /nfs/dbraw/zinc/80/09/86/1073800986.db2.gz PXUWDACUPKYCMW-FOIQADDNSA-N 0 0 436.513 -0.498 20 0 IBADRN CCOCCn1c(N2CCN3C(=O)C(=O)NC[C@H]3C2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001297596702 1073800714 /nfs/dbraw/zinc/80/07/14/1073800714.db2.gz PXUWDACUPKYCMW-MGPUTAFESA-N 0 0 436.513 -0.498 20 0 IBADRN CCOCCn1c(N2CCN3C(=O)C(=O)NC[C@@H]3C2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001297596703 1073800921 /nfs/dbraw/zinc/80/09/21/1073800921.db2.gz PXUWDACUPKYCMW-QRWLVFNGSA-N 0 0 436.513 -0.498 20 0 IBADRN CCOCCn1c(N2CCN3C(=O)C(=O)NC[C@H]3C2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001297596704 1073800734 /nfs/dbraw/zinc/80/07/34/1073800734.db2.gz PXUWDACUPKYCMW-YWZLYKJASA-N 0 0 436.513 -0.498 20 0 IBADRN CCOCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CCS(C)(=O)=O)CC1 ZINC001297597856 1073800900 /nfs/dbraw/zinc/80/09/00/1073800900.db2.gz MSYDLCDBZSOSQL-UHFFFAOYSA-N 0 0 428.559 -0.283 20 0 IBADRN CCOCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001297600742 1073800931 /nfs/dbraw/zinc/80/09/31/1073800931.db2.gz DCDOEZWFFDTPHW-HUUCEWRRSA-N 0 0 443.574 -0.675 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001297600743 1073800768 /nfs/dbraw/zinc/80/07/68/1073800768.db2.gz DCDOEZWFFDTPHW-LSDHHAIUSA-N 0 0 443.574 -0.675 20 0 IBADRN CCOCCN1CCN(c2nnc(-c3cccn3C)n2CCS(=O)(=O)NC)CC1 ZINC001297610126 1073801581 /nfs/dbraw/zinc/80/15/81/1073801581.db2.gz NZFKGCTUVRLNLT-UHFFFAOYSA-N 0 0 425.559 -0.009 20 0 IBADRN CCOCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001297610646 1073801307 /nfs/dbraw/zinc/80/13/07/1073801307.db2.gz AAYLMZNQRBZCQS-INIZCTEOSA-N 0 0 449.556 -0.330 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001297610647 1073801637 /nfs/dbraw/zinc/80/16/37/1073801637.db2.gz AAYLMZNQRBZCQS-MRXNPFEDSA-N 0 0 449.556 -0.330 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC[C@@H]2NC(=O)NC2=O)CC1 ZINC001297611255 1073801509 /nfs/dbraw/zinc/80/15/09/1073801509.db2.gz FYMUCPIZYWIELF-CABCVRRESA-N 0 0 448.528 -0.624 20 0 IBADRN CCOCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC[C@@H]2NC(=O)NC2=O)CC1 ZINC001297611335 1073801612 /nfs/dbraw/zinc/80/16/12/1073801612.db2.gz FYMUCPIZYWIELF-GJZGRUSLSA-N 0 0 448.528 -0.624 20 0 IBADRN CCOCCN1CCN(c2nnc(-c3cnccn3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001297613276 1073801370 /nfs/dbraw/zinc/80/13/70/1073801370.db2.gz SIUMWRAVWXDHFO-UHFFFAOYSA-N 0 0 438.558 -0.215 20 0 IBADRN CCOCCN1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)CC1 ZINC001297620661 1073801234 /nfs/dbraw/zinc/80/12/34/1073801234.db2.gz QPFVITGRPQGPJK-UHFFFAOYSA-N 0 0 449.556 -0.582 20 0 IBADRN CCOCCO[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)C1 ZINC001297632795 1073801289 /nfs/dbraw/zinc/80/12/89/1073801289.db2.gz OZKAKYCTSBZNQK-CABCVRRESA-N 0 0 429.543 -0.052 20 0 IBADRN CCOCCO[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)C1 ZINC001297632796 1073801492 /nfs/dbraw/zinc/80/14/92/1073801492.db2.gz OZKAKYCTSBZNQK-GJZGRUSLSA-N 0 0 429.543 -0.052 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)[C@H](C)C1 ZINC001297638036 1073801539 /nfs/dbraw/zinc/80/15/39/1073801539.db2.gz FLFMETBXHMQSSP-DOMZBBRYSA-N 0 0 428.486 -0.318 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)[C@H](C)C1 ZINC001297638037 1073801662 /nfs/dbraw/zinc/80/16/62/1073801662.db2.gz FLFMETBXHMQSSP-IUODEOHRSA-N 0 0 428.486 -0.318 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(C(=O)O[C@@H](C)C(=O)NCCOC)cc2)C(=O)C1=O ZINC001297639478 1073801554 /nfs/dbraw/zinc/80/15/54/1073801554.db2.gz AEFKWMLYTQJOPJ-AWEZNQCLSA-N 0 0 448.476 -0.376 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(C(=O)O[C@H](C)C(=O)NCCOC)cc2)C(=O)C1=O ZINC001297639479 1073801568 /nfs/dbraw/zinc/80/15/68/1073801568.db2.gz AEFKWMLYTQJOPJ-CQSZACIVSA-N 0 0 448.476 -0.376 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccc(Cl)c1Cl)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1 ZINC001297640597 1073801336 /nfs/dbraw/zinc/80/13/36/1073801336.db2.gz HIJSPDJZVYILSB-JTNHKYCSSA-N 0 0 425.290 -0.199 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1Cl ZINC001297641890 1073801982 /nfs/dbraw/zinc/80/19/82/1073801982.db2.gz QXLCNYUQQFCRRS-UHFFFAOYSA-N 0 0 433.339 -0.216 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)CC1 ZINC001297644292 1073802045 /nfs/dbraw/zinc/80/20/45/1073802045.db2.gz PXAPBOZBSQTDBQ-CYBMUJFWSA-N 0 0 447.583 -0.280 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)CC1 ZINC001297644293 1073802117 /nfs/dbraw/zinc/80/21/17/1073802117.db2.gz PXAPBOZBSQTDBQ-ZDUSSCGKSA-N 0 0 447.583 -0.280 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)C2 ZINC001297645513 1073802129 /nfs/dbraw/zinc/80/21/29/1073802129.db2.gz JECRFAFTJFZJDJ-AEFFLSMTSA-N 0 0 445.495 -0.101 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)C2 ZINC001297645518 1073801909 /nfs/dbraw/zinc/80/19/09/1073801909.db2.gz JECRFAFTJFZJDJ-FUHWJXTLSA-N 0 0 445.495 -0.101 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)C2 ZINC001297645519 1073802020 /nfs/dbraw/zinc/80/20/20/1073802020.db2.gz JECRFAFTJFZJDJ-SJLPKXTDSA-N 0 0 445.495 -0.101 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)C2 ZINC001297645521 1073801996 /nfs/dbraw/zinc/80/19/96/1073801996.db2.gz JECRFAFTJFZJDJ-WMZOPIPTSA-N 0 0 445.495 -0.101 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc(CN3CCCC3=O)n2CCS(C)(=O)=O)CC1 ZINC001297650275 1073802098 /nfs/dbraw/zinc/80/20/98/1073802098.db2.gz NUQQUBLEFYLNTC-UHFFFAOYSA-N 0 0 447.583 -0.151 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC(C)(C)O ZINC001297653737 1073801971 /nfs/dbraw/zinc/80/19/71/1073801971.db2.gz JIATYLBMNXHSKS-NEPJUHHUSA-N 0 0 425.577 -0.081 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC(C)(C)O ZINC001297653738 1073802076 /nfs/dbraw/zinc/80/20/76/1073802076.db2.gz JIATYLBMNXHSKS-NWDGAFQWSA-N 0 0 425.577 -0.081 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC(C)(C)O ZINC001297653739 1073802054 /nfs/dbraw/zinc/80/20/54/1073802054.db2.gz JIATYLBMNXHSKS-RYUDHWBXSA-N 0 0 425.577 -0.081 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC(C)(C)O ZINC001297653740 1073801936 /nfs/dbraw/zinc/80/19/36/1073801936.db2.gz JIATYLBMNXHSKS-VXGBXAGGSA-N 0 0 425.577 -0.081 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc(-c2cnccn2)n1CC(=O)N1CCOCC1 ZINC001297660379 1073802192 /nfs/dbraw/zinc/80/21/92/1073802192.db2.gz WPMCFZHZIPECQW-AWEZNQCLSA-N 0 0 437.526 -0.147 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc(-c2cnccn2)n1CC(=O)N1CCOCC1 ZINC001297660380 1073802061 /nfs/dbraw/zinc/80/20/61/1073802061.db2.gz WPMCFZHZIPECQW-CQSZACIVSA-N 0 0 437.526 -0.147 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C[C@@H]2CCS(=O)(=O)C2)CC3)CC1 ZINC001297661450 1073802011 /nfs/dbraw/zinc/80/20/11/1073802011.db2.gz DCRQCYBYJXOKIM-CVEARBPZSA-N 0 0 437.566 -0.226 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C[C@@H]2CCS(=O)(=O)C2)CC3)CC1 ZINC001297661451 1073802161 /nfs/dbraw/zinc/80/21/61/1073802161.db2.gz DCRQCYBYJXOKIM-HOTGVXAUSA-N 0 0 437.566 -0.226 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C[C@H]2CCS(=O)(=O)C2)CC3)CC1 ZINC001297661452 1073801874 /nfs/dbraw/zinc/80/18/74/1073801874.db2.gz DCRQCYBYJXOKIM-HZPDHXFCSA-N 0 0 437.566 -0.226 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C[C@H]2CCS(=O)(=O)C2)CC3)CC1 ZINC001297661453 1073801950 /nfs/dbraw/zinc/80/19/50/1073801950.db2.gz DCRQCYBYJXOKIM-JKSUJKDBSA-N 0 0 437.566 -0.226 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCNC(C)=O ZINC001297661701 1073802032 /nfs/dbraw/zinc/80/20/32/1073802032.db2.gz DYAREJALEQPSSY-DZGCQCFKSA-N 0 0 449.599 -0.034 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCNC(C)=O ZINC001297661702 1073802085 /nfs/dbraw/zinc/80/20/85/1073802085.db2.gz DYAREJALEQPSSY-HIFRSBDPSA-N 0 0 449.599 -0.034 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCNC(C)=O ZINC001297661703 1073802634 /nfs/dbraw/zinc/80/26/34/1073802634.db2.gz DYAREJALEQPSSY-UKRRQHHQSA-N 0 0 449.599 -0.034 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCNC(C)=O ZINC001297661704 1073802482 /nfs/dbraw/zinc/80/24/82/1073802482.db2.gz DYAREJALEQPSSY-ZFWWWQNUSA-N 0 0 449.599 -0.034 20 0 IBADRN CCC[C@@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)S(N)(=O)=O ZINC001297662351 1073802934 /nfs/dbraw/zinc/80/29/34/1073802934.db2.gz JJBJMZCDJNUKAH-PBHICJAKSA-N 0 0 440.570 -0.594 20 0 IBADRN CCC[C@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)S(N)(=O)=O ZINC001297662353 1073802823 /nfs/dbraw/zinc/80/28/23/1073802823.db2.gz JJBJMZCDJNUKAH-RHSMWYFYSA-N 0 0 440.570 -0.594 20 0 IBADRN CCC[C@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)S(N)(=O)=O ZINC001297662354 1073802894 /nfs/dbraw/zinc/80/28/94/1073802894.db2.gz JJBJMZCDJNUKAH-WMLDXEAASA-N 0 0 440.570 -0.594 20 0 IBADRN CCC[C@@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)S(N)(=O)=O ZINC001297662356 1073802763 /nfs/dbraw/zinc/80/27/63/1073802763.db2.gz JJBJMZCDJNUKAH-YOEHRIQHSA-N 0 0 440.570 -0.594 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CNC(=O)N2CCCC2)CC3)CC1 ZINC001297663775 1073802798 /nfs/dbraw/zinc/80/27/98/1073802798.db2.gz QRWNKCDEUGCIEZ-INIZCTEOSA-N 0 0 431.541 -0.245 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CNC(=O)N2CCCC2)CC3)CC1 ZINC001297663776 1073802842 /nfs/dbraw/zinc/80/28/42/1073802842.db2.gz QRWNKCDEUGCIEZ-MRXNPFEDSA-N 0 0 431.541 -0.245 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CC[C@H]2CC[C@@H](C(N)=O)O2)CC3)CC1 ZINC001297664265 1073802546 /nfs/dbraw/zinc/80/25/46/1073802546.db2.gz TWOHOYGQJSXFJD-FCEWJHQRSA-N 0 0 446.552 -0.238 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CC[C@H]2CC[C@@H](C(N)=O)O2)CC3)CC1 ZINC001297664266 1073802512 /nfs/dbraw/zinc/80/25/12/1073802512.db2.gz TWOHOYGQJSXFJD-MDZRGWNJSA-N 0 0 446.552 -0.238 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@H]2CCCOC2)n1CCS(=O)(=O)NC ZINC001297667877 1073802496 /nfs/dbraw/zinc/80/24/96/1073802496.db2.gz FRIKMDISELBHFL-KBPBESRZSA-N 0 0 437.588 -0.019 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@H]2CCCOC2)n1CCS(=O)(=O)NC ZINC001297667878 1073802884 /nfs/dbraw/zinc/80/28/84/1073802884.db2.gz FRIKMDISELBHFL-KGLIPLIRSA-N 0 0 437.588 -0.019 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@@H]2CCCOC2)n1CCS(=O)(=O)NC ZINC001297667879 1073802471 /nfs/dbraw/zinc/80/24/71/1073802471.db2.gz FRIKMDISELBHFL-UONOGXRCSA-N 0 0 437.588 -0.019 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@@H]2CCCOC2)n1CCS(=O)(=O)NC ZINC001297667880 1073802919 /nfs/dbraw/zinc/80/29/19/1073802919.db2.gz FRIKMDISELBHFL-ZIAGYGMSSA-N 0 0 437.588 -0.019 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@H]1COCCO1 ZINC001297671225 1073802459 /nfs/dbraw/zinc/80/24/59/1073802459.db2.gz AKKYQBQSPAGUBL-CHWSQXEVSA-N 0 0 439.560 -0.825 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1COCCO1 ZINC001297671228 1073802864 /nfs/dbraw/zinc/80/28/64/1073802864.db2.gz AKKYQBQSPAGUBL-QWHCGFSZSA-N 0 0 439.560 -0.825 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001297678294 1073802650 /nfs/dbraw/zinc/80/26/50/1073802650.db2.gz ATJZSZBPOHRVIW-CYBMUJFWSA-N 0 0 447.583 -0.075 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001297678295 1073802875 /nfs/dbraw/zinc/80/28/75/1073802875.db2.gz ATJZSZBPOHRVIW-ZDUSSCGKSA-N 0 0 447.583 -0.075 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)NCC(F)(F)F ZINC001297679962 1073803343 /nfs/dbraw/zinc/80/33/43/1073803343.db2.gz WBCBYPVJQFHYRA-JTQLQIEISA-N 0 0 440.448 -0.221 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCC(=O)N2)n1CC(=O)NCC(F)(F)F ZINC001297679964 1073803400 /nfs/dbraw/zinc/80/34/00/1073803400.db2.gz WBCBYPVJQFHYRA-SNVBAGLBSA-N 0 0 440.448 -0.221 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(-c2cccnc2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001297680338 1073803328 /nfs/dbraw/zinc/80/33/28/1073803328.db2.gz MFHBYTVPMVJBNN-KRWDZBQOSA-N 0 0 443.551 -0.239 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(-c2cccnc2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001297680340 1073803419 /nfs/dbraw/zinc/80/34/19/1073803419.db2.gz MFHBYTVPMVJBNN-QGZVFWFLSA-N 0 0 443.551 -0.239 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(-c2cnccn2)n1CCC(=O)N1CCOCC1 ZINC001297683373 1073803269 /nfs/dbraw/zinc/80/32/69/1073803269.db2.gz QRMLMQIGJAXURZ-UHFFFAOYSA-N 0 0 437.526 -0.145 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCC(=O)NC(C)C ZINC001297685596 1073803283 /nfs/dbraw/zinc/80/32/83/1073803283.db2.gz BHUWRQFZDXILOL-AWEZNQCLSA-N 0 0 449.599 -0.034 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCC(=O)NC(C)C ZINC001297685597 1073803371 /nfs/dbraw/zinc/80/33/71/1073803371.db2.gz BHUWRQFZDXILOL-CQSZACIVSA-N 0 0 449.599 -0.034 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1 ZINC001297686923 1073803408 /nfs/dbraw/zinc/80/34/08/1073803408.db2.gz NVEWAQUTFBRJHO-AWEZNQCLSA-N 0 0 435.572 -0.108 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1 ZINC001297686924 1073803314 /nfs/dbraw/zinc/80/33/14/1073803314.db2.gz NVEWAQUTFBRJHO-CQSZACIVSA-N 0 0 435.572 -0.108 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CC[C@H](CO)O1 ZINC001297687047 1073803431 /nfs/dbraw/zinc/80/34/31/1073803431.db2.gz QWHYSFTUXSVYII-LSDHHAIUSA-N 0 0 429.543 -0.189 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCOC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001297687541 1073803392 /nfs/dbraw/zinc/80/33/92/1073803392.db2.gz SRKCAFPGCKYWPI-CABCVRRESA-N 0 0 449.599 -0.066 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCOC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001297687542 1073803380 /nfs/dbraw/zinc/80/33/80/1073803380.db2.gz SRKCAFPGCKYWPI-GJZGRUSLSA-N 0 0 449.599 -0.066 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCOC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001297687543 1073803336 /nfs/dbraw/zinc/80/33/36/1073803336.db2.gz SRKCAFPGCKYWPI-HUUCEWRRSA-N 0 0 449.599 -0.066 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCOC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001297687544 1073803295 /nfs/dbraw/zinc/80/32/95/1073803295.db2.gz SRKCAFPGCKYWPI-LSDHHAIUSA-N 0 0 449.599 -0.066 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001297687726 1073803304 /nfs/dbraw/zinc/80/33/04/1073803304.db2.gz YCRUKVPLPAFVRJ-AWEZNQCLSA-N 0 0 447.583 -0.294 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCS(=O)(=O)C1 ZINC001297687727 1073803353 /nfs/dbraw/zinc/80/33/53/1073803353.db2.gz YCRUKVPLPAFVRJ-CQSZACIVSA-N 0 0 447.583 -0.294 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NC(C)C ZINC001297687935 1073803247 /nfs/dbraw/zinc/80/32/47/1073803247.db2.gz ZGJMKRXJVUNBGL-CYBMUJFWSA-N 0 0 435.572 -0.424 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NC(C)C ZINC001297687936 1073803443 /nfs/dbraw/zinc/80/34/43/1073803443.db2.gz ZGJMKRXJVUNBGL-ZDUSSCGKSA-N 0 0 435.572 -0.424 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCC1(O)CCOCC1 ZINC001297688231 1073803259 /nfs/dbraw/zinc/80/32/59/1073803259.db2.gz SKYSKMQBEZHLSJ-INIZCTEOSA-N 0 0 448.563 -0.184 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCCC1(O)CCOCC1 ZINC001297688232 1073803364 /nfs/dbraw/zinc/80/33/64/1073803364.db2.gz SKYSKMQBEZHLSJ-MRXNPFEDSA-N 0 0 448.563 -0.184 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001297688351 1073803732 /nfs/dbraw/zinc/80/37/32/1073803732.db2.gz MUGGOYJUUYTWTG-CABCVRRESA-N 0 0 438.554 -0.089 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001297688559 1073803738 /nfs/dbraw/zinc/80/37/38/1073803738.db2.gz QFANVPLZRFCYIH-AWEZNQCLSA-N 0 0 441.558 -0.109 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001297688560 1073803992 /nfs/dbraw/zinc/80/39/92/1073803992.db2.gz QFANVPLZRFCYIH-CQSZACIVSA-N 0 0 441.558 -0.109 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)Cc1cnn(C)c1 ZINC001297689611 1073803972 /nfs/dbraw/zinc/80/39/72/1073803972.db2.gz CROIQQWJOQKSJZ-GFCCVEGCSA-N 0 0 433.560 -0.307 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)Cc1cnn(C)c1 ZINC001297689612 1073804072 /nfs/dbraw/zinc/80/40/72/1073804072.db2.gz CROIQQWJOQKSJZ-LBPRGKRZSA-N 0 0 433.560 -0.307 20 0 IBADRN CCS(=O)(=O)CCn1c(C)nnc1N1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC001297689621 1073803746 /nfs/dbraw/zinc/80/37/46/1073803746.db2.gz CUQRKISUUPCFAW-HNNXBMFYSA-N 0 0 437.588 -0.102 20 0 IBADRN CCS(=O)(=O)CCn1c(C)nnc1N1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC001297689622 1073804027 /nfs/dbraw/zinc/80/40/27/1073804027.db2.gz CUQRKISUUPCFAW-OAHLLOKOSA-N 0 0 437.588 -0.102 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCOC2)C1 ZINC001297692034 1073803950 /nfs/dbraw/zinc/80/39/50/1073803950.db2.gz YVFSPNOLWLRIIX-BBRMVZONSA-N 0 0 435.572 -0.060 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCOC2)C1 ZINC001297692035 1073803935 /nfs/dbraw/zinc/80/39/35/1073803935.db2.gz YVFSPNOLWLRIIX-CJNGLKHVSA-N 0 0 435.572 -0.060 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCOC2)C1 ZINC001297692036 1073803787 /nfs/dbraw/zinc/80/37/87/1073803787.db2.gz YVFSPNOLWLRIIX-CZUORRHYSA-N 0 0 435.572 -0.060 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCOC2)C1 ZINC001297692037 1073804010 /nfs/dbraw/zinc/80/40/10/1073804010.db2.gz YVFSPNOLWLRIIX-XJKSGUPXSA-N 0 0 435.572 -0.060 20 0 IBADRN CCS(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297700706 1073803900 /nfs/dbraw/zinc/80/39/00/1073803900.db2.gz RXPWOUKCMMFUAK-UHFFFAOYSA-N 0 0 428.540 -0.144 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCO[C@@]3(CCCOC3)C2)c1 ZINC001297701472 1073803921 /nfs/dbraw/zinc/80/39/21/1073803921.db2.gz CYYHHKHKTBIMNK-KRWDZBQOSA-N 0 0 432.520 -0.224 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCO[C@]3(CCCOC3)C2)c1 ZINC001297701473 1073803843 /nfs/dbraw/zinc/80/38/43/1073803843.db2.gz CYYHHKHKTBIMNK-QGZVFWFLSA-N 0 0 432.520 -0.224 20 0 IBADRN C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001297701769 1073804622 /nfs/dbraw/zinc/80/46/22/1073804622.db2.gz HXNJBJKKIQJWAT-CABCVRRESA-N 0 0 445.563 -0.310 20 0 IBADRN C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001297701770 1073804433 /nfs/dbraw/zinc/80/44/33/1073804433.db2.gz HXNJBJKKIQJWAT-GJZGRUSLSA-N 0 0 445.563 -0.310 20 0 IBADRN C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001297701771 1073804664 /nfs/dbraw/zinc/80/46/64/1073804664.db2.gz HXNJBJKKIQJWAT-HUUCEWRRSA-N 0 0 445.563 -0.310 20 0 IBADRN C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001297701772 1073804519 /nfs/dbraw/zinc/80/45/19/1073804519.db2.gz HXNJBJKKIQJWAT-LSDHHAIUSA-N 0 0 445.563 -0.310 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N(C)[C@H](C)CS(=O)(=O)CC ZINC001297703572 1073804565 /nfs/dbraw/zinc/80/45/65/1073804565.db2.gz CHDIARQDUVXTGP-CHWSQXEVSA-N 0 0 435.572 -0.077 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N(C)[C@H](C)CS(=O)(=O)CC ZINC001297703573 1073804472 /nfs/dbraw/zinc/80/44/72/1073804472.db2.gz CHDIARQDUVXTGP-OLZOCXBDSA-N 0 0 435.572 -0.077 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N(C)[C@@H](C)CS(=O)(=O)CC ZINC001297703574 1073804503 /nfs/dbraw/zinc/80/45/03/1073804503.db2.gz CHDIARQDUVXTGP-QWHCGFSZSA-N 0 0 435.572 -0.077 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N(C)[C@@H](C)CS(=O)(=O)CC ZINC001297703575 1073804713 /nfs/dbraw/zinc/80/47/13/1073804713.db2.gz CHDIARQDUVXTGP-STQMWFEESA-N 0 0 435.572 -0.077 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@](C)(N2CCOCC2)C1 ZINC001297704344 1073804755 /nfs/dbraw/zinc/80/47/55/1073804755.db2.gz FZHMYXCOHLMDPF-BEFAXECRSA-N 0 0 440.570 -0.035 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@](C)(N2CCOCC2)C1 ZINC001297704346 1073804724 /nfs/dbraw/zinc/80/47/24/1073804724.db2.gz FZHMYXCOHLMDPF-DNVCBOLYSA-N 0 0 440.570 -0.035 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@](C)(N2CCOCC2)C1 ZINC001297704348 1073804540 /nfs/dbraw/zinc/80/45/40/1073804540.db2.gz FZHMYXCOHLMDPF-HNAYVOBHSA-N 0 0 440.570 -0.035 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@](C)(N2CCOCC2)C1 ZINC001297704350 1073804458 /nfs/dbraw/zinc/80/44/58/1073804458.db2.gz FZHMYXCOHLMDPF-KXBFYZLASA-N 0 0 440.570 -0.035 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001297704982 1073804583 /nfs/dbraw/zinc/80/45/83/1073804583.db2.gz KLAHETDPQKFZOG-AWEZNQCLSA-N 0 0 442.542 -0.605 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001297705085 1073804694 /nfs/dbraw/zinc/80/46/94/1073804694.db2.gz KLAHETDPQKFZOG-CQSZACIVSA-N 0 0 442.542 -0.605 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC=CCC1 ZINC001297705324 1073804738 /nfs/dbraw/zinc/80/47/38/1073804738.db2.gz CIZHDMLPZPTUSV-AWEZNQCLSA-N 0 0 433.556 -0.188 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC=CCC1 ZINC001297705325 1073804610 /nfs/dbraw/zinc/80/46/10/1073804610.db2.gz CIZHDMLPZPTUSV-CQSZACIVSA-N 0 0 433.556 -0.188 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@H](C)[C@H](N2CCOCC2)C1 ZINC001297705553 1073804488 /nfs/dbraw/zinc/80/44/88/1073804488.db2.gz OZOXTGYXNOMAMJ-HRCADAONSA-N 0 0 440.570 -0.179 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@H](N2CCOCC2)[C@@H](C)C1 ZINC001297705554 1073804414 /nfs/dbraw/zinc/80/44/14/1073804414.db2.gz OZOXTGYXNOMAMJ-JYJNAYRXSA-N 0 0 440.570 -0.179 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@@H](C)[C@H](N2CCOCC2)C1 ZINC001297705555 1073804646 /nfs/dbraw/zinc/80/46/46/1073804646.db2.gz OZOXTGYXNOMAMJ-OWCLPIDISA-N 0 0 440.570 -0.179 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@@H](C)[C@@H](N2CCOCC2)C1 ZINC001297705556 1073804552 /nfs/dbraw/zinc/80/45/52/1073804552.db2.gz OZOXTGYXNOMAMJ-PMPSAXMXSA-N 0 0 440.570 -0.179 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001297705964 1073804943 /nfs/dbraw/zinc/80/49/43/1073804943.db2.gz HFKFPVCPSIWTRR-RBSFLKMASA-N 0 0 430.527 -0.033 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001297705966 1073804995 /nfs/dbraw/zinc/80/49/95/1073804995.db2.gz HFKFPVCPSIWTRR-RRFJBIMHSA-N 0 0 430.527 -0.033 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001297706061 1073805224 /nfs/dbraw/zinc/80/52/24/1073805224.db2.gz IQFNVMPFLYHULK-AWEZNQCLSA-N 0 0 429.543 -0.052 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001297706063 1073805161 /nfs/dbraw/zinc/80/51/61/1073805161.db2.gz IQFNVMPFLYHULK-CQSZACIVSA-N 0 0 429.543 -0.052 20 0 IBADRN CCS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001297706114 1073805101 /nfs/dbraw/zinc/80/51/01/1073805101.db2.gz UDVLCXLUPGFSHY-UHFFFAOYSA-N 0 0 443.555 -0.359 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C)C1 ZINC001297706666 1073805193 /nfs/dbraw/zinc/80/51/93/1073805193.db2.gz LBZVZSHROCVALP-KBPBESRZSA-N 0 0 435.572 -0.108 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C)C1 ZINC001297706667 1073805146 /nfs/dbraw/zinc/80/51/46/1073805146.db2.gz LBZVZSHROCVALP-KGLIPLIRSA-N 0 0 435.572 -0.108 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C)C1 ZINC001297706668 1073805036 /nfs/dbraw/zinc/80/50/36/1073805036.db2.gz LBZVZSHROCVALP-UONOGXRCSA-N 0 0 435.572 -0.108 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C)C1 ZINC001297706669 1073804977 /nfs/dbraw/zinc/80/49/77/1073804977.db2.gz LBZVZSHROCVALP-ZIAGYGMSSA-N 0 0 435.572 -0.108 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001297707377 1073805176 /nfs/dbraw/zinc/80/51/76/1073805176.db2.gz SWIMXUYDTYTODJ-HNNXBMFYSA-N 0 0 428.559 -0.573 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001297707378 1073804951 /nfs/dbraw/zinc/80/49/51/1073804951.db2.gz SWIMXUYDTYTODJ-OAHLLOKOSA-N 0 0 428.559 -0.573 20 0 IBADRN CCS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297709921 1073805052 /nfs/dbraw/zinc/80/50/52/1073805052.db2.gz WRDPJVYSZXHREL-UHFFFAOYSA-N 0 0 442.567 -0.220 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001297716627 1073804965 /nfs/dbraw/zinc/80/49/65/1073804965.db2.gz YLEOIGWOQAUXIF-CYBMUJFWSA-N 0 0 426.499 -0.524 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001297716628 1073805023 /nfs/dbraw/zinc/80/50/23/1073805023.db2.gz YLEOIGWOQAUXIF-ZDUSSCGKSA-N 0 0 426.499 -0.524 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(c2nnc(C(=O)NC(C)C)n2CCC(=O)NC)CC1 ZINC001297717337 1073805080 /nfs/dbraw/zinc/80/50/80/1073805080.db2.gz JAFSFADQCCJAPR-UHFFFAOYSA-N 0 0 443.574 -0.197 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCC(CNS(C)(=O)=O)CC3)CC2=O)cn1 ZINC001297721924 1073805091 /nfs/dbraw/zinc/80/50/91/1073805091.db2.gz HLTWDECNHBLQBE-HNNXBMFYSA-N 0 0 427.527 -0.330 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC(CNS(C)(=O)=O)CC3)CC2=O)cn1 ZINC001297721927 1073805068 /nfs/dbraw/zinc/80/50/68/1073805068.db2.gz HLTWDECNHBLQBE-OAHLLOKOSA-N 0 0 427.527 -0.330 20 0 IBADRN CN1C[C@@H](C(=O)N2CCC(CNS(C)(=O)=O)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001297722595 1073805119 /nfs/dbraw/zinc/80/51/19/1073805119.db2.gz LMYHKIVUEZRTFL-AWEZNQCLSA-N 0 0 432.543 -0.148 20 0 IBADRN CN1C[C@H](C(=O)N2CCC(CNS(C)(=O)=O)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001297722596 1073805208 /nfs/dbraw/zinc/80/52/08/1073805208.db2.gz LMYHKIVUEZRTFL-CQSZACIVSA-N 0 0 432.543 -0.148 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC001297724438 1073805010 /nfs/dbraw/zinc/80/50/10/1073805010.db2.gz UYJLNTFSMDJKCH-BXUZGUMPSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC001297724439 1073805755 /nfs/dbraw/zinc/80/57/55/1073805755.db2.gz UYJLNTFSMDJKCH-FZMZJTMJSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC001297724440 1073805638 /nfs/dbraw/zinc/80/56/38/1073805638.db2.gz UYJLNTFSMDJKCH-RISCZKNCSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC001297724441 1073805580 /nfs/dbraw/zinc/80/55/80/1073805580.db2.gz UYJLNTFSMDJKCH-SMDDNHRTSA-N 0 0 439.581 -0.436 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)[C@H]1c1ccnn1C ZINC001297724676 1073805783 /nfs/dbraw/zinc/80/57/83/1073805783.db2.gz RIWGZVSAWFSONQ-DTGLCGDCSA-N 0 0 432.481 -0.312 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)[C@H]1c1ccnn1C ZINC001297724677 1073805689 /nfs/dbraw/zinc/80/56/89/1073805689.db2.gz RIWGZVSAWFSONQ-DVNRBFFJSA-N 0 0 432.481 -0.312 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)[C@H]1c1ccnn1C ZINC001297724678 1073805675 /nfs/dbraw/zinc/80/56/75/1073805675.db2.gz RIWGZVSAWFSONQ-UXFYUNLUSA-N 0 0 432.481 -0.312 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)[C@H]1c1ccnn1C ZINC001297724679 1073805564 /nfs/dbraw/zinc/80/55/64/1073805564.db2.gz RIWGZVSAWFSONQ-ZIKOISBGSA-N 0 0 432.481 -0.312 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c(C)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001297732184 1073805797 /nfs/dbraw/zinc/80/57/97/1073805797.db2.gz PCAYPDMFCFDIJG-UHFFFAOYSA-N 0 0 443.574 -0.569 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@H]2CCC(=O)N2)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001297736089 1073805527 /nfs/dbraw/zinc/80/55/27/1073805527.db2.gz PSMZGOIGQUHGAI-KFWWJZLASA-N 0 0 444.558 -0.249 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001297736090 1073805624 /nfs/dbraw/zinc/80/56/24/1073805624.db2.gz PSMZGOIGQUHGAI-SOUVJXGZSA-N 0 0 444.558 -0.249 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001297736321 1073805701 /nfs/dbraw/zinc/80/57/01/1073805701.db2.gz URKVDLFFAZIXQB-KBPBESRZSA-N 0 0 441.558 -0.432 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001297736322 1073805664 /nfs/dbraw/zinc/80/56/64/1073805664.db2.gz URKVDLFFAZIXQB-KGLIPLIRSA-N 0 0 441.558 -0.432 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001297736323 1073805808 /nfs/dbraw/zinc/80/58/08/1073805808.db2.gz URKVDLFFAZIXQB-UONOGXRCSA-N 0 0 441.558 -0.432 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001297736324 1073805601 /nfs/dbraw/zinc/80/56/01/1073805601.db2.gz URKVDLFFAZIXQB-ZIAGYGMSSA-N 0 0 441.558 -0.432 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)[C@H](CO)NC(=O)c1ccc(F)cc1)C(F)(F)F ZINC001297744234 1073805746 /nfs/dbraw/zinc/80/57/46/1073805746.db2.gz UHRFZBNDZQKDAZ-NWDGAFQWSA-N 0 0 429.392 -0.145 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)[C@H](CO)NC(=O)c1ccc(F)cc1)C(F)(F)F ZINC001297744235 1073805729 /nfs/dbraw/zinc/80/57/29/1073805729.db2.gz UHRFZBNDZQKDAZ-RYUDHWBXSA-N 0 0 429.392 -0.145 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2Cc2cnnn2C)CC1 ZINC001297746600 1073805547 /nfs/dbraw/zinc/80/55/47/1073805547.db2.gz INRBZPGPJYIYRW-UHFFFAOYSA-N 0 0 439.546 -0.499 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C3CC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001297748362 1073805713 /nfs/dbraw/zinc/80/57/13/1073805713.db2.gz DGABXGGTZMWAER-UHFFFAOYSA-N 0 0 434.588 -0.045 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)N(C)C)CC1 ZINC001297748462 1073805771 /nfs/dbraw/zinc/80/57/71/1073805771.db2.gz HPSHLGNFJLXGDU-AWEZNQCLSA-N 0 0 441.558 -0.384 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)N(C)C)CC1 ZINC001297748463 1073805650 /nfs/dbraw/zinc/80/56/50/1073805650.db2.gz HPSHLGNFJLXGDU-CQSZACIVSA-N 0 0 441.558 -0.384 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001297757245 1073806165 /nfs/dbraw/zinc/80/61/65/1073806165.db2.gz FZQZMUNWLUONPB-UHFFFAOYSA-N 0 0 441.558 -0.443 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2ccccn2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001297757263 1073806267 /nfs/dbraw/zinc/80/62/67/1073806267.db2.gz HNEFGFRVEDVWSJ-HNNXBMFYSA-N 0 0 437.526 -0.379 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2ccccn2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001297757265 1073806243 /nfs/dbraw/zinc/80/62/43/1073806243.db2.gz HNEFGFRVEDVWSJ-OAHLLOKOSA-N 0 0 437.526 -0.379 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(C)=O)CC1 ZINC001297757623 1073806122 /nfs/dbraw/zinc/80/61/22/1073806122.db2.gz OZQGDCCHFPPVLI-UHFFFAOYSA-N 0 0 441.558 -0.488 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001297757829 1073806044 /nfs/dbraw/zinc/80/60/44/1073806044.db2.gz HISYOVOFTQZQHH-UHFFFAOYSA-N 0 0 436.542 -0.264 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2cnn(C)c2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001297757991 1073806005 /nfs/dbraw/zinc/80/60/05/1073806005.db2.gz PINNFOPIOBJHQW-AWEZNQCLSA-N 0 0 445.571 -0.369 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2cnn(C)c2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001297757992 1073806026 /nfs/dbraw/zinc/80/60/26/1073806026.db2.gz PINNFOPIOBJHQW-CQSZACIVSA-N 0 0 445.571 -0.369 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)NC)CC1 ZINC001297758231 1073806057 /nfs/dbraw/zinc/80/60/57/1073806057.db2.gz UPVGFLIPQJWUTJ-UHFFFAOYSA-N 0 0 441.558 -0.555 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2cccn2C)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001297758762 1073806251 /nfs/dbraw/zinc/80/62/51/1073806251.db2.gz XLQIYSRFAOBJEQ-AWEZNQCLSA-N 0 0 436.542 -0.173 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2cccn2C)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001297758763 1073806229 /nfs/dbraw/zinc/80/62/29/1073806229.db2.gz XLQIYSRFAOBJEQ-CQSZACIVSA-N 0 0 436.542 -0.173 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)OC)CC1 ZINC001297758861 1073806219 /nfs/dbraw/zinc/80/62/19/1073806219.db2.gz YWLJCVFBTOBMRT-UHFFFAOYSA-N 0 0 440.530 -0.104 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)CC1 ZINC001297758976 1073806081 /nfs/dbraw/zinc/80/60/81/1073806081.db2.gz PPBSXBVHTXJCPK-UHFFFAOYSA-N 0 0 432.572 -0.588 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001297759083 1073806066 /nfs/dbraw/zinc/80/60/66/1073806066.db2.gz SEWSYWCVCRFDIN-CYBMUJFWSA-N 0 0 429.547 -0.587 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001297759084 1073806151 /nfs/dbraw/zinc/80/61/51/1073806151.db2.gz SEWSYWCVCRFDIN-ZDUSSCGKSA-N 0 0 429.547 -0.587 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC(n3nc(C)nc3C)C2)s1 ZINC001297763996 1073806093 /nfs/dbraw/zinc/80/60/93/1073806093.db2.gz AHAIWFPICAOSPB-UHFFFAOYSA-N 0 0 426.524 -0.046 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)COC)CC1 ZINC001297765762 1073806186 /nfs/dbraw/zinc/80/61/86/1073806186.db2.gz AJVQJQDZYKZNQB-HNNXBMFYSA-N 0 0 444.558 -0.594 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)COC)CC1 ZINC001297765763 1073806137 /nfs/dbraw/zinc/80/61/37/1073806137.db2.gz AJVQJQDZYKZNQB-OAHLLOKOSA-N 0 0 444.558 -0.594 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001297767514 1073806176 /nfs/dbraw/zinc/80/61/76/1073806176.db2.gz LUNJUGVNOQHMCB-CYBMUJFWSA-N 0 0 443.574 -0.091 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001297767515 1073806108 /nfs/dbraw/zinc/80/61/08/1073806108.db2.gz LUNJUGVNOQHMCB-ZDUSSCGKSA-N 0 0 443.574 -0.091 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001297767879 1073806559 /nfs/dbraw/zinc/80/65/59/1073806559.db2.gz CJKKOAZRIRNEIS-CYBMUJFWSA-N 0 0 427.531 -0.880 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001297767880 1073806633 /nfs/dbraw/zinc/80/66/33/1073806633.db2.gz CJKKOAZRIRNEIS-ZDUSSCGKSA-N 0 0 427.531 -0.880 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001297767894 1073806569 /nfs/dbraw/zinc/80/65/69/1073806569.db2.gz CNOVXUGHMUWYGW-GOSISDBHSA-N 0 0 439.542 -0.830 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001297767895 1073806730 /nfs/dbraw/zinc/80/67/30/1073806730.db2.gz CNOVXUGHMUWYGW-SFHVURJKSA-N 0 0 439.542 -0.830 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001297768040 1073806670 /nfs/dbraw/zinc/80/66/70/1073806670.db2.gz QVUUSMZRPPAXJQ-DZGCQCFKSA-N 0 0 441.558 -0.384 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001297768042 1073806767 /nfs/dbraw/zinc/80/67/67/1073806767.db2.gz QVUUSMZRPPAXJQ-HIFRSBDPSA-N 0 0 441.558 -0.384 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001297768044 1073806546 /nfs/dbraw/zinc/80/65/46/1073806546.db2.gz QVUUSMZRPPAXJQ-UKRRQHHQSA-N 0 0 441.558 -0.384 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001297768046 1073806747 /nfs/dbraw/zinc/80/67/47/1073806747.db2.gz QVUUSMZRPPAXJQ-ZFWWWQNUSA-N 0 0 441.558 -0.384 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C2CCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001297768163 1073806624 /nfs/dbraw/zinc/80/66/24/1073806624.db2.gz SRMISNZGGHOXBC-AWEZNQCLSA-N 0 0 439.542 -0.978 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCS(=O)CC1 ZINC001297768221 1073806689 /nfs/dbraw/zinc/80/66/89/1073806689.db2.gz HWMLIHFDPARMJW-UHFFFAOYSA-N 0 0 432.572 -0.701 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C2CCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001297768281 1073806528 /nfs/dbraw/zinc/80/65/28/1073806528.db2.gz SRMISNZGGHOXBC-CQSZACIVSA-N 0 0 439.542 -0.978 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCNC(=O)CC1 ZINC001297768432 1073806823 /nfs/dbraw/zinc/80/68/23/1073806823.db2.gz KBTXJYSQZNCRPN-HOCLYGCPSA-N 0 0 444.558 -0.342 20 0 IBADRN CCS(=O)(=O)NCCCn1c(Cc2cn3ccccc3n2)nnc1N1CCNC(=O)C1 ZINC001297768514 1073806837 /nfs/dbraw/zinc/80/68/37/1073806837.db2.gz JMTUHMDKGZWTPK-UHFFFAOYSA-N 0 0 446.537 -0.218 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H]1CCOC1 ZINC001297768811 1073806780 /nfs/dbraw/zinc/80/67/80/1073806780.db2.gz XFEHUPGNCXJDOY-CABCVRRESA-N 0 0 449.599 -0.018 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H]1CCOC1 ZINC001297768814 1073806805 /nfs/dbraw/zinc/80/68/05/1073806805.db2.gz XFEHUPGNCXJDOY-GJZGRUSLSA-N 0 0 449.599 -0.018 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H]1CCOC1 ZINC001297768817 1073806604 /nfs/dbraw/zinc/80/66/04/1073806604.db2.gz XFEHUPGNCXJDOY-HUUCEWRRSA-N 0 0 449.599 -0.018 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H]1CCOC1 ZINC001297768818 1073806649 /nfs/dbraw/zinc/80/66/49/1073806649.db2.gz XFEHUPGNCXJDOY-LSDHHAIUSA-N 0 0 449.599 -0.018 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CC2CC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297769080 1073806707 /nfs/dbraw/zinc/80/67/07/1073806707.db2.gz OXAYDNQOHAIWJC-UHFFFAOYSA-N 0 0 434.588 -0.358 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001297769137 1073806586 /nfs/dbraw/zinc/80/65/86/1073806586.db2.gz YZMBTGINZFSUQT-CVEARBPZSA-N 0 0 444.558 -0.547 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001297769139 1073807396 /nfs/dbraw/zinc/80/73/96/1073807396.db2.gz YZMBTGINZFSUQT-HOTGVXAUSA-N 0 0 444.558 -0.547 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001297769141 1073807222 /nfs/dbraw/zinc/80/72/22/1073807222.db2.gz YZMBTGINZFSUQT-HZPDHXFCSA-N 0 0 444.558 -0.547 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001297769142 1073807240 /nfs/dbraw/zinc/80/72/40/1073807240.db2.gz YZMBTGINZFSUQT-JKSUJKDBSA-N 0 0 444.558 -0.547 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N(C)C[C@@H](C)C(=O)NC ZINC001297769286 1073807156 /nfs/dbraw/zinc/80/71/56/1073807156.db2.gz WFPJIVDMJHPMAT-CYBMUJFWSA-N 0 0 429.547 -0.728 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N(C)C[C@H](C)C(=O)NC ZINC001297769287 1073807184 /nfs/dbraw/zinc/80/71/84/1073807184.db2.gz WFPJIVDMJHPMAT-ZDUSSCGKSA-N 0 0 429.547 -0.728 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CC(C)C)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297769295 1073806793 /nfs/dbraw/zinc/80/67/93/1073806793.db2.gz PWDPYDYQYMLQKF-UHFFFAOYSA-N 0 0 436.604 -0.112 20 0 IBADRN CCS(=O)(=O)NCCCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001297769692 1073807409 /nfs/dbraw/zinc/80/74/09/1073807409.db2.gz VWSXTCWMQQBOQJ-INIZCTEOSA-N 0 0 448.553 -0.193 20 0 IBADRN CCS(=O)(=O)NCCCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001297769694 1073807118 /nfs/dbraw/zinc/80/71/18/1073807118.db2.gz VWSXTCWMQQBOQJ-MRXNPFEDSA-N 0 0 448.553 -0.193 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1C[C@@H](C)[C@@H](F)C1 ZINC001297769793 1073807340 /nfs/dbraw/zinc/80/73/40/1073807340.db2.gz GJLPHIQDHAFPRD-UTUOFQBUSA-N 0 0 426.540 -0.378 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H](C)[C@@H](F)C1 ZINC001297769794 1073807132 /nfs/dbraw/zinc/80/71/32/1073807132.db2.gz GJLPHIQDHAFPRD-WOPDTQHZSA-N 0 0 426.540 -0.378 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCCO[C@H](C)C1 ZINC001297769798 1073807257 /nfs/dbraw/zinc/80/72/57/1073807257.db2.gz GNQDBPYXVDTGSG-CHWSQXEVSA-N 0 0 438.576 -0.557 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCCO[C@H](C)C1 ZINC001297769799 1073807141 /nfs/dbraw/zinc/80/71/41/1073807141.db2.gz GNQDBPYXVDTGSG-OLZOCXBDSA-N 0 0 438.576 -0.557 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCCO[C@@H](C)C1 ZINC001297769800 1073807348 /nfs/dbraw/zinc/80/73/48/1073807348.db2.gz GNQDBPYXVDTGSG-QWHCGFSZSA-N 0 0 438.576 -0.557 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCCO[C@@H](C)C1 ZINC001297769801 1073807169 /nfs/dbraw/zinc/80/71/69/1073807169.db2.gz GNQDBPYXVDTGSG-STQMWFEESA-N 0 0 438.576 -0.557 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CCn2nc(C)nc2C1 ZINC001297769913 1073807206 /nfs/dbraw/zinc/80/72/06/1073807206.db2.gz JEPMIQHOWDREKF-UHFFFAOYSA-N 0 0 425.519 -0.724 20 0 IBADRN CCS(=O)(=O)NCCn1c(C)nnc1N1CCCN(CC(=O)N2CCCC2)CC1 ZINC001297770041 1073807359 /nfs/dbraw/zinc/80/73/59/1073807359.db2.gz JPESGELETXJASB-UHFFFAOYSA-N 0 0 427.575 -0.340 20 0 IBADRN CCS(=O)(=O)NCCn1c(C)nnc1N1C[C@@H](C)[C@@H](N2CCN(C(C)=O)CC2)C1 ZINC001297770127 1073807275 /nfs/dbraw/zinc/80/72/75/1073807275.db2.gz MBXLJXJTTBYRBI-PBHICJAKSA-N 0 0 427.575 -0.485 20 0 IBADRN CCS(=O)(=O)NCCn1c(C)nnc1N1C[C@@H](C)[C@H](N2CCN(C(C)=O)CC2)C1 ZINC001297770128 1073807103 /nfs/dbraw/zinc/80/71/03/1073807103.db2.gz MBXLJXJTTBYRBI-RHSMWYFYSA-N 0 0 427.575 -0.485 20 0 IBADRN CCS(=O)(=O)NCCn1c(C)nnc1N1C[C@H](C)[C@H](N2CCN(C(C)=O)CC2)C1 ZINC001297770129 1073807298 /nfs/dbraw/zinc/80/72/98/1073807298.db2.gz MBXLJXJTTBYRBI-WMLDXEAASA-N 0 0 427.575 -0.485 20 0 IBADRN CCS(=O)(=O)NCCn1c(C)nnc1N1C[C@H](N2CCN(C(C)=O)CC2)[C@@H](C)C1 ZINC001297770130 1073807313 /nfs/dbraw/zinc/80/73/13/1073807313.db2.gz MBXLJXJTTBYRBI-YOEHRIQHSA-N 0 0 427.575 -0.485 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)[C@H]1CCSC1 ZINC001297770235 1073807151 /nfs/dbraw/zinc/80/71/51/1073807151.db2.gz PEAHOGUPIREGOP-NEPJUHHUSA-N 0 0 440.617 -0.231 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)[C@@H]1CCSC1 ZINC001297770236 1073807802 /nfs/dbraw/zinc/80/78/02/1073807802.db2.gz PEAHOGUPIREGOP-NWDGAFQWSA-N 0 0 440.617 -0.231 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)[C@H]1CCSC1 ZINC001297770237 1073807812 /nfs/dbraw/zinc/80/78/12/1073807812.db2.gz PEAHOGUPIREGOP-RYUDHWBXSA-N 0 0 440.617 -0.231 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)[C@@H]1CCSC1 ZINC001297770238 1073807697 /nfs/dbraw/zinc/80/76/97/1073807697.db2.gz PEAHOGUPIREGOP-VXGBXAGGSA-N 0 0 440.617 -0.231 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001297778593 1073807683 /nfs/dbraw/zinc/80/76/83/1073807683.db2.gz BIZVVUWSTNAELQ-UHFFFAOYSA-N 0 0 445.571 -0.369 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001297778823 1073807773 /nfs/dbraw/zinc/80/77/73/1073807773.db2.gz DZIPDAPMMWPSSH-UHFFFAOYSA-N 0 0 449.541 -0.332 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297778991 1073807710 /nfs/dbraw/zinc/80/77/10/1073807710.db2.gz HTLVWKXPURYJNG-UHFFFAOYSA-N 0 0 443.555 -0.639 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCC(CNC(C)=O)CC1 ZINC001297779306 1073807782 /nfs/dbraw/zinc/80/77/82/1073807782.db2.gz LLWPLVDAPGNGFE-UHFFFAOYSA-N 0 0 438.558 -0.030 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cccn2C)nnc1N1CCN(CCOC)C(=O)C1 ZINC001297779457 1073807725 /nfs/dbraw/zinc/80/77/25/1073807725.db2.gz PWZKTBMKNJIDLM-UHFFFAOYSA-N 0 0 439.542 -0.482 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001297779614 1073807664 /nfs/dbraw/zinc/80/76/64/1073807664.db2.gz VVSAKNKEZAZCNY-ZDUSSCGKSA-N 0 0 445.571 -0.317 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001297779618 1073807691 /nfs/dbraw/zinc/80/76/91/1073807691.db2.gz WFSYAYQFGDESJM-HIFRSBDPSA-N 0 0 436.542 -0.420 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001297779667 1073807743 /nfs/dbraw/zinc/80/77/43/1073807743.db2.gz YFFNGGNCMCXBKP-KGLIPLIRSA-N 0 0 434.526 -0.363 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001297779706 1073807734 /nfs/dbraw/zinc/80/77/34/1073807734.db2.gz ZKUWCGYMRFRDFP-UHFFFAOYSA-N 0 0 438.558 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2cnccn2)CC1 ZINC001297782039 1073807792 /nfs/dbraw/zinc/80/77/92/1073807792.db2.gz CJZKFKURYACMNM-AWEZNQCLSA-N 0 0 449.541 -0.715 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2cnccn2)CC1 ZINC001297782041 1073807673 /nfs/dbraw/zinc/80/76/73/1073807673.db2.gz CJZKFKURYACMNM-CQSZACIVSA-N 0 0 449.541 -0.715 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001297782158 1073807754 /nfs/dbraw/zinc/80/77/54/1073807754.db2.gz DOWIHROJKJKTSN-KBPBESRZSA-N 0 0 427.531 -0.727 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001297782168 1073807718 /nfs/dbraw/zinc/80/77/18/1073807718.db2.gz DOWIHROJKJKTSN-KGLIPLIRSA-N 0 0 427.531 -0.727 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001297782173 1073808179 /nfs/dbraw/zinc/80/81/79/1073808179.db2.gz DOWIHROJKJKTSN-UONOGXRCSA-N 0 0 427.531 -0.727 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001297782178 1073808202 /nfs/dbraw/zinc/80/82/02/1073808202.db2.gz DOWIHROJKJKTSN-ZIAGYGMSSA-N 0 0 427.531 -0.727 20 0 IBADRN CCS(=O)(=O)NCCn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001297782534 1073808251 /nfs/dbraw/zinc/80/82/51/1073808251.db2.gz JJXSLSIGIIIUNR-UHFFFAOYSA-N 0 0 441.558 -0.732 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@](C)(C(=O)NC)C1 ZINC001297782538 1073808155 /nfs/dbraw/zinc/80/81/55/1073808155.db2.gz JOIILEWXFFGGKI-PXAZEXFGSA-N 0 0 427.531 -0.869 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@](C)(C(=O)NC)C1 ZINC001297782540 1073808211 /nfs/dbraw/zinc/80/82/11/1073808211.db2.gz JOIILEWXFFGGKI-SJCJKPOMSA-N 0 0 427.531 -0.869 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@](C)(C(=O)NC)C1 ZINC001297782541 1073808276 /nfs/dbraw/zinc/80/82/76/1073808276.db2.gz JOIILEWXFFGGKI-SJKOYZFVSA-N 0 0 427.531 -0.869 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@](C)(C(=O)NC)C1 ZINC001297782542 1073808168 /nfs/dbraw/zinc/80/81/68/1073808168.db2.gz JOIILEWXFFGGKI-YVEFUNNKSA-N 0 0 427.531 -0.869 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001297783502 1073808239 /nfs/dbraw/zinc/80/82/39/1073808239.db2.gz PXFUXVATLXASEX-BFHYXJOUSA-N 0 0 439.542 -0.775 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001297783503 1073808222 /nfs/dbraw/zinc/80/82/22/1073808222.db2.gz PXFUXVATLXASEX-MELADBBJSA-N 0 0 439.542 -0.775 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCOCC1 ZINC001297783516 1073808246 /nfs/dbraw/zinc/80/82/46/1073808246.db2.gz QBBZVSALUMFKGF-UHFFFAOYSA-N 0 0 447.521 -0.032 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001297783806 1073808269 /nfs/dbraw/zinc/80/82/69/1073808269.db2.gz RURCAJHMFPFLDA-HNNXBMFYSA-N 0 0 444.558 -0.246 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001297783807 1073808258 /nfs/dbraw/zinc/80/82/58/1073808258.db2.gz RURCAJHMFPFLDA-OAHLLOKOSA-N 0 0 444.558 -0.246 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001297783816 1073808228 /nfs/dbraw/zinc/80/82/28/1073808228.db2.gz SBCWSYBEGOYMKQ-CHWSQXEVSA-N 0 0 427.531 -0.869 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001297783817 1073808193 /nfs/dbraw/zinc/80/81/93/1073808193.db2.gz SBCWSYBEGOYMKQ-OLZOCXBDSA-N 0 0 427.531 -0.869 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001297783818 1073808289 /nfs/dbraw/zinc/80/82/89/1073808289.db2.gz SBCWSYBEGOYMKQ-QWHCGFSZSA-N 0 0 427.531 -0.869 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001297783819 1073808282 /nfs/dbraw/zinc/80/82/82/1073808282.db2.gz SBCWSYBEGOYMKQ-STQMWFEESA-N 0 0 427.531 -0.869 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccncc2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001297785168 1073808135 /nfs/dbraw/zinc/80/81/35/1073808135.db2.gz DEQXLPWPVVYCPI-HNNXBMFYSA-N 0 0 442.567 -0.174 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccncc2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001297785169 1073808187 /nfs/dbraw/zinc/80/81/87/1073808187.db2.gz DEQXLPWPVVYCPI-OAHLLOKOSA-N 0 0 442.567 -0.174 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001297785279 1073808300 /nfs/dbraw/zinc/80/83/00/1073808300.db2.gz KBBCPGJPJXSICE-CABCVRRESA-N 0 0 441.558 -0.333 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001297785281 1073808145 /nfs/dbraw/zinc/80/81/45/1073808145.db2.gz KBBCPGJPJXSICE-GJZGRUSLSA-N 0 0 441.558 -0.333 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001297785284 1073808619 /nfs/dbraw/zinc/80/86/19/1073808619.db2.gz KBBCPGJPJXSICE-HUUCEWRRSA-N 0 0 441.558 -0.333 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001297785286 1073808674 /nfs/dbraw/zinc/80/86/74/1073808674.db2.gz KBBCPGJPJXSICE-LSDHHAIUSA-N 0 0 441.558 -0.333 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2csc(C)n2)nnc1N1CCN(C)C(=O)C1 ZINC001297785330 1073808733 /nfs/dbraw/zinc/80/87/33/1073808733.db2.gz FYYPIBMKKAYZHW-UHFFFAOYSA-N 0 0 427.556 -0.148 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1CCN(C(=O)NC)CC1 ZINC001297785453 1073808632 /nfs/dbraw/zinc/80/86/32/1073808632.db2.gz JOMRBQQNEYGWJT-UHFFFAOYSA-N 0 0 436.542 -0.335 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001297785494 1073808793 /nfs/dbraw/zinc/80/87/93/1073808793.db2.gz MBUNJPDQCDEZCC-BFHYXJOUSA-N 0 0 431.515 -0.919 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001297785495 1073808746 /nfs/dbraw/zinc/80/87/46/1073808746.db2.gz MBUNJPDQCDEZCC-MGPQQGTHSA-N 0 0 431.515 -0.919 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2csc(C)n2)nnc1N1CCS(=O)(=O)CC1 ZINC001297785571 1073808661 /nfs/dbraw/zinc/80/86/61/1073808661.db2.gz KYZOLVHDUXPDCP-UHFFFAOYSA-N 0 0 448.596 -0.192 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001297785579 1073808593 /nfs/dbraw/zinc/80/85/93/1073808593.db2.gz LHQYOXGSMUIHLY-HNNXBMFYSA-N 0 0 434.526 -0.583 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001297785580 1073808801 /nfs/dbraw/zinc/80/88/01/1073808801.db2.gz LHQYOXGSMUIHLY-OAHLLOKOSA-N 0 0 434.526 -0.583 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001297785684 1073808782 /nfs/dbraw/zinc/80/87/82/1073808782.db2.gz MWLPUZGYRFYZGE-PBHICJAKSA-N 0 0 447.583 -0.264 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001297785685 1073808649 /nfs/dbraw/zinc/80/86/49/1073808649.db2.gz MWLPUZGYRFYZGE-RHSMWYFYSA-N 0 0 447.583 -0.264 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001297785686 1073808561 /nfs/dbraw/zinc/80/85/61/1073808561.db2.gz MWLPUZGYRFYZGE-WMLDXEAASA-N 0 0 447.583 -0.264 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001297785687 1073808764 /nfs/dbraw/zinc/80/87/64/1073808764.db2.gz MWLPUZGYRFYZGE-YOEHRIQHSA-N 0 0 447.583 -0.264 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001297785688 1073808706 /nfs/dbraw/zinc/80/87/06/1073808706.db2.gz MXQZOTDFNCQYQT-MCIONIFRSA-N 0 0 428.515 -0.344 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001297785689 1073808608 /nfs/dbraw/zinc/80/86/08/1073808608.db2.gz MXQZOTDFNCQYQT-MJBXVCDLSA-N 0 0 428.515 -0.344 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001297785944 1073808774 /nfs/dbraw/zinc/80/87/74/1073808774.db2.gz QFIRBZNTQGNKAB-DZGCQCFKSA-N 0 0 441.558 -0.238 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001297785945 1073808814 /nfs/dbraw/zinc/80/88/14/1073808814.db2.gz QFIRBZNTQGNKAB-HIFRSBDPSA-N 0 0 441.558 -0.238 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001297785946 1073808718 /nfs/dbraw/zinc/80/87/18/1073808718.db2.gz QFIRBZNTQGNKAB-UKRRQHHQSA-N 0 0 441.558 -0.238 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001297785947 1073808690 /nfs/dbraw/zinc/80/86/90/1073808690.db2.gz QFIRBZNTQGNKAB-ZFWWWQNUSA-N 0 0 441.558 -0.238 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001297786461 1073808581 /nfs/dbraw/zinc/80/85/81/1073808581.db2.gz XMCNVKNISIPCNP-KBPBESRZSA-N 0 0 430.531 -0.937 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001297786462 1073809103 /nfs/dbraw/zinc/80/91/03/1073809103.db2.gz XMCNVKNISIPCNP-KGLIPLIRSA-N 0 0 430.531 -0.937 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001297786463 1073809265 /nfs/dbraw/zinc/80/92/65/1073809265.db2.gz XMCNVKNISIPCNP-UONOGXRCSA-N 0 0 430.531 -0.937 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001297786464 1073809182 /nfs/dbraw/zinc/80/91/82/1073809182.db2.gz XMCNVKNISIPCNP-ZIAGYGMSSA-N 0 0 430.531 -0.937 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001297786472 1073809118 /nfs/dbraw/zinc/80/91/18/1073809118.db2.gz XTOPGNUDXBPGGR-AWEZNQCLSA-N 0 0 426.543 -0.172 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001297786473 1073809275 /nfs/dbraw/zinc/80/92/75/1073809275.db2.gz XTOPGNUDXBPGGR-CQSZACIVSA-N 0 0 426.543 -0.172 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001297787195 1073809141 /nfs/dbraw/zinc/80/91/41/1073809141.db2.gz HAFYILWIZVEDRP-GOSISDBHSA-N 0 0 439.542 -0.944 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001297787196 1073809249 /nfs/dbraw/zinc/80/92/49/1073809249.db2.gz HAFYILWIZVEDRP-SFHVURJKSA-N 0 0 439.542 -0.944 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCC(C(=O)NC)CC1 ZINC001297787804 1073809194 /nfs/dbraw/zinc/80/91/94/1073809194.db2.gz PKFHLXQPLIIOGZ-UHFFFAOYSA-N 0 0 441.558 -0.698 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCCN(C(C)=O)CC1 ZINC001297787840 1073809239 /nfs/dbraw/zinc/80/92/39/1073809239.db2.gz QPORQECGCQYZLG-UHFFFAOYSA-N 0 0 441.558 -0.602 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cn2nc(C)cc2C)nnc1N1CCS(=O)(=O)CC1 ZINC001297787873 1073809281 /nfs/dbraw/zinc/80/92/81/1073809281.db2.gz RKOOZHUIUZBLTO-UHFFFAOYSA-N 0 0 445.571 -0.686 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cn2nc(C)cc2C)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001297787887 1073809257 /nfs/dbraw/zinc/80/92/57/1073809257.db2.gz RRAJTIOQDDWPBT-GASCZTMLSA-N 0 0 425.515 -0.359 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCC(NC(C)=O)CC1 ZINC001297788067 1073809244 /nfs/dbraw/zinc/80/92/44/1073809244.db2.gz UYAZCPVCLJQKHE-UHFFFAOYSA-N 0 0 441.558 -0.555 20 0 IBADRN CCS(=O)(=O)NCCn1c(N2CCS(=O)CC2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001297791074 1073809269 /nfs/dbraw/zinc/80/92/69/1073809269.db2.gz NTTGITUTLSRCFX-KRWDZBQOSA-N 0 0 449.599 -0.173 20 0 IBADRN CCS(=O)(=O)NCCn1c(N2CCS(=O)CC2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001297791075 1073809225 /nfs/dbraw/zinc/80/92/25/1073809225.db2.gz NTTGITUTLSRCFX-QGZVFWFLSA-N 0 0 449.599 -0.173 20 0 IBADRN CC[S@](=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001297792254 1073809211 /nfs/dbraw/zinc/80/92/11/1073809211.db2.gz HLTVMKCWBRWCPN-PMERELPUSA-N 0 0 441.602 -0.169 20 0 IBADRN CC[S@@](=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001297792255 1073809219 /nfs/dbraw/zinc/80/92/19/1073809219.db2.gz HLTVMKCWBRWCPN-SSEXGKCCSA-N 0 0 441.602 -0.169 20 0 IBADRN CC[S@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001297792944 1073809261 /nfs/dbraw/zinc/80/92/61/1073809261.db2.gz MYKGBAINEYIJAU-BOABCZMQSA-N 0 0 434.588 -0.381 20 0 IBADRN CC[S@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001297792945 1073809155 /nfs/dbraw/zinc/80/91/55/1073809155.db2.gz MYKGBAINEYIJAU-MYXHWSLCSA-N 0 0 434.588 -0.381 20 0 IBADRN CC[S@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001297792946 1073809171 /nfs/dbraw/zinc/80/91/71/1073809171.db2.gz MYKGBAINEYIJAU-UIAYHMMPSA-N 0 0 434.588 -0.381 20 0 IBADRN CC[S@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001297792947 1073809235 /nfs/dbraw/zinc/80/92/35/1073809235.db2.gz MYKGBAINEYIJAU-UYZZBQRDSA-N 0 0 434.588 -0.381 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC001297793312 1073809507 /nfs/dbraw/zinc/80/95/07/1073809507.db2.gz CKVKVNZJJGLDGN-AWEZNQCLSA-N 0 0 435.547 -0.740 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CCSC)NC(=O)COc2ccccc2)CC1 ZINC001297793626 1073809662 /nfs/dbraw/zinc/80/96/62/1073809662.db2.gz IXCOGHBGVZAUJO-INIZCTEOSA-N 0 0 444.579 -0.088 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](CCSC)NC(=O)COc2ccccc2)CC1 ZINC001297793627 1073809580 /nfs/dbraw/zinc/80/95/80/1073809580.db2.gz IXCOGHBGVZAUJO-MRXNPFEDSA-N 0 0 444.579 -0.088 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)CC1 ZINC001297794863 1073809718 /nfs/dbraw/zinc/80/97/18/1073809718.db2.gz UBSHVLCTAVAKEG-UHFFFAOYSA-N 0 0 427.527 -0.788 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cc(Br)ccc2-n2cnnn2)CC1 ZINC001297794942 1073809652 /nfs/dbraw/zinc/80/96/52/1073809652.db2.gz WGWCLJQPSROWCN-UHFFFAOYSA-N 0 0 430.288 -0.353 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cccc(S(=O)(=O)N=S(C)(C)=O)c2)CC1 ZINC001297795315 1073809632 /nfs/dbraw/zinc/80/96/32/1073809632.db2.gz YLNKSFMPVHPHHT-UHFFFAOYSA-N 0 0 438.553 -0.675 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@@H]2CN(C(=O)OC(C)(C)C)CCCO2)c1 ZINC001297798816 1073809607 /nfs/dbraw/zinc/80/96/07/1073809607.db2.gz JGTZTDZGUWEPJQ-CYBMUJFWSA-N 0 0 431.515 -0.159 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NC[C@H]2CN(C(=O)OC(C)(C)C)CCCO2)c1 ZINC001297798819 1073809565 /nfs/dbraw/zinc/80/95/65/1073809565.db2.gz JGTZTDZGUWEPJQ-ZDUSSCGKSA-N 0 0 431.515 -0.159 20 0 IBADRN CC[S@](=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001297799855 1073809487 /nfs/dbraw/zinc/80/94/87/1073809487.db2.gz BJBOMWNPKCQDRZ-PMERELPUSA-N 0 0 434.526 -0.032 20 0 IBADRN CC[S@@](=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001297799858 1073809640 /nfs/dbraw/zinc/80/96/40/1073809640.db2.gz BJBOMWNPKCQDRZ-SSEXGKCCSA-N 0 0 434.526 -0.032 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@@H]2CN(C(=O)OC(C)(C)C)CCCO2)cn1 ZINC001297801475 1073809671 /nfs/dbraw/zinc/80/96/71/1073809671.db2.gz YSKJFPOPKUBXPM-CYBMUJFWSA-N 0 0 431.515 -0.159 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NC[C@H]2CN(C(=O)OC(C)(C)C)CCCO2)cn1 ZINC001297801477 1073809705 /nfs/dbraw/zinc/80/97/05/1073809705.db2.gz YSKJFPOPKUBXPM-ZDUSSCGKSA-N 0 0 431.515 -0.159 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001297806233 1073809541 /nfs/dbraw/zinc/80/95/41/1073809541.db2.gz NIZKHUGWCWCARX-IZPCHGGPSA-N 0 0 426.543 -0.271 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001297806234 1073809530 /nfs/dbraw/zinc/80/95/30/1073809530.db2.gz NIZKHUGWCWCARX-MLNJSSBLSA-N 0 0 426.543 -0.271 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001297806235 1073809592 /nfs/dbraw/zinc/80/95/92/1073809592.db2.gz NIZKHUGWCWCARX-MMEWPQADSA-N 0 0 426.543 -0.271 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001297806236 1073809681 /nfs/dbraw/zinc/80/96/81/1073809681.db2.gz NIZKHUGWCWCARX-ONSXPEOJSA-N 0 0 426.543 -0.271 20 0 IBADRN CC[S@](=O)CCn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001297807063 1073809552 /nfs/dbraw/zinc/80/95/52/1073809552.db2.gz VECSSDGROYYGIT-PMERELPUSA-N 0 0 438.554 -0.569 20 0 IBADRN CC[S@@](=O)CCn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001297807064 1073809518 /nfs/dbraw/zinc/80/95/18/1073809518.db2.gz VECSSDGROYYGIT-SSEXGKCCSA-N 0 0 438.554 -0.569 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)CC1 ZINC001297815170 1073809620 /nfs/dbraw/zinc/80/96/20/1073809620.db2.gz FSONGPACBZIECA-KUNJGFBQSA-N 0 0 433.600 -0.283 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)CC1 ZINC001297815171 1073810228 /nfs/dbraw/zinc/81/02/28/1073810228.db2.gz FSONGPACBZIECA-QZXCRCNTSA-N 0 0 433.600 -0.283 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)CC1 ZINC001297815172 1073810005 /nfs/dbraw/zinc/81/00/05/1073810005.db2.gz FSONGPACBZIECA-RXAIFQJESA-N 0 0 433.600 -0.283 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)CC1 ZINC001297815173 1073810193 /nfs/dbraw/zinc/81/01/93/1073810193.db2.gz FSONGPACBZIECA-YVORESIASA-N 0 0 433.600 -0.283 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001297816196 1073810135 /nfs/dbraw/zinc/81/01/35/1073810135.db2.gz LGLXEIPHHJRLDG-OSCZSYKUSA-N 0 0 432.568 -0.056 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001297816197 1073810176 /nfs/dbraw/zinc/81/01/76/1073810176.db2.gz LGLXEIPHHJRLDG-SQDTZKNQSA-N 0 0 432.568 -0.056 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001297816198 1073810150 /nfs/dbraw/zinc/81/01/50/1073810150.db2.gz LGLXEIPHHJRLDG-TWQKQJGESA-N 0 0 432.568 -0.056 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001297816199 1073810120 /nfs/dbraw/zinc/81/01/20/1073810120.db2.gz LGLXEIPHHJRLDG-YKSJCTFJSA-N 0 0 432.568 -0.056 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001297818527 1073810266 /nfs/dbraw/zinc/81/02/66/1073810266.db2.gz RAWIYJGSMWYJJP-DSGZJRDPSA-N 0 0 440.613 -0.182 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001297818529 1073810074 /nfs/dbraw/zinc/81/00/74/1073810074.db2.gz RAWIYJGSMWYJJP-IZEVZFMRSA-N 0 0 440.613 -0.182 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001297818531 1073810028 /nfs/dbraw/zinc/81/00/28/1073810028.db2.gz RAWIYJGSMWYJJP-OCDDVZBJSA-N 0 0 440.613 -0.182 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001297818533 1073810252 /nfs/dbraw/zinc/81/02/52/1073810252.db2.gz RAWIYJGSMWYJJP-YGCGCYASSA-N 0 0 440.613 -0.182 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001297819446 1073810088 /nfs/dbraw/zinc/81/00/88/1073810088.db2.gz WWMKROVTQURRQQ-KUNJGFBQSA-N 0 0 433.600 -0.283 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001297819447 1073810204 /nfs/dbraw/zinc/81/02/04/1073810204.db2.gz WWMKROVTQURRQQ-QZXCRCNTSA-N 0 0 433.600 -0.283 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001297819448 1073810107 /nfs/dbraw/zinc/81/01/07/1073810107.db2.gz WWMKROVTQURRQQ-RXAIFQJESA-N 0 0 433.600 -0.283 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001297819449 1073810238 /nfs/dbraw/zinc/81/02/38/1073810238.db2.gz WWMKROVTQURRQQ-YVORESIASA-N 0 0 433.600 -0.283 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001297819454 1073810014 /nfs/dbraw/zinc/81/00/14/1073810014.db2.gz XFLKJFKGXXKAQF-DSGZJRDPSA-N 0 0 438.597 -0.428 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001297819455 1073810165 /nfs/dbraw/zinc/81/01/65/1073810165.db2.gz XFLKJFKGXXKAQF-IZEVZFMRSA-N 0 0 438.597 -0.428 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001297819456 1073810212 /nfs/dbraw/zinc/81/02/12/1073810212.db2.gz XFLKJFKGXXKAQF-OCDDVZBJSA-N 0 0 438.597 -0.428 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001297819457 1073809984 /nfs/dbraw/zinc/80/99/84/1073809984.db2.gz XFLKJFKGXXKAQF-YGCGCYASSA-N 0 0 438.597 -0.428 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001297826935 1073810648 /nfs/dbraw/zinc/81/06/48/1073810648.db2.gz HCDGPDSEGLIEJM-IHRMRVKRSA-N 0 0 426.543 -0.016 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001297826936 1073810666 /nfs/dbraw/zinc/81/06/66/1073810666.db2.gz HCDGPDSEGLIEJM-LMAOXAQZSA-N 0 0 426.543 -0.016 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001297826937 1073810634 /nfs/dbraw/zinc/81/06/34/1073810634.db2.gz HCDGPDSEGLIEJM-NRCWPYMMSA-N 0 0 426.543 -0.016 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001297826938 1073810727 /nfs/dbraw/zinc/81/07/27/1073810727.db2.gz HCDGPDSEGLIEJM-RQUCRVAKSA-N 0 0 426.543 -0.016 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)c(=O)[nH]c1=O ZINC001297836650 1073810571 /nfs/dbraw/zinc/81/05/71/1073810571.db2.gz QMPLCWBNZJAEDZ-NXEZZACHSA-N 0 0 431.371 -0.693 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)c(=O)[nH]c1=O ZINC001297836651 1073810700 /nfs/dbraw/zinc/81/07/00/1073810700.db2.gz QMPLCWBNZJAEDZ-UWVGGRQHSA-N 0 0 431.371 -0.693 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)c(=O)[nH]c1=O ZINC001297836652 1073810607 /nfs/dbraw/zinc/81/06/07/1073810607.db2.gz QMPLCWBNZJAEDZ-VHSXEESVSA-N 0 0 431.371 -0.693 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)c(=O)[nH]c1=O ZINC001297836654 1073810613 /nfs/dbraw/zinc/81/06/13/1073810613.db2.gz QMPLCWBNZJAEDZ-ZJUUUORDSA-N 0 0 431.371 -0.693 20 0 IBADRN CCS(=O)(=O)C1CN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001297856920 1073810652 /nfs/dbraw/zinc/81/06/52/1073810652.db2.gz FUOJWAHRLYITMX-UHFFFAOYSA-N 0 0 432.520 -0.025 20 0 IBADRN CCS(=O)(=O)C1CN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)C1 ZINC001297858092 1073810683 /nfs/dbraw/zinc/81/06/83/1073810683.db2.gz ZQWLGGDWWCNCCV-UHFFFAOYSA-N 0 0 443.547 -0.202 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1 ZINC001297863112 1073810717 /nfs/dbraw/zinc/81/07/17/1073810717.db2.gz AIKGWEMTXYQIHT-UHFFFAOYSA-N 0 0 431.540 -0.019 20 0 IBADRN CC(C)(C)OCC(=O)NC(C)(C)C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001297863174 1073810593 /nfs/dbraw/zinc/81/05/93/1073810593.db2.gz BGUUUICKSGRXRE-UHFFFAOYSA-N 0 0 440.588 -0.187 20 0 IBADRN C[C@]1(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)Oc2ccccc2NC1=O ZINC001297863254 1073810640 /nfs/dbraw/zinc/81/06/40/1073810640.db2.gz CTYNGCFFNDCKLZ-INIZCTEOSA-N 0 0 430.508 -0.107 20 0 IBADRN C[C@@]1(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)Oc2ccccc2NC1=O ZINC001297863255 1073810708 /nfs/dbraw/zinc/81/07/08/1073810708.db2.gz CTYNGCFFNDCKLZ-MRXNPFEDSA-N 0 0 430.508 -0.107 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CNC(=O)Cc2cccc(F)c2)CC1 ZINC001297863281 1073810627 /nfs/dbraw/zinc/81/06/27/1073810627.db2.gz DINKNYHHJAZHBA-UHFFFAOYSA-N 0 0 434.515 -0.399 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)Cn2nnc(-c3ccccc3)n2)CC1 ZINC001297863862 1073810599 /nfs/dbraw/zinc/81/05/99/1073810599.db2.gz FZQMAFDPBWWFGV-UHFFFAOYSA-N 0 0 427.512 -0.543 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC001297863916 1073810676 /nfs/dbraw/zinc/81/06/76/1073810676.db2.gz GFQVUEIWODTMSN-BETUJISGSA-N 0 0 434.540 -0.722 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CN2C(=O)[C@@H]3CCCC[C@H]3C2=O)CC1 ZINC001297863919 1073810620 /nfs/dbraw/zinc/81/06/20/1073810620.db2.gz GFQVUEIWODTMSN-CHWSQXEVSA-N 0 0 434.540 -0.722 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CN2C(=O)[C@H]3CCCC[C@@H]3C2=O)CC1 ZINC001297863922 1073810692 /nfs/dbraw/zinc/81/06/92/1073810692.db2.gz GFQVUEIWODTMSN-STQMWFEESA-N 0 0 434.540 -0.722 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)Cn2ncc(=O)c3ccccc32)CC1 ZINC001297863944 1073810585 /nfs/dbraw/zinc/81/05/85/1073810585.db2.gz GLXPBUDNCCEKFN-UHFFFAOYSA-N 0 0 427.508 -0.487 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCN2C(=O)COc3ccccc32)CC1 ZINC001297863989 1073811148 /nfs/dbraw/zinc/81/11/48/1073811148.db2.gz GYXXRWMVXQFSRM-UHFFFAOYSA-N 0 0 444.535 -0.081 20 0 IBADRN Cc1nn(-c2cccnc2)nc1C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001297864415 1073811003 /nfs/dbraw/zinc/81/10/03/1073811003.db2.gz ISUPLVYOMBKIME-UHFFFAOYSA-N 0 0 427.512 -0.299 20 0 IBADRN CCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001297864562 1073811016 /nfs/dbraw/zinc/81/10/16/1073811016.db2.gz JTDYHVLJGYBLGX-GFCCVEGCSA-N 0 0 426.586 -0.195 20 0 IBADRN CCCC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001297864567 1073811241 /nfs/dbraw/zinc/81/12/41/1073811241.db2.gz JTDYHVLJGYBLGX-LBPRGKRZSA-N 0 0 426.586 -0.195 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2ccnc(N3CCOCC3)n2)CC1 ZINC001297864674 1073811253 /nfs/dbraw/zinc/81/12/53/1073811253.db2.gz LAUVDGIFEISFPR-UHFFFAOYSA-N 0 0 432.528 -0.957 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CNC(=O)Cc2ccc(O)cc2)CC1 ZINC001297864807 1073811231 /nfs/dbraw/zinc/81/12/31/1073811231.db2.gz MELRDYGYTAWFBM-UHFFFAOYSA-N 0 0 432.524 -0.833 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CNC(=O)COc2ccccc2)CC1 ZINC001297865309 1073811129 /nfs/dbraw/zinc/81/11/29/1073811129.db2.gz OBHYROXKNXHAEC-UHFFFAOYSA-N 0 0 432.524 -0.702 20 0 IBADRN Cn1cc(-n2cc(C(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)ccc2=O)cn1 ZINC001297866636 1073811165 /nfs/dbraw/zinc/81/11/65/1073811165.db2.gz WVYIACRQYULXPT-UHFFFAOYSA-N 0 0 442.523 -0.699 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)[C@@H](C)n2ncnc2N1C ZINC001297866662 1073811193 /nfs/dbraw/zinc/81/11/93/1073811193.db2.gz XMSIOQMRFPYPPF-GFCCVEGCSA-N 0 0 431.544 -0.321 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)[C@H](C)n2ncnc2N1C ZINC001297866666 1073811109 /nfs/dbraw/zinc/81/11/09/1073811109.db2.gz XMSIOQMRFPYPPF-LBPRGKRZSA-N 0 0 431.544 -0.321 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)[C@@H]2CNC(=O)C[C@H]2C(F)(F)F)CC1 ZINC001297867981 1073811084 /nfs/dbraw/zinc/81/10/84/1073811084.db2.gz AZAWQEFZGXNDAO-NXEZZACHSA-N 0 0 434.462 -0.582 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)[C@H]2CNC(=O)C[C@@H]2C(F)(F)F)CC1 ZINC001297867982 1073811219 /nfs/dbraw/zinc/81/12/19/1073811219.db2.gz AZAWQEFZGXNDAO-UWVGGRQHSA-N 0 0 434.462 -0.582 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)[C@H]2CNC(=O)C[C@H]2C(F)(F)F)CC1 ZINC001297867983 1073811057 /nfs/dbraw/zinc/81/10/57/1073811057.db2.gz AZAWQEFZGXNDAO-VHSXEESVSA-N 0 0 434.462 -0.582 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)[C@@H]2CNC(=O)C[C@@H]2C(F)(F)F)CC1 ZINC001297867984 1073810988 /nfs/dbraw/zinc/81/09/88/1073810988.db2.gz AZAWQEFZGXNDAO-ZJUUUORDSA-N 0 0 434.462 -0.582 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC001297868175 1073811208 /nfs/dbraw/zinc/81/12/08/1073811208.db2.gz FDBBPQGJIKZQCJ-CABCVRRESA-N 0 0 438.506 -0.015 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC001297868177 1073811030 /nfs/dbraw/zinc/81/10/30/1073811030.db2.gz FDBBPQGJIKZQCJ-GJZGRUSLSA-N 0 0 438.506 -0.015 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCCN1C(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC001297868179 1073811071 /nfs/dbraw/zinc/81/10/71/1073811071.db2.gz FDBBPQGJIKZQCJ-HUUCEWRRSA-N 0 0 438.506 -0.015 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCCN1C(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC001297868181 1073811097 /nfs/dbraw/zinc/81/10/97/1073811097.db2.gz FDBBPQGJIKZQCJ-LSDHHAIUSA-N 0 0 438.506 -0.015 20 0 IBADRN Cn1ncc(C(=O)N2CCCC[C@@H]2CNS(N)(=O)=O)c1I ZINC001297868412 1073811182 /nfs/dbraw/zinc/81/11/82/1073811182.db2.gz HTXFIFQGLSLVPY-MRVPVSSYSA-N 0 0 427.268 -0.188 20 0 IBADRN Cn1ncc(C(=O)N2CCCC[C@H]2CNS(N)(=O)=O)c1I ZINC001297868414 1073811043 /nfs/dbraw/zinc/81/10/43/1073811043.db2.gz HTXFIFQGLSLVPY-QMMMGPOBSA-N 0 0 427.268 -0.188 20 0 IBADRN COc1ccc(C(=O)N2CCCC[C@@H]2CNS(N)(=O)=O)cc1S(=O)(=O)N(C)C ZINC001297868778 1073811747 /nfs/dbraw/zinc/81/17/47/1073811747.db2.gz OOOOMVFETRENIV-CYBMUJFWSA-N 0 0 434.540 -0.267 20 0 IBADRN COc1ccc(C(=O)N2CCCC[C@H]2CNS(N)(=O)=O)cc1S(=O)(=O)N(C)C ZINC001297868779 1073811709 /nfs/dbraw/zinc/81/17/09/1073811709.db2.gz OOOOMVFETRENIV-ZDUSSCGKSA-N 0 0 434.540 -0.267 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCCC[C@H]2CNS(N)(=O)=O)C1=O ZINC001297868807 1073811786 /nfs/dbraw/zinc/81/17/86/1073811786.db2.gz PDEHPFYMPHRPNS-KBPBESRZSA-N 0 0 432.543 -0.259 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCCC[C@@H]2CNS(N)(=O)=O)C1=O ZINC001297868809 1073811768 /nfs/dbraw/zinc/81/17/68/1073811768.db2.gz PDEHPFYMPHRPNS-KGLIPLIRSA-N 0 0 432.543 -0.259 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)N2CCCC[C@H]2CNS(N)(=O)=O)C1=O ZINC001297868810 1073811752 /nfs/dbraw/zinc/81/17/52/1073811752.db2.gz PDEHPFYMPHRPNS-UONOGXRCSA-N 0 0 432.543 -0.259 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)N2CCCC[C@@H]2CNS(N)(=O)=O)C1=O ZINC001297868811 1073811638 /nfs/dbraw/zinc/81/16/38/1073811638.db2.gz PDEHPFYMPHRPNS-ZIAGYGMSSA-N 0 0 432.543 -0.259 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)CN2C(=O)c3ccccc3S2(=O)=O)cn1 ZINC001297869634 1073811780 /nfs/dbraw/zinc/81/17/80/1073811780.db2.gz VPWDJEVFRASNSJ-AWEZNQCLSA-N 0 0 431.474 -0.014 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2CCCN2C(=O)CN2C(=O)c3ccccc3S2(=O)=O)cn1 ZINC001297869635 1073811764 /nfs/dbraw/zinc/81/17/64/1073811764.db2.gz VPWDJEVFRASNSJ-CQSZACIVSA-N 0 0 431.474 -0.014 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@H]2CNC(=O)c2cnn(C)c2)cn1C ZINC001297869737 1073811717 /nfs/dbraw/zinc/81/17/17/1073811717.db2.gz XRUDLUNALFFMCD-HNNXBMFYSA-N 0 0 437.526 -0.449 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]2CNC(=O)c2cnn(C)c2)cn1C ZINC001297869738 1073811774 /nfs/dbraw/zinc/81/17/74/1073811774.db2.gz XRUDLUNALFFMCD-OAHLLOKOSA-N 0 0 437.526 -0.449 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC001297892020 1073811603 /nfs/dbraw/zinc/81/16/03/1073811603.db2.gz KMNAIEJCWVSSMY-ARFHVFGLSA-N 0 0 426.514 -0.737 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)C1 ZINC001297892021 1073811722 /nfs/dbraw/zinc/81/17/22/1073811722.db2.gz KMNAIEJCWVSSMY-BZUAXINKSA-N 0 0 426.514 -0.737 20 0 IBADRN COC(=O)NCCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001297892491 1073811668 /nfs/dbraw/zinc/81/16/68/1073811668.db2.gz GUVZUOJXZYSSPT-UHFFFAOYSA-N 0 0 430.458 -0.236 20 0 IBADRN CCSCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001297910320 1073811758 /nfs/dbraw/zinc/81/17/58/1073811758.db2.gz RWSXRQMJQIMHMP-CHWSQXEVSA-N 0 0 434.588 -0.017 20 0 IBADRN CCSCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001297910321 1073811739 /nfs/dbraw/zinc/81/17/39/1073811739.db2.gz RWSXRQMJQIMHMP-OLZOCXBDSA-N 0 0 434.588 -0.017 20 0 IBADRN CCSCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001297910322 1073811651 /nfs/dbraw/zinc/81/16/51/1073811651.db2.gz RWSXRQMJQIMHMP-QWHCGFSZSA-N 0 0 434.588 -0.017 20 0 IBADRN CCSCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001297910323 1073811678 /nfs/dbraw/zinc/81/16/78/1073811678.db2.gz RWSXRQMJQIMHMP-STQMWFEESA-N 0 0 434.588 -0.017 20 0 IBADRN CO[C@@H]1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C[C@H]1O ZINC001297931988 1073811734 /nfs/dbraw/zinc/81/17/34/1073811734.db2.gz GBQNQRPDKNLUKH-IAGOWNOFSA-N 0 0 425.507 -0.482 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1C[C@@H](O)[C@H](OC)C1 ZINC001297931998 1073811698 /nfs/dbraw/zinc/81/16/98/1073811698.db2.gz GYRTZIPOGWJOGJ-SJLPKXTDSA-N 0 0 427.523 -0.147 20 0 IBADRN CN(C)C(=O)CC1CCN(c2nnc(-c3cnccn3)n2CCNS(C)(=O)=O)CC1 ZINC001297962174 1073812135 /nfs/dbraw/zinc/81/21/35/1073812135.db2.gz MJHVMHBFRUROAX-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN CN(C)C(=O)CCCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001297973010 1073812405 /nfs/dbraw/zinc/81/24/05/1073812405.db2.gz GPWGBLSSKIQNOY-INIZCTEOSA-N 0 0 440.508 -0.721 20 0 IBADRN CN(C)C(=O)CCCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001297973012 1073812486 /nfs/dbraw/zinc/81/24/86/1073812486.db2.gz GPWGBLSSKIQNOY-MRXNPFEDSA-N 0 0 440.508 -0.721 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001297974074 1073812328 /nfs/dbraw/zinc/81/23/28/1073812328.db2.gz LADZFPXCEYUNRX-CYBMUJFWSA-N 0 0 446.512 -0.382 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001297974075 1073812256 /nfs/dbraw/zinc/81/22/56/1073812256.db2.gz LADZFPXCEYUNRX-ZDUSSCGKSA-N 0 0 446.512 -0.382 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297974807 1073812244 /nfs/dbraw/zinc/81/22/44/1073812244.db2.gz QKFMYODBSWEZQS-CYBMUJFWSA-N 0 0 427.531 -0.821 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297974809 1073812474 /nfs/dbraw/zinc/81/24/74/1073812474.db2.gz QKFMYODBSWEZQS-ZDUSSCGKSA-N 0 0 427.531 -0.821 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001297975195 1073812865 /nfs/dbraw/zinc/81/28/65/1073812865.db2.gz USMDIFMBDHMQIV-INIZCTEOSA-N 0 0 446.556 -0.502 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001297975196 1073812885 /nfs/dbraw/zinc/81/28/85/1073812885.db2.gz USMDIFMBDHMQIV-MRXNPFEDSA-N 0 0 446.556 -0.502 20 0 IBADRN CN(C)C(=O)CCn1c(-c2cccc(S(=O)(=O)N(C)C)c2)nnc1N1CCNC(=O)C1 ZINC001297982315 1073813065 /nfs/dbraw/zinc/81/30/65/1073813065.db2.gz BUIYWIHCOWCMFF-UHFFFAOYSA-N 0 0 449.537 -0.390 20 0 IBADRN CN(C)C(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001297983133 1073812901 /nfs/dbraw/zinc/81/29/01/1073812901.db2.gz LSOYBBVYCDZRGO-UHFFFAOYSA-N 0 0 446.512 -0.487 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001297986416 1073813043 /nfs/dbraw/zinc/81/30/43/1073813043.db2.gz BYQWHYHGCIEQRT-QLFBSQMISA-N 0 0 438.554 -0.285 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001297986419 1073812917 /nfs/dbraw/zinc/81/29/17/1073812917.db2.gz BYQWHYHGCIEQRT-SOUVJXGZSA-N 0 0 438.554 -0.285 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001297986524 1073813074 /nfs/dbraw/zinc/81/30/74/1073813074.db2.gz CRIJYNPWUKXXRH-CYBMUJFWSA-N 0 0 447.583 -0.328 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001297986527 1073813006 /nfs/dbraw/zinc/81/30/06/1073813006.db2.gz CRIJYNPWUKXXRH-ZDUSSCGKSA-N 0 0 447.583 -0.328 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001297986610 1073812991 /nfs/dbraw/zinc/81/29/91/1073812991.db2.gz DWHUQJDNSUVWAY-HNNXBMFYSA-N 0 0 448.553 -0.225 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001297986612 1073813036 /nfs/dbraw/zinc/81/30/36/1073813036.db2.gz DWHUQJDNSUVWAY-OAHLLOKOSA-N 0 0 448.553 -0.225 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001297986961 1073812825 /nfs/dbraw/zinc/81/28/25/1073812825.db2.gz HKWLBXPZRIHWKS-CYBMUJFWSA-N 0 0 432.572 -0.358 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001297986964 1073812980 /nfs/dbraw/zinc/81/29/80/1073812980.db2.gz HKWLBXPZRIHWKS-ZDUSSCGKSA-N 0 0 432.572 -0.358 20 0 IBADRN CN(C)C(=O)CCn1c(C2CCC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001297987756 1073812955 /nfs/dbraw/zinc/81/29/55/1073812955.db2.gz JXUSSEXIRKBGDF-UHFFFAOYSA-N 0 0 445.528 -0.510 20 0 IBADRN Cc1ccc(C(=O)NC[C@@]2(O)CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001297989698 1073812939 /nfs/dbraw/zinc/81/29/39/1073812939.db2.gz BUUFPPCZCGQODU-KRWDZBQOSA-N 0 0 432.520 -0.705 20 0 IBADRN Cc1ccc(C(=O)NC[C@]2(O)CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001297989699 1073812928 /nfs/dbraw/zinc/81/29/28/1073812928.db2.gz BUUFPPCZCGQODU-QGZVFWFLSA-N 0 0 432.520 -0.705 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCO1 ZINC001297989928 1073813054 /nfs/dbraw/zinc/81/30/54/1073813054.db2.gz QMXNHGDWVLPJGV-CABCVRRESA-N 0 0 444.558 -0.296 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCO1 ZINC001297989934 1073812845 /nfs/dbraw/zinc/81/28/45/1073812845.db2.gz QMXNHGDWVLPJGV-HUUCEWRRSA-N 0 0 444.558 -0.296 20 0 IBADRN CN(C)C(=O)CCn1c(CC(C)(C)C)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001297990034 1073813018 /nfs/dbraw/zinc/81/30/18/1073813018.db2.gz HXMSYWCJUCLNDV-UHFFFAOYSA-N 0 0 432.533 -0.320 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001297990877 1073813028 /nfs/dbraw/zinc/81/30/28/1073813028.db2.gz TUDQUMVCYJODNH-HNNXBMFYSA-N 0 0 447.540 -0.422 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001297990881 1073812969 /nfs/dbraw/zinc/81/29/69/1073812969.db2.gz TUDQUMVCYJODNH-OAHLLOKOSA-N 0 0 447.540 -0.422 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001297991018 1073813381 /nfs/dbraw/zinc/81/33/81/1073813381.db2.gz VBRRRYICPHMZOJ-BBRMVZONSA-N 0 0 446.512 -0.526 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001297991020 1073813319 /nfs/dbraw/zinc/81/33/19/1073813319.db2.gz VBRRRYICPHMZOJ-CJNGLKHVSA-N 0 0 446.512 -0.526 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001297991023 1073813359 /nfs/dbraw/zinc/81/33/59/1073813359.db2.gz VBRRRYICPHMZOJ-CZUORRHYSA-N 0 0 446.512 -0.526 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001297991025 1073813310 /nfs/dbraw/zinc/81/33/10/1073813310.db2.gz VBRRRYICPHMZOJ-XJKSGUPXSA-N 0 0 446.512 -0.526 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001297991278 1073813341 /nfs/dbraw/zinc/81/33/41/1073813341.db2.gz NCYYDHHMTFWCFT-CYBMUJFWSA-N 0 0 430.426 -0.351 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001297991279 1073813444 /nfs/dbraw/zinc/81/34/44/1073813444.db2.gz NCYYDHHMTFWCFT-ZDUSSCGKSA-N 0 0 430.426 -0.351 20 0 IBADRN O=C(NC[C@@]1(O)CCS(=O)(=O)C1)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001297991322 1073813329 /nfs/dbraw/zinc/81/33/29/1073813329.db2.gz OVGZIZVQEFBEOR-PBHICJAKSA-N 0 0 432.520 -0.577 20 0 IBADRN O=C(NC[C@]1(O)CCS(=O)(=O)C1)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001297991323 1073813388 /nfs/dbraw/zinc/81/33/88/1073813388.db2.gz OVGZIZVQEFBEOR-RHSMWYFYSA-N 0 0 432.520 -0.577 20 0 IBADRN O=C(NC[C@]1(O)CCS(=O)(=O)C1)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001297991324 1073813369 /nfs/dbraw/zinc/81/33/69/1073813369.db2.gz OVGZIZVQEFBEOR-WMLDXEAASA-N 0 0 432.520 -0.577 20 0 IBADRN O=C(NC[C@@]1(O)CCS(=O)(=O)C1)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001297991325 1073813376 /nfs/dbraw/zinc/81/33/76/1073813376.db2.gz OVGZIZVQEFBEOR-YOEHRIQHSA-N 0 0 432.520 -0.577 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001297991354 1073813396 /nfs/dbraw/zinc/81/33/96/1073813396.db2.gz VRPOEHYGLMZKQR-CYBMUJFWSA-N 0 0 427.531 -0.821 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001297991358 1073813415 /nfs/dbraw/zinc/81/34/15/1073813415.db2.gz VRPOEHYGLMZKQR-ZDUSSCGKSA-N 0 0 427.531 -0.821 20 0 IBADRN COc1ccc(C(=O)NC[C@@]2(O)CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC001297991711 1073813294 /nfs/dbraw/zinc/81/32/94/1073813294.db2.gz RHUGFQZORNSHBY-KRWDZBQOSA-N 0 0 432.520 -0.241 20 0 IBADRN COc1ccc(C(=O)NC[C@]2(O)CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC001297991712 1073813335 /nfs/dbraw/zinc/81/33/35/1073813335.db2.gz RHUGFQZORNSHBY-QGZVFWFLSA-N 0 0 432.520 -0.241 20 0 IBADRN O=C(NC[C@@]1(O)CCS(=O)(=O)C1)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001297991854 1073813423 /nfs/dbraw/zinc/81/34/23/1073813423.db2.gz SYSQHSUATQGGNE-INIZCTEOSA-N 0 0 436.483 -0.874 20 0 IBADRN O=C(NC[C@]1(O)CCS(=O)(=O)C1)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001297991855 1073813456 /nfs/dbraw/zinc/81/34/56/1073813456.db2.gz SYSQHSUATQGGNE-MRXNPFEDSA-N 0 0 436.483 -0.874 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001297992425 1073813435 /nfs/dbraw/zinc/81/34/35/1073813435.db2.gz YRNTWUQCBSRGIU-CYBMUJFWSA-N 0 0 446.425 -0.471 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001297992427 1073813404 /nfs/dbraw/zinc/81/34/04/1073813404.db2.gz YRNTWUQCBSRGIU-ZDUSSCGKSA-N 0 0 446.425 -0.471 20 0 IBADRN CN(C)C(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001297992803 1073813880 /nfs/dbraw/zinc/81/38/80/1073813880.db2.gz JIBDGAKEVVYXDZ-HNNXBMFYSA-N 0 0 432.529 -0.081 20 0 IBADRN CN(C)C(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001297992804 1073814128 /nfs/dbraw/zinc/81/41/28/1073814128.db2.gz JIBDGAKEVVYXDZ-OAHLLOKOSA-N 0 0 432.529 -0.081 20 0 IBADRN O=C(Cn1cnc2ccc(Br)cc2c1=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001297993218 1073813925 /nfs/dbraw/zinc/81/39/25/1073813925.db2.gz GBCKNVAJQXZFSG-HNNXBMFYSA-N 0 0 430.280 -0.175 20 0 IBADRN O=C(Cn1cnc2ccc(Br)cc2c1=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001297993219 1073814074 /nfs/dbraw/zinc/81/40/74/1073814074.db2.gz GBCKNVAJQXZFSG-OAHLLOKOSA-N 0 0 430.280 -0.175 20 0 IBADRN CN(C)C(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001297993387 1073814063 /nfs/dbraw/zinc/81/40/63/1073814063.db2.gz QYMCXSXKIXRPKC-UHFFFAOYSA-N 0 0 443.512 -0.535 20 0 IBADRN CN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001297994623 1073813862 /nfs/dbraw/zinc/81/38/62/1073813862.db2.gz DFNJHOPIYXYEAI-UHFFFAOYSA-N 0 0 441.558 -0.538 20 0 IBADRN CN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001297994966 1073814001 /nfs/dbraw/zinc/81/40/01/1073814001.db2.gz IVUVSUGDITZAEI-UHFFFAOYSA-N 0 0 441.558 -0.632 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001297995384 1073814107 /nfs/dbraw/zinc/81/41/07/1073814107.db2.gz OGDYJTSYOWCHMV-OAHLLOKOSA-N 0 0 433.513 -0.465 20 0 IBADRN CN(C)C(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297995466 1073813987 /nfs/dbraw/zinc/81/39/87/1073813987.db2.gz PNTUYPLUGHJFPC-UHFFFAOYSA-N 0 0 436.498 -0.905 20 0 IBADRN CN(C)C(=O)Cn1c(-c2ccc3c(c2)OCO3)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001297997837 1073814122 /nfs/dbraw/zinc/81/41/22/1073814122.db2.gz DBKUWBIGFUTXLF-UHFFFAOYSA-N 0 0 436.494 -0.156 20 0 IBADRN CN(C)C(=O)Cn1c(-c2ccc3c(c2)OCCO3)nnc1N(C)CCNS(C)(=O)=O ZINC001297998698 1073814014 /nfs/dbraw/zinc/81/40/14/1073814014.db2.gz LARAOUXNSKJRFV-UHFFFAOYSA-N 0 0 438.510 -0.210 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cccn2C)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001297999756 1073813758 /nfs/dbraw/zinc/81/37/58/1073813758.db2.gz QVSQSLHNTLGHMV-UHFFFAOYSA-N 0 0 442.524 -0.357 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCC(C(=O)N2CCOCC2)CC1 ZINC001297999798 1073814114 /nfs/dbraw/zinc/81/41/14/1073814114.db2.gz RXLJAHYDKAHBFK-UHFFFAOYSA-N 0 0 430.513 -0.158 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001297999966 1073813822 /nfs/dbraw/zinc/81/38/22/1073813822.db2.gz DDBBVTCUSJEUAP-INIZCTEOSA-N 0 0 448.549 -0.042 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001297999969 1073813942 /nfs/dbraw/zinc/81/39/42/1073813942.db2.gz DDBBVTCUSJEUAP-MRXNPFEDSA-N 0 0 448.549 -0.042 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCCN(CC(=O)N2CCCC2)CC1 ZINC001298000151 1073814086 /nfs/dbraw/zinc/81/40/86/1073814086.db2.gz UUWJVQFWIAXHLH-UHFFFAOYSA-N 0 0 443.556 -0.099 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cccn2C)nnc1N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC001298000206 1073813978 /nfs/dbraw/zinc/81/39/78/1073813978.db2.gz VTXFENDCFZLAEE-CYBMUJFWSA-N 0 0 426.485 -0.096 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cccn2C)nnc1N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC001298000209 1073814411 /nfs/dbraw/zinc/81/44/11/1073814411.db2.gz VTXFENDCFZLAEE-ZDUSSCGKSA-N 0 0 426.485 -0.096 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCS(=O)(=O)CC1 ZINC001298000478 1073814618 /nfs/dbraw/zinc/81/46/18/1073814618.db2.gz HRENWHXTGIXTST-UHFFFAOYSA-N 0 0 441.535 -0.328 20 0 IBADRN CN(C)C(=O)Cn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001298002775 1073814480 /nfs/dbraw/zinc/81/44/80/1073814480.db2.gz BUPONKJXXROTLY-ZDUSSCGKSA-N 0 0 438.492 -0.018 20 0 IBADRN CN(C)C(=O)Cn1c(-c2csc(N3CCOCC3)n2)nnc1N1CCNC(=O)CC1 ZINC001298003092 1073814497 /nfs/dbraw/zinc/81/44/97/1073814497.db2.gz CVTJMJQQXQDPBA-UHFFFAOYSA-N 0 0 434.526 -0.347 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)c2ccccn2)CC1 ZINC001298003387 1073814385 /nfs/dbraw/zinc/81/43/85/1073814385.db2.gz GKSREIUCYXNCFM-AWEZNQCLSA-N 0 0 426.481 -0.325 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)c2ccccn2)CC1 ZINC001298003388 1073814357 /nfs/dbraw/zinc/81/43/57/1073814357.db2.gz GKSREIUCYXNCFM-CQSZACIVSA-N 0 0 426.481 -0.325 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001298003590 1073814398 /nfs/dbraw/zinc/81/43/98/1073814398.db2.gz JMBGJFAAAVBLSJ-UHFFFAOYSA-N 0 0 436.480 -0.356 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCCCO2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001298003614 1073814588 /nfs/dbraw/zinc/81/45/88/1073814588.db2.gz KAFSTYSYHHXFAT-KRWDZBQOSA-N 0 0 449.556 -0.411 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCCCO2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001298003615 1073814601 /nfs/dbraw/zinc/81/46/01/1073814601.db2.gz KAFSTYSYHHXFAT-QGZVFWFLSA-N 0 0 449.556 -0.411 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC001298003783 1073814442 /nfs/dbraw/zinc/81/44/42/1073814442.db2.gz MEYZFYSRIDHSTG-INIZCTEOSA-N 0 0 440.508 -0.257 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC001298003784 1073814427 /nfs/dbraw/zinc/81/44/27/1073814427.db2.gz MEYZFYSRIDHSTG-MRXNPFEDSA-N 0 0 440.508 -0.257 20 0 IBADRN CN(C)C(=O)Cn1c(C2CC2)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001298003792 1073814556 /nfs/dbraw/zinc/81/45/56/1073814556.db2.gz MOURXIMMKXZNLO-HNNXBMFYSA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)C(=O)Cn1c(C2CC2)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001298003793 1073814462 /nfs/dbraw/zinc/81/44/62/1073814462.db2.gz MOURXIMMKXZNLO-OAHLLOKOSA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)C(=O)Cn1c(-c2noc3c2COCC3)nnc1N(C)CCNS(C)(=O)=O ZINC001298003866 1073814526 /nfs/dbraw/zinc/81/45/26/1073814526.db2.gz PBABMSPJSZOWFG-UHFFFAOYSA-N 0 0 427.487 -0.921 20 0 IBADRN CN(C)C(=O)Cn1c(C2CCC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001298004163 1073814370 /nfs/dbraw/zinc/81/43/70/1073814370.db2.gz RJJSCCVTQMQJTP-UHFFFAOYSA-N 0 0 433.513 -0.859 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCOC2)nnc1N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC001298004769 1073814512 /nfs/dbraw/zinc/81/45/12/1073814512.db2.gz ADXBRBRANYJSSV-CABCVRRESA-N 0 0 428.559 -0.022 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCOC2)nnc1N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC001298004770 1073814542 /nfs/dbraw/zinc/81/45/42/1073814542.db2.gz ADXBRBRANYJSSV-GJZGRUSLSA-N 0 0 428.559 -0.022 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC001298004771 1073814573 /nfs/dbraw/zinc/81/45/73/1073814573.db2.gz ADXBRBRANYJSSV-HUUCEWRRSA-N 0 0 428.559 -0.022 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC001298004772 1073814329 /nfs/dbraw/zinc/81/43/29/1073814329.db2.gz ADXBRBRANYJSSV-LSDHHAIUSA-N 0 0 428.559 -0.022 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCOC2)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001298004791 1073814346 /nfs/dbraw/zinc/81/43/46/1073814346.db2.gz BBVWFCIAXWPMNF-HNNXBMFYSA-N 0 0 428.497 -0.309 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001298004792 1073815208 /nfs/dbraw/zinc/81/52/08/1073815208.db2.gz BBVWFCIAXWPMNF-OAHLLOKOSA-N 0 0 428.497 -0.309 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)Cc1ncnn1CC(F)F ZINC001298004832 1073815082 /nfs/dbraw/zinc/81/50/82/1073815082.db2.gz CFLSAKWCOIYQMB-LLVKDONJSA-N 0 0 446.484 -0.239 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)Cc1ncnn1CC(F)F ZINC001298004833 1073815028 /nfs/dbraw/zinc/81/50/28/1073815028.db2.gz CFLSAKWCOIYQMB-NSHDSACASA-N 0 0 446.484 -0.239 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001298004835 1073815196 /nfs/dbraw/zinc/81/51/96/1073815196.db2.gz CMIAXBXYEQHEDX-CXAGYDPISA-N 0 0 445.567 -0.717 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001298004836 1073814996 /nfs/dbraw/zinc/81/49/96/1073814996.db2.gz CMIAXBXYEQHEDX-DYVFJYSZSA-N 0 0 445.567 -0.717 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001298004837 1073814966 /nfs/dbraw/zinc/81/49/66/1073814966.db2.gz CMIAXBXYEQHEDX-GUYCJALGSA-N 0 0 445.567 -0.717 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001298004838 1073815128 /nfs/dbraw/zinc/81/51/28/1073815128.db2.gz CMIAXBXYEQHEDX-SUMWQHHRSA-N 0 0 445.567 -0.717 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC2(CC2)C1 ZINC001298004907 1073815092 /nfs/dbraw/zinc/81/50/92/1073815092.db2.gz FTDSZVRXXATFPH-AWEZNQCLSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC2(CC2)C1 ZINC001298004908 1073815186 /nfs/dbraw/zinc/81/51/86/1073815186.db2.gz FTDSZVRXXATFPH-CQSZACIVSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001298005096 1073815159 /nfs/dbraw/zinc/81/51/59/1073815159.db2.gz KUEBRDVWSQDBEY-AWEZNQCLSA-N 0 0 436.542 -0.672 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001298005097 1073815174 /nfs/dbraw/zinc/81/51/74/1073815174.db2.gz KUEBRDVWSQDBEY-CQSZACIVSA-N 0 0 436.542 -0.672 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccccn1 ZINC001298005277 1073815100 /nfs/dbraw/zinc/81/51/00/1073815100.db2.gz NZBZGYYRNZGVIE-HNNXBMFYSA-N 0 0 437.526 -0.269 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccccn1 ZINC001298005279 1073815139 /nfs/dbraw/zinc/81/51/39/1073815139.db2.gz NZBZGYYRNZGVIE-OAHLLOKOSA-N 0 0 437.526 -0.269 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](C(=O)NC2CC2)C1 ZINC001298005578 1073814979 /nfs/dbraw/zinc/81/49/79/1073814979.db2.gz RZCZOQANWNFWKO-KBPBESRZSA-N 0 0 438.554 -0.237 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001298005579 1073815204 /nfs/dbraw/zinc/81/52/04/1073815204.db2.gz RZCZOQANWNFWKO-KGLIPLIRSA-N 0 0 438.554 -0.237 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](C(=O)NC2CC2)C1 ZINC001298005580 1073815012 /nfs/dbraw/zinc/81/50/12/1073815012.db2.gz RZCZOQANWNFWKO-UONOGXRCSA-N 0 0 438.554 -0.237 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001298005581 1073815151 /nfs/dbraw/zinc/81/51/51/1073815151.db2.gz RZCZOQANWNFWKO-ZIAGYGMSSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCN2C[C@H]3CC[C@@H](C2)O3)s1 ZINC001298008697 1073815043 /nfs/dbraw/zinc/81/50/43/1073815043.db2.gz RXRANCGPOCWAPV-OKILXGFUSA-N 0 0 430.552 -0.358 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N(C)CCNS(C)(=O)=O ZINC001298010308 1073815421 /nfs/dbraw/zinc/81/54/21/1073815421.db2.gz LMBIYXADHPZOLE-UHFFFAOYSA-N 0 0 443.555 -0.657 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@H](C)N1CCO ZINC001298010356 1073815767 /nfs/dbraw/zinc/81/57/67/1073815767.db2.gz JATPNHAHPZIBMV-GASCZTMLSA-N 0 0 426.539 -0.302 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001298010642 1073815663 /nfs/dbraw/zinc/81/56/63/1073815663.db2.gz NQFPHQKLILIRTG-UHFFFAOYSA-N 0 0 441.558 -0.651 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC(C(=O)N2CCOCC2)CC1 ZINC001298011117 1073815406 /nfs/dbraw/zinc/81/54/06/1073815406.db2.gz QIOZCFMSERGFAZ-UHFFFAOYSA-N 0 0 447.540 -0.436 20 0 IBADRN CN(C)C(=O)Cn1c(CC2CC2)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001298011132 1073815752 /nfs/dbraw/zinc/81/57/52/1073815752.db2.gz RACAIEBJHLKQOL-INIZCTEOSA-N 0 0 440.570 -0.050 20 0 IBADRN CN(C)C(=O)Cn1c(CC2CC2)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001298011133 1073815543 /nfs/dbraw/zinc/81/55/43/1073815543.db2.gz RACAIEBJHLKQOL-MRXNPFEDSA-N 0 0 440.570 -0.050 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001298011918 1073815472 /nfs/dbraw/zinc/81/54/72/1073815472.db2.gz XEKYPPFNKXJSHK-CYBMUJFWSA-N 0 0 446.537 -0.086 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001298011919 1073815615 /nfs/dbraw/zinc/81/56/15/1073815615.db2.gz XEKYPPFNKXJSHK-ZDUSSCGKSA-N 0 0 446.537 -0.086 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCCn2ccnn2)CC1 ZINC001298012050 1073815723 /nfs/dbraw/zinc/81/57/23/1073815723.db2.gz SDMINQDZTJMHPC-UHFFFAOYSA-N 0 0 444.544 -0.594 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)NCC(F)F)CC1 ZINC001298013094 1073815584 /nfs/dbraw/zinc/81/55/84/1073815584.db2.gz FRZCASLZGPVXNW-UHFFFAOYSA-N 0 0 439.471 -0.730 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCCN2CCOC2=O)CC1 ZINC001298013288 1073815507 /nfs/dbraw/zinc/81/55/07/1073815507.db2.gz JLZIOXCRJSONPS-UHFFFAOYSA-N 0 0 445.528 -0.269 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2CCCn2cc(CO)nn2)CC1 ZINC001298013289 1073815487 /nfs/dbraw/zinc/81/54/87/1073815487.db2.gz JNJVZFCSLMYMIY-UHFFFAOYSA-N 0 0 442.528 -0.343 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cccnc3)n2CC(=O)N2CCOCC2)CC1 ZINC001298013770 1073815738 /nfs/dbraw/zinc/81/57/38/1073815738.db2.gz VICYZISBSCPUGH-UHFFFAOYSA-N 0 0 442.524 -0.591 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCC2(O)CCOCC2)CC1 ZINC001298013830 1073815600 /nfs/dbraw/zinc/81/56/00/1073815600.db2.gz XPMXUOIDYCAJOC-UHFFFAOYSA-N 0 0 446.556 -0.180 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(C)COCCO2)CC1 ZINC001298013838 1073815523 /nfs/dbraw/zinc/81/55/23/1073815523.db2.gz YBODEJPWXBUVHE-FQEVSTJZSA-N 0 0 432.529 -0.306 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(C)COCCO2)CC1 ZINC001298013839 1073815709 /nfs/dbraw/zinc/81/57/09/1073815709.db2.gz YBODEJPWXBUVHE-HXUWFJFHSA-N 0 0 432.529 -0.306 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCN1CCC(O)CC1 ZINC001298014296 1073815558 /nfs/dbraw/zinc/81/55/58/1073815558.db2.gz ZSLSZNUVADRCGO-KRWDZBQOSA-N 0 0 441.550 -0.199 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCCN1CCC(O)CC1 ZINC001298014297 1073815680 /nfs/dbraw/zinc/81/56/80/1073815680.db2.gz ZSLSZNUVADRCGO-QGZVFWFLSA-N 0 0 441.550 -0.199 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C3CCC3)n2CCCNS(C)(=O)=O)CC1 ZINC001298014888 1073816303 /nfs/dbraw/zinc/81/63/03/1073816303.db2.gz FPWYIRAJZGBWBU-UHFFFAOYSA-N 0 0 427.575 -0.305 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001298015026 1073816276 /nfs/dbraw/zinc/81/62/76/1073816276.db2.gz GNEWJVBCRLMBGC-HNNXBMFYSA-N 0 0 440.570 -0.431 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001298015027 1073816171 /nfs/dbraw/zinc/81/61/71/1073816171.db2.gz GNEWJVBCRLMBGC-OAHLLOKOSA-N 0 0 440.570 -0.431 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001298015254 1073816260 /nfs/dbraw/zinc/81/62/60/1073816260.db2.gz KTYKFFCCFIJZDP-FQEVSTJZSA-N 0 0 427.513 -0.576 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001298015255 1073816316 /nfs/dbraw/zinc/81/63/16/1073816316.db2.gz KTYKFFCCFIJZDP-HXUWFJFHSA-N 0 0 427.513 -0.576 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C3CC3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001298015257 1073816225 /nfs/dbraw/zinc/81/62/25/1073816225.db2.gz KZLZALQHLYSZMM-UHFFFAOYSA-N 0 0 440.570 -0.695 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CC1 ZINC001298015263 1073816214 /nfs/dbraw/zinc/81/62/14/1073816214.db2.gz LJADTQFDSNOBCH-INIZCTEOSA-N 0 0 444.544 -0.799 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CC1 ZINC001298015264 1073816325 /nfs/dbraw/zinc/81/63/25/1073816325.db2.gz LJADTQFDSNOBCH-MRXNPFEDSA-N 0 0 444.544 -0.799 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C3CC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001298015555 1073816270 /nfs/dbraw/zinc/81/62/70/1073816270.db2.gz OSSVTJAHSYECGG-UHFFFAOYSA-N 0 0 425.559 -0.599 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CCCN2CCOCC2)CC1 ZINC001298015574 1073816139 /nfs/dbraw/zinc/81/61/39/1073816139.db2.gz PRFVJXGVJAGOMP-GOSISDBHSA-N 0 0 435.573 -0.286 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CCCN2CCOCC2)CC1 ZINC001298015575 1073816192 /nfs/dbraw/zinc/81/61/92/1073816192.db2.gz PRFVJXGVJAGOMP-SFHVURJKSA-N 0 0 435.573 -0.286 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001298015796 1073816288 /nfs/dbraw/zinc/81/62/88/1073816288.db2.gz UDHVGOJPXVWZQE-CVEARBPZSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001298015798 1073816251 /nfs/dbraw/zinc/81/62/51/1073816251.db2.gz UDHVGOJPXVWZQE-HOTGVXAUSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001298015800 1073816184 /nfs/dbraw/zinc/81/61/84/1073816184.db2.gz UDHVGOJPXVWZQE-HZPDHXFCSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001298015803 1073816337 /nfs/dbraw/zinc/81/63/37/1073816337.db2.gz UDHVGOJPXVWZQE-JKSUJKDBSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001298016130 1073816566 /nfs/dbraw/zinc/81/65/66/1073816566.db2.gz VNEDDBUAVMISLF-INIZCTEOSA-N 0 0 442.524 -0.466 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001298016132 1073816734 /nfs/dbraw/zinc/81/67/34/1073816734.db2.gz VNEDDBUAVMISLF-MRXNPFEDSA-N 0 0 442.524 -0.466 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnccn3)n2CC(=O)NCC(F)F)CC1 ZINC001298016187 1073816793 /nfs/dbraw/zinc/81/67/93/1073816793.db2.gz WJUYENPUMWHFNX-UHFFFAOYSA-N 0 0 437.455 -0.673 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(C(N)=O)CCCC2)CC1 ZINC001298016215 1073816809 /nfs/dbraw/zinc/81/68/09/1073816809.db2.gz WXWKLGDPAPDQAC-HNNXBMFYSA-N 0 0 446.556 -0.515 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(C(N)=O)CCCC2)CC1 ZINC001298016216 1073816608 /nfs/dbraw/zinc/81/66/08/1073816608.db2.gz WXWKLGDPAPDQAC-OAHLLOKOSA-N 0 0 446.556 -0.515 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2CCC(=O)N2CCOCC2)CC1 ZINC001298016877 1073816878 /nfs/dbraw/zinc/81/68/78/1073816878.db2.gz FIOUFWNENJTOOR-QGZVFWFLSA-N 0 0 449.556 -0.411 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CCNC(=O)C2CC2)CC1 ZINC001298018384 1073816591 /nfs/dbraw/zinc/81/65/91/1073816591.db2.gz XWPSNNIFCXAZSE-UHFFFAOYSA-N 0 0 446.556 -0.863 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(-c3ccc[nH]3)n1CCCCCO)C2 ZINC001298018584 1073816579 /nfs/dbraw/zinc/81/65/79/1073816579.db2.gz YSORRLWRDDZTJT-UHFFFAOYSA-N 0 0 443.512 -0.098 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3ccncc3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001298018591 1073816682 /nfs/dbraw/zinc/81/66/82/1073816682.db2.gz ZBKCHHZJSSPBBU-QGZVFWFLSA-N 0 0 426.525 -0.247 20 0 IBADRN Cc1ccc(C[C@H](CO)CNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)cc1Br ZINC001298040510 1073816780 /nfs/dbraw/zinc/81/67/80/1073816780.db2.gz LBSTWSLXMJERBW-JSGCOSHPSA-N 0 0 433.324 -0.062 20 0 IBADRN Cc1ccc(C[C@@H](CO)CNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)cc1Br ZINC001298040511 1073816838 /nfs/dbraw/zinc/81/68/38/1073816838.db2.gz LBSTWSLXMJERBW-OCCSQVGLSA-N 0 0 433.324 -0.062 20 0 IBADRN Cn1cc(I)c(CNC(=O)[C@H](CO)NC(=O)c2cccc(F)n2)n1 ZINC001298049044 1073816822 /nfs/dbraw/zinc/81/68/22/1073816822.db2.gz RTBAEGURZNLXMN-NSHDSACASA-N 0 0 447.208 -0.034 20 0 IBADRN CN(C)c1cccnc1Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001298062477 1073817308 /nfs/dbraw/zinc/81/73/08/1073817308.db2.gz MUVUHNXOWYFNBV-AWEZNQCLSA-N 0 0 448.553 -0.180 20 0 IBADRN CN(C)c1cccnc1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001298062478 1073817295 /nfs/dbraw/zinc/81/72/95/1073817295.db2.gz MUVUHNXOWYFNBV-CQSZACIVSA-N 0 0 448.553 -0.180 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)CNS(=O)(=O)CCOC(C)C)CC1 ZINC001298065089 1073817513 /nfs/dbraw/zinc/81/75/13/1073817513.db2.gz HKPOYVAJGVDASV-AREMUKBSSA-N 0 0 426.557 -0.366 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)CNS(=O)(=O)CCOC(C)C)CC1 ZINC001298065090 1073817154 /nfs/dbraw/zinc/81/71/54/1073817154.db2.gz HKPOYVAJGVDASV-SANMLTNESA-N 0 0 426.557 -0.366 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC001298065124 1073817271 /nfs/dbraw/zinc/81/72/71/1073817271.db2.gz INDPORVEGWEPMD-BEIWTESXSA-N 0 0 443.566 -0.530 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC001298065125 1073817363 /nfs/dbraw/zinc/81/73/63/1073817363.db2.gz INDPORVEGWEPMD-DFYVNMARSA-N 0 0 443.566 -0.530 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC001298065126 1073817483 /nfs/dbraw/zinc/81/74/83/1073817483.db2.gz INDPORVEGWEPMD-JTBPQFFMSA-N 0 0 443.566 -0.530 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC001298065127 1073817455 /nfs/dbraw/zinc/81/74/55/1073817455.db2.gz INDPORVEGWEPMD-XHDOVSQSSA-N 0 0 443.566 -0.530 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)c2c3c(nn2C)CCS(=O)(=O)C3)CC1 ZINC001298065991 1073817348 /nfs/dbraw/zinc/81/73/48/1073817348.db2.gz IDHZGXQGYQRAHZ-HHHXNRCGSA-N 0 0 431.536 -0.193 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)c2c3c(nn2C)CCS(=O)(=O)C3)CC1 ZINC001298065992 1073817336 /nfs/dbraw/zinc/81/73/36/1073817336.db2.gz IDHZGXQGYQRAHZ-MHZLTWQESA-N 0 0 431.536 -0.193 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H](O)CNC(=O)OC(C)(C)C ZINC001298093325 1073817939 /nfs/dbraw/zinc/81/79/39/1073817939.db2.gz OFUPDKKTRNIWCZ-CYBMUJFWSA-N 0 0 446.526 -0.339 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H](O)CNC(=O)OC(C)(C)C ZINC001298093326 1073817897 /nfs/dbraw/zinc/81/78/97/1073817897.db2.gz OFUPDKKTRNIWCZ-ZDUSSCGKSA-N 0 0 446.526 -0.339 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H]2OC(=O)O[C@@H]2C1 ZINC001298096787 1073817877 /nfs/dbraw/zinc/81/78/77/1073817877.db2.gz HNRCJQZMIGCNRW-CHWSQXEVSA-N 0 0 442.498 -0.480 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H]2OC(=O)O[C@H]2C1 ZINC001298096796 1073817984 /nfs/dbraw/zinc/81/79/84/1073817984.db2.gz HNRCJQZMIGCNRW-OLZOCXBDSA-N 0 0 442.498 -0.480 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H]2OC(=O)O[C@@H]2C1 ZINC001298096800 1073817779 /nfs/dbraw/zinc/81/77/79/1073817779.db2.gz HNRCJQZMIGCNRW-QWHCGFSZSA-N 0 0 442.498 -0.480 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H]2OC(=O)O[C@H]2C1 ZINC001298096802 1073817970 /nfs/dbraw/zinc/81/79/70/1073817970.db2.gz HNRCJQZMIGCNRW-STQMWFEESA-N 0 0 442.498 -0.480 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(-c2nnc(N3CCNC(=O)C3)n2Cc2cnnn2C)c1 ZINC001298097239 1073817955 /nfs/dbraw/zinc/81/79/55/1073817955.db2.gz LDLBVGMGFMWKSD-UHFFFAOYSA-N 0 0 445.509 -0.692 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@@H](CC(N)=O)C1 ZINC001298097662 1073817914 /nfs/dbraw/zinc/81/79/14/1073817914.db2.gz OWRDNAZRDODHBO-AWEZNQCLSA-N 0 0 441.558 -0.503 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@H](CC(N)=O)C1 ZINC001298097664 1073817713 /nfs/dbraw/zinc/81/77/13/1073817713.db2.gz OWRDNAZRDODHBO-CQSZACIVSA-N 0 0 441.558 -0.503 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001298101585 1073817792 /nfs/dbraw/zinc/81/77/92/1073817792.db2.gz GXZZJMQXJCJXHZ-UHFFFAOYSA-N 0 0 434.526 -0.267 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001298101629 1073817809 /nfs/dbraw/zinc/81/78/09/1073817809.db2.gz IUGSPXWHNRWAFL-KRWDZBQOSA-N 0 0 425.515 -0.434 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001298101630 1073817928 /nfs/dbraw/zinc/81/79/28/1073817928.db2.gz IUGSPXWHNRWAFL-QGZVFWFLSA-N 0 0 425.515 -0.434 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC001298101661 1073817732 /nfs/dbraw/zinc/81/77/32/1073817732.db2.gz JQGGGUNDYFOVOE-CABCVRRESA-N 0 0 436.542 -0.183 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC001298101662 1073818442 /nfs/dbraw/zinc/81/84/42/1073818442.db2.gz JQGGGUNDYFOVOE-GJZGRUSLSA-N 0 0 436.542 -0.183 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC001298101663 1073818259 /nfs/dbraw/zinc/81/82/59/1073818259.db2.gz JQGGGUNDYFOVOE-HUUCEWRRSA-N 0 0 436.542 -0.183 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC001298101664 1073818464 /nfs/dbraw/zinc/81/84/64/1073818464.db2.gz JQGGGUNDYFOVOE-LSDHHAIUSA-N 0 0 436.542 -0.183 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CCC(OCC(N)=O)CC1 ZINC001298101755 1073818328 /nfs/dbraw/zinc/81/83/28/1073818328.db2.gz MXAOVFZNAVIMDB-UHFFFAOYSA-N 0 0 439.542 -0.354 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccc[nH]2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001298101757 1073818288 /nfs/dbraw/zinc/81/82/88/1073818288.db2.gz MXTBDDSEKCWXGG-UHFFFAOYSA-N 0 0 425.515 -0.365 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001298102042 1073818392 /nfs/dbraw/zinc/81/83/92/1073818392.db2.gz SHKAVLYUEHAPTQ-CYBMUJFWSA-N 0 0 428.540 -0.145 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001298102044 1073818361 /nfs/dbraw/zinc/81/83/61/1073818361.db2.gz SHKAVLYUEHAPTQ-ZDUSSCGKSA-N 0 0 428.540 -0.145 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnccn2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001298102419 1073818453 /nfs/dbraw/zinc/81/84/53/1073818453.db2.gz XCELSOPHXLCUNG-UHFFFAOYSA-N 0 0 443.555 -0.360 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C2CC2)nnc1N1CCN(c2ncccc2C(N)=O)CC1 ZINC001298102455 1073818245 /nfs/dbraw/zinc/81/82/45/1073818245.db2.gz XVRUELBUVYDKGD-UHFFFAOYSA-N 0 0 448.553 -0.133 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001298102648 1073818422 /nfs/dbraw/zinc/81/84/22/1073818422.db2.gz BEJUQQVMKUBYGZ-KBPBESRZSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001298102650 1073818305 /nfs/dbraw/zinc/81/83/05/1073818305.db2.gz BEJUQQVMKUBYGZ-KGLIPLIRSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001298102652 1073818273 /nfs/dbraw/zinc/81/82/73/1073818273.db2.gz BEJUQQVMKUBYGZ-UONOGXRCSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001298102654 1073818316 /nfs/dbraw/zinc/81/83/16/1073818316.db2.gz BEJUQQVMKUBYGZ-ZIAGYGMSSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001298102656 1073818432 /nfs/dbraw/zinc/81/84/32/1073818432.db2.gz BEPRLLPCILMFFC-HZSPNIEDSA-N 0 0 439.542 -0.917 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001298102660 1073818376 /nfs/dbraw/zinc/81/83/76/1073818376.db2.gz BEPRLLPCILMFFC-RDBSUJKOSA-N 0 0 439.542 -0.917 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C2CCC2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001298102931 1073818475 /nfs/dbraw/zinc/81/84/75/1073818475.db2.gz CQBJWVGWZRFHED-UHFFFAOYSA-N 0 0 439.586 -0.162 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001298102959 1073818223 /nfs/dbraw/zinc/81/82/23/1073818223.db2.gz CZUIZRFXVHJTCR-HNNXBMFYSA-N 0 0 434.526 -0.631 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001298102962 1073818403 /nfs/dbraw/zinc/81/84/03/1073818403.db2.gz CZUIZRFXVHJTCR-OAHLLOKOSA-N 0 0 434.526 -0.631 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCC1 ZINC001298103035 1073818413 /nfs/dbraw/zinc/81/84/13/1073818413.db2.gz FDJVHOKPOSVRTG-CYBMUJFWSA-N 0 0 436.560 -0.897 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCC1 ZINC001298103038 1073818341 /nfs/dbraw/zinc/81/83/41/1073818341.db2.gz FDJVHOKPOSVRTG-ZDUSSCGKSA-N 0 0 436.560 -0.897 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCc2c(cc[nH]c2=O)C1 ZINC001298103361 1073818918 /nfs/dbraw/zinc/81/89/18/1073818918.db2.gz IWIKTCSDTLKHEU-AWEZNQCLSA-N 0 0 435.510 -0.216 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCc2c(cc[nH]c2=O)C1 ZINC001298103365 1073818738 /nfs/dbraw/zinc/81/87/38/1073818738.db2.gz IWIKTCSDTLKHEU-CQSZACIVSA-N 0 0 435.510 -0.216 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@H](N2CCCC2=O)C1 ZINC001298103633 1073818682 /nfs/dbraw/zinc/81/86/82/1073818682.db2.gz KJGLHBLRZFJDDF-KBPBESRZSA-N 0 0 439.542 -0.678 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@H](N2CCCC2=O)C1 ZINC001298103634 1073818661 /nfs/dbraw/zinc/81/86/61/1073818661.db2.gz KJGLHBLRZFJDDF-KGLIPLIRSA-N 0 0 439.542 -0.678 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@H](N2CCCC2=O)C1 ZINC001298103635 1073818715 /nfs/dbraw/zinc/81/87/15/1073818715.db2.gz KJGLHBLRZFJDDF-UONOGXRCSA-N 0 0 439.542 -0.678 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@H](N2CCCC2=O)C1 ZINC001298103636 1073818674 /nfs/dbraw/zinc/81/86/74/1073818674.db2.gz KJGLHBLRZFJDDF-ZIAGYGMSSA-N 0 0 439.542 -0.678 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001298103699 1073818705 /nfs/dbraw/zinc/81/87/05/1073818705.db2.gz LKLPYDJUWKPKSN-HNNXBMFYSA-N 0 0 442.567 -0.221 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001298103700 1073818695 /nfs/dbraw/zinc/81/86/95/1073818695.db2.gz LKLPYDJUWKPKSN-OAHLLOKOSA-N 0 0 442.567 -0.221 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001298104095 1073818749 /nfs/dbraw/zinc/81/87/49/1073818749.db2.gz QJCMXOUZWIZSLS-IBGZPJMESA-N 0 0 433.538 -0.128 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001298104097 1073818832 /nfs/dbraw/zinc/81/88/32/1073818832.db2.gz QJCMXOUZWIZSLS-LJQANCHMSA-N 0 0 433.538 -0.128 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2ccccn2)CC1 ZINC001298104435 1073818850 /nfs/dbraw/zinc/81/88/50/1073818850.db2.gz RVZLIKCOXGOANZ-HNNXBMFYSA-N 0 0 448.553 -0.158 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2ccccn2)CC1 ZINC001298104437 1073818764 /nfs/dbraw/zinc/81/87/64/1073818764.db2.gz RVZLIKCOXGOANZ-OAHLLOKOSA-N 0 0 448.553 -0.158 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001298104458 1073818820 /nfs/dbraw/zinc/81/88/20/1073818820.db2.gz SDQIEUFLNOUUEA-CXAGYDPISA-N 0 0 428.515 -0.296 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001298104465 1073818907 /nfs/dbraw/zinc/81/89/07/1073818907.db2.gz SDQIEUFLNOUUEA-DYVFJYSZSA-N 0 0 428.515 -0.296 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001298104467 1073818893 /nfs/dbraw/zinc/81/88/93/1073818893.db2.gz SDQIEUFLNOUUEA-GUYCJALGSA-N 0 0 428.515 -0.296 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001298104469 1073818949 /nfs/dbraw/zinc/81/89/49/1073818949.db2.gz SDQIEUFLNOUUEA-SUMWQHHRSA-N 0 0 428.515 -0.296 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001298104730 1073818724 /nfs/dbraw/zinc/81/87/24/1073818724.db2.gz VPDUYTCXTIKTGI-CYBMUJFWSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001298104732 1073818877 /nfs/dbraw/zinc/81/88/77/1073818877.db2.gz VPDUYTCXTIKTGI-ZDUSSCGKSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC(C)(C)C1 ZINC001298105017 1073819156 /nfs/dbraw/zinc/81/91/56/1073819156.db2.gz XZFOCBACRKDKDZ-CYBMUJFWSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC(C)(C)C1 ZINC001298105019 1073819273 /nfs/dbraw/zinc/81/92/73/1073819273.db2.gz XZFOCBACRKDKDZ-ZDUSSCGKSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CNC(=O)c2cccs2)nnc1N1CCNC(=O)C1 ZINC001298105776 1073819294 /nfs/dbraw/zinc/81/92/94/1073819294.db2.gz XPFOXUDSPSTFKE-UHFFFAOYSA-N 0 0 441.539 -0.903 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@H]1CCC(=O)N1)[C@@H]1CCS(=O)(=O)C1 ZINC001298106673 1073819320 /nfs/dbraw/zinc/81/93/20/1073819320.db2.gz HXJALSUMGVVMPP-CHWSQXEVSA-N 0 0 433.494 -0.173 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@H]1CCC(=O)N1)[C@H]1CCS(=O)(=O)C1 ZINC001298106683 1073819355 /nfs/dbraw/zinc/81/93/55/1073819355.db2.gz HXJALSUMGVVMPP-OLZOCXBDSA-N 0 0 433.494 -0.173 20 0 IBADRN CN(c1nnc(-c2ccccn2)n1CCN1CCCS1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001298140365 1073819423 /nfs/dbraw/zinc/81/94/23/1073819423.db2.gz VRPOGDFUGYIDSQ-AWEZNQCLSA-N 0 0 440.551 -0.001 20 0 IBADRN CN(c1nnc(-c2ccccn2)n1CCN1CCCS1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001298140366 1073819489 /nfs/dbraw/zinc/81/94/89/1073819489.db2.gz VRPOGDFUGYIDSQ-CQSZACIVSA-N 0 0 440.551 -0.001 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1Cc1cnnn1C)C1CCN(S(C)(=O)=O)CC1 ZINC001298146728 1073819476 /nfs/dbraw/zinc/81/94/76/1073819476.db2.gz BVIBZPCBUKPZKF-AWEZNQCLSA-N 0 0 437.530 -0.734 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1Cc1cnnn1C)C1CCN(S(C)(=O)=O)CC1 ZINC001298146730 1073819401 /nfs/dbraw/zinc/81/94/01/1073819401.db2.gz BVIBZPCBUKPZKF-CQSZACIVSA-N 0 0 437.530 -0.734 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001298147203 1073819199 /nfs/dbraw/zinc/81/91/99/1073819199.db2.gz FEDKJAXDJRVEFC-CABCVRRESA-N 0 0 426.543 -0.425 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001298147249 1073819178 /nfs/dbraw/zinc/81/91/78/1073819178.db2.gz FEDKJAXDJRVEFC-GJZGRUSLSA-N 0 0 426.543 -0.425 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001298147250 1073819234 /nfs/dbraw/zinc/81/92/34/1073819234.db2.gz FEDKJAXDJRVEFC-HUUCEWRRSA-N 0 0 426.543 -0.425 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001298147251 1073819381 /nfs/dbraw/zinc/81/93/81/1073819381.db2.gz FEDKJAXDJRVEFC-LSDHHAIUSA-N 0 0 426.543 -0.425 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CC(C)(C)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001298148408 1073819458 /nfs/dbraw/zinc/81/94/58/1073819458.db2.gz LGWCCXNDVCDJQQ-CABCVRRESA-N 0 0 440.570 -0.037 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CC(C)(C)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001298148409 1073819444 /nfs/dbraw/zinc/81/94/44/1073819444.db2.gz LGWCCXNDVCDJQQ-GJZGRUSLSA-N 0 0 440.570 -0.037 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CC(C)(C)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001298148410 1073819216 /nfs/dbraw/zinc/81/92/16/1073819216.db2.gz LGWCCXNDVCDJQQ-HUUCEWRRSA-N 0 0 440.570 -0.037 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CC(C)(C)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001298148411 1073819338 /nfs/dbraw/zinc/81/93/38/1073819338.db2.gz LGWCCXNDVCDJQQ-LSDHHAIUSA-N 0 0 440.570 -0.037 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCn1cncn1)C1CCN(S(C)(=O)=O)CC1 ZINC001298149528 1073819498 /nfs/dbraw/zinc/81/94/98/1073819498.db2.gz QNTUXKYADQLICF-AWEZNQCLSA-N 0 0 437.530 -0.619 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCn1cncn1)C1CCN(S(C)(=O)=O)CC1 ZINC001298149530 1073819251 /nfs/dbraw/zinc/81/92/51/1073819251.db2.gz QNTUXKYADQLICF-CQSZACIVSA-N 0 0 437.530 -0.619 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1COCCO1)C1CCN(S(C)(=O)=O)CC1 ZINC001298149566 1073819369 /nfs/dbraw/zinc/81/93/69/1073819369.db2.gz QSHVTAWYSZDQDD-CABCVRRESA-N 0 0 442.542 -0.495 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1COCCO1)C1CCN(S(C)(=O)=O)CC1 ZINC001298149568 1073819792 /nfs/dbraw/zinc/81/97/92/1073819792.db2.gz QSHVTAWYSZDQDD-GJZGRUSLSA-N 0 0 442.542 -0.495 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1COCCO1)C1CCN(S(C)(=O)=O)CC1 ZINC001298149570 1073819961 /nfs/dbraw/zinc/81/99/61/1073819961.db2.gz QSHVTAWYSZDQDD-HUUCEWRRSA-N 0 0 442.542 -0.495 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1COCCO1)C1CCN(S(C)(=O)=O)CC1 ZINC001298149571 1073819740 /nfs/dbraw/zinc/81/97/40/1073819740.db2.gz QSHVTAWYSZDQDD-LSDHHAIUSA-N 0 0 442.542 -0.495 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCOCC1(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001298150192 1073819842 /nfs/dbraw/zinc/81/98/42/1073819842.db2.gz VFLUCSXFKPAZQT-CABCVRRESA-N 0 0 440.570 -0.037 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCOCC1(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001298150195 1073819803 /nfs/dbraw/zinc/81/98/03/1073819803.db2.gz VFLUCSXFKPAZQT-GJZGRUSLSA-N 0 0 440.570 -0.037 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCN1CCOCC1(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001298150198 1073819880 /nfs/dbraw/zinc/81/98/80/1073819880.db2.gz VFLUCSXFKPAZQT-HUUCEWRRSA-N 0 0 440.570 -0.037 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCN1CCOCC1(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001298150200 1073819753 /nfs/dbraw/zinc/81/97/53/1073819753.db2.gz VFLUCSXFKPAZQT-LSDHHAIUSA-N 0 0 440.570 -0.037 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1Cc1nncn1C)C1CCN(S(C)(=O)=O)CC1 ZINC001298150818 1073819940 /nfs/dbraw/zinc/81/99/40/1073819940.db2.gz YPWLWLQSFBYCDK-CYBMUJFWSA-N 0 0 437.530 -0.734 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1Cc1nncn1C)C1CCN(S(C)(=O)=O)CC1 ZINC001298150822 1073819771 /nfs/dbraw/zinc/81/97/71/1073819771.db2.gz YPWLWLQSFBYCDK-ZDUSSCGKSA-N 0 0 437.530 -0.734 20 0 IBADRN O=C(NCCS(=O)(=O)C1CCOCC1)[C@@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001298152532 1073819820 /nfs/dbraw/zinc/81/98/20/1073819820.db2.gz ZMIRTIFRGGKTFK-HNNXBMFYSA-N 0 0 426.495 -0.113 20 0 IBADRN O=C(NCCS(=O)(=O)C1CCOCC1)[C@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001298152546 1073819865 /nfs/dbraw/zinc/81/98/65/1073819865.db2.gz ZMIRTIFRGGKTFK-OAHLLOKOSA-N 0 0 426.495 -0.113 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NCC(F)F)C1CCC1 ZINC001298159838 1073819731 /nfs/dbraw/zinc/81/97/31/1073819731.db2.gz IPLVPBPHEBYUBB-GFCCVEGCSA-N 0 0 436.485 -0.019 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NCC(F)F)C1CCC1 ZINC001298159840 1073819720 /nfs/dbraw/zinc/81/97/20/1073819720.db2.gz IPLVPBPHEBYUBB-LBPRGKRZSA-N 0 0 436.485 -0.019 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1)[C@H]1CCSC1 ZINC001298160327 1073819895 /nfs/dbraw/zinc/81/98/95/1073819895.db2.gz CSMRTAHQMJFGSK-GRDNDAEWSA-N 0 0 447.583 -0.296 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1)[C@@H]1CCSC1 ZINC001298160328 1073819676 /nfs/dbraw/zinc/81/96/76/1073819676.db2.gz CSMRTAHQMJFGSK-JKIFEVAISA-N 0 0 447.583 -0.296 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1)[C@@H]1CCSC1 ZINC001298160329 1073819925 /nfs/dbraw/zinc/81/99/25/1073819925.db2.gz CSMRTAHQMJFGSK-KEYYUXOJSA-N 0 0 447.583 -0.296 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1)[C@H]1CCSC1 ZINC001298160330 1073819694 /nfs/dbraw/zinc/81/96/94/1073819694.db2.gz CSMRTAHQMJFGSK-ZQIUZPCESA-N 0 0 447.583 -0.296 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1)[C@@H]1CCOC1 ZINC001298160799 1073819661 /nfs/dbraw/zinc/81/96/61/1073819661.db2.gz HIQQAFDZWXAMKI-KFWWJZLASA-N 0 0 431.515 -0.749 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1)[C@@H]1CCOC1 ZINC001298160801 1073819708 /nfs/dbraw/zinc/81/97/08/1073819708.db2.gz HIQQAFDZWXAMKI-RBSFLKMASA-N 0 0 431.515 -0.749 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1)[C@H]1CCOC1 ZINC001298160802 1073819908 /nfs/dbraw/zinc/81/99/08/1073819908.db2.gz HIQQAFDZWXAMKI-RRFJBIMHSA-N 0 0 431.515 -0.749 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1)[C@H]1CCOC1 ZINC001298160804 1073820265 /nfs/dbraw/zinc/82/02/65/1073820265.db2.gz HIQQAFDZWXAMKI-ZNMIVQPWSA-N 0 0 431.515 -0.749 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1CCOCCS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001298160995 1073820442 /nfs/dbraw/zinc/82/04/42/1073820442.db2.gz MNICDPFKCNOLIK-KBPBESRZSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1CCOCCS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001298160998 1073820469 /nfs/dbraw/zinc/82/04/69/1073820469.db2.gz MNICDPFKCNOLIK-KGLIPLIRSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1CCOCCS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001298161001 1073820383 /nfs/dbraw/zinc/82/03/83/1073820383.db2.gz MNICDPFKCNOLIK-UONOGXRCSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1CCOCCS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001298161003 1073820279 /nfs/dbraw/zinc/82/02/79/1073820279.db2.gz MNICDPFKCNOLIK-ZIAGYGMSSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(O)CC1)C1CCN(S(C)(=O)=O)CC1 ZINC001298162667 1073820346 /nfs/dbraw/zinc/82/03/46/1073820346.db2.gz QJULLESQUXWUTE-CYBMUJFWSA-N 0 0 447.583 -0.435 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(O)CC1)C1CCN(S(C)(=O)=O)CC1 ZINC001298162668 1073820307 /nfs/dbraw/zinc/82/03/07/1073820307.db2.gz QJULLESQUXWUTE-ZDUSSCGKSA-N 0 0 447.583 -0.435 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1)[C@@H]1CCOC1 ZINC001298163243 1073820193 /nfs/dbraw/zinc/82/01/93/1073820193.db2.gz PNUKGLBOSJGFHW-CABCVRRESA-N 0 0 425.515 -0.382 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1)[C@H]1CCOC1 ZINC001298163244 1073820250 /nfs/dbraw/zinc/82/02/50/1073820250.db2.gz PNUKGLBOSJGFHW-GJZGRUSLSA-N 0 0 425.515 -0.382 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1)[C@@H]1CCOC1 ZINC001298163245 1073820224 /nfs/dbraw/zinc/82/02/24/1073820224.db2.gz PNUKGLBOSJGFHW-HUUCEWRRSA-N 0 0 425.515 -0.382 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1)[C@H]1CCOC1 ZINC001298163246 1073820460 /nfs/dbraw/zinc/82/04/60/1073820460.db2.gz PNUKGLBOSJGFHW-LSDHHAIUSA-N 0 0 425.515 -0.382 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1)C1CC1 ZINC001298163396 1073820397 /nfs/dbraw/zinc/82/03/97/1073820397.db2.gz RGYFNBJQCTVSQZ-AWEZNQCLSA-N 0 0 428.515 -0.932 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1)C1CC1 ZINC001298163398 1073820413 /nfs/dbraw/zinc/82/04/13/1073820413.db2.gz RGYFNBJQCTVSQZ-CQSZACIVSA-N 0 0 428.515 -0.932 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001298164616 1073820358 /nfs/dbraw/zinc/82/03/58/1073820358.db2.gz SKOLNLHEZCZPBC-KBPBESRZSA-N 0 0 433.556 -0.356 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001298164618 1073820428 /nfs/dbraw/zinc/82/04/28/1073820428.db2.gz SKOLNLHEZCZPBC-KGLIPLIRSA-N 0 0 433.556 -0.356 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001298164620 1073820212 /nfs/dbraw/zinc/82/02/12/1073820212.db2.gz SKOLNLHEZCZPBC-UONOGXRCSA-N 0 0 433.556 -0.356 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001298164621 1073820369 /nfs/dbraw/zinc/82/03/69/1073820369.db2.gz SKOLNLHEZCZPBC-ZIAGYGMSSA-N 0 0 433.556 -0.356 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC23CCOCC3)cn1 ZINC001298164941 1073820295 /nfs/dbraw/zinc/82/02/95/1073820295.db2.gz UVIYWOUGNFHKEU-KRWDZBQOSA-N 0 0 425.555 -0.280 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CC23CCOCC3)cn1 ZINC001298164942 1073820236 /nfs/dbraw/zinc/82/02/36/1073820236.db2.gz UVIYWOUGNFHKEU-QGZVFWFLSA-N 0 0 425.555 -0.280 20 0 IBADRN CN(c1nnc(Cc2cccnc2)n1CC(=O)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001298173582 1073820324 /nfs/dbraw/zinc/82/03/24/1073820324.db2.gz DENQXWURQRVKFG-INIZCTEOSA-N 0 0 434.522 -0.254 20 0 IBADRN CN(c1nnc(Cc2cccnc2)n1CC(=O)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001298173760 1073820742 /nfs/dbraw/zinc/82/07/42/1073820742.db2.gz DENQXWURQRVKFG-MRXNPFEDSA-N 0 0 434.522 -0.254 20 0 IBADRN CN(C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCC(=O)N2)CC1)S(C)(=O)=O ZINC001298196232 1073820859 /nfs/dbraw/zinc/82/08/59/1073820859.db2.gz GTCPQEXGEILXMB-OCCSQVGLSA-N 0 0 439.542 -0.632 20 0 IBADRN CN(C1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCC(=O)N2)CC1)S(C)(=O)=O ZINC001298196233 1073820799 /nfs/dbraw/zinc/82/07/99/1073820799.db2.gz GTCPQEXGEILXMB-TZMCWYRMSA-N 0 0 439.542 -0.632 20 0 IBADRN CN(C1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCC(=O)N2)CC1)S(C)(=O)=O ZINC001298196791 1073820780 /nfs/dbraw/zinc/82/07/80/1073820780.db2.gz OUSONTARDXPPJT-UONOGXRCSA-N 0 0 426.543 -0.079 20 0 IBADRN CN(C1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCC(=O)N2)CC1)S(C)(=O)=O ZINC001298196793 1073820818 /nfs/dbraw/zinc/82/08/18/1073820818.db2.gz OUSONTARDXPPJT-ZIAGYGMSSA-N 0 0 426.543 -0.079 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(C(=O)Nc2ccccn2)n1C[C@@]1(O)CCOC1 ZINC001298197764 1073820768 /nfs/dbraw/zinc/82/07/68/1073820768.db2.gz DRXMAUMZEOHHKF-FQEVSTJZSA-N 0 0 445.480 -0.628 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(C(=O)Nc2ccccn2)n1C[C@]1(O)CCOC1 ZINC001298197765 1073820811 /nfs/dbraw/zinc/82/08/11/1073820811.db2.gz DRXMAUMZEOHHKF-HXUWFJFHSA-N 0 0 445.480 -0.628 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O ZINC001298197989 1073820834 /nfs/dbraw/zinc/82/08/34/1073820834.db2.gz FMXBNFRXQLLKSX-UHFFFAOYSA-N 0 0 442.542 -0.756 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(C(=O)N2CCCC2)n1CC(=O)NCC(F)F ZINC001298198072 1073820876 /nfs/dbraw/zinc/82/08/76/1073820876.db2.gz ITLBRFNBNIZSFD-UHFFFAOYSA-N 0 0 443.455 -0.810 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cccnc2)n1CC1(C(N)=O)CCOCC1 ZINC001298198102 1073820732 /nfs/dbraw/zinc/82/07/32/1073820732.db2.gz DXONXZSHLMIGRF-UHFFFAOYSA-N 0 0 443.508 -0.083 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnn(C)c2)n1CCC(=O)N1CCOCC1 ZINC001298198134 1073820803 /nfs/dbraw/zinc/82/08/03/1073820803.db2.gz FKCGWAIYUKUHCB-UHFFFAOYSA-N 0 0 446.512 -0.778 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnccn2)n1C[C@@H]1CCCCS1(=O)=O ZINC001298198215 1073820789 /nfs/dbraw/zinc/82/07/89/1073820789.db2.gz GUTNXIKSBQSTOA-HNNXBMFYSA-N 0 0 449.537 -0.003 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnccn2)n1C[C@H]1CCCCS1(=O)=O ZINC001298198217 1073820794 /nfs/dbraw/zinc/82/07/94/1073820794.db2.gz GUTNXIKSBQSTOA-OAHLLOKOSA-N 0 0 449.537 -0.003 20 0 IBADRN CN(C1CCN(c2nnc(CC3CC3)n2CCNS(C)(=O)=O)CC1)S(C)(=O)=O ZINC001298198456 1073820752 /nfs/dbraw/zinc/82/07/52/1073820752.db2.gz PVGNFCSPEHCCOW-UHFFFAOYSA-N 0 0 434.588 -0.360 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnn(C)c2)n1CC1(S(C)(=O)=O)CC1 ZINC001298198481 1073820774 /nfs/dbraw/zinc/82/07/74/1073820774.db2.gz JCRZZRGCCWGLLW-UHFFFAOYSA-N 0 0 437.526 -0.449 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnccn2)n1CC(=O)NCc1ccco1 ZINC001298198560 1073820840 /nfs/dbraw/zinc/82/08/40/1073820840.db2.gz LIYXFTOOZKGWOI-UHFFFAOYSA-N 0 0 440.464 -0.061 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnn(C)c2)n1CC1(C(N)=O)CCOCC1 ZINC001298199293 1073820760 /nfs/dbraw/zinc/82/07/60/1073820760.db2.gz QWGVRWAAIYIOIW-UHFFFAOYSA-N 0 0 446.512 -0.744 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2ccccn2)n1CCCNS(C)(=O)=O ZINC001298199940 1073820868 /nfs/dbraw/zinc/82/08/68/1073820868.db2.gz XEMBBODLAOJREL-UHFFFAOYSA-N 0 0 437.526 -0.426 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2ccccn2)n1CCC(=O)N1CCOCC1 ZINC001298200171 1073820825 /nfs/dbraw/zinc/82/08/25/1073820825.db2.gz ZEJHAYHUCGUISP-UHFFFAOYSA-N 0 0 443.508 -0.116 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1CCCC(=O)NC1CC1 ZINC001298200527 1073821240 /nfs/dbraw/zinc/82/12/40/1073821240.db2.gz HIDQRTYQUNHSHL-HNNXBMFYSA-N 0 0 433.513 -0.417 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1CCCC(=O)NC1CC1 ZINC001298200528 1073821359 /nfs/dbraw/zinc/82/13/59/1073821359.db2.gz HIDQRTYQUNHSHL-OAHLLOKOSA-N 0 0 433.513 -0.417 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)NCc1ccco1 ZINC001298201625 1073821402 /nfs/dbraw/zinc/82/14/02/1073821402.db2.gz NIHMYOIIEYZJLP-HNNXBMFYSA-N 0 0 445.480 -0.566 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1CC(=O)NCc1ccco1 ZINC001298201627 1073821318 /nfs/dbraw/zinc/82/13/18/1073821318.db2.gz NIHMYOIIEYZJLP-OAHLLOKOSA-N 0 0 445.480 -0.566 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCOC2)n1CCNC(=O)c1ccn[nH]1 ZINC001298202324 1073821165 /nfs/dbraw/zinc/82/11/65/1073821165.db2.gz RZJDOWCPBFTOOS-AWEZNQCLSA-N 0 0 432.485 -0.770 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCOC2)n1CCNC(=O)c1ccn[nH]1 ZINC001298202326 1073821390 /nfs/dbraw/zinc/82/13/90/1073821390.db2.gz RZJDOWCPBFTOOS-CQSZACIVSA-N 0 0 432.485 -0.770 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCOC2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001298202656 1073821182 /nfs/dbraw/zinc/82/11/82/1073821182.db2.gz UXBODNLCLKGYJX-CVEARBPZSA-N 0 0 441.554 -0.098 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCOC2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001298202658 1073821124 /nfs/dbraw/zinc/82/11/24/1073821124.db2.gz UXBODNLCLKGYJX-HOTGVXAUSA-N 0 0 441.554 -0.098 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCCOC2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001298202660 1073821259 /nfs/dbraw/zinc/82/12/59/1073821259.db2.gz UXBODNLCLKGYJX-HZPDHXFCSA-N 0 0 441.554 -0.098 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCCOC2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001298202662 1073821335 /nfs/dbraw/zinc/82/13/35/1073821335.db2.gz UXBODNLCLKGYJX-JKSUJKDBSA-N 0 0 441.554 -0.098 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(Cc2ccsc2)n1CCNS(C)(=O)=O ZINC001298202697 1073821411 /nfs/dbraw/zinc/82/14/11/1073821411.db2.gz WJJWFRWJONIWOE-UHFFFAOYSA-N 0 0 442.567 -0.225 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc(C(=O)N2CCCC2)n1CCNS(C)(=O)=O ZINC001298205282 1073821346 /nfs/dbraw/zinc/82/13/46/1073821346.db2.gz CPXSGRNNAAIWPF-UHFFFAOYSA-N 0 0 429.547 -0.586 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCO2)n1CCC(=O)N1CCOCC1 ZINC001298205698 1073821293 /nfs/dbraw/zinc/82/12/93/1073821293.db2.gz HHUCGIJNRRFZGW-MRXNPFEDSA-N 0 0 436.513 -0.327 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(CN2CCCC2=O)n1CC1([S@](C)=O)CC1 ZINC001298206155 1073821422 /nfs/dbraw/zinc/82/14/22/1073821422.db2.gz KIENUZQUQYVAAH-PMERELPUSA-N 0 0 438.554 -0.393 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(CN2CCCC2=O)n1CC1([S@@](C)=O)CC1 ZINC001298206158 1073821205 /nfs/dbraw/zinc/82/12/05/1073821205.db2.gz KIENUZQUQYVAAH-SSEXGKCCSA-N 0 0 438.554 -0.393 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(CN2CCCC2=O)n1C[C@@H]1CC[C@H](CO)O1 ZINC001298206239 1073821380 /nfs/dbraw/zinc/82/13/80/1073821380.db2.gz LOLODXHDCACJLS-JKSUJKDBSA-N 0 0 436.513 -0.765 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001298206998 1073821223 /nfs/dbraw/zinc/82/12/23/1073821223.db2.gz UAFKYPOPVLFAGP-UHFFFAOYSA-N 0 0 443.574 -0.244 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001298209442 1073821435 /nfs/dbraw/zinc/82/14/35/1073821435.db2.gz GDSMYWIAFFELOF-TXEJJXNPSA-N 0 0 435.380 -0.015 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001298209693 1073821369 /nfs/dbraw/zinc/82/13/69/1073821369.db2.gz IRTKGNKINUCOTQ-HZSPNIEDSA-N 0 0 441.893 -0.396 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001298209694 1073821273 /nfs/dbraw/zinc/82/12/73/1073821273.db2.gz IRTKGNKINUCOTQ-MJBXVCDLSA-N 0 0 441.893 -0.396 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2Cl)CC1)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001298209725 1073821907 /nfs/dbraw/zinc/82/19/07/1073821907.db2.gz KASSYZBFGOHKHY-TXEJJXNPSA-N 0 0 426.882 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)N3C[C@@H]4C(=O)NC(=O)[C@@H]4C3)CS(=O)(=O)[C@@H]2C1 ZINC001298210454 1073821850 /nfs/dbraw/zinc/82/18/50/1073821850.db2.gz USXHFAAPMILKME-MEBFFEOJSA-N 0 0 441.506 -0.612 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1C[C@@H]3C(=O)NC(=O)[C@@H]3C1)CS2(=O)=O ZINC001298210456 1073821834 /nfs/dbraw/zinc/82/18/34/1073821834.db2.gz USXHFAAPMILKME-POQQGIQPSA-N 0 0 441.506 -0.612 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)N1C[C@@H]3C(=O)NC(=O)[C@@H]3C1)CS2(=O)=O ZINC001298210458 1073821899 /nfs/dbraw/zinc/82/18/99/1073821899.db2.gz USXHFAAPMILKME-VJTDZRGJSA-N 0 0 441.506 -0.612 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3C[C@@H]4C(=O)NC(=O)[C@@H]4C3)CS(=O)(=O)[C@@H]2C1 ZINC001298210460 1073821884 /nfs/dbraw/zinc/82/18/84/1073821884.db2.gz USXHFAAPMILKME-XGFWRYKXSA-N 0 0 441.506 -0.612 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCS(C)(=O)=O ZINC001298215328 1073821892 /nfs/dbraw/zinc/82/18/92/1073821892.db2.gz ZZMJFCPNXJHQJM-CYBMUJFWSA-N 0 0 449.599 -0.034 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCS(C)(=O)=O ZINC001298215329 1073821673 /nfs/dbraw/zinc/82/16/73/1073821673.db2.gz ZZMJFCPNXJHQJM-ZDUSSCGKSA-N 0 0 449.599 -0.034 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCS(=O)(=O)C[C@H]3C3CC3)CC2=O)c1 ZINC001298222129 1073821689 /nfs/dbraw/zinc/82/16/89/1073821689.db2.gz WEKURVBHWFYMAE-BBRMVZONSA-N 0 0 441.531 -0.278 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCS(=O)(=O)C[C@H]3C3CC3)CC2=O)c1 ZINC001298222130 1073821804 /nfs/dbraw/zinc/82/18/04/1073821804.db2.gz WEKURVBHWFYMAE-CJNGLKHVSA-N 0 0 441.531 -0.278 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCS(=O)(=O)C[C@@H]3C3CC3)CC2=O)c1 ZINC001298222131 1073821872 /nfs/dbraw/zinc/82/18/72/1073821872.db2.gz WEKURVBHWFYMAE-CZUORRHYSA-N 0 0 441.531 -0.278 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCS(=O)(=O)C[C@@H]3C3CC3)CC2=O)c1 ZINC001298222132 1073821861 /nfs/dbraw/zinc/82/18/61/1073821861.db2.gz WEKURVBHWFYMAE-XJKSGUPXSA-N 0 0 441.531 -0.278 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@H]1Cc2cncn2C1 ZINC001298230470 1073821762 /nfs/dbraw/zinc/82/17/62/1073821762.db2.gz FOVZUXZFKHUYCH-BBRMVZONSA-N 0 0 426.520 -0.294 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1Cc2cncn2C1 ZINC001298230471 1073821739 /nfs/dbraw/zinc/82/17/39/1073821739.db2.gz FOVZUXZFKHUYCH-CJNGLKHVSA-N 0 0 426.520 -0.294 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1Cc2cncn2C1 ZINC001298230472 1073821708 /nfs/dbraw/zinc/82/17/08/1073821708.db2.gz FOVZUXZFKHUYCH-CZUORRHYSA-N 0 0 426.520 -0.294 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@H]1Cc2cncn2C1 ZINC001298230473 1073821927 /nfs/dbraw/zinc/82/19/27/1073821927.db2.gz FOVZUXZFKHUYCH-XJKSGUPXSA-N 0 0 426.520 -0.294 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC(NC(=O)N(C)C)CC2)s1 ZINC001298233465 1073821940 /nfs/dbraw/zinc/82/19/40/1073821940.db2.gz AEIXSKAHIKMUHB-UHFFFAOYSA-N 0 0 445.567 -0.075 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC(C4CS(=O)(=O)C4)C3)CC2)cc1 ZINC001298243834 1073821816 /nfs/dbraw/zinc/82/18/16/1073821816.db2.gz OXIYKDHMHRUIHJ-UHFFFAOYSA-N 0 0 441.575 -0.196 20 0 IBADRN CN(CC(F)(F)F)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nncn1C ZINC001298252586 1073821919 /nfs/dbraw/zinc/82/19/19/1073821919.db2.gz VEYHKVXDQUTMQH-JTQLQIEISA-N 0 0 438.436 -0.214 20 0 IBADRN CN(CC(F)(F)F)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nncn1C ZINC001298252588 1073822290 /nfs/dbraw/zinc/82/22/90/1073822290.db2.gz VEYHKVXDQUTMQH-SNVBAGLBSA-N 0 0 438.436 -0.214 20 0 IBADRN CCN1C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001298267959 1073822442 /nfs/dbraw/zinc/82/24/42/1073822442.db2.gz YIDMAKUUGXSKOS-DZGCQCFKSA-N 0 0 431.536 -0.495 20 0 IBADRN CCN1C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001298267965 1073822356 /nfs/dbraw/zinc/82/23/56/1073822356.db2.gz YIDMAKUUGXSKOS-HIFRSBDPSA-N 0 0 431.536 -0.495 20 0 IBADRN CCN1C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001298267971 1073822461 /nfs/dbraw/zinc/82/24/61/1073822461.db2.gz YIDMAKUUGXSKOS-UKRRQHHQSA-N 0 0 431.536 -0.495 20 0 IBADRN CCN1C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001298267972 1073822272 /nfs/dbraw/zinc/82/22/72/1073822272.db2.gz YIDMAKUUGXSKOS-ZFWWWQNUSA-N 0 0 431.536 -0.495 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NC1CCN([C@@H](C)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001298273929 1073822211 /nfs/dbraw/zinc/82/22/11/1073822211.db2.gz GVBHTNVMTMFJGD-AWEZNQCLSA-N 0 0 438.550 -0.016 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)NC1CCN([C@H](C)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001298273930 1073822338 /nfs/dbraw/zinc/82/23/38/1073822338.db2.gz GVBHTNVMTMFJGD-CQSZACIVSA-N 0 0 438.550 -0.016 20 0 IBADRN CNS(=O)(=O)NCC(=O)NC1CCN([C@H](C)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001298275104 1073822323 /nfs/dbraw/zinc/82/23/23/1073822323.db2.gz OVKCDVMWCUMCRR-CYBMUJFWSA-N 0 0 432.568 -0.215 20 0 IBADRN CNS(=O)(=O)NCC(=O)NC1CCN([C@@H](C)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001298275106 1073822173 /nfs/dbraw/zinc/82/21/73/1073822173.db2.gz OVKCDVMWCUMCRR-ZDUSSCGKSA-N 0 0 432.568 -0.215 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@@H]1CS(=O)(=O)c2ccccc21 ZINC001298366086 1073822386 /nfs/dbraw/zinc/82/23/86/1073822386.db2.gz HTAOWCNMLHHIAT-CYBMUJFWSA-N 0 0 431.536 -0.492 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NC[C@H]1CS(=O)(=O)c2ccccc21 ZINC001298366089 1073822400 /nfs/dbraw/zinc/82/24/00/1073822400.db2.gz HTAOWCNMLHHIAT-ZDUSSCGKSA-N 0 0 431.536 -0.492 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc21)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001298366782 1073822307 /nfs/dbraw/zinc/82/23/07/1073822307.db2.gz PLOALZNUWYVSCN-BFHYXJOUSA-N 0 0 426.516 -0.291 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc21)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001298366784 1073822120 /nfs/dbraw/zinc/82/21/20/1073822120.db2.gz PLOALZNUWYVSCN-MCIONIFRSA-N 0 0 426.516 -0.291 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc21)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001298366787 1073822252 /nfs/dbraw/zinc/82/22/52/1073822252.db2.gz PLOALZNUWYVSCN-MGPQQGTHSA-N 0 0 426.516 -0.291 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc21)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001298366789 1073822199 /nfs/dbraw/zinc/82/21/99/1073822199.db2.gz PLOALZNUWYVSCN-MJBXVCDLSA-N 0 0 426.516 -0.291 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC001298378660 1073822370 /nfs/dbraw/zinc/82/23/70/1073822370.db2.gz MTLDMGCKLATJRR-GFCCVEGCSA-N 0 0 435.524 -0.526 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1OC ZINC001298378661 1073822233 /nfs/dbraw/zinc/82/22/33/1073822233.db2.gz MTLDMGCKLATJRR-LBPRGKRZSA-N 0 0 435.524 -0.526 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc(C(=O)N2CCCC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298390713 1073822146 /nfs/dbraw/zinc/82/21/46/1073822146.db2.gz OSIJIXZWUWRMNF-GOSISDBHSA-N 0 0 437.526 -0.566 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc(C(=O)N2CCCC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298390714 1073822415 /nfs/dbraw/zinc/82/24/15/1073822415.db2.gz OSIJIXZWUWRMNF-SFHVURJKSA-N 0 0 437.526 -0.566 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCc1cn[nH]c1 ZINC001298396588 1073822426 /nfs/dbraw/zinc/82/24/26/1073822426.db2.gz NPMHCFHLKXLCPR-INIZCTEOSA-N 0 0 449.541 -0.053 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCc1cn[nH]c1 ZINC001298396589 1073823027 /nfs/dbraw/zinc/82/30/27/1073823027.db2.gz NPMHCFHLKXLCPR-MRXNPFEDSA-N 0 0 449.541 -0.053 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)CNS(=O)(=O)c1ccc(NC(C)=O)cc1)C2 ZINC001298406647 1073823051 /nfs/dbraw/zinc/82/30/51/1073823051.db2.gz ICUVSPJMVOUDQO-UHFFFAOYSA-N 0 0 436.494 -0.254 20 0 IBADRN CN(Cc1ccoc1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCS(C)(=O)=O ZINC001298419415 1073822813 /nfs/dbraw/zinc/82/28/13/1073822813.db2.gz VVVDEVRRSCEIBH-AWEZNQCLSA-N 0 0 447.539 -0.115 20 0 IBADRN CN(Cc1ccoc1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCS(C)(=O)=O ZINC001298419416 1073822826 /nfs/dbraw/zinc/82/28/26/1073822826.db2.gz VVVDEVRRSCEIBH-CQSZACIVSA-N 0 0 447.539 -0.115 20 0 IBADRN CN(Cc1ncnn1CC(F)F)c1nnc([C@@H]2CCC(=O)N2)n1CCNS(C)(=O)=O ZINC001298463131 1073822970 /nfs/dbraw/zinc/82/29/70/1073822970.db2.gz UTZFVDBGPAXTJJ-JTQLQIEISA-N 0 0 447.472 -0.729 20 0 IBADRN CN(Cc1ncnn1CC(F)F)c1nnc([C@H]2CCC(=O)N2)n1CCNS(C)(=O)=O ZINC001298463133 1073822930 /nfs/dbraw/zinc/82/29/30/1073822930.db2.gz UTZFVDBGPAXTJJ-SNVBAGLBSA-N 0 0 447.472 -0.729 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CNS(=O)(=O)c2cccc(F)c2)CC1 ZINC001298470568 1073822939 /nfs/dbraw/zinc/82/29/39/1073822939.db2.gz CTJOKYVVDPLJKV-UHFFFAOYSA-N 0 0 427.501 -0.626 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)C[C@@H]2CS(=O)(=O)c3ccccc3O2)CC1 ZINC001298470775 1073822895 /nfs/dbraw/zinc/82/28/95/1073822895.db2.gz FHXWULZQNXJWMZ-GFCCVEGCSA-N 0 0 436.533 -0.119 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)C[C@H]2CS(=O)(=O)c3ccccc3O2)CC1 ZINC001298470776 1073823038 /nfs/dbraw/zinc/82/30/38/1073823038.db2.gz FHXWULZQNXJWMZ-LBPRGKRZSA-N 0 0 436.533 -0.119 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)CC1 ZINC001298471063 1073823021 /nfs/dbraw/zinc/82/30/21/1073823021.db2.gz ILHCFVVFPGSRIF-AWEZNQCLSA-N 0 0 435.499 -0.885 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001298472386 1073822835 /nfs/dbraw/zinc/82/28/35/1073822835.db2.gz WQTDZRSCEIIAFL-UHFFFAOYSA-N 0 0 438.549 -0.735 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001298472475 1073822886 /nfs/dbraw/zinc/82/28/86/1073822886.db2.gz XZIUNAGZPVVTMC-LLVKDONJSA-N 0 0 435.615 -0.683 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001298472477 1073822916 /nfs/dbraw/zinc/82/29/16/1073822916.db2.gz XZIUNAGZPVVTMC-NSHDSACASA-N 0 0 435.615 -0.683 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)[C@H]1c1cccnc1 ZINC001298473648 1073822954 /nfs/dbraw/zinc/82/29/54/1073822954.db2.gz AOUUVWHOOCHLBZ-CABCVRRESA-N 0 0 430.508 -0.750 20 0 IBADRN CN(Cc1ccon1)c1nnc(CN2CCCC2=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001298473949 1073823012 /nfs/dbraw/zinc/82/30/12/1073823012.db2.gz WYNMFCPPUMVYJD-UHFFFAOYSA-N 0 0 438.510 -0.035 20 0 IBADRN C[C@@H](Sc1nc(CC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cs1)C(N)=O ZINC001298475538 1073822743 /nfs/dbraw/zinc/82/27/43/1073822743.db2.gz VLKDLQQZFUXLOZ-SECBINFHSA-N 0 0 440.594 -0.079 20 0 IBADRN C[C@H](Sc1nc(CC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cs1)C(N)=O ZINC001298475539 1073823659 /nfs/dbraw/zinc/82/36/59/1073823659.db2.gz VLKDLQQZFUXLOZ-VIFPVBQESA-N 0 0 440.594 -0.079 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001298478748 1073823524 /nfs/dbraw/zinc/82/35/24/1073823524.db2.gz MDNQLTLPQJPTCR-UHFFFAOYSA-N 0 0 447.535 -0.373 20 0 IBADRN CN(CC1CC(F)C1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nncn1C ZINC001298487430 1073823541 /nfs/dbraw/zinc/82/35/41/1073823541.db2.gz OISJJZMFDRVKRL-JXQTWKCFSA-N 0 0 442.521 -0.028 20 0 IBADRN CN(CC1CC(F)C1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nncn1C ZINC001298487431 1073823646 /nfs/dbraw/zinc/82/36/46/1073823646.db2.gz OISJJZMFDRVKRL-RUXDESIVSA-N 0 0 442.521 -0.028 20 0 IBADRN CC(C)OC(=O)[C@H](Cc1ccc(O)cc1)NC(=O)CN1CCN(S(C)(=O)=O)CC1 ZINC001298496511 1073823590 /nfs/dbraw/zinc/82/35/90/1073823590.db2.gz WONHRLOFLAJDKO-KRWDZBQOSA-N 0 0 427.523 -0.052 20 0 IBADRN CN(C[C@@H]1CCCOC1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298558141 1073823565 /nfs/dbraw/zinc/82/35/65/1073823565.db2.gz WSSBDVFIRVYMPD-DEYYWGMASA-N 0 0 427.527 -0.358 20 0 IBADRN CN(C[C@@H]1CCCOC1)c1nnc([C@H]2CCC(=O)N2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298558142 1073823517 /nfs/dbraw/zinc/82/35/17/1073823517.db2.gz WSSBDVFIRVYMPD-IYOUNJFTSA-N 0 0 427.527 -0.358 20 0 IBADRN CN(C[C@@H]1CCCOC1)c1nnc([C@H]2CCC(=O)N2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298558143 1073823451 /nfs/dbraw/zinc/82/34/51/1073823451.db2.gz WSSBDVFIRVYMPD-PMUMKWKESA-N 0 0 427.527 -0.358 20 0 IBADRN CN(C[C@@H]1CCCOC1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298558144 1073823509 /nfs/dbraw/zinc/82/35/09/1073823509.db2.gz WSSBDVFIRVYMPD-SUNYJGFJSA-N 0 0 427.527 -0.358 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1ccc(I)cc1-n1cnnn1 ZINC001298563070 1073823427 /nfs/dbraw/zinc/82/34/27/1073823427.db2.gz PIQVAMIAXREOTQ-NSHDSACASA-N 0 0 430.206 -0.161 20 0 IBADRN CN(C[C@@H]1CCOC1)c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298574542 1073823555 /nfs/dbraw/zinc/82/35/55/1073823555.db2.gz HTMVYMOVCCLUBR-KBXCAEBGSA-N 0 0 427.527 -0.577 20 0 IBADRN CN(C[C@H]1CCOC1)c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298574543 1073823632 /nfs/dbraw/zinc/82/36/32/1073823632.db2.gz HTMVYMOVCCLUBR-KDOFPFPSSA-N 0 0 427.527 -0.577 20 0 IBADRN CN(C[C@@H]1CCOC1)c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298574545 1073823494 /nfs/dbraw/zinc/82/34/94/1073823494.db2.gz HTMVYMOVCCLUBR-KSSFIOAISA-N 0 0 427.527 -0.577 20 0 IBADRN CN(C[C@H]1CCOC1)c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298574547 1073823600 /nfs/dbraw/zinc/82/36/00/1073823600.db2.gz HTMVYMOVCCLUBR-RDTXWAMCSA-N 0 0 427.527 -0.577 20 0 IBADRN CN1CCn2ncc(C(=O)NCCn3cc(Br)ccc3=O)c2S1(=O)=O ZINC001298602347 1073823611 /nfs/dbraw/zinc/82/36/11/1073823611.db2.gz CSZPBJYQFDUUDH-UHFFFAOYSA-N 0 0 430.284 -0.129 20 0 IBADRN CN(CCC(F)F)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCn1cncn1 ZINC001298608701 1073823479 /nfs/dbraw/zinc/82/34/79/1073823479.db2.gz JVIQLDYEMDJVAP-GFCCVEGCSA-N 0 0 434.473 -0.006 20 0 IBADRN CN(CCC(F)F)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCn1cncn1 ZINC001298608702 1073823465 /nfs/dbraw/zinc/82/34/65/1073823465.db2.gz JVIQLDYEMDJVAP-LBPRGKRZSA-N 0 0 434.473 -0.006 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001298611582 1073823574 /nfs/dbraw/zinc/82/35/74/1073823574.db2.gz BSCIWUXVHJONNJ-HNNXBMFYSA-N 0 0 428.486 -0.731 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001298611587 1073823670 /nfs/dbraw/zinc/82/36/70/1073823670.db2.gz BSCIWUXVHJONNJ-OAHLLOKOSA-N 0 0 428.486 -0.731 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001298612174 1073823621 /nfs/dbraw/zinc/82/36/21/1073823621.db2.gz LBAFLTCPRQHEPV-CYBMUJFWSA-N 0 0 432.543 -0.006 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001298612175 1073824164 /nfs/dbraw/zinc/82/41/64/1073824164.db2.gz LBAFLTCPRQHEPV-ZDUSSCGKSA-N 0 0 432.543 -0.006 20 0 IBADRN CN(CCc1cnccn1)c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298630032 1073824110 /nfs/dbraw/zinc/82/41/10/1073824110.db2.gz AAYYUMJRPGYTHA-IBGZPJMESA-N 0 0 449.537 -0.581 20 0 IBADRN CN(CCc1cnccn1)c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298630033 1073824124 /nfs/dbraw/zinc/82/41/24/1073824124.db2.gz AAYYUMJRPGYTHA-LJQANCHMSA-N 0 0 449.537 -0.581 20 0 IBADRN CN(CCC1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNS(C)(=O)=O ZINC001298648407 1073824159 /nfs/dbraw/zinc/82/41/59/1073824159.db2.gz KQZDRDZYQKPUEF-HNNXBMFYSA-N 0 0 449.599 -0.018 20 0 IBADRN CN(CCC1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNS(C)(=O)=O ZINC001298648409 1073824073 /nfs/dbraw/zinc/82/40/73/1073824073.db2.gz KQZDRDZYQKPUEF-OAHLLOKOSA-N 0 0 449.599 -0.018 20 0 IBADRN CN(CCCCCO)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCC(=O)N1 ZINC001298663622 1073824136 /nfs/dbraw/zinc/82/41/36/1073824136.db2.gz YRYTYOMBXJLSNF-CABCVRRESA-N 0 0 444.558 -0.512 20 0 IBADRN CN(CCCCCO)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCC(=O)N1 ZINC001298663625 1073824127 /nfs/dbraw/zinc/82/41/27/1073824127.db2.gz YRYTYOMBXJLSNF-HUUCEWRRSA-N 0 0 444.558 -0.512 20 0 IBADRN CN(CCCNC(=O)C1CCC1)c1nnc(C(=O)N2CCCC2)n1Cc1nnn(C)n1 ZINC001298682040 1073824148 /nfs/dbraw/zinc/82/41/48/1073824148.db2.gz WSCZRPVFDRKAHB-UHFFFAOYSA-N 0 0 430.517 -0.172 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N(C)CCCS(C)(=O)=O ZINC001298689775 1073824146 /nfs/dbraw/zinc/82/41/46/1073824146.db2.gz GOJGPASRUHDVJU-AWEZNQCLSA-N 0 0 437.588 -0.066 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N(C)CCCS(C)(=O)=O ZINC001298689776 1073824119 /nfs/dbraw/zinc/82/41/19/1073824119.db2.gz GOJGPASRUHDVJU-CQSZACIVSA-N 0 0 437.588 -0.066 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001298692140 1073824134 /nfs/dbraw/zinc/82/41/34/1073824134.db2.gz SMJLMASOAFAVDJ-AWEZNQCLSA-N 0 0 447.583 -0.423 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001298692147 1073824098 /nfs/dbraw/zinc/82/40/98/1073824098.db2.gz SMJLMASOAFAVDJ-CQSZACIVSA-N 0 0 447.583 -0.423 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(Cc2ccccn2)n1CC(=O)N1CCOCC1 ZINC001298692160 1073824157 /nfs/dbraw/zinc/82/41/57/1073824157.db2.gz SOXVLHDLMASLBC-UHFFFAOYSA-N 0 0 436.538 -0.006 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1CCN1CCCS1(=O)=O ZINC001298692375 1073824168 /nfs/dbraw/zinc/82/41/68/1073824168.db2.gz WHFAJUYFETVXDD-AWEZNQCLSA-N 0 0 435.572 -0.312 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1CCN1CCCS1(=O)=O ZINC001298692376 1073824658 /nfs/dbraw/zinc/82/46/58/1073824658.db2.gz WHFAJUYFETVXDD-CQSZACIVSA-N 0 0 435.572 -0.312 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N(CCN2CCOCC2)[C@@H]2CCSC2)o1 ZINC001298700333 1073824637 /nfs/dbraw/zinc/82/46/37/1073824637.db2.gz RQGSOZYJAMOFNW-CYBMUJFWSA-N 0 0 446.551 -0.677 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(C(=O)N(CCN2CCOCC2)[C@H]2CCSC2)o1 ZINC001298700334 1073824576 /nfs/dbraw/zinc/82/45/76/1073824576.db2.gz RQGSOZYJAMOFNW-ZDUSSCGKSA-N 0 0 446.551 -0.677 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(CCN2CCOCC2)[C@H]2CCSC2)cn1C ZINC001298701212 1073824564 /nfs/dbraw/zinc/82/45/64/1073824564.db2.gz XVSPKCKMNDUPST-HNNXBMFYSA-N 0 0 431.584 -0.327 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(CCN2CCOCC2)[C@@H]2CCSC2)cn1C ZINC001298701213 1073824599 /nfs/dbraw/zinc/82/45/99/1073824599.db2.gz XVSPKCKMNDUPST-OAHLLOKOSA-N 0 0 431.584 -0.327 20 0 IBADRN CN(CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](C(N)=O)C1)CC(F)(F)F ZINC001298713011 1073824758 /nfs/dbraw/zinc/82/47/58/1073824758.db2.gz DMWLLTNQCLONSQ-NEPJUHHUSA-N 0 0 438.476 -0.014 20 0 IBADRN CN(CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](C(N)=O)C1)CC(F)(F)F ZINC001298713013 1073824679 /nfs/dbraw/zinc/82/46/79/1073824679.db2.gz DMWLLTNQCLONSQ-NWDGAFQWSA-N 0 0 438.476 -0.014 20 0 IBADRN CN(CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](C(N)=O)C1)CC(F)(F)F ZINC001298713015 1073824766 /nfs/dbraw/zinc/82/47/66/1073824766.db2.gz DMWLLTNQCLONSQ-RYUDHWBXSA-N 0 0 438.476 -0.014 20 0 IBADRN CN(CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](C(N)=O)C1)CC(F)(F)F ZINC001298713016 1073824711 /nfs/dbraw/zinc/82/47/11/1073824711.db2.gz DMWLLTNQCLONSQ-VXGBXAGGSA-N 0 0 438.476 -0.014 20 0 IBADRN CN(CCn1c(CC2CC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)CC(F)(F)F ZINC001298713238 1073824694 /nfs/dbraw/zinc/82/46/94/1073824694.db2.gz XMIRQXBEIOMIEU-CYBMUJFWSA-N 0 0 429.447 -0.128 20 0 IBADRN CN(CCn1c(CC2CC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)CC(F)(F)F ZINC001298713239 1073824539 /nfs/dbraw/zinc/82/45/39/1073824539.db2.gz XMIRQXBEIOMIEU-ZDUSSCGKSA-N 0 0 429.447 -0.128 20 0 IBADRN CN(CCn1cccn1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCOC1 ZINC001298725153 1073824517 /nfs/dbraw/zinc/82/45/17/1073824517.db2.gz OOUXICFBEKEPAL-CVEARBPZSA-N 0 0 439.542 -0.020 20 0 IBADRN CN(CCn1cccn1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCOC1 ZINC001298725154 1073824736 /nfs/dbraw/zinc/82/47/36/1073824736.db2.gz OOUXICFBEKEPAL-HOTGVXAUSA-N 0 0 439.542 -0.020 20 0 IBADRN CN(CCn1cccn1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCOC1 ZINC001298725155 1073824788 /nfs/dbraw/zinc/82/47/88/1073824788.db2.gz OOUXICFBEKEPAL-HZPDHXFCSA-N 0 0 439.542 -0.020 20 0 IBADRN CN(CCn1cccn1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCOC1 ZINC001298725156 1073824748 /nfs/dbraw/zinc/82/47/48/1073824748.db2.gz OOUXICFBEKEPAL-JKSUJKDBSA-N 0 0 439.542 -0.020 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC001298726648 1073824719 /nfs/dbraw/zinc/82/47/19/1073824719.db2.gz DJYLABCRWHXZFC-GFCCVEGCSA-N 0 0 444.476 -0.262 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC001298726649 1073824483 /nfs/dbraw/zinc/82/44/83/1073824483.db2.gz DJYLABCRWHXZFC-LBPRGKRZSA-N 0 0 444.476 -0.262 20 0 IBADRN CN(CCn1cccn1)c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298727198 1073824666 /nfs/dbraw/zinc/82/46/66/1073824666.db2.gz AXRFGRSEPDAITI-GOSISDBHSA-N 0 0 437.526 -0.717 20 0 IBADRN CN(CCn1cccn1)c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298727199 1073824778 /nfs/dbraw/zinc/82/47/78/1073824778.db2.gz AXRFGRSEPDAITI-SFHVURJKSA-N 0 0 437.526 -0.717 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(C(=O)N2CCCC2)n1CCC(=O)N1CCOCC1 ZINC001298734066 1073824608 /nfs/dbraw/zinc/82/46/08/1073824608.db2.gz WYPZPVAWBNBMCQ-UHFFFAOYSA-N 0 0 449.556 -0.469 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCCCS1(=O)=O ZINC001298736183 1073825176 /nfs/dbraw/zinc/82/51/76/1073825176.db2.gz CQFTZZANZXGOJQ-CVEARBPZSA-N 0 0 440.570 -0.035 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCCCS1(=O)=O ZINC001298736186 1073825321 /nfs/dbraw/zinc/82/53/21/1073825321.db2.gz CQFTZZANZXGOJQ-HOTGVXAUSA-N 0 0 440.570 -0.035 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCCCS1(=O)=O ZINC001298736188 1073825160 /nfs/dbraw/zinc/82/51/60/1073825160.db2.gz CQFTZZANZXGOJQ-HZPDHXFCSA-N 0 0 440.570 -0.035 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1CCCCS1(=O)=O ZINC001298736190 1073825215 /nfs/dbraw/zinc/82/52/15/1073825215.db2.gz CQFTZZANZXGOJQ-JKSUJKDBSA-N 0 0 440.570 -0.035 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1CC1(C(N)=O)CCOCC1 ZINC001298736386 1073825326 /nfs/dbraw/zinc/82/53/26/1073825326.db2.gz FNCMIVQKMOWITN-HNNXBMFYSA-N 0 0 435.529 -0.720 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1CC1(C(N)=O)CCOCC1 ZINC001298736390 1073825376 /nfs/dbraw/zinc/82/53/76/1073825376.db2.gz FNCMIVQKMOWITN-OAHLLOKOSA-N 0 0 435.529 -0.720 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1CCNC(=O)c1ccn[nH]1 ZINC001298737134 1073825191 /nfs/dbraw/zinc/82/51/91/1073825191.db2.gz OALYMHFFYLURFI-AWEZNQCLSA-N 0 0 431.501 -0.849 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1CCNC(=O)c1ccn[nH]1 ZINC001298737135 1073825388 /nfs/dbraw/zinc/82/53/88/1073825388.db2.gz OALYMHFFYLURFI-CQSZACIVSA-N 0 0 431.501 -0.849 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(-c2cnn(C)c2)n1CCN1CCCS1(=O)=O ZINC001298737399 1073825230 /nfs/dbraw/zinc/82/52/30/1073825230.db2.gz SYLFIUKWAKMAHL-UHFFFAOYSA-N 0 0 438.558 -0.518 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(-c2cccn2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298737724 1073825288 /nfs/dbraw/zinc/82/52/88/1073825288.db2.gz WIMBLPWQIFCACO-IBGZPJMESA-N 0 0 438.554 -0.398 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(-c2cccn2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298737726 1073825402 /nfs/dbraw/zinc/82/54/02/1073825402.db2.gz WIMBLPWQIFCACO-LJQANCHMSA-N 0 0 438.554 -0.398 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2cccnc2)nnc1N(C)CCN1CCOCC1 ZINC001298737847 1073825340 /nfs/dbraw/zinc/82/53/40/1073825340.db2.gz DSNBTRCJTUJMDA-UHFFFAOYSA-N 0 0 437.570 -0.076 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001298739043 1073825254 /nfs/dbraw/zinc/82/52/54/1073825254.db2.gz WUNUAXYGKKVJQX-INIZCTEOSA-N 0 0 440.570 -0.525 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001298739044 1073825409 /nfs/dbraw/zinc/82/54/09/1073825409.db2.gz WUNUAXYGKKVJQX-MRXNPFEDSA-N 0 0 440.570 -0.525 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CCNC(=O)C1CC1 ZINC001298740085 1073825311 /nfs/dbraw/zinc/82/53/11/1073825311.db2.gz AORSHEXSCDYTIH-UHFFFAOYSA-N 0 0 427.531 -0.974 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C(C)(C)C)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001298740890 1073825366 /nfs/dbraw/zinc/82/53/66/1073825366.db2.gz XGHGPUWNCOYSRU-CYBMUJFWSA-N 0 0 436.604 -0.158 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C(C)(C)C)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001298740891 1073825358 /nfs/dbraw/zinc/82/53/58/1073825358.db2.gz XGHGPUWNCOYSRU-ZDUSSCGKSA-N 0 0 436.604 -0.158 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NCc2cc3n(n2)CCCO3)c1 ZINC001298741865 1073825300 /nfs/dbraw/zinc/82/53/00/1073825300.db2.gz WGXWPYTVEBJTAT-UHFFFAOYSA-N 0 0 428.492 -0.336 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CC1(N2CCOCC2)CC1 ZINC001298742995 1073825418 /nfs/dbraw/zinc/82/54/18/1073825418.db2.gz DQAXFFGQLDICSJ-AWEZNQCLSA-N 0 0 441.558 -0.921 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CC1(N2CCOCC2)CC1 ZINC001298742999 1073825936 /nfs/dbraw/zinc/82/59/36/1073825936.db2.gz DQAXFFGQLDICSJ-CQSZACIVSA-N 0 0 441.558 -0.921 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccccn2)nnc1N(C)CCNS(C)(=O)=O ZINC001298743262 1073825858 /nfs/dbraw/zinc/82/58/58/1073825858.db2.gz NYRIXOJLBVIPLP-UHFFFAOYSA-N 0 0 431.544 -0.783 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1Cc1ccc2c(c1)OCO2 ZINC001298743420 1073825922 /nfs/dbraw/zinc/82/59/22/1073825922.db2.gz HCLHZLXSNGISFD-CYBMUJFWSA-N 0 0 436.494 -0.008 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1Cc1ccc2c(c1)OCO2 ZINC001298743421 1073825873 /nfs/dbraw/zinc/82/58/73/1073825873.db2.gz HCLHZLXSNGISFD-ZDUSSCGKSA-N 0 0 436.494 -0.008 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2c[nH]c3ccccc23)n1Cc1nnn(C)n1 ZINC001298743497 1073826081 /nfs/dbraw/zinc/82/60/81/1073826081.db2.gz JUSWMZLHJZIPHB-UHFFFAOYSA-N 0 0 430.498 -0.016 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001298744009 1073826049 /nfs/dbraw/zinc/82/60/49/1073826049.db2.gz NXYJCACLJKHSIS-AWEZNQCLSA-N 0 0 430.531 -0.984 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCCOC2)n1CC(=O)N1CCOCC1 ZINC001298744012 1073826095 /nfs/dbraw/zinc/82/60/95/1073826095.db2.gz NXYJCACLJKHSIS-CQSZACIVSA-N 0 0 430.531 -0.984 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CC[C@@]2(CCOC2)O1 ZINC001298744019 1073826064 /nfs/dbraw/zinc/82/60/64/1073826064.db2.gz OAVVORDVTZLDBU-DEYYWGMASA-N 0 0 442.542 -0.447 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CC[C@@]2(CCOC2)O1 ZINC001298744021 1073825802 /nfs/dbraw/zinc/82/58/02/1073825802.db2.gz OAVVORDVTZLDBU-GLJUWKHASA-N 0 0 442.542 -0.447 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CC[C@]2(CCOC2)O1 ZINC001298744024 1073826035 /nfs/dbraw/zinc/82/60/35/1073826035.db2.gz OAVVORDVTZLDBU-QWQRMKEZSA-N 0 0 442.542 -0.447 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CC[C@]2(CCOC2)O1 ZINC001298744026 1073825788 /nfs/dbraw/zinc/82/57/88/1073825788.db2.gz OAVVORDVTZLDBU-SUNYJGFJSA-N 0 0 442.542 -0.447 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2cnn(C)c2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001298744043 1073825816 /nfs/dbraw/zinc/82/58/16/1073825816.db2.gz OIZHULBMTBAVIH-GFCCVEGCSA-N 0 0 431.544 -0.901 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2cnn(C)c2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001298744051 1073825911 /nfs/dbraw/zinc/82/59/11/1073825911.db2.gz OIZHULBMTBAVIH-LBPRGKRZSA-N 0 0 431.544 -0.901 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCn2ccnc2C1 ZINC001298744065 1073826109 /nfs/dbraw/zinc/82/61/09/1073826109.db2.gz OXHLCSFIRSJIJP-KBPBESRZSA-N 0 0 436.542 -0.326 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCn2ccnc2C1 ZINC001298744066 1073826010 /nfs/dbraw/zinc/82/60/10/1073826010.db2.gz OXHLCSFIRSJIJP-KGLIPLIRSA-N 0 0 436.542 -0.326 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCn2ccnc2C1 ZINC001298744067 1073825981 /nfs/dbraw/zinc/82/59/81/1073825981.db2.gz OXHLCSFIRSJIJP-UONOGXRCSA-N 0 0 436.542 -0.326 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1CCn2ccnc2C1 ZINC001298744068 1073825839 /nfs/dbraw/zinc/82/58/39/1073825839.db2.gz OXHLCSFIRSJIJP-ZIAGYGMSSA-N 0 0 436.542 -0.326 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1C[C@]1(C)CN(C2CC2)C1=O ZINC001298744686 1073825826 /nfs/dbraw/zinc/82/58/26/1073825826.db2.gz XCCPBCZHYVTBAX-ACJLOTCBSA-N 0 0 439.542 -0.775 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1C[C@@]1(C)CN(C2CC2)C1=O ZINC001298744687 1073826493 /nfs/dbraw/zinc/82/64/93/1073826493.db2.gz XCCPBCZHYVTBAX-FZKQIMNGSA-N 0 0 439.542 -0.775 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@]1(C)CN(C2CC2)C1=O ZINC001298744688 1073826426 /nfs/dbraw/zinc/82/64/26/1073826426.db2.gz XCCPBCZHYVTBAX-SCLBCKFNSA-N 0 0 439.542 -0.775 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(C)CN(C2CC2)C1=O ZINC001298744689 1073826565 /nfs/dbraw/zinc/82/65/65/1073826565.db2.gz XCCPBCZHYVTBAX-UGSOOPFHSA-N 0 0 439.542 -0.775 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCOC(C)(C)C ZINC001298745080 1073826420 /nfs/dbraw/zinc/82/64/20/1073826420.db2.gz APEURFVHTZDTMY-CYBMUJFWSA-N 0 0 437.588 -0.019 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCOC(C)(C)C ZINC001298745081 1073826536 /nfs/dbraw/zinc/82/65/36/1073826536.db2.gz APEURFVHTZDTMY-ZDUSSCGKSA-N 0 0 437.588 -0.019 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1CC1([S@@](C)=O)CCC1 ZINC001298745414 1073826510 /nfs/dbraw/zinc/82/65/10/1073826510.db2.gz JSMYAUIAJMXZGZ-MUUNZHRXSA-N 0 0 446.599 -0.313 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1CC1([S@](C)=O)CCC1 ZINC001298745415 1073826475 /nfs/dbraw/zinc/82/64/75/1073826475.db2.gz JSMYAUIAJMXZGZ-NDEPHWFRSA-N 0 0 446.599 -0.313 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(Cc2cccnc2)n1CC(=O)N1CCOCC1 ZINC001298745465 1073826382 /nfs/dbraw/zinc/82/63/82/1073826382.db2.gz LLHCIGJXEUBHEC-UHFFFAOYSA-N 0 0 437.526 -0.892 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@@H]1CCCCS1(=O)=O ZINC001298745508 1073826527 /nfs/dbraw/zinc/82/65/27/1073826527.db2.gz MOBAPABIQDTICH-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@@H]1CCCCS1(=O)=O ZINC001298745509 1073826408 /nfs/dbraw/zinc/82/64/08/1073826408.db2.gz MOBAPABIQDTICH-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@H]1CCCCS1(=O)=O ZINC001298745510 1073826465 /nfs/dbraw/zinc/82/64/65/1073826465.db2.gz MOBAPABIQDTICH-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@H]1CCCCS1(=O)=O ZINC001298745511 1073826484 /nfs/dbraw/zinc/82/64/84/1073826484.db2.gz MOBAPABIQDTICH-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1ccc(F)cn1 ZINC001298745713 1073826396 /nfs/dbraw/zinc/82/63/96/1073826396.db2.gz OSCCTKQBIZUWAI-GFCCVEGCSA-N 0 0 446.530 -0.252 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1ccc(F)cn1 ZINC001298745715 1073826520 /nfs/dbraw/zinc/82/65/20/1073826520.db2.gz OSCCTKQBIZUWAI-LBPRGKRZSA-N 0 0 446.530 -0.252 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCCOC2)n1CCCN1CCOC1=O ZINC001298745820 1073826571 /nfs/dbraw/zinc/82/65/71/1073826571.db2.gz QADOSKVCVJCXMZ-AWEZNQCLSA-N 0 0 430.531 0.000 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCCOC2)n1CCCN1CCOC1=O ZINC001298745824 1073826554 /nfs/dbraw/zinc/82/65/54/1073826554.db2.gz QADOSKVCVJCXMZ-CQSZACIVSA-N 0 0 430.531 0.000 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1CC(=O)NCC(F)(F)F ZINC001298745981 1073826502 /nfs/dbraw/zinc/82/65/02/1073826502.db2.gz RQECFBAKQSVCIP-JTQLQIEISA-N 0 0 428.437 -0.554 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1CC(=O)NCC(F)(F)F ZINC001298745982 1073826433 /nfs/dbraw/zinc/82/64/33/1073826433.db2.gz RQECFBAKQSVCIP-SNVBAGLBSA-N 0 0 428.437 -0.554 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCn1cccn1 ZINC001298746178 1073826545 /nfs/dbraw/zinc/82/65/45/1073826545.db2.gz UKYZPDGIHIBLTB-AWEZNQCLSA-N 0 0 445.571 -0.548 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCn1cccn1 ZINC001298746185 1073826454 /nfs/dbraw/zinc/82/64/54/1073826454.db2.gz UKYZPDGIHIBLTB-CQSZACIVSA-N 0 0 445.571 -0.548 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@]1(C)CN(C2CC2)C1=O ZINC001298746533 1073826970 /nfs/dbraw/zinc/82/69/70/1073826970.db2.gz ZZPWHWLFQNCMKE-ACJLOTCBSA-N 0 0 426.543 -0.222 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@@]1(C)CN(C2CC2)C1=O ZINC001298746534 1073827128 /nfs/dbraw/zinc/82/71/28/1073827128.db2.gz ZZPWHWLFQNCMKE-FZKQIMNGSA-N 0 0 426.543 -0.222 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@@]1(C)CN(C2CC2)C1=O ZINC001298746535 1073826956 /nfs/dbraw/zinc/82/69/56/1073826956.db2.gz ZZPWHWLFQNCMKE-SCLBCKFNSA-N 0 0 426.543 -0.222 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@]1(C)CN(C2CC2)C1=O ZINC001298746536 1073826859 /nfs/dbraw/zinc/82/68/59/1073826859.db2.gz ZZPWHWLFQNCMKE-UGSOOPFHSA-N 0 0 426.543 -0.222 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCCO2)n1CC1(N2CCOCC2)CC1 ZINC001298747820 1073826919 /nfs/dbraw/zinc/82/69/19/1073826919.db2.gz FSADCJKXUVQUKQ-OAHLLOKOSA-N 0 0 428.559 -0.020 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@@H]2COc3ccccc3[C@H]2O)c1 ZINC001298766787 1073827086 /nfs/dbraw/zinc/82/70/86/1073827086.db2.gz LMVNQEPKPCXSQP-CRAIPNDOSA-N 0 0 440.499 -0.021 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@H]2COc3ccccc3[C@H]2O)c1 ZINC001298766810 1073826892 /nfs/dbraw/zinc/82/68/92/1073826892.db2.gz LMVNQEPKPCXSQP-MAUKXSAKSA-N 0 0 440.499 -0.021 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@@H]2COc3ccccc3[C@@H]2O)c1 ZINC001298766811 1073827001 /nfs/dbraw/zinc/82/70/01/1073827001.db2.gz LMVNQEPKPCXSQP-QAPCUYQASA-N 0 0 440.499 -0.021 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@H]2COc3ccccc3[C@@H]2O)c1 ZINC001298766814 1073827013 /nfs/dbraw/zinc/82/70/13/1073827013.db2.gz LMVNQEPKPCXSQP-YJBOKZPZSA-N 0 0 440.499 -0.021 20 0 IBADRN CN(CCOCC1CC1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298769345 1073826875 /nfs/dbraw/zinc/82/68/75/1073826875.db2.gz KRQVMWLFZWJIHQ-KBXCAEBGSA-N 0 0 427.527 -0.358 20 0 IBADRN CN(CCOCC1CC1)c1nnc([C@H]2CCC(=O)N2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298769348 1073827113 /nfs/dbraw/zinc/82/71/13/1073827113.db2.gz KRQVMWLFZWJIHQ-KDOFPFPSSA-N 0 0 427.527 -0.358 20 0 IBADRN CN(CCOCC1CC1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001298769350 1073826909 /nfs/dbraw/zinc/82/69/09/1073826909.db2.gz KRQVMWLFZWJIHQ-KSSFIOAISA-N 0 0 427.527 -0.358 20 0 IBADRN CN(CCOCC1CC1)c1nnc([C@H]2CCC(=O)N2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001298769353 1073827097 /nfs/dbraw/zinc/82/70/97/1073827097.db2.gz KRQVMWLFZWJIHQ-RDTXWAMCSA-N 0 0 427.527 -0.358 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001298773597 1073826943 /nfs/dbraw/zinc/82/69/43/1073826943.db2.gz WUIGYDSKDQLISR-GFCCVEGCSA-N 0 0 427.545 -0.582 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001298773598 1073827058 /nfs/dbraw/zinc/82/70/58/1073827058.db2.gz WUIGYDSKDQLISR-LBPRGKRZSA-N 0 0 427.545 -0.582 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CCC1(O)CCOCC1 ZINC001298774699 1073827048 /nfs/dbraw/zinc/82/70/48/1073827048.db2.gz WZPZFEZBDADPNY-UHFFFAOYSA-N 0 0 429.543 -0.074 20 0 IBADRN CN(CCOCC1CC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1 ZINC001298774829 1073827034 /nfs/dbraw/zinc/82/70/34/1073827034.db2.gz ZKCDDVYHBGBJBC-INIZCTEOSA-N 0 0 441.554 -0.098 20 0 IBADRN CN(CCOCC1CC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1 ZINC001298774831 1073827072 /nfs/dbraw/zinc/82/70/72/1073827072.db2.gz ZKCDDVYHBGBJBC-MRXNPFEDSA-N 0 0 441.554 -0.098 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(-c2noc3c2COCC3)n1CC(=O)NCC(F)F ZINC001298777343 1073827154 /nfs/dbraw/zinc/82/71/54/1073827154.db2.gz DORCGGLELZSOLY-UHFFFAOYSA-N 0 0 448.452 -0.132 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001298777354 1073826983 /nfs/dbraw/zinc/82/69/83/1073826983.db2.gz DVKLIARUDLIGRW-GFCCVEGCSA-N 0 0 433.556 -0.465 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001298777355 1073827585 /nfs/dbraw/zinc/82/75/85/1073827585.db2.gz DVKLIARUDLIGRW-LBPRGKRZSA-N 0 0 433.556 -0.465 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CC1(S(C)(=O)=O)CCC1 ZINC001298777413 1073827652 /nfs/dbraw/zinc/82/76/52/1073827652.db2.gz GMKOYEPNHYVYCU-GFCCVEGCSA-N 0 0 433.556 -0.323 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CC1(S(C)(=O)=O)CCC1 ZINC001298777414 1073827604 /nfs/dbraw/zinc/82/76/04/1073827604.db2.gz GMKOYEPNHYVYCU-LBPRGKRZSA-N 0 0 433.556 -0.323 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCCCO2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001298777505 1073827521 /nfs/dbraw/zinc/82/75/21/1073827521.db2.gz JMUJNMAJIDHTDX-QWHCGFSZSA-N 0 0 428.515 -0.011 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCCCO2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001298777506 1073827542 /nfs/dbraw/zinc/82/75/42/1073827542.db2.gz JMUJNMAJIDHTDX-STQMWFEESA-N 0 0 428.515 -0.011 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCSC1 ZINC001298777523 1073827504 /nfs/dbraw/zinc/82/75/04/1073827504.db2.gz JVMUZYNKOCQORN-DOMZBBRYSA-N 0 0 438.597 -0.471 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCSC1 ZINC001298777524 1073827530 /nfs/dbraw/zinc/82/75/30/1073827530.db2.gz JVMUZYNKOCQORN-IUODEOHRSA-N 0 0 438.597 -0.471 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCSC1 ZINC001298777526 1073827553 /nfs/dbraw/zinc/82/75/53/1073827553.db2.gz JVMUZYNKOCQORN-SWLSCSKDSA-N 0 0 438.597 -0.471 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCSC1 ZINC001298777527 1073827594 /nfs/dbraw/zinc/82/75/94/1073827594.db2.gz JVMUZYNKOCQORN-WFASDCNBSA-N 0 0 438.597 -0.471 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(Cc2cccnc2)n1CCC(=O)N1CCOCC1 ZINC001298782199 1073827558 /nfs/dbraw/zinc/82/75/58/1073827558.db2.gz NTVUDCUPQJVEFY-UHFFFAOYSA-N 0 0 436.538 -0.006 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(CNC(=O)c2cccs2)n1CCS(C)(=O)=O ZINC001298782232 1073827476 /nfs/dbraw/zinc/82/74/76/1073827476.db2.gz PRUWZYFVSLMSAS-UHFFFAOYSA-N 0 0 449.580 -0.205 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(Cc2ccncc2)n1CCN1CCCS1(=O)=O ZINC001298782242 1073827511 /nfs/dbraw/zinc/82/75/11/1073827511.db2.gz OTPBVWPXZRBCOY-UHFFFAOYSA-N 0 0 442.567 -0.220 20 0 IBADRN CN1C(=O)C[C@H]2CN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3CCS(C)(=O)=O)CC[C@H]21 ZINC001298797011 1073827515 /nfs/dbraw/zinc/82/75/15/1073827515.db2.gz LZQQKCOYIIOORT-HZSPNIEDSA-N 0 0 445.567 -0.718 20 0 IBADRN CN1C(=O)C[C@H]2CN(c3nnc([C@H]4CCS(=O)(=O)C4)n3CCS(C)(=O)=O)CC[C@H]21 ZINC001298797013 1073827493 /nfs/dbraw/zinc/82/74/93/1073827493.db2.gz LZQQKCOYIIOORT-MELADBBJSA-N 0 0 445.567 -0.718 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc([C@@H]4CCOC4)n3CC(=O)NCC(F)F)CC2)C1=O ZINC001298798357 1073827572 /nfs/dbraw/zinc/82/75/72/1073827572.db2.gz AKXVMKJERBSSLV-LLVKDONJSA-N 0 0 441.439 -0.316 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc([C@H]4CCOC4)n3CC(=O)NCC(F)F)CC2)C1=O ZINC001298798358 1073827485 /nfs/dbraw/zinc/82/74/85/1073827485.db2.gz AKXVMKJERBSSLV-NSHDSACASA-N 0 0 441.439 -0.316 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc(-c4cnccn4)n3CC(=O)NCC(F)F)CC2)C1=O ZINC001298798436 1073827523 /nfs/dbraw/zinc/82/75/23/1073827523.db2.gz DKGJEWKPJVVSSW-UHFFFAOYSA-N 0 0 449.422 -0.363 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc([C@H]4CCCO4)n3CCS(C)(=O)=O)CC2)C1=O ZINC001298798500 1073827536 /nfs/dbraw/zinc/82/75/36/1073827536.db2.gz GUUAZOFNTOYSAP-GFCCVEGCSA-N 0 0 426.499 -0.305 20 0 IBADRN CN1C(=O)N[C@@H](C2CCN(c3nnc(CN4CCCC4=O)n3CC(C)(C)O)CC2)C1=O ZINC001298798879 1073828103 /nfs/dbraw/zinc/82/81/03/1073828103.db2.gz QZFNOXGROBTXPV-INIZCTEOSA-N 0 0 433.513 -0.062 20 0 IBADRN CN1C(=O)N[C@H](C2CCN(c3nnc(CN4CCCC4=O)n3CC(C)(C)O)CC2)C1=O ZINC001298798880 1073828053 /nfs/dbraw/zinc/82/80/53/1073828053.db2.gz QZFNOXGROBTXPV-MRXNPFEDSA-N 0 0 433.513 -0.062 20 0 IBADRN Cn1cc(-c2nnc(N(CCS(C)(=O)=O)C3CC3)n2CCNS(C)(=O)=O)cn1 ZINC001298805626 1073828017 /nfs/dbraw/zinc/82/80/17/1073828017.db2.gz ZAHGTUQQSYGHJN-UHFFFAOYSA-N 0 0 431.544 -0.759 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(CNS(C)(=O)=O)CC3)n2C[C@]2(O)CCOC2)cn1 ZINC001298809864 1073828138 /nfs/dbraw/zinc/82/81/38/1073828138.db2.gz QQIKCIIAZKNBAQ-GOSISDBHSA-N 0 0 439.542 -0.404 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(CNS(C)(=O)=O)CC3)n2C[C@@]2(O)CCOC2)cn1 ZINC001298809865 1073828113 /nfs/dbraw/zinc/82/81/13/1073828113.db2.gz QQIKCIIAZKNBAQ-SFHVURJKSA-N 0 0 439.542 -0.404 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@H](S(C)(=O)=O)C3)n2C[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001298810108 1073827991 /nfs/dbraw/zinc/82/79/91/1073827991.db2.gz AWZWVWGLRBFIKW-GXTWGEPZSA-N 0 0 428.540 -0.263 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@H](S(C)(=O)=O)C3)n2C[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001298810113 1073828178 /nfs/dbraw/zinc/82/81/78/1073828178.db2.gz AWZWVWGLRBFIKW-JSGCOSHPSA-N 0 0 428.540 -0.263 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@H](S(C)(=O)=O)C3)n2C[C@H]2CCS(=O)(=O)C2)cn1 ZINC001298810115 1073828169 /nfs/dbraw/zinc/82/81/69/1073828169.db2.gz AWZWVWGLRBFIKW-OCCSQVGLSA-N 0 0 428.540 -0.263 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@H](S(C)(=O)=O)C3)n2C[C@H]2CCS(=O)(=O)C2)cn1 ZINC001298810118 1073828037 /nfs/dbraw/zinc/82/80/37/1073828037.db2.gz AWZWVWGLRBFIKW-TZMCWYRMSA-N 0 0 428.540 -0.263 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@H](S(C)(=O)=O)C3)n2CCC(=O)N2CCOCC2)cn1 ZINC001298810516 1073828147 /nfs/dbraw/zinc/82/81/47/1073828147.db2.gz DILVCXZSYDMBES-HNNXBMFYSA-N 0 0 437.526 -0.449 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@H](S(C)(=O)=O)C3)n2CCC(=O)N2CCOCC2)cn1 ZINC001298810519 1073828160 /nfs/dbraw/zinc/82/81/60/1073828160.db2.gz DILVCXZSYDMBES-OAHLLOKOSA-N 0 0 437.526 -0.449 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(NS(C)(=O)=O)CC3)n2C[C@H]2COCCO2)cn1 ZINC001298811132 1073828004 /nfs/dbraw/zinc/82/80/04/1073828004.db2.gz LFFNXERLAUGNBB-HNNXBMFYSA-N 0 0 425.515 -0.388 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(NS(C)(=O)=O)CC3)n2CC(=O)NCC2CC2)cn1 ZINC001298811566 1073827977 /nfs/dbraw/zinc/82/79/77/1073827977.db2.gz SAZIKMGLGFOMEV-UHFFFAOYSA-N 0 0 436.542 -0.277 20 0 IBADRN Cn1cc(-c2nnc(N3CCC[C@H](C(N)=O)C3)n2C[C@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC001298811725 1073828029 /nfs/dbraw/zinc/82/80/29/1073828029.db2.gz DIAUGYNBCORXQD-KBPBESRZSA-N 0 0 436.542 -0.338 20 0 IBADRN Cn1cc(-c2nnc(N3CCC[C@H](C(N)=O)C3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC001298811726 1073828126 /nfs/dbraw/zinc/82/81/26/1073828126.db2.gz DIAUGYNBCORXQD-KGLIPLIRSA-N 0 0 436.542 -0.338 20 0 IBADRN Cn1cc(-c2nnc(N3CCC[C@@H](C(N)=O)C3)n2C[C@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC001298811727 1073828079 /nfs/dbraw/zinc/82/80/79/1073828079.db2.gz DIAUGYNBCORXQD-UONOGXRCSA-N 0 0 436.542 -0.338 20 0 IBADRN Cn1cc(-c2nnc(N3CCC[C@@H](C(N)=O)C3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC001298811728 1073828090 /nfs/dbraw/zinc/82/80/90/1073828090.db2.gz DIAUGYNBCORXQD-ZIAGYGMSSA-N 0 0 436.542 -0.338 20 0 IBADRN Cn1cc(-c2nnc(N3CCCN(S(C)(=O)=O)CC3)n2CC(=O)NCC(F)F)cn1 ZINC001298816374 1073827961 /nfs/dbraw/zinc/82/79/61/1073827961.db2.gz DMPNXJNVEFBWMO-UHFFFAOYSA-N 0 0 446.484 -0.468 20 0 IBADRN Cn1cc(-c2nnc(N3CCC[C@H](S(C)(=O)=O)C3)n2CC(=O)N2CCOCC2)cn1 ZINC001298816912 1073828623 /nfs/dbraw/zinc/82/86/23/1073828623.db2.gz NIWOBGYQCBJOHW-HNNXBMFYSA-N 0 0 437.526 -0.449 20 0 IBADRN Cn1cc(-c2nnc(N3CCC[C@@H](S(C)(=O)=O)C3)n2CC(=O)N2CCOCC2)cn1 ZINC001298816913 1073828588 /nfs/dbraw/zinc/82/85/88/1073828588.db2.gz NIWOBGYQCBJOHW-OAHLLOKOSA-N 0 0 437.526 -0.449 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(=O)NC4CC4)CC3)n2CCCCCO)cn1 ZINC001298817693 1073828723 /nfs/dbraw/zinc/82/87/23/1073828723.db2.gz HFKOZFKVQMATAY-UHFFFAOYSA-N 0 0 430.513 -0.232 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(C)(C)C)CC3)n2CCNS(C)(=O)=O)cn1 ZINC001298817797 1073828755 /nfs/dbraw/zinc/82/87/55/1073828755.db2.gz JDFZWLWEINAEDZ-UHFFFAOYSA-N 0 0 438.558 -0.078 20 0 IBADRN Cn1cc(-c2nnc(N3CCS(=O)(=O)CC3)n2CC2CCS(=O)(=O)CC2)cn1 ZINC001298817976 1073828716 /nfs/dbraw/zinc/82/87/16/1073828716.db2.gz BAYKKMBNPZAAMQ-UHFFFAOYSA-N 0 0 428.540 -0.262 20 0 IBADRN Cn1cc(-c2nnc(N3CCS(=O)(=O)CC3)n2Cc2cccc(OCC(N)=O)c2)cn1 ZINC001298818962 1073828597 /nfs/dbraw/zinc/82/85/97/1073828597.db2.gz PJCKFIGKYNWZND-UHFFFAOYSA-N 0 0 445.505 -0.174 20 0 IBADRN Cn1cc(-c2nnc(N3CCNC(=O)C3)n2C[C@@H](N2CCOCC2)C(F)(F)F)cn1 ZINC001298819166 1073828746 /nfs/dbraw/zinc/82/87/46/1073828746.db2.gz RTWZUQICNFJPGC-CYBMUJFWSA-N 0 0 428.419 -0.122 20 0 IBADRN Cn1cc(-c2nnc(N3CCNC(=O)C3)n2C[C@H](N2CCOCC2)C(F)(F)F)cn1 ZINC001298819167 1073828705 /nfs/dbraw/zinc/82/87/05/1073828705.db2.gz RTWZUQICNFJPGC-ZDUSSCGKSA-N 0 0 428.419 -0.122 20 0 IBADRN Cn1cc(-c2nnc(N3CCO[C@H]([C@H]4CCCO4)C3)n2CCNS(C)(=O)=O)cn1 ZINC001298819268 1073828649 /nfs/dbraw/zinc/82/86/49/1073828649.db2.gz UEUDTQTZXIQZQF-CABCVRRESA-N 0 0 425.515 -0.388 20 0 IBADRN Cn1cc(-c2nnc(N3CCO[C@H]([C@@H]4CCCO4)C3)n2CCNS(C)(=O)=O)cn1 ZINC001298819270 1073828678 /nfs/dbraw/zinc/82/86/78/1073828678.db2.gz UEUDTQTZXIQZQF-GJZGRUSLSA-N 0 0 425.515 -0.388 20 0 IBADRN Cn1cc(-c2nnc(N3CCO[C@@H]([C@H]4CCCO4)C3)n2CCNS(C)(=O)=O)cn1 ZINC001298819272 1073828742 /nfs/dbraw/zinc/82/87/42/1073828742.db2.gz UEUDTQTZXIQZQF-HUUCEWRRSA-N 0 0 425.515 -0.388 20 0 IBADRN Cn1cc(-c2nnc(N3CCO[C@@H]([C@@H]4CCCO4)C3)n2CCNS(C)(=O)=O)cn1 ZINC001298819274 1073828730 /nfs/dbraw/zinc/82/87/30/1073828730.db2.gz UEUDTQTZXIQZQF-LSDHHAIUSA-N 0 0 425.515 -0.388 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@H]2CCS(=O)(=O)C2)cn1 ZINC001298819355 1073828694 /nfs/dbraw/zinc/82/86/94/1073828694.db2.gz VLSKJZYAROZGRS-CYBMUJFWSA-N 0 0 443.555 -0.805 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001298819356 1073828737 /nfs/dbraw/zinc/82/87/37/1073828737.db2.gz VLSKJZYAROZGRS-ZDUSSCGKSA-N 0 0 443.555 -0.805 20 0 IBADRN Cn1cc([C@@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCCS(C)(=O)=O)CCO2)cn1 ZINC001298823788 1073828686 /nfs/dbraw/zinc/82/86/86/1073828686.db2.gz HRVCRBADBMQNOC-CABCVRRESA-N 0 0 437.526 -0.025 20 0 IBADRN Cn1cc([C@@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCCS(C)(=O)=O)CCO2)cn1 ZINC001298823789 1073828672 /nfs/dbraw/zinc/82/86/72/1073828672.db2.gz HRVCRBADBMQNOC-GJZGRUSLSA-N 0 0 437.526 -0.025 20 0 IBADRN Cn1cc([C@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCCS(C)(=O)=O)CCO2)cn1 ZINC001298823790 1073828634 /nfs/dbraw/zinc/82/86/34/1073828634.db2.gz HRVCRBADBMQNOC-HUUCEWRRSA-N 0 0 437.526 -0.025 20 0 IBADRN Cn1cc([C@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCCS(C)(=O)=O)CCO2)cn1 ZINC001298823791 1073828764 /nfs/dbraw/zinc/82/87/64/1073828764.db2.gz HRVCRBADBMQNOC-LSDHHAIUSA-N 0 0 437.526 -0.025 20 0 IBADRN Cn1cc(CCCn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)cn1 ZINC001298826458 1073828661 /nfs/dbraw/zinc/82/86/61/1073828661.db2.gz NSSBXIYJPFUCSB-INIZCTEOSA-N 0 0 439.542 -0.186 20 0 IBADRN Cn1cc(CCCn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)cn1 ZINC001298826459 1073828608 /nfs/dbraw/zinc/82/86/08/1073828608.db2.gz NSSBXIYJPFUCSB-MRXNPFEDSA-N 0 0 439.542 -0.186 20 0 IBADRN Cn1cc(CCCn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)cn1 ZINC001298826845 1073829214 /nfs/dbraw/zinc/82/92/14/1073829214.db2.gz UZRLSBPZJHAHTQ-HNNXBMFYSA-N 0 0 436.542 -0.323 20 0 IBADRN Cn1cc(CCCn2c([C@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)cn1 ZINC001298826846 1073829223 /nfs/dbraw/zinc/82/92/23/1073829223.db2.gz UZRLSBPZJHAHTQ-OAHLLOKOSA-N 0 0 436.542 -0.323 20 0 IBADRN Cn1cc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCN(S(C)(=O)=O)CC2)cn1 ZINC001298828644 1073829206 /nfs/dbraw/zinc/82/92/06/1073829206.db2.gz URQYMCKFFVYKOR-AWEZNQCLSA-N 0 0 443.555 -0.956 20 0 IBADRN Cn1cc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCN(S(C)(=O)=O)CC2)cn1 ZINC001298828645 1073829153 /nfs/dbraw/zinc/82/91/53/1073829153.db2.gz URQYMCKFFVYKOR-CQSZACIVSA-N 0 0 443.555 -0.956 20 0 IBADRN Cn1cc(Cn2c(N3CCN(C(=O)C(=O)N4CCOCC4)CC3)nnc2C(C)(C)C)cn1 ZINC001298828678 1073829242 /nfs/dbraw/zinc/82/92/42/1073829242.db2.gz VUIYYSPNLYERDC-UHFFFAOYSA-N 0 0 444.540 -0.135 20 0 IBADRN Cn1cc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@H](S(C)(=O)=O)C2)cn1 ZINC001298828834 1073829093 /nfs/dbraw/zinc/82/90/93/1073829093.db2.gz XWAQMUBZOXNROH-KBPBESRZSA-N 0 0 428.540 -0.415 20 0 IBADRN Cn1cc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@H](S(C)(=O)=O)C2)cn1 ZINC001298828835 1073829269 /nfs/dbraw/zinc/82/92/69/1073829269.db2.gz XWAQMUBZOXNROH-KGLIPLIRSA-N 0 0 428.540 -0.415 20 0 IBADRN Cn1cc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)cn1 ZINC001298828836 1073829162 /nfs/dbraw/zinc/82/91/62/1073829162.db2.gz XWAQMUBZOXNROH-UONOGXRCSA-N 0 0 428.540 -0.415 20 0 IBADRN Cn1cc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)cn1 ZINC001298828837 1073829114 /nfs/dbraw/zinc/82/91/14/1073829114.db2.gz XWAQMUBZOXNROH-ZIAGYGMSSA-N 0 0 428.540 -0.415 20 0 IBADRN Cn1cc(N2CCN(c3nnc(-c4cccn4C)n3CCNS(C)(=O)=O)CC2)cn1 ZINC001298833244 1073829253 /nfs/dbraw/zinc/82/92/53/1073829253.db2.gz CBWODNHZXCNJQW-UHFFFAOYSA-N 0 0 433.542 -0.107 20 0 IBADRN Cn1cc(N2CCN(c3nnc([C@@H]4CCC(=O)N4)n3CCCS(C)(=O)=O)CC2)cn1 ZINC001298834574 1073829232 /nfs/dbraw/zinc/82/92/32/1073829232.db2.gz NCWKFUSXACTHQC-HNNXBMFYSA-N 0 0 436.542 -0.276 20 0 IBADRN Cn1cc(N2CCN(c3nnc([C@H]4CCC(=O)N4)n3CCCS(C)(=O)=O)CC2)cn1 ZINC001298834575 1073829188 /nfs/dbraw/zinc/82/91/88/1073829188.db2.gz NCWKFUSXACTHQC-OAHLLOKOSA-N 0 0 436.542 -0.276 20 0 IBADRN Cn1cc(N2CCN(c3nnc(-c4cnccn4)n3CC(=O)NCC(F)F)CC2=O)cn1 ZINC001298835243 1073829139 /nfs/dbraw/zinc/82/91/39/1073829139.db2.gz WKBWCCKUZCONPC-UHFFFAOYSA-N 0 0 446.422 -0.297 20 0 IBADRN Cn1cc(Nc2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC(F)F)cn1 ZINC001298836627 1073829124 /nfs/dbraw/zinc/82/91/24/1073829124.db2.gz YMPNUAJDYLXWEB-LLVKDONJSA-N 0 0 448.456 -0.531 20 0 IBADRN Cn1cc(Nc2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC(F)F)cn1 ZINC001298836628 1073829133 /nfs/dbraw/zinc/82/91/33/1073829133.db2.gz YMPNUAJDYLXWEB-NSHDSACASA-N 0 0 448.456 -0.531 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@H]1CCCN(C(N)=O)C1)c2=O ZINC001298838177 1073829105 /nfs/dbraw/zinc/82/91/05/1073829105.db2.gz WARNCMPULAILEX-SECBINFHSA-N 0 0 426.275 -0.201 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@@H]1CCCN(C(N)=O)C1)c2=O ZINC001298838180 1073829169 /nfs/dbraw/zinc/82/91/69/1073829169.db2.gz WARNCMPULAILEX-VIFPVBQESA-N 0 0 426.275 -0.201 20 0 IBADRN Cn1ccc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC[C@@H](CNS(C)(=O)=O)C2)n1 ZINC001298843575 1073829198 /nfs/dbraw/zinc/82/91/98/1073829198.db2.gz ZVSOURKOROWQQX-DZGCQCFKSA-N 0 0 436.542 -0.223 20 0 IBADRN Cn1ccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC[C@H](CNS(C)(=O)=O)C2)n1 ZINC001298843576 1073829262 /nfs/dbraw/zinc/82/92/62/1073829262.db2.gz ZVSOURKOROWQQX-HIFRSBDPSA-N 0 0 436.542 -0.223 20 0 IBADRN Cn1ccc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC[C@H](CNS(C)(=O)=O)C2)n1 ZINC001298843577 1073829173 /nfs/dbraw/zinc/82/91/73/1073829173.db2.gz ZVSOURKOROWQQX-UKRRQHHQSA-N 0 0 436.542 -0.223 20 0 IBADRN Cn1ccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC[C@@H](CNS(C)(=O)=O)C2)n1 ZINC001298843578 1073829638 /nfs/dbraw/zinc/82/96/38/1073829638.db2.gz ZVSOURKOROWQQX-ZFWWWQNUSA-N 0 0 436.542 -0.223 20 0 IBADRN Cn1ccc(Cn2c(C(=O)N3CCCC3)nnc2N2CCC(NS(C)(=O)=O)CC2)n1 ZINC001298844315 1073829612 /nfs/dbraw/zinc/82/96/12/1073829612.db2.gz ORJCRNZGLKEHEP-UHFFFAOYSA-N 0 0 436.542 -0.186 20 0 IBADRN Cn1ccc(Nc2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC(F)F)n1 ZINC001298846613 1073829675 /nfs/dbraw/zinc/82/96/75/1073829675.db2.gz BXDCMVRBYCCRQV-JTQLQIEISA-N 0 0 448.456 -0.531 20 0 IBADRN Cn1ccc(Nc2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC(F)F)n1 ZINC001298846615 1073829601 /nfs/dbraw/zinc/82/96/01/1073829601.db2.gz BXDCMVRBYCCRQV-SNVBAGLBSA-N 0 0 448.456 -0.531 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CN3CC(=O)N(C)C3=O)CC2)cc1 ZINC001298848073 1073829880 /nfs/dbraw/zinc/82/98/80/1073829880.db2.gz YFUCOFCCMOYQKF-UHFFFAOYSA-N 0 0 442.519 -0.112 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CN3C(=O)CNC3=O)CC2)cc1 ZINC001298848614 1073829860 /nfs/dbraw/zinc/82/98/60/1073829860.db2.gz XAQMLTWAEVYYLQ-UHFFFAOYSA-N 0 0 428.492 -0.454 20 0 IBADRN Cn1cccc1-c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001298853723 1073829898 /nfs/dbraw/zinc/82/98/98/1073829898.db2.gz CCPNCLLHLCUDHJ-OLZOCXBDSA-N 0 0 435.510 -0.105 20 0 IBADRN Cn1cccc1-c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001298853724 1073829749 /nfs/dbraw/zinc/82/97/49/1073829749.db2.gz CCPNCLLHLCUDHJ-STQMWFEESA-N 0 0 435.510 -0.105 20 0 IBADRN Cn1cccc1-c1nnc(N2CCC(S(C)(=O)=O)CC2)n1CCNS(C)(=O)=O ZINC001298855274 1073829682 /nfs/dbraw/zinc/82/96/82/1073829682.db2.gz XOTZMTGPRNAUEV-UHFFFAOYSA-N 0 0 430.556 -0.154 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(c3cccnn3)CC2)n1CCNS(C)(=O)=O ZINC001298860882 1073829765 /nfs/dbraw/zinc/82/97/65/1073829765.db2.gz GFICQAKRYMIXIP-UHFFFAOYSA-N 0 0 431.526 -0.051 20 0 IBADRN Cn1cccc1-c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CCNS(C)(=O)=O ZINC001298861063 1073829692 /nfs/dbraw/zinc/82/96/92/1073829692.db2.gz FIAYAJWGEZAEJY-UHFFFAOYSA-N 0 0 445.571 -0.696 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC[S@@](=O)C(C)(C)C ZINC001298861293 1073829726 /nfs/dbraw/zinc/82/97/26/1073829726.db2.gz KJGLKQUCNVQYLT-AOYOOGHLSA-N 0 0 447.565 -0.022 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC[S@@](=O)C(C)(C)C ZINC001298861294 1073829775 /nfs/dbraw/zinc/82/97/75/1073829775.db2.gz KJGLKQUCNVQYLT-JKGBWWFKSA-N 0 0 447.565 -0.022 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC[S@](=O)C(C)(C)C ZINC001298861295 1073829740 /nfs/dbraw/zinc/82/97/40/1073829740.db2.gz KJGLKQUCNVQYLT-PSKUBERUSA-N 0 0 447.565 -0.022 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC[S@](=O)C(C)(C)C ZINC001298861298 1073830227 /nfs/dbraw/zinc/83/02/27/1073830227.db2.gz KJGLKQUCNVQYLT-YSVRNHBLSA-N 0 0 447.565 -0.022 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCC(=O)NC(C)(C)C ZINC001298861599 1073830392 /nfs/dbraw/zinc/83/03/92/1073830392.db2.gz LUKQOFFLVMJDLW-AWEZNQCLSA-N 0 0 442.524 -0.265 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCC(=O)NC(C)(C)C ZINC001298861601 1073830302 /nfs/dbraw/zinc/83/03/02/1073830302.db2.gz LUKQOFFLVMJDLW-CQSZACIVSA-N 0 0 442.524 -0.265 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCOCCS(C)(=O)=O ZINC001298862294 1073830368 /nfs/dbraw/zinc/83/03/68/1073830368.db2.gz QCDLWXZUUQNUFY-AWEZNQCLSA-N 0 0 437.526 -0.441 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCOCCS(C)(=O)=O ZINC001298862295 1073830383 /nfs/dbraw/zinc/83/03/83/1073830383.db2.gz QCDLWXZUUQNUFY-CQSZACIVSA-N 0 0 437.526 -0.441 20 0 IBADRN Cn1cccc1-c1nnc(N2CCS(=O)(=O)CC2)n1CCN1CCCS1(=O)=O ZINC001298865902 1073830403 /nfs/dbraw/zinc/83/04/03/1073830403.db2.gz YFMUGGONBJBJAK-UHFFFAOYSA-N 0 0 428.540 -0.446 20 0 IBADRN Cn1cnnc1[C@H]1CCCN1c1nnc(C(=O)N2CCCC2)n1CCNS(C)(=O)=O ZINC001298867439 1073830341 /nfs/dbraw/zinc/83/03/41/1073830341.db2.gz ZIGYOIJJSYRONH-CYBMUJFWSA-N 0 0 437.530 -0.467 20 0 IBADRN Cn1cnnc1[C@@H]1CCCN1c1nnc(C(=O)N2CCCC2)n1CCNS(C)(=O)=O ZINC001298867441 1073830256 /nfs/dbraw/zinc/83/02/56/1073830256.db2.gz ZIGYOIJJSYRONH-ZDUSSCGKSA-N 0 0 437.530 -0.467 20 0 IBADRN CN1C[C@@](C)(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)C1=O ZINC001298873775 1073830333 /nfs/dbraw/zinc/83/03/33/1073830333.db2.gz GEVXAGPCTBNPFE-ACJLOTCBSA-N 0 0 439.542 -0.821 20 0 IBADRN CN1C[C@](C)(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)C1=O ZINC001298873777 1073830211 /nfs/dbraw/zinc/83/02/11/1073830211.db2.gz GEVXAGPCTBNPFE-FZKQIMNGSA-N 0 0 439.542 -0.821 20 0 IBADRN CN1C[C@](C)(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)C1=O ZINC001298873778 1073830277 /nfs/dbraw/zinc/83/02/77/1073830277.db2.gz GEVXAGPCTBNPFE-SCLBCKFNSA-N 0 0 439.542 -0.821 20 0 IBADRN CN1C[C@@](C)(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)C1=O ZINC001298873779 1073830219 /nfs/dbraw/zinc/83/02/19/1073830219.db2.gz GEVXAGPCTBNPFE-UGSOOPFHSA-N 0 0 439.542 -0.821 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)CN(C)C1=O)[C@@H]1CCOC1 ZINC001298874476 1073830268 /nfs/dbraw/zinc/83/02/68/1073830268.db2.gz MXPAECOASSPUTN-HBUWYVDXSA-N 0 0 442.542 -0.686 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)CN(C)C1=O)[C@H]1CCOC1 ZINC001298874477 1073830286 /nfs/dbraw/zinc/83/02/86/1073830286.db2.gz MXPAECOASSPUTN-PMUMKWKESA-N 0 0 442.542 -0.686 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)CN(C)C1=O)[C@@H]1CCOC1 ZINC001298874478 1073830239 /nfs/dbraw/zinc/83/02/39/1073830239.db2.gz MXPAECOASSPUTN-QWQRMKEZSA-N 0 0 442.542 -0.686 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)CN(C)C1=O)[C@H]1CCOC1 ZINC001298874479 1073830322 /nfs/dbraw/zinc/83/03/22/1073830322.db2.gz MXPAECOASSPUTN-SUNYJGFJSA-N 0 0 442.542 -0.686 20 0 IBADRN CN1C[C@@](C)(Cn2c(Cc3cccnc3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001298874907 1073830293 /nfs/dbraw/zinc/83/02/93/1073830293.db2.gz QBCVTPHQYGTNNF-IBGZPJMESA-N 0 0 433.538 -0.176 20 0 IBADRN CN1C[C@](C)(Cn2c(Cc3cccnc3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001298874913 1073830312 /nfs/dbraw/zinc/83/03/12/1073830312.db2.gz QBCVTPHQYGTNNF-LJQANCHMSA-N 0 0 433.538 -0.176 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001298875142 1073830412 /nfs/dbraw/zinc/83/04/12/1073830412.db2.gz ALHMWDIYZBEQNE-AWEZNQCLSA-N 0 0 434.526 -0.213 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001298875143 1073830350 /nfs/dbraw/zinc/83/03/50/1073830350.db2.gz ALHMWDIYZBEQNE-CQSZACIVSA-N 0 0 434.526 -0.213 20 0 IBADRN CN1C[C@@](C)(Cn2c(N3CCN(C(=O)Cn4cnnn4)CC3)nnc2C(C)(C)C)C1=O ZINC001298875144 1073830739 /nfs/dbraw/zinc/83/07/39/1073830739.db2.gz AMMOBKPFQUXYTR-IBGZPJMESA-N 0 0 430.517 -0.611 20 0 IBADRN CN1C[C@](C)(Cn2c(N3CCN(C(=O)Cn4cnnn4)CC3)nnc2C(C)(C)C)C1=O ZINC001298875146 1073830980 /nfs/dbraw/zinc/83/09/80/1073830980.db2.gz AMMOBKPFQUXYTR-LJQANCHMSA-N 0 0 430.517 -0.611 20 0 IBADRN CN1C[C@](C)(Cn2c(-c3cnn(C)c3)nnc2N2CCCN(S(C)(=O)=O)CC2)C1=O ZINC001298875417 1073831090 /nfs/dbraw/zinc/83/10/90/1073831090.db2.gz SRFKDFMRKHFVBR-GOSISDBHSA-N 0 0 436.542 -0.371 20 0 IBADRN CN1C[C@@](C)(Cn2c(-c3cnn(C)c3)nnc2N2CCCN(S(C)(=O)=O)CC2)C1=O ZINC001298875420 1073831101 /nfs/dbraw/zinc/83/11/01/1073831101.db2.gz SRFKDFMRKHFVBR-SFHVURJKSA-N 0 0 436.542 -0.371 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)N(C)C[C@@H]4C3)n2CC(=O)NCC(F)(F)F)cn1 ZINC001298875678 1073830934 /nfs/dbraw/zinc/83/09/34/1073830934.db2.gz DJACJQVRBFBFIL-GFCCVEGCSA-N 0 0 441.418 -0.087 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)N(C)C[C@H]4C3)n2CC(=O)NCC(F)(F)F)cn1 ZINC001298875682 1073830971 /nfs/dbraw/zinc/83/09/71/1073830971.db2.gz DJACJQVRBFBFIL-LBPRGKRZSA-N 0 0 441.418 -0.087 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001298876674 1073830926 /nfs/dbraw/zinc/83/09/26/1073830926.db2.gz XFMZUMZETIJXCU-BEFAXECRSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001298876675 1073831050 /nfs/dbraw/zinc/83/10/50/1073831050.db2.gz XFMZUMZETIJXCU-DNVCBOLYSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001298876676 1073830895 /nfs/dbraw/zinc/83/08/95/1073830895.db2.gz XFMZUMZETIJXCU-HNAYVOBHSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001298876677 1073831111 /nfs/dbraw/zinc/83/11/11/1073831111.db2.gz XFMZUMZETIJXCU-KXBFYZLASA-N 0 0 440.570 -0.573 20 0 IBADRN CN1CC[C@](O)(Cn2c([C@@H]3CCC(=O)N3)nnc2N(CCS(C)(=O)=O)C2CC2)C1 ZINC001298877133 1073830818 /nfs/dbraw/zinc/83/08/18/1073830818.db2.gz CCSZDBHSVXQAEE-KBXCAEBGSA-N 0 0 426.543 -0.691 20 0 IBADRN CN1CC[C@@](O)(Cn2c([C@H]3CCC(=O)N3)nnc2N(CCS(C)(=O)=O)C2CC2)C1 ZINC001298877134 1073831004 /nfs/dbraw/zinc/83/10/04/1073831004.db2.gz CCSZDBHSVXQAEE-KDOFPFPSSA-N 0 0 426.543 -0.691 20 0 IBADRN CN1CC[C@@](O)(Cn2c([C@@H]3CCC(=O)N3)nnc2N(CCS(C)(=O)=O)C2CC2)C1 ZINC001298877135 1073830756 /nfs/dbraw/zinc/83/07/56/1073830756.db2.gz CCSZDBHSVXQAEE-KSSFIOAISA-N 0 0 426.543 -0.691 20 0 IBADRN CN1CC[C@](O)(Cn2c([C@H]3CCC(=O)N3)nnc2N(CCS(C)(=O)=O)C2CC2)C1 ZINC001298877136 1073830860 /nfs/dbraw/zinc/83/08/60/1073830860.db2.gz CCSZDBHSVXQAEE-RDTXWAMCSA-N 0 0 426.543 -0.691 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCCNS(C)(=O)=O ZINC001298878329 1073830957 /nfs/dbraw/zinc/83/09/57/1073830957.db2.gz PQZXPFHBESZGDP-AWEZNQCLSA-N 0 0 436.542 -0.221 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCCNS(C)(=O)=O ZINC001298878330 1073831036 /nfs/dbraw/zinc/83/10/36/1073831036.db2.gz PQZXPFHBESZGDP-CQSZACIVSA-N 0 0 436.542 -0.221 20 0 IBADRN CN1C[C@@H]2CN(c3nnc(C(=O)Nc4ccccn4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001298879524 1073831691 /nfs/dbraw/zinc/83/16/91/1073831691.db2.gz UZSBVHKLOXPQMD-CYBMUJFWSA-N 0 0 448.509 -0.474 20 0 IBADRN CN1C[C@H]2CN(c3nnc(C(=O)Nc4ccccn4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001298879529 1073831902 /nfs/dbraw/zinc/83/19/02/1073831902.db2.gz UZSBVHKLOXPQMD-ZDUSSCGKSA-N 0 0 448.509 -0.474 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001298879834 1073831517 /nfs/dbraw/zinc/83/15/17/1073831517.db2.gz WWUWPIYZTGIXPB-INIZCTEOSA-N 0 0 448.553 -0.289 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001298879835 1073831947 /nfs/dbraw/zinc/83/19/47/1073831947.db2.gz WWUWPIYZTGIXPB-MRXNPFEDSA-N 0 0 448.553 -0.289 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@@]1(C)CN(C)C1=O ZINC001298880179 1073831869 /nfs/dbraw/zinc/83/18/69/1073831869.db2.gz ZMUMDVMDMDLAFI-GOSISDBHSA-N 0 0 426.543 -0.250 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@]1(C)CN(C)C1=O ZINC001298880181 1073831859 /nfs/dbraw/zinc/83/18/59/1073831859.db2.gz ZMUMDVMDMDLAFI-SFHVURJKSA-N 0 0 426.543 -0.250 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001298881111 1073831550 /nfs/dbraw/zinc/83/15/50/1073831550.db2.gz CFOLRNXJTBCHFJ-APWZRJJASA-N 0 0 442.586 -0.985 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001298881113 1073831579 /nfs/dbraw/zinc/83/15/79/1073831579.db2.gz CFOLRNXJTBCHFJ-LPHOPBHVSA-N 0 0 442.586 -0.985 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001298881115 1073831612 /nfs/dbraw/zinc/83/16/12/1073831612.db2.gz CFOLRNXJTBCHFJ-QFBILLFUSA-N 0 0 442.586 -0.985 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001298881117 1073831711 /nfs/dbraw/zinc/83/17/11/1073831711.db2.gz CFOLRNXJTBCHFJ-VQIMIIECSA-N 0 0 442.586 -0.985 20 0 IBADRN CN1CC[C@@](O)(Cn2c(CN3CCCC3=O)nnc2N(CCS(C)(=O)=O)C2CC2)C1 ZINC001298882041 1073832611 /nfs/dbraw/zinc/83/26/11/1073832611.db2.gz ORDGUYKRUFPLOU-IBGZPJMESA-N 0 0 440.570 -0.520 20 0 IBADRN CN1CC[C@](O)(Cn2c(CN3CCCC3=O)nnc2N(CCS(C)(=O)=O)C2CC2)C1 ZINC001298882042 1073832562 /nfs/dbraw/zinc/83/25/62/1073832562.db2.gz ORDGUYKRUFPLOU-LJQANCHMSA-N 0 0 440.570 -0.520 20 0 IBADRN Cn1cnc(Cn2c(-c3cccc(S(C)(=O)=O)c3)nnc2N2CCN(C)C(=O)C2)n1 ZINC001298886922 1073832598 /nfs/dbraw/zinc/83/25/98/1073832598.db2.gz GRQCQDAJZOGPQH-UHFFFAOYSA-N 0 0 430.494 -0.196 20 0 IBADRN Cn1nnc(Cn2c(C(=O)NCc3ccc(Cl)cc3)nnc2N2CCN(C)C(=O)C2)n1 ZINC001298888037 1073832373 /nfs/dbraw/zinc/83/23/73/1073832373.db2.gz RPNIJYZGNVJPCD-UHFFFAOYSA-N 0 0 444.887 -0.288 20 0 IBADRN CN1CCN(c2nnc(-c3cccc(S(C)(=O)=O)c3)n2C[C@H]2CCC(=O)N2)CC1=O ZINC001298888113 1073833100 /nfs/dbraw/zinc/83/31/00/1073833100.db2.gz UVAIDKVHJOXRRR-CQSZACIVSA-N 0 0 432.506 -0.094 20 0 IBADRN Cn1nncc1Cn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN(C)C(=O)C1 ZINC001298888119 1073833126 /nfs/dbraw/zinc/83/31/26/1073833126.db2.gz UYYWNIBSSSZUIA-UHFFFAOYSA-N 0 0 430.494 -0.196 20 0 IBADRN CN1CCN(c2nnc(-c3ccc[nH]3)n2CCS(=O)(=O)N2CCSCC2)CC1=O ZINC001298890047 1073833062 /nfs/dbraw/zinc/83/30/62/1073833062.db2.gz AJHJYNXGRGIZBE-UHFFFAOYSA-N 0 0 439.567 -0.070 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2noc3c2COCC3)nnc1N1CCN(C)C(=O)C1 ZINC001298891466 1073833235 /nfs/dbraw/zinc/83/32/35/1073833235.db2.gz WIBATHIBHBUXTR-UHFFFAOYSA-N 0 0 439.498 -0.824 20 0 IBADRN CN1CCN(c2nnc(Cc3ccncc3)n2C[C@H]2CCCN2S(C)(=O)=O)CC1=O ZINC001298891788 1073833112 /nfs/dbraw/zinc/83/31/12/1073833112.db2.gz BLCDZTHLDSZCJG-MRXNPFEDSA-N 0 0 433.538 -0.034 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCOC(C)(C)C2)CC1=O ZINC001298891889 1073833276 /nfs/dbraw/zinc/83/32/76/1073833276.db2.gz BBCDJPKINQBXTH-HNNXBMFYSA-N 0 0 440.570 -0.431 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCOC(C)(C)C2)CC1=O ZINC001298891890 1073833263 /nfs/dbraw/zinc/83/32/63/1073833263.db2.gz BBCDJPKINQBXTH-OAHLLOKOSA-N 0 0 440.570 -0.431 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCCCC2=O)CC1=O ZINC001298891893 1073833280 /nfs/dbraw/zinc/83/32/80/1073833280.db2.gz BBUCGRCYBGKGBP-HNNXBMFYSA-N 0 0 438.554 -0.139 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCCCC2=O)CC1=O ZINC001298891894 1073833189 /nfs/dbraw/zinc/83/31/89/1073833189.db2.gz BBUCGRCYBGKGBP-OAHLLOKOSA-N 0 0 438.554 -0.139 20 0 IBADRN CN1CCN(c2nnc(Cc3ccc(F)cc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001298891913 1073833284 /nfs/dbraw/zinc/83/32/84/1073833284.db2.gz BNMCYNVSIGVNKN-IBGZPJMESA-N 0 0 437.497 -0.164 20 0 IBADRN CN1CCN(c2nnc(Cc3ccc(F)cc3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001298891914 1073833256 /nfs/dbraw/zinc/83/32/56/1073833256.db2.gz BNMCYNVSIGVNKN-LJQANCHMSA-N 0 0 437.497 -0.164 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCCS2(=O)=O)CC1=O ZINC001298892290 1073833045 /nfs/dbraw/zinc/83/30/45/1073833045.db2.gz DUJOVAWAKKXGOG-KBPBESRZSA-N 0 0 445.567 -0.574 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCCS2(=O)=O)CC1=O ZINC001298892299 1073833076 /nfs/dbraw/zinc/83/30/76/1073833076.db2.gz DUJOVAWAKKXGOG-KGLIPLIRSA-N 0 0 445.567 -0.574 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCCS2(=O)=O)CC1=O ZINC001298892301 1073833171 /nfs/dbraw/zinc/83/31/71/1073833171.db2.gz DUJOVAWAKKXGOG-UONOGXRCSA-N 0 0 445.567 -0.574 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCCS2(=O)=O)CC1=O ZINC001298892302 1073833088 /nfs/dbraw/zinc/83/30/88/1073833088.db2.gz DUJOVAWAKKXGOG-ZIAGYGMSSA-N 0 0 445.567 -0.574 20 0 IBADRN CN1CCN(c2nnc(CNC(=O)c3cccs3)n2CC(=O)N2CCOCC2)CC1=O ZINC001298892582 1073833026 /nfs/dbraw/zinc/83/30/26/1073833026.db2.gz JPPRNZVNLATWRJ-UHFFFAOYSA-N 0 0 447.521 -0.593 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H](N2CCOCC2)C(F)(F)F)CC1=O ZINC001298893997 1073833293 /nfs/dbraw/zinc/83/32/93/1073833293.db2.gz QFGMCVKZYLRAMM-CHWSQXEVSA-N 0 0 445.446 -0.229 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H](N2CCOCC2)C(F)(F)F)CC1=O ZINC001298894000 1073833244 /nfs/dbraw/zinc/83/32/44/1073833244.db2.gz QFGMCVKZYLRAMM-OLZOCXBDSA-N 0 0 445.446 -0.229 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H](N2CCOCC2)C(F)(F)F)CC1=O ZINC001298894003 1073833674 /nfs/dbraw/zinc/83/36/74/1073833674.db2.gz QFGMCVKZYLRAMM-QWHCGFSZSA-N 0 0 445.446 -0.229 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H](N2CCOCC2)C(F)(F)F)CC1=O ZINC001298894006 1073833878 /nfs/dbraw/zinc/83/38/78/1073833878.db2.gz QFGMCVKZYLRAMM-STQMWFEESA-N 0 0 445.446 -0.229 20 0 IBADRN CN1CCN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)C(C)(C)C)CC1=O ZINC001298894127 1073833796 /nfs/dbraw/zinc/83/37/96/1073833796.db2.gz YGZNDTJNOGJCIQ-UHFFFAOYSA-N 0 0 426.543 -0.108 20 0 IBADRN CN1CCN(c2nnc(CNC(=O)c3cccs3)n2CCNS(C)(=O)=O)CC1=O ZINC001298894137 1073833783 /nfs/dbraw/zinc/83/37/83/1073833783.db2.gz YNDJAIHGVUAKDD-UHFFFAOYSA-N 0 0 441.539 -0.903 20 0 IBADRN CN1CCN(c2nnc(CCOc3ccccc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001298894289 1073833833 /nfs/dbraw/zinc/83/38/33/1073833833.db2.gz ZXHXLOIZNDCBEH-FQEVSTJZSA-N 0 0 449.533 -0.272 20 0 IBADRN CN1CCN(c2nnc(CCOc3ccccc3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001298894292 1073833755 /nfs/dbraw/zinc/83/37/55/1073833755.db2.gz ZXHXLOIZNDCBEH-HXUWFJFHSA-N 0 0 449.533 -0.272 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC[C@H]2CCOC2)CC1=O ZINC001298894502 1073833805 /nfs/dbraw/zinc/83/38/05/1073833805.db2.gz SEIGFXJILUIGQK-CVEARBPZSA-N 0 0 441.554 -0.098 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC[C@@H]2CCOC2)CC1=O ZINC001298894506 1073833769 /nfs/dbraw/zinc/83/37/69/1073833769.db2.gz SEIGFXJILUIGQK-HOTGVXAUSA-N 0 0 441.554 -0.098 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC[C@H]2CCOC2)CC1=O ZINC001298894511 1073833844 /nfs/dbraw/zinc/83/38/44/1073833844.db2.gz SEIGFXJILUIGQK-HZPDHXFCSA-N 0 0 441.554 -0.098 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC[C@@H]2CCOC2)CC1=O ZINC001298894515 1073833623 /nfs/dbraw/zinc/83/36/23/1073833623.db2.gz SEIGFXJILUIGQK-JKSUJKDBSA-N 0 0 441.554 -0.098 20 0 IBADRN CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(C)(F)F)CC1=O ZINC001298895357 1073833700 /nfs/dbraw/zinc/83/37/00/1073833700.db2.gz XODIHXOCYXYCRC-GFCCVEGCSA-N 0 0 436.485 -0.065 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(C)(F)F)CC1=O ZINC001298895378 1073833821 /nfs/dbraw/zinc/83/38/21/1073833821.db2.gz XODIHXOCYXYCRC-LBPRGKRZSA-N 0 0 436.485 -0.065 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cccc(OCC(N)=O)c2)CC1=O ZINC001298895518 1073833639 /nfs/dbraw/zinc/83/36/39/1073833639.db2.gz YXIDTTZFXAEJBH-HNNXBMFYSA-N 0 0 427.465 -0.580 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cccc(OCC(N)=O)c2)CC1=O ZINC001298895519 1073833721 /nfs/dbraw/zinc/83/37/21/1073833721.db2.gz YXIDTTZFXAEJBH-OAHLLOKOSA-N 0 0 427.465 -0.580 20 0 IBADRN Cn1nc(-c2nnc(N3CCCOCC3)n2CCNS(C)(=O)=O)c2ccccc2c1=O ZINC001298902446 1073833739 /nfs/dbraw/zinc/83/37/39/1073833739.db2.gz BCGWOCKOMVTXFM-UHFFFAOYSA-N 0 0 447.521 -0.032 20 0 IBADRN Cn1ncc(CCCn2c(C(=O)N3CCCC3)nnc2N2CCC(OCC(N)=O)CC2)n1 ZINC001298903020 1073833686 /nfs/dbraw/zinc/83/36/86/1073833686.db2.gz QLCXJJFIGWPOBL-UHFFFAOYSA-N 0 0 445.528 -0.254 20 0 IBADRN Cn1nc2c(cc1=O)CN(c1nnc(Cc3ccccn3)n1CCNS(C)(=O)=O)CC2 ZINC001298903251 1073833861 /nfs/dbraw/zinc/83/38/61/1073833861.db2.gz WDTAYPJQWXCSHA-UHFFFAOYSA-N 0 0 444.521 -0.531 20 0 IBADRN Cn1nc2c(cc1=O)CN(c1nnc(CN3CCCC3=O)n1CCOCCCO)CC2 ZINC001298903344 1073833654 /nfs/dbraw/zinc/83/36/54/1073833654.db2.gz ZSEZZGWCASNHIL-UHFFFAOYSA-N 0 0 431.497 -0.544 20 0 IBADRN Cn1nccc1[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001298903840 1073833705 /nfs/dbraw/zinc/83/37/05/1073833705.db2.gz CJERHHLCTGTUIT-KBPBESRZSA-N 0 0 436.542 -0.104 20 0 IBADRN Cn1nccc1[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001298903841 1073833662 /nfs/dbraw/zinc/83/36/62/1073833662.db2.gz CJERHHLCTGTUIT-KGLIPLIRSA-N 0 0 436.542 -0.104 20 0 IBADRN Cn1nccc1[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001298903842 1073834276 /nfs/dbraw/zinc/83/42/76/1073834276.db2.gz CJERHHLCTGTUIT-UONOGXRCSA-N 0 0 436.542 -0.104 20 0 IBADRN Cn1nccc1[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001298903843 1073834169 /nfs/dbraw/zinc/83/41/69/1073834169.db2.gz CJERHHLCTGTUIT-ZIAGYGMSSA-N 0 0 436.542 -0.104 20 0 IBADRN Cn1cc(Br)cc1-c1nnc(N2CC[C@@H](C(N)=O)C2)n1Cc1nnn(C)n1 ZINC001298905550 1073834204 /nfs/dbraw/zinc/83/42/04/1073834204.db2.gz FEJWRXYKGWWPON-SECBINFHSA-N 0 0 435.290 -0.071 20 0 IBADRN Cn1cc(Br)cc1-c1nnc(N2CC[C@H](C(N)=O)C2)n1Cc1nnn(C)n1 ZINC001298905552 1073834414 /nfs/dbraw/zinc/83/44/14/1073834414.db2.gz FEJWRXYKGWWPON-VIFPVBQESA-N 0 0 435.290 -0.071 20 0 IBADRN Cn1nnc(Cn2c(Cc3ccc(F)cc3)nnc2N2CCN(S(C)(=O)=O)CC2)n1 ZINC001298906260 1073834307 /nfs/dbraw/zinc/83/43/07/1073834307.db2.gz LLRIKZNVZSWFSH-UHFFFAOYSA-N 0 0 435.489 -0.339 20 0 IBADRN Cn1nncc1Cn1c(CN2CCCC2=O)nnc1N1CCCC[C@H](S(C)(=O)=O)C1 ZINC001298911252 1073834375 /nfs/dbraw/zinc/83/43/75/1073834375.db2.gz IKVMQQICCMCTII-HNNXBMFYSA-N 0 0 436.542 -0.019 20 0 IBADRN Cn1nncc1Cn1c(CN2CCCC2=O)nnc1N1CCCC[C@@H](S(C)(=O)=O)C1 ZINC001298911253 1073834345 /nfs/dbraw/zinc/83/43/45/1073834345.db2.gz IKVMQQICCMCTII-OAHLLOKOSA-N 0 0 436.542 -0.019 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001298914530 1073834260 /nfs/dbraw/zinc/83/42/60/1073834260.db2.gz CJDNZPMBSGQYBV-NEPJUHHUSA-N 0 0 429.547 -0.673 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001298914531 1073834213 /nfs/dbraw/zinc/83/42/13/1073834213.db2.gz CJDNZPMBSGQYBV-NWDGAFQWSA-N 0 0 429.547 -0.673 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001298914532 1073834427 /nfs/dbraw/zinc/83/44/27/1073834427.db2.gz CJDNZPMBSGQYBV-RYUDHWBXSA-N 0 0 429.547 -0.673 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001298914533 1073834178 /nfs/dbraw/zinc/83/41/78/1073834178.db2.gz CJDNZPMBSGQYBV-VXGBXAGGSA-N 0 0 429.547 -0.673 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(NC(C)=O)CC1 ZINC001298915021 1073834287 /nfs/dbraw/zinc/83/42/87/1073834287.db2.gz KWCRTLHDBYTFEJ-GFCCVEGCSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(NC(C)=O)CC1 ZINC001298915022 1073834360 /nfs/dbraw/zinc/83/43/60/1073834360.db2.gz KWCRTLHDBYTFEJ-LBPRGKRZSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001298915997 1073834393 /nfs/dbraw/zinc/83/43/93/1073834393.db2.gz VNARNRBPDWHNAJ-UHFFFAOYSA-N 0 0 447.544 -0.360 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(-c2cnccn2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001298926182 1073834242 /nfs/dbraw/zinc/83/42/42/1073834242.db2.gz LXECOYAVEIYEDW-UHFFFAOYSA-N 0 0 441.540 -0.092 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(-c2cccc(C)n2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001298927055 1073834223 /nfs/dbraw/zinc/83/42/23/1073834223.db2.gz SUOFUSXKYUQZNF-AWEZNQCLSA-N 0 0 440.508 -0.432 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(-c2cccc(C)n2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001298927056 1073834443 /nfs/dbraw/zinc/83/44/43/1073834443.db2.gz SUOFUSXKYUQZNF-CQSZACIVSA-N 0 0 440.508 -0.432 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCn2nc(C)nc2C1 ZINC001298936147 1073834324 /nfs/dbraw/zinc/83/43/24/1073834324.db2.gz BZMXXWQRHWMTHG-CYBMUJFWSA-N 0 0 436.542 -0.127 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCn2nc(C)nc2C1 ZINC001298936152 1073834158 /nfs/dbraw/zinc/83/41/58/1073834158.db2.gz BZMXXWQRHWMTHG-ZDUSSCGKSA-N 0 0 436.542 -0.127 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001298936668 1073834194 /nfs/dbraw/zinc/83/41/94/1073834194.db2.gz HGFWJIKMVIMICC-CYBMUJFWSA-N 0 0 438.554 -0.237 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001298936669 1073834836 /nfs/dbraw/zinc/83/48/36/1073834836.db2.gz HGFWJIKMVIMICC-ZDUSSCGKSA-N 0 0 438.554 -0.237 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001298937290 1073834869 /nfs/dbraw/zinc/83/48/69/1073834869.db2.gz MMXHGGVHYHIWBJ-CHWSQXEVSA-N 0 0 426.543 -0.381 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001298937291 1073835008 /nfs/dbraw/zinc/83/50/08/1073835008.db2.gz MMXHGGVHYHIWBJ-OLZOCXBDSA-N 0 0 426.543 -0.381 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001298937292 1073834843 /nfs/dbraw/zinc/83/48/43/1073834843.db2.gz MMXHGGVHYHIWBJ-QWHCGFSZSA-N 0 0 426.543 -0.381 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001298937293 1073834854 /nfs/dbraw/zinc/83/48/54/1073834854.db2.gz MMXHGGVHYHIWBJ-STQMWFEESA-N 0 0 426.543 -0.381 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)CC1 ZINC001298939545 1073834879 /nfs/dbraw/zinc/83/48/79/1073834879.db2.gz TZJOEQDPXKBXAJ-HNNXBMFYSA-N 0 0 442.586 -0.279 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)CC1 ZINC001298939548 1073834997 /nfs/dbraw/zinc/83/49/97/1073834997.db2.gz TZJOEQDPXKBXAJ-OAHLLOKOSA-N 0 0 442.586 -0.279 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001298941621 1073835024 /nfs/dbraw/zinc/83/50/24/1073835024.db2.gz YLJRNBCVWQMWEX-CYBMUJFWSA-N 0 0 446.599 -0.064 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001298941622 1073834898 /nfs/dbraw/zinc/83/48/98/1073834898.db2.gz YLJRNBCVWQMWEX-ZDUSSCGKSA-N 0 0 446.599 -0.064 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(CC2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001298943305 1073834849 /nfs/dbraw/zinc/83/48/49/1073834849.db2.gz SIEIANBFBZJDII-HNNXBMFYSA-N 0 0 431.541 -0.070 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(CC2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001298943306 1073834828 /nfs/dbraw/zinc/83/48/28/1073834828.db2.gz SIEIANBFBZJDII-OAHLLOKOSA-N 0 0 431.541 -0.070 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(Cc2ccsc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001298943382 1073835043 /nfs/dbraw/zinc/83/50/43/1073835043.db2.gz WBHOAKQEUXDRNO-AWEZNQCLSA-N 0 0 445.549 -0.150 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(Cc2ccsc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001298943383 1073834941 /nfs/dbraw/zinc/83/49/41/1073834941.db2.gz WBHOAKQEUXDRNO-CQSZACIVSA-N 0 0 445.549 -0.150 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001298944768 1073834975 /nfs/dbraw/zinc/83/49/75/1073834975.db2.gz SXGOSPYYWYNXCU-ZIAGYGMSSA-N 0 0 436.513 -0.467 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC[C@@H]1COCCO1 ZINC001298952523 1073834927 /nfs/dbraw/zinc/83/49/27/1073834927.db2.gz DMXSHGFEAUPEJO-HZSPNIEDSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC[C@H]1COCCO1 ZINC001298952524 1073835033 /nfs/dbraw/zinc/83/50/33/1073835033.db2.gz DMXSHGFEAUPEJO-MCIONIFRSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC[C@@H]1COCCO1 ZINC001298952525 1073834957 /nfs/dbraw/zinc/83/49/57/1073834957.db2.gz DMXSHGFEAUPEJO-MGPQQGTHSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC[C@H]1COCCO1 ZINC001298952526 1073834810 /nfs/dbraw/zinc/83/48/10/1073834810.db2.gz DMXSHGFEAUPEJO-RDBSUJKOSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCC1(O)CCOCC1 ZINC001298952583 1073834820 /nfs/dbraw/zinc/83/48/20/1073834820.db2.gz FNLYWIJHWZKVBW-KBPBESRZSA-N 0 0 446.574 -0.362 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCC1(O)CCOCC1 ZINC001298952584 1073834859 /nfs/dbraw/zinc/83/48/59/1073834859.db2.gz FNLYWIJHWZKVBW-KGLIPLIRSA-N 0 0 446.574 -0.362 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCC1(O)CCOCC1 ZINC001298952585 1073835485 /nfs/dbraw/zinc/83/54/85/1073835485.db2.gz FNLYWIJHWZKVBW-UONOGXRCSA-N 0 0 446.574 -0.362 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCC1(O)CCOCC1 ZINC001298952586 1073835533 /nfs/dbraw/zinc/83/55/33/1073835533.db2.gz FNLYWIJHWZKVBW-ZIAGYGMSSA-N 0 0 446.574 -0.362 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@H]1CC[C@H](CO)O1 ZINC001298955099 1073835433 /nfs/dbraw/zinc/83/54/33/1073835433.db2.gz XCZNYMDDFZGBIP-RFQIPJPRSA-N 0 0 432.547 -0.754 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1CC[C@H](CO)O1 ZINC001298955102 1073835358 /nfs/dbraw/zinc/83/53/58/1073835358.db2.gz XCZNYMDDFZGBIP-XDQVBPFNSA-N 0 0 432.547 -0.754 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@H]1CC[C@H](CO)O1 ZINC001298955104 1073835466 /nfs/dbraw/zinc/83/54/66/1073835466.db2.gz XCZNYMDDFZGBIP-YIYPIFLZSA-N 0 0 432.547 -0.754 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1CC[C@H](CO)O1 ZINC001298955106 1073835449 /nfs/dbraw/zinc/83/54/49/1073835449.db2.gz XCZNYMDDFZGBIP-ZOBORPQBSA-N 0 0 432.547 -0.754 20 0 IBADRN C[C@H]1CN(C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CCN1C(=O)c1cscn1 ZINC001298962636 1073835395 /nfs/dbraw/zinc/83/53/95/1073835395.db2.gz VCDNILXSRQSDKN-JTQLQIEISA-N 0 0 425.492 -0.315 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(-c2ccc(-n3cnnn3)cc2)n1CC(=O)N(C)C ZINC001298968252 1073835364 /nfs/dbraw/zinc/83/53/64/1073835364.db2.gz WMZRJQCEXHWFOY-CYBMUJFWSA-N 0 0 426.485 -0.173 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(-c2ccc(-n3cnnn3)cc2)n1CC(=O)N(C)C ZINC001298968255 1073835516 /nfs/dbraw/zinc/83/55/16/1073835516.db2.gz WMZRJQCEXHWFOY-ZDUSSCGKSA-N 0 0 426.485 -0.173 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(-c2cnn(C)c2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001298971999 1073835382 /nfs/dbraw/zinc/83/53/82/1073835382.db2.gz OEXICLZJSFMMOA-DZGCQCFKSA-N 0 0 438.558 -0.079 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(-c2cnn(C)c2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001298972002 1073835423 /nfs/dbraw/zinc/83/54/23/1073835423.db2.gz OEXICLZJSFMMOA-UKRRQHHQSA-N 0 0 438.558 -0.079 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H](C)N1CCOCC1 ZINC001298985953 1073835503 /nfs/dbraw/zinc/83/55/03/1073835503.db2.gz OSAMIKAFNINZFE-BZUAXINKSA-N 0 0 442.586 -0.281 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H](C)N1CCOCC1 ZINC001298985954 1073835551 /nfs/dbraw/zinc/83/55/51/1073835551.db2.gz OSAMIKAFNINZFE-OAGGEKHMSA-N 0 0 442.586 -0.281 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H](C)N1CCOCC1 ZINC001298985955 1073835576 /nfs/dbraw/zinc/83/55/76/1073835576.db2.gz OSAMIKAFNINZFE-OWCLPIDISA-N 0 0 442.586 -0.281 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H](C)N1CCOCC1 ZINC001298985957 1073835628 /nfs/dbraw/zinc/83/56/28/1073835628.db2.gz OSAMIKAFNINZFE-PMPSAXMXSA-N 0 0 442.586 -0.281 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(CN2CCCC2=O)n1Cc1ccc(C(N)=O)nc1 ZINC001298991640 1073835609 /nfs/dbraw/zinc/83/56/09/1073835609.db2.gz CHQRDSVXCBJIJU-CYBMUJFWSA-N 0 0 428.497 -0.239 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(CN2CCCC2=O)n1Cc1ccc(C(N)=O)nc1 ZINC001298991642 1073836133 /nfs/dbraw/zinc/83/61/33/1073836133.db2.gz CHQRDSVXCBJIJU-ZDUSSCGKSA-N 0 0 428.497 -0.239 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(CN2CCCC2=O)n1Cc1nnnn1CC1CC1 ZINC001298991647 1073836026 /nfs/dbraw/zinc/83/60/26/1073836026.db2.gz CIOIDEPIHVQEAC-CYBMUJFWSA-N 0 0 430.517 -0.336 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(CN2CCCC2=O)n1Cc1nnnn1CC1CC1 ZINC001298991652 1073836198 /nfs/dbraw/zinc/83/61/98/1073836198.db2.gz CIOIDEPIHVQEAC-ZDUSSCGKSA-N 0 0 430.517 -0.336 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(CN2CCCC2=O)n1CC1(S(C)(=O)=O)CC1 ZINC001298991828 1073836206 /nfs/dbraw/zinc/83/62/06/1073836206.db2.gz DTGNJPQAHOIXOL-CYBMUJFWSA-N 0 0 426.543 -0.204 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(CN2CCCC2=O)n1CC1(S(C)(=O)=O)CC1 ZINC001298991830 1073836180 /nfs/dbraw/zinc/83/61/80/1073836180.db2.gz DTGNJPQAHOIXOL-ZDUSSCGKSA-N 0 0 426.543 -0.204 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H]2CCCO2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001298998813 1073836072 /nfs/dbraw/zinc/83/60/72/1073836072.db2.gz KGJZMGXFMGDPCI-UONOGXRCSA-N 0 0 429.543 -0.112 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCCO2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001298998814 1073836087 /nfs/dbraw/zinc/83/60/87/1073836087.db2.gz KGJZMGXFMGDPCI-ZIAGYGMSSA-N 0 0 429.543 -0.112 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCS(=O)(=O)C2)C1 ZINC001299000843 1073836012 /nfs/dbraw/zinc/83/60/12/1073836012.db2.gz QLUUCJXKPYOWSH-AUUYWEPGSA-N 0 0 438.554 -0.089 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001299000844 1073836046 /nfs/dbraw/zinc/83/60/46/1073836046.db2.gz QLUUCJXKPYOWSH-IFXJQAMLSA-N 0 0 438.554 -0.089 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCS(=O)(=O)C2)C1 ZINC001299000845 1073835982 /nfs/dbraw/zinc/83/59/82/1073835982.db2.gz QLUUCJXKPYOWSH-KUHUBIRLSA-N 0 0 438.554 -0.089 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001299000846 1073836059 /nfs/dbraw/zinc/83/60/59/1073836059.db2.gz QLUUCJXKPYOWSH-LIRRHRJNSA-N 0 0 438.554 -0.089 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)C1 ZINC001299006649 1073836007 /nfs/dbraw/zinc/83/60/07/1073836007.db2.gz LSXUZDFBCPZXQZ-CSMYWGQOSA-N 0 0 446.599 -0.281 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)C1 ZINC001299006650 1073836106 /nfs/dbraw/zinc/83/61/06/1073836106.db2.gz LSXUZDFBCPZXQZ-JCURWCKSSA-N 0 0 446.599 -0.281 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)C1 ZINC001299006651 1073836037 /nfs/dbraw/zinc/83/60/37/1073836037.db2.gz LSXUZDFBCPZXQZ-JLZZUVOBSA-N 0 0 446.599 -0.281 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)C1 ZINC001299006652 1073836160 /nfs/dbraw/zinc/83/61/60/1073836160.db2.gz LSXUZDFBCPZXQZ-VUCTXSBTSA-N 0 0 446.599 -0.281 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299007239 1073835996 /nfs/dbraw/zinc/83/59/96/1073835996.db2.gz OQEIXJHDJFBRAA-KPZWWZAWSA-N 0 0 441.558 -0.623 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299007243 1073836094 /nfs/dbraw/zinc/83/60/94/1073836094.db2.gz OQEIXJHDJFBRAA-KZULUSFZSA-N 0 0 441.558 -0.623 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299007245 1073836149 /nfs/dbraw/zinc/83/61/49/1073836149.db2.gz OQEIXJHDJFBRAA-SGTLLEGYSA-N 0 0 441.558 -0.623 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299007247 1073836170 /nfs/dbraw/zinc/83/61/70/1073836170.db2.gz OQEIXJHDJFBRAA-XIKOKIGWSA-N 0 0 441.558 -0.623 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C1 ZINC001299021945 1073836119 /nfs/dbraw/zinc/83/61/19/1073836119.db2.gz UYKARYWBXGWDKS-ACJLOTCBSA-N 0 0 442.542 -0.747 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C1 ZINC001299021952 1073836606 /nfs/dbraw/zinc/83/66/06/1073836606.db2.gz UYKARYWBXGWDKS-FZKQIMNGSA-N 0 0 442.542 -0.747 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C1 ZINC001299021954 1073836715 /nfs/dbraw/zinc/83/67/15/1073836715.db2.gz UYKARYWBXGWDKS-SCLBCKFNSA-N 0 0 442.542 -0.747 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C1 ZINC001299021977 1073836835 /nfs/dbraw/zinc/83/68/35/1073836835.db2.gz UYKARYWBXGWDKS-UGSOOPFHSA-N 0 0 442.542 -0.747 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001299024285 1073836553 /nfs/dbraw/zinc/83/65/53/1073836553.db2.gz OODHPJDDGSWKSW-GDIGMMSISA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001299024288 1073836827 /nfs/dbraw/zinc/83/68/27/1073836827.db2.gz OODHPJDDGSWKSW-KYNGSXCRSA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001299024292 1073836729 /nfs/dbraw/zinc/83/67/29/1073836729.db2.gz OODHPJDDGSWKSW-NIKGAXFTSA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001299024296 1073836534 /nfs/dbraw/zinc/83/65/34/1073836534.db2.gz OODHPJDDGSWKSW-ZMYBRWDISA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC(F)F)C1 ZINC001299024800 1073836593 /nfs/dbraw/zinc/83/65/93/1073836593.db2.gz PUJWQIANPMSWDS-APPDUMDISA-N 0 0 448.496 -0.476 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC(F)F)C1 ZINC001299024805 1073836766 /nfs/dbraw/zinc/83/67/66/1073836766.db2.gz PUJWQIANPMSWDS-DIFFPNOSSA-N 0 0 448.496 -0.476 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC(F)F)C1 ZINC001299024810 1073836562 /nfs/dbraw/zinc/83/65/62/1073836562.db2.gz PUJWQIANPMSWDS-GTNSWQLSSA-N 0 0 448.496 -0.476 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC(F)F)C1 ZINC001299024814 1073836812 /nfs/dbraw/zinc/83/68/12/1073836812.db2.gz PUJWQIANPMSWDS-PIGZYNQJSA-N 0 0 448.496 -0.476 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001299026888 1073836799 /nfs/dbraw/zinc/83/67/99/1073836799.db2.gz VWMWRFPTLIQMOU-GJRWTSMGSA-N 0 0 441.554 -0.318 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001299026889 1073836647 /nfs/dbraw/zinc/83/66/47/1073836647.db2.gz VWMWRFPTLIQMOU-NXEZDXNNSA-N 0 0 441.554 -0.318 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001299026890 1073836667 /nfs/dbraw/zinc/83/66/67/1073836667.db2.gz VWMWRFPTLIQMOU-XTDOFWJNSA-N 0 0 441.554 -0.318 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001299026891 1073836628 /nfs/dbraw/zinc/83/66/28/1073836628.db2.gz VWMWRFPTLIQMOU-ZTENYAIWSA-N 0 0 441.554 -0.318 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)C1 ZINC001299033281 1073836783 /nfs/dbraw/zinc/83/67/83/1073836783.db2.gz MMKFEQWQXKUHEK-GOSISDBHSA-N 0 0 438.558 -0.349 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)C1 ZINC001299033282 1073836752 /nfs/dbraw/zinc/83/67/52/1073836752.db2.gz MMKFEQWQXKUHEK-SFHVURJKSA-N 0 0 438.558 -0.349 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CCCS(C)(=O)=O)C1 ZINC001299033864 1073836700 /nfs/dbraw/zinc/83/67/00/1073836700.db2.gz PGRPHZRVEOWRTG-GOSISDBHSA-N 0 0 426.543 -0.202 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CCCS(C)(=O)=O)C1 ZINC001299033865 1073836686 /nfs/dbraw/zinc/83/66/86/1073836686.db2.gz PGRPHZRVEOWRTG-SFHVURJKSA-N 0 0 426.543 -0.202 20 0 IBADRN CNC(=O)c1cccc(CCn2c(C)nnc2N2CCN(C(=O)Cn3cnnn3)CC2)c1 ZINC001299050891 1073837305 /nfs/dbraw/zinc/83/73/05/1073837305.db2.gz JCJNLYSOOUJEFK-UHFFFAOYSA-N 0 0 438.496 -0.476 20 0 IBADRN CNC(=O)c1cccc(Cn2c(C)nnc2N2CCN(C(=O)C(=O)NC3CC3)CC2)c1 ZINC001299054069 1073837166 /nfs/dbraw/zinc/83/71/66/1073837166.db2.gz WLJRQSJMILKQCN-UHFFFAOYSA-N 0 0 425.493 -0.078 20 0 IBADRN CNC(=O)c1cccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)C2)c1 ZINC001299056839 1073837238 /nfs/dbraw/zinc/83/72/38/1073837238.db2.gz DDCRNGZPWUPRES-HNNXBMFYSA-N 0 0 432.506 -0.476 20 0 IBADRN CNC(=O)c1cccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)C2)c1 ZINC001299056840 1073837335 /nfs/dbraw/zinc/83/73/35/1073837335.db2.gz DDCRNGZPWUPRES-OAHLLOKOSA-N 0 0 432.506 -0.476 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCCNS(C)(=O)=O)C1 ZINC001299063007 1073837358 /nfs/dbraw/zinc/83/73/58/1073837358.db2.gz NNLKTQINVGRHLH-AWEZNQCLSA-N 0 0 441.558 -0.584 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCCNS(C)(=O)=O)C1 ZINC001299063009 1073837418 /nfs/dbraw/zinc/83/74/18/1073837418.db2.gz NNLKTQINVGRHLH-CQSZACIVSA-N 0 0 441.558 -0.584 20 0 IBADRN CNC(=O)c1ccn([C@H]2CCCN(c3nnc([C@@H]4CCC(=O)N4)n3CC(=O)N(C)C)C2)n1 ZINC001299063245 1073837396 /nfs/dbraw/zinc/83/73/96/1073837396.db2.gz PUFDXCHUQOUDJS-KBPBESRZSA-N 0 0 443.512 -0.285 20 0 IBADRN CNC(=O)c1ccn([C@@H]2CCCN(c3nnc([C@@H]4CCC(=O)N4)n3CC(=O)N(C)C)C2)n1 ZINC001299063246 1073837196 /nfs/dbraw/zinc/83/71/96/1073837196.db2.gz PUFDXCHUQOUDJS-KGLIPLIRSA-N 0 0 443.512 -0.285 20 0 IBADRN CNC(=O)c1ccn([C@H]2CCCN(c3nnc([C@H]4CCC(=O)N4)n3CC(=O)N(C)C)C2)n1 ZINC001299063247 1073837384 /nfs/dbraw/zinc/83/73/84/1073837384.db2.gz PUFDXCHUQOUDJS-UONOGXRCSA-N 0 0 443.512 -0.285 20 0 IBADRN CNC(=O)c1ccn([C@@H]2CCCN(c3nnc([C@H]4CCC(=O)N4)n3CC(=O)N(C)C)C2)n1 ZINC001299063248 1073837291 /nfs/dbraw/zinc/83/72/91/1073837291.db2.gz PUFDXCHUQOUDJS-ZIAGYGMSSA-N 0 0 443.512 -0.285 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCOCCS(C)(=O)=O)C1 ZINC001299066103 1073837347 /nfs/dbraw/zinc/83/73/47/1073837347.db2.gz KPPOYELJIWMGOC-AWEZNQCLSA-N 0 0 444.558 -0.560 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCOCCS(C)(=O)=O)C1 ZINC001299066104 1073837261 /nfs/dbraw/zinc/83/72/61/1073837261.db2.gz KPPOYELJIWMGOC-CQSZACIVSA-N 0 0 444.558 -0.560 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299069545 1073837217 /nfs/dbraw/zinc/83/72/17/1073837217.db2.gz WANYENXBHYIEPG-GXTWGEPZSA-N 0 0 441.558 -0.623 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299069546 1073837463 /nfs/dbraw/zinc/83/74/63/1073837463.db2.gz WANYENXBHYIEPG-JSGCOSHPSA-N 0 0 441.558 -0.623 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299069547 1073837277 /nfs/dbraw/zinc/83/72/77/1073837277.db2.gz WANYENXBHYIEPG-OCCSQVGLSA-N 0 0 441.558 -0.623 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299069548 1073837436 /nfs/dbraw/zinc/83/74/36/1073837436.db2.gz WANYENXBHYIEPG-TZMCWYRMSA-N 0 0 441.558 -0.623 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)C1 ZINC001299069567 1073837321 /nfs/dbraw/zinc/83/73/21/1073837321.db2.gz WKPQWMCYEIYJTG-AHIWAGSCSA-N 0 0 446.599 -0.281 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)C1 ZINC001299069568 1073837406 /nfs/dbraw/zinc/83/74/06/1073837406.db2.gz WKPQWMCYEIYJTG-OGHNNQOOSA-N 0 0 446.599 -0.281 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)C1 ZINC001299069569 1073837450 /nfs/dbraw/zinc/83/74/50/1073837450.db2.gz WKPQWMCYEIYJTG-PBFPGSCMSA-N 0 0 446.599 -0.281 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)C1 ZINC001299069570 1073837372 /nfs/dbraw/zinc/83/73/72/1073837372.db2.gz WKPQWMCYEIYJTG-XNJGSVPQSA-N 0 0 446.599 -0.281 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H](C)OCCOC)n2CC(=O)N2CCOCC2)C1 ZINC001299069799 1073837990 /nfs/dbraw/zinc/83/79/90/1073837990.db2.gz ZYUVGGXROASIJG-CVEARBPZSA-N 0 0 438.529 -0.177 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H](C)OCCOC)n2CC(=O)N2CCOCC2)C1 ZINC001299069800 1073837760 /nfs/dbraw/zinc/83/77/60/1073837760.db2.gz ZYUVGGXROASIJG-HOTGVXAUSA-N 0 0 438.529 -0.177 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)OCCOC)n2CC(=O)N2CCOCC2)C1 ZINC001299069801 1073837826 /nfs/dbraw/zinc/83/78/26/1073837826.db2.gz ZYUVGGXROASIJG-HZPDHXFCSA-N 0 0 438.529 -0.177 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)OCCOC)n2CC(=O)N2CCOCC2)C1 ZINC001299069802 1073837878 /nfs/dbraw/zinc/83/78/78/1073837878.db2.gz ZYUVGGXROASIJG-JKSUJKDBSA-N 0 0 438.529 -0.177 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@@H]3CN(C(=O)OC(C)(C)C)CCO3)[C@@H](O)C(=O)N2C)cn1 ZINC001299076240 1073837791 /nfs/dbraw/zinc/83/77/91/1073837791.db2.gz QKPRCWQANAEBQR-KLHDSHLOSA-N 0 0 437.497 -0.338 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@H]3CN(C(=O)OC(C)(C)C)CCO3)[C@@H](O)C(=O)N2C)cn1 ZINC001299076244 1073837926 /nfs/dbraw/zinc/83/79/26/1073837926.db2.gz QKPRCWQANAEBQR-ZJIFWQFVSA-N 0 0 437.497 -0.338 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001299082137 1073837810 /nfs/dbraw/zinc/83/78/10/1073837810.db2.gz DAIPQYAURKYTBD-BEFAXECRSA-N 0 0 434.522 -0.148 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001299082138 1073837732 /nfs/dbraw/zinc/83/77/32/1073837732.db2.gz DAIPQYAURKYTBD-DNVCBOLYSA-N 0 0 434.522 -0.148 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001299082139 1073837766 /nfs/dbraw/zinc/83/77/66/1073837766.db2.gz DAIPQYAURKYTBD-HNAYVOBHSA-N 0 0 434.522 -0.148 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001299082140 1073837946 /nfs/dbraw/zinc/83/79/46/1073837946.db2.gz DAIPQYAURKYTBD-KXBFYZLASA-N 0 0 434.522 -0.148 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCN2CCN(C(C)=O)CC2)C1 ZINC001299082383 1073838003 /nfs/dbraw/zinc/83/80/03/1073838003.db2.gz JMHWEJMGVYIITF-KRWDZBQOSA-N 0 0 443.556 -0.195 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCN2CCN(C(C)=O)CC2)C1 ZINC001299082384 1073837843 /nfs/dbraw/zinc/83/78/43/1073837843.db2.gz JMHWEJMGVYIITF-QGZVFWFLSA-N 0 0 443.556 -0.195 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001299084313 1073837778 /nfs/dbraw/zinc/83/77/78/1073837778.db2.gz FDCVJSWYYPMDCG-CABCVRRESA-N 0 0 426.543 -0.220 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001299084314 1073837741 /nfs/dbraw/zinc/83/77/41/1073837741.db2.gz FDCVJSWYYPMDCG-GJZGRUSLSA-N 0 0 426.543 -0.220 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001299084315 1073837912 /nfs/dbraw/zinc/83/79/12/1073837912.db2.gz FDCVJSWYYPMDCG-HUUCEWRRSA-N 0 0 426.543 -0.220 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001299084316 1073837896 /nfs/dbraw/zinc/83/78/96/1073837896.db2.gz FDCVJSWYYPMDCG-LSDHHAIUSA-N 0 0 426.543 -0.220 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCCO)C1 ZINC001299085035 1073837772 /nfs/dbraw/zinc/83/77/72/1073837772.db2.gz JHHHDKMQLUQAKS-CABCVRRESA-N 0 0 429.543 -0.458 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCCO)C1 ZINC001299085036 1073837752 /nfs/dbraw/zinc/83/77/52/1073837752.db2.gz JHHHDKMQLUQAKS-GJZGRUSLSA-N 0 0 429.543 -0.458 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCCO)C1 ZINC001299085037 1073837981 /nfs/dbraw/zinc/83/79/81/1073837981.db2.gz JHHHDKMQLUQAKS-HUUCEWRRSA-N 0 0 429.543 -0.458 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCCO)C1 ZINC001299085038 1073837966 /nfs/dbraw/zinc/83/79/66/1073837966.db2.gz JHHHDKMQLUQAKS-LSDHHAIUSA-N 0 0 429.543 -0.458 20 0 IBADRN COCCNC(=O)C1(NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001299086251 1073838492 /nfs/dbraw/zinc/83/84/92/1073838492.db2.gz CRRAJPZDFBBORZ-INIZCTEOSA-N 0 0 429.477 -0.052 20 0 IBADRN COCCNC(=O)C1(NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001299086252 1073838397 /nfs/dbraw/zinc/83/83/97/1073838397.db2.gz CRRAJPZDFBBORZ-MRXNPFEDSA-N 0 0 429.477 -0.052 20 0 IBADRN COCCNC(=O)C1(NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001299088695 1073838304 /nfs/dbraw/zinc/83/83/04/1073838304.db2.gz OHHBBUKMLDTMDY-UHFFFAOYSA-N 0 0 427.479 -0.462 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001299091877 1073838355 /nfs/dbraw/zinc/83/83/55/1073838355.db2.gz XBRBBMXYLZEUIZ-JXFKEZNVSA-N 0 0 448.549 -0.224 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001299091878 1073838465 /nfs/dbraw/zinc/83/84/65/1073838465.db2.gz XBRBBMXYLZEUIZ-OXJNMPFZSA-N 0 0 448.549 -0.224 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001299091879 1073838336 /nfs/dbraw/zinc/83/83/36/1073838336.db2.gz XBRBBMXYLZEUIZ-OXQOHEQNSA-N 0 0 448.549 -0.224 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001299091880 1073838455 /nfs/dbraw/zinc/83/84/55/1073838455.db2.gz XBRBBMXYLZEUIZ-UZLBHIALSA-N 0 0 448.549 -0.224 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)NC[C@@H](O)Cn1ccnn1 ZINC001299095521 1073838511 /nfs/dbraw/zinc/83/85/11/1073838511.db2.gz FKZHXBNECZNAGZ-GFCCVEGCSA-N 0 0 432.300 -0.114 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)NC[C@H](O)Cn1ccnn1 ZINC001299095523 1073838385 /nfs/dbraw/zinc/83/83/85/1073838385.db2.gz FKZHXBNECZNAGZ-LBPRGKRZSA-N 0 0 432.300 -0.114 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)C1 ZINC001299095669 1073838289 /nfs/dbraw/zinc/83/82/89/1073838289.db2.gz JXRJITQGXQJBMD-INIZCTEOSA-N 0 0 447.540 -0.388 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)C1 ZINC001299095671 1073838520 /nfs/dbraw/zinc/83/85/20/1073838520.db2.gz JXRJITQGXQJBMD-MRXNPFEDSA-N 0 0 447.540 -0.388 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)C1 ZINC001299096162 1073838411 /nfs/dbraw/zinc/83/84/11/1073838411.db2.gz MHOULZBWGDFAGS-HNNXBMFYSA-N 0 0 438.558 -0.349 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)C1 ZINC001299096164 1073838527 /nfs/dbraw/zinc/83/85/27/1073838527.db2.gz MHOULZBWGDFAGS-OAHLLOKOSA-N 0 0 438.558 -0.349 20 0 IBADRN CNC(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001299097306 1073838321 /nfs/dbraw/zinc/83/83/21/1073838321.db2.gz AKZTVMGLBYFTAS-CYBMUJFWSA-N 0 0 426.543 -0.187 20 0 IBADRN CNC(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001299097308 1073838541 /nfs/dbraw/zinc/83/85/41/1073838541.db2.gz AKZTVMGLBYFTAS-ZDUSSCGKSA-N 0 0 426.543 -0.187 20 0 IBADRN CNC(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nnnn2CC2CC2)CC1 ZINC001299097438 1073838365 /nfs/dbraw/zinc/83/83/65/1073838365.db2.gz DJUSAIVGDPNMLR-UHFFFAOYSA-N 0 0 430.517 -0.177 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)C1 ZINC001299100153 1073838502 /nfs/dbraw/zinc/83/85/02/1073838502.db2.gz ZHCAYJYIWZZTEG-AWEZNQCLSA-N 0 0 441.558 -0.698 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)C1 ZINC001299100154 1073838479 /nfs/dbraw/zinc/83/84/79/1073838479.db2.gz ZHCAYJYIWZZTEG-CQSZACIVSA-N 0 0 441.558 -0.698 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001299102151 1073838426 /nfs/dbraw/zinc/83/84/26/1073838426.db2.gz DUICQYLMGAXAMS-DGCLKSJQSA-N 0 0 427.531 -0.871 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001299102152 1073838439 /nfs/dbraw/zinc/83/84/39/1073838439.db2.gz DUICQYLMGAXAMS-WCQYABFASA-N 0 0 427.531 -0.871 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCNC(C)=O)CC1 ZINC001299102450 1073838928 /nfs/dbraw/zinc/83/89/28/1073838928.db2.gz JEJBEKUZTXQQKE-GFCCVEGCSA-N 0 0 429.547 -0.623 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCNC(C)=O)CC1 ZINC001299102451 1073839038 /nfs/dbraw/zinc/83/90/38/1073839038.db2.gz JEJBEKUZTXQQKE-LBPRGKRZSA-N 0 0 429.547 -0.623 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(OC)CCOC2)CC1 ZINC001299102880 1073839013 /nfs/dbraw/zinc/83/90/13/1073839013.db2.gz PXOAJOVENXINTK-ACJLOTCBSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(OC)CCOC2)CC1 ZINC001299102881 1073838974 /nfs/dbraw/zinc/83/89/74/1073838974.db2.gz PXOAJOVENXINTK-FZKQIMNGSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(OC)CCOC2)CC1 ZINC001299102882 1073838908 /nfs/dbraw/zinc/83/89/08/1073838908.db2.gz PXOAJOVENXINTK-SCLBCKFNSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(OC)CCOC2)CC1 ZINC001299102883 1073838946 /nfs/dbraw/zinc/83/89/46/1073838946.db2.gz PXOAJOVENXINTK-UGSOOPFHSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCc2ccn(C)n2)CC1 ZINC001299102909 1073839054 /nfs/dbraw/zinc/83/90/54/1073839054.db2.gz QSQMRBSMFRRKLK-CYBMUJFWSA-N 0 0 438.558 -0.173 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCc2ccn(C)n2)CC1 ZINC001299102910 1073838936 /nfs/dbraw/zinc/83/89/36/1073838936.db2.gz QSQMRBSMFRRKLK-ZDUSSCGKSA-N 0 0 438.558 -0.173 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)CC1 ZINC001299103108 1073839001 /nfs/dbraw/zinc/83/90/01/1073839001.db2.gz TWGGKLFDMHUGBQ-ACJLOTCBSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)CC1 ZINC001299103109 1073839089 /nfs/dbraw/zinc/83/90/89/1073839089.db2.gz TWGGKLFDMHUGBQ-FZKQIMNGSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)CC1 ZINC001299103110 1073839031 /nfs/dbraw/zinc/83/90/31/1073839031.db2.gz TWGGKLFDMHUGBQ-SCLBCKFNSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)CC1 ZINC001299103111 1073838988 /nfs/dbraw/zinc/83/89/88/1073838988.db2.gz TWGGKLFDMHUGBQ-UGSOOPFHSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc(-c3cccn3C)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299110207 1073839024 /nfs/dbraw/zinc/83/90/24/1073839024.db2.gz MVVGRTVAYHPKCG-IBGZPJMESA-N 0 0 436.538 -0.204 20 0 IBADRN CNC(=O)C1CCN(c2nnc(-c3cccn3C)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299110217 1073838959 /nfs/dbraw/zinc/83/89/59/1073838959.db2.gz MVVGRTVAYHPKCG-LJQANCHMSA-N 0 0 436.538 -0.204 20 0 IBADRN CNC(=O)C1CCN(c2nnc(CN3CCCC3=O)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001299119843 1073839047 /nfs/dbraw/zinc/83/90/47/1073839047.db2.gz KVJHVWCIVSHQOK-UHFFFAOYSA-N 0 0 447.540 -0.355 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CC1 ZINC001299119848 1073838892 /nfs/dbraw/zinc/83/88/92/1073838892.db2.gz VIMRSWXHNIWACU-AWEZNQCLSA-N 0 0 426.543 -0.333 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CC1 ZINC001299119855 1073839587 /nfs/dbraw/zinc/83/95/87/1073839587.db2.gz VIMRSWXHNIWACU-CQSZACIVSA-N 0 0 426.543 -0.333 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCCOC)CC1 ZINC001299120127 1073839643 /nfs/dbraw/zinc/83/96/43/1073839643.db2.gz YLFRXXXEPBXYFJ-HNNXBMFYSA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCCOC)CC1 ZINC001299120128 1073839445 /nfs/dbraw/zinc/83/94/45/1073839445.db2.gz YLFRXXXEPBXYFJ-OAHLLOKOSA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001299120139 1073839470 /nfs/dbraw/zinc/83/94/70/1073839470.db2.gz YOXVIXZCJZITAH-AUUYWEPGSA-N 0 0 438.554 -0.379 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001299120140 1073839521 /nfs/dbraw/zinc/83/95/21/1073839521.db2.gz YOXVIXZCJZITAH-IFXJQAMLSA-N 0 0 438.554 -0.379 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001299120141 1073839509 /nfs/dbraw/zinc/83/95/09/1073839509.db2.gz YOXVIXZCJZITAH-KUHUBIRLSA-N 0 0 438.554 -0.379 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001299120142 1073839671 /nfs/dbraw/zinc/83/96/71/1073839671.db2.gz YOXVIXZCJZITAH-LIRRHRJNSA-N 0 0 438.554 -0.379 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCOCC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001299121656 1073839651 /nfs/dbraw/zinc/83/96/51/1073839651.db2.gz WQGSVPCRYKWUTE-CVEARBPZSA-N 0 0 429.539 -0.980 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCOCC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001299121657 1073839534 /nfs/dbraw/zinc/83/95/34/1073839534.db2.gz WQGSVPCRYKWUTE-HOTGVXAUSA-N 0 0 429.539 -0.980 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCOCC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001299121658 1073839427 /nfs/dbraw/zinc/83/94/27/1073839427.db2.gz WQGSVPCRYKWUTE-HZPDHXFCSA-N 0 0 429.539 -0.980 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCOCC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001299121659 1073839623 /nfs/dbraw/zinc/83/96/23/1073839623.db2.gz WQGSVPCRYKWUTE-JKSUJKDBSA-N 0 0 429.539 -0.980 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCc2nccnc2C)CCO1 ZINC001299127174 1073839496 /nfs/dbraw/zinc/83/94/96/1073839496.db2.gz CJAXWVSCCGKZEU-INIZCTEOSA-N 0 0 428.497 -0.194 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCc2nccnc2C)CCO1 ZINC001299127175 1073839615 /nfs/dbraw/zinc/83/96/15/1073839615.db2.gz CJAXWVSCCGKZEU-MRXNPFEDSA-N 0 0 428.497 -0.194 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(SC)CC2)CCO1 ZINC001299127271 1073839487 /nfs/dbraw/zinc/83/94/87/1073839487.db2.gz FMSCFCGGCZQLDR-NEPJUHHUSA-N 0 0 432.572 -0.265 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(SC)CC2)CCO1 ZINC001299127272 1073839574 /nfs/dbraw/zinc/83/95/74/1073839574.db2.gz FMSCFCGGCZQLDR-NWDGAFQWSA-N 0 0 432.572 -0.265 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(SC)CC2)CCO1 ZINC001299127273 1073839455 /nfs/dbraw/zinc/83/94/55/1073839455.db2.gz FMSCFCGGCZQLDR-RYUDHWBXSA-N 0 0 432.572 -0.265 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(SC)CC2)CCO1 ZINC001299127274 1073839597 /nfs/dbraw/zinc/83/95/97/1073839597.db2.gz FMSCFCGGCZQLDR-VXGBXAGGSA-N 0 0 432.572 -0.265 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccc(C)nc2)CCO1 ZINC001299127295 1073839560 /nfs/dbraw/zinc/83/95/60/1073839560.db2.gz FQUYQVLZVBOQOL-DZGCQCFKSA-N 0 0 437.526 -0.409 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccc(C)nc2)CCO1 ZINC001299127312 1073839605 /nfs/dbraw/zinc/83/96/05/1073839605.db2.gz FQUYQVLZVBOQOL-HIFRSBDPSA-N 0 0 437.526 -0.409 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccc(C)nc2)CCO1 ZINC001299127313 1073839479 /nfs/dbraw/zinc/83/94/79/1073839479.db2.gz FQUYQVLZVBOQOL-UKRRQHHQSA-N 0 0 437.526 -0.409 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccc(C)nc2)CCO1 ZINC001299127314 1073840231 /nfs/dbraw/zinc/84/02/31/1073840231.db2.gz FQUYQVLZVBOQOL-ZFWWWQNUSA-N 0 0 437.526 -0.409 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001299127434 1073840152 /nfs/dbraw/zinc/84/01/52/1073840152.db2.gz FEERZNKHOCDRPD-RBZFPXEDSA-N 0 0 442.480 -0.645 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001299127435 1073840210 /nfs/dbraw/zinc/84/02/10/1073840210.db2.gz FEERZNKHOCDRPD-RNODOKPDSA-N 0 0 442.480 -0.645 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001299127436 1073840240 /nfs/dbraw/zinc/84/02/40/1073840240.db2.gz FEERZNKHOCDRPD-XCLFUZPHSA-N 0 0 442.480 -0.645 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001299127437 1073840248 /nfs/dbraw/zinc/84/02/48/1073840248.db2.gz FEERZNKHOCDRPD-ZUOKHONESA-N 0 0 442.480 -0.645 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC(C)(C)C)CCO1 ZINC001299127504 1073840162 /nfs/dbraw/zinc/84/01/62/1073840162.db2.gz GEKSGAMFEIVZPL-CHWSQXEVSA-N 0 0 432.547 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC(C)(C)C)CCO1 ZINC001299127505 1073839985 /nfs/dbraw/zinc/83/99/85/1073839985.db2.gz GEKSGAMFEIVZPL-OLZOCXBDSA-N 0 0 432.547 -0.345 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC(C)(C)C)CCO1 ZINC001299127506 1073840174 /nfs/dbraw/zinc/84/01/74/1073840174.db2.gz GEKSGAMFEIVZPL-QWHCGFSZSA-N 0 0 432.547 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC(C)(C)C)CCO1 ZINC001299127507 1073840220 /nfs/dbraw/zinc/84/02/20/1073840220.db2.gz GEKSGAMFEIVZPL-STQMWFEESA-N 0 0 432.547 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCOCC2(C)C)CCO1 ZINC001299127767 1073840071 /nfs/dbraw/zinc/84/00/71/1073840071.db2.gz GRBNWWOHZCGTFB-INIZCTEOSA-N 0 0 449.556 -0.424 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCOCC2(C)C)CCO1 ZINC001299127768 1073840182 /nfs/dbraw/zinc/84/01/82/1073840182.db2.gz GRBNWWOHZCGTFB-MRXNPFEDSA-N 0 0 449.556 -0.424 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)NC(C)(C)C)CCO1 ZINC001299127790 1073840051 /nfs/dbraw/zinc/84/00/51/1073840051.db2.gz HGFKYFPHLQUNMO-AWEZNQCLSA-N 0 0 435.529 -0.230 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)NC(C)(C)C)CCO1 ZINC001299127791 1073840275 /nfs/dbraw/zinc/84/02/75/1073840275.db2.gz HGFKYFPHLQUNMO-CQSZACIVSA-N 0 0 435.529 -0.230 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CC[C@@H]2COCCO2)CCO1 ZINC001299128478 1073840285 /nfs/dbraw/zinc/84/02/85/1073840285.db2.gz NGPNRXJXQDXIBG-CABCVRRESA-N 0 0 445.480 -0.318 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CC[C@H]2COCCO2)CCO1 ZINC001299128479 1073840115 /nfs/dbraw/zinc/84/01/15/1073840115.db2.gz NGPNRXJXQDXIBG-GJZGRUSLSA-N 0 0 445.480 -0.318 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CC[C@@H]2COCCO2)CCO1 ZINC001299128480 1073840035 /nfs/dbraw/zinc/84/00/35/1073840035.db2.gz NGPNRXJXQDXIBG-HUUCEWRRSA-N 0 0 445.480 -0.318 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CC[C@H]2COCCO2)CCO1 ZINC001299128481 1073840817 /nfs/dbraw/zinc/84/08/17/1073840817.db2.gz NGPNRXJXQDXIBG-LSDHHAIUSA-N 0 0 445.480 -0.318 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCCN(C(C)=O)C2)CCO1 ZINC001299128562 1073840764 /nfs/dbraw/zinc/84/07/64/1073840764.db2.gz MEBNMJXDFCLXCQ-CVEARBPZSA-N 0 0 435.529 -0.374 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCCN(C(C)=O)C2)CCO1 ZINC001299128563 1073840684 /nfs/dbraw/zinc/84/06/84/1073840684.db2.gz MEBNMJXDFCLXCQ-HOTGVXAUSA-N 0 0 435.529 -0.374 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCCN(C(C)=O)C2)CCO1 ZINC001299128565 1073840675 /nfs/dbraw/zinc/84/06/75/1073840675.db2.gz MEBNMJXDFCLXCQ-HZPDHXFCSA-N 0 0 435.529 -0.374 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCCN(C(C)=O)C2)CCO1 ZINC001299128567 1073840721 /nfs/dbraw/zinc/84/07/21/1073840721.db2.gz MEBNMJXDFCLXCQ-JKSUJKDBSA-N 0 0 435.529 -0.374 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nccs2)CCO1 ZINC001299128632 1073840833 /nfs/dbraw/zinc/84/08/33/1073840833.db2.gz NNPWAHASGSMEJH-GHMZBOCLSA-N 0 0 429.528 -0.656 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nccs2)CCO1 ZINC001299128634 1073840790 /nfs/dbraw/zinc/84/07/90/1073840790.db2.gz NNPWAHASGSMEJH-MNOVXSKESA-N 0 0 429.528 -0.656 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nccs2)CCO1 ZINC001299128636 1073840710 /nfs/dbraw/zinc/84/07/10/1073840710.db2.gz NNPWAHASGSMEJH-QWRGUYRKSA-N 0 0 429.528 -0.656 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nccs2)CCO1 ZINC001299128638 1073840796 /nfs/dbraw/zinc/84/07/96/1073840796.db2.gz NNPWAHASGSMEJH-WDEREUQCSA-N 0 0 429.528 -0.656 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001299128667 1073840659 /nfs/dbraw/zinc/84/06/59/1073840659.db2.gz NLNDAHOPMPFGBV-JTQLQIEISA-N 0 0 435.407 -0.954 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001299128675 1073840742 /nfs/dbraw/zinc/84/07/42/1073840742.db2.gz NLNDAHOPMPFGBV-SNVBAGLBSA-N 0 0 435.407 -0.954 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2conc2COC)CCO1 ZINC001299128902 1073840731 /nfs/dbraw/zinc/84/07/31/1073840731.db2.gz PPRUQOJJHBOINJ-HNNXBMFYSA-N 0 0 433.469 -0.352 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2conc2COC)CCO1 ZINC001299128903 1073840750 /nfs/dbraw/zinc/84/07/50/1073840750.db2.gz PPRUQOJJHBOINJ-OAHLLOKOSA-N 0 0 433.469 -0.352 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C)n2C[C@@H]2CCC[C@@H]2N2CCCS2(=O)=O)CCO1 ZINC001299129212 1073840825 /nfs/dbraw/zinc/84/08/25/1073840825.db2.gz SPACZGYCPAFARM-JYJNAYRXSA-N 0 0 426.543 -0.258 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C)n2C[C@H]2CCC[C@H]2N2CCCS2(=O)=O)CCO1 ZINC001299129213 1073840803 /nfs/dbraw/zinc/84/08/03/1073840803.db2.gz SPACZGYCPAFARM-OAGGEKHMSA-N 0 0 426.543 -0.258 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C)n2C[C@H]2CCC[C@@H]2N2CCCS2(=O)=O)CCO1 ZINC001299129214 1073840785 /nfs/dbraw/zinc/84/07/85/1073840785.db2.gz SPACZGYCPAFARM-PMPSAXMXSA-N 0 0 426.543 -0.258 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C)n2C[C@@H]2CCC[C@H]2N2CCCS2(=O)=O)CCO1 ZINC001299129215 1073840643 /nfs/dbraw/zinc/84/06/43/1073840643.db2.gz SPACZGYCPAFARM-XHSDSOJGSA-N 0 0 426.543 -0.258 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)OCCOC)n2C[C@]2(O)CCO[C@@H]2C)CCO1 ZINC001299129282 1073840812 /nfs/dbraw/zinc/84/08/12/1073840812.db2.gz UJTIUFLDFSAWIX-QCUYGVNKSA-N 0 0 427.502 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)OCCOC)n2C[C@@]2(O)CCO[C@H]2C)CCO1 ZINC001299129283 1073840695 /nfs/dbraw/zinc/84/06/95/1073840695.db2.gz UJTIUFLDFSAWIX-XLPNERPQSA-N 0 0 427.502 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)OCCOC)n2C[C@]2(O)CCO[C@H]2C)CCO1 ZINC001299129284 1073840776 /nfs/dbraw/zinc/84/07/76/1073840776.db2.gz UJTIUFLDFSAWIX-XMDUPGNXSA-N 0 0 427.502 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)OCCOC)n2C[C@@]2(O)CCO[C@@H]2C)CCO1 ZINC001299129285 1073841391 /nfs/dbraw/zinc/84/13/91/1073841391.db2.gz UJTIUFLDFSAWIX-YGTYGHESSA-N 0 0 427.502 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@](C)(OC)C2CC2)CCO1 ZINC001299129648 1073841354 /nfs/dbraw/zinc/84/13/54/1073841354.db2.gz YDSPTSRQIDAQBV-IAISJRAMSA-N 0 0 444.558 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@](C)(OC)C2CC2)CCO1 ZINC001299129652 1073841253 /nfs/dbraw/zinc/84/12/53/1073841253.db2.gz YDSPTSRQIDAQBV-UVBSCNOISA-N 0 0 444.558 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@](C)(OC)C2CC2)CCO1 ZINC001299129655 1073841185 /nfs/dbraw/zinc/84/11/85/1073841185.db2.gz YDSPTSRQIDAQBV-XAYKYTGQSA-N 0 0 444.558 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@](C)(OC)C2CC2)CCO1 ZINC001299129657 1073841290 /nfs/dbraw/zinc/84/12/90/1073841290.db2.gz YDSPTSRQIDAQBV-YEWDVWPNSA-N 0 0 444.558 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cccc(OC)c3)n2CC(=O)N2CCOCC2)CCO1 ZINC001299132081 1073841380 /nfs/dbraw/zinc/84/13/80/1073841380.db2.gz FYNPQOLUSHJNFH-KRWDZBQOSA-N 0 0 444.492 -0.236 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cccc(OC)c3)n2CC(=O)N2CCOCC2)CCO1 ZINC001299132082 1073841344 /nfs/dbraw/zinc/84/13/44/1073841344.db2.gz FYNPQOLUSHJNFH-QGZVFWFLSA-N 0 0 444.492 -0.236 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2C[C@H]2CCC(=O)N2)CCO1 ZINC001299132683 1073841371 /nfs/dbraw/zinc/84/13/71/1073841371.db2.gz JBGXRCXNWOIVNN-QEEYODRMSA-N 0 0 436.513 -0.452 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2C[C@H]2CCC(=O)N2)CCO1 ZINC001299132684 1073841234 /nfs/dbraw/zinc/84/12/34/1073841234.db2.gz JBGXRCXNWOIVNN-SIFCLUCFSA-N 0 0 436.513 -0.452 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2C[C@H]2CCC(=O)N2)CCO1 ZINC001299132685 1073841276 /nfs/dbraw/zinc/84/12/76/1073841276.db2.gz JBGXRCXNWOIVNN-STXHMFSFSA-N 0 0 436.513 -0.452 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2C[C@H]2CCC(=O)N2)CCO1 ZINC001299132686 1073841265 /nfs/dbraw/zinc/84/12/65/1073841265.db2.gz JBGXRCXNWOIVNN-SXGZJXTBSA-N 0 0 436.513 -0.452 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc(Cl)nc3)n2CCS(C)(=O)=O)CCO1 ZINC001299134083 1073841364 /nfs/dbraw/zinc/84/13/64/1073841364.db2.gz NMQBEUCTRLEOMC-GFCCVEGCSA-N 0 0 428.902 -0.011 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc(Cl)nc3)n2CCS(C)(=O)=O)CCO1 ZINC001299134084 1073841323 /nfs/dbraw/zinc/84/13/23/1073841323.db2.gz NMQBEUCTRLEOMC-LBPRGKRZSA-N 0 0 428.902 -0.011 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc(C)o3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001299135191 1073841192 /nfs/dbraw/zinc/84/11/92/1073841192.db2.gz WMNSXXHDXUZSNQ-HNNXBMFYSA-N 0 0 438.510 -0.167 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc(C)o3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001299135192 1073841283 /nfs/dbraw/zinc/84/12/83/1073841283.db2.gz WMNSXXHDXUZSNQ-OAHLLOKOSA-N 0 0 438.510 -0.167 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CCS(C)(=O)=O)CCO1 ZINC001299135630 1073841868 /nfs/dbraw/zinc/84/18/68/1073841868.db2.gz YXVIVSDKXBJFKQ-KBXCAEBGSA-N 0 0 445.542 -0.686 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2CCS(C)(=O)=O)CCO1 ZINC001299135636 1073841817 /nfs/dbraw/zinc/84/18/17/1073841817.db2.gz YXVIVSDKXBJFKQ-KDOFPFPSSA-N 0 0 445.542 -0.686 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2CCS(C)(=O)=O)CCO1 ZINC001299135637 1073841994 /nfs/dbraw/zinc/84/19/94/1073841994.db2.gz YXVIVSDKXBJFKQ-KSSFIOAISA-N 0 0 445.542 -0.686 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CCS(C)(=O)=O)CCO1 ZINC001299135638 1073841723 /nfs/dbraw/zinc/84/17/23/1073841723.db2.gz YXVIVSDKXBJFKQ-RDTXWAMCSA-N 0 0 445.542 -0.686 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc4c(c3)OCCO4)n2CC(=O)N(C)C)CCO1 ZINC001299137433 1073841707 /nfs/dbraw/zinc/84/17/07/1073841707.db2.gz GOIGHVVPMAITSU-INIZCTEOSA-N 0 0 430.465 -0.244 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc4c(c3)OCCO4)n2CC(=O)N(C)C)CCO1 ZINC001299137434 1073841895 /nfs/dbraw/zinc/84/18/95/1073841895.db2.gz GOIGHVVPMAITSU-MRXNPFEDSA-N 0 0 430.465 -0.244 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccccc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001299137663 1073841921 /nfs/dbraw/zinc/84/19/21/1073841921.db2.gz LHNVIWYIVZIQDS-BEFAXECRSA-N 0 0 435.506 -0.554 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccccc3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001299137664 1073842028 /nfs/dbraw/zinc/84/20/28/1073842028.db2.gz LHNVIWYIVZIQDS-DNVCBOLYSA-N 0 0 435.506 -0.554 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccccc3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001299137665 1073842021 /nfs/dbraw/zinc/84/20/21/1073842021.db2.gz LHNVIWYIVZIQDS-HNAYVOBHSA-N 0 0 435.506 -0.554 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccccc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001299137666 1073841829 /nfs/dbraw/zinc/84/18/29/1073841829.db2.gz LHNVIWYIVZIQDS-KXBFYZLASA-N 0 0 435.506 -0.554 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccccc3OC)n2CCS(=O)(=O)NC)CCO1 ZINC001299138625 1073842011 /nfs/dbraw/zinc/84/20/11/1073842011.db2.gz YETGXQGHAMIAID-HNNXBMFYSA-N 0 0 438.510 -0.546 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccccc3OC)n2CCS(=O)(=O)NC)CCO1 ZINC001299138626 1073841999 /nfs/dbraw/zinc/84/19/99/1073841999.db2.gz YETGXQGHAMIAID-OAHLLOKOSA-N 0 0 438.510 -0.546 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cccnc3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001299139401 1073841909 /nfs/dbraw/zinc/84/19/09/1073841909.db2.gz DATNIUQGBVNHQE-HNNXBMFYSA-N 0 0 438.510 -0.648 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cccnc3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001299139402 1073841881 /nfs/dbraw/zinc/84/18/81/1073841881.db2.gz DATNIUQGBVNHQE-OAHLLOKOSA-N 0 0 438.510 -0.648 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001299139639 1073842606 /nfs/dbraw/zinc/84/26/06/1073842606.db2.gz DYJLOTVENRPLLO-INIZCTEOSA-N 0 0 430.513 -0.064 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001299139640 1073842447 /nfs/dbraw/zinc/84/24/47/1073842447.db2.gz DYJLOTVENRPLLO-MRXNPFEDSA-N 0 0 430.513 -0.064 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccc(OC)c(O)c2)CCO1 ZINC001299140001 1073842580 /nfs/dbraw/zinc/84/25/80/1073842580.db2.gz GZVHNOGGEORAHQ-BBRMVZONSA-N 0 0 430.465 -0.057 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccc(OC)c(O)c2)CCO1 ZINC001299140009 1073842508 /nfs/dbraw/zinc/84/25/08/1073842508.db2.gz GZVHNOGGEORAHQ-CJNGLKHVSA-N 0 0 430.465 -0.057 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccc(OC)c(O)c2)CCO1 ZINC001299140012 1073842463 /nfs/dbraw/zinc/84/24/63/1073842463.db2.gz GZVHNOGGEORAHQ-CZUORRHYSA-N 0 0 430.465 -0.057 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccc(OC)c(O)c2)CCO1 ZINC001299140014 1073842543 /nfs/dbraw/zinc/84/25/43/1073842543.db2.gz GZVHNOGGEORAHQ-XJKSGUPXSA-N 0 0 430.465 -0.057 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2csc(COC)n2)CCO1 ZINC001299140674 1073842550 /nfs/dbraw/zinc/84/25/50/1073842550.db2.gz KJHMXIKFEJJPPL-CHWSQXEVSA-N 0 0 435.510 -0.168 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2csc(COC)n2)CCO1 ZINC001299140675 1073842502 /nfs/dbraw/zinc/84/25/02/1073842502.db2.gz KJHMXIKFEJJPPL-OLZOCXBDSA-N 0 0 435.510 -0.168 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2csc(COC)n2)CCO1 ZINC001299140676 1073842435 /nfs/dbraw/zinc/84/24/35/1073842435.db2.gz KJHMXIKFEJJPPL-QWHCGFSZSA-N 0 0 435.510 -0.168 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2csc(COC)n2)CCO1 ZINC001299140677 1073842573 /nfs/dbraw/zinc/84/25/73/1073842573.db2.gz KJHMXIKFEJJPPL-STQMWFEESA-N 0 0 435.510 -0.168 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H](C(C)C)N2CCOCC2)CCO1 ZINC001299141143 1073842594 /nfs/dbraw/zinc/84/25/94/1073842594.db2.gz MEUUENXNSJWHCK-BBWFWOEESA-N 0 0 449.556 -0.463 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H](C(C)C)N2CCOCC2)CCO1 ZINC001299141144 1073842522 /nfs/dbraw/zinc/84/25/22/1073842522.db2.gz MEUUENXNSJWHCK-IKGGRYGDSA-N 0 0 449.556 -0.463 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H](C(C)C)N2CCOCC2)CCO1 ZINC001299141145 1073842556 /nfs/dbraw/zinc/84/25/56/1073842556.db2.gz MEUUENXNSJWHCK-ULQDDVLXSA-N 0 0 449.556 -0.463 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H](C(C)C)N2CCOCC2)CCO1 ZINC001299141146 1073842612 /nfs/dbraw/zinc/84/26/12/1073842612.db2.gz MEUUENXNSJWHCK-ZACQAIPSSA-N 0 0 449.556 -0.463 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001299141613 1073842536 /nfs/dbraw/zinc/84/25/36/1073842536.db2.gz FNEGKFOBKQOYAI-DLBZAZTESA-N 0 0 443.547 -0.103 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001299141619 1073842494 /nfs/dbraw/zinc/84/24/94/1073842494.db2.gz FNEGKFOBKQOYAI-IAGOWNOFSA-N 0 0 443.547 -0.103 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001299141620 1073842420 /nfs/dbraw/zinc/84/24/20/1073842420.db2.gz FNEGKFOBKQOYAI-IRXDYDNUSA-N 0 0 443.547 -0.103 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001299141621 1073842587 /nfs/dbraw/zinc/84/25/87/1073842587.db2.gz FNEGKFOBKQOYAI-SJORKVTESA-N 0 0 443.547 -0.103 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N(C)C2CCCCC2)CCO1 ZINC001299142459 1073842475 /nfs/dbraw/zinc/84/24/75/1073842475.db2.gz SMIKQZBSLQNMPS-CVEARBPZSA-N 0 0 447.540 -0.029 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N(C)C2CCCCC2)CCO1 ZINC001299142460 1073842485 /nfs/dbraw/zinc/84/24/85/1073842485.db2.gz SMIKQZBSLQNMPS-HOTGVXAUSA-N 0 0 447.540 -0.029 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N(C)C2CCCCC2)CCO1 ZINC001299142461 1073843008 /nfs/dbraw/zinc/84/30/08/1073843008.db2.gz SMIKQZBSLQNMPS-HZPDHXFCSA-N 0 0 447.540 -0.029 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N(C)C2CCCCC2)CCO1 ZINC001299142462 1073843271 /nfs/dbraw/zinc/84/32/71/1073843271.db2.gz SMIKQZBSLQNMPS-JKSUJKDBSA-N 0 0 447.540 -0.029 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOCCOC)CCO1 ZINC001299143328 1073842961 /nfs/dbraw/zinc/84/29/61/1073842961.db2.gz ANEIBAZQQDUTGP-CABCVRRESA-N 0 0 445.542 -0.816 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOCCOC)CCO1 ZINC001299143336 1073842971 /nfs/dbraw/zinc/84/29/71/1073842971.db2.gz ANEIBAZQQDUTGP-GJZGRUSLSA-N 0 0 445.542 -0.816 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOCCOC)CCO1 ZINC001299143338 1073843251 /nfs/dbraw/zinc/84/32/51/1073843251.db2.gz ANEIBAZQQDUTGP-HUUCEWRRSA-N 0 0 445.542 -0.816 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOCCOC)CCO1 ZINC001299143339 1073843159 /nfs/dbraw/zinc/84/31/59/1073843159.db2.gz ANEIBAZQQDUTGP-LSDHHAIUSA-N 0 0 445.542 -0.816 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CCCNS(C)(=O)=O)CCO1 ZINC001299143340 1073843239 /nfs/dbraw/zinc/84/32/39/1073843239.db2.gz ASWYTNPWXJOGNN-KBPBESRZSA-N 0 0 430.531 -0.937 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCCNS(C)(=O)=O)CCO1 ZINC001299143344 1073843056 /nfs/dbraw/zinc/84/30/56/1073843056.db2.gz ASWYTNPWXJOGNN-KGLIPLIRSA-N 0 0 430.531 -0.937 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CCCNS(C)(=O)=O)CCO1 ZINC001299143345 1073843259 /nfs/dbraw/zinc/84/32/59/1073843259.db2.gz ASWYTNPWXJOGNN-UONOGXRCSA-N 0 0 430.531 -0.937 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCCNS(C)(=O)=O)CCO1 ZINC001299143346 1073843130 /nfs/dbraw/zinc/84/31/30/1073843130.db2.gz ASWYTNPWXJOGNN-ZIAGYGMSSA-N 0 0 430.531 -0.937 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCCC2)CCO1 ZINC001299143860 1073843213 /nfs/dbraw/zinc/84/32/13/1073843213.db2.gz DCICVEXZBFRIKU-CVEARBPZSA-N 0 0 440.570 -0.383 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCCC2)CCO1 ZINC001299143861 1073843087 /nfs/dbraw/zinc/84/30/87/1073843087.db2.gz DCICVEXZBFRIKU-HOTGVXAUSA-N 0 0 440.570 -0.383 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCCC2)CCO1 ZINC001299144100 1073843024 /nfs/dbraw/zinc/84/30/24/1073843024.db2.gz DCICVEXZBFRIKU-HZPDHXFCSA-N 0 0 440.570 -0.383 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCCC2)CCO1 ZINC001299144103 1073842934 /nfs/dbraw/zinc/84/29/34/1073842934.db2.gz DCICVEXZBFRIKU-JKSUJKDBSA-N 0 0 440.570 -0.383 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@](C)(OC)C2CC2)CCO1 ZINC001299144108 1073842991 /nfs/dbraw/zinc/84/29/91/1073842991.db2.gz DDEVOVBCVVQXMK-FRIZHTMISA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@](C)(OC)C2CC2)CCO1 ZINC001299144109 1073843074 /nfs/dbraw/zinc/84/30/74/1073843074.db2.gz DDEVOVBCVVQXMK-RFUYNDQBSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@](C)(OC)C2CC2)CCO1 ZINC001299144111 1073843193 /nfs/dbraw/zinc/84/31/93/1073843193.db2.gz DDEVOVBCVVQXMK-WTANOLMUSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@](C)(OC)C2CC2)CCO1 ZINC001299144113 1073843176 /nfs/dbraw/zinc/84/31/76/1073843176.db2.gz DDEVOVBCVVQXMK-ZUEVXXBESA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccccn3)n2CCCc2cnn(C)n2)CCO1 ZINC001299144225 1073843146 /nfs/dbraw/zinc/84/31/46/1073843146.db2.gz AGRNFHQKNQHEPP-KRWDZBQOSA-N 0 0 425.497 -0.024 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccccn3)n2CCCc2cnn(C)n2)CCO1 ZINC001299144226 1073842921 /nfs/dbraw/zinc/84/29/21/1073842921.db2.gz AGRNFHQKNQHEPP-QGZVFWFLSA-N 0 0 425.497 -0.024 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3cccnc3)n2C[C@@H](C)N2CCOCC2)CCO1 ZINC001299144375 1073843889 /nfs/dbraw/zinc/84/38/89/1073843889.db2.gz BOGQHAYBHCYLHZ-AEFFLSMTSA-N 0 0 429.525 -0.064 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3cccnc3)n2C[C@H](C)N2CCOCC2)CCO1 ZINC001299144383 1073843774 /nfs/dbraw/zinc/84/37/74/1073843774.db2.gz BOGQHAYBHCYLHZ-FUHWJXTLSA-N 0 0 429.525 -0.064 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3cccnc3)n2C[C@@H](C)N2CCOCC2)CCO1 ZINC001299144385 1073843875 /nfs/dbraw/zinc/84/38/75/1073843875.db2.gz BOGQHAYBHCYLHZ-SJLPKXTDSA-N 0 0 429.525 -0.064 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3cccnc3)n2C[C@H](C)N2CCOCC2)CCO1 ZINC001299144387 1073843684 /nfs/dbraw/zinc/84/36/84/1073843684.db2.gz BOGQHAYBHCYLHZ-WMZOPIPTSA-N 0 0 429.525 -0.064 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)[C@@H](C)O)cc1 ZINC001299144667 1073843919 /nfs/dbraw/zinc/84/39/19/1073843919.db2.gz UNOSHLATVSJRQP-DZUCGIPZSA-N 0 0 446.547 -0.563 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)[C@H](C)O)cc1 ZINC001299144668 1073843723 /nfs/dbraw/zinc/84/37/23/1073843723.db2.gz UNOSHLATVSJRQP-LLLHUVSDSA-N 0 0 446.547 -0.563 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)[C@H](C)O)cc1 ZINC001299144669 1073843866 /nfs/dbraw/zinc/84/38/66/1073843866.db2.gz UNOSHLATVSJRQP-SVGFKBNWSA-N 0 0 446.547 -0.563 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)[C@@H](C)O)cc1 ZINC001299144670 1073843797 /nfs/dbraw/zinc/84/37/97/1073843797.db2.gz UNOSHLATVSJRQP-XBVQOTNRSA-N 0 0 446.547 -0.563 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001299145820 1073843746 /nfs/dbraw/zinc/84/37/46/1073843746.db2.gz JJTAWHAXNUIPBL-CABCVRRESA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001299145830 1073843756 /nfs/dbraw/zinc/84/37/56/1073843756.db2.gz JJTAWHAXNUIPBL-GJZGRUSLSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001299145833 1073843711 /nfs/dbraw/zinc/84/37/11/1073843711.db2.gz JJTAWHAXNUIPBL-HUUCEWRRSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001299145836 1073843764 /nfs/dbraw/zinc/84/37/64/1073843764.db2.gz JJTAWHAXNUIPBL-LSDHHAIUSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001299146493 1073843842 /nfs/dbraw/zinc/84/38/42/1073843842.db2.gz LUEWAEHWYDVEPW-MSOLQXFVSA-N 0 0 449.556 -0.713 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001299146495 1073843807 /nfs/dbraw/zinc/84/38/07/1073843807.db2.gz LUEWAEHWYDVEPW-QZTJIDSGSA-N 0 0 449.556 -0.713 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001299146497 1073843903 /nfs/dbraw/zinc/84/39/03/1073843903.db2.gz LUEWAEHWYDVEPW-ROUUACIJSA-N 0 0 449.556 -0.713 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001299146498 1073843927 /nfs/dbraw/zinc/84/39/27/1073843927.db2.gz LUEWAEHWYDVEPW-ZWKOTPCHSA-N 0 0 449.556 -0.713 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccccn3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001299146936 1073843669 /nfs/dbraw/zinc/84/36/69/1073843669.db2.gz JKJDNUIMWPMVAH-INIZCTEOSA-N 0 0 443.508 -0.503 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccccn3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001299146938 1073843733 /nfs/dbraw/zinc/84/37/33/1073843733.db2.gz JKJDNUIMWPMVAH-MRXNPFEDSA-N 0 0 443.508 -0.503 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccccc3OC)n2CCS(C)(=O)=O)CCO1 ZINC001299146999 1073844328 /nfs/dbraw/zinc/84/43/28/1073844328.db2.gz JSLLGYBEAJIEQJ-INIZCTEOSA-N 0 0 437.522 -0.127 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccccc3OC)n2CCS(C)(=O)=O)CCO1 ZINC001299147000 1073844583 /nfs/dbraw/zinc/84/45/83/1073844583.db2.gz JSLLGYBEAJIEQJ-MRXNPFEDSA-N 0 0 437.522 -0.127 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H](OC)C2CC2)CCO1 ZINC001299147483 1073844597 /nfs/dbraw/zinc/84/45/97/1073844597.db2.gz PKCNLNAULGVPAV-ILXRZTDVSA-N 0 0 427.527 -0.444 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H](OC)C2CC2)CCO1 ZINC001299147484 1073844426 /nfs/dbraw/zinc/84/44/26/1073844426.db2.gz PKCNLNAULGVPAV-KFWWJZLASA-N 0 0 427.527 -0.444 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H](OC)C2CC2)CCO1 ZINC001299147485 1073844623 /nfs/dbraw/zinc/84/46/23/1073844623.db2.gz PKCNLNAULGVPAV-KKUMJFAQSA-N 0 0 427.527 -0.444 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H](OC)C2CC2)CCO1 ZINC001299147486 1073844470 /nfs/dbraw/zinc/84/44/70/1073844470.db2.gz PKCNLNAULGVPAV-ZNMIVQPWSA-N 0 0 427.527 -0.444 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001299148118 1073844652 /nfs/dbraw/zinc/84/46/52/1073844652.db2.gz RUCFJWXDNUVXDE-CABCVRRESA-N 0 0 436.513 -0.595 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001299148120 1073844484 /nfs/dbraw/zinc/84/44/84/1073844484.db2.gz RUCFJWXDNUVXDE-GJZGRUSLSA-N 0 0 436.513 -0.595 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001299148123 1073844443 /nfs/dbraw/zinc/84/44/43/1073844443.db2.gz RUCFJWXDNUVXDE-HUUCEWRRSA-N 0 0 436.513 -0.595 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001299148129 1073844500 /nfs/dbraw/zinc/84/45/00/1073844500.db2.gz RUCFJWXDNUVXDE-LSDHHAIUSA-N 0 0 436.513 -0.595 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(C)CC2)CCO1 ZINC001299149321 1073844409 /nfs/dbraw/zinc/84/44/09/1073844409.db2.gz WUUCIIHSCDVELU-KBPBESRZSA-N 0 0 442.542 -0.638 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(C)CC2)CCO1 ZINC001299149333 1073844292 /nfs/dbraw/zinc/84/42/92/1073844292.db2.gz WUUCIIHSCDVELU-KGLIPLIRSA-N 0 0 442.542 -0.638 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(C)CC2)CCO1 ZINC001299149337 1073844311 /nfs/dbraw/zinc/84/43/11/1073844311.db2.gz WUUCIIHSCDVELU-UONOGXRCSA-N 0 0 442.542 -0.638 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(C)CC2)CCO1 ZINC001299149339 1073844393 /nfs/dbraw/zinc/84/43/93/1073844393.db2.gz WUUCIIHSCDVELU-ZIAGYGMSSA-N 0 0 442.542 -0.638 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001299149423 1073844609 /nfs/dbraw/zinc/84/46/09/1073844609.db2.gz XIAACGODKOTONS-CVEARBPZSA-N 0 0 441.554 -0.052 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001299149424 1073844345 /nfs/dbraw/zinc/84/43/45/1073844345.db2.gz XIAACGODKOTONS-HOTGVXAUSA-N 0 0 441.554 -0.052 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001299149425 1073845140 /nfs/dbraw/zinc/84/51/40/1073845140.db2.gz XIAACGODKOTONS-HZPDHXFCSA-N 0 0 441.554 -0.052 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001299149427 1073845019 /nfs/dbraw/zinc/84/50/19/1073845019.db2.gz XIAACGODKOTONS-JKSUJKDBSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001299149517 1073845094 /nfs/dbraw/zinc/84/50/94/1073845094.db2.gz SHMSQUUETVIFQD-KFWWJZLASA-N 0 0 445.538 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001299149518 1073845147 /nfs/dbraw/zinc/84/51/47/1073845147.db2.gz SHMSQUUETVIFQD-RBSFLKMASA-N 0 0 445.538 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001299149519 1073845166 /nfs/dbraw/zinc/84/51/66/1073845166.db2.gz SHMSQUUETVIFQD-RRFJBIMHSA-N 0 0 445.538 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001299149520 1073845155 /nfs/dbraw/zinc/84/51/55/1073845155.db2.gz SHMSQUUETVIFQD-ZNMIVQPWSA-N 0 0 445.538 -0.083 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C3CCC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001299149813 1073845055 /nfs/dbraw/zinc/84/50/55/1073845055.db2.gz YEDFAUVUUGOZRB-DZGCQCFKSA-N 0 0 426.543 -0.222 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C3CCC3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001299149815 1073845045 /nfs/dbraw/zinc/84/50/45/1073845045.db2.gz YEDFAUVUUGOZRB-HIFRSBDPSA-N 0 0 426.543 -0.222 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C3CCC3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001299149817 1073844985 /nfs/dbraw/zinc/84/49/85/1073844985.db2.gz YEDFAUVUUGOZRB-UKRRQHHQSA-N 0 0 426.543 -0.222 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C3CCC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001299149819 1073845084 /nfs/dbraw/zinc/84/50/84/1073845084.db2.gz YEDFAUVUUGOZRB-ZFWWWQNUSA-N 0 0 426.543 -0.222 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@H]2CC(=O)N(CCOC)C2)CCO1 ZINC001299149999 1073845162 /nfs/dbraw/zinc/84/51/62/1073845162.db2.gz YYHNNDRNXBAIAE-JYJNAYRXSA-N 0 0 436.513 -0.772 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CC(=O)N(CCOC)C2)CCO1 ZINC001299150002 1073845070 /nfs/dbraw/zinc/84/50/70/1073845070.db2.gz YYHNNDRNXBAIAE-OAGGEKHMSA-N 0 0 436.513 -0.772 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CC(=O)N(CCOC)C2)CCO1 ZINC001299150004 1073844970 /nfs/dbraw/zinc/84/49/70/1073844970.db2.gz YYHNNDRNXBAIAE-PMPSAXMXSA-N 0 0 436.513 -0.772 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CC(=O)N(CCOC)C2)CCO1 ZINC001299150005 1073845028 /nfs/dbraw/zinc/84/50/28/1073845028.db2.gz YYHNNDRNXBAIAE-XHSDSOJGSA-N 0 0 436.513 -0.772 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC[S@@](=O)C(C)(C)C)CCO1 ZINC001299150092 1073845039 /nfs/dbraw/zinc/84/50/39/1073845039.db2.gz ZOMCYEFHEYDSST-FHLSCMPYSA-N 0 0 426.543 -0.272 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC[S@](=O)C(C)(C)C)CCO1 ZINC001299150093 1073845009 /nfs/dbraw/zinc/84/50/09/1073845009.db2.gz ZOMCYEFHEYDSST-NEGUGWEKSA-N 0 0 426.543 -0.272 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC[S@@](=O)C(C)(C)C)CCO1 ZINC001299150094 1073845134 /nfs/dbraw/zinc/84/51/34/1073845134.db2.gz ZOMCYEFHEYDSST-OPDLUZMDSA-N 0 0 426.543 -0.272 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC[S@](=O)C(C)(C)C)CCO1 ZINC001299150095 1073844997 /nfs/dbraw/zinc/84/49/97/1073844997.db2.gz ZOMCYEFHEYDSST-VOQKPRERSA-N 0 0 426.543 -0.272 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3csc(C)n3)n2CCS(C)(=O)=O)CCO1 ZINC001299151129 1073845125 /nfs/dbraw/zinc/84/51/25/1073845125.db2.gz GJRGIPNLXYDAIS-CYBMUJFWSA-N 0 0 428.540 -0.370 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3csc(C)n3)n2CCS(C)(=O)=O)CCO1 ZINC001299151130 1073845113 /nfs/dbraw/zinc/84/51/13/1073845113.db2.gz GJRGIPNLXYDAIS-ZDUSSCGKSA-N 0 0 428.540 -0.370 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccncc3)n2CCCN2CCOCC2)CCO1 ZINC001299153867 1070814314 /nfs/dbraw/zinc/81/43/14/1070814314.db2.gz XLXWZCPYHQPTAA-GOSISDBHSA-N 0 0 429.525 -0.063 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccncc3)n2CCCN2CCOCC2)CCO1 ZINC001299153873 1070814158 /nfs/dbraw/zinc/81/41/58/1070814158.db2.gz XLXWZCPYHQPTAA-SFHVURJKSA-N 0 0 429.525 -0.063 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccco2)CCO1 ZINC001299154666 1070848525 /nfs/dbraw/zinc/84/85/25/1070848525.db2.gz HWJZCRDEJMLHOH-HNNXBMFYSA-N 0 0 445.480 -0.625 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccco2)CCO1 ZINC001299154667 1070848430 /nfs/dbraw/zinc/84/84/30/1070848430.db2.gz HWJZCRDEJMLHOH-OAHLLOKOSA-N 0 0 445.480 -0.625 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCNC(=O)C(C)C)CCO1 ZINC001299155384 1070919369 /nfs/dbraw/zinc/91/93/69/1070919369.db2.gz PYFZIMMONVVOCS-HNNXBMFYSA-N 0 0 435.529 -0.486 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCNC(=O)C(C)C)CCO1 ZINC001299155385 1070919260 /nfs/dbraw/zinc/91/92/60/1070919260.db2.gz PYFZIMMONVVOCS-OAHLLOKOSA-N 0 0 435.529 -0.486 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@H](C)N2CCOCC2)CCO1 ZINC001299155430 1070921854 /nfs/dbraw/zinc/92/18/54/1070921854.db2.gz RCGHWPFBYDQDTN-MSOLQXFVSA-N 0 0 446.556 -0.188 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@H](C)N2CCOCC2)CCO1 ZINC001299155431 1070921740 /nfs/dbraw/zinc/92/17/40/1070921740.db2.gz RCGHWPFBYDQDTN-QZTJIDSGSA-N 0 0 446.556 -0.188 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@H](C)N2CCOCC2)CCO1 ZINC001299155432 1070922600 /nfs/dbraw/zinc/92/26/00/1070922600.db2.gz RCGHWPFBYDQDTN-ROUUACIJSA-N 0 0 446.556 -0.188 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@H](C)N2CCOCC2)CCO1 ZINC001299155433 1070922810 /nfs/dbraw/zinc/92/28/10/1070922810.db2.gz RCGHWPFBYDQDTN-ZWKOTPCHSA-N 0 0 446.556 -0.188 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC[S@@](=O)C(C)(C)C)CCO1 ZINC001299155770 1070937446 /nfs/dbraw/zinc/93/74/46/1070937446.db2.gz SVDNQKGMCOZCPS-ILHIWHGASA-N 0 0 440.570 -0.101 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC[S@](=O)C(C)(C)C)CCO1 ZINC001299155771 1070937618 /nfs/dbraw/zinc/93/76/18/1070937618.db2.gz SVDNQKGMCOZCPS-KJEZJMDVSA-N 0 0 440.570 -0.101 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC[S@@](=O)C(C)(C)C)CCO1 ZINC001299155772 1070937349 /nfs/dbraw/zinc/93/73/49/1070937349.db2.gz SVDNQKGMCOZCPS-NGFNCXNUSA-N 0 0 440.570 -0.101 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC[S@](=O)C(C)(C)C)CCO1 ZINC001299155774 1070937528 /nfs/dbraw/zinc/93/75/28/1070937528.db2.gz SVDNQKGMCOZCPS-WYIRRWHOSA-N 0 0 440.570 -0.101 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CCNC(=O)C2CC2)CCO1 ZINC001299157254 1070992809 /nfs/dbraw/zinc/99/28/09/1070992809.db2.gz HTFTZPGAMKNLIP-JYJNAYRXSA-N 0 0 436.513 -0.521 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CCNC(=O)C2CC2)CCO1 ZINC001299157258 1070993546 /nfs/dbraw/zinc/99/35/46/1070993546.db2.gz HTFTZPGAMKNLIP-XHSDSOJGSA-N 0 0 436.513 -0.521 20 0 IBADRN CNC(=O)CC1CCN(c2nnc(C(=O)N3CCCC3)n2CCCn2cnnn2)CC1 ZINC001299157422 1071002443 /nfs/dbraw/zinc/00/24/43/1071002443.db2.gz HUEIBKVCQKEWMG-UHFFFAOYSA-N 0 0 430.517 -0.057 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@]2(O)CCSC2)CCO1 ZINC001299157658 1071008921 /nfs/dbraw/zinc/00/89/21/1071008921.db2.gz INLYGPFTWISEQD-QCUYGVNKSA-N 0 0 441.554 -0.179 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@]2(O)CCSC2)CCO1 ZINC001299157667 1071008829 /nfs/dbraw/zinc/00/88/29/1071008829.db2.gz INLYGPFTWISEQD-XLPNERPQSA-N 0 0 441.554 -0.179 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@]2(O)CCSC2)CCO1 ZINC001299157670 1071008554 /nfs/dbraw/zinc/00/85/54/1071008554.db2.gz INLYGPFTWISEQD-XMDUPGNXSA-N 0 0 441.554 -0.179 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@]2(O)CCSC2)CCO1 ZINC001299157673 1071008846 /nfs/dbraw/zinc/00/88/46/1071008846.db2.gz INLYGPFTWISEQD-YGTYGHESSA-N 0 0 441.554 -0.179 20 0 IBADRN CNC(=O)CC1CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)CC1 ZINC001299157851 1071014341 /nfs/dbraw/zinc/01/43/41/1071014341.db2.gz JEELUELXDCKOBC-UHFFFAOYSA-N 0 0 443.574 -0.340 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC[C@@H]2COCCO2)CCO1 ZINC001299158744 1071030907 /nfs/dbraw/zinc/03/09/07/1071030907.db2.gz NUVGBGHISBIISZ-HZMVEIRTSA-N 0 0 439.513 -0.241 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC[C@H]2COCCO2)CCO1 ZINC001299158746 1071030990 /nfs/dbraw/zinc/03/09/90/1071030990.db2.gz NUVGBGHISBIISZ-NXOAAHMSSA-N 0 0 439.513 -0.241 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC[C@H]2COCCO2)CCO1 ZINC001299158747 1071030943 /nfs/dbraw/zinc/03/09/43/1071030943.db2.gz NUVGBGHISBIISZ-QAETUUGQSA-N 0 0 439.513 -0.241 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC[C@@H]2COCCO2)CCO1 ZINC001299158748 1071030985 /nfs/dbraw/zinc/03/09/85/1071030985.db2.gz NUVGBGHISBIISZ-YVSFHVDLSA-N 0 0 439.513 -0.241 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@H]2COCCO2)CCO1 ZINC001299159261 1071033897 /nfs/dbraw/zinc/03/38/97/1071033897.db2.gz QTMFNUIBZAUTLA-FZKCQIBNSA-N 0 0 425.486 -0.631 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@H]2COCCO2)CCO1 ZINC001299159262 1071033922 /nfs/dbraw/zinc/03/39/22/1071033922.db2.gz QTMFNUIBZAUTLA-JJXSEGSLSA-N 0 0 425.486 -0.631 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@H]2COCCO2)CCO1 ZINC001299159263 1071033849 /nfs/dbraw/zinc/03/38/49/1071033849.db2.gz QTMFNUIBZAUTLA-JONQDZQNSA-N 0 0 425.486 -0.631 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@H]2COCCO2)CCO1 ZINC001299159264 1071033796 /nfs/dbraw/zinc/03/37/96/1071033796.db2.gz QTMFNUIBZAUTLA-VGWMRTNUSA-N 0 0 425.486 -0.631 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCO3)n2CC2CCS(=O)(=O)CC2)CCO1 ZINC001299159453 1071034405 /nfs/dbraw/zinc/03/44/05/1071034405.db2.gz SWUIMKOWIKZGNR-CABCVRRESA-N 0 0 427.527 -0.094 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCO3)n2CC2CCS(=O)(=O)CC2)CCO1 ZINC001299159454 1071034251 /nfs/dbraw/zinc/03/42/51/1071034251.db2.gz SWUIMKOWIKZGNR-HUUCEWRRSA-N 0 0 427.527 -0.094 20 0 IBADRN CCC[C@H](O)[C@@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001299168157 1071454645 /nfs/dbraw/zinc/45/46/45/1071454645.db2.gz WCPKGUJOJLGLQJ-MSOLQXFVSA-N 0 0 427.523 -0.209 20 0 IBADRN CCC[C@@H](O)[C@@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001299168162 1071454310 /nfs/dbraw/zinc/45/43/10/1071454310.db2.gz WCPKGUJOJLGLQJ-QZTJIDSGSA-N 0 0 427.523 -0.209 20 0 IBADRN CCC[C@H](O)[C@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001299168166 1071454672 /nfs/dbraw/zinc/45/46/72/1071454672.db2.gz WCPKGUJOJLGLQJ-ROUUACIJSA-N 0 0 427.523 -0.209 20 0 IBADRN CCC[C@@H](O)[C@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001299168172 1071454410 /nfs/dbraw/zinc/45/44/10/1071454410.db2.gz WCPKGUJOJLGLQJ-ZWKOTPCHSA-N 0 0 427.523 -0.209 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)CC1 ZINC001299168204 1071461927 /nfs/dbraw/zinc/46/19/27/1071461927.db2.gz OQLGEGKIZFBUPN-GFCCVEGCSA-N 0 0 427.456 -0.037 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)CC1 ZINC001299168210 1071461946 /nfs/dbraw/zinc/46/19/46/1071461946.db2.gz OQLGEGKIZFBUPN-LBPRGKRZSA-N 0 0 427.456 -0.037 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2C[C@H](O)[C@H](CO)C2)c1 ZINC001299170251 1071481428 /nfs/dbraw/zinc/48/14/28/1071481428.db2.gz NJBLUTCEHKHELL-HZMBPMFUSA-N 0 0 437.312 -0.201 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2C[C@@H](O)[C@H](CO)C2)c1 ZINC001299170267 1071515860 /nfs/dbraw/zinc/51/58/60/1071515860.db2.gz NJBLUTCEHKHELL-IINYFYTJSA-N 0 0 437.312 -0.201 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2C[C@H](CO)[C@H](O)C2)c1 ZINC001299170271 1071521749 /nfs/dbraw/zinc/52/17/49/1071521749.db2.gz NJBLUTCEHKHELL-QMTHXVAHSA-N 0 0 437.312 -0.201 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2C[C@H](O)[C@@H](CO)C2)c1 ZINC001299170274 1071527284 /nfs/dbraw/zinc/52/72/84/1071527284.db2.gz NJBLUTCEHKHELL-YGRLFVJLSA-N 0 0 437.312 -0.201 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2nncn2C)CC1 ZINC001299173439 1072292535 /nfs/dbraw/zinc/29/25/35/1072292535.db2.gz KMJGDPLOKZNJJD-AWEZNQCLSA-N 0 0 436.542 -0.291 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2nncn2C)CC1 ZINC001299173443 1072292570 /nfs/dbraw/zinc/29/25/70/1072292570.db2.gz KMJGDPLOKZNJJD-CQSZACIVSA-N 0 0 436.542 -0.291 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299174578 1072295246 /nfs/dbraw/zinc/29/52/46/1072295246.db2.gz NVHXEOBNVBSXHA-BEFAXECRSA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299174581 1072295066 /nfs/dbraw/zinc/29/50/66/1072295066.db2.gz NVHXEOBNVBSXHA-DNVCBOLYSA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299174585 1072295214 /nfs/dbraw/zinc/29/52/14/1072295214.db2.gz NVHXEOBNVBSXHA-HNAYVOBHSA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299174592 1072295261 /nfs/dbraw/zinc/29/52/61/1072295261.db2.gz NVHXEOBNVBSXHA-KXBFYZLASA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(C(=O)NC(C)C)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001299176056 1072301849 /nfs/dbraw/zinc/30/18/49/1072301849.db2.gz DKUDRPIJMIBTRZ-CQSZACIVSA-N 0 0 443.574 -0.197 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(C(=O)NC(C)C)n1CCN1CCCS1(=O)=O ZINC001299176797 1072312205 /nfs/dbraw/zinc/31/22/05/1072312205.db2.gz KJPNRQYZZPPBIZ-UHFFFAOYSA-N 0 0 429.547 -0.586 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2cccc(Br)c2)CC1=O ZINC001299185553 1072871730 /nfs/dbraw/zinc/87/17/30/1072871730.db2.gz ATSLIHQMPPYKKQ-UHFFFAOYSA-N 0 0 447.311 -0.726 20 0 IBADRN NC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cc(F)ccc3F)CC2)CC1=O ZINC001299185823 1072872728 /nfs/dbraw/zinc/87/27/28/1072872728.db2.gz IMYAKJMXGXVQHH-UHFFFAOYSA-N 0 0 444.460 -0.478 20 0 IBADRN NC(=O)CN1CCN(C(=O)CN2C(=O)COc3cc(Br)ccc32)CC1=O ZINC001299185857 1072872654 /nfs/dbraw/zinc/87/26/54/1072872654.db2.gz IXAOGOWLOAJQSJ-UHFFFAOYSA-N 0 0 425.239 -0.669 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN(CC(N)=O)C(=O)C2)c1 ZINC001299186220 1072873262 /nfs/dbraw/zinc/87/32/62/1072873262.db2.gz NYNLPCZDHHEBJB-UHFFFAOYSA-N 0 0 432.886 -0.966 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(-c2cnccn2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001299190869 1072874879 /nfs/dbraw/zinc/87/48/79/1072874879.db2.gz ZYNMVJVOMMYVHH-AWEZNQCLSA-N 0 0 436.542 -0.021 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(-c2cnccn2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001299190870 1072875146 /nfs/dbraw/zinc/87/51/46/1072875146.db2.gz ZYNMVJVOMMYVHH-CQSZACIVSA-N 0 0 436.542 -0.021 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H](C)N1CCOCC1 ZINC001299192433 1072876161 /nfs/dbraw/zinc/87/61/61/1072876161.db2.gz LPLRTASLQGICRH-CVEARBPZSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H](C)N1CCOCC1 ZINC001299192434 1072875974 /nfs/dbraw/zinc/87/59/74/1072875974.db2.gz LPLRTASLQGICRH-HOTGVXAUSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H](C)N1CCOCC1 ZINC001299192435 1072876143 /nfs/dbraw/zinc/87/61/43/1072876143.db2.gz LPLRTASLQGICRH-HZPDHXFCSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H](C)N1CCOCC1 ZINC001299192436 1072876032 /nfs/dbraw/zinc/87/60/32/1072876032.db2.gz LPLRTASLQGICRH-JKSUJKDBSA-N 0 0 442.586 -0.137 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)c2nc(S(C)(=O)=O)n3ccccc23)CC1 ZINC001299197605 1072887023 /nfs/dbraw/zinc/88/70/23/1072887023.db2.gz AXIBSBBZDJAMQM-UHFFFAOYSA-N 0 0 429.524 -0.262 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)c2ccc(CCNS(C)(=O)=O)cc2)CC1 ZINC001299197678 1072886986 /nfs/dbraw/zinc/88/69/86/1072886986.db2.gz FCNCPLNGLAUDCJ-UHFFFAOYSA-N 0 0 432.568 -0.221 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cc1C ZINC001299197814 1072887117 /nfs/dbraw/zinc/88/71/17/1072887117.db2.gz HXAYISBQANILSX-UHFFFAOYSA-N 0 0 432.568 -0.031 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cc1 ZINC001299198210 1072887125 /nfs/dbraw/zinc/88/71/25/1072887125.db2.gz MLWLOJZWRDDBQH-UHFFFAOYSA-N 0 0 448.567 -0.388 20 0 IBADRN CNC(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)NC(C)C ZINC001299199187 1072887820 /nfs/dbraw/zinc/88/78/20/1072887820.db2.gz HZXARYKWWLDBKX-UHFFFAOYSA-N 0 0 429.547 -0.586 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)c1=O ZINC001299199444 1072887467 /nfs/dbraw/zinc/88/74/67/1072887467.db2.gz UMEPZYINWKXJPF-UHFFFAOYSA-N 0 0 437.522 -0.430 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC001299199810 1072887896 /nfs/dbraw/zinc/88/78/96/1072887896.db2.gz XBFOKYGLIBZFNV-KRWDZBQOSA-N 0 0 445.586 -0.913 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC001299199811 1072887618 /nfs/dbraw/zinc/88/76/18/1072887618.db2.gz XBFOKYGLIBZFNV-QGZVFWFLSA-N 0 0 445.586 -0.913 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001299199825 1072887640 /nfs/dbraw/zinc/88/76/40/1072887640.db2.gz XSISVRNYUWVCNC-UHFFFAOYSA-N 0 0 447.558 -0.547 20 0 IBADRN CNC(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1CC1(CS(C)(=O)=O)CC1 ZINC001299200424 1072888327 /nfs/dbraw/zinc/88/83/27/1072888327.db2.gz SUCCZELTJPUAQQ-UHFFFAOYSA-N 0 0 426.543 -0.089 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)C[C@H]2CS(=O)(=O)c3ccccc3O2)CC1 ZINC001299202984 1073047469 /nfs/dbraw/zinc/04/74/69/1073047469.db2.gz BWMXNJNJUKAKDK-AWEZNQCLSA-N 0 0 431.536 -0.002 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)C[C@@H]2CS(=O)(=O)c3ccccc3O2)CC1 ZINC001299202985 1073046876 /nfs/dbraw/zinc/04/68/76/1073046876.db2.gz BWMXNJNJUKAKDK-CQSZACIVSA-N 0 0 431.536 -0.002 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)[C@H](CO)NC(=O)OCc2ccccc2)CC1 ZINC001299203427 1073048930 /nfs/dbraw/zinc/04/89/30/1073048930.db2.gz KZCBRCOGCQIICX-INIZCTEOSA-N 0 0 428.511 -0.339 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)[C@@H](CO)NC(=O)OCc2ccccc2)CC1 ZINC001299203428 1073048676 /nfs/dbraw/zinc/04/86/76/1073048676.db2.gz KZCBRCOGCQIICX-MRXNPFEDSA-N 0 0 428.511 -0.339 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)[C@H]2OCC(=O)N(C)[C@@H]2c2cccnc2)CC1 ZINC001299203657 1073049744 /nfs/dbraw/zinc/04/97/44/1073049744.db2.gz PWNLAPNBMUJSEF-SJORKVTESA-N 0 0 425.511 -0.633 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC(=O)NCC(F)(F)F ZINC001299204234 1073086235 /nfs/dbraw/zinc/08/62/35/1073086235.db2.gz LYROTRYIVRSCIQ-SECBINFHSA-N 0 0 443.452 -0.861 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC(=O)NCC(F)(F)F ZINC001299204235 1073086892 /nfs/dbraw/zinc/08/68/92/1073086892.db2.gz LYROTRYIVRSCIQ-VIFPVBQESA-N 0 0 443.452 -0.861 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1CC[C@@]2(CCOC2)O1 ZINC001299204450 1073127876 /nfs/dbraw/zinc/12/78/76/1073127876.db2.gz OOWRFSUZULHBPQ-DEYYWGMASA-N 0 0 444.558 -0.201 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H]1CC[C@@]2(CCOC2)O1 ZINC001299204451 1073127827 /nfs/dbraw/zinc/12/78/27/1073127827.db2.gz OOWRFSUZULHBPQ-IYOUNJFTSA-N 0 0 444.558 -0.201 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H]1CC[C@]2(CCOC2)O1 ZINC001299204452 1073127831 /nfs/dbraw/zinc/12/78/31/1073127831.db2.gz OOWRFSUZULHBPQ-PMUMKWKESA-N 0 0 444.558 -0.201 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1CC[C@]2(CCOC2)O1 ZINC001299204453 1073127722 /nfs/dbraw/zinc/12/77/22/1073127722.db2.gz OOWRFSUZULHBPQ-SUNYJGFJSA-N 0 0 444.558 -0.201 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)cn1 ZINC001299205975 1073164591 /nfs/dbraw/zinc/16/45/91/1073164591.db2.gz KQDRAZJUUKVYFW-AWEZNQCLSA-N 0 0 433.556 -0.326 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)cn1 ZINC001299205977 1073164397 /nfs/dbraw/zinc/16/43/97/1073164397.db2.gz KQDRAZJUUKVYFW-CQSZACIVSA-N 0 0 433.556 -0.326 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)c1ccnc(N2CCOCC2)n1)C1CCCC1 ZINC001299207670 1073526939 /nfs/dbraw/zinc/52/69/39/1073526939.db2.gz XUTKCVASZFUTGC-INIZCTEOSA-N 0 0 426.543 -0.002 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)c1ccnc(N2CCOCC2)n1)C1CCCC1 ZINC001299207673 1073526924 /nfs/dbraw/zinc/52/69/24/1073526924.db2.gz XUTKCVASZFUTGC-MRXNPFEDSA-N 0 0 426.543 -0.002 20 0 IBADRN CNC(=O)CCN(C)c1nnc(-c2noc3c2COCC3)n1CC(=O)N1CCOCC1 ZINC001299213478 1072256972 /nfs/dbraw/zinc/25/69/72/1072256972.db2.gz DRYMTVXCGVGUSX-UHFFFAOYSA-N 0 0 433.469 -0.563 20 0 IBADRN CNC(=O)CCN(C)c1nnc(-c2nn(C)c(=O)c3ccccc32)n1C[C@@]1(O)CCOC1 ZINC001299215375 1072296320 /nfs/dbraw/zinc/29/63/20/1072296320.db2.gz SDHBWXVAQSRVDR-NRFANRHFSA-N 0 0 441.492 -0.084 20 0 IBADRN CNC(=O)CCN(C)c1nnc(-c2nn(C)c(=O)c3ccccc32)n1C[C@]1(O)CCOC1 ZINC001299215379 1072296459 /nfs/dbraw/zinc/29/64/59/1072296459.db2.gz SDHBWXVAQSRVDR-OAQYLSRUSA-N 0 0 441.492 -0.084 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCC1(O)CCC1 ZINC001299218468 1072665628 /nfs/dbraw/zinc/66/56/28/1072665628.db2.gz ANAJNWGXTHZFKV-AWEZNQCLSA-N 0 0 444.558 -0.512 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCC1(O)CCC1 ZINC001299218469 1072666270 /nfs/dbraw/zinc/66/62/70/1072666270.db2.gz ANAJNWGXTHZFKV-CQSZACIVSA-N 0 0 444.558 -0.512 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001299219736 1072697227 /nfs/dbraw/zinc/69/72/27/1072697227.db2.gz HYTWSYZFIKNNGK-CYBMUJFWSA-N 0 0 426.543 -0.189 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001299219741 1072697198 /nfs/dbraw/zinc/69/71/98/1072697198.db2.gz HYTWSYZFIKNNGK-ZDUSSCGKSA-N 0 0 426.543 -0.189 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccco1 ZINC001299220337 1072705259 /nfs/dbraw/zinc/70/52/59/1072705259.db2.gz LZFPFGWRKMGWSX-AWEZNQCLSA-N 0 0 426.499 -0.175 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccco1 ZINC001299220346 1072705308 /nfs/dbraw/zinc/70/53/08/1072705308.db2.gz LZFPFGWRKMGWSX-CQSZACIVSA-N 0 0 426.499 -0.175 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOC1=O ZINC001299221100 1072711317 /nfs/dbraw/zinc/71/13/17/1072711317.db2.gz OIVFIBMNXCXYPV-CYBMUJFWSA-N 0 0 428.515 -0.405 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOC1=O ZINC001299221101 1072711365 /nfs/dbraw/zinc/71/13/65/1072711365.db2.gz OIVFIBMNXCXYPV-ZDUSSCGKSA-N 0 0 428.515 -0.405 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCC(=O)N1CCOCC1 ZINC001299221133 1072712869 /nfs/dbraw/zinc/71/28/69/1072712869.db2.gz PLTOAPZMGNXUJX-AWEZNQCLSA-N 0 0 442.542 -0.999 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCC(=O)N1CCOCC1 ZINC001299221134 1072712945 /nfs/dbraw/zinc/71/29/45/1072712945.db2.gz PLTOAPZMGNXUJX-CQSZACIVSA-N 0 0 442.542 -0.999 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H](OC)C1CC1 ZINC001299221808 1072721831 /nfs/dbraw/zinc/72/18/31/1072721831.db2.gz VJUOBBHBFVCTCP-CABCVRRESA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H](OC)C1CC1 ZINC001299221818 1072721885 /nfs/dbraw/zinc/72/18/85/1072721885.db2.gz VJUOBBHBFVCTCP-GJZGRUSLSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H](OC)C1CC1 ZINC001299221821 1072721724 /nfs/dbraw/zinc/72/17/24/1072721724.db2.gz VJUOBBHBFVCTCP-HUUCEWRRSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H](OC)C1CC1 ZINC001299221824 1072721767 /nfs/dbraw/zinc/72/17/67/1072721767.db2.gz VJUOBBHBFVCTCP-LSDHHAIUSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCOCC1(C)C ZINC001299221828 1072721819 /nfs/dbraw/zinc/72/18/19/1072721819.db2.gz VKBXGVRFWDHQFZ-HNNXBMFYSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCOCC1(C)C ZINC001299221831 1072721890 /nfs/dbraw/zinc/72/18/90/1072721890.db2.gz VKBXGVRFWDHQFZ-OAHLLOKOSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1Cc1ccc(-n2cncn2)nc1 ZINC001299223899 1072753388 /nfs/dbraw/zinc/75/33/88/1072753388.db2.gz GSMFCRLYOURAJK-UHFFFAOYSA-N 0 0 438.496 -0.003 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1CC1(CS(C)(=O)=O)CC1 ZINC001299224025 1072754010 /nfs/dbraw/zinc/75/40/10/1072754010.db2.gz HTJPUODAEHJXDW-UHFFFAOYSA-N 0 0 426.543 -0.202 20 0 IBADRN CNC(=O)CCN(C)c1nnc(Cn2nc(C)cc2C)n1CCN1CCN(C(C)=O)CC1 ZINC001299224312 1072776652 /nfs/dbraw/zinc/77/66/52/1072776652.db2.gz LNXDMRQJVYMYTR-UHFFFAOYSA-N 0 0 445.572 -0.124 20 0 IBADRN CNC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001299226703 1073018242 /nfs/dbraw/zinc/01/82/42/1073018242.db2.gz KENCFHAZMWYGNG-UHFFFAOYSA-N 0 0 429.547 -0.587 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001299231581 1073054324 /nfs/dbraw/zinc/05/43/24/1073054324.db2.gz HLBWXLJYERELEE-BDJLRTHQSA-N 0 0 429.503 -0.945 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001299231583 1073054148 /nfs/dbraw/zinc/05/41/48/1073054148.db2.gz HLBWXLJYERELEE-BZNIZROVSA-N 0 0 429.503 -0.945 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001299231584 1073054269 /nfs/dbraw/zinc/05/42/69/1073054269.db2.gz HLBWXLJYERELEE-MEDUHNTESA-N 0 0 429.503 -0.945 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001299231585 1073054122 /nfs/dbraw/zinc/05/41/22/1073054122.db2.gz HLBWXLJYERELEE-ZBEGNZNMSA-N 0 0 429.503 -0.945 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001299232227 1073054158 /nfs/dbraw/zinc/05/41/58/1073054158.db2.gz JTSJNMVVSXIEOY-BFHYXJOUSA-N 0 0 430.531 -0.591 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001299232228 1073054191 /nfs/dbraw/zinc/05/41/91/1073054191.db2.gz JTSJNMVVSXIEOY-IHRRRGAJSA-N 0 0 430.531 -0.591 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001299232229 1073054134 /nfs/dbraw/zinc/05/41/34/1073054134.db2.gz JTSJNMVVSXIEOY-MELADBBJSA-N 0 0 430.531 -0.591 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H]([C@H]2CCCO2)C1 ZINC001299232230 1073054276 /nfs/dbraw/zinc/05/42/76/1073054276.db2.gz JTSJNMVVSXIEOY-MJBXVCDLSA-N 0 0 430.531 -0.591 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)OC)[C@@H](C)C1 ZINC001299232287 1073054287 /nfs/dbraw/zinc/05/42/87/1073054287.db2.gz KNHNZXKTCDOONK-NWDGAFQWSA-N 0 0 431.519 -0.699 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)OC)[C@@H](C)C1 ZINC001299232289 1073054296 /nfs/dbraw/zinc/05/42/96/1073054296.db2.gz KNHNZXKTCDOONK-RYUDHWBXSA-N 0 0 431.519 -0.699 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001299232468 1073054341 /nfs/dbraw/zinc/05/43/41/1073054341.db2.gz LZEOBVDCFAABCG-CYBMUJFWSA-N 0 0 429.547 -0.671 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001299232469 1073054108 /nfs/dbraw/zinc/05/41/08/1073054108.db2.gz LZEOBVDCFAABCG-ZDUSSCGKSA-N 0 0 429.547 -0.671 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(CC(=O)NC)CC1 ZINC001299232714 1073054182 /nfs/dbraw/zinc/05/41/82/1073054182.db2.gz OCYWCMFBIREKLK-GFCCVEGCSA-N 0 0 429.547 -0.623 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(CC(=O)NC)CC1 ZINC001299232715 1073054316 /nfs/dbraw/zinc/05/43/16/1073054316.db2.gz OCYWCMFBIREKLK-LBPRGKRZSA-N 0 0 429.547 -0.623 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(c2cccnn2)CC1 ZINC001299232862 1073054650 /nfs/dbraw/zinc/05/46/50/1073054650.db2.gz PODLHNLMVCKHMA-CYBMUJFWSA-N 0 0 437.530 -0.859 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(c2cccnn2)CC1 ZINC001299232867 1073054627 /nfs/dbraw/zinc/05/46/27/1073054627.db2.gz PODLHNLMVCKHMA-ZDUSSCGKSA-N 0 0 437.530 -0.859 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001299233292 1073054747 /nfs/dbraw/zinc/05/47/47/1073054747.db2.gz IVGXJCOVTJPQLY-CVEARBPZSA-N 0 0 438.529 -0.034 20 0 IBADRN CNC(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001299233293 1073054644 /nfs/dbraw/zinc/05/46/44/1073054644.db2.gz IVGXJCOVTJPQLY-HOTGVXAUSA-N 0 0 438.529 -0.034 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001299233294 1073054636 /nfs/dbraw/zinc/05/46/36/1073054636.db2.gz IVGXJCOVTJPQLY-HZPDHXFCSA-N 0 0 438.529 -0.034 20 0 IBADRN CNC(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001299233295 1073054549 /nfs/dbraw/zinc/05/45/49/1073054549.db2.gz IVGXJCOVTJPQLY-JKSUJKDBSA-N 0 0 438.529 -0.034 20 0 IBADRN CNC(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001299233519 1073054559 /nfs/dbraw/zinc/05/45/59/1073054559.db2.gz NQVAEVWTNQYITM-KBPBESRZSA-N 0 0 432.547 -0.392 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001299233520 1073054709 /nfs/dbraw/zinc/05/47/09/1073054709.db2.gz NQVAEVWTNQYITM-UONOGXRCSA-N 0 0 432.547 -0.392 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC001299233699 1073054568 /nfs/dbraw/zinc/05/45/68/1073054568.db2.gz VROILKBYVWVNCP-QLFBSQMISA-N 0 0 445.590 -0.430 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC001299233707 1073054660 /nfs/dbraw/zinc/05/46/60/1073054660.db2.gz VROILKBYVWVNCP-ZNMIVQPWSA-N 0 0 445.590 -0.430 20 0 IBADRN CNC(=O)CCn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCS(=O)(=O)CC1 ZINC001299235285 1073054681 /nfs/dbraw/zinc/05/46/81/1073054681.db2.gz IPKGDPJFOLTKMJ-UHFFFAOYSA-N 0 0 441.535 -0.281 20 0 IBADRN CNC(=O)CCn1c(-c2ccc(Cl)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001299236496 1073055020 /nfs/dbraw/zinc/05/50/20/1073055020.db2.gz MTCXPBBLJYOAEM-AWEZNQCLSA-N 0 0 431.884 -0.118 20 0 IBADRN CNC(=O)CCn1c(-c2ccc(Cl)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001299236497 1073055158 /nfs/dbraw/zinc/05/51/58/1073055158.db2.gz MTCXPBBLJYOAEM-CQSZACIVSA-N 0 0 431.884 -0.118 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NCCOC)c(C(=O)N2CC(S(N)(=O)=O)C2)c1 ZINC001299240219 1073060607 /nfs/dbraw/zinc/06/06/07/1073060607.db2.gz WVDFTMLSJSOWAG-UHFFFAOYSA-N 0 0 448.567 -0.112 20 0 IBADRN CNC(=O)CCn1c(-c2ccccc2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC001299241705 1073062005 /nfs/dbraw/zinc/06/20/05/1073062005.db2.gz VEGDLIBRPWNKLF-UHFFFAOYSA-N 0 0 429.525 -0.034 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC001299242314 1073062431 /nfs/dbraw/zinc/06/24/31/1073062431.db2.gz BHWJJDKXCNDFSE-GHMZBOCLSA-N 0 0 431.419 -0.130 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC001299242315 1073062385 /nfs/dbraw/zinc/06/23/85/1073062385.db2.gz BHWJJDKXCNDFSE-MNOVXSKESA-N 0 0 431.419 -0.130 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC001299242316 1073062453 /nfs/dbraw/zinc/06/24/53/1073062453.db2.gz BHWJJDKXCNDFSE-QWRGUYRKSA-N 0 0 431.419 -0.130 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC001299242317 1073062397 /nfs/dbraw/zinc/06/23/97/1073062397.db2.gz BHWJJDKXCNDFSE-WDEREUQCSA-N 0 0 431.419 -0.130 20 0 IBADRN CNC(=O)CCn1c(-c2csc(N3CCOCC3)n2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001299242330 1073062423 /nfs/dbraw/zinc/06/24/23/1073062423.db2.gz BRGSCCWNCIMCJI-GFCCVEGCSA-N 0 0 434.526 -0.314 20 0 IBADRN CNC(=O)CCn1c(-c2csc(N3CCOCC3)n2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001299242331 1073062498 /nfs/dbraw/zinc/06/24/98/1073062498.db2.gz BRGSCCWNCIMCJI-LBPRGKRZSA-N 0 0 434.526 -0.314 20 0 IBADRN CNC(=O)CCn1c(-c2cnccn2)nnc1N(CCCOC)[C@H]1CCS(=O)(=O)C1 ZINC001299242442 1073062481 /nfs/dbraw/zinc/06/24/81/1073062481.db2.gz JANWVXOOWWUYTK-AWEZNQCLSA-N 0 0 437.526 -0.099 20 0 IBADRN CNC(=O)CCn1c(-c2cnccn2)nnc1N(CCCOC)[C@@H]1CCS(=O)(=O)C1 ZINC001299242443 1073062409 /nfs/dbraw/zinc/06/24/09/1073062409.db2.gz JANWVXOOWWUYTK-CQSZACIVSA-N 0 0 437.526 -0.099 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCCN(CC(=O)N2CCCC2)CC1 ZINC001299242889 1073062887 /nfs/dbraw/zinc/06/28/87/1073062887.db2.gz GTLWPMFZIHQOFH-INIZCTEOSA-N 0 0 446.556 -0.500 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCCN(CC(=O)N2CCCC2)CC1 ZINC001299242891 1073062856 /nfs/dbraw/zinc/06/28/56/1073062856.db2.gz GTLWPMFZIHQOFH-MRXNPFEDSA-N 0 0 446.556 -0.500 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@@H](C(=O)NCCOC)CC[C@H]1C ZINC001299243128 1073062688 /nfs/dbraw/zinc/06/26/88/1073062688.db2.gz IYSZKJORPCGRFJ-ILXRZTDVSA-N 0 0 435.529 -0.267 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@H](C(=O)NCCOC)CC[C@H]1C ZINC001299243130 1073062743 /nfs/dbraw/zinc/06/27/43/1073062743.db2.gz IYSZKJORPCGRFJ-KFWWJZLASA-N 0 0 435.529 -0.267 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@@H](C(=O)NCCOC)CC[C@@H]1C ZINC001299243132 1073062914 /nfs/dbraw/zinc/06/29/14/1073062914.db2.gz IYSZKJORPCGRFJ-KKUMJFAQSA-N 0 0 435.529 -0.267 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@H](C(=O)NCCOC)CC[C@@H]1C ZINC001299243135 1073062938 /nfs/dbraw/zinc/06/29/38/1073062938.db2.gz IYSZKJORPCGRFJ-ZNMIVQPWSA-N 0 0 435.529 -0.267 20 0 IBADRN CNC(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC001299243537 1073062723 /nfs/dbraw/zinc/06/27/23/1073062723.db2.gz XDTAVRLYWUNKFV-AWEZNQCLSA-N 0 0 438.558 -0.078 20 0 IBADRN CNC(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC001299243541 1073062711 /nfs/dbraw/zinc/06/27/11/1073062711.db2.gz XDTAVRLYWUNKFV-CQSZACIVSA-N 0 0 438.558 -0.078 20 0 IBADRN CN(C)C(=O)N[C@@H]1CCCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001299246642 1073063848 /nfs/dbraw/zinc/06/38/48/1073063848.db2.gz LMMISIJOQKDWAJ-CYBMUJFWSA-N 0 0 446.551 -0.369 20 0 IBADRN CN(C)C(=O)N[C@H]1CCCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001299246643 1073063792 /nfs/dbraw/zinc/06/37/92/1073063792.db2.gz LMMISIJOQKDWAJ-ZDUSSCGKSA-N 0 0 446.551 -0.369 20 0 IBADRN CNC(=O)CCn1c(C2CCN(c3ncccn3)CC2)nnc1N1CCNC(=O)CC1 ZINC001299249869 1073064226 /nfs/dbraw/zinc/06/42/26/1073064226.db2.gz CZUQVJWMMLFGKS-UHFFFAOYSA-N 0 0 427.513 -0.086 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC001299249989 1073064420 /nfs/dbraw/zinc/06/44/20/1073064420.db2.gz FWDSDWQUAXPIEN-INIZCTEOSA-N 0 0 437.545 -0.807 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC001299249995 1073064251 /nfs/dbraw/zinc/06/42/51/1073064251.db2.gz FWDSDWQUAXPIEN-MRXNPFEDSA-N 0 0 437.545 -0.807 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCO[C@@H]2C)C1 ZINC001299250156 1073064360 /nfs/dbraw/zinc/06/43/60/1073064360.db2.gz BIKUIRGCHWZUSY-HBUWYVDXSA-N 0 0 427.527 -0.300 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCO[C@H]2C)C1 ZINC001299250160 1073064396 /nfs/dbraw/zinc/06/43/96/1073064396.db2.gz BIKUIRGCHWZUSY-IYOUNJFTSA-N 0 0 427.527 -0.300 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC001299250164 1073064410 /nfs/dbraw/zinc/06/44/10/1073064410.db2.gz BIKUIRGCHWZUSY-LBTNJELSSA-N 0 0 427.527 -0.300 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCO[C@H]2C)C1 ZINC001299250169 1073064158 /nfs/dbraw/zinc/06/41/58/1073064158.db2.gz BIKUIRGCHWZUSY-PMUMKWKESA-N 0 0 427.527 -0.300 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@@H]1CCSC1 ZINC001299250246 1073064385 /nfs/dbraw/zinc/06/43/85/1073064385.db2.gz CAYVIVNYFUXOPC-CHWSQXEVSA-N 0 0 432.572 -0.311 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@@H]1CCSC1 ZINC001299250247 1073064272 /nfs/dbraw/zinc/06/42/72/1073064272.db2.gz CAYVIVNYFUXOPC-OLZOCXBDSA-N 0 0 432.572 -0.311 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@H]1CCSC1 ZINC001299250249 1073064215 /nfs/dbraw/zinc/06/42/15/1073064215.db2.gz CAYVIVNYFUXOPC-QWHCGFSZSA-N 0 0 432.572 -0.311 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@H]1CCSC1 ZINC001299250251 1073064243 /nfs/dbraw/zinc/06/42/43/1073064243.db2.gz CAYVIVNYFUXOPC-STQMWFEESA-N 0 0 432.572 -0.311 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](OC)C1 ZINC001299250695 1073064785 /nfs/dbraw/zinc/06/47/85/1073064785.db2.gz GEKAVDPJOKEBMH-KBPBESRZSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](OC)C1 ZINC001299250696 1073064709 /nfs/dbraw/zinc/06/47/09/1073064709.db2.gz GEKAVDPJOKEBMH-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](OC)C1 ZINC001299250697 1073064622 /nfs/dbraw/zinc/06/46/22/1073064622.db2.gz GEKAVDPJOKEBMH-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](OC)C1 ZINC001299250698 1073064743 /nfs/dbraw/zinc/06/47/43/1073064743.db2.gz GEKAVDPJOKEBMH-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCn1c([C@H]2COCCN2C(=O)OC(C)(C)C)nnc1N1CCNC(=O)C1 ZINC001299251112 1073064584 /nfs/dbraw/zinc/06/45/84/1073064584.db2.gz ITCSSHZTHLWLRW-CYBMUJFWSA-N 0 0 437.501 -0.341 20 0 IBADRN CNC(=O)CCn1c([C@@H]2COCCN2C(=O)OC(C)(C)C)nnc1N1CCNC(=O)C1 ZINC001299251113 1073064665 /nfs/dbraw/zinc/06/46/65/1073064665.db2.gz ITCSSHZTHLWLRW-ZDUSSCGKSA-N 0 0 437.501 -0.341 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2nccnc2C)CC1 ZINC001299251114 1073064642 /nfs/dbraw/zinc/06/46/42/1073064642.db2.gz ITWWQMIOMKJFPT-HNNXBMFYSA-N 0 0 448.553 -0.259 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2nccnc2C)CC1 ZINC001299251115 1073064732 /nfs/dbraw/zinc/06/47/32/1073064732.db2.gz ITWWQMIOMKJFPT-OAHLLOKOSA-N 0 0 448.553 -0.259 20 0 IBADRN CNC(=O)CCn1c(C2CCCC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001299251483 1073064677 /nfs/dbraw/zinc/06/46/77/1073064677.db2.gz LMVQUFAGZGDYAO-UHFFFAOYSA-N 0 0 447.540 -0.421 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001299251582 1073064698 /nfs/dbraw/zinc/06/46/98/1073064698.db2.gz LYBPAVRXCNPEQK-CHWSQXEVSA-N 0 0 433.556 -0.670 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001299251583 1073064762 /nfs/dbraw/zinc/06/47/62/1073064762.db2.gz LYBPAVRXCNPEQK-OLZOCXBDSA-N 0 0 433.556 -0.670 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001299251584 1073064691 /nfs/dbraw/zinc/06/46/91/1073064691.db2.gz LYBPAVRXCNPEQK-QWHCGFSZSA-N 0 0 433.556 -0.670 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001299251585 1073064633 /nfs/dbraw/zinc/06/46/33/1073064633.db2.gz LYBPAVRXCNPEQK-STQMWFEESA-N 0 0 433.556 -0.670 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H](C)OC(C)(C)C1 ZINC001299251890 1073064719 /nfs/dbraw/zinc/06/47/19/1073064719.db2.gz OKMATTHZCIBOGO-KBPBESRZSA-N 0 0 444.558 -0.249 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)OC(C)(C)C1 ZINC001299251891 1073064752 /nfs/dbraw/zinc/06/47/52/1073064752.db2.gz OKMATTHZCIBOGO-KGLIPLIRSA-N 0 0 444.558 -0.249 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H](C)OC(C)(C)C1 ZINC001299251892 1073064773 /nfs/dbraw/zinc/06/47/73/1073064773.db2.gz OKMATTHZCIBOGO-UONOGXRCSA-N 0 0 444.558 -0.249 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)OC(C)(C)C1 ZINC001299251893 1073064654 /nfs/dbraw/zinc/06/46/54/1073064654.db2.gz OKMATTHZCIBOGO-ZIAGYGMSSA-N 0 0 444.558 -0.249 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001299251930 1073064609 /nfs/dbraw/zinc/06/46/09/1073064609.db2.gz PFEGIDGHMQFGEE-CTASWTNQSA-N 0 0 426.543 -0.018 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001299251932 1073065009 /nfs/dbraw/zinc/06/50/09/1073065009.db2.gz PFEGIDGHMQFGEE-KNCOVGOOSA-N 0 0 426.543 -0.018 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCOC1 ZINC001299252081 1073064993 /nfs/dbraw/zinc/06/49/93/1073064993.db2.gz QIEHJQUURPEYCR-CABCVRRESA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCCOC1 ZINC001299252082 1073065094 /nfs/dbraw/zinc/06/50/94/1073065094.db2.gz QIEHJQUURPEYCR-GJZGRUSLSA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCOC1 ZINC001299252083 1073065037 /nfs/dbraw/zinc/06/50/37/1073065037.db2.gz QIEHJQUURPEYCR-HUUCEWRRSA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCCOC1 ZINC001299252084 1073064601 /nfs/dbraw/zinc/06/46/01/1073064601.db2.gz QIEHJQUURPEYCR-LSDHHAIUSA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H]1CCN(C(C)=O)C1 ZINC001299252409 1073064982 /nfs/dbraw/zinc/06/49/82/1073064982.db2.gz SUWRJPGKBNRPAH-CABCVRRESA-N 0 0 426.543 -0.379 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H]1CCN(C(C)=O)C1 ZINC001299252410 1073065102 /nfs/dbraw/zinc/06/51/02/1073065102.db2.gz SUWRJPGKBNRPAH-GJZGRUSLSA-N 0 0 426.543 -0.379 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H]1CCN(C(C)=O)C1 ZINC001299252411 1073064959 /nfs/dbraw/zinc/06/49/59/1073064959.db2.gz SUWRJPGKBNRPAH-HUUCEWRRSA-N 0 0 426.543 -0.379 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H]1CCN(C(C)=O)C1 ZINC001299252412 1073065130 /nfs/dbraw/zinc/06/51/30/1073065130.db2.gz SUWRJPGKBNRPAH-LSDHHAIUSA-N 0 0 426.543 -0.379 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001299252456 1073065106 /nfs/dbraw/zinc/06/51/06/1073065106.db2.gz UEHKGIGWHHUHIB-AWEZNQCLSA-N 0 0 436.542 -0.624 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001299252457 1073065124 /nfs/dbraw/zinc/06/51/24/1073065124.db2.gz UEHKGIGWHHUHIB-CQSZACIVSA-N 0 0 436.542 -0.624 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001299252795 1073065137 /nfs/dbraw/zinc/06/51/37/1073065137.db2.gz VTQINUYPNYJKLY-GXTWGEPZSA-N 0 0 439.542 -0.643 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001299252796 1073064947 /nfs/dbraw/zinc/06/49/47/1073064947.db2.gz VTQINUYPNYJKLY-JSGCOSHPSA-N 0 0 439.542 -0.643 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001299252798 1073064970 /nfs/dbraw/zinc/06/49/70/1073064970.db2.gz VTQINUYPNYJKLY-OCCSQVGLSA-N 0 0 439.542 -0.643 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001299252800 1073065017 /nfs/dbraw/zinc/06/50/17/1073065017.db2.gz VTQINUYPNYJKLY-TZMCWYRMSA-N 0 0 439.542 -0.643 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(F)(F)F ZINC001299252812 1073065112 /nfs/dbraw/zinc/06/51/12/1073065112.db2.gz VXYORQYJIVWDCV-JTQLQIEISA-N 0 0 428.437 -0.254 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(F)(F)F ZINC001299252814 1073065423 /nfs/dbraw/zinc/06/54/23/1073065423.db2.gz VXYORQYJIVWDCV-SNVBAGLBSA-N 0 0 428.437 -0.254 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(OCCOC)CC1 ZINC001299252879 1073065463 /nfs/dbraw/zinc/06/54/63/1073065463.db2.gz YLVJGYHRZDCJIK-AWEZNQCLSA-N 0 0 429.543 -0.052 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(OCCOC)CC1 ZINC001299252880 1073065451 /nfs/dbraw/zinc/06/54/51/1073065451.db2.gz YLVJGYHRZDCJIK-CQSZACIVSA-N 0 0 429.543 -0.052 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001299252889 1073065340 /nfs/dbraw/zinc/06/53/40/1073065340.db2.gz YXJIAELMYYCJAD-AWEZNQCLSA-N 0 0 426.543 -0.379 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001299252890 1073065458 /nfs/dbraw/zinc/06/54/58/1073065458.db2.gz YXJIAELMYYCJAD-CQSZACIVSA-N 0 0 426.543 -0.379 20 0 IBADRN CN(C(=O)Cn1c2ccc(S(N)(=O)=O)cc2oc1=O)C(C)(C)CN1CCOCC1 ZINC001299253943 1073065438 /nfs/dbraw/zinc/06/54/38/1073065438.db2.gz CHRDZGWOLUZROA-UHFFFAOYSA-N 0 0 426.495 -0.189 20 0 IBADRN CNC(=O)CCn1c(Cc2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001299255144 1073065404 /nfs/dbraw/zinc/06/54/04/1073065404.db2.gz ZOWVLLZFNUYTJM-HNNXBMFYSA-N 0 0 441.492 -0.839 20 0 IBADRN CNC(=O)CCn1c(Cc2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001299255148 1073065426 /nfs/dbraw/zinc/06/54/26/1073065426.db2.gz ZOWVLLZFNUYTJM-OAHLLOKOSA-N 0 0 441.492 -0.839 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)C(C)(C)CN1CCOCC1 ZINC001299255361 1073065377 /nfs/dbraw/zinc/06/53/77/1073065377.db2.gz UKIGOPBKUOTMSA-UHFFFAOYSA-N 0 0 447.579 -0.062 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCO[C@@H]([C@H](C)O)C3)c2)CC1 ZINC001299257848 1073065345 /nfs/dbraw/zinc/06/53/45/1073065345.db2.gz AEFRIEOYWYHFAQ-KBXCAEBGSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCO[C@H]([C@@H](C)O)C3)c2)CC1 ZINC001299257850 1073065432 /nfs/dbraw/zinc/06/54/32/1073065432.db2.gz AEFRIEOYWYHFAQ-KDOFPFPSSA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCO[C@H]([C@H](C)O)C3)c2)CC1 ZINC001299257852 1073065367 /nfs/dbraw/zinc/06/53/67/1073065367.db2.gz AEFRIEOYWYHFAQ-KSSFIOAISA-N 0 0 425.507 -0.239 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCO[C@@H]([C@@H](C)O)C3)c2)CC1 ZINC001299257853 1073065334 /nfs/dbraw/zinc/06/53/34/1073065334.db2.gz AEFRIEOYWYHFAQ-RDTXWAMCSA-N 0 0 425.507 -0.239 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001299259001 1073066172 /nfs/dbraw/zinc/06/61/72/1073066172.db2.gz KNMFHMGRCOBTBQ-UHFFFAOYSA-N 0 0 434.471 -0.155 20 0 IBADRN CNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCO[C@H](Cn2cc(C)cn2)C1 ZINC001299259091 1073066085 /nfs/dbraw/zinc/06/60/85/1073066085.db2.gz DRRYKGUVXWAGMC-INIZCTEOSA-N 0 0 430.513 -0.053 20 0 IBADRN CNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCO[C@@H](Cn2cc(C)cn2)C1 ZINC001299259093 1073066165 /nfs/dbraw/zinc/06/61/65/1073066165.db2.gz DRRYKGUVXWAGMC-MRXNPFEDSA-N 0 0 430.513 -0.053 20 0 IBADRN CNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(c2nccnc2C)CC1 ZINC001299259712 1073066214 /nfs/dbraw/zinc/06/62/14/1073066214.db2.gz JXHNNUMAXJAVHE-UHFFFAOYSA-N 0 0 427.513 -0.038 20 0 IBADRN CNC(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001299260185 1073066110 /nfs/dbraw/zinc/06/61/10/1073066110.db2.gz MHWXJEYTFOHKGP-UHFFFAOYSA-N 0 0 445.572 -0.078 20 0 IBADRN CNC(=O)CCn1c(N2CCC(OCC(N)=O)CC2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001299262313 1073066180 /nfs/dbraw/zinc/06/61/80/1073066180.db2.gz CYJCRWRBHPRKNP-FQEVSTJZSA-N 0 0 438.529 -0.075 20 0 IBADRN CNC(=O)CCn1c(N2CCC(OCC(N)=O)CC2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001299262330 1073066224 /nfs/dbraw/zinc/06/62/24/1073066224.db2.gz CYJCRWRBHPRKNP-HXUWFJFHSA-N 0 0 438.529 -0.075 20 0 IBADRN CNC(=O)CCn1c(N2CCN(CCOC)C(=O)C2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001299264040 1073066073 /nfs/dbraw/zinc/06/60/73/1073066073.db2.gz YBOQSKGIKMPWMB-FQEVSTJZSA-N 0 0 438.529 -0.250 20 0 IBADRN CNC(=O)CCn1c(N2CCN(CCOC)C(=O)C2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001299264041 1073066102 /nfs/dbraw/zinc/06/61/02/1073066102.db2.gz YBOQSKGIKMPWMB-HXUWFJFHSA-N 0 0 438.529 -0.250 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC(C)(C)C)cn1 ZINC001299265073 1073066161 /nfs/dbraw/zinc/06/61/61/1073066161.db2.gz IVWOIYNOYYLQEO-GFCCVEGCSA-N 0 0 433.508 -0.429 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC(C)(C)C)cn1 ZINC001299265074 1073066207 /nfs/dbraw/zinc/06/62/07/1073066207.db2.gz IVWOIYNOYYLQEO-LBPRGKRZSA-N 0 0 433.508 -0.429 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCc2ccccn2)CC1 ZINC001299268726 1073128255 /nfs/dbraw/zinc/12/82/55/1073128255.db2.gz FSKJDLSUSTVCAJ-AWEZNQCLSA-N 0 0 436.542 -0.013 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCc2ccccn2)CC1 ZINC001299268727 1073128529 /nfs/dbraw/zinc/12/85/29/1073128529.db2.gz FSKJDLSUSTVCAJ-CQSZACIVSA-N 0 0 436.542 -0.013 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H](C)CN2CCOCC2)CC1 ZINC001299268935 1073132419 /nfs/dbraw/zinc/13/24/19/1073132419.db2.gz GDPVYEPERJDLNK-INIZCTEOSA-N 0 0 436.561 -0.154 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H](C)CN2CCOCC2)CC1 ZINC001299268941 1073132364 /nfs/dbraw/zinc/13/23/64/1073132364.db2.gz GDPVYEPERJDLNK-MRXNPFEDSA-N 0 0 436.561 -0.154 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC(C)(C)N2CCOCC2)CC1 ZINC001299269132 1073132096 /nfs/dbraw/zinc/13/20/96/1073132096.db2.gz HZBCKWMOJWMWBZ-UHFFFAOYSA-N 0 0 436.561 -0.012 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC2CCS(=O)(=O)CC2)CC1 ZINC001299269408 1073133027 /nfs/dbraw/zinc/13/30/27/1073133027.db2.gz NBUIYXNEEBVMTQ-UHFFFAOYSA-N 0 0 441.558 -0.298 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)Nc3ccccn3)n2C[C@H]2COCCO2)CC1 ZINC001299270005 1073142573 /nfs/dbraw/zinc/14/25/73/1073142573.db2.gz TYUDHWCCJAFXCZ-AWEZNQCLSA-N 0 0 430.469 -0.198 20 0 IBADRN CNC(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001299270022 1073143327 /nfs/dbraw/zinc/14/33/27/1073143327.db2.gz ROOYBJSRFHQVPK-KSSFIOAISA-N 0 0 446.512 -0.275 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2OCC[C@H]2C)CC1 ZINC001299270147 1073146926 /nfs/dbraw/zinc/14/69/26/1073146926.db2.gz USUUNASGWWOQHM-HZSPNIEDSA-N 0 0 429.547 -0.225 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2OCC[C@H]2C)CC1 ZINC001299270148 1073146936 /nfs/dbraw/zinc/14/69/36/1073146936.db2.gz USUUNASGWWOQHM-MGPQQGTHSA-N 0 0 429.547 -0.225 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)Nc3ccccn3)n2CC(=O)NC(C)C)CC1 ZINC001299270668 1073149996 /nfs/dbraw/zinc/14/99/96/1073149996.db2.gz WEIASLJBNGBHKO-UHFFFAOYSA-N 0 0 429.485 -0.089 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@](C)(O)CCOC)CC1 ZINC001299276095 1073230233 /nfs/dbraw/zinc/23/02/33/1073230233.db2.gz CLLVBBGGDZVZMX-KBXCAEBGSA-N 0 0 444.558 -0.571 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@](C)(O)CCOC)CC1 ZINC001299276096 1073230163 /nfs/dbraw/zinc/23/01/63/1073230163.db2.gz CLLVBBGGDZVZMX-KDOFPFPSSA-N 0 0 444.558 -0.571 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@](C)(O)CCOC)CC1 ZINC001299276097 1073230203 /nfs/dbraw/zinc/23/02/03/1073230203.db2.gz CLLVBBGGDZVZMX-KSSFIOAISA-N 0 0 444.558 -0.571 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@](C)(O)CCOC)CC1 ZINC001299276098 1073230213 /nfs/dbraw/zinc/23/02/13/1073230213.db2.gz CLLVBBGGDZVZMX-RDTXWAMCSA-N 0 0 444.558 -0.571 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3noc4c3COCC4)n2CCC(=O)N(C)C)CC1 ZINC001299276236 1073232751 /nfs/dbraw/zinc/23/27/51/1073232751.db2.gz AWQLTKGQYIUPPO-UHFFFAOYSA-N 0 0 432.485 -0.055 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3ccccn3)n2CCCn2cc(CO)nn2)CC1 ZINC001299276454 1073234739 /nfs/dbraw/zinc/23/47/39/1073234739.db2.gz DXKRAISLMVCLQZ-UHFFFAOYSA-N 0 0 426.485 -0.024 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3ccc(OC)cc3)n2CCS(=O)(=O)NC)CC1 ZINC001299277464 1073235132 /nfs/dbraw/zinc/23/51/32/1073235132.db2.gz CEDYWMHYZCWFFQ-UHFFFAOYSA-N 0 0 437.526 -0.036 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3ccccn3)n2CCC[C@@H]2NC(=O)NC2=O)CC1 ZINC001299277692 1073235309 /nfs/dbraw/zinc/23/53/09/1073235309.db2.gz IQAJJQUZLZQNEL-AWEZNQCLSA-N 0 0 427.469 -0.210 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cccnc3)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001299277854 1073235328 /nfs/dbraw/zinc/23/53/28/1073235328.db2.gz KCRIXZDGSYRBDZ-UHFFFAOYSA-N 0 0 441.540 -0.034 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)C(=O)NC)CC1 ZINC001299277887 1073235187 /nfs/dbraw/zinc/23/51/87/1073235187.db2.gz MRKRNDJQFKNXFE-CYBMUJFWSA-N 0 0 441.558 -0.586 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)C(=O)NC)CC1 ZINC001299277888 1073235320 /nfs/dbraw/zinc/23/53/20/1073235320.db2.gz MRKRNDJQFKNXFE-ZDUSSCGKSA-N 0 0 441.558 -0.586 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cnccn3)n2CCC(=O)N2CCOCC2)CC1 ZINC001299278048 1073235138 /nfs/dbraw/zinc/23/51/38/1073235138.db2.gz MJABFBHINXCQHY-UHFFFAOYSA-N 0 0 429.485 -0.555 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)C(C)(C)C)CC1 ZINC001299278329 1073235160 /nfs/dbraw/zinc/23/51/60/1073235160.db2.gz OKWMBSNWTULKID-CYBMUJFWSA-N 0 0 441.558 -0.096 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)C(C)(C)C)CC1 ZINC001299278332 1073235146 /nfs/dbraw/zinc/23/51/46/1073235146.db2.gz OKWMBSNWTULKID-ZDUSSCGKSA-N 0 0 441.558 -0.096 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3ccccn3)n2CCOCCS(C)(=O)=O)CC1 ZINC001299278614 1073235265 /nfs/dbraw/zinc/23/52/65/1073235265.db2.gz MXPZWDAZCMFRAJ-UHFFFAOYSA-N 0 0 437.526 -0.137 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)NCC(F)(F)F)CC1 ZINC001299278632 1073235121 /nfs/dbraw/zinc/23/51/21/1073235121.db2.gz NDHSAYLNIKPJGG-UHFFFAOYSA-N 0 0 429.407 -0.182 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cccn3C)n2CCN2CCCS2(=O)=O)CC1 ZINC001299279321 1073235549 /nfs/dbraw/zinc/23/55/49/1073235549.db2.gz RYSOVPOCKKSMJT-UHFFFAOYSA-N 0 0 436.542 -0.220 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CCO)C[C@@H]2C)c1 ZINC001299281482 1073237711 /nfs/dbraw/zinc/23/77/11/1073237711.db2.gz OAJQBWPFKTVNEZ-INIZCTEOSA-N 0 0 440.566 -0.028 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(CCO)C[C@H]2C)c1 ZINC001299281483 1073237632 /nfs/dbraw/zinc/23/76/32/1073237632.db2.gz OAJQBWPFKTVNEZ-MRXNPFEDSA-N 0 0 440.566 -0.028 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCn2ccnc2C)CC1 ZINC001299282528 1073239035 /nfs/dbraw/zinc/23/90/35/1073239035.db2.gz NSGPDPSJPHNZQC-HNNXBMFYSA-N 0 0 436.542 -0.153 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCn2ccnc2C)CC1 ZINC001299282529 1073239478 /nfs/dbraw/zinc/23/94/78/1073239478.db2.gz NSGPDPSJPHNZQC-OAHLLOKOSA-N 0 0 436.542 -0.153 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2C)CC1 ZINC001299282595 1073239313 /nfs/dbraw/zinc/23/93/13/1073239313.db2.gz PQHMFDCSNXTQPW-AWEZNQCLSA-N 0 0 436.542 -0.268 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2C)CC1 ZINC001299282596 1073239517 /nfs/dbraw/zinc/23/95/17/1073239517.db2.gz PQHMFDCSNXTQPW-CQSZACIVSA-N 0 0 436.542 -0.268 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCc2nccnc2C)CC1 ZINC001299282636 1073239499 /nfs/dbraw/zinc/23/94/99/1073239499.db2.gz QXJRIOQUDLSPOK-HNNXBMFYSA-N 0 0 448.553 -0.017 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCc2nccnc2C)CC1 ZINC001299282637 1073239404 /nfs/dbraw/zinc/23/94/04/1073239404.db2.gz QXJRIOQUDLSPOK-OAHLLOKOSA-N 0 0 448.553 -0.017 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3cccnc3)n2CCN2CCCS2(=O)=O)CC1 ZINC001299285870 1073242974 /nfs/dbraw/zinc/24/29/74/1073242974.db2.gz RDIUORKJRYLFJJ-UHFFFAOYSA-N 0 0 448.553 -0.239 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3ccccn3)n2CCC(=O)N2CCOCC2)CC1 ZINC001299286322 1073243475 /nfs/dbraw/zinc/24/34/75/1073243475.db2.gz WFWRNAPPNLKBMI-UHFFFAOYSA-N 0 0 442.524 -0.026 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCCO3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001299287109 1073245677 /nfs/dbraw/zinc/24/56/77/1073245677.db2.gz BWIISMUTDFICQA-HUUCEWRRSA-N 0 0 441.558 -0.128 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCCO3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001299287110 1073245734 /nfs/dbraw/zinc/24/57/34/1073245734.db2.gz BWIISMUTDFICQA-LSDHHAIUSA-N 0 0 441.558 -0.128 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCc2ccco2)CC1 ZINC001299287741 1073247301 /nfs/dbraw/zinc/24/73/01/1073247301.db2.gz CEDNCYHOUVBOEF-UHFFFAOYSA-N 0 0 444.496 -0.229 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)CC1 ZINC001299287759 1073246818 /nfs/dbraw/zinc/24/68/18/1073246818.db2.gz CHQBVNOPBBQNOU-UHFFFAOYSA-N 0 0 448.528 -0.889 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@H](C)N2CCOCC2)CC1 ZINC001299287932 1073247754 /nfs/dbraw/zinc/24/77/54/1073247754.db2.gz HUBGYULOGSEINH-INIZCTEOSA-N 0 0 434.545 -0.417 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H](C)N2CCOCC2)CC1 ZINC001299287933 1073247134 /nfs/dbraw/zinc/24/71/34/1073247134.db2.gz HUBGYULOGSEINH-MRXNPFEDSA-N 0 0 434.545 -0.417 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H](C)CN2CCOCC2)CC1 ZINC001299288512 1073248975 /nfs/dbraw/zinc/24/89/75/1073248975.db2.gz ONKNAQPVKGOXNG-KRWDZBQOSA-N 0 0 448.572 -0.170 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@H](C)CN2CCOCC2)CC1 ZINC001299288513 1073249015 /nfs/dbraw/zinc/24/90/15/1073249015.db2.gz ONKNAQPVKGOXNG-QGZVFWFLSA-N 0 0 448.572 -0.170 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001299290311 1073251102 /nfs/dbraw/zinc/25/11/02/1073251102.db2.gz IUQGHSITDYDWQA-CQSZACIVSA-N 0 0 429.547 -0.081 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2CCCS(C)(=O)=O)CC1 ZINC001299290323 1073251149 /nfs/dbraw/zinc/25/11/49/1073251149.db2.gz IYIVFJOJJJPVSA-GJZGRUSLSA-N 0 0 444.558 -0.102 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2CCOCCCO)CC1 ZINC001299291178 1073252543 /nfs/dbraw/zinc/25/25/43/1073252543.db2.gz PELMNHBODUUIIM-HOTGVXAUSA-N 0 0 426.518 -0.138 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(c2ncccn2)CC1 ZINC001299295179 1073316353 /nfs/dbraw/zinc/31/63/53/1073316353.db2.gz AAGQZQXHSCNMOG-UHFFFAOYSA-N 0 0 437.530 -0.918 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(F)F)CC1 ZINC001299295259 1073319006 /nfs/dbraw/zinc/31/90/06/1073319006.db2.gz DCYVDOFBEXLCFE-UHFFFAOYSA-N 0 0 435.501 -0.550 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC1CC(F)(F)C1 ZINC001299295319 1073319799 /nfs/dbraw/zinc/31/97/99/1073319799.db2.gz AYHOEIITTNLERA-JTQLQIEISA-N 0 0 444.530 -0.081 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC1CC(F)(F)C1 ZINC001299295323 1073319865 /nfs/dbraw/zinc/31/98/65/1073319865.db2.gz AYHOEIITTNLERA-SNVBAGLBSA-N 0 0 444.530 -0.081 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCCN(C(C)=O)CC1 ZINC001299295348 1073320954 /nfs/dbraw/zinc/32/09/54/1073320954.db2.gz BIIRBNOYYGRGCW-AWEZNQCLSA-N 0 0 432.547 -0.390 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCCN(C(C)=O)CC1 ZINC001299295350 1073320643 /nfs/dbraw/zinc/32/06/43/1073320643.db2.gz BIIRBNOYYGRGCW-CQSZACIVSA-N 0 0 432.547 -0.390 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001299295444 1073323572 /nfs/dbraw/zinc/32/35/72/1073323572.db2.gz GCEPVQLYEOWBPR-UHFFFAOYSA-N 0 0 439.546 -0.974 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@@H](CNC(C)=O)C1 ZINC001299295651 1073332602 /nfs/dbraw/zinc/33/26/02/1073332602.db2.gz HZUJWWCZUCFQGY-HNNXBMFYSA-N 0 0 441.558 -0.584 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@H](CNC(C)=O)C1 ZINC001299295652 1073332777 /nfs/dbraw/zinc/33/27/77/1073332777.db2.gz HZUJWWCZUCFQGY-OAHLLOKOSA-N 0 0 441.558 -0.584 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H]1CSC ZINC001299296032 1073339857 /nfs/dbraw/zinc/33/98/57/1073339857.db2.gz ISKJTZOWKKZOHU-NEPJUHHUSA-N 0 0 440.617 -0.231 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H]1CSC ZINC001299296036 1073340253 /nfs/dbraw/zinc/34/02/53/1073340253.db2.gz ISKJTZOWKKZOHU-NWDGAFQWSA-N 0 0 440.617 -0.231 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H]1CSC ZINC001299296037 1073340344 /nfs/dbraw/zinc/34/03/44/1073340344.db2.gz ISKJTZOWKKZOHU-RYUDHWBXSA-N 0 0 440.617 -0.231 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H]1CSC ZINC001299296038 1073340244 /nfs/dbraw/zinc/34/02/44/1073340244.db2.gz ISKJTZOWKKZOHU-VXGBXAGGSA-N 0 0 440.617 -0.231 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCc2c(cc[nH]c2=O)C1 ZINC001299296053 1073340214 /nfs/dbraw/zinc/34/02/14/1073340214.db2.gz LVSUEZZTOKWMTP-UHFFFAOYSA-N 0 0 435.510 -0.273 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCOC2(CCC2)C1 ZINC001299296057 1073340336 /nfs/dbraw/zinc/34/03/36/1073340336.db2.gz JATXFOAHIQHESS-GFCCVEGCSA-N 0 0 436.560 -0.803 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCOC2(CCC2)C1 ZINC001299296058 1073340210 /nfs/dbraw/zinc/34/02/10/1073340210.db2.gz JATXFOAHIQHESS-LBPRGKRZSA-N 0 0 436.560 -0.803 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCOC2(CCOCC2)C1 ZINC001299296364 1073348377 /nfs/dbraw/zinc/34/83/77/1073348377.db2.gz LGUVXXRBEBWOBL-HNNXBMFYSA-N 0 0 447.558 -0.063 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCOC2(CCOCC2)C1 ZINC001299296367 1073348403 /nfs/dbraw/zinc/34/84/03/1073348403.db2.gz LGUVXXRBEBWOBL-OAHLLOKOSA-N 0 0 447.558 -0.063 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N(C)CCN1CCOC(C)(C)C1 ZINC001299296487 1073350837 /nfs/dbraw/zinc/35/08/37/1073350837.db2.gz OAPPOCTUYILWTR-UHFFFAOYSA-N 0 0 445.590 -0.488 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC[C@@H](n2cncn2)C1 ZINC001299297019 1073364490 /nfs/dbraw/zinc/36/44/90/1073364490.db2.gz SXHXRYSMUYUWSS-CYBMUJFWSA-N 0 0 425.519 -0.602 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC[C@H](n2cncn2)C1 ZINC001299297036 1073364330 /nfs/dbraw/zinc/36/43/30/1073364330.db2.gz SXHXRYSMUYUWSS-ZDUSSCGKSA-N 0 0 425.519 -0.602 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001299297225 1073369661 /nfs/dbraw/zinc/36/96/61/1073369661.db2.gz UUTMYBGXCBDTHJ-CYBMUJFWSA-N 0 0 441.558 -0.540 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC[C@H](C(=O)NC2CC2)C1 ZINC001299297237 1073369839 /nfs/dbraw/zinc/36/98/39/1073369839.db2.gz UUTMYBGXCBDTHJ-ZDUSSCGKSA-N 0 0 441.558 -0.540 20 0 IBADRN CNS(=O)(=O)CCn1c(C(C)C)nnc1N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001299297289 1073370174 /nfs/dbraw/zinc/37/01/74/1073370174.db2.gz VBYQSCVSBHBXPA-AWEZNQCLSA-N 0 0 427.575 -0.260 20 0 IBADRN CNS(=O)(=O)CCn1c(C(C)C)nnc1N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001299297292 1073370102 /nfs/dbraw/zinc/37/01/02/1073370102.db2.gz VBYQSCVSBHBXPA-CQSZACIVSA-N 0 0 427.575 -0.260 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCS[C@H](C)C1 ZINC001299298007 1073370217 /nfs/dbraw/zinc/37/02/17/1073370217.db2.gz VRTKTPMMHAKLQI-GHMZBOCLSA-N 0 0 426.590 -0.621 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001299298009 1073370112 /nfs/dbraw/zinc/37/01/12/1073370112.db2.gz ZPFMWGAJDAETBI-UHFFFAOYSA-N 0 0 441.514 -0.858 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS[C@H](C)C1 ZINC001299298014 1073370073 /nfs/dbraw/zinc/37/00/73/1073370073.db2.gz VRTKTPMMHAKLQI-MNOVXSKESA-N 0 0 426.590 -0.621 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS[C@@H](C)C1 ZINC001299298015 1073370194 /nfs/dbraw/zinc/37/01/94/1073370194.db2.gz VRTKTPMMHAKLQI-QWRGUYRKSA-N 0 0 426.590 -0.621 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCS[C@@H](C)C1 ZINC001299298016 1073370242 /nfs/dbraw/zinc/37/02/42/1073370242.db2.gz VRTKTPMMHAKLQI-WDEREUQCSA-N 0 0 426.590 -0.621 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001299298460 1073370220 /nfs/dbraw/zinc/37/02/20/1073370220.db2.gz XBLBRSNJOCVBMJ-KBPBESRZSA-N 0 0 430.531 -0.638 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001299298462 1073370236 /nfs/dbraw/zinc/37/02/36/1073370236.db2.gz XBLBRSNJOCVBMJ-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001299298465 1073370055 /nfs/dbraw/zinc/37/00/55/1073370055.db2.gz XBLBRSNJOCVBMJ-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001299298466 1073370167 /nfs/dbraw/zinc/37/01/67/1073370167.db2.gz XBLBRSNJOCVBMJ-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccc3c(c2)OCO3)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001299301371 1073370591 /nfs/dbraw/zinc/37/05/91/1073370591.db2.gz MXZCGZLAQCLPAC-GFCCVEGCSA-N 0 0 436.494 -0.110 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccc3c(c2)OCO3)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001299301372 1073370571 /nfs/dbraw/zinc/37/05/71/1073370571.db2.gz MXZCGZLAQCLPAC-LBPRGKRZSA-N 0 0 436.494 -0.110 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccc(C)o2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001299303683 1073371403 /nfs/dbraw/zinc/37/14/03/1073371403.db2.gz UZWCUEADWCLCEO-CHWSQXEVSA-N 0 0 427.483 -0.244 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccc(C)o2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001299303834 1073371441 /nfs/dbraw/zinc/37/14/41/1073371441.db2.gz XMVCLRHUJGHDQD-UHFFFAOYSA-N 0 0 426.499 -0.309 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccnc2)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001299305035 1073456848 /nfs/dbraw/zinc/45/68/48/1073456848.db2.gz CFYUKYAHUACORK-UHFFFAOYSA-N 0 0 431.526 -0.051 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001299305835 1073456725 /nfs/dbraw/zinc/45/67/25/1073456725.db2.gz IQAPZRUQQSATQZ-CYBMUJFWSA-N 0 0 434.526 -0.221 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC[C@H](C(=O)NC2CC2)C1 ZINC001299305836 1073456605 /nfs/dbraw/zinc/45/66/05/1073456605.db2.gz IQAPZRUQQSATQZ-ZDUSSCGKSA-N 0 0 434.526 -0.221 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@H]([C@H]2CCCO2)C1 ZINC001299306304 1073457537 /nfs/dbraw/zinc/45/75/37/1073457537.db2.gz OSFKGXMDVCJHET-CABCVRRESA-N 0 0 425.515 -0.388 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001299306305 1073457315 /nfs/dbraw/zinc/45/73/15/1073457315.db2.gz OSFKGXMDVCJHET-GJZGRUSLSA-N 0 0 425.515 -0.388 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001299306306 1073457557 /nfs/dbraw/zinc/45/75/57/1073457557.db2.gz OSFKGXMDVCJHET-HUUCEWRRSA-N 0 0 425.515 -0.388 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001299306307 1073457415 /nfs/dbraw/zinc/45/74/15/1073457415.db2.gz OSFKGXMDVCJHET-LSDHHAIUSA-N 0 0 425.515 -0.388 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@]2(CCO[C@@H]2C)C1 ZINC001299306367 1073457530 /nfs/dbraw/zinc/45/75/30/1073457530.db2.gz QFTMVKHATMPMPU-CXAGYDPISA-N 0 0 425.515 -0.388 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC001299306368 1073457549 /nfs/dbraw/zinc/45/75/49/1073457549.db2.gz QFTMVKHATMPMPU-DYVFJYSZSA-N 0 0 425.515 -0.388 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@@]2(CCO[C@H]2C)C1 ZINC001299306369 1073457403 /nfs/dbraw/zinc/45/74/03/1073457403.db2.gz QFTMVKHATMPMPU-GUYCJALGSA-N 0 0 425.515 -0.388 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@]2(CCO[C@H]2C)C1 ZINC001299306370 1073457300 /nfs/dbraw/zinc/45/73/00/1073457300.db2.gz QFTMVKHATMPMPU-SUMWQHHRSA-N 0 0 425.515 -0.388 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccc[nH]2)nnc1N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001299306781 1073457390 /nfs/dbraw/zinc/45/73/90/1073457390.db2.gz VCMBBTKTLRXMMZ-UHFFFAOYSA-N 0 0 445.571 -0.317 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCOC[C@H]1C ZINC001299306909 1073457519 /nfs/dbraw/zinc/45/75/19/1073457519.db2.gz XCUGTMDJZJRTJB-CYBMUJFWSA-N 0 0 447.521 -0.034 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCOC[C@@H]1C ZINC001299306911 1073457456 /nfs/dbraw/zinc/45/74/56/1073457456.db2.gz XCUGTMDJZJRTJB-ZDUSSCGKSA-N 0 0 447.521 -0.034 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC(N2CCCC2=O)CC1 ZINC001299307129 1073457437 /nfs/dbraw/zinc/45/74/37/1073457437.db2.gz ZUGWBXXEAMZDQQ-UHFFFAOYSA-N 0 0 436.542 -0.181 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CCN(c2cnccn2)CC1 ZINC001299307741 1073457523 /nfs/dbraw/zinc/45/75/23/1073457523.db2.gz CQCAVJGFKQNHMG-UHFFFAOYSA-N 0 0 431.526 -0.051 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001299307860 1073457485 /nfs/dbraw/zinc/45/74/85/1073457485.db2.gz DTLZZSQTWILKJS-UHFFFAOYSA-N 0 0 430.494 -0.454 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CCN(C(=O)COC)CC1 ZINC001299307869 1073457424 /nfs/dbraw/zinc/45/74/24/1073457424.db2.gz DYZWPNSDVUAPNL-UHFFFAOYSA-N 0 0 425.515 -0.872 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccccc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001299308173 1073457353 /nfs/dbraw/zinc/45/73/53/1073457353.db2.gz LBPRPTQLZLSTKV-UHFFFAOYSA-N 0 0 428.540 -0.424 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001299309309 1073457371 /nfs/dbraw/zinc/45/73/71/1073457371.db2.gz XSRGBPADPIHBMZ-INIZCTEOSA-N 0 0 449.537 -0.283 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001299309310 1073458060 /nfs/dbraw/zinc/45/80/60/1073458060.db2.gz XSRGBPADPIHBMZ-MRXNPFEDSA-N 0 0 449.537 -0.283 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001299310252 1073457327 /nfs/dbraw/zinc/45/73/27/1073457327.db2.gz ACUSZVUSEMPICJ-DLBZAZTESA-N 0 0 442.586 -0.120 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001299310257 1073457496 /nfs/dbraw/zinc/45/74/96/1073457496.db2.gz ACUSZVUSEMPICJ-IAGOWNOFSA-N 0 0 442.586 -0.120 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001299310258 1073457465 /nfs/dbraw/zinc/45/74/65/1073457465.db2.gz ACUSZVUSEMPICJ-IRXDYDNUSA-N 0 0 442.586 -0.120 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001299310260 1073458212 /nfs/dbraw/zinc/45/82/12/1073458212.db2.gz ACUSZVUSEMPICJ-SJORKVTESA-N 0 0 442.586 -0.120 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C[C@H]2CCCO2)CC1 ZINC001299310523 1073458901 /nfs/dbraw/zinc/45/89/01/1073458901.db2.gz BYAFNECOAOBNFF-CABCVRRESA-N 0 0 441.558 -0.921 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C[C@@H]2CCCO2)CC1 ZINC001299310530 1073458869 /nfs/dbraw/zinc/45/88/69/1073458869.db2.gz BYAFNECOAOBNFF-GJZGRUSLSA-N 0 0 441.558 -0.921 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C[C@H]2CCCO2)CC1 ZINC001299310532 1073458810 /nfs/dbraw/zinc/45/88/10/1073458810.db2.gz BYAFNECOAOBNFF-HUUCEWRRSA-N 0 0 441.558 -0.921 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C[C@@H]2CCCO2)CC1 ZINC001299310534 1073458962 /nfs/dbraw/zinc/45/89/62/1073458962.db2.gz BYAFNECOAOBNFF-LSDHHAIUSA-N 0 0 441.558 -0.921 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(CNC(C)=O)CC1 ZINC001299310728 1073458892 /nfs/dbraw/zinc/45/88/92/1073458892.db2.gz CDEIJEBWCPYYNF-AWEZNQCLSA-N 0 0 427.531 -0.869 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(CNC(C)=O)CC1 ZINC001299310731 1073458935 /nfs/dbraw/zinc/45/89/35/1073458935.db2.gz CDEIJEBWCPYYNF-CQSZACIVSA-N 0 0 427.531 -0.869 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CCC2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001299310833 1073458952 /nfs/dbraw/zinc/45/89/52/1073458952.db2.gz CYICULLZZZHLJM-CYBMUJFWSA-N 0 0 434.588 -0.140 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CCC2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001299310834 1073458942 /nfs/dbraw/zinc/45/89/42/1073458942.db2.gz CYICULLZZZHLJM-ZDUSSCGKSA-N 0 0 434.588 -0.140 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CCC2)nnc1N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC001299311169 1073458860 /nfs/dbraw/zinc/45/88/60/1073458860.db2.gz GOABLLVNPFBOKA-GOSISDBHSA-N 0 0 439.542 -0.091 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CCC2)nnc1N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC001299311170 1073458775 /nfs/dbraw/zinc/45/87/75/1073458775.db2.gz GOABLLVNPFBOKA-SFHVURJKSA-N 0 0 439.542 -0.091 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCCO2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001299311238 1073458930 /nfs/dbraw/zinc/45/89/30/1073458930.db2.gz HFYLZQGUFUGJSF-MCIONIFRSA-N 0 0 431.515 -0.571 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCCO2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001299311239 1073458769 /nfs/dbraw/zinc/45/87/69/1073458769.db2.gz HFYLZQGUFUGJSF-MGPQQGTHSA-N 0 0 431.515 -0.571 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN(C(=O)COC)CC1 ZINC001299311433 1073458917 /nfs/dbraw/zinc/45/89/17/1073458917.db2.gz HWYJSILCOIUQBE-AWEZNQCLSA-N 0 0 430.531 -0.984 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN(C(=O)COC)CC1 ZINC001299311434 1073458989 /nfs/dbraw/zinc/45/89/89/1073458989.db2.gz HWYJSILCOIUQBE-CQSZACIVSA-N 0 0 430.531 -0.984 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CC2)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001299311479 1073458854 /nfs/dbraw/zinc/45/88/54/1073458854.db2.gz ISFSDMCBNBOCHR-UHFFFAOYSA-N 0 0 434.526 -0.475 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H](c2cnn(C)c2)C1 ZINC001299311763 1073459594 /nfs/dbraw/zinc/45/95/94/1073459594.db2.gz KVOZFXIWXNBZJL-KBPBESRZSA-N 0 0 438.514 -0.910 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@H](c2cnn(C)c2)C1 ZINC001299311764 1073459624 /nfs/dbraw/zinc/45/96/24/1073459624.db2.gz KVOZFXIWXNBZJL-KGLIPLIRSA-N 0 0 438.514 -0.910 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H](c2cnn(C)c2)C1 ZINC001299311765 1073459710 /nfs/dbraw/zinc/45/97/10/1073459710.db2.gz KVOZFXIWXNBZJL-UONOGXRCSA-N 0 0 438.514 -0.910 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@@H](c2cnn(C)c2)C1 ZINC001299311766 1073459714 /nfs/dbraw/zinc/45/97/14/1073459714.db2.gz KVOZFXIWXNBZJL-ZIAGYGMSSA-N 0 0 438.514 -0.910 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(O)(C(F)(F)F)CC1 ZINC001299311789 1073459662 /nfs/dbraw/zinc/45/96/62/1073459662.db2.gz LNWXQZPTCRVGPN-JTQLQIEISA-N 0 0 440.448 -0.328 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(O)(C(F)(F)F)CC1 ZINC001299311790 1073459669 /nfs/dbraw/zinc/45/96/69/1073459669.db2.gz LNWXQZPTCRVGPN-SNVBAGLBSA-N 0 0 440.448 -0.328 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@@H](O)CC2(CCCC2)C1 ZINC001299312950 1073458254 /nfs/dbraw/zinc/45/82/54/1073458254.db2.gz WOAFUGZZPORVRD-KBPBESRZSA-N 0 0 426.543 -0.090 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1C[C@H](O)CC2(CCCC2)C1 ZINC001299312951 1073458080 /nfs/dbraw/zinc/45/80/80/1073458080.db2.gz WOAFUGZZPORVRD-KGLIPLIRSA-N 0 0 426.543 -0.090 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1C[C@@H](O)CC2(CCCC2)C1 ZINC001299312952 1073458327 /nfs/dbraw/zinc/45/83/27/1073458327.db2.gz WOAFUGZZPORVRD-UONOGXRCSA-N 0 0 426.543 -0.090 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1C[C@H](O)CC2(CCCC2)C1 ZINC001299312953 1073458185 /nfs/dbraw/zinc/45/81/85/1073458185.db2.gz WOAFUGZZPORVRD-ZIAGYGMSSA-N 0 0 426.543 -0.090 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001299313139 1073458128 /nfs/dbraw/zinc/45/81/28/1073458128.db2.gz XHOIQAFNAKZWAG-AWEZNQCLSA-N 0 0 441.558 -0.285 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001299313142 1073458248 /nfs/dbraw/zinc/45/82/48/1073458248.db2.gz XHOIQAFNAKZWAG-CQSZACIVSA-N 0 0 441.558 -0.285 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCCN(C(=O)C2CC2)CC1 ZINC001299313144 1073458144 /nfs/dbraw/zinc/45/81/44/1073458144.db2.gz XIABQTPWKPAJOQ-AWEZNQCLSA-N 0 0 439.542 -0.773 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCCN(C(=O)C2CC2)CC1 ZINC001299313148 1073458260 /nfs/dbraw/zinc/45/82/60/1073458260.db2.gz XIABQTPWKPAJOQ-CQSZACIVSA-N 0 0 439.542 -0.773 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CCCC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001299313253 1073458195 /nfs/dbraw/zinc/45/81/95/1073458195.db2.gz ZERYMEQPBQWIAD-UHFFFAOYSA-N 0 0 434.588 -0.043 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2csc(C)n2)nnc1N1CCN(C(C)=O)CC1 ZINC001299313491 1073458234 /nfs/dbraw/zinc/45/82/34/1073458234.db2.gz GNBDHOJRUBKYNP-UHFFFAOYSA-N 0 0 427.556 -0.148 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2csc(C)n2)nnc1N1CCC[C@@H](C(N)=O)C1 ZINC001299313507 1073458113 /nfs/dbraw/zinc/45/81/13/1073458113.db2.gz GQTICNIHHOOZBK-GFCCVEGCSA-N 0 0 427.556 -0.115 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2csc(C)n2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001299313524 1073458239 /nfs/dbraw/zinc/45/82/39/1073458239.db2.gz GQTICNIHHOOZBK-LBPRGKRZSA-N 0 0 427.556 -0.115 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1C[C@@H](C)[C@@H](N2CCOCC2)C1 ZINC001299313554 1073458154 /nfs/dbraw/zinc/45/81/54/1073458154.db2.gz HHUJDNOWYVLQQO-AEFFLSMTSA-N 0 0 449.581 -0.030 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1C[C@H](C)[C@H](N2CCOCC2)C1 ZINC001299313555 1073458167 /nfs/dbraw/zinc/45/81/67/1073458167.db2.gz HHUJDNOWYVLQQO-FUHWJXTLSA-N 0 0 449.581 -0.030 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1C[C@@H](C)[C@H](N2CCOCC2)C1 ZINC001299313556 1073458755 /nfs/dbraw/zinc/45/87/55/1073458755.db2.gz HHUJDNOWYVLQQO-SJLPKXTDSA-N 0 0 449.581 -0.030 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1C[C@H](N2CCOCC2)[C@@H](C)C1 ZINC001299313670 1073458842 /nfs/dbraw/zinc/45/88/42/1073458842.db2.gz HHUJDNOWYVLQQO-WMZOPIPTSA-N 0 0 449.581 -0.030 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001299313688 1073458981 /nfs/dbraw/zinc/45/89/81/1073458981.db2.gz HPZJRTZHYNOROC-UHFFFAOYSA-N 0 0 433.538 -0.080 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001299313692 1073458800 /nfs/dbraw/zinc/45/88/00/1073458800.db2.gz HSRSHRSCMXPUNW-UHFFFAOYSA-N 0 0 442.567 -0.174 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001299313768 1073458040 /nfs/dbraw/zinc/45/80/40/1073458040.db2.gz KKCSPZMNUCPRIT-CYBMUJFWSA-N 0 0 430.556 -0.318 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001299313769 1073458072 /nfs/dbraw/zinc/45/80/72/1073458072.db2.gz KKCSPZMNUCPRIT-ZDUSSCGKSA-N 0 0 430.556 -0.318 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001299313794 1073460922 /nfs/dbraw/zinc/46/09/22/1073460922.db2.gz LGMPRPNMRLZRKN-ZBFHGGJFSA-N 0 0 433.538 -0.225 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCN(c2ncccn2)CC1 ZINC001299313808 1073460835 /nfs/dbraw/zinc/46/08/35/1073460835.db2.gz LPFVPUARLHZVDC-UHFFFAOYSA-N 0 0 443.537 -0.070 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001299313855 1073459705 /nfs/dbraw/zinc/45/97/05/1073459705.db2.gz MRKCYQUHHHCMDD-JKSUJKDBSA-N 0 0 433.538 -0.130 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001299313964 1073459643 /nfs/dbraw/zinc/45/96/43/1073459643.db2.gz QLCSRODWJGKPSF-UHFFFAOYSA-N 0 0 444.521 -0.531 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCN(c2cccnn2)CC1 ZINC001299314088 1073459606 /nfs/dbraw/zinc/45/96/06/1073459606.db2.gz UOELUGCBCLFMHX-UHFFFAOYSA-N 0 0 443.537 -0.070 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC2CC2)CC1 ZINC001299314287 1073459562 /nfs/dbraw/zinc/45/95/62/1073459562.db2.gz CAJAMBBRWWXSBC-HNNXBMFYSA-N 0 0 446.599 -0.739 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC2CC2)CC1 ZINC001299314288 1073459696 /nfs/dbraw/zinc/45/96/96/1073459696.db2.gz CAJAMBBRWWXSBC-OAHLLOKOSA-N 0 0 446.599 -0.739 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)Cc1cscn1 ZINC001299314318 1073459617 /nfs/dbraw/zinc/45/96/17/1073459617.db2.gz DATJUUZLKJFBCZ-LLVKDONJSA-N 0 0 434.569 -0.178 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)Cc1cscn1 ZINC001299314319 1073459553 /nfs/dbraw/zinc/45/95/53/1073459553.db2.gz DATJUUZLKJFBCZ-NSHDSACASA-N 0 0 434.569 -0.178 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N(C)Cc1ncnn1CC(F)F ZINC001299314408 1073459575 /nfs/dbraw/zinc/45/95/75/1073459575.db2.gz GBYFJLKSYZSRLA-LLVKDONJSA-N 0 0 434.473 -0.176 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N(C)Cc1ncnn1CC(F)F ZINC001299314409 1073459677 /nfs/dbraw/zinc/45/96/77/1073459677.db2.gz GBYFJLKSYZSRLA-NSHDSACASA-N 0 0 434.473 -0.176 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(F)(F)CC1 ZINC001299314545 1073459630 /nfs/dbraw/zinc/45/96/30/1073459630.db2.gz JQDOYVVGSFUBKX-LLVKDONJSA-N 0 0 427.499 -0.035 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(F)(F)CC1 ZINC001299314546 1073459691 /nfs/dbraw/zinc/45/96/91/1073459691.db2.gz JQDOYVVGSFUBKX-NSHDSACASA-N 0 0 427.499 -0.035 20 0 IBADRN CNS(=O)(=O)CCn1c(CC(C)C)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001299314582 1073459650 /nfs/dbraw/zinc/45/96/50/1073459650.db2.gz LGCWAOZPJAJAFD-UHFFFAOYSA-N 0 0 429.591 -0.328 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C)C1 ZINC001299314702 1073460370 /nfs/dbraw/zinc/46/03/70/1073460370.db2.gz MJLQZTBZAFIIBF-CHWSQXEVSA-N 0 0 436.560 -0.994 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C)C1 ZINC001299314708 1073460275 /nfs/dbraw/zinc/46/02/75/1073460275.db2.gz MJLQZTBZAFIIBF-OLZOCXBDSA-N 0 0 436.560 -0.994 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C)C1 ZINC001299314711 1073460317 /nfs/dbraw/zinc/46/03/17/1073460317.db2.gz MJLQZTBZAFIIBF-QWHCGFSZSA-N 0 0 436.560 -0.994 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C)C1 ZINC001299314712 1073460302 /nfs/dbraw/zinc/46/03/02/1073460302.db2.gz MJLQZTBZAFIIBF-STQMWFEESA-N 0 0 436.560 -0.994 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCC2)C1 ZINC001299314762 1073460290 /nfs/dbraw/zinc/46/02/90/1073460290.db2.gz NEGJIECTRVUOLG-CYBMUJFWSA-N 0 0 433.556 -0.511 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCC2)C1 ZINC001299314763 1073460311 /nfs/dbraw/zinc/46/03/11/1073460311.db2.gz NEGJIECTRVUOLG-ZDUSSCGKSA-N 0 0 433.556 -0.511 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](OCC(C)C)C1 ZINC001299314805 1073460356 /nfs/dbraw/zinc/46/03/56/1073460356.db2.gz NUELSRDPCSQFRD-CABCVRRESA-N 0 0 449.599 -0.019 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](OCC(C)C)C1 ZINC001299314806 1073460296 /nfs/dbraw/zinc/46/02/96/1073460296.db2.gz NUELSRDPCSQFRD-GJZGRUSLSA-N 0 0 449.599 -0.019 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](OCC(C)C)C1 ZINC001299314807 1073460322 /nfs/dbraw/zinc/46/03/22/1073460322.db2.gz NUELSRDPCSQFRD-HUUCEWRRSA-N 0 0 449.599 -0.019 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](OCC(C)C)C1 ZINC001299314808 1073460362 /nfs/dbraw/zinc/46/03/62/1073460362.db2.gz NUELSRDPCSQFRD-LSDHHAIUSA-N 0 0 449.599 -0.019 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H]1CCCOC1 ZINC001299315054 1073460381 /nfs/dbraw/zinc/46/03/81/1073460381.db2.gz OYZCDHMCXQCUSC-KBPBESRZSA-N 0 0 435.572 -0.408 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H]1CCCOC1 ZINC001299315055 1073460283 /nfs/dbraw/zinc/46/02/83/1073460283.db2.gz OYZCDHMCXQCUSC-KGLIPLIRSA-N 0 0 435.572 -0.408 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H]1CCCOC1 ZINC001299315056 1073460329 /nfs/dbraw/zinc/46/03/29/1073460329.db2.gz OYZCDHMCXQCUSC-UONOGXRCSA-N 0 0 435.572 -0.408 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H]1CCCOC1 ZINC001299315057 1073460353 /nfs/dbraw/zinc/46/03/53/1073460353.db2.gz OYZCDHMCXQCUSC-ZIAGYGMSSA-N 0 0 435.572 -0.408 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001299315064 1073460376 /nfs/dbraw/zinc/46/03/76/1073460376.db2.gz PBHZNBWCSKKJDG-CABCVRRESA-N 0 0 442.542 -0.841 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001299315065 1073460347 /nfs/dbraw/zinc/46/03/47/1073460347.db2.gz PBHZNBWCSKKJDG-GJZGRUSLSA-N 0 0 442.542 -0.841 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001299315066 1073460261 /nfs/dbraw/zinc/46/02/61/1073460261.db2.gz PBHZNBWCSKKJDG-HUUCEWRRSA-N 0 0 442.542 -0.841 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001299315067 1073460342 /nfs/dbraw/zinc/46/03/42/1073460342.db2.gz PBHZNBWCSKKJDG-LSDHHAIUSA-N 0 0 442.542 -0.841 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001299315417 1073460245 /nfs/dbraw/zinc/46/02/45/1073460245.db2.gz QBUCJALKCSOJAW-CYBMUJFWSA-N 0 0 430.531 -0.937 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001299315418 1073460374 /nfs/dbraw/zinc/46/03/74/1073460374.db2.gz QBUCJALKCSOJAW-ZDUSSCGKSA-N 0 0 430.531 -0.937 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC=C(C)CC1 ZINC001299315544 1073460937 /nfs/dbraw/zinc/46/09/37/1073460937.db2.gz SEQYFRSREFBMRG-AWEZNQCLSA-N 0 0 448.571 -0.683 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC=C(C)CC1 ZINC001299315545 1073460843 /nfs/dbraw/zinc/46/08/43/1073460843.db2.gz SEQYFRSREFBMRG-CQSZACIVSA-N 0 0 448.571 -0.683 20 0 IBADRN CNS(=O)(=O)CCn1c(CC(C)(C)C)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001299316343 1073460900 /nfs/dbraw/zinc/46/09/00/1073460900.db2.gz XGVBIXHWHJKZNG-UHFFFAOYSA-N 0 0 429.591 -0.374 20 0 IBADRN CNS(=O)(=O)CCn1c(CCc2ccc(OC)cc2)nnc1N1CCNC(=O)CC1 ZINC001299316358 1073461681 /nfs/dbraw/zinc/46/16/81/1073461681.db2.gz BCAYVSAKXHWWMB-UHFFFAOYSA-N 0 0 436.538 -0.053 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCN(CCOC)CC1 ZINC001299316492 1073460887 /nfs/dbraw/zinc/46/08/87/1073460887.db2.gz DKFSLUNAQWAFGC-UHFFFAOYSA-N 0 0 440.574 -0.543 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2[C@@H](COC)[C@@H]2C1 ZINC001299316705 1073460814 /nfs/dbraw/zinc/46/08/14/1073460814.db2.gz ZKVLDFUZLPQNPF-BYNSBNAKSA-N 0 0 447.583 -0.552 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2[C@@H](COC)[C@@H]2C1 ZINC001299316707 1073460829 /nfs/dbraw/zinc/46/08/29/1073460829.db2.gz ZKVLDFUZLPQNPF-LXTVHRRPSA-N 0 0 447.583 -0.552 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N(C)CC1COC(C)(C)OC1 ZINC001299317295 1073460856 /nfs/dbraw/zinc/46/08/56/1073460856.db2.gz NRESLROZAVNTOM-UHFFFAOYSA-N 0 0 444.558 -0.215 20 0 IBADRN CNS(=O)(=O)CCn1c(CC2CCCC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001299317328 1073460802 /nfs/dbraw/zinc/46/08/02/1073460802.db2.gz OPNWNIWBWHTQIO-UHFFFAOYSA-N 0 0 434.588 -0.358 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(C1)CCCOC2 ZINC001299317572 1073460909 /nfs/dbraw/zinc/46/09/09/1073460909.db2.gz OZTLHIQKQCCTKR-GOSISDBHSA-N 0 0 426.543 -0.043 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@]2(C1)CCCOC2 ZINC001299317574 1073460875 /nfs/dbraw/zinc/46/08/75/1073460875.db2.gz OZTLHIQKQCCTKR-SFHVURJKSA-N 0 0 426.543 -0.043 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001299317717 1073460916 /nfs/dbraw/zinc/46/09/16/1073460916.db2.gz QNHLIFZVCVOEFP-CYBMUJFWSA-N 0 0 427.531 -0.993 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001299317719 1073460794 /nfs/dbraw/zinc/46/07/94/1073460794.db2.gz QNHLIFZVCVOEFP-ZDUSSCGKSA-N 0 0 427.531 -0.993 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N(C)CCS(C)(=O)=O ZINC001299317773 1073460882 /nfs/dbraw/zinc/46/08/82/1073460882.db2.gz QWICWUGQHSPNST-UHFFFAOYSA-N 0 0 433.560 -0.830 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccsc2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001299318165 1073460823 /nfs/dbraw/zinc/46/08/23/1073460823.db2.gz UBEOWZQXJKXKBJ-CYBMUJFWSA-N 0 0 425.540 -0.307 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccsc2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001299318166 1073460851 /nfs/dbraw/zinc/46/08/51/1073460851.db2.gz UBEOWZQXJKXKBJ-ZDUSSCGKSA-N 0 0 425.540 -0.307 20 0 IBADRN COCC(COC)N1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001299318970 1073460930 /nfs/dbraw/zinc/46/09/30/1073460930.db2.gz NCMJXUDMLSHAJL-UHFFFAOYSA-N 0 0 442.538 -0.271 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC001299319423 1073461746 /nfs/dbraw/zinc/46/17/46/1073461746.db2.gz SYQSWWJINYDRIF-APWZRJJASA-N 0 0 443.566 -0.172 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC001299319424 1073461689 /nfs/dbraw/zinc/46/16/89/1073461689.db2.gz SYQSWWJINYDRIF-LPHOPBHVSA-N 0 0 443.566 -0.172 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CC1 ZINC001299319425 1073461739 /nfs/dbraw/zinc/46/17/39/1073461739.db2.gz SYQSWWJINYDRIF-QFBILLFUSA-N 0 0 443.566 -0.172 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CC1 ZINC001299319426 1073461684 /nfs/dbraw/zinc/46/16/84/1073461684.db2.gz SYQSWWJINYDRIF-VQIMIIECSA-N 0 0 443.566 -0.172 20 0 IBADRN CNS(=O)(=O)CCn1c(COc2ccc(OC)cc2)nnc1N1CCN(C)C(=O)C1 ZINC001299321372 1074115498 /nfs/dbraw/zinc/11/54/98/1074115498.db2.gz CKSILWQKWALZLK-UHFFFAOYSA-N 0 0 438.510 -0.307 20 0 IBADRN CNS(=O)(=O)CCn1c(N2CCN(CC(=O)NC(C)C)CC2)nnc1C(C)(C)C ZINC001299322340 1074115622 /nfs/dbraw/zinc/11/56/22/1074115622.db2.gz BMKGKUMHBCKBSQ-UHFFFAOYSA-N 0 0 429.591 -0.229 20 0 IBADRN CNS(=O)(=O)CCn1c(CNC(=O)c2cccs2)nnc1N1CCN(C)C(=O)C1 ZINC001299322544 1074115683 /nfs/dbraw/zinc/11/56/83/1074115683.db2.gz QELRWSXYZFDNMY-UHFFFAOYSA-N 0 0 441.539 -0.903 20 0 IBADRN CNS(=O)(=O)CCn1c(N2CCNC(=O)CC2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001299322590 1074115645 /nfs/dbraw/zinc/11/56/45/1074115645.db2.gz FPHXXNUVBVDBBK-KRWDZBQOSA-N 0 0 430.531 -0.806 20 0 IBADRN CNS(=O)(=O)CCn1c(N2CCNC(=O)CC2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001299322591 1074115678 /nfs/dbraw/zinc/11/56/78/1074115678.db2.gz FPHXXNUVBVDBBK-QGZVFWFLSA-N 0 0 430.531 -0.806 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CC[C@@](C)(N2CCOCC2)C1 ZINC001299323356 1074116066 /nfs/dbraw/zinc/11/60/66/1074116066.db2.gz NUIYNKQGICMMLA-CRAIPNDOSA-N 0 0 428.559 -0.020 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CC[C@](C)(N2CCOCC2)C1 ZINC001299323357 1074116051 /nfs/dbraw/zinc/11/60/51/1074116051.db2.gz NUIYNKQGICMMLA-QAPCUYQASA-N 0 0 428.559 -0.020 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)C1 ZINC001299326873 1074116034 /nfs/dbraw/zinc/11/60/34/1074116034.db2.gz LUALCTVAJDZFBS-BFHYXJOUSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)C1 ZINC001299326874 1074116226 /nfs/dbraw/zinc/11/62/26/1074116226.db2.gz LUALCTVAJDZFBS-HZSPNIEDSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)C1 ZINC001299326875 1074116201 /nfs/dbraw/zinc/11/62/01/1074116201.db2.gz LUALCTVAJDZFBS-MELADBBJSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)C1 ZINC001299326876 1074116107 /nfs/dbraw/zinc/11/61/07/1074116107.db2.gz LUALCTVAJDZFBS-MGPQQGTHSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299326881 1074116158 /nfs/dbraw/zinc/11/61/58/1074116158.db2.gz LWUQIQKGWSNTLQ-GXTWGEPZSA-N 0 0 442.542 -0.196 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299326882 1074116048 /nfs/dbraw/zinc/11/60/48/1074116048.db2.gz LWUQIQKGWSNTLQ-JSGCOSHPSA-N 0 0 442.542 -0.196 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299326883 1074116061 /nfs/dbraw/zinc/11/60/61/1074116061.db2.gz LWUQIQKGWSNTLQ-OCCSQVGLSA-N 0 0 442.542 -0.196 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001299326884 1074116075 /nfs/dbraw/zinc/11/60/75/1074116075.db2.gz LWUQIQKGWSNTLQ-TZMCWYRMSA-N 0 0 442.542 -0.196 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCOCCS(C)(=O)=O)C1 ZINC001299327642 1074116165 /nfs/dbraw/zinc/11/61/65/1074116165.db2.gz QYRXSCBQAVGTKN-AWEZNQCLSA-N 0 0 445.542 -0.133 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCOCCS(C)(=O)=O)C1 ZINC001299327643 1074116084 /nfs/dbraw/zinc/11/60/84/1074116084.db2.gz QYRXSCBQAVGTKN-CQSZACIVSA-N 0 0 445.542 -0.133 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001299339428 1074477130 /nfs/dbraw/zinc/47/71/30/1074477130.db2.gz LWUNSAZSLNVFCN-QLFBSQMISA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001299339429 1074477162 /nfs/dbraw/zinc/47/71/62/1074477162.db2.gz LWUNSAZSLNVFCN-RBSFLKMASA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001299339430 1074477094 /nfs/dbraw/zinc/47/70/94/1074477094.db2.gz LWUNSAZSLNVFCN-RRFJBIMHSA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001299339431 1074477166 /nfs/dbraw/zinc/47/71/66/1074477166.db2.gz LWUNSAZSLNVFCN-SOUVJXGZSA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)NC(C)C)C1 ZINC001299349489 1074478647 /nfs/dbraw/zinc/47/86/47/1074478647.db2.gz CFPMGFIDHSUJDW-CYBMUJFWSA-N 0 0 430.531 -0.257 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)NC(C)C)C1 ZINC001299349495 1074478642 /nfs/dbraw/zinc/47/86/42/1074478642.db2.gz CFPMGFIDHSUJDW-ZDUSSCGKSA-N 0 0 430.531 -0.257 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)c2ccn[nH]2)C1 ZINC001299349615 1074480087 /nfs/dbraw/zinc/48/00/87/1074480087.db2.gz DASFRIUYLKGKPF-CYBMUJFWSA-N 0 0 430.469 -0.334 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)c2ccn[nH]2)C1 ZINC001299349616 1074480621 /nfs/dbraw/zinc/48/06/21/1074480621.db2.gz DASFRIUYLKGKPF-ZDUSSCGKSA-N 0 0 430.469 -0.334 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)C1 ZINC001299357058 1074481071 /nfs/dbraw/zinc/48/10/71/1074481071.db2.gz PLRQNDIAXFUIOD-AHIWAGSCSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)C1 ZINC001299357059 1074481007 /nfs/dbraw/zinc/48/10/07/1074481007.db2.gz PLRQNDIAXFUIOD-OGHNNQOOSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)C1 ZINC001299357060 1074481173 /nfs/dbraw/zinc/48/11/73/1074481173.db2.gz PLRQNDIAXFUIOD-PBFPGSCMSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)C1 ZINC001299357061 1074481584 /nfs/dbraw/zinc/48/15/84/1074481584.db2.gz PLRQNDIAXFUIOD-XNJGSVPQSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001299359631 1074481681 /nfs/dbraw/zinc/48/16/81/1074481681.db2.gz JBRDFGKPRDBQMI-AEGPPILISA-N 0 0 445.542 -0.182 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001299359632 1074481644 /nfs/dbraw/zinc/48/16/44/1074481644.db2.gz JBRDFGKPRDBQMI-VHDGCEQUSA-N 0 0 445.542 -0.182 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)C1 ZINC001299359872 1074481632 /nfs/dbraw/zinc/48/16/32/1074481632.db2.gz LCPMGFWLNYVDHJ-CHWSQXEVSA-N 0 0 430.531 -0.340 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)C1 ZINC001299359874 1074481628 /nfs/dbraw/zinc/48/16/28/1074481628.db2.gz LCPMGFWLNYVDHJ-OLZOCXBDSA-N 0 0 430.531 -0.340 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)C1 ZINC001299359876 1074481690 /nfs/dbraw/zinc/48/16/90/1074481690.db2.gz LCPMGFWLNYVDHJ-QWHCGFSZSA-N 0 0 430.531 -0.340 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)C1 ZINC001299359880 1074481648 /nfs/dbraw/zinc/48/16/48/1074481648.db2.gz LCPMGFWLNYVDHJ-STQMWFEESA-N 0 0 430.531 -0.340 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)CC1 ZINC001299359897 1074481594 /nfs/dbraw/zinc/48/15/94/1074481594.db2.gz LGGUUYLVVMCNHN-GFCCVEGCSA-N 0 0 442.542 -0.196 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)CC1 ZINC001299359898 1074481636 /nfs/dbraw/zinc/48/16/36/1074481636.db2.gz LGGUUYLVVMCNHN-LBPRGKRZSA-N 0 0 442.542 -0.196 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)C1 ZINC001299364770 1074481677 /nfs/dbraw/zinc/48/16/77/1074481677.db2.gz QXJRWSFRGRYFIF-KBXCAEBGSA-N 0 0 445.542 -0.133 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)C1 ZINC001299364771 1074481662 /nfs/dbraw/zinc/48/16/62/1074481662.db2.gz QXJRWSFRGRYFIF-KDOFPFPSSA-N 0 0 445.542 -0.133 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)C1 ZINC001299364773 1074481656 /nfs/dbraw/zinc/48/16/56/1074481656.db2.gz QXJRWSFRGRYFIF-KSSFIOAISA-N 0 0 445.542 -0.133 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)C1 ZINC001299364776 1074481672 /nfs/dbraw/zinc/48/16/72/1074481672.db2.gz QXJRWSFRGRYFIF-RDTXWAMCSA-N 0 0 445.542 -0.133 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC(S(C)(=O)=O)C2)c1OC ZINC001299372577 1074481982 /nfs/dbraw/zinc/48/19/82/1074481982.db2.gz NJCOKSWSCWCTIE-UHFFFAOYSA-N 0 0 448.519 -0.406 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CC(S(C)(=O)=O)C1)CS2(=O)=O ZINC001299373173 1074482258 /nfs/dbraw/zinc/48/22/58/1074482258.db2.gz WAZKDFQCUZRZFH-BNOWGMLFSA-N 0 0 436.552 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)N3CC(S(C)(=O)=O)C3)CS(=O)(=O)[C@@H]2C1 ZINC001299373174 1074482060 /nfs/dbraw/zinc/48/20/60/1074482060.db2.gz WAZKDFQCUZRZFH-IACUBPJLSA-N 0 0 436.552 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CC(S(C)(=O)=O)C3)CS(=O)(=O)[C@@H]2C1 ZINC001299373175 1074482216 /nfs/dbraw/zinc/48/22/16/1074482216.db2.gz WAZKDFQCUZRZFH-MRVWCRGKSA-N 0 0 436.552 -0.088 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)N1CC(S(C)(=O)=O)C1)CS2(=O)=O ZINC001299373176 1074482201 /nfs/dbraw/zinc/48/22/01/1074482201.db2.gz WAZKDFQCUZRZFH-YUTCNCBUSA-N 0 0 436.552 -0.088 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C1 ZINC001299388524 1074482194 /nfs/dbraw/zinc/48/21/94/1074482194.db2.gz AYGBWSHVWSQLBF-CVEARBPZSA-N 0 0 441.554 -0.098 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C1 ZINC001299388526 1074482250 /nfs/dbraw/zinc/48/22/50/1074482250.db2.gz AYGBWSHVWSQLBF-HOTGVXAUSA-N 0 0 441.554 -0.098 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C1 ZINC001299388530 1074482098 /nfs/dbraw/zinc/48/20/98/1074482098.db2.gz AYGBWSHVWSQLBF-HZPDHXFCSA-N 0 0 441.554 -0.098 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C1 ZINC001299388531 1074482045 /nfs/dbraw/zinc/48/20/45/1074482045.db2.gz AYGBWSHVWSQLBF-JKSUJKDBSA-N 0 0 441.554 -0.098 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C1 ZINC001299388830 1074481960 /nfs/dbraw/zinc/48/19/60/1074481960.db2.gz CJHXMZONZQFCQJ-CHWSQXEVSA-N 0 0 431.515 -0.619 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C1 ZINC001299388831 1074482038 /nfs/dbraw/zinc/48/20/38/1074482038.db2.gz CJHXMZONZQFCQJ-OLZOCXBDSA-N 0 0 431.515 -0.619 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C1 ZINC001299388832 1074482212 /nfs/dbraw/zinc/48/22/12/1074482212.db2.gz CJHXMZONZQFCQJ-QWHCGFSZSA-N 0 0 431.515 -0.619 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C1 ZINC001299388833 1074482028 /nfs/dbraw/zinc/48/20/28/1074482028.db2.gz CJHXMZONZQFCQJ-STQMWFEESA-N 0 0 431.515 -0.619 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCN(C)C2)CC1 ZINC001299390439 1074482004 /nfs/dbraw/zinc/48/20/04/1074482004.db2.gz NESZPAIXIYUMGT-BEFAXECRSA-N 0 0 441.554 -0.364 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCN(C)C2)CC1 ZINC001299390442 1074482109 /nfs/dbraw/zinc/48/21/09/1074482109.db2.gz NESZPAIXIYUMGT-DNVCBOLYSA-N 0 0 441.554 -0.364 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCN(C)C2)CC1 ZINC001299390445 1074482135 /nfs/dbraw/zinc/48/21/35/1074482135.db2.gz NESZPAIXIYUMGT-HNAYVOBHSA-N 0 0 441.554 -0.364 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCN(C)C2)CC1 ZINC001299390447 1074482226 /nfs/dbraw/zinc/48/22/26/1074482226.db2.gz NESZPAIXIYUMGT-KXBFYZLASA-N 0 0 441.554 -0.364 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001299392085 1074482598 /nfs/dbraw/zinc/48/25/98/1074482598.db2.gz ZTXFEQOQTFSQRV-CABCVRRESA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001299392087 1074482669 /nfs/dbraw/zinc/48/26/69/1074482669.db2.gz ZTXFEQOQTFSQRV-GJZGRUSLSA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2cc(C)nn2C)C[C@H]1O ZINC001299404247 1074482606 /nfs/dbraw/zinc/48/26/06/1074482606.db2.gz PUQWFOMFPBYILO-GDBMZVCRSA-N 0 0 431.497 -0.035 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CC(=O)N(C)C)C[C@H]1O ZINC001299407917 1074482621 /nfs/dbraw/zinc/48/26/21/1074482621.db2.gz HMKWGSZTNXXZFH-STXHMFSFSA-N 0 0 439.513 -0.233 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2CC(=O)N(C)C)C[C@H]1O ZINC001299407923 1074482569 /nfs/dbraw/zinc/48/25/69/1074482569.db2.gz HMKWGSZTNXXZFH-SXGZJXTBSA-N 0 0 439.513 -0.233 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC2)C[C@H]1O ZINC001299408198 1074482590 /nfs/dbraw/zinc/48/25/90/1074482590.db2.gz JXAFHFFNCQBBQQ-AAVRWANBSA-N 0 0 431.515 -0.715 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC2)C[C@H]1O ZINC001299408202 1074482655 /nfs/dbraw/zinc/48/26/55/1074482655.db2.gz JXAFHFFNCQBBQQ-IGQOVBAYSA-N 0 0 431.515 -0.715 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC2)C[C@H]1O ZINC001299408204 1074482627 /nfs/dbraw/zinc/48/26/27/1074482627.db2.gz JXAFHFFNCQBBQQ-REWJHTLYSA-N 0 0 431.515 -0.715 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC2)C[C@H]1O ZINC001299408206 1074482652 /nfs/dbraw/zinc/48/26/52/1074482652.db2.gz JXAFHFFNCQBBQQ-XJFOESAGSA-N 0 0 431.515 -0.715 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(-c3ccccn3)n2CC(=O)N2CCOCC2)C[C@H]1O ZINC001299413941 1074482659 /nfs/dbraw/zinc/48/26/59/1074482659.db2.gz UDGCRUZGEGUDOF-GDBMZVCRSA-N 0 0 430.465 -0.441 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCOC2)C[C@H]1O ZINC001299416219 1074483144 /nfs/dbraw/zinc/48/31/44/1074483144.db2.gz CXBWLLHVVWBLMR-BYNSBNAKSA-N 0 0 428.511 -0.423 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCOC2)C[C@H]1O ZINC001299416220 1074483222 /nfs/dbraw/zinc/48/32/22/1074483222.db2.gz CXBWLLHVVWBLMR-GBJTYRQASA-N 0 0 428.511 -0.423 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCOC2)C[C@H]1O ZINC001299416221 1074483128 /nfs/dbraw/zinc/48/31/28/1074483128.db2.gz CXBWLLHVVWBLMR-KBUPBQIOSA-N 0 0 428.511 -0.423 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCOC2)C[C@H]1O ZINC001299416222 1074483151 /nfs/dbraw/zinc/48/31/51/1074483151.db2.gz CXBWLLHVVWBLMR-LXTVHRRPSA-N 0 0 428.511 -0.423 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(-c3cccc(C)n3)n2CC(=O)N2CCOCC2)C[C@H]1O ZINC001299416353 1074483105 /nfs/dbraw/zinc/48/31/05/1074483105.db2.gz NVTQEUYXSJPJOM-NVXWUHKLSA-N 0 0 444.492 -0.132 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCNC(=O)C(C)(C)C)C[C@H]1O ZINC001299416397 1074482666 /nfs/dbraw/zinc/48/26/66/1074482666.db2.gz OPLFUOKJYYUDSJ-HZSPNIEDSA-N 0 0 436.513 -0.248 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCNC(=O)C(C)(C)C)C[C@H]1O ZINC001299416399 1074482625 /nfs/dbraw/zinc/48/26/25/1074482625.db2.gz OPLFUOKJYYUDSJ-MGPQQGTHSA-N 0 0 436.513 -0.248 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(C3CC3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C[C@H]1O ZINC001299416886 1074482639 /nfs/dbraw/zinc/48/26/39/1074482639.db2.gz QIGIBMJYIXNAGS-BPLDGKMQSA-N 0 0 427.527 -0.203 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(C3CC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)C[C@H]1O ZINC001299416890 1074482640 /nfs/dbraw/zinc/48/26/40/1074482640.db2.gz QIGIBMJYIXNAGS-NWANDNLSSA-N 0 0 427.527 -0.203 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CC2(CS(C)(=O)=O)CC2)C[C@H]1O ZINC001299416974 1074482618 /nfs/dbraw/zinc/48/26/18/1074482618.db2.gz KMHMAYDLHHEASM-RBSFLKMASA-N 0 0 442.538 -0.033 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CC2(CS(C)(=O)=O)CC2)C[C@H]1O ZINC001299416978 1074482577 /nfs/dbraw/zinc/48/25/77/1074482577.db2.gz KMHMAYDLHHEASM-RRFJBIMHSA-N 0 0 442.538 -0.033 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCCCO3)n2CCS(=O)(=O)N(C)C)C[C@H]1O ZINC001299416987 1074482613 /nfs/dbraw/zinc/48/26/13/1074482613.db2.gz KOLXJJBGSLARAT-KFWWJZLASA-N 0 0 445.542 -0.229 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCCCO3)n2CCS(=O)(=O)N(C)C)C[C@H]1O ZINC001299416989 1074482632 /nfs/dbraw/zinc/48/26/32/1074482632.db2.gz KOLXJJBGSLARAT-RBSFLKMASA-N 0 0 445.542 -0.229 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOC(C)C)C[C@H]1O ZINC001299417135 1074483159 /nfs/dbraw/zinc/48/31/59/1074483159.db2.gz LHZMAQWOFYZXOI-RBSFLKMASA-N 0 0 430.527 -0.035 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOC(C)C)C[C@H]1O ZINC001299417136 1074483249 /nfs/dbraw/zinc/48/32/49/1074483249.db2.gz LHZMAQWOFYZXOI-RRFJBIMHSA-N 0 0 430.527 -0.035 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)C[C@H]1O ZINC001299417311 1074483211 /nfs/dbraw/zinc/48/32/11/1074483211.db2.gz NCMBCAISWVLMNB-BYNSBNAKSA-N 0 0 428.511 -0.423 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)C[C@H]1O ZINC001299417313 1074483172 /nfs/dbraw/zinc/48/31/72/1074483172.db2.gz NCMBCAISWVLMNB-GBJTYRQASA-N 0 0 428.511 -0.423 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)C[C@H]1O ZINC001299417315 1074483180 /nfs/dbraw/zinc/48/31/80/1074483180.db2.gz NCMBCAISWVLMNB-KBUPBQIOSA-N 0 0 428.511 -0.423 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)C[C@H]1O ZINC001299417317 1074483239 /nfs/dbraw/zinc/48/32/39/1074483239.db2.gz NCMBCAISWVLMNB-LXTVHRRPSA-N 0 0 428.511 -0.423 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2NC(=O)CC2(C)C)C[C@H]1O ZINC001299417385 1074483256 /nfs/dbraw/zinc/48/32/56/1074483256.db2.gz VAMIBWAWVRRPNX-AAVRWANBSA-N 0 0 434.497 -0.496 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2NC(=O)CC2(C)C)C[C@H]1O ZINC001299417386 1074483116 /nfs/dbraw/zinc/48/31/16/1074483116.db2.gz VAMIBWAWVRRPNX-RQJABVFESA-N 0 0 434.497 -0.496 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2NC(=O)CC2(C)C)C[C@H]1O ZINC001299417387 1074483229 /nfs/dbraw/zinc/48/32/29/1074483229.db2.gz VAMIBWAWVRRPNX-SYQHCUMBSA-N 0 0 434.497 -0.496 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2NC(=O)CC2(C)C)C[C@H]1O ZINC001299417388 1074483079 /nfs/dbraw/zinc/48/30/79/1074483079.db2.gz VAMIBWAWVRRPNX-XJFOESAGSA-N 0 0 434.497 -0.496 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CCCNS(C)(=O)=O)C[C@H]1O ZINC001299417484 1074483136 /nfs/dbraw/zinc/48/31/36/1074483136.db2.gz NHNXPRMKAVRLRI-BFHYXJOUSA-N 0 0 431.515 -0.919 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CCCNS(C)(=O)=O)C[C@H]1O ZINC001299417486 1074483202 /nfs/dbraw/zinc/48/32/02/1074483202.db2.gz NHNXPRMKAVRLRI-MGPQQGTHSA-N 0 0 431.515 -0.919 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(-c3cccnc3)n2CCC(=O)N2CCOCC2)C[C@H]1O ZINC001299417691 1074483186 /nfs/dbraw/zinc/48/31/86/1074483186.db2.gz XCINIMTWICIYPO-IAGOWNOFSA-N 0 0 444.492 -0.051 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CC2(S(C)(=O)=O)CC2)C[C@H]1O ZINC001299417855 1074483194 /nfs/dbraw/zinc/48/31/94/1074483194.db2.gz RISWZCKGXVXKEC-BFHYXJOUSA-N 0 0 428.511 -0.281 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CC2(S(C)(=O)=O)CC2)C[C@H]1O ZINC001299417856 1074483164 /nfs/dbraw/zinc/48/31/64/1074483164.db2.gz RISWZCKGXVXKEC-MGPQQGTHSA-N 0 0 428.511 -0.281 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2Cc2cnn(C)c2C)C[C@H]1O ZINC001299420854 1074483622 /nfs/dbraw/zinc/48/36/22/1074483622.db2.gz MPEUFXYRLQADMA-GDBMZVCRSA-N 0 0 431.497 -0.149 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)NC(C)C)C[C@H]1O ZINC001299423273 1074483660 /nfs/dbraw/zinc/48/36/60/1074483660.db2.gz LFABNRDSSFSBKA-RBSFLKMASA-N 0 0 445.542 -0.182 20 0 IBADRN COC(=O)N1CCN(c2nnc(C(=O)Nc3ccccn3)n2CCS(C)(=O)=O)CC1 ZINC001299428320 1074483575 /nfs/dbraw/zinc/48/35/75/1074483575.db2.gz DKLKPWDALKQKFU-UHFFFAOYSA-N 0 0 437.482 -0.142 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)CC1 ZINC001299430696 1074483522 /nfs/dbraw/zinc/48/35/22/1074483522.db2.gz NJVUXGLOXQMMQY-CXAGYDPISA-N 0 0 446.530 -0.418 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)CC1 ZINC001299430697 1074483548 /nfs/dbraw/zinc/48/35/48/1074483548.db2.gz NJVUXGLOXQMMQY-DYVFJYSZSA-N 0 0 446.530 -0.418 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)CC1 ZINC001299430698 1074483674 /nfs/dbraw/zinc/48/36/74/1074483674.db2.gz NJVUXGLOXQMMQY-GUYCJALGSA-N 0 0 446.530 -0.418 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)CC1 ZINC001299430699 1074483556 /nfs/dbraw/zinc/48/35/56/1074483556.db2.gz NJVUXGLOXQMMQY-SUMWQHHRSA-N 0 0 446.530 -0.418 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001299431224 1074483638 /nfs/dbraw/zinc/48/36/38/1074483638.db2.gz TTZKJJCZWOIDNM-NWDGAFQWSA-N 0 0 429.503 -0.945 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001299431226 1074483540 /nfs/dbraw/zinc/48/35/40/1074483540.db2.gz TTZKJJCZWOIDNM-VXGBXAGGSA-N 0 0 429.503 -0.945 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cc(C)cn2)CC1 ZINC001299431329 1074483604 /nfs/dbraw/zinc/48/36/04/1074483604.db2.gz VGCFPWQXAPWEML-AWEZNQCLSA-N 0 0 440.530 -0.018 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cc(C)cn2)CC1 ZINC001299431330 1074483493 /nfs/dbraw/zinc/48/34/93/1074483493.db2.gz VGCFPWQXAPWEML-CQSZACIVSA-N 0 0 440.530 -0.018 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCC(C)(C)S(C)(=O)=O)c1 ZINC001299439349 1074484253 /nfs/dbraw/zinc/48/42/53/1074484253.db2.gz YQIWMRVOUMGRPC-UHFFFAOYSA-N 0 0 433.552 -0.004 20 0 IBADRN COC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)C[C@@H]1C ZINC001299439404 1074484279 /nfs/dbraw/zinc/48/42/79/1074484279.db2.gz JAXPDTUVCFAZSG-LBPRGKRZSA-N 0 0 426.503 -0.495 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001299442453 1074484247 /nfs/dbraw/zinc/48/42/47/1074484247.db2.gz BYVJONYVWAXIBW-CHWSQXEVSA-N 0 0 426.499 -0.448 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001299442454 1074484249 /nfs/dbraw/zinc/48/42/49/1074484249.db2.gz BYVJONYVWAXIBW-OLZOCXBDSA-N 0 0 426.499 -0.448 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001299442455 1074484281 /nfs/dbraw/zinc/48/42/81/1074484281.db2.gz BYVJONYVWAXIBW-QWHCGFSZSA-N 0 0 426.499 -0.448 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001299442456 1074484207 /nfs/dbraw/zinc/48/42/07/1074484207.db2.gz BYVJONYVWAXIBW-STQMWFEESA-N 0 0 426.499 -0.448 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001299444917 1074484270 /nfs/dbraw/zinc/48/42/70/1074484270.db2.gz IYRPPQFUASNKFU-AWEZNQCLSA-N 0 0 430.531 -0.048 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001299444918 1074484276 /nfs/dbraw/zinc/48/42/76/1074484276.db2.gz IYRPPQFUASNKFU-CQSZACIVSA-N 0 0 430.531 -0.048 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299445522 1074484792 /nfs/dbraw/zinc/48/47/92/1074484792.db2.gz MXAAWYRXMKLMBG-CXAGYDPISA-N 0 0 429.499 -0.780 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299445523 1074484578 /nfs/dbraw/zinc/48/45/78/1074484578.db2.gz MXAAWYRXMKLMBG-DYVFJYSZSA-N 0 0 429.499 -0.780 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299445524 1074484778 /nfs/dbraw/zinc/48/47/78/1074484778.db2.gz MXAAWYRXMKLMBG-GUYCJALGSA-N 0 0 429.499 -0.780 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001299445525 1074484783 /nfs/dbraw/zinc/48/47/83/1074484783.db2.gz MXAAWYRXMKLMBG-SUMWQHHRSA-N 0 0 429.499 -0.780 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)CC1 ZINC001299445693 1074484700 /nfs/dbraw/zinc/48/47/00/1074484700.db2.gz OHKWFMRWOIZRLR-AWEZNQCLSA-N 0 0 440.526 -0.405 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)CC1 ZINC001299445694 1074484754 /nfs/dbraw/zinc/48/47/54/1074484754.db2.gz OHKWFMRWOIZRLR-CQSZACIVSA-N 0 0 440.526 -0.405 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001299445880 1074484234 /nfs/dbraw/zinc/48/42/34/1074484234.db2.gz QANRQGUHJUOZTK-CFJPQMKISA-N 0 0 445.567 -0.020 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001299445881 1074484241 /nfs/dbraw/zinc/48/42/41/1074484241.db2.gz QANRQGUHJUOZTK-DBDZUICISA-N 0 0 445.567 -0.020 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2([S@](C)=O)CC2)CC1 ZINC001299445882 1074484203 /nfs/dbraw/zinc/48/42/03/1074484203.db2.gz QANRQGUHJUOZTK-OIJAAMDRSA-N 0 0 445.567 -0.020 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2([S@](C)=O)CC2)CC1 ZINC001299445883 1074484268 /nfs/dbraw/zinc/48/42/68/1074484268.db2.gz QANRQGUHJUOZTK-QYSRSOONSA-N 0 0 445.567 -0.020 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)C[C@@H]1C ZINC001299446058 1074484230 /nfs/dbraw/zinc/48/42/30/1074484230.db2.gz SEVQJEJQRJXRID-KBPBESRZSA-N 0 0 442.542 -0.065 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)C[C@@H]1C ZINC001299446060 1074484223 /nfs/dbraw/zinc/48/42/23/1074484223.db2.gz SEVQJEJQRJXRID-UONOGXRCSA-N 0 0 442.542 -0.065 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C[C@@H]1C ZINC001299446659 1074484266 /nfs/dbraw/zinc/48/42/66/1074484266.db2.gz ZFYXJNLNJSIHSC-KKUMJFAQSA-N 0 0 443.526 -0.128 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C[C@@H]1C ZINC001299446661 1074484265 /nfs/dbraw/zinc/48/42/65/1074484265.db2.gz ZFYXJNLNJSIHSC-RRFJBIMHSA-N 0 0 443.526 -0.128 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C[C@@H]1C ZINC001299446665 1074484264 /nfs/dbraw/zinc/48/42/64/1074484264.db2.gz ZFYXJNLNJSIHSC-SOUVJXGZSA-N 0 0 443.526 -0.128 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C[C@@H]1C ZINC001299446666 1074484255 /nfs/dbraw/zinc/48/42/55/1074484255.db2.gz ZFYXJNLNJSIHSC-ZNMIVQPWSA-N 0 0 443.526 -0.128 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@H](O)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001299448834 1074484614 /nfs/dbraw/zinc/48/46/14/1074484614.db2.gz CQVIJENWRMLMKL-CVEARBPZSA-N 0 0 428.507 -0.540 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@H](O)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001299448835 1074484696 /nfs/dbraw/zinc/48/46/96/1074484696.db2.gz CQVIJENWRMLMKL-HOTGVXAUSA-N 0 0 428.507 -0.540 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@H](O)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001299448836 1074484673 /nfs/dbraw/zinc/48/46/73/1074484673.db2.gz CQVIJENWRMLMKL-HZPDHXFCSA-N 0 0 428.507 -0.540 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@H](O)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001299448837 1074484651 /nfs/dbraw/zinc/48/46/51/1074484651.db2.gz CQVIJENWRMLMKL-JKSUJKDBSA-N 0 0 428.507 -0.540 20 0 IBADRN COC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)CC1 ZINC001299451230 1074484655 /nfs/dbraw/zinc/48/46/55/1074484655.db2.gz BOZVEUDGBYJVDF-UHFFFAOYSA-N 0 0 435.485 -0.852 20 0 IBADRN COC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCNS(C)(=O)=O)C[C@@H]1C ZINC001299452345 1074484665 /nfs/dbraw/zinc/48/46/65/1074484665.db2.gz OIGOBDMZZHWILK-ZDUSSCGKSA-N 0 0 443.530 -0.773 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC001299452935 1074484762 /nfs/dbraw/zinc/48/47/62/1074484762.db2.gz NAQWOMKIBKUPJT-AWEZNQCLSA-N 0 0 428.511 -0.777 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC001299452937 1074484552 /nfs/dbraw/zinc/48/45/52/1074484552.db2.gz NAQWOMKIBKUPJT-CQSZACIVSA-N 0 0 428.511 -0.777 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001299453521 1074484721 /nfs/dbraw/zinc/48/47/21/1074484721.db2.gz RRVCUZMWNQDFHR-INIZCTEOSA-N 0 0 425.507 -0.116 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001299453522 1074484591 /nfs/dbraw/zinc/48/45/91/1074484591.db2.gz RRVCUZMWNQDFHR-MRXNPFEDSA-N 0 0 425.507 -0.116 20 0 IBADRN COC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)F)CC1 ZINC001299453571 1074484706 /nfs/dbraw/zinc/48/47/06/1074484706.db2.gz XRLWKIVZRZANPO-UHFFFAOYSA-N 0 0 429.428 -0.330 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)C1 ZINC001299455351 1074484737 /nfs/dbraw/zinc/48/47/37/1074484737.db2.gz ALEYTQDDKFZNKL-LLVKDONJSA-N 0 0 446.913 -0.720 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)C1 ZINC001299455352 1074484678 /nfs/dbraw/zinc/48/46/78/1074484678.db2.gz ALEYTQDDKFZNKL-NSHDSACASA-N 0 0 446.913 -0.720 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CCOC[C@@H]1CC(N)=O)CS2(=O)=O ZINC001299465901 1074485223 /nfs/dbraw/zinc/48/52/23/1074485223.db2.gz KNUFXSJZSXJLNQ-BARDWOONSA-N 0 0 445.538 -0.241 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CCOC[C@H]3CC(N)=O)CS(=O)(=O)[C@@H]2C1 ZINC001299465902 1074485280 /nfs/dbraw/zinc/48/52/80/1074485280.db2.gz KNUFXSJZSXJLNQ-KBUPBQIOSA-N 0 0 445.538 -0.241 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CCOC[C@@H]3CC(N)=O)CS(=O)(=O)[C@@H]2C1 ZINC001299465903 1074485194 /nfs/dbraw/zinc/48/51/94/1074485194.db2.gz KNUFXSJZSXJLNQ-LXTVHRRPSA-N 0 0 445.538 -0.241 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CCOC[C@H]1CC(N)=O)CS2(=O)=O ZINC001299465904 1074485231 /nfs/dbraw/zinc/48/52/31/1074485231.db2.gz KNUFXSJZSXJLNQ-TUVASFSCSA-N 0 0 445.538 -0.241 20 0 IBADRN NC(=O)C[C@@H]1COCCN1C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001299466572 1074485224 /nfs/dbraw/zinc/48/52/24/1074485224.db2.gz MWVZMIRYJARMEK-CYBMUJFWSA-N 0 0 427.479 -0.381 20 0 IBADRN NC(=O)C[C@H]1COCCN1C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001299466574 1074485183 /nfs/dbraw/zinc/48/51/83/1074485183.db2.gz MWVZMIRYJARMEK-ZDUSSCGKSA-N 0 0 427.479 -0.381 20 0 IBADRN COC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001299471985 1074485202 /nfs/dbraw/zinc/48/52/02/1074485202.db2.gz TXNVUUZBSSBCNB-GFCCVEGCSA-N 0 0 438.576 -0.605 20 0 IBADRN COC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001299471987 1074485227 /nfs/dbraw/zinc/48/52/27/1074485227.db2.gz TXNVUUZBSSBCNB-LBPRGKRZSA-N 0 0 438.576 -0.605 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CCO)(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001299477715 1074485242 /nfs/dbraw/zinc/48/52/42/1074485242.db2.gz GGBGOVDMMJYBQU-KBPBESRZSA-N 0 0 445.538 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CCO)(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001299477716 1074485177 /nfs/dbraw/zinc/48/51/77/1074485177.db2.gz GGBGOVDMMJYBQU-KGLIPLIRSA-N 0 0 445.538 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CCO)(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001299477717 1074485189 /nfs/dbraw/zinc/48/51/89/1074485189.db2.gz GGBGOVDMMJYBQU-UONOGXRCSA-N 0 0 445.538 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CCO)(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001299477718 1074485199 /nfs/dbraw/zinc/48/51/99/1074485199.db2.gz GGBGOVDMMJYBQU-ZIAGYGMSSA-N 0 0 445.538 -0.490 20 0 IBADRN CN1CCn2ncc(C(=O)NC3(CCO)CN(C(=O)OC(C)(C)C)C3)c2S1(=O)=O ZINC001299479730 1074485246 /nfs/dbraw/zinc/48/52/46/1074485246.db2.gz ZJCIXRASUSBXFM-UHFFFAOYSA-N 0 0 429.499 -0.381 20 0 IBADRN COC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001299485622 1074485274 /nfs/dbraw/zinc/48/52/74/1074485274.db2.gz CBSVHWSEMHGQMR-KBPBESRZSA-N 0 0 444.558 -0.297 20 0 IBADRN COC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001299485625 1074485285 /nfs/dbraw/zinc/48/52/85/1074485285.db2.gz CBSVHWSEMHGQMR-KGLIPLIRSA-N 0 0 444.558 -0.297 20 0 IBADRN COC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001299485627 1074485817 /nfs/dbraw/zinc/48/58/17/1074485817.db2.gz CBSVHWSEMHGQMR-UONOGXRCSA-N 0 0 444.558 -0.297 20 0 IBADRN COC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001299485629 1074485837 /nfs/dbraw/zinc/48/58/37/1074485837.db2.gz CBSVHWSEMHGQMR-ZIAGYGMSSA-N 0 0 444.558 -0.297 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(CC(F)F)C2)c1 ZINC001299493674 1074485892 /nfs/dbraw/zinc/48/58/92/1074485892.db2.gz MXFUSDZDUHRCIU-LLVKDONJSA-N 0 0 425.479 -0.176 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(CC(F)F)C2)c1 ZINC001299493677 1074485947 /nfs/dbraw/zinc/48/59/47/1074485947.db2.gz MXFUSDZDUHRCIU-NSHDSACASA-N 0 0 425.479 -0.176 20 0 IBADRN COC(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001299494783 1074485793 /nfs/dbraw/zinc/48/57/93/1074485793.db2.gz GTSPMYCRWIVDCH-UHFFFAOYSA-N 0 0 447.540 -0.247 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC2(C1)CN(C)C(=O)O2 ZINC001299510328 1073619096 /nfs/dbraw/zinc/61/90/96/1073619096.db2.gz IGXGTQOINMJFBK-UHFFFAOYSA-N 0 0 425.463 -0.007 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H]3CCc4nnnn4CC3)cc2)CC1 ZINC001299511895 1073619002 /nfs/dbraw/zinc/61/90/02/1073619002.db2.gz JIEJOXGZTCQAQM-INIZCTEOSA-N 0 0 447.521 -0.339 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H]3CCc4nnnn4CC3)cc2)CC1 ZINC001299511902 1073619754 /nfs/dbraw/zinc/61/97/54/1073619754.db2.gz JIEJOXGZTCQAQM-MRXNPFEDSA-N 0 0 447.521 -0.339 20 0 IBADRN CO[C@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001299534556 1073620534 /nfs/dbraw/zinc/62/05/34/1073620534.db2.gz FNVSQJDTIGXLSD-NEPJUHHUSA-N 0 0 443.530 -0.556 20 0 IBADRN CO[C@@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001299534566 1073620437 /nfs/dbraw/zinc/62/04/37/1073620437.db2.gz FNVSQJDTIGXLSD-NWDGAFQWSA-N 0 0 443.530 -0.556 20 0 IBADRN CO[C@@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001299534568 1073620571 /nfs/dbraw/zinc/62/05/71/1073620571.db2.gz FNVSQJDTIGXLSD-RYUDHWBXSA-N 0 0 443.530 -0.556 20 0 IBADRN CO[C@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001299534570 1073620564 /nfs/dbraw/zinc/62/05/64/1073620564.db2.gz FNVSQJDTIGXLSD-VXGBXAGGSA-N 0 0 443.530 -0.556 20 0 IBADRN CO[C@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001299538534 1073621211 /nfs/dbraw/zinc/62/12/11/1073621211.db2.gz XNDGWGYHDOHUSH-CABCVRRESA-N 0 0 445.590 -0.430 20 0 IBADRN CO[C@@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001299538542 1073621397 /nfs/dbraw/zinc/62/13/97/1073621397.db2.gz XNDGWGYHDOHUSH-GJZGRUSLSA-N 0 0 445.590 -0.430 20 0 IBADRN CO[C@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001299538543 1073621310 /nfs/dbraw/zinc/62/13/10/1073621310.db2.gz XNDGWGYHDOHUSH-HUUCEWRRSA-N 0 0 445.590 -0.430 20 0 IBADRN CO[C@@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001299538544 1073621201 /nfs/dbraw/zinc/62/12/01/1073621201.db2.gz XNDGWGYHDOHUSH-LSDHHAIUSA-N 0 0 445.590 -0.430 20 0 IBADRN CO[C@@H](C)Cn1c(-c2ccccn2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001299554195 1073624068 /nfs/dbraw/zinc/62/40/68/1073624068.db2.gz SHZKHULNDFCTRB-INIZCTEOSA-N 0 0 443.508 -0.118 20 0 IBADRN CO[C@H](C)Cn1c(-c2ccccn2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001299554197 1073624019 /nfs/dbraw/zinc/62/40/19/1073624019.db2.gz SHZKHULNDFCTRB-MRXNPFEDSA-N 0 0 443.508 -0.118 20 0 IBADRN CO[C@@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001299560965 1073625259 /nfs/dbraw/zinc/62/52/59/1073625259.db2.gz FHLKMNTVFFAOFW-KBPBESRZSA-N 0 0 446.574 -0.003 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001299560966 1073625842 /nfs/dbraw/zinc/62/58/42/1073625842.db2.gz FHLKMNTVFFAOFW-KGLIPLIRSA-N 0 0 446.574 -0.003 20 0 IBADRN CO[C@@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001299560967 1073625730 /nfs/dbraw/zinc/62/57/30/1073625730.db2.gz FHLKMNTVFFAOFW-UONOGXRCSA-N 0 0 446.574 -0.003 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001299560968 1073625736 /nfs/dbraw/zinc/62/57/36/1073625736.db2.gz FHLKMNTVFFAOFW-ZIAGYGMSSA-N 0 0 446.574 -0.003 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001299561651 1073625862 /nfs/dbraw/zinc/62/58/62/1073625862.db2.gz LQCRDCHVSXBNIQ-BFHYXJOUSA-N 0 0 435.572 -0.315 20 0 IBADRN CO[C@@H](C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001299561652 1073625808 /nfs/dbraw/zinc/62/58/08/1073625808.db2.gz LQCRDCHVSXBNIQ-IHRRRGAJSA-N 0 0 435.572 -0.315 20 0 IBADRN CO[C@@H](C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001299561653 1073625802 /nfs/dbraw/zinc/62/58/02/1073625802.db2.gz LQCRDCHVSXBNIQ-MELADBBJSA-N 0 0 435.572 -0.315 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001299561654 1073625780 /nfs/dbraw/zinc/62/57/80/1073625780.db2.gz LQCRDCHVSXBNIQ-MJBXVCDLSA-N 0 0 435.572 -0.315 20 0 IBADRN CO[C@@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001299563562 1073626437 /nfs/dbraw/zinc/62/64/37/1073626437.db2.gz RGISPIIHGDUVIG-DZGCQCFKSA-N 0 0 430.531 -0.686 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001299563564 1073626480 /nfs/dbraw/zinc/62/64/80/1073626480.db2.gz RGISPIIHGDUVIG-HIFRSBDPSA-N 0 0 430.531 -0.686 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001299563567 1073626523 /nfs/dbraw/zinc/62/65/23/1073626523.db2.gz RGISPIIHGDUVIG-UKRRQHHQSA-N 0 0 430.531 -0.686 20 0 IBADRN CO[C@@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001299563569 1073626611 /nfs/dbraw/zinc/62/66/11/1073626611.db2.gz RGISPIIHGDUVIG-ZFWWWQNUSA-N 0 0 430.531 -0.686 20 0 IBADRN CO[C@@H](C)Cn1c(CN2CCCC2=O)nnc1N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC001299578559 1073628460 /nfs/dbraw/zinc/62/84/60/1073628460.db2.gz VOOXGUOJNIMMBL-KBPBESRZSA-N 0 0 431.501 -0.033 20 0 IBADRN CO[C@H](C)Cn1c(CN2CCCC2=O)nnc1N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC001299578560 1073628486 /nfs/dbraw/zinc/62/84/86/1073628486.db2.gz VOOXGUOJNIMMBL-KGLIPLIRSA-N 0 0 431.501 -0.033 20 0 IBADRN CO[C@@H](C)Cn1c(CN2CCCC2=O)nnc1N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC001299578561 1073628427 /nfs/dbraw/zinc/62/84/27/1073628427.db2.gz VOOXGUOJNIMMBL-UONOGXRCSA-N 0 0 431.501 -0.033 20 0 IBADRN CO[C@H](C)Cn1c(CN2CCCC2=O)nnc1N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC001299578562 1073628445 /nfs/dbraw/zinc/62/84/45/1073628445.db2.gz VOOXGUOJNIMMBL-ZIAGYGMSSA-N 0 0 431.501 -0.033 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](CO)Cc3cnn(C)c3)CC2)cc1 ZINC001299615701 1073635068 /nfs/dbraw/zinc/63/50/68/1073635068.db2.gz ZRBPMLZKHRTAOE-GOSISDBHSA-N 0 0 435.550 -0.245 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H](CO)Cc3cnn(C)c3)CC2)cc1 ZINC001299615705 1073635108 /nfs/dbraw/zinc/63/51/08/1073635108.db2.gz ZRBPMLZKHRTAOE-SFHVURJKSA-N 0 0 435.550 -0.245 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC001299616104 1073635096 /nfs/dbraw/zinc/63/50/96/1073635096.db2.gz JBIATIPZIYZZTE-INMHGKMJSA-N 0 0 442.925 -0.083 20 0 IBADRN Cn1cc(C[C@@H](CO)NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC001299616106 1073634951 /nfs/dbraw/zinc/63/49/51/1073634951.db2.gz JBIATIPZIYZZTE-UXLLHSPISA-N 0 0 442.925 -0.083 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC001299616108 1073635047 /nfs/dbraw/zinc/63/50/47/1073635047.db2.gz JBIATIPZIYZZTE-VYDXJSESSA-N 0 0 442.925 -0.083 20 0 IBADRN Cn1cc(C[C@@H](CO)NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)cn1 ZINC001299616110 1073635119 /nfs/dbraw/zinc/63/51/19/1073635119.db2.gz JBIATIPZIYZZTE-ZOBUZTSGSA-N 0 0 442.925 -0.083 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@@H](CO)Cc3cnn(C)c3)cc2)CC1 ZINC001299619768 1073635925 /nfs/dbraw/zinc/63/59/25/1073635925.db2.gz UTGNGKIZJMQYRH-GOSISDBHSA-N 0 0 449.533 -0.394 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N[C@H](CO)Cc3cnn(C)c3)cc2)CC1 ZINC001299619769 1073635536 /nfs/dbraw/zinc/63/55/36/1073635536.db2.gz UTGNGKIZJMQYRH-SFHVURJKSA-N 0 0 449.533 -0.394 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(Cc3cn[nH]c3)CC2)c1 ZINC001299622923 1073635900 /nfs/dbraw/zinc/63/59/00/1073635900.db2.gz PEFFWNWFWFJGSV-UHFFFAOYSA-N 0 0 434.522 -0.266 20 0 IBADRN CO[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)[C@H]1CCS(=O)(=O)C1)C1CC1 ZINC001299637019 1073640076 /nfs/dbraw/zinc/64/00/76/1073640076.db2.gz PJKYAESLZWLBPK-FPMFFAJLSA-N 0 0 435.572 -0.063 20 0 IBADRN CO[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)[C@@H]1CCS(=O)(=O)C1)C1CC1 ZINC001299637020 1073640210 /nfs/dbraw/zinc/64/02/10/1073640210.db2.gz PJKYAESLZWLBPK-IACUBPJLSA-N 0 0 435.572 -0.063 20 0 IBADRN CO[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)[C@H]1CCS(=O)(=O)C1)C1CC1 ZINC001299637021 1073640157 /nfs/dbraw/zinc/64/01/57/1073640157.db2.gz PJKYAESLZWLBPK-KWCYVHTRSA-N 0 0 435.572 -0.063 20 0 IBADRN CO[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)[C@@H]1CCS(=O)(=O)C1)C1CC1 ZINC001299637022 1073640085 /nfs/dbraw/zinc/64/00/85/1073640085.db2.gz PJKYAESLZWLBPK-MRVWCRGKSA-N 0 0 435.572 -0.063 20 0 IBADRN CO[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)N(C)C[C@H]2C1)C1CC1 ZINC001299639876 1073640151 /nfs/dbraw/zinc/64/01/51/1073640151.db2.gz ZKEZTVDXVXUVAP-AEGPPILISA-N 0 0 441.558 -0.131 20 0 IBADRN CO[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1)C1CC1 ZINC001299639899 1073640095 /nfs/dbraw/zinc/64/00/95/1073640095.db2.gz ZKEZTVDXVXUVAP-BPLDGKMQSA-N 0 0 441.558 -0.131 20 0 IBADRN CO[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1)C1CC1 ZINC001299639901 1073640115 /nfs/dbraw/zinc/64/01/15/1073640115.db2.gz ZKEZTVDXVXUVAP-NWANDNLSSA-N 0 0 441.558 -0.131 20 0 IBADRN CO[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)N(C)C[C@H]2C1)C1CC1 ZINC001299639902 1073640205 /nfs/dbraw/zinc/64/02/05/1073640205.db2.gz ZKEZTVDXVXUVAP-VHDGCEQUSA-N 0 0 441.558 -0.131 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1c2ccccc2C[C@H]1CNC(=O)C(F)(F)F ZINC001299643429 1073640798 /nfs/dbraw/zinc/64/07/98/1073640798.db2.gz KVAPOIMNXIGVMK-PWSUYJOCSA-N 0 0 425.371 -0.186 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1c2ccccc2C[C@@H]1CNC(=O)C(F)(F)F ZINC001299643431 1073640925 /nfs/dbraw/zinc/64/09/25/1073640925.db2.gz KVAPOIMNXIGVMK-ZYHUDNBSSA-N 0 0 425.371 -0.186 20 0 IBADRN CO[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1)C(C)C ZINC001299690527 1073652593 /nfs/dbraw/zinc/65/25/93/1073652593.db2.gz GIFXKRUGMLVTLY-CAOSSQGBSA-N 0 0 445.542 -0.157 20 0 IBADRN CO[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1)C(C)C ZINC001299690528 1073652699 /nfs/dbraw/zinc/65/26/99/1073652699.db2.gz GIFXKRUGMLVTLY-JONQDZQNSA-N 0 0 445.542 -0.157 20 0 IBADRN CO[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1)C(C)C ZINC001299690529 1073652587 /nfs/dbraw/zinc/65/25/87/1073652587.db2.gz GIFXKRUGMLVTLY-LVQVYYBASA-N 0 0 445.542 -0.157 20 0 IBADRN CO[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1)C(C)C ZINC001299690530 1073652592 /nfs/dbraw/zinc/65/25/92/1073652592.db2.gz GIFXKRUGMLVTLY-WCVJEAGWSA-N 0 0 445.542 -0.157 20 0 IBADRN CO[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)C(C)(C)C ZINC001299691109 1073650830 /nfs/dbraw/zinc/65/08/30/1073650830.db2.gz KUSWLRGVVFYEAP-KBPBESRZSA-N 0 0 444.558 -0.392 20 0 IBADRN CO[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)C(C)(C)C ZINC001299691110 1073650868 /nfs/dbraw/zinc/65/08/68/1073650868.db2.gz KUSWLRGVVFYEAP-KGLIPLIRSA-N 0 0 444.558 -0.392 20 0 IBADRN CO[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)C(C)(C)C ZINC001299691111 1073650818 /nfs/dbraw/zinc/65/08/18/1073650818.db2.gz KUSWLRGVVFYEAP-UONOGXRCSA-N 0 0 444.558 -0.392 20 0 IBADRN CO[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)C(C)(C)C ZINC001299691112 1073650779 /nfs/dbraw/zinc/65/07/79/1073650779.db2.gz KUSWLRGVVFYEAP-ZIAGYGMSSA-N 0 0 444.558 -0.392 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC001299713471 1073656260 /nfs/dbraw/zinc/65/62/60/1073656260.db2.gz VXLCKCFQKWDDRR-CYBMUJFWSA-N 0 0 425.463 -0.220 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1=O ZINC001299713473 1073656306 /nfs/dbraw/zinc/65/63/06/1073656306.db2.gz VXLCKCFQKWDDRR-ZDUSSCGKSA-N 0 0 425.463 -0.220 20 0 IBADRN CO[C@@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(C)(C)C ZINC001299715759 1073656395 /nfs/dbraw/zinc/65/63/95/1073656395.db2.gz KTTPZELWOMLAIB-CABCVRRESA-N 0 0 447.540 -0.391 20 0 IBADRN CO[C@@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(C)(C)C ZINC001299715767 1073656482 /nfs/dbraw/zinc/65/64/82/1073656482.db2.gz KTTPZELWOMLAIB-GJZGRUSLSA-N 0 0 447.540 -0.391 20 0 IBADRN CO[C@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(C)(C)C ZINC001299715770 1073656385 /nfs/dbraw/zinc/65/63/85/1073656385.db2.gz KTTPZELWOMLAIB-HUUCEWRRSA-N 0 0 447.540 -0.391 20 0 IBADRN CO[C@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(C)(C)C ZINC001299715772 1073656403 /nfs/dbraw/zinc/65/64/03/1073656403.db2.gz KTTPZELWOMLAIB-LSDHHAIUSA-N 0 0 447.540 -0.391 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2ccc(CN3CCS(=O)CC3)cc2)c1 ZINC001299733339 1073657679 /nfs/dbraw/zinc/65/76/79/1073657679.db2.gz ABZVIQPBEVEKAD-UHFFFAOYSA-N 0 0 439.563 -0.421 20 0 IBADRN O=C(CCN1CCCS1(=O)=O)NCc1ccc(CN2CCS(=O)(=O)CC2)cc1 ZINC001299734091 1073658887 /nfs/dbraw/zinc/65/88/87/1073658887.db2.gz LOUILVVNBZUAND-UHFFFAOYSA-N 0 0 429.564 -0.041 20 0 IBADRN Cn1cc(/C=C/C(=O)NCc2ccc(CN3CCS(=O)CC3)cc2)c(=O)n(C)c1=O ZINC001299734250 1073658845 /nfs/dbraw/zinc/65/88/45/1073658845.db2.gz AJXWXXXGVUXFGU-BQYQJAHWSA-N 0 0 430.530 -0.022 20 0 IBADRN Cn1cc(/C=C\C(=O)NCc2ccc(CN3CCS(=O)CC3)cc2)c(=O)n(C)c1=O ZINC001299734251 1073658917 /nfs/dbraw/zinc/65/89/17/1073658917.db2.gz AJXWXXXGVUXFGU-FPLPWBNLSA-N 0 0 430.530 -0.022 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2ccc(CN3CCS(=O)CC3)cc2)cn1 ZINC001299734287 1073659458 /nfs/dbraw/zinc/65/94/58/1073659458.db2.gz BHDOAYSZFBLOKI-UHFFFAOYSA-N 0 0 439.563 -0.421 20 0 IBADRN Cn1cc(/C=C/C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)c(=O)n(C)c1=O ZINC001299734516 1073659705 /nfs/dbraw/zinc/65/97/05/1073659705.db2.gz RZPPJVNSYJXOBY-BQYQJAHWSA-N 0 0 446.529 -0.356 20 0 IBADRN Cn1cc(/C=C\C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)c(=O)n(C)c1=O ZINC001299734517 1073659653 /nfs/dbraw/zinc/65/96/53/1073659653.db2.gz RZPPJVNSYJXOBY-FPLPWBNLSA-N 0 0 446.529 -0.356 20 0 IBADRN CS(=O)(=O)CC1(C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)COC1 ZINC001299740547 1073661023 /nfs/dbraw/zinc/66/10/23/1073661023.db2.gz BVUKOCDMFPLPLZ-UHFFFAOYSA-N 0 0 430.548 -0.406 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001299743665 1073662012 /nfs/dbraw/zinc/66/20/12/1073662012.db2.gz JCXSWEIKNONHRU-UHFFFAOYSA-N 0 0 426.495 -0.011 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001299743924 1073662772 /nfs/dbraw/zinc/66/27/72/1073662772.db2.gz NEWROOGBMVTMQG-KRWDZBQOSA-N 0 0 449.483 -0.168 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001299743925 1073662785 /nfs/dbraw/zinc/66/27/85/1073662785.db2.gz NEWROOGBMVTMQG-QGZVFWFLSA-N 0 0 449.483 -0.168 20 0 IBADRN O=C(NC1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)N1CCOCC1 ZINC001299744173 1073662792 /nfs/dbraw/zinc/66/27/92/1073662792.db2.gz OUTDHDCBSFHCRH-GDBMZVCRSA-N 0 0 442.538 -0.945 20 0 IBADRN O=C(NC1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)N1CCOCC1 ZINC001299744174 1073662699 /nfs/dbraw/zinc/66/26/99/1073662699.db2.gz OUTDHDCBSFHCRH-GOEBONIOSA-N 0 0 442.538 -0.945 20 0 IBADRN O=C(NC1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)N1CCOCC1 ZINC001299744175 1073662672 /nfs/dbraw/zinc/66/26/72/1073662672.db2.gz OUTDHDCBSFHCRH-HOCLYGCPSA-N 0 0 442.538 -0.945 20 0 IBADRN O=C(NC1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)N1CCOCC1 ZINC001299744176 1073662847 /nfs/dbraw/zinc/66/28/47/1073662847.db2.gz OUTDHDCBSFHCRH-ZBFHGGJFSA-N 0 0 442.538 -0.945 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCC(NC(=O)N4CCOCC4)CC3)c2S1(=O)=O ZINC001299744218 1073662853 /nfs/dbraw/zinc/66/28/53/1073662853.db2.gz QDNOTOZSIQPLIJ-UHFFFAOYSA-N 0 0 426.499 -0.836 20 0 IBADRN CN1C(=O)NCC12CCN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)CC2 ZINC001299769712 1073845666 /nfs/dbraw/zinc/84/56/66/1073845666.db2.gz HNWBHTFHABPTIJ-UHFFFAOYSA-N 0 0 444.535 -0.615 20 0 IBADRN COC1(C)CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(N3CCOCC3)CC2)C1 ZINC001299774455 1073845500 /nfs/dbraw/zinc/84/55/00/1073845500.db2.gz DGHNUVPXFFWANM-AWEZNQCLSA-N 0 0 428.559 -0.022 20 0 IBADRN COC1(C)CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(N3CCOCC3)CC2)C1 ZINC001299774482 1073845753 /nfs/dbraw/zinc/84/57/53/1073845753.db2.gz DGHNUVPXFFWANM-CQSZACIVSA-N 0 0 428.559 -0.022 20 0 IBADRN COC1(C)CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2CCS(=O)(=O)CC2)C1 ZINC001299775082 1073845690 /nfs/dbraw/zinc/84/56/90/1073845690.db2.gz KKKBWBSBKWZWCK-GFCCVEGCSA-N 0 0 435.572 -0.062 20 0 IBADRN COC1(C)CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2CCS(=O)(=O)CC2)C1 ZINC001299775083 1072520636 /nfs/dbraw/zinc/52/06/36/1072520636.db2.gz KKKBWBSBKWZWCK-LBPRGKRZSA-N 0 0 435.572 -0.062 20 0 IBADRN COC1(C)CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCCS(=O)(=O)C2)C1 ZINC001299775570 1073845609 /nfs/dbraw/zinc/84/56/09/1073845609.db2.gz RXMUCUHTLKGTJI-CHWSQXEVSA-N 0 0 435.572 -0.062 20 0 IBADRN COC1(C)CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCS(=O)(=O)C2)C1 ZINC001299775571 1073845792 /nfs/dbraw/zinc/84/57/92/1073845792.db2.gz RXMUCUHTLKGTJI-OLZOCXBDSA-N 0 0 435.572 -0.062 20 0 IBADRN COC1(C)CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCCS(=O)(=O)C2)C1 ZINC001299775572 1073845628 /nfs/dbraw/zinc/84/56/28/1073845628.db2.gz RXMUCUHTLKGTJI-QWHCGFSZSA-N 0 0 435.572 -0.062 20 0 IBADRN COC1(C)CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCS(=O)(=O)C2)C1 ZINC001299775573 1073845659 /nfs/dbraw/zinc/84/56/59/1073845659.db2.gz RXMUCUHTLKGTJI-STQMWFEESA-N 0 0 435.572 -0.062 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCS(C)(=O)=O)C1 ZINC001299780418 1073845643 /nfs/dbraw/zinc/84/56/43/1073845643.db2.gz MGCIINGXJGZZIN-CYBMUJFWSA-N 0 0 436.556 -0.534 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCS(C)(=O)=O)C1 ZINC001299780419 1073845634 /nfs/dbraw/zinc/84/56/34/1073845634.db2.gz MGCIINGXJGZZIN-ZDUSSCGKSA-N 0 0 436.556 -0.534 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC2CC2)C1 ZINC001299780605 1073845538 /nfs/dbraw/zinc/84/55/38/1073845538.db2.gz OOXKPAUBLJYLKE-AWEZNQCLSA-N 0 0 442.542 -0.638 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC2CC2)C1 ZINC001299780606 1073845719 /nfs/dbraw/zinc/84/57/19/1073845719.db2.gz OOXKPAUBLJYLKE-CQSZACIVSA-N 0 0 442.542 -0.638 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C1 ZINC001299784049 1073845733 /nfs/dbraw/zinc/84/57/33/1073845733.db2.gz DYASCYAOABGGCW-KGLIPLIRSA-N 0 0 431.515 -0.749 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C1 ZINC001299784051 1073845579 /nfs/dbraw/zinc/84/55/79/1073845579.db2.gz DYASCYAOABGGCW-ZIAGYGMSSA-N 0 0 431.515 -0.749 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCCNC(C)=O)C1 ZINC001299784158 1073845678 /nfs/dbraw/zinc/84/56/78/1073845678.db2.gz GNXLPGXOSKWOCX-AWEZNQCLSA-N 0 0 430.531 -0.638 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCCNC(C)=O)C1 ZINC001299784160 1073845707 /nfs/dbraw/zinc/84/57/07/1073845707.db2.gz GNXLPGXOSKWOCX-CQSZACIVSA-N 0 0 430.531 -0.638 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001299784653 1073845599 /nfs/dbraw/zinc/84/55/99/1073845599.db2.gz LALLVWCNBWHCDC-CXAGYDPISA-N 0 0 442.542 -0.686 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001299784654 1073845558 /nfs/dbraw/zinc/84/55/58/1073845558.db2.gz LALLVWCNBWHCDC-DYVFJYSZSA-N 0 0 442.542 -0.686 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001299784655 1073845743 /nfs/dbraw/zinc/84/57/43/1073845743.db2.gz LALLVWCNBWHCDC-GUYCJALGSA-N 0 0 442.542 -0.686 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001299784656 1073845776 /nfs/dbraw/zinc/84/57/76/1073845776.db2.gz LALLVWCNBWHCDC-SUMWQHHRSA-N 0 0 442.542 -0.686 20 0 IBADRN COC1(CCn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)CCC1 ZINC001299786483 1073846354 /nfs/dbraw/zinc/84/63/54/1073846354.db2.gz PFHWGZAIHUSFHV-AWEZNQCLSA-N 0 0 433.513 -0.478 20 0 IBADRN COC1(CCn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)CCC1 ZINC001299786484 1073846239 /nfs/dbraw/zinc/84/62/39/1073846239.db2.gz PFHWGZAIHUSFHV-CQSZACIVSA-N 0 0 433.513 -0.478 20 0 IBADRN CNC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001299792793 1073846263 /nfs/dbraw/zinc/84/62/63/1073846263.db2.gz KCBGKVSVBJTNKH-UHFFFAOYSA-N 0 0 439.538 -0.347 20 0 IBADRN CO[C@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CCN(CC(=O)N(C)C)CC2)CCOC1 ZINC001299796404 1073846364 /nfs/dbraw/zinc/84/63/64/1073846364.db2.gz VUIWPFSXRLAXSQ-NRFANRHFSA-N 0 0 449.556 -0.470 20 0 IBADRN CO[C@@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CCN(CC(=O)N(C)C)CC2)CCOC1 ZINC001299796407 1073846302 /nfs/dbraw/zinc/84/63/02/1073846302.db2.gz VUIWPFSXRLAXSQ-OAQYLSRUSA-N 0 0 449.556 -0.470 20 0 IBADRN CO[C@]1(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@H]3C2)CCOC1 ZINC001299796797 1073846333 /nfs/dbraw/zinc/84/63/33/1073846333.db2.gz KMKRSLJYCYOXCQ-AHIWAGSCSA-N 0 0 444.514 -0.665 20 0 IBADRN CO[C@]1(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@@H]3C2)CCOC1 ZINC001299796843 1073846402 /nfs/dbraw/zinc/84/64/02/1073846402.db2.gz KMKRSLJYCYOXCQ-DCGLDWPTSA-N 0 0 444.514 -0.665 20 0 IBADRN CO[C@]1(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@@H]3C2)CCOC1 ZINC001299796844 1073846201 /nfs/dbraw/zinc/84/62/01/1073846201.db2.gz KMKRSLJYCYOXCQ-IGCXYCKISA-N 0 0 444.514 -0.665 20 0 IBADRN CO[C@]1(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@H]3C2)CCOC1 ZINC001299796845 1073846414 /nfs/dbraw/zinc/84/64/14/1073846414.db2.gz KMKRSLJYCYOXCQ-XNJGSVPQSA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001299798131 1073846275 /nfs/dbraw/zinc/84/62/75/1073846275.db2.gz ZZRULJJFSWISNU-NEPJUHHUSA-N 0 0 428.492 -0.562 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001299798132 1073846214 /nfs/dbraw/zinc/84/62/14/1073846214.db2.gz ZZRULJJFSWISNU-NWDGAFQWSA-N 0 0 428.492 -0.562 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001299798134 1073846292 /nfs/dbraw/zinc/84/62/92/1073846292.db2.gz ZZRULJJFSWISNU-RYUDHWBXSA-N 0 0 428.492 -0.562 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001299798136 1073846251 /nfs/dbraw/zinc/84/62/51/1073846251.db2.gz ZZRULJJFSWISNU-VXGBXAGGSA-N 0 0 428.492 -0.562 20 0 IBADRN CO[C@@]1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)CCOC1 ZINC001299805862 1073846344 /nfs/dbraw/zinc/84/63/44/1073846344.db2.gz GLYZMHUOQCSGAB-KBXCAEBGSA-N 0 0 442.542 -0.494 20 0 IBADRN CO[C@]1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)CCOC1 ZINC001299805864 1073846317 /nfs/dbraw/zinc/84/63/17/1073846317.db2.gz GLYZMHUOQCSGAB-KDOFPFPSSA-N 0 0 442.542 -0.494 20 0 IBADRN CO[C@]1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)CCOC1 ZINC001299805865 1073846393 /nfs/dbraw/zinc/84/63/93/1073846393.db2.gz GLYZMHUOQCSGAB-KSSFIOAISA-N 0 0 442.542 -0.494 20 0 IBADRN CO[C@@]1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)CCOC1 ZINC001299805866 1073846372 /nfs/dbraw/zinc/84/63/72/1073846372.db2.gz GLYZMHUOQCSGAB-RDTXWAMCSA-N 0 0 442.542 -0.494 20 0 IBADRN CO[C@@]1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)CCOC1 ZINC001299807293 1073846382 /nfs/dbraw/zinc/84/63/82/1073846382.db2.gz WAXONSRJSLOMLN-FOIQADDNSA-N 0 0 435.529 -0.755 20 0 IBADRN CO[C@@]1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)CCOC1 ZINC001299807296 1073846423 /nfs/dbraw/zinc/84/64/23/1073846423.db2.gz WAXONSRJSLOMLN-MGPUTAFESA-N 0 0 435.529 -0.755 20 0 IBADRN CO[C@]1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)CCOC1 ZINC001299807298 1073846229 /nfs/dbraw/zinc/84/62/29/1073846229.db2.gz WAXONSRJSLOMLN-QRWLVFNGSA-N 0 0 435.529 -0.755 20 0 IBADRN CO[C@]1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)CCOC1 ZINC001299807300 1073846783 /nfs/dbraw/zinc/84/67/83/1073846783.db2.gz WAXONSRJSLOMLN-YWZLYKJASA-N 0 0 435.529 -0.755 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H]3CCOC3=O)CC2)cc1 ZINC001299807365 1073846848 /nfs/dbraw/zinc/84/68/48/1073846848.db2.gz JQPHKWOYZRYRIX-INIZCTEOSA-N 0 0 439.490 -0.260 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC2CC(N3CCOCC3)C2)s1 ZINC001299808364 1073846881 /nfs/dbraw/zinc/84/68/81/1073846881.db2.gz ULJRLRSAXAGSND-UHFFFAOYSA-N 0 0 430.552 -0.358 20 0 IBADRN COC1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)CCCC1 ZINC001299809448 1073846944 /nfs/dbraw/zinc/84/69/44/1073846944.db2.gz AMWBGRHPYHISIA-AWEZNQCLSA-N 0 0 442.542 -0.494 20 0 IBADRN COC1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)CCCC1 ZINC001299809456 1073846929 /nfs/dbraw/zinc/84/69/29/1073846929.db2.gz AMWBGRHPYHISIA-CQSZACIVSA-N 0 0 442.542 -0.494 20 0 IBADRN CO[C@]1(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@](C)(C(N)=O)C2)CCOC1 ZINC001299810242 1073846955 /nfs/dbraw/zinc/84/69/55/1073846955.db2.gz WHGVOWABDLGHAX-BVGQSLNGSA-N 0 0 427.527 -0.313 20 0 IBADRN CO[C@]1(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@](C)(C(N)=O)C2)CCOC1 ZINC001299810243 1073846835 /nfs/dbraw/zinc/84/68/35/1073846835.db2.gz WHGVOWABDLGHAX-KKXDTOCCSA-N 0 0 427.527 -0.313 20 0 IBADRN CO[C@]1(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@](C)(C(N)=O)C2)CCOC1 ZINC001299810244 1073846731 /nfs/dbraw/zinc/84/67/31/1073846731.db2.gz WHGVOWABDLGHAX-VHSSKADRSA-N 0 0 427.527 -0.313 20 0 IBADRN CO[C@]1(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@](C)(C(N)=O)C2)CCOC1 ZINC001299810245 1073846909 /nfs/dbraw/zinc/84/69/09/1073846909.db2.gz WHGVOWABDLGHAX-XWIAVFTESA-N 0 0 427.527 -0.313 20 0 IBADRN COc1ccc(CC(=O)NCCn2cnccc2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001299814565 1073846918 /nfs/dbraw/zinc/84/69/18/1073846918.db2.gz XVHNNVVVEPFXMV-UHFFFAOYSA-N 0 0 436.490 -0.368 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC(OC)(OC)[C@@H](O)C2)cc1OC ZINC001299815407 1073846750 /nfs/dbraw/zinc/84/67/50/1073846750.db2.gz XVNIJHKCPKJQGZ-INIZCTEOSA-N 0 0 432.495 -0.046 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC(OC)(OC)[C@H](O)C2)cc1OC ZINC001299815408 1073846825 /nfs/dbraw/zinc/84/68/25/1073846825.db2.gz XVNIJHKCPKJQGZ-MRXNPFEDSA-N 0 0 432.495 -0.046 20 0 IBADRN CO[C@]1(Cn2c(CN3CCCC3=O)nnc2N(C)CC(=O)N2CCOCC2)CCOC1 ZINC001299817542 1073846874 /nfs/dbraw/zinc/84/68/74/1073846874.db2.gz YQMMRKMTQLITQN-FQEVSTJZSA-N 0 0 436.513 -0.499 20 0 IBADRN CO[C@@]1(Cn2c(CN3CCCC3=O)nnc2N(C)CC(=O)N2CCOCC2)CCOC1 ZINC001299817543 1073846741 /nfs/dbraw/zinc/84/67/41/1073846741.db2.gz YQMMRKMTQLITQN-HXUWFJFHSA-N 0 0 436.513 -0.499 20 0 IBADRN COC1(OC)CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C[C@@H]1O ZINC001299820373 1073846896 /nfs/dbraw/zinc/84/68/96/1073846896.db2.gz FRQAEPKAZMKUGK-INIZCTEOSA-N 0 0 429.495 -0.104 20 0 IBADRN COC1(OC)CCN(C(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)C[C@H]1O ZINC001299820374 1073846804 /nfs/dbraw/zinc/84/68/04/1073846804.db2.gz FRQAEPKAZMKUGK-MRXNPFEDSA-N 0 0 429.495 -0.104 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)[C@]2(O)CCOC2)s1 ZINC001299838358 1073846817 /nfs/dbraw/zinc/84/68/17/1073846817.db2.gz ZDAIIIZFDKPNBI-KRWDZBQOSA-N 0 0 433.552 -0.044 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)[C@@]2(O)CCOC2)s1 ZINC001299838359 1073846794 /nfs/dbraw/zinc/84/67/94/1073846794.db2.gz ZDAIIIZFDKPNBI-QGZVFWFLSA-N 0 0 433.552 -0.044 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)CC2=O)cn1 ZINC001299841884 1073847486 /nfs/dbraw/zinc/84/74/86/1073847486.db2.gz JTLMWXZCRRVYRD-INIZCTEOSA-N 0 0 441.492 -0.275 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCN(c4ccc(C(N)=O)cn4)CC3)CC2=O)cn1 ZINC001299841885 1073847422 /nfs/dbraw/zinc/84/74/22/1073847422.db2.gz JTLMWXZCRRVYRD-MRXNPFEDSA-N 0 0 441.492 -0.275 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001299842016 1073847385 /nfs/dbraw/zinc/84/73/85/1073847385.db2.gz OFKXFFUXLKWSPJ-AWEZNQCLSA-N 0 0 429.568 -0.110 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001299842017 1073847401 /nfs/dbraw/zinc/84/74/01/1073847401.db2.gz OFKXFFUXLKWSPJ-CQSZACIVSA-N 0 0 429.568 -0.110 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@@H]1CCCCN1C(=O)C(F)(F)F)c(=O)n2C ZINC001299859939 1073847406 /nfs/dbraw/zinc/84/74/06/1073847406.db2.gz FWSTUFMEIWAKJU-JTQLQIEISA-N 0 0 430.387 -0.507 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC[C@H]1CCCCN1C(=O)C(F)(F)F)c(=O)n2C ZINC001299859940 1073847452 /nfs/dbraw/zinc/84/74/52/1073847452.db2.gz FWSTUFMEIWAKJU-SNVBAGLBSA-N 0 0 430.387 -0.507 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)c1ccnn1C ZINC001299860782 1073847415 /nfs/dbraw/zinc/84/74/15/1073847415.db2.gz OMGRLRLVJTZIPE-GXTWGEPZSA-N 0 0 430.870 -0.257 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)c1ccnn1C ZINC001299860783 1073847467 /nfs/dbraw/zinc/84/74/67/1073847467.db2.gz OMGRLRLVJTZIPE-JSGCOSHPSA-N 0 0 430.870 -0.257 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)c1ccnn1C ZINC001299860784 1073847396 /nfs/dbraw/zinc/84/73/96/1073847396.db2.gz OMGRLRLVJTZIPE-OCCSQVGLSA-N 0 0 430.870 -0.257 20 0 IBADRN COC(=O)[C@H](NC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)c1ccnn1C ZINC001299860785 1073847333 /nfs/dbraw/zinc/84/73/33/1073847333.db2.gz OMGRLRLVJTZIPE-TZMCWYRMSA-N 0 0 430.870 -0.257 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N[C@@H](CC(C)C)C(=O)N2CCOCC2)c1 ZINC001299869483 1073847312 /nfs/dbraw/zinc/84/73/12/1073847312.db2.gz LIASKKGZXUXTPS-INIZCTEOSA-N 0 0 430.527 -0.057 20 0 IBADRN CCN(OC)C(=O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC001299871230 1073847365 /nfs/dbraw/zinc/84/73/65/1073847365.db2.gz GRDWTCRISQCJDR-UHFFFAOYSA-N 0 0 445.494 -0.136 20 0 IBADRN CCN(OC)C(=O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001299872586 1073847444 /nfs/dbraw/zinc/84/74/44/1073847444.db2.gz RFHKHPUHBQMUEE-UHFFFAOYSA-N 0 0 440.522 -0.174 20 0 IBADRN CCN(OC)C(=O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001299873432 1073847457 /nfs/dbraw/zinc/84/74/57/1073847457.db2.gz WWXGMMMFHOJLTG-UHFFFAOYSA-N 0 0 426.495 -0.321 20 0 IBADRN CC(C)(C)N1CC[C@H](NC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1=O ZINC001299885831 1073847354 /nfs/dbraw/zinc/84/73/54/1073847354.db2.gz IZXDJLORPPLRJM-AWEZNQCLSA-N 0 0 431.536 -0.116 20 0 IBADRN CC(C)(C)N1CC[C@@H](NC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1=O ZINC001299885832 1073847436 /nfs/dbraw/zinc/84/74/36/1073847436.db2.gz IZXDJLORPPLRJM-CQSZACIVSA-N 0 0 431.536 -0.116 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001299891677 1073847343 /nfs/dbraw/zinc/84/73/43/1073847343.db2.gz WNPXZCHPECBCOI-CXAGYDPISA-N 0 0 432.520 -0.625 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001299891678 1073847380 /nfs/dbraw/zinc/84/73/80/1073847380.db2.gz WNPXZCHPECBCOI-DYVFJYSZSA-N 0 0 432.520 -0.625 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001299891679 1073847746 /nfs/dbraw/zinc/84/77/46/1073847746.db2.gz WNPXZCHPECBCOI-GUYCJALGSA-N 0 0 432.520 -0.625 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001299891680 1073847699 /nfs/dbraw/zinc/84/76/99/1073847699.db2.gz WNPXZCHPECBCOI-SUMWQHHRSA-N 0 0 432.520 -0.625 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)NCC(=O)N3CCCO3)CS(=O)(=O)[C@@H]2C1 ZINC001299931822 1073847819 /nfs/dbraw/zinc/84/78/19/1073847819.db2.gz HWJZFLAGWHHDFO-BFHYXJOUSA-N 0 0 431.511 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)NCC(=O)N1CCCO1)CS2(=O)=O ZINC001299931823 1073848002 /nfs/dbraw/zinc/84/80/02/1073848002.db2.gz HWJZFLAGWHHDFO-MCIONIFRSA-N 0 0 431.511 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)NCC(=O)N3CCCO3)CS(=O)(=O)[C@@H]2C1 ZINC001299931824 1073847798 /nfs/dbraw/zinc/84/77/98/1073847798.db2.gz HWJZFLAGWHHDFO-MGPQQGTHSA-N 0 0 431.511 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)NCC(=O)N1CCCO1)CS2(=O)=O ZINC001299931825 1073847962 /nfs/dbraw/zinc/84/79/62/1073847962.db2.gz HWJZFLAGWHHDFO-MJBXVCDLSA-N 0 0 431.511 -0.063 20 0 IBADRN COc1ccc(CC(=O)N2[C@H](CO)CC[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC001299977047 1073847835 /nfs/dbraw/zinc/84/78/35/1073847835.db2.gz GPNGXARSWZTQMZ-HOTGVXAUSA-N 0 0 428.507 -0.397 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)CNS(=O)(=O)c2ccc(Br)s2)COC1 ZINC001300020247 1074335629 /nfs/dbraw/zinc/33/56/29/1074335629.db2.gz FILBHTZEOZBDGN-UHFFFAOYSA-N 0 0 447.354 -0.281 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)CNS(=O)(=O)c2ccccc2C(F)(F)F)COC1 ZINC001300020816 1074335611 /nfs/dbraw/zinc/33/56/11/1074335611.db2.gz NHGRVCXHMJOLME-UHFFFAOYSA-N 0 0 430.426 -0.087 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)NC1(CS(C)(=O)=O)COC1 ZINC001300020993 1074335602 /nfs/dbraw/zinc/33/56/02/1074335602.db2.gz PMTPFUOGRBUVJU-CYBMUJFWSA-N 0 0 433.527 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)NC1(CS(C)(=O)=O)COC1 ZINC001300020994 1074335577 /nfs/dbraw/zinc/33/55/77/1074335577.db2.gz PMTPFUOGRBUVJU-ZDUSSCGKSA-N 0 0 433.527 -0.178 20 0 IBADRN Cn1cc(O)c(=O)cc1CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001300049079 1074335990 /nfs/dbraw/zinc/33/59/90/1074335990.db2.gz IQKPSVFUDQUSGF-BPLDGKMQSA-N 0 0 425.507 -0.183 20 0 IBADRN Cn1cc(O)c(=O)cc1CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001300049080 1074336004 /nfs/dbraw/zinc/33/60/04/1074336004.db2.gz IQKPSVFUDQUSGF-CFVMTHIKSA-N 0 0 425.507 -0.183 20 0 IBADRN Cn1cc(O)c(=O)cc1CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001300049081 1074335861 /nfs/dbraw/zinc/33/58/61/1074335861.db2.gz IQKPSVFUDQUSGF-NWANDNLSSA-N 0 0 425.507 -0.183 20 0 IBADRN Cn1cc(O)c(=O)cc1CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001300049082 1074335901 /nfs/dbraw/zinc/33/59/01/1074335901.db2.gz IQKPSVFUDQUSGF-YUELXQCFSA-N 0 0 425.507 -0.183 20 0 IBADRN COCC1CN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001300052966 1074335911 /nfs/dbraw/zinc/33/59/11/1074335911.db2.gz HGJKVUHMIPYCOD-AWEZNQCLSA-N 0 0 427.479 -0.525 20 0 IBADRN COCC1CN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001300052967 1074335924 /nfs/dbraw/zinc/33/59/24/1074335924.db2.gz HGJKVUHMIPYCOD-CQSZACIVSA-N 0 0 427.479 -0.525 20 0 IBADRN COc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCOCCCO)cc1 ZINC001300075177 1074336012 /nfs/dbraw/zinc/33/60/12/1074336012.db2.gz CBFXNYFKPSHZDS-INIZCTEOSA-N 0 0 444.492 -0.500 20 0 IBADRN COc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCOCCCO)cc1 ZINC001300075181 1074335938 /nfs/dbraw/zinc/33/59/38/1074335938.db2.gz CBFXNYFKPSHZDS-MRXNPFEDSA-N 0 0 444.492 -0.500 20 0 IBADRN COc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CC(C)(C)O)cc1OC ZINC001300077040 1074335851 /nfs/dbraw/zinc/33/58/51/1074335851.db2.gz WQUMCFQPXUUBHM-AWEZNQCLSA-N 0 0 444.492 -0.120 20 0 IBADRN COc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CC(C)(C)O)cc1OC ZINC001300077041 1074335928 /nfs/dbraw/zinc/33/59/28/1074335928.db2.gz WQUMCFQPXUUBHM-CQSZACIVSA-N 0 0 444.492 -0.120 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(CC(F)(F)CO)CC2)cc1 ZINC001300078969 1074335818 /nfs/dbraw/zinc/33/58/18/1074335818.db2.gz RDKVNXHCAHHXFK-UHFFFAOYSA-N 0 0 433.477 -0.066 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N1CCN(CC(F)(F)CO)CC1 ZINC001300079226 1074335982 /nfs/dbraw/zinc/33/59/82/1074335982.db2.gz VROCMMMSYAUVEC-UHFFFAOYSA-N 0 0 426.468 -0.323 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCN(c4nncn4C)CC3)CC2)c1 ZINC001300147539 1074337456 /nfs/dbraw/zinc/33/74/56/1074337456.db2.gz DLKVZWQGIKVRJE-UHFFFAOYSA-N 0 0 447.565 -0.076 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC001300147617 1074337385 /nfs/dbraw/zinc/33/73/85/1074337385.db2.gz GVOQTEFXLLUQEA-UHFFFAOYSA-N 0 0 425.540 -0.157 20 0 IBADRN Cc1nnc(N2CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)n1C ZINC001300163290 1074337781 /nfs/dbraw/zinc/33/77/81/1074337781.db2.gz QCYIXUUPPVZWHS-UHFFFAOYSA-N 0 0 447.521 -0.794 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)C(=O)OC(C)(C)C)CC2)[C@H]1c1nccn1C ZINC001300181232 1074337618 /nfs/dbraw/zinc/33/76/18/1074337618.db2.gz JJOHGFCFAUWYTC-HOTGVXAUSA-N 0 0 449.508 -0.279 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(C(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)CC1 ZINC001300181653 1074337793 /nfs/dbraw/zinc/33/77/93/1074337793.db2.gz OCJZZMIQMXIGSX-INIZCTEOSA-N 0 0 437.468 -0.142 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC001300182259 1074337759 /nfs/dbraw/zinc/33/77/59/1074337759.db2.gz WTJXRKNWWYMDFE-INIZCTEOSA-N 0 0 438.525 -0.820 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC001300182264 1074338042 /nfs/dbraw/zinc/33/80/42/1074338042.db2.gz WTJXRKNWWYMDFE-MRXNPFEDSA-N 0 0 438.525 -0.820 20 0 IBADRN Cc1nc(N2CCN(C(=O)[C@@H]3CCCC[C@H]3C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001300185777 1074338006 /nfs/dbraw/zinc/33/80/06/1074338006.db2.gz OBNNWJLCDRVWEF-ARFHVFGLSA-N 0 0 438.554 -0.129 20 0 IBADRN Cc1nc(N2CCN(C(=O)[C@@H]3CCCC[C@H]3C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001300185778 1074338162 /nfs/dbraw/zinc/33/81/62/1074338162.db2.gz OBNNWJLCDRVWEF-BZUAXINKSA-N 0 0 438.554 -0.129 20 0 IBADRN Cc1nc(N2CCN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001300185779 1074338135 /nfs/dbraw/zinc/33/81/35/1074338135.db2.gz OBNNWJLCDRVWEF-HRCADAONSA-N 0 0 438.554 -0.129 20 0 IBADRN Cc1nc(N2CCN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001300185780 1074338118 /nfs/dbraw/zinc/33/81/18/1074338118.db2.gz OBNNWJLCDRVWEF-OWCLPIDISA-N 0 0 438.554 -0.129 20 0 IBADRN COc1ccc(Cn2c(C)nnc2N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cn1 ZINC001300190625 1074338033 /nfs/dbraw/zinc/33/80/33/1074338033.db2.gz SPTLKOWHRRKWAH-UHFFFAOYSA-N 0 0 429.481 -0.454 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001300220998 1074338022 /nfs/dbraw/zinc/33/80/22/1074338022.db2.gz AQWQLZRUDRJGBX-AWEZNQCLSA-N 0 0 433.556 -0.423 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001300220999 1074338125 /nfs/dbraw/zinc/33/81/25/1074338125.db2.gz AQWQLZRUDRJGBX-CQSZACIVSA-N 0 0 433.556 -0.423 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001300221323 1074338144 /nfs/dbraw/zinc/33/81/44/1074338144.db2.gz CPZMXQZASIQHIX-AWEZNQCLSA-N 0 0 431.536 -0.404 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001300221324 1074338106 /nfs/dbraw/zinc/33/81/06/1074338106.db2.gz CPZMXQZASIQHIX-CQSZACIVSA-N 0 0 431.536 -0.404 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC001300222274 1074338181 /nfs/dbraw/zinc/33/81/81/1074338181.db2.gz MUPCMKSWALGHND-GFCCVEGCSA-N 0 0 437.565 -0.404 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)CSCCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC001300222280 1074338093 /nfs/dbraw/zinc/33/80/93/1074338093.db2.gz MUPCMKSWALGHND-LBPRGKRZSA-N 0 0 437.565 -0.404 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001300223699 1074338418 /nfs/dbraw/zinc/33/84/18/1074338418.db2.gz XMTUYWNBSWKMOH-NEPJUHHUSA-N 0 0 427.545 -0.536 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCN(S(C)(=O)=O)C1 ZINC001300223711 1074338594 /nfs/dbraw/zinc/33/85/94/1074338594.db2.gz XMTUYWNBSWKMOH-RYUDHWBXSA-N 0 0 427.545 -0.536 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@H](C)O1 ZINC001300250070 1074338466 /nfs/dbraw/zinc/33/84/66/1074338466.db2.gz NFGFZQBRQUWNOR-HUBLWGQQSA-N 0 0 425.463 -0.524 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C[C@H](C)O1 ZINC001300250071 1074338587 /nfs/dbraw/zinc/33/85/87/1074338587.db2.gz NFGFZQBRQUWNOR-ZOWXZIJZSA-N 0 0 425.463 -0.524 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC[C@]3(O)CCOC3)cc2)CC1 ZINC001300266261 1074338542 /nfs/dbraw/zinc/33/85/42/1074338542.db2.gz MDWNJVWYKKHZDM-IBGZPJMESA-N 0 0 425.507 -0.189 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC[C@@]3(O)CCOC3)cc2)CC1 ZINC001300266267 1074338562 /nfs/dbraw/zinc/33/85/62/1074338562.db2.gz MDWNJVWYKKHZDM-LJQANCHMSA-N 0 0 425.507 -0.189 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC[C@]2(O)CCOC2)c1OC ZINC001300267289 1074338859 /nfs/dbraw/zinc/33/88/59/1074338859.db2.gz XRHLAVDKULBFIG-IBGZPJMESA-N 0 0 444.506 -0.004 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC[C@@]2(O)CCOC2)c1OC ZINC001300267290 1074338962 /nfs/dbraw/zinc/33/89/62/1074338962.db2.gz XRHLAVDKULBFIG-LJQANCHMSA-N 0 0 444.506 -0.004 20 0 IBADRN CCON(C)C(=O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001300270146 1074338900 /nfs/dbraw/zinc/33/89/00/1074338900.db2.gz DDKWCEHIAQYWFD-UHFFFAOYSA-N 0 0 426.495 -0.321 20 0 IBADRN COc1ccc(OCc2nnc(N3CCN(C)C(=O)C3)n2CCNS(C)(=O)=O)cc1 ZINC001300294731 1074338765 /nfs/dbraw/zinc/33/87/65/1074338765.db2.gz RTQIEHGOQBFDJV-UHFFFAOYSA-N 0 0 438.510 -0.307 20 0 IBADRN COc1ccc(OCc2nnc(N3CCNC(=O)C3)n2CCNC(=O)c2ccn[nH]2)cc1 ZINC001300296691 1074338848 /nfs/dbraw/zinc/33/88/48/1074338848.db2.gz MYZBXRCAJQGZNO-UHFFFAOYSA-N 0 0 440.464 -0.045 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001300298389 1074338755 /nfs/dbraw/zinc/33/87/55/1074338755.db2.gz DCTDKORHMQOTTP-CABCVRRESA-N 0 0 428.511 -0.488 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001300298392 1074338926 /nfs/dbraw/zinc/33/89/26/1074338926.db2.gz DCTDKORHMQOTTP-GJZGRUSLSA-N 0 0 428.511 -0.488 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001300298393 1074338822 /nfs/dbraw/zinc/33/88/22/1074338822.db2.gz DCTDKORHMQOTTP-HUUCEWRRSA-N 0 0 428.511 -0.488 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001300298394 1074338916 /nfs/dbraw/zinc/33/89/16/1074338916.db2.gz DCTDKORHMQOTTP-LSDHHAIUSA-N 0 0 428.511 -0.488 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001300300211 1074338742 /nfs/dbraw/zinc/33/87/42/1074338742.db2.gz RBLMJRDHYUBPGY-CHWSQXEVSA-N 0 0 430.454 -0.157 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001300300228 1074338835 /nfs/dbraw/zinc/33/88/35/1074338835.db2.gz RBLMJRDHYUBPGY-OLZOCXBDSA-N 0 0 430.454 -0.157 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001300300230 1074338952 /nfs/dbraw/zinc/33/89/52/1074338952.db2.gz RBLMJRDHYUBPGY-QWHCGFSZSA-N 0 0 430.454 -0.157 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001300300232 1074338795 /nfs/dbraw/zinc/33/87/95/1074338795.db2.gz RBLMJRDHYUBPGY-STQMWFEESA-N 0 0 430.454 -0.157 20 0 IBADRN COc1ccc(CC(=O)N2CC(N3CC[C@@H](O)C3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001300302296 1074338868 /nfs/dbraw/zinc/33/88/68/1074338868.db2.gz XQTZEMOUVRFEQP-QGZVFWFLSA-N 0 0 439.534 -0.464 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCN(Cc2nc[nH]n2)CC1 ZINC001300325563 1074339618 /nfs/dbraw/zinc/33/96/18/1074339618.db2.gz DDEOOJLIZVPLFD-UHFFFAOYSA-N 0 0 438.485 -0.077 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N2CCN(Cc3nc[nH]n3)CC2)C1 ZINC001300325953 1074339988 /nfs/dbraw/zinc/33/99/88/1074339988.db2.gz DRCZCVZEJHWXJA-AWEZNQCLSA-N 0 0 442.542 -0.127 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N2CCN(Cc3nc[nH]n3)CC2)C1 ZINC001300325954 1074340111 /nfs/dbraw/zinc/34/01/11/1074340111.db2.gz DRCZCVZEJHWXJA-CQSZACIVSA-N 0 0 442.542 -0.127 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCN(Cc2nc[nH]n2)CC1 ZINC001300326024 1074340023 /nfs/dbraw/zinc/34/00/23/1074340023.db2.gz NHHDJEIHSPWCIU-UHFFFAOYSA-N 0 0 425.540 -0.172 20 0 IBADRN COc1ccc(CC(=O)NCCN2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001300349315 1074340064 /nfs/dbraw/zinc/34/00/64/1074340064.db2.gz DRYHFKIEDUWQLT-UHFFFAOYSA-N 0 0 425.507 -0.003 20 0 IBADRN Cn1cc(C(=O)N2CCC(N3C[C@H](C(=O)OC(C)(C)C)CC3=O)CC2)c(=O)n(C)c1=O ZINC001300375874 1074340232 /nfs/dbraw/zinc/34/02/32/1074340232.db2.gz SNAAVSZTHMTWMD-CYBMUJFWSA-N 0 0 434.493 -0.121 20 0 IBADRN Cn1cc(C(=O)N2CCC(N3C[C@@H](C(=O)OC(C)(C)C)CC3=O)CC2)c(=O)n(C)c1=O ZINC001300375880 1074340077 /nfs/dbraw/zinc/34/00/77/1074340077.db2.gz SNAAVSZTHMTWMD-ZDUSSCGKSA-N 0 0 434.493 -0.121 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(Cn2cnnc2)CC1 ZINC001300379138 1074340128 /nfs/dbraw/zinc/34/01/28/1074340128.db2.gz YWEDGBGEJNPQCO-UHFFFAOYSA-N 0 0 432.506 -0.049 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001300384859 1074340466 /nfs/dbraw/zinc/34/04/66/1074340466.db2.gz ACHFWIPOYLCWFS-UHFFFAOYSA-N 0 0 441.535 -0.535 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001300384883 1074340596 /nfs/dbraw/zinc/34/05/96/1074340596.db2.gz AKTXDRISUJZNLB-UHFFFAOYSA-N 0 0 430.490 -0.325 20 0 IBADRN O=C(CC1(n2cnnn2)CCOCC1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001300385320 1074340608 /nfs/dbraw/zinc/34/06/08/1074340608.db2.gz IIDYGHIUNYNPAG-UHFFFAOYSA-N 0 0 449.537 -0.252 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001300385691 1074340539 /nfs/dbraw/zinc/34/05/39/1074340539.db2.gz KWVQDPQQBBRJNR-UHFFFAOYSA-N 0 0 439.563 -0.338 20 0 IBADRN Nc1ncnc2c1ncn2CCC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001300386089 1074340553 /nfs/dbraw/zinc/34/05/53/1074340553.db2.gz PBRJQKFWCVASTO-UHFFFAOYSA-N 0 0 444.521 -0.023 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)nn1 ZINC001300386352 1074340688 /nfs/dbraw/zinc/34/06/88/1074340688.db2.gz QZJQYQBFEFYCHG-UHFFFAOYSA-N 0 0 435.510 -0.841 20 0 IBADRN O=C(c1cn(C[C@@H]2CNC(=O)O2)nn1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001300386366 1074340442 /nfs/dbraw/zinc/34/04/42/1074340442.db2.gz RFRISOAOYLJKTM-HNNXBMFYSA-N 0 0 449.493 -0.821 20 0 IBADRN O=C(c1cn(C[C@H]2CNC(=O)O2)nn1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001300386369 1074340431 /nfs/dbraw/zinc/34/04/31/1074340431.db2.gz RFRISOAOYLJKTM-OAHLLOKOSA-N 0 0 449.493 -0.821 20 0 IBADRN CN1CC(=O)N(CCCC(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C1=O ZINC001300386511 1074340565 /nfs/dbraw/zinc/34/05/65/1074340565.db2.gz UHDMEZWECNPKNZ-UHFFFAOYSA-N 0 0 437.522 -0.161 20 0 IBADRN Nc1nnnn1-c1cccc(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)c1 ZINC001300386812 1074340576 /nfs/dbraw/zinc/34/05/76/1074340576.db2.gz WREYGJIBQPQXBJ-UHFFFAOYSA-N 0 0 442.505 -0.133 20 0 IBADRN COc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCOCCCO)c1 ZINC001300389775 1074340419 /nfs/dbraw/zinc/34/04/19/1074340419.db2.gz QUYGVDCUCZJNHT-INIZCTEOSA-N 0 0 444.492 -0.500 20 0 IBADRN COc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCOCCCO)c1 ZINC001300389777 1074340680 /nfs/dbraw/zinc/34/06/80/1074340680.db2.gz QUYGVDCUCZJNHT-MRXNPFEDSA-N 0 0 444.492 -0.500 20 0 IBADRN COCc1n[nH]c(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2OC)n1 ZINC001300400423 1074340475 /nfs/dbraw/zinc/34/04/75/1074340475.db2.gz FNYIOBBCAKDRJG-UHFFFAOYSA-N 0 0 425.467 -0.089 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C2=NOC3(CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001300414268 1074341023 /nfs/dbraw/zinc/34/10/23/1074341023.db2.gz IDAYLSHGRHXAJB-UHFFFAOYSA-N 0 0 431.515 -0.297 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCOC(=O)N(C)C)cc2)CC1 ZINC001300425907 1074340954 /nfs/dbraw/zinc/34/09/54/1074340954.db2.gz GYFDKYISPWDIPZ-UHFFFAOYSA-N 0 0 426.495 -0.033 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCC(O)(CO)CC3)ccc2F)CC1 ZINC001300471976 1074341426 /nfs/dbraw/zinc/34/14/26/1074341426.db2.gz CPESJTQOEDWWJW-UHFFFAOYSA-N 0 0 443.497 -0.362 20 0 IBADRN COc1ccccc1-c1nnc(N(C)CCS(C)(=O)=O)n1CCNS(C)(=O)=O ZINC001300473929 1074341278 /nfs/dbraw/zinc/34/12/78/1074341278.db2.gz RGOUHSVWQRAGQY-UHFFFAOYSA-N 0 0 431.540 -0.016 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1NC(=O)C[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001300519028 1074343593 /nfs/dbraw/zinc/34/35/93/1074343593.db2.gz UYNZVYJTOPIBJG-KRWDZBQOSA-N 0 0 434.515 -0.415 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1NC(=O)C[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001300519034 1074343445 /nfs/dbraw/zinc/34/34/45/1074343445.db2.gz UYNZVYJTOPIBJG-QGZVFWFLSA-N 0 0 434.515 -0.415 20 0 IBADRN CC(C)(C)OC(=O)[C@@]12COC[C@@H]1CN(C(=O)[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001300554330 1074343972 /nfs/dbraw/zinc/34/39/72/1074343972.db2.gz DQUODRYPOPSSIK-HOIFWPIMSA-N 0 0 437.537 -0.016 20 0 IBADRN CC(C)(C)OC(=O)[C@@]12COC[C@@H]1CN(C(=O)[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001300554331 1074344010 /nfs/dbraw/zinc/34/40/10/1074344010.db2.gz DQUODRYPOPSSIK-JLHGSKIFSA-N 0 0 437.537 -0.016 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NC[C@@]2(OCCO)CCOC2)C1 ZINC001300568872 1074344370 /nfs/dbraw/zinc/34/43/70/1074344370.db2.gz ADITTZHTSQEIMN-MDASCCDHSA-N 0 0 448.538 -0.448 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NC[C@]2(OCCO)CCOC2)C1 ZINC001300568873 1074344332 /nfs/dbraw/zinc/34/43/32/1074344332.db2.gz ADITTZHTSQEIMN-NIKGAXFTSA-N 0 0 448.538 -0.448 20 0 IBADRN O=C(NC[C@@]1(OCCO)CCOC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001300569824 1074344172 /nfs/dbraw/zinc/34/41/72/1074344172.db2.gz IQIUUYFCRVBFRW-HFTRVMKXSA-N 0 0 432.470 -0.766 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001300569827 1074344240 /nfs/dbraw/zinc/34/42/40/1074344240.db2.gz IQIUUYFCRVBFRW-UWWQBHOKSA-N 0 0 432.470 -0.766 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001300570949 1074344360 /nfs/dbraw/zinc/34/43/60/1074344360.db2.gz PMNAXUHCNIFLEZ-DYESRHJHSA-N 0 0 432.477 -0.180 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001300570950 1074344298 /nfs/dbraw/zinc/34/42/98/1074344298.db2.gz PMNAXUHCNIFLEZ-LAUBAEHRSA-N 0 0 432.477 -0.180 20 0 IBADRN O=C(NC[C@@]1(OCCO)CCOC1)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001300570951 1074344339 /nfs/dbraw/zinc/34/43/39/1074344339.db2.gz PMNAXUHCNIFLEZ-UTKZUKDTSA-N 0 0 432.477 -0.180 20 0 IBADRN O=C(NC[C@@]1(OCCO)CCOC1)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001300570952 1074344380 /nfs/dbraw/zinc/34/43/80/1074344380.db2.gz PMNAXUHCNIFLEZ-UWJYYQICSA-N 0 0 432.477 -0.180 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001300576526 1074344322 /nfs/dbraw/zinc/34/43/22/1074344322.db2.gz MPVYESIXEDUFDG-CVEARBPZSA-N 0 0 432.520 -0.626 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001300576527 1074344402 /nfs/dbraw/zinc/34/44/02/1074344402.db2.gz MPVYESIXEDUFDG-HOTGVXAUSA-N 0 0 432.520 -0.626 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001300576528 1074344411 /nfs/dbraw/zinc/34/44/11/1074344411.db2.gz MPVYESIXEDUFDG-HZPDHXFCSA-N 0 0 432.520 -0.626 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001300576529 1074344780 /nfs/dbraw/zinc/34/47/80/1074344780.db2.gz MPVYESIXEDUFDG-JKSUJKDBSA-N 0 0 432.520 -0.626 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC001300577321 1074344688 /nfs/dbraw/zinc/34/46/88/1074344688.db2.gz ZXEXGGBNYRMLHU-GARJFASQSA-N 0 0 425.916 -0.280 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC001300577322 1074344838 /nfs/dbraw/zinc/34/48/38/1074344838.db2.gz ZXEXGGBNYRMLHU-GMTAPVOTSA-N 0 0 425.916 -0.280 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)C[C@H](C)NS(=O)(=O)c1cccnc1Cl ZINC001300577323 1074344709 /nfs/dbraw/zinc/34/47/09/1074344709.db2.gz ZXEXGGBNYRMLHU-HBNTYKKESA-N 0 0 425.916 -0.280 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1Cl ZINC001300577324 1074344810 /nfs/dbraw/zinc/34/48/10/1074344810.db2.gz ZXEXGGBNYRMLHU-OUAUKWLOSA-N 0 0 425.916 -0.280 20 0 IBADRN CN(C)CCN(CC(=O)N(C)C)C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001300585627 1074344730 /nfs/dbraw/zinc/34/47/30/1074344730.db2.gz SBKCKIMKISPGAT-HNNXBMFYSA-N 0 0 448.586 -0.111 20 0 IBADRN CN(C)CCN(CC(=O)N(C)C)C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001300585633 1074344654 /nfs/dbraw/zinc/34/46/54/1074344654.db2.gz SBKCKIMKISPGAT-OAHLLOKOSA-N 0 0 448.586 -0.111 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)CC1 ZINC001300599890 1074345149 /nfs/dbraw/zinc/34/51/49/1074345149.db2.gz AMHCHVFJDSUFMJ-UHFFFAOYSA-N 0 0 436.509 -0.107 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(C(=O)C(OC)OC)CC2)C1=O ZINC001300600361 1074345273 /nfs/dbraw/zinc/34/52/73/1074345273.db2.gz KDBRPQLWUHOEFL-NRFANRHFSA-N 0 0 448.476 -0.248 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(C(=O)C(OC)OC)CC2)C1=O ZINC001300600362 1074345093 /nfs/dbraw/zinc/34/50/93/1074345093.db2.gz KDBRPQLWUHOEFL-OAQYLSRUSA-N 0 0 448.476 -0.248 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC001300600901 1074345216 /nfs/dbraw/zinc/34/52/16/1074345216.db2.gz SSCMJWHLUSCTOV-HNNXBMFYSA-N 0 0 444.529 -0.058 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001300601301 1074345283 /nfs/dbraw/zinc/34/52/83/1074345283.db2.gz WUCRXZQUYVABHI-UHFFFAOYSA-N 0 0 447.535 -0.328 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001300603963 1074345196 /nfs/dbraw/zinc/34/51/96/1074345196.db2.gz VXLMMKKSBYTRCE-DOTOQJQBSA-N 0 0 437.468 -0.380 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001300603964 1074345162 /nfs/dbraw/zinc/34/51/62/1074345162.db2.gz VXLMMKKSBYTRCE-NVXWUHKLSA-N 0 0 437.468 -0.380 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001300603966 1074345063 /nfs/dbraw/zinc/34/50/63/1074345063.db2.gz VXLMMKKSBYTRCE-RDJZCZTQSA-N 0 0 437.468 -0.380 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001300603969 1074345103 /nfs/dbraw/zinc/34/51/03/1074345103.db2.gz VXLMMKKSBYTRCE-WBVHZDCISA-N 0 0 437.468 -0.380 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1CCNC(=O)c1cc(S(=O)(=O)NCC(N)=O)ccc1Cl ZINC001300612546 1074345122 /nfs/dbraw/zinc/34/51/22/1074345122.db2.gz CCXUGFUBULQXQJ-NEPJUHHUSA-N 0 0 432.930 -0.057 20 0 IBADRN C[C@@H]1CN(CCNC(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)[C@@H](C)CO1 ZINC001300612547 1074345227 /nfs/dbraw/zinc/34/52/27/1074345227.db2.gz CCXUGFUBULQXQJ-NWDGAFQWSA-N 0 0 432.930 -0.057 20 0 IBADRN C[C@H]1CN(CCNC(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)[C@@H](C)CO1 ZINC001300612548 1074345469 /nfs/dbraw/zinc/34/54/69/1074345469.db2.gz CCXUGFUBULQXQJ-RYUDHWBXSA-N 0 0 432.930 -0.057 20 0 IBADRN C[C@@H]1CN(CCNC(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)[C@H](C)CO1 ZINC001300612549 1074345652 /nfs/dbraw/zinc/34/56/52/1074345652.db2.gz CCXUGFUBULQXQJ-VXGBXAGGSA-N 0 0 432.930 -0.057 20 0 IBADRN COc1ccccc1OCc1nnc(N2CCNC(=O)C2)n1CC(=O)N1CCOCC1 ZINC001300652678 1074346024 /nfs/dbraw/zinc/34/60/24/1074346024.db2.gz QKTNIVSEAZTTCG-UHFFFAOYSA-N 0 0 430.465 -0.339 20 0 IBADRN O=C(NCCS(=O)(=O)C(F)(F)F)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001300656072 1074346048 /nfs/dbraw/zinc/34/60/48/1074346048.db2.gz YTUYBJKVPNAJTH-UHFFFAOYSA-N 0 0 443.425 -0.529 20 0 IBADRN COc1ccncc1Cn1c(C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001300694426 1074346740 /nfs/dbraw/zinc/34/67/40/1074346740.db2.gz SLMSINMDISDQPZ-UHFFFAOYSA-N 0 0 429.481 -0.454 20 0 IBADRN COc1ncccc1Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001300712852 1074347559 /nfs/dbraw/zinc/34/75/59/1074347559.db2.gz WMSHESDUUWKBOU-UHFFFAOYSA-N 0 0 449.537 -0.066 20 0 IBADRN CO[C@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C1 ZINC001300772347 1074348803 /nfs/dbraw/zinc/34/88/03/1074348803.db2.gz KCWRJNFHAQDIOH-ARFHVFGLSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C1 ZINC001300772348 1074348808 /nfs/dbraw/zinc/34/88/08/1074348808.db2.gz KCWRJNFHAQDIOH-BZUAXINKSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C1 ZINC001300772349 1074348789 /nfs/dbraw/zinc/34/87/89/1074348789.db2.gz KCWRJNFHAQDIOH-OAGGEKHMSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C1 ZINC001300772350 1074348659 /nfs/dbraw/zinc/34/86/59/1074348659.db2.gz KCWRJNFHAQDIOH-XHSDSOJGSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001300776998 1074348734 /nfs/dbraw/zinc/34/87/34/1074348734.db2.gz ZONPCDJMYFIBOS-CKEIUWERSA-N 0 0 448.567 -0.655 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001300777010 1074348758 /nfs/dbraw/zinc/34/87/58/1074348758.db2.gz ZONPCDJMYFIBOS-CPUCHLNUSA-N 0 0 448.567 -0.655 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001300777012 1074348694 /nfs/dbraw/zinc/34/86/94/1074348694.db2.gz ZONPCDJMYFIBOS-JJRVBVJISA-N 0 0 448.567 -0.655 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001300777014 1074348635 /nfs/dbraw/zinc/34/86/35/1074348635.db2.gz ZONPCDJMYFIBOS-VBQJREDUSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N[C@@]1(CO)CCc2cc(Br)ccc2C1 ZINC001300797348 1073847856 /nfs/dbraw/zinc/84/78/56/1073847856.db2.gz QRZSICFYNLXXQM-DIFFPNOSSA-N 0 0 437.298 -0.024 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N[C@]1(CO)CCc2cc(Br)ccc2C1 ZINC001300797360 1073847990 /nfs/dbraw/zinc/84/79/90/1073847990.db2.gz QRZSICFYNLXXQM-PIGZYNQJSA-N 0 0 437.298 -0.024 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)CC[C@H]1C ZINC001300799085 1073847919 /nfs/dbraw/zinc/84/79/19/1073847919.db2.gz ONKNVZYLVULJGB-QLFBSQMISA-N 0 0 444.558 -0.392 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)CC[C@H]1C ZINC001300799096 1073847759 /nfs/dbraw/zinc/84/77/59/1073847759.db2.gz ONKNVZYLVULJGB-RBSFLKMASA-N 0 0 444.558 -0.392 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)CC[C@@H]1C ZINC001300799098 1073847675 /nfs/dbraw/zinc/84/76/75/1073847675.db2.gz ONKNVZYLVULJGB-RRFJBIMHSA-N 0 0 444.558 -0.392 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)CC[C@@H]1C ZINC001300799100 1073847716 /nfs/dbraw/zinc/84/77/16/1073847716.db2.gz ONKNVZYLVULJGB-SOUVJXGZSA-N 0 0 444.558 -0.392 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)C[C@@H](C)O1 ZINC001300803170 1073847730 /nfs/dbraw/zinc/84/77/30/1073847730.db2.gz ZQDSRYCPUCFFHQ-MFKMUULPSA-N 0 0 433.508 -0.549 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1(C)C ZINC001300817949 1073848425 /nfs/dbraw/zinc/84/84/25/1073848425.db2.gz CKQGPUMCJHIWDJ-JCGVRSQUSA-N 0 0 427.527 -0.360 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1(C)C ZINC001300817950 1073848249 /nfs/dbraw/zinc/84/82/49/1073848249.db2.gz CKQGPUMCJHIWDJ-SNUQEOBHSA-N 0 0 427.527 -0.360 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1(C)C ZINC001300817951 1073848318 /nfs/dbraw/zinc/84/83/18/1073848318.db2.gz CKQGPUMCJHIWDJ-VEVIJQCQSA-N 0 0 427.527 -0.360 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1(C)C ZINC001300817952 1073848227 /nfs/dbraw/zinc/84/82/27/1073848227.db2.gz CKQGPUMCJHIWDJ-VFVRVIDISA-N 0 0 427.527 -0.360 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CCN1S(C)(=O)=O ZINC001300819708 1073848326 /nfs/dbraw/zinc/84/83/26/1073848326.db2.gz IGYVHCYYYDTNER-FRFSOERESA-N 0 0 427.498 -0.178 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CCN1S(C)(=O)=O ZINC001300819709 1073848266 /nfs/dbraw/zinc/84/82/66/1073848266.db2.gz IGYVHCYYYDTNER-KMFMINBZSA-N 0 0 427.498 -0.178 20 0 IBADRN C[C@@H]1CN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CCN1S(C)(=O)=O ZINC001300819710 1073848395 /nfs/dbraw/zinc/84/83/95/1073848395.db2.gz IGYVHCYYYDTNER-UKPHBRMFSA-N 0 0 427.498 -0.178 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CCN1S(C)(=O)=O ZINC001300819711 1073848389 /nfs/dbraw/zinc/84/83/89/1073848389.db2.gz IGYVHCYYYDTNER-UNEWFSDZSA-N 0 0 427.498 -0.178 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)c1 ZINC001300819719 1073848305 /nfs/dbraw/zinc/84/83/05/1073848305.db2.gz ISXFBCZYRTVDQH-CQSZACIVSA-N 0 0 448.567 -0.629 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)N(C)C)CC[C@H]1C ZINC001300821294 1073848400 /nfs/dbraw/zinc/84/84/00/1073848400.db2.gz AISZGAWQIJWZOC-KFWWJZLASA-N 0 0 444.558 -0.440 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)N(C)C)CC[C@H]1C ZINC001300821295 1073848418 /nfs/dbraw/zinc/84/84/18/1073848418.db2.gz AISZGAWQIJWZOC-RBSFLKMASA-N 0 0 444.558 -0.440 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)N(C)C)CC[C@@H]1C ZINC001300821296 1073848435 /nfs/dbraw/zinc/84/84/35/1073848435.db2.gz AISZGAWQIJWZOC-RRFJBIMHSA-N 0 0 444.558 -0.440 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)N(C)C)CC[C@@H]1C ZINC001300821297 1073848429 /nfs/dbraw/zinc/84/84/29/1073848429.db2.gz AISZGAWQIJWZOC-ZNMIVQPWSA-N 0 0 444.558 -0.440 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CC[C@H]1C ZINC001300828504 1073848343 /nfs/dbraw/zinc/84/83/43/1073848343.db2.gz WSPGFMAKIPAUEV-QLFBSQMISA-N 0 0 449.599 -0.067 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CC[C@H]1C ZINC001300828505 1073848415 /nfs/dbraw/zinc/84/84/15/1073848415.db2.gz WSPGFMAKIPAUEV-RBSFLKMASA-N 0 0 449.599 -0.067 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CC[C@@H]1C ZINC001300828506 1073848287 /nfs/dbraw/zinc/84/82/87/1073848287.db2.gz WSPGFMAKIPAUEV-RRFJBIMHSA-N 0 0 449.599 -0.067 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CC[C@@H]1C ZINC001300828507 1073848734 /nfs/dbraw/zinc/84/87/34/1073848734.db2.gz WSPGFMAKIPAUEV-SOUVJXGZSA-N 0 0 449.599 -0.067 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCS(=O)(=O)C2)CC1(C)C ZINC001300845718 1073848919 /nfs/dbraw/zinc/84/89/19/1073848919.db2.gz VTBWNYMBGQBFLA-AUUYWEPGSA-N 0 0 441.554 -0.189 20 0 IBADRN CO[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCS(=O)(=O)C2)CC1(C)C ZINC001300845719 1073848748 /nfs/dbraw/zinc/84/87/48/1073848748.db2.gz VTBWNYMBGQBFLA-IFXJQAMLSA-N 0 0 441.554 -0.189 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1(C)C ZINC001300845720 1073848881 /nfs/dbraw/zinc/84/88/81/1073848881.db2.gz VTBWNYMBGQBFLA-KUHUBIRLSA-N 0 0 441.554 -0.189 20 0 IBADRN CO[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1(C)C ZINC001300845721 1073848721 /nfs/dbraw/zinc/84/87/21/1073848721.db2.gz VTBWNYMBGQBFLA-LIRRHRJNSA-N 0 0 441.554 -0.189 20 0 IBADRN CO[C@H]1COCC[C@@H]1Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001300846366 1073848907 /nfs/dbraw/zinc/84/89/07/1073848907.db2.gz GOGBGOOGUVABJK-CABCVRRESA-N 0 0 444.558 -0.449 20 0 IBADRN CO[C@H]1COCC[C@H]1Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001300846368 1073848829 /nfs/dbraw/zinc/84/88/29/1073848829.db2.gz GOGBGOOGUVABJK-GJZGRUSLSA-N 0 0 444.558 -0.449 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001300846369 1073848935 /nfs/dbraw/zinc/84/89/35/1073848935.db2.gz GOGBGOOGUVABJK-HUUCEWRRSA-N 0 0 444.558 -0.449 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001300846370 1073848671 /nfs/dbraw/zinc/84/86/71/1073848671.db2.gz GOGBGOOGUVABJK-LSDHHAIUSA-N 0 0 444.558 -0.449 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)N[C@@H]1CCN(c2ccc3nncn3n2)C1 ZINC001300847980 1073848898 /nfs/dbraw/zinc/84/88/98/1073848898.db2.gz NWIQTQMELDJDGI-CVEARBPZSA-N 0 0 427.440 -0.322 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)N[C@H]1CCN(c2ccc3nncn3n2)C1 ZINC001300848112 1073848758 /nfs/dbraw/zinc/84/87/58/1073848758.db2.gz NWIQTQMELDJDGI-HOTGVXAUSA-N 0 0 427.440 -0.322 20 0 IBADRN COC(=O)[C@H]1CC(F)(F)CN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001300849353 1073848662 /nfs/dbraw/zinc/84/86/62/1073848662.db2.gz UEUAYNFVQJGMCD-GFCCVEGCSA-N 0 0 440.446 -0.222 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1 ZINC001300856300 1073848852 /nfs/dbraw/zinc/84/88/52/1073848852.db2.gz UQEVXUAKOVDXHF-BFHYXJOUSA-N 0 0 430.531 -0.782 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1 ZINC001300856304 1073848796 /nfs/dbraw/zinc/84/87/96/1073848796.db2.gz UQEVXUAKOVDXHF-HZSPNIEDSA-N 0 0 430.531 -0.782 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1 ZINC001300856306 1073848691 /nfs/dbraw/zinc/84/86/91/1073848691.db2.gz UQEVXUAKOVDXHF-MELADBBJSA-N 0 0 430.531 -0.782 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1 ZINC001300856308 1073848864 /nfs/dbraw/zinc/84/88/64/1073848864.db2.gz UQEVXUAKOVDXHF-MGPQQGTHSA-N 0 0 430.531 -0.782 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)C ZINC001300857889 1073848706 /nfs/dbraw/zinc/84/87/06/1073848706.db2.gz XSYZPWNJBACKBB-QLFBSQMISA-N 0 0 446.574 -0.099 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)C ZINC001300857890 1073848781 /nfs/dbraw/zinc/84/87/81/1073848781.db2.gz XSYZPWNJBACKBB-RBSFLKMASA-N 0 0 446.574 -0.099 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)C ZINC001300857891 1073848810 /nfs/dbraw/zinc/84/88/10/1073848810.db2.gz XSYZPWNJBACKBB-RRFJBIMHSA-N 0 0 446.574 -0.099 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)C ZINC001300857892 1073848837 /nfs/dbraw/zinc/84/88/37/1073848837.db2.gz XSYZPWNJBACKBB-SOUVJXGZSA-N 0 0 446.574 -0.099 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001300865186 1073849462 /nfs/dbraw/zinc/84/94/62/1073849462.db2.gz WQHBQRDSVRTYQM-QLFBSQMISA-N 0 0 448.567 -0.534 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001300865187 1073849378 /nfs/dbraw/zinc/84/93/78/1073849378.db2.gz WQHBQRDSVRTYQM-RBSFLKMASA-N 0 0 448.567 -0.534 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001300865188 1073849433 /nfs/dbraw/zinc/84/94/33/1073849433.db2.gz WQHBQRDSVRTYQM-RRFJBIMHSA-N 0 0 448.567 -0.534 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001300865189 1073849395 /nfs/dbraw/zinc/84/93/95/1073849395.db2.gz WQHBQRDSVRTYQM-SOUVJXGZSA-N 0 0 448.567 -0.534 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC2([S@](C)=O)CC2)CC1 ZINC001300867546 1073849302 /nfs/dbraw/zinc/84/93/02/1073849302.db2.gz BIYAVFUPQRNWRM-PMERELPUSA-N 0 0 438.554 -0.280 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001300867547 1073849438 /nfs/dbraw/zinc/84/94/38/1073849438.db2.gz BIYAVFUPQRNWRM-SSEXGKCCSA-N 0 0 438.554 -0.280 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCO[C@@H]2C)CC1 ZINC001300867793 1073849327 /nfs/dbraw/zinc/84/93/27/1073849327.db2.gz BUHPHNUOLNRVLD-FOIQADDNSA-N 0 0 436.513 -0.651 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCO[C@H]2C)CC1 ZINC001300867795 1073849363 /nfs/dbraw/zinc/84/93/63/1073849363.db2.gz BUHPHNUOLNRVLD-MGPUTAFESA-N 0 0 436.513 -0.651 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCO[C@@H]2C)CC1 ZINC001300867796 1073849359 /nfs/dbraw/zinc/84/93/59/1073849359.db2.gz BUHPHNUOLNRVLD-QRWLVFNGSA-N 0 0 436.513 -0.651 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCO[C@H]2C)CC1 ZINC001300867797 1073849317 /nfs/dbraw/zinc/84/93/17/1073849317.db2.gz BUHPHNUOLNRVLD-YWZLYKJASA-N 0 0 436.513 -0.651 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001300871452 1073849335 /nfs/dbraw/zinc/84/93/35/1073849335.db2.gz LWQNNIUGTXAZTJ-FQEVSTJZSA-N 0 0 433.513 -0.713 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001300871467 1073849405 /nfs/dbraw/zinc/84/94/05/1073849405.db2.gz LWQNNIUGTXAZTJ-HXUWFJFHSA-N 0 0 433.513 -0.713 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCCN2CCOC2=O)CC1 ZINC001300871842 1073849451 /nfs/dbraw/zinc/84/94/51/1073849451.db2.gz GJERBXYKGYUGIM-UHFFFAOYSA-N 0 0 437.501 -0.446 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC2(C(N)=O)CCCC2)CC1 ZINC001300872096 1073849466 /nfs/dbraw/zinc/84/94/66/1073849466.db2.gz MJQOPSRCQVCUGL-UHFFFAOYSA-N 0 0 435.529 -0.243 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H](OC)C(C)C)CC1 ZINC001300873203 1073849345 /nfs/dbraw/zinc/84/93/45/1073849345.db2.gz NGCUTCVMQZOQLU-CABCVRRESA-N 0 0 446.574 -0.146 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H](OC)C(C)C)CC1 ZINC001300873214 1073849370 /nfs/dbraw/zinc/84/93/70/1073849370.db2.gz NGCUTCVMQZOQLU-GJZGRUSLSA-N 0 0 446.574 -0.146 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H](OC)C(C)C)CC1 ZINC001300873219 1073849742 /nfs/dbraw/zinc/84/97/42/1073849742.db2.gz NGCUTCVMQZOQLU-HUUCEWRRSA-N 0 0 446.574 -0.146 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H](OC)C(C)C)CC1 ZINC001300873223 1073850002 /nfs/dbraw/zinc/85/00/02/1073850002.db2.gz NGCUTCVMQZOQLU-LSDHHAIUSA-N 0 0 446.574 -0.146 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C)n2Cc2ccc(C(=O)NCC(N)=O)cc2)CC1 ZINC001300873774 1073849966 /nfs/dbraw/zinc/84/99/66/1073849966.db2.gz PFOTWPDOTCZONR-UHFFFAOYSA-N 0 0 429.481 -0.855 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCCO2)CC1 ZINC001300874957 1073849931 /nfs/dbraw/zinc/84/99/31/1073849931.db2.gz VEJUFPHTRDTQJI-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCCO2)CC1 ZINC001300874966 1073849873 /nfs/dbraw/zinc/84/98/73/1073849873.db2.gz VEJUFPHTRDTQJI-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCc2n[nH]c(C)n2)CC1 ZINC001300878156 1073849819 /nfs/dbraw/zinc/84/98/19/1073849819.db2.gz ZIRWKGCAUMLXPP-UHFFFAOYSA-N 0 0 431.501 -0.522 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H](C)N2CCOCC2)CC1 ZINC001300886903 1073850018 /nfs/dbraw/zinc/85/00/18/1073850018.db2.gz OKNKBYLKPMNKKW-INIZCTEOSA-N 0 0 432.529 -0.306 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H](C)N2CCOCC2)CC1 ZINC001300886904 1073849983 /nfs/dbraw/zinc/84/99/83/1073849983.db2.gz OKNKBYLKPMNKKW-MRXNPFEDSA-N 0 0 432.529 -0.306 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3cccn3C)n2CCC[C@@H]2NC(=O)NC2=O)CC1 ZINC001300887087 1073849850 /nfs/dbraw/zinc/84/98/50/1073849850.db2.gz VWAWSVLSRNBMSM-AWEZNQCLSA-N 0 0 444.496 -0.433 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3ccccn3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001300887090 1073849907 /nfs/dbraw/zinc/84/99/07/1073849907.db2.gz VXNSIVSCUBPHPI-UHFFFAOYSA-N 0 0 439.480 -0.212 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCCCO3)n2CCCNS(C)(=O)=O)CC1 ZINC001300887471 1073850082 /nfs/dbraw/zinc/85/00/82/1073850082.db2.gz BATCJIJREFANGZ-HNNXBMFYSA-N 0 0 444.558 -0.246 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCCCO3)n2CCCNS(C)(=O)=O)CC1 ZINC001300887472 1073849860 /nfs/dbraw/zinc/84/98/60/1073849860.db2.gz BATCJIJREFANGZ-OAHLLOKOSA-N 0 0 444.558 -0.246 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001300887543 1073850723 /nfs/dbraw/zinc/85/07/23/1073850723.db2.gz CBIQJEXPWOETKG-HNNXBMFYSA-N 0 0 429.481 -0.381 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001300887545 1073850426 /nfs/dbraw/zinc/85/04/26/1073850426.db2.gz CBIQJEXPWOETKG-OAHLLOKOSA-N 0 0 429.481 -0.381 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001300888249 1073850711 /nfs/dbraw/zinc/85/07/11/1073850711.db2.gz GDMLBSZUHLZOOI-CABCVRRESA-N 0 0 427.527 -0.488 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001300888282 1073850626 /nfs/dbraw/zinc/85/06/26/1073850626.db2.gz GDMLBSZUHLZOOI-GJZGRUSLSA-N 0 0 427.527 -0.488 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001300888283 1073850445 /nfs/dbraw/zinc/85/04/45/1073850445.db2.gz GDMLBSZUHLZOOI-HUUCEWRRSA-N 0 0 427.527 -0.488 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001300888284 1073850405 /nfs/dbraw/zinc/85/04/05/1073850405.db2.gz GDMLBSZUHLZOOI-LSDHHAIUSA-N 0 0 427.527 -0.488 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CC1 ZINC001300888884 1073850532 /nfs/dbraw/zinc/85/05/32/1073850532.db2.gz KAYXOAIHGAKPAA-CVEARBPZSA-N 0 0 436.513 -0.280 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CC1 ZINC001300888885 1073850643 /nfs/dbraw/zinc/85/06/43/1073850643.db2.gz KAYXOAIHGAKPAA-HOTGVXAUSA-N 0 0 436.513 -0.280 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CC1 ZINC001300888886 1073850686 /nfs/dbraw/zinc/85/06/86/1073850686.db2.gz KAYXOAIHGAKPAA-HZPDHXFCSA-N 0 0 436.513 -0.280 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CC1 ZINC001300888888 1073850516 /nfs/dbraw/zinc/85/05/16/1073850516.db2.gz KAYXOAIHGAKPAA-JKSUJKDBSA-N 0 0 436.513 -0.280 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)CC1 ZINC001300890364 1073850701 /nfs/dbraw/zinc/85/07/01/1073850701.db2.gz DQZJOEZMNVNUJJ-AWEZNQCLSA-N 0 0 428.515 -0.759 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)CC1 ZINC001300890366 1073850460 /nfs/dbraw/zinc/85/04/60/1073850460.db2.gz DQZJOEZMNVNUJJ-CQSZACIVSA-N 0 0 428.515 -0.759 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CC(C)C)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001300892010 1073850656 /nfs/dbraw/zinc/85/06/56/1073850656.db2.gz LTNXMESTMNLJPW-UHFFFAOYSA-N 0 0 435.573 -0.064 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CC3CC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001300893035 1073850613 /nfs/dbraw/zinc/85/06/13/1073850613.db2.gz AZXQPMXBGIEGLF-UHFFFAOYSA-N 0 0 426.543 -0.439 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCO[C@@H]2C)CC1 ZINC001300893744 1073850766 /nfs/dbraw/zinc/85/07/66/1073850766.db2.gz KMXZJTUGDZABAD-FOIQADDNSA-N 0 0 436.513 -0.765 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCO[C@H]2C)CC1 ZINC001300893745 1073850737 /nfs/dbraw/zinc/85/07/37/1073850737.db2.gz KMXZJTUGDZABAD-MGPUTAFESA-N 0 0 436.513 -0.765 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCO[C@@H]2C)CC1 ZINC001300893746 1073850753 /nfs/dbraw/zinc/85/07/53/1073850753.db2.gz KMXZJTUGDZABAD-QRWLVFNGSA-N 0 0 436.513 -0.765 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCO[C@H]2C)CC1 ZINC001300893748 1073850997 /nfs/dbraw/zinc/85/09/97/1073850997.db2.gz KMXZJTUGDZABAD-YWZLYKJASA-N 0 0 436.513 -0.765 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC2([S@](C)=O)CC2)CC1 ZINC001300893826 1073851130 /nfs/dbraw/zinc/85/11/30/1073851130.db2.gz MZRZVDYLQFVAAV-PMERELPUSA-N 0 0 438.554 -0.393 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC2([S@@](C)=O)CC2)CC1 ZINC001300893827 1073851259 /nfs/dbraw/zinc/85/12/59/1073851259.db2.gz MZRZVDYLQFVAAV-SSEXGKCCSA-N 0 0 438.554 -0.393 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001300893943 1073850949 /nfs/dbraw/zinc/85/09/49/1073850949.db2.gz RQRDTNREWRSHFN-FQEVSTJZSA-N 0 0 433.513 -0.826 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001300893944 1073851211 /nfs/dbraw/zinc/85/12/11/1073851211.db2.gz RQRDTNREWRSHFN-HXUWFJFHSA-N 0 0 433.513 -0.826 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)NC(C)C)CC1 ZINC001300894040 1073851016 /nfs/dbraw/zinc/85/10/16/1073851016.db2.gz VGHYSOPPTOIDPL-UHFFFAOYSA-N 0 0 435.529 -0.390 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CC3CCCC3)n2CCNS(C)(=O)=O)CC1 ZINC001300894054 1073851093 /nfs/dbraw/zinc/85/10/93/1073851093.db2.gz VLOGMSWZSSKVEJ-UHFFFAOYSA-N 0 0 428.559 -0.145 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cc3ccncc3)n2CCNS(C)(=O)=O)CC1 ZINC001300894091 1073851145 /nfs/dbraw/zinc/85/11/45/1073851145.db2.gz WVFGLJXLUOKTSL-UHFFFAOYSA-N 0 0 437.526 -0.892 20 0 IBADRN NC(=O)c1cn(C2CCN(C(=O)[C@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)CC2)nn1 ZINC001300897943 1073851073 /nfs/dbraw/zinc/85/10/73/1073851073.db2.gz KYSINBQNGNDKHD-CRAIPNDOSA-N 0 0 444.467 -0.116 20 0 IBADRN NC(=O)c1cn(C2CCN(C(=O)[C@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)CC2)nn1 ZINC001300897948 1073851228 /nfs/dbraw/zinc/85/12/28/1073851228.db2.gz KYSINBQNGNDKHD-MAUKXSAKSA-N 0 0 444.467 -0.116 20 0 IBADRN NC(=O)c1cn(C2CCN(C(=O)[C@@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)CC2)nn1 ZINC001300897950 1073851110 /nfs/dbraw/zinc/85/11/10/1073851110.db2.gz KYSINBQNGNDKHD-QAPCUYQASA-N 0 0 444.467 -0.116 20 0 IBADRN NC(=O)c1cn(C2CCN(C(=O)[C@@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)CC2)nn1 ZINC001300897952 1073850937 /nfs/dbraw/zinc/85/09/37/1073850937.db2.gz KYSINBQNGNDKHD-YJBOKZPZSA-N 0 0 444.467 -0.116 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CNC(=O)c3cccs3)n2CC(=O)N(C)C)CC1 ZINC001300899097 1073851050 /nfs/dbraw/zinc/85/10/50/1073851050.db2.gz BOAVZOILFDYDQM-UHFFFAOYSA-N 0 0 449.537 -0.347 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001300900021 1073850970 /nfs/dbraw/zinc/85/09/70/1073850970.db2.gz LORSZGGGSXFJJM-ZWKOTPCHSA-N 0 0 447.540 -0.025 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cn3nc(C)cc3C)n2CCS(C)(=O)=O)CC1 ZINC001300901053 1073851159 /nfs/dbraw/zinc/85/11/59/1073851159.db2.gz XAUAENOJSISURA-UHFFFAOYSA-N 0 0 439.542 -0.521 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2CCCNC(C)=O)CC1 ZINC001300902635 1073851190 /nfs/dbraw/zinc/85/11/90/1073851190.db2.gz IABOPFQDLYHICR-UHFFFAOYSA-N 0 0 435.529 -0.228 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2CCS(C)(=O)=O)CC1 ZINC001300902899 1073851698 /nfs/dbraw/zinc/85/16/98/1073851698.db2.gz NMEJDTCMOOOMRW-UHFFFAOYSA-N 0 0 430.531 -0.808 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2COCCO2)CC1 ZINC001300902946 1073851648 /nfs/dbraw/zinc/85/16/48/1073851648.db2.gz OYUCNNFNBLLLMA-INIZCTEOSA-N 0 0 436.513 -0.339 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCN2CCOCC2)CC1 ZINC001300906684 1073851454 /nfs/dbraw/zinc/85/14/54/1073851454.db2.gz BQRJKMYTUWUTOI-KRWDZBQOSA-N 0 0 449.556 -0.317 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCN2CCOCC2)CC1 ZINC001300906686 1073851572 /nfs/dbraw/zinc/85/15/72/1073851572.db2.gz BQRJKMYTUWUTOI-QGZVFWFLSA-N 0 0 449.556 -0.317 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCn2ccnn2)CC1 ZINC001300907174 1073851485 /nfs/dbraw/zinc/85/14/85/1073851485.db2.gz FFUMAULDXAPFHR-HNNXBMFYSA-N 0 0 431.501 -0.358 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCn2ccnn2)CC1 ZINC001300907175 1073851746 /nfs/dbraw/zinc/85/17/46/1073851746.db2.gz FFUMAULDXAPFHR-OAHLLOKOSA-N 0 0 431.501 -0.358 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(-c3cnccn3)n2CCNC(=O)C2CC2)CC1 ZINC001300907179 1073851631 /nfs/dbraw/zinc/85/16/31/1073851631.db2.gz FLRKTEVSUGUGJW-UHFFFAOYSA-N 0 0 428.497 -0.007 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CC1 ZINC001300907854 1073851615 /nfs/dbraw/zinc/85/16/15/1073851615.db2.gz KTWXPKPMZCEZEP-INIZCTEOSA-N 0 0 445.528 -0.278 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CC1 ZINC001300907855 1073851681 /nfs/dbraw/zinc/85/16/81/1073851681.db2.gz KTWXPKPMZCEZEP-MRXNPFEDSA-N 0 0 445.528 -0.278 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001300908258 1073851601 /nfs/dbraw/zinc/85/16/01/1073851601.db2.gz SXVGJQZQYAULGW-ILHIWHGASA-N 0 0 438.554 -0.128 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2([S@](C)=O)CC2)CC1 ZINC001300908259 1073852131 /nfs/dbraw/zinc/85/21/31/1073852131.db2.gz SXVGJQZQYAULGW-KJEZJMDVSA-N 0 0 438.554 -0.128 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001300908260 1073852119 /nfs/dbraw/zinc/85/21/19/1073852119.db2.gz SXVGJQZQYAULGW-NGFNCXNUSA-N 0 0 438.554 -0.128 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2([S@](C)=O)CC2)CC1 ZINC001300908261 1073851962 /nfs/dbraw/zinc/85/19/62/1073851962.db2.gz SXVGJQZQYAULGW-WYIRRWHOSA-N 0 0 438.554 -0.128 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(-c3cnccn3)n2CC(=O)N2CCOCC2)CC1 ZINC001300908329 1073852231 /nfs/dbraw/zinc/85/22/31/1073852231.db2.gz WAZVVLPKFWKBDC-UHFFFAOYSA-N 0 0 444.496 -0.675 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H](C)OC)CC1 ZINC001300910422 1073852254 /nfs/dbraw/zinc/85/22/54/1073852254.db2.gz JIZMJGVNSPYTNU-KBPBESRZSA-N 0 0 429.543 -0.054 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H](C)OC)CC1 ZINC001300910423 1073852000 /nfs/dbraw/zinc/85/20/00/1073852000.db2.gz JIZMJGVNSPYTNU-KGLIPLIRSA-N 0 0 429.543 -0.054 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H](C)OC)CC1 ZINC001300910424 1073852108 /nfs/dbraw/zinc/85/21/08/1073852108.db2.gz JIZMJGVNSPYTNU-UONOGXRCSA-N 0 0 429.543 -0.054 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H](C)OC)CC1 ZINC001300910425 1073852243 /nfs/dbraw/zinc/85/22/43/1073852243.db2.gz JIZMJGVNSPYTNU-ZIAGYGMSSA-N 0 0 429.543 -0.054 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)NC)[C@@H](C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001300910889 1073852144 /nfs/dbraw/zinc/85/21/44/1073852144.db2.gz LTWFNTMXUXJBFF-AWEZNQCLSA-N 0 0 449.574 -0.253 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)NC)[C@H](C)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001300910890 1073852207 /nfs/dbraw/zinc/85/22/07/1073852207.db2.gz LTWFNTMXUXJBFF-CQSZACIVSA-N 0 0 449.574 -0.253 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2CCn2cncn2)CC1 ZINC001300916737 1073852075 /nfs/dbraw/zinc/85/20/75/1073852075.db2.gz LPBRBGSQHYPOAB-UHFFFAOYSA-N 0 0 431.501 -0.576 20 0 IBADRN COCC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001300928266 1073852025 /nfs/dbraw/zinc/85/20/25/1073852025.db2.gz ANTZOEGREWGIDT-DZGCQCFKSA-N 0 0 446.574 -0.098 20 0 IBADRN COCC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001300928267 1073852161 /nfs/dbraw/zinc/85/21/61/1073852161.db2.gz ANTZOEGREWGIDT-HIFRSBDPSA-N 0 0 446.574 -0.098 20 0 IBADRN COCC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001300928268 1073852042 /nfs/dbraw/zinc/85/20/42/1073852042.db2.gz ANTZOEGREWGIDT-UKRRQHHQSA-N 0 0 446.574 -0.098 20 0 IBADRN COCC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001300928269 1073851984 /nfs/dbraw/zinc/85/19/84/1073851984.db2.gz ANTZOEGREWGIDT-ZFWWWQNUSA-N 0 0 446.574 -0.098 20 0 IBADRN CC(C)(C)OC(=O)NC[C@]1(CO)COCCN1C(=O)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC001300930211 1073852195 /nfs/dbraw/zinc/85/21/95/1073852195.db2.gz DNRUMXSMMVZLFB-BRUMVKDWSA-N 0 0 426.466 -0.178 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@]1(CO)COCCN1C(=O)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC001300930223 1073852092 /nfs/dbraw/zinc/85/20/92/1073852092.db2.gz DNRUMXSMMVZLFB-PBAGJPEZSA-N 0 0 426.466 -0.178 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCOC[C@@]1(CO)CNC(=O)OC(C)(C)C ZINC001300931863 1073852176 /nfs/dbraw/zinc/85/21/76/1073852176.db2.gz PLMHNTFQEYHUIQ-KRWDZBQOSA-N 0 0 432.499 -0.364 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCOC[C@]1(CO)CNC(=O)OC(C)(C)C ZINC001300931870 1073852219 /nfs/dbraw/zinc/85/22/19/1073852219.db2.gz PLMHNTFQEYHUIQ-QGZVFWFLSA-N 0 0 432.499 -0.364 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001300938027 1073852054 /nfs/dbraw/zinc/85/20/54/1073852054.db2.gz IUZHAJGILIOCAX-UHFFFAOYSA-N 0 0 443.547 -0.043 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001300939095 1073852601 /nfs/dbraw/zinc/85/26/01/1073852601.db2.gz HCSHTVJNFVVDBH-KRWDZBQOSA-N 0 0 428.511 -0.762 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001300939102 1073852793 /nfs/dbraw/zinc/85/27/93/1073852793.db2.gz HCSHTVJNFVVDBH-QGZVFWFLSA-N 0 0 428.511 -0.762 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001300940208 1073852748 /nfs/dbraw/zinc/85/27/48/1073852748.db2.gz QNUOKAXUMGQXRB-INIZCTEOSA-N 0 0 440.497 -0.635 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001300940223 1073852841 /nfs/dbraw/zinc/85/28/41/1073852841.db2.gz QNUOKAXUMGQXRB-MRXNPFEDSA-N 0 0 440.497 -0.635 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001300940245 1073852577 /nfs/dbraw/zinc/85/25/77/1073852577.db2.gz RIAKJSVGOBESGP-KRWDZBQOSA-N 0 0 428.555 -0.664 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001300940246 1073852767 /nfs/dbraw/zinc/85/27/67/1073852767.db2.gz RIAKJSVGOBESGP-QGZVFWFLSA-N 0 0 428.555 -0.664 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001300940274 1073852874 /nfs/dbraw/zinc/85/28/74/1073852874.db2.gz SEKPIVMLARTIJQ-UHFFFAOYSA-N 0 0 431.536 -0.451 20 0 IBADRN CCc1c(C(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001300940302 1073852537 /nfs/dbraw/zinc/85/25/37/1073852537.db2.gz UCEWMGHBCNJXMN-UHFFFAOYSA-N 0 0 435.506 -0.555 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@H](NS(=O)(=O)c3cccs3)[C@H](C)O)C[C@H]21 ZINC001300949278 1073852805 /nfs/dbraw/zinc/85/28/05/1073852805.db2.gz MHAQCUNTQNHSRQ-BYNIDDHOSA-N 0 0 438.549 -0.954 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@H](NS(=O)(=O)c3cccs3)[C@@H](C)O)C[C@H]21 ZINC001300949292 1073852685 /nfs/dbraw/zinc/85/26/85/1073852685.db2.gz MHAQCUNTQNHSRQ-GHMOJZLGSA-N 0 0 438.549 -0.954 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@@H](NS(=O)(=O)c3cccs3)[C@H](C)O)C[C@H]21 ZINC001300949294 1073852665 /nfs/dbraw/zinc/85/26/65/1073852665.db2.gz MHAQCUNTQNHSRQ-HNRZYHPDSA-N 0 0 438.549 -0.954 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)[C@@H](NS(=O)(=O)c3cccs3)[C@@H](C)O)C[C@H]21 ZINC001300949295 1073852695 /nfs/dbraw/zinc/85/26/95/1073852695.db2.gz MHAQCUNTQNHSRQ-MOWSAHLDSA-N 0 0 438.549 -0.954 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc4c(c3)COC4)C[C@H]21 ZINC001300949381 1073852727 /nfs/dbraw/zinc/85/27/27/1073852727.db2.gz NPOKUVCEXHGPAV-XHSDSOJGSA-N 0 0 430.504 -0.735 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc1 ZINC001300950056 1073852620 /nfs/dbraw/zinc/85/26/20/1073852620.db2.gz ZZFUGVSOJOAKOK-KSYCFECVSA-N 0 0 432.520 -0.368 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)CN2C(=O)N[C@](C)(c3cc(C)ccc3OC)C2=O)CCO1 ZINC001300950189 1073852649 /nfs/dbraw/zinc/85/26/49/1073852649.db2.gz BQVVEPSPFYLWEO-LHSJRXKWSA-N 0 0 447.492 -0.073 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)CN2C(=O)N[C@@](C)(c3cc(C)ccc3OC)C2=O)CCO1 ZINC001300950190 1073852825 /nfs/dbraw/zinc/85/28/25/1073852825.db2.gz BQVVEPSPFYLWEO-QKKBWIMNSA-N 0 0 447.492 -0.073 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)CN2C(=O)N[C@](C)(c3cc(C)ccc3OC)C2=O)CCO1 ZINC001300950191 1073852710 /nfs/dbraw/zinc/85/27/10/1073852710.db2.gz BQVVEPSPFYLWEO-SPLOXXLWSA-N 0 0 447.492 -0.073 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)CN2C(=O)N[C@@](C)(c3cc(C)ccc3OC)C2=O)CCO1 ZINC001300950192 1073852565 /nfs/dbraw/zinc/85/25/65/1073852565.db2.gz BQVVEPSPFYLWEO-SZNDQCEHSA-N 0 0 447.492 -0.073 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CCO1 ZINC001300950814 1073853279 /nfs/dbraw/zinc/85/32/79/1073853279.db2.gz MJEXAEMLRMVGBE-JTQLQIEISA-N 0 0 435.300 -0.134 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)c2ccc(S(N)(=O)=O)c(Br)c2)CCO1 ZINC001300950815 1073853358 /nfs/dbraw/zinc/85/33/58/1073853358.db2.gz MJEXAEMLRMVGBE-SNVBAGLBSA-N 0 0 435.300 -0.134 20 0 IBADRN COC[C@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001300953594 1073853237 /nfs/dbraw/zinc/85/32/37/1073853237.db2.gz RFESJIRGAFQMET-CHWSQXEVSA-N 0 0 439.542 -0.173 20 0 IBADRN COC[C@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001300953595 1073853366 /nfs/dbraw/zinc/85/33/66/1073853366.db2.gz RFESJIRGAFQMET-OLZOCXBDSA-N 0 0 439.542 -0.173 20 0 IBADRN COC[C@@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001300953596 1073853286 /nfs/dbraw/zinc/85/32/86/1073853286.db2.gz RFESJIRGAFQMET-QWHCGFSZSA-N 0 0 439.542 -0.173 20 0 IBADRN COC[C@@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001300953597 1073853150 /nfs/dbraw/zinc/85/31/50/1073853150.db2.gz RFESJIRGAFQMET-STQMWFEESA-N 0 0 439.542 -0.173 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001300958404 1073853314 /nfs/dbraw/zinc/85/33/14/1073853314.db2.gz FZDXMMDDHPKCON-UHFFFAOYSA-N 0 0 433.508 -0.724 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001300958851 1073853259 /nfs/dbraw/zinc/85/32/59/1073853259.db2.gz JGRVCSLUODPOBK-UHFFFAOYSA-N 0 0 431.536 -0.600 20 0 IBADRN COc1ccc(CC(=O)N2CC(NS(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001300959314 1073853373 /nfs/dbraw/zinc/85/33/73/1073853373.db2.gz PSLZRWDFMBDWOD-UHFFFAOYSA-N 0 0 447.535 -0.981 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC001300959748 1073853176 /nfs/dbraw/zinc/85/31/76/1073853176.db2.gz YHMBQOXPIXJQOX-UHFFFAOYSA-N 0 0 426.314 -0.513 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)c2ccc(I)cc2-n2cnnn2)C1 ZINC001300960035 1073853246 /nfs/dbraw/zinc/85/32/46/1073853246.db2.gz ALMVBGQXAONHLE-UHFFFAOYSA-N 0 0 448.246 -0.360 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CC(NS(C)(=O)=O)C2)(S(C)(=O)=O)CC1 ZINC001300960414 1073853220 /nfs/dbraw/zinc/85/32/20/1073853220.db2.gz RGUAZFBLFPZLML-UHFFFAOYSA-N 0 0 439.556 -0.439 20 0 IBADRN COC[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001300974434 1073853205 /nfs/dbraw/zinc/85/32/05/1073853205.db2.gz HKVCSLDRAZXUHJ-GDBMZVCRSA-N 0 0 444.558 -0.438 20 0 IBADRN COC[C@@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001300974436 1073853302 /nfs/dbraw/zinc/85/33/02/1073853302.db2.gz HKVCSLDRAZXUHJ-GOEBONIOSA-N 0 0 444.558 -0.438 20 0 IBADRN COC[C@@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001300974437 1073853385 /nfs/dbraw/zinc/85/33/85/1073853385.db2.gz HKVCSLDRAZXUHJ-HOCLYGCPSA-N 0 0 444.558 -0.438 20 0 IBADRN COC[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001300974438 1073853163 /nfs/dbraw/zinc/85/31/63/1073853163.db2.gz HKVCSLDRAZXUHJ-ZBFHGGJFSA-N 0 0 444.558 -0.438 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC001300985303 1073854039 /nfs/dbraw/zinc/85/40/39/1073854039.db2.gz BHMSSTRYDADFCX-INIZCTEOSA-N 0 0 431.287 -0.557 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC001300985304 1073853912 /nfs/dbraw/zinc/85/39/12/1073853912.db2.gz BHMSSTRYDADFCX-MRXNPFEDSA-N 0 0 431.287 -0.557 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@]2(CO)COCC3(CCC3)N2C)cc1 ZINC001300985398 1073853876 /nfs/dbraw/zinc/85/38/76/1073853876.db2.gz CUJOEMMNNIZAIN-FQEVSTJZSA-N 0 0 441.550 -0.355 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)cc1 ZINC001300985399 1073853817 /nfs/dbraw/zinc/85/38/17/1073853817.db2.gz CUJOEMMNNIZAIN-HXUWFJFHSA-N 0 0 441.550 -0.355 20 0 IBADRN COC[C@@H](C)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001300985648 1073853700 /nfs/dbraw/zinc/85/37/00/1073853700.db2.gz TZHLMFQUDPRISL-BBRMVZONSA-N 0 0 430.513 -0.431 20 0 IBADRN COC[C@H](C)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001300985655 1073853901 /nfs/dbraw/zinc/85/39/01/1073853901.db2.gz TZHLMFQUDPRISL-CJNGLKHVSA-N 0 0 430.513 -0.431 20 0 IBADRN COC[C@H](C)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001300985656 1073853947 /nfs/dbraw/zinc/85/39/47/1073853947.db2.gz TZHLMFQUDPRISL-CZUORRHYSA-N 0 0 430.513 -0.431 20 0 IBADRN COC[C@@H](C)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001300985657 1073854069 /nfs/dbraw/zinc/85/40/69/1073854069.db2.gz TZHLMFQUDPRISL-XJKSGUPXSA-N 0 0 430.513 -0.431 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC001300986335 1073853686 /nfs/dbraw/zinc/85/36/86/1073853686.db2.gz FMIYDLYYIHFKTF-HZPDHXFCSA-N 0 0 431.536 -0.028 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC[C@]2(CO)COCC3(CCC3)N2C)ccc1OC ZINC001300986507 1073853785 /nfs/dbraw/zinc/85/37/85/1073853785.db2.gz MSEKPAMTGIGOIW-IBGZPJMESA-N 0 0 427.523 -0.051 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)ccc1OC ZINC001300986511 1073853850 /nfs/dbraw/zinc/85/38/50/1073853850.db2.gz MSEKPAMTGIGOIW-LJQANCHMSA-N 0 0 427.523 -0.051 20 0 IBADRN CCc1c(C(=O)N[C@@H]2CCCC[C@H]2NS(C)(=O)=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC001300986985 1073853719 /nfs/dbraw/zinc/85/37/19/1073853719.db2.gz PBLKKKIJOARXPQ-ZIAGYGMSSA-N 0 0 437.522 -0.215 20 0 IBADRN COC[C@H](Cn1c(C(=O)N2CCCC2)nnc1N1CCC(NS(C)(=O)=O)CC1)OC ZINC001300992210 1073853958 /nfs/dbraw/zinc/85/39/58/1073853958.db2.gz LQOVKOHHYJXRCI-HNNXBMFYSA-N 0 0 444.558 -0.307 20 0 IBADRN COC[C@@H](Cn1c(C(=O)N2CCCC2)nnc1N1CCC(NS(C)(=O)=O)CC1)OC ZINC001300992211 1073853742 /nfs/dbraw/zinc/85/37/42/1073853742.db2.gz LQOVKOHHYJXRCI-OAHLLOKOSA-N 0 0 444.558 -0.307 20 0 IBADRN COC[C@H](Cn1c(C(=O)NC(C)C)nnc1N1CCC(N(C)S(C)(=O)=O)CC1)OC ZINC001300998572 1073854580 /nfs/dbraw/zinc/85/45/80/1073854580.db2.gz KPKHZNYQUQBDEI-HNNXBMFYSA-N 0 0 446.574 -0.062 20 0 IBADRN COC[C@@H](Cn1c(C(=O)NC(C)C)nnc1N1CCC(N(C)S(C)(=O)=O)CC1)OC ZINC001300998573 1073854569 /nfs/dbraw/zinc/85/45/69/1073854569.db2.gz KPKHZNYQUQBDEI-OAHLLOKOSA-N 0 0 446.574 -0.062 20 0 IBADRN COC[C@H](Cn1c(C(=O)NC(C)C)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1)OC ZINC001301000489 1073854604 /nfs/dbraw/zinc/85/46/04/1073854604.db2.gz SRKDBURVIGQOFU-KBPBESRZSA-N 0 0 432.547 -0.452 20 0 IBADRN COC[C@@H](Cn1c(C(=O)NC(C)C)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1)OC ZINC001301000491 1073854465 /nfs/dbraw/zinc/85/44/65/1073854465.db2.gz SRKDBURVIGQOFU-UONOGXRCSA-N 0 0 432.547 -0.452 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](NC(C)=O)C1)OC ZINC001301001072 1073854477 /nfs/dbraw/zinc/85/44/77/1073854477.db2.gz USRHHKZSPZAFHJ-CFVMTHIKSA-N 0 0 432.547 -0.345 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](NC(C)=O)C1)OC ZINC001301001081 1073854561 /nfs/dbraw/zinc/85/45/61/1073854561.db2.gz USRHHKZSPZAFHJ-QEJZJMRPSA-N 0 0 432.547 -0.345 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](NC(C)=O)C1)OC ZINC001301001083 1073854421 /nfs/dbraw/zinc/85/44/21/1073854421.db2.gz USRHHKZSPZAFHJ-SNPRPXQTSA-N 0 0 432.547 -0.345 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](NC(C)=O)C1)OC ZINC001301001085 1073854455 /nfs/dbraw/zinc/85/44/55/1073854455.db2.gz USRHHKZSPZAFHJ-YUELXQCFSA-N 0 0 432.547 -0.345 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCC(=O)N2)C1)OC ZINC001301001640 1073854622 /nfs/dbraw/zinc/85/46/22/1073854622.db2.gz WZDDZCAHNLHOCV-DCGLDWPTSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCC(=O)N2)C1)OC ZINC001301001641 1073854664 /nfs/dbraw/zinc/85/46/64/1073854664.db2.gz WZDDZCAHNLHOCV-GDZNZVCISA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCC(=O)N2)C1)OC ZINC001301001642 1073854536 /nfs/dbraw/zinc/85/45/36/1073854536.db2.gz WZDDZCAHNLHOCV-IGCXYCKISA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCC(=O)N2)C1)OC ZINC001301001643 1073854509 /nfs/dbraw/zinc/85/45/09/1073854509.db2.gz WZDDZCAHNLHOCV-IIYDPXPESA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@H](Cn1c(-c2cnccn2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1)OC ZINC001301014242 1073854439 /nfs/dbraw/zinc/85/44/39/1073854439.db2.gz QJLUSUOWGPCYRF-KBPBESRZSA-N 0 0 425.515 -0.133 20 0 IBADRN COC[C@@H](Cn1c(-c2cnccn2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1)OC ZINC001301014248 1073854498 /nfs/dbraw/zinc/85/44/98/1073854498.db2.gz QJLUSUOWGPCYRF-UONOGXRCSA-N 0 0 425.515 -0.133 20 0 IBADRN COC[C@H](Cn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)NC(C)C)CC1)OC ZINC001301014536 1073854490 /nfs/dbraw/zinc/85/44/90/1073854490.db2.gz RLVYUJORBOIBEV-KRWDZBQOSA-N 0 0 434.545 -0.013 20 0 IBADRN COC[C@@H](Cn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)NC(C)C)CC1)OC ZINC001301014537 1073855133 /nfs/dbraw/zinc/85/51/33/1073855133.db2.gz RLVYUJORBOIBEV-QGZVFWFLSA-N 0 0 434.545 -0.013 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)OC ZINC001301014858 1073855221 /nfs/dbraw/zinc/85/52/21/1073855221.db2.gz VTFGGWCLNOTHJW-LRDNONRASA-N 0 0 449.512 -0.295 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC([C@]2(C)NC(=O)NC2=O)CC1)OC ZINC001301014859 1073855088 /nfs/dbraw/zinc/85/50/88/1073855088.db2.gz VTFGGWCLNOTHJW-MNVSYLFESA-N 0 0 449.512 -0.295 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC([C@@]2(C)NC(=O)NC2=O)CC1)OC ZINC001301014860 1073855049 /nfs/dbraw/zinc/85/50/49/1073855049.db2.gz VTFGGWCLNOTHJW-PJSUUKDQSA-N 0 0 449.512 -0.295 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC([C@]2(C)NC(=O)NC2=O)CC1)OC ZINC001301014861 1073855110 /nfs/dbraw/zinc/85/51/10/1073855110.db2.gz VTFGGWCLNOTHJW-YRVVQQKDSA-N 0 0 449.512 -0.295 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1)OC ZINC001301015314 1073855059 /nfs/dbraw/zinc/85/50/59/1073855059.db2.gz YQCOQKROSJKSFI-KBPBESRZSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1)OC ZINC001301015316 1073855039 /nfs/dbraw/zinc/85/50/39/1073855039.db2.gz YQCOQKROSJKSFI-KGLIPLIRSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1)OC ZINC001301015319 1073854985 /nfs/dbraw/zinc/85/49/85/1073854985.db2.gz YQCOQKROSJKSFI-UONOGXRCSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1)OC ZINC001301015321 1073855002 /nfs/dbraw/zinc/85/50/02/1073855002.db2.gz YQCOQKROSJKSFI-ZIAGYGMSSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@H](Cn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1)OC ZINC001301015702 1073855204 /nfs/dbraw/zinc/85/52/04/1073855204.db2.gz WMIJTDWXTODRPB-KRWDZBQOSA-N 0 0 447.540 -0.085 20 0 IBADRN COC[C@@H](Cn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1)OC ZINC001301015703 1073855121 /nfs/dbraw/zinc/85/51/21/1073855121.db2.gz WMIJTDWXTODRPB-QGZVFWFLSA-N 0 0 447.540 -0.085 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NN3CCS(=O)(=O)CC3)CC2)cc1 ZINC001301016069 1073855245 /nfs/dbraw/zinc/85/52/45/1073855245.db2.gz CJIWYOQAXKDZEP-UHFFFAOYSA-N 0 0 431.536 -0.143 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1F)C(=O)NN1CCS(=O)(=O)CC1 ZINC001301016331 1073855078 /nfs/dbraw/zinc/85/50/78/1073855078.db2.gz OFWLBLWTFJCSGC-CYBMUJFWSA-N 0 0 439.556 -0.013 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1F)C(=O)NN1CCS(=O)(=O)CC1 ZINC001301016332 1073855099 /nfs/dbraw/zinc/85/50/99/1073855099.db2.gz OFWLBLWTFJCSGC-ZDUSSCGKSA-N 0 0 439.556 -0.013 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)NN1CCS(=O)(=O)CC1 ZINC001301016397 1073854635 /nfs/dbraw/zinc/85/46/35/1073854635.db2.gz QCBNBUBPFXHAMZ-UHFFFAOYSA-N 0 0 432.343 -0.450 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(C)(=O)=O)OC ZINC001301021705 1073855068 /nfs/dbraw/zinc/85/50/68/1073855068.db2.gz IBNGWLOCTAUCQD-IHRRRGAJSA-N 0 0 438.572 -0.289 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(C)(=O)=O)OC ZINC001301021707 1073854992 /nfs/dbraw/zinc/85/49/92/1073854992.db2.gz IBNGWLOCTAUCQD-MCIONIFRSA-N 0 0 438.572 -0.289 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(C)(=O)=O)OC ZINC001301021709 1073855146 /nfs/dbraw/zinc/85/51/46/1073855146.db2.gz IBNGWLOCTAUCQD-MJBXVCDLSA-N 0 0 438.572 -0.289 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(C)(=O)=O)OC ZINC001301021710 1073855513 /nfs/dbraw/zinc/85/55/13/1073855513.db2.gz IBNGWLOCTAUCQD-RDBSUJKOSA-N 0 0 438.572 -0.289 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001301021774 1073855670 /nfs/dbraw/zinc/85/56/70/1073855670.db2.gz ZNFYGVPLYGASOY-GOSISDBHSA-N 0 0 428.463 -0.083 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001301021776 1073855572 /nfs/dbraw/zinc/85/55/72/1073855572.db2.gz ZNFYGVPLYGASOY-SFHVURJKSA-N 0 0 428.463 -0.083 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CNC(=O)C2)C1)OC ZINC001301021870 1073855723 /nfs/dbraw/zinc/85/57/23/1073855723.db2.gz JXXKMSOIDNQNMS-DEYYWGMASA-N 0 0 427.527 -0.442 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CNC(=O)C2)C1)OC ZINC001301021871 1073855606 /nfs/dbraw/zinc/85/56/06/1073855606.db2.gz JXXKMSOIDNQNMS-GLJUWKHASA-N 0 0 427.527 -0.442 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CNC(=O)C2)C1)OC ZINC001301021872 1073855705 /nfs/dbraw/zinc/85/57/05/1073855705.db2.gz JXXKMSOIDNQNMS-QWQRMKEZSA-N 0 0 427.527 -0.442 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CNC(=O)C2)C1)OC ZINC001301021873 1073855555 /nfs/dbraw/zinc/85/55/55/1073855555.db2.gz JXXKMSOIDNQNMS-SUNYJGFJSA-N 0 0 427.527 -0.442 20 0 IBADRN COC[C@@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(OCC(N)=O)CC1)OC ZINC001301022086 1073855681 /nfs/dbraw/zinc/85/56/81/1073855681.db2.gz MRLKDICHGDAXOE-DZGCQCFKSA-N 0 0 445.542 -0.688 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(OCC(N)=O)CC1)OC ZINC001301022087 1073855582 /nfs/dbraw/zinc/85/55/82/1073855582.db2.gz MRLKDICHGDAXOE-HIFRSBDPSA-N 0 0 445.542 -0.688 20 0 IBADRN COC[C@@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(OCC(N)=O)CC1)OC ZINC001301022088 1073855637 /nfs/dbraw/zinc/85/56/37/1073855637.db2.gz MRLKDICHGDAXOE-UKRRQHHQSA-N 0 0 445.542 -0.688 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(OCC(N)=O)CC1)OC ZINC001301022089 1073855692 /nfs/dbraw/zinc/85/56/92/1073855692.db2.gz MRLKDICHGDAXOE-ZFWWWQNUSA-N 0 0 445.542 -0.688 20 0 IBADRN COC[C@H](Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)OC ZINC001301022436 1073855647 /nfs/dbraw/zinc/85/56/47/1073855647.db2.gz BTCYAVPOZNUMFA-CVEARBPZSA-N 0 0 429.481 -0.928 20 0 IBADRN COC[C@H](Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)OC ZINC001301022437 1073855597 /nfs/dbraw/zinc/85/55/97/1073855597.db2.gz BTCYAVPOZNUMFA-HOTGVXAUSA-N 0 0 429.481 -0.928 20 0 IBADRN COC[C@@H](Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)OC ZINC001301022438 1073855531 /nfs/dbraw/zinc/85/55/31/1073855531.db2.gz BTCYAVPOZNUMFA-HZPDHXFCSA-N 0 0 429.481 -0.928 20 0 IBADRN COC[C@@H](Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)OC ZINC001301022439 1073855615 /nfs/dbraw/zinc/85/56/15/1073855615.db2.gz BTCYAVPOZNUMFA-JKSUJKDBSA-N 0 0 429.481 -0.928 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1)OC ZINC001301024750 1073855538 /nfs/dbraw/zinc/85/55/38/1073855538.db2.gz YPKXEAZZVVAOJA-MPGHIAIKSA-N 0 0 430.527 -0.163 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1)OC ZINC001301024753 1073855741 /nfs/dbraw/zinc/85/57/41/1073855741.db2.gz YPKXEAZZVVAOJA-RLFYNMQTSA-N 0 0 430.527 -0.163 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1)OC ZINC001301024757 1073855562 /nfs/dbraw/zinc/85/55/62/1073855562.db2.gz YPKXEAZZVVAOJA-RVKKMQEKSA-N 0 0 430.527 -0.163 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1)OC ZINC001301024759 1073855653 /nfs/dbraw/zinc/85/56/53/1073855653.db2.gz YPKXEAZZVVAOJA-VKJFTORMSA-N 0 0 430.527 -0.163 20 0 IBADRN COC[C@H](Cn1c(Cn2nc(C)cc2C)nnc1N1CCN(S(C)(=O)=O)CC1)OC ZINC001301031435 1073855626 /nfs/dbraw/zinc/85/56/26/1073855626.db2.gz WTUZOZGGKFYGAS-INIZCTEOSA-N 0 0 441.558 -0.117 20 0 IBADRN COC[C@@H](Cn1c(Cn2nc(C)cc2C)nnc1N1CCN(S(C)(=O)=O)CC1)OC ZINC001301031436 1073856062 /nfs/dbraw/zinc/85/60/62/1073856062.db2.gz WTUZOZGGKFYGAS-MRXNPFEDSA-N 0 0 441.558 -0.117 20 0 IBADRN COC[C@H](F)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001301037100 1073856043 /nfs/dbraw/zinc/85/60/43/1073856043.db2.gz GQXVIEUHHIWJML-CHWSQXEVSA-N 0 0 432.522 -0.021 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001301037117 1073856105 /nfs/dbraw/zinc/85/61/05/1073856105.db2.gz GQXVIEUHHIWJML-OLZOCXBDSA-N 0 0 432.522 -0.021 20 0 IBADRN COC[C@H](F)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001301037120 1073856088 /nfs/dbraw/zinc/85/60/88/1073856088.db2.gz GQXVIEUHHIWJML-QWHCGFSZSA-N 0 0 432.522 -0.021 20 0 IBADRN COC[C@@H](F)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001301037122 1073856175 /nfs/dbraw/zinc/85/61/75/1073856175.db2.gz GQXVIEUHHIWJML-STQMWFEESA-N 0 0 432.522 -0.021 20 0 IBADRN COC[C@@H](F)Cn1c(C(=O)N2CCCC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001301040890 1073856048 /nfs/dbraw/zinc/85/60/48/1073856048.db2.gz XBEKAJUDGXNGPY-AWEZNQCLSA-N 0 0 432.522 -0.030 20 0 IBADRN COC[C@H](F)Cn1c(C(=O)N2CCCC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001301040892 1073856127 /nfs/dbraw/zinc/85/61/27/1073856127.db2.gz XBEKAJUDGXNGPY-CQSZACIVSA-N 0 0 432.522 -0.030 20 0 IBADRN CN(CC1(C)COC1)C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001301048660 1073856113 /nfs/dbraw/zinc/85/61/13/1073856113.db2.gz OACVGVLKXRBIHF-AWEZNQCLSA-N 0 0 441.506 -0.135 20 0 IBADRN CN(CC1(C)COC1)C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001301048663 1073856140 /nfs/dbraw/zinc/85/61/40/1073856140.db2.gz OACVGVLKXRBIHF-CQSZACIVSA-N 0 0 441.506 -0.135 20 0 IBADRN COC[C@@H](F)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001301056187 1073856549 /nfs/dbraw/zinc/85/65/49/1073856549.db2.gz JBEGTQOVHRSSAN-AWEZNQCLSA-N 0 0 434.476 -0.107 20 0 IBADRN COC[C@H](F)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001301056188 1073856497 /nfs/dbraw/zinc/85/64/97/1073856497.db2.gz JBEGTQOVHRSSAN-CQSZACIVSA-N 0 0 434.476 -0.107 20 0 IBADRN COC[C@@H](F)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001301057850 1073856572 /nfs/dbraw/zinc/85/65/72/1073856572.db2.gz WSAFADIUGAIJDM-AWEZNQCLSA-N 0 0 434.476 -0.410 20 0 IBADRN COC[C@H](F)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001301057854 1073856485 /nfs/dbraw/zinc/85/64/85/1073856485.db2.gz WSAFADIUGAIJDM-CQSZACIVSA-N 0 0 434.476 -0.410 20 0 IBADRN COC[C@H](F)Cn1c(-c2noc3c2COCC3)nnc1N(C)CCNS(C)(=O)=O ZINC001301061699 1073856511 /nfs/dbraw/zinc/85/65/11/1073856511.db2.gz KMRVGEMITRHPFC-LLVKDONJSA-N 0 0 432.478 -0.024 20 0 IBADRN COC[C@@H](F)Cn1c(-c2noc3c2COCC3)nnc1N(C)CCNS(C)(=O)=O ZINC001301061709 1073856501 /nfs/dbraw/zinc/85/65/01/1073856501.db2.gz KMRVGEMITRHPFC-NSHDSACASA-N 0 0 432.478 -0.024 20 0 IBADRN COC[C@H](F)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001301063374 1073856618 /nfs/dbraw/zinc/85/66/18/1073856618.db2.gz PBLHNFMBUXPZGF-CVEARBPZSA-N 0 0 437.520 -0.042 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001301063375 1073856542 /nfs/dbraw/zinc/85/65/42/1073856542.db2.gz PBLHNFMBUXPZGF-HOTGVXAUSA-N 0 0 437.520 -0.042 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001301063376 1073856592 /nfs/dbraw/zinc/85/65/92/1073856592.db2.gz PBLHNFMBUXPZGF-HZPDHXFCSA-N 0 0 437.520 -0.042 20 0 IBADRN COC[C@@H](F)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001301063377 1073856476 /nfs/dbraw/zinc/85/64/76/1073856476.db2.gz PBLHNFMBUXPZGF-JKSUJKDBSA-N 0 0 437.520 -0.042 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@H](C)CC(N)=O ZINC001301066671 1073856517 /nfs/dbraw/zinc/85/65/17/1073856517.db2.gz JUSNZRMPKKCATO-JHJVBQTASA-N 0 0 436.510 -0.704 20 0 IBADRN COC[C@H](F)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@H](C)CC(N)=O ZINC001301066687 1073856529 /nfs/dbraw/zinc/85/65/29/1073856529.db2.gz JUSNZRMPKKCATO-UPJWGTAASA-N 0 0 436.510 -0.704 20 0 IBADRN COC[C@H](F)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@@H](C)CC(N)=O ZINC001301066690 1073856612 /nfs/dbraw/zinc/85/66/12/1073856612.db2.gz JUSNZRMPKKCATO-XQQFMLRXSA-N 0 0 436.510 -0.704 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@@H](C)CC(N)=O ZINC001301066693 1073856567 /nfs/dbraw/zinc/85/65/67/1073856567.db2.gz JUSNZRMPKKCATO-YNEHKIRRSA-N 0 0 436.510 -0.704 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CSc3ccc(S(N)(=O)=O)cc3)CC2)CCOC1 ZINC001301069396 1073856558 /nfs/dbraw/zinc/85/65/58/1073856558.db2.gz CIZHZDPLSTWTEH-GOSISDBHSA-N 0 0 443.547 -0.098 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CSc3ccc(S(N)(=O)=O)cc3)CC2)CCOC1 ZINC001301069403 1073856957 /nfs/dbraw/zinc/85/69/57/1073856957.db2.gz CIZHZDPLSTWTEH-SFHVURJKSA-N 0 0 443.547 -0.098 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001301069451 1073857024 /nfs/dbraw/zinc/85/70/24/1073857024.db2.gz SAGRPFMRUPFDBY-CABCVRRESA-N 0 0 426.493 -0.060 20 0 IBADRN COC[C@@H](F)Cn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001301069471 1073857089 /nfs/dbraw/zinc/85/70/89/1073857089.db2.gz SAGRPFMRUPFDBY-GJZGRUSLSA-N 0 0 426.493 -0.060 20 0 IBADRN COC[C@H](F)Cn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001301069476 1073857154 /nfs/dbraw/zinc/85/71/54/1073857154.db2.gz SAGRPFMRUPFDBY-HUUCEWRRSA-N 0 0 426.493 -0.060 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001301069479 1073857013 /nfs/dbraw/zinc/85/70/13/1073857013.db2.gz SAGRPFMRUPFDBY-LSDHHAIUSA-N 0 0 426.493 -0.060 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CCNS(=O)(=O)c3ccccc3F)CC2)CCOC1 ZINC001301069619 1073857143 /nfs/dbraw/zinc/85/71/43/1073857143.db2.gz DGLCGGGOFGPXBY-IBGZPJMESA-N 0 0 443.497 -0.030 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CCNS(=O)(=O)c3ccccc3F)CC2)CCOC1 ZINC001301069627 1073857162 /nfs/dbraw/zinc/85/71/62/1073857162.db2.gz DGLCGGGOFGPXBY-LJQANCHMSA-N 0 0 443.497 -0.030 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CNc3ccc(S(N)(=O)=O)cc3F)CC2)CCOC1 ZINC001301069661 1073857065 /nfs/dbraw/zinc/85/70/65/1073857065.db2.gz DNBRYEXBFXEKJM-GOSISDBHSA-N 0 0 444.485 -0.639 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CNc3ccc(S(N)(=O)=O)cc3F)CC2)CCOC1 ZINC001301069679 1073856995 /nfs/dbraw/zinc/85/69/95/1073856995.db2.gz DNBRYEXBFXEKJM-SFHVURJKSA-N 0 0 444.485 -0.639 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3ccc(S(=O)(=O)N(C)C)nc3)CC2)CCOC1 ZINC001301070743 1073856940 /nfs/dbraw/zinc/85/69/40/1073856940.db2.gz GTMRBYUOYXKKRQ-GOSISDBHSA-N 0 0 426.495 -0.578 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3ccc(S(=O)(=O)N(C)C)nc3)CC2)CCOC1 ZINC001301070763 1073856929 /nfs/dbraw/zinc/85/69/29/1073856929.db2.gz GTMRBYUOYXKKRQ-SFHVURJKSA-N 0 0 426.495 -0.578 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)C3(O)CN(C(=O)OCc4ccccc4)C3)CC2)CCOC1 ZINC001301070826 1073857130 /nfs/dbraw/zinc/85/71/30/1073857130.db2.gz HJGFZYWKZDUNHP-NRFANRHFSA-N 0 0 447.488 -0.154 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)C3(O)CN(C(=O)OCc4ccccc4)C3)CC2)CCOC1 ZINC001301070840 1073856896 /nfs/dbraw/zinc/85/68/96/1073856896.db2.gz HJGFZYWKZDUNHP-OAQYLSRUSA-N 0 0 447.488 -0.154 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(C(N)=O)CC1 ZINC001301070846 1073857075 /nfs/dbraw/zinc/85/70/75/1073857075.db2.gz VLUBXQXPOPPVJH-KBPBESRZSA-N 0 0 448.521 -0.703 20 0 IBADRN COC[C@H](F)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(C(N)=O)CC1 ZINC001301070849 1073857052 /nfs/dbraw/zinc/85/70/52/1073857052.db2.gz VLUBXQXPOPPVJH-KGLIPLIRSA-N 0 0 448.521 -0.703 20 0 IBADRN COC[C@@H](F)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(C(N)=O)CC1 ZINC001301070852 1073856874 /nfs/dbraw/zinc/85/68/74/1073856874.db2.gz VLUBXQXPOPPVJH-UONOGXRCSA-N 0 0 448.521 -0.703 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(C(N)=O)CC1 ZINC001301070854 1073856914 /nfs/dbraw/zinc/85/69/14/1073856914.db2.gz VLUBXQXPOPPVJH-ZIAGYGMSSA-N 0 0 448.521 -0.703 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@H]3CS(=O)(=O)C[C@@H]3C(F)(F)F)CC2)CCOC1 ZINC001301070965 1073857038 /nfs/dbraw/zinc/85/70/38/1073857038.db2.gz IDCBVBJOEXENNI-HUBLWGQQSA-N 0 0 428.429 -0.314 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@@H]3CS(=O)(=O)C[C@H]3C(F)(F)F)CC2)CCOC1 ZINC001301070967 1073857596 /nfs/dbraw/zinc/85/75/96/1073857596.db2.gz IDCBVBJOEXENNI-JMSVASOKSA-N 0 0 428.429 -0.314 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@@H]3CS(=O)(=O)C[C@@H]3C(F)(F)F)CC2)CCOC1 ZINC001301070968 1073857607 /nfs/dbraw/zinc/85/76/07/1073857607.db2.gz IDCBVBJOEXENNI-XUJVJEKNSA-N 0 0 428.429 -0.314 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@H]3CS(=O)(=O)C[C@H]3C(F)(F)F)CC2)CCOC1 ZINC001301070969 1073857635 /nfs/dbraw/zinc/85/76/35/1073857635.db2.gz IDCBVBJOEXENNI-ZOWXZIJZSA-N 0 0 428.429 -0.314 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3nccc4c3cccc4S(N)(=O)=O)CC2)CCOC1 ZINC001301071490 1073857451 /nfs/dbraw/zinc/85/74/51/1073857451.db2.gz LQGLWGMWWOKMHP-FQEVSTJZSA-N 0 0 448.501 -0.028 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3nccc4c3cccc4S(N)(=O)=O)CC2)CCOC1 ZINC001301071491 1073857652 /nfs/dbraw/zinc/85/76/52/1073857652.db2.gz LQGLWGMWWOKMHP-HXUWFJFHSA-N 0 0 448.501 -0.028 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3cnn4c3C[C@@H](CNC(C)=O)CC4)CC2)CCOC1 ZINC001301071645 1073857343 /nfs/dbraw/zinc/85/73/43/1073857343.db2.gz MLBYELGXRNGELM-HRAATJIYSA-N 0 0 433.509 -0.329 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3cnn4c3C[C@H](CNC(C)=O)CC4)CC2)CCOC1 ZINC001301071660 1073857418 /nfs/dbraw/zinc/85/74/18/1073857418.db2.gz MLBYELGXRNGELM-IERDGZPVSA-N 0 0 433.509 -0.329 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3cnn4c3C[C@H](CNC(C)=O)CC4)CC2)CCOC1 ZINC001301071662 1073857382 /nfs/dbraw/zinc/85/73/82/1073857382.db2.gz MLBYELGXRNGELM-IIBYNOLFSA-N 0 0 433.509 -0.329 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3cnn4c3C[C@@H](CNC(C)=O)CC4)CC2)CCOC1 ZINC001301071663 1073857621 /nfs/dbraw/zinc/85/76/21/1073857621.db2.gz MLBYELGXRNGELM-KKSFZXQISA-N 0 0 433.509 -0.329 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3ccc(CCNS(C)(=O)=O)cc3)CC2)CCOC1 ZINC001301072731 1073857668 /nfs/dbraw/zinc/85/76/68/1073857668.db2.gz SAAJNEBFCWLUSB-FQEVSTJZSA-N 0 0 439.534 -0.132 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3ccc(CCNS(C)(=O)=O)cc3)CC2)CCOC1 ZINC001301073132 1073857573 /nfs/dbraw/zinc/85/75/73/1073857573.db2.gz SAAJNEBFCWLUSB-HXUWFJFHSA-N 0 0 439.534 -0.132 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3ccc(CN4C(=O)CNC4=O)cc3)CC2)CCOC1 ZINC001301073186 1073857363 /nfs/dbraw/zinc/85/73/63/1073857363.db2.gz SPLCYISGQCPAOA-NRFANRHFSA-N 0 0 430.461 -0.172 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3ccc(CN4C(=O)CNC4=O)cc3)CC2)CCOC1 ZINC001301073188 1073857533 /nfs/dbraw/zinc/85/75/33/1073857533.db2.gz SPLCYISGQCPAOA-OAQYLSRUSA-N 0 0 430.461 -0.172 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)CCCS(N)(=O)=O)CC2)CC1 ZINC001301073375 1073857401 /nfs/dbraw/zinc/85/74/01/1073857401.db2.gz MPMZILLCYOLTDD-UHFFFAOYSA-N 0 0 447.558 -0.128 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)N1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001301073774 1073857521 /nfs/dbraw/zinc/85/75/21/1073857521.db2.gz PRGBHXYXWUWQLP-UHFFFAOYSA-N 0 0 447.558 -0.305 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CCOc3ccc(S(N)(=O)=O)cc3)CC2)CCOC1 ZINC001301073983 1073857502 /nfs/dbraw/zinc/85/75/02/1073857502.db2.gz YLJSZKJJMCFPSV-IBGZPJMESA-N 0 0 441.506 -0.421 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CCOc3ccc(S(N)(=O)=O)cc3)CC2)CCOC1 ZINC001301073984 1073857587 /nfs/dbraw/zinc/85/75/87/1073857587.db2.gz YLJSZKJJMCFPSV-LJQANCHMSA-N 0 0 441.506 -0.421 20 0 IBADRN COC[C@@H](F)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001301076411 1073857433 /nfs/dbraw/zinc/85/74/33/1073857433.db2.gz BXNCZFROQWLOBG-AJNGGQMLSA-N 0 0 440.476 -0.866 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001301076413 1073857966 /nfs/dbraw/zinc/85/79/66/1073857966.db2.gz BXNCZFROQWLOBG-XGUBFFRZSA-N 0 0 440.476 -0.866 20 0 IBADRN COC[C@@H](F)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001301076414 1073858003 /nfs/dbraw/zinc/85/80/03/1073858003.db2.gz BXNCZFROQWLOBG-XQLPTFJDSA-N 0 0 440.476 -0.866 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001301076415 1073858104 /nfs/dbraw/zinc/85/81/04/1073858104.db2.gz BXNCZFROQWLOBG-YJNKXOJESA-N 0 0 440.476 -0.866 20 0 IBADRN COC[C@H](F)Cn1c(CN2CCCC2=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001301080615 1073857933 /nfs/dbraw/zinc/85/79/33/1073857933.db2.gz ZOBRMKZTOAAGGQ-CYBMUJFWSA-N 0 0 437.476 -0.094 20 0 IBADRN COC[C@@H](F)Cn1c(CN2CCCC2=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001301080616 1073857992 /nfs/dbraw/zinc/85/79/92/1073857992.db2.gz ZOBRMKZTOAAGGQ-ZDUSSCGKSA-N 0 0 437.476 -0.094 20 0 IBADRN NS(=O)(=O)c1cc2c(s1)CCN(C(=O)[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001301093445 1073857881 /nfs/dbraw/zinc/85/78/81/1073857881.db2.gz PRNWOBWGCWTMHM-AWEZNQCLSA-N 0 0 442.563 -0.539 20 0 IBADRN NS(=O)(=O)c1cc2c(s1)CCN(C(=O)[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001301093461 1073857866 /nfs/dbraw/zinc/85/78/66/1073857866.db2.gz PRNWOBWGCWTMHM-CQSZACIVSA-N 0 0 442.563 -0.539 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001301099408 1073858080 /nfs/dbraw/zinc/85/80/80/1073858080.db2.gz AYYONGSEWCLYPB-SECBINFHSA-N 0 0 428.389 -0.780 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001301099432 1073857979 /nfs/dbraw/zinc/85/79/79/1073857979.db2.gz AYYONGSEWCLYPB-VIFPVBQESA-N 0 0 428.389 -0.780 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CN(C)S(=O)(=O)c1ccccc1 ZINC001301131184 1073858117 /nfs/dbraw/zinc/85/81/17/1073858117.db2.gz IVXYPPGLYNMXAX-JSGCOSHPSA-N 0 0 438.462 -0.999 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)Cn1c(C)nc2ccccc2c1=O ZINC001301131185 1073858049 /nfs/dbraw/zinc/85/80/49/1073858049.db2.gz IWCSLNWEDYNOIK-WFASDCNBSA-N 0 0 427.417 -0.601 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)Cc1nnc(-c2ccccc2)n1C ZINC001301131755 1073858033 /nfs/dbraw/zinc/85/80/33/1073858033.db2.gz MAUMIVUGDQEODZ-KBPBESRZSA-N 0 0 426.433 -0.281 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@@H]1CC(=O)N(c2ccccc2OC)C1 ZINC001301132121 1073858092 /nfs/dbraw/zinc/85/80/92/1073858092.db2.gz ODBRUDYCVGUJKS-IPYPFGDCSA-N 0 0 444.444 -0.258 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@H]1CC(=O)N(c2ccccc2OC)C1 ZINC001301132127 1073857950 /nfs/dbraw/zinc/85/79/50/1073857950.db2.gz ODBRUDYCVGUJKS-YDHLFZDLSA-N 0 0 444.444 -0.258 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCCN(C)C(=O)c1ccncc1 ZINC001301132661 1073858063 /nfs/dbraw/zinc/85/80/63/1073858063.db2.gz ROIWBBAVONXWPQ-GJZGRUSLSA-N 0 0 431.449 -0.372 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCn1cnc2ccccc2c1=O ZINC001301133413 1073857916 /nfs/dbraw/zinc/85/79/16/1073857916.db2.gz UZODMLBFALXCPF-WFASDCNBSA-N 0 0 427.417 -0.519 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1cccc(C(=O)N2CCCC2)n1 ZINC001301133441 1073858154 /nfs/dbraw/zinc/85/81/54/1073858154.db2.gz VGGMDEGTHUJSAU-WFASDCNBSA-N 0 0 429.433 -0.375 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCc1c(C)nc2ccnn2c1C ZINC001301133703 1073858478 /nfs/dbraw/zinc/85/84/78/1073858478.db2.gz WYPYDLNCKASHPA-ZFWWWQNUSA-N 0 0 428.449 -0.027 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCN1C(=O)COc2ccccc21 ZINC001301134009 1073858727 /nfs/dbraw/zinc/85/87/27/1073858727.db2.gz YVILBBISHRKZLC-JSGCOSHPSA-N 0 0 430.417 -0.504 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCN1C(=O)c2ccccc2C1=O ZINC001301134682 1073858678 /nfs/dbraw/zinc/85/86/78/1073858678.db2.gz NHWPQBUOKNFXST-FZMZJTMJSA-N 0 0 428.401 -0.633 20 0 IBADRN Cn1c2ncn(CCC(=O)Nc3n[nH]cc3I)c2c(=O)n(C)c1=O ZINC001301170147 1073858661 /nfs/dbraw/zinc/85/86/61/1073858661.db2.gz BBAKPXZHYKECIE-UHFFFAOYSA-N 0 0 443.205 -0.210 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCCN2CCC3(C2)OCCO3)cc1 ZINC001301179446 1073858505 /nfs/dbraw/zinc/85/85/05/1073858505.db2.gz SOMPRCFZBPLESQ-UHFFFAOYSA-N 0 0 426.495 -0.512 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCCN2CCC3(C2)OCCO3)cc1 ZINC001301179843 1073858643 /nfs/dbraw/zinc/85/86/43/1073858643.db2.gz VFORBRFZUFCWMO-UHFFFAOYSA-N 0 0 425.507 -0.273 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCN2CCC3(C2)OCCO3)CC1 ZINC001301180083 1073858882 /nfs/dbraw/zinc/85/88/82/1073858882.db2.gz GTPVFYZPXSSCKU-UHFFFAOYSA-N 0 0 441.554 -0.008 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCc2[nH]cnc2[C@@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001301182534 1073858556 /nfs/dbraw/zinc/85/85/56/1073858556.db2.gz PXIBZFWYTZIMDY-FQEVSTJZSA-N 0 0 434.497 -0.117 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCc2[nH]cnc2[C@]12CCN(C(=O)OC(C)(C)C)C2 ZINC001301182541 1073858746 /nfs/dbraw/zinc/85/87/46/1073858746.db2.gz PXIBZFWYTZIMDY-HXUWFJFHSA-N 0 0 434.497 -0.117 20 0 IBADRN Cn1cnnc1[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001301183365 1073858789 /nfs/dbraw/zinc/85/87/89/1073858789.db2.gz OOCBGTIJMGSXPA-AWEZNQCLSA-N 0 0 429.886 -0.047 20 0 IBADRN Cn1cnnc1[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001301183369 1073858802 /nfs/dbraw/zinc/85/88/02/1073858802.db2.gz OOCBGTIJMGSXPA-CQSZACIVSA-N 0 0 429.886 -0.047 20 0 IBADRN COCC(=O)N[C@H](C(=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1)C(C)C ZINC001301191221 1073858592 /nfs/dbraw/zinc/85/85/92/1073858592.db2.gz CPHYYRZHQMZKFQ-IBGZPJMESA-N 0 0 440.566 -0.104 20 0 IBADRN O=C(C[C@@H]1CCS(=O)(=O)C1)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001301191380 1073858852 /nfs/dbraw/zinc/85/88/52/1073858852.db2.gz HTZSOVBSHRLYIX-INIZCTEOSA-N 0 0 429.564 -0.066 20 0 IBADRN O=C(C[C@H]1CCS(=O)(=O)C1)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001301191381 1073858776 /nfs/dbraw/zinc/85/87/76/1073858776.db2.gz HTZSOVBSHRLYIX-MRXNPFEDSA-N 0 0 429.564 -0.066 20 0 IBADRN CCC[C@@H](C(=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1)S(N)(=O)=O ZINC001301191463 1073858715 /nfs/dbraw/zinc/85/87/15/1073858715.db2.gz KGIIBFLGJHRDDI-INIZCTEOSA-N 0 0 432.568 -0.434 20 0 IBADRN CCC[C@H](C(=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1)S(N)(=O)=O ZINC001301191464 1073858816 /nfs/dbraw/zinc/85/88/16/1073858816.db2.gz KGIIBFLGJHRDDI-MRXNPFEDSA-N 0 0 432.568 -0.434 20 0 IBADRN COC(=O)c1cnn(CC(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)c1 ZINC001301191736 1073859233 /nfs/dbraw/zinc/85/92/33/1073859233.db2.gz NURXDDYLXHRJQW-UHFFFAOYSA-N 0 0 435.506 -0.208 20 0 IBADRN COCC1=CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CC1 ZINC001301194028 1073859201 /nfs/dbraw/zinc/85/92/01/1073859201.db2.gz KDGKBHPDGIHNFY-HNNXBMFYSA-N 0 0 427.527 -0.081 20 0 IBADRN COCC1=CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CC1 ZINC001301194030 1073859195 /nfs/dbraw/zinc/85/91/95/1073859195.db2.gz KDGKBHPDGIHNFY-OAHLLOKOSA-N 0 0 427.527 -0.081 20 0 IBADRN COCc1nocc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)CC1 ZINC001301216013 1073859249 /nfs/dbraw/zinc/85/92/49/1073859249.db2.gz GCLSXFPBLPUOEB-GFCCVEGCSA-N 0 0 441.514 -0.261 20 0 IBADRN COCc1nocc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)CC1 ZINC001301216021 1073859292 /nfs/dbraw/zinc/85/92/92/1073859292.db2.gz GCLSXFPBLPUOEB-LBPRGKRZSA-N 0 0 441.514 -0.261 20 0 IBADRN COCc1nocc1Cn1c(C(=O)NC(C)C)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001301217357 1073859319 /nfs/dbraw/zinc/85/93/19/1073859319.db2.gz XCMBRECVXNOWSU-HNNXBMFYSA-N 0 0 435.485 -0.060 20 0 IBADRN COCc1nocc1Cn1c(C(=O)NC(C)C)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001301217358 1073859330 /nfs/dbraw/zinc/85/93/30/1073859330.db2.gz XCMBRECVXNOWSU-OAHLLOKOSA-N 0 0 435.485 -0.060 20 0 IBADRN COC[C@@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001301276009 1073859724 /nfs/dbraw/zinc/85/97/24/1073859724.db2.gz PJQDMLNPWYKOKD-CABCVRRESA-N 0 0 429.543 -0.001 20 0 IBADRN COC[C@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001301276010 1073859711 /nfs/dbraw/zinc/85/97/11/1073859711.db2.gz PJQDMLNPWYKOKD-GJZGRUSLSA-N 0 0 429.543 -0.001 20 0 IBADRN COC[C@@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001301276011 1073859676 /nfs/dbraw/zinc/85/96/76/1073859676.db2.gz PJQDMLNPWYKOKD-HUUCEWRRSA-N 0 0 429.543 -0.001 20 0 IBADRN COC[C@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001301276012 1073859869 /nfs/dbraw/zinc/85/98/69/1073859869.db2.gz PJQDMLNPWYKOKD-LSDHHAIUSA-N 0 0 429.543 -0.001 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC001301288845 1073859900 /nfs/dbraw/zinc/85/99/00/1073859900.db2.gz SRCUPCRLZPYCJZ-UHFFFAOYSA-N 0 0 434.474 -0.152 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001301316160 1073859914 /nfs/dbraw/zinc/85/99/14/1073859914.db2.gz RJKDGQGSBNHWLZ-KFWWJZLASA-N 0 0 426.543 -0.017 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001301316161 1073859691 /nfs/dbraw/zinc/85/96/91/1073859691.db2.gz RJKDGQGSBNHWLZ-RBSFLKMASA-N 0 0 426.543 -0.017 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001301316162 1073859750 /nfs/dbraw/zinc/85/97/50/1073859750.db2.gz RJKDGQGSBNHWLZ-RRFJBIMHSA-N 0 0 426.543 -0.017 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001301316163 1073859816 /nfs/dbraw/zinc/85/98/16/1073859816.db2.gz RJKDGQGSBNHWLZ-ZNMIVQPWSA-N 0 0 426.543 -0.017 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001301317918 1073859738 /nfs/dbraw/zinc/85/97/38/1073859738.db2.gz YSXBIXQSYKVSRG-KBPBESRZSA-N 0 0 427.527 -0.358 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001301317919 1073860262 /nfs/dbraw/zinc/86/02/62/1073860262.db2.gz YSXBIXQSYKVSRG-KGLIPLIRSA-N 0 0 427.527 -0.358 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001301317920 1073860119 /nfs/dbraw/zinc/86/01/19/1073860119.db2.gz YSXBIXQSYKVSRG-UONOGXRCSA-N 0 0 427.527 -0.358 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001301317921 1073860181 /nfs/dbraw/zinc/86/01/81/1073860181.db2.gz YSXBIXQSYKVSRG-ZIAGYGMSSA-N 0 0 427.527 -0.358 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CCC2)C1 ZINC001301320920 1073860169 /nfs/dbraw/zinc/86/01/69/1073860169.db2.gz GPMMESQSZCYNRT-CABCVRRESA-N 0 0 429.543 -0.001 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CCC2)C1 ZINC001301320921 1073860194 /nfs/dbraw/zinc/86/01/94/1073860194.db2.gz GPMMESQSZCYNRT-GJZGRUSLSA-N 0 0 429.543 -0.001 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CCC2)C1 ZINC001301320922 1073860205 /nfs/dbraw/zinc/86/02/05/1073860205.db2.gz GPMMESQSZCYNRT-HUUCEWRRSA-N 0 0 429.543 -0.001 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CCC2)C1 ZINC001301320923 1073860272 /nfs/dbraw/zinc/86/02/72/1073860272.db2.gz GPMMESQSZCYNRT-LSDHHAIUSA-N 0 0 429.543 -0.001 20 0 IBADRN COC[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](COC)C1)OC ZINC001301324914 1073860251 /nfs/dbraw/zinc/86/02/51/1073860251.db2.gz YUBBJPZEWHQEBE-BZUAXINKSA-N 0 0 447.558 -0.255 20 0 IBADRN COC[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](COC)C1)OC ZINC001301324915 1073860306 /nfs/dbraw/zinc/86/03/06/1073860306.db2.gz YUBBJPZEWHQEBE-OAGGEKHMSA-N 0 0 447.558 -0.255 20 0 IBADRN COC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](COC)C1)OC ZINC001301324916 1073860243 /nfs/dbraw/zinc/86/02/43/1073860243.db2.gz YUBBJPZEWHQEBE-OWCLPIDISA-N 0 0 447.558 -0.255 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](COC)C1)OC ZINC001301324918 1073860282 /nfs/dbraw/zinc/86/02/82/1073860282.db2.gz YUBBJPZEWHQEBE-PMPSAXMXSA-N 0 0 447.558 -0.255 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001301345008 1073860291 /nfs/dbraw/zinc/86/02/91/1073860291.db2.gz DUPDAUNEYKUAKT-AWEZNQCLSA-N 0 0 430.531 -0.743 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001301345009 1073860106 /nfs/dbraw/zinc/86/01/06/1073860106.db2.gz DUPDAUNEYKUAKT-CQSZACIVSA-N 0 0 430.531 -0.743 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCNS(C)(=O)=O)C[C@H](C)O1 ZINC001301345069 1073860153 /nfs/dbraw/zinc/86/01/53/1073860153.db2.gz FBOJDSYMOMEZSL-KBPBESRZSA-N 0 0 430.531 -0.697 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCNS(C)(=O)=O)C[C@@H](C)O1 ZINC001301345070 1073860214 /nfs/dbraw/zinc/86/02/14/1073860214.db2.gz FBOJDSYMOMEZSL-KGLIPLIRSA-N 0 0 430.531 -0.697 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCNS(C)(=O)=O)C[C@H](C)O1 ZINC001301345071 1073860224 /nfs/dbraw/zinc/86/02/24/1073860224.db2.gz FBOJDSYMOMEZSL-UONOGXRCSA-N 0 0 430.531 -0.697 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCNS(C)(=O)=O)C[C@@H](C)O1 ZINC001301345072 1073860539 /nfs/dbraw/zinc/86/05/39/1073860539.db2.gz FBOJDSYMOMEZSL-ZIAGYGMSSA-N 0 0 430.531 -0.697 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)C[C@@H](C)O1 ZINC001301346268 1073860782 /nfs/dbraw/zinc/86/07/82/1073860782.db2.gz MYCFDALQVJSSDB-CVEARBPZSA-N 0 0 438.529 -0.095 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)C[C@H](C)O1 ZINC001301346269 1073860796 /nfs/dbraw/zinc/86/07/96/1073860796.db2.gz MYCFDALQVJSSDB-HOTGVXAUSA-N 0 0 438.529 -0.095 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)C[C@@H](C)O1 ZINC001301346270 1073860586 /nfs/dbraw/zinc/86/05/86/1073860586.db2.gz MYCFDALQVJSSDB-HZPDHXFCSA-N 0 0 438.529 -0.095 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)C[C@H](C)O1 ZINC001301346271 1073860514 /nfs/dbraw/zinc/86/05/14/1073860514.db2.gz MYCFDALQVJSSDB-JKSUJKDBSA-N 0 0 438.529 -0.095 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)C[C@@H](C)O1 ZINC001301352349 1073860639 /nfs/dbraw/zinc/86/06/39/1073860639.db2.gz LKVGVKUGQMSKLO-ILXRZTDVSA-N 0 0 446.574 -0.003 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)C[C@@H](C)O1 ZINC001301352350 1073860575 /nfs/dbraw/zinc/86/05/75/1073860575.db2.gz LKVGVKUGQMSKLO-KFWWJZLASA-N 0 0 446.574 -0.003 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)C[C@H](C)O1 ZINC001301352351 1073860630 /nfs/dbraw/zinc/86/06/30/1073860630.db2.gz LKVGVKUGQMSKLO-KKUMJFAQSA-N 0 0 446.574 -0.003 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)C[C@H](C)O1 ZINC001301352352 1073860608 /nfs/dbraw/zinc/86/06/08/1073860608.db2.gz LKVGVKUGQMSKLO-ZNMIVQPWSA-N 0 0 446.574 -0.003 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)CCO1 ZINC001301352497 1073860770 /nfs/dbraw/zinc/86/07/70/1073860770.db2.gz NRNUIGVKWOMVCR-GXTWGEPZSA-N 0 0 430.531 -0.734 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)CCO1 ZINC001301352498 1073860720 /nfs/dbraw/zinc/86/07/20/1073860720.db2.gz NRNUIGVKWOMVCR-JSGCOSHPSA-N 0 0 430.531 -0.734 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)CCO1 ZINC001301352499 1073860596 /nfs/dbraw/zinc/86/05/96/1073860596.db2.gz NRNUIGVKWOMVCR-OCCSQVGLSA-N 0 0 430.531 -0.734 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)CCO1 ZINC001301352500 1073860737 /nfs/dbraw/zinc/86/07/37/1073860737.db2.gz NRNUIGVKWOMVCR-TZMCWYRMSA-N 0 0 430.531 -0.734 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C[C@@H](C)O1 ZINC001301353173 1073860709 /nfs/dbraw/zinc/86/07/09/1073860709.db2.gz WGNLJLFBTAHOPE-ILXRZTDVSA-N 0 0 447.558 -0.330 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C[C@@H](C)O1 ZINC001301353174 1073860563 /nfs/dbraw/zinc/86/05/63/1073860563.db2.gz WGNLJLFBTAHOPE-KFWWJZLASA-N 0 0 447.558 -0.330 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C[C@H](C)O1 ZINC001301353175 1073860552 /nfs/dbraw/zinc/86/05/52/1073860552.db2.gz WGNLJLFBTAHOPE-KKUMJFAQSA-N 0 0 447.558 -0.330 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C[C@H](C)O1 ZINC001301353176 1073860620 /nfs/dbraw/zinc/86/06/20/1073860620.db2.gz WGNLJLFBTAHOPE-ZNMIVQPWSA-N 0 0 447.558 -0.330 20 0 IBADRN NC(=O)c1cc(F)ccc1N1CCN(C(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC001301357326 1073860680 /nfs/dbraw/zinc/86/06/80/1073860680.db2.gz PHEJWHPJNAKDEA-INIZCTEOSA-N 0 0 447.511 -0.246 20 0 IBADRN NC(=O)c1cc(F)ccc1N1CCN(C(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CC1 ZINC001301357327 1073860647 /nfs/dbraw/zinc/86/06/47/1073860647.db2.gz PHEJWHPJNAKDEA-MRXNPFEDSA-N 0 0 447.511 -0.246 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)CCO1 ZINC001301363917 1073861111 /nfs/dbraw/zinc/86/11/11/1073861111.db2.gz XMXVYODVOCYRBC-CRAIPNDOSA-N 0 0 447.558 -0.281 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)CCO1 ZINC001301363922 1073860753 /nfs/dbraw/zinc/86/07/53/1073860753.db2.gz XMXVYODVOCYRBC-MAUKXSAKSA-N 0 0 447.558 -0.281 20 0 IBADRN COC[C@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)CCO1 ZINC001301363924 1073861162 /nfs/dbraw/zinc/86/11/62/1073861162.db2.gz XMXVYODVOCYRBC-QAPCUYQASA-N 0 0 447.558 -0.281 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2CCNS(C)(=O)=O)CCO1 ZINC001301363926 1073861253 /nfs/dbraw/zinc/86/12/53/1073861253.db2.gz XMXVYODVOCYRBC-YJBOKZPZSA-N 0 0 447.558 -0.281 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCO[C@H](c2ccc(S(C)(=O)=O)cc2)C1 ZINC001301369063 1073861178 /nfs/dbraw/zinc/86/11/78/1073861178.db2.gz JPGHYTRFVCSBKE-INIZCTEOSA-N 0 0 434.536 -0.054 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCO[C@@H](c2ccc(S(C)(=O)=O)cc2)C1 ZINC001301369064 1073861154 /nfs/dbraw/zinc/86/11/54/1073861154.db2.gz JPGHYTRFVCSBKE-MRXNPFEDSA-N 0 0 434.536 -0.054 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC(N3CCOC3=O)CC2)c1 ZINC001301382765 1073861142 /nfs/dbraw/zinc/86/11/42/1073861142.db2.gz VJQZTJGBTLVZKH-UHFFFAOYSA-N 0 0 445.519 -0.188 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)C[C@@H](C)O1 ZINC001301386548 1073861216 /nfs/dbraw/zinc/86/12/16/1073861216.db2.gz FAGSUJZOGZZZKD-BPQIPLTHSA-N 0 0 449.556 -0.367 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)C[C@@H](C)O1 ZINC001301386549 1073861206 /nfs/dbraw/zinc/86/12/06/1073861206.db2.gz FAGSUJZOGZZZKD-NJAFHUGGSA-N 0 0 449.556 -0.367 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)C[C@H](C)O1 ZINC001301386550 1073861242 /nfs/dbraw/zinc/86/12/42/1073861242.db2.gz FAGSUJZOGZZZKD-RYQLBKOJSA-N 0 0 449.556 -0.367 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)C[C@H](C)O1 ZINC001301386551 1073861085 /nfs/dbraw/zinc/86/10/85/1073861085.db2.gz FAGSUJZOGZZZKD-SZMVWBNQSA-N 0 0 449.556 -0.367 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C[C@@H](C)O1 ZINC001301387892 1073861233 /nfs/dbraw/zinc/86/12/33/1073861233.db2.gz MQMCVERXRAXALL-ILXRZTDVSA-N 0 0 445.542 -0.470 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C[C@H](C)O1 ZINC001301387893 1073861195 /nfs/dbraw/zinc/86/11/95/1073861195.db2.gz MQMCVERXRAXALL-KKUMJFAQSA-N 0 0 445.542 -0.470 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C[C@@H](C)O1 ZINC001301387894 1073861133 /nfs/dbraw/zinc/86/11/33/1073861133.db2.gz MQMCVERXRAXALL-QLFBSQMISA-N 0 0 445.542 -0.470 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C[C@H](C)O1 ZINC001301387895 1073861188 /nfs/dbraw/zinc/86/11/88/1073861188.db2.gz MQMCVERXRAXALL-SOUVJXGZSA-N 0 0 445.542 -0.470 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C[C@@H](C)O1 ZINC001301393535 1073861150 /nfs/dbraw/zinc/86/11/50/1073861150.db2.gz RSZODMSWGIMNDJ-HMDCTGQHSA-N 0 0 444.554 -0.040 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C[C@H](C)O1 ZINC001301393536 1073861260 /nfs/dbraw/zinc/86/12/60/1073861260.db2.gz RSZODMSWGIMNDJ-NNXHMXCWSA-N 0 0 444.554 -0.040 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C[C@@H](C)O1 ZINC001301393537 1073861168 /nfs/dbraw/zinc/86/11/68/1073861168.db2.gz RSZODMSWGIMNDJ-UUAJXVIYSA-N 0 0 444.554 -0.040 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C[C@H](C)O1 ZINC001301393538 1073861115 /nfs/dbraw/zinc/86/11/15/1073861115.db2.gz RSZODMSWGIMNDJ-VIQHNZTISA-N 0 0 444.554 -0.040 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)C[C@@H](C)O1 ZINC001301394104 1073861744 /nfs/dbraw/zinc/86/17/44/1073861744.db2.gz SYHQGTGANKHSPE-BKEDOTJMSA-N 0 0 441.554 -0.101 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)C[C@@H](C)O1 ZINC001301394123 1073861646 /nfs/dbraw/zinc/86/16/46/1073861646.db2.gz SYHQGTGANKHSPE-CUYVQJCZSA-N 0 0 441.554 -0.101 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)C[C@H](C)O1 ZINC001301394126 1073861687 /nfs/dbraw/zinc/86/16/87/1073861687.db2.gz SYHQGTGANKHSPE-QCUYGVNKSA-N 0 0 441.554 -0.101 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)C[C@H](C)O1 ZINC001301394129 1073861715 /nfs/dbraw/zinc/86/17/15/1073861715.db2.gz SYHQGTGANKHSPE-YGTYGHESSA-N 0 0 441.554 -0.101 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001301394591 1073861611 /nfs/dbraw/zinc/86/16/11/1073861611.db2.gz UPXQDYAWXRAQFQ-CVEARBPZSA-N 0 0 444.554 -0.037 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001301394594 1073861661 /nfs/dbraw/zinc/86/16/61/1073861661.db2.gz UPXQDYAWXRAQFQ-HOTGVXAUSA-N 0 0 444.554 -0.037 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001301394597 1073861673 /nfs/dbraw/zinc/86/16/73/1073861673.db2.gz UPXQDYAWXRAQFQ-HZPDHXFCSA-N 0 0 444.554 -0.037 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001301394600 1073861544 /nfs/dbraw/zinc/86/15/44/1073861544.db2.gz UPXQDYAWXRAQFQ-JKSUJKDBSA-N 0 0 444.554 -0.037 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@@H]2C)C[C@@H](C)O1 ZINC001301394669 1073861621 /nfs/dbraw/zinc/86/16/21/1073861621.db2.gz UVPLLNQCHXYTAZ-GMKVZWAMSA-N 0 0 444.554 -0.040 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@@H]2C)C[C@H](C)O1 ZINC001301394672 1073861754 /nfs/dbraw/zinc/86/17/54/1073861754.db2.gz UVPLLNQCHXYTAZ-IPXJXJKCSA-N 0 0 444.554 -0.040 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@H]2C)C[C@H](C)O1 ZINC001301394676 1073861736 /nfs/dbraw/zinc/86/17/36/1073861736.db2.gz UVPLLNQCHXYTAZ-KSPMYQCISA-N 0 0 444.554 -0.040 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@H]2C)C[C@@H](C)O1 ZINC001301394678 1073861724 /nfs/dbraw/zinc/86/17/24/1073861724.db2.gz UVPLLNQCHXYTAZ-NTODXPRQSA-N 0 0 444.554 -0.040 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@@H](C)O1 ZINC001301395907 1073861533 /nfs/dbraw/zinc/86/15/33/1073861533.db2.gz YWUQGGYGLSSUCM-BSXFFOKHSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@H](C)O1 ZINC001301395908 1073861557 /nfs/dbraw/zinc/86/15/57/1073861557.db2.gz YWUQGGYGLSSUCM-JTOWHCCKSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@H](C)O1 ZINC001301395909 1073861519 /nfs/dbraw/zinc/86/15/19/1073861519.db2.gz YWUQGGYGLSSUCM-KRXQYRFLSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@@H](C)O1 ZINC001301395910 1073861571 /nfs/dbraw/zinc/86/15/71/1073861571.db2.gz YWUQGGYGLSSUCM-ZXFNITATSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H](C)OC)CCO1 ZINC001301395987 1073861634 /nfs/dbraw/zinc/86/16/34/1073861634.db2.gz ZWKDKFGJLKVEAD-ILXRZTDVSA-N 0 0 433.531 -0.503 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H](C)OC)CCO1 ZINC001301395988 1073861600 /nfs/dbraw/zinc/86/16/00/1073861600.db2.gz ZWKDKFGJLKVEAD-KKUMJFAQSA-N 0 0 433.531 -0.503 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H](C)OC)CCO1 ZINC001301395989 1073861704 /nfs/dbraw/zinc/86/17/04/1073861704.db2.gz ZWKDKFGJLKVEAD-QLFBSQMISA-N 0 0 433.531 -0.503 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H](C)OC)CCO1 ZINC001301395990 1073861585 /nfs/dbraw/zinc/86/15/85/1073861585.db2.gz ZWKDKFGJLKVEAD-SOUVJXGZSA-N 0 0 433.531 -0.503 20 0 IBADRN COC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)C[C@@H](C)O1 ZINC001301407817 1073862161 /nfs/dbraw/zinc/86/21/61/1073862161.db2.gz QJPFUMZZMRJSOJ-CVEARBPZSA-N 0 0 441.558 -0.071 20 0 IBADRN COC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)C[C@H](C)O1 ZINC001301407818 1073862268 /nfs/dbraw/zinc/86/22/68/1073862268.db2.gz QJPFUMZZMRJSOJ-HOTGVXAUSA-N 0 0 441.558 -0.071 20 0 IBADRN COC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)C[C@@H](C)O1 ZINC001301407819 1073862243 /nfs/dbraw/zinc/86/22/43/1073862243.db2.gz QJPFUMZZMRJSOJ-HZPDHXFCSA-N 0 0 441.558 -0.071 20 0 IBADRN COC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)C[C@H](C)O1 ZINC001301407820 1073862184 /nfs/dbraw/zinc/86/21/84/1073862184.db2.gz QJPFUMZZMRJSOJ-JKSUJKDBSA-N 0 0 441.558 -0.071 20 0 IBADRN COCCC(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001301414820 1073862330 /nfs/dbraw/zinc/86/23/30/1073862330.db2.gz ALHQAOCAEXPLJM-HNNXBMFYSA-N 0 0 447.540 -0.276 20 0 IBADRN COCCC(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001301414828 1073862316 /nfs/dbraw/zinc/86/23/16/1073862316.db2.gz ALHQAOCAEXPLJM-OAHLLOKOSA-N 0 0 447.540 -0.276 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@H]1CCNC1=O ZINC001301420169 1073862257 /nfs/dbraw/zinc/86/22/57/1073862257.db2.gz NCDURFGJTBIOLJ-BWSMEOODSA-N 0 0 429.520 -0.615 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@H]1CCNC1=O ZINC001301420170 1073862118 /nfs/dbraw/zinc/86/21/18/1073862118.db2.gz NCDURFGJTBIOLJ-PPHUUTPLSA-N 0 0 429.520 -0.615 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@H]1CCNC1=O ZINC001301420171 1073862140 /nfs/dbraw/zinc/86/21/40/1073862140.db2.gz NCDURFGJTBIOLJ-USJBSMOHSA-N 0 0 429.520 -0.615 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@H]1CCNC1=O ZINC001301420172 1073862282 /nfs/dbraw/zinc/86/22/82/1073862282.db2.gz NCDURFGJTBIOLJ-YTKQLVESSA-N 0 0 429.520 -0.615 20 0 IBADRN COCCC(C)(C)Cn1c(-c2cnccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001301426336 1073862069 /nfs/dbraw/zinc/86/20/69/1073862069.db2.gz KUJPYDSCGTWUHE-AWEZNQCLSA-N 0 0 428.497 -0.055 20 0 IBADRN COCCC(C)(C)Cn1c(-c2cnccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001301426340 1073862103 /nfs/dbraw/zinc/86/21/03/1073862103.db2.gz KUJPYDSCGTWUHE-CQSZACIVSA-N 0 0 428.497 -0.055 20 0 IBADRN COc1ccc(CC(=O)N2C[C@@H](CO)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001301428328 1073862293 /nfs/dbraw/zinc/86/22/93/1073862293.db2.gz FGTZPUBRJJFPFV-HOTGVXAUSA-N 0 0 428.507 -0.682 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001301433172 1073862230 /nfs/dbraw/zinc/86/22/30/1073862230.db2.gz CLDAOPMXDRLONH-KBXCAEBGSA-N 0 0 429.543 -0.075 20 0 IBADRN COCC[C@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001301433178 1073862041 /nfs/dbraw/zinc/86/20/41/1073862041.db2.gz CLDAOPMXDRLONH-KDOFPFPSSA-N 0 0 429.543 -0.075 20 0 IBADRN COCC[C@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001301433181 1073862220 /nfs/dbraw/zinc/86/22/20/1073862220.db2.gz CLDAOPMXDRLONH-KSSFIOAISA-N 0 0 429.543 -0.075 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001301433184 1073862088 /nfs/dbraw/zinc/86/20/88/1073862088.db2.gz CLDAOPMXDRLONH-RDTXWAMCSA-N 0 0 429.543 -0.075 20 0 IBADRN COCC[C@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N(C)CC(=O)N1CCOCC1 ZINC001301435311 1073862197 /nfs/dbraw/zinc/86/21/97/1073862197.db2.gz UZZTYDCSRWUXSV-IBGZPJMESA-N 0 0 426.518 -0.501 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N(C)CC(=O)N1CCOCC1 ZINC001301435312 1073862711 /nfs/dbraw/zinc/86/27/11/1073862711.db2.gz UZZTYDCSRWUXSV-LJQANCHMSA-N 0 0 426.518 -0.501 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001301436772 1073862644 /nfs/dbraw/zinc/86/26/44/1073862644.db2.gz CHFAKFQLSQWEIE-DEYYWGMASA-N 0 0 446.574 -0.267 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001301436773 1073862753 /nfs/dbraw/zinc/86/27/53/1073862753.db2.gz CHFAKFQLSQWEIE-GLJUWKHASA-N 0 0 446.574 -0.267 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001301436774 1073862719 /nfs/dbraw/zinc/86/27/19/1073862719.db2.gz CHFAKFQLSQWEIE-IYOUNJFTSA-N 0 0 446.574 -0.267 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001301436775 1073862736 /nfs/dbraw/zinc/86/27/36/1073862736.db2.gz CHFAKFQLSQWEIE-LBTNJELSSA-N 0 0 446.574 -0.267 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001301437862 1073862680 /nfs/dbraw/zinc/86/26/80/1073862680.db2.gz NOBWKGOPAZCWBS-BVGQSLNGSA-N 0 0 444.558 -0.465 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001301437863 1073862744 /nfs/dbraw/zinc/86/27/44/1073862744.db2.gz NOBWKGOPAZCWBS-DOPJRALCSA-N 0 0 444.558 -0.465 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001301437864 1073862766 /nfs/dbraw/zinc/86/27/66/1073862766.db2.gz NOBWKGOPAZCWBS-JEBQAFNWSA-N 0 0 444.558 -0.465 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001301437865 1073862725 /nfs/dbraw/zinc/86/27/25/1073862725.db2.gz NOBWKGOPAZCWBS-KKXDTOCCSA-N 0 0 444.558 -0.465 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001301449039 1073862623 /nfs/dbraw/zinc/86/26/23/1073862623.db2.gz ICQLNPHRWOFBAP-KBXCAEBGSA-N 0 0 444.558 -0.465 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001301449040 1073862667 /nfs/dbraw/zinc/86/26/67/1073862667.db2.gz ICQLNPHRWOFBAP-KDOFPFPSSA-N 0 0 444.558 -0.465 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001301449041 1073862760 /nfs/dbraw/zinc/86/27/60/1073862760.db2.gz ICQLNPHRWOFBAP-KSSFIOAISA-N 0 0 444.558 -0.465 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001301449042 1073862703 /nfs/dbraw/zinc/86/27/03/1073862703.db2.gz ICQLNPHRWOFBAP-RDTXWAMCSA-N 0 0 444.558 -0.465 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001301450555 1073862640 /nfs/dbraw/zinc/86/26/40/1073862640.db2.gz LJJRGGIIXZQYFW-FOIQADDNSA-N 0 0 438.529 -0.252 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001301450567 1073862691 /nfs/dbraw/zinc/86/26/91/1073862691.db2.gz LJJRGGIIXZQYFW-MGPUTAFESA-N 0 0 438.529 -0.252 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001301450569 1073862632 /nfs/dbraw/zinc/86/26/32/1073862632.db2.gz LJJRGGIIXZQYFW-QRWLVFNGSA-N 0 0 438.529 -0.252 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001301450570 1073862602 /nfs/dbraw/zinc/86/26/02/1073862602.db2.gz LJJRGGIIXZQYFW-YWZLYKJASA-N 0 0 438.529 -0.252 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001301452263 1073862658 /nfs/dbraw/zinc/86/26/58/1073862658.db2.gz SQKIDDKRDSSOOB-DEYYWGMASA-N 0 0 429.543 -0.365 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001301452265 1073862613 /nfs/dbraw/zinc/86/26/13/1073862613.db2.gz SQKIDDKRDSSOOB-GLJUWKHASA-N 0 0 429.543 -0.365 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001301452481 1073862589 /nfs/dbraw/zinc/86/25/89/1073862589.db2.gz SQKIDDKRDSSOOB-IYOUNJFTSA-N 0 0 429.543 -0.365 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001301452482 1073863203 /nfs/dbraw/zinc/86/32/03/1073863203.db2.gz SQKIDDKRDSSOOB-LBTNJELSSA-N 0 0 429.543 -0.365 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001301452787 1073863332 /nfs/dbraw/zinc/86/33/32/1073863332.db2.gz RLARKPYRYBCXMW-AVYPCKFXSA-N 0 0 436.513 -0.893 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001301452794 1073863108 /nfs/dbraw/zinc/86/31/08/1073863108.db2.gz RLARKPYRYBCXMW-MDOVXXIYSA-N 0 0 436.513 -0.893 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001301452795 1073863239 /nfs/dbraw/zinc/86/32/39/1073863239.db2.gz RLARKPYRYBCXMW-SIFCLUCFSA-N 0 0 436.513 -0.893 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001301452797 1073863174 /nfs/dbraw/zinc/86/31/74/1073863174.db2.gz RLARKPYRYBCXMW-SXGZJXTBSA-N 0 0 436.513 -0.893 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)NC(C)C ZINC001301453110 1073863161 /nfs/dbraw/zinc/86/31/61/1073863161.db2.gz SGXZPISYNMSVJA-KBXCAEBGSA-N 0 0 431.559 -0.072 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)NC(C)C ZINC001301453111 1073863088 /nfs/dbraw/zinc/86/30/88/1073863088.db2.gz SGXZPISYNMSVJA-KDOFPFPSSA-N 0 0 431.559 -0.072 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)NC(C)C ZINC001301453112 1073863220 /nfs/dbraw/zinc/86/32/20/1073863220.db2.gz SGXZPISYNMSVJA-KSSFIOAISA-N 0 0 431.559 -0.072 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)NC(C)C ZINC001301453113 1073863128 /nfs/dbraw/zinc/86/31/28/1073863128.db2.gz SGXZPISYNMSVJA-RDTXWAMCSA-N 0 0 431.559 -0.072 20 0 IBADRN COCCC1(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)NC[C@H]3C2)CC1 ZINC001301466762 1073863295 /nfs/dbraw/zinc/86/32/95/1073863295.db2.gz RSUVKBBXQRKKLH-KBPBESRZSA-N 0 0 441.558 -0.081 20 0 IBADRN COCCC1(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)NC[C@H]3C2)CC1 ZINC001301466763 1073863344 /nfs/dbraw/zinc/86/33/44/1073863344.db2.gz RSUVKBBXQRKKLH-KGLIPLIRSA-N 0 0 441.558 -0.081 20 0 IBADRN COCCC1(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)NC[C@@H]3C2)CC1 ZINC001301466764 1073863053 /nfs/dbraw/zinc/86/30/53/1073863053.db2.gz RSUVKBBXQRKKLH-UONOGXRCSA-N 0 0 441.558 -0.081 20 0 IBADRN COCCC1(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)NC[C@@H]3C2)CC1 ZINC001301466765 1073863280 /nfs/dbraw/zinc/86/32/80/1073863280.db2.gz RSUVKBBXQRKKLH-ZIAGYGMSSA-N 0 0 441.558 -0.081 20 0 IBADRN CN(C)C(=O)N(C)[C@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC001301510985 1073865819 /nfs/dbraw/zinc/86/58/19/1073865819.db2.gz OOYAUHICOYMHIH-AWEZNQCLSA-N 0 0 427.527 -0.126 20 0 IBADRN CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC001301510987 1073865730 /nfs/dbraw/zinc/86/57/30/1073865730.db2.gz OOYAUHICOYMHIH-CQSZACIVSA-N 0 0 427.527 -0.126 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCC(CO)(CO)C3)c2)CC1 ZINC001301521905 1073866609 /nfs/dbraw/zinc/86/66/09/1073866609.db2.gz KHRZMXIZFWCESM-UHFFFAOYSA-N 0 0 425.507 -0.644 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)c2cccn3c(I)cnc23)C[C@H]1C(N)=O ZINC001301534180 1073866599 /nfs/dbraw/zinc/86/65/99/1073866599.db2.gz YOWOMUICGCMKTO-DTORHVGOSA-N 0 0 427.202 -0.402 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)c2cccn3c(I)cnc23)C[C@@H]1C(N)=O ZINC001301534186 1073866368 /nfs/dbraw/zinc/86/63/68/1073866368.db2.gz YOWOMUICGCMKTO-IUCAKERBSA-N 0 0 427.202 -0.402 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)c2cccn3c(I)cnc23)C[C@H]1C(N)=O ZINC001301534188 1073866464 /nfs/dbraw/zinc/86/64/64/1073866464.db2.gz YOWOMUICGCMKTO-RKDXNWHRSA-N 0 0 427.202 -0.402 20 0 IBADRN COc1ccc(CC(=O)N[C@@H](CO)c2cncn2C)cc1S(=O)(=O)N1CCOCC1 ZINC001301548291 1073866280 /nfs/dbraw/zinc/86/62/80/1073866280.db2.gz RKNGMNMXLSCNAJ-HNNXBMFYSA-N 0 0 438.506 -0.158 20 0 IBADRN COc1ccc(CC(=O)N[C@H](CO)c2cncn2C)cc1S(=O)(=O)N1CCOCC1 ZINC001301548301 1073866582 /nfs/dbraw/zinc/86/65/82/1073866582.db2.gz RKNGMNMXLSCNAJ-OAHLLOKOSA-N 0 0 438.506 -0.158 20 0 IBADRN O=C([C@H]1CCS(=O)(=O)N1)N1CCN(C(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC001301550699 1073866498 /nfs/dbraw/zinc/86/64/98/1073866498.db2.gz LCGSMKWGJLYFDD-GOSISDBHSA-N 0 0 435.550 -0.240 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)N1)N1CCN(C(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC001301550704 1073866319 /nfs/dbraw/zinc/86/63/19/1073866319.db2.gz LCGSMKWGJLYFDD-SFHVURJKSA-N 0 0 435.550 -0.240 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCN(C(=O)N3CCN(Cc4ccccc4)CC3)CC2)C1=O ZINC001301551057 1073866394 /nfs/dbraw/zinc/86/63/94/1073866394.db2.gz NSMQVENXEJJAPQ-UHFFFAOYSA-N 0 0 442.520 -0.038 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN(C(=O)N3CCN(Cc4ccccc4)CC3)CC2)nn1 ZINC001301551805 1073866478 /nfs/dbraw/zinc/86/64/78/1073866478.db2.gz UICHIBCKDRYDCU-UHFFFAOYSA-N 0 0 440.508 -0.541 20 0 IBADRN COCCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001301570167 1073866960 /nfs/dbraw/zinc/86/69/60/1073866960.db2.gz PIPPBUBFGIDHLZ-HNNXBMFYSA-N 0 0 433.513 -0.417 20 0 IBADRN COCCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001301570188 1073867032 /nfs/dbraw/zinc/86/70/32/1073867032.db2.gz PIPPBUBFGIDHLZ-OAHLLOKOSA-N 0 0 433.513 -0.417 20 0 IBADRN COCCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001301571643 1073866923 /nfs/dbraw/zinc/86/69/23/1073866923.db2.gz INMZZNYEMJWHHP-GJZGRUSLSA-N 0 0 444.558 -0.261 20 0 IBADRN COCCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001301571644 1073867085 /nfs/dbraw/zinc/86/70/85/1073867085.db2.gz INMZZNYEMJWHHP-LSDHHAIUSA-N 0 0 444.558 -0.261 20 0 IBADRN COCCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001301571958 1073866892 /nfs/dbraw/zinc/86/68/92/1073866892.db2.gz LEKORKOAVMNMGY-OWCLPIDISA-N 0 0 447.558 -0.112 20 0 IBADRN COCCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001301571959 1073867023 /nfs/dbraw/zinc/86/70/23/1073867023.db2.gz LEKORKOAVMNMGY-XHSDSOJGSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001301572938 1073867061 /nfs/dbraw/zinc/86/70/61/1073867061.db2.gz XKGBQIAVUPSUIT-AWEZNQCLSA-N 0 0 435.572 -0.394 20 0 IBADRN COCCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001301572939 1073866861 /nfs/dbraw/zinc/86/68/61/1073866861.db2.gz XKGBQIAVUPSUIT-CQSZACIVSA-N 0 0 435.572 -0.394 20 0 IBADRN COCCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)COC)CC1 ZINC001301572974 1073866909 /nfs/dbraw/zinc/86/69/09/1073866909.db2.gz YFOIJFDXCGOUDP-HNNXBMFYSA-N 0 0 429.543 -0.098 20 0 IBADRN COCCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)COC)CC1 ZINC001301572975 1073867432 /nfs/dbraw/zinc/86/74/32/1073867432.db2.gz YFOIJFDXCGOUDP-OAHLLOKOSA-N 0 0 429.543 -0.098 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC3=NCC(=O)N3C)ccc2F)CC1 ZINC001301592910 1073868004 /nfs/dbraw/zinc/86/80/04/1073868004.db2.gz JXRSUPXUEIGNNE-UHFFFAOYSA-N 0 0 425.442 -0.764 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001301602972 1073868125 /nfs/dbraw/zinc/86/81/25/1073868125.db2.gz IRETYEFFYMQJFI-ARFHVFGLSA-N 0 0 447.558 -0.376 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001301602973 1073868143 /nfs/dbraw/zinc/86/81/43/1073868143.db2.gz IRETYEFFYMQJFI-XHSDSOJGSA-N 0 0 447.558 -0.376 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2Cl)CC1)N(CCO)CCOCCO ZINC001301603186 1073868012 /nfs/dbraw/zinc/86/80/12/1073868012.db2.gz BHQHMORKAAJLOM-UHFFFAOYSA-N 0 0 435.930 -0.035 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(C)C[C@H]3CO)CC2)o1 ZINC001301605226 1073868065 /nfs/dbraw/zinc/86/80/65/1073868065.db2.gz GMJQVGCVYOHBHM-HNNXBMFYSA-N 0 0 443.522 -0.008 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCN(C)C[C@@H]3CO)CC2)o1 ZINC001301605227 1073868033 /nfs/dbraw/zinc/86/80/33/1073868033.db2.gz GMJQVGCVYOHBHM-OAHLLOKOSA-N 0 0 443.522 -0.008 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC001301605387 1073867932 /nfs/dbraw/zinc/86/79/32/1073867932.db2.gz LNBCFKWIMQGLCV-INIZCTEOSA-N 0 0 427.523 -0.607 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C)C[C@@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC001301605388 1073868840 /nfs/dbraw/zinc/86/88/40/1073868840.db2.gz LNBCFKWIMQGLCV-MRXNPFEDSA-N 0 0 427.523 -0.607 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NC2CCN(S(N)(=O)=O)CC2)ccc1Cl ZINC001301613942 1073868813 /nfs/dbraw/zinc/86/88/13/1073868813.db2.gz FHGRAZDOTFYWFV-UHFFFAOYSA-N 0 0 440.931 -0.080 20 0 IBADRN COc1ccc(C(=O)NC2CCN(S(N)(=O)=O)CC2)cc1S(=O)(=O)N1CCCC1 ZINC001301614053 1073868786 /nfs/dbraw/zinc/86/87/86/1073868786.db2.gz GLMRCFUXLUJCGW-UHFFFAOYSA-N 0 0 446.551 -0.123 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC001301614430 1073869330 /nfs/dbraw/zinc/86/93/30/1073869330.db2.gz OHCMARRJXLLTCQ-UHFFFAOYSA-N 0 0 426.467 -0.583 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)NC3CCN(S(N)(=O)=O)CC3)CC2=O)c1 ZINC001301614440 1073869345 /nfs/dbraw/zinc/86/93/45/1073869345.db2.gz OQURZHZXBJHNSS-GFCCVEGCSA-N 0 0 426.495 -0.159 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)NC3CCN(S(N)(=O)=O)CC3)CC2=O)c1 ZINC001301614441 1073869305 /nfs/dbraw/zinc/86/93/05/1073869305.db2.gz OQURZHZXBJHNSS-LBPRGKRZSA-N 0 0 426.495 -0.159 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](NC(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)[C@@H](O)C1 ZINC001301614853 1073869376 /nfs/dbraw/zinc/86/93/76/1073869376.db2.gz ZKNTZRMEPQQJKM-PMPSAXMXSA-N 0 0 425.457 -0.058 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC2CCN(S(N)(=O)=O)CC2)cc1 ZINC001301616224 1073869422 /nfs/dbraw/zinc/86/94/22/1073869422.db2.gz DOYFHCUSYVZXRN-INIZCTEOSA-N 0 0 430.552 -0.068 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)C2(S(=O)(=O)c3ccccc3)CCOCC2)CC1 ZINC001301616752 1073869297 /nfs/dbraw/zinc/86/92/97/1073869297.db2.gz NOQLYSCTUKJVPQ-UHFFFAOYSA-N 0 0 431.536 -0.206 20 0 IBADRN COCCCN(c1nnc(C)n1C[C@@H]1CCN(S(C)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001301617956 1073869370 /nfs/dbraw/zinc/86/93/70/1073869370.db2.gz KIGUEBZRHKLKMY-CVEARBPZSA-N 0 0 449.599 -0.102 20 0 IBADRN COCCCN(c1nnc(C)n1C[C@H]1CCN(S(C)(=O)=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001301617957 1073869337 /nfs/dbraw/zinc/86/93/37/1073869337.db2.gz KIGUEBZRHKLKMY-HOTGVXAUSA-N 0 0 449.599 -0.102 20 0 IBADRN COCCCN(c1nnc(C)n1C[C@@H]1CCN(S(C)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001301617959 1073869277 /nfs/dbraw/zinc/86/92/77/1073869277.db2.gz KIGUEBZRHKLKMY-HZPDHXFCSA-N 0 0 449.599 -0.102 20 0 IBADRN COCCCN(c1nnc(C)n1C[C@H]1CCN(S(C)(=O)=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001301617961 1073869361 /nfs/dbraw/zinc/86/93/61/1073869361.db2.gz KIGUEBZRHKLKMY-JKSUJKDBSA-N 0 0 449.599 -0.102 20 0 IBADRN COCCCN(c1nnc(C)n1C[C@]1(O)CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001301618837 1073869393 /nfs/dbraw/zinc/86/93/93/1073869393.db2.gz QBKZLBGGKSSYSO-GDBMZVCRSA-N 0 0 436.556 -0.834 20 0 IBADRN COCCCN(c1nnc(C)n1C[C@]1(O)CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001301618838 1073869260 /nfs/dbraw/zinc/86/92/60/1073869260.db2.gz QBKZLBGGKSSYSO-GOEBONIOSA-N 0 0 436.556 -0.834 20 0 IBADRN COCCCN(c1nnc(C)n1C[C@@]1(O)CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001301618839 1073869402 /nfs/dbraw/zinc/86/94/02/1073869402.db2.gz QBKZLBGGKSSYSO-HOCLYGCPSA-N 0 0 436.556 -0.834 20 0 IBADRN COCCCN(c1nnc(C)n1C[C@@]1(O)CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001301618840 1073869271 /nfs/dbraw/zinc/86/92/71/1073869271.db2.gz QBKZLBGGKSSYSO-ZBFHGGJFSA-N 0 0 436.556 -0.834 20 0 IBADRN COCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001301622260 1073869322 /nfs/dbraw/zinc/86/93/22/1073869322.db2.gz JHLZARPWKCFSRK-CYBMUJFWSA-N 0 0 440.530 -0.489 20 0 IBADRN COCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001301622268 1073869289 /nfs/dbraw/zinc/86/92/89/1073869289.db2.gz JHLZARPWKCFSRK-ZDUSSCGKSA-N 0 0 440.530 -0.489 20 0 IBADRN Cn1cc(CC2CN(C(=O)CNS(=O)(=O)c3cccc(S(C)(=O)=O)c3)C2)cn1 ZINC001301622452 1073869410 /nfs/dbraw/zinc/86/94/10/1073869410.db2.gz AXIKRINIKQNATH-UHFFFAOYSA-N 0 0 426.520 -0.197 20 0 IBADRN COCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001301622860 1073869312 /nfs/dbraw/zinc/86/93/12/1073869312.db2.gz ODFDBLMFLOPVID-QWHCGFSZSA-N 0 0 438.576 -0.605 20 0 IBADRN COCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001301622861 1073869389 /nfs/dbraw/zinc/86/93/89/1073869389.db2.gz ODFDBLMFLOPVID-STQMWFEESA-N 0 0 438.576 -0.605 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)CC1 ZINC001301630823 1073869355 /nfs/dbraw/zinc/86/93/55/1073869355.db2.gz JCORCNICVYNFEZ-GFCCVEGCSA-N 0 0 439.546 -0.488 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)CC1 ZINC001301630824 1073869846 /nfs/dbraw/zinc/86/98/46/1073869846.db2.gz JCORCNICVYNFEZ-LBPRGKRZSA-N 0 0 439.546 -0.488 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nncn2C)CC1 ZINC001301631787 1073869803 /nfs/dbraw/zinc/86/98/03/1073869803.db2.gz WNIUWUCYZADZSD-GFCCVEGCSA-N 0 0 439.546 -0.488 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nncn2C)CC1 ZINC001301631788 1073869715 /nfs/dbraw/zinc/86/97/15/1073869715.db2.gz WNIUWUCYZADZSD-LBPRGKRZSA-N 0 0 439.546 -0.488 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NOCCN3CCCC3=O)cc2)CC1 ZINC001301635751 1073869820 /nfs/dbraw/zinc/86/98/20/1073869820.db2.gz SIHKVOCKTTUPTD-UHFFFAOYSA-N 0 0 438.506 -0.177 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301637778 1073869710 /nfs/dbraw/zinc/86/97/10/1073869710.db2.gz GXDMEPICUZTSPX-IBGZPJMESA-N 0 0 449.537 -0.268 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc(-c3cnccn3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301637780 1073869835 /nfs/dbraw/zinc/86/98/35/1073869835.db2.gz GXDMEPICUZTSPX-LJQANCHMSA-N 0 0 449.537 -0.268 20 0 IBADRN COCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(NC(C)=O)CC1 ZINC001301643974 1073869854 /nfs/dbraw/zinc/86/98/54/1073869854.db2.gz XTBOBKJTZGOJFB-INIZCTEOSA-N 0 0 444.558 -0.248 20 0 IBADRN COCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(NC(C)=O)CC1 ZINC001301643975 1073869734 /nfs/dbraw/zinc/86/97/34/1073869734.db2.gz XTBOBKJTZGOJFB-MRXNPFEDSA-N 0 0 444.558 -0.248 20 0 IBADRN COCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](COC)C1 ZINC001301643991 1073869841 /nfs/dbraw/zinc/86/98/41/1073869841.db2.gz XYIIFGYJFQSMBY-CABCVRRESA-N 0 0 433.531 -0.501 20 0 IBADRN COCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](COC)C1 ZINC001301643992 1073869752 /nfs/dbraw/zinc/86/97/52/1073869752.db2.gz XYIIFGYJFQSMBY-GJZGRUSLSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](COC)C1 ZINC001301643993 1073869809 /nfs/dbraw/zinc/86/98/09/1073869809.db2.gz XYIIFGYJFQSMBY-HUUCEWRRSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](COC)C1 ZINC001301643994 1073869814 /nfs/dbraw/zinc/86/98/14/1073869814.db2.gz XYIIFGYJFQSMBY-LSDHHAIUSA-N 0 0 433.531 -0.501 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC(N2CCOCC2)CC1 ZINC001301646027 1073869784 /nfs/dbraw/zinc/86/97/84/1073869784.db2.gz SGMPEOHCRVSQHT-UHFFFAOYSA-N 0 0 436.534 -0.256 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)C1 ZINC001301649074 1073869776 /nfs/dbraw/zinc/86/97/76/1073869776.db2.gz SPAOEWDJQAPEHC-KRWDZBQOSA-N 0 0 449.556 -0.030 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)C1 ZINC001301649075 1073869796 /nfs/dbraw/zinc/86/97/96/1073869796.db2.gz SPAOEWDJQAPEHC-QGZVFWFLSA-N 0 0 449.556 -0.030 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001301650833 1073869747 /nfs/dbraw/zinc/86/97/47/1073869747.db2.gz CCZZGAGXOXEWQG-BRWVUGGUSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C1 ZINC001301650834 1073869767 /nfs/dbraw/zinc/86/97/67/1073869767.db2.gz CCZZGAGXOXEWQG-IKGGRYGDSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001301650835 1073869762 /nfs/dbraw/zinc/86/97/62/1073869762.db2.gz CCZZGAGXOXEWQG-IXDOHACOSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C1 ZINC001301650836 1073869723 /nfs/dbraw/zinc/86/97/23/1073869723.db2.gz CCZZGAGXOXEWQG-ZACQAIPSSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)C1 ZINC001301654353 1073869861 /nfs/dbraw/zinc/86/98/61/1073869861.db2.gz PFEZGIUYISUYMY-CABCVRRESA-N 0 0 426.543 -0.032 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)C1 ZINC001301654355 1073870317 /nfs/dbraw/zinc/87/03/17/1073870317.db2.gz PFEZGIUYISUYMY-GJZGRUSLSA-N 0 0 426.543 -0.032 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)C1 ZINC001301654357 1073870291 /nfs/dbraw/zinc/87/02/91/1073870291.db2.gz PFEZGIUYISUYMY-HUUCEWRRSA-N 0 0 426.543 -0.032 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)C1 ZINC001301654359 1073870136 /nfs/dbraw/zinc/87/01/36/1073870136.db2.gz PFEZGIUYISUYMY-LSDHHAIUSA-N 0 0 426.543 -0.032 20 0 IBADRN CC(=O)N1CCC(Cn2c(C(=O)NC(C)C)nnc2N(C)CCNS(C)(=O)=O)CC1 ZINC001301662639 1073870305 /nfs/dbraw/zinc/87/03/05/1073870305.db2.gz CISJZUCNGBXKOJ-UHFFFAOYSA-N 0 0 443.574 -0.340 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)CC1 ZINC001301663259 1073870122 /nfs/dbraw/zinc/87/01/22/1073870122.db2.gz HPTNQKWSFSURCQ-GFCCVEGCSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)CC1 ZINC001301663267 1073870222 /nfs/dbraw/zinc/87/02/22/1073870222.db2.gz HPTNQKWSFSURCQ-LBPRGKRZSA-N 0 0 427.531 -0.917 20 0 IBADRN CS(=O)(=O)N[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001301670939 1073870168 /nfs/dbraw/zinc/87/01/68/1073870168.db2.gz PDGGPGVDUNHOHQ-HNNXBMFYSA-N 0 0 445.563 -0.014 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001301670947 1073870152 /nfs/dbraw/zinc/87/01/52/1073870152.db2.gz PDGGPGVDUNHOHQ-OAHLLOKOSA-N 0 0 445.563 -0.014 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001301671605 1073870347 /nfs/dbraw/zinc/87/03/47/1073870347.db2.gz RYMVBDTYXWLKNO-BFYDXBDKSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001301671606 1073870182 /nfs/dbraw/zinc/87/01/82/1073870182.db2.gz RYMVBDTYXWLKNO-HLLBOEOZSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001301671607 1073870209 /nfs/dbraw/zinc/87/02/09/1073870209.db2.gz RYMVBDTYXWLKNO-INMHGKMJSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001301671608 1073870240 /nfs/dbraw/zinc/87/02/40/1073870240.db2.gz RYMVBDTYXWLKNO-VYDXJSESSA-N 0 0 427.498 -0.130 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@@H](O)[C@@H](C)O)CC2=O)cc1 ZINC001301672679 1073870330 /nfs/dbraw/zinc/87/03/30/1073870330.db2.gz VYLVHDCGYPQCDC-CKEIUWERSA-N 0 0 427.523 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@H](O)[C@@H](C)O)CC2=O)cc1 ZINC001301672681 1073870281 /nfs/dbraw/zinc/87/02/81/1073870281.db2.gz VYLVHDCGYPQCDC-CPUCHLNUSA-N 0 0 427.523 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@@H](O)[C@H](C)O)CC2=O)cc1 ZINC001301672683 1073870341 /nfs/dbraw/zinc/87/03/41/1073870341.db2.gz VYLVHDCGYPQCDC-JJRVBVJISA-N 0 0 427.523 -0.072 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2C[C@H](C(=O)NC[C@H](O)[C@H](C)O)CC2=O)cc1 ZINC001301672685 1073870334 /nfs/dbraw/zinc/87/03/34/1073870334.db2.gz VYLVHDCGYPQCDC-VBQJREDUSA-N 0 0 427.523 -0.072 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)CC1 ZINC001301674219 1073870356 /nfs/dbraw/zinc/87/03/56/1073870356.db2.gz HCXJSUQUSJKADD-INIZCTEOSA-N 0 0 438.554 -0.235 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)CC1 ZINC001301674220 1073870197 /nfs/dbraw/zinc/87/01/97/1073870197.db2.gz HCXJSUQUSJKADD-MRXNPFEDSA-N 0 0 438.554 -0.235 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCN(C)C(=O)C2)CC1 ZINC001301674429 1073870256 /nfs/dbraw/zinc/87/02/56/1073870256.db2.gz IYOSHDPRDVSZOL-INIZCTEOSA-N 0 0 438.554 -0.283 20 0 IBADRN CC(=O)N1CCC(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCN(C)C(=O)C2)CC1 ZINC001301674439 1073870267 /nfs/dbraw/zinc/87/02/67/1073870267.db2.gz IYOSHDPRDVSZOL-MRXNPFEDSA-N 0 0 438.554 -0.283 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001301676176 1073870718 /nfs/dbraw/zinc/87/07/18/1073870718.db2.gz DQQGQIFTOFDRDD-UHFFFAOYSA-N 0 0 439.534 -0.207 20 0 IBADRN CC(=O)N1CCC[C@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC(OCC(N)=O)CC2)C1 ZINC001301687572 1073870784 /nfs/dbraw/zinc/87/07/84/1073870784.db2.gz QXLZKSYCIMKOBF-DOTOQJQBSA-N 0 0 447.540 -0.042 20 0 IBADRN CC(=O)N1CCC[C@@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC(OCC(N)=O)CC2)C1 ZINC001301687574 1073870637 /nfs/dbraw/zinc/87/06/37/1073870637.db2.gz QXLZKSYCIMKOBF-NVXWUHKLSA-N 0 0 447.540 -0.042 20 0 IBADRN CC(=O)N1CCC[C@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(OCC(N)=O)CC2)C1 ZINC001301687575 1073870923 /nfs/dbraw/zinc/87/09/23/1073870923.db2.gz QXLZKSYCIMKOBF-RDJZCZTQSA-N 0 0 447.540 -0.042 20 0 IBADRN CC(=O)N1CCC[C@@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(OCC(N)=O)CC2)C1 ZINC001301687577 1073870799 /nfs/dbraw/zinc/87/07/99/1073870799.db2.gz QXLZKSYCIMKOBF-WBVHZDCISA-N 0 0 447.540 -0.042 20 0 IBADRN CC(=O)N1CCCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001301689504 1073870672 /nfs/dbraw/zinc/87/06/72/1073870672.db2.gz GIATXEFXKLOMRW-HNNXBMFYSA-N 0 0 426.543 -0.090 20 0 IBADRN CC(=O)N1CCCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001301689505 1073870651 /nfs/dbraw/zinc/87/06/51/1073870651.db2.gz GIATXEFXKLOMRW-OAHLLOKOSA-N 0 0 426.543 -0.090 20 0 IBADRN CC(=O)N1CCCN(c2nnc(C(=O)NC(C)C)n2CCCn2cc(CO)nn2)CC1 ZINC001301690046 1073870763 /nfs/dbraw/zinc/87/07/63/1073870763.db2.gz QETCYUHZACYYOA-UHFFFAOYSA-N 0 0 433.517 -0.351 20 0 IBADRN CC(=O)N1CCCN(c2nnc(C(=O)N3CCCC3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001301690513 1073870735 /nfs/dbraw/zinc/87/07/35/1073870735.db2.gz ZAYVGBWIKXDDAO-UHFFFAOYSA-N 0 0 447.540 -0.145 20 0 IBADRN CC(=O)N1CCCN(c2nnc(-c3cnccn3)n2CCOCCS(C)(=O)=O)CC1 ZINC001301696523 1073870687 /nfs/dbraw/zinc/87/06/87/1073870687.db2.gz MPFNITOCAOTIHB-UHFFFAOYSA-N 0 0 437.526 -0.145 20 0 IBADRN CC(=O)N1CCCN(c2nnc(-c3cccn3C)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301697624 1073870774 /nfs/dbraw/zinc/87/07/74/1073870774.db2.gz YAXYTVFEZZRYEK-IBGZPJMESA-N 0 0 436.538 -0.108 20 0 IBADRN CC(=O)N1CCCN(c2nnc(-c3cccn3C)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301697625 1073870917 /nfs/dbraw/zinc/87/09/17/1073870917.db2.gz YAXYTVFEZZRYEK-LJQANCHMSA-N 0 0 436.538 -0.108 20 0 IBADRN O=C(Nn1ccc(=O)[nH]c1=O)c1ccc(I)cc1-n1cnnn1 ZINC001301697741 1073456870 /nfs/dbraw/zinc/45/68/70/1073456870.db2.gz POBFZWIKVCOIAS-UHFFFAOYSA-N 0 0 425.146 -0.087 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCCO)CC1 ZINC001301698758 1073870704 /nfs/dbraw/zinc/87/07/04/1073870704.db2.gz DGRBCJLHOMCMTH-INIZCTEOSA-N 0 0 429.543 -0.362 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCCO)CC1 ZINC001301698759 1073870750 /nfs/dbraw/zinc/87/07/50/1073870750.db2.gz DGRBCJLHOMCMTH-MRXNPFEDSA-N 0 0 429.543 -0.362 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001301699093 1073871428 /nfs/dbraw/zinc/87/14/28/1073871428.db2.gz IXFRUZDHSFKVKF-HZPDHXFCSA-N 0 0 427.527 -0.346 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001301699094 1073871272 /nfs/dbraw/zinc/87/12/72/1073871272.db2.gz IXFRUZDHSFKVKF-JKSUJKDBSA-N 0 0 427.527 -0.346 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)CC1 ZINC001301699688 1073871346 /nfs/dbraw/zinc/87/13/46/1073871346.db2.gz DKTMZHVIFKVWCK-HNNXBMFYSA-N 0 0 426.543 -0.283 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)CC1 ZINC001301699689 1073871301 /nfs/dbraw/zinc/87/13/01/1073871301.db2.gz DKTMZHVIFKVWCK-OAHLLOKOSA-N 0 0 426.543 -0.283 20 0 IBADRN CC(=O)N1CCCN(c2nnc(Cc3ccccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301700090 1073871460 /nfs/dbraw/zinc/87/14/60/1073871460.db2.gz UXSCASXVMQDHMK-FQEVSTJZSA-N 0 0 448.549 -0.128 20 0 IBADRN CC(=O)N1CCCN(c2nnc(Cc3ccccn3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301700091 1073871397 /nfs/dbraw/zinc/87/13/97/1073871397.db2.gz UXSCASXVMQDHMK-HXUWFJFHSA-N 0 0 448.549 -0.128 20 0 IBADRN COCCN(C)c1nnc([C@H]2CCC(=O)N2)n1CCS(=O)(=O)N1CCSCC1 ZINC001301700230 1073871420 /nfs/dbraw/zinc/87/14/20/1073871420.db2.gz MGQQZAAYYRCYSZ-CYBMUJFWSA-N 0 0 432.572 -0.310 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CCC(=O)N2)n1CCS(=O)(=O)N1CCSCC1 ZINC001301700233 1073871373 /nfs/dbraw/zinc/87/13/73/1073871373.db2.gz MGQQZAAYYRCYSZ-ZDUSSCGKSA-N 0 0 432.572 -0.310 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001301701589 1073871407 /nfs/dbraw/zinc/87/14/07/1073871407.db2.gz XYOXPIPYPQBUEP-INIZCTEOSA-N 0 0 441.554 -0.220 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001301701594 1073871437 /nfs/dbraw/zinc/87/14/37/1073871437.db2.gz XYOXPIPYPQBUEP-MRXNPFEDSA-N 0 0 441.554 -0.220 20 0 IBADRN COC(=O)CN1CCN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001301701751 1073871364 /nfs/dbraw/zinc/87/13/64/1073871364.db2.gz KLIRYXCEYQZEGT-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN COC(=O)CN1CCN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001301701752 1073871455 /nfs/dbraw/zinc/87/14/55/1073871455.db2.gz KLIRYXCEYQZEGT-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN COC(=O)CN1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001301701805 1073871289 /nfs/dbraw/zinc/87/12/89/1073871289.db2.gz MORLREOPLORDRJ-UHFFFAOYSA-N 0 0 432.520 -0.564 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)COCCO1 ZINC001301702217 1073871317 /nfs/dbraw/zinc/87/13/17/1073871317.db2.gz BQBNVNNQDNXTAN-PBHICJAKSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(C)COCCO1 ZINC001301702218 1073871444 /nfs/dbraw/zinc/87/14/44/1073871444.db2.gz BQBNVNNQDNXTAN-RHSMWYFYSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(C)COCCO1 ZINC001301702219 1073871224 /nfs/dbraw/zinc/87/12/24/1073871224.db2.gz BQBNVNNQDNXTAN-WMLDXEAASA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)COCCO1 ZINC001301702220 1073871261 /nfs/dbraw/zinc/87/12/61/1073871261.db2.gz BQBNVNNQDNXTAN-YOEHRIQHSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C(N)=O)CCCC1 ZINC001301702329 1073871387 /nfs/dbraw/zinc/87/13/87/1073871387.db2.gz FQKMYWBZAIRFCK-AWEZNQCLSA-N 0 0 444.558 -0.261 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C(N)=O)CCCC1 ZINC001301702330 1073871333 /nfs/dbraw/zinc/87/13/33/1073871333.db2.gz FQKMYWBZAIRFCK-CQSZACIVSA-N 0 0 444.558 -0.261 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCCn1cccn1 ZINC001301703376 1073871199 /nfs/dbraw/zinc/87/11/99/1073871199.db2.gz NQONTAMLOZGIKJ-HNNXBMFYSA-N 0 0 427.531 -0.020 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCCn1cccn1 ZINC001301703381 1073871915 /nfs/dbraw/zinc/87/19/15/1073871915.db2.gz NQONTAMLOZGIKJ-OAHLLOKOSA-N 0 0 427.531 -0.020 20 0 IBADRN CC(=O)N1CCCN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)CC1 ZINC001301705235 1073872001 /nfs/dbraw/zinc/87/20/01/1073872001.db2.gz CUFUHHLBJRGIEG-UHFFFAOYSA-N 0 0 438.558 -0.252 20 0 IBADRN CC(=O)N1CCCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)CC1 ZINC001301705392 1073871850 /nfs/dbraw/zinc/87/18/50/1073871850.db2.gz IETSRHKTLRTELO-UHFFFAOYSA-N 0 0 433.513 -0.682 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)N1CCNC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001301709679 1073872036 /nfs/dbraw/zinc/87/20/36/1073872036.db2.gz SLYDGFZZGOLMGR-UHFFFAOYSA-N 0 0 425.530 -0.219 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nnnn2CC2CC2)[C@H](C)C1 ZINC001301710844 1073871982 /nfs/dbraw/zinc/87/19/82/1073871982.db2.gz CFEYJBYSFHMDHP-CYBMUJFWSA-N 0 0 430.517 -0.082 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nnnn2CC2CC2)[C@@H](C)C1 ZINC001301710851 1073871930 /nfs/dbraw/zinc/87/19/30/1073871930.db2.gz CFEYJBYSFHMDHP-ZDUSSCGKSA-N 0 0 430.517 -0.082 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCCNS(C)(=O)=O)[C@H](C)C1 ZINC001301710878 1073871868 /nfs/dbraw/zinc/87/18/68/1073871868.db2.gz CNCKASHLZFKARY-CYBMUJFWSA-N 0 0 429.547 -0.587 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCCNS(C)(=O)=O)[C@@H](C)C1 ZINC001301710882 1073871790 /nfs/dbraw/zinc/87/17/90/1073871790.db2.gz CNCKASHLZFKARY-ZDUSSCGKSA-N 0 0 429.547 -0.587 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001301711479 1073871770 /nfs/dbraw/zinc/87/17/70/1073871770.db2.gz HWPCTLUBTLBBJA-HNNXBMFYSA-N 0 0 441.558 -0.634 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001301711480 1073872023 /nfs/dbraw/zinc/87/20/23/1073872023.db2.gz HWPCTLUBTLBBJA-OAHLLOKOSA-N 0 0 441.558 -0.634 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC2(CS(C)(=O)=O)CC2)CC1 ZINC001301711555 1073871885 /nfs/dbraw/zinc/87/18/85/1073871885.db2.gz KBBWTSLLBBZULT-UHFFFAOYSA-N 0 0 426.543 -0.090 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001301711580 1073871964 /nfs/dbraw/zinc/87/19/64/1073871964.db2.gz KOFDQNQWJPUBGB-UHFFFAOYSA-N 0 0 427.531 -0.926 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC2CCS(=O)(=O)CC2)CC1 ZINC001301711884 1073871822 /nfs/dbraw/zinc/87/18/22/1073871822.db2.gz SZXWNDUDVASKQP-UHFFFAOYSA-N 0 0 426.543 -0.090 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)[C@H](C)C1 ZINC001301712342 1073871833 /nfs/dbraw/zinc/87/18/33/1073871833.db2.gz YLMUWKGXIWMMDE-ACJLOTCBSA-N 0 0 442.542 -0.977 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)[C@H](C)C1 ZINC001301712347 1073871900 /nfs/dbraw/zinc/87/19/00/1073871900.db2.gz YLMUWKGXIWMMDE-FZKQIMNGSA-N 0 0 442.542 -0.977 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)[C@@H](C)C1 ZINC001301712350 1073871947 /nfs/dbraw/zinc/87/19/47/1073871947.db2.gz YLMUWKGXIWMMDE-SCLBCKFNSA-N 0 0 442.542 -0.977 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)[C@@H](C)C1 ZINC001301712353 1073871809 /nfs/dbraw/zinc/87/18/09/1073871809.db2.gz YLMUWKGXIWMMDE-UGSOOPFHSA-N 0 0 442.542 -0.977 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2c(C)nn(C)c2C)CC1 ZINC001301712791 1073871713 /nfs/dbraw/zinc/87/17/13/1073871713.db2.gz BAFGKEIWZZUUFT-CYBMUJFWSA-N 0 0 438.558 -0.045 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2c(C)nn(C)c2C)CC1 ZINC001301712792 1073872452 /nfs/dbraw/zinc/87/24/52/1073872452.db2.gz BAFGKEIWZZUUFT-ZDUSSCGKSA-N 0 0 438.558 -0.045 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)CC1 ZINC001301712862 1073872433 /nfs/dbraw/zinc/87/24/33/1073872433.db2.gz CMEQARFTCVVKIX-GFCCVEGCSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)CC1 ZINC001301712864 1073872465 /nfs/dbraw/zinc/87/24/65/1073872465.db2.gz CMEQARFTCVVKIX-LBPRGKRZSA-N 0 0 427.531 -0.917 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCc2nccnc2C)CC1 ZINC001301713918 1073872336 /nfs/dbraw/zinc/87/23/36/1073872336.db2.gz JQLJRINWYBGVSP-AWEZNQCLSA-N 0 0 436.542 -0.102 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCc2nccnc2C)CC1 ZINC001301713921 1073872295 /nfs/dbraw/zinc/87/22/95/1073872295.db2.gz JQLJRINWYBGVSP-CQSZACIVSA-N 0 0 436.542 -0.102 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)CC1 ZINC001301714299 1073872404 /nfs/dbraw/zinc/87/24/04/1073872404.db2.gz LHEGUKMURLQHQZ-CYBMUJFWSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)CC1 ZINC001301714301 1073872257 /nfs/dbraw/zinc/87/22/57/1073872257.db2.gz LHEGUKMURLQHQZ-ZDUSSCGKSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H](C)N2CCOCC2)CC1 ZINC001301714353 1073872322 /nfs/dbraw/zinc/87/23/22/1073872322.db2.gz MFXKFROELKXLPF-CABCVRRESA-N 0 0 443.574 -0.723 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H](C)N2CCOCC2)CC1 ZINC001301714355 1073872384 /nfs/dbraw/zinc/87/23/84/1073872384.db2.gz MFXKFROELKXLPF-GJZGRUSLSA-N 0 0 443.574 -0.723 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H](C)N2CCOCC2)CC1 ZINC001301714357 1073872346 /nfs/dbraw/zinc/87/23/46/1073872346.db2.gz MFXKFROELKXLPF-HUUCEWRRSA-N 0 0 443.574 -0.723 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H](C)N2CCOCC2)CC1 ZINC001301714358 1073872274 /nfs/dbraw/zinc/87/22/74/1073872274.db2.gz MFXKFROELKXLPF-LSDHHAIUSA-N 0 0 443.574 -0.723 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)NCC12COCCN1CCOC2 ZINC001301714934 1073872363 /nfs/dbraw/zinc/87/23/63/1073872363.db2.gz AJKKQCLZVAILGF-KRWDZBQOSA-N 0 0 434.493 -0.236 20 0 IBADRN O=C(NCC12COCCN1CCOC2)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001301714962 1073872476 /nfs/dbraw/zinc/87/24/76/1073872476.db2.gz BSDMUFCVJNMGQM-KRWDZBQOSA-N 0 0 439.534 -0.025 20 0 IBADRN O=C(NCC12COCCN1CCOC2)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001301714964 1073872375 /nfs/dbraw/zinc/87/23/75/1073872375.db2.gz BSDMUFCVJNMGQM-QGZVFWFLSA-N 0 0 439.534 -0.025 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)NCC23COCCN2CCOC3)cc1 ZINC001301715343 1073872305 /nfs/dbraw/zinc/87/23/05/1073872305.db2.gz FWQHSERCCGFSKA-UHFFFAOYSA-N 0 0 445.563 -0.337 20 0 IBADRN Cn1c(=O)c2cc(C(=O)N[C@@H]3CCCC[C@H]3NS(=O)(=O)C3CC3)cnc2n(C)c1=O ZINC001301716079 1073872313 /nfs/dbraw/zinc/87/23/13/1073872313.db2.gz FCSQPRLMMVIINE-HUUCEWRRSA-N 0 0 435.506 -0.245 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)CC1 ZINC001301716543 1073872246 /nfs/dbraw/zinc/87/22/46/1073872246.db2.gz ZGFMXCJGMQHLOP-CYBMUJFWSA-N 0 0 430.531 -0.902 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)CC1 ZINC001301716545 1073872266 /nfs/dbraw/zinc/87/22/66/1073872266.db2.gz ZGFMXCJGMQHLOP-ZDUSSCGKSA-N 0 0 430.531 -0.902 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)[C@H](C)C1 ZINC001301716599 1073872440 /nfs/dbraw/zinc/87/24/40/1073872440.db2.gz ZSAZCDWNSNYGJP-CHWSQXEVSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)[C@H](C)C1 ZINC001301716601 1073872857 /nfs/dbraw/zinc/87/28/57/1073872857.db2.gz ZSAZCDWNSNYGJP-OLZOCXBDSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)[C@@H](C)C1 ZINC001301716603 1073873056 /nfs/dbraw/zinc/87/30/56/1073873056.db2.gz ZSAZCDWNSNYGJP-QWHCGFSZSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)[C@@H](C)C1 ZINC001301716606 1073873043 /nfs/dbraw/zinc/87/30/43/1073873043.db2.gz ZSAZCDWNSNYGJP-STQMWFEESA-N 0 0 441.558 -0.529 20 0 IBADRN O=C(NCC12COCCN1CCOC2)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001301716738 1073872976 /nfs/dbraw/zinc/87/29/76/1073872976.db2.gz VBKNSQLBACZNKR-WBVHZDCISA-N 0 0 443.497 -0.833 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N[C@@H]2CCCC[C@H]2NS(=O)(=O)C2CC2)cn1C ZINC001301717294 1073872989 /nfs/dbraw/zinc/87/29/89/1073872989.db2.gz SIZHKRBHUQRLBF-HUUCEWRRSA-N 0 0 447.583 -0.094 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)NCC23COCCN2CCOC3)cc1 ZINC001301718786 1073873009 /nfs/dbraw/zinc/87/30/09/1073873009.db2.gz HOBQUCRDTTWOEQ-UHFFFAOYSA-N 0 0 426.495 -0.859 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC3CC(CO)(CO)C3)c2)CC1 ZINC001301718798 1073872765 /nfs/dbraw/zinc/87/27/65/1073872765.db2.gz HUSJRYKZLOEJNV-UHFFFAOYSA-N 0 0 425.507 -0.597 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCC23COCCN2CCOC3)cc1 ZINC001301719361 1073872999 /nfs/dbraw/zinc/87/29/99/1073872999.db2.gz NZZULVBCAYIWSF-UHFFFAOYSA-N 0 0 440.522 -0.517 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)NCC23COCCN2CCOC3)C1=O ZINC001301719885 1073872881 /nfs/dbraw/zinc/87/28/81/1073872881.db2.gz UQYBOZVAAOJDQT-INIZCTEOSA-N 0 0 440.541 -0.033 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)NCC23COCCN2CCOC3)C1=O ZINC001301719887 1073873020 /nfs/dbraw/zinc/87/30/20/1073873020.db2.gz UQYBOZVAAOJDQT-MRXNPFEDSA-N 0 0 440.541 -0.033 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)NCC12COCCN1CCOC2 ZINC001301719952 1073872814 /nfs/dbraw/zinc/87/28/14/1073872814.db2.gz VOJIXFYMPKLUDT-UHFFFAOYSA-N 0 0 426.491 -0.161 20 0 IBADRN O=C(NCC12COCCN1CCOC2)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001301720144 1073873029 /nfs/dbraw/zinc/87/30/29/1073873029.db2.gz YHXQJSDRXSJPTB-UHFFFAOYSA-N 0 0 445.563 -0.276 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCO[C@H](CN2CCOCC2)C1 ZINC001301728176 1073872866 /nfs/dbraw/zinc/87/28/66/1073872866.db2.gz BOEIPHMUVGMPAV-GOSISDBHSA-N 0 0 439.534 -0.119 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCO[C@@H](CN2CCOCC2)C1 ZINC001301728180 1073873070 /nfs/dbraw/zinc/87/30/70/1073873070.db2.gz BOEIPHMUVGMPAV-SFHVURJKSA-N 0 0 439.534 -0.119 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCOCCS(C)(=O)=O)[C@@H](C)C1 ZINC001301729667 1073873609 /nfs/dbraw/zinc/87/36/09/1073873609.db2.gz BVHQVNXNUSFONZ-AWEZNQCLSA-N 0 0 439.542 -0.203 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCOCCS(C)(=O)=O)[C@H](C)C1 ZINC001301729668 1073873431 /nfs/dbraw/zinc/87/34/31/1073873431.db2.gz BVHQVNXNUSFONZ-CQSZACIVSA-N 0 0 439.542 -0.203 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnccn3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001301729916 1073873630 /nfs/dbraw/zinc/87/36/30/1073873630.db2.gz CUTBTSHLLVFCLR-UHFFFAOYSA-N 0 0 435.510 -0.657 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001301731219 1073873518 /nfs/dbraw/zinc/87/35/18/1073873518.db2.gz AAYZNKIHXMFJGT-HNNXBMFYSA-N 0 0 427.527 -0.610 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001301731220 1073873416 /nfs/dbraw/zinc/87/34/16/1073873416.db2.gz AAYZNKIHXMFJGT-OAHLLOKOSA-N 0 0 427.527 -0.610 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001301734455 1073873568 /nfs/dbraw/zinc/87/35/68/1073873568.db2.gz NVHQCCPQNFNLOG-APWZRJJASA-N 0 0 443.570 -0.246 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001301734456 1073873652 /nfs/dbraw/zinc/87/36/52/1073873652.db2.gz NVHQCCPQNFNLOG-LPHOPBHVSA-N 0 0 443.570 -0.246 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001301734457 1073873379 /nfs/dbraw/zinc/87/33/79/1073873379.db2.gz NVHQCCPQNFNLOG-QFBILLFUSA-N 0 0 443.570 -0.246 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001301734458 1073873449 /nfs/dbraw/zinc/87/34/49/1073873449.db2.gz NVHQCCPQNFNLOG-VQIMIIECSA-N 0 0 443.570 -0.246 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001301734488 1073873484 /nfs/dbraw/zinc/87/34/84/1073873484.db2.gz OMZSNHCNOMEGSC-CABCVRRESA-N 0 0 442.542 -0.601 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001301734489 1073873614 /nfs/dbraw/zinc/87/36/14/1073873614.db2.gz OMZSNHCNOMEGSC-GJZGRUSLSA-N 0 0 442.542 -0.601 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001301734490 1073873278 /nfs/dbraw/zinc/87/32/78/1073873278.db2.gz OMZSNHCNOMEGSC-HUUCEWRRSA-N 0 0 442.542 -0.601 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001301734491 1073874196 /nfs/dbraw/zinc/87/41/96/1073874196.db2.gz OMZSNHCNOMEGSC-LSDHHAIUSA-N 0 0 442.542 -0.601 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCO[C@@H](CN2CCOCC2)C1 ZINC001301736199 1073874173 /nfs/dbraw/zinc/87/41/73/1073874173.db2.gz GFXZUQJVYXXNNQ-HNNXBMFYSA-N 0 0 444.579 -0.075 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCO[C@H](CN2CCOCC2)C1 ZINC001301736200 1073874273 /nfs/dbraw/zinc/87/42/73/1073874273.db2.gz GFXZUQJVYXXNNQ-OAHLLOKOSA-N 0 0 444.579 -0.075 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2NC(=O)CC2(C)C)CC1 ZINC001301737315 1073874351 /nfs/dbraw/zinc/87/43/51/1073874351.db2.gz KWOSSEQNGOIRJW-CABCVRRESA-N 0 0 438.554 -0.237 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2NC(=O)CC2(C)C)CC1 ZINC001301737317 1073873898 /nfs/dbraw/zinc/87/38/98/1073873898.db2.gz KWOSSEQNGOIRJW-GJZGRUSLSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2NC(=O)CC2(C)C)CC1 ZINC001301737319 1073873957 /nfs/dbraw/zinc/87/39/57/1073873957.db2.gz KWOSSEQNGOIRJW-HUUCEWRRSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2NC(=O)CC2(C)C)CC1 ZINC001301737321 1073874293 /nfs/dbraw/zinc/87/42/93/1073874293.db2.gz KWOSSEQNGOIRJW-LSDHHAIUSA-N 0 0 438.554 -0.237 20 0 IBADRN COCCN(C)CCn1c(CN2CCCC2=O)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001301737373 1073874056 /nfs/dbraw/zinc/87/40/56/1073874056.db2.gz XMQVFTBEPHVSPP-UHFFFAOYSA-N 0 0 442.586 -0.008 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(C(N)=O)CCCC2)CC1 ZINC001301738994 1073874157 /nfs/dbraw/zinc/87/41/57/1073874157.db2.gz STUAFZCYVMYTPH-HNNXBMFYSA-N 0 0 438.554 -0.106 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(C(N)=O)CCCC2)CC1 ZINC001301738995 1073874304 /nfs/dbraw/zinc/87/43/04/1073874304.db2.gz STUAFZCYVMYTPH-OAHLLOKOSA-N 0 0 438.554 -0.106 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCC(=O)N(C)C)CC1 ZINC001301739335 1073874244 /nfs/dbraw/zinc/87/42/44/1073874244.db2.gz ZMTNZBIHRLYRFF-HNNXBMFYSA-N 0 0 426.543 -0.283 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCC(=O)N(C)C)CC1 ZINC001301739336 1073874254 /nfs/dbraw/zinc/87/42/54/1073874254.db2.gz ZMTNZBIHRLYRFF-OAHLLOKOSA-N 0 0 426.543 -0.283 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc(C)n2CCNS(C)(=O)=O)C1 ZINC001301739493 1073873971 /nfs/dbraw/zinc/87/39/71/1073873971.db2.gz AFMYDIOUNJRKOE-AWEZNQCLSA-N 0 0 438.576 -0.987 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc(C)n2CCNS(C)(=O)=O)C1 ZINC001301739499 1073874262 /nfs/dbraw/zinc/87/42/62/1073874262.db2.gz AFMYDIOUNJRKOE-CQSZACIVSA-N 0 0 438.576 -0.987 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)[C@H](C)C1 ZINC001301741593 1073874233 /nfs/dbraw/zinc/87/42/33/1073874233.db2.gz ANEXBARGEBAYOJ-FOIQADDNSA-N 0 0 448.549 -0.130 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)[C@@H](C)C1 ZINC001301741600 1073873983 /nfs/dbraw/zinc/87/39/83/1073873983.db2.gz ANEXBARGEBAYOJ-MGPUTAFESA-N 0 0 448.549 -0.130 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)[C@H](C)C1 ZINC001301741604 1073874709 /nfs/dbraw/zinc/87/47/09/1073874709.db2.gz ANEXBARGEBAYOJ-QRWLVFNGSA-N 0 0 448.549 -0.130 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)[C@@H](C)C1 ZINC001301741608 1073874594 /nfs/dbraw/zinc/87/45/94/1073874594.db2.gz ANEXBARGEBAYOJ-YWZLYKJASA-N 0 0 448.549 -0.130 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3cccnc3)n2CCC[C@@H]2NC(=O)NC2=O)CC1 ZINC001301741671 1073874740 /nfs/dbraw/zinc/87/47/40/1073874740.db2.gz BIXSTFRFAFOXKR-INIZCTEOSA-N 0 0 426.481 -0.079 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001301746094 1073874676 /nfs/dbraw/zinc/87/46/76/1073874676.db2.gz FRDBWZSBMWEPMD-GOSISDBHSA-N 0 0 442.520 -0.231 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001301746103 1073874778 /nfs/dbraw/zinc/87/47/78/1073874778.db2.gz FRDBWZSBMWEPMD-SFHVURJKSA-N 0 0 442.520 -0.231 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001301746408 1073874718 /nfs/dbraw/zinc/87/47/18/1073874718.db2.gz KLFSQWIAZNNRGH-HNNXBMFYSA-N 0 0 446.570 -0.357 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001301746409 1073874572 /nfs/dbraw/zinc/87/45/72/1073874572.db2.gz KLFSQWIAZNNRGH-OAHLLOKOSA-N 0 0 446.570 -0.357 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)CC1 ZINC001301749241 1073874751 /nfs/dbraw/zinc/87/47/51/1073874751.db2.gz JBHXBEBYLAVOSW-UHFFFAOYSA-N 0 0 427.531 -0.992 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cn3nc(C)cc3C)n2CC(=O)N2CCOCC2)CC1 ZINC001301749462 1073874607 /nfs/dbraw/zinc/87/46/07/1073874607.db2.gz JVLSEDWOXMKUKV-UHFFFAOYSA-N 0 0 430.513 -0.333 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)CC1 ZINC001301751184 1073874763 /nfs/dbraw/zinc/87/47/63/1073874763.db2.gz XPBKFHHJYUFVLQ-UHFFFAOYSA-N 0 0 433.513 -0.682 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)N3CCCC3)nnc2N2CCC(C(N)=O)CC2)CC1 ZINC001301762828 1073875293 /nfs/dbraw/zinc/87/52/93/1073875293.db2.gz CALQTCLQWSLQHJ-UHFFFAOYSA-N 0 0 446.556 -0.620 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CCN3CCOC[C@H]3C2)CC1 ZINC001301763798 1073875096 /nfs/dbraw/zinc/87/50/96/1073875096.db2.gz JYTLVBYVNUPWMY-GOSISDBHSA-N 0 0 448.572 -0.899 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CCN3CCOC[C@@H]3C2)CC1 ZINC001301763802 1073875306 /nfs/dbraw/zinc/87/53/06/1073875306.db2.gz JYTLVBYVNUPWMY-SFHVURJKSA-N 0 0 448.572 -0.899 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc([C@H]4CCOC4)n3CC(=O)N(C)C)C[C@H]2C)CC1 ZINC001301764167 1073875260 /nfs/dbraw/zinc/87/52/60/1073875260.db2.gz IRDDMZVONPWHMP-BPQIPLTHSA-N 0 0 433.557 -0.141 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc([C@@H]4CCOC4)n3CC(=O)N(C)C)C[C@@H]2C)CC1 ZINC001301764186 1073875364 /nfs/dbraw/zinc/87/53/64/1073875364.db2.gz IRDDMZVONPWHMP-CGTJXYLNSA-N 0 0 433.557 -0.141 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc([C@@H]4CCOC4)n3CC(=O)N(C)C)C[C@H]2C)CC1 ZINC001301764189 1073875150 /nfs/dbraw/zinc/87/51/50/1073875150.db2.gz IRDDMZVONPWHMP-KBAYOESNSA-N 0 0 433.557 -0.141 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc([C@H]4CCOC4)n3CC(=O)N(C)C)C[C@@H]2C)CC1 ZINC001301764191 1073875038 /nfs/dbraw/zinc/87/50/38/1073875038.db2.gz IRDDMZVONPWHMP-RYQLBKOJSA-N 0 0 433.557 -0.141 20 0 IBADRN CC(=O)N1CCN(CCn2c(C)nnc2N2CCC[C@@H](N3CCCS3(=O)=O)C2)CC1 ZINC001301764713 1073875443 /nfs/dbraw/zinc/87/54/43/1073875443.db2.gz SEOCWYIVAJNQAN-GOSISDBHSA-N 0 0 439.586 -0.245 20 0 IBADRN CC(=O)N1CCN(CCn2c(C)nnc2N2CCC[C@H](N3CCCS3(=O)=O)C2)CC1 ZINC001301764716 1073875070 /nfs/dbraw/zinc/87/50/70/1073875070.db2.gz SEOCWYIVAJNQAN-SFHVURJKSA-N 0 0 439.586 -0.245 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CCN(C(C)=O)CC2)CC1 ZINC001301765108 1073875216 /nfs/dbraw/zinc/87/52/16/1073875216.db2.gz VZNLJIHIIACCAB-UHFFFAOYSA-N 0 0 434.545 -0.751 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CC(C)(C)O)C[C@@H]2C)CC1 ZINC001301765352 1073875457 /nfs/dbraw/zinc/87/54/57/1073875457.db2.gz MLAKGTSZYXFWJG-BHYGNILZSA-N 0 0 433.557 -0.011 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CC(C)(C)O)C[C@H]2C)CC1 ZINC001301765357 1073875483 /nfs/dbraw/zinc/87/54/83/1073875483.db2.gz MLAKGTSZYXFWJG-DJIMGWMZSA-N 0 0 433.557 -0.011 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CC(C)(C)O)C[C@H]2C)CC1 ZINC001301765359 1073875425 /nfs/dbraw/zinc/87/54/25/1073875425.db2.gz MLAKGTSZYXFWJG-HYVNUMGLSA-N 0 0 433.557 -0.011 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CC(C)(C)O)C[C@@H]2C)CC1 ZINC001301765362 1073875503 /nfs/dbraw/zinc/87/55/03/1073875503.db2.gz MLAKGTSZYXFWJG-USXIJHARSA-N 0 0 433.557 -0.011 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCC(=O)N3)nnc2N(C)CCc2cnccn2)CC1 ZINC001301766041 1073875345 /nfs/dbraw/zinc/87/53/45/1073875345.db2.gz AYDMSQQEYDBQLM-GOSISDBHSA-N 0 0 441.540 -0.138 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCC(=O)N3)nnc2N(C)CCc2cnccn2)CC1 ZINC001301766046 1073875386 /nfs/dbraw/zinc/87/53/86/1073875386.db2.gz AYDMSQQEYDBQLM-SFHVURJKSA-N 0 0 441.540 -0.138 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N2CCN(C)C(=O)[C@@H]2C)CC1 ZINC001301766351 1073875407 /nfs/dbraw/zinc/87/54/07/1073875407.db2.gz GJZSNMYCDILZKF-HNNXBMFYSA-N 0 0 429.529 -0.490 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N2CCN(C)C(=O)[C@H]2C)CC1 ZINC001301766352 1073875233 /nfs/dbraw/zinc/87/52/33/1073875233.db2.gz GJZSNMYCDILZKF-OAHLLOKOSA-N 0 0 429.529 -0.490 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N(C)CCN2CCOCC2)CC1 ZINC001301766364 1073875525 /nfs/dbraw/zinc/87/55/25/1073875525.db2.gz GSSHYUNDPKSIFA-UHFFFAOYSA-N 0 0 443.556 -0.332 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N2CC(=O)N(C)C[C@@H]2C)CC1 ZINC001301766396 1073875184 /nfs/dbraw/zinc/87/51/84/1073875184.db2.gz HJLLNMVOIDATSQ-HNNXBMFYSA-N 0 0 427.513 -0.434 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N2CC(=O)N(C)C[C@H]2C)CC1 ZINC001301766397 1073875857 /nfs/dbraw/zinc/87/58/57/1073875857.db2.gz HJLLNMVOIDATSQ-OAHLLOKOSA-N 0 0 427.513 -0.434 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(C)=O)C[C@H]2C)CC1 ZINC001301766413 1073875913 /nfs/dbraw/zinc/87/59/13/1073875913.db2.gz HYIFDXPTOWXSRV-CRAIPNDOSA-N 0 0 446.556 -0.550 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(C)=O)C[C@@H]2C)CC1 ZINC001301766415 1073876006 /nfs/dbraw/zinc/87/60/06/1073876006.db2.gz HYIFDXPTOWXSRV-MAUKXSAKSA-N 0 0 446.556 -0.550 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(C)=O)C[C@H]2C)CC1 ZINC001301766417 1073876293 /nfs/dbraw/zinc/87/62/93/1073876293.db2.gz HYIFDXPTOWXSRV-QAPCUYQASA-N 0 0 446.556 -0.550 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(C)=O)C[C@@H]2C)CC1 ZINC001301766418 1073876107 /nfs/dbraw/zinc/87/61/07/1073876107.db2.gz HYIFDXPTOWXSRV-YJBOKZPZSA-N 0 0 446.556 -0.550 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N2CC[C@](C)(C(N)=O)C2)CC1 ZINC001301767206 1073876250 /nfs/dbraw/zinc/87/62/50/1073876250.db2.gz NVIVRLBVDQLLOB-FQEVSTJZSA-N 0 0 429.529 -0.456 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N2CC[C@@](C)(C(N)=O)C2)CC1 ZINC001301767212 1073876132 /nfs/dbraw/zinc/87/61/32/1073876132.db2.gz NVIVRLBVDQLLOB-HXUWFJFHSA-N 0 0 429.529 -0.456 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3ccccn3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001301767215 1073875834 /nfs/dbraw/zinc/87/58/34/1073875834.db2.gz NVVDSKBVEUJRDU-UHFFFAOYSA-N 0 0 433.538 -0.261 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N(C)CCS(C)(=O)=O)CC1 ZINC001301767680 1073875970 /nfs/dbraw/zinc/87/59/70/1073875970.db2.gz VONVYFBQJKLPNB-UHFFFAOYSA-N 0 0 438.558 -0.677 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N2CCC[C@H](C(N)=O)C2)CC1 ZINC001301767716 1073876194 /nfs/dbraw/zinc/87/61/94/1073876194.db2.gz WVFFGDLECMQRFD-INIZCTEOSA-N 0 0 429.529 -0.456 20 0 IBADRN CC(=O)N1CCN(CCn2c(CC(C)C)nnc2N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC001301770284 1073876071 /nfs/dbraw/zinc/87/60/71/1073876071.db2.gz KOYGAVNUCDUYMF-UHFFFAOYSA-N 0 0 448.616 -0.149 20 0 IBADRN CC(=O)N1CCN(CCn2c(CC3CC3)nnc2N2CCN(CC(=O)N(C)C)CC2)CC1 ZINC001301770425 1073876163 /nfs/dbraw/zinc/87/61/63/1073876163.db2.gz MKSWNBMPOFMOQZ-UHFFFAOYSA-N 0 0 446.600 -0.395 20 0 IBADRN CC(=O)N1CCN(CCn2c(Cc3ccccn3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001301770547 1073876279 /nfs/dbraw/zinc/87/62/79/1073876279.db2.gz NGJJHCJZWPYYGP-UHFFFAOYSA-N 0 0 447.565 -0.337 20 0 IBADRN CC(=O)N1CCN(CCn2c(CC(C)C)nnc2N(C)CC(=O)N2CCOCC2)CC1 ZINC001301770995 1073876225 /nfs/dbraw/zinc/87/62/25/1073876225.db2.gz RMRODMROJVUMIM-UHFFFAOYSA-N 0 0 435.573 -0.064 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCSCC2)CC1 ZINC001301771046 1073876058 /nfs/dbraw/zinc/87/60/58/1073876058.db2.gz SRFMHBLBFLHHND-INIZCTEOSA-N 0 0 442.611 -0.103 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCSCC2)CC1 ZINC001301771048 1073876092 /nfs/dbraw/zinc/87/60/92/1073876092.db2.gz SRFMHBLBFLHHND-MRXNPFEDSA-N 0 0 442.611 -0.103 20 0 IBADRN CC(=O)N1CCN(CCn2c(Cc3ccccn3)nnc2N2CCNC(=O)CC2)CC1 ZINC001301772081 1073875803 /nfs/dbraw/zinc/87/58/03/1073875803.db2.gz ZOLVIMUKRGTKHC-UHFFFAOYSA-N 0 0 426.525 -0.246 20 0 IBADRN CC(=O)N1CCN(CCn2c(Cn3nc(C)cc3C)nnc2N2CCNC(=O)CC2)CC1 ZINC001301774161 1073875884 /nfs/dbraw/zinc/87/58/84/1073875884.db2.gz BDOCWAYCDWMRNK-UHFFFAOYSA-N 0 0 443.556 -0.370 20 0 IBADRN CC(=O)N1CCN(CCn2c(CN3CCCC3=O)nnc2N2CCN(C)C(=O)[C@@H]2C)CC1 ZINC001301774273 1073876037 /nfs/dbraw/zinc/87/60/37/1073876037.db2.gz CJAAXNJOFCNWFB-INIZCTEOSA-N 0 0 446.556 -0.769 20 0 IBADRN CC(=O)N1CCN(CCn2c(CN3CCCC3=O)nnc2N2CCN(C)C(=O)[C@H]2C)CC1 ZINC001301774274 1073875936 /nfs/dbraw/zinc/87/59/36/1073875936.db2.gz CJAAXNJOFCNWFB-MRXNPFEDSA-N 0 0 446.556 -0.769 20 0 IBADRN CC(=O)N1CCN(CCn2c(CN3CCCC3=O)nnc2N2CC(=O)N(C)[C@@H](C)C2)CC1 ZINC001301774864 1073876760 /nfs/dbraw/zinc/87/67/60/1073876760.db2.gz IMYSBCYKCRTWON-INIZCTEOSA-N 0 0 446.556 -0.769 20 0 IBADRN CC(=O)N1CCN(CCn2c(CN3CCCC3=O)nnc2N2CC(=O)N(C)[C@H](C)C2)CC1 ZINC001301774865 1073876707 /nfs/dbraw/zinc/87/67/07/1073876707.db2.gz IMYSBCYKCRTWON-MRXNPFEDSA-N 0 0 446.556 -0.769 20 0 IBADRN CC(=O)N1CCN(CCn2c(N(C)CCNS(C)(=O)=O)nnc2C(C)(C)C)CC1 ZINC001301774963 1073876675 /nfs/dbraw/zinc/87/66/75/1073876675.db2.gz KHIROZPZJZMSNK-UHFFFAOYSA-N 0 0 429.591 -0.275 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCCO3)nnc2N(C)CCS(C)(=O)=O)CC1 ZINC001301775748 1073876827 /nfs/dbraw/zinc/87/68/27/1073876827.db2.gz SPNJRKLPLNNQSR-MRXNPFEDSA-N 0 0 428.559 -0.226 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H](C)C(=O)N(C)OC ZINC001301810989 1073876753 /nfs/dbraw/zinc/87/67/53/1073876753.db2.gz DTTPBYGGSLFGBI-GXTWGEPZSA-N 0 0 429.495 -0.247 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H](C)C(=O)N(C)OC ZINC001301810990 1073876726 /nfs/dbraw/zinc/87/67/26/1073876726.db2.gz DTTPBYGGSLFGBI-JSGCOSHPSA-N 0 0 429.495 -0.247 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)C1 ZINC001301812325 1073876625 /nfs/dbraw/zinc/87/66/25/1073876625.db2.gz CXLCJUJVWCKMDY-AWEZNQCLSA-N 0 0 429.547 -0.587 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)C1 ZINC001301812326 1073876652 /nfs/dbraw/zinc/87/66/52/1073876652.db2.gz CXLCJUJVWCKMDY-CQSZACIVSA-N 0 0 429.547 -0.587 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2Cc2nnnn2CC2CC2)C1 ZINC001301813274 1073876595 /nfs/dbraw/zinc/87/65/95/1073876595.db2.gz LBDACVNMXUCGMK-HNNXBMFYSA-N 0 0 430.517 -0.034 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2Cc2nnnn2CC2CC2)C1 ZINC001301813275 1073876744 /nfs/dbraw/zinc/87/67/44/1073876744.db2.gz LBDACVNMXUCGMK-OAHLLOKOSA-N 0 0 430.517 -0.034 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2Cc2cn(C3COC3)nn2)C1 ZINC001301814281 1073876569 /nfs/dbraw/zinc/87/65/69/1073876569.db2.gz SJTXAHCZFSHBMP-AWEZNQCLSA-N 0 0 431.501 -0.268 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2Cc2cn(C3COC3)nn2)C1 ZINC001301814282 1073876549 /nfs/dbraw/zinc/87/65/49/1073876549.db2.gz SJTXAHCZFSHBMP-CQSZACIVSA-N 0 0 431.501 -0.268 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001301814370 1073876666 /nfs/dbraw/zinc/87/66/66/1073876666.db2.gz GDNGKJRLKJZXLC-DFHBCGBQSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001301814371 1073876639 /nfs/dbraw/zinc/87/66/39/1073876639.db2.gz GDNGKJRLKJZXLC-RVZJWNSFSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001301814372 1073877278 /nfs/dbraw/zinc/87/72/78/1073877278.db2.gz GDNGKJRLKJZXLC-WPKBUWHJSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001301814373 1073877358 /nfs/dbraw/zinc/87/73/58/1073877358.db2.gz GDNGKJRLKJZXLC-WRSAYESZSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCO[C@H]2C)C1 ZINC001301814394 1073877168 /nfs/dbraw/zinc/87/71/68/1073877168.db2.gz GPMFQJJSWCRAIG-FHKFTOANSA-N 0 0 444.558 -0.467 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCO[C@@H]2C)C1 ZINC001301814395 1073877202 /nfs/dbraw/zinc/87/72/02/1073877202.db2.gz GPMFQJJSWCRAIG-OJIVJNGMSA-N 0 0 444.558 -0.467 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCO[C@H]2C)C1 ZINC001301814396 1073877346 /nfs/dbraw/zinc/87/73/46/1073877346.db2.gz GPMFQJJSWCRAIG-OVAAFMSASA-N 0 0 444.558 -0.467 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCO[C@@H]2C)C1 ZINC001301814397 1073877216 /nfs/dbraw/zinc/87/72/16/1073877216.db2.gz GPMFQJJSWCRAIG-YRWGSWDYSA-N 0 0 444.558 -0.467 20 0 IBADRN COCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001301816143 1073877257 /nfs/dbraw/zinc/87/72/57/1073877257.db2.gz HNICXPZFPABHBD-UHFFFAOYSA-N 0 0 435.529 -0.859 20 0 IBADRN COCCN(CCOC)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1 ZINC001301816548 1073877093 /nfs/dbraw/zinc/87/70/93/1073877093.db2.gz QJWGBXURIJRQNA-HNNXBMFYSA-N 0 0 445.542 -0.862 20 0 IBADRN COCCN(CCOC)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1 ZINC001301816550 1073877316 /nfs/dbraw/zinc/87/73/16/1073877316.db2.gz QJWGBXURIJRQNA-OAHLLOKOSA-N 0 0 445.542 -0.862 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C1 ZINC001301817359 1073877186 /nfs/dbraw/zinc/87/71/86/1073877186.db2.gz ZSQSYJMKGHAKNV-FPMFFAJLSA-N 0 0 427.531 -0.728 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C1 ZINC001301817360 1073877228 /nfs/dbraw/zinc/87/72/28/1073877228.db2.gz ZSQSYJMKGHAKNV-IACUBPJLSA-N 0 0 427.531 -0.728 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C1 ZINC001301817361 1073877387 /nfs/dbraw/zinc/87/73/87/1073877387.db2.gz ZSQSYJMKGHAKNV-KWCYVHTRSA-N 0 0 427.531 -0.728 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C1 ZINC001301817362 1073877326 /nfs/dbraw/zinc/87/73/26/1073877326.db2.gz ZSQSYJMKGHAKNV-MRVWCRGKSA-N 0 0 427.531 -0.728 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001301818398 1073877138 /nfs/dbraw/zinc/87/71/38/1073877138.db2.gz NGHCAANUWVBKTO-LLVKDONJSA-N 0 0 429.503 -0.945 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001301818399 1073877120 /nfs/dbraw/zinc/87/71/20/1073877120.db2.gz NGHCAANUWVBKTO-NSHDSACASA-N 0 0 429.503 -0.945 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001301818443 1073877374 /nfs/dbraw/zinc/87/73/74/1073877374.db2.gz NZTRQHOFTYDTQT-AWEZNQCLSA-N 0 0 445.546 -0.891 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001301818448 1073877302 /nfs/dbraw/zinc/87/73/02/1073877302.db2.gz NZTRQHOFTYDTQT-CQSZACIVSA-N 0 0 445.546 -0.891 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(Cc3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001301828965 1073877289 /nfs/dbraw/zinc/87/72/89/1073877289.db2.gz QWYLYNZYWWHQNY-FXAWDEMLSA-N 0 0 448.549 -0.082 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(Cc3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001301828966 1073877242 /nfs/dbraw/zinc/87/72/42/1073877242.db2.gz QWYLYNZYWWHQNY-PXNSSMCTSA-N 0 0 448.549 -0.082 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(Cc3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001301828967 1073877157 /nfs/dbraw/zinc/87/71/57/1073877157.db2.gz QWYLYNZYWWHQNY-XLIONFOSSA-N 0 0 448.549 -0.082 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(Cc3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001301828968 1073877818 /nfs/dbraw/zinc/87/78/18/1073877818.db2.gz QWYLYNZYWWHQNY-YLJYHZDGSA-N 0 0 448.549 -0.082 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)CC1 ZINC001301834543 1073877671 /nfs/dbraw/zinc/87/76/71/1073877671.db2.gz CJYSHWHQLWLUSN-CYBMUJFWSA-N 0 0 443.574 -0.235 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)C)CC1 ZINC001301834544 1073877572 /nfs/dbraw/zinc/87/75/72/1073877572.db2.gz CJYSHWHQLWLUSN-ZDUSSCGKSA-N 0 0 443.574 -0.235 20 0 IBADRN COCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001301834568 1073877829 /nfs/dbraw/zinc/87/78/29/1073877829.db2.gz APDDDQDUSVKMCH-GFCCVEGCSA-N 0 0 426.499 -0.653 20 0 IBADRN COCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001301834569 1073877634 /nfs/dbraw/zinc/87/76/34/1073877634.db2.gz APDDDQDUSVKMCH-LBPRGKRZSA-N 0 0 426.499 -0.653 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(NC(C)=O)CC1 ZINC001301834681 1073877644 /nfs/dbraw/zinc/87/76/44/1073877644.db2.gz CLMMUHZFPIBMSQ-HNNXBMFYSA-N 0 0 430.531 -0.638 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(NC(C)=O)CC1 ZINC001301834684 1073877612 /nfs/dbraw/zinc/87/76/12/1073877612.db2.gz CLMMUHZFPIBMSQ-OAHLLOKOSA-N 0 0 430.531 -0.638 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](c2cnn(C)c2)C1 ZINC001301835117 1073877768 /nfs/dbraw/zinc/87/77/68/1073877768.db2.gz LXZKEUNOHJCSOP-GDBMZVCRSA-N 0 0 439.542 -0.015 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](c2cnn(C)c2)C1 ZINC001301835119 1073877589 /nfs/dbraw/zinc/87/75/89/1073877589.db2.gz LXZKEUNOHJCSOP-GOEBONIOSA-N 0 0 439.542 -0.015 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](c2cnn(C)c2)C1 ZINC001301835121 1073877601 /nfs/dbraw/zinc/87/76/01/1073877601.db2.gz LXZKEUNOHJCSOP-HOCLYGCPSA-N 0 0 439.542 -0.015 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](c2cnn(C)c2)C1 ZINC001301835122 1073877624 /nfs/dbraw/zinc/87/76/24/1073877624.db2.gz LXZKEUNOHJCSOP-ZBFHGGJFSA-N 0 0 439.542 -0.015 20 0 IBADRN COCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001301835266 1073877720 /nfs/dbraw/zinc/87/77/20/1073877720.db2.gz NRWVWSJNTXUWOV-CABCVRRESA-N 0 0 447.583 -0.169 20 0 IBADRN COCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001301835267 1073877690 /nfs/dbraw/zinc/87/76/90/1073877690.db2.gz NRWVWSJNTXUWOV-GJZGRUSLSA-N 0 0 447.583 -0.169 20 0 IBADRN COCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001301835268 1073877852 /nfs/dbraw/zinc/87/78/52/1073877852.db2.gz NRWVWSJNTXUWOV-HUUCEWRRSA-N 0 0 447.583 -0.169 20 0 IBADRN COCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001301835269 1073877707 /nfs/dbraw/zinc/87/77/07/1073877707.db2.gz NRWVWSJNTXUWOV-LSDHHAIUSA-N 0 0 447.583 -0.169 20 0 IBADRN COCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001301835639 1073877870 /nfs/dbraw/zinc/87/78/70/1073877870.db2.gz XWANCRRTWAZASB-CYBMUJFWSA-N 0 0 435.572 -0.313 20 0 IBADRN COCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001301835640 1073877838 /nfs/dbraw/zinc/87/78/38/1073877838.db2.gz XWANCRRTWAZASB-ZDUSSCGKSA-N 0 0 435.572 -0.313 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001301835973 1073877655 /nfs/dbraw/zinc/87/76/55/1073877655.db2.gz SZOVQOJZUZRUSW-GFCCVEGCSA-N 0 0 429.547 -0.482 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001301835975 1073878284 /nfs/dbraw/zinc/87/82/84/1073878284.db2.gz SZOVQOJZUZRUSW-LBPRGKRZSA-N 0 0 429.547 -0.482 20 0 IBADRN COCCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001301841342 1073878153 /nfs/dbraw/zinc/87/81/53/1073878153.db2.gz PZNHPIOMUQXKQC-UHFFFAOYSA-N 0 0 435.529 -0.972 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)CC1 ZINC001301843018 1073878176 /nfs/dbraw/zinc/87/81/76/1073878176.db2.gz IUBHBQWTYQPWJH-HNNXBMFYSA-N 0 0 438.554 -0.189 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)CC1 ZINC001301843030 1073878108 /nfs/dbraw/zinc/87/81/08/1073878108.db2.gz IUBHBQWTYQPWJH-OAHLLOKOSA-N 0 0 438.554 -0.189 20 0 IBADRN CC(=O)NC1CCN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)CC1 ZINC001301845877 1073878134 /nfs/dbraw/zinc/87/81/34/1073878134.db2.gz BYVHTGRPZRWQGT-UHFFFAOYSA-N 0 0 438.558 -0.206 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)CNC(=O)Cn2ccc3ccc(Br)cc32)C1 ZINC001301846170 1073878162 /nfs/dbraw/zinc/87/81/62/1073878162.db2.gz GJEZIOKRNIHEJI-UHFFFAOYSA-N 0 0 444.311 -0.476 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)c2sccc2S(=O)(=O)N2CCSCC2)C1 ZINC001301846537 1073878216 /nfs/dbraw/zinc/87/82/16/1073878216.db2.gz JAZHESZAVTVGBQ-UHFFFAOYSA-N 0 0 426.567 -0.897 20 0 IBADRN CC(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)CC1 ZINC001301846765 1073878169 /nfs/dbraw/zinc/87/81/69/1073878169.db2.gz KLLCZFMJLHOKJB-UHFFFAOYSA-N 0 0 441.558 -0.555 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)C2CCN(c3ccc4nnc(C(F)(F)F)n4n3)CC2)C1 ZINC001301846993 1073878122 /nfs/dbraw/zinc/87/81/22/1073878122.db2.gz HGILHGXQXIVNKE-UHFFFAOYSA-N 0 0 448.431 -0.637 20 0 IBADRN COCCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)cn1 ZINC001301847121 1073878290 /nfs/dbraw/zinc/87/82/90/1073878290.db2.gz DSMYULIEDSMWNR-CYBMUJFWSA-N 0 0 445.571 -0.652 20 0 IBADRN COCCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)cn1 ZINC001301847123 1073878249 /nfs/dbraw/zinc/87/82/49/1073878249.db2.gz DSMYULIEDSMWNR-ZDUSSCGKSA-N 0 0 445.571 -0.652 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N1CC(NS(N)(=O)=O)C1 ZINC001301848536 1073878259 /nfs/dbraw/zinc/87/82/59/1073878259.db2.gz LROGFPSSPYXVIZ-QMMMGPOBSA-N 0 0 430.430 -0.624 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)[C@H]2CCCN2S(=O)(=O)c2ccc(Cl)s2)C1 ZINC001301849822 1073878144 /nfs/dbraw/zinc/87/81/44/1073878144.db2.gz UHFGAZQWPKEBFO-SECBINFHSA-N 0 0 428.945 -0.442 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)[C@@H]2CCCN2S(=O)(=O)c2ccc(Cl)s2)C1 ZINC001301849828 1073878211 /nfs/dbraw/zinc/87/82/11/1073878211.db2.gz UHFGAZQWPKEBFO-VIFPVBQESA-N 0 0 428.945 -0.442 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)CCNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001301850089 1073878297 /nfs/dbraw/zinc/87/82/97/1073878297.db2.gz WUXARQDKKBRDGU-UHFFFAOYSA-N 0 0 441.329 -0.879 20 0 IBADRN COCCn1cc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)cn1 ZINC001301851620 1073878191 /nfs/dbraw/zinc/87/81/91/1073878191.db2.gz FHRNUSDOLSPNEX-HNNXBMFYSA-N 0 0 437.526 -0.602 20 0 IBADRN COCCn1cc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)cn1 ZINC001301851621 1073878730 /nfs/dbraw/zinc/87/87/30/1073878730.db2.gz FHRNUSDOLSPNEX-OAHLLOKOSA-N 0 0 437.526 -0.602 20 0 IBADRN COCCn1cc(Cn2c(CN3CCCC3=O)nnc2N2CCN(C(C)=O)CC2)cn1 ZINC001301854870 1073878614 /nfs/dbraw/zinc/87/86/14/1073878614.db2.gz YWJFSCHOSDWIFP-UHFFFAOYSA-N 0 0 430.513 -0.040 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)N3CCCC3)nnc2N2CCS(=O)CC2)CC1=O ZINC001301855192 1073878753 /nfs/dbraw/zinc/87/87/53/1073878753.db2.gz DSQLSIMASXJAQH-HNNXBMFYSA-N 0 0 438.554 -0.422 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)N3CCCC3)nnc2N2CCS(=O)CC2)CC1=O ZINC001301855193 1073878628 /nfs/dbraw/zinc/87/86/28/1073878628.db2.gz DSQLSIMASXJAQH-OAHLLOKOSA-N 0 0 438.554 -0.422 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)N3CCCC3)nnc2N2CC[C@H](C(N)=O)C2)CC1=O ZINC001301855363 1073878599 /nfs/dbraw/zinc/87/85/99/1073878599.db2.gz GMVIVXDNWLNDCY-CABCVRRESA-N 0 0 433.513 -0.679 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)N3CCCC3)nnc2N2CC[C@H](C(N)=O)C2)CC1=O ZINC001301855364 1073878767 /nfs/dbraw/zinc/87/87/67/1073878767.db2.gz GMVIVXDNWLNDCY-GJZGRUSLSA-N 0 0 433.513 -0.679 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)N3CCCC3)nnc2N2CC[C@@H](C(N)=O)C2)CC1=O ZINC001301855365 1073878639 /nfs/dbraw/zinc/87/86/39/1073878639.db2.gz GMVIVXDNWLNDCY-HUUCEWRRSA-N 0 0 433.513 -0.679 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)N3CCCC3)nnc2N2CC[C@@H](C(N)=O)C2)CC1=O ZINC001301855366 1073878721 /nfs/dbraw/zinc/87/87/21/1073878721.db2.gz GMVIVXDNWLNDCY-LSDHHAIUSA-N 0 0 433.513 -0.679 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCO[C@H](COC)C2)CC1=O ZINC001301856984 1073878690 /nfs/dbraw/zinc/87/86/90/1073878690.db2.gz MNSGCVASPPASAC-CVEARBPZSA-N 0 0 438.529 -0.237 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCO[C@H](COC)C2)CC1=O ZINC001301856985 1073878551 /nfs/dbraw/zinc/87/85/51/1073878551.db2.gz MNSGCVASPPASAC-HOTGVXAUSA-N 0 0 438.529 -0.237 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCO[C@@H](COC)C2)CC1=O ZINC001301856986 1073878566 /nfs/dbraw/zinc/87/85/66/1073878566.db2.gz MNSGCVASPPASAC-HZPDHXFCSA-N 0 0 438.529 -0.237 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCO[C@@H](COC)C2)CC1=O ZINC001301856987 1073878681 /nfs/dbraw/zinc/87/86/81/1073878681.db2.gz MNSGCVASPPASAC-JKSUJKDBSA-N 0 0 438.529 -0.237 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CC[C@@]3(CCC(=O)N3)C2)CC1=O ZINC001301857655 1073878700 /nfs/dbraw/zinc/87/87/00/1073878700.db2.gz PTTNOMHZRRSVGF-BTYIYWSLSA-N 0 0 447.540 -0.230 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CC[C@]3(CCC(=O)N3)C2)CC1=O ZINC001301857704 1073878663 /nfs/dbraw/zinc/87/86/63/1073878663.db2.gz PTTNOMHZRRSVGF-QVKFZJNVSA-N 0 0 447.540 -0.230 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CC[C@@]3(CCC(=O)N3)C2)CC1=O ZINC001301857705 1073878712 /nfs/dbraw/zinc/87/87/12/1073878712.db2.gz PTTNOMHZRRSVGF-VFNWGFHPSA-N 0 0 447.540 -0.230 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CC[C@]3(CCC(=O)N3)C2)CC1=O ZINC001301857706 1073878749 /nfs/dbraw/zinc/87/87/49/1073878749.db2.gz PTTNOMHZRRSVGF-YCRPNKLZSA-N 0 0 447.540 -0.230 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)CC[C@H]3C2)CC1=O ZINC001301857762 1073878580 /nfs/dbraw/zinc/87/85/80/1073878580.db2.gz QKKBMDDGNFJBAE-CVEARBPZSA-N 0 0 447.540 -0.278 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)CC[C@H]3C2)CC1=O ZINC001301857794 1073878737 /nfs/dbraw/zinc/87/87/37/1073878737.db2.gz QKKBMDDGNFJBAE-HOTGVXAUSA-N 0 0 447.540 -0.278 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)CC[C@@H]3C2)CC1=O ZINC001301857797 1073878670 /nfs/dbraw/zinc/87/86/70/1073878670.db2.gz QKKBMDDGNFJBAE-HZPDHXFCSA-N 0 0 447.540 -0.278 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)CC[C@@H]3C2)CC1=O ZINC001301857801 1073878655 /nfs/dbraw/zinc/87/86/55/1073878655.db2.gz QKKBMDDGNFJBAE-JKSUJKDBSA-N 0 0 447.540 -0.278 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc(-c3cnccn3)n2CC(=O)N2CCOCC2)C1 ZINC001301859346 1073879144 /nfs/dbraw/zinc/87/91/44/1073879144.db2.gz WELIPJQIUZDAQC-INIZCTEOSA-N 0 0 428.497 -0.054 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc(-c3cnccn3)n2CC(=O)N2CCOCC2)C1 ZINC001301859347 1073879216 /nfs/dbraw/zinc/87/92/16/1073879216.db2.gz WELIPJQIUZDAQC-MRXNPFEDSA-N 0 0 428.497 -0.054 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CC(=O)N2CCOCC2)C1 ZINC001301859374 1073879295 /nfs/dbraw/zinc/87/92/95/1073879295.db2.gz XBXQKNGTTUHDSC-INIZCTEOSA-N 0 0 430.513 -0.110 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CC(=O)N2CCOCC2)C1 ZINC001301859375 1073879033 /nfs/dbraw/zinc/87/90/33/1073879033.db2.gz XBXQKNGTTUHDSC-MRXNPFEDSA-N 0 0 430.513 -0.110 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC(C)(OC)C2)CC1=O ZINC001301860515 1073879242 /nfs/dbraw/zinc/87/92/42/1073879242.db2.gz MEEBVDUZXFZJJR-KBPBESRZSA-N 0 0 444.558 -0.392 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC(C)(OC)C2)CC1=O ZINC001301860516 1073879114 /nfs/dbraw/zinc/87/91/14/1073879114.db2.gz MEEBVDUZXFZJJR-KGLIPLIRSA-N 0 0 444.558 -0.392 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC(C)(OC)C2)CC1=O ZINC001301860518 1073879307 /nfs/dbraw/zinc/87/93/07/1073879307.db2.gz MEEBVDUZXFZJJR-UONOGXRCSA-N 0 0 444.558 -0.392 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC(C)(OC)C2)CC1=O ZINC001301860520 1073879193 /nfs/dbraw/zinc/87/91/93/1073879193.db2.gz MEEBVDUZXFZJJR-ZIAGYGMSSA-N 0 0 444.558 -0.392 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)[C@@H]2CCOC2)CC1=O ZINC001301862970 1073879272 /nfs/dbraw/zinc/87/92/72/1073879272.db2.gz DEHNEOHMLYFZTE-BZUAXINKSA-N 0 0 441.554 -0.100 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)[C@H]2CCOC2)CC1=O ZINC001301862971 1073879132 /nfs/dbraw/zinc/87/91/32/1073879132.db2.gz DEHNEOHMLYFZTE-OAGGEKHMSA-N 0 0 441.554 -0.100 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)[C@@H]2CCOC2)CC1=O ZINC001301862972 1073879187 /nfs/dbraw/zinc/87/91/87/1073879187.db2.gz DEHNEOHMLYFZTE-OWCLPIDISA-N 0 0 441.554 -0.100 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)[C@H]2CCOC2)CC1=O ZINC001301862973 1073879285 /nfs/dbraw/zinc/87/92/85/1073879285.db2.gz DEHNEOHMLYFZTE-PMPSAXMXSA-N 0 0 441.554 -0.100 20 0 IBADRN COCCN1C[C@@H](Cn2c(C3CC3)nnc2N2CCN(S(C)(=O)=O)CC2)CC1=O ZINC001301863018 1073879224 /nfs/dbraw/zinc/87/92/24/1073879224.db2.gz DUTPRLGKGUYHDI-AWEZNQCLSA-N 0 0 426.543 -0.268 20 0 IBADRN COCCN1C[C@H](Cn2c(C3CC3)nnc2N2CCN(S(C)(=O)=O)CC2)CC1=O ZINC001301863019 1073879205 /nfs/dbraw/zinc/87/92/05/1073879205.db2.gz DUTPRLGKGUYHDI-CQSZACIVSA-N 0 0 426.543 -0.268 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC[C@@H](CC(N)=O)C2)CC1=O ZINC001301863624 1073879234 /nfs/dbraw/zinc/87/92/34/1073879234.db2.gz IGCJYZZNJSKFJP-ARFHVFGLSA-N 0 0 447.540 -0.184 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC[C@H](CC(N)=O)C2)CC1=O ZINC001301863625 1073879279 /nfs/dbraw/zinc/87/92/79/1073879279.db2.gz IGCJYZZNJSKFJP-BZUAXINKSA-N 0 0 447.540 -0.184 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC[C@H](CC(N)=O)C2)CC1=O ZINC001301863626 1073879541 /nfs/dbraw/zinc/87/95/41/1073879541.db2.gz IGCJYZZNJSKFJP-OAGGEKHMSA-N 0 0 447.540 -0.184 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC[C@@H](CC(N)=O)C2)CC1=O ZINC001301863627 1073879698 /nfs/dbraw/zinc/87/96/98/1073879698.db2.gz IGCJYZZNJSKFJP-XHSDSOJGSA-N 0 0 447.540 -0.184 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001301867492 1073879642 /nfs/dbraw/zinc/87/96/42/1073879642.db2.gz QSAWNKZCMMUBHY-CABCVRRESA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001301867498 1073879795 /nfs/dbraw/zinc/87/97/95/1073879795.db2.gz QSAWNKZCMMUBHY-GJZGRUSLSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001301867500 1073879622 /nfs/dbraw/zinc/87/96/22/1073879622.db2.gz QSAWNKZCMMUBHY-HUUCEWRRSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001301867502 1073879512 /nfs/dbraw/zinc/87/95/12/1073879512.db2.gz QSAWNKZCMMUBHY-LSDHHAIUSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001301869789 1073879677 /nfs/dbraw/zinc/87/96/77/1073879677.db2.gz YDVYWDMSYOHYKT-CVEARBPZSA-N 0 0 433.513 -0.560 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001301869807 1073879581 /nfs/dbraw/zinc/87/95/81/1073879581.db2.gz YDVYWDMSYOHYKT-HOTGVXAUSA-N 0 0 433.513 -0.560 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001301869810 1073879843 /nfs/dbraw/zinc/87/98/43/1073879843.db2.gz YDVYWDMSYOHYKT-HZPDHXFCSA-N 0 0 433.513 -0.560 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001301869813 1073879660 /nfs/dbraw/zinc/87/96/60/1073879660.db2.gz YDVYWDMSYOHYKT-JKSUJKDBSA-N 0 0 433.513 -0.560 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2NC(=O)CC2(C)C)CC1=O ZINC001301869872 1073879713 /nfs/dbraw/zinc/87/97/13/1073879713.db2.gz CDNAYQLTXHSFDM-HNNXBMFYSA-N 0 0 447.540 -0.276 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2NC(=O)CC2(C)C)CC1=O ZINC001301869878 1073879731 /nfs/dbraw/zinc/87/97/31/1073879731.db2.gz CDNAYQLTXHSFDM-OAHLLOKOSA-N 0 0 447.540 -0.276 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CCc2ccn(C)n2)CC1=O ZINC001301870027 1073879492 /nfs/dbraw/zinc/87/94/92/1073879492.db2.gz DODLSGCIXPMEHA-UHFFFAOYSA-N 0 0 430.513 -0.215 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CCCC(=O)N(C)C)CC1=O ZINC001301870505 1073879602 /nfs/dbraw/zinc/87/96/02/1073879602.db2.gz FLVPRYALMRZHMO-UHFFFAOYSA-N 0 0 435.529 -0.323 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)NC(C)C)CC1=O ZINC001301870604 1073879827 /nfs/dbraw/zinc/87/98/27/1073879827.db2.gz HBSFOSKHDOLABJ-UHFFFAOYSA-N 0 0 435.529 -0.276 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)C(C)C)CC1=O ZINC001301871240 1073879761 /nfs/dbraw/zinc/87/97/61/1073879761.db2.gz LZOYRXBGEDRSIL-UHFFFAOYSA-N 0 0 435.529 -0.419 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CCOCC2)CC1=O ZINC001301871387 1073879810 /nfs/dbraw/zinc/87/98/10/1073879810.db2.gz NAHBHGKZUUSVEA-UHFFFAOYSA-N 0 0 436.513 -0.649 20 0 IBADRN CC(=O)NCC1CCN(c2nnc(C(=O)NC(C)C)n2CC(=O)N2CCOCC2)CC1 ZINC001301871803 1073879778 /nfs/dbraw/zinc/87/97/78/1073879778.db2.gz GPFZGUTYXZIFMW-UHFFFAOYSA-N 0 0 435.529 -0.372 20 0 IBADRN CC(=O)NCC1CCN(c2nnc(C(=O)NC(C)C)n2CCNS(C)(=O)=O)CC1 ZINC001301872127 1073879560 /nfs/dbraw/zinc/87/95/60/1073879560.db2.gz KESBVDDWSATEAK-UHFFFAOYSA-N 0 0 429.547 -0.682 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CC[C@H](CO)O2)CC1=O ZINC001301872372 1073879746 /nfs/dbraw/zinc/87/97/46/1073879746.db2.gz VCASFFIDLXQVSB-JKSUJKDBSA-N 0 0 436.513 -0.651 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)C2CC2)CC1=O ZINC001301872396 1073880375 /nfs/dbraw/zinc/88/03/75/1073880375.db2.gz VQQHQLYMACYFND-UHFFFAOYSA-N 0 0 433.513 -0.665 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)NC(C)C)n2CC2(C(N)=O)CCCC2)CC1=O ZINC001301872607 1073880177 /nfs/dbraw/zinc/88/01/77/1073880177.db2.gz VTTZGPMUOQJGMI-UHFFFAOYSA-N 0 0 435.529 -0.243 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCS(C)(=O)=O)C1 ZINC001301873136 1073880453 /nfs/dbraw/zinc/88/04/53/1073880453.db2.gz RMMWCGSLIWUTTI-HNNXBMFYSA-N 0 0 426.543 -0.202 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCS(C)(=O)=O)C1 ZINC001301873137 1073880305 /nfs/dbraw/zinc/88/03/05/1073880305.db2.gz RMMWCGSLIWUTTI-OAHLLOKOSA-N 0 0 426.543 -0.202 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCCN(C(C)=O)C2)CC1=O ZINC001301873867 1073880158 /nfs/dbraw/zinc/88/01/58/1073880158.db2.gz BKDSBUKIFNTUQR-KRWDZBQOSA-N 0 0 449.556 -0.030 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCCN(C(C)=O)C2)CC1=O ZINC001301873869 1073880237 /nfs/dbraw/zinc/88/02/37/1073880237.db2.gz BKDSBUKIFNTUQR-QGZVFWFLSA-N 0 0 449.556 -0.030 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1 ZINC001301874227 1073880142 /nfs/dbraw/zinc/88/01/42/1073880142.db2.gz GYHZNQHFJUEIOS-HUUCEWRRSA-N 0 0 432.547 -0.928 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1 ZINC001301874228 1073880393 /nfs/dbraw/zinc/88/03/93/1073880393.db2.gz GYHZNQHFJUEIOS-LSDHHAIUSA-N 0 0 432.547 -0.928 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CC1 ZINC001301874630 1073880350 /nfs/dbraw/zinc/88/03/50/1073880350.db2.gz KMXKCCPXUVLHBY-HNNXBMFYSA-N 0 0 430.575 -0.038 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CC1 ZINC001301874637 1073880436 /nfs/dbraw/zinc/88/04/36/1073880436.db2.gz KMXKCCPXUVLHBY-OAHLLOKOSA-N 0 0 430.575 -0.038 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001301875277 1073880417 /nfs/dbraw/zinc/88/04/17/1073880417.db2.gz PWTONLUBCYAAKB-KBXCAEBGSA-N 0 0 443.574 -0.865 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001301875279 1073880318 /nfs/dbraw/zinc/88/03/18/1073880318.db2.gz PWTONLUBCYAAKB-KDOFPFPSSA-N 0 0 443.574 -0.865 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001301875281 1073880124 /nfs/dbraw/zinc/88/01/24/1073880124.db2.gz PWTONLUBCYAAKB-KSSFIOAISA-N 0 0 443.574 -0.865 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001301875283 1073880218 /nfs/dbraw/zinc/88/02/18/1073880218.db2.gz PWTONLUBCYAAKB-RDTXWAMCSA-N 0 0 443.574 -0.865 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CC1=O ZINC001301875458 1073880165 /nfs/dbraw/zinc/88/01/65/1073880165.db2.gz QWSODDMERLYVLB-CYBMUJFWSA-N 0 0 432.547 -0.656 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CC1=O ZINC001301875464 1073880253 /nfs/dbraw/zinc/88/02/53/1073880253.db2.gz QWSODDMERLYVLB-ZDUSSCGKSA-N 0 0 432.547 -0.656 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC([C@H](F)C(N)=O)CC2)c1 ZINC001301877478 1073880856 /nfs/dbraw/zinc/88/08/56/1073880856.db2.gz QYCSZZSEWGELFH-KRWDZBQOSA-N 0 0 430.502 -0.059 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC([C@@H](F)C(N)=O)CC2)c1 ZINC001301877479 1073880964 /nfs/dbraw/zinc/88/09/64/1073880964.db2.gz QYCSZZSEWGELFH-QGZVFWFLSA-N 0 0 430.502 -0.059 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001301880848 1073880903 /nfs/dbraw/zinc/88/09/03/1073880903.db2.gz WGTRIUMNROPUKF-CYBMUJFWSA-N 0 0 443.574 -0.235 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001301880849 1073880992 /nfs/dbraw/zinc/88/09/92/1073880992.db2.gz WGTRIUMNROPUKF-ZDUSSCGKSA-N 0 0 443.574 -0.235 20 0 IBADRN CC(=O)NCC1CCN(c2nnc(-c3cnccn3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001301882489 1073881008 /nfs/dbraw/zinc/88/10/08/1073881008.db2.gz ALAJYGURKKAYGB-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001301892375 1073880743 /nfs/dbraw/zinc/88/07/43/1073880743.db2.gz CAHIBUIODJJWAP-GFCCVEGCSA-N 0 0 449.434 -0.564 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001301892383 1073880918 /nfs/dbraw/zinc/88/09/18/1073880918.db2.gz CAHIBUIODJJWAP-LBPRGKRZSA-N 0 0 449.434 -0.564 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCC=CO2)CCO1 ZINC001301892522 1073880792 /nfs/dbraw/zinc/88/07/92/1073880792.db2.gz DIQWHVIIWBVBRV-CWRNSKLLSA-N 0 0 442.542 -0.078 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC=CO2)CCO1 ZINC001301892524 1073880673 /nfs/dbraw/zinc/88/06/73/1073880673.db2.gz DIQWHVIIWBVBRV-FVQBIDKESA-N 0 0 442.542 -0.078 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC=CO2)CCO1 ZINC001301892527 1073880951 /nfs/dbraw/zinc/88/09/51/1073880951.db2.gz DIQWHVIIWBVBRV-NUEKZKHPSA-N 0 0 442.542 -0.078 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCC=CO2)CCO1 ZINC001301892530 1073880812 /nfs/dbraw/zinc/88/08/12/1073880812.db2.gz DIQWHVIIWBVBRV-VNQPRFMTSA-N 0 0 442.542 -0.078 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCNC(=O)C(C)(C)C)CCO1 ZINC001301893283 1073880941 /nfs/dbraw/zinc/88/09/41/1073880941.db2.gz IXEUIDFOOHIMQY-HNNXBMFYSA-N 0 0 437.545 -0.080 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCNC(=O)C(C)(C)C)CCO1 ZINC001301893284 1073880980 /nfs/dbraw/zinc/88/09/80/1073880980.db2.gz IXEUIDFOOHIMQY-OAHLLOKOSA-N 0 0 437.545 -0.080 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CC2([S@@](C)=O)CC2)CCO1 ZINC001301893323 1073880872 /nfs/dbraw/zinc/88/08/72/1073880872.db2.gz JSZFAZVLQRQRAD-IZPCHGGPSA-N 0 0 426.543 -0.331 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CC2([S@@](C)=O)CC2)CCO1 ZINC001301893325 1073880928 /nfs/dbraw/zinc/88/09/28/1073880928.db2.gz JSZFAZVLQRQRAD-MLNJSSBLSA-N 0 0 426.543 -0.331 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CC2([S@](C)=O)CC2)CCO1 ZINC001301893326 1073880890 /nfs/dbraw/zinc/88/08/90/1073880890.db2.gz JSZFAZVLQRQRAD-MMEWPQADSA-N 0 0 426.543 -0.331 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CC2([S@](C)=O)CC2)CCO1 ZINC001301893328 1073881303 /nfs/dbraw/zinc/88/13/03/1073881303.db2.gz JSZFAZVLQRQRAD-ONSXPEOJSA-N 0 0 426.543 -0.331 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccco2)CCO1 ZINC001301893387 1073881228 /nfs/dbraw/zinc/88/12/28/1073881228.db2.gz KHHLBQPVMAEZDL-DOMZBBRYSA-N 0 0 426.499 -0.129 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccco2)CCO1 ZINC001301893392 1073881259 /nfs/dbraw/zinc/88/12/59/1073881259.db2.gz KHHLBQPVMAEZDL-IUODEOHRSA-N 0 0 426.499 -0.129 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccco2)CCO1 ZINC001301893393 1073881372 /nfs/dbraw/zinc/88/13/72/1073881372.db2.gz KHHLBQPVMAEZDL-SWLSCSKDSA-N 0 0 426.499 -0.129 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccco2)CCO1 ZINC001301893394 1073881281 /nfs/dbraw/zinc/88/12/81/1073881281.db2.gz KHHLBQPVMAEZDL-WFASDCNBSA-N 0 0 426.499 -0.129 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)C2CC2)CCO1 ZINC001301893742 1073881322 /nfs/dbraw/zinc/88/13/22/1073881322.db2.gz PFWDIUOAPBYABI-INIZCTEOSA-N 0 0 433.513 -0.618 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)C2CC2)CCO1 ZINC001301893743 1073881391 /nfs/dbraw/zinc/88/13/91/1073881391.db2.gz PFWDIUOAPBYABI-MRXNPFEDSA-N 0 0 433.513 -0.618 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(C)COC2)CCO1 ZINC001301893836 1073881332 /nfs/dbraw/zinc/88/13/32/1073881332.db2.gz QRACDEKIPNBMNU-GXTWGEPZSA-N 0 0 430.531 -0.734 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(C)COC2)CCO1 ZINC001301893837 1073881411 /nfs/dbraw/zinc/88/14/11/1073881411.db2.gz QRACDEKIPNBMNU-JSGCOSHPSA-N 0 0 430.531 -0.734 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(C)COC2)CCO1 ZINC001301893838 1073881425 /nfs/dbraw/zinc/88/14/25/1073881425.db2.gz QRACDEKIPNBMNU-OCCSQVGLSA-N 0 0 430.531 -0.734 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(C)COC2)CCO1 ZINC001301893839 1073881490 /nfs/dbraw/zinc/88/14/90/1073881490.db2.gz QRACDEKIPNBMNU-TZMCWYRMSA-N 0 0 430.531 -0.734 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(C)CN(C3CC3)C2=O)CCO1 ZINC001301893872 1073881475 /nfs/dbraw/zinc/88/14/75/1073881475.db2.gz RCZQKYVBOLBRIN-HRAATJIYSA-N 0 0 447.540 -0.232 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@]2(C)CN(C3CC3)C2=O)CCO1 ZINC001301893873 1073881440 /nfs/dbraw/zinc/88/14/40/1073881440.db2.gz RCZQKYVBOLBRIN-IERDGZPVSA-N 0 0 447.540 -0.232 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(C)CN(C3CC3)C2=O)CCO1 ZINC001301893874 1073881458 /nfs/dbraw/zinc/88/14/58/1073881458.db2.gz RCZQKYVBOLBRIN-IIBYNOLFSA-N 0 0 447.540 -0.232 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@]2(C)CN(C3CC3)C2=O)CCO1 ZINC001301893875 1073881223 /nfs/dbraw/zinc/88/12/23/1073881223.db2.gz RCZQKYVBOLBRIN-KKSFZXQISA-N 0 0 447.540 -0.232 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CC(=O)N(C)C)CCO1 ZINC001301894405 1073881248 /nfs/dbraw/zinc/88/12/48/1073881248.db2.gz ZTRIPQSAEQCVIL-AWEZNQCLSA-N 0 0 430.469 -0.645 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CC(=O)N(C)C)CCO1 ZINC001301894406 1073881288 /nfs/dbraw/zinc/88/12/88/1073881288.db2.gz ZTRIPQSAEQCVIL-CQSZACIVSA-N 0 0 430.469 -0.645 20 0 IBADRN COCCN1CCN(c2nnc(-c3cccn3C)n2CCS(=O)(=O)N(C)C)CC1=O ZINC001301895118 1073881231 /nfs/dbraw/zinc/88/12/31/1073881231.db2.gz AFQBQUCNVFGOFM-UHFFFAOYSA-N 0 0 439.542 -0.530 20 0 IBADRN COCCN1CCN(c2nnc(-c3cccnc3)n2CCNC(=O)c2ccn[nH]2)CC1=O ZINC001301895734 1073881353 /nfs/dbraw/zinc/88/13/53/1073881353.db2.gz HTJGRIXBBQJIID-UHFFFAOYSA-N 0 0 439.480 -0.212 20 0 IBADRN COCCN1CCN(c2nnc(-c3ccccc3F)n2CCNS(C)(=O)=O)CC1=O ZINC001301896306 1073881271 /nfs/dbraw/zinc/88/12/71/1073881271.db2.gz IYTVJIWTILCDRH-UHFFFAOYSA-N 0 0 440.501 -0.072 20 0 IBADRN COCCN1CCN(c2nnc(-c3cccnc3)n2CCN2CCCS2(=O)=O)CC1=O ZINC001301896772 1073881982 /nfs/dbraw/zinc/88/19/82/1073881982.db2.gz YRYICFLZFWWHLB-UHFFFAOYSA-N 0 0 449.537 -0.329 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnn(C)c3)n2CCCS(C)(=O)=O)CC1=O ZINC001301897774 1073881788 /nfs/dbraw/zinc/88/17/88/1073881788.db2.gz AOGYKDFHKHENBR-UHFFFAOYSA-N 0 0 425.515 -0.592 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)NCC(F)(F)F)CC1=O ZINC001301897965 1073881745 /nfs/dbraw/zinc/88/17/45/1073881745.db2.gz FNGDIPBBTUNFQF-UHFFFAOYSA-N 0 0 444.418 -0.348 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)NC(C)(C)C)CCO1 ZINC001301898614 1073881728 /nfs/dbraw/zinc/88/17/28/1073881728.db2.gz CYIGPPKPYZZPDP-CABCVRRESA-N 0 0 435.529 -0.125 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)NC(C)(C)C)CCO1 ZINC001301898615 1073881931 /nfs/dbraw/zinc/88/19/31/1073881931.db2.gz CYIGPPKPYZZPDP-GJZGRUSLSA-N 0 0 435.529 -0.125 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)NC(C)(C)C)CCO1 ZINC001301898616 1073881913 /nfs/dbraw/zinc/88/19/13/1073881913.db2.gz CYIGPPKPYZZPDP-HUUCEWRRSA-N 0 0 435.529 -0.125 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)NC(C)(C)C)CCO1 ZINC001301898617 1073881923 /nfs/dbraw/zinc/88/19/23/1073881923.db2.gz CYIGPPKPYZZPDP-LSDHHAIUSA-N 0 0 435.529 -0.125 20 0 IBADRN COCCN1CCN(c2nnc(-c3ccc[nH]3)n2CCS(=O)(=O)NC(C)C)CC1=O ZINC001301898691 1073881993 /nfs/dbraw/zinc/88/19/93/1073881993.db2.gz NQKFSKUYTPTSSF-UHFFFAOYSA-N 0 0 439.542 -0.104 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)c2ccco2)CC1=O ZINC001301898738 1073881771 /nfs/dbraw/zinc/88/17/71/1073881771.db2.gz OOGVZPVWJLWMOE-UHFFFAOYSA-N 0 0 442.480 -0.003 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnn(C)c3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001301899176 1073881877 /nfs/dbraw/zinc/88/18/77/1073881877.db2.gz QBDKQTOLDXLUQM-UHFFFAOYSA-N 0 0 425.497 -0.011 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2CCCN2CCOCC2)CCO1 ZINC001301899466 1073881704 /nfs/dbraw/zinc/88/17/04/1073881704.db2.gz IMAVDBPRMACASI-KRWDZBQOSA-N 0 0 430.513 -0.201 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2CCCN2CCOCC2)CCO1 ZINC001301899471 1073881848 /nfs/dbraw/zinc/88/18/48/1073881848.db2.gz IMAVDBPRMACASI-QGZVFWFLSA-N 0 0 430.513 -0.201 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001301900486 1073881940 /nfs/dbraw/zinc/88/19/40/1073881940.db2.gz OGEADMRMCDNTSQ-CABCVRRESA-N 0 0 435.510 -0.489 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001301900487 1073881869 /nfs/dbraw/zinc/88/18/69/1073881869.db2.gz OGEADMRMCDNTSQ-GJZGRUSLSA-N 0 0 435.510 -0.489 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001301900488 1073881755 /nfs/dbraw/zinc/88/17/55/1073881755.db2.gz OGEADMRMCDNTSQ-HUUCEWRRSA-N 0 0 435.510 -0.489 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001301900489 1073881900 /nfs/dbraw/zinc/88/19/00/1073881900.db2.gz OGEADMRMCDNTSQ-LSDHHAIUSA-N 0 0 435.510 -0.489 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cc(C)on2)CCO1 ZINC001301901196 1073881974 /nfs/dbraw/zinc/88/19/74/1073881974.db2.gz AWGDZGCBSZJRMY-GDBMZVCRSA-N 0 0 438.510 -0.134 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cc(C)on2)CCO1 ZINC001301901198 1073881802 /nfs/dbraw/zinc/88/18/02/1073881802.db2.gz AWGDZGCBSZJRMY-GOEBONIOSA-N 0 0 438.510 -0.134 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cc(C)on2)CCO1 ZINC001301901199 1073882389 /nfs/dbraw/zinc/88/23/89/1073882389.db2.gz AWGDZGCBSZJRMY-HOCLYGCPSA-N 0 0 438.510 -0.134 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cc(C)on2)CCO1 ZINC001301901200 1073882352 /nfs/dbraw/zinc/88/23/52/1073882352.db2.gz AWGDZGCBSZJRMY-ZBFHGGJFSA-N 0 0 438.510 -0.134 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCOC2)CCO1 ZINC001301901238 1073882487 /nfs/dbraw/zinc/88/24/87/1073882487.db2.gz BIQDYYFOUMXNPW-ARFHVFGLSA-N 0 0 427.527 -0.442 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCOC2)CCO1 ZINC001301901240 1073882240 /nfs/dbraw/zinc/88/22/40/1073882240.db2.gz BIQDYYFOUMXNPW-BZUAXINKSA-N 0 0 427.527 -0.442 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCOC2)CCO1 ZINC001301901241 1073882302 /nfs/dbraw/zinc/88/23/02/1073882302.db2.gz BIQDYYFOUMXNPW-HRCADAONSA-N 0 0 427.527 -0.442 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCOC2)CCO1 ZINC001301901243 1073882480 /nfs/dbraw/zinc/88/24/80/1073882480.db2.gz BIQDYYFOUMXNPW-OWCLPIDISA-N 0 0 427.527 -0.442 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCNC(=O)c2ccn[nH]2)CCO1 ZINC001301901414 1073882443 /nfs/dbraw/zinc/88/24/43/1073882443.db2.gz SXDBUSPRPPEKBA-CVEARBPZSA-N 0 0 446.512 -0.334 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CCNC(=O)c2ccn[nH]2)CCO1 ZINC001301901415 1073882211 /nfs/dbraw/zinc/88/22/11/1073882211.db2.gz SXDBUSPRPPEKBA-HOTGVXAUSA-N 0 0 446.512 -0.334 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCNC(=O)c2ccn[nH]2)CCO1 ZINC001301901416 1073882430 /nfs/dbraw/zinc/88/24/30/1073882430.db2.gz SXDBUSPRPPEKBA-HZPDHXFCSA-N 0 0 446.512 -0.334 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CCNC(=O)c2ccn[nH]2)CCO1 ZINC001301901417 1073882270 /nfs/dbraw/zinc/88/22/70/1073882270.db2.gz SXDBUSPRPPEKBA-JKSUJKDBSA-N 0 0 446.512 -0.334 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccc(C)o3)n2CCNS(C)(=O)=O)CCO1 ZINC001301901520 1073882465 /nfs/dbraw/zinc/88/24/65/1073882465.db2.gz PLHBBBHXPKHDNQ-AWEZNQCLSA-N 0 0 426.499 -0.263 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccc(C)o3)n2CCNS(C)(=O)=O)CCO1 ZINC001301901521 1073882226 /nfs/dbraw/zinc/88/22/26/1073882226.db2.gz PLHBBBHXPKHDNQ-CQSZACIVSA-N 0 0 426.499 -0.263 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccc[nH]3)n2CCS(=O)(=O)NC(C)C)CCO1 ZINC001301901623 1073882332 /nfs/dbraw/zinc/88/23/32/1073882332.db2.gz FFGGADRSBMLHOW-HNNXBMFYSA-N 0 0 439.542 -0.058 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccc[nH]3)n2CCS(=O)(=O)NC(C)C)CCO1 ZINC001301901624 1073882410 /nfs/dbraw/zinc/88/24/10/1073882410.db2.gz FFGGADRSBMLHOW-OAHLLOKOSA-N 0 0 439.542 -0.058 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccc[nH]3)n2CCCn2cc(CO)nn2)CCO1 ZINC001301901735 1073882316 /nfs/dbraw/zinc/88/23/16/1073882316.db2.gz GDZVKCIEIXGCBS-INIZCTEOSA-N 0 0 429.485 -0.211 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccc[nH]3)n2CCCn2cc(CO)nn2)CCO1 ZINC001301901739 1073882457 /nfs/dbraw/zinc/88/24/57/1073882457.db2.gz GDZVKCIEIXGCBS-MRXNPFEDSA-N 0 0 429.485 -0.211 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ncnn2C(C)(C)C)CCO1 ZINC001301902250 1073882283 /nfs/dbraw/zinc/88/22/83/1073882283.db2.gz YETNJBXXCBPTQN-CABCVRRESA-N 0 0 445.528 -0.035 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ncnn2C(C)(C)C)CCO1 ZINC001301902255 1073882258 /nfs/dbraw/zinc/88/22/58/1073882258.db2.gz YETNJBXXCBPTQN-GJZGRUSLSA-N 0 0 445.528 -0.035 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ncnn2C(C)(C)C)CCO1 ZINC001301902257 1073882469 /nfs/dbraw/zinc/88/24/69/1073882469.db2.gz YETNJBXXCBPTQN-HUUCEWRRSA-N 0 0 445.528 -0.035 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ncnn2C(C)(C)C)CCO1 ZINC001301902259 1073882374 /nfs/dbraw/zinc/88/23/74/1073882374.db2.gz YETNJBXXCBPTQN-LSDHHAIUSA-N 0 0 445.528 -0.035 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CCO1 ZINC001301902684 1073882860 /nfs/dbraw/zinc/88/28/60/1073882860.db2.gz ZTKOBHKIXWKFSS-BBWFWOEESA-N 0 0 436.513 -0.234 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CCO1 ZINC001301902685 1073882643 /nfs/dbraw/zinc/88/26/43/1073882643.db2.gz ZTKOBHKIXWKFSS-BRWVUGGUSA-N 0 0 436.513 -0.234 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CCO1 ZINC001301902688 1073882795 /nfs/dbraw/zinc/88/27/95/1073882795.db2.gz ZTKOBHKIXWKFSS-GVDBMIGSSA-N 0 0 436.513 -0.234 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CCO1 ZINC001301902690 1073882994 /nfs/dbraw/zinc/88/29/94/1073882994.db2.gz ZTKOBHKIXWKFSS-ZACQAIPSSA-N 0 0 436.513 -0.234 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnccn3)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001301902924 1073882913 /nfs/dbraw/zinc/88/29/13/1073882913.db2.gz YTFPJPFZIITEMK-UHFFFAOYSA-N 0 0 438.558 -0.169 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cccn3C)n2CC(=O)N2CCOCC2)CCO1 ZINC001301903235 1073882807 /nfs/dbraw/zinc/88/28/07/1073882807.db2.gz LEZABPMQWHTKIG-INIZCTEOSA-N 0 0 431.497 -0.516 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cccn3C)n2CC(=O)N2CCOCC2)CCO1 ZINC001301903243 1073882817 /nfs/dbraw/zinc/88/28/17/1073882817.db2.gz LEZABPMQWHTKIG-MRXNPFEDSA-N 0 0 431.497 -0.516 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cc3ccncc3)n2CC(=O)NCC(F)F)CCO1 ZINC001301904339 1073882848 /nfs/dbraw/zinc/88/28/48/1073882848.db2.gz WRZTZJHHFJMPHX-HNNXBMFYSA-N 0 0 437.451 -0.014 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cc3ccncc3)n2CC(=O)NCC(F)F)CCO1 ZINC001301904340 1073882896 /nfs/dbraw/zinc/88/28/96/1073882896.db2.gz WRZTZJHHFJMPHX-OAHLLOKOSA-N 0 0 437.451 -0.014 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001301904597 1073882836 /nfs/dbraw/zinc/88/28/36/1073882836.db2.gz SKGPMLHCMNRPCC-GDBMZVCRSA-N 0 0 437.526 -0.545 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001301904598 1073883048 /nfs/dbraw/zinc/88/30/48/1073883048.db2.gz SKGPMLHCMNRPCC-GOEBONIOSA-N 0 0 437.526 -0.545 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001301904599 1073883065 /nfs/dbraw/zinc/88/30/65/1073883065.db2.gz SKGPMLHCMNRPCC-HOCLYGCPSA-N 0 0 437.526 -0.545 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001301904600 1073882826 /nfs/dbraw/zinc/88/28/26/1073882826.db2.gz SKGPMLHCMNRPCC-ZBFHGGJFSA-N 0 0 437.526 -0.545 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(CCc2ccncc2)CC1 ZINC001301905504 1073882661 /nfs/dbraw/zinc/88/26/61/1073882661.db2.gz GJVUWYNEXHIWSG-UHFFFAOYSA-N 0 0 425.555 -0.398 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)c1cc2n(n1)CCN(C)S2(=O)=O)C(=O)OC(C)(C)C ZINC001301906029 1073882869 /nfs/dbraw/zinc/88/28/69/1073882869.db2.gz OLISIYGQVWKRHH-GFCCVEGCSA-N 0 0 431.515 -0.183 20 0 IBADRN CN(C[C@@H](O)CN(C)C(=O)c1cc2n(n1)CCN(C)S2(=O)=O)C(=O)OC(C)(C)C ZINC001301906030 1073882878 /nfs/dbraw/zinc/88/28/78/1073882878.db2.gz OLISIYGQVWKRHH-LBPRGKRZSA-N 0 0 431.515 -0.183 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCOC[C@H]2C)CC1=O ZINC001301906447 1073882939 /nfs/dbraw/zinc/88/29/39/1073882939.db2.gz CSLMUHQIVCOZFT-CVEARBPZSA-N 0 0 435.529 -0.755 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCOC[C@@H]2C)CC1=O ZINC001301906448 1073883007 /nfs/dbraw/zinc/88/30/07/1073883007.db2.gz CSLMUHQIVCOZFT-HOTGVXAUSA-N 0 0 435.529 -0.755 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCOC[C@H]2C)CC1=O ZINC001301906594 1073882704 /nfs/dbraw/zinc/88/27/04/1073882704.db2.gz CSLMUHQIVCOZFT-HZPDHXFCSA-N 0 0 435.529 -0.755 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCOC[C@@H]2C)CC1=O ZINC001301906596 1073882750 /nfs/dbraw/zinc/88/27/50/1073882750.db2.gz CSLMUHQIVCOZFT-JKSUJKDBSA-N 0 0 435.529 -0.755 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCOC[C@H]2OC)CC1=O ZINC001301907469 1073883584 /nfs/dbraw/zinc/88/35/84/1073883584.db2.gz NEHZBYRVABUVHZ-HRCADAONSA-N 0 0 436.513 -0.424 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCOC[C@@H]2OC)CC1=O ZINC001301907470 1073883235 /nfs/dbraw/zinc/88/32/35/1073883235.db2.gz NEHZBYRVABUVHZ-JYJNAYRXSA-N 0 0 436.513 -0.424 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCOC[C@H]2OC)CC1=O ZINC001301907471 1073883471 /nfs/dbraw/zinc/88/34/71/1073883471.db2.gz NEHZBYRVABUVHZ-OWCLPIDISA-N 0 0 436.513 -0.424 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCOC[C@@H]2OC)CC1=O ZINC001301907472 1073883279 /nfs/dbraw/zinc/88/32/79/1073883279.db2.gz NEHZBYRVABUVHZ-PMPSAXMXSA-N 0 0 436.513 -0.424 20 0 IBADRN CN(C[C@@H](O)CN(C)C(=O)[C@H](CO)NC(=O)c1cccc(F)n1)C(=O)OC(C)(C)C ZINC001301907567 1073883267 /nfs/dbraw/zinc/88/32/67/1073883267.db2.gz SJCCXMRZYSCAQN-JSGCOSHPSA-N 0 0 428.461 -0.002 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)[C@H](CO)NC(=O)c1cccc(F)n1)C(=O)OC(C)(C)C ZINC001301907568 1073883460 /nfs/dbraw/zinc/88/34/60/1073883460.db2.gz SJCCXMRZYSCAQN-OCCSQVGLSA-N 0 0 428.461 -0.002 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)C[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001301907629 1073883448 /nfs/dbraw/zinc/88/34/48/1073883448.db2.gz UOCIDKKQDQOJQK-CHWSQXEVSA-N 0 0 433.531 -0.227 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)C[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001301907630 1073883251 /nfs/dbraw/zinc/88/32/51/1073883251.db2.gz UOCIDKKQDQOJQK-OLZOCXBDSA-N 0 0 433.531 -0.227 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)C[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001301907731 1073883292 /nfs/dbraw/zinc/88/32/92/1073883292.db2.gz UOCIDKKQDQOJQK-QWHCGFSZSA-N 0 0 433.531 -0.227 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(C)C[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001301907732 1073883333 /nfs/dbraw/zinc/88/33/33/1073883333.db2.gz UOCIDKKQDQOJQK-STQMWFEESA-N 0 0 433.531 -0.227 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCCN(C(C)=O)C2)CC1=O ZINC001301908368 1073883543 /nfs/dbraw/zinc/88/35/43/1073883543.db2.gz ZWQSGBKEKUPZHS-DLBZAZTESA-N 0 0 447.540 -0.217 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCCN(C(C)=O)C2)CC1=O ZINC001301908369 1073883435 /nfs/dbraw/zinc/88/34/35/1073883435.db2.gz ZWQSGBKEKUPZHS-IAGOWNOFSA-N 0 0 447.540 -0.217 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCCN(C(C)=O)C2)CC1=O ZINC001301908370 1073883374 /nfs/dbraw/zinc/88/33/74/1073883374.db2.gz ZWQSGBKEKUPZHS-IRXDYDNUSA-N 0 0 447.540 -0.217 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCCN(C(C)=O)C2)CC1=O ZINC001301908371 1073883421 /nfs/dbraw/zinc/88/34/21/1073883421.db2.gz ZWQSGBKEKUPZHS-SJORKVTESA-N 0 0 447.540 -0.217 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CC1=O ZINC001301908552 1073883862 /nfs/dbraw/zinc/88/38/62/1073883862.db2.gz DKUNIJOKVRPMHR-INIZCTEOSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CC1=O ZINC001301908553 1073883765 /nfs/dbraw/zinc/88/37/65/1073883765.db2.gz DKUNIJOKVRPMHR-MRXNPFEDSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@@H]2CCOC2)CC1=O ZINC001301908583 1073883839 /nfs/dbraw/zinc/88/38/39/1073883839.db2.gz FRLKPWCOHWYQAM-CVEARBPZSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@H]2CCOC2)CC1=O ZINC001301908584 1073883889 /nfs/dbraw/zinc/88/38/89/1073883889.db2.gz FRLKPWCOHWYQAM-HOTGVXAUSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@@H]2CCOC2)CC1=O ZINC001301908585 1073883810 /nfs/dbraw/zinc/88/38/10/1073883810.db2.gz FRLKPWCOHWYQAM-HZPDHXFCSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@H]2CCOC2)CC1=O ZINC001301908586 1073883778 /nfs/dbraw/zinc/88/37/78/1073883778.db2.gz FRLKPWCOHWYQAM-JKSUJKDBSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2([S@](C)=O)CC2)CC1 ZINC001301908631 1073883801 /nfs/dbraw/zinc/88/38/01/1073883801.db2.gz GJXALHBFHGMXTK-HBIPHATFSA-N 0 0 445.611 -0.140 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2([S@](C)=O)CC2)CC1 ZINC001301908632 1073883787 /nfs/dbraw/zinc/88/37/87/1073883787.db2.gz GJXALHBFHGMXTK-JMGYQRAPSA-N 0 0 445.611 -0.140 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001301908633 1073883848 /nfs/dbraw/zinc/88/38/48/1073883848.db2.gz GJXALHBFHGMXTK-OHZJNBGDSA-N 0 0 445.611 -0.140 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001301908634 1073883820 /nfs/dbraw/zinc/88/38/20/1073883820.db2.gz GJXALHBFHGMXTK-WQIZZMQYSA-N 0 0 445.611 -0.140 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001301908747 1073883831 /nfs/dbraw/zinc/88/38/31/1073883831.db2.gz JLPOYBYGQWLIEZ-IXDOHACOSA-N 0 0 443.570 -0.512 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001301908748 1073883943 /nfs/dbraw/zinc/88/39/43/1073883943.db2.gz JLPOYBYGQWLIEZ-YESZJQIVSA-N 0 0 443.570 -0.512 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc1Br ZINC001301908869 1073883916 /nfs/dbraw/zinc/88/39/16/1073883916.db2.gz HLZASDMURCOQIJ-GHMZBOCLSA-N 0 0 441.325 -0.413 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(C)COC2)CC1=O ZINC001301908904 1073883956 /nfs/dbraw/zinc/88/39/56/1073883956.db2.gz KPMYYOUDTSAMEP-AWEZNQCLSA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(C)COC2)CC1=O ZINC001301908905 1073883905 /nfs/dbraw/zinc/88/39/05/1073883905.db2.gz KPMYYOUDTSAMEP-CQSZACIVSA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2CCOCC2)CC1=O ZINC001301908941 1073883755 /nfs/dbraw/zinc/88/37/55/1073883755.db2.gz LQTAFJRHKBZBGP-INIZCTEOSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2CCOCC2)CC1=O ZINC001301908942 1073883741 /nfs/dbraw/zinc/88/37/41/1073883741.db2.gz LQTAFJRHKBZBGP-MRXNPFEDSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c1 ZINC001301908993 1073883873 /nfs/dbraw/zinc/88/38/73/1073883873.db2.gz IZEHBFYUUBZZPO-CHWSQXEVSA-N 0 0 426.900 -0.848 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O)n2C ZINC001301909072 1073883792 /nfs/dbraw/zinc/88/37/92/1073883792.db2.gz KRKJJQUUMZTHCA-UKRRQHHQSA-N 0 0 444.535 -0.970 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001301909096 1073883928 /nfs/dbraw/zinc/88/39/28/1073883928.db2.gz NTFSXMKJUTYDNP-CVEARBPZSA-N 0 0 429.543 -0.636 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001301909098 1073884392 /nfs/dbraw/zinc/88/43/92/1073884392.db2.gz NTFSXMKJUTYDNP-HOTGVXAUSA-N 0 0 429.543 -0.636 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001301909099 1073884155 /nfs/dbraw/zinc/88/41/55/1073884155.db2.gz NTFSXMKJUTYDNP-HZPDHXFCSA-N 0 0 429.543 -0.636 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001301909100 1073884277 /nfs/dbraw/zinc/88/42/77/1073884277.db2.gz NTFSXMKJUTYDNP-JKSUJKDBSA-N 0 0 429.543 -0.636 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)(c2ccccc2)CC1 ZINC001301909105 1073884203 /nfs/dbraw/zinc/88/42/03/1073884203.db2.gz MBGQNSNKBDBKLC-HZPDHXFCSA-N 0 0 430.548 -0.356 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301909238 1073884186 /nfs/dbraw/zinc/88/41/86/1073884186.db2.gz OWVBMUCBNZVEIP-CRAIPNDOSA-N 0 0 429.543 -0.900 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301909239 1073884235 /nfs/dbraw/zinc/88/42/35/1073884235.db2.gz OWVBMUCBNZVEIP-MAUKXSAKSA-N 0 0 429.543 -0.900 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301909240 1073884264 /nfs/dbraw/zinc/88/42/64/1073884264.db2.gz OWVBMUCBNZVEIP-QAPCUYQASA-N 0 0 429.543 -0.900 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001301909241 1073884315 /nfs/dbraw/zinc/88/43/15/1073884315.db2.gz OWVBMUCBNZVEIP-YJBOKZPZSA-N 0 0 429.543 -0.900 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c1 ZINC001301909311 1073884123 /nfs/dbraw/zinc/88/41/23/1073884123.db2.gz RBDDBQYVENWRCQ-GHMZBOCLSA-N 0 0 427.298 -0.755 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCCC2)CC1=O ZINC001301910784 1073884171 /nfs/dbraw/zinc/88/41/71/1073884171.db2.gz WWNLZJSJQZNAMF-HNNXBMFYSA-N 0 0 441.554 -0.220 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCCC2)CC1=O ZINC001301910785 1073884404 /nfs/dbraw/zinc/88/44/04/1073884404.db2.gz WWNLZJSJQZNAMF-OAHLLOKOSA-N 0 0 441.554 -0.220 20 0 IBADRN COCCN1CCN(c2nnc(CC(C)(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001301911442 1073884373 /nfs/dbraw/zinc/88/43/73/1073884373.db2.gz YRYZTALDLXIYJL-IBGZPJMESA-N 0 0 443.570 -0.289 20 0 IBADRN COCCN1CCN(c2nnc(CC(C)(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001301911465 1073884361 /nfs/dbraw/zinc/88/43/61/1073884361.db2.gz YRYZTALDLXIYJL-LJQANCHMSA-N 0 0 443.570 -0.289 20 0 IBADRN CC(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001301911489 1073884288 /nfs/dbraw/zinc/88/42/88/1073884288.db2.gz KMAIVRIZABAMML-GOSISDBHSA-N 0 0 426.543 -0.043 20 0 IBADRN CC(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001301911496 1073884345 /nfs/dbraw/zinc/88/43/45/1073884345.db2.gz KMAIVRIZABAMML-SFHVURJKSA-N 0 0 426.543 -0.043 20 0 IBADRN CC(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001301911768 1073884421 /nfs/dbraw/zinc/88/44/21/1073884421.db2.gz LZJRVMZBHUNESF-UHFFFAOYSA-N 0 0 441.558 -0.488 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@H]2COCCO2)CCO1 ZINC001301911815 1073884250 /nfs/dbraw/zinc/88/42/50/1073884250.db2.gz KEMMTPRBUKEBPL-MSOLQXFVSA-N 0 0 433.513 -0.104 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@H]2COCCO2)CCO1 ZINC001301911820 1073884217 /nfs/dbraw/zinc/88/42/17/1073884217.db2.gz KEMMTPRBUKEBPL-ROUUACIJSA-N 0 0 433.513 -0.104 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cc3ccsc3)n2CCNS(C)(=O)=O)CCO1 ZINC001301912140 1073884303 /nfs/dbraw/zinc/88/43/03/1073884303.db2.gz KTDHDMPAOSGBNB-HNNXBMFYSA-N 0 0 442.567 -0.179 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cc3ccsc3)n2CCNS(C)(=O)=O)CCO1 ZINC001301912141 1073884329 /nfs/dbraw/zinc/88/43/29/1073884329.db2.gz KTDHDMPAOSGBNB-OAHLLOKOSA-N 0 0 442.567 -0.179 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001301912488 1073884700 /nfs/dbraw/zinc/88/47/00/1073884700.db2.gz DTROJSKJHNEBPR-PXAZEXFGSA-N 0 0 443.530 -0.555 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001301912490 1073884683 /nfs/dbraw/zinc/88/46/83/1073884683.db2.gz DTROJSKJHNEBPR-SJCJKPOMSA-N 0 0 443.530 -0.555 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001301912491 1073884736 /nfs/dbraw/zinc/88/47/36/1073884736.db2.gz DTROJSKJHNEBPR-SJKOYZFVSA-N 0 0 443.530 -0.555 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001301912492 1073884712 /nfs/dbraw/zinc/88/47/12/1073884712.db2.gz DTROJSKJHNEBPR-YVEFUNNKSA-N 0 0 443.530 -0.555 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001301912921 1073884690 /nfs/dbraw/zinc/88/46/90/1073884690.db2.gz HGZUAXLLGBBGTM-FPMFFAJLSA-N 0 0 427.531 -0.871 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001301912922 1073884782 /nfs/dbraw/zinc/88/47/82/1073884782.db2.gz HGZUAXLLGBBGTM-IACUBPJLSA-N 0 0 427.531 -0.871 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001301912923 1073884655 /nfs/dbraw/zinc/88/46/55/1073884655.db2.gz HGZUAXLLGBBGTM-UBHSHLNASA-N 0 0 427.531 -0.871 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001301912924 1073884841 /nfs/dbraw/zinc/88/48/41/1073884841.db2.gz HGZUAXLLGBBGTM-YUTCNCBUSA-N 0 0 427.531 -0.871 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC2(C(N)=O)CCCC2)CCO1 ZINC001301913288 1073884808 /nfs/dbraw/zinc/88/48/08/1073884808.db2.gz QJNCGOPARCWDED-INIZCTEOSA-N 0 0 447.540 -0.213 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC2(C(N)=O)CCCC2)CCO1 ZINC001301913290 1073884721 /nfs/dbraw/zinc/88/47/21/1073884721.db2.gz QJNCGOPARCWDED-MRXNPFEDSA-N 0 0 447.540 -0.213 20 0 IBADRN CC(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001301913435 1073884793 /nfs/dbraw/zinc/88/47/93/1073884793.db2.gz VWIBZIVZELRNNE-UHFFFAOYSA-N 0 0 429.547 -0.586 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@H]2C)CCO1 ZINC001301913750 1073884746 /nfs/dbraw/zinc/88/47/46/1073884746.db2.gz SELBTMBRTSEROB-AEFFLSMTSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001301913753 1073884662 /nfs/dbraw/zinc/88/46/62/1073884662.db2.gz SELBTMBRTSEROB-FUHWJXTLSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@H]2C)CCO1 ZINC001301913754 1073884860 /nfs/dbraw/zinc/88/48/60/1073884860.db2.gz SELBTMBRTSEROB-SJLPKXTDSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001301913755 1073884917 /nfs/dbraw/zinc/88/49/17/1073884917.db2.gz SELBTMBRTSEROB-WMZOPIPTSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001301914213 1073884824 /nfs/dbraw/zinc/88/48/24/1073884824.db2.gz TYHPUKSETYXGJF-DYESRHJHSA-N 0 0 444.540 -0.041 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001301914214 1073884987 /nfs/dbraw/zinc/88/49/87/1073884987.db2.gz TYHPUKSETYXGJF-LAUBAEHRSA-N 0 0 444.540 -0.041 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001301914215 1073884973 /nfs/dbraw/zinc/88/49/73/1073884973.db2.gz TYHPUKSETYXGJF-UTKZUKDTSA-N 0 0 444.540 -0.041 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001301914216 1073884644 /nfs/dbraw/zinc/88/46/44/1073884644.db2.gz TYHPUKSETYXGJF-UWJYYQICSA-N 0 0 444.540 -0.041 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@H]2NC(=O)CC2(C)C)CCO1 ZINC001301914692 1073884959 /nfs/dbraw/zinc/88/49/59/1073884959.db2.gz VAAODYJPDKFCFO-CVEARBPZSA-N 0 0 447.540 -0.344 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@H]2NC(=O)CC2(C)C)CCO1 ZINC001301914700 1073885496 /nfs/dbraw/zinc/88/54/96/1073885496.db2.gz VAAODYJPDKFCFO-HOTGVXAUSA-N 0 0 447.540 -0.344 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@H]2NC(=O)CC2(C)C)CCO1 ZINC001301914702 1073885429 /nfs/dbraw/zinc/88/54/29/1073885429.db2.gz VAAODYJPDKFCFO-HZPDHXFCSA-N 0 0 447.540 -0.344 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@H]2NC(=O)CC2(C)C)CCO1 ZINC001301914704 1073885358 /nfs/dbraw/zinc/88/53/58/1073885358.db2.gz VAAODYJPDKFCFO-JKSUJKDBSA-N 0 0 447.540 -0.344 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC3CC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001301914705 1073885241 /nfs/dbraw/zinc/88/52/41/1073885241.db2.gz VCUOVXXDRAYOPB-INIZCTEOSA-N 0 0 441.554 -0.488 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC3CC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001301914706 1073885251 /nfs/dbraw/zinc/88/52/51/1073885251.db2.gz VCUOVXXDRAYOPB-MRXNPFEDSA-N 0 0 441.554 -0.488 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCc2cnn(C)n2)CCO1 ZINC001301914974 1073885342 /nfs/dbraw/zinc/88/53/42/1073885342.db2.gz WMSTVYOGPTYMIJ-KRWDZBQOSA-N 0 0 445.528 -0.497 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCc2cnn(C)n2)CCO1 ZINC001301914985 1073885336 /nfs/dbraw/zinc/88/53/36/1073885336.db2.gz WMSTVYOGPTYMIJ-QGZVFWFLSA-N 0 0 445.528 -0.497 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cc3cn4ccccc4n3)n2CC(=O)N(C)C)CCO1 ZINC001301914988 1073885458 /nfs/dbraw/zinc/88/54/58/1073885458.db2.gz WNGKCDLSKWPAFV-KRWDZBQOSA-N 0 0 440.508 -0.054 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cc3cn4ccccc4n3)n2CC(=O)N(C)C)CCO1 ZINC001301914995 1073885308 /nfs/dbraw/zinc/88/53/08/1073885308.db2.gz WNGKCDLSKWPAFV-QGZVFWFLSA-N 0 0 440.508 -0.054 20 0 IBADRN COCCN1CCN(c2nnc(Cc3ccncc3)n2CC(=O)N2CCOCC2)CC1 ZINC001301918975 1073885396 /nfs/dbraw/zinc/88/53/96/1073885396.db2.gz MBFTUVFZDLANRZ-UHFFFAOYSA-N 0 0 429.525 -0.109 20 0 IBADRN CC(=O)NCCCn1c(-c2ccccc2F)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001301920608 1073885443 /nfs/dbraw/zinc/88/54/43/1073885443.db2.gz MWEKNTIIRXYBQV-AWEZNQCLSA-N 0 0 429.456 -0.243 20 0 IBADRN CC(=O)NCCCn1c(-c2ccccc2F)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001301920617 1073885487 /nfs/dbraw/zinc/88/54/87/1073885487.db2.gz MWEKNTIIRXYBQV-CQSZACIVSA-N 0 0 429.456 -0.243 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1)c1cccc(F)n1 ZINC001301921934 1073885274 /nfs/dbraw/zinc/88/52/74/1073885274.db2.gz FSCFJIAABOHDCR-HIFRSBDPSA-N 0 0 428.486 -0.967 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1)c1cccc(F)n1 ZINC001301921972 1073885264 /nfs/dbraw/zinc/88/52/64/1073885264.db2.gz FSCFJIAABOHDCR-ZFWWWQNUSA-N 0 0 428.486 -0.967 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCN(C[C@H]3CCCS3(=O)=O)CC1)CS(=O)(=O)CC2 ZINC001301922211 1073885473 /nfs/dbraw/zinc/88/54/73/1073885473.db2.gz IJTLHCUQOVUVCQ-CYBMUJFWSA-N 0 0 430.552 -0.774 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCN(C[C@@H]3CCCS3(=O)=O)CC1)CS(=O)(=O)CC2 ZINC001301922213 1073885375 /nfs/dbraw/zinc/88/53/75/1073885375.db2.gz IJTLHCUQOVUVCQ-ZDUSSCGKSA-N 0 0 430.552 -0.774 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001301922270 1073885385 /nfs/dbraw/zinc/88/53/85/1073885385.db2.gz IUEMDKISOGRXCT-AWEZNQCLSA-N 0 0 428.536 -0.795 20 0 IBADRN O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001301922276 1073885324 /nfs/dbraw/zinc/88/53/24/1073885324.db2.gz IUEMDKISOGRXCT-CQSZACIVSA-N 0 0 428.536 -0.795 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001301922278 1073885286 /nfs/dbraw/zinc/88/52/86/1073885286.db2.gz IUHNCAZVGZOYCX-HNNXBMFYSA-N 0 0 426.539 -0.038 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCC2)C1=O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001301922280 1073885299 /nfs/dbraw/zinc/88/52/99/1073885299.db2.gz IUHNCAZVGZOYCX-OAHLLOKOSA-N 0 0 426.539 -0.038 20 0 IBADRN CC(=O)NCCCn1c(-c2cccn2C)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001301923303 1073885914 /nfs/dbraw/zinc/88/59/14/1073885914.db2.gz CIAYVWXMVBILCP-UHFFFAOYSA-N 0 0 444.540 -0.017 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)c(F)c1 ZINC001301923369 1073885981 /nfs/dbraw/zinc/88/59/81/1073885981.db2.gz LVIMZYXWUZXSSN-AWEZNQCLSA-N 0 0 448.542 -0.394 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)c(F)c1 ZINC001301923370 1073885753 /nfs/dbraw/zinc/88/57/53/1073885753.db2.gz LVIMZYXWUZXSSN-CQSZACIVSA-N 0 0 448.542 -0.394 20 0 IBADRN COCCN1CCN(c2nnc(CC3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001301923606 1073885995 /nfs/dbraw/zinc/88/59/95/1073885995.db2.gz QSKMQWRMUVWCLA-GOSISDBHSA-N 0 0 427.527 -0.925 20 0 IBADRN COCCN1CCN(c2nnc(CC3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001301923611 1073885685 /nfs/dbraw/zinc/88/56/85/1073885685.db2.gz QSKMQWRMUVWCLA-SFHVURJKSA-N 0 0 427.527 -0.925 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001301924402 1073885742 /nfs/dbraw/zinc/88/57/42/1073885742.db2.gz SXBBMUPBFUGIJC-KRWDZBQOSA-N 0 0 446.556 -0.500 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001301924407 1073885718 /nfs/dbraw/zinc/88/57/18/1073885718.db2.gz SXBBMUPBFUGIJC-QGZVFWFLSA-N 0 0 446.556 -0.500 20 0 IBADRN CC(=O)NCCCn1c(-c2cnccn2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001301925396 1073885703 /nfs/dbraw/zinc/88/57/03/1073885703.db2.gz OCOWKWPKBBDVHN-UHFFFAOYSA-N 0 0 427.469 -0.218 20 0 IBADRN CC(=O)NCCCn1c(-c2cnccn2)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001301925794 1073885802 /nfs/dbraw/zinc/88/58/02/1073885802.db2.gz UMESBCGRSLSRHX-UHFFFAOYSA-N 0 0 429.529 -0.092 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)CC1 ZINC001301926523 1073885825 /nfs/dbraw/zinc/88/58/25/1073885825.db2.gz GSRAZKXECLSBOU-UHFFFAOYSA-N 0 0 435.529 -0.972 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001301926590 1073885786 /nfs/dbraw/zinc/88/57/86/1073885786.db2.gz ZMPSADFNZPUGHY-AWEZNQCLSA-N 0 0 433.527 -0.175 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1F)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001301926591 1073886030 /nfs/dbraw/zinc/88/60/30/1073886030.db2.gz ZMPSADFNZPUGHY-CQSZACIVSA-N 0 0 433.527 -0.175 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCO[C@@H]2C)CC1=O ZINC001301926664 1073885851 /nfs/dbraw/zinc/88/58/51/1073885851.db2.gz HVXIMPXMMBHDMH-FOIQADDNSA-N 0 0 436.513 -0.765 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCO[C@H]2C)CC1=O ZINC001301926665 1073885903 /nfs/dbraw/zinc/88/59/03/1073885903.db2.gz HVXIMPXMMBHDMH-MGPUTAFESA-N 0 0 436.513 -0.765 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCO[C@@H]2C)CC1=O ZINC001301926666 1073885970 /nfs/dbraw/zinc/88/59/70/1073885970.db2.gz HVXIMPXMMBHDMH-QRWLVFNGSA-N 0 0 436.513 -0.765 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCO[C@H]2C)CC1=O ZINC001301926667 1073885770 /nfs/dbraw/zinc/88/57/70/1073885770.db2.gz HVXIMPXMMBHDMH-YWZLYKJASA-N 0 0 436.513 -0.765 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCCN2CCOCC2)CC1 ZINC001301926713 1073885932 /nfs/dbraw/zinc/88/59/32/1073885932.db2.gz JMQHESXSBNYOLL-UHFFFAOYSA-N 0 0 435.573 -0.109 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CC2([S@](C)=O)CC2)CC1=O ZINC001301926727 1073885869 /nfs/dbraw/zinc/88/58/69/1073885869.db2.gz KFHLEUBYGWLDDL-PMERELPUSA-N 0 0 438.554 -0.393 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CC2([S@@](C)=O)CC2)CC1=O ZINC001301926728 1073885883 /nfs/dbraw/zinc/88/58/83/1073885883.db2.gz KFHLEUBYGWLDDL-SSEXGKCCSA-N 0 0 438.554 -0.393 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(OC)CCOC2)CC1=O ZINC001301926906 1073886388 /nfs/dbraw/zinc/88/63/88/1073886388.db2.gz PHZDELMAQJHHDU-FQEVSTJZSA-N 0 0 436.513 -0.499 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(OC)CCOC2)CC1=O ZINC001301926907 1073886425 /nfs/dbraw/zinc/88/64/25/1073886425.db2.gz PHZDELMAQJHHDU-HXUWFJFHSA-N 0 0 436.513 -0.499 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@H]2C)CC1 ZINC001301927026 1073886498 /nfs/dbraw/zinc/88/64/98/1073886498.db2.gz SJICVKZENGMUSF-GOSISDBHSA-N 0 0 435.573 -0.110 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@@H]2C)CC1 ZINC001301927027 1073886547 /nfs/dbraw/zinc/88/65/47/1073886547.db2.gz SJICVKZENGMUSF-SFHVURJKSA-N 0 0 435.573 -0.110 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCSC2)CC1=O ZINC001301927072 1073886405 /nfs/dbraw/zinc/88/64/05/1073886405.db2.gz USOOQNNFMRYLFU-IBGZPJMESA-N 0 0 438.554 -0.437 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCSC2)CC1=O ZINC001301927073 1073886632 /nfs/dbraw/zinc/88/66/32/1073886632.db2.gz USOOQNNFMRYLFU-LJQANCHMSA-N 0 0 438.554 -0.437 20 0 IBADRN COCCN1CCN(c2nnc(Cn3nc(C)cc3C)n2CCCNC(C)=O)CC1=O ZINC001301927075 1073886443 /nfs/dbraw/zinc/88/64/43/1073886443.db2.gz UUEUDAIMYWGOLA-UHFFFAOYSA-N 0 0 432.529 -0.039 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCCc2cnn(C)n2)CC1=O ZINC001301927090 1073886273 /nfs/dbraw/zinc/88/62/73/1073886273.db2.gz VIUGFYAMLZLSQV-UHFFFAOYSA-N 0 0 445.528 -0.543 20 0 IBADRN COCCN1CCN(c2nnc(Cn3nc(C)cc3C)n2CC2(O)CCOCC2)CC1=O ZINC001301927094 1073886243 /nfs/dbraw/zinc/88/62/43/1073886243.db2.gz VLJGNTUFDLAJHO-UHFFFAOYSA-N 0 0 447.540 -0.024 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)N(C)C)CC1 ZINC001301927120 1073886352 /nfs/dbraw/zinc/88/63/52/1073886352.db2.gz WLQODAGJIHYYTI-UHFFFAOYSA-N 0 0 443.574 -0.940 20 0 IBADRN COCCN1CCN(c2nnc(Cn3nc(C)cc3C)n2CC(=O)N2CCOCC2)CC1 ZINC001301927226 1073886318 /nfs/dbraw/zinc/88/63/18/1073886318.db2.gz ZPSXDPJYBYESIC-UHFFFAOYSA-N 0 0 446.556 -0.233 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C)C3CS(=O)(=O)C3)c2)CC1 ZINC001301927909 1073886615 /nfs/dbraw/zinc/88/66/15/1073886615.db2.gz DHQWKDFETZLBNN-UHFFFAOYSA-N 0 0 429.520 -0.592 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2CCS(C)(=O)=O)CC1 ZINC001301928500 1073886218 /nfs/dbraw/zinc/88/62/18/1073886218.db2.gz BXUDKMIKWOUSGO-HOTGVXAUSA-N 0 0 431.559 -0.185 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)NC(C)C)CC1=O ZINC001301929483 1073886475 /nfs/dbraw/zinc/88/64/75/1073886475.db2.gz GXHSQVGTBIZFCT-OAHLLOKOSA-N 0 0 444.558 -0.248 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)C1CS(=O)(=O)C1 ZINC001301929887 1073886606 /nfs/dbraw/zinc/88/66/06/1073886606.db2.gz PUWLZGUQMCELBR-UHFFFAOYSA-N 0 0 443.547 -0.445 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(c2nnc(C(=O)NC(C)C)n2Cc2ncn(C)n2)C1 ZINC001301930952 1073886458 /nfs/dbraw/zinc/88/64/58/1073886458.db2.gz NFKTXWWRVVEIIP-CABCVRRESA-N 0 0 447.544 -0.039 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(c2nnc(C(=O)NC(C)C)n2Cc2ncn(C)n2)C1 ZINC001301930953 1073886286 /nfs/dbraw/zinc/88/62/86/1073886286.db2.gz NFKTXWWRVVEIIP-GJZGRUSLSA-N 0 0 447.544 -0.039 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(c2nnc(C(=O)NC(C)C)n2Cc2ncn(C)n2)C1 ZINC001301930954 1073886592 /nfs/dbraw/zinc/88/65/92/1073886592.db2.gz NFKTXWWRVVEIIP-HUUCEWRRSA-N 0 0 447.544 -0.039 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(c2nnc(C(=O)NC(C)C)n2Cc2ncn(C)n2)C1 ZINC001301930955 1073886303 /nfs/dbraw/zinc/88/63/03/1073886303.db2.gz NFKTXWWRVVEIIP-LSDHHAIUSA-N 0 0 447.544 -0.039 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCCO3)n2CCN2CCN(C(C)=O)CC2)CC1=O ZINC001301932639 1073886882 /nfs/dbraw/zinc/88/68/82/1073886882.db2.gz ZWJYJELKLSAMTN-GOSISDBHSA-N 0 0 449.556 -0.411 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(c2nnc([C@H]3CCC(=O)N3)n2Cc2ncn(C)n2)C1 ZINC001301944798 1073887442 /nfs/dbraw/zinc/88/74/42/1073887442.db2.gz QKDPMKCVHQJZMZ-RBSFLKMASA-N 0 0 445.528 -0.227 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(c2nnc([C@H]3CCC(=O)N3)n2Cc2ncn(C)n2)C1 ZINC001301944799 1073887327 /nfs/dbraw/zinc/88/73/27/1073887327.db2.gz QKDPMKCVHQJZMZ-RRFJBIMHSA-N 0 0 445.528 -0.227 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ncn(C)n2)C1 ZINC001301944800 1073887451 /nfs/dbraw/zinc/88/74/51/1073887451.db2.gz QKDPMKCVHQJZMZ-ZNMIVQPWSA-N 0 0 445.528 -0.227 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2COCCO2)CC1 ZINC001301944900 1073887209 /nfs/dbraw/zinc/88/72/09/1073887209.db2.gz RHQGVSRBKHKXDA-MRXNPFEDSA-N 0 0 438.529 -0.189 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNC(=O)C(C)C)CC1 ZINC001301949890 1073887432 /nfs/dbraw/zinc/88/74/32/1073887432.db2.gz BYMQSPCNPJBEIR-INIZCTEOSA-N 0 0 449.556 -0.020 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNC(=O)C(C)C)CC1 ZINC001301949891 1073887259 /nfs/dbraw/zinc/88/72/59/1073887259.db2.gz BYMQSPCNPJBEIR-MRXNPFEDSA-N 0 0 449.556 -0.020 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C)n2Cc2ccc3c(c2)C(=O)NCC3)CC1 ZINC001301953176 1073887311 /nfs/dbraw/zinc/88/73/11/1073887311.db2.gz AYGUMKPJLOSKTA-UHFFFAOYSA-N 0 0 441.536 -0.195 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc(CN3CCCC3=O)n2Cc2cnnn2C)CC1 ZINC001301953257 1073887230 /nfs/dbraw/zinc/88/72/30/1073887230.db2.gz BPBSKGGHPDQBDU-UHFFFAOYSA-N 0 0 445.528 -0.444 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H](C)OC)CC1 ZINC001301954332 1073887200 /nfs/dbraw/zinc/88/72/00/1073887200.db2.gz LETYVSDNOKKSLR-INIZCTEOSA-N 0 0 437.545 -0.566 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H](C)OC)CC1 ZINC001301954334 1073887341 /nfs/dbraw/zinc/88/73/41/1073887341.db2.gz LETYVSDNOKKSLR-MRXNPFEDSA-N 0 0 437.545 -0.566 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2ccn(C)n2)CC1 ZINC001301954864 1073887243 /nfs/dbraw/zinc/88/72/43/1073887243.db2.gz PGRUUMVKGXPHRS-UHFFFAOYSA-N 0 0 447.544 -0.917 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N(C)C)CC1 ZINC001301955268 1073887353 /nfs/dbraw/zinc/88/73/53/1073887353.db2.gz VRGCERCBUMITKS-UHFFFAOYSA-N 0 0 435.529 -0.532 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CC2(O)CCC2)CC1 ZINC001301955505 1073887417 /nfs/dbraw/zinc/88/74/17/1073887417.db2.gz XYBBJQKFRKLQHV-UHFFFAOYSA-N 0 0 437.545 -0.784 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CC(F)(F)F)CC1 ZINC001301955567 1073887383 /nfs/dbraw/zinc/88/73/83/1073887383.db2.gz ZKRDRRHGTCJDNP-UHFFFAOYSA-N 0 0 435.451 -0.137 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)C1 ZINC001301957302 1073887179 /nfs/dbraw/zinc/88/71/79/1073887179.db2.gz BZHOMLYGBMKXJC-BZPMIXESSA-N 0 0 439.581 -0.436 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)C1 ZINC001301957303 1073887404 /nfs/dbraw/zinc/88/74/04/1073887404.db2.gz BZHOMLYGBMKXJC-OUCADQQQSA-N 0 0 439.581 -0.436 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)C1 ZINC001301957304 1073887276 /nfs/dbraw/zinc/88/72/76/1073887276.db2.gz BZHOMLYGBMKXJC-SCRDCRAPSA-N 0 0 439.581 -0.436 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)C1 ZINC001301957305 1073887371 /nfs/dbraw/zinc/88/73/71/1073887371.db2.gz BZHOMLYGBMKXJC-YRGRVCCFSA-N 0 0 439.581 -0.436 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1 ZINC001301958152 1073887299 /nfs/dbraw/zinc/88/72/99/1073887299.db2.gz RFJSILDCOYHAMD-AWEZNQCLSA-N 0 0 445.563 -0.050 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)C1 ZINC001301958153 1073887287 /nfs/dbraw/zinc/88/72/87/1073887287.db2.gz RFJSILDCOYHAMD-CQSZACIVSA-N 0 0 445.563 -0.050 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3ccccn3)n2C[C@@H]2COCCO2)CC1 ZINC001301959924 1073887751 /nfs/dbraw/zinc/88/77/51/1073887751.db2.gz BWVJKFCUQCGNDR-QGZVFWFLSA-N 0 0 445.524 -0.360 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CC2CCOCC2)CC1 ZINC001301960338 1073887811 /nfs/dbraw/zinc/88/78/11/1073887811.db2.gz FTIBAOISRYVWPA-UHFFFAOYSA-N 0 0 446.556 -0.010 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@](C)(O)C2CC2)CC1 ZINC001301960683 1073887818 /nfs/dbraw/zinc/88/78/18/1073887818.db2.gz JIYJIWOVRQBSJT-NRFANRHFSA-N 0 0 446.556 -0.276 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@](C)(O)C2CC2)CC1 ZINC001301960684 1073887776 /nfs/dbraw/zinc/88/77/76/1073887776.db2.gz JIYJIWOVRQBSJT-OAQYLSRUSA-N 0 0 446.556 -0.276 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C)n2CCn2cc([C@@H]3CCOC3)cn2)CC1 ZINC001301961033 1073887879 /nfs/dbraw/zinc/88/78/79/1073887879.db2.gz MRHZRKGWLXMLMG-GOSISDBHSA-N 0 0 446.556 -0.128 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C)n2CCn2cc([C@H]3CCOC3)cn2)CC1 ZINC001301961034 1073887768 /nfs/dbraw/zinc/88/77/68/1073887768.db2.gz MRHZRKGWLXMLMG-SFHVURJKSA-N 0 0 446.556 -0.128 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2CC(=O)NC(C)C)CC1 ZINC001301961150 1073887759 /nfs/dbraw/zinc/88/77/59/1073887759.db2.gz OPJNKWQTQWVENJ-UHFFFAOYSA-N 0 0 432.529 -0.318 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@]2(C)C[C@@H](O)CN2C(=O)OCc2ccccc2)C1 ZINC001301961181 1073887888 /nfs/dbraw/zinc/88/78/88/1073887888.db2.gz BXITYSWXSIZIJZ-APWZRJJASA-N 0 0 440.522 -0.245 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@]2(C)C[C@H](O)CN2C(=O)OCc2ccccc2)C1 ZINC001301961182 1073887852 /nfs/dbraw/zinc/88/78/52/1073887852.db2.gz BXITYSWXSIZIJZ-LPHOPBHVSA-N 0 0 440.522 -0.245 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@]2(C)C[C@H](O)CN2C(=O)OCc2ccccc2)C1 ZINC001301961184 1073887830 /nfs/dbraw/zinc/88/78/30/1073887830.db2.gz BXITYSWXSIZIJZ-QFBILLFUSA-N 0 0 440.522 -0.245 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@]2(C)C[C@@H](O)CN2C(=O)OCc2ccccc2)C1 ZINC001301961186 1073887871 /nfs/dbraw/zinc/88/78/71/1073887871.db2.gz BXITYSWXSIZIJZ-VQIMIIECSA-N 0 0 440.522 -0.245 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cccn3C)n2C[C@@H]2COCCO2)CC1 ZINC001301961392 1073887826 /nfs/dbraw/zinc/88/78/26/1073887826.db2.gz RILIDOCZAHKNCI-QGZVFWFLSA-N 0 0 447.540 -0.416 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2Cc2ccn(C)n2)CC1 ZINC001301961741 1073887743 /nfs/dbraw/zinc/88/77/43/1073887743.db2.gz UOCXEFVSBRRHCG-UHFFFAOYSA-N 0 0 427.513 -0.060 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C3CC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001301961757 1073887727 /nfs/dbraw/zinc/88/77/27/1073887727.db2.gz VEGKPDIPJZALID-HNNXBMFYSA-N 0 0 440.570 -0.525 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C3CC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001301961759 1073887845 /nfs/dbraw/zinc/88/78/45/1073887845.db2.gz VEGKPDIPJZALID-OAHLLOKOSA-N 0 0 440.570 -0.525 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)c1 ZINC001301961915 1073887737 /nfs/dbraw/zinc/88/77/37/1073887737.db2.gz IFXQVEWAGZQHEX-UHFFFAOYSA-N 0 0 434.540 -0.860 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2ccc(S(=O)(=O)NCc3ccco3)cc2)C1 ZINC001301961929 1073888161 /nfs/dbraw/zinc/88/81/61/1073888161.db2.gz INHCUCAPMKMPFU-UHFFFAOYSA-N 0 0 442.519 -0.022 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2COCCO2)CC1 ZINC001301961985 1073888338 /nfs/dbraw/zinc/88/83/38/1073888338.db2.gz DNFWCVRANLXGKX-DLBZAZTESA-N 0 0 438.529 -0.918 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2COCCO2)CC1 ZINC001301961986 1073888348 /nfs/dbraw/zinc/88/83/48/1073888348.db2.gz DNFWCVRANLXGKX-IAGOWNOFSA-N 0 0 438.529 -0.918 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C3CC3)n2C[C@H](C)N2CCOCC2)CC1 ZINC001301961991 1073887785 /nfs/dbraw/zinc/88/77/85/1073887785.db2.gz WPEQFMHYWZCEKX-KRWDZBQOSA-N 0 0 435.573 -0.239 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C3CC3)n2C[C@@H](C)N2CCOCC2)CC1 ZINC001301961993 1073887862 /nfs/dbraw/zinc/88/78/62/1073887862.db2.gz WPEQFMHYWZCEKX-QGZVFWFLSA-N 0 0 435.573 -0.239 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCOC2)CC1 ZINC001301961999 1073888288 /nfs/dbraw/zinc/88/82/88/1073888288.db2.gz WPOSMASFMMPOJX-CVEARBPZSA-N 0 0 435.529 -0.850 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCOC2)CC1 ZINC001301962001 1073888386 /nfs/dbraw/zinc/88/83/86/1073888386.db2.gz WPOSMASFMMPOJX-HOTGVXAUSA-N 0 0 435.529 -0.850 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCOC2)CC1 ZINC001301962004 1073888423 /nfs/dbraw/zinc/88/84/23/1073888423.db2.gz WPOSMASFMMPOJX-HZPDHXFCSA-N 0 0 435.529 -0.850 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCOC2)CC1 ZINC001301962007 1073888358 /nfs/dbraw/zinc/88/83/58/1073888358.db2.gz WPOSMASFMMPOJX-JKSUJKDBSA-N 0 0 435.529 -0.850 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cnnn2C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001301962083 1073888180 /nfs/dbraw/zinc/88/81/80/1073888180.db2.gz KILGQPUNERBORD-UHFFFAOYSA-N 0 0 429.503 -0.710 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)C1 ZINC001301962175 1073888373 /nfs/dbraw/zinc/88/83/73/1073888373.db2.gz KWEMNZMKPSOFCM-UHFFFAOYSA-N 0 0 426.467 -0.760 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CC2(O)CCC2)CC1 ZINC001301962235 1073888257 /nfs/dbraw/zinc/88/82/57/1073888257.db2.gz YFGNDHZSRNELDP-UHFFFAOYSA-N 0 0 430.513 -0.465 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCCO2)CC1 ZINC001301962244 1073888141 /nfs/dbraw/zinc/88/81/41/1073888141.db2.gz YHPHPDJUCUMIIM-CVEARBPZSA-N 0 0 435.529 -0.707 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCCO2)CC1 ZINC001301962246 1073888413 /nfs/dbraw/zinc/88/84/13/1073888413.db2.gz YHPHPDJUCUMIIM-HZPDHXFCSA-N 0 0 435.529 -0.707 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)cc(C3CC3)nc21 ZINC001301962270 1073888170 /nfs/dbraw/zinc/88/81/70/1073888170.db2.gz MBPNXTJWHRLKDN-UHFFFAOYSA-N 0 0 436.494 -0.385 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC2(O)CCC2)CC1 ZINC001301962383 1073888271 /nfs/dbraw/zinc/88/82/71/1073888271.db2.gz ZSUBEICPNOXITQ-INIZCTEOSA-N 0 0 449.556 -0.581 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC2(O)CCC2)CC1 ZINC001301962391 1073888405 /nfs/dbraw/zinc/88/84/05/1073888405.db2.gz ZSUBEICPNOXITQ-MRXNPFEDSA-N 0 0 449.556 -0.581 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(Cc3ccccn3)n2CC(C)(C)O)CC1 ZINC001301962436 1073888393 /nfs/dbraw/zinc/88/83/93/1073888393.db2.gz HVVAHZKQDQNLFQ-UHFFFAOYSA-N 0 0 431.541 -0.081 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(Cc3ccccn3)n2CC2(O)CC2)CC1 ZINC001301963124 1073888325 /nfs/dbraw/zinc/88/83/25/1073888325.db2.gz ODTDAPLOWPXTBP-UHFFFAOYSA-N 0 0 429.525 -0.327 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C3CCCC3)n2CCS(C)(=O)=O)CC1 ZINC001301963179 1073888306 /nfs/dbraw/zinc/88/83/06/1073888306.db2.gz OROYBDCVHHBWIW-UHFFFAOYSA-N 0 0 442.586 -0.135 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@H](Cc2c[nH]cn2)NC(=O)C2CCCCC2)C1 ZINC001301963268 1073888207 /nfs/dbraw/zinc/88/82/07/1073888207.db2.gz ZGHNLAHQWJJTPS-INIZCTEOSA-N 0 0 426.543 -0.376 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CCOC2)CC1 ZINC001301963323 1073888191 /nfs/dbraw/zinc/88/81/91/1073888191.db2.gz PCEQYYMLHLGYMW-KRWDZBQOSA-N 0 0 449.556 -0.678 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCOC2)CC1 ZINC001301963332 1073888778 /nfs/dbraw/zinc/88/87/78/1073888778.db2.gz PCEQYYMLHLGYMW-QGZVFWFLSA-N 0 0 449.556 -0.678 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001301963403 1073888736 /nfs/dbraw/zinc/88/87/36/1073888736.db2.gz PRHMKCKVJRCVSS-HZPDHXFCSA-N 0 0 435.529 -0.707 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCCO2)CC1 ZINC001301963404 1073888854 /nfs/dbraw/zinc/88/88/54/1073888854.db2.gz PTJXLSZLGJNZIO-QGZVFWFLSA-N 0 0 449.556 -0.536 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@H]2COCCO2)CC1 ZINC001301963743 1073888793 /nfs/dbraw/zinc/88/87/93/1073888793.db2.gz VUPDUXZLCBZXDH-DLBZAZTESA-N 0 0 438.529 -0.570 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@@H]2COCCO2)CC1 ZINC001301963744 1073888787 /nfs/dbraw/zinc/88/87/87/1073888787.db2.gz VUPDUXZLCBZXDH-IAGOWNOFSA-N 0 0 438.529 -0.570 20 0 IBADRN COCCO[C@@H](C)c1nnc(N(C)CC(=O)N2CCOCC2)n1CC1(O)CCOCC1 ZINC001301966315 1073888769 /nfs/dbraw/zinc/88/87/69/1073888769.db2.gz SIYZNOHTIFTKFL-INIZCTEOSA-N 0 0 441.529 -0.161 20 0 IBADRN COCCO[C@H](C)c1nnc(N(C)CC(=O)N2CCOCC2)n1CC1(O)CCOCC1 ZINC001301966317 1073888842 /nfs/dbraw/zinc/88/88/42/1073888842.db2.gz SIYZNOHTIFTKFL-MRXNPFEDSA-N 0 0 441.529 -0.161 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001301972200 1073888749 /nfs/dbraw/zinc/88/87/49/1073888749.db2.gz XSNQIYMUFLHTPA-OAHLLOKOSA-N 0 0 440.522 -0.176 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001301974598 1073888827 /nfs/dbraw/zinc/88/88/27/1073888827.db2.gz JOWFIZAYYZAXGN-DLBZAZTESA-N 0 0 434.468 -0.376 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001301974599 1073888810 /nfs/dbraw/zinc/88/88/10/1073888810.db2.gz JOWFIZAYYZAXGN-IAGOWNOFSA-N 0 0 434.468 -0.376 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001301974600 1073888860 /nfs/dbraw/zinc/88/88/60/1073888860.db2.gz JOWFIZAYYZAXGN-IRXDYDNUSA-N 0 0 434.468 -0.376 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001301974601 1073888704 /nfs/dbraw/zinc/88/87/04/1073888704.db2.gz JOWFIZAYYZAXGN-SJORKVTESA-N 0 0 434.468 -0.376 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001301979250 1073888835 /nfs/dbraw/zinc/88/88/35/1073888835.db2.gz CUPWIWQBNBPDMR-HBUWYVDXSA-N 0 0 445.542 -0.781 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001301979251 1073888820 /nfs/dbraw/zinc/88/88/20/1073888820.db2.gz CUPWIWQBNBPDMR-IYOUNJFTSA-N 0 0 445.542 -0.781 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001301979252 1073888722 /nfs/dbraw/zinc/88/87/22/1073888722.db2.gz CUPWIWQBNBPDMR-LBTNJELSSA-N 0 0 445.542 -0.781 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001301979253 1073888802 /nfs/dbraw/zinc/88/88/02/1073888802.db2.gz CUPWIWQBNBPDMR-PMUMKWKESA-N 0 0 445.542 -0.781 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001301980477 1073888758 /nfs/dbraw/zinc/88/87/58/1073888758.db2.gz UKEWDAYVSOTFHA-IHRRRGAJSA-N 0 0 437.501 -0.343 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001301980478 1073888848 /nfs/dbraw/zinc/88/88/48/1073888848.db2.gz UKEWDAYVSOTFHA-MCIONIFRSA-N 0 0 437.501 -0.343 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001301980479 1073888868 /nfs/dbraw/zinc/88/88/68/1073888868.db2.gz UKEWDAYVSOTFHA-MJBXVCDLSA-N 0 0 437.501 -0.343 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001301980480 1073888691 /nfs/dbraw/zinc/88/86/91/1073888691.db2.gz UKEWDAYVSOTFHA-RDBSUJKOSA-N 0 0 437.501 -0.343 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(c2nnc(-c3cnccn3)n2CC(=O)N(C)C)CC1 ZINC001301981648 1073889320 /nfs/dbraw/zinc/88/93/20/1073889320.db2.gz MMCLPGYZOLVBHK-INIZCTEOSA-N 0 0 441.540 -0.044 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(c2nnc(-c3cnccn3)n2CC(=O)N(C)C)CC1 ZINC001301981657 1073889248 /nfs/dbraw/zinc/88/92/48/1073889248.db2.gz MMCLPGYZOLVBHK-MRXNPFEDSA-N 0 0 441.540 -0.044 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2nnc(C(C)(C)C)n2CCNS(C)(=O)=O)CC1 ZINC001301984840 1073889367 /nfs/dbraw/zinc/88/93/67/1073889367.db2.gz WHZJRYHMZHJSTI-AWEZNQCLSA-N 0 0 441.602 -0.086 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2nnc(C(C)(C)C)n2CCNS(C)(=O)=O)CC1 ZINC001301984841 1073889122 /nfs/dbraw/zinc/88/91/22/1073889122.db2.gz WHZJRYHMZHJSTI-CQSZACIVSA-N 0 0 441.602 -0.086 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CNC(=O)c1cccc(Br)c1 ZINC001301986273 1073889278 /nfs/dbraw/zinc/88/92/78/1073889278.db2.gz JMUCMVCXXVJKEO-CYBMUJFWSA-N 0 0 434.312 -0.045 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CNC(=O)c1cccc(Br)c1 ZINC001301986282 1073889351 /nfs/dbraw/zinc/88/93/51/1073889351.db2.gz JMUCMVCXXVJKEO-ZDUSSCGKSA-N 0 0 434.312 -0.045 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001301986306 1073889305 /nfs/dbraw/zinc/88/93/05/1073889305.db2.gz JYCMKSHCASYDQH-KBPBESRZSA-N 0 0 448.542 -0.721 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001301986308 1073889159 /nfs/dbraw/zinc/88/91/59/1073889159.db2.gz JYCMKSHCASYDQH-KGLIPLIRSA-N 0 0 448.542 -0.721 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001301986309 1073889186 /nfs/dbraw/zinc/88/91/86/1073889186.db2.gz JYCMKSHCASYDQH-UONOGXRCSA-N 0 0 448.542 -0.721 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001301986310 1073889107 /nfs/dbraw/zinc/88/91/07/1073889107.db2.gz JYCMKSHCASYDQH-ZIAGYGMSSA-N 0 0 448.542 -0.721 20 0 IBADRN CC(C)(C)OC(=O)NCc1ncc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cn1 ZINC001301987103 1073889381 /nfs/dbraw/zinc/88/93/81/1073889381.db2.gz XCTUOTPBYASXJC-CYBMUJFWSA-N 0 0 429.499 -0.109 20 0 IBADRN CC(C)(C)OC(=O)NCc1ncc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cn1 ZINC001301987104 1073889233 /nfs/dbraw/zinc/88/92/33/1073889233.db2.gz XCTUOTPBYASXJC-ZDUSSCGKSA-N 0 0 429.499 -0.109 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001301987110 1073889076 /nfs/dbraw/zinc/88/90/76/1073889076.db2.gz XLOKDROIXJUYGF-INIZCTEOSA-N 0 0 445.563 -0.164 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001301987118 1073889094 /nfs/dbraw/zinc/88/90/94/1073889094.db2.gz XLOKDROIXJUYGF-MRXNPFEDSA-N 0 0 445.563 -0.164 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001301993864 1073889221 /nfs/dbraw/zinc/88/92/21/1073889221.db2.gz AKOAYGLWKALBBV-HZSPNIEDSA-N 0 0 437.588 -0.069 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001301993865 1073889173 /nfs/dbraw/zinc/88/91/73/1073889173.db2.gz AKOAYGLWKALBBV-MCIONIFRSA-N 0 0 437.588 -0.069 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001301993866 1073889781 /nfs/dbraw/zinc/88/97/81/1073889781.db2.gz AKOAYGLWKALBBV-MGPQQGTHSA-N 0 0 437.588 -0.069 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001301993867 1073889896 /nfs/dbraw/zinc/88/98/96/1073889896.db2.gz AKOAYGLWKALBBV-RDBSUJKOSA-N 0 0 437.588 -0.069 20 0 IBADRN COCCO[C@H](C)c1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CC(=O)N1CCOCC1 ZINC001302003126 1073889941 /nfs/dbraw/zinc/88/99/41/1073889941.db2.gz YUEDGYACIIAHFT-BZUAXINKSA-N 0 0 436.513 -0.424 20 0 IBADRN COCCO[C@H](C)c1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001302003127 1073889915 /nfs/dbraw/zinc/88/99/15/1073889915.db2.gz YUEDGYACIIAHFT-OAGGEKHMSA-N 0 0 436.513 -0.424 20 0 IBADRN COCCO[C@H](C)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CC(=O)N1CCOCC1 ZINC001302003128 1073889754 /nfs/dbraw/zinc/88/97/54/1073889754.db2.gz YUEDGYACIIAHFT-OWCLPIDISA-N 0 0 436.513 -0.424 20 0 IBADRN COCCO[C@H](C)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001302003129 1073889927 /nfs/dbraw/zinc/88/99/27/1073889927.db2.gz YUEDGYACIIAHFT-PMPSAXMXSA-N 0 0 436.513 -0.424 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@H](C(N)=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001302006265 1073889816 /nfs/dbraw/zinc/88/98/16/1073889816.db2.gz CZTHWNJNFQBJCM-QLFBSQMISA-N 0 0 444.558 -0.262 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@H](C(N)=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001302006266 1073889873 /nfs/dbraw/zinc/88/98/73/1073889873.db2.gz CZTHWNJNFQBJCM-RBSFLKMASA-N 0 0 444.558 -0.262 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@@H](C(N)=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001302006267 1073890008 /nfs/dbraw/zinc/89/00/08/1073890008.db2.gz CZTHWNJNFQBJCM-RRFJBIMHSA-N 0 0 444.558 -0.262 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@H](C(N)=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001302006268 1073889794 /nfs/dbraw/zinc/88/97/94/1073889794.db2.gz CZTHWNJNFQBJCM-SOUVJXGZSA-N 0 0 444.558 -0.262 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@H](C(N)=O)C2)n1CCN1CCCS1(=O)=O ZINC001302010623 1073889906 /nfs/dbraw/zinc/88/99/06/1073889906.db2.gz RHRQHXXLSJRFFI-KBPBESRZSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@H](C(N)=O)C2)n1CCN1CCCS1(=O)=O ZINC001302010624 1073889960 /nfs/dbraw/zinc/88/99/60/1073889960.db2.gz RHRQHXXLSJRFFI-KGLIPLIRSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CCN1CCCS1(=O)=O ZINC001302010625 1073889882 /nfs/dbraw/zinc/88/98/82/1073889882.db2.gz RHRQHXXLSJRFFI-UONOGXRCSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CCN1CCCS1(=O)=O ZINC001302010626 1073889856 /nfs/dbraw/zinc/88/98/56/1073889856.db2.gz RHRQHXXLSJRFFI-ZIAGYGMSSA-N 0 0 430.531 -0.651 20 0 IBADRN CC(C)(C)C(=O)NCCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302019583 1073890028 /nfs/dbraw/zinc/89/00/28/1073890028.db2.gz HPXQWVDHNGHNDH-AWEZNQCLSA-N 0 0 440.508 -0.351 20 0 IBADRN CC(C)(C)C(=O)NCCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302019584 1073889831 /nfs/dbraw/zinc/88/98/31/1073889831.db2.gz HPXQWVDHNGHNDH-CQSZACIVSA-N 0 0 440.508 -0.351 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@H]1CCC(=O)N1 ZINC001302026790 1073890018 /nfs/dbraw/zinc/89/00/18/1073890018.db2.gz HZQKPFDVCKETQY-DZGCQCFKSA-N 0 0 444.558 -0.201 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@H]1CCC(=O)N1 ZINC001302026792 1073889972 /nfs/dbraw/zinc/88/99/72/1073889972.db2.gz HZQKPFDVCKETQY-UKRRQHHQSA-N 0 0 444.558 -0.201 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001302029219 1073889993 /nfs/dbraw/zinc/88/99/93/1073889993.db2.gz KJGFWLRKZJDJQH-RDJZCZTQSA-N 0 0 435.502 -0.069 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1C[C@H]1CCC(=O)N1 ZINC001302034506 1073890034 /nfs/dbraw/zinc/89/00/34/1073890034.db2.gz BBLTVBNLWRRSJQ-UONOGXRCSA-N 0 0 449.512 -0.199 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1C[C@H]1CCC(=O)N1 ZINC001302034507 1073890494 /nfs/dbraw/zinc/89/04/94/1073890494.db2.gz BBLTVBNLWRRSJQ-ZIAGYGMSSA-N 0 0 449.512 -0.199 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@H]2CN(Cc3ccncc3)CCO2)cc1 ZINC001302034886 1073890430 /nfs/dbraw/zinc/89/04/30/1073890430.db2.gz PPNYGZSIPNEANV-KRWDZBQOSA-N 0 0 432.502 -0.029 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@H]2CN(Cc3ccncc3)CCO2)cc1 ZINC001302034888 1073890353 /nfs/dbraw/zinc/89/03/53/1073890353.db2.gz PPNYGZSIPNEANV-QGZVFWFLSA-N 0 0 432.502 -0.029 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCS(=O)(=O)N(C)C ZINC001302035902 1073890367 /nfs/dbraw/zinc/89/03/67/1073890367.db2.gz FXRZINWGWFLOQA-KBXCAEBGSA-N 0 0 444.558 -0.390 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCS(=O)(=O)N(C)C ZINC001302035907 1073890342 /nfs/dbraw/zinc/89/03/42/1073890342.db2.gz FXRZINWGWFLOQA-KDOFPFPSSA-N 0 0 444.558 -0.390 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCS(=O)(=O)N(C)C ZINC001302035909 1073890329 /nfs/dbraw/zinc/89/03/29/1073890329.db2.gz FXRZINWGWFLOQA-KSSFIOAISA-N 0 0 444.558 -0.390 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCS(=O)(=O)N(C)C ZINC001302035910 1073890246 /nfs/dbraw/zinc/89/02/46/1073890246.db2.gz FXRZINWGWFLOQA-RDTXWAMCSA-N 0 0 444.558 -0.390 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CCNS(C)(=O)=O ZINC001302036947 1073890419 /nfs/dbraw/zinc/89/04/19/1073890419.db2.gz NBPHNFUWUJMUGE-AWEZNQCLSA-N 0 0 444.558 -0.342 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CCNS(C)(=O)=O ZINC001302036951 1073890295 /nfs/dbraw/zinc/89/02/95/1073890295.db2.gz NBPHNFUWUJMUGE-CQSZACIVSA-N 0 0 444.558 -0.342 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCNS(C)(=O)=O ZINC001302037989 1073890446 /nfs/dbraw/zinc/89/04/46/1073890446.db2.gz NWQUJGJWLVAGEH-CXAGYDPISA-N 0 0 430.531 -0.732 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCNS(C)(=O)=O ZINC001302037993 1073890458 /nfs/dbraw/zinc/89/04/58/1073890458.db2.gz NWQUJGJWLVAGEH-DYVFJYSZSA-N 0 0 430.531 -0.732 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCNS(C)(=O)=O ZINC001302037994 1073890272 /nfs/dbraw/zinc/89/02/72/1073890272.db2.gz NWQUJGJWLVAGEH-GUYCJALGSA-N 0 0 430.531 -0.732 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCNS(C)(=O)=O ZINC001302037996 1073890410 /nfs/dbraw/zinc/89/04/10/1073890410.db2.gz NWQUJGJWLVAGEH-SUMWQHHRSA-N 0 0 430.531 -0.732 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCC3(COC(=O)N3)CC2)n1CCNS(C)(=O)=O ZINC001302039057 1073890483 /nfs/dbraw/zinc/89/04/83/1073890483.db2.gz ZOQCCHQCOCLLIJ-CYBMUJFWSA-N 0 0 446.530 -0.370 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCC3(COC(=O)N3)CC2)n1CCNS(C)(=O)=O ZINC001302039058 1073890236 /nfs/dbraw/zinc/89/02/36/1073890236.db2.gz ZOQCCHQCOCLLIJ-ZDUSSCGKSA-N 0 0 446.530 -0.370 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@H]1CCC(=O)N1 ZINC001302043639 1073890260 /nfs/dbraw/zinc/89/02/60/1073890260.db2.gz WSYRDXDMWYUFTP-DZGCQCFKSA-N 0 0 431.497 -0.063 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@H]1CCC(=O)N1 ZINC001302043640 1073890473 /nfs/dbraw/zinc/89/04/73/1073890473.db2.gz WSYRDXDMWYUFTP-UKRRQHHQSA-N 0 0 431.497 -0.063 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN[C@H](c3cnn(C)c3)C2)c1 ZINC001302045038 1073890380 /nfs/dbraw/zinc/89/03/80/1073890380.db2.gz AOTMEOLHRIQZIN-KRWDZBQOSA-N 0 0 434.522 -0.427 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN[C@@H](c3cnn(C)c3)C2)c1 ZINC001302045039 1073890505 /nfs/dbraw/zinc/89/05/05/1073890505.db2.gz AOTMEOLHRIQZIN-QGZVFWFLSA-N 0 0 434.522 -0.427 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001302060981 1073890310 /nfs/dbraw/zinc/89/03/10/1073890310.db2.gz QOIYLNKWAPBMCH-KCQAQPDRSA-N 0 0 441.506 -0.060 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001302060983 1073891063 /nfs/dbraw/zinc/89/10/63/1073891063.db2.gz QOIYLNKWAPBMCH-YDHLFZDLSA-N 0 0 441.506 -0.060 20 0 IBADRN COC(=O)C[C@H](O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001302073472 1073890919 /nfs/dbraw/zinc/89/09/19/1073890919.db2.gz JEZQXHJZCLZFDU-HNNXBMFYSA-N 0 0 427.479 -0.807 20 0 IBADRN COC(=O)C[C@@H](O)CNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001302073473 1073890973 /nfs/dbraw/zinc/89/09/73/1073890973.db2.gz JEZQXHJZCLZFDU-OAHLLOKOSA-N 0 0 427.479 -0.807 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(=O)OC)CC2)n1CC(=O)N1CCOCC1 ZINC001302077413 1073891051 /nfs/dbraw/zinc/89/10/51/1073891051.db2.gz DIKDEWFTQFMOOB-HNNXBMFYSA-N 0 0 440.501 -0.251 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(=O)OC)CC2)n1CC(=O)N1CCOCC1 ZINC001302077419 1073890839 /nfs/dbraw/zinc/89/08/39/1073890839.db2.gz DIKDEWFTQFMOOB-OAHLLOKOSA-N 0 0 440.501 -0.251 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(C)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001302079416 1073890903 /nfs/dbraw/zinc/89/09/03/1073890903.db2.gz LMCGZVSEEAHLIB-HNNXBMFYSA-N 0 0 444.558 -0.294 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(C)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001302079417 1073890994 /nfs/dbraw/zinc/89/09/94/1073890994.db2.gz LMCGZVSEEAHLIB-OAHLLOKOSA-N 0 0 444.558 -0.294 20 0 IBADRN CC(C)(C)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CC(=O)N1CCOCC1 ZINC001302079595 1073890853 /nfs/dbraw/zinc/89/08/53/1073890853.db2.gz NBCZMIDTYDUYPU-UHFFFAOYSA-N 0 0 428.559 -0.094 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001302080581 1073890983 /nfs/dbraw/zinc/89/09/83/1073890983.db2.gz SMQHMVQWILKGHO-FOIQADDNSA-N 0 0 438.529 -0.225 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001302080583 1073890877 /nfs/dbraw/zinc/89/08/77/1073890877.db2.gz SMQHMVQWILKGHO-MGPUTAFESA-N 0 0 438.529 -0.225 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001302080584 1073890935 /nfs/dbraw/zinc/89/09/35/1073890935.db2.gz SMQHMVQWILKGHO-QRWLVFNGSA-N 0 0 438.529 -0.225 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001302080586 1073891014 /nfs/dbraw/zinc/89/10/14/1073891014.db2.gz SMQHMVQWILKGHO-YWZLYKJASA-N 0 0 438.529 -0.225 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(C)=O)C[C@H]2C)n1CC(=O)N1CCOCC1 ZINC001302080980 1073890792 /nfs/dbraw/zinc/89/07/92/1073890792.db2.gz RICSBIHKZORSNS-CVEARBPZSA-N 0 0 438.529 -0.082 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(C)=O)C[C@@H]2C)n1CC(=O)N1CCOCC1 ZINC001302080981 1073891042 /nfs/dbraw/zinc/89/10/42/1073891042.db2.gz RICSBIHKZORSNS-HOTGVXAUSA-N 0 0 438.529 -0.082 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(C)=O)C[C@H]2C)n1CC(=O)N1CCOCC1 ZINC001302080982 1073891004 /nfs/dbraw/zinc/89/10/04/1073891004.db2.gz RICSBIHKZORSNS-HZPDHXFCSA-N 0 0 438.529 -0.082 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(C)=O)C[C@@H]2C)n1CC(=O)N1CCOCC1 ZINC001302080983 1073890888 /nfs/dbraw/zinc/89/08/88/1073890888.db2.gz RICSBIHKZORSNS-JKSUJKDBSA-N 0 0 438.529 -0.082 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001302082024 1073891552 /nfs/dbraw/zinc/89/15/52/1073891552.db2.gz BOZIRTGDUSLJJL-HUUCEWRRSA-N 0 0 446.512 -0.133 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001302082026 1073891451 /nfs/dbraw/zinc/89/14/51/1073891451.db2.gz BOZIRTGDUSLJJL-LSDHHAIUSA-N 0 0 446.512 -0.133 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302082305 1073891570 /nfs/dbraw/zinc/89/15/70/1073891570.db2.gz XBEBYNJEIGSEJJ-HBUWYVDXSA-N 0 0 445.542 -0.781 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302082306 1073891362 /nfs/dbraw/zinc/89/13/62/1073891362.db2.gz XBEBYNJEIGSEJJ-IYOUNJFTSA-N 0 0 445.542 -0.781 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302082307 1073891512 /nfs/dbraw/zinc/89/15/12/1073891512.db2.gz XBEBYNJEIGSEJJ-LBTNJELSSA-N 0 0 445.542 -0.781 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302082308 1073891498 /nfs/dbraw/zinc/89/14/98/1073891498.db2.gz XBEBYNJEIGSEJJ-PMUMKWKESA-N 0 0 445.542 -0.781 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@@H]1C(=O)NCCCN1CCCS1(=O)=O ZINC001302085351 1073891425 /nfs/dbraw/zinc/89/14/25/1073891425.db2.gz LIMFENJTDKKGSE-DOTOQJQBSA-N 0 0 427.498 -0.128 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)NCCCN1CCCS1(=O)=O ZINC001302085352 1073891439 /nfs/dbraw/zinc/89/14/39/1073891439.db2.gz LIMFENJTDKKGSE-NVXWUHKLSA-N 0 0 427.498 -0.128 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@H]1C(=O)NCCCN1CCCS1(=O)=O ZINC001302085353 1073891372 /nfs/dbraw/zinc/89/13/72/1073891372.db2.gz LIMFENJTDKKGSE-RDJZCZTQSA-N 0 0 427.498 -0.128 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@H]1C(=O)NCCCN1CCCS1(=O)=O ZINC001302085354 1073891353 /nfs/dbraw/zinc/89/13/53/1073891353.db2.gz LIMFENJTDKKGSE-WBVHZDCISA-N 0 0 427.498 -0.128 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CCOCCCO ZINC001302086727 1073891320 /nfs/dbraw/zinc/89/13/20/1073891320.db2.gz DFNWPIGPDDBRIV-UHFFFAOYSA-N 0 0 438.573 -0.045 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cc(C)on1 ZINC001302088316 1073891538 /nfs/dbraw/zinc/89/15/38/1073891538.db2.gz BYIZBQAVVKCURW-DZGCQCFKSA-N 0 0 433.469 -0.506 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cc(C)on1 ZINC001302088317 1073891524 /nfs/dbraw/zinc/89/15/24/1073891524.db2.gz BYIZBQAVVKCURW-HIFRSBDPSA-N 0 0 433.469 -0.506 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cc(C)on1 ZINC001302088318 1073891380 /nfs/dbraw/zinc/89/13/80/1073891380.db2.gz BYIZBQAVVKCURW-UKRRQHHQSA-N 0 0 433.469 -0.506 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cc(C)on1 ZINC001302088319 1073891604 /nfs/dbraw/zinc/89/16/04/1073891604.db2.gz BYIZBQAVVKCURW-ZFWWWQNUSA-N 0 0 433.469 -0.506 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCc1n[nH]c(C)n1 ZINC001302088454 1073891391 /nfs/dbraw/zinc/89/13/91/1073891391.db2.gz DWISDPUVRFDLMC-CYBMUJFWSA-N 0 0 442.546 -0.247 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCc1n[nH]c(C)n1 ZINC001302088461 1073891333 /nfs/dbraw/zinc/89/13/33/1073891333.db2.gz DWISDPUVRFDLMC-ZDUSSCGKSA-N 0 0 442.546 -0.247 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCSC1 ZINC001302090171 1073892000 /nfs/dbraw/zinc/89/20/00/1073892000.db2.gz TUPCLEUMKYFNTA-ILXRZTDVSA-N 0 0 438.554 -0.098 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCSC1 ZINC001302090174 1073892144 /nfs/dbraw/zinc/89/21/44/1073892144.db2.gz TUPCLEUMKYFNTA-KFWWJZLASA-N 0 0 438.554 -0.098 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCSC1 ZINC001302090181 1073892152 /nfs/dbraw/zinc/89/21/52/1073892152.db2.gz TUPCLEUMKYFNTA-QLFBSQMISA-N 0 0 438.554 -0.098 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCSC1 ZINC001302090186 1073892051 /nfs/dbraw/zinc/89/20/51/1073892051.db2.gz TUPCLEUMKYFNTA-RBSFLKMASA-N 0 0 438.554 -0.098 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCCN1CCOCC1 ZINC001302092148 1073892121 /nfs/dbraw/zinc/89/21/21/1073892121.db2.gz POVNSYROTRJXCK-DLBZAZTESA-N 0 0 437.545 -0.062 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCCN1CCOCC1 ZINC001302092149 1073892057 /nfs/dbraw/zinc/89/20/57/1073892057.db2.gz POVNSYROTRJXCK-IAGOWNOFSA-N 0 0 437.545 -0.062 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCCN1CCOCC1 ZINC001302092150 1073892035 /nfs/dbraw/zinc/89/20/35/1073892035.db2.gz POVNSYROTRJXCK-IRXDYDNUSA-N 0 0 437.545 -0.062 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCCN1CCOCC1 ZINC001302092151 1073892070 /nfs/dbraw/zinc/89/20/70/1073892070.db2.gz POVNSYROTRJXCK-SJORKVTESA-N 0 0 437.545 -0.062 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCNS(C)(=O)=O ZINC001302093843 1073892023 /nfs/dbraw/zinc/89/20/23/1073892023.db2.gz WQOHEBKDHDTVMQ-KBPBESRZSA-N 0 0 445.546 -0.893 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCNS(C)(=O)=O ZINC001302093844 1073892138 /nfs/dbraw/zinc/89/21/38/1073892138.db2.gz WQOHEBKDHDTVMQ-KGLIPLIRSA-N 0 0 445.546 -0.893 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCNS(C)(=O)=O ZINC001302093845 1073892110 /nfs/dbraw/zinc/89/21/10/1073892110.db2.gz WQOHEBKDHDTVMQ-UONOGXRCSA-N 0 0 445.546 -0.893 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCNS(C)(=O)=O ZINC001302093846 1073892105 /nfs/dbraw/zinc/89/21/05/1073892105.db2.gz WQOHEBKDHDTVMQ-ZIAGYGMSSA-N 0 0 445.546 -0.893 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1CCNS(C)(=O)=O ZINC001302096597 1073891982 /nfs/dbraw/zinc/89/19/82/1073891982.db2.gz UCBSTQXAURDAAE-UHFFFAOYSA-N 0 0 441.602 -0.131 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001302096680 1073891991 /nfs/dbraw/zinc/89/19/91/1073891991.db2.gz NLYVGFHNZWUTQW-LBPRGKRZSA-N 0 0 427.531 -0.355 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(C)(C)N1CCOCC1 ZINC001302097187 1073891954 /nfs/dbraw/zinc/89/19/54/1073891954.db2.gz RSVFKUJQXCVYGS-HNNXBMFYSA-N 0 0 433.557 -0.167 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(C)(C)N1CCOCC1 ZINC001302097188 1073892640 /nfs/dbraw/zinc/89/26/40/1073892640.db2.gz RSVFKUJQXCVYGS-OAHLLOKOSA-N 0 0 433.557 -0.167 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)NCC(F)(F)F ZINC001302097197 1073892488 /nfs/dbraw/zinc/89/24/88/1073892488.db2.gz RZJKBTVNSQKGFY-JTQLQIEISA-N 0 0 431.419 -0.599 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)NCC(F)(F)F ZINC001302097198 1073892551 /nfs/dbraw/zinc/89/25/51/1073892551.db2.gz RZJKBTVNSQKGFY-SNVBAGLBSA-N 0 0 431.419 -0.599 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccc(C(N)=O)cc1 ZINC001302097388 1073892520 /nfs/dbraw/zinc/89/25/20/1073892520.db2.gz WDZQBXUXWZWEMR-HNNXBMFYSA-N 0 0 425.493 -0.130 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccc(C(N)=O)cc1 ZINC001302097389 1073892557 /nfs/dbraw/zinc/89/25/57/1073892557.db2.gz WDZQBXUXWZWEMR-OAHLLOKOSA-N 0 0 425.493 -0.130 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCS(=O)(=O)CC2)n1CC(=O)N1CCOCC1 ZINC001302103303 1073892564 /nfs/dbraw/zinc/89/25/64/1073892564.db2.gz CYKDVVNHFFWXKJ-AWEZNQCLSA-N 0 0 431.515 -0.904 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCS(=O)(=O)CC2)n1CC(=O)N1CCOCC1 ZINC001302103306 1073892653 /nfs/dbraw/zinc/89/26/53/1073892653.db2.gz CYKDVVNHFFWXKJ-CQSZACIVSA-N 0 0 431.515 -0.904 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1C[C@@]1(O)CCOC1 ZINC001302103857 1073892480 /nfs/dbraw/zinc/89/24/80/1073892480.db2.gz KESLFEJIVTYZBS-ALKREAHSSA-N 0 0 427.502 -0.505 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@]1(O)CCOC1 ZINC001302103860 1073892539 /nfs/dbraw/zinc/89/25/39/1073892539.db2.gz KESLFEJIVTYZBS-IDHHARJASA-N 0 0 427.502 -0.505 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@@]1(O)CCOC1 ZINC001302103862 1073892491 /nfs/dbraw/zinc/89/24/91/1073892491.db2.gz KESLFEJIVTYZBS-OGWOLHLISA-N 0 0 427.502 -0.505 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1C[C@]1(O)CCOC1 ZINC001302103863 1073892600 /nfs/dbraw/zinc/89/26/00/1073892600.db2.gz KESLFEJIVTYZBS-SIXWZSSISA-N 0 0 427.502 -0.505 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCS(=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302104090 1073892547 /nfs/dbraw/zinc/89/25/47/1073892547.db2.gz HJEZNQYBTHBWON-BBRMVZONSA-N 0 0 436.556 -0.880 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCS(=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302104091 1073892497 /nfs/dbraw/zinc/89/24/97/1073892497.db2.gz HJEZNQYBTHBWON-CJNGLKHVSA-N 0 0 436.556 -0.880 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCS(=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302104092 1073892662 /nfs/dbraw/zinc/89/26/62/1073892662.db2.gz HJEZNQYBTHBWON-CZUORRHYSA-N 0 0 436.556 -0.880 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCS(=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302104093 1073892593 /nfs/dbraw/zinc/89/25/93/1073892593.db2.gz HJEZNQYBTHBWON-XJKSGUPXSA-N 0 0 436.556 -0.880 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCS(=O)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001302106051 1073892529 /nfs/dbraw/zinc/89/25/29/1073892529.db2.gz RKGBHJXXACIIAN-OLZOCXBDSA-N 0 0 428.515 -0.441 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCS(=O)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001302106055 1073893160 /nfs/dbraw/zinc/89/31/60/1073893160.db2.gz RKGBHJXXACIIAN-STQMWFEESA-N 0 0 428.515 -0.441 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H](C)N1CCOCC1 ZINC001302106349 1073893149 /nfs/dbraw/zinc/89/31/49/1073893149.db2.gz TUNJHRPKBJTBSD-CVEARBPZSA-N 0 0 431.559 -0.042 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H](C)N1CCOCC1 ZINC001302106351 1073893181 /nfs/dbraw/zinc/89/31/81/1073893181.db2.gz TUNJHRPKBJTBSD-HOTGVXAUSA-N 0 0 431.559 -0.042 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H](C)N1CCOCC1 ZINC001302106352 1073893131 /nfs/dbraw/zinc/89/31/31/1073893131.db2.gz TUNJHRPKBJTBSD-HZPDHXFCSA-N 0 0 431.559 -0.042 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H](C)N1CCOCC1 ZINC001302106354 1073893010 /nfs/dbraw/zinc/89/30/10/1073893010.db2.gz TUNJHRPKBJTBSD-JKSUJKDBSA-N 0 0 431.559 -0.042 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCS(=O)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001302107002 1073893055 /nfs/dbraw/zinc/89/30/55/1073893055.db2.gz ZDIXHMVFZNYPDH-CABCVRRESA-N 0 0 449.599 -0.148 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCS(=O)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001302107003 1073893117 /nfs/dbraw/zinc/89/31/17/1073893117.db2.gz ZDIXHMVFZNYPDH-GJZGRUSLSA-N 0 0 449.599 -0.148 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCS(=O)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001302107004 1073893086 /nfs/dbraw/zinc/89/30/86/1073893086.db2.gz ZDIXHMVFZNYPDH-HUUCEWRRSA-N 0 0 449.599 -0.148 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCS(=O)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001302107005 1073893164 /nfs/dbraw/zinc/89/31/64/1073893164.db2.gz ZDIXHMVFZNYPDH-LSDHHAIUSA-N 0 0 449.599 -0.148 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CCNS(C)(=O)=O ZINC001302117650 1073893104 /nfs/dbraw/zinc/89/31/04/1073893104.db2.gz LGUNEAVMIFADLD-QLFBSQMISA-N 0 0 444.558 -0.392 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CCNS(C)(=O)=O ZINC001302117651 1073893041 /nfs/dbraw/zinc/89/30/41/1073893041.db2.gz LGUNEAVMIFADLD-SOUVJXGZSA-N 0 0 444.558 -0.392 20 0 IBADRN COCCOC1(Cn2c(C(=O)NC(C)C)nnc2N2CCNC(=O)CC2)CCOCC1 ZINC001302121320 1073893124 /nfs/dbraw/zinc/89/31/24/1073893124.db2.gz RRRMBXSOOXUMPP-UHFFFAOYSA-N 0 0 438.529 -0.045 20 0 IBADRN COCCO[C@H](C)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001302121669 1073893062 /nfs/dbraw/zinc/89/30/62/1073893062.db2.gz XAMATTZVDCKKBF-FPCVCCKLSA-N 0 0 445.542 -0.155 20 0 IBADRN COCCO[C@H](C)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001302121670 1073893025 /nfs/dbraw/zinc/89/30/25/1073893025.db2.gz XAMATTZVDCKKBF-QXSJWSMHSA-N 0 0 445.542 -0.155 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001302121671 1073893112 /nfs/dbraw/zinc/89/31/12/1073893112.db2.gz XAMATTZVDCKKBF-XUWVNRHRSA-N 0 0 445.542 -0.155 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001302121672 1073893186 /nfs/dbraw/zinc/89/31/86/1073893186.db2.gz XAMATTZVDCKKBF-YHUYYLMFSA-N 0 0 445.542 -0.155 20 0 IBADRN CC(C)(C)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CCO1 ZINC001302122289 1073893096 /nfs/dbraw/zinc/89/30/96/1073893096.db2.gz RLQRHQARSIFNNP-KBPBESRZSA-N 0 0 449.599 -0.019 20 0 IBADRN CC(C)(C)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CCO1 ZINC001302122291 1073893171 /nfs/dbraw/zinc/89/31/71/1073893171.db2.gz RLQRHQARSIFNNP-KGLIPLIRSA-N 0 0 449.599 -0.019 20 0 IBADRN CC(C)(C)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CCO1 ZINC001302122293 1073893073 /nfs/dbraw/zinc/89/30/73/1073893073.db2.gz RLQRHQARSIFNNP-UONOGXRCSA-N 0 0 449.599 -0.019 20 0 IBADRN CC(C)(C)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)CCO1 ZINC001302122295 1073893141 /nfs/dbraw/zinc/89/31/41/1073893141.db2.gz RLQRHQARSIFNNP-ZIAGYGMSSA-N 0 0 449.599 -0.019 20 0 IBADRN COCCOC1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CC[C@@H](C(N)=O)C2)CCOCC1 ZINC001302123584 1073893425 /nfs/dbraw/zinc/89/34/25/1073893425.db2.gz KBGAOTOMLQRTFU-CABCVRRESA-N 0 0 436.513 -0.247 20 0 IBADRN COCCOC1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CC[C@H](C(N)=O)C2)CCOCC1 ZINC001302123585 1073893576 /nfs/dbraw/zinc/89/35/76/1073893576.db2.gz KBGAOTOMLQRTFU-GJZGRUSLSA-N 0 0 436.513 -0.247 20 0 IBADRN COCCOC1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CC[C@@H](C(N)=O)C2)CCOCC1 ZINC001302123586 1073893601 /nfs/dbraw/zinc/89/36/01/1073893601.db2.gz KBGAOTOMLQRTFU-HUUCEWRRSA-N 0 0 436.513 -0.247 20 0 IBADRN COCCOC1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CC[C@H](C(N)=O)C2)CCOCC1 ZINC001302123587 1073893533 /nfs/dbraw/zinc/89/35/33/1073893533.db2.gz KBGAOTOMLQRTFU-LSDHHAIUSA-N 0 0 436.513 -0.247 20 0 IBADRN COCCOC1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C)C(=O)C2)CCOCC1 ZINC001302124019 1073893662 /nfs/dbraw/zinc/89/36/62/1073893662.db2.gz PQBBOJCPCYVVAE-HNNXBMFYSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCOC1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C)C(=O)C2)CCOCC1 ZINC001302124020 1073893521 /nfs/dbraw/zinc/89/35/21/1073893521.db2.gz PQBBOJCPCYVVAE-OAHLLOKOSA-N 0 0 436.513 -0.280 20 0 IBADRN O=C(Cn1ccc(=O)[nH]c1=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001302136943 1073893708 /nfs/dbraw/zinc/89/37/08/1073893708.db2.gz HMXYFSDUKLJIOY-UHFFFAOYSA-N 0 0 442.217 -0.308 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(c3ncc(I)cn3)CC2)O1 ZINC001302137169 1073893566 /nfs/dbraw/zinc/89/35/66/1073893566.db2.gz KATLWXOMBIFBFZ-WDEREUQCSA-N 0 0 431.234 -0.237 20 0 IBADRN O=C(CN1CCOCC1=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001302137713 1073893626 /nfs/dbraw/zinc/89/36/26/1073893626.db2.gz QTABUVOTRUYENO-UHFFFAOYSA-N 0 0 431.234 -0.411 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCN(c3ncc(I)cn3)CC2)nn1 ZINC001302138103 1073893612 /nfs/dbraw/zinc/89/36/12/1073893612.db2.gz XJWDVBFNDYLLEX-UHFFFAOYSA-N 0 0 442.221 -0.880 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CCNS(C)(=O)=O ZINC001302141246 1073893504 /nfs/dbraw/zinc/89/35/04/1073893504.db2.gz YEMCIRRDGHWCKG-UHFFFAOYSA-N 0 0 436.604 -0.066 20 0 IBADRN COC(=O)COCCNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001302142842 1073893558 /nfs/dbraw/zinc/89/35/58/1073893558.db2.gz JQLJQJBWOFBABQ-UHFFFAOYSA-N 0 0 430.479 -0.436 20 0 IBADRN COCCO[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C1 ZINC001302147016 1073893669 /nfs/dbraw/zinc/89/36/69/1073893669.db2.gz ZHDQRAIULVEDLB-KFWWJZLASA-N 0 0 444.558 -0.201 20 0 IBADRN COCCO[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C1 ZINC001302147018 1073893594 /nfs/dbraw/zinc/89/35/94/1073893594.db2.gz ZHDQRAIULVEDLB-RBSFLKMASA-N 0 0 444.558 -0.201 20 0 IBADRN COCCO[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C1 ZINC001302147020 1073893545 /nfs/dbraw/zinc/89/35/45/1073893545.db2.gz ZHDQRAIULVEDLB-RRFJBIMHSA-N 0 0 444.558 -0.201 20 0 IBADRN COCCO[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C1 ZINC001302147021 1073894284 /nfs/dbraw/zinc/89/42/84/1073894284.db2.gz ZHDQRAIULVEDLB-ZNMIVQPWSA-N 0 0 444.558 -0.201 20 0 IBADRN COCCO[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001302150860 1073894302 /nfs/dbraw/zinc/89/43/02/1073894302.db2.gz MQKBTWPBZYJQLU-CVEARBPZSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCO[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001302150861 1073894240 /nfs/dbraw/zinc/89/42/40/1073894240.db2.gz MQKBTWPBZYJQLU-HOTGVXAUSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCO[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001302150862 1073894224 /nfs/dbraw/zinc/89/42/24/1073894224.db2.gz MQKBTWPBZYJQLU-HZPDHXFCSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCO[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001302150863 1073894108 /nfs/dbraw/zinc/89/41/08/1073894108.db2.gz MQKBTWPBZYJQLU-JKSUJKDBSA-N 0 0 436.513 -0.280 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@@H]1COC[C@@H]1O ZINC001302155492 1073894180 /nfs/dbraw/zinc/89/41/80/1073894180.db2.gz DEFCSHRLYAWLAP-BVYGWIJISA-N 0 0 432.520 -0.744 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@@H]1COC[C@@H]1O ZINC001302155508 1073894153 /nfs/dbraw/zinc/89/41/53/1073894153.db2.gz DEFCSHRLYAWLAP-IAUIAUNDSA-N 0 0 432.520 -0.744 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@@H]1COC[C@@H]1O ZINC001302155510 1073894252 /nfs/dbraw/zinc/89/42/52/1073894252.db2.gz DEFCSHRLYAWLAP-UMWNTHAESA-N 0 0 432.520 -0.744 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@@H]1COC[C@@H]1O ZINC001302155512 1073894067 /nfs/dbraw/zinc/89/40/67/1073894067.db2.gz DEFCSHRLYAWLAP-XHGKICKXSA-N 0 0 432.520 -0.744 20 0 IBADRN COCCO[C@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001302161645 1073894197 /nfs/dbraw/zinc/89/41/97/1073894197.db2.gz BEQHFMLDDUIKMY-CABCVRRESA-N 0 0 447.558 -0.329 20 0 IBADRN COCCO[C@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001302161646 1073894293 /nfs/dbraw/zinc/89/42/93/1073894293.db2.gz BEQHFMLDDUIKMY-GJZGRUSLSA-N 0 0 447.558 -0.329 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001302161647 1073894139 /nfs/dbraw/zinc/89/41/39/1073894139.db2.gz BEQHFMLDDUIKMY-HUUCEWRRSA-N 0 0 447.558 -0.329 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001302161648 1073894047 /nfs/dbraw/zinc/89/40/47/1073894047.db2.gz BEQHFMLDDUIKMY-LSDHHAIUSA-N 0 0 447.558 -0.329 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001302165882 1073894274 /nfs/dbraw/zinc/89/42/74/1073894274.db2.gz LRLZBNVLHKBYIQ-CQSZACIVSA-N 0 0 430.517 -0.519 20 0 IBADRN COCCO[C@H]1CCN(c2nnc(-c3cnn(C)c3)n2CCN2CCCS2(=O)=O)C1 ZINC001302175362 1073894095 /nfs/dbraw/zinc/89/40/95/1073894095.db2.gz HYNXFAXRHXYRMH-INIZCTEOSA-N 0 0 439.542 -0.044 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc(-c3cnn(C)c3)n2CCN2CCCS2(=O)=O)C1 ZINC001302175386 1073894169 /nfs/dbraw/zinc/89/41/69/1073894169.db2.gz HYNXFAXRHXYRMH-MRXNPFEDSA-N 0 0 439.542 -0.044 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCC1(O)CCOCC1 ZINC001302177438 1073894212 /nfs/dbraw/zinc/89/42/12/1073894212.db2.gz WHMJSBQSNOMHOW-HNNXBMFYSA-N 0 0 434.541 -0.055 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCC1(O)CCOCC1 ZINC001302177439 1073894029 /nfs/dbraw/zinc/89/40/29/1073894029.db2.gz WHMJSBQSNOMHOW-OAHLLOKOSA-N 0 0 434.541 -0.055 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCS(C)(=O)=O ZINC001302177693 1073894259 /nfs/dbraw/zinc/89/42/59/1073894259.db2.gz YLYOZVLEOHASDL-CYBMUJFWSA-N 0 0 426.543 -0.552 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCS(C)(=O)=O ZINC001302177703 1073894126 /nfs/dbraw/zinc/89/41/26/1073894126.db2.gz YLYOZVLEOHASDL-ZDUSSCGKSA-N 0 0 426.543 -0.552 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)NCCN1CC[C@@H](O)C1)CS2(=O)=O ZINC001302177781 1073894842 /nfs/dbraw/zinc/89/48/42/1073894842.db2.gz IOOVEQAUBAAGFG-FPCVCCKLSA-N 0 0 431.555 -0.161 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)NCCN1CC[C@@H](O)C1)CS2(=O)=O ZINC001302177782 1073894690 /nfs/dbraw/zinc/89/46/90/1073894690.db2.gz IOOVEQAUBAAGFG-JJXSEGSLSA-N 0 0 431.555 -0.161 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)NCCN3CC[C@@H](O)C3)CS(=O)(=O)[C@@H]2C1 ZINC001302177783 1073894736 /nfs/dbraw/zinc/89/47/36/1073894736.db2.gz IOOVEQAUBAAGFG-KLHDSHLOSA-N 0 0 431.555 -0.161 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)NCCN3CC[C@@H](O)C3)CS(=O)(=O)[C@@H]2C1 ZINC001302177784 1073894785 /nfs/dbraw/zinc/89/47/85/1073894785.db2.gz IOOVEQAUBAAGFG-ZJIFWQFVSA-N 0 0 431.555 -0.161 20 0 IBADRN COCCO[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302180226 1073894653 /nfs/dbraw/zinc/89/46/53/1073894653.db2.gz CVQWHHCCPAKZBV-KFWWJZLASA-N 0 0 427.527 -0.300 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302180227 1073894670 /nfs/dbraw/zinc/89/46/70/1073894670.db2.gz CVQWHHCCPAKZBV-RBSFLKMASA-N 0 0 427.527 -0.300 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302180228 1073894813 /nfs/dbraw/zinc/89/48/13/1073894813.db2.gz CVQWHHCCPAKZBV-RRFJBIMHSA-N 0 0 427.527 -0.300 20 0 IBADRN COCCO[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302180229 1073894722 /nfs/dbraw/zinc/89/47/22/1073894722.db2.gz CVQWHHCCPAKZBV-ZNMIVQPWSA-N 0 0 427.527 -0.300 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCO[C@H]2C)C1 ZINC001302180868 1073894821 /nfs/dbraw/zinc/89/48/21/1073894821.db2.gz JUQAFJIKVQBCRL-MKSNKDDYSA-N 0 0 444.554 -0.038 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCO[C@@H]2C)C1 ZINC001302180870 1073894830 /nfs/dbraw/zinc/89/48/30/1073894830.db2.gz JUQAFJIKVQBCRL-NNFUDEMPSA-N 0 0 444.554 -0.038 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@H]2C)C1 ZINC001302180871 1073894642 /nfs/dbraw/zinc/89/46/42/1073894642.db2.gz JUQAFJIKVQBCRL-WFXMFSGNSA-N 0 0 444.554 -0.038 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@@H]2C)C1 ZINC001302180872 1073894861 /nfs/dbraw/zinc/89/48/61/1073894861.db2.gz JUQAFJIKVQBCRL-YKTARERQSA-N 0 0 444.554 -0.038 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001302181310 1073894877 /nfs/dbraw/zinc/89/48/77/1073894877.db2.gz NDTLYOGHDLBKQY-CLCXKQKWSA-N 0 0 441.554 -0.100 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001302181312 1073894678 /nfs/dbraw/zinc/89/46/78/1073894678.db2.gz NDTLYOGHDLBKQY-KHYOSLBOSA-N 0 0 441.554 -0.100 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001302181314 1073894869 /nfs/dbraw/zinc/89/48/69/1073894869.db2.gz NDTLYOGHDLBKQY-QMTMVMCOSA-N 0 0 441.554 -0.100 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001302181316 1073894775 /nfs/dbraw/zinc/89/47/75/1073894775.db2.gz NDTLYOGHDLBKQY-SPYBWZPUSA-N 0 0 441.554 -0.100 20 0 IBADRN COCCOC[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001302193899 1073894760 /nfs/dbraw/zinc/89/47/60/1073894760.db2.gz HTRHRKPCQDIXOF-CVEARBPZSA-N 0 0 436.513 -0.423 20 0 IBADRN COCCOC[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001302193901 1073894886 /nfs/dbraw/zinc/89/48/86/1073894886.db2.gz HTRHRKPCQDIXOF-HOTGVXAUSA-N 0 0 436.513 -0.423 20 0 IBADRN COCCOC[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001302193902 1073894891 /nfs/dbraw/zinc/89/48/91/1073894891.db2.gz HTRHRKPCQDIXOF-HZPDHXFCSA-N 0 0 436.513 -0.423 20 0 IBADRN COCCOC[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001302193904 1073894804 /nfs/dbraw/zinc/89/48/04/1073894804.db2.gz HTRHRKPCQDIXOF-JKSUJKDBSA-N 0 0 436.513 -0.423 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC(N(CCO)C(=O)OC(C)(C)C)CC2)cn1 ZINC001302194725 1073895207 /nfs/dbraw/zinc/89/52/07/1073895207.db2.gz AOUKVKJAWXAJRU-UHFFFAOYSA-N 0 0 445.542 -0.081 20 0 IBADRN COCCOCCCCn1c(-c2cnccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302206320 1073895411 /nfs/dbraw/zinc/89/54/11/1073895411.db2.gz NRGBJGBFMYVRQX-HNNXBMFYSA-N 0 0 444.496 -0.675 20 0 IBADRN COCCOCCCCn1c(-c2cnccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302206321 1073895256 /nfs/dbraw/zinc/89/52/56/1073895256.db2.gz NRGBJGBFMYVRQX-OAHLLOKOSA-N 0 0 444.496 -0.675 20 0 IBADRN COCCOCCCCn1c(C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001302209093 1073895374 /nfs/dbraw/zinc/89/53/74/1073895374.db2.gz WBBMBCOOOBOXAU-UHFFFAOYSA-N 0 0 438.529 -0.463 20 0 IBADRN COCCOCCCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302211129 1073895531 /nfs/dbraw/zinc/89/55/31/1073895531.db2.gz GIQRRXIUTOOYAJ-HNNXBMFYSA-N 0 0 429.481 -0.460 20 0 IBADRN COCCOCCCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302211131 1073895294 /nfs/dbraw/zinc/89/52/94/1073895294.db2.gz GIQRRXIUTOOYAJ-OAHLLOKOSA-N 0 0 429.481 -0.460 20 0 IBADRN CC(C)(C)NC(=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302212391 1073895342 /nfs/dbraw/zinc/89/53/42/1073895342.db2.gz MOHVVTUEUCKUPZ-CYBMUJFWSA-N 0 0 428.497 -0.275 20 0 IBADRN CC(C)(C)NC(=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302212394 1073895330 /nfs/dbraw/zinc/89/53/30/1073895330.db2.gz MOHVVTUEUCKUPZ-ZDUSSCGKSA-N 0 0 428.497 -0.275 20 0 IBADRN CC(C)(C)NC(=O)CCn1c(C2CC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001302212887 1073895395 /nfs/dbraw/zinc/89/53/95/1073895395.db2.gz WEYLXVZJDVTUFM-UHFFFAOYSA-N 0 0 430.517 -0.204 20 0 IBADRN COCCOCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(C)=O)CC1 ZINC001302213244 1073895283 /nfs/dbraw/zinc/89/52/83/1073895283.db2.gz AOPRJNWBQWGIMP-INIZCTEOSA-N 0 0 429.543 -0.098 20 0 IBADRN COCCOCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(C)=O)CC1 ZINC001302213245 1073895234 /nfs/dbraw/zinc/89/52/34/1073895234.db2.gz AOPRJNWBQWGIMP-MRXNPFEDSA-N 0 0 429.543 -0.098 20 0 IBADRN COCCOCCn1c(C(=O)N2CCCC2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001302218226 1073895276 /nfs/dbraw/zinc/89/52/76/1073895276.db2.gz MQOKJRMFNXCCGV-UHFFFAOYSA-N 0 0 444.558 -0.305 20 0 IBADRN COCCOCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001302218336 1073895219 /nfs/dbraw/zinc/89/52/19/1073895219.db2.gz PFLPMNLVBHKFPO-AWEZNQCLSA-N 0 0 444.558 -0.342 20 0 IBADRN COCCOCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001302218337 1073895472 /nfs/dbraw/zinc/89/54/72/1073895472.db2.gz PFLPMNLVBHKFPO-CQSZACIVSA-N 0 0 444.558 -0.342 20 0 IBADRN COCCOCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001302218528 1073895316 /nfs/dbraw/zinc/89/53/16/1073895316.db2.gz RPNMHBCWUHOGRS-QLFBSQMISA-N 0 0 444.558 -0.392 20 0 IBADRN COCCOCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001302218529 1073895843 /nfs/dbraw/zinc/89/58/43/1073895843.db2.gz RPNMHBCWUHOGRS-SOUVJXGZSA-N 0 0 444.558 -0.392 20 0 IBADRN COCCOCCn1c(-c2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302223005 1073896029 /nfs/dbraw/zinc/89/60/29/1073896029.db2.gz FVIFLOBDYPSSGP-HNNXBMFYSA-N 0 0 444.492 -0.236 20 0 IBADRN COCCOCCn1c(-c2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302223007 1073896069 /nfs/dbraw/zinc/89/60/69/1073896069.db2.gz FVIFLOBDYPSSGP-OAHLLOKOSA-N 0 0 444.492 -0.236 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1 ZINC001302226157 1073896002 /nfs/dbraw/zinc/89/60/02/1073896002.db2.gz FHWIIBDSRFEABG-UHFFFAOYSA-N 0 0 446.504 -0.029 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1S(N)(=O)=O ZINC001302226183 1073895879 /nfs/dbraw/zinc/89/58/79/1073895879.db2.gz GAFHJZXTBVRMCZ-UHFFFAOYSA-N 0 0 444.485 -0.779 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCCN(C(=O)CNC(C)=O)CC2)ccc1OC ZINC001302226263 1073895901 /nfs/dbraw/zinc/89/59/01/1073895901.db2.gz JRMANZIJTNNGDX-UHFFFAOYSA-N 0 0 426.495 -0.586 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CNC(=O)c2cnc3ccccc3c2O)CC1 ZINC001302226327 1073895930 /nfs/dbraw/zinc/89/59/30/1073895930.db2.gz MRBJDLQWYYBPDS-UHFFFAOYSA-N 0 0 427.461 -0.545 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCCN(C(=O)CNC(C)=O)CC3)ccc21 ZINC001302226332 1073895923 /nfs/dbraw/zinc/89/59/23/1073895923.db2.gz MXDLAUKLKGKAKH-UHFFFAOYSA-N 0 0 443.504 -0.478 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCCN(C(=O)CNC(C)=O)CC2)c1 ZINC001302226500 1073896045 /nfs/dbraw/zinc/89/60/45/1073896045.db2.gz POHWHAVLWJMUHF-UHFFFAOYSA-N 0 0 426.495 -0.321 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)CC1 ZINC001302226753 1073895961 /nfs/dbraw/zinc/89/59/61/1073895961.db2.gz RLIJSIIFVCXCLN-MPGHIAIKSA-N 0 0 425.555 -0.091 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001302226768 1073895866 /nfs/dbraw/zinc/89/58/66/1073895866.db2.gz RVEQWYYDNYKEBY-UHFFFAOYSA-N 0 0 435.506 -0.736 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001302226792 1073895912 /nfs/dbraw/zinc/89/59/12/1073895912.db2.gz SXFOBTQLICRSME-KRWDZBQOSA-N 0 0 428.497 -0.528 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001302226793 1073896015 /nfs/dbraw/zinc/89/60/15/1073896015.db2.gz SXFOBTQLICRSME-QGZVFWFLSA-N 0 0 428.497 -0.528 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CCC(=O)N2CC(=O)Nc3ccccc32)CC1 ZINC001302226817 1073895948 /nfs/dbraw/zinc/89/59/48/1073895948.db2.gz UQBBPUDQMLBQGD-UHFFFAOYSA-N 0 0 429.477 -0.051 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)CC1 ZINC001302226820 1073896084 /nfs/dbraw/zinc/89/60/84/1073896084.db2.gz UVDTXAOKVWVPMO-UHFFFAOYSA-N 0 0 447.536 -0.200 20 0 IBADRN COCCOCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001302229009 1073895991 /nfs/dbraw/zinc/89/59/91/1073895991.db2.gz DACQCYXUXUANRV-CYBMUJFWSA-N 0 0 435.485 -0.587 20 0 IBADRN COCCOCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001302229010 1073895972 /nfs/dbraw/zinc/89/59/72/1073895972.db2.gz DACQCYXUXUANRV-ZDUSSCGKSA-N 0 0 435.485 -0.587 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001302232162 1073895893 /nfs/dbraw/zinc/89/58/93/1073895893.db2.gz FPNDWECSRHDCES-HKUYNNGSSA-N 0 0 448.495 -0.473 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001302232167 1073895850 /nfs/dbraw/zinc/89/58/50/1073895850.db2.gz FPNDWECSRHDCES-IEBWSBKVSA-N 0 0 448.495 -0.473 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001302232169 1073896055 /nfs/dbraw/zinc/89/60/55/1073896055.db2.gz FPNDWECSRHDCES-MJGOQNOKSA-N 0 0 448.495 -0.473 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001302232170 1073896714 /nfs/dbraw/zinc/89/67/14/1073896714.db2.gz FPNDWECSRHDCES-PKOBYXMFSA-N 0 0 448.495 -0.473 20 0 IBADRN COCCOCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001302232708 1073896504 /nfs/dbraw/zinc/89/65/04/1073896504.db2.gz HIQQHMWFCAVDQO-CVEARBPZSA-N 0 0 429.543 -0.052 20 0 IBADRN COCCOCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001302232709 1073896654 /nfs/dbraw/zinc/89/66/54/1073896654.db2.gz HIQQHMWFCAVDQO-HOTGVXAUSA-N 0 0 429.543 -0.052 20 0 IBADRN COCCOCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001302232710 1073896687 /nfs/dbraw/zinc/89/66/87/1073896687.db2.gz HIQQHMWFCAVDQO-HZPDHXFCSA-N 0 0 429.543 -0.052 20 0 IBADRN COCCOCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001302232711 1073896525 /nfs/dbraw/zinc/89/65/25/1073896525.db2.gz HIQQHMWFCAVDQO-JKSUJKDBSA-N 0 0 429.543 -0.052 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC001302233426 1073896454 /nfs/dbraw/zinc/89/64/54/1073896454.db2.gz KVWWZPOKXHZLHF-AWEZNQCLSA-N 0 0 440.522 -0.844 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC001302233427 1073896578 /nfs/dbraw/zinc/89/65/78/1073896578.db2.gz KVWWZPOKXHZLHF-CQSZACIVSA-N 0 0 440.522 -0.844 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC001302234006 1073896626 /nfs/dbraw/zinc/89/66/26/1073896626.db2.gz MKCYSYMCVNMYKI-UHFFFAOYSA-N 0 0 438.506 -0.808 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001302234167 1073896468 /nfs/dbraw/zinc/89/64/68/1073896468.db2.gz PAUDXVREMRKRDF-UHFFFAOYSA-N 0 0 439.513 -0.737 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C(=O)OC(C)(C)C)CC1 ZINC001302234556 1073896701 /nfs/dbraw/zinc/89/67/01/1073896701.db2.gz PRHLIUAPNKRBMO-FOIQADDNSA-N 0 0 426.514 -0.056 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@]2(C)C[C@H](O)CN2C(=O)OC(C)(C)C)CC1 ZINC001302234557 1073896494 /nfs/dbraw/zinc/89/64/94/1073896494.db2.gz PRHLIUAPNKRBMO-MGPUTAFESA-N 0 0 426.514 -0.056 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@]2(C)C[C@@H](O)CN2C(=O)OC(C)(C)C)CC1 ZINC001302234558 1073896443 /nfs/dbraw/zinc/89/64/43/1073896443.db2.gz PRHLIUAPNKRBMO-QRWLVFNGSA-N 0 0 426.514 -0.056 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@]2(C)C[C@H](O)CN2C(=O)OC(C)(C)C)CC1 ZINC001302234559 1073896643 /nfs/dbraw/zinc/89/66/43/1073896643.db2.gz PRHLIUAPNKRBMO-YWZLYKJASA-N 0 0 426.514 -0.056 20 0 IBADRN COCCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](OC)C1 ZINC001302234585 1073896602 /nfs/dbraw/zinc/89/66/02/1073896602.db2.gz PSODVHYTAYCVLT-CVEARBPZSA-N 0 0 447.558 -0.111 20 0 IBADRN COCCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](OC)C1 ZINC001302234587 1073896593 /nfs/dbraw/zinc/89/65/93/1073896593.db2.gz PSODVHYTAYCVLT-HOTGVXAUSA-N 0 0 447.558 -0.111 20 0 IBADRN COCCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](OC)C1 ZINC001302234589 1073896554 /nfs/dbraw/zinc/89/65/54/1073896554.db2.gz PSODVHYTAYCVLT-HZPDHXFCSA-N 0 0 447.558 -0.111 20 0 IBADRN COCCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](OC)C1 ZINC001302234592 1073896565 /nfs/dbraw/zinc/89/65/65/1073896565.db2.gz PSODVHYTAYCVLT-JKSUJKDBSA-N 0 0 447.558 -0.111 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)CC1 ZINC001302234878 1073896673 /nfs/dbraw/zinc/89/66/73/1073896673.db2.gz RLIJSIIFVCXCLN-RLFYNMQTSA-N 0 0 425.555 -0.091 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]23)CC1 ZINC001302234880 1073896726 /nfs/dbraw/zinc/89/67/26/1073896726.db2.gz RLIJSIIFVCXCLN-RVKKMQEKSA-N 0 0 425.555 -0.091 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]23)CC1 ZINC001302234882 1073896539 /nfs/dbraw/zinc/89/65/39/1073896539.db2.gz RLIJSIIFVCXCLN-VKJFTORMSA-N 0 0 425.555 -0.091 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@@H]3COCCN3C(=O)OC(C)(C)C)[C@@H](O)C(=O)N2C)cn1 ZINC001302234897 1073897104 /nfs/dbraw/zinc/89/71/04/1073897104.db2.gz MRFLDJGHSAMMLS-KLHDSHLOSA-N 0 0 437.497 -0.338 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@H]3COCCN3C(=O)OC(C)(C)C)[C@@H](O)C(=O)N2C)cn1 ZINC001302234902 1073897146 /nfs/dbraw/zinc/89/71/46/1073897146.db2.gz MRFLDJGHSAMMLS-ZJIFWQFVSA-N 0 0 437.497 -0.338 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CC1 ZINC001302235786 1073897015 /nfs/dbraw/zinc/89/70/15/1073897015.db2.gz VJENINAQMOPHLE-UHFFFAOYSA-N 0 0 431.424 -0.316 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@H](O)[C@@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001302235806 1073897188 /nfs/dbraw/zinc/89/71/88/1073897188.db2.gz VVSYZHQATSZORQ-AEFFLSMTSA-N 0 0 431.411 -0.006 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@H](O)[C@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001302235807 1073897076 /nfs/dbraw/zinc/89/70/76/1073897076.db2.gz VVSYZHQATSZORQ-FUHWJXTLSA-N 0 0 431.411 -0.006 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@H](O)[C@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001302235808 1073897153 /nfs/dbraw/zinc/89/71/53/1073897153.db2.gz VVSYZHQATSZORQ-SJLPKXTDSA-N 0 0 431.411 -0.006 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@H](O)[C@@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001302235809 1073897064 /nfs/dbraw/zinc/89/70/64/1073897064.db2.gz VVSYZHQATSZORQ-WMZOPIPTSA-N 0 0 431.411 -0.006 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)c2c(F)ccc(S(=O)(=O)NC3CC3)c2F)CC1 ZINC001302236141 1073897172 /nfs/dbraw/zinc/89/71/72/1073897172.db2.gz BVAZKJHWZXDFES-UHFFFAOYSA-N 0 0 438.478 -0.197 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)CN2C(=O)c3ccc(Br)cc3C2=O)CC1 ZINC001302236142 1073897110 /nfs/dbraw/zinc/89/71/10/1073897110.db2.gz BXGHSYRWBBILKM-UHFFFAOYSA-N 0 0 445.295 -0.171 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(NS(N)(=O)=O)CC1 ZINC001302236395 1073897084 /nfs/dbraw/zinc/89/70/84/1073897084.db2.gz IRRXGUCTHHYWBM-UHFFFAOYSA-N 0 0 446.551 -0.586 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)C2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC001302236549 1073897046 /nfs/dbraw/zinc/89/70/46/1073897046.db2.gz KRQAZVHYWIDUEX-UHFFFAOYSA-N 0 0 430.552 -0.129 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@@](F)(C(=O)N2CCC(NS(N)(=O)=O)CC2)C1 ZINC001302236783 1073897096 /nfs/dbraw/zinc/89/70/96/1073897096.db2.gz NLBHMQBKOARVGK-KRWDZBQOSA-N 0 0 436.506 -0.254 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@](F)(C(=O)N2CCC(NS(N)(=O)=O)CC2)C1 ZINC001302236785 1073897069 /nfs/dbraw/zinc/89/70/69/1073897069.db2.gz NLBHMQBKOARVGK-QGZVFWFLSA-N 0 0 436.506 -0.254 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)CCc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC001302236974 1073897194 /nfs/dbraw/zinc/89/71/94/1073897194.db2.gz QNFFVEMVSWNHAR-UHFFFAOYSA-N 0 0 430.552 -0.156 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)Cn1nc(Br)c(Br)n1 ZINC001302237045 1073897164 /nfs/dbraw/zinc/89/71/64/1073897164.db2.gz RULJCWXEMFBJPV-UHFFFAOYSA-N 0 0 441.080 -0.011 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)[C@@H](C)CC(N)=O)n1CCN1CCCS1(=O)=O ZINC001302241118 1073897132 /nfs/dbraw/zinc/89/71/32/1073897132.db2.gz GOIZSFRSHWFMQJ-DZKIICNBSA-N 0 0 444.558 -0.262 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)[C@H](C)CC(N)=O)n1CCN1CCCS1(=O)=O ZINC001302241141 1073897204 /nfs/dbraw/zinc/89/72/04/1073897204.db2.gz GOIZSFRSHWFMQJ-YCPHGPKFSA-N 0 0 444.558 -0.262 20 0 IBADRN CC(C)(C)OC(=O)NC1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCNC(=O)C2)COC1 ZINC001302242429 1073897125 /nfs/dbraw/zinc/89/71/25/1073897125.db2.gz TZOKTROMXDJJOV-GFCCVEGCSA-N 0 0 435.485 -0.541 20 0 IBADRN CC(C)(C)OC(=O)NC1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCNC(=O)C2)COC1 ZINC001302242430 1073897056 /nfs/dbraw/zinc/89/70/56/1073897056.db2.gz TZOKTROMXDJJOV-LBPRGKRZSA-N 0 0 435.485 -0.541 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)[C@H](C)CS(C)(=O)=O)n1C[C@]1(O)CCN(C)C1 ZINC001302242709 1073897032 /nfs/dbraw/zinc/89/70/32/1073897032.db2.gz MSCJDXBTUCEDJV-DRMAHVMPSA-N 0 0 445.586 -0.062 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)[C@@H](C)CS(C)(=O)=O)n1C[C@]1(O)CCN(C)C1 ZINC001302242710 1073897762 /nfs/dbraw/zinc/89/77/62/1073897762.db2.gz MSCJDXBTUCEDJV-FPXQBCRKSA-N 0 0 445.586 -0.062 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)[C@@H](C)CS(C)(=O)=O)n1C[C@@]1(O)CCN(C)C1 ZINC001302242711 1073897721 /nfs/dbraw/zinc/89/77/21/1073897721.db2.gz MSCJDXBTUCEDJV-IUVQAAGXSA-N 0 0 445.586 -0.062 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)[C@H](C)CS(C)(=O)=O)n1C[C@@]1(O)CCN(C)C1 ZINC001302242712 1073897814 /nfs/dbraw/zinc/89/78/14/1073897814.db2.gz MSCJDXBTUCEDJV-PASDCYSWSA-N 0 0 445.586 -0.062 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)C[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001302255767 1073897906 /nfs/dbraw/zinc/89/79/06/1073897906.db2.gz BQANMJDQBLZDCT-CHWSQXEVSA-N 0 0 431.488 -0.200 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)C[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001302255768 1073897884 /nfs/dbraw/zinc/89/78/84/1073897884.db2.gz BQANMJDQBLZDCT-OLZOCXBDSA-N 0 0 431.488 -0.200 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)C[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001302255769 1073897706 /nfs/dbraw/zinc/89/77/06/1073897706.db2.gz BQANMJDQBLZDCT-QWHCGFSZSA-N 0 0 431.488 -0.200 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)C[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001302255770 1073897861 /nfs/dbraw/zinc/89/78/61/1073897861.db2.gz BQANMJDQBLZDCT-STQMWFEESA-N 0 0 431.488 -0.200 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1c(Br)nc2n1CCOC2 ZINC001302258194 1073897618 /nfs/dbraw/zinc/89/76/18/1073897618.db2.gz ZILDCNNHIJVDND-SECBINFHSA-N 0 0 436.284 -0.022 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1c(Br)nc2n1CCOC2 ZINC001302258202 1073897633 /nfs/dbraw/zinc/89/76/33/1073897633.db2.gz ZILDCNNHIJVDND-VIFPVBQESA-N 0 0 436.284 -0.022 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@]1(C)CN(C)C1=O ZINC001302260259 1073897897 /nfs/dbraw/zinc/89/78/97/1073897897.db2.gz AZIZMLAWMNAOFJ-DEYYWGMASA-N 0 0 444.558 -0.534 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@]1(C)CN(C)C1=O ZINC001302260260 1073897797 /nfs/dbraw/zinc/89/77/97/1073897797.db2.gz AZIZMLAWMNAOFJ-SUNYJGFJSA-N 0 0 444.558 -0.534 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CC[C@H](C(N)=O)C2)n1CCS(=O)(=O)N(C)C ZINC001302279465 1073897873 /nfs/dbraw/zinc/89/78/73/1073897873.db2.gz PIYHFUDBLRMNBQ-IHRRRGAJSA-N 0 0 430.531 -0.795 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CC[C@@H](C(N)=O)C2)n1CCS(=O)(=O)N(C)C ZINC001302279466 1073897647 /nfs/dbraw/zinc/89/76/47/1073897647.db2.gz PIYHFUDBLRMNBQ-RDBSUJKOSA-N 0 0 430.531 -0.795 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001302281748 1073897748 /nfs/dbraw/zinc/89/77/48/1073897748.db2.gz MEWXOEMSBBNOTA-PXAZEXFGSA-N 0 0 447.535 -0.159 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001302281756 1073897917 /nfs/dbraw/zinc/89/79/17/1073897917.db2.gz MEWXOEMSBBNOTA-SJCJKPOMSA-N 0 0 447.535 -0.159 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001302281757 1073897604 /nfs/dbraw/zinc/89/76/04/1073897604.db2.gz MEWXOEMSBBNOTA-SJKOYZFVSA-N 0 0 447.535 -0.159 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001302281758 1073897578 /nfs/dbraw/zinc/89/75/78/1073897578.db2.gz MEWXOEMSBBNOTA-YVEFUNNKSA-N 0 0 447.535 -0.159 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1 ZINC001302281762 1073897694 /nfs/dbraw/zinc/89/76/94/1073897694.db2.gz MIAUPVOGMFAOBP-KDURUIRLSA-N 0 0 437.474 -0.347 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1 ZINC001302281765 1073898330 /nfs/dbraw/zinc/89/83/30/1073898330.db2.gz MIAUPVOGMFAOBP-OALUTQOASA-N 0 0 437.474 -0.347 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)cc1 ZINC001302281768 1073898418 /nfs/dbraw/zinc/89/84/18/1073898418.db2.gz MIAUPVOGMFAOBP-RTBURBONSA-N 0 0 437.474 -0.347 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)C1=O ZINC001302284601 1073898364 /nfs/dbraw/zinc/89/83/64/1073898364.db2.gz CZWXEMODOZOYHZ-ACRUOGEOSA-N 0 0 428.445 -0.027 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)C1=O ZINC001302284605 1073898411 /nfs/dbraw/zinc/89/84/11/1073898411.db2.gz CZWXEMODOZOYHZ-HBMCJLEFSA-N 0 0 428.445 -0.027 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)C1=O ZINC001302284607 1073898318 /nfs/dbraw/zinc/89/83/18/1073898318.db2.gz CZWXEMODOZOYHZ-NJYVYQBISA-N 0 0 428.445 -0.027 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)C1=O ZINC001302284608 1073898427 /nfs/dbraw/zinc/89/84/27/1073898427.db2.gz CZWXEMODOZOYHZ-QHAWAJNXSA-N 0 0 428.445 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)c1 ZINC001302285335 1073898370 /nfs/dbraw/zinc/89/83/70/1073898370.db2.gz JYDHNKAJGSYNCQ-KDURUIRLSA-N 0 0 436.490 -0.822 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)c1 ZINC001302285336 1073898444 /nfs/dbraw/zinc/89/84/44/1073898444.db2.gz JYDHNKAJGSYNCQ-OALUTQOASA-N 0 0 436.490 -0.822 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)c1 ZINC001302285337 1073898453 /nfs/dbraw/zinc/89/84/53/1073898453.db2.gz JYDHNKAJGSYNCQ-RTBURBONSA-N 0 0 436.490 -0.822 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1OC ZINC001302286253 1073898481 /nfs/dbraw/zinc/89/84/81/1073898481.db2.gz VBJOLJRHJLKNHV-HDICACEKSA-N 0 0 425.463 -0.507 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)cc1OC ZINC001302286255 1073898275 /nfs/dbraw/zinc/89/82/75/1073898275.db2.gz VBJOLJRHJLKNHV-QZTJIDSGSA-N 0 0 425.463 -0.507 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)cc1OC ZINC001302286257 1073898472 /nfs/dbraw/zinc/89/84/72/1073898472.db2.gz VBJOLJRHJLKNHV-ROUUACIJSA-N 0 0 425.463 -0.507 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CC(=O)N(C)C ZINC001302308306 1073898355 /nfs/dbraw/zinc/89/83/55/1073898355.db2.gz HWDXADQIIFYHAI-KSSFIOAISA-N 0 0 446.512 -0.323 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(C(C)=O)CC2)n1CCCNS(C)(=O)=O ZINC001302308666 1073898464 /nfs/dbraw/zinc/89/84/64/1073898464.db2.gz MDWCNVLHRBLVFU-HOTGVXAUSA-N 0 0 444.558 -0.390 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(C)C(=O)C2)n1CCOCCS(C)(=O)=O ZINC001302309701 1073898336 /nfs/dbraw/zinc/89/83/36/1073898336.db2.gz ZMYGGQFQBYPUAX-HOCLYGCPSA-N 0 0 445.542 -0.658 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)CC2)n1CCN1CCCS1(=O)=O ZINC001302310518 1073898385 /nfs/dbraw/zinc/89/83/85/1073898385.db2.gz AITXNSPEZRUKSZ-HOCLYGCPSA-N 0 0 442.542 -0.636 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC1(O)CC1 ZINC001302311027 1073898435 /nfs/dbraw/zinc/89/84/35/1073898435.db2.gz GFMRMCAZGBHMIP-KKUMJFAQSA-N 0 0 429.543 -0.003 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)CC2)n1CCS(=O)(=O)N(C)C ZINC001302311504 1073898964 /nfs/dbraw/zinc/89/89/64/1073898964.db2.gz LTMLVWCBUZDOIP-ZFWWWQNUSA-N 0 0 430.531 -0.780 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC(=O)NC(C)C ZINC001302311532 1073898879 /nfs/dbraw/zinc/89/88/79/1073898879.db2.gz MPJPQSVRFMZBTQ-HOCLYGCPSA-N 0 0 444.558 -0.392 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCOCCCO ZINC001302311926 1073898930 /nfs/dbraw/zinc/89/89/30/1073898930.db2.gz QTSBRRXFTVKWSR-BBWFWOEESA-N 0 0 438.529 -0.043 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCOCCCO ZINC001302311927 1073898855 /nfs/dbraw/zinc/89/88/55/1073898855.db2.gz QTSBRRXFTVKWSR-ULQDDVLXSA-N 0 0 438.529 -0.043 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001302311950 1073898987 /nfs/dbraw/zinc/89/89/87/1073898987.db2.gz RNUXLMFSYGGPGC-DZKIICNBSA-N 0 0 427.527 -0.237 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001302311951 1073898938 /nfs/dbraw/zinc/89/89/38/1073898938.db2.gz RNUXLMFSYGGPGC-YCPHGPKFSA-N 0 0 427.527 -0.237 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001302312228 1073898953 /nfs/dbraw/zinc/89/89/53/1073898953.db2.gz WVRLINQOCFBRSL-YDHLFZDLSA-N 0 0 435.485 -0.683 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1CCS(C)(=O)=O ZINC001302313299 1073898802 /nfs/dbraw/zinc/89/88/02/1073898802.db2.gz DOOBWNBIBQLSEU-JYJNAYRXSA-N 0 0 445.542 -0.612 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1CCS(C)(=O)=O ZINC001302313318 1073898832 /nfs/dbraw/zinc/89/88/32/1073898832.db2.gz DOOBWNBIBQLSEU-XHSDSOJGSA-N 0 0 445.542 -0.612 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@H]1COCCO1 ZINC001302315293 1073898908 /nfs/dbraw/zinc/89/89/08/1073898908.db2.gz KXWYRJODIFRYER-MHORFTMASA-N 0 0 439.513 -0.241 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1C[C@H]1COCCO1 ZINC001302315295 1073898900 /nfs/dbraw/zinc/89/89/00/1073898900.db2.gz KXWYRJODIFRYER-XSLAGTTESA-N 0 0 439.513 -0.241 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCS(=O)CC2)n1CC1(C(N)=O)CCOCC1 ZINC001302315882 1073898777 /nfs/dbraw/zinc/89/87/77/1073898777.db2.gz XETPGCLYBMUXDW-GJZGRUSLSA-N 0 0 441.554 -0.147 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)CC1 ZINC001302316446 1073898843 /nfs/dbraw/zinc/89/88/43/1073898843.db2.gz SOFLXPWLXYFFAW-CYBMUJFWSA-N 0 0 447.583 -0.280 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)CC1 ZINC001302316447 1073898977 /nfs/dbraw/zinc/89/89/77/1073898977.db2.gz SOFLXPWLXYFFAW-ZDUSSCGKSA-N 0 0 447.583 -0.280 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1CC(=O)NC(C)C ZINC001302316960 1073898949 /nfs/dbraw/zinc/89/89/49/1073898949.db2.gz UDMGSTBIVRKSLH-BQFCYCMXSA-N 0 0 438.529 -0.132 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1CC(=O)NC(C)C ZINC001302316961 1073898762 /nfs/dbraw/zinc/89/87/62/1073898762.db2.gz UDMGSTBIVRKSLH-JZXOWHBKSA-N 0 0 438.529 -0.132 20 0 IBADRN COC[C@H]1[C@@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCS(=O)(=O)N(C)C)CC[C@H]12 ZINC001302324040 1073898821 /nfs/dbraw/zinc/89/88/21/1073898821.db2.gz NFFSVWLEBDFZQA-GBJTYRQASA-N 0 0 426.543 -0.161 20 0 IBADRN COC[C@H]1[C@@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCS(=O)(=O)N(C)C)CC[C@H]12 ZINC001302324041 1073899606 /nfs/dbraw/zinc/89/96/06/1073899606.db2.gz NFFSVWLEBDFZQA-YJNKXOJESA-N 0 0 426.543 -0.161 20 0 IBADRN CC(C)(C)[S@](=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302324891 1073899688 /nfs/dbraw/zinc/89/96/88/1073899688.db2.gz UZXINPXIXIAYPN-BRRNQIAESA-N 0 0 433.538 -0.033 20 0 IBADRN CC(C)(C)[S@@](=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302324893 1073899432 /nfs/dbraw/zinc/89/94/32/1073899432.db2.gz UZXINPXIXIAYPN-FZPQWHJUSA-N 0 0 433.538 -0.033 20 0 IBADRN CC(C)(C)[S@](=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302324895 1073899332 /nfs/dbraw/zinc/89/93/32/1073899332.db2.gz UZXINPXIXIAYPN-GNDSEDMPSA-N 0 0 433.538 -0.033 20 0 IBADRN CC(C)(C)[S@@](=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302324897 1073899439 /nfs/dbraw/zinc/89/94/39/1073899439.db2.gz UZXINPXIXIAYPN-OSXTUODASA-N 0 0 433.538 -0.033 20 0 IBADRN CC(C)(C)[S@](=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302325713 1073899653 /nfs/dbraw/zinc/89/96/53/1073899653.db2.gz ZZTFYAJRKVTHSW-CFJPQMKISA-N 0 0 446.599 -0.142 20 0 IBADRN CC(C)(C)[S@](=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302325714 1073899595 /nfs/dbraw/zinc/89/95/95/1073899595.db2.gz ZZTFYAJRKVTHSW-DBDZUICISA-N 0 0 446.599 -0.142 20 0 IBADRN CC(C)(C)[S@@](=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302325715 1073899464 /nfs/dbraw/zinc/89/94/64/1073899464.db2.gz ZZTFYAJRKVTHSW-OIJAAMDRSA-N 0 0 446.599 -0.142 20 0 IBADRN CC(C)(C)[S@@](=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302325716 1073899421 /nfs/dbraw/zinc/89/94/21/1073899421.db2.gz ZZTFYAJRKVTHSW-QYSRSOONSA-N 0 0 446.599 -0.142 20 0 IBADRN CC(C)(Cn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)(=O)CC1)N1CCOCC1 ZINC001302335133 1073899454 /nfs/dbraw/zinc/89/94/54/1073899454.db2.gz SWKMNUDSKAVUEF-UHFFFAOYSA-N 0 0 440.570 -0.140 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN(C)[C@H](CO)C2)cc1 ZINC001302339822 1073899479 /nfs/dbraw/zinc/89/94/79/1073899479.db2.gz KFHQTQRXMSWPEP-KRWDZBQOSA-N 0 0 429.539 -0.281 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN(C)[C@@H](CO)C2)cc1 ZINC001302339823 1073899382 /nfs/dbraw/zinc/89/93/82/1073899382.db2.gz KFHQTQRXMSWPEP-QGZVFWFLSA-N 0 0 429.539 -0.281 20 0 IBADRN CON(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCS(=O)(=O)C(C)(C)C ZINC001302346753 1073899643 /nfs/dbraw/zinc/89/96/43/1073899643.db2.gz ALZDSOYZVZUTMX-GFCCVEGCSA-N 0 0 439.560 -0.178 20 0 IBADRN CON(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCS(=O)(=O)C(C)(C)C ZINC001302346754 1073899586 /nfs/dbraw/zinc/89/95/86/1073899586.db2.gz ALZDSOYZVZUTMX-LBPRGKRZSA-N 0 0 439.560 -0.178 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H](CO)[C@@H](O)c3ccccn3)CC2)cc1 ZINC001302347130 1073899699 /nfs/dbraw/zinc/89/96/99/1073899699.db2.gz FYUPBWOXLSNLJM-CTNGQTDRSA-N 0 0 448.545 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](CO)[C@@H](O)c3ccccn3)CC2)cc1 ZINC001302347131 1073899564 /nfs/dbraw/zinc/89/95/64/1073899564.db2.gz FYUPBWOXLSNLJM-FPOVZHCZSA-N 0 0 448.545 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](CO)[C@H](O)c3ccccn3)CC2)cc1 ZINC001302347132 1073900018 /nfs/dbraw/zinc/90/00/18/1073900018.db2.gz FYUPBWOXLSNLJM-PZJWPPBQSA-N 0 0 448.545 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H](CO)[C@H](O)c3ccccn3)CC2)cc1 ZINC001302347133 1073899985 /nfs/dbraw/zinc/89/99/85/1073899985.db2.gz FYUPBWOXLSNLJM-TZIWHRDSSA-N 0 0 448.545 -0.093 20 0 IBADRN CON(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccc(C)n(C)c1=O ZINC001302348462 1073900171 /nfs/dbraw/zinc/90/01/71/1073900171.db2.gz QVKHKOUIUQZNGF-AWEZNQCLSA-N 0 0 426.499 -0.336 20 0 IBADRN CON(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccc(C)n(C)c1=O ZINC001302348463 1073900130 /nfs/dbraw/zinc/90/01/30/1073900130.db2.gz QVKHKOUIUQZNGF-CQSZACIVSA-N 0 0 426.499 -0.336 20 0 IBADRN CON(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NCc1ccco1 ZINC001302348688 1073900023 /nfs/dbraw/zinc/90/00/23/1073900023.db2.gz RNCYJBQOVFSLOA-CYBMUJFWSA-N 0 0 428.471 -0.482 20 0 IBADRN CON(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NCc1ccco1 ZINC001302348690 1073900060 /nfs/dbraw/zinc/90/00/60/1073900060.db2.gz RNCYJBQOVFSLOA-ZDUSSCGKSA-N 0 0 428.471 -0.482 20 0 IBADRN CC(C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001302361812 1073900155 /nfs/dbraw/zinc/90/01/55/1073900155.db2.gz BBIZLSVFGDSAQV-UHFFFAOYSA-N 0 0 435.529 -0.141 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CCCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001302368882 1073900083 /nfs/dbraw/zinc/90/00/83/1073900083.db2.gz GTGVWJKZISXRKS-KRWDZBQOSA-N 0 0 436.557 -0.076 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CCCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001302368883 1073900047 /nfs/dbraw/zinc/90/00/47/1073900047.db2.gz GTGVWJKZISXRKS-QGZVFWFLSA-N 0 0 436.557 -0.076 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302368909 1073899970 /nfs/dbraw/zinc/89/99/70/1073899970.db2.gz HGTDJFUIMDZTMF-AWEZNQCLSA-N 0 0 440.570 -0.648 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302368916 1073900092 /nfs/dbraw/zinc/90/00/92/1073900092.db2.gz HGTDJFUIMDZTMF-CQSZACIVSA-N 0 0 440.570 -0.648 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001302369024 1073900180 /nfs/dbraw/zinc/90/01/80/1073900180.db2.gz HQIXAHCBAITXDG-GFCCVEGCSA-N 0 0 435.572 -0.531 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001302369025 1073900070 /nfs/dbraw/zinc/90/00/70/1073900070.db2.gz HQIXAHCBAITXDG-LBPRGKRZSA-N 0 0 435.572 -0.531 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001302369406 1073900013 /nfs/dbraw/zinc/90/00/13/1073900013.db2.gz OIKODRIEWKNIBF-CHWSQXEVSA-N 0 0 444.514 -0.977 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001302369407 1073900003 /nfs/dbraw/zinc/90/00/03/1073900003.db2.gz OIKODRIEWKNIBF-OLZOCXBDSA-N 0 0 444.514 -0.977 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001302369408 1073900104 /nfs/dbraw/zinc/90/01/04/1073900104.db2.gz OIKODRIEWKNIBF-QWHCGFSZSA-N 0 0 444.514 -0.977 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001302369409 1073899954 /nfs/dbraw/zinc/89/99/54/1073899954.db2.gz OIKODRIEWKNIBF-STQMWFEESA-N 0 0 444.514 -0.977 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)N2CCOCC2)C1 ZINC001302375149 1073900115 /nfs/dbraw/zinc/90/01/15/1073900115.db2.gz COEONFYNABWLDX-HUUCEWRRSA-N 0 0 427.527 -0.346 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)N2CCOCC2)C1 ZINC001302375150 1073900672 /nfs/dbraw/zinc/90/06/72/1073900672.db2.gz COEONFYNABWLDX-LSDHHAIUSA-N 0 0 427.527 -0.346 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCN2S(C)(=O)=O)C1 ZINC001302375435 1073900609 /nfs/dbraw/zinc/90/06/09/1073900609.db2.gz GRQROMBBIZMFFO-RBSFLKMASA-N 0 0 447.583 -0.171 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCN2S(C)(=O)=O)C1 ZINC001302375437 1073900581 /nfs/dbraw/zinc/90/05/81/1073900581.db2.gz GRQROMBBIZMFFO-RRFJBIMHSA-N 0 0 447.583 -0.171 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCc2ccn(C)n2)C1 ZINC001302375442 1073900635 /nfs/dbraw/zinc/90/06/35/1073900635.db2.gz GUOKIUPBLPNVPU-CVEARBPZSA-N 0 0 439.542 -0.188 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCc2ccn(C)n2)C1 ZINC001302375444 1073900644 /nfs/dbraw/zinc/90/06/44/1073900644.db2.gz GUOKIUPBLPNVPU-HZPDHXFCSA-N 0 0 439.542 -0.188 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOCCCO)C1 ZINC001302375948 1073900625 /nfs/dbraw/zinc/90/06/25/1073900625.db2.gz LWDRJQDGNROBPM-CABCVRRESA-N 0 0 433.531 -0.765 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOCCCO)C1 ZINC001302375949 1073900618 /nfs/dbraw/zinc/90/06/18/1073900618.db2.gz LWDRJQDGNROBPM-HUUCEWRRSA-N 0 0 433.531 -0.765 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302376229 1073900639 /nfs/dbraw/zinc/90/06/39/1073900639.db2.gz OINJXWNOTYFLBC-MCIONIFRSA-N 0 0 428.515 -0.885 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302376373 1073900629 /nfs/dbraw/zinc/90/06/29/1073900629.db2.gz OINJXWNOTYFLBC-MGPQQGTHSA-N 0 0 428.515 -0.885 20 0 IBADRN CC(C)=CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302383057 1073900666 /nfs/dbraw/zinc/90/06/66/1073900666.db2.gz HKZGPJZBVCRXSN-CABCVRRESA-N 0 0 436.538 -0.317 20 0 IBADRN CC(C)=CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302383058 1073900675 /nfs/dbraw/zinc/90/06/75/1073900675.db2.gz HKZGPJZBVCRXSN-GJZGRUSLSA-N 0 0 436.538 -0.317 20 0 IBADRN CC(C)=CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302383059 1073900593 /nfs/dbraw/zinc/90/05/93/1073900593.db2.gz HKZGPJZBVCRXSN-HUUCEWRRSA-N 0 0 436.538 -0.317 20 0 IBADRN CC(C)=CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302383060 1073900689 /nfs/dbraw/zinc/90/06/89/1073900689.db2.gz HKZGPJZBVCRXSN-LSDHHAIUSA-N 0 0 436.538 -0.317 20 0 IBADRN CO[C@@H]1CC[C@@H]2CN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3CCNS(C)(=O)=O)C[C@H]12 ZINC001302383476 1073900657 /nfs/dbraw/zinc/90/06/57/1073900657.db2.gz XSIIMMWOVBJHIH-APIJFGDWSA-N 0 0 447.583 -0.409 20 0 IBADRN CO[C@@H]1CC[C@@H]2CN(c3nnc([C@H]4CCS(=O)(=O)C4)n3CCNS(C)(=O)=O)C[C@H]12 ZINC001302383477 1073900686 /nfs/dbraw/zinc/90/06/86/1073900686.db2.gz XSIIMMWOVBJHIH-CBBWQLFWSA-N 0 0 447.583 -0.409 20 0 IBADRN CO[C@@H]1CC[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3C[C@@]3(O)CCS(=O)(=O)C3)C[C@H]12 ZINC001302384194 1073900614 /nfs/dbraw/zinc/90/06/14/1073900614.db2.gz QQXZTGKLWVLAHQ-GJRWTSMGSA-N 0 0 441.554 -0.173 20 0 IBADRN CO[C@@H]1CC[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3C[C@]3(O)CCS(=O)(=O)C3)C[C@H]12 ZINC001302384195 1073900661 /nfs/dbraw/zinc/90/06/61/1073900661.db2.gz QQXZTGKLWVLAHQ-NXEZDXNNSA-N 0 0 441.554 -0.173 20 0 IBADRN C[C@]1(CO)CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@@]1(C)CO ZINC001302392496 1073901251 /nfs/dbraw/zinc/90/12/51/1073901251.db2.gz VKIZTEMWNVHHBM-KDURUIRLSA-N 0 0 427.523 -0.376 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)N2CCSCC2)C1 ZINC001302396786 1073901224 /nfs/dbraw/zinc/90/12/24/1073901224.db2.gz WSMBTVOHCMIOKQ-KBPBESRZSA-N 0 0 444.583 -0.167 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)N2CCSCC2)C1 ZINC001302396800 1073901164 /nfs/dbraw/zinc/90/11/64/1073901164.db2.gz WSMBTVOHCMIOKQ-UONOGXRCSA-N 0 0 444.583 -0.167 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCN(C(C)=O)CC2)C1 ZINC001302397641 1073901239 /nfs/dbraw/zinc/90/12/39/1073901239.db2.gz HPNYLXYLTWLUBO-IRXDYDNUSA-N 0 0 440.570 -0.431 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCN(C(C)=O)CC2)C1 ZINC001302397647 1073901106 /nfs/dbraw/zinc/90/11/06/1073901106.db2.gz HPNYLXYLTWLUBO-SJORKVTESA-N 0 0 440.570 -0.431 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCS(C)(=O)=O)CC1 ZINC001302398012 1073901087 /nfs/dbraw/zinc/90/10/87/1073901087.db2.gz HOSAHTDKXXELKC-UHFFFAOYSA-N 0 0 426.543 -0.137 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)CC1 ZINC001302401563 1073901276 /nfs/dbraw/zinc/90/12/76/1073901276.db2.gz MKCTVZJDJVKMIX-KBXCAEBGSA-N 0 0 444.558 -0.656 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CC1 ZINC001302401564 1073901301 /nfs/dbraw/zinc/90/13/01/1073901301.db2.gz MKCTVZJDJVKMIX-KDOFPFPSSA-N 0 0 444.558 -0.656 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CC1 ZINC001302401566 1073901215 /nfs/dbraw/zinc/90/12/15/1073901215.db2.gz MKCTVZJDJVKMIX-KSSFIOAISA-N 0 0 444.558 -0.656 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)CC1 ZINC001302401568 1073901146 /nfs/dbraw/zinc/90/11/46/1073901146.db2.gz MKCTVZJDJVKMIX-RDTXWAMCSA-N 0 0 444.558 -0.656 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnn(C)c2)CC1 ZINC001302401930 1073901155 /nfs/dbraw/zinc/90/11/55/1073901155.db2.gz OWXWNDLHZVFYMN-AWEZNQCLSA-N 0 0 438.558 -0.025 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnn(C)c2)CC1 ZINC001302401931 1073901119 /nfs/dbraw/zinc/90/11/19/1073901119.db2.gz OWXWNDLHZVFYMN-CQSZACIVSA-N 0 0 438.558 -0.025 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C[C@@H]1OC ZINC001302405509 1073901133 /nfs/dbraw/zinc/90/11/33/1073901133.db2.gz ANEKESQWWFWPTJ-MCIONIFRSA-N 0 0 433.531 -0.720 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C[C@@H]1OC ZINC001302405510 1073901296 /nfs/dbraw/zinc/90/12/96/1073901296.db2.gz ANEKESQWWFWPTJ-MELADBBJSA-N 0 0 433.531 -0.720 20 0 IBADRN CO[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CC(=O)N2CCOCC2)C[C@@H]1OC ZINC001302405582 1073901234 /nfs/dbraw/zinc/90/12/34/1073901234.db2.gz CWSGEEARUVCRCH-GASCZTMLSA-N 0 0 445.480 -0.366 20 0 IBADRN CO[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)NC(C)C)C[C@@H]1OC ZINC001302405909 1073901263 /nfs/dbraw/zinc/90/12/63/1073901263.db2.gz LYUXXERRLZTKAJ-OKILXGFUSA-N 0 0 432.547 -0.406 20 0 IBADRN CO[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCN(C(C)=O)CC2)C[C@@H]1OC ZINC001302406026 1073901743 /nfs/dbraw/zinc/90/17/43/1073901743.db2.gz NTZSREXPNCAVOQ-HDICACEKSA-N 0 0 449.556 -0.472 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnn(C)c2C)C[C@@H]1OC ZINC001302406139 1073901672 /nfs/dbraw/zinc/90/16/72/1073901672.db2.gz QVSFHVORBRUTNJ-DFBGVHRSSA-N 0 0 427.531 -0.171 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnn(C)c2C)C[C@@H]1OC ZINC001302406140 1073901797 /nfs/dbraw/zinc/90/17/97/1073901797.db2.gz QVSFHVORBRUTNJ-TUKIKUTGSA-N 0 0 427.531 -0.171 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001302406445 1073901782 /nfs/dbraw/zinc/90/17/82/1073901782.db2.gz ZSKMXTMHLUMKSZ-IBGZPJMESA-N 0 0 449.537 -0.411 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc(-c3cnccn3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001302406446 1073901791 /nfs/dbraw/zinc/90/17/91/1073901791.db2.gz ZSKMXTMHLUMKSZ-LJQANCHMSA-N 0 0 449.537 -0.411 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@H]2COCCO2)C[C@@H]1OC ZINC001302406573 1073901718 /nfs/dbraw/zinc/90/17/18/1073901718.db2.gz BDDCPCQCQOGVPG-BARDWOONSA-N 0 0 433.531 -0.456 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@@H]2COCCO2)C[C@@H]1OC ZINC001302406574 1073901755 /nfs/dbraw/zinc/90/17/55/1073901755.db2.gz BDDCPCQCQOGVPG-LJISPDSOSA-N 0 0 433.531 -0.456 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@@H]2COCCO2)C[C@@H]1OC ZINC001302406575 1073901763 /nfs/dbraw/zinc/90/17/63/1073901763.db2.gz BDDCPCQCQOGVPG-TUVASFSCSA-N 0 0 433.531 -0.456 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@H]2COCCO2)C[C@@H]1OC ZINC001302406576 1073901705 /nfs/dbraw/zinc/90/17/05/1073901705.db2.gz BDDCPCQCQOGVPG-ZQDZILKHSA-N 0 0 433.531 -0.456 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C[C@@H]1OC ZINC001302406909 1073901738 /nfs/dbraw/zinc/90/17/38/1073901738.db2.gz ILHVEUOHSBWWIP-BNOWGMLFSA-N 0 0 430.531 -0.736 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C[C@@H]1OC ZINC001302406910 1073901727 /nfs/dbraw/zinc/90/17/27/1073901727.db2.gz ILHVEUOHSBWWIP-FPMFFAJLSA-N 0 0 430.531 -0.736 20 0 IBADRN CO[C@@H]1CN(c2nnc(-c3cccn3C)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@@H]1OC ZINC001302409507 1073901767 /nfs/dbraw/zinc/90/17/67/1073901767.db2.gz JHWGWNGXAXTARA-DAYGRLMNSA-N 0 0 425.511 -0.317 20 0 IBADRN CO[C@@H]1CN(c2nnc(-c3cccn3C)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@@H]1OC ZINC001302409515 1073901658 /nfs/dbraw/zinc/90/16/58/1073901658.db2.gz JHWGWNGXAXTARA-RVKKMQEKSA-N 0 0 425.511 -0.317 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@@H]2COCCO2)C[C@@H]1OC ZINC001302415294 1073901699 /nfs/dbraw/zinc/90/16/99/1073901699.db2.gz AJAMPTSMKHHDNN-FPCVCCKLSA-N 0 0 430.527 -0.164 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@H]2COCCO2)C[C@@H]1OC ZINC001302415295 1073901711 /nfs/dbraw/zinc/90/17/11/1073901711.db2.gz AJAMPTSMKHHDNN-QXSJWSMHSA-N 0 0 430.527 -0.164 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@@H]2COCCO2)C[C@@H]1OC ZINC001302415296 1073901773 /nfs/dbraw/zinc/90/17/73/1073901773.db2.gz AJAMPTSMKHHDNN-XUWVNRHRSA-N 0 0 430.527 -0.164 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@H]2COCCO2)C[C@@H]1OC ZINC001302415297 1073901679 /nfs/dbraw/zinc/90/16/79/1073901679.db2.gz AJAMPTSMKHHDNN-YHUYYLMFSA-N 0 0 430.527 -0.164 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCOC2=O)C[C@@H]1OC ZINC001302416536 1073901687 /nfs/dbraw/zinc/90/16/87/1073901687.db2.gz QXWMYBUOYQPXQL-KFWWJZLASA-N 0 0 443.526 -0.128 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCOC2=O)C[C@@H]1OC ZINC001302416542 1073901780 /nfs/dbraw/zinc/90/17/80/1073901780.db2.gz QXWMYBUOYQPXQL-SOUVJXGZSA-N 0 0 443.526 -0.128 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)N(C)C)C[C@@H]1OC ZINC001302418179 1073901667 /nfs/dbraw/zinc/90/16/67/1073901667.db2.gz FBBLSOJGVVUXAQ-OKILXGFUSA-N 0 0 430.531 -0.858 20 0 IBADRN CO[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2CCC[C@@H]2NC(=O)NC2=O)C[C@@H]1OC ZINC001302419259 1073902280 /nfs/dbraw/zinc/90/22/80/1073902280.db2.gz NGFFFCZZAHRWMV-HRCADAONSA-N 0 0 446.512 -0.022 20 0 IBADRN CO[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2CCS(=O)(=O)N(C)C)C[C@@H]1OC ZINC001302419851 1073902361 /nfs/dbraw/zinc/90/23/61/1073902361.db2.gz SIEJEEWBTGJSMI-IYBDPMFKSA-N 0 0 441.558 -0.119 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2Cc2ccc(C(N)=O)nc2)C[C@@H]1OC ZINC001302420331 1073902368 /nfs/dbraw/zinc/90/23/68/1073902368.db2.gz UNDRJVAKCNEGTN-IYBDPMFKSA-N 0 0 429.481 -0.207 20 0 IBADRN CC(C)C(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CC1 ZINC001302422324 1073902393 /nfs/dbraw/zinc/90/23/93/1073902393.db2.gz JVUGACJRNRBTFN-INIZCTEOSA-N 0 0 447.540 -0.171 20 0 IBADRN CC(C)C(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CC1 ZINC001302422326 1073902379 /nfs/dbraw/zinc/90/23/79/1073902379.db2.gz JVUGACJRNRBTFN-MRXNPFEDSA-N 0 0 447.540 -0.171 20 0 IBADRN CS(=O)(=O)C1(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCC(CO)CC2)CC1 ZINC001302427190 1073902311 /nfs/dbraw/zinc/90/23/11/1073902311.db2.gz HVWASXXXUGZULD-AWEZNQCLSA-N 0 0 432.568 -0.034 20 0 IBADRN CS(=O)(=O)C1(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCC(CO)CC2)CC1 ZINC001302427191 1073902239 /nfs/dbraw/zinc/90/22/39/1073902239.db2.gz HVWASXXXUGZULD-CQSZACIVSA-N 0 0 432.568 -0.034 20 0 IBADRN CS(=O)(=O)c1cccc(-c2nnc(N3CCNC(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)c1 ZINC001302430265 1073902247 /nfs/dbraw/zinc/90/22/47/1073902247.db2.gz SAZGTKJLOOQKJF-LSDHHAIUSA-N 0 0 435.506 -0.175 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302438629 1073902304 /nfs/dbraw/zinc/90/23/04/1073902304.db2.gz HNDHKSJVDBADLO-BFHYXJOUSA-N 0 0 445.567 -0.528 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302438630 1073902404 /nfs/dbraw/zinc/90/24/04/1073902404.db2.gz HNDHKSJVDBADLO-MCIONIFRSA-N 0 0 445.567 -0.528 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302438631 1073902326 /nfs/dbraw/zinc/90/23/26/1073902326.db2.gz HNDHKSJVDBADLO-MGPQQGTHSA-N 0 0 445.567 -0.528 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001302438632 1073902289 /nfs/dbraw/zinc/90/22/89/1073902289.db2.gz HNDHKSJVDBADLO-MJBXVCDLSA-N 0 0 445.567 -0.528 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2CC2(S(C)(=O)=O)CC2)C1 ZINC001302439179 1073902350 /nfs/dbraw/zinc/90/23/50/1073902350.db2.gz DLOYFHFRCGWLIC-GFCCVEGCSA-N 0 0 426.524 -0.064 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(-c3cnccn3)n2CC2(S(C)(=O)=O)CC2)C1 ZINC001302439184 1073902343 /nfs/dbraw/zinc/90/23/43/1073902343.db2.gz DLOYFHFRCGWLIC-LBPRGKRZSA-N 0 0 426.524 -0.064 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(-c3ccc[nH]3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001302439195 1073902315 /nfs/dbraw/zinc/90/23/15/1073902315.db2.gz DOTVCEQASGWTCH-CYBMUJFWSA-N 0 0 443.551 -0.164 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(-c3ccc[nH]3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001302439203 1073902263 /nfs/dbraw/zinc/90/22/63/1073902263.db2.gz DOTVCEQASGWTCH-ZDUSSCGKSA-N 0 0 443.551 -0.164 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2C[C@H]2CCS(=O)(=O)C2)C1 ZINC001302439475 1073902905 /nfs/dbraw/zinc/90/29/05/1073902905.db2.gz HVDOVGBSTWSRIK-CHWSQXEVSA-N 0 0 426.524 -0.207 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(-c3cnccn3)n2C[C@H]2CCS(=O)(=O)C2)C1 ZINC001302439477 1073903063 /nfs/dbraw/zinc/90/30/63/1073903063.db2.gz HVDOVGBSTWSRIK-OLZOCXBDSA-N 0 0 426.524 -0.207 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001302439479 1073902985 /nfs/dbraw/zinc/90/29/85/1073902985.db2.gz HVDOVGBSTWSRIK-QWHCGFSZSA-N 0 0 426.524 -0.207 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(-c3cnccn3)n2C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001302439481 1073902969 /nfs/dbraw/zinc/90/29/69/1073902969.db2.gz HVDOVGBSTWSRIK-STQMWFEESA-N 0 0 426.524 -0.207 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)C1 ZINC001302439963 1073903017 /nfs/dbraw/zinc/90/30/17/1073903017.db2.gz NTUMNQGFCDSYFG-CKEIUWERSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)C1 ZINC001302439970 1073902925 /nfs/dbraw/zinc/90/29/25/1073902925.db2.gz NORCLDSTPOECIV-NXEZZACHSA-N 0 0 438.432 -0.469 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)C1 ZINC001302439971 1073903025 /nfs/dbraw/zinc/90/30/25/1073903025.db2.gz NORCLDSTPOECIV-UWVGGRQHSA-N 0 0 438.432 -0.469 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)C1 ZINC001302439972 1073903069 /nfs/dbraw/zinc/90/30/69/1073903069.db2.gz NORCLDSTPOECIV-VHSXEESVSA-N 0 0 438.432 -0.469 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)C1 ZINC001302439973 1073902897 /nfs/dbraw/zinc/90/28/97/1073902897.db2.gz NTUMNQGFCDSYFG-CPUCHLNUSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)C1 ZINC001302439974 1073902959 /nfs/dbraw/zinc/90/29/59/1073902959.db2.gz NORCLDSTPOECIV-ZJUUUORDSA-N 0 0 438.432 -0.469 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)C1 ZINC001302439975 1073903049 /nfs/dbraw/zinc/90/30/49/1073903049.db2.gz NTUMNQGFCDSYFG-JJRVBVJISA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)C1 ZINC001302439977 1073903038 /nfs/dbraw/zinc/90/30/38/1073903038.db2.gz NTUMNQGFCDSYFG-VBQJREDUSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001302440179 1073902860 /nfs/dbraw/zinc/90/28/60/1073902860.db2.gz PIUJCNGPTXWXNA-GUTXKFCHSA-N 0 0 427.527 -0.218 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001302440180 1073902874 /nfs/dbraw/zinc/90/28/74/1073902874.db2.gz PIUJCNGPTXWXNA-GZBFAFLISA-N 0 0 427.527 -0.218 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)NCC(F)F)CC1 ZINC001302440547 1073902915 /nfs/dbraw/zinc/90/29/15/1073902915.db2.gz ZWTKPIBBOBETNQ-UHFFFAOYSA-N 0 0 448.496 -0.091 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCCOC3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001302440587 1073902884 /nfs/dbraw/zinc/90/28/84/1073902884.db2.gz UMEUCOPMWDPPSS-IHRRRGAJSA-N 0 0 440.526 -0.216 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCCOC3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001302440588 1073902936 /nfs/dbraw/zinc/90/29/36/1073902936.db2.gz UMEUCOPMWDPPSS-MCIONIFRSA-N 0 0 440.526 -0.216 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCCOC3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001302440589 1073903010 /nfs/dbraw/zinc/90/30/10/1073903010.db2.gz UMEUCOPMWDPPSS-MJBXVCDLSA-N 0 0 440.526 -0.216 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCCOC3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001302440590 1073902947 /nfs/dbraw/zinc/90/29/47/1073902947.db2.gz UMEUCOPMWDPPSS-RDBSUJKOSA-N 0 0 440.526 -0.216 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001302440951 1073902997 /nfs/dbraw/zinc/90/29/97/1073902997.db2.gz ZIUBULFVCHUAPM-CYBMUJFWSA-N 0 0 445.567 -0.180 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001302440953 1073903578 /nfs/dbraw/zinc/90/35/78/1073903578.db2.gz ZIUBULFVCHUAPM-ZDUSSCGKSA-N 0 0 445.567 -0.180 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C1 ZINC001302441023 1073903527 /nfs/dbraw/zinc/90/35/27/1073903527.db2.gz VYPGGURNZISNBH-GHMZBOCLSA-N 0 0 434.469 -0.376 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C1 ZINC001302441037 1073903519 /nfs/dbraw/zinc/90/35/19/1073903519.db2.gz VYPGGURNZISNBH-MNOVXSKESA-N 0 0 434.469 -0.376 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C1 ZINC001302441039 1073903512 /nfs/dbraw/zinc/90/35/12/1073903512.db2.gz VYPGGURNZISNBH-QWRGUYRKSA-N 0 0 434.469 -0.376 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C1 ZINC001302441041 1073903641 /nfs/dbraw/zinc/90/36/41/1073903641.db2.gz VYPGGURNZISNBH-WDEREUQCSA-N 0 0 434.469 -0.376 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(Cc3cccnc3)n2CC(=O)N2CCOCC2)C1 ZINC001302444500 1073903557 /nfs/dbraw/zinc/90/35/57/1073903557.db2.gz BRBJVVFVLPPIAS-INIZCTEOSA-N 0 0 434.522 -0.254 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(Cc3cccnc3)n2CC(=O)N2CCOCC2)C1 ZINC001302444502 1073903494 /nfs/dbraw/zinc/90/34/94/1073903494.db2.gz BRBJVVFVLPPIAS-MRXNPFEDSA-N 0 0 434.522 -0.254 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001302445153 1073903618 /nfs/dbraw/zinc/90/36/18/1073903618.db2.gz HTWAXBANTKXSIE-BARDWOONSA-N 0 0 448.567 -0.657 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001302445154 1073903645 /nfs/dbraw/zinc/90/36/45/1073903645.db2.gz HTWAXBANTKXSIE-BYNSBNAKSA-N 0 0 448.567 -0.657 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001302445155 1073903638 /nfs/dbraw/zinc/90/36/38/1073903638.db2.gz HTWAXBANTKXSIE-LXTVHRRPSA-N 0 0 448.567 -0.657 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001302445156 1073903483 /nfs/dbraw/zinc/90/34/83/1073903483.db2.gz HTWAXBANTKXSIE-XQLPTFJDSA-N 0 0 448.567 -0.657 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(Cc3ccccn3)n2CC(=O)N2CCOCC2)C1 ZINC001302446045 1073903635 /nfs/dbraw/zinc/90/36/35/1073903635.db2.gz OFUXYAAIDQHEGC-INIZCTEOSA-N 0 0 434.522 -0.254 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(Cc3ccccn3)n2CC(=O)N2CCOCC2)C1 ZINC001302446046 1073903535 /nfs/dbraw/zinc/90/35/35/1073903535.db2.gz OFUXYAAIDQHEGC-MRXNPFEDSA-N 0 0 434.522 -0.254 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(Cc3ccncc3)n2CC(=O)N2CCOCC2)C1 ZINC001302446075 1073903632 /nfs/dbraw/zinc/90/36/32/1073903632.db2.gz PIHUBHQFHLRRCU-INIZCTEOSA-N 0 0 434.522 -0.254 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(Cc3ccncc3)n2CC(=O)N2CCOCC2)C1 ZINC001302446076 1073903586 /nfs/dbraw/zinc/90/35/86/1073903586.db2.gz PIHUBHQFHLRRCU-MRXNPFEDSA-N 0 0 434.522 -0.254 20 0 IBADRN CC(C)C(=O)NCCCn1c(-c2cnccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302446742 1073903622 /nfs/dbraw/zinc/90/36/22/1073903622.db2.gz RZUNKAJXYLAPQX-AWEZNQCLSA-N 0 0 441.496 -0.956 20 0 IBADRN CC(C)C(=O)NCCCn1c(-c2cnccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302446743 1073903548 /nfs/dbraw/zinc/90/35/48/1073903548.db2.gz RZUNKAJXYLAPQX-CQSZACIVSA-N 0 0 441.496 -0.956 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001302446843 1073903605 /nfs/dbraw/zinc/90/36/05/1073903605.db2.gz CHLSLNQMGSOWJD-MLGOLLRUSA-N 0 0 439.556 -0.676 20 0 IBADRN CS(=O)(=O)Cc1ccc(Cn2c(CN3CCCC3=O)nnc2N2CCNC(=O)C2)cc1 ZINC001302447969 1073903595 /nfs/dbraw/zinc/90/35/95/1073903595.db2.gz BKAGDHFOINNLIF-UHFFFAOYSA-N 0 0 446.533 -0.070 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CCOCC2)CC1 ZINC001302448597 1073903562 /nfs/dbraw/zinc/90/35/62/1073903562.db2.gz CEFNQAJZNNRPPA-UHFFFAOYSA-N 0 0 441.554 -0.045 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001302448889 1073904195 /nfs/dbraw/zinc/90/41/95/1073904195.db2.gz JBFPILAAHBDXDX-KBPBESRZSA-N 0 0 445.567 -0.542 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCS(=O)(=O)C2)C1 ZINC001302448890 1073904165 /nfs/dbraw/zinc/90/41/65/1073904165.db2.gz JBFPILAAHBDXDX-KGLIPLIRSA-N 0 0 445.567 -0.542 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001302448891 1073904081 /nfs/dbraw/zinc/90/40/81/1073904081.db2.gz JBFPILAAHBDXDX-UONOGXRCSA-N 0 0 445.567 -0.542 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCS(=O)(=O)C2)C1 ZINC001302448892 1073904112 /nfs/dbraw/zinc/90/41/12/1073904112.db2.gz JBFPILAAHBDXDX-ZIAGYGMSSA-N 0 0 445.567 -0.542 20 0 IBADRN CS(=O)(=O)CC1(Cn2c(CN3CCCC3=O)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001302449160 1073904227 /nfs/dbraw/zinc/90/42/27/1073904227.db2.gz NKCONYIYZKMVLF-UHFFFAOYSA-N 0 0 445.567 -0.540 20 0 IBADRN CS(=O)(=O)CCCn1c(C(=O)N2CCCC2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001302449276 1073904152 /nfs/dbraw/zinc/90/41/52/1073904152.db2.gz DRNNVFAMMCULLX-UHFFFAOYSA-N 0 0 447.583 -0.038 20 0 IBADRN CS(=O)(=O)CCCn1c(C(=O)Nc2ccccn2)nnc1N1CCS(=O)CC1 ZINC001302450043 1073904238 /nfs/dbraw/zinc/90/42/38/1073904238.db2.gz OSKQFVYOCSVECZ-UHFFFAOYSA-N 0 0 426.524 -0.071 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC001302451339 1073904117 /nfs/dbraw/zinc/90/41/17/1073904117.db2.gz PDLDRBIOGHSJTQ-UHFFFAOYSA-N 0 0 433.430 -0.081 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1ccn(C)n1 ZINC001302452345 1073904232 /nfs/dbraw/zinc/90/42/32/1073904232.db2.gz SLYKRVWXDDLIFG-CYBMUJFWSA-N 0 0 426.547 -0.122 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1ccn(C)n1 ZINC001302452363 1073904171 /nfs/dbraw/zinc/90/41/71/1073904171.db2.gz SLYKRVWXDDLIFG-ZDUSSCGKSA-N 0 0 426.547 -0.122 20 0 IBADRN CS(=O)(=O)CCCn1c(C2CC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001302455482 1073904244 /nfs/dbraw/zinc/90/42/44/1073904244.db2.gz BATNXQHVPYNCTG-UHFFFAOYSA-N 0 0 440.570 -0.429 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001302455500 1073904134 /nfs/dbraw/zinc/90/41/34/1073904134.db2.gz BTTTYIDVVSEJAX-GFCCVEGCSA-N 0 0 426.499 -0.257 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001302455501 1073904125 /nfs/dbraw/zinc/90/41/25/1073904125.db2.gz BTTTYIDVVSEJAX-LBPRGKRZSA-N 0 0 426.499 -0.257 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001302455688 1073904095 /nfs/dbraw/zinc/90/40/95/1073904095.db2.gz GEYCENFBOAWAEW-CVEARBPZSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001302455689 1073904211 /nfs/dbraw/zinc/90/42/11/1073904211.db2.gz GEYCENFBOAWAEW-HOTGVXAUSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001302455690 1073904143 /nfs/dbraw/zinc/90/41/43/1073904143.db2.gz GEYCENFBOAWAEW-HZPDHXFCSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001302455691 1073904181 /nfs/dbraw/zinc/90/41/81/1073904181.db2.gz GEYCENFBOAWAEW-JKSUJKDBSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001302455714 1073904159 /nfs/dbraw/zinc/90/41/59/1073904159.db2.gz GWXPZELUMCLNQG-CYBMUJFWSA-N 0 0 433.556 -0.323 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001302455715 1073904205 /nfs/dbraw/zinc/90/42/05/1073904205.db2.gz GWXPZELUMCLNQG-ZDUSSCGKSA-N 0 0 433.556 -0.323 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C[C@H]2CCCO2)CC1 ZINC001302456083 1073904221 /nfs/dbraw/zinc/90/42/21/1073904221.db2.gz MPQNKLLALLWFAV-CVEARBPZSA-N 0 0 440.570 -0.035 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C[C@@H]2CCCO2)CC1 ZINC001302456084 1073904775 /nfs/dbraw/zinc/90/47/75/1073904775.db2.gz MPQNKLLALLWFAV-HOTGVXAUSA-N 0 0 440.570 -0.035 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C[C@H]2CCCO2)CC1 ZINC001302456085 1073904828 /nfs/dbraw/zinc/90/48/28/1073904828.db2.gz MPQNKLLALLWFAV-HZPDHXFCSA-N 0 0 440.570 -0.035 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C[C@@H]2CCCO2)CC1 ZINC001302456086 1073904791 /nfs/dbraw/zinc/90/47/91/1073904791.db2.gz MPQNKLLALLWFAV-JKSUJKDBSA-N 0 0 440.570 -0.035 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc(-c2cnn(C)c2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302456555 1073904812 /nfs/dbraw/zinc/90/48/12/1073904812.db2.gz XJCNGGUPEFTZDC-GOSISDBHSA-N 0 0 439.542 -0.563 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc(-c2cnn(C)c2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302456556 1073904805 /nfs/dbraw/zinc/90/48/05/1073904805.db2.gz XJCNGGUPEFTZDC-SFHVURJKSA-N 0 0 439.542 -0.563 20 0 IBADRN CS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001302456790 1073904760 /nfs/dbraw/zinc/90/47/60/1073904760.db2.gz AYJOMRHAIUVILW-INIZCTEOSA-N 0 0 440.570 -0.283 20 0 IBADRN CS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001302456791 1073904818 /nfs/dbraw/zinc/90/48/18/1073904818.db2.gz AYJOMRHAIUVILW-MRXNPFEDSA-N 0 0 440.570 -0.283 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCOC1)C1CC1 ZINC001302456892 1073904754 /nfs/dbraw/zinc/90/47/54/1073904754.db2.gz FJROQMVFRVTPGH-CXAGYDPISA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCOC1)C1CC1 ZINC001302456893 1073904831 /nfs/dbraw/zinc/90/48/31/1073904831.db2.gz FJROQMVFRVTPGH-DYVFJYSZSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCOC1)C1CC1 ZINC001302456894 1073904718 /nfs/dbraw/zinc/90/47/18/1073904718.db2.gz FJROQMVFRVTPGH-GUYCJALGSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCOC1)C1CC1 ZINC001302456895 1073904727 /nfs/dbraw/zinc/90/47/27/1073904727.db2.gz FJROQMVFRVTPGH-SUMWQHHRSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001302457123 1073904746 /nfs/dbraw/zinc/90/47/46/1073904746.db2.gz MLSIKJQKLOEDGN-OLZOCXBDSA-N 0 0 426.499 -0.507 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@H]2CCCO2)n1C[C@@]1(O)CCS(=O)(=O)C1)C1CC1 ZINC001302457186 1073904780 /nfs/dbraw/zinc/90/47/80/1073904780.db2.gz OSSPDXLTXZIGPP-PBHICJAKSA-N 0 0 448.567 -0.307 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@H]2CCCO2)n1C[C@]1(O)CCS(=O)(=O)C1)C1CC1 ZINC001302457187 1073904798 /nfs/dbraw/zinc/90/47/98/1073904798.db2.gz OSSPDXLTXZIGPP-RHSMWYFYSA-N 0 0 448.567 -0.307 20 0 IBADRN CS(=O)(=O)CCN(c1nnc(CN2CCCC2=O)n1CC1(O)CCOCC1)C1CC1 ZINC001302457346 1073904771 /nfs/dbraw/zinc/90/47/71/1073904771.db2.gz VBCKXHVAUNSUCZ-UHFFFAOYSA-N 0 0 441.554 -0.045 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCOC2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302457488 1073904736 /nfs/dbraw/zinc/90/47/36/1073904736.db2.gz AFJOQJBJDBGOBW-HNNXBMFYSA-N 0 0 440.570 -0.383 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCOC2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302457494 1073904765 /nfs/dbraw/zinc/90/47/65/1073904765.db2.gz AFJOQJBJDBGOBW-OAHLLOKOSA-N 0 0 440.570 -0.383 20 0 IBADRN CS(=O)(=O)CCCn1c(CN2CCCC2=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001302457609 1073904785 /nfs/dbraw/zinc/90/47/85/1073904785.db2.gz BSFPQUSRCNYCAW-CABCVRRESA-N 0 0 438.554 -0.202 20 0 IBADRN CS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001302457634 1073904824 /nfs/dbraw/zinc/90/48/24/1073904824.db2.gz YQKOSHQMHIIGFV-KRWDZBQOSA-N 0 0 445.567 -0.426 20 0 IBADRN CS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001302457637 1073904708 /nfs/dbraw/zinc/90/47/08/1073904708.db2.gz YQKOSHQMHIIGFV-QGZVFWFLSA-N 0 0 445.567 -0.426 20 0 IBADRN CS(=O)(=O)CCCn1c(CC2CC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001302457819 1073905098 /nfs/dbraw/zinc/90/50/98/1073905098.db2.gz CVUBERIETGZWTC-UHFFFAOYSA-N 0 0 438.554 -0.408 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302458605 1073905430 /nfs/dbraw/zinc/90/54/30/1073905430.db2.gz JLYBQTKYGLCFFZ-KBPBESRZSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302458608 1073905227 /nfs/dbraw/zinc/90/52/27/1073905227.db2.gz JLYBQTKYGLCFFZ-KGLIPLIRSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302458610 1073905444 /nfs/dbraw/zinc/90/54/44/1073905444.db2.gz JLYBQTKYGLCFFZ-UONOGXRCSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302458612 1073905267 /nfs/dbraw/zinc/90/52/67/1073905267.db2.gz JLYBQTKYGLCFFZ-ZIAGYGMSSA-N 0 0 440.526 -0.899 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1 ZINC001302458784 1073905239 /nfs/dbraw/zinc/90/52/39/1073905239.db2.gz IFSVOAUREHVEOM-CABCVRRESA-N 0 0 429.543 -0.196 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1 ZINC001302458785 1073905379 /nfs/dbraw/zinc/90/53/79/1073905379.db2.gz IFSVOAUREHVEOM-GJZGRUSLSA-N 0 0 429.543 -0.196 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001302458852 1073905206 /nfs/dbraw/zinc/90/52/06/1073905206.db2.gz IXYUJFUWFOKJDY-BEFAXECRSA-N 0 0 442.586 -0.545 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001302458854 1073905404 /nfs/dbraw/zinc/90/54/04/1073905404.db2.gz IXYUJFUWFOKJDY-DNVCBOLYSA-N 0 0 442.586 -0.545 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001302458856 1073905123 /nfs/dbraw/zinc/90/51/23/1073905123.db2.gz IXYUJFUWFOKJDY-HNAYVOBHSA-N 0 0 442.586 -0.545 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001302458858 1073905322 /nfs/dbraw/zinc/90/53/22/1073905322.db2.gz IXYUJFUWFOKJDY-KXBFYZLASA-N 0 0 442.586 -0.545 20 0 IBADRN CS(=O)(=O)CCCn1c(CN2CCCC2=O)nnc1N1CCN(c2cccnn2)CC1 ZINC001302458950 1073905190 /nfs/dbraw/zinc/90/51/90/1073905190.db2.gz MNDXGDRPLFVTSG-UHFFFAOYSA-N 0 0 448.553 -0.048 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001302459105 1073905312 /nfs/dbraw/zinc/90/53/12/1073905312.db2.gz OLLBIYWHTRZGQA-CXAGYDPISA-N 0 0 445.567 -0.526 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001302459110 1073905164 /nfs/dbraw/zinc/90/51/64/1073905164.db2.gz OLLBIYWHTRZGQA-DYVFJYSZSA-N 0 0 445.567 -0.526 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001302459113 1073905299 /nfs/dbraw/zinc/90/52/99/1073905299.db2.gz OLLBIYWHTRZGQA-GUYCJALGSA-N 0 0 445.567 -0.526 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001302459115 1073905107 /nfs/dbraw/zinc/90/51/07/1073905107.db2.gz OLLBIYWHTRZGQA-SUMWQHHRSA-N 0 0 445.567 -0.526 20 0 IBADRN CS(=O)(=O)CCN(c1nnc(C(=O)N2CCCC2)n1CCS(C)(=O)=O)C1CC1 ZINC001302461155 1073905275 /nfs/dbraw/zinc/90/52/75/1073905275.db2.gz NGSBQXSCEBGDNK-UHFFFAOYSA-N 0 0 433.556 -0.428 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCCOC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302461445 1073905388 /nfs/dbraw/zinc/90/53/88/1073905388.db2.gz XMXRGRKEIYGHED-BEFAXECRSA-N 0 0 443.570 -0.070 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCCOC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302461805 1073905081 /nfs/dbraw/zinc/90/50/81/1073905081.db2.gz XMXRGRKEIYGHED-DNVCBOLYSA-N 0 0 443.570 -0.070 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCCOC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302461807 1073905362 /nfs/dbraw/zinc/90/53/62/1073905362.db2.gz XMXRGRKEIYGHED-HNAYVOBHSA-N 0 0 443.570 -0.070 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCCOC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302461809 1073905890 /nfs/dbraw/zinc/90/58/90/1073905890.db2.gz XMXRGRKEIYGHED-KXBFYZLASA-N 0 0 443.570 -0.070 20 0 IBADRN CS(=O)(=O)CCN(c1nnc(-c2cccnc2)n1CCNS(C)(=O)=O)C1CC1 ZINC001302462328 1073906012 /nfs/dbraw/zinc/90/60/12/1073906012.db2.gz WNNUALFKVNPBOL-UHFFFAOYSA-N 0 0 428.540 -0.097 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001302462911 1073905998 /nfs/dbraw/zinc/90/59/98/1073905998.db2.gz SPDJDKSFFCZMKE-CHWSQXEVSA-N 0 0 443.530 -0.699 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001302462912 1073906027 /nfs/dbraw/zinc/90/60/27/1073906027.db2.gz SPDJDKSFFCZMKE-OLZOCXBDSA-N 0 0 443.530 -0.699 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001302462913 1073905972 /nfs/dbraw/zinc/90/59/72/1073905972.db2.gz SPDJDKSFFCZMKE-QWHCGFSZSA-N 0 0 443.530 -0.699 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001302462914 1073905900 /nfs/dbraw/zinc/90/59/00/1073905900.db2.gz SPDJDKSFFCZMKE-STQMWFEESA-N 0 0 443.530 -0.699 20 0 IBADRN CS(=O)(=O)CCn1c(CC2CC2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001302464757 1073905964 /nfs/dbraw/zinc/90/59/64/1073905964.db2.gz FICXAXCTVMJJDT-UHFFFAOYSA-N 0 0 426.543 -0.151 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001302465088 1073905949 /nfs/dbraw/zinc/90/59/49/1073905949.db2.gz KFEHUAWHOHHLSF-AWEZNQCLSA-N 0 0 435.572 -0.312 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001302465091 1073905935 /nfs/dbraw/zinc/90/59/35/1073905935.db2.gz KFEHUAWHOHHLSF-CQSZACIVSA-N 0 0 435.572 -0.312 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001302465346 1073905920 /nfs/dbraw/zinc/90/59/20/1073905920.db2.gz ODXVJUWNVZLKFD-HNNXBMFYSA-N 0 0 449.537 -0.353 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001302465347 1073906007 /nfs/dbraw/zinc/90/60/07/1073906007.db2.gz ODXVJUWNVZLKFD-OAHLLOKOSA-N 0 0 449.537 -0.353 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCCCO2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302465362 1073905980 /nfs/dbraw/zinc/90/59/80/1073905980.db2.gz OQLZYWISXZDEAZ-INIZCTEOSA-N 0 0 440.570 -0.035 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCCCO2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302465363 1073905984 /nfs/dbraw/zinc/90/59/84/1073905984.db2.gz OQLZYWISXZDEAZ-MRXNPFEDSA-N 0 0 440.570 -0.035 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001302465372 1073905911 /nfs/dbraw/zinc/90/59/11/1073905911.db2.gz PBATWQRRAJSEBW-ILXRZTDVSA-N 0 0 448.567 -0.391 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H]([C@H]2CCCO2)C1 ZINC001302465373 1073905928 /nfs/dbraw/zinc/90/59/28/1073905928.db2.gz PBATWQRRAJSEBW-KFWWJZLASA-N 0 0 448.567 -0.391 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001302465374 1073905990 /nfs/dbraw/zinc/90/59/90/1073905990.db2.gz PBATWQRRAJSEBW-QLFBSQMISA-N 0 0 448.567 -0.391 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001302465375 1073905944 /nfs/dbraw/zinc/90/59/44/1073905944.db2.gz PBATWQRRAJSEBW-RBSFLKMASA-N 0 0 448.567 -0.391 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001302465514 1073906507 /nfs/dbraw/zinc/90/65/07/1073906507.db2.gz UAKQLEAXGCIVIZ-BLLLJJGKSA-N 0 0 431.540 -0.916 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001302465515 1073906537 /nfs/dbraw/zinc/90/65/37/1073906537.db2.gz UAKQLEAXGCIVIZ-LRDDRELGSA-N 0 0 431.540 -0.916 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001302465516 1073906572 /nfs/dbraw/zinc/90/65/72/1073906572.db2.gz UAKQLEAXGCIVIZ-MLGOLLRUSA-N 0 0 431.540 -0.916 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001302465517 1073906568 /nfs/dbraw/zinc/90/65/68/1073906568.db2.gz UAKQLEAXGCIVIZ-WBMJQRKESA-N 0 0 431.540 -0.916 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H]2CCC[C@@H]21 ZINC001302465610 1073906588 /nfs/dbraw/zinc/90/65/88/1073906588.db2.gz XLSIZTKNSJREDL-IHRRRGAJSA-N 0 0 433.556 -0.356 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2CCC[C@H]21 ZINC001302465611 1073906543 /nfs/dbraw/zinc/90/65/43/1073906543.db2.gz XLSIZTKNSJREDL-MCIONIFRSA-N 0 0 433.556 -0.356 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H]2CCC[C@H]21 ZINC001302465612 1073906574 /nfs/dbraw/zinc/90/65/74/1073906574.db2.gz XLSIZTKNSJREDL-MJBXVCDLSA-N 0 0 433.556 -0.356 20 0 IBADRN CS(=O)(=O)CCn1c(C2CC2)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001302465647 1073906584 /nfs/dbraw/zinc/90/65/84/1073906584.db2.gz AZKGVMZXERQACP-HNNXBMFYSA-N 0 0 447.583 -0.169 20 0 IBADRN CS(=O)(=O)CCn1c(C2CC2)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001302465648 1073906485 /nfs/dbraw/zinc/90/64/85/1073906485.db2.gz AZKGVMZXERQACP-OAHLLOKOSA-N 0 0 447.583 -0.169 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2CCC[C@@H]21 ZINC001302465684 1073906518 /nfs/dbraw/zinc/90/65/18/1073906518.db2.gz XLSIZTKNSJREDL-RDBSUJKOSA-N 0 0 433.556 -0.356 20 0 IBADRN CS(=O)(=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001302465929 1073906494 /nfs/dbraw/zinc/90/64/94/1073906494.db2.gz HPXHZXKTNNOQPR-UHFFFAOYSA-N 0 0 437.526 -0.108 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001302467112 1073906602 /nfs/dbraw/zinc/90/66/02/1073906602.db2.gz GROIVDBHFSWQQI-HNNXBMFYSA-N 0 0 434.545 -0.788 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001302467113 1073906551 /nfs/dbraw/zinc/90/65/51/1073906551.db2.gz GROIVDBHFSWQQI-OAHLLOKOSA-N 0 0 434.545 -0.788 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCCOC2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001302467462 1073906437 /nfs/dbraw/zinc/90/64/37/1073906437.db2.gz AHLUELMUWMRYGD-BEFAXECRSA-N 0 0 441.554 -0.050 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCCOC2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001302467463 1073906527 /nfs/dbraw/zinc/90/65/27/1073906527.db2.gz AHLUELMUWMRYGD-DNVCBOLYSA-N 0 0 441.554 -0.050 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCCOC2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001302467464 1073906564 /nfs/dbraw/zinc/90/65/64/1073906564.db2.gz AHLUELMUWMRYGD-HNAYVOBHSA-N 0 0 441.554 -0.050 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCCOC2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001302467465 1073906578 /nfs/dbraw/zinc/90/65/78/1073906578.db2.gz AHLUELMUWMRYGD-KXBFYZLASA-N 0 0 441.554 -0.050 20 0 IBADRN CS(=O)(=O)CCOCCn1c(COc2ccccc2F)nnc1N1CCNC(=O)C1 ZINC001302467544 1073907012 /nfs/dbraw/zinc/90/70/12/1073907012.db2.gz BHAUSCAKTSTTBN-UHFFFAOYSA-N 0 0 441.485 -0.006 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c(Cc3ccccn3)nnc2N2CC[C@H](C(N)=O)C2)C1 ZINC001302467906 1073907075 /nfs/dbraw/zinc/90/70/75/1073907075.db2.gz DOKFVQVQNWEROI-CABCVRRESA-N 0 0 433.538 -0.143 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c(Cc3ccccn3)nnc2N2CC[C@H](C(N)=O)C2)C1 ZINC001302467907 1073906980 /nfs/dbraw/zinc/90/69/80/1073906980.db2.gz DOKFVQVQNWEROI-GJZGRUSLSA-N 0 0 433.538 -0.143 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c(Cc3ccccn3)nnc2N2CC[C@@H](C(N)=O)C2)C1 ZINC001302467908 1073906999 /nfs/dbraw/zinc/90/69/99/1073906999.db2.gz DOKFVQVQNWEROI-HUUCEWRRSA-N 0 0 433.538 -0.143 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c(Cc3ccccn3)nnc2N2CC[C@@H](C(N)=O)C2)C1 ZINC001302467909 1073906953 /nfs/dbraw/zinc/90/69/53/1073906953.db2.gz DOKFVQVQNWEROI-LSDHHAIUSA-N 0 0 433.538 -0.143 20 0 IBADRN CS(=O)(=O)CCOCCn1c(Cc2ccncc2)nnc1N1CCS(=O)(=O)CC1 ZINC001302467924 1073907067 /nfs/dbraw/zinc/90/70/67/1073907067.db2.gz DVJSEANWTLEAPI-UHFFFAOYSA-N 0 0 443.551 -0.440 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCOC2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001302467927 1073907038 /nfs/dbraw/zinc/90/70/38/1073907038.db2.gz DWBRQNTWXHLURX-AWEZNQCLSA-N 0 0 427.527 -0.346 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCOC2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001302467928 1073906966 /nfs/dbraw/zinc/90/69/66/1073906966.db2.gz DWBRQNTWXHLURX-CQSZACIVSA-N 0 0 427.527 -0.346 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302467959 1073906991 /nfs/dbraw/zinc/90/69/91/1073906991.db2.gz AEQMBSORZYZXQB-OAHLLOKOSA-N 0 0 426.543 -0.425 20 0 IBADRN CS(=O)(=O)CCOCCn1c(Cc2ccccn2)nnc1N1CCS(=O)(=O)CC1 ZINC001302468586 1073906942 /nfs/dbraw/zinc/90/69/42/1073906942.db2.gz JLGCOINEULYKHP-UHFFFAOYSA-N 0 0 443.551 -0.440 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(CO)CC2)C1 ZINC001302468651 1073906929 /nfs/dbraw/zinc/90/69/29/1073906929.db2.gz KERXRRKVSLSTEM-CABCVRRESA-N 0 0 426.543 -0.281 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(CO)CC2)C1 ZINC001302468652 1073906973 /nfs/dbraw/zinc/90/69/73/1073906973.db2.gz KERXRRKVSLSTEM-GJZGRUSLSA-N 0 0 426.543 -0.281 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC(CO)CC2)C1 ZINC001302468653 1073907027 /nfs/dbraw/zinc/90/70/27/1073907027.db2.gz KERXRRKVSLSTEM-HUUCEWRRSA-N 0 0 426.543 -0.281 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC(CO)CC2)C1 ZINC001302468654 1073907083 /nfs/dbraw/zinc/90/70/83/1073907083.db2.gz KERXRRKVSLSTEM-LSDHHAIUSA-N 0 0 426.543 -0.281 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001302469063 1073907062 /nfs/dbraw/zinc/90/70/62/1073907062.db2.gz IGZKEQWYOVSMKS-CABCVRRESA-N 0 0 438.554 -0.237 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001302469065 1073907017 /nfs/dbraw/zinc/90/70/17/1073907017.db2.gz IGZKEQWYOVSMKS-GJZGRUSLSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001302469067 1073907091 /nfs/dbraw/zinc/90/70/91/1073907091.db2.gz IGZKEQWYOVSMKS-HUUCEWRRSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001302469068 1073907054 /nfs/dbraw/zinc/90/70/54/1073907054.db2.gz IGZKEQWYOVSMKS-LSDHHAIUSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001302469292 1073907047 /nfs/dbraw/zinc/90/70/47/1073907047.db2.gz RKKUPZOGTHHGCE-HNNXBMFYSA-N 0 0 432.529 -0.005 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001302469293 1073907571 /nfs/dbraw/zinc/90/75/71/1073907571.db2.gz RKKUPZOGTHHGCE-OAHLLOKOSA-N 0 0 432.529 -0.005 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c([C@H]3CCOC3)nnc2N2CCC[C@H](C(N)=O)C2)C1 ZINC001302469325 1073907609 /nfs/dbraw/zinc/90/76/09/1073907609.db2.gz OQCZWLJREQBBPP-ILXRZTDVSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@H]3CCOC3)nnc2N2CCC[C@H](C(N)=O)C2)C1 ZINC001302469326 1073907557 /nfs/dbraw/zinc/90/75/57/1073907557.db2.gz OQCZWLJREQBBPP-KKUMJFAQSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c([C@@H]3CCOC3)nnc2N2CCC[C@H](C(N)=O)C2)C1 ZINC001302469327 1073907607 /nfs/dbraw/zinc/90/76/07/1073907607.db2.gz OQCZWLJREQBBPP-QLFBSQMISA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@@H]3CCOC3)nnc2N2CCC[C@H](C(N)=O)C2)C1 ZINC001302469328 1073907573 /nfs/dbraw/zinc/90/75/73/1073907573.db2.gz OQCZWLJREQBBPP-SOUVJXGZSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001302469487 1073907534 /nfs/dbraw/zinc/90/75/34/1073907534.db2.gz QHLVFYZGPMUBIM-CABCVRRESA-N 0 0 427.527 -0.346 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001302469489 1073907594 /nfs/dbraw/zinc/90/75/94/1073907594.db2.gz QHLVFYZGPMUBIM-GJZGRUSLSA-N 0 0 427.527 -0.346 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001302469491 1073907588 /nfs/dbraw/zinc/90/75/88/1073907588.db2.gz QHLVFYZGPMUBIM-HUUCEWRRSA-N 0 0 427.527 -0.346 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001302469493 1073907602 /nfs/dbraw/zinc/90/76/02/1073907602.db2.gz QHLVFYZGPMUBIM-LSDHHAIUSA-N 0 0 427.527 -0.346 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@@H]3CCOC3)nnc2N2CCC(C(N)=O)CC2)C1 ZINC001302469575 1073907566 /nfs/dbraw/zinc/90/75/66/1073907566.db2.gz RDIBLPMINIETNW-DZGCQCFKSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c([C@H]3CCOC3)nnc2N2CCC(C(N)=O)CC2)C1 ZINC001302469577 1073907597 /nfs/dbraw/zinc/90/75/97/1073907597.db2.gz RDIBLPMINIETNW-HIFRSBDPSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c([C@@H]3CCOC3)nnc2N2CCC(C(N)=O)CC2)C1 ZINC001302469580 1073907550 /nfs/dbraw/zinc/90/75/50/1073907550.db2.gz RDIBLPMINIETNW-UKRRQHHQSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@H]3CCOC3)nnc2N2CCC(C(N)=O)CC2)C1 ZINC001302469583 1073907577 /nfs/dbraw/zinc/90/75/77/1073907577.db2.gz RDIBLPMINIETNW-ZFWWWQNUSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(c2ncccn2)CC1 ZINC001302469657 1073907563 /nfs/dbraw/zinc/90/75/63/1073907563.db2.gz LVECZSTWSDMPBL-UHFFFAOYSA-N 0 0 434.526 -0.438 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@H]3CCCOC3)nnc2N2CC[C@H](C(N)=O)C2)C1 ZINC001302469691 1073907554 /nfs/dbraw/zinc/90/75/54/1073907554.db2.gz SEXDQRPJZQSJKB-KKUMJFAQSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@@H]3CCCOC3)nnc2N2CC[C@@H](C(N)=O)C2)C1 ZINC001302469694 1073907544 /nfs/dbraw/zinc/90/75/44/1073907544.db2.gz SEXDQRPJZQSJKB-RRFJBIMHSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@@H]3CCCOC3)nnc2N2CC[C@H](C(N)=O)C2)C1 ZINC001302469697 1073907538 /nfs/dbraw/zinc/90/75/38/1073907538.db2.gz SEXDQRPJZQSJKB-SOUVJXGZSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@H]3CCCOC3)nnc2N2CC[C@@H](C(N)=O)C2)C1 ZINC001302469700 1073907579 /nfs/dbraw/zinc/90/75/79/1073907579.db2.gz SEXDQRPJZQSJKB-ZNMIVQPWSA-N 0 0 426.543 -0.235 20 0 IBADRN CS(=O)(=O)CCOCCn1c(CN2CCCC2=O)nnc1N1CCC(CO)CC1 ZINC001302470007 1073907583 /nfs/dbraw/zinc/90/75/83/1073907583.db2.gz SVWQIPFGKDHZPB-UHFFFAOYSA-N 0 0 429.543 -0.330 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001302470055 1073907590 /nfs/dbraw/zinc/90/75/90/1073907590.db2.gz UDTLLHUGLQAVBZ-CABCVRRESA-N 0 0 442.542 -0.553 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001302470060 1073907995 /nfs/dbraw/zinc/90/79/95/1073907995.db2.gz UDTLLHUGLQAVBZ-GJZGRUSLSA-N 0 0 442.542 -0.553 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001302470062 1073908145 /nfs/dbraw/zinc/90/81/45/1073908145.db2.gz UDTLLHUGLQAVBZ-HUUCEWRRSA-N 0 0 442.542 -0.553 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001302470064 1073908086 /nfs/dbraw/zinc/90/80/86/1073908086.db2.gz UDTLLHUGLQAVBZ-LSDHHAIUSA-N 0 0 442.542 -0.553 20 0 IBADRN CS(=O)(=O)CCOCCn1c(CC2CC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302470128 1073908037 /nfs/dbraw/zinc/90/80/37/1073908037.db2.gz UZBKSEMSFAPVIK-UHFFFAOYSA-N 0 0 435.572 -0.627 20 0 IBADRN CS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001302470288 1073908114 /nfs/dbraw/zinc/90/81/14/1073908114.db2.gz OWDALAWOFHECMX-OLZOCXBDSA-N 0 0 426.499 -0.620 20 0 IBADRN CS(=O)(=O)CCOCCn1c(-c2cnccn2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001302470311 1073908020 /nfs/dbraw/zinc/90/80/20/1073908020.db2.gz PQOUPBCKMLMNPT-GOSISDBHSA-N 0 0 435.510 -0.487 20 0 IBADRN CS(=O)(=O)CCOCCn1c(-c2cnccn2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001302470312 1073908091 /nfs/dbraw/zinc/90/80/91/1073908091.db2.gz PQOUPBCKMLMNPT-SFHVURJKSA-N 0 0 435.510 -0.487 20 0 IBADRN CC(C)C(=O)NCCn1c(CN2CCCC2=O)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001302470369 1073908134 /nfs/dbraw/zinc/90/81/34/1073908134.db2.gz IGFUSVWDOOPASJ-AWEZNQCLSA-N 0 0 426.543 -0.204 20 0 IBADRN CC(C)C(=O)NCCn1c(CN2CCCC2=O)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001302470370 1073908005 /nfs/dbraw/zinc/90/80/05/1073908005.db2.gz IGFUSVWDOOPASJ-CQSZACIVSA-N 0 0 426.543 -0.204 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCOCC2)C1 ZINC001302470492 1073908064 /nfs/dbraw/zinc/90/80/64/1073908064.db2.gz XDRPLIHYPKUGDU-KBPBESRZSA-N 0 0 433.556 -0.702 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCOCC2)C1 ZINC001302470494 1073908047 /nfs/dbraw/zinc/90/80/47/1073908047.db2.gz XDRPLIHYPKUGDU-KGLIPLIRSA-N 0 0 433.556 -0.702 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCOCC2)C1 ZINC001302470500 1073908107 /nfs/dbraw/zinc/90/81/07/1073908107.db2.gz XDRPLIHYPKUGDU-UONOGXRCSA-N 0 0 433.556 -0.702 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCOCC2)C1 ZINC001302470501 1073908124 /nfs/dbraw/zinc/90/81/24/1073908124.db2.gz XDRPLIHYPKUGDU-ZIAGYGMSSA-N 0 0 433.556 -0.702 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001302470616 1073908071 /nfs/dbraw/zinc/90/80/71/1073908071.db2.gz UEZGZEUCMCCWKJ-KBPBESRZSA-N 0 0 426.543 -0.381 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001302470623 1073908053 /nfs/dbraw/zinc/90/80/53/1073908053.db2.gz UEZGZEUCMCCWKJ-KGLIPLIRSA-N 0 0 426.543 -0.381 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001302470625 1073907979 /nfs/dbraw/zinc/90/79/79/1073907979.db2.gz UEZGZEUCMCCWKJ-UONOGXRCSA-N 0 0 426.543 -0.381 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001302470627 1073908139 /nfs/dbraw/zinc/90/81/39/1073908139.db2.gz UEZGZEUCMCCWKJ-ZIAGYGMSSA-N 0 0 426.543 -0.381 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001302470750 1073908077 /nfs/dbraw/zinc/90/80/77/1073908077.db2.gz VKNWLOGKYKLVPF-KBPBESRZSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001302471148 1073908025 /nfs/dbraw/zinc/90/80/25/1073908025.db2.gz VKNWLOGKYKLVPF-UONOGXRCSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001302471405 1073908610 /nfs/dbraw/zinc/90/86/10/1073908610.db2.gz RNYIBIUNWBJCMR-AWEZNQCLSA-N 0 0 446.599 -0.064 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001302471406 1073908757 /nfs/dbraw/zinc/90/87/57/1073908757.db2.gz RNYIBIUNWBJCMR-CQSZACIVSA-N 0 0 446.599 -0.064 20 0 IBADRN CS(=O)(=O)CCOCCn1c(-c2ccccn2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001302471469 1073908098 /nfs/dbraw/zinc/90/80/98/1073908098.db2.gz VFXYYJACVKCRLG-AWEZNQCLSA-N 0 0 435.510 -0.385 20 0 IBADRN CS(=O)(=O)CCOCCn1c(-c2ccccn2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001302471473 1073908621 /nfs/dbraw/zinc/90/86/21/1073908621.db2.gz VFXYYJACVKCRLG-CQSZACIVSA-N 0 0 435.510 -0.385 20 0 IBADRN CC(C)C(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001302471903 1073908787 /nfs/dbraw/zinc/90/87/87/1073908787.db2.gz XBRKJHMYVOCONR-HNNXBMFYSA-N 0 0 432.529 -0.271 20 0 IBADRN CC(C)C(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001302471904 1073908656 /nfs/dbraw/zinc/90/86/56/1073908656.db2.gz XBRKJHMYVOCONR-OAHLLOKOSA-N 0 0 432.529 -0.271 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(-c3cnccn3)n2CCNC(=O)C2CC2)CC1 ZINC001302474701 1073908714 /nfs/dbraw/zinc/90/87/14/1073908714.db2.gz KWHFBUYETZWYOF-UHFFFAOYSA-N 0 0 434.526 -0.267 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(-c3cccnc3)n2CC(=O)N2CCOCC2)CC1 ZINC001302474729 1073908733 /nfs/dbraw/zinc/90/87/33/1073908733.db2.gz LVZPKNUACDIRLD-UHFFFAOYSA-N 0 0 449.537 -0.329 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(C3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001302474788 1073908708 /nfs/dbraw/zinc/90/87/08/1073908708.db2.gz NYAOUWONTMMKMJ-INIZCTEOSA-N 0 0 433.556 -0.823 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(C3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001302474789 1073908698 /nfs/dbraw/zinc/90/86/98/1073908698.db2.gz NYAOUWONTMMKMJ-MRXNPFEDSA-N 0 0 433.556 -0.823 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(-c3ccccn3)n2CC(=O)N2CCOCC2)CC1 ZINC001302474830 1073908740 /nfs/dbraw/zinc/90/87/40/1073908740.db2.gz AOXLBTGWVDRQMP-UHFFFAOYSA-N 0 0 435.510 -0.720 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cccc(CO)c2)CC1 ZINC001302474843 1073908689 /nfs/dbraw/zinc/90/86/89/1073908689.db2.gz AVUNUBLMZCBDCG-INIZCTEOSA-N 0 0 434.522 -0.149 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cccc(CO)c2)CC1 ZINC001302474844 1073908777 /nfs/dbraw/zinc/90/87/77/1073908777.db2.gz AVUNUBLMZCBDCG-MRXNPFEDSA-N 0 0 434.522 -0.149 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCC2)CC1 ZINC001302474862 1073908723 /nfs/dbraw/zinc/90/87/23/1073908723.db2.gz BYVAONWHQMFFJR-HNNXBMFYSA-N 0 0 446.599 -0.642 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCC2)CC1 ZINC001302474863 1073908666 /nfs/dbraw/zinc/90/86/66/1073908666.db2.gz BYVAONWHQMFFJR-OAHLLOKOSA-N 0 0 446.599 -0.642 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(Cc3ccncc3)n2CC(=O)NCC2CC2)CC1 ZINC001302475151 1073908645 /nfs/dbraw/zinc/90/86/45/1073908645.db2.gz JGPACMQMNGRPLD-UHFFFAOYSA-N 0 0 433.538 -0.128 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2CCCN2CCOCC2)CC1 ZINC001302475179 1073908629 /nfs/dbraw/zinc/90/86/29/1073908629.db2.gz JZBSYYJZVYZRQP-KRWDZBQOSA-N 0 0 442.586 -0.024 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2CCCN2CCOCC2)CC1 ZINC001302475180 1073908746 /nfs/dbraw/zinc/90/87/46/1073908746.db2.gz JZBSYYJZVYZRQP-QGZVFWFLSA-N 0 0 442.586 -0.024 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCSCCCO)CC1 ZINC001302475200 1073908683 /nfs/dbraw/zinc/90/86/83/1073908683.db2.gz KUUHIYFPNWKMDI-CYBMUJFWSA-N 0 0 432.572 -0.574 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCSCCCO)CC1 ZINC001302475201 1073908597 /nfs/dbraw/zinc/90/85/97/1073908597.db2.gz KUUHIYFPNWKMDI-ZDUSSCGKSA-N 0 0 432.572 -0.574 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCSC2)CC1 ZINC001302475337 1073908764 /nfs/dbraw/zinc/90/87/64/1073908764.db2.gz LXGHSRZZYQCXCC-BLLLJJGKSA-N 0 0 430.556 -0.821 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCSC2)CC1 ZINC001302475338 1073909151 /nfs/dbraw/zinc/90/91/51/1073909151.db2.gz LXGHSRZZYQCXCC-LRDDRELGSA-N 0 0 430.556 -0.821 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCSC2)CC1 ZINC001302475340 1073909084 /nfs/dbraw/zinc/90/90/84/1073909084.db2.gz LXGHSRZZYQCXCC-MLGOLLRUSA-N 0 0 430.556 -0.821 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCSC2)CC1 ZINC001302475341 1073909092 /nfs/dbraw/zinc/90/90/92/1073909092.db2.gz LXGHSRZZYQCXCC-WBMJQRKESA-N 0 0 430.556 -0.821 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCCO2)CC1 ZINC001302475404 1073909132 /nfs/dbraw/zinc/90/91/32/1073909132.db2.gz MWCDDJORCKXZQW-CABCVRRESA-N 0 0 447.583 -0.169 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCCO2)CC1 ZINC001302475406 1073909156 /nfs/dbraw/zinc/90/91/56/1073909156.db2.gz MWCDDJORCKXZQW-GJZGRUSLSA-N 0 0 447.583 -0.169 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCCO2)CC1 ZINC001302475408 1073909088 /nfs/dbraw/zinc/90/90/88/1073909088.db2.gz MWCDDJORCKXZQW-HUUCEWRRSA-N 0 0 447.583 -0.169 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCCO2)CC1 ZINC001302475410 1073909098 /nfs/dbraw/zinc/90/90/98/1073909098.db2.gz MWCDDJORCKXZQW-LSDHHAIUSA-N 0 0 447.583 -0.169 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCC2(O)CCOCC2)CC1 ZINC001302475430 1073909105 /nfs/dbraw/zinc/90/91/05/1073909105.db2.gz NHUUVBLJPAZJQG-HNNXBMFYSA-N 0 0 429.543 -0.205 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCC2(O)CCOCC2)CC1 ZINC001302475432 1073909180 /nfs/dbraw/zinc/90/91/80/1073909180.db2.gz NHUUVBLJPAZJQG-OAHLLOKOSA-N 0 0 429.543 -0.205 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccco2)CC1 ZINC001302475514 1073909079 /nfs/dbraw/zinc/90/90/79/1073909079.db2.gz OOQQTNWGHLXVHA-CYBMUJFWSA-N 0 0 429.524 -0.097 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccco2)CC1 ZINC001302475516 1073909094 /nfs/dbraw/zinc/90/90/94/1073909094.db2.gz OOQQTNWGHLXVHA-ZDUSSCGKSA-N 0 0 429.524 -0.097 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001302475530 1073909101 /nfs/dbraw/zinc/90/91/01/1073909101.db2.gz PFRLUEVSVCHJHU-HNNXBMFYSA-N 0 0 449.537 -0.204 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001302475531 1073909143 /nfs/dbraw/zinc/90/91/43/1073909143.db2.gz PFRLUEVSVCHJHU-OAHLLOKOSA-N 0 0 449.537 -0.204 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(Cc3ccccn3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001302475637 1073909162 /nfs/dbraw/zinc/90/91/62/1073909162.db2.gz RDYBMXHVOUBVRU-DLBZAZTESA-N 0 0 436.538 -0.115 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(Cc3ccccn3)n2CC(=O)N2CCOCC2)CC1 ZINC001302476079 1073909112 /nfs/dbraw/zinc/90/91/12/1073909112.db2.gz XAUYVJBEMNOITO-UHFFFAOYSA-N 0 0 449.537 -0.796 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C3CCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001302476106 1073909126 /nfs/dbraw/zinc/90/91/26/1073909126.db2.gz XQOSDRBGORDYLQ-INIZCTEOSA-N 0 0 433.556 -0.823 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C3CCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001302476107 1073909108 /nfs/dbraw/zinc/90/91/08/1073909108.db2.gz XQOSDRBGORDYLQ-MRXNPFEDSA-N 0 0 433.556 -0.823 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c(C2CC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302476133 1073909119 /nfs/dbraw/zinc/90/91/19/1073909119.db2.gz YCYRCMCSVSKYST-CQSZACIVSA-N 0 0 432.572 -0.339 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(-c3cnccn3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001302476152 1073909139 /nfs/dbraw/zinc/90/91/39/1073909139.db2.gz YXJDJMIWRJQMCT-CYBMUJFWSA-N 0 0 441.539 -0.749 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(-c3cnccn3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001302476153 1073909172 /nfs/dbraw/zinc/90/91/72/1073909172.db2.gz YXJDJMIWRJQMCT-ZDUSSCGKSA-N 0 0 441.539 -0.749 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001302476169 1073909656 /nfs/dbraw/zinc/90/96/56/1073909656.db2.gz ZIWGMZQSAPTKPB-CABCVRRESA-N 0 0 447.583 -0.312 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001302476170 1073909763 /nfs/dbraw/zinc/90/97/63/1073909763.db2.gz ZIWGMZQSAPTKPB-GJZGRUSLSA-N 0 0 447.583 -0.312 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001302476171 1073909753 /nfs/dbraw/zinc/90/97/53/1073909753.db2.gz ZIWGMZQSAPTKPB-HUUCEWRRSA-N 0 0 447.583 -0.312 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001302476172 1073909707 /nfs/dbraw/zinc/90/97/07/1073909707.db2.gz ZIWGMZQSAPTKPB-LSDHHAIUSA-N 0 0 447.583 -0.312 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCC(C(N)=O)CC3)n2C[C@H]2CCCO2)C1 ZINC001302476628 1073909740 /nfs/dbraw/zinc/90/97/40/1073909740.db2.gz AADDGCGTBMSOEU-CABCVRRESA-N 0 0 442.542 -0.508 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCC(C(N)=O)CC3)n2C[C@@H]2CCCO2)C1 ZINC001302476636 1073909716 /nfs/dbraw/zinc/90/97/16/1073909716.db2.gz AADDGCGTBMSOEU-GJZGRUSLSA-N 0 0 442.542 -0.508 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCC(C(N)=O)CC3)n2C[C@H]2CCCO2)C1 ZINC001302476639 1073909737 /nfs/dbraw/zinc/90/97/37/1073909737.db2.gz AADDGCGTBMSOEU-HUUCEWRRSA-N 0 0 442.542 -0.508 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCC(C(N)=O)CC3)n2C[C@@H]2CCCO2)C1 ZINC001302476643 1073909684 /nfs/dbraw/zinc/90/96/84/1073909684.db2.gz AADDGCGTBMSOEU-LSDHHAIUSA-N 0 0 442.542 -0.508 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CC[C@H](C(N)=O)C3)n2C[C@@H]2CCSC2)C1 ZINC001302477720 1073909696 /nfs/dbraw/zinc/90/96/96/1073909696.db2.gz FQOMEDCDTLBTTG-IHRRRGAJSA-N 0 0 444.583 -0.324 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CC[C@@H](C(N)=O)C3)n2C[C@H]2CCSC2)C1 ZINC001302477721 1073909718 /nfs/dbraw/zinc/90/97/18/1073909718.db2.gz FQOMEDCDTLBTTG-MCIONIFRSA-N 0 0 444.583 -0.324 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CC[C@@H](C(N)=O)C3)n2C[C@@H]2CCSC2)C1 ZINC001302477722 1073909769 /nfs/dbraw/zinc/90/97/69/1073909769.db2.gz FQOMEDCDTLBTTG-MJBXVCDLSA-N 0 0 444.583 -0.324 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CC[C@H](C(N)=O)C3)n2C[C@H]2CCSC2)C1 ZINC001302477723 1073909726 /nfs/dbraw/zinc/90/97/26/1073909726.db2.gz FQOMEDCDTLBTTG-RDBSUJKOSA-N 0 0 444.583 -0.324 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c([C@H]2CCOC2)nnc1N1CCS(=O)(=O)CC1 ZINC001302478136 1073909666 /nfs/dbraw/zinc/90/96/66/1073909666.db2.gz DGQBSFAEBWPMEU-UONOGXRCSA-N 0 0 433.556 -0.559 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c([C@@H]2CCOC2)nnc1N1CCS(=O)(=O)CC1 ZINC001302478138 1073909713 /nfs/dbraw/zinc/90/97/13/1073909713.db2.gz DGQBSFAEBWPMEU-ZIAGYGMSSA-N 0 0 433.556 -0.559 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c([C@@H]2CCCOC2)nnc1N1CCS(=O)(=O)CC1 ZINC001302478187 1073909676 /nfs/dbraw/zinc/90/96/76/1073909676.db2.gz FGBWHCXQJIIVDW-HUUCEWRRSA-N 0 0 447.583 -0.169 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c([C@H]2CCCOC2)nnc1N1CCS(=O)(=O)CC1 ZINC001302478188 1073909732 /nfs/dbraw/zinc/90/97/32/1073909732.db2.gz FGBWHCXQJIIVDW-LSDHHAIUSA-N 0 0 447.583 -0.169 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2COCCO2)CC1 ZINC001302478891 1073909642 /nfs/dbraw/zinc/90/96/42/1073909642.db2.gz ICCBTZGOHCTPOJ-CQSZACIVSA-N 0 0 428.515 -0.989 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCCO3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001302479185 1073909760 /nfs/dbraw/zinc/90/97/60/1073909760.db2.gz KQNKUWOOOXSLCA-CQSZACIVSA-N 0 0 442.542 -0.507 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(CC3CC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001302479484 1073909746 /nfs/dbraw/zinc/90/97/46/1073909746.db2.gz JQUFWESWKJKZJN-UHFFFAOYSA-N 0 0 446.599 -0.262 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCOC2)CC1 ZINC001302479547 1073909750 /nfs/dbraw/zinc/90/97/50/1073909750.db2.gz KUUZPJCBZFPPIN-IBGZPJMESA-N 0 0 436.538 -0.113 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCOC2)CC1 ZINC001302479549 1073910183 /nfs/dbraw/zinc/91/01/83/1073910183.db2.gz KUUZPJCBZFPPIN-LJQANCHMSA-N 0 0 436.538 -0.113 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c([C@H]2CCCO2)nnc1N1CCS(=O)(=O)CC1 ZINC001302479594 1073910118 /nfs/dbraw/zinc/91/01/18/1073910118.db2.gz LSZPQJWYWSJYKC-ZIAGYGMSSA-N 0 0 433.556 -0.212 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)CC1 ZINC001302479632 1073910173 /nfs/dbraw/zinc/91/01/73/1073910173.db2.gz MHVYUJHRPTYSFE-CQSZACIVSA-N 0 0 444.583 -0.493 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCSC2)CC1 ZINC001302479644 1073910102 /nfs/dbraw/zinc/91/01/02/1073910102.db2.gz MMKJJIGWCVRNTE-KRWDZBQOSA-N 0 0 444.583 -0.536 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCSC2)CC1 ZINC001302479645 1073910126 /nfs/dbraw/zinc/91/01/26/1073910126.db2.gz MMKJJIGWCVRNTE-QGZVFWFLSA-N 0 0 444.583 -0.536 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCC[C@H](C(N)=O)C3)n2[C@@H]2C[C@H]2CF)C1 ZINC001302479665 1073910147 /nfs/dbraw/zinc/91/01/47/1073910147.db2.gz ONRDXICFXJVIEM-FQUUOJAGSA-N 0 0 430.506 -0.157 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCC[C@H](C(N)=O)C3)n2[C@@H]2C[C@H]2CF)C1 ZINC001302479666 1073910074 /nfs/dbraw/zinc/91/00/74/1073910074.db2.gz ONRDXICFXJVIEM-IGQOVBAYSA-N 0 0 430.506 -0.157 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCC[C@@H](C(N)=O)C3)n2[C@@H]2C[C@H]2CF)C1 ZINC001302479667 1073910087 /nfs/dbraw/zinc/91/00/87/1073910087.db2.gz ONRDXICFXJVIEM-RQJABVFESA-N 0 0 430.506 -0.157 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCC[C@@H](C(N)=O)C3)n2[C@@H]2C[C@H]2CF)C1 ZINC001302479668 1073910162 /nfs/dbraw/zinc/91/01/62/1073910162.db2.gz ONRDXICFXJVIEM-XJFOESAGSA-N 0 0 430.506 -0.157 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CC=CCC3)n2C[C@H]2CCS(=O)(=O)C2)C1 ZINC001302480059 1073910082 /nfs/dbraw/zinc/91/00/82/1073910082.db2.gz QBYXJGZDFCQTJJ-CABCVRRESA-N 0 0 445.567 -0.188 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CC=CCC3)n2C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001302480061 1073910168 /nfs/dbraw/zinc/91/01/68/1073910168.db2.gz QBYXJGZDFCQTJJ-GJZGRUSLSA-N 0 0 445.567 -0.188 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CC=CCC3)n2C[C@H]2CCS(=O)(=O)C2)C1 ZINC001302480063 1073910113 /nfs/dbraw/zinc/91/01/13/1073910113.db2.gz QBYXJGZDFCQTJJ-HUUCEWRRSA-N 0 0 445.567 -0.188 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CC=CCC3)n2C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001302480065 1073910154 /nfs/dbraw/zinc/91/01/54/1073910154.db2.gz QBYXJGZDFCQTJJ-LSDHHAIUSA-N 0 0 445.567 -0.188 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c(CN2CCCC2=O)nnc1N1CCNC(=O)CC1 ZINC001302480623 1073910096 /nfs/dbraw/zinc/91/00/96/1073910096.db2.gz RWLOAKVEZLMPDR-CQSZACIVSA-N 0 0 439.542 -0.849 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCCO3)n2CC(=O)NCC(F)(F)F)CC1 ZINC001302480777 1073910140 /nfs/dbraw/zinc/91/01/40/1073910140.db2.gz USKGXZXPJIFDFA-LLVKDONJSA-N 0 0 440.448 -0.110 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CC(F)(F)CO2)CC1 ZINC001302480860 1073910132 /nfs/dbraw/zinc/91/01/32/1073910132.db2.gz WBHSIAILKVMFCJ-CYBMUJFWSA-N 0 0 448.496 -0.094 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CC(F)(F)CO2)CC1 ZINC001302480861 1073910065 /nfs/dbraw/zinc/91/00/65/1073910065.db2.gz WBHSIAILKVMFCJ-ZDUSSCGKSA-N 0 0 448.496 -0.094 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(CN3CCCC3=O)n2C[C@H]2COCCO2)CC1 ZINC001302481058 1073910160 /nfs/dbraw/zinc/91/01/60/1073910160.db2.gz YIBQXLVWNHFBEL-HNNXBMFYSA-N 0 0 442.542 -0.712 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2COCCO2)CC1 ZINC001302481059 1073910613 /nfs/dbraw/zinc/91/06/13/1073910613.db2.gz YIBQXLVWNHFBEL-OAHLLOKOSA-N 0 0 442.542 -0.712 20 0 IBADRN COc1ccc(CC(=O)NC[C@H]2COCCN2C)cc1S(=O)(=O)N1CCOCC1 ZINC001302481902 1073910602 /nfs/dbraw/zinc/91/06/02/1073910602.db2.gz KYANFUMIVAOSFN-INIZCTEOSA-N 0 0 427.523 -0.295 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H]2COCCN2C)cc1S(=O)(=O)N1CCOCC1 ZINC001302481903 1073910681 /nfs/dbraw/zinc/91/06/81/1073910681.db2.gz KYANFUMIVAOSFN-MRXNPFEDSA-N 0 0 427.523 -0.295 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)CC3)n2CCCCCO)C1 ZINC001302482935 1073910585 /nfs/dbraw/zinc/91/05/85/1073910585.db2.gz BUDASXSULJKAJC-AWEZNQCLSA-N 0 0 430.531 -0.900 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)CC3)n2CCCCCO)C1 ZINC001302482936 1073910563 /nfs/dbraw/zinc/91/05/63/1073910563.db2.gz BUDASXSULJKAJC-CQSZACIVSA-N 0 0 430.531 -0.900 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)C3)n2Cc2ccc(O)cc2)C1 ZINC001302483246 1073910594 /nfs/dbraw/zinc/91/05/94/1073910594.db2.gz FSJRBVIQUHFVPG-HNNXBMFYSA-N 0 0 436.494 -0.699 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)C3)n2Cc2ccc(O)cc2)C1 ZINC001302483247 1073910700 /nfs/dbraw/zinc/91/07/00/1073910700.db2.gz FSJRBVIQUHFVPG-OAHLLOKOSA-N 0 0 436.494 -0.699 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)CC3)n2CC2(O)CCCC2)C1 ZINC001302483541 1073910640 /nfs/dbraw/zinc/91/06/40/1073910640.db2.gz HYPBYUCCQYMVLP-AWEZNQCLSA-N 0 0 442.542 -0.758 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)CC3)n2CC2(O)CCCC2)C1 ZINC001302483542 1073910672 /nfs/dbraw/zinc/91/06/72/1073910672.db2.gz HYPBYUCCQYMVLP-CQSZACIVSA-N 0 0 442.542 -0.758 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCSCC3)n2C[C@@H]2COCCO2)C1 ZINC001302483549 1073910665 /nfs/dbraw/zinc/91/06/65/1073910665.db2.gz HZTMBWNHNYIOOJ-KGLIPLIRSA-N 0 0 433.556 -0.420 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCSCC3)n2C[C@@H]2COCCO2)C1 ZINC001302483550 1073910661 /nfs/dbraw/zinc/91/06/61/1073910661.db2.gz HZTMBWNHNYIOOJ-ZIAGYGMSSA-N 0 0 433.556 -0.420 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCCC3)n2CCN2CCCS2(=O)=O)C1 ZINC001302483609 1073910557 /nfs/dbraw/zinc/91/05/57/1073910557.db2.gz JLTJECCUCFKJOY-AWEZNQCLSA-N 0 0 448.571 -0.753 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCCC3)n2CCN2CCCS2(=O)=O)C1 ZINC001302483710 1073910545 /nfs/dbraw/zinc/91/05/45/1073910545.db2.gz JLTJECCUCFKJOY-CQSZACIVSA-N 0 0 448.571 -0.753 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCS(=O)CC3)n2C[C@H]2CCCO2)C1 ZINC001302483750 1073910620 /nfs/dbraw/zinc/91/06/20/1073910620.db2.gz KBTJSZYRYDQUGU-KGLIPLIRSA-N 0 0 433.556 -0.641 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCS(=O)CC3)n2C[C@H]2CCCO2)C1 ZINC001302483751 1073910574 /nfs/dbraw/zinc/91/05/74/1073910574.db2.gz KBTJSZYRYDQUGU-ZIAGYGMSSA-N 0 0 433.556 -0.641 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2CCOCCCO)CC1 ZINC001302484133 1073910685 /nfs/dbraw/zinc/91/06/85/1073910685.db2.gz NKRASKLXOUUMFH-UHFFFAOYSA-N 0 0 444.558 -0.569 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)CC3)n2CC2CC(F)(F)C2)C1 ZINC001302484681 1073910693 /nfs/dbraw/zinc/91/06/93/1073910693.db2.gz PSMPYPIJNFKGOV-CYBMUJFWSA-N 0 0 448.496 -0.017 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)CC3)n2CC2CC(F)(F)C2)C1 ZINC001302484682 1073910631 /nfs/dbraw/zinc/91/06/31/1073910631.db2.gz PSMPYPIJNFKGOV-ZDUSSCGKSA-N 0 0 448.496 -0.017 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CC2)CC1 ZINC001302484731 1073911201 /nfs/dbraw/zinc/91/12/01/1073911201.db2.gz FGNOFDKAXPARTE-GFCCVEGCSA-N 0 0 433.556 -0.777 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CC2)CC1 ZINC001302484732 1073911038 /nfs/dbraw/zinc/91/10/38/1073911038.db2.gz FGNOFDKAXPARTE-LBPRGKRZSA-N 0 0 433.556 -0.777 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CCC2)CC1 ZINC001302484795 1073911248 /nfs/dbraw/zinc/91/12/48/1073911248.db2.gz GXSYRTGZHLXOLN-UHFFFAOYSA-N 0 0 426.543 -0.167 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNC(=O)C2CC2)CC1 ZINC001302484796 1073911252 /nfs/dbraw/zinc/91/12/52/1073911252.db2.gz GYNFVYFCGKZJMN-AWEZNQCLSA-N 0 0 439.542 -0.727 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNC(=O)C2CC2)CC1 ZINC001302484797 1073911237 /nfs/dbraw/zinc/91/12/37/1073911237.db2.gz GYNFVYFCGKZJMN-CQSZACIVSA-N 0 0 439.542 -0.727 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCSCC3)n2CCNC(=O)C2CC2)C1 ZINC001302485445 1073911014 /nfs/dbraw/zinc/91/10/14/1073911014.db2.gz RDBSYPMNXQFKMF-AWEZNQCLSA-N 0 0 444.583 -0.310 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCSCC3)n2CCNC(=O)C2CC2)C1 ZINC001302485446 1073911229 /nfs/dbraw/zinc/91/12/29/1073911229.db2.gz RDBSYPMNXQFKMF-CQSZACIVSA-N 0 0 444.583 -0.310 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCS(=O)CC3)n2C[C@H]2CCCCO2)C1 ZINC001302485531 1073911071 /nfs/dbraw/zinc/91/10/71/1073911071.db2.gz SFSKOACDMRYOJB-CABCVRRESA-N 0 0 447.583 -0.251 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCS(=O)CC3)n2C[C@@H]2CCCCO2)C1 ZINC001302485535 1073911159 /nfs/dbraw/zinc/91/11/59/1073911159.db2.gz SFSKOACDMRYOJB-GJZGRUSLSA-N 0 0 447.583 -0.251 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCS(=O)CC3)n2C[C@H]2CCCCO2)C1 ZINC001302485539 1073911210 /nfs/dbraw/zinc/91/12/10/1073911210.db2.gz SFSKOACDMRYOJB-HUUCEWRRSA-N 0 0 447.583 -0.251 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCS(=O)CC3)n2C[C@@H]2CCCCO2)C1 ZINC001302485542 1073911221 /nfs/dbraw/zinc/91/12/21/1073911221.db2.gz SFSKOACDMRYOJB-LSDHHAIUSA-N 0 0 447.583 -0.251 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCOCC3)n2CCC2(O)CCOCC2)C1 ZINC001302486165 1073911124 /nfs/dbraw/zinc/91/11/24/1073911124.db2.gz UQWBSFHBURGSOP-HNNXBMFYSA-N 0 0 445.542 -0.621 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCOCC3)n2CCC2(O)CCOCC2)C1 ZINC001302486168 1073911149 /nfs/dbraw/zinc/91/11/49/1073911149.db2.gz UQWBSFHBURGSOP-OAHLLOKOSA-N 0 0 445.542 -0.621 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)C3)n2CC2(O)CCCCC2)C1 ZINC001302486447 1073911098 /nfs/dbraw/zinc/91/10/98/1073911098.db2.gz WIKPSEALTVADAS-AWEZNQCLSA-N 0 0 442.542 -0.758 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)C3)n2CC2(O)CCCCC2)C1 ZINC001302486448 1073911178 /nfs/dbraw/zinc/91/11/78/1073911178.db2.gz WIKPSEALTVADAS-CQSZACIVSA-N 0 0 442.542 -0.758 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CC2)C1 ZINC001302486531 1073911137 /nfs/dbraw/zinc/91/11/37/1073911137.db2.gz KFVYBHGOIDCVDB-AWEZNQCLSA-N 0 0 426.543 -0.195 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CC2)C1 ZINC001302486550 1073911082 /nfs/dbraw/zinc/91/10/82/1073911082.db2.gz KFVYBHGOIDCVDB-CQSZACIVSA-N 0 0 426.543 -0.195 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCCCO)CC1 ZINC001302486679 1073911054 /nfs/dbraw/zinc/91/10/54/1073911054.db2.gz LVUFXEXCQRJOJL-AWEZNQCLSA-N 0 0 449.599 -0.139 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCCCO)CC1 ZINC001302486680 1073911112 /nfs/dbraw/zinc/91/11/12/1073911112.db2.gz LVUFXEXCQRJOJL-CQSZACIVSA-N 0 0 449.599 -0.139 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)C3)n2C[C@H]2CCSC2)C1 ZINC001302487043 1073911185 /nfs/dbraw/zinc/91/11/85/1073911185.db2.gz XVEQXZWOPUIPTA-CHWSQXEVSA-N 0 0 430.556 -0.700 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)C3)n2C[C@H]2CCSC2)C1 ZINC001302487054 1073911553 /nfs/dbraw/zinc/91/15/53/1073911553.db2.gz XVEQXZWOPUIPTA-OLZOCXBDSA-N 0 0 430.556 -0.700 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)C3)n2C[C@@H]2CCSC2)C1 ZINC001302487056 1073911667 /nfs/dbraw/zinc/91/16/67/1073911667.db2.gz XVEQXZWOPUIPTA-QWHCGFSZSA-N 0 0 430.556 -0.700 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)C3)n2C[C@@H]2CCSC2)C1 ZINC001302487059 1073911644 /nfs/dbraw/zinc/91/16/44/1073911644.db2.gz XVEQXZWOPUIPTA-STQMWFEESA-N 0 0 430.556 -0.700 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2COCCO2)CC1 ZINC001302487088 1073911618 /nfs/dbraw/zinc/91/16/18/1073911618.db2.gz XZWOXJJRHUNWRO-HNNXBMFYSA-N 0 0 442.542 -0.553 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCS(=O)CC3)n2Cc2ccco2)C1 ZINC001302487139 1073911654 /nfs/dbraw/zinc/91/16/54/1073911654.db2.gz YILGUAJYAYJJGT-AWEZNQCLSA-N 0 0 429.524 -0.179 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCS(=O)CC3)n2Cc2ccco2)C1 ZINC001302487141 1073911675 /nfs/dbraw/zinc/91/16/75/1073911675.db2.gz YILGUAJYAYJJGT-CQSZACIVSA-N 0 0 429.524 -0.179 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(C3CC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001302488147 1073911610 /nfs/dbraw/zinc/91/16/10/1073911610.db2.gz QWBPFWIYHGWJHC-UHFFFAOYSA-N 0 0 432.572 -0.291 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCc2cn[nH]c2)C1 ZINC001302488612 1073911596 /nfs/dbraw/zinc/91/15/96/1073911596.db2.gz QNHVOAAWGIBQHA-CABCVRRESA-N 0 0 436.542 -0.039 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCc2cn[nH]c2)C1 ZINC001302488613 1073911591 /nfs/dbraw/zinc/91/15/91/1073911591.db2.gz QNHVOAAWGIBQHA-GJZGRUSLSA-N 0 0 436.542 -0.039 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCc2cn[nH]c2)C1 ZINC001302488714 1073911541 /nfs/dbraw/zinc/91/15/41/1073911541.db2.gz QNHVOAAWGIBQHA-HUUCEWRRSA-N 0 0 436.542 -0.039 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCc2cn[nH]c2)C1 ZINC001302488715 1073911660 /nfs/dbraw/zinc/91/16/60/1073911660.db2.gz QNHVOAAWGIBQHA-LSDHHAIUSA-N 0 0 436.542 -0.039 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(CC3CC3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001302488961 1073911680 /nfs/dbraw/zinc/91/16/80/1073911680.db2.gz USJMQRXLBIPABU-UHFFFAOYSA-N 0 0 436.542 -0.098 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@H]3CCCOC3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001302488998 1073911625 /nfs/dbraw/zinc/91/16/25/1073911625.db2.gz VRKGDGMEFXCBGS-DZGCQCFKSA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001302488999 1073911584 /nfs/dbraw/zinc/91/15/84/1073911584.db2.gz VRKGDGMEFXCBGS-UKRRQHHQSA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1 ZINC001302489800 1073911579 /nfs/dbraw/zinc/91/15/79/1073911579.db2.gz ZAIGXEUHKRPKMM-KGLIPLIRSA-N 0 0 428.515 -0.837 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1 ZINC001302489802 1073911636 /nfs/dbraw/zinc/91/16/36/1073911636.db2.gz ZAIGXEUHKRPKMM-ZIAGYGMSSA-N 0 0 428.515 -0.837 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2COCCO2)C1 ZINC001302490049 1073911561 /nfs/dbraw/zinc/91/15/61/1073911561.db2.gz XQDZWBJLSFGTRV-ARFHVFGLSA-N 0 0 429.543 -0.037 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2COCCO2)C1 ZINC001302490051 1073911632 /nfs/dbraw/zinc/91/16/32/1073911632.db2.gz XQDZWBJLSFGTRV-BZUAXINKSA-N 0 0 429.543 -0.037 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2COCCO2)C1 ZINC001302490053 1073911574 /nfs/dbraw/zinc/91/15/74/1073911574.db2.gz XQDZWBJLSFGTRV-HRCADAONSA-N 0 0 429.543 -0.037 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2COCCO2)C1 ZINC001302490055 1073911605 /nfs/dbraw/zinc/91/16/05/1073911605.db2.gz XQDZWBJLSFGTRV-OWCLPIDISA-N 0 0 429.543 -0.037 20 0 IBADRN CS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001302490621 1073912235 /nfs/dbraw/zinc/91/22/35/1073912235.db2.gz BNHQLIFTLVHYHE-CYBMUJFWSA-N 0 0 448.571 -0.923 20 0 IBADRN CS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001302490622 1073912290 /nfs/dbraw/zinc/91/22/90/1073912290.db2.gz BNHQLIFTLVHYHE-ZDUSSCGKSA-N 0 0 448.571 -0.923 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CCN(C(=O)N2CCCC2)CC1 ZINC001302494541 1073912223 /nfs/dbraw/zinc/91/22/23/1073912223.db2.gz ATUZMHGPHHIQBA-UHFFFAOYSA-N 0 0 449.541 -0.378 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001302494561 1073912147 /nfs/dbraw/zinc/91/21/47/1073912147.db2.gz BBQKSDYWYSXYKH-BFHYXJOUSA-N 0 0 428.515 -0.837 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001302494563 1073912185 /nfs/dbraw/zinc/91/21/85/1073912185.db2.gz BBQKSDYWYSXYKH-IHRRRGAJSA-N 0 0 428.515 -0.837 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001302494565 1073912268 /nfs/dbraw/zinc/91/22/68/1073912268.db2.gz BBQKSDYWYSXYKH-MELADBBJSA-N 0 0 428.515 -0.837 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H]([C@H]2CCCO2)C1 ZINC001302494568 1073912330 /nfs/dbraw/zinc/91/23/30/1073912330.db2.gz BBQKSDYWYSXYKH-MJBXVCDLSA-N 0 0 428.515 -0.837 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@@H](C(F)(F)F)C1 ZINC001302494704 1073912296 /nfs/dbraw/zinc/91/22/96/1073912296.db2.gz MUAYNFVSGSCEDD-GHMZBOCLSA-N 0 0 440.448 -0.064 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@H](C(F)(F)F)C1 ZINC001302494879 1073912280 /nfs/dbraw/zinc/91/22/80/1073912280.db2.gz MUAYNFVSGSCEDD-MNOVXSKESA-N 0 0 440.448 -0.064 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H](C(F)(F)F)C1 ZINC001302494886 1073912309 /nfs/dbraw/zinc/91/23/09/1073912309.db2.gz MUAYNFVSGSCEDD-QWRGUYRKSA-N 0 0 440.448 -0.064 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H](C(F)(F)F)C1 ZINC001302494891 1073912250 /nfs/dbraw/zinc/91/22/50/1073912250.db2.gz MUAYNFVSGSCEDD-WDEREUQCSA-N 0 0 440.448 -0.064 20 0 IBADRN CS(=O)(=O)NCCCn1c(-c2cnccn2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001302495203 1073912319 /nfs/dbraw/zinc/91/23/19/1073912319.db2.gz OVMGZZXQOFTQFD-KGLIPLIRSA-N 0 0 434.526 -0.363 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001302495382 1073912135 /nfs/dbraw/zinc/91/21/35/1073912135.db2.gz GSCUZJBGLSAENO-CYBMUJFWSA-N 0 0 428.540 -0.097 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001302495383 1073912209 /nfs/dbraw/zinc/91/22/09/1073912209.db2.gz GSCUZJBGLSAENO-ZDUSSCGKSA-N 0 0 428.540 -0.097 20 0 IBADRN CC(C)[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001302495447 1073912347 /nfs/dbraw/zinc/91/23/47/1073912347.db2.gz DJWRTZBUYASQCZ-BASYENTBSA-N 0 0 445.542 -0.421 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001302495448 1073912339 /nfs/dbraw/zinc/91/23/39/1073912339.db2.gz DJWRTZBUYASQCZ-FSZRXZPDSA-N 0 0 445.542 -0.421 20 0 IBADRN CC(C)[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001302495449 1073912195 /nfs/dbraw/zinc/91/21/95/1073912195.db2.gz DJWRTZBUYASQCZ-JTOWHCCKSA-N 0 0 445.542 -0.421 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001302495450 1073912119 /nfs/dbraw/zinc/91/21/19/1073912119.db2.gz DJWRTZBUYASQCZ-KRXQYRFLSA-N 0 0 445.542 -0.421 20 0 IBADRN CS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001302496272 1073912803 /nfs/dbraw/zinc/91/28/03/1073912803.db2.gz BLLAXXRUHFAQTN-GOSISDBHSA-N 0 0 439.542 -0.944 20 0 IBADRN CS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001302496273 1073912810 /nfs/dbraw/zinc/91/28/10/1073912810.db2.gz BLLAXXRUHFAQTN-SFHVURJKSA-N 0 0 439.542 -0.944 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC001302496281 1073912793 /nfs/dbraw/zinc/91/27/93/1073912793.db2.gz BSELEYYECRQMPL-ILXRZTDVSA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC001302496282 1073912760 /nfs/dbraw/zinc/91/27/60/1073912760.db2.gz BSELEYYECRQMPL-KFWWJZLASA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC001302496283 1073912739 /nfs/dbraw/zinc/91/27/39/1073912739.db2.gz BSELEYYECRQMPL-QLFBSQMISA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC001302496284 1073912694 /nfs/dbraw/zinc/91/26/94/1073912694.db2.gz BSELEYYECRQMPL-RBSFLKMASA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NCCn1c(C2CC2)nnc1N1CCN(c2ncccc2C(N)=O)CC1 ZINC001302496384 1073912771 /nfs/dbraw/zinc/91/27/71/1073912771.db2.gz MWGSZBZHAWJDSQ-UHFFFAOYSA-N 0 0 434.526 -0.475 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCCCO2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001302496482 1073912839 /nfs/dbraw/zinc/91/28/39/1073912839.db2.gz WRADTBMGXBATBS-KBPBESRZSA-N 0 0 427.531 -0.327 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCCCO2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001302496492 1073912713 /nfs/dbraw/zinc/91/27/13/1073912713.db2.gz WRADTBMGXBATBS-KGLIPLIRSA-N 0 0 427.531 -0.327 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCCCO2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001302496494 1073912718 /nfs/dbraw/zinc/91/27/18/1073912718.db2.gz WRADTBMGXBATBS-UONOGXRCSA-N 0 0 427.531 -0.327 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCCCO2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001302496496 1073912783 /nfs/dbraw/zinc/91/27/83/1073912783.db2.gz WRADTBMGXBATBS-ZIAGYGMSSA-N 0 0 427.531 -0.327 20 0 IBADRN CS(=O)(=O)NCCCn1c(C2CC2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001302496610 1073912821 /nfs/dbraw/zinc/91/28/21/1073912821.db2.gz YDQIQWIHSDETHV-CYBMUJFWSA-N 0 0 434.588 -0.140 20 0 IBADRN CS(=O)(=O)NCCCn1c(C2CC2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001302496623 1073912830 /nfs/dbraw/zinc/91/28/30/1073912830.db2.gz YDQIQWIHSDETHV-ZDUSSCGKSA-N 0 0 434.588 -0.140 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001302496953 1073912845 /nfs/dbraw/zinc/91/28/45/1073912845.db2.gz QESUDBWYXHSQKN-INIZCTEOSA-N 0 0 449.537 -0.283 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001302496957 1073912729 /nfs/dbraw/zinc/91/27/29/1073912729.db2.gz QESUDBWYXHSQKN-MRXNPFEDSA-N 0 0 449.537 -0.283 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CCCN(C(=O)C2CC2)CC1 ZINC001302496967 1073912681 /nfs/dbraw/zinc/91/26/81/1073912681.db2.gz QKFPDNYBEBFFBN-UHFFFAOYSA-N 0 0 434.526 -0.267 20 0 IBADRN CS(=O)(=O)NCCn1c(C2CC2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001302497017 1073912749 /nfs/dbraw/zinc/91/27/49/1073912749.db2.gz RWOXYIDWQMIZHD-UHFFFAOYSA-N 0 0 427.531 -0.721 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2cnn(-c3ccccc3)c2)nnc1N1CCNC(=O)C1 ZINC001302497045 1073912860 /nfs/dbraw/zinc/91/28/60/1073912860.db2.gz TUDHBWORJMOEOZ-UHFFFAOYSA-N 0 0 430.494 -0.384 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(Cn2cccn2)CC1 ZINC001302497441 1073913238 /nfs/dbraw/zinc/91/32/38/1073913238.db2.gz WZOSBRMHEBWDIH-HNNXBMFYSA-N 0 0 436.542 -0.109 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(Cn2cccn2)CC1 ZINC001302497442 1073913413 /nfs/dbraw/zinc/91/34/13/1073913413.db2.gz WZOSBRMHEBWDIH-OAHLLOKOSA-N 0 0 436.542 -0.109 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2cccnc2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302497687 1073913431 /nfs/dbraw/zinc/91/34/31/1073913431.db2.gz ZVLLFFRNRNVPGF-UHFFFAOYSA-N 0 0 448.553 -0.710 20 0 IBADRN CS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCOCC2(CC2)C1 ZINC001302497953 1073913441 /nfs/dbraw/zinc/91/34/41/1073913441.db2.gz IOPAFNAOWLILSM-UHFFFAOYSA-N 0 0 426.543 -0.043 20 0 IBADRN CS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCc2c(cc[nH]c2=O)C1 ZINC001302498534 1073913267 /nfs/dbraw/zinc/91/32/67/1073913267.db2.gz KVNUCYTWRQFHCF-UHFFFAOYSA-N 0 0 435.510 -0.273 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2cc(Br)c[nH]2)nnc1N1CCNC(=O)CC1 ZINC001302498577 1073913326 /nfs/dbraw/zinc/91/33/26/1073913326.db2.gz MIISTEYQVWATFF-UHFFFAOYSA-N 0 0 446.331 -0.089 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCCOC2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001302499169 1073913275 /nfs/dbraw/zinc/91/32/75/1073913275.db2.gz NBALKGLIMPRVHP-CVEARBPZSA-N 0 0 428.559 -0.368 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCCOC2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001302499170 1073913189 /nfs/dbraw/zinc/91/31/89/1073913189.db2.gz NBALKGLIMPRVHP-HOTGVXAUSA-N 0 0 428.559 -0.368 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCCOC2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001302499171 1073913302 /nfs/dbraw/zinc/91/33/02/1073913302.db2.gz NBALKGLIMPRVHP-HZPDHXFCSA-N 0 0 428.559 -0.368 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCCOC2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001302499172 1073913349 /nfs/dbraw/zinc/91/33/49/1073913349.db2.gz NBALKGLIMPRVHP-JKSUJKDBSA-N 0 0 428.559 -0.368 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001302499794 1073913392 /nfs/dbraw/zinc/91/33/92/1073913392.db2.gz AZSSIZSVQJCHJC-INIZCTEOSA-N 0 0 448.553 -0.147 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001302499795 1073913375 /nfs/dbraw/zinc/91/33/75/1073913375.db2.gz AZSSIZSVQJCHJC-MRXNPFEDSA-N 0 0 448.553 -0.147 20 0 IBADRN CS(=O)(=O)NCCn1c(CC2CC2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001302499806 1073913339 /nfs/dbraw/zinc/91/33/39/1073913339.db2.gz BKLICLTYVXSCAO-HNNXBMFYSA-N 0 0 426.543 -0.393 20 0 IBADRN CS(=O)(=O)NCCn1c(CC2CC2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001302499807 1073913245 /nfs/dbraw/zinc/91/32/45/1073913245.db2.gz BKLICLTYVXSCAO-OAHLLOKOSA-N 0 0 426.543 -0.393 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2ccsc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302499826 1073913256 /nfs/dbraw/zinc/91/32/56/1073913256.db2.gz BVHPUEHAYVIXQI-UHFFFAOYSA-N 0 0 448.596 -0.439 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)N2CCCC2)CC1 ZINC001302499881 1073913218 /nfs/dbraw/zinc/91/32/18/1073913218.db2.gz CZCUDCFPAHUFFO-HNNXBMFYSA-N 0 0 441.558 -0.331 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)N2CCCC2)CC1 ZINC001302499882 1073913424 /nfs/dbraw/zinc/91/34/24/1073913424.db2.gz CZCUDCFPAHUFFO-OAHLLOKOSA-N 0 0 441.558 -0.331 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)c2ccccn2)CC1 ZINC001302500124 1073913453 /nfs/dbraw/zinc/91/34/53/1073913453.db2.gz FPIWOPBHVXPWSZ-HNNXBMFYSA-N 0 0 449.537 -0.311 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)c2ccccn2)CC1 ZINC001302500125 1073913402 /nfs/dbraw/zinc/91/34/02/1073913402.db2.gz FPIWOPBHVXPWSZ-OAHLLOKOSA-N 0 0 449.537 -0.311 20 0 IBADRN CS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1C[C@H]2CCC[C@@H](C1)C2O ZINC001302500251 1073913227 /nfs/dbraw/zinc/91/32/27/1073913227.db2.gz SOOIXRJPRCSHQL-YIONKMFJSA-N 0 0 426.543 -0.340 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001302500278 1073913878 /nfs/dbraw/zinc/91/38/78/1073913878.db2.gz UBDAVCLMZASQKL-CYBMUJFWSA-N 0 0 435.572 -0.265 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001302500279 1073913922 /nfs/dbraw/zinc/91/39/22/1073913922.db2.gz UBDAVCLMZASQKL-ZDUSSCGKSA-N 0 0 435.572 -0.265 20 0 IBADRN CS(=O)(=O)NCCn1c(CC2CC2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001302500435 1073913932 /nfs/dbraw/zinc/91/39/32/1073913932.db2.gz IKLQRLCUZIPOJJ-UHFFFAOYSA-N 0 0 425.559 -0.820 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N(C[C@@H]1CCOC1)C1CC1 ZINC001302500436 1073913870 /nfs/dbraw/zinc/91/38/70/1073913870.db2.gz IKSPGRAFESHSKV-AWEZNQCLSA-N 0 0 426.543 -0.045 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N(C[C@H]1CCOC1)C1CC1 ZINC001302500437 1073913948 /nfs/dbraw/zinc/91/39/48/1073913948.db2.gz IKSPGRAFESHSKV-CQSZACIVSA-N 0 0 426.543 -0.045 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCC2)C1 ZINC001302500580 1073913970 /nfs/dbraw/zinc/91/39/70/1073913970.db2.gz XRIGNXAHYIPSBE-AWEZNQCLSA-N 0 0 447.583 -0.121 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCC2)C1 ZINC001302500581 1073913848 /nfs/dbraw/zinc/91/38/48/1073913848.db2.gz XRIGNXAHYIPSBE-CQSZACIVSA-N 0 0 447.583 -0.121 20 0 IBADRN CS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(F)F)CC1 ZINC001302500643 1073913992 /nfs/dbraw/zinc/91/39/92/1073913992.db2.gz ZZYQRNSBBIDOEW-UHFFFAOYSA-N 0 0 435.501 -0.550 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2ccncc2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001302500997 1073914011 /nfs/dbraw/zinc/91/40/11/1073914011.db2.gz NCCRZHFGXKNESV-UHFFFAOYSA-N 0 0 433.538 -0.080 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2ccncc2)nnc1N1CCN(c2ncccn2)CC1 ZINC001302501006 1073913863 /nfs/dbraw/zinc/91/38/63/1073913863.db2.gz NFAYIOIACFTFMO-UHFFFAOYSA-N 0 0 443.537 -0.070 20 0 IBADRN CS(=O)(=O)NCCn1c(C2CCC2)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001302501348 1073913912 /nfs/dbraw/zinc/91/39/12/1073913912.db2.gz RPXFIZLQQDAKOM-UHFFFAOYSA-N 0 0 448.553 -0.085 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCCN(C(=O)C2CC2)CC1 ZINC001302501411 1073913960 /nfs/dbraw/zinc/91/39/60/1073913960.db2.gz TXGKPQGJMVPPHJ-HNNXBMFYSA-N 0 0 426.543 -0.220 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCCN(C(=O)C2CC2)CC1 ZINC001302501412 1073913883 /nfs/dbraw/zinc/91/38/83/1073913883.db2.gz TXGKPQGJMVPPHJ-OAHLLOKOSA-N 0 0 426.543 -0.220 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2ccccn2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001302501549 1073913937 /nfs/dbraw/zinc/91/39/37/1073913937.db2.gz VASZUQDMDATEDA-UHFFFAOYSA-N 0 0 448.553 -0.193 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001302501564 1073914003 /nfs/dbraw/zinc/91/40/03/1073914003.db2.gz VPDXJBJDLZXONL-CABCVRRESA-N 0 0 425.515 -0.567 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001302501565 1073913855 /nfs/dbraw/zinc/91/38/55/1073913855.db2.gz VPDXJBJDLZXONL-GJZGRUSLSA-N 0 0 425.515 -0.567 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001302501566 1073913979 /nfs/dbraw/zinc/91/39/79/1073913979.db2.gz VPDXJBJDLZXONL-HUUCEWRRSA-N 0 0 425.515 -0.567 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001302501567 1073913893 /nfs/dbraw/zinc/91/38/93/1073913893.db2.gz VPDXJBJDLZXONL-LSDHHAIUSA-N 0 0 425.515 -0.567 20 0 IBADRN CC(C)[C@](C)(O)Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302502137 1073914620 /nfs/dbraw/zinc/91/46/20/1073914620.db2.gz CWDCFTVVJRAIPT-GOSISDBHSA-N 0 0 428.559 -0.111 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001302502138 1073914576 /nfs/dbraw/zinc/91/45/76/1073914576.db2.gz CWDCFTVVJRAIPT-SFHVURJKSA-N 0 0 428.559 -0.111 20 0 IBADRN CN(CC(=O)NC[C@]1(CO)COCCN1)S(=O)(=O)c1ccc(Br)cc1 ZINC001302503278 1073914474 /nfs/dbraw/zinc/91/44/74/1073914474.db2.gz GORLGRDTTVBZJH-HNNXBMFYSA-N 0 0 436.328 -0.463 20 0 IBADRN CN(CC(=O)NC[C@@]1(CO)COCCN1)S(=O)(=O)c1ccc(Br)cc1 ZINC001302503285 1073914567 /nfs/dbraw/zinc/91/45/67/1073914567.db2.gz GORLGRDTTVBZJH-OAHLLOKOSA-N 0 0 436.328 -0.463 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NC[C@]3(CO)COCCN3)c2)C[C@@H](C)O1 ZINC001302503306 1073914554 /nfs/dbraw/zinc/91/45/54/1073914554.db2.gz GPYAJVHWJDRWHI-CLCXKQKWSA-N 0 0 427.523 -0.435 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NC[C@]3(CO)COCCN3)c2)C[C@H](C)O1 ZINC001302503308 1073914541 /nfs/dbraw/zinc/91/45/41/1073914541.db2.gz GPYAJVHWJDRWHI-KHYOSLBOSA-N 0 0 427.523 -0.435 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NC[C@@]3(CO)COCCN3)c2)C[C@@H](C)O1 ZINC001302503309 1073914609 /nfs/dbraw/zinc/91/46/09/1073914609.db2.gz GPYAJVHWJDRWHI-SPYBWZPUSA-N 0 0 427.523 -0.435 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NC[C@@]3(CO)COCCN3)c2)C[C@H](C)O1 ZINC001302503310 1073914624 /nfs/dbraw/zinc/91/46/24/1073914624.db2.gz GPYAJVHWJDRWHI-ZRGWGRIASA-N 0 0 427.523 -0.435 20 0 IBADRN O=C(NC[C@]1(CO)COCCN1)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC001302504118 1073914598 /nfs/dbraw/zinc/91/45/98/1073914598.db2.gz PLGMJTZTUUMACT-KRWDZBQOSA-N 0 0 433.552 -0.778 20 0 IBADRN O=C(NC[C@@]1(CO)COCCN1)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC001302504119 1073914519 /nfs/dbraw/zinc/91/45/19/1073914519.db2.gz PLGMJTZTUUMACT-QGZVFWFLSA-N 0 0 433.552 -0.778 20 0 IBADRN O=C(CNC(=O)Cn1ccc2ccc(Br)cc21)NC[C@@]1(CO)COCCN1 ZINC001302504163 1073914485 /nfs/dbraw/zinc/91/44/85/1073914485.db2.gz QIKSYDLRCLXKFI-GOSISDBHSA-N 0 0 439.310 -0.013 20 0 IBADRN O=C(CNC(=O)Cn1ccc2ccc(Br)cc21)NC[C@]1(CO)COCCN1 ZINC001302504164 1073914496 /nfs/dbraw/zinc/91/44/96/1073914496.db2.gz QIKSYDLRCLXKFI-SFHVURJKSA-N 0 0 439.310 -0.013 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)NC[C@@]1(CO)COCCN1 ZINC001302504299 1073914632 /nfs/dbraw/zinc/91/46/32/1073914632.db2.gz QUZRGZWBVISCGR-CRAIPNDOSA-N 0 0 447.941 -0.660 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)NC[C@@]1(CO)COCCN1 ZINC001302504301 1073914429 /nfs/dbraw/zinc/91/44/29/1073914429.db2.gz QUZRGZWBVISCGR-MAUKXSAKSA-N 0 0 447.941 -0.660 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)NC[C@]1(CO)COCCN1 ZINC001302504302 1073914587 /nfs/dbraw/zinc/91/45/87/1073914587.db2.gz QUZRGZWBVISCGR-QAPCUYQASA-N 0 0 447.941 -0.660 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)NC[C@]1(CO)COCCN1 ZINC001302504304 1073914508 /nfs/dbraw/zinc/91/45/08/1073914508.db2.gz QUZRGZWBVISCGR-YJBOKZPZSA-N 0 0 447.941 -0.660 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCN(c2cnccn2)CC1 ZINC001302504343 1073914465 /nfs/dbraw/zinc/91/44/65/1073914465.db2.gz AKLUJPVCPQBDGM-UHFFFAOYSA-N 0 0 449.541 -0.934 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001302506124 1073915183 /nfs/dbraw/zinc/91/51/83/1073915183.db2.gz NQPKTBPFBKHGOL-UHFFFAOYSA-N 0 0 441.514 -0.972 20 0 IBADRN C[S@@](=O)C1(Cn2c(-c3cnccn3)nnc2N2CCN(S(C)(=O)=O)CC2)CC1 ZINC001302507156 1073915123 /nfs/dbraw/zinc/91/51/23/1073915123.db2.gz VAHCFKSOTXKROS-HHHXNRCGSA-N 0 0 425.540 -0.272 20 0 IBADRN C[S@](=O)C1(Cn2c(-c3cnccn3)nnc2N2CCN(S(C)(=O)=O)CC2)CC1 ZINC001302507157 1073915115 /nfs/dbraw/zinc/91/51/15/1073915115.db2.gz VAHCFKSOTXKROS-MHZLTWQESA-N 0 0 425.540 -0.272 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC001302507310 1073915219 /nfs/dbraw/zinc/91/52/19/1073915219.db2.gz WXTYYNJMZCDGTK-CABCVRRESA-N 0 0 426.543 -0.045 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@@H]2OCCC[C@H]2C1 ZINC001302507312 1073915161 /nfs/dbraw/zinc/91/51/61/1073915161.db2.gz WXTYYNJMZCDGTK-GJZGRUSLSA-N 0 0 426.543 -0.045 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@H]2OCCC[C@@H]2C1 ZINC001302507315 1073915131 /nfs/dbraw/zinc/91/51/31/1073915131.db2.gz WXTYYNJMZCDGTK-HUUCEWRRSA-N 0 0 426.543 -0.045 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@H]2OCCC[C@H]2C1 ZINC001302507317 1073915153 /nfs/dbraw/zinc/91/51/53/1073915153.db2.gz WXTYYNJMZCDGTK-LSDHHAIUSA-N 0 0 426.543 -0.045 20 0 IBADRN C[S@@](=O)C1(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001302509012 1073915100 /nfs/dbraw/zinc/91/51/00/1073915100.db2.gz XLKXUAQVFCCHFX-UOXLDJKESA-N 0 0 436.581 -0.674 20 0 IBADRN C[S@](=O)C1(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001302509013 1073915107 /nfs/dbraw/zinc/91/51/07/1073915107.db2.gz XLKXUAQVFCCHFX-VDBVYFBLSA-N 0 0 436.581 -0.674 20 0 IBADRN C[S@@](=O)C1(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001302509014 1073915051 /nfs/dbraw/zinc/91/50/51/1073915051.db2.gz XLKXUAQVFCCHFX-XELLLNAOSA-N 0 0 436.581 -0.674 20 0 IBADRN C[S@](=O)C1(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001302509015 1073915066 /nfs/dbraw/zinc/91/50/66/1073915066.db2.gz XLKXUAQVFCCHFX-YDBLSZJJSA-N 0 0 436.581 -0.674 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)C(C)(C)NC(=O)C(C)(C)NC(C)=O)CC1 ZINC001302530323 1073915140 /nfs/dbraw/zinc/91/51/40/1073915140.db2.gz LGNPGHLKYLGFAH-UHFFFAOYSA-N 0 0 433.575 -0.473 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3C[C@@H]4C(=O)N(C)C(=O)[C@@H]4C3)CC2)cc1 ZINC001302538887 1073915168 /nfs/dbraw/zinc/91/51/68/1073915168.db2.gz DCPVNQUBWNCKNU-CALCHBBNSA-N 0 0 434.518 -0.625 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)Cc3ccc(S(=O)(=O)N4CCOCC4)s3)C[C@H]2C1=O ZINC001302539225 1073915072 /nfs/dbraw/zinc/91/50/72/1073915072.db2.gz IWDOYLQLYIKVBZ-BETUJISGSA-N 0 0 427.504 -0.615 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)CCCNS(=O)(=O)c3ccc4c(c3)OCCO4)C[C@H]2C1=O ZINC001302539925 1073915198 /nfs/dbraw/zinc/91/51/98/1073915198.db2.gz YBDOYUNZKKFDCT-OKILXGFUSA-N 0 0 437.474 -0.411 20 0 IBADRN CS[C@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001302541909 1073915019 /nfs/dbraw/zinc/91/50/19/1073915019.db2.gz QCJYRHNBYRCAQG-CHWSQXEVSA-N 0 0 434.588 -0.065 20 0 IBADRN CS[C@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001302541910 1073915207 /nfs/dbraw/zinc/91/52/07/1073915207.db2.gz QCJYRHNBYRCAQG-OLZOCXBDSA-N 0 0 434.588 -0.065 20 0 IBADRN CS[C@@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001302541911 1073915701 /nfs/dbraw/zinc/91/57/01/1073915701.db2.gz QCJYRHNBYRCAQG-QWHCGFSZSA-N 0 0 434.588 -0.065 20 0 IBADRN CS[C@@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001302541912 1073915705 /nfs/dbraw/zinc/91/57/05/1073915705.db2.gz QCJYRHNBYRCAQG-STQMWFEESA-N 0 0 434.588 -0.065 20 0 IBADRN CS[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001302557354 1073915658 /nfs/dbraw/zinc/91/56/58/1073915658.db2.gz FOUFRBHZDAVGAZ-CHWSQXEVSA-N 0 0 432.572 -0.311 20 0 IBADRN CS[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001302557364 1073915727 /nfs/dbraw/zinc/91/57/27/1073915727.db2.gz FOUFRBHZDAVGAZ-OLZOCXBDSA-N 0 0 432.572 -0.311 20 0 IBADRN CS[C@@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001302557366 1073915687 /nfs/dbraw/zinc/91/56/87/1073915687.db2.gz FOUFRBHZDAVGAZ-QWHCGFSZSA-N 0 0 432.572 -0.311 20 0 IBADRN CS[C@@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001302557368 1073915615 /nfs/dbraw/zinc/91/56/15/1073915615.db2.gz FOUFRBHZDAVGAZ-STQMWFEESA-N 0 0 432.572 -0.311 20 0 IBADRN CS[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001302558362 1073915724 /nfs/dbraw/zinc/91/57/24/1073915724.db2.gz MMUOQKOVXVLPHR-AGIUHOORSA-N 0 0 432.572 -0.326 20 0 IBADRN CS[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001302558363 1073915628 /nfs/dbraw/zinc/91/56/28/1073915628.db2.gz MMUOQKOVXVLPHR-FRRDWIJNSA-N 0 0 432.572 -0.326 20 0 IBADRN CS[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001302558364 1073915651 /nfs/dbraw/zinc/91/56/51/1073915651.db2.gz MMUOQKOVXVLPHR-JHJVBQTASA-N 0 0 432.572 -0.326 20 0 IBADRN CS[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001302558365 1073915666 /nfs/dbraw/zinc/91/56/66/1073915666.db2.gz MMUOQKOVXVLPHR-UPJWGTAASA-N 0 0 432.572 -0.326 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC2CCN(CC(=O)OC(C)(C)C)CC2)cn1C ZINC001302560682 1073915710 /nfs/dbraw/zinc/91/57/10/1073915710.db2.gz CLSDDXMHSJRTOW-UHFFFAOYSA-N 0 0 429.543 -0.071 20 0 IBADRN O=C(NCc1ccc(F)cc1)c1nccnc1C(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001302560936 1073915676 /nfs/dbraw/zinc/91/56/76/1073915676.db2.gz DPSVOHCJAHEUGQ-NRFANRHFSA-N 0 0 443.435 -0.097 20 0 IBADRN O=C(NCc1ccc(F)cc1)c1nccnc1C(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001302560937 1073915637 /nfs/dbraw/zinc/91/56/37/1073915637.db2.gz DPSVOHCJAHEUGQ-OAQYLSRUSA-N 0 0 443.435 -0.097 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(C2(C(=O)NC[C@@]34COCCN3C(=O)COC4)CCC2)C1 ZINC001302560951 1073915735 /nfs/dbraw/zinc/91/57/35/1073915735.db2.gz DYONFQYVNLEHPX-IBGZPJMESA-N 0 0 439.509 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(C2(C(=O)NC[C@]34COCCN3C(=O)COC4)CCC2)C1 ZINC001302560952 1073915694 /nfs/dbraw/zinc/91/56/94/1073915694.db2.gz DYONFQYVNLEHPX-LJQANCHMSA-N 0 0 439.509 -0.118 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@@]23COCCN2C(=O)COC3)cc(OC)c1OC ZINC001302560967 1073915681 /nfs/dbraw/zinc/91/56/81/1073915681.db2.gz FFRDNKAAEWDBEP-FQEVSTJZSA-N 0 0 437.449 -0.814 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@]23COCCN2C(=O)COC3)cc(OC)c1OC ZINC001302560969 1073915720 /nfs/dbraw/zinc/91/57/20/1073915720.db2.gz FFRDNKAAEWDBEP-HXUWFJFHSA-N 0 0 437.449 -0.814 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001302560971 1073915732 /nfs/dbraw/zinc/91/57/32/1073915732.db2.gz FFTVBQAXVUNUGD-JLTOFOAXSA-N 0 0 440.497 -0.754 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001302560974 1073915644 /nfs/dbraw/zinc/91/56/44/1073915644.db2.gz FFTVBQAXVUNUGD-VBKZILBWSA-N 0 0 440.497 -0.754 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001302560976 1073915673 /nfs/dbraw/zinc/91/56/73/1073915673.db2.gz FFTVBQAXVUNUGD-VLIAUNLRSA-N 0 0 440.497 -0.754 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001302560978 1073916320 /nfs/dbraw/zinc/91/63/20/1073916320.db2.gz FFTVBQAXVUNUGD-XOBRGWDASA-N 0 0 440.497 -0.754 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccc2)CC1)NC[C@]12COCCN1C(=O)COC2 ZINC001302561905 1073916328 /nfs/dbraw/zinc/91/63/28/1073916328.db2.gz LNWDQFKULIOICR-JOCHJYFZSA-N 0 0 430.505 -0.141 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ccccc2)CC1)NC[C@@]12COCCN1C(=O)COC2 ZINC001302561906 1073916281 /nfs/dbraw/zinc/91/62/81/1073916281.db2.gz LNWDQFKULIOICR-QFIPXVFZSA-N 0 0 430.505 -0.141 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@@](F)(C(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001302561950 1073916239 /nfs/dbraw/zinc/91/62/39/1073916239.db2.gz MSCFXKUHYLZLQP-PMACEKPBSA-N 0 0 443.472 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@@](F)(C(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001302561951 1073916308 /nfs/dbraw/zinc/91/63/08/1073916308.db2.gz MSCFXKUHYLZLQP-UXHICEINSA-N 0 0 443.472 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@](F)(C(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001302561952 1073916234 /nfs/dbraw/zinc/91/62/34/1073916234.db2.gz MSCFXKUHYLZLQP-VQTJNVASSA-N 0 0 443.472 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@](F)(C(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001302561953 1073916273 /nfs/dbraw/zinc/91/62/73/1073916273.db2.gz MSCFXKUHYLZLQP-WOJBJXKFSA-N 0 0 443.472 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CCO[C@]2(C(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001302562009 1073916201 /nfs/dbraw/zinc/91/62/01/1073916201.db2.gz NFLXSOXTWHUSCH-PNHOKKKMSA-N 0 0 425.482 -0.244 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CCO[C@]2(C(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001302562011 1073916187 /nfs/dbraw/zinc/91/61/87/1073916187.db2.gz NFLXSOXTWHUSCH-VHKYSDTDSA-N 0 0 425.482 -0.244 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC001302562796 1073916264 /nfs/dbraw/zinc/91/62/64/1073916264.db2.gz TVACYDMYRVONMI-FQEVSTJZSA-N 0 0 446.485 -0.338 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1 ZINC001302562797 1073916297 /nfs/dbraw/zinc/91/62/97/1073916297.db2.gz TVACYDMYRVONMI-HXUWFJFHSA-N 0 0 446.485 -0.338 20 0 IBADRN COC[C@]1(C(=O)NC[C@@]23COCCN2C(=O)COC3)CN(C(=O)OC(C)(C)C)CCO1 ZINC001302563058 1073916182 /nfs/dbraw/zinc/91/61/82/1073916182.db2.gz VJJCYWWYWGSVBO-PMACEKPBSA-N 0 0 443.497 -0.617 20 0 IBADRN COC[C@]1(C(=O)NC[C@]23COCCN2C(=O)COC3)CN(C(=O)OC(C)(C)C)CCO1 ZINC001302563065 1073916192 /nfs/dbraw/zinc/91/61/92/1073916192.db2.gz VJJCYWWYWGSVBO-UXHICEINSA-N 0 0 443.497 -0.617 20 0 IBADRN COC[C@@]1(C(=O)NC[C@@]23COCCN2C(=O)COC3)CN(C(=O)OC(C)(C)C)CCO1 ZINC001302563067 1073916169 /nfs/dbraw/zinc/91/61/69/1073916169.db2.gz VJJCYWWYWGSVBO-VQTJNVASSA-N 0 0 443.497 -0.617 20 0 IBADRN COC[C@@]1(C(=O)NC[C@]23COCCN2C(=O)COC3)CN(C(=O)OC(C)(C)C)CCO1 ZINC001302563069 1073916304 /nfs/dbraw/zinc/91/63/04/1073916304.db2.gz VJJCYWWYWGSVBO-WOJBJXKFSA-N 0 0 443.497 -0.617 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@H]3CCO[C@H]3C(=O)OC)cc2S1(=O)=O ZINC001302574321 1073916336 /nfs/dbraw/zinc/91/63/36/1073916336.db2.gz YLBBQIVCGHJWMS-IUODEOHRSA-N 0 0 426.447 -0.215 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC001302574634 1073916225 /nfs/dbraw/zinc/91/62/25/1073916225.db2.gz NTFMADBRKUMNTQ-MLGOLLRUSA-N 0 0 427.479 -0.522 20 0 IBADRN CSC1(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)CCC1 ZINC001302592299 1073916209 /nfs/dbraw/zinc/91/62/09/1073916209.db2.gz VZQZYYCWHWFIHX-CYBMUJFWSA-N 0 0 435.554 -0.151 20 0 IBADRN CSC1(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)CCC1 ZINC001302592300 1073916291 /nfs/dbraw/zinc/91/62/91/1073916291.db2.gz VZQZYYCWHWFIHX-ZDUSSCGKSA-N 0 0 435.554 -0.151 20 0 IBADRN NC(=O)[C@@H](C1CC1)N(CC1CC1)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001302632364 1073916931 /nfs/dbraw/zinc/91/69/31/1073916931.db2.gz AROGMIXWHUJWSY-GOSISDBHSA-N 0 0 434.518 -0.077 20 0 IBADRN NC(=O)[C@H](C1CC1)N(CC1CC1)C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001302632369 1073916868 /nfs/dbraw/zinc/91/68/68/1073916868.db2.gz AROGMIXWHUJWSY-SFHVURJKSA-N 0 0 434.518 -0.077 20 0 IBADRN CC(C)c1nnc(N2CC[C@H](NC(=O)NC3CC3)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302635212 1073917007 /nfs/dbraw/zinc/91/70/07/1073917007.db2.gz QPTULOWVQMSMPW-KBXCAEBGSA-N 0 0 426.543 -0.009 20 0 IBADRN CC(C)c1nnc(N2CC[C@@H](NC(=O)NC3CC3)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302635213 1073916999 /nfs/dbraw/zinc/91/69/99/1073916999.db2.gz QPTULOWVQMSMPW-KDOFPFPSSA-N 0 0 426.543 -0.009 20 0 IBADRN CC(C)c1nnc(N2CC[C@H](NC(=O)NC3CC3)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302635214 1073916837 /nfs/dbraw/zinc/91/68/37/1073916837.db2.gz QPTULOWVQMSMPW-KSSFIOAISA-N 0 0 426.543 -0.009 20 0 IBADRN CC(C)c1nnc(N2CC[C@@H](NC(=O)NC3CC3)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302635215 1073916852 /nfs/dbraw/zinc/91/68/52/1073916852.db2.gz QPTULOWVQMSMPW-RDTXWAMCSA-N 0 0 426.543 -0.009 20 0 IBADRN CC(C)c1nnc(N2CCC[C@H](N3CCCS3(=O)=O)C2)n1CCNS(C)(=O)=O ZINC001302655301 1073916787 /nfs/dbraw/zinc/91/67/87/1073916787.db2.gz PYIUBGHPFXNSJE-AWEZNQCLSA-N 0 0 434.588 -0.045 20 0 IBADRN CC(C)c1nnc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)n1CCNS(C)(=O)=O ZINC001302655302 1073916895 /nfs/dbraw/zinc/91/68/95/1073916895.db2.gz PYIUBGHPFXNSJE-CQSZACIVSA-N 0 0 434.588 -0.045 20 0 IBADRN CCOC(=O)[C@]1(C)CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC001302666226 1073916956 /nfs/dbraw/zinc/91/69/56/1073916956.db2.gz YLKARBOBVNDQPR-IBGZPJMESA-N 0 0 441.506 -0.035 20 0 IBADRN CCOC(=O)[C@@]1(C)CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC001302666227 1073916924 /nfs/dbraw/zinc/91/69/24/1073916924.db2.gz YLKARBOBVNDQPR-LJQANCHMSA-N 0 0 441.506 -0.035 20 0 IBADRN CCOC(=O)[C@]1(C)CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC001302666250 1073916801 /nfs/dbraw/zinc/91/68/01/1073916801.db2.gz ZELRLNBVGVFWDC-IBGZPJMESA-N 0 0 441.506 -0.153 20 0 IBADRN CCOC(=O)[C@@]1(C)CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCO1 ZINC001302666251 1073916860 /nfs/dbraw/zinc/91/68/60/1073916860.db2.gz ZELRLNBVGVFWDC-LJQANCHMSA-N 0 0 441.506 -0.153 20 0 IBADRN CCOC(=O)[C@]1(C)CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CCO1 ZINC001302670339 1073916903 /nfs/dbraw/zinc/91/69/03/1073916903.db2.gz UUCWBVBSMQUYOK-KRWDZBQOSA-N 0 0 448.519 -0.451 20 0 IBADRN CCOC(=O)[C@@]1(C)CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CCO1 ZINC001302670340 1073916940 /nfs/dbraw/zinc/91/69/40/1073916940.db2.gz UUCWBVBSMQUYOK-QGZVFWFLSA-N 0 0 448.519 -0.451 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@@H]1COCCO1 ZINC001302670849 1073916988 /nfs/dbraw/zinc/91/69/88/1073916988.db2.gz HBWKEUFJAXUBHT-MRXNPFEDSA-N 0 0 436.513 -0.676 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)[C@H](O)C1 ZINC001302675145 1073916945 /nfs/dbraw/zinc/91/69/45/1073916945.db2.gz WKQDYESBWMHXFC-APIJFGDWSA-N 0 0 445.538 -0.492 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)[C@H](O)C1 ZINC001302675146 1073916973 /nfs/dbraw/zinc/91/69/73/1073916973.db2.gz WKQDYESBWMHXFC-CBBWQLFWSA-N 0 0 445.538 -0.492 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)[C@@H](O)C1 ZINC001302675147 1073916982 /nfs/dbraw/zinc/91/69/82/1073916982.db2.gz WKQDYESBWMHXFC-KBXIAJHMSA-N 0 0 445.538 -0.492 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)[C@@H](O)C1 ZINC001302675148 1073916825 /nfs/dbraw/zinc/91/68/25/1073916825.db2.gz WKQDYESBWMHXFC-QPSCCSFWSA-N 0 0 445.538 -0.492 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC(=O)NCC(F)(F)F ZINC001302675294 1073916885 /nfs/dbraw/zinc/91/68/85/1073916885.db2.gz SLKIJDCRRPVXJB-UHFFFAOYSA-N 0 0 444.422 -0.585 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@H](O)C[C@@H]2C(=O)OC)c1 ZINC001302676165 1073917524 /nfs/dbraw/zinc/91/75/24/1073917524.db2.gz IMTDPNWZHBEVKE-GDBMZVCRSA-N 0 0 441.506 -0.418 20 0 IBADRN CN1CCn2ncc(C(=O)N[C@@H]3CCN(C(=O)OC(C)(C)C)C[C@H]3O)c2S1(=O)=O ZINC001302677414 1073917461 /nfs/dbraw/zinc/91/74/61/1073917461.db2.gz FASXAENEBSFSLW-CHWSQXEVSA-N 0 0 429.499 -0.383 20 0 IBADRN CN1CCn2ncc(C(=O)N[C@@H]3CCN(C(=O)OC(C)(C)C)C[C@@H]3O)c2S1(=O)=O ZINC001302677416 1073917487 /nfs/dbraw/zinc/91/74/87/1073917487.db2.gz FASXAENEBSFSLW-OLZOCXBDSA-N 0 0 429.499 -0.383 20 0 IBADRN CN1CCn2ncc(C(=O)N[C@H]3CCN(C(=O)OC(C)(C)C)C[C@H]3O)c2S1(=O)=O ZINC001302677418 1073917528 /nfs/dbraw/zinc/91/75/28/1073917528.db2.gz FASXAENEBSFSLW-QWHCGFSZSA-N 0 0 429.499 -0.383 20 0 IBADRN CN1CCn2ncc(C(=O)N[C@H]3CCN(C(=O)OC(C)(C)C)C[C@@H]3O)c2S1(=O)=O ZINC001302677419 1073917352 /nfs/dbraw/zinc/91/73/52/1073917352.db2.gz FASXAENEBSFSLW-STQMWFEESA-N 0 0 429.499 -0.383 20 0 IBADRN CC(C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001302688988 1073917513 /nfs/dbraw/zinc/91/75/13/1073917513.db2.gz HDDGICXDKLXVEK-UHFFFAOYSA-N 0 0 442.586 -0.449 20 0 IBADRN CC(C)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCN1CCCS1(=O)=O ZINC001302691131 1073917508 /nfs/dbraw/zinc/91/75/08/1073917508.db2.gz VGXGIXMQWYUJDZ-UHFFFAOYSA-N 0 0 439.586 -0.162 20 0 IBADRN NC(=O)C1(NC(=O)c2ccc(NCCN3CCOCC3)c(F)c2)CCS(=O)(=O)CC1 ZINC001302691316 1073917446 /nfs/dbraw/zinc/91/74/46/1073917446.db2.gz NTWMLNMQUNTWNC-UHFFFAOYSA-N 0 0 442.513 -0.268 20 0 IBADRN CC(C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001302697277 1073917532 /nfs/dbraw/zinc/91/75/32/1073917532.db2.gz AXHVGXCIDYWCFJ-CQSZACIVSA-N 0 0 434.588 -0.093 20 0 IBADRN CC(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H](C(C)C)N1CCOCC1 ZINC001302698789 1073917416 /nfs/dbraw/zinc/91/74/16/1073917416.db2.gz NWWLJXSVAMLSNW-DLBZAZTESA-N 0 0 433.557 -0.095 20 0 IBADRN CC(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H](C(C)C)N1CCOCC1 ZINC001302698792 1073917478 /nfs/dbraw/zinc/91/74/78/1073917478.db2.gz NWWLJXSVAMLSNW-IAGOWNOFSA-N 0 0 433.557 -0.095 20 0 IBADRN CC(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H](C(C)C)N1CCOCC1 ZINC001302698795 1073917366 /nfs/dbraw/zinc/91/73/66/1073917366.db2.gz NWWLJXSVAMLSNW-IRXDYDNUSA-N 0 0 433.557 -0.095 20 0 IBADRN CC(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H](C(C)C)N1CCOCC1 ZINC001302698798 1073917433 /nfs/dbraw/zinc/91/74/33/1073917433.db2.gz NWWLJXSVAMLSNW-SJORKVTESA-N 0 0 433.557 -0.095 20 0 IBADRN CC(C)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001302699300 1073917517 /nfs/dbraw/zinc/91/75/17/1073917517.db2.gz PVDNBDNJBOIUMM-BBRMVZONSA-N 0 0 435.572 -0.579 20 0 IBADRN CC(C)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001302699301 1073917521 /nfs/dbraw/zinc/91/75/21/1073917521.db2.gz PVDNBDNJBOIUMM-XJKSGUPXSA-N 0 0 435.572 -0.579 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NC2CN(c3ccncn3)C2)c1 ZINC001302713430 1073917455 /nfs/dbraw/zinc/91/74/55/1073917455.db2.gz KNASJTLVCUEKGG-UHFFFAOYSA-N 0 0 425.492 -0.837 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](CO)[C@H](CO)C3)ccc2F)CC1 ZINC001302726690 1073918033 /nfs/dbraw/zinc/91/80/33/1073918033.db2.gz DKLXTJDVRBWOFE-IYBDPMFKSA-N 0 0 443.497 -0.649 20 0 IBADRN COc1ccc(CC(=O)N2C[C@H](CO)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001302727234 1073918060 /nfs/dbraw/zinc/91/80/60/1073918060.db2.gz FGTZPUBRJJFPFV-IYBDPMFKSA-N 0 0 428.507 -0.682 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)N2C[C@H](CO)[C@H](CO)C2)cc1 ZINC001302728187 1073917872 /nfs/dbraw/zinc/91/78/72/1073917872.db2.gz TXYFERGHCACNCH-MJQMVNBJSA-N 0 0 426.535 -0.007 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@H](CO)[C@H](CO)C2)cc1 ZINC001302728188 1073917975 /nfs/dbraw/zinc/91/79/75/1073917975.db2.gz TXYFERGHCACNCH-NTDBWNAOSA-N 0 0 426.535 -0.007 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2C[C@H](CO)[C@H](CO)C2)cc1 ZINC001302728189 1073918024 /nfs/dbraw/zinc/91/80/24/1073918024.db2.gz TXYFERGHCACNCH-SFNKJDCFSA-N 0 0 426.535 -0.007 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2C[C@H](CO)[C@H](CO)C2)cc1 ZINC001302728190 1073918055 /nfs/dbraw/zinc/91/80/55/1073918055.db2.gz TXYFERGHCACNCH-ZMMAXQRCSA-N 0 0 426.535 -0.007 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@@H]1COCC[C@H]1O ZINC001302731036 1073918111 /nfs/dbraw/zinc/91/81/11/1073918111.db2.gz DGSBRZJVTKYMGH-IAGOWNOFSA-N 0 0 443.497 -0.295 20 0 IBADRN CSCCCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001302775098 1073918002 /nfs/dbraw/zinc/91/80/02/1073918002.db2.gz UOVHKCWVJDDFTM-AWEZNQCLSA-N 0 0 435.554 -0.309 20 0 IBADRN CSCCCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001302775099 1073917962 /nfs/dbraw/zinc/91/79/62/1073917962.db2.gz UOVHKCWVJDDFTM-CQSZACIVSA-N 0 0 435.554 -0.309 20 0 IBADRN CC(C)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001302775801 1073917997 /nfs/dbraw/zinc/91/79/97/1073917997.db2.gz BPWFBACJTXTDRQ-CABCVRRESA-N 0 0 449.599 -0.067 20 0 IBADRN CC(C)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001302775804 1073918076 /nfs/dbraw/zinc/91/80/76/1073918076.db2.gz BPWFBACJTXTDRQ-GJZGRUSLSA-N 0 0 449.599 -0.067 20 0 IBADRN CC(C)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001302775807 1073918102 /nfs/dbraw/zinc/91/81/02/1073918102.db2.gz BPWFBACJTXTDRQ-HUUCEWRRSA-N 0 0 449.599 -0.067 20 0 IBADRN CC(C)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001302775810 1073917984 /nfs/dbraw/zinc/91/79/84/1073917984.db2.gz BPWFBACJTXTDRQ-LSDHHAIUSA-N 0 0 449.599 -0.067 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC(C(N)=O)C3)CC2)cc1 ZINC001302787057 1073918011 /nfs/dbraw/zinc/91/80/11/1073918011.db2.gz FNQJRPRFLSDRKC-UHFFFAOYSA-N 0 0 438.506 -0.748 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@H]2CCCCN(CC(=O)OC(C)(C)C)C2=O)cn1 ZINC001302788707 1073917940 /nfs/dbraw/zinc/91/79/40/1073917940.db2.gz OXPZAGAQRFREHH-AWEZNQCLSA-N 0 0 443.526 -0.463 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N[C@@H]2CCCCN(CC(=O)OC(C)(C)C)C2=O)cn1 ZINC001302788708 1073918084 /nfs/dbraw/zinc/91/80/84/1073918084.db2.gz OXPZAGAQRFREHH-CQSZACIVSA-N 0 0 443.526 -0.463 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@H](NC(=O)C2CCN(S(N)(=O)=O)CC2)C1=O ZINC001302789507 1073917913 /nfs/dbraw/zinc/91/79/13/1073917913.db2.gz XTQAEWFJYYUKTQ-AWEZNQCLSA-N 0 0 432.543 -0.259 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@@H](NC(=O)C2CCN(S(N)(=O)=O)CC2)C1=O ZINC001302789508 1073918047 /nfs/dbraw/zinc/91/80/47/1073918047.db2.gz XTQAEWFJYYUKTQ-CQSZACIVSA-N 0 0 432.543 -0.259 20 0 IBADRN CSCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001302813912 1073918644 /nfs/dbraw/zinc/91/86/44/1073918644.db2.gz MXMVSGVOLFGIHH-AWEZNQCLSA-N 0 0 435.554 -0.091 20 0 IBADRN CSCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001302813914 1073918594 /nfs/dbraw/zinc/91/85/94/1073918594.db2.gz MXMVSGVOLFGIHH-CQSZACIVSA-N 0 0 435.554 -0.091 20 0 IBADRN CSCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001302814566 1073918548 /nfs/dbraw/zinc/91/85/48/1073918548.db2.gz SVUIRSMOTXWCAS-CYBMUJFWSA-N 0 0 437.613 -0.067 20 0 IBADRN CSCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001302814567 1073918642 /nfs/dbraw/zinc/91/86/42/1073918642.db2.gz SVUIRSMOTXWCAS-ZDUSSCGKSA-N 0 0 437.613 -0.067 20 0 IBADRN COC(=O)[C@H](CCC1OCCO1)NC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC001302818763 1073918591 /nfs/dbraw/zinc/91/85/91/1073918591.db2.gz ODYXFAGARPKPDY-HNNXBMFYSA-N 0 0 430.479 -0.276 20 0 IBADRN COC(=O)[C@@H](CCC1OCCO1)NC(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1 ZINC001302818765 1073918564 /nfs/dbraw/zinc/91/85/64/1073918564.db2.gz ODYXFAGARPKPDY-OAHLLOKOSA-N 0 0 430.479 -0.276 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001302828076 1073918627 /nfs/dbraw/zinc/91/86/27/1073918627.db2.gz DWUAKLUFZNXYFY-HNNXBMFYSA-N 0 0 446.453 -0.119 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001302828078 1073918647 /nfs/dbraw/zinc/91/86/47/1073918647.db2.gz DWUAKLUFZNXYFY-OAHLLOKOSA-N 0 0 446.453 -0.119 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)C[C@@H]1O ZINC001302836981 1073918538 /nfs/dbraw/zinc/91/85/38/1073918538.db2.gz GMHMOYJLPZKTON-ILXRZTDVSA-N 0 0 427.527 -0.754 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)C[C@@H]1O ZINC001302836983 1073918606 /nfs/dbraw/zinc/91/86/06/1073918606.db2.gz GMHMOYJLPZKTON-KFWWJZLASA-N 0 0 427.527 -0.754 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C[C@@H]1O ZINC001302837537 1073918557 /nfs/dbraw/zinc/91/85/57/1073918557.db2.gz MDJDGYOOZYAJBO-MCIONIFRSA-N 0 0 435.572 -0.673 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C[C@@H]1O ZINC001302837538 1073918572 /nfs/dbraw/zinc/91/85/72/1073918572.db2.gz MDJDGYOOZYAJBO-RDBSUJKOSA-N 0 0 435.572 -0.673 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1S(N)(=O)=O ZINC001302838071 1073918651 /nfs/dbraw/zinc/91/86/51/1073918651.db2.gz XRDWTBHZVMJPFC-GFCCVEGCSA-N 0 0 429.470 -0.486 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1S(N)(=O)=O ZINC001302838073 1073918638 /nfs/dbraw/zinc/91/86/38/1073918638.db2.gz XRDWTBHZVMJPFC-LBPRGKRZSA-N 0 0 429.470 -0.486 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1 ZINC001302838091 1073918588 /nfs/dbraw/zinc/91/85/88/1073918588.db2.gz XWJXYZVASQENIX-KBPBESRZSA-N 0 0 425.507 -0.148 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1 ZINC001302838092 1073918601 /nfs/dbraw/zinc/91/86/01/1073918601.db2.gz XWJXYZVASQENIX-UONOGXRCSA-N 0 0 425.507 -0.148 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC4(CC3)C[C@H](O)CNC4=O)C2=O)cc1 ZINC001302838121 1073919239 /nfs/dbraw/zinc/91/92/39/1073919239.db2.gz YPQLXSCQCGUHNC-BTYIYWSLSA-N 0 0 444.488 -0.048 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC4(CC3)C[C@@H](O)CNC4=O)C2=O)cc1 ZINC001302838122 1073919164 /nfs/dbraw/zinc/91/91/64/1073919164.db2.gz YPQLXSCQCGUHNC-QVKFZJNVSA-N 0 0 444.488 -0.048 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCC4(CC3)C[C@@H](O)CNC4=O)C2=O)cc1 ZINC001302838123 1073919082 /nfs/dbraw/zinc/91/90/82/1073919082.db2.gz YPQLXSCQCGUHNC-VFNWGFHPSA-N 0 0 444.488 -0.048 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCC4(CC3)C[C@H](O)CNC4=O)C2=O)cc1 ZINC001302838124 1073919251 /nfs/dbraw/zinc/91/92/51/1073919251.db2.gz YPQLXSCQCGUHNC-YCRPNKLZSA-N 0 0 444.488 -0.048 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)C[C@@H]1O ZINC001302838692 1073919172 /nfs/dbraw/zinc/91/91/72/1073919172.db2.gz WEDJEXJKGCXRGE-ILXRZTDVSA-N 0 0 447.583 -0.577 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)C[C@@H]1O ZINC001302838693 1073919230 /nfs/dbraw/zinc/91/92/30/1073919230.db2.gz WEDJEXJKGCXRGE-KFWWJZLASA-N 0 0 447.583 -0.577 20 0 IBADRN C[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCCS2(=O)=O)C[C@@H]1O ZINC001302843050 1073919061 /nfs/dbraw/zinc/91/90/61/1073919061.db2.gz XXHKORLSESRRQX-CABCVRRESA-N 0 0 426.543 -0.357 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CCOCC2)C[C@@H]1F ZINC001302860356 1073919195 /nfs/dbraw/zinc/91/91/95/1073919195.db2.gz UUZKECRCQPNACR-ILXRZTDVSA-N 0 0 447.533 -0.053 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CCOCC2)C[C@@H]1F ZINC001302860357 1073919123 /nfs/dbraw/zinc/91/91/23/1073919123.db2.gz UUZKECRCQPNACR-QLFBSQMISA-N 0 0 447.533 -0.053 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001302862345 1073919157 /nfs/dbraw/zinc/91/91/57/1073919157.db2.gz ABKMPBZCJAMVAM-GFCCVEGCSA-N 0 0 449.327 -0.116 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001302862359 1073919214 /nfs/dbraw/zinc/91/92/14/1073919214.db2.gz ABKMPBZCJAMVAM-LBPRGKRZSA-N 0 0 449.327 -0.116 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC001302862610 1073919226 /nfs/dbraw/zinc/91/92/26/1073919226.db2.gz DNMUFROZAPJZRU-JTQLQIEISA-N 0 0 435.300 -0.134 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC001302862611 1073919134 /nfs/dbraw/zinc/91/91/34/1073919134.db2.gz DNMUFROZAPJZRU-SNVBAGLBSA-N 0 0 435.300 -0.134 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001302862634 1073919104 /nfs/dbraw/zinc/91/91/04/1073919104.db2.gz FFGXINCUQDEGKW-HNNXBMFYSA-N 0 0 435.554 -0.119 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001302862635 1073919144 /nfs/dbraw/zinc/91/91/44/1073919144.db2.gz FFGXINCUQDEGKW-OAHLLOKOSA-N 0 0 435.554 -0.119 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001302862679 1073919202 /nfs/dbraw/zinc/91/92/02/1073919202.db2.gz GSAMXXKFGDGERB-SSDOTTSWSA-N 0 0 441.329 -0.072 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001302862680 1073919244 /nfs/dbraw/zinc/91/92/44/1073919244.db2.gz GSAMXXKFGDGERB-ZETCQYMHSA-N 0 0 441.329 -0.072 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC001302863189 1073919094 /nfs/dbraw/zinc/91/90/94/1073919094.db2.gz KAFVKTDFDFGJBD-AWEZNQCLSA-N 0 0 426.495 -0.094 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC001302863191 1073919207 /nfs/dbraw/zinc/91/92/07/1073919207.db2.gz KAFVKTDFDFGJBD-CQSZACIVSA-N 0 0 426.495 -0.094 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001302863310 1073919221 /nfs/dbraw/zinc/91/92/21/1073919221.db2.gz LTEUQVOIZIJGGG-CYBMUJFWSA-N 0 0 442.494 -0.717 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001302863321 1073919706 /nfs/dbraw/zinc/91/97/06/1073919706.db2.gz LTEUQVOIZIJGGG-ZDUSSCGKSA-N 0 0 442.494 -0.717 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC001302863361 1073919775 /nfs/dbraw/zinc/91/97/75/1073919775.db2.gz MECISXIHHYLRCE-SECBINFHSA-N 0 0 435.300 -0.134 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC001302863364 1073919714 /nfs/dbraw/zinc/91/97/14/1073919714.db2.gz MECISXIHHYLRCE-VIFPVBQESA-N 0 0 435.300 -0.134 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001302863939 1073919796 /nfs/dbraw/zinc/91/97/96/1073919796.db2.gz WSGRAZYICDBHMU-PBHICJAKSA-N 0 0 439.563 -0.047 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001302863940 1073919743 /nfs/dbraw/zinc/91/97/43/1073919743.db2.gz WSGRAZYICDBHMU-RHSMWYFYSA-N 0 0 439.563 -0.047 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001302863941 1073919783 /nfs/dbraw/zinc/91/97/83/1073919783.db2.gz WSGRAZYICDBHMU-WMLDXEAASA-N 0 0 439.563 -0.047 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001302863942 1073919686 /nfs/dbraw/zinc/91/96/86/1073919686.db2.gz WSGRAZYICDBHMU-YOEHRIQHSA-N 0 0 439.563 -0.047 20 0 IBADRN Cn1ncc(I)c1CNC(=O)[C@H](CO)NC(=O)c1cccc(F)n1 ZINC001302874090 1073919759 /nfs/dbraw/zinc/91/97/59/1073919759.db2.gz MHSKFOBAAYUXDY-JTQLQIEISA-N 0 0 447.208 -0.034 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2COCCO2)CCN1S(C)(=O)=O ZINC001302875893 1073919768 /nfs/dbraw/zinc/91/97/68/1073919768.db2.gz USFUTPZGFGVUMS-GJZGRUSLSA-N 0 0 442.542 -0.600 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CCC2)CCN1S(C)(=O)=O ZINC001302875925 1073919734 /nfs/dbraw/zinc/91/97/34/1073919734.db2.gz VWGKCSSUMGMQRX-AWEZNQCLSA-N 0 0 426.543 -0.101 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)c1 ZINC001302876161 1073919815 /nfs/dbraw/zinc/91/98/15/1073919815.db2.gz DZVSCAVDHUSSSR-CRAIPNDOSA-N 0 0 440.522 -0.615 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)c1 ZINC001302876162 1073919811 /nfs/dbraw/zinc/91/98/11/1073919811.db2.gz DZVSCAVDHUSSSR-MAUKXSAKSA-N 0 0 440.522 -0.615 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)c1 ZINC001302876163 1073919725 /nfs/dbraw/zinc/91/97/25/1073919725.db2.gz DZVSCAVDHUSSSR-QAPCUYQASA-N 0 0 440.522 -0.615 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)c1 ZINC001302876164 1073920138 /nfs/dbraw/zinc/92/01/38/1073920138.db2.gz DZVSCAVDHUSSSR-YJBOKZPZSA-N 0 0 440.522 -0.615 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCOC2)CCN1S(C)(=O)=O ZINC001302876306 1073920286 /nfs/dbraw/zinc/92/02/86/1073920286.db2.gz YSFAQEVRBJATPS-KBXCAEBGSA-N 0 0 442.542 -0.864 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCOC2)CCN1S(C)(=O)=O ZINC001302876307 1073920190 /nfs/dbraw/zinc/92/01/90/1073920190.db2.gz YSFAQEVRBJATPS-KSSFIOAISA-N 0 0 442.542 -0.864 20 0 IBADRN CCn1c(CCC(=O)N2CC(O)(C(=O)OC)C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001302876871 1073920237 /nfs/dbraw/zinc/92/02/37/1073920237.db2.gz JHSWAAPREMHTOI-UHFFFAOYSA-N 0 0 438.506 -0.015 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)C1=O ZINC001302879474 1073920170 /nfs/dbraw/zinc/92/01/70/1073920170.db2.gz RTHVFIPRWXDQMI-CEQIKUNHSA-N 0 0 430.461 -0.032 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)C1=O ZINC001302879476 1073920294 /nfs/dbraw/zinc/92/02/94/1073920294.db2.gz RTHVFIPRWXDQMI-KUDFPVQQSA-N 0 0 430.461 -0.032 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)C1=O ZINC001302879479 1073920228 /nfs/dbraw/zinc/92/02/28/1073920228.db2.gz RTHVFIPRWXDQMI-WJPUGNRLSA-N 0 0 430.461 -0.032 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)C1=O ZINC001302879481 1073920196 /nfs/dbraw/zinc/92/01/96/1073920196.db2.gz RTHVFIPRWXDQMI-XPIZARPCSA-N 0 0 430.461 -0.032 20 0 IBADRN O=C([C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC[C@H]2OCCNC(=O)[C@H]21 ZINC001302879522 1073920146 /nfs/dbraw/zinc/92/01/46/1073920146.db2.gz SQFNMOMUBHXHHX-KFWWJZLASA-N 0 0 431.898 -0.515 20 0 IBADRN O=C([C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC[C@H]2OCCNC(=O)[C@@H]21 ZINC001302879527 1073920326 /nfs/dbraw/zinc/92/03/26/1073920326.db2.gz SQFNMOMUBHXHHX-RBSFLKMASA-N 0 0 431.898 -0.515 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC[C@H]2OCCNC(=O)[C@@H]21 ZINC001302879529 1073920219 /nfs/dbraw/zinc/92/02/19/1073920219.db2.gz SQFNMOMUBHXHHX-RRFJBIMHSA-N 0 0 431.898 -0.515 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC[C@H]2OCCNC(=O)[C@H]21 ZINC001302879531 1073920265 /nfs/dbraw/zinc/92/02/65/1073920265.db2.gz SQFNMOMUBHXHHX-ZNMIVQPWSA-N 0 0 431.898 -0.515 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CC(CNC(=O)C(F)(F)F)C1 ZINC001302886451 1073920275 /nfs/dbraw/zinc/92/02/75/1073920275.db2.gz QWUOCKRSHQNION-GZMMTYOYSA-N 0 0 429.442 -0.087 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CC(CNC(=O)C(F)(F)F)C1 ZINC001302886452 1073920174 /nfs/dbraw/zinc/92/01/74/1073920174.db2.gz QWUOCKRSHQNION-KCJUWKMLSA-N 0 0 429.442 -0.087 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CC(CNC(=O)C(F)(F)F)C1 ZINC001302886454 1073920249 /nfs/dbraw/zinc/92/02/49/1073920249.db2.gz QWUOCKRSHQNION-KWQFWETISA-N 0 0 429.442 -0.087 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CC(CNC(=O)C(F)(F)F)C1 ZINC001302886456 1073920303 /nfs/dbraw/zinc/92/03/03/1073920303.db2.gz QWUOCKRSHQNION-LDYMZIIASA-N 0 0 429.442 -0.087 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCNC(=O)C2CC2)CCN1S(C)(=O)=O ZINC001302887243 1073920205 /nfs/dbraw/zinc/92/02/05/1073920205.db2.gz PQEGNOUDTQURTR-GXTWGEPZSA-N 0 0 439.542 -0.775 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCNC(=O)C2CC2)CCN1S(C)(=O)=O ZINC001302887244 1073920320 /nfs/dbraw/zinc/92/03/20/1073920320.db2.gz PQEGNOUDTQURTR-JSGCOSHPSA-N 0 0 439.542 -0.775 20 0 IBADRN Cn1c2ncc(C(=O)N3CCc4c(cccc4S(N)(=O)=O)C3)cc2c(=O)n(C)c1=O ZINC001302887642 1073920160 /nfs/dbraw/zinc/92/01/60/1073920160.db2.gz IAPAJFAXZVWPKU-UHFFFAOYSA-N 0 0 429.458 -0.522 20 0 IBADRN C[C@H]1CN(c2nnc(C3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC001302887699 1073920848 /nfs/dbraw/zinc/92/08/48/1073920848.db2.gz USLLAEYIFSAAEL-BLLLJJGKSA-N 0 0 433.556 -0.825 20 0 IBADRN C[C@H]1CN(c2nnc(C3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC001302887702 1073920976 /nfs/dbraw/zinc/92/09/76/1073920976.db2.gz USLLAEYIFSAAEL-LRDDRELGSA-N 0 0 433.556 -0.825 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCSCCCO)CCN1S(C)(=O)=O ZINC001302887711 1073920910 /nfs/dbraw/zinc/92/09/10/1073920910.db2.gz UXBGDWMGLFIXOQ-KBPBESRZSA-N 0 0 446.599 -0.185 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCSCCCO)CCN1S(C)(=O)=O ZINC001302887712 1073920862 /nfs/dbraw/zinc/92/08/62/1073920862.db2.gz UXBGDWMGLFIXOQ-UONOGXRCSA-N 0 0 446.599 -0.185 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(O)CCOCC2)CCN1S(C)(=O)=O ZINC001302888139 1073920944 /nfs/dbraw/zinc/92/09/44/1073920944.db2.gz ZCWYUMKPCQRDJS-KBPBESRZSA-N 0 0 442.542 -0.759 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC2(O)CCOCC2)CCN1S(C)(=O)=O ZINC001302888140 1073920936 /nfs/dbraw/zinc/92/09/36/1073920936.db2.gz ZCWYUMKPCQRDJS-UONOGXRCSA-N 0 0 442.542 -0.759 20 0 IBADRN NS(=O)(=O)c1cccc2c1CCN(C(=O)[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001302888271 1073920821 /nfs/dbraw/zinc/92/08/21/1073920821.db2.gz PLAXGVVZEVVDDM-INIZCTEOSA-N 0 0 436.534 -0.601 20 0 IBADRN NS(=O)(=O)c1cccc2c1CCN(C(=O)[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001302888272 1073920875 /nfs/dbraw/zinc/92/08/75/1073920875.db2.gz PLAXGVVZEVVDDM-MRXNPFEDSA-N 0 0 436.534 -0.601 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@H]2COCCO2)CCN1S(C)(=O)=O ZINC001302888744 1073920922 /nfs/dbraw/zinc/92/09/22/1073920922.db2.gz IBZUUBVRQWLDNC-ZFWWWQNUSA-N 0 0 425.515 -0.436 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@]2(C)CN(C)C2=O)CCN1S(C)(=O)=O ZINC001302891751 1073920799 /nfs/dbraw/zinc/92/07/99/1073920799.db2.gz UZNDODJCIHZGHG-DEYYWGMASA-N 0 0 426.543 -0.270 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@]2(C)CN(C)C2=O)CCN1S(C)(=O)=O ZINC001302891752 1073920845 /nfs/dbraw/zinc/92/08/45/1073920845.db2.gz UZNDODJCIHZGHG-IYOUNJFTSA-N 0 0 426.543 -0.270 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(C)CN(C)C2=O)CCN1S(C)(=O)=O ZINC001302891753 1073920769 /nfs/dbraw/zinc/92/07/69/1073920769.db2.gz UZNDODJCIHZGHG-PMUMKWKESA-N 0 0 426.543 -0.270 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@@]2(C)CN(C)C2=O)CCN1S(C)(=O)=O ZINC001302891754 1073920854 /nfs/dbraw/zinc/92/08/54/1073920854.db2.gz UZNDODJCIHZGHG-SUNYJGFJSA-N 0 0 426.543 -0.270 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCOC3)n2CCCN2CCOCC2)CCN1S(C)(=O)=O ZINC001302891777 1073920790 /nfs/dbraw/zinc/92/07/90/1073920790.db2.gz VLKGDYJWGRLHMB-DLBZAZTESA-N 0 0 442.586 -0.026 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCOC3)n2CCCN2CCOCC2)CCN1S(C)(=O)=O ZINC001302891778 1073920778 /nfs/dbraw/zinc/92/07/78/1073920778.db2.gz VLKGDYJWGRLHMB-IRXDYDNUSA-N 0 0 442.586 -0.026 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCOC3)n2CCNC(=O)C2CC2)CCN1S(C)(=O)=O ZINC001302892423 1073920996 /nfs/dbraw/zinc/92/09/96/1073920996.db2.gz JJPOUZUAWUGYMO-DZGCQCFKSA-N 0 0 426.543 -0.222 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCOC3)n2CCNC(=O)C2CC2)CCN1S(C)(=O)=O ZINC001302892424 1073920804 /nfs/dbraw/zinc/92/08/04/1073920804.db2.gz JJPOUZUAWUGYMO-ZFWWWQNUSA-N 0 0 426.543 -0.222 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)C[C@H](C)O1 ZINC001302892573 1073920812 /nfs/dbraw/zinc/92/08/12/1073920812.db2.gz KXMVZNDWZZEXPF-FZKCQIBNSA-N 0 0 445.542 -0.360 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C[C@H](C)O1 ZINC001302892574 1073920836 /nfs/dbraw/zinc/92/08/36/1073920836.db2.gz KXMVZNDWZZEXPF-QKPAOTATSA-N 0 0 445.542 -0.360 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C[C@H](C)O1 ZINC001302892575 1073920961 /nfs/dbraw/zinc/92/09/61/1073920961.db2.gz KXMVZNDWZZEXPF-QXSJWSMHSA-N 0 0 445.542 -0.360 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)C[C@H](C)O1 ZINC001302892576 1073921478 /nfs/dbraw/zinc/92/14/78/1073921478.db2.gz KXMVZNDWZZEXPF-XUWVNRHRSA-N 0 0 445.542 -0.360 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3cccnc3)n2Cc2nncn2C)CCN1S(C)(=O)=O ZINC001302893750 1073921526 /nfs/dbraw/zinc/92/15/26/1073921526.db2.gz BIAGLBSRNKPWSW-AWEZNQCLSA-N 0 0 431.526 -0.089 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccccn3)n2Cc2ncn(C)n2)CCN1S(C)(=O)=O ZINC001302894560 1073921534 /nfs/dbraw/zinc/92/15/34/1073921534.db2.gz NQNXIIPWSSORCR-AWEZNQCLSA-N 0 0 431.526 -0.089 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccccn3)n2CCS(C)(=O)=O)CCN1S(C)(=O)=O ZINC001302895131 1073921397 /nfs/dbraw/zinc/92/13/97/1073921397.db2.gz UYQPQIYLZHUSLL-AWEZNQCLSA-N 0 0 442.567 -0.221 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CC[C@@H](N2C(=O)O[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1 ZINC001302895210 1073921423 /nfs/dbraw/zinc/92/14/23/1073921423.db2.gz BMLZHMNYKJZZLE-UPJWGTAASA-N 0 0 432.499 -0.423 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CC[C@H](N2C(=O)O[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]32)C1 ZINC001302895211 1073921453 /nfs/dbraw/zinc/92/14/53/1073921453.db2.gz BMLZHMNYKJZZLE-XQQFMLRXSA-N 0 0 432.499 -0.423 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCc3c(cccc3S(N)(=O)=O)C2)[C@H]1c1ccnn1C ZINC001302895283 1073921485 /nfs/dbraw/zinc/92/14/85/1073921485.db2.gz YBHCIKZOGFTOBN-MOPGFXCFSA-N 0 0 447.517 -0.059 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCC(=O)N(C)C)CCN1S(C)(=O)=O ZINC001302898448 1073921518 /nfs/dbraw/zinc/92/15/18/1073921518.db2.gz GFRVUOSTVMINLA-AWEZNQCLSA-N 0 0 441.558 -0.651 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CCOC2)CCN1S(C)(=O)=O ZINC001302898927 1073921496 /nfs/dbraw/zinc/92/14/96/1073921496.db2.gz OZYXZHICLAXENY-GJZGRUSLSA-N 0 0 426.543 -0.093 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCOC2)CCN1S(C)(=O)=O ZINC001302898928 1073921514 /nfs/dbraw/zinc/92/15/14/1073921514.db2.gz OZYXZHICLAXENY-LSDHHAIUSA-N 0 0 426.543 -0.093 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2Cc2cnn(C)c2)CCN1S(C)(=O)=O ZINC001302899192 1073921550 /nfs/dbraw/zinc/92/15/50/1073921550.db2.gz ULSRZXPKDOYXPI-AWEZNQCLSA-N 0 0 436.542 -0.348 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1C[C@H](O)CC2(CCOCC2)C1 ZINC001302914110 1073921490 /nfs/dbraw/zinc/92/14/90/1073921490.db2.gz LHXRGCJLJDBHEU-DZGCQCFKSA-N 0 0 425.507 -0.158 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1C[C@@H](O)CC2(CCOCC2)C1 ZINC001302914114 1073921544 /nfs/dbraw/zinc/92/15/44/1073921544.db2.gz LHXRGCJLJDBHEU-HIFRSBDPSA-N 0 0 425.507 -0.158 20 0 IBADRN C[C@@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1C[C@H](O)CC2(CCOCC2)C1 ZINC001302914117 1073921411 /nfs/dbraw/zinc/92/14/11/1073921411.db2.gz LHXRGCJLJDBHEU-UKRRQHHQSA-N 0 0 425.507 -0.158 20 0 IBADRN C[C@H](NS(=O)(=O)c1ccc(C(N)=O)cc1)C(=O)N1C[C@@H](O)CC2(CCOCC2)C1 ZINC001302914119 1073921458 /nfs/dbraw/zinc/92/14/58/1073921458.db2.gz LHXRGCJLJDBHEU-ZFWWWQNUSA-N 0 0 425.507 -0.158 20 0 IBADRN CC(C)Cc1nnc(N2CCC[C@H](n3cnc(C(N)=O)n3)C2)n1CC(=O)N1CCOCC1 ZINC001302923525 1073921930 /nfs/dbraw/zinc/92/19/30/1073921930.db2.gz QZCBEVDUVWPOJV-HNNXBMFYSA-N 0 0 445.528 -0.133 20 0 IBADRN CC(C)Cc1nnc(N2CCC[C@@H](n3cnc(C(N)=O)n3)C2)n1CC(=O)N1CCOCC1 ZINC001302923526 1073921981 /nfs/dbraw/zinc/92/19/81/1073921981.db2.gz QZCBEVDUVWPOJV-OAHLLOKOSA-N 0 0 445.528 -0.133 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1Cc1cnnn1C ZINC001302937755 1073922610 /nfs/dbraw/zinc/92/26/10/1073922610.db2.gz BVTFLIDPWXCLRK-UHFFFAOYSA-N 0 0 429.529 -0.076 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001302939260 1073922593 /nfs/dbraw/zinc/92/25/93/1073922593.db2.gz QDEILPLQVKQZOY-NRFANRHFSA-N 0 0 431.541 -0.118 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001302939264 1073922605 /nfs/dbraw/zinc/92/26/05/1073922605.db2.gz QDEILPLQVKQZOY-OAQYLSRUSA-N 0 0 431.541 -0.118 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCCn1cnnn1 ZINC001302939676 1073922614 /nfs/dbraw/zinc/92/26/14/1073922614.db2.gz SGLAGEZCMWMOEG-UHFFFAOYSA-N 0 0 432.533 -0.273 20 0 IBADRN CC(C)n1cc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)c(=O)[nH]c1=O ZINC001302940984 1073922599 /nfs/dbraw/zinc/92/25/99/1073922599.db2.gz SYGHXJWPWKWRDX-UHFFFAOYSA-N 0 0 439.494 -0.181 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCNC(=O)C1CC1 ZINC001302943005 1073922588 /nfs/dbraw/zinc/92/25/88/1073922588.db2.gz BREPMCMYFPAXOV-UHFFFAOYSA-N 0 0 430.517 -0.662 20 0 IBADRN COCCn1cc(CNC(=O)CNS(=O)(=O)c2ccc(Cl)s2)c(=O)[nH]c1=O ZINC001302943113 1073922620 /nfs/dbraw/zinc/92/26/20/1073922620.db2.gz QNIFEWOHDPEECY-UHFFFAOYSA-N 0 0 436.899 -0.095 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC(=O)NCC(F)F ZINC001302943840 1073922982 /nfs/dbraw/zinc/92/29/82/1073922982.db2.gz JASYXULJNHIGGA-UHFFFAOYSA-N 0 0 440.459 -0.807 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001302944496 1073923011 /nfs/dbraw/zinc/92/30/11/1073923011.db2.gz RPTMWZRYIGXWPP-HNNXBMFYSA-N 0 0 444.544 -0.273 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1NC(=O)CC1(C)C ZINC001302944497 1073923164 /nfs/dbraw/zinc/92/31/64/1073923164.db2.gz RPTMWZRYIGXWPP-OAHLLOKOSA-N 0 0 444.544 -0.273 20 0 IBADRN COCCn1cc(CNC(=O)c2nn(C)cc2I)c(=O)[nH]c1=O ZINC001302949415 1073923078 /nfs/dbraw/zinc/92/30/78/1073923078.db2.gz WSLDPBRZCUMSGF-UHFFFAOYSA-N 0 0 433.206 -0.137 20 0 IBADRN Cn1nccc1C1(O)CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1 ZINC001302952186 1073923102 /nfs/dbraw/zinc/92/31/02/1073923102.db2.gz ZCICPAPKQOSHFJ-FZKQIMNGSA-N 0 0 440.522 -0.268 20 0 IBADRN CC(C)Cc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCCn1cc(CO)nn1 ZINC001302955766 1073923180 /nfs/dbraw/zinc/92/31/80/1073923180.db2.gz BQYUFXHSSPKIDT-UHFFFAOYSA-N 0 0 433.561 -0.139 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C[C@H](O)C1 ZINC001302956101 1073922997 /nfs/dbraw/zinc/92/29/97/1073922997.db2.gz JKJCADTWSUCXAI-GLRZTSSQSA-N 0 0 425.507 -0.516 20 0 IBADRN CC(C)Cc1nnc(N2CCN(CC(=O)NC(C)C)CC2)n1CC(=O)N1CCOCC1 ZINC001302958409 1073923048 /nfs/dbraw/zinc/92/30/48/1073923048.db2.gz AYEUYVCTNBAMRE-UHFFFAOYSA-N 0 0 435.573 -0.018 20 0 IBADRN CC(C)Cc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001302958922 1073923144 /nfs/dbraw/zinc/92/31/44/1073923144.db2.gz KPCWYJJRKYLFIE-INIZCTEOSA-N 0 0 446.556 -0.135 20 0 IBADRN CC(C)Cc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCNS(C)(=O)=O ZINC001302959458 1073923155 /nfs/dbraw/zinc/92/31/55/1073923155.db2.gz VNFVIEQKAJEAHW-UHFFFAOYSA-N 0 0 427.575 -0.574 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccc(C(N)=O)cc1 ZINC001302965925 1073923066 /nfs/dbraw/zinc/92/30/66/1073923066.db2.gz LXEILWRNNQQZLN-INIZCTEOSA-N 0 0 425.493 -0.229 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccc(C(N)=O)cc1 ZINC001302965939 1073923133 /nfs/dbraw/zinc/92/31/33/1073923133.db2.gz LXEILWRNNQQZLN-MRXNPFEDSA-N 0 0 425.493 -0.229 20 0 IBADRN CC(C)Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001302966260 1073923112 /nfs/dbraw/zinc/92/31/12/1073923112.db2.gz PDWVHYVYKRKGOT-CQSZACIVSA-N 0 0 445.528 -0.778 20 0 IBADRN CC(C)Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC1(C(N)=O)CCOCC1 ZINC001302966314 1073923121 /nfs/dbraw/zinc/92/31/21/1073923121.db2.gz QMCINTNHTMNIHX-UHFFFAOYSA-N 0 0 428.559 -0.160 20 0 IBADRN Cn1nncc1C1(O)CN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001302976403 1073923038 /nfs/dbraw/zinc/92/30/38/1073923038.db2.gz PYINOCHFFALFKC-UHFFFAOYSA-N 0 0 435.506 -0.501 20 0 IBADRN Cn1nncc1C1(O)CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001302981072 1073923729 /nfs/dbraw/zinc/92/37/29/1073923729.db2.gz MNOYHWKFHPDIEC-UHFFFAOYSA-N 0 0 425.442 -0.681 20 0 IBADRN Cn1nncc1C1(O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)C1 ZINC001302981195 1073923679 /nfs/dbraw/zinc/92/36/79/1073923679.db2.gz RGSGPRPZZXMVLV-UHFFFAOYSA-N 0 0 441.897 -0.167 20 0 IBADRN O=C(c1cnn(C[C@H](O)CO)c1)N1CCC[C@@H](n2nncc2I)C1 ZINC001302983860 1073923861 /nfs/dbraw/zinc/92/38/61/1073923861.db2.gz QLTAAXFPKKXXOF-NEPJUHHUSA-N 0 0 446.249 -0.090 20 0 IBADRN O=C(c1cnn(C[C@@H](O)CO)c1)N1CCC[C@H](n2nncc2I)C1 ZINC001302983861 1073923766 /nfs/dbraw/zinc/92/37/66/1073923766.db2.gz QLTAAXFPKKXXOF-NWDGAFQWSA-N 0 0 446.249 -0.090 20 0 IBADRN O=C(c1cnn(C[C@H](O)CO)c1)N1CCC[C@H](n2nncc2I)C1 ZINC001302983862 1073923836 /nfs/dbraw/zinc/92/38/36/1073923836.db2.gz QLTAAXFPKKXXOF-RYUDHWBXSA-N 0 0 446.249 -0.090 20 0 IBADRN O=C(c1cnn(C[C@@H](O)CO)c1)N1CCC[C@@H](n2nncc2I)C1 ZINC001302983863 1073923872 /nfs/dbraw/zinc/92/38/72/1073923872.db2.gz QLTAAXFPKKXXOF-VXGBXAGGSA-N 0 0 446.249 -0.090 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](n2nncc2I)C1 ZINC001302987310 1073923664 /nfs/dbraw/zinc/92/36/64/1073923664.db2.gz PAJNLVVHGZXBSO-BDAKNGLRSA-N 0 0 427.268 -0.016 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](n2nncc2I)C1 ZINC001302987319 1073923851 /nfs/dbraw/zinc/92/38/51/1073923851.db2.gz PAJNLVVHGZXBSO-DTWKUNHWSA-N 0 0 427.268 -0.016 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](n2nncc2I)C1 ZINC001302987321 1073923749 /nfs/dbraw/zinc/92/37/49/1073923749.db2.gz PAJNLVVHGZXBSO-IUCAKERBSA-N 0 0 427.268 -0.016 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](n2nncc2I)C1 ZINC001302987322 1073923886 /nfs/dbraw/zinc/92/38/86/1073923886.db2.gz PAJNLVVHGZXBSO-RKDXNWHRSA-N 0 0 427.268 -0.016 20 0 IBADRN CN(CCNC(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O)CCOCCO ZINC001303015599 1073923704 /nfs/dbraw/zinc/92/37/04/1073923704.db2.gz KUZKQSBZFGSJQR-HNNXBMFYSA-N 0 0 437.559 -0.533 20 0 IBADRN CN(CCNC(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O)CCOCCO ZINC001303015600 1073923816 /nfs/dbraw/zinc/92/38/16/1073923816.db2.gz KUZKQSBZFGSJQR-OAHLLOKOSA-N 0 0 437.559 -0.533 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC3(CC2)COCCO3)c1 ZINC001303018006 1073923780 /nfs/dbraw/zinc/92/37/80/1073923780.db2.gz RAAZEJDKIBNKSP-UHFFFAOYSA-N 0 0 432.520 -0.224 20 0 IBADRN NC(=O)c1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCS(=O)CC2)cc1 ZINC001303018907 1073923754 /nfs/dbraw/zinc/92/37/54/1073923754.db2.gz BHVXBXUXCMHQFI-HNNXBMFYSA-N 0 0 437.547 -0.104 20 0 IBADRN NC(=O)c1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCS(=O)CC2)cc1 ZINC001303018908 1073923893 /nfs/dbraw/zinc/92/38/93/1073923893.db2.gz BHVXBXUXCMHQFI-OAHLLOKOSA-N 0 0 437.547 -0.104 20 0 IBADRN NC(=O)C1CCN(c2nnc(C(=O)N3CCCC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001303025387 1073923801 /nfs/dbraw/zinc/92/38/01/1073923801.db2.gz WNIMGTLMYJPAPV-UHFFFAOYSA-N 0 0 439.542 -0.749 20 0 IBADRN NC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)CC1 ZINC001303032782 1073923789 /nfs/dbraw/zinc/92/37/89/1073923789.db2.gz IQPKGXZWFIZPCN-INIZCTEOSA-N 0 0 440.570 -0.396 20 0 IBADRN NC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)CC1 ZINC001303032783 1073924356 /nfs/dbraw/zinc/92/43/56/1073924356.db2.gz IQPKGXZWFIZPCN-MRXNPFEDSA-N 0 0 440.570 -0.396 20 0 IBADRN NC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCSCC2)CC1 ZINC001303032844 1073924233 /nfs/dbraw/zinc/92/42/33/1073924233.db2.gz KDVFDPXHEVHSRW-HNNXBMFYSA-N 0 0 442.611 -0.069 20 0 IBADRN NC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCSCC2)CC1 ZINC001303032845 1073924341 /nfs/dbraw/zinc/92/43/41/1073924341.db2.gz KDVFDPXHEVHSRW-OAHLLOKOSA-N 0 0 442.611 -0.069 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc(Cc3ccsc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001303035071 1073924495 /nfs/dbraw/zinc/92/44/95/1073924495.db2.gz XXNOPAXJYZQTKB-CXAGYDPISA-N 0 0 425.536 -0.208 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc(Cc3ccsc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001303035072 1073924477 /nfs/dbraw/zinc/92/44/77/1073924477.db2.gz XXNOPAXJYZQTKB-DYVFJYSZSA-N 0 0 425.536 -0.208 20 0 IBADRN NC(=O)[C@H]1CCN(c2nnc(Cc3ccsc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001303035073 1073924488 /nfs/dbraw/zinc/92/44/88/1073924488.db2.gz XXNOPAXJYZQTKB-GUYCJALGSA-N 0 0 425.536 -0.208 20 0 IBADRN NC(=O)[C@H]1CCN(c2nnc(Cc3ccsc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001303035074 1073924286 /nfs/dbraw/zinc/92/42/86/1073924286.db2.gz XXNOPAXJYZQTKB-SUMWQHHRSA-N 0 0 425.536 -0.208 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CC[C@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001303036229 1073924416 /nfs/dbraw/zinc/92/44/16/1073924416.db2.gz LLQOZQXOPZQTQS-FQEVSTJZSA-N 0 0 439.534 -0.028 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CC[C@@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001303036230 1073924398 /nfs/dbraw/zinc/92/43/98/1073924398.db2.gz LLQOZQXOPZQTQS-HXUWFJFHSA-N 0 0 439.534 -0.028 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CC[C@]3(C2)CN(Cc2ccccc2)C(=O)CO3)cn1 ZINC001303037239 1073924426 /nfs/dbraw/zinc/92/44/26/1073924426.db2.gz SQGFEIDUBUJUAB-FQEVSTJZSA-N 0 0 447.517 -0.272 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CC[C@@]3(C2)CN(Cc2ccccc2)C(=O)CO3)cn1 ZINC001303037240 1073924386 /nfs/dbraw/zinc/92/43/86/1073924386.db2.gz SQGFEIDUBUJUAB-HXUWFJFHSA-N 0 0 447.517 -0.272 20 0 IBADRN NC(=O)C[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001303037847 1073924331 /nfs/dbraw/zinc/92/43/31/1073924331.db2.gz XLVPTLLSQZQXBF-QLFBSQMISA-N 0 0 427.527 -0.313 20 0 IBADRN NC(=O)C[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001303037848 1073924317 /nfs/dbraw/zinc/92/43/17/1073924317.db2.gz XLVPTLLSQZQXBF-RBSFLKMASA-N 0 0 427.527 -0.313 20 0 IBADRN NC(=O)C[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001303037849 1073924451 /nfs/dbraw/zinc/92/44/51/1073924451.db2.gz XLVPTLLSQZQXBF-RRFJBIMHSA-N 0 0 427.527 -0.313 20 0 IBADRN NC(=O)C[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001303037850 1073924469 /nfs/dbraw/zinc/92/44/69/1073924469.db2.gz XLVPTLLSQZQXBF-SOUVJXGZSA-N 0 0 427.527 -0.313 20 0 IBADRN NC(=O)CC1CCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)N2CCOCC2)CC1 ZINC001303038305 1073924459 /nfs/dbraw/zinc/92/44/59/1073924459.db2.gz IFFQJYRPBMVLBF-UHFFFAOYSA-N 0 0 433.513 -0.535 20 0 IBADRN NC(=O)CNC(=O)c1ccc(Cn2c(C(=O)N3CCCC3)nnc2N2CCOCC2)cc1 ZINC001303044848 1073925025 /nfs/dbraw/zinc/92/50/25/1073925025.db2.gz ANLQBQVUQRJSLB-UHFFFAOYSA-N 0 0 441.492 -0.386 20 0 IBADRN NC(=O)COC1CCN(c2nnc(-c3ccc[nH]3)n2CCC(=O)N2CCOCC2)CC1 ZINC001303046031 1073924839 /nfs/dbraw/zinc/92/48/39/1073924839.db2.gz IAJSKNWMTVPQNM-UHFFFAOYSA-N 0 0 431.497 -0.007 20 0 IBADRN NC(=O)COc1cccc(Cn2c(C(=O)N3CCCC3)nnc2N2CCNC(=O)CC2)c1 ZINC001303047099 1073925096 /nfs/dbraw/zinc/92/50/96/1073925096.db2.gz UWYNVJZHQIUXJU-UHFFFAOYSA-N 0 0 441.492 -0.247 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@@H]3CCCCO3)n2CC(=O)N2CCOCC2)CC1 ZINC001303047156 1073925133 /nfs/dbraw/zinc/92/51/33/1073925133.db2.gz MFCZWXLBENFURV-INIZCTEOSA-N 0 0 436.513 -0.151 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@H]3CCCCO3)n2CC(=O)N2CCOCC2)CC1 ZINC001303047157 1073924859 /nfs/dbraw/zinc/92/48/59/1073924859.db2.gz MFCZWXLBENFURV-MRXNPFEDSA-N 0 0 436.513 -0.151 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001303047755 1073925067 /nfs/dbraw/zinc/92/50/67/1073925067.db2.gz PQWGGRUDLUEPRY-KBPBESRZSA-N 0 0 427.527 -0.313 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001303047756 1073924905 /nfs/dbraw/zinc/92/49/05/1073924905.db2.gz PQWGGRUDLUEPRY-KGLIPLIRSA-N 0 0 427.527 -0.313 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001303047757 1073924850 /nfs/dbraw/zinc/92/48/50/1073924850.db2.gz PQWGGRUDLUEPRY-UONOGXRCSA-N 0 0 427.527 -0.313 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001303047758 1073925144 /nfs/dbraw/zinc/92/51/44/1073925144.db2.gz PQWGGRUDLUEPRY-ZIAGYGMSSA-N 0 0 427.527 -0.313 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001303048351 1073924893 /nfs/dbraw/zinc/92/48/93/1073924893.db2.gz YHQOWNVESNBPFQ-DZGCQCFKSA-N 0 0 443.526 -0.934 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001303048352 1073925081 /nfs/dbraw/zinc/92/50/81/1073925081.db2.gz YHQOWNVESNBPFQ-UKRRQHHQSA-N 0 0 443.526 -0.934 20 0 IBADRN NC(=O)[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCN2CCCS2(=O)=O)C1 ZINC001303051403 1073925120 /nfs/dbraw/zinc/92/51/20/1073925120.db2.gz ICEWIUIYSYCQDQ-AWEZNQCLSA-N 0 0 439.542 -0.749 20 0 IBADRN NS(=O)(=O)c1ccc(CCn2c([C@H]3CCOC3)nnc2N2CCNC(=O)CC2)cc1 ZINC001303052908 1073925108 /nfs/dbraw/zinc/92/51/08/1073925108.db2.gz LWWNCVMYMNQALB-HNNXBMFYSA-N 0 0 434.522 -0.002 20 0 IBADRN NS(=O)(=O)c1ccc(CCn2c([C@@H]3CCOC3)nnc2N2CCNC(=O)CC2)cc1 ZINC001303052911 1073925156 /nfs/dbraw/zinc/92/51/56/1073925156.db2.gz LWWNCVMYMNQALB-OAHLLOKOSA-N 0 0 434.522 -0.002 20 0 IBADRN O=C(c1nnc(N2CCS(=O)CC2)n1CC1CCS(=O)(=O)CC1)N1CCCC1 ZINC001303061459 1073925609 /nfs/dbraw/zinc/92/56/09/1073925609.db2.gz ILXFGOGMQZDGKW-UHFFFAOYSA-N 0 0 429.568 -0.092 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCC(=O)NCC12COC2 ZINC001303063552 1073925733 /nfs/dbraw/zinc/92/57/33/1073925733.db2.gz FNFDNWGLIOSOFM-UHFFFAOYSA-N 0 0 439.490 -0.366 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC(=O)NCC23COC3)cc1OC ZINC001303063976 1073925623 /nfs/dbraw/zinc/92/56/23/1073925623.db2.gz KTAUZSCPQFFQLE-UHFFFAOYSA-N 0 0 427.479 -0.510 20 0 IBADRN O=C(Cn1c(C(=O)N2CCCC2)nnc1N1CC[C@@]2(CNC(=O)C2)C1)NCC(F)F ZINC001303064809 1073925585 /nfs/dbraw/zinc/92/55/85/1073925585.db2.gz BJDKKFFWBYVTGP-GOSISDBHSA-N 0 0 425.440 -0.388 20 0 IBADRN O=C(Cn1c(C(=O)N2CCCC2)nnc1N1CC[C@]2(CNC(=O)C2)C1)NCC(F)F ZINC001303064810 1073925576 /nfs/dbraw/zinc/92/55/76/1073925576.db2.gz BJDKKFFWBYVTGP-SFHVURJKSA-N 0 0 425.440 -0.388 20 0 IBADRN O=C(CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(CO)CC1)N1CCOCC1 ZINC001303064843 1073925786 /nfs/dbraw/zinc/92/57/86/1073925786.db2.gz CRDUAJYKRPEBAN-INIZCTEOSA-N 0 0 441.554 -0.362 20 0 IBADRN O=C(CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(CO)CC1)N1CCOCC1 ZINC001303064844 1073925698 /nfs/dbraw/zinc/92/56/98/1073925698.db2.gz CRDUAJYKRPEBAN-MRXNPFEDSA-N 0 0 441.554 -0.362 20 0 IBADRN O=C(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC2(CNC(=O)C2)CC1)NCC(F)F ZINC001303069040 1073925676 /nfs/dbraw/zinc/92/56/76/1073925676.db2.gz GSDBFKGJDPJVDR-LLVKDONJSA-N 0 0 425.440 -0.283 20 0 IBADRN O=C(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC2(CNC(=O)C2)CC1)NCC(F)F ZINC001303069044 1073925591 /nfs/dbraw/zinc/92/55/91/1073925591.db2.gz GSDBFKGJDPJVDR-NSHDSACASA-N 0 0 425.440 -0.283 20 0 IBADRN O=C(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2cnccn2)CC1)NCC(F)F ZINC001303070249 1073925668 /nfs/dbraw/zinc/92/56/68/1073925668.db2.gz NRGRXGZSAGLLHI-GFCCVEGCSA-N 0 0 435.439 -0.273 20 0 IBADRN O=C(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2cnccn2)CC1)NCC(F)F ZINC001303070252 1073925777 /nfs/dbraw/zinc/92/57/77/1073925777.db2.gz NRGRXGZSAGLLHI-LBPRGKRZSA-N 0 0 435.439 -0.273 20 0 IBADRN CC(=O)N1C[C@@H]2CN(C(=O)[C@@H]3C[C@@H](O)CN3S(=O)(=O)c3ccc(F)cc3)C[C@@H]2C1 ZINC001303071141 1073925763 /nfs/dbraw/zinc/92/57/63/1073925763.db2.gz PVNFKYQRQIHJFG-KKBFJZEXSA-N 0 0 425.482 -0.114 20 0 IBADRN O=C(Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)NC[C@@H]2C1)NCC(F)F ZINC001303071905 1073925687 /nfs/dbraw/zinc/92/56/87/1073925687.db2.gz VCLHLGJXXLWMDR-LLVKDONJSA-N 0 0 426.428 -0.891 20 0 IBADRN O=C(Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)NC[C@H]2C1)NCC(F)F ZINC001303071907 1073925656 /nfs/dbraw/zinc/92/56/56/1073925656.db2.gz VCLHLGJXXLWMDR-NSHDSACASA-N 0 0 426.428 -0.891 20 0 IBADRN O=C(Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1)NCC(F)(F)F ZINC001303072936 1073925771 /nfs/dbraw/zinc/92/57/71/1073925771.db2.gz FAHVHBJXBZUZFB-JTQLQIEISA-N 0 0 443.473 -0.573 20 0 IBADRN O=C(Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1)NCC(F)(F)F ZINC001303072937 1073925619 /nfs/dbraw/zinc/92/56/19/1073925619.db2.gz FAHVHBJXBZUZFB-SNVBAGLBSA-N 0 0 443.473 -0.573 20 0 IBADRN O=C(Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CC2)C(=O)C1)NCC(F)F ZINC001303074656 1073925708 /nfs/dbraw/zinc/92/57/08/1073925708.db2.gz VXLFXBRXHICUJL-LLVKDONJSA-N 0 0 446.480 -0.628 20 0 IBADRN O=C(Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CC2)C(=O)C1)NCC(F)F ZINC001303074657 1073925723 /nfs/dbraw/zinc/92/57/23/1073925723.db2.gz VXLFXBRXHICUJL-NSHDSACASA-N 0 0 446.480 -0.628 20 0 IBADRN O=C(Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@H]2C1)NCC(F)F ZINC001303074688 1073926247 /nfs/dbraw/zinc/92/62/47/1073926247.db2.gz XCBUSVUXHRSBDQ-CHWSQXEVSA-N 0 0 448.496 -0.918 20 0 IBADRN O=C(Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@@H]2C1)NCC(F)F ZINC001303074689 1073926238 /nfs/dbraw/zinc/92/62/38/1073926238.db2.gz XCBUSVUXHRSBDQ-OLZOCXBDSA-N 0 0 448.496 -0.918 20 0 IBADRN O=C(Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@H]2C1)NCC(F)F ZINC001303074690 1073926352 /nfs/dbraw/zinc/92/63/52/1073926352.db2.gz XCBUSVUXHRSBDQ-QWHCGFSZSA-N 0 0 448.496 -0.918 20 0 IBADRN O=C(Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@@H]2C1)NCC(F)F ZINC001303074691 1073926302 /nfs/dbraw/zinc/92/63/02/1073926302.db2.gz XCBUSVUXHRSBDQ-STQMWFEESA-N 0 0 448.496 -0.918 20 0 IBADRN CC(C)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001303076997 1073926342 /nfs/dbraw/zinc/92/63/42/1073926342.db2.gz SWMJTFKCGSZRBJ-AWEZNQCLSA-N 0 0 445.528 -0.258 20 0 IBADRN CC(C)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001303077002 1073926310 /nfs/dbraw/zinc/92/63/10/1073926310.db2.gz SWMJTFKCGSZRBJ-CQSZACIVSA-N 0 0 445.528 -0.258 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(N)(=O)=O)CC2)c(CN2C(=O)c3ccccc3C2=O)n1 ZINC001303077550 1073926263 /nfs/dbraw/zinc/92/62/63/1073926263.db2.gz YETYFOKQLQLTMJ-UHFFFAOYSA-N 0 0 432.462 -0.822 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCOCCCO ZINC001303077665 1073926231 /nfs/dbraw/zinc/92/62/31/1073926231.db2.gz DEKIXKHSVSMHCY-AWEZNQCLSA-N 0 0 430.469 -0.462 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCOCCCO ZINC001303077666 1073926186 /nfs/dbraw/zinc/92/61/86/1073926186.db2.gz DEKIXKHSVSMHCY-CQSZACIVSA-N 0 0 430.469 -0.462 20 0 IBADRN O=C(Cn1c(CN2CCCC2=O)nnc1N1CCS(=O)(=O)CC1)NCc1ccco1 ZINC001303077816 1073926199 /nfs/dbraw/zinc/92/61/99/1073926199.db2.gz BCWDYMGTCJCAPA-UHFFFAOYSA-N 0 0 436.494 -0.455 20 0 IBADRN O=C(Cn1c(CN2CCCC2=O)nnc1N1CC[C@]2(CCC(=O)N2)C1)NCC(F)F ZINC001303079057 1073926222 /nfs/dbraw/zinc/92/62/22/1073926222.db2.gz LLIGOMDVIGKAJD-GOSISDBHSA-N 0 0 425.440 -0.359 20 0 IBADRN O=C(Cn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(CCC(=O)N2)C1)NCC(F)F ZINC001303079062 1073926256 /nfs/dbraw/zinc/92/62/56/1073926256.db2.gz LLIGOMDVIGKAJD-SFHVURJKSA-N 0 0 425.440 -0.359 20 0 IBADRN O=C(Cn1c(CN2CCCC2=O)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1)NCC(F)F ZINC001303079282 1073926273 /nfs/dbraw/zinc/92/62/73/1073926273.db2.gz OTWZXGPGEIIIML-NEPJUHHUSA-N 0 0 441.439 -0.284 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2nnc(C3CCC3)n2CCOCCCO)CC1 ZINC001303079746 1073926278 /nfs/dbraw/zinc/92/62/78/1073926278.db2.gz JCVDBCAJFFAHNM-UHFFFAOYSA-N 0 0 436.557 -0.075 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCOCCCO)CC1 ZINC001303082887 1073926294 /nfs/dbraw/zinc/92/62/94/1073926294.db2.gz RUFGINYQQFUWGA-HNNXBMFYSA-N 0 0 436.513 -0.892 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCOCCCO)CC1 ZINC001303082888 1073926213 /nfs/dbraw/zinc/92/62/13/1073926213.db2.gz RUFGINYQQFUWGA-OAHLLOKOSA-N 0 0 436.513 -0.892 20 0 IBADRN O=C(NCc1nnc(N2CCS(=O)(=O)CC2)n1C[C@H]1COCCO1)c1cccs1 ZINC001303090311 1073926361 /nfs/dbraw/zinc/92/63/61/1073926361.db2.gz WQDWINNJHOSZLL-ZDUSSCGKSA-N 0 0 441.535 -0.080 20 0 IBADRN O=C(NCCn1c(CN2CCCC2=O)nnc1N1CCS(=O)(=O)CC1)c1ccco1 ZINC001303096936 1073926380 /nfs/dbraw/zinc/92/63/80/1073926380.db2.gz YHPMQSKXYMHWFM-UHFFFAOYSA-N 0 0 436.494 -0.342 20 0 IBADRN O=C1CC[C@@H](c2nnc(N3CC[C@@]4(CCS(=O)(=O)C4)C3)n2CCOCCCO)N1 ZINC001303097815 1073926861 /nfs/dbraw/zinc/92/68/61/1073926861.db2.gz MHDDXMANJLZQBN-KBXCAEBGSA-N 0 0 427.527 -0.357 20 0 IBADRN O=C1CC[C@H](c2nnc(N3CC[C@]4(CCS(=O)(=O)C4)C3)n2CCOCCCO)N1 ZINC001303097816 1073926944 /nfs/dbraw/zinc/92/69/44/1073926944.db2.gz MHDDXMANJLZQBN-KDOFPFPSSA-N 0 0 427.527 -0.357 20 0 IBADRN O=C1CC[C@@H](c2nnc(N3CC[C@]4(CCS(=O)(=O)C4)C3)n2CCOCCCO)N1 ZINC001303097817 1073926885 /nfs/dbraw/zinc/92/68/85/1073926885.db2.gz MHDDXMANJLZQBN-KSSFIOAISA-N 0 0 427.527 -0.357 20 0 IBADRN O=C1CC[C@H](c2nnc(N3CC[C@@]4(CCS(=O)(=O)C4)C3)n2CCOCCCO)N1 ZINC001303097818 1073926913 /nfs/dbraw/zinc/92/69/13/1073926913.db2.gz MHDDXMANJLZQBN-RDTXWAMCSA-N 0 0 427.527 -0.357 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCCCO)CC1 ZINC001303098297 1073926928 /nfs/dbraw/zinc/92/69/28/1073926928.db2.gz RDXUMBAJAWSUAL-HNNXBMFYSA-N 0 0 433.513 -0.681 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCCCO)CC1 ZINC001303098298 1073926909 /nfs/dbraw/zinc/92/69/09/1073926909.db2.gz RDXUMBAJAWSUAL-OAHLLOKOSA-N 0 0 433.513 -0.681 20 0 IBADRN O=C1CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2CC2CCS(=O)(=O)CC2)N1 ZINC001303101469 1073926939 /nfs/dbraw/zinc/92/69/39/1073926939.db2.gz PNBKGBHKCNPJOK-CYBMUJFWSA-N 0 0 431.540 -0.711 20 0 IBADRN O=C1CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2CC2CCS(=O)(=O)CC2)N1 ZINC001303101470 1073926923 /nfs/dbraw/zinc/92/69/23/1073926923.db2.gz PNBKGBHKCNPJOK-ZDUSSCGKSA-N 0 0 431.540 -0.711 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1cn(C2CC2)c(=O)[nH]c1=O ZINC001303102017 1073926850 /nfs/dbraw/zinc/92/68/50/1073926850.db2.gz HOPZCVTWHXTTTC-UHFFFAOYSA-N 0 0 437.478 -0.427 20 0 IBADRN O=C(CCn1c(C(=O)Nc2ccccn2)nnc1N1CCNC(=O)CC1)N1CCOCC1 ZINC001303105231 1073926919 /nfs/dbraw/zinc/92/69/19/1073926919.db2.gz BFHVZMGFTHPAGC-UHFFFAOYSA-N 0 0 442.480 -0.499 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCS(=O)CC2)n1CCS(=O)(=O)CC1CC1 ZINC001303105483 1073926875 /nfs/dbraw/zinc/92/68/75/1073926875.db2.gz DYDYCJLDSGKXME-UHFFFAOYSA-N 0 0 429.568 -0.206 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCS(=O)(=O)CC2)n1CCC1(O)CCOCC1 ZINC001303106226 1073926890 /nfs/dbraw/zinc/92/68/90/1073926890.db2.gz QFNSOCAGHISEJK-UHFFFAOYSA-N 0 0 427.527 -0.433 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCS(=O)(=O)CC2)n1CCCN1CCOCC1 ZINC001303106816 1073926902 /nfs/dbraw/zinc/92/69/02/1073926902.db2.gz YBKFHBOYXCNYDZ-UHFFFAOYSA-N 0 0 426.543 -0.642 20 0 IBADRN O=C(NCc1nnc(N2CCS(=O)(=O)CC2)n1C[C@H]1CCC(=O)N1)c1cccs1 ZINC001303107759 1073926916 /nfs/dbraw/zinc/92/69/16/1073926916.db2.gz NJYLLGQIRFWVIU-GFCCVEGCSA-N 0 0 438.535 -0.217 20 0 IBADRN O=C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCS(=O)(=O)C2)CCN1 ZINC001303108947 1073926883 /nfs/dbraw/zinc/92/68/83/1073926883.db2.gz UTBULHTXKSWWDD-KBPBESRZSA-N 0 0 445.567 -0.669 20 0 IBADRN O=C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCS(=O)(=O)C2)CCN1 ZINC001303108956 1073926868 /nfs/dbraw/zinc/92/68/68/1073926868.db2.gz UTBULHTXKSWWDD-KGLIPLIRSA-N 0 0 445.567 -0.669 20 0 IBADRN O=C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCS(=O)(=O)C2)CCN1 ZINC001303108957 1073926935 /nfs/dbraw/zinc/92/69/35/1073926935.db2.gz UTBULHTXKSWWDD-UONOGXRCSA-N 0 0 445.567 -0.669 20 0 IBADRN O=C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCS(=O)(=O)C2)CCN1 ZINC001303108958 1073926932 /nfs/dbraw/zinc/92/69/32/1073926932.db2.gz UTBULHTXKSWWDD-ZIAGYGMSSA-N 0 0 445.567 -0.669 20 0 IBADRN O=C1CN(c2nnc(-c3cccnc3)n2CCS(=O)(=O)N2CCSCC2)CCN1 ZINC001303111824 1073926846 /nfs/dbraw/zinc/92/68/46/1073926846.db2.gz AJHBWAZWBRLAJA-UHFFFAOYSA-N 0 0 437.551 -0.345 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCNC(=O)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001303112985 1073926856 /nfs/dbraw/zinc/92/68/56/1073926856.db2.gz IWCFTZXTOZSXEB-CYBMUJFWSA-N 0 0 433.494 -0.314 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCNC(=O)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001303112986 1073927397 /nfs/dbraw/zinc/92/73/97/1073927397.db2.gz IWCFTZXTOZSXEB-ZDUSSCGKSA-N 0 0 433.494 -0.314 20 0 IBADRN O=C(c1nnc(N2CCNC(=O)C2)n1C[C@@H](N1CCOCC1)C(F)(F)F)N1CCCC1 ZINC001303113477 1073927356 /nfs/dbraw/zinc/92/73/56/1073927356.db2.gz MPDXXJXCOZNSPS-CYBMUJFWSA-N 0 0 445.446 -0.287 20 0 IBADRN O=C(c1nnc(N2CCNC(=O)C2)n1C[C@H](N1CCOCC1)C(F)(F)F)N1CCCC1 ZINC001303113478 1073927402 /nfs/dbraw/zinc/92/74/02/1073927402.db2.gz MPDXXJXCOZNSPS-ZDUSSCGKSA-N 0 0 445.446 -0.287 20 0 IBADRN O=C1CN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)N2CCSCC2)CCN1 ZINC001303114798 1073927452 /nfs/dbraw/zinc/92/74/52/1073927452.db2.gz BSKUNOWNJGRPJP-AWEZNQCLSA-N 0 0 444.583 -0.513 20 0 IBADRN O=C1CN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)N2CCSCC2)CCN1 ZINC001303114799 1073927418 /nfs/dbraw/zinc/92/74/18/1073927418.db2.gz BSKUNOWNJGRPJP-CQSZACIVSA-N 0 0 444.583 -0.513 20 0 IBADRN O=C1CN(c2nnc(Cc3ccsc3)n2CC2(O)CCS(=O)(=O)CC2)CCN1 ZINC001303114834 1073927334 /nfs/dbraw/zinc/92/73/34/1073927334.db2.gz CXNXFAYWDVAEIB-UHFFFAOYSA-N 0 0 425.536 -0.194 20 0 IBADRN O=C1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC[C@@H]2CCCO2)CCN1 ZINC001303115555 1073927393 /nfs/dbraw/zinc/92/73/93/1073927393.db2.gz NTRSBYUZKFPZRK-CABCVRRESA-N 0 0 427.527 -0.298 20 0 IBADRN O=C1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC[C@@H]2CCCO2)CCN1 ZINC001303115556 1073927372 /nfs/dbraw/zinc/92/73/72/1073927372.db2.gz NTRSBYUZKFPZRK-GJZGRUSLSA-N 0 0 427.527 -0.298 20 0 IBADRN O=C1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC[C@H]2CCCO2)CCN1 ZINC001303115557 1073927430 /nfs/dbraw/zinc/92/74/30/1073927430.db2.gz NTRSBYUZKFPZRK-HUUCEWRRSA-N 0 0 427.527 -0.298 20 0 IBADRN O=C1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC[C@H]2CCCO2)CCN1 ZINC001303115558 1073927424 /nfs/dbraw/zinc/92/74/24/1073927424.db2.gz NTRSBYUZKFPZRK-LSDHHAIUSA-N 0 0 427.527 -0.298 20 0 IBADRN O=C1CN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)N2CCSCC2)CCN1 ZINC001303115633 1073927446 /nfs/dbraw/zinc/92/74/46/1073927446.db2.gz QEBMVFXOCXYSPD-CYBMUJFWSA-N 0 0 430.556 -0.903 20 0 IBADRN O=C1CN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)N2CCSCC2)CCN1 ZINC001303115634 1073927348 /nfs/dbraw/zinc/92/73/48/1073927348.db2.gz QEBMVFXOCXYSPD-ZDUSSCGKSA-N 0 0 430.556 -0.903 20 0 IBADRN O=C1NC(=O)[C@H](CCCn2c([C@@H]3CCCOC3)nnc2N2CCS(=O)(=O)CC2)N1 ZINC001303116302 1073927407 /nfs/dbraw/zinc/92/74/07/1073927407.db2.gz BOSWBDFWGKDCLE-OLZOCXBDSA-N 0 0 426.499 -0.605 20 0 IBADRN O=C1NC(=O)[C@H](CCCn2c([C@H]3CCCOC3)nnc2N2CCS(=O)(=O)CC2)N1 ZINC001303116303 1073927378 /nfs/dbraw/zinc/92/73/78/1073927378.db2.gz BOSWBDFWGKDCLE-STQMWFEESA-N 0 0 426.499 -0.605 20 0 IBADRN O=C1CN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)N2CCSCC2)CCN1 ZINC001303116368 1073927467 /nfs/dbraw/zinc/92/74/67/1073927467.db2.gz FMKUZPOGSBZGEL-CYBMUJFWSA-N 0 0 430.556 -0.556 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(F)(F)F ZINC001303116491 1073927440 /nfs/dbraw/zinc/92/74/40/1073927440.db2.gz JGNNYORAWQFYHD-JTQLQIEISA-N 0 0 438.370 -0.365 20 0 IBADRN O=C(Nc1ccccn1)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(F)(F)F ZINC001303116492 1073927385 /nfs/dbraw/zinc/92/73/85/1073927385.db2.gz JGNNYORAWQFYHD-SNVBAGLBSA-N 0 0 438.370 -0.365 20 0 IBADRN O=C1CN(c2nnc(CCOc3ccccc3)n2CCN2CCCS2(=O)=O)CCN1 ZINC001303116923 1073927362 /nfs/dbraw/zinc/92/73/62/1073927362.db2.gz VMTMKYIMRZFKBU-UHFFFAOYSA-N 0 0 434.522 -0.129 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3CCCCCCO)CCN12 ZINC001303116952 1073927434 /nfs/dbraw/zinc/92/74/34/1073927434.db2.gz WOJNLESMOZEOEL-CABCVRRESA-N 0 0 426.543 -0.053 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@H]4CCS(=O)(=O)C4)n3CCCCCCO)CCN12 ZINC001303116953 1073927471 /nfs/dbraw/zinc/92/74/71/1073927471.db2.gz WOJNLESMOZEOEL-GJZGRUSLSA-N 0 0 426.543 -0.053 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3CCCCCCO)CCN12 ZINC001303117054 1073927945 /nfs/dbraw/zinc/92/79/45/1073927945.db2.gz WOJNLESMOZEOEL-HUUCEWRRSA-N 0 0 426.543 -0.053 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@H]4CCS(=O)(=O)C4)n3CCCCCCO)CCN12 ZINC001303117055 1073928026 /nfs/dbraw/zinc/92/80/26/1073928026.db2.gz WOJNLESMOZEOEL-LSDHHAIUSA-N 0 0 426.543 -0.053 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCCCCO)N1CCCC1 ZINC001303117070 1073927923 /nfs/dbraw/zinc/92/79/23/1073927923.db2.gz WXGPXRVZWHDDOI-HNNXBMFYSA-N 0 0 433.513 -0.786 20 0 IBADRN O=C(c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCCCCO)N1CCCC1 ZINC001303117071 1073927984 /nfs/dbraw/zinc/92/79/84/1073927984.db2.gz WXGPXRVZWHDDOI-OAHLLOKOSA-N 0 0 433.513 -0.786 20 0 IBADRN CC(C)CCOCCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001303118386 1073928000 /nfs/dbraw/zinc/92/80/00/1073928000.db2.gz DKGQDAZKRUASPX-INIZCTEOSA-N 0 0 430.513 -0.112 20 0 IBADRN CC(C)CCOCCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001303118387 1073927967 /nfs/dbraw/zinc/92/79/67/1073927967.db2.gz DKGQDAZKRUASPX-MRXNPFEDSA-N 0 0 430.513 -0.112 20 0 IBADRN C[C@]1(C(=O)NCc2nnc3n2CCNC3=O)C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC001303122705 1073927915 /nfs/dbraw/zinc/92/79/15/1073927915.db2.gz UPOZVEJPJIDGRP-JLTOFOAXSA-N 0 0 428.449 -0.200 20 0 IBADRN C[C@]1(C(=O)NCc2nnc3n2CCNC3=O)C[C@H](O)CN1C(=O)OCc1ccccc1 ZINC001303122711 1073927938 /nfs/dbraw/zinc/92/79/38/1073927938.db2.gz UPOZVEJPJIDGRP-VBKZILBWSA-N 0 0 428.449 -0.200 20 0 IBADRN C[C@@]1(C(=O)NCc2nnc3n2CCNC3=O)C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC001303122713 1073928012 /nfs/dbraw/zinc/92/80/12/1073928012.db2.gz UPOZVEJPJIDGRP-VLIAUNLRSA-N 0 0 428.449 -0.200 20 0 IBADRN C[C@@]1(C(=O)NCc2nnc3n2CCNC3=O)C[C@H](O)CN1C(=O)OCc1ccccc1 ZINC001303122716 1073928009 /nfs/dbraw/zinc/92/80/09/1073928009.db2.gz UPOZVEJPJIDGRP-XOBRGWDASA-N 0 0 428.449 -0.200 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCCOCC3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001303124493 1073927951 /nfs/dbraw/zinc/92/79/51/1073927951.db2.gz CHWPPDVOVFMFKN-AWEZNQCLSA-N 0 0 448.567 -0.653 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCCOCC3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001303124494 1073927962 /nfs/dbraw/zinc/92/79/62/1073927962.db2.gz CHWPPDVOVFMFKN-CQSZACIVSA-N 0 0 448.567 -0.653 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCSCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001303124911 1073928045 /nfs/dbraw/zinc/92/80/45/1073928045.db2.gz JAYLJDISAVLYPS-DOMZBBRYSA-N 0 0 436.581 -0.717 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCSCC3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001303124912 1073928032 /nfs/dbraw/zinc/92/80/32/1073928032.db2.gz JAYLJDISAVLYPS-IUODEOHRSA-N 0 0 436.581 -0.717 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCSCC3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001303124913 1073927995 /nfs/dbraw/zinc/92/79/95/1073927995.db2.gz JAYLJDISAVLYPS-SWLSCSKDSA-N 0 0 436.581 -0.717 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCSCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001303124914 1073927975 /nfs/dbraw/zinc/92/79/75/1073927975.db2.gz JAYLJDISAVLYPS-WFASDCNBSA-N 0 0 436.581 -0.717 20 0 IBADRN NC(=O)CN(C(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)C1CCOCC1 ZINC001303126306 1073927930 /nfs/dbraw/zinc/92/79/30/1073927930.db2.gz XOPPGGHWLOGMEY-UHFFFAOYSA-N 0 0 429.470 -0.047 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N(CC(N)=O)C2CCOCC2)CC1 ZINC001303126334 1073928038 /nfs/dbraw/zinc/92/80/38/1073928038.db2.gz YTTZIHRLQLJEOU-UHFFFAOYSA-N 0 0 427.527 -0.080 20 0 IBADRN O=S1(=O)CC[C@](O)(Cn2c(-c3ccccc3)nnc2N2CCS(=O)(=O)CC2)C1 ZINC001303126652 1073928650 /nfs/dbraw/zinc/92/86/50/1073928650.db2.gz HAGOVKWETTWVSU-KRWDZBQOSA-N 0 0 426.520 -0.271 20 0 IBADRN O=S1(=O)CC[C@@](O)(Cn2c(-c3ccccc3)nnc2N2CCS(=O)(=O)CC2)C1 ZINC001303126653 1073928672 /nfs/dbraw/zinc/92/86/72/1073928672.db2.gz HAGOVKWETTWVSU-QGZVFWFLSA-N 0 0 426.520 -0.271 20 0 IBADRN O=S1(=O)CCCN1CCn1c(-c2cccnc2)nnc1N1CCS(=O)(=O)CC1 ZINC001303127110 1073928534 /nfs/dbraw/zinc/92/85/34/1073928534.db2.gz LHELYOOGUMQAQQ-UHFFFAOYSA-N 0 0 426.524 -0.390 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2CCSCCCO)C1 ZINC001303127763 1073928639 /nfs/dbraw/zinc/92/86/39/1073928639.db2.gz ZQPHSPSDGJCFLB-CYBMUJFWSA-N 0 0 438.597 -0.469 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2CCSCCCO)C1 ZINC001303127764 1073928627 /nfs/dbraw/zinc/92/86/27/1073928627.db2.gz ZQPHSPSDGJCFLB-ZDUSSCGKSA-N 0 0 438.597 -0.469 20 0 IBADRN O=S1(=O)CC[C@](O)(Cn2c(Cc3ccccn3)nnc2N2CCS(=O)(=O)CC2)C1 ZINC001303129274 1073928598 /nfs/dbraw/zinc/92/85/98/1073928598.db2.gz SUOOSHMTUBUXBI-KRWDZBQOSA-N 0 0 441.535 -0.952 20 0 IBADRN O=S1(=O)CC[C@@](O)(Cn2c(Cc3ccccn3)nnc2N2CCS(=O)(=O)CC2)C1 ZINC001303129275 1073928621 /nfs/dbraw/zinc/92/86/21/1073928621.db2.gz SUOOSHMTUBUXBI-QGZVFWFLSA-N 0 0 441.535 -0.952 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)CCN3C(=O)CCNC3=S)CC2)cc1 ZINC001303129561 1073928607 /nfs/dbraw/zinc/92/86/07/1073928607.db2.gz CQBDIITXBAFRSQ-UHFFFAOYSA-N 0 0 439.563 -0.260 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3C[C@@H](O)CN3c3ccncn3)CC2)cc1 ZINC001303131702 1073928569 /nfs/dbraw/zinc/92/85/69/1073928569.db2.gz WSTDQCYYERVMLU-AEFFLSMTSA-N 0 0 446.533 -0.327 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3C[C@H](O)CN3c3ccncn3)CC2)cc1 ZINC001303131703 1073928548 /nfs/dbraw/zinc/92/85/48/1073928548.db2.gz WSTDQCYYERVMLU-FUHWJXTLSA-N 0 0 446.533 -0.327 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)[C@H]3C[C@@H](O)CN3c3ccncn3)CC2)cc1 ZINC001303131704 1073928616 /nfs/dbraw/zinc/92/86/16/1073928616.db2.gz WSTDQCYYERVMLU-SJLPKXTDSA-N 0 0 446.533 -0.327 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)[C@@H]3C[C@H](O)CN3c3ccncn3)CC2)cc1 ZINC001303131705 1073928647 /nfs/dbraw/zinc/92/86/47/1073928647.db2.gz WSTDQCYYERVMLU-WMZOPIPTSA-N 0 0 446.533 -0.327 20 0 IBADRN O=S1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCO[C@H]2CCOC2)CC1 ZINC001303133390 1073928684 /nfs/dbraw/zinc/92/86/84/1073928684.db2.gz BPPPUCYBZXEMIU-CABCVRRESA-N 0 0 432.568 -0.056 20 0 IBADRN O=S1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCO[C@H]2CCOC2)CC1 ZINC001303133395 1073928495 /nfs/dbraw/zinc/92/84/95/1073928495.db2.gz BPPPUCYBZXEMIU-GJZGRUSLSA-N 0 0 432.568 -0.056 20 0 IBADRN O=S1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCO[C@@H]2CCOC2)CC1 ZINC001303133397 1073928559 /nfs/dbraw/zinc/92/85/59/1073928559.db2.gz BPPPUCYBZXEMIU-HUUCEWRRSA-N 0 0 432.568 -0.056 20 0 IBADRN O=S1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCO[C@@H]2CCOC2)CC1 ZINC001303133399 1073928591 /nfs/dbraw/zinc/92/85/91/1073928591.db2.gz BPPPUCYBZXEMIU-LSDHHAIUSA-N 0 0 432.568 -0.056 20 0 IBADRN CC(C)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001303139665 1073928633 /nfs/dbraw/zinc/92/86/33/1073928633.db2.gz RASHSFRJKMQVLE-HNNXBMFYSA-N 0 0 444.558 -0.438 20 0 IBADRN CC(C)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001303139666 1073928578 /nfs/dbraw/zinc/92/85/78/1073928578.db2.gz RASHSFRJKMQVLE-OAHLLOKOSA-N 0 0 444.558 -0.438 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC(O)(c4ncnn4C)C3)c2)C[C@H](C)O1 ZINC001303145742 1073928665 /nfs/dbraw/zinc/92/86/65/1073928665.db2.gz UKJSEVVISAHVFU-KBPBESRZSA-N 0 0 435.506 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC(O)(c4ncnn4C)C3)c2)C[C@H](C)O1 ZINC001303145744 1073928518 /nfs/dbraw/zinc/92/85/18/1073928518.db2.gz UKJSEVVISAHVFU-OKILXGFUSA-N 0 0 435.506 -0.043 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC(O)(c4ncnn4C)C3)c2)C[C@@H](C)O1 ZINC001303145746 1073929121 /nfs/dbraw/zinc/92/91/21/1073929121.db2.gz UKJSEVVISAHVFU-ZIAGYGMSSA-N 0 0 435.506 -0.043 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CC(O)(c3ncnn3C)C2)c1 ZINC001303145783 1073929172 /nfs/dbraw/zinc/92/91/72/1073929172.db2.gz UYXWFRJGSOWQHY-UHFFFAOYSA-N 0 0 430.284 -0.171 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CC(O)(c4ncnn4C)C3)CC2)c1 ZINC001303145936 1073929031 /nfs/dbraw/zinc/92/90/31/1073929031.db2.gz YXROZJQQECTUJX-UHFFFAOYSA-N 0 0 434.522 -0.695 20 0 IBADRN CC(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001303166990 1073929245 /nfs/dbraw/zinc/92/92/45/1073929245.db2.gz BFQOCZDULYBLFT-KBPBESRZSA-N 0 0 435.572 -0.110 20 0 IBADRN CC(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001303166991 1073929001 /nfs/dbraw/zinc/92/90/01/1073929001.db2.gz BFQOCZDULYBLFT-KGLIPLIRSA-N 0 0 435.572 -0.110 20 0 IBADRN CC(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001303166992 1073929163 /nfs/dbraw/zinc/92/91/63/1073929163.db2.gz BFQOCZDULYBLFT-UONOGXRCSA-N 0 0 435.572 -0.110 20 0 IBADRN CC(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001303166993 1073929224 /nfs/dbraw/zinc/92/92/24/1073929224.db2.gz BFQOCZDULYBLFT-ZIAGYGMSSA-N 0 0 435.572 -0.110 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)C(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC001303171081 1073929069 /nfs/dbraw/zinc/92/90/69/1073929069.db2.gz IHGQZEDPAZSZMZ-IBGZPJMESA-N 0 0 427.396 -0.018 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)C(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC001303171082 1073929087 /nfs/dbraw/zinc/92/90/87/1073929087.db2.gz IHGQZEDPAZSZMZ-LJQANCHMSA-N 0 0 427.396 -0.018 20 0 IBADRN CC(C)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2cn(C3COC3)nn2)CC1=O ZINC001303178619 1073929095 /nfs/dbraw/zinc/92/90/95/1073929095.db2.gz QRYVHRQTLBGLHW-UHFFFAOYSA-N 0 0 445.528 -0.068 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1=O ZINC001303182118 1073929233 /nfs/dbraw/zinc/92/92/33/1073929233.db2.gz GBGCTAHNJIHUFO-AWEZNQCLSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1=O ZINC001303182119 1073929149 /nfs/dbraw/zinc/92/91/49/1073929149.db2.gz GBGCTAHNJIHUFO-CQSZACIVSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)N(C)C)CC1=O ZINC001303191090 1073928987 /nfs/dbraw/zinc/92/89/87/1073928987.db2.gz SYWONSSQIMTIFS-AWEZNQCLSA-N 0 0 441.558 -0.575 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)N(C)C)CC1=O ZINC001303191091 1073929042 /nfs/dbraw/zinc/92/90/42/1073929042.db2.gz SYWONSSQIMTIFS-CQSZACIVSA-N 0 0 441.558 -0.575 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CC1=O ZINC001303192562 1073929116 /nfs/dbraw/zinc/92/91/16/1073929116.db2.gz VMYMLGLOVWASDO-BEFAXECRSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CC1=O ZINC001303192563 1073929054 /nfs/dbraw/zinc/92/90/54/1073929054.db2.gz VMYMLGLOVWASDO-DNVCBOLYSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CC1=O ZINC001303192564 1073929018 /nfs/dbraw/zinc/92/90/18/1073929018.db2.gz VMYMLGLOVWASDO-HNAYVOBHSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CC1=O ZINC001303192565 1073929898 /nfs/dbraw/zinc/92/98/98/1073929898.db2.gz VMYMLGLOVWASDO-KXBFYZLASA-N 0 0 441.554 -0.364 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H]2Cc3cccnc3NC2=O)c1 ZINC001303212496 1073929665 /nfs/dbraw/zinc/92/96/65/1073929665.db2.gz BAHVRPYOXPGUCH-HNNXBMFYSA-N 0 0 433.490 -0.047 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H]2Cc3cccnc3NC2=O)c1 ZINC001303212499 1073929738 /nfs/dbraw/zinc/92/97/38/1073929738.db2.gz BAHVRPYOXPGUCH-OAHLLOKOSA-N 0 0 433.490 -0.047 20 0 IBADRN COc1ccc(C(=O)NC(C)(C)CNS(N)(=O)=O)nc1I ZINC001303234008 1073929648 /nfs/dbraw/zinc/92/96/48/1073929648.db2.gz UKSSYUOTOSBPMK-UHFFFAOYSA-N 0 0 428.252 -0.004 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)NCCN(C)CCS(C)(=O)=O ZINC001303238138 1073929702 /nfs/dbraw/zinc/92/97/02/1073929702.db2.gz JQENQHZVEZGGHP-UHFFFAOYSA-N 0 0 428.555 -0.304 20 0 IBADRN C[C@@H](C(=O)NCCN(C)CCS(C)(=O)=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001303238257 1073929863 /nfs/dbraw/zinc/92/98/63/1073929863.db2.gz NPQAYLPZSFTOAX-AWEZNQCLSA-N 0 0 434.559 -0.453 20 0 IBADRN C[C@H](C(=O)NCCN(C)CCS(C)(=O)=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001303238258 1073929827 /nfs/dbraw/zinc/92/98/27/1073929827.db2.gz NPQAYLPZSFTOAX-CQSZACIVSA-N 0 0 434.559 -0.453 20 0 IBADRN CN(CCNC(=O)CCNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C)CCS(C)(=O)=O ZINC001303238535 1073929840 /nfs/dbraw/zinc/92/98/40/1073929840.db2.gz SFOLCRLIWUMITL-HNNXBMFYSA-N 0 0 448.586 -0.015 20 0 IBADRN CN(CCNC(=O)CCNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C)CCS(C)(=O)=O ZINC001303238536 1073929814 /nfs/dbraw/zinc/92/98/14/1073929814.db2.gz SFOLCRLIWUMITL-OAHLLOKOSA-N 0 0 448.586 -0.015 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)NCCN(C)CCS(C)(=O)=O ZINC001303238590 1073929784 /nfs/dbraw/zinc/92/97/84/1073929784.db2.gz TWGVAYXBUVFMPD-UHFFFAOYSA-N 0 0 429.543 -0.648 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCN(C)CCS(C)(=O)=O)C1=O ZINC001303238808 1073929850 /nfs/dbraw/zinc/92/98/50/1073929850.db2.gz ZEICBDHNCIKYGS-IBGZPJMESA-N 0 0 440.522 -0.445 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCN(C)CCS(C)(=O)=O)C1=O ZINC001303238809 1073929875 /nfs/dbraw/zinc/92/98/75/1073929875.db2.gz ZEICBDHNCIKYGS-LJQANCHMSA-N 0 0 440.522 -0.445 20 0 IBADRN Cn1nccc1C1(O)CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001303239420 1073929616 /nfs/dbraw/zinc/92/96/16/1073929616.db2.gz ZCKPBKFQTZOFHM-UHFFFAOYSA-N 0 0 447.517 -0.336 20 0 IBADRN Cc1ccc(C(=O)NCCN(C)CCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001303240154 1073929879 /nfs/dbraw/zinc/92/98/79/1073929879.db2.gz OIFIZPUTFAFNFB-UHFFFAOYSA-N 0 0 447.579 -0.278 20 0 IBADRN CN1CCn2c(CNC(=O)c3cccc(CN4CCS(=O)(=O)CC4)c3)nnc2C1=O ZINC001303242412 1073929717 /nfs/dbraw/zinc/92/97/17/1073929717.db2.gz IGDKKNBELOHVFE-UHFFFAOYSA-N 0 0 432.506 -0.476 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)NCc2nnc3n2CCN(C)C3=O)cc1 ZINC001303242590 1073930484 /nfs/dbraw/zinc/93/04/84/1073930484.db2.gz LAQJPFNCSZCQEK-UHFFFAOYSA-N 0 0 428.449 -0.232 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)NCc3nnc4n3CCN(C)C4=O)cc2S1(=O)=O ZINC001303242775 1073930384 /nfs/dbraw/zinc/93/03/84/1073930384.db2.gz OPWKYJJRHRLZPG-UHFFFAOYSA-N 0 0 432.462 -0.153 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)NCCc1nnc3n1CCN(C)C3=O)C2=O ZINC001303244169 1073930345 /nfs/dbraw/zinc/93/03/45/1073930345.db2.gz BUFUNAGMVLLPOC-UHFFFAOYSA-N 0 0 431.497 -0.087 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)NCCc1nnc2n1CCN(C)C2=O ZINC001303244324 1073930449 /nfs/dbraw/zinc/93/04/49/1073930449.db2.gz DMXZFTLHUJXSRX-UHFFFAOYSA-N 0 0 426.481 -0.153 20 0 IBADRN CN1CCn2c(CNC(=O)Cn3nc(Br)c(Br)n3)nnc2C1=O ZINC001303245006 1073930320 /nfs/dbraw/zinc/93/03/20/1073930320.db2.gz KTXSKOHBVXEWPR-UHFFFAOYSA-N 0 0 448.079 -0.203 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NCCc2nnc3n2CCN(C)C3=O)n(C)c1 ZINC001303246462 1073930395 /nfs/dbraw/zinc/93/03/95/1073930395.db2.gz WGEPRLBESZWORQ-UHFFFAOYSA-N 0 0 437.526 -0.295 20 0 IBADRN CSC[C@H](C(=O)NCCc1nnc2n1CCN(C)C2=O)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001303246525 1073930364 /nfs/dbraw/zinc/93/03/64/1073930364.db2.gz XSQNFBGGCVELQZ-HZSPNIEDSA-N 0 0 448.549 -0.071 20 0 IBADRN CSC[C@H](C(=O)NCCc1nnc2n1CCN(C)C2=O)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC001303246526 1073930335 /nfs/dbraw/zinc/93/03/35/1073930335.db2.gz XSQNFBGGCVELQZ-MELADBBJSA-N 0 0 448.549 -0.071 20 0 IBADRN CSC[C@H](C(=O)NCCc1nnc2n1CCN(C)C2=O)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC001303246527 1073930431 /nfs/dbraw/zinc/93/04/31/1073930431.db2.gz XSQNFBGGCVELQZ-MGPQQGTHSA-N 0 0 448.549 -0.071 20 0 IBADRN CSC[C@@H](C(=O)NCCc1nnc2n1CCN(C)C2=O)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001303246528 1073930467 /nfs/dbraw/zinc/93/04/67/1073930467.db2.gz XSQNFBGGCVELQZ-MJBXVCDLSA-N 0 0 448.549 -0.071 20 0 IBADRN Cn1cc(I)c(C(=O)NCCc2nnc3n2CCN(C)C3=O)n1 ZINC001303247482 1073930370 /nfs/dbraw/zinc/93/03/70/1073930370.db2.gz SHEJIPVLSKZTHT-UHFFFAOYSA-N 0 0 429.222 -0.326 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2ccc(N3CCCS3(=O)=O)cc2)C[C@@H]1S(C)(=O)=O ZINC001303247969 1073930457 /nfs/dbraw/zinc/93/04/57/1073930457.db2.gz BJUZXTNVIGJZOZ-WMLDXEAASA-N 0 0 430.504 -0.067 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CCS(=O)(=O)c2ccc(OC)cc2)C[C@@H]1S(C)(=O)=O ZINC001303248550 1073930492 /nfs/dbraw/zinc/93/04/92/1073930492.db2.gz QJPBOUVAYMAYQW-WMLDXEAASA-N 0 0 433.504 -0.049 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CNC(=O)c2cc(OC)cc(OC)c2)C[C@@H]1S(C)(=O)=O ZINC001303248734 1073930438 /nfs/dbraw/zinc/93/04/38/1073930438.db2.gz YWQUKIUMRMQNPW-KBXCAEBGSA-N 0 0 428.463 -0.474 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CN2C(=O)N[C@@]3(CCCC[C@H]3C)C2=O)C[C@@H]1S(C)(=O)=O ZINC001303248756 1073930476 /nfs/dbraw/zinc/93/04/76/1073930476.db2.gz ZNMHKCDQQOCZBA-FVEFGIFQSA-N 0 0 429.495 -0.420 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CN2C(=O)N[C@]3(CCCC[C@H]3C)C2=O)C[C@@H]1S(C)(=O)=O ZINC001303248757 1073930405 /nfs/dbraw/zinc/93/04/05/1073930405.db2.gz ZNMHKCDQQOCZBA-RTRLTSMRSA-N 0 0 429.495 -0.420 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CN2C(=O)N[C@]3(CCCC[C@@H]3C)C2=O)C[C@@H]1S(C)(=O)=O ZINC001303248758 1073930352 /nfs/dbraw/zinc/93/03/52/1073930352.db2.gz ZNMHKCDQQOCZBA-UQLKUDODSA-N 0 0 429.495 -0.420 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CN2C(=O)N[C@@]3(CCCC[C@@H]3C)C2=O)C[C@@H]1S(C)(=O)=O ZINC001303248759 1073930407 /nfs/dbraw/zinc/93/04/07/1073930407.db2.gz ZNMHKCDQQOCZBA-YDOWWZDFSA-N 0 0 429.495 -0.420 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)NC[C@]2(C(=O)OC)C[C@@H]2S(C)(=O)=O)cc1 ZINC001303250526 1073930414 /nfs/dbraw/zinc/93/04/14/1073930414.db2.gz LTNRCAFAGHQOPU-WMLDXEAASA-N 0 0 432.520 -0.380 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2c[nH]nc2I)C[C@@H]1S(C)(=O)=O ZINC001303250903 1073929903 /nfs/dbraw/zinc/92/99/03/1073929903.db2.gz PMIVJIFZNLHLQO-WRWORJQWSA-N 0 0 427.220 -0.280 20 0 IBADRN CN(CCn1cnnc1)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001303250925 1073930989 /nfs/dbraw/zinc/93/09/89/1073930989.db2.gz QIVWJZYPQRXQTH-HNNXBMFYSA-N 0 0 429.524 -0.482 20 0 IBADRN CN(CCn1cnnc1)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001303250926 1073930943 /nfs/dbraw/zinc/93/09/43/1073930943.db2.gz QIVWJZYPQRXQTH-OAHLLOKOSA-N 0 0 429.524 -0.482 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)C[C@@H]1S(C)(=O)=O ZINC001303251116 1073930911 /nfs/dbraw/zinc/93/09/11/1073930911.db2.gz VBDUNYAYNCHBTH-QHRIQVFBSA-N 0 0 446.522 -0.341 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)C[C@@H]1S(C)(=O)=O ZINC001303251117 1073930967 /nfs/dbraw/zinc/93/09/67/1073930967.db2.gz VBDUNYAYNCHBTH-WTOJCKNJSA-N 0 0 446.522 -0.341 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)C[C@]2(O)CCN(C(=O)OC(C)(C)C)C2)C[C@@H]1S(C)(=O)=O ZINC001303251149 1073931170 /nfs/dbraw/zinc/93/11/70/1073931170.db2.gz WOJFYEMOCPDHIC-JBBXEZCESA-N 0 0 434.511 -0.159 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)C[C@@]2(O)CCN(C(=O)OC(C)(C)C)C2)C[C@@H]1S(C)(=O)=O ZINC001303251150 1073931013 /nfs/dbraw/zinc/93/10/13/1073931013.db2.gz WOJFYEMOCPDHIC-UYHISHBKSA-N 0 0 434.511 -0.159 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N(C)CCS(N)(=O)=O ZINC001303265766 1073931159 /nfs/dbraw/zinc/93/11/59/1073931159.db2.gz WFHWPPICFWCDNU-UHFFFAOYSA-N 0 0 448.567 -0.618 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCNS(=O)(=O)c1cccc(Br)c1 ZINC001303306226 1073931104 /nfs/dbraw/zinc/93/11/04/1073931104.db2.gz AYXIFLKGHQVMOV-UHFFFAOYSA-N 0 0 449.327 -0.562 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCN1C(=O)COc2cc(Br)ccc21 ZINC001303306267 1073931023 /nfs/dbraw/zinc/93/10/23/1073931023.db2.gz BZAQTQFKWUZKQM-UHFFFAOYSA-N 0 0 441.282 -0.115 20 0 IBADRN CCc1ccc(C(=O)N(CC(=O)NC)CC(=O)NC)cc1S(=O)(=O)N1CCOCC1 ZINC001303306372 1073930998 /nfs/dbraw/zinc/93/09/98/1073930998.db2.gz GHFQNGNJVUYWME-UHFFFAOYSA-N 0 0 440.522 -0.796 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC001303306439 1073931040 /nfs/dbraw/zinc/93/10/40/1073931040.db2.gz IDKLJGNEEVDRBT-UHFFFAOYSA-N 0 0 434.493 -0.311 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC001303306444 1073931076 /nfs/dbraw/zinc/93/10/76/1073931076.db2.gz IFPMOESEJBMJJO-UHFFFAOYSA-N 0 0 441.329 -0.891 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)[C@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC001303306531 1073931091 /nfs/dbraw/zinc/93/10/91/1073931091.db2.gz KXPSXYRJQHQDPU-HNNXBMFYSA-N 0 0 434.493 -0.585 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)[C@@H]1CC(=O)N(CCc2ccc(OC)c(OC)c2)C1 ZINC001303306532 1073931123 /nfs/dbraw/zinc/93/11/23/1073931123.db2.gz KXPSXYRJQHQDPU-OAHLLOKOSA-N 0 0 434.493 -0.585 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)c1ccc(Br)c(S(=O)(=O)N(C)C)c1 ZINC001303306635 1073930929 /nfs/dbraw/zinc/93/09/29/1073930929.db2.gz OAGYWZPMVOGUDI-UHFFFAOYSA-N 0 0 449.327 -0.366 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CCNS(=O)(=O)c1c(Cl)cccc1Cl ZINC001303306668 1073930951 /nfs/dbraw/zinc/93/09/51/1073930951.db2.gz PEMNHJQYRJNXLT-UHFFFAOYSA-N 0 0 439.321 -0.018 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CNC(=O)c1ccccc1I ZINC001303306696 1073931113 /nfs/dbraw/zinc/93/11/13/1073931113.db2.gz POMNHCAYOYIVBT-UHFFFAOYSA-N 0 0 446.245 -0.658 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CN1C(=O)N[C@@](C)(c2cc(C)ccc2OC)C1=O ZINC001303306951 1073931145 /nfs/dbraw/zinc/93/11/45/1073931145.db2.gz YOSJDMUXSRFERM-FQEVSTJZSA-N 0 0 433.465 -0.909 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CN1C(=O)N[C@](C)(c2cc(C)ccc2OC)C1=O ZINC001303306952 1073931552 /nfs/dbraw/zinc/93/15/52/1073931552.db2.gz YOSJDMUXSRFERM-HXUWFJFHSA-N 0 0 433.465 -0.909 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC3(CCNC3=O)CC2)c1 ZINC001303307412 1073931689 /nfs/dbraw/zinc/93/16/89/1073931689.db2.gz APJDOONRUCOZTL-UHFFFAOYSA-N 0 0 429.520 -0.503 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccn3C)n2C[C@@H]2COCCO2)CC1 ZINC001303318825 1073931581 /nfs/dbraw/zinc/93/15/81/1073931581.db2.gz CMUHRXWTXMIXOO-MRXNPFEDSA-N 0 0 445.524 -0.128 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccnc3)n2C[C@H]2COCCO2)CC1 ZINC001303318873 1073931563 /nfs/dbraw/zinc/93/15/63/1073931563.db2.gz FFXMEHZZPMVVON-KRWDZBQOSA-N 0 0 443.508 -0.071 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccnc3)n2CCC(=O)N(C)C)CC1 ZINC001303318883 1073931513 /nfs/dbraw/zinc/93/15/13/1073931513.db2.gz FMSALHRMYVTERW-UHFFFAOYSA-N 0 0 442.524 -0.008 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2Cc2nncn2C)CC1 ZINC001303318951 1073931521 /nfs/dbraw/zinc/93/15/21/1073931521.db2.gz HVZIGEJQQQCQFS-UHFFFAOYSA-N 0 0 426.485 -0.377 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccnc3)n2CCS(C)(=O)=O)CC1 ZINC001303318983 1073931539 /nfs/dbraw/zinc/93/15/39/1073931539.db2.gz IRTLFNGGVKXIHM-UHFFFAOYSA-N 0 0 449.537 -0.442 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3ccccn3)n2C[C@H]2COCCO2)CC1 ZINC001303319174 1073931532 /nfs/dbraw/zinc/93/15/32/1073931532.db2.gz LZCZEXYXYSYVLJ-INIZCTEOSA-N 0 0 443.508 -0.071 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3ccccn3)n2C[C@@H]2COCCO2)CC1 ZINC001303319175 1073931592 /nfs/dbraw/zinc/93/15/92/1073931592.db2.gz LZCZEXYXYSYVLJ-MRXNPFEDSA-N 0 0 443.508 -0.071 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccnc3)n2Cc2nncn2C)CC1 ZINC001303319246 1073931665 /nfs/dbraw/zinc/93/16/65/1073931665.db2.gz OKSUCIWDORWMFS-UHFFFAOYSA-N 0 0 438.496 -0.310 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC2(O)CCCC2)CC1 ZINC001303319367 1073931697 /nfs/dbraw/zinc/93/16/97/1073931697.db2.gz OWTFGRLJHCJBSL-UHFFFAOYSA-N 0 0 449.556 -0.105 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(C)C)n2CCC(=O)N2CCOCC2)CC1 ZINC001303319477 1073931601 /nfs/dbraw/zinc/93/16/01/1073931601.db2.gz SGMBHYUIYCRACX-UHFFFAOYSA-N 0 0 449.556 -0.176 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOC(C)C)CC1 ZINC001303321410 1073931572 /nfs/dbraw/zinc/93/15/72/1073931572.db2.gz DMFZHWHXCZSLRZ-HNNXBMFYSA-N 0 0 435.529 -0.173 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCOC(C)C)CC1 ZINC001303321471 1073931548 /nfs/dbraw/zinc/93/15/48/1073931548.db2.gz DMFZHWHXCZSLRZ-OAHLLOKOSA-N 0 0 435.529 -0.173 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C3CC3)n2CC(=O)NCC(F)F)CC1 ZINC001303321599 1073931614 /nfs/dbraw/zinc/93/16/14/1073931614.db2.gz GRXXTRRPVAOQSG-UHFFFAOYSA-N 0 0 427.456 -0.290 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(C)CCCO2)CC1 ZINC001303321892 1073932218 /nfs/dbraw/zinc/93/22/18/1073932218.db2.gz JVYKKQKLMHZTCX-BTYIYWSLSA-N 0 0 447.540 -0.029 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(C)CCCO2)CC1 ZINC001303321893 1073932169 /nfs/dbraw/zinc/93/21/69/1073932169.db2.gz JVYKKQKLMHZTCX-QVKFZJNVSA-N 0 0 447.540 -0.029 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(C)CCCO2)CC1 ZINC001303321894 1073932177 /nfs/dbraw/zinc/93/21/77/1073932177.db2.gz JVYKKQKLMHZTCX-VFNWGFHPSA-N 0 0 447.540 -0.029 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(C)CCCO2)CC1 ZINC001303321895 1073932173 /nfs/dbraw/zinc/93/21/73/1073932173.db2.gz JVYKKQKLMHZTCX-YCRPNKLZSA-N 0 0 447.540 -0.029 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCOCCCO)CC1 ZINC001303322030 1073932189 /nfs/dbraw/zinc/93/21/89/1073932189.db2.gz LGWSAWBIFNRKJH-INIZCTEOSA-N 0 0 438.529 -0.646 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCOCCCO)CC1 ZINC001303322036 1073932182 /nfs/dbraw/zinc/93/21/82/1073932182.db2.gz LGWSAWBIFNRKJH-MRXNPFEDSA-N 0 0 438.529 -0.646 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCOCCCO)CC1 ZINC001303322129 1073932150 /nfs/dbraw/zinc/93/21/50/1073932150.db2.gz MVZMMLUQSBYBDY-UHFFFAOYSA-N 0 0 448.528 -0.749 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(O)CCC2)CC1 ZINC001303322660 1073932223 /nfs/dbraw/zinc/93/22/23/1073932223.db2.gz SFHWPYTVLUUCKX-AWEZNQCLSA-N 0 0 433.513 -0.683 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(O)CCC2)CC1 ZINC001303322692 1073932154 /nfs/dbraw/zinc/93/21/54/1073932154.db2.gz SFHWPYTVLUUCKX-CQSZACIVSA-N 0 0 433.513 -0.683 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCOC2)CC1 ZINC001303322732 1073932228 /nfs/dbraw/zinc/93/22/28/1073932228.db2.gz STSBZOFUMOOYQD-CABCVRRESA-N 0 0 433.513 -0.561 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCOC2)CC1 ZINC001303322735 1073932140 /nfs/dbraw/zinc/93/21/40/1073932140.db2.gz STSBZOFUMOOYQD-GJZGRUSLSA-N 0 0 433.513 -0.561 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCOC2)CC1 ZINC001303322737 1073932130 /nfs/dbraw/zinc/93/21/30/1073932130.db2.gz STSBZOFUMOOYQD-HUUCEWRRSA-N 0 0 433.513 -0.561 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCOC2)CC1 ZINC001303322738 1073932193 /nfs/dbraw/zinc/93/21/93/1073932193.db2.gz STSBZOFUMOOYQD-LSDHHAIUSA-N 0 0 433.513 -0.561 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCCCCO)CC1 ZINC001303322905 1073932198 /nfs/dbraw/zinc/93/21/98/1073932198.db2.gz XCCZDMBRYUPEGB-INIZCTEOSA-N 0 0 449.556 -0.045 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCCCCO)CC1 ZINC001303322906 1073932570 /nfs/dbraw/zinc/93/25/70/1073932570.db2.gz XCCZDMBRYUPEGB-MRXNPFEDSA-N 0 0 449.556 -0.045 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(CC3CC3)n2CC(=O)NCC(F)F)CC1 ZINC001303323691 1073932688 /nfs/dbraw/zinc/93/26/88/1073932688.db2.gz HKHIYWPDZPEDKC-UHFFFAOYSA-N 0 0 441.483 -0.215 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCCO3)n2CCCn2ccnn2)CC1 ZINC001303323695 1073932644 /nfs/dbraw/zinc/93/26/44/1073932644.db2.gz HQIFZHUAYYKTNV-MRXNPFEDSA-N 0 0 445.528 -0.015 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCc1ccco1 ZINC001303328696 1073932633 /nfs/dbraw/zinc/93/26/33/1073932633.db2.gz PFUJGFUNDYSAJA-CYBMUJFWSA-N 0 0 438.510 -0.051 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CC(=O)NCc1ccco1 ZINC001303328697 1073932721 /nfs/dbraw/zinc/93/27/21/1073932721.db2.gz PFUJGFUNDYSAJA-ZDUSSCGKSA-N 0 0 438.510 -0.051 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)C2CCN(S(C)(=O)=O)CC2)n1C[C@@H]1COCCO1 ZINC001303329008 1073932744 /nfs/dbraw/zinc/93/27/44/1073932744.db2.gz UUORPZKLSXJJJZ-OAHLLOKOSA-N 0 0 444.558 -0.308 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001303329279 1073932625 /nfs/dbraw/zinc/93/26/25/1073932625.db2.gz ZLYPQACURWHCHO-GFCCVEGCSA-N 0 0 433.556 -0.383 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001303329280 1073932696 /nfs/dbraw/zinc/93/26/96/1073932696.db2.gz ZLYPQACURWHCHO-LBPRGKRZSA-N 0 0 433.556 -0.383 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCC(=O)N1CCOCC1 ZINC001303329376 1073932548 /nfs/dbraw/zinc/93/25/48/1073932548.db2.gz APLHGVUZESFXMS-AWEZNQCLSA-N 0 0 442.542 -0.712 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCC(=O)N1CCOCC1 ZINC001303329381 1073932726 /nfs/dbraw/zinc/93/27/26/1073932726.db2.gz APLHGVUZESFXMS-CQSZACIVSA-N 0 0 442.542 -0.712 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCNC(=O)C(C)(C)C ZINC001303330213 1073932737 /nfs/dbraw/zinc/93/27/37/1073932737.db2.gz LRRJHKNOFKZGOJ-UHFFFAOYSA-N 0 0 437.545 -0.126 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CC(=O)NCc1ccco1 ZINC001303330244 1073932582 /nfs/dbraw/zinc/93/25/82/1073932582.db2.gz MJPFTNGUPREQAM-UHFFFAOYSA-N 0 0 447.496 -0.379 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCN1CCCS1(=O)=O ZINC001303330275 1073932754 /nfs/dbraw/zinc/93/27/54/1073932754.db2.gz NHFMGASDGBNUFY-CYBMUJFWSA-N 0 0 448.571 -0.925 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCN1CCCS1(=O)=O ZINC001303330276 1073932792 /nfs/dbraw/zinc/93/27/92/1073932792.db2.gz NHFMGASDGBNUFY-ZDUSSCGKSA-N 0 0 448.571 -0.925 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1CCS(=O)(=O)N(C)C ZINC001303330834 1073932613 /nfs/dbraw/zinc/93/26/13/1073932613.db2.gz TWXHXQPUHISYFH-UHFFFAOYSA-N 0 0 431.563 -0.341 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC12COC2 ZINC001303334727 1073932708 /nfs/dbraw/zinc/93/27/08/1073932708.db2.gz JOLAXXWVISQIHI-INIZCTEOSA-N 0 0 426.491 -0.049 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC12COC2 ZINC001303334728 1073932762 /nfs/dbraw/zinc/93/27/62/1073932762.db2.gz JOLAXXWVISQIHI-MRXNPFEDSA-N 0 0 426.491 -0.049 20 0 IBADRN CC[C@H]1COCCN1CCNC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001303339915 1073932656 /nfs/dbraw/zinc/93/26/56/1073932656.db2.gz ZHOPRRCAGSIDFU-AWEZNQCLSA-N 0 0 432.568 -0.111 20 0 IBADRN CC[C@@H]1COCCN1CCNC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001303339916 1073932772 /nfs/dbraw/zinc/93/27/72/1073932772.db2.gz ZHOPRRCAGSIDFU-CQSZACIVSA-N 0 0 432.568 -0.111 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001303341375 1073932600 /nfs/dbraw/zinc/93/26/00/1073932600.db2.gz BIXIXHXBMLPBPZ-DZKIICNBSA-N 0 0 425.482 -0.379 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001303341376 1073933150 /nfs/dbraw/zinc/93/31/50/1073933150.db2.gz BIXIXHXBMLPBPZ-FMKPAKJESA-N 0 0 425.482 -0.379 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001303341377 1073933196 /nfs/dbraw/zinc/93/31/96/1073933196.db2.gz BIXIXHXBMLPBPZ-LZWOXQAQSA-N 0 0 425.482 -0.379 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001303341378 1073933069 /nfs/dbraw/zinc/93/30/69/1073933069.db2.gz BIXIXHXBMLPBPZ-YCPHGPKFSA-N 0 0 425.482 -0.379 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC[C@H]4CS(=O)(=O)C[C@@H]4C3)cc2S1(=O)=O ZINC001303341388 1073933102 /nfs/dbraw/zinc/93/31/02/1073933102.db2.gz BQKKRAIZWCBVGX-KBPBESRZSA-N 0 0 442.515 -0.016 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC[C@@H]4CS(=O)(=O)C[C@@H]4C3)cc2S1(=O)=O ZINC001303341389 1073933253 /nfs/dbraw/zinc/93/32/53/1073933253.db2.gz BQKKRAIZWCBVGX-KGLIPLIRSA-N 0 0 442.515 -0.016 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC[C@H]4CS(=O)(=O)C[C@H]4C3)cc2S1(=O)=O ZINC001303341390 1073933229 /nfs/dbraw/zinc/93/32/29/1073933229.db2.gz BQKKRAIZWCBVGX-UONOGXRCSA-N 0 0 442.515 -0.016 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC[C@@H]4CS(=O)(=O)C[C@H]4C3)cc2S1(=O)=O ZINC001303341391 1073933079 /nfs/dbraw/zinc/93/30/79/1073933079.db2.gz BQKKRAIZWCBVGX-ZIAGYGMSSA-N 0 0 442.515 -0.016 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1CC(C)(C)N1CCOCC1 ZINC001303341755 1073933085 /nfs/dbraw/zinc/93/30/85/1073933085.db2.gz DVGDWTLELRVYRH-UHFFFAOYSA-N 0 0 445.590 -0.488 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC(=O)NCc1ccco1 ZINC001303342183 1073933243 /nfs/dbraw/zinc/93/32/43/1073933243.db2.gz MLMLPHLWGNAAAC-UHFFFAOYSA-N 0 0 426.499 -0.194 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@H]1CCc2nccn2C1 ZINC001303342229 1073933181 /nfs/dbraw/zinc/93/31/81/1073933181.db2.gz NWYCNRBMRCBZMD-AWEZNQCLSA-N 0 0 438.558 -0.139 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@H]1CCc2nccn2C1 ZINC001303342230 1073933116 /nfs/dbraw/zinc/93/31/16/1073933116.db2.gz NWYCNRBMRCBZMD-CQSZACIVSA-N 0 0 438.558 -0.139 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H]3CS(=O)(=O)C[C@@H]3C2)c1 ZINC001303342305 1073933202 /nfs/dbraw/zinc/93/32/02/1073933202.db2.gz NQBDHBGJOUNYME-CABCVRRESA-N 0 0 443.547 -0.440 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H]3CS(=O)(=O)C[C@@H]3C2)c1 ZINC001303342306 1073933059 /nfs/dbraw/zinc/93/30/59/1073933059.db2.gz NQBDHBGJOUNYME-GJZGRUSLSA-N 0 0 443.547 -0.440 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H]3CS(=O)(=O)C[C@H]3C2)c1 ZINC001303342307 1073933219 /nfs/dbraw/zinc/93/32/19/1073933219.db2.gz NQBDHBGJOUNYME-HUUCEWRRSA-N 0 0 443.547 -0.440 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H]3CS(=O)(=O)C[C@H]3C2)c1 ZINC001303342308 1073933260 /nfs/dbraw/zinc/93/32/60/1073933260.db2.gz NQBDHBGJOUNYME-LSDHHAIUSA-N 0 0 443.547 -0.440 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1Cc1ncnn1C(C)(C)C ZINC001303342641 1073933156 /nfs/dbraw/zinc/93/31/56/1073933156.db2.gz VTGCNRFXPZVTJS-UHFFFAOYSA-N 0 0 441.562 -0.204 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNC(=O)C(C)C)n1Cc1cn(C2COC2)nn1 ZINC001303342648 1073933171 /nfs/dbraw/zinc/93/31/71/1073933171.db2.gz WAKKBJYPRDGYCY-UHFFFAOYSA-N 0 0 433.517 -0.164 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001303345242 1073933137 /nfs/dbraw/zinc/93/31/37/1073933137.db2.gz VOJRWKZETKHEBY-HUBLWGQQSA-N 0 0 436.939 -0.128 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001303345259 1073933073 /nfs/dbraw/zinc/93/30/73/1073933073.db2.gz VOJRWKZETKHEBY-JMSVASOKSA-N 0 0 436.939 -0.128 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001303345261 1073933044 /nfs/dbraw/zinc/93/30/44/1073933044.db2.gz VOJRWKZETKHEBY-XUJVJEKNSA-N 0 0 436.939 -0.128 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001303345263 1073933906 /nfs/dbraw/zinc/93/39/06/1073933906.db2.gz VOJRWKZETKHEBY-ZOWXZIJZSA-N 0 0 436.939 -0.128 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CC1(CS(C)(=O)=O)CC1 ZINC001303346618 1073933866 /nfs/dbraw/zinc/93/38/66/1073933866.db2.gz DZIZEXXXOLVHDH-CYBMUJFWSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CC1(CS(C)(=O)=O)CC1 ZINC001303346619 1073933841 /nfs/dbraw/zinc/93/38/41/1073933841.db2.gz DZIZEXXXOLVHDH-ZDUSSCGKSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001303346924 1073933788 /nfs/dbraw/zinc/93/37/88/1073933788.db2.gz KDTGWIPXCGIKDM-KBPBESRZSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001303346925 1073933804 /nfs/dbraw/zinc/93/38/04/1073933804.db2.gz KDTGWIPXCGIKDM-KGLIPLIRSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001303346926 1073933930 /nfs/dbraw/zinc/93/39/30/1073933930.db2.gz KDTGWIPXCGIKDM-UONOGXRCSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001303346927 1073933798 /nfs/dbraw/zinc/93/37/98/1073933798.db2.gz KDTGWIPXCGIKDM-ZIAGYGMSSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCN1CCCS1(=O)=O ZINC001303347095 1073933883 /nfs/dbraw/zinc/93/38/83/1073933883.db2.gz LMWNVJNEEPBGHS-CYBMUJFWSA-N 0 0 427.531 -0.881 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCN1CCCS1(=O)=O ZINC001303347096 1073933767 /nfs/dbraw/zinc/93/37/67/1073933767.db2.gz LMWNVJNEEPBGHS-ZDUSSCGKSA-N 0 0 427.531 -0.881 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCS(=O)(=O)NC(C)C ZINC001303347111 1073933897 /nfs/dbraw/zinc/93/38/97/1073933897.db2.gz LWGDSXOPTBECQI-CYBMUJFWSA-N 0 0 429.547 -0.589 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCS(=O)(=O)NC(C)C ZINC001303347112 1073933947 /nfs/dbraw/zinc/93/39/47/1073933947.db2.gz LWGDSXOPTBECQI-ZDUSSCGKSA-N 0 0 429.547 -0.589 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@H](CNS(C)(=O)=O)C2)c1 ZINC001303351699 1073933817 /nfs/dbraw/zinc/93/38/17/1073933817.db2.gz ASFYTYCCMHBYNO-CYBMUJFWSA-N 0 0 446.551 -0.936 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC001303351700 1073933952 /nfs/dbraw/zinc/93/39/52/1073933952.db2.gz ASFYTYCCMHBYNO-ZDUSSCGKSA-N 0 0 446.551 -0.936 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CC[C@H](CNS(C)(=O)=O)C1 ZINC001303351744 1073933917 /nfs/dbraw/zinc/93/39/17/1073933917.db2.gz CPAQRJKKWZWHBR-DMDPSCGWSA-N 0 0 425.554 -0.827 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001303351745 1073933747 /nfs/dbraw/zinc/93/37/47/1073933747.db2.gz CPAQRJKKWZWHBR-GMXVVIOVSA-N 0 0 425.554 -0.827 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001303351746 1073933875 /nfs/dbraw/zinc/93/38/75/1073933875.db2.gz CPAQRJKKWZWHBR-GVXVVHGQSA-N 0 0 425.554 -0.827 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CC[C@H](CNS(C)(=O)=O)C1 ZINC001303351747 1073933833 /nfs/dbraw/zinc/93/38/33/1073933833.db2.gz CPAQRJKKWZWHBR-LOWVWBTDSA-N 0 0 425.554 -0.827 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN([S@@](C)(=N)=O)CC2)c1 ZINC001303352050 1073933857 /nfs/dbraw/zinc/93/38/57/1073933857.db2.gz BVHBDLAPNYNDLT-HHHXNRCGSA-N 0 0 431.540 -0.598 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN([S@](C)(=N)=O)CC2)c1 ZINC001303352051 1073933924 /nfs/dbraw/zinc/93/39/24/1073933924.db2.gz BVHBDLAPNYNDLT-MHZLTWQESA-N 0 0 431.540 -0.598 20 0 IBADRN C[S@](=N)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001303353242 1073933935 /nfs/dbraw/zinc/93/39/35/1073933935.db2.gz MGGJUKYEZOMCOE-HHHXNRCGSA-N 0 0 431.540 -0.480 20 0 IBADRN C[S@@](=N)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001303353246 1073934338 /nfs/dbraw/zinc/93/43/38/1073934338.db2.gz MGGJUKYEZOMCOE-MHZLTWQESA-N 0 0 431.540 -0.480 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CCN([S@@](C)(=N)=O)CC1 ZINC001303354282 1073934342 /nfs/dbraw/zinc/93/43/42/1073934342.db2.gz QEJXXSVHBNLSGG-AREMUKBSSA-N 0 0 437.565 -0.146 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CCN([S@](C)(=N)=O)CC1 ZINC001303354283 1073934381 /nfs/dbraw/zinc/93/43/81/1073934381.db2.gz QEJXXSVHBNLSGG-SANMLTNESA-N 0 0 437.565 -0.146 20 0 IBADRN C[S@](=N)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001303355336 1073934394 /nfs/dbraw/zinc/93/43/94/1073934394.db2.gz VQCTUBLTQYFEDX-HHHXNRCGSA-N 0 0 432.524 -0.138 20 0 IBADRN C[S@@](=N)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001303355337 1073934452 /nfs/dbraw/zinc/93/44/52/1073934452.db2.gz VQCTUBLTQYFEDX-MHZLTWQESA-N 0 0 432.524 -0.138 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CC1CCS(=O)(=O)CC1 ZINC001303355609 1073934385 /nfs/dbraw/zinc/93/43/85/1073934385.db2.gz VPPVHTQDVCACRW-CABCVRRESA-N 0 0 438.554 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CC1CCS(=O)(=O)CC1 ZINC001303355613 1073934441 /nfs/dbraw/zinc/93/44/41/1073934441.db2.gz VPPVHTQDVCACRW-GJZGRUSLSA-N 0 0 438.554 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CC1CCS(=O)(=O)CC1 ZINC001303355616 1073934350 /nfs/dbraw/zinc/93/43/50/1073934350.db2.gz VPPVHTQDVCACRW-HUUCEWRRSA-N 0 0 438.554 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CC1CCS(=O)(=O)CC1 ZINC001303355618 1073934309 /nfs/dbraw/zinc/93/43/09/1073934309.db2.gz VPPVHTQDVCACRW-LSDHHAIUSA-N 0 0 438.554 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](C(=O)NCC(F)(F)F)C2)n1Cc1nnn(C)n1 ZINC001303356248 1073934408 /nfs/dbraw/zinc/93/44/08/1073934408.db2.gz XHKPHEUSTIYTBH-JTQLQIEISA-N 0 0 444.422 -0.507 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](C(=O)NCC(F)(F)F)C2)n1Cc1nnn(C)n1 ZINC001303356249 1073934445 /nfs/dbraw/zinc/93/44/45/1073934445.db2.gz XHKPHEUSTIYTBH-SNVBAGLBSA-N 0 0 444.422 -0.507 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC001303357473 1073934402 /nfs/dbraw/zinc/93/44/02/1073934402.db2.gz ZJISBITZKYADOM-DZKIICNBSA-N 0 0 433.552 -0.580 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC[C@H](CNS(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC001303357474 1073934389 /nfs/dbraw/zinc/93/43/89/1073934389.db2.gz ZJISBITZKYADOM-FMKPAKJESA-N 0 0 433.552 -0.580 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC[C@H](CNS(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC001303357475 1073934416 /nfs/dbraw/zinc/93/44/16/1073934416.db2.gz ZJISBITZKYADOM-LZWOXQAQSA-N 0 0 433.552 -0.580 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC001303357476 1073934479 /nfs/dbraw/zinc/93/44/79/1073934479.db2.gz ZJISBITZKYADOM-YCPHGPKFSA-N 0 0 433.552 -0.580 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(CCC(N)=O)CC2)n1CC(=O)N1CCOCC1 ZINC001303366213 1073934331 /nfs/dbraw/zinc/93/43/31/1073934331.db2.gz KMJBJNFYUPSDLF-UHFFFAOYSA-N 0 0 435.529 -0.243 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](N3CCCC3=O)C2)n1CCS(=O)(=O)N(C)C ZINC001303369459 1073935129 /nfs/dbraw/zinc/93/51/29/1073935129.db2.gz QDQFSSNWYLCNFV-AWEZNQCLSA-N 0 0 441.558 -0.491 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](N3CCCC3=O)C2)n1CCS(=O)(=O)N(C)C ZINC001303369460 1073935078 /nfs/dbraw/zinc/93/50/78/1073935078.db2.gz QDQFSSNWYLCNFV-CQSZACIVSA-N 0 0 441.558 -0.491 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NC(=O)C3CC3)CC2)n1CCS(C)(=O)=O ZINC001303372061 1073935177 /nfs/dbraw/zinc/93/51/77/1073935177.db2.gz FMZHIVCJSDRMPM-UHFFFAOYSA-N 0 0 426.543 -0.044 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(OCC(N)=O)CC2)n1CCN1CCSCC1 ZINC001303372332 1073934844 /nfs/dbraw/zinc/93/48/44/1073934844.db2.gz CVJIWYAXNFUZBD-UHFFFAOYSA-N 0 0 439.586 -0.064 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NC(=O)C3CC3)CC2)n1CCCn1cnnn1 ZINC001303372431 1073935197 /nfs/dbraw/zinc/93/51/97/1073935197.db2.gz KRXHDOZMWGPPPC-UHFFFAOYSA-N 0 0 430.517 -0.012 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001303372648 1073934926 /nfs/dbraw/zinc/93/49/26/1073934926.db2.gz AOXQDVRUPIBAKE-ACJLOTCBSA-N 0 0 444.558 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@]1(O)CCO[C@@H]1C ZINC001303372649 1073935191 /nfs/dbraw/zinc/93/51/91/1073935191.db2.gz AOXQDVRUPIBAKE-FZKQIMNGSA-N 0 0 444.558 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@]1(O)CCO[C@H]1C ZINC001303372650 1073935024 /nfs/dbraw/zinc/93/50/24/1073935024.db2.gz AOXQDVRUPIBAKE-SCLBCKFNSA-N 0 0 444.558 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@@]1(O)CCO[C@H]1C ZINC001303372651 1073935183 /nfs/dbraw/zinc/93/51/83/1073935183.db2.gz AOXQDVRUPIBAKE-UGSOOPFHSA-N 0 0 444.558 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCCNC3=O)CC2)n1Cc1cnnn1C ZINC001303372894 1073934899 /nfs/dbraw/zinc/93/48/99/1073934899.db2.gz PVVLLBMMBGWVTJ-UHFFFAOYSA-N 0 0 430.517 -0.023 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CC[C@H]1COCCO1 ZINC001303373258 1073934887 /nfs/dbraw/zinc/93/48/87/1073934887.db2.gz IBWOMCYMRQNQEA-HNNXBMFYSA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CC[C@@H]1COCCO1 ZINC001303373259 1073935167 /nfs/dbraw/zinc/93/51/67/1073935167.db2.gz IBWOMCYMRQNQEA-OAHLLOKOSA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NC(=O)C3CC3)CC2)n1CCNS(C)(=O)=O ZINC001303373470 1073935009 /nfs/dbraw/zinc/93/50/09/1073935009.db2.gz VUXKIEFUTFELEY-UHFFFAOYSA-N 0 0 441.558 -0.540 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001303373603 1073934870 /nfs/dbraw/zinc/93/48/70/1073934870.db2.gz ROIOXRCBRHFKCH-CHWSQXEVSA-N 0 0 433.556 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001303373605 1073934915 /nfs/dbraw/zinc/93/49/15/1073934915.db2.gz ROIOXRCBRHFKCH-OLZOCXBDSA-N 0 0 433.556 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001303373607 1073935070 /nfs/dbraw/zinc/93/50/70/1073935070.db2.gz ROIOXRCBRHFKCH-QWHCGFSZSA-N 0 0 433.556 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001303373609 1073935615 /nfs/dbraw/zinc/93/56/15/1073935615.db2.gz ROIOXRCBRHFKCH-STQMWFEESA-N 0 0 433.556 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001303373827 1073935580 /nfs/dbraw/zinc/93/55/80/1073935580.db2.gz UQSSCSXENVFWMS-CHWSQXEVSA-N 0 0 426.543 -0.046 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001303373828 1073935703 /nfs/dbraw/zinc/93/57/03/1073935703.db2.gz UQSSCSXENVFWMS-OLZOCXBDSA-N 0 0 426.543 -0.046 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001303373829 1073935642 /nfs/dbraw/zinc/93/56/42/1073935642.db2.gz UQSSCSXENVFWMS-QWHCGFSZSA-N 0 0 426.543 -0.046 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001303373830 1073935573 /nfs/dbraw/zinc/93/55/73/1073935573.db2.gz UQSSCSXENVFWMS-STQMWFEESA-N 0 0 426.543 -0.046 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1Cc1nncn1C ZINC001303373882 1073935565 /nfs/dbraw/zinc/93/55/65/1073935565.db2.gz NHMKMWLWCMWTFO-UHFFFAOYSA-N 0 0 425.519 -0.889 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1CC1(N2CCOCC2)CC1 ZINC001303374020 1073935544 /nfs/dbraw/zinc/93/55/44/1073935544.db2.gz WSXRGNIEOCWLCY-HNNXBMFYSA-N 0 0 440.570 -0.096 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1CC1(N2CCOCC2)CC1 ZINC001303374021 1073935708 /nfs/dbraw/zinc/93/57/08/1073935708.db2.gz WSXRGNIEOCWLCY-OAHLLOKOSA-N 0 0 440.570 -0.096 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1CCS(=O)(=O)CC1CC1 ZINC001303374117 1073935632 /nfs/dbraw/zinc/93/56/32/1073935632.db2.gz YZLAIMDUCQUGBV-AWEZNQCLSA-N 0 0 447.583 -0.136 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1CCS(=O)(=O)CC1CC1 ZINC001303374118 1073935663 /nfs/dbraw/zinc/93/56/63/1073935663.db2.gz YZLAIMDUCQUGBV-CQSZACIVSA-N 0 0 447.583 -0.136 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC3(CCN(C)C3=O)CC2)n1CCNS(C)(=O)=O ZINC001303377319 1073935553 /nfs/dbraw/zinc/93/55/53/1073935553.db2.gz LLIJCLOSWIXQPC-UHFFFAOYSA-N 0 0 441.558 -0.586 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CCC(=O)N1CCOCC1 ZINC001303378257 1073935687 /nfs/dbraw/zinc/93/56/87/1073935687.db2.gz PVFZKUZKJPCXNM-FQEVSTJZSA-N 0 0 433.513 -0.476 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CCC(=O)N1CCOCC1 ZINC001303378259 1073935528 /nfs/dbraw/zinc/93/55/28/1073935528.db2.gz PVFZKUZKJPCXNM-HXUWFJFHSA-N 0 0 433.513 -0.476 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC1(S(C)(=O)=O)CC1 ZINC001303378596 1073935695 /nfs/dbraw/zinc/93/56/95/1073935695.db2.gz NHTMLAXYHRQEHS-UHFFFAOYSA-N 0 0 449.537 -0.350 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N[C@H]3CCC[C@H](O)C3)C2)cn1 ZINC001303385384 1073935671 /nfs/dbraw/zinc/93/56/71/1073935671.db2.gz UXZAQRMHIGJUHF-ILXRZTDVSA-N 0 0 427.527 -0.643 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N[C@@H]3CCC[C@H](O)C3)C2)cn1 ZINC001303385385 1073936102 /nfs/dbraw/zinc/93/61/02/1073936102.db2.gz UXZAQRMHIGJUHF-KFWWJZLASA-N 0 0 427.527 -0.643 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N[C@H]3CCC[C@@H](O)C3)C2)cn1 ZINC001303385386 1073936155 /nfs/dbraw/zinc/93/61/55/1073936155.db2.gz UXZAQRMHIGJUHF-QLFBSQMISA-N 0 0 427.527 -0.643 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N[C@@H]3CCC[C@@H](O)C3)C2)cn1 ZINC001303385387 1073936059 /nfs/dbraw/zinc/93/60/59/1073936059.db2.gz UXZAQRMHIGJUHF-RBSFLKMASA-N 0 0 427.527 -0.643 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@@H](C(=O)N[C@@H]3CCC[C@@H](O)C3)C2)CC1 ZINC001303386441 1073936194 /nfs/dbraw/zinc/93/61/94/1073936194.db2.gz ZQDAMKGRRVRFOK-BRWVUGGUSA-N 0 0 430.571 -0.778 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@@H](C(=O)N[C@H]3CCC[C@H](O)C3)C2)CC1 ZINC001303386442 1073936067 /nfs/dbraw/zinc/93/60/67/1073936067.db2.gz ZQDAMKGRRVRFOK-IKGGRYGDSA-N 0 0 430.571 -0.778 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@@H](C(=O)N[C@H]3CCC[C@@H](O)C3)C2)CC1 ZINC001303386443 1073936108 /nfs/dbraw/zinc/93/61/08/1073936108.db2.gz ZQDAMKGRRVRFOK-IXDOHACOSA-N 0 0 430.571 -0.778 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCC[C@@H](C(=O)N[C@@H]3CCC[C@H](O)C3)C2)CC1 ZINC001303386444 1073936169 /nfs/dbraw/zinc/93/61/69/1073936169.db2.gz ZQDAMKGRRVRFOK-ZACQAIPSSA-N 0 0 430.571 -0.778 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](n3cncn3)C2)n1CCNS(C)(=O)=O ZINC001303387419 1073936045 /nfs/dbraw/zinc/93/60/45/1073936045.db2.gz DBFPWCALZMCUIU-CYBMUJFWSA-N 0 0 425.519 -0.602 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](n3cncn3)C2)n1CCNS(C)(=O)=O ZINC001303387421 1073936123 /nfs/dbraw/zinc/93/61/23/1073936123.db2.gz DBFPWCALZMCUIU-ZDUSSCGKSA-N 0 0 425.519 -0.602 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCO[C@H]1C ZINC001303388209 1073936187 /nfs/dbraw/zinc/93/61/87/1073936187.db2.gz GNAMRCRIKSZVCI-DEYYWGMASA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001303388210 1073936161 /nfs/dbraw/zinc/93/61/61/1073936161.db2.gz GNAMRCRIKSZVCI-GLJUWKHASA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCO[C@@H]1C ZINC001303388211 1073936145 /nfs/dbraw/zinc/93/61/45/1073936145.db2.gz GNAMRCRIKSZVCI-QWQRMKEZSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCO[C@H]1C ZINC001303388212 1073936135 /nfs/dbraw/zinc/93/61/35/1073936135.db2.gz GNAMRCRIKSZVCI-SUNYJGFJSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(C(=O)C3CC3)CC2)n1CCS(C)(=O)=O ZINC001303396739 1073936092 /nfs/dbraw/zinc/93/60/92/1073936092.db2.gz RIJSFEBVIWSFKR-UHFFFAOYSA-N 0 0 426.543 -0.090 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)c3ccccn3)CC2)n1C[C@@]1(O)CCOC1 ZINC001303397089 1073936148 /nfs/dbraw/zinc/93/61/48/1073936148.db2.gz AKXJMCBMIFHXKX-NRFANRHFSA-N 0 0 443.508 -0.075 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)c3ccccn3)CC2)n1C[C@]1(O)CCOC1 ZINC001303397090 1073936129 /nfs/dbraw/zinc/93/61/29/1073936129.db2.gz AKXJMCBMIFHXKX-OAQYLSRUSA-N 0 0 443.508 -0.075 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1Cc1nncn1C ZINC001303397507 1073936181 /nfs/dbraw/zinc/93/61/81/1073936181.db2.gz KSNNHBOVGWJBOF-UHFFFAOYSA-N 0 0 425.519 -0.935 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@H]1CCCOC1 ZINC001303397566 1073936175 /nfs/dbraw/zinc/93/61/75/1073936175.db2.gz LILBDXFAEKAJHV-HNNXBMFYSA-N 0 0 447.540 -0.230 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@H]1CCCOC1 ZINC001303397567 1073936077 /nfs/dbraw/zinc/93/60/77/1073936077.db2.gz LILBDXFAEKAJHV-OAHLLOKOSA-N 0 0 447.540 -0.230 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC(F)(F)F ZINC001303397705 1073936117 /nfs/dbraw/zinc/93/61/17/1073936117.db2.gz MXJIQNYJHFUWDU-UHFFFAOYSA-N 0 0 431.419 -0.094 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CC1CC1 ZINC001303397759 1073936880 /nfs/dbraw/zinc/93/68/80/1073936880.db2.gz OKNXZUDWRPSBBM-UHFFFAOYSA-N 0 0 433.513 -0.666 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1C[C@]1(C)COCCO1 ZINC001303397799 1073936886 /nfs/dbraw/zinc/93/68/86/1073936886.db2.gz LKGCGOPNQMUGDW-GOSISDBHSA-N 0 0 444.558 -0.307 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1C[C@@]1(C)COCCO1 ZINC001303397800 1073936859 /nfs/dbraw/zinc/93/68/59/1073936859.db2.gz LKGCGOPNQMUGDW-SFHVURJKSA-N 0 0 444.558 -0.307 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1C[C@H]1COCCO1 ZINC001303397808 1073936733 /nfs/dbraw/zinc/93/67/33/1073936733.db2.gz LPBPADTYVMHURI-AWEZNQCLSA-N 0 0 430.531 -0.697 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CCNC(=O)C(C)C ZINC001303398219 1073936834 /nfs/dbraw/zinc/93/68/34/1073936834.db2.gz OWFFRWNYWMBTHE-UHFFFAOYSA-N 0 0 443.574 -0.340 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1Cc1nncn1C ZINC001303399750 1073936802 /nfs/dbraw/zinc/93/68/02/1073936802.db2.gz AZPCYTPKWIGECN-AWEZNQCLSA-N 0 0 431.501 -0.579 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1Cc1nncn1C ZINC001303399760 1073936790 /nfs/dbraw/zinc/93/67/90/1073936790.db2.gz AZPCYTPKWIGECN-CQSZACIVSA-N 0 0 431.501 -0.579 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1C[C@@H]1COCCO1 ZINC001303400319 1073936865 /nfs/dbraw/zinc/93/68/65/1073936865.db2.gz SXEZIHCLEDRJFN-CVEARBPZSA-N 0 0 436.513 -0.341 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1C[C@@H]1COCCO1 ZINC001303400320 1073936795 /nfs/dbraw/zinc/93/67/95/1073936795.db2.gz SXEZIHCLEDRJFN-HZPDHXFCSA-N 0 0 436.513 -0.341 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CCn1cncn1 ZINC001303400448 1073937269 /nfs/dbraw/zinc/93/72/69/1073937269.db2.gz XPAZCCCYSFJGOB-UHFFFAOYSA-N 0 0 446.516 -0.718 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CC1(O)CCC1 ZINC001303400474 1073937224 /nfs/dbraw/zinc/93/72/24/1073937224.db2.gz YHYZXCOBIVBWEM-UHFFFAOYSA-N 0 0 449.556 -0.688 20 0 IBADRN CCN1CCN(CC(=O)NCc2cn(C3CN(C(=O)OC(C)(C)C)C3)nn2)C(=O)C1=O ZINC001303400571 1073937236 /nfs/dbraw/zinc/93/72/36/1073937236.db2.gz CDPGPTGADIGFTG-UHFFFAOYSA-N 0 0 435.485 -0.623 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCN1CCCS1(=O)=O ZINC001303402518 1073937275 /nfs/dbraw/zinc/93/72/75/1073937275.db2.gz MBARCVCIDOMQPT-CYBMUJFWSA-N 0 0 427.531 -0.881 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCN1CCCS1(=O)=O ZINC001303402519 1073937285 /nfs/dbraw/zinc/93/72/85/1073937285.db2.gz MBARCVCIDOMQPT-ZDUSSCGKSA-N 0 0 427.531 -0.881 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1CC(=O)N(C)C ZINC001303403020 1073937303 /nfs/dbraw/zinc/93/73/03/1073937303.db2.gz QRTZNZULZSTNGI-AWEZNQCLSA-N 0 0 434.545 -0.706 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1CC(=O)N(C)C ZINC001303403021 1073937339 /nfs/dbraw/zinc/93/73/39/1073937339.db2.gz QRTZNZULZSTNGI-CQSZACIVSA-N 0 0 434.545 -0.706 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CCc1cn[nH]c1 ZINC001303403951 1073937259 /nfs/dbraw/zinc/93/72/59/1073937259.db2.gz LFKIFPZNHBXBJX-UHFFFAOYSA-N 0 0 426.485 -0.031 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001303404051 1073937264 /nfs/dbraw/zinc/93/72/64/1073937264.db2.gz NGSYQJHPLNIKLH-FQEVSTJZSA-N 0 0 443.512 -0.519 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001303404052 1073937290 /nfs/dbraw/zinc/93/72/90/1073937290.db2.gz NGSYQJHPLNIKLH-HXUWFJFHSA-N 0 0 443.512 -0.519 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)CC2)n1CCCS(C)(=O)=O ZINC001303404369 1073937214 /nfs/dbraw/zinc/93/72/14/1073937214.db2.gz SSJFMLCNDVKJBJ-UHFFFAOYSA-N 0 0 438.558 -0.089 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C3CCC3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001303405138 1073937309 /nfs/dbraw/zinc/93/73/09/1073937309.db2.gz IYAJCLLKXJSJOZ-IBGZPJMESA-N 0 0 440.570 -0.360 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C3CCC3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001303405139 1073937242 /nfs/dbraw/zinc/93/72/42/1073937242.db2.gz IYAJCLLKXJSJOZ-LJQANCHMSA-N 0 0 440.570 -0.360 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CCOCCS(C)(=O)=O ZINC001303405311 1073937331 /nfs/dbraw/zinc/93/73/31/1073937331.db2.gz PASUYVHYUIAZHJ-UHFFFAOYSA-N 0 0 442.542 -0.712 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CC(=O)NCC(F)(F)F ZINC001303405314 1073937325 /nfs/dbraw/zinc/93/73/25/1073937325.db2.gz PCZCSKNGWNXDOQ-UHFFFAOYSA-N 0 0 431.419 -0.094 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CCC(=O)N1CCOCC1 ZINC001303405411 1073937294 /nfs/dbraw/zinc/93/72/94/1073937294.db2.gz SQSMHZGQKBYPSI-UHFFFAOYSA-N 0 0 433.513 -0.524 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1C[C@@]1(O)CCOC1 ZINC001303405628 1073937345 /nfs/dbraw/zinc/93/73/45/1073937345.db2.gz XGGFHEJRRSUACR-NRFANRHFSA-N 0 0 449.556 -0.688 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1C[C@]1(O)CCOC1 ZINC001303405629 1073937228 /nfs/dbraw/zinc/93/72/28/1073937228.db2.gz XGGFHEJRRSUACR-OAQYLSRUSA-N 0 0 449.556 -0.688 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1C[C@H]1COCCO1 ZINC001303407614 1073937825 /nfs/dbraw/zinc/93/78/25/1073937825.db2.gz ICANHWZKWZTKBI-KRWDZBQOSA-N 0 0 449.556 -0.424 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CC1(O)CCOCC1 ZINC001303408228 1073937859 /nfs/dbraw/zinc/93/78/59/1073937859.db2.gz PWKLZWBKYJJALU-UHFFFAOYSA-N 0 0 449.556 -0.642 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CCS(=O)(=O)CC1CC1 ZINC001303408656 1073937913 /nfs/dbraw/zinc/93/79/13/1073937913.db2.gz DWKWUHDWMYWRIH-AWEZNQCLSA-N 0 0 440.526 -0.118 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CCS(=O)(=O)CC1CC1 ZINC001303408657 1073937890 /nfs/dbraw/zinc/93/78/90/1073937890.db2.gz DWKWUHDWMYWRIH-CQSZACIVSA-N 0 0 440.526 -0.118 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCN1CCOCC1(C)C ZINC001303408686 1073937871 /nfs/dbraw/zinc/93/78/71/1073937871.db2.gz FSDCQWYNJWIMND-HNNXBMFYSA-N 0 0 434.545 -0.259 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCN1CCOCC1(C)C ZINC001303408687 1073937842 /nfs/dbraw/zinc/93/78/42/1073937842.db2.gz FSDCQWYNJWIMND-OAHLLOKOSA-N 0 0 434.545 -0.259 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccc(F)cn1 ZINC001303408744 1073937803 /nfs/dbraw/zinc/93/78/03/1073937803.db2.gz GPWWABKVYZRVBU-AWEZNQCLSA-N 0 0 430.444 -0.854 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccc(F)cn1 ZINC001303408745 1073937835 /nfs/dbraw/zinc/93/78/35/1073937835.db2.gz GPWWABKVYZRVBU-CQSZACIVSA-N 0 0 430.444 -0.854 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCOCC(F)F ZINC001303408791 1073937895 /nfs/dbraw/zinc/93/78/95/1073937895.db2.gz HNWLTGJMBGMFTA-GFCCVEGCSA-N 0 0 443.455 -0.765 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCOCC(F)F ZINC001303408792 1073937818 /nfs/dbraw/zinc/93/78/18/1073937818.db2.gz HNWLTGJMBGMFTA-LBPRGKRZSA-N 0 0 443.455 -0.765 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCCN1CCOCC1 ZINC001303408841 1073937785 /nfs/dbraw/zinc/93/77/85/1073937785.db2.gz IQJXAFLLRYTKTD-UHFFFAOYSA-N 0 0 443.574 -0.780 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCS(=O)(=O)N(C)C ZINC001303408895 1073937763 /nfs/dbraw/zinc/93/77/63/1073937763.db2.gz KBMOMJHWQOGQBC-CYBMUJFWSA-N 0 0 427.531 -0.881 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCS(=O)(=O)N(C)C ZINC001303408896 1073937908 /nfs/dbraw/zinc/93/79/08/1073937908.db2.gz KBMOMJHWQOGQBC-ZDUSSCGKSA-N 0 0 427.531 -0.881 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@@]1(O)CCOC1 ZINC001303408897 1073937776 /nfs/dbraw/zinc/93/77/76/1073937776.db2.gz KDIAWHBNBNCUKD-GUYCJALGSA-N 0 0 430.531 -0.962 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@]1(O)CCOC1 ZINC001303408898 1073937811 /nfs/dbraw/zinc/93/78/11/1073937811.db2.gz KDIAWHBNBNCUKD-SUMWQHHRSA-N 0 0 430.531 -0.962 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCNC(=O)C2)n1C[C@@H](N1CCOCC1)C(F)(F)F ZINC001303409010 1073937866 /nfs/dbraw/zinc/93/78/66/1073937866.db2.gz KKTQHDIIADSHDU-GFCCVEGCSA-N 0 0 433.435 -0.384 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCNC(=O)C2)n1C[C@H](N1CCOCC1)C(F)(F)F ZINC001303409011 1073937900 /nfs/dbraw/zinc/93/79/00/1073937900.db2.gz KKTQHDIIADSHDU-LBPRGKRZSA-N 0 0 433.435 -0.384 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC[C@H]1COCCO1 ZINC001303409166 1073937798 /nfs/dbraw/zinc/93/77/98/1073937798.db2.gz NBYXAAFYPCNQMH-GJZGRUSLSA-N 0 0 444.558 -0.308 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC[C@@H]1COCCO1 ZINC001303409167 1073937884 /nfs/dbraw/zinc/93/78/84/1073937884.db2.gz NBYXAAFYPCNQMH-LSDHHAIUSA-N 0 0 444.558 -0.308 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCSCCCO ZINC001303409315 1073937920 /nfs/dbraw/zinc/93/79/20/1073937920.db2.gz ODCHUZZUJMBPRL-UHFFFAOYSA-N 0 0 434.588 -0.387 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@@H]1COCCO1 ZINC001303409348 1073938284 /nfs/dbraw/zinc/93/82/84/1073938284.db2.gz OSHZALBINJWEED-UONOGXRCSA-N 0 0 430.531 -0.698 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1Cc1ccc(C(N)=O)cc1 ZINC001303409389 1073938352 /nfs/dbraw/zinc/93/83/52/1073938352.db2.gz PWUIGQCYJDEQJN-HNNXBMFYSA-N 0 0 426.481 -0.223 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1Cc1ccc(C(N)=O)cc1 ZINC001303409390 1073938302 /nfs/dbraw/zinc/93/83/02/1073938302.db2.gz PWUIGQCYJDEQJN-OAHLLOKOSA-N 0 0 426.481 -0.223 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCc1cccc(C(N)=O)c1 ZINC001303409602 1073938377 /nfs/dbraw/zinc/93/83/77/1073938377.db2.gz SWSLZWJVVNPJIL-INIZCTEOSA-N 0 0 440.508 -0.028 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCc1cccc(C(N)=O)c1 ZINC001303409603 1073938401 /nfs/dbraw/zinc/93/84/01/1073938401.db2.gz SWSLZWJVVNPJIL-MRXNPFEDSA-N 0 0 440.508 -0.028 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCS(=O)(=O)C(C)(C)C ZINC001303409607 1073938408 /nfs/dbraw/zinc/93/84/08/1073938408.db2.gz TVHMFLVYEPPZJW-CYBMUJFWSA-N 0 0 441.558 -0.157 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCS(=O)(=O)C(C)(C)C ZINC001303409608 1073938362 /nfs/dbraw/zinc/93/83/62/1073938362.db2.gz TVHMFLVYEPPZJW-ZDUSSCGKSA-N 0 0 441.558 -0.157 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CC1CCS(=O)(=O)CC1 ZINC001303409669 1073938357 /nfs/dbraw/zinc/93/83/57/1073938357.db2.gz VWCGVXGGBGKRKF-AWEZNQCLSA-N 0 0 439.542 -0.545 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CC1CCS(=O)(=O)CC1 ZINC001303409670 1073938347 /nfs/dbraw/zinc/93/83/47/1073938347.db2.gz VWCGVXGGBGKRKF-CQSZACIVSA-N 0 0 439.542 -0.545 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCc1cccc(F)c1 ZINC001303409874 1073938294 /nfs/dbraw/zinc/93/82/94/1073938294.db2.gz YFBPVPJKORQINX-INIZCTEOSA-N 0 0 443.483 -0.055 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCc1cccc(F)c1 ZINC001303409875 1073938344 /nfs/dbraw/zinc/93/83/44/1073938344.db2.gz YFBPVPJKORQINX-MRXNPFEDSA-N 0 0 443.483 -0.055 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC(=O)NCC(F)F ZINC001303410047 1073938364 /nfs/dbraw/zinc/93/83/64/1073938364.db2.gz ZSIPZALIJTVSKR-LLVKDONJSA-N 0 0 428.444 -0.646 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC(=O)NCC(F)F ZINC001303410048 1073938308 /nfs/dbraw/zinc/93/83/08/1073938308.db2.gz ZSIPZALIJTVSKR-NSHDSACASA-N 0 0 428.444 -0.646 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1CCNS(C)(=O)=O ZINC001303410928 1073938394 /nfs/dbraw/zinc/93/83/94/1073938394.db2.gz BSORVOSUSAYSFS-JTQLQIEISA-N 0 0 428.437 -0.267 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1CCNS(C)(=O)=O ZINC001303410929 1073938318 /nfs/dbraw/zinc/93/83/18/1073938318.db2.gz BSORVOSUSAYSFS-SNVBAGLBSA-N 0 0 428.437 -0.267 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCOCC3(CCC3)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001303415420 1073938972 /nfs/dbraw/zinc/93/89/72/1073938972.db2.gz AZYWIYMFNHYVLU-IBGZPJMESA-N 0 0 441.554 -0.027 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCOCC3(CCC3)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001303415421 1073939008 /nfs/dbraw/zinc/93/90/08/1073939008.db2.gz AZYWIYMFNHYVLU-LJQANCHMSA-N 0 0 441.554 -0.027 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001303416366 1073939013 /nfs/dbraw/zinc/93/90/13/1073939013.db2.gz NUXGEQXIQSGIIE-CYBMUJFWSA-N 0 0 433.556 -0.524 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001303416367 1073939068 /nfs/dbraw/zinc/93/90/68/1073939068.db2.gz NUXGEQXIQSGIIE-ZDUSSCGKSA-N 0 0 433.556 -0.524 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@H]([C@@H]3CCCO3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303416543 1073938960 /nfs/dbraw/zinc/93/89/60/1073938960.db2.gz PCPJMIJTBJMPPJ-KKUMJFAQSA-N 0 0 449.512 -0.211 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@H]([C@H]3CCCO3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303416544 1073939030 /nfs/dbraw/zinc/93/90/30/1073939030.db2.gz PCPJMIJTBJMPPJ-RRFJBIMHSA-N 0 0 449.512 -0.211 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@H]([C@@H]3CCCO3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303416545 1073939042 /nfs/dbraw/zinc/93/90/42/1073939042.db2.gz PCPJMIJTBJMPPJ-SOUVJXGZSA-N 0 0 449.512 -0.211 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@H]([C@H]3CCCO3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303416546 1073939072 /nfs/dbraw/zinc/93/90/72/1073939072.db2.gz PCPJMIJTBJMPPJ-ZNMIVQPWSA-N 0 0 449.512 -0.211 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@]3(CCO[C@H]3C)C2)n1CCS(=O)(=O)N(C)C ZINC001303416618 1073939061 /nfs/dbraw/zinc/93/90/61/1073939061.db2.gz UGENFZYGQQEYNI-KBXCAEBGSA-N 0 0 444.558 -0.308 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@]3(CCO[C@@H]3C)C2)n1CCS(=O)(=O)N(C)C ZINC001303416619 1073938951 /nfs/dbraw/zinc/93/89/51/1073938951.db2.gz UGENFZYGQQEYNI-KDOFPFPSSA-N 0 0 444.558 -0.308 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@]3(CCO[C@H]3C)C2)n1CCS(=O)(=O)N(C)C ZINC001303416620 1073939003 /nfs/dbraw/zinc/93/90/03/1073939003.db2.gz UGENFZYGQQEYNI-KSSFIOAISA-N 0 0 444.558 -0.308 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@]3(CCO[C@@H]3C)C2)n1CCS(=O)(=O)N(C)C ZINC001303416621 1073938966 /nfs/dbraw/zinc/93/89/66/1073938966.db2.gz UGENFZYGQQEYNI-RDTXWAMCSA-N 0 0 444.558 -0.308 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)c1ccc(F)c(S(N)(=O)=O)c1 ZINC001303418534 1073939021 /nfs/dbraw/zinc/93/90/21/1073939021.db2.gz ITTPWWQXXLOXTC-UHFFFAOYSA-N 0 0 436.465 -0.022 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)c1cc(S(N)(=O)=O)ccc1F ZINC001303418584 1073939047 /nfs/dbraw/zinc/93/90/47/1073939047.db2.gz KVMOHGJPMAVPJH-UHFFFAOYSA-N 0 0 436.465 -0.022 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001303419137 1073938981 /nfs/dbraw/zinc/93/89/81/1073938981.db2.gz AKNRQUXMRYDMSR-KFWWJZLASA-N 0 0 438.554 -0.187 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001303419138 1073939054 /nfs/dbraw/zinc/93/90/54/1073939054.db2.gz AKNRQUXMRYDMSR-ZNMIVQPWSA-N 0 0 438.554 -0.187 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1CCCNS(C)(=O)=O ZINC001303419188 1073938989 /nfs/dbraw/zinc/93/89/89/1073938989.db2.gz CZBJWSNZSPLKMM-KGLIPLIRSA-N 0 0 441.558 -0.682 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1CCS(=O)(=O)N(C)C ZINC001303419808 1073939035 /nfs/dbraw/zinc/93/90/35/1073939035.db2.gz SKJKQGKBAQKDID-KGLIPLIRSA-N 0 0 441.558 -0.730 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CCCS(C)(=O)=O ZINC001303420218 1073938944 /nfs/dbraw/zinc/93/89/44/1073938944.db2.gz XOMUCLYJYWKRQK-UONOGXRCSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)CS(C)(=O)=O)s1 ZINC001303422289 1073938996 /nfs/dbraw/zinc/93/89/96/1073938996.db2.gz XZWADNKQNZOYAI-UHFFFAOYSA-N 0 0 439.581 -0.151 20 0 IBADRN CC(C)NC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001303422972 1073939535 /nfs/dbraw/zinc/93/95/35/1073939535.db2.gz IJPDOTNWNJWHRC-AWEZNQCLSA-N 0 0 443.574 -0.199 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(CS(=O)(=O)N(C)C)cc1 ZINC001303425586 1073939479 /nfs/dbraw/zinc/93/94/79/1073939479.db2.gz XLAXEYGVMCNMGF-CYBMUJFWSA-N 0 0 443.551 -0.205 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1ccc(CS(=O)(=O)N(C)C)cc1 ZINC001303425588 1073939491 /nfs/dbraw/zinc/93/94/91/1073939491.db2.gz XLAXEYGVMCNMGF-ZDUSSCGKSA-N 0 0 443.551 -0.205 20 0 IBADRN CC(C)NC(=O)CCn1c(-c2cnccn2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001303426162 1073939467 /nfs/dbraw/zinc/93/94/67/1073939467.db2.gz HYMHRZQUBREZOY-UHFFFAOYSA-N 0 0 429.529 -0.140 20 0 IBADRN CC(C)NC(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001303426762 1073939510 /nfs/dbraw/zinc/93/95/10/1073939510.db2.gz ZWNYPMOACUVUJH-UHFFFAOYSA-N 0 0 443.556 -0.006 20 0 IBADRN CC(C)NC(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001303426839 1073939532 /nfs/dbraw/zinc/93/95/32/1073939532.db2.gz CDYVWZAEWXWUBD-HNNXBMFYSA-N 0 0 426.481 -0.598 20 0 IBADRN CC(C)NC(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001303426840 1073939522 /nfs/dbraw/zinc/93/95/22/1073939522.db2.gz CDYVWZAEWXWUBD-OAHLLOKOSA-N 0 0 426.481 -0.598 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@]1(O)CCSC1 ZINC001303432945 1073939463 /nfs/dbraw/zinc/93/94/63/1073939463.db2.gz AAPDUHHGIKVTEK-BLLLJJGKSA-N 0 0 434.588 -0.283 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@]1(O)CCSC1 ZINC001303432948 1073939543 /nfs/dbraw/zinc/93/95/43/1073939543.db2.gz AAPDUHHGIKVTEK-LRDDRELGSA-N 0 0 434.588 -0.283 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@]1(O)CCSC1 ZINC001303432949 1073939475 /nfs/dbraw/zinc/93/94/75/1073939475.db2.gz AAPDUHHGIKVTEK-MLGOLLRUSA-N 0 0 434.588 -0.283 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@]1(O)CCSC1 ZINC001303432950 1073939454 /nfs/dbraw/zinc/93/94/54/1073939454.db2.gz AAPDUHHGIKVTEK-WBMJQRKESA-N 0 0 434.588 -0.283 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)N(C)C ZINC001303433243 1073939505 /nfs/dbraw/zinc/93/95/05/1073939505.db2.gz CXYVEJBMUSXEQB-UHFFFAOYSA-N 0 0 429.547 -0.634 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@]1(C)CN(C)C1=O ZINC001303435350 1073939448 /nfs/dbraw/zinc/93/94/48/1073939448.db2.gz PLVOBLKWPQRFPX-PXAZEXFGSA-N 0 0 429.547 -0.673 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@]1(C)CN(C)C1=O ZINC001303435351 1073939493 /nfs/dbraw/zinc/93/94/93/1073939493.db2.gz PLVOBLKWPQRFPX-SJCJKPOMSA-N 0 0 429.547 -0.673 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@]1(C)CN(C)C1=O ZINC001303435352 1073939554 /nfs/dbraw/zinc/93/95/54/1073939554.db2.gz PLVOBLKWPQRFPX-SJKOYZFVSA-N 0 0 429.547 -0.673 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@]1(C)CN(C)C1=O ZINC001303435353 1073939518 /nfs/dbraw/zinc/93/95/18/1073939518.db2.gz PLVOBLKWPQRFPX-YVEFUNNKSA-N 0 0 429.547 -0.673 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(O)CC1 ZINC001303440403 1073939559 /nfs/dbraw/zinc/93/95/59/1073939559.db2.gz BRIZOYPHCMOKMY-CYBMUJFWSA-N 0 0 430.531 -0.903 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(O)CC1 ZINC001303440404 1073939497 /nfs/dbraw/zinc/93/94/97/1073939497.db2.gz BRIZOYPHCMOKMY-ZDUSSCGKSA-N 0 0 430.531 -0.903 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001303440482 1073939547 /nfs/dbraw/zinc/93/95/47/1073939547.db2.gz ABSTYNVSPLWGCW-KBPBESRZSA-N 0 0 447.583 -0.424 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001303440483 1073939528 /nfs/dbraw/zinc/93/95/28/1073939528.db2.gz ABSTYNVSPLWGCW-KGLIPLIRSA-N 0 0 447.583 -0.424 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001303440484 1073940123 /nfs/dbraw/zinc/94/01/23/1073940123.db2.gz ABSTYNVSPLWGCW-UONOGXRCSA-N 0 0 447.583 -0.424 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001303440485 1073940043 /nfs/dbraw/zinc/94/00/43/1073940043.db2.gz ABSTYNVSPLWGCW-ZIAGYGMSSA-N 0 0 447.583 -0.424 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCS(C)(=O)=O ZINC001303440640 1073940147 /nfs/dbraw/zinc/94/01/47/1073940147.db2.gz BMJIADQDCLEHEQ-CYBMUJFWSA-N 0 0 435.572 -0.424 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCS(C)(=O)=O ZINC001303440641 1073940138 /nfs/dbraw/zinc/94/01/38/1073940138.db2.gz BMJIADQDCLEHEQ-ZDUSSCGKSA-N 0 0 435.572 -0.424 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001303440864 1073940083 /nfs/dbraw/zinc/94/00/83/1073940083.db2.gz FNFCZUFRGYSCSN-KBPBESRZSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001303440865 1073940110 /nfs/dbraw/zinc/94/01/10/1073940110.db2.gz FNFCZUFRGYSCSN-KGLIPLIRSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001303440866 1073940035 /nfs/dbraw/zinc/94/00/35/1073940035.db2.gz FNFCZUFRGYSCSN-UONOGXRCSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001303440867 1073939979 /nfs/dbraw/zinc/93/99/79/1073939979.db2.gz FNFCZUFRGYSCSN-ZIAGYGMSSA-N 0 0 441.558 -0.529 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001303441076 1073939970 /nfs/dbraw/zinc/93/99/70/1073939970.db2.gz ODPKFPIQBVQXJX-CABCVRRESA-N 0 0 444.558 -0.249 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001303441078 1073940090 /nfs/dbraw/zinc/94/00/90/1073940090.db2.gz ODPKFPIQBVQXJX-HUUCEWRRSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(Cc2ccncc2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001303441102 1073940102 /nfs/dbraw/zinc/94/01/02/1073940102.db2.gz OQWDFPKOAVZCHK-IBGZPJMESA-N 0 0 436.538 -0.226 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(Cc2ccncc2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001303441104 1073940095 /nfs/dbraw/zinc/94/00/95/1073940095.db2.gz OQWDFPKOAVZCHK-LJQANCHMSA-N 0 0 436.538 -0.226 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC[C@H]1COCCO1 ZINC001303442918 1073940047 /nfs/dbraw/zinc/94/00/47/1073940047.db2.gz ZOPMRABEHJHCCO-CABCVRRESA-N 0 0 429.543 -0.054 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC[C@H]1COCCO1 ZINC001303442919 1073940056 /nfs/dbraw/zinc/94/00/56/1073940056.db2.gz ZOPMRABEHJHCCO-GJZGRUSLSA-N 0 0 429.543 -0.054 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC[C@@H]1COCCO1 ZINC001303442920 1073940009 /nfs/dbraw/zinc/94/00/09/1073940009.db2.gz ZOPMRABEHJHCCO-HUUCEWRRSA-N 0 0 429.543 -0.054 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC[C@@H]1COCCO1 ZINC001303442921 1073940116 /nfs/dbraw/zinc/94/01/16/1073940116.db2.gz ZOPMRABEHJHCCO-LSDHHAIUSA-N 0 0 429.543 -0.054 20 0 IBADRN CC(C)NC(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001303443859 1073939989 /nfs/dbraw/zinc/93/99/89/1073939989.db2.gz GATBUTAWOQLADZ-HNNXBMFYSA-N 0 0 428.497 -0.029 20 0 IBADRN CC(C)NC(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001303443860 1073940065 /nfs/dbraw/zinc/94/00/65/1073940065.db2.gz GATBUTAWOQLADZ-OAHLLOKOSA-N 0 0 428.497 -0.029 20 0 IBADRN CC(C)NC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001303445165 1073940130 /nfs/dbraw/zinc/94/01/30/1073940130.db2.gz YVZHCUZBQLAWKN-UHFFFAOYSA-N 0 0 429.547 -0.587 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001303447526 1073940668 /nfs/dbraw/zinc/94/06/68/1073940668.db2.gz IJOZNIMUUGEXNT-UHFFFAOYSA-N 0 0 426.485 -0.210 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001303449026 1073940658 /nfs/dbraw/zinc/94/06/58/1073940658.db2.gz ZPUIPVFIKXCSRV-UHFFFAOYSA-N 0 0 441.496 -0.813 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)CCNS(C)(=O)=O)C1 ZINC001303450128 1073940693 /nfs/dbraw/zinc/94/06/93/1073940693.db2.gz LGTRBICFLDJOMO-GFCCVEGCSA-N 0 0 427.545 -0.534 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)CCNS(C)(=O)=O)C1 ZINC001303450129 1073940626 /nfs/dbraw/zinc/94/06/26/1073940626.db2.gz LGTRBICFLDJOMO-LBPRGKRZSA-N 0 0 427.545 -0.534 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001303450461 1073940674 /nfs/dbraw/zinc/94/06/74/1073940674.db2.gz IELRJXRDUSOFMC-KBPBESRZSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001303450462 1073940650 /nfs/dbraw/zinc/94/06/50/1073940650.db2.gz IELRJXRDUSOFMC-KGLIPLIRSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001303450463 1073940692 /nfs/dbraw/zinc/94/06/92/1073940692.db2.gz IELRJXRDUSOFMC-UONOGXRCSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001303450464 1073940689 /nfs/dbraw/zinc/94/06/89/1073940689.db2.gz IELRJXRDUSOFMC-ZIAGYGMSSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001303450777 1073940656 /nfs/dbraw/zinc/94/06/56/1073940656.db2.gz NLKSFRYYQXJWHY-AWEZNQCLSA-N 0 0 429.485 -0.660 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001303450792 1073940647 /nfs/dbraw/zinc/94/06/47/1073940647.db2.gz NLKSFRYYQXJWHY-CQSZACIVSA-N 0 0 429.485 -0.660 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001303451656 1073940663 /nfs/dbraw/zinc/94/06/63/1073940663.db2.gz URDYKQOXMYVUSZ-HNNXBMFYSA-N 0 0 448.528 -0.672 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001303451657 1073940686 /nfs/dbraw/zinc/94/06/86/1073940686.db2.gz URDYKQOXMYVUSZ-OAHLLOKOSA-N 0 0 448.528 -0.672 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)[C@@H]2CCS(=O)(=O)N2)C1 ZINC001303451996 1073940659 /nfs/dbraw/zinc/94/06/59/1073940659.db2.gz COYFZGMAIQCHOA-NEPJUHHUSA-N 0 0 425.529 -0.782 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)[C@H]2CCS(=O)(=O)N2)C1 ZINC001303451997 1073940639 /nfs/dbraw/zinc/94/06/39/1073940639.db2.gz COYFZGMAIQCHOA-NWDGAFQWSA-N 0 0 425.529 -0.782 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)[C@@H]2CCS(=O)(=O)N2)C1 ZINC001303451998 1073940676 /nfs/dbraw/zinc/94/06/76/1073940676.db2.gz COYFZGMAIQCHOA-RYUDHWBXSA-N 0 0 425.529 -0.782 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)[C@H]2CCS(=O)(=O)N2)C1 ZINC001303451999 1073940672 /nfs/dbraw/zinc/94/06/72/1073940672.db2.gz COYFZGMAIQCHOA-VXGBXAGGSA-N 0 0 425.529 -0.782 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001303452817 1073940682 /nfs/dbraw/zinc/94/06/82/1073940682.db2.gz CSFMEFVYFQODHC-QLFBSQMISA-N 0 0 444.558 -0.251 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001303452819 1073940679 /nfs/dbraw/zinc/94/06/79/1073940679.db2.gz CSFMEFVYFQODHC-ZNMIVQPWSA-N 0 0 444.558 -0.251 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCCS(C)(=O)=O ZINC001303452967 1073940665 /nfs/dbraw/zinc/94/06/65/1073940665.db2.gz DQEWFHGRIKSENZ-CYBMUJFWSA-N 0 0 435.572 -0.424 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCCS(C)(=O)=O ZINC001303452968 1073941047 /nfs/dbraw/zinc/94/10/47/1073941047.db2.gz DQEWFHGRIKSENZ-ZDUSSCGKSA-N 0 0 435.572 -0.424 20 0 IBADRN CC(C)NC(=O)Cn1c(CN2CCCC2=O)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001303453705 1073941139 /nfs/dbraw/zinc/94/11/39/1073941139.db2.gz HIRPURBBVIBCQB-UHFFFAOYSA-N 0 0 441.558 -0.603 20 0 IBADRN CC(C)NC(=O)Cn1c(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)nnc1C(C)(C)C ZINC001303453709 1073941088 /nfs/dbraw/zinc/94/10/88/1073941088.db2.gz HJSVDXQOEFLTCO-UHFFFAOYSA-N 0 0 449.556 -0.392 20 0 IBADRN COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001303456210 1073941107 /nfs/dbraw/zinc/94/11/07/1073941107.db2.gz IJQCMRYZTRXSCL-GDBMZVCRSA-N 0 0 439.490 -0.352 20 0 IBADRN COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001303456211 1073941079 /nfs/dbraw/zinc/94/10/79/1073941079.db2.gz IJQCMRYZTRXSCL-GOEBONIOSA-N 0 0 439.490 -0.352 20 0 IBADRN COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001303456212 1073941117 /nfs/dbraw/zinc/94/11/17/1073941117.db2.gz IJQCMRYZTRXSCL-HOCLYGCPSA-N 0 0 439.490 -0.352 20 0 IBADRN COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001303456213 1073941159 /nfs/dbraw/zinc/94/11/59/1073941159.db2.gz IJQCMRYZTRXSCL-ZBFHGGJFSA-N 0 0 439.490 -0.352 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2ncn(C)n2)CC1 ZINC001303457188 1073941146 /nfs/dbraw/zinc/94/11/46/1073941146.db2.gz GLINCZUMKKEJAN-UHFFFAOYSA-N 0 0 444.544 -0.663 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2COCCO2)CC1 ZINC001303457467 1073941125 /nfs/dbraw/zinc/94/11/25/1073941125.db2.gz JAGUBRLHBLJFSC-QGZVFWFLSA-N 0 0 449.556 -0.424 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)N(C)C)CC1 ZINC001303457960 1073940940 /nfs/dbraw/zinc/94/09/40/1073940940.db2.gz PESPIQVGPGZXTK-UHFFFAOYSA-N 0 0 448.572 -0.361 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C3CC3)n2CCCNS(C)(=O)=O)CC1 ZINC001303458721 1073940962 /nfs/dbraw/zinc/94/09/62/1073940962.db2.gz FCFHFCIMYQMAMK-UHFFFAOYSA-N 0 0 427.575 -0.259 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CCC(=O)N(C)C)CC1 ZINC001303458940 1073941034 /nfs/dbraw/zinc/94/10/34/1073941034.db2.gz MBCXXNPDDANGBT-UHFFFAOYSA-N 0 0 429.529 -0.140 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(-c3cccn3C)n2CCS(C)(=O)=O)CC1 ZINC001303459042 1073941113 /nfs/dbraw/zinc/94/11/13/1073941113.db2.gz PUFZBMOLLVLNEA-UHFFFAOYSA-N 0 0 437.570 -0.025 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N(C)C)CC1 ZINC001303459829 1073941101 /nfs/dbraw/zinc/94/11/01/1073941101.db2.gz DTPCVCJSNSHDAX-UHFFFAOYSA-N 0 0 448.572 -0.475 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2Cc2ccn(C)n2)CC1 ZINC001303459854 1073940995 /nfs/dbraw/zinc/94/09/95/1073940995.db2.gz FKUSUFAIVSFNHM-UHFFFAOYSA-N 0 0 443.556 -0.171 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CCn2cncn2)CC1 ZINC001303460623 1073941014 /nfs/dbraw/zinc/94/10/14/1073941014.db2.gz NEUOIASUTMOSIE-UHFFFAOYSA-N 0 0 444.544 -0.661 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCC(=O)N2)CC1 ZINC001303460896 1073941068 /nfs/dbraw/zinc/94/10/68/1073941068.db2.gz OTZXCJLMGBEESW-MRXNPFEDSA-N 0 0 446.556 -0.674 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(Cc3ccccn3)n2CCS(C)(=O)=O)CC1 ZINC001303461031 1073941132 /nfs/dbraw/zinc/94/11/32/1073941132.db2.gz QGYHETBFGJTTGH-UHFFFAOYSA-N 0 0 449.581 -0.045 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC(CO)(CO)C3)CC2)cc1 ZINC001303466204 1073941056 /nfs/dbraw/zinc/94/10/56/1073941056.db2.gz GBZMRBWNXYLIGJ-UHFFFAOYSA-N 0 0 439.534 -0.579 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)(=O)CC1 ZINC001303467883 1073940979 /nfs/dbraw/zinc/94/09/79/1073940979.db2.gz MATYNHXELYJVJJ-UHFFFAOYSA-N 0 0 448.571 -0.923 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCSCC1 ZINC001303467893 1073941736 /nfs/dbraw/zinc/94/17/36/1073941736.db2.gz MNWGTUSKVDEPCF-GFCCVEGCSA-N 0 0 440.617 -0.231 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCSCC1 ZINC001303467894 1073941711 /nfs/dbraw/zinc/94/17/11/1073941711.db2.gz MNWGTUSKVDEPCF-LBPRGKRZSA-N 0 0 440.617 -0.231 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(C(C)C)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001303468106 1073941697 /nfs/dbraw/zinc/94/16/97/1073941697.db2.gz SVPQOCQPESFHQQ-UHFFFAOYSA-N 0 0 429.591 -0.061 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001303468116 1073941680 /nfs/dbraw/zinc/94/16/80/1073941680.db2.gz UBONSDDCUTVIRB-AWEZNQCLSA-N 0 0 441.558 -0.491 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001303468117 1073941668 /nfs/dbraw/zinc/94/16/68/1073941668.db2.gz UBONSDDCUTVIRB-CQSZACIVSA-N 0 0 441.558 -0.491 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001303470892 1073941722 /nfs/dbraw/zinc/94/17/22/1073941722.db2.gz YGAGPFVPROXXGD-HNNXBMFYSA-N 0 0 434.526 -0.118 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001303470893 1073941686 /nfs/dbraw/zinc/94/16/86/1073941686.db2.gz YGAGPFVPROXXGD-OAHLLOKOSA-N 0 0 434.526 -0.118 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001303471286 1073941642 /nfs/dbraw/zinc/94/16/42/1073941642.db2.gz DSRBHYFAAQLZET-KBPBESRZSA-N 0 0 439.542 -0.632 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001303471287 1073941614 /nfs/dbraw/zinc/94/16/14/1073941614.db2.gz DSRBHYFAAQLZET-KGLIPLIRSA-N 0 0 439.542 -0.632 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001303471288 1073941693 /nfs/dbraw/zinc/94/16/93/1073941693.db2.gz DSRBHYFAAQLZET-UONOGXRCSA-N 0 0 439.542 -0.632 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001303471289 1073941625 /nfs/dbraw/zinc/94/16/25/1073941625.db2.gz DSRBHYFAAQLZET-ZIAGYGMSSA-N 0 0 439.542 -0.632 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001303471693 1073941649 /nfs/dbraw/zinc/94/16/49/1073941649.db2.gz IRUOIRQFVVZKLI-AWEZNQCLSA-N 0 0 434.526 -0.126 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001303471694 1073941716 /nfs/dbraw/zinc/94/17/16/1073941716.db2.gz IRUOIRQFVVZKLI-CQSZACIVSA-N 0 0 434.526 -0.126 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC[C@@H]1C ZINC001303471735 1073941658 /nfs/dbraw/zinc/94/16/58/1073941658.db2.gz HDOOBQOIWMDPFL-KBPBESRZSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC[C@H]1C ZINC001303471737 1073941600 /nfs/dbraw/zinc/94/16/00/1073941600.db2.gz HDOOBQOIWMDPFL-KGLIPLIRSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC[C@@H]1C ZINC001303471738 1073941743 /nfs/dbraw/zinc/94/17/43/1073941743.db2.gz HDOOBQOIWMDPFL-UONOGXRCSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC[C@H]1C ZINC001303471740 1073941633 /nfs/dbraw/zinc/94/16/33/1073941633.db2.gz HDOOBQOIWMDPFL-ZIAGYGMSSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001303471982 1073941587 /nfs/dbraw/zinc/94/15/87/1073941587.db2.gz KYGOBGHIHXQNNQ-CHWSQXEVSA-N 0 0 427.531 -0.776 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001303471983 1073941728 /nfs/dbraw/zinc/94/17/28/1073941728.db2.gz KYGOBGHIHXQNNQ-OLZOCXBDSA-N 0 0 427.531 -0.776 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001303471984 1073941703 /nfs/dbraw/zinc/94/17/03/1073941703.db2.gz KYGOBGHIHXQNNQ-QWHCGFSZSA-N 0 0 427.531 -0.776 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001303471985 1073942289 /nfs/dbraw/zinc/94/22/89/1073942289.db2.gz KYGOBGHIHXQNNQ-STQMWFEESA-N 0 0 427.531 -0.776 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001303472100 1073942158 /nfs/dbraw/zinc/94/21/58/1073942158.db2.gz JUMPZEVVJQDOCX-KBPBESRZSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001303472102 1073942229 /nfs/dbraw/zinc/94/22/29/1073942229.db2.gz JUMPZEVVJQDOCX-KGLIPLIRSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001303472103 1073942151 /nfs/dbraw/zinc/94/21/51/1073942151.db2.gz JUMPZEVVJQDOCX-UONOGXRCSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001303472104 1073942239 /nfs/dbraw/zinc/94/22/39/1073942239.db2.gz JUMPZEVVJQDOCX-ZIAGYGMSSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001303472340 1073942327 /nfs/dbraw/zinc/94/23/27/1073942327.db2.gz OPLRKSSILNIXQJ-UHFFFAOYSA-N 0 0 436.542 -0.183 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001303472464 1073942280 /nfs/dbraw/zinc/94/22/80/1073942280.db2.gz POYKATOZALOGGR-AWEZNQCLSA-N 0 0 438.514 -0.353 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001303472465 1073942256 /nfs/dbraw/zinc/94/22/56/1073942256.db2.gz POYKATOZALOGGR-CQSZACIVSA-N 0 0 438.514 -0.353 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CCS(=O)(=O)CC1 ZINC001303472778 1073942335 /nfs/dbraw/zinc/94/23/35/1073942335.db2.gz PSEDCMTUEVOPNI-UHFFFAOYSA-N 0 0 442.567 -0.174 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001303473007 1073942305 /nfs/dbraw/zinc/94/23/05/1073942305.db2.gz XZIZUEYNGSIIMU-GOSISDBHSA-N 0 0 434.526 -0.078 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001303473008 1073942170 /nfs/dbraw/zinc/94/21/70/1073942170.db2.gz XZIZUEYNGSIIMU-SFHVURJKSA-N 0 0 434.526 -0.078 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001303473057 1073942178 /nfs/dbraw/zinc/94/21/78/1073942178.db2.gz ZVQBUOCNHVXBQI-ACJLOTCBSA-N 0 0 439.542 -0.727 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001303473058 1073942323 /nfs/dbraw/zinc/94/23/23/1073942323.db2.gz ZVQBUOCNHVXBQI-FZKQIMNGSA-N 0 0 439.542 -0.727 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001303473059 1073942298 /nfs/dbraw/zinc/94/22/98/1073942298.db2.gz ZVQBUOCNHVXBQI-SCLBCKFNSA-N 0 0 439.542 -0.727 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001303473060 1073942317 /nfs/dbraw/zinc/94/23/17/1073942317.db2.gz ZVQBUOCNHVXBQI-UGSOOPFHSA-N 0 0 439.542 -0.727 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)[C@H](C)CC(N)=O)n2CC(=O)N2CCOCC2)CCOC1 ZINC001303480105 1073942272 /nfs/dbraw/zinc/94/22/72/1073942272.db2.gz ZBGWSLKHDXVGCO-FOIQADDNSA-N 0 0 438.529 -0.122 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)[C@@H](C)CC(N)=O)n2CC(=O)N2CCOCC2)CCOC1 ZINC001303480106 1073942246 /nfs/dbraw/zinc/94/22/46/1073942246.db2.gz ZBGWSLKHDXVGCO-MGPUTAFESA-N 0 0 438.529 -0.122 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)[C@H](C)CC(N)=O)n2CC(=O)N2CCOCC2)CCOC1 ZINC001303480107 1073942311 /nfs/dbraw/zinc/94/23/11/1073942311.db2.gz ZBGWSLKHDXVGCO-QRWLVFNGSA-N 0 0 438.529 -0.122 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)[C@@H](C)CC(N)=O)n2CC(=O)N2CCOCC2)CCOC1 ZINC001303480108 1073942200 /nfs/dbraw/zinc/94/22/00/1073942200.db2.gz ZBGWSLKHDXVGCO-YWZLYKJASA-N 0 0 438.529 -0.122 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(O)CCOC2)CCOC1 ZINC001303486884 1073942184 /nfs/dbraw/zinc/94/21/84/1073942184.db2.gz CXFZYTMSQLTSLL-MSOLQXFVSA-N 0 0 432.543 -0.049 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(O)CCOC2)CCOC1 ZINC001303486885 1073942857 /nfs/dbraw/zinc/94/28/57/1073942857.db2.gz CXFZYTMSQLTSLL-QZTJIDSGSA-N 0 0 432.543 -0.049 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001303486886 1073942837 /nfs/dbraw/zinc/94/28/37/1073942837.db2.gz CXFZYTMSQLTSLL-ROUUACIJSA-N 0 0 432.543 -0.049 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001303486887 1073942930 /nfs/dbraw/zinc/94/29/30/1073942930.db2.gz CXFZYTMSQLTSLL-ZWKOTPCHSA-N 0 0 432.543 -0.049 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@H]2COCCO2)CCOC1 ZINC001303487321 1073942726 /nfs/dbraw/zinc/94/27/26/1073942726.db2.gz JAPHCYMZVWNDQJ-CRAIPNDOSA-N 0 0 447.558 -0.281 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@H]2COCCO2)CCOC1 ZINC001303487322 1073942816 /nfs/dbraw/zinc/94/28/16/1073942816.db2.gz JAPHCYMZVWNDQJ-QAPCUYQASA-N 0 0 447.558 -0.281 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001303491420 1073942903 /nfs/dbraw/zinc/94/29/03/1073942903.db2.gz ZPRPEHNTILOUAN-KBXCAEBGSA-N 0 0 444.558 -0.478 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001303491422 1073942781 /nfs/dbraw/zinc/94/27/81/1073942781.db2.gz ZPRPEHNTILOUAN-KDOFPFPSSA-N 0 0 444.558 -0.478 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001303491424 1073942712 /nfs/dbraw/zinc/94/27/12/1073942712.db2.gz ZPRPEHNTILOUAN-KSSFIOAISA-N 0 0 444.558 -0.478 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001303491426 1073942640 /nfs/dbraw/zinc/94/26/40/1073942640.db2.gz ZPRPEHNTILOUAN-RDTXWAMCSA-N 0 0 444.558 -0.478 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN4C(=O)N(C)C[C@@H]4C3)n2CC(=O)N(C)C)CCOC1 ZINC001303502861 1073942868 /nfs/dbraw/zinc/94/28/68/1073942868.db2.gz AHFYFQWSDTYJLL-FOIQADDNSA-N 0 0 435.529 -0.037 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN4C(=O)N(C)C[C@H]4C3)n2CC(=O)N(C)C)CCOC1 ZINC001303502862 1073942910 /nfs/dbraw/zinc/94/29/10/1073942910.db2.gz AHFYFQWSDTYJLL-MGPUTAFESA-N 0 0 435.529 -0.037 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN4C(=O)N(C)C[C@@H]4C3)n2CC(=O)N(C)C)CCOC1 ZINC001303502863 1073942827 /nfs/dbraw/zinc/94/28/27/1073942827.db2.gz AHFYFQWSDTYJLL-QRWLVFNGSA-N 0 0 435.529 -0.037 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN4C(=O)N(C)C[C@H]4C3)n2CC(=O)N(C)C)CCOC1 ZINC001303502864 1073942796 /nfs/dbraw/zinc/94/27/96/1073942796.db2.gz AHFYFQWSDTYJLL-YWZLYKJASA-N 0 0 435.529 -0.037 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001303503143 1073942761 /nfs/dbraw/zinc/94/27/61/1073942761.db2.gz QQQMXPUKMMRCFC-KRWDZBQOSA-N 0 0 430.531 -0.854 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001303503144 1073942807 /nfs/dbraw/zinc/94/28/07/1073942807.db2.gz QQQMXPUKMMRCFC-QGZVFWFLSA-N 0 0 430.531 -0.854 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN(C)C(=O)C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001303504201 1073942881 /nfs/dbraw/zinc/94/28/81/1073942881.db2.gz VLGRGINCEIRTFF-GOSISDBHSA-N 0 0 430.456 -0.022 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCNC(=O)C3)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001303504202 1073942745 /nfs/dbraw/zinc/94/27/45/1073942745.db2.gz FYTXDBPBMFYRAC-DJJJIMSYSA-N 0 0 435.485 -0.757 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN(C)C(=O)C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001303504203 1073942892 /nfs/dbraw/zinc/94/28/92/1073942892.db2.gz VLGRGINCEIRTFF-SFHVURJKSA-N 0 0 430.456 -0.022 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCNC(=O)C3)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001303504205 1073942846 /nfs/dbraw/zinc/94/28/46/1073942846.db2.gz FYTXDBPBMFYRAC-ORAYPTAESA-N 0 0 435.485 -0.757 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCNC(=O)CC3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001303505389 1073942657 /nfs/dbraw/zinc/94/26/57/1073942657.db2.gz KJCVXYFGECBKHI-FQEVSTJZSA-N 0 0 436.513 -0.496 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCNC(=O)CC3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001303505390 1073943463 /nfs/dbraw/zinc/94/34/63/1073943463.db2.gz KJCVXYFGECBKHI-HXUWFJFHSA-N 0 0 436.513 -0.496 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H]1C(=O)NCC12CCOCC2 ZINC001303505642 1073943545 /nfs/dbraw/zinc/94/35/45/1073943545.db2.gz MBGOBUOBUXPELA-QWHCGFSZSA-N 0 0 433.527 -0.274 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@H]1C(=O)NCC12CCOCC2 ZINC001303505643 1073943534 /nfs/dbraw/zinc/94/35/34/1073943534.db2.gz MBGOBUOBUXPELA-STQMWFEESA-N 0 0 433.527 -0.274 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CCS(C)(=O)=O)CCOC1 ZINC001303506445 1073943513 /nfs/dbraw/zinc/94/35/13/1073943513.db2.gz PEJRSFUZHVZMGV-KBXCAEBGSA-N 0 0 442.542 -0.423 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CCS(C)(=O)=O)CCOC1 ZINC001303506446 1073943536 /nfs/dbraw/zinc/94/35/36/1073943536.db2.gz PEJRSFUZHVZMGV-KDOFPFPSSA-N 0 0 442.542 -0.423 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CCS(C)(=O)=O)CCOC1 ZINC001303506447 1073943523 /nfs/dbraw/zinc/94/35/23/1073943523.db2.gz PEJRSFUZHVZMGV-KSSFIOAISA-N 0 0 442.542 -0.423 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CCS(C)(=O)=O)CCOC1 ZINC001303506448 1073943497 /nfs/dbraw/zinc/94/34/97/1073943497.db2.gz PEJRSFUZHVZMGV-RDTXWAMCSA-N 0 0 442.542 -0.423 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCNC(=O)C3)n2CCOCCS(C)(=O)=O)CCOC1 ZINC001303507729 1073943543 /nfs/dbraw/zinc/94/35/43/1073943543.db2.gz XQOFUJSKVLQWFY-GOSISDBHSA-N 0 0 445.542 -0.684 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCNC(=O)C3)n2CCOCCS(C)(=O)=O)CCOC1 ZINC001303507730 1073943489 /nfs/dbraw/zinc/94/34/89/1073943489.db2.gz XQOFUJSKVLQWFY-SFHVURJKSA-N 0 0 445.542 -0.684 20 0 IBADRN CC(C)OC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CCO1 ZINC001303530811 1073943529 /nfs/dbraw/zinc/94/35/29/1073943529.db2.gz HQZMHLLXZKWQDV-ARFHVFGLSA-N 0 0 447.558 -0.066 20 0 IBADRN CC(C)OC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CCO1 ZINC001303530813 1073943531 /nfs/dbraw/zinc/94/35/31/1073943531.db2.gz HQZMHLLXZKWQDV-BZUAXINKSA-N 0 0 447.558 -0.066 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CCO1 ZINC001303530815 1073943426 /nfs/dbraw/zinc/94/34/26/1073943426.db2.gz HQZMHLLXZKWQDV-HRCADAONSA-N 0 0 447.558 -0.066 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CCO1 ZINC001303530817 1073943440 /nfs/dbraw/zinc/94/34/40/1073943440.db2.gz HQZMHLLXZKWQDV-OWCLPIDISA-N 0 0 447.558 -0.066 20 0 IBADRN CCC(=O)NC1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001303532167 1073943501 /nfs/dbraw/zinc/94/35/01/1073943501.db2.gz MADNOKPONHYUPS-UHFFFAOYSA-N 0 0 431.536 -0.114 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CC4(C3)C[C@H](O)CNC4=O)CC2)cc1 ZINC001303533279 1073943539 /nfs/dbraw/zinc/94/35/39/1073943539.db2.gz MTPYCTLMYXESIW-KRWDZBQOSA-N 0 0 449.529 -0.001 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CC4(C3)C[C@@H](O)CNC4=O)CC2)cc1 ZINC001303533290 1073943526 /nfs/dbraw/zinc/94/35/26/1073943526.db2.gz MTPYCTLMYXESIW-QGZVFWFLSA-N 0 0 449.529 -0.001 20 0 IBADRN Cn1cnnc1SCC(=O)Nc1cccc(C(=O)N2CC3(C2)C[C@H](O)CNC3=O)c1 ZINC001303534484 1073943477 /nfs/dbraw/zinc/94/34/77/1073943477.db2.gz VANRQIVGBKCDKD-AWEZNQCLSA-N 0 0 430.490 -0.131 20 0 IBADRN Cn1cnnc1SCC(=O)Nc1cccc(C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)c1 ZINC001303534485 1073943509 /nfs/dbraw/zinc/94/35/09/1073943509.db2.gz VANRQIVGBKCDKD-CQSZACIVSA-N 0 0 430.490 -0.131 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CCO1 ZINC001303536737 1073943518 /nfs/dbraw/zinc/94/35/18/1073943518.db2.gz SFAXKQWPYISZNS-BXWFABGCSA-N 0 0 444.554 -0.038 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CCO1 ZINC001303536738 1073943451 /nfs/dbraw/zinc/94/34/51/1073943451.db2.gz SFAXKQWPYISZNS-GJYPPUQNSA-N 0 0 444.554 -0.038 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CCO1 ZINC001303536739 1073944137 /nfs/dbraw/zinc/94/41/37/1073944137.db2.gz SFAXKQWPYISZNS-JTDSTZFVSA-N 0 0 444.554 -0.038 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CCO1 ZINC001303536740 1073944143 /nfs/dbraw/zinc/94/41/43/1073944143.db2.gz SFAXKQWPYISZNS-TXPKVOOTSA-N 0 0 444.554 -0.038 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCCS(=O)(=O)C[C@H]2c2cnn(C)c2)CC1 ZINC001303542773 1073943997 /nfs/dbraw/zinc/94/39/97/1073943997.db2.gz CZWGKLNAFVGODR-HNNXBMFYSA-N 0 0 433.556 -0.716 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCCS(=O)(=O)C[C@@H]2c2cnn(C)c2)CC1 ZINC001303542774 1073943953 /nfs/dbraw/zinc/94/39/53/1073943953.db2.gz CZWGKLNAFVGODR-OAHLLOKOSA-N 0 0 433.556 -0.716 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2C(=O)c2cc(N3CCNC(=O)C3)ccn2)cn1 ZINC001303544105 1073944007 /nfs/dbraw/zinc/94/40/07/1073944007.db2.gz QSJIZSQRAURDEH-KRWDZBQOSA-N 0 0 432.506 -0.247 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2C(=O)c2cc(N3CCNC(=O)C3)ccn2)cn1 ZINC001303544106 1073944098 /nfs/dbraw/zinc/94/40/98/1073944098.db2.gz QSJIZSQRAURDEH-QGZVFWFLSA-N 0 0 432.506 -0.247 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCN(CC(=O)N(C)C)CC2)[C@@H](C)O)cc1 ZINC001303550553 1073944105 /nfs/dbraw/zinc/94/41/05/1073944105.db2.gz RCFTXUZIVMVSRB-APWZRJJASA-N 0 0 440.566 -0.355 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCCN(CC(=O)N(C)C)CC2)[C@H](C)O)cc1 ZINC001303550559 1073944121 /nfs/dbraw/zinc/94/41/21/1073944121.db2.gz RCFTXUZIVMVSRB-LPHOPBHVSA-N 0 0 440.566 -0.355 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCCN(CC(=O)N(C)C)CC2)[C@H](C)O)cc1 ZINC001303550561 1073944072 /nfs/dbraw/zinc/94/40/72/1073944072.db2.gz RCFTXUZIVMVSRB-QFBILLFUSA-N 0 0 440.566 -0.355 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCCN(CC(=O)N(C)C)CC2)[C@@H](C)O)cc1 ZINC001303550563 1073944052 /nfs/dbraw/zinc/94/40/52/1073944052.db2.gz RCFTXUZIVMVSRB-VQIMIIECSA-N 0 0 440.566 -0.355 20 0 IBADRN CN(C)C(=O)CN1CCCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001303550585 1073943904 /nfs/dbraw/zinc/94/39/04/1073943904.db2.gz SBXKROGJCXSPLC-KRWDZBQOSA-N 0 0 435.500 -0.649 20 0 IBADRN CN(C)C(=O)CN1CCCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001303550586 1073943929 /nfs/dbraw/zinc/94/39/29/1073943929.db2.gz SBXKROGJCXSPLC-QGZVFWFLSA-N 0 0 435.500 -0.649 20 0 IBADRN CC(C)OCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001303550897 1073944020 /nfs/dbraw/zinc/94/40/20/1073944020.db2.gz ROWXIDPNFJXZRX-OWCLPIDISA-N 0 0 445.542 -0.012 20 0 IBADRN CC(C)OCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001303550898 1073944030 /nfs/dbraw/zinc/94/40/30/1073944030.db2.gz ROWXIDPNFJXZRX-XHSDSOJGSA-N 0 0 445.542 -0.012 20 0 IBADRN CC(C)OCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001303556626 1073943966 /nfs/dbraw/zinc/94/39/66/1073943966.db2.gz LZRDEQATRWPLBT-HNNXBMFYSA-N 0 0 445.590 -0.477 20 0 IBADRN CC(C)OCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001303556632 1073943880 /nfs/dbraw/zinc/94/38/80/1073943880.db2.gz LZRDEQATRWPLBT-OAHLLOKOSA-N 0 0 445.590 -0.477 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc(I)cc1-n1cnnn1)[C@@H](C)O ZINC001303558427 1073944035 /nfs/dbraw/zinc/94/40/35/1073944035.db2.gz ZXNPLSAJAUKVCG-HQJQHLMTSA-N 0 0 431.190 -0.081 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCC2(S(=O)(=O)NC(C)(C)C)CCC2)cn1C ZINC001303564507 1073944043 /nfs/dbraw/zinc/94/40/43/1073944043.db2.gz LZYQEMUFBDKKHT-UHFFFAOYSA-N 0 0 435.572 -0.236 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)CCC1 ZINC001303564952 1073943975 /nfs/dbraw/zinc/94/39/75/1073943975.db2.gz SQENWCKSRWHHAT-INIZCTEOSA-N 0 0 444.598 -0.076 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)CCC1 ZINC001303564953 1073944087 /nfs/dbraw/zinc/94/40/87/1073944087.db2.gz SQENWCKSRWHHAT-MRXNPFEDSA-N 0 0 444.598 -0.076 20 0 IBADRN CC(C)OCCN1CCN(c2nnc([C@H]3CCOC3)n2CCNS(C)(=O)=O)CC1 ZINC001303570251 1073944525 /nfs/dbraw/zinc/94/45/25/1073944525.db2.gz CWWIADRLRYNFGE-INIZCTEOSA-N 0 0 430.575 -0.122 20 0 IBADRN CC(C)OCCN1CCN(c2nnc([C@@H]3CCOC3)n2CCNS(C)(=O)=O)CC1 ZINC001303570253 1073944614 /nfs/dbraw/zinc/94/46/14/1073944614.db2.gz CWWIADRLRYNFGE-MRXNPFEDSA-N 0 0 430.575 -0.122 20 0 IBADRN O=C(NCCn1ccc(=O)[nH]c1=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001303574184 1073944645 /nfs/dbraw/zinc/94/46/45/1073944645.db2.gz CAFIRCFPSVJXHR-UHFFFAOYSA-N 0 0 426.426 -0.461 20 0 IBADRN CC(C)SCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1 ZINC001303578814 1073944654 /nfs/dbraw/zinc/94/46/54/1073944654.db2.gz LTPXPVLWJLLOGR-CYBMUJFWSA-N 0 0 432.572 -0.311 20 0 IBADRN CC(C)SCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1 ZINC001303578815 1073944624 /nfs/dbraw/zinc/94/46/24/1073944624.db2.gz LTPXPVLWJLLOGR-ZDUSSCGKSA-N 0 0 432.572 -0.311 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCC(=O)c1ccc(Br)cn1 ZINC001303579711 1073944544 /nfs/dbraw/zinc/94/45/44/1073944544.db2.gz CNOSZPZIRXEWMP-UHFFFAOYSA-N 0 0 449.327 -0.023 20 0 IBADRN O=C(NCCc1nnc2c(=O)[nH]ccn12)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001303588354 1073944676 /nfs/dbraw/zinc/94/46/76/1073944676.db2.gz STHKRTPEFMULSA-UHFFFAOYSA-N 0 0 432.462 -0.589 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)NCCc1nnc2c(=O)[nH]ccn12 ZINC001303588413 1073944556 /nfs/dbraw/zinc/94/45/56/1073944556.db2.gz WHRBRYFZPNMCNZ-UHFFFAOYSA-N 0 0 426.405 -0.277 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@@H](NC(=O)[C@H]3[C@@H](O)C(=O)N(C)[C@@H]3c3cnn(C)c3)C2)n1 ZINC001303599335 1073944571 /nfs/dbraw/zinc/94/45/71/1073944571.db2.gz RZFXIGLABKVXAJ-MWQQHZPXSA-N 0 0 429.481 -0.500 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)[C@H]3[C@@H](O)C(=O)N(C)[C@@H]3c3cnn(C)c3)C2)n1 ZINC001303599339 1073944630 /nfs/dbraw/zinc/94/46/30/1073944630.db2.gz RZFXIGLABKVXAJ-RKTXRCNFSA-N 0 0 429.481 -0.500 20 0 IBADRN Cc1cc(=O)[nH]c(N2CC[C@@H](NC(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2)n1 ZINC001303601599 1073944607 /nfs/dbraw/zinc/94/46/07/1073944607.db2.gz YQCPCZBHUGPSIN-SECBINFHSA-N 0 0 425.243 -0.089 20 0 IBADRN Cc1cc(=O)[nH]c(N2CC[C@H](NC(=O)Cn3cc(Br)c(=O)[nH]c3=O)C2)n1 ZINC001303601608 1073944620 /nfs/dbraw/zinc/94/46/20/1073944620.db2.gz YQCPCZBHUGPSIN-VIFPVBQESA-N 0 0 425.243 -0.089 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC001303604332 1073944658 /nfs/dbraw/zinc/94/46/58/1073944658.db2.gz MKHUIMOWKWSCLN-UHFFFAOYSA-N 0 0 446.411 -0.023 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)[C@@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC001303604628 1073944587 /nfs/dbraw/zinc/94/45/87/1073944587.db2.gz BHCSJVJUZCYMRB-KBPBESRZSA-N 0 0 432.481 -0.038 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)[C@H]1CS[C@@H](Cc2ccccc2F)C(=O)N1 ZINC001303604630 1073944663 /nfs/dbraw/zinc/94/46/63/1073944663.db2.gz BHCSJVJUZCYMRB-KGLIPLIRSA-N 0 0 432.481 -0.038 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)[C@@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC001303604633 1073944651 /nfs/dbraw/zinc/94/46/51/1073944651.db2.gz BHCSJVJUZCYMRB-UONOGXRCSA-N 0 0 432.481 -0.038 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)[C@H]1CS[C@H](Cc2ccccc2F)C(=O)N1 ZINC001303604636 1073944660 /nfs/dbraw/zinc/94/46/60/1073944660.db2.gz BHCSJVJUZCYMRB-ZIAGYGMSSA-N 0 0 432.481 -0.038 20 0 IBADRN CC(=O)Nc1ccc(N2C[C@H](C(=O)N(C)Cc3nnc4n3CCNC4=O)CC2=O)cc1 ZINC001303604712 1073945019 /nfs/dbraw/zinc/94/50/19/1073945019.db2.gz CKDJNISPHAAJMY-CYBMUJFWSA-N 0 0 425.449 -0.009 20 0 IBADRN CC(=O)Nc1ccc(N2C[C@@H](C(=O)N(C)Cc3nnc4n3CCNC4=O)CC2=O)cc1 ZINC001303604714 1073945094 /nfs/dbraw/zinc/94/50/94/1073945094.db2.gz CKDJNISPHAAJMY-ZDUSSCGKSA-N 0 0 425.449 -0.009 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)c1c(I)cnn1C ZINC001303604754 1073945041 /nfs/dbraw/zinc/94/50/41/1073945041.db2.gz PETAHZLEKUGEMN-UHFFFAOYSA-N 0 0 429.222 -0.026 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N(C)Cc2nnc3n2CCN(C)C3=O)cc1 ZINC001303604831 1073945052 /nfs/dbraw/zinc/94/50/52/1073945052.db2.gz RRNRTGFPIMUKKF-UHFFFAOYSA-N 0 0 448.505 -0.107 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC001303604877 1073945071 /nfs/dbraw/zinc/94/50/71/1073945071.db2.gz FGHBUPCAMOWWQJ-UHFFFAOYSA-N 0 0 434.478 -0.685 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001303604879 1073945114 /nfs/dbraw/zinc/94/51/14/1073945114.db2.gz FHQIEVXVRIDHQM-UHFFFAOYSA-N 0 0 445.505 -0.159 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001303605125 1073945162 /nfs/dbraw/zinc/94/51/62/1073945162.db2.gz UKKZZFXCPQZWEK-CYBMUJFWSA-N 0 0 449.512 -0.162 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001303605149 1073945080 /nfs/dbraw/zinc/94/50/80/1073945080.db2.gz UKKZZFXCPQZWEK-ZDUSSCGKSA-N 0 0 449.512 -0.162 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC001303605256 1073945062 /nfs/dbraw/zinc/94/50/62/1073945062.db2.gz XHBOXSKAUFVSOZ-UHFFFAOYSA-N 0 0 446.533 -0.134 20 0 IBADRN CSC[C@H](C(=O)N(C)Cc1nnc2n1CCNC2=O)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001303605535 1073945154 /nfs/dbraw/zinc/94/51/54/1073945154.db2.gz KBPRONZVPLYWEF-FRRDWIJNSA-N 0 0 434.522 -0.113 20 0 IBADRN CSC[C@H](C(=O)N(C)Cc1nnc2n1CCNC2=O)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC001303605536 1073944977 /nfs/dbraw/zinc/94/49/77/1073944977.db2.gz KBPRONZVPLYWEF-JHJVBQTASA-N 0 0 434.522 -0.113 20 0 IBADRN CSC[C@H](C(=O)N(C)Cc1nnc2n1CCNC2=O)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC001303605537 1073945103 /nfs/dbraw/zinc/94/51/03/1073945103.db2.gz KBPRONZVPLYWEF-RWMBFGLXSA-N 0 0 434.522 -0.113 20 0 IBADRN CSC[C@@H](C(=O)N(C)Cc1nnc2n1CCNC2=O)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001303605538 1073945006 /nfs/dbraw/zinc/94/50/06/1073945006.db2.gz KBPRONZVPLYWEF-XQQFMLRXSA-N 0 0 434.522 -0.113 20 0 IBADRN Cc1ccc(C(=O)NCCc2nnc3n2CCNC3=O)cc1S(=O)(=O)N1CCOCC1 ZINC001303610527 1073945026 /nfs/dbraw/zinc/94/50/26/1073945026.db2.gz GBJQHGQYQOZDOR-UHFFFAOYSA-N 0 0 448.505 -0.677 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1Cl)NCCc1nnc2n1CCNC2=O ZINC001303610811 1073945143 /nfs/dbraw/zinc/94/51/43/1073945143.db2.gz JEJSRAYPCUDUJU-UHFFFAOYSA-N 0 0 426.886 -0.298 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001303611242 1073945193 /nfs/dbraw/zinc/94/51/93/1073945193.db2.gz NCJARGDZWZHBBB-UHFFFAOYSA-N 0 0 434.478 -0.985 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC001303612122 1073945172 /nfs/dbraw/zinc/94/51/72/1073945172.db2.gz WXHJZCRPVDTGNB-UHFFFAOYSA-N 0 0 432.506 -0.776 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NCCc3nnc4n3CCNC4=O)ccc21 ZINC001303612238 1073945184 /nfs/dbraw/zinc/94/51/84/1073945184.db2.gz YIBHYQHFQJKJBD-UHFFFAOYSA-N 0 0 425.449 -0.981 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CCOC[C@]2(C(=O)NCCc2nnc3n2CCNC3=O)C1 ZINC001303616793 1073945824 /nfs/dbraw/zinc/94/58/24/1073945824.db2.gz HNZDRLGMTZFMRH-RNODOKPDSA-N 0 0 434.497 -0.046 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N(C)N2CCS(=O)(=O)CC2)cc1OCC ZINC001303629304 1073945864 /nfs/dbraw/zinc/94/58/64/1073945864.db2.gz HSBFVSVVHRSYME-UHFFFAOYSA-N 0 0 449.551 -0.134 20 0 IBADRN CN(C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCS(=O)(=O)CC1 ZINC001303629682 1073945817 /nfs/dbraw/zinc/94/58/17/1073945817.db2.gz ISRKJWOAVVLSQL-UHFFFAOYSA-N 0 0 437.565 -0.585 20 0 IBADRN CN(C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCS(=O)(=O)CC1 ZINC001303633784 1073945734 /nfs/dbraw/zinc/94/57/34/1073945734.db2.gz SLLYYZBAVYPZJV-HIFRSBDPSA-N 0 0 435.499 -0.947 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CC(=O)N(C)C ZINC001303649551 1073945842 /nfs/dbraw/zinc/94/58/42/1073945842.db2.gz WIIMJFGSWNSTHL-GFCCVEGCSA-N 0 0 426.481 -0.020 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CC(=O)N(C)C ZINC001303649552 1073945743 /nfs/dbraw/zinc/94/57/43/1073945743.db2.gz WIIMJFGSWNSTHL-LBPRGKRZSA-N 0 0 426.481 -0.020 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CC=CCO1 ZINC001303649775 1073945828 /nfs/dbraw/zinc/94/58/28/1073945828.db2.gz AUVASBMWNULPNY-ILXRZTDVSA-N 0 0 442.542 -0.344 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CC=CCO1 ZINC001303649776 1073946311 /nfs/dbraw/zinc/94/63/11/1073946311.db2.gz AUVASBMWNULPNY-KFWWJZLASA-N 0 0 442.542 -0.344 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CC=CCO1 ZINC001303649777 1073946298 /nfs/dbraw/zinc/94/62/98/1073946298.db2.gz AUVASBMWNULPNY-QLFBSQMISA-N 0 0 442.542 -0.344 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CC=CCO1 ZINC001303649778 1073946437 /nfs/dbraw/zinc/94/64/37/1073946437.db2.gz AUVASBMWNULPNY-RBSFLKMASA-N 0 0 442.542 -0.344 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001303649873 1073946455 /nfs/dbraw/zinc/94/64/55/1073946455.db2.gz CKFVLUURAUPLDL-CABCVRRESA-N 0 0 428.559 -0.397 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001303649874 1073946305 /nfs/dbraw/zinc/94/63/05/1073946305.db2.gz CKFVLUURAUPLDL-GJZGRUSLSA-N 0 0 428.559 -0.397 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001303649875 1073946355 /nfs/dbraw/zinc/94/63/55/1073946355.db2.gz CKFVLUURAUPLDL-HUUCEWRRSA-N 0 0 428.559 -0.397 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001303649876 1073946328 /nfs/dbraw/zinc/94/63/28/1073946328.db2.gz CKFVLUURAUPLDL-LSDHHAIUSA-N 0 0 428.559 -0.397 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001303650751 1073946393 /nfs/dbraw/zinc/94/63/93/1073946393.db2.gz PXBXJSKSFZSBNM-CHWSQXEVSA-N 0 0 430.531 -0.652 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001303650752 1073946448 /nfs/dbraw/zinc/94/64/48/1073946448.db2.gz PXBXJSKSFZSBNM-OLZOCXBDSA-N 0 0 430.531 -0.652 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001303650753 1073946442 /nfs/dbraw/zinc/94/64/42/1073946442.db2.gz PXBXJSKSFZSBNM-QWHCGFSZSA-N 0 0 430.531 -0.652 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001303650754 1073946318 /nfs/dbraw/zinc/94/63/18/1073946318.db2.gz PXBXJSKSFZSBNM-STQMWFEESA-N 0 0 430.531 -0.652 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001303698151 1073946401 /nfs/dbraw/zinc/94/64/01/1073946401.db2.gz JGUVFLJDEYSANU-AWEZNQCLSA-N 0 0 428.486 -0.731 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001303698156 1073946460 /nfs/dbraw/zinc/94/64/60/1073946460.db2.gz JGUVFLJDEYSANU-CQSZACIVSA-N 0 0 428.486 -0.731 20 0 IBADRN CNC(=O)C1(NC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CCOCC1 ZINC001303698923 1073946423 /nfs/dbraw/zinc/94/64/23/1073946423.db2.gz RFPINVCUKDPEQX-UHFFFAOYSA-N 0 0 425.507 -0.143 20 0 IBADRN CNC(=O)C1(NC(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CCOCC1 ZINC001303699644 1073947105 /nfs/dbraw/zinc/94/71/05/1073947105.db2.gz YNUYNCDEVGPXKF-UHFFFAOYSA-N 0 0 427.527 -0.161 20 0 IBADRN C[C@@H](Cn1c(-c2cnn(C)c2)nnc1N(C)CC(=O)N1CCOCC1)N1CCOCC1 ZINC001303706330 1073947043 /nfs/dbraw/zinc/94/70/43/1073947043.db2.gz YMRZUFNOYGBNNX-INIZCTEOSA-N 0 0 432.529 -0.306 20 0 IBADRN C[C@H](Cn1c(-c2cnn(C)c2)nnc1N(C)CC(=O)N1CCOCC1)N1CCOCC1 ZINC001303706331 1073947095 /nfs/dbraw/zinc/94/70/95/1073947095.db2.gz YMRZUFNOYGBNNX-MRXNPFEDSA-N 0 0 432.529 -0.306 20 0 IBADRN C[C@@H](Cn1c(-c2cnn(C)c2)nnc1N1CCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC001303707572 1073946891 /nfs/dbraw/zinc/94/68/91/1073946891.db2.gz JNLPCQHNVPSSCY-HNNXBMFYSA-N 0 0 438.558 -0.519 20 0 IBADRN C[C@H](Cn1c(-c2cnn(C)c2)nnc1N1CCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC001303707573 1073946956 /nfs/dbraw/zinc/94/69/56/1073946956.db2.gz JNLPCQHNVPSSCY-OAHLLOKOSA-N 0 0 438.558 -0.519 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2c[nH]cc(I)c2=O)CC1 ZINC001303711419 1073946978 /nfs/dbraw/zinc/94/69/78/1073946978.db2.gz LFZFSEUDFNUXRR-UHFFFAOYSA-N 0 0 440.263 -0.505 20 0 IBADRN C[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)N1CCOCC1 ZINC001303712087 1073946914 /nfs/dbraw/zinc/94/69/14/1073946914.db2.gz IHQQWTNMXRBWKH-GDBMZVCRSA-N 0 0 435.529 -0.579 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)N1CCOCC1 ZINC001303712088 1073947073 /nfs/dbraw/zinc/94/70/73/1073947073.db2.gz IHQQWTNMXRBWKH-GOEBONIOSA-N 0 0 435.529 -0.579 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)N1CCOCC1 ZINC001303712089 1073946941 /nfs/dbraw/zinc/94/69/41/1073946941.db2.gz IHQQWTNMXRBWKH-HOCLYGCPSA-N 0 0 435.529 -0.579 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)N1CCOCC1 ZINC001303712090 1073947009 /nfs/dbraw/zinc/94/70/09/1073947009.db2.gz IHQQWTNMXRBWKH-ZBFHGGJFSA-N 0 0 435.529 -0.579 20 0 IBADRN Cn1ccn2c(CCNC(=O)[C@@H](O)[C@](O)(c3ccccc3)C(F)(F)F)nnc2c1=O ZINC001303721300 1073947001 /nfs/dbraw/zinc/94/70/01/1073947001.db2.gz WTEUUZMHKGGQDA-CXAGYDPISA-N 0 0 425.367 -0.102 20 0 IBADRN Cn1ccn2c(CCNC(=O)[C@@H](O)[C@@](O)(c3ccccc3)C(F)(F)F)nnc2c1=O ZINC001303721301 1073946991 /nfs/dbraw/zinc/94/69/91/1073946991.db2.gz WTEUUZMHKGGQDA-DYVFJYSZSA-N 0 0 425.367 -0.102 20 0 IBADRN Cn1ccn2c(CCNC(=O)[C@H](O)[C@@](O)(c3ccccc3)C(F)(F)F)nnc2c1=O ZINC001303721302 1073947066 /nfs/dbraw/zinc/94/70/66/1073947066.db2.gz WTEUUZMHKGGQDA-GUYCJALGSA-N 0 0 425.367 -0.102 20 0 IBADRN Cn1ccn2c(CCNC(=O)[C@H](O)[C@](O)(c3ccccc3)C(F)(F)F)nnc2c1=O ZINC001303721303 1073947090 /nfs/dbraw/zinc/94/70/90/1073947090.db2.gz WTEUUZMHKGGQDA-SUMWQHHRSA-N 0 0 425.367 -0.102 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCOC2)nnc1N1CCCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC001303721819 1073947022 /nfs/dbraw/zinc/94/70/22/1073947022.db2.gz IDYWCVRNVZZSJS-DLBZAZTESA-N 0 0 442.586 -0.026 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCOC2)nnc1N1CCCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC001303721820 1073947128 /nfs/dbraw/zinc/94/71/28/1073947128.db2.gz IDYWCVRNVZZSJS-IAGOWNOFSA-N 0 0 442.586 -0.026 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCOC2)nnc1N1CCCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC001303721821 1073947563 /nfs/dbraw/zinc/94/75/63/1073947563.db2.gz IDYWCVRNVZZSJS-IRXDYDNUSA-N 0 0 442.586 -0.026 20 0 IBADRN C[C@H](Cn1c([C@H]2CCOC2)nnc1N1CCCN(S(C)(=O)=O)CC1)N1CCOCC1 ZINC001303721822 1073947512 /nfs/dbraw/zinc/94/75/12/1073947512.db2.gz IDYWCVRNVZZSJS-SJORKVTESA-N 0 0 442.586 -0.026 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@](C)(C(N)=O)C1)N1CCOCC1 ZINC001303721847 1073947530 /nfs/dbraw/zinc/94/75/30/1073947530.db2.gz IIGQHCOPQWILBB-CLCXKQKWSA-N 0 0 440.570 -0.397 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@](C)(C(N)=O)C1)N1CCOCC1 ZINC001303721848 1073947552 /nfs/dbraw/zinc/94/75/52/1073947552.db2.gz IIGQHCOPQWILBB-SPYBWZPUSA-N 0 0 440.570 -0.397 20 0 IBADRN C[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@](C)(C(N)=O)C1)N1CCOCC1 ZINC001303721849 1073947547 /nfs/dbraw/zinc/94/75/47/1073947547.db2.gz IIGQHCOPQWILBB-VCBZYWHSSA-N 0 0 440.570 -0.397 20 0 IBADRN C[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@](C)(C(N)=O)C1)N1CCOCC1 ZINC001303721850 1073947506 /nfs/dbraw/zinc/94/75/06/1073947506.db2.gz IIGQHCOPQWILBB-ZRGWGRIASA-N 0 0 440.570 -0.397 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1C[C@H](C)CN1CCOCC1 ZINC001303756819 1073947982 /nfs/dbraw/zinc/94/79/82/1073947982.db2.gz UWHDXGCTDPTBPI-MSOLQXFVSA-N 0 0 435.573 -0.095 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1C[C@H](C)CN1CCOCC1 ZINC001303756854 1073948192 /nfs/dbraw/zinc/94/81/92/1073948192.db2.gz UWHDXGCTDPTBPI-QZTJIDSGSA-N 0 0 435.573 -0.095 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1C[C@@H](C)CN1CCOCC1 ZINC001303756856 1073948055 /nfs/dbraw/zinc/94/80/55/1073948055.db2.gz UWHDXGCTDPTBPI-ROUUACIJSA-N 0 0 435.573 -0.095 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1C[C@@H](C)CN1CCOCC1 ZINC001303756858 1073948141 /nfs/dbraw/zinc/94/81/41/1073948141.db2.gz UWHDXGCTDPTBPI-ZWKOTPCHSA-N 0 0 435.573 -0.095 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1 ZINC001303757129 1073948116 /nfs/dbraw/zinc/94/81/16/1073948116.db2.gz IGUUPGOLYPPRCS-UHFFFAOYSA-N 0 0 447.507 -0.193 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC001303757856 1073948170 /nfs/dbraw/zinc/94/81/70/1073948170.db2.gz QOLGWYZOJXGESA-UHFFFAOYSA-N 0 0 445.567 -0.316 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc1 ZINC001303758020 1073948741 /nfs/dbraw/zinc/94/87/41/1073948741.db2.gz SVQKNEMVDOGGOL-UHFFFAOYSA-N 0 0 425.554 -0.087 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc1C ZINC001303758076 1073948777 /nfs/dbraw/zinc/94/87/77/1073948777.db2.gz WODYSIJXSIIUIR-UHFFFAOYSA-N 0 0 425.554 -0.245 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N(C)CCS(C)(=O)=O)CN1CCOCC1 ZINC001303758698 1073948757 /nfs/dbraw/zinc/94/87/57/1073948757.db2.gz GBSPVXYOAFTQDR-CABCVRRESA-N 0 0 428.559 -0.322 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N(C)CCS(C)(=O)=O)CN1CCOCC1 ZINC001303758699 1073948717 /nfs/dbraw/zinc/94/87/17/1073948717.db2.gz GBSPVXYOAFTQDR-GJZGRUSLSA-N 0 0 428.559 -0.322 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N(C)CCS(C)(=O)=O)CN1CCOCC1 ZINC001303758700 1073948638 /nfs/dbraw/zinc/94/86/38/1073948638.db2.gz GBSPVXYOAFTQDR-HUUCEWRRSA-N 0 0 428.559 -0.322 20 0 IBADRN C[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N(C)CCS(C)(=O)=O)CN1CCOCC1 ZINC001303758701 1073948723 /nfs/dbraw/zinc/94/87/23/1073948723.db2.gz GBSPVXYOAFTQDR-LSDHHAIUSA-N 0 0 428.559 -0.322 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1)CN1CCOCC1 ZINC001303760765 1073948693 /nfs/dbraw/zinc/94/86/93/1073948693.db2.gz XMHXEWFMOXHRDH-CABCVRRESA-N 0 0 426.543 -0.915 20 0 IBADRN C[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1)CN1CCOCC1 ZINC001303760772 1073948684 /nfs/dbraw/zinc/94/86/84/1073948684.db2.gz XMHXEWFMOXHRDH-GJZGRUSLSA-N 0 0 426.543 -0.915 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1)CN1CCOCC1 ZINC001303760775 1073948704 /nfs/dbraw/zinc/94/87/04/1073948704.db2.gz XMHXEWFMOXHRDH-HUUCEWRRSA-N 0 0 426.543 -0.915 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1)CN1CCOCC1 ZINC001303760777 1073948699 /nfs/dbraw/zinc/94/86/99/1073948699.db2.gz XMHXEWFMOXHRDH-LSDHHAIUSA-N 0 0 426.543 -0.915 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O ZINC001303771268 1073948796 /nfs/dbraw/zinc/94/87/96/1073948796.db2.gz CYTDRGVIUMVZCM-CYBMUJFWSA-N 0 0 435.572 -0.182 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O ZINC001303771269 1073948732 /nfs/dbraw/zinc/94/87/32/1073948732.db2.gz CYTDRGVIUMVZCM-ZDUSSCGKSA-N 0 0 435.572 -0.182 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCOC2)n1CC1(C(N)=O)CCOCC1 ZINC001303778767 1073948791 /nfs/dbraw/zinc/94/87/91/1073948791.db2.gz MJEIYCKSWPJVDA-KBPBESRZSA-N 0 0 429.543 -0.067 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCOC2)n1CC1(C(N)=O)CCOCC1 ZINC001303778769 1073948802 /nfs/dbraw/zinc/94/88/02/1073948802.db2.gz MJEIYCKSWPJVDA-KGLIPLIRSA-N 0 0 429.543 -0.067 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CCOC2)n1CC1(C(N)=O)CCOCC1 ZINC001303778771 1073948768 /nfs/dbraw/zinc/94/87/68/1073948768.db2.gz MJEIYCKSWPJVDA-UONOGXRCSA-N 0 0 429.543 -0.067 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CCOC2)n1CC1(C(N)=O)CCOCC1 ZINC001303778772 1073948662 /nfs/dbraw/zinc/94/86/62/1073948662.db2.gz MJEIYCKSWPJVDA-ZIAGYGMSSA-N 0 0 429.543 -0.067 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCCO2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001303781783 1073948783 /nfs/dbraw/zinc/94/87/83/1073948783.db2.gz APZWJGHCKOINGX-IOASZLSFSA-N 0 0 436.556 -0.452 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCCO2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001303781784 1073948708 /nfs/dbraw/zinc/94/87/08/1073948708.db2.gz APZWJGHCKOINGX-WOSRLPQWSA-N 0 0 436.556 -0.452 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCCO2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001303781786 1073948748 /nfs/dbraw/zinc/94/87/48/1073948748.db2.gz APZWJGHCKOINGX-XJKCOSOUSA-N 0 0 436.556 -0.452 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCCO2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001303781788 1073948650 /nfs/dbraw/zinc/94/86/50/1073948650.db2.gz APZWJGHCKOINGX-ZENOOKHLSA-N 0 0 436.556 -0.452 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O ZINC001303781897 1073949232 /nfs/dbraw/zinc/94/92/32/1073949232.db2.gz LQROIYHCXZWCJH-UHFFFAOYSA-N 0 0 446.363 -0.028 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1CC(=O)NCC(F)F ZINC001303782898 1073949125 /nfs/dbraw/zinc/94/91/25/1073949125.db2.gz KNHGYFPPPXEENG-LLVKDONJSA-N 0 0 436.485 -0.349 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1CC(=O)NCC(F)F ZINC001303782899 1073949165 /nfs/dbraw/zinc/94/91/65/1073949165.db2.gz KNHGYFPPPXEENG-NSHDSACASA-N 0 0 436.485 -0.349 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCO[C@H]1C ZINC001303782910 1073949182 /nfs/dbraw/zinc/94/91/82/1073949182.db2.gz KSDURAGVDKWLTR-GLJUWKHASA-N 0 0 429.543 -0.190 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCO[C@@H]1C ZINC001303782911 1073949224 /nfs/dbraw/zinc/94/92/24/1073949224.db2.gz KSDURAGVDKWLTR-HBUWYVDXSA-N 0 0 429.543 -0.190 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCO[C@@H]1C ZINC001303782912 1073949196 /nfs/dbraw/zinc/94/91/96/1073949196.db2.gz KSDURAGVDKWLTR-LBTNJELSSA-N 0 0 429.543 -0.190 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCO[C@H]1C ZINC001303782913 1073949146 /nfs/dbraw/zinc/94/91/46/1073949146.db2.gz KSDURAGVDKWLTR-QWQRMKEZSA-N 0 0 429.543 -0.190 20 0 IBADRN CN1CCn2ncc(C(=O)NCc3cn(CC(F)(F)F)c(=O)[nH]c3=O)c2S1(=O)=O ZINC001303783943 1073949138 /nfs/dbraw/zinc/94/91/38/1073949138.db2.gz LJMJASMZIUWNSQ-UHFFFAOYSA-N 0 0 436.372 -0.728 20 0 IBADRN O=C(COCC(=O)NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)NCc1cccnc1 ZINC001303783990 1073949245 /nfs/dbraw/zinc/94/92/45/1073949245.db2.gz NKPPZDGVHVYBHM-UHFFFAOYSA-N 0 0 429.355 -0.145 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCC(O)(COCCO)CC2)c1 ZINC001303785970 1073949291 /nfs/dbraw/zinc/94/92/91/1073949291.db2.gz CBPMTKKGOLCFGY-UHFFFAOYSA-N 0 0 430.523 -0.104 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC(O)(COCCO)CC2)cc1 ZINC001303786061 1073949284 /nfs/dbraw/zinc/94/92/84/1073949284.db2.gz IHNDDPJYEZDMHN-UHFFFAOYSA-N 0 0 443.522 -0.372 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCC(O)(COCCO)CC1 ZINC001303786500 1073949131 /nfs/dbraw/zinc/94/91/31/1073949131.db2.gz YIGUAAQNGNVCKO-UHFFFAOYSA-N 0 0 448.563 -0.326 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCC(O)(COCCO)CC3)CC2)cn1C ZINC001303787345 1073949317 /nfs/dbraw/zinc/94/93/17/1073949317.db2.gz CWIHASFEQDMFDE-UHFFFAOYSA-N 0 0 444.554 -0.508 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC(O)(COCCO)CC2)C1=O ZINC001303787428 1073949190 /nfs/dbraw/zinc/94/91/90/1073949190.db2.gz DVOIESZECGXRJQ-FQEVSTJZSA-N 0 0 435.477 -0.175 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC(O)(COCCO)CC2)C1=O ZINC001303787429 1073949152 /nfs/dbraw/zinc/94/91/52/1073949152.db2.gz DVOIESZECGXRJQ-HXUWFJFHSA-N 0 0 435.477 -0.175 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCC(O)(COCCO)CC1 ZINC001303787718 1073949171 /nfs/dbraw/zinc/94/91/71/1073949171.db2.gz GBCNLEUMAOCYGB-WBVHZDCISA-N 0 0 446.497 -0.688 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCC(O)(COCCO)CC1 ZINC001303788325 1073949255 /nfs/dbraw/zinc/94/92/55/1073949255.db2.gz OCWOJCBGZLXWLB-UHFFFAOYSA-N 0 0 442.534 -0.192 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCC(O)(COCCO)CC1 ZINC001303788995 1073949211 /nfs/dbraw/zinc/94/92/11/1073949211.db2.gz YYOGZRBFJYZAJE-UHFFFAOYSA-N 0 0 427.523 -0.155 20 0 IBADRN CC[C@@H](CN1CCOCC1)NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001303791222 1073949302 /nfs/dbraw/zinc/94/93/02/1073949302.db2.gz WKZBQTSCTVFLLN-AWEZNQCLSA-N 0 0 433.552 -0.405 20 0 IBADRN CC[C@H](CN1CCOCC1)NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001303791223 1073949266 /nfs/dbraw/zinc/94/92/66/1073949266.db2.gz WKZBQTSCTVFLLN-CQSZACIVSA-N 0 0 433.552 -0.405 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001303805020 1073949681 /nfs/dbraw/zinc/94/96/81/1073949681.db2.gz BJOHWMSFNISQHV-CHWSQXEVSA-N 0 0 447.587 -0.266 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001303805021 1073949731 /nfs/dbraw/zinc/94/97/31/1073949731.db2.gz BJOHWMSFNISQHV-OLZOCXBDSA-N 0 0 447.587 -0.266 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H](C)CS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001303805022 1073949747 /nfs/dbraw/zinc/94/97/47/1073949747.db2.gz BJOHWMSFNISQHV-QWHCGFSZSA-N 0 0 447.587 -0.266 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001303805023 1073949724 /nfs/dbraw/zinc/94/97/24/1073949724.db2.gz BJOHWMSFNISQHV-STQMWFEESA-N 0 0 447.587 -0.266 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCOCN3)CC2)cc1 ZINC001303805090 1073949701 /nfs/dbraw/zinc/94/97/01/1073949701.db2.gz FBBOZVCRLAAHPE-UHFFFAOYSA-N 0 0 426.495 -0.371 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1C[C@@]1(O)CCOC1 ZINC001303805723 1073949735 /nfs/dbraw/zinc/94/97/35/1073949735.db2.gz ARCWKECBVQQKGO-PXAZEXFGSA-N 0 0 432.547 -0.609 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1C[C@@]1(O)CCOC1 ZINC001303805725 1073949765 /nfs/dbraw/zinc/94/97/65/1073949765.db2.gz ARCWKECBVQQKGO-SJCJKPOMSA-N 0 0 432.547 -0.609 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1C[C@]1(O)CCOC1 ZINC001303805727 1073949758 /nfs/dbraw/zinc/94/97/58/1073949758.db2.gz ARCWKECBVQQKGO-SJKOYZFVSA-N 0 0 432.547 -0.609 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1C[C@]1(O)CCOC1 ZINC001303805728 1073949685 /nfs/dbraw/zinc/94/96/85/1073949685.db2.gz ARCWKECBVQQKGO-YVEFUNNKSA-N 0 0 432.547 -0.609 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCOC1 ZINC001303806093 1073949696 /nfs/dbraw/zinc/94/96/96/1073949696.db2.gz BJPAVCJGAKAXRK-BFHYXJOUSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCCOC1 ZINC001303806094 1073949715 /nfs/dbraw/zinc/94/97/15/1073949715.db2.gz BJPAVCJGAKAXRK-IHRRRGAJSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCCOC1 ZINC001303806095 1073949707 /nfs/dbraw/zinc/94/97/07/1073949707.db2.gz BJPAVCJGAKAXRK-MELADBBJSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCOC1 ZINC001303806096 1073949712 /nfs/dbraw/zinc/94/97/12/1073949712.db2.gz BJPAVCJGAKAXRK-MJBXVCDLSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(F)(F)F)n1CCN1CCCS1(=O)=O ZINC001303806843 1073949692 /nfs/dbraw/zinc/94/96/92/1073949692.db2.gz GPENSHMDKLPVEM-JTQLQIEISA-N 0 0 448.493 -0.078 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(F)(F)F)n1CCN1CCCS1(=O)=O ZINC001303806844 1073949726 /nfs/dbraw/zinc/94/97/26/1073949726.db2.gz GPENSHMDKLPVEM-SNVBAGLBSA-N 0 0 448.493 -0.078 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCSC2)n1CCNS(C)(=O)=O ZINC001303807253 1073949771 /nfs/dbraw/zinc/94/97/71/1073949771.db2.gz ISBWTFCBNTZEEQ-GHMZBOCLSA-N 0 0 426.590 -0.621 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCSC2)n1CCNS(C)(=O)=O ZINC001303807254 1073949720 /nfs/dbraw/zinc/94/97/20/1073949720.db2.gz ISBWTFCBNTZEEQ-MNOVXSKESA-N 0 0 426.590 -0.621 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCSC2)n1CCNS(C)(=O)=O ZINC001303807255 1073949740 /nfs/dbraw/zinc/94/97/40/1073949740.db2.gz ISBWTFCBNTZEEQ-QWRGUYRKSA-N 0 0 426.590 -0.621 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCSC2)n1CCNS(C)(=O)=O ZINC001303807256 1073949674 /nfs/dbraw/zinc/94/96/74/1073949674.db2.gz ISBWTFCBNTZEEQ-WDEREUQCSA-N 0 0 426.590 -0.621 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCC(C)(C)O ZINC001303808561 1073949750 /nfs/dbraw/zinc/94/97/50/1073949750.db2.gz NEQVEJYLJBZGSE-CYBMUJFWSA-N 0 0 432.547 -0.656 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCC(C)(C)O ZINC001303808562 1073950341 /nfs/dbraw/zinc/95/03/41/1073950341.db2.gz NEQVEJYLJBZGSE-ZDUSSCGKSA-N 0 0 432.547 -0.656 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@@H]1CCOC1 ZINC001303809037 1073950375 /nfs/dbraw/zinc/95/03/75/1073950375.db2.gz OIGRYLBFVMHRAG-KBPBESRZSA-N 0 0 430.531 -0.780 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@@H]1CCOC1 ZINC001303809038 1073950389 /nfs/dbraw/zinc/95/03/89/1073950389.db2.gz OIGRYLBFVMHRAG-KGLIPLIRSA-N 0 0 430.531 -0.780 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@H]1CCOC1 ZINC001303809039 1073950383 /nfs/dbraw/zinc/95/03/83/1073950383.db2.gz OIGRYLBFVMHRAG-UONOGXRCSA-N 0 0 430.531 -0.780 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@H]1CCOC1 ZINC001303809040 1073950329 /nfs/dbraw/zinc/95/03/29/1073950329.db2.gz OIGRYLBFVMHRAG-ZIAGYGMSSA-N 0 0 430.531 -0.780 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@@H]1CCCCO1 ZINC001303810600 1073950382 /nfs/dbraw/zinc/95/03/82/1073950382.db2.gz UKKFRRNZVPGGEX-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@@H]1CCCCO1 ZINC001303810606 1073950324 /nfs/dbraw/zinc/95/03/24/1073950324.db2.gz UKKFRRNZVPGGEX-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@H]1CCCCO1 ZINC001303810608 1073950273 /nfs/dbraw/zinc/95/02/73/1073950273.db2.gz UKKFRRNZVPGGEX-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1C[C@H]1CCCCO1 ZINC001303810611 1073950313 /nfs/dbraw/zinc/95/03/13/1073950313.db2.gz UKKFRRNZVPGGEX-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN CO[C@]1(CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CCS(=O)(=O)C1 ZINC001303811219 1073950285 /nfs/dbraw/zinc/95/02/85/1073950285.db2.gz XUIRKCFXWXCNFH-HNNXBMFYSA-N 0 0 437.565 -0.314 20 0 IBADRN CO[C@@]1(CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CCS(=O)(=O)C1 ZINC001303811221 1073950361 /nfs/dbraw/zinc/95/03/61/1073950361.db2.gz XUIRKCFXWXCNFH-OAHLLOKOSA-N 0 0 437.565 -0.314 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303811465 1073950378 /nfs/dbraw/zinc/95/03/78/1073950378.db2.gz WWHBVIDTVXGBIO-HZSPNIEDSA-N 0 0 446.574 -0.221 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)NC(C)(C)C)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303811466 1073950357 /nfs/dbraw/zinc/95/03/57/1073950357.db2.gz WWHBVIDTVXGBIO-MELADBBJSA-N 0 0 446.574 -0.221 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1Cc1nccs1 ZINC001303811497 1073950318 /nfs/dbraw/zinc/95/03/18/1073950318.db2.gz XINODSPXKGRBLT-GFCCVEGCSA-N 0 0 443.555 -0.312 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1Cc1nccs1 ZINC001303811498 1073950346 /nfs/dbraw/zinc/95/03/46/1073950346.db2.gz XINODSPXKGRBLT-LBPRGKRZSA-N 0 0 443.555 -0.312 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2ccco2)n1CC(=O)N1CCOCC1 ZINC001303813704 1073950350 /nfs/dbraw/zinc/95/03/50/1073950350.db2.gz FMQRMFDTWCVFHJ-CYBMUJFWSA-N 0 0 426.499 -0.024 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)Cc2ccco2)n1CC(=O)N1CCOCC1 ZINC001303813705 1073950779 /nfs/dbraw/zinc/95/07/79/1073950779.db2.gz FMQRMFDTWCVFHJ-ZDUSSCGKSA-N 0 0 426.499 -0.024 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1CCCN1CCOCC1 ZINC001303814606 1073950817 /nfs/dbraw/zinc/95/08/17/1073950817.db2.gz MLWFZMADYQCXBI-HNNXBMFYSA-N 0 0 440.574 -0.020 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1CCCN1CCOCC1 ZINC001303814608 1073950756 /nfs/dbraw/zinc/95/07/56/1073950756.db2.gz MLWFZMADYQCXBI-OAHLLOKOSA-N 0 0 440.574 -0.020 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1CC(=O)NCC(F)F ZINC001303815079 1073950836 /nfs/dbraw/zinc/95/08/36/1073950836.db2.gz OIBIASGOLAGHIW-JTQLQIEISA-N 0 0 434.473 -0.361 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1CC(=O)NCC(F)F ZINC001303815081 1073950829 /nfs/dbraw/zinc/95/08/29/1073950829.db2.gz OIBIASGOLAGHIW-SNVBAGLBSA-N 0 0 434.473 -0.361 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@]1(O)CCSC1 ZINC001303818236 1073950729 /nfs/dbraw/zinc/95/07/29/1073950729.db2.gz ADVCSEKWSRXLGK-BFQNTYOBSA-N 0 0 432.572 -0.577 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@@]1(O)CCSC1 ZINC001303818237 1073950751 /nfs/dbraw/zinc/95/07/51/1073950751.db2.gz ADVCSEKWSRXLGK-MKBNYLNASA-N 0 0 432.572 -0.577 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@]1(O)CCSC1 ZINC001303818238 1073950745 /nfs/dbraw/zinc/95/07/45/1073950745.db2.gz ADVCSEKWSRXLGK-MQIPJXDCSA-N 0 0 432.572 -0.577 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@]1(O)CCSC1 ZINC001303818239 1073950805 /nfs/dbraw/zinc/95/08/05/1073950805.db2.gz ADVCSEKWSRXLGK-WQGACYEGSA-N 0 0 432.572 -0.577 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCN1CCOC1=O ZINC001303818258 1073950737 /nfs/dbraw/zinc/95/07/37/1073950737.db2.gz ASWULZTZPQJADZ-CHWSQXEVSA-N 0 0 443.530 -0.603 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCN1CCOC1=O ZINC001303818259 1073950811 /nfs/dbraw/zinc/95/08/11/1073950811.db2.gz ASWULZTZPQJADZ-OLZOCXBDSA-N 0 0 443.530 -0.603 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCN1CCOC1=O ZINC001303818260 1073950700 /nfs/dbraw/zinc/95/07/00/1073950700.db2.gz ASWULZTZPQJADZ-QWHCGFSZSA-N 0 0 443.530 -0.603 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCN1CCOC1=O ZINC001303818261 1073950800 /nfs/dbraw/zinc/95/08/00/1073950800.db2.gz ASWULZTZPQJADZ-STQMWFEESA-N 0 0 443.530 -0.603 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCCC(=O)N(C)C ZINC001303818296 1073950720 /nfs/dbraw/zinc/95/07/20/1073950720.db2.gz BISWOZGUWKPGSR-CHWSQXEVSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCCC(=O)N(C)C ZINC001303818297 1073950782 /nfs/dbraw/zinc/95/07/82/1073950782.db2.gz BISWOZGUWKPGSR-OLZOCXBDSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCCC(=O)N(C)C ZINC001303818298 1073950714 /nfs/dbraw/zinc/95/07/14/1073950714.db2.gz BISWOZGUWKPGSR-QWHCGFSZSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCCC(=O)N(C)C ZINC001303818299 1073950770 /nfs/dbraw/zinc/95/07/70/1073950770.db2.gz BISWOZGUWKPGSR-STQMWFEESA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCO[C@H]1CCOC1 ZINC001303819126 1073950793 /nfs/dbraw/zinc/95/07/93/1073950793.db2.gz GDKKPLIORPCSDO-ILXRZTDVSA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCO[C@H]1CCOC1 ZINC001303819129 1073950687 /nfs/dbraw/zinc/95/06/87/1073950687.db2.gz GDKKPLIORPCSDO-KKUMJFAQSA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCO[C@@H]1CCOC1 ZINC001303819132 1073950789 /nfs/dbraw/zinc/95/07/89/1073950789.db2.gz GDKKPLIORPCSDO-QLFBSQMISA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCO[C@@H]1CCOC1 ZINC001303819134 1073951391 /nfs/dbraw/zinc/95/13/91/1073951391.db2.gz GDKKPLIORPCSDO-SOUVJXGZSA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@]1(O)CCO[C@@H]1C ZINC001303819313 1073951408 /nfs/dbraw/zinc/95/14/08/1073951408.db2.gz GFQFLVNGFAUFHN-APNQJHFZSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@@]1(O)CCO[C@@H]1C ZINC001303819315 1073951486 /nfs/dbraw/zinc/95/14/86/1073951486.db2.gz GFQFLVNGFAUFHN-JRATXPSKSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@@]1(O)CCO[C@H]1C ZINC001303819316 1073951402 /nfs/dbraw/zinc/95/14/02/1073951402.db2.gz GFQFLVNGFAUFHN-MRHIQRDNSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@]1(O)CCO[C@H]1C ZINC001303819318 1073951426 /nfs/dbraw/zinc/95/14/26/1073951426.db2.gz GFQFLVNGFAUFHN-NEULZYRMSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@]1(C)CCCO1 ZINC001303820127 1073951470 /nfs/dbraw/zinc/95/14/70/1073951470.db2.gz KFRVHLCKCWRADU-DOMZBBRYSA-N 0 0 438.576 -0.557 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@]1(C)CCCO1 ZINC001303820129 1073951466 /nfs/dbraw/zinc/95/14/66/1073951466.db2.gz KFRVHLCKCWRADU-IUODEOHRSA-N 0 0 438.576 -0.557 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@]1(C)CCCO1 ZINC001303820131 1073951396 /nfs/dbraw/zinc/95/13/96/1073951396.db2.gz KFRVHLCKCWRADU-SWLSCSKDSA-N 0 0 438.576 -0.557 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@]1(C)CCCO1 ZINC001303820132 1073951385 /nfs/dbraw/zinc/95/13/85/1073951385.db2.gz KFRVHLCKCWRADU-WFASDCNBSA-N 0 0 438.576 -0.557 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@@H]32)n1Cc1ncn(C)n1 ZINC001303820139 1073951342 /nfs/dbraw/zinc/95/13/42/1073951342.db2.gz MWBQZUILAVAQSM-AVGNSLFASA-N 0 0 437.530 -0.688 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@@](C)(O)C1CC1 ZINC001303820145 1073951415 /nfs/dbraw/zinc/95/14/15/1073951415.db2.gz KIOIDUFBGVLRMV-KBXCAEBGSA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@](C)(O)C1CC1 ZINC001303820146 1073951429 /nfs/dbraw/zinc/95/14/29/1073951429.db2.gz KIOIDUFBGVLRMV-KDOFPFPSSA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@](C)(O)C1CC1 ZINC001303820147 1073951370 /nfs/dbraw/zinc/95/13/70/1073951370.db2.gz KIOIDUFBGVLRMV-KSSFIOAISA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@@](C)(O)C1CC1 ZINC001303820148 1073951352 /nfs/dbraw/zinc/95/13/52/1073951352.db2.gz KIOIDUFBGVLRMV-RDTXWAMCSA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@H]32)n1Cc1ncn(C)n1 ZINC001303820163 1073951495 /nfs/dbraw/zinc/95/14/95/1073951495.db2.gz MWBQZUILAVAQSM-RWMBFGLXSA-N 0 0 437.530 -0.688 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1Cc1ncn(C)n1 ZINC001303820165 1073951444 /nfs/dbraw/zinc/95/14/44/1073951444.db2.gz MWBQZUILAVAQSM-XQQFMLRXSA-N 0 0 437.530 -0.688 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@H]32)n1Cc1ncn(C)n1 ZINC001303820169 1073951450 /nfs/dbraw/zinc/95/14/50/1073951450.db2.gz MWBQZUILAVAQSM-YNEHKIRRSA-N 0 0 437.530 -0.688 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@@H]1CCC[C@@H](O)C1 ZINC001303820434 1073951949 /nfs/dbraw/zinc/95/19/49/1073951949.db2.gz NVSCNNLNKWXEOX-BFHYXJOUSA-N 0 0 437.588 -0.080 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@H]1CCC[C@H](O)C1 ZINC001303820435 1073951932 /nfs/dbraw/zinc/95/19/32/1073951932.db2.gz NVSCNNLNKWXEOX-IHRRRGAJSA-N 0 0 437.588 -0.080 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@H]1CCC[C@@H](O)C1 ZINC001303820436 1073951840 /nfs/dbraw/zinc/95/18/40/1073951840.db2.gz NVSCNNLNKWXEOX-MELADBBJSA-N 0 0 437.588 -0.080 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1C[C@@H]1CCC[C@H](O)C1 ZINC001303820437 1073951991 /nfs/dbraw/zinc/95/19/91/1073951991.db2.gz NVSCNNLNKWXEOX-MJBXVCDLSA-N 0 0 437.588 -0.080 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC1([S@](C)=O)CC1 ZINC001303820448 1073951868 /nfs/dbraw/zinc/95/18/68/1073951868.db2.gz OBLIQIBZOVGIEW-FTJNGKRUSA-N 0 0 441.601 -0.720 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC1([S@@](C)=O)CC1 ZINC001303820449 1073951966 /nfs/dbraw/zinc/95/19/66/1073951966.db2.gz OBLIQIBZOVGIEW-JPQMIFPKSA-N 0 0 441.601 -0.720 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC1([S@](C)=O)CC1 ZINC001303820450 1073951983 /nfs/dbraw/zinc/95/19/83/1073951983.db2.gz OBLIQIBZOVGIEW-NDHGSNPTSA-N 0 0 441.601 -0.720 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC1([S@@](C)=O)CC1 ZINC001303820451 1073951909 /nfs/dbraw/zinc/95/19/09/1073951909.db2.gz OBLIQIBZOVGIEW-SSINHNECSA-N 0 0 441.601 -0.720 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1C[C@@H]1NC(=O)CC1(C)C ZINC001303820568 1073952001 /nfs/dbraw/zinc/95/20/01/1073952001.db2.gz QPFCEDVMSNBQRS-AGIUHOORSA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@@H]1NC(=O)CC1(C)C ZINC001303820569 1073951940 /nfs/dbraw/zinc/95/19/40/1073951940.db2.gz QPFCEDVMSNBQRS-AVGNSLFASA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1C[C@H]1NC(=O)CC1(C)C ZINC001303820570 1073951851 /nfs/dbraw/zinc/95/18/51/1073951851.db2.gz QPFCEDVMSNBQRS-FRRDWIJNSA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@H]1NC(=O)CC1(C)C ZINC001303820571 1073951894 /nfs/dbraw/zinc/95/18/94/1073951894.db2.gz QPFCEDVMSNBQRS-RWMBFGLXSA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1Cc1cccc(CO)c1 ZINC001303820593 1073951994 /nfs/dbraw/zinc/95/19/94/1073951994.db2.gz PGLJECCVPAIXLM-CYBMUJFWSA-N 0 0 445.567 -0.090 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCS(C)(=O)=O)n1Cc1cccc(CO)c1 ZINC001303820594 1073951861 /nfs/dbraw/zinc/95/18/61/1073951861.db2.gz PGLJECCVPAIXLM-ZDUSSCGKSA-N 0 0 445.567 -0.090 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C3CCC2(C)CC3)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001303820704 1073951883 /nfs/dbraw/zinc/95/18/83/1073951883.db2.gz RPCIVYNNXRHZIX-KLBWVBMESA-N 0 0 447.583 -0.041 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C3CCC2(C)CC3)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001303820705 1073951955 /nfs/dbraw/zinc/95/19/55/1073951955.db2.gz RPCIVYNNXRHZIX-MIOCFURKSA-N 0 0 447.583 -0.041 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C3CCC2(C)CC3)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001303820706 1073951974 /nfs/dbraw/zinc/95/19/74/1073951974.db2.gz RPCIVYNNXRHZIX-PSPNYPNSSA-N 0 0 447.583 -0.041 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C3CCC2(C)CC3)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001303820707 1073951876 /nfs/dbraw/zinc/95/18/76/1073951876.db2.gz RPCIVYNNXRHZIX-ZJOIDMRUSA-N 0 0 447.583 -0.041 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCn2cccn2)n1C[C@]1(O)CCO[C@H]1C ZINC001303820845 1073951903 /nfs/dbraw/zinc/95/19/03/1073951903.db2.gz RZICYDYWZLKRCX-GRDNDAEWSA-N 0 0 427.531 -0.239 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCn2cccn2)n1C[C@]1(O)CCO[C@@H]1C ZINC001303820866 1073952502 /nfs/dbraw/zinc/95/25/02/1073952502.db2.gz RZICYDYWZLKRCX-JJRVBVJISA-N 0 0 427.531 -0.239 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCn2cccn2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001303820868 1073952405 /nfs/dbraw/zinc/95/24/05/1073952405.db2.gz RZICYDYWZLKRCX-VBQJREDUSA-N 0 0 427.531 -0.239 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCn2cccn2)n1C[C@@]1(O)CCO[C@H]1C ZINC001303820870 1073952420 /nfs/dbraw/zinc/95/24/20/1073952420.db2.gz RZICYDYWZLKRCX-ZQIUZPCESA-N 0 0 427.531 -0.239 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOC(C)(C)C2)n1CC(=O)N(C)C ZINC001303820882 1073952575 /nfs/dbraw/zinc/95/25/75/1073952575.db2.gz SAKKGJUBFLOMHK-AWEZNQCLSA-N 0 0 445.590 -0.477 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOC(C)(C)C2)n1CC(=O)N(C)C ZINC001303820884 1073952455 /nfs/dbraw/zinc/95/24/55/1073952455.db2.gz SAKKGJUBFLOMHK-CQSZACIVSA-N 0 0 445.590 -0.477 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@@H]32)n1C[C@H]1COCCO1 ZINC001303821129 1073952414 /nfs/dbraw/zinc/95/24/14/1073952414.db2.gz WTGARFDWTVOXEW-AJNGGQMLSA-N 0 0 442.542 -0.449 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@H]32)n1C[C@H]1COCCO1 ZINC001303821135 1073952378 /nfs/dbraw/zinc/95/23/78/1073952378.db2.gz WTGARFDWTVOXEW-BYNSBNAKSA-N 0 0 442.542 -0.449 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1C[C@H]1COCCO1 ZINC001303821137 1073952447 /nfs/dbraw/zinc/95/24/47/1073952447.db2.gz WTGARFDWTVOXEW-XQLPTFJDSA-N 0 0 442.542 -0.449 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@H]32)n1C[C@H]1COCCO1 ZINC001303821139 1073952494 /nfs/dbraw/zinc/95/24/94/1073952494.db2.gz WTGARFDWTVOXEW-ZQDZILKHSA-N 0 0 442.542 -0.449 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)C[C@H]2CCCO2)n1CC(=O)N1CCOCC1 ZINC001303821592 1073952393 /nfs/dbraw/zinc/95/23/93/1073952393.db2.gz YOUONYXKPOMDLT-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)C[C@H]2CCCO2)n1CC(=O)N1CCOCC1 ZINC001303821593 1073952474 /nfs/dbraw/zinc/95/24/74/1073952474.db2.gz YOUONYXKPOMDLT-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC[C@@H](C2)C3O)n1CCOCCCO ZINC001303822457 1073952483 /nfs/dbraw/zinc/95/24/83/1073952483.db2.gz BOFFWDISJIEDDX-JZWPEALZSA-N 0 0 431.559 -0.116 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC[C@@H](C2)C3O)n1CCOCCCO ZINC001303822459 1073952553 /nfs/dbraw/zinc/95/25/53/1073952553.db2.gz BOFFWDISJIEDDX-LSVZVQIISA-N 0 0 431.559 -0.116 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC[C@@H]32)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303824070 1073952433 /nfs/dbraw/zinc/95/24/33/1073952433.db2.gz UMVQCPZOAMLNAN-CYDGBPFRSA-N 0 0 425.515 -0.135 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC[C@H]32)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303824071 1073952558 /nfs/dbraw/zinc/95/25/58/1073952558.db2.gz UMVQCPZOAMLNAN-QNWHQSFQSA-N 0 0 425.515 -0.135 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC[C@@H]32)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303824072 1073952604 /nfs/dbraw/zinc/95/26/04/1073952604.db2.gz UMVQCPZOAMLNAN-RNJOBUHISA-N 0 0 425.515 -0.135 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC[C@H]32)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303824074 1073952569 /nfs/dbraw/zinc/95/25/69/1073952569.db2.gz UMVQCPZOAMLNAN-ZDEQEGDKSA-N 0 0 425.515 -0.135 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@H]1C[C@@H](O)[C@@H](O)C1 ZINC001303825063 1073952594 /nfs/dbraw/zinc/95/25/94/1073952594.db2.gz SVANXLOGRHIPRV-ACHSLPGTSA-N 0 0 443.497 -0.561 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@@H]1C[C@@H](O)[C@@H](O)C1 ZINC001303825070 1073952541 /nfs/dbraw/zinc/95/25/41/1073952541.db2.gz SVANXLOGRHIPRV-XGBSXSJOSA-N 0 0 443.497 -0.561 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CCCC(=O)N(C)C ZINC001303825636 1073952513 /nfs/dbraw/zinc/95/25/13/1073952513.db2.gz HKMBCRRJVBBXKJ-BFHYXJOUSA-N 0 0 441.558 -0.529 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CCCC(=O)N(C)C ZINC001303825637 1073952989 /nfs/dbraw/zinc/95/29/89/1073952989.db2.gz HKMBCRRJVBBXKJ-IHRRRGAJSA-N 0 0 441.558 -0.529 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CCCC(=O)N(C)C ZINC001303825638 1073952984 /nfs/dbraw/zinc/95/29/84/1073952984.db2.gz HKMBCRRJVBBXKJ-MELADBBJSA-N 0 0 441.558 -0.529 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CCCC(=O)N(C)C ZINC001303825639 1073953039 /nfs/dbraw/zinc/95/30/39/1073953039.db2.gz HKMBCRRJVBBXKJ-MJBXVCDLSA-N 0 0 441.558 -0.529 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CCNC(=O)C1CC1 ZINC001303825771 1073953036 /nfs/dbraw/zinc/95/30/36/1073953036.db2.gz IAMYRAXUCBOVIW-FPMFFAJLSA-N 0 0 439.542 -0.871 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CCNC(=O)C1CC1 ZINC001303825773 1073952969 /nfs/dbraw/zinc/95/29/69/1073952969.db2.gz IAMYRAXUCBOVIW-IACUBPJLSA-N 0 0 439.542 -0.871 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CCNC(=O)C1CC1 ZINC001303825774 1073952994 /nfs/dbraw/zinc/95/29/94/1073952994.db2.gz IAMYRAXUCBOVIW-UBHSHLNASA-N 0 0 439.542 -0.871 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CCNC(=O)C1CC1 ZINC001303825775 1073953028 /nfs/dbraw/zinc/95/30/28/1073953028.db2.gz IAMYRAXUCBOVIW-YUTCNCBUSA-N 0 0 439.542 -0.871 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3[C@H](C2)C3(C)C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001303825835 1073953008 /nfs/dbraw/zinc/95/30/08/1073953008.db2.gz IHZQYAVSTHRCTR-APNQJHFZSA-N 0 0 447.583 -0.470 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3[C@H](C2)C3(C)C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001303825837 1073953025 /nfs/dbraw/zinc/95/30/25/1073953025.db2.gz IHZQYAVSTHRCTR-JRATXPSKSA-N 0 0 447.583 -0.470 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC3(C)CC2C3)n1CCOCCS(C)(=O)=O ZINC001303826728 1073953014 /nfs/dbraw/zinc/95/30/14/1073953014.db2.gz MVLXRKMVCVFZRP-FUJMWEONSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC3(C)CC2C3)n1CCOCCS(C)(=O)=O ZINC001303826729 1073953002 /nfs/dbraw/zinc/95/30/02/1073953002.db2.gz MVLXRKMVCVFZRP-VEAWUBTESA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@]34CCC[C@@]3(COC4)C2)n1CC(=O)N(C)C ZINC001303827891 1073952998 /nfs/dbraw/zinc/95/29/98/1073952998.db2.gz SEQQRLDHDMVFBP-DOPJRALCSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@]34CCC[C@@]3(COC4)C2)n1CC(=O)N(C)C ZINC001303827898 1073953581 /nfs/dbraw/zinc/95/35/81/1073953581.db2.gz SEQQRLDHDMVFBP-XWIAVFTESA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1C[C@@]1(C)COCCO1 ZINC001303827984 1073953620 /nfs/dbraw/zinc/95/36/20/1073953620.db2.gz TXMZIQVHGBOOJN-FLTUCWPJSA-N 0 0 442.542 -0.591 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1C[C@@]1(C)COCCO1 ZINC001303827994 1073953604 /nfs/dbraw/zinc/95/36/04/1073953604.db2.gz TXMZIQVHGBOOJN-NUXNZHGMSA-N 0 0 442.542 -0.591 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1C[C@]1(C)COCCO1 ZINC001303827997 1073953453 /nfs/dbraw/zinc/95/34/53/1073953453.db2.gz TXMZIQVHGBOOJN-UYAYXHRUSA-N 0 0 442.542 -0.591 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1C[C@]1(C)COCCO1 ZINC001303827999 1073953614 /nfs/dbraw/zinc/95/36/14/1073953614.db2.gz TXMZIQVHGBOOJN-WZTLGTBRSA-N 0 0 442.542 -0.591 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@](C)(C(N)=O)C2)n1CCCN1CCOCC1 ZINC001303828283 1073953570 /nfs/dbraw/zinc/95/35/70/1073953570.db2.gz MSMKTPIWQMNVAX-KBXCAEBGSA-N 0 0 443.574 -0.688 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@](C)(C(N)=O)C2)n1CCCN1CCOCC1 ZINC001303828285 1073953552 /nfs/dbraw/zinc/95/35/52/1073953552.db2.gz MSMKTPIWQMNVAX-KDOFPFPSSA-N 0 0 443.574 -0.688 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@](C)(C(N)=O)C2)n1CCCN1CCOCC1 ZINC001303828286 1073953524 /nfs/dbraw/zinc/95/35/24/1073953524.db2.gz MSMKTPIWQMNVAX-KSSFIOAISA-N 0 0 443.574 -0.688 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@](C)(C(N)=O)C2)n1CCCN1CCOCC1 ZINC001303828287 1073953491 /nfs/dbraw/zinc/95/34/91/1073953491.db2.gz MSMKTPIWQMNVAX-RDTXWAMCSA-N 0 0 443.574 -0.688 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](C(N)=O)C2)n1CC1(N2CCOCC2)CC1 ZINC001303828334 1073953561 /nfs/dbraw/zinc/95/35/61/1073953561.db2.gz NCLYOXXPACYPLJ-KBPBESRZSA-N 0 0 441.558 -0.935 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](C(N)=O)C2)n1CC1(N2CCOCC2)CC1 ZINC001303828338 1073953511 /nfs/dbraw/zinc/95/35/11/1073953511.db2.gz NCLYOXXPACYPLJ-KGLIPLIRSA-N 0 0 441.558 -0.935 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CC1(N2CCOCC2)CC1 ZINC001303828340 1073953428 /nfs/dbraw/zinc/95/34/28/1073953428.db2.gz NCLYOXXPACYPLJ-UONOGXRCSA-N 0 0 441.558 -0.935 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CC1(N2CCOCC2)CC1 ZINC001303828342 1073953539 /nfs/dbraw/zinc/95/35/39/1073953539.db2.gz NCLYOXXPACYPLJ-ZIAGYGMSSA-N 0 0 441.558 -0.935 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@](C)(N3CCOCC3)C2)n1CC1(O)CC1 ZINC001303828549 1073953586 /nfs/dbraw/zinc/95/35/86/1073953586.db2.gz NTWNWIWYPITDGG-PBHICJAKSA-N 0 0 428.559 -0.286 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@](C)(N3CCOCC3)C2)n1CC1(O)CC1 ZINC001303828550 1073953609 /nfs/dbraw/zinc/95/36/09/1073953609.db2.gz NTWNWIWYPITDGG-RHSMWYFYSA-N 0 0 428.559 -0.286 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@](C)(N3CCOCC3)C2)n1CC1(O)CC1 ZINC001303828551 1073953595 /nfs/dbraw/zinc/95/35/95/1073953595.db2.gz NTWNWIWYPITDGG-WMLDXEAASA-N 0 0 428.559 -0.286 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@](C)(N3CCOCC3)C2)n1CC1(O)CC1 ZINC001303828552 1073954184 /nfs/dbraw/zinc/95/41/84/1073954184.db2.gz NTWNWIWYPITDGG-YOEHRIQHSA-N 0 0 428.559 -0.286 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3[C@H](C2)C3(C)C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303829032 1073953992 /nfs/dbraw/zinc/95/39/92/1073953992.db2.gz XPOBOVMHWVNKLF-NDBYEHHHSA-N 0 0 439.542 -0.031 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3[C@H](C2)C3(C)C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001303829033 1073954122 /nfs/dbraw/zinc/95/41/22/1073954122.db2.gz XPOBOVMHWVNKLF-RVMXOQNASA-N 0 0 439.542 -0.031 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CCSCCCO ZINC001303829437 1073954058 /nfs/dbraw/zinc/95/40/58/1073954058.db2.gz ZBGRLFMEHNRBLL-BFHYXJOUSA-N 0 0 446.599 -0.281 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CCSCCCO ZINC001303829499 1073954150 /nfs/dbraw/zinc/95/41/50/1073954150.db2.gz ZBGRLFMEHNRBLL-IHRRRGAJSA-N 0 0 446.599 -0.281 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CCSCCCO ZINC001303829501 1073954161 /nfs/dbraw/zinc/95/41/61/1073954161.db2.gz ZBGRLFMEHNRBLL-MELADBBJSA-N 0 0 446.599 -0.281 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CCSCCCO ZINC001303829504 1073954091 /nfs/dbraw/zinc/95/40/91/1073954091.db2.gz ZBGRLFMEHNRBLL-MJBXVCDLSA-N 0 0 446.599 -0.281 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(C(N)=O)CC2)n1CC[N@H+]1CC=CCC1 ZINC001303830372 1073954166 /nfs/dbraw/zinc/95/41/66/1073954166.db2.gz XTOHNLNEGSKYFR-AWEZNQCLSA-N 0 0 425.559 -0.148 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(C(N)=O)CC2)n1CC[N@H+]1CC=CCC1 ZINC001303830380 1073954011 /nfs/dbraw/zinc/95/40/11/1073954011.db2.gz XTOHNLNEGSKYFR-CQSZACIVSA-N 0 0 425.559 -0.148 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](Cc3cnn(C)c3)C2)n1CC(=O)N(C)C ZINC001303834540 1073954046 /nfs/dbraw/zinc/95/40/46/1073954046.db2.gz PUPCAYIWRDWEPG-KBPBESRZSA-N 0 0 438.558 -0.221 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](Cc3cnn(C)c3)C2)n1CC(=O)N(C)C ZINC001303834541 1073954156 /nfs/dbraw/zinc/95/41/56/1073954156.db2.gz PUPCAYIWRDWEPG-KGLIPLIRSA-N 0 0 438.558 -0.221 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](Cc3cnn(C)c3)C2)n1CC(=O)N(C)C ZINC001303834542 1073954202 /nfs/dbraw/zinc/95/42/02/1073954202.db2.gz PUPCAYIWRDWEPG-UONOGXRCSA-N 0 0 438.558 -0.221 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](Cc3cnn(C)c3)C2)n1CC(=O)N(C)C ZINC001303834543 1073954080 /nfs/dbraw/zinc/95/40/80/1073954080.db2.gz PUPCAYIWRDWEPG-ZIAGYGMSSA-N 0 0 438.558 -0.221 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(CO)CC2)n1CCC(=O)N1CCOCC1 ZINC001303835475 1073954142 /nfs/dbraw/zinc/95/41/42/1073954142.db2.gz APWKTLKDNFFLMM-AWEZNQCLSA-N 0 0 444.558 -0.654 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(CO)CC2)n1CCC(=O)N1CCOCC1 ZINC001303835477 1073954070 /nfs/dbraw/zinc/95/40/70/1073954070.db2.gz APWKTLKDNFFLMM-CQSZACIVSA-N 0 0 444.558 -0.654 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(N3CCCC3=O)CC2)n1CC(=O)N(C)C ZINC001303835757 1073954114 /nfs/dbraw/zinc/95/41/14/1073954114.db2.gz DTDGIZBILLACCT-CYBMUJFWSA-N 0 0 441.558 -0.432 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(N3CCCC3=O)CC2)n1CC(=O)N(C)C ZINC001303835758 1073954415 /nfs/dbraw/zinc/95/44/15/1073954415.db2.gz DTDGIZBILLACCT-ZDUSSCGKSA-N 0 0 441.558 -0.432 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](CN3CCOCC3)C2)n1CC(C)(C)O ZINC001303836607 1073954612 /nfs/dbraw/zinc/95/46/12/1073954612.db2.gz OHQMRVZYXCSYMD-CABCVRRESA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](CN3CCOCC3)C2)n1CC(C)(C)O ZINC001303836609 1073954542 /nfs/dbraw/zinc/95/45/42/1073954542.db2.gz OHQMRVZYXCSYMD-GJZGRUSLSA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](CN3CCOCC3)C2)n1CC(C)(C)O ZINC001303836612 1073954445 /nfs/dbraw/zinc/95/44/45/1073954445.db2.gz OHQMRVZYXCSYMD-HUUCEWRRSA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](CN3CCOCC3)C2)n1CC(C)(C)O ZINC001303836614 1073954589 /nfs/dbraw/zinc/95/45/89/1073954589.db2.gz OHQMRVZYXCSYMD-LSDHHAIUSA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CC(F)(F)F ZINC001303838682 1073954550 /nfs/dbraw/zinc/95/45/50/1073954550.db2.gz KRVBQSGIRHXWPN-SECBINFHSA-N 0 0 448.493 -0.031 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CC(F)(F)F ZINC001303838685 1073954605 /nfs/dbraw/zinc/95/46/05/1073954605.db2.gz KRVBQSGIRHXWPN-VIFPVBQESA-N 0 0 448.493 -0.031 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCOC3)C2)n1CCCS(C)(=O)=O ZINC001303840370 1073954438 /nfs/dbraw/zinc/95/44/38/1073954438.db2.gz DFLFPMGCDHLRSO-BBRMVZONSA-N 0 0 435.572 -0.060 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCOC3)C2)n1CCCS(C)(=O)=O ZINC001303840372 1073954429 /nfs/dbraw/zinc/95/44/29/1073954429.db2.gz DFLFPMGCDHLRSO-CJNGLKHVSA-N 0 0 435.572 -0.060 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCOC3)C2)n1CCCS(C)(=O)=O ZINC001303840373 1073954462 /nfs/dbraw/zinc/95/44/62/1073954462.db2.gz DFLFPMGCDHLRSO-CZUORRHYSA-N 0 0 435.572 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCOC3)C2)n1CCCS(C)(=O)=O ZINC001303840374 1073954421 /nfs/dbraw/zinc/95/44/21/1073954421.db2.gz DFLFPMGCDHLRSO-XJKSGUPXSA-N 0 0 435.572 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1C[C@H]1COCCO1 ZINC001303841889 1073954472 /nfs/dbraw/zinc/95/44/72/1073954472.db2.gz JPKFPZGNUZDDMG-KBPBESRZSA-N 0 0 442.542 -0.590 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1C[C@H]1COCCO1 ZINC001303841892 1073954406 /nfs/dbraw/zinc/95/44/06/1073954406.db2.gz JPKFPZGNUZDDMG-KGLIPLIRSA-N 0 0 442.542 -0.590 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CCCn1ccnn1 ZINC001303842313 1073954492 /nfs/dbraw/zinc/95/44/92/1073954492.db2.gz NJRLKJPYMRWDRT-CXAGYDPISA-N 0 0 437.530 -0.571 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CCCn1ccnn1 ZINC001303842314 1073954573 /nfs/dbraw/zinc/95/45/73/1073954573.db2.gz NJRLKJPYMRWDRT-DYVFJYSZSA-N 0 0 437.530 -0.571 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CCCn1ccnn1 ZINC001303842315 1073954580 /nfs/dbraw/zinc/95/45/80/1073954580.db2.gz NJRLKJPYMRWDRT-GUYCJALGSA-N 0 0 437.530 -0.571 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CCCn1ccnn1 ZINC001303842316 1073955074 /nfs/dbraw/zinc/95/50/74/1073955074.db2.gz NJRLKJPYMRWDRT-SUMWQHHRSA-N 0 0 437.530 -0.571 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1C[C@]1(O)CCO[C@H]1C ZINC001303842475 1073955081 /nfs/dbraw/zinc/95/50/81/1073955081.db2.gz AMVNINGICYNRIZ-DSIZOQBWSA-N 0 0 442.542 -0.855 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001303842476 1073955088 /nfs/dbraw/zinc/95/50/88/1073955088.db2.gz AMVNINGICYNRIZ-GGNLRSJOSA-N 0 0 442.542 -0.855 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1C[C@@]1(O)CCO[C@H]1C ZINC001303842477 1073955142 /nfs/dbraw/zinc/95/51/42/1073955142.db2.gz AMVNINGICYNRIZ-LUVWLHFXSA-N 0 0 442.542 -0.855 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1C[C@]1(O)CCO[C@@H]1C ZINC001303842478 1073955099 /nfs/dbraw/zinc/95/50/99/1073955099.db2.gz AMVNINGICYNRIZ-RUZGCFLTSA-N 0 0 442.542 -0.855 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1[C@@H]1C[C@H]1C ZINC001303842823 1073955108 /nfs/dbraw/zinc/95/51/08/1073955108.db2.gz SIMSYZGVGRONRE-GRYCIOLGSA-N 0 0 425.515 -0.010 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1[C@@H]1C[C@@H]1C ZINC001303842824 1073955164 /nfs/dbraw/zinc/95/51/64/1073955164.db2.gz SIMSYZGVGRONRE-SDDRHHMPSA-N 0 0 425.515 -0.010 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1[C@H]1C[C@@H]1C ZINC001303842825 1073955203 /nfs/dbraw/zinc/95/52/03/1073955203.db2.gz SIMSYZGVGRONRE-SRVKXCTJSA-N 0 0 425.515 -0.010 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1[C@H]1C[C@H]1C ZINC001303842826 1073955158 /nfs/dbraw/zinc/95/51/58/1073955158.db2.gz SIMSYZGVGRONRE-WOPDTQHZSA-N 0 0 425.515 -0.010 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCOCCCO ZINC001303842939 1073955188 /nfs/dbraw/zinc/95/51/88/1073955188.db2.gz FWKQHILCWGKPRP-CXAGYDPISA-N 0 0 430.531 -0.996 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCOCCCO ZINC001303842940 1073955053 /nfs/dbraw/zinc/95/50/53/1073955053.db2.gz FWKQHILCWGKPRP-DYVFJYSZSA-N 0 0 430.531 -0.996 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCOCCCO ZINC001303842941 1073955181 /nfs/dbraw/zinc/95/51/81/1073955181.db2.gz FWKQHILCWGKPRP-GUYCJALGSA-N 0 0 430.531 -0.996 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCOCCCO ZINC001303842942 1073955136 /nfs/dbraw/zinc/95/51/36/1073955136.db2.gz FWKQHILCWGKPRP-SUMWQHHRSA-N 0 0 430.531 -0.996 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCOC3)C2)n1CCNS(C)(=O)=O ZINC001303843209 1073955149 /nfs/dbraw/zinc/95/51/49/1073955149.db2.gz VYVULANQCOAZSX-DOMZBBRYSA-N 0 0 436.560 -0.946 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCOC3)C2)n1CCNS(C)(=O)=O ZINC001303843210 1073955123 /nfs/dbraw/zinc/95/51/23/1073955123.db2.gz VYVULANQCOAZSX-IUODEOHRSA-N 0 0 436.560 -0.946 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCOC3)C2)n1CCNS(C)(=O)=O ZINC001303843211 1073955114 /nfs/dbraw/zinc/95/51/14/1073955114.db2.gz VYVULANQCOAZSX-SWLSCSKDSA-N 0 0 436.560 -0.946 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCOC3)C2)n1CCNS(C)(=O)=O ZINC001303843212 1073955064 /nfs/dbraw/zinc/95/50/64/1073955064.db2.gz VYVULANQCOAZSX-WFASDCNBSA-N 0 0 436.560 -0.946 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1Cc1ncn(C)n1 ZINC001303843223 1073955194 /nfs/dbraw/zinc/95/51/94/1073955194.db2.gz WCZRGFMZKZCUIG-GFCCVEGCSA-N 0 0 437.530 -0.828 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1Cc1ncn(C)n1 ZINC001303843224 1073955171 /nfs/dbraw/zinc/95/51/71/1073955171.db2.gz WCZRGFMZKZCUIG-LBPRGKRZSA-N 0 0 437.530 -0.828 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1C[C@@H]1COCCO1 ZINC001303844221 1073955781 /nfs/dbraw/zinc/95/57/81/1073955781.db2.gz PCBOJOXSWNLZAG-AHIWAGSCSA-N 0 0 428.515 -0.980 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1C[C@@H]1COCCO1 ZINC001303844222 1073955657 /nfs/dbraw/zinc/95/56/57/1073955657.db2.gz PCBOJOXSWNLZAG-OGHNNQOOSA-N 0 0 428.515 -0.980 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1C[C@@H]1COCCO1 ZINC001303844223 1073955795 /nfs/dbraw/zinc/95/57/95/1073955795.db2.gz PCBOJOXSWNLZAG-PBFPGSCMSA-N 0 0 428.515 -0.980 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1C[C@@H]1COCCO1 ZINC001303844224 1073955819 /nfs/dbraw/zinc/95/58/19/1073955819.db2.gz PCBOJOXSWNLZAG-XNJGSVPQSA-N 0 0 428.515 -0.980 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](C(N)=O)C2)n1CCN1CCOC[C@H]1C ZINC001303847260 1073955753 /nfs/dbraw/zinc/95/57/53/1073955753.db2.gz UXCJAZIZCPVMLF-QLFBSQMISA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](C(N)=O)C2)n1CCN1CCOC[C@@H]1C ZINC001303847261 1073955687 /nfs/dbraw/zinc/95/56/87/1073955687.db2.gz UXCJAZIZCPVMLF-SOUVJXGZSA-N 0 0 443.574 -0.689 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC1=CCCOC1 ZINC001303848502 1073955789 /nfs/dbraw/zinc/95/57/89/1073955789.db2.gz WWNDIVUCTHIIDX-CYBMUJFWSA-N 0 0 449.537 -0.109 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC1=CCCOC1 ZINC001303848503 1073955670 /nfs/dbraw/zinc/95/56/70/1073955670.db2.gz WWNDIVUCTHIIDX-ZDUSSCGKSA-N 0 0 449.537 -0.109 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1Cc1ccn(C)n1 ZINC001303850629 1073955776 /nfs/dbraw/zinc/95/57/76/1073955776.db2.gz XEGGITUBMXRHDH-GXTWGEPZSA-N 0 0 445.571 -0.317 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1Cc1ccn(C)n1 ZINC001303850630 1073955613 /nfs/dbraw/zinc/95/56/13/1073955613.db2.gz XEGGITUBMXRHDH-JSGCOSHPSA-N 0 0 445.571 -0.317 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1Cc1ccn(C)n1 ZINC001303850631 1073955582 /nfs/dbraw/zinc/95/55/82/1073955582.db2.gz XEGGITUBMXRHDH-OCCSQVGLSA-N 0 0 445.571 -0.317 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1Cc1ccn(C)n1 ZINC001303850632 1073955646 /nfs/dbraw/zinc/95/56/46/1073955646.db2.gz XEGGITUBMXRHDH-TZMCWYRMSA-N 0 0 445.571 -0.317 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](n3cncn3)C2)n1CCC(=O)N(C)C ZINC001303850709 1073955744 /nfs/dbraw/zinc/95/57/44/1073955744.db2.gz YAPCNMFZOVUNCP-KBPBESRZSA-N 0 0 439.546 -0.200 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](n3cncn3)C2)n1CCC(=O)N(C)C ZINC001303850710 1073955800 /nfs/dbraw/zinc/95/58/00/1073955800.db2.gz YAPCNMFZOVUNCP-KGLIPLIRSA-N 0 0 439.546 -0.200 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](n3cncn3)C2)n1CCC(=O)N(C)C ZINC001303850711 1073955824 /nfs/dbraw/zinc/95/58/24/1073955824.db2.gz YAPCNMFZOVUNCP-UONOGXRCSA-N 0 0 439.546 -0.200 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](n3cncn3)C2)n1CCC(=O)N(C)C ZINC001303850712 1073955813 /nfs/dbraw/zinc/95/58/13/1073955813.db2.gz YAPCNMFZOVUNCP-ZIAGYGMSSA-N 0 0 439.546 -0.200 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H]2c2nncn2C)n1CCC(=O)N(C)C ZINC001303854264 1073955725 /nfs/dbraw/zinc/95/57/25/1073955725.db2.gz QSNWZWDKSUVWLZ-CHWSQXEVSA-N 0 0 439.546 -0.163 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H]2c2nncn2C)n1CCC(=O)N(C)C ZINC001303854268 1073956328 /nfs/dbraw/zinc/95/63/28/1073956328.db2.gz QSNWZWDKSUVWLZ-OLZOCXBDSA-N 0 0 439.546 -0.163 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H]2c2nncn2C)n1CCC(=O)N(C)C ZINC001303854269 1073956155 /nfs/dbraw/zinc/95/61/55/1073956155.db2.gz QSNWZWDKSUVWLZ-QWHCGFSZSA-N 0 0 439.546 -0.163 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H]2c2nncn2C)n1CCC(=O)N(C)C ZINC001303854270 1073956293 /nfs/dbraw/zinc/95/62/93/1073956293.db2.gz QSNWZWDKSUVWLZ-STQMWFEESA-N 0 0 439.546 -0.163 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1CC1(O)CC1 ZINC001303858204 1073956186 /nfs/dbraw/zinc/95/61/86/1073956186.db2.gz BFFIYHDAFCGZAS-KBPBESRZSA-N 0 0 442.542 -0.759 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1CC1(O)CC1 ZINC001303858205 1073956214 /nfs/dbraw/zinc/95/62/14/1073956214.db2.gz BFFIYHDAFCGZAS-KGLIPLIRSA-N 0 0 442.542 -0.759 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1CC1(O)CC1 ZINC001303858206 1073956357 /nfs/dbraw/zinc/95/63/57/1073956357.db2.gz BFFIYHDAFCGZAS-UONOGXRCSA-N 0 0 442.542 -0.759 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1CC1(O)CC1 ZINC001303858207 1073956167 /nfs/dbraw/zinc/95/61/67/1073956167.db2.gz BFFIYHDAFCGZAS-ZIAGYGMSSA-N 0 0 442.542 -0.759 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@](C)(O)c1ccco1 ZINC001303858608 1073956303 /nfs/dbraw/zinc/95/63/03/1073956303.db2.gz DKMHFZAQAOGIFG-PXAZEXFGSA-N 0 0 426.499 -0.333 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@](C)(O)c1ccco1 ZINC001303858609 1073956320 /nfs/dbraw/zinc/95/63/20/1073956320.db2.gz DKMHFZAQAOGIFG-SJCJKPOMSA-N 0 0 426.499 -0.333 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@@](C)(O)c1ccco1 ZINC001303858610 1073956139 /nfs/dbraw/zinc/95/61/39/1073956139.db2.gz DKMHFZAQAOGIFG-SJKOYZFVSA-N 0 0 426.499 -0.333 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@@](C)(O)c1ccco1 ZINC001303858611 1073956176 /nfs/dbraw/zinc/95/61/76/1073956176.db2.gz DKMHFZAQAOGIFG-YVEFUNNKSA-N 0 0 426.499 -0.333 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCCc1cnn(C)n1 ZINC001303858754 1073956313 /nfs/dbraw/zinc/95/63/13/1073956313.db2.gz FJVSTOTZMSLBLA-CHWSQXEVSA-N 0 0 439.546 -0.684 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCCc1cnn(C)n1 ZINC001303858755 1073956225 /nfs/dbraw/zinc/95/62/25/1073956225.db2.gz FJVSTOTZMSLBLA-OLZOCXBDSA-N 0 0 439.546 -0.684 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCCc1cnn(C)n1 ZINC001303858756 1073956386 /nfs/dbraw/zinc/95/63/86/1073956386.db2.gz FJVSTOTZMSLBLA-QWHCGFSZSA-N 0 0 439.546 -0.684 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCCc1cnn(C)n1 ZINC001303858757 1073956198 /nfs/dbraw/zinc/95/61/98/1073956198.db2.gz FJVSTOTZMSLBLA-STQMWFEESA-N 0 0 439.546 -0.684 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303858762 1073956365 /nfs/dbraw/zinc/95/63/65/1073956365.db2.gz FQQFSZGLCADCHB-RFQIPJPRSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303858763 1073956777 /nfs/dbraw/zinc/95/67/77/1073956777.db2.gz FQQFSZGLCADCHB-XDQVBPFNSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303858764 1073956701 /nfs/dbraw/zinc/95/67/01/1073956701.db2.gz FQQFSZGLCADCHB-YIYPIFLZSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303858765 1073956717 /nfs/dbraw/zinc/95/67/17/1073956717.db2.gz FQQFSZGLCADCHB-ZOBORPQBSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@H]1CCn2ccnc2C1 ZINC001303859290 1073956748 /nfs/dbraw/zinc/95/67/48/1073956748.db2.gz LWZMKHQEZKINET-KBPBESRZSA-N 0 0 436.542 -0.374 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@H]1CCn2ccnc2C1 ZINC001303859291 1073956757 /nfs/dbraw/zinc/95/67/57/1073956757.db2.gz LWZMKHQEZKINET-KGLIPLIRSA-N 0 0 436.542 -0.374 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@@H]1CCn2ccnc2C1 ZINC001303859292 1073956724 /nfs/dbraw/zinc/95/67/24/1073956724.db2.gz LWZMKHQEZKINET-UONOGXRCSA-N 0 0 436.542 -0.374 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@@H]1CCn2ccnc2C1 ZINC001303859293 1073956707 /nfs/dbraw/zinc/95/67/07/1073956707.db2.gz LWZMKHQEZKINET-ZIAGYGMSSA-N 0 0 436.542 -0.374 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1Cc1cnn2ccccc12 ZINC001303859430 1073956782 /nfs/dbraw/zinc/95/67/82/1073956782.db2.gz OHQVWHLCBKNSDK-CYBMUJFWSA-N 0 0 432.510 -0.137 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1Cc1cnn2ccccc12 ZINC001303859431 1073956800 /nfs/dbraw/zinc/95/68/00/1073956800.db2.gz OHQVWHLCBKNSDK-ZDUSSCGKSA-N 0 0 432.510 -0.137 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1Cc1nnc2n1CCC2 ZINC001303859574 1073956810 /nfs/dbraw/zinc/95/68/10/1073956810.db2.gz QNKVGDOMWCKJNF-NEPJUHHUSA-N 0 0 437.530 -0.859 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1Cc1nnc2n1CCC2 ZINC001303859575 1073956688 /nfs/dbraw/zinc/95/66/88/1073956688.db2.gz QNKVGDOMWCKJNF-NWDGAFQWSA-N 0 0 437.530 -0.859 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1Cc1nnc2n1CCC2 ZINC001303859576 1073956768 /nfs/dbraw/zinc/95/67/68/1073956768.db2.gz QNKVGDOMWCKJNF-RYUDHWBXSA-N 0 0 437.530 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1Cc1nnc2n1CCC2 ZINC001303859577 1073956774 /nfs/dbraw/zinc/95/67/74/1073956774.db2.gz QNKVGDOMWCKJNF-VXGBXAGGSA-N 0 0 437.530 -0.859 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCCC(=O)NC1CC1 ZINC001303859824 1073956733 /nfs/dbraw/zinc/95/67/33/1073956733.db2.gz VOJSUCHWAGDFSE-CHWSQXEVSA-N 0 0 441.558 -0.386 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCCC(=O)NC1CC1 ZINC001303859825 1073956802 /nfs/dbraw/zinc/95/68/02/1073956802.db2.gz VOJSUCHWAGDFSE-OLZOCXBDSA-N 0 0 441.558 -0.386 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCCC(=O)NC1CC1 ZINC001303859826 1073956740 /nfs/dbraw/zinc/95/67/40/1073956740.db2.gz VOJSUCHWAGDFSE-QWHCGFSZSA-N 0 0 441.558 -0.386 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCCC(=O)NC1CC1 ZINC001303859827 1073957264 /nfs/dbraw/zinc/95/72/64/1073957264.db2.gz VOJSUCHWAGDFSE-STQMWFEESA-N 0 0 441.558 -0.386 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CC1(O)CCOCC1 ZINC001303859856 1073957244 /nfs/dbraw/zinc/95/72/44/1073957244.db2.gz WEDPPPGKPBTLEV-CHWSQXEVSA-N 0 0 430.531 -0.903 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CC1(O)CCOCC1 ZINC001303859857 1073957307 /nfs/dbraw/zinc/95/73/07/1073957307.db2.gz WEDPPPGKPBTLEV-OLZOCXBDSA-N 0 0 430.531 -0.903 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CC1(O)CCOCC1 ZINC001303859858 1073957343 /nfs/dbraw/zinc/95/73/43/1073957343.db2.gz WEDPPPGKPBTLEV-QWHCGFSZSA-N 0 0 430.531 -0.903 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CC1(O)CCOCC1 ZINC001303859859 1073957326 /nfs/dbraw/zinc/95/73/26/1073957326.db2.gz WEDPPPGKPBTLEV-STQMWFEESA-N 0 0 430.531 -0.903 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N3CCCC3)CC2)n1CC(C)(C)O ZINC001303859972 1073957250 /nfs/dbraw/zinc/95/72/50/1073957250.db2.gz XVAQVWLASBFLID-AWEZNQCLSA-N 0 0 443.574 -0.003 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N3CCCC3)CC2)n1CC(C)(C)O ZINC001303859973 1073957349 /nfs/dbraw/zinc/95/73/49/1073957349.db2.gz XVAQVWLASBFLID-CQSZACIVSA-N 0 0 443.574 -0.003 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@@]1(C)COCCO1 ZINC001303859976 1073957200 /nfs/dbraw/zinc/95/72/00/1073957200.db2.gz XYPZEHAZDJGCRN-AHIWAGSCSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@@]1(C)COCCO1 ZINC001303859977 1073957163 /nfs/dbraw/zinc/95/71/63/1073957163.db2.gz XYPZEHAZDJGCRN-DCGLDWPTSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@]1(C)COCCO1 ZINC001303859978 1073957218 /nfs/dbraw/zinc/95/72/18/1073957218.db2.gz XYPZEHAZDJGCRN-GDZNZVCISA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@]1(C)COCCO1 ZINC001303859979 1073957258 /nfs/dbraw/zinc/95/72/58/1073957258.db2.gz XYPZEHAZDJGCRN-OGHNNQOOSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CCOCC(F)F ZINC001303860355 1073957333 /nfs/dbraw/zinc/95/73/33/1073957333.db2.gz ADGDWPZCFITPEJ-LLVKDONJSA-N 0 0 436.485 -0.019 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CCOCC(F)F ZINC001303860360 1073957269 /nfs/dbraw/zinc/95/72/69/1073957269.db2.gz ADGDWPZCFITPEJ-NSHDSACASA-N 0 0 436.485 -0.019 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(c3cnccn3)CC2)n1CC1(O)CCC1 ZINC001303860395 1073957315 /nfs/dbraw/zinc/95/73/15/1073957315.db2.gz ARAHNSFXKZELQY-AWEZNQCLSA-N 0 0 436.542 -0.080 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(c3cnccn3)CC2)n1CC1(O)CCC1 ZINC001303860401 1073957282 /nfs/dbraw/zinc/95/72/82/1073957282.db2.gz ARAHNSFXKZELQY-CQSZACIVSA-N 0 0 436.542 -0.080 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(c3ncccn3)CC2)n1CC1(O)CCC1 ZINC001303860579 1073957188 /nfs/dbraw/zinc/95/71/88/1073957188.db2.gz BUGXZMJWFUNNCL-AWEZNQCLSA-N 0 0 436.542 -0.080 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(c3ncccn3)CC2)n1CC1(O)CCC1 ZINC001303860582 1073957175 /nfs/dbraw/zinc/95/71/75/1073957175.db2.gz BUGXZMJWFUNNCL-CQSZACIVSA-N 0 0 436.542 -0.080 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CC(=O)NCC(F)F ZINC001303861481 1073957208 /nfs/dbraw/zinc/95/72/08/1073957208.db2.gz FLDIUYOVRHUWIJ-JTQLQIEISA-N 0 0 449.484 -0.919 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CC(=O)NCC(F)F ZINC001303861494 1073957715 /nfs/dbraw/zinc/95/77/15/1073957715.db2.gz FLDIUYOVRHUWIJ-SNVBAGLBSA-N 0 0 449.484 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(c3cccnn3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001303862698 1073957764 /nfs/dbraw/zinc/95/77/64/1073957764.db2.gz KIVSLLBUOWURHS-UONOGXRCSA-N 0 0 449.541 -0.717 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(c3cccnn3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001303862699 1073957749 /nfs/dbraw/zinc/95/77/49/1073957749.db2.gz KIVSLLBUOWURHS-ZIAGYGMSSA-N 0 0 449.541 -0.717 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(c3ccccn3)CC2)n1CC(=O)N(C)C ZINC001303863258 1073957799 /nfs/dbraw/zinc/95/77/99/1073957799.db2.gz OVMQAMZJQPGNAB-AWEZNQCLSA-N 0 0 436.542 -0.302 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(c3ccccn3)CC2)n1CC(=O)N(C)C ZINC001303863259 1073957757 /nfs/dbraw/zinc/95/77/57/1073957757.db2.gz OVMQAMZJQPGNAB-CQSZACIVSA-N 0 0 436.542 -0.302 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1Cc1nccs1 ZINC001303864226 1073957708 /nfs/dbraw/zinc/95/77/08/1073957708.db2.gz AWHZKSANABLALF-LLVKDONJSA-N 0 0 449.584 -0.525 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1Cc1nccs1 ZINC001303864228 1073957726 /nfs/dbraw/zinc/95/77/26/1073957726.db2.gz AWHZKSANABLALF-NSHDSACASA-N 0 0 449.584 -0.525 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC1(C)COC1 ZINC001303864706 1073957770 /nfs/dbraw/zinc/95/77/70/1073957770.db2.gz GCLBYAFAKVYFBP-AWEZNQCLSA-N 0 0 443.574 -0.865 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC1(C)COC1 ZINC001303864707 1073957777 /nfs/dbraw/zinc/95/77/77/1073957777.db2.gz GCLBYAFAKVYFBP-CQSZACIVSA-N 0 0 443.574 -0.865 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1C[C@@]1(C)COCCO1 ZINC001303864760 1073957744 /nfs/dbraw/zinc/95/77/44/1073957744.db2.gz HCRMYBQSNKTYGM-DEYYWGMASA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1C[C@@]1(C)COCCO1 ZINC001303864761 1073957697 /nfs/dbraw/zinc/95/76/97/1073957697.db2.gz HCRMYBQSNKTYGM-IYOUNJFTSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1C[C@]1(C)COCCO1 ZINC001303864762 1073957723 /nfs/dbraw/zinc/95/77/23/1073957723.db2.gz HCRMYBQSNKTYGM-PMUMKWKESA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1C[C@]1(C)COCCO1 ZINC001303864763 1073957766 /nfs/dbraw/zinc/95/77/66/1073957766.db2.gz HCRMYBQSNKTYGM-SUNYJGFJSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1Cc1ccoc1 ZINC001303864940 1073957747 /nfs/dbraw/zinc/95/77/47/1073957747.db2.gz JUVQGCDOQPDVNM-QWHCGFSZSA-N 0 0 446.555 0.000 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1Cc1ccoc1 ZINC001303864941 1073957773 /nfs/dbraw/zinc/95/77/73/1073957773.db2.gz JUVQGCDOQPDVNM-STQMWFEESA-N 0 0 446.555 0.000 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1C[C@H]1CCC(=O)N1 ZINC001303865081 1073958245 /nfs/dbraw/zinc/95/82/45/1073958245.db2.gz MAIKBMCSUWQRGS-NWDGAFQWSA-N 0 0 435.501 -0.446 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1C[C@H]1CCC(=O)N1 ZINC001303865082 1073958292 /nfs/dbraw/zinc/95/82/92/1073958292.db2.gz MAIKBMCSUWQRGS-VXGBXAGGSA-N 0 0 435.501 -0.446 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCC(=O)NC1CC1 ZINC001303865670 1073958265 /nfs/dbraw/zinc/95/82/65/1073958265.db2.gz CDPHBUTVNWJRQG-GFCCVEGCSA-N 0 0 427.531 -0.727 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCC(=O)NC1CC1 ZINC001303865671 1073958370 /nfs/dbraw/zinc/95/83/70/1073958370.db2.gz CDPHBUTVNWJRQG-LBPRGKRZSA-N 0 0 427.531 -0.727 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCOC1CCOCC1 ZINC001303865688 1073958146 /nfs/dbraw/zinc/95/81/46/1073958146.db2.gz CQIXWHVZTXDFCG-AWEZNQCLSA-N 0 0 444.558 -0.200 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCOC1CCOCC1 ZINC001303865689 1073958197 /nfs/dbraw/zinc/95/81/97/1073958197.db2.gz CQIXWHVZTXDFCG-CQSZACIVSA-N 0 0 444.558 -0.200 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCCCCCO ZINC001303865828 1073958205 /nfs/dbraw/zinc/95/82/05/1073958205.db2.gz DHBBJEXFGQEMEE-KBPBESRZSA-N 0 0 429.547 -0.345 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCCCCCO ZINC001303865829 1073958185 /nfs/dbraw/zinc/95/81/85/1073958185.db2.gz DHBBJEXFGQEMEE-KGLIPLIRSA-N 0 0 429.547 -0.345 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCCCCCO ZINC001303865830 1073958299 /nfs/dbraw/zinc/95/82/99/1073958299.db2.gz DHBBJEXFGQEMEE-UONOGXRCSA-N 0 0 429.547 -0.345 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCCCCCO ZINC001303865831 1073958211 /nfs/dbraw/zinc/95/82/11/1073958211.db2.gz DHBBJEXFGQEMEE-ZIAGYGMSSA-N 0 0 429.547 -0.345 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@]1(C)CCCO1 ZINC001303866064 1073958272 /nfs/dbraw/zinc/95/82/72/1073958272.db2.gz FXMKRUHFKCPDAD-AHIWAGSCSA-N 0 0 427.531 -0.329 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@]1(C)CCCO1 ZINC001303866065 1073958730 /nfs/dbraw/zinc/95/87/30/1073958730.db2.gz FXMKRUHFKCPDAD-DCGLDWPTSA-N 0 0 427.531 -0.329 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@]1(C)CCCO1 ZINC001303866066 1073958690 /nfs/dbraw/zinc/95/86/90/1073958690.db2.gz FXMKRUHFKCPDAD-GDZNZVCISA-N 0 0 427.531 -0.329 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@]1(C)CCCO1 ZINC001303866067 1073958722 /nfs/dbraw/zinc/95/87/22/1073958722.db2.gz FXMKRUHFKCPDAD-OGHNNQOOSA-N 0 0 427.531 -0.329 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CC1(O)CCOCC1 ZINC001303866108 1073958710 /nfs/dbraw/zinc/95/87/10/1073958710.db2.gz GHXSBOXDLYCBCN-CHWSQXEVSA-N 0 0 444.514 -0.929 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CC1(O)CCOCC1 ZINC001303866109 1073958671 /nfs/dbraw/zinc/95/86/71/1073958671.db2.gz GHXSBOXDLYCBCN-OLZOCXBDSA-N 0 0 444.514 -0.929 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CC1(O)CCOCC1 ZINC001303866110 1073958702 /nfs/dbraw/zinc/95/87/02/1073958702.db2.gz GHXSBOXDLYCBCN-QWHCGFSZSA-N 0 0 444.514 -0.929 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CC1(O)CCOCC1 ZINC001303866111 1073958667 /nfs/dbraw/zinc/95/86/67/1073958667.db2.gz GHXSBOXDLYCBCN-STQMWFEESA-N 0 0 444.514 -0.929 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@@H]1C[C@H]1C ZINC001303866215 1073958745 /nfs/dbraw/zinc/95/87/45/1073958745.db2.gz YSKMMKDUWLHRJI-ILXRZTDVSA-N 0 0 427.575 -0.246 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@@H]1C[C@@H]1C ZINC001303866217 1073958697 /nfs/dbraw/zinc/95/86/97/1073958697.db2.gz YSKMMKDUWLHRJI-KKUMJFAQSA-N 0 0 427.575 -0.246 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H]1C[C@H]1C ZINC001303866219 1073958693 /nfs/dbraw/zinc/95/86/93/1073958693.db2.gz YSKMMKDUWLHRJI-QLFBSQMISA-N 0 0 427.575 -0.246 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCN1CCOC1=O ZINC001303866220 1073958751 /nfs/dbraw/zinc/95/87/51/1073958751.db2.gz HFPUMSNBSOVMJM-GFCCVEGCSA-N 0 0 429.503 -0.943 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H]1C[C@@H]1C ZINC001303866221 1073958757 /nfs/dbraw/zinc/95/87/57/1073958757.db2.gz YSKMMKDUWLHRJI-SOUVJXGZSA-N 0 0 427.575 -0.246 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCCN1CCOC1=O ZINC001303866229 1073958682 /nfs/dbraw/zinc/95/86/82/1073958682.db2.gz HFPUMSNBSOVMJM-LBPRGKRZSA-N 0 0 429.503 -0.943 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccccc1 ZINC001303866372 1073958677 /nfs/dbraw/zinc/95/86/77/1073958677.db2.gz ZSLHLQLEWOYUME-DZGCQCFKSA-N 0 0 447.521 -0.916 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccccc1 ZINC001303866375 1073959240 /nfs/dbraw/zinc/95/92/40/1073959240.db2.gz ZSLHLQLEWOYUME-HIFRSBDPSA-N 0 0 447.521 -0.916 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccccc1 ZINC001303866377 1073959263 /nfs/dbraw/zinc/95/92/63/1073959263.db2.gz ZSLHLQLEWOYUME-UKRRQHHQSA-N 0 0 447.521 -0.916 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccccc1 ZINC001303866379 1073959294 /nfs/dbraw/zinc/95/92/94/1073959294.db2.gz ZSLHLQLEWOYUME-ZFWWWQNUSA-N 0 0 447.521 -0.916 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@](C)(O)C1CC1 ZINC001303866459 1073959184 /nfs/dbraw/zinc/95/91/84/1073959184.db2.gz HUNJJRCDXCSLOL-BNLOLNQZSA-N 0 0 427.531 -0.737 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@](C)(O)C1CC1 ZINC001303866460 1073959268 /nfs/dbraw/zinc/95/92/68/1073959268.db2.gz HUNJJRCDXCSLOL-PLQHRBFRSA-N 0 0 427.531 -0.737 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@](C)(O)C1CC1 ZINC001303866461 1073959308 /nfs/dbraw/zinc/95/93/08/1073959308.db2.gz HUNJJRCDXCSLOL-PPHDSNJXSA-N 0 0 427.531 -0.737 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@](C)(O)C1CC1 ZINC001303866462 1073959223 /nfs/dbraw/zinc/95/92/23/1073959223.db2.gz HUNJJRCDXCSLOL-XTQGRXLLSA-N 0 0 427.531 -0.737 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCC[C@@H](O)C1 ZINC001303866483 1073959227 /nfs/dbraw/zinc/95/92/27/1073959227.db2.gz IFFBMQMAARBIMH-BYNSBNAKSA-N 0 0 441.558 -0.347 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCC[C@@H](O)C1 ZINC001303866484 1073959302 /nfs/dbraw/zinc/95/93/02/1073959302.db2.gz IFFBMQMAARBIMH-GBJTYRQASA-N 0 0 441.558 -0.347 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCC[C@H](O)C1 ZINC001303866485 1073959172 /nfs/dbraw/zinc/95/91/72/1073959172.db2.gz IFFBMQMAARBIMH-XQLPTFJDSA-N 0 0 441.558 -0.347 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCC[C@H](O)C1 ZINC001303866486 1073959204 /nfs/dbraw/zinc/95/92/04/1073959204.db2.gz IFFBMQMAARBIMH-YJNKXOJESA-N 0 0 441.558 -0.347 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCCS(C)(=O)=O ZINC001303866777 1073959212 /nfs/dbraw/zinc/95/92/12/1073959212.db2.gz KVJYKOZWYURRJP-CHWSQXEVSA-N 0 0 448.571 -0.866 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCCS(C)(=O)=O ZINC001303866778 1073959232 /nfs/dbraw/zinc/95/92/32/1073959232.db2.gz KVJYKOZWYURRJP-OLZOCXBDSA-N 0 0 448.571 -0.866 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCCS(C)(=O)=O ZINC001303866779 1073959277 /nfs/dbraw/zinc/95/92/77/1073959277.db2.gz KVJYKOZWYURRJP-QWHCGFSZSA-N 0 0 448.571 -0.866 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCCS(C)(=O)=O ZINC001303866780 1073959210 /nfs/dbraw/zinc/95/92/10/1073959210.db2.gz KVJYKOZWYURRJP-STQMWFEESA-N 0 0 448.571 -0.866 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC1CCOCC1 ZINC001303867441 1073959187 /nfs/dbraw/zinc/95/91/87/1073959187.db2.gz QDJOMARLWPRFMX-GDBMZVCRSA-N 0 0 428.559 -0.164 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC1CCOCC1 ZINC001303867442 1073959662 /nfs/dbraw/zinc/95/96/62/1073959662.db2.gz QDJOMARLWPRFMX-GOEBONIOSA-N 0 0 428.559 -0.164 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC1CCOCC1 ZINC001303867443 1073959811 /nfs/dbraw/zinc/95/98/11/1073959811.db2.gz QDJOMARLWPRFMX-HOCLYGCPSA-N 0 0 428.559 -0.164 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC1CCOCC1 ZINC001303867444 1073959859 /nfs/dbraw/zinc/95/98/59/1073959859.db2.gz QDJOMARLWPRFMX-ZBFHGGJFSA-N 0 0 428.559 -0.164 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CC1CCOCC1 ZINC001303867569 1073959698 /nfs/dbraw/zinc/95/96/98/1073959698.db2.gz QMAWHROXUVWACI-GXTWGEPZSA-N 0 0 428.515 -0.044 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CC1CCOCC1 ZINC001303867570 1073959834 /nfs/dbraw/zinc/95/98/34/1073959834.db2.gz QMAWHROXUVWACI-JSGCOSHPSA-N 0 0 428.515 -0.044 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CC1CCOCC1 ZINC001303867571 1073959712 /nfs/dbraw/zinc/95/97/12/1073959712.db2.gz QMAWHROXUVWACI-OCCSQVGLSA-N 0 0 428.515 -0.044 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CC1CCOCC1 ZINC001303867572 1073959843 /nfs/dbraw/zinc/95/98/43/1073959843.db2.gz QMAWHROXUVWACI-TZMCWYRMSA-N 0 0 428.515 -0.044 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1cn2ccsc2n1 ZINC001303867591 1073959688 /nfs/dbraw/zinc/95/96/88/1073959688.db2.gz QWKQSKKRMHHAJT-LLVKDONJSA-N 0 0 438.539 -0.028 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1cn2ccsc2n1 ZINC001303867592 1073959692 /nfs/dbraw/zinc/95/96/92/1073959692.db2.gz QWKQSKKRMHHAJT-NSHDSACASA-N 0 0 438.539 -0.028 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCCOC1 ZINC001303867619 1073959681 /nfs/dbraw/zinc/95/96/81/1073959681.db2.gz RHNRTXZSYZHYQP-BFHYXJOUSA-N 0 0 427.531 -0.471 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@H]1CCCOC1 ZINC001303867620 1073959877 /nfs/dbraw/zinc/95/98/77/1073959877.db2.gz RHNRTXZSYZHYQP-IHRRRGAJSA-N 0 0 427.531 -0.471 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCOC1 ZINC001303867621 1073959788 /nfs/dbraw/zinc/95/97/88/1073959788.db2.gz RHNRTXZSYZHYQP-MELADBBJSA-N 0 0 427.531 -0.471 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@H]1CCCOC1 ZINC001303867622 1073959848 /nfs/dbraw/zinc/95/98/48/1073959848.db2.gz RHNRTXZSYZHYQP-MJBXVCDLSA-N 0 0 427.531 -0.471 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1cnn2ccccc12 ZINC001303867633 1073959704 /nfs/dbraw/zinc/95/97/04/1073959704.db2.gz RQOSYQGNHZBRBP-CYBMUJFWSA-N 0 0 432.510 -0.089 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1cnn2ccccc12 ZINC001303867634 1073959803 /nfs/dbraw/zinc/95/98/03/1073959803.db2.gz RQOSYQGNHZBRBP-ZDUSSCGKSA-N 0 0 432.510 -0.089 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)cc1 ZINC001303867828 1073959716 /nfs/dbraw/zinc/95/97/16/1073959716.db2.gz CBSQYSXTBQWPDU-HNNXBMFYSA-N 0 0 432.520 -0.434 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)C[C@H]2CCO)cc1 ZINC001303867830 1073959743 /nfs/dbraw/zinc/95/97/43/1073959743.db2.gz CBSQYSXTBQWPDU-OAHLLOKOSA-N 0 0 432.520 -0.434 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1C[C@H]1CCC(=O)N1 ZINC001303867895 1073959723 /nfs/dbraw/zinc/95/97/23/1073959723.db2.gz SKEWKHSSIYTXNJ-AXFHLTTASA-N 0 0 440.448 -0.066 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1C[C@H]1CCC(=O)N1 ZINC001303867896 1073960282 /nfs/dbraw/zinc/96/02/82/1073960282.db2.gz SKEWKHSSIYTXNJ-GMTAPVOTSA-N 0 0 440.448 -0.066 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1C[C@H]1CCC(=O)N1 ZINC001303867897 1073960251 /nfs/dbraw/zinc/96/02/51/1073960251.db2.gz SKEWKHSSIYTXNJ-HBNTYKKESA-N 0 0 440.448 -0.066 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1C[C@H]1CCC(=O)N1 ZINC001303867898 1073960372 /nfs/dbraw/zinc/96/03/72/1073960372.db2.gz SKEWKHSSIYTXNJ-MXWKQRLJSA-N 0 0 440.448 -0.066 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CC(C)(C)N1CCOCC1 ZINC001303867972 1073960317 /nfs/dbraw/zinc/96/03/17/1073960317.db2.gz SXIJORWNOMHKMF-AWEZNQCLSA-N 0 0 443.574 -0.675 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CC(C)(C)N1CCOCC1 ZINC001303867978 1073960354 /nfs/dbraw/zinc/96/03/54/1073960354.db2.gz SXIJORWNOMHKMF-CQSZACIVSA-N 0 0 443.574 -0.675 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1C[C@H]1CCC[C@@H](O)C1 ZINC001303868255 1073960217 /nfs/dbraw/zinc/96/02/17/1073960217.db2.gz VAZCGLPXCURXFE-LUKYLMHMSA-N 0 0 442.586 -0.040 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1C[C@H]1CCC[C@H](O)C1 ZINC001303868256 1073960326 /nfs/dbraw/zinc/96/03/26/1073960326.db2.gz VAZCGLPXCURXFE-QAETUUGQSA-N 0 0 442.586 -0.040 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1C[C@@H]1CCC[C@@H](O)C1 ZINC001303868257 1073960286 /nfs/dbraw/zinc/96/02/86/1073960286.db2.gz VAZCGLPXCURXFE-VVLHAWIVSA-N 0 0 442.586 -0.040 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1C[C@@H]1CCC[C@H](O)C1 ZINC001303868258 1073960200 /nfs/dbraw/zinc/96/02/00/1073960200.db2.gz VAZCGLPXCURXFE-YVSFHVDLSA-N 0 0 442.586 -0.040 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCC1(O)CCC1 ZINC001303868275 1073960343 /nfs/dbraw/zinc/96/03/43/1073960343.db2.gz VKZREZNLXDCJOQ-KBPBESRZSA-N 0 0 441.558 -0.251 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCC1(O)CCC1 ZINC001303868276 1073960314 /nfs/dbraw/zinc/96/03/14/1073960314.db2.gz VKZREZNLXDCJOQ-KGLIPLIRSA-N 0 0 441.558 -0.251 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCC1(O)CCC1 ZINC001303868277 1073960259 /nfs/dbraw/zinc/96/02/59/1073960259.db2.gz VKZREZNLXDCJOQ-UONOGXRCSA-N 0 0 441.558 -0.251 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCC1(O)CCC1 ZINC001303868278 1073960362 /nfs/dbraw/zinc/96/03/62/1073960362.db2.gz VKZREZNLXDCJOQ-ZIAGYGMSSA-N 0 0 441.558 -0.251 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC(C)(C)C2)n1CCC(=O)N1CCOCC1 ZINC001303868431 1073960307 /nfs/dbraw/zinc/96/03/07/1073960307.db2.gz NCPBYIXHSBSIOP-AWEZNQCLSA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC(C)(C)C2)n1CCC(=O)N1CCOCC1 ZINC001303868432 1073960273 /nfs/dbraw/zinc/96/02/73/1073960273.db2.gz NCPBYIXHSBSIOP-CQSZACIVSA-N 0 0 444.558 -0.248 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCCC(=O)N(C)C ZINC001303868874 1073960266 /nfs/dbraw/zinc/96/02/66/1073960266.db2.gz YDKFJCGVDIAIMQ-KBPBESRZSA-N 0 0 441.558 -0.432 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCCC(=O)N(C)C ZINC001303868878 1073960188 /nfs/dbraw/zinc/96/01/88/1073960188.db2.gz YDKFJCGVDIAIMQ-KGLIPLIRSA-N 0 0 441.558 -0.432 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCCC(=O)N(C)C ZINC001303868880 1073960350 /nfs/dbraw/zinc/96/03/50/1073960350.db2.gz YDKFJCGVDIAIMQ-UONOGXRCSA-N 0 0 441.558 -0.432 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCCC(=O)N(C)C ZINC001303868883 1073960243 /nfs/dbraw/zinc/96/02/43/1073960243.db2.gz YDKFJCGVDIAIMQ-ZIAGYGMSSA-N 0 0 441.558 -0.432 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1Cc1nncn1C ZINC001303868885 1073960300 /nfs/dbraw/zinc/96/03/00/1073960300.db2.gz YEBZQECVQCKEMT-NXEZZACHSA-N 0 0 438.436 -0.167 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1Cc1nncn1C ZINC001303868890 1073960734 /nfs/dbraw/zinc/96/07/34/1073960734.db2.gz YEBZQECVQCKEMT-UWVGGRQHSA-N 0 0 438.436 -0.167 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1Cc1nncn1C ZINC001303868891 1073960838 /nfs/dbraw/zinc/96/08/38/1073960838.db2.gz YEBZQECVQCKEMT-VHSXEESVSA-N 0 0 438.436 -0.167 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1Cc1nncn1C ZINC001303868893 1073960798 /nfs/dbraw/zinc/96/07/98/1073960798.db2.gz YEBZQECVQCKEMT-ZJUUUORDSA-N 0 0 438.436 -0.167 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC3(CCC3)C2)n1CC(=O)N1CCOCC1 ZINC001303869695 1073960780 /nfs/dbraw/zinc/96/07/80/1073960780.db2.gz QPLNXLUGCBHSRV-AWEZNQCLSA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC3(CCC3)C2)n1CC(=O)N1CCOCC1 ZINC001303869701 1073960804 /nfs/dbraw/zinc/96/08/04/1073960804.db2.gz QPLNXLUGCBHSRV-CQSZACIVSA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCCc1cnn(C)n1 ZINC001303869784 1073960856 /nfs/dbraw/zinc/96/08/56/1073960856.db2.gz BLJWRXDVPMKUDD-GFCCVEGCSA-N 0 0 430.560 -0.782 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCCc1cnn(C)n1 ZINC001303869786 1073960863 /nfs/dbraw/zinc/96/08/63/1073960863.db2.gz BLJWRXDVPMKUDD-LBPRGKRZSA-N 0 0 430.560 -0.782 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)N1CCS(=O)(=O)C[C@H]1CCO ZINC001303869870 1073960875 /nfs/dbraw/zinc/96/08/75/1073960875.db2.gz IAKXHKKFSRASQV-GOSISDBHSA-N 0 0 439.534 -0.051 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001303869871 1073960709 /nfs/dbraw/zinc/96/07/09/1073960709.db2.gz IAKXHKKFSRASQV-SFHVURJKSA-N 0 0 439.534 -0.051 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H]1CC(F)(F)CO1 ZINC001303869937 1073960776 /nfs/dbraw/zinc/96/07/76/1073960776.db2.gz CAODVWUXFXZLIL-GHMZBOCLSA-N 0 0 443.498 -0.453 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H]1CC(F)(F)CO1 ZINC001303869938 1073961337 /nfs/dbraw/zinc/96/13/37/1073961337.db2.gz CAODVWUXFXZLIL-MNOVXSKESA-N 0 0 443.498 -0.453 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H]1CC(F)(F)CO1 ZINC001303869939 1073961428 /nfs/dbraw/zinc/96/14/28/1073961428.db2.gz CAODVWUXFXZLIL-QWRGUYRKSA-N 0 0 443.498 -0.453 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H]1CC(F)(F)CO1 ZINC001303869940 1073961361 /nfs/dbraw/zinc/96/13/61/1073961361.db2.gz CAODVWUXFXZLIL-WDEREUQCSA-N 0 0 443.498 -0.453 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCC(=O)N1CCCCC1 ZINC001303869985 1073961400 /nfs/dbraw/zinc/96/14/00/1073961400.db2.gz CWFWZQPEHCYOGF-AWEZNQCLSA-N 0 0 446.599 -0.140 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCC(=O)N1CCCCC1 ZINC001303869986 1073961345 /nfs/dbraw/zinc/96/13/45/1073961345.db2.gz CWFWZQPEHCYOGF-CQSZACIVSA-N 0 0 446.599 -0.140 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC1([S@](C)=O)CC1 ZINC001303869987 1073961423 /nfs/dbraw/zinc/96/14/23/1073961423.db2.gz CWSZOTNXPAMNFB-FTJNGKRUSA-N 0 0 439.585 -0.966 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC1([S@@](C)=O)CC1 ZINC001303869988 1073961320 /nfs/dbraw/zinc/96/13/20/1073961320.db2.gz CWSZOTNXPAMNFB-JPQMIFPKSA-N 0 0 439.585 -0.966 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC1([S@](C)=O)CC1 ZINC001303869989 1073961368 /nfs/dbraw/zinc/96/13/68/1073961368.db2.gz CWSZOTNXPAMNFB-NDHGSNPTSA-N 0 0 439.585 -0.966 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC1([S@@](C)=O)CC1 ZINC001303869990 1073961305 /nfs/dbraw/zinc/96/13/05/1073961305.db2.gz CWSZOTNXPAMNFB-SSINHNECSA-N 0 0 439.585 -0.966 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC1(C)CCOCC1 ZINC001303870291 1073961313 /nfs/dbraw/zinc/96/13/13/1073961313.db2.gz DXFZKFWKEDSOSC-CYBMUJFWSA-N 0 0 435.572 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC1(C)CCOCC1 ZINC001303870293 1073961300 /nfs/dbraw/zinc/96/13/00/1073961300.db2.gz DXFZKFWKEDSOSC-ZDUSSCGKSA-N 0 0 435.572 -0.060 20 0 IBADRN O=C(c1ccc2c(c1)SC1=NS(=O)(=O)CCN21)N1CCS(=O)(=O)C[C@H]1CCO ZINC001303870457 1073961403 /nfs/dbraw/zinc/96/14/03/1073961403.db2.gz KYERTCYMIWGJHV-GFCCVEGCSA-N 0 0 445.544 -0.080 20 0 IBADRN O=C(c1ccc2c(c1)SC1=NS(=O)(=O)CCN21)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001303870459 1073961326 /nfs/dbraw/zinc/96/13/26/1073961326.db2.gz KYERTCYMIWGJHV-LBPRGKRZSA-N 0 0 445.544 -0.080 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1Cc1ccc(N(C)C)nc1 ZINC001303871421 1073961417 /nfs/dbraw/zinc/96/14/17/1073961417.db2.gz IXKYEEZNWPHJCW-CYBMUJFWSA-N 0 0 441.583 -0.034 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1Cc1ccc(N(C)C)nc1 ZINC001303871422 1073961391 /nfs/dbraw/zinc/96/13/91/1073961391.db2.gz IXKYEEZNWPHJCW-ZDUSSCGKSA-N 0 0 441.583 -0.034 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303871841 1073961350 /nfs/dbraw/zinc/96/13/50/1073961350.db2.gz KQFFFDWUZHBGFI-AFIMGQEJSA-N 0 0 445.542 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303871846 1073961410 /nfs/dbraw/zinc/96/14/10/1073961410.db2.gz KQFFFDWUZHBGFI-BASYENTBSA-N 0 0 445.542 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303871850 1073961377 /nfs/dbraw/zinc/96/13/77/1073961377.db2.gz KQFFFDWUZHBGFI-FSZRXZPDSA-N 0 0 445.542 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001303871854 1073961856 /nfs/dbraw/zinc/96/18/56/1073961856.db2.gz KQFFFDWUZHBGFI-OIPACUDHSA-N 0 0 445.542 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC3(CCOCC3)C2)n1C[C@H]1COCCO1 ZINC001303872024 1073961876 /nfs/dbraw/zinc/96/18/76/1073961876.db2.gz LPLGCNAITCMAPY-CABCVRRESA-N 0 0 445.542 -0.311 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC3(CCOCC3)C2)n1C[C@H]1COCCO1 ZINC001303872025 1073961759 /nfs/dbraw/zinc/96/17/59/1073961759.db2.gz LPLGCNAITCMAPY-GJZGRUSLSA-N 0 0 445.542 -0.311 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H]([C@@H]3CCCO3)C2)n1C[C@@H]1COCCO1 ZINC001303872580 1073961731 /nfs/dbraw/zinc/96/17/31/1073961731.db2.gz YOQHNXLHSPBVED-FZKCQIBNSA-N 0 0 445.542 -0.312 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H]([C@H]3CCCO3)C2)n1C[C@@H]1COCCO1 ZINC001303872584 1073961827 /nfs/dbraw/zinc/96/18/27/1073961827.db2.gz YOQHNXLHSPBVED-JJXSEGSLSA-N 0 0 445.542 -0.312 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H]([C@H]3CCCO3)C2)n1C[C@H]1COCCO1 ZINC001303872586 1073961838 /nfs/dbraw/zinc/96/18/38/1073961838.db2.gz YOQHNXLHSPBVED-JONQDZQNSA-N 0 0 445.542 -0.312 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H]([C@@H]3CCCO3)C2)n1C[C@H]1COCCO1 ZINC001303872588 1073961785 /nfs/dbraw/zinc/96/17/85/1073961785.db2.gz YOQHNXLHSPBVED-VGWMRTNUSA-N 0 0 445.542 -0.312 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1Cc1cccc(O)c1 ZINC001303872780 1073961771 /nfs/dbraw/zinc/96/17/71/1073961771.db2.gz QDUZTZJWOYMSQW-GFCCVEGCSA-N 0 0 429.524 -0.123 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1Cc1cccc(O)c1 ZINC001303872781 1073961881 /nfs/dbraw/zinc/96/18/81/1073961881.db2.gz QDUZTZJWOYMSQW-LBPRGKRZSA-N 0 0 429.524 -0.123 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCOCC(F)F ZINC001303872898 1073961843 /nfs/dbraw/zinc/96/18/43/1073961843.db2.gz SDBOPEIUDPMLIN-JTQLQIEISA-N 0 0 431.487 -0.595 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCOCC(F)F ZINC001303872899 1073961831 /nfs/dbraw/zinc/96/18/31/1073961831.db2.gz SDBOPEIUDPMLIN-SNVBAGLBSA-N 0 0 431.487 -0.595 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCS(=O)(=O)C[C@@H]3CCO)CC2)cn1C ZINC001303872973 1073961793 /nfs/dbraw/zinc/96/17/93/1073961793.db2.gz YKFQHQOYLDWXPX-HNNXBMFYSA-N 0 0 448.567 -0.863 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCS(=O)(=O)C[C@H]3CCO)CC2)cn1C ZINC001303872974 1073961775 /nfs/dbraw/zinc/96/17/75/1073961775.db2.gz YKFQHQOYLDWXPX-OAHLLOKOSA-N 0 0 448.567 -0.863 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC[C@H]2C)n1CCOCCS(C)(=O)=O ZINC001303873068 1073961798 /nfs/dbraw/zinc/96/17/98/1073961798.db2.gz UFTDWNMXGUOXIU-CHWSQXEVSA-N 0 0 439.560 -0.825 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC[C@H]2C)n1CCOCCS(C)(=O)=O ZINC001303873077 1073961888 /nfs/dbraw/zinc/96/18/88/1073961888.db2.gz UFTDWNMXGUOXIU-OLZOCXBDSA-N 0 0 439.560 -0.825 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC[C@@H]2C)n1CCOCCS(C)(=O)=O ZINC001303873079 1073961847 /nfs/dbraw/zinc/96/18/47/1073961847.db2.gz UFTDWNMXGUOXIU-QWHCGFSZSA-N 0 0 439.560 -0.825 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC[C@@H]2C)n1CCOCCS(C)(=O)=O ZINC001303873080 1073961870 /nfs/dbraw/zinc/96/18/70/1073961870.db2.gz UFTDWNMXGUOXIU-STQMWFEESA-N 0 0 439.560 -0.825 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1C[C@]1(C)CN(C)C1=O ZINC001303873258 1073961862 /nfs/dbraw/zinc/96/18/62/1073961862.db2.gz XGQMPWJZCIOYTB-DOPJRALCSA-N 0 0 442.542 -0.638 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1C[C@]1(C)CN(C)C1=O ZINC001303873259 1073962405 /nfs/dbraw/zinc/96/24/05/1073962405.db2.gz XGQMPWJZCIOYTB-KKXDTOCCSA-N 0 0 442.542 -0.638 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001303873260 1073962428 /nfs/dbraw/zinc/96/24/28/1073962428.db2.gz XGQMPWJZCIOYTB-MORSLUCNSA-N 0 0 442.542 -0.638 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001303873261 1073962335 /nfs/dbraw/zinc/96/23/35/1073962335.db2.gz XGQMPWJZCIOYTB-VHSSKADRSA-N 0 0 442.542 -0.638 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCCC(=O)NC1CC1 ZINC001303873262 1073962345 /nfs/dbraw/zinc/96/23/45/1073962345.db2.gz XHKDPIILPGACIJ-GFCCVEGCSA-N 0 0 432.572 -0.484 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCCC(=O)NC1CC1 ZINC001303873287 1073962361 /nfs/dbraw/zinc/96/23/61/1073962361.db2.gz XHKDPIILPGACIJ-LBPRGKRZSA-N 0 0 432.572 -0.484 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3NC(=O)OC[C@H]3C2)n1CCCCCO ZINC001303874928 1073962426 /nfs/dbraw/zinc/96/24/26/1073962426.db2.gz GLDRZBZKMJJSBK-MCIONIFRSA-N 0 0 430.531 -0.014 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3NC(=O)OC[C@H]3C2)n1CCCCCO ZINC001303874929 1073962321 /nfs/dbraw/zinc/96/23/21/1073962321.db2.gz GLDRZBZKMJJSBK-MJBXVCDLSA-N 0 0 430.531 -0.014 20 0 IBADRN C[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](C)[C@@H](O)C1)N1CCOCC1 ZINC001303876018 1073962235 /nfs/dbraw/zinc/96/22/35/1073962235.db2.gz NSTBVIBEDWKATN-FPCVCCKLSA-N 0 0 430.575 -0.184 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1C[C@H](C)N1CCOCC1 ZINC001303876020 1073962371 /nfs/dbraw/zinc/96/23/71/1073962371.db2.gz NSTBVIBEDWKATN-QXSJWSMHSA-N 0 0 430.575 -0.184 20 0 IBADRN C[C@@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](C)[C@@H](O)C1)N1CCOCC1 ZINC001303876021 1073962419 /nfs/dbraw/zinc/96/24/19/1073962419.db2.gz NSTBVIBEDWKATN-UGUYLWEFSA-N 0 0 430.575 -0.184 20 0 IBADRN C[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](C)[C@@H](O)C1)N1CCOCC1 ZINC001303876022 1073962304 /nfs/dbraw/zinc/96/23/04/1073962304.db2.gz NSTBVIBEDWKATN-WCVJEAGWSA-N 0 0 430.575 -0.184 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCCOC[C@H]1CCOC1 ZINC001303876266 1073962261 /nfs/dbraw/zinc/96/22/61/1073962261.db2.gz DYFAHMZQOKBYRL-FPCVCCKLSA-N 0 0 445.542 -0.107 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCCOC[C@@H]1CCOC1 ZINC001303876268 1073962409 /nfs/dbraw/zinc/96/24/09/1073962409.db2.gz DYFAHMZQOKBYRL-QXSJWSMHSA-N 0 0 445.542 -0.107 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCCOC[C@H]1CCOC1 ZINC001303876270 1073962354 /nfs/dbraw/zinc/96/23/54/1073962354.db2.gz DYFAHMZQOKBYRL-XUWVNRHRSA-N 0 0 445.542 -0.107 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCCOC[C@@H]1CCOC1 ZINC001303876272 1073962379 /nfs/dbraw/zinc/96/23/79/1073962379.db2.gz DYFAHMZQOKBYRL-YHUYYLMFSA-N 0 0 445.542 -0.107 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1nncn1C ZINC001303876819 1073962254 /nfs/dbraw/zinc/96/22/54/1073962254.db2.gz QEAWKWNKJGUEPZ-FRRDWIJNSA-N 0 0 437.530 -0.878 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCC(=O)N1CCCCC1 ZINC001303876831 1073962807 /nfs/dbraw/zinc/96/28/07/1073962807.db2.gz JQJAWENSUWDDJY-KFWWJZLASA-N 0 0 442.542 -0.148 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1nncn1C ZINC001303876836 1073962863 /nfs/dbraw/zinc/96/28/63/1073962863.db2.gz QEAWKWNKJGUEPZ-RWMBFGLXSA-N 0 0 437.530 -0.878 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCC(=O)N1CCCCC1 ZINC001303876838 1073962838 /nfs/dbraw/zinc/96/28/38/1073962838.db2.gz JQJAWENSUWDDJY-SOUVJXGZSA-N 0 0 442.542 -0.148 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3NC(=O)OC[C@H]3C2)n1CCC(C)(C)O ZINC001303876903 1073962753 /nfs/dbraw/zinc/96/27/53/1073962753.db2.gz QUHKXKIJJLEQFQ-UPJWGTAASA-N 0 0 430.531 -0.016 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3NC(=O)OC[C@H]3C2)n1CCC(C)(C)O ZINC001303876914 1073962735 /nfs/dbraw/zinc/96/27/35/1073962735.db2.gz QUHKXKIJJLEQFQ-XQQFMLRXSA-N 0 0 430.531 -0.016 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1C[C@H]1COCCO1 ZINC001303877595 1073962835 /nfs/dbraw/zinc/96/28/35/1073962835.db2.gz UCCPMZLDIKSGNT-CBBWQLFWSA-N 0 0 442.542 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1C[C@H]1COCCO1 ZINC001303877596 1073962801 /nfs/dbraw/zinc/96/28/01/1073962801.db2.gz UCCPMZLDIKSGNT-ZQDZILKHSA-N 0 0 442.542 -0.639 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001303877624 1073962743 /nfs/dbraw/zinc/96/27/43/1073962743.db2.gz UMZUDFJIXWGUTE-MQYQWHSLSA-N 0 0 435.572 -0.470 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001303877625 1073962722 /nfs/dbraw/zinc/96/27/22/1073962722.db2.gz UMZUDFJIXWGUTE-RFGFWPKPSA-N 0 0 435.572 -0.470 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001303877626 1073962869 /nfs/dbraw/zinc/96/28/69/1073962869.db2.gz UMZUDFJIXWGUTE-RQJABVFESA-N 0 0 435.572 -0.470 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001303877627 1073962845 /nfs/dbraw/zinc/96/28/45/1073962845.db2.gz UMZUDFJIXWGUTE-SYQHCUMBSA-N 0 0 435.572 -0.470 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1cnnn1C ZINC001303878379 1073962909 /nfs/dbraw/zinc/96/29/09/1073962909.db2.gz XHZQQWGMZAXANU-MBNYWOFBSA-N 0 0 437.530 -0.878 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1cnnn1C ZINC001303878381 1073962852 /nfs/dbraw/zinc/96/28/52/1073962852.db2.gz XHZQQWGMZAXANU-SGMGOOAPSA-N 0 0 437.530 -0.878 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NC(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001303881237 1073962876 /nfs/dbraw/zinc/96/28/76/1073962876.db2.gz KKDNSBIFTDGLMX-UHFFFAOYSA-N 0 0 449.551 -0.040 20 0 IBADRN C[C@@](O)(Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1)c1ccco1 ZINC001303883620 1073962818 /nfs/dbraw/zinc/96/28/18/1073962818.db2.gz FVSQQRBHVYRSLI-CXAGYDPISA-N 0 0 444.535 -0.084 20 0 IBADRN C[C@](O)(Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1)c1ccco1 ZINC001303883627 1073962827 /nfs/dbraw/zinc/96/28/27/1073962827.db2.gz FVSQQRBHVYRSLI-DYVFJYSZSA-N 0 0 444.535 -0.084 20 0 IBADRN C[C@](O)(Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1)c1ccco1 ZINC001303883629 1073962763 /nfs/dbraw/zinc/96/27/63/1073962763.db2.gz FVSQQRBHVYRSLI-GUYCJALGSA-N 0 0 444.535 -0.084 20 0 IBADRN C[C@@](O)(Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1)c1ccco1 ZINC001303883630 1073962889 /nfs/dbraw/zinc/96/28/89/1073962889.db2.gz FVSQQRBHVYRSLI-SUMWQHHRSA-N 0 0 444.535 -0.084 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@]2(COC)CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001303884434 1073962893 /nfs/dbraw/zinc/96/28/93/1073962893.db2.gz BCXILOAFKHPBAT-FQEVSTJZSA-N 0 0 428.530 -0.081 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@]2(COC)CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001303884435 1073962775 /nfs/dbraw/zinc/96/27/75/1073962775.db2.gz BCXILOAFKHPBAT-HXUWFJFHSA-N 0 0 428.530 -0.081 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)CC1 ZINC001303884840 1073963468 /nfs/dbraw/zinc/96/34/68/1073963468.db2.gz HPFVGERVCYAQGN-UHFFFAOYSA-N 0 0 431.555 -0.197 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)c2cc(-c3ccco3)nc3c(C(N)=O)ncn32)CC1 ZINC001303884885 1073963445 /nfs/dbraw/zinc/96/34/45/1073963445.db2.gz KLKSDLWCUXPHNA-UHFFFAOYSA-N 0 0 425.449 -0.018 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001303885308 1073963348 /nfs/dbraw/zinc/96/33/48/1073963348.db2.gz NXEXGYCSRZPDPQ-UHFFFAOYSA-N 0 0 442.513 -0.260 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)c2cccc(S(=O)(=O)N=S(C)(C)=O)c2)CC1 ZINC001303885558 1073963548 /nfs/dbraw/zinc/96/35/48/1073963548.db2.gz YUKIAKYEGDCOFI-UHFFFAOYSA-N 0 0 430.552 -0.003 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001303885800 1073963421 /nfs/dbraw/zinc/96/34/21/1073963421.db2.gz QODQZIKEVUBJLJ-GOSISDBHSA-N 0 0 442.520 -0.184 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001303885807 1073963538 /nfs/dbraw/zinc/96/35/38/1073963538.db2.gz QODQZIKEVUBJLJ-SFHVURJKSA-N 0 0 442.520 -0.184 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001303885880 1073963401 /nfs/dbraw/zinc/96/34/01/1073963401.db2.gz SODAJWBZLKHAKC-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC001303885886 1073963505 /nfs/dbraw/zinc/96/35/05/1073963505.db2.gz SVPSITPZOXKEQS-UHFFFAOYSA-N 0 0 440.302 -0.827 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001303885948 1073963527 /nfs/dbraw/zinc/96/35/27/1073963527.db2.gz VESRXVLXLJUQMB-BRWVUGGUSA-N 0 0 442.582 -0.624 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001303885954 1073963377 /nfs/dbraw/zinc/96/33/77/1073963377.db2.gz VESRXVLXLJUQMB-GVDBMIGSSA-N 0 0 442.582 -0.624 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001303885955 1073963491 /nfs/dbraw/zinc/96/34/91/1073963491.db2.gz VESRXVLXLJUQMB-IXDOHACOSA-N 0 0 442.582 -0.624 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001303885957 1073963244 /nfs/dbraw/zinc/96/32/44/1073963244.db2.gz VESRXVLXLJUQMB-YESZJQIVSA-N 0 0 442.582 -0.624 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC001303886070 1073963294 /nfs/dbraw/zinc/96/32/94/1073963294.db2.gz YJTXFOYICBGXLU-HNNXBMFYSA-N 0 0 425.530 -0.218 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC001303886071 1073963475 /nfs/dbraw/zinc/96/34/75/1073963475.db2.gz YJTXFOYICBGXLU-OAHLLOKOSA-N 0 0 425.530 -0.218 20 0 IBADRN C[C@@](O)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCCN(S(C)(=O)=O)CC1)C1CC1 ZINC001303886673 1073963338 /nfs/dbraw/zinc/96/33/38/1073963338.db2.gz FIHNKRNOGUOTFC-KBXCAEBGSA-N 0 0 426.543 -0.138 20 0 IBADRN C[C@](O)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCCN(S(C)(=O)=O)CC1)C1CC1 ZINC001303886674 1073963374 /nfs/dbraw/zinc/96/33/74/1073963374.db2.gz FIHNKRNOGUOTFC-KDOFPFPSSA-N 0 0 426.543 -0.138 20 0 IBADRN C[C@](O)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCCN(S(C)(=O)=O)CC1)C1CC1 ZINC001303886675 1073963535 /nfs/dbraw/zinc/96/35/35/1073963535.db2.gz FIHNKRNOGUOTFC-KSSFIOAISA-N 0 0 426.543 -0.138 20 0 IBADRN C[C@@](O)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCCN(S(C)(=O)=O)CC1)C1CC1 ZINC001303886676 1073963323 /nfs/dbraw/zinc/96/33/23/1073963323.db2.gz FIHNKRNOGUOTFC-RDTXWAMCSA-N 0 0 426.543 -0.138 20 0 IBADRN COC(=O)[C@]12C[C@H]1CCCN2C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001303935607 1073963518 /nfs/dbraw/zinc/96/35/18/1073963518.db2.gz KTPOEFWNCPBQAM-PXAZEXFGSA-N 0 0 430.504 -0.078 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCSCC2)C1 ZINC001303947943 1073963868 /nfs/dbraw/zinc/96/38/68/1073963868.db2.gz AOVJCLRZGSFGFS-KBXCAEBGSA-N 0 0 442.611 -0.069 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCSCC2)C1 ZINC001303947944 1073963902 /nfs/dbraw/zinc/96/39/02/1073963902.db2.gz AOVJCLRZGSFGFS-KDOFPFPSSA-N 0 0 442.611 -0.069 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCSCC2)C1 ZINC001303947945 1073963887 /nfs/dbraw/zinc/96/38/87/1073963887.db2.gz AOVJCLRZGSFGFS-KSSFIOAISA-N 0 0 442.611 -0.069 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCSCC2)C1 ZINC001303947946 1073963909 /nfs/dbraw/zinc/96/39/09/1073963909.db2.gz AOVJCLRZGSFGFS-RDTXWAMCSA-N 0 0 442.611 -0.069 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2CF)C1 ZINC001303948099 1073964002 /nfs/dbraw/zinc/96/40/02/1073964002.db2.gz DNAUOLMTIFQPGR-LKQDWFRTSA-N 0 0 430.506 -0.157 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2CF)C1 ZINC001303948100 1073963930 /nfs/dbraw/zinc/96/39/30/1073963930.db2.gz DNAUOLMTIFQPGR-PFHKOEEOSA-N 0 0 430.506 -0.157 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2CF)C1 ZINC001303948101 1073963877 /nfs/dbraw/zinc/96/38/77/1073963877.db2.gz DNAUOLMTIFQPGR-SFDCBXKLSA-N 0 0 430.506 -0.157 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2CF)C1 ZINC001303948102 1073963896 /nfs/dbraw/zinc/96/38/96/1073963896.db2.gz DNAUOLMTIFQPGR-ZBYUQBLASA-N 0 0 430.506 -0.157 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001303949427 1073963970 /nfs/dbraw/zinc/96/39/70/1073963970.db2.gz UKVFINGJIQGCIW-DEYYWGMASA-N 0 0 426.543 -0.235 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001303949428 1073963954 /nfs/dbraw/zinc/96/39/54/1073963954.db2.gz UKVFINGJIQGCIW-GLJUWKHASA-N 0 0 426.543 -0.235 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001303949430 1073963978 /nfs/dbraw/zinc/96/39/78/1073963978.db2.gz UKVFINGJIQGCIW-IYOUNJFTSA-N 0 0 426.543 -0.235 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001303949432 1073963919 /nfs/dbraw/zinc/96/39/19/1073963919.db2.gz UKVFINGJIQGCIW-LBTNJELSSA-N 0 0 426.543 -0.235 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(F)(F)F)C1 ZINC001303950035 1073963962 /nfs/dbraw/zinc/96/39/62/1073963962.db2.gz YHRONDPHDMRRFE-HZMBPMFUSA-N 0 0 440.448 -0.125 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(F)(F)F)C1 ZINC001303950036 1073963996 /nfs/dbraw/zinc/96/39/96/1073963996.db2.gz YHRONDPHDMRRFE-IINYFYTJSA-N 0 0 440.448 -0.125 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(F)(F)F)C1 ZINC001303950037 1073963934 /nfs/dbraw/zinc/96/39/34/1073963934.db2.gz YHRONDPHDMRRFE-QMTHXVAHSA-N 0 0 440.448 -0.125 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(F)(F)F)C1 ZINC001303950038 1073963990 /nfs/dbraw/zinc/96/39/90/1073963990.db2.gz YHRONDPHDMRRFE-YGRLFVJLSA-N 0 0 440.448 -0.125 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)C1 ZINC001303951020 1073963943 /nfs/dbraw/zinc/96/39/43/1073963943.db2.gz MARAZIHZIDNWQT-FQEVSTJZSA-N 0 0 433.513 -0.649 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)C1 ZINC001303951023 1073963984 /nfs/dbraw/zinc/96/39/84/1073963984.db2.gz MARAZIHZIDNWQT-HXUWFJFHSA-N 0 0 433.513 -0.649 20 0 IBADRN CC1(C)[C@@H]2CN(c3nnc([C@H]4CN(S(C)(=O)=O)CCO4)n3CCS(C)(=O)=O)C[C@@H]21 ZINC001303951078 1073964007 /nfs/dbraw/zinc/96/40/07/1073964007.db2.gz MQTUVHDZMPKGQL-HZSPNIEDSA-N 0 0 447.583 -0.252 20 0 IBADRN CC1(C)[C@@H]2CN(c3nnc([C@@H]4CN(S(C)(=O)=O)CCO4)n3CCS(C)(=O)=O)C[C@@H]21 ZINC001303951081 1073964405 /nfs/dbraw/zinc/96/44/05/1073964405.db2.gz MQTUVHDZMPKGQL-MJBXVCDLSA-N 0 0 447.583 -0.252 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCOC[C@@H]2[C@H]2CC[C@@H](COC)O2)c1 ZINC001303955257 1073964414 /nfs/dbraw/zinc/96/44/14/1073964414.db2.gz GJECUTUFQRDYER-IAOVAPTHSA-N 0 0 431.511 -0.026 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCOC[C@@H]2[C@H]2CC[C@H](COC)O2)c1 ZINC001303955258 1073964425 /nfs/dbraw/zinc/96/44/25/1073964425.db2.gz GJECUTUFQRDYER-KBRIMQKVSA-N 0 0 431.511 -0.026 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCOC[C@@H]2[C@@H]2CC[C@@H](COC)O2)c1 ZINC001303955259 1073964463 /nfs/dbraw/zinc/96/44/63/1073964463.db2.gz GJECUTUFQRDYER-XKQJLSEDSA-N 0 0 431.511 -0.026 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCOC[C@@H]2[C@@H]2CC[C@H](COC)O2)c1 ZINC001303955260 1073964444 /nfs/dbraw/zinc/96/44/44/1073964444.db2.gz GJECUTUFQRDYER-XYPHTWIQSA-N 0 0 431.511 -0.026 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)O1 ZINC001303955496 1073964441 /nfs/dbraw/zinc/96/44/41/1073964441.db2.gz MEXKQLIHOWQAFZ-BQJWPVKWSA-N 0 0 430.523 -0.557 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)O1 ZINC001303955497 1073964431 /nfs/dbraw/zinc/96/44/31/1073964431.db2.gz MEXKQLIHOWQAFZ-JSRQGNBESA-N 0 0 430.523 -0.557 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)O1 ZINC001303955498 1073964387 /nfs/dbraw/zinc/96/43/87/1073964387.db2.gz MEXKQLIHOWQAFZ-QUSNUVHPSA-N 0 0 430.523 -0.557 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)O1 ZINC001303955499 1073964458 /nfs/dbraw/zinc/96/44/58/1073964458.db2.gz MEXKQLIHOWQAFZ-UHDSXZAQSA-N 0 0 430.523 -0.557 20 0 IBADRN CC1(C)CN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001303968651 1073964455 /nfs/dbraw/zinc/96/44/55/1073964455.db2.gz LIGPEIDBOAQDHA-GOSISDBHSA-N 0 0 427.527 -0.321 20 0 IBADRN CC1(C)CN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001303968663 1073964380 /nfs/dbraw/zinc/96/43/80/1073964380.db2.gz LIGPEIDBOAQDHA-SFHVURJKSA-N 0 0 427.527 -0.321 20 0 IBADRN CC1(C)CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)CCO1 ZINC001303974768 1073964397 /nfs/dbraw/zinc/96/43/97/1073964397.db2.gz OZCRNQIZNKXFJS-AWEZNQCLSA-N 0 0 427.527 -0.346 20 0 IBADRN CC1(C)CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)CCO1 ZINC001303974779 1073964421 /nfs/dbraw/zinc/96/44/21/1073964421.db2.gz OZCRNQIZNKXFJS-CQSZACIVSA-N 0 0 427.527 -0.346 20 0 IBADRN CC1(C)COCCN1CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1 ZINC001303982438 1073964448 /nfs/dbraw/zinc/96/44/48/1073964448.db2.gz ZOPYFKDSGJQTGY-AWEZNQCLSA-N 0 0 426.543 -0.773 20 0 IBADRN CC1(C)COCCN1CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1 ZINC001303982439 1073964356 /nfs/dbraw/zinc/96/43/56/1073964356.db2.gz ZOPYFKDSGJQTGY-CQSZACIVSA-N 0 0 426.543 -0.773 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCC(S(=O)(=O)N2CCCCC2)CC1 ZINC001303992284 1073964411 /nfs/dbraw/zinc/96/44/11/1073964411.db2.gz XBHOXXHYRFWEBU-UHFFFAOYSA-N 0 0 425.573 -0.251 20 0 IBADRN O=C(CN1C(=O)COc2cc(Br)ccc21)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001303993580 1073964435 /nfs/dbraw/zinc/96/44/35/1073964435.db2.gz AHRPTBSTUXOVBL-LLVKDONJSA-N 0 0 445.295 -0.465 20 0 IBADRN O=C(CN1C(=O)COc2cc(Br)ccc21)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001303993581 1073964365 /nfs/dbraw/zinc/96/43/65/1073964365.db2.gz AHRPTBSTUXOVBL-NSHDSACASA-N 0 0 445.295 -0.465 20 0 IBADRN O=C(CNS(=O)(=O)c1c(Cl)cccc1Cl)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001303993610 1073964945 /nfs/dbraw/zinc/96/49/45/1073964945.db2.gz AYGUMYUXGFGSPQ-VIFPVBQESA-N 0 0 443.334 -0.368 20 0 IBADRN CO[C@@H]1C[C@@H](C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)N(C(=O)OCc2ccccc2)C1 ZINC001303993638 1073964894 /nfs/dbraw/zinc/96/48/94/1073964894.db2.gz BIINZRXRLNFGKR-BBWFWOEESA-N 0 0 438.506 -0.227 20 0 IBADRN CO[C@@H]1C[C@@H](C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)N(C(=O)OCc2ccccc2)C1 ZINC001303993639 1073964965 /nfs/dbraw/zinc/96/49/65/1073964965.db2.gz BIINZRXRLNFGKR-ZACQAIPSSA-N 0 0 438.506 -0.227 20 0 IBADRN O=C(CC[C@@H]1NC(=O)N(c2ccc(Cl)cc2)C1=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001303993700 1073964882 /nfs/dbraw/zinc/96/48/82/1073964882.db2.gz CVKLOPYCLYIPLC-KBPBESRZSA-N 0 0 441.897 -0.094 20 0 IBADRN O=C(CC[C@@H]1NC(=O)N(c2ccc(Cl)cc2)C1=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001303993701 1073965059 /nfs/dbraw/zinc/96/50/59/1073965059.db2.gz CVKLOPYCLYIPLC-KGLIPLIRSA-N 0 0 441.897 -0.094 20 0 IBADRN O=C(CC[C@H]1NC(=O)N(c2ccc(Cl)cc2)C1=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001303993702 1073965008 /nfs/dbraw/zinc/96/50/08/1073965008.db2.gz CVKLOPYCLYIPLC-UONOGXRCSA-N 0 0 441.897 -0.094 20 0 IBADRN O=C(CC[C@H]1NC(=O)N(c2ccc(Cl)cc2)C1=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001303993703 1073964905 /nfs/dbraw/zinc/96/49/05/1073964905.db2.gz CVKLOPYCLYIPLC-ZIAGYGMSSA-N 0 0 441.897 -0.094 20 0 IBADRN COc1ccc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC001303993741 1073965051 /nfs/dbraw/zinc/96/50/51/1073965051.db2.gz DPIIKWMNVCCYJR-CYBMUJFWSA-N 0 0 430.508 -0.890 20 0 IBADRN COc1ccc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1S(=O)(=O)NC1CC1 ZINC001303993742 1073964865 /nfs/dbraw/zinc/96/48/65/1073964865.db2.gz DPIIKWMNVCCYJR-ZDUSSCGKSA-N 0 0 430.508 -0.890 20 0 IBADRN CC(C)(C)C1CCC2(CC1)NC(=O)N(CC(=O)N1CCN3[C@@H](CNS3(=O)=O)C1)C2=O ZINC001303993755 1073965026 /nfs/dbraw/zinc/96/50/26/1073965026.db2.gz DWDXYGISCMQKLM-BIWSTMPVSA-N 0 0 441.554 -0.126 20 0 IBADRN CC(C)(C)C1CCC2(CC1)NC(=O)N(CC(=O)N1CCN3[C@H](CNS3(=O)=O)C1)C2=O ZINC001303993756 1073965046 /nfs/dbraw/zinc/96/50/46/1073965046.db2.gz DWDXYGISCMQKLM-SHYRYGCCSA-N 0 0 441.554 -0.126 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1Br ZINC001303993792 1073964988 /nfs/dbraw/zinc/96/49/88/1073964988.db2.gz FMRJXIGUEXRZLD-SECBINFHSA-N 0 0 439.313 -0.929 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1Br ZINC001303993793 1073964972 /nfs/dbraw/zinc/96/49/72/1073964972.db2.gz FMRJXIGUEXRZLD-VIFPVBQESA-N 0 0 439.313 -0.929 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCCCC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001303993969 1073964980 /nfs/dbraw/zinc/96/49/80/1073964980.db2.gz KHGROVCDUSNIDM-GFCCVEGCSA-N 0 0 434.565 -0.103 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCCCC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001303993970 1073964924 /nfs/dbraw/zinc/96/49/24/1073964924.db2.gz KHGROVCDUSNIDM-LBPRGKRZSA-N 0 0 434.565 -0.103 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)ccc1F ZINC001303994357 1073964914 /nfs/dbraw/zinc/96/49/14/1073964914.db2.gz VKVOURYKXLWBRB-CYBMUJFWSA-N 0 0 434.515 -0.169 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)ccc1F ZINC001303994358 1073964934 /nfs/dbraw/zinc/96/49/34/1073964934.db2.gz VKVOURYKXLWBRB-ZDUSSCGKSA-N 0 0 434.515 -0.169 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(C2(C(=O)N3CCN4[C@H](CNS4(=O)=O)C3)CCC2)C1 ZINC001303994377 1073964997 /nfs/dbraw/zinc/96/49/97/1073964997.db2.gz VVLZEBAMNOSFNN-CYBMUJFWSA-N 0 0 430.527 -0.501 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(C2(C(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)CCC2)C1 ZINC001303994378 1073965461 /nfs/dbraw/zinc/96/54/61/1073965461.db2.gz VVLZEBAMNOSFNN-ZDUSSCGKSA-N 0 0 430.527 -0.501 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CC(F)(F)F)cc1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001303994382 1073965393 /nfs/dbraw/zinc/96/53/93/1073965393.db2.gz VXCQEQXUWYUQAI-GFCCVEGCSA-N 0 0 441.453 -0.074 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CC(F)(F)F)cc1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001303994383 1073965448 /nfs/dbraw/zinc/96/54/48/1073965448.db2.gz VXCQEQXUWYUQAI-LBPRGKRZSA-N 0 0 441.453 -0.074 20 0 IBADRN O=C([C@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001303994400 1073965485 /nfs/dbraw/zinc/96/54/85/1073965485.db2.gz WEBULXQIIJDYAK-DLBZAZTESA-N 0 0 442.563 -0.411 20 0 IBADRN O=C([C@@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001303994401 1073965366 /nfs/dbraw/zinc/96/53/66/1073965366.db2.gz WEBULXQIIJDYAK-IAGOWNOFSA-N 0 0 442.563 -0.411 20 0 IBADRN O=C([C@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001303994402 1073965458 /nfs/dbraw/zinc/96/54/58/1073965458.db2.gz WEBULXQIIJDYAK-IRXDYDNUSA-N 0 0 442.563 -0.411 20 0 IBADRN O=C([C@@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001303994403 1073965466 /nfs/dbraw/zinc/96/54/66/1073965466.db2.gz WEBULXQIIJDYAK-SJORKVTESA-N 0 0 442.563 -0.411 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCN4[C@H](CNS4(=O)=O)C3)cc2S1(=O)=O ZINC001303994415 1073965435 /nfs/dbraw/zinc/96/54/35/1073965435.db2.gz WNEVQHLUBBMOOP-GFCCVEGCSA-N 0 0 428.492 -0.786 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)cc2S1(=O)=O ZINC001303994416 1073965469 /nfs/dbraw/zinc/96/54/69/1073965469.db2.gz WNEVQHLUBBMOOP-LBPRGKRZSA-N 0 0 428.492 -0.786 20 0 IBADRN C[C@@]1(N2CCOCC2)CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001303996672 1073965427 /nfs/dbraw/zinc/96/54/27/1073965427.db2.gz GZRJLOVMXQUTIM-KBXCAEBGSA-N 0 0 441.558 -0.921 20 0 IBADRN C[C@]1(N2CCOCC2)CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001303996677 1073965384 /nfs/dbraw/zinc/96/53/84/1073965384.db2.gz GZRJLOVMXQUTIM-KDOFPFPSSA-N 0 0 441.558 -0.921 20 0 IBADRN C[C@]1(N2CCOCC2)CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001303996679 1073965371 /nfs/dbraw/zinc/96/53/71/1073965371.db2.gz GZRJLOVMXQUTIM-KSSFIOAISA-N 0 0 441.558 -0.921 20 0 IBADRN C[C@@]1(N2CCOCC2)CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)C1 ZINC001303996681 1073965396 /nfs/dbraw/zinc/96/53/96/1073965396.db2.gz GZRJLOVMXQUTIM-RDTXWAMCSA-N 0 0 441.558 -0.921 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCn3ncc(I)c3C2)c(=O)n(C)c1=O ZINC001303997405 1073965354 /nfs/dbraw/zinc/96/53/54/1073965354.db2.gz SATBFCKWUPRBSO-ARJAWSKDSA-N 0 0 441.229 -0.059 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCn3ncc(I)c3C2)c(=O)n(C)c1=O ZINC001303997406 1073965481 /nfs/dbraw/zinc/96/54/81/1073965481.db2.gz SATBFCKWUPRBSO-ONEGZZNKSA-N 0 0 441.229 -0.059 20 0 IBADRN C[C@@]12COC(=O)N1CCN(c1nnc(Cc3cccnc3)n1CCNS(C)(=O)=O)C2 ZINC001304000321 1073965478 /nfs/dbraw/zinc/96/54/78/1073965478.db2.gz ZLMUUXKFSXTKSX-GOSISDBHSA-N 0 0 435.510 -0.156 20 0 IBADRN C[C@]12COC(=O)N1CCN(c1nnc(Cc3cccnc3)n1CCNS(C)(=O)=O)C2 ZINC001304000323 1073965417 /nfs/dbraw/zinc/96/54/17/1073965417.db2.gz ZLMUUXKFSXTKSX-SFHVURJKSA-N 0 0 435.510 -0.156 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CCN2CCOC[C@]2(CO)C1 ZINC001304048334 1073965453 /nfs/dbraw/zinc/96/54/53/1073965453.db2.gz ALGSNDYOIXVAPN-GOSISDBHSA-N 0 0 445.563 -0.587 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001304048335 1073965474 /nfs/dbraw/zinc/96/54/74/1073965474.db2.gz ALGSNDYOIXVAPN-SFHVURJKSA-N 0 0 445.563 -0.587 20 0 IBADRN CC(C)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001304048483 1073965441 /nfs/dbraw/zinc/96/54/41/1073965441.db2.gz CUKYZSGZUKUMEL-DYESRHJHSA-N 0 0 442.557 -0.053 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001304048484 1073966031 /nfs/dbraw/zinc/96/60/31/1073966031.db2.gz CUKYZSGZUKUMEL-LAUBAEHRSA-N 0 0 442.557 -0.053 20 0 IBADRN CC(C)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001304048485 1073966058 /nfs/dbraw/zinc/96/60/58/1073966058.db2.gz CUKYZSGZUKUMEL-UTKZUKDTSA-N 0 0 442.557 -0.053 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001304048486 1073966062 /nfs/dbraw/zinc/96/60/62/1073966062.db2.gz CUKYZSGZUKUMEL-UWJYYQICSA-N 0 0 442.557 -0.053 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN3CCOC[C@@]3(CO)C2)c1 ZINC001304048970 1073966026 /nfs/dbraw/zinc/96/60/26/1073966026.db2.gz GVCIHRBEDOSBJF-IBGZPJMESA-N 0 0 427.523 -0.561 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)N2CCN3CCOC[C@]3(CO)C2)c1 ZINC001304048972 1073965897 /nfs/dbraw/zinc/96/58/97/1073965897.db2.gz GVCIHRBEDOSBJF-LJQANCHMSA-N 0 0 427.523 -0.561 20 0 IBADRN CC(C)c1nn([C@@H]2CCS(=O)(=O)C2)cc1C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001304048973 1073965966 /nfs/dbraw/zinc/96/59/66/1073965966.db2.gz GWHFTFOKVTWFFO-BEFAXECRSA-N 0 0 426.539 -0.115 20 0 IBADRN CC(C)c1nn([C@@H]2CCS(=O)(=O)C2)cc1C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001304048979 1073966006 /nfs/dbraw/zinc/96/60/06/1073966006.db2.gz GWHFTFOKVTWFFO-DNVCBOLYSA-N 0 0 426.539 -0.115 20 0 IBADRN CC(C)c1nn([C@H]2CCS(=O)(=O)C2)cc1C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001304048981 1073965941 /nfs/dbraw/zinc/96/59/41/1073965941.db2.gz GWHFTFOKVTWFFO-HNAYVOBHSA-N 0 0 426.539 -0.115 20 0 IBADRN CC(C)c1nn([C@H]2CCS(=O)(=O)C2)cc1C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001304048982 1073966076 /nfs/dbraw/zinc/96/60/76/1073966076.db2.gz GWHFTFOKVTWFFO-KXBFYZLASA-N 0 0 426.539 -0.115 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCN2CCOC[C@@]2(CO)C1 ZINC001304048983 1073966081 /nfs/dbraw/zinc/96/60/81/1073966081.db2.gz GWWPTFLQDZGFNK-IBGZPJMESA-N 0 0 426.491 -0.473 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCN2CCOC[C@]2(CO)C1 ZINC001304048984 1073966053 /nfs/dbraw/zinc/96/60/53/1073966053.db2.gz GWWPTFLQDZGFNK-LJQANCHMSA-N 0 0 426.491 -0.473 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)N1CCN2CCOC[C@]2(CO)C1 ZINC001304049518 1073965955 /nfs/dbraw/zinc/96/59/55/1073965955.db2.gz KNMBGWGTCAJXHV-JOCHJYFZSA-N 0 0 432.521 -0.154 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001304049521 1073966066 /nfs/dbraw/zinc/96/60/66/1073966066.db2.gz KNMBGWGTCAJXHV-QFIPXVFZSA-N 0 0 432.521 -0.154 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCN2CCOC[C@@]2(CO)C1 ZINC001304049736 1073966045 /nfs/dbraw/zinc/96/60/45/1073966045.db2.gz NEVYQVQKRUVWMD-IBGZPJMESA-N 0 0 443.497 -0.634 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCN2CCOC[C@]2(CO)C1 ZINC001304049737 1073966014 /nfs/dbraw/zinc/96/60/14/1073966014.db2.gz NEVYQVQKRUVWMD-LJQANCHMSA-N 0 0 443.497 -0.634 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1 ZINC001304049844 1073966071 /nfs/dbraw/zinc/96/60/71/1073966071.db2.gz OLWIWUBVOCIZPH-IBGZPJMESA-N 0 0 427.523 -0.341 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCN3CCOC[C@]3(CO)C2)cc1 ZINC001304049845 1073966039 /nfs/dbraw/zinc/96/60/39/1073966039.db2.gz OLWIWUBVOCIZPH-LJQANCHMSA-N 0 0 427.523 -0.341 20 0 IBADRN CC[NH+]1CCN(C2CN(C(=O)c3[n-]n(-c4nc(C)cc(C)n4)c(=O)c3CCO)C2)CC1 ZINC001304054031 1073965917 /nfs/dbraw/zinc/96/59/17/1073965917.db2.gz AOTIHZQZVGFMDF-UHFFFAOYSA-N 0 0 429.525 -0.431 20 0 IBADRN CN1CCN(C)CCN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CC1 ZINC001304071478 1073966625 /nfs/dbraw/zinc/96/66/25/1073966625.db2.gz AKDVUUFGTGYMFY-OXQOHEQNSA-N 0 0 444.598 -0.026 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC[C@H]3CO)CC2)cc1 ZINC001304073243 1073966428 /nfs/dbraw/zinc/96/64/28/1073966428.db2.gz CMZGCIVSKPMSFB-HNNXBMFYSA-N 0 0 425.507 -0.099 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CC[C@@H]3CO)CC2)cc1 ZINC001304073244 1073966455 /nfs/dbraw/zinc/96/64/55/1073966455.db2.gz CMZGCIVSKPMSFB-OAHLLOKOSA-N 0 0 425.507 -0.099 20 0 IBADRN Cc1ccsc1Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001304097817 1073966584 /nfs/dbraw/zinc/96/65/84/1073966584.db2.gz BBRVXOPXQXITFP-CYBMUJFWSA-N 0 0 431.522 -0.018 20 0 IBADRN Cc1ccsc1Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001304097823 1073966609 /nfs/dbraw/zinc/96/66/09/1073966609.db2.gz BBRVXOPXQXITFP-ZDUSSCGKSA-N 0 0 431.522 -0.018 20 0 IBADRN Cc1nnsc1Cn1c(C(=O)NC(C)C)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001304098843 1073966484 /nfs/dbraw/zinc/96/64/84/1073966484.db2.gz QKWRMPDYBQXSLA-UHFFFAOYSA-N 0 0 428.544 -0.294 20 0 IBADRN Cc1c(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCO[C@@]3(CCOC3)C2)cnn1C ZINC001304105180 1073966494 /nfs/dbraw/zinc/96/64/94/1073966494.db2.gz PXQWWFJTNRGVPU-ACJLOTCBSA-N 0 0 439.542 -0.026 20 0 IBADRN Cc1c(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCO[C@]3(CCOC3)C2)cnn1C ZINC001304105200 1073966442 /nfs/dbraw/zinc/96/64/42/1073966442.db2.gz PXQWWFJTNRGVPU-FZKQIMNGSA-N 0 0 439.542 -0.026 20 0 IBADRN Cc1c(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCO[C@]3(CCOC3)C2)cnn1C ZINC001304105205 1073966605 /nfs/dbraw/zinc/96/66/05/1073966605.db2.gz PXQWWFJTNRGVPU-SCLBCKFNSA-N 0 0 439.542 -0.026 20 0 IBADRN Cc1c(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCO[C@@]3(CCOC3)C2)cnn1C ZINC001304105211 1073966501 /nfs/dbraw/zinc/96/65/01/1073966501.db2.gz PXQWWFJTNRGVPU-UGSOOPFHSA-N 0 0 439.542 -0.026 20 0 IBADRN Cc1c(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)[C@@H](C)C2)cnn1C ZINC001304112446 1073966462 /nfs/dbraw/zinc/96/64/62/1073966462.db2.gz AGLWNFGLZMAUEB-SWLSCSKDSA-N 0 0 436.542 -0.210 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)[C@@H](C)C2)cnn1C ZINC001304112447 1073966477 /nfs/dbraw/zinc/96/64/77/1073966477.db2.gz AGLWNFGLZMAUEB-WFASDCNBSA-N 0 0 436.542 -0.210 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)CCNS(C)(=O)=O)cnn1C ZINC001304115775 1073966978 /nfs/dbraw/zinc/96/69/78/1073966978.db2.gz GFBRQZGUKZXNBA-CYBMUJFWSA-N 0 0 445.571 -0.744 20 0 IBADRN Cc1c(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)CCNS(C)(=O)=O)cnn1C ZINC001304115779 1073967100 /nfs/dbraw/zinc/96/71/00/1073967100.db2.gz GFBRQZGUKZXNBA-ZDUSSCGKSA-N 0 0 445.571 -0.744 20 0 IBADRN CN(C)Cc1nc(CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)co1 ZINC001304115783 1073967000 /nfs/dbraw/zinc/96/70/00/1073967000.db2.gz AQHBPSVKMRIVPN-UHFFFAOYSA-N 0 0 430.508 -0.266 20 0 IBADRN Cc1ccoc1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001304117056 1073967068 /nfs/dbraw/zinc/96/70/68/1073967068.db2.gz OLYCYIVBGOPXNF-HNNXBMFYSA-N 0 0 438.510 -0.113 20 0 IBADRN Cc1ccoc1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001304117057 1073967113 /nfs/dbraw/zinc/96/71/13/1073967113.db2.gz OLYCYIVBGOPXNF-OAHLLOKOSA-N 0 0 438.510 -0.113 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)CCS(C)(=O)=O)cnn1C ZINC001304118586 1073967092 /nfs/dbraw/zinc/96/70/92/1073967092.db2.gz YICQDMOCHRYTTR-CYBMUJFWSA-N 0 0 430.556 -0.249 20 0 IBADRN Cc1c(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)CCS(C)(=O)=O)cnn1C ZINC001304118592 1073966958 /nfs/dbraw/zinc/96/69/58/1073966958.db2.gz YICQDMOCHRYTTR-ZDUSSCGKSA-N 0 0 430.556 -0.249 20 0 IBADRN COC(=O)[C@@H](Cn1cncn1)NC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001304137955 1073967046 /nfs/dbraw/zinc/96/70/46/1073967046.db2.gz ATSYZDMFYIBOEA-GFCCVEGCSA-N 0 0 446.283 -0.323 20 0 IBADRN COC(=O)[C@H](Cn1cncn1)NC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001304137957 1073967075 /nfs/dbraw/zinc/96/70/75/1073967075.db2.gz ATSYZDMFYIBOEA-LBPRGKRZSA-N 0 0 446.283 -0.323 20 0 IBADRN COC(=O)C1([C@]2(O)CCCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)CCC1 ZINC001304138973 1073967129 /nfs/dbraw/zinc/96/71/29/1073967129.db2.gz PWIZYKLZADEPMQ-AVYPCKFXSA-N 0 0 442.534 -0.281 20 0 IBADRN COC(=O)C1([C@]2(O)CCCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)CCC1 ZINC001304138977 1073967123 /nfs/dbraw/zinc/96/71/23/1073967123.db2.gz PWIZYKLZADEPMQ-MDOVXXIYSA-N 0 0 442.534 -0.281 20 0 IBADRN COC(=O)C1([C@]2(O)CCCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)CCC1 ZINC001304138980 1073967119 /nfs/dbraw/zinc/96/71/19/1073967119.db2.gz PWIZYKLZADEPMQ-SIFCLUCFSA-N 0 0 442.534 -0.281 20 0 IBADRN COC(=O)C1([C@]2(O)CCCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)CCC1 ZINC001304138982 1073967057 /nfs/dbraw/zinc/96/70/57/1073967057.db2.gz PWIZYKLZADEPMQ-SXGZJXTBSA-N 0 0 442.534 -0.281 20 0 IBADRN COC(=O)C1([C@@]2(O)CCCN(C(=O)c3cc4n(n3)CCN(C)S4(=O)=O)C2)CCC1 ZINC001304140676 1073967105 /nfs/dbraw/zinc/96/71/05/1073967105.db2.gz NUMFXBRJXJRMIT-GOSISDBHSA-N 0 0 426.495 -0.172 20 0 IBADRN COC(=O)C1([C@]2(O)CCCN(C(=O)c3cc4n(n3)CCN(C)S4(=O)=O)C2)CCC1 ZINC001304140679 1073966969 /nfs/dbraw/zinc/96/69/69/1073966969.db2.gz NUMFXBRJXJRMIT-SFHVURJKSA-N 0 0 426.495 -0.172 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N[C@H]2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC001304144691 1073967028 /nfs/dbraw/zinc/96/70/28/1073967028.db2.gz SYOXOWYLLALSGY-JQHSSLGASA-N 0 0 430.523 -0.026 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC001304144692 1073967084 /nfs/dbraw/zinc/96/70/84/1073967084.db2.gz SYOXOWYLLALSGY-NXHRZFHOSA-N 0 0 430.523 -0.026 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)c3cc(S(C)(=O)=O)ncc3Cl)C2)[nH]c1=O ZINC001304148147 1073967110 /nfs/dbraw/zinc/96/71/10/1073967110.db2.gz DOOZTGDVRXBHRP-SECBINFHSA-N 0 0 427.870 -0.054 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)c3cc(S(C)(=O)=O)ncc3Cl)C2)[nH]c1=O ZINC001304148148 1073967015 /nfs/dbraw/zinc/96/70/15/1073967015.db2.gz DOOZTGDVRXBHRP-VIFPVBQESA-N 0 0 427.870 -0.054 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1C ZINC001304148560 1073966990 /nfs/dbraw/zinc/96/69/90/1073966990.db2.gz MBELNGWPPNILRB-AWEZNQCLSA-N 0 0 435.506 -0.224 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1C ZINC001304148561 1073967649 /nfs/dbraw/zinc/96/76/49/1073967649.db2.gz MBELNGWPPNILRB-CQSZACIVSA-N 0 0 435.506 -0.224 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)C3(CC(=O)N4CCOCC4)CCCC3)C2)[nH]c1=O ZINC001304148576 1073967580 /nfs/dbraw/zinc/96/75/80/1073967580.db2.gz MPKZAGUDYWGFKP-HNNXBMFYSA-N 0 0 433.509 -0.010 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)C3(CC(=O)N4CCOCC4)CCCC3)C2)[nH]c1=O ZINC001304148577 1073967687 /nfs/dbraw/zinc/96/76/87/1073967687.db2.gz MPKZAGUDYWGFKP-OAHLLOKOSA-N 0 0 433.509 -0.010 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001304148620 1073967705 /nfs/dbraw/zinc/96/77/05/1073967705.db2.gz NNWIBIWPCQJKOJ-KBPBESRZSA-N 0 0 435.506 -0.144 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001304148621 1073967551 /nfs/dbraw/zinc/96/75/51/1073967551.db2.gz NNWIBIWPCQJKOJ-UONOGXRCSA-N 0 0 435.506 -0.144 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)c3ccc(CN4C(=O)CNC4=O)cc3)C2)[nH]c1=O ZINC001304148902 1073967589 /nfs/dbraw/zinc/96/75/89/1073967589.db2.gz RWUWTHPPCWMLOC-AWEZNQCLSA-N 0 0 426.433 -0.454 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)c3ccc(CN4C(=O)CNC4=O)cc3)C2)[nH]c1=O ZINC001304148903 1073967668 /nfs/dbraw/zinc/96/76/68/1073967668.db2.gz RWUWTHPPCWMLOC-CQSZACIVSA-N 0 0 426.433 -0.454 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)c1 ZINC001304149552 1073967662 /nfs/dbraw/zinc/96/76/62/1073967662.db2.gz ZQVGEFQHPTWAKL-CYBMUJFWSA-N 0 0 437.478 -0.324 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)c1 ZINC001304149553 1073967642 /nfs/dbraw/zinc/96/76/42/1073967642.db2.gz ZQVGEFQHPTWAKL-ZDUSSCGKSA-N 0 0 437.478 -0.324 20 0 IBADRN Cn1cc(C(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)c(I)n1 ZINC001304150129 1073967682 /nfs/dbraw/zinc/96/76/82/1073967682.db2.gz PMOLGJLSDTXEEU-MRVPVSSYSA-N 0 0 444.233 -0.167 20 0 IBADRN Cn1cc(C(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)c(I)n1 ZINC001304150131 1073967677 /nfs/dbraw/zinc/96/76/77/1073967677.db2.gz PMOLGJLSDTXEEU-QMMMGPOBSA-N 0 0 444.233 -0.167 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCS(=O)(=O)N2CCCC2)CC1 ZINC001304166880 1073967696 /nfs/dbraw/zinc/96/76/96/1073967696.db2.gz BCYSYUQIKPKGHO-UHFFFAOYSA-N 0 0 447.583 -0.031 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCS(=O)(=O)N2CCCC2)[C@@H](C)O)cc1 ZINC001304166920 1073967692 /nfs/dbraw/zinc/96/76/92/1073967692.db2.gz CZZDYJMQWREBIY-GDBMZVCRSA-N 0 0 433.552 -0.435 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCCS(=O)(=O)N2CCCC2)[C@H](C)O)cc1 ZINC001304166921 1073967627 /nfs/dbraw/zinc/96/76/27/1073967627.db2.gz CZZDYJMQWREBIY-GOEBONIOSA-N 0 0 433.552 -0.435 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCS(=O)(=O)N2CCCC2)[C@H](C)O)cc1 ZINC001304166922 1073967607 /nfs/dbraw/zinc/96/76/07/1073967607.db2.gz CZZDYJMQWREBIY-HOCLYGCPSA-N 0 0 433.552 -0.435 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCCS(=O)(=O)N2CCCC2)[C@@H](C)O)cc1 ZINC001304166923 1073967633 /nfs/dbraw/zinc/96/76/33/1073967633.db2.gz CZZDYJMQWREBIY-ZBFHGGJFSA-N 0 0 433.552 -0.435 20 0 IBADRN COc1cc(C(=O)NCC(=O)NCCS(=O)(=O)N2CCCC2)cc(OC)c1OC ZINC001304167078 1073967671 /nfs/dbraw/zinc/96/76/71/1073967671.db2.gz IJOWHCGMLHLNBS-UHFFFAOYSA-N 0 0 429.495 -0.016 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001304171686 1073967654 /nfs/dbraw/zinc/96/76/54/1073967654.db2.gz LWXSRVMNIZZLCB-ZDUSSCGKSA-N 0 0 441.572 -0.144 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC(CN2CCNC2=O)CC1 ZINC001304193903 1073968030 /nfs/dbraw/zinc/96/80/30/1073968030.db2.gz ZWCXALRZAJQQCU-CYBMUJFWSA-N 0 0 426.543 -0.032 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC(CN2CCNC2=O)CC1 ZINC001304193904 1073968171 /nfs/dbraw/zinc/96/81/71/1073968171.db2.gz ZWCXALRZAJQQCU-ZDUSSCGKSA-N 0 0 426.543 -0.032 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCO[C@H](CS(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC001304194784 1073968123 /nfs/dbraw/zinc/96/81/23/1073968123.db2.gz GCPBHMJLWIUATN-DZKIICNBSA-N 0 0 434.536 -0.705 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC001304194785 1073968186 /nfs/dbraw/zinc/96/81/86/1073968186.db2.gz GCPBHMJLWIUATN-FMKPAKJESA-N 0 0 434.536 -0.705 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)[C@H](C)O)cc1 ZINC001304194786 1073968098 /nfs/dbraw/zinc/96/80/98/1073968098.db2.gz GCPBHMJLWIUATN-LZWOXQAQSA-N 0 0 434.536 -0.705 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCO[C@H](CS(C)(=O)=O)C2)[C@@H](C)O)cc1 ZINC001304194787 1073968043 /nfs/dbraw/zinc/96/80/43/1073968043.db2.gz GCPBHMJLWIUATN-YCPHGPKFSA-N 0 0 434.536 -0.705 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001304194885 1073968142 /nfs/dbraw/zinc/96/81/42/1073968142.db2.gz HIVJHUBXSNUCGH-CYBMUJFWSA-N 0 0 429.520 -0.147 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001304194887 1073968085 /nfs/dbraw/zinc/96/80/85/1073968085.db2.gz HIVJHUBXSNUCGH-ZDUSSCGKSA-N 0 0 429.520 -0.147 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC001304197026 1073968158 /nfs/dbraw/zinc/96/81/58/1073968158.db2.gz XQZYODLFNCOMPX-CYBMUJFWSA-N 0 0 447.535 -0.944 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC001304197035 1073968106 /nfs/dbraw/zinc/96/81/06/1073968106.db2.gz XQZYODLFNCOMPX-ZDUSSCGKSA-N 0 0 447.535 -0.944 20 0 IBADRN C[C@@H]1CN(C(=O)CN2C(=O)N[C@](C)(c3ccc(F)cc3)C2=O)C[C@H]1CS(N)(=O)=O ZINC001304199446 1073968075 /nfs/dbraw/zinc/96/80/75/1073968075.db2.gz BZTPNFVMZQSILJ-FTLABTOESA-N 0 0 426.470 -0.024 20 0 IBADRN C[C@@H]1CN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(F)cc3)C2=O)C[C@H]1CS(N)(=O)=O ZINC001304199447 1073968180 /nfs/dbraw/zinc/96/81/80/1073968180.db2.gz BZTPNFVMZQSILJ-SOZUMNATSA-N 0 0 426.470 -0.024 20 0 IBADRN C[C@H](C(=O)N1C[C@@H](C)[C@H](CS(N)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001304203564 1073968150 /nfs/dbraw/zinc/96/81/50/1073968150.db2.gz YHZCDJYUKRYSPK-MCIONIFRSA-N 0 0 432.543 -0.163 20 0 IBADRN C[C@@H](C(=O)N1C[C@@H](C)[C@H](CS(N)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001304203565 1073968016 /nfs/dbraw/zinc/96/80/16/1073968016.db2.gz YHZCDJYUKRYSPK-RDBSUJKOSA-N 0 0 432.543 -0.163 20 0 IBADRN Cc1cc(Cn2c(C(=O)NC(C)C)nnc2N2CCN(C(=O)C(=O)NC3CC3)CC2)no1 ZINC001304210703 1073968053 /nfs/dbraw/zinc/96/80/53/1073968053.db2.gz HPIKKGVBTNHLIQ-UHFFFAOYSA-N 0 0 444.496 -0.312 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@@H]3C2)no1 ZINC001304214681 1073968192 /nfs/dbraw/zinc/96/81/92/1073968192.db2.gz BWGAYRYUNDEMBL-AAEUAGOBSA-N 0 0 425.471 -0.126 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@H]3C2)no1 ZINC001304214682 1073968198 /nfs/dbraw/zinc/96/81/98/1073968198.db2.gz BWGAYRYUNDEMBL-DGCLKSJQSA-N 0 0 425.471 -0.126 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@H]3C2)no1 ZINC001304214683 1073968132 /nfs/dbraw/zinc/96/81/32/1073968132.db2.gz BWGAYRYUNDEMBL-WCQYABFASA-N 0 0 425.471 -0.126 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@@H]3C2)no1 ZINC001304214684 1073968610 /nfs/dbraw/zinc/96/86/10/1073968610.db2.gz BWGAYRYUNDEMBL-YPMHNXCESA-N 0 0 425.471 -0.126 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CC(=O)N2CCOCC2)no1 ZINC001304214871 1073968625 /nfs/dbraw/zinc/96/86/25/1073968625.db2.gz HIIAXEMFZIRQPK-CYBMUJFWSA-N 0 0 441.514 -0.472 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CC(=O)N2CCOCC2)no1 ZINC001304214872 1073968659 /nfs/dbraw/zinc/96/86/59/1073968659.db2.gz HIIAXEMFZIRQPK-ZDUSSCGKSA-N 0 0 441.514 -0.472 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)cs1 ZINC001304220676 1073968703 /nfs/dbraw/zinc/96/87/03/1073968703.db2.gz BENPWDLWYVMVAC-AWEZNQCLSA-N 0 0 440.551 -0.035 20 0 IBADRN Cc1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)cs1 ZINC001304220677 1073968695 /nfs/dbraw/zinc/96/86/95/1073968695.db2.gz BENPWDLWYVMVAC-CQSZACIVSA-N 0 0 440.551 -0.035 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCN(S(C)(=O)=O)CC2)no1 ZINC001304223012 1073968680 /nfs/dbraw/zinc/96/86/80/1073968680.db2.gz YUFJDJSZWGTDKA-CYBMUJFWSA-N 0 0 444.539 -0.393 20 0 IBADRN Cc1cc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCN(S(C)(=O)=O)CC2)no1 ZINC001304223013 1073968615 /nfs/dbraw/zinc/96/86/15/1073968615.db2.gz YUFJDJSZWGTDKA-ZDUSSCGKSA-N 0 0 444.539 -0.393 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC2(C1)CCNC(=O)O2 ZINC001304239981 1073968666 /nfs/dbraw/zinc/96/86/66/1073968666.db2.gz VKDBIGXCMMOXLL-AWEZNQCLSA-N 0 0 445.519 -0.521 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC2(C1)CCNC(=O)O2 ZINC001304239992 1073968569 /nfs/dbraw/zinc/96/85/69/1073968569.db2.gz VKDBIGXCMMOXLL-CQSZACIVSA-N 0 0 445.519 -0.521 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@@](CO)(NC(=O)OC(C)(C)C)C1 ZINC001304248988 1073968689 /nfs/dbraw/zinc/96/86/89/1073968689.db2.gz GXNCJMGNHJZPPQ-FOIQADDNSA-N 0 0 426.514 -0.008 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@](CO)(NC(=O)OC(C)(C)C)C1 ZINC001304248990 1073968635 /nfs/dbraw/zinc/96/86/35/1073968635.db2.gz GXNCJMGNHJZPPQ-MGPUTAFESA-N 0 0 426.514 -0.008 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@](CO)(NC(=O)OC(C)(C)C)C1 ZINC001304248992 1073968606 /nfs/dbraw/zinc/96/86/06/1073968606.db2.gz GXNCJMGNHJZPPQ-QRWLVFNGSA-N 0 0 426.514 -0.008 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@](CO)(NC(=O)OC(C)(C)C)C1 ZINC001304248995 1073968589 /nfs/dbraw/zinc/96/85/89/1073968589.db2.gz GXNCJMGNHJZPPQ-YWZLYKJASA-N 0 0 426.514 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N[C@]1(CO)CCCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)C1 ZINC001304251525 1073968654 /nfs/dbraw/zinc/96/86/54/1073968654.db2.gz ZLUFZJXMWRFUDX-GOSISDBHSA-N 0 0 434.559 -0.558 20 0 IBADRN CC(C)(C)OC(=O)N[C@@]1(CO)CCCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)C1 ZINC001304251526 1073968648 /nfs/dbraw/zinc/96/86/48/1073968648.db2.gz ZLUFZJXMWRFUDX-SFHVURJKSA-N 0 0 434.559 -0.558 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC001304256757 1073968672 /nfs/dbraw/zinc/96/86/72/1073968672.db2.gz CITFZAFFLXMCEL-SSDOTTSWSA-N 0 0 435.343 -0.689 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC001304256758 1073968597 /nfs/dbraw/zinc/96/85/97/1073968597.db2.gz CITFZAFFLXMCEL-ZETCQYMHSA-N 0 0 435.343 -0.689 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NC[C@@H](O)CS(C)(=O)=O)c1 ZINC001304257194 1073968633 /nfs/dbraw/zinc/96/86/33/1073968633.db2.gz HQDGRSOIYXYMLF-MRVPVSSYSA-N 0 0 429.314 -0.508 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NC[C@H](O)CS(C)(=O)=O)c1 ZINC001304257195 1073968580 /nfs/dbraw/zinc/96/85/80/1073968580.db2.gz HQDGRSOIYXYMLF-QMMMGPOBSA-N 0 0 429.314 -0.508 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001304258312 1073968637 /nfs/dbraw/zinc/96/86/37/1073968637.db2.gz HABUMUXTRFTMTM-DOTOQJQBSA-N 0 0 427.498 -0.176 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001304258313 1073969181 /nfs/dbraw/zinc/96/91/81/1073969181.db2.gz HABUMUXTRFTMTM-NVXWUHKLSA-N 0 0 427.498 -0.176 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001304258314 1073969343 /nfs/dbraw/zinc/96/93/43/1073969343.db2.gz HABUMUXTRFTMTM-RDJZCZTQSA-N 0 0 427.498 -0.176 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001304258315 1073969256 /nfs/dbraw/zinc/96/92/56/1073969256.db2.gz HABUMUXTRFTMTM-WBVHZDCISA-N 0 0 427.498 -0.176 20 0 IBADRN C[S@@](=O)C1(C(=O)NC[C@H](O)CS(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001304258653 1073969313 /nfs/dbraw/zinc/96/93/13/1073969313.db2.gz XYUJPLPUOPSKJC-GWQKEKGPSA-N 0 0 426.557 -0.344 20 0 IBADRN C[S@@](=O)C1(C(=O)NC[C@@H](O)CS(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001304258654 1073969276 /nfs/dbraw/zinc/96/92/76/1073969276.db2.gz XYUJPLPUOPSKJC-MZHQLVBMSA-N 0 0 426.557 -0.344 20 0 IBADRN C[S@](=O)C1(C(=O)NC[C@H](O)CS(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001304258655 1073969351 /nfs/dbraw/zinc/96/93/51/1073969351.db2.gz XYUJPLPUOPSKJC-QDXKXIGTSA-N 0 0 426.557 -0.344 20 0 IBADRN C[S@](=O)C1(C(=O)NC[C@@H](O)CS(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001304258656 1073969319 /nfs/dbraw/zinc/96/93/19/1073969319.db2.gz XYUJPLPUOPSKJC-RYZVSIALSA-N 0 0 426.557 -0.344 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001304265911 1073969264 /nfs/dbraw/zinc/96/92/64/1073969264.db2.gz WDDDOZGCUKZAPX-JTQLQIEISA-N 0 0 440.341 -0.136 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001304265912 1073969349 /nfs/dbraw/zinc/96/93/49/1073969349.db2.gz WDDDOZGCUKZAPX-SNVBAGLBSA-N 0 0 440.341 -0.136 20 0 IBADRN Cc1ccc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CC(=O)N2CCOCC2)o1 ZINC001304323674 1073969329 /nfs/dbraw/zinc/96/93/29/1073969329.db2.gz GTKSPELALHAQMP-UHFFFAOYSA-N 0 0 438.510 -0.213 20 0 IBADRN Cc1ccc(CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)o1 ZINC001304338160 1073969289 /nfs/dbraw/zinc/96/92/89/1073969289.db2.gz KWTNTFTYMJEOQQ-GFCCVEGCSA-N 0 0 434.544 -0.025 20 0 IBADRN Cc1ccc(CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)o1 ZINC001304338166 1073969322 /nfs/dbraw/zinc/96/93/22/1073969322.db2.gz KWTNTFTYMJEOQQ-LBPRGKRZSA-N 0 0 434.544 -0.025 20 0 IBADRN Cc1ccc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)NC[C@H]3C2)c(=O)n1C ZINC001304345529 1073969338 /nfs/dbraw/zinc/96/93/38/1073969338.db2.gz LTTJVNZDPHTTDF-HNNXBMFYSA-N 0 0 428.497 -0.314 20 0 IBADRN Cc1ccc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)NC[C@@H]3C2)c(=O)n1C ZINC001304345530 1073969244 /nfs/dbraw/zinc/96/92/44/1073969244.db2.gz LTTJVNZDPHTTDF-OAHLLOKOSA-N 0 0 428.497 -0.314 20 0 IBADRN Cc1ccc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN(C)C(=O)C2)c(=O)n1C ZINC001304348873 1073969297 /nfs/dbraw/zinc/96/92/97/1073969297.db2.gz FDPPNHSOUHEFCF-CYBMUJFWSA-N 0 0 437.526 -0.778 20 0 IBADRN Cc1ccc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN(C)C(=O)C2)c(=O)n1C ZINC001304348880 1073969310 /nfs/dbraw/zinc/96/93/10/1073969310.db2.gz FDPPNHSOUHEFCF-ZDUSSCGKSA-N 0 0 437.526 -0.778 20 0 IBADRN Cc1ccc(Cn2c(-c3cnn(C)c3)nnc2N2CCN(S(C)(=O)=O)CC2)c(=O)n1C ZINC001304353786 1073969191 /nfs/dbraw/zinc/96/91/91/1073969191.db2.gz FFVQOVBMSDAZIR-UHFFFAOYSA-N 0 0 446.537 -0.184 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@](C)(C(N)=O)C2)c(=O)n1C ZINC001304356320 1073969789 /nfs/dbraw/zinc/96/97/89/1073969789.db2.gz LJQHZCDMUVGQQI-FOIQADDNSA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@](C)(C(N)=O)C2)c(=O)n1C ZINC001304356322 1073969746 /nfs/dbraw/zinc/96/97/46/1073969746.db2.gz LJQHZCDMUVGQQI-MGPUTAFESA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@](C)(C(N)=O)C2)c(=O)n1C ZINC001304356324 1073969798 /nfs/dbraw/zinc/96/97/98/1073969798.db2.gz LJQHZCDMUVGQQI-QRWLVFNGSA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@](C)(C(N)=O)C2)c(=O)n1C ZINC001304356326 1073969805 /nfs/dbraw/zinc/96/98/05/1073969805.db2.gz LJQHZCDMUVGQQI-YWZLYKJASA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCC(C(N)=O)CC2)c(=O)n1C ZINC001304358672 1073969650 /nfs/dbraw/zinc/96/96/50/1073969650.db2.gz SWHGANAQXXEOBO-INIZCTEOSA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCC(C(N)=O)CC2)c(=O)n1C ZINC001304358673 1073969812 /nfs/dbraw/zinc/96/98/12/1073969812.db2.gz SWHGANAQXXEOBO-MRXNPFEDSA-N 0 0 448.549 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2CCCCC(=O)C2=O)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001304359035 1073969689 /nfs/dbraw/zinc/96/96/89/1073969689.db2.gz IGPDJUOBBKSRTI-KGLIPLIRSA-N 0 0 443.522 -0.187 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2CCC(=O)NC2=O)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001304361293 1073969720 /nfs/dbraw/zinc/96/97/20/1073969720.db2.gz WLMYMCGVXXWHOU-NEPJUHHUSA-N 0 0 430.483 -0.827 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001304361726 1073969848 /nfs/dbraw/zinc/96/98/48/1073969848.db2.gz YOJQAJPHYCTFFG-OLZOCXBDSA-N 0 0 440.540 -0.708 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCC3N=NC(=O)N3C2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001304363734 1073969842 /nfs/dbraw/zinc/96/98/42/1073969842.db2.gz IDXDQRYAPNFTGM-UPJWGTAASA-N 0 0 441.510 -0.209 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCC3N=NC(=O)N3C2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001304363735 1073969713 /nfs/dbraw/zinc/96/97/13/1073969713.db2.gz IDXDQRYAPNFTGM-XQQFMLRXSA-N 0 0 441.510 -0.209 20 0 IBADRN O=C([C@@H]1CC(=O)NC(=O)N1)N1CCNC(=O)[C@H]1c1ccc(I)cc1 ZINC001304364029 1073969769 /nfs/dbraw/zinc/96/97/69/1073969769.db2.gz LJAXNGVNBJNXCZ-CMPLNLGQSA-N 0 0 442.213 -0.111 20 0 IBADRN O=C([C@@H]1CC(=O)NC(=O)N1)N1CCNC(=O)[C@@H]1c1ccc(I)cc1 ZINC001304364030 1073969757 /nfs/dbraw/zinc/96/97/57/1073969757.db2.gz LJAXNGVNBJNXCZ-JQWIXIFHSA-N 0 0 442.213 -0.111 20 0 IBADRN Cc1ccc(NC(=O)c2nnc(N3CCNC(=O)C3)n2CCNS(C)(=O)=O)cc1C ZINC001304385428 1073969820 /nfs/dbraw/zinc/96/98/20/1073969820.db2.gz XDBXNAKZLHQBFJ-UHFFFAOYSA-N 0 0 435.510 -0.367 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)[C@@H]1CC[C@H](C(F)(F)F)NC1=O)CCS2(=O)=O ZINC001304459546 1073969835 /nfs/dbraw/zinc/96/98/35/1073969835.db2.gz CDXAXEDMZKCQBG-HVGFFAFRSA-N 0 0 425.429 -0.229 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)[C@@H]1CC[C@@H](C(F)(F)F)NC1=O)CCS2(=O)=O ZINC001304459551 1073969779 /nfs/dbraw/zinc/96/97/79/1073969779.db2.gz CDXAXEDMZKCQBG-PKYLAJCHSA-N 0 0 425.429 -0.229 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)[C@H]1CC[C@H](C(F)(F)F)NC1=O)CCS2(=O)=O ZINC001304459552 1073969730 /nfs/dbraw/zinc/96/97/30/1073969730.db2.gz CDXAXEDMZKCQBG-PXYQLOIISA-N 0 0 425.429 -0.229 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)[C@H]1CC[C@@H](C(F)(F)F)NC1=O)CCS2(=O)=O ZINC001304459553 1073969700 /nfs/dbraw/zinc/96/97/00/1073969700.db2.gz CDXAXEDMZKCQBG-WBYXNYCFSA-N 0 0 425.429 -0.229 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)[C@H]1CC(=O)N(CC(F)(F)F)C1)CCS2(=O)=O ZINC001304460404 1073970275 /nfs/dbraw/zinc/97/02/75/1073970275.db2.gz IBISEQIEMQLQFO-BFVXHGPNSA-N 0 0 425.429 -0.275 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)[C@@H]1CC(=O)N(CC(F)(F)F)C1)CCS2(=O)=O ZINC001304460411 1073970284 /nfs/dbraw/zinc/97/02/84/1073970284.db2.gz IBISEQIEMQLQFO-GPZIFOQPSA-N 0 0 425.429 -0.275 20 0 IBADRN NC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@H]1CC(=O)N(CC(F)(F)F)C1)CCS2(=O)=O ZINC001304460415 1073970308 /nfs/dbraw/zinc/97/03/08/1073970308.db2.gz IBISEQIEMQLQFO-PAPNWUEJSA-N 0 0 425.429 -0.275 20 0 IBADRN NC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC(=O)N(CC(F)(F)F)C1)CCS2(=O)=O ZINC001304460418 1073970297 /nfs/dbraw/zinc/97/02/97/1073970297.db2.gz IBISEQIEMQLQFO-VQEBWTGLSA-N 0 0 425.429 -0.275 20 0 IBADRN Cc1cccc(-c2nnc(N(C)CCNS(C)(=O)=O)n2CCNS(C)(=O)=O)n1 ZINC001304460493 1073970287 /nfs/dbraw/zinc/97/02/87/1073970287.db2.gz SYERNFQDTRKAPL-UHFFFAOYSA-N 0 0 431.544 -0.817 20 0 IBADRN Cc1cccc(-c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2C[C@]2(O)CCS(=O)(=O)C2)n1 ZINC001304464618 1073970299 /nfs/dbraw/zinc/97/02/99/1073970299.db2.gz KUMZUSUFKNOWOX-AUUYWEPGSA-N 0 0 434.522 -0.135 20 0 IBADRN Cc1cccc(-c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2C[C@]2(O)CCS(=O)(=O)C2)n1 ZINC001304464624 1073970280 /nfs/dbraw/zinc/97/02/80/1073970280.db2.gz KUMZUSUFKNOWOX-IFXJQAMLSA-N 0 0 434.522 -0.135 20 0 IBADRN Cc1cccc(-c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)n1 ZINC001304464626 1073970313 /nfs/dbraw/zinc/97/03/13/1073970313.db2.gz KUMZUSUFKNOWOX-KUHUBIRLSA-N 0 0 434.522 -0.135 20 0 IBADRN Cc1cccc(-c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)n1 ZINC001304464628 1073970300 /nfs/dbraw/zinc/97/03/00/1073970300.db2.gz KUMZUSUFKNOWOX-LIRRHRJNSA-N 0 0 434.522 -0.135 20 0 IBADRN Cn1cc([C@@H]2CN(C)CC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)cn1 ZINC001304470395 1073970318 /nfs/dbraw/zinc/97/03/18/1073970318.db2.gz GVZUEYHSIFTHNF-QMIPCYAVSA-N 0 0 437.566 -0.217 20 0 IBADRN Cn1cc([C@@H]2CN(C)CC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)cn1 ZINC001304470396 1073970328 /nfs/dbraw/zinc/97/03/28/1073970328.db2.gz GVZUEYHSIFTHNF-QXXYQVSPSA-N 0 0 437.566 -0.217 20 0 IBADRN Cn1cc([C@H]2CN(C)CC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)cn1 ZINC001304470397 1073970302 /nfs/dbraw/zinc/97/03/02/1073970302.db2.gz GVZUEYHSIFTHNF-TVXJVOLJSA-N 0 0 437.566 -0.217 20 0 IBADRN Cn1cc([C@H]2CN(C)CC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)cn1 ZINC001304470398 1073970314 /nfs/dbraw/zinc/97/03/14/1073970314.db2.gz GVZUEYHSIFTHNF-YIGLQMKRSA-N 0 0 437.566 -0.217 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)[C@H]1c1cccnc1 ZINC001304470444 1073970294 /nfs/dbraw/zinc/97/02/94/1073970294.db2.gz IAPNARNTVDFRNR-RUQVLMSLSA-N 0 0 434.518 -0.072 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)[C@H]1c1cccnc1 ZINC001304470445 1073970307 /nfs/dbraw/zinc/97/03/07/1073970307.db2.gz IAPNARNTVDFRNR-UYICJNNKSA-N 0 0 434.518 -0.072 20 0 IBADRN CCn1c(CCC(=O)NC[C@@H](O)CO)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001304470880 1073970325 /nfs/dbraw/zinc/97/03/25/1073970325.db2.gz UIMDXCHBJNKJIE-CQSZACIVSA-N 0 0 440.522 -0.521 20 0 IBADRN Cc1cccc(-c2nnc(N3CCc4nn(C)c(=O)cc4C3)n2CCNS(C)(=O)=O)n1 ZINC001304474620 1073970311 /nfs/dbraw/zinc/97/03/11/1073970311.db2.gz TYURTGIVBHSXPX-UHFFFAOYSA-N 0 0 444.521 -0.146 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN(C)C(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)n1 ZINC001304476365 1073970290 /nfs/dbraw/zinc/97/02/90/1073970290.db2.gz HGXAUOBNOBQQMN-UHFFFAOYSA-N 0 0 434.522 -0.133 20 0 IBADRN Cc1cccc(-c2nnc(N3CC[C@@H](S(C)(=O)=O)C3)n2CCNS(C)(=O)=O)n1 ZINC001304476844 1073970263 /nfs/dbraw/zinc/97/02/63/1073970263.db2.gz YXOFDBKONGSEDZ-CYBMUJFWSA-N 0 0 428.540 -0.179 20 0 IBADRN Cc1cccc(-c2nnc(N3CC[C@H](S(C)(=O)=O)C3)n2CCNS(C)(=O)=O)n1 ZINC001304476845 1073970272 /nfs/dbraw/zinc/97/02/72/1073970272.db2.gz YXOFDBKONGSEDZ-ZDUSSCGKSA-N 0 0 428.540 -0.179 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCCC(=O)N(C)C)n1 ZINC001304480485 1073970853 /nfs/dbraw/zinc/97/08/53/1073970853.db2.gz MIEAAPIKAAYHNZ-HNNXBMFYSA-N 0 0 440.508 -0.336 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCCC(=O)N(C)C)n1 ZINC001304480486 1073970905 /nfs/dbraw/zinc/97/09/05/1073970905.db2.gz MIEAAPIKAAYHNZ-OAHLLOKOSA-N 0 0 440.508 -0.336 20 0 IBADRN Cc1cccc(-c2nnc(N3CCS(=O)(=O)CC3)n2CCS(=O)(=O)N(C)C)n1 ZINC001304481463 1073970875 /nfs/dbraw/zinc/97/08/75/1073970875.db2.gz FREJUCNMXUHPIZ-UHFFFAOYSA-N 0 0 428.540 -0.225 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CC3(C2)CC(=O)Nc2nccn23)cc1OC ZINC001304553831 1073970859 /nfs/dbraw/zinc/97/08/59/1073970859.db2.gz XZWCAWOLTGYBMG-UHFFFAOYSA-N 0 0 435.462 -0.242 20 0 IBADRN Cc1ccccc1Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCOCCCO ZINC001304560641 1073970812 /nfs/dbraw/zinc/97/08/12/1073970812.db2.gz ITMOVDMTCGRTMC-GOSISDBHSA-N 0 0 442.520 -0.277 20 0 IBADRN Cc1ccccc1Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCOCCCO ZINC001304560642 1073970885 /nfs/dbraw/zinc/97/08/85/1073970885.db2.gz ITMOVDMTCGRTMC-SFHVURJKSA-N 0 0 442.520 -0.277 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)[C@@H](C)O)cc1 ZINC001304568186 1073970827 /nfs/dbraw/zinc/97/08/27/1073970827.db2.gz SVMXQIKQAPRROE-CXHZTBPHSA-N 0 0 430.548 -0.084 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)[C@H](C)O)cc1 ZINC001304568187 1073970931 /nfs/dbraw/zinc/97/09/31/1073970931.db2.gz SVMXQIKQAPRROE-ILXVDBEPSA-N 0 0 430.548 -0.084 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)[C@H](C)O)cc1 ZINC001304568188 1073970837 /nfs/dbraw/zinc/97/08/37/1073970837.db2.gz SVMXQIKQAPRROE-KJGCVMFHSA-N 0 0 430.548 -0.084 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)[C@@H](C)O)cc1 ZINC001304568189 1073970890 /nfs/dbraw/zinc/97/08/90/1073970890.db2.gz SVMXQIKQAPRROE-YQYZPQCESA-N 0 0 430.548 -0.084 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001304575515 1073970746 /nfs/dbraw/zinc/97/07/46/1073970746.db2.gz BQRBKMJAWCAELR-LURJTMIESA-N 0 0 440.297 -0.386 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cc(S(N)(=O)=O)c(Br)s1 ZINC001304575522 1073970911 /nfs/dbraw/zinc/97/09/11/1073970911.db2.gz BQRBKMJAWCAELR-ZCFIWIBFSA-N 0 0 440.297 -0.386 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC001304575807 1073970847 /nfs/dbraw/zinc/97/08/47/1073970847.db2.gz DSXFCCDFNJCCIN-CYBMUJFWSA-N 0 0 425.463 -0.408 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc(OC)c(S(=O)(=O)NC2CC2)c1 ZINC001304575808 1073970787 /nfs/dbraw/zinc/97/07/87/1073970787.db2.gz DSXFCCDFNJCCIN-ZDUSSCGKSA-N 0 0 425.463 -0.408 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC001304576919 1073971411 /nfs/dbraw/zinc/97/14/11/1073971411.db2.gz KXCDMDLZUJCTCS-GFCCVEGCSA-N 0 0 437.396 -0.174 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CNS(=O)(=O)c1cccc(C(F)(F)F)c1 ZINC001304576920 1073971405 /nfs/dbraw/zinc/97/14/05/1073971405.db2.gz KXCDMDLZUJCTCS-LBPRGKRZSA-N 0 0 437.396 -0.174 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC001304578295 1073971342 /nfs/dbraw/zinc/97/13/42/1073971342.db2.gz WDHRMYGJGJJGEK-DOMZBBRYSA-N 0 0 428.486 -0.506 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC001304578296 1073971447 /nfs/dbraw/zinc/97/14/47/1073971447.db2.gz WDHRMYGJGJJGEK-WFASDCNBSA-N 0 0 428.486 -0.506 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001304578308 1073971402 /nfs/dbraw/zinc/97/14/02/1073971402.db2.gz WJAJLXKNEMKSRK-HNNXBMFYSA-N 0 0 425.463 -0.600 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001304578309 1073971367 /nfs/dbraw/zinc/97/13/67/1073971367.db2.gz WJAJLXKNEMKSRK-OAHLLOKOSA-N 0 0 425.463 -0.600 20 0 IBADRN Cc1cnn(CCn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)CCNS(C)(=O)=O)c1 ZINC001304604130 1073971385 /nfs/dbraw/zinc/97/13/85/1073971385.db2.gz VVAYDANWGPJTQK-AWEZNQCLSA-N 0 0 445.571 -0.629 20 0 IBADRN Cc1cnn(CCn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)CCNS(C)(=O)=O)c1 ZINC001304604134 1073971456 /nfs/dbraw/zinc/97/14/56/1073971456.db2.gz VVAYDANWGPJTQK-CQSZACIVSA-N 0 0 445.571 -0.629 20 0 IBADRN Cc1cnn(CCn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC[C@@]3(CNC(=O)C3)C2)c1 ZINC001304604479 1073971441 /nfs/dbraw/zinc/97/14/41/1073971441.db2.gz VXQNTLLEVUNANJ-KBXCAEBGSA-N 0 0 436.542 -0.190 20 0 IBADRN Cc1cnn(CCn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC[C@]3(CNC(=O)C3)C2)c1 ZINC001304604480 1073971432 /nfs/dbraw/zinc/97/14/32/1073971432.db2.gz VXQNTLLEVUNANJ-KDOFPFPSSA-N 0 0 436.542 -0.190 20 0 IBADRN Cc1cnn(CCn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC[C@]3(CNC(=O)C3)C2)c1 ZINC001304604481 1073971414 /nfs/dbraw/zinc/97/14/14/1073971414.db2.gz VXQNTLLEVUNANJ-KSSFIOAISA-N 0 0 436.542 -0.190 20 0 IBADRN Cc1cnn(CCn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC[C@@]3(CNC(=O)C3)C2)c1 ZINC001304604482 1073971428 /nfs/dbraw/zinc/97/14/28/1073971428.db2.gz VXQNTLLEVUNANJ-RDTXWAMCSA-N 0 0 436.542 -0.190 20 0 IBADRN Cc1cnn(CCn2c(CN3CCCC3=O)nnc2N2CCN(CC(=O)N(C)C)CC2)c1 ZINC001304607075 1073971378 /nfs/dbraw/zinc/97/13/78/1073971378.db2.gz RLQLGCYENQCFBX-UHFFFAOYSA-N 0 0 443.556 -0.184 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001304618708 1073971450 /nfs/dbraw/zinc/97/14/50/1073971450.db2.gz WREIRKANUSOSGN-CYBMUJFWSA-N 0 0 432.543 -0.006 20 0 IBADRN CCN(CC)S(=O)(=O)CC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001304618709 1073971459 /nfs/dbraw/zinc/97/14/59/1073971459.db2.gz WREIRKANUSOSGN-ZDUSSCGKSA-N 0 0 432.543 -0.006 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)Cn3nc4ccccn4c3=O)CC2=O)C1 ZINC001304618767 1073971420 /nfs/dbraw/zinc/97/14/20/1073971420.db2.gz YWHUDUHVRVZJJN-CYBMUJFWSA-N 0 0 430.465 -0.168 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)Cn3nc4ccccn4c3=O)CC2=O)C1 ZINC001304618768 1073971941 /nfs/dbraw/zinc/97/19/41/1073971941.db2.gz YWHUDUHVRVZJJN-ZDUSSCGKSA-N 0 0 430.465 -0.168 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)[C@](C)(O)Cn3ccccc3=O)CC2=O)C1 ZINC001304623611 1073972108 /nfs/dbraw/zinc/97/21/08/1073972108.db2.gz LEJCRXJKPCNMOP-LHSJRXKWSA-N 0 0 434.493 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)[C@@](C)(O)Cn3ccccc3=O)CC2=O)C1 ZINC001304623613 1073971854 /nfs/dbraw/zinc/97/18/54/1073971854.db2.gz LEJCRXJKPCNMOP-QKKBWIMNSA-N 0 0 434.493 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)[C@](C)(O)Cn3ccccc3=O)CC2=O)C1 ZINC001304623615 1073971895 /nfs/dbraw/zinc/97/18/95/1073971895.db2.gz LEJCRXJKPCNMOP-SPLOXXLWSA-N 0 0 434.493 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)[C@@](C)(O)Cn3ccccc3=O)CC2=O)C1 ZINC001304623617 1073971830 /nfs/dbraw/zinc/97/18/30/1073971830.db2.gz LEJCRXJKPCNMOP-SZNDQCEHSA-N 0 0 434.493 -0.064 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001304624861 1073971862 /nfs/dbraw/zinc/97/18/62/1073971862.db2.gz RUSBPGYNJVSPSB-GFCCVEGCSA-N 0 0 441.510 -0.142 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001304624862 1073971844 /nfs/dbraw/zinc/97/18/44/1073971844.db2.gz RUSBPGYNJVSPSB-LBPRGKRZSA-N 0 0 441.510 -0.142 20 0 IBADRN C[C@@H]1CN(C(=O)CNS(=O)(=O)c2c[nH]c(C(N)=O)c2)C[C@H](C)N1CC(F)(F)F ZINC001304635404 1073971949 /nfs/dbraw/zinc/97/19/49/1073971949.db2.gz AJVSEPNTCDRFCY-AOOOYVTPSA-N 0 0 425.433 -0.125 20 0 IBADRN Cc1nc(Cc2nnc(N3CC[C@@H](S(C)(=O)=O)C3)n2CCNS(C)(=O)=O)cs1 ZINC001304667535 1073972005 /nfs/dbraw/zinc/97/20/05/1073972005.db2.gz ZTIYLLLDRXBOEB-CYBMUJFWSA-N 0 0 448.596 -0.194 20 0 IBADRN Cc1nc(Cc2nnc(N3CC[C@H](S(C)(=O)=O)C3)n2CCNS(C)(=O)=O)cs1 ZINC001304667536 1073971989 /nfs/dbraw/zinc/97/19/89/1073971989.db2.gz ZTIYLLLDRXBOEB-ZDUSSCGKSA-N 0 0 448.596 -0.194 20 0 IBADRN Cc1nc(Cc2nnc(N3CCN(C)C(=O)C3)n2CCOCCS(C)(=O)=O)cs1 ZINC001304669354 1073972685 /nfs/dbraw/zinc/97/26/85/1073972685.db2.gz HMTHNIJLXTYJQQ-UHFFFAOYSA-N 0 0 442.567 -0.027 20 0 IBADRN Cc1nc(CCn2c(C(=O)N3CCCC3)nnc2N2CCN(CC(=O)N(C)C)CC2)n[nH]1 ZINC001304676853 1073972509 /nfs/dbraw/zinc/97/25/09/1073972509.db2.gz AHGXFUJZEIVDOM-UHFFFAOYSA-N 0 0 444.544 -0.606 20 0 IBADRN Cc1nc(CCn2c(C(=O)NC(C)C)nnc2N2CCN(C(=O)[C@@H]3CCCO3)CC2)n[nH]1 ZINC001304677190 1073972619 /nfs/dbraw/zinc/97/26/19/1073972619.db2.gz DUXYOIGKVSKXFF-HNNXBMFYSA-N 0 0 445.528 -0.087 20 0 IBADRN Cc1nc(CCn2c(C(=O)NC(C)C)nnc2N2CCN(C(=O)[C@H]3CCCO3)CC2)n[nH]1 ZINC001304677191 1073972600 /nfs/dbraw/zinc/97/26/00/1073972600.db2.gz DUXYOIGKVSKXFF-OAHLLOKOSA-N 0 0 445.528 -0.087 20 0 IBADRN Cc1nc(CCn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CCN2CCOCC2)n[nH]1 ZINC001304677513 1073972482 /nfs/dbraw/zinc/97/24/82/1073972482.db2.gz IVHRBTVIUUPVRJ-CYBMUJFWSA-N 0 0 441.562 -0.674 20 0 IBADRN Cc1nc(CCn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CCN2CCOCC2)n[nH]1 ZINC001304677514 1073972654 /nfs/dbraw/zinc/97/26/54/1073972654.db2.gz IVHRBTVIUUPVRJ-ZDUSSCGKSA-N 0 0 441.562 -0.674 20 0 IBADRN Cc1nc(CCn2c(C(=O)NC(C)C)nnc2N2CCN(CC(=O)NC(C)C)CC2)n[nH]1 ZINC001304678123 1073972525 /nfs/dbraw/zinc/97/25/25/1073972525.db2.gz LKWJHYLDCZQVLQ-UHFFFAOYSA-N 0 0 446.560 -0.268 20 0 IBADRN Cc1nc(CCn2c(-c3cnn(C)c3)nnc2N2CCN(CC(=O)N(C)C)CC2)n[nH]1 ZINC001304681740 1073972728 /nfs/dbraw/zinc/97/27/28/1073972728.db2.gz CZCUUECBUZTUJA-UHFFFAOYSA-N 0 0 427.517 -0.442 20 0 IBADRN Cc1nc(CCn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOC[C@@H]2C)n[nH]1 ZINC001304683559 1073972559 /nfs/dbraw/zinc/97/25/59/1073972559.db2.gz ISDWVVVAUDOAOD-GXTWGEPZSA-N 0 0 440.530 -0.495 20 0 IBADRN Cc1nc(CCn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOC[C@@H]2C)n[nH]1 ZINC001304683560 1073972670 /nfs/dbraw/zinc/97/26/70/1073972670.db2.gz ISDWVVVAUDOAOD-JSGCOSHPSA-N 0 0 440.530 -0.495 20 0 IBADRN Cc1nc(CCn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOC[C@H]2C)n[nH]1 ZINC001304683561 1073972636 /nfs/dbraw/zinc/97/26/36/1073972636.db2.gz ISDWVVVAUDOAOD-OCCSQVGLSA-N 0 0 440.530 -0.495 20 0 IBADRN Cc1nc(CCn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOC[C@H]2C)n[nH]1 ZINC001304683562 1073972459 /nfs/dbraw/zinc/97/24/59/1073972459.db2.gz ISDWVVVAUDOAOD-TZMCWYRMSA-N 0 0 440.530 -0.495 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3nnc(C)n3CCNC(=O)C3CC3)CC2)cn1C ZINC001304689161 1073972582 /nfs/dbraw/zinc/97/25/82/1073972582.db2.gz KJKPFFLTQBQBLO-UHFFFAOYSA-N 0 0 436.542 -0.334 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001304689880 1073972666 /nfs/dbraw/zinc/97/26/66/1073972666.db2.gz UNCGUMPIAROWGB-GOSISDBHSA-N 0 0 436.542 -0.382 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001304689881 1073972705 /nfs/dbraw/zinc/97/27/05/1073972705.db2.gz UNCGUMPIAROWGB-SFHVURJKSA-N 0 0 436.542 -0.382 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)c1 ZINC001304692438 1073972553 /nfs/dbraw/zinc/97/25/53/1073972553.db2.gz ALCQJODXYPXTOD-JTQLQIEISA-N 0 0 443.283 -0.465 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)c1 ZINC001304692439 1073972426 /nfs/dbraw/zinc/97/24/26/1073972426.db2.gz ALCQJODXYPXTOD-SNVBAGLBSA-N 0 0 443.283 -0.465 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H]1Cc2cc(Br)cnc2NC1=O ZINC001304692460 1073972450 /nfs/dbraw/zinc/97/24/50/1073972450.db2.gz BGLFHMIGHLSZKQ-LLVKDONJSA-N 0 0 435.300 -0.221 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@H]1Cc2cc(Br)cnc2NC1=O ZINC001304692461 1073972436 /nfs/dbraw/zinc/97/24/36/1073972436.db2.gz BGLFHMIGHLSZKQ-NSHDSACASA-N 0 0 435.300 -0.221 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)CC1 ZINC001304693625 1073972443 /nfs/dbraw/zinc/97/24/43/1073972443.db2.gz HVOZXGBJKVPJPW-GFCCVEGCSA-N 0 0 446.327 -0.599 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)CC1 ZINC001304693632 1073973370 /nfs/dbraw/zinc/97/33/70/1073973370.db2.gz HVOZXGBJKVPJPW-LBPRGKRZSA-N 0 0 446.327 -0.599 20 0 IBADRN Cn1cc(/C=C/C(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)c(=O)n(C)c1=O ZINC001304694276 1073973242 /nfs/dbraw/zinc/97/32/42/1073973242.db2.gz LSYJYIHBBFLEJA-AAOUONPWSA-N 0 0 434.250 -0.066 20 0 IBADRN Cn1cc(/C=C\C(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)c(=O)n(C)c1=O ZINC001304694277 1073973338 /nfs/dbraw/zinc/97/33/38/1073973338.db2.gz LSYJYIHBBFLEJA-MVZIDQBPSA-N 0 0 434.250 -0.066 20 0 IBADRN Cn1cc(/C=C/C(=O)N[C@H]2Cc3cc(Br)cnc3NC2=O)c(=O)n(C)c1=O ZINC001304694278 1073973385 /nfs/dbraw/zinc/97/33/85/1073973385.db2.gz LSYJYIHBBFLEJA-PCAWENJQSA-N 0 0 434.250 -0.066 20 0 IBADRN Cn1cc(/C=C\C(=O)N[C@@H]2Cc3cc(Br)cnc3NC2=O)c(=O)n(C)c1=O ZINC001304694279 1073973362 /nfs/dbraw/zinc/97/33/62/1073973362.db2.gz LSYJYIHBBFLEJA-VSQXVHSFSA-N 0 0 434.250 -0.066 20 0 IBADRN Cc1nccc(N2CCN(c3nnc([C@@H]4CCC(=O)N4)n3CCNS(C)(=O)=O)CC2)n1 ZINC001304702146 1073973281 /nfs/dbraw/zinc/97/32/81/1073973281.db2.gz QDRJDABDZOPBDA-AWEZNQCLSA-N 0 0 449.541 -0.797 20 0 IBADRN Cc1nccc(N2CCN(c3nnc([C@H]4CCC(=O)N4)n3CCNS(C)(=O)=O)CC2)n1 ZINC001304702157 1073973373 /nfs/dbraw/zinc/97/33/73/1073973373.db2.gz QDRJDABDZOPBDA-CQSZACIVSA-N 0 0 449.541 -0.797 20 0 IBADRN Cc1nccc(N2CCN(c3nnc([C@@H]4CCC(=O)N4)n3CCS(C)(=O)=O)CC2)n1 ZINC001304702643 1073973364 /nfs/dbraw/zinc/97/33/64/1073973364.db2.gz XEGDIMDBUZZKPS-AWEZNQCLSA-N 0 0 434.526 -0.301 20 0 IBADRN Cc1nccc(N2CCN(c3nnc([C@H]4CCC(=O)N4)n3CCS(C)(=O)=O)CC2)n1 ZINC001304702644 1073973220 /nfs/dbraw/zinc/97/32/20/1073973220.db2.gz XEGDIMDBUZZKPS-CQSZACIVSA-N 0 0 434.526 -0.301 20 0 IBADRN Cc1nn(C)c(C)c1CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCS(C)(=O)=O ZINC001304710703 1073973202 /nfs/dbraw/zinc/97/32/02/1073973202.db2.gz BDSPWUDJYGLHTD-GFCCVEGCSA-N 0 0 447.587 -0.080 20 0 IBADRN Cc1nn(C)c(C)c1CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCS(C)(=O)=O ZINC001304710704 1073973349 /nfs/dbraw/zinc/97/33/49/1073973349.db2.gz BDSPWUDJYGLHTD-LBPRGKRZSA-N 0 0 447.587 -0.080 20 0 IBADRN Cc1nccnc1CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001304711047 1073973324 /nfs/dbraw/zinc/97/33/24/1073973324.db2.gz BIGWMJGXXMXZBH-HNNXBMFYSA-N 0 0 434.526 -0.348 20 0 IBADRN Cc1nccnc1CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001304711058 1073973320 /nfs/dbraw/zinc/97/33/20/1073973320.db2.gz BIGWMJGXXMXZBH-OAHLLOKOSA-N 0 0 434.526 -0.348 20 0 IBADRN Cc1nccn1CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001304711266 1073973353 /nfs/dbraw/zinc/97/33/53/1073973353.db2.gz QCOVSBIPAVRUIX-CYBMUJFWSA-N 0 0 436.542 -0.096 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001304711292 1073973298 /nfs/dbraw/zinc/97/32/98/1073973298.db2.gz QCOVSBIPAVRUIX-ZDUSSCGKSA-N 0 0 436.542 -0.096 20 0 IBADRN Cc1nccnc1CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001304712295 1073973312 /nfs/dbraw/zinc/97/33/12/1073973312.db2.gz ILMNTSDFRRSNFN-KRWDZBQOSA-N 0 0 441.540 -0.219 20 0 IBADRN Cc1nccnc1CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001304712299 1073973332 /nfs/dbraw/zinc/97/33/32/1073973332.db2.gz ILMNTSDFRRSNFN-QGZVFWFLSA-N 0 0 441.540 -0.219 20 0 IBADRN Cc1nccnc1N1CCN(c2nnc(CN3CCCC3=O)n2CCS(C)(=O)=O)CC1 ZINC001304712625 1073973341 /nfs/dbraw/zinc/97/33/41/1073973341.db2.gz JVDWDPRFJVSNCT-UHFFFAOYSA-N 0 0 448.553 -0.130 20 0 IBADRN Cc1nccnc1N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N(C)C)CC1 ZINC001304714383 1073973272 /nfs/dbraw/zinc/97/32/72/1073973272.db2.gz QNHQXRJLLVZOBS-UHFFFAOYSA-N 0 0 427.513 -0.086 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)NC(C)C)CC2)n(C)n1 ZINC001304727597 1073973251 /nfs/dbraw/zinc/97/32/51/1073973251.db2.gz BCUGHYUDHPGEES-KRWDZBQOSA-N 0 0 443.556 -0.034 20 0 IBADRN Cc1cc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)NC(C)C)CC2)n(C)n1 ZINC001304727598 1073973785 /nfs/dbraw/zinc/97/37/85/1073973785.db2.gz BCUGHYUDHPGEES-QGZVFWFLSA-N 0 0 443.556 -0.034 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CCN2CCOCC2)n(C)n1 ZINC001304728588 1073973729 /nfs/dbraw/zinc/97/37/29/1073973729.db2.gz PQCXOALWXXCCFI-HNNXBMFYSA-N 0 0 440.574 -0.253 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CCN2CCOCC2)n(C)n1 ZINC001304728599 1073973675 /nfs/dbraw/zinc/97/36/75/1073973675.db2.gz PQCXOALWXXCCFI-OAHLLOKOSA-N 0 0 440.574 -0.253 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCO[C@]3(CCOC3)C2)n(C)n1 ZINC001304728925 1073973800 /nfs/dbraw/zinc/97/38/00/1073973800.db2.gz RTLRZNFPFLHJEJ-KBXCAEBGSA-N 0 0 439.542 -0.026 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCO[C@@]3(CCOC3)C2)n(C)n1 ZINC001304728929 1073973832 /nfs/dbraw/zinc/97/38/32/1073973832.db2.gz RTLRZNFPFLHJEJ-KDOFPFPSSA-N 0 0 439.542 -0.026 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCO[C@@]3(CCOC3)C2)n(C)n1 ZINC001304728931 1073973654 /nfs/dbraw/zinc/97/36/54/1073973654.db2.gz RTLRZNFPFLHJEJ-KSSFIOAISA-N 0 0 439.542 -0.026 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCO[C@]3(CCOC3)C2)n(C)n1 ZINC001304728932 1073973647 /nfs/dbraw/zinc/97/36/47/1073973647.db2.gz RTLRZNFPFLHJEJ-RDTXWAMCSA-N 0 0 439.542 -0.026 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@H]3C2)n(C)n1 ZINC001304729011 1073973761 /nfs/dbraw/zinc/97/37/61/1073973761.db2.gz TUAXWEXUHVURAG-GXTWGEPZSA-N 0 0 438.514 -0.381 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@@H]3C2)n(C)n1 ZINC001304729012 1073973707 /nfs/dbraw/zinc/97/37/07/1073973707.db2.gz TUAXWEXUHVURAG-JSGCOSHPSA-N 0 0 438.514 -0.381 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@@H]3C2)n(C)n1 ZINC001304729013 1073973695 /nfs/dbraw/zinc/97/36/95/1073973695.db2.gz TUAXWEXUHVURAG-OCCSQVGLSA-N 0 0 438.514 -0.381 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)OC[C@H]3C2)n(C)n1 ZINC001304729014 1073973634 /nfs/dbraw/zinc/97/36/34/1073973634.db2.gz TUAXWEXUHVURAG-TZMCWYRMSA-N 0 0 438.514 -0.381 20 0 IBADRN Cc1cc(Cn2c(-c3cnn(C)c3)nnc2N2CCN(CC(=O)N(C)C)CC2)n(C)n1 ZINC001304729408 1073973808 /nfs/dbraw/zinc/97/38/08/1073973808.db2.gz XBZNIHWLYWUOID-UHFFFAOYSA-N 0 0 426.529 -0.021 20 0 IBADRN Cc1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOC[C@H]2C)n(C)n1 ZINC001304733583 1073973826 /nfs/dbraw/zinc/97/38/26/1073973826.db2.gz XIOUPNNJDVBQRQ-GDBMZVCRSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOC[C@@H]2C)n(C)n1 ZINC001304733584 1073973794 /nfs/dbraw/zinc/97/37/94/1073973794.db2.gz XIOUPNNJDVBQRQ-GOEBONIOSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOC[C@@H]2C)n(C)n1 ZINC001304733585 1073973715 /nfs/dbraw/zinc/97/37/15/1073973715.db2.gz XIOUPNNJDVBQRQ-HOCLYGCPSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOC[C@H]2C)n(C)n1 ZINC001304733586 1073973779 /nfs/dbraw/zinc/97/37/79/1073973779.db2.gz XIOUPNNJDVBQRQ-ZBFHGGJFSA-N 0 0 439.542 -0.074 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@](C)(O)Cn1ccccc1=O)CCS2(=O)=O ZINC001304737714 1073973819 /nfs/dbraw/zinc/97/38/19/1073973819.db2.gz QJKSUVRPFYVVKP-RUAQEJLXSA-N 0 0 426.491 -0.386 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@](C)(O)Cn1ccccc1=O)CCS2(=O)=O ZINC001304737716 1073973662 /nfs/dbraw/zinc/97/36/62/1073973662.db2.gz QJKSUVRPFYVVKP-UIPMGKATSA-N 0 0 426.491 -0.386 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@@](C)(O)Cn1ccccc1=O)CCS2(=O)=O ZINC001304737717 1073974183 /nfs/dbraw/zinc/97/41/83/1073974183.db2.gz QJKSUVRPFYVVKP-VZMXKUQRSA-N 0 0 426.491 -0.386 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)[C@@](C)(O)Cn1ccccc1=O)CCS2(=O)=O ZINC001304737718 1073974319 /nfs/dbraw/zinc/97/43/19/1073974319.db2.gz QJKSUVRPFYVVKP-XVJCXKAOSA-N 0 0 426.491 -0.386 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)[C@@H](C)CS(C)(=O)=O)n2CCNS(C)(=O)=O)n1 ZINC001304737976 1073974294 /nfs/dbraw/zinc/97/42/94/1073974294.db2.gz XIUCFTFLJVBMEA-AWEZNQCLSA-N 0 0 447.587 -0.442 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)[C@H](C)CS(C)(=O)=O)n2CCNS(C)(=O)=O)n1 ZINC001304737977 1073974306 /nfs/dbraw/zinc/97/43/06/1073974306.db2.gz XIUCFTFLJVBMEA-CQSZACIVSA-N 0 0 447.587 -0.442 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CC(=O)N3CCOCC3)n2C[C@@H]2CC[C@H](CO)O2)n1 ZINC001304739215 1073974204 /nfs/dbraw/zinc/97/42/04/1073974204.db2.gz BNOFEZRJMQASHM-ZWKOTPCHSA-N 0 0 447.540 -0.025 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)[C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)n1 ZINC001304739379 1073974152 /nfs/dbraw/zinc/97/41/52/1073974152.db2.gz DFNSLGANSBRQPY-AWEZNQCLSA-N 0 0 445.571 -0.688 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)[C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)n1 ZINC001304739384 1073974327 /nfs/dbraw/zinc/97/43/27/1073974327.db2.gz DFNSLGANSBRQPY-CQSZACIVSA-N 0 0 445.571 -0.688 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CC(=O)N3CCOCC3)n2CCNC(=O)C2CC2)n1 ZINC001304741660 1073974233 /nfs/dbraw/zinc/97/42/33/1073974233.db2.gz ZFUSREIUQSVKAG-UHFFFAOYSA-N 0 0 444.540 -0.039 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCNS(C)(=O)=O)n2CCC(=O)N(C)C)n1 ZINC001304745334 1073974260 /nfs/dbraw/zinc/97/42/60/1073974260.db2.gz PGJYSDXZDKNSLL-UHFFFAOYSA-N 0 0 426.547 -0.397 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCN3CCOCC3)n2CCS(C)(=O)=O)n1 ZINC001304745642 1073974127 /nfs/dbraw/zinc/97/41/27/1073974127.db2.gz TWTQKXGFJTYEFU-UHFFFAOYSA-N 0 0 425.559 -0.047 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@]2(O)CCSC2)n1 ZINC001304745829 1073974191 /nfs/dbraw/zinc/97/41/91/1073974191.db2.gz XOHOQRNGPMBBNS-KRWDZBQOSA-N 0 0 443.599 -0.007 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCNS(C)(=O)=O)n2C[C@]2(O)CCSC2)n1 ZINC001304745830 1073974277 /nfs/dbraw/zinc/97/42/77/1073974277.db2.gz XOHOQRNGPMBBNS-QGZVFWFLSA-N 0 0 443.599 -0.007 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCNC(=O)c2ccn[nH]2)n1 ZINC001304749476 1073974174 /nfs/dbraw/zinc/97/41/74/1073974174.db2.gz LDBROXSGQSIZRQ-HNNXBMFYSA-N 0 0 440.512 -0.040 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCNC(=O)c2ccn[nH]2)n1 ZINC001304749485 1073974164 /nfs/dbraw/zinc/97/41/64/1073974164.db2.gz LDBROXSGQSIZRQ-OAHLLOKOSA-N 0 0 440.512 -0.040 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCC[C@H](C(N)=O)C3)n2CC(=O)N2CCOCC2)n1 ZINC001304753860 1073974334 /nfs/dbraw/zinc/97/43/34/1073974334.db2.gz CWTHFZLHHNRYIO-INIZCTEOSA-N 0 0 430.513 -0.300 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCC[C@@H](C(N)=O)C3)n2CC(=O)N2CCOCC2)n1 ZINC001304753867 1073974117 /nfs/dbraw/zinc/97/41/17/1073974117.db2.gz CWTHFZLHHNRYIO-MRXNPFEDSA-N 0 0 430.513 -0.300 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC[C@H](S(C)(=O)=O)C3)n2CCS(C)(=O)=O)n1 ZINC001304755192 1073974246 /nfs/dbraw/zinc/97/42/46/1073974246.db2.gz YWCDNKUEJKXOPX-AWEZNQCLSA-N 0 0 430.556 -0.192 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC[C@@H](S(C)(=O)=O)C3)n2CCS(C)(=O)=O)n1 ZINC001304755196 1073974270 /nfs/dbraw/zinc/97/42/70/1073974270.db2.gz YWCDNKUEJKXOPX-CQSZACIVSA-N 0 0 430.556 -0.192 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCC[C@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)n1 ZINC001304755884 1073974142 /nfs/dbraw/zinc/97/41/42/1073974142.db2.gz WOQVKFIMHVJIKL-HNNXBMFYSA-N 0 0 438.558 -0.267 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCC[C@@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)n1 ZINC001304755885 1073974286 /nfs/dbraw/zinc/97/42/86/1073974286.db2.gz WOQVKFIMHVJIKL-OAHLLOKOSA-N 0 0 438.558 -0.267 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(C(=O)C(=O)NC4CC4)CC3)n2CC(C)(C)O)n1 ZINC001304759697 1073974801 /nfs/dbraw/zinc/97/48/01/1073974801.db2.gz LHDMMMCZDKWKFF-UHFFFAOYSA-N 0 0 444.540 -0.162 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCNC(=O)c2ccn[nH]2)n1 ZINC001304760782 1073974877 /nfs/dbraw/zinc/97/48/77/1073974877.db2.gz RGTVNSGIYJQCJI-HNNXBMFYSA-N 0 0 440.512 -0.040 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCNC(=O)c2ccn[nH]2)n1 ZINC001304760783 1073974789 /nfs/dbraw/zinc/97/47/89/1073974789.db2.gz RGTVNSGIYJQCJI-OAHLLOKOSA-N 0 0 440.512 -0.040 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(CC(=O)N(C)C)CC3)n2C[C@H]2COCCO2)n1 ZINC001304761313 1073974813 /nfs/dbraw/zinc/97/48/13/1073974813.db2.gz VQHRRFPUWNKUGA-SFHVURJKSA-N 0 0 446.556 -0.235 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCNC(=O)CC3)n2CCNC(=O)c2ccn[nH]2)n1 ZINC001304763446 1073974847 /nfs/dbraw/zinc/97/48/47/1073974847.db2.gz QIKCEKFHLBJTFY-UHFFFAOYSA-N 0 0 426.485 -0.381 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCS(=O)(=O)CC3)n2C[C@@H]2CCS(=O)(=O)C2)n1 ZINC001304763646 1073974836 /nfs/dbraw/zinc/97/48/36/1073974836.db2.gz NXCGRNXMSJZBDY-HNNXBMFYSA-N 0 0 442.567 -0.191 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCS(=O)(=O)CC3)n2C[C@H]2CCS(=O)(=O)C2)n1 ZINC001304763649 1073974782 /nfs/dbraw/zinc/97/47/82/1073974782.db2.gz NXCGRNXMSJZBDY-OAHLLOKOSA-N 0 0 442.567 -0.191 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCS(=O)(=O)CC3)n2CC2(S(C)(=O)=O)CC2)n1 ZINC001304763812 1073974839 /nfs/dbraw/zinc/97/48/39/1073974839.db2.gz PHERRHSLRUCNTQ-UHFFFAOYSA-N 0 0 442.567 -0.048 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCNC(=O)CC3)n2CCN2CCCS2(=O)=O)n1 ZINC001304764225 1073974859 /nfs/dbraw/zinc/97/48/59/1073974859.db2.gz VOZDUGVDCFUCLW-UHFFFAOYSA-N 0 0 436.542 -0.498 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCNC(=O)C3)n2Cc2cccc(OCC(N)=O)c2)n1 ZINC001304764309 1073974865 /nfs/dbraw/zinc/97/48/65/1073974865.db2.gz NRGBCPGRKYROHP-UHFFFAOYSA-N 0 0 438.492 -0.012 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@]1(C)CN(C)C1=O)C2 ZINC001304773003 1073974828 /nfs/dbraw/zinc/97/48/28/1073974828.db2.gz CMMLKVFMLYLWQO-APPDUMDISA-N 0 0 437.530 -0.713 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@]1(C)CN(C)C1=O)C2 ZINC001304773004 1073974874 /nfs/dbraw/zinc/97/48/74/1073974874.db2.gz CMMLKVFMLYLWQO-DIFFPNOSSA-N 0 0 437.530 -0.713 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@]1(C)CN(C)C1=O)C2 ZINC001304773005 1073974844 /nfs/dbraw/zinc/97/48/44/1073974844.db2.gz CMMLKVFMLYLWQO-GTNSWQLSSA-N 0 0 437.530 -0.713 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@]1(C)CN(C)C1=O)C2 ZINC001304773006 1073974821 /nfs/dbraw/zinc/97/48/21/1073974821.db2.gz CMMLKVFMLYLWQO-PIGZYNQJSA-N 0 0 437.530 -0.713 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc(CN3CCCC3=O)n1CCCNS(C)(=O)=O)C2 ZINC001304774007 1073974885 /nfs/dbraw/zinc/97/48/85/1073974885.db2.gz CFWFAEJPBATFOI-UHFFFAOYSA-N 0 0 437.530 -0.740 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@@H]3CCC(=O)N3)n1CC(=O)NCC(F)(F)F)C2 ZINC001304774321 1073974766 /nfs/dbraw/zinc/97/47/66/1073974766.db2.gz HHXVVJHXUDSZOJ-JTQLQIEISA-N 0 0 427.391 -0.172 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@H]3CCC(=O)N3)n1CC(=O)NCC(F)(F)F)C2 ZINC001304774322 1073975360 /nfs/dbraw/zinc/97/53/60/1073975360.db2.gz HHXVVJHXUDSZOJ-SNVBAGLBSA-N 0 0 427.391 -0.172 20 0 IBADRN Cc1nnc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC[C@]3(CNC(=O)C3)C2)s1 ZINC001304782935 1073975383 /nfs/dbraw/zinc/97/53/83/1073975383.db2.gz KBZRZQZDRYESSP-HWPZZCPQSA-N 0 0 440.555 -0.187 20 0 IBADRN Cc1nnc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC[C@@]3(CNC(=O)C3)C2)s1 ZINC001304782940 1073975355 /nfs/dbraw/zinc/97/53/55/1073975355.db2.gz KBZRZQZDRYESSP-MGPLVRAMSA-N 0 0 440.555 -0.187 20 0 IBADRN Cc1nnc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC[C@]3(CNC(=O)C3)C2)s1 ZINC001304782943 1073975331 /nfs/dbraw/zinc/97/53/31/1073975331.db2.gz KBZRZQZDRYESSP-QFYYESIMSA-N 0 0 440.555 -0.187 20 0 IBADRN Cc1nnc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC[C@@]3(CNC(=O)C3)C2)s1 ZINC001304783263 1073975322 /nfs/dbraw/zinc/97/53/22/1073975322.db2.gz KBZRZQZDRYESSP-QLJPJBMISA-N 0 0 440.555 -0.187 20 0 IBADRN Cc1nnc(N(CCO)CCOCC(F)(F)F)n1CC1(O)CCS(=O)(=O)CC1 ZINC001304807762 1073975371 /nfs/dbraw/zinc/97/53/71/1073975371.db2.gz STOMSAFCHRGBBI-UHFFFAOYSA-N 0 0 430.449 -0.096 20 0 IBADRN Cc1nnc(N2CCC(C(=O)N3CCOCC3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001304812684 1073975349 /nfs/dbraw/zinc/97/53/49/1073975349.db2.gz DXVVKUXCMFBKHT-GOSISDBHSA-N 0 0 427.527 -0.789 20 0 IBADRN Cc1nnc(N2CCC(C(=O)N3CCOCC3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001304812685 1073975380 /nfs/dbraw/zinc/97/53/80/1073975380.db2.gz DXVVKUXCMFBKHT-SFHVURJKSA-N 0 0 427.527 -0.789 20 0 IBADRN Cc1nnc(N2CCC(C(=O)N3CCOCC3)CC2)n1CCOCCS(C)(=O)=O ZINC001304814357 1073975337 /nfs/dbraw/zinc/97/53/37/1073975337.db2.gz ZLRPSBVSZGBTPR-UHFFFAOYSA-N 0 0 429.543 -0.277 20 0 IBADRN Cc1nnc(N2CCC([C@@H]3NC(=O)N(C)C3=O)CC2)n1CCOCCS(C)(=O)=O ZINC001304820195 1073975283 /nfs/dbraw/zinc/97/52/83/1073975283.db2.gz SJPOYLWKLLPIIO-AWEZNQCLSA-N 0 0 428.515 -0.586 20 0 IBADRN Cc1nnc(N2CCC([C@H]3NC(=O)N(C)C3=O)CC2)n1CCOCCS(C)(=O)=O ZINC001304820199 1073975291 /nfs/dbraw/zinc/97/52/91/1073975291.db2.gz SJPOYLWKLLPIIO-CQSZACIVSA-N 0 0 428.515 -0.586 20 0 IBADRN Cc1nnc(N2CCC([C@@H]3NC(=O)N(C)C3=O)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001304821003 1073975365 /nfs/dbraw/zinc/97/53/65/1073975365.db2.gz YKVDWYYDHPWXQQ-CABCVRRESA-N 0 0 439.542 -0.223 20 0 IBADRN Cc1nnc(N2CCC([C@H]3NC(=O)N(C)C3=O)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001304821006 1073975261 /nfs/dbraw/zinc/97/52/61/1073975261.db2.gz YKVDWYYDHPWXQQ-HUUCEWRRSA-N 0 0 439.542 -0.223 20 0 IBADRN Cc1nnc(N2CCC(CNC(=O)c3cnn(C)c3)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001304822978 1073975271 /nfs/dbraw/zinc/97/52/71/1073975271.db2.gz PDPCCDCAXJXFEP-INIZCTEOSA-N 0 0 443.512 -0.045 20 0 IBADRN Cc1nnc(N2CCC[C@H](N3CCCS3(=O)=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001304840759 1073975298 /nfs/dbraw/zinc/97/52/98/1073975298.db2.gz OYAKDDINMXVQPC-CVEARBPZSA-N 0 0 446.599 -0.128 20 0 IBADRN Cc1nnc(N2CCC[C@H](N3CCCS3(=O)=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001304840773 1073975312 /nfs/dbraw/zinc/97/53/12/1073975312.db2.gz OYAKDDINMXVQPC-HOTGVXAUSA-N 0 0 446.599 -0.128 20 0 IBADRN Cc1nnc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001304840775 1073975317 /nfs/dbraw/zinc/97/53/17/1073975317.db2.gz OYAKDDINMXVQPC-HZPDHXFCSA-N 0 0 446.599 -0.128 20 0 IBADRN Cc1nnc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001304840777 1073975327 /nfs/dbraw/zinc/97/53/27/1073975327.db2.gz OYAKDDINMXVQPC-JKSUJKDBSA-N 0 0 446.599 -0.128 20 0 IBADRN Cc1nnc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001304841055 1073975344 /nfs/dbraw/zinc/97/53/44/1073975344.db2.gz VBKABDWWWIJWOG-CVEARBPZSA-N 0 0 448.615 -0.024 20 0 IBADRN Cc1nnc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001304841056 1073975306 /nfs/dbraw/zinc/97/53/06/1073975306.db2.gz VBKABDWWWIJWOG-HOTGVXAUSA-N 0 0 448.615 -0.024 20 0 IBADRN Cc1nnc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001304841057 1073975764 /nfs/dbraw/zinc/97/57/64/1073975764.db2.gz VBKABDWWWIJWOG-HZPDHXFCSA-N 0 0 448.615 -0.024 20 0 IBADRN Cc1nnc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001304841058 1073975759 /nfs/dbraw/zinc/97/57/59/1073975759.db2.gz VBKABDWWWIJWOG-JKSUJKDBSA-N 0 0 448.615 -0.024 20 0 IBADRN Cc1nnc(N2CCCN(CC(=O)N3CCCC3)CC2)n1CCC(=O)N1CCOCC1 ZINC001304845917 1073975858 /nfs/dbraw/zinc/97/58/58/1073975858.db2.gz JGIQXZMMSZPKQF-UHFFFAOYSA-N 0 0 433.557 -0.030 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2C[C@@H]3[C@](C(F)(F)F)(C2)CCCS3(=O)=O)CC1 ZINC001304846994 1073975727 /nfs/dbraw/zinc/97/57/27/1073975727.db2.gz GAHLTPHGZWYVAG-TZMCWYRMSA-N 0 0 447.501 -0.468 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CC1CCS(=O)(=O)CC1 ZINC001304847303 1073975846 /nfs/dbraw/zinc/97/58/46/1073975846.db2.gz FVHRORDWBBLAEY-UHFFFAOYSA-N 0 0 438.554 -0.318 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCSCCCO ZINC001304847316 1073975714 /nfs/dbraw/zinc/97/57/14/1073975714.db2.gz FZPAHWTZFVXODW-UHFFFAOYSA-N 0 0 426.543 -0.790 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2C[C@@H]3[C@](C(F)(F)F)(C2)CCCS3(=O)=O)C1 ZINC001304847371 1073975836 /nfs/dbraw/zinc/97/58/36/1073975836.db2.gz KHAFDBYBCAZJKP-DMDPSCGWSA-N 0 0 433.474 -0.130 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2C[C@@H]3[C@](C(F)(F)F)(C2)CCCS3(=O)=O)C1 ZINC001304847376 1073975734 /nfs/dbraw/zinc/97/57/34/1073975734.db2.gz KHAFDBYBCAZJKP-NQBHXWOUSA-N 0 0 433.474 -0.130 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCS(=O)(=O)C(C)(C)C ZINC001304847431 1073975811 /nfs/dbraw/zinc/97/58/11/1073975811.db2.gz HXGRXTCJSLCXEW-UHFFFAOYSA-N 0 0 428.559 -0.027 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCCc1cnn(C)c1 ZINC001304847708 1073975804 /nfs/dbraw/zinc/97/58/04/1073975804.db2.gz JERLYROHZQZUNE-UHFFFAOYSA-N 0 0 430.513 -0.540 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001304847779 1073975768 /nfs/dbraw/zinc/97/57/68/1073975768.db2.gz KBXUSXYXPSONQX-HNNXBMFYSA-N 0 0 441.558 -0.959 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001304847792 1073975818 /nfs/dbraw/zinc/97/58/18/1073975818.db2.gz KBXUSXYXPSONQX-OAHLLOKOSA-N 0 0 441.558 -0.959 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1ccc(N(C)C)nc1 ZINC001304848034 1073975790 /nfs/dbraw/zinc/97/57/90/1073975790.db2.gz MCPUIDWEXHFJEL-UHFFFAOYSA-N 0 0 442.524 -0.397 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@@H]1CCCCS1(=O)=O ZINC001304848939 1073975754 /nfs/dbraw/zinc/97/57/54/1073975754.db2.gz YRNBUIFBYCVJGC-INIZCTEOSA-N 0 0 438.554 -0.175 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@H]1CCCCS1(=O)=O ZINC001304848940 1073975852 /nfs/dbraw/zinc/97/58/52/1073975852.db2.gz YRNBUIFBYCVJGC-MRXNPFEDSA-N 0 0 438.554 -0.175 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CCCCCS(C)(=O)=O ZINC001304849798 1073975841 /nfs/dbraw/zinc/97/58/41/1073975841.db2.gz ITPVSMZZYDJRRZ-UHFFFAOYSA-N 0 0 442.586 -0.218 20 0 IBADRN Cc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CCS(=O)(=O)CC1CC1 ZINC001304849891 1073976318 /nfs/dbraw/zinc/97/63/18/1073976318.db2.gz FIFRIZIJGCOGBU-UHFFFAOYSA-N 0 0 426.543 -0.014 20 0 IBADRN Cc1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001304850136 1073976361 /nfs/dbraw/zinc/97/63/61/1073976361.db2.gz CMUOFTWTVDJOHG-GDBMZVCRSA-N 0 0 439.586 -0.343 20 0 IBADRN Cc1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001304850137 1073976346 /nfs/dbraw/zinc/97/63/46/1073976346.db2.gz CMUOFTWTVDJOHG-GOEBONIOSA-N 0 0 439.586 -0.343 20 0 IBADRN Cc1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001304850138 1073976369 /nfs/dbraw/zinc/97/63/69/1073976369.db2.gz CMUOFTWTVDJOHG-HOCLYGCPSA-N 0 0 439.586 -0.343 20 0 IBADRN Cc1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001304850139 1073976304 /nfs/dbraw/zinc/97/63/04/1073976304.db2.gz CMUOFTWTVDJOHG-ZBFHGGJFSA-N 0 0 439.586 -0.343 20 0 IBADRN Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCC(=O)N1CCCCCC1 ZINC001304850648 1073976356 /nfs/dbraw/zinc/97/63/56/1073976356.db2.gz SSENNDBCJWZXLW-UHFFFAOYSA-N 0 0 430.517 -0.285 20 0 IBADRN Cc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CCN1CCCS1(=O)=O ZINC001304850896 1073976340 /nfs/dbraw/zinc/97/63/40/1073976340.db2.gz PLDALQMMDOXOIK-UHFFFAOYSA-N 0 0 427.531 -0.804 20 0 IBADRN Cc1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1CCS(=O)(=O)NC(C)C ZINC001304852036 1073976344 /nfs/dbraw/zinc/97/63/44/1073976344.db2.gz QJRVZUALNKKLCX-AWEZNQCLSA-N 0 0 427.575 -0.297 20 0 IBADRN Cc1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1CCS(=O)(=O)NC(C)C ZINC001304852037 1073976332 /nfs/dbraw/zinc/97/63/32/1073976332.db2.gz QJRVZUALNKKLCX-CQSZACIVSA-N 0 0 427.575 -0.297 20 0 IBADRN Cc1nnc(N2CCN([C@H](C(N)=O)c3ccccc3)CC2)n1CCS(=O)(=O)N(C)C ZINC001304852344 1073976294 /nfs/dbraw/zinc/97/62/94/1073976294.db2.gz SWRYBQDWSZLVER-KRWDZBQOSA-N 0 0 435.554 -0.173 20 0 IBADRN Cc1nnc(N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)n1CCS(=O)(=O)N(C)C ZINC001304852345 1073976315 /nfs/dbraw/zinc/97/63/15/1073976315.db2.gz SWRYBQDWSZLVER-QGZVFWFLSA-N 0 0 435.554 -0.173 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1CCCn1cc(CO)nn1 ZINC001304857331 1073976335 /nfs/dbraw/zinc/97/63/35/1073976335.db2.gz HNPUGDRIUWHFPQ-UHFFFAOYSA-N 0 0 431.545 -0.105 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3C[C@@H](C)C[C@H](C)C3)CC2)n1CCNS(C)(=O)=O ZINC001304857413 1073976377 /nfs/dbraw/zinc/97/63/77/1073976377.db2.gz JVFSRKKKLCTACC-HOTGVXAUSA-N 0 0 441.602 -0.238 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3C[C@H](C)C[C@@H](C)C3)CC2)n1CCNS(C)(=O)=O ZINC001304857414 1073976311 /nfs/dbraw/zinc/97/63/11/1073976311.db2.gz JVFSRKKKLCTACC-HZPDHXFCSA-N 0 0 441.602 -0.238 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3C[C@H](C)C[C@H](C)C3)CC2)n1CCNS(C)(=O)=O ZINC001304857415 1073976372 /nfs/dbraw/zinc/97/63/72/1073976372.db2.gz JVFSRKKKLCTACC-IYBDPMFKSA-N 0 0 441.602 -0.238 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001304857611 1073976929 /nfs/dbraw/zinc/97/69/29/1073976929.db2.gz PJYGXVBWARYAIP-INIZCTEOSA-N 0 0 432.529 -0.291 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1CC(=O)NCC(F)F ZINC001304860420 1073976763 /nfs/dbraw/zinc/97/67/63/1073976763.db2.gz LXIFOUTXAQZLOY-UHFFFAOYSA-N 0 0 433.441 -0.392 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1CC1(S(C)(=O)=O)CC1 ZINC001304860510 1073976847 /nfs/dbraw/zinc/97/68/47/1073976847.db2.gz MBAGJZBJWOFASQ-UHFFFAOYSA-N 0 0 444.539 -0.196 20 0 IBADRN Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CCCNC(=O)C(C)C ZINC001304861679 1073976779 /nfs/dbraw/zinc/97/67/79/1073976779.db2.gz PXJPRWHMMKITRP-UHFFFAOYSA-N 0 0 433.517 -0.785 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cccc(CS(C)(=O)=O)c1 ZINC001304862138 1073976923 /nfs/dbraw/zinc/97/69/23/1073976923.db2.gz UHIITVISPLOQLY-INIZCTEOSA-N 0 0 432.506 -0.674 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cccc(CS(C)(=O)=O)c1 ZINC001304862139 1073976926 /nfs/dbraw/zinc/97/69/26/1073976926.db2.gz UHIITVISPLOQLY-MRXNPFEDSA-N 0 0 432.506 -0.674 20 0 IBADRN Cc1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001304870186 1073976936 /nfs/dbraw/zinc/97/69/36/1073976936.db2.gz WLNWAIICRNCFBZ-CABCVRRESA-N 0 0 428.584 -0.072 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NCc2cccc(NS(=O)(=O)C3CC3)c2)cn1 ZINC001304884453 1073976897 /nfs/dbraw/zinc/97/68/97/1073976897.db2.gz LTVYRULRUWJUBJ-UHFFFAOYSA-N 0 0 427.508 -0.081 20 0 IBADRN Cc1noc(CCCn2c(C)nnc2N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1 ZINC001304894643 1073976819 /nfs/dbraw/zinc/97/68/19/1073976819.db2.gz QGFCTNTXKCEKTJ-UHFFFAOYSA-N 0 0 432.485 -0.582 20 0 IBADRN Cc1cc(Cn2c(C(=O)NC(C)C)nnc2N2CCN(C(=O)C(=O)NC(C)C)CC2)on1 ZINC001304897592 1073976947 /nfs/dbraw/zinc/97/69/47/1073976947.db2.gz NCGHZDPAISKLIA-UHFFFAOYSA-N 0 0 446.512 -0.066 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(=O)C(=O)NC(C)C)CC2)on1 ZINC001304898841 1073976904 /nfs/dbraw/zinc/97/69/04/1073976904.db2.gz MZSASQCXIFKSCB-HNNXBMFYSA-N 0 0 444.496 -0.253 20 0 IBADRN Cc1cc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(=O)C(=O)NC(C)C)CC2)on1 ZINC001304898842 1073976859 /nfs/dbraw/zinc/97/68/59/1073976859.db2.gz MZSASQCXIFKSCB-OAHLLOKOSA-N 0 0 444.496 -0.253 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCS(=O)CC2)on1 ZINC001304899433 1073976803 /nfs/dbraw/zinc/97/68/03/1073976803.db2.gz DDHKHUCZEJOZCV-AWEZNQCLSA-N 0 0 444.539 -0.476 20 0 IBADRN Cc1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCS(=O)CC2)on1 ZINC001304899434 1073976834 /nfs/dbraw/zinc/97/68/34/1073976834.db2.gz DDHKHUCZEJOZCV-CQSZACIVSA-N 0 0 444.539 -0.476 20 0 IBADRN Cc1cc(CN2CCN(c3nnc([C@@H]4CCC(=O)N4)n3CCS(C)(=O)=O)CC2)on1 ZINC001304900001 1073977335 /nfs/dbraw/zinc/97/73/35/1073977335.db2.gz KQMMYBBZMCGMQR-HNNXBMFYSA-N 0 0 437.526 -0.108 20 0 IBADRN Cc1cc(CN2CCN(c3nnc([C@H]4CCC(=O)N4)n3CCS(C)(=O)=O)CC2)on1 ZINC001304900015 1073977268 /nfs/dbraw/zinc/97/72/68/1073977268.db2.gz KQMMYBBZMCGMQR-OAHLLOKOSA-N 0 0 437.526 -0.108 20 0 IBADRN CC(=O)c1cn(C2CN(C(=O)CNC(=O)c3cccc(S(=O)(=O)N(C)C)c3)C2)nn1 ZINC001304903844 1073977310 /nfs/dbraw/zinc/97/73/10/1073977310.db2.gz FPHSQHHAYDUQFQ-UHFFFAOYSA-N 0 0 434.478 -0.456 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001304904341 1073977369 /nfs/dbraw/zinc/97/73/69/1073977369.db2.gz BCPNGWWVIYVUJJ-CABCVRRESA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001304904342 1073977238 /nfs/dbraw/zinc/97/72/38/1073977238.db2.gz BCPNGWWVIYVUJJ-GJZGRUSLSA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001304904344 1073977408 /nfs/dbraw/zinc/97/74/08/1073977408.db2.gz BCPNGWWVIYVUJJ-HUUCEWRRSA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001304904346 1073977361 /nfs/dbraw/zinc/97/73/61/1073977361.db2.gz BCPNGWWVIYVUJJ-LSDHHAIUSA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(-c2cccnc2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001304904770 1073977373 /nfs/dbraw/zinc/97/73/73/1073977373.db2.gz LPPXQNVSTBRDSU-AWEZNQCLSA-N 0 0 434.522 -0.053 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(-c2cccnc2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001304904771 1073977291 /nfs/dbraw/zinc/97/72/91/1073977291.db2.gz LPPXQNVSTBRDSU-CQSZACIVSA-N 0 0 434.522 -0.053 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001304906370 1073977302 /nfs/dbraw/zinc/97/73/02/1073977302.db2.gz GNRSWJBGNLAUIF-KBPBESRZSA-N 0 0 438.554 -0.094 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001304906371 1073977255 /nfs/dbraw/zinc/97/72/55/1073977255.db2.gz GNRSWJBGNLAUIF-KGLIPLIRSA-N 0 0 438.554 -0.094 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001304906372 1073977351 /nfs/dbraw/zinc/97/73/51/1073977351.db2.gz GNRSWJBGNLAUIF-UONOGXRCSA-N 0 0 438.554 -0.094 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001304906373 1073977399 /nfs/dbraw/zinc/97/73/99/1073977399.db2.gz GNRSWJBGNLAUIF-ZIAGYGMSSA-N 0 0 438.554 -0.094 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(-c2ccccn2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001304907987 1073977342 /nfs/dbraw/zinc/97/73/42/1073977342.db2.gz YPGPFZDBPPZNRE-AWEZNQCLSA-N 0 0 434.522 -0.053 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(-c2ccccn2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001304907988 1073977391 /nfs/dbraw/zinc/97/73/91/1073977391.db2.gz YPGPFZDBPPZNRE-CQSZACIVSA-N 0 0 434.522 -0.053 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(Cc2ccncc2)n1CCOCCS(C)(=O)=O ZINC001304909050 1073977327 /nfs/dbraw/zinc/97/73/27/1073977327.db2.gz CXTUSTNTVYKTFO-HNNXBMFYSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(Cc2ccncc2)n1CCOCCS(C)(=O)=O ZINC001304909056 1073977317 /nfs/dbraw/zinc/97/73/17/1073977317.db2.gz CXTUSTNTVYKTFO-OAHLLOKOSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001304910333 1073977416 /nfs/dbraw/zinc/97/74/16/1073977416.db2.gz WFRVAFHXGIBDSB-APIJFGDWSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001304910342 1073977381 /nfs/dbraw/zinc/97/73/81/1073977381.db2.gz WFRVAFHXGIBDSB-CBBWQLFWSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001304910344 1073977281 /nfs/dbraw/zinc/97/72/81/1073977281.db2.gz WFRVAFHXGIBDSB-LJISPDSOSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001304910346 1073977971 /nfs/dbraw/zinc/97/79/71/1073977971.db2.gz WFRVAFHXGIBDSB-ZQDZILKHSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001304911341 1073977882 /nfs/dbraw/zinc/97/78/82/1073977882.db2.gz YIZALRGPPVHYKT-RRFJBIMHSA-N 0 0 442.542 -0.543 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001304911342 1073977941 /nfs/dbraw/zinc/97/79/41/1073977941.db2.gz YIZALRGPPVHYKT-ZNMIVQPWSA-N 0 0 442.542 -0.543 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(Cc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001304913786 1073977854 /nfs/dbraw/zinc/97/78/54/1073977854.db2.gz UNVSOICWJFDVER-AUUYWEPGSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(Cc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001304913791 1073977934 /nfs/dbraw/zinc/97/79/34/1073977934.db2.gz UNVSOICWJFDVER-IFXJQAMLSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(Cc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001304913793 1073977907 /nfs/dbraw/zinc/97/79/07/1073977907.db2.gz UNVSOICWJFDVER-KUHUBIRLSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(Cc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001304913794 1073977951 /nfs/dbraw/zinc/97/79/51/1073977951.db2.gz UNVSOICWJFDVER-LIRRHRJNSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@@H]1C[C@@H]1n1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001304957776 1073977923 /nfs/dbraw/zinc/97/79/23/1073977923.db2.gz CIDCHLRQLUUCBM-ILXRZTDVSA-N 0 0 442.542 -0.513 20 0 IBADRN C[C@@H]1C[C@H]1n1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001304957777 1073977985 /nfs/dbraw/zinc/97/79/85/1073977985.db2.gz CIDCHLRQLUUCBM-KFWWJZLASA-N 0 0 442.542 -0.513 20 0 IBADRN C[C@@H]1C[C@@H]1n1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001304957778 1073977914 /nfs/dbraw/zinc/97/79/14/1073977914.db2.gz CIDCHLRQLUUCBM-QLFBSQMISA-N 0 0 442.542 -0.513 20 0 IBADRN C[C@@H]1C[C@H]1n1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001304957779 1073977946 /nfs/dbraw/zinc/97/79/46/1073977946.db2.gz CIDCHLRQLUUCBM-RBSFLKMASA-N 0 0 442.542 -0.513 20 0 IBADRN C[C@@H]1CCC[C@@]1(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001304996948 1073977863 /nfs/dbraw/zinc/97/78/63/1073977863.db2.gz WVGBFMUXENCPTP-CKEIUWERSA-N 0 0 432.568 -0.034 20 0 IBADRN C[C@@H]1CCC[C@]1(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001304996965 1073977902 /nfs/dbraw/zinc/97/79/02/1073977902.db2.gz WVGBFMUXENCPTP-CPUCHLNUSA-N 0 0 432.568 -0.034 20 0 IBADRN C[C@@H]1CCC[C@@]1(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001304996971 1073977991 /nfs/dbraw/zinc/97/79/91/1073977991.db2.gz WVGBFMUXENCPTP-JKIFEVAISA-N 0 0 432.568 -0.034 20 0 IBADRN C[C@@H]1CCC[C@]1(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001304996972 1073977892 /nfs/dbraw/zinc/97/78/92/1073977892.db2.gz WVGBFMUXENCPTP-KEYYUXOJSA-N 0 0 432.568 -0.034 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CN1C(=O)N[C@]3(CCCC[C@@H]3C)C1=O)C2 ZINC001304999755 1073977980 /nfs/dbraw/zinc/97/79/80/1073977980.db2.gz CLPWTOKOCLXLEY-HKMRUAMVSA-N 0 0 431.497 -0.114 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CN1C(=O)N[C@@]3(CCCC[C@@H]3C)C1=O)C2 ZINC001304999756 1073977897 /nfs/dbraw/zinc/97/78/97/1073977897.db2.gz CLPWTOKOCLXLEY-KBARZSOFSA-N 0 0 431.497 -0.114 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CN1C(=O)N[C@@]3(CCCC[C@H]3C)C1=O)C2 ZINC001304999757 1073977873 /nfs/dbraw/zinc/97/78/73/1073977873.db2.gz CLPWTOKOCLXLEY-WVSMJXTDSA-N 0 0 431.497 -0.114 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CN1C(=O)N[C@]3(CCCC[C@H]3C)C1=O)C2 ZINC001304999758 1073977929 /nfs/dbraw/zinc/97/79/29/1073977929.db2.gz CLPWTOKOCLXLEY-ZGLRSVDFSA-N 0 0 431.497 -0.114 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)C1=NN(c3ccc(F)cc3)C(=O)CC1=O)C2 ZINC001305000125 1073978487 /nfs/dbraw/zinc/97/84/87/1073978487.db2.gz HKGSXNUMMQLGGZ-AWEZNQCLSA-N 0 0 441.423 -0.113 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)C1=NN(c3ccc(F)cc3)C(=O)CC1=O)C2 ZINC001305000126 1073978563 /nfs/dbraw/zinc/97/85/63/1073978563.db2.gz HKGSXNUMMQLGGZ-CQSZACIVSA-N 0 0 441.423 -0.113 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1cc3c(c(S(N)(=O)=O)c1)CCC3)C2 ZINC001305003781 1073978475 /nfs/dbraw/zinc/97/84/75/1073978475.db2.gz ZGUYVENXSPMGRE-HNNXBMFYSA-N 0 0 432.506 -0.165 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1cc3c(c(S(N)(=O)=O)c1)CCC3)C2 ZINC001305003782 1073978440 /nfs/dbraw/zinc/97/84/40/1073978440.db2.gz ZGUYVENXSPMGRE-OAHLLOKOSA-N 0 0 432.506 -0.165 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2Cc3nnc(C)n3C[C@H]2C(=O)N(C)C)cc1 ZINC001305006485 1073978558 /nfs/dbraw/zinc/97/85/58/1073978558.db2.gz KLIQCKBAHKQLTD-HNNXBMFYSA-N 0 0 436.494 -0.119 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N2Cc3nnc(C)n3C[C@@H]2C(=O)N(C)C)cc1 ZINC001305006487 1073978518 /nfs/dbraw/zinc/97/85/18/1073978518.db2.gz KLIQCKBAHKQLTD-OAHLLOKOSA-N 0 0 436.494 -0.119 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1ccc(CN3C(=O)CNC3=O)cc1)C2 ZINC001305007870 1073978479 /nfs/dbraw/zinc/97/84/79/1073978479.db2.gz VUJLLBLHWLZUOZ-HNNXBMFYSA-N 0 0 425.449 -0.249 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1ccc(CN3C(=O)CNC3=O)cc1)C2 ZINC001305007871 1073978551 /nfs/dbraw/zinc/97/85/51/1073978551.db2.gz VUJLLBLHWLZUOZ-OAHLLOKOSA-N 0 0 425.449 -0.249 20 0 IBADRN Cn1nnnc1CCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001305034317 1073978547 /nfs/dbraw/zinc/97/85/47/1073978547.db2.gz POLITFTYBOHSKI-JSGCOSHPSA-N 0 0 428.902 -0.264 20 0 IBADRN Cn1nnnc1CCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001305034318 1073978467 /nfs/dbraw/zinc/97/84/67/1073978467.db2.gz POLITFTYBOHSKI-OCCSQVGLSA-N 0 0 428.902 -0.264 20 0 IBADRN C[C@@H]1CCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001305055226 1073978525 /nfs/dbraw/zinc/97/85/25/1073978525.db2.gz DBBVTSHSEGPXAH-CABCVRRESA-N 0 0 442.542 -0.542 20 0 IBADRN C[C@H]1CCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001305055227 1073978498 /nfs/dbraw/zinc/97/84/98/1073978498.db2.gz DBBVTSHSEGPXAH-GJZGRUSLSA-N 0 0 442.542 -0.542 20 0 IBADRN C[C@@H]1CCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001305055228 1073978462 /nfs/dbraw/zinc/97/84/62/1073978462.db2.gz DBBVTSHSEGPXAH-HUUCEWRRSA-N 0 0 442.542 -0.542 20 0 IBADRN C[C@H]1CCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001305055229 1073978555 /nfs/dbraw/zinc/97/85/55/1073978555.db2.gz DBBVTSHSEGPXAH-LSDHHAIUSA-N 0 0 442.542 -0.542 20 0 IBADRN C[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2Cc2ccc(C(N)=O)nc2)C1 ZINC001305092313 1073978998 /nfs/dbraw/zinc/97/89/98/1073978998.db2.gz KIKVAQJMJOHNCV-BBRMVZONSA-N 0 0 449.537 -0.001 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2Cc2ccc(C(N)=O)nc2)C1 ZINC001305092314 1073979080 /nfs/dbraw/zinc/97/90/80/1073979080.db2.gz KIKVAQJMJOHNCV-CJNGLKHVSA-N 0 0 449.537 -0.001 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2Cc2ccc(C(N)=O)nc2)C1 ZINC001305092315 1073979118 /nfs/dbraw/zinc/97/91/18/1073979118.db2.gz KIKVAQJMJOHNCV-CZUORRHYSA-N 0 0 449.537 -0.001 20 0 IBADRN C[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2Cc2ccc(C(N)=O)nc2)C1 ZINC001305092316 1073979138 /nfs/dbraw/zinc/97/91/38/1073979138.db2.gz KIKVAQJMJOHNCV-XJKSGUPXSA-N 0 0 449.537 -0.001 20 0 IBADRN C[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001305094194 1073979132 /nfs/dbraw/zinc/97/91/32/1073979132.db2.gz NOWLPVUEPQUYDS-CAOSSQGBSA-N 0 0 429.543 -0.003 20 0 IBADRN C[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001305094218 1073979104 /nfs/dbraw/zinc/97/91/04/1073979104.db2.gz NOWLPVUEPQUYDS-JONQDZQNSA-N 0 0 429.543 -0.003 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001305094220 1073978981 /nfs/dbraw/zinc/97/89/81/1073978981.db2.gz NOWLPVUEPQUYDS-QKPAOTATSA-N 0 0 429.543 -0.003 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001305094222 1073979153 /nfs/dbraw/zinc/97/91/53/1073979153.db2.gz NOWLPVUEPQUYDS-QXSJWSMHSA-N 0 0 429.543 -0.003 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1([S@](C)=O)CC1 ZINC001305113928 1073979038 /nfs/dbraw/zinc/97/90/38/1073979038.db2.gz CJEQQAUYUUZVAO-BOABCZMQSA-N 0 0 429.568 -0.242 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1([S@@](C)=O)CC1 ZINC001305113932 1073979124 /nfs/dbraw/zinc/97/91/24/1073979124.db2.gz CJEQQAUYUUZVAO-MAOKOLTRSA-N 0 0 429.568 -0.242 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1([S@@](C)=O)CC1 ZINC001305113934 1073979158 /nfs/dbraw/zinc/97/91/58/1073979158.db2.gz CJEQQAUYUUZVAO-NTFYDNOESA-N 0 0 429.568 -0.242 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1([S@](C)=O)CC1 ZINC001305113935 1073979111 /nfs/dbraw/zinc/97/91/11/1073979111.db2.gz CJEQQAUYUUZVAO-UIAYHMMPSA-N 0 0 429.568 -0.242 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(C)(C)O ZINC001305115623 1073979008 /nfs/dbraw/zinc/97/90/08/1073979008.db2.gz LADZIWQDPWZFGZ-CHWSQXEVSA-N 0 0 430.531 -0.951 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(C)(C)O ZINC001305115624 1073979552 /nfs/dbraw/zinc/97/95/52/1073979552.db2.gz LADZIWQDPWZFGZ-OLZOCXBDSA-N 0 0 430.531 -0.951 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(C)(C)O ZINC001305115625 1073979566 /nfs/dbraw/zinc/97/95/66/1073979566.db2.gz LADZIWQDPWZFGZ-QWHCGFSZSA-N 0 0 430.531 -0.951 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(C)(C)O ZINC001305115626 1073979583 /nfs/dbraw/zinc/97/95/83/1073979583.db2.gz LADZIWQDPWZFGZ-STQMWFEESA-N 0 0 430.531 -0.951 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(F)(F)F ZINC001305115925 1073979590 /nfs/dbraw/zinc/97/95/90/1073979590.db2.gz MYOHYMXQYVPHED-GHMZBOCLSA-N 0 0 440.448 -0.160 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(F)(F)F ZINC001305115952 1073979627 /nfs/dbraw/zinc/97/96/27/1073979627.db2.gz MYOHYMXQYVPHED-MNOVXSKESA-N 0 0 440.448 -0.160 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(F)(F)F ZINC001305115955 1073979655 /nfs/dbraw/zinc/97/96/55/1073979655.db2.gz MYOHYMXQYVPHED-QWRGUYRKSA-N 0 0 440.448 -0.160 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(F)(F)F ZINC001305115956 1073979631 /nfs/dbraw/zinc/97/96/31/1073979631.db2.gz MYOHYMXQYVPHED-WDEREUQCSA-N 0 0 440.448 -0.160 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(Cc2cccnc2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001305118402 1073979599 /nfs/dbraw/zinc/97/95/99/1073979599.db2.gz MNWPMCSQKBJJAS-HIFRSBDPSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(Cc2cccnc2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001305118403 1073979558 /nfs/dbraw/zinc/97/95/58/1073979558.db2.gz MNWPMCSQKBJJAS-ZFWWWQNUSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(Cc2ccccn2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001305120160 1073979546 /nfs/dbraw/zinc/97/95/46/1073979546.db2.gz UHKAWIXUGVMAPP-HNNXBMFYSA-N 0 0 448.549 -0.130 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(Cc2ccccn2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001305120170 1073979604 /nfs/dbraw/zinc/97/96/04/1073979604.db2.gz UHKAWIXUGVMAPP-OAHLLOKOSA-N 0 0 448.549 -0.130 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCCS2(=O)=O)CC(=O)N1C ZINC001305121678 1073979635 /nfs/dbraw/zinc/97/96/35/1073979635.db2.gz QIDCTMLFIZONQI-AWEZNQCLSA-N 0 0 439.542 -0.784 20 0 IBADRN C[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCCS2(=O)=O)CC(=O)N1C ZINC001305121679 1073979641 /nfs/dbraw/zinc/97/96/41/1073979641.db2.gz QIDCTMLFIZONQI-CQSZACIVSA-N 0 0 439.542 -0.784 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001305131769 1073979624 /nfs/dbraw/zinc/97/96/24/1073979624.db2.gz HDNGTOABLGOKMX-HZSPNIEDSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001305131770 1073979615 /nfs/dbraw/zinc/97/96/15/1073979615.db2.gz HDNGTOABLGOKMX-MCIONIFRSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001305131771 1073979660 /nfs/dbraw/zinc/97/96/60/1073979660.db2.gz HDNGTOABLGOKMX-MGPQQGTHSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001305131772 1073980117 /nfs/dbraw/zinc/98/01/17/1073980117.db2.gz HDNGTOABLGOKMX-RDBSUJKOSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@]3(CCOC3)C2)n1C[C@@H]1COCCO1 ZINC001305136322 1073980222 /nfs/dbraw/zinc/98/02/22/1073980222.db2.gz SEKDSOQOBRXQME-ADAWSYLGSA-N 0 0 445.542 -0.312 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@]3(CCOC3)C2)n1C[C@@H]1COCCO1 ZINC001305136325 1073980147 /nfs/dbraw/zinc/98/01/47/1073980147.db2.gz SEKDSOQOBRXQME-ATNYBXOESA-N 0 0 445.542 -0.312 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@]3(CCOC3)C2)n1C[C@@H]1COCCO1 ZINC001305136327 1073980249 /nfs/dbraw/zinc/98/02/49/1073980249.db2.gz SEKDSOQOBRXQME-BASYENTBSA-N 0 0 445.542 -0.312 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@]3(CCOC3)C2)n1C[C@@H]1COCCO1 ZINC001305136329 1073980178 /nfs/dbraw/zinc/98/01/78/1073980178.db2.gz SEKDSOQOBRXQME-FSZRXZPDSA-N 0 0 445.542 -0.312 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C)C2)n1CCCNS(C)(=O)=O ZINC001305136942 1073980234 /nfs/dbraw/zinc/98/02/34/1073980234.db2.gz URIAIZPOUBMSNT-FRRDWIJNSA-N 0 0 438.576 -0.559 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@H](C)C2)n1CCCNS(C)(=O)=O ZINC001305136947 1073980203 /nfs/dbraw/zinc/98/02/03/1073980203.db2.gz URIAIZPOUBMSNT-JHJVBQTASA-N 0 0 438.576 -0.559 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@H](C)C2)n1CCCNS(C)(=O)=O ZINC001305136949 1073980269 /nfs/dbraw/zinc/98/02/69/1073980269.db2.gz URIAIZPOUBMSNT-UPJWGTAASA-N 0 0 438.576 -0.559 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C)C2)n1CCCNS(C)(=O)=O ZINC001305136950 1073980212 /nfs/dbraw/zinc/98/02/12/1073980212.db2.gz URIAIZPOUBMSNT-XQQFMLRXSA-N 0 0 438.576 -0.559 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cccn3C)n2CCNS(C)(=O)=O)C[C@H]1N1CCOCC1 ZINC001305161043 1073980164 /nfs/dbraw/zinc/98/01/64/1073980164.db2.gz ZPAONSVMHMIVMG-DOTOQJQBSA-N 0 0 437.570 -0.010 20 0 IBADRN C[C@@H]1CN(c2nnc(-c3cccn3C)n2CCNS(C)(=O)=O)C[C@H]1N1CCOCC1 ZINC001305161048 1073980261 /nfs/dbraw/zinc/98/02/61/1073980261.db2.gz ZPAONSVMHMIVMG-NVXWUHKLSA-N 0 0 437.570 -0.010 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cccn3C)n2CCNS(C)(=O)=O)C[C@@H]1N1CCOCC1 ZINC001305161050 1073980240 /nfs/dbraw/zinc/98/02/40/1073980240.db2.gz ZPAONSVMHMIVMG-RDJZCZTQSA-N 0 0 437.570 -0.010 20 0 IBADRN C[C@@H]1CN(c2nnc(-c3cccn3C)n2CCNS(C)(=O)=O)C[C@@H]1N1CCOCC1 ZINC001305161052 1073980252 /nfs/dbraw/zinc/98/02/52/1073980252.db2.gz ZPAONSVMHMIVMG-WBVHZDCISA-N 0 0 437.570 -0.010 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CC(=O)N1C ZINC001305161615 1073980277 /nfs/dbraw/zinc/98/02/77/1073980277.db2.gz CZECTOXIMUBFMG-KBPBESRZSA-N 0 0 436.542 -0.373 20 0 IBADRN C[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CC(=O)N1C ZINC001305161616 1073980198 /nfs/dbraw/zinc/98/01/98/1073980198.db2.gz CZECTOXIMUBFMG-KGLIPLIRSA-N 0 0 436.542 -0.373 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CC(=O)N1C ZINC001305161617 1073980216 /nfs/dbraw/zinc/98/02/16/1073980216.db2.gz CZECTOXIMUBFMG-UONOGXRCSA-N 0 0 436.542 -0.373 20 0 IBADRN C[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CC(=O)N1C ZINC001305161618 1073980282 /nfs/dbraw/zinc/98/02/82/1073980282.db2.gz CZECTOXIMUBFMG-ZIAGYGMSSA-N 0 0 436.542 -0.373 20 0 IBADRN C[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CC2(O)CCS(=O)(=O)CC2)CC(=O)N1C ZINC001305161867 1073980188 /nfs/dbraw/zinc/98/01/88/1073980188.db2.gz DRAKTZPGWVLFRZ-CYBMUJFWSA-N 0 0 437.526 -0.715 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CC2(O)CCS(=O)(=O)CC2)CC(=O)N1C ZINC001305161868 1073980272 /nfs/dbraw/zinc/98/02/72/1073980272.db2.gz DRAKTZPGWVLFRZ-ZDUSSCGKSA-N 0 0 437.526 -0.715 20 0 IBADRN C[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)C[C@@]2(CCOC2)O1 ZINC001305162776 1073980228 /nfs/dbraw/zinc/98/02/28/1073980228.db2.gz BCFAOEAGOWSDQO-CXAGYDPISA-N 0 0 425.515 -0.388 20 0 IBADRN C[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)C[C@]2(CCOC2)O1 ZINC001305162781 1073980723 /nfs/dbraw/zinc/98/07/23/1073980723.db2.gz BCFAOEAGOWSDQO-DYVFJYSZSA-N 0 0 425.515 -0.388 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)C[C@]2(CCOC2)O1 ZINC001305162783 1073980784 /nfs/dbraw/zinc/98/07/84/1073980784.db2.gz BCFAOEAGOWSDQO-GUYCJALGSA-N 0 0 425.515 -0.388 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)C[C@@]2(CCOC2)O1 ZINC001305162785 1073980683 /nfs/dbraw/zinc/98/06/83/1073980683.db2.gz BCFAOEAGOWSDQO-SUMWQHHRSA-N 0 0 425.515 -0.388 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2nnn(C)n2)CCN1CC(F)(F)F ZINC001305170027 1073980770 /nfs/dbraw/zinc/98/07/70/1073980770.db2.gz JLEKKHJZUVCYRE-GHMZBOCLSA-N 0 0 428.423 -0.126 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2nnn(C)n2)CCN1CC(F)(F)F ZINC001305170028 1073980792 /nfs/dbraw/zinc/98/07/92/1073980792.db2.gz JLEKKHJZUVCYRE-MNOVXSKESA-N 0 0 428.423 -0.126 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2nnn(C)n2)CCN1CC(F)(F)F ZINC001305170029 1073980703 /nfs/dbraw/zinc/98/07/03/1073980703.db2.gz JLEKKHJZUVCYRE-QWRGUYRKSA-N 0 0 428.423 -0.126 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2nnn(C)n2)CCN1CC(F)(F)F ZINC001305170030 1073980662 /nfs/dbraw/zinc/98/06/62/1073980662.db2.gz JLEKKHJZUVCYRE-WDEREUQCSA-N 0 0 428.423 -0.126 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCSC2)CC(=O)N1C ZINC001305179832 1073980747 /nfs/dbraw/zinc/98/07/47/1073980747.db2.gz BTHXBDYEWHMMLC-IGCXYCKISA-N 0 0 429.568 -0.285 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCSC2)CC(=O)N1C ZINC001305179833 1073980779 /nfs/dbraw/zinc/98/07/79/1073980779.db2.gz BTHXBDYEWHMMLC-IIYDPXPESA-N 0 0 429.568 -0.285 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCSC2)CC(=O)N1C ZINC001305179834 1073980709 /nfs/dbraw/zinc/98/07/09/1073980709.db2.gz BTHXBDYEWHMMLC-PBFPGSCMSA-N 0 0 429.568 -0.285 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCSC2)CC(=O)N1C ZINC001305179835 1073980688 /nfs/dbraw/zinc/98/06/88/1073980688.db2.gz BTHXBDYEWHMMLC-XNJGSVPQSA-N 0 0 429.568 -0.285 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C[C@H]1N1CCOCC1 ZINC001305180180 1073980695 /nfs/dbraw/zinc/98/06/95/1073980695.db2.gz CNBPQLWHARDNPJ-BZUAXINKSA-N 0 0 440.570 -0.575 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C[C@@H]1N1CCOCC1 ZINC001305180181 1073980774 /nfs/dbraw/zinc/98/07/74/1073980774.db2.gz CNBPQLWHARDNPJ-OAGGEKHMSA-N 0 0 440.570 -0.575 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C[C@H]1N1CCOCC1 ZINC001305180182 1073980730 /nfs/dbraw/zinc/98/07/30/1073980730.db2.gz CNBPQLWHARDNPJ-OWCLPIDISA-N 0 0 440.570 -0.575 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C[C@@H]1N1CCOCC1 ZINC001305180183 1073980645 /nfs/dbraw/zinc/98/06/45/1073980645.db2.gz CNBPQLWHARDNPJ-PMPSAXMXSA-N 0 0 440.570 -0.575 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)CC(=O)N1C ZINC001305180930 1073980715 /nfs/dbraw/zinc/98/07/15/1073980715.db2.gz SFKIDECAAUFOKE-KBPBESRZSA-N 0 0 427.527 -0.611 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)CC(=O)N1C ZINC001305180931 1073981240 /nfs/dbraw/zinc/98/12/40/1073981240.db2.gz SFKIDECAAUFOKE-KGLIPLIRSA-N 0 0 427.527 -0.611 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)CC(=O)N1C ZINC001305180932 1073981132 /nfs/dbraw/zinc/98/11/32/1073981132.db2.gz SFKIDECAAUFOKE-UONOGXRCSA-N 0 0 427.527 -0.611 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CC2(O)CCS(=O)(=O)CC2)CC(=O)N1C ZINC001305180933 1073981194 /nfs/dbraw/zinc/98/11/94/1073981194.db2.gz SFKIDECAAUFOKE-ZIAGYGMSSA-N 0 0 427.527 -0.611 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC(F)F)CC(=O)N1C ZINC001305180995 1073981269 /nfs/dbraw/zinc/98/12/69/1073981269.db2.gz FVMOEYIKXWRDRK-GHMZBOCLSA-N 0 0 434.469 -0.772 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC(F)F)CC(=O)N1C ZINC001305181000 1073981205 /nfs/dbraw/zinc/98/12/05/1073981205.db2.gz FVMOEYIKXWRDRK-MNOVXSKESA-N 0 0 434.469 -0.772 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC(F)F)CC(=O)N1C ZINC001305181002 1073981222 /nfs/dbraw/zinc/98/12/22/1073981222.db2.gz FVMOEYIKXWRDRK-QWRGUYRKSA-N 0 0 434.469 -0.772 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC(F)F)CC(=O)N1C ZINC001305181005 1073981256 /nfs/dbraw/zinc/98/12/56/1073981256.db2.gz FVMOEYIKXWRDRK-WDEREUQCSA-N 0 0 434.469 -0.772 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)C[C@@H](C)O1 ZINC001305183115 1073981145 /nfs/dbraw/zinc/98/11/45/1073981145.db2.gz OENYFMNRDYIIJI-KFWWJZLASA-N 0 0 427.527 -0.347 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)C[C@H](C)O1 ZINC001305183116 1073981136 /nfs/dbraw/zinc/98/11/36/1073981136.db2.gz OENYFMNRDYIIJI-QLFBSQMISA-N 0 0 427.527 -0.347 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)C[C@@H](C)O1 ZINC001305183117 1073981186 /nfs/dbraw/zinc/98/11/86/1073981186.db2.gz OENYFMNRDYIIJI-RBSFLKMASA-N 0 0 427.527 -0.347 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N2CCOCC2)C[C@H](C)O1 ZINC001305183118 1073981235 /nfs/dbraw/zinc/98/12/35/1073981235.db2.gz OENYFMNRDYIIJI-ZNMIVQPWSA-N 0 0 427.527 -0.347 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(C(N)=O)CCCC2)CC(=O)N1C ZINC001305183883 1073981158 /nfs/dbraw/zinc/98/11/58/1073981158.db2.gz RUJFKBAWHVIWBD-KBPBESRZSA-N 0 0 438.554 -0.107 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(C(N)=O)CCCC2)CC(=O)N1C ZINC001305183884 1073981216 /nfs/dbraw/zinc/98/12/16/1073981216.db2.gz RUJFKBAWHVIWBD-KGLIPLIRSA-N 0 0 438.554 -0.107 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(C(N)=O)CCCC2)CC(=O)N1C ZINC001305183885 1073981201 /nfs/dbraw/zinc/98/12/01/1073981201.db2.gz RUJFKBAWHVIWBD-UONOGXRCSA-N 0 0 438.554 -0.107 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(C(N)=O)CCCC2)CC(=O)N1C ZINC001305183886 1073981120 /nfs/dbraw/zinc/98/11/20/1073981120.db2.gz RUJFKBAWHVIWBD-ZIAGYGMSSA-N 0 0 438.554 -0.107 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@H]1C ZINC001305184489 1073981264 /nfs/dbraw/zinc/98/12/64/1073981264.db2.gz VIBMKNHNFLEQTM-OEUWWYETSA-N 0 0 432.568 -0.178 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@H]1C ZINC001305184490 1073981152 /nfs/dbraw/zinc/98/11/52/1073981152.db2.gz VIBMKNHNFLEQTM-UMPJEAMMSA-N 0 0 432.568 -0.178 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@H]1C ZINC001305184491 1073981247 /nfs/dbraw/zinc/98/12/47/1073981247.db2.gz VIBMKNHNFLEQTM-VMUDFCTBSA-N 0 0 432.568 -0.178 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@H]1C ZINC001305184492 1073981230 /nfs/dbraw/zinc/98/12/30/1073981230.db2.gz VIBMKNHNFLEQTM-WBOJAVRRSA-N 0 0 432.568 -0.178 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCS1 ZINC001305188372 1073981175 /nfs/dbraw/zinc/98/11/75/1073981175.db2.gz GFSAKGQQTAGBRY-ILXRZTDVSA-N 0 0 447.583 -0.032 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCS1 ZINC001305188375 1073981776 /nfs/dbraw/zinc/98/17/76/1073981776.db2.gz GFSAKGQQTAGBRY-KKUMJFAQSA-N 0 0 447.583 -0.032 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCS1 ZINC001305188376 1073981741 /nfs/dbraw/zinc/98/17/41/1073981741.db2.gz GFSAKGQQTAGBRY-QLFBSQMISA-N 0 0 447.583 -0.032 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCS1 ZINC001305188379 1073981751 /nfs/dbraw/zinc/98/17/51/1073981751.db2.gz GFSAKGQQTAGBRY-SOUVJXGZSA-N 0 0 447.583 -0.032 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCCCCO)CC(=O)N1C ZINC001305189381 1073981711 /nfs/dbraw/zinc/98/17/11/1073981711.db2.gz JDIPTEHKBMVMKQ-CABCVRRESA-N 0 0 444.558 -0.560 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCCCCO)CC(=O)N1C ZINC001305189388 1073981737 /nfs/dbraw/zinc/98/17/37/1073981737.db2.gz JDIPTEHKBMVMKQ-GJZGRUSLSA-N 0 0 444.558 -0.560 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCCCCO)CC(=O)N1C ZINC001305189389 1073981730 /nfs/dbraw/zinc/98/17/30/1073981730.db2.gz JDIPTEHKBMVMKQ-HUUCEWRRSA-N 0 0 444.558 -0.560 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCCCCO)CC(=O)N1C ZINC001305189390 1073981765 /nfs/dbraw/zinc/98/17/65/1073981765.db2.gz JDIPTEHKBMVMKQ-LSDHHAIUSA-N 0 0 444.558 -0.560 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccccn3)n2CCN2CCCS2(=O)=O)CC(=O)N1C ZINC001305190852 1073981758 /nfs/dbraw/zinc/98/17/58/1073981758.db2.gz GTHJVUDMCHNDRP-HNNXBMFYSA-N 0 0 433.538 -0.034 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3ccccn3)n2CCN2CCCS2(=O)=O)CC(=O)N1C ZINC001305190854 1073981781 /nfs/dbraw/zinc/98/17/81/1073981781.db2.gz GTHJVUDMCHNDRP-OAHLLOKOSA-N 0 0 433.538 -0.034 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)CCO1 ZINC001305191276 1073981771 /nfs/dbraw/zinc/98/17/71/1073981771.db2.gz NDJFOKNPSSXOLH-BFHYXJOUSA-N 0 0 428.515 -0.885 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)CCO1 ZINC001305191280 1073981717 /nfs/dbraw/zinc/98/17/17/1073981717.db2.gz NDJFOKNPSSXOLH-MCIONIFRSA-N 0 0 428.515 -0.885 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)CCO1 ZINC001305191281 1073981727 /nfs/dbraw/zinc/98/17/27/1073981727.db2.gz NDJFOKNPSSXOLH-MGPQQGTHSA-N 0 0 428.515 -0.885 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)CCO1 ZINC001305191282 1073981795 /nfs/dbraw/zinc/98/17/95/1073981795.db2.gz NDJFOKNPSSXOLH-MJBXVCDLSA-N 0 0 428.515 -0.885 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3cccnc3)n2CCC[C@@H]2NC(=O)NC2=O)CC(=O)N1C ZINC001305193295 1073981673 /nfs/dbraw/zinc/98/16/73/1073981673.db2.gz AJHZCDONXCGYFH-HIFRSBDPSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3cccnc3)n2CCC[C@@H]2NC(=O)NC2=O)CC(=O)N1C ZINC001305193296 1073981688 /nfs/dbraw/zinc/98/16/88/1073981688.db2.gz AJHZCDONXCGYFH-ZFWWWQNUSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)CCCO1 ZINC001305193644 1073981692 /nfs/dbraw/zinc/98/16/92/1073981692.db2.gz RULRYJPBTOTUDW-CABCVRRESA-N 0 0 447.583 -0.169 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)CCCO1 ZINC001305193645 1073981705 /nfs/dbraw/zinc/98/17/05/1073981705.db2.gz RULRYJPBTOTUDW-GJZGRUSLSA-N 0 0 447.583 -0.169 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)CCCO1 ZINC001305193646 1073981699 /nfs/dbraw/zinc/98/16/99/1073981699.db2.gz RULRYJPBTOTUDW-HUUCEWRRSA-N 0 0 447.583 -0.169 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)CCCO1 ZINC001305193647 1073981748 /nfs/dbraw/zinc/98/17/48/1073981748.db2.gz RULRYJPBTOTUDW-LSDHHAIUSA-N 0 0 447.583 -0.169 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001305194188 1073981786 /nfs/dbraw/zinc/98/17/86/1073981786.db2.gz SDGYKVOIYDTNFK-KBPBESRZSA-N 0 0 436.556 -0.534 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001305194189 1073982243 /nfs/dbraw/zinc/98/22/43/1073982243.db2.gz SDGYKVOIYDTNFK-KGLIPLIRSA-N 0 0 436.556 -0.534 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001305194190 1073982220 /nfs/dbraw/zinc/98/22/20/1073982220.db2.gz SDGYKVOIYDTNFK-UONOGXRCSA-N 0 0 436.556 -0.534 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001305194191 1073982156 /nfs/dbraw/zinc/98/21/56/1073982156.db2.gz SDGYKVOIYDTNFK-ZIAGYGMSSA-N 0 0 436.556 -0.534 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)CCO1 ZINC001305195003 1073982144 /nfs/dbraw/zinc/98/21/44/1073982144.db2.gz URDFCSSAUNVKEQ-KBPBESRZSA-N 0 0 430.531 -0.686 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)CCO1 ZINC001305195008 1073982181 /nfs/dbraw/zinc/98/21/81/1073982181.db2.gz URDFCSSAUNVKEQ-KGLIPLIRSA-N 0 0 430.531 -0.686 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)CCO1 ZINC001305195010 1073982255 /nfs/dbraw/zinc/98/22/55/1073982255.db2.gz URDFCSSAUNVKEQ-UONOGXRCSA-N 0 0 430.531 -0.686 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)CCO1 ZINC001305195012 1073982264 /nfs/dbraw/zinc/98/22/64/1073982264.db2.gz URDFCSSAUNVKEQ-ZIAGYGMSSA-N 0 0 430.531 -0.686 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2Cc2ccn(C)n2)CCO1 ZINC001305195754 1073982234 /nfs/dbraw/zinc/98/22/34/1073982234.db2.gz VJAIBXVQQRQPIA-DZGCQCFKSA-N 0 0 425.515 -0.382 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2Cc2ccn(C)n2)CCO1 ZINC001305195755 1073982189 /nfs/dbraw/zinc/98/21/89/1073982189.db2.gz VJAIBXVQQRQPIA-HIFRSBDPSA-N 0 0 425.515 -0.382 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2Cc2ccn(C)n2)CCO1 ZINC001305195756 1073982148 /nfs/dbraw/zinc/98/21/48/1073982148.db2.gz VJAIBXVQQRQPIA-UKRRQHHQSA-N 0 0 425.515 -0.382 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2Cc2ccn(C)n2)CCO1 ZINC001305195757 1073982133 /nfs/dbraw/zinc/98/21/33/1073982133.db2.gz VJAIBXVQQRQPIA-ZFWWWQNUSA-N 0 0 425.515 -0.382 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001305195790 1073982163 /nfs/dbraw/zinc/98/21/63/1073982163.db2.gz VTVXOCLJIBEDAJ-GLJUWKHASA-N 0 0 442.542 -0.686 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001305195791 1073982139 /nfs/dbraw/zinc/98/21/39/1073982139.db2.gz VTVXOCLJIBEDAJ-HBUWYVDXSA-N 0 0 442.542 -0.686 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001305195792 1073982251 /nfs/dbraw/zinc/98/22/51/1073982251.db2.gz VTVXOCLJIBEDAJ-LBTNJELSSA-N 0 0 442.542 -0.686 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001305195793 1073982126 /nfs/dbraw/zinc/98/21/26/1073982126.db2.gz VTVXOCLJIBEDAJ-QWQRMKEZSA-N 0 0 442.542 -0.686 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccncc3)n2CCOCCS(C)(=O)=O)CC(=O)N1C ZINC001305195938 1073982225 /nfs/dbraw/zinc/98/22/25/1073982225.db2.gz HYXATAQNWYNZFL-HNNXBMFYSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3ccncc3)n2CCOCCS(C)(=O)=O)CC(=O)N1C ZINC001305195939 1073982195 /nfs/dbraw/zinc/98/21/95/1073982195.db2.gz HYXATAQNWYNZFL-OAHLLOKOSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)CCO1 ZINC001305196614 1073982259 /nfs/dbraw/zinc/98/22/59/1073982259.db2.gz XEIIGWKNSQCUCL-KFWWJZLASA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)CCO1 ZINC001305196619 1073982114 /nfs/dbraw/zinc/98/21/14/1073982114.db2.gz XEIIGWKNSQCUCL-RBSFLKMASA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC(=O)N1C ZINC001305197518 1073982170 /nfs/dbraw/zinc/98/21/70/1073982170.db2.gz YLCURTKWEQNBLP-APIJFGDWSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC(=O)N1C ZINC001305197519 1073982755 /nfs/dbraw/zinc/98/27/55/1073982755.db2.gz YLCURTKWEQNBLP-CBBWQLFWSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC(=O)N1C ZINC001305197520 1073982743 /nfs/dbraw/zinc/98/27/43/1073982743.db2.gz YLCURTKWEQNBLP-LJISPDSOSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC(=O)N1C ZINC001305197521 1073982713 /nfs/dbraw/zinc/98/27/13/1073982713.db2.gz YLCURTKWEQNBLP-ZQDZILKHSA-N 0 0 427.527 -0.613 20 0 IBADRN C[C@@H]1CN2CCC[C@H]2CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001305223157 1073982728 /nfs/dbraw/zinc/98/27/28/1073982728.db2.gz NBVBOKUFFNTKDO-ILXRZTDVSA-N 0 0 431.584 -0.102 20 0 IBADRN C[C@@H]1CN2CCC[C@H]2CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001305223168 1073982674 /nfs/dbraw/zinc/98/26/74/1073982674.db2.gz NBVBOKUFFNTKDO-KFWWJZLASA-N 0 0 431.584 -0.102 20 0 IBADRN C[C@@H]1CN2CCC[C@@H]2CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001305223170 1073982772 /nfs/dbraw/zinc/98/27/72/1073982772.db2.gz NBVBOKUFFNTKDO-QLFBSQMISA-N 0 0 431.584 -0.102 20 0 IBADRN C[C@@H]1CN2CCC[C@@H]2CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001305223172 1073982832 /nfs/dbraw/zinc/98/28/32/1073982832.db2.gz NBVBOKUFFNTKDO-RBSFLKMASA-N 0 0 431.584 -0.102 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001305229722 1073982759 /nfs/dbraw/zinc/98/27/59/1073982759.db2.gz DVLHZHKAHKAEKP-KBPBESRZSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001305229723 1073982645 /nfs/dbraw/zinc/98/26/45/1073982645.db2.gz DVLHZHKAHKAEKP-KGLIPLIRSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001305230124 1073982765 /nfs/dbraw/zinc/98/27/65/1073982765.db2.gz DVLHZHKAHKAEKP-UONOGXRCSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001305230125 1073982703 /nfs/dbraw/zinc/98/27/03/1073982703.db2.gz DVLHZHKAHKAEKP-ZIAGYGMSSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001305231775 1073982811 /nfs/dbraw/zinc/98/28/11/1073982811.db2.gz MRXIOWTVSPNAHG-ILXRZTDVSA-N 0 0 447.583 -0.313 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001305231778 1073982828 /nfs/dbraw/zinc/98/28/28/1073982828.db2.gz MRXIOWTVSPNAHG-KFWWJZLASA-N 0 0 447.583 -0.313 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001305232018 1073982749 /nfs/dbraw/zinc/98/27/49/1073982749.db2.gz MRXIOWTVSPNAHG-QLFBSQMISA-N 0 0 447.583 -0.313 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001305232019 1073982720 /nfs/dbraw/zinc/98/27/20/1073982720.db2.gz MRXIOWTVSPNAHG-RBSFLKMASA-N 0 0 447.583 -0.313 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001305232117 1073982789 /nfs/dbraw/zinc/98/27/89/1073982789.db2.gz NTXHMQLVJYNIHO-QLFBSQMISA-N 0 0 447.583 -0.171 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001305232118 1073983157 /nfs/dbraw/zinc/98/31/57/1073983157.db2.gz NTXHMQLVJYNIHO-RBSFLKMASA-N 0 0 447.583 -0.171 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001305232119 1073983252 /nfs/dbraw/zinc/98/32/52/1073983252.db2.gz NTXHMQLVJYNIHO-RRFJBIMHSA-N 0 0 447.583 -0.171 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001305232120 1073983415 /nfs/dbraw/zinc/98/34/15/1073983415.db2.gz NTXHMQLVJYNIHO-SOUVJXGZSA-N 0 0 447.583 -0.171 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCOCCCO ZINC001305233227 1073983214 /nfs/dbraw/zinc/98/32/14/1073983214.db2.gz WBOXMFCIIJVRST-CABCVRRESA-N 0 0 433.531 -0.765 20 0 IBADRN C[C@H]1COCCN1CCn1c(-c2cnccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305233228 1073983082 /nfs/dbraw/zinc/98/30/82/1073983082.db2.gz BBNJPQHQUBLTFE-HNNXBMFYSA-N 0 0 436.542 -0.463 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCOCCCO ZINC001305233229 1073983124 /nfs/dbraw/zinc/98/31/24/1073983124.db2.gz WBOXMFCIIJVRST-GJZGRUSLSA-N 0 0 433.531 -0.765 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCOCCCO ZINC001305233230 1073983229 /nfs/dbraw/zinc/98/32/29/1073983229.db2.gz WBOXMFCIIJVRST-HUUCEWRRSA-N 0 0 433.531 -0.765 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCOCCCO ZINC001305233231 1073983186 /nfs/dbraw/zinc/98/31/86/1073983186.db2.gz WBOXMFCIIJVRST-LSDHHAIUSA-N 0 0 433.531 -0.765 20 0 IBADRN C[C@@H]1COCCN1CCn1c(-c2cnccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305233233 1073983106 /nfs/dbraw/zinc/98/31/06/1073983106.db2.gz BBNJPQHQUBLTFE-OAHLLOKOSA-N 0 0 436.542 -0.463 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001305233903 1073983143 /nfs/dbraw/zinc/98/31/43/1073983143.db2.gz HNGDFWRGNHZIAF-GDBMZVCRSA-N 0 0 435.529 -0.579 20 0 IBADRN C[C@H]1COCCN1CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001305233904 1073983373 /nfs/dbraw/zinc/98/33/73/1073983373.db2.gz HNGDFWRGNHZIAF-GOEBONIOSA-N 0 0 435.529 -0.579 20 0 IBADRN C[C@H]1COCCN1CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001305233905 1073983341 /nfs/dbraw/zinc/98/33/41/1073983341.db2.gz HNGDFWRGNHZIAF-HOCLYGCPSA-N 0 0 435.529 -0.579 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001305233906 1073983265 /nfs/dbraw/zinc/98/32/65/1073983265.db2.gz HNGDFWRGNHZIAF-ZBFHGGJFSA-N 0 0 435.529 -0.579 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@@H]2CCC(=O)N2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001305234075 1073983219 /nfs/dbraw/zinc/98/32/19/1073983219.db2.gz KOWPHQFITFJNCO-ILXRZTDVSA-N 0 0 426.543 -0.427 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@@H]2CCC(=O)N2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001305234076 1073983240 /nfs/dbraw/zinc/98/32/40/1073983240.db2.gz KOWPHQFITFJNCO-KFWWJZLASA-N 0 0 426.543 -0.427 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@H]2CCC(=O)N2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001305234077 1073983132 /nfs/dbraw/zinc/98/31/32/1073983132.db2.gz KOWPHQFITFJNCO-QLFBSQMISA-N 0 0 426.543 -0.427 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@H]2CCC(=O)N2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001305234078 1073983335 /nfs/dbraw/zinc/98/33/35/1073983335.db2.gz KOWPHQFITFJNCO-RBSFLKMASA-N 0 0 426.543 -0.427 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305234621 1073983190 /nfs/dbraw/zinc/98/31/90/1073983190.db2.gz UHIXWRXRDNKDPT-CABCVRRESA-N 0 0 441.558 -0.969 20 0 IBADRN C[C@H]1COCCN1CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305234622 1073983300 /nfs/dbraw/zinc/98/33/00/1073983300.db2.gz UHIXWRXRDNKDPT-GJZGRUSLSA-N 0 0 441.558 -0.969 20 0 IBADRN C[C@@H]1COCCN1CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305234623 1073983161 /nfs/dbraw/zinc/98/31/61/1073983161.db2.gz UHIXWRXRDNKDPT-HUUCEWRRSA-N 0 0 441.558 -0.969 20 0 IBADRN C[C@H]1COCCN1CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305234624 1073983951 /nfs/dbraw/zinc/98/39/51/1073983951.db2.gz UHIXWRXRDNKDPT-LSDHHAIUSA-N 0 0 441.558 -0.969 20 0 IBADRN C[C@H]1OCC[C@@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001305247248 1073983842 /nfs/dbraw/zinc/98/38/42/1073983842.db2.gz XQIIICHUEIRGLW-CXAGYDPISA-N 0 0 430.531 -0.961 20 0 IBADRN C[C@H]1OCC[C@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001305247249 1073983914 /nfs/dbraw/zinc/98/39/14/1073983914.db2.gz XQIIICHUEIRGLW-DYVFJYSZSA-N 0 0 430.531 -0.961 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001305247250 1073983927 /nfs/dbraw/zinc/98/39/27/1073983927.db2.gz XQIIICHUEIRGLW-GUYCJALGSA-N 0 0 430.531 -0.961 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001305247251 1073983920 /nfs/dbraw/zinc/98/39/20/1073983920.db2.gz XQIIICHUEIRGLW-SUMWQHHRSA-N 0 0 430.531 -0.961 20 0 IBADRN C[C@H]1OCC[C@@]1(O)Cn1c(-c2cnccn2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001305247674 1073983774 /nfs/dbraw/zinc/98/37/74/1073983774.db2.gz MLNHALWDOUNFCH-FOIQADDNSA-N 0 0 430.513 -0.515 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c(-c2cnccn2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001305247675 1073983874 /nfs/dbraw/zinc/98/38/74/1073983874.db2.gz MLNHALWDOUNFCH-MGPUTAFESA-N 0 0 430.513 -0.515 20 0 IBADRN C[C@H]1OCC[C@]1(O)Cn1c(-c2cnccn2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001305247676 1073983986 /nfs/dbraw/zinc/98/39/86/1073983986.db2.gz MLNHALWDOUNFCH-QRWLVFNGSA-N 0 0 430.513 -0.515 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c(-c2cnccn2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001305247677 1073983889 /nfs/dbraw/zinc/98/38/89/1073983889.db2.gz MLNHALWDOUNFCH-YWZLYKJASA-N 0 0 430.513 -0.515 20 0 IBADRN C[C@H]1OCC[C@]1(O)Cn1c(Cc2ccncc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305247754 1073983868 /nfs/dbraw/zinc/98/38/68/1073983868.db2.gz NQBUCAOZQPZYFI-BEFAXECRSA-N 0 0 436.538 -0.115 20 0 IBADRN C[C@H]1OCC[C@@]1(O)Cn1c(Cc2ccncc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305247755 1073983817 /nfs/dbraw/zinc/98/38/17/1073983817.db2.gz NQBUCAOZQPZYFI-DNVCBOLYSA-N 0 0 436.538 -0.115 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c(Cc2ccncc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305247756 1073983973 /nfs/dbraw/zinc/98/39/73/1073983973.db2.gz NQBUCAOZQPZYFI-HNAYVOBHSA-N 0 0 436.538 -0.115 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c(Cc2ccncc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305247757 1073983943 /nfs/dbraw/zinc/98/39/43/1073983943.db2.gz NQBUCAOZQPZYFI-KXBFYZLASA-N 0 0 436.538 -0.115 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001305247922 1073983932 /nfs/dbraw/zinc/98/39/32/1073983932.db2.gz PVZDPZISEPJMJD-AHXJXLJNSA-N 0 0 427.527 -0.218 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001305247923 1073983808 /nfs/dbraw/zinc/98/38/08/1073983808.db2.gz PVZDPZISEPJMJD-FLTUCWPJSA-N 0 0 427.527 -0.218 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001305247924 1073983901 /nfs/dbraw/zinc/98/39/01/1073983901.db2.gz PVZDPZISEPJMJD-LWGWVAHUSA-N 0 0 427.527 -0.218 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001305247925 1073983856 /nfs/dbraw/zinc/98/38/56/1073983856.db2.gz PVZDPZISEPJMJD-NUXNZHGMSA-N 0 0 427.527 -0.218 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCOCC1 ZINC001305248038 1073983791 /nfs/dbraw/zinc/98/37/91/1073983791.db2.gz RLIOBZASBJIING-DAYGRLMNSA-N 0 0 445.542 -0.622 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCOCC1 ZINC001305248040 1073983965 /nfs/dbraw/zinc/98/39/65/1073983965.db2.gz RLIOBZASBJIING-HDMKZQKVSA-N 0 0 445.542 -0.622 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCOCC1 ZINC001305248042 1073983938 /nfs/dbraw/zinc/98/39/38/1073983938.db2.gz RLIOBZASBJIING-MPGHIAIKSA-N 0 0 445.542 -0.622 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCOCC1 ZINC001305248044 1073984437 /nfs/dbraw/zinc/98/44/37/1073984437.db2.gz RLIOBZASBJIING-RLFYNMQTSA-N 0 0 445.542 -0.622 20 0 IBADRN C[C@H]1OCC[C@@]1(O)Cn1c(CN2CCCC2=O)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001305250170 1073984450 /nfs/dbraw/zinc/98/44/50/1073984450.db2.gz MZMYRWXAYSIFTO-AUUYWEPGSA-N 0 0 441.554 -0.046 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c(CN2CCCC2=O)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001305250176 1073984549 /nfs/dbraw/zinc/98/45/49/1073984549.db2.gz MZMYRWXAYSIFTO-IFXJQAMLSA-N 0 0 441.554 -0.046 20 0 IBADRN C[C@H]1OCC[C@]1(O)Cn1c(CN2CCCC2=O)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001305250500 1073984534 /nfs/dbraw/zinc/98/45/34/1073984534.db2.gz MZMYRWXAYSIFTO-KUHUBIRLSA-N 0 0 441.554 -0.046 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c(CN2CCCC2=O)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001305250501 1073984554 /nfs/dbraw/zinc/98/45/54/1073984554.db2.gz MZMYRWXAYSIFTO-LIRRHRJNSA-N 0 0 441.554 -0.046 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)C1 ZINC001305262252 1073984504 /nfs/dbraw/zinc/98/45/04/1073984504.db2.gz FIRUOEAGECRJNT-CLCXKQKWSA-N 0 0 441.554 -0.173 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)C1 ZINC001305262267 1073984402 /nfs/dbraw/zinc/98/44/02/1073984402.db2.gz FIRUOEAGECRJNT-KHYOSLBOSA-N 0 0 441.554 -0.173 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)C1 ZINC001305262268 1073984529 /nfs/dbraw/zinc/98/45/29/1073984529.db2.gz FIRUOEAGECRJNT-QMTMVMCOSA-N 0 0 441.554 -0.173 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)C1 ZINC001305262269 1073984463 /nfs/dbraw/zinc/98/44/63/1073984463.db2.gz FIRUOEAGECRJNT-SPYBWZPUSA-N 0 0 441.554 -0.173 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001305285208 1073984395 /nfs/dbraw/zinc/98/43/95/1073984395.db2.gz CMTJVOARIZYFOM-FJZAXULXSA-N 0 0 430.531 -0.016 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001305285216 1073984356 /nfs/dbraw/zinc/98/43/56/1073984356.db2.gz CMTJVOARIZYFOM-KOFHJDLBSA-N 0 0 430.531 -0.016 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001305285218 1073984557 /nfs/dbraw/zinc/98/45/57/1073984557.db2.gz CMTJVOARIZYFOM-LKQDWFRTSA-N 0 0 430.531 -0.016 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001305285220 1073984416 /nfs/dbraw/zinc/98/44/16/1073984416.db2.gz CMTJVOARIZYFOM-PFHKOEEOSA-N 0 0 430.531 -0.016 20 0 IBADRN CC[C@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001305285656 1073984564 /nfs/dbraw/zinc/98/45/64/1073984564.db2.gz FIPGJZJIMHDDON-FQEVSTJZSA-N 0 0 435.529 -0.496 20 0 IBADRN CC[C@@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001305285658 1073984479 /nfs/dbraw/zinc/98/44/79/1073984479.db2.gz FIPGJZJIMHDDON-HXUWFJFHSA-N 0 0 435.529 -0.496 20 0 IBADRN CC[C@](C)(O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001305301490 1073984512 /nfs/dbraw/zinc/98/45/12/1073984512.db2.gz TTZRASPQELFYNL-FQEVSTJZSA-N 0 0 430.513 -0.233 20 0 IBADRN CC[C@@](C)(O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001305301502 1073984427 /nfs/dbraw/zinc/98/44/27/1073984427.db2.gz TTZRASPQELFYNL-HXUWFJFHSA-N 0 0 430.513 -0.233 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C)[C@@H](O)C1 ZINC001305304870 1073984522 /nfs/dbraw/zinc/98/45/22/1073984522.db2.gz FHZSSHZNVRYNFD-BASYENTBSA-N 0 0 431.559 -0.021 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C)[C@@H](O)C1 ZINC001305304871 1073984383 /nfs/dbraw/zinc/98/43/83/1073984383.db2.gz FHZSSHZNVRYNFD-FSZRXZPDSA-N 0 0 431.559 -0.021 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C)[C@@H](O)C1 ZINC001305304872 1073984471 /nfs/dbraw/zinc/98/44/71/1073984471.db2.gz FHZSSHZNVRYNFD-LDDOYCOJSA-N 0 0 431.559 -0.021 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C)[C@@H](O)C1 ZINC001305304873 1073985074 /nfs/dbraw/zinc/98/50/74/1073985074.db2.gz FHZSSHZNVRYNFD-LLDVTBCESA-N 0 0 431.559 -0.021 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](COC)C1 ZINC001305310218 1073985139 /nfs/dbraw/zinc/98/51/39/1073985139.db2.gz VJUWLXFWAHABHT-DAYGRLMNSA-N 0 0 447.558 -0.376 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](COC)C1 ZINC001305310219 1073985134 /nfs/dbraw/zinc/98/51/34/1073985134.db2.gz VJUWLXFWAHABHT-MPGHIAIKSA-N 0 0 447.558 -0.376 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](COC)C1 ZINC001305310220 1073985080 /nfs/dbraw/zinc/98/50/80/1073985080.db2.gz VJUWLXFWAHABHT-RKVPGOIHSA-N 0 0 447.558 -0.376 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](COC)C1 ZINC001305310221 1073985042 /nfs/dbraw/zinc/98/50/42/1073985042.db2.gz VJUWLXFWAHABHT-VKJFTORMSA-N 0 0 447.558 -0.376 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001305310352 1073985036 /nfs/dbraw/zinc/98/50/36/1073985036.db2.gz WGNRUZRKWNLJOF-LOUJCGABSA-N 0 0 431.515 -0.667 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001305310366 1073985027 /nfs/dbraw/zinc/98/50/27/1073985027.db2.gz WGNRUZRKWNLJOF-OEUWWYETSA-N 0 0 431.515 -0.667 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001305310370 1073985142 /nfs/dbraw/zinc/98/51/42/1073985142.db2.gz WGNRUZRKWNLJOF-QDEZUTFSSA-N 0 0 431.515 -0.667 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001305310371 1073985127 /nfs/dbraw/zinc/98/51/27/1073985127.db2.gz WGNRUZRKWNLJOF-UMPJEAMMSA-N 0 0 431.515 -0.667 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)CNS(=O)(=O)c3cn(C)c(C)n3)CC2)CCC1 ZINC001305353656 1073985106 /nfs/dbraw/zinc/98/51/06/1073985106.db2.gz DIGPKJKEDOFMIW-UHFFFAOYSA-N 0 0 428.511 -0.296 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)[C@H]3[C@@H](O)C(=O)N(C)[C@@H]3c3cnn(C)c3)CC2)CCC1 ZINC001305356392 1073984996 /nfs/dbraw/zinc/98/49/96/1073984996.db2.gz YRIYPBRHGWEMQC-BZUAXINKSA-N 0 0 434.493 -0.393 20 0 IBADRN CC[C@H](C)[C@@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)OC ZINC001305357798 1073985146 /nfs/dbraw/zinc/98/51/46/1073985146.db2.gz DPSIJGYHRDVQNP-ARFHVFGLSA-N 0 0 447.540 -0.391 20 0 IBADRN CC[C@H](C)[C@@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)OC ZINC001305357809 1073985098 /nfs/dbraw/zinc/98/50/98/1073985098.db2.gz DPSIJGYHRDVQNP-HRCADAONSA-N 0 0 447.540 -0.391 20 0 IBADRN CC[C@H](C)[C@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)OC ZINC001305357813 1073985093 /nfs/dbraw/zinc/98/50/93/1073985093.db2.gz DPSIJGYHRDVQNP-JYJNAYRXSA-N 0 0 447.540 -0.391 20 0 IBADRN CC[C@H](C)[C@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)OC ZINC001305357816 1073985086 /nfs/dbraw/zinc/98/50/86/1073985086.db2.gz DPSIJGYHRDVQNP-XHSDSOJGSA-N 0 0 447.540 -0.391 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C[C@H]1C(=O)OC ZINC001305371328 1073984978 /nfs/dbraw/zinc/98/49/78/1073984978.db2.gz PMVNHASSHAVWNN-HZSPNIEDSA-N 0 0 448.881 -0.600 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C[C@H]1C(=O)OC ZINC001305371339 1073985125 /nfs/dbraw/zinc/98/51/25/1073985125.db2.gz PMVNHASSHAVWNN-MCIONIFRSA-N 0 0 448.881 -0.600 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C[C@H]1C(=O)OC ZINC001305371341 1073985066 /nfs/dbraw/zinc/98/50/66/1073985066.db2.gz PMVNHASSHAVWNN-MGPQQGTHSA-N 0 0 448.881 -0.600 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C[C@H]1C(=O)OC ZINC001305371342 1073985004 /nfs/dbraw/zinc/98/50/04/1073985004.db2.gz PMVNHASSHAVWNN-MJBXVCDLSA-N 0 0 448.881 -0.600 20 0 IBADRN O=C(c1ccc(Cl)c(S(=O)(=O)NC[C@H]2CCCO2)c1)N1CC2(C1)NC(=O)NC2=O ZINC001305456783 1073985012 /nfs/dbraw/zinc/98/50/12/1073985012.db2.gz IHOVCQOZXQBILA-LLVKDONJSA-N 0 0 442.881 -0.169 20 0 IBADRN O=C(c1ccc(Cl)c(S(=O)(=O)NC[C@@H]2CCCO2)c1)N1CC2(C1)NC(=O)NC2=O ZINC001305456787 1073985495 /nfs/dbraw/zinc/98/54/95/1073985495.db2.gz IHOVCQOZXQBILA-NSHDSACASA-N 0 0 442.881 -0.169 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(Br)cc1)N1CC2(C1)NC(=O)NC2=O ZINC001305458625 1073985583 /nfs/dbraw/zinc/98/55/83/1073985583.db2.gz TYMODHNZOMJWKZ-UHFFFAOYSA-N 0 0 431.268 -0.462 20 0 IBADRN O=C(c1cc(I)ccc1-n1cnnn1)N1CC2(C1)NC(=O)NC2=O ZINC001305461185 1073985544 /nfs/dbraw/zinc/98/55/44/1073985544.db2.gz JZVIEJFAHDQIDZ-UHFFFAOYSA-N 0 0 439.173 -0.699 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001305476039 1073985652 /nfs/dbraw/zinc/98/56/52/1073985652.db2.gz YLSLTXXZMSQTOV-BFUOFWGJSA-N 0 0 436.538 -0.002 20 0 IBADRN CC[C@H](C)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001305476040 1073985673 /nfs/dbraw/zinc/98/56/73/1073985673.db2.gz YLSLTXXZMSQTOV-DJJJIMSYSA-N 0 0 436.538 -0.002 20 0 IBADRN CC[C@H](C)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001305476041 1073985571 /nfs/dbraw/zinc/98/55/71/1073985571.db2.gz YLSLTXXZMSQTOV-ORAYPTAESA-N 0 0 436.538 -0.002 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001305476042 1073985679 /nfs/dbraw/zinc/98/56/79/1073985679.db2.gz YLSLTXXZMSQTOV-YJYMSZOUSA-N 0 0 436.538 -0.002 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC(CO)C1 ZINC001305501459 1073985660 /nfs/dbraw/zinc/98/56/60/1073985660.db2.gz IGOVHINAHGKCSQ-UHFFFAOYSA-N 0 0 442.490 -0.240 20 0 IBADRN COC(=O)C1(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC(CO)C1 ZINC001305501698 1073985597 /nfs/dbraw/zinc/98/55/97/1073985597.db2.gz KAKKFUWEOCUFHN-UHFFFAOYSA-N 0 0 432.520 -0.258 20 0 IBADRN COC(=O)C1(NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC(CO)C1 ZINC001305502785 1073985620 /nfs/dbraw/zinc/98/56/20/1073985620.db2.gz UNVULNIJLDAFID-PCIUKOCSSA-N 0 0 430.461 -0.034 20 0 IBADRN COC(=O)C1(NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC(CO)C1 ZINC001305502788 1073985694 /nfs/dbraw/zinc/98/56/94/1073985694.db2.gz UNVULNIJLDAFID-ZRKSEFCZSA-N 0 0 430.461 -0.034 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001305503993 1073985528 /nfs/dbraw/zinc/98/55/28/1073985528.db2.gz CKXAPYWITRCXBN-HZPDHXFCSA-N 0 0 447.540 -0.422 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001305503995 1073985501 /nfs/dbraw/zinc/98/55/01/1073985501.db2.gz CKXAPYWITRCXBN-JKSUJKDBSA-N 0 0 447.540 -0.422 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCn1cncn1 ZINC001305505822 1073985685 /nfs/dbraw/zinc/98/56/85/1073985685.db2.gz LMKOEOFNEMJECV-INIZCTEOSA-N 0 0 445.528 -0.409 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCn1cncn1 ZINC001305505826 1073985609 /nfs/dbraw/zinc/98/56/09/1073985609.db2.gz LMKOEOFNEMJECV-MRXNPFEDSA-N 0 0 445.528 -0.409 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCS(C)(=O)=O ZINC001305512380 1073985480 /nfs/dbraw/zinc/98/54/80/1073985480.db2.gz XIRAXUZOVZLJAW-CYBMUJFWSA-N 0 0 426.543 -0.237 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCS(C)(=O)=O ZINC001305512384 1073985560 /nfs/dbraw/zinc/98/55/60/1073985560.db2.gz XIRAXUZOVZLJAW-ZDUSSCGKSA-N 0 0 426.543 -0.237 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCNC(=O)C1CC1 ZINC001305513949 1073985643 /nfs/dbraw/zinc/98/56/43/1073985643.db2.gz JSHFKHPITNJZPI-AWEZNQCLSA-N 0 0 430.517 -0.347 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCNC(=O)C1CC1 ZINC001305513952 1073985631 /nfs/dbraw/zinc/98/56/31/1073985631.db2.gz JSHFKHPITNJZPI-CQSZACIVSA-N 0 0 430.517 -0.347 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001305545589 1073985519 /nfs/dbraw/zinc/98/55/19/1073985519.db2.gz GPBBFHMZSWISKT-KBPBESRZSA-N 0 0 438.554 -0.094 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001305545591 1073986142 /nfs/dbraw/zinc/98/61/42/1073986142.db2.gz GPBBFHMZSWISKT-KGLIPLIRSA-N 0 0 438.554 -0.094 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001305545592 1073986079 /nfs/dbraw/zinc/98/60/79/1073986079.db2.gz GPBBFHMZSWISKT-UONOGXRCSA-N 0 0 438.554 -0.094 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001305545593 1073986222 /nfs/dbraw/zinc/98/62/22/1073986222.db2.gz GPBBFHMZSWISKT-ZIAGYGMSSA-N 0 0 438.554 -0.094 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)n1CCS(=O)(=O)NC ZINC001305549214 1073986058 /nfs/dbraw/zinc/98/60/58/1073986058.db2.gz FZJSXWNWEJAOQN-CHWSQXEVSA-N 0 0 427.531 -0.187 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)n1CCS(=O)(=O)NC ZINC001305549220 1073986185 /nfs/dbraw/zinc/98/61/85/1073986185.db2.gz FZJSXWNWEJAOQN-OLZOCXBDSA-N 0 0 427.531 -0.187 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)n1CCS(=O)(=O)NC ZINC001305549222 1073986088 /nfs/dbraw/zinc/98/60/88/1073986088.db2.gz FZJSXWNWEJAOQN-QWHCGFSZSA-N 0 0 427.531 -0.187 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)n1CCS(=O)(=O)NC ZINC001305549224 1073986136 /nfs/dbraw/zinc/98/61/36/1073986136.db2.gz FZJSXWNWEJAOQN-STQMWFEESA-N 0 0 427.531 -0.187 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001305624710 1073986674 /nfs/dbraw/zinc/98/66/74/1073986674.db2.gz GIHVEOWRRMVESU-BFHYXJOUSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001305624712 1073986715 /nfs/dbraw/zinc/98/67/15/1073986715.db2.gz GIHVEOWRRMVESU-IHRRRGAJSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001305624715 1073986759 /nfs/dbraw/zinc/98/67/59/1073986759.db2.gz GIHVEOWRRMVESU-MELADBBJSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001305624717 1073986787 /nfs/dbraw/zinc/98/67/87/1073986787.db2.gz GIHVEOWRRMVESU-MJBXVCDLSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001305627500 1073986743 /nfs/dbraw/zinc/98/67/43/1073986743.db2.gz URLNLQHUXCNAAW-CABCVRRESA-N 0 0 435.529 -0.230 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001305627515 1073986664 /nfs/dbraw/zinc/98/66/64/1073986664.db2.gz URLNLQHUXCNAAW-GJZGRUSLSA-N 0 0 435.529 -0.230 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001305627525 1073986688 /nfs/dbraw/zinc/98/66/88/1073986688.db2.gz URLNLQHUXCNAAW-HUUCEWRRSA-N 0 0 435.529 -0.230 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001305627528 1073986728 /nfs/dbraw/zinc/98/67/28/1073986728.db2.gz URLNLQHUXCNAAW-LSDHHAIUSA-N 0 0 435.529 -0.230 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001305633244 1073986725 /nfs/dbraw/zinc/98/67/25/1073986725.db2.gz LGJHBHMAHHNGSK-CABCVRRESA-N 0 0 440.508 -0.208 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001305633264 1073986693 /nfs/dbraw/zinc/98/66/93/1073986693.db2.gz LGJHBHMAHHNGSK-GJZGRUSLSA-N 0 0 440.508 -0.208 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001305633272 1073986733 /nfs/dbraw/zinc/98/67/33/1073986733.db2.gz LGJHBHMAHHNGSK-HUUCEWRRSA-N 0 0 440.508 -0.208 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001305633279 1073986719 /nfs/dbraw/zinc/98/67/19/1073986719.db2.gz LGJHBHMAHHNGSK-LSDHHAIUSA-N 0 0 440.508 -0.208 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(C)(=O)=O ZINC001305636328 1073986779 /nfs/dbraw/zinc/98/67/79/1073986779.db2.gz AFKCJXNASFBZTD-KBPBESRZSA-N 0 0 449.599 -0.034 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(C)(=O)=O ZINC001305636334 1073986766 /nfs/dbraw/zinc/98/67/66/1073986766.db2.gz AFKCJXNASFBZTD-KGLIPLIRSA-N 0 0 449.599 -0.034 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(C)(=O)=O ZINC001305636335 1073986708 /nfs/dbraw/zinc/98/67/08/1073986708.db2.gz AFKCJXNASFBZTD-UONOGXRCSA-N 0 0 449.599 -0.034 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(C)(=O)=O ZINC001305636336 1073986793 /nfs/dbraw/zinc/98/67/93/1073986793.db2.gz AFKCJXNASFBZTD-ZIAGYGMSSA-N 0 0 449.599 -0.034 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)NC)CC1 ZINC001305641061 1073986679 /nfs/dbraw/zinc/98/66/79/1073986679.db2.gz BXSZCKFLTDTOST-INIZCTEOSA-N 0 0 448.572 -0.313 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)NC)CC1 ZINC001305641067 1073986773 /nfs/dbraw/zinc/98/67/73/1073986773.db2.gz BXSZCKFLTDTOST-MRXNPFEDSA-N 0 0 448.572 -0.313 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nncn2C)CC1 ZINC001305641841 1073986750 /nfs/dbraw/zinc/98/67/50/1073986750.db2.gz FYTAZWDZJJARPT-HNNXBMFYSA-N 0 0 446.560 -0.370 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nncn2C)CC1 ZINC001305641845 1073986701 /nfs/dbraw/zinc/98/67/01/1073986701.db2.gz FYTAZWDZJJARPT-OAHLLOKOSA-N 0 0 446.560 -0.370 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCC(=O)NC)CC1 ZINC001305644732 1073987239 /nfs/dbraw/zinc/98/72/39/1073987239.db2.gz HVURNTHJDARDTC-HNNXBMFYSA-N 0 0 431.545 -0.149 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCC(=O)NC)CC1 ZINC001305644739 1073987178 /nfs/dbraw/zinc/98/71/78/1073987178.db2.gz HVURNTHJDARDTC-OAHLLOKOSA-N 0 0 431.545 -0.149 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CCC(=O)NC)CC1 ZINC001305645647 1073987156 /nfs/dbraw/zinc/98/71/56/1073987156.db2.gz NIWBUMOKHONGIG-HNNXBMFYSA-N 0 0 429.529 -0.092 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CCC(=O)NC)CC1 ZINC001305645652 1073987301 /nfs/dbraw/zinc/98/73/01/1073987301.db2.gz NIWBUMOKHONGIG-OAHLLOKOSA-N 0 0 429.529 -0.092 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOC)CC1 ZINC001305648984 1073987106 /nfs/dbraw/zinc/98/71/06/1073987106.db2.gz LSXRBIZSLGAGOL-CVEARBPZSA-N 0 0 442.586 -0.137 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOC)CC1 ZINC001305648988 1073987170 /nfs/dbraw/zinc/98/71/70/1073987170.db2.gz LSXRBIZSLGAGOL-HOTGVXAUSA-N 0 0 442.586 -0.137 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOC)CC1 ZINC001305648990 1073987200 /nfs/dbraw/zinc/98/72/00/1073987200.db2.gz LSXRBIZSLGAGOL-HZPDHXFCSA-N 0 0 442.586 -0.137 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOC)CC1 ZINC001305648991 1073987353 /nfs/dbraw/zinc/98/73/53/1073987353.db2.gz LSXRBIZSLGAGOL-JKSUJKDBSA-N 0 0 442.586 -0.137 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)NC)CC1 ZINC001305651605 1073987195 /nfs/dbraw/zinc/98/71/95/1073987195.db2.gz UNNNWZCIETYOIZ-INIZCTEOSA-N 0 0 448.572 -0.427 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)NC)CC1 ZINC001305651606 1073987117 /nfs/dbraw/zinc/98/71/17/1073987117.db2.gz UNNNWZCIETYOIZ-MRXNPFEDSA-N 0 0 448.572 -0.427 20 0 IBADRN CCC(CC)(Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)OC ZINC001305687211 1073987142 /nfs/dbraw/zinc/98/71/42/1073987142.db2.gz CHQBTQUXBIEGIK-AWEZNQCLSA-N 0 0 435.529 -0.232 20 0 IBADRN CCC(CC)(Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)OC ZINC001305687215 1073987341 /nfs/dbraw/zinc/98/73/41/1073987341.db2.gz CHQBTQUXBIEGIK-CQSZACIVSA-N 0 0 435.529 -0.232 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCOC3(CCS(=O)(=O)CC3)C2)cc1 ZINC001305692580 1073987284 /nfs/dbraw/zinc/98/72/84/1073987284.db2.gz HCJOVADTEWEFTD-UHFFFAOYSA-N 0 0 446.547 -0.281 20 0 IBADRN CN1C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)CC1=O ZINC001305692795 1073987131 /nfs/dbraw/zinc/98/71/31/1073987131.db2.gz LWGJVRBISJQFNI-CFZZFRRISA-N 0 0 443.547 -0.273 20 0 IBADRN CN1C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)CC1=O ZINC001305692796 1073987370 /nfs/dbraw/zinc/98/73/70/1073987370.db2.gz LWGJVRBISJQFNI-RBYQPQOCSA-N 0 0 443.547 -0.273 20 0 IBADRN CN1C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)CC1=O ZINC001305692797 1073987309 /nfs/dbraw/zinc/98/73/09/1073987309.db2.gz LWGJVRBISJQFNI-RYRVOACTSA-N 0 0 443.547 -0.273 20 0 IBADRN CN1C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)CC1=O ZINC001305692798 1073987272 /nfs/dbraw/zinc/98/72/72/1073987272.db2.gz LWGJVRBISJQFNI-UGTRGGQESA-N 0 0 443.547 -0.273 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001305694415 1073987319 /nfs/dbraw/zinc/98/73/19/1073987319.db2.gz XMCYHHZEBGEOPR-UHFFFAOYSA-N 0 0 444.531 -0.199 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)C[C@H](C)C(=O)NC)n1CC(=O)N1CCOCC1 ZINC001305722344 1073987209 /nfs/dbraw/zinc/98/72/09/1073987209.db2.gz KNDVVIQDCMWBIF-AWEZNQCLSA-N 0 0 437.545 -0.126 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)C[C@@H](C)C(=O)NC)n1CC(=O)N1CCOCC1 ZINC001305722348 1073987255 /nfs/dbraw/zinc/98/72/55/1073987255.db2.gz KNDVVIQDCMWBIF-CQSZACIVSA-N 0 0 437.545 -0.126 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1Cc1cnnn1C ZINC001305722572 1073987783 /nfs/dbraw/zinc/98/77/83/1073987783.db2.gz LBCOXLOSODZLRD-UHFFFAOYSA-N 0 0 433.517 -0.332 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCn1cncn1 ZINC001305725273 1073987883 /nfs/dbraw/zinc/98/78/83/1073987883.db2.gz VAENUJYXCJQZMW-UHFFFAOYSA-N 0 0 433.517 -0.217 20 0 IBADRN Cn1nccc1CN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001305730674 1073987673 /nfs/dbraw/zinc/98/76/73/1073987673.db2.gz JAIDSZCYXHUMBU-UHFFFAOYSA-N 0 0 446.533 -0.501 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(Cc2ccnn2C)CC1 ZINC001305730856 1073987938 /nfs/dbraw/zinc/98/79/38/1073987938.db2.gz LJICEXWTCJJJEF-UHFFFAOYSA-N 0 0 436.538 -0.263 20 0 IBADRN COc1ccc(C(=O)N2C[C@@H](CO)[C@H](CCO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001305753844 1073987738 /nfs/dbraw/zinc/98/77/38/1073987738.db2.gz TVGXKXJGFSGABM-CVEARBPZSA-N 0 0 428.507 -0.221 20 0 IBADRN COc1ccc(C(=O)N2C[C@H](CCO)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001305753848 1073987857 /nfs/dbraw/zinc/98/78/57/1073987857.db2.gz TVGXKXJGFSGABM-HOTGVXAUSA-N 0 0 428.507 -0.221 20 0 IBADRN COc1ccc(C(=O)N2C[C@H](CO)[C@H](CCO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001305753851 1073987848 /nfs/dbraw/zinc/98/78/48/1073987848.db2.gz TVGXKXJGFSGABM-HZPDHXFCSA-N 0 0 428.507 -0.221 20 0 IBADRN COc1ccc(C(=O)N2C[C@H](CO)[C@@H](CCO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001305753853 1073987867 /nfs/dbraw/zinc/98/78/67/1073987867.db2.gz TVGXKXJGFSGABM-JKSUJKDBSA-N 0 0 428.507 -0.221 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@H](CO)[C@H](CCO)C1 ZINC001305755134 1073987824 /nfs/dbraw/zinc/98/78/24/1073987824.db2.gz YJDNAONGCFUGHG-BFYDXBDKSA-N 0 0 442.534 -0.322 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001305755199 1073987864 /nfs/dbraw/zinc/98/78/64/1073987864.db2.gz YJDNAONGCFUGHG-HLLBOEOZSA-N 0 0 442.534 -0.322 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@H](CCO)[C@H](CO)C1 ZINC001305755200 1073987868 /nfs/dbraw/zinc/98/78/68/1073987868.db2.gz YJDNAONGCFUGHG-YQQAZPJKSA-N 0 0 442.534 -0.322 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001305755201 1073987658 /nfs/dbraw/zinc/98/76/58/1073987658.db2.gz YJDNAONGCFUGHG-ZMSDIMECSA-N 0 0 442.534 -0.322 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CC(=O)N(C)C ZINC001305758632 1073987953 /nfs/dbraw/zinc/98/79/53/1073987953.db2.gz KWTMOTVDVIMHCQ-UHFFFAOYSA-N 0 0 443.574 -0.197 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC(NC(C)=O)CC2)n1CCS(=O)(=O)NC ZINC001305759102 1073987869 /nfs/dbraw/zinc/98/78/69/1073987869.db2.gz OZDXODXLPLFXGM-UHFFFAOYSA-N 0 0 443.574 -0.149 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC(=O)N(C)C ZINC001305762365 1073987833 /nfs/dbraw/zinc/98/78/33/1073987833.db2.gz GHMUEMIGZCXBMW-UHFFFAOYSA-N 0 0 430.513 -0.059 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001305762610 1073987632 /nfs/dbraw/zinc/98/76/32/1073987632.db2.gz MQFUSCPYILHNQI-BEFAXECRSA-N 0 0 442.586 -0.114 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001305762611 1073987902 /nfs/dbraw/zinc/98/79/02/1073987902.db2.gz MQFUSCPYILHNQI-DNVCBOLYSA-N 0 0 442.586 -0.114 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001305762612 1073987754 /nfs/dbraw/zinc/98/77/54/1073987754.db2.gz MQFUSCPYILHNQI-HNAYVOBHSA-N 0 0 442.586 -0.114 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001305762613 1073987696 /nfs/dbraw/zinc/98/76/96/1073987696.db2.gz MQFUSCPYILHNQI-KXBFYZLASA-N 0 0 442.586 -0.114 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCS(=O)(=O)CCO1 ZINC001305769775 1073987808 /nfs/dbraw/zinc/98/78/08/1073987808.db2.gz GVWWQGSAZPSQEX-AWEZNQCLSA-N 0 0 448.519 -0.583 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCS(=O)(=O)CCO1 ZINC001305769778 1073988389 /nfs/dbraw/zinc/98/83/89/1073988389.db2.gz GVWWQGSAZPSQEX-CQSZACIVSA-N 0 0 448.519 -0.583 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)OC)CC2)n1CCNS(C)(=O)=O ZINC001305778383 1073988378 /nfs/dbraw/zinc/98/83/78/1073988378.db2.gz KBPBUQAOJMMYDP-UHFFFAOYSA-N 0 0 445.546 -0.366 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C)C(=O)C2)n1Cc1nnnn1CC1CC1 ZINC001305780167 1073988396 /nfs/dbraw/zinc/98/83/96/1073988396.db2.gz AHUQDZLGZPGVEP-UHFFFAOYSA-N 0 0 430.517 -0.080 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CCNS(C)(=O)=O ZINC001305785034 1073988400 /nfs/dbraw/zinc/98/84/00/1073988400.db2.gz FKJXLJLRGSEDAL-UHFFFAOYSA-N 0 0 441.558 -0.443 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCC(=O)NC ZINC001305785275 1073988382 /nfs/dbraw/zinc/98/83/82/1073988382.db2.gz CBXGCFPJIXLTEU-UHFFFAOYSA-N 0 0 436.561 -0.457 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H]1CCC(=O)N1 ZINC001305785917 1073988369 /nfs/dbraw/zinc/98/83/69/1073988369.db2.gz ONYXZGIUGKQNKT-MRXNPFEDSA-N 0 0 448.572 -0.315 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cc(C)on1 ZINC001305786216 1073988394 /nfs/dbraw/zinc/98/83/94/1073988394.db2.gz CZEPRLRMAJFUOM-HNNXBMFYSA-N 0 0 444.496 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cc(C)on1 ZINC001305786217 1073988388 /nfs/dbraw/zinc/98/83/88/1073988388.db2.gz CZEPRLRMAJFUOM-OAHLLOKOSA-N 0 0 444.496 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCNC(=O)C1CC1 ZINC001305787409 1073988408 /nfs/dbraw/zinc/98/84/08/1073988408.db2.gz OWWCSRZEARPJSQ-HNNXBMFYSA-N 0 0 432.529 -0.064 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCNC(=O)C1CC1 ZINC001305787410 1073988422 /nfs/dbraw/zinc/98/84/22/1073988422.db2.gz OWWCSRZEARPJSQ-OAHLLOKOSA-N 0 0 432.529 -0.064 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(CCOC)C(=O)C2)n1Cc1cnnn1C ZINC001305787732 1073988415 /nfs/dbraw/zinc/98/84/15/1073988415.db2.gz RHKSJUKKIGTWJS-UHFFFAOYSA-N 0 0 433.517 -0.332 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C1CC1 ZINC001305787766 1073988385 /nfs/dbraw/zinc/98/83/85/1073988385.db2.gz RSHRQYJQYLMNBC-UHFFFAOYSA-N 0 0 445.528 -0.002 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC(=O)N1CCOCC1 ZINC001305788434 1073988374 /nfs/dbraw/zinc/98/83/74/1073988374.db2.gz LGPMWMATOHTNLQ-KRWDZBQOSA-N 0 0 449.556 -0.424 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001305788435 1073988336 /nfs/dbraw/zinc/98/83/36/1073988336.db2.gz LGPMWMATOHTNLQ-QGZVFWFLSA-N 0 0 449.556 -0.424 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)[C@H]2CC)n1CCS(=O)(=O)NC ZINC001305788825 1073988918 /nfs/dbraw/zinc/98/89/18/1073988918.db2.gz LSQWSYDJZDNYOC-CYBMUJFWSA-N 0 0 429.547 -0.540 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)[C@@H]2CC)n1CCS(=O)(=O)NC ZINC001305788829 1073989023 /nfs/dbraw/zinc/98/90/23/1073989023.db2.gz LSQWSYDJZDNYOC-ZDUSSCGKSA-N 0 0 429.547 -0.540 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@@H]1CCOC1 ZINC001305790348 1073988904 /nfs/dbraw/zinc/98/89/04/1073988904.db2.gz DRYFKUSQMILFDV-DFBGVHRSSA-N 0 0 434.898 -0.079 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@H]1CCOC1 ZINC001305790360 1073988971 /nfs/dbraw/zinc/98/89/71/1073988971.db2.gz DRYFKUSQMILFDV-GLQYFDAESA-N 0 0 434.898 -0.079 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@@H]1CCOC1 ZINC001305790362 1073988834 /nfs/dbraw/zinc/98/88/34/1073988834.db2.gz DRYFKUSQMILFDV-KCPJHIHWSA-N 0 0 434.898 -0.079 20 0 IBADRN COC(=O)[C@H](CNC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)[C@H]1CCOC1 ZINC001305790363 1073989016 /nfs/dbraw/zinc/98/90/16/1073989016.db2.gz DRYFKUSQMILFDV-NILFDRSVSA-N 0 0 434.898 -0.079 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1CC(=O)NCC(F)F ZINC001305791452 1073988977 /nfs/dbraw/zinc/98/89/77/1073988977.db2.gz NKRIBCALESHQAJ-GFCCVEGCSA-N 0 0 445.471 -0.471 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1CC(=O)NCC(F)F ZINC001305791462 1073988993 /nfs/dbraw/zinc/98/89/93/1073988993.db2.gz NKRIBCALESHQAJ-LBPRGKRZSA-N 0 0 445.471 -0.471 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1CCn1cncn1 ZINC001305791713 1073988852 /nfs/dbraw/zinc/98/88/52/1073988852.db2.gz LRSDYMIIPPNJEI-INIZCTEOSA-N 0 0 433.517 -0.171 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1CCn1cncn1 ZINC001305791721 1073988885 /nfs/dbraw/zinc/98/88/85/1073988885.db2.gz LRSDYMIIPPNJEI-MRXNPFEDSA-N 0 0 433.517 -0.171 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1CCNS(C)(=O)=O ZINC001305795887 1073988930 /nfs/dbraw/zinc/98/89/30/1073988930.db2.gz QAYSOQFANCLMDI-GOSISDBHSA-N 0 0 444.558 -0.259 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1CCNS(C)(=O)=O ZINC001305795888 1073989010 /nfs/dbraw/zinc/98/90/10/1073989010.db2.gz QAYSOQFANCLMDI-SFHVURJKSA-N 0 0 444.558 -0.259 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1nnn(C)n1 ZINC001305798039 1073989028 /nfs/dbraw/zinc/98/90/28/1073989028.db2.gz JXPWADBVFUCPPM-GXTWGEPZSA-N 0 0 430.517 -0.175 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001305798196 1073989003 /nfs/dbraw/zinc/98/90/03/1073989003.db2.gz LCSCPINGBZBDAN-FRIZHTMISA-N 0 0 443.570 -0.047 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001305798197 1073988893 /nfs/dbraw/zinc/98/88/93/1073988893.db2.gz LCSCPINGBZBDAN-WTANOLMUSA-N 0 0 443.570 -0.047 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1Cc1nnn(C)n1 ZINC001305798342 1073988997 /nfs/dbraw/zinc/98/89/97/1073988997.db2.gz NPTUSJYGPHCYCJ-OCCSQVGLSA-N 0 0 430.517 -0.269 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001305799561 1073988986 /nfs/dbraw/zinc/98/89/86/1073988986.db2.gz GXSSMIFHCXTXII-CYBMUJFWSA-N 0 0 447.583 -0.134 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001305799564 1073988962 /nfs/dbraw/zinc/98/89/62/1073988962.db2.gz GXSSMIFHCXTXII-ZDUSSCGKSA-N 0 0 447.583 -0.134 20 0 IBADRN CC[C@@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1)OC ZINC001305807271 1073988869 /nfs/dbraw/zinc/98/88/69/1073988869.db2.gz RATJUCXVJPYSFS-KBPBESRZSA-N 0 0 432.547 -0.392 20 0 IBADRN CC[C@@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1)OC ZINC001305807272 1073989033 /nfs/dbraw/zinc/98/90/33/1073989033.db2.gz RATJUCXVJPYSFS-KGLIPLIRSA-N 0 0 432.547 -0.392 20 0 IBADRN CC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1)OC ZINC001305807273 1073989318 /nfs/dbraw/zinc/98/93/18/1073989318.db2.gz RATJUCXVJPYSFS-UONOGXRCSA-N 0 0 432.547 -0.392 20 0 IBADRN CC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1)OC ZINC001305807274 1073989417 /nfs/dbraw/zinc/98/94/17/1073989417.db2.gz RATJUCXVJPYSFS-ZIAGYGMSSA-N 0 0 432.547 -0.392 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(C(N)=O)CC1)OC ZINC001305839009 1073989384 /nfs/dbraw/zinc/98/93/84/1073989384.db2.gz SXDGTBNIDMMTTP-CABCVRRESA-N 0 0 444.558 -0.262 20 0 IBADRN CC[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(C(N)=O)CC1)OC ZINC001305839010 1073989343 /nfs/dbraw/zinc/98/93/43/1073989343.db2.gz SXDGTBNIDMMTTP-GJZGRUSLSA-N 0 0 444.558 -0.262 20 0 IBADRN CC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(C(N)=O)CC1)OC ZINC001305839011 1073989370 /nfs/dbraw/zinc/98/93/70/1073989370.db2.gz SXDGTBNIDMMTTP-HUUCEWRRSA-N 0 0 444.558 -0.262 20 0 IBADRN CC[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(C(N)=O)CC1)OC ZINC001305839012 1073989379 /nfs/dbraw/zinc/98/93/79/1073989379.db2.gz SXDGTBNIDMMTTP-LSDHHAIUSA-N 0 0 444.558 -0.262 20 0 IBADRN CC[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1)OC ZINC001305839231 1073989287 /nfs/dbraw/zinc/98/92/87/1073989287.db2.gz TWARIVOPHYHYCX-KKUMJFAQSA-N 0 0 444.558 -0.262 20 0 IBADRN CC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1)OC ZINC001305839232 1073989349 /nfs/dbraw/zinc/98/93/49/1073989349.db2.gz TWARIVOPHYHYCX-RRFJBIMHSA-N 0 0 444.558 -0.262 20 0 IBADRN CC[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1)OC ZINC001305839233 1073989368 /nfs/dbraw/zinc/98/93/68/1073989368.db2.gz TWARIVOPHYHYCX-SOUVJXGZSA-N 0 0 444.558 -0.262 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1)OC ZINC001305839234 1073989359 /nfs/dbraw/zinc/98/93/59/1073989359.db2.gz TWARIVOPHYHYCX-ZNMIVQPWSA-N 0 0 444.558 -0.262 20 0 IBADRN CC[C@@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)N(C)C)CC1)OC ZINC001305839285 1073989420 /nfs/dbraw/zinc/98/94/20/1073989420.db2.gz UIJXGRAWDZIYGM-CVEARBPZSA-N 0 0 442.586 -0.185 20 0 IBADRN CC[C@@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)N(C)C)CC1)OC ZINC001305839286 1073989335 /nfs/dbraw/zinc/98/93/35/1073989335.db2.gz UIJXGRAWDZIYGM-HOTGVXAUSA-N 0 0 442.586 -0.185 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)N(C)C)CC1)OC ZINC001305839287 1073989411 /nfs/dbraw/zinc/98/94/11/1073989411.db2.gz UIJXGRAWDZIYGM-HZPDHXFCSA-N 0 0 442.586 -0.185 20 0 IBADRN CC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)N(C)C)CC1)OC ZINC001305839288 1073989422 /nfs/dbraw/zinc/98/94/22/1073989422.db2.gz UIJXGRAWDZIYGM-JKSUJKDBSA-N 0 0 442.586 -0.185 20 0 IBADRN CCC(O)(CC)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305851478 1073989364 /nfs/dbraw/zinc/98/93/64/1073989364.db2.gz BKLUWHSKYKQHQX-AWEZNQCLSA-N 0 0 449.599 -0.187 20 0 IBADRN CCC(O)(CC)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001305851479 1073989354 /nfs/dbraw/zinc/98/93/54/1073989354.db2.gz BKLUWHSKYKQHQX-CQSZACIVSA-N 0 0 449.599 -0.187 20 0 IBADRN CCC(O)(CC)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCNS(C)(=O)=O ZINC001305851681 1073989321 /nfs/dbraw/zinc/98/93/21/1073989321.db2.gz DZVDTGFNEFKPSG-CYBMUJFWSA-N 0 0 437.588 -0.283 20 0 IBADRN CCC(O)(CC)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCNS(C)(=O)=O ZINC001305851682 1073989377 /nfs/dbraw/zinc/98/93/77/1073989377.db2.gz DZVDTGFNEFKPSG-ZDUSSCGKSA-N 0 0 437.588 -0.283 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)cc1 ZINC001305855651 1073989389 /nfs/dbraw/zinc/98/93/89/1073989389.db2.gz OQAIKBHNNCJOHO-QFBILLFUSA-N 0 0 427.523 -0.433 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCN(C(=O)OC(C)(C)C)C[C@@H]3CO)c2S1(=O)=O ZINC001305870935 1073990064 /nfs/dbraw/zinc/99/00/64/1073990064.db2.gz LEOLSAZBVOLDEK-GFCCVEGCSA-N 0 0 429.499 -0.429 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCN(C(=O)OC(C)(C)C)C[C@H]3CO)c2S1(=O)=O ZINC001305870936 1073989948 /nfs/dbraw/zinc/98/99/48/1073989948.db2.gz LEOLSAZBVOLDEK-LBPRGKRZSA-N 0 0 429.499 -0.429 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)[C@H](CO)C1 ZINC001305872009 1073989961 /nfs/dbraw/zinc/98/99/61/1073989961.db2.gz UMSDGDUCDPCWMU-AWEZNQCLSA-N 0 0 426.495 -0.076 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)[C@@H](CO)C1 ZINC001305872010 1073989886 /nfs/dbraw/zinc/98/98/86/1073989886.db2.gz UMSDGDUCDPCWMU-CQSZACIVSA-N 0 0 426.495 -0.076 20 0 IBADRN CC[C@@]1(C)CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)CCO1 ZINC001305884152 1073990045 /nfs/dbraw/zinc/99/00/45/1073990045.db2.gz WAUHMZCWFJCVQA-DOMZBBRYSA-N 0 0 438.576 -0.557 20 0 IBADRN CC[C@]1(C)CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)CCO1 ZINC001305884155 1073989985 /nfs/dbraw/zinc/98/99/85/1073989985.db2.gz WAUHMZCWFJCVQA-IUODEOHRSA-N 0 0 438.576 -0.557 20 0 IBADRN CC[C@]1(C)CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)CCO1 ZINC001305884157 1073990038 /nfs/dbraw/zinc/99/00/38/1073990038.db2.gz WAUHMZCWFJCVQA-SWLSCSKDSA-N 0 0 438.576 -0.557 20 0 IBADRN CC[C@@]1(C)CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)CCO1 ZINC001305884159 1073989937 /nfs/dbraw/zinc/98/99/37/1073989937.db2.gz WAUHMZCWFJCVQA-WFASDCNBSA-N 0 0 438.576 -0.557 20 0 IBADRN CC[C@]1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)NC)CCO1 ZINC001305890011 1073989971 /nfs/dbraw/zinc/98/99/71/1073989971.db2.gz CAUMZGHDIHGJGP-KBXCAEBGSA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@@]1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)NC)CCO1 ZINC001305890012 1073990003 /nfs/dbraw/zinc/99/00/03/1073990003.db2.gz CAUMZGHDIHGJGP-KDOFPFPSSA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@@]1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)NC)CCO1 ZINC001305890013 1073990051 /nfs/dbraw/zinc/99/00/51/1073990051.db2.gz CAUMZGHDIHGJGP-KSSFIOAISA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@]1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)NC)CCO1 ZINC001305890014 1073990060 /nfs/dbraw/zinc/99/00/60/1073990060.db2.gz CAUMZGHDIHGJGP-RDTXWAMCSA-N 0 0 444.558 -0.248 20 0 IBADRN CN1CCN(C(=O)CNC(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)CC1 ZINC001305896142 1073990010 /nfs/dbraw/zinc/99/00/10/1073990010.db2.gz PJWPXYREKGDNQO-UHFFFAOYSA-N 0 0 425.442 -0.314 20 0 IBADRN C[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCN1c1cnccn1 ZINC001305934728 1073989919 /nfs/dbraw/zinc/98/99/19/1073989919.db2.gz SIZKDHIDKXLHBM-HNNXBMFYSA-N 0 0 444.517 -0.052 20 0 IBADRN C[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCN1c1cnccn1 ZINC001305934740 1073990568 /nfs/dbraw/zinc/99/05/68/1073990568.db2.gz SIZKDHIDKXLHBM-OAHLLOKOSA-N 0 0 444.517 -0.052 20 0 IBADRN COC(=O)[C@]1(CNC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCOC1 ZINC001305954414 1073990533 /nfs/dbraw/zinc/99/05/33/1073990533.db2.gz VXRVKGPWHBZEQM-FQEVSTJZSA-N 0 0 439.534 -0.003 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CCOC1 ZINC001305954423 1073990603 /nfs/dbraw/zinc/99/06/03/1073990603.db2.gz VXRVKGPWHBZEQM-HXUWFJFHSA-N 0 0 439.534 -0.003 20 0 IBADRN CCc1ccccc1OCc1nnc(N2CCNC(=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001305990639 1073990524 /nfs/dbraw/zinc/99/05/24/1073990524.db2.gz YSYLLFVYIOLEFH-FQEVSTJZSA-N 0 0 449.533 -0.095 20 0 IBADRN CCc1ccccc1OCc1nnc(N2CCNC(=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001305990642 1073990512 /nfs/dbraw/zinc/99/05/12/1073990512.db2.gz YSYLLFVYIOLEFH-HXUWFJFHSA-N 0 0 449.533 -0.095 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001305993139 1073990555 /nfs/dbraw/zinc/99/05/55/1073990555.db2.gz YMMYZZDHWTYDHA-MQYQWHSLSA-N 0 0 434.511 -0.303 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001305993141 1073990501 /nfs/dbraw/zinc/99/05/01/1073990501.db2.gz YMMYZZDHWTYDHA-RFGFWPKPSA-N 0 0 434.511 -0.303 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12 ZINC001305993143 1073990456 /nfs/dbraw/zinc/99/04/56/1073990456.db2.gz YMMYZZDHWTYDHA-YIYPIFLZSA-N 0 0 434.511 -0.303 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12 ZINC001305993145 1073990597 /nfs/dbraw/zinc/99/05/97/1073990597.db2.gz YMMYZZDHWTYDHA-ZOBORPQBSA-N 0 0 434.511 -0.303 20 0 IBADRN CCc1nc(CCn2c(C(=O)N3CCCC3)nnc2N(C)CCNS(C)(=O)=O)no1 ZINC001306011206 1073990443 /nfs/dbraw/zinc/99/04/43/1073990443.db2.gz QRXQYQAXLVDYBG-UHFFFAOYSA-N 0 0 440.530 -0.312 20 0 IBADRN CCc1nc(CCn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)no1 ZINC001306013792 1073990474 /nfs/dbraw/zinc/99/04/74/1073990474.db2.gz HPWALPAOEWTDNP-LLVKDONJSA-N 0 0 431.544 -0.355 20 0 IBADRN CCc1nc(CCn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)no1 ZINC001306013798 1073990576 /nfs/dbraw/zinc/99/05/76/1073990576.db2.gz HPWALPAOEWTDNP-NSHDSACASA-N 0 0 431.544 -0.355 20 0 IBADRN CCc1nc(CCn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCS(=O)CC2)no1 ZINC001306019765 1073990485 /nfs/dbraw/zinc/99/04/85/1073990485.db2.gz ATZRWPHUFKSCNO-GFCCVEGCSA-N 0 0 428.540 -0.063 20 0 IBADRN CCc1nc(CCn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCS(=O)CC2)no1 ZINC001306019766 1073990545 /nfs/dbraw/zinc/99/05/45/1073990545.db2.gz ATZRWPHUFKSCNO-LBPRGKRZSA-N 0 0 428.540 -0.063 20 0 IBADRN CCc1nc(CCn2c([C@H]3CCC(=O)N3)nnc2N(C)CC(=O)N2CCOCC2)no1 ZINC001306020597 1073990434 /nfs/dbraw/zinc/99/04/34/1073990434.db2.gz BMRPXLGBGJWLEZ-CYBMUJFWSA-N 0 0 432.485 -0.288 20 0 IBADRN CCc1nc(CCn2c([C@@H]3CCC(=O)N3)nnc2N(C)CC(=O)N2CCOCC2)no1 ZINC001306020603 1073990466 /nfs/dbraw/zinc/99/04/66/1073990466.db2.gz BMRPXLGBGJWLEZ-ZDUSSCGKSA-N 0 0 432.485 -0.288 20 0 IBADRN CCc1nc(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)no1 ZINC001306021233 1073990590 /nfs/dbraw/zinc/99/05/90/1073990590.db2.gz FRUBYYSXMPJMDY-AWEZNQCLSA-N 0 0 445.528 -0.373 20 0 IBADRN CCc1nc(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)no1 ZINC001306021236 1073990583 /nfs/dbraw/zinc/99/05/83/1073990583.db2.gz FRUBYYSXMPJMDY-CQSZACIVSA-N 0 0 445.528 -0.373 20 0 IBADRN CCc1nc(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)no1 ZINC001306021676 1073990562 /nfs/dbraw/zinc/99/05/62/1073990562.db2.gz IQIWVCFRWSHNLV-GFCCVEGCSA-N 0 0 438.514 -0.501 20 0 IBADRN CCc1nc(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)no1 ZINC001306021682 1073990408 /nfs/dbraw/zinc/99/04/08/1073990408.db2.gz IQIWVCFRWSHNLV-LBPRGKRZSA-N 0 0 438.514 -0.501 20 0 IBADRN CCc1nc(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(=O)COC)CC2)no1 ZINC001306023773 1073991064 /nfs/dbraw/zinc/99/10/64/1073991064.db2.gz UWPAGJCBSIKTBH-CYBMUJFWSA-N 0 0 432.485 -0.288 20 0 IBADRN CCc1nc(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(=O)COC)CC2)no1 ZINC001306023774 1073991073 /nfs/dbraw/zinc/99/10/73/1073991073.db2.gz UWPAGJCBSIKTBH-ZDUSSCGKSA-N 0 0 432.485 -0.288 20 0 IBADRN CCc1nn(C)c(OC)c1Cn1c(C(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001306049529 1073991272 /nfs/dbraw/zinc/99/12/72/1073991272.db2.gz OYLAAEDJXGRIBI-CYBMUJFWSA-N 0 0 430.513 -0.099 20 0 IBADRN CCc1nn(C)c(OC)c1Cn1c(C(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001306049533 1073991204 /nfs/dbraw/zinc/99/12/04/1073991204.db2.gz OYLAAEDJXGRIBI-ZDUSSCGKSA-N 0 0 430.513 -0.099 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)CCn2cc[nH]c(=O)c2=O)CC1 ZINC001306065327 1073991113 /nfs/dbraw/zinc/99/11/13/1073991113.db2.gz BZUCWRXRXHGXBV-HNNXBMFYSA-N 0 0 449.508 -0.003 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@H]2C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c(=O)n(C)c1=O ZINC001306068648 1073991190 /nfs/dbraw/zinc/99/11/90/1073991190.db2.gz TWEORISNSHURIF-HNNXBMFYSA-N 0 0 449.508 -0.232 20 0 IBADRN Cn1c(=O)ccn(CC(=O)N2CCC[C@H]2C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c1=O ZINC001306068680 1073991259 /nfs/dbraw/zinc/99/12/59/1073991259.db2.gz UIGIJTALPCWYOM-HNNXBMFYSA-N 0 0 449.508 -0.383 20 0 IBADRN CCc1nnc(N(C)C2CCN(S(C)(=O)=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001306070231 1073991136 /nfs/dbraw/zinc/99/11/36/1073991136.db2.gz DCNFFYQUWCDZHT-INIZCTEOSA-N 0 0 435.572 -0.750 20 0 IBADRN CCc1nnc(N(C)C2CCN(S(C)(=O)=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001306070232 1073991226 /nfs/dbraw/zinc/99/12/26/1073991226.db2.gz DCNFFYQUWCDZHT-MRXNPFEDSA-N 0 0 435.572 -0.750 20 0 IBADRN CCc1nnc(N(C)CCNS(C)(=O)=O)n1CCS(=O)(=O)N1CCSCC1 ZINC001306090185 1073991160 /nfs/dbraw/zinc/99/11/60/1073991160.db2.gz HSDNFBCURQBCLF-UHFFFAOYSA-N 0 0 440.617 -0.796 20 0 IBADRN CCc1nnc(N2C[C@H](C(=O)NCCOC)CC[C@H]2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001306101133 1073991284 /nfs/dbraw/zinc/99/12/84/1073991284.db2.gz DRWGSRKLLNPLMU-CLCXKQKWSA-N 0 0 443.570 -0.242 20 0 IBADRN CCc1nnc(N2C[C@H](C(=O)NCCOC)CC[C@@H]2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001306101134 1073991102 /nfs/dbraw/zinc/99/11/02/1073991102.db2.gz DRWGSRKLLNPLMU-KHYOSLBOSA-N 0 0 443.570 -0.242 20 0 IBADRN CCc1nnc(N2C[C@H](C(=O)NCCOC)CC[C@@H]2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001306101135 1073991233 /nfs/dbraw/zinc/99/12/33/1073991233.db2.gz DRWGSRKLLNPLMU-QMTMVMCOSA-N 0 0 443.570 -0.242 20 0 IBADRN CCc1nnc(N2C[C@H](C(=O)NCCOC)CC[C@H]2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001306101136 1073991239 /nfs/dbraw/zinc/99/12/39/1073991239.db2.gz DRWGSRKLLNPLMU-SPYBWZPUSA-N 0 0 443.570 -0.242 20 0 IBADRN CCc1nnc(N(CCCOC)[C@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1 ZINC001306101805 1073991216 /nfs/dbraw/zinc/99/12/16/1073991216.db2.gz MDNNMIAUHWMJGI-HNNXBMFYSA-N 0 0 429.543 -0.271 20 0 IBADRN CCc1nnc(N(CCCOC)[C@@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1 ZINC001306101806 1073991267 /nfs/dbraw/zinc/99/12/67/1073991267.db2.gz MDNNMIAUHWMJGI-OAHLLOKOSA-N 0 0 429.543 -0.271 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCN3CCNC3=S)cc2)CC1 ZINC001306129272 1073991245 /nfs/dbraw/zinc/99/12/45/1073991245.db2.gz QVXGEZIPWNGCQH-UHFFFAOYSA-N 0 0 439.563 -0.541 20 0 IBADRN CCc1nnc(N2CCC([C@H]3NC(=O)N(C)C3=O)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001306130705 1073991171 /nfs/dbraw/zinc/99/11/71/1073991171.db2.gz FRTSAHCTRLYTLB-GOSISDBHSA-N 0 0 446.556 -0.229 20 0 IBADRN CCc1nnc(N2CCC([C@@H]3NC(=O)N(C)C3=O)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001306130713 1073991081 /nfs/dbraw/zinc/99/10/81/1073991081.db2.gz FRTSAHCTRLYTLB-SFHVURJKSA-N 0 0 446.556 -0.229 20 0 IBADRN CCc1nnc(N2CCC(CNS(C)(=O)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001306138358 1073991149 /nfs/dbraw/zinc/99/11/49/1073991149.db2.gz JYYYPSULGHZTNS-UHFFFAOYSA-N 0 0 434.588 -0.358 20 0 IBADRN CCc1nnc(N2CCC(N(C)S(C)(=O)=O)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001306138415 1073991703 /nfs/dbraw/zinc/99/17/03/1073991703.db2.gz DUBBTSQRKUNVMN-AWEZNQCLSA-N 0 0 448.615 -0.018 20 0 IBADRN CCc1nnc(N2CCC(N(C)S(C)(=O)=O)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001306138416 1073991700 /nfs/dbraw/zinc/99/17/00/1073991700.db2.gz DUBBTSQRKUNVMN-CQSZACIVSA-N 0 0 448.615 -0.018 20 0 IBADRN CCc1nnc(N2CCC(N(CC)S(C)(=O)=O)CC2)n1CCS(=O)(=O)N(C)C ZINC001306139182 1073991691 /nfs/dbraw/zinc/99/16/91/1073991691.db2.gz MFDBOUPMLXRZIM-UHFFFAOYSA-N 0 0 436.604 -0.018 20 0 IBADRN CCc1nnc(N2CCC(NC(=O)COC)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001306143787 1073991724 /nfs/dbraw/zinc/99/17/24/1073991724.db2.gz MDOJPADJFOZZHT-OAHLLOKOSA-N 0 0 428.559 -0.004 20 0 IBADRN CCc1nnc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001306163577 1073991664 /nfs/dbraw/zinc/99/16/64/1073991664.db2.gz AOLLHNHYOONKFB-KBPBESRZSA-N 0 0 441.558 -0.064 20 0 IBADRN CCc1nnc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001306163578 1073991672 /nfs/dbraw/zinc/99/16/72/1073991672.db2.gz AOLLHNHYOONKFB-KGLIPLIRSA-N 0 0 441.558 -0.064 20 0 IBADRN CCc1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1CCS(=O)(=O)NC(C)C ZINC001306166132 1073991760 /nfs/dbraw/zinc/99/17/60/1073991760.db2.gz NFDOXXHJPQDNTB-AWEZNQCLSA-N 0 0 436.604 -0.066 20 0 IBADRN CCc1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1CCS(=O)(=O)NC(C)C ZINC001306166136 1073991730 /nfs/dbraw/zinc/99/17/30/1073991730.db2.gz NFDOXXHJPQDNTB-CQSZACIVSA-N 0 0 436.604 -0.066 20 0 IBADRN CCc1nnc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1CCN1CCCS1(=O)=O ZINC001306166165 1073991757 /nfs/dbraw/zinc/99/17/57/1073991757.db2.gz VMQUAFFAOWYROK-HNNXBMFYSA-N 0 0 448.615 -0.016 20 0 IBADRN CCc1nnc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1CCN1CCCS1(=O)=O ZINC001306166166 1073991755 /nfs/dbraw/zinc/99/17/55/1073991755.db2.gz VMQUAFFAOWYROK-OAHLLOKOSA-N 0 0 448.615 -0.016 20 0 IBADRN CCc1nnc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1CCNS(=O)(=O)CC ZINC001306166167 1073991675 /nfs/dbraw/zinc/99/16/75/1073991675.db2.gz VMTOVQMAYGLYHG-AWEZNQCLSA-N 0 0 436.604 -0.112 20 0 IBADRN CCc1nnc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1CCNS(=O)(=O)CC ZINC001306166168 1073991720 /nfs/dbraw/zinc/99/17/20/1073991720.db2.gz VMTOVQMAYGLYHG-CQSZACIVSA-N 0 0 436.604 -0.112 20 0 IBADRN CCc1nnc(N2CCC[C@H](N3CCCS3(=O)=O)C2)n1CCN1CCCS1(=O)=O ZINC001306170392 1073991713 /nfs/dbraw/zinc/99/17/13/1073991713.db2.gz NETCFAAFTCAFEH-HNNXBMFYSA-N 0 0 446.599 -0.120 20 0 IBADRN CCc1nnc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)n1CCN1CCCS1(=O)=O ZINC001306170393 1073991716 /nfs/dbraw/zinc/99/17/16/1073991716.db2.gz NETCFAAFTCAFEH-OAHLLOKOSA-N 0 0 446.599 -0.120 20 0 IBADRN CCc1nnc(N2CCC[C@H](N3CCCS3(=O)=O)C2)n1CCNS(=O)(=O)CC ZINC001306172190 1073991682 /nfs/dbraw/zinc/99/16/82/1073991682.db2.gz YZLSFINXMIVVTC-AWEZNQCLSA-N 0 0 434.588 -0.216 20 0 IBADRN CCc1nnc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)n1CCNS(=O)(=O)CC ZINC001306172191 1073991710 /nfs/dbraw/zinc/99/17/10/1073991710.db2.gz YZLSFINXMIVVTC-CQSZACIVSA-N 0 0 434.588 -0.216 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCCn1cc(CO)nn1 ZINC001306182063 1073992166 /nfs/dbraw/zinc/99/21/66/1073992166.db2.gz CMWJXBOJGHEPOK-UHFFFAOYSA-N 0 0 433.517 -0.812 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1cc(C)nn1C ZINC001306182065 1073992118 /nfs/dbraw/zinc/99/21/18/1073992118.db2.gz CONHRZXESCSJQG-UHFFFAOYSA-N 0 0 430.513 -0.562 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1cccnc1OC ZINC001306182082 1073992249 /nfs/dbraw/zinc/99/22/49/1073992249.db2.gz DTJHPHYZJRTOIE-UHFFFAOYSA-N 0 0 443.508 -0.200 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC1CCS(=O)(=O)CC1 ZINC001306182238 1073992173 /nfs/dbraw/zinc/99/21/73/1073992173.db2.gz GMEYXYVHTKUBBI-UHFFFAOYSA-N 0 0 438.554 -0.408 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCc1n[nH]c(C)n1 ZINC001306182586 1073992179 /nfs/dbraw/zinc/99/21/79/1073992179.db2.gz OEBBWSMXVWSNRS-UHFFFAOYSA-N 0 0 431.501 -0.983 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1cnn(CC)c1 ZINC001306182623 1073992242 /nfs/dbraw/zinc/99/22/42/1073992242.db2.gz OOWYEKUKJMGSHF-UHFFFAOYSA-N 0 0 430.513 -0.387 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCN1CCOC(C)(C)C1 ZINC001306182689 1073992291 /nfs/dbraw/zinc/99/22/91/1073992291.db2.gz PSGJQDCIQCJJFT-UHFFFAOYSA-N 0 0 433.557 -0.122 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1ccc(OC)nc1 ZINC001306182748 1073992277 /nfs/dbraw/zinc/99/22/77/1073992277.db2.gz RTHFRZCDKUTSRN-UHFFFAOYSA-N 0 0 443.508 -0.200 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC(=O)NCc1ccco1 ZINC001306182757 1073992306 /nfs/dbraw/zinc/99/23/06/1073992306.db2.gz SDSUVGDFEFIWHH-UHFFFAOYSA-N 0 0 429.481 -0.323 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1ccc(F)cn1 ZINC001306182841 1073992329 /nfs/dbraw/zinc/99/23/29/1073992329.db2.gz TYRADBQEGOXCIQ-UHFFFAOYSA-N 0 0 431.472 -0.070 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001306182883 1073992233 /nfs/dbraw/zinc/99/22/33/1073992233.db2.gz UGUAINZPWOLJFK-HNNXBMFYSA-N 0 0 447.540 -0.737 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001306182884 1073992156 /nfs/dbraw/zinc/99/21/56/1073992156.db2.gz UGUAINZPWOLJFK-OAHLLOKOSA-N 0 0 447.540 -0.737 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@](C)(O)c1ccco1 ZINC001306182937 1073992101 /nfs/dbraw/zinc/99/21/01/1073992101.db2.gz WBEDWXBGIPGXDE-NRFANRHFSA-N 0 0 446.508 -0.151 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@@](C)(O)c1ccco1 ZINC001306182938 1073992325 /nfs/dbraw/zinc/99/23/25/1073992325.db2.gz WBEDWXBGIPGXDE-OAQYLSRUSA-N 0 0 446.508 -0.151 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H](C)N1CCOCC1 ZINC001306182977 1073992219 /nfs/dbraw/zinc/99/22/19/1073992219.db2.gz XMRCCIZJXWINRH-KRWDZBQOSA-N 0 0 449.556 -0.931 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@@H](C)N1CCOCC1 ZINC001306182979 1073992130 /nfs/dbraw/zinc/99/21/30/1073992130.db2.gz XMRCCIZJXWINRH-QGZVFWFLSA-N 0 0 449.556 -0.931 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCC(=O)NC(C)C ZINC001306182998 1073992286 /nfs/dbraw/zinc/99/22/86/1073992286.db2.gz XTPIFXWVHDEIFZ-UHFFFAOYSA-N 0 0 435.529 -0.737 20 0 IBADRN CCc1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001306186135 1073992725 /nfs/dbraw/zinc/99/27/25/1073992725.db2.gz RMUZYUOJUMNQHQ-HNNXBMFYSA-N 0 0 441.554 -0.392 20 0 IBADRN CCc1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001306186136 1073992830 /nfs/dbraw/zinc/99/28/30/1073992830.db2.gz RMUZYUOJUMNQHQ-OAHLLOKOSA-N 0 0 441.554 -0.392 20 0 IBADRN CCc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC(=O)NC1CCCCC1 ZINC001306186624 1073992762 /nfs/dbraw/zinc/99/27/62/1073992762.db2.gz XBBQGDQDHKZYAQ-UHFFFAOYSA-N 0 0 430.517 -0.375 20 0 IBADRN CCc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC1CCN(C(C)=O)CC1 ZINC001306186756 1073992753 /nfs/dbraw/zinc/99/27/53/1073992753.db2.gz YALUVRWYYKFPEK-UHFFFAOYSA-N 0 0 430.517 -0.566 20 0 IBADRN CCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CC1(C(N)=O)CCOCC1 ZINC001306187006 1073992637 /nfs/dbraw/zinc/99/26/37/1073992637.db2.gz BTFSUKQHMUZPAE-UHFFFAOYSA-N 0 0 435.529 -0.303 20 0 IBADRN CCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CCC(=O)N1CCOCC1 ZINC001306187080 1073992777 /nfs/dbraw/zinc/99/27/77/1073992777.db2.gz DVDCEMYOEDSCFR-UHFFFAOYSA-N 0 0 435.529 -0.336 20 0 IBADRN CCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CCN1CCCS1(=O)=O ZINC001306187622 1073992673 /nfs/dbraw/zinc/99/26/73/1073992673.db2.gz PWDZTFJWFFLQJG-UHFFFAOYSA-N 0 0 441.558 -0.550 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)n1CCNS(C)(=O)=O ZINC001306189922 1073992821 /nfs/dbraw/zinc/99/28/21/1073992821.db2.gz GEQCHTDTCOBJFN-HNNXBMFYSA-N 0 0 427.575 -0.477 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)N3CCCC3)CC2)n1CCNS(C)(=O)=O ZINC001306189923 1073992713 /nfs/dbraw/zinc/99/27/13/1073992713.db2.gz GEQCHTDTCOBJFN-OAHLLOKOSA-N 0 0 427.575 -0.477 20 0 IBADRN NC(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC001306190480 1073993257 /nfs/dbraw/zinc/99/32/57/1073993257.db2.gz GPRCJCYEMFIMOX-GOSISDBHSA-N 0 0 445.520 -0.030 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CC(=O)NC2CC2)CC1 ZINC001306190486 1073993225 /nfs/dbraw/zinc/99/32/25/1073993225.db2.gz GPRCJCYEMFIMOX-SFHVURJKSA-N 0 0 445.520 -0.030 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)NCCCOC)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001306193608 1073993419 /nfs/dbraw/zinc/99/34/19/1073993419.db2.gz XNTSDIUVIKDZSA-HRAATJIYSA-N 0 0 435.573 -0.018 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)NCCCOC)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001306193609 1073993471 /nfs/dbraw/zinc/99/34/71/1073993471.db2.gz XNTSDIUVIKDZSA-IERDGZPVSA-N 0 0 435.573 -0.018 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)NCCCOC)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001306193624 1073993477 /nfs/dbraw/zinc/99/34/77/1073993477.db2.gz XNTSDIUVIKDZSA-IIBYNOLFSA-N 0 0 435.573 -0.018 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)NCCCOC)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001306193627 1073993399 /nfs/dbraw/zinc/99/33/99/1073993399.db2.gz XNTSDIUVIKDZSA-KKSFZXQISA-N 0 0 435.573 -0.018 20 0 IBADRN CCc1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001306197281 1073993280 /nfs/dbraw/zinc/99/32/80/1073993280.db2.gz DWQZBQSTEMVJLD-UHFFFAOYSA-N 0 0 429.529 -0.409 20 0 IBADRN CCc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1CCS(=O)(=O)N(C)C ZINC001306200335 1073993162 /nfs/dbraw/zinc/99/31/62/1073993162.db2.gz QYRNGYAEIKHFEV-UHFFFAOYSA-N 0 0 436.542 -0.448 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC(C)C)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001306202553 1073993311 /nfs/dbraw/zinc/99/33/11/1073993311.db2.gz PQJRTRWDCXADLR-UHFFFAOYSA-N 0 0 442.586 -0.573 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCS(=O)(=O)NC(C)C ZINC001306203529 1073993210 /nfs/dbraw/zinc/99/32/10/1073993210.db2.gz DMIGOWXOJDWFBT-UHFFFAOYSA-N 0 0 427.575 -0.431 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NCCOC)CC2)n1CCS(=O)(=O)C(C)(C)C ZINC001306204369 1073993462 /nfs/dbraw/zinc/99/34/62/1073993462.db2.gz QEAHBGSAPQHTSI-UHFFFAOYSA-N 0 0 444.602 -0.062 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCCN(C)S(=O)(=O)CC ZINC001306204424 1073993150 /nfs/dbraw/zinc/99/31/50/1073993150.db2.gz ZCEMLVWOSPKCEO-UHFFFAOYSA-N 0 0 429.591 -0.278 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001306205425 1073993438 /nfs/dbraw/zinc/99/34/38/1073993438.db2.gz UZYORYPRDVRXCN-UHFFFAOYSA-N 0 0 432.573 -0.595 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC(C)C)CC2)n1CCS(=O)(=O)NC(C)C ZINC001306205812 1073993194 /nfs/dbraw/zinc/99/31/94/1073993194.db2.gz XMPZEJXKICIQJE-UHFFFAOYSA-N 0 0 429.591 -0.185 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1CC(=O)NC(C)C ZINC001306210153 1073993241 /nfs/dbraw/zinc/99/32/41/1073993241.db2.gz ORKCNJKCKYGUSN-UHFFFAOYSA-N 0 0 438.558 -0.082 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1C[C@@]1(O)CCOC1 ZINC001306210786 1073993333 /nfs/dbraw/zinc/99/33/33/1073993333.db2.gz YAGFWPULLIRCTD-KRWDZBQOSA-N 0 0 426.499 -0.368 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1C[C@]1(O)CCOC1 ZINC001306210787 1073993456 /nfs/dbraw/zinc/99/34/56/1073993456.db2.gz YAGFWPULLIRCTD-QGZVFWFLSA-N 0 0 426.499 -0.368 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(CC(C)C)C[C@H]3C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001306211639 1073993785 /nfs/dbraw/zinc/99/37/85/1073993785.db2.gz JEZJCBZCZJZTNR-BEFAXECRSA-N 0 0 440.570 -0.028 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(CC(C)C)C[C@H]3C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001306211660 1073993638 /nfs/dbraw/zinc/99/36/38/1073993638.db2.gz JEZJCBZCZJZTNR-DNVCBOLYSA-N 0 0 440.570 -0.028 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(CC(C)C)C[C@@H]3C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001306211662 1073993683 /nfs/dbraw/zinc/99/36/83/1073993683.db2.gz JEZJCBZCZJZTNR-HNAYVOBHSA-N 0 0 440.570 -0.028 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(CC(C)C)C[C@@H]3C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001306211663 1073993643 /nfs/dbraw/zinc/99/36/43/1073993643.db2.gz JEZJCBZCZJZTNR-KXBFYZLASA-N 0 0 440.570 -0.028 20 0 IBADRN CCc1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001306212439 1073993826 /nfs/dbraw/zinc/99/38/26/1073993826.db2.gz JBYXZZWDXRFNLB-KRWDZBQOSA-N 0 0 439.498 -0.021 20 0 IBADRN CCc1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001306212440 1073993730 /nfs/dbraw/zinc/99/37/30/1073993730.db2.gz JBYXZZWDXRFNLB-QGZVFWFLSA-N 0 0 439.498 -0.021 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CCCOCC(F)F ZINC001306212991 1073993791 /nfs/dbraw/zinc/99/37/91/1073993791.db2.gz YBEXUBATFYTWAL-UHFFFAOYSA-N 0 0 442.471 -0.021 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(C(=O)NC(C)C)n1CCS(=O)(=O)CC1CC1 ZINC001306241462 1073993647 /nfs/dbraw/zinc/99/36/47/1073993647.db2.gz COUKKILUPSHTAM-AWEZNQCLSA-N 0 0 426.543 -0.044 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(C(=O)NC(C)C)n1CCS(=O)(=O)CC1CC1 ZINC001306241463 1073993689 /nfs/dbraw/zinc/99/36/89/1073993689.db2.gz COUKKILUPSHTAM-CQSZACIVSA-N 0 0 426.543 -0.044 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)C ZINC001306241843 1073993777 /nfs/dbraw/zinc/99/37/77/1073993777.db2.gz CTNAMEGLXMFAKX-CHWSQXEVSA-N 0 0 429.547 -0.482 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)C ZINC001306241844 1073993747 /nfs/dbraw/zinc/99/37/47/1073993747.db2.gz CTNAMEGLXMFAKX-OLZOCXBDSA-N 0 0 429.547 -0.482 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)C ZINC001306241845 1073993804 /nfs/dbraw/zinc/99/38/04/1073993804.db2.gz CTNAMEGLXMFAKX-QWHCGFSZSA-N 0 0 429.547 -0.482 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)C ZINC001306241846 1073993697 /nfs/dbraw/zinc/99/36/97/1073993697.db2.gz CTNAMEGLXMFAKX-STQMWFEESA-N 0 0 429.547 -0.482 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1CCC(=O)N1CCOCC1 ZINC001306241966 1073993832 /nfs/dbraw/zinc/99/38/32/1073993832.db2.gz JEMNXJCLZKXVAI-HNNXBMFYSA-N 0 0 433.513 -0.522 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1CCC(=O)N1CCOCC1 ZINC001306242067 1073993738 /nfs/dbraw/zinc/99/37/38/1073993738.db2.gz JEMNXJCLZKXVAI-OAHLLOKOSA-N 0 0 433.513 -0.522 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1CCCNS(=O)(=O)CC ZINC001306242161 1073994437 /nfs/dbraw/zinc/99/44/37/1073994437.db2.gz LGHWRTBMBCYHQX-AWEZNQCLSA-N 0 0 441.558 -0.442 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1CCCNS(=O)(=O)CC ZINC001306242162 1073994465 /nfs/dbraw/zinc/99/44/65/1073994465.db2.gz LGHWRTBMBCYHQX-CQSZACIVSA-N 0 0 441.558 -0.442 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1nnc2n1CCC2 ZINC001306242496 1073994459 /nfs/dbraw/zinc/99/44/59/1073994459.db2.gz HNTNQKBZLKDUIM-NEPJUHHUSA-N 0 0 437.530 -0.811 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1nnc2n1CCC2 ZINC001306242502 1073994392 /nfs/dbraw/zinc/99/43/92/1073994392.db2.gz HNTNQKBZLKDUIM-NWDGAFQWSA-N 0 0 437.530 -0.811 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1nnc2n1CCC2 ZINC001306242504 1073994411 /nfs/dbraw/zinc/99/44/11/1073994411.db2.gz HNTNQKBZLKDUIM-RYUDHWBXSA-N 0 0 437.530 -0.811 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1nnc2n1CCC2 ZINC001306242506 1073994400 /nfs/dbraw/zinc/99/44/00/1073994400.db2.gz HNTNQKBZLKDUIM-VXGBXAGGSA-N 0 0 437.530 -0.811 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1CCOCCS(C)(=O)=O ZINC001306243579 1073994388 /nfs/dbraw/zinc/99/43/88/1073994388.db2.gz PYHQDGJMMHQDND-AWEZNQCLSA-N 0 0 442.542 -0.710 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1CCOCCS(C)(=O)=O ZINC001306243580 1073994454 /nfs/dbraw/zinc/99/44/54/1073994454.db2.gz PYHQDGJMMHQDND-CQSZACIVSA-N 0 0 442.542 -0.710 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CC(=O)NCC1CC1 ZINC001306244477 1073994371 /nfs/dbraw/zinc/99/43/71/1073994371.db2.gz NGNMLMXLPKDHER-AAEUAGOBSA-N 0 0 427.531 -0.871 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CC(=O)NCC1CC1 ZINC001306244496 1073994469 /nfs/dbraw/zinc/99/44/69/1073994469.db2.gz NGNMLMXLPKDHER-DGCLKSJQSA-N 0 0 427.531 -0.871 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CC(=O)NCC1CC1 ZINC001306244499 1073994363 /nfs/dbraw/zinc/99/43/63/1073994363.db2.gz NGNMLMXLPKDHER-WCQYABFASA-N 0 0 427.531 -0.871 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CC(=O)NCC1CC1 ZINC001306244502 1073994424 /nfs/dbraw/zinc/99/44/24/1073994424.db2.gz NGNMLMXLPKDHER-YPMHNXCESA-N 0 0 427.531 -0.871 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)OCCOC)n1CCC(=O)N1CCOCC1 ZINC001306244844 1073994354 /nfs/dbraw/zinc/99/43/54/1073994354.db2.gz OQZXQSUYJOQZJA-CVEARBPZSA-N 0 0 438.529 -0.034 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)OCCOC)n1CCC(=O)N1CCOCC1 ZINC001306244845 1073994379 /nfs/dbraw/zinc/99/43/79/1073994379.db2.gz OQZXQSUYJOQZJA-HOTGVXAUSA-N 0 0 438.529 -0.034 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)OCCOC)n1CCC(=O)N1CCOCC1 ZINC001306244846 1073994444 /nfs/dbraw/zinc/99/44/44/1073994444.db2.gz OQZXQSUYJOQZJA-HZPDHXFCSA-N 0 0 438.529 -0.034 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)OCCOC)n1CCC(=O)N1CCOCC1 ZINC001306244847 1073994433 /nfs/dbraw/zinc/99/44/33/1073994433.db2.gz OQZXQSUYJOQZJA-JKSUJKDBSA-N 0 0 438.529 -0.034 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCCNC(=O)C(C)C ZINC001306245364 1073994429 /nfs/dbraw/zinc/99/44/29/1073994429.db2.gz QLABVUGTIFGZHD-KBPBESRZSA-N 0 0 443.574 -0.235 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCNC(=O)C(C)C ZINC001306245365 1073994475 /nfs/dbraw/zinc/99/44/75/1073994475.db2.gz QLABVUGTIFGZHD-KGLIPLIRSA-N 0 0 443.574 -0.235 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCCNC(=O)C(C)C ZINC001306245366 1073994416 /nfs/dbraw/zinc/99/44/16/1073994416.db2.gz QLABVUGTIFGZHD-UONOGXRCSA-N 0 0 443.574 -0.235 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCNC(=O)C(C)C ZINC001306245367 1073994450 /nfs/dbraw/zinc/99/44/50/1073994450.db2.gz QLABVUGTIFGZHD-ZIAGYGMSSA-N 0 0 443.574 -0.235 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CCC(=O)N2)n1CCN1CCN(C(C)=O)CC1 ZINC001306250962 1073994930 /nfs/dbraw/zinc/99/49/30/1073994930.db2.gz BKTWODVLJPVAGK-CVEARBPZSA-N 0 0 432.529 -0.892 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCN(C(C)=O)CC1 ZINC001306250963 1073994815 /nfs/dbraw/zinc/99/48/15/1073994815.db2.gz BKTWODVLJPVAGK-HOTGVXAUSA-N 0 0 432.529 -0.892 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCC(=O)N2)n1CCN1CCN(C(C)=O)CC1 ZINC001306250964 1073994801 /nfs/dbraw/zinc/99/48/01/1073994801.db2.gz BKTWODVLJPVAGK-HZPDHXFCSA-N 0 0 432.529 -0.892 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCN(C(C)=O)CC1 ZINC001306250965 1073994742 /nfs/dbraw/zinc/99/47/42/1073994742.db2.gz BKTWODVLJPVAGK-JKSUJKDBSA-N 0 0 432.529 -0.892 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(-c2cnccn2)n1CCN1CCN(C(C)=O)CC1 ZINC001306251432 1073994909 /nfs/dbraw/zinc/99/49/09/1073994909.db2.gz DWORWMRCQVGYAF-KRWDZBQOSA-N 0 0 427.513 -0.386 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(-c2cnccn2)n1CCN1CCN(C(C)=O)CC1 ZINC001306251433 1073994831 /nfs/dbraw/zinc/99/48/31/1073994831.db2.gz DWORWMRCQVGYAF-QGZVFWFLSA-N 0 0 427.513 -0.386 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCOC[C@@H]1C ZINC001306251524 1073994778 /nfs/dbraw/zinc/99/47/78/1073994778.db2.gz JXAYZYNVWAGRJP-ARFHVFGLSA-N 0 0 440.570 -0.384 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCOC[C@H]1C ZINC001306251525 1073994795 /nfs/dbraw/zinc/99/47/95/1073994795.db2.gz JXAYZYNVWAGRJP-BZUAXINKSA-N 0 0 440.570 -0.384 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCOC[C@@H]1C ZINC001306251526 1073994939 /nfs/dbraw/zinc/99/49/39/1073994939.db2.gz JXAYZYNVWAGRJP-HRCADAONSA-N 0 0 440.570 -0.384 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCOC[C@H]1C ZINC001306251527 1073994773 /nfs/dbraw/zinc/99/47/73/1073994773.db2.gz JXAYZYNVWAGRJP-OWCLPIDISA-N 0 0 440.570 -0.384 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(-c2cnn(C)c2)n1CCOCCS(C)(=O)=O ZINC001306251638 1073994783 /nfs/dbraw/zinc/99/47/83/1073994783.db2.gz HGLZXWRLWOWKGJ-AWEZNQCLSA-N 0 0 425.515 -0.545 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(-c2cnn(C)c2)n1CCOCCS(C)(=O)=O ZINC001306251639 1073994787 /nfs/dbraw/zinc/99/47/87/1073994787.db2.gz HGLZXWRLWOWKGJ-CQSZACIVSA-N 0 0 425.515 -0.545 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCc1cnn(C)n1 ZINC001306254427 1073994736 /nfs/dbraw/zinc/99/47/36/1073994736.db2.gz SVIQIAKGUDKJMD-DZGCQCFKSA-N 0 0 436.542 -0.344 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCc1cnn(C)n1 ZINC001306254428 1073994727 /nfs/dbraw/zinc/99/47/27/1073994727.db2.gz SVIQIAKGUDKJMD-HIFRSBDPSA-N 0 0 436.542 -0.344 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCc1cnn(C)n1 ZINC001306254429 1073994886 /nfs/dbraw/zinc/99/48/86/1073994886.db2.gz SVIQIAKGUDKJMD-UKRRQHHQSA-N 0 0 436.542 -0.344 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCc1cnn(C)n1 ZINC001306254430 1073994924 /nfs/dbraw/zinc/99/49/24/1073994924.db2.gz SVIQIAKGUDKJMD-ZFWWWQNUSA-N 0 0 436.542 -0.344 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(O)CCOCC1 ZINC001306255121 1073995333 /nfs/dbraw/zinc/99/53/33/1073995333.db2.gz WHTTZGUUTINPCS-KBPBESRZSA-N 0 0 427.527 -0.564 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(O)CCOCC1 ZINC001306255122 1073995367 /nfs/dbraw/zinc/99/53/67/1073995367.db2.gz WHTTZGUUTINPCS-KGLIPLIRSA-N 0 0 427.527 -0.564 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(O)CCOCC1 ZINC001306255442 1073995347 /nfs/dbraw/zinc/99/53/47/1073995347.db2.gz WHTTZGUUTINPCS-UONOGXRCSA-N 0 0 427.527 -0.564 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(O)CCOCC1 ZINC001306255444 1073995394 /nfs/dbraw/zinc/99/53/94/1073995394.db2.gz WHTTZGUUTINPCS-ZIAGYGMSSA-N 0 0 427.527 -0.564 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001306255599 1073995304 /nfs/dbraw/zinc/99/53/04/1073995304.db2.gz XIKDZLMGZRJJPX-BFHYXJOUSA-N 0 0 445.567 -0.670 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001306255600 1073995341 /nfs/dbraw/zinc/99/53/41/1073995341.db2.gz XIKDZLMGZRJJPX-HZSPNIEDSA-N 0 0 445.567 -0.670 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001306255601 1073995353 /nfs/dbraw/zinc/99/53/53/1073995353.db2.gz XIKDZLMGZRJJPX-MELADBBJSA-N 0 0 445.567 -0.670 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001306255602 1073995295 /nfs/dbraw/zinc/99/52/95/1073995295.db2.gz XIKDZLMGZRJJPX-MGPQQGTHSA-N 0 0 445.567 -0.670 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CC1CCS(=O)(=O)CC1 ZINC001306256629 1073995285 /nfs/dbraw/zinc/99/52/85/1073995285.db2.gz HFQRRMOZCHHVPP-HNNXBMFYSA-N 0 0 438.554 -0.060 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CC1CCS(=O)(=O)CC1 ZINC001306256630 1073995274 /nfs/dbraw/zinc/99/52/74/1073995274.db2.gz HFQRRMOZCHHVPP-OAHLLOKOSA-N 0 0 438.554 -0.060 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(Cc2ccncc2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001306257297 1073995314 /nfs/dbraw/zinc/99/53/14/1073995314.db2.gz YVTUZPLYCJCZTG-BEFAXECRSA-N 0 0 434.522 -0.472 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(Cc2ccncc2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001306257298 1073995374 /nfs/dbraw/zinc/99/53/74/1073995374.db2.gz YVTUZPLYCJCZTG-DNVCBOLYSA-N 0 0 434.522 -0.472 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(Cc2ccncc2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001306257299 1073995326 /nfs/dbraw/zinc/99/53/26/1073995326.db2.gz YVTUZPLYCJCZTG-HNAYVOBHSA-N 0 0 434.522 -0.472 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(Cc2ccncc2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001306257300 1073995360 /nfs/dbraw/zinc/99/53/60/1073995360.db2.gz YVTUZPLYCJCZTG-KXBFYZLASA-N 0 0 434.522 -0.472 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2OCC[C@H]2COC)n1CCNS(=O)(=O)CC ZINC001306262075 1073995408 /nfs/dbraw/zinc/99/54/08/1073995408.db2.gz XJYPRVWXPNCTSB-KKUMJFAQSA-N 0 0 444.558 -0.344 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2OCC[C@H]2COC)n1CCNS(=O)(=O)CC ZINC001306262076 1073995384 /nfs/dbraw/zinc/99/53/84/1073995384.db2.gz XJYPRVWXPNCTSB-ZNMIVQPWSA-N 0 0 444.558 -0.344 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CC[C@@H]3CC[C@H](C4OCCO4)O3)CC2)CC1 ZINC001306349239 1073995401 /nfs/dbraw/zinc/99/54/01/1073995401.db2.gz DKZNLYFDPYZDOY-DLBZAZTESA-N 0 0 446.570 -0.677 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CC[C@H]3CC[C@H](C4OCCO4)O3)CC2)CC1 ZINC001306349240 1073995261 /nfs/dbraw/zinc/99/52/61/1073995261.db2.gz DKZNLYFDPYZDOY-IAGOWNOFSA-N 0 0 446.570 -0.677 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CC[C@@H]3CC[C@@H](C4OCCO4)O3)CC2)CC1 ZINC001306349241 1073995307 /nfs/dbraw/zinc/99/53/07/1073995307.db2.gz DKZNLYFDPYZDOY-IRXDYDNUSA-N 0 0 446.570 -0.677 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)CC[C@H]3CC[C@@H](C4OCCO4)O3)CC2)CC1 ZINC001306349242 1073995321 /nfs/dbraw/zinc/99/53/21/1073995321.db2.gz DKZNLYFDPYZDOY-SJORKVTESA-N 0 0 446.570 -0.677 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)CCc3nc4c([nH]3)n(C)c(=O)[nH]c4=O)CC2)CC1 ZINC001306360993 1073995884 /nfs/dbraw/zinc/99/58/84/1073995884.db2.gz FQXCVAWJWIUIGA-UHFFFAOYSA-N 0 0 431.497 -0.693 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)N3CCC(C(=O)N4CCC(C(N)=O)CC4)CC3)CC2=O)cn1 ZINC001306376260 1073995851 /nfs/dbraw/zinc/99/58/51/1073995851.db2.gz BZLOGAUQBCXMEE-INIZCTEOSA-N 0 0 430.509 -0.265 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)N3CCC(C(=O)N4CCC(C(N)=O)CC4)CC3)CC2=O)cn1 ZINC001306376261 1073995867 /nfs/dbraw/zinc/99/58/67/1073995867.db2.gz BZLOGAUQBCXMEE-MRXNPFEDSA-N 0 0 430.509 -0.265 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)c3ccnc(N4CCOCC4)n3)CC2)CC1 ZINC001306376835 1073995864 /nfs/dbraw/zinc/99/58/64/1073995864.db2.gz HCSONFCKLJZDCZ-UHFFFAOYSA-N 0 0 430.509 -0.111 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)C1=O ZINC001306376886 1073995879 /nfs/dbraw/zinc/99/58/79/1073995879.db2.gz IFVRFLJSOJFYLH-NRFANRHFSA-N 0 0 433.509 -0.331 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)C1=O ZINC001306376901 1073995861 /nfs/dbraw/zinc/99/58/61/1073995861.db2.gz IFVRFLJSOJFYLH-OAQYLSRUSA-N 0 0 433.509 -0.331 20 0 IBADRN Cn1ncc2c1n(C)cc(C(=O)N1CCC(C(=O)N3CCC(C(N)=O)CC3)CC1)c2=O ZINC001306377986 1073995821 /nfs/dbraw/zinc/99/58/21/1073995821.db2.gz OYSIDSVHNGPVPQ-UHFFFAOYSA-N 0 0 428.493 -0.152 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001306378039 1073995856 /nfs/dbraw/zinc/99/58/56/1073995856.db2.gz QOXLZGDRZAYWQK-JOCHJYFZSA-N 0 0 432.521 -0.130 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001306378040 1073995891 /nfs/dbraw/zinc/99/58/91/1073995891.db2.gz QOXLZGDRZAYWQK-QFIPXVFZSA-N 0 0 432.521 -0.130 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCCNC(C)=O)CCO1 ZINC001306431845 1073995880 /nfs/dbraw/zinc/99/58/80/1073995880.db2.gz ADQWOULEKYQKPY-CVEARBPZSA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCCNC(C)=O)CCO1 ZINC001306431848 1073995844 /nfs/dbraw/zinc/99/58/44/1073995844.db2.gz ADQWOULEKYQKPY-HOTGVXAUSA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCCNC(C)=O)CCO1 ZINC001306431850 1073995858 /nfs/dbraw/zinc/99/58/58/1073995858.db2.gz ADQWOULEKYQKPY-HZPDHXFCSA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCCNC(C)=O)CCO1 ZINC001306431854 1073995902 /nfs/dbraw/zinc/99/59/02/1073995902.db2.gz ADQWOULEKYQKPY-JKSUJKDBSA-N 0 0 444.558 -0.248 20 0 IBADRN CC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001306433310 1073995895 /nfs/dbraw/zinc/99/58/95/1073995895.db2.gz HVVYJSDWMHYPFC-KBPBESRZSA-N 0 0 435.572 -0.313 20 0 IBADRN CC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001306433311 1073995839 /nfs/dbraw/zinc/99/58/39/1073995839.db2.gz HVVYJSDWMHYPFC-KGLIPLIRSA-N 0 0 435.572 -0.313 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001306433312 1073995873 /nfs/dbraw/zinc/99/58/73/1073995873.db2.gz HVVYJSDWMHYPFC-UONOGXRCSA-N 0 0 435.572 -0.313 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001306433313 1073995875 /nfs/dbraw/zinc/99/58/75/1073995875.db2.gz HVVYJSDWMHYPFC-ZIAGYGMSSA-N 0 0 435.572 -0.313 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCCOCC3)CC2)cc1 ZINC001306441014 1073995869 /nfs/dbraw/zinc/99/58/69/1073995869.db2.gz XXQBAHYFVQXENR-UHFFFAOYSA-N 0 0 431.536 -0.016 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)[C@H]1c1cccc(F)c1 ZINC001306449467 1073995899 /nfs/dbraw/zinc/99/58/99/1073995899.db2.gz AAWNAGPBPLYRQP-DODZYUBVSA-N 0 0 439.509 -0.353 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)[C@H]1c1cccc(F)c1 ZINC001306449478 1073995831 /nfs/dbraw/zinc/99/58/31/1073995831.db2.gz AAWNAGPBPLYRQP-IMBTUZDBSA-N 0 0 439.509 -0.353 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)[C@H]1c1cccc(F)c1 ZINC001306449481 1073996379 /nfs/dbraw/zinc/99/63/79/1073996379.db2.gz AAWNAGPBPLYRQP-LSTDLKDCSA-N 0 0 439.509 -0.353 20 0 IBADRN CN1C(=O)C[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)[C@H]1c1cccc(F)c1 ZINC001306449484 1073996390 /nfs/dbraw/zinc/99/63/90/1073996390.db2.gz AAWNAGPBPLYRQP-MJQMVNBJSA-N 0 0 439.509 -0.353 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)n1 ZINC001306449795 1073996343 /nfs/dbraw/zinc/99/63/43/1073996343.db2.gz BIGZRYAWNDQMFK-NEPJUHHUSA-N 0 0 437.927 -0.946 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)n1 ZINC001306449806 1073996432 /nfs/dbraw/zinc/99/64/32/1073996432.db2.gz BIGZRYAWNDQMFK-NWDGAFQWSA-N 0 0 437.927 -0.946 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)n1 ZINC001306449809 1073996420 /nfs/dbraw/zinc/99/64/20/1073996420.db2.gz BIGZRYAWNDQMFK-RYUDHWBXSA-N 0 0 437.927 -0.946 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)n1 ZINC001306449812 1073996384 /nfs/dbraw/zinc/99/63/84/1073996384.db2.gz BIGZRYAWNDQMFK-VXGBXAGGSA-N 0 0 437.927 -0.946 20 0 IBADRN Cn1c(C(F)(F)F)nc2cncc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c21 ZINC001306451338 1073996427 /nfs/dbraw/zinc/99/64/27/1073996427.db2.gz GCRFABRJOWXRLO-CHWSQXEVSA-N 0 0 447.439 -0.097 20 0 IBADRN Cn1c(C(F)(F)F)nc2cncc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c21 ZINC001306451340 1073996321 /nfs/dbraw/zinc/99/63/21/1073996321.db2.gz GCRFABRJOWXRLO-OLZOCXBDSA-N 0 0 447.439 -0.097 20 0 IBADRN Cn1c(C(F)(F)F)nc2cncc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c21 ZINC001306451342 1073996284 /nfs/dbraw/zinc/99/62/84/1073996284.db2.gz GCRFABRJOWXRLO-QWHCGFSZSA-N 0 0 447.439 -0.097 20 0 IBADRN Cn1c(C(F)(F)F)nc2cncc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c21 ZINC001306451344 1073996328 /nfs/dbraw/zinc/99/63/28/1073996328.db2.gz GCRFABRJOWXRLO-STQMWFEESA-N 0 0 447.439 -0.097 20 0 IBADRN O=C(c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1)N1CCOCC1 ZINC001306454820 1073996396 /nfs/dbraw/zinc/99/63/96/1073996396.db2.gz VHJSHWLLQMYDEC-MSOLQXFVSA-N 0 0 437.518 -0.925 20 0 IBADRN O=C(c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1)N1CCOCC1 ZINC001306455204 1073996374 /nfs/dbraw/zinc/99/63/74/1073996374.db2.gz VHJSHWLLQMYDEC-QZTJIDSGSA-N 0 0 437.518 -0.925 20 0 IBADRN O=C(c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1)N1CCOCC1 ZINC001306455205 1073996367 /nfs/dbraw/zinc/99/63/67/1073996367.db2.gz VHJSHWLLQMYDEC-ROUUACIJSA-N 0 0 437.518 -0.925 20 0 IBADRN O=C(c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1)N1CCOCC1 ZINC001306455206 1073996301 /nfs/dbraw/zinc/99/63/01/1073996301.db2.gz VHJSHWLLQMYDEC-ZWKOTPCHSA-N 0 0 437.518 -0.925 20 0 IBADRN CC(C)(C)OC(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)nc1 ZINC001306455247 1073996360 /nfs/dbraw/zinc/99/63/60/1073996360.db2.gz WQTVUZRWBRSVRO-CVEARBPZSA-N 0 0 425.507 -0.047 20 0 IBADRN CC(C)(C)OC(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)nc1 ZINC001306455248 1073996413 /nfs/dbraw/zinc/99/64/13/1073996413.db2.gz WQTVUZRWBRSVRO-HOTGVXAUSA-N 0 0 425.507 -0.047 20 0 IBADRN CC(C)(C)OC(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)nc1 ZINC001306455249 1073996440 /nfs/dbraw/zinc/99/64/40/1073996440.db2.gz WQTVUZRWBRSVRO-HZPDHXFCSA-N 0 0 425.507 -0.047 20 0 IBADRN CC(C)(C)OC(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)nc1 ZINC001306455250 1073996405 /nfs/dbraw/zinc/99/64/05/1073996405.db2.gz WQTVUZRWBRSVRO-JKSUJKDBSA-N 0 0 425.507 -0.047 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1C ZINC001306455273 1073996352 /nfs/dbraw/zinc/99/63/52/1073996352.db2.gz XNNOBFCXWAKWTI-BHIYHBOVSA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1C ZINC001306455274 1073996336 /nfs/dbraw/zinc/99/63/36/1073996336.db2.gz XNNOBFCXWAKWTI-NEWSRXKRSA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)CC2=O)cc1C ZINC001306455275 1073996785 /nfs/dbraw/zinc/99/67/85/1073996785.db2.gz XNNOBFCXWAKWTI-NZSAHSFTSA-N 0 0 435.546 -0.042 20 0 IBADRN Cc1ccc(N2C[C@H](C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)CC2=O)cc1C ZINC001306455276 1073996790 /nfs/dbraw/zinc/99/67/90/1073996790.db2.gz XNNOBFCXWAKWTI-QRQLOZEOSA-N 0 0 435.546 -0.042 20 0 IBADRN CCCC(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2Cc2nnn(C)n2)CC1 ZINC001306517655 1073996803 /nfs/dbraw/zinc/99/68/03/1073996803.db2.gz WPXJEMLQHQFOGQ-UHFFFAOYSA-N 0 0 430.517 -0.143 20 0 IBADRN CCC[C@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCNS(C)(=O)=O ZINC001306539344 1073996753 /nfs/dbraw/zinc/99/67/53/1073996753.db2.gz QCOJGXVZTJEUBQ-BBRMVZONSA-N 0 0 437.588 -0.283 20 0 IBADRN CCC[C@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCNS(C)(=O)=O ZINC001306539345 1073996789 /nfs/dbraw/zinc/99/67/89/1073996789.db2.gz QCOJGXVZTJEUBQ-CJNGLKHVSA-N 0 0 437.588 -0.283 20 0 IBADRN CCC[C@@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCNS(C)(=O)=O ZINC001306539346 1073996792 /nfs/dbraw/zinc/99/67/92/1073996792.db2.gz QCOJGXVZTJEUBQ-CZUORRHYSA-N 0 0 437.588 -0.283 20 0 IBADRN CCC[C@@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCNS(C)(=O)=O ZINC001306539347 1073996758 /nfs/dbraw/zinc/99/67/58/1073996758.db2.gz QCOJGXVZTJEUBQ-XJKSGUPXSA-N 0 0 437.588 -0.283 20 0 IBADRN CCC[C@@](C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001306539834 1073996776 /nfs/dbraw/zinc/99/67/76/1073996776.db2.gz AGECTBTTXZWRSA-HRAATJIYSA-N 0 0 427.509 -0.038 20 0 IBADRN CCC[C@](C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001306539835 1073996768 /nfs/dbraw/zinc/99/67/68/1073996768.db2.gz AGECTBTTXZWRSA-IERDGZPVSA-N 0 0 427.509 -0.038 20 0 IBADRN CCC[C@@](C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001306539836 1073996746 /nfs/dbraw/zinc/99/67/46/1073996746.db2.gz AGECTBTTXZWRSA-IIBYNOLFSA-N 0 0 427.509 -0.038 20 0 IBADRN CCC[C@](C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001306539837 1073996783 /nfs/dbraw/zinc/99/67/83/1073996783.db2.gz AGECTBTTXZWRSA-KKSFZXQISA-N 0 0 427.509 -0.038 20 0 IBADRN CCC[C@@](C)(O)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001306548492 1073996800 /nfs/dbraw/zinc/99/68/00/1073996800.db2.gz MGWADYONVGBLBV-HRAATJIYSA-N 0 0 444.540 -0.162 20 0 IBADRN CCC[C@](C)(O)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001306548493 1073996799 /nfs/dbraw/zinc/99/67/99/1073996799.db2.gz MGWADYONVGBLBV-IERDGZPVSA-N 0 0 444.540 -0.162 20 0 IBADRN CCC[C@@](C)(O)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001306548494 1073996796 /nfs/dbraw/zinc/99/67/96/1073996796.db2.gz MGWADYONVGBLBV-IIBYNOLFSA-N 0 0 444.540 -0.162 20 0 IBADRN CCC[C@](C)(O)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001306548495 1073996772 /nfs/dbraw/zinc/99/67/72/1073996772.db2.gz MGWADYONVGBLBV-KKSFZXQISA-N 0 0 444.540 -0.162 20 0 IBADRN CC(C)(C)OC(=O)Cn1cccc(C(=O)N2CCN(CCS(N)(=O)=O)CC2)c1=O ZINC001306660805 1073996786 /nfs/dbraw/zinc/99/67/86/1073996786.db2.gz HDBHBECHNKGRPN-UHFFFAOYSA-N 0 0 428.511 -0.764 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(C(=O)N1CCN(CCS(N)(=O)=O)CC1)=NO2 ZINC001306661147 1073996778 /nfs/dbraw/zinc/99/67/78/1073996778.db2.gz JKLIJDJLLGTOTR-UHFFFAOYSA-N 0 0 431.515 -0.815 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(CCOCC2)[C@@H]1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC001306662002 1073996763 /nfs/dbraw/zinc/99/67/63/1073996763.db2.gz RZDLSWPIWBXRNO-HNNXBMFYSA-N 0 0 446.570 -0.165 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(CCOCC2)[C@H]1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC001306662004 1073996781 /nfs/dbraw/zinc/99/67/81/1073996781.db2.gz RZDLSWPIWBXRNO-OAHLLOKOSA-N 0 0 446.570 -0.165 20 0 IBADRN CCCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCNS(C)(=O)=O ZINC001306674446 1073996793 /nfs/dbraw/zinc/99/67/93/1073996793.db2.gz ATJDNOBNUDDFPW-CYBMUJFWSA-N 0 0 438.576 -0.604 20 0 IBADRN CCCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCNS(C)(=O)=O ZINC001306674447 1073997311 /nfs/dbraw/zinc/99/73/11/1073997311.db2.gz ATJDNOBNUDDFPW-ZDUSSCGKSA-N 0 0 438.576 -0.604 20 0 IBADRN CO[C@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC001306679020 1073997142 /nfs/dbraw/zinc/99/71/42/1073997142.db2.gz LQMTVFNKGKLJCU-AWEZNQCLSA-N 0 0 431.536 -0.018 20 0 IBADRN CN(CC(C)(C)O)C(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001306689902 1073997253 /nfs/dbraw/zinc/99/72/53/1073997253.db2.gz QVXQJRQDLFVZJD-UHFFFAOYSA-N 0 0 433.552 -0.036 20 0 IBADRN CCCCn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001306698959 1073997269 /nfs/dbraw/zinc/99/72/69/1073997269.db2.gz JKSLCCQESVZQTL-UHFFFAOYSA-N 0 0 435.529 -0.276 20 0 IBADRN CCCCn1c(C(=O)NC(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001306699166 1073997290 /nfs/dbraw/zinc/99/72/90/1073997290.db2.gz MSDIZFMXJWDFNL-UHFFFAOYSA-N 0 0 433.517 -0.317 20 0 IBADRN CCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001306701040 1073997218 /nfs/dbraw/zinc/99/72/18/1073997218.db2.gz NVDINQOQSUYJEB-AWEZNQCLSA-N 0 0 443.574 -0.138 20 0 IBADRN CCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001306701041 1073997298 /nfs/dbraw/zinc/99/72/98/1073997298.db2.gz NVDINQOQSUYJEB-CQSZACIVSA-N 0 0 443.574 -0.138 20 0 IBADRN CCCCn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001306705140 1073997338 /nfs/dbraw/zinc/99/73/38/1073997338.db2.gz GTLNTVMKBXJSDO-UHFFFAOYSA-N 0 0 430.513 -0.014 20 0 IBADRN CCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001306709286 1073997228 /nfs/dbraw/zinc/99/72/28/1073997228.db2.gz OYBVQOHDQPZUNI-KBPBESRZSA-N 0 0 427.531 -0.375 20 0 IBADRN CCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001306709287 1073997348 /nfs/dbraw/zinc/99/73/48/1073997348.db2.gz OYBVQOHDQPZUNI-KGLIPLIRSA-N 0 0 427.531 -0.375 20 0 IBADRN CCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001306709288 1073997238 /nfs/dbraw/zinc/99/72/38/1073997238.db2.gz OYBVQOHDQPZUNI-UONOGXRCSA-N 0 0 427.531 -0.375 20 0 IBADRN CCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001306709289 1073997181 /nfs/dbraw/zinc/99/71/81/1073997181.db2.gz OYBVQOHDQPZUNI-ZIAGYGMSSA-N 0 0 427.531 -0.375 20 0 IBADRN CCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC001306710135 1073997326 /nfs/dbraw/zinc/99/73/26/1073997326.db2.gz SMPDDUPWAUOELA-INIZCTEOSA-N 0 0 442.586 -0.135 20 0 IBADRN CCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC001306710141 1073997280 /nfs/dbraw/zinc/99/72/80/1073997280.db2.gz SMPDDUPWAUOELA-MRXNPFEDSA-N 0 0 442.586 -0.135 20 0 IBADRN CCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001306775586 1073997331 /nfs/dbraw/zinc/99/73/31/1073997331.db2.gz NMVRTUFOIOVRRC-QWHCGFSZSA-N 0 0 443.530 -0.555 20 0 IBADRN CCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001306775596 1073997352 /nfs/dbraw/zinc/99/73/52/1073997352.db2.gz NMVRTUFOIOVRRC-STQMWFEESA-N 0 0 443.530 -0.555 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CC[C@@H](CO)C3)CC2)cc1 ZINC001306818235 1073997891 /nfs/dbraw/zinc/99/78/91/1073997891.db2.gz UYILLOQEHGEVSR-CYBMUJFWSA-N 0 0 431.536 -0.425 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CC[C@H](CO)C3)CC2)cc1 ZINC001306818236 1073997857 /nfs/dbraw/zinc/99/78/57/1073997857.db2.gz UYILLOQEHGEVSR-ZDUSSCGKSA-N 0 0 431.536 -0.425 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)CN1CCN(Cc2ccc(Br)cc2F)CC1 ZINC001306828066 1073997970 /nfs/dbraw/zinc/99/79/70/1073997970.db2.gz RKFYHDBDOMSEAV-HNNXBMFYSA-N 0 0 431.306 -0.071 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)CN1CCN(Cc2ccc(Br)cc2F)CC1 ZINC001306828067 1073997960 /nfs/dbraw/zinc/99/79/60/1073997960.db2.gz RKFYHDBDOMSEAV-OAHLLOKOSA-N 0 0 431.306 -0.071 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCC(NS(=O)(=O)NC)CC2)cc1 ZINC001306836454 1073997871 /nfs/dbraw/zinc/99/78/71/1073997871.db2.gz LIAKMLNVRWUQKK-UHFFFAOYSA-N 0 0 434.540 -0.592 20 0 IBADRN C[C@H]1[C@@H](O)CCN1C(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001306841728 1073997811 /nfs/dbraw/zinc/99/78/11/1073997811.db2.gz JCFVEMISHQOOFM-LRDDRELGSA-N 0 0 431.536 -0.284 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3C[C@@H]4C(=O)NC(=O)[C@@H]4C3)nc2n(CC)c1=O ZINC001306845056 1073997792 /nfs/dbraw/zinc/99/77/92/1073997792.db2.gz KAQHAXPYDZGHFN-TXEJJXNPSA-N 0 0 428.449 -0.313 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H](CO)[C@@H](C)O)CC2)cc1 ZINC001306854280 1073997757 /nfs/dbraw/zinc/99/77/57/1073997757.db2.gz DRNARSTZSCTOAU-RHSMWYFYSA-N 0 0 443.522 -0.834 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCn2cnccc2=O)cc1 ZINC001306859948 1073997932 /nfs/dbraw/zinc/99/79/32/1073997932.db2.gz BGPZJVVELJWYDM-UHFFFAOYSA-N 0 0 435.506 -0.180 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCN(CC(F)(F)CO)CC3)nc2n(C)c1=O ZINC001306868096 1073997729 /nfs/dbraw/zinc/99/77/29/1073997729.db2.gz XTLAWGQQYPVLQG-UHFFFAOYSA-N 0 0 440.451 -0.238 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCC(O)(CO)CC2)cc1 ZINC001306871098 1073997770 /nfs/dbraw/zinc/99/77/70/1073997770.db2.gz ACKYAVPZAMDFCE-UHFFFAOYSA-N 0 0 427.523 -0.207 20 0 IBADRN C[C@@H]1CN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CCN1S(C)(=O)=O ZINC001306876482 1073997949 /nfs/dbraw/zinc/99/79/49/1073997949.db2.gz ZFHJZKRPJCYODJ-GFCCVEGCSA-N 0 0 438.510 -0.517 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc1 ZINC001306877152 1073997904 /nfs/dbraw/zinc/99/79/04/1073997904.db2.gz LXQMDQBRQAQCJS-XHSDSOJGSA-N 0 0 432.520 -0.366 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N3CC(NS(C)(=O)=O)C3)nc2n(CC)c1=O ZINC001306877309 1073997843 /nfs/dbraw/zinc/99/78/43/1073997843.db2.gz PAWMSDRMUMPEFR-UHFFFAOYSA-N 0 0 438.510 -0.284 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H](C)C(=O)N(C)OC)cc1 ZINC001306883862 1073997880 /nfs/dbraw/zinc/99/78/80/1073997880.db2.gz JMZZSUHQUDCNLH-CYBMUJFWSA-N 0 0 428.511 -0.029 20 0 IBADRN COC(=O)C1(O)CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2N2CCOCC2)C1 ZINC001306888425 1073998456 /nfs/dbraw/zinc/99/84/56/1073998456.db2.gz OTLLBHUUZUZNRL-UHFFFAOYSA-N 0 0 427.479 -0.867 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CC[C@@H]4CS(=O)(=O)C[C@H]4C3)nc2n(C)c1=O ZINC001306895396 1073998330 /nfs/dbraw/zinc/99/83/30/1073998330.db2.gz XNHOYACBPYPCQH-CHWSQXEVSA-N 0 0 435.506 -0.116 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CC[C@@H]4CS(=O)(=O)C[C@@H]4C3)nc2n(C)c1=O ZINC001306895397 1073998325 /nfs/dbraw/zinc/99/83/25/1073998325.db2.gz XNHOYACBPYPCQH-OLZOCXBDSA-N 0 0 435.506 -0.116 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CC[C@H]4CS(=O)(=O)C[C@H]4C3)nc2n(C)c1=O ZINC001306895398 1073998428 /nfs/dbraw/zinc/99/84/28/1073998428.db2.gz XNHOYACBPYPCQH-QWHCGFSZSA-N 0 0 435.506 -0.116 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CC[C@H]4CS(=O)(=O)C[C@@H]4C3)nc2n(C)c1=O ZINC001306895399 1073998283 /nfs/dbraw/zinc/99/82/83/1073998283.db2.gz XNHOYACBPYPCQH-STQMWFEESA-N 0 0 435.506 -0.116 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3C[C@H]4CS(=O)(=O)C[C@@]4(C)C3)nc2n(C)c1=O ZINC001306900527 1073998354 /nfs/dbraw/zinc/99/83/54/1073998354.db2.gz RGGSNWPDJFOXAA-HXPMCKFVSA-N 0 0 435.506 -0.116 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1 ZINC001306901019 1073998294 /nfs/dbraw/zinc/99/82/94/1073998294.db2.gz WOKHPZMOWXZDQT-AWEZNQCLSA-N 0 0 425.507 -0.147 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1 ZINC001306901038 1073998450 /nfs/dbraw/zinc/99/84/50/1073998450.db2.gz WOKHPZMOWXZDQT-CQSZACIVSA-N 0 0 425.507 -0.147 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NCCS(=O)(=O)N3CCCC3)nc2n(C)c1=O ZINC001306901880 1073998304 /nfs/dbraw/zinc/99/83/04/1073998304.db2.gz OKOFJJHIRMRYPQ-UHFFFAOYSA-N 0 0 438.510 -0.468 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NC(=O)CNS(=O)(=O)c2ccc(OCC)cc2)C1 ZINC001306903755 1073998344 /nfs/dbraw/zinc/99/83/44/1073998344.db2.gz BPQYPIOKSHUITC-BBRMVZONSA-N 0 0 429.495 -0.071 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)CNS(=O)(=O)c2ccc(OCC)cc2)[C@@H](CO)C1 ZINC001306903756 1073998337 /nfs/dbraw/zinc/99/83/37/1073998337.db2.gz BPQYPIOKSHUITC-CJNGLKHVSA-N 0 0 429.495 -0.071 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)CNS(=O)(=O)c2ccc(OCC)cc2)C1 ZINC001306903757 1073998349 /nfs/dbraw/zinc/99/83/49/1073998349.db2.gz BPQYPIOKSHUITC-CZUORRHYSA-N 0 0 429.495 -0.071 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@H](NC(=O)CNS(=O)(=O)c2ccc(OCC)cc2)C1 ZINC001306903758 1073998311 /nfs/dbraw/zinc/99/83/11/1073998311.db2.gz BPQYPIOKSHUITC-XJKSGUPXSA-N 0 0 429.495 -0.071 20 0 IBADRN CCOC(=O)CCc1cc(N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nc(C)n1 ZINC001306927202 1073998465 /nfs/dbraw/zinc/99/84/65/1073998465.db2.gz IBMOWWVZKJOONM-UHFFFAOYSA-N 0 0 440.570 -0.105 20 0 IBADRN CCOC(=O)c1cnc(CC)nc1N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001306929081 1073998433 /nfs/dbraw/zinc/99/84/33/1073998433.db2.gz XYWOOVIMSLIEMR-UHFFFAOYSA-N 0 0 426.543 -0.170 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(c2cc(=O)n(C)c(=O)[nH]2)CC1 ZINC001306938728 1073998400 /nfs/dbraw/zinc/99/84/00/1073998400.db2.gz WNJSTBHIRSZDPP-UHFFFAOYSA-N 0 0 442.519 -0.291 20 0 IBADRN CCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001307009227 1073998415 /nfs/dbraw/zinc/99/84/15/1073998415.db2.gz APHLAXGCYVNKHO-UHFFFAOYSA-N 0 0 433.513 -0.569 20 0 IBADRN CCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001307014426 1073998879 /nfs/dbraw/zinc/99/88/79/1073998879.db2.gz LVMXOWVJJILGRX-AWEZNQCLSA-N 0 0 429.547 -0.128 20 0 IBADRN CCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001307014445 1073998863 /nfs/dbraw/zinc/99/88/63/1073998863.db2.gz LVMXOWVJJILGRX-CQSZACIVSA-N 0 0 429.547 -0.128 20 0 IBADRN CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001307024560 1073998927 /nfs/dbraw/zinc/99/89/27/1073998927.db2.gz UKQNBCXTNASDDK-AWEZNQCLSA-N 0 0 426.543 -0.237 20 0 IBADRN CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001307024562 1073998920 /nfs/dbraw/zinc/99/89/20/1073998920.db2.gz UKQNBCXTNASDDK-CQSZACIVSA-N 0 0 426.543 -0.237 20 0 IBADRN CCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001307024920 1073998940 /nfs/dbraw/zinc/99/89/40/1073998940.db2.gz NEBJMXGPSGHBMN-KBPBESRZSA-N 0 0 427.531 -0.423 20 0 IBADRN CCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001307024925 1073998885 /nfs/dbraw/zinc/99/88/85/1073998885.db2.gz NEBJMXGPSGHBMN-KGLIPLIRSA-N 0 0 427.531 -0.423 20 0 IBADRN CCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001307024927 1073998795 /nfs/dbraw/zinc/99/87/95/1073998795.db2.gz NEBJMXGPSGHBMN-UONOGXRCSA-N 0 0 427.531 -0.423 20 0 IBADRN CCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001307024939 1073998789 /nfs/dbraw/zinc/99/87/89/1073998789.db2.gz NEBJMXGPSGHBMN-ZIAGYGMSSA-N 0 0 427.531 -0.423 20 0 IBADRN CCCN1CCCN(c2nnc(C(=O)NC(C)C)n2CCCNS(C)(=O)=O)CC1=O ZINC001307061592 1073998969 /nfs/dbraw/zinc/99/89/69/1073998969.db2.gz KBWOMZLHNVENSU-UHFFFAOYSA-N 0 0 443.574 -0.196 20 0 IBADRN Cc1ncc(I)c(N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)n1 ZINC001307087317 1073998872 /nfs/dbraw/zinc/99/88/72/1073998872.db2.gz RZTMXUIENOFUPY-NEPJUHHUSA-N 0 0 438.291 -0.330 20 0 IBADRN Cc1ncc(I)c(N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)n1 ZINC001307087318 1073998805 /nfs/dbraw/zinc/99/88/05/1073998805.db2.gz RZTMXUIENOFUPY-NWDGAFQWSA-N 0 0 438.291 -0.330 20 0 IBADRN Cc1ncc(I)c(N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)n1 ZINC001307087319 1073998950 /nfs/dbraw/zinc/99/89/50/1073998950.db2.gz RZTMXUIENOFUPY-RYUDHWBXSA-N 0 0 438.291 -0.330 20 0 IBADRN Cc1ncc(I)c(N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)n1 ZINC001307087320 1073999485 /nfs/dbraw/zinc/99/94/85/1073999485.db2.gz RZTMXUIENOFUPY-VXGBXAGGSA-N 0 0 438.291 -0.330 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1cnc(I)nc1)C2 ZINC001307094656 1073999489 /nfs/dbraw/zinc/99/94/89/1073999489.db2.gz OPQISYZXQCSSJI-UHFFFAOYSA-N 0 0 429.222 -0.452 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCCC2)C1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001307238064 1073999453 /nfs/dbraw/zinc/99/94/53/1073999453.db2.gz SSULJHBNSNHEHW-HNNXBMFYSA-N 0 0 426.539 -0.038 20 0 IBADRN O=C(CN1C(=O)NC2(CCCCCC2)C1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001307238065 1073999537 /nfs/dbraw/zinc/99/95/37/1073999537.db2.gz SSULJHBNSNHEHW-OAHLLOKOSA-N 0 0 426.539 -0.038 20 0 IBADRN CN(C)C(=O)CN(CC(F)(F)F)C(=O)Cn1cc(S(=O)(=O)N(C)C)ccc1=O ZINC001307263796 1073841334 /nfs/dbraw/zinc/84/13/34/1073841334.db2.gz IZKDXZJXSIAFJZ-UHFFFAOYSA-N 0 0 426.417 -0.422 20 0 IBADRN Cc1cc(NC(=O)CN2C(=O)NC3(CCOCC3)C2=O)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001307299725 1073999424 /nfs/dbraw/zinc/99/94/24/1073999424.db2.gz JDVFHZJASOGFMQ-GFCCVEGCSA-N 0 0 425.467 -0.409 20 0 IBADRN Cc1cc(NC(=O)CN2C(=O)NC3(CCOCC3)C2=O)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001307299726 1073999540 /nfs/dbraw/zinc/99/95/40/1073999540.db2.gz JDVFHZJASOGFMQ-LBPRGKRZSA-N 0 0 425.467 -0.409 20 0 IBADRN O=C1NC2(CCS(=O)(=O)CC2)C(=O)N1CCN1C(=O)c2ccccc2S1(=O)=O ZINC001307301256 1073999446 /nfs/dbraw/zinc/99/94/46/1073999446.db2.gz FOWRRSHOSNUQKB-UHFFFAOYSA-N 0 0 427.460 -0.670 20 0 IBADRN C[C@@]1(c2cccnc2)NC(=O)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001307310460 1073999531 /nfs/dbraw/zinc/99/95/31/1073999531.db2.gz PCZOABGMLKXVBG-BEFAXECRSA-N 0 0 435.506 -0.820 20 0 IBADRN C[C@]1(c2cccnc2)NC(=O)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001307310461 1073999415 /nfs/dbraw/zinc/99/94/15/1073999415.db2.gz PCZOABGMLKXVBG-DNVCBOLYSA-N 0 0 435.506 -0.820 20 0 IBADRN C[C@]1(c2cccnc2)NC(=O)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001307310462 1073999542 /nfs/dbraw/zinc/99/95/42/1073999542.db2.gz PCZOABGMLKXVBG-HNAYVOBHSA-N 0 0 435.506 -0.820 20 0 IBADRN C[C@@]1(c2cccnc2)NC(=O)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001307310463 1073999519 /nfs/dbraw/zinc/99/95/19/1073999519.db2.gz PCZOABGMLKXVBG-KXBFYZLASA-N 0 0 435.506 -0.820 20 0 IBADRN CC[C@@]1(c2cnn(C)c2)NC(=O)N(CC(=O)NC2CCN(S(C)(=O)=O)CC2)C1=O ZINC001307319295 1073999403 /nfs/dbraw/zinc/99/94/03/1073999403.db2.gz CPKWVEARQIADHA-KRWDZBQOSA-N 0 0 426.499 -0.883 20 0 IBADRN CC[C@]1(c2cnn(C)c2)NC(=O)N(CC(=O)NC2CCN(S(C)(=O)=O)CC2)C1=O ZINC001307319300 1073999505 /nfs/dbraw/zinc/99/95/05/1073999505.db2.gz CPKWVEARQIADHA-QGZVFWFLSA-N 0 0 426.499 -0.883 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001307334326 1073999434 /nfs/dbraw/zinc/99/94/34/1073999434.db2.gz NCBTWIIRTGFTKB-NEPJUHHUSA-N 0 0 435.549 -0.329 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001307334330 1073999515 /nfs/dbraw/zinc/99/95/15/1073999515.db2.gz NCBTWIIRTGFTKB-NWDGAFQWSA-N 0 0 435.549 -0.329 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001307334333 1073999510 /nfs/dbraw/zinc/99/95/10/1073999510.db2.gz NCBTWIIRTGFTKB-RYUDHWBXSA-N 0 0 435.549 -0.329 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001307334343 1073999462 /nfs/dbraw/zinc/99/94/62/1073999462.db2.gz NCBTWIIRTGFTKB-VXGBXAGGSA-N 0 0 435.549 -0.329 20 0 IBADRN C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001307334623 1073999526 /nfs/dbraw/zinc/99/95/26/1073999526.db2.gz VEBPAQQTWOXQOK-GFCCVEGCSA-N 0 0 444.535 -0.377 20 0 IBADRN C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001307334624 1074000129 /nfs/dbraw/zinc/00/01/29/1074000129.db2.gz VEBPAQQTWOXQOK-LBPRGKRZSA-N 0 0 444.535 -0.377 20 0 IBADRN O=C(CN1C(=O)[C@H]2CSCN2C1=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001307334723 1074000052 /nfs/dbraw/zinc/00/00/52/1074000052.db2.gz RIGCEWHXQIONLT-CYBMUJFWSA-N 0 0 442.494 -0.004 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CSCN2C1=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001307334725 1074000140 /nfs/dbraw/zinc/00/01/40/1074000140.db2.gz RIGCEWHXQIONLT-ZDUSSCGKSA-N 0 0 442.494 -0.004 20 0 IBADRN CC(C)N(CCCNC(=O)Cn1nc(C(F)F)c(S(C)(=O)=O)n1)S(C)(=O)=O ZINC001307351338 1074000118 /nfs/dbraw/zinc/00/01/18/1074000118.db2.gz UZYONSAAICHRSX-UHFFFAOYSA-N 0 0 431.487 -0.205 20 0 IBADRN O=C(Cn1cnc2c(c1=O)COCC2)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001307364718 1074000006 /nfs/dbraw/zinc/00/00/06/1074000006.db2.gz AQPGPOYDEAUTTN-UHFFFAOYSA-N 0 0 436.465 -0.012 20 0 IBADRN C[C@@]1(c2nccs2)NC(=O)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001307367807 1074000013 /nfs/dbraw/zinc/00/00/13/1074000013.db2.gz ZAYHYKBMDXBNLL-PXAZEXFGSA-N 0 0 441.535 -0.759 20 0 IBADRN C[C@@]1(c2nccs2)NC(=O)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001307367808 1074000045 /nfs/dbraw/zinc/00/00/45/1074000045.db2.gz ZAYHYKBMDXBNLL-SJCJKPOMSA-N 0 0 441.535 -0.759 20 0 IBADRN C[C@]1(c2nccs2)NC(=O)N(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001307367809 1074000074 /nfs/dbraw/zinc/00/00/74/1074000074.db2.gz ZAYHYKBMDXBNLL-SJKOYZFVSA-N 0 0 441.535 -0.759 20 0 IBADRN C[C@]1(c2nccs2)NC(=O)N(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001307367810 1073999995 /nfs/dbraw/zinc/99/99/95/1073999995.db2.gz ZAYHYKBMDXBNLL-YVEFUNNKSA-N 0 0 441.535 -0.759 20 0 IBADRN Cn1c2nc(Br)n(CC(=O)N3CCN(C(=O)C4CC4)CC3)c2c(=O)[nH]c1=O ZINC001307385329 1073999980 /nfs/dbraw/zinc/99/99/80/1073999980.db2.gz ABHQIVCITWBDIT-UHFFFAOYSA-N 0 0 439.270 -0.321 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)N3CCCC[C@H]3CS2(=O)=O)CC1 ZINC001307386796 1074000151 /nfs/dbraw/zinc/00/01/51/1074000151.db2.gz RNQQEXWPYHVQRB-HNNXBMFYSA-N 0 0 443.570 -0.335 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)CN2C(=O)N3CCCC[C@@H]3CS2(=O)=O)CC1 ZINC001307386798 1074000062 /nfs/dbraw/zinc/00/00/62/1074000062.db2.gz RNQQEXWPYHVQRB-OAHLLOKOSA-N 0 0 443.570 -0.335 20 0 IBADRN CCCCn1c(=O)cc(O)nc1SCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001307406476 1074000085 /nfs/dbraw/zinc/00/00/85/1074000085.db2.gz ZVFWWFFIPHQGID-AWEZNQCLSA-N 0 0 444.579 -0.034 20 0 IBADRN CCCCn1c(=O)cc(O)nc1SCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001307406477 1073999928 /nfs/dbraw/zinc/99/99/28/1073999928.db2.gz ZVFWWFFIPHQGID-CQSZACIVSA-N 0 0 444.579 -0.034 20 0 IBADRN Cc1ncn(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(=O)c1Br ZINC001307410162 1074000039 /nfs/dbraw/zinc/00/00/39/1074000039.db2.gz JIRMWFBTNWFSRL-GFCCVEGCSA-N 0 0 433.328 -0.354 20 0 IBADRN Cc1ncn(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(=O)c1Br ZINC001307410163 1073999951 /nfs/dbraw/zinc/99/99/51/1073999951.db2.gz JIRMWFBTNWFSRL-LBPRGKRZSA-N 0 0 433.328 -0.354 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)cn1 ZINC001307416747 1074000095 /nfs/dbraw/zinc/00/00/95/1074000095.db2.gz PFHNKUPSHVBUSK-UHFFFAOYSA-N 0 0 426.543 -0.866 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)CC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001307448320 1074000372 /nfs/dbraw/zinc/00/03/72/1074000372.db2.gz SSTBNOLULQWCNC-UHFFFAOYSA-N 0 0 446.570 -0.740 20 0 IBADRN Cn1ncc(I)c1CN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001307461446 1074000409 /nfs/dbraw/zinc/00/04/09/1074000409.db2.gz MJTIHZCHHUESQV-UHFFFAOYSA-N 0 0 445.261 -0.182 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)s1 ZINC001307461457 1074000483 /nfs/dbraw/zinc/00/04/83/1074000483.db2.gz MXARBLFIUNTNTF-UHFFFAOYSA-N 0 0 428.536 -0.208 20 0 IBADRN NS(=O)(=O)c1cc(Cl)cc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC001307462716 1074000520 /nfs/dbraw/zinc/00/05/20/1074000520.db2.gz WRIMWRRJMZHNIY-UHFFFAOYSA-N 0 0 428.898 -0.219 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(CC3(O)CCS(=O)(=O)CC3)CC2)c1 ZINC001307478248 1074000436 /nfs/dbraw/zinc/00/04/36/1074000436.db2.gz GHQJNTDZOYCONG-UHFFFAOYSA-N 0 0 430.548 -0.212 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(CC(=O)NC1CCN(S(C)(=O)=O)CC1)CC2 ZINC001307533887 1074000510 /nfs/dbraw/zinc/00/05/10/1074000510.db2.gz UUQYMCYOMMEZRH-UHFFFAOYSA-N 0 0 444.579 -0.113 20 0 IBADRN Cc1cc(C(=O)NC2CCN(CC(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC001307535890 1074000385 /nfs/dbraw/zinc/00/03/85/1074000385.db2.gz KVFJAWWDZUGBRP-UHFFFAOYSA-N 0 0 427.527 -0.283 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CCC(=O)N3CCCC3)CC2)cc1 ZINC001307538101 1074000400 /nfs/dbraw/zinc/00/04/00/1074000400.db2.gz BRNBWJCQUJOQCI-UHFFFAOYSA-N 0 0 430.552 -0.347 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)cc1 ZINC001307538245 1074000492 /nfs/dbraw/zinc/00/04/92/1074000492.db2.gz DGUQMQZWTBJQFZ-HNNXBMFYSA-N 0 0 437.565 -0.783 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)cc1 ZINC001307538253 1074000460 /nfs/dbraw/zinc/00/04/60/1074000460.db2.gz DGUQMQZWTBJQFZ-OAHLLOKOSA-N 0 0 437.565 -0.783 20 0 IBADRN CCn1nc(C)c(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC001307538357 1074000378 /nfs/dbraw/zinc/00/03/78/1074000378.db2.gz FQUKNHFGHBVCHO-UHFFFAOYSA-N 0 0 428.540 -0.240 20 0 IBADRN CO[C@@H](CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)[C@@H]1CCOC1 ZINC001307538804 1074000541 /nfs/dbraw/zinc/00/05/41/1074000541.db2.gz LQZQRNBIGNLXLS-PBHICJAKSA-N 0 0 433.552 -0.308 20 0 IBADRN CO[C@H](CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)[C@@H]1CCOC1 ZINC001307538812 1074000392 /nfs/dbraw/zinc/00/03/92/1074000392.db2.gz LQZQRNBIGNLXLS-RHSMWYFYSA-N 0 0 433.552 -0.308 20 0 IBADRN CO[C@H](CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)[C@H]1CCOC1 ZINC001307538813 1074000447 /nfs/dbraw/zinc/00/04/47/1074000447.db2.gz LQZQRNBIGNLXLS-WMLDXEAASA-N 0 0 433.552 -0.308 20 0 IBADRN CO[C@@H](CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)[C@H]1CCOC1 ZINC001307538814 1074000468 /nfs/dbraw/zinc/00/04/68/1074000468.db2.gz LQZQRNBIGNLXLS-YOEHRIQHSA-N 0 0 433.552 -0.308 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(CC3(O)CCSCC3)CC2)cc1 ZINC001307539443 1074000359 /nfs/dbraw/zinc/00/03/59/1074000359.db2.gz QYPSMZOUAJSZRJ-UHFFFAOYSA-N 0 0 435.593 -0.102 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3noc4c3COCC4)CC2)cc1 ZINC001307540092 1074000531 /nfs/dbraw/zinc/00/05/31/1074000531.db2.gz WMTBQPGNBJRMDR-UHFFFAOYSA-N 0 0 442.519 -0.099 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(Cc3nnc4c(=O)[nH]ccn34)CC2)cc1 ZINC001307543660 1074000418 /nfs/dbraw/zinc/00/04/18/1074000418.db2.gz POGXUUKQZVBUNK-UHFFFAOYSA-N 0 0 431.522 -0.178 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001307545424 1074000426 /nfs/dbraw/zinc/00/04/26/1074000426.db2.gz OFXARTLQCXIQMQ-CVEARBPZSA-N 0 0 440.570 -0.715 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001307545428 1074000500 /nfs/dbraw/zinc/00/05/00/1074000500.db2.gz OFXARTLQCXIQMQ-HOTGVXAUSA-N 0 0 440.570 -0.715 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001307545429 1074000774 /nfs/dbraw/zinc/00/07/74/1074000774.db2.gz OFXARTLQCXIQMQ-HZPDHXFCSA-N 0 0 440.570 -0.715 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001307545430 1074000809 /nfs/dbraw/zinc/00/08/09/1074000809.db2.gz OFXARTLQCXIQMQ-JKSUJKDBSA-N 0 0 440.570 -0.715 20 0 IBADRN O=C(CN1CCN(CCc2nc3ccccc3c(=O)[nH]2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001307546698 1074000922 /nfs/dbraw/zinc/00/09/22/1074000922.db2.gz YHBUXBIMNRJNID-HNNXBMFYSA-N 0 0 433.534 -0.201 20 0 IBADRN O=C(CN1CCN(CCc2nc3ccccc3c(=O)[nH]2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001307546699 1074000736 /nfs/dbraw/zinc/00/07/36/1074000736.db2.gz YHBUXBIMNRJNID-OAHLLOKOSA-N 0 0 433.534 -0.201 20 0 IBADRN O=C(CN1CCN([C@H]2CCN(c3ccc(F)cc3)C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001307546729 1074000782 /nfs/dbraw/zinc/00/07/82/1074000782.db2.gz YWUNSRNAQKIMCO-AEFFLSMTSA-N 0 0 438.525 -0.148 20 0 IBADRN O=C(CN1CCN([C@@H]2CCN(c3ccc(F)cc3)C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001307546730 1074000982 /nfs/dbraw/zinc/00/09/82/1074000982.db2.gz YWUNSRNAQKIMCO-FUHWJXTLSA-N 0 0 438.525 -0.148 20 0 IBADRN O=C(CN1CCN([C@@H]2CCN(c3ccc(F)cc3)C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001307546731 1074001039 /nfs/dbraw/zinc/00/10/39/1074001039.db2.gz YWUNSRNAQKIMCO-SJLPKXTDSA-N 0 0 438.525 -0.148 20 0 IBADRN O=C(CN1CCN([C@H]2CCN(c3ccc(F)cc3)C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001307546732 1074000961 /nfs/dbraw/zinc/00/09/61/1074000961.db2.gz YWUNSRNAQKIMCO-WMZOPIPTSA-N 0 0 438.525 -0.148 20 0 IBADRN CN1CCN(C(=O)CC2CCN(CC(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)CC1 ZINC001307551572 1074000856 /nfs/dbraw/zinc/00/08/56/1074000856.db2.gz JIYLLXQUSSTXHT-UHFFFAOYSA-N 0 0 443.614 -0.597 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C[C@H](O)C2(O)CCC2)CC1 ZINC001307558318 1074000975 /nfs/dbraw/zinc/00/09/75/1074000975.db2.gz AMSGOEQQEYUKRB-KRWDZBQOSA-N 0 0 432.564 -0.019 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C[C@@H](O)C2(O)CCC2)CC1 ZINC001307558319 1074000845 /nfs/dbraw/zinc/00/08/45/1074000845.db2.gz AMSGOEQQEYUKRB-QGZVFWFLSA-N 0 0 432.564 -0.019 20 0 IBADRN O=S(=O)(c1ccc(N2CCN(C[C@@H](O)CC3(O)CCC3)CC2)nc1)N1CCOCC1 ZINC001307565375 1074000790 /nfs/dbraw/zinc/00/07/90/1074000790.db2.gz LVDOEUDSZYRUEA-KRWDZBQOSA-N 0 0 440.566 -0.110 20 0 IBADRN O=S(=O)(c1ccc(N2CCN(C[C@H](O)CC3(O)CCC3)CC2)nc1)N1CCOCC1 ZINC001307565378 1074001021 /nfs/dbraw/zinc/00/10/21/1074001021.db2.gz LVDOEUDSZYRUEA-QGZVFWFLSA-N 0 0 440.566 -0.110 20 0 IBADRN O=C(N1CCc2ccc([C@@H](O)CN3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2C1)C(F)(F)F ZINC001307565688 1074000930 /nfs/dbraw/zinc/00/09/30/1074000930.db2.gz FNDAESPHTHAPRU-CVEARBPZSA-N 0 0 440.422 -0.190 20 0 IBADRN O=C(N1CCc2ccc([C@@H](O)CN3CCN4C(=O)C(=O)NC[C@H]4C3)cc2C1)C(F)(F)F ZINC001307565694 1074000753 /nfs/dbraw/zinc/00/07/53/1074000753.db2.gz FNDAESPHTHAPRU-HOTGVXAUSA-N 0 0 440.422 -0.190 20 0 IBADRN O=C(N1CCc2ccc([C@H](O)CN3CCN4C(=O)C(=O)NC[C@@H]4C3)cc2C1)C(F)(F)F ZINC001307565697 1074000817 /nfs/dbraw/zinc/00/08/17/1074000817.db2.gz FNDAESPHTHAPRU-HZPDHXFCSA-N 0 0 440.422 -0.190 20 0 IBADRN O=C(N1CCc2ccc([C@H](O)CN3CCN4C(=O)C(=O)NC[C@H]4C3)cc2C1)C(F)(F)F ZINC001307565699 1074000993 /nfs/dbraw/zinc/00/09/93/1074000993.db2.gz FNDAESPHTHAPRU-JKSUJKDBSA-N 0 0 440.422 -0.190 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC(C)(C)O1 ZINC001307571522 1074000745 /nfs/dbraw/zinc/00/07/45/1074000745.db2.gz KAZVNKUHFZDMFP-CABCVRRESA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@H]1CN(C(=O)CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC(C)(C)O1 ZINC001307571523 1074001349 /nfs/dbraw/zinc/00/13/49/1074001349.db2.gz KAZVNKUHFZDMFP-GJZGRUSLSA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@@H]1CN(C(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC(C)(C)O1 ZINC001307571524 1074001435 /nfs/dbraw/zinc/00/14/35/1074001435.db2.gz KAZVNKUHFZDMFP-HUUCEWRRSA-N 0 0 437.584 -0.853 20 0 IBADRN C[C@H]1CN(C(=O)CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC(C)(C)O1 ZINC001307571525 1074001386 /nfs/dbraw/zinc/00/13/86/1074001386.db2.gz KAZVNKUHFZDMFP-LSDHHAIUSA-N 0 0 437.584 -0.853 20 0 IBADRN CS(=O)(=O)c1ccc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001307572104 1074001364 /nfs/dbraw/zinc/00/13/64/1074001364.db2.gz VBOZSERMFAKIPY-CYBMUJFWSA-N 0 0 426.538 -0.682 20 0 IBADRN CS(=O)(=O)c1ccc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001307572105 1074001263 /nfs/dbraw/zinc/00/12/63/1074001263.db2.gz VBOZSERMFAKIPY-ZDUSSCGKSA-N 0 0 426.538 -0.682 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)C[C@H](O)c2ccccc2)CC1 ZINC001307572108 1074001428 /nfs/dbraw/zinc/00/14/28/1074001428.db2.gz VJRNUWPJQUWNLA-BZSNNMDCSA-N 0 0 432.564 -0.395 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)C[C@@H](O)c2ccccc2)CC1 ZINC001307572109 1074001368 /nfs/dbraw/zinc/00/13/68/1074001368.db2.gz VJRNUWPJQUWNLA-FGTMMUONSA-N 0 0 432.564 -0.395 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@@H](O)C[C@@H](O)c2ccccc2)CC1 ZINC001307572110 1074001276 /nfs/dbraw/zinc/00/12/76/1074001276.db2.gz VJRNUWPJQUWNLA-OKZBNKHCSA-N 0 0 432.564 -0.395 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C[C@H](O)C[C@H](O)c2ccccc2)CC1 ZINC001307572111 1074001340 /nfs/dbraw/zinc/00/13/40/1074001340.db2.gz VJRNUWPJQUWNLA-SQNIBIBYSA-N 0 0 432.564 -0.395 20 0 IBADRN COCCCS(=O)(=O)N1CCN(CCS(=O)(=O)CC(=O)OC(C)(C)C)CC1 ZINC001307575020 1074001303 /nfs/dbraw/zinc/00/13/03/1074001303.db2.gz UHIIVONYUVMMPO-UHFFFAOYSA-N 0 0 428.573 -0.273 20 0 IBADRN CC(C)(C)OC(=O)N1CCC([C@H](O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC001307598891 1074001391 /nfs/dbraw/zinc/00/13/91/1074001391.db2.gz DCRSFDYMZLTUGY-KSZLIROESA-N 0 0 447.598 -0.230 20 0 IBADRN CC(C)(C)OC(=O)N1CCC([C@H](O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC001307598897 1074001287 /nfs/dbraw/zinc/00/12/87/1074001287.db2.gz DCRSFDYMZLTUGY-KURKYZTESA-N 0 0 447.598 -0.230 20 0 IBADRN CC(C)(C)OC(=O)N1CCC([C@H](O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC001307598898 1074001422 /nfs/dbraw/zinc/00/14/22/1074001422.db2.gz DCRSFDYMZLTUGY-KZNAEPCWSA-N 0 0 447.598 -0.230 20 0 IBADRN CC(C)(C)OC(=O)N1CCC([C@H](O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC001307598908 1074001414 /nfs/dbraw/zinc/00/14/14/1074001414.db2.gz DCRSFDYMZLTUGY-RCCFBDPRSA-N 0 0 447.598 -0.230 20 0 IBADRN Cc1nc(-c2ccc(C)cc2NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)n[nH]1 ZINC001307599729 1074001322 /nfs/dbraw/zinc/00/13/22/1074001322.db2.gz SHFRXJIVYHJPGT-MSOLQXFVSA-N 0 0 448.549 -0.197 20 0 IBADRN Cc1nc(-c2ccc(C)cc2NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)n[nH]1 ZINC001307599730 1074001398 /nfs/dbraw/zinc/00/13/98/1074001398.db2.gz SHFRXJIVYHJPGT-QZTJIDSGSA-N 0 0 448.549 -0.197 20 0 IBADRN Cc1nc(-c2ccc(C)cc2NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)n[nH]1 ZINC001307599731 1074001313 /nfs/dbraw/zinc/00/13/13/1074001313.db2.gz SHFRXJIVYHJPGT-ROUUACIJSA-N 0 0 448.549 -0.197 20 0 IBADRN Cc1nc(-c2ccc(C)cc2NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)n[nH]1 ZINC001307599732 1074001330 /nfs/dbraw/zinc/00/13/30/1074001330.db2.gz SHFRXJIVYHJPGT-ZWKOTPCHSA-N 0 0 448.549 -0.197 20 0 IBADRN CC(C)(C)OC(=O)CS(=O)(=O)CCN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001307604459 1074001379 /nfs/dbraw/zinc/00/13/79/1074001379.db2.gz VGLHZUWHOUPFRG-HNNXBMFYSA-N 0 0 440.584 -0.131 20 0 IBADRN CC(C)(C)OC(=O)CS(=O)(=O)CCN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001307604466 1074001407 /nfs/dbraw/zinc/00/14/07/1074001407.db2.gz VGLHZUWHOUPFRG-OAHLLOKOSA-N 0 0 440.584 -0.131 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001307644836 1074001815 /nfs/dbraw/zinc/00/18/15/1074001815.db2.gz HHRPEDQQBHIUEX-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001307644837 1074001666 /nfs/dbraw/zinc/00/16/66/1074001666.db2.gz HHRPEDQQBHIUEX-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN CN(C)C(=O)CN(CC(F)(F)F)C(=O)CN1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001307678167 1074001708 /nfs/dbraw/zinc/00/17/08/1074001708.db2.gz DXDNOSNSJHABHZ-UHFFFAOYSA-N 0 0 440.448 -0.805 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001307681416 1074001652 /nfs/dbraw/zinc/00/16/52/1074001652.db2.gz YAMWZAOSNBUMQZ-UHFFFAOYSA-N 0 0 441.535 -0.564 20 0 IBADRN COC(=O)[C@H](NCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CC1 ZINC001307686068 1074001722 /nfs/dbraw/zinc/00/17/22/1074001722.db2.gz ZONKJFSYDBVBJC-GOSISDBHSA-N 0 0 425.507 -0.135 20 0 IBADRN COC(=O)[C@@H](NCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CC1 ZINC001307686069 1074001787 /nfs/dbraw/zinc/00/17/87/1074001787.db2.gz ZONKJFSYDBVBJC-SFHVURJKSA-N 0 0 425.507 -0.135 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1ccc(S(=O)(=O)NC3CC3)cc1)C2 ZINC001307686378 1074001622 /nfs/dbraw/zinc/00/16/22/1074001622.db2.gz KPOJUFDRBMRCEJ-UHFFFAOYSA-N 0 0 434.522 -0.411 20 0 IBADRN O=C(OCc1ccccc1)N1CCN(S(=O)(=O)CCN2C[C@@H](O)C[C@H]2CO)CC1 ZINC001307711441 1074001771 /nfs/dbraw/zinc/00/17/71/1074001771.db2.gz UOACLUPFDKFROI-ROUUACIJSA-N 0 0 427.523 -0.302 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN(S(=O)(=O)NC(C)C)CC2)c1 ZINC001307765739 1074001733 /nfs/dbraw/zinc/00/17/33/1074001733.db2.gz DFMBRYOKQAERNW-UHFFFAOYSA-N 0 0 440.505 -0.091 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC001307766823 1074001608 /nfs/dbraw/zinc/00/16/08/1074001608.db2.gz NOJOLWNWNQUZQZ-UHFFFAOYSA-N 0 0 447.583 -0.264 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)N2CCC(C(=O)N(C)C(C)C)CC2)CC1 ZINC001307767249 1074001635 /nfs/dbraw/zinc/00/16/35/1074001635.db2.gz SYVAXRYYGXNLPV-UHFFFAOYSA-N 0 0 431.603 -0.048 20 0 IBADRN C[C@@H](C(=O)NC(=O)NCc1ccccc1)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001307770187 1074001979 /nfs/dbraw/zinc/00/19/79/1074001979.db2.gz NYNZMTOUYXFLIN-AWEZNQCLSA-N 0 0 445.567 -0.009 20 0 IBADRN C[C@H](C(=O)NC(=O)NCc1ccccc1)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001307770193 1074002021 /nfs/dbraw/zinc/00/20/21/1074002021.db2.gz NYNZMTOUYXFLIN-CQSZACIVSA-N 0 0 445.567 -0.009 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(CC(=O)NCCOc2cccc(F)c2)CC1 ZINC001307770655 1074002226 /nfs/dbraw/zinc/00/22/26/1074002226.db2.gz RNQKKKCFOAVXCC-UHFFFAOYSA-N 0 0 436.531 -0.089 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CN2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1 ZINC001307771025 1074002277 /nfs/dbraw/zinc/00/22/77/1074002277.db2.gz WMNWKNKGERVUJY-INIZCTEOSA-N 0 0 440.588 -0.052 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](O)(CN2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1 ZINC001307771026 1074002009 /nfs/dbraw/zinc/00/20/09/1074002009.db2.gz WMNWKNKGERVUJY-MRXNPFEDSA-N 0 0 440.588 -0.052 20 0 IBADRN COC(=O)C1(NCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CCOCC1 ZINC001307771378 1074002096 /nfs/dbraw/zinc/00/20/96/1074002096.db2.gz QFJZJNHMANZLPC-UHFFFAOYSA-N 0 0 425.507 -0.169 20 0 IBADRN C[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC(=O)N1 ZINC001307787643 1074002186 /nfs/dbraw/zinc/00/21/86/1074002186.db2.gz HLHGYVHEKJPMMH-AWEZNQCLSA-N 0 0 438.506 -0.889 20 0 IBADRN C[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC(=O)N1 ZINC001307787644 1074002113 /nfs/dbraw/zinc/00/21/13/1074002113.db2.gz HLHGYVHEKJPMMH-CQSZACIVSA-N 0 0 438.506 -0.889 20 0 IBADRN COC(=O)/C=C1/SCC(=O)N1CCCN1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001307795481 1074002219 /nfs/dbraw/zinc/00/22/19/1074002219.db2.gz ZQQYOTPJJCRISK-JLHYYAGUSA-N 0 0 425.554 -0.291 20 0 IBADRN COC(=O)/C=C1\SCC(=O)N1CCCN1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001307795482 1074002165 /nfs/dbraw/zinc/00/21/65/1074002165.db2.gz ZQQYOTPJJCRISK-RAXLEYEMSA-N 0 0 425.554 -0.291 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)[C@@H]1CCC(=O)N(C)C1 ZINC001307797923 1074002452 /nfs/dbraw/zinc/00/24/52/1074002452.db2.gz DVRGGRMJKSVANL-QGZVFWFLSA-N 0 0 438.550 -0.124 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(NC(=O)CN2CCN(S(=O)(=O)NC)CC2)c1 ZINC001307809812 1074002610 /nfs/dbraw/zinc/00/26/10/1074002610.db2.gz CZRSUUBJELJZIW-UHFFFAOYSA-N 0 0 447.583 -0.263 20 0 IBADRN CCn1c(CN2CCN(S(=O)(=O)NC)CC2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001307809960 1074002686 /nfs/dbraw/zinc/00/26/86/1074002686.db2.gz FTSIMWSAKFAOLO-UHFFFAOYSA-N 0 0 444.583 -0.112 20 0 IBADRN CNS(=O)(=O)N1CCN([C@@H](C)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC001307811135 1074002649 /nfs/dbraw/zinc/00/26/49/1074002649.db2.gz RZQLKNRMXYJFHY-KRWDZBQOSA-N 0 0 439.582 -0.395 20 0 IBADRN CNS(=O)(=O)N1CCN([C@H](C)C(=O)NCc2ccc(CN3CCOCC3)cc2)CC1 ZINC001307811136 1074002666 /nfs/dbraw/zinc/00/26/66/1074002666.db2.gz RZQLKNRMXYJFHY-QGZVFWFLSA-N 0 0 439.582 -0.395 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)Nc2ccc(S(=O)(=O)C(F)F)cc2)CC1 ZINC001307811280 1074002553 /nfs/dbraw/zinc/00/25/53/1074002553.db2.gz TUVXWWZWRPAWKG-UHFFFAOYSA-N 0 0 426.467 -0.297 20 0 IBADRN COC(=O)[C@](C)(CO)NCC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC001307825424 1074002619 /nfs/dbraw/zinc/00/26/19/1074002619.db2.gz JNFHTYXEUJGLCB-KRWDZBQOSA-N 0 0 449.913 -0.187 20 0 IBADRN COC(=O)[C@@](C)(CO)NCC(=O)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC001307825425 1074002636 /nfs/dbraw/zinc/00/26/36/1074002636.db2.gz JNFHTYXEUJGLCB-QGZVFWFLSA-N 0 0 449.913 -0.187 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCS(=O)(=O)[C@H]2COCC[C@H]21)S(C)(=O)=O ZINC001307830144 1074002679 /nfs/dbraw/zinc/00/26/79/1074002679.db2.gz CTLBECUEMPWLFF-CABCVRRESA-N 0 0 425.573 -0.949 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCS(=O)(=O)[C@H]2COCC[C@@H]21)S(C)(=O)=O ZINC001307830145 1074002539 /nfs/dbraw/zinc/00/25/39/1074002539.db2.gz CTLBECUEMPWLFF-GJZGRUSLSA-N 0 0 425.573 -0.949 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCS(=O)(=O)[C@@H]2COCC[C@H]21)S(C)(=O)=O ZINC001307830146 1074002629 /nfs/dbraw/zinc/00/26/29/1074002629.db2.gz CTLBECUEMPWLFF-HUUCEWRRSA-N 0 0 425.573 -0.949 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCS(=O)(=O)[C@@H]2COCC[C@@H]21)S(C)(=O)=O ZINC001307830147 1074002643 /nfs/dbraw/zinc/00/26/43/1074002643.db2.gz CTLBECUEMPWLFF-LSDHHAIUSA-N 0 0 425.573 -0.949 20 0 IBADRN O=C(CN1CCS(=O)(=O)[C@H]2COCC[C@@H]21)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC001307830467 1074002522 /nfs/dbraw/zinc/00/25/22/1074002522.db2.gz JUFZIRLTIQFFKB-BBRMVZONSA-N 0 0 425.463 -0.006 20 0 IBADRN O=C(CN1CCS(=O)(=O)[C@H]2COCC[C@H]21)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC001307830468 1074002580 /nfs/dbraw/zinc/00/25/80/1074002580.db2.gz JUFZIRLTIQFFKB-CJNGLKHVSA-N 0 0 425.463 -0.006 20 0 IBADRN O=C(CN1CCS(=O)(=O)[C@@H]2COCC[C@H]21)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC001307830469 1074002549 /nfs/dbraw/zinc/00/25/49/1074002549.db2.gz JUFZIRLTIQFFKB-CZUORRHYSA-N 0 0 425.463 -0.006 20 0 IBADRN O=C(CN1CCS(=O)(=O)[C@@H]2COCC[C@@H]21)NC(=O)Nc1ccc2c(c1)OCCO2 ZINC001307830470 1074002729 /nfs/dbraw/zinc/00/27/29/1074002729.db2.gz JUFZIRLTIQFFKB-XJKSGUPXSA-N 0 0 425.463 -0.006 20 0 IBADRN CS(C)(=O)=NC(=O)[C@@H]1CCCN1CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC001307830989 1074003172 /nfs/dbraw/zinc/00/31/72/1074003172.db2.gz QZKNTEPVUCSNMH-HNNXBMFYSA-N 0 0 428.536 -0.058 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001307832038 1074003051 /nfs/dbraw/zinc/00/30/51/1074003051.db2.gz HRZAGZASZVMBFT-DOTOQJQBSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001307832043 1074003270 /nfs/dbraw/zinc/00/32/70/1074003270.db2.gz HRZAGZASZVMBFT-NVXWUHKLSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001307832044 1074002943 /nfs/dbraw/zinc/00/29/43/1074002943.db2.gz HRZAGZASZVMBFT-RDJZCZTQSA-N 0 0 425.507 -0.054 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001307832045 1074003180 /nfs/dbraw/zinc/00/31/80/1074003180.db2.gz HRZAGZASZVMBFT-WBVHZDCISA-N 0 0 425.507 -0.054 20 0 IBADRN NS(=O)(=O)C1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCCCC2)C1 ZINC001307833505 1074003282 /nfs/dbraw/zinc/00/32/82/1074003282.db2.gz NPPFTHAAHNOINY-UHFFFAOYSA-N 0 0 430.552 -0.550 20 0 IBADRN C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001307834740 1074002996 /nfs/dbraw/zinc/00/29/96/1074002996.db2.gz JNZRVVNDQXXDMF-CHWSQXEVSA-N 0 0 430.502 -0.104 20 0 IBADRN C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001307834750 1074002958 /nfs/dbraw/zinc/00/29/58/1074002958.db2.gz JNZRVVNDQXXDMF-OLZOCXBDSA-N 0 0 430.502 -0.104 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001307834752 1074003191 /nfs/dbraw/zinc/00/31/91/1074003191.db2.gz JNZRVVNDQXXDMF-QWHCGFSZSA-N 0 0 430.502 -0.104 20 0 IBADRN C[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001307834755 1074003093 /nfs/dbraw/zinc/00/30/93/1074003093.db2.gz JNZRVVNDQXXDMF-STQMWFEESA-N 0 0 430.502 -0.104 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC001307835000 1074003060 /nfs/dbraw/zinc/00/30/60/1074003060.db2.gz KUYOUMUCJMTSPY-HNNXBMFYSA-N 0 0 429.539 -0.492 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC001307835001 1074003118 /nfs/dbraw/zinc/00/31/18/1074003118.db2.gz KUYOUMUCJMTSPY-OAHLLOKOSA-N 0 0 429.539 -0.492 20 0 IBADRN CCN(C(=O)CN1CCN(CCO)C[C@@H]1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC001307837487 1074003067 /nfs/dbraw/zinc/00/30/67/1074003067.db2.gz WIZRHMAVQFRIAT-INIZCTEOSA-N 0 0 444.536 -0.069 20 0 IBADRN CCN(C(=O)CN1CCN(CCO)C[C@H]1C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC001307837492 1074003297 /nfs/dbraw/zinc/00/32/97/1074003297.db2.gz WIZRHMAVQFRIAT-MRXNPFEDSA-N 0 0 444.536 -0.069 20 0 IBADRN C[C@H]1CN(CCO)CCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001307837702 1074003148 /nfs/dbraw/zinc/00/31/48/1074003148.db2.gz ZBJBJKCAGJJHNR-INIZCTEOSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@@H]1CN(CCO)CCN1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001307837705 1074003245 /nfs/dbraw/zinc/00/32/45/1074003245.db2.gz ZBJBJKCAGJJHNR-MRXNPFEDSA-N 0 0 426.539 -0.356 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@H](CO)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001307848864 1074003024 /nfs/dbraw/zinc/00/30/24/1074003024.db2.gz KEMOPNBWUZSQHI-AZUAARDMSA-N 0 0 437.562 -0.317 20 0 IBADRN O=C(CN1CC[C@H](O)[C@H](CO)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001307848865 1074003126 /nfs/dbraw/zinc/00/31/26/1074003126.db2.gz KEMOPNBWUZSQHI-ICSRJNTNSA-N 0 0 437.562 -0.317 20 0 IBADRN O=C(CN1CC[C@H](O)[C@@H](CO)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001307848866 1074003216 /nfs/dbraw/zinc/00/32/16/1074003216.db2.gz KEMOPNBWUZSQHI-QUCCMNQESA-N 0 0 437.562 -0.317 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@@H](CO)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001307848867 1074003325 /nfs/dbraw/zinc/00/33/25/1074003325.db2.gz KEMOPNBWUZSQHI-UYAOXDASSA-N 0 0 437.562 -0.317 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CC[C@H](C(=O)NCc3ccccc3)C2)c(=O)[nH]c1=O ZINC001307869272 1074003709 /nfs/dbraw/zinc/00/37/09/1074003709.db2.gz RECWHWHFJOXIBB-HNNXBMFYSA-N 0 0 429.477 -0.002 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CC[C@@H](C(=O)NCc3ccccc3)C2)c(=O)[nH]c1=O ZINC001307869274 1074003884 /nfs/dbraw/zinc/00/38/84/1074003884.db2.gz RECWHWHFJOXIBB-OAHLLOKOSA-N 0 0 429.477 -0.002 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CCN2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001307873266 1074003850 /nfs/dbraw/zinc/00/38/50/1074003850.db2.gz AYRBIVGWRSGHBD-GOSISDBHSA-N 0 0 445.563 -0.728 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CCN2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001307873273 1074003777 /nfs/dbraw/zinc/00/37/77/1074003777.db2.gz AYRBIVGWRSGHBD-SFHVURJKSA-N 0 0 445.563 -0.728 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001307874241 1074003789 /nfs/dbraw/zinc/00/37/89/1074003789.db2.gz NHFRXLBDKQZOBM-IBGZPJMESA-N 0 0 425.507 -0.018 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001307874243 1074003804 /nfs/dbraw/zinc/00/38/04/1074003804.db2.gz NHFRXLBDKQZOBM-LJQANCHMSA-N 0 0 425.507 -0.018 20 0 IBADRN NC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc4ccccc4c3)CC2)CCOC1 ZINC001307877132 1074003940 /nfs/dbraw/zinc/00/39/40/1074003940.db2.gz DSWDXTKINUJYCH-NRFANRHFSA-N 0 0 446.529 -0.093 20 0 IBADRN NC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc4ccccc4c3)CC2)CCOC1 ZINC001307877133 1074003686 /nfs/dbraw/zinc/00/36/86/1074003686.db2.gz DSWDXTKINUJYCH-OAQYLSRUSA-N 0 0 446.529 -0.093 20 0 IBADRN NC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)CCOC1 ZINC001307877972 1074003954 /nfs/dbraw/zinc/00/39/54/1074003954.db2.gz NJLDFQQXOBBDAN-KRWDZBQOSA-N 0 0 448.904 -0.454 20 0 IBADRN NC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)CCOC1 ZINC001307877980 1074003660 /nfs/dbraw/zinc/00/36/60/1074003660.db2.gz NJLDFQQXOBBDAN-QGZVFWFLSA-N 0 0 448.904 -0.454 20 0 IBADRN COCCOCCN1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC001307888994 1074004249 /nfs/dbraw/zinc/00/42/49/1074004249.db2.gz CCNWMKUVIGNNLI-UHFFFAOYSA-N 0 0 426.539 -0.496 20 0 IBADRN O=C(CN1CCN(Cc2nc[nH]n2)CC1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001307892296 1074004301 /nfs/dbraw/zinc/00/43/01/1074004301.db2.gz GZEYRUNVIWOOBN-UHFFFAOYSA-N 0 0 447.565 -0.404 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C[C@H](C)O1 ZINC001307893695 1074004384 /nfs/dbraw/zinc/00/43/84/1074004384.db2.gz BYKOVCCPNZBMQL-LCMPSIMXSA-N 0 0 434.449 -0.244 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C[C@H](C)O1 ZINC001307893699 1074004435 /nfs/dbraw/zinc/00/44/35/1074004435.db2.gz BYKOVCCPNZBMQL-NRKGENKXSA-N 0 0 434.449 -0.244 20 0 IBADRN O=C(CN1CCN(Cc2nc[nH]n2)CC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001307893950 1074004278 /nfs/dbraw/zinc/00/42/78/1074004278.db2.gz PTRTZIMRRGYWEB-UHFFFAOYSA-N 0 0 449.537 -0.418 20 0 IBADRN Cn1c2[nH]c(CN3CCN(S(=O)(=O)NCc4ccccc4)CC3)nc2c(=O)n(C)c1=O ZINC001307906706 1074004511 /nfs/dbraw/zinc/00/45/11/1074004511.db2.gz VRGUQBSRECJISM-UHFFFAOYSA-N 0 0 447.521 -0.888 20 0 IBADRN O=C(CN1CCC(O)(CO)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001307912705 1074004393 /nfs/dbraw/zinc/00/43/93/1074004393.db2.gz BDEOVGPBZZKZRV-UHFFFAOYSA-N 0 0 437.562 -0.173 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C[C@H]1COCCO1 ZINC001307913331 1074004587 /nfs/dbraw/zinc/00/45/87/1074004587.db2.gz AOTZDGKTSVKBFL-KRWDZBQOSA-N 0 0 427.523 -0.329 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C[C@@H]1COCCO1 ZINC001307913340 1074004627 /nfs/dbraw/zinc/00/46/27/1074004627.db2.gz AOTZDGKTSVKBFL-QGZVFWFLSA-N 0 0 427.523 -0.329 20 0 IBADRN C[C@H](C(=O)N1CC(=O)Nc2cc(C(F)(F)F)ccc21)N1C[C@@H](C(N)=O)[C@H](C(N)=O)C1 ZINC001307928144 1074004683 /nfs/dbraw/zinc/00/46/83/1074004683.db2.gz GJHCAFIBWLUVKR-FBIMIBRVSA-N 0 0 427.383 -0.102 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)[C@H](CO)C1 ZINC001307946628 1074005190 /nfs/dbraw/zinc/00/51/90/1074005190.db2.gz KCSFUDJOUUDXBL-AWEZNQCLSA-N 0 0 432.493 -0.594 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)[C@@H](CO)C1 ZINC001307946654 1074004882 /nfs/dbraw/zinc/00/48/82/1074004882.db2.gz KCSFUDJOUUDXBL-CQSZACIVSA-N 0 0 432.493 -0.594 20 0 IBADRN O=C(CN1CCN(c2nccnn2)CC1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001307954021 1074005112 /nfs/dbraw/zinc/00/51/12/1074005112.db2.gz MOYOBJPJQIYZMD-UHFFFAOYSA-N 0 0 445.549 -0.332 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001307958467 1074005133 /nfs/dbraw/zinc/00/51/33/1074005133.db2.gz UUUVQGLKUAYJOQ-UHFFFAOYSA-N 0 0 426.539 -0.396 20 0 IBADRN COC(=O)[C@@H](NC(=O)CN1CCN(CCNS(=O)(=O)c2ccccc2)CC1)C(C)C ZINC001307958824 1074005179 /nfs/dbraw/zinc/00/51/79/1074005179.db2.gz WUTLCEKGGXIOTE-IBGZPJMESA-N 0 0 440.566 -0.104 20 0 IBADRN COCCn1c(N)c(C(=O)CN2CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C2)c(=O)[nH]c1=O ZINC001307960363 1074005000 /nfs/dbraw/zinc/00/50/00/1074005000.db2.gz KYSYXLAGDHEFTD-ZJUUUORDSA-N 0 0 435.403 -0.251 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1C[C@@H](CO)[C@H](CO)C1 ZINC001307962073 1074005157 /nfs/dbraw/zinc/00/51/57/1074005157.db2.gz WQYAFTMHYGOWPX-JYJNAYRXSA-N 0 0 427.523 -0.433 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1C[C@@H](CO)[C@H](CO)C1 ZINC001307962074 1074005076 /nfs/dbraw/zinc/00/50/76/1074005076.db2.gz WQYAFTMHYGOWPX-PMPSAXMXSA-N 0 0 427.523 -0.433 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CC(=O)N(C)CC(=O)Nc1ccc(C)cc1 ZINC001307966017 1074005092 /nfs/dbraw/zinc/00/50/92/1074005092.db2.gz ADBYALSCTIDIFZ-HOTGVXAUSA-N 0 0 445.476 -0.440 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CC(=O)NCCOc1c(C)cccc1C ZINC001307966057 1074005142 /nfs/dbraw/zinc/00/51/42/1074005142.db2.gz CAXBXNRIEVWCAX-HOTGVXAUSA-N 0 0 432.477 -0.034 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CC(=O)N1CCN(Cc2ccco2)CC1 ZINC001307966552 1074005033 /nfs/dbraw/zinc/00/50/33/1074005033.db2.gz JIHMCNRGBCMXIL-HOCLYGCPSA-N 0 0 433.465 -0.909 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CC(=O)NCc1cnn(-c2ccccc2)c1 ZINC001307968261 1074005170 /nfs/dbraw/zinc/00/51/70/1074005170.db2.gz VDKFFAFHLXWHRI-IRXDYDNUSA-N 0 0 440.460 -0.344 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CC(=O)NCCN1CCc2ccccc21 ZINC001307968820 1074005150 /nfs/dbraw/zinc/00/51/50/1074005150.db2.gz ZVDQVZCHYAICGR-RDJZCZTQSA-N 0 0 429.477 -0.667 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001307974370 1074005207 /nfs/dbraw/zinc/00/52/07/1074005207.db2.gz BGJUKNGWKOMTAD-HNNXBMFYSA-N 0 0 428.511 -0.658 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001307974381 1074005215 /nfs/dbraw/zinc/00/52/15/1074005215.db2.gz BGJUKNGWKOMTAD-OAHLLOKOSA-N 0 0 428.511 -0.658 20 0 IBADRN Cc1cc(C)c(NC(=O)CNC(=O)CN2CCOC[C@@H]2CNS(C)(=O)=O)c(C)c1 ZINC001307975501 1074004964 /nfs/dbraw/zinc/00/49/64/1074004964.db2.gz KODZECBLPGIPER-INIZCTEOSA-N 0 0 426.539 -0.083 20 0 IBADRN Cc1cc(C)c(NC(=O)CNC(=O)CN2CCOC[C@H]2CNS(C)(=O)=O)c(C)c1 ZINC001307975518 1074004949 /nfs/dbraw/zinc/00/49/49/1074004949.db2.gz KODZECBLPGIPER-MRXNPFEDSA-N 0 0 426.539 -0.083 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1CC(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC001307975626 1074005382 /nfs/dbraw/zinc/00/53/82/1074005382.db2.gz LMFNJEDVXKFLTR-LLVKDONJSA-N 0 0 441.478 -0.129 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1CC(=O)Nc1ccccc1S(=O)(=O)C(F)F ZINC001307975644 1074005345 /nfs/dbraw/zinc/00/53/45/1074005345.db2.gz LMFNJEDVXKFLTR-NSHDSACASA-N 0 0 441.478 -0.129 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCNC(=O)CC23CN(C(=O)OC(C)(C)C)C3)cn1 ZINC001307978326 1074005644 /nfs/dbraw/zinc/00/56/44/1074005644.db2.gz MWFIHBPIYXSMHM-UHFFFAOYSA-N 0 0 442.542 -0.490 20 0 IBADRN NC(=O)C[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC001307978727 1074005694 /nfs/dbraw/zinc/00/56/94/1074005694.db2.gz SPCULGJQYCKOFO-HNNXBMFYSA-N 0 0 426.495 -0.778 20 0 IBADRN NC(=O)C[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC001307978729 1074005602 /nfs/dbraw/zinc/00/56/02/1074005602.db2.gz SPCULGJQYCKOFO-OAHLLOKOSA-N 0 0 426.495 -0.778 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)CC2)n1C ZINC001307987968 1074005413 /nfs/dbraw/zinc/00/54/13/1074005413.db2.gz NSCWWFPXVGTERG-UHFFFAOYSA-N 0 0 447.565 -0.221 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001307988128 1074005633 /nfs/dbraw/zinc/00/56/33/1074005633.db2.gz AEUISZOFLXXCLS-INIZCTEOSA-N 0 0 429.539 -0.491 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1CC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001307988139 1074005588 /nfs/dbraw/zinc/00/55/88/1074005588.db2.gz AEUISZOFLXXCLS-MRXNPFEDSA-N 0 0 429.539 -0.491 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3CCC(NS(N)(=O)=O)CC3)CC2)cc1 ZINC001307992183 1074005495 /nfs/dbraw/zinc/00/54/95/1074005495.db2.gz OVUXDKLNJYBRNK-UHFFFAOYSA-N 0 0 425.555 -0.403 20 0 IBADRN NS(=O)(=O)NC1CCN(CC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)CC1 ZINC001307992881 1074005611 /nfs/dbraw/zinc/00/56/11/1074005611.db2.gz RTGMJJRFVIICJX-UHFFFAOYSA-N 0 0 437.566 -0.477 20 0 IBADRN Cn1[nH]c(=O)c2c1CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)CC2 ZINC001308002680 1074005874 /nfs/dbraw/zinc/00/58/74/1074005874.db2.gz JGBMKJSRWMQSHZ-UHFFFAOYSA-N 0 0 449.533 -0.179 20 0 IBADRN CC(C)CN(C(=O)CN(C)C1CS(=O)(=O)C1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC001308008286 1074005895 /nfs/dbraw/zinc/00/58/95/1074005895.db2.gz DQANTBOLSIILRL-UHFFFAOYSA-N 0 0 429.543 -0.095 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001308009170 1074005963 /nfs/dbraw/zinc/00/59/63/1074005963.db2.gz PWWQOHIKZXVSKU-PBHICJAKSA-N 0 0 444.579 -0.144 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001308009172 1074005909 /nfs/dbraw/zinc/00/59/09/1074005909.db2.gz PWWQOHIKZXVSKU-RHSMWYFYSA-N 0 0 444.579 -0.144 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001308009174 1074006098 /nfs/dbraw/zinc/00/60/98/1074006098.db2.gz PWWQOHIKZXVSKU-WMLDXEAASA-N 0 0 444.579 -0.144 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(N)(=O)=O)c1)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001308009176 1074006115 /nfs/dbraw/zinc/00/61/15/1074006115.db2.gz PWWQOHIKZXVSKU-YOEHRIQHSA-N 0 0 444.579 -0.144 20 0 IBADRN NC(=O)C1(NCc2nnnn2-c2ccccc2Br)CCS(=O)(=O)CC1 ZINC001308018564 1074005885 /nfs/dbraw/zinc/00/58/85/1074005885.db2.gz BDWLKUFKGGVLJA-UHFFFAOYSA-N 0 0 429.300 -0.053 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CNC3(C(N)=O)CCS(=O)(=O)CC3)CC2)cc1 ZINC001308020426 1074005930 /nfs/dbraw/zinc/00/59/30/1074005930.db2.gz VATQNGWLLFETPU-UHFFFAOYSA-N 0 0 438.550 -0.638 20 0 IBADRN O=C(CN1CCC[C@@H]2OCCNC(=O)[C@@H]21)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001308024278 1074006122 /nfs/dbraw/zinc/00/61/22/1074006122.db2.gz SYCALZASOSRQHV-AZUAARDMSA-N 0 0 430.505 -0.245 20 0 IBADRN O=C(CN1CCC[C@@H]2OCCNC(=O)[C@H]21)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001308024279 1074005919 /nfs/dbraw/zinc/00/59/19/1074005919.db2.gz SYCALZASOSRQHV-ICSRJNTNSA-N 0 0 430.505 -0.245 20 0 IBADRN O=C(CN1CCC[C@H]2OCCNC(=O)[C@H]21)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001308024280 1074006106 /nfs/dbraw/zinc/00/61/06/1074006106.db2.gz SYCALZASOSRQHV-QUCCMNQESA-N 0 0 430.505 -0.245 20 0 IBADRN O=C(CN1CCC[C@H]2OCCNC(=O)[C@@H]21)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001308024281 1074005852 /nfs/dbraw/zinc/00/58/52/1074005852.db2.gz SYCALZASOSRQHV-UYAOXDASSA-N 0 0 430.505 -0.245 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001308024743 1074005953 /nfs/dbraw/zinc/00/59/53/1074005953.db2.gz KSTMJEDDOROTBZ-INIZCTEOSA-N 0 0 439.538 -0.851 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001308024746 1074005861 /nfs/dbraw/zinc/00/58/61/1074005861.db2.gz KSTMJEDDOROTBZ-MRXNPFEDSA-N 0 0 439.538 -0.851 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CCN(CCc3cnccn3)CC2)cc1 ZINC001308025547 1074006127 /nfs/dbraw/zinc/00/61/27/1074006127.db2.gz WSNSRKYULIYOAN-UHFFFAOYSA-N 0 0 432.550 -0.357 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@H]3CCNC(=O)[C@@H]32)c(N)n(Cc2ccccc2)c1=O ZINC001308029430 1074006001 /nfs/dbraw/zinc/00/60/01/1074006001.db2.gz KJSGQGHSSBFGTK-CRAIPNDOSA-N 0 0 425.489 -0.039 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@H]3CCNC(=O)[C@@H]32)c(N)n(Cc2ccccc2)c1=O ZINC001308029432 1074006245 /nfs/dbraw/zinc/00/62/45/1074006245.db2.gz KJSGQGHSSBFGTK-MAUKXSAKSA-N 0 0 425.489 -0.039 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@@H]3CCNC(=O)[C@H]32)c(N)n(Cc2ccccc2)c1=O ZINC001308029435 1074006510 /nfs/dbraw/zinc/00/65/10/1074006510.db2.gz KJSGQGHSSBFGTK-QAPCUYQASA-N 0 0 425.489 -0.039 20 0 IBADRN Cn1c(=O)c(C(=O)CN2CCC[C@H]3CCNC(=O)[C@H]32)c(N)n(Cc2ccccc2)c1=O ZINC001308029437 1074006286 /nfs/dbraw/zinc/00/62/86/1074006286.db2.gz KJSGQGHSSBFGTK-YJBOKZPZSA-N 0 0 425.489 -0.039 20 0 IBADRN COC(=O)CCN(CC(=O)NC1CCN(S(C)(=O)=O)CC1)CC(=O)OC(C)(C)C ZINC001308031998 1074006368 /nfs/dbraw/zinc/00/63/68/1074006368.db2.gz WWZHPMBXGUPNBZ-UHFFFAOYSA-N 0 0 435.543 -0.267 20 0 IBADRN NS(=O)(=O)N1CCN(C[C@H](O)c2ccc3c(c2)CN(C(=O)C(F)(F)F)CC3)CC1 ZINC001308033846 1074006258 /nfs/dbraw/zinc/00/62/58/1074006258.db2.gz FFGUUWWQTGXSKH-HNNXBMFYSA-N 0 0 436.456 -0.012 20 0 IBADRN NS(=O)(=O)N1CCN(C[C@@H](O)c2ccc3c(c2)CN(C(=O)C(F)(F)F)CC3)CC1 ZINC001308033847 1074006547 /nfs/dbraw/zinc/00/65/47/1074006547.db2.gz FFGUUWWQTGXSKH-OAHLLOKOSA-N 0 0 436.456 -0.012 20 0 IBADRN O=C(CN1CCC(=O)NCC12COC2)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001308033942 1074006488 /nfs/dbraw/zinc/00/64/88/1074006488.db2.gz DAEOBCSMPNMVPS-UHFFFAOYSA-N 0 0 438.506 -0.763 20 0 IBADRN Cc1nc(N2CCN(CC(=O)N3CCN(S(=O)(=O)Cc4ccccc4)CC3)CC2)n[nH]1 ZINC001308036999 1074006353 /nfs/dbraw/zinc/00/63/53/1074006353.db2.gz XBBSQAALNTURAP-UHFFFAOYSA-N 0 0 447.565 -0.091 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN([C@H](C)C(=O)N3CCC[C@H](C(N)=O)C3)CC2)cc1 ZINC001308043470 1074006554 /nfs/dbraw/zinc/00/65/54/1074006554.db2.gz HTWFQWPCLYDKPX-CVEARBPZSA-N 0 0 437.566 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN([C@@H](C)C(=O)N3CCC[C@H](C(N)=O)C3)CC2)cc1 ZINC001308043471 1074006495 /nfs/dbraw/zinc/00/64/95/1074006495.db2.gz HTWFQWPCLYDKPX-HOTGVXAUSA-N 0 0 437.566 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN([C@H](C)C(=O)N3CCC[C@@H](C(N)=O)C3)CC2)cc1 ZINC001308043472 1074006440 /nfs/dbraw/zinc/00/64/40/1074006440.db2.gz HTWFQWPCLYDKPX-HZPDHXFCSA-N 0 0 437.566 -0.171 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN([C@@H](C)C(=O)N3CCC[C@@H](C(N)=O)C3)CC2)cc1 ZINC001308043473 1074006325 /nfs/dbraw/zinc/00/63/25/1074006325.db2.gz HTWFQWPCLYDKPX-JKSUJKDBSA-N 0 0 437.566 -0.171 20 0 IBADRN Cn1ncnc1C1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC001308048197 1074006421 /nfs/dbraw/zinc/00/64/21/1074006421.db2.gz VXGATGVATYQTOX-UHFFFAOYSA-N 0 0 448.549 -0.368 20 0 IBADRN CN(CCS(N)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001308049307 1074006541 /nfs/dbraw/zinc/00/65/41/1074006541.db2.gz BNTNDYRGHBVZSR-UHFFFAOYSA-N 0 0 440.494 -0.982 20 0 IBADRN O=C(CN1CCC(CO)(CO)CC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001308061324 1074006518 /nfs/dbraw/zinc/00/65/18/1074006518.db2.gz GAWBNNNYTAQTAD-UHFFFAOYSA-N 0 0 427.523 -0.287 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N2CCCC2)c1)Cc1nnc2n1CCNC2=O ZINC001308067297 1074006766 /nfs/dbraw/zinc/00/67/66/1074006766.db2.gz ASMJVMILNVYWJR-UHFFFAOYSA-N 0 0 447.521 -0.124 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C[C@@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1 ZINC001308067394 1074006748 /nfs/dbraw/zinc/00/67/48/1074006748.db2.gz DIGXGGBVMSKOBK-CYBMUJFWSA-N 0 0 428.559 -0.203 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C[C@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1 ZINC001308067396 1074006802 /nfs/dbraw/zinc/00/68/02/1074006802.db2.gz DIGXGGBVMSKOBK-ZDUSSCGKSA-N 0 0 428.559 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)[C@H](CO)C1 ZINC001308068368 1074006774 /nfs/dbraw/zinc/00/67/74/1074006774.db2.gz NNSNAVRWWBHTNQ-HNNXBMFYSA-N 0 0 434.559 -0.560 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)[C@@H](CO)C1 ZINC001308068371 1074006855 /nfs/dbraw/zinc/00/68/55/1074006855.db2.gz NNSNAVRWWBHTNQ-OAHLLOKOSA-N 0 0 434.559 -0.560 20 0 IBADRN CN(CCC(=O)NN1C(=O)NC2(CCCCC2)C1=O)Cc1nnc2n1CCN(C)C2=O ZINC001308068734 1074006908 /nfs/dbraw/zinc/00/69/08/1074006908.db2.gz PHWXRZLRNKBOMJ-UHFFFAOYSA-N 0 0 432.485 -0.529 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N(C)Cc1nnc2n1CCNC2=O ZINC001308071516 1074006830 /nfs/dbraw/zinc/00/68/30/1074006830.db2.gz VMBXDAVJWUTUBR-GFCCVEGCSA-N 0 0 435.510 -0.269 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N(C)Cc1nnc2n1CCNC2=O ZINC001308071517 1074006793 /nfs/dbraw/zinc/00/67/93/1074006793.db2.gz VMBXDAVJWUTUBR-LBPRGKRZSA-N 0 0 435.510 -0.269 20 0 IBADRN CNC(=O)C1(NCC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CCOCC1 ZINC001308081868 1074006682 /nfs/dbraw/zinc/00/66/82/1074006682.db2.gz BKNSWYJKBXXAPX-IBGZPJMESA-N 0 0 433.465 -0.622 20 0 IBADRN CNC(=O)C1(NCC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CCOCC1 ZINC001308081869 1074006724 /nfs/dbraw/zinc/00/67/24/1074006724.db2.gz BKNSWYJKBXXAPX-LJQANCHMSA-N 0 0 433.465 -0.622 20 0 IBADRN O=C(CN1CCS(=O)(=O)C[C@@H]1CCO)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC001308094063 1074006820 /nfs/dbraw/zinc/00/68/20/1074006820.db2.gz AAWGDVNMAZIAAW-AWEZNQCLSA-N 0 0 427.479 -0.023 20 0 IBADRN O=C(CN1CCS(=O)(=O)C[C@H]1CCO)NC(=O)Nc1ccc2c(c1)OCCCO2 ZINC001308094064 1074006923 /nfs/dbraw/zinc/00/69/23/1074006923.db2.gz AAWGDVNMAZIAAW-CQSZACIVSA-N 0 0 427.479 -0.023 20 0 IBADRN CNC(=O)CN1CCCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001308094193 1074006862 /nfs/dbraw/zinc/00/68/62/1074006862.db2.gz UNZBBTDKBADWCX-HNNXBMFYSA-N 0 0 425.555 -0.865 20 0 IBADRN CNC(=O)CN1CCCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001308094194 1074006930 /nfs/dbraw/zinc/00/69/30/1074006930.db2.gz UNZBBTDKBADWCX-OAHLLOKOSA-N 0 0 425.555 -0.865 20 0 IBADRN CNC(=O)CN1CCCN(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC001308094410 1074006914 /nfs/dbraw/zinc/00/69/14/1074006914.db2.gz ZMKHZSPGKRAJHS-UHFFFAOYSA-N 0 0 446.595 -0.536 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCN(c3cccc4[nH]c(=O)[nH]c43)CC2)CC1 ZINC001308096148 1074006838 /nfs/dbraw/zinc/00/68/38/1074006838.db2.gz DXKYQDLDEFSRBR-UHFFFAOYSA-N 0 0 436.538 -0.069 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@H](C)N2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001308096609 1074007268 /nfs/dbraw/zinc/00/72/68/1074007268.db2.gz GACPBQYLQDITNG-AAEUAGOBSA-N 0 0 440.478 -0.579 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@@H](C)N2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001308096610 1074007184 /nfs/dbraw/zinc/00/71/84/1074007184.db2.gz GACPBQYLQDITNG-DGCLKSJQSA-N 0 0 440.478 -0.579 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@H](C)N2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001308096611 1074007287 /nfs/dbraw/zinc/00/72/87/1074007287.db2.gz GACPBQYLQDITNG-WCQYABFASA-N 0 0 440.478 -0.579 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(NC(=O)[C@@H](C)N2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001308096612 1074007274 /nfs/dbraw/zinc/00/72/74/1074007274.db2.gz GACPBQYLQDITNG-YPMHNXCESA-N 0 0 440.478 -0.579 20 0 IBADRN O=C(NCc1ccc(F)cc1)c1nnc(CN2CCN3[C@H](CNS3(=O)=O)C2)s1 ZINC001308097163 1074007192 /nfs/dbraw/zinc/00/71/92/1074007192.db2.gz MIYNMKMAHVKFFS-CYBMUJFWSA-N 0 0 426.499 -0.059 20 0 IBADRN O=C(NCc1ccc(F)cc1)c1nnc(CN2CCN3[C@@H](CNS3(=O)=O)C2)s1 ZINC001308097164 1074007130 /nfs/dbraw/zinc/00/71/30/1074007130.db2.gz MIYNMKMAHVKFFS-ZDUSSCGKSA-N 0 0 426.499 -0.059 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)CN2CCN3[C@@H](CNS3(=O)=O)C2)cc1 ZINC001308097610 1074007247 /nfs/dbraw/zinc/00/72/47/1074007247.db2.gz RIOCLILTJIVGJP-HNNXBMFYSA-N 0 0 445.567 -0.510 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(NC(=O)CN2CCN3[C@H](CNS3(=O)=O)C2)cc1 ZINC001308097618 1074007258 /nfs/dbraw/zinc/00/72/58/1074007258.db2.gz RIOCLILTJIVGJP-OAHLLOKOSA-N 0 0 445.567 -0.510 20 0 IBADRN COC[C@H]1COCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001308101660 1074007319 /nfs/dbraw/zinc/00/73/19/1074007319.db2.gz SLVUHICPLWOWAA-KRWDZBQOSA-N 0 0 427.523 -0.329 20 0 IBADRN COC[C@@H]1COCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001308101661 1074007143 /nfs/dbraw/zinc/00/71/43/1074007143.db2.gz SLVUHICPLWOWAA-QGZVFWFLSA-N 0 0 427.523 -0.329 20 0 IBADRN CC[C@H]1C(=O)NCCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001308102201 1074007222 /nfs/dbraw/zinc/00/72/22/1074007222.db2.gz GIZCGZJPQIJOLS-SFHVURJKSA-N 0 0 438.550 -0.076 20 0 IBADRN CC[C@H]1C(=O)NCCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001308103888 1074007310 /nfs/dbraw/zinc/00/73/10/1074007310.db2.gz UNQOSQQFFATQML-KRWDZBQOSA-N 0 0 438.550 -0.076 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN3CCOC[C@@]3(CO)C2)C1=O ZINC001308110019 1074007280 /nfs/dbraw/zinc/00/72/80/1074007280.db2.gz FNMDNTOMHDAONE-LEWJYISDSA-N 0 0 431.493 -0.746 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN3CCOC[C@]3(CO)C2)C1=O ZINC001308110020 1074007326 /nfs/dbraw/zinc/00/73/26/1074007326.db2.gz FNMDNTOMHDAONE-NHCUHLMSSA-N 0 0 431.493 -0.746 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN3CCOC[C@]3(CO)C2)C1=O ZINC001308110021 1074007201 /nfs/dbraw/zinc/00/72/01/1074007201.db2.gz FNMDNTOMHDAONE-RTWAWAEBSA-N 0 0 431.493 -0.746 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCN3CCOC[C@@]3(CO)C2)C1=O ZINC001308110022 1074007264 /nfs/dbraw/zinc/00/72/64/1074007264.db2.gz FNMDNTOMHDAONE-SFTDATJTSA-N 0 0 431.493 -0.746 20 0 IBADRN O=C(CN1CCN2CCOC[C@@]2(CO)C1)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001308110063 1074007211 /nfs/dbraw/zinc/00/72/11/1074007211.db2.gz GOYQGDYXPUQLEC-FQEVSTJZSA-N 0 0 438.550 -0.212 20 0 IBADRN O=C(CN1CCN2CCOC[C@]2(CO)C1)Nc1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001308110068 1074007732 /nfs/dbraw/zinc/00/77/32/1074007732.db2.gz GOYQGDYXPUQLEC-HXUWFJFHSA-N 0 0 438.550 -0.212 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC001308117179 1074007808 /nfs/dbraw/zinc/00/78/08/1074007808.db2.gz OFQKHBLHGNYCJI-GOSISDBHSA-N 0 0 439.534 -0.479 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC001308117180 1074007519 /nfs/dbraw/zinc/00/75/19/1074007519.db2.gz OFQKHBLHGNYCJI-SFHVURJKSA-N 0 0 439.534 -0.479 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3C[C@@H]4CS(=O)(=O)C[C@@H]4C3)C2=O)cc1 ZINC001308119390 1074007572 /nfs/dbraw/zinc/00/75/72/1074007572.db2.gz BYKGHVFBCKXWHU-QHRIQVFBSA-N 0 0 436.490 -0.530 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3C[C@@H]4CS(=O)(=O)C[C@@H]4C3)C2=O)cc1 ZINC001308119398 1074007607 /nfs/dbraw/zinc/00/76/07/1074007607.db2.gz BYKGHVFBCKXWHU-QUJCMNEKSA-N 0 0 436.490 -0.530 20 0 IBADRN CN(CCCNC(=O)CN1CCC[C@@](CO)(NC(=O)OC(C)(C)C)C1)S(C)(=O)=O ZINC001308122960 1074007580 /nfs/dbraw/zinc/00/75/80/1074007580.db2.gz ZBXABXJUXSTUGU-GOSISDBHSA-N 0 0 436.575 -0.264 20 0 IBADRN CN(CCCNC(=O)CN1CCC[C@](CO)(NC(=O)OC(C)(C)C)C1)S(C)(=O)=O ZINC001308122961 1074007869 /nfs/dbraw/zinc/00/78/69/1074007869.db2.gz ZBXABXJUXSTUGU-SFHVURJKSA-N 0 0 436.575 -0.264 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CC[C@H](CS(N)(=O)=O)C1 ZINC001308127838 1074007849 /nfs/dbraw/zinc/00/78/49/1074007849.db2.gz HZWPBFTWCSDKMS-AWEZNQCLSA-N 0 0 444.579 -0.174 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CC[C@@H](CS(N)(=O)=O)C1 ZINC001308127839 1074007671 /nfs/dbraw/zinc/00/76/71/1074007671.db2.gz HZWPBFTWCSDKMS-CQSZACIVSA-N 0 0 444.579 -0.174 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@@H](CS(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC001308128398 1074007702 /nfs/dbraw/zinc/00/77/02/1074007702.db2.gz PGRMMZSVQWVSKZ-GFCCVEGCSA-N 0 0 434.540 -0.506 20 0 IBADRN COc1ccc(NC(=O)CN2CC[C@H](CS(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC001308128399 1074007616 /nfs/dbraw/zinc/00/76/16/1074007616.db2.gz PGRMMZSVQWVSKZ-LBPRGKRZSA-N 0 0 434.540 -0.506 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001308137280 1074007558 /nfs/dbraw/zinc/00/75/58/1074007558.db2.gz GJBVPSDPGJUALR-KRWDZBQOSA-N 0 0 432.477 -0.909 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001308137283 1074007743 /nfs/dbraw/zinc/00/77/43/1074007743.db2.gz GJBVPSDPGJUALR-QGZVFWFLSA-N 0 0 432.477 -0.909 20 0 IBADRN COC(=O)C1(C2(O)CCN(CC(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)CCC1 ZINC001308137289 1074007495 /nfs/dbraw/zinc/00/74/95/1074007495.db2.gz TWURMVIXJYOZQB-UHFFFAOYSA-N 0 0 431.555 -0.303 20 0 IBADRN CCS(=O)(=O)NC1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001308137701 1074007649 /nfs/dbraw/zinc/00/76/49/1074007649.db2.gz UZFDBPHFRRBFRK-UHFFFAOYSA-N 0 0 432.568 -0.604 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1CC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC001308138574 1074007894 /nfs/dbraw/zinc/00/78/94/1074007894.db2.gz TXPKYJIMUOHXIB-GOSISDBHSA-N 0 0 430.505 -0.419 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1CC(=O)N1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC001308138575 1074008280 /nfs/dbraw/zinc/00/82/80/1074008280.db2.gz TXPKYJIMUOHXIB-SFHVURJKSA-N 0 0 430.505 -0.419 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](O)[C@H](F)C3)CC2)cc1OC ZINC001308144639 1074008052 /nfs/dbraw/zinc/00/80/52/1074008052.db2.gz CCGPXPFFOSEHRH-CVEARBPZSA-N 0 0 445.513 -0.059 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](O)[C@@H](F)C3)CC2)cc1OC ZINC001308144640 1074008196 /nfs/dbraw/zinc/00/81/96/1074008196.db2.gz CCGPXPFFOSEHRH-HOTGVXAUSA-N 0 0 445.513 -0.059 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](O)[C@H](F)C3)CC2)cc1OC ZINC001308144641 1074008151 /nfs/dbraw/zinc/00/81/51/1074008151.db2.gz CCGPXPFFOSEHRH-HZPDHXFCSA-N 0 0 445.513 -0.059 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](O)[C@@H](F)C3)CC2)cc1OC ZINC001308144642 1074008396 /nfs/dbraw/zinc/00/83/96/1074008396.db2.gz CCGPXPFFOSEHRH-JKSUJKDBSA-N 0 0 445.513 -0.059 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(CCC(=O)NN1C(=O)NC3(CCCCC3)C1=O)C2 ZINC001308147286 1074008377 /nfs/dbraw/zinc/00/83/77/1074008377.db2.gz ZSWDVIQIIVFVOK-AWEZNQCLSA-N 0 0 446.512 -0.465 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(CCC(=O)NN1C(=O)NC3(CCCCC3)C1=O)C2 ZINC001308147290 1074008230 /nfs/dbraw/zinc/00/82/30/1074008230.db2.gz ZSWDVIQIIVFVOK-CQSZACIVSA-N 0 0 446.512 -0.465 20 0 IBADRN CN(CCCNC(=O)CN1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1)S(C)(=O)=O ZINC001308155889 1074008368 /nfs/dbraw/zinc/00/83/68/1074008368.db2.gz JGOOMLFWZNIERI-UHFFFAOYSA-N 0 0 447.602 -0.379 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCOC3(CCS(=O)(=O)CC3)C1)C2=O ZINC001308159885 1074008185 /nfs/dbraw/zinc/00/81/85/1074008185.db2.gz BRFCJUTYTCYGFY-UHFFFAOYSA-N 0 0 442.538 -0.202 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(Cc2ccnn2C)CC1 ZINC001308160587 1074008308 /nfs/dbraw/zinc/00/83/08/1074008308.db2.gz KUGKZCCRYBCJOE-INIZCTEOSA-N 0 0 434.566 -0.068 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(Cc2ccnn2C)CC1 ZINC001308160591 1074008109 /nfs/dbraw/zinc/00/81/09/1074008109.db2.gz KUGKZCCRYBCJOE-MRXNPFEDSA-N 0 0 434.566 -0.068 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001308160610 1074008354 /nfs/dbraw/zinc/00/83/54/1074008354.db2.gz LGGLSNYWFIYTDV-UHFFFAOYSA-N 0 0 443.547 -0.497 20 0 IBADRN CCc1ncc(CN2CCN(S(=O)(=O)CCCOC)CC2)n1S(=O)(=O)N(C)C ZINC001308569736 1074008386 /nfs/dbraw/zinc/00/83/86/1074008386.db2.gz JWQZFJKMCBEEHG-UHFFFAOYSA-N 0 0 437.588 -0.416 20 0 IBADRN COC(=O)COc1ccccc1CN1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001308585879 1074008163 /nfs/dbraw/zinc/00/81/63/1074008163.db2.gz GNDRCFFTCMDBCC-UHFFFAOYSA-N 0 0 426.539 -0.152 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2nc(Br)c[nH]2)CC1 ZINC001308590979 1074008600 /nfs/dbraw/zinc/00/86/00/1074008600.db2.gz AJERQIYBOUGHOO-JTQLQIEISA-N 0 0 427.346 -0.193 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2nc(Br)c[nH]2)CC1 ZINC001308590980 1074008651 /nfs/dbraw/zinc/00/86/51/1074008651.db2.gz AJERQIYBOUGHOO-SNVBAGLBSA-N 0 0 427.346 -0.193 20 0 IBADRN Cn1cc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(I)n1 ZINC001308612350 1074008742 /nfs/dbraw/zinc/00/87/42/1074008742.db2.gz ODBLXNQMXIQRKX-UHFFFAOYSA-N 0 0 445.261 -0.182 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3cnc4n3CCC4)CC2)cc1 ZINC001308654537 1074008722 /nfs/dbraw/zinc/00/87/22/1074008722.db2.gz RTHMCFUWODROQP-UHFFFAOYSA-N 0 0 425.536 -0.017 20 0 IBADRN CCc1ncc(CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)n1S(=O)(=O)N(C)C ZINC001308809259 1074008662 /nfs/dbraw/zinc/00/86/62/1074008662.db2.gz BISHVEFJKGLYPB-UHFFFAOYSA-N 0 0 431.544 -0.670 20 0 IBADRN C[C@@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001308991250 1074008715 /nfs/dbraw/zinc/00/87/15/1074008715.db2.gz PDLHGZWDDRBYIC-CYBMUJFWSA-N 0 0 446.551 -0.097 20 0 IBADRN C[C@H]1CC(=O)Nc2ccccc2N1C(=O)CN(C)S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001308991251 1074008579 /nfs/dbraw/zinc/00/85/79/1074008579.db2.gz PDLHGZWDDRBYIC-ZDUSSCGKSA-N 0 0 446.551 -0.097 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)cc1 ZINC001308992945 1074008633 /nfs/dbraw/zinc/00/86/33/1074008633.db2.gz YVYRRXIGHHXFQW-UHFFFAOYSA-N 0 0 444.491 -0.810 20 0 IBADRN CC(=O)N1CCN(c2ccc(OS(=O)(=O)CC3CN(S(C)(=O)=O)C3)cc2)CC1 ZINC001308995706 1074008552 /nfs/dbraw/zinc/00/85/52/1074008552.db2.gz NRDHRHNAHWEKDD-UHFFFAOYSA-N 0 0 431.536 -0.045 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nc[nH]c1Br ZINC001308996623 1074008566 /nfs/dbraw/zinc/00/85/66/1074008566.db2.gz RFYSHKBQMYADJU-MRVPVSSYSA-N 0 0 429.318 -0.514 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nc[nH]c1Br ZINC001308996624 1074008591 /nfs/dbraw/zinc/00/85/91/1074008591.db2.gz RFYSHKBQMYADJU-QMMMGPOBSA-N 0 0 429.318 -0.514 20 0 IBADRN COCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309012956 1074008771 /nfs/dbraw/zinc/00/87/71/1074008771.db2.gz GOAPHIASEDDKAZ-JTQLQIEISA-N 0 0 431.476 -0.224 20 0 IBADRN COCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309012957 1074008704 /nfs/dbraw/zinc/00/87/04/1074008704.db2.gz GOAPHIASEDDKAZ-SNVBAGLBSA-N 0 0 431.476 -0.224 20 0 IBADRN O=C1OCCN1CCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001309014404 1074009109 /nfs/dbraw/zinc/00/91/09/1074009109.db2.gz RHISPBJLEGJHOB-UHFFFAOYSA-N 0 0 433.508 -0.421 20 0 IBADRN O=C1C[C@H](S(=O)(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1C1CC1 ZINC001309014581 1074009172 /nfs/dbraw/zinc/00/91/72/1074009172.db2.gz ULYXFDIIRKLDKX-KRWDZBQOSA-N 0 0 443.547 -0.110 20 0 IBADRN O=C1C[C@@H](S(=O)(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1C1CC1 ZINC001309014582 1074009082 /nfs/dbraw/zinc/00/90/82/1074009082.db2.gz ULYXFDIIRKLDKX-QGZVFWFLSA-N 0 0 443.547 -0.110 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC001309016608 1074009075 /nfs/dbraw/zinc/00/90/75/1074009075.db2.gz HKFABGHSUFIRLU-JTQLQIEISA-N 0 0 427.346 -0.324 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC001309016614 1074009147 /nfs/dbraw/zinc/00/91/47/1074009147.db2.gz HKFABGHSUFIRLU-SNVBAGLBSA-N 0 0 427.346 -0.324 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)C1 ZINC001309018133 1074009163 /nfs/dbraw/zinc/00/91/63/1074009163.db2.gz CZLWEQSJTMADHT-UHFFFAOYSA-N 0 0 445.563 -0.203 20 0 IBADRN COC(=O)Cc1cc(OC)ccc1S(=O)(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001309018605 1074009060 /nfs/dbraw/zinc/00/90/60/1074009060.db2.gz UMVOSQSWELMDCA-HNNXBMFYSA-N 0 0 446.547 -0.096 20 0 IBADRN COC(=O)Cc1cc(OC)ccc1S(=O)(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001309018617 1074009120 /nfs/dbraw/zinc/00/91/20/1074009120.db2.gz UMVOSQSWELMDCA-OAHLLOKOSA-N 0 0 446.547 -0.096 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001309022731 1074009099 /nfs/dbraw/zinc/00/90/99/1074009099.db2.gz YAWGAEJHVVDQQW-UHFFFAOYSA-N 0 0 445.566 -0.187 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC001309023468 1074009153 /nfs/dbraw/zinc/00/91/53/1074009153.db2.gz DCRCKSGXBFPMNJ-INIZCTEOSA-N 0 0 436.600 -0.772 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCN(CC(=O)N3CCCCC3)CC2)C1 ZINC001309023469 1074009125 /nfs/dbraw/zinc/00/91/25/1074009125.db2.gz DCRCKSGXBFPMNJ-MRXNPFEDSA-N 0 0 436.600 -0.772 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(S(=O)(=O)C[C@@H]2CN(C)CCO2)CC1 ZINC001309023750 1074009132 /nfs/dbraw/zinc/00/91/32/1074009132.db2.gz KDNWEQUITJGINE-HNNXBMFYSA-N 0 0 436.556 -0.352 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(S(=O)(=O)C[C@H]2CN(C)CCO2)CC1 ZINC001309023758 1074009177 /nfs/dbraw/zinc/00/91/77/1074009177.db2.gz KDNWEQUITJGINE-OAHLLOKOSA-N 0 0 436.556 -0.352 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)cc1 ZINC001309024888 1074009036 /nfs/dbraw/zinc/00/90/36/1074009036.db2.gz LBTUGGYJBKEONT-UHFFFAOYSA-N 0 0 425.554 -0.953 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)C1 ZINC001309025117 1074009141 /nfs/dbraw/zinc/00/91/41/1074009141.db2.gz QUVVLSKDPJPJNY-GFCCVEGCSA-N 0 0 432.499 -0.374 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)C1 ZINC001309025126 1074009181 /nfs/dbraw/zinc/00/91/81/1074009181.db2.gz QUVVLSKDPJPJNY-LBPRGKRZSA-N 0 0 432.499 -0.374 20 0 IBADRN CCN1C[C@@H](S(=O)(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1=O ZINC001309026436 1074009047 /nfs/dbraw/zinc/00/90/47/1074009047.db2.gz XOSGEERNXQFMIH-AWEZNQCLSA-N 0 0 425.536 -0.176 20 0 IBADRN CCN1C[C@H](S(=O)(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)CC1=O ZINC001309026437 1074009068 /nfs/dbraw/zinc/00/90/68/1074009068.db2.gz XOSGEERNXQFMIH-CQSZACIVSA-N 0 0 425.536 -0.176 20 0 IBADRN CS(=O)(=O)c1cccc(CS(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC001309035015 1074009576 /nfs/dbraw/zinc/00/95/76/1074009576.db2.gz FHJUNRYRUKFIQD-UHFFFAOYSA-N 0 0 445.563 -0.604 20 0 IBADRN CC(C)(CCS(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1)S(C)(=O)=O ZINC001309035907 1074009476 /nfs/dbraw/zinc/00/94/76/1074009476.db2.gz VILXDIVYZUYBKQ-UHFFFAOYSA-N 0 0 425.573 -0.994 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1F ZINC001309035951 1074009568 /nfs/dbraw/zinc/00/95/68/1074009568.db2.gz WIJYMXWKWKGRBK-UHFFFAOYSA-N 0 0 447.460 -0.084 20 0 IBADRN CCOCCS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001309036063 1074009442 /nfs/dbraw/zinc/00/94/42/1074009442.db2.gz WSDODVZJEXQVBK-UHFFFAOYSA-N 0 0 448.567 -0.409 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001309039683 1074009508 /nfs/dbraw/zinc/00/95/08/1074009508.db2.gz MPEWULMGYIXQMR-MRVPVSSYSA-N 0 0 425.286 -0.034 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001309039685 1074009404 /nfs/dbraw/zinc/00/94/04/1074009404.db2.gz MPEWULMGYIXQMR-QMMMGPOBSA-N 0 0 425.286 -0.034 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001309044809 1074009495 /nfs/dbraw/zinc/00/94/95/1074009495.db2.gz QZHGDWBVLYFINL-HNNXBMFYSA-N 0 0 434.559 -0.294 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001309044810 1074009584 /nfs/dbraw/zinc/00/95/84/1074009584.db2.gz QZHGDWBVLYFINL-OAHLLOKOSA-N 0 0 434.559 -0.294 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)CC2)cc1 ZINC001309044858 1074009529 /nfs/dbraw/zinc/00/95/29/1074009529.db2.gz SMDDLAVIKPMHFU-UHFFFAOYSA-N 0 0 431.536 -0.326 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CCOCC3CC3)CC2)C[C@H](C)O1 ZINC001309045085 1074009453 /nfs/dbraw/zinc/00/94/53/1074009453.db2.gz DHMXCUDPIRJMHB-GASCZTMLSA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CCOCC3CC3)CC2)C[C@H](C)O1 ZINC001309045087 1074009486 /nfs/dbraw/zinc/00/94/86/1074009486.db2.gz DHMXCUDPIRJMHB-GJZGRUSLSA-N 0 0 425.573 -0.286 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)CCOCC3CC3)CC2)C[C@@H](C)O1 ZINC001309045090 1074009380 /nfs/dbraw/zinc/00/93/80/1074009380.db2.gz DHMXCUDPIRJMHB-HUUCEWRRSA-N 0 0 425.573 -0.286 20 0 IBADRN COC(=O)C1(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC001309045389 1074009392 /nfs/dbraw/zinc/00/93/92/1074009392.db2.gz GPBRVRGXENDEIS-KBPBESRZSA-N 0 0 439.556 -0.617 20 0 IBADRN COC(=O)C1(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CCC1 ZINC001309045392 1074009352 /nfs/dbraw/zinc/00/93/52/1074009352.db2.gz GPBRVRGXENDEIS-OKILXGFUSA-N 0 0 439.556 -0.617 20 0 IBADRN COC(=O)C1(S(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CCC1 ZINC001309045394 1074009426 /nfs/dbraw/zinc/00/94/26/1074009426.db2.gz GPBRVRGXENDEIS-ZIAGYGMSSA-N 0 0 439.556 -0.617 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1 ZINC001309045724 1074009364 /nfs/dbraw/zinc/00/93/64/1074009364.db2.gz MXLDLMOSTZFXJW-KBPBESRZSA-N 0 0 439.556 -0.759 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1 ZINC001309045725 1074009559 /nfs/dbraw/zinc/00/95/59/1074009559.db2.gz MXLDLMOSTZFXJW-OKILXGFUSA-N 0 0 439.556 -0.759 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1 ZINC001309045726 1074009462 /nfs/dbraw/zinc/00/94/62/1074009462.db2.gz MXLDLMOSTZFXJW-ZIAGYGMSSA-N 0 0 439.556 -0.759 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn4ccccc34)CC2)C[C@H](C)O1 ZINC001309046533 1074009539 /nfs/dbraw/zinc/00/95/39/1074009539.db2.gz XAOGIYDASIESJP-GASCZTMLSA-N 0 0 443.551 -0.005 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn4ccccc34)CC2)C[C@H](C)O1 ZINC001309046534 1074009551 /nfs/dbraw/zinc/00/95/51/1074009551.db2.gz XAOGIYDASIESJP-GJZGRUSLSA-N 0 0 443.551 -0.005 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnn4ccccc34)CC2)C[C@@H](C)O1 ZINC001309046535 1074009792 /nfs/dbraw/zinc/00/97/92/1074009792.db2.gz XAOGIYDASIESJP-HUUCEWRRSA-N 0 0 443.551 -0.005 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001309046609 1074009969 /nfs/dbraw/zinc/00/99/69/1074009969.db2.gz VSGJMTVBBNDYQH-UHFFFAOYSA-N 0 0 449.555 -0.699 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)c1 ZINC001309047589 1074009755 /nfs/dbraw/zinc/00/97/55/1074009755.db2.gz SHJGDGLYNYSTBX-UHFFFAOYSA-N 0 0 449.551 -0.140 20 0 IBADRN COc1ccc(CN([C@@H]2CCS(=O)(=O)C2)S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cc1 ZINC001309047958 1074009888 /nfs/dbraw/zinc/00/98/88/1074009888.db2.gz PSHIZPYIKYFOAN-CYBMUJFWSA-N 0 0 443.503 -0.128 20 0 IBADRN COc1ccc(CN([C@H]2CCS(=O)(=O)C2)S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cc1 ZINC001309047959 1074009822 /nfs/dbraw/zinc/00/98/22/1074009822.db2.gz PSHIZPYIKYFOAN-ZDUSSCGKSA-N 0 0 443.503 -0.128 20 0 IBADRN CNS(=O)(=O)c1cccc(CNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001309048587 1074009772 /nfs/dbraw/zinc/00/97/72/1074009772.db2.gz WGRIWKKPEDCOCF-CYBMUJFWSA-N 0 0 425.554 -0.704 20 0 IBADRN CNS(=O)(=O)c1cccc(CNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001309048597 1074009877 /nfs/dbraw/zinc/00/98/77/1074009877.db2.gz WGRIWKKPEDCOCF-ZDUSSCGKSA-N 0 0 425.554 -0.704 20 0 IBADRN C[C@H]1Cc2cccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c2O1 ZINC001309049612 1074009991 /nfs/dbraw/zinc/00/99/91/1074009991.db2.gz FROBWWCBZMFUMP-HNNXBMFYSA-N 0 0 444.579 -0.192 20 0 IBADRN C[C@@H]1Cc2cccc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)c2O1 ZINC001309049613 1074009832 /nfs/dbraw/zinc/00/98/32/1074009832.db2.gz FROBWWCBZMFUMP-OAHLLOKOSA-N 0 0 444.579 -0.192 20 0 IBADRN CNC(=O)CN1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001309050200 1074009938 /nfs/dbraw/zinc/00/99/38/1074009938.db2.gz LDVXIZYVZHVGNX-UHFFFAOYSA-N 0 0 427.268 -0.923 20 0 IBADRN COc1cc(F)ccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001309050254 1074009897 /nfs/dbraw/zinc/00/98/97/1074009897.db2.gz LXFGJSSQCHDYSG-UHFFFAOYSA-N 0 0 436.531 -0.367 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)CCOCc3ccccc3)CC2)CC1 ZINC001309050542 1074009721 /nfs/dbraw/zinc/00/97/21/1074009721.db2.gz PLTDLUGBAZZNJD-UHFFFAOYSA-N 0 0 446.595 -0.357 20 0 IBADRN NC(=O)c1ccc(N2CCN(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)CC2)nc1 ZINC001309055007 1074009780 /nfs/dbraw/zinc/00/97/80/1074009780.db2.gz VZTIVBRLJSFQDT-UHFFFAOYSA-N 0 0 444.457 -0.043 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCN2CCN(C(C)=O)CC2)cc1C(=O)OC ZINC001309057704 1074009868 /nfs/dbraw/zinc/00/98/68/1074009868.db2.gz AGEXHPPFKOSZCK-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)OC(F)(F)O2 ZINC001309059743 1074009981 /nfs/dbraw/zinc/00/99/81/1074009981.db2.gz CKHMWIRAIJSBHO-SECBINFHSA-N 0 0 426.419 -0.068 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)OC(F)(F)O2 ZINC001309059744 1074009856 /nfs/dbraw/zinc/00/98/56/1074009856.db2.gz CKHMWIRAIJSBHO-VIFPVBQESA-N 0 0 426.419 -0.068 20 0 IBADRN C[S@@](=O)(=NS(=O)(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccccc1F ZINC001309059780 1074009800 /nfs/dbraw/zinc/00/98/00/1074009800.db2.gz FAERXXFNPWRITB-FTJNGKRUSA-N 0 0 441.528 -0.190 20 0 IBADRN C[S@](=O)(=NS(=O)(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccccc1F ZINC001309059781 1074009733 /nfs/dbraw/zinc/00/97/33/1074009733.db2.gz FAERXXFNPWRITB-JPQMIFPKSA-N 0 0 441.528 -0.190 20 0 IBADRN C[S@@](=O)(=NS(=O)(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccccc1F ZINC001309059782 1074009918 /nfs/dbraw/zinc/00/99/18/1074009918.db2.gz FAERXXFNPWRITB-NDHGSNPTSA-N 0 0 441.528 -0.190 20 0 IBADRN C[S@](=O)(=NS(=O)(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccccc1F ZINC001309059783 1074010232 /nfs/dbraw/zinc/01/02/32/1074010232.db2.gz FAERXXFNPWRITB-SSINHNECSA-N 0 0 441.528 -0.190 20 0 IBADRN CO[C@@H](Cc1ccc(F)cc1)CS(=O)(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001309060160 1074010286 /nfs/dbraw/zinc/01/02/86/1074010286.db2.gz KOWLCLXRJQJHPE-CVEARBPZSA-N 0 0 436.527 -0.004 20 0 IBADRN CO[C@@H](Cc1ccc(F)cc1)CS(=O)(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001309060161 1074010189 /nfs/dbraw/zinc/01/01/89/1074010189.db2.gz KOWLCLXRJQJHPE-HOTGVXAUSA-N 0 0 436.527 -0.004 20 0 IBADRN CO[C@H](Cc1ccc(F)cc1)CS(=O)(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001309060162 1074010226 /nfs/dbraw/zinc/01/02/26/1074010226.db2.gz KOWLCLXRJQJHPE-HZPDHXFCSA-N 0 0 436.527 -0.004 20 0 IBADRN CO[C@H](Cc1ccc(F)cc1)CS(=O)(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001309060163 1074010199 /nfs/dbraw/zinc/01/01/99/1074010199.db2.gz KOWLCLXRJQJHPE-JKSUJKDBSA-N 0 0 436.527 -0.004 20 0 IBADRN COCc1ccc(C(=O)OC)cc1S(=O)(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001309060810 1074010280 /nfs/dbraw/zinc/01/02/80/1074010280.db2.gz ONQWMRRBYISBNV-AWEZNQCLSA-N 0 0 448.519 -0.457 20 0 IBADRN COCc1ccc(C(=O)OC)cc1S(=O)(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001309060815 1074010268 /nfs/dbraw/zinc/01/02/68/1074010268.db2.gz ONQWMRRBYISBNV-CQSZACIVSA-N 0 0 448.519 -0.457 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001309060874 1074010377 /nfs/dbraw/zinc/01/03/77/1074010377.db2.gz PFERQVYVIHSWLI-DEOSSOPVSA-N 0 0 425.558 -0.725 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001309060883 1074010180 /nfs/dbraw/zinc/01/01/80/1074010180.db2.gz PFERQVYVIHSWLI-XMMPIXPASA-N 0 0 425.558 -0.725 20 0 IBADRN COC1CCN(S(=O)(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC001309061137 1074010306 /nfs/dbraw/zinc/01/03/06/1074010306.db2.gz VUIWWRQPJASTFF-UHFFFAOYSA-N 0 0 447.583 -0.521 20 0 IBADRN C[S@](=O)(=NS(=O)(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC001309061897 1074010361 /nfs/dbraw/zinc/01/03/61/1074010361.db2.gz XGXVYKRHSIUJHI-FNODVLLQSA-N 0 0 429.586 -0.055 20 0 IBADRN C[S@@](=O)(=NS(=O)(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC001309061898 1074010208 /nfs/dbraw/zinc/01/02/08/1074010208.db2.gz XGXVYKRHSIUJHI-MCFWBGNESA-N 0 0 429.586 -0.055 20 0 IBADRN C[S@](=O)(=NS(=O)(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC001309061899 1074010332 /nfs/dbraw/zinc/01/03/32/1074010332.db2.gz XGXVYKRHSIUJHI-OIRASMEMSA-N 0 0 429.586 -0.055 20 0 IBADRN C[S@@](=O)(=NS(=O)(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)C1CCCCC1 ZINC001309061900 1074010250 /nfs/dbraw/zinc/01/02/50/1074010250.db2.gz XGXVYKRHSIUJHI-YYUOZPCZSA-N 0 0 429.586 -0.055 20 0 IBADRN O=C(CCNS(=O)(=O)C[C@@H]1CCC2(CCOCC2)CO1)N[C@@H]1CCS(=O)(=O)C1 ZINC001309061909 1074010154 /nfs/dbraw/zinc/01/01/54/1074010154.db2.gz XPUPSNCXHVSJOO-CABCVRRESA-N 0 0 438.568 -0.425 20 0 IBADRN O=C(CCNS(=O)(=O)C[C@@H]1CCC2(CCOCC2)CO1)N[C@H]1CCS(=O)(=O)C1 ZINC001309061910 1074010164 /nfs/dbraw/zinc/01/01/64/1074010164.db2.gz XPUPSNCXHVSJOO-GJZGRUSLSA-N 0 0 438.568 -0.425 20 0 IBADRN O=C(CCNS(=O)(=O)C[C@H]1CCC2(CCOCC2)CO1)N[C@@H]1CCS(=O)(=O)C1 ZINC001309061911 1074010295 /nfs/dbraw/zinc/01/02/95/1074010295.db2.gz XPUPSNCXHVSJOO-HUUCEWRRSA-N 0 0 438.568 -0.425 20 0 IBADRN O=C(CCNS(=O)(=O)C[C@H]1CCC2(CCOCC2)CO1)N[C@H]1CCS(=O)(=O)C1 ZINC001309061912 1074010348 /nfs/dbraw/zinc/01/03/48/1074010348.db2.gz XPUPSNCXHVSJOO-LSDHHAIUSA-N 0 0 438.568 -0.425 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001309062106 1074010172 /nfs/dbraw/zinc/01/01/72/1074010172.db2.gz YXKMBAFVSNSAKU-CYBMUJFWSA-N 0 0 448.519 -0.204 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001309062107 1074010324 /nfs/dbraw/zinc/01/03/24/1074010324.db2.gz YXKMBAFVSNSAKU-ZDUSSCGKSA-N 0 0 448.519 -0.204 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001309063115 1074010544 /nfs/dbraw/zinc/01/05/44/1074010544.db2.gz GQKGZGJTDRTVJD-CYBMUJFWSA-N 0 0 425.554 -0.241 20 0 IBADRN CS(=O)(=O)Nc1ccc(CNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001309063116 1074010701 /nfs/dbraw/zinc/01/07/01/1074010701.db2.gz GQKGZGJTDRTVJD-ZDUSSCGKSA-N 0 0 425.554 -0.241 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCN(C(=O)COC)CC2)cc1 ZINC001309067054 1074010605 /nfs/dbraw/zinc/01/06/05/1074010605.db2.gz PFXXVHLVQHHOKX-UHFFFAOYSA-N 0 0 433.552 -0.005 20 0 IBADRN Cn1cc(S(=O)(=O)NCCC(=O)N2CCN(c3ccc(F)cc3)CC2)c(=O)[nH]c1=O ZINC001309068444 1074010628 /nfs/dbraw/zinc/01/06/28/1074010628.db2.gz FDWGSMMMDJYKGK-UHFFFAOYSA-N 0 0 439.469 -0.358 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)N=[S@](C)(=O)N(C)C)cc1 ZINC001309068468 1074010719 /nfs/dbraw/zinc/01/07/19/1074010719.db2.gz FIWHOPNOZBBIJE-DEOSSOPVSA-N 0 0 428.558 -0.480 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNS(=O)(=O)N=[S@@](C)(=O)N(C)C)cc1 ZINC001309068470 1074010690 /nfs/dbraw/zinc/01/06/90/1074010690.db2.gz FIWHOPNOZBBIJE-XMMPIXPASA-N 0 0 428.558 -0.480 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(S(=O)(=O)CCCN3CCOCC3)CC2)CC1 ZINC001309069317 1074010751 /nfs/dbraw/zinc/01/07/51/1074010751.db2.gz UVWHLBPIXFRRSM-UHFFFAOYSA-N 0 0 430.571 -0.526 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001309070874 1074010736 /nfs/dbraw/zinc/01/07/36/1074010736.db2.gz QKSDAWHICQPBEK-HNNXBMFYSA-N 0 0 434.559 -0.294 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001309070875 1074010482 /nfs/dbraw/zinc/01/04/82/1074010482.db2.gz QKSDAWHICQPBEK-OAHLLOKOSA-N 0 0 434.559 -0.294 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001309070970 1074010616 /nfs/dbraw/zinc/01/06/16/1074010616.db2.gz LSICCGHUPUYZPM-RUZDIDTESA-N 0 0 435.553 -0.428 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001309070971 1074010595 /nfs/dbraw/zinc/01/05/95/1074010595.db2.gz LSICCGHUPUYZPM-VWLOTQADSA-N 0 0 435.553 -0.428 20 0 IBADRN COC1(CS(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CCOCC1 ZINC001309071431 1074010534 /nfs/dbraw/zinc/01/05/34/1074010534.db2.gz AFYAHWXBPYMVFG-UHFFFAOYSA-N 0 0 425.507 -0.126 20 0 IBADRN O=C(CNS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O)NCC(F)(F)F ZINC001309073268 1074010508 /nfs/dbraw/zinc/01/05/08/1074010508.db2.gz NIBZCHPQGAAJMT-UHFFFAOYSA-N 0 0 437.396 -0.103 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)CC1 ZINC001309074639 1074010570 /nfs/dbraw/zinc/01/05/70/1074010570.db2.gz JHCSMQPLLFNADZ-UHFFFAOYSA-N 0 0 431.555 -0.180 20 0 IBADRN Nc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC001309075481 1074010727 /nfs/dbraw/zinc/01/07/27/1074010727.db2.gz BVBILXBIGWZOBF-GFCCVEGCSA-N 0 0 441.528 -0.769 20 0 IBADRN Nc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(F)c1 ZINC001309075506 1074010559 /nfs/dbraw/zinc/01/05/59/1074010559.db2.gz BVBILXBIGWZOBF-LBPRGKRZSA-N 0 0 441.528 -0.769 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)n[nH]1 ZINC001309077365 1074010651 /nfs/dbraw/zinc/01/06/51/1074010651.db2.gz UARQMOOFIDOFFK-UHFFFAOYSA-N 0 0 443.526 -0.565 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2cnnn2-c2ccccc2)CC1 ZINC001309078570 1074010707 /nfs/dbraw/zinc/01/07/07/1074010707.db2.gz BZBRWFXSWBVGKZ-UHFFFAOYSA-N 0 0 432.462 -0.751 20 0 IBADRN COc1c(F)cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC001309079098 1074010771 /nfs/dbraw/zinc/01/07/71/1074010771.db2.gz KDKHNKLRYWAKOW-UHFFFAOYSA-N 0 0 431.417 -0.045 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(Br)n1 ZINC001309079519 1074011036 /nfs/dbraw/zinc/01/10/36/1074011036.db2.gz QWTRKPHNNGHTFH-UHFFFAOYSA-N 0 0 448.299 -0.836 20 0 IBADRN C[C@@H](NS(=O)(=O)CCS(=O)(=O)N(C)C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001309079807 1074011151 /nfs/dbraw/zinc/01/11/51/1074011151.db2.gz IRQLCLAVRFNFCF-GFCCVEGCSA-N 0 0 427.570 -0.191 20 0 IBADRN C[C@H](NS(=O)(=O)CCS(=O)(=O)N(C)C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001309079816 1074011162 /nfs/dbraw/zinc/01/11/62/1074011162.db2.gz IRQLCLAVRFNFCF-LBPRGKRZSA-N 0 0 427.570 -0.191 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001309084717 1074010992 /nfs/dbraw/zinc/01/09/92/1074010992.db2.gz WXGMZFNQPZDXTA-AREMUKBSSA-N 0 0 427.549 -0.526 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001309084718 1074010947 /nfs/dbraw/zinc/01/09/47/1074010947.db2.gz WXGMZFNQPZDXTA-SANMLTNESA-N 0 0 427.549 -0.526 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC001309091606 1074011014 /nfs/dbraw/zinc/01/10/14/1074011014.db2.gz SZLALQBEAXLPSF-CYBMUJFWSA-N 0 0 426.561 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC001309091614 1074010924 /nfs/dbraw/zinc/01/09/24/1074010924.db2.gz SZLALQBEAXLPSF-ZDUSSCGKSA-N 0 0 426.561 -0.203 20 0 IBADRN Cc1cc2cc(S(=O)(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc2[nH]c1=O ZINC001309099343 1074011060 /nfs/dbraw/zinc/01/10/60/1074011060.db2.gz DKCVNDSWDOTLAF-UHFFFAOYSA-N 0 0 428.536 -0.308 20 0 IBADRN CO[C@@H](Cc1ccc(F)cc1)CS(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001309099603 1074010968 /nfs/dbraw/zinc/01/09/68/1074010968.db2.gz HZRBDQPSIOXIEL-KRWDZBQOSA-N 0 0 437.559 -0.120 20 0 IBADRN CO[C@H](Cc1ccc(F)cc1)CS(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001309099604 1074011102 /nfs/dbraw/zinc/01/11/02/1074011102.db2.gz HZRBDQPSIOXIEL-QGZVFWFLSA-N 0 0 437.559 -0.120 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)C[C@H]2CCC[C@H](C(F)(F)F)O2)CC1 ZINC001309100691 1074010935 /nfs/dbraw/zinc/01/09/35/1074010935.db2.gz QCTPJBNFGYCGSS-CHWSQXEVSA-N 0 0 437.506 -0.017 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)C[C@H]2CCC[C@@H](C(F)(F)F)O2)CC1 ZINC001309100695 1074011142 /nfs/dbraw/zinc/01/11/42/1074011142.db2.gz QCTPJBNFGYCGSS-OLZOCXBDSA-N 0 0 437.506 -0.017 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)C[C@@H]2CCC[C@H](C(F)(F)F)O2)CC1 ZINC001309100697 1074011112 /nfs/dbraw/zinc/01/11/12/1074011112.db2.gz QCTPJBNFGYCGSS-QWHCGFSZSA-N 0 0 437.506 -0.017 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)C[C@@H]2CCC[C@@H](C(F)(F)F)O2)CC1 ZINC001309100699 1074010981 /nfs/dbraw/zinc/01/09/81/1074010981.db2.gz QCTPJBNFGYCGSS-STQMWFEESA-N 0 0 437.506 -0.017 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCc2cccc(OCCN3CCOCC3)c2)C1 ZINC001309100847 1074011170 /nfs/dbraw/zinc/01/11/70/1074011170.db2.gz JMSPANYJXBLHAO-UHFFFAOYSA-N 0 0 447.579 -0.292 20 0 IBADRN CS(=O)(=O)c1cccc(CS(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)c1 ZINC001309100901 1074011071 /nfs/dbraw/zinc/01/10/71/1074011071.db2.gz RBGIVYBPCMWYJO-UHFFFAOYSA-N 0 0 439.581 -0.913 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCc2cccc(C(=O)NC[C@@H]3CCCO3)c2)C1 ZINC001309103389 1074011051 /nfs/dbraw/zinc/01/10/51/1074011051.db2.gz BMUNVEIBKQBKJT-KRWDZBQOSA-N 0 0 445.563 -0.094 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCc2cccc(C(=O)NC[C@H]3CCCO3)c2)C1 ZINC001309103398 1074010914 /nfs/dbraw/zinc/01/09/14/1074010914.db2.gz BMUNVEIBKQBKJT-QGZVFWFLSA-N 0 0 445.563 -0.094 20 0 IBADRN Cc1cc(C(=O)NC2CCN(S(=O)(=O)C[C@@H]3CCN(S(C)(=O)=O)C3)CC2)no1 ZINC001309104856 1074011084 /nfs/dbraw/zinc/01/10/84/1074011084.db2.gz GBGDBCFDZBDNLQ-CYBMUJFWSA-N 0 0 434.540 -0.211 20 0 IBADRN Cc1cc(C(=O)NC2CCN(S(=O)(=O)C[C@H]3CCN(S(C)(=O)=O)C3)CC2)no1 ZINC001309104857 1074011375 /nfs/dbraw/zinc/01/13/75/1074011375.db2.gz GBGDBCFDZBDNLQ-ZDUSSCGKSA-N 0 0 434.540 -0.211 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc(Br)nc1F)N1CCOCC1 ZINC001309113518 1074011585 /nfs/dbraw/zinc/01/15/85/1074011585.db2.gz AOAIAOFISUZSLL-UHFFFAOYSA-N 0 0 432.293 -0.077 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c(OC)cc1F ZINC001309115640 1074011469 /nfs/dbraw/zinc/01/14/69/1074011469.db2.gz UJFIOYOSQCKDFZ-UHFFFAOYSA-N 0 0 440.471 -0.439 20 0 IBADRN O=S(=O)(c1ccc2c(c1)OCCO2)N1CCCN(S(=O)(=O)[C@@H]2COC[C@H]2O)CC1 ZINC001309118250 1074011574 /nfs/dbraw/zinc/01/15/74/1074011574.db2.gz BGEZIMINFRUQBN-RHSMWYFYSA-N 0 0 448.519 -0.756 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)C1 ZINC001309118451 1074011542 /nfs/dbraw/zinc/01/15/42/1074011542.db2.gz DAHGVUZRFXXQGV-UHFFFAOYSA-N 0 0 433.527 -0.266 20 0 IBADRN COc1ccc(OCCCS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001309123230 1074011554 /nfs/dbraw/zinc/01/15/54/1074011554.db2.gz MQSYVVCPMSVMOB-MSOLQXFVSA-N 0 0 448.563 -0.119 20 0 IBADRN COc1ccc(OCCCS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001309123231 1074011532 /nfs/dbraw/zinc/01/15/32/1074011532.db2.gz MQSYVVCPMSVMOB-QZTJIDSGSA-N 0 0 448.563 -0.119 20 0 IBADRN COc1ccc(OCCCS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1 ZINC001309123232 1074011490 /nfs/dbraw/zinc/01/14/90/1074011490.db2.gz MQSYVVCPMSVMOB-ROUUACIJSA-N 0 0 448.563 -0.119 20 0 IBADRN COc1ccc(OCCCS(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1 ZINC001309123233 1074011339 /nfs/dbraw/zinc/01/13/39/1074011339.db2.gz MQSYVVCPMSVMOB-ZWKOTPCHSA-N 0 0 448.563 -0.119 20 0 IBADRN CO[C@H](Cc1ccccc1)CS(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001309123872 1074011321 /nfs/dbraw/zinc/01/13/21/1074011321.db2.gz RDSIAOWJUVZBBD-FGTMMUONSA-N 0 0 432.564 -0.339 20 0 IBADRN CO[C@H](Cc1ccccc1)CS(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001309123873 1074011387 /nfs/dbraw/zinc/01/13/87/1074011387.db2.gz RDSIAOWJUVZBBD-KURKYZTESA-N 0 0 432.564 -0.339 20 0 IBADRN CO[C@H](Cc1ccccc1)CS(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001309123874 1074011410 /nfs/dbraw/zinc/01/14/10/1074011410.db2.gz RDSIAOWJUVZBBD-KZNAEPCWSA-N 0 0 432.564 -0.339 20 0 IBADRN CO[C@H](Cc1ccccc1)CS(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001309123875 1074011444 /nfs/dbraw/zinc/01/14/44/1074011444.db2.gz RDSIAOWJUVZBBD-SQNIBIBYSA-N 0 0 432.564 -0.339 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCCNS(=O)(=O)c2cccc(Cl)c2)C1 ZINC001309126035 1074011500 /nfs/dbraw/zinc/01/15/00/1074011500.db2.gz ZMKDTMNLRYBCSN-UHFFFAOYSA-N 0 0 445.972 -0.571 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1OC ZINC001309133021 1074011433 /nfs/dbraw/zinc/01/14/33/1074011433.db2.gz ZTAOMQNISSXMBN-CVEARBPZSA-N 0 0 449.551 -0.119 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1OC ZINC001309133022 1074011483 /nfs/dbraw/zinc/01/14/83/1074011483.db2.gz ZTAOMQNISSXMBN-HOTGVXAUSA-N 0 0 449.551 -0.119 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1OC ZINC001309133023 1074011354 /nfs/dbraw/zinc/01/13/54/1074011354.db2.gz ZTAOMQNISSXMBN-HZPDHXFCSA-N 0 0 449.551 -0.119 20 0 IBADRN CCS(=O)(=O)NCCNS(=O)(=O)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1OC ZINC001309133024 1074011564 /nfs/dbraw/zinc/01/15/64/1074011564.db2.gz ZTAOMQNISSXMBN-JKSUJKDBSA-N 0 0 449.551 -0.119 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](S(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001309143346 1074011366 /nfs/dbraw/zinc/01/13/66/1074011366.db2.gz IBXYANVLHVKHAH-CABCVRRESA-N 0 0 425.511 -0.224 20 0 IBADRN COC(=O)CN(CC(=O)OC)S(=O)(=O)c1cnn(C)c1I ZINC001309147887 1074011521 /nfs/dbraw/zinc/01/15/21/1074011521.db2.gz CMZCOUIUTXNRJT-UHFFFAOYSA-N 0 0 431.208 -0.639 20 0 IBADRN C[C@@H](NS(=O)(=O)CC1CN(S(C)(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001309148905 1074011917 /nfs/dbraw/zinc/01/19/17/1074011917.db2.gz PVXCLBMKAJOKAP-GFCCVEGCSA-N 0 0 439.581 -0.191 20 0 IBADRN C[C@H](NS(=O)(=O)CC1CN(S(C)(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001309148906 1074011836 /nfs/dbraw/zinc/01/18/36/1074011836.db2.gz PVXCLBMKAJOKAP-LBPRGKRZSA-N 0 0 439.581 -0.191 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCN(CC(=O)N(C)C3CCCCC3)CC2)c(=O)o1 ZINC001309154675 1074011826 /nfs/dbraw/zinc/01/18/26/1074011826.db2.gz KMLCAVHHWYRARX-UHFFFAOYSA-N 0 0 429.543 -0.117 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1ccc2c(c1)C(=O)NCCO2 ZINC001309156142 1074011797 /nfs/dbraw/zinc/01/17/97/1074011797.db2.gz GNRUFMFAVWFMOO-UHFFFAOYSA-N 0 0 427.479 -0.695 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)S(=O)(=O)c1cn(C)nc1Br ZINC001309156981 1074011753 /nfs/dbraw/zinc/01/17/53/1074011753.db2.gz MJHWBFBIXPBOAJ-UHFFFAOYSA-N 0 0 425.305 -0.322 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)cc1 ZINC001309159665 1074011743 /nfs/dbraw/zinc/01/17/43/1074011743.db2.gz IXCQSJUUBFZKKL-UHFFFAOYSA-N 0 0 426.538 -0.720 20 0 IBADRN COC(=O)c1cc(OC)ccc1S(=O)(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC001309161450 1074011893 /nfs/dbraw/zinc/01/18/93/1074011893.db2.gz CJUPJLXKMRZYCA-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)CCCS(=O)(=O)C(F)(F)F)CC1 ZINC001309161944 1074011950 /nfs/dbraw/zinc/01/19/50/1074011950.db2.gz QSTJMDSAFWVNTL-UHFFFAOYSA-N 0 0 437.462 -0.689 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)NCCNS(C)(=O)=O ZINC001309162046 1074011762 /nfs/dbraw/zinc/01/17/62/1074011762.db2.gz VKQZWRYJDGWUDA-UHFFFAOYSA-N 0 0 428.290 -0.672 20 0 IBADRN COCc1ccc(C(=O)OC)cc1S(=O)(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC001309162118 1074011786 /nfs/dbraw/zinc/01/17/86/1074011786.db2.gz YAQHRPPXPTZCGL-UHFFFAOYSA-N 0 0 441.506 -0.021 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NCC(=O)N1CCN(Cc2ccccc2)CC1 ZINC001309162912 1074011929 /nfs/dbraw/zinc/01/19/29/1074011929.db2.gz BGPZEUKGCXTFFB-UHFFFAOYSA-N 0 0 446.595 -0.468 20 0 IBADRN Cc1c(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)coc1C(=O)N(C)C ZINC001309163198 1074011905 /nfs/dbraw/zinc/01/19/05/1074011905.db2.gz GPNMLDHDKDUAEL-UHFFFAOYSA-N 0 0 425.554 -0.053 20 0 IBADRN CN(CCNS(C)(=O)=O)S(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001309172448 1074011811 /nfs/dbraw/zinc/01/18/11/1074011811.db2.gz BODDOAHWPFRTAV-UHFFFAOYSA-N 0 0 432.293 -0.143 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@H](CS(=O)(=O)NCCN2C(=O)CNC2=O)C1 ZINC001309174294 1074011869 /nfs/dbraw/zinc/01/18/69/1074011869.db2.gz NRFHPMMYFJLCTM-HNNXBMFYSA-N 0 0 440.478 -0.505 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@@H](CS(=O)(=O)NCCN2C(=O)CNC2=O)C1 ZINC001309174295 1074011982 /nfs/dbraw/zinc/01/19/82/1074011982.db2.gz NRFHPMMYFJLCTM-OAHLLOKOSA-N 0 0 440.478 -0.505 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001309176094 1074011966 /nfs/dbraw/zinc/01/19/66/1074011966.db2.gz WILSTIOYBVSUAQ-KRWDZBQOSA-N 0 0 444.579 -0.192 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001309176096 1074011882 /nfs/dbraw/zinc/01/18/82/1074011882.db2.gz WILSTIOYBVSUAQ-QGZVFWFLSA-N 0 0 444.579 -0.192 20 0 IBADRN C[C@@H]1CCC[C@H](NC(=O)CN2CCN(S(=O)(=O)CCS(=O)(=O)N(C)C)CC2)C1 ZINC001309176937 1074012421 /nfs/dbraw/zinc/01/24/21/1074012421.db2.gz ZWLOJQVTGKPZKF-CVEARBPZSA-N 0 0 438.616 -0.480 20 0 IBADRN C[C@H]1CCC[C@H](NC(=O)CN2CCN(S(=O)(=O)CCS(=O)(=O)N(C)C)CC2)C1 ZINC001309176938 1074012179 /nfs/dbraw/zinc/01/21/79/1074012179.db2.gz ZWLOJQVTGKPZKF-HOTGVXAUSA-N 0 0 438.616 -0.480 20 0 IBADRN C[C@@H]1CCC[C@@H](NC(=O)CN2CCN(S(=O)(=O)CCS(=O)(=O)N(C)C)CC2)C1 ZINC001309176939 1074012290 /nfs/dbraw/zinc/01/22/90/1074012290.db2.gz ZWLOJQVTGKPZKF-HZPDHXFCSA-N 0 0 438.616 -0.480 20 0 IBADRN C[C@H]1CCC[C@@H](NC(=O)CN2CCN(S(=O)(=O)CCS(=O)(=O)N(C)C)CC2)C1 ZINC001309176940 1074012257 /nfs/dbraw/zinc/01/22/57/1074012257.db2.gz ZWLOJQVTGKPZKF-JKSUJKDBSA-N 0 0 438.616 -0.480 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC001309180453 1074012312 /nfs/dbraw/zinc/01/23/12/1074012312.db2.gz CSJNIDPQTBFDAE-GFCCVEGCSA-N 0 0 434.492 -0.038 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(C(=O)OC)c(C(=O)OC)c2)C1 ZINC001309180454 1074012193 /nfs/dbraw/zinc/01/21/93/1074012193.db2.gz CSJNIDPQTBFDAE-LBPRGKRZSA-N 0 0 434.492 -0.038 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)CC1 ZINC001309180848 1074012399 /nfs/dbraw/zinc/01/23/99/1074012399.db2.gz HCVHHBBDLCPGIC-UHFFFAOYSA-N 0 0 427.527 -0.559 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001309186661 1074012301 /nfs/dbraw/zinc/01/23/01/1074012301.db2.gz UTZIJTPBGFCUAF-IKGGRYGDSA-N 0 0 442.490 -0.038 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001309186662 1074012382 /nfs/dbraw/zinc/01/23/82/1074012382.db2.gz UTZIJTPBGFCUAF-ZACQAIPSSA-N 0 0 442.490 -0.038 20 0 IBADRN Cn1ncc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)c1Br ZINC001309196302 1074012355 /nfs/dbraw/zinc/01/23/55/1074012355.db2.gz ODAWENMMRSHQHO-JTQLQIEISA-N 0 0 445.361 -0.051 20 0 IBADRN Cn1ncc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)c1Br ZINC001309196303 1074012234 /nfs/dbraw/zinc/01/22/34/1074012234.db2.gz ODAWENMMRSHQHO-SNVBAGLBSA-N 0 0 445.361 -0.051 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)C)ccc2OC)CC1 ZINC001309198223 1074012329 /nfs/dbraw/zinc/01/23/29/1074012329.db2.gz RYQMWKJZGAVAFT-UHFFFAOYSA-N 0 0 427.479 -0.207 20 0 IBADRN COC[C@@H](CS(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1)OC ZINC001309201512 1074012320 /nfs/dbraw/zinc/01/23/20/1074012320.db2.gz ZIGAYZKAZBAGRM-HNNXBMFYSA-N 0 0 434.536 -0.161 20 0 IBADRN COC[C@H](CS(=O)(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1)OC ZINC001309201522 1074012391 /nfs/dbraw/zinc/01/23/91/1074012391.db2.gz ZIGAYZKAZBAGRM-OAHLLOKOSA-N 0 0 434.536 -0.161 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001309206384 1074012164 /nfs/dbraw/zinc/01/21/64/1074012164.db2.gz WUGWECAPPQLWBD-UHFFFAOYSA-N 0 0 432.586 -0.686 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NS(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001309210793 1074012268 /nfs/dbraw/zinc/01/22/68/1074012268.db2.gz SLKGUNFHORQRPY-HNNXBMFYSA-N 0 0 431.584 -0.597 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NS(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001309210794 1074012144 /nfs/dbraw/zinc/01/21/44/1074012144.db2.gz SLKGUNFHORQRPY-OAHLLOKOSA-N 0 0 431.584 -0.597 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)c1cnn2c1OCCC2 ZINC001309212880 1074012372 /nfs/dbraw/zinc/01/23/72/1074012372.db2.gz FKVDQKFLZJWDGI-UHFFFAOYSA-N 0 0 430.508 -0.243 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C(F)(F)F)c2)CC1 ZINC001309229325 1074012754 /nfs/dbraw/zinc/01/27/54/1074012754.db2.gz NGRSAHYZEMHTCH-UHFFFAOYSA-N 0 0 444.457 -0.218 20 0 IBADRN CNC(=O)c1cc(Cl)c(C)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)c1 ZINC001309230440 1074012640 /nfs/dbraw/zinc/01/26/40/1074012640.db2.gz XGYOAFIIEGYMSO-UHFFFAOYSA-N 0 0 438.959 -0.397 20 0 IBADRN COC(=O)C[C@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001309241752 1074012823 /nfs/dbraw/zinc/01/28/23/1074012823.db2.gz BDOFNFBDMDAXOC-AWEZNQCLSA-N 0 0 440.474 -0.107 20 0 IBADRN COC(=O)C[C@@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001309241773 1074012631 /nfs/dbraw/zinc/01/26/31/1074012631.db2.gz BDOFNFBDMDAXOC-CQSZACIVSA-N 0 0 440.474 -0.107 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC001309251933 1074012711 /nfs/dbraw/zinc/01/27/11/1074012711.db2.gz LSIUADFNTKSZCM-UHFFFAOYSA-N 0 0 427.526 -0.971 20 0 IBADRN COc1cc(NC(=O)CN(C)S(=O)(=O)CC2CN(S(C)(=O)=O)C2)cc(OC)c1 ZINC001309265510 1074012617 /nfs/dbraw/zinc/01/26/17/1074012617.db2.gz GMKFIDADJIQAOW-UHFFFAOYSA-N 0 0 435.524 -0.205 20 0 IBADRN CCN1C(=O)[C@H]2CN(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)CCN2C1=O ZINC001309275170 1074012595 /nfs/dbraw/zinc/01/25/95/1074012595.db2.gz MZOMOMMLJLXEJZ-CYBMUJFWSA-N 0 0 431.515 -0.248 20 0 IBADRN CCN1C(=O)[C@@H]2CN(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)CCN2C1=O ZINC001309275171 1074012785 /nfs/dbraw/zinc/01/27/85/1074012785.db2.gz MZOMOMMLJLXEJZ-ZDUSSCGKSA-N 0 0 431.515 -0.248 20 0 IBADRN CCS(=O)(CC)=NS(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001309289982 1074012583 /nfs/dbraw/zinc/01/25/83/1074012583.db2.gz BIFIXIQIAIHHSH-UHFFFAOYSA-N 0 0 427.574 -0.213 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2COC[C@H]2O)CC1 ZINC001309292866 1074012607 /nfs/dbraw/zinc/01/26/07/1074012607.db2.gz MWWGERKDXKLFKB-IUODEOHRSA-N 0 0 440.927 -0.256 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCN(CC[S@@](C)=O)CC2)c1 ZINC001309295116 1074012559 /nfs/dbraw/zinc/01/25/59/1074012559.db2.gz XWWWASIHEKIKQY-HHHXNRCGSA-N 0 0 432.520 -0.055 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CCN(CC[S@](C)=O)CC2)c1 ZINC001309295117 1074012796 /nfs/dbraw/zinc/01/27/96/1074012796.db2.gz XWWWASIHEKIKQY-MHZLTWQESA-N 0 0 432.520 -0.055 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)CCC(C)(C)S(C)(=O)=O)CC1 ZINC001309297590 1074012773 /nfs/dbraw/zinc/01/27/73/1074012773.db2.gz RFSDTNIQGFIQTE-UHFFFAOYSA-N 0 0 434.602 -0.487 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cnnn2-c2ccccc2)CC1 ZINC001309298269 1074012571 /nfs/dbraw/zinc/01/25/71/1074012571.db2.gz XCVBMQIYGRBLNF-UHFFFAOYSA-N 0 0 429.524 -0.060 20 0 IBADRN CCN1C[C@@H](S(=O)(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)CC1=O ZINC001309301334 1074012763 /nfs/dbraw/zinc/01/27/63/1074012763.db2.gz RIDMREGJXWKASO-HNNXBMFYSA-N 0 0 432.520 -0.185 20 0 IBADRN CCN1C[C@H](S(=O)(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)CC1=O ZINC001309301335 1074012723 /nfs/dbraw/zinc/01/27/23/1074012723.db2.gz RIDMREGJXWKASO-OAHLLOKOSA-N 0 0 432.520 -0.185 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNS(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)cc1 ZINC001309301527 1074013062 /nfs/dbraw/zinc/01/30/62/1074013062.db2.gz RYFCSRWLZUCNDM-INIZCTEOSA-N 0 0 444.531 -0.043 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNS(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)cc1 ZINC001309301529 1074012978 /nfs/dbraw/zinc/01/29/78/1074012978.db2.gz RYFCSRWLZUCNDM-MRXNPFEDSA-N 0 0 444.531 -0.043 20 0 IBADRN CN(C1CCN(S(C)(=O)=O)CC1)S(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309305917 1074012968 /nfs/dbraw/zinc/01/29/68/1074012968.db2.gz SSGRHRYGHSFYCD-UHFFFAOYSA-N 0 0 430.492 -0.003 20 0 IBADRN Cn1cc(S(=O)(=O)NCc2ccccc2CS(=O)(=O)N2CCCC2)c(=O)[nH]c1=O ZINC001309316950 1074013129 /nfs/dbraw/zinc/01/31/29/1074013129.db2.gz XWTHENBCJRPLQP-UHFFFAOYSA-N 0 0 442.519 -0.110 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC001309323582 1074013039 /nfs/dbraw/zinc/01/30/39/1074013039.db2.gz LAOMJMWVHWDMSA-DLBZAZTESA-N 0 0 444.579 -0.604 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC001309323583 1074012954 /nfs/dbraw/zinc/01/29/54/1074012954.db2.gz LAOMJMWVHWDMSA-IRXDYDNUSA-N 0 0 444.579 -0.604 20 0 IBADRN CN(CCNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)S(=O)(=O)c1cccs1 ZINC001309326178 1074013105 /nfs/dbraw/zinc/01/31/05/1074013105.db2.gz KGTTVIZFLZNRNB-UHFFFAOYSA-N 0 0 431.583 -0.821 20 0 IBADRN COc1cccc(OCCN2CCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)CC2)c1 ZINC001309331400 1074013015 /nfs/dbraw/zinc/01/30/15/1074013015.db2.gz JWIOCKKZZAQQGQ-UHFFFAOYSA-N 0 0 447.579 -0.087 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@@H](CS(=O)(=O)N[C@H]2CCC(=O)NC2=O)C1 ZINC001309331856 1074013085 /nfs/dbraw/zinc/01/30/85/1074013085.db2.gz VCYWSLLIWGHJJD-CABCVRRESA-N 0 0 425.463 -0.251 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@H](CS(=O)(=O)N[C@H]2CCC(=O)NC2=O)C1 ZINC001309331864 1074012944 /nfs/dbraw/zinc/01/29/44/1074012944.db2.gz VCYWSLLIWGHJJD-GJZGRUSLSA-N 0 0 425.463 -0.251 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@@H](CS(=O)(=O)N[C@@H]2CCC(=O)NC2=O)C1 ZINC001309331865 1074013074 /nfs/dbraw/zinc/01/30/74/1074013074.db2.gz VCYWSLLIWGHJJD-HUUCEWRRSA-N 0 0 425.463 -0.251 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@H](CS(=O)(=O)N[C@@H]2CCC(=O)NC2=O)C1 ZINC001309331867 1074012975 /nfs/dbraw/zinc/01/29/75/1074012975.db2.gz VCYWSLLIWGHJJD-LSDHHAIUSA-N 0 0 425.463 -0.251 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(S(=O)(=O)C[C@@H]2CN(C)CCO2)CC1 ZINC001309339870 1074013048 /nfs/dbraw/zinc/01/30/48/1074013048.db2.gz YIOFHRVBZZEUBJ-INIZCTEOSA-N 0 0 426.539 -0.088 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(S(=O)(=O)C[C@H]2CN(C)CCO2)CC1 ZINC001309339871 1074013115 /nfs/dbraw/zinc/01/31/15/1074013115.db2.gz YIOFHRVBZZEUBJ-MRXNPFEDSA-N 0 0 426.539 -0.088 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)c(=O)[nH]c1=O ZINC001309340383 1074013027 /nfs/dbraw/zinc/01/30/27/1074013027.db2.gz OUDDBFDWPXVYEE-UHFFFAOYSA-N 0 0 426.476 -0.749 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@@H](O)c1cc(Cl)c2c(c1)OCCO2 ZINC001309346893 1074013002 /nfs/dbraw/zinc/01/30/02/1074013002.db2.gz MCXHCMNJLUELIY-GFCCVEGCSA-N 0 0 428.916 -0.045 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@H](O)c1cc(Cl)c2c(c1)OCCO2 ZINC001309346894 1074013097 /nfs/dbraw/zinc/01/30/97/1074013097.db2.gz MCXHCMNJLUELIY-LBPRGKRZSA-N 0 0 428.916 -0.045 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCC(O)(CN3CCOCC3)CC2)CC1 ZINC001309355896 1074013544 /nfs/dbraw/zinc/01/35/44/1074013544.db2.gz BZUXAVZMHHEODJ-UHFFFAOYSA-N 0 0 448.586 -0.057 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N(C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC001309357508 1074013470 /nfs/dbraw/zinc/01/34/70/1074013470.db2.gz CQWOCVMYHJALNE-UHFFFAOYSA-N 0 0 448.567 -0.385 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cn(C)nc1Br ZINC001309358001 1074013291 /nfs/dbraw/zinc/01/32/91/1074013291.db2.gz PJXRXYZRFIJYSE-SECBINFHSA-N 0 0 439.288 -0.749 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cn(C)nc1Br ZINC001309358005 1074013371 /nfs/dbraw/zinc/01/33/71/1074013371.db2.gz PJXRXYZRFIJYSE-VIFPVBQESA-N 0 0 439.288 -0.749 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2[nH]c(=O)c(C)cc2c1 ZINC001309359363 1074013443 /nfs/dbraw/zinc/01/34/43/1074013443.db2.gz YLUTXFXXISANBP-INIZCTEOSA-N 0 0 437.474 -0.095 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2[nH]c(=O)c(C)cc2c1 ZINC001309359375 1074013390 /nfs/dbraw/zinc/01/33/90/1074013390.db2.gz YLUTXFXXISANBP-MRXNPFEDSA-N 0 0 437.474 -0.095 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC001309359472 1074013381 /nfs/dbraw/zinc/01/33/81/1074013381.db2.gz ZLBLRNAVJGMSQK-AWEZNQCLSA-N 0 0 430.508 -0.559 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC001309359484 1074013411 /nfs/dbraw/zinc/01/34/11/1074013411.db2.gz ZLBLRNAVJGMSQK-CQSZACIVSA-N 0 0 430.508 -0.559 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCCCN2CCNC(=O)C2)c(F)cc1Br ZINC001309367968 1074013510 /nfs/dbraw/zinc/01/35/10/1074013510.db2.gz CPRSDBHOOWVXFE-UHFFFAOYSA-N 0 0 437.291 -0.213 20 0 IBADRN CCN1C[C@@H](S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC001309369846 1074013302 /nfs/dbraw/zinc/01/33/02/1074013302.db2.gz YAGKMABVBXLIHA-AWEZNQCLSA-N 0 0 445.519 -0.629 20 0 IBADRN CCN1C[C@H](S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC001309369847 1074013502 /nfs/dbraw/zinc/01/35/02/1074013502.db2.gz YAGKMABVBXLIHA-CQSZACIVSA-N 0 0 445.519 -0.629 20 0 IBADRN O=C1OCCN1CCS(=O)(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001309369849 1074013573 /nfs/dbraw/zinc/01/35/73/1074013573.db2.gz YEYCBXQEKWIIHS-UHFFFAOYSA-N 0 0 447.491 -0.798 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)C1 ZINC001309370847 1074013401 /nfs/dbraw/zinc/01/34/01/1074013401.db2.gz GSKHTESRAWDRCB-UHFFFAOYSA-N 0 0 448.542 -0.397 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)c(=O)o1 ZINC001309372682 1074013485 /nfs/dbraw/zinc/01/34/85/1074013485.db2.gz YSGNNGDXRRLBCP-UHFFFAOYSA-N 0 0 427.458 -0.130 20 0 IBADRN CN1CCO[C@H](CS(=O)(=O)N2CCN(c3ccc(NS(C)(=O)=O)cc3)CC2)C1 ZINC001309375743 1074013336 /nfs/dbraw/zinc/01/33/36/1074013336.db2.gz RRWLPQCQOXYYFL-KRWDZBQOSA-N 0 0 432.568 -0.160 20 0 IBADRN CN1CCO[C@@H](CS(=O)(=O)N2CCN(c3ccc(NS(C)(=O)=O)cc3)CC2)C1 ZINC001309375744 1074013530 /nfs/dbraw/zinc/01/35/30/1074013530.db2.gz RRWLPQCQOXYYFL-QGZVFWFLSA-N 0 0 432.568 -0.160 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(S(=O)(=O)CCN3CCOC3=O)CC2)cc1 ZINC001309376001 1074013813 /nfs/dbraw/zinc/01/38/13/1074013813.db2.gz UPYISBBNXDZADM-UHFFFAOYSA-N 0 0 432.524 -0.038 20 0 IBADRN C[C@@H](O)c1cn(C2CN(S(=O)(=O)c3cnn(C)c3I)C2)nn1 ZINC001309383031 1074013877 /nfs/dbraw/zinc/01/38/77/1074013877.db2.gz MJOKPLIQSWRODK-SSDOTTSWSA-N 0 0 438.251 -0.085 20 0 IBADRN C[C@H](O)c1cn(C2CN(S(=O)(=O)c3cnn(C)c3I)C2)nn1 ZINC001309383041 1074013805 /nfs/dbraw/zinc/01/38/05/1074013805.db2.gz MJOKPLIQSWRODK-ZETCQYMHSA-N 0 0 438.251 -0.085 20 0 IBADRN CC(C)(CCS(=O)(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1)S(C)(=O)=O ZINC001309387559 1074013751 /nfs/dbraw/zinc/01/37/51/1074013751.db2.gz SRGOCDSHGHGVLV-UHFFFAOYSA-N 0 0 430.614 -0.276 20 0 IBADRN O=S(=O)(C[C@H]1CCCS(=O)(=O)C1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001309387971 1074013978 /nfs/dbraw/zinc/01/39/78/1074013978.db2.gz VUXMRBBKSZBUFO-AWEZNQCLSA-N 0 0 428.598 -0.664 20 0 IBADRN O=S(=O)(C[C@@H]1CCCS(=O)(=O)C1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001309387975 1074013769 /nfs/dbraw/zinc/01/37/69/1074013769.db2.gz VUXMRBBKSZBUFO-CQSZACIVSA-N 0 0 428.598 -0.664 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)c2c(OC)nn3cc(Br)cnc23)CCO1 ZINC001309389295 1074013866 /nfs/dbraw/zinc/01/38/66/1074013866.db2.gz ADXKQWPOIACVLD-SECBINFHSA-N 0 0 434.272 -0.364 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)c2c(OC)nn3cc(Br)cnc23)CCO1 ZINC001309389296 1074013853 /nfs/dbraw/zinc/01/38/53/1074013853.db2.gz ADXKQWPOIACVLD-VIFPVBQESA-N 0 0 434.272 -0.364 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)C[C@H]1CC[C@H](C(F)(F)F)O1)C2 ZINC001309405286 1074013793 /nfs/dbraw/zinc/01/37/93/1074013793.db2.gz OOUUAUSJNCYYSY-GHMZBOCLSA-N 0 0 441.432 -0.612 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)C[C@H]1CC[C@@H](C(F)(F)F)O1)C2 ZINC001309405291 1074013990 /nfs/dbraw/zinc/01/39/90/1074013990.db2.gz OOUUAUSJNCYYSY-MNOVXSKESA-N 0 0 441.432 -0.612 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)C[C@@H]1CC[C@@H](C(F)(F)F)O1)C2 ZINC001309405293 1074013782 /nfs/dbraw/zinc/01/37/82/1074013782.db2.gz OOUUAUSJNCYYSY-QWRGUYRKSA-N 0 0 441.432 -0.612 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)C[C@@H]1CC[C@H](C(F)(F)F)O1)C2 ZINC001309405295 1074013945 /nfs/dbraw/zinc/01/39/45/1074013945.db2.gz OOUUAUSJNCYYSY-WDEREUQCSA-N 0 0 441.432 -0.612 20 0 IBADRN CO[C@@H](CS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(F)cc1 ZINC001309406152 1074013981 /nfs/dbraw/zinc/01/39/81/1074013981.db2.gz VOBFJYVSLPHWSY-HNNXBMFYSA-N 0 0 441.485 -0.195 20 0 IBADRN CO[C@H](CS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(F)cc1 ZINC001309406153 1074013998 /nfs/dbraw/zinc/01/39/98/1074013998.db2.gz VOBFJYVSLPHWSY-OAHLLOKOSA-N 0 0 441.485 -0.195 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1OC ZINC001309412951 1074014305 /nfs/dbraw/zinc/01/43/05/1074014305.db2.gz HBPBBZYTTQDIJR-CABCVRRESA-N 0 0 435.524 -0.509 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1OC ZINC001309412957 1074014433 /nfs/dbraw/zinc/01/44/33/1074014433.db2.gz HBPBBZYTTQDIJR-GJZGRUSLSA-N 0 0 435.524 -0.509 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1OC ZINC001309412959 1074014247 /nfs/dbraw/zinc/01/42/47/1074014247.db2.gz HBPBBZYTTQDIJR-HUUCEWRRSA-N 0 0 435.524 -0.509 20 0 IBADRN CNS(=O)(=O)CCNS(=O)(=O)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1OC ZINC001309412961 1074014207 /nfs/dbraw/zinc/01/42/07/1074014207.db2.gz HBPBBZYTTQDIJR-LSDHHAIUSA-N 0 0 435.524 -0.509 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)c2ccc3c(c2)CC(=O)N3C)CCS(=O)(=O)CC1 ZINC001309420355 1074014296 /nfs/dbraw/zinc/01/42/96/1074014296.db2.gz HHWLYLCYPZTUMC-UHFFFAOYSA-N 0 0 430.504 -0.006 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCCN(C(=O)c3cccc(N)c3)CC2)C1 ZINC001309427055 1074014311 /nfs/dbraw/zinc/01/43/11/1074014311.db2.gz JNTYPMZJEWRDNL-UHFFFAOYSA-N 0 0 430.552 -0.362 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@H]1CCCCN1S(=O)(=O)[C@@H]1COC[C@H]1O ZINC001309429590 1074014334 /nfs/dbraw/zinc/01/43/34/1074014334.db2.gz PFQVOVACXWEGJF-RBSFLKMASA-N 0 0 435.543 -0.039 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@@H]1CCCCN1S(=O)(=O)[C@@H]1COC[C@H]1O ZINC001309429592 1074014280 /nfs/dbraw/zinc/01/42/80/1074014280.db2.gz PFQVOVACXWEGJF-RRFJBIMHSA-N 0 0 435.543 -0.039 20 0 IBADRN Cn1ncc(S(=O)(=O)NC2CC(NS(C)(=O)=O)C2)c1I ZINC001309430869 1074014410 /nfs/dbraw/zinc/01/44/10/1074014410.db2.gz KPPGSMZLWRDJBK-UHFFFAOYSA-N 0 0 434.281 -0.617 20 0 IBADRN Cn1ncc(S(=O)(=O)NCC2(OCCO)CCOCC2)c1I ZINC001309432179 1074014255 /nfs/dbraw/zinc/01/42/55/1074014255.db2.gz DJKIKPZPQVACLL-UHFFFAOYSA-N 0 0 445.279 -0.139 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@H]1NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309432203 1074014271 /nfs/dbraw/zinc/01/42/71/1074014271.db2.gz FBVVSEJOZARXOI-NXEZZACHSA-N 0 0 430.492 -0.052 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309432204 1074014350 /nfs/dbraw/zinc/01/43/50/1074014350.db2.gz FBVVSEJOZARXOI-UWVGGRQHSA-N 0 0 430.492 -0.052 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCC[C@H]1NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309432205 1074014425 /nfs/dbraw/zinc/01/44/25/1074014425.db2.gz FBVVSEJOZARXOI-VHSXEESVSA-N 0 0 430.492 -0.052 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCC[C@@H]1NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309432206 1074014323 /nfs/dbraw/zinc/01/43/23/1074014323.db2.gz FBVVSEJOZARXOI-ZJUUUORDSA-N 0 0 430.492 -0.052 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2cc(C(=O)N(C)C)ccc2OC)CCS(=O)(=O)CC1 ZINC001309438135 1074014225 /nfs/dbraw/zinc/01/42/25/1074014225.db2.gz IGJHDRLSPWHGCK-UHFFFAOYSA-N 0 0 448.519 -0.204 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)C1 ZINC001309439676 1074014361 /nfs/dbraw/zinc/01/43/61/1074014361.db2.gz WLECCUAUZAGKBV-CVEARBPZSA-N 0 0 445.563 -0.133 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)C1 ZINC001309439677 1074014722 /nfs/dbraw/zinc/01/47/22/1074014722.db2.gz WLECCUAUZAGKBV-HOTGVXAUSA-N 0 0 445.563 -0.133 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)C1 ZINC001309439678 1074014686 /nfs/dbraw/zinc/01/46/86/1074014686.db2.gz WLECCUAUZAGKBV-HZPDHXFCSA-N 0 0 445.563 -0.133 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)C1 ZINC001309439679 1074014807 /nfs/dbraw/zinc/01/48/07/1074014807.db2.gz WLECCUAUZAGKBV-JKSUJKDBSA-N 0 0 445.563 -0.133 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(OC)cc2CC(=O)OC)CC1 ZINC001309445881 1074014780 /nfs/dbraw/zinc/01/47/80/1074014780.db2.gz XDNQXNYJTNMFBI-UHFFFAOYSA-N 0 0 435.524 -0.382 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(Cl)c(S(C)(=O)=O)c2)CC1 ZINC001309445896 1074014739 /nfs/dbraw/zinc/01/47/39/1074014739.db2.gz XOOQEZPBLGDGGK-UHFFFAOYSA-N 0 0 445.972 -0.050 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309448088 1074014597 /nfs/dbraw/zinc/01/45/97/1074014597.db2.gz CJKCDVHCDQBQFA-BDAKNGLRSA-N 0 0 426.435 -0.313 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309448089 1074014764 /nfs/dbraw/zinc/01/47/64/1074014764.db2.gz CJKCDVHCDQBQFA-DTWKUNHWSA-N 0 0 426.435 -0.313 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309448090 1074014714 /nfs/dbraw/zinc/01/47/14/1074014714.db2.gz CJKCDVHCDQBQFA-IUCAKERBSA-N 0 0 426.435 -0.313 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NS(=O)(=O)CCCS(=O)(=O)C(F)(F)F ZINC001309448091 1074014619 /nfs/dbraw/zinc/01/46/19/1074014619.db2.gz CJKCDVHCDQBQFA-RKDXNWHRSA-N 0 0 426.435 -0.313 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N3CCC[C@@H](N4CCCS4(=O)=O)C3)cc21 ZINC001309457576 1074014608 /nfs/dbraw/zinc/01/46/08/1074014608.db2.gz ABUVMEULUNDSKQ-CYBMUJFWSA-N 0 0 429.520 -0.003 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N3CCC[C@H](N4CCCS4(=O)=O)C3)cc21 ZINC001309457577 1074014730 /nfs/dbraw/zinc/01/47/30/1074014730.db2.gz ABUVMEULUNDSKQ-ZDUSSCGKSA-N 0 0 429.520 -0.003 20 0 IBADRN CCOCCOC[C@H](O)CNS(=O)(=O)c1cnn(C)c1I ZINC001309460406 1074014753 /nfs/dbraw/zinc/01/47/53/1074014753.db2.gz QSHUFVMYGMNVFL-SECBINFHSA-N 0 0 433.268 -0.283 20 0 IBADRN CCOCCOC[C@@H](O)CNS(=O)(=O)c1cnn(C)c1I ZINC001309460407 1074014626 /nfs/dbraw/zinc/01/46/26/1074014626.db2.gz QSHUFVMYGMNVFL-VIFPVBQESA-N 0 0 433.268 -0.283 20 0 IBADRN O=S(=O)(C[C@H]1CCCS(=O)(=O)C1)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC001309460998 1074014771 /nfs/dbraw/zinc/01/47/71/1074014771.db2.gz XLDCRUVRJTXZCI-AWEZNQCLSA-N 0 0 425.550 -0.303 20 0 IBADRN O=S(=O)(C[C@@H]1CCCS(=O)(=O)C1)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC001309460999 1074014800 /nfs/dbraw/zinc/01/48/00/1074014800.db2.gz XLDCRUVRJTXZCI-CQSZACIVSA-N 0 0 425.550 -0.303 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)C[C@H]1CN(C)CCO1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001309462954 1074014696 /nfs/dbraw/zinc/01/46/96/1074014696.db2.gz SMRKRZFYWZBWMT-PBHICJAKSA-N 0 0 428.559 -0.543 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)C[C@H]1CN(C)CCO1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001309462956 1074014668 /nfs/dbraw/zinc/01/46/68/1074014668.db2.gz SMRKRZFYWZBWMT-RHSMWYFYSA-N 0 0 428.559 -0.543 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)C[C@@H]1CN(C)CCO1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001309462957 1074014704 /nfs/dbraw/zinc/01/47/04/1074014704.db2.gz SMRKRZFYWZBWMT-WMLDXEAASA-N 0 0 428.559 -0.543 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)C[C@@H]1CN(C)CCO1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001309462958 1074015165 /nfs/dbraw/zinc/01/51/65/1074015165.db2.gz SMRKRZFYWZBWMT-YOEHRIQHSA-N 0 0 428.559 -0.543 20 0 IBADRN Cn1ccc(NC(=O)CNS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)n1 ZINC001309466856 1074014994 /nfs/dbraw/zinc/01/49/94/1074014994.db2.gz MUOIPQZCISJQGO-UHFFFAOYSA-N 0 0 435.462 -0.409 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001309468095 1074015136 /nfs/dbraw/zinc/01/51/36/1074015136.db2.gz VAPLDBWWLKNCAF-BLLLJJGKSA-N 0 0 430.508 -0.431 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001309468096 1074015071 /nfs/dbraw/zinc/01/50/71/1074015071.db2.gz VAPLDBWWLKNCAF-LRDDRELGSA-N 0 0 430.508 -0.431 20 0 IBADRN C[C@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001309468097 1074015159 /nfs/dbraw/zinc/01/51/59/1074015159.db2.gz VAPLDBWWLKNCAF-MLGOLLRUSA-N 0 0 430.508 -0.431 20 0 IBADRN C[C@@]1(c2ccccc2)NC(=O)N(NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001309468098 1074015144 /nfs/dbraw/zinc/01/51/44/1074015144.db2.gz VAPLDBWWLKNCAF-WBMJQRKESA-N 0 0 430.508 -0.431 20 0 IBADRN COC(=O)CC[C@@H](NS(=O)(=O)c1cnn(C)c1I)C(=O)OC ZINC001309468229 1074015116 /nfs/dbraw/zinc/01/51/16/1074015116.db2.gz PQONXEVEKVIMNS-SSDOTTSWSA-N 0 0 445.235 -0.202 20 0 IBADRN CN1CCN(S(=O)(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)CC1 ZINC001309469666 1074015061 /nfs/dbraw/zinc/01/50/61/1074015061.db2.gz XKBBWSJGISDGIV-KRWDZBQOSA-N 0 0 428.530 -0.075 20 0 IBADRN CN1CCN(S(=O)(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)CC1 ZINC001309469681 1074015099 /nfs/dbraw/zinc/01/50/99/1074015099.db2.gz XKBBWSJGISDGIV-QGZVFWFLSA-N 0 0 428.530 -0.075 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC001309477506 1074015108 /nfs/dbraw/zinc/01/51/08/1074015108.db2.gz BJEADCKYQBWWMN-UHFFFAOYSA-N 0 0 433.961 -0.441 20 0 IBADRN Cc1nn(C2CCOCC2)c2ncc(S(=O)(=O)NCCNS(=O)(=O)N(C)C)cc12 ZINC001309477547 1074015006 /nfs/dbraw/zinc/01/50/06/1074015006.db2.gz BOGYXAVKGGTIPM-UHFFFAOYSA-N 0 0 446.555 -0.234 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001309477937 1074014978 /nfs/dbraw/zinc/01/49/78/1074014978.db2.gz YCUXOKLQUJNREP-UHFFFAOYSA-N 0 0 437.544 -0.841 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1cc(Br)cc(C(N)=O)c1 ZINC001309478430 1074015036 /nfs/dbraw/zinc/01/50/36/1074015036.db2.gz JFKGCCNNTJNZTJ-UHFFFAOYSA-N 0 0 429.318 -0.778 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)C1 ZINC001309478467 1074014961 /nfs/dbraw/zinc/01/49/61/1074014961.db2.gz NGHUTFMHTQHQJW-CABCVRRESA-N 0 0 439.556 -0.423 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)C1 ZINC001309478468 1074015018 /nfs/dbraw/zinc/01/50/18/1074015018.db2.gz NGHUTFMHTQHQJW-GJZGRUSLSA-N 0 0 439.556 -0.423 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)C1 ZINC001309478469 1074015150 /nfs/dbraw/zinc/01/51/50/1074015150.db2.gz NGHUTFMHTQHQJW-HUUCEWRRSA-N 0 0 439.556 -0.423 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)C1 ZINC001309478470 1074015029 /nfs/dbraw/zinc/01/50/29/1074015029.db2.gz NGHUTFMHTQHQJW-LSDHHAIUSA-N 0 0 439.556 -0.423 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)N(C)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001309478655 1074015089 /nfs/dbraw/zinc/01/50/89/1074015089.db2.gz KZZHXNHCCAKOIV-UHFFFAOYSA-N 0 0 443.592 -0.452 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C2 ZINC001309489422 1074015515 /nfs/dbraw/zinc/01/55/15/1074015515.db2.gz GSYVBVIGMJVAHD-UHFFFAOYSA-N 0 0 428.492 -0.517 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2CCc3c(cccc3S(=O)(=O)N(C)C)C2)c(=O)o1 ZINC001309491403 1074015458 /nfs/dbraw/zinc/01/54/58/1074015458.db2.gz VMUNDWRFQYBRPX-UHFFFAOYSA-N 0 0 430.508 -0.217 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(O)(C(N)=O)CC2)c(Br)c1 ZINC001309492118 1074015320 /nfs/dbraw/zinc/01/53/20/1074015320.db2.gz DGKBBBBGSYBNDF-UHFFFAOYSA-N 0 0 441.325 -0.147 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(NC(=O)C(F)(F)F)c2)CC1 ZINC001309492968 1074015333 /nfs/dbraw/zinc/01/53/33/1074015333.db2.gz KVOUYJFMOWOMFQ-UHFFFAOYSA-N 0 0 430.430 -0.042 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)OC(C)(C)C)CC2)CC1 ZINC001309493427 1074015492 /nfs/dbraw/zinc/01/54/92/1074015492.db2.gz LZNXYOUVZOXYOR-UHFFFAOYSA-N 0 0 426.561 -0.633 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)cc1)N1CCOCC1 ZINC001309493694 1074015522 /nfs/dbraw/zinc/01/55/22/1074015522.db2.gz JMOZSDLPVICONE-UHFFFAOYSA-N 0 0 432.520 -0.623 20 0 IBADRN CN1CCc2ccc(S(=O)(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)cc21 ZINC001309495784 1074015399 /nfs/dbraw/zinc/01/53/99/1074015399.db2.gz DASWTYYNQQMPHI-UHFFFAOYSA-N 0 0 436.581 -0.042 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)c2cccc3c2OCO3)CC1 ZINC001309496531 1074015530 /nfs/dbraw/zinc/01/55/30/1074015530.db2.gz IXQVNJZIVKMQFC-UHFFFAOYSA-N 0 0 425.510 -0.306 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001309496833 1074015482 /nfs/dbraw/zinc/01/54/82/1074015482.db2.gz KZMBGQGAQLJZOC-CHWSQXEVSA-N 0 0 445.585 -0.362 20 0 IBADRN COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001309496834 1074015383 /nfs/dbraw/zinc/01/53/83/1074015383.db2.gz KZMBGQGAQLJZOC-OLZOCXBDSA-N 0 0 445.585 -0.362 20 0 IBADRN COC(=O)[C@H]1CCCC[C@H]1S(=O)(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001309496835 1074015346 /nfs/dbraw/zinc/01/53/46/1074015346.db2.gz KZMBGQGAQLJZOC-QWHCGFSZSA-N 0 0 445.585 -0.362 20 0 IBADRN COC(=O)[C@H]1CCCC[C@@H]1S(=O)(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001309496836 1074015412 /nfs/dbraw/zinc/01/54/12/1074015412.db2.gz KZMBGQGAQLJZOC-STQMWFEESA-N 0 0 445.585 -0.362 20 0 IBADRN CCS(=O)(=O)C1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001309496857 1074015359 /nfs/dbraw/zinc/01/53/59/1074015359.db2.gz LMVWNMAHAOXXMM-UHFFFAOYSA-N 0 0 430.504 -0.252 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCCN1S(=O)(=O)C[C@@H]1CCC2(CCOCC2)CO1 ZINC001309497133 1074015370 /nfs/dbraw/zinc/01/53/70/1074015370.db2.gz NVPNCYNRTGDTKK-CABCVRRESA-N 0 0 425.573 -0.060 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCCN1S(=O)(=O)C[C@@H]1CCC2(CCOCC2)CO1 ZINC001309497138 1074015423 /nfs/dbraw/zinc/01/54/23/1074015423.db2.gz NVPNCYNRTGDTKK-GJZGRUSLSA-N 0 0 425.573 -0.060 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCCN1S(=O)(=O)C[C@H]1CCC2(CCOCC2)CO1 ZINC001309497139 1074015501 /nfs/dbraw/zinc/01/55/01/1074015501.db2.gz NVPNCYNRTGDTKK-HUUCEWRRSA-N 0 0 425.573 -0.060 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCCN1S(=O)(=O)C[C@H]1CCC2(CCOCC2)CO1 ZINC001309497141 1074015512 /nfs/dbraw/zinc/01/55/12/1074015512.db2.gz NVPNCYNRTGDTKK-LSDHHAIUSA-N 0 0 425.573 -0.060 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCCC[C@H]3CNS(N)(=O)=O)cc2CC1 ZINC001309498241 1074015469 /nfs/dbraw/zinc/01/54/69/1074015469.db2.gz ZOFUBKHSRBRUHJ-KRWDZBQOSA-N 0 0 444.579 -0.030 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCCC[C@@H]3CNS(N)(=O)=O)cc2CC1 ZINC001309498242 1074015678 /nfs/dbraw/zinc/01/56/78/1074015678.db2.gz ZOFUBKHSRBRUHJ-QGZVFWFLSA-N 0 0 444.579 -0.030 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NC[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001309505356 1074015778 /nfs/dbraw/zinc/01/57/78/1074015778.db2.gz GUBHTVDTLLBKQW-DOMZBBRYSA-N 0 0 428.529 -0.909 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NC[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001309505357 1074015814 /nfs/dbraw/zinc/01/58/14/1074015814.db2.gz GUBHTVDTLLBKQW-IUODEOHRSA-N 0 0 428.529 -0.909 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NC[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001309505358 1074015857 /nfs/dbraw/zinc/01/58/57/1074015857.db2.gz GUBHTVDTLLBKQW-SWLSCSKDSA-N 0 0 428.529 -0.909 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NC[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001309505359 1074015697 /nfs/dbraw/zinc/01/56/97/1074015697.db2.gz GUBHTVDTLLBKQW-WFASDCNBSA-N 0 0 428.529 -0.909 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NC[C@@]2(O)CCS(=O)(=O)C2)c1OC ZINC001309505898 1074015870 /nfs/dbraw/zinc/01/58/70/1074015870.db2.gz JNXREWHQCDCQSR-AWEZNQCLSA-N 0 0 426.900 -0.464 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)NC[C@]2(O)CCS(=O)(=O)C2)c1OC ZINC001309505899 1074015742 /nfs/dbraw/zinc/01/57/42/1074015742.db2.gz JNXREWHQCDCQSR-CQSZACIVSA-N 0 0 426.900 -0.464 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001309508722 1074015687 /nfs/dbraw/zinc/01/56/87/1074015687.db2.gz ZZYHXNYYHBYHAX-JHJVBQTASA-N 0 0 427.545 -0.833 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001309508723 1074015666 /nfs/dbraw/zinc/01/56/66/1074015666.db2.gz ZZYHXNYYHBYHAX-YNEHKIRRSA-N 0 0 427.545 -0.833 20 0 IBADRN CN(CCS(=O)(=O)N1CC(C2CS(=O)(=O)C2)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001309509051 1074015848 /nfs/dbraw/zinc/01/58/48/1074015848.db2.gz BLCPESHTGUUUDT-UHFFFAOYSA-N 0 0 440.540 -0.248 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)NCCO3)CC1 ZINC001309520343 1074015906 /nfs/dbraw/zinc/01/59/06/1074015906.db2.gz HXLTYARTRQRFGZ-UHFFFAOYSA-N 0 0 432.524 -0.642 20 0 IBADRN CN(CCS(=O)(=O)N[C@H](C(N)=O)c1ccccn1)S(=O)(=O)c1ccc(F)cc1 ZINC001309522873 1074015892 /nfs/dbraw/zinc/01/58/92/1074015892.db2.gz BJUWGXDLRJMZOM-HNNXBMFYSA-N 0 0 430.483 -0.013 20 0 IBADRN CN(CCS(=O)(=O)N[C@@H](C(N)=O)c1ccccn1)S(=O)(=O)c1ccc(F)cc1 ZINC001309522882 1074015825 /nfs/dbraw/zinc/01/58/25/1074015825.db2.gz BJUWGXDLRJMZOM-OAHLLOKOSA-N 0 0 430.483 -0.013 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)CC1 ZINC001309528966 1074015711 /nfs/dbraw/zinc/01/57/11/1074015711.db2.gz MLPQTIDFWGJZBT-UHFFFAOYSA-N 0 0 431.558 -0.320 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N3CCS(=O)(=NS(C)(=O)=O)CC3)cc2C1=O ZINC001309528972 1074015653 /nfs/dbraw/zinc/01/56/53/1074015653.db2.gz MNUPEOMHFFNPSB-UHFFFAOYSA-N 0 0 435.505 -0.656 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)C1 ZINC001309528974 1074015806 /nfs/dbraw/zinc/01/58/06/1074015806.db2.gz MPISOXFKGJKOFL-GFCCVEGCSA-N 0 0 431.558 -0.751 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)C1 ZINC001309528975 1074015767 /nfs/dbraw/zinc/01/57/67/1074015767.db2.gz MPISOXFKGJKOFL-LBPRGKRZSA-N 0 0 431.558 -0.751 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(S(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)CC1 ZINC001309529986 1074015755 /nfs/dbraw/zinc/01/57/55/1074015755.db2.gz ZCKLMQDMBCGLBL-UHFFFAOYSA-N 0 0 448.541 -0.339 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N(C)CCN(C)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001309534831 1074015880 /nfs/dbraw/zinc/01/58/80/1074015880.db2.gz OPKDRYUOICNAGQ-UHFFFAOYSA-N 0 0 448.567 -0.168 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCCn2cc(Br)ccc2=O)C1 ZINC001309537471 1074015728 /nfs/dbraw/zinc/01/57/28/1074015728.db2.gz ULNVVTBZDFAUSC-UHFFFAOYSA-N 0 0 428.330 -0.578 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C[C@@H]1c1ccccc1 ZINC001309556887 1074016135 /nfs/dbraw/zinc/01/61/35/1074016135.db2.gz DVQONSDSBGVQLB-HZPDHXFCSA-N 0 0 431.536 -0.303 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c(Br)n1 ZINC001309557673 1074016263 /nfs/dbraw/zinc/01/62/63/1074016263.db2.gz FWICBUKZGZCHKY-UHFFFAOYSA-N 0 0 439.317 -0.399 20 0 IBADRN C[C@H]1CN(S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CCN1C(=O)c1cscn1 ZINC001309560037 1074016087 /nfs/dbraw/zinc/01/60/87/1074016087.db2.gz ARPAQOPGOVHPIB-QWHCGFSZSA-N 0 0 436.581 -0.099 20 0 IBADRN C[C@H]1CN(S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CCN1C(=O)c1cscn1 ZINC001309560038 1074016106 /nfs/dbraw/zinc/01/61/06/1074016106.db2.gz ARPAQOPGOVHPIB-STQMWFEESA-N 0 0 436.581 -0.099 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NCC2(N3CCOCC3)CCOCC2)C1 ZINC001309567262 1074016244 /nfs/dbraw/zinc/01/62/44/1074016244.db2.gz DFGLQLMBBZOLOX-HNNXBMFYSA-N 0 0 425.573 -0.931 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NCC2(N3CCOCC3)CCOCC2)C1 ZINC001309567263 1074016118 /nfs/dbraw/zinc/01/61/18/1074016118.db2.gz DFGLQLMBBZOLOX-OAHLLOKOSA-N 0 0 425.573 -0.931 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCC2(N3CCOCC3)CCOCC2)cc1 ZINC001309567962 1074016171 /nfs/dbraw/zinc/01/61/71/1074016171.db2.gz NCFMQJLVIXRXOO-UHFFFAOYSA-N 0 0 433.552 -0.246 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCS(=O)(=O)[C@H]3COCC[C@H]31)OCC(=O)N2 ZINC001309571550 1074016067 /nfs/dbraw/zinc/01/60/67/1074016067.db2.gz RVVZQLPMMIDTQB-ABAIWWIYSA-N 0 0 432.476 -0.397 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCS(=O)(=O)[C@@H]3COCC[C@H]31)OCC(=O)N2 ZINC001309571551 1074016079 /nfs/dbraw/zinc/01/60/79/1074016079.db2.gz RVVZQLPMMIDTQB-IAQYHMDHSA-N 0 0 432.476 -0.397 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCS(=O)(=O)[C@H]3COCC[C@@H]31)OCC(=O)N2 ZINC001309571552 1074016287 /nfs/dbraw/zinc/01/62/87/1074016287.db2.gz RVVZQLPMMIDTQB-NHYWBVRUSA-N 0 0 432.476 -0.397 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCS(=O)(=O)[C@@H]3COCC[C@@H]31)OCC(=O)N2 ZINC001309571553 1074016095 /nfs/dbraw/zinc/01/60/95/1074016095.db2.gz RVVZQLPMMIDTQB-XHDPSFHLSA-N 0 0 432.476 -0.397 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N(CCO)CCOCCO)c(Br)c1 ZINC001309572371 1074016184 /nfs/dbraw/zinc/01/61/84/1074016184.db2.gz YNALUTJWUDUYRW-UHFFFAOYSA-N 0 0 446.341 -0.156 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC(S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCCCC1 ZINC001309573047 1074016209 /nfs/dbraw/zinc/01/62/09/1074016209.db2.gz FIRNONITNPZDAI-UHFFFAOYSA-N 0 0 437.565 -0.169 20 0 IBADRN COc1cc(Br)c(S(=O)(=O)N2CCN(CC(N)=O)C(=O)C2)cc1OC ZINC001309573312 1074016307 /nfs/dbraw/zinc/01/63/07/1074016307.db2.gz MOLTYVCWLLMVLL-UHFFFAOYSA-N 0 0 436.284 -0.216 20 0 IBADRN CC(C)CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(CC(N)=O)C(=O)C2)s1 ZINC001309574556 1074016298 /nfs/dbraw/zinc/01/62/98/1074016298.db2.gz ZKUHHNJCOOMWNP-UHFFFAOYSA-N 0 0 430.552 -0.229 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001309576160 1074016315 /nfs/dbraw/zinc/01/63/15/1074016315.db2.gz XOYBJFPILLRJBV-UHFFFAOYSA-N 0 0 432.886 -0.631 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)CCOC1CCOCC1 ZINC001309576513 1074016661 /nfs/dbraw/zinc/01/66/61/1074016661.db2.gz IDODYSVVRGPYHA-AWEZNQCLSA-N 0 0 427.541 -0.048 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)CCOC1CCOCC1 ZINC001309576521 1074016734 /nfs/dbraw/zinc/01/67/34/1074016734.db2.gz IDODYSVVRGPYHA-CQSZACIVSA-N 0 0 427.541 -0.048 20 0 IBADRN C[C@H]1CN(CCO)CCN1S(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001309579926 1074016523 /nfs/dbraw/zinc/01/65/23/1074016523.db2.gz PNLINULSPPTCLI-HNNXBMFYSA-N 0 0 425.507 -0.373 20 0 IBADRN C[C@@H]1CN(CCO)CCN1S(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001309579927 1074016678 /nfs/dbraw/zinc/01/66/78/1074016678.db2.gz PNLINULSPPTCLI-OAHLLOKOSA-N 0 0 425.507 -0.373 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(COC)COC)CC1 ZINC001309582487 1074016633 /nfs/dbraw/zinc/01/66/33/1074016633.db2.gz SVLYPCXYDXVWHE-UHFFFAOYSA-N 0 0 435.568 -0.048 20 0 IBADRN COCC(COC)N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC001309583161 1074016568 /nfs/dbraw/zinc/01/65/68/1074016568.db2.gz ZLJQVODJKKWKML-UHFFFAOYSA-N 0 0 435.568 -0.095 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CC(S(C)(=O)=O)C1 ZINC001309585390 1074016608 /nfs/dbraw/zinc/01/66/08/1074016608.db2.gz LJRVFWMGATVOHU-UHFFFAOYSA-N 0 0 425.286 -0.082 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCS(=O)(=O)C[C@@H]3C3CCC3)cnc21 ZINC001309595528 1074016502 /nfs/dbraw/zinc/01/65/02/1074016502.db2.gz NJQUEOHUORYKMP-CYBMUJFWSA-N 0 0 428.492 -0.378 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCS(=O)(=O)C[C@H]3C3CCC3)cnc21 ZINC001309595529 1074016553 /nfs/dbraw/zinc/01/65/53/1074016553.db2.gz NJQUEOHUORYKMP-ZDUSSCGKSA-N 0 0 428.492 -0.378 20 0 IBADRN O=C(C1CC1)N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001309599012 1074016621 /nfs/dbraw/zinc/01/66/21/1074016621.db2.gz AQGSSNJHZZVJQU-UHFFFAOYSA-N 0 0 429.520 -0.092 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC001309603375 1074016745 /nfs/dbraw/zinc/01/67/45/1074016745.db2.gz BREJSOQKIFZDAP-HNNXBMFYSA-N 0 0 445.519 -0.430 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC001309603417 1074016722 /nfs/dbraw/zinc/01/67/22/1074016722.db2.gz BREJSOQKIFZDAP-OAHLLOKOSA-N 0 0 445.519 -0.430 20 0 IBADRN CN(CCCN1C(=O)c2ccccc2C1=O)S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001309612641 1074016581 /nfs/dbraw/zinc/01/65/81/1074016581.db2.gz GHHIXKBTRDXBQQ-UHFFFAOYSA-N 0 0 444.535 -0.574 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001309622688 1074017102 /nfs/dbraw/zinc/01/71/02/1074017102.db2.gz OETAAYWUTGWYMV-HNNXBMFYSA-N 0 0 438.549 -0.485 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001309622689 1074017000 /nfs/dbraw/zinc/01/70/00/1074017000.db2.gz OETAAYWUTGWYMV-OAHLLOKOSA-N 0 0 438.549 -0.485 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001309622701 1074016990 /nfs/dbraw/zinc/01/69/90/1074016990.db2.gz OWVUISHVNLLKER-HNNXBMFYSA-N 0 0 438.549 -0.437 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001309622702 1074016969 /nfs/dbraw/zinc/01/69/69/1074016969.db2.gz OWVUISHVNLLKER-OAHLLOKOSA-N 0 0 438.549 -0.437 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCS(=O)(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001309623306 1074016976 /nfs/dbraw/zinc/01/69/76/1074016976.db2.gz XHYAFQGHHGXQEP-GOSISDBHSA-N 0 0 442.515 -0.108 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCS(=O)(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001309623309 1074017007 /nfs/dbraw/zinc/01/70/07/1074017007.db2.gz XHYAFQGHHGXQEP-SFHVURJKSA-N 0 0 442.515 -0.108 20 0 IBADRN Cn1cnnc1N1CCN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC001309632779 1074017131 /nfs/dbraw/zinc/01/71/31/1074017131.db2.gz ITHCHEIKFXRTGL-UHFFFAOYSA-N 0 0 426.524 -0.233 20 0 IBADRN CN([C@H]1CCC(=O)N(C)C1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001309635367 1074017144 /nfs/dbraw/zinc/01/71/44/1074017144.db2.gz LHWCOBAJNPGACN-AWEZNQCLSA-N 0 0 431.536 -0.051 20 0 IBADRN CN([C@@H]1CCC(=O)N(C)C1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001309635368 1074017014 /nfs/dbraw/zinc/01/70/14/1074017014.db2.gz LHWCOBAJNPGACN-CQSZACIVSA-N 0 0 431.536 -0.051 20 0 IBADRN COCCOCCN1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC001309636697 1074017023 /nfs/dbraw/zinc/01/70/23/1074017023.db2.gz AYXWTQXRYGFTKW-UHFFFAOYSA-N 0 0 435.568 -0.094 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)CC2)n1C ZINC001309639116 1074016984 /nfs/dbraw/zinc/01/69/84/1074016984.db2.gz PKZPYZIAGJDOGC-UHFFFAOYSA-N 0 0 428.540 -0.446 20 0 IBADRN Cn1ncc(S(=O)(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)c1I ZINC001309641818 1074017050 /nfs/dbraw/zinc/01/70/50/1074017050.db2.gz QWRUCDTXLWAZNJ-SSDOTTSWSA-N 0 0 434.281 -0.663 20 0 IBADRN Cn1ncc(S(=O)(=O)N[C@H]2CCN(S(C)(=O)=O)C2)c1I ZINC001309641819 1074017110 /nfs/dbraw/zinc/01/71/10/1074017110.db2.gz QWRUCDTXLWAZNJ-ZETCQYMHSA-N 0 0 434.281 -0.663 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001309656339 1074017039 /nfs/dbraw/zinc/01/70/39/1074017039.db2.gz OZIROCTWIDHODF-UHFFFAOYSA-N 0 0 439.581 -0.597 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)cn1 ZINC001309656850 1074016960 /nfs/dbraw/zinc/01/69/60/1074016960.db2.gz HBDPUIBTGQSNRO-UHFFFAOYSA-N 0 0 443.551 -0.130 20 0 IBADRN COC1CCN(S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)CC1 ZINC001309656919 1074016945 /nfs/dbraw/zinc/01/69/45/1074016945.db2.gz JEYSVOHNUCEWHI-UHFFFAOYSA-N 0 0 432.568 -0.006 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001309657187 1074016933 /nfs/dbraw/zinc/01/69/33/1074016933.db2.gz KNUDKEQCPCFPDO-RUZDIDTESA-N 0 0 439.585 -0.542 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001309657188 1074017093 /nfs/dbraw/zinc/01/70/93/1074017093.db2.gz KNUDKEQCPCFPDO-VWLOTQADSA-N 0 0 439.585 -0.542 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)CCCCN1C(=O)c2ccccc2C1=O ZINC001309669001 1074017358 /nfs/dbraw/zinc/01/73/58/1074017358.db2.gz CIYGMCMGTXMRRD-CABCVRRESA-N 0 0 430.504 -0.206 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)CCCCN1C(=O)c2ccccc2C1=O ZINC001309669002 1074017484 /nfs/dbraw/zinc/01/74/84/1074017484.db2.gz CIYGMCMGTXMRRD-GJZGRUSLSA-N 0 0 430.504 -0.206 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)CCCCN1C(=O)c2ccccc2C1=O ZINC001309669003 1074017337 /nfs/dbraw/zinc/01/73/37/1074017337.db2.gz CIYGMCMGTXMRRD-HUUCEWRRSA-N 0 0 430.504 -0.206 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)CCCCN1C(=O)c2ccccc2C1=O ZINC001309669004 1074017371 /nfs/dbraw/zinc/01/73/71/1074017371.db2.gz CIYGMCMGTXMRRD-LSDHHAIUSA-N 0 0 430.504 -0.206 20 0 IBADRN O=S(=O)(NC[C@@]1(OCCO)CCOC1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001309670503 1074017329 /nfs/dbraw/zinc/01/73/29/1074017329.db2.gz SMTREUOBCMRSSH-KRWDZBQOSA-N 0 0 434.536 -0.083 20 0 IBADRN O=S(=O)(NC[C@]1(OCCO)CCOC1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001309670506 1074017460 /nfs/dbraw/zinc/01/74/60/1074017460.db2.gz SMTREUOBCMRSSH-QGZVFWFLSA-N 0 0 434.536 -0.083 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N(CCN(C)C)CC(=O)N(C)C)c1 ZINC001309670526 1074017314 /nfs/dbraw/zinc/01/73/14/1074017314.db2.gz IFTYDYLGDJZWQD-UHFFFAOYSA-N 0 0 429.495 -0.100 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)s1 ZINC001309670688 1074017418 /nfs/dbraw/zinc/01/74/18/1074017418.db2.gz VGMBSCOJACOYAN-NEPJUHHUSA-N 0 0 446.594 -0.680 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)s1 ZINC001309670689 1074017508 /nfs/dbraw/zinc/01/75/08/1074017508.db2.gz VGMBSCOJACOYAN-NWDGAFQWSA-N 0 0 446.594 -0.680 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)s1 ZINC001309670691 1074017447 /nfs/dbraw/zinc/01/74/47/1074017447.db2.gz VGMBSCOJACOYAN-RYUDHWBXSA-N 0 0 446.594 -0.680 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)s1 ZINC001309670694 1074017307 /nfs/dbraw/zinc/01/73/07/1074017307.db2.gz VGMBSCOJACOYAN-VXGBXAGGSA-N 0 0 446.594 -0.680 20 0 IBADRN CN(C)CCN(CC(=O)N(C)C)S(=O)(=O)c1cnn(C)c1I ZINC001309671435 1074017427 /nfs/dbraw/zinc/01/74/27/1074017427.db2.gz RWFAEBKNURJGME-UHFFFAOYSA-N 0 0 443.311 -0.335 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N[C@H]1COC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001309674887 1074017501 /nfs/dbraw/zinc/01/75/01/1074017501.db2.gz UUQQQYAINDFFTN-GFCCVEGCSA-N 0 0 427.545 -0.424 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N[C@@H]1COC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001309674888 1074017464 /nfs/dbraw/zinc/01/74/64/1074017464.db2.gz UUQQQYAINDFFTN-LBPRGKRZSA-N 0 0 427.545 -0.424 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(F)(F)F)CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001309677484 1074017298 /nfs/dbraw/zinc/01/72/98/1074017298.db2.gz BFPCGLOEARFAGV-WDEREUQCSA-N 0 0 437.462 -0.518 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H]3OCCN(Cc4ccccc4)[C@H]3C2)CC1 ZINC001309677679 1074017397 /nfs/dbraw/zinc/01/73/97/1074017397.db2.gz KBXCVHCHWMBWGA-ZWKOTPCHSA-N 0 0 444.579 -0.606 20 0 IBADRN NC(=O)[C@H]1CN(S(=O)(=O)c2ccc(I)s2)C[C@H]1C(N)=O ZINC001309680179 1074017517 /nfs/dbraw/zinc/01/75/17/1074017517.db2.gz PECQJKDZJCLSKI-OLQVQODUSA-N 0 0 429.261 -0.440 20 0 IBADRN NC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(I)s2)C[C@H]1C(N)=O ZINC001309680180 1074017288 /nfs/dbraw/zinc/01/72/88/1074017288.db2.gz PECQJKDZJCLSKI-PHDIDXHHSA-N 0 0 429.261 -0.440 20 0 IBADRN NC(=O)[C@H]1CN(S(=O)(=O)c2ccc(I)s2)C[C@@H]1C(N)=O ZINC001309680181 1074017835 /nfs/dbraw/zinc/01/78/35/1074017835.db2.gz PECQJKDZJCLSKI-WDSKDSINSA-N 0 0 429.261 -0.440 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)C3CC3)CC2)c1 ZINC001309689699 1074017684 /nfs/dbraw/zinc/01/76/84/1074017684.db2.gz JJQXNVQXRHSTQH-UHFFFAOYSA-N 0 0 437.565 -0.265 20 0 IBADRN CC(C)(CN1C(=O)c2ccccc2C1=O)CS(=O)(=O)N1CC(NS(C)(=O)=O)C1 ZINC001309690748 1074017793 /nfs/dbraw/zinc/01/77/93/1074017793.db2.gz AUAZCTIROCYQLI-UHFFFAOYSA-N 0 0 429.520 -0.128 20 0 IBADRN CS(=O)(=O)NC1CN(S(=O)(=O)c2cc(C(N)=O)c(F)cc2Br)C1 ZINC001309691746 1074017701 /nfs/dbraw/zinc/01/77/01/1074017701.db2.gz WXVAYJNCGRGNRI-UHFFFAOYSA-N 0 0 430.277 -0.391 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@H](CNC(=O)NC)C2)cc1 ZINC001309692349 1074017766 /nfs/dbraw/zinc/01/77/66/1074017766.db2.gz ICCSULHFAFTKFV-CYBMUJFWSA-N 0 0 434.540 -0.307 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@@H](CNC(=O)NC)C2)cc1 ZINC001309692351 1074017844 /nfs/dbraw/zinc/01/78/44/1074017844.db2.gz ICCSULHFAFTKFV-ZDUSSCGKSA-N 0 0 434.540 -0.307 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)c2cnn3cc(Br)cnc23)CCO1 ZINC001309692410 1074017775 /nfs/dbraw/zinc/01/77/75/1074017775.db2.gz LWNWGJMAOGGQHX-JTQLQIEISA-N 0 0 433.288 -0.190 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)c2cnn3cc(Br)cnc23)CCO1 ZINC001309692411 1074017648 /nfs/dbraw/zinc/01/76/48/1074017648.db2.gz LWNWGJMAOGGQHX-SNVBAGLBSA-N 0 0 433.288 -0.190 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(S(=O)(=O)c3nc(C)n(C)c3Br)C[C@H]21 ZINC001309693143 1074017673 /nfs/dbraw/zinc/01/76/73/1074017673.db2.gz XULWGSQSVHHVRL-AEJSXWLSSA-N 0 0 428.330 -0.076 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)c3cccc(S(C)(=O)=O)c3)CC2)CCOC1 ZINC001309698677 1074017755 /nfs/dbraw/zinc/01/77/55/1074017755.db2.gz RTTMYULBXQYGND-KRWDZBQOSA-N 0 0 432.520 -0.272 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)c3cccc(S(C)(=O)=O)c3)CC2)CCOC1 ZINC001309698679 1074017664 /nfs/dbraw/zinc/01/76/64/1074017664.db2.gz RTTMYULBXQYGND-QGZVFWFLSA-N 0 0 432.520 -0.272 20 0 IBADRN Cn1cnnc1S(=O)(=O)N1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001309699320 1074017781 /nfs/dbraw/zinc/01/77/81/1074017781.db2.gz KYOVTNBCHMDNLM-UHFFFAOYSA-N 0 0 443.530 -0.206 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2C(=O)NCC2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC001309699808 1074017722 /nfs/dbraw/zinc/01/77/22/1074017722.db2.gz RHAQLKHOLVEOPA-HNNXBMFYSA-N 0 0 431.536 -0.181 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2C(=O)NCC2(C)C)cc1S(=O)(=O)N1CCOCC1 ZINC001309699818 1074017712 /nfs/dbraw/zinc/01/77/12/1074017712.db2.gz RHAQLKHOLVEOPA-OAHLLOKOSA-N 0 0 431.536 -0.181 20 0 IBADRN CC(C)(C)OC(=O)N1CC2CC1(CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C2 ZINC001309703091 1074017872 /nfs/dbraw/zinc/01/78/72/1074017872.db2.gz MMJWVNUJSHYELN-UHFFFAOYSA-N 0 0 438.572 -0.203 20 0 IBADRN COC(=O)c1ccc(C)c(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001309724060 1074017818 /nfs/dbraw/zinc/01/78/18/1074017818.db2.gz BUQKVXKVMXKBHV-STQMWFEESA-N 0 0 439.446 -0.362 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cc2c(cc1C)OCO2 ZINC001309724422 1074017805 /nfs/dbraw/zinc/01/78/05/1074017805.db2.gz HOXVPXMMSKWHFG-QWRGUYRKSA-N 0 0 425.419 -0.420 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cncc(Br)c1 ZINC001309724743 1074017729 /nfs/dbraw/zinc/01/77/29/1074017729.db2.gz KNYNTHAYOKYXPY-ONGXEEELSA-N 0 0 447.267 -0.300 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1c(C)nn(C(C)C)c1C ZINC001309725039 1074017857 /nfs/dbraw/zinc/01/78/57/1074017857.db2.gz NKAVARZXGXKEIN-STQMWFEESA-N 0 0 427.483 -0.063 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cnn(C2CCCC2)c1 ZINC001309725442 1074017744 /nfs/dbraw/zinc/01/77/44/1074017744.db2.gz QWQOAWSSGZWXKZ-JSGCOSHPSA-N 0 0 425.467 -0.145 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)c1I ZINC001309725847 1074018114 /nfs/dbraw/zinc/01/81/14/1074018114.db2.gz UPNKHSAQSGIGMA-MRVPVSSYSA-N 0 0 448.308 -0.273 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)c1I ZINC001309725857 1074018186 /nfs/dbraw/zinc/01/81/86/1074018186.db2.gz UPNKHSAQSGIGMA-QMMMGPOBSA-N 0 0 448.308 -0.273 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001309725874 1074018177 /nfs/dbraw/zinc/01/81/77/1074018177.db2.gz UOSKOZZYJCWLNG-ONGXEEELSA-N 0 0 433.389 -0.170 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)CCc1ccc(OC)cc1 ZINC001309725980 1074018108 /nfs/dbraw/zinc/01/81/08/1074018108.db2.gz WZCSTTNQNLMETI-ZFWWWQNUSA-N 0 0 425.463 -0.265 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](NS(C)(=O)=O)C2)CC1 ZINC001309726203 1074018133 /nfs/dbraw/zinc/01/81/33/1074018133.db2.gz WVAPUHGUSCWPJZ-CYBMUJFWSA-N 0 0 426.561 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCC[C@H](NS(C)(=O)=O)C2)CC1 ZINC001309726204 1074018169 /nfs/dbraw/zinc/01/81/69/1074018169.db2.gz WVAPUHGUSCWPJZ-ZDUSSCGKSA-N 0 0 426.561 -0.203 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCC(c2nccc(N3CCOCC3)n2)CC1 ZINC001309726362 1074018083 /nfs/dbraw/zinc/01/80/83/1074018083.db2.gz YFLFJVSQNIUHDJ-UHFFFAOYSA-N 0 0 447.583 -0.286 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2cnn3cc(Br)cnc23)C1 ZINC001309731218 1074018202 /nfs/dbraw/zinc/01/82/02/1074018202.db2.gz AZHGQNJCXICOJY-UHFFFAOYSA-N 0 0 439.317 -0.739 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)s1 ZINC001309731519 1074018226 /nfs/dbraw/zinc/01/82/26/1074018226.db2.gz GDJQUTKSSRFLBU-GFCCVEGCSA-N 0 0 425.554 -0.635 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)s1 ZINC001309731520 1074018097 /nfs/dbraw/zinc/01/80/97/1074018097.db2.gz GDJQUTKSSRFLBU-LBPRGKRZSA-N 0 0 425.554 -0.635 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001309732084 1074018061 /nfs/dbraw/zinc/01/80/61/1074018061.db2.gz MUHLQFUUMWGMLL-AWEZNQCLSA-N 0 0 435.524 -0.730 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001309732085 1074018088 /nfs/dbraw/zinc/01/80/88/1074018088.db2.gz MUHLQFUUMWGMLL-CQSZACIVSA-N 0 0 435.524 -0.730 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)C1 ZINC001309732446 1074018218 /nfs/dbraw/zinc/01/82/18/1074018218.db2.gz KSKLWKMTAJCSQI-CYBMUJFWSA-N 0 0 442.560 -0.968 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)C1 ZINC001309732448 1074018158 /nfs/dbraw/zinc/01/81/58/1074018158.db2.gz KSKLWKMTAJCSQI-ZDUSSCGKSA-N 0 0 442.560 -0.968 20 0 IBADRN COc1cc(NC(=O)C2CC2)ccc1S(=O)(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001309732529 1074018140 /nfs/dbraw/zinc/01/81/40/1074018140.db2.gz OZPLGECFKMRZJV-AWEZNQCLSA-N 0 0 447.535 -0.018 20 0 IBADRN COc1cc(NC(=O)C2CC2)ccc1S(=O)(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001309732531 1074018125 /nfs/dbraw/zinc/01/81/25/1074018125.db2.gz OZPLGECFKMRZJV-CQSZACIVSA-N 0 0 447.535 -0.018 20 0 IBADRN CCC(=O)N1CCc2ccc(S(=O)(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cc21 ZINC001309732566 1074018072 /nfs/dbraw/zinc/01/80/72/1074018072.db2.gz PRURDPWTKDMDBS-AWEZNQCLSA-N 0 0 431.536 -0.076 20 0 IBADRN CCC(=O)N1CCc2ccc(S(=O)(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cc21 ZINC001309732567 1074018194 /nfs/dbraw/zinc/01/81/94/1074018194.db2.gz PRURDPWTKDMDBS-CQSZACIVSA-N 0 0 431.536 -0.076 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC001309732579 1074018214 /nfs/dbraw/zinc/01/82/14/1074018214.db2.gz QFXUOHIUEWEFFV-CVEARBPZSA-N 0 0 437.584 -0.253 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC001309732580 1074018148 /nfs/dbraw/zinc/01/81/48/1074018148.db2.gz QFXUOHIUEWEFFV-HOTGVXAUSA-N 0 0 437.584 -0.253 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC001309732581 1074018481 /nfs/dbraw/zinc/01/84/81/1074018481.db2.gz QFXUOHIUEWEFFV-HZPDHXFCSA-N 0 0 437.584 -0.253 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC001309732582 1074018565 /nfs/dbraw/zinc/01/85/65/1074018565.db2.gz QFXUOHIUEWEFFV-JKSUJKDBSA-N 0 0 437.584 -0.253 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2cc(S(C)(=O)=O)ccc2Cl)C1 ZINC001309733251 1074018584 /nfs/dbraw/zinc/01/85/84/1074018584.db2.gz OYYXGDBNAJPIJO-UHFFFAOYSA-N 0 0 431.945 -0.488 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NCC23COCCN2CCOC3)cc1 ZINC001309734714 1074018450 /nfs/dbraw/zinc/01/84/50/1074018450.db2.gz OPMRFJAGSPYCNV-UHFFFAOYSA-N 0 0 448.563 -0.120 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCCn2c(=O)ccn(C)c2=O)cc1C ZINC001309735858 1074018384 /nfs/dbraw/zinc/01/83/84/1074018384.db2.gz CVLVTJQGWKAYKS-UHFFFAOYSA-N 0 0 430.508 -0.868 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)c1=O ZINC001309736489 1074018415 /nfs/dbraw/zinc/01/84/15/1074018415.db2.gz IMRZTLKVVBYADW-UHFFFAOYSA-N 0 0 442.519 -0.644 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001309739360 1074018527 /nfs/dbraw/zinc/01/85/27/1074018527.db2.gz PYBYGIPMFRKKRB-RYUDHWBXSA-N 0 0 433.508 -0.429 20 0 IBADRN CNS(=O)(=O)CCCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001309743139 1074018556 /nfs/dbraw/zinc/01/85/56/1074018556.db2.gz BBMZKKNBLMSSKV-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN CC(C)(C)OC(=O)NCCCOCCNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001309744672 1074018547 /nfs/dbraw/zinc/01/85/47/1074018547.db2.gz YUKAYVBZXTZLPV-UHFFFAOYSA-N 0 0 444.576 -0.671 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)N2CCC(NS(N)(=O)=O)CC2)CC1 ZINC001309748274 1074018490 /nfs/dbraw/zinc/01/84/90/1074018490.db2.gz XIFAKTRVRWXYHH-UHFFFAOYSA-N 0 0 426.561 -0.458 20 0 IBADRN C[C@@H]1[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS1(=O)=O ZINC001309748777 1074018508 /nfs/dbraw/zinc/01/85/08/1074018508.db2.gz GOPRPVXHOCPBKH-IUODEOHRSA-N 0 0 438.549 -0.439 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNS(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001309748856 1074018426 /nfs/dbraw/zinc/01/84/26/1074018426.db2.gz JHNMCMLETZWFQP-CYBMUJFWSA-N 0 0 427.545 -0.567 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNS(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001309748857 1074018470 /nfs/dbraw/zinc/01/84/70/1074018470.db2.gz JHNMCMLETZWFQP-ZDUSSCGKSA-N 0 0 427.545 -0.567 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001309748931 1074018577 /nfs/dbraw/zinc/01/85/77/1074018577.db2.gz KWJMLFWSLGGYCN-UHFFFAOYSA-N 0 0 447.535 -0.542 20 0 IBADRN COC(=O)CCOc1ccc(S(=O)(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cc1 ZINC001309749445 1074018517 /nfs/dbraw/zinc/01/85/17/1074018517.db2.gz QHBYSAAQGLBMDF-UHFFFAOYSA-N 0 0 441.506 -0.012 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001309749449 1074018438 /nfs/dbraw/zinc/01/84/38/1074018438.db2.gz QKJJLWSCIMKBKW-UHFFFAOYSA-N 0 0 433.508 -0.426 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCCN(C(=O)CNC(C)=O)CC2)ccc1OC ZINC001309749923 1074018400 /nfs/dbraw/zinc/01/84/00/1074018400.db2.gz XIKVPOIJKUAYPR-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)s1 ZINC001309753713 1074018499 /nfs/dbraw/zinc/01/84/99/1074018499.db2.gz IOGZMBVMJWCUHP-HOTGVXAUSA-N 0 0 449.576 -0.097 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)s1 ZINC001309753714 1074018461 /nfs/dbraw/zinc/01/84/61/1074018461.db2.gz IOGZMBVMJWCUHP-HZPDHXFCSA-N 0 0 449.576 -0.097 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)s1 ZINC001309753715 1074018536 /nfs/dbraw/zinc/01/85/36/1074018536.db2.gz IOGZMBVMJWCUHP-IYBDPMFKSA-N 0 0 449.576 -0.097 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCCC[C@@H]2CS(N)(=O)=O)c1I ZINC001309764483 1074018841 /nfs/dbraw/zinc/01/88/41/1074018841.db2.gz FKQQZCRELYQYCO-MRVPVSSYSA-N 0 0 448.308 -0.144 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCCC[C@H]2CS(N)(=O)=O)c1I ZINC001309764484 1074018870 /nfs/dbraw/zinc/01/88/70/1074018870.db2.gz FKQQZCRELYQYCO-QMMMGPOBSA-N 0 0 448.308 -0.144 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(C)C[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001309764758 1074018795 /nfs/dbraw/zinc/01/87/95/1074018795.db2.gz JUUVCDTVLQKQSE-CYBMUJFWSA-N 0 0 431.577 -0.243 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(C)C[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001309764761 1074018909 /nfs/dbraw/zinc/01/89/09/1074018909.db2.gz JUUVCDTVLQKQSE-ZDUSSCGKSA-N 0 0 431.577 -0.243 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc1Br ZINC001309765032 1074018858 /nfs/dbraw/zinc/01/88/58/1074018858.db2.gz DYUHKASKIWPIPE-RKDXNWHRSA-N 0 0 430.298 -0.098 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001309765378 1074018922 /nfs/dbraw/zinc/01/89/22/1074018922.db2.gz GYVRHKJSKSPZPA-CHWSQXEVSA-N 0 0 427.545 -0.690 20 0 IBADRN O=C(OCc1ccccc1)N1CCC(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001309766378 1074018888 /nfs/dbraw/zinc/01/88/88/1074018888.db2.gz OSEJVLSGGILGKU-HZPDHXFCSA-N 0 0 432.520 -0.135 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1O)c1cc2c(cc1Br)OCCO2 ZINC001309766685 1074018731 /nfs/dbraw/zinc/01/87/31/1074018731.db2.gz UCFWDUOCQTYKOI-RKDXNWHRSA-N 0 0 428.282 -0.344 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)CC1 ZINC001309767178 1074018880 /nfs/dbraw/zinc/01/88/80/1074018880.db2.gz IDCRUIIKOFHKHR-INIZCTEOSA-N 0 0 437.584 -0.299 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)CC1 ZINC001309767180 1074018817 /nfs/dbraw/zinc/01/88/17/1074018817.db2.gz IDCRUIIKOFHKHR-MRXNPFEDSA-N 0 0 437.584 -0.299 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)C1 ZINC001309767328 1074018772 /nfs/dbraw/zinc/01/87/72/1074018772.db2.gz JXVOXHPEBNDDOD-CVEARBPZSA-N 0 0 437.584 -0.299 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)C1 ZINC001309767329 1074018783 /nfs/dbraw/zinc/01/87/83/1074018783.db2.gz JXVOXHPEBNDDOD-HOTGVXAUSA-N 0 0 437.584 -0.299 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)C1 ZINC001309767331 1074018745 /nfs/dbraw/zinc/01/87/45/1074018745.db2.gz JXVOXHPEBNDDOD-HZPDHXFCSA-N 0 0 437.584 -0.299 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)C1 ZINC001309767333 1074018804 /nfs/dbraw/zinc/01/88/04/1074018804.db2.gz JXVOXHPEBNDDOD-JKSUJKDBSA-N 0 0 437.584 -0.299 20 0 IBADRN C[C@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCS1(=O)=O ZINC001309767335 1074018829 /nfs/dbraw/zinc/01/88/29/1074018829.db2.gz JZCBTLTZYSOMRN-ZDUSSCGKSA-N 0 0 430.504 -0.252 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)c1 ZINC001309768560 1074018703 /nfs/dbraw/zinc/01/87/03/1074018703.db2.gz ZXKXPWRPUXPPGP-INIZCTEOSA-N 0 0 436.577 -0.026 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)c1 ZINC001309768561 1074018760 /nfs/dbraw/zinc/01/87/60/1074018760.db2.gz ZXKXPWRPUXPPGP-MRXNPFEDSA-N 0 0 436.577 -0.026 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)NCCO3)CC1 ZINC001309769221 1074018933 /nfs/dbraw/zinc/01/89/33/1074018933.db2.gz RSYUKWPDGSGUJN-UHFFFAOYSA-N 0 0 446.551 -0.298 20 0 IBADRN CN1C(=O)[C@H]2CN(S(=O)(=O)c3cc(C(N)=O)c(Br)cc3F)C[C@H]2C1=O ZINC001309770117 1074018952 /nfs/dbraw/zinc/01/89/52/1074018952.db2.gz DFYMTXXEOVWVHY-OCAPTIKFSA-N 0 0 434.243 -0.078 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1 ZINC001309770791 1074019123 /nfs/dbraw/zinc/01/91/23/1074019123.db2.gz LLWJJTXHNGSASB-DTORHVGOSA-N 0 0 444.267 -0.264 20 0 IBADRN CN1C(=O)[C@H]2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)C[C@H]2C1=O ZINC001309771667 1074019462 /nfs/dbraw/zinc/01/94/62/1074019462.db2.gz XTXPLLNGACZOQO-GASCZTMLSA-N 0 0 427.504 -0.294 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@@H](CO)CN2CCOCC2)cc1F ZINC001309773042 1074019259 /nfs/dbraw/zinc/01/92/59/1074019259.db2.gz UXOUPPXHIUNWHB-CYBMUJFWSA-N 0 0 439.531 -0.051 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@H](CO)CN2CCOCC2)cc1F ZINC001309773043 1074019152 /nfs/dbraw/zinc/01/91/52/1074019152.db2.gz UXOUPPXHIUNWHB-ZDUSSCGKSA-N 0 0 439.531 -0.051 20 0 IBADRN CO[C@H](CS(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)[C@@H]1CCOC1 ZINC001309773604 1074019175 /nfs/dbraw/zinc/01/91/75/1074019175.db2.gz HOQPJUQXQAQKSB-GDBMZVCRSA-N 0 0 433.552 -0.163 20 0 IBADRN CO[C@H](CS(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)[C@H]1CCOC1 ZINC001309773605 1074019226 /nfs/dbraw/zinc/01/92/26/1074019226.db2.gz HOQPJUQXQAQKSB-GOEBONIOSA-N 0 0 433.552 -0.163 20 0 IBADRN CO[C@@H](CS(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)[C@H]1CCOC1 ZINC001309773606 1074019112 /nfs/dbraw/zinc/01/91/12/1074019112.db2.gz HOQPJUQXQAQKSB-HOCLYGCPSA-N 0 0 433.552 -0.163 20 0 IBADRN CO[C@@H](CS(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)[C@@H]1CCOC1 ZINC001309773607 1074019346 /nfs/dbraw/zinc/01/93/46/1074019346.db2.gz HOQPJUQXQAQKSB-ZBFHGGJFSA-N 0 0 433.552 -0.163 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC001309774583 1074019213 /nfs/dbraw/zinc/01/92/13/1074019213.db2.gz BZZKQWHWXCWKQM-UHFFFAOYSA-N 0 0 440.588 -0.796 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001309774739 1074019322 /nfs/dbraw/zinc/01/93/22/1074019322.db2.gz FJXQGJJGBUOGKN-GOSISDBHSA-N 0 0 427.479 -0.763 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001309774740 1074019454 /nfs/dbraw/zinc/01/94/54/1074019454.db2.gz FJXQGJJGBUOGKN-SFHVURJKSA-N 0 0 427.479 -0.763 20 0 IBADRN COC(=O)c1nccc2c1cccc2S(=O)(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001309774744 1074019443 /nfs/dbraw/zinc/01/94/43/1074019443.db2.gz FLIOQRQTOPRJQG-IBGZPJMESA-N 0 0 435.458 -0.073 20 0 IBADRN COC(=O)c1nccc2c1cccc2S(=O)(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001309774745 1074019334 /nfs/dbraw/zinc/01/93/34/1074019334.db2.gz FLIOQRQTOPRJQG-LJQANCHMSA-N 0 0 435.458 -0.073 20 0 IBADRN O=C1COc2cc(S(=O)(=O)NC[C@@]34COCCN3C(=O)COC4)c(Cl)cc2N1 ZINC001309775347 1074019356 /nfs/dbraw/zinc/01/93/56/1074019356.db2.gz KJOPQDBBAOUUMY-INIZCTEOSA-N 0 0 431.854 -0.423 20 0 IBADRN O=C1COc2cc(S(=O)(=O)NC[C@]34COCCN3C(=O)COC4)c(Cl)cc2N1 ZINC001309775361 1074019750 /nfs/dbraw/zinc/01/97/50/1074019750.db2.gz KJOPQDBBAOUUMY-MRXNPFEDSA-N 0 0 431.854 -0.423 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)cc1)NC1CC1 ZINC001309775881 1074019646 /nfs/dbraw/zinc/01/96/46/1074019646.db2.gz NHPFERZGVRHBTQ-IBGZPJMESA-N 0 0 439.490 -0.750 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)cc1)NC1CC1 ZINC001309775883 1074019719 /nfs/dbraw/zinc/01/97/19/1074019719.db2.gz NHPFERZGVRHBTQ-LJQANCHMSA-N 0 0 439.490 -0.750 20 0 IBADRN O=C(C1CCCC1)N1CCC[C@@H](S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001309776022 1074019837 /nfs/dbraw/zinc/01/98/37/1074019837.db2.gz PLAVCQIMRFTKPB-APWZRJJASA-N 0 0 429.539 -0.285 20 0 IBADRN O=C(C1CCCC1)N1CCC[C@H](S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001309776023 1074019822 /nfs/dbraw/zinc/01/98/22/1074019822.db2.gz PLAVCQIMRFTKPB-LPHOPBHVSA-N 0 0 429.539 -0.285 20 0 IBADRN O=C(C1CCCC1)N1CCC[C@H](S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001309776224 1074019828 /nfs/dbraw/zinc/01/98/28/1074019828.db2.gz PLAVCQIMRFTKPB-QFBILLFUSA-N 0 0 429.539 -0.285 20 0 IBADRN O=C(C1CCCC1)N1CCC[C@@H](S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001309776225 1074019813 /nfs/dbraw/zinc/01/98/13/1074019813.db2.gz PLAVCQIMRFTKPB-VQIMIIECSA-N 0 0 429.539 -0.285 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC(NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001309776599 1074019696 /nfs/dbraw/zinc/01/96/96/1074019696.db2.gz RYJRUQJRTVDGQJ-AWEZNQCLSA-N 0 0 439.600 -0.007 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC(NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001309776601 1074019633 /nfs/dbraw/zinc/01/96/33/1074019633.db2.gz RYJRUQJRTVDGQJ-CQSZACIVSA-N 0 0 439.600 -0.007 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@]23COC(=O)N2CCOC3)cc1C(=O)NCC(F)(F)F ZINC001309781461 1074019624 /nfs/dbraw/zinc/01/96/24/1074019624.db2.gz AZVKPGKSGAWJHR-AWEZNQCLSA-N 0 0 440.400 -0.183 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@]23COC(=O)N2CCOC3)cc1C(=O)NCC(F)(F)F ZINC001309781466 1074019805 /nfs/dbraw/zinc/01/98/05/1074019805.db2.gz AZVKPGKSGAWJHR-CQSZACIVSA-N 0 0 440.400 -0.183 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCc2ccc(CN3C(=O)CNC3=O)cc2)C1 ZINC001309782751 1074019658 /nfs/dbraw/zinc/01/96/58/1074019658.db2.gz XYMQTJSSJYQSIJ-UHFFFAOYSA-N 0 0 430.508 -0.951 20 0 IBADRN NC(=O)C1(NS(=O)(=O)Cc2ncsc2Br)CCS(=O)(=O)CC1 ZINC001309784405 1074019764 /nfs/dbraw/zinc/01/97/64/1074019764.db2.gz MQAXXAFPBZRTNQ-UHFFFAOYSA-N 0 0 432.343 -0.242 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)NC1CN(c2ccncn2)C1 ZINC001309787179 1074019798 /nfs/dbraw/zinc/01/97/98/1074019798.db2.gz IFTIEOAZILGVTR-UHFFFAOYSA-N 0 0 431.474 -0.103 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001309789728 1074019784 /nfs/dbraw/zinc/01/97/84/1074019784.db2.gz CVZZYNXTMKCSLE-AWEZNQCLSA-N 0 0 446.551 -0.210 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001309789729 1074019706 /nfs/dbraw/zinc/01/97/06/1074019706.db2.gz CVZZYNXTMKCSLE-CQSZACIVSA-N 0 0 446.551 -0.210 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NCc1nc2c(c(=O)[nH]1)COCC2 ZINC001309790081 1074019674 /nfs/dbraw/zinc/01/96/74/1074019674.db2.gz QGRDPSYVMLWKAI-UHFFFAOYSA-N 0 0 428.492 -0.016 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001309790683 1074019738 /nfs/dbraw/zinc/01/97/38/1074019738.db2.gz PQDBXTGJPQKVJO-KBPBESRZSA-N 0 0 436.531 -0.418 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001309790684 1074019729 /nfs/dbraw/zinc/01/97/29/1074019729.db2.gz PQDBXTGJPQKVJO-KGLIPLIRSA-N 0 0 436.531 -0.418 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001309790685 1074019606 /nfs/dbraw/zinc/01/96/06/1074019606.db2.gz PQDBXTGJPQKVJO-UONOGXRCSA-N 0 0 436.531 -0.418 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001309790687 1074020140 /nfs/dbraw/zinc/02/01/40/1074020140.db2.gz PQDBXTGJPQKVJO-ZIAGYGMSSA-N 0 0 436.531 -0.418 20 0 IBADRN COC(=O)C1(O)CN(S(=O)(=O)c2cc3c(cc2Br)n(C)c(=O)n3C)C1 ZINC001309790801 1074020191 /nfs/dbraw/zinc/02/01/91/1074020191.db2.gz KILPLYINFCDNQK-UHFFFAOYSA-N 0 0 434.268 -0.452 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOC[C@H]2CNC(=O)NC)cc1 ZINC001309790862 1074020214 /nfs/dbraw/zinc/02/02/14/1074020214.db2.gz RMDDQZVRNFKHGZ-CYBMUJFWSA-N 0 0 434.540 -0.307 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOC[C@@H]2CNC(=O)NC)cc1 ZINC001309790864 1074020044 /nfs/dbraw/zinc/02/00/44/1074020044.db2.gz RMDDQZVRNFKHGZ-ZDUSSCGKSA-N 0 0 434.540 -0.307 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCOC[C@@H]2CNC(=O)NC)cc1 ZINC001309791425 1074020165 /nfs/dbraw/zinc/02/01/65/1074020165.db2.gz UQSLZOZSJMZZSB-AWEZNQCLSA-N 0 0 449.551 -0.181 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCOC[C@H]2CNC(=O)NC)cc1 ZINC001309791427 1074020149 /nfs/dbraw/zinc/02/01/49/1074020149.db2.gz UQSLZOZSJMZZSB-CQSZACIVSA-N 0 0 449.551 -0.181 20 0 IBADRN O=C1NCCO[C@@H]2CCCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)[C@H]12 ZINC001309791579 1074020174 /nfs/dbraw/zinc/02/01/74/1074020174.db2.gz OYBAZMGGVHJJHD-CVEARBPZSA-N 0 0 429.520 -0.205 20 0 IBADRN O=C1NCCO[C@H]2CCCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)[C@H]12 ZINC001309791581 1074020203 /nfs/dbraw/zinc/02/02/03/1074020203.db2.gz OYBAZMGGVHJJHD-HOTGVXAUSA-N 0 0 429.520 -0.205 20 0 IBADRN O=C1NCCO[C@@H]2CCCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)[C@@H]12 ZINC001309791582 1074020085 /nfs/dbraw/zinc/02/00/85/1074020085.db2.gz OYBAZMGGVHJJHD-HZPDHXFCSA-N 0 0 429.520 -0.205 20 0 IBADRN O=C1NCCO[C@H]2CCCN(S(=O)(=O)c3cccc(S(=O)(=O)NC4CC4)c3)[C@@H]12 ZINC001309791584 1074020119 /nfs/dbraw/zinc/02/01/19/1074020119.db2.gz OYBAZMGGVHJJHD-JKSUJKDBSA-N 0 0 429.520 -0.205 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC001309791838 1074020075 /nfs/dbraw/zinc/02/00/75/1074020075.db2.gz YPZUPVKRWULFEA-AWEZNQCLSA-N 0 0 448.567 -0.061 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)NCC(C)C)cc1 ZINC001309791839 1074020179 /nfs/dbraw/zinc/02/01/79/1074020179.db2.gz YPZUPVKRWULFEA-CQSZACIVSA-N 0 0 448.567 -0.061 20 0 IBADRN COC(=O)C1(O)CN(S(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)C1 ZINC001309792596 1074020247 /nfs/dbraw/zinc/02/02/47/1074020247.db2.gz VZOBZSGZFLJJIW-HNNXBMFYSA-N 0 0 428.463 -0.427 20 0 IBADRN COC(=O)C1(O)CN(S(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)C1 ZINC001309792599 1074020060 /nfs/dbraw/zinc/02/00/60/1074020060.db2.gz VZOBZSGZFLJJIW-OAHLLOKOSA-N 0 0 428.463 -0.427 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@@](O)(C(=O)OC)C2)s1 ZINC001309799078 1074020130 /nfs/dbraw/zinc/02/01/30/1074020130.db2.gz DXFHTMUFKBTOPT-AWEZNQCLSA-N 0 0 426.538 -0.472 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CC[C@](O)(C(=O)OC)C2)s1 ZINC001309799087 1074020032 /nfs/dbraw/zinc/02/00/32/1074020032.db2.gz DXFHTMUFKBTOPT-CQSZACIVSA-N 0 0 426.538 -0.472 20 0 IBADRN COC(=O)[C@@]1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC001309800227 1074020094 /nfs/dbraw/zinc/02/00/94/1074020094.db2.gz NHBJKNQEAHVLCU-CYBMUJFWSA-N 0 0 446.425 -0.174 20 0 IBADRN COC(=O)[C@]1(O)CCN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC001309800228 1074020231 /nfs/dbraw/zinc/02/02/31/1074020231.db2.gz NHBJKNQEAHVLCU-ZDUSSCGKSA-N 0 0 446.425 -0.174 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CC[C@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001309800258 1074020225 /nfs/dbraw/zinc/02/02/25/1074020225.db2.gz SWZZUXDKCPXMCA-GOSISDBHSA-N 0 0 445.563 -0.112 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CC[C@@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001309800259 1074020053 /nfs/dbraw/zinc/02/00/53/1074020053.db2.gz SWZZUXDKCPXMCA-SFHVURJKSA-N 0 0 445.563 -0.112 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC(=O)NCC23COC3)s1 ZINC001309803046 1074020651 /nfs/dbraw/zinc/02/06/51/1074020651.db2.gz MCVSFPCMAONHHA-UHFFFAOYSA-N 0 0 437.565 -0.491 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)Cc2cc3c(cc2Br)OCO3)CC1 ZINC001309803824 1074020521 /nfs/dbraw/zinc/02/05/21/1074020521.db2.gz AXSBTNZSKPVFHQ-UHFFFAOYSA-N 0 0 442.313 -0.171 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)C[C@H]2CCN(C(=O)OCc3ccccc3)C2)CC1 ZINC001309806012 1074020511 /nfs/dbraw/zinc/02/05/11/1074020511.db2.gz ZAJARQNEHJIOQE-INIZCTEOSA-N 0 0 446.551 -0.204 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H]2CCN(C(=O)OCc3ccccc3)C2)CC1 ZINC001309806013 1074020628 /nfs/dbraw/zinc/02/06/28/1074020628.db2.gz ZAJARQNEHJIOQE-MRXNPFEDSA-N 0 0 446.551 -0.204 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)[C@H]3C[C@@H](C(=O)OC)C3)CC2)cc1 ZINC001309808104 1074020590 /nfs/dbraw/zinc/02/05/90/1074020590.db2.gz ARNTYOJNWAILRF-AKAXFMLLSA-N 0 0 431.536 -0.002 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)CC3(C(=O)OC)CC3)CC2)cc1 ZINC001309808238 1074020616 /nfs/dbraw/zinc/02/06/16/1074020616.db2.gz HGCQANQHDKVCOY-UHFFFAOYSA-N 0 0 431.536 0.000 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)N(C)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001309808872 1074020556 /nfs/dbraw/zinc/02/05/56/1074020556.db2.gz PVVNBKVCYWSAIM-HNNXBMFYSA-N 0 0 430.552 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001309808873 1074020663 /nfs/dbraw/zinc/02/06/63/1074020663.db2.gz PVVNBKVCYWSAIM-OAHLLOKOSA-N 0 0 430.552 -0.044 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)C[C@@H]3CN(C)CCO3)CC2)cc1 ZINC001309809347 1074020668 /nfs/dbraw/zinc/02/06/68/1074020668.db2.gz UJGRABYQNQGGLX-INIZCTEOSA-N 0 0 432.568 -0.623 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)C[C@H]3CN(C)CCO3)CC2)cc1 ZINC001309809350 1074020579 /nfs/dbraw/zinc/02/05/79/1074020579.db2.gz UJGRABYQNQGGLX-MRXNPFEDSA-N 0 0 432.568 -0.623 20 0 IBADRN O=C(NCC(F)(F)F)c1cccc(S(=O)(=O)NCc2nnc3n2CCNC3=O)c1 ZINC001309810395 1074020602 /nfs/dbraw/zinc/02/06/02/1074020602.db2.gz NMIABMWINROKJT-UHFFFAOYSA-N 0 0 432.384 -0.208 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)S(=O)(=O)c1cnn(C)c1I ZINC001309812217 1074020568 /nfs/dbraw/zinc/02/05/68/1074020568.db2.gz KPGLFGLEZGIONL-UHFFFAOYSA-N 0 0 449.234 -0.424 20 0 IBADRN Cn1ncnc1C1(O)CN(S(=O)(=O)CC(C)(C)CN2C(=O)c3ccccc3C2=O)C1 ZINC001309813801 1074020642 /nfs/dbraw/zinc/02/06/42/1074020642.db2.gz XZLZIRODTKAPHF-UHFFFAOYSA-N 0 0 433.490 -0.030 20 0 IBADRN CN1C(=O)N=NC1CNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001309813947 1074020537 /nfs/dbraw/zinc/02/05/37/1074020537.db2.gz DZHDTUZSJKOWKT-AWEZNQCLSA-N 0 0 425.467 -0.022 20 0 IBADRN CN1C(=O)N=NC1CNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001309813948 1074020889 /nfs/dbraw/zinc/02/08/89/1074020889.db2.gz DZHDTUZSJKOWKT-CQSZACIVSA-N 0 0 425.467 -0.022 20 0 IBADRN CN(CCNS(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1)CCS(C)(=O)=O ZINC001309814567 1074020973 /nfs/dbraw/zinc/02/09/73/1074020973.db2.gz BHADWDLTFCQHHJ-UHFFFAOYSA-N 0 0 433.552 -0.097 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)S(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001309814663 1074020952 /nfs/dbraw/zinc/02/09/52/1074020952.db2.gz IAQAFTUDHYMPIF-UHFFFAOYSA-N 0 0 427.487 -0.353 20 0 IBADRN Cn1ncnc1C1(O)CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CC1 ZINC001309815277 1074020860 /nfs/dbraw/zinc/02/08/60/1074020860.db2.gz MVEAGDDKSHLXPO-UHFFFAOYSA-N 0 0 433.487 -0.002 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC001309815815 1074020831 /nfs/dbraw/zinc/02/08/31/1074020831.db2.gz SIAPMELKGLURRE-UHFFFAOYSA-N 0 0 430.446 -0.135 20 0 IBADRN CN(CCNS(=O)(=O)N=[S@](=O)(c1ccccc1)N(C)C)CCS(C)(=O)=O ZINC001309816043 1074020938 /nfs/dbraw/zinc/02/09/38/1074020938.db2.gz VXHLJSCBSIURGL-RUZDIDTESA-N 0 0 426.586 -0.199 20 0 IBADRN CN(CCNS(=O)(=O)N=[S@@](=O)(c1ccccc1)N(C)C)CCS(C)(=O)=O ZINC001309816048 1074020879 /nfs/dbraw/zinc/02/08/79/1074020879.db2.gz VXHLJSCBSIURGL-VWLOTQADSA-N 0 0 426.586 -0.199 20 0 IBADRN CN(CCNS(=O)(=O)c1ccc(NS(C)(=O)=O)c(F)c1)CCS(C)(=O)=O ZINC001309816070 1074021026 /nfs/dbraw/zinc/02/10/26/1074021026.db2.gz WCGCULMKPBVKCN-UHFFFAOYSA-N 0 0 431.533 -0.548 20 0 IBADRN CN(CCNS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1)CCS(C)(=O)=O ZINC001309816087 1074021017 /nfs/dbraw/zinc/02/10/17/1074021017.db2.gz WSMHOIMGMIPGFU-UHFFFAOYSA-N 0 0 428.577 -0.650 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2ccc(CCNC(C)=O)s2)C[C@@H]1S(C)(=O)=O ZINC001309816532 1074020814 /nfs/dbraw/zinc/02/08/14/1074020814.db2.gz DGSSQOSEAAJNNT-SWLSCSKDSA-N 0 0 438.549 -0.319 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2cn(C)nc2Br)C[C@@H]1S(C)(=O)=O ZINC001309816813 1074021043 /nfs/dbraw/zinc/02/10/43/1074021043.db2.gz MDOKNQYSFHYWSF-GZMMTYOYSA-N 0 0 430.302 -0.563 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2cnn(C)c2Br)C[C@@H]1S(C)(=O)=O ZINC001309816816 1074020796 /nfs/dbraw/zinc/02/07/96/1074020796.db2.gz MHQJZYXXEQZKIC-GZMMTYOYSA-N 0 0 430.302 -0.563 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)C2CN(C(=O)OC(C)(C)C)C2)C[C@@H]1S(C)(=O)=O ZINC001309816895 1074020998 /nfs/dbraw/zinc/02/09/98/1074020998.db2.gz NOEVCQFMZMDLNN-XHDPSFHLSA-N 0 0 426.513 -0.499 20 0 IBADRN CN(CCS(N)(=O)=O)S(=O)(=O)c1ccc(S(C)(=O)=O)cc1Br ZINC001309817859 1074020839 /nfs/dbraw/zinc/02/08/39/1074020839.db2.gz IEBFVJXBFQJFQP-UHFFFAOYSA-N 0 0 435.343 -0.238 20 0 IBADRN COC(=O)c1ncccc1S(=O)(=O)N1CC(=O)NCC12CN(C(=O)OC(C)(C)C)C2 ZINC001309818047 1074020985 /nfs/dbraw/zinc/02/09/85/1074020985.db2.gz TVAUVOGZMHJYND-UHFFFAOYSA-N 0 0 440.478 -0.022 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N(C)CCS(N)(=O)=O ZINC001309818540 1074020964 /nfs/dbraw/zinc/02/09/64/1074020964.db2.gz MPBVQEOWCFNVOZ-CABCVRRESA-N 0 0 435.524 -0.428 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N(C)CCS(N)(=O)=O ZINC001309818542 1074020923 /nfs/dbraw/zinc/02/09/23/1074020923.db2.gz MPBVQEOWCFNVOZ-GJZGRUSLSA-N 0 0 435.524 -0.428 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N(C)CCS(N)(=O)=O ZINC001309818544 1074021008 /nfs/dbraw/zinc/02/10/08/1074021008.db2.gz MPBVQEOWCFNVOZ-HUUCEWRRSA-N 0 0 435.524 -0.428 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N(C)CCS(N)(=O)=O ZINC001309818545 1074020822 /nfs/dbraw/zinc/02/08/22/1074020822.db2.gz MPBVQEOWCFNVOZ-LSDHHAIUSA-N 0 0 435.524 -0.428 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@H](CS(=O)(=O)N2CC(=O)NCC23COC3)C1 ZINC001309821818 1074020850 /nfs/dbraw/zinc/02/08/50/1074020850.db2.gz DVAIRONGYSZNOL-INIZCTEOSA-N 0 0 439.490 -0.445 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@@H](CS(=O)(=O)N2CC(=O)NCC23COC3)C1 ZINC001309821819 1074021428 /nfs/dbraw/zinc/02/14/28/1074021428.db2.gz DVAIRONGYSZNOL-MRXNPFEDSA-N 0 0 439.490 -0.445 20 0 IBADRN O=C1CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCCC3)c2)C2(COC2)CN1 ZINC001309821851 1074021405 /nfs/dbraw/zinc/02/14/05/1074021405.db2.gz FUWDQGOFLBWQEI-UHFFFAOYSA-N 0 0 429.520 -0.249 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)C2(COC2)CN1 ZINC001309822418 1074021462 /nfs/dbraw/zinc/02/14/62/1074021462.db2.gz LPDXVFIHFGLQEO-UHFFFAOYSA-N 0 0 429.520 -0.249 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3C[C@]4(C)CS(=O)(=O)C[C@]4(C)C3)cnc21 ZINC001309824527 1074021330 /nfs/dbraw/zinc/02/13/30/1074021330.db2.gz CLBYMOSGTTXNII-IYBDPMFKSA-N 0 0 428.492 -0.521 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CC[C@H](CNS(C)(=O)=O)C2)c1 ZINC001309828320 1074021268 /nfs/dbraw/zinc/02/12/68/1074021268.db2.gz AITAYODMOVCKHV-LLVKDONJSA-N 0 0 434.492 -0.180 20 0 IBADRN COC(=O)c1ccc(C(=O)OC)c(S(=O)(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)c1 ZINC001309828322 1074021396 /nfs/dbraw/zinc/02/13/96/1074021396.db2.gz AITAYODMOVCKHV-NSHDSACASA-N 0 0 434.492 -0.180 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)cc1F ZINC001309829190 1074021294 /nfs/dbraw/zinc/02/12/94/1074021294.db2.gz UOXGPNKJFLCXNU-UHFFFAOYSA-N 0 0 436.531 -0.018 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N(C)CC(=O)N3CCN(C)CC3)ccc2O1 ZINC001309829208 1074021245 /nfs/dbraw/zinc/02/12/45/1074021245.db2.gz UZOMAEFZUXDJQW-KRWDZBQOSA-N 0 0 425.507 -0.052 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N(C)CC(=O)N3CCN(C)CC3)ccc2O1 ZINC001309829209 1074021377 /nfs/dbraw/zinc/02/13/77/1074021377.db2.gz UZOMAEFZUXDJQW-QGZVFWFLSA-N 0 0 425.507 -0.052 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC001309829360 1074021502 /nfs/dbraw/zinc/02/15/02/1074021502.db2.gz XWVWHKQPKGISLG-UHFFFAOYSA-N 0 0 432.568 -0.231 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CC[C@H](CNS(C)(=O)=O)C2)CC1 ZINC001309829705 1074021438 /nfs/dbraw/zinc/02/14/38/1074021438.db2.gz NRWSVAIACAIIDW-CYBMUJFWSA-N 0 0 426.561 -0.345 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)CC1 ZINC001309829710 1074021315 /nfs/dbraw/zinc/02/13/15/1074021315.db2.gz NRWSVAIACAIIDW-ZDUSSCGKSA-N 0 0 426.561 -0.345 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC001309829722 1074021473 /nfs/dbraw/zinc/02/14/73/1074021473.db2.gz NWWHTOZLVYGVNB-GFCCVEGCSA-N 0 0 437.565 -0.313 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C1 ZINC001309829723 1074021445 /nfs/dbraw/zinc/02/14/45/1074021445.db2.gz NWWHTOZLVYGVNB-LBPRGKRZSA-N 0 0 437.565 -0.313 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC001309829924 1074021365 /nfs/dbraw/zinc/02/13/65/1074021365.db2.gz QZVPLKHJOHZTCC-AWEZNQCLSA-N 0 0 431.536 -0.281 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC001309829925 1074021279 /nfs/dbraw/zinc/02/12/79/1074021279.db2.gz QZVPLKHJOHZTCC-CQSZACIVSA-N 0 0 431.536 -0.281 20 0 IBADRN Cc1nc(N2CCN(S(=O)(=O)CCOCCN3C(=O)c4ccccc4C3=O)CC2)n[nH]1 ZINC001309830952 1074021484 /nfs/dbraw/zinc/02/14/84/1074021484.db2.gz DTBMAEAMNDWTBZ-UHFFFAOYSA-N 0 0 448.505 -0.122 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)N1CCN(CC(F)(F)CO)CC1 ZINC001309831554 1074021494 /nfs/dbraw/zinc/02/14/94/1074021494.db2.gz RMBZCLJZNGBQBV-UHFFFAOYSA-N 0 0 427.495 -0.460 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCC[C@@H](O)C2)C1 ZINC001309832637 1074021415 /nfs/dbraw/zinc/02/14/15/1074021415.db2.gz FJSXGKQSQCPZMU-BZUAXINKSA-N 0 0 439.600 -0.271 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCC[C@H](O)C2)C1 ZINC001309832638 1074021789 /nfs/dbraw/zinc/02/17/89/1074021789.db2.gz FJSXGKQSQCPZMU-OAGGEKHMSA-N 0 0 439.600 -0.271 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@H]2CCC[C@@H](O)C2)C1 ZINC001309832639 1074021813 /nfs/dbraw/zinc/02/18/13/1074021813.db2.gz FJSXGKQSQCPZMU-OWCLPIDISA-N 0 0 439.600 -0.271 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@H]2CCC[C@H](O)C2)C1 ZINC001309832640 1074021839 /nfs/dbraw/zinc/02/18/39/1074021839.db2.gz FJSXGKQSQCPZMU-PMPSAXMXSA-N 0 0 439.600 -0.271 20 0 IBADRN O=C(N1CCN(Cc2ccccc2)CC1)N1CCN(S(=O)(=O)[C@@H]2COC[C@H]2O)CC1 ZINC001309833825 1074021895 /nfs/dbraw/zinc/02/18/95/1074021895.db2.gz IIDGMFVXGOXGEZ-RTBURBONSA-N 0 0 438.550 -0.369 20 0 IBADRN CN(C)c1n[nH]c(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC001309834122 1074021915 /nfs/dbraw/zinc/02/19/15/1074021915.db2.gz UXPQKDCPNPJSKC-UHFFFAOYSA-N 0 0 430.512 -0.630 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1CCC(CO)(CO)CC1 ZINC001309834283 1074021850 /nfs/dbraw/zinc/02/18/50/1074021850.db2.gz WSPQQMDRZSYOCX-UHFFFAOYSA-N 0 0 426.491 -0.304 20 0 IBADRN CC(C)(C)OC(=O)N1CC(S(=O)(=O)NCC(=O)NCC(=O)NCc2ccccc2)C1 ZINC001309838307 1074021832 /nfs/dbraw/zinc/02/18/32/1074021832.db2.gz FSIVCWREUDOAMY-UHFFFAOYSA-N 0 0 440.522 -0.042 20 0 IBADRN CCOC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(=O)NCc2ccccc2)cn1C ZINC001309839058 1074021691 /nfs/dbraw/zinc/02/16/91/1074021691.db2.gz STBZTAADZISDJG-UHFFFAOYSA-N 0 0 436.490 -0.087 20 0 IBADRN Cc1ccc(S(=O)(=O)NC2CC(CC(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001309840031 1074021884 /nfs/dbraw/zinc/02/18/84/1074021884.db2.gz LCSKEAZGGFNJEX-UHFFFAOYSA-N 0 0 431.536 -0.052 20 0 IBADRN Cc1nnc(C2CN(S(=O)(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C2)[nH]1 ZINC001309855574 1074021708 /nfs/dbraw/zinc/02/17/08/1074021708.db2.gz CZJCZYANQBOZHY-UHFFFAOYSA-N 0 0 427.508 -0.078 20 0 IBADRN CN(CCS(=O)(=O)NCC(=O)N1CCN(C)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC001309856370 1074021777 /nfs/dbraw/zinc/02/17/77/1074021777.db2.gz DWTWLKVPWPUUFL-UHFFFAOYSA-N 0 0 436.531 -0.860 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]cn1)NS(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001309857302 1074021736 /nfs/dbraw/zinc/02/17/36/1074021736.db2.gz NBMTYZKZFPDGRB-JTQLQIEISA-N 0 0 428.272 -0.150 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CC3(C2)C[C@@H](O)CNC3=O)c(Br)cc1F ZINC001309859090 1074021727 /nfs/dbraw/zinc/02/17/27/1074021727.db2.gz BKWMPMRTNPBFCL-SSDOTTSWSA-N 0 0 436.259 -0.441 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CC3(C2)C[C@H](O)CNC3=O)c(Br)cc1F ZINC001309859093 1074021864 /nfs/dbraw/zinc/02/18/64/1074021864.db2.gz BKWMPMRTNPBFCL-ZETCQYMHSA-N 0 0 436.259 -0.441 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CC3(C2)C[C@@H](O)CNC3=O)c(F)cc1Br ZINC001309859785 1074021808 /nfs/dbraw/zinc/02/18/08/1074021808.db2.gz KPUSAJYNLDOHLW-SSDOTTSWSA-N 0 0 436.259 -0.441 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N2CC3(C2)C[C@H](O)CNC3=O)c(F)cc1Br ZINC001309859786 1074021718 /nfs/dbraw/zinc/02/17/18/1074021718.db2.gz KPUSAJYNLDOHLW-ZETCQYMHSA-N 0 0 436.259 -0.441 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001309860318 1074021874 /nfs/dbraw/zinc/02/18/74/1074021874.db2.gz RCDLOFUOJINXHQ-LLVKDONJSA-N 0 0 431.898 -0.078 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)N1CC2(C1)C[C@H](O)CNC2=O ZINC001309860343 1074021796 /nfs/dbraw/zinc/02/17/96/1074021796.db2.gz RCDLOFUOJINXHQ-NSHDSACASA-N 0 0 431.898 -0.078 20 0 IBADRN O=C1NC[C@H](O)CC12CN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCCC3)cc1)C2 ZINC001309860581 1074021821 /nfs/dbraw/zinc/02/18/21/1074021821.db2.gz VATFWLMRLOBBFE-CYBMUJFWSA-N 0 0 429.520 -0.657 20 0 IBADRN O=C1NC[C@@H](O)CC12CN(S(=O)(=O)c1ccc(S(=O)(=O)N3CCCC3)cc1)C2 ZINC001309860582 1074021770 /nfs/dbraw/zinc/02/17/70/1074021770.db2.gz VATFWLMRLOBBFE-ZDUSSCGKSA-N 0 0 429.520 -0.657 20 0 IBADRN CCOC(=O)Cc1csc(CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)n1 ZINC001309860929 1074022208 /nfs/dbraw/zinc/02/22/08/1074022208.db2.gz XBNCRNTYUURRKP-UHFFFAOYSA-N 0 0 426.542 -0.840 20 0 IBADRN CN1CCOC[C@]1(CO)CNS(=O)(=O)c1ccccc1S(=O)(=O)C(F)(F)F ZINC001309861760 1074022183 /nfs/dbraw/zinc/02/21/83/1074022183.db2.gz JNBKJWVNKUYBCQ-CYBMUJFWSA-N 0 0 432.442 -0.049 20 0 IBADRN CN1CCOC[C@@]1(CO)CNS(=O)(=O)c1ccccc1S(=O)(=O)C(F)(F)F ZINC001309861761 1074022251 /nfs/dbraw/zinc/02/22/51/1074022251.db2.gz JNBKJWVNKUYBCQ-ZDUSSCGKSA-N 0 0 432.442 -0.049 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)NC[C@]2(CO)COCCN2C)cc1 ZINC001309861939 1074022277 /nfs/dbraw/zinc/02/22/77/1074022277.db2.gz MCWRKCKEGWISJZ-KRWDZBQOSA-N 0 0 435.568 -0.513 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)NC[C@@]2(CO)COCCN2C)cc1 ZINC001309861940 1074022216 /nfs/dbraw/zinc/02/22/16/1074022216.db2.gz MCWRKCKEGWISJZ-QGZVFWFLSA-N 0 0 435.568 -0.513 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCCN(CC(=O)N(C)C)CC1)OCC(=O)N2 ZINC001309864020 1074022144 /nfs/dbraw/zinc/02/21/44/1074022144.db2.gz PCDYQVQLEQLENU-UHFFFAOYSA-N 0 0 426.495 -0.189 20 0 IBADRN CN(C)S(=O)(=O)C1(CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC001309865357 1074022312 /nfs/dbraw/zinc/02/23/12/1074022312.db2.gz WDUJXYCZTMJMBG-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CNC(=O)C1(NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCOCC1 ZINC001309865440 1074022114 /nfs/dbraw/zinc/02/21/14/1074022114.db2.gz XERMYTXGQDJZRU-UHFFFAOYSA-N 0 0 439.490 -0.486 20 0 IBADRN CN1C[C@@H](CCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001309865981 1074022268 /nfs/dbraw/zinc/02/22/68/1074022268.db2.gz SVJVOBBBUMLDPG-AWEZNQCLSA-N 0 0 431.536 -0.146 20 0 IBADRN CN1C[C@H](CCNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001309865982 1074022284 /nfs/dbraw/zinc/02/22/84/1074022284.db2.gz SVJVOBBBUMLDPG-CQSZACIVSA-N 0 0 431.536 -0.146 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)(COCCO)CC2)cc1)N1CCOCC1 ZINC001309870181 1074022192 /nfs/dbraw/zinc/02/21/92/1074022192.db2.gz KWNIIZMQYCTRDK-UHFFFAOYSA-N 0 0 428.507 -0.317 20 0 IBADRN O=S(=O)(c1ccccc1S(=O)(=O)N1CCC(O)(COCCO)CC1)N1CCCC1 ZINC001309870559 1074022154 /nfs/dbraw/zinc/02/21/54/1074022154.db2.gz SUYPJQODUBRKKK-UHFFFAOYSA-N 0 0 448.563 -0.004 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@]2(OC)CCS(=O)(=O)C2)cc1 ZINC001309874302 1074022124 /nfs/dbraw/zinc/02/21/24/1074022124.db2.gz KOOYIWYCURSLJU-HNNXBMFYSA-N 0 0 440.565 -0.143 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@]2(OC)CCS(=O)(=O)C2)cc1 ZINC001309874303 1074022135 /nfs/dbraw/zinc/02/21/35/1074022135.db2.gz KOOYIWYCURSLJU-OAHLLOKOSA-N 0 0 440.565 -0.143 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001309878294 1074022253 /nfs/dbraw/zinc/02/22/53/1074022253.db2.gz CRKJUPAWKROGOY-CYBMUJFWSA-N 0 0 431.602 -0.609 20 0 IBADRN CCCS(=O)(=O)N1CCC(NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001309878299 1074022102 /nfs/dbraw/zinc/02/21/02/1074022102.db2.gz CRKJUPAWKROGOY-ZDUSSCGKSA-N 0 0 431.602 -0.609 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC(C)(C)CNS(C)(=O)=O)cc1 ZINC001309878433 1074022242 /nfs/dbraw/zinc/02/22/42/1074022242.db2.gz GCWIPJLYMKUZST-UHFFFAOYSA-N 0 0 427.570 -0.019 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCCN(CC(=O)NC)CC2)cc1 ZINC001309878843 1074022710 /nfs/dbraw/zinc/02/27/10/1074022710.db2.gz VHLCPBKOAANDON-UHFFFAOYSA-N 0 0 447.579 -0.058 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1I)[C@H]1CN(C)CCN1C ZINC001309879324 1074022716 /nfs/dbraw/zinc/02/27/16/1074022716.db2.gz PDMXIDVZGXWZPM-NXEZZACHSA-N 0 0 427.312 -0.063 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1I)[C@@H]1CN(C)CCN1C ZINC001309879325 1074022484 /nfs/dbraw/zinc/02/24/84/1074022484.db2.gz PDMXIDVZGXWZPM-UWVGGRQHSA-N 0 0 427.312 -0.063 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1I)[C@H]1CN(C)CCN1C ZINC001309879326 1074022640 /nfs/dbraw/zinc/02/26/40/1074022640.db2.gz PDMXIDVZGXWZPM-VHSXEESVSA-N 0 0 427.312 -0.063 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1I)[C@@H]1CN(C)CCN1C ZINC001309879327 1074022539 /nfs/dbraw/zinc/02/25/39/1074022539.db2.gz PDMXIDVZGXWZPM-ZJUUUORDSA-N 0 0 427.312 -0.063 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)C2CS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001309880441 1074022632 /nfs/dbraw/zinc/02/26/32/1074022632.db2.gz BYVMLUGREDRMFS-UHFFFAOYSA-N 0 0 438.549 -0.567 20 0 IBADRN O=S(=O)(NCCN1CCC2(C1)OCCO2)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC001309880867 1074022590 /nfs/dbraw/zinc/02/25/90/1074022590.db2.gz ICFFBZFOPHCJQD-UHFFFAOYSA-N 0 0 431.536 -0.146 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCC(S(=O)(=O)N2CCCCC2)CC1 ZINC001309888679 1074022751 /nfs/dbraw/zinc/02/27/51/1074022751.db2.gz RDJDXFSYZYBULP-UHFFFAOYSA-N 0 0 445.629 -0.122 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c1OC ZINC001309891122 1074022617 /nfs/dbraw/zinc/02/26/17/1074022617.db2.gz IQUJGDPEMKLGLV-JTQLQIEISA-N 0 0 438.915 -0.769 20 0 IBADRN CNC(=O)c1cc(Cl)cc(S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c1OC ZINC001309891447 1074022528 /nfs/dbraw/zinc/02/25/28/1074022528.db2.gz IQUJGDPEMKLGLV-SNVBAGLBSA-N 0 0 438.915 -0.769 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)N2CCN(C)CC2)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001309892577 1074022686 /nfs/dbraw/zinc/02/26/86/1074022686.db2.gz HVDQEUIQHWPNQU-AWEZNQCLSA-N 0 0 432.543 -0.180 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)N2CCN(C)CC2)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001309892578 1074022621 /nfs/dbraw/zinc/02/26/21/1074022621.db2.gz HVDQEUIQHWPNQU-CQSZACIVSA-N 0 0 432.543 -0.180 20 0 IBADRN CN1CCN(C)CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001309892758 1074022670 /nfs/dbraw/zinc/02/26/70/1074022670.db2.gz IUTSQAWYQBEZLG-UHFFFAOYSA-N 0 0 446.595 -0.425 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)ccc1OC ZINC001309893224 1074022610 /nfs/dbraw/zinc/02/26/10/1074022610.db2.gz ZYJKMRJNLUUSNE-CYBMUJFWSA-N 0 0 432.524 -0.756 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)ccc1OC ZINC001309893225 1074023097 /nfs/dbraw/zinc/02/30/97/1074023097.db2.gz ZYJKMRJNLUUSNE-ZDUSSCGKSA-N 0 0 432.524 -0.756 20 0 IBADRN CN1CCN(C)CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001309894027 1074023049 /nfs/dbraw/zinc/02/30/49/1074023049.db2.gz SNARONPOHKCUPZ-UHFFFAOYSA-N 0 0 438.550 -0.192 20 0 IBADRN COC(=O)c1ccc2c(c1)CC[C@H](NS(=O)(=O)CCCS(=O)(=O)N(C)C)C(=O)N2 ZINC001309896233 1074022982 /nfs/dbraw/zinc/02/29/82/1074022982.db2.gz QGSRYIJHGXYEMC-HNNXBMFYSA-N 0 0 447.535 -0.073 20 0 IBADRN COC(=O)c1ccc2c(c1)CC[C@@H](NS(=O)(=O)CCCS(=O)(=O)N(C)C)C(=O)N2 ZINC001309896234 1074023000 /nfs/dbraw/zinc/02/30/00/1074023000.db2.gz QGSRYIJHGXYEMC-OAHLLOKOSA-N 0 0 447.535 -0.073 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1)N1CCCC1 ZINC001309899367 1074023023 /nfs/dbraw/zinc/02/30/23/1074023023.db2.gz UBMWHQPRUHBHQF-UHFFFAOYSA-N 0 0 437.565 -0.070 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)cc1)N1CCCC1 ZINC001309901330 1074022952 /nfs/dbraw/zinc/02/29/52/1074022952.db2.gz CLGQRKJEVHPMPZ-GOSISDBHSA-N 0 0 445.563 -0.461 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1)N1CCCC1 ZINC001309901331 1074023027 /nfs/dbraw/zinc/02/30/27/1074023027.db2.gz CLGQRKJEVHPMPZ-SFHVURJKSA-N 0 0 445.563 -0.461 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001309906429 1074022991 /nfs/dbraw/zinc/02/29/91/1074022991.db2.gz RDGZDYKOJPCDAR-GFCCVEGCSA-N 0 0 426.538 -0.629 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001309906431 1074023080 /nfs/dbraw/zinc/02/30/80/1074023080.db2.gz RDGZDYKOJPCDAR-LBPRGKRZSA-N 0 0 426.538 -0.629 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)C(=O)NCCC2 ZINC001309906509 1074023031 /nfs/dbraw/zinc/02/30/31/1074023031.db2.gz SQROEKIDCRZPFH-CYBMUJFWSA-N 0 0 432.520 -0.195 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCO[C@H](CS(C)(=O)=O)C1)C(=O)NCCC2 ZINC001309906512 1074023069 /nfs/dbraw/zinc/02/30/69/1074023069.db2.gz SQROEKIDCRZPFH-ZDUSSCGKSA-N 0 0 432.520 -0.195 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)c1I ZINC001309906919 1074022941 /nfs/dbraw/zinc/02/29/41/1074022941.db2.gz SDWXFMZODRKIKS-GFCCVEGCSA-N 0 0 442.279 -0.908 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)c1I ZINC001309906920 1074023014 /nfs/dbraw/zinc/02/30/14/1074023014.db2.gz SDWXFMZODRKIKS-LBPRGKRZSA-N 0 0 442.279 -0.908 20 0 IBADRN CCOC(=O)C1([C@H](C)NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CCOCC1 ZINC001309908502 1074023075 /nfs/dbraw/zinc/02/30/75/1074023075.db2.gz IDPQSFJYCIEBNU-KBPBESRZSA-N 0 0 426.557 -0.064 20 0 IBADRN CCOC(=O)C1([C@@H](C)NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CCOCC1 ZINC001309908504 1074023010 /nfs/dbraw/zinc/02/30/10/1074023010.db2.gz IDPQSFJYCIEBNU-KGLIPLIRSA-N 0 0 426.557 -0.064 20 0 IBADRN CCOC(=O)C1([C@H](C)NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CCOCC1 ZINC001309908505 1074023006 /nfs/dbraw/zinc/02/30/06/1074023006.db2.gz IDPQSFJYCIEBNU-UONOGXRCSA-N 0 0 426.557 -0.064 20 0 IBADRN CCOC(=O)C1([C@@H](C)NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CCOCC1 ZINC001309908507 1074022960 /nfs/dbraw/zinc/02/29/60/1074022960.db2.gz IDPQSFJYCIEBNU-ZIAGYGMSSA-N 0 0 426.557 -0.064 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C[C@H]1CS(N)(=O)=O ZINC001309909077 1074023038 /nfs/dbraw/zinc/02/30/38/1074023038.db2.gz DRDUZAHFGQJVGC-OLZOCXBDSA-N 0 0 426.561 -0.360 20 0 IBADRN NC(=O)C12CCC(CC1)N2S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001309910191 1074022974 /nfs/dbraw/zinc/02/29/74/1074022974.db2.gz CIMFPJAYEWKWQR-UHFFFAOYSA-N 0 0 429.520 -0.122 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@@H](CS(N)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001309914337 1074023088 /nfs/dbraw/zinc/02/30/88/1074023088.db2.gz WBZKMGQJHBYWJZ-GFCCVEGCSA-N 0 0 443.544 -0.614 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@H](CS(N)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001309914338 1074023321 /nfs/dbraw/zinc/02/33/21/1074023321.db2.gz WBZKMGQJHBYWJZ-LBPRGKRZSA-N 0 0 443.544 -0.614 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CC[C@@H](CS(N)(=O)=O)C2)C1 ZINC001309914486 1074023254 /nfs/dbraw/zinc/02/32/54/1074023254.db2.gz ZGLGFUVWALSZIJ-CHWSQXEVSA-N 0 0 427.545 -0.438 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CC[C@@H](CS(N)(=O)=O)C2)C1 ZINC001309914487 1074023409 /nfs/dbraw/zinc/02/34/09/1074023409.db2.gz ZGLGFUVWALSZIJ-OLZOCXBDSA-N 0 0 427.545 -0.438 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CC[C@H](CS(N)(=O)=O)C2)C1 ZINC001309914488 1074023298 /nfs/dbraw/zinc/02/32/98/1074023298.db2.gz ZGLGFUVWALSZIJ-QWHCGFSZSA-N 0 0 427.545 -0.438 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CC[C@H](CS(N)(=O)=O)C2)C1 ZINC001309914489 1074023460 /nfs/dbraw/zinc/02/34/60/1074023460.db2.gz ZGLGFUVWALSZIJ-STQMWFEESA-N 0 0 427.545 -0.438 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCC[C@](CO)(NC(=O)OC(C)(C)C)C1 ZINC001309914843 1074023309 /nfs/dbraw/zinc/02/33/09/1074023309.db2.gz BCIDXGWEDFBBQA-HNNXBMFYSA-N 0 0 429.561 -0.441 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCC[C@@](CO)(NC(=O)OC(C)(C)C)C1 ZINC001309914844 1074023468 /nfs/dbraw/zinc/02/34/68/1074023468.db2.gz BCIDXGWEDFBBQA-OAHLLOKOSA-N 0 0 429.561 -0.441 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001309914971 1074023383 /nfs/dbraw/zinc/02/33/83/1074023383.db2.gz ARSYUAPFNRLLIC-CYBMUJFWSA-N 0 0 431.536 -0.411 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001309914973 1074023404 /nfs/dbraw/zinc/02/34/04/1074023404.db2.gz ARSYUAPFNRLLIC-ZDUSSCGKSA-N 0 0 431.536 -0.411 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001309915300 1074023357 /nfs/dbraw/zinc/02/33/57/1074023357.db2.gz FWRPZDOLWCZXQP-SECBINFHSA-N 0 0 427.302 -0.516 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)NC[C@H](O)CS(C)(=O)=O ZINC001309915301 1074023390 /nfs/dbraw/zinc/02/33/90/1074023390.db2.gz FWRPZDOLWCZXQP-VIFPVBQESA-N 0 0 427.302 -0.516 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)c1 ZINC001309916574 1074023284 /nfs/dbraw/zinc/02/32/84/1074023284.db2.gz SLGQWUHVQYDDFG-HNNXBMFYSA-N 0 0 425.507 -0.286 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)c1 ZINC001309916575 1074023349 /nfs/dbraw/zinc/02/33/49/1074023349.db2.gz SLGQWUHVQYDDFG-OAHLLOKOSA-N 0 0 425.507 -0.286 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1F ZINC001309916807 1074023329 /nfs/dbraw/zinc/02/33/29/1074023329.db2.gz XZGLBAPHKBTGTO-LLVKDONJSA-N 0 0 435.499 -0.151 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1F ZINC001309916808 1074023437 /nfs/dbraw/zinc/02/34/37/1074023437.db2.gz XZGLBAPHKBTGTO-NSHDSACASA-N 0 0 435.499 -0.151 20 0 IBADRN CC(=O)OCCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001309918800 1074023272 /nfs/dbraw/zinc/02/32/72/1074023272.db2.gz SVJBXPAMWIBSLK-UONOGXRCSA-N 0 0 440.540 -0.012 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)cc1 ZINC001309923159 1074023374 /nfs/dbraw/zinc/02/33/74/1074023374.db2.gz QFYFPNOATALVNM-AWEZNQCLSA-N 0 0 439.533 -0.169 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)cc1 ZINC001309923160 1074023417 /nfs/dbraw/zinc/02/34/17/1074023417.db2.gz QFYFPNOATALVNM-CQSZACIVSA-N 0 0 439.533 -0.169 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC(=O)N(C)C[C@H]2C(=O)OC)cc1 ZINC001309925460 1074023759 /nfs/dbraw/zinc/02/37/59/1074023759.db2.gz NFZRZHKAYCJJPT-HNNXBMFYSA-N 0 0 447.535 -0.279 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC(=O)N(C)C[C@@H]2C(=O)OC)cc1 ZINC001309925463 1074023629 /nfs/dbraw/zinc/02/36/29/1074023629.db2.gz NFZRZHKAYCJJPT-OAHLLOKOSA-N 0 0 447.535 -0.279 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NS(=O)(=O)CCCN3CCOCC3)CC2=O)C1 ZINC001309925694 1074023789 /nfs/dbraw/zinc/02/37/89/1074023789.db2.gz APAUMPMKDIDTHJ-HNNXBMFYSA-N 0 0 446.570 -0.152 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NS(=O)(=O)CCCN3CCOCC3)CC2=O)C1 ZINC001309925695 1074023774 /nfs/dbraw/zinc/02/37/74/1074023774.db2.gz APAUMPMKDIDTHJ-OAHLLOKOSA-N 0 0 446.570 -0.152 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1C[C@H](NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001309930367 1074023744 /nfs/dbraw/zinc/02/37/44/1074023744.db2.gz AJNTVOIKIJMMFO-JOCQHMNTSA-N 0 0 426.561 -0.299 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCc2cccc(NS(=O)(=O)C3CC3)c2)C1 ZINC001309935382 1074023699 /nfs/dbraw/zinc/02/36/99/1074023699.db2.gz CFLMTPAFHUZZFM-UHFFFAOYSA-N 0 0 437.565 -0.099 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCc1cccc(NS(=O)(=O)C2CC2)c1 ZINC001309936259 1074023807 /nfs/dbraw/zinc/02/38/07/1074023807.db2.gz NBZJBFDXAUNYGV-UHFFFAOYSA-N 0 0 425.554 -0.099 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2C[C@@H]3[C@](C(F)(F)F)(C2)CCCS3(=O)=O)c(=O)o1 ZINC001309936978 1074023711 /nfs/dbraw/zinc/02/37/11/1074023711.db2.gz ZWEVFVKATWOPOH-ZYHUDNBSSA-N 0 0 433.430 -0.084 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2Cc3nnc(C)n3C[C@H]2C(=O)N(C)C)cc1 ZINC001309939961 1074023736 /nfs/dbraw/zinc/02/37/36/1074023736.db2.gz DGHIKHXPMTZHBF-AWEZNQCLSA-N 0 0 442.523 -0.844 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2Cc3nnc(C)n3C[C@@H]2C(=O)N(C)C)cc1 ZINC001309939962 1074023664 /nfs/dbraw/zinc/02/36/64/1074023664.db2.gz DGHIKHXPMTZHBF-CQSZACIVSA-N 0 0 442.523 -0.844 20 0 IBADRN Cn1nnnc1CCCNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001309940013 1074023722 /nfs/dbraw/zinc/02/37/22/1074023722.db2.gz AYNVQAIMROWJRL-UHFFFAOYSA-N 0 0 442.445 -0.038 20 0 IBADRN COC[C@H]1CN(C(=O)OC(C)(C)C)CCN1S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001309940257 1074023753 /nfs/dbraw/zinc/02/37/53/1074023753.db2.gz JPZOIXJQXBNOTM-CYBMUJFWSA-N 0 0 429.561 -0.225 20 0 IBADRN COC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001309940261 1074023682 /nfs/dbraw/zinc/02/36/82/1074023682.db2.gz JPZOIXJQXBNOTM-ZDUSSCGKSA-N 0 0 429.561 -0.225 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)c1ccc3c(c1)CS(=O)(=O)C3)C2 ZINC001309940346 1074023647 /nfs/dbraw/zinc/02/36/47/1074023647.db2.gz KFTHCSIDJHJVRW-HNNXBMFYSA-N 0 0 439.519 -0.324 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)c1ccc3c(c1)CS(=O)(=O)C3)C2 ZINC001309940348 1074023765 /nfs/dbraw/zinc/02/37/65/1074023765.db2.gz KFTHCSIDJHJVRW-OAHLLOKOSA-N 0 0 439.519 -0.324 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C)C2 ZINC001309940445 1074023817 /nfs/dbraw/zinc/02/38/17/1074023817.db2.gz MZERCBVLLBQGED-AWEZNQCLSA-N 0 0 441.535 -0.040 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C)C2 ZINC001309940448 1074023832 /nfs/dbraw/zinc/02/38/32/1074023832.db2.gz MZERCBVLLBQGED-CQSZACIVSA-N 0 0 441.535 -0.040 20 0 IBADRN CN(C)[S@@](C)(=O)=NCC1CCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CC1 ZINC001309940586 1074023673 /nfs/dbraw/zinc/02/36/73/1074023673.db2.gz LEMWIYQEEKKGCI-DEOSSOPVSA-N 0 0 430.618 -0.506 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CC1 ZINC001309940587 1074023655 /nfs/dbraw/zinc/02/36/55/1074023655.db2.gz LEMWIYQEEKKGCI-XMMPIXPASA-N 0 0 430.618 -0.506 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)c1ccc3c(c1)C(=O)NCCO3)C2 ZINC001309941401 1074023690 /nfs/dbraw/zinc/02/36/90/1074023690.db2.gz XQXNARDVOKZJOE-AWEZNQCLSA-N 0 0 434.478 -0.630 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)c1ccc3c(c1)C(=O)NCCO3)C2 ZINC001309941402 1074024197 /nfs/dbraw/zinc/02/41/97/1074024197.db2.gz XQXNARDVOKZJOE-CQSZACIVSA-N 0 0 434.478 -0.630 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C[C@H]1C(=O)OC ZINC001309945279 1074024010 /nfs/dbraw/zinc/02/40/10/1074024010.db2.gz MOCUHGULOKYJBA-BETUJISGSA-N 0 0 446.503 -0.290 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C[C@H]1C(=O)OC ZINC001309945280 1074024132 /nfs/dbraw/zinc/02/41/32/1074024132.db2.gz MOCUHGULOKYJBA-CHWSQXEVSA-N 0 0 446.503 -0.290 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)C[C@@H]1C(=O)OC ZINC001309945281 1074024180 /nfs/dbraw/zinc/02/41/80/1074024180.db2.gz MOCUHGULOKYJBA-STQMWFEESA-N 0 0 446.503 -0.290 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1C[C@H](O)C[C@H]1c1nnc(-c2ccccc2)[nH]1 ZINC001309946340 1074024032 /nfs/dbraw/zinc/02/40/32/1074024032.db2.gz NJMNWPXDMMCZIT-KGLIPLIRSA-N 0 0 429.524 -0.199 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@H]2CCc3ncc(Br)n3C2)C1 ZINC001309946497 1074024203 /nfs/dbraw/zinc/02/42/03/1074024203.db2.gz QDSKQIDDHMUZQZ-JTQLQIEISA-N 0 0 427.346 -0.229 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@@H]2CCc3ncc(Br)n3C2)C1 ZINC001309946505 1074024187 /nfs/dbraw/zinc/02/41/87/1074024187.db2.gz QDSKQIDDHMUZQZ-SNVBAGLBSA-N 0 0 427.346 -0.229 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C[C@H]1C(=O)OC ZINC001309946669 1074024086 /nfs/dbraw/zinc/02/40/86/1074024086.db2.gz XKCHYCOVEGSWRR-GASCZTMLSA-N 0 0 446.503 -0.290 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C[C@@H]1C(=O)OC ZINC001309946670 1074024110 /nfs/dbraw/zinc/02/41/10/1074024110.db2.gz XKCHYCOVEGSWRR-GJZGRUSLSA-N 0 0 446.503 -0.290 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C[C@H]1C(=O)OC ZINC001309946671 1074024124 /nfs/dbraw/zinc/02/41/24/1074024124.db2.gz XKCHYCOVEGSWRR-HUUCEWRRSA-N 0 0 446.503 -0.290 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCc3ncc(Br)n3C2)CC1 ZINC001309947199 1074024078 /nfs/dbraw/zinc/02/40/78/1074024078.db2.gz YWUCXMRAKRKYCL-JTQLQIEISA-N 0 0 442.361 -0.628 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCc3ncc(Br)n3C2)CC1 ZINC001309947200 1074024169 /nfs/dbraw/zinc/02/41/69/1074024169.db2.gz YWUCXMRAKRKYCL-SNVBAGLBSA-N 0 0 442.361 -0.628 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2CCN(S(=O)(=O)CCCS(C)(=O)=O)CC2)C1 ZINC001309947601 1074024019 /nfs/dbraw/zinc/02/40/19/1074024019.db2.gz BZMBXIVKYYEUCZ-UHFFFAOYSA-N 0 0 425.573 -0.012 20 0 IBADRN O=C1NC(=O)C2(CN(S(=O)(=O)c3cccc(S(=O)(=O)N4CCCCCC4)c3)C2)N1 ZINC001309948361 1074024118 /nfs/dbraw/zinc/02/41/18/1074024118.db2.gz UZBFKHQIDUHLKR-UHFFFAOYSA-N 0 0 442.519 -0.166 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CC(CO)C1 ZINC001309948605 1074024096 /nfs/dbraw/zinc/02/40/96/1074024096.db2.gz PGTVTZGACOXLGD-UHFFFAOYSA-N 0 0 427.401 -0.090 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001309948875 1074024162 /nfs/dbraw/zinc/02/41/62/1074024162.db2.gz UESJYRHWDVHLJC-UHFFFAOYSA-N 0 0 440.588 -0.508 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCOC3(CCS(=O)(=O)CC3)C2)c1 ZINC001309952372 1074024150 /nfs/dbraw/zinc/02/41/50/1074024150.db2.gz NLORBLMGUHRTAW-UHFFFAOYSA-N 0 0 438.549 -0.437 20 0 IBADRN COCCn1cc(CNS(=O)(=O)N2CCC[C@H]2C(=O)OC(C)(C)C)c(=O)[nH]c1=O ZINC001309954715 1074024141 /nfs/dbraw/zinc/02/41/41/1074024141.db2.gz PMYLZSRDWYQSDP-ZDUSSCGKSA-N 0 0 432.499 -0.264 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N1CCOC12CN(C(=O)OC(C)(C)C)C2 ZINC001309960108 1074024064 /nfs/dbraw/zinc/02/40/64/1074024064.db2.gz KJBGGBOXEVXKGS-UHFFFAOYSA-N 0 0 427.545 -0.123 20 0 IBADRN COC(=O)[C@]1(CNS(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CCOC1 ZINC001309966401 1074024156 /nfs/dbraw/zinc/02/41/56/1074024156.db2.gz JICKPIUMSCBUJV-INIZCTEOSA-N 0 0 438.499 -0.055 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)CCN(C)S(=O)(=O)c2ccc(F)cc2)CCOC1 ZINC001309966402 1074024586 /nfs/dbraw/zinc/02/45/86/1074024586.db2.gz JICKPIUMSCBUJV-MRXNPFEDSA-N 0 0 438.499 -0.055 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NCc2cn(C)c(=O)[nH]c2=O)c1 ZINC001309968252 1074024458 /nfs/dbraw/zinc/02/44/58/1074024458.db2.gz GYIKVVJIHQAJPK-UHFFFAOYSA-N 0 0 430.508 -0.005 20 0 IBADRN O=S(=O)(NCCN1CCNC1=S)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001309970087 1074024545 /nfs/dbraw/zinc/02/45/45/1074024545.db2.gz CAZDUFHRRYLFNU-UHFFFAOYSA-N 0 0 446.498 -0.004 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)NCCN1CCNC1=S ZINC001309970252 1074024381 /nfs/dbraw/zinc/02/43/81/1074024381.db2.gz DSPJJFXDJYMMOE-UHFFFAOYSA-N 0 0 426.520 -0.591 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001309995067 1074024403 /nfs/dbraw/zinc/02/44/03/1074024403.db2.gz MPFYSHBWWWTQMA-INIZCTEOSA-N 0 0 434.540 -0.326 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001309995068 1074024436 /nfs/dbraw/zinc/02/44/36/1074024436.db2.gz MPFYSHBWWWTQMA-MRXNPFEDSA-N 0 0 434.540 -0.326 20 0 IBADRN O=CN1CCCN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC001309998891 1074024472 /nfs/dbraw/zinc/02/44/72/1074024472.db2.gz MJDLFHZGFVKUAH-HNNXBMFYSA-N 0 0 444.535 -0.152 20 0 IBADRN O=CN1CCCN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC001309998892 1074024444 /nfs/dbraw/zinc/02/44/44/1074024444.db2.gz MJDLFHZGFVKUAH-OAHLLOKOSA-N 0 0 444.535 -0.152 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001309999390 1074024429 /nfs/dbraw/zinc/02/44/29/1074024429.db2.gz CHUOWIJJEXRPCU-CYBMUJFWSA-N 0 0 436.512 -0.350 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001309999391 1074024392 /nfs/dbraw/zinc/02/43/92/1074024392.db2.gz CHUOWIJJEXRPCU-ZDUSSCGKSA-N 0 0 436.512 -0.350 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC001310002698 1074024519 /nfs/dbraw/zinc/02/45/19/1074024519.db2.gz LLMKTVUMBGLRET-UHFFFAOYSA-N 0 0 426.499 -0.690 20 0 IBADRN COc1ccc(NC(=O)N2C[C@H](CO)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001310011604 1074024565 /nfs/dbraw/zinc/02/45/65/1074024565.db2.gz CQZAQIWSIOGKPP-OKILXGFUSA-N 0 0 429.495 -0.219 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1C[C@H](O)[C@H](CO)C1 ZINC001310013510 1074024578 /nfs/dbraw/zinc/02/45/78/1074024578.db2.gz PMIPZGHPEBLLLX-BBRMVZONSA-N 0 0 429.495 -0.077 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1C[C@H](O)[C@@H](CO)C1 ZINC001310013511 1074024529 /nfs/dbraw/zinc/02/45/29/1074024529.db2.gz PMIPZGHPEBLLLX-CJNGLKHVSA-N 0 0 429.495 -0.077 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1C[C@H](CO)[C@H](O)C1 ZINC001310013712 1074024503 /nfs/dbraw/zinc/02/45/03/1074024503.db2.gz PMIPZGHPEBLLLX-CZUORRHYSA-N 0 0 429.495 -0.077 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1C[C@@H](O)[C@H](CO)C1 ZINC001310013713 1074024494 /nfs/dbraw/zinc/02/44/94/1074024494.db2.gz PMIPZGHPEBLLLX-XJKSGUPXSA-N 0 0 429.495 -0.077 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC[C@H](O)[C@H](CO)C1 ZINC001310106874 1074024758 /nfs/dbraw/zinc/02/47/58/1074024758.db2.gz YJKGLZCXGJNKSL-BBRMVZONSA-N 0 0 429.495 -0.077 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC[C@H](O)[C@@H](CO)C1 ZINC001310106875 1074024843 /nfs/dbraw/zinc/02/48/43/1074024843.db2.gz YJKGLZCXGJNKSL-CJNGLKHVSA-N 0 0 429.495 -0.077 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001310106876 1074024806 /nfs/dbraw/zinc/02/48/06/1074024806.db2.gz YJKGLZCXGJNKSL-CZUORRHYSA-N 0 0 429.495 -0.077 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N1CC[C@@H](O)[C@H](CO)C1 ZINC001310106877 1074024856 /nfs/dbraw/zinc/02/48/56/1074024856.db2.gz YJKGLZCXGJNKSL-XJKSGUPXSA-N 0 0 429.495 -0.077 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001310112030 1074024916 /nfs/dbraw/zinc/02/49/16/1074024916.db2.gz VKCSIEGBGCSYPZ-FQEVSTJZSA-N 0 0 434.449 -0.732 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001310112032 1074024748 /nfs/dbraw/zinc/02/47/48/1074024748.db2.gz VKCSIEGBGCSYPZ-HXUWFJFHSA-N 0 0 434.449 -0.732 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)c2)C1=O ZINC001310146206 1074024795 /nfs/dbraw/zinc/02/47/95/1074024795.db2.gz KTMWHVCALVNRTC-AWEZNQCLSA-N 0 0 425.467 -0.133 20 0 IBADRN CN1CC(=O)N(c2cccc(NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)c2)C1=O ZINC001310146208 1074024811 /nfs/dbraw/zinc/02/48/11/1074024811.db2.gz KTMWHVCALVNRTC-CQSZACIVSA-N 0 0 425.467 -0.133 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)Nc1ccccc1CN1C(=O)CNC1=O ZINC001310146478 1074024888 /nfs/dbraw/zinc/02/48/88/1074024888.db2.gz NDFOJHVKNIFNBG-CYBMUJFWSA-N 0 0 425.467 -0.480 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)Nc1ccccc1CN1C(=O)CNC1=O ZINC001310146479 1074024832 /nfs/dbraw/zinc/02/48/32/1074024832.db2.gz NDFOJHVKNIFNBG-ZDUSSCGKSA-N 0 0 425.467 -0.480 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N(CCO)CCOCCO ZINC001310153273 1074024776 /nfs/dbraw/zinc/02/47/76/1074024776.db2.gz BVICFQHMNMFAEX-UHFFFAOYSA-N 0 0 435.474 -0.318 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N(CCO)CCOCCO)c2)CC1 ZINC001310185067 1074024864 /nfs/dbraw/zinc/02/48/64/1074024864.db2.gz ALCJPZAZDIAZEP-UHFFFAOYSA-N 0 0 430.527 -0.542 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCC(O)(C(N)=O)CC3)c2)CC1 ZINC001310186994 1074024850 /nfs/dbraw/zinc/02/48/50/1074024850.db2.gz RAWHNCPDHPPTJT-UHFFFAOYSA-N 0 0 425.511 -0.533 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N[C@@H]3CC[C@@H](O)[C@@H](O)CC3)cn2)CC1 ZINC001310287003 1074024819 /nfs/dbraw/zinc/02/48/19/1074024819.db2.gz AXXWJYGQRZODQT-MKZZRHPPSA-N 0 0 427.527 -0.051 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N[C@H]3CC[C@@H](O)[C@@H](O)CC3)cn2)CC1 ZINC001310287004 1074024907 /nfs/dbraw/zinc/02/49/07/1074024907.db2.gz AXXWJYGQRZODQT-RBVVOMGSSA-N 0 0 427.527 -0.051 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N[C@H]3CS(=O)(=O)C[C@H]3OC)cc2)CC1 ZINC001310290642 1074024837 /nfs/dbraw/zinc/02/48/37/1074024837.db2.gz JYVLTKUWFRBRPX-DLBZAZTESA-N 0 0 440.522 -0.085 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N[C@@H]3CS(=O)(=O)C[C@H]3OC)cc2)CC1 ZINC001310290643 1074024899 /nfs/dbraw/zinc/02/48/99/1074024899.db2.gz JYVLTKUWFRBRPX-IAGOWNOFSA-N 0 0 440.522 -0.085 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N[C@H]3CS(=O)(=O)C[C@@H]3OC)cc2)CC1 ZINC001310290644 1074025170 /nfs/dbraw/zinc/02/51/70/1074025170.db2.gz JYVLTKUWFRBRPX-IRXDYDNUSA-N 0 0 440.522 -0.085 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3OC)cc2)CC1 ZINC001310290645 1074025134 /nfs/dbraw/zinc/02/51/34/1074025134.db2.gz JYVLTKUWFRBRPX-SJORKVTESA-N 0 0 440.522 -0.085 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)NC[C@@]3(O)CCS(=O)(=O)C3)cc2)CC1 ZINC001310291478 1074025120 /nfs/dbraw/zinc/02/51/20/1074025120.db2.gz QLBKRDGRCHGOHL-IBGZPJMESA-N 0 0 440.522 -0.347 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)NC[C@]3(O)CCS(=O)(=O)C3)cc2)CC1 ZINC001310291479 1074025190 /nfs/dbraw/zinc/02/51/90/1074025190.db2.gz QLBKRDGRCHGOHL-LJQANCHMSA-N 0 0 440.522 -0.347 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC001310320880 1074025219 /nfs/dbraw/zinc/02/52/19/1074025219.db2.gz KVYZRYGHJYXOEE-UHFFFAOYSA-N 0 0 435.528 -0.775 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC001310329608 1074025143 /nfs/dbraw/zinc/02/51/43/1074025143.db2.gz KQRMURFIJVEJIV-AWEZNQCLSA-N 0 0 428.515 -0.465 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC001310329609 1074025206 /nfs/dbraw/zinc/02/52/06/1074025206.db2.gz KQRMURFIJVEJIV-CQSZACIVSA-N 0 0 428.515 -0.465 20 0 IBADRN O=C(Cn1ccc(NC(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)n1)N1CCOCC1 ZINC001310329619 1074025213 /nfs/dbraw/zinc/02/52/13/1074025213.db2.gz KYHQTJJMONPDFS-KBXCAEBGSA-N 0 0 441.510 -0.400 20 0 IBADRN O=C(Cn1ccc(NC(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)n1)N1CCOCC1 ZINC001310329620 1074025162 /nfs/dbraw/zinc/02/51/62/1074025162.db2.gz KYHQTJJMONPDFS-KDOFPFPSSA-N 0 0 441.510 -0.400 20 0 IBADRN O=C(Cn1ccc(NC(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)n1)N1CCOCC1 ZINC001310329621 1074025062 /nfs/dbraw/zinc/02/50/62/1074025062.db2.gz KYHQTJJMONPDFS-KSSFIOAISA-N 0 0 441.510 -0.400 20 0 IBADRN O=C(Cn1ccc(NC(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)n1)N1CCOCC1 ZINC001310329622 1074025183 /nfs/dbraw/zinc/02/51/83/1074025183.db2.gz KYHQTJJMONPDFS-RDTXWAMCSA-N 0 0 441.510 -0.400 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCN(S(=O)(=O)C3CC3)CC2)n1)N1CCOCC1 ZINC001310329769 1074025198 /nfs/dbraw/zinc/02/51/98/1074025198.db2.gz PZBUABOOSSKMNB-UHFFFAOYSA-N 0 0 426.499 -0.616 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)C1 ZINC001310329836 1074025243 /nfs/dbraw/zinc/02/52/43/1074025243.db2.gz SCANGRFALLMTMD-UHFFFAOYSA-N 0 0 438.485 -0.155 20 0 IBADRN Cc1ccc(NC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1N1CCCS1(=O)=O ZINC001310332420 1074025237 /nfs/dbraw/zinc/02/52/37/1074025237.db2.gz GUMZYPYKXCKTOR-AWEZNQCLSA-N 0 0 429.524 -0.099 20 0 IBADRN Cc1ccc(NC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1N1CCCS1(=O)=O ZINC001310332421 1074025077 /nfs/dbraw/zinc/02/50/77/1074025077.db2.gz GUMZYPYKXCKTOR-CQSZACIVSA-N 0 0 429.524 -0.099 20 0 IBADRN O=C(Cn1cc(NC(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cn1)N1CCOCC1 ZINC001310365291 1074025176 /nfs/dbraw/zinc/02/51/76/1074025176.db2.gz YKOQLJWWMAHGFI-KBXCAEBGSA-N 0 0 441.510 -0.400 20 0 IBADRN O=C(Cn1cc(NC(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cn1)N1CCOCC1 ZINC001310365292 1074025154 /nfs/dbraw/zinc/02/51/54/1074025154.db2.gz YKOQLJWWMAHGFI-KDOFPFPSSA-N 0 0 441.510 -0.400 20 0 IBADRN O=C(Cn1cc(NC(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cn1)N1CCOCC1 ZINC001310365293 1074025102 /nfs/dbraw/zinc/02/51/02/1074025102.db2.gz YKOQLJWWMAHGFI-KSSFIOAISA-N 0 0 441.510 -0.400 20 0 IBADRN O=C(Cn1cc(NC(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cn1)N1CCOCC1 ZINC001310365294 1074025229 /nfs/dbraw/zinc/02/52/29/1074025229.db2.gz YKOQLJWWMAHGFI-RDTXWAMCSA-N 0 0 441.510 -0.400 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1 ZINC001310367686 1074025090 /nfs/dbraw/zinc/02/50/90/1074025090.db2.gz LLOMTAPDRGWYLY-GFCCVEGCSA-N 0 0 441.510 -0.020 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1 ZINC001310367691 1074025464 /nfs/dbraw/zinc/02/54/64/1074025464.db2.gz LLOMTAPDRGWYLY-LBPRGKRZSA-N 0 0 441.510 -0.020 20 0 IBADRN NS(=O)(=O)N1CCN(C(=O)Nc2ccccc2OCC(=O)N2CCOCC2)CC1 ZINC001310377889 1074025509 /nfs/dbraw/zinc/02/55/09/1074025509.db2.gz AIHCQAFUFQNDIA-UHFFFAOYSA-N 0 0 427.483 -0.723 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)Nc1ccccc1OCC(=O)N1CCOCC1 ZINC001310380323 1074025612 /nfs/dbraw/zinc/02/56/12/1074025612.db2.gz QKKGXKPLJLMYEG-UHFFFAOYSA-N 0 0 441.506 -0.015 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@]4(C3)NC(=O)NC4=O)cn2)C[C@H](C)O1 ZINC001310385920 1074025475 /nfs/dbraw/zinc/02/54/75/1074025475.db2.gz QPAFMRHXNMMNBY-QHRIQVFBSA-N 0 0 433.469 -0.275 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@@]4(C3)NC(=O)NC4=O)cn2)C[C@H](C)O1 ZINC001310385934 1074025454 /nfs/dbraw/zinc/02/54/54/1074025454.db2.gz QPAFMRHXNMMNBY-QUJCMNEKSA-N 0 0 433.469 -0.275 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@]4(C3)NC(=O)NC4=O)cn2)C[C@@H](C)O1 ZINC001310385935 1074025644 /nfs/dbraw/zinc/02/56/44/1074025644.db2.gz QPAFMRHXNMMNBY-VLXJIEOASA-N 0 0 433.469 -0.275 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC[C@@]4(C3)NC(=O)NC4=O)cn2)C[C@@H](C)O1 ZINC001310385936 1074025524 /nfs/dbraw/zinc/02/55/24/1074025524.db2.gz QPAFMRHXNMMNBY-VPZZIHKRSA-N 0 0 433.469 -0.275 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC001310386083 1074025585 /nfs/dbraw/zinc/02/55/85/1074025585.db2.gz WNDGKLOVRFTSLQ-PMERELPUSA-N 0 0 443.526 -0.046 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)Nc2cnn(CC(=O)NCCOC)c2)CC1 ZINC001310386084 1074025638 /nfs/dbraw/zinc/02/56/38/1074025638.db2.gz WNDGKLOVRFTSLQ-SSEXGKCCSA-N 0 0 443.526 -0.046 20 0 IBADRN CN(C)C(=O)CNC(=O)c1ccc(NC(=O)N2CC(NS(C)(=O)=O)C2)cc1Cl ZINC001310433062 1074025574 /nfs/dbraw/zinc/02/55/74/1074025574.db2.gz QBZKJCRUVCBNLH-UHFFFAOYSA-N 0 0 431.902 -0.077 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@H](CO)[C@H](CO)C2)c1 ZINC001310456146 1074025496 /nfs/dbraw/zinc/02/54/96/1074025496.db2.gz LWJYMEJFPNLAKD-GASCZTMLSA-N 0 0 442.538 -0.162 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@@H](CO)[C@H](CO)C2)c1 ZINC001310456147 1074025518 /nfs/dbraw/zinc/02/55/18/1074025518.db2.gz LWJYMEJFPNLAKD-GJZGRUSLSA-N 0 0 442.538 -0.162 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N[C@@H]2C[C@@H](O)[C@@H](O)C2)c1 ZINC001310456671 1074025603 /nfs/dbraw/zinc/02/56/03/1074025603.db2.gz REAZSXIDGZOWBE-IBEGIYRJSA-N 0 0 428.511 -0.221 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N[C@H]2C[C@@H](O)[C@@H](O)C2)c1 ZINC001310456672 1074025564 /nfs/dbraw/zinc/02/55/64/1074025564.db2.gz REAZSXIDGZOWBE-VGVJFPCQSA-N 0 0 428.511 -0.221 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)Nc3cccn(CCS(C)(=O)=O)c3=O)CC2)C1=O ZINC001310500260 1074025592 /nfs/dbraw/zinc/02/55/92/1074025592.db2.gz MQNNKMRQJJGSKT-UHFFFAOYSA-N 0 0 425.467 -0.559 20 0 IBADRN COC(=O)Cc1cccc(NC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)n1 ZINC001310553211 1074025933 /nfs/dbraw/zinc/02/59/33/1074025933.db2.gz KDNOVAMHTYHIGL-UHFFFAOYSA-N 0 0 433.512 -0.081 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1OC ZINC001310582291 1074025975 /nfs/dbraw/zinc/02/59/75/1074025975.db2.gz ZVEIWAKMGOVYJY-GDBMZVCRSA-N 0 0 447.535 -0.137 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N[C@H]1CS(=O)(=O)C[C@H]1OC ZINC001310582301 1074025959 /nfs/dbraw/zinc/02/59/59/1074025959.db2.gz ZVEIWAKMGOVYJY-GOEBONIOSA-N 0 0 447.535 -0.137 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1OC ZINC001310582345 1074025894 /nfs/dbraw/zinc/02/58/94/1074025894.db2.gz ZVEIWAKMGOVYJY-HOCLYGCPSA-N 0 0 447.535 -0.137 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1OC ZINC001310582346 1074025857 /nfs/dbraw/zinc/02/58/57/1074025857.db2.gz ZVEIWAKMGOVYJY-ZBFHGGJFSA-N 0 0 447.535 -0.137 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001310583406 1074025983 /nfs/dbraw/zinc/02/59/83/1074025983.db2.gz VVGPQYMUMMUZLB-AWEZNQCLSA-N 0 0 437.478 -0.302 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001310583408 1074025997 /nfs/dbraw/zinc/02/59/97/1074025997.db2.gz VVGPQYMUMMUZLB-CQSZACIVSA-N 0 0 437.478 -0.302 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)c(F)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001310615099 1074025798 /nfs/dbraw/zinc/02/57/98/1074025798.db2.gz JYZDHJTYGHTZEF-CYBMUJFWSA-N 0 0 427.458 -0.336 20 0 IBADRN O=C(Nc1ccc(C(=O)N2CCOCC2)c(F)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001310615101 1074025991 /nfs/dbraw/zinc/02/59/91/1074025991.db2.gz JYZDHJTYGHTZEF-ZDUSSCGKSA-N 0 0 427.458 -0.336 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)nc1 ZINC001310616086 1074025945 /nfs/dbraw/zinc/02/59/45/1074025945.db2.gz BUQAWKKHOTWIBO-KRWDZBQOSA-N 0 0 427.483 -0.529 20 0 IBADRN CNS(=O)(=O)c1ccc(NC(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)nc1 ZINC001310616087 1074026003 /nfs/dbraw/zinc/02/60/03/1074026003.db2.gz BUQAWKKHOTWIBO-QGZVFWFLSA-N 0 0 427.483 -0.529 20 0 IBADRN COC(=O)[C@]1(CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCOC1 ZINC001310616563 1074025812 /nfs/dbraw/zinc/02/58/12/1074025812.db2.gz FSIDZNPNWUKNCD-KRWDZBQOSA-N 0 0 428.467 -0.196 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCOC1 ZINC001310616564 1074025966 /nfs/dbraw/zinc/02/59/66/1074025966.db2.gz FSIDZNPNWUKNCD-QGZVFWFLSA-N 0 0 428.467 -0.196 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C[C@@H](C)O1 ZINC001310618910 1074025955 /nfs/dbraw/zinc/02/59/55/1074025955.db2.gz ODXYEZOFFVZLEL-OCCSQVGLSA-N 0 0 428.467 -0.103 20 0 IBADRN Cc1cc(OCCN2CCNC2=O)ccc1NC(=O)N1CCN(S(N)(=O)=O)CC1 ZINC001310624954 1074025923 /nfs/dbraw/zinc/02/59/23/1074025923.db2.gz GYDPFFLWNKOUDE-UHFFFAOYSA-N 0 0 426.499 -0.248 20 0 IBADRN NC(=O)CN1CCN(C(=O)Nc2cnc(N3CCOCC3)c(Br)c2)CC1=O ZINC001310631111 1074025883 /nfs/dbraw/zinc/02/58/83/1074025883.db2.gz PGUFCSVXHVKJOI-UHFFFAOYSA-N 0 0 441.286 -0.158 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCOC3(CCS(=O)(=O)CC3)C2)c1 ZINC001310640765 1074026011 /nfs/dbraw/zinc/02/60/11/1074026011.db2.gz AOYNZMSUHOLKFZ-UHFFFAOYSA-N 0 0 438.506 -0.026 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)ccc1OC ZINC001310666138 1074025868 /nfs/dbraw/zinc/02/58/68/1074025868.db2.gz KQOZVZPNHPUJDJ-BETUJISGSA-N 0 0 440.478 -0.302 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCC(=O)N2CCN(C)CC2)ccc1OC ZINC001310667098 1074026370 /nfs/dbraw/zinc/02/63/70/1074026370.db2.gz XTMFEGNGKLCXEP-UHFFFAOYSA-N 0 0 443.526 -0.485 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)C1 ZINC001310672785 1074026217 /nfs/dbraw/zinc/02/62/17/1074026217.db2.gz MNFPMDFBTFFKNB-UHFFFAOYSA-N 0 0 432.528 -0.522 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001310694472 1074026308 /nfs/dbraw/zinc/02/63/08/1074026308.db2.gz IOWKXUUNFXUEJF-UHFFFAOYSA-N 0 0 432.481 -0.154 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC001310714872 1074026207 /nfs/dbraw/zinc/02/62/07/1074026207.db2.gz KKNGJCGFAIHQEY-GFCCVEGCSA-N 0 0 445.464 -0.811 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC001310714873 1074026322 /nfs/dbraw/zinc/02/63/22/1074026322.db2.gz KKNGJCGFAIHQEY-LBPRGKRZSA-N 0 0 445.464 -0.811 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)NCCc1nnc2c(=O)n(C)ccn12)C(F)(F)F ZINC001310715001 1074026227 /nfs/dbraw/zinc/02/62/27/1074026227.db2.gz KXSFKVAAXGISCQ-SECBINFHSA-N 0 0 439.420 -0.908 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)NCCc1nnc2c(=O)n(C)ccn12)C(F)(F)F ZINC001310715002 1074026386 /nfs/dbraw/zinc/02/63/86/1074026386.db2.gz KXSFKVAAXGISCQ-VIFPVBQESA-N 0 0 439.420 -0.908 20 0 IBADRN COC(=O)C1=NO[C@@]2(CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)C1 ZINC001310715236 1074026235 /nfs/dbraw/zinc/02/62/35/1074026235.db2.gz OZLKNSPWSGHTAF-GWCFXTLKSA-N 0 0 430.405 -0.088 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)C1 ZINC001310715239 1074026172 /nfs/dbraw/zinc/02/61/72/1074026172.db2.gz OZLKNSPWSGHTAF-GXFFZTMASA-N 0 0 430.405 -0.088 20 0 IBADRN COC(=O)C1=NO[C@@]2(CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)C1 ZINC001310715240 1074026364 /nfs/dbraw/zinc/02/63/64/1074026364.db2.gz OZLKNSPWSGHTAF-MFKMUULPSA-N 0 0 430.405 -0.088 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)C2)C1 ZINC001310715241 1074026298 /nfs/dbraw/zinc/02/62/98/1074026298.db2.gz OZLKNSPWSGHTAF-ZWNOBZJWSA-N 0 0 430.405 -0.088 20 0 IBADRN CO[C@@]1(CNC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CCS(=O)(=O)C1 ZINC001310715372 1074026331 /nfs/dbraw/zinc/02/63/31/1074026331.db2.gz SXAXXUSWFKJRLQ-GXSJLCMTSA-N 0 0 425.451 -0.688 20 0 IBADRN CO[C@]1(CNC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CCS(=O)(=O)C1 ZINC001310715373 1074026243 /nfs/dbraw/zinc/02/62/43/1074026243.db2.gz SXAXXUSWFKJRLQ-KOLCDFICSA-N 0 0 425.451 -0.688 20 0 IBADRN CO[C@@]1(CNC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CCS(=O)(=O)C1 ZINC001310715374 1074026203 /nfs/dbraw/zinc/02/62/03/1074026203.db2.gz SXAXXUSWFKJRLQ-MWLCHTKSSA-N 0 0 425.451 -0.688 20 0 IBADRN CO[C@]1(CNC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CCS(=O)(=O)C1 ZINC001310715375 1074026194 /nfs/dbraw/zinc/02/61/94/1074026194.db2.gz SXAXXUSWFKJRLQ-ONGXEEELSA-N 0 0 425.451 -0.688 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cc(NS(C)(=O)=O)ccc2OC)CC1 ZINC001310766126 1074026252 /nfs/dbraw/zinc/02/62/52/1074026252.db2.gz CRWZXMFFHXXIEW-UHFFFAOYSA-N 0 0 443.526 -0.021 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccc(F)c1S(C)(=O)=O)C2 ZINC001310888482 1074026790 /nfs/dbraw/zinc/02/67/90/1074026790.db2.gz QDNQKRNBJVTOBB-UHFFFAOYSA-N 0 0 440.457 -0.277 20 0 IBADRN Cn1cnc(NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)cc1=O ZINC001310912897 1074026684 /nfs/dbraw/zinc/02/66/84/1074026684.db2.gz KNHIBFPQCYIYSY-UHFFFAOYSA-N 0 0 432.462 -0.187 20 0 IBADRN Cn1ncc(I)c1CNC(=O)Nc1ccc(=O)n(CC(N)=O)c1 ZINC001310974936 1074026665 /nfs/dbraw/zinc/02/66/65/1074026665.db2.gz WMIGGOCPRAXLCR-UHFFFAOYSA-N 0 0 430.206 -0.007 20 0 IBADRN CN1CCN(c2ccc(Br)cc2NC(=O)NCC(=O)NCCO)CC1=O ZINC001310987821 1074026609 /nfs/dbraw/zinc/02/66/09/1074026609.db2.gz ZTUKWOCQEXYTEZ-UHFFFAOYSA-N 0 0 428.287 -0.043 20 0 IBADRN CS(=O)(=O)CCOc1ccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001310988986 1074026734 /nfs/dbraw/zinc/02/67/34/1074026734.db2.gz JHWNUCZNUZHLAP-GOSISDBHSA-N 0 0 427.479 -0.141 20 0 IBADRN CS(=O)(=O)CCOc1ccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001310989039 1074026621 /nfs/dbraw/zinc/02/66/21/1074026621.db2.gz JHWNUCZNUZHLAP-SFHVURJKSA-N 0 0 427.479 -0.141 20 0 IBADRN COc1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cnc1OC ZINC001311008903 1074026595 /nfs/dbraw/zinc/02/65/95/1074026595.db2.gz QUNGUZNCYWUMMD-CYBMUJFWSA-N 0 0 448.523 -0.235 20 0 IBADRN COc1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cnc1OC ZINC001311008904 1074026540 /nfs/dbraw/zinc/02/65/40/1074026540.db2.gz QUNGUZNCYWUMMD-ZDUSSCGKSA-N 0 0 448.523 -0.235 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)c1 ZINC001311060405 1074026675 /nfs/dbraw/zinc/02/66/75/1074026675.db2.gz ZCPPCPGKZYBABS-AWEZNQCLSA-N 0 0 435.524 -0.111 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)c1 ZINC001311060406 1074026781 /nfs/dbraw/zinc/02/67/81/1074026781.db2.gz ZCPPCPGKZYBABS-CQSZACIVSA-N 0 0 435.524 -0.111 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)Nc2cccc(CN3CCOCC3)n2)CC1 ZINC001311075637 1074026650 /nfs/dbraw/zinc/02/66/50/1074026650.db2.gz QWQGAWDXLLSVLR-UHFFFAOYSA-N 0 0 432.525 -0.078 20 0 IBADRN CN(C)c1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC001311076353 1074026631 /nfs/dbraw/zinc/02/66/31/1074026631.db2.gz IZCNZDMUYFSEEL-AWEZNQCLSA-N 0 0 431.540 -0.186 20 0 IBADRN CN(C)c1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC001311076359 1074026743 /nfs/dbraw/zinc/02/67/43/1074026743.db2.gz IZCNZDMUYFSEEL-CQSZACIVSA-N 0 0 431.540 -0.186 20 0 IBADRN CNC(=O)COc1cc(C(=O)OC)ccc1NC(=O)N(CCO)CCN1CCOCC1 ZINC001311097278 1074026707 /nfs/dbraw/zinc/02/67/07/1074026707.db2.gz PDXFEYTUPHSYDA-UHFFFAOYSA-N 0 0 438.481 -0.244 20 0 IBADRN Cn1nnnc1-c1ccccc1NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001311132596 1074026723 /nfs/dbraw/zinc/02/67/23/1074026723.db2.gz CJHKHVVRMKGLGP-UHFFFAOYSA-N 0 0 426.437 -0.298 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Nc2ccc(S(C)(=O)=O)nn2)C1 ZINC001311161691 1074026590 /nfs/dbraw/zinc/02/65/90/1074026590.db2.gz ASPCYBCUXORRFV-GFCCVEGCSA-N 0 0 435.528 -0.216 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Nc2ccc(S(C)(=O)=O)nn2)C1 ZINC001311161693 1074026558 /nfs/dbraw/zinc/02/65/58/1074026558.db2.gz ASPCYBCUXORRFV-LBPRGKRZSA-N 0 0 435.528 -0.216 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)Nc4cn(C)nc4C(N)=O)CC3)C2=O)n(C)n1 ZINC001311171795 1074026573 /nfs/dbraw/zinc/02/65/73/1074026573.db2.gz JXGIUOAFFYVZQK-HNNXBMFYSA-N 0 0 443.512 -0.094 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)Nc4cn(C)nc4C(N)=O)CC3)C2=O)n(C)n1 ZINC001311171806 1074026696 /nfs/dbraw/zinc/02/66/96/1074026696.db2.gz JXGIUOAFFYVZQK-OAHLLOKOSA-N 0 0 443.512 -0.094 20 0 IBADRN CN1CCN(C(=O)CNC(=O)Nc2ccc(S(=O)(=O)N=S(C)(C)=O)cc2)CC1 ZINC001311211849 1074026760 /nfs/dbraw/zinc/02/67/60/1074026760.db2.gz SKASQRIBKJPIAZ-UHFFFAOYSA-N 0 0 431.540 -0.002 20 0 IBADRN Cn1c2cc(NC(=O)N3CCN(C(=O)N4CCOCC4)CC3)ccc2c(=O)n(C)c1=O ZINC001311217436 1074027171 /nfs/dbraw/zinc/02/71/71/1074027171.db2.gz FDNXZEUEZCUMTA-UHFFFAOYSA-N 0 0 430.465 -0.161 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)Nc1cnn(C)c1I ZINC001311233916 1074027261 /nfs/dbraw/zinc/02/72/61/1074027261.db2.gz XNYNUHYAFFMMBF-UHFFFAOYSA-N 0 0 430.272 -0.021 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)N2CCOCC2)cc1 ZINC001311346791 1074027101 /nfs/dbraw/zinc/02/71/01/1074027101.db2.gz CJXQDOZBWBKORJ-GOSISDBHSA-N 0 0 441.554 -0.142 20 0 IBADRN COc1ccc([C@H](CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)N2CCOCC2)cc1 ZINC001311346797 1074027227 /nfs/dbraw/zinc/02/72/27/1074027227.db2.gz CJXQDOZBWBKORJ-SFHVURJKSA-N 0 0 441.554 -0.142 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)NCCC(=O)N1CCN(C)CC1)C1CCCC1 ZINC001311369873 1074027290 /nfs/dbraw/zinc/02/72/90/1074027290.db2.gz KLVXLZNBOCZZPE-INIZCTEOSA-N 0 0 432.591 -0.596 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)NCCC(=O)N1CCN(C)CC1)C1CCCC1 ZINC001311369874 1074027181 /nfs/dbraw/zinc/02/71/81/1074027181.db2.gz KLVXLZNBOCZZPE-MRXNPFEDSA-N 0 0 432.591 -0.596 20 0 IBADRN O=C(NCCN1CCN(c2ccc(F)cc2)CC1)NC[C@@]12COCCN1C(=O)COC2 ZINC001311379231 1074027211 /nfs/dbraw/zinc/02/72/11/1074027211.db2.gz SHXZRXRQQCWVJT-NRFANRHFSA-N 0 0 435.500 -0.125 20 0 IBADRN O=C(NCCN1CCN(c2ccc(F)cc2)CC1)NC[C@]12COCCN1C(=O)COC2 ZINC001311379232 1074027197 /nfs/dbraw/zinc/02/71/97/1074027197.db2.gz SHXZRXRQQCWVJT-OAQYLSRUSA-N 0 0 435.500 -0.125 20 0 IBADRN COC(=O)N(C)CCNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311380304 1074027153 /nfs/dbraw/zinc/02/71/53/1074027153.db2.gz KGTLTAADCBEPMO-UHFFFAOYSA-N 0 0 428.515 -0.469 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)CC1 ZINC001311380357 1074027138 /nfs/dbraw/zinc/02/71/38/1074027138.db2.gz KXGOAVAIWKAAHA-UHFFFAOYSA-N 0 0 436.557 -0.658 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCC(CO)(CO)CC1 ZINC001311381406 1074026994 /nfs/dbraw/zinc/02/69/94/1074026994.db2.gz AUGUBSMCPBXXPT-UHFFFAOYSA-N 0 0 441.554 -0.690 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@@](O)(C(F)F)C1 ZINC001311381470 1074027273 /nfs/dbraw/zinc/02/72/73/1074027273.db2.gz RHYMQPSQKRDQGQ-KRWDZBQOSA-N 0 0 433.481 -0.055 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@](O)(C(F)F)C1 ZINC001311381484 1074026975 /nfs/dbraw/zinc/02/69/75/1074026975.db2.gz RHYMQPSQKRDQGQ-QGZVFWFLSA-N 0 0 433.481 -0.055 20 0 IBADRN CCC[C@H](O)[C@@H](CO)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311381800 1074027013 /nfs/dbraw/zinc/02/70/13/1074027013.db2.gz UFJDFAACUVIZNT-CVEARBPZSA-N 0 0 429.543 -0.646 20 0 IBADRN CCC[C@H](O)[C@H](CO)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311381806 1074026954 /nfs/dbraw/zinc/02/69/54/1074026954.db2.gz UFJDFAACUVIZNT-HOTGVXAUSA-N 0 0 429.543 -0.646 20 0 IBADRN CCC[C@@H](O)[C@@H](CO)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311381808 1074027718 /nfs/dbraw/zinc/02/77/18/1074027718.db2.gz UFJDFAACUVIZNT-HZPDHXFCSA-N 0 0 429.543 -0.646 20 0 IBADRN CCC[C@@H](O)[C@H](CO)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311381811 1074027686 /nfs/dbraw/zinc/02/76/86/1074027686.db2.gz UFJDFAACUVIZNT-JKSUJKDBSA-N 0 0 429.543 -0.646 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCCn2nncc2C1 ZINC001311381890 1074027732 /nfs/dbraw/zinc/02/77/32/1074027732.db2.gz DMXLDGVPEXNTLT-UHFFFAOYSA-N 0 0 434.526 -0.260 20 0 IBADRN CON(C)C(=O)[C@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311381913 1074027670 /nfs/dbraw/zinc/02/76/70/1074027670.db2.gz FJGFQROIGQQVKO-AWEZNQCLSA-N 0 0 428.515 -0.759 20 0 IBADRN COC[C@@H]1C[C@@H](O)CN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311382300 1074027591 /nfs/dbraw/zinc/02/75/91/1074027591.db2.gz IEAMXKUFARZBGP-JKSUJKDBSA-N 0 0 427.527 -0.675 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@]2(CCOC2=O)C1 ZINC001311382641 1074027489 /nfs/dbraw/zinc/02/74/89/1074027489.db2.gz LLECRHUFFHBULP-IBGZPJMESA-N 0 0 437.522 -0.118 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@@]2(CCOC2=O)C1 ZINC001311382642 1074027613 /nfs/dbraw/zinc/02/76/13/1074027613.db2.gz LLECRHUFFHBULP-LJQANCHMSA-N 0 0 437.522 -0.118 20 0 IBADRN COC(=O)[C@@H]1C[C@@H]1CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311382706 1074027626 /nfs/dbraw/zinc/02/76/26/1074027626.db2.gz OFDFILVIZHEFKO-HUUCEWRRSA-N 0 0 425.511 -0.358 20 0 IBADRN COc1nn(C)cc1CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001311382854 1074027777 /nfs/dbraw/zinc/02/77/77/1074027777.db2.gz PXZDXYSNYPFWKJ-UHFFFAOYSA-N 0 0 437.526 -0.225 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC(N2CCCC2=O)C1 ZINC001311382926 1074027551 /nfs/dbraw/zinc/02/75/51/1074027551.db2.gz SARUZRNANYFQRH-UHFFFAOYSA-N 0 0 436.538 -0.450 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC001311383082 1074027794 /nfs/dbraw/zinc/02/77/94/1074027794.db2.gz UPSCUNYPDMMOBR-UHFFFAOYSA-N 0 0 441.558 -0.584 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)C(N)=O ZINC001311383110 1074027652 /nfs/dbraw/zinc/02/76/52/1074027652.db2.gz VPQYYMLMQLAPBJ-HOCLYGCPSA-N 0 0 426.543 -0.267 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001311383316 1074027572 /nfs/dbraw/zinc/02/75/72/1074027572.db2.gz ZTIBADKDIMQFJZ-DLBZAZTESA-N 0 0 441.554 -0.834 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@H](CO)[C@H](CCO)C1 ZINC001311383317 1074027636 /nfs/dbraw/zinc/02/76/36/1074027636.db2.gz ZTIBADKDIMQFJZ-IAGOWNOFSA-N 0 0 441.554 -0.834 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@H](CCO)[C@H](CO)C1 ZINC001311383318 1074027702 /nfs/dbraw/zinc/02/77/02/1074027702.db2.gz ZTIBADKDIMQFJZ-IRXDYDNUSA-N 0 0 441.554 -0.834 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001311383319 1074027758 /nfs/dbraw/zinc/02/77/58/1074027758.db2.gz ZTIBADKDIMQFJZ-SJORKVTESA-N 0 0 441.554 -0.834 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NCCNC(=O)c3cccnc3)CC2)CC1 ZINC001311395600 1074027528 /nfs/dbraw/zinc/02/75/28/1074027528.db2.gz UDXGHIIBZLWYNW-UHFFFAOYSA-N 0 0 430.509 -0.043 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001311436484 1074027749 /nfs/dbraw/zinc/02/77/49/1074027749.db2.gz DFDXDLKSDASUME-UHFFFAOYSA-N 0 0 449.537 -0.132 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001311439086 1074027539 /nfs/dbraw/zinc/02/75/39/1074027539.db2.gz UYQOZKFWEGNIQF-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001311439087 1074027607 /nfs/dbraw/zinc/02/76/07/1074027607.db2.gz UYQOZKFWEGNIQF-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN CS(=O)(=O)C1CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC001311443509 1074027992 /nfs/dbraw/zinc/02/79/92/1074027992.db2.gz BHRNOLNCFLNYAU-UHFFFAOYSA-N 0 0 431.536 -0.213 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1CCS(=O)(=O)CCO1 ZINC001311443612 1074028004 /nfs/dbraw/zinc/02/80/04/1074028004.db2.gz CDZBLMLOFNLWDG-UHFFFAOYSA-N 0 0 447.535 -0.280 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1CC(N2CC[C@@H](O)C2)C1 ZINC001311443747 1074028032 /nfs/dbraw/zinc/02/80/32/1074028032.db2.gz DNYSPUBTRMVLDV-LJQANCHMSA-N 0 0 438.550 -0.191 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1CCC(O)(CO)CC1 ZINC001311443872 1074028024 /nfs/dbraw/zinc/02/80/24/1074028024.db2.gz GMIHSYPWZGGBNE-UHFFFAOYSA-N 0 0 427.523 -0.123 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)NC[C@H](O)Cn1ccnn1 ZINC001311444108 1074028102 /nfs/dbraw/zinc/02/81/02/1074028102.db2.gz JQEVOXRKHLQMIL-KRWDZBQOSA-N 0 0 438.510 -0.700 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)NC[C@@H](O)Cn1ccnn1 ZINC001311444109 1074027986 /nfs/dbraw/zinc/02/79/86/1074027986.db2.gz JQEVOXRKHLQMIL-QGZVFWFLSA-N 0 0 438.510 -0.700 20 0 IBADRN COC(=O)C1(O)CN(C(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)C1 ZINC001311444110 1074028018 /nfs/dbraw/zinc/02/80/18/1074028018.db2.gz JQXBCEWOAWHKRF-UHFFFAOYSA-N 0 0 427.479 -0.722 20 0 IBADRN CN1CCOC[C@H]1CNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001311444348 1074028081 /nfs/dbraw/zinc/02/80/81/1074028081.db2.gz MWUGBYDARVYQDZ-GOSISDBHSA-N 0 0 426.539 -0.022 20 0 IBADRN CN1CCOC[C@@H]1CNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001311444349 1074028011 /nfs/dbraw/zinc/02/80/11/1074028011.db2.gz MWUGBYDARVYQDZ-SFHVURJKSA-N 0 0 426.539 -0.022 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001311463436 1074028192 /nfs/dbraw/zinc/02/81/92/1074028192.db2.gz HRTBTSWLWVKMEA-UHFFFAOYSA-N 0 0 445.586 -0.197 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC001311466150 1074028107 /nfs/dbraw/zinc/02/81/07/1074028107.db2.gz QNKNARGQZACJIB-UHFFFAOYSA-N 0 0 433.556 -0.499 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cc1 ZINC001311470347 1074028131 /nfs/dbraw/zinc/02/81/31/1074028131.db2.gz FPFYTZVNUYXVDC-UHFFFAOYSA-N 0 0 447.583 -0.194 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccc(CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1 ZINC001311471339 1074028112 /nfs/dbraw/zinc/02/81/12/1074028112.db2.gz VDQGOMMMERCOEQ-UHFFFAOYSA-N 0 0 447.583 -0.196 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001311486663 1074028167 /nfs/dbraw/zinc/02/81/67/1074028167.db2.gz LFOXVQISODOKPM-FQEVSTJZSA-N 0 0 429.562 -0.079 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001311486664 1074027970 /nfs/dbraw/zinc/02/79/70/1074027970.db2.gz LFOXVQISODOKPM-HXUWFJFHSA-N 0 0 429.562 -0.079 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001311486709 1074028641 /nfs/dbraw/zinc/02/86/41/1074028641.db2.gz NCANZRWNQAAJAH-UHFFFAOYSA-N 0 0 436.579 -0.376 20 0 IBADRN COc1cc(CNC(=O)NCC2(O)CCS(=O)(=O)CC2)ccc1OCC(=O)N(C)C ZINC001311489934 1074028427 /nfs/dbraw/zinc/02/84/27/1074028427.db2.gz IBEWCUFVUZZLPJ-UHFFFAOYSA-N 0 0 443.522 -0.099 20 0 IBADRN COc1cc(CNC(=O)N2CC[C@H](CS(N)(=O)=O)C2)ccc1OCC(=O)N(C)C ZINC001311490948 1074028417 /nfs/dbraw/zinc/02/84/17/1074028417.db2.gz RSMINRUFUOKCRF-AWEZNQCLSA-N 0 0 428.511 -0.018 20 0 IBADRN COc1cc(CNC(=O)N2CC[C@@H](CS(N)(=O)=O)C2)ccc1OCC(=O)N(C)C ZINC001311490949 1074028595 /nfs/dbraw/zinc/02/85/95/1074028595.db2.gz RSMINRUFUOKCRF-CQSZACIVSA-N 0 0 428.511 -0.018 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)cc2)CC1 ZINC001311501612 1074028479 /nfs/dbraw/zinc/02/84/79/1074028479.db2.gz HVZBMDVWXZZBRD-KRWDZBQOSA-N 0 0 436.538 -0.882 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)N3CCN4[C@H](CNS4(=O)=O)C3)cc2)CC1 ZINC001311501613 1074028522 /nfs/dbraw/zinc/02/85/22/1074028522.db2.gz HVZBMDVWXZZBRD-QGZVFWFLSA-N 0 0 436.538 -0.882 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)NC[C@]34COCCN3C(=O)COC4)cc2)CC1 ZINC001311501653 1074028510 /nfs/dbraw/zinc/02/85/10/1074028510.db2.gz IKKCPEPTAKPCKN-JOCHJYFZSA-N 0 0 445.520 -0.499 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)NC[C@@]34COCCN3C(=O)COC4)cc2)CC1 ZINC001311501660 1074028535 /nfs/dbraw/zinc/02/85/35/1074028535.db2.gz IKKCPEPTAKPCKN-QFIPXVFZSA-N 0 0 445.520 -0.499 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)NCc3nnc4c(=O)n(C)ccn34)cc2)CC1 ZINC001311501947 1074028549 /nfs/dbraw/zinc/02/85/49/1074028549.db2.gz MDXBUCBLUXMKPY-UHFFFAOYSA-N 0 0 438.492 -0.185 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001311503524 1074028682 /nfs/dbraw/zinc/02/86/82/1074028682.db2.gz OFOSROQACSLFTM-UHFFFAOYSA-N 0 0 425.511 -0.614 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001311504290 1074028439 /nfs/dbraw/zinc/02/84/39/1074028439.db2.gz XZZFUXDKCDWPCF-HNNXBMFYSA-N 0 0 425.511 -0.614 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001311504291 1074028588 /nfs/dbraw/zinc/02/85/88/1074028588.db2.gz XZZFUXDKCDWPCF-OAHLLOKOSA-N 0 0 425.511 -0.614 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCc3ccccc3S(=O)(=O)N(C)C)C[C@H]21 ZINC001311514168 1074028575 /nfs/dbraw/zinc/02/85/75/1074028575.db2.gz IXYATJGMRBEAIM-LZWOXQAQSA-N 0 0 431.536 -0.110 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001311514906 1074028470 /nfs/dbraw/zinc/02/84/70/1074028470.db2.gz NIPZVXYWFIAOBM-AWEZNQCLSA-N 0 0 434.540 -0.604 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001311514907 1074028498 /nfs/dbraw/zinc/02/84/98/1074028498.db2.gz NIPZVXYWFIAOBM-CQSZACIVSA-N 0 0 434.540 -0.604 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)CC1 ZINC001311516907 1074029065 /nfs/dbraw/zinc/02/90/65/1074029065.db2.gz CGFVTSODUQXATH-UHFFFAOYSA-N 0 0 438.554 -0.119 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC001311521465 1074029091 /nfs/dbraw/zinc/02/90/91/1074029091.db2.gz KREKPQGILKZMBH-UHFFFAOYSA-N 0 0 428.511 -0.090 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC001311521934 1074028987 /nfs/dbraw/zinc/02/89/87/1074028987.db2.gz BRXHRKSRSKRRNM-HNNXBMFYSA-N 0 0 434.540 -0.934 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCCS(=O)(=O)NCc1ccccc1 ZINC001311521962 1074028931 /nfs/dbraw/zinc/02/89/31/1074028931.db2.gz BRXHRKSRSKRRNM-OAHLLOKOSA-N 0 0 434.540 -0.934 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCCS(=O)(=O)NCc2ccccc2)CC1 ZINC001311524286 1074029031 /nfs/dbraw/zinc/02/90/31/1074029031.db2.gz KXPKGOHHGYPGRH-UHFFFAOYSA-N 0 0 439.538 -0.514 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001311524415 1074028994 /nfs/dbraw/zinc/02/89/94/1074028994.db2.gz BBSSRAJHLVVYLL-AWEZNQCLSA-N 0 0 434.540 -0.556 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001311524422 1074029037 /nfs/dbraw/zinc/02/90/37/1074029037.db2.gz BBSSRAJHLVVYLL-CQSZACIVSA-N 0 0 434.540 -0.556 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCCS(=O)(=O)NCc3ccccc3)C[C@H]21 ZINC001311527072 1074028982 /nfs/dbraw/zinc/02/89/82/1074028982.db2.gz XFCJTLVVNBZWOU-XHSDSOJGSA-N 0 0 431.536 -0.441 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)CCO1 ZINC001311527944 1074029008 /nfs/dbraw/zinc/02/90/08/1074029008.db2.gz ZUKNNWGKUKSYQY-HNNXBMFYSA-N 0 0 443.526 -0.549 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)CCO1 ZINC001311527947 1074029099 /nfs/dbraw/zinc/02/90/99/1074029099.db2.gz ZUKNNWGKUKSYQY-OAHLLOKOSA-N 0 0 443.526 -0.549 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC001311536494 1074028940 /nfs/dbraw/zinc/02/89/40/1074028940.db2.gz KPGMJROAZUAPFW-KRWDZBQOSA-N 0 0 439.586 -0.041 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC001311536495 1074029043 /nfs/dbraw/zinc/02/90/43/1074029043.db2.gz KPGMJROAZUAPFW-QGZVFWFLSA-N 0 0 439.586 -0.041 20 0 IBADRN CO[C@@]1(CNC(=O)NCCCN2CCN(c3ncccn3)CC2)CCS(=O)(=O)C1 ZINC001311537494 1074029080 /nfs/dbraw/zinc/02/90/80/1074029080.db2.gz SZMGNRFQLAWFGX-GOSISDBHSA-N 0 0 426.543 -0.508 20 0 IBADRN CO[C@]1(CNC(=O)NCCCN2CCN(c3ncccn3)CC2)CCS(=O)(=O)C1 ZINC001311537499 1074028970 /nfs/dbraw/zinc/02/89/70/1074028970.db2.gz SZMGNRFQLAWFGX-SFHVURJKSA-N 0 0 426.543 -0.508 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC001311537575 1074028999 /nfs/dbraw/zinc/02/89/99/1074028999.db2.gz UOUWVXVLERFWHZ-INIZCTEOSA-N 0 0 425.559 -0.431 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)NCCCN2CCN(c3ncccn3)CC2)C1 ZINC001311537580 1074029021 /nfs/dbraw/zinc/02/90/21/1074029021.db2.gz UOUWVXVLERFWHZ-MRXNPFEDSA-N 0 0 425.559 -0.431 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001311539889 1074028916 /nfs/dbraw/zinc/02/89/16/1074028916.db2.gz UTMHQQIKGOENBA-AWEZNQCLSA-N 0 0 430.527 -0.178 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCCC2)C1=O)N1CCS(=O)(=O)C[C@H]1CCO ZINC001311539890 1074029060 /nfs/dbraw/zinc/02/90/60/1074029060.db2.gz UTMHQQIKGOENBA-CQSZACIVSA-N 0 0 430.527 -0.178 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001311579024 1074029016 /nfs/dbraw/zinc/02/90/16/1074029016.db2.gz DHHWXPITXAWLEF-KRWDZBQOSA-N 0 0 428.511 -0.160 20 0 IBADRN O=C(NCCCN1C(=O)NC2(CCCC2)C1=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001311579025 1074028961 /nfs/dbraw/zinc/02/89/61/1074028961.db2.gz DHHWXPITXAWLEF-QGZVFWFLSA-N 0 0 428.511 -0.160 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC001311580593 1074029380 /nfs/dbraw/zinc/02/93/80/1074029380.db2.gz SPMWUMQNPSULDI-CYBMUJFWSA-N 0 0 431.515 -0.799 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCCCN1C(=O)NC2(CCCC2)C1=O ZINC001311580602 1074029385 /nfs/dbraw/zinc/02/93/85/1074029385.db2.gz SPMWUMQNPSULDI-ZDUSSCGKSA-N 0 0 431.515 -0.799 20 0 IBADRN O=C(COc1ccc(CCNC(=O)NC[C@]23COCCN2C(=O)COC3)cc1)NC1CC1 ZINC001311632883 1074029391 /nfs/dbraw/zinc/02/93/91/1074029391.db2.gz ACQLIFPPPDDWKG-JOCHJYFZSA-N 0 0 446.504 -0.187 20 0 IBADRN O=C(COc1ccc(CCNC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1)NC1CC1 ZINC001311632884 1074029448 /nfs/dbraw/zinc/02/94/48/1074029448.db2.gz ACQLIFPPPDDWKG-QFIPXVFZSA-N 0 0 446.504 -0.187 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCc2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC001311635515 1074029401 /nfs/dbraw/zinc/02/94/01/1074029401.db2.gz OOBZVOOFEDTFBF-UHFFFAOYSA-N 0 0 439.538 -0.322 20 0 IBADRN CCOCCOC[C@@H](O)CNC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001311640266 1074029371 /nfs/dbraw/zinc/02/93/71/1074029371.db2.gz CJSFXOBHIMBIOB-HNNXBMFYSA-N 0 0 432.518 -0.221 20 0 IBADRN CCOCCOC[C@H](O)CNC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001311640267 1074029396 /nfs/dbraw/zinc/02/93/96/1074029396.db2.gz CJSFXOBHIMBIOB-OAHLLOKOSA-N 0 0 432.518 -0.221 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)CC1 ZINC001311646320 1074029418 /nfs/dbraw/zinc/02/94/18/1074029418.db2.gz HWIZJAPGRQAAKC-OKILXGFUSA-N 0 0 430.527 -0.248 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCCC2)cc1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001311718355 1074029405 /nfs/dbraw/zinc/02/94/05/1074029405.db2.gz VVUTXFJVJYKCSX-HNNXBMFYSA-N 0 0 443.551 -0.485 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCCC2)cc1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001311718356 1074029422 /nfs/dbraw/zinc/02/94/22/1074029422.db2.gz VVUTXFJVJYKCSX-OAHLLOKOSA-N 0 0 443.551 -0.485 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(CNC(=O)N3CCN(CC(N)=O)C(=O)C3)cc2)C[C@H](C)O1 ZINC001311722280 1074029376 /nfs/dbraw/zinc/02/93/76/1074029376.db2.gz PFVXCMMPCPAFSY-GASCZTMLSA-N 0 0 431.493 -0.225 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(CNC(=O)N3CCN(CC(N)=O)C(=O)C3)cc2)C[C@H](C)O1 ZINC001311722284 1074029357 /nfs/dbraw/zinc/02/93/57/1074029357.db2.gz PFVXCMMPCPAFSY-GJZGRUSLSA-N 0 0 431.493 -0.225 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(CNC(=O)N3CCN(CC(N)=O)C(=O)C3)cc2)C[C@@H](C)O1 ZINC001311722286 1074029414 /nfs/dbraw/zinc/02/94/14/1074029414.db2.gz PFVXCMMPCPAFSY-HUUCEWRRSA-N 0 0 431.493 -0.225 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)NC[C@]12COCCN1C(=O)COC2 ZINC001311729124 1074029341 /nfs/dbraw/zinc/02/93/41/1074029341.db2.gz WPPIYZAXPOKRQZ-JOCHJYFZSA-N 0 0 448.520 -0.175 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)NC[C@@]12COCCN1C(=O)COC2 ZINC001311729132 1074029437 /nfs/dbraw/zinc/02/94/37/1074029437.db2.gz WPPIYZAXPOKRQZ-QFIPXVFZSA-N 0 0 448.520 -0.175 20 0 IBADRN CC1(c2nnc(C3CCOCC3)o2)CN(C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC001311748918 1074029429 /nfs/dbraw/zinc/02/94/29/1074029429.db2.gz BRJCIWPANYEFAB-UHFFFAOYSA-N 0 0 443.526 -0.092 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CNC(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC001311748945 1074029365 /nfs/dbraw/zinc/02/93/65/1074029365.db2.gz CKJLXANTULYFMX-AWEZNQCLSA-N 0 0 436.531 -0.417 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CNC(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC001311748946 1074029861 /nfs/dbraw/zinc/02/98/61/1074029861.db2.gz CKJLXANTULYFMX-CQSZACIVSA-N 0 0 436.531 -0.417 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)NCCS(=O)(=O)N2CCOCC2)[C@@H](O)C1 ZINC001311749317 1074029798 /nfs/dbraw/zinc/02/97/98/1074029798.db2.gz FKFZGLTZWZWJSL-KBPBESRZSA-N 0 0 436.531 -0.682 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)NCCS(=O)(=O)N2CCOCC2)[C@@H](O)C1 ZINC001311749319 1074029858 /nfs/dbraw/zinc/02/98/58/1074029858.db2.gz FKFZGLTZWZWJSL-KGLIPLIRSA-N 0 0 436.531 -0.682 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NC(=O)NCCS(=O)(=O)N2CCOCC2)[C@H](O)C1 ZINC001311749322 1074029787 /nfs/dbraw/zinc/02/97/87/1074029787.db2.gz FKFZGLTZWZWJSL-UONOGXRCSA-N 0 0 436.531 -0.682 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)NCCS(=O)(=O)N2CCOCC2)[C@H](O)C1 ZINC001311749325 1074029889 /nfs/dbraw/zinc/02/98/89/1074029889.db2.gz FKFZGLTZWZWJSL-ZIAGYGMSSA-N 0 0 436.531 -0.682 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@@H]1CCc2ncc(Br)n2C1 ZINC001311749600 1074029662 /nfs/dbraw/zinc/02/96/62/1074029662.db2.gz JGWKSRRURMCCJL-LLVKDONJSA-N 0 0 436.332 -0.078 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N[C@H]1CCc2ncc(Br)n2C1 ZINC001311749601 1074029881 /nfs/dbraw/zinc/02/98/81/1074029881.db2.gz JGWKSRRURMCCJL-NSHDSACASA-N 0 0 436.332 -0.078 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N(CCN1CCOCC1)Cc1ccco1 ZINC001311749620 1074029675 /nfs/dbraw/zinc/02/96/75/1074029675.db2.gz JUZIRTXLBFZDEA-UHFFFAOYSA-N 0 0 430.527 -0.215 20 0 IBADRN NC(=O)c1cc(F)ccc1N1CCN(C(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC001311749649 1074029870 /nfs/dbraw/zinc/02/98/70/1074029870.db2.gz KDKAMKUFTUCLOA-UHFFFAOYSA-N 0 0 443.501 -0.582 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NCC1(OCCO)CCC(F)(F)CC1 ZINC001311750192 1074029651 /nfs/dbraw/zinc/02/96/51/1074029651.db2.gz PXJZJADGQRNCPB-UHFFFAOYSA-N 0 0 429.486 -0.095 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)NCCS(=O)(=O)N2CCOCC2)CCC1 ZINC001311750791 1074029898 /nfs/dbraw/zinc/02/98/98/1074029898.db2.gz ZPXHCNONYFYQTP-UHFFFAOYSA-N 0 0 440.588 -0.412 20 0 IBADRN O=C(CCNC(=O)N1CCS(=O)(=O)C[C@@H]1CCO)N1CCN(c2ccccn2)CC1 ZINC001311752292 1074029682 /nfs/dbraw/zinc/02/96/82/1074029682.db2.gz ANEZFAWQKLZICZ-INIZCTEOSA-N 0 0 439.538 -0.689 20 0 IBADRN O=C(CCNC(=O)N1CCS(=O)(=O)C[C@H]1CCO)N1CCN(c2ccccn2)CC1 ZINC001311752293 1074029805 /nfs/dbraw/zinc/02/98/05/1074029805.db2.gz ANEZFAWQKLZICZ-MRXNPFEDSA-N 0 0 439.538 -0.689 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@H](NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001311752807 1074029842 /nfs/dbraw/zinc/02/98/42/1074029842.db2.gz FVNHWQRJAUMXJI-DLBZAZTESA-N 0 0 448.524 -0.131 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001311752808 1074029823 /nfs/dbraw/zinc/02/98/23/1074029823.db2.gz FVNHWQRJAUMXJI-IAGOWNOFSA-N 0 0 448.524 -0.131 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001311752809 1074029768 /nfs/dbraw/zinc/02/97/68/1074029768.db2.gz FVNHWQRJAUMXJI-IRXDYDNUSA-N 0 0 448.524 -0.131 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)[C@@H](CO)C1 ZINC001311752810 1074029733 /nfs/dbraw/zinc/02/97/33/1074029733.db2.gz FVNHWQRJAUMXJI-SJORKVTESA-N 0 0 448.524 -0.131 20 0 IBADRN C[C@@H]1CN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCN1S(C)(=O)=O ZINC001311755987 1074030249 /nfs/dbraw/zinc/03/02/49/1074030249.db2.gz ZIWVSEDRRKVWGF-MRXNPFEDSA-N 0 0 438.554 -0.204 20 0 IBADRN CC(C)NS(=O)(=O)Cc1ccccc1CNC(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001311769955 1074030308 /nfs/dbraw/zinc/03/03/08/1074030308.db2.gz UEFZRNZWFYEMFB-UHFFFAOYSA-N 0 0 447.583 -0.196 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001311792273 1074030298 /nfs/dbraw/zinc/03/02/98/1074030298.db2.gz UMLMVFZHZZDAKI-UHFFFAOYSA-N 0 0 425.511 -0.550 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCc2ccccc2N2CCN(C(C)=O)CC2)CC1 ZINC001311805898 1074030098 /nfs/dbraw/zinc/03/00/98/1074030098.db2.gz QNJAVKXJLDXZGP-UHFFFAOYSA-N 0 0 438.554 -0.354 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001311807275 1074030088 /nfs/dbraw/zinc/03/00/88/1074030088.db2.gz XPRXOQKHISDRBV-JOCHJYFZSA-N 0 0 445.520 -0.218 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001311807276 1074030321 /nfs/dbraw/zinc/03/03/21/1074030321.db2.gz XPRXOQKHISDRBV-QFIPXVFZSA-N 0 0 445.520 -0.218 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C[C@H]1C(F)(F)F ZINC001311811652 1074030208 /nfs/dbraw/zinc/03/02/08/1074030208.db2.gz DOPALPJCKMYGGP-CHWSQXEVSA-N 0 0 444.476 -0.053 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001311812556 1074030216 /nfs/dbraw/zinc/03/02/16/1074030216.db2.gz NUYOOGDPOFRQEU-IBGZPJMESA-N 0 0 448.586 -0.047 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001311812557 1074030285 /nfs/dbraw/zinc/03/02/85/1074030285.db2.gz NUYOOGDPOFRQEU-LJQANCHMSA-N 0 0 448.586 -0.047 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(CN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)C1 ZINC001311815396 1074030071 /nfs/dbraw/zinc/03/00/71/1074030071.db2.gz LGRVPKWHDVGULM-UHFFFAOYSA-N 0 0 431.559 -0.174 20 0 IBADRN C[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001311815518 1074030180 /nfs/dbraw/zinc/03/01/80/1074030180.db2.gz LRXAVXWBLVHZNM-STQMWFEESA-N 0 0 443.492 -0.195 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CCc3ncc(Br)n3C2)CC1 ZINC001311815622 1074030153 /nfs/dbraw/zinc/03/01/53/1074030153.db2.gz MOXDKHBFNPDMBO-GFCCVEGCSA-N 0 0 449.375 -0.163 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CCc3ncc(Br)n3C2)CC1 ZINC001311815638 1074030270 /nfs/dbraw/zinc/03/02/70/1074030270.db2.gz MOXDKHBFNPDMBO-LBPRGKRZSA-N 0 0 449.375 -0.163 20 0 IBADRN CC1CC(n2nnc3c2CN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC3)C1 ZINC001311815714 1074030169 /nfs/dbraw/zinc/03/01/69/1074030169.db2.gz NHIIPSZGXBYXNY-UHFFFAOYSA-N 0 0 425.559 -0.106 20 0 IBADRN O=C(CNC(=O)NC[C@@]1(CO)COC[C@@H]2CCC[N@@H+]21)N1CCN(c2ccccc2)CC1 ZINC001311815794 1074030224 /nfs/dbraw/zinc/03/02/24/1074030224.db2.gz IVWSIAWDMRJHFT-SIKLNZKXSA-N 0 0 431.537 -0.140 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2CS(=O)(=O)Cc3ccccc32)CC1 ZINC001311816097 1074030641 /nfs/dbraw/zinc/03/06/41/1074030641.db2.gz OUPABPHFSUFJHE-INIZCTEOSA-N 0 0 430.552 -0.468 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@@H]2CS(=O)(=O)Cc3ccccc32)CC1 ZINC001311816098 1074030700 /nfs/dbraw/zinc/03/07/00/1074030700.db2.gz OUPABPHFSUFJHE-MRXNPFEDSA-N 0 0 430.552 -0.468 20 0 IBADRN C[C@@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(=O)NCCc1ccccc1 ZINC001311816900 1074030528 /nfs/dbraw/zinc/03/05/28/1074030528.db2.gz UKKZTBIRBDGOHR-MRXNPFEDSA-N 0 0 425.555 -0.390 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)N[C@H]2C[C@H](S(=O)(=O)c3ccccc3)C2)CC1 ZINC001311817682 1074030571 /nfs/dbraw/zinc/03/05/71/1074030571.db2.gz YKSOUWHJARPRFQ-JCNLHEQBSA-N 0 0 444.579 -0.132 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCC1(F)F ZINC001311818075 1074030583 /nfs/dbraw/zinc/03/05/83/1074030583.db2.gz ZUKSQYBKZDMIGI-CYBMUJFWSA-N 0 0 426.486 -0.207 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCC1(F)F ZINC001311818085 1074030728 /nfs/dbraw/zinc/03/07/28/1074030728.db2.gz ZUKSQYBKZDMIGI-ZDUSSCGKSA-N 0 0 426.486 -0.207 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC001311818096 1074030554 /nfs/dbraw/zinc/03/05/54/1074030554.db2.gz ZYSVFGHSAQQNNM-UHFFFAOYSA-N 0 0 447.583 -0.986 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001311823056 1074030650 /nfs/dbraw/zinc/03/06/50/1074030650.db2.gz GIUFFLVRNMFKSH-UHFFFAOYSA-N 0 0 447.945 -0.407 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)C1 ZINC001311824154 1074030542 /nfs/dbraw/zinc/03/05/42/1074030542.db2.gz ICHRGDMIZOIHFD-UHFFFAOYSA-N 0 0 429.930 -0.068 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001311825903 1074030710 /nfs/dbraw/zinc/03/07/10/1074030710.db2.gz RERVXIVUZPOBJZ-HNNXBMFYSA-N 0 0 432.930 -0.307 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001311825908 1074030628 /nfs/dbraw/zinc/03/06/28/1074030628.db2.gz RERVXIVUZPOBJZ-OAHLLOKOSA-N 0 0 432.930 -0.307 20 0 IBADRN NC(=O)CN1CCN(C(=O)NCCNC(=O)c2cccc(Br)c2)CC1=O ZINC001311827963 1074030690 /nfs/dbraw/zinc/03/06/90/1074030690.db2.gz FCQNWFDPLOLBQL-UHFFFAOYSA-N 0 0 426.271 -0.482 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)NCCNC(=O)c1cccc(Br)c1 ZINC001311829508 1074030718 /nfs/dbraw/zinc/03/07/18/1074030718.db2.gz PBLLRIRMQGQBCH-UHFFFAOYSA-N 0 0 437.316 -0.217 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2ccc([C@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC001311837130 1074030492 /nfs/dbraw/zinc/03/04/92/1074030492.db2.gz JXYZSFGQYXSXRZ-NRFANRHFSA-N 0 0 444.492 -0.379 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)CC1 ZINC001311837131 1074030517 /nfs/dbraw/zinc/03/05/17/1074030517.db2.gz JXYZSFGQYXSXRZ-OAQYLSRUSA-N 0 0 444.492 -0.379 20 0 IBADRN O=C(Cc1cccc(F)c1)NCCNC(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001311840726 1074030660 /nfs/dbraw/zinc/03/06/60/1074030660.db2.gz PIKOPLICZZSZOO-UHFFFAOYSA-N 0 0 438.485 -0.076 20 0 IBADRN O=C(CCNC(=O)N1CCC(CN2CCNC2=O)CC1)N1CCN(c2ncccn2)CC1 ZINC001311846959 1074030507 /nfs/dbraw/zinc/03/05/07/1074030507.db2.gz KOPOKSCMMMDPES-UHFFFAOYSA-N 0 0 444.540 -0.038 20 0 IBADRN O=C(CCNC(=O)N1CCC(N2CN=NC2=O)CC1)N1CCN(c2ncccn2)CC1 ZINC001311848496 1074031090 /nfs/dbraw/zinc/03/10/90/1074031090.db2.gz QIVVGMGTEWKVPO-UHFFFAOYSA-N 0 0 429.485 -0.141 20 0 IBADRN O=C(CCNC(=O)N1CC[C@H]2CC[C@@H](C1)S2(=O)=O)N1CCN(c2ncccn2)CC1 ZINC001311848548 1074031194 /nfs/dbraw/zinc/03/11/94/1074031194.db2.gz REGPFGJAQJEQCG-CVEARBPZSA-N 0 0 436.538 -0.124 20 0 IBADRN C[C@H](NC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001311858855 1074031182 /nfs/dbraw/zinc/03/11/82/1074031182.db2.gz SSPDRZOWFRJWKX-GXTWGEPZSA-N 0 0 431.540 -0.458 20 0 IBADRN C[C@H](NC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001311858859 1074030936 /nfs/dbraw/zinc/03/09/36/1074030936.db2.gz SSPDRZOWFRJWKX-JSGCOSHPSA-N 0 0 431.540 -0.458 20 0 IBADRN C[C@@H](NC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001311858860 1074031199 /nfs/dbraw/zinc/03/11/99/1074031199.db2.gz SSPDRZOWFRJWKX-OCCSQVGLSA-N 0 0 431.540 -0.458 20 0 IBADRN C[C@@H](NC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001311858861 1074031206 /nfs/dbraw/zinc/03/12/06/1074031206.db2.gz SSPDRZOWFRJWKX-TZMCWYRMSA-N 0 0 431.540 -0.458 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)NCCS(C)(=O)=O)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001311868732 1074030999 /nfs/dbraw/zinc/03/09/99/1074030999.db2.gz DYGNYUNYLHGNJI-NEPJUHHUSA-N 0 0 425.529 -0.541 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC001311886098 1074031016 /nfs/dbraw/zinc/03/10/16/1074031016.db2.gz VJGIOJBXHWIIIR-UHFFFAOYSA-N 0 0 433.556 -0.190 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2ccc(N(C)S(C)(=O)=O)cc2)CC1 ZINC001311889186 1074030948 /nfs/dbraw/zinc/03/09/48/1074030948.db2.gz NITYYAPIDSNKHS-UHFFFAOYSA-N 0 0 439.538 -0.038 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCC2(N3CCOCC3)CCOCC2)CC1 ZINC001311891207 1074031110 /nfs/dbraw/zinc/03/11/10/1074031110.db2.gz ILKAMDJKGSZDIZ-UHFFFAOYSA-N 0 0 432.587 -0.324 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCc2ccc(F)c(S(N)(=O)=O)c2)CC1 ZINC001311892201 1074031166 /nfs/dbraw/zinc/03/11/66/1074031166.db2.gz SVKSEARPLIOXOD-UHFFFAOYSA-N 0 0 436.531 -0.219 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001311892764 1074031135 /nfs/dbraw/zinc/03/11/35/1074031135.db2.gz YVCVJQDFRZYZHO-UHFFFAOYSA-N 0 0 425.573 -0.612 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)NCC(C)(C)NS(C)(=O)=O ZINC001311892904 1074031415 /nfs/dbraw/zinc/03/14/15/1074031415.db2.gz ZWUKNVIWLJNWKW-UHFFFAOYSA-N 0 0 427.527 -0.612 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCN(CCc2cnccn2)CC1 ZINC001311896689 1074031424 /nfs/dbraw/zinc/03/14/24/1074031424.db2.gz FRIZUYFGMXLQRQ-UHFFFAOYSA-N 0 0 428.584 -0.275 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC001311896783 1074031404 /nfs/dbraw/zinc/03/14/04/1074031404.db2.gz HOIRSHGRNPGDCD-CYBMUJFWSA-N 0 0 428.602 -0.664 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCSCC2)C1 ZINC001311897181 1074031394 /nfs/dbraw/zinc/03/13/94/1074031394.db2.gz HOIRSHGRNPGDCD-ZDUSSCGKSA-N 0 0 428.602 -0.664 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCCNS(C)(=O)=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001311897182 1074031584 /nfs/dbraw/zinc/03/15/84/1074031584.db2.gz ZZWBAPDWZJJEGQ-GFCCVEGCSA-N 0 0 434.515 -0.413 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCCNS(C)(=O)=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001311897185 1074031517 /nfs/dbraw/zinc/03/15/17/1074031517.db2.gz ZZWBAPDWZJJEGQ-LBPRGKRZSA-N 0 0 434.515 -0.413 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001311897231 1074031461 /nfs/dbraw/zinc/03/14/61/1074031461.db2.gz INDRPUWSTQAPPU-UHFFFAOYSA-N 0 0 440.613 -0.426 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)C2)ncn1 ZINC001311903502 1074031508 /nfs/dbraw/zinc/03/15/08/1074031508.db2.gz OXLOTKQOIWEFPR-CVEARBPZSA-N 0 0 426.543 -0.033 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)C2)ncn1 ZINC001311903505 1074031480 /nfs/dbraw/zinc/03/14/80/1074031480.db2.gz OXLOTKQOIWEFPR-HOTGVXAUSA-N 0 0 426.543 -0.033 20 0 IBADRN CCc1cc(N2CCC[C@@H](NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)C2)ncn1 ZINC001311903506 1074031524 /nfs/dbraw/zinc/03/15/24/1074031524.db2.gz OXLOTKQOIWEFPR-HZPDHXFCSA-N 0 0 426.543 -0.033 20 0 IBADRN CCc1cc(N2CCC[C@H](NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)C2)ncn1 ZINC001311903507 1074031533 /nfs/dbraw/zinc/03/15/33/1074031533.db2.gz OXLOTKQOIWEFPR-JKSUJKDBSA-N 0 0 426.543 -0.033 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001311908822 1074031831 /nfs/dbraw/zinc/03/18/31/1074031831.db2.gz HIMIYVJBXZNGNX-LSDHHAIUSA-N 0 0 426.495 -0.061 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NCCS(=O)(=O)N1CCCC1 ZINC001311909803 1074032031 /nfs/dbraw/zinc/03/20/31/1074032031.db2.gz OGPJSIWIROSWES-UHFFFAOYSA-N 0 0 448.567 -0.476 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CCO1 ZINC001311910099 1074032083 /nfs/dbraw/zinc/03/20/83/1074032083.db2.gz QNHSUTKPYSAVSK-INIZCTEOSA-N 0 0 439.538 -0.262 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CCO1 ZINC001311910100 1074031981 /nfs/dbraw/zinc/03/19/81/1074031981.db2.gz QNHSUTKPYSAVSK-MRXNPFEDSA-N 0 0 439.538 -0.262 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C1 ZINC001311910490 1074031815 /nfs/dbraw/zinc/03/18/15/1074031815.db2.gz SQQRFPZOEXYUCB-AWEZNQCLSA-N 0 0 438.554 -0.136 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)C1 ZINC001311910491 1074032003 /nfs/dbraw/zinc/03/20/03/1074032003.db2.gz SQQRFPZOEXYUCB-CQSZACIVSA-N 0 0 438.554 -0.136 20 0 IBADRN C[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCN1S(C)(=O)=O ZINC001311911034 1074032009 /nfs/dbraw/zinc/03/20/09/1074032009.db2.gz WREFPOSDNZWXNW-CQSZACIVSA-N 0 0 448.567 -0.525 20 0 IBADRN O=C(CNC(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O)NCC(=O)NCc1ccccc1 ZINC001311927630 1074032020 /nfs/dbraw/zinc/03/20/20/1074032020.db2.gz KALBYMPIDZNBCL-CYBMUJFWSA-N 0 0 429.399 -0.119 20 0 IBADRN O=C(CNC(=O)N[C@H]1CCN(CC(F)(F)F)C1=O)NCC(=O)NCc1ccccc1 ZINC001311927631 1074031969 /nfs/dbraw/zinc/03/19/69/1074031969.db2.gz KALBYMPIDZNBCL-ZDUSSCGKSA-N 0 0 429.399 -0.119 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)N1CCC(n2nncc2I)CC1 ZINC001311933324 1074031856 /nfs/dbraw/zinc/03/18/56/1074031856.db2.gz OZGALCJKOXOXNW-UHFFFAOYSA-N 0 0 447.237 -0.219 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001311998470 1074032331 /nfs/dbraw/zinc/03/23/31/1074032331.db2.gz OWZODJFXRRMRDV-IBGZPJMESA-N 0 0 430.571 -0.440 20 0 IBADRN O=C(NCCN1CCN(C(=O)C2CCCCC2)CC1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001311998471 1074032489 /nfs/dbraw/zinc/03/24/89/1074032489.db2.gz OWZODJFXRRMRDV-LJQANCHMSA-N 0 0 430.571 -0.440 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC001312001042 1074032409 /nfs/dbraw/zinc/03/24/09/1074032409.db2.gz FMASFLYQZFGASA-UHFFFAOYSA-N 0 0 432.515 -0.026 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001312003247 1074032463 /nfs/dbraw/zinc/03/24/63/1074032463.db2.gz YZYJCEXNZOHRJG-HNNXBMFYSA-N 0 0 445.510 -0.150 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)F)CC1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001312003264 1074032512 /nfs/dbraw/zinc/03/25/12/1074032512.db2.gz YZYJCEXNZOHRJG-OAHLLOKOSA-N 0 0 445.510 -0.150 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC001312003270 1074032395 /nfs/dbraw/zinc/03/23/95/1074032395.db2.gz ZDCSXFKVTBUAOW-UHFFFAOYSA-N 0 0 433.441 -0.177 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001312034254 1074032385 /nfs/dbraw/zinc/03/23/85/1074032385.db2.gz CKNYMTSLHJVXEY-DZGCQCFKSA-N 0 0 433.527 -0.475 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001312034266 1074032472 /nfs/dbraw/zinc/03/24/72/1074032472.db2.gz CKNYMTSLHJVXEY-HIFRSBDPSA-N 0 0 433.527 -0.475 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001312034271 1074032376 /nfs/dbraw/zinc/03/23/76/1074032376.db2.gz CKNYMTSLHJVXEY-UKRRQHHQSA-N 0 0 433.527 -0.475 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001312034274 1074032286 /nfs/dbraw/zinc/03/22/86/1074032286.db2.gz CKNYMTSLHJVXEY-ZFWWWQNUSA-N 0 0 433.527 -0.475 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)NC3CCN(S(=O)(=O)C4CCOCC4)CC3)C[C@H]2C1=O ZINC001312035090 1074032427 /nfs/dbraw/zinc/03/24/27/1074032427.db2.gz HBLNKWAHMDSDBC-GASCZTMLSA-N 0 0 428.511 -0.784 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001312036471 1074032503 /nfs/dbraw/zinc/03/25/03/1074032503.db2.gz OVEMVYIBKKCXLM-CABCVRRESA-N 0 0 439.556 -0.929 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001312036481 1074032319 /nfs/dbraw/zinc/03/23/19/1074032319.db2.gz OVEMVYIBKKCXLM-GJZGRUSLSA-N 0 0 439.556 -0.929 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001312036484 1074032481 /nfs/dbraw/zinc/03/24/81/1074032481.db2.gz OVEMVYIBKKCXLM-HUUCEWRRSA-N 0 0 439.556 -0.929 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001312036486 1074032977 /nfs/dbraw/zinc/03/29/77/1074032977.db2.gz OVEMVYIBKKCXLM-LSDHHAIUSA-N 0 0 439.556 -0.929 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001312037084 1074032823 /nfs/dbraw/zinc/03/28/23/1074032823.db2.gz QSYGVTIRMZMDPI-UHFFFAOYSA-N 0 0 438.572 -0.850 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001312037645 1074032955 /nfs/dbraw/zinc/03/29/55/1074032955.db2.gz VYGYZCOQKJPZII-UHFFFAOYSA-N 0 0 438.572 -0.578 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)NC2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC001312049198 1074032912 /nfs/dbraw/zinc/03/29/12/1074032912.db2.gz KXLXCTPJRAYFNB-UHFFFAOYSA-N 0 0 430.552 -0.076 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)NC1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001312051107 1074032796 /nfs/dbraw/zinc/03/27/96/1074032796.db2.gz XXANXKGAMDHRPR-UHFFFAOYSA-N 0 0 447.521 -0.185 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)CC2)CCC1 ZINC001312054495 1074032932 /nfs/dbraw/zinc/03/29/32/1074032932.db2.gz IZYKKWBXKASODV-UHFFFAOYSA-N 0 0 438.525 -0.053 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCC2(N3CCOCC3)CCOCC2)CC1 ZINC001312055669 1074032731 /nfs/dbraw/zinc/03/27/31/1074032731.db2.gz QLIRCHJIXQRWSN-UHFFFAOYSA-N 0 0 425.530 -0.752 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)c1 ZINC001312056769 1074032922 /nfs/dbraw/zinc/03/29/22/1074032922.db2.gz UWSPNXHBYUUSTL-CQSZACIVSA-N 0 0 448.567 -0.213 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC001312057168 1074032836 /nfs/dbraw/zinc/03/28/36/1074032836.db2.gz AWIJYLQHPHMRQJ-INIZCTEOSA-N 0 0 425.559 -0.617 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC001312057169 1074032942 /nfs/dbraw/zinc/03/29/42/1074032942.db2.gz AWIJYLQHPHMRQJ-MRXNPFEDSA-N 0 0 425.559 -0.617 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC001312058778 1074032979 /nfs/dbraw/zinc/03/29/79/1074032979.db2.gz IPBVUCMXPSZFQF-KRWDZBQOSA-N 0 0 449.537 -0.049 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC001312058779 1074032887 /nfs/dbraw/zinc/03/28/87/1074032887.db2.gz IPBVUCMXPSZFQF-QGZVFWFLSA-N 0 0 449.537 -0.049 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)N[C@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC001312059022 1074032751 /nfs/dbraw/zinc/03/27/51/1074032751.db2.gz OCIPRONSVICPRM-GOSISDBHSA-N 0 0 445.568 -0.028 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)N[C@@H](C)CN2CCN(c3ccccn3)CC2)CC1 ZINC001312059023 1074032988 /nfs/dbraw/zinc/03/29/88/1074032988.db2.gz OCIPRONSVICPRM-SFHVURJKSA-N 0 0 445.568 -0.028 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)C1CCCC1 ZINC001312059087 1074032815 /nfs/dbraw/zinc/03/28/15/1074032815.db2.gz KTNKNDLCGDMQAF-CVEARBPZSA-N 0 0 441.558 -0.221 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)C1CCCC1 ZINC001312059088 1074033383 /nfs/dbraw/zinc/03/33/83/1074033383.db2.gz KTNKNDLCGDMQAF-HOTGVXAUSA-N 0 0 441.558 -0.221 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O)C1CCCC1 ZINC001312059089 1074033239 /nfs/dbraw/zinc/03/32/39/1074033239.db2.gz KTNKNDLCGDMQAF-HZPDHXFCSA-N 0 0 441.558 -0.221 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O)C1CCCC1 ZINC001312059090 1074033228 /nfs/dbraw/zinc/03/32/28/1074033228.db2.gz KTNKNDLCGDMQAF-JKSUJKDBSA-N 0 0 441.558 -0.221 20 0 IBADRN CNC(=O)NC1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001312067648 1074033175 /nfs/dbraw/zinc/03/31/75/1074033175.db2.gz AJSJJDQZFRXKTR-UHFFFAOYSA-N 0 0 427.531 -0.324 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3C[C@H]4CS(=O)(=O)C[C@@]4(C)C3)CC2)cn1 ZINC001312067649 1074033350 /nfs/dbraw/zinc/03/33/50/1074033350.db2.gz AKCOEKFXIQUYFW-SUMWQHHRSA-N 0 0 445.567 -0.351 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001312067952 1074033188 /nfs/dbraw/zinc/03/31/88/1074033188.db2.gz CHCSBOULMWMHFM-UHFFFAOYSA-N 0 0 448.571 -0.704 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC001312068256 1074033279 /nfs/dbraw/zinc/03/32/79/1074033279.db2.gz DZUGXIXDSUPSJP-KRWDZBQOSA-N 0 0 429.499 -0.453 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC001312068257 1074033268 /nfs/dbraw/zinc/03/32/68/1074033268.db2.gz DZUGXIXDSUPSJP-QGZVFWFLSA-N 0 0 429.499 -0.453 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCC(C)(C)CS(C)(=O)=O)CC2)cn1 ZINC001312068290 1074033318 /nfs/dbraw/zinc/03/33/18/1074033318.db2.gz FKRJVKKGBXGHFX-UHFFFAOYSA-N 0 0 435.572 -0.057 20 0 IBADRN CCOC(=O)[C@@]1(C)CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC001312068291 1074033308 /nfs/dbraw/zinc/03/33/08/1074033308.db2.gz FLRHWJBHIXFWEZ-GOSISDBHSA-N 0 0 443.526 -0.063 20 0 IBADRN CCOC(=O)[C@]1(C)CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCO1 ZINC001312068292 1074033394 /nfs/dbraw/zinc/03/33/94/1074033394.db2.gz FLRHWJBHIXFWEZ-SFHVURJKSA-N 0 0 443.526 -0.063 20 0 IBADRN CO[C@]1(CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCS(=O)(=O)C1 ZINC001312069080 1074033418 /nfs/dbraw/zinc/03/34/18/1074033418.db2.gz NISLALBLHXEGHQ-INIZCTEOSA-N 0 0 449.555 -0.924 20 0 IBADRN CO[C@@]1(CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCS(=O)(=O)C1 ZINC001312069081 1074033360 /nfs/dbraw/zinc/03/33/60/1074033360.db2.gz NISLALBLHXEGHQ-MRXNPFEDSA-N 0 0 449.555 -0.924 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC(C(=O)NCCO)CC3)CC2)cn1 ZINC001312069973 1074033253 /nfs/dbraw/zinc/03/32/53/1074033253.db2.gz UMPOSONOBDQUSZ-UHFFFAOYSA-N 0 0 442.542 -0.897 20 0 IBADRN CC[C@@H](CN1CCOCC1)NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001312070311 1074033404 /nfs/dbraw/zinc/03/34/04/1074033404.db2.gz WBPKHZAEZNBXCJ-HNNXBMFYSA-N 0 0 428.559 -0.017 20 0 IBADRN CC[C@H](CN1CCOCC1)NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001312070322 1074033329 /nfs/dbraw/zinc/03/33/29/1074033329.db2.gz WBPKHZAEZNBXCJ-OAHLLOKOSA-N 0 0 428.559 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC4(CNC(=O)N4C)CC3)CC2)cn1 ZINC001312070613 1074033295 /nfs/dbraw/zinc/03/32/95/1074033295.db2.gz YWWOAJHUMPTJIP-UHFFFAOYSA-N 0 0 439.542 -0.228 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N[C@H]2CC(=O)N(CCOc3ccccc3)C2)C1 ZINC001312076976 1074033425 /nfs/dbraw/zinc/03/34/25/1074033425.db2.gz WWPWBJQNQLVIDS-AWEZNQCLSA-N 0 0 425.511 -0.544 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N[C@@H]2CC(=O)N(CCOc3ccccc3)C2)C1 ZINC001312076977 1074033372 /nfs/dbraw/zinc/03/33/72/1074033372.db2.gz WWPWBJQNQLVIDS-CQSZACIVSA-N 0 0 425.511 -0.544 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NCC(=O)NCC(=O)NCc3ccccc3)C2=O)n(C)n1 ZINC001312126635 1074033735 /nfs/dbraw/zinc/03/37/35/1074033735.db2.gz APRYFHWBZLZYJK-INIZCTEOSA-N 0 0 441.492 -0.434 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NCC(=O)NCC(=O)NCc3ccccc3)C2=O)n(C)n1 ZINC001312126642 1074033725 /nfs/dbraw/zinc/03/37/25/1074033725.db2.gz APRYFHWBZLZYJK-MRXNPFEDSA-N 0 0 441.492 -0.434 20 0 IBADRN CC[C@H](NC(=O)N[C@H]1CCS(=O)(=O)C2(CC(C(=O)OC)C2)C1)C(=O)N1CCOCC1 ZINC001312168666 1074033805 /nfs/dbraw/zinc/03/38/05/1074033805.db2.gz HHAJWSZHBJVFCV-LPRCSBTHSA-N 0 0 445.538 -0.178 20 0 IBADRN CC[C@H](NC(=O)N[C@@H]1CCS(=O)(=O)C2(CC(C(=O)OC)C2)C1)C(=O)N1CCOCC1 ZINC001312168668 1074033762 /nfs/dbraw/zinc/03/37/62/1074033762.db2.gz HHAJWSZHBJVFCV-QXGUJMOYSA-N 0 0 445.538 -0.178 20 0 IBADRN CC[C@@H](NC(=O)N[C@H]1CCS(=O)(=O)C2(CC(C(=O)OC)C2)C1)C(=O)N1CCOCC1 ZINC001312168670 1074033642 /nfs/dbraw/zinc/03/36/42/1074033642.db2.gz HHAJWSZHBJVFCV-UARSRXHTSA-N 0 0 445.538 -0.178 20 0 IBADRN CC[C@@H](NC(=O)N[C@@H]1CCS(=O)(=O)C2(CC(C(=O)OC)C2)C1)C(=O)N1CCOCC1 ZINC001312168672 1074033832 /nfs/dbraw/zinc/03/38/32/1074033832.db2.gz HHAJWSZHBJVFCV-YYHKSVKZSA-N 0 0 445.538 -0.178 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001312171277 1074033792 /nfs/dbraw/zinc/03/37/92/1074033792.db2.gz DIFVWNWQDCQDJL-HNNXBMFYSA-N 0 0 428.511 -0.339 20 0 IBADRN CCNC(=O)COc1cccc(CNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001312171278 1074033822 /nfs/dbraw/zinc/03/38/22/1074033822.db2.gz DIFVWNWQDCQDJL-OAHLLOKOSA-N 0 0 428.511 -0.339 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001312197390 1074033687 /nfs/dbraw/zinc/03/36/87/1074033687.db2.gz GKOZOYLDJWBFQU-CMPLNLGQSA-N 0 0 430.508 -0.027 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001312197391 1074033818 /nfs/dbraw/zinc/03/38/18/1074033818.db2.gz GKOZOYLDJWBFQU-JQWIXIFHSA-N 0 0 430.508 -0.027 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001312197392 1074033781 /nfs/dbraw/zinc/03/37/81/1074033781.db2.gz GKOZOYLDJWBFQU-PWSUYJOCSA-N 0 0 430.508 -0.027 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001312197393 1074033812 /nfs/dbraw/zinc/03/38/12/1074033812.db2.gz GKOZOYLDJWBFQU-ZYHUDNBSSA-N 0 0 430.508 -0.027 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)NCCNS(=O)(=O)N(C)C)C2=O)c1 ZINC001312204265 1074033773 /nfs/dbraw/zinc/03/37/73/1074033773.db2.gz GXRMKJOTIRNSHY-HNNXBMFYSA-N 0 0 429.499 -0.496 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)NCCNS(=O)(=O)N(C)C)C2=O)c1 ZINC001312204266 1074033710 /nfs/dbraw/zinc/03/37/10/1074033710.db2.gz GXRMKJOTIRNSHY-OAHLLOKOSA-N 0 0 429.499 -0.496 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)C1 ZINC001312216191 1074033699 /nfs/dbraw/zinc/03/36/99/1074033699.db2.gz MUXHVPMVUPSSNL-HPGRBHQUSA-N 0 0 430.527 -0.012 20 0 IBADRN CCNC(=O)N1CC[C@H](NC(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)C1 ZINC001312216192 1074033656 /nfs/dbraw/zinc/03/36/56/1074033656.db2.gz MUXHVPMVUPSSNL-NTXUUIFSSA-N 0 0 430.527 -0.012 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)C1 ZINC001312216193 1074033625 /nfs/dbraw/zinc/03/36/25/1074033625.db2.gz MUXHVPMVUPSSNL-OOWFEOPPSA-N 0 0 430.527 -0.012 20 0 IBADRN CCNC(=O)N1CC[C@@H](NC(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)C1 ZINC001312216194 1074034040 /nfs/dbraw/zinc/03/40/40/1074034040.db2.gz MUXHVPMVUPSSNL-RYTBJUFESA-N 0 0 430.527 -0.012 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001312231225 1074034064 /nfs/dbraw/zinc/03/40/64/1074034064.db2.gz AOULRGJGEBSSRC-AWEZNQCLSA-N 0 0 434.540 -0.556 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001312231248 1074034005 /nfs/dbraw/zinc/03/40/05/1074034005.db2.gz AOULRGJGEBSSRC-CQSZACIVSA-N 0 0 434.540 -0.556 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCC(O)(COCCO)CC1 ZINC001312231394 1074034073 /nfs/dbraw/zinc/03/40/73/1074034073.db2.gz ASJTWHDFBSSNDG-UHFFFAOYSA-N 0 0 433.449 -0.154 20 0 IBADRN CCNS(=O)(=O)c1ccc(CNC(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc1 ZINC001312231814 1074034137 /nfs/dbraw/zinc/03/41/37/1074034137.db2.gz GAMVLSXTKWQASZ-XHSDSOJGSA-N 0 0 431.536 -0.062 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001312231980 1074034154 /nfs/dbraw/zinc/03/41/54/1074034154.db2.gz FPPMHDXODHPZRX-CYBMUJFWSA-N 0 0 449.473 -0.101 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001312231982 1074034164 /nfs/dbraw/zinc/03/41/64/1074034164.db2.gz FPPMHDXODHPZRX-ZDUSSCGKSA-N 0 0 449.473 -0.101 20 0 IBADRN O=C(NCc1ccc2c(c1)CCS2(=O)=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001312241170 1074034116 /nfs/dbraw/zinc/03/41/16/1074034116.db2.gz JNVMEABTKLPNNW-UHFFFAOYSA-N 0 0 439.519 -0.044 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1)CC2 ZINC001312243567 1074034028 /nfs/dbraw/zinc/03/40/28/1074034028.db2.gz VSXIWVQGNOUCLC-CABCVRRESA-N 0 0 443.574 -0.007 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1)CC2 ZINC001312243568 1074034106 /nfs/dbraw/zinc/03/41/06/1074034106.db2.gz VSXIWVQGNOUCLC-GJZGRUSLSA-N 0 0 443.574 -0.007 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1)CC2 ZINC001312243569 1074033983 /nfs/dbraw/zinc/03/39/83/1074033983.db2.gz VSXIWVQGNOUCLC-HUUCEWRRSA-N 0 0 443.574 -0.007 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1)CC2 ZINC001312243570 1074033996 /nfs/dbraw/zinc/03/39/96/1074033996.db2.gz VSXIWVQGNOUCLC-LSDHHAIUSA-N 0 0 443.574 -0.007 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CC2)CC1)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001312260527 1074034129 /nfs/dbraw/zinc/03/41/29/1074034129.db2.gz XLLLECLXWNNOIY-UHFFFAOYSA-N 0 0 435.568 -0.068 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)cc1 ZINC001312262873 1074034050 /nfs/dbraw/zinc/03/40/50/1074034050.db2.gz FULOPGPBTLMWAK-IYBDPMFKSA-N 0 0 444.531 -0.040 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)NC[C@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC001312264640 1074034094 /nfs/dbraw/zinc/03/40/94/1074034094.db2.gz IVCWQYXIJUQOOY-GDBMZVCRSA-N 0 0 425.511 -0.541 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)NC[C@@H]3CC[C@H](C(N)=O)O3)CC2)cc1 ZINC001312264641 1074034084 /nfs/dbraw/zinc/03/40/84/1074034084.db2.gz IVCWQYXIJUQOOY-GOEBONIOSA-N 0 0 425.511 -0.541 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)NC[C@@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC001312264642 1074034017 /nfs/dbraw/zinc/03/40/17/1074034017.db2.gz IVCWQYXIJUQOOY-HOCLYGCPSA-N 0 0 425.511 -0.541 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)NC[C@H]3CC[C@@H](C(N)=O)O3)CC2)cc1 ZINC001312264643 1074034549 /nfs/dbraw/zinc/03/45/49/1074034549.db2.gz IVCWQYXIJUQOOY-ZBFHGGJFSA-N 0 0 425.511 -0.541 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)C1CCCC1 ZINC001312296144 1074034342 /nfs/dbraw/zinc/03/43/42/1074034342.db2.gz BQFRSPYOJQWBDT-DZGCQCFKSA-N 0 0 439.604 -0.478 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)C1CCCC1 ZINC001312296145 1074034482 /nfs/dbraw/zinc/03/44/82/1074034482.db2.gz BQFRSPYOJQWBDT-HIFRSBDPSA-N 0 0 439.604 -0.478 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)C1CCCC1 ZINC001312296146 1074034492 /nfs/dbraw/zinc/03/44/92/1074034492.db2.gz BQFRSPYOJQWBDT-UKRRQHHQSA-N 0 0 439.604 -0.478 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)C1CCCC1 ZINC001312296147 1074034504 /nfs/dbraw/zinc/03/45/04/1074034504.db2.gz BQFRSPYOJQWBDT-ZFWWWQNUSA-N 0 0 439.604 -0.478 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)C1 ZINC001312299547 1074034427 /nfs/dbraw/zinc/03/44/27/1074034427.db2.gz HIOFQOIYOVWLHK-SECBINFHSA-N 0 0 427.405 -0.408 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)C1 ZINC001312299550 1074034314 /nfs/dbraw/zinc/03/43/14/1074034314.db2.gz HIOFQOIYOVWLHK-VIFPVBQESA-N 0 0 427.405 -0.408 20 0 IBADRN CN(CCNC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)S(=O)(=O)c1cccs1 ZINC001312327694 1074034354 /nfs/dbraw/zinc/03/43/54/1074034354.db2.gz DBKAYFDGFKVXKF-GFCCVEGCSA-N 0 0 425.554 -0.176 20 0 IBADRN CN(CCNC(=O)N1CCO[C@H](CS(C)(=O)=O)C1)S(=O)(=O)c1cccs1 ZINC001312327695 1074034330 /nfs/dbraw/zinc/03/43/30/1074034330.db2.gz DBKAYFDGFKVXKF-LBPRGKRZSA-N 0 0 425.554 -0.176 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)c(C)s1 ZINC001312328641 1074034437 /nfs/dbraw/zinc/03/44/37/1074034437.db2.gz NMPDCCFOPPHPOA-UHFFFAOYSA-N 0 0 439.585 -0.567 20 0 IBADRN CN(CCNC(=O)N1CCS(=O)(=O)C[C@H]1CCO)S(=O)(=O)c1cccs1 ZINC001312329836 1074034558 /nfs/dbraw/zinc/03/45/58/1074034558.db2.gz ZFVYOWGRCMIXER-GFCCVEGCSA-N 0 0 425.554 -0.440 20 0 IBADRN CN(CCNC(=O)N1CCS(=O)(=O)C[C@@H]1CCO)S(=O)(=O)c1cccs1 ZINC001312329837 1074034367 /nfs/dbraw/zinc/03/43/67/1074034367.db2.gz ZFVYOWGRCMIXER-LBPRGKRZSA-N 0 0 425.554 -0.440 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCCNS(=O)(=O)c3cccs3)CC2)CCOC1 ZINC001312334823 1074034388 /nfs/dbraw/zinc/03/43/88/1074034388.db2.gz YDSWNOVODZKWBA-KRWDZBQOSA-N 0 0 446.551 -0.314 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCCNS(=O)(=O)c3cccs3)CC2)CCOC1 ZINC001312334824 1074034554 /nfs/dbraw/zinc/03/45/54/1074034554.db2.gz YDSWNOVODZKWBA-QGZVFWFLSA-N 0 0 446.551 -0.314 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)s1)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001312335326 1074034404 /nfs/dbraw/zinc/03/44/04/1074034404.db2.gz FIWZPGWSAMIBSM-JTQLQIEISA-N 0 0 445.972 -0.129 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(Cl)s1)N1CCS(=O)(=O)C[C@H]1CCO ZINC001312335327 1074034907 /nfs/dbraw/zinc/03/49/07/1074034907.db2.gz FIWZPGWSAMIBSM-SNVBAGLBSA-N 0 0 445.972 -0.129 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001312375863 1074034895 /nfs/dbraw/zinc/03/48/95/1074034895.db2.gz XHYQEVXVSAAYLQ-BFYDXBDKSA-N 0 0 435.568 -0.068 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001312375865 1074034781 /nfs/dbraw/zinc/03/47/81/1074034781.db2.gz XHYQEVXVSAAYLQ-HLLBOEOZSA-N 0 0 435.568 -0.068 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001312375867 1074034821 /nfs/dbraw/zinc/03/48/21/1074034821.db2.gz XHYQEVXVSAAYLQ-INMHGKMJSA-N 0 0 435.568 -0.068 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001312375869 1074034813 /nfs/dbraw/zinc/03/48/13/1074034813.db2.gz XHYQEVXVSAAYLQ-VYDXJSESSA-N 0 0 435.568 -0.068 20 0 IBADRN C[C@@H]1C(=O)N(C)CN1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC001312380233 1074034762 /nfs/dbraw/zinc/03/47/62/1074034762.db2.gz YKGQLVLIQFAMEK-GFCCVEGCSA-N 0 0 448.523 -0.379 20 0 IBADRN C[C@H]1C(=O)N(C)CN1C(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC001312380238 1074034833 /nfs/dbraw/zinc/03/48/33/1074034833.db2.gz YKGQLVLIQFAMEK-LBPRGKRZSA-N 0 0 448.523 -0.379 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1 ZINC001312415881 1074034747 /nfs/dbraw/zinc/03/47/47/1074034747.db2.gz RYASMFLIXDYEOK-HNNXBMFYSA-N 0 0 445.567 -0.335 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(CNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1 ZINC001312415892 1074035341 /nfs/dbraw/zinc/03/53/41/1074035341.db2.gz RYASMFLIXDYEOK-OAHLLOKOSA-N 0 0 445.567 -0.335 20 0 IBADRN COCCN(CCNC(=O)NC[C@@]12COCCN1C(=O)COC2)C(=O)OC(C)(C)C ZINC001312434396 1074035323 /nfs/dbraw/zinc/03/53/23/1074035323.db2.gz DBVHXIJOLFRYBW-IBGZPJMESA-N 0 0 430.502 -0.203 20 0 IBADRN COCCN(CCNC(=O)NC[C@]12COCCN1C(=O)COC2)C(=O)OC(C)(C)C ZINC001312434402 1074035223 /nfs/dbraw/zinc/03/52/23/1074035223.db2.gz DBVHXIJOLFRYBW-LJQANCHMSA-N 0 0 430.502 -0.203 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001312434543 1074035378 /nfs/dbraw/zinc/03/53/78/1074035378.db2.gz CZDVMTUTUCONJZ-UHFFFAOYSA-N 0 0 441.432 -0.018 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)CC1 ZINC001312471488 1074035332 /nfs/dbraw/zinc/03/53/32/1074035332.db2.gz GNCACAJQRFUZRC-AWEZNQCLSA-N 0 0 426.561 -0.992 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)CC1 ZINC001312471489 1074035372 /nfs/dbraw/zinc/03/53/72/1074035372.db2.gz GNCACAJQRFUZRC-CQSZACIVSA-N 0 0 426.561 -0.992 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N3CCO[C@H](CS(C)(=O)=O)C3)C2)nc1 ZINC001312488061 1074035198 /nfs/dbraw/zinc/03/51/98/1074035198.db2.gz MQYZATCAIBHIMH-HNNXBMFYSA-N 0 0 426.495 -0.098 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N3CCO[C@@H](CS(C)(=O)=O)C3)C2)nc1 ZINC001312488062 1074035263 /nfs/dbraw/zinc/03/52/63/1074035263.db2.gz MQYZATCAIBHIMH-OAHLLOKOSA-N 0 0 426.495 -0.098 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)NC[C@@]34COCCN3C(=O)COC4)C2)nc1 ZINC001312489937 1074035208 /nfs/dbraw/zinc/03/52/08/1074035208.db2.gz YMOGWVFTAFMANL-FQEVSTJZSA-N 0 0 433.465 -0.626 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)NC[C@]34COCCN3C(=O)COC4)C2)nc1 ZINC001312489938 1074035349 /nfs/dbraw/zinc/03/53/49/1074035349.db2.gz YMOGWVFTAFMANL-HXUWFJFHSA-N 0 0 433.465 -0.626 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1C[C@@H]2C(=O)N(Cc3ccccc3)C(=O)[C@@H]2C1 ZINC001312490689 1074035305 /nfs/dbraw/zinc/03/53/05/1074035305.db2.gz KLAFLEYRFRVGEM-IYBDPMFKSA-N 0 0 437.522 -0.355 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001312491312 1074035328 /nfs/dbraw/zinc/03/53/28/1074035328.db2.gz RJLZRRRURPEXKU-NEPJUHHUSA-N 0 0 429.465 -0.129 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001312491313 1074035366 /nfs/dbraw/zinc/03/53/66/1074035366.db2.gz RJLZRRRURPEXKU-NWDGAFQWSA-N 0 0 429.465 -0.129 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001312491314 1074035251 /nfs/dbraw/zinc/03/52/51/1074035251.db2.gz RJLZRRRURPEXKU-RYUDHWBXSA-N 0 0 429.465 -0.129 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001312491416 1074035183 /nfs/dbraw/zinc/03/51/83/1074035183.db2.gz RJLZRRRURPEXKU-VXGBXAGGSA-N 0 0 429.465 -0.129 20 0 IBADRN COCCS(=O)(=O)c1ccc(CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1 ZINC001312497691 1074035612 /nfs/dbraw/zinc/03/56/12/1074035612.db2.gz CWCZNNXOLCAQSF-UHFFFAOYSA-N 0 0 434.540 -0.604 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)NCCC3CS(=O)(=O)C3)CC2)c1 ZINC001312511142 1074035592 /nfs/dbraw/zinc/03/55/92/1074035592.db2.gz JIHKXBYPQJWOJI-UHFFFAOYSA-N 0 0 443.547 -0.008 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)NCc1ccc(N2CCCS2(=O)=O)c(F)c1 ZINC001312550031 1074035706 /nfs/dbraw/zinc/03/57/06/1074035706.db2.gz IJKWAWJREBKYBI-GOSISDBHSA-N 0 0 430.502 -0.142 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)NCc1ccc(N2CCCS2(=O)=O)c(F)c1 ZINC001312550032 1074035541 /nfs/dbraw/zinc/03/55/41/1074035541.db2.gz IJKWAWJREBKYBI-SFHVURJKSA-N 0 0 430.502 -0.142 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)NCc1ccc(N2CCCS2(=O)=O)c(F)c1 ZINC001312550399 1074035525 /nfs/dbraw/zinc/03/55/25/1074035525.db2.gz KDMKYKPLUJYREK-UHFFFAOYSA-N 0 0 437.457 -0.300 20 0 IBADRN Cn1ccn2c(CNC(=O)NCc3cccc(C(=O)N4CCOCC4)c3)nnc2c1=O ZINC001312563623 1074035635 /nfs/dbraw/zinc/03/56/35/1074035635.db2.gz XKQXBQDPKDTGDV-UHFFFAOYSA-N 0 0 425.449 -0.100 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001312576511 1074035653 /nfs/dbraw/zinc/03/56/53/1074035653.db2.gz IUBPSCPABWZHIB-CYBMUJFWSA-N 0 0 433.556 -0.467 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001312576520 1074035601 /nfs/dbraw/zinc/03/56/01/1074035601.db2.gz IUBPSCPABWZHIB-ZDUSSCGKSA-N 0 0 433.556 -0.467 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001312578226 1074035723 /nfs/dbraw/zinc/03/57/23/1074035723.db2.gz PNZOKZJYPBJHHV-BEFAXECRSA-N 0 0 440.522 -0.330 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001312578232 1074035575 /nfs/dbraw/zinc/03/55/75/1074035575.db2.gz PNZOKZJYPBJHHV-DNVCBOLYSA-N 0 0 440.522 -0.330 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001312578233 1074035533 /nfs/dbraw/zinc/03/55/33/1074035533.db2.gz PNZOKZJYPBJHHV-HNAYVOBHSA-N 0 0 440.522 -0.330 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001312578235 1074035550 /nfs/dbraw/zinc/03/55/50/1074035550.db2.gz PNZOKZJYPBJHHV-KXBFYZLASA-N 0 0 440.522 -0.330 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC001312583823 1074035715 /nfs/dbraw/zinc/03/57/15/1074035715.db2.gz ZGGFUBKTOLHBFW-HNNXBMFYSA-N 0 0 425.511 -0.542 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC001312583824 1074035644 /nfs/dbraw/zinc/03/56/44/1074035644.db2.gz ZGGFUBKTOLHBFW-OAHLLOKOSA-N 0 0 425.511 -0.542 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC001312584320 1074035688 /nfs/dbraw/zinc/03/56/88/1074035688.db2.gz DRWLZJNRJCYDJE-LLVKDONJSA-N 0 0 426.467 -0.424 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC001312584322 1074035667 /nfs/dbraw/zinc/03/56/67/1074035667.db2.gz DRWLZJNRJCYDJE-NSHDSACASA-N 0 0 426.467 -0.424 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC001312584952 1074035618 /nfs/dbraw/zinc/03/56/18/1074035618.db2.gz IZDKWEQKTRIDDC-UHFFFAOYSA-N 0 0 440.494 -0.034 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC001312597856 1074035934 /nfs/dbraw/zinc/03/59/34/1074035934.db2.gz WFJMUCGMFCEAGL-AWEZNQCLSA-N 0 0 431.490 -0.214 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)CCO1 ZINC001312597873 1074036081 /nfs/dbraw/zinc/03/60/81/1074036081.db2.gz WFJMUCGMFCEAGL-CQSZACIVSA-N 0 0 431.490 -0.214 20 0 IBADRN CCOC1CC(CNC(=O)N2CCC(NS(=O)(=O)NC)CC2)(N2CCOCC2)C1 ZINC001312617800 1074036055 /nfs/dbraw/zinc/03/60/55/1074036055.db2.gz GHSISQOFNPSZIL-UHFFFAOYSA-N 0 0 433.575 -0.516 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC001312643641 1074036107 /nfs/dbraw/zinc/03/61/07/1074036107.db2.gz JVUBBGJPPHQDPJ-INIZCTEOSA-N 0 0 446.483 -0.115 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)C1 ZINC001312643642 1074035924 /nfs/dbraw/zinc/03/59/24/1074035924.db2.gz JVUBBGJPPHQDPJ-MRXNPFEDSA-N 0 0 446.483 -0.115 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCN(S(C)(=O)=O)[C@H](C)C3)C2)cc1 ZINC001312656887 1074036003 /nfs/dbraw/zinc/03/60/03/1074036003.db2.gz DTDHGEABZDFGGT-CYBMUJFWSA-N 0 0 446.551 -0.257 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001312657417 1074036015 /nfs/dbraw/zinc/03/60/15/1074036015.db2.gz JFSKIKQIJZEOHH-HNNXBMFYSA-N 0 0 432.524 -0.599 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001312657422 1074035967 /nfs/dbraw/zinc/03/59/67/1074035967.db2.gz JFSKIKQIJZEOHH-OAHLLOKOSA-N 0 0 432.524 -0.599 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCC(OCC(N)=O)CC3)C2)cc1 ZINC001312657631 1074036032 /nfs/dbraw/zinc/03/60/32/1074036032.db2.gz KQMYPMBODOAFQM-UHFFFAOYSA-N 0 0 426.495 -0.256 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3C[C@@H](C)[C@H](CS(N)(=O)=O)C3)C2)cc1 ZINC001312658841 1074035903 /nfs/dbraw/zinc/03/59/03/1074035903.db2.gz VPBKBGKFYINPAX-OLZOCXBDSA-N 0 0 446.551 -0.366 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)NC[C@@]23COCCN2C(=O)COC3)s1 ZINC001312659306 1074035890 /nfs/dbraw/zinc/03/58/90/1074035890.db2.gz XROCCFHOUGBNIL-FMTVUPSXSA-N 0 0 440.526 -0.009 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)NC[C@]23COCCN2C(=O)COC3)s1 ZINC001312659307 1074036073 /nfs/dbraw/zinc/03/60/73/1074036073.db2.gz XROCCFHOUGBNIL-FTLABTOESA-N 0 0 440.526 -0.009 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)NC[C@]23COCCN2C(=O)COC3)s1 ZINC001312659308 1074036022 /nfs/dbraw/zinc/03/60/22/1074036022.db2.gz XROCCFHOUGBNIL-SEDUGSJDSA-N 0 0 440.526 -0.009 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)NC[C@@]23COCCN2C(=O)COC3)s1 ZINC001312659309 1074035914 /nfs/dbraw/zinc/03/59/14/1074035914.db2.gz XROCCFHOUGBNIL-SOZUMNATSA-N 0 0 440.526 -0.009 20 0 IBADRN NS(=O)(=O)C1CN(C(=O)NCCNS(=O)(=O)c2cccc(Cl)c2Cl)C1 ZINC001312670181 1074035983 /nfs/dbraw/zinc/03/59/83/1074035983.db2.gz MZCCNJJTNMNPCG-UHFFFAOYSA-N 0 0 431.323 -0.046 20 0 IBADRN COc1nc(N2CCN(C(=O)NC[C@H]3CC(C(N)=O)=NO3)CC2)ncc1Br ZINC001312679977 1074035961 /nfs/dbraw/zinc/03/59/61/1074035961.db2.gz JBACVWZPNFHJRU-SECBINFHSA-N 0 0 442.274 -0.291 20 0 IBADRN COc1nc(N2CCN(C(=O)NC[C@@H]3CC(C(N)=O)=NO3)CC2)ncc1Br ZINC001312679989 1074035942 /nfs/dbraw/zinc/03/59/42/1074035942.db2.gz JBACVWZPNFHJRU-VIFPVBQESA-N 0 0 442.274 -0.291 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)NC[C@@H]3CC(C(N)=O)=NO3)CC2)cc1 ZINC001312680171 1074036044 /nfs/dbraw/zinc/03/60/44/1074036044.db2.gz KJHJNNJCIKVDFN-INIZCTEOSA-N 0 0 432.481 -0.019 20 0 IBADRN CCOc1ccc(NC(=O)CN2CCN(C(=O)NC[C@H]3CC(C(N)=O)=NO3)CC2)cc1 ZINC001312680172 1074036451 /nfs/dbraw/zinc/03/64/51/1074036451.db2.gz KJHJNNJCIKVDFN-MRXNPFEDSA-N 0 0 432.481 -0.019 20 0 IBADRN NC(=O)C1=NO[C@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)C1 ZINC001312681674 1074036284 /nfs/dbraw/zinc/03/62/84/1074036284.db2.gz YLRLVGJWEPLVSX-HNNXBMFYSA-N 0 0 435.506 -0.179 20 0 IBADRN NC(=O)C1=NO[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)C1 ZINC001312681675 1074036313 /nfs/dbraw/zinc/03/63/13/1074036313.db2.gz YLRLVGJWEPLVSX-OAHLLOKOSA-N 0 0 435.506 -0.179 20 0 IBADRN Cn1cc(I)c(CNC(=O)NCc2nnc3c(=O)[nH]ccn23)n1 ZINC001312688385 1074036419 /nfs/dbraw/zinc/03/64/19/1074036419.db2.gz GGRDXIYNVYIZSQ-UHFFFAOYSA-N 0 0 428.194 -0.245 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCc2nn(C)cc2I)CC1 ZINC001312688397 1074036518 /nfs/dbraw/zinc/03/65/18/1074036518.db2.gz GMTPRTPPFDNYHV-UHFFFAOYSA-N 0 0 442.283 -0.684 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCc2nn(C)cc2I)C1 ZINC001312689283 1074036336 /nfs/dbraw/zinc/03/63/36/1074036336.db2.gz UGIMKJKSUYVCNP-UHFFFAOYSA-N 0 0 442.283 -0.686 20 0 IBADRN COC(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC001312690856 1074036482 /nfs/dbraw/zinc/03/64/82/1074036482.db2.gz VORVMOVPKPLBCH-UHFFFAOYSA-N 0 0 434.496 -0.093 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001312719345 1074036528 /nfs/dbraw/zinc/03/65/28/1074036528.db2.gz GOJFNGOKXDXLEG-CYBMUJFWSA-N 0 0 442.567 -0.089 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001312719346 1074036429 /nfs/dbraw/zinc/03/64/29/1074036429.db2.gz GOJFNGOKXDXLEG-ZDUSSCGKSA-N 0 0 442.567 -0.089 20 0 IBADRN CCOC(=O)COCCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001312724033 1074036293 /nfs/dbraw/zinc/03/62/93/1074036293.db2.gz RTVDIRJUYNOZDN-GASCZTMLSA-N 0 0 436.531 -0.753 20 0 IBADRN CCOC(=O)COCCNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001312724041 1074036491 /nfs/dbraw/zinc/03/64/91/1074036491.db2.gz RTVDIRJUYNOZDN-GJZGRUSLSA-N 0 0 436.531 -0.753 20 0 IBADRN CCOC(=O)COCCNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001312724043 1074036305 /nfs/dbraw/zinc/03/63/05/1074036305.db2.gz RTVDIRJUYNOZDN-HUUCEWRRSA-N 0 0 436.531 -0.753 20 0 IBADRN O=C(NCCS(=O)(=O)C1CCOCC1)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001312727117 1074036390 /nfs/dbraw/zinc/03/63/90/1074036390.db2.gz MKQDMSRMWBZHOA-KRWDZBQOSA-N 0 0 432.587 -0.324 20 0 IBADRN O=C(NCCS(=O)(=O)C1CCOCC1)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001312727118 1074036500 /nfs/dbraw/zinc/03/65/00/1074036500.db2.gz MKQDMSRMWBZHOA-QGZVFWFLSA-N 0 0 432.587 -0.324 20 0 IBADRN CO[C@@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001312728564 1074036357 /nfs/dbraw/zinc/03/63/57/1074036357.db2.gz UBWWHOJEWKNLBB-HZPDHXFCSA-N 0 0 446.551 -0.083 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001312728793 1074036443 /nfs/dbraw/zinc/03/64/43/1074036443.db2.gz ZSNZSUDLNKJQGR-UHFFFAOYSA-N 0 0 440.588 -0.506 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ncc(C(F)(F)F)cn2)CC1 ZINC001312733255 1074036468 /nfs/dbraw/zinc/03/64/68/1074036468.db2.gz ZQANEVIYKZGLPP-UHFFFAOYSA-N 0 0 428.371 -0.002 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)c1 ZINC001312737691 1074036369 /nfs/dbraw/zinc/03/63/69/1074036369.db2.gz QLPPJZCFDHOZPU-UHFFFAOYSA-N 0 0 429.543 -0.203 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)cc1OC ZINC001312737716 1074036405 /nfs/dbraw/zinc/03/64/05/1074036405.db2.gz RFGHENLLVUXTBV-UHFFFAOYSA-N 0 0 443.526 -0.433 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCC2(CC1)CNC(=O)c1ccccc1O2 ZINC001312737730 1074036458 /nfs/dbraw/zinc/03/64/58/1074036458.db2.gz RRVJEYKIEPOYCQ-UHFFFAOYSA-N 0 0 425.511 -0.251 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)cc1 ZINC001312737858 1074036914 /nfs/dbraw/zinc/03/69/14/1074036914.db2.gz SHGUJRDDLJCZQR-UHFFFAOYSA-N 0 0 449.555 -0.893 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CC[C@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001312738059 1074036897 /nfs/dbraw/zinc/03/68/97/1074036897.db2.gz XDNSKUXJHVUOBD-IBGZPJMESA-N 0 0 439.538 -0.405 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CC[C@@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001312738060 1074036934 /nfs/dbraw/zinc/03/69/34/1074036934.db2.gz XDNSKUXJHVUOBD-LJQANCHMSA-N 0 0 439.538 -0.405 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC001312738068 1074036865 /nfs/dbraw/zinc/03/68/65/1074036865.db2.gz XOQROMGEPFCKTL-UHFFFAOYSA-N 0 0 427.527 -0.319 20 0 IBADRN Cn1ncc(CCCNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)n1 ZINC001312741390 1074036782 /nfs/dbraw/zinc/03/67/82/1074036782.db2.gz DXZXJWFVAMYXCB-UHFFFAOYSA-N 0 0 436.494 -0.109 20 0 IBADRN CS(=O)(=O)CC1CCC(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CC1 ZINC001312757961 1074036857 /nfs/dbraw/zinc/03/68/57/1074036857.db2.gz DSEPWHPUWRYHGT-UHFFFAOYSA-N 0 0 430.571 -0.224 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3ncn(-c4ccccc4)n3)CC2)CC1 ZINC001312772929 1074036790 /nfs/dbraw/zinc/03/67/90/1074036790.db2.gz FLULBDHEGZOHTL-UHFFFAOYSA-N 0 0 448.553 -0.413 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001312774325 1074036876 /nfs/dbraw/zinc/03/68/76/1074036876.db2.gz VDQWOVMTMHPVBI-UHFFFAOYSA-N 0 0 429.518 -0.049 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3C[C@H]4CCCCN4C3=O)CC2)C[C@@H](C)O1 ZINC001312824929 1074036801 /nfs/dbraw/zinc/03/68/01/1074036801.db2.gz NKUQFWOSQSVEQF-QBPKDAKJSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3C[C@@H]4CCCCN4C3=O)CC2)C[C@H](C)O1 ZINC001312824932 1074036818 /nfs/dbraw/zinc/03/68/18/1074036818.db2.gz NKUQFWOSQSVEQF-VVLHAWIVSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3C[C@@H]4CCCCN4C3=O)CC2)C[C@@H](C)O1 ZINC001312825194 1074036941 /nfs/dbraw/zinc/03/69/41/1074036941.db2.gz NKUQFWOSQSVEQF-WCXIOVBPSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3C[C@H]4CCCCN4C3=O)CC2)C[C@H](C)O1 ZINC001312825195 1074036773 /nfs/dbraw/zinc/03/67/73/1074036773.db2.gz NKUQFWOSQSVEQF-YYIAUSFCSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@]1(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)CCC(=O)N1 ZINC001312827718 1074036812 /nfs/dbraw/zinc/03/68/12/1074036812.db2.gz UYLGENLILXZUKQ-IBGZPJMESA-N 0 0 435.506 -0.134 20 0 IBADRN C[C@]1(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)CCC(=O)N1 ZINC001312827719 1074036826 /nfs/dbraw/zinc/03/68/26/1074036826.db2.gz UYLGENLILXZUKQ-LJQANCHMSA-N 0 0 435.506 -0.134 20 0 IBADRN C[C@@H]1[C@H](NC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCS1(=O)=O ZINC001312829251 1074036924 /nfs/dbraw/zinc/03/69/24/1074036924.db2.gz ASGLBUOWIUJXSX-BXUZGUMPSA-N 0 0 445.519 -0.295 20 0 IBADRN O=C(N[C@@H](CO)[C@@H]1CCOC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001312829862 1074037106 /nfs/dbraw/zinc/03/71/06/1074037106.db2.gz LONCECVMWHUSON-DOMZBBRYSA-N 0 0 427.479 -0.473 20 0 IBADRN O=C(N[C@H](CO)[C@@H]1CCOC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001312829863 1074037199 /nfs/dbraw/zinc/03/71/99/1074037199.db2.gz LONCECVMWHUSON-IUODEOHRSA-N 0 0 427.479 -0.473 20 0 IBADRN O=C(N[C@H](CO)[C@H]1CCOC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001312829864 1074037121 /nfs/dbraw/zinc/03/71/21/1074037121.db2.gz LONCECVMWHUSON-SWLSCSKDSA-N 0 0 427.479 -0.473 20 0 IBADRN O=C(N[C@@H](CO)[C@H]1CCOC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001312829865 1074037289 /nfs/dbraw/zinc/03/72/89/1074037289.db2.gz LONCECVMWHUSON-WFASDCNBSA-N 0 0 427.479 -0.473 20 0 IBADRN COCCCN(CCO)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001312830752 1074037099 /nfs/dbraw/zinc/03/70/99/1074037099.db2.gz ZPMFFHZKUVHYIV-UHFFFAOYSA-N 0 0 429.495 -0.129 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCN(CC(=O)Nc2ccc(Cl)cc2)CC1 ZINC001312832765 1074037153 /nfs/dbraw/zinc/03/71/53/1074037153.db2.gz FRSVLZVYXGUAKI-UHFFFAOYSA-N 0 0 447.945 -0.089 20 0 IBADRN CN1C[C@H](CCNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC001312834055 1074037087 /nfs/dbraw/zinc/03/70/87/1074037087.db2.gz QEUBWBJBCZAOES-CYBMUJFWSA-N 0 0 438.506 -0.002 20 0 IBADRN CN1C[C@@H](CCNC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC001312834056 1074037269 /nfs/dbraw/zinc/03/72/69/1074037269.db2.gz QEUBWBJBCZAOES-ZDUSSCGKSA-N 0 0 438.506 -0.002 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCn2c(Cc3cccc(F)c3F)nnc2C1 ZINC001312834566 1074037165 /nfs/dbraw/zinc/03/71/65/1074037165.db2.gz WWYRTBXLMNQSSP-UHFFFAOYSA-N 0 0 444.464 -0.023 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NCC2(N3CCOCC3)CCOCC2)CC1 ZINC001312837050 1074037209 /nfs/dbraw/zinc/03/72/09/1074037209.db2.gz DTRPYGVGKZIVGD-UHFFFAOYSA-N 0 0 433.575 -0.562 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001312839659 1074037113 /nfs/dbraw/zinc/03/71/13/1074037113.db2.gz ZTQOWSNLVSLLCM-GOSISDBHSA-N 0 0 426.495 -0.588 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001312839666 1074037147 /nfs/dbraw/zinc/03/71/47/1074037147.db2.gz ZTQOWSNLVSLLCM-SFHVURJKSA-N 0 0 426.495 -0.588 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2nccc(C(F)(F)F)n2)CC1 ZINC001312871767 1074037613 /nfs/dbraw/zinc/03/76/13/1074037613.db2.gz GOTRFVKZPYQQMR-UHFFFAOYSA-N 0 0 428.371 -0.002 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCC2(CS(C)(=O)=O)CCC2)CC1 ZINC001312876481 1074037546 /nfs/dbraw/zinc/03/75/46/1074037546.db2.gz QVNNSFWXXUPTAF-UHFFFAOYSA-N 0 0 425.573 -0.105 20 0 IBADRN COC(=O)c1c(C)oc(C)c1S(=O)(=O)N1CCN(C(=O)NCC(=O)N(C)OC)CC1 ZINC001312887495 1074037574 /nfs/dbraw/zinc/03/75/74/1074037574.db2.gz HMUOEZMMSOWUEI-UHFFFAOYSA-N 0 0 446.482 -0.281 20 0 IBADRN CON(C)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001312887851 1074037582 /nfs/dbraw/zinc/03/75/82/1074037582.db2.gz PHXPWVFORKQDRT-UHFFFAOYSA-N 0 0 441.466 -0.947 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1=O ZINC001312901169 1074037604 /nfs/dbraw/zinc/03/76/04/1074037604.db2.gz CLWBPZAGCSDFPF-HNNXBMFYSA-N 0 0 449.489 -0.656 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)C1=O ZINC001312901171 1074037563 /nfs/dbraw/zinc/03/75/63/1074037563.db2.gz CLWBPZAGCSDFPF-OAHLLOKOSA-N 0 0 449.489 -0.656 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001312904543 1074037621 /nfs/dbraw/zinc/03/76/21/1074037621.db2.gz GFLMQUUJXPYHEW-CHWSQXEVSA-N 0 0 433.508 -0.819 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001312904544 1074037595 /nfs/dbraw/zinc/03/75/95/1074037595.db2.gz GFLMQUUJXPYHEW-OLZOCXBDSA-N 0 0 433.508 -0.819 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001312904545 1074037512 /nfs/dbraw/zinc/03/75/12/1074037512.db2.gz GFLMQUUJXPYHEW-QWHCGFSZSA-N 0 0 433.508 -0.819 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001312904546 1074037628 /nfs/dbraw/zinc/03/76/28/1074037628.db2.gz GFLMQUUJXPYHEW-STQMWFEESA-N 0 0 433.508 -0.819 20 0 IBADRN CC(C)C[C@H](NC(=O)NCCS(=O)(=O)N=S(C)(C)=O)C(=O)N1CCOCC1 ZINC001312910929 1074037554 /nfs/dbraw/zinc/03/75/54/1074037554.db2.gz DAXQVBDEYYCOPG-ZDUSSCGKSA-N 0 0 426.561 -0.384 20 0 IBADRN CC(C)C[C@H](NC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)C(=O)N1CCOCC1 ZINC001312911728 1074037568 /nfs/dbraw/zinc/03/75/68/1074037568.db2.gz KOVRDHNUZZTWTA-SFHVURJKSA-N 0 0 439.557 -0.554 20 0 IBADRN CCN(OC)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001312916767 1074037501 /nfs/dbraw/zinc/03/75/01/1074037501.db2.gz ZECGLLHJOFPFOO-UHFFFAOYSA-N 0 0 442.494 -0.116 20 0 IBADRN NS(=O)(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001312931843 1074037531 /nfs/dbraw/zinc/03/75/31/1074037531.db2.gz DWEHMASZZYVBQL-UHFFFAOYSA-N 0 0 430.552 -0.130 20 0 IBADRN NS(=O)(=O)CCCNC(=O)N1CCC(n2nncc2I)CC1 ZINC001312937956 1074037634 /nfs/dbraw/zinc/03/76/34/1074037634.db2.gz OQOGYOOVYYMASN-UHFFFAOYSA-N 0 0 442.283 -0.092 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)C1CCCC1 ZINC001312940477 1074037601 /nfs/dbraw/zinc/03/76/01/1074037601.db2.gz AMIUTLVMCJODLI-CABCVRRESA-N 0 0 440.588 -0.604 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCO[C@H](CS(C)(=O)=O)C1)C1CCCC1 ZINC001312940480 1074037520 /nfs/dbraw/zinc/03/75/20/1074037520.db2.gz AMIUTLVMCJODLI-GJZGRUSLSA-N 0 0 440.588 -0.604 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1)C1CCCC1 ZINC001312940481 1074037903 /nfs/dbraw/zinc/03/79/03/1074037903.db2.gz AMIUTLVMCJODLI-HUUCEWRRSA-N 0 0 440.588 -0.604 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCO[C@H](CS(C)(=O)=O)C1)C1CCCC1 ZINC001312940482 1074037966 /nfs/dbraw/zinc/03/79/66/1074037966.db2.gz AMIUTLVMCJODLI-LSDHHAIUSA-N 0 0 440.588 -0.604 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001312943954 1074037873 /nfs/dbraw/zinc/03/78/73/1074037873.db2.gz TXCFCSJTHTUPFC-CABCVRRESA-N 0 0 434.563 -0.719 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001312943967 1074037880 /nfs/dbraw/zinc/03/78/80/1074037880.db2.gz TXCFCSJTHTUPFC-GJZGRUSLSA-N 0 0 434.563 -0.719 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001312943971 1074037827 /nfs/dbraw/zinc/03/78/27/1074037827.db2.gz TXCFCSJTHTUPFC-HUUCEWRRSA-N 0 0 434.563 -0.719 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001312943976 1074037928 /nfs/dbraw/zinc/03/79/28/1074037928.db2.gz TXCFCSJTHTUPFC-LSDHHAIUSA-N 0 0 434.563 -0.719 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001312953305 1074037852 /nfs/dbraw/zinc/03/78/52/1074037852.db2.gz NDSLVBMKRGUSPR-HNNXBMFYSA-N 0 0 439.538 -0.005 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001312953306 1074037935 /nfs/dbraw/zinc/03/79/35/1074037935.db2.gz NDSLVBMKRGUSPR-OAHLLOKOSA-N 0 0 439.538 -0.005 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001312955372 1074037792 /nfs/dbraw/zinc/03/77/92/1074037792.db2.gz VLTXCNMVWGSEBD-AWEZNQCLSA-N 0 0 445.567 -0.540 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001312955373 1074037924 /nfs/dbraw/zinc/03/79/24/1074037924.db2.gz VLTXCNMVWGSEBD-CQSZACIVSA-N 0 0 445.567 -0.540 20 0 IBADRN CCON(C)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001312960524 1074037889 /nfs/dbraw/zinc/03/78/89/1074037889.db2.gz IXPWHFDCRAAWHX-UHFFFAOYSA-N 0 0 439.494 -0.393 20 0 IBADRN COc1ccc(N2C[C@@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)cc1 ZINC001312986822 1074037913 /nfs/dbraw/zinc/03/79/13/1074037913.db2.gz QPGXVXKLXAAUDU-AWEZNQCLSA-N 0 0 428.453 -0.659 20 0 IBADRN COc1ccc(N2C[C@H](NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)CC2=O)cc1 ZINC001312986823 1074037863 /nfs/dbraw/zinc/03/78/63/1074037863.db2.gz QPGXVXKLXAAUDU-CQSZACIVSA-N 0 0 428.453 -0.659 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)CC1 ZINC001312988425 1074038111 /nfs/dbraw/zinc/03/81/11/1074038111.db2.gz ORSGVMWABJXTHK-CABCVRRESA-N 0 0 440.588 -0.508 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)CC1 ZINC001312988426 1074038253 /nfs/dbraw/zinc/03/82/53/1074038253.db2.gz ORSGVMWABJXTHK-GJZGRUSLSA-N 0 0 440.588 -0.508 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)CC1 ZINC001312988427 1074038266 /nfs/dbraw/zinc/03/82/66/1074038266.db2.gz ORSGVMWABJXTHK-HUUCEWRRSA-N 0 0 440.588 -0.508 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)CC1 ZINC001312988428 1074038242 /nfs/dbraw/zinc/03/82/42/1074038242.db2.gz ORSGVMWABJXTHK-LSDHHAIUSA-N 0 0 440.588 -0.508 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001312989680 1074038207 /nfs/dbraw/zinc/03/82/07/1074038207.db2.gz IJAWRGQOLQYXHF-FGTMMUONSA-N 0 0 436.534 -0.069 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001312989701 1074038195 /nfs/dbraw/zinc/03/81/95/1074038195.db2.gz IJAWRGQOLQYXHF-KZNAEPCWSA-N 0 0 436.534 -0.069 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001312989702 1074038123 /nfs/dbraw/zinc/03/81/23/1074038123.db2.gz IJAWRGQOLQYXHF-OKZBNKHCSA-N 0 0 436.534 -0.069 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001312989703 1074038171 /nfs/dbraw/zinc/03/81/71/1074038171.db2.gz IJAWRGQOLQYXHF-RCCFBDPRSA-N 0 0 436.534 -0.069 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001312991415 1074038136 /nfs/dbraw/zinc/03/81/36/1074038136.db2.gz QKOFNANJLFIGSO-CABCVRRESA-N 0 0 434.559 -0.008 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001312991416 1074038314 /nfs/dbraw/zinc/03/83/14/1074038314.db2.gz QKOFNANJLFIGSO-GJZGRUSLSA-N 0 0 434.559 -0.008 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001312991417 1074038149 /nfs/dbraw/zinc/03/81/49/1074038149.db2.gz QKOFNANJLFIGSO-HUUCEWRRSA-N 0 0 434.559 -0.008 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N(C)CCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001312991418 1074038278 /nfs/dbraw/zinc/03/82/78/1074038278.db2.gz QKOFNANJLFIGSO-LSDHHAIUSA-N 0 0 434.559 -0.008 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC001312992423 1074038081 /nfs/dbraw/zinc/03/80/81/1074038081.db2.gz UPGITTHUSQMTCP-CVEARBPZSA-N 0 0 429.470 -0.130 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC001312992434 1074038101 /nfs/dbraw/zinc/03/81/01/1074038101.db2.gz UPGITTHUSQMTCP-HOTGVXAUSA-N 0 0 429.470 -0.130 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC001312992436 1074038231 /nfs/dbraw/zinc/03/82/31/1074038231.db2.gz UPGITTHUSQMTCP-HZPDHXFCSA-N 0 0 429.470 -0.130 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC001312992437 1074038606 /nfs/dbraw/zinc/03/86/06/1074038606.db2.gz UPGITTHUSQMTCP-JKSUJKDBSA-N 0 0 429.470 -0.130 20 0 IBADRN CO[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)[C@H]1CCOC1 ZINC001312992757 1074038659 /nfs/dbraw/zinc/03/86/59/1074038659.db2.gz PBWRYOABSUTLLD-LUKYLMHMSA-N 0 0 434.559 -0.281 20 0 IBADRN CO[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)[C@@H]1CCOC1 ZINC001312992758 1074038618 /nfs/dbraw/zinc/03/86/18/1074038618.db2.gz PBWRYOABSUTLLD-MWDXBVQZSA-N 0 0 434.559 -0.281 20 0 IBADRN CO[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@H]1CCOC1 ZINC001312992759 1074038563 /nfs/dbraw/zinc/03/85/63/1074038563.db2.gz PBWRYOABSUTLLD-VVLHAWIVSA-N 0 0 434.559 -0.281 20 0 IBADRN CO[C@H](CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@@H]1CCOC1 ZINC001312992760 1074038640 /nfs/dbraw/zinc/03/86/40/1074038640.db2.gz PBWRYOABSUTLLD-YYIAUSFCSA-N 0 0 434.559 -0.281 20 0 IBADRN CS(=O)(=O)CC1(CCNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC001313000172 1074038477 /nfs/dbraw/zinc/03/84/77/1074038477.db2.gz SJSFZQRCIPWLFV-UHFFFAOYSA-N 0 0 428.511 -0.796 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCC2(CS(C)(=O)=O)CC2)CC1 ZINC001313000780 1074038672 /nfs/dbraw/zinc/03/86/72/1074038672.db2.gz VQRHLCVBDGVENB-UHFFFAOYSA-N 0 0 425.573 -0.105 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c2ncnn21 ZINC001313005153 1074038515 /nfs/dbraw/zinc/03/85/15/1074038515.db2.gz REZIPMSVNDZZDE-APIJFGDWSA-N 0 0 427.531 -0.035 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c2ncnn21 ZINC001313005154 1074038552 /nfs/dbraw/zinc/03/85/52/1074038552.db2.gz REZIPMSVNDZZDE-BYNSBNAKSA-N 0 0 427.531 -0.035 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c2ncnn21 ZINC001313005155 1074038535 /nfs/dbraw/zinc/03/85/35/1074038535.db2.gz REZIPMSVNDZZDE-GBJTYRQASA-N 0 0 427.531 -0.035 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c2ncnn21 ZINC001313005156 1074038591 /nfs/dbraw/zinc/03/85/91/1074038591.db2.gz REZIPMSVNDZZDE-KBUPBQIOSA-N 0 0 427.531 -0.035 20 0 IBADRN Cn1cc([C@@H]2[C@@H](CNC(=O)N3CCN(CC(N)=O)C(=O)C3)CC(=O)N2C(C)(C)C)cn1 ZINC001313010295 1074038484 /nfs/dbraw/zinc/03/84/84/1074038484.db2.gz LMEUETJZIBMEMS-ACJLOTCBSA-N 0 0 433.513 -0.553 20 0 IBADRN COC(=O)C1=CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC001313013846 1074038631 /nfs/dbraw/zinc/03/86/31/1074038631.db2.gz WXBIFFWCIJFJNI-DZKIICNBSA-N 0 0 430.527 -0.071 20 0 IBADRN COC(=O)C1=CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC001313013848 1074038649 /nfs/dbraw/zinc/03/86/49/1074038649.db2.gz WXBIFFWCIJFJNI-FMKPAKJESA-N 0 0 430.527 -0.071 20 0 IBADRN COC(=O)C1=CC[C@@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC001313013850 1074038542 /nfs/dbraw/zinc/03/85/42/1074038542.db2.gz WXBIFFWCIJFJNI-IJEWVQPXSA-N 0 0 430.527 -0.071 20 0 IBADRN COC(=O)C1=CC[C@H](NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC001313013852 1074038507 /nfs/dbraw/zinc/03/85/07/1074038507.db2.gz WXBIFFWCIJFJNI-LZWOXQAQSA-N 0 0 430.527 -0.071 20 0 IBADRN CC(C)(C)OC(=O)NC1(CNC(=O)NC[C@@]23COCCN2C(=O)COC3)CCOCC1 ZINC001313018688 1074038584 /nfs/dbraw/zinc/03/85/84/1074038584.db2.gz IEOIQJKRGDQHHF-FQEVSTJZSA-N 0 0 442.513 -0.013 20 0 IBADRN CC(C)(C)OC(=O)NC1(CNC(=O)NC[C@]23COCCN2C(=O)COC3)CCOCC1 ZINC001313018691 1074038494 /nfs/dbraw/zinc/03/84/94/1074038494.db2.gz IEOIQJKRGDQHHF-HXUWFJFHSA-N 0 0 442.513 -0.013 20 0 IBADRN COC(=O)N(C)CCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001313018933 1074038525 /nfs/dbraw/zinc/03/85/25/1074038525.db2.gz ARUALKYXAGDXSD-UHFFFAOYSA-N 0 0 439.494 -0.105 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCC2(NC(=O)OC(C)(C)C)CCOCC2)C1 ZINC001313019019 1074038835 /nfs/dbraw/zinc/03/88/35/1074038835.db2.gz MGDWZZXSWXPDMC-UHFFFAOYSA-N 0 0 435.547 -0.150 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NC(c2nccn2C)c2nccn2C)CC1 ZINC001313034060 1074038917 /nfs/dbraw/zinc/03/89/17/1074038917.db2.gz WRIXYTIVJWNUML-UHFFFAOYSA-N 0 0 439.542 -0.064 20 0 IBADRN CNC(=O)CC1(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CCCCC1 ZINC001313055253 1074038962 /nfs/dbraw/zinc/03/89/62/1074038962.db2.gz YDHGDSKYCZHOEH-UHFFFAOYSA-N 0 0 435.529 -0.255 20 0 IBADRN O=C(NCCN1C[C@@H]2CCCCN2C1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001313057999 1074039060 /nfs/dbraw/zinc/03/90/60/1074039060.db2.gz SIQMVIRVNVTMGS-AWEZNQCLSA-N 0 0 425.515 -0.284 20 0 IBADRN O=C(NCCN1C[C@H]2CCCCN2C1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001313058002 1074038987 /nfs/dbraw/zinc/03/89/87/1074038987.db2.gz SIQMVIRVNVTMGS-CQSZACIVSA-N 0 0 425.515 -0.284 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCc3ccc(F)c(S(N)(=O)=O)c3)CC2)CCOC1 ZINC001313072077 1074038952 /nfs/dbraw/zinc/03/89/52/1074038952.db2.gz OBLYVLJBGAZNFM-GOSISDBHSA-N 0 0 444.485 -0.368 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCc3ccc(F)c(S(N)(=O)=O)c3)CC2)CCOC1 ZINC001313072078 1074038842 /nfs/dbraw/zinc/03/88/42/1074038842.db2.gz OBLYVLJBGAZNFM-SFHVURJKSA-N 0 0 444.485 -0.368 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)NCc1ccc(F)c(S(N)(=O)=O)c1 ZINC001313072098 1074038876 /nfs/dbraw/zinc/03/88/76/1074038876.db2.gz OQKUZGUNZWYEKS-GOSISDBHSA-N 0 0 430.502 -0.112 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)NCc1ccc(F)c(S(N)(=O)=O)c1 ZINC001313072099 1074039003 /nfs/dbraw/zinc/03/90/03/1074039003.db2.gz OQKUZGUNZWYEKS-SFHVURJKSA-N 0 0 430.502 -0.112 20 0 IBADRN NS(=O)(=O)c1cc(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)ccc1F ZINC001313072224 1074038850 /nfs/dbraw/zinc/03/88/50/1074038850.db2.gz PHIMCQIHDZFEHZ-UHFFFAOYSA-N 0 0 429.474 -0.248 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(F)c(S(N)(=O)=O)c2)CC1 ZINC001313072278 1074038939 /nfs/dbraw/zinc/03/89/39/1074038939.db2.gz QWEVWFITFAUORS-UHFFFAOYSA-N 0 0 431.490 -0.937 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC[C@@H](n2cccn2)C(F)(F)F)CC1 ZINC001313076715 1074038908 /nfs/dbraw/zinc/03/89/08/1074038908.db2.gz SMIPGHRJZYFVEM-GFCCVEGCSA-N 0 0 430.387 -0.011 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NC[C@H](n2cccn2)C(F)(F)F)CC1 ZINC001313076798 1074038934 /nfs/dbraw/zinc/03/89/34/1074038934.db2.gz SMIPGHRJZYFVEM-LBPRGKRZSA-N 0 0 430.387 -0.011 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)n1 ZINC001313098687 1074039034 /nfs/dbraw/zinc/03/90/34/1074039034.db2.gz MZPOFEMGVFMLQF-CYBMUJFWSA-N 0 0 445.546 -0.515 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)n1 ZINC001313098688 1074038858 /nfs/dbraw/zinc/03/88/58/1074038858.db2.gz MZPOFEMGVFMLQF-ZDUSSCGKSA-N 0 0 445.546 -0.515 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC001313098714 1074038901 /nfs/dbraw/zinc/03/89/01/1074038901.db2.gz NYCQCFBFNVJCQD-UHFFFAOYSA-N 0 0 443.551 -0.737 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)NC1CN(S(=O)(=O)N(C)C)C1)C2 ZINC001313099353 1074038926 /nfs/dbraw/zinc/03/89/26/1074038926.db2.gz RCESVCFYEQTILI-UHFFFAOYSA-N 0 0 431.540 -0.847 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001313099359 1074039446 /nfs/dbraw/zinc/03/94/46/1074039446.db2.gz REAMELUQBKDUAZ-UHFFFAOYSA-N 0 0 445.567 -0.417 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001313102429 1074039423 /nfs/dbraw/zinc/03/94/23/1074039423.db2.gz MUPCYXNPUVVOOS-GOSISDBHSA-N 0 0 445.520 -0.160 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001313102430 1074039312 /nfs/dbraw/zinc/03/93/12/1074039312.db2.gz MUPCYXNPUVVOOS-SFHVURJKSA-N 0 0 445.520 -0.160 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCNC(=O)[C@H]1c1c(C)nc2ccccn21 ZINC001313104443 1074039463 /nfs/dbraw/zinc/03/94/63/1074039463.db2.gz MRFZRLJEQHOPFN-HEHGZKQESA-N 0 0 430.465 -0.109 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCNC(=O)[C@@H]1c1c(C)nc2ccccn21 ZINC001313104444 1074039398 /nfs/dbraw/zinc/03/93/98/1074039398.db2.gz MRFZRLJEQHOPFN-XEZPLFJOSA-N 0 0 430.465 -0.109 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)OC)C2 ZINC001313104837 1074039342 /nfs/dbraw/zinc/03/93/42/1074039342.db2.gz OSBLXCBOMQSTPW-RYUDHWBXSA-N 0 0 426.495 -0.271 20 0 IBADRN COC(=O)COCCNC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC001313114999 1074039453 /nfs/dbraw/zinc/03/94/53/1074039453.db2.gz BWKUFSIULNLLCD-UHFFFAOYSA-N 0 0 445.494 -0.091 20 0 IBADRN COC(=O)COCCNC(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC001313116648 1074039415 /nfs/dbraw/zinc/03/94/15/1074039415.db2.gz KOFMLWRBNCLBGJ-UHFFFAOYSA-N 0 0 425.467 -0.232 20 0 IBADRN COC(=O)COCCNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001313116681 1074039389 /nfs/dbraw/zinc/03/93/89/1074039389.db2.gz LLDDQKBDTFTPCW-UHFFFAOYSA-N 0 0 429.495 -0.163 20 0 IBADRN COc1cc(CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)ccn1 ZINC001313117934 1074039431 /nfs/dbraw/zinc/03/94/31/1074039431.db2.gz UYWRTPIXYZHRTO-UHFFFAOYSA-N 0 0 426.543 -0.548 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001313126135 1074039406 /nfs/dbraw/zinc/03/94/06/1074039406.db2.gz VKAWADMKCRLRJP-UHFFFAOYSA-N 0 0 429.524 -0.598 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001313126198 1074039476 /nfs/dbraw/zinc/03/94/76/1074039476.db2.gz WEMNKRKKQUWNCH-UHFFFAOYSA-N 0 0 427.531 -0.916 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@H](C)C2)CC1 ZINC001313128366 1074039370 /nfs/dbraw/zinc/03/93/70/1074039370.db2.gz HWFREPHHOATIBO-CALCHBBNSA-N 0 0 439.557 -0.113 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(CC(=O)N2C[C@H](C)C[C@@H](C)C2)CC1 ZINC001313128368 1074039501 /nfs/dbraw/zinc/03/95/01/1074039501.db2.gz HWFREPHHOATIBO-IAGOWNOFSA-N 0 0 439.557 -0.113 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(CC(=O)N2C[C@@H](C)C[C@H](C)C2)CC1 ZINC001313128370 1074039363 /nfs/dbraw/zinc/03/93/63/1074039363.db2.gz HWFREPHHOATIBO-IRXDYDNUSA-N 0 0 439.557 -0.113 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC001313129457 1074039334 /nfs/dbraw/zinc/03/93/34/1074039334.db2.gz UGPZYVXOXTYGTO-UHFFFAOYSA-N 0 0 425.530 -0.214 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NC[C@H]2COCCN2C(=O)OC(C)(C)C)CC1 ZINC001313132168 1074039322 /nfs/dbraw/zinc/03/93/22/1074039322.db2.gz LFOCSUXTULMECN-AWEZNQCLSA-N 0 0 435.547 -0.244 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NC[C@@H]2COCCN2C(=O)OC(C)(C)C)CC1 ZINC001313132169 1074039469 /nfs/dbraw/zinc/03/94/69/1074039469.db2.gz LFOCSUXTULMECN-CQSZACIVSA-N 0 0 435.547 -0.244 20 0 IBADRN Cn1c2cc(CNC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2oc1=O ZINC001313133193 1074039353 /nfs/dbraw/zinc/03/93/53/1074039353.db2.gz FLDWYLDAIGUGPO-UHFFFAOYSA-N 0 0 429.433 -0.366 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1c(Br)cnn1C)C2 ZINC001313143024 1074039893 /nfs/dbraw/zinc/03/98/93/1074039893.db2.gz IOBDVLKNMSSQTG-UHFFFAOYSA-N 0 0 441.290 -0.646 20 0 IBADRN CN1CCOC[C@@H]1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001313154353 1074039839 /nfs/dbraw/zinc/03/98/39/1074039839.db2.gz QWNKSLJODCONTB-HNNXBMFYSA-N 0 0 437.522 -0.472 20 0 IBADRN CN1CCOC[C@H]1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001313154357 1074039765 /nfs/dbraw/zinc/03/97/65/1074039765.db2.gz QWNKSLJODCONTB-OAHLLOKOSA-N 0 0 437.522 -0.472 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NC2CCN(CC(=O)OC(C)(C)C)CC2)CC1 ZINC001313163049 1074039803 /nfs/dbraw/zinc/03/98/03/1074039803.db2.gz MATQXJGFLPXDSA-UHFFFAOYSA-N 0 0 433.575 -0.074 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CCO1 ZINC001313165829 1074039871 /nfs/dbraw/zinc/03/98/71/1074039871.db2.gz CPOIHZMCNFIUGI-PMACEKPBSA-N 0 0 443.497 -0.617 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(C(=O)NC[C@]23COCCN2C(=O)COC3)CCO1 ZINC001313165830 1074039786 /nfs/dbraw/zinc/03/97/86/1074039786.db2.gz CPOIHZMCNFIUGI-UXHICEINSA-N 0 0 443.497 -0.617 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CCO1 ZINC001313165831 1074039848 /nfs/dbraw/zinc/03/98/48/1074039848.db2.gz CPOIHZMCNFIUGI-VQTJNVASSA-N 0 0 443.497 -0.617 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(C(=O)NC[C@]23COCCN2C(=O)COC3)CCO1 ZINC001313165832 1074039907 /nfs/dbraw/zinc/03/99/07/1074039907.db2.gz CPOIHZMCNFIUGI-WOJBJXKFSA-N 0 0 443.497 -0.617 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC001313165899 1074039900 /nfs/dbraw/zinc/03/99/00/1074039900.db2.gz DEPXBXLHABNNGY-GOSISDBHSA-N 0 0 430.527 -0.786 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC001313165961 1074039914 /nfs/dbraw/zinc/03/99/14/1074039914.db2.gz DEPXBXLHABNNGY-SFHVURJKSA-N 0 0 430.527 -0.786 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001313166171 1074039744 /nfs/dbraw/zinc/03/97/44/1074039744.db2.gz FWTPZGTWHNJCPS-IBGZPJMESA-N 0 0 440.522 -0.495 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001313166172 1074039774 /nfs/dbraw/zinc/03/97/74/1074039774.db2.gz FWTPZGTWHNJCPS-LJQANCHMSA-N 0 0 440.522 -0.495 20 0 IBADRN CC(C)(C)OC(=O)N[C@@]1(CO)CCCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001313166175 1074039923 /nfs/dbraw/zinc/03/99/23/1074039923.db2.gz GARPLVVLNAWULV-PMACEKPBSA-N 0 0 442.513 -0.325 20 0 IBADRN CC(C)(C)OC(=O)N[C@]1(CO)CCCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001313166176 1074039931 /nfs/dbraw/zinc/03/99/31/1074039931.db2.gz GARPLVVLNAWULV-UXHICEINSA-N 0 0 442.513 -0.325 20 0 IBADRN CC(C)(C)OC(=O)N[C@@]1(CO)CCCN(C(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001313166177 1074039879 /nfs/dbraw/zinc/03/98/79/1074039879.db2.gz GARPLVVLNAWULV-VQTJNVASSA-N 0 0 442.513 -0.325 20 0 IBADRN CC(C)(C)OC(=O)N[C@]1(CO)CCCN(C(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001313166178 1074039757 /nfs/dbraw/zinc/03/97/57/1074039757.db2.gz GARPLVVLNAWULV-WOJBJXKFSA-N 0 0 442.513 -0.325 20 0 IBADRN CS(=O)(=O)[C@@H]1CN(C(=O)NC[C@@]23COCCN2C(=O)COC3)C[C@H]1C(F)(F)F ZINC001313166448 1074039886 /nfs/dbraw/zinc/03/98/86/1074039886.db2.gz JPYKFDUMRKPQQT-GYSYKLTISA-N 0 0 429.417 -0.769 20 0 IBADRN CS(=O)(=O)[C@@H]1CN(C(=O)NC[C@]23COCCN2C(=O)COC3)C[C@H]1C(F)(F)F ZINC001313166449 1074039927 /nfs/dbraw/zinc/03/99/27/1074039927.db2.gz JPYKFDUMRKPQQT-JTNHKYCSSA-N 0 0 429.417 -0.769 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001313166828 1074039857 /nfs/dbraw/zinc/03/98/57/1074039857.db2.gz MUWOVQYLBNFJOS-AWEZNQCLSA-N 0 0 449.249 -0.548 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001313166854 1074040298 /nfs/dbraw/zinc/04/02/98/1074040298.db2.gz MUWOVQYLBNFJOS-CQSZACIVSA-N 0 0 449.249 -0.548 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)NC[C@@]34COCCN3C(=O)COC4)CC2)ccn1 ZINC001313167178 1074040232 /nfs/dbraw/zinc/04/02/32/1074040232.db2.gz PEMOVFHQHASFDB-FQEVSTJZSA-N 0 0 433.465 -0.639 20 0 IBADRN NC(=O)c1cc(OC2CCN(C(=O)NC[C@]34COCCN3C(=O)COC4)CC2)ccn1 ZINC001313167194 1074040192 /nfs/dbraw/zinc/04/01/92/1074040192.db2.gz PEMOVFHQHASFDB-HXUWFJFHSA-N 0 0 433.465 -0.639 20 0 IBADRN O=C(CNC(=O)NC[C@@]12COCCN1C(=O)COC2)Nc1ccc(-n2cccn2)cc1 ZINC001313168307 1074040120 /nfs/dbraw/zinc/04/01/20/1074040120.db2.gz SSBZMTFUNUZNLR-FQEVSTJZSA-N 0 0 428.449 -0.262 20 0 IBADRN O=C(CNC(=O)NC[C@]12COCCN1C(=O)COC2)Nc1ccc(-n2cccn2)cc1 ZINC001313168311 1074040258 /nfs/dbraw/zinc/04/02/58/1074040258.db2.gz SSBZMTFUNUZNLR-HXUWFJFHSA-N 0 0 428.449 -0.262 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1)Nc1ccc(F)cc1 ZINC001313169533 1074040182 /nfs/dbraw/zinc/04/01/82/1074040182.db2.gz XZAUUEARYVIQMN-NRFANRHFSA-N 0 0 449.483 -0.281 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1)Nc1ccc(F)cc1 ZINC001313169541 1074040096 /nfs/dbraw/zinc/04/00/96/1074040096.db2.gz XZAUUEARYVIQMN-OAQYLSRUSA-N 0 0 449.483 -0.281 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001313169703 1074040131 /nfs/dbraw/zinc/04/01/31/1074040131.db2.gz YOIKDCOXPPGICP-GDZNZVCISA-N 0 0 434.415 -0.334 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001313169710 1074040087 /nfs/dbraw/zinc/04/00/87/1074040087.db2.gz YOIKDCOXPPGICP-IIYDPXPESA-N 0 0 434.415 -0.334 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001313169712 1074040306 /nfs/dbraw/zinc/04/03/06/1074040306.db2.gz YOIKDCOXPPGICP-OGHNNQOOSA-N 0 0 434.415 -0.334 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001313169715 1074040222 /nfs/dbraw/zinc/04/02/22/1074040222.db2.gz YOIKDCOXPPGICP-PBFPGSCMSA-N 0 0 434.415 -0.334 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)NC[C@]23COCCN2C(=O)COC3)CCO1 ZINC001313169772 1074040163 /nfs/dbraw/zinc/04/01/63/1074040163.db2.gz YWQRHFDCLIAQMJ-AUSJPIAWSA-N 0 0 442.513 -0.062 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CCO1 ZINC001313169776 1074040108 /nfs/dbraw/zinc/04/01/08/1074040108.db2.gz YWQRHFDCLIAQMJ-AVYPCKFXSA-N 0 0 442.513 -0.062 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)NC[C@]23COCCN2C(=O)COC3)CCO1 ZINC001313169778 1074040173 /nfs/dbraw/zinc/04/01/73/1074040173.db2.gz YWQRHFDCLIAQMJ-BXTJHSDWSA-N 0 0 442.513 -0.062 20 0 IBADRN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CCO1 ZINC001313169780 1074040286 /nfs/dbraw/zinc/04/02/86/1074040286.db2.gz YWQRHFDCLIAQMJ-MDOVXXIYSA-N 0 0 442.513 -0.062 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@]23COC(=O)N2CCOC3)CC1)NCc1ccccc1 ZINC001313179511 1074040272 /nfs/dbraw/zinc/04/02/72/1074040272.db2.gz IXLMEBWYAKUFSP-NRFANRHFSA-N 0 0 431.493 -0.149 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@]23COC(=O)N2CCOC3)CC1)NCc1ccccc1 ZINC001313179558 1074040319 /nfs/dbraw/zinc/04/03/19/1074040319.db2.gz IXLMEBWYAKUFSP-OAQYLSRUSA-N 0 0 431.493 -0.149 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCc2nc3c(c(=O)[nH]2)COCC3)CC1 ZINC001313188282 1074040138 /nfs/dbraw/zinc/04/01/38/1074040138.db2.gz MUQDJPGMQWEQPN-AWEZNQCLSA-N 0 0 436.513 -0.377 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCc2nc3c(c(=O)[nH]2)COCC3)CC1 ZINC001313188283 1074040240 /nfs/dbraw/zinc/04/02/40/1074040240.db2.gz MUQDJPGMQWEQPN-CQSZACIVSA-N 0 0 436.513 -0.377 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)NC[C@H]2COCO2)CC1 ZINC001313189861 1074040210 /nfs/dbraw/zinc/04/02/10/1074040210.db2.gz UCKZBOSALHLHEG-AWEZNQCLSA-N 0 0 447.535 -0.213 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)NC[C@@H]2COCO2)CC1 ZINC001313189864 1074040760 /nfs/dbraw/zinc/04/07/60/1074040760.db2.gz UCKZBOSALHLHEG-CQSZACIVSA-N 0 0 447.535 -0.213 20 0 IBADRN O=C(NC[C@H]1COCO1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001313189878 1074040615 /nfs/dbraw/zinc/04/06/15/1074040615.db2.gz UMJOXLDRSIWEJP-HNNXBMFYSA-N 0 0 441.510 -0.693 20 0 IBADRN O=C(NC[C@@H]1COCO1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001313189880 1074040666 /nfs/dbraw/zinc/04/06/66/1074040666.db2.gz UMJOXLDRSIWEJP-OAHLLOKOSA-N 0 0 441.510 -0.693 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCCC34OCCO4)CC2)C[C@@H](C)O1 ZINC001313191542 1074040712 /nfs/dbraw/zinc/04/07/12/1074040712.db2.gz RVCKQLQORLEDIF-BZUAXINKSA-N 0 0 432.543 -0.037 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCCC34OCCO4)CC2)C[C@@H](C)O1 ZINC001313191548 1074040624 /nfs/dbraw/zinc/04/06/24/1074040624.db2.gz RVCKQLQORLEDIF-OAGGEKHMSA-N 0 0 432.543 -0.037 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCCC34OCCO4)CC2)C[C@H](C)O1 ZINC001313191549 1074040690 /nfs/dbraw/zinc/04/06/90/1074040690.db2.gz RVCKQLQORLEDIF-OWCLPIDISA-N 0 0 432.543 -0.037 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCCC34OCCO4)CC2)C[C@H](C)O1 ZINC001313191550 1074040650 /nfs/dbraw/zinc/04/06/50/1074040650.db2.gz RVCKQLQORLEDIF-XHSDSOJGSA-N 0 0 432.543 -0.037 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@H](NC(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001313191789 1074040520 /nfs/dbraw/zinc/04/05/20/1074040520.db2.gz ZGTAVFBJXRAOAZ-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@@H](NC(=O)N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001313191793 1074040703 /nfs/dbraw/zinc/04/07/03/1074040703.db2.gz ZGTAVFBJXRAOAZ-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN Cn1ncc(I)c1CNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001313193690 1074040656 /nfs/dbraw/zinc/04/06/56/1074040656.db2.gz BADWPLNXHCLPON-MRVPVSSYSA-N 0 0 440.267 -0.932 20 0 IBADRN Cn1ncc(I)c1CNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001313193691 1074040561 /nfs/dbraw/zinc/04/05/61/1074040561.db2.gz BADWPLNXHCLPON-QMMMGPOBSA-N 0 0 440.267 -0.932 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NCCS(=O)(=O)NC1CC1 ZINC001313196365 1074040727 /nfs/dbraw/zinc/04/07/27/1074040727.db2.gz KULMNVLBOOBMCH-UHFFFAOYSA-N 0 0 427.268 -0.094 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NCc2c(I)cnn2C)C1 ZINC001313196464 1074040679 /nfs/dbraw/zinc/04/06/79/1074040679.db2.gz LDZDSKIXODNOPA-MRVPVSSYSA-N 0 0 427.268 -0.142 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NCc2c(I)cnn2C)C1 ZINC001313196481 1074040592 /nfs/dbraw/zinc/04/05/92/1074040592.db2.gz LDZDSKIXODNOPA-QMMMGPOBSA-N 0 0 427.268 -0.142 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCC4N=NC(=O)N4C)CC3)C2=O)n(C)n1 ZINC001313201603 1074040498 /nfs/dbraw/zinc/04/04/98/1074040498.db2.gz ZOVCSUORBAZQDH-AWEZNQCLSA-N 0 0 431.501 -0.415 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCC4N=NC(=O)N4C)CC3)C2=O)n(C)n1 ZINC001313201604 1074040636 /nfs/dbraw/zinc/04/06/36/1074040636.db2.gz ZOVCSUORBAZQDH-CQSZACIVSA-N 0 0 431.501 -0.415 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001313204611 1074040570 /nfs/dbraw/zinc/04/05/70/1074040570.db2.gz BONSAILTTGQUQC-HNNXBMFYSA-N 0 0 439.604 -0.860 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001313204612 1074040742 /nfs/dbraw/zinc/04/07/42/1074040742.db2.gz BONSAILTTGQUQC-OAHLLOKOSA-N 0 0 439.604 -0.860 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)NCc2nnc3c(=O)n(C)ccn23)cc1 ZINC001313207521 1074041248 /nfs/dbraw/zinc/04/12/48/1074041248.db2.gz LAKJGOQCSARCAZ-UHFFFAOYSA-N 0 0 433.494 -0.144 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCN(C(=O)COc4ccc(F)cc4)CC3)nnc2c1=O ZINC001313207891 1074041240 /nfs/dbraw/zinc/04/12/40/1074041240.db2.gz NIJADHPAKSRWHW-UHFFFAOYSA-N 0 0 443.439 0.000 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCN(CC(=O)NC4CCCCC4)CC3)nnc2c1=O ZINC001313208530 1074041063 /nfs/dbraw/zinc/04/10/63/1074041063.db2.gz OYWCYJCFRQFWSM-UHFFFAOYSA-N 0 0 430.513 -0.296 20 0 IBADRN CS(=O)(=O)c1ccc([C@@H]2CN(C(=O)NCc3nnc4n3CCNC4=O)CCO2)cc1 ZINC001313214888 1074041028 /nfs/dbraw/zinc/04/10/28/1074041028.db2.gz CMOUTLXIIXRWNO-AWEZNQCLSA-N 0 0 434.478 -0.292 20 0 IBADRN CS(=O)(=O)c1ccc([C@H]2CN(C(=O)NCc3nnc4n3CCNC4=O)CCO2)cc1 ZINC001313214889 1074041002 /nfs/dbraw/zinc/04/10/02/1074041002.db2.gz CMOUTLXIIXRWNO-CQSZACIVSA-N 0 0 434.478 -0.292 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC001313215314 1074041011 /nfs/dbraw/zinc/04/10/11/1074041011.db2.gz DWAMPZJNIKVKED-UHFFFAOYSA-N 0 0 433.494 -0.372 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)NCc1nnc3n1CCNC3=O)C2 ZINC001313216671 1074041078 /nfs/dbraw/zinc/04/10/78/1074041078.db2.gz QNBHNVKOTYGQHS-UHFFFAOYSA-N 0 0 433.494 -0.460 20 0 IBADRN O=C(CN1CCN(C(=O)NCc2nnc3n2CCNC3=O)CC1)Nc1ccccc1F ZINC001313217658 1074041244 /nfs/dbraw/zinc/04/12/44/1074041244.db2.gz YEPBHELEHMQBAT-UHFFFAOYSA-N 0 0 430.444 -0.374 20 0 IBADRN O=C(COc1ccc(F)cc1)N1CCN(C(=O)NCc2nnc3n2CCNC3=O)CC1 ZINC001313217734 1074041231 /nfs/dbraw/zinc/04/12/31/1074041231.db2.gz ZBKLXQQEVNDWPT-UHFFFAOYSA-N 0 0 431.428 -0.407 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCC2(S(=O)(=O)NC(C)(C)C)CC2)CC1 ZINC001313218196 1074041212 /nfs/dbraw/zinc/04/12/12/1074041212.db2.gz DVYZKCWITZMIKV-UHFFFAOYSA-N 0 0 425.577 -0.630 20 0 IBADRN CO[C@H]1C[C@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC001313227871 1074041180 /nfs/dbraw/zinc/04/11/80/1074041180.db2.gz JLCVWDWCOGWTBK-HDJSIYSDSA-N 0 0 446.551 -0.225 20 0 IBADRN O=C(N[C@H]1CCOC1=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001313229084 1074041206 /nfs/dbraw/zinc/04/12/06/1074041206.db2.gz XQJYYBLDUBIXFP-HNNXBMFYSA-N 0 0 439.494 -0.750 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCc4nc(N(C)C)n[nH]4)CC3)C2=O)n(C)n1 ZINC001313230447 1074041548 /nfs/dbraw/zinc/04/15/48/1074041548.db2.gz WAIXLTGODOSZKD-HNNXBMFYSA-N 0 0 444.544 -0.065 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCc4nc(N(C)C)n[nH]4)CC3)C2=O)n(C)n1 ZINC001313230449 1074041375 /nfs/dbraw/zinc/04/13/75/1074041375.db2.gz WAIXLTGODOSZKD-OAHLLOKOSA-N 0 0 444.544 -0.065 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3C[C@H](C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC001313238080 1074041533 /nfs/dbraw/zinc/04/15/33/1074041533.db2.gz YHQCUBDJUWSYNR-WKILWMFISA-N 0 0 445.586 -0.074 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3CC4(C3)OCCO4)CC2)C[C@H](C)O1 ZINC001313259718 1074041419 /nfs/dbraw/zinc/04/14/19/1074041419.db2.gz ONAVNEZYUVIFOK-GASCZTMLSA-N 0 0 432.543 -0.179 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3CC4(C3)OCCO4)CC2)C[C@H](C)O1 ZINC001313259737 1074041397 /nfs/dbraw/zinc/04/13/97/1074041397.db2.gz ONAVNEZYUVIFOK-GJZGRUSLSA-N 0 0 432.543 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCC3CC4(C3)OCCO4)CC2)C[C@@H](C)O1 ZINC001313259740 1074041453 /nfs/dbraw/zinc/04/14/53/1074041453.db2.gz ONAVNEZYUVIFOK-HUUCEWRRSA-N 0 0 432.543 -0.179 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCN(c2nccnn2)CC1 ZINC001313270526 1074041495 /nfs/dbraw/zinc/04/14/95/1074041495.db2.gz ASBULVJQVLIGKZ-UHFFFAOYSA-N 0 0 426.481 -0.522 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001313270600 1074041386 /nfs/dbraw/zinc/04/13/86/1074041386.db2.gz BSRWTCUNNIUHPE-GOSISDBHSA-N 0 0 443.508 -0.108 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001313270602 1074041483 /nfs/dbraw/zinc/04/14/83/1074041483.db2.gz BSRWTCUNNIUHPE-SFHVURJKSA-N 0 0 443.508 -0.108 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)C1 ZINC001313271133 1074041518 /nfs/dbraw/zinc/04/15/18/1074041518.db2.gz IMNBDDMJUSOWCA-INIZCTEOSA-N 0 0 439.538 -0.516 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)C1 ZINC001313271134 1074041440 /nfs/dbraw/zinc/04/14/40/1074041440.db2.gz IMNBDDMJUSOWCA-MRXNPFEDSA-N 0 0 439.538 -0.516 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)NCCCN1C(=O)NC(C)(C)C1=O ZINC001313271246 1074041554 /nfs/dbraw/zinc/04/15/54/1074041554.db2.gz JSMIUOYVLKNZDU-UHFFFAOYSA-N 0 0 446.508 -0.219 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)CS(C)(=O)=O)CC2)cn1C ZINC001313271769 1074041410 /nfs/dbraw/zinc/04/14/10/1074041410.db2.gz WIOOPTUIMKHRDP-UHFFFAOYSA-N 0 0 435.572 -0.185 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC001313272021 1074041508 /nfs/dbraw/zinc/04/15/08/1074041508.db2.gz OPESLJCWYJODLN-UHFFFAOYSA-N 0 0 432.525 -0.776 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCC(C(=O)NCCCO)CC1 ZINC001313272452 1074041525 /nfs/dbraw/zinc/04/15/25/1074041525.db2.gz VXDCCUMWYSWUNB-UHFFFAOYSA-N 0 0 447.536 -0.319 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001313272475 1074041788 /nfs/dbraw/zinc/04/17/88/1074041788.db2.gz WSQBXBODGSGMNB-UHFFFAOYSA-N 0 0 441.492 -0.447 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC12COC2 ZINC001313272698 1074041827 /nfs/dbraw/zinc/04/18/27/1074041827.db2.gz DGHZSRBLNRINJO-INIZCTEOSA-N 0 0 432.477 -0.360 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC12COC2 ZINC001313272700 1074041931 /nfs/dbraw/zinc/04/19/31/1074041931.db2.gz DGHZSRBLNRINJO-MRXNPFEDSA-N 0 0 432.477 -0.360 20 0 IBADRN Cn1cc(CN2CCN(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)CC2)cn1 ZINC001313272963 1074041774 /nfs/dbraw/zinc/04/17/74/1074041774.db2.gz HUTNGWLHGWBCCM-UHFFFAOYSA-N 0 0 427.509 -0.320 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NCc1ccc(CS(=O)(=O)N(C)C)cc1 ZINC001313273019 1074041838 /nfs/dbraw/zinc/04/18/38/1074041838.db2.gz PPTAJVPSYLWVOA-INIZCTEOSA-N 0 0 427.527 -0.083 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NCc1ccc(CS(=O)(=O)N(C)C)cc1 ZINC001313273020 1074041813 /nfs/dbraw/zinc/04/18/13/1074041813.db2.gz PPTAJVPSYLWVOA-MRXNPFEDSA-N 0 0 427.527 -0.083 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCc2ccc(CS(=O)(=O)N(C)C)cc2)CC1 ZINC001313273379 1074041779 /nfs/dbraw/zinc/04/17/79/1074041779.db2.gz ZTYIIMFMLYKANA-UHFFFAOYSA-N 0 0 433.556 -0.631 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)CC2)C1=O ZINC001313273572 1074041893 /nfs/dbraw/zinc/04/18/93/1074041893.db2.gz QQEOOJMNNMINJW-UHFFFAOYSA-N 0 0 430.465 -0.855 20 0 IBADRN O=C(CNC(=O)N1CCC2(CC1)C[C@H](O)CNC2=O)NCC(=O)NCc1ccccc1 ZINC001313273614 1074041756 /nfs/dbraw/zinc/04/17/56/1074041756.db2.gz SCDVJRDFSIKTGR-INIZCTEOSA-N 0 0 431.493 -0.908 20 0 IBADRN O=C(CNC(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O)NCC(=O)NCc1ccccc1 ZINC001313273615 1074041904 /nfs/dbraw/zinc/04/19/04/1074041904.db2.gz SCDVJRDFSIKTGR-MRXNPFEDSA-N 0 0 431.493 -0.908 20 0 IBADRN CN(C(=O)NCC(=O)NCC(=O)NCc1ccccc1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001313273731 1074041962 /nfs/dbraw/zinc/04/19/62/1074041962.db2.gz WVJXMUQQBBMIKS-HNNXBMFYSA-N 0 0 425.511 -0.906 20 0 IBADRN CN(C(=O)NCC(=O)NCC(=O)NCc1ccccc1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001313273732 1074041950 /nfs/dbraw/zinc/04/19/50/1074041950.db2.gz WVJXMUQQBBMIKS-OAHLLOKOSA-N 0 0 425.511 -0.906 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)NCCN2CCNC2=S)C1 ZINC001313274126 1074041941 /nfs/dbraw/zinc/04/19/41/1074041941.db2.gz FAXLXPCDOAZEIB-CYBMUJFWSA-N 0 0 449.599 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)NCCN2CCNC2=S)C1 ZINC001313274127 1074041800 /nfs/dbraw/zinc/04/18/00/1074041800.db2.gz FAXLXPCDOAZEIB-ZDUSSCGKSA-N 0 0 449.599 -0.100 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCC2(S(=O)(=O)NC(C)(C)C)CCC2)CC1 ZINC001313282632 1074041915 /nfs/dbraw/zinc/04/19/15/1074041915.db2.gz QJBUBXQSWUMCDA-UHFFFAOYSA-N 0 0 439.604 -0.145 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CCO2 ZINC001313283494 1074041869 /nfs/dbraw/zinc/04/18/69/1074041869.db2.gz CEUWIEWGYTZIDU-BATSVXPKSA-N 0 0 438.525 -0.327 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1CCN(C(=O)CN3CCOCC3)CC1)CCO2 ZINC001313283495 1074041859 /nfs/dbraw/zinc/04/18/59/1074041859.db2.gz CEUWIEWGYTZIDU-BQIYRTECSA-N 0 0 438.525 -0.327 20 0 IBADRN COC(=O)[C@@H](F)CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001313286612 1074041847 /nfs/dbraw/zinc/04/18/47/1074041847.db2.gz ZPSKVTFLNBDLPA-LBPRGKRZSA-N 0 0 444.441 -0.456 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC001313301058 1074041879 /nfs/dbraw/zinc/04/18/79/1074041879.db2.gz JDKJWWLFHQBZOY-AWEZNQCLSA-N 0 0 438.572 -0.754 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC001313301059 1074042314 /nfs/dbraw/zinc/04/23/14/1074042314.db2.gz JDKJWWLFHQBZOY-CQSZACIVSA-N 0 0 438.572 -0.754 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NCC2(S(=O)(=O)N(C)C)CC2)C1 ZINC001313301542 1074042349 /nfs/dbraw/zinc/04/23/49/1074042349.db2.gz MUXPMTPIEPIWEO-AWEZNQCLSA-N 0 0 440.588 -0.508 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NCC2(S(=O)(=O)N(C)C)CC2)C1 ZINC001313301544 1074042333 /nfs/dbraw/zinc/04/23/33/1074042333.db2.gz MUXPMTPIEPIWEO-CQSZACIVSA-N 0 0 440.588 -0.508 20 0 IBADRN Cn1ccn2c(CCNC(=O)N3CCC[C@H](CNS(=O)(=O)C4CC4)C3)nnc2c1=O ZINC001313302178 1074042185 /nfs/dbraw/zinc/04/21/85/1074042185.db2.gz LTAICAPTTNKWAC-CYBMUJFWSA-N 0 0 437.526 -0.526 20 0 IBADRN Cn1ccn2c(CCNC(=O)N3CCC[C@@H](CNS(=O)(=O)C4CC4)C3)nnc2c1=O ZINC001313302181 1074042207 /nfs/dbraw/zinc/04/22/07/1074042207.db2.gz LTAICAPTTNKWAC-ZDUSSCGKSA-N 0 0 437.526 -0.526 20 0 IBADRN Cn1ccn2c(CCNC(=O)NCc3c(F)cccc3NS(C)(=O)=O)nnc2c1=O ZINC001313302422 1074042263 /nfs/dbraw/zinc/04/22/63/1074042263.db2.gz OXRIAXJWNSFGMS-UHFFFAOYSA-N 0 0 437.457 -0.020 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)NCCc2nnc3c(=O)n(C)ccn23)CC1 ZINC001313302504 1074042357 /nfs/dbraw/zinc/04/23/57/1074042357.db2.gz PYOJBGZAIRCZEX-HNNXBMFYSA-N 0 0 444.540 -0.255 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)NCCc2nnc3c(=O)n(C)ccn23)CC1 ZINC001313302510 1074042339 /nfs/dbraw/zinc/04/23/39/1074042339.db2.gz PYOJBGZAIRCZEX-OAHLLOKOSA-N 0 0 444.540 -0.255 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCC2(S(=O)(=O)N(C)C)CC2)CC1 ZINC001313302634 1074042218 /nfs/dbraw/zinc/04/22/18/1074042218.db2.gz VMQOITNJAJETBF-UHFFFAOYSA-N 0 0 440.588 -0.508 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001313303557 1074042145 /nfs/dbraw/zinc/04/21/45/1074042145.db2.gz ZOGNUSNKDNDTFB-UHFFFAOYSA-N 0 0 430.552 -0.075 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)C1 ZINC001313310283 1074042166 /nfs/dbraw/zinc/04/21/66/1074042166.db2.gz FISBIVHIJCKWSL-CHWSQXEVSA-N 0 0 426.561 -0.384 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)C1 ZINC001313310284 1074042258 /nfs/dbraw/zinc/04/22/58/1074042258.db2.gz FISBIVHIJCKWSL-OLZOCXBDSA-N 0 0 426.561 -0.384 20 0 IBADRN COCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)C1 ZINC001313310285 1074042154 /nfs/dbraw/zinc/04/21/54/1074042154.db2.gz FISBIVHIJCKWSL-QWHCGFSZSA-N 0 0 426.561 -0.384 20 0 IBADRN COCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)C1 ZINC001313310286 1074042240 /nfs/dbraw/zinc/04/22/40/1074042240.db2.gz FISBIVHIJCKWSL-STQMWFEESA-N 0 0 426.561 -0.384 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)C(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001313310734 1074042302 /nfs/dbraw/zinc/04/23/02/1074042302.db2.gz LGXPRRLJGVEAHO-UHFFFAOYSA-N 0 0 430.508 -0.019 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)CC1 ZINC001313311315 1074042229 /nfs/dbraw/zinc/04/22/29/1074042229.db2.gz VHJMEVKAKUOGSK-CYBMUJFWSA-N 0 0 425.577 -0.714 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)CC1 ZINC001313311319 1074042287 /nfs/dbraw/zinc/04/22/87/1074042287.db2.gz VHJMEVKAKUOGSK-ZDUSSCGKSA-N 0 0 425.577 -0.714 20 0 IBADRN CO[C@@]1(CNC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CCS(=O)(=O)C1 ZINC001313314941 1074042194 /nfs/dbraw/zinc/04/21/94/1074042194.db2.gz JZSCFFKUNIXDSD-CYBMUJFWSA-N 0 0 428.389 -0.486 20 0 IBADRN CO[C@]1(CNC(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CCS(=O)(=O)C1 ZINC001313314943 1074042294 /nfs/dbraw/zinc/04/22/94/1074042294.db2.gz JZSCFFKUNIXDSD-ZDUSSCGKSA-N 0 0 428.389 -0.486 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001313315407 1074042630 /nfs/dbraw/zinc/04/26/30/1074042630.db2.gz OXJCBXRODZRJLL-UHFFFAOYSA-N 0 0 427.405 -0.312 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C1N=NC(=O)N1C ZINC001313317438 1074042636 /nfs/dbraw/zinc/04/26/36/1074042636.db2.gz XVEUGBBOVYRNHU-FRRDWIJNSA-N 0 0 431.519 -0.737 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C1N=NC(=O)N1C ZINC001313317439 1074042593 /nfs/dbraw/zinc/04/25/93/1074042593.db2.gz XVEUGBBOVYRNHU-JHJVBQTASA-N 0 0 431.519 -0.737 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C1N=NC(=O)N1C ZINC001313317440 1074042708 /nfs/dbraw/zinc/04/27/08/1074042708.db2.gz XVEUGBBOVYRNHU-UPJWGTAASA-N 0 0 431.519 -0.737 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C1N=NC(=O)N1C ZINC001313317441 1074042584 /nfs/dbraw/zinc/04/25/84/1074042584.db2.gz XVEUGBBOVYRNHU-XQQFMLRXSA-N 0 0 431.519 -0.737 20 0 IBADRN CO[C@]1(CNC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CCS(=O)(=O)C1 ZINC001313319453 1074042618 /nfs/dbraw/zinc/04/26/18/1074042618.db2.gz PVSCVVLCIMFOMC-INIZCTEOSA-N 0 0 441.572 -0.728 20 0 IBADRN CO[C@@]1(CNC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)CCS(=O)(=O)C1 ZINC001313319464 1074042695 /nfs/dbraw/zinc/04/26/95/1074042695.db2.gz PVSCVVLCIMFOMC-MRXNPFEDSA-N 0 0 441.572 -0.728 20 0 IBADRN CO[C@]1(CNC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CCS(=O)(=O)C1 ZINC001313320037 1074042600 /nfs/dbraw/zinc/04/26/00/1074042600.db2.gz UMOKYOKFJJZURV-FQEVSTJZSA-N 0 0 438.550 -0.166 20 0 IBADRN CO[C@@]1(CNC(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)CCS(=O)(=O)C1 ZINC001313320038 1074042625 /nfs/dbraw/zinc/04/26/25/1074042625.db2.gz UMOKYOKFJJZURV-HXUWFJFHSA-N 0 0 438.550 -0.166 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)NCCN1CCC2(C1)OCCO2)C1CCCC1 ZINC001313325997 1074042532 /nfs/dbraw/zinc/04/25/32/1074042532.db2.gz QPLKMNYKRZDJGW-INIZCTEOSA-N 0 0 433.575 -0.311 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)NCCN1CCC2(C1)OCCO2)C1CCCC1 ZINC001313326016 1074042641 /nfs/dbraw/zinc/04/26/41/1074042641.db2.gz QPLKMNYKRZDJGW-MRXNPFEDSA-N 0 0 433.575 -0.311 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3noc4c3COCC4)CC2)C[C@H](C)O1 ZINC001313337066 1074042611 /nfs/dbraw/zinc/04/26/11/1074042611.db2.gz CTAALKBDXOSOKR-KBPBESRZSA-N 0 0 443.526 -0.072 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3noc4c3COCC4)CC2)C[C@H](C)O1 ZINC001313337069 1074042508 /nfs/dbraw/zinc/04/25/08/1074042508.db2.gz CTAALKBDXOSOKR-OKILXGFUSA-N 0 0 443.526 -0.072 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3noc4c3COCC4)CC2)C[C@@H](C)O1 ZINC001313337072 1074042569 /nfs/dbraw/zinc/04/25/69/1074042569.db2.gz CTAALKBDXOSOKR-ZIAGYGMSSA-N 0 0 443.526 -0.072 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC3CCOCC3)CC2)C[C@H](C)O1 ZINC001313337182 1074042663 /nfs/dbraw/zinc/04/26/63/1074042663.db2.gz QLERZFHMDICRLM-HOTGVXAUSA-N 0 0 434.559 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC3CCOCC3)CC2)C[C@@H](C)O1 ZINC001313337189 1074042576 /nfs/dbraw/zinc/04/25/76/1074042576.db2.gz QLERZFHMDICRLM-HZPDHXFCSA-N 0 0 434.559 -0.137 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCCOC3CCOCC3)CC2)C[C@H](C)O1 ZINC001313337190 1074042606 /nfs/dbraw/zinc/04/26/06/1074042606.db2.gz QLERZFHMDICRLM-IYBDPMFKSA-N 0 0 434.559 -0.137 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)n1 ZINC001313345274 1074042673 /nfs/dbraw/zinc/04/26/73/1074042673.db2.gz HSQCEOAZXKLXHP-UHFFFAOYSA-N 0 0 441.558 -0.421 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001313347440 1074042559 /nfs/dbraw/zinc/04/25/59/1074042559.db2.gz XCMPNVJYUAGWQI-UHFFFAOYSA-N 0 0 435.528 -0.743 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CO1 ZINC001313365399 1074043050 /nfs/dbraw/zinc/04/30/50/1074043050.db2.gz HONXMXDYZJMYGI-GFCCVEGCSA-N 0 0 430.487 -0.024 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N3CCO[C@H](CC(N)=O)C3)CC2=O)C1 ZINC001313381874 1074043016 /nfs/dbraw/zinc/04/30/16/1074043016.db2.gz NSUOGEHLIXSIMX-GXTWGEPZSA-N 0 0 425.486 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N3CCO[C@@H](CC(N)=O)C3)CC2=O)C1 ZINC001313381875 1074043068 /nfs/dbraw/zinc/04/30/68/1074043068.db2.gz NSUOGEHLIXSIMX-JSGCOSHPSA-N 0 0 425.486 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N3CCO[C@@H](CC(N)=O)C3)CC2=O)C1 ZINC001313381876 1074042935 /nfs/dbraw/zinc/04/29/35/1074042935.db2.gz NSUOGEHLIXSIMX-OCCSQVGLSA-N 0 0 425.486 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N3CCO[C@H](CC(N)=O)C3)CC2=O)C1 ZINC001313381877 1074042899 /nfs/dbraw/zinc/04/28/99/1074042899.db2.gz NSUOGEHLIXSIMX-TZMCWYRMSA-N 0 0 425.486 -0.508 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N3CCN(S(C)(=O)=O)CC3)CC2=O)C1 ZINC001313382458 1074042965 /nfs/dbraw/zinc/04/29/65/1074042965.db2.gz XMVFRIJFUXSPEF-CYBMUJFWSA-N 0 0 445.542 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N3CCN(S(C)(=O)=O)CC3)CC2=O)C1 ZINC001313382459 1074043028 /nfs/dbraw/zinc/04/30/28/1074043028.db2.gz XMVFRIJFUXSPEF-ZDUSSCGKSA-N 0 0 445.542 -0.507 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N3CC[C@H]4OCC(=O)N[C@@H]4C3)CC2=O)C1 ZINC001313383328 1074043078 /nfs/dbraw/zinc/04/30/78/1074043078.db2.gz VKAOAYKVSHPUKO-BPLDGKMQSA-N 0 0 437.497 -0.495 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N3CC[C@H]4OCC(=O)N[C@@H]4C3)CC2=O)C1 ZINC001313383330 1074043020 /nfs/dbraw/zinc/04/30/20/1074043020.db2.gz VKAOAYKVSHPUKO-NWANDNLSSA-N 0 0 437.497 -0.495 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N3C[C@@H]4CS(=O)(=O)C[C@@H]4C3)CC2=O)C1 ZINC001313383393 1074042993 /nfs/dbraw/zinc/04/29/93/1074042993.db2.gz VYYVWBNSTRDCPA-HZSPNIEDSA-N 0 0 442.538 -0.107 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N3C[C@@H]4CS(=O)(=O)C[C@@H]4C3)CC2=O)C1 ZINC001313383396 1074043103 /nfs/dbraw/zinc/04/31/03/1074043103.db2.gz VYYVWBNSTRDCPA-MJBXVCDLSA-N 0 0 442.538 -0.107 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1CC[C@@](OC)(C(=O)OC)C1)CCS2(=O)=O ZINC001313389846 1074042985 /nfs/dbraw/zinc/04/29/85/1074042985.db2.gz DEDXAGAHCUMNAA-CWURRWRDSA-N 0 0 432.495 -0.141 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1CC[C@](OC)(C(=O)OC)C1)CCS2(=O)=O ZINC001313389847 1074042881 /nfs/dbraw/zinc/04/28/81/1074042881.db2.gz DEDXAGAHCUMNAA-DCMVPRFGSA-N 0 0 432.495 -0.141 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1CC[C@](OC)(C(=O)OC)C1)CCS2(=O)=O ZINC001313389848 1074043039 /nfs/dbraw/zinc/04/30/39/1074043039.db2.gz DEDXAGAHCUMNAA-QYDDSMEGSA-N 0 0 432.495 -0.141 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1CC[C@@](OC)(C(=O)OC)C1)CCS2(=O)=O ZINC001313389849 1074043059 /nfs/dbraw/zinc/04/30/59/1074043059.db2.gz DEDXAGAHCUMNAA-YWDZKUMVSA-N 0 0 432.495 -0.141 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N(CCN(C)C)CC(=O)N(C)C)CCS2(=O)=O ZINC001313389969 1074042912 /nfs/dbraw/zinc/04/29/12/1074042912.db2.gz GLXKMVXOUXWFJF-HVEKOLDVSA-N 0 0 446.570 -0.453 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N(CCN(C)C)CC(=O)N(C)C)CCS2(=O)=O ZINC001313389970 1074043088 /nfs/dbraw/zinc/04/30/88/1074043088.db2.gz GLXKMVXOUXWFJF-XAUUPUKJSA-N 0 0 446.570 -0.453 20 0 IBADRN COCC(=O)N1CCN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001313390006 1074043403 /nfs/dbraw/zinc/04/34/03/1074043403.db2.gz HGOAETREVUACRP-FVRSWCFKSA-N 0 0 431.511 -0.614 20 0 IBADRN COCC(=O)N1CCN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001313390007 1074043316 /nfs/dbraw/zinc/04/33/16/1074043316.db2.gz HGOAETREVUACRP-TXKKKEFDSA-N 0 0 431.511 -0.614 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1C[C@H]3CS(=O)(=O)C[C@@]3(C)C1)CCS2(=O)=O ZINC001313390014 1074043269 /nfs/dbraw/zinc/04/32/69/1074043269.db2.gz HMBBQBWUWVTXLY-SIXZSSQHSA-N 0 0 448.563 -0.039 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1C[C@H]3CS(=O)(=O)C[C@@]3(C)C1)CCS2(=O)=O ZINC001313390015 1074043473 /nfs/dbraw/zinc/04/34/73/1074043473.db2.gz HMBBQBWUWVTXLY-XWYVEIJYSA-N 0 0 448.563 -0.039 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1CC[C@H]3OCC(=O)N[C@@H]3C1)CCS2(=O)=O ZINC001313390169 1074043441 /nfs/dbraw/zinc/04/34/41/1074043441.db2.gz IQXVKNZQQLRSDH-AJJWCWOSSA-N 0 0 429.495 -0.816 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1CC[C@H]3OCC(=O)N[C@@H]3C1)CCS2(=O)=O ZINC001313390170 1074043383 /nfs/dbraw/zinc/04/33/83/1074043383.db2.gz IQXVKNZQQLRSDH-NPGPETNGSA-N 0 0 429.495 -0.816 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N(C)CCNS(C)(=O)=O)CCS2(=O)=O ZINC001313390674 1074043351 /nfs/dbraw/zinc/04/33/51/1074043351.db2.gz OBGUARDZVSTITK-AVERBVTBSA-N 0 0 425.529 -0.924 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N(C)CCNS(C)(=O)=O)CCS2(=O)=O ZINC001313390675 1074043432 /nfs/dbraw/zinc/04/34/32/1074043432.db2.gz OBGUARDZVSTITK-MQYJIDSJSA-N 0 0 425.529 -0.924 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1C[C@H](O)C[C@@H](C(=O)OC)C1)CCS2(=O)=O ZINC001313390897 1074043425 /nfs/dbraw/zinc/04/34/25/1074043425.db2.gz QGHNCAPLKCQXTM-ITMQWJFKSA-N 0 0 432.495 -0.549 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1C[C@H](O)C[C@@H](C(=O)OC)C1)CCS2(=O)=O ZINC001313390898 1074043461 /nfs/dbraw/zinc/04/34/61/1074043461.db2.gz QGHNCAPLKCQXTM-LFAUCBNZSA-N 0 0 432.495 -0.549 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1C[C@H](C(=O)OC)C[C@H](O)C1)CCS2(=O)=O ZINC001313390899 1074043414 /nfs/dbraw/zinc/04/34/14/1074043414.db2.gz QGHNCAPLKCQXTM-SOUMLHEOSA-N 0 0 432.495 -0.549 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1C[C@H](C(=O)OC)C[C@H](O)C1)CCS2(=O)=O ZINC001313390900 1074043394 /nfs/dbraw/zinc/04/33/94/1074043394.db2.gz QGHNCAPLKCQXTM-WVFYJGRVSA-N 0 0 432.495 -0.549 20 0 IBADRN CONC(=O)C1CCN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001313390962 1074043451 /nfs/dbraw/zinc/04/34/51/1074043451.db2.gz QUODKIXSZDMQSY-FVRSWCFKSA-N 0 0 431.511 -0.015 20 0 IBADRN CONC(=O)C1CCN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001313390964 1074043343 /nfs/dbraw/zinc/04/33/43/1074043343.db2.gz QUODKIXSZDMQSY-TXKKKEFDSA-N 0 0 431.511 -0.015 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCCOCCOCC(=O)OC(C)(C)C)C[C@H]21 ZINC001313399174 1074043281 /nfs/dbraw/zinc/04/32/81/1074043281.db2.gz ZRMKOTQYDJJIPC-ZNMIVQPWSA-N 0 0 436.527 -0.185 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCc2cccc(N(C)S(C)(=O)=O)c2)CC1 ZINC001313399670 1074043813 /nfs/dbraw/zinc/04/38/13/1074043813.db2.gz KRJIMJXYUUJATM-UHFFFAOYSA-N 0 0 433.556 -0.190 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cccc(N(C)S(C)(=O)=O)c2)CC1 ZINC001313399936 1074043865 /nfs/dbraw/zinc/04/38/65/1074043865.db2.gz OPNVTFICCXSCLN-UHFFFAOYSA-N 0 0 441.554 -0.328 20 0 IBADRN COC(=O)C[C@@H]1CCC[C@H]1NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001313429033 1074043778 /nfs/dbraw/zinc/04/37/78/1074043778.db2.gz RSMADOWJMGPRMF-JKSUJKDBSA-N 0 0 431.559 -0.462 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(CC(=O)N3CCc4ccccc43)CC2)c(=O)[nH]c1=O ZINC001313434612 1074043724 /nfs/dbraw/zinc/04/37/24/1074043724.db2.gz PVOWJRDQYGNCOD-UHFFFAOYSA-N 0 0 426.477 -0.098 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c(=O)[nH]c1=O ZINC001313434738 1074043910 /nfs/dbraw/zinc/04/39/10/1074043910.db2.gz QQDMYNWZGQDPRR-UHFFFAOYSA-N 0 0 425.442 -0.159 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)c(=O)[nH]c1=O ZINC001313435117 1074043916 /nfs/dbraw/zinc/04/39/16/1074043916.db2.gz SKNYSZGKOKGESR-UHFFFAOYSA-N 0 0 432.456 -0.118 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(c3ccc(NS(C)(=O)=O)cc3)CC2)c(=O)[nH]c1=O ZINC001313435459 1074043927 /nfs/dbraw/zinc/04/39/27/1074043927.db2.gz WSVNKHFJBIRESH-UHFFFAOYSA-N 0 0 436.494 -0.111 20 0 IBADRN Cc1noc([C@@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C(C)C)n1 ZINC001313436431 1074043929 /nfs/dbraw/zinc/04/39/29/1074043929.db2.gz GYBILKXEAHIBON-HNNXBMFYSA-N 0 0 429.547 -0.105 20 0 IBADRN Cc1noc([C@H](NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C(C)C)n1 ZINC001313436434 1074043798 /nfs/dbraw/zinc/04/37/98/1074043798.db2.gz GYBILKXEAHIBON-OAHLLOKOSA-N 0 0 429.547 -0.105 20 0 IBADRN CCCN1CCCN(c2nnc(CN3CCCC3=O)n2CCNS(C)(=O)=O)CC1=O ZINC001313447282 1074043681 /nfs/dbraw/zinc/04/36/81/1074043681.db2.gz SLADIMVAAGYRAE-UHFFFAOYSA-N 0 0 441.558 -0.602 20 0 IBADRN CCCNC(=O)C1CCN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)CC1 ZINC001313470638 1074043848 /nfs/dbraw/zinc/04/38/48/1074043848.db2.gz YJFNTAFRQDTDLS-UHFFFAOYSA-N 0 0 438.558 -0.030 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001313473179 1074043734 /nfs/dbraw/zinc/04/37/34/1074043734.db2.gz BDCGXGBDGLKFMN-KBPBESRZSA-N 0 0 443.574 -0.138 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001313473180 1074043714 /nfs/dbraw/zinc/04/37/14/1074043714.db2.gz BDCGXGBDGLKFMN-KGLIPLIRSA-N 0 0 443.574 -0.138 20 0 IBADRN CCCNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001313473181 1074043823 /nfs/dbraw/zinc/04/38/23/1074043823.db2.gz BDCGXGBDGLKFMN-UONOGXRCSA-N 0 0 443.574 -0.138 20 0 IBADRN CCCNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001313473182 1074044204 /nfs/dbraw/zinc/04/42/04/1074044204.db2.gz BDCGXGBDGLKFMN-ZIAGYGMSSA-N 0 0 443.574 -0.138 20 0 IBADRN CCCNC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001313473548 1074044229 /nfs/dbraw/zinc/04/42/29/1074044229.db2.gz HEFMXOKYYGRYOP-UHFFFAOYSA-N 0 0 448.572 -0.359 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001313473555 1074044146 /nfs/dbraw/zinc/04/41/46/1074044146.db2.gz HGWZOORSSMRFCP-KBXCAEBGSA-N 0 0 444.558 -0.200 20 0 IBADRN CCCNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001313473556 1074044269 /nfs/dbraw/zinc/04/42/69/1074044269.db2.gz HGWZOORSSMRFCP-KDOFPFPSSA-N 0 0 444.558 -0.200 20 0 IBADRN CCCNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001313473557 1074044291 /nfs/dbraw/zinc/04/42/91/1074044291.db2.gz HGWZOORSSMRFCP-KSSFIOAISA-N 0 0 444.558 -0.200 20 0 IBADRN CCCNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001313473558 1074044191 /nfs/dbraw/zinc/04/41/91/1074044191.db2.gz HGWZOORSSMRFCP-RDTXWAMCSA-N 0 0 444.558 -0.200 20 0 IBADRN CCCNC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001313474541 1074044163 /nfs/dbraw/zinc/04/41/63/1074044163.db2.gz UKRZHLIICGYOQR-UHFFFAOYSA-N 0 0 430.513 -0.011 20 0 IBADRN CCCNC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001313474617 1074044212 /nfs/dbraw/zinc/04/42/12/1074044212.db2.gz WGIOJZVPVBCNNV-UHFFFAOYSA-N 0 0 441.558 -0.488 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(C)(OC)C1 ZINC001313479985 1074044171 /nfs/dbraw/zinc/04/41/71/1074044171.db2.gz CLGGYNWIBYQUSW-AWEZNQCLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(C)(OC)C1 ZINC001313479986 1074044182 /nfs/dbraw/zinc/04/41/82/1074044182.db2.gz CLGGYNWIBYQUSW-CQSZACIVSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001313480055 1074044262 /nfs/dbraw/zinc/04/42/62/1074044262.db2.gz DSRQJYLFFYTYRP-INIZCTEOSA-N 0 0 442.586 -0.135 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001313480056 1074044235 /nfs/dbraw/zinc/04/42/35/1074044235.db2.gz DSRQJYLFFYTYRP-MRXNPFEDSA-N 0 0 442.586 -0.135 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001313480092 1074044136 /nfs/dbraw/zinc/04/41/36/1074044136.db2.gz FKGNMJFRYGWVDH-AUUYWEPGSA-N 0 0 438.554 -0.045 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001313480093 1074044243 /nfs/dbraw/zinc/04/42/43/1074044243.db2.gz FKGNMJFRYGWVDH-IFXJQAMLSA-N 0 0 438.554 -0.045 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001313480094 1074044279 /nfs/dbraw/zinc/04/42/79/1074044279.db2.gz FKGNMJFRYGWVDH-KUHUBIRLSA-N 0 0 438.554 -0.045 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001313480095 1074044198 /nfs/dbraw/zinc/04/41/98/1074044198.db2.gz FKGNMJFRYGWVDH-LIRRHRJNSA-N 0 0 438.554 -0.045 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001313480681 1074044222 /nfs/dbraw/zinc/04/42/22/1074044222.db2.gz GJCFUDGQLQUSKQ-CABCVRRESA-N 0 0 433.513 -0.765 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001313480684 1074044178 /nfs/dbraw/zinc/04/41/78/1074044178.db2.gz GJCFUDGQLQUSKQ-GJZGRUSLSA-N 0 0 433.513 -0.765 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001313480685 1074044252 /nfs/dbraw/zinc/04/42/52/1074044252.db2.gz GJCFUDGQLQUSKQ-HUUCEWRRSA-N 0 0 433.513 -0.765 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001313480686 1074044155 /nfs/dbraw/zinc/04/41/55/1074044155.db2.gz GJCFUDGQLQUSKQ-LSDHHAIUSA-N 0 0 433.513 -0.765 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001313481334 1074044629 /nfs/dbraw/zinc/04/46/29/1074044629.db2.gz LLPZCNYNTLJSCZ-KBPBESRZSA-N 0 0 441.558 -0.384 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001313481338 1074044614 /nfs/dbraw/zinc/04/46/14/1074044614.db2.gz LLPZCNYNTLJSCZ-UONOGXRCSA-N 0 0 441.558 -0.384 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001313481549 1074044649 /nfs/dbraw/zinc/04/46/49/1074044649.db2.gz SAMINRUAHIMKCW-CYBMUJFWSA-N 0 0 433.556 -0.669 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001313481554 1074044513 /nfs/dbraw/zinc/04/45/13/1074044513.db2.gz SAMINRUAHIMKCW-ZDUSSCGKSA-N 0 0 433.556 -0.669 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](C)C1 ZINC001313481982 1074044659 /nfs/dbraw/zinc/04/46/59/1074044659.db2.gz XLSXOXFWIKETEC-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](C)C1 ZINC001313481984 1074044607 /nfs/dbraw/zinc/04/46/07/1074044607.db2.gz XLSXOXFWIKETEC-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](C)C1 ZINC001313481986 1074044655 /nfs/dbraw/zinc/04/46/55/1074044655.db2.gz XLSXOXFWIKETEC-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](C)C1 ZINC001313481987 1074044619 /nfs/dbraw/zinc/04/46/19/1074044619.db2.gz XLSXOXFWIKETEC-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001313485078 1074044582 /nfs/dbraw/zinc/04/45/82/1074044582.db2.gz FPSUMNFZHZIFGE-AWEZNQCLSA-N 0 0 443.574 -0.292 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001313485081 1074044598 /nfs/dbraw/zinc/04/45/98/1074044598.db2.gz FPSUMNFZHZIFGE-CQSZACIVSA-N 0 0 443.574 -0.292 20 0 IBADRN CCCNC(=O)CCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001313485359 1074044639 /nfs/dbraw/zinc/04/46/39/1074044639.db2.gz YHBKXDBPVMKDIC-INIZCTEOSA-N 0 0 440.508 -0.673 20 0 IBADRN CCCNC(=O)CCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001313485361 1074044493 /nfs/dbraw/zinc/04/44/93/1074044493.db2.gz YHBKXDBPVMKDIC-MRXNPFEDSA-N 0 0 440.508 -0.673 20 0 IBADRN CCCNC(=O)CCn1c(CN2CCCC2=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001313485410 1074044634 /nfs/dbraw/zinc/04/46/34/1074044634.db2.gz XEDOETNUTDHUSW-UHFFFAOYSA-N 0 0 435.529 -0.388 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001313485843 1074044505 /nfs/dbraw/zinc/04/45/05/1074044505.db2.gz KIXBFESHZHFREJ-UHFFFAOYSA-N 0 0 448.572 -0.313 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001313486168 1074044523 /nfs/dbraw/zinc/04/45/23/1074044523.db2.gz LRHDOFBWQLCBJU-HNNXBMFYSA-N 0 0 428.497 -0.028 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001313486173 1074044560 /nfs/dbraw/zinc/04/45/60/1074044560.db2.gz LRHDOFBWQLCBJU-OAHLLOKOSA-N 0 0 428.497 -0.028 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001313486374 1074044588 /nfs/dbraw/zinc/04/45/88/1074044588.db2.gz CGGBNTNLTVTWCZ-HZSPNIEDSA-N 0 0 441.558 -0.529 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001313486379 1074044624 /nfs/dbraw/zinc/04/46/24/1074044624.db2.gz CGGBNTNLTVTWCZ-MELADBBJSA-N 0 0 441.558 -0.529 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](NC(=O)CC)C1 ZINC001313486991 1074044548 /nfs/dbraw/zinc/04/45/48/1074044548.db2.gz HWHGIISYDRMZGE-KBPBESRZSA-N 0 0 443.574 -0.091 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](NC(=O)CC)C1 ZINC001313486992 1074044644 /nfs/dbraw/zinc/04/46/44/1074044644.db2.gz HWHGIISYDRMZGE-KGLIPLIRSA-N 0 0 443.574 -0.091 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](NC(=O)CC)C1 ZINC001313486993 1074044863 /nfs/dbraw/zinc/04/48/63/1074044863.db2.gz HWHGIISYDRMZGE-UONOGXRCSA-N 0 0 443.574 -0.091 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](NC(=O)CC)C1 ZINC001313486994 1074044897 /nfs/dbraw/zinc/04/48/97/1074044897.db2.gz HWHGIISYDRMZGE-ZIAGYGMSSA-N 0 0 443.574 -0.091 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)NC(C)C)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001313487414 1074044989 /nfs/dbraw/zinc/04/49/89/1074044989.db2.gz YKCAPMIARXFXQT-UHFFFAOYSA-N 0 0 443.574 -0.197 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001313487484 1074044927 /nfs/dbraw/zinc/04/49/27/1074044927.db2.gz YWPXVSHKPWZYCB-GOSISDBHSA-N 0 0 426.543 -0.043 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)NC(C)C)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001313487485 1074044784 /nfs/dbraw/zinc/04/47/84/1074044784.db2.gz YWPXVSHKPWZYCB-SFHVURJKSA-N 0 0 426.543 -0.043 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001313489745 1074044810 /nfs/dbraw/zinc/04/48/10/1074044810.db2.gz ZAVNPSPACHHRTA-CYBMUJFWSA-N 0 0 443.574 -0.138 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001313489746 1074044875 /nfs/dbraw/zinc/04/48/75/1074044875.db2.gz ZAVNPSPACHHRTA-ZDUSSCGKSA-N 0 0 443.574 -0.138 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001313489821 1074044919 /nfs/dbraw/zinc/04/49/19/1074044919.db2.gz ZQKXRRRSMNVEGC-PXAZEXFGSA-N 0 0 443.530 -0.555 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001313489830 1074044850 /nfs/dbraw/zinc/04/48/50/1074044850.db2.gz ZQKXRRRSMNVEGC-SJCJKPOMSA-N 0 0 443.530 -0.555 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001313489831 1074044795 /nfs/dbraw/zinc/04/47/95/1074044795.db2.gz ZQKXRRRSMNVEGC-SJKOYZFVSA-N 0 0 443.530 -0.555 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001313489832 1074044839 /nfs/dbraw/zinc/04/48/39/1074044839.db2.gz ZQKXRRRSMNVEGC-YVEFUNNKSA-N 0 0 443.530 -0.555 20 0 IBADRN CCCNC(=O)Cn1c(-c2cccc(OC)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001313490134 1074044950 /nfs/dbraw/zinc/04/49/50/1074044950.db2.gz YFKCUOWKHSKKPC-HNNXBMFYSA-N 0 0 441.492 -0.373 20 0 IBADRN CCCNC(=O)Cn1c(-c2cccc(OC)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001313490138 1074044824 /nfs/dbraw/zinc/04/48/24/1074044824.db2.gz YFKCUOWKHSKKPC-OAHLLOKOSA-N 0 0 441.492 -0.373 20 0 IBADRN CCCNC(=O)Cn1c(-c2cnccn2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001313491314 1074044909 /nfs/dbraw/zinc/04/49/09/1074044909.db2.gz VWILURKLYXOVLU-UHFFFAOYSA-N 0 0 427.513 -0.338 20 0 IBADRN CCCNC(=O)Cn1c(-c2noc3c2COCC3)nnc1N1CCN(C(=O)COC)CC1 ZINC001313493264 1074044938 /nfs/dbraw/zinc/04/49/38/1074044938.db2.gz QOHMKDIAUVCBQV-UHFFFAOYSA-N 0 0 447.496 -0.173 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NS(=O)(=O)CC)CC1 ZINC001313493637 1074044998 /nfs/dbraw/zinc/04/49/98/1074044998.db2.gz SUNMWLSRUZQXIY-AWEZNQCLSA-N 0 0 441.558 -0.337 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NS(=O)(=O)CC)CC1 ZINC001313493638 1074044958 /nfs/dbraw/zinc/04/49/58/1074044958.db2.gz SUNMWLSRUZQXIY-CQSZACIVSA-N 0 0 441.558 -0.337 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2nccc(OC)n2)CC1 ZINC001313494163 1074044886 /nfs/dbraw/zinc/04/48/86/1074044886.db2.gz NPQVXXVISJQDQI-AWEZNQCLSA-N 0 0 443.512 -0.119 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2nccc(OC)n2)CC1 ZINC001313494167 1074044966 /nfs/dbraw/zinc/04/49/66/1074044966.db2.gz NPQVXXVISJQDQI-CQSZACIVSA-N 0 0 443.512 -0.119 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](C)O[C@H](COC)C1 ZINC001313494613 1074044976 /nfs/dbraw/zinc/04/49/76/1074044976.db2.gz ASVOPORASKMZSH-KFWWJZLASA-N 0 0 429.543 -0.054 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](C)O[C@@H](COC)C1 ZINC001313494618 1074045329 /nfs/dbraw/zinc/04/53/29/1074045329.db2.gz ASVOPORASKMZSH-RBSFLKMASA-N 0 0 429.543 -0.054 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H](COC)O[C@@H](C)C1 ZINC001313494619 1074045180 /nfs/dbraw/zinc/04/51/80/1074045180.db2.gz ASVOPORASKMZSH-RRFJBIMHSA-N 0 0 429.543 -0.054 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H](C)O[C@H](COC)C1 ZINC001313494621 1074045318 /nfs/dbraw/zinc/04/53/18/1074045318.db2.gz ASVOPORASKMZSH-ZNMIVQPWSA-N 0 0 429.543 -0.054 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NCC)CC1 ZINC001313494700 1074045291 /nfs/dbraw/zinc/04/52/91/1074045291.db2.gz BPPWBTNMMPUWHX-AWEZNQCLSA-N 0 0 441.558 -0.442 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NCC)CC1 ZINC001313494701 1074045208 /nfs/dbraw/zinc/04/52/08/1074045208.db2.gz BPPWBTNMMPUWHX-CQSZACIVSA-N 0 0 441.558 -0.442 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC001313494787 1074045257 /nfs/dbraw/zinc/04/52/57/1074045257.db2.gz CDIZKOYXMYLUPE-ILXRZTDVSA-N 0 0 438.554 -0.046 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC001313494791 1074045151 /nfs/dbraw/zinc/04/51/51/1074045151.db2.gz CDIZKOYXMYLUPE-KFWWJZLASA-N 0 0 438.554 -0.046 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC001313494793 1074045361 /nfs/dbraw/zinc/04/53/61/1074045361.db2.gz CDIZKOYXMYLUPE-QLFBSQMISA-N 0 0 438.554 -0.046 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC001313494795 1074045200 /nfs/dbraw/zinc/04/52/00/1074045200.db2.gz CDIZKOYXMYLUPE-RBSFLKMASA-N 0 0 438.554 -0.046 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001313495002 1074045222 /nfs/dbraw/zinc/04/52/22/1074045222.db2.gz DXYIDHQYIQGGKK-DZGCQCFKSA-N 0 0 426.543 -0.237 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001313495008 1074045191 /nfs/dbraw/zinc/04/51/91/1074045191.db2.gz DXYIDHQYIQGGKK-HIFRSBDPSA-N 0 0 426.543 -0.237 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001313495009 1074045160 /nfs/dbraw/zinc/04/51/60/1074045160.db2.gz DXYIDHQYIQGGKK-UKRRQHHQSA-N 0 0 426.543 -0.237 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001313495010 1074045169 /nfs/dbraw/zinc/04/51/69/1074045169.db2.gz DXYIDHQYIQGGKK-ZFWWWQNUSA-N 0 0 426.543 -0.237 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](CC)C1 ZINC001313495188 1074045303 /nfs/dbraw/zinc/04/53/03/1074045303.db2.gz FQCUETMVTAESFC-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](CC)C1 ZINC001313495190 1074045340 /nfs/dbraw/zinc/04/53/40/1074045340.db2.gz FQCUETMVTAESFC-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](CC)C1 ZINC001313495192 1074045231 /nfs/dbraw/zinc/04/52/31/1074045231.db2.gz FQCUETMVTAESFC-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](CC)C1 ZINC001313495194 1074045280 /nfs/dbraw/zinc/04/52/80/1074045280.db2.gz FQCUETMVTAESFC-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCOC1 ZINC001313495413 1074045351 /nfs/dbraw/zinc/04/53/51/1074045351.db2.gz HBXOHQDRFWFKNV-CABCVRRESA-N 0 0 444.558 -0.390 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCOC1 ZINC001313495416 1074045243 /nfs/dbraw/zinc/04/52/43/1074045243.db2.gz HBXOHQDRFWFKNV-GJZGRUSLSA-N 0 0 444.558 -0.390 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCOC1 ZINC001313495417 1074045269 /nfs/dbraw/zinc/04/52/69/1074045269.db2.gz HBXOHQDRFWFKNV-HUUCEWRRSA-N 0 0 444.558 -0.390 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCOC1 ZINC001313495418 1074045665 /nfs/dbraw/zinc/04/56/65/1074045665.db2.gz HBXOHQDRFWFKNV-LSDHHAIUSA-N 0 0 444.558 -0.390 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001313495455 1074045600 /nfs/dbraw/zinc/04/56/00/1074045600.db2.gz HQCBJJFVKDAMNS-CABCVRRESA-N 0 0 442.542 -0.952 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001313495458 1074045656 /nfs/dbraw/zinc/04/56/56/1074045656.db2.gz HQCBJJFVKDAMNS-GJZGRUSLSA-N 0 0 442.542 -0.952 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001313495459 1074045577 /nfs/dbraw/zinc/04/55/77/1074045577.db2.gz HQCBJJFVKDAMNS-HUUCEWRRSA-N 0 0 442.542 -0.952 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001313495460 1074045595 /nfs/dbraw/zinc/04/55/95/1074045595.db2.gz HQCBJJFVKDAMNS-LSDHHAIUSA-N 0 0 442.542 -0.952 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001313495630 1074045557 /nfs/dbraw/zinc/04/55/57/1074045557.db2.gz JWDXBYDKKSHAFR-CABCVRRESA-N 0 0 426.543 -0.189 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001313495640 1074045683 /nfs/dbraw/zinc/04/56/83/1074045683.db2.gz JWDXBYDKKSHAFR-GJZGRUSLSA-N 0 0 426.543 -0.189 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001313495642 1074045558 /nfs/dbraw/zinc/04/55/58/1074045558.db2.gz JWDXBYDKKSHAFR-HUUCEWRRSA-N 0 0 426.543 -0.189 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001313495643 1074045533 /nfs/dbraw/zinc/04/55/33/1074045533.db2.gz JWDXBYDKKSHAFR-LSDHHAIUSA-N 0 0 426.543 -0.189 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCCN(CC)C(=O)C1 ZINC001313495675 1074045540 /nfs/dbraw/zinc/04/55/40/1074045540.db2.gz KDNMMKCQIAWOBV-AWEZNQCLSA-N 0 0 426.543 -0.235 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCCN(CC)C(=O)C1 ZINC001313495678 1074045624 /nfs/dbraw/zinc/04/56/24/1074045624.db2.gz KDNMMKCQIAWOBV-CQSZACIVSA-N 0 0 426.543 -0.235 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)CC1 ZINC001313495767 1074045639 /nfs/dbraw/zinc/04/56/39/1074045639.db2.gz KSCIFDOOOAUBQD-HNNXBMFYSA-N 0 0 428.559 -0.525 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)CC1 ZINC001313495773 1074045631 /nfs/dbraw/zinc/04/56/31/1074045631.db2.gz KSCIFDOOOAUBQD-OAHLLOKOSA-N 0 0 428.559 -0.525 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@H](C)C1 ZINC001313496044 1074045605 /nfs/dbraw/zinc/04/56/05/1074045605.db2.gz NQDZYEINADEXHZ-KFWWJZLASA-N 0 0 444.558 -0.249 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H](C)O[C@@H](C)C1 ZINC001313496045 1074045568 /nfs/dbraw/zinc/04/55/68/1074045568.db2.gz NQDZYEINADEXHZ-KKUMJFAQSA-N 0 0 444.558 -0.249 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001313496046 1074045548 /nfs/dbraw/zinc/04/55/48/1074045548.db2.gz NQDZYEINADEXHZ-QLFBSQMISA-N 0 0 444.558 -0.249 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001313496047 1074045673 /nfs/dbraw/zinc/04/56/73/1074045673.db2.gz NQDZYEINADEXHZ-ZNMIVQPWSA-N 0 0 444.558 -0.249 20 0 IBADRN CCCNC(=O)Cn1c(CC2CCCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001313496175 1074045586 /nfs/dbraw/zinc/04/55/86/1074045586.db2.gz GYHATEZEBPIVDN-UHFFFAOYSA-N 0 0 444.544 -0.128 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](OC)C1 ZINC001313496756 1074046038 /nfs/dbraw/zinc/04/60/38/1074046038.db2.gz RJCKXUQHUDMORD-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](OC)C1 ZINC001313496757 1074045947 /nfs/dbraw/zinc/04/59/47/1074045947.db2.gz RJCKXUQHUDMORD-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](OC)C1 ZINC001313496758 1074045916 /nfs/dbraw/zinc/04/59/16/1074045916.db2.gz RJCKXUQHUDMORD-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](OC)C1 ZINC001313496759 1074045982 /nfs/dbraw/zinc/04/59/82/1074045982.db2.gz RJCKXUQHUDMORD-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCNC(=O)Cn1c(Cn2nc(C)cc2C)nnc1N1CCN(C(=O)COC)CC1 ZINC001313497319 1074046074 /nfs/dbraw/zinc/04/60/74/1074046074.db2.gz QRSQDIHTLMWSMW-UHFFFAOYSA-N 0 0 432.529 -0.039 20 0 IBADRN CCCNC(=O)Cn1c(CN2CCCC2=O)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001313497828 1074046067 /nfs/dbraw/zinc/04/60/67/1074046067.db2.gz VHXXOKDUGBLMMQ-UHFFFAOYSA-N 0 0 428.497 -0.417 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001313500140 1074045959 /nfs/dbraw/zinc/04/59/59/1074045959.db2.gz YSYKDJBYRPQPAK-OAHLLOKOSA-N 0 0 433.513 -0.417 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@](C)(C(=O)NC)C1 ZINC001313543764 1074045996 /nfs/dbraw/zinc/04/59/96/1074045996.db2.gz CODUUHJCLFQRTD-PXAZEXFGSA-N 0 0 429.547 -0.671 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@](C)(C(=O)NC)C1 ZINC001313543771 1074045988 /nfs/dbraw/zinc/04/59/88/1074045988.db2.gz CODUUHJCLFQRTD-SJCJKPOMSA-N 0 0 429.547 -0.671 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@](C)(C(=O)NC)C1 ZINC001313543773 1074045918 /nfs/dbraw/zinc/04/59/18/1074045918.db2.gz CODUUHJCLFQRTD-SJKOYZFVSA-N 0 0 429.547 -0.671 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@](C)(C(=O)NC)C1 ZINC001313543775 1074046052 /nfs/dbraw/zinc/04/60/52/1074046052.db2.gz CODUUHJCLFQRTD-YVEFUNNKSA-N 0 0 429.547 -0.671 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCCN(CC)C(=O)C1 ZINC001313544448 1074046046 /nfs/dbraw/zinc/04/60/46/1074046046.db2.gz JERWWEUPBAGTBN-CYBMUJFWSA-N 0 0 429.547 -0.575 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCCN(CC)C(=O)C1 ZINC001313544455 1074045937 /nfs/dbraw/zinc/04/59/37/1074045937.db2.gz JERWWEUPBAGTBN-ZDUSSCGKSA-N 0 0 429.547 -0.575 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2OC(=O)O[C@@H]2C1 ZINC001313546032 1074045969 /nfs/dbraw/zinc/04/59/69/1074045969.db2.gz PQEJEBGXBYPVQM-QJPTWQEYSA-N 0 0 430.487 -0.519 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2OC(=O)O[C@@H]2C1 ZINC001313546049 1074045904 /nfs/dbraw/zinc/04/59/04/1074045904.db2.gz PQEJEBGXBYPVQM-SDDRHHMPSA-N 0 0 430.487 -0.519 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2OC(=O)O[C@H]2C1 ZINC001313546050 1074046015 /nfs/dbraw/zinc/04/60/15/1074046015.db2.gz PQEJEBGXBYPVQM-SRVKXCTJSA-N 0 0 430.487 -0.519 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2OC(=O)O[C@H]2C1 ZINC001313546052 1074046023 /nfs/dbraw/zinc/04/60/23/1074046023.db2.gz PQEJEBGXBYPVQM-TUAOUCFPSA-N 0 0 430.487 -0.519 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001313547422 1074046057 /nfs/dbraw/zinc/04/60/57/1074046057.db2.gz WLZIGVGKTKPCQZ-CHWSQXEVSA-N 0 0 427.531 -0.822 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001313547431 1074046424 /nfs/dbraw/zinc/04/64/24/1074046424.db2.gz WLZIGVGKTKPCQZ-OLZOCXBDSA-N 0 0 427.531 -0.822 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001313547432 1074046299 /nfs/dbraw/zinc/04/62/99/1074046299.db2.gz WLZIGVGKTKPCQZ-QWHCGFSZSA-N 0 0 427.531 -0.822 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001313547433 1074046251 /nfs/dbraw/zinc/04/62/51/1074046251.db2.gz WLZIGVGKTKPCQZ-STQMWFEESA-N 0 0 427.531 -0.822 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001313548744 1074046456 /nfs/dbraw/zinc/04/64/56/1074046456.db2.gz KWBKGJHBUOIODF-UHFFFAOYSA-N 0 0 428.497 -0.351 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC001313548755 1074046275 /nfs/dbraw/zinc/04/62/75/1074046275.db2.gz KXKJEEBQUVVIGK-AWEZNQCLSA-N 0 0 446.512 -0.535 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC001313548764 1074046445 /nfs/dbraw/zinc/04/64/45/1074046445.db2.gz KXKJEEBQUVVIGK-CQSZACIVSA-N 0 0 446.512 -0.535 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001313548952 1074046327 /nfs/dbraw/zinc/04/63/27/1074046327.db2.gz NCQOKZFSVYRUIA-UHFFFAOYSA-N 0 0 434.545 -0.797 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001313549019 1074046239 /nfs/dbraw/zinc/04/62/39/1074046239.db2.gz NYXOEYQRQVSQTH-CYBMUJFWSA-N 0 0 427.469 -0.383 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001313549020 1074046399 /nfs/dbraw/zinc/04/63/99/1074046399.db2.gz NYXOEYQRQVSQTH-ZDUSSCGKSA-N 0 0 427.469 -0.383 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCC(NS(=O)(=O)CC)CC1 ZINC001313549541 1074046352 /nfs/dbraw/zinc/04/63/52/1074046352.db2.gz ZDMGGSDKGQSPOC-UHFFFAOYSA-N 0 0 443.574 -0.197 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC001313550928 1074046227 /nfs/dbraw/zinc/04/62/27/1074046227.db2.gz BQLGMOLPUXAKOK-AWEZNQCLSA-N 0 0 429.485 -0.370 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC001313550929 1074046437 /nfs/dbraw/zinc/04/64/37/1074046437.db2.gz BQLGMOLPUXAKOK-CQSZACIVSA-N 0 0 429.485 -0.370 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001313551300 1074046262 /nfs/dbraw/zinc/04/62/62/1074046262.db2.gz JSRKZOKDWWFAJA-UHFFFAOYSA-N 0 0 443.512 -0.916 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2noc3c2COCC3)nnc1N1CCN(C(=O)NC)CC1 ZINC001313551662 1074046412 /nfs/dbraw/zinc/04/64/12/1074046412.db2.gz FJZYPDOVHVVDJS-UHFFFAOYSA-N 0 0 432.485 -0.055 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001313551989 1074046340 /nfs/dbraw/zinc/04/63/40/1074046340.db2.gz JKVGRTKNCYTHIO-QWHCGFSZSA-N 0 0 427.531 -0.822 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001313551990 1074046379 /nfs/dbraw/zinc/04/63/79/1074046379.db2.gz JKVGRTKNCYTHIO-STQMWFEESA-N 0 0 427.531 -0.822 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2ccccc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001313552113 1074046388 /nfs/dbraw/zinc/04/63/88/1074046388.db2.gz DBICXUYSEYHDQN-HNNXBMFYSA-N 0 0 425.493 -0.121 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2ccccc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001313552115 1074046313 /nfs/dbraw/zinc/04/63/13/1074046313.db2.gz DBICXUYSEYHDQN-OAHLLOKOSA-N 0 0 425.493 -0.121 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001313552369 1074046286 /nfs/dbraw/zinc/04/62/86/1074046286.db2.gz YZXDYQHZBYCJAV-UHFFFAOYSA-N 0 0 445.528 -0.269 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2noc3c2COCC3)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001313553241 1074046364 /nfs/dbraw/zinc/04/63/64/1074046364.db2.gz TYLKWRQKOQOEMJ-AWEZNQCLSA-N 0 0 433.469 -0.565 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2noc3c2COCC3)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001313553246 1074046833 /nfs/dbraw/zinc/04/68/33/1074046833.db2.gz TYLKWRQKOQOEMJ-CQSZACIVSA-N 0 0 433.469 -0.565 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001313554697 1074046647 /nfs/dbraw/zinc/04/66/47/1074046647.db2.gz ATOQHMQKFNULAE-KBPBESRZSA-N 0 0 447.583 -0.328 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001313554700 1074046841 /nfs/dbraw/zinc/04/68/41/1074046841.db2.gz ATOQHMQKFNULAE-KGLIPLIRSA-N 0 0 447.583 -0.328 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001313554701 1074046823 /nfs/dbraw/zinc/04/68/23/1074046823.db2.gz ATOQHMQKFNULAE-UONOGXRCSA-N 0 0 447.583 -0.328 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001313554702 1074046740 /nfs/dbraw/zinc/04/67/40/1074046740.db2.gz ATOQHMQKFNULAE-ZIAGYGMSSA-N 0 0 447.583 -0.328 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCOC2)nnc1N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC001313554783 1074046793 /nfs/dbraw/zinc/04/67/93/1074046793.db2.gz QIOMFZHOLSFMOW-KBPBESRZSA-N 0 0 431.501 -0.208 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC001313554797 1074046697 /nfs/dbraw/zinc/04/66/97/1074046697.db2.gz QIOMFZHOLSFMOW-KGLIPLIRSA-N 0 0 431.501 -0.208 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCOC2)nnc1N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC001313554805 1074046748 /nfs/dbraw/zinc/04/67/48/1074046748.db2.gz QIOMFZHOLSFMOW-UONOGXRCSA-N 0 0 431.501 -0.208 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC001313554806 1074046709 /nfs/dbraw/zinc/04/67/09/1074046709.db2.gz QIOMFZHOLSFMOW-ZIAGYGMSSA-N 0 0 431.501 -0.208 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](COC)C1 ZINC001313555199 1074046690 /nfs/dbraw/zinc/04/66/90/1074046690.db2.gz DNXOGAZMFNAXPP-CABCVRRESA-N 0 0 444.558 -0.438 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](COC)C1 ZINC001313555211 1074046719 /nfs/dbraw/zinc/04/67/19/1074046719.db2.gz DNXOGAZMFNAXPP-GJZGRUSLSA-N 0 0 444.558 -0.438 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](COC)C1 ZINC001313555214 1074046758 /nfs/dbraw/zinc/04/67/58/1074046758.db2.gz DNXOGAZMFNAXPP-HUUCEWRRSA-N 0 0 444.558 -0.438 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](COC)C1 ZINC001313555216 1074046674 /nfs/dbraw/zinc/04/66/74/1074046674.db2.gz DNXOGAZMFNAXPP-LSDHHAIUSA-N 0 0 444.558 -0.438 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](CC(N)=O)C1 ZINC001313555531 1074046778 /nfs/dbraw/zinc/04/67/78/1074046778.db2.gz FSONHFTYFUFERL-KBPBESRZSA-N 0 0 426.543 -0.250 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](CC(N)=O)C1 ZINC001313555532 1074046787 /nfs/dbraw/zinc/04/67/87/1074046787.db2.gz FSONHFTYFUFERL-KGLIPLIRSA-N 0 0 426.543 -0.250 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](CC(N)=O)C1 ZINC001313555533 1074046767 /nfs/dbraw/zinc/04/67/67/1074046767.db2.gz FSONHFTYFUFERL-UONOGXRCSA-N 0 0 426.543 -0.250 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](CC(N)=O)C1 ZINC001313555534 1074046799 /nfs/dbraw/zinc/04/67/99/1074046799.db2.gz FSONHFTYFUFERL-ZIAGYGMSSA-N 0 0 426.543 -0.250 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](OC)C1 ZINC001313555592 1074046730 /nfs/dbraw/zinc/04/67/30/1074046730.db2.gz GRFLIRUKYVVQBI-KBPBESRZSA-N 0 0 430.531 -0.686 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](OC)C1 ZINC001313555595 1074046659 /nfs/dbraw/zinc/04/66/59/1074046659.db2.gz GRFLIRUKYVVQBI-UONOGXRCSA-N 0 0 430.531 -0.686 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC001313555935 1074046813 /nfs/dbraw/zinc/04/68/13/1074046813.db2.gz WAMNXIVMYSZDHL-BFHYXJOUSA-N 0 0 449.599 -0.084 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC001313555936 1074047098 /nfs/dbraw/zinc/04/70/98/1074047098.db2.gz WAMNXIVMYSZDHL-HZSPNIEDSA-N 0 0 449.599 -0.084 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC001313555937 1074047123 /nfs/dbraw/zinc/04/71/23/1074047123.db2.gz WAMNXIVMYSZDHL-MELADBBJSA-N 0 0 449.599 -0.084 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)[C@@H](C)S(C)(=O)=O ZINC001313555938 1074047050 /nfs/dbraw/zinc/04/70/50/1074047050.db2.gz WAMNXIVMYSZDHL-MGPQQGTHSA-N 0 0 449.599 -0.084 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](OCCOC)C1 ZINC001313556224 1074047086 /nfs/dbraw/zinc/04/70/86/1074047086.db2.gz MDOCYZQFTFDGOO-CABCVRRESA-N 0 0 429.543 -0.100 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](OCCOC)C1 ZINC001313556226 1074047042 /nfs/dbraw/zinc/04/70/42/1074047042.db2.gz MDOCYZQFTFDGOO-GJZGRUSLSA-N 0 0 429.543 -0.100 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](OCCOC)C1 ZINC001313556228 1074047113 /nfs/dbraw/zinc/04/71/13/1074047113.db2.gz MDOCYZQFTFDGOO-HUUCEWRRSA-N 0 0 429.543 -0.100 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](OCCOC)C1 ZINC001313556231 1074047162 /nfs/dbraw/zinc/04/71/62/1074047162.db2.gz MDOCYZQFTFDGOO-LSDHHAIUSA-N 0 0 429.543 -0.100 20 0 IBADRN CCN(C)C(=O)Cn1c(CC(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001313556494 1074047069 /nfs/dbraw/zinc/04/70/69/1074047069.db2.gz PLSBMYUHSWSCJB-UHFFFAOYSA-N 0 0 447.544 -0.579 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC(C)(C)C1 ZINC001313556552 1074047146 /nfs/dbraw/zinc/04/71/46/1074047146.db2.gz RLUAFSNFSKPIFY-AWEZNQCLSA-N 0 0 444.558 -0.296 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC(C)(C)C1 ZINC001313556553 1074047088 /nfs/dbraw/zinc/04/70/88/1074047088.db2.gz RLUAFSNFSKPIFY-CQSZACIVSA-N 0 0 444.558 -0.296 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@](C)(C(=O)NC)C1 ZINC001313556604 1074047081 /nfs/dbraw/zinc/04/70/81/1074047081.db2.gz SWQYVRLEWCSKCR-ACJLOTCBSA-N 0 0 426.543 -0.379 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@](C)(C(=O)NC)C1 ZINC001313556605 1074047137 /nfs/dbraw/zinc/04/71/37/1074047137.db2.gz SWQYVRLEWCSKCR-FZKQIMNGSA-N 0 0 426.543 -0.379 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@](C)(C(=O)NC)C1 ZINC001313556606 1074047106 /nfs/dbraw/zinc/04/71/06/1074047106.db2.gz SWQYVRLEWCSKCR-SCLBCKFNSA-N 0 0 426.543 -0.379 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@](C)(C(=O)NC)C1 ZINC001313556607 1074047155 /nfs/dbraw/zinc/04/71/55/1074047155.db2.gz SWQYVRLEWCSKCR-UGSOOPFHSA-N 0 0 426.543 -0.379 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCOC1 ZINC001313556779 1074047171 /nfs/dbraw/zinc/04/71/71/1074047171.db2.gz XPSUWVIHQZYSDI-CABCVRRESA-N 0 0 444.558 -0.438 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCOC1 ZINC001313556780 1074047065 /nfs/dbraw/zinc/04/70/65/1074047065.db2.gz XPSUWVIHQZYSDI-GJZGRUSLSA-N 0 0 444.558 -0.438 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCOC1 ZINC001313556781 1074047061 /nfs/dbraw/zinc/04/70/61/1074047061.db2.gz XPSUWVIHQZYSDI-HUUCEWRRSA-N 0 0 444.558 -0.438 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCOC1 ZINC001313556782 1074047101 /nfs/dbraw/zinc/04/71/01/1074047101.db2.gz XPSUWVIHQZYSDI-LSDHHAIUSA-N 0 0 444.558 -0.438 20 0 IBADRN CCN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001313557458 1074047128 /nfs/dbraw/zinc/04/71/28/1074047128.db2.gz HHFJUORCHXMUJM-UHFFFAOYSA-N 0 0 441.558 -0.603 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCCO2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC001313558605 1074047055 /nfs/dbraw/zinc/04/70/55/1074047055.db2.gz BXSKPRGCRFYKMG-MRXNPFEDSA-N 0 0 437.545 -0.508 20 0 IBADRN CCN(C)C(=O)Cn1c(Cn2nc(C)cc2C)nnc1N(C)CCNS(C)(=O)=O ZINC001313559382 1074047538 /nfs/dbraw/zinc/04/75/38/1074047538.db2.gz YVUIPNQIORHHCZ-UHFFFAOYSA-N 0 0 426.547 -0.397 20 0 IBADRN CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCS(=O)(=O)N1CCSCC1 ZINC001313559694 1074047578 /nfs/dbraw/zinc/04/75/78/1074047578.db2.gz CQHLVXBHIOCPOY-GFCCVEGCSA-N 0 0 440.617 -0.277 20 0 IBADRN CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCS(=O)(=O)N1CCSCC1 ZINC001313559695 1074047351 /nfs/dbraw/zinc/04/73/51/1074047351.db2.gz CQHLVXBHIOCPOY-LBPRGKRZSA-N 0 0 440.617 -0.277 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2OCC[C@H]2COC)nnc1N(C)CC(=O)N1CCOCC1 ZINC001313560158 1074047333 /nfs/dbraw/zinc/04/73/33/1074047333.db2.gz VETHANNWPUEICZ-YJBOKZPZSA-N 0 0 438.529 -0.225 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001313560619 1074047437 /nfs/dbraw/zinc/04/74/37/1074047437.db2.gz ZEPRTWADVDHNNZ-BQFCYCMXSA-N 0 0 438.529 -0.178 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001313560622 1074047512 /nfs/dbraw/zinc/04/75/12/1074047512.db2.gz ZEPRTWADVDHNNZ-JZXOWHBKSA-N 0 0 438.529 -0.178 20 0 IBADRN CCN(c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001313571813 1074047408 /nfs/dbraw/zinc/04/74/08/1074047408.db2.gz AQDYIZYCDDJNSI-AWEZNQCLSA-N 0 0 447.583 -0.038 20 0 IBADRN CCN(c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001313571814 1074047556 /nfs/dbraw/zinc/04/75/56/1074047556.db2.gz AQDYIZYCDDJNSI-CQSZACIVSA-N 0 0 447.583 -0.038 20 0 IBADRN CCN(c1nnc(C(=O)N2CCCC2)n1C[C@@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001313572198 1074047462 /nfs/dbraw/zinc/04/74/62/1074047462.db2.gz HNDROLTUHZELAD-HUUCEWRRSA-N 0 0 427.527 -0.057 20 0 IBADRN CCN(c1nnc(C(=O)N2CCCC2)n1C[C@@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001313572199 1074047344 /nfs/dbraw/zinc/04/73/44/1074047344.db2.gz HNDROLTUHZELAD-LSDHHAIUSA-N 0 0 427.527 -0.057 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(O)CCO[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC001313599349 1074047526 /nfs/dbraw/zinc/04/75/26/1074047526.db2.gz CKPHMKQNNFXAMO-KHSSZTOVSA-N 0 0 427.527 -0.218 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(O)CCO[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC001313599350 1074047420 /nfs/dbraw/zinc/04/74/20/1074047420.db2.gz CKPHMKQNNFXAMO-MOROJQBDSA-N 0 0 427.527 -0.218 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(O)CCO[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC001313599351 1074047599 /nfs/dbraw/zinc/04/75/99/1074047599.db2.gz CKPHMKQNNFXAMO-WZTLGTBRSA-N 0 0 427.527 -0.218 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(O)CCO[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC001313599352 1074047373 /nfs/dbraw/zinc/04/73/73/1074047373.db2.gz CKPHMKQNNFXAMO-ZUMXRPEOSA-N 0 0 427.527 -0.218 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCOC[C@@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC001313601046 1074047567 /nfs/dbraw/zinc/04/75/67/1074047567.db2.gz NGJHLISJBADEJX-JYJNAYRXSA-N 0 0 440.570 -0.037 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCOC[C@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC001313601047 1074047360 /nfs/dbraw/zinc/04/73/60/1074047360.db2.gz NGJHLISJBADEJX-OAGGEKHMSA-N 0 0 440.570 -0.037 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCOC[C@H]1C)[C@H]1CCS(=O)(=O)C1 ZINC001313601048 1074047547 /nfs/dbraw/zinc/04/75/47/1074047547.db2.gz NGJHLISJBADEJX-PMPSAXMXSA-N 0 0 440.570 -0.037 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCOC[C@@H]1C)[C@@H]1CCS(=O)(=O)C1 ZINC001313601049 1074047588 /nfs/dbraw/zinc/04/75/88/1074047588.db2.gz NGJHLISJBADEJX-XHSDSOJGSA-N 0 0 440.570 -0.037 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(O)CCN(C)C1)[C@H]1CCS(=O)(=O)C1 ZINC001313602344 1074047474 /nfs/dbraw/zinc/04/74/74/1074047474.db2.gz ZDRXDURVMFCJBM-DEYYWGMASA-N 0 0 426.543 -0.691 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@]1(O)CCN(C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001313602350 1074047382 /nfs/dbraw/zinc/04/73/82/1074047382.db2.gz ZDRXDURVMFCJBM-GLJUWKHASA-N 0 0 426.543 -0.691 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@@]1(O)CCN(C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001313602352 1074047980 /nfs/dbraw/zinc/04/79/80/1074047980.db2.gz ZDRXDURVMFCJBM-QWQRMKEZSA-N 0 0 426.543 -0.691 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@@]1(O)CCN(C)C1)[C@H]1CCS(=O)(=O)C1 ZINC001313602354 1074047927 /nfs/dbraw/zinc/04/79/27/1074047927.db2.gz ZDRXDURVMFCJBM-SUNYJGFJSA-N 0 0 426.543 -0.691 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCOC1)[C@@H]1CCS(=O)(=O)C1 ZINC001313607506 1074047888 /nfs/dbraw/zinc/04/78/88/1074047888.db2.gz ZXRGFIZHJIPUAX-CKEIUWERSA-N 0 0 448.567 -0.655 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCOC1)[C@@H]1CCS(=O)(=O)C1 ZINC001313607524 1074048001 /nfs/dbraw/zinc/04/80/01/1074048001.db2.gz ZXRGFIZHJIPUAX-CPUCHLNUSA-N 0 0 448.567 -0.655 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCOC1)[C@H]1CCS(=O)(=O)C1 ZINC001313607526 1074047953 /nfs/dbraw/zinc/04/79/53/1074047953.db2.gz ZXRGFIZHJIPUAX-JKIFEVAISA-N 0 0 448.567 -0.655 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCOC1)[C@H]1CCS(=O)(=O)C1 ZINC001313607527 1074048008 /nfs/dbraw/zinc/04/80/08/1074048008.db2.gz ZXRGFIZHJIPUAX-KEYYUXOJSA-N 0 0 448.567 -0.655 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001313609560 1074048038 /nfs/dbraw/zinc/04/80/38/1074048038.db2.gz PKMVEAAHLFNHBX-QLFBSQMISA-N 0 0 448.567 -0.391 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001313609561 1074047967 /nfs/dbraw/zinc/04/79/67/1074047967.db2.gz PKMVEAAHLFNHBX-RBSFLKMASA-N 0 0 448.567 -0.391 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001313609562 1074047972 /nfs/dbraw/zinc/04/79/72/1074047972.db2.gz PKMVEAAHLFNHBX-RRFJBIMHSA-N 0 0 448.567 -0.391 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001313609563 1074047857 /nfs/dbraw/zinc/04/78/57/1074047857.db2.gz PKMVEAAHLFNHBX-SOUVJXGZSA-N 0 0 448.567 -0.391 20 0 IBADRN CCN(c1nnc(CN2CCCC2=O)n1CC(=O)NC(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001313614170 1074048045 /nfs/dbraw/zinc/04/80/45/1074048045.db2.gz BRIVBNNVNGBROV-AWEZNQCLSA-N 0 0 426.543 -0.062 20 0 IBADRN CCN(c1nnc(CN2CCCC2=O)n1CC(=O)NC(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001313614171 1074047782 /nfs/dbraw/zinc/04/77/82/1074047782.db2.gz BRIVBNNVNGBROV-CQSZACIVSA-N 0 0 426.543 -0.062 20 0 IBADRN CCN(c1nnc(CN2CCCC2=O)n1C[C@@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001313614188 1074048065 /nfs/dbraw/zinc/04/80/65/1074048065.db2.gz CCNCQGDVQZQPJG-HUUCEWRRSA-N 0 0 427.527 -0.171 20 0 IBADRN CCN(c1nnc(CN2CCCC2=O)n1C[C@@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001313614189 1074047901 /nfs/dbraw/zinc/04/79/01/1074047901.db2.gz CCNCQGDVQZQPJG-LSDHHAIUSA-N 0 0 427.527 -0.171 20 0 IBADRN CCN(c1nnc(CN2CCCC2=O)n1C[C@@]1(C)CN(C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001313615785 1074047910 /nfs/dbraw/zinc/04/79/10/1074047910.db2.gz RYWBPALKGOPNKG-AUUYWEPGSA-N 0 0 438.554 -0.108 20 0 IBADRN CCN(c1nnc(CN2CCCC2=O)n1C[C@@]1(C)CN(C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001313615786 1074047918 /nfs/dbraw/zinc/04/79/18/1074047918.db2.gz RYWBPALKGOPNKG-IFXJQAMLSA-N 0 0 438.554 -0.108 20 0 IBADRN CCN(c1nnc(CN2CCCC2=O)n1C[C@]1(C)CN(C)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001313615787 1074048387 /nfs/dbraw/zinc/04/83/87/1074048387.db2.gz RYWBPALKGOPNKG-KUHUBIRLSA-N 0 0 438.554 -0.108 20 0 IBADRN CCN(c1nnc(CN2CCCC2=O)n1C[C@]1(C)CN(C)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001313615788 1074048302 /nfs/dbraw/zinc/04/83/02/1074048302.db2.gz RYWBPALKGOPNKG-LIRRHRJNSA-N 0 0 438.554 -0.108 20 0 IBADRN CCN(C1CCN(c2nnc(C)n2CCN2CCCS2(=O)=O)CC1)S(C)(=O)=O ZINC001313621900 1074048366 /nfs/dbraw/zinc/04/83/66/1074048366.db2.gz MJZJGBAOWXJDFO-UHFFFAOYSA-N 0 0 434.588 -0.128 20 0 IBADRN CCN(CC)C(=O)CCCn1c([C@H]2CCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001313643416 1074048409 /nfs/dbraw/zinc/04/84/09/1074048409.db2.gz HBBXNWDUFSDEGA-HZPDHXFCSA-N 0 0 447.540 -0.075 20 0 IBADRN CCN(CC)C(=O)CCCn1c([C@H]2CCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001313643419 1074048319 /nfs/dbraw/zinc/04/83/19/1074048319.db2.gz HBBXNWDUFSDEGA-JKSUJKDBSA-N 0 0 447.540 -0.075 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2COCCO2)CC1 ZINC001313649093 1074048296 /nfs/dbraw/zinc/04/82/96/1074048296.db2.gz IGYALEFHBNEYDU-DLBZAZTESA-N 0 0 449.556 -0.365 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1 ZINC001313649094 1074048359 /nfs/dbraw/zinc/04/83/59/1074048359.db2.gz IGYALEFHBNEYDU-IAGOWNOFSA-N 0 0 449.556 -0.365 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2COCCO2)CC1 ZINC001313649095 1074048266 /nfs/dbraw/zinc/04/82/66/1074048266.db2.gz IGYALEFHBNEYDU-IRXDYDNUSA-N 0 0 449.556 -0.365 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1 ZINC001313649096 1074048292 /nfs/dbraw/zinc/04/82/92/1074048292.db2.gz IGYALEFHBNEYDU-SJORKVTESA-N 0 0 449.556 -0.365 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNC(C)=O)CC1 ZINC001313649231 1074048417 /nfs/dbraw/zinc/04/84/17/1074048417.db2.gz LXVMNNHKDCVJLO-KRWDZBQOSA-N 0 0 448.572 -0.254 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNC(C)=O)CC1 ZINC001313649232 1074048307 /nfs/dbraw/zinc/04/83/07/1074048307.db2.gz LXVMNNHKDCVJLO-QGZVFWFLSA-N 0 0 448.572 -0.254 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CCS(C)(=O)=O)CC1 ZINC001313649647 1074048397 /nfs/dbraw/zinc/04/83/97/1074048397.db2.gz YJNRDMSRNZOLTH-INIZCTEOSA-N 0 0 442.586 -0.183 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CCS(C)(=O)=O)CC1 ZINC001313649648 1074048337 /nfs/dbraw/zinc/04/83/37/1074048337.db2.gz YJNRDMSRNZOLTH-MRXNPFEDSA-N 0 0 442.586 -0.183 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCOC2)CC1 ZINC001313649890 1074048348 /nfs/dbraw/zinc/04/83/48/1074048348.db2.gz DTTIRWUKJUZZTQ-FOIQADDNSA-N 0 0 435.529 -0.036 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCOC2)CC1 ZINC001313649894 1074048404 /nfs/dbraw/zinc/04/84/04/1074048404.db2.gz DTTIRWUKJUZZTQ-MGPUTAFESA-N 0 0 435.529 -0.036 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCOC2)CC1 ZINC001313649896 1074048376 /nfs/dbraw/zinc/04/83/76/1074048376.db2.gz DTTIRWUKJUZZTQ-QRWLVFNGSA-N 0 0 435.529 -0.036 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCOC2)CC1 ZINC001313649898 1074048330 /nfs/dbraw/zinc/04/83/30/1074048330.db2.gz DTTIRWUKJUZZTQ-YWZLYKJASA-N 0 0 435.529 -0.036 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cnnn2C)CC1 ZINC001313650504 1074048389 /nfs/dbraw/zinc/04/83/89/1074048389.db2.gz NWXLNKZJVYLCET-HNNXBMFYSA-N 0 0 430.517 -0.010 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cnnn2C)CC1 ZINC001313650505 1074048352 /nfs/dbraw/zinc/04/83/52/1074048352.db2.gz NWXLNKZJVYLCET-OAHLLOKOSA-N 0 0 430.517 -0.010 20 0 IBADRN CCN(CC)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCNC(=O)c1ccn[nH]1 ZINC001313688525 1074048372 /nfs/dbraw/zinc/04/83/72/1074048372.db2.gz NARWKPXMGUBLCT-AWEZNQCLSA-N 0 0 440.530 -0.390 20 0 IBADRN CCN(CC)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCNC(=O)c1ccn[nH]1 ZINC001313688541 1074048712 /nfs/dbraw/zinc/04/87/12/1074048712.db2.gz NARWKPXMGUBLCT-CQSZACIVSA-N 0 0 440.530 -0.390 20 0 IBADRN CCN(Cc1cnn(C)c1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001313754559 1074048575 /nfs/dbraw/zinc/04/85/75/1074048575.db2.gz BARVTNBFUIIGLN-AWEZNQCLSA-N 0 0 430.556 -0.015 20 0 IBADRN CCN(Cc1cnn(C)c1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001313754560 1074048730 /nfs/dbraw/zinc/04/87/30/1074048730.db2.gz BARVTNBFUIIGLN-CQSZACIVSA-N 0 0 430.556 -0.015 20 0 IBADRN CCN(CC1CC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCS(C)(=O)=O ZINC001313764772 1074048738 /nfs/dbraw/zinc/04/87/38/1074048738.db2.gz LEYKBRPRCIOUSZ-AWEZNQCLSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(CC1CC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCS(C)(=O)=O ZINC001313764773 1074048649 /nfs/dbraw/zinc/04/86/49/1074048649.db2.gz LEYKBRPRCIOUSZ-CQSZACIVSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(CCCn1c(C)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O)S(C)(=O)=O ZINC001313798899 1074048588 /nfs/dbraw/zinc/04/85/88/1074048588.db2.gz IZUGVFROVAMISX-UHFFFAOYSA-N 0 0 427.531 -0.221 20 0 IBADRN CCN(CCCn1c(C(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)S(C)(=O)=O ZINC001313799740 1074048603 /nfs/dbraw/zinc/04/86/03/1074048603.db2.gz KXNSCGQMJGGLRP-AWEZNQCLSA-N 0 0 441.558 -0.780 20 0 IBADRN CCN(CCCn1c(C(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)S(C)(=O)=O ZINC001313799741 1074048556 /nfs/dbraw/zinc/04/85/56/1074048556.db2.gz KXNSCGQMJGGLRP-CQSZACIVSA-N 0 0 441.558 -0.780 20 0 IBADRN CCN(CCCn1c(C(=O)N2CCCC2)nnc1N1CCNC(=O)CC1)S(C)(=O)=O ZINC001313800406 1074048776 /nfs/dbraw/zinc/04/87/76/1074048776.db2.gz QUDKIQYTEZYUGB-UHFFFAOYSA-N 0 0 441.558 -0.488 20 0 IBADRN CCN(CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOCC1)S(C)(=O)=O ZINC001313800543 1074048758 /nfs/dbraw/zinc/04/87/58/1074048758.db2.gz LETFSZGAZPAYQT-AWEZNQCLSA-N 0 0 435.572 -0.312 20 0 IBADRN CCN(CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOCC1)S(C)(=O)=O ZINC001313800548 1074049182 /nfs/dbraw/zinc/04/91/82/1074049182.db2.gz LETFSZGAZPAYQT-CQSZACIVSA-N 0 0 435.572 -0.312 20 0 IBADRN CCN(CCCn1c(CN2CCCC2=O)nnc1N1CCS(=O)CC1)S(C)(=O)=O ZINC001313801482 1074049192 /nfs/dbraw/zinc/04/91/92/1074049192.db2.gz LSDOLIPVRCQARN-UHFFFAOYSA-N 0 0 446.599 -0.359 20 0 IBADRN CCN(CCCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@H]2C1)S(C)(=O)=O ZINC001313802527 1074048963 /nfs/dbraw/zinc/04/89/63/1074048963.db2.gz WGJXTCKRHCKTNH-CABCVRRESA-N 0 0 441.558 -0.333 20 0 IBADRN CCN(CCCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@H]2C1)S(C)(=O)=O ZINC001313802533 1074049026 /nfs/dbraw/zinc/04/90/26/1074049026.db2.gz WGJXTCKRHCKTNH-GJZGRUSLSA-N 0 0 441.558 -0.333 20 0 IBADRN CCN(CCCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@@H]2C1)S(C)(=O)=O ZINC001313802536 1074049057 /nfs/dbraw/zinc/04/90/57/1074049057.db2.gz WGJXTCKRHCKTNH-HUUCEWRRSA-N 0 0 441.558 -0.333 20 0 IBADRN CCN(CCCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@@H]2C1)S(C)(=O)=O ZINC001313802538 1074049126 /nfs/dbraw/zinc/04/91/26/1074049126.db2.gz WGJXTCKRHCKTNH-LSDHHAIUSA-N 0 0 441.558 -0.333 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001313822805 1074048975 /nfs/dbraw/zinc/04/89/75/1074048975.db2.gz BOLULVRHLIRWGS-LSDHHAIUSA-N 0 0 429.543 -0.075 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)Nc2ccccn2)n1C[C@]1(O)CCOC1 ZINC001313822817 1074049135 /nfs/dbraw/zinc/04/91/35/1074049135.db2.gz CAFFNHPHCLLJFQ-GOSISDBHSA-N 0 0 438.510 -0.052 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)Nc2ccccn2)n1C[C@@]1(O)CCOC1 ZINC001313822818 1074049179 /nfs/dbraw/zinc/04/91/79/1074049179.db2.gz CAFFNHPHCLLJFQ-SFHVURJKSA-N 0 0 438.510 -0.052 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C)n1CCS(=O)(=O)N1CCSCC1 ZINC001313823444 1074049145 /nfs/dbraw/zinc/04/91/45/1074049145.db2.gz LBFXGFUGQYUDDD-UHFFFAOYSA-N 0 0 425.602 -0.164 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)NC(C)C)n1CC(=O)N1CCOCC1 ZINC001313823539 1074049095 /nfs/dbraw/zinc/04/90/95/1074049095.db2.gz HCCRNXATPJNNOB-UHFFFAOYSA-N 0 0 430.531 -0.854 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(-c2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001313824425 1074049185 /nfs/dbraw/zinc/04/91/85/1074049185.db2.gz DDXWWUFYVUTTFW-KRWDZBQOSA-N 0 0 443.551 -0.239 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(-c2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001313824430 1074049528 /nfs/dbraw/zinc/04/95/28/1074049528.db2.gz DDXWWUFYVUTTFW-QGZVFWFLSA-N 0 0 443.551 -0.239 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O ZINC001313824482 1074049519 /nfs/dbraw/zinc/04/95/19/1074049519.db2.gz YORRCMDPUWVONJ-UHFFFAOYSA-N 0 0 435.572 -0.180 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(-c2cccnc2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001313825852 1074049535 /nfs/dbraw/zinc/04/95/35/1074049535.db2.gz XOBQHALCXKVMOD-KRWDZBQOSA-N 0 0 443.551 -0.239 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(-c2cccnc2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001313825853 1074049419 /nfs/dbraw/zinc/04/94/19/1074049419.db2.gz XOBQHALCXKVMOD-QGZVFWFLSA-N 0 0 443.551 -0.239 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001313826101 1074049510 /nfs/dbraw/zinc/04/95/10/1074049510.db2.gz OWRQCDVSNCBMJB-PBHICJAKSA-N 0 0 449.599 -0.882 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001313826102 1074049494 /nfs/dbraw/zinc/04/94/94/1074049494.db2.gz OWRQCDVSNCBMJB-RHSMWYFYSA-N 0 0 449.599 -0.882 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001313826103 1074049458 /nfs/dbraw/zinc/04/94/58/1074049458.db2.gz OWRQCDVSNCBMJB-WMLDXEAASA-N 0 0 449.599 -0.882 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001313826104 1074049480 /nfs/dbraw/zinc/04/94/80/1074049480.db2.gz OWRQCDVSNCBMJB-YOEHRIQHSA-N 0 0 449.599 -0.882 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001313827722 1074049501 /nfs/dbraw/zinc/04/95/01/1074049501.db2.gz IHCNRSLCGLHMLV-CYBMUJFWSA-N 0 0 447.583 -0.075 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001313827723 1074049576 /nfs/dbraw/zinc/04/95/76/1074049576.db2.gz IHCNRSLCGLHMLV-ZDUSSCGKSA-N 0 0 447.583 -0.075 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CCCC(=O)N(C)C)CCN2C1=O ZINC001313827940 1074049438 /nfs/dbraw/zinc/04/94/38/1074049438.db2.gz BZNXHEMMYDRHMU-AWEZNQCLSA-N 0 0 448.528 -0.243 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3CCCC(=O)N(C)C)CCN2C1=O ZINC001313827941 1074049551 /nfs/dbraw/zinc/04/95/51/1074049551.db2.gz BZNXHEMMYDRHMU-CQSZACIVSA-N 0 0 448.528 -0.243 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)N4CCCC4)n3CCOCCCO)CCN2C1=O ZINC001313827946 1074049429 /nfs/dbraw/zinc/04/94/29/1074049429.db2.gz CCZRHDDPIQDJNV-HNNXBMFYSA-N 0 0 449.512 -0.614 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)N4CCCC4)n3CCOCCCO)CCN2C1=O ZINC001313827947 1074049485 /nfs/dbraw/zinc/04/94/85/1074049485.db2.gz CCZRHDDPIQDJNV-OAHLLOKOSA-N 0 0 449.512 -0.614 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3C[C@]3(O)CCOC3)CCN2C1=O ZINC001313828998 1074049470 /nfs/dbraw/zinc/04/94/70/1074049470.db2.gz VWEYYGFHAUGRAH-BFUOFWGJSA-N 0 0 435.485 -0.960 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3C[C@@]3(O)CCOC3)CCN2C1=O ZINC001313828999 1074049568 /nfs/dbraw/zinc/04/95/68/1074049568.db2.gz VWEYYGFHAUGRAH-DJJJIMSYSA-N 0 0 435.485 -0.960 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3C[C@]3(O)CCOC3)CCN2C1=O ZINC001313829000 1074049560 /nfs/dbraw/zinc/04/95/60/1074049560.db2.gz VWEYYGFHAUGRAH-ORAYPTAESA-N 0 0 435.485 -0.960 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3C[C@@]3(O)CCOC3)CCN2C1=O ZINC001313829001 1074049407 /nfs/dbraw/zinc/04/94/07/1074049407.db2.gz VWEYYGFHAUGRAH-YJYMSZOUSA-N 0 0 435.485 -0.960 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3CC(=O)NC(C)C)CCN2C1=O ZINC001313829219 1074049447 /nfs/dbraw/zinc/04/94/47/1074049447.db2.gz ZPIKHZMYSUSGAZ-CYBMUJFWSA-N 0 0 434.501 -0.586 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CC(=O)NC(C)C)CCN2C1=O ZINC001313829220 1074049543 /nfs/dbraw/zinc/04/95/43/1074049543.db2.gz ZPIKHZMYSUSGAZ-ZDUSSCGKSA-N 0 0 434.501 -0.586 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CCC(=O)N1CCOCC1 ZINC001313829253 1074049715 /nfs/dbraw/zinc/04/97/15/1074049715.db2.gz ZLLWZQOHQFCELX-AWEZNQCLSA-N 0 0 442.542 -0.651 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CCC(=O)N1CCOCC1 ZINC001313829254 1074049880 /nfs/dbraw/zinc/04/98/80/1074049880.db2.gz ZLLWZQOHQFCELX-CQSZACIVSA-N 0 0 442.542 -0.651 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(CN4CCCC4=O)n3CCOCCOC)CCN2C1=O ZINC001313830565 1074049985 /nfs/dbraw/zinc/04/99/85/1074049985.db2.gz OQIIBEDGDPPHBO-HNNXBMFYSA-N 0 0 449.512 -0.464 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(CN4CCCC4=O)n3CCOCCOC)CCN2C1=O ZINC001313830566 1074049733 /nfs/dbraw/zinc/04/97/33/1074049733.db2.gz OQIIBEDGDPPHBO-OAHLLOKOSA-N 0 0 449.512 -0.464 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@@H]4CCOC4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001313830674 1074049890 /nfs/dbraw/zinc/04/98/90/1074049890.db2.gz QQYAAXKFBHECHX-CHWSQXEVSA-N 0 0 426.499 -0.701 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@@H]4CCOC4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001313830675 1074049867 /nfs/dbraw/zinc/04/98/67/1074049867.db2.gz QQYAAXKFBHECHX-OLZOCXBDSA-N 0 0 426.499 -0.701 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@H]4CCOC4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001313830823 1074049946 /nfs/dbraw/zinc/04/99/46/1074049946.db2.gz QQYAAXKFBHECHX-QWHCGFSZSA-N 0 0 426.499 -0.701 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@H]4CCOC4)n3CCS(C)(=O)=O)CCN2C1=O ZINC001313830824 1074049859 /nfs/dbraw/zinc/04/98/59/1074049859.db2.gz QQYAAXKFBHECHX-STQMWFEESA-N 0 0 426.499 -0.701 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@H]4CCCO4)n3CCNS(C)(=O)=O)CCN2C1=O ZINC001313831154 1074049839 /nfs/dbraw/zinc/04/98/39/1074049839.db2.gz UUGRRVYSQZAKLE-CHWSQXEVSA-N 0 0 441.514 -0.849 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@H]4CCCO4)n3CCNS(C)(=O)=O)CCN2C1=O ZINC001313831158 1074049937 /nfs/dbraw/zinc/04/99/37/1074049937.db2.gz UUGRRVYSQZAKLE-QWHCGFSZSA-N 0 0 441.514 -0.849 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(CN4CCCC4=O)n3CC(=O)NC(C)C)CCN2C1=O ZINC001313831477 1074049926 /nfs/dbraw/zinc/04/99/26/1074049926.db2.gz XVTTXDRLOJJFJT-AWEZNQCLSA-N 0 0 446.512 -0.602 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(CN4CCCC4=O)n3CC(=O)NC(C)C)CCN2C1=O ZINC001313831478 1074049741 /nfs/dbraw/zinc/04/97/41/1074049741.db2.gz XVTTXDRLOJJFJT-CQSZACIVSA-N 0 0 446.512 -0.602 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCCC(=O)N(C)C)CCN2C1=O ZINC001313831831 1074049828 /nfs/dbraw/zinc/04/98/28/1074049828.db2.gz AXQYBMHYHPFXDD-KBPBESRZSA-N 0 0 446.512 -0.430 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCCC(=O)N(C)C)CCN2C1=O ZINC001313831832 1074049746 /nfs/dbraw/zinc/04/97/46/1074049746.db2.gz AXQYBMHYHPFXDD-KGLIPLIRSA-N 0 0 446.512 -0.430 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCCC(=O)N(C)C)CCN2C1=O ZINC001313831833 1074049990 /nfs/dbraw/zinc/04/99/90/1074049990.db2.gz AXQYBMHYHPFXDD-UONOGXRCSA-N 0 0 446.512 -0.430 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCCC(=O)N(C)C)CCN2C1=O ZINC001313831834 1074049848 /nfs/dbraw/zinc/04/98/48/1074049848.db2.gz AXQYBMHYHPFXDD-ZIAGYGMSSA-N 0 0 446.512 -0.430 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4ccccc4)n3CCNS(C)(=O)=O)CCN2C1=O ZINC001313832477 1074050215 /nfs/dbraw/zinc/05/02/15/1074050215.db2.gz GURVMZOUKWXXKG-HNNXBMFYSA-N 0 0 447.521 -0.033 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4ccccc4)n3CCNS(C)(=O)=O)CCN2C1=O ZINC001313832482 1074050338 /nfs/dbraw/zinc/05/03/38/1074050338.db2.gz GURVMZOUKWXXKG-OAHLLOKOSA-N 0 0 447.521 -0.033 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnccn4)n3CCOCCOC)CCN2C1=O ZINC001313833215 1074050258 /nfs/dbraw/zinc/05/02/58/1074050258.db2.gz LGINLNUEHYKUTN-HNNXBMFYSA-N 0 0 430.469 -0.129 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnccn4)n3CCOCCOC)CCN2C1=O ZINC001313833216 1074050109 /nfs/dbraw/zinc/05/01/09/1074050109.db2.gz LGINLNUEHYKUTN-OAHLLOKOSA-N 0 0 430.469 -0.129 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCOCCOC)CCN2C1=O ZINC001313833866 1074050265 /nfs/dbraw/zinc/05/02/65/1074050265.db2.gz OFPQGCFDKITEJW-KBPBESRZSA-N 0 0 435.485 -0.635 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCOCCOC)CCN2C1=O ZINC001313833870 1074050126 /nfs/dbraw/zinc/05/01/26/1074050126.db2.gz OFPQGCFDKITEJW-KGLIPLIRSA-N 0 0 435.485 -0.635 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCOCCOC)CCN2C1=O ZINC001313833872 1074050251 /nfs/dbraw/zinc/05/02/51/1074050251.db2.gz OFPQGCFDKITEJW-UONOGXRCSA-N 0 0 435.485 -0.635 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCOCCOC)CCN2C1=O ZINC001313833874 1074050255 /nfs/dbraw/zinc/05/02/55/1074050255.db2.gz OFPQGCFDKITEJW-ZIAGYGMSSA-N 0 0 435.485 -0.635 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4ccc[nH]4)n3CCS(=O)(=O)NC)CCN2C1=O ZINC001313834013 1074050245 /nfs/dbraw/zinc/05/02/45/1074050245.db2.gz PKCKOCRXXRPPPV-CYBMUJFWSA-N 0 0 436.498 -0.705 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4ccc[nH]4)n3CCS(=O)(=O)NC)CCN2C1=O ZINC001313834018 1074050223 /nfs/dbraw/zinc/05/02/23/1074050223.db2.gz PKCKOCRXXRPPPV-ZDUSSCGKSA-N 0 0 436.498 -0.705 20 0 IBADRN CCn1cc(CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2CCS(C)(=O)=O)cn1 ZINC001313834388 1074050209 /nfs/dbraw/zinc/05/02/09/1074050209.db2.gz FLBWBCPIQDPOCQ-GFCCVEGCSA-N 0 0 433.560 -0.214 20 0 IBADRN CCn1cc(CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2CCS(C)(=O)=O)cn1 ZINC001313834389 1074050115 /nfs/dbraw/zinc/05/01/15/1074050115.db2.gz FLBWBCPIQDPOCQ-LBPRGKRZSA-N 0 0 433.560 -0.214 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C4CC4)n3CCNS(=O)(=O)CC)CCN2C1=O ZINC001313835624 1074050345 /nfs/dbraw/zinc/05/03/45/1074050345.db2.gz VQZFHEAXQGTESA-CYBMUJFWSA-N 0 0 425.515 -0.433 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C4CC4)n3CCNS(=O)(=O)CC)CCN2C1=O ZINC001313835625 1074050143 /nfs/dbraw/zinc/05/01/43/1074050143.db2.gz VQZFHEAXQGTESA-ZDUSSCGKSA-N 0 0 425.515 -0.433 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(C)C)n3CCNS(=O)(=O)CC)CCN2C1=O ZINC001313836232 1074050702 /nfs/dbraw/zinc/05/07/02/1074050702.db2.gz XQYZNOJLONGMJT-CYBMUJFWSA-N 0 0 427.531 -0.187 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(C)C)n3CCNS(=O)(=O)CC)CCN2C1=O ZINC001313836233 1074050580 /nfs/dbraw/zinc/05/05/80/1074050580.db2.gz XQYZNOJLONGMJT-ZDUSSCGKSA-N 0 0 427.531 -0.187 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnn(C)c4)n3CC(=O)NC(C)C)CCN2C1=O ZINC001313836606 1074050660 /nfs/dbraw/zinc/05/06/60/1074050660.db2.gz YECTUBBZWDHTKX-AWEZNQCLSA-N 0 0 429.485 -0.324 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnn(C)c4)n3CC(=O)NC(C)C)CCN2C1=O ZINC001313836617 1074050712 /nfs/dbraw/zinc/05/07/12/1074050712.db2.gz YECTUBBZWDHTKX-CQSZACIVSA-N 0 0 429.485 -0.324 20 0 IBADRN CCn1cc(CN(C)c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)cn1 ZINC001313838234 1074050725 /nfs/dbraw/zinc/05/07/25/1074050725.db2.gz ODACBRXVGZJFLF-AWEZNQCLSA-N 0 0 445.571 -0.418 20 0 IBADRN CCn1cc(CN(C)c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)cn1 ZINC001313838235 1074050588 /nfs/dbraw/zinc/05/05/88/1074050588.db2.gz ODACBRXVGZJFLF-CQSZACIVSA-N 0 0 445.571 -0.418 20 0 IBADRN CCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC[C@@H](S(C)(=O)=O)C2)cn1 ZINC001313839978 1074050667 /nfs/dbraw/zinc/05/06/67/1074050667.db2.gz BLHCNSSXFZVAIP-GXTWGEPZSA-N 0 0 445.571 -0.224 20 0 IBADRN CCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC[C@H](S(C)(=O)=O)C2)cn1 ZINC001313839983 1074050601 /nfs/dbraw/zinc/05/06/01/1074050601.db2.gz BLHCNSSXFZVAIP-JSGCOSHPSA-N 0 0 445.571 -0.224 20 0 IBADRN CCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC[C@H](S(C)(=O)=O)C2)cn1 ZINC001313839984 1074050649 /nfs/dbraw/zinc/05/06/49/1074050649.db2.gz BLHCNSSXFZVAIP-OCCSQVGLSA-N 0 0 445.571 -0.224 20 0 IBADRN CCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC[C@@H](S(C)(=O)=O)C2)cn1 ZINC001313839985 1074050607 /nfs/dbraw/zinc/05/06/07/1074050607.db2.gz BLHCNSSXFZVAIP-TZMCWYRMSA-N 0 0 445.571 -0.224 20 0 IBADRN CCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN(CCOC)CC2)cn1 ZINC001313840995 1074050632 /nfs/dbraw/zinc/05/06/32/1074050632.db2.gz QFMCPFJFBGXIMD-HNNXBMFYSA-N 0 0 440.574 -0.079 20 0 IBADRN CCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN(CCOC)CC2)cn1 ZINC001313840996 1074050686 /nfs/dbraw/zinc/05/06/86/1074050686.db2.gz QFMCPFJFBGXIMD-OAHLLOKOSA-N 0 0 440.574 -0.079 20 0 IBADRN CCn1cc(Cn2c(CN3CCCC3=O)nnc2N(C)CC(=O)N2CCOCC2)cn1 ZINC001313845600 1074050621 /nfs/dbraw/zinc/05/06/21/1074050621.db2.gz RIOKTCKREYRDHC-UHFFFAOYSA-N 0 0 430.513 -0.040 20 0 IBADRN CCn1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)cn1 ZINC001313845998 1074050678 /nfs/dbraw/zinc/05/06/78/1074050678.db2.gz FAKKAGCHXNHSFG-HNNXBMFYSA-N 0 0 425.515 -0.288 20 0 IBADRN CCn1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)cn1 ZINC001313845999 1074050566 /nfs/dbraw/zinc/05/05/66/1074050566.db2.gz FAKKAGCHXNHSFG-OAHLLOKOSA-N 0 0 425.515 -0.288 20 0 IBADRN CCn1cccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(CCOC)C(=O)C2)c1=O ZINC001313849543 1074050595 /nfs/dbraw/zinc/05/05/95/1074050595.db2.gz JEYIACVEADOEHR-INIZCTEOSA-N 0 0 443.508 -0.246 20 0 IBADRN CCn1cccc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(CCOC)C(=O)C2)c1=O ZINC001313849544 1074050706 /nfs/dbraw/zinc/05/07/06/1074050706.db2.gz JEYIACVEADOEHR-MRXNPFEDSA-N 0 0 443.508 -0.246 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001313852092 1074050572 /nfs/dbraw/zinc/05/05/72/1074050572.db2.gz BBPFOHIJSHSQQB-CHWSQXEVSA-N 0 0 437.530 -0.641 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001313852093 1074050615 /nfs/dbraw/zinc/05/06/15/1074050615.db2.gz BBPFOHIJSHSQQB-OLZOCXBDSA-N 0 0 437.530 -0.641 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001313852094 1074050729 /nfs/dbraw/zinc/05/07/29/1074050729.db2.gz BBPFOHIJSHSQQB-QWHCGFSZSA-N 0 0 437.530 -0.641 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001313852095 1074051159 /nfs/dbraw/zinc/05/11/59/1074051159.db2.gz BBPFOHIJSHSQQB-STQMWFEESA-N 0 0 437.530 -0.641 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)(C)C ZINC001313853014 1074050926 /nfs/dbraw/zinc/05/09/26/1074050926.db2.gz WAOWKWYHYVNZBE-GFCCVEGCSA-N 0 0 441.562 -0.101 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)(C)C ZINC001313853015 1074051062 /nfs/dbraw/zinc/05/10/62/1074051062.db2.gz WAOWKWYHYVNZBE-LBPRGKRZSA-N 0 0 441.562 -0.101 20 0 IBADRN CCn1cnnc1Cn1c(C(=O)N2CCCC2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001313853137 1074050961 /nfs/dbraw/zinc/05/09/61/1074050961.db2.gz ZJPQIWMFCXJIML-UHFFFAOYSA-N 0 0 431.501 -0.531 20 0 IBADRN CCn1cnnc1Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)COC)CC1 ZINC001313854516 1074050972 /nfs/dbraw/zinc/05/09/72/1074050972.db2.gz GPRIJNGOHZXUQI-UHFFFAOYSA-N 0 0 431.501 -0.645 20 0 IBADRN CCn1cnnc1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)COC)CC1 ZINC001313855493 1074051011 /nfs/dbraw/zinc/05/10/11/1074051011.db2.gz KOGVKFODTPAHJQ-CQSZACIVSA-N 0 0 431.501 -0.380 20 0 IBADRN CCn1cnnc1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001313856926 1074051105 /nfs/dbraw/zinc/05/11/05/1074051105.db2.gz AQGBYKZVIWZCSV-CABCVRRESA-N 0 0 436.542 -0.055 20 0 IBADRN CCn1cnnc1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001313856927 1074050981 /nfs/dbraw/zinc/05/09/81/1074050981.db2.gz AQGBYKZVIWZCSV-GJZGRUSLSA-N 0 0 436.542 -0.055 20 0 IBADRN CCn1cnnc1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001313856928 1074051018 /nfs/dbraw/zinc/05/10/18/1074051018.db2.gz AQGBYKZVIWZCSV-HUUCEWRRSA-N 0 0 436.542 -0.055 20 0 IBADRN CCn1cnnc1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001313856929 1074051165 /nfs/dbraw/zinc/05/11/65/1074051165.db2.gz AQGBYKZVIWZCSV-LSDHHAIUSA-N 0 0 436.542 -0.055 20 0 IBADRN CCn1cnnc1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NC)CC1 ZINC001313857981 1074051023 /nfs/dbraw/zinc/05/10/23/1074051023.db2.gz XELINHAOXBEKCD-CYBMUJFWSA-N 0 0 437.530 -0.699 20 0 IBADRN CCn1cnnc1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NC)CC1 ZINC001313857982 1074051153 /nfs/dbraw/zinc/05/11/53/1074051153.db2.gz XELINHAOXBEKCD-ZDUSSCGKSA-N 0 0 437.530 -0.699 20 0 IBADRN CCN1CCC[C@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001313901182 1074052227 /nfs/dbraw/zinc/05/22/27/1074052227.db2.gz VIWRCRZVLOHPNE-CABCVRRESA-N 0 0 431.584 -0.101 20 0 IBADRN CCN1CCC[C@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001313901184 1074052027 /nfs/dbraw/zinc/05/20/27/1074052027.db2.gz VIWRCRZVLOHPNE-GJZGRUSLSA-N 0 0 431.584 -0.101 20 0 IBADRN CCN1CCC[C@@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001313901186 1074052097 /nfs/dbraw/zinc/05/20/97/1074052097.db2.gz VIWRCRZVLOHPNE-HUUCEWRRSA-N 0 0 431.584 -0.101 20 0 IBADRN CCN1CCC[C@@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001313901188 1074052236 /nfs/dbraw/zinc/05/22/36/1074052236.db2.gz VIWRCRZVLOHPNE-LSDHHAIUSA-N 0 0 431.584 -0.101 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1=O ZINC001313913503 1074052245 /nfs/dbraw/zinc/05/22/45/1074052245.db2.gz LHQNRVYTASAPDN-CHWSQXEVSA-N 0 0 427.531 -0.775 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)CC1=O ZINC001313913510 1074052273 /nfs/dbraw/zinc/05/22/73/1074052273.db2.gz LHQNRVYTASAPDN-QWHCGFSZSA-N 0 0 427.531 -0.775 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCO[C@H]2C)CC1=O ZINC001313916839 1074052043 /nfs/dbraw/zinc/05/20/43/1074052043.db2.gz AZZGSRFJZACQNW-DEYYWGMASA-N 0 0 444.558 -0.513 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCO[C@@H]2C)CC1=O ZINC001313916840 1074052055 /nfs/dbraw/zinc/05/20/55/1074052055.db2.gz AZZGSRFJZACQNW-IYOUNJFTSA-N 0 0 444.558 -0.513 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCO[C@@H]2C)CC1=O ZINC001313916841 1074052187 /nfs/dbraw/zinc/05/21/87/1074052187.db2.gz AZZGSRFJZACQNW-PMUMKWKESA-N 0 0 444.558 -0.513 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCO[C@H]2C)CC1=O ZINC001313916843 1074052163 /nfs/dbraw/zinc/05/21/63/1074052163.db2.gz AZZGSRFJZACQNW-SUNYJGFJSA-N 0 0 444.558 -0.513 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)CC1=O ZINC001313917043 1074052154 /nfs/dbraw/zinc/05/21/54/1074052154.db2.gz DBVLUZUWIFKAEY-AWEZNQCLSA-N 0 0 443.574 -0.138 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)CC1=O ZINC001313917044 1074052605 /nfs/dbraw/zinc/05/26/05/1074052605.db2.gz DBVLUZUWIFKAEY-CQSZACIVSA-N 0 0 443.574 -0.138 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)N3CCCC3)n2CCC[C@@H]2NC(=O)NC2=O)CC1=O ZINC001313917829 1074052613 /nfs/dbraw/zinc/05/26/13/1074052613.db2.gz UZRNTUMNHLSPGP-AWEZNQCLSA-N 0 0 446.512 -0.439 20 0 IBADRN CCN1CCCN(c2nnc(-c3cnccn3)n2CCN2CCN(C(C)=O)CC2)CC1=O ZINC001313918726 1074052709 /nfs/dbraw/zinc/05/27/09/1074052709.db2.gz NAHAPJPRQZSGEC-UHFFFAOYSA-N 0 0 441.540 -0.042 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)CC1=O ZINC001313919188 1074052462 /nfs/dbraw/zinc/05/24/62/1074052462.db2.gz BNEGLXMCQJVUDI-AWEZNQCLSA-N 0 0 430.531 -0.684 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)CC1=O ZINC001313919189 1074052726 /nfs/dbraw/zinc/05/27/26/1074052726.db2.gz BNEGLXMCQJVUDI-CQSZACIVSA-N 0 0 430.531 -0.684 20 0 IBADRN CCN1CCCN(c2nnc(CN3CCCC3=O)n2CCNS(C)(=O)=O)CC1=O ZINC001313920606 1074052663 /nfs/dbraw/zinc/05/26/63/1074052663.db2.gz GEOMAVROXAZLIP-UHFFFAOYSA-N 0 0 427.531 -0.992 20 0 IBADRN CCN1CCCN(c2nnc(CN3CCCC3=O)n2CCCn2cc(CO)nn2)CC1=O ZINC001313922105 1074052593 /nfs/dbraw/zinc/05/25/93/1074052593.db2.gz RFYVELYPQLDXHT-UHFFFAOYSA-N 0 0 445.528 -0.367 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)CC)CC1=O ZINC001313922250 1074052517 /nfs/dbraw/zinc/05/25/17/1074052517.db2.gz URMBHXWOMYYMBA-AWEZNQCLSA-N 0 0 447.583 -0.327 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)CC)CC1=O ZINC001313922251 1074052645 /nfs/dbraw/zinc/05/26/45/1074052645.db2.gz URMBHXWOMYYMBA-CQSZACIVSA-N 0 0 447.583 -0.327 20 0 IBADRN CCN1CCCN(c2nnc(Cn3nc(C)cc3C)n2CCS(=O)(=O)NC)CC1=O ZINC001313922595 1074052651 /nfs/dbraw/zinc/05/26/51/1074052651.db2.gz UOHNSPFJPDYWIC-UHFFFAOYSA-N 0 0 438.558 -0.252 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001313923257 1074052507 /nfs/dbraw/zinc/05/25/07/1074052507.db2.gz XTTNYQBKNMIAKI-KBXCAEBGSA-N 0 0 427.527 -0.610 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001313923258 1074052493 /nfs/dbraw/zinc/05/24/93/1074052493.db2.gz XTTNYQBKNMIAKI-KDOFPFPSSA-N 0 0 427.527 -0.610 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001313923259 1074052584 /nfs/dbraw/zinc/05/25/84/1074052584.db2.gz XTTNYQBKNMIAKI-KSSFIOAISA-N 0 0 427.527 -0.610 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001313923260 1074052659 /nfs/dbraw/zinc/05/26/59/1074052659.db2.gz XTTNYQBKNMIAKI-RDTXWAMCSA-N 0 0 427.527 -0.610 20 0 IBADRN CCn1cnnc1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCCN(CC)C(=O)C1 ZINC001313923286 1074052683 /nfs/dbraw/zinc/05/26/83/1074052683.db2.gz YKYJUHMCFJONIO-AWEZNQCLSA-N 0 0 436.542 -0.102 20 0 IBADRN CCn1cnnc1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCCN(CC)C(=O)C1 ZINC001313923287 1074052674 /nfs/dbraw/zinc/05/26/74/1074052674.db2.gz YKYJUHMCFJONIO-CQSZACIVSA-N 0 0 436.542 -0.102 20 0 IBADRN CCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCN(C)C2)C[C@@H]1C ZINC001313937038 1074053381 /nfs/dbraw/zinc/05/33/81/1074053381.db2.gz KBPMCFQTZMRIKG-FCEWJHQRSA-N 0 0 426.587 -0.223 20 0 IBADRN CCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCN(C)C2)C[C@@H]1C ZINC001313937039 1074053056 /nfs/dbraw/zinc/05/30/56/1074053056.db2.gz KBPMCFQTZMRIKG-FRQCXROJSA-N 0 0 426.587 -0.223 20 0 IBADRN CCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCN(C)C2)C[C@H]1C ZINC001313937040 1074053280 /nfs/dbraw/zinc/05/32/80/1074053280.db2.gz KBPMCFQTZMRIKG-GPMSIDNRSA-N 0 0 426.587 -0.223 20 0 IBADRN CCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCN(C)C2)C[C@H]1C ZINC001313937041 1074053247 /nfs/dbraw/zinc/05/32/47/1074053247.db2.gz KBPMCFQTZMRIKG-MDZRGWNJSA-N 0 0 426.587 -0.223 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)CC1 ZINC001313964661 1074054240 /nfs/dbraw/zinc/05/42/40/1074054240.db2.gz UHPXHYXVYMPZRG-GFCCVEGCSA-N 0 0 429.547 -0.623 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)CC1 ZINC001313964662 1074054342 /nfs/dbraw/zinc/05/43/42/1074054342.db2.gz UHPXHYXVYMPZRG-LBPRGKRZSA-N 0 0 429.547 -0.623 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)COC)CC1 ZINC001313965583 1074054389 /nfs/dbraw/zinc/05/43/89/1074054389.db2.gz MIJNVJRTEJBIQO-UHFFFAOYSA-N 0 0 437.545 -0.126 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001313966009 1074054249 /nfs/dbraw/zinc/05/42/49/1074054249.db2.gz QYONQOOINGXQHB-AWEZNQCLSA-N 0 0 435.529 -0.372 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001313966010 1074054402 /nfs/dbraw/zinc/05/44/02/1074054402.db2.gz QYONQOOINGXQHB-CQSZACIVSA-N 0 0 435.529 -0.372 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001313966779 1074054323 /nfs/dbraw/zinc/05/43/23/1074054323.db2.gz VLWBGCYMEPMPEL-UHFFFAOYSA-N 0 0 449.556 -0.029 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(-c2cccn2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001313968058 1074054333 /nfs/dbraw/zinc/05/43/33/1074054333.db2.gz OJLXGRUHZAHQEF-AWEZNQCLSA-N 0 0 442.524 -0.407 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(-c2cccn2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001313968059 1074054262 /nfs/dbraw/zinc/05/42/62/1074054262.db2.gz OJLXGRUHZAHQEF-CQSZACIVSA-N 0 0 442.524 -0.407 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001313971161 1074054290 /nfs/dbraw/zinc/05/42/90/1074054290.db2.gz KJAGPBDZFPPGPM-CABCVRRESA-N 0 0 435.529 -0.267 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001313971162 1074054312 /nfs/dbraw/zinc/05/43/12/1074054312.db2.gz KJAGPBDZFPPGPM-GJZGRUSLSA-N 0 0 435.529 -0.267 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001313971163 1074054424 /nfs/dbraw/zinc/05/44/24/1074054424.db2.gz KJAGPBDZFPPGPM-HUUCEWRRSA-N 0 0 435.529 -0.267 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001313971164 1074054351 /nfs/dbraw/zinc/05/43/51/1074054351.db2.gz KJAGPBDZFPPGPM-LSDHHAIUSA-N 0 0 435.529 -0.267 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)COC)CC1 ZINC001313972632 1074054376 /nfs/dbraw/zinc/05/43/76/1074054376.db2.gz DKEREDQEKSBKGS-UHFFFAOYSA-N 0 0 449.556 -0.142 20 0 IBADRN CCNC(=O)c1ccc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)cc1 ZINC001313982931 1074054413 /nfs/dbraw/zinc/05/44/13/1074054413.db2.gz ZGPZRJWZNLNPBI-CYBMUJFWSA-N 0 0 449.537 -0.378 20 0 IBADRN CCNC(=O)c1ccc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)cc1 ZINC001313982941 1074054445 /nfs/dbraw/zinc/05/44/45/1074054445.db2.gz ZGPZRJWZNLNPBI-ZDUSSCGKSA-N 0 0 449.537 -0.378 20 0 IBADRN CCNC(=O)c1cccc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)c1 ZINC001313983703 1074054364 /nfs/dbraw/zinc/05/43/64/1074054364.db2.gz MKWAQHDPUFSYEB-CYBMUJFWSA-N 0 0 449.537 -0.378 20 0 IBADRN CCNC(=O)c1cccc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)c1 ZINC001313983704 1074054276 /nfs/dbraw/zinc/05/42/76/1074054276.db2.gz MKWAQHDPUFSYEB-ZDUSSCGKSA-N 0 0 449.537 -0.378 20 0 IBADRN CCNC(=O)c1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)C2)cc1 ZINC001313985048 1074054457 /nfs/dbraw/zinc/05/44/57/1074054457.db2.gz WDXOJJAAYUWRLO-INIZCTEOSA-N 0 0 446.533 -0.086 20 0 IBADRN CCNC(=O)c1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)C2)cc1 ZINC001313985049 1074054433 /nfs/dbraw/zinc/05/44/33/1074054433.db2.gz WDXOJJAAYUWRLO-MRXNPFEDSA-N 0 0 446.533 -0.086 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)CC)n2CCS(=O)(=O)N(C)C)CC1 ZINC001313985702 1074054299 /nfs/dbraw/zinc/05/42/99/1074054299.db2.gz AKUPCGZEVXOWMI-HNNXBMFYSA-N 0 0 429.591 -0.059 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)CC)n2CCS(=O)(=O)N(C)C)CC1 ZINC001313985715 1074054764 /nfs/dbraw/zinc/05/47/64/1074054764.db2.gz AKUPCGZEVXOWMI-OAHLLOKOSA-N 0 0 429.591 -0.059 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(F)(F)F)CC1 ZINC001313985862 1074054697 /nfs/dbraw/zinc/05/46/97/1074054697.db2.gz DOSZGLYIFOYPTR-LLVKDONJSA-N 0 0 441.480 -0.291 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(F)(F)F)CC1 ZINC001313985863 1074054756 /nfs/dbraw/zinc/05/47/56/1074054756.db2.gz DOSZGLYIFOYPTR-NSHDSACASA-N 0 0 441.480 -0.291 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CC(=O)NCC(F)F)CC1 ZINC001313986371 1074054780 /nfs/dbraw/zinc/05/47/80/1074054780.db2.gz AOLGXHBTTDTVRZ-UHFFFAOYSA-N 0 0 444.487 -0.944 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(CC)CC)n2Cc2ncn(C)n2)CC1 ZINC001313986424 1074054751 /nfs/dbraw/zinc/05/47/51/1074054751.db2.gz CBTIRNGLSZTBKG-UHFFFAOYSA-N 0 0 446.560 -0.369 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C)n2CCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001313986530 1074054730 /nfs/dbraw/zinc/05/47/30/1074054730.db2.gz NZHJAPQFSGFUIX-UHFFFAOYSA-N 0 0 435.554 -0.265 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001313986803 1074054701 /nfs/dbraw/zinc/05/47/01/1074054701.db2.gz FXSUVISPNIDODK-GOSISDBHSA-N 0 0 428.559 -0.791 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001313986805 1074054748 /nfs/dbraw/zinc/05/47/48/1074054748.db2.gz FXSUVISPNIDODK-SFHVURJKSA-N 0 0 428.559 -0.791 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(C)(C)C)n2CCS(=O)(=O)N(C)C)CC1 ZINC001313986816 1074054705 /nfs/dbraw/zinc/05/47/05/1074054705.db2.gz GEFHKYLQLIULRD-UHFFFAOYSA-N 0 0 429.591 -0.275 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)C2CC2)CC1 ZINC001313986924 1074054789 /nfs/dbraw/zinc/05/47/89/1074054789.db2.gz HJRLEGFWCRJZPM-UHFFFAOYSA-N 0 0 446.556 -0.702 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)CC)CC1 ZINC001313987181 1074054737 /nfs/dbraw/zinc/05/47/37/1074054737.db2.gz IEKZPRXKMGSPTL-UHFFFAOYSA-N 0 0 443.574 -0.891 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCc2cn[nH]c2)CC1 ZINC001313987516 1074054744 /nfs/dbraw/zinc/05/47/44/1074054744.db2.gz NABLZTXERDWJJE-UHFFFAOYSA-N 0 0 429.529 -0.262 20 0 IBADRN CCNC(=O)c1cccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)C2)c1 ZINC001313987642 1074054693 /nfs/dbraw/zinc/05/46/93/1074054693.db2.gz SSGGBXROMUYPSI-INIZCTEOSA-N 0 0 446.533 -0.086 20 0 IBADRN CCNC(=O)c1cccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)C2)c1 ZINC001313987643 1074054709 /nfs/dbraw/zinc/05/47/09/1074054709.db2.gz SSGGBXROMUYPSI-MRXNPFEDSA-N 0 0 446.533 -0.086 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(OC)CCOC2)CC1 ZINC001313987710 1074054727 /nfs/dbraw/zinc/05/47/27/1074054727.db2.gz OSHNKUFZVUGQLP-FQEVSTJZSA-N 0 0 437.545 -0.520 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(OC)CCOC2)CC1 ZINC001313987712 1074054770 /nfs/dbraw/zinc/05/47/70/1074054770.db2.gz OSHNKUFZVUGQLP-HXUWFJFHSA-N 0 0 437.545 -0.520 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2NC(=O)CC2(C)C)CC1 ZINC001313987764 1074054689 /nfs/dbraw/zinc/05/46/89/1074054689.db2.gz OXZXNQAMGJQZQW-HNNXBMFYSA-N 0 0 448.572 -0.411 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2NC(=O)CC2(C)C)CC1 ZINC001313987777 1074054724 /nfs/dbraw/zinc/05/47/24/1074054724.db2.gz OXZXNQAMGJQZQW-OAHLLOKOSA-N 0 0 448.572 -0.411 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)NC(C)C)CC1 ZINC001313988166 1074054711 /nfs/dbraw/zinc/05/47/11/1074054711.db2.gz RUQRWPBXTMBLMW-UHFFFAOYSA-N 0 0 434.545 -0.703 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCn2ccnc2C)CC1 ZINC001313988509 1074054680 /nfs/dbraw/zinc/05/46/80/1074054680.db2.gz ZKVDGKBTSJHVSU-UHFFFAOYSA-N 0 0 443.556 -0.023 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CC[S@@](=O)CC)CC1 ZINC001313988738 1074055161 /nfs/dbraw/zinc/05/51/61/1074055161.db2.gz ARKKTLLDXSOAGH-PMERELPUSA-N 0 0 439.586 -0.573 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CC[S@](=O)CC)CC1 ZINC001313988739 1074055119 /nfs/dbraw/zinc/05/51/19/1074055119.db2.gz ARKKTLLDXSOAGH-SSEXGKCCSA-N 0 0 439.586 -0.573 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@@]2(O)CCOC2)CC1 ZINC001313989037 1074055135 /nfs/dbraw/zinc/05/51/35/1074055135.db2.gz IRFNMFHRFLYLNW-NRFANRHFSA-N 0 0 446.556 -0.451 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@]2(O)CCOC2)CC1 ZINC001313989038 1074055171 /nfs/dbraw/zinc/05/51/71/1074055171.db2.gz IRFNMFHRFLYLNW-OAQYLSRUSA-N 0 0 446.556 -0.451 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cn3nc(C)cc3C)n2CCC(=O)NC)CC1 ZINC001313989083 1074055178 /nfs/dbraw/zinc/05/51/78/1074055178.db2.gz KKIZDKCMPJIJKS-UHFFFAOYSA-N 0 0 431.545 -0.466 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001313989607 1074055088 /nfs/dbraw/zinc/05/50/88/1074055088.db2.gz FOWUSKJQKVAXOP-HNNXBMFYSA-N 0 0 445.528 -0.807 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001313989613 1074055062 /nfs/dbraw/zinc/05/50/62/1074055062.db2.gz FOWUSKJQKVAXOP-OAHLLOKOSA-N 0 0 445.528 -0.807 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@@H]2COCCO2)CC1 ZINC001313989672 1074055068 /nfs/dbraw/zinc/05/50/68/1074055068.db2.gz RPYZGRVBDLWHAX-GOSISDBHSA-N 0 0 446.556 -0.187 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@H]2COCCO2)CC1 ZINC001313989673 1074055053 /nfs/dbraw/zinc/05/50/53/1074055053.db2.gz RPYZGRVBDLWHAX-SFHVURJKSA-N 0 0 446.556 -0.187 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001313989702 1074055104 /nfs/dbraw/zinc/05/51/04/1074055104.db2.gz TUTYMILJPABVEC-NRFANRHFSA-N 0 0 446.556 -0.863 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001313989703 1074055185 /nfs/dbraw/zinc/05/51/85/1074055185.db2.gz TUTYMILJPABVEC-OAQYLSRUSA-N 0 0 446.556 -0.863 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2COCCO2)CC1 ZINC001313989844 1074055096 /nfs/dbraw/zinc/05/50/96/1074055096.db2.gz ULGDPXDHNARSRL-INIZCTEOSA-N 0 0 435.529 -0.926 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCC)CC1 ZINC001313989874 1074055045 /nfs/dbraw/zinc/05/50/45/1074055045.db2.gz ITPQCQHOIIBEII-HNNXBMFYSA-N 0 0 428.559 -0.525 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCC)CC1 ZINC001313989875 1074055154 /nfs/dbraw/zinc/05/51/54/1074055154.db2.gz ITPQCQHOIIBEII-OAHLLOKOSA-N 0 0 428.559 -0.525 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NC(C)C)CC1 ZINC001313990039 1074055126 /nfs/dbraw/zinc/05/51/26/1074055126.db2.gz XQOZIGAYVPGTCX-UHFFFAOYSA-N 0 0 434.545 -0.817 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CC(C)(C)C)n2CCNS(C)(=O)=O)CC1 ZINC001313990166 1074055039 /nfs/dbraw/zinc/05/50/39/1074055039.db2.gz JSTVGSMEMKNCHC-UHFFFAOYSA-N 0 0 429.591 -0.326 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)NCC(F)F)CC1 ZINC001313990199 1074055148 /nfs/dbraw/zinc/05/51/48/1074055148.db2.gz KBBRMURMCWETNN-CYBMUJFWSA-N 0 0 429.472 -0.579 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)NCC(F)F)CC1 ZINC001313990209 1074055142 /nfs/dbraw/zinc/05/51/42/1074055142.db2.gz KBBRMURMCWETNN-ZDUSSCGKSA-N 0 0 429.472 -0.579 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@](C)(O)CC)CC1 ZINC001313990464 1074055347 /nfs/dbraw/zinc/05/53/47/1074055347.db2.gz MDTUJGCMPGTCQP-BEFAXECRSA-N 0 0 442.586 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@](C)(O)CC)CC1 ZINC001313990465 1074055365 /nfs/dbraw/zinc/05/53/65/1074055365.db2.gz MDTUJGCMPGTCQP-DNVCBOLYSA-N 0 0 442.586 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@](C)(O)CC)CC1 ZINC001313990466 1074055374 /nfs/dbraw/zinc/05/53/74/1074055374.db2.gz MDTUJGCMPGTCQP-HNAYVOBHSA-N 0 0 442.586 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@](C)(O)CC)CC1 ZINC001313990467 1074055474 /nfs/dbraw/zinc/05/54/74/1074055474.db2.gz MDTUJGCMPGTCQP-KXBFYZLASA-N 0 0 442.586 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cc3cccnc3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001313990506 1074055544 /nfs/dbraw/zinc/05/55/44/1074055544.db2.gz JHMKDLKPTIAOBA-QGZVFWFLSA-N 0 0 426.525 -0.199 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCCOC)CC1 ZINC001313990551 1074055512 /nfs/dbraw/zinc/05/55/12/1074055512.db2.gz NFHANPRCRRZOFW-INIZCTEOSA-N 0 0 442.586 -0.135 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCCOC)CC1 ZINC001313990552 1074055422 /nfs/dbraw/zinc/05/54/22/1074055422.db2.gz NFHANPRCRRZOFW-MRXNPFEDSA-N 0 0 442.586 -0.135 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3ccccc3)n2CCNS(C)(=O)=O)CC1 ZINC001313990940 1074055454 /nfs/dbraw/zinc/05/54/54/1074055454.db2.gz MZMXQVYCAGCBIJ-UHFFFAOYSA-N 0 0 435.554 -0.248 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H](C)OC)CC1 ZINC001313991426 1074055445 /nfs/dbraw/zinc/05/54/45/1074055445.db2.gz VVUGMIIAVNZEIE-CABCVRRESA-N 0 0 428.559 -0.527 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H](C)OC)CC1 ZINC001313991427 1074055524 /nfs/dbraw/zinc/05/55/24/1074055524.db2.gz VVUGMIIAVNZEIE-GJZGRUSLSA-N 0 0 428.559 -0.527 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H](C)OC)CC1 ZINC001313991428 1074055534 /nfs/dbraw/zinc/05/55/34/1074055534.db2.gz VVUGMIIAVNZEIE-HUUCEWRRSA-N 0 0 428.559 -0.527 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H](C)OC)CC1 ZINC001313991429 1074055410 /nfs/dbraw/zinc/05/54/10/1074055410.db2.gz VVUGMIIAVNZEIE-LSDHHAIUSA-N 0 0 428.559 -0.527 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001313991546 1074055572 /nfs/dbraw/zinc/05/55/72/1074055572.db2.gz WNYQCSYMSKZNLE-HNNXBMFYSA-N 0 0 440.570 -0.383 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001313991548 1074055484 /nfs/dbraw/zinc/05/54/84/1074055484.db2.gz WNYQCSYMSKZNLE-OAHLLOKOSA-N 0 0 440.570 -0.383 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)CC1 ZINC001313991732 1074055464 /nfs/dbraw/zinc/05/54/64/1074055464.db2.gz ZTXJQURDSGDHMM-AWEZNQCLSA-N 0 0 428.559 -0.791 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)CC1 ZINC001313991733 1074055433 /nfs/dbraw/zinc/05/54/33/1074055433.db2.gz ZTXJQURDSGDHMM-CQSZACIVSA-N 0 0 428.559 -0.791 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C3CC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001313991862 1074055519 /nfs/dbraw/zinc/05/55/19/1074055519.db2.gz AUFPXBCJAJAYEB-UHFFFAOYSA-N 0 0 425.559 -0.551 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001313992072 1074055334 /nfs/dbraw/zinc/05/53/34/1074055334.db2.gz GORPPISRMRICSS-DLBZAZTESA-N 0 0 432.529 -0.523 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@@H]2NC(=O)CC2(C)C)CC1 ZINC001313992073 1074055841 /nfs/dbraw/zinc/05/58/41/1074055841.db2.gz GPDPONJKGVVERY-INIZCTEOSA-N 0 0 441.540 -0.092 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@H]2NC(=O)CC2(C)C)CC1 ZINC001313992074 1074055788 /nfs/dbraw/zinc/05/57/88/1074055788.db2.gz GPDPONJKGVVERY-MRXNPFEDSA-N 0 0 441.540 -0.092 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001313992098 1074055859 /nfs/dbraw/zinc/05/58/59/1074055859.db2.gz HNPIVUGZJLMDPE-FQEVSTJZSA-N 0 0 429.529 -0.585 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001313992099 1074055828 /nfs/dbraw/zinc/05/58/28/1074055828.db2.gz HNPIVUGZJLMDPE-HXUWFJFHSA-N 0 0 429.529 -0.585 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCn2cc(C)cn2)CC1 ZINC001313992128 1074055795 /nfs/dbraw/zinc/05/57/95/1074055795.db2.gz IRTXMSCVDKRFQO-INIZCTEOSA-N 0 0 429.529 -0.308 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCn2cc(C)cn2)CC1 ZINC001313992129 1074055778 /nfs/dbraw/zinc/05/57/78/1074055778.db2.gz IRTXMSCVDKRFQO-MRXNPFEDSA-N 0 0 429.529 -0.308 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCc2ccn(C)n2)CC1 ZINC001313992200 1074055798 /nfs/dbraw/zinc/05/57/98/1074055798.db2.gz KSCQZYWADVRHNB-INIZCTEOSA-N 0 0 429.529 -0.537 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCc2ccn(C)n2)CC1 ZINC001313992201 1074055854 /nfs/dbraw/zinc/05/58/54/1074055854.db2.gz KSCQZYWADVRHNB-MRXNPFEDSA-N 0 0 429.529 -0.537 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C3CCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001313992251 1074055786 /nfs/dbraw/zinc/05/57/86/1074055786.db2.gz MTFIOXBVSMERQI-IBGZPJMESA-N 0 0 440.570 -0.647 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C3CCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001313992252 1074055807 /nfs/dbraw/zinc/05/58/07/1074055807.db2.gz MTFIOXBVSMERQI-LJQANCHMSA-N 0 0 440.570 -0.647 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CC[C@H]2COCCO2)CC1 ZINC001313992355 1074055863 /nfs/dbraw/zinc/05/58/63/1074055863.db2.gz PZGWRLWXKAPXBN-INIZCTEOSA-N 0 0 430.513 -0.201 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2CC[C@@H]2COCCO2)CC1 ZINC001313992356 1074055812 /nfs/dbraw/zinc/05/58/12/1074055812.db2.gz PZGWRLWXKAPXBN-MRXNPFEDSA-N 0 0 430.513 -0.201 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(O)CCSC2)CC1 ZINC001313992359 1074055833 /nfs/dbraw/zinc/05/58/33/1074055833.db2.gz QCXDBIVHRSGZOE-IBGZPJMESA-N 0 0 432.554 -0.139 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@]2(O)CCSC2)CC1 ZINC001313992360 1074055849 /nfs/dbraw/zinc/05/58/49/1074055849.db2.gz QCXDBIVHRSGZOE-LJQANCHMSA-N 0 0 432.554 -0.139 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001313992367 1074055817 /nfs/dbraw/zinc/05/58/17/1074055817.db2.gz QMGYYJLGGYGWHY-ILHIWHGASA-N 0 0 437.570 -0.602 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2([S@](C)=O)CC2)CC1 ZINC001313992368 1074055823 /nfs/dbraw/zinc/05/58/23/1074055823.db2.gz QMGYYJLGGYGWHY-KJEZJMDVSA-N 0 0 437.570 -0.602 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2([S@@](C)=O)CC2)CC1 ZINC001313992369 1074055821 /nfs/dbraw/zinc/05/58/21/1074055821.db2.gz QMGYYJLGGYGWHY-NGFNCXNUSA-N 0 0 437.570 -0.602 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2([S@](C)=O)CC2)CC1 ZINC001313992370 1074055843 /nfs/dbraw/zinc/05/58/43/1074055843.db2.gz QMGYYJLGGYGWHY-WYIRRWHOSA-N 0 0 437.570 -0.602 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2c(C)nn(C)c2C)CC1 ZINC001313992436 1074056135 /nfs/dbraw/zinc/05/61/35/1074056135.db2.gz SUDQWQUPRAPMOS-KRWDZBQOSA-N 0 0 443.556 -0.114 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2c(C)nn(C)c2C)CC1 ZINC001313992437 1074056224 /nfs/dbraw/zinc/05/62/24/1074056224.db2.gz SUDQWQUPRAPMOS-QGZVFWFLSA-N 0 0 443.556 -0.114 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3noc4c3COCC4)n2CCC(=O)NC)CC1 ZINC001313992488 1074056108 /nfs/dbraw/zinc/05/61/08/1074056108.db2.gz VETBMTAVOICJRZ-UHFFFAOYSA-N 0 0 446.512 -0.600 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001313992499 1074056096 /nfs/dbraw/zinc/05/60/96/1074056096.db2.gz VUBZNMNSJXUVHQ-UHFFFAOYSA-N 0 0 438.558 -0.577 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)CC)CC1 ZINC001313992991 1074056201 /nfs/dbraw/zinc/05/62/01/1074056201.db2.gz FYRWIBLVPDIVKR-OAHLLOKOSA-N 0 0 428.559 -0.178 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CC1 ZINC001313995181 1074056255 /nfs/dbraw/zinc/05/62/55/1074056255.db2.gz MXUYRAHRPYUJSE-CYBMUJFWSA-N 0 0 431.563 -0.099 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CC1 ZINC001313995184 1074056270 /nfs/dbraw/zinc/05/62/70/1074056270.db2.gz MXUYRAHRPYUJSE-ZDUSSCGKSA-N 0 0 431.563 -0.099 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCN2CCOC2=O)CC1 ZINC001313995292 1074056264 /nfs/dbraw/zinc/05/62/64/1074056264.db2.gz CSEIALDOMIMRAW-AWEZNQCLSA-N 0 0 434.501 -0.077 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCN2CCOC2=O)CC1 ZINC001313995293 1074056145 /nfs/dbraw/zinc/05/61/45/1074056145.db2.gz CSEIALDOMIMRAW-CQSZACIVSA-N 0 0 434.501 -0.077 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)CC1 ZINC001313996592 1074056158 /nfs/dbraw/zinc/05/61/58/1074056158.db2.gz PDBVKGVQRZZJSP-CYBMUJFWSA-N 0 0 427.531 -0.485 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)CC1 ZINC001313996593 1074056068 /nfs/dbraw/zinc/05/60/68/1074056068.db2.gz PDBVKGVQRZZJSP-ZDUSSCGKSA-N 0 0 427.531 -0.485 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001313996885 1074056239 /nfs/dbraw/zinc/05/62/39/1074056239.db2.gz FMQZZUPAALWDJK-UHFFFAOYSA-N 0 0 445.528 -0.188 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001313997940 1074056249 /nfs/dbraw/zinc/05/62/49/1074056249.db2.gz AVHJUWYRJDYEEO-HNNXBMFYSA-N 0 0 443.574 -0.039 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001313997941 1074056084 /nfs/dbraw/zinc/05/60/84/1074056084.db2.gz AVHJUWYRJDYEEO-OAHLLOKOSA-N 0 0 443.574 -0.039 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)CC)CC1 ZINC001313998018 1074056180 /nfs/dbraw/zinc/05/61/80/1074056180.db2.gz DFICNNPBTZNWCC-AWEZNQCLSA-N 0 0 441.558 -0.490 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)CC)CC1 ZINC001313998019 1074056283 /nfs/dbraw/zinc/05/62/83/1074056283.db2.gz DFICNNPBTZNWCC-CQSZACIVSA-N 0 0 441.558 -0.490 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001313998138 1074056123 /nfs/dbraw/zinc/05/61/23/1074056123.db2.gz HQDKPFBOMNBGLF-HNNXBMFYSA-N 0 0 435.529 -0.084 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001313998139 1074056277 /nfs/dbraw/zinc/05/62/77/1074056277.db2.gz HQDKPFBOMNBGLF-OAHLLOKOSA-N 0 0 435.529 -0.084 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)CC1 ZINC001313998188 1074056170 /nfs/dbraw/zinc/05/61/70/1074056170.db2.gz IWZVQAGACQRVCX-CYBMUJFWSA-N 0 0 437.530 -0.792 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)CC1 ZINC001313998189 1074056232 /nfs/dbraw/zinc/05/62/32/1074056232.db2.gz IWZVQAGACQRVCX-ZDUSSCGKSA-N 0 0 437.530 -0.792 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)CC1 ZINC001313998716 1074056609 /nfs/dbraw/zinc/05/66/09/1074056609.db2.gz RDTOXWGXHFCCHZ-HNNXBMFYSA-N 0 0 441.558 -0.442 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)CC1 ZINC001313998718 1074056695 /nfs/dbraw/zinc/05/66/95/1074056695.db2.gz RDTOXWGXHFCCHZ-OAHLLOKOSA-N 0 0 441.558 -0.442 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCO[C@@H]2C)CC1 ZINC001313998800 1074056541 /nfs/dbraw/zinc/05/65/41/1074056541.db2.gz DJWDCBXMZNPJLF-FOIQADDNSA-N 0 0 435.529 -0.208 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCO[C@H]2C)CC1 ZINC001313998801 1074056658 /nfs/dbraw/zinc/05/66/58/1074056658.db2.gz DJWDCBXMZNPJLF-MGPUTAFESA-N 0 0 435.529 -0.208 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCO[C@@H]2C)CC1 ZINC001313998802 1074056580 /nfs/dbraw/zinc/05/65/80/1074056580.db2.gz DJWDCBXMZNPJLF-QRWLVFNGSA-N 0 0 435.529 -0.208 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCO[C@H]2C)CC1 ZINC001313998803 1074056627 /nfs/dbraw/zinc/05/66/27/1074056627.db2.gz DJWDCBXMZNPJLF-YWZLYKJASA-N 0 0 435.529 -0.208 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)CC1 ZINC001313999151 1074056690 /nfs/dbraw/zinc/05/66/90/1074056690.db2.gz VQEORZRPTJYIJC-AWEZNQCLSA-N 0 0 445.546 -0.891 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)CC1 ZINC001313999155 1074056597 /nfs/dbraw/zinc/05/65/97/1074056597.db2.gz VQEORZRPTJYIJC-CQSZACIVSA-N 0 0 445.546 -0.891 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001314000296 1074056710 /nfs/dbraw/zinc/05/67/10/1074056710.db2.gz XLUUIBLBNQTLGG-FQEVSTJZSA-N 0 0 432.529 -0.270 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001314000297 1074056637 /nfs/dbraw/zinc/05/66/37/1074056637.db2.gz XLUUIBLBNQTLGG-HXUWFJFHSA-N 0 0 432.529 -0.270 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@]3(OCC)CCOC3)n2CC2(O)CC2)C1 ZINC001314001564 1074056673 /nfs/dbraw/zinc/05/66/73/1074056673.db2.gz HDKXXHPJYNMENA-KBXCAEBGSA-N 0 0 429.543 -0.027 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@]3(OCC)CCOC3)n2CC2(O)CC2)C1 ZINC001314001576 1074056682 /nfs/dbraw/zinc/05/66/82/1074056682.db2.gz HDKXXHPJYNMENA-KDOFPFPSSA-N 0 0 429.543 -0.027 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@]3(OCC)CCOC3)n2CC2(O)CC2)C1 ZINC001314001579 1074056702 /nfs/dbraw/zinc/05/67/02/1074056702.db2.gz HDKXXHPJYNMENA-KSSFIOAISA-N 0 0 429.543 -0.027 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@]3(OCC)CCOC3)n2CC2(O)CC2)C1 ZINC001314001582 1074056570 /nfs/dbraw/zinc/05/65/70/1074056570.db2.gz HDKXXHPJYNMENA-RDTXWAMCSA-N 0 0 429.543 -0.027 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CCC2)C1 ZINC001314001965 1074056646 /nfs/dbraw/zinc/05/66/46/1074056646.db2.gz AJXYGMUGSVFDHE-AWEZNQCLSA-N 0 0 426.543 -0.053 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CCC2)C1 ZINC001314001983 1074056664 /nfs/dbraw/zinc/05/66/64/1074056664.db2.gz AJXYGMUGSVFDHE-CQSZACIVSA-N 0 0 426.543 -0.053 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2CCc2ccn(C)n2)C1 ZINC001314002450 1074056653 /nfs/dbraw/zinc/05/66/53/1074056653.db2.gz DEPWRDKNNQQAFY-HNNXBMFYSA-N 0 0 438.558 -0.090 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2CCc2ccn(C)n2)C1 ZINC001314002453 1074056616 /nfs/dbraw/zinc/05/66/16/1074056616.db2.gz DEPWRDKNNQQAFY-OAHLLOKOSA-N 0 0 438.558 -0.090 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCO[C@@H]2C)C1 ZINC001314003704 1074056589 /nfs/dbraw/zinc/05/65/89/1074056589.db2.gz YUEUMWBMRLSYAT-DDUZABMNSA-N 0 0 439.542 -0.264 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCO[C@H]2C)C1 ZINC001314003708 1074056715 /nfs/dbraw/zinc/05/67/15/1074056715.db2.gz YUEUMWBMRLSYAT-JCKWVBRZSA-N 0 0 439.542 -0.264 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCO[C@H]2C)C1 ZINC001314003711 1074057037 /nfs/dbraw/zinc/05/70/37/1074057037.db2.gz YUEUMWBMRLSYAT-JOQOYGCGSA-N 0 0 439.542 -0.264 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCO[C@@H]2C)C1 ZINC001314003713 1074056966 /nfs/dbraw/zinc/05/69/66/1074056966.db2.gz YUEUMWBMRLSYAT-SIIHOXLZSA-N 0 0 439.542 -0.264 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@]3(OCC)CCOC3)n2CC(=O)N(C)C)C1 ZINC001314003932 1074056899 /nfs/dbraw/zinc/05/68/99/1074056899.db2.gz UYRGXNJZYRMGQG-KBXCAEBGSA-N 0 0 444.558 -0.464 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@]3(OCC)CCOC3)n2CC(=O)N(C)C)C1 ZINC001314003935 1074057027 /nfs/dbraw/zinc/05/70/27/1074057027.db2.gz UYRGXNJZYRMGQG-KDOFPFPSSA-N 0 0 444.558 -0.464 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@]3(OCC)CCOC3)n2CC(=O)N(C)C)C1 ZINC001314003937 1074056857 /nfs/dbraw/zinc/05/68/57/1074056857.db2.gz UYRGXNJZYRMGQG-KSSFIOAISA-N 0 0 444.558 -0.464 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@]3(OCC)CCOC3)n2CC(=O)N(C)C)C1 ZINC001314003938 1074057006 /nfs/dbraw/zinc/05/70/06/1074057006.db2.gz UYRGXNJZYRMGQG-RDTXWAMCSA-N 0 0 444.558 -0.464 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(F)(F)F)C1 ZINC001314004062 1074056838 /nfs/dbraw/zinc/05/68/38/1074056838.db2.gz XEKGCKFJZNZYQD-NXEZZACHSA-N 0 0 448.493 -0.031 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(F)(F)F)C1 ZINC001314004063 1074056878 /nfs/dbraw/zinc/05/68/78/1074056878.db2.gz XEKGCKFJZNZYQD-UWVGGRQHSA-N 0 0 448.493 -0.031 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(F)(F)F)C1 ZINC001314004064 1074056889 /nfs/dbraw/zinc/05/68/89/1074056889.db2.gz XEKGCKFJZNZYQD-VHSXEESVSA-N 0 0 448.493 -0.031 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(F)(F)F)C1 ZINC001314004065 1074056870 /nfs/dbraw/zinc/05/68/70/1074056870.db2.gz XEKGCKFJZNZYQD-ZJUUUORDSA-N 0 0 448.493 -0.031 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2CC(=O)NC(C)C)C1 ZINC001314004422 1074056985 /nfs/dbraw/zinc/05/69/85/1074056985.db2.gz NGZGKGLAERWIBS-CYBMUJFWSA-N 0 0 429.547 -0.541 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2CC(=O)NC(C)C)C1 ZINC001314004423 1074056923 /nfs/dbraw/zinc/05/69/23/1074056923.db2.gz NGZGKGLAERWIBS-ZDUSSCGKSA-N 0 0 429.547 -0.541 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2ccn(C)n2)C1 ZINC001314004997 1074056845 /nfs/dbraw/zinc/05/68/45/1074056845.db2.gz VERPUVOFRWXRNJ-HNNXBMFYSA-N 0 0 436.542 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2ccn(C)n2)C1 ZINC001314004998 1074056952 /nfs/dbraw/zinc/05/69/52/1074056952.db2.gz VERPUVOFRWXRNJ-OAHLLOKOSA-N 0 0 436.542 -0.186 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N(C)CC)C1 ZINC001314006431 1074056976 /nfs/dbraw/zinc/05/69/76/1074056976.db2.gz AJKBDFJUOUNJSX-CHWSQXEVSA-N 0 0 427.531 -0.775 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N(C)CC)C1 ZINC001314006432 1074056933 /nfs/dbraw/zinc/05/69/33/1074056933.db2.gz AJKBDFJUOUNJSX-OLZOCXBDSA-N 0 0 427.531 -0.775 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N(C)CC)C1 ZINC001314006433 1074056996 /nfs/dbraw/zinc/05/69/96/1074056996.db2.gz AJKBDFJUOUNJSX-QWHCGFSZSA-N 0 0 427.531 -0.775 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N(C)CC)C1 ZINC001314006434 1074056907 /nfs/dbraw/zinc/05/69/07/1074056907.db2.gz AJKBDFJUOUNJSX-STQMWFEESA-N 0 0 427.531 -0.775 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)C1 ZINC001314007164 1074057018 /nfs/dbraw/zinc/05/70/18/1074057018.db2.gz CBBIEPZDNCGAHK-KBPBESRZSA-N 0 0 448.571 -0.536 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)C1 ZINC001314007177 1074056942 /nfs/dbraw/zinc/05/69/42/1074056942.db2.gz CBBIEPZDNCGAHK-KGLIPLIRSA-N 0 0 448.571 -0.536 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)C1 ZINC001314007178 1074057307 /nfs/dbraw/zinc/05/73/07/1074057307.db2.gz CBBIEPZDNCGAHK-UONOGXRCSA-N 0 0 448.571 -0.536 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)C1 ZINC001314007179 1074057255 /nfs/dbraw/zinc/05/72/55/1074057255.db2.gz CBBIEPZDNCGAHK-ZIAGYGMSSA-N 0 0 448.571 -0.536 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CC)n2C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001314007404 1074057294 /nfs/dbraw/zinc/05/72/94/1074057294.db2.gz ITNVKCWDMPIRAE-KBPBESRZSA-N 0 0 434.588 -0.360 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CC)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001314007410 1074057318 /nfs/dbraw/zinc/05/73/18/1074057318.db2.gz ITNVKCWDMPIRAE-KGLIPLIRSA-N 0 0 434.588 -0.360 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CC)n2C[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001314007412 1074057301 /nfs/dbraw/zinc/05/73/01/1074057301.db2.gz ITNVKCWDMPIRAE-UONOGXRCSA-N 0 0 434.588 -0.360 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CC)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001314007414 1074057313 /nfs/dbraw/zinc/05/73/13/1074057313.db2.gz ITNVKCWDMPIRAE-ZIAGYGMSSA-N 0 0 434.588 -0.360 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCn2cc(C)cn2)C1 ZINC001314007977 1074057277 /nfs/dbraw/zinc/05/72/77/1074057277.db2.gz DERQZGRBPRJMOK-CABCVRRESA-N 0 0 436.542 -0.048 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCn2cc(C)cn2)C1 ZINC001314007996 1074057288 /nfs/dbraw/zinc/05/72/88/1074057288.db2.gz DERQZGRBPRJMOK-GJZGRUSLSA-N 0 0 436.542 -0.048 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCn2cc(C)cn2)C1 ZINC001314008000 1074057282 /nfs/dbraw/zinc/05/72/82/1074057282.db2.gz DERQZGRBPRJMOK-HUUCEWRRSA-N 0 0 436.542 -0.048 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCn2cc(C)cn2)C1 ZINC001314008004 1074057324 /nfs/dbraw/zinc/05/73/24/1074057324.db2.gz DERQZGRBPRJMOK-LSDHHAIUSA-N 0 0 436.542 -0.048 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(O)CCOCC2)C1 ZINC001314009099 1074057243 /nfs/dbraw/zinc/05/72/43/1074057243.db2.gz HADCYADCPHNZDP-KBPBESRZSA-N 0 0 442.542 -0.711 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(O)CCOCC2)C1 ZINC001314009108 1074057339 /nfs/dbraw/zinc/05/73/39/1074057339.db2.gz HADCYADCPHNZDP-KGLIPLIRSA-N 0 0 442.542 -0.711 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(O)CCOCC2)C1 ZINC001314009109 1074057236 /nfs/dbraw/zinc/05/72/36/1074057236.db2.gz HADCYADCPHNZDP-UONOGXRCSA-N 0 0 442.542 -0.711 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(O)CCOCC2)C1 ZINC001314009111 1074057265 /nfs/dbraw/zinc/05/72/65/1074057265.db2.gz HADCYADCPHNZDP-ZIAGYGMSSA-N 0 0 442.542 -0.711 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(Cc3ccncc3)n2CCS(C)(=O)=O)C1 ZINC001314009519 1074057222 /nfs/dbraw/zinc/05/72/22/1074057222.db2.gz INFWHAQJBHUBMQ-HNNXBMFYSA-N 0 0 442.567 -0.174 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(Cc3ccncc3)n2CCS(C)(=O)=O)C1 ZINC001314009522 1074057270 /nfs/dbraw/zinc/05/72/70/1074057270.db2.gz INFWHAQJBHUBMQ-OAHLLOKOSA-N 0 0 442.567 -0.174 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCCOC3)n2C[C@@]2(O)CCOC2)C1 ZINC001314009896 1074057230 /nfs/dbraw/zinc/05/72/30/1074057230.db2.gz IEUHRHWFFAVXQY-DAYGRLMNSA-N 0 0 429.543 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCCOC3)n2C[C@]2(O)CCOC2)C1 ZINC001314009898 1074057346 /nfs/dbraw/zinc/05/73/46/1074057346.db2.gz IEUHRHWFFAVXQY-HDMKZQKVSA-N 0 0 429.543 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@]2(O)CCOC2)C1 ZINC001314009900 1074057330 /nfs/dbraw/zinc/05/73/30/1074057330.db2.gz IEUHRHWFFAVXQY-IIDMSEBBSA-N 0 0 429.543 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@@]2(O)CCOC2)C1 ZINC001314009902 1074057261 /nfs/dbraw/zinc/05/72/61/1074057261.db2.gz IEUHRHWFFAVXQY-RKVPGOIHSA-N 0 0 429.543 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3ccc(C)o3)n2CCS(=O)(=O)NC)C1 ZINC001314011710 1074057629 /nfs/dbraw/zinc/05/76/29/1074057629.db2.gz ZFUNJQRJRYLQGC-CYBMUJFWSA-N 0 0 446.555 -0.086 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3ccc(C)o3)n2CCS(=O)(=O)NC)C1 ZINC001314011711 1074057598 /nfs/dbraw/zinc/05/75/98/1074057598.db2.gz ZFUNJQRJRYLQGC-ZDUSSCGKSA-N 0 0 446.555 -0.086 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2CCn2cncn2)C1 ZINC001314011740 1074057625 /nfs/dbraw/zinc/05/76/25/1074057625.db2.gz VCACHWLTGURXPE-AWEZNQCLSA-N 0 0 437.530 -0.790 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CCn2cncn2)C1 ZINC001314011741 1074057634 /nfs/dbraw/zinc/05/76/34/1074057634.db2.gz VCACHWLTGURXPE-CQSZACIVSA-N 0 0 437.530 -0.790 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCOC3)n2CC2(O)CCOCC2)C1 ZINC001314012639 1074057654 /nfs/dbraw/zinc/05/76/54/1074057654.db2.gz RTDRZMLECPNEMG-CABCVRRESA-N 0 0 429.543 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCOC3)n2CC2(O)CCOCC2)C1 ZINC001314012640 1074057667 /nfs/dbraw/zinc/05/76/67/1074057667.db2.gz RTDRZMLECPNEMG-GJZGRUSLSA-N 0 0 429.543 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCOC3)n2CC2(O)CCOCC2)C1 ZINC001314012641 1074057589 /nfs/dbraw/zinc/05/75/89/1074057589.db2.gz RTDRZMLECPNEMG-HUUCEWRRSA-N 0 0 429.543 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCOC3)n2CC2(O)CCOCC2)C1 ZINC001314012642 1074057614 /nfs/dbraw/zinc/05/76/14/1074057614.db2.gz RTDRZMLECPNEMG-LSDHHAIUSA-N 0 0 429.543 -0.159 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2nnc(C)s2)C1 ZINC001314013010 1074057568 /nfs/dbraw/zinc/05/75/68/1074057568.db2.gz SSFNMAGJNLJMDO-NEPJUHHUSA-N 0 0 440.555 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2nnc(C)s2)C1 ZINC001314013012 1074057596 /nfs/dbraw/zinc/05/75/96/1074057596.db2.gz SSFNMAGJNLJMDO-NWDGAFQWSA-N 0 0 440.555 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2nnc(C)s2)C1 ZINC001314013015 1074057574 /nfs/dbraw/zinc/05/75/74/1074057574.db2.gz SSFNMAGJNLJMDO-RYUDHWBXSA-N 0 0 440.555 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2nnc(C)s2)C1 ZINC001314013018 1074057609 /nfs/dbraw/zinc/05/76/09/1074057609.db2.gz SSFNMAGJNLJMDO-VXGBXAGGSA-N 0 0 440.555 -0.045 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001314015445 1074057653 /nfs/dbraw/zinc/05/76/53/1074057653.db2.gz AVRRAHSPKVLGOV-CYBMUJFWSA-N 0 0 445.546 -0.309 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001314015446 1074057602 /nfs/dbraw/zinc/05/76/02/1074057602.db2.gz AVRRAHSPKVLGOV-ZDUSSCGKSA-N 0 0 445.546 -0.309 20 0 IBADRN CCOC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCCNS(C)(=O)=O)CC1 ZINC001314016166 1074057638 /nfs/dbraw/zinc/05/76/38/1074057638.db2.gz KSQJWWFPJHONOX-UHFFFAOYSA-N 0 0 445.546 -0.366 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001314019248 1074057657 /nfs/dbraw/zinc/05/76/57/1074057657.db2.gz BVGHGYYOFOPSMU-GFCCVEGCSA-N 0 0 429.503 -0.943 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001314019265 1074057581 /nfs/dbraw/zinc/05/75/81/1074057581.db2.gz BVGHGYYOFOPSMU-LBPRGKRZSA-N 0 0 429.503 -0.943 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)N2CCOCC2)CC1 ZINC001314020169 1074057617 /nfs/dbraw/zinc/05/76/17/1074057617.db2.gz JNIJSVONEJEMCV-HNNXBMFYSA-N 0 0 449.512 -0.243 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)N2CCOCC2)CC1 ZINC001314020174 1074057605 /nfs/dbraw/zinc/05/76/05/1074057605.db2.gz JNIJSVONEJEMCV-OAHLLOKOSA-N 0 0 449.512 -0.243 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)CC1 ZINC001314021028 1074057660 /nfs/dbraw/zinc/05/76/60/1074057660.db2.gz ZBOOGWDBASZCOF-CYBMUJFWSA-N 0 0 428.515 -0.058 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCS(C)(=O)=O)CC1 ZINC001314021029 1074058071 /nfs/dbraw/zinc/05/80/71/1074058071.db2.gz ZBOOGWDBASZCOF-ZDUSSCGKSA-N 0 0 428.515 -0.058 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001314022181 1074057932 /nfs/dbraw/zinc/05/79/32/1074057932.db2.gz PGCWQKSBGLRPAK-CABCVRRESA-N 0 0 443.526 -0.126 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001314022187 1074057941 /nfs/dbraw/zinc/05/79/41/1074057941.db2.gz PGCWQKSBGLRPAK-GJZGRUSLSA-N 0 0 443.526 -0.126 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001314022189 1074057981 /nfs/dbraw/zinc/05/79/81/1074057981.db2.gz PGCWQKSBGLRPAK-HUUCEWRRSA-N 0 0 443.526 -0.126 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1 ZINC001314022191 1074058100 /nfs/dbraw/zinc/05/81/00/1074058100.db2.gz PGCWQKSBGLRPAK-LSDHHAIUSA-N 0 0 443.526 -0.126 20 0 IBADRN CCOC(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001314041339 1074057972 /nfs/dbraw/zinc/05/79/72/1074057972.db2.gz IHAMFWGBNAGLLT-AWEZNQCLSA-N 0 0 433.513 -0.524 20 0 IBADRN CCOC(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001314041344 1074058020 /nfs/dbraw/zinc/05/80/20/1074058020.db2.gz IHAMFWGBNAGLLT-CQSZACIVSA-N 0 0 433.513 -0.524 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCC(=O)NC)n2CCN2CCCS2(=O)=O)CCOC1 ZINC001314089754 1074058054 /nfs/dbraw/zinc/05/80/54/1074058054.db2.gz KSTGXRNIPRYBCZ-GOSISDBHSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCC(=O)NC)n2CCN2CCCS2(=O)=O)CCOC1 ZINC001314089763 1074058042 /nfs/dbraw/zinc/05/80/42/1074058042.db2.gz KSTGXRNIPRYBCZ-SFHVURJKSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCCS(C)(=O)=O)n2C[C@]2(O)CCOC2)CCOC1 ZINC001314091173 1074058110 /nfs/dbraw/zinc/05/81/10/1074058110.db2.gz FFVVJVUCGYKECB-MSOLQXFVSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCCS(C)(=O)=O)n2C[C@]2(O)CCOC2)CCOC1 ZINC001314091196 1074058016 /nfs/dbraw/zinc/05/80/16/1074058016.db2.gz FFVVJVUCGYKECB-QZTJIDSGSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCCS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001314091199 1074058047 /nfs/dbraw/zinc/05/80/47/1074058047.db2.gz FFVVJVUCGYKECB-ROUUACIJSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCCS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001314091203 1074058009 /nfs/dbraw/zinc/05/80/09/1074058009.db2.gz FFVVJVUCGYKECB-ZWKOTPCHSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCS(=O)(=O)CC)n2C[C@@]2(O)CCN(C)C2)CCOC1 ZINC001314091575 1074057950 /nfs/dbraw/zinc/05/79/50/1074057950.db2.gz GAVYSJUWOKTAPH-MOPGFXCFSA-N 0 0 445.586 -0.132 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCS(=O)(=O)CC)n2C[C@]2(O)CCN(C)C2)CCOC1 ZINC001314091576 1074058078 /nfs/dbraw/zinc/05/80/78/1074058078.db2.gz GAVYSJUWOKTAPH-OALUTQOASA-N 0 0 445.586 -0.132 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCS(=O)(=O)CC)n2C[C@]2(O)CCN(C)C2)CCOC1 ZINC001314091577 1074057988 /nfs/dbraw/zinc/05/79/88/1074057988.db2.gz GAVYSJUWOKTAPH-RBUKOAKNSA-N 0 0 445.586 -0.132 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCS(=O)(=O)CC)n2C[C@@]2(O)CCN(C)C2)CCOC1 ZINC001314091578 1074058026 /nfs/dbraw/zinc/05/80/26/1074058026.db2.gz GAVYSJUWOKTAPH-RTBURBONSA-N 0 0 445.586 -0.132 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314097063 1074058126 /nfs/dbraw/zinc/05/81/26/1074058126.db2.gz FGXXIADJOILFGA-CXAGYDPISA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314097066 1074058117 /nfs/dbraw/zinc/05/81/17/1074058117.db2.gz FGXXIADJOILFGA-DYVFJYSZSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314097069 1074058059 /nfs/dbraw/zinc/05/80/59/1074058059.db2.gz FGXXIADJOILFGA-GUYCJALGSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314097072 1074058402 /nfs/dbraw/zinc/05/84/02/1074058402.db2.gz FGXXIADJOILFGA-SUMWQHHRSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(O)CCN(C)C2)CCOC1 ZINC001314098011 1074058411 /nfs/dbraw/zinc/05/84/11/1074058411.db2.gz XEMPDDUTGSDBSR-MSOLQXFVSA-N 0 0 431.559 -0.522 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(O)CCN(C)C2)CCOC1 ZINC001314098017 1074058462 /nfs/dbraw/zinc/05/84/62/1074058462.db2.gz XEMPDDUTGSDBSR-QZTJIDSGSA-N 0 0 431.559 -0.522 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(O)CCN(C)C2)CCOC1 ZINC001314098018 1074058477 /nfs/dbraw/zinc/05/84/77/1074058477.db2.gz XEMPDDUTGSDBSR-ROUUACIJSA-N 0 0 431.559 -0.522 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(O)CCN(C)C2)CCOC1 ZINC001314098020 1074058493 /nfs/dbraw/zinc/05/84/93/1074058493.db2.gz XEMPDDUTGSDBSR-ZWKOTPCHSA-N 0 0 431.559 -0.522 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001314098691 1074058448 /nfs/dbraw/zinc/05/84/48/1074058448.db2.gz LNCXNGJZBWXUOW-JLTOFOAXSA-N 0 0 446.512 -0.250 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001314098692 1074058437 /nfs/dbraw/zinc/05/84/37/1074058437.db2.gz LNCXNGJZBWXUOW-VBKZILBWSA-N 0 0 446.512 -0.250 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001314098693 1074058431 /nfs/dbraw/zinc/05/84/31/1074058431.db2.gz LNCXNGJZBWXUOW-VLIAUNLRSA-N 0 0 446.512 -0.250 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCNC(=O)c2ccn[nH]2)CCOC1 ZINC001314098694 1074058453 /nfs/dbraw/zinc/05/84/53/1074058453.db2.gz LNCXNGJZBWXUOW-XOBRGWDASA-N 0 0 446.512 -0.250 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)C[C@@H]3C)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001314100817 1074058393 /nfs/dbraw/zinc/05/83/93/1074058393.db2.gz ZCALAHUOHBRBED-KBXCAEBGSA-N 0 0 444.558 -0.512 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)C[C@H]3C)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001314100818 1074058506 /nfs/dbraw/zinc/05/85/06/1074058506.db2.gz ZCALAHUOHBRBED-KDOFPFPSSA-N 0 0 444.558 -0.512 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)C[C@@H]3C)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001314100819 1074058457 /nfs/dbraw/zinc/05/84/57/1074058457.db2.gz ZCALAHUOHBRBED-KSSFIOAISA-N 0 0 444.558 -0.512 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)C[C@H]3C)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001314100820 1074058406 /nfs/dbraw/zinc/05/84/06/1074058406.db2.gz ZCALAHUOHBRBED-RDTXWAMCSA-N 0 0 444.558 -0.512 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](C(=O)OC)C3)n2CCNS(=O)(=O)CC)CCOC1 ZINC001314101697 1074058441 /nfs/dbraw/zinc/05/84/41/1074058441.db2.gz VGFBUBNVSHCANH-KBXCAEBGSA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@H](C(=O)OC)C3)n2CCNS(=O)(=O)CC)CCOC1 ZINC001314101698 1074058385 /nfs/dbraw/zinc/05/83/85/1074058385.db2.gz VGFBUBNVSHCANH-KDOFPFPSSA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@H](C(=O)OC)C3)n2CCNS(=O)(=O)CC)CCOC1 ZINC001314101699 1074058509 /nfs/dbraw/zinc/05/85/09/1074058509.db2.gz VGFBUBNVSHCANH-KSSFIOAISA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](C(=O)OC)C3)n2CCNS(=O)(=O)CC)CCOC1 ZINC001314101700 1074058515 /nfs/dbraw/zinc/05/85/15/1074058515.db2.gz VGFBUBNVSHCANH-RDTXWAMCSA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2C[C@H]2CCS(=O)(=O)C2)CCOC1 ZINC001314105776 1074058519 /nfs/dbraw/zinc/05/85/19/1074058519.db2.gz FVNUKZQTLQUUPL-HBUWYVDXSA-N 0 0 427.527 -0.324 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2C[C@@H]2CCS(=O)(=O)C2)CCOC1 ZINC001314105784 1074058473 /nfs/dbraw/zinc/05/84/73/1074058473.db2.gz FVNUKZQTLQUUPL-PMUMKWKESA-N 0 0 427.527 -0.324 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2C[C@H]2CCS(=O)(=O)C2)CCOC1 ZINC001314105786 1074058423 /nfs/dbraw/zinc/05/84/23/1074058423.db2.gz FVNUKZQTLQUUPL-QWQRMKEZSA-N 0 0 427.527 -0.324 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2C[C@@H]2CCS(=O)(=O)C2)CCOC1 ZINC001314105788 1074058906 /nfs/dbraw/zinc/05/89/06/1074058906.db2.gz FVNUKZQTLQUUPL-SUNYJGFJSA-N 0 0 427.527 -0.324 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](COC)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001314114448 1074058722 /nfs/dbraw/zinc/05/87/22/1074058722.db2.gz UBGQOVZZGOTIOK-ATZDWAIDSA-N 0 0 444.554 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](COC)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001314114449 1074058823 /nfs/dbraw/zinc/05/88/23/1074058823.db2.gz UBGQOVZZGOTIOK-AYOQOUSVSA-N 0 0 444.554 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](COC)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001314114450 1074058915 /nfs/dbraw/zinc/05/89/15/1074058915.db2.gz UBGQOVZZGOTIOK-KFKAGJAMSA-N 0 0 444.554 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](COC)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001314114451 1074058756 /nfs/dbraw/zinc/05/87/56/1074058756.db2.gz UBGQOVZZGOTIOK-ZYSHUDEJSA-N 0 0 444.554 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001314119073 1074058886 /nfs/dbraw/zinc/05/88/86/1074058886.db2.gz GHWGCKIWULSOBJ-KBXCAEBGSA-N 0 0 444.558 -0.477 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001314119078 1074058873 /nfs/dbraw/zinc/05/88/73/1074058873.db2.gz GHWGCKIWULSOBJ-KDOFPFPSSA-N 0 0 444.558 -0.477 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001314119204 1074058833 /nfs/dbraw/zinc/05/88/33/1074058833.db2.gz GHWGCKIWULSOBJ-KSSFIOAISA-N 0 0 444.558 -0.477 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@@H](C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001314119206 1074058925 /nfs/dbraw/zinc/05/89/25/1074058925.db2.gz GHWGCKIWULSOBJ-RDTXWAMCSA-N 0 0 444.558 -0.477 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@H](C(=O)OC)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314121397 1074058864 /nfs/dbraw/zinc/05/88/64/1074058864.db2.gz PBSKSAIIZPRNNQ-KBXCAEBGSA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@@H](C(=O)OC)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314121399 1074058763 /nfs/dbraw/zinc/05/87/63/1074058763.db2.gz PBSKSAIIZPRNNQ-KDOFPFPSSA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@H](C(=O)OC)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314121401 1074058746 /nfs/dbraw/zinc/05/87/46/1074058746.db2.gz PBSKSAIIZPRNNQ-KSSFIOAISA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@@H](C(=O)OC)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314121403 1074058812 /nfs/dbraw/zinc/05/88/12/1074058812.db2.gz PBSKSAIIZPRNNQ-RDTXWAMCSA-N 0 0 445.542 -0.131 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@H](C(=O)NC)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001314123360 1074058802 /nfs/dbraw/zinc/05/88/02/1074058802.db2.gz UNINQIGTXSMSSS-KBXCAEBGSA-N 0 0 444.558 -0.558 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@@H](C(=O)NC)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001314123361 1074058956 /nfs/dbraw/zinc/05/89/56/1074058956.db2.gz UNINQIGTXSMSSS-KDOFPFPSSA-N 0 0 444.558 -0.558 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@H](C(=O)NC)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001314123362 1074058892 /nfs/dbraw/zinc/05/88/92/1074058892.db2.gz UNINQIGTXSMSSS-KSSFIOAISA-N 0 0 444.558 -0.558 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@@H](C(=O)NC)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001314123363 1074059296 /nfs/dbraw/zinc/05/92/96/1074059296.db2.gz UNINQIGTXSMSSS-RDTXWAMCSA-N 0 0 444.558 -0.558 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@]4(CNC(=O)C4)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001314125904 1074059218 /nfs/dbraw/zinc/05/92/18/1074059218.db2.gz ZCMFCCLBLMIGHI-MSOLQXFVSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@]4(CNC(=O)C4)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001314125925 1074059108 /nfs/dbraw/zinc/05/91/08/1074059108.db2.gz ZCMFCCLBLMIGHI-QZTJIDSGSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@]4(CNC(=O)C4)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001314125929 1074059258 /nfs/dbraw/zinc/05/92/58/1074059258.db2.gz ZCMFCCLBLMIGHI-ROUUACIJSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@]4(CNC(=O)C4)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001314125947 1074059194 /nfs/dbraw/zinc/05/91/94/1074059194.db2.gz ZCMFCCLBLMIGHI-ZWKOTPCHSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCOCCS(C)(=O)=O)CCOC1 ZINC001314133920 1074059175 /nfs/dbraw/zinc/05/91/75/1074059175.db2.gz DDPHFHQIHSQAIT-GOSISDBHSA-N 0 0 445.542 -0.730 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCOCCS(C)(=O)=O)CCOC1 ZINC001314133924 1074059088 /nfs/dbraw/zinc/05/90/88/1074059088.db2.gz DDPHFHQIHSQAIT-SFHVURJKSA-N 0 0 445.542 -0.730 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(CC(=O)N(C)C)CC3)n2C[C@H]2CCC(=O)N2)CCOC1 ZINC001314134155 1074059208 /nfs/dbraw/zinc/05/92/08/1074059208.db2.gz MSUXBRGRNMAOAL-IERDGZPVSA-N 0 0 449.556 -0.581 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(CC(=O)N(C)C)CC3)n2C[C@H]2CCC(=O)N2)CCOC1 ZINC001314134156 1074059165 /nfs/dbraw/zinc/05/91/65/1074059165.db2.gz MSUXBRGRNMAOAL-IIBYNOLFSA-N 0 0 449.556 -0.581 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCC(=O)N2CCOCC2)CCOC1 ZINC001314134199 1074059134 /nfs/dbraw/zinc/05/91/34/1074059134.db2.gz DUZWMDOLEWADJC-FQEVSTJZSA-N 0 0 436.513 -0.543 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCC(=O)N2CCOCC2)CCOC1 ZINC001314134200 1074059243 /nfs/dbraw/zinc/05/92/43/1074059243.db2.gz DUZWMDOLEWADJC-HXUWFJFHSA-N 0 0 436.513 -0.543 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001314134203 1074059235 /nfs/dbraw/zinc/05/92/35/1074059235.db2.gz DVIRTAXEHCLJKI-CKNLXJGOSA-N 0 0 449.512 -0.415 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001314134204 1074059251 /nfs/dbraw/zinc/05/92/51/1074059251.db2.gz DVIRTAXEHCLJKI-LAPQFRIASA-N 0 0 449.512 -0.415 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001314134205 1074059263 /nfs/dbraw/zinc/05/92/63/1074059263.db2.gz DVIRTAXEHCLJKI-PJSUUKDQSA-N 0 0 449.512 -0.415 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001314134206 1074059228 /nfs/dbraw/zinc/05/92/28/1074059228.db2.gz DVIRTAXEHCLJKI-YRVVQQKDSA-N 0 0 449.512 -0.415 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2CCNC(=O)C2CC2)CCOC1 ZINC001314134738 1074059203 /nfs/dbraw/zinc/05/92/03/1074059203.db2.gz KOBQQZBUQOOLKP-FQEVSTJZSA-N 0 0 435.529 -0.082 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2CCNC(=O)C2CC2)CCOC1 ZINC001314134739 1074059148 /nfs/dbraw/zinc/05/91/48/1074059148.db2.gz KOBQQZBUQOOLKP-HXUWFJFHSA-N 0 0 435.529 -0.082 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)OC)CC3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314135299 1074059183 /nfs/dbraw/zinc/05/91/83/1074059183.db2.gz NCBOPESPIRFESO-KRWDZBQOSA-N 0 0 446.530 -0.632 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)OC)CC3)n2CCS(=O)(=O)NC)CCOC1 ZINC001314135308 1074059273 /nfs/dbraw/zinc/05/92/73/1074059273.db2.gz NCBOPESPIRFESO-QGZVFWFLSA-N 0 0 446.530 -0.632 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CCCNC(C)=O)CCOC1 ZINC001314135777 1074059284 /nfs/dbraw/zinc/05/92/84/1074059284.db2.gz AHTDVAMXQNUTAG-GOSISDBHSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CCCNC(C)=O)CCOC1 ZINC001314135778 1074059556 /nfs/dbraw/zinc/05/95/56/1074059556.db2.gz AHTDVAMXQNUTAG-SFHVURJKSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C4CC4)C(=O)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001314135893 1074059527 /nfs/dbraw/zinc/05/95/27/1074059527.db2.gz URVCKPQAIVLLKC-GOSISDBHSA-N 0 0 427.527 -0.214 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C4CC4)C(=O)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001314135894 1074059670 /nfs/dbraw/zinc/05/96/70/1074059670.db2.gz URVCKPQAIVLLKC-SFHVURJKSA-N 0 0 427.527 -0.214 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)C3)n2Cc2cccc(OCC(N)=O)c2)CCOC1 ZINC001314136369 1074059576 /nfs/dbraw/zinc/05/95/76/1074059576.db2.gz CKELFTKSYCQDAX-NRFANRHFSA-N 0 0 444.492 -0.221 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)C3)n2Cc2cccc(OCC(N)=O)c2)CCOC1 ZINC001314136370 1074059562 /nfs/dbraw/zinc/05/95/62/1074059562.db2.gz CKELFTKSYCQDAX-OAQYLSRUSA-N 0 0 444.492 -0.221 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4CCOC[C@H]4C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001314136678 1074059692 /nfs/dbraw/zinc/05/96/92/1074059692.db2.gz DRKBHXUYMHGWSZ-AUUYWEPGSA-N 0 0 444.483 -0.168 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4CCOC[C@@H]4C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001314136709 1074059629 /nfs/dbraw/zinc/05/96/29/1074059629.db2.gz DRKBHXUYMHGWSZ-IFXJQAMLSA-N 0 0 444.483 -0.168 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4CCOC[C@H]4C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001314136711 1074059580 /nfs/dbraw/zinc/05/95/80/1074059580.db2.gz DRKBHXUYMHGWSZ-KUHUBIRLSA-N 0 0 444.483 -0.168 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4CCOC[C@@H]4C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001314136714 1074059535 /nfs/dbraw/zinc/05/95/35/1074059535.db2.gz DRKBHXUYMHGWSZ-LIRRHRJNSA-N 0 0 444.483 -0.168 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C4CC4)C(=O)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001314136962 1074059570 /nfs/dbraw/zinc/05/95/70/1074059570.db2.gz ZCXDVMDRDFEIGC-GOSISDBHSA-N 0 0 442.542 -0.710 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C4CC4)C(=O)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001314136980 1074059642 /nfs/dbraw/zinc/05/96/42/1074059642.db2.gz ZCXDVMDRDFEIGC-SFHVURJKSA-N 0 0 442.542 -0.710 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(C)=O)CC3)n2CCNS(=O)(=O)CC)CCOC1 ZINC001314137030 1074059651 /nfs/dbraw/zinc/05/96/51/1074059651.db2.gz NHXWETVIHJFOAX-GOSISDBHSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(C)=O)CC3)n2CCNS(=O)(=O)CC)CCOC1 ZINC001314137031 1074059675 /nfs/dbraw/zinc/05/96/75/1074059675.db2.gz NHXWETVIHJFOAX-SFHVURJKSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)C3)n2CCS(=O)(=O)CC2CC2)CCOC1 ZINC001314137969 1074059684 /nfs/dbraw/zinc/05/96/84/1074059684.db2.gz HNRZTKQYUIXTCT-GOSISDBHSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)C3)n2CCS(=O)(=O)CC2CC2)CCOC1 ZINC001314137971 1074059713 /nfs/dbraw/zinc/05/97/13/1074059713.db2.gz HNRZTKQYUIXTCT-SFHVURJKSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)N(CC)C(=O)[C@H]4C3)n2CCC(=O)NC)CCOC1 ZINC001314137981 1074059665 /nfs/dbraw/zinc/05/96/65/1074059665.db2.gz HOLJISOLWOAMKX-JLTOFOAXSA-N 0 0 449.512 -0.461 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)N(CC)C(=O)[C@@H]4C3)n2CCC(=O)NC)CCOC1 ZINC001314137986 1074059721 /nfs/dbraw/zinc/05/97/21/1074059721.db2.gz HOLJISOLWOAMKX-VBKZILBWSA-N 0 0 449.512 -0.461 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)N(CC)C(=O)[C@H]4C3)n2CCC(=O)NC)CCOC1 ZINC001314137988 1074059604 /nfs/dbraw/zinc/05/96/04/1074059604.db2.gz HOLJISOLWOAMKX-VLIAUNLRSA-N 0 0 449.512 -0.461 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)N(CC)C(=O)[C@@H]4C3)n2CCC(=O)NC)CCOC1 ZINC001314137990 1074059728 /nfs/dbraw/zinc/05/97/28/1074059728.db2.gz HOLJISOLWOAMKX-XOBRGWDASA-N 0 0 449.512 -0.461 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)COC)CC3)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001314138356 1074060162 /nfs/dbraw/zinc/06/01/62/1074060162.db2.gz YBJKRLSESRRSBB-PMACEKPBSA-N 0 0 439.513 -0.623 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)COC)CC3)n2C[C@]2(O)CCOC2)CCOC1 ZINC001314138357 1074060086 /nfs/dbraw/zinc/06/00/86/1074060086.db2.gz YBJKRLSESRRSBB-UXHICEINSA-N 0 0 439.513 -0.623 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)COC)CC3)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001314138358 1074060168 /nfs/dbraw/zinc/06/01/68/1074060168.db2.gz YBJKRLSESRRSBB-VQTJNVASSA-N 0 0 439.513 -0.623 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)COC)CC3)n2C[C@]2(O)CCOC2)CCOC1 ZINC001314138359 1074059658 /nfs/dbraw/zinc/05/96/58/1074059658.db2.gz YBJKRLSESRRSBB-WOJBJXKFSA-N 0 0 439.513 -0.623 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCCNS(=O)(=O)CC)CCOC1 ZINC001314139234 1074060228 /nfs/dbraw/zinc/06/02/28/1074060228.db2.gz VPPQXLVRFJISQT-GOSISDBHSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCCNS(=O)(=O)CC)CCOC1 ZINC001314139235 1074060032 /nfs/dbraw/zinc/06/00/32/1074060032.db2.gz VPPQXLVRFJISQT-SFHVURJKSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001314139568 1074060222 /nfs/dbraw/zinc/06/02/22/1074060222.db2.gz BQKQEDMSEMGZMM-GOSISDBHSA-N 0 0 443.526 -0.976 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001314139574 1074060175 /nfs/dbraw/zinc/06/01/75/1074060175.db2.gz BQKQEDMSEMGZMM-SFHVURJKSA-N 0 0 443.526 -0.976 20 0 IBADRN CCO[C@]1(c2nnc(N3CCOC[C@@H]3C)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001314140574 1074060194 /nfs/dbraw/zinc/06/01/94/1074060194.db2.gz IIBATAGNEIFPOX-BMGDILEWSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CCOC[C@H]3C)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001314140575 1074060129 /nfs/dbraw/zinc/06/01/29/1074060129.db2.gz IIBATAGNEIFPOX-FHLIZLRMSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CCOC[C@@H]3C)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001314140576 1074060204 /nfs/dbraw/zinc/06/02/04/1074060204.db2.gz IIBATAGNEIFPOX-JCGIZDLHSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CCOC[C@H]3C)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001314140577 1074060240 /nfs/dbraw/zinc/06/02/40/1074060240.db2.gz IIBATAGNEIFPOX-ZTFGCOKTSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@H](C)N2CCOCC2)CCOC1 ZINC001314141120 1074060112 /nfs/dbraw/zinc/06/01/12/1074060112.db2.gz LWOMQNSPRJVGSD-APWZRJJASA-N 0 0 443.570 -0.114 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@H](C)N2CCOCC2)CCOC1 ZINC001314141121 1074060020 /nfs/dbraw/zinc/06/00/20/1074060020.db2.gz LWOMQNSPRJVGSD-LPHOPBHVSA-N 0 0 443.570 -0.114 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@H](C)N2CCOCC2)CCOC1 ZINC001314141122 1074060120 /nfs/dbraw/zinc/06/01/20/1074060120.db2.gz LWOMQNSPRJVGSD-QFBILLFUSA-N 0 0 443.570 -0.114 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@H](C)N2CCOCC2)CCOC1 ZINC001314141123 1074060248 /nfs/dbraw/zinc/06/02/48/1074060248.db2.gz LWOMQNSPRJVGSD-VQIMIIECSA-N 0 0 443.570 -0.114 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2CC2(S(C)(=O)=O)CC2)CCOC1 ZINC001314141778 1074060214 /nfs/dbraw/zinc/06/02/14/1074060214.db2.gz PUKLTJOASNNFCB-KRWDZBQOSA-N 0 0 448.567 -0.258 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2CC2(S(C)(=O)=O)CC2)CCOC1 ZINC001314141779 1074060509 /nfs/dbraw/zinc/06/05/09/1074060509.db2.gz PUKLTJOASNNFCB-QGZVFWFLSA-N 0 0 448.567 -0.258 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)C3)n2CCCN(C)S(=O)(=O)CC)CCOC1 ZINC001314141836 1074060458 /nfs/dbraw/zinc/06/04/58/1074060458.db2.gz SSCCKCKNUPCGQC-GOSISDBHSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)C3)n2CCCN(C)S(=O)(=O)CC)CCOC1 ZINC001314141837 1074060542 /nfs/dbraw/zinc/06/05/42/1074060542.db2.gz SSCCKCKNUPCGQC-SFHVURJKSA-N 0 0 444.558 -0.462 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)CC3)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001314141838 1074060560 /nfs/dbraw/zinc/06/05/60/1074060560.db2.gz SSTKEPSQXFEXCQ-DJJJIMSYSA-N 0 0 435.485 -0.755 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)CC3)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001314141839 1074060502 /nfs/dbraw/zinc/06/05/02/1074060502.db2.gz SSTKEPSQXFEXCQ-ORAYPTAESA-N 0 0 435.485 -0.755 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CCCS(C)(=O)=O)CCOC1 ZINC001314141870 1074060487 /nfs/dbraw/zinc/06/04/87/1074060487.db2.gz TWVHWUCEKYGXAU-KBXCAEBGSA-N 0 0 442.542 -0.421 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CCCS(C)(=O)=O)CCOC1 ZINC001314141871 1074060548 /nfs/dbraw/zinc/06/05/48/1074060548.db2.gz TWVHWUCEKYGXAU-KDOFPFPSSA-N 0 0 442.542 -0.421 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CCCS(C)(=O)=O)CCOC1 ZINC001314141872 1074060401 /nfs/dbraw/zinc/06/04/01/1074060401.db2.gz TWVHWUCEKYGXAU-KSSFIOAISA-N 0 0 442.542 -0.421 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CCCS(C)(=O)=O)CCOC1 ZINC001314141873 1074060432 /nfs/dbraw/zinc/06/04/32/1074060432.db2.gz TWVHWUCEKYGXAU-RDTXWAMCSA-N 0 0 442.542 -0.421 20 0 IBADRN CCO[C@@]1(c2nnc(N3C[C@@H](CC(=O)OC)[C@H](O)C3)n2CC(=O)N(C)C)CCOC1 ZINC001314146734 1074060496 /nfs/dbraw/zinc/06/04/96/1074060496.db2.gz WHABJZREJZXXKJ-LPMFXHHGSA-N 0 0 425.486 -0.621 20 0 IBADRN CCO[C@]1(c2nnc(N3C[C@@H](CC(=O)OC)[C@H](O)C3)n2CC(=O)N(C)C)CCOC1 ZINC001314146735 1074060522 /nfs/dbraw/zinc/06/05/22/1074060522.db2.gz WHABJZREJZXXKJ-PJIJBLCYSA-N 0 0 425.486 -0.621 20 0 IBADRN CCOc1ccccc1OCc1nnc(N2CCNC(=O)C2)n1CCNS(C)(=O)=O ZINC001314225480 1074060477 /nfs/dbraw/zinc/06/04/77/1074060477.db2.gz MJPJWRYNPPRPGQ-UHFFFAOYSA-N 0 0 438.510 -0.259 20 0 IBADRN CCOc1ccccc1OCc1nnc(N2CCNC(=O)C2)n1CCS(=O)(=O)NC ZINC001314226717 1074060444 /nfs/dbraw/zinc/06/04/44/1074060444.db2.gz VQNRKWZXGQAXBU-UHFFFAOYSA-N 0 0 438.510 -0.259 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC(=O)N(C)[C@@H](C)C2)C1 ZINC001314250939 1074060410 /nfs/dbraw/zinc/06/04/10/1074060410.db2.gz GHYDEKSPSNHFPZ-RKSAHCFOSA-N 0 0 444.558 -0.515 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC(=O)N(C)[C@@H](C)C2)C1 ZINC001314250941 1074060427 /nfs/dbraw/zinc/06/04/27/1074060427.db2.gz GHYDEKSPSNHFPZ-WVGCRTDUSA-N 0 0 444.558 -0.515 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC(=O)N(C)[C@H](C)C2)C1 ZINC001314250943 1074060554 /nfs/dbraw/zinc/06/05/54/1074060554.db2.gz GHYDEKSPSNHFPZ-ZBUWUSMVSA-N 0 0 444.558 -0.515 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC(=O)N(C)[C@H](C)C2)C1 ZINC001314250945 1074060534 /nfs/dbraw/zinc/06/05/34/1074060534.db2.gz GHYDEKSPSNHFPZ-ZHRYSXCZSA-N 0 0 444.558 -0.515 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2C[C@H]3OCO[C@H]3C2)C1 ZINC001314255820 1074060527 /nfs/dbraw/zinc/06/05/27/1074060527.db2.gz WJCIJQSWMOIVFY-LHYMLEISSA-N 0 0 431.515 -0.620 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2C[C@H]3OCO[C@H]3C2)C1 ZINC001314255827 1074060468 /nfs/dbraw/zinc/06/04/68/1074060468.db2.gz WJCIJQSWMOIVFY-TUFIUFKWSA-N 0 0 431.515 -0.620 20 0 IBADRN CCOC1CC(O)(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)N(C)C[C@H]3C2)C1 ZINC001314255984 1074060516 /nfs/dbraw/zinc/06/05/16/1074060516.db2.gz XQCPFTHOCJDZDZ-BQTBQCLESA-N 0 0 435.529 -0.098 20 0 IBADRN CCOC1CC(O)(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)N(C)C[C@@H]3C2)C1 ZINC001314255988 1074060892 /nfs/dbraw/zinc/06/08/92/1074060892.db2.gz XQCPFTHOCJDZDZ-ZUIJCZDSSA-N 0 0 435.529 -0.098 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCO[C@H](COC)C2)C1 ZINC001314261777 1074060777 /nfs/dbraw/zinc/06/07/77/1074060777.db2.gz YKZIIMWTQPGSFP-HITAIPBASA-N 0 0 444.554 -0.038 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCO[C@H](COC)C2)C1 ZINC001314261778 1074060937 /nfs/dbraw/zinc/06/09/37/1074060937.db2.gz YKZIIMWTQPGSFP-QCTRZYONSA-N 0 0 444.554 -0.038 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCO[C@@H](COC)C2)C1 ZINC001314261779 1074060947 /nfs/dbraw/zinc/06/09/47/1074060947.db2.gz YKZIIMWTQPGSFP-UYFTZEKXSA-N 0 0 444.554 -0.038 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCO[C@@H](COC)C2)C1 ZINC001314261780 1074060903 /nfs/dbraw/zinc/06/09/03/1074060903.db2.gz YKZIIMWTQPGSFP-XZZQLLPFSA-N 0 0 444.554 -0.038 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(=O)COC)CC2)C1 ZINC001314262935 1074060888 /nfs/dbraw/zinc/06/08/88/1074060888.db2.gz NFTFYVRAVACMPT-CHWWFWEZSA-N 0 0 436.513 -0.546 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(=O)COC)CC2)C1 ZINC001314262936 1074060863 /nfs/dbraw/zinc/06/08/63/1074060863.db2.gz NFTFYVRAVACMPT-RDYUPDBUSA-N 0 0 436.513 -0.546 20 0 IBADRN CCOC1CC(O)(Cn2c(-c3cnccn3)nnc2N2CCN(S(C)(=O)=O)CC2)C1 ZINC001314263140 1074060806 /nfs/dbraw/zinc/06/08/06/1074060806.db2.gz NRHWPGHRXHOXSN-UHFFFAOYSA-N 0 0 437.526 -0.253 20 0 IBADRN CCOC1CC(O)(Cn2c(-c3cnccn3)nnc2N2CCN(C(=O)COC)CC2)C1 ZINC001314264020 1074060897 /nfs/dbraw/zinc/06/08/97/1074060897.db2.gz VAAXSBIGKIQTGE-UHFFFAOYSA-N 0 0 431.497 -0.040 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)C1 ZINC001314264528 1074060969 /nfs/dbraw/zinc/06/09/69/1074060969.db2.gz XQACJEUBEWWDEL-FVRSWCFKSA-N 0 0 442.542 -0.759 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)C1 ZINC001314264537 1074060845 /nfs/dbraw/zinc/06/08/45/1074060845.db2.gz XQACJEUBEWWDEL-TXKKKEFDSA-N 0 0 442.542 -0.759 20 0 IBADRN CCOCc1nnc(N(C)[C@H](C)CS(C)(=O)=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314298556 1074060794 /nfs/dbraw/zinc/06/07/94/1074060794.db2.gz XACMJJOEFVXBMA-CYBMUJFWSA-N 0 0 438.572 -0.376 20 0 IBADRN CCOCc1nnc(N(C)[C@@H](C)CS(C)(=O)=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314298557 1074060955 /nfs/dbraw/zinc/06/09/55/1074060955.db2.gz XACMJJOEFVXBMA-ZDUSSCGKSA-N 0 0 438.572 -0.376 20 0 IBADRN CCOCc1nnc(N(C)CC(=O)N2CCOCC2)n1CC1CCS(=O)(=O)CC1 ZINC001314301374 1074060882 /nfs/dbraw/zinc/06/08/82/1074060882.db2.gz NSUUSZDIGQYOQS-UHFFFAOYSA-N 0 0 429.543 -0.066 20 0 IBADRN CCOCc1nnc(N(C)CCCS(C)(=O)=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314313489 1074060928 /nfs/dbraw/zinc/06/09/28/1074060928.db2.gz ZWFCUNWOGNWPJM-UHFFFAOYSA-N 0 0 438.572 -0.375 20 0 IBADRN CCOCc1nnc(N2C[C@H](C)[C@H](N3CCN(C(C)=O)CC3)C2)n1C[C@@]1(O)CCOC1 ZINC001314318364 1074060818 /nfs/dbraw/zinc/06/08/18/1074060818.db2.gz SRTZQIKPXNAXPE-CDXJDZJCSA-N 0 0 436.557 -0.045 20 0 IBADRN CCOCc1nnc(N2C[C@H](N3CCN(C(C)=O)CC3)[C@@H](C)C2)n1C[C@]1(O)CCOC1 ZINC001314318366 1074060833 /nfs/dbraw/zinc/06/08/33/1074060833.db2.gz SRTZQIKPXNAXPE-DJPFJPOOSA-N 0 0 436.557 -0.045 20 0 IBADRN CCOCc1nnc(N2C[C@H](N3CCN(C(C)=O)CC3)[C@@H](C)C2)n1C[C@@]1(O)CCOC1 ZINC001314318482 1074060870 /nfs/dbraw/zinc/06/08/70/1074060870.db2.gz SRTZQIKPXNAXPE-MDKPJZGXSA-N 0 0 436.557 -0.045 20 0 IBADRN CCOCc1nnc(N2C[C@H](C)[C@H](N3CCN(C(C)=O)CC3)C2)n1C[C@]1(O)CCOC1 ZINC001314318483 1074060909 /nfs/dbraw/zinc/06/09/09/1074060909.db2.gz SRTZQIKPXNAXPE-YRISNDGFSA-N 0 0 436.557 -0.045 20 0 IBADRN CCOCc1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001314330148 1074060854 /nfs/dbraw/zinc/06/08/54/1074060854.db2.gz ZLLVBZXPKUMNRW-ILXRZTDVSA-N 0 0 426.543 -0.189 20 0 IBADRN CCOCc1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001314330149 1074061334 /nfs/dbraw/zinc/06/13/34/1074061334.db2.gz ZLLVBZXPKUMNRW-KKUMJFAQSA-N 0 0 426.543 -0.189 20 0 IBADRN CCOCc1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001314330150 1074061307 /nfs/dbraw/zinc/06/13/07/1074061307.db2.gz ZLLVBZXPKUMNRW-QLFBSQMISA-N 0 0 426.543 -0.189 20 0 IBADRN CCOCc1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001314330151 1074061375 /nfs/dbraw/zinc/06/13/75/1074061375.db2.gz ZLLVBZXPKUMNRW-SOUVJXGZSA-N 0 0 426.543 -0.189 20 0 IBADRN CCOCc1nnc(N2CCC(C(N)=O)CC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001314334800 1074061267 /nfs/dbraw/zinc/06/12/67/1074061267.db2.gz JDHFSURZDRDIEC-UHFFFAOYSA-N 0 0 446.599 -0.105 20 0 IBADRN CCOCc1nnc(N2CCC(N(C)C(C)=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314344213 1074061253 /nfs/dbraw/zinc/06/12/53/1074061253.db2.gz RTOFSUYQFZMHBP-GOSISDBHSA-N 0 0 429.543 -0.189 20 0 IBADRN CCOCc1nnc(N2CCC(N(C)C(C)=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314344214 1074061222 /nfs/dbraw/zinc/06/12/22/1074061222.db2.gz RTOFSUYQFZMHBP-SFHVURJKSA-N 0 0 429.543 -0.189 20 0 IBADRN CCOCc1nnc(N2CC[C@H](N3CCCC3=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314345277 1074061238 /nfs/dbraw/zinc/06/12/38/1074061238.db2.gz KSTGOTHEWIZVCF-KBXCAEBGSA-N 0 0 427.527 -0.435 20 0 IBADRN CCOCc1nnc(N2CC[C@@H](N3CCCC3=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314345279 1074061342 /nfs/dbraw/zinc/06/13/42/1074061342.db2.gz KSTGOTHEWIZVCF-KDOFPFPSSA-N 0 0 427.527 -0.435 20 0 IBADRN CCOCc1nnc(N2CC[C@H](N3CCCC3=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314345282 1074061231 /nfs/dbraw/zinc/06/12/31/1074061231.db2.gz KSTGOTHEWIZVCF-KSSFIOAISA-N 0 0 427.527 -0.435 20 0 IBADRN CCOCc1nnc(N2CC[C@@H](N3CCCC3=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314345284 1074061406 /nfs/dbraw/zinc/06/14/06/1074061406.db2.gz KSTGOTHEWIZVCF-RDTXWAMCSA-N 0 0 427.527 -0.435 20 0 IBADRN CCOCc1nnc(N2CCC(NC(=O)COC)CC2)n1CCN1CCCS1(=O)=O ZINC001314348429 1074061245 /nfs/dbraw/zinc/06/12/45/1074061245.db2.gz CCHBIBGWMBWFKG-UHFFFAOYSA-N 0 0 444.558 -0.419 20 0 IBADRN CCOCc1nnc(N2CC[C@H](NC(=O)NC3CC3)C2)n1CCS(=O)(=O)N(C)C ZINC001314349508 1074061381 /nfs/dbraw/zinc/06/13/81/1074061381.db2.gz NJPLMPLDWIUNBK-AWEZNQCLSA-N 0 0 429.547 -0.254 20 0 IBADRN CCOCc1nnc(N2CC[C@@H](NC(=O)NC3CC3)C2)n1CCS(=O)(=O)N(C)C ZINC001314349509 1074061274 /nfs/dbraw/zinc/06/12/74/1074061274.db2.gz NJPLMPLDWIUNBK-CQSZACIVSA-N 0 0 429.547 -0.254 20 0 IBADRN CCOCc1nnc(N2CC[C@H](OCCOC)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314352852 1074061346 /nfs/dbraw/zinc/06/13/46/1074061346.db2.gz NYNBOPTYADAQTG-HNNXBMFYSA-N 0 0 432.543 -0.004 20 0 IBADRN CCOCc1nnc(N2CC[C@@H](OCCOC)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314352861 1074061395 /nfs/dbraw/zinc/06/13/95/1074061395.db2.gz NYNBOPTYADAQTG-OAHLLOKOSA-N 0 0 432.543 -0.004 20 0 IBADRN CCOCc1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314354220 1074061387 /nfs/dbraw/zinc/06/13/87/1074061387.db2.gz GRRZYHZBWUETGS-KRWDZBQOSA-N 0 0 427.527 -0.529 20 0 IBADRN CCOCc1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314354221 1074061259 /nfs/dbraw/zinc/06/12/59/1074061259.db2.gz GRRZYHZBWUETGS-QGZVFWFLSA-N 0 0 427.527 -0.529 20 0 IBADRN CCOCc1nnc(N2CC[C@]3(CCS(=O)(=O)C3)C2)n1CCS(=O)(=O)N(C)C ZINC001314355848 1074061289 /nfs/dbraw/zinc/06/12/89/1074061289.db2.gz SZRUKQZDRHEUGD-INIZCTEOSA-N 0 0 435.572 -0.279 20 0 IBADRN CCOCc1nnc(N2CC[C@@]3(CCS(=O)(=O)C3)C2)n1CCS(=O)(=O)N(C)C ZINC001314355849 1074061717 /nfs/dbraw/zinc/06/17/17/1074061717.db2.gz SZRUKQZDRHEUGD-MRXNPFEDSA-N 0 0 435.572 -0.279 20 0 IBADRN CCOCc1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CCCNS(C)(=O)=O ZINC001314358564 1074061639 /nfs/dbraw/zinc/06/16/39/1074061639.db2.gz JYAOAHBZPULEKI-UHFFFAOYSA-N 0 0 425.515 -0.590 20 0 IBADRN CCOCc1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001314358796 1074061687 /nfs/dbraw/zinc/06/16/87/1074061687.db2.gz NWWUSIWIMQXIFV-HNNXBMFYSA-N 0 0 445.524 -0.034 20 0 IBADRN CCOCc1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001314358797 1074061752 /nfs/dbraw/zinc/06/17/52/1074061752.db2.gz NWWUSIWIMQXIFV-OAHLLOKOSA-N 0 0 445.524 -0.034 20 0 IBADRN CCOCc1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CCN1CCN(C(C)=O)CC1 ZINC001314358949 1074061795 /nfs/dbraw/zinc/06/17/95/1074061795.db2.gz PXRSNFDCSIXUJG-UHFFFAOYSA-N 0 0 444.540 -0.365 20 0 IBADRN CCOCc1nnc(N2CCC[C@H](C(N)=O)C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001314360934 1074061671 /nfs/dbraw/zinc/06/16/71/1074061671.db2.gz FIDFBEBTYBNYGB-AWEZNQCLSA-N 0 0 446.599 -0.105 20 0 IBADRN CCOCc1nnc(N2CCC[C@@H](C(N)=O)C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001314360935 1074061769 /nfs/dbraw/zinc/06/17/69/1074061769.db2.gz FIDFBEBTYBNYGB-CQSZACIVSA-N 0 0 446.599 -0.105 20 0 IBADRN CCOCc1nnc(N2CCCN(C(C)=O)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314376800 1074061702 /nfs/dbraw/zinc/06/17/02/1074061702.db2.gz OPTWYRQJTIXDJV-UHFFFAOYSA-N 0 0 429.543 -0.187 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1C[C@]1(O)CCO[C@@H]1C ZINC001314381437 1074061758 /nfs/dbraw/zinc/06/17/58/1074061758.db2.gz BXVIKJMHAXUWEK-FOIQADDNSA-N 0 0 438.529 -0.472 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1C[C@]1(O)CCO[C@H]1C ZINC001314381453 1074061836 /nfs/dbraw/zinc/06/18/36/1074061836.db2.gz BXVIKJMHAXUWEK-MGPUTAFESA-N 0 0 438.529 -0.472 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001314381455 1074061788 /nfs/dbraw/zinc/06/17/88/1074061788.db2.gz BXVIKJMHAXUWEK-QRWLVFNGSA-N 0 0 438.529 -0.472 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1C[C@@]1(O)CCO[C@H]1C ZINC001314381457 1074061658 /nfs/dbraw/zinc/06/16/58/1074061658.db2.gz BXVIKJMHAXUWEK-YWZLYKJASA-N 0 0 438.529 -0.472 20 0 IBADRN CCOCc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CCC(=O)N1CCOCC1 ZINC001314381473 1074061649 /nfs/dbraw/zinc/06/16/49/1074061649.db2.gz UQZFBNZDDKGXAY-UHFFFAOYSA-N 0 0 444.558 -0.465 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1Cc1nnc2n1CCC2 ZINC001314381734 1074061681 /nfs/dbraw/zinc/06/16/81/1074061681.db2.gz FFJARCCATRLICE-UHFFFAOYSA-N 0 0 445.528 -0.426 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCS(C)(=O)=O ZINC001314381866 1074061729 /nfs/dbraw/zinc/06/17/29/1074061729.db2.gz GFGSQIRGTATLMQ-UHFFFAOYSA-N 0 0 430.531 -0.968 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@]1(O)CCO[C@@H]1C ZINC001314382314 1074061741 /nfs/dbraw/zinc/06/17/41/1074061741.db2.gz JIJXLTAARVCQET-JLTOFOAXSA-N 0 0 436.513 -0.718 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@]1(O)CCO[C@H]1C ZINC001314382321 1074061778 /nfs/dbraw/zinc/06/17/78/1074061778.db2.gz JIJXLTAARVCQET-VBKZILBWSA-N 0 0 436.513 -0.718 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001314382324 1074061819 /nfs/dbraw/zinc/06/18/19/1074061819.db2.gz JIJXLTAARVCQET-VLIAUNLRSA-N 0 0 436.513 -0.718 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@]1(O)CCO[C@H]1C ZINC001314382326 1074061828 /nfs/dbraw/zinc/06/18/28/1074061828.db2.gz JIJXLTAARVCQET-XOBRGWDASA-N 0 0 436.513 -0.718 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC(=O)NCC1CC1 ZINC001314382401 1074061626 /nfs/dbraw/zinc/06/16/26/1074061626.db2.gz LCZIJKPWJQZEQY-UHFFFAOYSA-N 0 0 433.513 -0.732 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC(C)(C)C(=O)NC ZINC001314382731 1074062085 /nfs/dbraw/zinc/06/20/85/1074062085.db2.gz NNBUVVAPXCRMAG-UHFFFAOYSA-N 0 0 437.545 -0.240 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCCS(C)(=O)=O ZINC001314382744 1074062219 /nfs/dbraw/zinc/06/22/19/1074062219.db2.gz NZARVJTYMINYPE-UHFFFAOYSA-N 0 0 442.542 -0.824 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)NC)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314385220 1074062109 /nfs/dbraw/zinc/06/21/09/1074062109.db2.gz LCBQRWDCMJYFDI-UHFFFAOYSA-N 0 0 430.531 -0.784 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)NC)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001314385555 1074062226 /nfs/dbraw/zinc/06/22/26/1074062226.db2.gz MBEYMOBFISMWSG-CQSZACIVSA-N 0 0 429.547 -0.300 20 0 IBADRN CCOCc1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CCNS(=O)(=O)CC ZINC001314392155 1074062189 /nfs/dbraw/zinc/06/21/89/1074062189.db2.gz PNXPSVMOBWRHPR-UHFFFAOYSA-N 0 0 440.530 -0.659 20 0 IBADRN CCOCc1nnc(N2CCN(c3cccnn3)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001314392624 1074062136 /nfs/dbraw/zinc/06/21/36/1074062136.db2.gz TXBVKOYHOIWCLV-AWEZNQCLSA-N 0 0 429.485 -0.081 20 0 IBADRN CCOCc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1CCS(C)(=O)=O ZINC001314393501 1074062198 /nfs/dbraw/zinc/06/21/98/1074062198.db2.gz MJZOUCDABDWBGY-UHFFFAOYSA-N 0 0 437.526 -0.320 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001314393601 1074062096 /nfs/dbraw/zinc/06/20/96/1074062096.db2.gz BKYMLJXXJJBZJA-INIZCTEOSA-N 0 0 442.586 -0.150 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001314393602 1074062067 /nfs/dbraw/zinc/06/20/67/1074062067.db2.gz BKYMLJXXJJBZJA-MRXNPFEDSA-N 0 0 442.586 -0.150 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001314393682 1074062090 /nfs/dbraw/zinc/06/20/90/1074062090.db2.gz FRERBCMDBFEPQP-HNNXBMFYSA-N 0 0 428.559 -0.541 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001314393683 1074062230 /nfs/dbraw/zinc/06/22/30/1074062230.db2.gz FRERBCMDBFEPQP-OAHLLOKOSA-N 0 0 428.559 -0.541 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001314393754 1074062123 /nfs/dbraw/zinc/06/21/23/1074062123.db2.gz HXZSULBWLVEVBM-AWEZNQCLSA-N 0 0 436.517 -0.987 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H](C)CN1CCOCC1 ZINC001314394179 1074062205 /nfs/dbraw/zinc/06/22/05/1074062205.db2.gz QIZCQJDWQUZZDG-GOSISDBHSA-N 0 0 437.589 -0.007 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@@H](C)CN1CCOCC1 ZINC001314394180 1074062127 /nfs/dbraw/zinc/06/21/27/1074062127.db2.gz QIZCQJDWQUZZDG-SFHVURJKSA-N 0 0 437.589 -0.007 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC(=O)NCc1ccco1 ZINC001314394387 1074062423 /nfs/dbraw/zinc/06/24/23/1074062423.db2.gz UWIFNMWRMOTBJF-UHFFFAOYSA-N 0 0 433.513 -0.066 20 0 IBADRN CCOCc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCS(=O)(=O)NC(C)C ZINC001314395496 1074062469 /nfs/dbraw/zinc/06/24/69/1074062469.db2.gz JPKISMKVECDCCD-UHFFFAOYSA-N 0 0 438.576 -0.776 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1nccc(C(F)F)n1 ZINC001314395558 1074062653 /nfs/dbraw/zinc/06/26/53/1074062653.db2.gz JZEOLLCELYTVRQ-LLVKDONJSA-N 0 0 436.423 -0.263 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1nccc(C(F)F)n1 ZINC001314395563 1074062663 /nfs/dbraw/zinc/06/26/63/1074062663.db2.gz JZEOLLCELYTVRQ-NSHDSACASA-N 0 0 436.423 -0.263 20 0 IBADRN CCOCc1nnc(N2CCN(S(C)(=O)=O)CC2)n1Cc1cnn(CCOC)c1 ZINC001314395638 1074062437 /nfs/dbraw/zinc/06/24/37/1074062437.db2.gz LXCZRBATDBWNPI-UHFFFAOYSA-N 0 0 427.531 -0.213 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)NC1CCCCC1 ZINC001314395863 1074062631 /nfs/dbraw/zinc/06/26/31/1074062631.db2.gz MGOCIDFRXYICOA-HNNXBMFYSA-N 0 0 433.513 -0.590 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)NC1CCCCC1 ZINC001314395865 1074062444 /nfs/dbraw/zinc/06/24/44/1074062444.db2.gz MGOCIDFRXYICOA-OAHLLOKOSA-N 0 0 433.513 -0.590 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@](C)(O)c1ccsc1 ZINC001314397025 1074062618 /nfs/dbraw/zinc/06/26/18/1074062618.db2.gz YRBLQVHXQNAYPJ-AUUYWEPGSA-N 0 0 434.522 -0.069 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@](C)(O)c1ccsc1 ZINC001314397026 1074062463 /nfs/dbraw/zinc/06/24/63/1074062463.db2.gz YRBLQVHXQNAYPJ-IFXJQAMLSA-N 0 0 434.522 -0.069 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@](C)(O)c1ccsc1 ZINC001314397027 1074062642 /nfs/dbraw/zinc/06/26/42/1074062642.db2.gz YRBLQVHXQNAYPJ-KUHUBIRLSA-N 0 0 434.522 -0.069 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@](C)(O)c1ccsc1 ZINC001314397028 1074062413 /nfs/dbraw/zinc/06/24/13/1074062413.db2.gz YRBLQVHXQNAYPJ-LIRRHRJNSA-N 0 0 434.522 -0.069 20 0 IBADRN CCOCc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC1CCS(=O)(=O)CC1 ZINC001314397033 1074062926 /nfs/dbraw/zinc/06/29/26/1074062926.db2.gz YXMPEVPXLKXLRO-UHFFFAOYSA-N 0 0 435.572 -0.279 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@H](C)N1CCOCC1 ZINC001314397346 1074062944 /nfs/dbraw/zinc/06/29/44/1074062944.db2.gz LTDCRIZNBZFKOB-KRWDZBQOSA-N 0 0 435.573 -0.064 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@@H](C)N1CCOCC1 ZINC001314397347 1074062980 /nfs/dbraw/zinc/06/29/80/1074062980.db2.gz LTDCRIZNBZFKOB-QGZVFWFLSA-N 0 0 435.573 -0.064 20 0 IBADRN CCOCc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC1(N2CCOCC2)CC1 ZINC001314397408 1074062994 /nfs/dbraw/zinc/06/29/94/1074062994.db2.gz BDSBNJLXIDMXMN-UHFFFAOYSA-N 0 0 428.559 -0.239 20 0 IBADRN CCOCc1nnc(N2CCN(CCOC)[C@H](C)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314397451 1074062891 /nfs/dbraw/zinc/06/28/91/1074062891.db2.gz CEFHZLAMGZLIRJ-CRAIPNDOSA-N 0 0 431.559 -0.479 20 0 IBADRN CCOCc1nnc(N2CCN(CCOC)[C@@H](C)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314397452 1074063152 /nfs/dbraw/zinc/06/31/52/1074063152.db2.gz CEFHZLAMGZLIRJ-MAUKXSAKSA-N 0 0 431.559 -0.479 20 0 IBADRN CCOCc1nnc(N2CCN(CCOC)[C@H](C)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314397453 1074063165 /nfs/dbraw/zinc/06/31/65/1074063165.db2.gz CEFHZLAMGZLIRJ-QAPCUYQASA-N 0 0 431.559 -0.479 20 0 IBADRN CCOCc1nnc(N2CCN(CCOC)[C@@H](C)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314397454 1074062923 /nfs/dbraw/zinc/06/29/23/1074062923.db2.gz CEFHZLAMGZLIRJ-YJBOKZPZSA-N 0 0 431.559 -0.479 20 0 IBADRN CCOCc1nnc(N2CCN(C[C@H]3CCOC3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314399505 1074063052 /nfs/dbraw/zinc/06/30/52/1074063052.db2.gz WIOWZYRMWMSWAB-APWZRJJASA-N 0 0 443.570 -0.477 20 0 IBADRN CCOCc1nnc(N2CCN(C[C@@H]3CCOC3)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314399512 1074062939 /nfs/dbraw/zinc/06/29/39/1074062939.db2.gz WIOWZYRMWMSWAB-LPHOPBHVSA-N 0 0 443.570 -0.477 20 0 IBADRN CCOCc1nnc(N2CCN(C[C@@H]3CCOC3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314399514 1074062913 /nfs/dbraw/zinc/06/29/13/1074062913.db2.gz WIOWZYRMWMSWAB-QFBILLFUSA-N 0 0 443.570 -0.477 20 0 IBADRN CCOCc1nnc(N2CCN(C[C@H]3CCOC3)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314399524 1074062932 /nfs/dbraw/zinc/06/29/32/1074062932.db2.gz WIOWZYRMWMSWAB-VQIMIIECSA-N 0 0 443.570 -0.477 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCCN(CC)S(C)(=O)=O ZINC001314401469 1074063119 /nfs/dbraw/zinc/06/31/19/1074063119.db2.gz UZQXNRULVNSJHV-AWEZNQCLSA-N 0 0 429.547 -0.300 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCCN(CC)S(C)(=O)=O ZINC001314401470 1074063069 /nfs/dbraw/zinc/06/30/69/1074063069.db2.gz UZQXNRULVNSJHV-CQSZACIVSA-N 0 0 429.547 -0.300 20 0 IBADRN CCOCc1nnc(N2CCN3CCOC[C@@H]3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314401693 1074063085 /nfs/dbraw/zinc/06/30/85/1074063085.db2.gz YGFBQVFYKHRWMZ-HNNXBMFYSA-N 0 0 429.543 -0.725 20 0 IBADRN CCOCc1nnc(N2CCN3CCOC[C@H]3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314401694 1074062960 /nfs/dbraw/zinc/06/29/60/1074062960.db2.gz YGFBQVFYKHRWMZ-OAHLLOKOSA-N 0 0 429.543 -0.725 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)n1CCC(=O)N1CCOCC1 ZINC001314401711 1074063484 /nfs/dbraw/zinc/06/34/84/1074063484.db2.gz YQIMYRXXQKXAIV-HNNXBMFYSA-N 0 0 449.512 -0.464 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)n1CCC(=O)N1CCOCC1 ZINC001314401712 1074063468 /nfs/dbraw/zinc/06/34/68/1074063468.db2.gz YQIMYRXXQKXAIV-OAHLLOKOSA-N 0 0 449.512 -0.464 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1CCN1CCCS1(=O)=O ZINC001314401869 1074063566 /nfs/dbraw/zinc/06/35/66/1074063566.db2.gz ZQRSCAPNIGHYOX-KRWDZBQOSA-N 0 0 428.515 -0.119 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1CCN1CCCS1(=O)=O ZINC001314401870 1074063538 /nfs/dbraw/zinc/06/35/38/1074063538.db2.gz ZQRSCAPNIGHYOX-QGZVFWFLSA-N 0 0 428.515 -0.119 20 0 IBADRN CCOCc1nnc(N2CCNC(=O)C2)n1C[C@H]1CCC[C@@H]1N1CCCS1(=O)=O ZINC001314405886 1074063573 /nfs/dbraw/zinc/06/35/73/1074063573.db2.gz WXPOFYXUOLAHQD-CABCVRRESA-N 0 0 426.543 -0.045 20 0 IBADRN CCOCc1nnc(N2CCNC(=O)C2)n1C[C@@H]1CCC[C@@H]1N1CCCS1(=O)=O ZINC001314405888 1074063610 /nfs/dbraw/zinc/06/36/10/1074063610.db2.gz WXPOFYXUOLAHQD-GJZGRUSLSA-N 0 0 426.543 -0.045 20 0 IBADRN CCOCc1nnc(N2CCNC(=O)C2)n1C[C@H]1CCC[C@H]1N1CCCS1(=O)=O ZINC001314405891 1074063644 /nfs/dbraw/zinc/06/36/44/1074063644.db2.gz WXPOFYXUOLAHQD-HUUCEWRRSA-N 0 0 426.543 -0.045 20 0 IBADRN CCOCc1nnc(N2CCNC(=O)C2)n1C[C@@H]1CCC[C@H]1N1CCCS1(=O)=O ZINC001314405893 1074063450 /nfs/dbraw/zinc/06/34/50/1074063450.db2.gz WXPOFYXUOLAHQD-LSDHHAIUSA-N 0 0 426.543 -0.045 20 0 IBADRN CCOCc1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001314406419 1074063517 /nfs/dbraw/zinc/06/35/17/1074063517.db2.gz CVIYSVZXMKXPOR-CVEARBPZSA-N 0 0 429.543 -0.019 20 0 IBADRN CCOCc1nnc(N2CCO[C@@H](CNC(C)=O)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001314406423 1074063636 /nfs/dbraw/zinc/06/36/36/1074063636.db2.gz CVIYSVZXMKXPOR-HOTGVXAUSA-N 0 0 429.543 -0.019 20 0 IBADRN CCOCc1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001314406425 1074063494 /nfs/dbraw/zinc/06/34/94/1074063494.db2.gz CVIYSVZXMKXPOR-HZPDHXFCSA-N 0 0 429.543 -0.019 20 0 IBADRN CCOCc1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001314406426 1074063508 /nfs/dbraw/zinc/06/35/08/1074063508.db2.gz CVIYSVZXMKXPOR-JKSUJKDBSA-N 0 0 429.543 -0.019 20 0 IBADRN CCOCc1nnc(N2CC[C@@H]3NC(=O)OC[C@H]3C2)n1CCN1CCN(C(C)=O)CC1 ZINC001314412374 1074063620 /nfs/dbraw/zinc/06/36/20/1074063620.db2.gz FTDYTMSLFORGPR-SJORKVTESA-N 0 0 435.529 -0.087 20 0 IBADRN CCOC[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)C1 ZINC001314439767 1074063458 /nfs/dbraw/zinc/06/34/58/1074063458.db2.gz UOTKAKZRRNXDCH-KBPBESRZSA-N 0 0 435.572 -0.408 20 0 IBADRN CCOC[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)C1 ZINC001314439768 1074063474 /nfs/dbraw/zinc/06/34/74/1074063474.db2.gz UOTKAKZRRNXDCH-KGLIPLIRSA-N 0 0 435.572 -0.408 20 0 IBADRN CCOC[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)C1 ZINC001314439769 1074063582 /nfs/dbraw/zinc/06/35/82/1074063582.db2.gz UOTKAKZRRNXDCH-UONOGXRCSA-N 0 0 435.572 -0.408 20 0 IBADRN CCOC[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNS(C)(=O)=O)C1 ZINC001314439770 1074063943 /nfs/dbraw/zinc/06/39/43/1074063943.db2.gz UOTKAKZRRNXDCH-ZIAGYGMSSA-N 0 0 435.572 -0.408 20 0 IBADRN CCOCCCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314462003 1074063901 /nfs/dbraw/zinc/06/39/01/1074063901.db2.gz DDPGYEDICDJTBB-UHFFFAOYSA-N 0 0 447.540 -0.246 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001314471639 1074063964 /nfs/dbraw/zinc/06/39/64/1074063964.db2.gz IAYSGTDBWRZPSS-ARFHVFGLSA-N 0 0 447.558 -0.376 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001314471640 1074063887 /nfs/dbraw/zinc/06/38/87/1074063887.db2.gz IAYSGTDBWRZPSS-XHSDSOJGSA-N 0 0 447.558 -0.376 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)CN(C)C1=O ZINC001314471866 1074063952 /nfs/dbraw/zinc/06/39/52/1074063952.db2.gz JQEWNECUZIMSNO-KBXCAEBGSA-N 0 0 444.558 -0.438 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(C)CN(C)C1=O ZINC001314471867 1074063988 /nfs/dbraw/zinc/06/39/88/1074063988.db2.gz JQEWNECUZIMSNO-KDOFPFPSSA-N 0 0 444.558 -0.438 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(C)CN(C)C1=O ZINC001314471868 1074063844 /nfs/dbraw/zinc/06/38/44/1074063844.db2.gz JQEWNECUZIMSNO-KSSFIOAISA-N 0 0 444.558 -0.438 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)CN(C)C1=O ZINC001314471869 1074063914 /nfs/dbraw/zinc/06/39/14/1074063914.db2.gz JQEWNECUZIMSNO-RDTXWAMCSA-N 0 0 444.558 -0.438 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCO[C@H]1C ZINC001314472992 1074063933 /nfs/dbraw/zinc/06/39/33/1074063933.db2.gz XYKKKYYEXIJDJY-MPGHIAIKSA-N 0 0 447.558 -0.376 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCO[C@H]1C ZINC001314472993 1074063811 /nfs/dbraw/zinc/06/38/11/1074063811.db2.gz XYKKKYYEXIJDJY-RLFYNMQTSA-N 0 0 447.558 -0.376 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCO[C@@H]1C ZINC001314472994 1074063876 /nfs/dbraw/zinc/06/38/76/1074063876.db2.gz XYKKKYYEXIJDJY-RVKKMQEKSA-N 0 0 447.558 -0.376 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001314472995 1074063881 /nfs/dbraw/zinc/06/38/81/1074063881.db2.gz XYKKKYYEXIJDJY-VKJFTORMSA-N 0 0 447.558 -0.376 20 0 IBADRN CCOCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001314487529 1074063974 /nfs/dbraw/zinc/06/39/74/1074063974.db2.gz VIMUXNWWINZWRR-HNNXBMFYSA-N 0 0 433.513 -0.463 20 0 IBADRN CCOCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001314487534 1074063995 /nfs/dbraw/zinc/06/39/95/1074063995.db2.gz VIMUXNWWINZWRR-OAHLLOKOSA-N 0 0 433.513 -0.463 20 0 IBADRN CCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](COC)C1 ZINC001314487884 1074063834 /nfs/dbraw/zinc/06/38/34/1074063834.db2.gz PVANOPXYSQBPOK-CABCVRRESA-N 0 0 433.531 -0.501 20 0 IBADRN CCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](COC)C1 ZINC001314487924 1074063821 /nfs/dbraw/zinc/06/38/21/1074063821.db2.gz PVANOPXYSQBPOK-GJZGRUSLSA-N 0 0 433.531 -0.501 20 0 IBADRN CCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](COC)C1 ZINC001314487928 1074063828 /nfs/dbraw/zinc/06/38/28/1074063828.db2.gz PVANOPXYSQBPOK-HUUCEWRRSA-N 0 0 433.531 -0.501 20 0 IBADRN CCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](COC)C1 ZINC001314487932 1074063923 /nfs/dbraw/zinc/06/39/23/1074063923.db2.gz PVANOPXYSQBPOK-LSDHHAIUSA-N 0 0 433.531 -0.501 20 0 IBADRN CCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](CC(N)=O)C1 ZINC001314489260 1074063855 /nfs/dbraw/zinc/06/38/55/1074063855.db2.gz ZHFYQBQULGYSDL-CABCVRRESA-N 0 0 444.558 -0.261 20 0 IBADRN CCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](CC(N)=O)C1 ZINC001314489268 1074063867 /nfs/dbraw/zinc/06/38/67/1074063867.db2.gz ZHFYQBQULGYSDL-GJZGRUSLSA-N 0 0 444.558 -0.261 20 0 IBADRN CCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](CC(N)=O)C1 ZINC001314489270 1074064300 /nfs/dbraw/zinc/06/43/00/1074064300.db2.gz ZHFYQBQULGYSDL-HUUCEWRRSA-N 0 0 444.558 -0.261 20 0 IBADRN CCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](CC(N)=O)C1 ZINC001314489273 1074064277 /nfs/dbraw/zinc/06/42/77/1074064277.db2.gz ZHFYQBQULGYSDL-LSDHHAIUSA-N 0 0 444.558 -0.261 20 0 IBADRN CCOCCN1CCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001314495898 1074064206 /nfs/dbraw/zinc/06/42/06/1074064206.db2.gz MNGGYRRXIZKVLR-UHFFFAOYSA-N 0 0 440.574 -0.272 20 0 IBADRN CCOCCN1CCN(c2nnc(CN3CCCC3=O)n2CCS(C)(=O)=O)CC1 ZINC001314499347 1074064306 /nfs/dbraw/zinc/06/43/06/1074064306.db2.gz YMMDKXHPYGNSPV-UHFFFAOYSA-N 0 0 428.559 -0.396 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H]3CCCOC3)n2CCNS(C)(=O)=O)CC1 ZINC001314500509 1074064253 /nfs/dbraw/zinc/06/42/53/1074064253.db2.gz IRGQGXHKIRBHCJ-INIZCTEOSA-N 0 0 430.575 -0.120 20 0 IBADRN CCOCCN1CCN(c2nnc([C@@H]3CCCOC3)n2CCNS(C)(=O)=O)CC1 ZINC001314500510 1074064241 /nfs/dbraw/zinc/06/42/41/1074064241.db2.gz IRGQGXHKIRBHCJ-MRXNPFEDSA-N 0 0 430.575 -0.120 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2CCS(C)(=O)=O)CC1 ZINC001314508555 1074064293 /nfs/dbraw/zinc/06/42/93/1074064293.db2.gz XOKNLOQWGKRBJY-UHFFFAOYSA-N 0 0 435.572 -0.136 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC)CC1 ZINC001314508722 1074064322 /nfs/dbraw/zinc/06/43/22/1074064322.db2.gz DLZFTFQQOXELBC-UHFFFAOYSA-N 0 0 445.571 -0.369 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)CC1 ZINC001314510274 1074064358 /nfs/dbraw/zinc/06/43/58/1074064358.db2.gz USVPSXGRICYWSC-UHFFFAOYSA-N 0 0 445.571 -0.369 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N(C)C)CC1 ZINC001314510884 1074064340 /nfs/dbraw/zinc/06/43/40/1074064340.db2.gz AUIQDZKWPWAERU-UHFFFAOYSA-N 0 0 426.543 -0.108 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NC(C)C ZINC001314514816 1074064286 /nfs/dbraw/zinc/06/42/86/1074064286.db2.gz MSSUEVFAYUNTLO-KBPBESRZSA-N 0 0 449.599 -0.036 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NC(C)C ZINC001314514817 1074064232 /nfs/dbraw/zinc/06/42/32/1074064232.db2.gz MSSUEVFAYUNTLO-KGLIPLIRSA-N 0 0 449.599 -0.036 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NC(C)C ZINC001314514818 1074064387 /nfs/dbraw/zinc/06/43/87/1074064387.db2.gz MSSUEVFAYUNTLO-UONOGXRCSA-N 0 0 449.599 -0.036 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NC(C)C ZINC001314514819 1074064270 /nfs/dbraw/zinc/06/42/70/1074064270.db2.gz MSSUEVFAYUNTLO-ZIAGYGMSSA-N 0 0 449.599 -0.036 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCC(=O)N1 ZINC001314515628 1074064352 /nfs/dbraw/zinc/06/43/52/1074064352.db2.gz BMHHESPQHIOVHR-UONOGXRCSA-N 0 0 426.543 -0.062 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCC(=O)N1 ZINC001314515629 1074064221 /nfs/dbraw/zinc/06/42/21/1074064221.db2.gz BMHHESPQHIOVHR-ZIAGYGMSSA-N 0 0 426.543 -0.062 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1CC(=O)NCC(F)F ZINC001314517632 1074064375 /nfs/dbraw/zinc/06/43/75/1074064375.db2.gz QKNAUBLVOPXYQB-UHFFFAOYSA-N 0 0 436.485 -0.234 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@H]2CCC(=O)N2)n1CC(=O)NCC(F)F ZINC001314518387 1074064315 /nfs/dbraw/zinc/06/43/15/1074064315.db2.gz JQFNNJDUHCUKII-GHMZBOCLSA-N 0 0 436.485 -0.130 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)NCC(F)F ZINC001314518389 1074064735 /nfs/dbraw/zinc/06/47/35/1074064735.db2.gz JQFNNJDUHCUKII-MNOVXSKESA-N 0 0 436.485 -0.130 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)NCC(F)F ZINC001314518390 1074064784 /nfs/dbraw/zinc/06/47/84/1074064784.db2.gz JQFNNJDUHCUKII-QWRGUYRKSA-N 0 0 436.485 -0.130 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@H]2CCC(=O)N2)n1CC(=O)NCC(F)F ZINC001314518392 1074064719 /nfs/dbraw/zinc/06/47/19/1074064719.db2.gz JQFNNJDUHCUKII-WDEREUQCSA-N 0 0 436.485 -0.130 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(C(=O)NC(C)C)n1CC1(S(C)(=O)=O)CC1 ZINC001314522867 1074064777 /nfs/dbraw/zinc/06/47/77/1074064777.db2.gz HJUWQADCELTPHO-UHFFFAOYSA-N 0 0 435.572 -0.136 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(-c2ccc[nH]2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314524127 1074064792 /nfs/dbraw/zinc/06/47/92/1074064792.db2.gz MTFABLMBHXHJAE-INIZCTEOSA-N 0 0 431.540 -0.306 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(-c2ccc[nH]2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314524128 1074064737 /nfs/dbraw/zinc/06/47/37/1074064737.db2.gz MTFABLMBHXHJAE-MRXNPFEDSA-N 0 0 431.540 -0.306 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)CC ZINC001314524224 1074064773 /nfs/dbraw/zinc/06/47/73/1074064773.db2.gz YGRUAAXMKMUCRZ-UHFFFAOYSA-N 0 0 435.572 -0.180 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(-c2noc3c2COCC3)n1CC(=O)N(C)C ZINC001314524922 1074064760 /nfs/dbraw/zinc/06/47/60/1074064760.db2.gz FUNOQUDJBGBWHE-UHFFFAOYSA-N 0 0 426.499 -0.035 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(-c2cnn(C)c2)n1CC(=O)N1CCOCC1 ZINC001314525150 1074064655 /nfs/dbraw/zinc/06/46/55/1074064655.db2.gz ZXGJBIXUCSDOEV-UHFFFAOYSA-N 0 0 425.515 -0.592 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(-c2cnccn2)n1CCN1CCCS1(=O)=O ZINC001314525867 1074064748 /nfs/dbraw/zinc/06/47/48/1074064748.db2.gz OYAWCPZOTGCGDC-UHFFFAOYSA-N 0 0 443.555 -0.359 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314526300 1074064723 /nfs/dbraw/zinc/06/47/23/1074064723.db2.gz AGQMJCPKRJYABE-HNNXBMFYSA-N 0 0 449.537 -0.119 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314526301 1074064774 /nfs/dbraw/zinc/06/47/74/1074064774.db2.gz AGQMJCPKRJYABE-OAHLLOKOSA-N 0 0 449.537 -0.119 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001314526487 1074064692 /nfs/dbraw/zinc/06/46/92/1074064692.db2.gz DUYBDWVCSPZXDX-UHFFFAOYSA-N 0 0 438.558 -0.089 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)NC)CC1 ZINC001314526813 1074064673 /nfs/dbraw/zinc/06/46/73/1074064673.db2.gz KIJZLWAIXCUKKQ-UHFFFAOYSA-N 0 0 427.531 -0.590 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001314527020 1074064680 /nfs/dbraw/zinc/06/46/80/1074064680.db2.gz NMPNLXDACAVSEF-HNNXBMFYSA-N 0 0 442.542 -0.710 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001314527021 1074064687 /nfs/dbraw/zinc/06/46/87/1074064687.db2.gz NMPNLXDACAVSEF-OAHLLOKOSA-N 0 0 442.542 -0.710 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001314527165 1074064666 /nfs/dbraw/zinc/06/46/66/1074064666.db2.gz ONBNNRPTLUAUIV-OLZOCXBDSA-N 0 0 428.515 -0.214 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001314527426 1074064713 /nfs/dbraw/zinc/06/47/13/1074064713.db2.gz VOWGFTUDFQQTFY-UHFFFAOYSA-N 0 0 449.537 -0.395 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(Cc2ccccn2)n1CC(=O)N1CCOCC1 ZINC001314527505 1074064800 /nfs/dbraw/zinc/06/48/00/1074064800.db2.gz WBVBHINIVOBAKN-UHFFFAOYSA-N 0 0 436.538 -0.006 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)C)CC1 ZINC001314527548 1074064764 /nfs/dbraw/zinc/06/47/64/1074064764.db2.gz VYWXBMNVWNFDBR-AWEZNQCLSA-N 0 0 436.604 -0.147 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)C)CC1 ZINC001314527549 1074065141 /nfs/dbraw/zinc/06/51/41/1074065141.db2.gz VYWXBMNVWNFDBR-CQSZACIVSA-N 0 0 436.604 -0.147 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](COC)C1 ZINC001314528552 1074065040 /nfs/dbraw/zinc/06/50/40/1074065040.db2.gz NTIDHXGMCFEEJH-CHWSQXEVSA-N 0 0 439.560 -0.825 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](COC)C1 ZINC001314528554 1074065101 /nfs/dbraw/zinc/06/51/01/1074065101.db2.gz NTIDHXGMCFEEJH-OLZOCXBDSA-N 0 0 439.560 -0.825 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](COC)C1 ZINC001314528556 1074065108 /nfs/dbraw/zinc/06/51/08/1074065108.db2.gz NTIDHXGMCFEEJH-QWHCGFSZSA-N 0 0 439.560 -0.825 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](COC)C1 ZINC001314528559 1074065027 /nfs/dbraw/zinc/06/50/27/1074065027.db2.gz NTIDHXGMCFEEJH-STQMWFEESA-N 0 0 439.560 -0.825 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CCC2)CC1 ZINC001314528895 1074065084 /nfs/dbraw/zinc/06/50/84/1074065084.db2.gz OXPVANQLSCCTSM-AWEZNQCLSA-N 0 0 448.615 -0.003 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CCC2)CC1 ZINC001314528896 1074065112 /nfs/dbraw/zinc/06/51/12/1074065112.db2.gz OXPVANQLSCCTSM-CQSZACIVSA-N 0 0 448.615 -0.003 20 0 IBADRN CCS(=O)(=O)CCn1c(C)nnc1N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001314529055 1074065124 /nfs/dbraw/zinc/06/51/24/1074065124.db2.gz POTMNILBJZZWBO-UHFFFAOYSA-N 0 0 445.571 -0.426 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001314529622 1074065055 /nfs/dbraw/zinc/06/50/55/1074065055.db2.gz RNWWVVVCKSZZSO-RWMBFGLXSA-N 0 0 439.560 -0.827 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001314529635 1074065128 /nfs/dbraw/zinc/06/51/28/1074065128.db2.gz RNWWVVVCKSZZSO-UPJWGTAASA-N 0 0 439.560 -0.827 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001314530276 1074065045 /nfs/dbraw/zinc/06/50/45/1074065045.db2.gz UNGBHLXZGWPESW-CABCVRRESA-N 0 0 444.558 -0.007 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001314530277 1074065066 /nfs/dbraw/zinc/06/50/66/1074065066.db2.gz UNGBHLXZGWPESW-GJZGRUSLSA-N 0 0 444.558 -0.007 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001314530278 1074065096 /nfs/dbraw/zinc/06/50/96/1074065096.db2.gz UNGBHLXZGWPESW-HUUCEWRRSA-N 0 0 444.558 -0.007 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001314530279 1074065050 /nfs/dbraw/zinc/06/50/50/1074065050.db2.gz UNGBHLXZGWPESW-LSDHHAIUSA-N 0 0 444.558 -0.007 20 0 IBADRN CCS(=O)(=O)CCn1c(C)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001314530299 1074065033 /nfs/dbraw/zinc/06/50/33/1074065033.db2.gz UXJICESUNWBCGG-HNNXBMFYSA-N 0 0 435.572 -0.348 20 0 IBADRN CCS(=O)(=O)CCn1c(C)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001314530300 1074065075 /nfs/dbraw/zinc/06/50/75/1074065075.db2.gz UXJICESUNWBCGG-OAHLLOKOSA-N 0 0 435.572 -0.348 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCOCC2(CC2)C1 ZINC001314530340 1074065092 /nfs/dbraw/zinc/06/50/92/1074065092.db2.gz VSEDJBHAEDUOFV-CYBMUJFWSA-N 0 0 435.572 -0.060 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCOCC2(CC2)C1 ZINC001314530341 1074065131 /nfs/dbraw/zinc/06/51/31/1074065131.db2.gz VSEDJBHAEDUOFV-ZDUSSCGKSA-N 0 0 435.572 -0.060 20 0 IBADRN CCS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001314532120 1074065071 /nfs/dbraw/zinc/06/50/71/1074065071.db2.gz MAMHMVSXEGHTGX-HNNXBMFYSA-N 0 0 425.515 -0.545 20 0 IBADRN CCS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001314532121 1074065060 /nfs/dbraw/zinc/06/50/60/1074065060.db2.gz MAMHMVSXEGHTGX-OAHLLOKOSA-N 0 0 425.515 -0.545 20 0 IBADRN CCS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001314532526 1074065514 /nfs/dbraw/zinc/06/55/14/1074065514.db2.gz BDNMOWQFUFAHFS-UHFFFAOYSA-N 0 0 442.567 -0.220 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2ncccn2)CC1 ZINC001314532829 1074065475 /nfs/dbraw/zinc/06/54/75/1074065475.db2.gz DNFSBKWEKSOHII-AWEZNQCLSA-N 0 0 434.526 -0.220 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2ncccn2)CC1 ZINC001314532830 1074065539 /nfs/dbraw/zinc/06/55/39/1074065539.db2.gz DNFSBKWEKSOHII-CQSZACIVSA-N 0 0 434.526 -0.220 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001314533234 1074065506 /nfs/dbraw/zinc/06/55/06/1074065506.db2.gz GJSLJPHUMJKHKJ-CABCVRRESA-N 0 0 437.526 -0.234 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001314533235 1074065527 /nfs/dbraw/zinc/06/55/27/1074065527.db2.gz GJSLJPHUMJKHKJ-GJZGRUSLSA-N 0 0 437.526 -0.234 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001314533238 1074065533 /nfs/dbraw/zinc/06/55/33/1074065533.db2.gz GJSLJPHUMJKHKJ-HUUCEWRRSA-N 0 0 437.526 -0.234 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001314533240 1074065429 /nfs/dbraw/zinc/06/54/29/1074065429.db2.gz GJSLJPHUMJKHKJ-LSDHHAIUSA-N 0 0 437.526 -0.234 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(=O)NC)CC1 ZINC001314533653 1074065413 /nfs/dbraw/zinc/06/54/13/1074065413.db2.gz DABONAUUUVPMKK-AWEZNQCLSA-N 0 0 447.583 -0.423 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(=O)NC)CC1 ZINC001314533655 1074065467 /nfs/dbraw/zinc/06/54/67/1074065467.db2.gz DABONAUUUVPMKK-CQSZACIVSA-N 0 0 447.583 -0.423 20 0 IBADRN CCS(=O)(=O)CCn1c(C2CCC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314534514 1074065497 /nfs/dbraw/zinc/06/54/97/1074065497.db2.gz MSQXYCVDSFGNCD-UHFFFAOYSA-N 0 0 438.554 -0.093 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001314535230 1074065406 /nfs/dbraw/zinc/06/54/06/1074065406.db2.gz PNQICWQEWVUCSS-CHWSQXEVSA-N 0 0 425.515 -0.780 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001314535231 1074065458 /nfs/dbraw/zinc/06/54/58/1074065458.db2.gz PNQICWQEWVUCSS-OLZOCXBDSA-N 0 0 425.515 -0.780 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001314535232 1074065438 /nfs/dbraw/zinc/06/54/38/1074065438.db2.gz PNQICWQEWVUCSS-QWHCGFSZSA-N 0 0 425.515 -0.780 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001314535233 1074065482 /nfs/dbraw/zinc/06/54/82/1074065482.db2.gz PNQICWQEWVUCSS-STQMWFEESA-N 0 0 425.515 -0.780 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001314535255 1074065395 /nfs/dbraw/zinc/06/53/95/1074065395.db2.gz QDHFOGCVEKPTNV-AWEZNQCLSA-N 0 0 426.543 -0.032 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001314535256 1074065490 /nfs/dbraw/zinc/06/54/90/1074065490.db2.gz QDHFOGCVEKPTNV-CQSZACIVSA-N 0 0 426.543 -0.032 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001314535301 1074065451 /nfs/dbraw/zinc/06/54/51/1074065451.db2.gz JSUVSUNNDDWQMZ-KBPBESRZSA-N 0 0 445.567 -0.574 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001314535350 1074065522 /nfs/dbraw/zinc/06/55/22/1074065522.db2.gz JSUVSUNNDDWQMZ-KGLIPLIRSA-N 0 0 445.567 -0.574 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001314535352 1074065420 /nfs/dbraw/zinc/06/54/20/1074065420.db2.gz JSUVSUNNDDWQMZ-UONOGXRCSA-N 0 0 445.567 -0.574 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001314535354 1074065445 /nfs/dbraw/zinc/06/54/45/1074065445.db2.gz JSUVSUNNDDWQMZ-ZIAGYGMSSA-N 0 0 445.567 -0.574 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)OC)CC1 ZINC001314535510 1074065808 /nfs/dbraw/zinc/06/58/08/1074065808.db2.gz KUHSGUSMRRWOFN-CYBMUJFWSA-N 0 0 449.555 -0.497 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)OC)CC1 ZINC001314535522 1074065876 /nfs/dbraw/zinc/06/58/76/1074065876.db2.gz KUHSGUSMRRWOFN-ZDUSSCGKSA-N 0 0 449.555 -0.497 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001314535798 1074065866 /nfs/dbraw/zinc/06/58/66/1074065866.db2.gz BJSCEFSCQWZHJT-KKUMJFAQSA-N 0 0 442.542 -0.349 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001314535799 1074065856 /nfs/dbraw/zinc/06/58/56/1074065856.db2.gz BJSCEFSCQWZHJT-ZNMIVQPWSA-N 0 0 442.542 -0.349 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001314535963 1074065850 /nfs/dbraw/zinc/06/58/50/1074065850.db2.gz MEKLVCALCDMLCC-AWEZNQCLSA-N 0 0 435.572 -0.312 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001314535966 1074065793 /nfs/dbraw/zinc/06/57/93/1074065793.db2.gz MEKLVCALCDMLCC-CQSZACIVSA-N 0 0 435.572 -0.312 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001314536475 1074065730 /nfs/dbraw/zinc/06/57/30/1074065730.db2.gz OQIAGVRGTRMVQU-CYBMUJFWSA-N 0 0 449.599 -0.034 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001314536476 1074065754 /nfs/dbraw/zinc/06/57/54/1074065754.db2.gz OQIAGVRGTRMVQU-ZDUSSCGKSA-N 0 0 449.599 -0.034 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C2CCOCC2)CC1 ZINC001314536495 1074065815 /nfs/dbraw/zinc/06/58/15/1074065815.db2.gz VXKWUSOVIISFLA-INIZCTEOSA-N 0 0 440.570 -0.035 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C2CCOCC2)CC1 ZINC001314536497 1074065737 /nfs/dbraw/zinc/06/57/37/1074065737.db2.gz VXKWUSOVIISFLA-MRXNPFEDSA-N 0 0 440.570 -0.035 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCn1cccn1 ZINC001314537441 1074065827 /nfs/dbraw/zinc/06/58/27/1074065827.db2.gz XVMKMHSRMVJRIC-AWEZNQCLSA-N 0 0 430.556 -0.052 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCn1cccn1 ZINC001314537442 1074065764 /nfs/dbraw/zinc/06/57/64/1074065764.db2.gz XVMKMHSRMVJRIC-CQSZACIVSA-N 0 0 430.556 -0.052 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001314537496 1074065843 /nfs/dbraw/zinc/06/58/43/1074065843.db2.gz ZBRRDOIYSBINCL-HNNXBMFYSA-N 0 0 429.543 -0.098 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001314537497 1074065786 /nfs/dbraw/zinc/06/57/86/1074065786.db2.gz ZBRRDOIYSBINCL-OAHLLOKOSA-N 0 0 429.543 -0.098 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCCN(C(C)=O)CC1 ZINC001314537604 1074065835 /nfs/dbraw/zinc/06/58/35/1074065835.db2.gz BYRSSBPXFKSMPZ-UHFFFAOYSA-N 0 0 426.543 -0.106 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(CCOC)C(=O)C1 ZINC001314538021 1074065747 /nfs/dbraw/zinc/06/57/47/1074065747.db2.gz IQJIBPQMVQGNCU-UHFFFAOYSA-N 0 0 442.542 -0.870 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)NC)CC1 ZINC001314538042 1074065779 /nfs/dbraw/zinc/06/57/79/1074065779.db2.gz GZLFQXTWXUGWMY-AWEZNQCLSA-N 0 0 441.558 -0.384 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)NC)CC1 ZINC001314538043 1074065760 /nfs/dbraw/zinc/06/57/60/1074065760.db2.gz GZLFQXTWXUGWMY-CQSZACIVSA-N 0 0 441.558 -0.384 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001314539031 1074065772 /nfs/dbraw/zinc/06/57/72/1074065772.db2.gz WYJOHCZHPHIUET-KGLIPLIRSA-N 0 0 440.526 -0.230 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2COCCO2)CC1 ZINC001314542597 1074065798 /nfs/dbraw/zinc/06/57/98/1074065798.db2.gz JGYDETWMVIKPGH-HNNXBMFYSA-N 0 0 444.558 -0.260 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2COCCO2)CC1 ZINC001314542599 1074066145 /nfs/dbraw/zinc/06/61/45/1074066145.db2.gz JGYDETWMVIKPGH-OAHLLOKOSA-N 0 0 444.558 -0.260 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c(C)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314542767 1074066272 /nfs/dbraw/zinc/06/62/72/1074066272.db2.gz WTHYWPCYDBDKBA-UHFFFAOYSA-N 0 0 441.558 -0.815 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c(CN2CCCC2=O)nnc1N1CCNC(=O)C1 ZINC001314542823 1074066217 /nfs/dbraw/zinc/06/62/17/1074066217.db2.gz LJOJLIPLAJBTRO-UHFFFAOYSA-N 0 0 427.531 -0.992 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c(C(=O)NC(C)C)nnc1N1CCN(C)C(=O)C1 ZINC001314542824 1074066170 /nfs/dbraw/zinc/06/61/70/1074066170.db2.gz XBTVVIHLQPVAPM-UHFFFAOYSA-N 0 0 429.547 -0.634 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c(CN2CCCC2=O)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001314543332 1074066180 /nfs/dbraw/zinc/06/61/80/1074066180.db2.gz QCIITIXNNVFHNU-GASCZTMLSA-N 0 0 442.542 -0.366 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001314544041 1074066139 /nfs/dbraw/zinc/06/61/39/1074066139.db2.gz YZEBKEFLUVKAME-KBPBESRZSA-N 0 0 441.558 -0.432 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001314544042 1074066236 /nfs/dbraw/zinc/06/62/36/1074066236.db2.gz YZEBKEFLUVKAME-KGLIPLIRSA-N 0 0 441.558 -0.432 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001314544043 1074066080 /nfs/dbraw/zinc/06/60/80/1074066080.db2.gz YZEBKEFLUVKAME-UONOGXRCSA-N 0 0 441.558 -0.432 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001314544044 1074066167 /nfs/dbraw/zinc/06/61/67/1074066167.db2.gz YZEBKEFLUVKAME-ZIAGYGMSSA-N 0 0 441.558 -0.432 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@H]2CCOC2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314544698 1074066090 /nfs/dbraw/zinc/06/60/90/1074066090.db2.gz RZBRIMKPUHHJCQ-DLBZAZTESA-N 0 0 442.586 -0.026 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@@H]2CCOC2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314544700 1074066152 /nfs/dbraw/zinc/06/61/52/1074066152.db2.gz RZBRIMKPUHHJCQ-IAGOWNOFSA-N 0 0 442.586 -0.026 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@H]2CCOC2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314544702 1074066249 /nfs/dbraw/zinc/06/62/49/1074066249.db2.gz RZBRIMKPUHHJCQ-IRXDYDNUSA-N 0 0 442.586 -0.026 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c([C@@H]2CCOC2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314544704 1074066117 /nfs/dbraw/zinc/06/61/17/1074066117.db2.gz RZBRIMKPUHHJCQ-SJORKVTESA-N 0 0 442.586 -0.026 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C)n2C[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC001314544752 1074066223 /nfs/dbraw/zinc/06/62/23/1074066223.db2.gz IPRXBUCPMVVHHH-OAHLLOKOSA-N 0 0 434.588 -0.081 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(-c3cnccn3)n2C[C@]2(O)CCOC2)CC1 ZINC001314545582 1074066243 /nfs/dbraw/zinc/06/62/43/1074066243.db2.gz HOGXNNKBHYLKKS-GOSISDBHSA-N 0 0 437.526 -0.205 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(O)CCOC2)CC1 ZINC001314545586 1074066229 /nfs/dbraw/zinc/06/62/29/1074066229.db2.gz HOGXNNKBHYLKKS-SFHVURJKSA-N 0 0 437.526 -0.205 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCOC2)CC1 ZINC001314545777 1074066199 /nfs/dbraw/zinc/06/61/99/1074066199.db2.gz MUOHCNISWXRWFU-GOSISDBHSA-N 0 0 439.542 -0.262 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCOC2)CC1 ZINC001314545778 1074066266 /nfs/dbraw/zinc/06/62/66/1074066266.db2.gz MUOHCNISWXRWFU-SFHVURJKSA-N 0 0 439.542 -0.262 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccn(C)n2)CC1 ZINC001314546005 1074066071 /nfs/dbraw/zinc/06/60/71/1074066071.db2.gz KTFGIWAEKJHQEX-HNNXBMFYSA-N 0 0 436.542 -0.081 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccn(C)n2)CC1 ZINC001314546011 1074066211 /nfs/dbraw/zinc/06/62/11/1074066211.db2.gz KTFGIWAEKJHQEX-OAHLLOKOSA-N 0 0 436.542 -0.081 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CC2)CC1 ZINC001314546014 1074066589 /nfs/dbraw/zinc/06/65/89/1074066589.db2.gz KXUYGJLTLHKCNG-CYBMUJFWSA-N 0 0 447.583 -0.387 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CC2)CC1 ZINC001314546026 1074066515 /nfs/dbraw/zinc/06/65/15/1074066515.db2.gz KXUYGJLTLHKCNG-ZDUSSCGKSA-N 0 0 447.583 -0.387 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C)n2C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001314546274 1074066606 /nfs/dbraw/zinc/06/66/06/1074066606.db2.gz WZVLHJKUHLFECE-AWEZNQCLSA-N 0 0 434.588 -0.224 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001314546281 1074066548 /nfs/dbraw/zinc/06/65/48/1074066548.db2.gz WZVLHJKUHLFECE-CQSZACIVSA-N 0 0 434.588 -0.224 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCn2cncn2)CC1 ZINC001314546892 1074066597 /nfs/dbraw/zinc/06/65/97/1074066597.db2.gz XEFDZIYYJYWYEN-AWEZNQCLSA-N 0 0 437.530 -0.571 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCn2cncn2)CC1 ZINC001314546893 1074066584 /nfs/dbraw/zinc/06/65/84/1074066584.db2.gz XEFDZIYYJYWYEN-CQSZACIVSA-N 0 0 437.530 -0.571 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001314547577 1074066563 /nfs/dbraw/zinc/06/65/63/1074066563.db2.gz VYDFJBNRDAOIFD-AWEZNQCLSA-N 0 0 441.558 -0.490 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001314547578 1074066593 /nfs/dbraw/zinc/06/65/93/1074066593.db2.gz VYDFJBNRDAOIFD-CQSZACIVSA-N 0 0 441.558 -0.490 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOC)CC1 ZINC001314548595 1074066610 /nfs/dbraw/zinc/06/66/10/1074066610.db2.gz MIDLJXAXSZTAGU-CYBMUJFWSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2cnccn2)nnc1N1CCN(C(=O)OC)CC1 ZINC001314548596 1074066618 /nfs/dbraw/zinc/06/66/18/1074066618.db2.gz FLCIPLDZHCDZFO-UHFFFAOYSA-N 0 0 438.514 -0.047 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOC)CC1 ZINC001314548599 1074066499 /nfs/dbraw/zinc/06/64/99/1074066499.db2.gz MIDLJXAXSZTAGU-ZDUSSCGKSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001314550519 1074066555 /nfs/dbraw/zinc/06/65/55/1074066555.db2.gz CVSDQDSTVBVBJX-AWEZNQCLSA-N 0 0 441.558 -0.603 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001314550530 1074066580 /nfs/dbraw/zinc/06/65/80/1074066580.db2.gz CVSDQDSTVBVBJX-CQSZACIVSA-N 0 0 441.558 -0.603 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](OC)C1 ZINC001314550836 1074066485 /nfs/dbraw/zinc/06/64/85/1074066485.db2.gz ARPHAZXUQNUILF-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](OC)C1 ZINC001314550838 1074066574 /nfs/dbraw/zinc/06/65/74/1074066574.db2.gz ARPHAZXUQNUILF-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCOC(C)(C)C1 ZINC001314550876 1074066614 /nfs/dbraw/zinc/06/66/14/1074066614.db2.gz JSINGALTCVXWBC-GFCCVEGCSA-N 0 0 438.576 -0.557 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCOC(C)(C)C1 ZINC001314550878 1074066531 /nfs/dbraw/zinc/06/65/31/1074066531.db2.gz JSINGALTCVXWBC-LBPRGKRZSA-N 0 0 438.576 -0.557 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001314550932 1074066602 /nfs/dbraw/zinc/06/66/02/1074066602.db2.gz AWALRZQCOJQKKR-UHFFFAOYSA-N 0 0 443.574 -0.196 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN(C)C(=O)C1 ZINC001314551081 1074066523 /nfs/dbraw/zinc/06/65/23/1074066523.db2.gz BOKDRVGVNLIBNA-HOCLYGCPSA-N 0 0 444.558 -0.390 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCN(C)C(=O)C1 ZINC001314551171 1074066540 /nfs/dbraw/zinc/06/65/40/1074066540.db2.gz GDLYGCUHFOLKIV-UHFFFAOYSA-N 0 0 427.531 -0.992 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCNC(=O)CC1 ZINC001314551244 1074066811 /nfs/dbraw/zinc/06/68/11/1074066811.db2.gz IKAJGQGUIVQZFF-UHFFFAOYSA-N 0 0 427.531 -0.944 20 0 IBADRN CCS(=O)(=O)NCCCn1c(Cc2ccccn2)nnc1N1CCS(=O)(=O)CC1 ZINC001314551739 1074066820 /nfs/dbraw/zinc/06/68/20/1074066820.db2.gz JUUMVDNLWVPQPT-UHFFFAOYSA-N 0 0 442.567 -0.172 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001314551742 1074066935 /nfs/dbraw/zinc/06/69/35/1074066935.db2.gz GPQWLZGDHGLVSB-AWEZNQCLSA-N 0 0 441.558 -0.584 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001314551750 1074066826 /nfs/dbraw/zinc/06/68/26/1074066826.db2.gz GPQWLZGDHGLVSB-CQSZACIVSA-N 0 0 441.558 -0.584 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(C)C)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001314552389 1074066906 /nfs/dbraw/zinc/06/69/06/1074066906.db2.gz VPFNIVRDDSRMRZ-UHFFFAOYSA-N 0 0 427.575 -0.259 20 0 IBADRN CCS(=O)(=O)NCCn1c(C)nnc1N1CCN(CC(=O)N2CCCCC2)CC1 ZINC001314552635 1074066862 /nfs/dbraw/zinc/06/68/62/1074066862.db2.gz XMKIYJYLBJLKAF-UHFFFAOYSA-N 0 0 427.575 -0.340 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@H](COC)C1 ZINC001314552720 1074066887 /nfs/dbraw/zinc/06/68/87/1074066887.db2.gz NAUMFQOPWBURDH-AWEZNQCLSA-N 0 0 430.531 -0.695 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@@H](COC)C1 ZINC001314552724 1074066897 /nfs/dbraw/zinc/06/68/97/1074066897.db2.gz NAUMFQOPWBURDH-CQSZACIVSA-N 0 0 430.531 -0.695 20 0 IBADRN CCS(=O)(=O)NCCCn1c(Cc2ccncc2)nnc1N1CCS(=O)(=O)CC1 ZINC001314552921 1074066917 /nfs/dbraw/zinc/06/69/17/1074066917.db2.gz RCYSFKSYOZGKNL-UHFFFAOYSA-N 0 0 442.567 -0.172 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001314553572 1074066926 /nfs/dbraw/zinc/06/69/26/1074066926.db2.gz SFNDDJRQXDRFOS-KBPBESRZSA-N 0 0 439.542 -0.832 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001314553573 1074066870 /nfs/dbraw/zinc/06/68/70/1074066870.db2.gz SFNDDJRQXDRFOS-KGLIPLIRSA-N 0 0 439.542 -0.832 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001314553574 1074066911 /nfs/dbraw/zinc/06/69/11/1074066911.db2.gz SFNDDJRQXDRFOS-UONOGXRCSA-N 0 0 439.542 -0.832 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001314553575 1074066852 /nfs/dbraw/zinc/06/68/52/1074066852.db2.gz SFNDDJRQXDRFOS-ZIAGYGMSSA-N 0 0 439.542 -0.832 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CC[C@H](C(=O)OC)C1 ZINC001314553697 1074067198 /nfs/dbraw/zinc/06/71/98/1074067198.db2.gz YIHPRLUYEZMDEG-AWEZNQCLSA-N 0 0 442.542 -0.271 20 0 IBADRN CCS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CC[C@@H](C(=O)OC)C1 ZINC001314553698 1074067206 /nfs/dbraw/zinc/06/72/06/1074067206.db2.gz YIHPRLUYEZMDEG-CQSZACIVSA-N 0 0 442.542 -0.271 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCCCO2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001314553845 1074067284 /nfs/dbraw/zinc/06/72/84/1074067284.db2.gz PYDSNTZVIGJHRR-CABCVRRESA-N 0 0 444.558 -0.200 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCCCO2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001314553848 1074067246 /nfs/dbraw/zinc/06/72/46/1074067246.db2.gz PYDSNTZVIGJHRR-GJZGRUSLSA-N 0 0 444.558 -0.200 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCCCO2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001314553852 1074067355 /nfs/dbraw/zinc/06/73/55/1074067355.db2.gz PYDSNTZVIGJHRR-HUUCEWRRSA-N 0 0 444.558 -0.200 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCCCO2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001314553856 1074067303 /nfs/dbraw/zinc/06/73/03/1074067303.db2.gz PYDSNTZVIGJHRR-LSDHHAIUSA-N 0 0 444.558 -0.200 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001314554071 1074067338 /nfs/dbraw/zinc/06/73/38/1074067338.db2.gz UPYJNTTUDDIORZ-CYBMUJFWSA-N 0 0 427.531 -0.880 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001314554072 1074067293 /nfs/dbraw/zinc/06/72/93/1074067293.db2.gz UPYJNTTUDDIORZ-ZDUSSCGKSA-N 0 0 427.531 -0.880 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001314554333 1074067330 /nfs/dbraw/zinc/06/73/30/1074067330.db2.gz UTNAIIVMPMQLHN-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001314554334 1074067275 /nfs/dbraw/zinc/06/72/75/1074067275.db2.gz UTNAIIVMPMQLHN-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001314554335 1074067348 /nfs/dbraw/zinc/06/73/48/1074067348.db2.gz UTNAIIVMPMQLHN-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001314554336 1074067238 /nfs/dbraw/zinc/06/72/38/1074067238.db2.gz UTNAIIVMPMQLHN-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](C(=O)OC)C1 ZINC001314554435 1074067322 /nfs/dbraw/zinc/06/73/22/1074067322.db2.gz ZRBZPXNBVSFHGC-CYBMUJFWSA-N 0 0 428.515 -0.547 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](C(=O)OC)C1 ZINC001314554436 1074067266 /nfs/dbraw/zinc/06/72/66/1074067266.db2.gz ZRBZPXNBVSFHGC-ZDUSSCGKSA-N 0 0 428.515 -0.547 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001314554439 1074067312 /nfs/dbraw/zinc/06/73/12/1074067312.db2.gz ZUCFKOMZNHORII-GOSISDBHSA-N 0 0 439.542 -0.688 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001314554440 1074067212 /nfs/dbraw/zinc/06/72/12/1074067212.db2.gz ZUCFKOMZNHORII-SFHVURJKSA-N 0 0 439.542 -0.688 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(C)(OC)C1 ZINC001314554857 1074067228 /nfs/dbraw/zinc/06/72/28/1074067228.db2.gz ZTQQYCCREFMPSS-CYBMUJFWSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(C)(OC)C1 ZINC001314554858 1074067220 /nfs/dbraw/zinc/06/72/20/1074067220.db2.gz ZTQQYCCREFMPSS-ZDUSSCGKSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC001314555521 1074067182 /nfs/dbraw/zinc/06/71/82/1074067182.db2.gz JEKWADLRGLFDHH-DZGCQCFKSA-N 0 0 434.526 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC001314555522 1074067559 /nfs/dbraw/zinc/06/75/59/1074067559.db2.gz JEKWADLRGLFDHH-HIFRSBDPSA-N 0 0 434.526 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC001314555523 1074067613 /nfs/dbraw/zinc/06/76/13/1074067613.db2.gz JEKWADLRGLFDHH-UKRRQHHQSA-N 0 0 434.526 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC001314555524 1074067594 /nfs/dbraw/zinc/06/75/94/1074067594.db2.gz JEKWADLRGLFDHH-ZFWWWQNUSA-N 0 0 434.526 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001314555540 1074067587 /nfs/dbraw/zinc/06/75/87/1074067587.db2.gz JUVUVGQSHOPZML-UHFFFAOYSA-N 0 0 445.571 -0.316 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cccnc2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001314555575 1074067542 /nfs/dbraw/zinc/06/75/42/1074067542.db2.gz KZHQAHDGXHAMQH-UHFFFAOYSA-N 0 0 444.521 -0.064 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001314555651 1074067553 /nfs/dbraw/zinc/06/75/53/1074067553.db2.gz NHKKUUNPZSUDQW-UHFFFAOYSA-N 0 0 447.525 -0.201 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CCN(C(=O)C(C)C)CC1 ZINC001314555671 1074067534 /nfs/dbraw/zinc/06/75/34/1074067534.db2.gz OBJFKSYAIZRSOP-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCC(CC(=O)NC)CC1 ZINC001314555773 1074067600 /nfs/dbraw/zinc/06/76/00/1074067600.db2.gz RQNNNTBXTOAXAI-UHFFFAOYSA-N 0 0 438.558 -0.030 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001314555850 1074067631 /nfs/dbraw/zinc/06/76/31/1074067631.db2.gz UXSHLJHJZNNGDH-KRWDZBQOSA-N 0 0 438.514 -0.351 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001314555851 1074067608 /nfs/dbraw/zinc/06/76/08/1074067608.db2.gz UXSHLJHJZNNGDH-QGZVFWFLSA-N 0 0 438.514 -0.351 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cccnc2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001314555863 1074067637 /nfs/dbraw/zinc/06/76/37/1074067637.db2.gz VHZFENTYDOGTAC-UHFFFAOYSA-N 0 0 437.526 -0.426 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N(C)C[C@@H]1CCN(C(C)=O)C1 ZINC001314555907 1074067546 /nfs/dbraw/zinc/06/75/46/1074067546.db2.gz WUMWAYNEJZFMKL-HNNXBMFYSA-N 0 0 436.542 -0.021 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N(C)C[C@H]1CCN(C(C)=O)C1 ZINC001314555908 1074067565 /nfs/dbraw/zinc/06/75/65/1074067565.db2.gz WUMWAYNEJZFMKL-OAHLLOKOSA-N 0 0 436.542 -0.021 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccncc2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314556406 1074067570 /nfs/dbraw/zinc/06/75/70/1074067570.db2.gz IRSVLNXVMFDMRT-KRWDZBQOSA-N 0 0 435.554 -0.276 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccncc2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314556409 1074067641 /nfs/dbraw/zinc/06/76/41/1074067641.db2.gz IRSVLNXVMFDMRT-QGZVFWFLSA-N 0 0 435.554 -0.276 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)OC)CC1 ZINC001314556518 1074067625 /nfs/dbraw/zinc/06/76/25/1074067625.db2.gz AHPGEOZREYIOJN-GFCCVEGCSA-N 0 0 429.503 -0.943 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)OC)CC1 ZINC001314556519 1074067635 /nfs/dbraw/zinc/06/76/35/1074067635.db2.gz AHPGEOZREYIOJN-LBPRGKRZSA-N 0 0 429.503 -0.943 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001314556644 1074067582 /nfs/dbraw/zinc/06/75/82/1074067582.db2.gz GVABSSHXUXQNPT-CYBMUJFWSA-N 0 0 428.515 -0.200 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001314556652 1074067618 /nfs/dbraw/zinc/06/76/18/1074067618.db2.gz GVABSSHXUXQNPT-ZDUSSCGKSA-N 0 0 428.515 -0.200 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001314556661 1074067655 /nfs/dbraw/zinc/06/76/55/1074067655.db2.gz HFAUZNKCUUPFBJ-QLFBSQMISA-N 0 0 426.543 -0.222 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001314556662 1074067891 /nfs/dbraw/zinc/06/78/91/1074067891.db2.gz HFAUZNKCUUPFBJ-SOUVJXGZSA-N 0 0 426.543 -0.222 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccc3c(c2)OCO3)nnc1N1CCNC(=O)CC1 ZINC001314557461 1074067967 /nfs/dbraw/zinc/06/79/67/1074067967.db2.gz GHLISPPATDNWIT-UHFFFAOYSA-N 0 0 436.494 -0.061 20 0 IBADRN CCS(=O)(=O)NCCn1c(CC2CC2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001314557515 1074067950 /nfs/dbraw/zinc/06/79/50/1074067950.db2.gz OVIQPORWZADFHX-UHFFFAOYSA-N 0 0 439.542 -0.310 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccsc2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001314558215 1074067872 /nfs/dbraw/zinc/06/78/72/1074067872.db2.gz RTMHRMWVEHXYEB-AWEZNQCLSA-N 0 0 442.567 -0.179 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccsc2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001314558216 1074067986 /nfs/dbraw/zinc/06/79/86/1074067986.db2.gz RTMHRMWVEHXYEB-CQSZACIVSA-N 0 0 442.567 -0.179 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccccn2)nnc1N1CCS(=O)(=O)CC1 ZINC001314558272 1074067883 /nfs/dbraw/zinc/06/78/83/1074067883.db2.gz UYCBNISJZJGBJX-UHFFFAOYSA-N 0 0 428.540 -0.562 20 0 IBADRN CC[S@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314558360 1074067961 /nfs/dbraw/zinc/06/79/61/1074067961.db2.gz JMMOJURDLGDKKK-IVAFGUPBSA-N 0 0 434.588 -0.822 20 0 IBADRN CC[S@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314558369 1074067979 /nfs/dbraw/zinc/06/79/79/1074067979.db2.gz JMMOJURDLGDKKK-SSWHHONOSA-N 0 0 434.588 -0.822 20 0 IBADRN CC[S@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314558371 1074067864 /nfs/dbraw/zinc/06/78/64/1074067864.db2.gz JMMOJURDLGDKKK-VXKZXEGRSA-N 0 0 434.588 -0.822 20 0 IBADRN CC[S@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314558373 1074067914 /nfs/dbraw/zinc/06/79/14/1074067914.db2.gz JMMOJURDLGDKKK-ZRBXUZRCSA-N 0 0 434.588 -0.822 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCCO2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314559637 1074067900 /nfs/dbraw/zinc/06/79/00/1074067900.db2.gz NPDNRMDRHDCYSQ-CVEARBPZSA-N 0 0 428.559 -0.020 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCCO2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314559671 1074067927 /nfs/dbraw/zinc/06/79/27/1074067927.db2.gz NPDNRMDRHDCYSQ-HOTGVXAUSA-N 0 0 428.559 -0.020 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCCO2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314559674 1074067850 /nfs/dbraw/zinc/06/78/50/1074067850.db2.gz NPDNRMDRHDCYSQ-HZPDHXFCSA-N 0 0 428.559 -0.020 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCCO2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314559678 1074068016 /nfs/dbraw/zinc/06/80/16/1074068016.db2.gz NPDNRMDRHDCYSQ-JKSUJKDBSA-N 0 0 428.559 -0.020 20 0 IBADRN CCS(=O)(=O)NCCn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314559787 1074067897 /nfs/dbraw/zinc/06/78/97/1074067897.db2.gz NVTQJQUGNPSSKY-UHFFFAOYSA-N 0 0 439.542 -0.978 20 0 IBADRN CC[S@@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001314559888 1074068009 /nfs/dbraw/zinc/06/80/09/1074068009.db2.gz OWJLMNNJFBWDOL-CFJPQMKISA-N 0 0 446.599 -0.237 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001314559889 1074067843 /nfs/dbraw/zinc/06/78/43/1074067843.db2.gz OWJLMNNJFBWDOL-DBDZUICISA-N 0 0 446.599 -0.237 20 0 IBADRN CC[S@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001314559890 1074067834 /nfs/dbraw/zinc/06/78/34/1074067834.db2.gz OWJLMNNJFBWDOL-OIJAAMDRSA-N 0 0 446.599 -0.237 20 0 IBADRN CC[S@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001314559891 1074067879 /nfs/dbraw/zinc/06/78/79/1074067879.db2.gz OWJLMNNJFBWDOL-QYSRSOONSA-N 0 0 446.599 -0.237 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001314560067 1074068291 /nfs/dbraw/zinc/06/82/91/1074068291.db2.gz SMJRNFLPVNRPPC-UHFFFAOYSA-N 0 0 437.526 -0.426 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCN(C(=O)NC)CC1 ZINC001314560087 1074067990 /nfs/dbraw/zinc/06/79/90/1074067990.db2.gz PCCRFVDMZWSHNS-AWEZNQCLSA-N 0 0 429.547 -0.427 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCN(C(=O)NC)CC1 ZINC001314560097 1074068335 /nfs/dbraw/zinc/06/83/35/1074068335.db2.gz PCCRFVDMZWSHNS-CQSZACIVSA-N 0 0 429.547 -0.427 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](CNC(C)=O)C1 ZINC001314560188 1074068375 /nfs/dbraw/zinc/06/83/75/1074068375.db2.gz QKCHIUSKJPRZML-CABCVRRESA-N 0 0 441.558 -0.479 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](CNC(C)=O)C1 ZINC001314560205 1074068343 /nfs/dbraw/zinc/06/83/43/1074068343.db2.gz QKCHIUSKJPRZML-GJZGRUSLSA-N 0 0 441.558 -0.479 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](CNC(C)=O)C1 ZINC001314560207 1074068367 /nfs/dbraw/zinc/06/83/67/1074068367.db2.gz QKCHIUSKJPRZML-HUUCEWRRSA-N 0 0 441.558 -0.479 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](CNC(C)=O)C1 ZINC001314560210 1074068371 /nfs/dbraw/zinc/06/83/71/1074068371.db2.gz QKCHIUSKJPRZML-LSDHHAIUSA-N 0 0 441.558 -0.479 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCO2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001314560421 1074068329 /nfs/dbraw/zinc/06/83/29/1074068329.db2.gz LHFMUVPGOMPEAN-MGPQQGTHSA-N 0 0 431.515 -0.571 20 0 IBADRN CCS(=O)(=O)NCCn1c(C2CC2)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001314560639 1074068283 /nfs/dbraw/zinc/06/82/83/1074068283.db2.gz SCRAAFXUZNQBMB-UHFFFAOYSA-N 0 0 448.553 -0.085 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(CO)CC1 ZINC001314560891 1074068379 /nfs/dbraw/zinc/06/83/79/1074068379.db2.gz WWPOBRHYHTUDRO-AWEZNQCLSA-N 0 0 435.572 -0.672 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(CO)CC1 ZINC001314560892 1074068276 /nfs/dbraw/zinc/06/82/76/1074068276.db2.gz WWPOBRHYHTUDRO-CQSZACIVSA-N 0 0 435.572 -0.672 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC=CCC1 ZINC001314560910 1074068337 /nfs/dbraw/zinc/06/83/37/1074068337.db2.gz XLPGTHSWXJQSRI-AWEZNQCLSA-N 0 0 448.571 -0.683 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC=CCC1 ZINC001314560911 1074068359 /nfs/dbraw/zinc/06/83/59/1074068359.db2.gz XLPGTHSWXJQSRI-CQSZACIVSA-N 0 0 448.571 -0.683 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001314561383 1074068310 /nfs/dbraw/zinc/06/83/10/1074068310.db2.gz VQUPITPLZCVVMR-RBSFLKMASA-N 0 0 445.542 -0.528 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001314561396 1074068363 /nfs/dbraw/zinc/06/83/63/1074068363.db2.gz VQUPITPLZCVVMR-RRFJBIMHSA-N 0 0 445.542 -0.528 20 0 IBADRN CCS(=O)(=O)NCCn1c(N2CCN(CC(=O)NC3CC3)CC2)nnc1C(C)(C)C ZINC001314561659 1074068354 /nfs/dbraw/zinc/06/83/54/1074068354.db2.gz OLNXDCKTIZYCIA-UHFFFAOYSA-N 0 0 441.602 -0.085 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001314561868 1074068317 /nfs/dbraw/zinc/06/83/17/1074068317.db2.gz XXLZTDKMTPDISY-AWEZNQCLSA-N 0 0 426.543 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001314561877 1074068349 /nfs/dbraw/zinc/06/83/49/1074068349.db2.gz XXLZTDKMTPDISY-CQSZACIVSA-N 0 0 426.543 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@H]2[C@@H](COC)[C@@H]2C1 ZINC001314562030 1074068297 /nfs/dbraw/zinc/06/82/97/1074068297.db2.gz ZUZBSDXSHCHTRW-GBJTYRQASA-N 0 0 426.543 -0.113 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@H]2[C@@H](COC)[C@@H]2C1 ZINC001314562031 1074068323 /nfs/dbraw/zinc/06/83/23/1074068323.db2.gz ZUZBSDXSHCHTRW-YJNKXOJESA-N 0 0 426.543 -0.113 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001314562199 1074068304 /nfs/dbraw/zinc/06/83/04/1074068304.db2.gz HRSANNNPNHIXFK-AWEZNQCLSA-N 0 0 441.558 -0.698 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001314562200 1074068748 /nfs/dbraw/zinc/06/87/48/1074068748.db2.gz HRSANNNPNHIXFK-CQSZACIVSA-N 0 0 441.558 -0.698 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001314562879 1074068690 /nfs/dbraw/zinc/06/86/90/1074068690.db2.gz LIKFYWQXAQFSCG-HNNXBMFYSA-N 0 0 441.558 -0.555 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001314562881 1074068708 /nfs/dbraw/zinc/06/87/08/1074068708.db2.gz LIKFYWQXAQFSCG-OAHLLOKOSA-N 0 0 441.558 -0.555 20 0 IBADRN CC[S@@](=O)CCn1c(C(=O)N2CCCC2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001314563050 1074068724 /nfs/dbraw/zinc/06/87/24/1074068724.db2.gz WEUCEBHSLYIJIK-GDLZYMKVSA-N 0 0 426.543 -0.422 20 0 IBADRN CC[S@](=O)CCn1c(C(=O)N2CCCC2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001314563067 1074068713 /nfs/dbraw/zinc/06/87/13/1074068713.db2.gz WEUCEBHSLYIJIK-LJAQVGFWSA-N 0 0 426.543 -0.422 20 0 IBADRN CC[S@@](=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001314563569 1074068676 /nfs/dbraw/zinc/06/86/76/1074068676.db2.gz YJRUNYQWKWVYKQ-MUUNZHRXSA-N 0 0 448.615 -0.050 20 0 IBADRN CC[S@](=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001314563573 1074068682 /nfs/dbraw/zinc/06/86/82/1074068682.db2.gz YJRUNYQWKWVYKQ-NDEPHWFRSA-N 0 0 448.615 -0.050 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001314563708 1074068662 /nfs/dbraw/zinc/06/86/62/1074068662.db2.gz RHCAZALCBZRQHM-GOSISDBHSA-N 0 0 439.542 -0.801 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001314563714 1074068736 /nfs/dbraw/zinc/06/87/36/1074068736.db2.gz RHCAZALCBZRQHM-SFHVURJKSA-N 0 0 439.542 -0.801 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001314564082 1074068729 /nfs/dbraw/zinc/06/87/29/1074068729.db2.gz UDFQGEZROMUFDP-AWEZNQCLSA-N 0 0 439.542 -0.849 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001314564084 1074068634 /nfs/dbraw/zinc/06/86/34/1074068634.db2.gz UDFQGEZROMUFDP-CQSZACIVSA-N 0 0 439.542 -0.849 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cn2nc(C)cc2C)nnc1N1CCS(=O)CC1 ZINC001314564373 1074068652 /nfs/dbraw/zinc/06/86/52/1074068652.db2.gz WGWGYQYSVAYARP-UHFFFAOYSA-N 0 0 429.572 -0.352 20 0 IBADRN CC[S@@](=O)CCn1c(Cc2ccc(F)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001314568807 1074068621 /nfs/dbraw/zinc/06/86/21/1074068621.db2.gz JXIUPMJWBMJZIX-AZYRPFHASA-N 0 0 448.524 -0.076 20 0 IBADRN CC[S@](=O)CCn1c(Cc2ccc(F)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001314568809 1074068703 /nfs/dbraw/zinc/06/87/03/1074068703.db2.gz JXIUPMJWBMJZIX-MKTHODDLSA-N 0 0 448.524 -0.076 20 0 IBADRN CC[S@@](=O)CCn1c(Cc2ccc(F)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001314568812 1074068639 /nfs/dbraw/zinc/06/86/39/1074068639.db2.gz JXIUPMJWBMJZIX-RKXCMPKHSA-N 0 0 448.524 -0.076 20 0 IBADRN CC[S@](=O)CCn1c(Cc2ccc(F)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001314568814 1074068648 /nfs/dbraw/zinc/06/86/48/1074068648.db2.gz JXIUPMJWBMJZIX-ZYSBOAIWSA-N 0 0 448.524 -0.076 20 0 IBADRN CC[S@@](=O)CCn1c(-c2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001314568825 1074068742 /nfs/dbraw/zinc/06/87/42/1074068742.db2.gz QARVTQSFBIIQPF-AOYOOGHLSA-N 0 0 446.533 -0.131 20 0 IBADRN CC[S@@](=O)CCn1c(-c2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001314568827 1074068696 /nfs/dbraw/zinc/06/86/96/1074068696.db2.gz QARVTQSFBIIQPF-JKGBWWFKSA-N 0 0 446.533 -0.131 20 0 IBADRN CC[S@](=O)CCn1c(-c2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001314568829 1074068718 /nfs/dbraw/zinc/06/87/18/1074068718.db2.gz QARVTQSFBIIQPF-PSKUBERUSA-N 0 0 446.533 -0.131 20 0 IBADRN CC[S@](=O)CCn1c(-c2ccccc2OC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001314568831 1074069006 /nfs/dbraw/zinc/06/90/06/1074069006.db2.gz QARVTQSFBIIQPF-YSVRNHBLSA-N 0 0 446.533 -0.131 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001314570630 1074068968 /nfs/dbraw/zinc/06/89/68/1074068968.db2.gz IPJPGNHLPCYQLA-ILHIWHGASA-N 0 0 434.526 -0.416 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001314570631 1074068911 /nfs/dbraw/zinc/06/89/11/1074068911.db2.gz IPJPGNHLPCYQLA-KJEZJMDVSA-N 0 0 434.526 -0.416 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001314570632 1074068974 /nfs/dbraw/zinc/06/89/74/1074068974.db2.gz IPJPGNHLPCYQLA-NGFNCXNUSA-N 0 0 434.526 -0.416 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001314570633 1074068937 /nfs/dbraw/zinc/06/89/37/1074068937.db2.gz IPJPGNHLPCYQLA-WYIRRWHOSA-N 0 0 434.526 -0.416 20 0 IBADRN CC[S@](=O)CCn1c(CC(C)(C)C)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001314570772 1074068929 /nfs/dbraw/zinc/06/89/29/1074068929.db2.gz YAHGPQSEFWIEHX-PMERELPUSA-N 0 0 437.574 -0.029 20 0 IBADRN CC[S@@](=O)CCn1c(CC(C)(C)C)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001314570773 1074068999 /nfs/dbraw/zinc/06/89/99/1074068999.db2.gz YAHGPQSEFWIEHX-SSEXGKCCSA-N 0 0 437.574 -0.029 20 0 IBADRN CC[S@@](=O)CCn1c(CN2CCCC2=O)nnc1N1CCC(OCC(N)=O)CC1 ZINC001314571814 1074069027 /nfs/dbraw/zinc/06/90/27/1074069027.db2.gz BTWITASUMJPRBM-GDLZYMKVSA-N 0 0 426.543 -0.360 20 0 IBADRN CC[S@](=O)CCn1c(CN2CCCC2=O)nnc1N1CCC(OCC(N)=O)CC1 ZINC001314571820 1074068953 /nfs/dbraw/zinc/06/89/53/1074068953.db2.gz BTWITASUMJPRBM-LJAQVGFWSA-N 0 0 426.543 -0.360 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314573774 1074069017 /nfs/dbraw/zinc/06/90/17/1074069017.db2.gz DMGCOERIZZILPG-LKLRLBKWSA-N 0 0 431.584 -0.530 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001314573775 1074068981 /nfs/dbraw/zinc/06/89/81/1074068981.db2.gz DMGCOERIZZILPG-OACFQNQOSA-N 0 0 431.584 -0.530 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314573776 1074068991 /nfs/dbraw/zinc/06/89/91/1074068991.db2.gz DMGCOERIZZILPG-RHFKOARHSA-N 0 0 431.584 -0.530 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001314573777 1074069383 /nfs/dbraw/zinc/06/93/83/1074069383.db2.gz DMGCOERIZZILPG-WDYLCZHCSA-N 0 0 431.584 -0.530 20 0 IBADRN CC[S@](=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001314574696 1074069363 /nfs/dbraw/zinc/06/93/63/1074069363.db2.gz SLMPXGIKIOHTTL-PMERELPUSA-N 0 0 439.586 -0.621 20 0 IBADRN CC[S@@](=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001314574697 1074069311 /nfs/dbraw/zinc/06/93/11/1074069311.db2.gz SLMPXGIKIOHTTL-SSEXGKCCSA-N 0 0 439.586 -0.621 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(=O)NC)CC1 ZINC001314575400 1074069430 /nfs/dbraw/zinc/06/94/30/1074069430.db2.gz IYENIZVUHWIMCJ-ASHKIFAZSA-N 0 0 431.584 -0.089 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(=O)NC)CC1 ZINC001314575401 1074069426 /nfs/dbraw/zinc/06/94/26/1074069426.db2.gz IYENIZVUHWIMCJ-LOKFHWFJSA-N 0 0 431.584 -0.089 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(=O)NC)CC1 ZINC001314575402 1074069284 /nfs/dbraw/zinc/06/92/84/1074069284.db2.gz IYENIZVUHWIMCJ-PGGUUEOZSA-N 0 0 431.584 -0.089 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(=O)NC)CC1 ZINC001314575403 1074069418 /nfs/dbraw/zinc/06/94/18/1074069418.db2.gz IYENIZVUHWIMCJ-PWFNWSNSSA-N 0 0 431.584 -0.089 20 0 IBADRN CC[S@](=O)CCn1c(Nc2cnn(C)c2)nnc1[C@@H]1CN(S(C)(=O)=O)CCO1 ZINC001314578902 1074069370 /nfs/dbraw/zinc/06/93/70/1074069370.db2.gz LFLFMAIJRMRPHV-CEXHIMGSSA-N 0 0 431.544 -0.143 20 0 IBADRN CC[S@@](=O)CCn1c(Nc2cnn(C)c2)nnc1[C@@H]1CN(S(C)(=O)=O)CCO1 ZINC001314578903 1074069317 /nfs/dbraw/zinc/06/93/17/1074069317.db2.gz LFLFMAIJRMRPHV-JGTBNHKDSA-N 0 0 431.544 -0.143 20 0 IBADRN CC[S@@](=O)CCn1c(Nc2cnn(C)c2)nnc1[C@H]1CN(S(C)(=O)=O)CCO1 ZINC001314578904 1074069331 /nfs/dbraw/zinc/06/93/31/1074069331.db2.gz LFLFMAIJRMRPHV-PFUSGODGSA-N 0 0 431.544 -0.143 20 0 IBADRN CC[S@](=O)CCn1c(Nc2cnn(C)c2)nnc1[C@H]1CN(S(C)(=O)=O)CCO1 ZINC001314578905 1074069336 /nfs/dbraw/zinc/06/93/36/1074069336.db2.gz LFLFMAIJRMRPHV-QWVSSGJLSA-N 0 0 431.544 -0.143 20 0 IBADRN CC[S@@](=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001314579372 1074069340 /nfs/dbraw/zinc/06/93/40/1074069340.db2.gz OUBSQECHHPNSHM-MUUNZHRXSA-N 0 0 443.599 -0.010 20 0 IBADRN CC[S@](=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001314579373 1074069300 /nfs/dbraw/zinc/06/93/00/1074069300.db2.gz OUBSQECHHPNSHM-NDEPHWFRSA-N 0 0 443.599 -0.010 20 0 IBADRN CN(C)C(=O)CCCn1c(N2CCN(C(=O)Cn3cnnn3)CC2)nnc1C(C)(C)C ZINC001314632950 1074069291 /nfs/dbraw/zinc/06/92/91/1074069291.db2.gz KAHKVEUNIPFZTM-UHFFFAOYSA-N 0 0 432.533 -0.221 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001314633478 1074069401 /nfs/dbraw/zinc/06/94/01/1074069401.db2.gz BUXVYPCOHDFBSP-AWEZNQCLSA-N 0 0 441.558 -0.384 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001314633479 1074069392 /nfs/dbraw/zinc/06/93/92/1074069392.db2.gz BUXVYPCOHDFBSP-CQSZACIVSA-N 0 0 441.558 -0.384 20 0 IBADRN CN(C)C(=O)CCCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CCNC(=O)C1 ZINC001314634794 1074069349 /nfs/dbraw/zinc/06/93/49/1074069349.db2.gz OFWBDXRNAPVICB-UHFFFAOYSA-N 0 0 438.492 -0.003 20 0 IBADRN CN(C)C(=O)CCCn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001314634814 1074069407 /nfs/dbraw/zinc/06/94/07/1074069407.db2.gz OUUAGOMZZVYNBY-UHFFFAOYSA-N 0 0 441.500 -0.523 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001314635096 1074069323 /nfs/dbraw/zinc/06/93/23/1074069323.db2.gz NQHPJYSYKCRRQD-HNNXBMFYSA-N 0 0 428.497 -0.246 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001314635097 1074069357 /nfs/dbraw/zinc/06/93/57/1074069357.db2.gz NQHPJYSYKCRRQD-OAHLLOKOSA-N 0 0 428.497 -0.246 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001314635145 1074069687 /nfs/dbraw/zinc/06/96/87/1074069687.db2.gz OLSZYKNFFABZNR-AWEZNQCLSA-N 0 0 430.531 -0.684 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001314635146 1074069766 /nfs/dbraw/zinc/06/97/66/1074069766.db2.gz OLSZYKNFFABZNR-CQSZACIVSA-N 0 0 430.531 -0.684 20 0 IBADRN CN(C)C(=O)CCn1c(Cc2ccccn2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001314635888 1074069727 /nfs/dbraw/zinc/06/97/27/1074069727.db2.gz HVICKBDIRVQBGS-UHFFFAOYSA-N 0 0 428.541 -0.048 20 0 IBADRN CN(C)C(=O)CCn1c(CC2CCCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001314636243 1074069721 /nfs/dbraw/zinc/06/97/21/1074069721.db2.gz KNLDTAXFPAFDSS-UHFFFAOYSA-N 0 0 444.544 -0.176 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314636907 1074069751 /nfs/dbraw/zinc/06/97/51/1074069751.db2.gz ZMRYYEMHWKQNJG-HNNXBMFYSA-N 0 0 447.540 -0.422 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314636908 1074069790 /nfs/dbraw/zinc/06/97/90/1074069790.db2.gz ZMRYYEMHWKQNJG-OAHLLOKOSA-N 0 0 447.540 -0.422 20 0 IBADRN CN(C)C(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001314637423 1074069757 /nfs/dbraw/zinc/06/97/57/1074069757.db2.gz WNOKKMQFBLRQOH-UHFFFAOYSA-N 0 0 429.529 -0.061 20 0 IBADRN CN(C)C(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001314637784 1074069780 /nfs/dbraw/zinc/06/97/80/1074069780.db2.gz FHERXDSQYORHJQ-UHFFFAOYSA-N 0 0 438.558 -0.078 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001314637849 1074069738 /nfs/dbraw/zinc/06/97/38/1074069738.db2.gz GVSFHCZSMIBKFW-HNNXBMFYSA-N 0 0 432.529 -0.892 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001314637850 1074069708 /nfs/dbraw/zinc/06/97/08/1074069708.db2.gz GVSFHCZSMIBKFW-OAHLLOKOSA-N 0 0 432.529 -0.892 20 0 IBADRN CN(C)C(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314638135 1074069746 /nfs/dbraw/zinc/06/97/46/1074069746.db2.gz MYIAWHJLLVCFFV-UHFFFAOYSA-N 0 0 443.512 -0.916 20 0 IBADRN CN(C)C(=O)CCn1c(-c2noc3c2COCC3)nnc1N(C)CCNS(C)(=O)=O ZINC001314638156 1074069733 /nfs/dbraw/zinc/06/97/33/1074069733.db2.gz NNMYMHWCZBQCLL-UHFFFAOYSA-N 0 0 441.514 -0.531 20 0 IBADRN CN(C)C(=O)Cn1c(-c2ccc(Cl)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001314638811 1074069760 /nfs/dbraw/zinc/06/97/60/1074069760.db2.gz KLKYQWKYGFIQJP-AWEZNQCLSA-N 0 0 431.884 -0.166 20 0 IBADRN CN(C)C(=O)Cn1c(-c2ccc(Cl)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001314638812 1074069696 /nfs/dbraw/zinc/06/96/96/1074069696.db2.gz KLKYQWKYGFIQJP-CQSZACIVSA-N 0 0 431.884 -0.166 20 0 IBADRN CN(C)C(=O)Cn1c(-c2ccccc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314638841 1074069784 /nfs/dbraw/zinc/06/97/84/1074069784.db2.gz LJNLFCDZVDETLK-UHFFFAOYSA-N 0 0 425.493 -0.040 20 0 IBADRN CN(C)C(=O)Cn1c(-c2ccc(Cl)nc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001314639052 1074069731 /nfs/dbraw/zinc/06/97/31/1074069731.db2.gz OXUKTRZVGIDWEK-GFCCVEGCSA-N 0 0 432.872 -0.771 20 0 IBADRN CN(C)C(=O)Cn1c(-c2ccc(Cl)nc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001314639053 1074069702 /nfs/dbraw/zinc/06/97/02/1074069702.db2.gz OXUKTRZVGIDWEK-LBPRGKRZSA-N 0 0 432.872 -0.771 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC001314639299 1074069771 /nfs/dbraw/zinc/06/97/71/1074069771.db2.gz BFCIXCLAHCNCFR-AWEZNQCLSA-N 0 0 436.542 -0.069 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC001314639302 1074070113 /nfs/dbraw/zinc/07/01/13/1074070113.db2.gz BFCIXCLAHCNCFR-CQSZACIVSA-N 0 0 436.542 -0.069 20 0 IBADRN CN(C)C(=O)CCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001314639334 1074070118 /nfs/dbraw/zinc/07/01/18/1074070118.db2.gz ZVSVUBQOGPSVKS-CYBMUJFWSA-N 0 0 438.492 -0.018 20 0 IBADRN CN(C)C(=O)CCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CC[C@H](C(N)=O)C1 ZINC001314639348 1074070171 /nfs/dbraw/zinc/07/01/71/1074070171.db2.gz ZVSVUBQOGPSVKS-ZDUSSCGKSA-N 0 0 438.492 -0.018 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCOC1 ZINC001314639941 1074070205 /nfs/dbraw/zinc/07/02/05/1074070205.db2.gz OEEIOUPNUQFJMP-CABCVRRESA-N 0 0 444.558 -0.438 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001314639991 1074070107 /nfs/dbraw/zinc/07/01/07/1074070107.db2.gz JRBAMTLZMHNIDW-AWEZNQCLSA-N 0 0 434.526 -0.172 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001314639992 1074070222 /nfs/dbraw/zinc/07/02/22/1074070222.db2.gz JRBAMTLZMHNIDW-CQSZACIVSA-N 0 0 434.526 -0.172 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCOC1 ZINC001314640075 1074070062 /nfs/dbraw/zinc/07/00/62/1074070062.db2.gz OEEIOUPNUQFJMP-GJZGRUSLSA-N 0 0 444.558 -0.438 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCOC1 ZINC001314640076 1074070130 /nfs/dbraw/zinc/07/01/30/1074070130.db2.gz OEEIOUPNUQFJMP-HUUCEWRRSA-N 0 0 444.558 -0.438 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCOC1 ZINC001314640077 1074070196 /nfs/dbraw/zinc/07/01/96/1074070196.db2.gz OEEIOUPNUQFJMP-LSDHHAIUSA-N 0 0 444.558 -0.438 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cccn2C)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001314640154 1074070075 /nfs/dbraw/zinc/07/00/75/1074070075.db2.gz NLRAWSDVBCLJOP-UHFFFAOYSA-N 0 0 444.540 -0.647 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cccc(S(=O)(=O)N(C)C)c2)nnc1N1CCNC(=O)C1 ZINC001314640332 1074070124 /nfs/dbraw/zinc/07/01/24/1074070124.db2.gz IHEYBGTZMFIENJ-UHFFFAOYSA-N 0 0 435.510 -0.780 20 0 IBADRN CN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001314640684 1074070097 /nfs/dbraw/zinc/07/00/97/1074070097.db2.gz LQFUZWJNGOUPCI-UHFFFAOYSA-N 0 0 441.558 -0.538 20 0 IBADRN CN(C)C(=O)CCn1c(C2CCCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001314641310 1074070161 /nfs/dbraw/zinc/07/01/61/1074070161.db2.gz YQNRZMXDWDHZPR-UHFFFAOYSA-N 0 0 430.517 -0.251 20 0 IBADRN CN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001314641343 1074070214 /nfs/dbraw/zinc/07/02/14/1074070214.db2.gz VESIJXQULXRCFF-UHFFFAOYSA-N 0 0 427.531 -0.926 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCO[C@](C)(c2cnn(C)c2)C1 ZINC001314641548 1074070134 /nfs/dbraw/zinc/07/01/34/1074070134.db2.gz AYEZGKUMJOJSQE-FQEVSTJZSA-N 0 0 430.513 -0.026 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCO[C@@](C)(c2cnn(C)c2)C1 ZINC001314641549 1074070180 /nfs/dbraw/zinc/07/01/80/1074070180.db2.gz AYEZGKUMJOJSQE-HXUWFJFHSA-N 0 0 430.513 -0.026 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001314641590 1074070186 /nfs/dbraw/zinc/07/01/86/1074070186.db2.gz CPCIUHZGRQQCPD-UHFFFAOYSA-N 0 0 448.528 -0.937 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001314641636 1074070091 /nfs/dbraw/zinc/07/00/91/1074070091.db2.gz FDXAHSZZGBMJDB-GFCCVEGCSA-N 0 0 432.510 -0.428 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001314641637 1074070082 /nfs/dbraw/zinc/07/00/82/1074070082.db2.gz FDXAHSZZGBMJDB-LBPRGKRZSA-N 0 0 432.510 -0.428 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CCOCC2)CC1 ZINC001314641734 1074070145 /nfs/dbraw/zinc/07/01/45/1074070145.db2.gz APNDMQNXBCTHCE-HNNXBMFYSA-N 0 0 440.570 -0.431 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CCOCC2)CC1 ZINC001314641735 1074070563 /nfs/dbraw/zinc/07/05/63/1074070563.db2.gz APNDMQNXBCTHCE-OAHLLOKOSA-N 0 0 440.570 -0.431 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001314641814 1074070631 /nfs/dbraw/zinc/07/06/31/1074070631.db2.gz CIEUJARQWLALKU-GFCCVEGCSA-N 0 0 426.499 -0.653 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001314641815 1074070593 /nfs/dbraw/zinc/07/05/93/1074070593.db2.gz CIEUJARQWLALKU-LBPRGKRZSA-N 0 0 426.499 -0.653 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001314641972 1074070530 /nfs/dbraw/zinc/07/05/30/1074070530.db2.gz LCOMIWPWOWOFMB-UHFFFAOYSA-N 0 0 449.537 -0.347 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC1CC(F)C1 ZINC001314642071 1074070533 /nfs/dbraw/zinc/07/05/33/1074070533.db2.gz CKPIGGPRQKOEKN-JXQTWKCFSA-N 0 0 432.522 -0.117 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC1CC(F)C1 ZINC001314642077 1074070504 /nfs/dbraw/zinc/07/05/04/1074070504.db2.gz CKPIGGPRQKOEKN-RUXDESIVSA-N 0 0 432.522 -0.117 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCSC2)CC1 ZINC001314642234 1074070515 /nfs/dbraw/zinc/07/05/15/1074070515.db2.gz ALTNQFQTPMEACM-AUUYWEPGSA-N 0 0 437.570 -0.693 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCSC2)CC1 ZINC001314642235 1074070577 /nfs/dbraw/zinc/07/05/77/1074070577.db2.gz ALTNQFQTPMEACM-IFXJQAMLSA-N 0 0 437.570 -0.693 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCSC2)CC1 ZINC001314642236 1074070613 /nfs/dbraw/zinc/07/06/13/1074070613.db2.gz ALTNQFQTPMEACM-KUHUBIRLSA-N 0 0 437.570 -0.693 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCSC2)CC1 ZINC001314642237 1074070585 /nfs/dbraw/zinc/07/05/85/1074070585.db2.gz ALTNQFQTPMEACM-LIRRHRJNSA-N 0 0 437.570 -0.693 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C3CC3)n2CCOCCS(C)(=O)=O)CC1 ZINC001314642742 1074070486 /nfs/dbraw/zinc/07/04/86/1074070486.db2.gz FNWKMWXWALOQTH-UHFFFAOYSA-N 0 0 428.559 -0.573 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C(C)(C)C)n2Cc2cn(C3COC3)nn2)CC1 ZINC001314642920 1074070606 /nfs/dbraw/zinc/07/06/06/1074070606.db2.gz MALQSWVYHMJJOO-UHFFFAOYSA-N 0 0 431.545 -0.003 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C(C)(C)C)n2CCOCCS(C)(=O)=O)CC1 ZINC001314642926 1074070600 /nfs/dbraw/zinc/07/06/00/1074070600.db2.gz MBZHQSZQZYMUQM-UHFFFAOYSA-N 0 0 444.602 -0.153 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2COc3ccccc3O2)nnc1N(C)CCNS(C)(=O)=O ZINC001314643145 1074070492 /nfs/dbraw/zinc/07/04/92/1074070492.db2.gz JPAWKEHNKKNLQD-HNNXBMFYSA-N 0 0 438.510 -0.136 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2COc3ccccc3O2)nnc1N(C)CCNS(C)(=O)=O ZINC001314643146 1074070479 /nfs/dbraw/zinc/07/04/79/1074070479.db2.gz JPAWKEHNKKNLQD-OAHLLOKOSA-N 0 0 438.510 -0.136 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(C3CCC3)n1CC(=O)N(C)C)C2 ZINC001314643207 1074070623 /nfs/dbraw/zinc/07/06/23/1074070623.db2.gz KRQUTEXAZKVKKO-UHFFFAOYSA-N 0 0 431.501 -0.900 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)NC(C)(C)C)CC1 ZINC001314643493 1074070521 /nfs/dbraw/zinc/07/05/21/1074070521.db2.gz MOLGJOGQWXFRSM-HNNXBMFYSA-N 0 0 448.572 -0.256 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)NC(C)(C)C)CC1 ZINC001314643499 1074070936 /nfs/dbraw/zinc/07/09/36/1074070936.db2.gz MOLGJOGQWXFRSM-OAHLLOKOSA-N 0 0 448.572 -0.256 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC001314643639 1074070907 /nfs/dbraw/zinc/07/09/07/1074070907.db2.gz LGEXFJJACQUMHN-HZSPNIEDSA-N 0 0 426.543 -0.209 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H]2[C@H](C1)C2(C)C ZINC001314643642 1074070901 /nfs/dbraw/zinc/07/09/01/1074070901.db2.gz LGEXFJJACQUMHN-MJBXVCDLSA-N 0 0 426.543 -0.209 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001314643680 1074070950 /nfs/dbraw/zinc/07/09/50/1074070950.db2.gz LZVALXMMYLLNMH-CYBMUJFWSA-N 0 0 427.531 -0.917 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001314643681 1074070912 /nfs/dbraw/zinc/07/09/12/1074070912.db2.gz LZVALXMMYLLNMH-ZDUSSCGKSA-N 0 0 427.531 -0.917 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001314643721 1074070916 /nfs/dbraw/zinc/07/09/16/1074070916.db2.gz VZNRYOJJSDJJRS-UHFFFAOYSA-N 0 0 429.485 -0.925 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2COCCO2)CC1 ZINC001314643894 1074070944 /nfs/dbraw/zinc/07/09/44/1074070944.db2.gz RUINBPVSSKCZED-INIZCTEOSA-N 0 0 435.529 -0.860 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCNC(=O)C2CC2)CC1 ZINC001314644032 1074070875 /nfs/dbraw/zinc/07/08/75/1074070875.db2.gz UENFSOKZXZJMHV-UHFFFAOYSA-N 0 0 446.556 -0.750 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(CC(C)(C)C)n2CCNS(C)(=O)=O)CC1 ZINC001314644036 1074070931 /nfs/dbraw/zinc/07/09/31/1074070931.db2.gz ARNCZCILOYKPBZ-UHFFFAOYSA-N 0 0 429.591 -0.374 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCCN2CCOCC2)CC1 ZINC001314644190 1074070885 /nfs/dbraw/zinc/07/08/85/1074070885.db2.gz QVVGFAPHKVPSCM-UHFFFAOYSA-N 0 0 445.572 -0.389 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(CCC(N)=O)CC1 ZINC001314644295 1074070939 /nfs/dbraw/zinc/07/09/39/1074070939.db2.gz PDDZRNHKNMCIED-AWEZNQCLSA-N 0 0 426.543 -0.250 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(CCC(N)=O)CC1 ZINC001314644296 1074070880 /nfs/dbraw/zinc/07/08/80/1074070880.db2.gz PDDZRNHKNMCIED-CQSZACIVSA-N 0 0 426.543 -0.250 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC2(O)CCOCC2)CC1 ZINC001314644660 1074070924 /nfs/dbraw/zinc/07/09/24/1074070924.db2.gz RKDYAIYANUAIKT-INIZCTEOSA-N 0 0 449.556 -0.629 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC2(O)CCOCC2)CC1 ZINC001314644661 1074070897 /nfs/dbraw/zinc/07/08/97/1074070897.db2.gz RKDYAIYANUAIKT-MRXNPFEDSA-N 0 0 449.556 -0.629 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001314644813 1074070928 /nfs/dbraw/zinc/07/09/28/1074070928.db2.gz QQEYQMYFNJABJA-CABCVRRESA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCOC2)nnc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001314644814 1074070866 /nfs/dbraw/zinc/07/08/66/1074070866.db2.gz QQEYQMYFNJABJA-GJZGRUSLSA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001314644815 1074070921 /nfs/dbraw/zinc/07/09/21/1074070921.db2.gz QQEYQMYFNJABJA-HUUCEWRRSA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCOC2)nnc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001314644816 1074070892 /nfs/dbraw/zinc/07/08/92/1074070892.db2.gz QQEYQMYFNJABJA-LSDHHAIUSA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(C(C)(C)C)n1C[C@H]1CCC(=O)N1)C2 ZINC001314644928 1074071335 /nfs/dbraw/zinc/07/13/35/1074071335.db2.gz DIWVIERPJUUMCW-CYBMUJFWSA-N 0 0 445.528 -0.679 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001314645159 1074071309 /nfs/dbraw/zinc/07/13/09/1074071309.db2.gz VSRNHYIHADHUMI-UHFFFAOYSA-N 0 0 444.540 -0.235 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cccn3C)n2CCNS(C)(=O)=O)CC1 ZINC001314645201 1074071298 /nfs/dbraw/zinc/07/12/98/1074071298.db2.gz XJMDRDNKMCUNGE-UHFFFAOYSA-N 0 0 438.558 -0.957 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCCOC2)nnc1N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC001314645323 1074071166 /nfs/dbraw/zinc/07/11/66/1074071166.db2.gz SAJZTXQDLILZLI-KBPBESRZSA-N 0 0 431.501 -0.208 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCCOC2)nnc1N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC001314645325 1074071257 /nfs/dbraw/zinc/07/12/57/1074071257.db2.gz SAJZTXQDLILZLI-KGLIPLIRSA-N 0 0 431.501 -0.208 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCCOC2)nnc1N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC001314645327 1074071240 /nfs/dbraw/zinc/07/12/40/1074071240.db2.gz SAJZTXQDLILZLI-UONOGXRCSA-N 0 0 431.501 -0.208 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCCOC2)nnc1N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC001314645329 1074071248 /nfs/dbraw/zinc/07/12/48/1074071248.db2.gz SAJZTXQDLILZLI-ZIAGYGMSSA-N 0 0 431.501 -0.208 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(CC(C)(C)C)n2CCS(=O)(=O)N(C)C)CC1 ZINC001314645475 1074071262 /nfs/dbraw/zinc/07/12/62/1074071262.db2.gz MBXSSAGQVWIPJZ-UHFFFAOYSA-N 0 0 443.618 -0.032 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3ccccn3)n2C[C@@H]2COCCO2)CC1 ZINC001314645670 1074071327 /nfs/dbraw/zinc/07/13/27/1074071327.db2.gz NSLXVZDWDXAWGS-GOSISDBHSA-N 0 0 429.525 -0.111 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001314645764 1074071320 /nfs/dbraw/zinc/07/13/20/1074071320.db2.gz UCKUIQPGAYHJNE-AWEZNQCLSA-N 0 0 434.526 -0.615 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001314645767 1074071232 /nfs/dbraw/zinc/07/12/32/1074071232.db2.gz UCKUIQPGAYHJNE-CQSZACIVSA-N 0 0 434.526 -0.615 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CC1 ZINC001314645817 1074071289 /nfs/dbraw/zinc/07/12/89/1074071289.db2.gz QUVASJZKIDIHTB-CYBMUJFWSA-N 0 0 447.462 -0.329 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CC1 ZINC001314645832 1074071211 /nfs/dbraw/zinc/07/12/11/1074071211.db2.gz QUVASJZKIDIHTB-ZDUSSCGKSA-N 0 0 447.462 -0.329 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CCc2cn[nH]c2)CC1 ZINC001314645916 1074071220 /nfs/dbraw/zinc/07/12/20/1074071220.db2.gz NSKHHHFLXQMQSJ-UHFFFAOYSA-N 0 0 429.529 -0.424 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CCC(=O)N2CCOCC2)CC1 ZINC001314646013 1074071186 /nfs/dbraw/zinc/07/11/86/1074071186.db2.gz SGICUGDCAYUPBV-KRWDZBQOSA-N 0 0 449.556 -0.759 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CCC(=O)N2CCOCC2)CC1 ZINC001314646014 1074071177 /nfs/dbraw/zinc/07/11/77/1074071177.db2.gz SGICUGDCAYUPBV-QGZVFWFLSA-N 0 0 449.556 -0.759 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccco1 ZINC001314646120 1074071205 /nfs/dbraw/zinc/07/12/05/1074071205.db2.gz WMLWPFCXHXXOKJ-AWEZNQCLSA-N 0 0 426.499 -0.071 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccco1 ZINC001314646122 1074071271 /nfs/dbraw/zinc/07/12/71/1074071271.db2.gz WMLWPFCXHXXOKJ-CQSZACIVSA-N 0 0 426.499 -0.071 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCCOC2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001314646140 1074071282 /nfs/dbraw/zinc/07/12/82/1074071282.db2.gz WSLWLBKRGCKPGQ-INIZCTEOSA-N 0 0 447.540 -0.469 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCCOC2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001314646141 1074071195 /nfs/dbraw/zinc/07/11/95/1074071195.db2.gz WSLWLBKRGCKPGQ-MRXNPFEDSA-N 0 0 447.540 -0.469 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(c1nnc(CC3CC3)n1CCC(C)(C)O)C2 ZINC001314646231 1074071761 /nfs/dbraw/zinc/07/17/61/1074071761.db2.gz SKFSCHZXLSDVCG-UHFFFAOYSA-N 0 0 432.529 -0.142 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCCCCO ZINC001314646389 1074071659 /nfs/dbraw/zinc/07/16/59/1074071659.db2.gz XXYCDJUEGHBUFI-AWEZNQCLSA-N 0 0 432.547 -0.702 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCCCCO ZINC001314646411 1074071772 /nfs/dbraw/zinc/07/17/72/1074071772.db2.gz XXYCDJUEGHBUFI-CQSZACIVSA-N 0 0 432.547 -0.702 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(F)(F)F)CC1 ZINC001314646506 1074071746 /nfs/dbraw/zinc/07/17/46/1074071746.db2.gz YKOSADVQCMCLQD-GFCCVEGCSA-N 0 0 438.476 -0.047 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(F)(F)F)CC1 ZINC001314646513 1074071756 /nfs/dbraw/zinc/07/17/56/1074071756.db2.gz YKOSADVQCMCLQD-LBPRGKRZSA-N 0 0 438.476 -0.047 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCCCO2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314646527 1074071699 /nfs/dbraw/zinc/07/16/99/1074071699.db2.gz ZAVOOYQGZMFLMN-HNNXBMFYSA-N 0 0 433.513 -0.465 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCCCO2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314646528 1074071608 /nfs/dbraw/zinc/07/16/08/1074071608.db2.gz ZAVOOYQGZMFLMN-OAHLLOKOSA-N 0 0 433.513 -0.465 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC2CC2)CC1 ZINC001314646549 1074071580 /nfs/dbraw/zinc/07/15/80/1074071580.db2.gz YUBLJNHVVMKZGC-UHFFFAOYSA-N 0 0 446.556 -0.863 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3cccnc3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001314646663 1074071718 /nfs/dbraw/zinc/07/17/18/1074071718.db2.gz ZNGWLQCHZUGXSO-JOCHJYFZSA-N 0 0 440.552 -0.048 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3cccnc3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001314646664 1074071592 /nfs/dbraw/zinc/07/15/92/1074071592.db2.gz ZNGWLQCHZUGXSO-QFIPXVFZSA-N 0 0 440.552 -0.048 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3ccc(F)cc3)n2Cc2nnn(C)n2)CC1 ZINC001314646687 1074071777 /nfs/dbraw/zinc/07/17/77/1074071777.db2.gz ZRPXXLGHSYFQKP-UHFFFAOYSA-N 0 0 442.503 -0.210 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2CC(=O)NCC(F)F)CC1 ZINC001314646737 1074071707 /nfs/dbraw/zinc/07/17/07/1074071707.db2.gz YXHSTPWQMLESKX-CYBMUJFWSA-N 0 0 429.472 -0.279 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001314674292 1074072319 /nfs/dbraw/zinc/07/23/19/1074072319.db2.gz AAXBGLYILBLKDW-UHFFFAOYSA-N 0 0 431.544 -0.754 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001314674350 1074072370 /nfs/dbraw/zinc/07/23/70/1074072370.db2.gz ARCGICYCEFQLGQ-UHFFFAOYSA-N 0 0 436.498 -0.295 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001314674829 1074072355 /nfs/dbraw/zinc/07/23/55/1074072355.db2.gz BHWKZOSZFHUNJB-UHFFFAOYSA-N 0 0 433.538 -0.034 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2noc3c2COCC3)nnc1N1CCS(=O)CC1 ZINC001314674932 1074072421 /nfs/dbraw/zinc/07/24/21/1074072421.db2.gz HXZMPHTXNBGQIS-UHFFFAOYSA-N 0 0 444.539 -0.534 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001314674973 1074072339 /nfs/dbraw/zinc/07/23/39/1074072339.db2.gz JABZLMYLAFVDLO-CYBMUJFWSA-N 0 0 431.544 -0.807 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001314674974 1074072397 /nfs/dbraw/zinc/07/23/97/1074072397.db2.gz JABZLMYLAFVDLO-ZDUSSCGKSA-N 0 0 431.544 -0.807 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(C[C@H]2CCCO2)CC1 ZINC001314675285 1074072458 /nfs/dbraw/zinc/07/24/58/1074072458.db2.gz JMFITRJEFPAXKT-DLBZAZTESA-N 0 0 442.586 -0.026 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(C[C@H]2CCCO2)CC1 ZINC001314675286 1074072325 /nfs/dbraw/zinc/07/23/25/1074072325.db2.gz JMFITRJEFPAXKT-IAGOWNOFSA-N 0 0 442.586 -0.026 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(C[C@@H]2CCCO2)CC1 ZINC001314675287 1074072383 /nfs/dbraw/zinc/07/23/83/1074072383.db2.gz JMFITRJEFPAXKT-IRXDYDNUSA-N 0 0 442.586 -0.026 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(C[C@@H]2CCCO2)CC1 ZINC001314675288 1074072359 /nfs/dbraw/zinc/07/23/59/1074072359.db2.gz JMFITRJEFPAXKT-SJORKVTESA-N 0 0 442.586 -0.026 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCOC2(CCOCC2)C1 ZINC001314675474 1074072401 /nfs/dbraw/zinc/07/24/01/1074072401.db2.gz PPCUSGPQBFTZMS-UHFFFAOYSA-N 0 0 439.542 -0.044 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001314675540 1074072414 /nfs/dbraw/zinc/07/24/14/1074072414.db2.gz NMOVSPZCTQCMRR-CABCVRRESA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001314675541 1074072433 /nfs/dbraw/zinc/07/24/33/1074072433.db2.gz NMOVSPZCTQCMRR-GJZGRUSLSA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001314675542 1074072801 /nfs/dbraw/zinc/07/28/01/1074072801.db2.gz NMOVSPZCTQCMRR-HUUCEWRRSA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001314675543 1074072824 /nfs/dbraw/zinc/07/28/24/1074072824.db2.gz NMOVSPZCTQCMRR-LSDHHAIUSA-N 0 0 426.543 -0.126 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C2CCC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001314675604 1074072782 /nfs/dbraw/zinc/07/27/82/1074072782.db2.gz QBEQBPXPGNJTAW-UHFFFAOYSA-N 0 0 434.588 -0.091 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001314675631 1074072819 /nfs/dbraw/zinc/07/28/19/1074072819.db2.gz QZOQVQATJPRIOF-KRWDZBQOSA-N 0 0 438.514 -0.399 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001314675632 1074072775 /nfs/dbraw/zinc/07/27/75/1074072775.db2.gz QZOQVQATJPRIOF-QGZVFWFLSA-N 0 0 438.514 -0.399 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2cccnn2)CC1 ZINC001314675660 1074072785 /nfs/dbraw/zinc/07/27/85/1074072785.db2.gz RXYYYLZGINJUDC-AWEZNQCLSA-N 0 0 449.541 -0.763 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2cccnn2)CC1 ZINC001314675661 1074072778 /nfs/dbraw/zinc/07/27/78/1074072778.db2.gz RXYYYLZGINJUDC-CQSZACIVSA-N 0 0 449.541 -0.763 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001314675797 1074072816 /nfs/dbraw/zinc/07/28/16/1074072816.db2.gz STTOCYDOQRLRPZ-CHWSQXEVSA-N 0 0 448.571 -0.866 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001314675798 1074072793 /nfs/dbraw/zinc/07/27/93/1074072793.db2.gz STTOCYDOQRLRPZ-OLZOCXBDSA-N 0 0 448.571 -0.866 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001314675799 1074072797 /nfs/dbraw/zinc/07/27/97/1074072797.db2.gz STTOCYDOQRLRPZ-QWHCGFSZSA-N 0 0 448.571 -0.866 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001314675800 1074072790 /nfs/dbraw/zinc/07/27/90/1074072790.db2.gz STTOCYDOQRLRPZ-STQMWFEESA-N 0 0 448.571 -0.866 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](n2cncn2)C1 ZINC001314675844 1074072769 /nfs/dbraw/zinc/07/27/69/1074072769.db2.gz VCJKBCJSGFXOHV-KBPBESRZSA-N 0 0 437.530 -0.446 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](n2cncn2)C1 ZINC001314675845 1074072810 /nfs/dbraw/zinc/07/28/10/1074072810.db2.gz VCJKBCJSGFXOHV-KGLIPLIRSA-N 0 0 437.530 -0.446 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](n2cncn2)C1 ZINC001314675846 1074072830 /nfs/dbraw/zinc/07/28/30/1074072830.db2.gz VCJKBCJSGFXOHV-UONOGXRCSA-N 0 0 437.530 -0.446 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](n2cncn2)C1 ZINC001314675847 1074072839 /nfs/dbraw/zinc/07/28/39/1074072839.db2.gz VCJKBCJSGFXOHV-ZIAGYGMSSA-N 0 0 437.530 -0.446 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2COc3ccccc3O2)nnc1N1CCNC(=O)C1 ZINC001314675894 1074072805 /nfs/dbraw/zinc/07/28/05/1074072805.db2.gz SMORJXXGAMODDD-HNNXBMFYSA-N 0 0 436.494 -0.382 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2COc3ccccc3O2)nnc1N1CCNC(=O)C1 ZINC001314675895 1074072762 /nfs/dbraw/zinc/07/27/62/1074072762.db2.gz SMORJXXGAMODDD-OAHLLOKOSA-N 0 0 436.494 -0.382 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccc[nH]2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001314676009 1074072754 /nfs/dbraw/zinc/07/27/54/1074072754.db2.gz XICSQKSKRPZZEL-UHFFFAOYSA-N 0 0 432.510 -0.179 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001314676112 1074072834 /nfs/dbraw/zinc/07/28/34/1074072834.db2.gz WNPRRIFOADHWHJ-GOSISDBHSA-N 0 0 439.542 -0.992 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001314676113 1074073241 /nfs/dbraw/zinc/07/32/41/1074073241.db2.gz WNPRRIFOADHWHJ-SFHVURJKSA-N 0 0 439.542 -0.992 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(CO)CC1 ZINC001314676190 1074072827 /nfs/dbraw/zinc/07/28/27/1074072827.db2.gz YWENOTJIGNHOBB-AWEZNQCLSA-N 0 0 435.572 -0.720 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(CO)CC1 ZINC001314676191 1074073222 /nfs/dbraw/zinc/07/32/22/1074073222.db2.gz YWENOTJIGNHOBB-CQSZACIVSA-N 0 0 435.572 -0.720 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001314676780 1074073275 /nfs/dbraw/zinc/07/32/75/1074073275.db2.gz FAIJCDGEXKPKPU-CYBMUJFWSA-N 0 0 433.556 -0.428 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001314676784 1074073260 /nfs/dbraw/zinc/07/32/60/1074073260.db2.gz FAIJCDGEXKPKPU-ZDUSSCGKSA-N 0 0 433.556 -0.428 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1CCCNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001314678253 1074073189 /nfs/dbraw/zinc/07/31/89/1074073189.db2.gz PFBYELYUUYZDIU-CYBMUJFWSA-N 0 0 448.571 -0.923 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1CCCNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001314678254 1074073267 /nfs/dbraw/zinc/07/32/67/1074073267.db2.gz PFBYELYUUYZDIU-ZDUSSCGKSA-N 0 0 448.571 -0.923 20 0 IBADRN CN(c1nnc(-c2ccccn2)n1CCC[C@@H]1NC(=O)NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC001314686194 1074073072 /nfs/dbraw/zinc/07/30/72/1074073072.db2.gz UWNHHPFCCXIQMZ-JSGCOSHPSA-N 0 0 433.494 -0.048 20 0 IBADRN CN(c1nnc(-c2ccccn2)n1CCC[C@@H]1NC(=O)NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001314686195 1074073199 /nfs/dbraw/zinc/07/31/99/1074073199.db2.gz UWNHHPFCCXIQMZ-OCCSQVGLSA-N 0 0 433.494 -0.048 20 0 IBADRN CN(c1nnc([C@H]2CCCOC2)n1CCCNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001314686900 1074073202 /nfs/dbraw/zinc/07/32/02/1074073202.db2.gz DSADSSRORYXZEE-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(c1nnc([C@@H]2CCCOC2)n1CCCNS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001314686901 1074073231 /nfs/dbraw/zinc/07/32/31/1074073231.db2.gz DSADSSRORYXZEE-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(c1nnc([C@H]2CCCOC2)n1CCCNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001314686902 1074073249 /nfs/dbraw/zinc/07/32/49/1074073249.db2.gz DSADSSRORYXZEE-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(c1nnc([C@@H]2CCCOC2)n1CCCNS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001314686903 1074073213 /nfs/dbraw/zinc/07/32/13/1074073213.db2.gz DSADSSRORYXZEE-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1CC1(C(N)=O)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001314686917 1074073217 /nfs/dbraw/zinc/07/32/17/1074073217.db2.gz FACUSVAHXXHHMV-KBPBESRZSA-N 0 0 427.527 -0.313 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1CC1(C(N)=O)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001314686918 1074073234 /nfs/dbraw/zinc/07/32/34/1074073234.db2.gz FACUSVAHXXHHMV-KGLIPLIRSA-N 0 0 427.527 -0.313 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1CC1(C(N)=O)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314686919 1074073255 /nfs/dbraw/zinc/07/32/55/1074073255.db2.gz FACUSVAHXXHHMV-UONOGXRCSA-N 0 0 427.527 -0.313 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1CC1(C(N)=O)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314686920 1074073167 /nfs/dbraw/zinc/07/31/67/1074073167.db2.gz FACUSVAHXXHHMV-ZIAGYGMSSA-N 0 0 427.527 -0.313 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCCN1CCC(O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314688332 1074073119 /nfs/dbraw/zinc/07/31/19/1074073119.db2.gz AOUNNCPHWSLMMY-GDBMZVCRSA-N 0 0 440.570 -0.301 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCCN1CCC(O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001314688334 1074073135 /nfs/dbraw/zinc/07/31/35/1074073135.db2.gz AOUNNCPHWSLMMY-GOEBONIOSA-N 0 0 440.570 -0.301 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCCN1CCC(O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001314688336 1074073149 /nfs/dbraw/zinc/07/31/49/1074073149.db2.gz AOUNNCPHWSLMMY-HOCLYGCPSA-N 0 0 440.570 -0.301 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCCN1CCC(O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314688338 1074073104 /nfs/dbraw/zinc/07/31/04/1074073104.db2.gz AOUNNCPHWSLMMY-ZBFHGGJFSA-N 0 0 440.570 -0.301 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001314689162 1074073611 /nfs/dbraw/zinc/07/36/11/1074073611.db2.gz XYHFBANELRYCGE-KBPBESRZSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001314689163 1074073601 /nfs/dbraw/zinc/07/36/01/1074073601.db2.gz XYHFBANELRYCGE-KGLIPLIRSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001314689164 1074073471 /nfs/dbraw/zinc/07/34/71/1074073471.db2.gz XYHFBANELRYCGE-UONOGXRCSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001314689165 1074073567 /nfs/dbraw/zinc/07/35/67/1074073567.db2.gz XYHFBANELRYCGE-ZIAGYGMSSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001314689489 1074073641 /nfs/dbraw/zinc/07/36/41/1074073641.db2.gz BXEHVEVSRKPZKZ-HZSPNIEDSA-N 0 0 434.540 -0.781 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001314689490 1074073575 /nfs/dbraw/zinc/07/35/75/1074073575.db2.gz BXEHVEVSRKPZKZ-IHRRRGAJSA-N 0 0 434.540 -0.781 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001314689491 1074073582 /nfs/dbraw/zinc/07/35/82/1074073582.db2.gz BXEHVEVSRKPZKZ-MCIONIFRSA-N 0 0 434.540 -0.781 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001314689492 1074073634 /nfs/dbraw/zinc/07/36/34/1074073634.db2.gz BXEHVEVSRKPZKZ-MGPQQGTHSA-N 0 0 434.540 -0.781 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001314689493 1074073547 /nfs/dbraw/zinc/07/35/47/1074073547.db2.gz BXEHVEVSRKPZKZ-MJBXVCDLSA-N 0 0 434.540 -0.781 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001314689494 1074073537 /nfs/dbraw/zinc/07/35/37/1074073537.db2.gz BXEHVEVSRKPZKZ-RDBSUJKOSA-N 0 0 434.540 -0.781 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@](C)(O)C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001314689773 1074073527 /nfs/dbraw/zinc/07/35/27/1074073527.db2.gz DFPDGQAMPYBEME-HACGYAERSA-N 0 0 432.568 -0.036 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@](C)(O)C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001314689774 1074073505 /nfs/dbraw/zinc/07/35/05/1074073505.db2.gz DFPDGQAMPYBEME-IFIJOSMWSA-N 0 0 432.568 -0.036 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@](C)(O)C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314689775 1074073517 /nfs/dbraw/zinc/07/35/17/1074073517.db2.gz DFPDGQAMPYBEME-MRRJBJDNSA-N 0 0 432.568 -0.036 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@](C)(O)C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314689776 1074073661 /nfs/dbraw/zinc/07/36/61/1074073661.db2.gz DFPDGQAMPYBEME-SUYBPPKGSA-N 0 0 432.568 -0.036 20 0 IBADRN CN(c1nnc(-c2cnccn2)n1CCS(C)(=O)=O)C1CCN(S(C)(=O)=O)CC1 ZINC001314690112 1074073555 /nfs/dbraw/zinc/07/35/55/1074073555.db2.gz ZTHHOCITHNIQPV-UHFFFAOYSA-N 0 0 443.555 -0.360 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314690411 1074073650 /nfs/dbraw/zinc/07/36/50/1074073650.db2.gz JGOGDTUHSAYKET-CHWSQXEVSA-N 0 0 445.567 -0.323 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314690412 1074073484 /nfs/dbraw/zinc/07/34/84/1074073484.db2.gz JGOGDTUHSAYKET-OLZOCXBDSA-N 0 0 445.567 -0.323 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001314690413 1074073592 /nfs/dbraw/zinc/07/35/92/1074073592.db2.gz JGOGDTUHSAYKET-QWHCGFSZSA-N 0 0 445.567 -0.323 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001314690414 1074073497 /nfs/dbraw/zinc/07/34/97/1074073497.db2.gz JGOGDTUHSAYKET-STQMWFEESA-N 0 0 445.567 -0.323 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1CCC[C@@H]1NC(=O)NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC001314690772 1074073617 /nfs/dbraw/zinc/07/36/17/1074073617.db2.gz KOYSRWLYAOMCTM-AGIUHOORSA-N 0 0 426.499 -0.607 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1CCC[C@@H]1NC(=O)NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC001314690773 1074073965 /nfs/dbraw/zinc/07/39/65/1074073965.db2.gz KOYSRWLYAOMCTM-AVGNSLFASA-N 0 0 426.499 -0.607 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1CCC[C@@H]1NC(=O)NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001314690774 1074074021 /nfs/dbraw/zinc/07/40/21/1074074021.db2.gz KOYSRWLYAOMCTM-UPJWGTAASA-N 0 0 426.499 -0.607 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1CCC[C@@H]1NC(=O)NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001314690775 1074074046 /nfs/dbraw/zinc/07/40/46/1074074046.db2.gz KOYSRWLYAOMCTM-XQQFMLRXSA-N 0 0 426.499 -0.607 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CCOCCS(C)(=O)=O)[C@H]1CCOC1 ZINC001314691093 1074073897 /nfs/dbraw/zinc/07/38/97/1074073897.db2.gz LUVNCHSYQNRNII-KBPBESRZSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCOCCS(C)(=O)=O)[C@H]1CCOC1 ZINC001314691094 1074074061 /nfs/dbraw/zinc/07/40/61/1074074061.db2.gz LUVNCHSYQNRNII-KGLIPLIRSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CCOCCS(C)(=O)=O)[C@@H]1CCOC1 ZINC001314691095 1074074031 /nfs/dbraw/zinc/07/40/31/1074074031.db2.gz LUVNCHSYQNRNII-UONOGXRCSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCOCCS(C)(=O)=O)[C@@H]1CCOC1 ZINC001314691096 1074073991 /nfs/dbraw/zinc/07/39/91/1074073991.db2.gz LUVNCHSYQNRNII-ZIAGYGMSSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1)[C@@H]1CCSC1 ZINC001314692083 1074073856 /nfs/dbraw/zinc/07/38/56/1074073856.db2.gz SDTJCFXSQRHMIU-KFWWJZLASA-N 0 0 447.583 -0.032 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1)[C@@H]1CCSC1 ZINC001314692088 1074073909 /nfs/dbraw/zinc/07/39/09/1074073909.db2.gz SDTJCFXSQRHMIU-RBSFLKMASA-N 0 0 447.583 -0.032 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1)[C@H]1CCSC1 ZINC001314692091 1074074000 /nfs/dbraw/zinc/07/40/00/1074074000.db2.gz SDTJCFXSQRHMIU-RRFJBIMHSA-N 0 0 447.583 -0.032 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1)[C@H]1CCSC1 ZINC001314692094 1074073983 /nfs/dbraw/zinc/07/39/83/1074073983.db2.gz SDTJCFXSQRHMIU-ZNMIVQPWSA-N 0 0 447.583 -0.032 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1)[C@H]1CCSC1 ZINC001314692229 1074074041 /nfs/dbraw/zinc/07/40/41/1074074041.db2.gz SVBAVRBZNWQSOZ-KBPBESRZSA-N 0 0 429.568 -0.019 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1)[C@H]1CCSC1 ZINC001314692231 1074073862 /nfs/dbraw/zinc/07/38/62/1074073862.db2.gz SVBAVRBZNWQSOZ-KGLIPLIRSA-N 0 0 429.568 -0.019 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1)[C@@H]1CCSC1 ZINC001314692233 1074073973 /nfs/dbraw/zinc/07/39/73/1074073973.db2.gz SVBAVRBZNWQSOZ-UONOGXRCSA-N 0 0 429.568 -0.019 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1)[C@@H]1CCSC1 ZINC001314692236 1074074054 /nfs/dbraw/zinc/07/40/54/1074074054.db2.gz SVBAVRBZNWQSOZ-ZIAGYGMSSA-N 0 0 429.568 -0.019 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1C[C@H]1CCC(=O)N1)C1CCN(S(C)(=O)=O)CC1 ZINC001314692571 1074074010 /nfs/dbraw/zinc/07/40/10/1074074010.db2.gz USQCAIIXXZJKLF-UONOGXRCSA-N 0 0 426.543 -0.079 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1C[C@H]1CCC(=O)N1)C1CCN(S(C)(=O)=O)CC1 ZINC001314692575 1074074280 /nfs/dbraw/zinc/07/42/80/1074074280.db2.gz USQCAIIXXZJKLF-ZIAGYGMSSA-N 0 0 426.543 -0.079 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001314692756 1074074395 /nfs/dbraw/zinc/07/43/95/1074074395.db2.gz VKVOQAQMTMSENC-KBPBESRZSA-N 0 0 445.567 -0.670 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001314692763 1074074317 /nfs/dbraw/zinc/07/43/17/1074074317.db2.gz VKVOQAQMTMSENC-KGLIPLIRSA-N 0 0 445.567 -0.670 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314692765 1074074361 /nfs/dbraw/zinc/07/43/61/1074074361.db2.gz VKVOQAQMTMSENC-UONOGXRCSA-N 0 0 445.567 -0.670 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314692767 1074074501 /nfs/dbraw/zinc/07/45/01/1074074501.db2.gz VKVOQAQMTMSENC-ZIAGYGMSSA-N 0 0 445.567 -0.670 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1)C1CCC1 ZINC001314693373 1074074406 /nfs/dbraw/zinc/07/44/06/1074074406.db2.gz XDQLQSBFZLHYBZ-HNNXBMFYSA-N 0 0 442.542 -0.542 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1)C1CCC1 ZINC001314693377 1074074298 /nfs/dbraw/zinc/07/42/98/1074074298.db2.gz XDQLQSBFZLHYBZ-OAHLLOKOSA-N 0 0 442.542 -0.542 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NCC1CC1)[C@@H]1CCOC1 ZINC001314693856 1074074384 /nfs/dbraw/zinc/07/43/84/1074074384.db2.gz ZKJPCPRRPHHZRC-CABCVRRESA-N 0 0 442.542 -0.638 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NCC1CC1)[C@H]1CCOC1 ZINC001314693863 1074074418 /nfs/dbraw/zinc/07/44/18/1074074418.db2.gz ZKJPCPRRPHHZRC-GJZGRUSLSA-N 0 0 442.542 -0.638 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NCC1CC1)[C@@H]1CCOC1 ZINC001314693865 1074074306 /nfs/dbraw/zinc/07/43/06/1074074306.db2.gz ZKJPCPRRPHHZRC-HUUCEWRRSA-N 0 0 442.542 -0.638 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NCC1CC1)[C@H]1CCOC1 ZINC001314693867 1074074427 /nfs/dbraw/zinc/07/44/27/1074074427.db2.gz ZKJPCPRRPHHZRC-LSDHHAIUSA-N 0 0 442.542 -0.638 20 0 IBADRN CN(c1nnc(Cc2ccncc2)n1CC(=O)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001314697746 1074074462 /nfs/dbraw/zinc/07/44/62/1074074462.db2.gz HKLZYHGARBSRFU-INIZCTEOSA-N 0 0 434.522 -0.254 20 0 IBADRN CN(c1nnc(Cc2ccncc2)n1CC(=O)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314697747 1074074288 /nfs/dbraw/zinc/07/42/88/1074074288.db2.gz HKLZYHGARBSRFU-MRXNPFEDSA-N 0 0 434.522 -0.254 20 0 IBADRN CN(c1nnc(CN2CCCC2=O)n1CCCS(C)(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001314701321 1074074470 /nfs/dbraw/zinc/07/44/70/1074074470.db2.gz FQKWFHYCPHHVKP-CYBMUJFWSA-N 0 0 433.556 -0.542 20 0 IBADRN CN(c1nnc(CN2CCCC2=O)n1CCCS(C)(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001314701322 1074074511 /nfs/dbraw/zinc/07/45/11/1074074511.db2.gz FQKWFHYCPHHVKP-ZDUSSCGKSA-N 0 0 433.556 -0.542 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(C(=O)N2CCCC2)n1C[C@@]1(O)CCSC1 ZINC001314701337 1074074341 /nfs/dbraw/zinc/07/43/41/1074074341.db2.gz DUCKRWUSLXRBCL-IBGZPJMESA-N 0 0 438.554 -0.323 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(C(=O)N2CCCC2)n1C[C@]1(O)CCSC1 ZINC001314701361 1074074484 /nfs/dbraw/zinc/07/44/84/1074074484.db2.gz DUCKRWUSLXRBCL-LJQANCHMSA-N 0 0 438.554 -0.323 20 0 IBADRN CN(c1nnc(CN2CCCC2=O)n1CC1(O)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001314702898 1074074493 /nfs/dbraw/zinc/07/44/93/1074074493.db2.gz RNWJEPDUYDBWBY-AWEZNQCLSA-N 0 0 427.527 -0.435 20 0 IBADRN CN(c1nnc(CN2CCCC2=O)n1CC1(O)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001314702899 1074074435 /nfs/dbraw/zinc/07/44/35/1074074435.db2.gz RNWJEPDUYDBWBY-CQSZACIVSA-N 0 0 427.527 -0.435 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C2CC2)nnc1N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001314703130 1074074371 /nfs/dbraw/zinc/07/43/71/1074074371.db2.gz GHYGTUBJLBLTRR-UHFFFAOYSA-N 0 0 434.588 -0.093 20 0 IBADRN CN(C1CCN(c2nnc([C@@H]3CCOC3)n2CCS(C)(=O)=O)CC1)S(C)(=O)=O ZINC001314703792 1074074782 /nfs/dbraw/zinc/07/47/82/1074074782.db2.gz RFUZDMYNLLRWJL-CYBMUJFWSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C1CCN(c2nnc([C@H]3CCOC3)n2CCS(C)(=O)=O)CC1)S(C)(=O)=O ZINC001314703794 1074074787 /nfs/dbraw/zinc/07/47/87/1074074787.db2.gz RFUZDMYNLLRWJL-ZDUSSCGKSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(C1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2COCCO2)CC1)S(C)(=O)=O ZINC001314703905 1074074733 /nfs/dbraw/zinc/07/47/33/1074074733.db2.gz UGKKIBDCTAMESY-INIZCTEOSA-N 0 0 439.542 -0.046 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(CN2CCCC2=O)n1CCCc1cnn(C)n1 ZINC001314705570 1074074720 /nfs/dbraw/zinc/07/47/20/1074074720.db2.gz KOKDQMMAUINORI-UHFFFAOYSA-N 0 0 445.528 -0.543 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(Cc2cccnc2)n1CCCS(C)(=O)=O ZINC001314705603 1074074711 /nfs/dbraw/zinc/07/47/11/1074074711.db2.gz MBRLRXWHVOUQPA-UHFFFAOYSA-N 0 0 436.538 -0.006 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCOC2)n1CCNC(=O)c1ccn[nH]1 ZINC001314705816 1074074796 /nfs/dbraw/zinc/07/47/96/1074074796.db2.gz BCVDLHNIGVQRTI-HNNXBMFYSA-N 0 0 446.512 -0.380 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCCOC2)n1CCNC(=O)c1ccn[nH]1 ZINC001314705817 1074074775 /nfs/dbraw/zinc/07/47/75/1074074775.db2.gz BCVDLHNIGVQRTI-OAHLLOKOSA-N 0 0 446.512 -0.380 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnccn2)n1CC1(CS(C)(=O)=O)CC1 ZINC001314705838 1074074726 /nfs/dbraw/zinc/07/47/26/1074074726.db2.gz BSPKRVZCVWXORA-UHFFFAOYSA-N 0 0 449.537 -0.145 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1Cc1ncnn1C(C)(C)C ZINC001314705853 1074074760 /nfs/dbraw/zinc/07/47/60/1074074760.db2.gz CERTXSPZGWGIAF-AWEZNQCLSA-N 0 0 445.528 -0.081 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1Cc1ncnn1C(C)(C)C ZINC001314705854 1074074779 /nfs/dbraw/zinc/07/47/79/1074074779.db2.gz CERTXSPZGWGIAF-CQSZACIVSA-N 0 0 445.528 -0.081 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnn(C)c2)n1CCCN1CCOC1=O ZINC001314705919 1074074756 /nfs/dbraw/zinc/07/47/56/1074074756.db2.gz DZRGENQYDDIRNJ-UHFFFAOYSA-N 0 0 432.485 -0.184 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCOC2)n1CC1(C(N)=O)CCOCC1 ZINC001314705927 1074074767 /nfs/dbraw/zinc/07/47/67/1074074767.db2.gz TXYNJZIBUUQKDU-HNNXBMFYSA-N 0 0 436.513 -0.641 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCOC2)n1CC1(C(N)=O)CCOCC1 ZINC001314705929 1074074791 /nfs/dbraw/zinc/07/47/91/1074074791.db2.gz TXYNJZIBUUQKDU-OAHLLOKOSA-N 0 0 436.513 -0.641 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cccnc2)n1CCC(=O)N1CCOCC1 ZINC001314706057 1074074746 /nfs/dbraw/zinc/07/47/46/1074074746.db2.gz HLQYZZRCXYSQSI-UHFFFAOYSA-N 0 0 443.508 -0.116 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1 ZINC001314706081 1074074741 /nfs/dbraw/zinc/07/47/41/1074074741.db2.gz WGLODJHPUQWZJZ-HNNXBMFYSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1 ZINC001314706082 1074074694 /nfs/dbraw/zinc/07/46/94/1074074694.db2.gz WGLODJHPUQWZJZ-OAHLLOKOSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnn(C)c2)n1CCC1(O)CCOCC1 ZINC001314706098 1074074799 /nfs/dbraw/zinc/07/47/99/1074074799.db2.gz IKKLJXLDWSJZNP-UHFFFAOYSA-N 0 0 433.513 -0.095 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2ccccn2)n1CC(=O)N1CCOCC1 ZINC001314706329 1074074705 /nfs/dbraw/zinc/07/47/05/1074074705.db2.gz NLYQHVKKCYLAKY-UHFFFAOYSA-N 0 0 429.481 -0.506 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCOC2)n1CCCS(C)(=O)=O ZINC001314706373 1074074750 /nfs/dbraw/zinc/07/47/50/1074074750.db2.gz OZIBRUYEYBFDFW-HNNXBMFYSA-N 0 0 429.543 -0.098 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCCOC2)n1CCCS(C)(=O)=O ZINC001314706374 1074074771 /nfs/dbraw/zinc/07/47/71/1074074771.db2.gz OZIBRUYEYBFDFW-OAHLLOKOSA-N 0 0 429.543 -0.098 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1CCNC(=O)c1ccco1 ZINC001314706460 1074075040 /nfs/dbraw/zinc/07/50/40/1074075040.db2.gz PSWOKLGXFCYWHK-AWEZNQCLSA-N 0 0 445.480 -0.453 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1CCNC(=O)c1ccco1 ZINC001314706466 1074075157 /nfs/dbraw/zinc/07/51/57/1074075157.db2.gz PSWOKLGXFCYWHK-CQSZACIVSA-N 0 0 445.480 -0.453 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2ccc[nH]2)n1CCNC(=O)c1ccn[nH]1 ZINC001314706553 1074075115 /nfs/dbraw/zinc/07/51/15/1074075115.db2.gz RHNSMOHNGMEAKD-UHFFFAOYSA-N 0 0 427.469 -0.279 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cccnc2)n1CCCNS(C)(=O)=O ZINC001314706791 1074075204 /nfs/dbraw/zinc/07/52/04/1074075204.db2.gz XEZLQWLCHVLQBC-UHFFFAOYSA-N 0 0 437.526 -0.426 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCCCO2)n1CCCNS(C)(=O)=O ZINC001314706870 1074075191 /nfs/dbraw/zinc/07/51/91/1074075191.db2.gz YPDWSVZIUSZKAY-HNNXBMFYSA-N 0 0 444.558 -0.246 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCCO2)n1CCCNS(C)(=O)=O ZINC001314706873 1074075164 /nfs/dbraw/zinc/07/51/64/1074075164.db2.gz YPDWSVZIUSZKAY-OAHLLOKOSA-N 0 0 444.558 -0.246 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001314706928 1074075104 /nfs/dbraw/zinc/07/51/04/1074075104.db2.gz ZBPXPEQNLWMJNO-KBPBESRZSA-N 0 0 433.513 -0.561 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001314706934 1074075185 /nfs/dbraw/zinc/07/51/85/1074075185.db2.gz ZBPXPEQNLWMJNO-KGLIPLIRSA-N 0 0 433.513 -0.561 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1NC(=O)CC1(C)C ZINC001314706937 1074075054 /nfs/dbraw/zinc/07/50/54/1074075054.db2.gz ZBPXPEQNLWMJNO-UONOGXRCSA-N 0 0 433.513 -0.561 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1NC(=O)CC1(C)C ZINC001314706940 1074075137 /nfs/dbraw/zinc/07/51/37/1074075137.db2.gz ZBPXPEQNLWMJNO-ZIAGYGMSSA-N 0 0 433.513 -0.561 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc(CN2CCCC2=O)n1CCNS(C)(=O)=O ZINC001314708837 1074075066 /nfs/dbraw/zinc/07/50/66/1074075066.db2.gz WPKXEBRHSLOTNX-UHFFFAOYSA-N 0 0 429.547 -0.699 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001314709772 1074075081 /nfs/dbraw/zinc/07/50/81/1074075081.db2.gz ILWRGQAFMMNYIX-GFCCVEGCSA-N 0 0 435.572 -0.424 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001314709773 1074075175 /nfs/dbraw/zinc/07/51/75/1074075175.db2.gz ILWRGQAFMMNYIX-LBPRGKRZSA-N 0 0 435.572 -0.424 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001314711255 1074075008 /nfs/dbraw/zinc/07/50/08/1074075008.db2.gz ZKPMUIWPWBQQLD-CHWSQXEVSA-N 0 0 426.543 -0.190 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001314711256 1074075147 /nfs/dbraw/zinc/07/51/47/1074075147.db2.gz ZKPMUIWPWBQQLD-QWHCGFSZSA-N 0 0 426.543 -0.190 20 0 IBADRN CN(CC(F)(F)F)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1 ZINC001314719126 1074075132 /nfs/dbraw/zinc/07/51/32/1074075132.db2.gz GNZLFRDHJKZXHG-BXUZGUMPSA-N 0 0 443.448 -0.239 20 0 IBADRN CN(CC(F)(F)F)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1 ZINC001314719127 1074074967 /nfs/dbraw/zinc/07/49/67/1074074967.db2.gz GNZLFRDHJKZXHG-FZMZJTMJSA-N 0 0 443.448 -0.239 20 0 IBADRN CN(CC(F)(F)F)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1 ZINC001314719128 1074075094 /nfs/dbraw/zinc/07/50/94/1074075094.db2.gz GNZLFRDHJKZXHG-RISCZKNCSA-N 0 0 443.448 -0.239 20 0 IBADRN CN(CC(F)(F)F)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1 ZINC001314719129 1074075211 /nfs/dbraw/zinc/07/52/11/1074075211.db2.gz GNZLFRDHJKZXHG-SMDDNHRTSA-N 0 0 443.448 -0.239 20 0 IBADRN CN(CC(F)(F)F)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCC(=O)N1 ZINC001314720968 1074075221 /nfs/dbraw/zinc/07/52/21/1074075221.db2.gz YFWSTXFWROYIBQ-GHMZBOCLSA-N 0 0 440.448 -0.112 20 0 IBADRN CN(CC(F)(F)F)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCC(=O)N1 ZINC001314720969 1074075498 /nfs/dbraw/zinc/07/54/98/1074075498.db2.gz YFWSTXFWROYIBQ-MNOVXSKESA-N 0 0 440.448 -0.112 20 0 IBADRN CN(Cc1ccccc1)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1 ZINC001314746887 1074075455 /nfs/dbraw/zinc/07/54/55/1074075455.db2.gz OUHQYSAJJHMSDH-INIZCTEOSA-N 0 0 446.533 -0.225 20 0 IBADRN CN(Cc1ccccc1)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)C1 ZINC001314746888 1074075550 /nfs/dbraw/zinc/07/55/50/1074075550.db2.gz OUHQYSAJJHMSDH-MRXNPFEDSA-N 0 0 446.533 -0.225 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(C)(C)O ZINC001314760527 1074075444 /nfs/dbraw/zinc/07/54/44/1074075444.db2.gz CMPXGVWADISTAN-AWEZNQCLSA-N 0 0 427.531 -0.248 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(C)(C)O ZINC001314760543 1074075430 /nfs/dbraw/zinc/07/54/30/1074075430.db2.gz CMPXGVWADISTAN-CQSZACIVSA-N 0 0 427.531 -0.248 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001314760544 1074075420 /nfs/dbraw/zinc/07/54/20/1074075420.db2.gz COELUTROGVIICB-DZGCQCFKSA-N 0 0 436.542 -0.119 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001314760545 1074075559 /nfs/dbraw/zinc/07/55/59/1074075559.db2.gz COELUTROGVIICB-HIFRSBDPSA-N 0 0 436.542 -0.119 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001314760546 1074075610 /nfs/dbraw/zinc/07/56/10/1074075610.db2.gz COELUTROGVIICB-UKRRQHHQSA-N 0 0 436.542 -0.119 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001314760547 1074075600 /nfs/dbraw/zinc/07/56/00/1074075600.db2.gz COELUTROGVIICB-ZFWWWQNUSA-N 0 0 436.542 -0.119 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@@H]2CCC(=O)N2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314761805 1074075584 /nfs/dbraw/zinc/07/55/84/1074075584.db2.gz UTCNJEQTKUWTSL-AWEZNQCLSA-N 0 0 437.526 -0.461 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc([C@H]2CCC(=O)N2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314761806 1074075529 /nfs/dbraw/zinc/07/55/29/1074075529.db2.gz UTCNJEQTKUWTSL-CQSZACIVSA-N 0 0 437.526 -0.461 20 0 IBADRN CN(Cc1ncc(Cl)n1C)c1nnc([C@@H]2CCC(=O)N2)n1CCNS(C)(=O)=O ZINC001314774515 1074075595 /nfs/dbraw/zinc/07/55/95/1074075595.db2.gz STDPSUZPXOAGGK-JTQLQIEISA-N 0 0 430.922 -0.198 20 0 IBADRN CN(Cc1ncc(Cl)n1C)c1nnc([C@H]2CCC(=O)N2)n1CCNS(C)(=O)=O ZINC001314774516 1074075470 /nfs/dbraw/zinc/07/54/70/1074075470.db2.gz STDPSUZPXOAGGK-SNVBAGLBSA-N 0 0 430.922 -0.198 20 0 IBADRN CN(Cc1ccon1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCCS1(=O)=O ZINC001314779701 1074075487 /nfs/dbraw/zinc/07/54/87/1074075487.db2.gz VQLYZSPQZYHVDD-CYBMUJFWSA-N 0 0 444.539 -0.160 20 0 IBADRN CN(Cc1ccon1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCCS1(=O)=O ZINC001314779702 1074075507 /nfs/dbraw/zinc/07/55/07/1074075507.db2.gz VQLYZSPQZYHVDD-ZDUSSCGKSA-N 0 0 444.539 -0.160 20 0 IBADRN CN(C[C@@H]1CCOC1)c1nnc(CN2CCCC2=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314814019 1074075575 /nfs/dbraw/zinc/07/55/75/1074075575.db2.gz PDFLLUXZJLYIOU-HNNXBMFYSA-N 0 0 441.554 -0.187 20 0 IBADRN CN(C[C@H]1CCOC1)c1nnc(CN2CCCC2=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314814021 1074075619 /nfs/dbraw/zinc/07/56/19/1074075619.db2.gz PDFLLUXZJLYIOU-OAHLLOKOSA-N 0 0 441.554 -0.187 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC1CCOCC1 ZINC001314816392 1074075567 /nfs/dbraw/zinc/07/55/67/1074075567.db2.gz ARKXMXMEHFPXIM-HNNXBMFYSA-N 0 0 449.599 -0.066 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC1CCOCC1 ZINC001314816393 1074075518 /nfs/dbraw/zinc/07/55/18/1074075518.db2.gz ARKXMXMEHFPXIM-OAHLLOKOSA-N 0 0 449.599 -0.066 20 0 IBADRN CN(CCC(F)F)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nncn1C ZINC001314828368 1074075541 /nfs/dbraw/zinc/07/55/41/1074075541.db2.gz VERMWWGAQZAQFX-LLVKDONJSA-N 0 0 434.473 -0.121 20 0 IBADRN CN(CCC(F)F)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nncn1C ZINC001314828369 1074075772 /nfs/dbraw/zinc/07/57/72/1074075772.db2.gz VERMWWGAQZAQFX-NSHDSACASA-N 0 0 434.473 -0.121 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001314853591 1074075891 /nfs/dbraw/zinc/07/58/91/1074075891.db2.gz IPCORXNIKDAZCK-AWEZNQCLSA-N 0 0 447.583 -0.180 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001314853592 1074075914 /nfs/dbraw/zinc/07/59/14/1074075914.db2.gz IPCORXNIKDAZCK-CQSZACIVSA-N 0 0 447.583 -0.180 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CC1(S(C)(=O)=O)CC1 ZINC001314854035 1074075873 /nfs/dbraw/zinc/07/58/73/1074075873.db2.gz NSKSPHPHEUGINF-UHFFFAOYSA-N 0 0 447.583 -0.038 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CCNC(=O)C1CC1 ZINC001314854641 1074075781 /nfs/dbraw/zinc/07/57/81/1074075781.db2.gz SHFVJIYVZKYLMI-UHFFFAOYSA-N 0 0 426.543 -0.089 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001314855330 1074075789 /nfs/dbraw/zinc/07/57/89/1074075789.db2.gz JRRJSZKUSXAQMK-CABCVRRESA-N 0 0 449.599 -0.066 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001314855332 1074075957 /nfs/dbraw/zinc/07/59/57/1074075957.db2.gz JRRJSZKUSXAQMK-GJZGRUSLSA-N 0 0 449.599 -0.066 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001314855334 1074075864 /nfs/dbraw/zinc/07/58/64/1074075864.db2.gz JRRJSZKUSXAQMK-HUUCEWRRSA-N 0 0 449.599 -0.066 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001314855336 1074075906 /nfs/dbraw/zinc/07/59/06/1074075906.db2.gz JRRJSZKUSXAQMK-LSDHHAIUSA-N 0 0 449.599 -0.066 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1cnn(C)c1 ZINC001314856292 1074075932 /nfs/dbraw/zinc/07/59/32/1074075932.db2.gz RKPIDGOYOFHICE-AWEZNQCLSA-N 0 0 430.556 -0.167 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1cnn(C)c1 ZINC001314856293 1074075836 /nfs/dbraw/zinc/07/58/36/1074075836.db2.gz RKPIDGOYOFHICE-CQSZACIVSA-N 0 0 430.556 -0.167 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1CCC(=O)N1CCOCC1 ZINC001314857054 1074075940 /nfs/dbraw/zinc/07/59/40/1074075940.db2.gz XLEIFNKWDPZAHD-HNNXBMFYSA-N 0 0 429.543 -0.098 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1CCC(=O)N1CCOCC1 ZINC001314857055 1074075949 /nfs/dbraw/zinc/07/59/49/1074075949.db2.gz XLEIFNKWDPZAHD-OAHLLOKOSA-N 0 0 429.543 -0.098 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CC1(N2CCOCC2)CC1 ZINC001314857594 1074075924 /nfs/dbraw/zinc/07/59/24/1074075924.db2.gz UBDFMIDJWPFGOZ-HNNXBMFYSA-N 0 0 440.570 -0.035 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CC1(N2CCOCC2)CC1 ZINC001314857595 1074075855 /nfs/dbraw/zinc/07/58/55/1074075855.db2.gz UBDFMIDJWPFGOZ-OAHLLOKOSA-N 0 0 440.570 -0.035 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CCC(=O)N1CCOCC1 ZINC001314857622 1074075747 /nfs/dbraw/zinc/07/57/47/1074075747.db2.gz UZTBXGOEKCTOQB-AWEZNQCLSA-N 0 0 442.542 -0.651 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CCC(=O)N1CCOCC1 ZINC001314857623 1074075899 /nfs/dbraw/zinc/07/58/99/1074075899.db2.gz UZTBXGOEKCTOQB-CQSZACIVSA-N 0 0 442.542 -0.651 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCCO2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001314859750 1074075882 /nfs/dbraw/zinc/07/58/82/1074075882.db2.gz DJAMXTPPRCTYAR-QWHCGFSZSA-N 0 0 428.515 -0.011 20 0 IBADRN CN(CCn1c(C2CC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1)CC(F)(F)F ZINC001314860245 1074075800 /nfs/dbraw/zinc/07/58/00/1074075800.db2.gz DAXNHLYSXUDOTR-UHFFFAOYSA-N 0 0 442.450 -0.015 20 0 IBADRN CN(CCn1cccn1)c1nnc(C(=O)N2CCCC2)n1CCN1CCCS1(=O)=O ZINC001314867890 1074075756 /nfs/dbraw/zinc/07/57/56/1074075756.db2.gz FCDOQFPOSAWUQC-UHFFFAOYSA-N 0 0 436.542 -0.118 20 0 IBADRN CN(CCn1cccn1)c1nnc(C(=O)N2CCCC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001314868519 1074076411 /nfs/dbraw/zinc/07/64/11/1074076411.db2.gz PIPSLUWPCSYYEO-AWEZNQCLSA-N 0 0 429.485 -0.165 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O ZINC001314869636 1074076344 /nfs/dbraw/zinc/07/63/44/1074076344.db2.gz DDTWWZAVZDIINI-UHFFFAOYSA-N 0 0 428.559 -0.283 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(C(=O)N2CCCC2)n1CCNC(=O)c1ccn[nH]1 ZINC001314870014 1074076391 /nfs/dbraw/zinc/07/63/91/1074076391.db2.gz MHFVTKTUQFMTFD-UHFFFAOYSA-N 0 0 445.528 -0.564 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(-c2cnn(C)c2)n1CCCNS(C)(=O)=O ZINC001314870143 1074076255 /nfs/dbraw/zinc/07/62/55/1074076255.db2.gz BWRWGBCFENVRQX-UHFFFAOYSA-N 0 0 426.547 -0.614 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(-c2cnn(C)c2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001314870368 1074076401 /nfs/dbraw/zinc/07/64/01/1074076401.db2.gz CXAPADYYPJUHQE-HNNXBMFYSA-N 0 0 431.501 -0.565 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(-c2cnccn2)n1CCC(=O)N1CCOCC1 ZINC001314871001 1074076213 /nfs/dbraw/zinc/07/62/13/1074076213.db2.gz JSOUGFFPWAFFIS-UHFFFAOYSA-N 0 0 430.513 -0.248 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001314872089 1074076321 /nfs/dbraw/zinc/07/63/21/1074076321.db2.gz VAZVPVUFCROURO-UONOGXRCSA-N 0 0 430.531 -0.961 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C(=O)Nc2ccccn2)n1Cc1ccn(C)n1 ZINC001314872169 1074076416 /nfs/dbraw/zinc/07/64/16/1074076416.db2.gz WGDUTGUTWVGZMY-UHFFFAOYSA-N 0 0 433.498 -0.307 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@H]1NC(=O)CC1(C)C ZINC001314872200 1074076385 /nfs/dbraw/zinc/07/63/85/1074076385.db2.gz WJQFQCUXXIWHPB-CYBMUJFWSA-N 0 0 441.558 -0.586 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001314872205 1074076368 /nfs/dbraw/zinc/07/63/68/1074076368.db2.gz WJQFQCUXXIWHPB-ZDUSSCGKSA-N 0 0 441.558 -0.586 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)COCCO1 ZINC001314873802 1074076277 /nfs/dbraw/zinc/07/62/77/1074076277.db2.gz INUVDUDLWMSLTD-APWZRJJASA-N 0 0 443.570 -0.246 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)COCCO1 ZINC001314873804 1074076379 /nfs/dbraw/zinc/07/63/79/1074076379.db2.gz INUVDUDLWMSLTD-LPHOPBHVSA-N 0 0 443.570 -0.246 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(C)COCCO1 ZINC001314873806 1074076202 /nfs/dbraw/zinc/07/62/02/1074076202.db2.gz INUVDUDLWMSLTD-QFBILLFUSA-N 0 0 443.570 -0.246 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)COCCO1 ZINC001314873808 1074076331 /nfs/dbraw/zinc/07/63/31/1074076331.db2.gz INUVDUDLWMSLTD-VQIMIIECSA-N 0 0 443.570 -0.246 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2cccn2C)n1CC1(C(N)=O)CCOCC1 ZINC001314873909 1074076192 /nfs/dbraw/zinc/07/61/92/1074076192.db2.gz NSDJGSZAXKYAAS-UHFFFAOYSA-N 0 0 439.542 -0.449 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2cnn(C)c2)n1CC1CCS(=O)(=O)CC1 ZINC001314873995 1074076242 /nfs/dbraw/zinc/07/62/42/1074076242.db2.gz QUEJHVQDHCAZPM-UHFFFAOYSA-N 0 0 445.571 -0.511 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCCOC2)n1CCCN1CCOCC1 ZINC001314874621 1074076289 /nfs/dbraw/zinc/07/62/89/1074076289.db2.gz BEVXSKNNLWUVNO-INIZCTEOSA-N 0 0 430.575 -0.120 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCCOC2)n1CCCN1CCOCC1 ZINC001314874622 1074076305 /nfs/dbraw/zinc/07/63/05/1074076305.db2.gz BEVXSKNNLWUVNO-MRXNPFEDSA-N 0 0 430.575 -0.120 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2cnccn2)n1CCN1CCOC(C)(C)C1 ZINC001314874710 1074076352 /nfs/dbraw/zinc/07/63/52/1074076352.db2.gz DLWQLMBOFORLOD-UHFFFAOYSA-N 0 0 438.558 -0.169 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCCCO2)n1CC(=O)N1CCOCC1 ZINC001314874847 1074076733 /nfs/dbraw/zinc/07/67/33/1074076733.db2.gz IMYGVIMHLUTBFO-AWEZNQCLSA-N 0 0 430.531 -0.636 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCCCO2)n1CC(=O)N1CCOCC1 ZINC001314874848 1074076727 /nfs/dbraw/zinc/07/67/27/1074076727.db2.gz IMYGVIMHLUTBFO-CQSZACIVSA-N 0 0 430.531 -0.636 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CCCN1CCCCC1=O ZINC001314874864 1074076569 /nfs/dbraw/zinc/07/65/69/1074076569.db2.gz IRSOXQHUZSMGOH-AWEZNQCLSA-N 0 0 441.558 -0.383 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CCCN1CCCCC1=O ZINC001314874865 1074076717 /nfs/dbraw/zinc/07/67/17/1074076717.db2.gz IRSOXQHUZSMGOH-CQSZACIVSA-N 0 0 441.558 -0.383 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CC1(O)CC1 ZINC001314874904 1074076624 /nfs/dbraw/zinc/07/66/24/1074076624.db2.gz JMYUHIGCUASVTO-UHFFFAOYSA-N 0 0 447.521 -0.298 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1CCNC(=O)c1ccco1 ZINC001314874922 1074076697 /nfs/dbraw/zinc/07/66/97/1074076697.db2.gz JVNWMNLHHKZXNQ-CYBMUJFWSA-N 0 0 426.499 -0.210 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1CCNC(=O)c1ccco1 ZINC001314874923 1074076707 /nfs/dbraw/zinc/07/67/07/1074076707.db2.gz JVNWMNLHHKZXNQ-ZDUSSCGKSA-N 0 0 426.499 -0.210 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CC(C)(C)O ZINC001314874930 1074076771 /nfs/dbraw/zinc/07/67/71/1074076771.db2.gz JZCHSWCPLMNWMA-UHFFFAOYSA-N 0 0 449.537 -0.052 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(Cc2cccnc2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001314875005 1074076649 /nfs/dbraw/zinc/07/66/49/1074076649.db2.gz AUOVVLARSIEBMJ-HNNXBMFYSA-N 0 0 442.567 -0.316 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(Cc2cccnc2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001314875006 1074076660 /nfs/dbraw/zinc/07/66/60/1074076660.db2.gz AUOVVLARSIEBMJ-OAHLLOKOSA-N 0 0 442.567 -0.316 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(Cc2cn3ccccc3n2)n1C[C@H]1COCCO1 ZINC001314875072 1074076741 /nfs/dbraw/zinc/07/67/41/1074076741.db2.gz DDNDCNFQPYODLC-INIZCTEOSA-N 0 0 449.537 -0.083 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(Cc2cccnc2)n1CC1(S(C)(=O)=O)CC1 ZINC001314875099 1074076764 /nfs/dbraw/zinc/07/67/64/1074076764.db2.gz FKSZHKODZGUSOW-UHFFFAOYSA-N 0 0 442.567 -0.174 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1Cc1cccc2c1OCO2 ZINC001314875195 1074076749 /nfs/dbraw/zinc/07/67/49/1074076749.db2.gz LIXPYABFENHDOM-CYBMUJFWSA-N 0 0 436.494 -0.008 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1Cc1cccc2c1OCO2 ZINC001314875196 1074076756 /nfs/dbraw/zinc/07/67/56/1074076756.db2.gz LIXPYABFENHDOM-ZDUSSCGKSA-N 0 0 436.494 -0.008 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1CC1(N2CCOCC2)CC1 ZINC001314875251 1074076678 /nfs/dbraw/zinc/07/66/78/1074076678.db2.gz MVRJLBMHRJKZAK-HNNXBMFYSA-N 0 0 428.559 -0.368 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1CC1(N2CCOCC2)CC1 ZINC001314875252 1074076581 /nfs/dbraw/zinc/07/65/81/1074076581.db2.gz MVRJLBMHRJKZAK-OAHLLOKOSA-N 0 0 428.559 -0.368 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCc1nccs1 ZINC001314875255 1074076604 /nfs/dbraw/zinc/07/66/04/1074076604.db2.gz NAOPNYHEPPGJCW-GFCCVEGCSA-N 0 0 448.596 -0.135 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCc1nccs1 ZINC001314875256 1074076615 /nfs/dbraw/zinc/07/66/15/1074076615.db2.gz NAOPNYHEPPGJCW-LBPRGKRZSA-N 0 0 448.596 -0.135 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@@]1(C)COCCO1 ZINC001314875677 1074076638 /nfs/dbraw/zinc/07/66/38/1074076638.db2.gz RUNSIKPKENQMHJ-KRWDZBQOSA-N 0 0 430.531 -0.809 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@]1(C)COCCO1 ZINC001314875678 1074076669 /nfs/dbraw/zinc/07/66/69/1074076669.db2.gz RUNSIKPKENQMHJ-QGZVFWFLSA-N 0 0 430.531 -0.809 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CCNC(=O)C(C)(C)C ZINC001314876256 1074076909 /nfs/dbraw/zinc/07/69/09/1074076909.db2.gz UPUQSERGDJMTRJ-GFCCVEGCSA-N 0 0 429.547 -0.623 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CCNC(=O)C(C)(C)C ZINC001314876257 1074076954 /nfs/dbraw/zinc/07/69/54/1074076954.db2.gz UPUQSERGDJMTRJ-LBPRGKRZSA-N 0 0 429.547 -0.623 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CCCO1 ZINC001314876610 1074077109 /nfs/dbraw/zinc/07/71/09/1074077109.db2.gz ZGODVFMIFPDDHL-BBRMVZONSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CCCO1 ZINC001314876611 1074077034 /nfs/dbraw/zinc/07/70/34/1074077034.db2.gz ZGODVFMIFPDDHL-CJNGLKHVSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CCCO1 ZINC001314876612 1074076932 /nfs/dbraw/zinc/07/69/32/1074076932.db2.gz ZGODVFMIFPDDHL-CZUORRHYSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CCCO1 ZINC001314876613 1074076974 /nfs/dbraw/zinc/07/69/74/1074076974.db2.gz ZGODVFMIFPDDHL-XJKSGUPXSA-N 0 0 435.572 -0.265 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(Cc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314887157 1074077120 /nfs/dbraw/zinc/07/71/20/1074077120.db2.gz IKAKXTROUQUSHG-KRWDZBQOSA-N 0 0 443.551 -0.706 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(Cc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314887158 1074076995 /nfs/dbraw/zinc/07/69/95/1074076995.db2.gz IKAKXTROUQUSHG-QGZVFWFLSA-N 0 0 443.551 -0.706 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccccn2)nnc1N(C)CCS(C)(=O)=O ZINC001314887198 1074076942 /nfs/dbraw/zinc/07/69/42/1074076942.db2.gz KOWIHWRHBLPSNU-UHFFFAOYSA-N 0 0 430.556 -0.364 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001314887674 1074076961 /nfs/dbraw/zinc/07/69/61/1074076961.db2.gz CWOQADNVWXHUFQ-CYBMUJFWSA-N 0 0 433.556 -0.813 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(Cc2ccccn2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001314887738 1074077098 /nfs/dbraw/zinc/07/70/98/1074077098.db2.gz XJDLZNNSNRAPEC-AWEZNQCLSA-N 0 0 435.510 -0.267 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001314887890 1074077058 /nfs/dbraw/zinc/07/70/58/1074077058.db2.gz CWOQADNVWXHUFQ-ZDUSSCGKSA-N 0 0 433.556 -0.813 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(F)(F)F ZINC001314888580 1074076984 /nfs/dbraw/zinc/07/69/84/1074076984.db2.gz NVOFRIOMTNLHCL-JTQLQIEISA-N 0 0 449.477 -0.346 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(F)(F)F ZINC001314888581 1074076920 /nfs/dbraw/zinc/07/69/20/1074076920.db2.gz NVOFRIOMTNLHCL-SNVBAGLBSA-N 0 0 449.477 -0.346 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCc1ccn(C)n1 ZINC001314889580 1074077068 /nfs/dbraw/zinc/07/70/68/1074077068.db2.gz WXOZZAVBFOQODR-CYBMUJFWSA-N 0 0 430.556 -0.363 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCc1ccn(C)n1 ZINC001314889581 1074077077 /nfs/dbraw/zinc/07/70/77/1074077077.db2.gz WXOZZAVBFOQODR-ZDUSSCGKSA-N 0 0 430.556 -0.363 20 0 IBADRN CN1C(=O)N[C@@H](C2CCN(c3nnc(CC4CC4)n3CCNS(C)(=O)=O)CC2)C1=O ZINC001314893479 1074077008 /nfs/dbraw/zinc/07/70/08/1074077008.db2.gz BLOOKDJKNUMRGK-HNNXBMFYSA-N 0 0 439.542 -0.454 20 0 IBADRN CN1C(=O)N[C@H](C2CCN(c3nnc(CC4CC4)n3CCNS(C)(=O)=O)CC2)C1=O ZINC001314893482 1074077484 /nfs/dbraw/zinc/07/74/84/1074077484.db2.gz BLOOKDJKNUMRGK-OAHLLOKOSA-N 0 0 439.542 -0.454 20 0 IBADRN Cn1cc(-c2nnc(N3CCC([C@@H]4NC(=O)N(C)C4=O)CC3)n2CCOCCCO)cn1 ZINC001314893713 1074077476 /nfs/dbraw/zinc/07/74/76/1074077476.db2.gz FVJAZXBSHRTWQS-INIZCTEOSA-N 0 0 446.512 -0.156 20 0 IBADRN Cn1cc(-c2nnc(N3CCC([C@H]4NC(=O)N(C)C4=O)CC3)n2CCOCCCO)cn1 ZINC001314893714 1074077465 /nfs/dbraw/zinc/07/74/65/1074077465.db2.gz FVJAZXBSHRTWQS-MRXNPFEDSA-N 0 0 446.512 -0.156 20 0 IBADRN CN1C(=O)C[C@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCCNS(C)(=O)=O)CC[C@H]21 ZINC001314894015 1074077456 /nfs/dbraw/zinc/07/74/56/1074077456.db2.gz ILAXCBMMKVITJQ-BFHYXJOUSA-N 0 0 439.542 -0.775 20 0 IBADRN CN1C(=O)C[C@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCCNS(C)(=O)=O)CC[C@H]21 ZINC001314894016 1074077340 /nfs/dbraw/zinc/07/73/40/1074077340.db2.gz ILAXCBMMKVITJQ-MELADBBJSA-N 0 0 439.542 -0.775 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc(Cc4ccccn4)n3CCS(C)(=O)=O)CC2)C1=O ZINC001314894052 1074077376 /nfs/dbraw/zinc/07/73/76/1074077376.db2.gz JROOGTMWGXORCL-UHFFFAOYSA-N 0 0 447.521 -0.171 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3CC(C)(C)O)CC2)C1=O ZINC001314894101 1074077430 /nfs/dbraw/zinc/07/74/30/1074077430.db2.gz KTDIPDIJEXZHTQ-GFCCVEGCSA-N 0 0 440.526 -0.528 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc([C@H]4CCS(=O)(=O)C4)n3CC(C)(C)O)CC2)C1=O ZINC001314894202 1074077349 /nfs/dbraw/zinc/07/73/49/1074077349.db2.gz KTDIPDIJEXZHTQ-LBPRGKRZSA-N 0 0 440.526 -0.528 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc(-c4cccnc4)n3CCS(C)(=O)=O)CC2)C1=O ZINC001314895114 1074077435 /nfs/dbraw/zinc/07/74/35/1074077435.db2.gz SDEVZMFDXXLJPC-UHFFFAOYSA-N 0 0 433.494 -0.095 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@](C)(C(N)=O)C3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC001314897149 1074077486 /nfs/dbraw/zinc/07/74/86/1074077486.db2.gz YBOBJIMCAAKMAD-ACJLOTCBSA-N 0 0 436.542 -0.338 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@](C)(C(N)=O)C3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC001314897150 1074077359 /nfs/dbraw/zinc/07/73/59/1074077359.db2.gz YBOBJIMCAAKMAD-FZKQIMNGSA-N 0 0 436.542 -0.338 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@](C)(C(N)=O)C3)n2C[C@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC001314897151 1074077409 /nfs/dbraw/zinc/07/74/09/1074077409.db2.gz YBOBJIMCAAKMAD-SCLBCKFNSA-N 0 0 436.542 -0.338 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@](C)(C(N)=O)C3)n2C[C@H]2CCN(S(C)(=O)=O)C2)cn1 ZINC001314897152 1074077421 /nfs/dbraw/zinc/07/74/21/1074077421.db2.gz YBOBJIMCAAKMAD-UGSOOPFHSA-N 0 0 436.542 -0.338 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(CNS(C)(=O)=O)CC3)n2C[C@H]2COCCO2)cn1 ZINC001314898336 1074077368 /nfs/dbraw/zinc/07/73/68/1074077368.db2.gz AQZSUWUYCJEDIS-INIZCTEOSA-N 0 0 439.542 -0.140 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)N4CCOCC4)CC3)n2CCOCCCO)cn1 ZINC001314899319 1074077398 /nfs/dbraw/zinc/07/73/98/1074077398.db2.gz BVCAGYOFDMPHHA-UHFFFAOYSA-N 0 0 448.528 -0.348 20 0 IBADRN Cn1cc(-c2nnc(N3CCCN(C(=O)C4CC4)CC3)n2CCNS(C)(=O)=O)cn1 ZINC001314899466 1074077446 /nfs/dbraw/zinc/07/74/46/1074077446.db2.gz DAZHKDIURMYNSS-UHFFFAOYSA-N 0 0 436.542 -0.324 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@H](N4CCCC4=O)C3)n2CCCNS(C)(=O)=O)cn1 ZINC001314900049 1074077406 /nfs/dbraw/zinc/07/74/06/1074077406.db2.gz MCLTVKJTGZZLLC-HNNXBMFYSA-N 0 0 436.542 -0.181 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@H](N4CCCC4=O)C3)n2CCCNS(C)(=O)=O)cn1 ZINC001314900052 1074077415 /nfs/dbraw/zinc/07/74/15/1074077415.db2.gz MCLTVKJTGZZLLC-OAHLLOKOSA-N 0 0 436.542 -0.181 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@H](S(C)(=O)=O)C3)n2CC(=O)NCC(F)(F)F)cn1 ZINC001314902312 1074077383 /nfs/dbraw/zinc/07/73/83/1074077383.db2.gz KYBKGIQVCSZVOI-LLVKDONJSA-N 0 0 435.432 -0.020 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@H](S(C)(=O)=O)C3)n2CC(=O)NCC(F)(F)F)cn1 ZINC001314902313 1074077392 /nfs/dbraw/zinc/07/73/92/1074077392.db2.gz KYBKGIQVCSZVOI-NSHDSACASA-N 0 0 435.432 -0.020 20 0 IBADRN Cn1cc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCC(S(C)(=O)=O)CC2)cn1 ZINC001314902961 1074077772 /nfs/dbraw/zinc/07/77/72/1074077772.db2.gz XULVPFMMPAOCIL-AWEZNQCLSA-N 0 0 442.567 -0.025 20 0 IBADRN Cn1cc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCC(S(C)(=O)=O)CC2)cn1 ZINC001314902965 1074077828 /nfs/dbraw/zinc/07/78/28/1074077828.db2.gz XULVPFMMPAOCIL-CQSZACIVSA-N 0 0 442.567 -0.025 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(S(C)(=O)=O)CC3)n2CC(=O)N2CCOCC2)cn1 ZINC001314903294 1074077863 /nfs/dbraw/zinc/07/78/63/1074077863.db2.gz RYPDRSXJIIKMEY-UHFFFAOYSA-N 0 0 437.526 -0.449 20 0 IBADRN Cn1cc(-c2nnc(N3CCNC(=O)C3)n2CC2(NC(=O)OC(C)(C)C)COC2)cn1 ZINC001314903509 1074077760 /nfs/dbraw/zinc/07/77/60/1074077760.db2.gz AFEIURKSUAAASZ-UHFFFAOYSA-N 0 0 432.485 -0.092 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(CC(F)F)CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)cn1 ZINC001314904615 1074077832 /nfs/dbraw/zinc/07/78/32/1074077832.db2.gz RMQJHFHBKBIXIM-KRWDZBQOSA-N 0 0 445.496 -0.385 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(CC(F)F)CC3)n2C[C@]2(O)CCS(=O)(=O)C2)cn1 ZINC001314904616 1074077848 /nfs/dbraw/zinc/07/78/48/1074077848.db2.gz RMQJHFHBKBIXIM-QGZVFWFLSA-N 0 0 445.496 -0.385 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(CC(=O)N4CCCC4)CC3)n2CCOCCCO)cn1 ZINC001314904626 1074077810 /nfs/dbraw/zinc/07/78/10/1074077810.db2.gz RWANBSDQBJTQJY-UHFFFAOYSA-N 0 0 446.556 -0.178 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CC2([S@@](C)=O)CC2)cn1 ZINC001314904745 1074077822 /nfs/dbraw/zinc/07/78/22/1074077822.db2.gz XEBKBZIDCSVSHE-HHHXNRCGSA-N 0 0 427.556 -0.329 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CC2([S@](C)=O)CC2)cn1 ZINC001314904746 1074077852 /nfs/dbraw/zinc/07/78/52/1074077852.db2.gz XEBKBZIDCSVSHE-MHZLTWQESA-N 0 0 427.556 -0.329 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCCOCC(F)F)cn1 ZINC001314904751 1074077767 /nfs/dbraw/zinc/07/77/67/1074077767.db2.gz XTFCSBXJQAGAEK-CYBMUJFWSA-N 0 0 438.439 -0.503 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCCOCC(F)F)cn1 ZINC001314904752 1074077741 /nfs/dbraw/zinc/07/77/41/1074077741.db2.gz XTFCSBXJQAGAEK-ZDUSSCGKSA-N 0 0 438.439 -0.503 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CCNC(=O)c2ccco2)cn1 ZINC001314904799 1074077779 /nfs/dbraw/zinc/07/77/79/1074077779.db2.gz ZXUSDQNGLTYWCB-UHFFFAOYSA-N 0 0 448.509 -0.217 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCC(=O)NC(C)(C)C)cn1 ZINC001314904800 1074077755 /nfs/dbraw/zinc/07/77/55/1074077755.db2.gz ZYHBTEIHHPVJQF-AWEZNQCLSA-N 0 0 443.512 -0.870 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCC(=O)NC(C)(C)C)cn1 ZINC001314904801 1074077838 /nfs/dbraw/zinc/07/78/38/1074077838.db2.gz ZYHBTEIHHPVJQF-CQSZACIVSA-N 0 0 443.512 -0.870 20 0 IBADRN Cn1cc(Cn2c(CN3CCCC3=O)nnc2N2CCC(NS(C)(=O)=O)CC2)cn1 ZINC001314904818 1074077844 /nfs/dbraw/zinc/07/78/44/1074077844.db2.gz ATXCGMGIAYCCBN-UHFFFAOYSA-N 0 0 436.542 -0.300 20 0 IBADRN Cn1cc(N2CCN(c3nnc(C(=O)N4CCCC4)n3CCS(C)(=O)=O)CC2)cn1 ZINC001314904839 1074077797 /nfs/dbraw/zinc/07/77/97/1074077797.db2.gz BWQRUYMFVACITN-UHFFFAOYSA-N 0 0 436.542 -0.381 20 0 IBADRN Cn1cc(Nc2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC2CC2)cn1 ZINC001314906051 1074077859 /nfs/dbraw/zinc/07/78/59/1074077859.db2.gz UBEXZDXXOZBPST-AWEZNQCLSA-N 0 0 438.514 -0.386 20 0 IBADRN Cn1cc(Nc2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC2CC2)cn1 ZINC001314906055 1074077802 /nfs/dbraw/zinc/07/78/02/1074077802.db2.gz UBEXZDXXOZBPST-CQSZACIVSA-N 0 0 438.514 -0.386 20 0 IBADRN Cn1ccc(CCn2c(C(=O)N3CCCC3)nnc2N2CCC(OCC(N)=O)CC2)n1 ZINC001314906291 1074077783 /nfs/dbraw/zinc/07/77/83/1074077783.db2.gz HMDABAHGMHLJQE-UHFFFAOYSA-N 0 0 430.513 -0.039 20 0 IBADRN Cn1ccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(CNS(C)(=O)=O)CC2)n1 ZINC001314907853 1074077790 /nfs/dbraw/zinc/07/77/90/1074077790.db2.gz BCHHKORZRZFPIE-HNNXBMFYSA-N 0 0 436.542 -0.223 20 0 IBADRN Cn1ccc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC(CNS(C)(=O)=O)CC2)n1 ZINC001314907854 1074078194 /nfs/dbraw/zinc/07/81/94/1074078194.db2.gz BCHHKORZRZFPIE-OAHLLOKOSA-N 0 0 436.542 -0.223 20 0 IBADRN Cn1cc(N2CCN(c3nnc(-c4cccnc4)n3CCS(C)(=O)=O)CC2=O)cn1 ZINC001314907990 1074078182 /nfs/dbraw/zinc/07/81/82/1074078182.db2.gz QKSROGIMQHDVNB-UHFFFAOYSA-N 0 0 430.494 -0.029 20 0 IBADRN Cn1cc(N2CCN(c3nnc(-c4cccn4C)n3CCNS(C)(=O)=O)CC2=O)cn1 ZINC001314908336 1074078107 /nfs/dbraw/zinc/07/81/07/1074078107.db2.gz UOZGROXIWFCNJZ-UHFFFAOYSA-N 0 0 447.525 -0.581 20 0 IBADRN Cn1cc(N2CCN(c3nnc([C@H]4CCOC4)n3CCCNS(C)(=O)=O)CC2)cn1 ZINC001314908663 1074078096 /nfs/dbraw/zinc/07/80/96/1074078096.db2.gz XWVIBQCSGPJQAX-HNNXBMFYSA-N 0 0 438.558 -0.219 20 0 IBADRN Cn1cc(N2CCN(c3nnc([C@@H]4CCOC4)n3CCCNS(C)(=O)=O)CC2)cn1 ZINC001314908664 1074078155 /nfs/dbraw/zinc/07/81/55/1074078155.db2.gz XWVIBQCSGPJQAX-OAHLLOKOSA-N 0 0 438.558 -0.219 20 0 IBADRN Cn1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCC[C@@H](S(C)(=O)=O)C2)n1 ZINC001314909202 1074078059 /nfs/dbraw/zinc/07/80/59/1074078059.db2.gz ZIIQNHDEPOMHOG-DZGCQCFKSA-N 0 0 442.567 -0.025 20 0 IBADRN Cn1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCC[C@H](S(C)(=O)=O)C2)n1 ZINC001314909203 1074078129 /nfs/dbraw/zinc/07/81/29/1074078129.db2.gz ZIIQNHDEPOMHOG-HIFRSBDPSA-N 0 0 442.567 -0.025 20 0 IBADRN Cn1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCC[C@@H](S(C)(=O)=O)C2)n1 ZINC001314909204 1074078161 /nfs/dbraw/zinc/07/81/61/1074078161.db2.gz ZIIQNHDEPOMHOG-UKRRQHHQSA-N 0 0 442.567 -0.025 20 0 IBADRN Cn1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCC[C@H](S(C)(=O)=O)C2)n1 ZINC001314909205 1074078117 /nfs/dbraw/zinc/07/81/17/1074078117.db2.gz ZIIQNHDEPOMHOG-ZFWWWQNUSA-N 0 0 442.567 -0.025 20 0 IBADRN Cn1cccc1-c1nnc(N2CC[C@@](C)(C(N)=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314911694 1074078190 /nfs/dbraw/zinc/07/81/90/1074078190.db2.gz KDDASTZHTSUKOE-GOSISDBHSA-N 0 0 436.538 -0.075 20 0 IBADRN Cn1cccc1-c1nnc(N2CC[C@](C)(C(N)=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001314911695 1074078149 /nfs/dbraw/zinc/07/81/49/1074078149.db2.gz KDDASTZHTSUKOE-SFHVURJKSA-N 0 0 436.538 -0.075 20 0 IBADRN Cn1ccc(Nc2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC2CC2)n1 ZINC001314912172 1074078089 /nfs/dbraw/zinc/07/80/89/1074078089.db2.gz WNOFJFIRKBEOGV-CYBMUJFWSA-N 0 0 438.514 -0.386 20 0 IBADRN Cn1ccc(Nc2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC2CC2)n1 ZINC001314912174 1074078134 /nfs/dbraw/zinc/07/81/34/1074078134.db2.gz WNOFJFIRKBEOGV-ZDUSSCGKSA-N 0 0 438.514 -0.386 20 0 IBADRN Cn1cccc1-c1nnc(N2CC[C@H](NC(=O)NC3CC3)C2)n1CCNS(C)(=O)=O ZINC001314914012 1074078168 /nfs/dbraw/zinc/07/81/68/1074078168.db2.gz UHIIFFVEJCOHKA-AWEZNQCLSA-N 0 0 436.542 -0.127 20 0 IBADRN Cn1cccc1-c1nnc(N2CC[C@@H](NC(=O)NC3CC3)C2)n1CCNS(C)(=O)=O ZINC001314914013 1074078201 /nfs/dbraw/zinc/07/82/01/1074078201.db2.gz UHIIFFVEJCOHKA-CQSZACIVSA-N 0 0 436.542 -0.127 20 0 IBADRN Cn1cnc(Cn2c(-c3cccc(S(C)(=O)=O)c3)nnc2N2CCNC(=O)CC2)n1 ZINC001314914198 1074078207 /nfs/dbraw/zinc/07/82/07/1074078207.db2.gz BYYHWECHNDOEFC-UHFFFAOYSA-N 0 0 430.494 -0.148 20 0 IBADRN Cn1cccc1-c1nnc(N2CCNC(=O)C2)n1CCS(=O)(=O)N1CCSCC1 ZINC001314914754 1074078082 /nfs/dbraw/zinc/07/80/82/1074078082.db2.gz AEUUFRYUPQKUJE-UHFFFAOYSA-N 0 0 439.567 -0.402 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC(=O)N1CCOCC1 ZINC001314914840 1074078212 /nfs/dbraw/zinc/07/82/12/1074078212.db2.gz CFAHXEYDRRATCA-UHFFFAOYSA-N 0 0 437.526 -0.776 20 0 IBADRN Cn1cccc1-c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CCCNS(C)(=O)=O ZINC001314916314 1074078143 /nfs/dbraw/zinc/07/81/43/1074078143.db2.gz RBIXFVRNIKGQDG-UHFFFAOYSA-N 0 0 446.537 -0.121 20 0 IBADRN Cn1cnnc1Cn1c(Cc2ccccn2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001314917001 1074078218 /nfs/dbraw/zinc/07/82/18/1074078218.db2.gz QREWXVHZPXQZTD-UHFFFAOYSA-N 0 0 431.526 -0.088 20 0 IBADRN Cn1cnnc1Cn1c(C(=O)N2CCCC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001314917476 1074078510 /nfs/dbraw/zinc/07/85/10/1074078510.db2.gz YHQKKGHBATVMFL-UHFFFAOYSA-N 0 0 437.530 -0.837 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCS(C)(=O)=O ZINC001314917604 1074078608 /nfs/dbraw/zinc/07/86/08/1074078608.db2.gz JBIWAURPAOZFMK-UHFFFAOYSA-N 0 0 449.537 -0.745 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(c3ncccn3)CC2)n1CCNS(C)(=O)=O ZINC001314918140 1074078470 /nfs/dbraw/zinc/07/84/70/1074078470.db2.gz URISOPSHFARXCA-UHFFFAOYSA-N 0 0 431.526 -0.051 20 0 IBADRN CN1C[C@](C)(Cn2c([C@H]3CCCOC3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001314918910 1074078492 /nfs/dbraw/zinc/07/84/92/1074078492.db2.gz FMBHJXRIWYBPOZ-KBXCAEBGSA-N 0 0 426.543 -0.268 20 0 IBADRN CN1C[C@@](C)(Cn2c([C@@H]3CCCOC3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001314918911 1074078429 /nfs/dbraw/zinc/07/84/29/1074078429.db2.gz FMBHJXRIWYBPOZ-KDOFPFPSSA-N 0 0 426.543 -0.268 20 0 IBADRN CN1C[C@@](C)(Cn2c([C@H]3CCCOC3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001314918912 1074078481 /nfs/dbraw/zinc/07/84/81/1074078481.db2.gz FMBHJXRIWYBPOZ-KSSFIOAISA-N 0 0 426.543 -0.268 20 0 IBADRN CN1C[C@](C)(Cn2c([C@@H]3CCCOC3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001314918913 1074078601 /nfs/dbraw/zinc/07/86/01/1074078601.db2.gz FMBHJXRIWYBPOZ-RDTXWAMCSA-N 0 0 426.543 -0.268 20 0 IBADRN Cn1cnc(Cn2c(Cc3ccccn3)nnc2N2CCCN(S(C)(=O)=O)CC2)n1 ZINC001314919769 1074078440 /nfs/dbraw/zinc/07/84/40/1074078440.db2.gz HUGLSYSEGIXQNO-UHFFFAOYSA-N 0 0 431.526 -0.088 20 0 IBADRN CN1C[C@@](C)(Cn2c(Cc3ccncc3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001314919829 1074078559 /nfs/dbraw/zinc/07/85/59/1074078559.db2.gz KGJPIWUDWVGSFS-IBGZPJMESA-N 0 0 433.538 -0.176 20 0 IBADRN CN1C[C@](C)(Cn2c(Cc3ccncc3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001314919830 1074078550 /nfs/dbraw/zinc/07/85/50/1074078550.db2.gz KGJPIWUDWVGSFS-LJQANCHMSA-N 0 0 433.538 -0.176 20 0 IBADRN CN1C[C@@](C)(Cn2c(CC(C)(C)C)nnc2N2CCN(C(=O)Cn3cnnn3)CC2)C1=O ZINC001314919889 1074078502 /nfs/dbraw/zinc/07/85/02/1074078502.db2.gz MBFNBDJLOSWIKR-FQEVSTJZSA-N 0 0 444.544 -0.320 20 0 IBADRN CN1C[C@](C)(Cn2c(CC(C)(C)C)nnc2N2CCN(C(=O)Cn3cnnn3)CC2)C1=O ZINC001314919890 1074078532 /nfs/dbraw/zinc/07/85/32/1074078532.db2.gz MBFNBDJLOSWIKR-HXUWFJFHSA-N 0 0 444.544 -0.320 20 0 IBADRN CN1C[C@](C)(Cn2c(-c3cnccn3)nnc2N2CCC[C@H](CNS(C)(=O)=O)C2)C1=O ZINC001314921034 1074078525 /nfs/dbraw/zinc/07/85/25/1074078525.db2.gz RHCHGWHLWGQUCQ-AUUYWEPGSA-N 0 0 448.553 -0.021 20 0 IBADRN CN1C[C@](C)(Cn2c(-c3cnccn3)nnc2N2CCC[C@@H](CNS(C)(=O)=O)C2)C1=O ZINC001314921035 1074078452 /nfs/dbraw/zinc/07/84/52/1074078452.db2.gz RHCHGWHLWGQUCQ-IFXJQAMLSA-N 0 0 448.553 -0.021 20 0 IBADRN CN1C[C@@](C)(Cn2c(-c3cnccn3)nnc2N2CCC[C@H](CNS(C)(=O)=O)C2)C1=O ZINC001314921036 1074078578 /nfs/dbraw/zinc/07/85/78/1074078578.db2.gz RHCHGWHLWGQUCQ-KUHUBIRLSA-N 0 0 448.553 -0.021 20 0 IBADRN CN1C[C@@](C)(Cn2c(-c3cnccn3)nnc2N2CCC[C@@H](CNS(C)(=O)=O)C2)C1=O ZINC001314921037 1074078595 /nfs/dbraw/zinc/07/85/95/1074078595.db2.gz RHCHGWHLWGQUCQ-LIRRHRJNSA-N 0 0 448.553 -0.021 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@@]1(C)CN(C)C1=O ZINC001314921327 1074078582 /nfs/dbraw/zinc/07/85/82/1074078582.db2.gz TZVIXJSOEHRULP-GOSISDBHSA-N 0 0 426.543 -0.137 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1C[C@]1(C)CN(C)C1=O ZINC001314921329 1074078567 /nfs/dbraw/zinc/07/85/67/1074078567.db2.gz TZVIXJSOEHRULP-SFHVURJKSA-N 0 0 426.543 -0.137 20 0 IBADRN CN1C[C@@H]2CN(c3nnc(CC(C)(C)C)n3C[C@]3(O)CCS(=O)(=O)C3)CCN2C1=O ZINC001314922053 1074078542 /nfs/dbraw/zinc/07/85/42/1074078542.db2.gz CLVKGYXYABNPMZ-AUUYWEPGSA-N 0 0 440.570 -0.028 20 0 IBADRN CN1C[C@H]2CN(c3nnc(CC(C)(C)C)n3C[C@]3(O)CCS(=O)(=O)C3)CCN2C1=O ZINC001314922054 1074078629 /nfs/dbraw/zinc/07/86/29/1074078629.db2.gz CLVKGYXYABNPMZ-IFXJQAMLSA-N 0 0 440.570 -0.028 20 0 IBADRN CN1C[C@@H]2CN(c3nnc(CC(C)(C)C)n3C[C@@]3(O)CCS(=O)(=O)C3)CCN2C1=O ZINC001314922055 1074079015 /nfs/dbraw/zinc/07/90/15/1074079015.db2.gz CLVKGYXYABNPMZ-KUHUBIRLSA-N 0 0 440.570 -0.028 20 0 IBADRN CN1C[C@H]2CN(c3nnc(CC(C)(C)C)n3C[C@@]3(O)CCS(=O)(=O)C3)CCN2C1=O ZINC001314922056 1074079084 /nfs/dbraw/zinc/07/90/84/1074079084.db2.gz CLVKGYXYABNPMZ-LIRRHRJNSA-N 0 0 440.570 -0.028 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1C[C@@]1(O)CCN(C)C1)[C@H]1CCS(=O)(=O)C1 ZINC001314922139 1074078929 /nfs/dbraw/zinc/07/89/29/1074078929.db2.gz FVEWVFHRSKCFIC-KBXCAEBGSA-N 0 0 426.543 -0.796 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1C[C@]1(O)CCN(C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001314922140 1074079055 /nfs/dbraw/zinc/07/90/55/1074079055.db2.gz FVEWVFHRSKCFIC-KDOFPFPSSA-N 0 0 426.543 -0.796 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1C[C@]1(O)CCN(C)C1)[C@H]1CCS(=O)(=O)C1 ZINC001314922141 1074079039 /nfs/dbraw/zinc/07/90/39/1074079039.db2.gz FVEWVFHRSKCFIC-KSSFIOAISA-N 0 0 426.543 -0.796 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1C[C@@]1(O)CCN(C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001314922142 1074078884 /nfs/dbraw/zinc/07/88/84/1074078884.db2.gz FVEWVFHRSKCFIC-RDTXWAMCSA-N 0 0 426.543 -0.796 20 0 IBADRN CN1C[C@H]2CN(c3nnc([C@@H]4CCCCO4)n3CCNS(C)(=O)=O)CCN2C1=O ZINC001314922747 1074079082 /nfs/dbraw/zinc/07/90/82/1074079082.db2.gz KLVJNANLRFUPRW-KBPBESRZSA-N 0 0 427.531 -0.375 20 0 IBADRN CN1C[C@@H]2CN(c3nnc([C@@H]4CCCCO4)n3CCNS(C)(=O)=O)CCN2C1=O ZINC001314922748 1074078809 /nfs/dbraw/zinc/07/88/09/1074078809.db2.gz KLVJNANLRFUPRW-KGLIPLIRSA-N 0 0 427.531 -0.375 20 0 IBADRN CN1C[C@H]2CN(c3nnc([C@H]4CCCCO4)n3CCNS(C)(=O)=O)CCN2C1=O ZINC001314922749 1074079030 /nfs/dbraw/zinc/07/90/30/1074079030.db2.gz KLVJNANLRFUPRW-UONOGXRCSA-N 0 0 427.531 -0.375 20 0 IBADRN CN1C[C@@H]2CN(c3nnc([C@H]4CCCCO4)n3CCNS(C)(=O)=O)CCN2C1=O ZINC001314922750 1074078984 /nfs/dbraw/zinc/07/89/84/1074078984.db2.gz KLVJNANLRFUPRW-ZIAGYGMSSA-N 0 0 427.531 -0.375 20 0 IBADRN CN1CCN(c2nnc(C(=O)Nc3ccccn3)n2CCCN2CCOCC2)CC1=O ZINC001314923393 1074079088 /nfs/dbraw/zinc/07/90/88/1074079088.db2.gz CYIPGBNYEAKONN-UHFFFAOYSA-N 0 0 428.497 -0.074 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001314924159 1074079281 /nfs/dbraw/zinc/07/92/81/1074079281.db2.gz UOLICKBGEWFJFD-UONOGXRCSA-N 0 0 427.531 -0.423 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001314924160 1074079465 /nfs/dbraw/zinc/07/94/65/1074079465.db2.gz UOLICKBGEWFJFD-ZIAGYGMSSA-N 0 0 427.531 -0.423 20 0 IBADRN CN1C[C@H]2CN(c3nnc([C@@H]4CCCOC4)n3CCCNS(C)(=O)=O)CCN2C1=O ZINC001314924185 1074079472 /nfs/dbraw/zinc/07/94/72/1074079472.db2.gz VEZPDIUARTYVMJ-CABCVRRESA-N 0 0 441.558 -0.333 20 0 IBADRN CN1C[C@H]2CN(c3nnc([C@H]4CCCOC4)n3CCCNS(C)(=O)=O)CCN2C1=O ZINC001314924186 1074079492 /nfs/dbraw/zinc/07/94/92/1074079492.db2.gz VEZPDIUARTYVMJ-GJZGRUSLSA-N 0 0 441.558 -0.333 20 0 IBADRN CN1C[C@@H]2CN(c3nnc([C@@H]4CCCOC4)n3CCCNS(C)(=O)=O)CCN2C1=O ZINC001314924187 1074079497 /nfs/dbraw/zinc/07/94/97/1074079497.db2.gz VEZPDIUARTYVMJ-HUUCEWRRSA-N 0 0 441.558 -0.333 20 0 IBADRN CN1C[C@@H]2CN(c3nnc([C@H]4CCCOC4)n3CCCNS(C)(=O)=O)CCN2C1=O ZINC001314924188 1074079402 /nfs/dbraw/zinc/07/94/02/1074079402.db2.gz VEZPDIUARTYVMJ-LSDHHAIUSA-N 0 0 441.558 -0.333 20 0 IBADRN CN1C[C@H]2CN(c3nnc(CC4CC4)n3CCOCCS(C)(=O)=O)CCN2C1=O ZINC001314924669 1074079448 /nfs/dbraw/zinc/07/94/48/1074079448.db2.gz ZAHTZROFKFLUIL-HNNXBMFYSA-N 0 0 426.543 -0.152 20 0 IBADRN CN1C[C@@H]2CN(c3nnc(CC4CC4)n3CCOCCS(C)(=O)=O)CCN2C1=O ZINC001314924670 1074079386 /nfs/dbraw/zinc/07/93/86/1074079386.db2.gz ZAHTZROFKFLUIL-OAHLLOKOSA-N 0 0 426.543 -0.152 20 0 IBADRN CN1CCN(c2nnc(-c3cccc(S(C)(=O)=O)c3)n2C[C@@]2(O)CCOC2)CC1=O ZINC001314925789 1074079361 /nfs/dbraw/zinc/07/93/61/1074079361.db2.gz JJNBWXCYSKMIAO-IBGZPJMESA-N 0 0 435.506 -0.222 20 0 IBADRN CN1CCN(c2nnc(-c3cccc(S(C)(=O)=O)c3)n2C[C@]2(O)CCOC2)CC1=O ZINC001314925794 1074079409 /nfs/dbraw/zinc/07/94/09/1074079409.db2.gz JJNBWXCYSKMIAO-LJQANCHMSA-N 0 0 435.506 -0.222 20 0 IBADRN CN1CCN(c2nnc(-c3cnccn3)n2CCNS(=O)(=O)c2ccccc2)CC1=O ZINC001314926483 1074079443 /nfs/dbraw/zinc/07/94/43/1074079443.db2.gz JKINODQWXRCCSF-UHFFFAOYSA-N 0 0 442.505 -0.008 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCOCC2(C)C)CC1=O ZINC001314927926 1074079795 /nfs/dbraw/zinc/07/97/95/1074079795.db2.gz INSDRWHNYRETDR-HNNXBMFYSA-N 0 0 440.570 -0.431 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCOCC2(C)C)CC1=O ZINC001314927929 1074079771 /nfs/dbraw/zinc/07/97/71/1074079771.db2.gz INSDRWHNYRETDR-OAHLLOKOSA-N 0 0 440.570 -0.431 20 0 IBADRN CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2CC(F)(F)C2)CC1=O ZINC001314928551 1074079780 /nfs/dbraw/zinc/07/97/80/1074079780.db2.gz OESICKMVCFPCBT-CYBMUJFWSA-N 0 0 448.496 -0.065 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2CC(F)(F)C2)CC1=O ZINC001314928559 1074079729 /nfs/dbraw/zinc/07/97/29/1074079729.db2.gz OESICKMVCFPCBT-ZDUSSCGKSA-N 0 0 448.496 -0.065 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@](C)(O)C2CC2)CC1=O ZINC001314928560 1074079894 /nfs/dbraw/zinc/07/98/94/1074079894.db2.gz OFPVQVVZGODWKC-KBXCAEBGSA-N 0 0 442.542 -0.950 20 0 IBADRN CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@](C)(O)C2CC2)CC1=O ZINC001314928561 1074079756 /nfs/dbraw/zinc/07/97/56/1074079756.db2.gz OFPVQVVZGODWKC-KDOFPFPSSA-N 0 0 442.542 -0.950 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@](C)(O)C2CC2)CC1=O ZINC001314928565 1074079804 /nfs/dbraw/zinc/07/98/04/1074079804.db2.gz OFPVQVVZGODWKC-KSSFIOAISA-N 0 0 442.542 -0.950 20 0 IBADRN CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@](C)(O)C2CC2)CC1=O ZINC001314928567 1074079872 /nfs/dbraw/zinc/07/98/72/1074079872.db2.gz OFPVQVVZGODWKC-RDTXWAMCSA-N 0 0 442.542 -0.950 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCOC3)n2CCc2ccc(S(N)(=O)=O)cc2)CC1=O ZINC001314928576 1074079763 /nfs/dbraw/zinc/07/97/63/1074079763.db2.gz OHQYABOJDZBKMY-HNNXBMFYSA-N 0 0 434.522 -0.050 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCOC3)n2CCc2ccc(S(N)(=O)=O)cc2)CC1=O ZINC001314928577 1074079916 /nfs/dbraw/zinc/07/99/16/1074079916.db2.gz OHQYABOJDZBKMY-OAHLLOKOSA-N 0 0 434.522 -0.050 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CCCS2)CC1=O ZINC001314928808 1074079895 /nfs/dbraw/zinc/07/98/95/1074079895.db2.gz ROMDTMCCISPBQN-KBPBESRZSA-N 0 0 444.583 -0.215 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCS2)CC1=O ZINC001314928811 1074079891 /nfs/dbraw/zinc/07/98/91/1074079891.db2.gz ROMDTMCCISPBQN-KGLIPLIRSA-N 0 0 444.583 -0.215 20 0 IBADRN CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CCCS2)CC1=O ZINC001314928813 1074079791 /nfs/dbraw/zinc/07/97/91/1074079791.db2.gz ROMDTMCCISPBQN-UONOGXRCSA-N 0 0 444.583 -0.215 20 0 IBADRN CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCS2)CC1=O ZINC001314928815 1074079923 /nfs/dbraw/zinc/07/99/23/1074079923.db2.gz ROMDTMCCISPBQN-ZIAGYGMSSA-N 0 0 444.583 -0.215 20 0 IBADRN CN1CC[C@@](O)(Cn2c(CN3CCCC3=O)nnc2N2CCC[C@@H](S(C)(=O)=O)C2)C1 ZINC001314929158 1074080230 /nfs/dbraw/zinc/08/02/30/1074080230.db2.gz VQSDUAGVNPVUOH-BEFAXECRSA-N 0 0 440.570 -0.520 20 0 IBADRN CN1CC[C@](O)(Cn2c(CN3CCCC3=O)nnc2N2CCC[C@@H](S(C)(=O)=O)C2)C1 ZINC001314929161 1074080522 /nfs/dbraw/zinc/08/05/22/1074080522.db2.gz VQSDUAGVNPVUOH-DNVCBOLYSA-N 0 0 440.570 -0.520 20 0 IBADRN CN1CC[C@](O)(Cn2c(CN3CCCC3=O)nnc2N2CCC[C@H](S(C)(=O)=O)C2)C1 ZINC001314929162 1074080474 /nfs/dbraw/zinc/08/04/74/1074080474.db2.gz VQSDUAGVNPVUOH-HNAYVOBHSA-N 0 0 440.570 -0.520 20 0 IBADRN CN1CC[C@@](O)(Cn2c(CN3CCCC3=O)nnc2N2CCC[C@H](S(C)(=O)=O)C2)C1 ZINC001314929163 1074080336 /nfs/dbraw/zinc/08/03/36/1074080336.db2.gz VQSDUAGVNPVUOH-KXBFYZLASA-N 0 0 440.570 -0.520 20 0 IBADRN CN1CCN(c2nnc(CC3CC3)n2CCS(=O)(=O)N2CCSCC2)CC1=O ZINC001314930651 1074080145 /nfs/dbraw/zinc/08/01/45/1074080145.db2.gz SHGFLTGWQLXSOA-UHFFFAOYSA-N 0 0 428.584 -0.112 20 0 IBADRN Cn1ncc(CCCn2c(C(=O)N3CCCC3)nnc2N2CC[C@H](S(C)(=O)=O)C2)n1 ZINC001314930781 1074080255 /nfs/dbraw/zinc/08/02/55/1074080255.db2.gz KIPKNSQCCHBYNO-HNNXBMFYSA-N 0 0 436.542 -0.101 20 0 IBADRN Cn1ncc(CCCn2c(C(=O)N3CCCC3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)n1 ZINC001314930787 1074080535 /nfs/dbraw/zinc/08/05/35/1074080535.db2.gz KIPKNSQCCHBYNO-OAHLLOKOSA-N 0 0 436.542 -0.101 20 0 IBADRN Cn1nc2c(cc1=O)CN(c1nnc([C@@H]3CCCCO3)n1CCNS(C)(=O)=O)CC2 ZINC001314930838 1074080371 /nfs/dbraw/zinc/08/03/71/1074080371.db2.gz LNCPJBOHZVBIGC-HNNXBMFYSA-N 0 0 437.526 -0.275 20 0 IBADRN Cn1nc2c(cc1=O)CN(c1nnc([C@H]3CCCCO3)n1CCNS(C)(=O)=O)CC2 ZINC001314930860 1074080306 /nfs/dbraw/zinc/08/03/06/1074080306.db2.gz LNCPJBOHZVBIGC-OAHLLOKOSA-N 0 0 437.526 -0.275 20 0 IBADRN Cn1nc2c(cc1=O)CN(c1nnc(C(=O)N3CCCC3)n1CCCS(C)(=O)=O)CC2 ZINC001314931227 1074080403 /nfs/dbraw/zinc/08/04/03/1074080403.db2.gz SCZMFVIHEGHLQS-UHFFFAOYSA-N 0 0 449.537 -0.395 20 0 IBADRN Cn1nncc1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001314933243 1074080466 /nfs/dbraw/zinc/08/04/66/1074080466.db2.gz APRDSPKGUYBKDG-GXTWGEPZSA-N 0 0 437.530 -0.828 20 0 IBADRN Cn1nncc1Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001314933244 1074080851 /nfs/dbraw/zinc/08/08/51/1074080851.db2.gz APRDSPKGUYBKDG-JSGCOSHPSA-N 0 0 437.530 -0.828 20 0 IBADRN Cn1nncc1Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001314933245 1074080761 /nfs/dbraw/zinc/08/07/61/1074080761.db2.gz APRDSPKGUYBKDG-OCCSQVGLSA-N 0 0 437.530 -0.828 20 0 IBADRN Cn1nncc1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001314933246 1074080896 /nfs/dbraw/zinc/08/08/96/1074080896.db2.gz APRDSPKGUYBKDG-TZMCWYRMSA-N 0 0 437.530 -0.828 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)CC1 ZINC001314933558 1074080872 /nfs/dbraw/zinc/08/08/72/1074080872.db2.gz CIPWTBGWRNNBDH-GFCCVEGCSA-N 0 0 429.547 -0.671 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)CC1 ZINC001314933563 1074080777 /nfs/dbraw/zinc/08/07/77/1074080777.db2.gz CIPWTBGWRNNBDH-LBPRGKRZSA-N 0 0 429.547 -0.671 20 0 IBADRN Cn1nncc1Cn1c(CN2CCCC2=O)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001314933749 1074080879 /nfs/dbraw/zinc/08/08/79/1074080879.db2.gz DYOAZDNRXGCHLG-UHFFFAOYSA-N 0 0 437.530 -0.905 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCCN(C(C)=O)CC1 ZINC001314934810 1074080864 /nfs/dbraw/zinc/08/08/64/1074080864.db2.gz OLLCKIODFVAXOG-CYBMUJFWSA-N 0 0 443.574 -0.281 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCCN(C(C)=O)CC1 ZINC001314934812 1074080835 /nfs/dbraw/zinc/08/08/35/1074080835.db2.gz OLLCKIODFVAXOG-ZDUSSCGKSA-N 0 0 443.574 -0.281 20 0 IBADRN Cn1nncc1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(F)(F)C1 ZINC001314935281 1074080829 /nfs/dbraw/zinc/08/08/29/1074080829.db2.gz ODYCKOBVCLGITG-GFCCVEGCSA-N 0 0 432.457 -0.367 20 0 IBADRN Cn1nncc1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(F)(F)C1 ZINC001314935282 1074080823 /nfs/dbraw/zinc/08/08/23/1074080823.db2.gz ODYCKOBVCLGITG-LBPRGKRZSA-N 0 0 432.457 -0.367 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001314935478 1074080818 /nfs/dbraw/zinc/08/08/18/1074080818.db2.gz TZKFEEGEUWBLPJ-GXTWGEPZSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001314935479 1074080785 /nfs/dbraw/zinc/08/07/85/1074080785.db2.gz TZKFEEGEUWBLPJ-JSGCOSHPSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001314935480 1074080857 /nfs/dbraw/zinc/08/08/57/1074080857.db2.gz TZKFEEGEUWBLPJ-OCCSQVGLSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001314935481 1074080792 /nfs/dbraw/zinc/08/07/92/1074080792.db2.gz TZKFEEGEUWBLPJ-TZMCWYRMSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001314935707 1074080809 /nfs/dbraw/zinc/08/08/09/1074080809.db2.gz OZQPDRKXUVQMLI-CYBMUJFWSA-N 0 0 426.543 -0.090 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001314935708 1074080843 /nfs/dbraw/zinc/08/08/43/1074080843.db2.gz OZQPDRKXUVQMLI-ZDUSSCGKSA-N 0 0 426.543 -0.090 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001314935909 1074080800 /nfs/dbraw/zinc/08/08/00/1074080800.db2.gz ZEXJSEVLEXACIS-UHFFFAOYSA-N 0 0 448.572 -0.411 20 0 IBADRN Cn1nnc(Cn2c(CN3CCCC3=O)nnc2N2CCN(CC(F)(F)F)CC2)n1 ZINC001314936111 1074080770 /nfs/dbraw/zinc/08/07/70/1074080770.db2.gz RWJMWARQLKYHLB-UHFFFAOYSA-N 0 0 428.423 -0.343 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(-c2cnn(C)c2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001314936799 1074080734 /nfs/dbraw/zinc/08/07/34/1074080734.db2.gz CDANUQUWDJZUIY-ZDUSSCGKSA-N 0 0 438.558 -0.079 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(C)C(=O)C1 ZINC001314937055 1074080886 /nfs/dbraw/zinc/08/08/86/1074080886.db2.gz OIZIFJHPVSCVAK-UHFFFAOYSA-N 0 0 438.496 -0.029 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCCOC ZINC001314941807 1074081331 /nfs/dbraw/zinc/08/13/31/1074081331.db2.gz ORFJBGQYKGFLHT-AWEZNQCLSA-N 0 0 446.574 -0.144 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCCOC ZINC001314941808 1074081114 /nfs/dbraw/zinc/08/11/14/1074081114.db2.gz ORFJBGQYKGFLHT-CQSZACIVSA-N 0 0 446.574 -0.144 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](COC)C1 ZINC001314942352 1074081182 /nfs/dbraw/zinc/08/11/82/1074081182.db2.gz WBLNBGKDDBEANN-KBPBESRZSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](COC)C1 ZINC001314942353 1074081301 /nfs/dbraw/zinc/08/13/01/1074081301.db2.gz WBLNBGKDDBEANN-KGLIPLIRSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](COC)C1 ZINC001314942354 1074081328 /nfs/dbraw/zinc/08/13/28/1074081328.db2.gz WBLNBGKDDBEANN-UONOGXRCSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](COC)C1 ZINC001314942355 1074081131 /nfs/dbraw/zinc/08/11/31/1074081131.db2.gz WBLNBGKDDBEANN-ZIAGYGMSSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C2CCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001314942695 1074081190 /nfs/dbraw/zinc/08/11/90/1074081190.db2.gz SNRISRFCHXOEJE-UHFFFAOYSA-N 0 0 430.517 -0.347 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001314942861 1074081148 /nfs/dbraw/zinc/08/11/48/1074081148.db2.gz WGFDAORIZCCUEH-BFHYXJOUSA-N 0 0 438.554 -0.333 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001314942862 1074081142 /nfs/dbraw/zinc/08/11/42/1074081142.db2.gz WGFDAORIZCCUEH-MCIONIFRSA-N 0 0 438.554 -0.333 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001314942863 1074081157 /nfs/dbraw/zinc/08/11/57/1074081157.db2.gz WGFDAORIZCCUEH-MGPQQGTHSA-N 0 0 438.554 -0.333 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001314942864 1074081334 /nfs/dbraw/zinc/08/13/34/1074081334.db2.gz WGFDAORIZCCUEH-MJBXVCDLSA-N 0 0 438.554 -0.333 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001314945084 1074081346 /nfs/dbraw/zinc/08/13/46/1074081346.db2.gz FYAGRMAVSJUZOQ-OAHLLOKOSA-N 0 0 447.540 -0.171 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001314945385 1074081230 /nfs/dbraw/zinc/08/12/30/1074081230.db2.gz SDGYBESSHLNSSG-UHFFFAOYSA-N 0 0 441.558 -0.746 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCC(NC(=O)COC)CC1 ZINC001314946087 1074081294 /nfs/dbraw/zinc/08/12/94/1074081294.db2.gz MOMDYVCLRGERSN-UHFFFAOYSA-N 0 0 449.556 -0.096 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001314946451 1074081240 /nfs/dbraw/zinc/08/12/40/1074081240.db2.gz NEVQIKHGCUIMEV-KKUMJFAQSA-N 0 0 435.529 -0.012 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001314946452 1074081170 /nfs/dbraw/zinc/08/11/70/1074081170.db2.gz NEVQIKHGCUIMEV-ZNMIVQPWSA-N 0 0 435.529 -0.012 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001314951451 1074081610 /nfs/dbraw/zinc/08/16/10/1074081610.db2.gz QDIGEICEAXRCJB-CHWSQXEVSA-N 0 0 426.547 -0.317 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001314951457 1074081667 /nfs/dbraw/zinc/08/16/67/1074081667.db2.gz QDIGEICEAXRCJB-OLZOCXBDSA-N 0 0 426.547 -0.317 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001314951459 1074081638 /nfs/dbraw/zinc/08/16/38/1074081638.db2.gz QDIGEICEAXRCJB-QWHCGFSZSA-N 0 0 426.547 -0.317 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCc1ccn(C)n1 ZINC001314951461 1074081600 /nfs/dbraw/zinc/08/16/00/1074081600.db2.gz QDIGEICEAXRCJB-STQMWFEESA-N 0 0 426.547 -0.317 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001314953115 1074081592 /nfs/dbraw/zinc/08/15/92/1074081592.db2.gz HHAUNPDBDLOVFW-BFHYXJOUSA-N 0 0 441.558 -0.529 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001314953118 1074081624 /nfs/dbraw/zinc/08/16/24/1074081624.db2.gz HHAUNPDBDLOVFW-MCIONIFRSA-N 0 0 441.558 -0.529 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001314953123 1074081574 /nfs/dbraw/zinc/08/15/74/1074081574.db2.gz HHAUNPDBDLOVFW-MGPQQGTHSA-N 0 0 441.558 -0.529 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001314953126 1074081585 /nfs/dbraw/zinc/08/15/85/1074081585.db2.gz HHAUNPDBDLOVFW-MJBXVCDLSA-N 0 0 441.558 -0.529 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(-c2cnn(C)c2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001314955275 1074081716 /nfs/dbraw/zinc/08/17/16/1074081716.db2.gz SOMMQFFRTAYMAP-CABCVRRESA-N 0 0 432.529 -0.008 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(-c2cnn(C)c2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001314955276 1074081617 /nfs/dbraw/zinc/08/16/17/1074081617.db2.gz SOMMQFFRTAYMAP-GJZGRUSLSA-N 0 0 432.529 -0.008 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(-c2cnn(C)c2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001314955278 1074081709 /nfs/dbraw/zinc/08/17/09/1074081709.db2.gz SOMMQFFRTAYMAP-HUUCEWRRSA-N 0 0 432.529 -0.008 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(-c2cnn(C)c2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001314955280 1074081630 /nfs/dbraw/zinc/08/16/30/1074081630.db2.gz SOMMQFFRTAYMAP-LSDHHAIUSA-N 0 0 432.529 -0.008 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(-c2cnn(C)c2)n1CCS(=O)(=O)NC(C)C ZINC001314955323 1074081721 /nfs/dbraw/zinc/08/17/21/1074081721.db2.gz SYQJUPIHMWZGNX-CYBMUJFWSA-N 0 0 426.547 -0.175 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(-c2cnn(C)c2)n1CCS(=O)(=O)NC(C)C ZINC001314955333 1074081688 /nfs/dbraw/zinc/08/16/88/1074081688.db2.gz SYQJUPIHMWZGNX-ZDUSSCGKSA-N 0 0 426.547 -0.175 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001314955963 1074081660 /nfs/dbraw/zinc/08/16/60/1074081660.db2.gz QKCNQJPNHSVQNA-CHWSQXEVSA-N 0 0 426.543 -0.128 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001314955964 1074081675 /nfs/dbraw/zinc/08/16/75/1074081675.db2.gz QKCNQJPNHSVQNA-OLZOCXBDSA-N 0 0 426.543 -0.128 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001314955965 1074081646 /nfs/dbraw/zinc/08/16/46/1074081646.db2.gz QKCNQJPNHSVQNA-QWHCGFSZSA-N 0 0 426.543 -0.128 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1CC1(CS(C)(=O)=O)CC1 ZINC001314955966 1074081695 /nfs/dbraw/zinc/08/16/95/1074081695.db2.gz QKCNQJPNHSVQNA-STQMWFEESA-N 0 0 426.543 -0.128 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001314956011 1074081683 /nfs/dbraw/zinc/08/16/83/1074081683.db2.gz RGZOWVUHLSDHHR-HZSPNIEDSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001314956012 1074081703 /nfs/dbraw/zinc/08/17/03/1074081703.db2.gz RGZOWVUHLSDHHR-MCIONIFRSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001314956013 1074082080 /nfs/dbraw/zinc/08/20/80/1074082080.db2.gz RGZOWVUHLSDHHR-MGPQQGTHSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001314956014 1074082066 /nfs/dbraw/zinc/08/20/66/1074082066.db2.gz RGZOWVUHLSDHHR-RDBSUJKOSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001314957463 1074082190 /nfs/dbraw/zinc/08/21/90/1074082190.db2.gz HUXGXIWDDNGGBR-KFWWJZLASA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001314957464 1074082155 /nfs/dbraw/zinc/08/21/55/1074082155.db2.gz HUXGXIWDDNGGBR-RBSFLKMASA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001314957465 1074082180 /nfs/dbraw/zinc/08/21/80/1074082180.db2.gz HUXGXIWDDNGGBR-RRFJBIMHSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001314957466 1074082008 /nfs/dbraw/zinc/08/20/08/1074082008.db2.gz HUXGXIWDDNGGBR-ZNMIVQPWSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(Cc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314957469 1074082165 /nfs/dbraw/zinc/08/21/65/1074082165.db2.gz HVKRWUQLAKTQPR-AUUYWEPGSA-N 0 0 436.538 -0.368 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(Cc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001314957470 1074082139 /nfs/dbraw/zinc/08/21/39/1074082139.db2.gz HVKRWUQLAKTQPR-IFXJQAMLSA-N 0 0 436.538 -0.368 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(Cc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314957471 1074082049 /nfs/dbraw/zinc/08/20/49/1074082049.db2.gz HVKRWUQLAKTQPR-KUHUBIRLSA-N 0 0 436.538 -0.368 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(Cc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001314957472 1074082058 /nfs/dbraw/zinc/08/20/58/1074082058.db2.gz HVKRWUQLAKTQPR-LIRRHRJNSA-N 0 0 436.538 -0.368 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCS(C)(=O)=O ZINC001314957553 1074081954 /nfs/dbraw/zinc/08/19/54/1074081954.db2.gz DQCBVHSMRNXYQB-CHWSQXEVSA-N 0 0 435.572 -0.567 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCS(C)(=O)=O ZINC001314957554 1074082042 /nfs/dbraw/zinc/08/20/42/1074082042.db2.gz DQCBVHSMRNXYQB-OLZOCXBDSA-N 0 0 435.572 -0.567 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCS(C)(=O)=O ZINC001314957555 1074082147 /nfs/dbraw/zinc/08/21/47/1074082147.db2.gz DQCBVHSMRNXYQB-QWHCGFSZSA-N 0 0 435.572 -0.567 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCS(C)(=O)=O ZINC001314957556 1074082172 /nfs/dbraw/zinc/08/21/72/1074082172.db2.gz DQCBVHSMRNXYQB-STQMWFEESA-N 0 0 435.572 -0.567 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1([S@](C)=O)CC1 ZINC001314957755 1074082130 /nfs/dbraw/zinc/08/21/30/1074082130.db2.gz GQKXUTFKKKAQMR-BOABCZMQSA-N 0 0 431.584 -0.090 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1([S@@](C)=O)CC1 ZINC001314957756 1074082096 /nfs/dbraw/zinc/08/20/96/1074082096.db2.gz GQKXUTFKKKAQMR-MAOKOLTRSA-N 0 0 431.584 -0.090 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1([S@@](C)=O)CC1 ZINC001314957757 1074082087 /nfs/dbraw/zinc/08/20/87/1074082087.db2.gz GQKXUTFKKKAQMR-NTFYDNOESA-N 0 0 431.584 -0.090 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1([S@](C)=O)CC1 ZINC001314957758 1074082073 /nfs/dbraw/zinc/08/20/73/1074082073.db2.gz GQKXUTFKKKAQMR-UIAYHMMPSA-N 0 0 431.584 -0.090 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001314959553 1074082108 /nfs/dbraw/zinc/08/21/08/1074082108.db2.gz NZTSZMYURJXRCE-GLJUWKHASA-N 0 0 428.559 -0.935 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001314959554 1074082017 /nfs/dbraw/zinc/08/20/17/1074082017.db2.gz NZTSZMYURJXRCE-HBUWYVDXSA-N 0 0 428.559 -0.935 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001314959555 1074082434 /nfs/dbraw/zinc/08/24/34/1074082434.db2.gz NZTSZMYURJXRCE-LBTNJELSSA-N 0 0 428.559 -0.935 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001314959556 1074082533 /nfs/dbraw/zinc/08/25/33/1074082533.db2.gz NZTSZMYURJXRCE-QWQRMKEZSA-N 0 0 428.559 -0.935 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC[C@H]1COCCO1 ZINC001314959567 1074082469 /nfs/dbraw/zinc/08/24/69/1074082469.db2.gz OCIPHMWQCLGUJQ-ILXRZTDVSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC[C@H]1COCCO1 ZINC001314959568 1074082461 /nfs/dbraw/zinc/08/24/61/1074082461.db2.gz OCIPHMWQCLGUJQ-KFWWJZLASA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC[C@@H]1COCCO1 ZINC001314959569 1074082395 /nfs/dbraw/zinc/08/23/95/1074082395.db2.gz OCIPHMWQCLGUJQ-QLFBSQMISA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC[C@@H]1COCCO1 ZINC001314959570 1074082512 /nfs/dbraw/zinc/08/25/12/1074082512.db2.gz OCIPHMWQCLGUJQ-RBSFLKMASA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)F ZINC001314960047 1074082350 /nfs/dbraw/zinc/08/23/50/1074082350.db2.gz QKRCFIGIIJAKRK-GHMZBOCLSA-N 0 0 436.485 -0.620 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)F ZINC001314960048 1074082456 /nfs/dbraw/zinc/08/24/56/1074082456.db2.gz QKRCFIGIIJAKRK-MNOVXSKESA-N 0 0 436.485 -0.620 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)F ZINC001314960049 1074082414 /nfs/dbraw/zinc/08/24/14/1074082414.db2.gz QKRCFIGIIJAKRK-QWRGUYRKSA-N 0 0 436.485 -0.620 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)F ZINC001314960050 1074082404 /nfs/dbraw/zinc/08/24/04/1074082404.db2.gz QKRCFIGIIJAKRK-WDEREUQCSA-N 0 0 436.485 -0.620 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)NC(C)C)C1 ZINC001314961352 1074082369 /nfs/dbraw/zinc/08/23/69/1074082369.db2.gz BZDZAZSIVLPXOG-GOSISDBHSA-N 0 0 443.574 -0.294 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)NC(C)C)C1 ZINC001314961355 1074082496 /nfs/dbraw/zinc/08/24/96/1074082496.db2.gz BZDZAZSIVLPXOG-SFHVURJKSA-N 0 0 443.574 -0.294 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCC1(O)CCOCC1 ZINC001314961762 1074082505 /nfs/dbraw/zinc/08/25/05/1074082505.db2.gz VOGMCTNZVZAEKY-CABCVRRESA-N 0 0 443.570 -0.070 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCC1(O)CCOCC1 ZINC001314961764 1074082388 /nfs/dbraw/zinc/08/23/88/1074082388.db2.gz VOGMCTNZVZAEKY-GJZGRUSLSA-N 0 0 443.570 -0.070 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCC1(O)CCOCC1 ZINC001314961766 1074082378 /nfs/dbraw/zinc/08/23/78/1074082378.db2.gz VOGMCTNZVZAEKY-HUUCEWRRSA-N 0 0 443.570 -0.070 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCC1(O)CCOCC1 ZINC001314961768 1074082480 /nfs/dbraw/zinc/08/24/80/1074082480.db2.gz VOGMCTNZVZAEKY-LSDHHAIUSA-N 0 0 443.570 -0.070 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001314966824 1074082521 /nfs/dbraw/zinc/08/25/21/1074082521.db2.gz LHPRNYSWLNBVIL-JBBXEZCESA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001314966826 1074082360 /nfs/dbraw/zinc/08/23/60/1074082360.db2.gz LHPRNYSWLNBVIL-NXOUGTEYSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001314966828 1074082425 /nfs/dbraw/zinc/08/24/25/1074082425.db2.gz LHPRNYSWLNBVIL-PJSAGSTRSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001314966830 1074082488 /nfs/dbraw/zinc/08/24/88/1074082488.db2.gz LHPRNYSWLNBVIL-RZAIGCCYSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001314967141 1074082837 /nfs/dbraw/zinc/08/28/37/1074082837.db2.gz OBDDKBFKGKENRX-FLTUCWPJSA-N 0 0 444.558 -0.609 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001314967142 1074082939 /nfs/dbraw/zinc/08/29/39/1074082939.db2.gz OBDDKBFKGKENRX-KYZVSKTDSA-N 0 0 444.558 -0.609 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001314967243 1074082857 /nfs/dbraw/zinc/08/28/57/1074082857.db2.gz OBDDKBFKGKENRX-NPSOMJIGSA-N 0 0 444.558 -0.609 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001314967244 1074082950 /nfs/dbraw/zinc/08/29/50/1074082950.db2.gz OBDDKBFKGKENRX-UYAYXHRUSA-N 0 0 444.558 -0.609 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)C1 ZINC001314969097 1074082805 /nfs/dbraw/zinc/08/28/05/1074082805.db2.gz FYPBNUYUPUNQJF-ACJLOTCBSA-N 0 0 436.542 -0.188 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)C1 ZINC001314969098 1074082885 /nfs/dbraw/zinc/08/28/85/1074082885.db2.gz FYPBNUYUPUNQJF-FZKQIMNGSA-N 0 0 436.542 -0.188 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)C1 ZINC001314969099 1074082769 /nfs/dbraw/zinc/08/27/69/1074082769.db2.gz FYPBNUYUPUNQJF-SCLBCKFNSA-N 0 0 436.542 -0.188 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)C1 ZINC001314969100 1074082968 /nfs/dbraw/zinc/08/29/68/1074082968.db2.gz FYPBNUYUPUNQJF-UGSOOPFHSA-N 0 0 436.542 -0.188 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)C1 ZINC001314969149 1074082958 /nfs/dbraw/zinc/08/29/58/1074082958.db2.gz GOFPSUYBCHUNQO-KBXCAEBGSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)C1 ZINC001314969150 1074082876 /nfs/dbraw/zinc/08/28/76/1074082876.db2.gz GOFPSUYBCHUNQO-KDOFPFPSSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)C1 ZINC001314969151 1074082848 /nfs/dbraw/zinc/08/28/48/1074082848.db2.gz GOFPSUYBCHUNQO-KSSFIOAISA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)C1 ZINC001314969152 1074083012 /nfs/dbraw/zinc/08/30/12/1074083012.db2.gz GOFPSUYBCHUNQO-RDTXWAMCSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001314969371 1074082927 /nfs/dbraw/zinc/08/29/27/1074082927.db2.gz UTZNONJTHPQXFX-BLLLJJGKSA-N 0 0 425.519 -0.858 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001314969377 1074082916 /nfs/dbraw/zinc/08/29/16/1074082916.db2.gz UTZNONJTHPQXFX-LRDDRELGSA-N 0 0 425.519 -0.858 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001314969378 1074082795 /nfs/dbraw/zinc/08/27/95/1074082795.db2.gz UTZNONJTHPQXFX-MLGOLLRUSA-N 0 0 425.519 -0.858 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001314969379 1074082759 /nfs/dbraw/zinc/08/27/59/1074082759.db2.gz UTZNONJTHPQXFX-WBMJQRKESA-N 0 0 425.519 -0.858 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001314969771 1074082830 /nfs/dbraw/zinc/08/28/30/1074082830.db2.gz IEMTWGJALZCYPG-HBUWYVDXSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001314969776 1074082868 /nfs/dbraw/zinc/08/28/68/1074082868.db2.gz IEMTWGJALZCYPG-IYOUNJFTSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001314969778 1074082997 /nfs/dbraw/zinc/08/29/97/1074082997.db2.gz IEMTWGJALZCYPG-LBTNJELSSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001314969780 1074082737 /nfs/dbraw/zinc/08/27/37/1074082737.db2.gz IEMTWGJALZCYPG-PMUMKWKESA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)C1 ZINC001314970093 1074083302 /nfs/dbraw/zinc/08/33/02/1074083302.db2.gz HUALOIFEUIMJPG-GOSISDBHSA-N 0 0 441.558 -0.698 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)C1 ZINC001314970095 1074083281 /nfs/dbraw/zinc/08/32/81/1074083281.db2.gz HUALOIFEUIMJPG-SFHVURJKSA-N 0 0 441.558 -0.698 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001314971453 1074083294 /nfs/dbraw/zinc/08/32/94/1074083294.db2.gz SAPKMWYANUCLMC-PMACEKPBSA-N 0 0 448.549 -0.224 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001314971457 1074083327 /nfs/dbraw/zinc/08/33/27/1074083327.db2.gz SAPKMWYANUCLMC-UXHICEINSA-N 0 0 448.549 -0.224 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001314971459 1074083192 /nfs/dbraw/zinc/08/31/92/1074083192.db2.gz SAPKMWYANUCLMC-VQTJNVASSA-N 0 0 448.549 -0.224 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001314971461 1074083199 /nfs/dbraw/zinc/08/31/99/1074083199.db2.gz SAPKMWYANUCLMC-WOJBJXKFSA-N 0 0 448.549 -0.224 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCOC3)n2CCOCCS(C)(=O)=O)C1 ZINC001314973297 1074083309 /nfs/dbraw/zinc/08/33/09/1074083309.db2.gz UXFUNFFZMGOVPB-KBXCAEBGSA-N 0 0 429.543 -0.194 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CCOC3)n2CCOCCS(C)(=O)=O)C1 ZINC001314973298 1074083272 /nfs/dbraw/zinc/08/32/72/1074083272.db2.gz UXFUNFFZMGOVPB-KDOFPFPSSA-N 0 0 429.543 -0.194 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CCOC3)n2CCOCCS(C)(=O)=O)C1 ZINC001314973299 1074083181 /nfs/dbraw/zinc/08/31/81/1074083181.db2.gz UXFUNFFZMGOVPB-KSSFIOAISA-N 0 0 429.543 -0.194 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCOC3)n2CCOCCS(C)(=O)=O)C1 ZINC001314973300 1074083318 /nfs/dbraw/zinc/08/33/18/1074083318.db2.gz UXFUNFFZMGOVPB-RDTXWAMCSA-N 0 0 429.543 -0.194 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)C1 ZINC001314973417 1074083287 /nfs/dbraw/zinc/08/32/87/1074083287.db2.gz XJAMGKQSWZIURI-NRFANRHFSA-N 0 0 447.540 -0.388 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)C1 ZINC001314973418 1074083230 /nfs/dbraw/zinc/08/32/30/1074083230.db2.gz XJAMGKQSWZIURI-OAQYLSRUSA-N 0 0 447.540 -0.388 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)F)C1 ZINC001314973473 1074083216 /nfs/dbraw/zinc/08/32/16/1074083216.db2.gz YXLIBDHYZXMGHM-GOSISDBHSA-N 0 0 427.456 -0.256 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)F)C1 ZINC001314973474 1074083223 /nfs/dbraw/zinc/08/32/23/1074083223.db2.gz YXLIBDHYZXMGHM-SFHVURJKSA-N 0 0 427.456 -0.256 20 0 IBADRN CNC(=O)c1cccc(Cn2c(C(=O)NC(C)C)nnc2N2CCO[C@H](C(=O)NC)C2)c1 ZINC001314978564 1074083264 /nfs/dbraw/zinc/08/32/64/1074083264.db2.gz YSEIZGJALCWBFZ-INIZCTEOSA-N 0 0 443.508 -0.225 20 0 IBADRN CNC(=O)c1cccc(Cn2c(C(=O)NC(C)C)nnc2N2CCO[C@@H](C(=O)NC)C2)c1 ZINC001314978567 1074083245 /nfs/dbraw/zinc/08/32/45/1074083245.db2.gz YSEIZGJALCWBFZ-MRXNPFEDSA-N 0 0 443.508 -0.225 20 0 IBADRN CNC(=O)c1cccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)c1 ZINC001314982094 1074083236 /nfs/dbraw/zinc/08/32/36/1074083236.db2.gz NGVZDOHMKCIDKM-INIZCTEOSA-N 0 0 446.533 -0.086 20 0 IBADRN CNC(=O)c1cccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)c1 ZINC001314982096 1074083253 /nfs/dbraw/zinc/08/32/53/1074083253.db2.gz NGVZDOHMKCIDKM-MRXNPFEDSA-N 0 0 446.533 -0.086 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001314984817 1074083260 /nfs/dbraw/zinc/08/32/60/1074083260.db2.gz HMCQIAADHHZAOJ-APIJFGDWSA-N 0 0 444.558 -0.609 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001314984818 1074083208 /nfs/dbraw/zinc/08/32/08/1074083208.db2.gz HMCQIAADHHZAOJ-CBBWQLFWSA-N 0 0 444.558 -0.609 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001314984819 1074083604 /nfs/dbraw/zinc/08/36/04/1074083604.db2.gz HMCQIAADHHZAOJ-LJISPDSOSA-N 0 0 444.558 -0.609 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001314984820 1074083611 /nfs/dbraw/zinc/08/36/11/1074083611.db2.gz HMCQIAADHHZAOJ-ZQDZILKHSA-N 0 0 444.558 -0.609 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001314985021 1074083510 /nfs/dbraw/zinc/08/35/10/1074083510.db2.gz IVZKPEGLFWURAK-JCGVRSQUSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001314985022 1074083648 /nfs/dbraw/zinc/08/36/48/1074083648.db2.gz IVZKPEGLFWURAK-SNUQEOBHSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)C1 ZINC001314985023 1074083598 /nfs/dbraw/zinc/08/35/98/1074083598.db2.gz IVZKPEGLFWURAK-VEVIJQCQSA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)C1 ZINC001314985024 1074083532 /nfs/dbraw/zinc/08/35/32/1074083532.db2.gz IVZKPEGLFWURAK-VFVRVIDISA-N 0 0 441.558 -0.671 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)C1 ZINC001314985305 1074083591 /nfs/dbraw/zinc/08/35/91/1074083591.db2.gz NSVVNYNPHUBLFJ-HNNXBMFYSA-N 0 0 435.529 -0.372 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)C1 ZINC001314985306 1074083640 /nfs/dbraw/zinc/08/36/40/1074083640.db2.gz NSVVNYNPHUBLFJ-OAHLLOKOSA-N 0 0 435.529 -0.372 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(C)(C)C(=O)NC)C1 ZINC001314985787 1074083521 /nfs/dbraw/zinc/08/35/21/1074083521.db2.gz NRAHNEJMOWENRT-CHWSQXEVSA-N 0 0 443.574 -0.377 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(C)(C)C(=O)NC)C1 ZINC001314985788 1074083539 /nfs/dbraw/zinc/08/35/39/1074083539.db2.gz NRAHNEJMOWENRT-OLZOCXBDSA-N 0 0 443.574 -0.377 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(C)(C)C(=O)NC)C1 ZINC001314985789 1074083498 /nfs/dbraw/zinc/08/34/98/1074083498.db2.gz NRAHNEJMOWENRT-QWHCGFSZSA-N 0 0 443.574 -0.377 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(C)(C)C(=O)NC)C1 ZINC001314985790 1074083572 /nfs/dbraw/zinc/08/35/72/1074083572.db2.gz NRAHNEJMOWENRT-STQMWFEESA-N 0 0 443.574 -0.377 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001314987315 1074083656 /nfs/dbraw/zinc/08/36/56/1074083656.db2.gz XQHZSNAVXDBFFH-CHWSQXEVSA-N 0 0 425.519 -0.858 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001314987316 1074083618 /nfs/dbraw/zinc/08/36/18/1074083618.db2.gz XQHZSNAVXDBFFH-OLZOCXBDSA-N 0 0 425.519 -0.858 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001314987317 1074083579 /nfs/dbraw/zinc/08/35/79/1074083579.db2.gz XQHZSNAVXDBFFH-QWHCGFSZSA-N 0 0 425.519 -0.858 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)C1 ZINC001314987318 1074083622 /nfs/dbraw/zinc/08/36/22/1074083622.db2.gz XQHZSNAVXDBFFH-STQMWFEESA-N 0 0 425.519 -0.858 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)C(C)C)C1 ZINC001314987700 1074083925 /nfs/dbraw/zinc/08/39/25/1074083925.db2.gz CVHYSWRZVQXDAY-CABCVRRESA-N 0 0 440.570 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)C(C)C)C1 ZINC001314987701 1074083949 /nfs/dbraw/zinc/08/39/49/1074083949.db2.gz CVHYSWRZVQXDAY-GJZGRUSLSA-N 0 0 440.570 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)C(C)C)C1 ZINC001314987703 1074083852 /nfs/dbraw/zinc/08/38/52/1074083852.db2.gz CVHYSWRZVQXDAY-HUUCEWRRSA-N 0 0 440.570 -0.085 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)C(C)C)C1 ZINC001314987706 1074083906 /nfs/dbraw/zinc/08/39/06/1074083906.db2.gz CVHYSWRZVQXDAY-LSDHHAIUSA-N 0 0 440.570 -0.085 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H](C)OC)C1 ZINC001314988629 1074083860 /nfs/dbraw/zinc/08/38/60/1074083860.db2.gz GUAWSWPCXGCTSB-KFWWJZLASA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H](C)OC)C1 ZINC001314988630 1074083816 /nfs/dbraw/zinc/08/38/16/1074083816.db2.gz GUAWSWPCXGCTSB-RBSFLKMASA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H](C)OC)C1 ZINC001314988631 1074083934 /nfs/dbraw/zinc/08/39/34/1074083934.db2.gz GUAWSWPCXGCTSB-RRFJBIMHSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H](C)OC)C1 ZINC001314988632 1074083942 /nfs/dbraw/zinc/08/39/42/1074083942.db2.gz GUAWSWPCXGCTSB-ZNMIVQPWSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)C1 ZINC001314989575 1074083980 /nfs/dbraw/zinc/08/39/80/1074083980.db2.gz JDVUWNCXNVUXEY-KBPBESRZSA-N 0 0 447.583 -0.423 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)C1 ZINC001314989577 1074083896 /nfs/dbraw/zinc/08/38/96/1074083896.db2.gz JDVUWNCXNVUXEY-KGLIPLIRSA-N 0 0 447.583 -0.423 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)C1 ZINC001314989579 1074083972 /nfs/dbraw/zinc/08/39/72/1074083972.db2.gz JDVUWNCXNVUXEY-UONOGXRCSA-N 0 0 447.583 -0.423 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)C1 ZINC001314989581 1074083883 /nfs/dbraw/zinc/08/38/83/1074083883.db2.gz JDVUWNCXNVUXEY-ZIAGYGMSSA-N 0 0 447.583 -0.423 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cnccn3)n2CCC(=O)N2CCOCC2)C1 ZINC001314993903 1074083968 /nfs/dbraw/zinc/08/39/68/1074083968.db2.gz QJAVBJMAMNBXBM-HNNXBMFYSA-N 0 0 428.497 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cnccn3)n2CCC(=O)N2CCOCC2)C1 ZINC001314993904 1074083958 /nfs/dbraw/zinc/08/39/58/1074083958.db2.gz QJAVBJMAMNBXBM-OAHLLOKOSA-N 0 0 428.497 -0.054 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cnccn3)n2CCN2CCCS2(=O)=O)C1 ZINC001314994591 1074083915 /nfs/dbraw/zinc/08/39/15/1074083915.db2.gz UFHYAKLGUIDJOR-AWEZNQCLSA-N 0 0 434.526 -0.267 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cnccn3)n2CCN2CCCS2(=O)=O)C1 ZINC001314994592 1074083877 /nfs/dbraw/zinc/08/38/77/1074083877.db2.gz UFHYAKLGUIDJOR-CQSZACIVSA-N 0 0 434.526 -0.267 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001314994705 1074084199 /nfs/dbraw/zinc/08/41/99/1074084199.db2.gz UVTLRGSQGRPEQG-ACJLOTCBSA-N 0 0 437.526 -0.809 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001314994723 1074084176 /nfs/dbraw/zinc/08/41/76/1074084176.db2.gz UVTLRGSQGRPEQG-FZKQIMNGSA-N 0 0 437.526 -0.809 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001314994725 1074084361 /nfs/dbraw/zinc/08/43/61/1074084361.db2.gz UVTLRGSQGRPEQG-SCLBCKFNSA-N 0 0 437.526 -0.809 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001314994728 1074084208 /nfs/dbraw/zinc/08/42/08/1074084208.db2.gz UVTLRGSQGRPEQG-UGSOOPFHSA-N 0 0 437.526 -0.809 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCN(C(C)=O)CC2)C1 ZINC001314995339 1074084284 /nfs/dbraw/zinc/08/42/84/1074084284.db2.gz ZJYVHSZHOKPBOB-MSOLQXFVSA-N 0 0 433.557 -0.092 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCN(C(C)=O)CC2)C1 ZINC001314995341 1074084239 /nfs/dbraw/zinc/08/42/39/1074084239.db2.gz ZJYVHSZHOKPBOB-QZTJIDSGSA-N 0 0 433.557 -0.092 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCN(C(C)=O)CC2)C1 ZINC001314995463 1074084313 /nfs/dbraw/zinc/08/43/13/1074084313.db2.gz ZJYVHSZHOKPBOB-ROUUACIJSA-N 0 0 433.557 -0.092 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCN(C(C)=O)CC2)C1 ZINC001314995467 1074084256 /nfs/dbraw/zinc/08/42/56/1074084256.db2.gz ZJYVHSZHOKPBOB-ZWKOTPCHSA-N 0 0 433.557 -0.092 20 0 IBADRN CNC(=O)C1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001314997501 1074084337 /nfs/dbraw/zinc/08/43/37/1074084337.db2.gz UMFILMYPQAJVIL-AWEZNQCLSA-N 0 0 438.554 -0.089 20 0 IBADRN CNC(=O)C1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001314997502 1074084188 /nfs/dbraw/zinc/08/41/88/1074084188.db2.gz UMFILMYPQAJVIL-CQSZACIVSA-N 0 0 438.554 -0.089 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)CC1 ZINC001314998362 1074084368 /nfs/dbraw/zinc/08/43/68/1074084368.db2.gz HPLXILBNCPNLFK-BODITIBLSA-N 0 0 446.599 -0.238 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)CC1 ZINC001314998363 1074084220 /nfs/dbraw/zinc/08/42/20/1074084220.db2.gz HPLXILBNCPNLFK-JNFKXCBWSA-N 0 0 446.599 -0.238 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)CC1 ZINC001314998364 1074084352 /nfs/dbraw/zinc/08/43/52/1074084352.db2.gz HPLXILBNCPNLFK-PSBQPLHCSA-N 0 0 446.599 -0.238 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)CC1 ZINC001314998365 1074084304 /nfs/dbraw/zinc/08/43/04/1074084304.db2.gz HPLXILBNCPNLFK-YASQENCXSA-N 0 0 446.599 -0.238 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@@H]2COCCO2)CC1 ZINC001314998424 1074084230 /nfs/dbraw/zinc/08/42/30/1074084230.db2.gz IZIXCKDPPQUQTP-DZGCQCFKSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@H]2COCCO2)CC1 ZINC001314998425 1074084298 /nfs/dbraw/zinc/08/42/98/1074084298.db2.gz IZIXCKDPPQUQTP-HIFRSBDPSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@@H]2COCCO2)CC1 ZINC001314998426 1074084345 /nfs/dbraw/zinc/08/43/45/1074084345.db2.gz IZIXCKDPPQUQTP-UKRRQHHQSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@H]2COCCO2)CC1 ZINC001314998427 1074084329 /nfs/dbraw/zinc/08/43/29/1074084329.db2.gz IZIXCKDPPQUQTP-ZFWWWQNUSA-N 0 0 444.558 -0.344 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cc(C)nn2C)CC1 ZINC001314998719 1074084379 /nfs/dbraw/zinc/08/43/79/1074084379.db2.gz OPYJHOVNXXMORU-CYBMUJFWSA-N 0 0 438.558 -0.059 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cc(C)nn2C)CC1 ZINC001314998720 1074084271 /nfs/dbraw/zinc/08/42/71/1074084271.db2.gz OPYJHOVNXXMORU-ZDUSSCGKSA-N 0 0 438.558 -0.059 20 0 IBADRN CNC(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)CC1 ZINC001314998899 1074084857 /nfs/dbraw/zinc/08/48/57/1074084857.db2.gz RKQSJACOHAPFLU-UHFFFAOYSA-N 0 0 429.547 -0.730 20 0 IBADRN CNC(=O)C1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)CC1 ZINC001315001126 1074084845 /nfs/dbraw/zinc/08/48/45/1074084845.db2.gz CYISFXNDLNYMLK-UHFFFAOYSA-N 0 0 433.513 -0.778 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)CC1 ZINC001315002860 1074084673 /nfs/dbraw/zinc/08/46/73/1074084673.db2.gz BFCCEHVOXJWNFR-HNNXBMFYSA-N 0 0 436.542 -0.176 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)CC1 ZINC001315002861 1074084804 /nfs/dbraw/zinc/08/48/04/1074084804.db2.gz BFCCEHVOXJWNFR-OAHLLOKOSA-N 0 0 436.542 -0.176 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)CC1 ZINC001315005087 1074084877 /nfs/dbraw/zinc/08/48/77/1074084877.db2.gz WBRSGPYWSGCMJA-AWEZNQCLSA-N 0 0 430.531 -0.780 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)CC1 ZINC001315005088 1074084742 /nfs/dbraw/zinc/08/47/42/1074084742.db2.gz WBRSGPYWSGCMJA-CQSZACIVSA-N 0 0 430.531 -0.780 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2=CCCOC2)CCO1 ZINC001315005140 1074084885 /nfs/dbraw/zinc/08/48/85/1074084885.db2.gz DAROPYVDLOTRBG-GXTWGEPZSA-N 0 0 428.515 -0.814 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2=CCCOC2)CCO1 ZINC001315005143 1074084827 /nfs/dbraw/zinc/08/48/27/1074084827.db2.gz DAROPYVDLOTRBG-JSGCOSHPSA-N 0 0 428.515 -0.814 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2=CCCOC2)CCO1 ZINC001315005144 1074084795 /nfs/dbraw/zinc/08/47/95/1074084795.db2.gz DAROPYVDLOTRBG-OCCSQVGLSA-N 0 0 428.515 -0.814 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2=CCCOC2)CCO1 ZINC001315005145 1074084769 /nfs/dbraw/zinc/08/47/69/1074084769.db2.gz DAROPYVDLOTRBG-TZMCWYRMSA-N 0 0 428.515 -0.814 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CCO1 ZINC001315005146 1074084869 /nfs/dbraw/zinc/08/48/69/1074084869.db2.gz DCAGMTDSRHJOEI-CHWSQXEVSA-N 0 0 430.531 -0.855 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CCO1 ZINC001315005150 1074084731 /nfs/dbraw/zinc/08/47/31/1074084731.db2.gz DCAGMTDSRHJOEI-OLZOCXBDSA-N 0 0 430.531 -0.855 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CCO1 ZINC001315005151 1074084895 /nfs/dbraw/zinc/08/48/95/1074084895.db2.gz DCAGMTDSRHJOEI-QWHCGFSZSA-N 0 0 430.531 -0.855 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CCO1 ZINC001315005152 1074084687 /nfs/dbraw/zinc/08/46/87/1074084687.db2.gz DCAGMTDSRHJOEI-STQMWFEESA-N 0 0 430.531 -0.855 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCO[C@@H](C)C2)CCO1 ZINC001315005914 1074084714 /nfs/dbraw/zinc/08/47/14/1074084714.db2.gz LONHPIVOTZMEMX-AJNGGQMLSA-N 0 0 444.558 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCO[C@H](C)C2)CCO1 ZINC001315005919 1074084626 /nfs/dbraw/zinc/08/46/26/1074084626.db2.gz LONHPIVOTZMEMX-BARDWOONSA-N 0 0 444.558 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCO[C@H](C)C2)CCO1 ZINC001315005921 1074084658 /nfs/dbraw/zinc/08/46/58/1074084658.db2.gz LONHPIVOTZMEMX-QPSCCSFWSA-N 0 0 444.558 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCO[C@@H](C)C2)CCO1 ZINC001315005923 1074084833 /nfs/dbraw/zinc/08/48/33/1074084833.db2.gz LONHPIVOTZMEMX-XQLPTFJDSA-N 0 0 444.558 -0.345 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@H]2CCOC2)CCO1 ZINC001315006055 1074084816 /nfs/dbraw/zinc/08/48/16/1074084816.db2.gz MLRKMJXVBDLYRF-IHRRRGAJSA-N 0 0 430.531 -0.734 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@@H]2CCOC2)CCO1 ZINC001315006056 1074084783 /nfs/dbraw/zinc/08/47/83/1074084783.db2.gz MLRKMJXVBDLYRF-MCIONIFRSA-N 0 0 430.531 -0.734 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@@H]2CCOC2)CCO1 ZINC001315006058 1074085108 /nfs/dbraw/zinc/08/51/08/1074085108.db2.gz MLRKMJXVBDLYRF-MJBXVCDLSA-N 0 0 430.531 -0.734 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@H]2CCOC2)CCO1 ZINC001315006059 1074085272 /nfs/dbraw/zinc/08/52/72/1074085272.db2.gz MLRKMJXVBDLYRF-RDBSUJKOSA-N 0 0 430.531 -0.734 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC2(O)CCC2)CCO1 ZINC001315006321 1074085131 /nfs/dbraw/zinc/08/51/31/1074085131.db2.gz OXXIZKCYRRYVIL-CHWSQXEVSA-N 0 0 430.531 -0.855 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC2(O)CCC2)CCO1 ZINC001315006322 1074085166 /nfs/dbraw/zinc/08/51/66/1074085166.db2.gz OXXIZKCYRRYVIL-OLZOCXBDSA-N 0 0 430.531 -0.855 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC2(O)CCC2)CCO1 ZINC001315006323 1074085241 /nfs/dbraw/zinc/08/52/41/1074085241.db2.gz OXXIZKCYRRYVIL-QWHCGFSZSA-N 0 0 430.531 -0.855 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC2(O)CCC2)CCO1 ZINC001315006324 1074085090 /nfs/dbraw/zinc/08/50/90/1074085090.db2.gz OXXIZKCYRRYVIL-STQMWFEESA-N 0 0 430.531 -0.855 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)OCCOC)n2CC2(O)CCOCC2)CCO1 ZINC001315007049 1074085120 /nfs/dbraw/zinc/08/51/20/1074085120.db2.gz XDISCZLDLAWKMG-CABCVRRESA-N 0 0 427.502 -0.505 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)OCCOC)n2CC2(O)CCOCC2)CCO1 ZINC001315007054 1074085142 /nfs/dbraw/zinc/08/51/42/1074085142.db2.gz XDISCZLDLAWKMG-GJZGRUSLSA-N 0 0 427.502 -0.505 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)OCCOC)n2CC2(O)CCOCC2)CCO1 ZINC001315007061 1074085100 /nfs/dbraw/zinc/08/51/00/1074085100.db2.gz XDISCZLDLAWKMG-HUUCEWRRSA-N 0 0 427.502 -0.505 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)OCCOC)n2CC2(O)CCOCC2)CCO1 ZINC001315007063 1074085175 /nfs/dbraw/zinc/08/51/75/1074085175.db2.gz XDISCZLDLAWKMG-LSDHHAIUSA-N 0 0 427.502 -0.505 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccccc3F)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315007253 1074085205 /nfs/dbraw/zinc/08/52/05/1074085205.db2.gz AVKUIFFMDUZMMG-HNNXBMFYSA-N 0 0 440.501 -0.073 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccccc3F)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315007254 1074085232 /nfs/dbraw/zinc/08/52/32/1074085232.db2.gz AVKUIFFMDUZMMG-OAHLLOKOSA-N 0 0 440.501 -0.073 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cccn3C)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315007525 1074085071 /nfs/dbraw/zinc/08/50/71/1074085071.db2.gz BRXIANAHZQPXQX-HNNXBMFYSA-N 0 0 440.526 -0.704 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cccn3C)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315007526 1074085262 /nfs/dbraw/zinc/08/52/62/1074085262.db2.gz BRXIANAHZQPXQX-OAHLLOKOSA-N 0 0 440.526 -0.704 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccccn3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001315007527 1074085081 /nfs/dbraw/zinc/08/50/81/1074085081.db2.gz BWFGRQRKDVXXFA-GDBMZVCRSA-N 0 0 449.537 -0.285 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccccn3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001315007528 1074085185 /nfs/dbraw/zinc/08/51/85/1074085185.db2.gz BWFGRQRKDVXXFA-ZBFHGGJFSA-N 0 0 449.537 -0.285 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CC2(S(C)(=O)=O)CC2)CCO1 ZINC001315007926 1074085493 /nfs/dbraw/zinc/08/54/93/1074085493.db2.gz AIEHBEFBRRAGTP-CYBMUJFWSA-N 0 0 440.526 -0.958 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CC2(S(C)(=O)=O)CC2)CCO1 ZINC001315007927 1074085671 /nfs/dbraw/zinc/08/56/71/1074085671.db2.gz AIEHBEFBRRAGTP-ZDUSSCGKSA-N 0 0 440.526 -0.958 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCCOC2CCOCC2)CCO1 ZINC001315008013 1074085550 /nfs/dbraw/zinc/08/55/50/1074085550.db2.gz BWZFVJLLOVSQQY-INIZCTEOSA-N 0 0 438.529 -0.047 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCCOC2CCOCC2)CCO1 ZINC001315008015 1074085662 /nfs/dbraw/zinc/08/56/62/1074085662.db2.gz BWZFVJLLOVSQQY-MRXNPFEDSA-N 0 0 438.529 -0.047 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCCO[C@@H]2CCOC2)CCO1 ZINC001315008666 1074085632 /nfs/dbraw/zinc/08/56/32/1074085632.db2.gz FJOIJGQOCXYTEE-CVEARBPZSA-N 0 0 436.513 -0.339 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCCO[C@H]2CCOC2)CCO1 ZINC001315008668 1074085528 /nfs/dbraw/zinc/08/55/28/1074085528.db2.gz FJOIJGQOCXYTEE-HOTGVXAUSA-N 0 0 436.513 -0.339 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCCO[C@@H]2CCOC2)CCO1 ZINC001315008670 1074085577 /nfs/dbraw/zinc/08/55/77/1074085577.db2.gz FJOIJGQOCXYTEE-HZPDHXFCSA-N 0 0 436.513 -0.339 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCCO[C@H]2CCOC2)CCO1 ZINC001315008672 1074085623 /nfs/dbraw/zinc/08/56/23/1074085623.db2.gz FJOIJGQOCXYTEE-JKSUJKDBSA-N 0 0 436.513 -0.339 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc[nH]3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315008710 1074085452 /nfs/dbraw/zinc/08/54/52/1074085452.db2.gz PPFZUPAQZVDNNQ-AWEZNQCLSA-N 0 0 426.499 -0.714 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc[nH]3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315008716 1074085614 /nfs/dbraw/zinc/08/56/14/1074085614.db2.gz PPFZUPAQZVDNNQ-CQSZACIVSA-N 0 0 426.499 -0.714 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCCCC2)CCO1 ZINC001315008772 1074085644 /nfs/dbraw/zinc/08/56/44/1074085644.db2.gz GUSYJKWCUYFJST-HNNXBMFYSA-N 0 0 435.529 -0.230 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCCCC2)CCO1 ZINC001315008773 1074085602 /nfs/dbraw/zinc/08/56/02/1074085602.db2.gz GUSYJKWCUYFJST-OAHLLOKOSA-N 0 0 435.529 -0.230 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CC[C@]3(CCOC3)O2)CCO1 ZINC001315009471 1074085538 /nfs/dbraw/zinc/08/55/38/1074085538.db2.gz KPPFGHUUTQMXQH-AUSJPIAWSA-N 0 0 436.513 -0.294 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CC[C@@]3(CCOC3)O2)CCO1 ZINC001315009472 1074085653 /nfs/dbraw/zinc/08/56/53/1074085653.db2.gz KPPFGHUUTQMXQH-AVYPCKFXSA-N 0 0 436.513 -0.294 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CC[C@]3(CCOC3)O2)CCO1 ZINC001315009473 1074085481 /nfs/dbraw/zinc/08/54/81/1074085481.db2.gz KPPFGHUUTQMXQH-QEEYODRMSA-N 0 0 436.513 -0.294 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CC[C@@]3(CCOC3)O2)CCO1 ZINC001315009474 1074085590 /nfs/dbraw/zinc/08/55/90/1074085590.db2.gz KPPFGHUUTQMXQH-SIFCLUCFSA-N 0 0 436.513 -0.294 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccc(F)cn2)CCO1 ZINC001315010045 1074085978 /nfs/dbraw/zinc/08/59/78/1074085978.db2.gz BZRLSXWXPUKBKT-DOMZBBRYSA-N 0 0 438.485 -0.286 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccc(F)cn2)CCO1 ZINC001315010046 1074085913 /nfs/dbraw/zinc/08/59/13/1074085913.db2.gz BZRLSXWXPUKBKT-IUODEOHRSA-N 0 0 438.485 -0.286 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccc(F)cn2)CCO1 ZINC001315010047 1074085952 /nfs/dbraw/zinc/08/59/52/1074085952.db2.gz BZRLSXWXPUKBKT-SWLSCSKDSA-N 0 0 438.485 -0.286 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccc(F)cn2)CCO1 ZINC001315010048 1074085885 /nfs/dbraw/zinc/08/58/85/1074085885.db2.gz BZRLSXWXPUKBKT-WFASDCNBSA-N 0 0 438.485 -0.286 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCOC2)CCO1 ZINC001315010265 1074085904 /nfs/dbraw/zinc/08/59/04/1074085904.db2.gz DSOCCHGYLAHUON-ILXRZTDVSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCOC2)CCO1 ZINC001315010266 1074085984 /nfs/dbraw/zinc/08/59/84/1074085984.db2.gz DSOCCHGYLAHUON-KFWWJZLASA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCOC2)CCO1 ZINC001315010267 1074085957 /nfs/dbraw/zinc/08/59/57/1074085957.db2.gz DSOCCHGYLAHUON-KKUMJFAQSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCOC2)CCO1 ZINC001315010268 1074085918 /nfs/dbraw/zinc/08/59/18/1074085918.db2.gz DSOCCHGYLAHUON-ZNMIVQPWSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCCCCS(C)(=O)=O)CCO1 ZINC001315010569 1074085929 /nfs/dbraw/zinc/08/59/29/1074085929.db2.gz CSHHETJNWIPUQE-HNNXBMFYSA-N 0 0 439.542 -0.155 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCCCCS(C)(=O)=O)CCO1 ZINC001315010570 1074085944 /nfs/dbraw/zinc/08/59/44/1074085944.db2.gz CSHHETJNWIPUQE-OAHLLOKOSA-N 0 0 439.542 -0.155 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCCCCO)CCO1 ZINC001315010623 1074085922 /nfs/dbraw/zinc/08/59/22/1074085922.db2.gz GWZMDSSIXUSBED-CABCVRRESA-N 0 0 429.543 -0.316 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCCCCO)CCO1 ZINC001315010624 1074085997 /nfs/dbraw/zinc/08/59/97/1074085997.db2.gz GWZMDSSIXUSBED-GJZGRUSLSA-N 0 0 429.543 -0.316 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCCCCO)CCO1 ZINC001315010625 1074085937 /nfs/dbraw/zinc/08/59/37/1074085937.db2.gz GWZMDSSIXUSBED-HUUCEWRRSA-N 0 0 429.543 -0.316 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCCCCO)CCO1 ZINC001315010626 1074085891 /nfs/dbraw/zinc/08/58/91/1074085891.db2.gz GWZMDSSIXUSBED-LSDHHAIUSA-N 0 0 429.543 -0.316 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCNC(=O)c2cccs2)CCO1 ZINC001315010723 1074085895 /nfs/dbraw/zinc/08/58/95/1074085895.db2.gz QWEKWVUJMXNRCF-CYBMUJFWSA-N 0 0 449.537 -0.141 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCNC(=O)c2cccs2)CCO1 ZINC001315010724 1074085964 /nfs/dbraw/zinc/08/59/64/1074085964.db2.gz QWEKWVUJMXNRCF-ZDUSSCGKSA-N 0 0 449.537 -0.141 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(C)(C)C)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001315010776 1074085909 /nfs/dbraw/zinc/08/59/09/1074085909.db2.gz SAJIIUXOYCQOLE-CYBMUJFWSA-N 0 0 429.543 -0.534 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(C)(C)C)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001315010777 1074085990 /nfs/dbraw/zinc/08/59/90/1074085990.db2.gz SAJIIUXOYCQOLE-ZDUSSCGKSA-N 0 0 429.543 -0.534 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2ccc(OC)nc2)CCO1 ZINC001315010781 1074085969 /nfs/dbraw/zinc/08/59/69/1074085969.db2.gz SFIVRXRHJYLEHQ-HNNXBMFYSA-N 0 0 429.481 -0.083 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2ccc(OC)nc2)CCO1 ZINC001315010782 1074085900 /nfs/dbraw/zinc/08/59/00/1074085900.db2.gz SFIVRXRHJYLEHQ-OAHLLOKOSA-N 0 0 429.481 -0.083 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCC(F)F)CCO1 ZINC001315010893 1074086284 /nfs/dbraw/zinc/08/62/84/1074086284.db2.gz JUSFMBSYCGPFSK-NEPJUHHUSA-N 0 0 437.469 -0.587 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCC(F)F)CCO1 ZINC001315010894 1074086329 /nfs/dbraw/zinc/08/63/29/1074086329.db2.gz JUSFMBSYCGPFSK-NWDGAFQWSA-N 0 0 437.469 -0.587 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCC(F)F)CCO1 ZINC001315010895 1074086305 /nfs/dbraw/zinc/08/63/05/1074086305.db2.gz JUSFMBSYCGPFSK-RYUDHWBXSA-N 0 0 437.469 -0.587 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCC(F)F)CCO1 ZINC001315010896 1074086297 /nfs/dbraw/zinc/08/62/97/1074086297.db2.gz JUSFMBSYCGPFSK-VXGBXAGGSA-N 0 0 437.469 -0.587 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cc(C)nn2C)CCO1 ZINC001315010897 1074086270 /nfs/dbraw/zinc/08/62/70/1074086270.db2.gz JWNFKMDVMJBJNF-DZGCQCFKSA-N 0 0 437.526 -0.778 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cc(C)nn2C)CCO1 ZINC001315010898 1074086471 /nfs/dbraw/zinc/08/64/71/1074086471.db2.gz JWNFKMDVMJBJNF-HIFRSBDPSA-N 0 0 437.526 -0.778 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cc(C)nn2C)CCO1 ZINC001315010899 1074086259 /nfs/dbraw/zinc/08/62/59/1074086259.db2.gz JWNFKMDVMJBJNF-UKRRQHHQSA-N 0 0 437.526 -0.778 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cc(C)nn2C)CCO1 ZINC001315010900 1074086248 /nfs/dbraw/zinc/08/62/48/1074086248.db2.gz JWNFKMDVMJBJNF-ZFWWWQNUSA-N 0 0 437.526 -0.778 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCCCN2CCOCC2)CCO1 ZINC001315011122 1074086431 /nfs/dbraw/zinc/08/64/31/1074086431.db2.gz TUPCTYRAMFNKQR-INIZCTEOSA-N 0 0 437.545 -0.520 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCCCN2CCOCC2)CCO1 ZINC001315011123 1074086367 /nfs/dbraw/zinc/08/63/67/1074086367.db2.gz TUPCTYRAMFNKQR-MRXNPFEDSA-N 0 0 437.545 -0.520 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCOC[C@H]2C)CCO1 ZINC001315011213 1074086455 /nfs/dbraw/zinc/08/64/55/1074086455.db2.gz VIDHAJXPNOPBAO-CVEARBPZSA-N 0 0 435.529 -0.814 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001315011221 1074086463 /nfs/dbraw/zinc/08/64/63/1074086463.db2.gz VIDHAJXPNOPBAO-HOTGVXAUSA-N 0 0 435.529 -0.814 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCOC[C@H]2C)CCO1 ZINC001315011222 1074086449 /nfs/dbraw/zinc/08/64/49/1074086449.db2.gz VIDHAJXPNOPBAO-HZPDHXFCSA-N 0 0 435.529 -0.814 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001315011224 1074086237 /nfs/dbraw/zinc/08/62/37/1074086237.db2.gz VIDHAJXPNOPBAO-JKSUJKDBSA-N 0 0 435.529 -0.814 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2OCCC2(C)C)CCO1 ZINC001315011284 1074086387 /nfs/dbraw/zinc/08/63/87/1074086387.db2.gz MHGIFZKYRKZGCL-ILXRZTDVSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2OCCC2(C)C)CCO1 ZINC001315011286 1074086316 /nfs/dbraw/zinc/08/63/16/1074086316.db2.gz MHGIFZKYRKZGCL-KKUMJFAQSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2OCCC2(C)C)CCO1 ZINC001315011287 1074086891 /nfs/dbraw/zinc/08/68/91/1074086891.db2.gz MHGIFZKYRKZGCL-QLFBSQMISA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2OCCC2(C)C)CCO1 ZINC001315011288 1074086753 /nfs/dbraw/zinc/08/67/53/1074086753.db2.gz MHGIFZKYRKZGCL-SOUVJXGZSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)COC)CCO1 ZINC001315011333 1074086712 /nfs/dbraw/zinc/08/67/12/1074086712.db2.gz MTCTXDRLUTUKAY-KBPBESRZSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)COC)CCO1 ZINC001315011334 1074086764 /nfs/dbraw/zinc/08/67/64/1074086764.db2.gz MTCTXDRLUTUKAY-KGLIPLIRSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)COC)CCO1 ZINC001315011335 1074086782 /nfs/dbraw/zinc/08/67/82/1074086782.db2.gz MTCTXDRLUTUKAY-UONOGXRCSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)COC)CCO1 ZINC001315011336 1074086679 /nfs/dbraw/zinc/08/66/79/1074086679.db2.gz MTCTXDRLUTUKAY-ZIAGYGMSSA-N 0 0 429.543 -0.196 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2Cc2ccc(N(C)C)nc2)CCO1 ZINC001315011650 1074086690 /nfs/dbraw/zinc/08/66/90/1074086690.db2.gz WBJSGCSCSAMRBL-HNNXBMFYSA-N 0 0 430.513 -0.123 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2Cc2ccc(N(C)C)nc2)CCO1 ZINC001315011652 1074086859 /nfs/dbraw/zinc/08/68/59/1074086859.db2.gz WBJSGCSCSAMRBL-OAHLLOKOSA-N 0 0 430.513 -0.123 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc(C)o3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315012019 1074086878 /nfs/dbraw/zinc/08/68/78/1074086878.db2.gz DIQLICUXVJYWOJ-GOSISDBHSA-N 0 0 445.524 -0.038 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc(C)o3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315012020 1074086827 /nfs/dbraw/zinc/08/68/27/1074086827.db2.gz DIQLICUXVJYWOJ-SFHVURJKSA-N 0 0 445.524 -0.038 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315012210 1074086772 /nfs/dbraw/zinc/08/67/72/1074086772.db2.gz RRDFOQQOYMEOLN-KBPBESRZSA-N 0 0 430.531 -0.985 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315012213 1074086809 /nfs/dbraw/zinc/08/68/09/1074086809.db2.gz RRDFOQQOYMEOLN-KGLIPLIRSA-N 0 0 430.531 -0.985 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315012214 1074086850 /nfs/dbraw/zinc/08/68/50/1074086850.db2.gz RRDFOQQOYMEOLN-UONOGXRCSA-N 0 0 430.531 -0.985 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315012215 1074086839 /nfs/dbraw/zinc/08/68/39/1074086839.db2.gz RRDFOQQOYMEOLN-ZIAGYGMSSA-N 0 0 430.531 -0.985 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCOC[C@@H]2CCCO2)CCO1 ZINC001315012653 1074086732 /nfs/dbraw/zinc/08/67/32/1074086732.db2.gz KUMFTOXNOXTWOS-JYJNAYRXSA-N 0 0 436.513 -0.234 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCOC[C@H]2CCCO2)CCO1 ZINC001315012654 1074086789 /nfs/dbraw/zinc/08/67/89/1074086789.db2.gz KUMFTOXNOXTWOS-OAGGEKHMSA-N 0 0 436.513 -0.234 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCOC[C@H]2CCCO2)CCO1 ZINC001315012655 1074086719 /nfs/dbraw/zinc/08/67/19/1074086719.db2.gz KUMFTOXNOXTWOS-PMPSAXMXSA-N 0 0 436.513 -0.234 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCOC[C@@H]2CCCO2)CCO1 ZINC001315012656 1074086742 /nfs/dbraw/zinc/08/67/42/1074086742.db2.gz KUMFTOXNOXTWOS-XHSDSOJGSA-N 0 0 436.513 -0.234 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC2(O)CCC2)CCO1 ZINC001315012733 1074087088 /nfs/dbraw/zinc/08/70/88/1074087088.db2.gz WEZFFSNHNQCYBB-KBPBESRZSA-N 0 0 427.527 -0.564 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC2(O)CCC2)CCO1 ZINC001315012734 1074087193 /nfs/dbraw/zinc/08/71/93/1074087193.db2.gz WEZFFSNHNQCYBB-KGLIPLIRSA-N 0 0 427.527 -0.564 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC2(O)CCC2)CCO1 ZINC001315012735 1074087186 /nfs/dbraw/zinc/08/71/86/1074087186.db2.gz WEZFFSNHNQCYBB-UONOGXRCSA-N 0 0 427.527 -0.564 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC2(O)CCC2)CCO1 ZINC001315012736 1074087116 /nfs/dbraw/zinc/08/71/16/1074087116.db2.gz WEZFFSNHNQCYBB-ZIAGYGMSSA-N 0 0 427.527 -0.564 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC(C)C)CCO1 ZINC001315013022 1074087132 /nfs/dbraw/zinc/08/71/32/1074087132.db2.gz YVKVLVQJHCBLGY-CABCVRRESA-N 0 0 429.543 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC(C)C)CCO1 ZINC001315013023 1074087106 /nfs/dbraw/zinc/08/71/06/1074087106.db2.gz YVKVLVQJHCBLGY-GJZGRUSLSA-N 0 0 429.543 -0.054 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC(C)C)CCO1 ZINC001315013024 1074087170 /nfs/dbraw/zinc/08/71/70/1074087170.db2.gz YVKVLVQJHCBLGY-HUUCEWRRSA-N 0 0 429.543 -0.054 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC(C)C)CCO1 ZINC001315013025 1074087238 /nfs/dbraw/zinc/08/72/38/1074087238.db2.gz YVKVLVQJHCBLGY-LSDHHAIUSA-N 0 0 429.543 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc(C)o3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315013279 1074087159 /nfs/dbraw/zinc/08/71/59/1074087159.db2.gz KXJRXKHAVWCYPK-AWEZNQCLSA-N 0 0 426.499 -0.311 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc(C)o3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315013280 1074087076 /nfs/dbraw/zinc/08/70/76/1074087076.db2.gz KXJRXKHAVWCYPK-CQSZACIVSA-N 0 0 426.499 -0.311 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001315013627 1074087179 /nfs/dbraw/zinc/08/71/79/1074087179.db2.gz QLJSUQFCODSQPT-CABCVRRESA-N 0 0 433.513 -0.513 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001315013628 1074087150 /nfs/dbraw/zinc/08/71/50/1074087150.db2.gz QLJSUQFCODSQPT-GJZGRUSLSA-N 0 0 433.513 -0.513 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001315013629 1074087086 /nfs/dbraw/zinc/08/70/86/1074087086.db2.gz QLJSUQFCODSQPT-HUUCEWRRSA-N 0 0 433.513 -0.513 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNC(=O)C2CCC2)CCO1 ZINC001315013630 1074087141 /nfs/dbraw/zinc/08/71/41/1074087141.db2.gz QLJSUQFCODSQPT-LSDHHAIUSA-N 0 0 433.513 -0.513 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cccc(C)n3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001315013928 1074087569 /nfs/dbraw/zinc/08/75/69/1074087569.db2.gz SNPWTDNFWHEVNH-INIZCTEOSA-N 0 0 443.508 -0.118 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cccc(C)n3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001315013933 1074087633 /nfs/dbraw/zinc/08/76/33/1074087633.db2.gz SNPWTDNFWHEVNH-MRXNPFEDSA-N 0 0 443.508 -0.118 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccccc3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001315014129 1074087644 /nfs/dbraw/zinc/08/76/44/1074087644.db2.gz VKVASZQBQNSDQI-INIZCTEOSA-N 0 0 449.533 -0.164 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccccc3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001315014131 1074087477 /nfs/dbraw/zinc/08/74/77/1074087477.db2.gz VKVASZQBQNSDQI-MRXNPFEDSA-N 0 0 449.533 -0.164 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cnccn3)n2C[C@H]2CCCN(C(C)=O)C2)CCO1 ZINC001315014172 1074087617 /nfs/dbraw/zinc/08/76/17/1074087617.db2.gz UBHJOUUNEOBYEM-DOTOQJQBSA-N 0 0 428.497 -0.055 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cnccn3)n2C[C@@H]2CCCN(C(C)=O)C2)CCO1 ZINC001315014173 1074087628 /nfs/dbraw/zinc/08/76/28/1074087628.db2.gz UBHJOUUNEOBYEM-NVXWUHKLSA-N 0 0 428.497 -0.055 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cnccn3)n2C[C@H]2CCCN(C(C)=O)C2)CCO1 ZINC001315014174 1074087497 /nfs/dbraw/zinc/08/74/97/1074087497.db2.gz UBHJOUUNEOBYEM-RDJZCZTQSA-N 0 0 428.497 -0.055 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cnccn3)n2C[C@@H]2CCCN(C(C)=O)C2)CCO1 ZINC001315014175 1074087539 /nfs/dbraw/zinc/08/75/39/1074087539.db2.gz UBHJOUUNEOBYEM-WBVHZDCISA-N 0 0 428.497 -0.055 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3cn4ccccc4n3)n2CCCNC(C)=O)CCO1 ZINC001315014519 1074087588 /nfs/dbraw/zinc/08/75/88/1074087588.db2.gz BBZWNXOWSVDBNL-KRWDZBQOSA-N 0 0 440.508 -0.006 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3cn4ccccc4n3)n2CCCNC(C)=O)CCO1 ZINC001315014546 1074087649 /nfs/dbraw/zinc/08/76/49/1074087649.db2.gz BBZWNXOWSVDBNL-QGZVFWFLSA-N 0 0 440.508 -0.006 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccccn3)n2CC(=O)N2CCOCC2)CCO1 ZINC001315015468 1074087639 /nfs/dbraw/zinc/08/76/39/1074087639.db2.gz FKCIKGQBMGCLNQ-INIZCTEOSA-N 0 0 429.481 -0.926 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccccn3)n2CC(=O)N2CCOCC2)CCO1 ZINC001315015474 1074087656 /nfs/dbraw/zinc/08/76/56/1074087656.db2.gz FKCIKGQBMGCLNQ-MRXNPFEDSA-N 0 0 429.481 -0.926 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3noc4c3COCC4)n2CC(=O)NC(C)C)CCO1 ZINC001315015496 1074087579 /nfs/dbraw/zinc/08/75/79/1074087579.db2.gz YEEHLHVTIKKNHP-AWEZNQCLSA-N 0 0 433.469 -0.518 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3noc4c3COCC4)n2CC(=O)NC(C)C)CCO1 ZINC001315015498 1074087513 /nfs/dbraw/zinc/08/75/13/1074087513.db2.gz YEEHLHVTIKKNHP-CQSZACIVSA-N 0 0 433.469 -0.518 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ncccc2N(C)C)CCO1 ZINC001315015578 1074087505 /nfs/dbraw/zinc/08/75/05/1074087505.db2.gz YXKUWFYJOCYARA-BBRMVZONSA-N 0 0 428.497 -0.310 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ncccc2N(C)C)CCO1 ZINC001315015579 1074087486 /nfs/dbraw/zinc/08/74/86/1074087486.db2.gz YXKUWFYJOCYARA-CJNGLKHVSA-N 0 0 428.497 -0.310 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ncccc2N(C)C)CCO1 ZINC001315015580 1074087907 /nfs/dbraw/zinc/08/79/07/1074087907.db2.gz YXKUWFYJOCYARA-CZUORRHYSA-N 0 0 428.497 -0.310 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ncccc2N(C)C)CCO1 ZINC001315015581 1074088025 /nfs/dbraw/zinc/08/80/25/1074088025.db2.gz YXKUWFYJOCYARA-XJKSGUPXSA-N 0 0 428.497 -0.310 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C3CCCC3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315015635 1074087995 /nfs/dbraw/zinc/08/79/95/1074087995.db2.gz ZPKMHZVWVKVUFC-HNNXBMFYSA-N 0 0 429.543 -0.052 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C3CCCC3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315015636 1074088032 /nfs/dbraw/zinc/08/80/32/1074088032.db2.gz ZPKMHZVWVKVUFC-OAHLLOKOSA-N 0 0 429.543 -0.052 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccccn3)n2CCCNS(C)(=O)=O)CCO1 ZINC001315016532 1074087963 /nfs/dbraw/zinc/08/79/63/1074087963.db2.gz KHHYQXQLVGHXOU-HNNXBMFYSA-N 0 0 437.526 -0.846 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccccn3)n2CCCNS(C)(=O)=O)CCO1 ZINC001315016533 1074087975 /nfs/dbraw/zinc/08/79/75/1074087975.db2.gz KHHYQXQLVGHXOU-OAHLLOKOSA-N 0 0 437.526 -0.846 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCO3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315016762 1074087915 /nfs/dbraw/zinc/08/79/15/1074087915.db2.gz RCRKKVGLDKSNQA-KGLIPLIRSA-N 0 0 431.515 -0.858 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCO3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315016763 1074088003 /nfs/dbraw/zinc/08/80/03/1074088003.db2.gz RCRKKVGLDKSNQA-ZIAGYGMSSA-N 0 0 431.515 -0.858 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CCc3ccccc3)n2CCS(=O)(=O)NC)CCO1 ZINC001315017100 1074087940 /nfs/dbraw/zinc/08/79/40/1074087940.db2.gz AIEJXBZVFPOAQG-INIZCTEOSA-N 0 0 436.538 -0.436 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CCc3ccccc3)n2CCS(=O)(=O)NC)CCO1 ZINC001315017106 1074088028 /nfs/dbraw/zinc/08/80/28/1074088028.db2.gz AIEJXBZVFPOAQG-MRXNPFEDSA-N 0 0 436.538 -0.436 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC(=O)NCC2CC2)CCO1 ZINC001315017895 1074088018 /nfs/dbraw/zinc/08/80/18/1074088018.db2.gz VIZKMKPVLOFMIQ-UXLLHSPISA-N 0 0 436.513 -0.521 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC(=O)NCC2CC2)CCO1 ZINC001315017896 1074088008 /nfs/dbraw/zinc/08/80/08/1074088008.db2.gz VIZKMKPVLOFMIQ-ZOBUZTSGSA-N 0 0 436.513 -0.521 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CC1 ZINC001315017943 1074087947 /nfs/dbraw/zinc/08/79/47/1074087947.db2.gz XQTBMSFATWLJRX-ACJLOTCBSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)CC1 ZINC001315017948 1074088013 /nfs/dbraw/zinc/08/80/13/1074088013.db2.gz XQTBMSFATWLJRX-FZKQIMNGSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCOC2)CC1 ZINC001315017949 1074087986 /nfs/dbraw/zinc/08/79/86/1074087986.db2.gz XQTBMSFATWLJRX-SCLBCKFNSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCOC2)CC1 ZINC001315017950 1074087897 /nfs/dbraw/zinc/08/78/97/1074087897.db2.gz XQTBMSFATWLJRX-UGSOOPFHSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccncc3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315018231 1074087955 /nfs/dbraw/zinc/08/79/55/1074087955.db2.gz PLJMAKALYOEIKP-HNNXBMFYSA-N 0 0 437.526 -0.894 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccncc3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001315018232 1074087980 /nfs/dbraw/zinc/08/79/80/1074087980.db2.gz PLJMAKALYOEIKP-OAHLLOKOSA-N 0 0 437.526 -0.894 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3csc(C)n3)n2CC(=O)N2CCOCC2)CCO1 ZINC001315018984 1074087923 /nfs/dbraw/zinc/08/79/23/1074087923.db2.gz UXYJQOMUXXWVAD-HNNXBMFYSA-N 0 0 449.537 -0.556 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3csc(C)n3)n2CC(=O)N2CCOCC2)CCO1 ZINC001315018993 1074087934 /nfs/dbraw/zinc/08/79/34/1074087934.db2.gz UXYJQOMUXXWVAD-OAHLLOKOSA-N 0 0 449.537 -0.556 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CCCc3ccccc3)n2Cc2nnn(C)n2)CCO1 ZINC001315019169 1074088265 /nfs/dbraw/zinc/08/82/65/1074088265.db2.gz IVZDZKUFHLXNGY-INIZCTEOSA-N 0 0 425.497 -0.024 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CCCc3ccccc3)n2Cc2nnn(C)n2)CCO1 ZINC001315019170 1074088368 /nfs/dbraw/zinc/08/83/68/1074088368.db2.gz IVZDZKUFHLXNGY-MRXNPFEDSA-N 0 0 425.497 -0.024 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCN2CCOC(C)(C)C2)CCO1 ZINC001315019503 1074088397 /nfs/dbraw/zinc/08/83/97/1074088397.db2.gz LJLUMNUQIBZBHR-INIZCTEOSA-N 0 0 449.556 -0.538 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCN2CCOC(C)(C)C2)CCO1 ZINC001315019504 1074088289 /nfs/dbraw/zinc/08/82/89/1074088289.db2.gz LJLUMNUQIBZBHR-MRXNPFEDSA-N 0 0 449.556 -0.538 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCc2cnn(C)c2)CCO1 ZINC001315019771 1074088472 /nfs/dbraw/zinc/08/84/72/1074088472.db2.gz ONTSWMBLFISSAD-INIZCTEOSA-N 0 0 430.513 -0.282 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCc2cnn(C)c2)CCO1 ZINC001315019778 1074088278 /nfs/dbraw/zinc/08/82/78/1074088278.db2.gz ONTSWMBLFISSAD-MRXNPFEDSA-N 0 0 430.513 -0.282 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCC(=O)NC2CC2)CCO1 ZINC001315019859 1074088378 /nfs/dbraw/zinc/08/83/78/1074088378.db2.gz PRIAXKBDEIUBEW-HNNXBMFYSA-N 0 0 433.513 -0.590 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCC(=O)NC2CC2)CCO1 ZINC001315019860 1074088423 /nfs/dbraw/zinc/08/84/23/1074088423.db2.gz PRIAXKBDEIUBEW-OAHLLOKOSA-N 0 0 433.513 -0.590 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CC3CCCC3)n2CCC[C@@H]2NC(=O)NC2=O)CCO1 ZINC001315020379 1074088480 /nfs/dbraw/zinc/08/84/80/1074088480.db2.gz UHSOVFOIWSCCCP-GJZGRUSLSA-N 0 0 433.513 -0.050 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CC3CCCC3)n2CCC[C@@H]2NC(=O)NC2=O)CCO1 ZINC001315020380 1074088490 /nfs/dbraw/zinc/08/84/90/1074088490.db2.gz UHSOVFOIWSCCCP-LSDHHAIUSA-N 0 0 433.513 -0.050 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@]2(OC)CCOC2)CCO1 ZINC001315020399 1074088317 /nfs/dbraw/zinc/08/83/17/1074088317.db2.gz UYIINHTYJBLDRZ-JXFKEZNVSA-N 0 0 433.513 -0.104 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@]2(OC)CCOC2)CCO1 ZINC001315020400 1074088359 /nfs/dbraw/zinc/08/83/59/1074088359.db2.gz UYIINHTYJBLDRZ-OXJNMPFZSA-N 0 0 433.513 -0.104 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@]2(OC)CCOC2)CCO1 ZINC001315020601 1074088501 /nfs/dbraw/zinc/08/85/01/1074088501.db2.gz UYIINHTYJBLDRZ-OXQOHEQNSA-N 0 0 433.513 -0.104 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@]2(OC)CCOC2)CCO1 ZINC001315020602 1074088388 /nfs/dbraw/zinc/08/83/88/1074088388.db2.gz UYIINHTYJBLDRZ-UZLBHIALSA-N 0 0 433.513 -0.104 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCc2nnc(C)s2)CCO1 ZINC001315021437 1074088899 /nfs/dbraw/zinc/08/88/99/1074088899.db2.gz ZNJCHUOCMBIIKK-CYBMUJFWSA-N 0 0 434.526 -0.246 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCc2nnc(C)s2)CCO1 ZINC001315021440 1074088926 /nfs/dbraw/zinc/08/89/26/1074088926.db2.gz ZNJCHUOCMBIIKK-ZDUSSCGKSA-N 0 0 434.526 -0.246 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)CC1 ZINC001315022890 1074088798 /nfs/dbraw/zinc/08/87/98/1074088798.db2.gz RWNHCUDMYCMBKQ-CYBMUJFWSA-N 0 0 427.531 -0.869 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)CC1 ZINC001315022891 1074088933 /nfs/dbraw/zinc/08/89/33/1074088933.db2.gz RWNHCUDMYCMBKQ-ZDUSSCGKSA-N 0 0 427.531 -0.869 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(C(=O)NC(C)C)n1CCN1CCN(C(C)=O)CC1 ZINC001315024701 1074088941 /nfs/dbraw/zinc/08/89/41/1074088941.db2.gz HJRVNCDLTKOOOJ-UHFFFAOYSA-N 0 0 436.561 -0.457 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)N(C)C ZINC001315025590 1074088819 /nfs/dbraw/zinc/08/88/19/1074088819.db2.gz OYIHKPKBGQLLJF-UHFFFAOYSA-N 0 0 429.547 -0.632 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(C(=O)N2CCCC2)n1Cc1nnnn1CC1CC1 ZINC001315025918 1074088862 /nfs/dbraw/zinc/08/88/62/1074088862.db2.gz WIYPDOBYVKMPEZ-UHFFFAOYSA-N 0 0 430.517 -0.079 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(C)(C)C(=O)NC ZINC001315030154 1074088809 /nfs/dbraw/zinc/08/88/09/1074088809.db2.gz REFLBJKGGYIOCI-CYBMUJFWSA-N 0 0 428.559 -0.085 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(C)(C)C(=O)NC ZINC001315030155 1074088883 /nfs/dbraw/zinc/08/88/83/1074088883.db2.gz REFLBJKGGYIOCI-ZDUSSCGKSA-N 0 0 428.559 -0.085 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001315030711 1074088776 /nfs/dbraw/zinc/08/87/76/1074088776.db2.gz WHORKYCRKRQGBO-QLFBSQMISA-N 0 0 429.543 -0.318 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001315030712 1074088876 /nfs/dbraw/zinc/08/88/76/1074088876.db2.gz WHORKYCRKRQGBO-SOUVJXGZSA-N 0 0 429.543 -0.318 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001315030714 1074088845 /nfs/dbraw/zinc/08/88/45/1074088845.db2.gz WIGXDMCBFRPBQW-AWEZNQCLSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001315030715 1074088836 /nfs/dbraw/zinc/08/88/36/1074088836.db2.gz WIGXDMCBFRPBQW-CQSZACIVSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCC(C)(C)O ZINC001315030768 1074088852 /nfs/dbraw/zinc/08/88/52/1074088852.db2.gz XHUCWUVMZBKFKV-AWEZNQCLSA-N 0 0 446.574 -0.266 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCC(C)(C)O ZINC001315030769 1074088759 /nfs/dbraw/zinc/08/87/59/1074088759.db2.gz XHUCWUVMZBKFKV-CQSZACIVSA-N 0 0 446.574 -0.266 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@]2(OC(C)C)CCOC2)n1CC(=O)N1CCOCC1 ZINC001315031281 1074088892 /nfs/dbraw/zinc/08/88/92/1074088892.db2.gz IFXOLIJTHNCTSH-FQEVSTJZSA-N 0 0 438.529 -0.250 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@]2(OC(C)C)CCOC2)n1CC(=O)N1CCOCC1 ZINC001315031282 1074088772 /nfs/dbraw/zinc/08/87/72/1074088772.db2.gz IFXOLIJTHNCTSH-HXUWFJFHSA-N 0 0 438.529 -0.250 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1ccc(N(C)C)nc1 ZINC001315032032 1074088949 /nfs/dbraw/zinc/08/89/49/1074088949.db2.gz HKBJMXMVICNXNS-CYBMUJFWSA-N 0 0 438.558 -0.030 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1ccc(N(C)C)nc1 ZINC001315032033 1074088908 /nfs/dbraw/zinc/08/89/08/1074088908.db2.gz HKBJMXMVICNXNS-ZDUSSCGKSA-N 0 0 438.558 -0.030 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H]1CCOC[C@H]1OC ZINC001315032783 1074088786 /nfs/dbraw/zinc/08/87/86/1074088786.db2.gz VBVUTNOWQORAQM-BFHYXJOUSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1CCOC[C@@H]1OC ZINC001315032784 1074089179 /nfs/dbraw/zinc/08/91/79/1074089179.db2.gz VBVUTNOWQORAQM-IHRRRGAJSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1CCOC[C@H]1OC ZINC001315032785 1074089280 /nfs/dbraw/zinc/08/92/80/1074089280.db2.gz VBVUTNOWQORAQM-MELADBBJSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H]1CCOC[C@@H]1OC ZINC001315032786 1074089299 /nfs/dbraw/zinc/08/92/99/1074089299.db2.gz VBVUTNOWQORAQM-MJBXVCDLSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1CCN1CCCS1(=O)=O ZINC001315033097 1074089237 /nfs/dbraw/zinc/08/92/37/1074089237.db2.gz YEZCLQYHKPAONG-UHFFFAOYSA-N 0 0 427.531 -0.878 20 0 IBADRN CNC(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001315035446 1074089229 /nfs/dbraw/zinc/08/92/29/1074089229.db2.gz WUYMJBHIIXYFKH-AWEZNQCLSA-N 0 0 426.543 -0.089 20 0 IBADRN CNC(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001315035847 1074089211 /nfs/dbraw/zinc/08/92/11/1074089211.db2.gz WUYMJBHIIXYFKH-CQSZACIVSA-N 0 0 426.543 -0.089 20 0 IBADRN CNC(=O)CCN(C)c1nnc(-c2noc3c2COCC3)n1CCC(=O)N1CCOCC1 ZINC001315037124 1074089275 /nfs/dbraw/zinc/08/92/75/1074089275.db2.gz WFCODPKLHDUQHD-UHFFFAOYSA-N 0 0 447.496 -0.173 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCc1cn[nH]c1 ZINC001315037528 1074089201 /nfs/dbraw/zinc/08/92/01/1074089201.db2.gz ALLBHIMRQCUNPJ-AWEZNQCLSA-N 0 0 440.530 -0.851 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCc1cn[nH]c1 ZINC001315037529 1074089172 /nfs/dbraw/zinc/08/91/72/1074089172.db2.gz ALLBHIMRQCUNPJ-CQSZACIVSA-N 0 0 440.530 -0.851 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCOC[C@H]1C ZINC001315037846 1074089188 /nfs/dbraw/zinc/08/91/88/1074089188.db2.gz GYGYDUQJDOASCP-CABCVRRESA-N 0 0 428.559 -0.527 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCOC[C@@H]1C ZINC001315037847 1074089195 /nfs/dbraw/zinc/08/91/95/1074089195.db2.gz GYGYDUQJDOASCP-GJZGRUSLSA-N 0 0 428.559 -0.527 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCOC[C@H]1C ZINC001315037848 1074089287 /nfs/dbraw/zinc/08/92/87/1074089287.db2.gz GYGYDUQJDOASCP-HUUCEWRRSA-N 0 0 428.559 -0.527 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCOC[C@@H]1C ZINC001315037849 1074089258 /nfs/dbraw/zinc/08/92/58/1074089258.db2.gz GYGYDUQJDOASCP-LSDHHAIUSA-N 0 0 428.559 -0.527 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nccs1 ZINC001315037891 1074089253 /nfs/dbraw/zinc/08/92/53/1074089253.db2.gz HREDIXMBJMKJLF-GFCCVEGCSA-N 0 0 443.555 -0.312 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1nccs1 ZINC001315037892 1074089264 /nfs/dbraw/zinc/08/92/64/1074089264.db2.gz HREDIXMBJMKJLF-LBPRGKRZSA-N 0 0 443.555 -0.312 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@@H]1CCOC1 ZINC001315038059 1074089268 /nfs/dbraw/zinc/08/92/68/1074089268.db2.gz KWYONQSHCUMEDF-CABCVRRESA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@H]1CCOC1 ZINC001315038060 1074089245 /nfs/dbraw/zinc/08/92/45/1074089245.db2.gz KWYONQSHCUMEDF-GJZGRUSLSA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@@H]1CCOC1 ZINC001315038061 1074089303 /nfs/dbraw/zinc/08/93/03/1074089303.db2.gz KWYONQSHCUMEDF-HUUCEWRRSA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@H]1CCOC1 ZINC001315038062 1074089221 /nfs/dbraw/zinc/08/92/21/1074089221.db2.gz KWYONQSHCUMEDF-LSDHHAIUSA-N 0 0 444.558 -0.390 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCO1 ZINC001315038088 1074089291 /nfs/dbraw/zinc/08/92/91/1074089291.db2.gz LJNDGIBNNWHIDC-KBPBESRZSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001315038089 1074089611 /nfs/dbraw/zinc/08/96/11/1074089611.db2.gz LJNDGIBNNWHIDC-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCO1 ZINC001315038090 1074089630 /nfs/dbraw/zinc/08/96/30/1074089630.db2.gz LJNDGIBNNWHIDC-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001315038091 1074089461 /nfs/dbraw/zinc/08/94/61/1074089461.db2.gz LJNDGIBNNWHIDC-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCC1(O)CCOCC1 ZINC001315038191 1074089514 /nfs/dbraw/zinc/08/95/14/1074089514.db2.gz NXMYUDCWVOASBT-AWEZNQCLSA-N 0 0 429.543 -0.316 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCC1(O)CCOCC1 ZINC001315038192 1074089473 /nfs/dbraw/zinc/08/94/73/1074089473.db2.gz NXMYUDCWVOASBT-CQSZACIVSA-N 0 0 429.543 -0.316 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001315038372 1074089553 /nfs/dbraw/zinc/08/95/53/1074089553.db2.gz QAAKMAUEVFKFTK-HNNXBMFYSA-N 0 0 428.559 -0.525 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001315038373 1074089499 /nfs/dbraw/zinc/08/94/99/1074089499.db2.gz QAAKMAUEVFKFTK-OAHLLOKOSA-N 0 0 428.559 -0.525 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCc1ccco1 ZINC001315038596 1074089645 /nfs/dbraw/zinc/08/96/45/1074089645.db2.gz SYSSSGUZEPBFAQ-CYBMUJFWSA-N 0 0 438.510 -0.338 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NCc1ccco1 ZINC001315038597 1074089564 /nfs/dbraw/zinc/08/95/64/1074089564.db2.gz SYSSSGUZEPBFAQ-ZDUSSCGKSA-N 0 0 438.510 -0.338 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(O)CCC1 ZINC001315038616 1074089487 /nfs/dbraw/zinc/08/94/87/1074089487.db2.gz UDPNGNIVDZEPTA-CYBMUJFWSA-N 0 0 430.531 -0.902 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(O)CCC1 ZINC001315038617 1074089582 /nfs/dbraw/zinc/08/95/82/1074089582.db2.gz UDPNGNIVDZEPTA-ZDUSSCGKSA-N 0 0 430.531 -0.902 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001315038745 1074089592 /nfs/dbraw/zinc/08/95/92/1074089592.db2.gz DNDJUDRBQSIBCV-AWEZNQCLSA-N 0 0 441.558 -0.746 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001315038746 1074089603 /nfs/dbraw/zinc/08/96/03/1074089603.db2.gz DNDJUDRBQSIBCV-CQSZACIVSA-N 0 0 441.558 -0.746 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1CC1(S(C)(=O)=O)CCC1 ZINC001315038756 1074089535 /nfs/dbraw/zinc/08/95/35/1074089535.db2.gz FDIIDLFBMVCRFM-UHFFFAOYSA-N 0 0 426.543 -0.060 20 0 IBADRN CNC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(c2nccc(OC)n2)CC1 ZINC001315041431 1074089652 /nfs/dbraw/zinc/08/96/52/1074089652.db2.gz BNWLGZVPEJXDDX-UHFFFAOYSA-N 0 0 443.512 -0.225 20 0 IBADRN CNC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001315041434 1074089637 /nfs/dbraw/zinc/08/96/37/1074089637.db2.gz BRDBEIODNYKXJI-UHFFFAOYSA-N 0 0 446.556 -0.605 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2OCC[C@H]2COC)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001315042227 1074089524 /nfs/dbraw/zinc/08/95/24/1074089524.db2.gz OJWJIBDNKBCINK-DHSIGJKJSA-N 0 0 445.542 -0.876 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2OCC[C@H]2COC)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001315042228 1074089619 /nfs/dbraw/zinc/08/96/19/1074089619.db2.gz OJWJIBDNKBCINK-YEWWUXTCSA-N 0 0 445.542 -0.876 20 0 IBADRN CNC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCCN(CC(=O)N2CCCC2)CC1 ZINC001315042236 1074089835 /nfs/dbraw/zinc/08/98/35/1074089835.db2.gz OQALYWASSTULGY-UHFFFAOYSA-N 0 0 448.572 -0.313 20 0 IBADRN CNC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC001315042445 1074089976 /nfs/dbraw/zinc/08/99/76/1074089976.db2.gz OTHZBXSAZUPWAP-UHFFFAOYSA-N 0 0 442.524 -0.022 20 0 IBADRN CNC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001315042476 1074089871 /nfs/dbraw/zinc/08/98/71/1074089871.db2.gz PMFNNFVDSSZYCV-UHFFFAOYSA-N 0 0 427.531 -0.878 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2OCC[C@H]2COC)n1CCC(=O)N1CCOCC1 ZINC001315042989 1074090024 /nfs/dbraw/zinc/09/00/24/1074090024.db2.gz TXLOXAMFQDZEEZ-YJBOKZPZSA-N 0 0 438.529 -0.177 20 0 IBADRN CNC(=O)CCn1c(-c2cccc(Cl)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001315043080 1074090011 /nfs/dbraw/zinc/09/00/11/1074090011.db2.gz FOWKMPUFONZDDK-AWEZNQCLSA-N 0 0 431.884 -0.118 20 0 IBADRN CNC(=O)CCn1c(-c2cccc(Cl)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001315043081 1074089927 /nfs/dbraw/zinc/08/99/27/1074089927.db2.gz FOWKMPUFONZDDK-CQSZACIVSA-N 0 0 431.884 -0.118 20 0 IBADRN CNC(=O)CCn1c(C(=O)Nc2ccccn2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001315043416 1074089939 /nfs/dbraw/zinc/08/99/39/1074089939.db2.gz VMIKEHVQALWVRJ-UHFFFAOYSA-N 0 0 430.469 -0.644 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)[C@@H]1C ZINC001315043499 1074089824 /nfs/dbraw/zinc/08/98/24/1074089824.db2.gz MSYXTDMUMNHNRR-KBPBESRZSA-N 0 0 443.574 -0.283 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)[C@@H]1C ZINC001315043501 1074089983 /nfs/dbraw/zinc/08/99/83/1074089983.db2.gz MSYXTDMUMNHNRR-KGLIPLIRSA-N 0 0 443.574 -0.283 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)[C@H]1C ZINC001315043504 1074090066 /nfs/dbraw/zinc/09/00/66/1074090066.db2.gz MSYXTDMUMNHNRR-UONOGXRCSA-N 0 0 443.574 -0.283 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)[C@H]1C ZINC001315043507 1074089884 /nfs/dbraw/zinc/08/98/84/1074089884.db2.gz MSYXTDMUMNHNRR-ZIAGYGMSSA-N 0 0 443.574 -0.283 20 0 IBADRN CNC(=O)CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001315043530 1074090006 /nfs/dbraw/zinc/09/00/06/1074090006.db2.gz WKBMYHZREUNEJI-CYBMUJFWSA-N 0 0 427.469 -0.383 20 0 IBADRN CNC(=O)CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001315043533 1074089894 /nfs/dbraw/zinc/08/98/94/1074089894.db2.gz WKBMYHZREUNEJI-ZDUSSCGKSA-N 0 0 427.469 -0.383 20 0 IBADRN CNC(=O)CCn1c(-c2cccc(S(=O)(=O)N(C)C)c2)nnc1N1CCN(C)C(=O)C1 ZINC001315043918 1074090058 /nfs/dbraw/zinc/09/00/58/1074090058.db2.gz WJPHWLJSSOCFLW-UHFFFAOYSA-N 0 0 449.537 -0.390 20 0 IBADRN CNC(=O)CCn1c(-c2ccccn2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315044845 1074089861 /nfs/dbraw/zinc/08/98/61/1074089861.db2.gz KSNQHHUYEJTELD-UHFFFAOYSA-N 0 0 428.497 -0.351 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@](C)(N2CCOCC2)C1 ZINC001315046204 1074090072 /nfs/dbraw/zinc/09/00/72/1074090072.db2.gz YFDCPLYCUSYEDT-KBXCAEBGSA-N 0 0 443.574 -0.675 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@](C)(N2CCOCC2)C1 ZINC001315046211 1074089991 /nfs/dbraw/zinc/08/99/91/1074089991.db2.gz YFDCPLYCUSYEDT-KDOFPFPSSA-N 0 0 443.574 -0.675 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@](C)(N2CCOCC2)C1 ZINC001315046213 1074089904 /nfs/dbraw/zinc/08/99/04/1074089904.db2.gz YFDCPLYCUSYEDT-KSSFIOAISA-N 0 0 443.574 -0.675 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@](C)(N2CCOCC2)C1 ZINC001315046216 1074090315 /nfs/dbraw/zinc/09/03/15/1074090315.db2.gz YFDCPLYCUSYEDT-RDTXWAMCSA-N 0 0 443.574 -0.675 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC001315046529 1074090361 /nfs/dbraw/zinc/09/03/61/1074090361.db2.gz CUYSKOPCOLPPDU-CVEARBPZSA-N 0 0 446.556 -0.502 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC001315046530 1074090414 /nfs/dbraw/zinc/09/04/14/1074090414.db2.gz CUYSKOPCOLPPDU-HOTGVXAUSA-N 0 0 446.556 -0.502 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC001315046531 1074090493 /nfs/dbraw/zinc/09/04/93/1074090493.db2.gz CUYSKOPCOLPPDU-HZPDHXFCSA-N 0 0 446.556 -0.502 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC001315046532 1074090381 /nfs/dbraw/zinc/09/03/81/1074090381.db2.gz CUYSKOPCOLPPDU-JKSUJKDBSA-N 0 0 446.556 -0.502 20 0 IBADRN CNC(=O)CCn1c(-c2cccc(C)n2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC001315046942 1074090449 /nfs/dbraw/zinc/09/04/49/1074090449.db2.gz PBHKWKYNRXSOBL-UHFFFAOYSA-N 0 0 444.540 -0.331 20 0 IBADRN CNC(=O)CCn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCN(C(=O)NC)CC1 ZINC001315047455 1074090399 /nfs/dbraw/zinc/09/03/99/1074090399.db2.gz BXKOYGPAOFODNG-UHFFFAOYSA-N 0 0 439.484 -0.482 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001315048334 1074090465 /nfs/dbraw/zinc/09/04/65/1074090465.db2.gz FLEHZRKLJWHJNW-CABCVRRESA-N 0 0 437.526 -0.582 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001315048335 1074090458 /nfs/dbraw/zinc/09/04/58/1074090458.db2.gz FLEHZRKLJWHJNW-GJZGRUSLSA-N 0 0 437.526 -0.582 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001315048336 1074090331 /nfs/dbraw/zinc/09/03/31/1074090331.db2.gz FLEHZRKLJWHJNW-HUUCEWRRSA-N 0 0 437.526 -0.582 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001315048337 1074090432 /nfs/dbraw/zinc/09/04/32/1074090432.db2.gz FLEHZRKLJWHJNW-LSDHHAIUSA-N 0 0 437.526 -0.582 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1nccs1 ZINC001315048536 1074090481 /nfs/dbraw/zinc/09/04/81/1074090481.db2.gz FPLMZBGHMGSXKP-GFCCVEGCSA-N 0 0 443.555 -0.160 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1nccs1 ZINC001315048539 1074090487 /nfs/dbraw/zinc/09/04/87/1074090487.db2.gz FPLMZBGHMGSXKP-LBPRGKRZSA-N 0 0 443.555 -0.160 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCCCO2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315048541 1074090342 /nfs/dbraw/zinc/09/03/42/1074090342.db2.gz FPXQUEWKADUAQB-HNNXBMFYSA-N 0 0 435.529 -0.171 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCCCO2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315048543 1074090512 /nfs/dbraw/zinc/09/05/12/1074090512.db2.gz FPXQUEWKADUAQB-OAHLLOKOSA-N 0 0 435.529 -0.171 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](CNC(C)=O)C1 ZINC001315048849 1074090441 /nfs/dbraw/zinc/09/04/41/1074090441.db2.gz HSLOTUKNCSEQCQ-CABCVRRESA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](CNC(C)=O)C1 ZINC001315048850 1074090351 /nfs/dbraw/zinc/09/03/51/1074090351.db2.gz HSLOTUKNCSEQCQ-GJZGRUSLSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](CNC(C)=O)C1 ZINC001315048851 1074090303 /nfs/dbraw/zinc/09/03/03/1074090303.db2.gz HSLOTUKNCSEQCQ-HUUCEWRRSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](CNC(C)=O)C1 ZINC001315048852 1074090506 /nfs/dbraw/zinc/09/05/06/1074090506.db2.gz HSLOTUKNCSEQCQ-LSDHHAIUSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H](OC)C(C)(C)C1 ZINC001315048885 1074090473 /nfs/dbraw/zinc/09/04/73/1074090473.db2.gz IHYOSVFILWRWRR-KBPBESRZSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H](OC)C(C)(C)C1 ZINC001315048887 1074090687 /nfs/dbraw/zinc/09/06/87/1074090687.db2.gz IHYOSVFILWRWRR-KGLIPLIRSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](OC)C(C)(C)C1 ZINC001315048889 1074090726 /nfs/dbraw/zinc/09/07/26/1074090726.db2.gz IHYOSVFILWRWRR-UONOGXRCSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](OC)C(C)(C)C1 ZINC001315048891 1074090713 /nfs/dbraw/zinc/09/07/13/1074090713.db2.gz IHYOSVFILWRWRR-ZIAGYGMSSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCOCC2)C1 ZINC001315048940 1074090754 /nfs/dbraw/zinc/09/07/54/1074090754.db2.gz JDHDOYALTBGUQP-AWEZNQCLSA-N 0 0 427.527 -0.298 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCOCC2)C1 ZINC001315048941 1074090679 /nfs/dbraw/zinc/09/06/79/1074090679.db2.gz JDHDOYALTBGUQP-CQSZACIVSA-N 0 0 427.527 -0.298 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001315049089 1074090796 /nfs/dbraw/zinc/09/07/96/1074090796.db2.gz KBWJUJJCNIAPHJ-AWEZNQCLSA-N 0 0 434.526 -0.567 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001315049090 1074090695 /nfs/dbraw/zinc/09/06/95/1074090695.db2.gz KBWJUJJCNIAPHJ-CQSZACIVSA-N 0 0 434.526 -0.567 20 0 IBADRN CNC(=O)CCn1c(Cc2ccncc2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001315049359 1074090778 /nfs/dbraw/zinc/09/07/78/1074090778.db2.gz AIRGSRDTMFUVNM-UHFFFAOYSA-N 0 0 442.524 -0.026 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC001315049766 1074090804 /nfs/dbraw/zinc/09/08/04/1074090804.db2.gz PWFNGALZYDFYOH-OWCLPIDISA-N 0 0 442.586 -0.138 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC001315049767 1074090746 /nfs/dbraw/zinc/09/07/46/1074090746.db2.gz PWFNGALZYDFYOH-XHSDSOJGSA-N 0 0 442.586 -0.138 20 0 IBADRN CNC(=O)CCn1c(Cc2ccncc2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315050245 1074090771 /nfs/dbraw/zinc/09/07/71/1074090771.db2.gz URUDVVWXVFEBCA-UHFFFAOYSA-N 0 0 442.524 -0.427 20 0 IBADRN CNC(=O)CCn1c(Cc2ccc(Cl)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001315050345 1074090701 /nfs/dbraw/zinc/09/07/01/1074090701.db2.gz DFZHBHDMELJRKQ-HNNXBMFYSA-N 0 0 445.911 -0.195 20 0 IBADRN CNC(=O)CCn1c(Cc2ccc(Cl)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001315050346 1074090737 /nfs/dbraw/zinc/09/07/37/1074090737.db2.gz DFZHBHDMELJRKQ-OAHLLOKOSA-N 0 0 445.911 -0.195 20 0 IBADRN CNC(=O)CCn1c(Cc2cccnc2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001315050400 1074090789 /nfs/dbraw/zinc/09/07/89/1074090789.db2.gz XMMGWSRMDBXYDM-UHFFFAOYSA-N 0 0 426.525 -0.199 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccccn1 ZINC001315050418 1074090762 /nfs/dbraw/zinc/09/07/62/1074090762.db2.gz SRMBQHVVSXIEIG-HNNXBMFYSA-N 0 0 437.526 -0.222 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccccn1 ZINC001315050425 1074090811 /nfs/dbraw/zinc/09/08/11/1074090811.db2.gz SRMBQHVVSXIEIG-OAHLLOKOSA-N 0 0 437.526 -0.222 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001315050493 1074090733 /nfs/dbraw/zinc/09/07/33/1074090733.db2.gz UIIURHWCWWOVDT-HZSPNIEDSA-N 0 0 430.531 -0.639 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H](C)O[C@@H](C)C1 ZINC001315050494 1074090705 /nfs/dbraw/zinc/09/07/05/1074090705.db2.gz UIIURHWCWWOVDT-IHRRRGAJSA-N 0 0 430.531 -0.639 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@H](C)C1 ZINC001315050495 1074091044 /nfs/dbraw/zinc/09/10/44/1074091044.db2.gz UIIURHWCWWOVDT-MCIONIFRSA-N 0 0 430.531 -0.639 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001315050496 1074091178 /nfs/dbraw/zinc/09/11/78/1074091178.db2.gz UIIURHWCWWOVDT-MJBXVCDLSA-N 0 0 430.531 -0.639 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCOC2)nnc1N1C[C@H](C)[C@H](N2CCN(C(C)=O)CC2)C1 ZINC001315050716 1074091111 /nfs/dbraw/zinc/09/11/11/1074091111.db2.gz VXNGBRPFSLAHOO-CGTJXYLNSA-N 0 0 433.557 -0.093 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCOC2)nnc1N1C[C@H](N2CCN(C(C)=O)CC2)[C@@H](C)C1 ZINC001315050717 1074091197 /nfs/dbraw/zinc/09/11/97/1074091197.db2.gz VXNGBRPFSLAHOO-JQHSSLGASA-N 0 0 433.557 -0.093 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCOC2)nnc1N1C[C@@H](C)[C@H](N2CCN(C(C)=O)CC2)C1 ZINC001315050718 1074091051 /nfs/dbraw/zinc/09/10/51/1074091051.db2.gz VXNGBRPFSLAHOO-KBAYOESNSA-N 0 0 433.557 -0.093 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCOC2)nnc1N1C[C@@H](C)[C@@H](N2CCN(C(C)=O)CC2)C1 ZINC001315050719 1074091221 /nfs/dbraw/zinc/09/12/21/1074091221.db2.gz VXNGBRPFSLAHOO-NXHRZFHOSA-N 0 0 433.557 -0.093 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC2(CCN(C)C2=O)CC1 ZINC001315050910 1074091129 /nfs/dbraw/zinc/09/11/29/1074091129.db2.gz XUHXFLATLYAWBD-AWEZNQCLSA-N 0 0 438.554 -0.235 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC2(CCN(C)C2=O)CC1 ZINC001315050911 1074091169 /nfs/dbraw/zinc/09/11/69/1074091169.db2.gz XUHXFLATLYAWBD-CQSZACIVSA-N 0 0 438.554 -0.235 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)Nc3ccccn3)n2CCNC(=O)C2CC2)CC1 ZINC001315051442 1074091021 /nfs/dbraw/zinc/09/10/21/1074091021.db2.gz ATHHGJYAKYQUPZ-UHFFFAOYSA-N 0 0 441.496 -0.087 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCCCS2(=O)=O)CC1 ZINC001315052469 1074091028 /nfs/dbraw/zinc/09/10/28/1074091028.db2.gz PNNVORMRURBAEP-AWEZNQCLSA-N 0 0 441.558 -0.155 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCCCS2(=O)=O)CC1 ZINC001315052471 1074091036 /nfs/dbraw/zinc/09/10/36/1074091036.db2.gz PNNVORMRURBAEP-CQSZACIVSA-N 0 0 441.558 -0.155 20 0 IBADRN CNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001315053207 1074091212 /nfs/dbraw/zinc/09/12/12/1074091212.db2.gz FRXFLMLRIOVMRQ-HNNXBMFYSA-N 0 0 446.556 -0.674 20 0 IBADRN CNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001315053208 1074091104 /nfs/dbraw/zinc/09/11/04/1074091104.db2.gz FRXFLMLRIOVMRQ-OAHLLOKOSA-N 0 0 446.556 -0.674 20 0 IBADRN CNC(=O)CCn1c(CNC(=O)c2cccs2)nnc1N1CCN(C(=O)COC)CC1 ZINC001315053883 1074091237 /nfs/dbraw/zinc/09/12/37/1074091237.db2.gz NZIZUIZHIFBUQD-UHFFFAOYSA-N 0 0 449.537 -0.299 20 0 IBADRN CNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001315054189 1074091159 /nfs/dbraw/zinc/09/11/59/1074091159.db2.gz RTMCRFRZJRDHDF-ZDUSSCGKSA-N 0 0 427.531 -0.993 20 0 IBADRN CNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)c2ccccn2)CC1 ZINC001315054215 1074091068 /nfs/dbraw/zinc/09/10/68/1074091068.db2.gz SNSHNRPQBYENFT-UHFFFAOYSA-N 0 0 440.508 -0.106 20 0 IBADRN CNC(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001315054297 1074091188 /nfs/dbraw/zinc/09/11/88/1074091188.db2.gz VZUGHWWIUCQKHH-UHFFFAOYSA-N 0 0 440.512 -0.137 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cccn3C)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001315054649 1074091141 /nfs/dbraw/zinc/09/11/41/1074091141.db2.gz INBQVBNFRUERBU-UHFFFAOYSA-N 0 0 443.556 -0.091 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)CC1 ZINC001315054949 1074091095 /nfs/dbraw/zinc/09/10/95/1074091095.db2.gz ARPCRVRHBPJLSM-BLLLJJGKSA-N 0 0 447.587 -0.782 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)CC1 ZINC001315054950 1074091533 /nfs/dbraw/zinc/09/15/33/1074091533.db2.gz ARPCRVRHBPJLSM-LRDDRELGSA-N 0 0 447.587 -0.782 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)CC1 ZINC001315054951 1074091565 /nfs/dbraw/zinc/09/15/65/1074091565.db2.gz ARPCRVRHBPJLSM-MLGOLLRUSA-N 0 0 447.587 -0.782 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)CC1 ZINC001315054952 1074091557 /nfs/dbraw/zinc/09/15/57/1074091557.db2.gz ARPCRVRHBPJLSM-WBMJQRKESA-N 0 0 447.587 -0.782 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCc2cccnc2)CC1 ZINC001315054975 1074091500 /nfs/dbraw/zinc/09/15/00/1074091500.db2.gz BBHBGLAFPQQKDG-AWEZNQCLSA-N 0 0 436.542 -0.013 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCc2cccnc2)CC1 ZINC001315054976 1074091549 /nfs/dbraw/zinc/09/15/49/1074091549.db2.gz BBHBGLAFPQQKDG-CQSZACIVSA-N 0 0 436.542 -0.013 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@](C)(O)C2CC2)CC1 ZINC001315055218 1074091577 /nfs/dbraw/zinc/09/15/77/1074091577.db2.gz CPIDRICLOQIXOT-PXAZEXFGSA-N 0 0 429.547 -0.489 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@](C)(O)C2CC2)CC1 ZINC001315055219 1074091595 /nfs/dbraw/zinc/09/15/95/1074091595.db2.gz CPIDRICLOQIXOT-SJCJKPOMSA-N 0 0 429.547 -0.489 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@](C)(O)C2CC2)CC1 ZINC001315055220 1074091541 /nfs/dbraw/zinc/09/15/41/1074091541.db2.gz CPIDRICLOQIXOT-SJKOYZFVSA-N 0 0 429.547 -0.489 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@](C)(O)C2CC2)CC1 ZINC001315055221 1074091571 /nfs/dbraw/zinc/09/15/71/1074091571.db2.gz CPIDRICLOQIXOT-YVEFUNNKSA-N 0 0 429.547 -0.489 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@]3(OC(C)C)CCOC3)n2CCS(C)(=O)=O)CC1 ZINC001315055439 1074091488 /nfs/dbraw/zinc/09/14/88/1074091488.db2.gz JBPKHZZZQZQKBU-GOSISDBHSA-N 0 0 444.558 -0.175 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@]3(OC(C)C)CCOC3)n2CCS(C)(=O)=O)CC1 ZINC001315055440 1074091639 /nfs/dbraw/zinc/09/16/39/1074091639.db2.gz JBPKHZZZQZQKBU-SFHVURJKSA-N 0 0 444.558 -0.175 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CC1 ZINC001315055830 1074091600 /nfs/dbraw/zinc/09/16/00/1074091600.db2.gz QJIYOQKITDJVOI-CYBMUJFWSA-N 0 0 444.562 -0.782 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CC1 ZINC001315055831 1074091648 /nfs/dbraw/zinc/09/16/48/1074091648.db2.gz QJIYOQKITDJVOI-ZDUSSCGKSA-N 0 0 444.562 -0.782 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CCCO2)CC1 ZINC001315056392 1074091524 /nfs/dbraw/zinc/09/15/24/1074091524.db2.gz XGSMISVFZYOFMW-CXAGYDPISA-N 0 0 429.547 -0.081 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)CCCO2)CC1 ZINC001315056393 1074091530 /nfs/dbraw/zinc/09/15/30/1074091530.db2.gz XGSMISVFZYOFMW-DYVFJYSZSA-N 0 0 429.547 -0.081 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)CCCO2)CC1 ZINC001315056394 1074091586 /nfs/dbraw/zinc/09/15/86/1074091586.db2.gz XGSMISVFZYOFMW-GUYCJALGSA-N 0 0 429.547 -0.081 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CCCO2)CC1 ZINC001315056395 1074091511 /nfs/dbraw/zinc/09/15/11/1074091511.db2.gz XGSMISVFZYOFMW-SUMWQHHRSA-N 0 0 429.547 -0.081 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nnc(C)s2)CC1 ZINC001315056409 1074091494 /nfs/dbraw/zinc/09/14/94/1074091494.db2.gz XJGAXOYANCEOHX-JTQLQIEISA-N 0 0 443.559 -0.442 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nnc(C)s2)CC1 ZINC001315056417 1074091518 /nfs/dbraw/zinc/09/15/18/1074091518.db2.gz XJGAXOYANCEOHX-SNVBAGLBSA-N 0 0 443.559 -0.442 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001315057394 1074091901 /nfs/dbraw/zinc/09/19/01/1074091901.db2.gz BBGPDKYCPBUUPI-ACJLOTCBSA-N 0 0 439.542 -0.880 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001315057395 1074091933 /nfs/dbraw/zinc/09/19/33/1074091933.db2.gz BBGPDKYCPBUUPI-FZKQIMNGSA-N 0 0 439.542 -0.880 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001315057396 1074091883 /nfs/dbraw/zinc/09/18/83/1074091883.db2.gz BBGPDKYCPBUUPI-SCLBCKFNSA-N 0 0 439.542 -0.880 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001315057397 1074092029 /nfs/dbraw/zinc/09/20/29/1074092029.db2.gz BBGPDKYCPBUUPI-UGSOOPFHSA-N 0 0 439.542 -0.880 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@H]2C[C@@H]2C)CC1 ZINC001315057492 1074091990 /nfs/dbraw/zinc/09/19/90/1074091990.db2.gz CPVVUEZGQWXXBM-IHRRRGAJSA-N 0 0 427.531 -0.347 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2C)CC1 ZINC001315057493 1074092000 /nfs/dbraw/zinc/09/20/00/1074092000.db2.gz CPVVUEZGQWXXBM-MCIONIFRSA-N 0 0 427.531 -0.347 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@@H]2C)CC1 ZINC001315057494 1074092062 /nfs/dbraw/zinc/09/20/62/1074092062.db2.gz CPVVUEZGQWXXBM-MJBXVCDLSA-N 0 0 427.531 -0.347 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@H]2C[C@H]2C)CC1 ZINC001315057495 1074092075 /nfs/dbraw/zinc/09/20/75/1074092075.db2.gz CPVVUEZGQWXXBM-RDBSUJKOSA-N 0 0 427.531 -0.347 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2CC2)CC1 ZINC001315057497 1074091877 /nfs/dbraw/zinc/09/18/77/1074091877.db2.gz CRCYDPDFMRDSLI-AWEZNQCLSA-N 0 0 427.531 -0.518 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2CC2)CC1 ZINC001315057504 1074091910 /nfs/dbraw/zinc/09/19/10/1074091910.db2.gz CRCYDPDFMRDSLI-CQSZACIVSA-N 0 0 427.531 -0.518 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3cccnc3)n2CCCNS(C)(=O)=O)CC1 ZINC001315057955 1074091896 /nfs/dbraw/zinc/09/18/96/1074091896.db2.gz RDJLIUITHJXHDK-UHFFFAOYSA-N 0 0 436.542 -0.335 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3ccccn3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001315058281 1074092453 /nfs/dbraw/zinc/09/24/53/1074092453.db2.gz XOLHZAZSHYHCEZ-UHFFFAOYSA-N 0 0 438.496 -0.122 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCCCO3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001315058348 1074092036 /nfs/dbraw/zinc/09/20/36/1074092036.db2.gz IUFAVNKQVLDEIT-KBXCAEBGSA-N 0 0 442.542 -0.469 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCCCO3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001315058351 1074091979 /nfs/dbraw/zinc/09/19/79/1074091979.db2.gz IUFAVNKQVLDEIT-KDOFPFPSSA-N 0 0 442.542 -0.469 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCCCO3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001315058352 1074091960 /nfs/dbraw/zinc/09/19/60/1074091960.db2.gz IUFAVNKQVLDEIT-KSSFIOAISA-N 0 0 442.542 -0.469 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCCCO3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001315058353 1074091969 /nfs/dbraw/zinc/09/19/69/1074091969.db2.gz IUFAVNKQVLDEIT-RDTXWAMCSA-N 0 0 442.542 -0.469 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCC(=O)N(C)C)CC1 ZINC001315058432 1074092444 /nfs/dbraw/zinc/09/24/44/1074092444.db2.gz KMTCJNVDVOXSGF-AWEZNQCLSA-N 0 0 441.558 -0.490 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCC(=O)N(C)C)CC1 ZINC001315058433 1074092341 /nfs/dbraw/zinc/09/23/41/1074092341.db2.gz KMTCJNVDVOXSGF-CQSZACIVSA-N 0 0 441.558 -0.490 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCCn2cc(CO)nn2)CC1 ZINC001315058991 1074092310 /nfs/dbraw/zinc/09/23/10/1074092310.db2.gz JBEDVKTWDPGDOW-UHFFFAOYSA-N 0 0 446.516 -0.964 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001315059072 1074092367 /nfs/dbraw/zinc/09/23/67/1074092367.db2.gz SQDMGFYOGXFRSM-QLFBSQMISA-N 0 0 442.542 -0.819 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001315059075 1074092332 /nfs/dbraw/zinc/09/23/32/1074092332.db2.gz SQDMGFYOGXFRSM-SOUVJXGZSA-N 0 0 442.542 -0.819 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCSCCCO)CC1 ZINC001315059885 1074092315 /nfs/dbraw/zinc/09/23/15/1074092315.db2.gz QWFKGCYBXAYEBM-UHFFFAOYSA-N 0 0 425.559 -0.023 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3ccncc3)n2CCC[C@@H]2NC(=O)NC2=O)CC1 ZINC001315059954 1074092291 /nfs/dbraw/zinc/09/22/91/1074092291.db2.gz MBHJNXMJLJBMBC-HNNXBMFYSA-N 0 0 441.496 -0.287 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(C)(C)N2CCOCC2)CC1 ZINC001315060066 1074092416 /nfs/dbraw/zinc/09/24/16/1074092416.db2.gz UJXBOMSTRWLQKE-UHFFFAOYSA-N 0 0 448.572 -0.027 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccc(C)n(C)c2=O)CC1 ZINC001315060502 1074092302 /nfs/dbraw/zinc/09/23/02/1074092302.db2.gz MDWROMSMGNLUDC-HNNXBMFYSA-N 0 0 428.497 -0.254 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccc(C)n(C)c2=O)CC1 ZINC001315060503 1074092385 /nfs/dbraw/zinc/09/23/85/1074092385.db2.gz MDWROMSMGNLUDC-OAHLLOKOSA-N 0 0 428.497 -0.254 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CC2(CS(C)(=O)=O)CC2)CC1 ZINC001315060571 1074092352 /nfs/dbraw/zinc/09/23/52/1074092352.db2.gz ODYDRKRKKHMUKW-UHFFFAOYSA-N 0 0 436.542 -0.035 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CNC(=O)c3cccs3)n2Cc2nncn2C)CC1 ZINC001315060595 1074092360 /nfs/dbraw/zinc/09/23/60/1074092360.db2.gz YVKDUDVABVYVHK-UHFFFAOYSA-N 0 0 444.525 -0.092 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001315060821 1074092393 /nfs/dbraw/zinc/09/23/93/1074092393.db2.gz HXTKXKPVHZSSMB-AUSJPIAWSA-N 0 0 435.529 -0.058 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001315060822 1074092411 /nfs/dbraw/zinc/09/24/11/1074092411.db2.gz HXTKXKPVHZSSMB-AVYPCKFXSA-N 0 0 435.529 -0.058 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3cccnc3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001315060970 1074092377 /nfs/dbraw/zinc/09/23/77/1074092377.db2.gz WBCOTOJHTVDUDH-UHFFFAOYSA-N 0 0 436.542 -0.383 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3ccncc3)n2CC(=O)N2CCOCC2)CC1 ZINC001315060973 1074092298 /nfs/dbraw/zinc/09/22/98/1074092298.db2.gz WHAGHNCGFDQDMF-UHFFFAOYSA-N 0 0 428.497 -0.416 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C3CC3)n2CCS(=O)(=O)N2CCSCC2)CC1 ZINC001315061207 1074092321 /nfs/dbraw/zinc/09/23/21/1074092321.db2.gz UBJMSEHSYWCWJK-UHFFFAOYSA-N 0 0 443.599 -0.005 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCCN(C(C)=O)C2)CC1 ZINC001315061415 1074092343 /nfs/dbraw/zinc/09/23/43/1074092343.db2.gz XNAYKFXALYNEMS-CVEARBPZSA-N 0 0 432.529 -0.051 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCCN(C(C)=O)C2)CC1 ZINC001315061416 1074092434 /nfs/dbraw/zinc/09/24/34/1074092434.db2.gz XNAYKFXALYNEMS-HOTGVXAUSA-N 0 0 432.529 -0.051 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCCN(C(C)=O)C2)CC1 ZINC001315061417 1074092752 /nfs/dbraw/zinc/09/27/52/1074092752.db2.gz XNAYKFXALYNEMS-HZPDHXFCSA-N 0 0 432.529 -0.051 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCCN(C(C)=O)C2)CC1 ZINC001315061418 1074092724 /nfs/dbraw/zinc/09/27/24/1074092724.db2.gz XNAYKFXALYNEMS-JKSUJKDBSA-N 0 0 432.529 -0.051 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cnccn3)n2C[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC001315061505 1074092768 /nfs/dbraw/zinc/09/27/68/1074092768.db2.gz ZOYGAVJENYBOHY-CQSZACIVSA-N 0 0 449.541 -0.380 20 0 IBADRN CNS(=O)(=O)c1cccc(Cn2c(C(=O)N3CCCC3)nnc2N2CCNC(=O)C2)c1 ZINC001315062126 1074092764 /nfs/dbraw/zinc/09/27/64/1074092764.db2.gz IJXXHYXXLTZQQF-UHFFFAOYSA-N 0 0 447.521 -0.593 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC001315062232 1074092756 /nfs/dbraw/zinc/09/27/56/1074092756.db2.gz APPADVGEYATVKR-MPGHIAIKSA-N 0 0 447.558 -0.065 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1C[C@H](C)O[C@]2(CCOC2)C1 ZINC001315062233 1074092760 /nfs/dbraw/zinc/09/27/60/1074092760.db2.gz APPADVGEYATVKR-RLFYNMQTSA-N 0 0 447.558 -0.065 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC001315062234 1074092774 /nfs/dbraw/zinc/09/27/74/1074092774.db2.gz APPADVGEYATVKR-RVKKMQEKSA-N 0 0 447.558 -0.065 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC001315062235 1074092802 /nfs/dbraw/zinc/09/28/02/1074092802.db2.gz APPADVGEYATVKR-VKJFTORMSA-N 0 0 447.558 -0.065 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cc(OC)cc(OC)c2)nnc1N1CCN(C)C(=O)C1 ZINC001315062589 1074092778 /nfs/dbraw/zinc/09/27/78/1074092778.db2.gz GMSKIMGXUHIVFH-UHFFFAOYSA-N 0 0 438.510 -0.210 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001315062739 1074092748 /nfs/dbraw/zinc/09/27/48/1074092748.db2.gz HJKMKFAIGHFLMF-KBPBESRZSA-N 0 0 445.546 -0.893 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001315062740 1074092744 /nfs/dbraw/zinc/09/27/44/1074092744.db2.gz HJKMKFAIGHFLMF-KGLIPLIRSA-N 0 0 445.546 -0.893 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)OCCOC)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001315062741 1074092772 /nfs/dbraw/zinc/09/27/72/1074092772.db2.gz HJKMKFAIGHFLMF-UONOGXRCSA-N 0 0 445.546 -0.893 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)OCCOC)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001315062742 1074092740 /nfs/dbraw/zinc/09/27/40/1074092740.db2.gz HJKMKFAIGHFLMF-ZIAGYGMSSA-N 0 0 445.546 -0.893 20 0 IBADRN CNS(=O)(=O)CCn1c(C)nnc1N1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC001315063316 1074092799 /nfs/dbraw/zinc/09/27/99/1074092799.db2.gz NBQMWHCLZXNUJG-UHFFFAOYSA-N 0 0 426.503 -0.807 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC(O)(C(F)(F)F)CC1 ZINC001315063325 1074092791 /nfs/dbraw/zinc/09/27/91/1074092791.db2.gz DDGRVHUIKCJTAP-UHFFFAOYSA-N 0 0 442.464 -0.141 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CC[C@@](C)(N2CCOCC2)C1 ZINC001315063343 1074092808 /nfs/dbraw/zinc/09/28/08/1074092808.db2.gz DSGGQIKTLDSWAI-GOSISDBHSA-N 0 0 443.574 -0.734 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CC[C@](C)(N2CCOCC2)C1 ZINC001315063344 1074092784 /nfs/dbraw/zinc/09/27/84/1074092784.db2.gz DSGGQIKTLDSWAI-SFHVURJKSA-N 0 0 443.574 -0.734 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC(NC(C)=O)CC1 ZINC001315063764 1074092736 /nfs/dbraw/zinc/09/27/36/1074092736.db2.gz HKQVEUCWTCKGSU-UHFFFAOYSA-N 0 0 427.531 -0.832 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001315063801 1074092795 /nfs/dbraw/zinc/09/27/95/1074092795.db2.gz IJJFXMHKALOHMN-AWEZNQCLSA-N 0 0 427.531 -0.832 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001315063802 1074092730 /nfs/dbraw/zinc/09/27/30/1074092730.db2.gz IJJFXMHKALOHMN-CQSZACIVSA-N 0 0 427.531 -0.832 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(c2cnccn2)CC1 ZINC001315064354 1074093188 /nfs/dbraw/zinc/09/31/88/1074093188.db2.gz KKPZZTWKIAIHFX-UHFFFAOYSA-N 0 0 437.530 -0.918 20 0 IBADRN CNS(=O)(=O)CCn1c(C(C)C)nnc1N1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC001315064379 1074093095 /nfs/dbraw/zinc/09/30/95/1074093095.db2.gz KXNGFKUEASOQKQ-UHFFFAOYSA-N 0 0 436.542 -0.229 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H]2OC(=O)O[C@H]2C1 ZINC001315064783 1074093139 /nfs/dbraw/zinc/09/31/39/1074093139.db2.gz NGOIDTOJCPAZEI-NEPJUHHUSA-N 0 0 428.471 -0.823 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H]2OC(=O)O[C@@H]2C1 ZINC001315064784 1074093201 /nfs/dbraw/zinc/09/32/01/1074093201.db2.gz NGOIDTOJCPAZEI-NWDGAFQWSA-N 0 0 428.471 -0.823 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H]2OC(=O)O[C@H]2C1 ZINC001315064785 1074093151 /nfs/dbraw/zinc/09/31/51/1074093151.db2.gz NGOIDTOJCPAZEI-RYUDHWBXSA-N 0 0 428.471 -0.823 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H]2OC(=O)O[C@@H]2C1 ZINC001315064786 1074093107 /nfs/dbraw/zinc/09/31/07/1074093107.db2.gz NGOIDTOJCPAZEI-VXGBXAGGSA-N 0 0 428.471 -0.823 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS[C@H](C)[C@H]1C ZINC001315064799 1074093083 /nfs/dbraw/zinc/09/30/83/1074093083.db2.gz NSUDAGCDZOATLC-QJPTWQEYSA-N 0 0 440.617 -0.232 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS[C@H](C)[C@@H]1C ZINC001315064800 1074093055 /nfs/dbraw/zinc/09/30/55/1074093055.db2.gz NSUDAGCDZOATLC-SDDRHHMPSA-N 0 0 440.617 -0.232 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS[C@@H](C)[C@@H]1C ZINC001315064801 1074093215 /nfs/dbraw/zinc/09/32/15/1074093215.db2.gz NSUDAGCDZOATLC-SRVKXCTJSA-N 0 0 440.617 -0.232 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS[C@@H](C)[C@H]1C ZINC001315064802 1074093041 /nfs/dbraw/zinc/09/30/41/1074093041.db2.gz NSUDAGCDZOATLC-TUAOUCFPSA-N 0 0 440.617 -0.232 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001315065230 1074093160 /nfs/dbraw/zinc/09/31/60/1074093160.db2.gz QIQNCSICBRYCPA-UHFFFAOYSA-N 0 0 427.531 -0.928 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCO[C@]2(CCO[C@@H]2C)C1 ZINC001315065504 1074093194 /nfs/dbraw/zinc/09/31/94/1074093194.db2.gz TUQXEJGAWYLDRU-CXAGYDPISA-N 0 0 430.531 -0.650 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC001315065505 1074093120 /nfs/dbraw/zinc/09/31/20/1074093120.db2.gz TUQXEJGAWYLDRU-DYVFJYSZSA-N 0 0 430.531 -0.650 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCO[C@@]2(CCO[C@H]2C)C1 ZINC001315065506 1074093211 /nfs/dbraw/zinc/09/32/11/1074093211.db2.gz TUQXEJGAWYLDRU-GUYCJALGSA-N 0 0 430.531 -0.650 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCO[C@]2(CCO[C@H]2C)C1 ZINC001315065507 1074093129 /nfs/dbraw/zinc/09/31/29/1074093129.db2.gz TUQXEJGAWYLDRU-SUMWQHHRSA-N 0 0 430.531 -0.650 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccc(C)o2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001315065605 1074093471 /nfs/dbraw/zinc/09/34/71/1074093471.db2.gz SKKNJHHIRQEAHZ-UHFFFAOYSA-N 0 0 432.528 -0.523 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC1CC(F)C1 ZINC001315065752 1074093561 /nfs/dbraw/zinc/09/35/61/1074093561.db2.gz UMSONPQRWRGWLU-UNXYVOJBSA-N 0 0 426.540 -0.378 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC1CC(F)C1 ZINC001315065753 1074093248 /nfs/dbraw/zinc/09/32/48/1074093248.db2.gz UMSONPQRWRGWLU-VOMCLLRMSA-N 0 0 426.540 -0.378 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC(Cn2ccnn2)CC1 ZINC001315065870 1074093572 /nfs/dbraw/zinc/09/35/72/1074093572.db2.gz WELUYOLGXIRKJS-UHFFFAOYSA-N 0 0 439.546 -0.526 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N(C)C[C@@H]1CCN(C(C)=O)C1 ZINC001315066203 1074093567 /nfs/dbraw/zinc/09/35/67/1074093567.db2.gz ZMNOICFWLRGYNT-HNNXBMFYSA-N 0 0 441.558 -0.632 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N(C)C[C@H]1CCN(C(C)=O)C1 ZINC001315066204 1074093576 /nfs/dbraw/zinc/09/35/76/1074093576.db2.gz ZMNOICFWLRGYNT-OAHLLOKOSA-N 0 0 441.558 -0.632 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001315066458 1074093482 /nfs/dbraw/zinc/09/34/82/1074093482.db2.gz GZZBQBDPJUMWLX-CXAGYDPISA-N 0 0 428.515 -0.248 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001315066459 1074093510 /nfs/dbraw/zinc/09/35/10/1074093510.db2.gz GZZBQBDPJUMWLX-DYVFJYSZSA-N 0 0 428.515 -0.248 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001315066460 1074093583 /nfs/dbraw/zinc/09/35/83/1074093583.db2.gz GZZBQBDPJUMWLX-GUYCJALGSA-N 0 0 428.515 -0.248 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001315066461 1074093552 /nfs/dbraw/zinc/09/35/52/1074093552.db2.gz GZZBQBDPJUMWLX-SUMWQHHRSA-N 0 0 428.515 -0.248 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC[C@H](C(=O)NC2CC2)C1 ZINC001315066516 1074093580 /nfs/dbraw/zinc/09/35/80/1074093580.db2.gz IEBSGZFEYMGZRE-KBPBESRZSA-N 0 0 426.543 -0.174 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001315066517 1074093493 /nfs/dbraw/zinc/09/34/93/1074093493.db2.gz IEBSGZFEYMGZRE-KGLIPLIRSA-N 0 0 426.543 -0.174 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC[C@H](C(=O)NC2CC2)C1 ZINC001315066518 1074093451 /nfs/dbraw/zinc/09/34/51/1074093451.db2.gz IEBSGZFEYMGZRE-UONOGXRCSA-N 0 0 426.543 -0.174 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001315066519 1074093534 /nfs/dbraw/zinc/09/35/34/1074093534.db2.gz IEBSGZFEYMGZRE-ZIAGYGMSSA-N 0 0 426.543 -0.174 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccc3c(c2)OCO3)nnc1N1CCS(=O)(=O)CC1 ZINC001315066538 1074093518 /nfs/dbraw/zinc/09/35/18/1074093518.db2.gz APSXROBDSSQZNC-UHFFFAOYSA-N 0 0 443.507 -0.542 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccc3c(c2)OCO3)nnc1N1CCN(C(C)=O)CC1 ZINC001315066724 1074093526 /nfs/dbraw/zinc/09/35/26/1074093526.db2.gz DNQGUNQOADQQQE-UHFFFAOYSA-N 0 0 436.494 -0.109 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001315066902 1074093541 /nfs/dbraw/zinc/09/35/41/1074093541.db2.gz LMTBKDHBTSELFP-DZGCQCFKSA-N 0 0 441.558 -0.238 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001315066903 1074093880 /nfs/dbraw/zinc/09/38/80/1074093880.db2.gz LMTBKDHBTSELFP-HIFRSBDPSA-N 0 0 441.558 -0.238 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCCOC2)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001315066904 1074093977 /nfs/dbraw/zinc/09/39/77/1074093977.db2.gz LMTBKDHBTSELFP-UKRRQHHQSA-N 0 0 441.558 -0.238 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCOC2)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001315066906 1074093872 /nfs/dbraw/zinc/09/38/72/1074093872.db2.gz LMTBKDHBTSELFP-ZFWWWQNUSA-N 0 0 441.558 -0.238 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccnc2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001315067456 1074093855 /nfs/dbraw/zinc/09/38/55/1074093855.db2.gz AEXMDXKBZFZEII-UHFFFAOYSA-N 0 0 428.540 -0.097 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001315067478 1074093804 /nfs/dbraw/zinc/09/38/04/1074093804.db2.gz AWIWNSHSMSIEAI-KRWDZBQOSA-N 0 0 442.567 -0.152 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001315067480 1074093937 /nfs/dbraw/zinc/09/39/37/1074093937.db2.gz AWIWNSHSMSIEAI-QGZVFWFLSA-N 0 0 442.567 -0.152 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccccc2C)nnc1N(C)CCNS(C)(=O)=O ZINC001315067531 1074093909 /nfs/dbraw/zinc/09/39/09/1074093909.db2.gz PDGPVMVTQIELIJ-UHFFFAOYSA-N 0 0 430.556 -0.212 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(C[C@@H]2CCCO2)CC1 ZINC001315067657 1074093893 /nfs/dbraw/zinc/09/38/93/1074093893.db2.gz UCDWWPYEXBJHHE-CVEARBPZSA-N 0 0 428.559 -0.368 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(C[C@@H]2CCCO2)CC1 ZINC001315067658 1074093964 /nfs/dbraw/zinc/09/39/64/1074093964.db2.gz UCDWWPYEXBJHHE-HOTGVXAUSA-N 0 0 428.559 -0.368 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(C[C@H]2CCCO2)CC1 ZINC001315067659 1074093947 /nfs/dbraw/zinc/09/39/47/1074093947.db2.gz UCDWWPYEXBJHHE-HZPDHXFCSA-N 0 0 428.559 -0.368 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(C[C@H]2CCCO2)CC1 ZINC001315067660 1074093785 /nfs/dbraw/zinc/09/37/85/1074093785.db2.gz UCDWWPYEXBJHHE-JKSUJKDBSA-N 0 0 428.559 -0.368 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(C1)CCCOC2 ZINC001315067798 1074093985 /nfs/dbraw/zinc/09/39/85/1074093985.db2.gz BVFVHWPBJXWAHJ-PBHICJAKSA-N 0 0 447.583 -0.264 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(C1)CCCOC2 ZINC001315067799 1074093823 /nfs/dbraw/zinc/09/38/23/1074093823.db2.gz BVFVHWPBJXWAHJ-RHSMWYFYSA-N 0 0 447.583 -0.264 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(C1)CCCOC2 ZINC001315067800 1074093813 /nfs/dbraw/zinc/09/38/13/1074093813.db2.gz BVFVHWPBJXWAHJ-WMLDXEAASA-N 0 0 447.583 -0.264 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(C1)CCCOC2 ZINC001315067801 1074093834 /nfs/dbraw/zinc/09/38/34/1074093834.db2.gz BVFVHWPBJXWAHJ-YOEHRIQHSA-N 0 0 447.583 -0.264 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2ccccc2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001315067883 1074093866 /nfs/dbraw/zinc/09/38/66/1074093866.db2.gz RXDFMFKLAGJTEK-AWEZNQCLSA-N 0 0 442.567 -0.036 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCc1nccs1 ZINC001315068016 1074093927 /nfs/dbraw/zinc/09/39/27/1074093927.db2.gz GFYGPWVPYPESNU-GFCCVEGCSA-N 0 0 448.596 -0.135 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCc1nccs1 ZINC001315068017 1074093994 /nfs/dbraw/zinc/09/39/94/1074093994.db2.gz GFYGPWVPYPESNU-LBPRGKRZSA-N 0 0 448.596 -0.135 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001315069036 1074093843 /nfs/dbraw/zinc/09/38/43/1074093843.db2.gz NDHHDDVFNPEIEF-HNNXBMFYSA-N 0 0 434.526 -0.065 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001315069038 1074093920 /nfs/dbraw/zinc/09/39/20/1074093920.db2.gz NDHHDDVFNPEIEF-OAHLLOKOSA-N 0 0 434.526 -0.065 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCCC[C@H]2C1 ZINC001315069315 1074094345 /nfs/dbraw/zinc/09/43/45/1074094345.db2.gz VNGODXZEYOUWDG-CABCVRRESA-N 0 0 446.599 -0.596 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCCC[C@H]2C1 ZINC001315069317 1074094230 /nfs/dbraw/zinc/09/42/30/1074094230.db2.gz VNGODXZEYOUWDG-GJZGRUSLSA-N 0 0 446.599 -0.596 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCCC[C@@H]2C1 ZINC001315069319 1074094264 /nfs/dbraw/zinc/09/42/64/1074094264.db2.gz VNGODXZEYOUWDG-HUUCEWRRSA-N 0 0 446.599 -0.596 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCCC[C@@H]2C1 ZINC001315069321 1074094377 /nfs/dbraw/zinc/09/43/77/1074094377.db2.gz VNGODXZEYOUWDG-LSDHHAIUSA-N 0 0 446.599 -0.596 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCCC2)C1 ZINC001315069529 1074094214 /nfs/dbraw/zinc/09/42/14/1074094214.db2.gz YJKPISYFDIHGSJ-AWEZNQCLSA-N 0 0 447.583 -0.121 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCCC2)C1 ZINC001315069530 1074094410 /nfs/dbraw/zinc/09/44/10/1074094410.db2.gz YJKPISYFDIHGSJ-CQSZACIVSA-N 0 0 447.583 -0.121 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnccn2)nnc1N(C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC001315069601 1074094450 /nfs/dbraw/zinc/09/44/50/1074094450.db2.gz DSLNFDYNQQTDHV-GASCZTMLSA-N 0 0 438.558 -0.170 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)C(C)C)CC1 ZINC001315069653 1074094332 /nfs/dbraw/zinc/09/43/32/1074094332.db2.gz FYQBJFWGHKXIRD-AWEZNQCLSA-N 0 0 441.558 -0.481 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NC(=O)C(C)C)CC1 ZINC001315069654 1074094432 /nfs/dbraw/zinc/09/44/32/1074094432.db2.gz FYQBJFWGHKXIRD-CQSZACIVSA-N 0 0 441.558 -0.481 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC(CC(=O)N(C)C)CC1 ZINC001315069790 1074094483 /nfs/dbraw/zinc/09/44/83/1074094483.db2.gz HDZBNFGLYYZVSN-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CC2)nnc1N1CCN(c2ncccc2C(N)=O)CC1 ZINC001315069875 1074094299 /nfs/dbraw/zinc/09/42/99/1074094299.db2.gz JUIZBMTYYMMYKM-UHFFFAOYSA-N 0 0 434.526 -0.475 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCOC2(CCOCC2)C1 ZINC001315069986 1074094421 /nfs/dbraw/zinc/09/44/21/1074094421.db2.gz KHWBOXKIXZDCCK-UHFFFAOYSA-N 0 0 425.515 -0.386 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCO[C@H](COC(C)C)C1 ZINC001315069987 1074094308 /nfs/dbraw/zinc/09/43/08/1074094308.db2.gz KIJYMARLEUZAGL-AWEZNQCLSA-N 0 0 425.515 -0.086 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCO[C@@H](COC(C)C)C1 ZINC001315069988 1074094442 /nfs/dbraw/zinc/09/44/42/1074094442.db2.gz KIJYMARLEUZAGL-CQSZACIVSA-N 0 0 425.515 -0.086 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001315070047 1074094320 /nfs/dbraw/zinc/09/43/20/1074094320.db2.gz MBEIFXKFKLPKSU-UHFFFAOYSA-N 0 0 431.544 -0.759 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccc(C)n2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001315070098 1074094255 /nfs/dbraw/zinc/09/42/55/1074094255.db2.gz MQHOHOJXOAPJLI-UHFFFAOYSA-N 0 0 437.526 -0.507 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001315070145 1074094469 /nfs/dbraw/zinc/09/44/69/1074094469.db2.gz YPCNBGMWBJSHRV-CYBMUJFWSA-N 0 0 430.556 -0.154 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001315070146 1074094203 /nfs/dbraw/zinc/09/42/03/1074094203.db2.gz YPCNBGMWBJSHRV-ZDUSSCGKSA-N 0 0 430.556 -0.154 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001315070237 1074094289 /nfs/dbraw/zinc/09/42/89/1074094289.db2.gz CTBAQLJRCLNDOB-OAHLLOKOSA-N 0 0 441.558 -0.921 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001315070243 1074094476 /nfs/dbraw/zinc/09/44/76/1074094476.db2.gz CUUFKGOTWLNBKA-HUUCEWRRSA-N 0 0 425.515 -0.219 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001315070244 1074094869 /nfs/dbraw/zinc/09/48/69/1074094869.db2.gz CUUFKGOTWLNBKA-LSDHHAIUSA-N 0 0 425.515 -0.219 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCCNS(C)(=O)=O)C1 ZINC001315070259 1074094814 /nfs/dbraw/zinc/09/48/14/1074094814.db2.gz DEUDNVXSFJOLKE-AWEZNQCLSA-N 0 0 442.542 -0.157 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCCNS(C)(=O)=O)C1 ZINC001315070260 1074094807 /nfs/dbraw/zinc/09/48/07/1074094807.db2.gz DEUDNVXSFJOLKE-CQSZACIVSA-N 0 0 442.542 -0.157 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2nn(C)c(=O)c3ccccc32)nnc1N1CC(C)(OC)C1 ZINC001315070346 1074094748 /nfs/dbraw/zinc/09/47/48/1074094748.db2.gz NZWMSGZBKZVXOC-UHFFFAOYSA-N 0 0 447.521 -0.034 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CC2)nnc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001315070353 1074094685 /nfs/dbraw/zinc/09/46/85/1074094685.db2.gz OGGMZMTZJPBCNZ-AWEZNQCLSA-N 0 0 432.572 -0.291 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CC2)nnc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001315070357 1074094726 /nfs/dbraw/zinc/09/47/26/1074094726.db2.gz OGGMZMTZJPBCNZ-CQSZACIVSA-N 0 0 432.572 -0.291 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CC2)nnc1N1CCN([C@@H](C)C(=O)N2CCCC2)CC1 ZINC001315070371 1074094707 /nfs/dbraw/zinc/09/47/07/1074094707.db2.gz ONTQSBNVGMEGOQ-HNNXBMFYSA-N 0 0 439.586 -0.162 20 0 IBADRN CNS(=O)(=O)CCn1c(C2CC2)nnc1N1CCN([C@H](C)C(=O)N2CCCC2)CC1 ZINC001315070372 1074094873 /nfs/dbraw/zinc/09/48/73/1074094873.db2.gz ONTQSBNVGMEGOQ-OAHLLOKOSA-N 0 0 439.586 -0.162 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC(CC(=O)N(C)C)CC1 ZINC001315070398 1074094737 /nfs/dbraw/zinc/09/47/37/1074094737.db2.gz PNPSYLHNAFIPEO-UHFFFAOYSA-N 0 0 438.558 -0.078 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCCN(C(=O)C2CC2)CC1 ZINC001315070424 1074094836 /nfs/dbraw/zinc/09/48/36/1074094836.db2.gz QIKNSMONCUCGFB-UHFFFAOYSA-N 0 0 436.542 -0.324 20 0 IBADRN CNS(=O)(=O)CCn1c(N2CCO[C@@H](COC)C2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001315070498 1074094860 /nfs/dbraw/zinc/09/48/60/1074094860.db2.gz HTCCSNGFUPASPT-CRAIPNDOSA-N 0 0 447.558 -0.281 20 0 IBADRN CNS(=O)(=O)CCn1c(N2CCO[C@H](COC)C2)nnc1[C@@]1(OC(C)C)CCOC1 ZINC001315070499 1074094887 /nfs/dbraw/zinc/09/48/87/1074094887.db2.gz HTCCSNGFUPASPT-MAUKXSAKSA-N 0 0 447.558 -0.281 20 0 IBADRN CNS(=O)(=O)CCn1c(N2CCO[C@@H](COC)C2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001315070500 1074094674 /nfs/dbraw/zinc/09/46/74/1074094674.db2.gz HTCCSNGFUPASPT-QAPCUYQASA-N 0 0 447.558 -0.281 20 0 IBADRN CNS(=O)(=O)CCn1c(N2CCO[C@H](COC)C2)nnc1[C@]1(OC(C)C)CCOC1 ZINC001315070501 1074094821 /nfs/dbraw/zinc/09/48/21/1074094821.db2.gz HTCCSNGFUPASPT-YJBOKZPZSA-N 0 0 447.558 -0.281 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC(N2CCCNC2=O)CC1 ZINC001315070551 1074094842 /nfs/dbraw/zinc/09/48/42/1074094842.db2.gz RCUCNAJQWRALJB-UHFFFAOYSA-N 0 0 449.541 -0.332 20 0 IBADRN CNS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC2(CCN(C)C2=O)CC1 ZINC001315070564 1074094694 /nfs/dbraw/zinc/09/46/94/1074094694.db2.gz RMPBDGUUUNLYFB-UHFFFAOYSA-N 0 0 434.526 -0.267 20 0 IBADRN CNS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001315070636 1074094827 /nfs/dbraw/zinc/09/48/27/1074094827.db2.gz SROHFZACZAVKET-AWEZNQCLSA-N 0 0 427.531 -0.775 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001315070638 1074094850 /nfs/dbraw/zinc/09/48/50/1074094850.db2.gz SROHFZACZAVKET-CQSZACIVSA-N 0 0 427.531 -0.775 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001315070816 1074094760 /nfs/dbraw/zinc/09/47/60/1074094760.db2.gz MTSALUYQKSBQFD-CJNGLKHVSA-N 0 0 433.556 -0.306 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2CCCO2)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001315070817 1074095279 /nfs/dbraw/zinc/09/52/79/1074095279.db2.gz MTSALUYQKSBQFD-CZUORRHYSA-N 0 0 433.556 -0.306 20 0 IBADRN CNS(=O)(=O)CCn1c(N2CCN(C(=O)C(=O)NC(C)C)CC2)nnc1C(C)(C)C ZINC001315070868 1074095190 /nfs/dbraw/zinc/09/51/90/1074095190.db2.gz ABGLPMXRXPZDSP-UHFFFAOYSA-N 0 0 443.574 -0.702 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001315071096 1074095316 /nfs/dbraw/zinc/09/53/16/1074095316.db2.gz BJHQLPCDKAXEPU-UHFFFAOYSA-N 0 0 437.526 -0.892 20 0 IBADRN CNS(=O)(=O)CCn1c(COc2ccc(F)cc2)nnc1N1CCN(C)C(=O)C1 ZINC001315071137 1074095294 /nfs/dbraw/zinc/09/52/94/1074095294.db2.gz CGEUCKXPEJSCAO-UHFFFAOYSA-N 0 0 426.474 -0.176 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001315071172 1074095149 /nfs/dbraw/zinc/09/51/49/1074095149.db2.gz CVHXXQAGIGBKJC-INIZCTEOSA-N 0 0 437.526 -0.846 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001315071175 1074095212 /nfs/dbraw/zinc/09/52/12/1074095212.db2.gz CVHXXQAGIGBKJC-MRXNPFEDSA-N 0 0 437.526 -0.846 20 0 IBADRN CNS(=O)(=O)CCn1c(CC2CC2)nnc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001315071208 1074095247 /nfs/dbraw/zinc/09/52/47/1074095247.db2.gz DIZPOSKUTXUSEI-HNNXBMFYSA-N 0 0 446.599 -0.216 20 0 IBADRN CNS(=O)(=O)CCn1c(CC2CC2)nnc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001315071213 1074095201 /nfs/dbraw/zinc/09/52/01/1074095201.db2.gz DIZPOSKUTXUSEI-OAHLLOKOSA-N 0 0 446.599 -0.216 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N(C)Cc1cnn(C)c1 ZINC001315071301 1074095157 /nfs/dbraw/zinc/09/51/57/1074095157.db2.gz QPLYOMDROKPFJG-GJZGRUSLSA-N 0 0 427.531 -0.079 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001315071530 1074095222 /nfs/dbraw/zinc/09/52/22/1074095222.db2.gz DRWLNBZDPZDJAG-UHFFFAOYSA-N 0 0 437.526 -0.892 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001315071805 1074095127 /nfs/dbraw/zinc/09/51/27/1074095127.db2.gz VYCYNTIMVOXTIL-IHRRRGAJSA-N 0 0 444.514 -0.808 20 0 IBADRN CNS(=O)(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001315071807 1074095179 /nfs/dbraw/zinc/09/51/79/1074095179.db2.gz VYCYNTIMVOXTIL-MJBXVCDLSA-N 0 0 444.514 -0.808 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CC[C@H](C(=O)OC)C1 ZINC001315071920 1074095118 /nfs/dbraw/zinc/09/51/18/1074095118.db2.gz AMROWNDGOKUVEA-AWEZNQCLSA-N 0 0 425.515 -0.312 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CC[C@@H](C(=O)OC)C1 ZINC001315071921 1074095306 /nfs/dbraw/zinc/09/53/06/1074095306.db2.gz AMROWNDGOKUVEA-CQSZACIVSA-N 0 0 425.515 -0.312 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001315071922 1074095329 /nfs/dbraw/zinc/09/53/29/1074095329.db2.gz APMOGDDYMJEJPZ-GASCZTMLSA-N 0 0 427.531 -0.461 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCO[C@H](COC(C)C)C1 ZINC001315071926 1074095104 /nfs/dbraw/zinc/09/51/04/1074095104.db2.gz ARAQTOBINUWZLJ-HNNXBMFYSA-N 0 0 444.558 -0.420 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCO[C@@H](COC(C)C)C1 ZINC001315071927 1074095170 /nfs/dbraw/zinc/09/51/70/1074095170.db2.gz ARAQTOBINUWZLJ-OAHLLOKOSA-N 0 0 444.558 -0.420 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCCN(C(C)=O)CC1 ZINC001315071948 1074095138 /nfs/dbraw/zinc/09/51/38/1074095138.db2.gz AZPPTGXZGFVOCD-UHFFFAOYSA-N 0 0 427.531 -0.992 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001315071958 1074095235 /nfs/dbraw/zinc/09/52/35/1074095235.db2.gz BHGPUERZSARCIQ-CABCVRRESA-N 0 0 436.542 -0.596 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001315071959 1074095673 /nfs/dbraw/zinc/09/56/73/1074095673.db2.gz BHGPUERZSARCIQ-GJZGRUSLSA-N 0 0 436.542 -0.596 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001315071960 1074095631 /nfs/dbraw/zinc/09/56/31/1074095631.db2.gz BHGPUERZSARCIQ-HUUCEWRRSA-N 0 0 436.542 -0.596 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001315071961 1074095689 /nfs/dbraw/zinc/09/56/89/1074095689.db2.gz BHGPUERZSARCIQ-LSDHHAIUSA-N 0 0 436.542 -0.596 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001315072028 1074095537 /nfs/dbraw/zinc/09/55/37/1074095537.db2.gz ILYLGZBDIZMNQP-KRWDZBQOSA-N 0 0 446.537 -0.085 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001315072029 1074095528 /nfs/dbraw/zinc/09/55/28/1074095528.db2.gz ILYLGZBDIZMNQP-QGZVFWFLSA-N 0 0 446.537 -0.085 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001315072109 1074095546 /nfs/dbraw/zinc/09/55/46/1074095546.db2.gz JBLQAZSVMMJJHH-UHFFFAOYSA-N 0 0 445.553 -0.127 20 0 IBADRN CNS(=O)(=O)CCn1c(COc2ccccc2F)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001315072313 1074095553 /nfs/dbraw/zinc/09/55/53/1074095553.db2.gz NTYSZNPZNJZPCJ-GFCCVEGCSA-N 0 0 426.474 -0.143 20 0 IBADRN CNS(=O)(=O)CCn1c(COc2ccccc2F)nnc1N1CC[C@H](C(N)=O)C1 ZINC001315072314 1074095563 /nfs/dbraw/zinc/09/55/63/1074095563.db2.gz NTYSZNPZNJZPCJ-LBPRGKRZSA-N 0 0 426.474 -0.143 20 0 IBADRN CNS(=O)(=O)CCn1c(CNC(=O)c2cccs2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001315072407 1074095574 /nfs/dbraw/zinc/09/55/74/1074095574.db2.gz DFHKUZFPFKXSTN-TXEJJXNPSA-N 0 0 442.523 -0.620 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001315072480 1074095732 /nfs/dbraw/zinc/09/57/32/1074095732.db2.gz LBEDGNNVMNOYIK-AWEZNQCLSA-N 0 0 428.540 -0.564 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2cccnc2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001315072481 1074095581 /nfs/dbraw/zinc/09/55/81/1074095581.db2.gz LBEDGNNVMNOYIK-CQSZACIVSA-N 0 0 428.540 -0.564 20 0 IBADRN CNS(=O)(=O)CCn1c(COc2ccc(C)cc2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001315072575 1074095662 /nfs/dbraw/zinc/09/56/62/1074095662.db2.gz QLWYLUJZCWKHRG-HNNXBMFYSA-N 0 0 449.537 -0.071 20 0 IBADRN CNS(=O)(=O)CCn1c(COc2ccc(C)cc2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001315072591 1074095523 /nfs/dbraw/zinc/09/55/23/1074095523.db2.gz QLWYLUJZCWKHRG-OAHLLOKOSA-N 0 0 449.537 -0.071 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCCN(C(C)=O)CC1 ZINC001315072811 1074095710 /nfs/dbraw/zinc/09/57/10/1074095710.db2.gz HLRLEODWVYALLG-UHFFFAOYSA-N 0 0 438.558 -0.252 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccsc2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001315072883 1074095723 /nfs/dbraw/zinc/09/57/23/1074095723.db2.gz PIEBUDWCDHBIHL-UHFFFAOYSA-N 0 0 442.567 -0.225 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1C[C@H]2CCC[C@@H](C1)C2O ZINC001315072892 1074095592 /nfs/dbraw/zinc/09/55/92/1074095592.db2.gz ITZFQKPYEGTFAV-VMZNBEPHSA-N 0 0 426.543 -0.453 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001315072962 1074095601 /nfs/dbraw/zinc/09/56/01/1074095601.db2.gz QXVMKFKWUIGQCH-AWEZNQCLSA-N 0 0 428.540 -0.564 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001315072964 1074095699 /nfs/dbraw/zinc/09/56/99/1074095699.db2.gz QXVMKFKWUIGQCH-CQSZACIVSA-N 0 0 428.540 -0.564 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001315072966 1074095999 /nfs/dbraw/zinc/09/59/99/1074095999.db2.gz QXYGOTKVCVRAJZ-UHFFFAOYSA-N 0 0 435.510 -0.108 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCC(NC(C)=O)CC1 ZINC001315073199 1074096108 /nfs/dbraw/zinc/09/61/08/1074096108.db2.gz KSXRHKLFWYAPGF-UHFFFAOYSA-N 0 0 438.558 -0.206 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2csc(C)n2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001315073226 1074096025 /nfs/dbraw/zinc/09/60/25/1074096025.db2.gz TXSPNHHVBAYCIC-HNNXBMFYSA-N 0 0 441.583 -0.296 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2csc(C)n2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001315073229 1074095966 /nfs/dbraw/zinc/09/59/66/1074095966.db2.gz TXSPNHHVBAYCIC-OAHLLOKOSA-N 0 0 441.583 -0.296 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001315073262 1074095933 /nfs/dbraw/zinc/09/59/33/1074095933.db2.gz UEFVTFSCTPOLLJ-GOSISDBHSA-N 0 0 449.581 -0.028 20 0 IBADRN CNS(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001315073263 1074095990 /nfs/dbraw/zinc/09/59/90/1074095990.db2.gz UEFVTFSCTPOLLJ-SFHVURJKSA-N 0 0 449.581 -0.028 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCC(NC(C)=O)CC1 ZINC001315073266 1074096005 /nfs/dbraw/zinc/09/60/05/1074096005.db2.gz LTKMERNATGBICC-UHFFFAOYSA-N 0 0 427.531 -0.945 20 0 IBADRN CNS(=O)(=O)CCn1c(CC2CC2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001315073297 1074095958 /nfs/dbraw/zinc/09/59/58/1074095958.db2.gz USWYISPRPFSNBR-UHFFFAOYSA-N 0 0 425.515 -0.700 20 0 IBADRN CNS(=O)(=O)CCn1c(COc2cccc(C)c2)nnc1N1CCS(=O)(=O)CC1 ZINC001315073352 1074096055 /nfs/dbraw/zinc/09/60/55/1074096055.db2.gz NRYZIBZLEHVYQU-UHFFFAOYSA-N 0 0 443.551 -0.050 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@H](c2cnn(C)c2)C1 ZINC001315073353 1074096050 /nfs/dbraw/zinc/09/60/50/1074096050.db2.gz NTOYKPTVDBACRV-AWEZNQCLSA-N 0 0 436.542 -0.323 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H](c2cnn(C)c2)C1 ZINC001315073354 1074096097 /nfs/dbraw/zinc/09/60/97/1074096097.db2.gz NTOYKPTVDBACRV-CQSZACIVSA-N 0 0 436.542 -0.323 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001315073695 1074096046 /nfs/dbraw/zinc/09/60/46/1074096046.db2.gz UQUWYPBMHAUIEZ-INIZCTEOSA-N 0 0 438.558 -0.206 20 0 IBADRN CNS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001315073696 1074096020 /nfs/dbraw/zinc/09/60/20/1074096020.db2.gz UQUWYPBMHAUIEZ-MRXNPFEDSA-N 0 0 438.558 -0.206 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCC[C@@H]1c1nncn1C ZINC001315073824 1074096015 /nfs/dbraw/zinc/09/60/15/1074096015.db2.gz ZOXGABVTXBSORP-CYBMUJFWSA-N 0 0 437.530 -0.580 20 0 IBADRN CNS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCC[C@H]1c1nncn1C ZINC001315073825 1074095950 /nfs/dbraw/zinc/09/59/50/1074095950.db2.gz ZOXGABVTXBSORP-ZDUSSCGKSA-N 0 0 437.530 -0.580 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001315075039 1074096062 /nfs/dbraw/zinc/09/60/62/1074096062.db2.gz CVWOJGCZCCQGGU-CHWSQXEVSA-N 0 0 428.515 -0.442 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001315075040 1074096078 /nfs/dbraw/zinc/09/60/78/1074096078.db2.gz CVWOJGCZCCQGGU-OLZOCXBDSA-N 0 0 428.515 -0.442 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001315075041 1074096083 /nfs/dbraw/zinc/09/60/83/1074096083.db2.gz CVWOJGCZCCQGGU-QWHCGFSZSA-N 0 0 428.515 -0.442 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C1 ZINC001315075042 1074096011 /nfs/dbraw/zinc/09/60/11/1074096011.db2.gz CVWOJGCZCCQGGU-STQMWFEESA-N 0 0 428.515 -0.442 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C1 ZINC001315075554 1074096070 /nfs/dbraw/zinc/09/60/70/1074096070.db2.gz FJKPMUBOZHRTRC-KBPBESRZSA-N 0 0 443.526 -0.320 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C1 ZINC001315075555 1074096529 /nfs/dbraw/zinc/09/65/29/1074096529.db2.gz FJKPMUBOZHRTRC-KGLIPLIRSA-N 0 0 443.526 -0.320 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C1 ZINC001315075556 1074096461 /nfs/dbraw/zinc/09/64/61/1074096461.db2.gz FJKPMUBOZHRTRC-UONOGXRCSA-N 0 0 443.526 -0.320 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)C1 ZINC001315075557 1074096513 /nfs/dbraw/zinc/09/65/13/1074096513.db2.gz FJKPMUBOZHRTRC-ZIAGYGMSSA-N 0 0 443.526 -0.320 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001315078933 1074096372 /nfs/dbraw/zinc/09/63/72/1074096372.db2.gz RDNCCLQHSAHQNU-KBPBESRZSA-N 0 0 443.526 -0.475 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001315078934 1074096382 /nfs/dbraw/zinc/09/63/82/1074096382.db2.gz RDNCCLQHSAHQNU-KGLIPLIRSA-N 0 0 443.526 -0.475 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001315078935 1074096317 /nfs/dbraw/zinc/09/63/17/1074096317.db2.gz RDNCCLQHSAHQNU-UONOGXRCSA-N 0 0 443.526 -0.475 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001315078936 1074096479 /nfs/dbraw/zinc/09/64/79/1074096479.db2.gz RDNCCLQHSAHQNU-ZIAGYGMSSA-N 0 0 443.526 -0.475 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCN(C)C2)C1 ZINC001315079333 1074096330 /nfs/dbraw/zinc/09/63/30/1074096330.db2.gz RZMODUVJQYTWCW-CLCXKQKWSA-N 0 0 441.554 -0.364 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCN(C)C2)C1 ZINC001315079334 1074096401 /nfs/dbraw/zinc/09/64/01/1074096401.db2.gz RZMODUVJQYTWCW-SPYBWZPUSA-N 0 0 441.554 -0.364 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCN(C)C2)C1 ZINC001315079335 1074096439 /nfs/dbraw/zinc/09/64/39/1074096439.db2.gz RZMODUVJQYTWCW-VCBZYWHSSA-N 0 0 441.554 -0.364 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCN(C)C2)C1 ZINC001315079336 1074096490 /nfs/dbraw/zinc/09/64/90/1074096490.db2.gz RZMODUVJQYTWCW-ZRGWGRIASA-N 0 0 441.554 -0.364 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315079829 1074096357 /nfs/dbraw/zinc/09/63/57/1074096357.db2.gz WKANMJSNJLYOSH-BFHYXJOUSA-N 0 0 425.511 -0.152 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315079830 1074096521 /nfs/dbraw/zinc/09/65/21/1074096521.db2.gz WKANMJSNJLYOSH-HZSPNIEDSA-N 0 0 425.511 -0.152 20 0 IBADRN COC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315079831 1074096425 /nfs/dbraw/zinc/09/64/25/1074096425.db2.gz WKANMJSNJLYOSH-MELADBBJSA-N 0 0 425.511 -0.152 20 0 IBADRN COC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315079832 1074096471 /nfs/dbraw/zinc/09/64/71/1074096471.db2.gz WKANMJSNJLYOSH-MGPQQGTHSA-N 0 0 425.511 -0.152 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc(C(=O)Nc3ccccn3)n2CC(=O)N2CCOCC2)C1 ZINC001315082985 1074096391 /nfs/dbraw/zinc/09/63/91/1074096391.db2.gz IUYZCDKGFVRTNC-AWEZNQCLSA-N 0 0 443.464 -0.217 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc(C(=O)Nc3ccccn3)n2CC(=O)N2CCOCC2)C1 ZINC001315082986 1074096482 /nfs/dbraw/zinc/09/64/82/1074096482.db2.gz IUYZCDKGFVRTNC-CQSZACIVSA-N 0 0 443.464 -0.217 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)N2CCOCC2)C1 ZINC001315084320 1074096431 /nfs/dbraw/zinc/09/64/31/1074096431.db2.gz VDWFXGWYWHKQFR-HNNXBMFYSA-N 0 0 434.497 -0.238 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)N2CCOCC2)C1 ZINC001315084322 1074096310 /nfs/dbraw/zinc/09/63/10/1074096310.db2.gz VDWFXGWYWHKQFR-OAHLLOKOSA-N 0 0 434.497 -0.238 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC[C@H]2OC)C1 ZINC001315090474 1074096364 /nfs/dbraw/zinc/09/63/64/1074096364.db2.gz PIXAZJUKWCCDIU-BYNSBNAKSA-N 0 0 445.542 -0.061 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC[C@H]2OC)C1 ZINC001315090475 1074096810 /nfs/dbraw/zinc/09/68/10/1074096810.db2.gz PIXAZJUKWCCDIU-GBJTYRQASA-N 0 0 445.542 -0.061 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC[C@@H]2OC)C1 ZINC001315090476 1074096771 /nfs/dbraw/zinc/09/67/71/1074096771.db2.gz PIXAZJUKWCCDIU-XQLPTFJDSA-N 0 0 445.542 -0.061 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC[C@@H]2OC)C1 ZINC001315090477 1074096881 /nfs/dbraw/zinc/09/68/81/1074096881.db2.gz PIXAZJUKWCCDIU-YJNKXOJESA-N 0 0 445.542 -0.061 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC(F)F)C1 ZINC001315091956 1074096754 /nfs/dbraw/zinc/09/67/54/1074096754.db2.gz WMTMXJAPPFHSIF-NXEZZACHSA-N 0 0 438.457 -0.731 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC(F)F)C1 ZINC001315091960 1074096786 /nfs/dbraw/zinc/09/67/86/1074096786.db2.gz WMTMXJAPPFHSIF-UWVGGRQHSA-N 0 0 438.457 -0.731 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC(F)F)C1 ZINC001315091961 1074096818 /nfs/dbraw/zinc/09/68/18/1074096818.db2.gz WMTMXJAPPFHSIF-VHSXEESVSA-N 0 0 438.457 -0.731 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC(F)F)C1 ZINC001315091963 1074096874 /nfs/dbraw/zinc/09/68/74/1074096874.db2.gz WMTMXJAPPFHSIF-ZJUUUORDSA-N 0 0 438.457 -0.731 20 0 IBADRN COC(=O)C1CCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001315095154 1074096730 /nfs/dbraw/zinc/09/67/30/1074096730.db2.gz DUPVWCUEVMGSBR-UHFFFAOYSA-N 0 0 425.515 -0.041 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)CC1 ZINC001315098207 1074096839 /nfs/dbraw/zinc/09/68/39/1074096839.db2.gz HXAZJDRCSVDLEJ-AWEZNQCLSA-N 0 0 445.542 -0.229 20 0 IBADRN COC(=O)C1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)CC1 ZINC001315098208 1074096794 /nfs/dbraw/zinc/09/67/94/1074096794.db2.gz HXAZJDRCSVDLEJ-CQSZACIVSA-N 0 0 445.542 -0.229 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCOC2)C1 ZINC001315098325 1074096720 /nfs/dbraw/zinc/09/67/20/1074096720.db2.gz JUSSEBWFLWTSPM-KFWWJZLASA-N 0 0 443.526 -0.353 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCOC2)C1 ZINC001315098326 1074096890 /nfs/dbraw/zinc/09/68/90/1074096890.db2.gz JUSSEBWFLWTSPM-RBSFLKMASA-N 0 0 443.526 -0.353 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CCOC2)C1 ZINC001315098327 1074096779 /nfs/dbraw/zinc/09/67/79/1074096779.db2.gz JUSSEBWFLWTSPM-RRFJBIMHSA-N 0 0 443.526 -0.353 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CCOC2)C1 ZINC001315098328 1074096766 /nfs/dbraw/zinc/09/67/66/1074096766.db2.gz JUSSEBWFLWTSPM-ZNMIVQPWSA-N 0 0 443.526 -0.353 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001315099078 1074096863 /nfs/dbraw/zinc/09/68/63/1074096863.db2.gz ZJMBDDMWGPBJMW-APIJFGDWSA-N 0 0 428.511 -0.281 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001315099079 1074096746 /nfs/dbraw/zinc/09/67/46/1074096746.db2.gz ZJMBDDMWGPBJMW-CBBWQLFWSA-N 0 0 428.511 -0.281 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001315099080 1074096828 /nfs/dbraw/zinc/09/68/28/1074096828.db2.gz ZJMBDDMWGPBJMW-LJISPDSOSA-N 0 0 428.511 -0.281 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)C1 ZINC001315099081 1074096736 /nfs/dbraw/zinc/09/67/36/1074096736.db2.gz ZJMBDDMWGPBJMW-ZQDZILKHSA-N 0 0 428.511 -0.281 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CCCO2)C1 ZINC001315099091 1074096707 /nfs/dbraw/zinc/09/67/07/1074096707.db2.gz ZPAQDBAWGGRIIV-ILXRZTDVSA-N 0 0 443.526 -0.211 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCO2)C1 ZINC001315099092 1074096853 /nfs/dbraw/zinc/09/68/53/1074096853.db2.gz ZPAQDBAWGGRIIV-KFWWJZLASA-N 0 0 443.526 -0.211 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CCCO2)C1 ZINC001315099093 1074097280 /nfs/dbraw/zinc/09/72/80/1074097280.db2.gz ZPAQDBAWGGRIIV-QLFBSQMISA-N 0 0 443.526 -0.211 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCO2)C1 ZINC001315099094 1074097293 /nfs/dbraw/zinc/09/72/93/1074097293.db2.gz ZPAQDBAWGGRIIV-RBSFLKMASA-N 0 0 443.526 -0.211 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)COCCO2)C1 ZINC001315099218 1074097301 /nfs/dbraw/zinc/09/73/01/1074097301.db2.gz CAFJCYRZTYXVRZ-GLJUWKHASA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(C)COCCO2)C1 ZINC001315099219 1074097265 /nfs/dbraw/zinc/09/72/65/1074097265.db2.gz CAFJCYRZTYXVRZ-HBUWYVDXSA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)COCCO2)C1 ZINC001315099220 1074097210 /nfs/dbraw/zinc/09/72/10/1074097210.db2.gz CAFJCYRZTYXVRZ-LBTNJELSSA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(C)COCCO2)C1 ZINC001315099221 1074097275 /nfs/dbraw/zinc/09/72/75/1074097275.db2.gz CAFJCYRZTYXVRZ-QWQRMKEZSA-N 0 0 428.511 -0.015 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C1 ZINC001315100873 1074097244 /nfs/dbraw/zinc/09/72/44/1074097244.db2.gz ULTRAHLGMFFJLN-KBPBESRZSA-N 0 0 425.511 -0.294 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C1 ZINC001315100874 1074097184 /nfs/dbraw/zinc/09/71/84/1074097184.db2.gz ULTRAHLGMFFJLN-KGLIPLIRSA-N 0 0 425.511 -0.294 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C1 ZINC001315100875 1074097156 /nfs/dbraw/zinc/09/71/56/1074097156.db2.gz ULTRAHLGMFFJLN-UONOGXRCSA-N 0 0 425.511 -0.294 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C1 ZINC001315100877 1074097309 /nfs/dbraw/zinc/09/73/09/1074097309.db2.gz ULTRAHLGMFFJLN-ZIAGYGMSSA-N 0 0 425.511 -0.294 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCCO2)C[C@H]1O ZINC001315104064 1074097203 /nfs/dbraw/zinc/09/72/03/1074097203.db2.gz MHBOWCKIPMSDAS-APIJFGDWSA-N 0 0 445.542 -0.182 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCCCO2)C[C@H]1O ZINC001315104068 1074097254 /nfs/dbraw/zinc/09/72/54/1074097254.db2.gz MHBOWCKIPMSDAS-GBJTYRQASA-N 0 0 445.542 -0.182 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCCCO2)C[C@H]1O ZINC001315104070 1074097234 /nfs/dbraw/zinc/09/72/34/1074097234.db2.gz MHBOWCKIPMSDAS-KBUPBQIOSA-N 0 0 445.542 -0.182 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCCO2)C[C@H]1O ZINC001315104073 1074097319 /nfs/dbraw/zinc/09/73/19/1074097319.db2.gz MHBOWCKIPMSDAS-LJISPDSOSA-N 0 0 445.542 -0.182 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(C)CN(C3CC3)C2=O)C[C@H]1O ZINC001315105097 1074097173 /nfs/dbraw/zinc/09/71/73/1074097173.db2.gz ARRQRRGEOKYAJL-MFLXSWDHSA-N 0 0 448.524 -0.213 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@]2(C)CN(C3CC3)C2=O)C[C@H]1O ZINC001315105099 1074097166 /nfs/dbraw/zinc/09/71/66/1074097166.db2.gz ARRQRRGEOKYAJL-VWLHBSKXSA-N 0 0 448.524 -0.213 20 0 IBADRN COC(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)C1 ZINC001315106016 1074097225 /nfs/dbraw/zinc/09/72/25/1074097225.db2.gz MYSKSWLWRZLFQX-CYBMUJFWSA-N 0 0 428.515 -0.661 20 0 IBADRN COC(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)C1 ZINC001315106019 1074097191 /nfs/dbraw/zinc/09/71/91/1074097191.db2.gz MYSKSWLWRZLFQX-ZDUSSCGKSA-N 0 0 428.515 -0.661 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H](C)N2CCOCC2)C[C@H]1O ZINC001315106470 1074097197 /nfs/dbraw/zinc/09/71/97/1074097197.db2.gz LQBJBLXLLHKHDV-ARFHVFGLSA-N 0 0 438.529 -0.503 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H](C)N2CCOCC2)C[C@H]1O ZINC001315106471 1074097217 /nfs/dbraw/zinc/09/72/17/1074097217.db2.gz LQBJBLXLLHKHDV-BZUAXINKSA-N 0 0 438.529 -0.503 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@H]2NC(=O)CC2(C)C)C[C@H]1O ZINC001315108788 1074097596 /nfs/dbraw/zinc/09/75/96/1074097596.db2.gz PGRBBBIADIDVME-BPLDGKMQSA-N 0 0 431.497 -0.047 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2NC(=O)CC2(C)C)C[C@H]1O ZINC001315108789 1074097537 /nfs/dbraw/zinc/09/75/37/1074097537.db2.gz PGRBBBIADIDVME-YUELXQCFSA-N 0 0 431.497 -0.047 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)C[C@H]1O ZINC001315109374 1074097645 /nfs/dbraw/zinc/09/76/45/1074097645.db2.gz BMVJPTBZXZXTAJ-GMTAPVOTSA-N 0 0 448.402 -0.732 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)(F)F)C[C@H]1O ZINC001315109376 1074097663 /nfs/dbraw/zinc/09/76/63/1074097663.db2.gz BMVJPTBZXZXTAJ-OUAUKWLOSA-N 0 0 448.402 -0.732 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(-c3cccnc3)n2CCCNS(C)(=O)=O)C[C@H]1O ZINC001315109811 1074097657 /nfs/dbraw/zinc/09/76/57/1074097657.db2.gz XJUJORDLERBBQW-HUUCEWRRSA-N 0 0 438.510 -0.360 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC[C@@H]2COCCO2)C[C@H]1O ZINC001315109891 1074097624 /nfs/dbraw/zinc/09/76/24/1074097624.db2.gz PHGNKKBPUSTJAG-BZUAXINKSA-N 0 0 437.497 -0.434 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC[C@H]2COCCO2)C[C@H]1O ZINC001315109892 1074097547 /nfs/dbraw/zinc/09/75/47/1074097547.db2.gz PHGNKKBPUSTJAG-OWCLPIDISA-N 0 0 437.497 -0.434 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(CC3CC3)n2CCN2CCCS2(=O)=O)C[C@H]1O ZINC001315110510 1074097569 /nfs/dbraw/zinc/09/75/69/1074097569.db2.gz YGWAQAHZKVTFFR-HUUCEWRRSA-N 0 0 427.527 -0.374 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC2([S@](C)=O)CC2)C[C@H]1O ZINC001315110560 1074097639 /nfs/dbraw/zinc/09/76/39/1074097639.db2.gz ZJCKJROZSAWVJZ-GKXFIBDASA-N 0 0 439.538 -0.328 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC2([S@@](C)=O)CC2)C[C@H]1O ZINC001315110561 1074097607 /nfs/dbraw/zinc/09/76/07/1074097607.db2.gz ZJCKJROZSAWVJZ-PWRODJMOSA-N 0 0 439.538 -0.328 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CC2CCS(=O)(=O)CC2)C[C@H]1O ZINC001315111051 1074097602 /nfs/dbraw/zinc/09/76/02/1074097602.db2.gz CQLMNQICVYSJQE-ARFHVFGLSA-N 0 0 442.538 -0.033 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CC2CCS(=O)(=O)CC2)C[C@H]1O ZINC001315111052 1074097588 /nfs/dbraw/zinc/09/75/88/1074097588.db2.gz CQLMNQICVYSJQE-BZUAXINKSA-N 0 0 442.538 -0.033 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(C)(C)O)C[C@H]1O ZINC001315111219 1074097613 /nfs/dbraw/zinc/09/76/13/1074097613.db2.gz FSFJCAANIUBYPQ-BFHYXJOUSA-N 0 0 430.527 -0.299 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(C)(C)O)C[C@H]1O ZINC001315111220 1074097620 /nfs/dbraw/zinc/09/76/20/1074097620.db2.gz FSFJCAANIUBYPQ-MGPQQGTHSA-N 0 0 430.527 -0.299 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCSCCCO)C[C@H]1O ZINC001315111749 1074097651 /nfs/dbraw/zinc/09/76/51/1074097651.db2.gz XHVKGEPAUFBKFN-HZSPNIEDSA-N 0 0 427.527 -0.295 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCSCCCO)C[C@H]1O ZINC001315111750 1074097576 /nfs/dbraw/zinc/09/75/76/1074097576.db2.gz XHVKGEPAUFBKFN-MGPQQGTHSA-N 0 0 427.527 -0.295 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(CC(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@H]1O ZINC001315111942 1074097583 /nfs/dbraw/zinc/09/75/83/1074097583.db2.gz MITVJIYCDMMYDG-HBUWYVDXSA-N 0 0 430.527 -0.614 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc(CC(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@H]1O ZINC001315111943 1074097557 /nfs/dbraw/zinc/09/75/57/1074097557.db2.gz MITVJIYCDMMYDG-LBTNJELSSA-N 0 0 430.527 -0.614 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)C[C@H]1O ZINC001315112503 1074097635 /nfs/dbraw/zinc/09/76/35/1074097635.db2.gz RNMQBTOSZOTNJU-GBJTYRQASA-N 0 0 428.511 -0.281 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)C[C@H]1O ZINC001315112505 1074097630 /nfs/dbraw/zinc/09/76/30/1074097630.db2.gz RNMQBTOSZOTNJU-KBUPBQIOSA-N 0 0 428.511 -0.281 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CCN2CCCS2(=O)=O)C[C@H]1O ZINC001315112755 1074097940 /nfs/dbraw/zinc/09/79/40/1074097940.db2.gz URTSYYKUDUCFAP-RBSFLKMASA-N 0 0 443.526 -0.822 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CCN2CCCS2(=O)=O)C[C@H]1O ZINC001315112756 1074097967 /nfs/dbraw/zinc/09/79/67/1074097967.db2.gz URTSYYKUDUCFAP-RRFJBIMHSA-N 0 0 443.526 -0.822 20 0 IBADRN COC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001315115898 1074097867 /nfs/dbraw/zinc/09/78/67/1074097867.db2.gz MCPVSDQJZBVJEY-AWEZNQCLSA-N 0 0 440.526 -0.163 20 0 IBADRN COC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001315115899 1074097858 /nfs/dbraw/zinc/09/78/58/1074097858.db2.gz MCPVSDQJZBVJEY-CQSZACIVSA-N 0 0 440.526 -0.163 20 0 IBADRN COC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001315115933 1074098004 /nfs/dbraw/zinc/09/80/04/1074098004.db2.gz NBKGMOLQYSLZDM-UHFFFAOYSA-N 0 0 449.512 -0.315 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C[C@@H]1C ZINC001315119409 1074098028 /nfs/dbraw/zinc/09/80/28/1074098028.db2.gz WWOHITNOOVYSOG-RWMBFGLXSA-N 0 0 443.530 -0.556 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C[C@@H]1C ZINC001315119410 1074097894 /nfs/dbraw/zinc/09/78/94/1074097894.db2.gz WWOHITNOOVYSOG-YNEHKIRRSA-N 0 0 443.530 -0.556 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCS(=O)(=O)C2)C[C@@H]1C ZINC001315121901 1074097957 /nfs/dbraw/zinc/09/79/57/1074097957.db2.gz HMYURCOEXPIIGS-BFHYXJOUSA-N 0 0 440.526 -0.059 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCS(=O)(=O)C2)C[C@@H]1C ZINC001315121902 1074097998 /nfs/dbraw/zinc/09/79/98/1074097998.db2.gz HMYURCOEXPIIGS-IHRRRGAJSA-N 0 0 440.526 -0.059 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCS(=O)(=O)C2)C[C@@H]1C ZINC001315121903 1074097825 /nfs/dbraw/zinc/09/78/25/1074097825.db2.gz HMYURCOEXPIIGS-MELADBBJSA-N 0 0 440.526 -0.059 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCS(=O)(=O)C2)C[C@@H]1C ZINC001315121904 1074097879 /nfs/dbraw/zinc/09/78/79/1074097879.db2.gz HMYURCOEXPIIGS-MJBXVCDLSA-N 0 0 440.526 -0.059 20 0 IBADRN COC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001315122662 1074097926 /nfs/dbraw/zinc/09/79/26/1074097926.db2.gz GRZFULGMOKRISX-UHFFFAOYSA-N 0 0 432.485 -0.151 20 0 IBADRN COC(=O)N1CCN(c2nnc(-c3cnccn3)n2CC(=O)N2CCOCC2)C[C@@H]1C ZINC001315122905 1074097888 /nfs/dbraw/zinc/09/78/88/1074097888.db2.gz QCRZEGSGUKLEEO-AWEZNQCLSA-N 0 0 430.469 -0.129 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)CC1 ZINC001315123010 1074098038 /nfs/dbraw/zinc/09/80/38/1074098038.db2.gz AKRFWTNCIZQPSO-CYBMUJFWSA-N 0 0 449.555 -0.497 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCS(C)(=O)=O)CC1 ZINC001315123011 1074098014 /nfs/dbraw/zinc/09/80/14/1074098014.db2.gz AKRFWTNCIZQPSO-ZDUSSCGKSA-N 0 0 449.555 -0.497 20 0 IBADRN COC(=O)N1CCN(c2nnc(-c3cnccn3)n2CCC(=O)N2CCOCC2)CC1 ZINC001315123302 1074097949 /nfs/dbraw/zinc/09/79/49/1074097949.db2.gz YUFNFJLFTAJMFC-UHFFFAOYSA-N 0 0 430.469 -0.128 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCN(C)C2)CC1 ZINC001315123374 1074097903 /nfs/dbraw/zinc/09/79/03/1074097903.db2.gz HGYDWGPZZQFHIF-KBXCAEBGSA-N 0 0 442.542 -0.865 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCN(C)C2)CC1 ZINC001315123375 1074098045 /nfs/dbraw/zinc/09/80/45/1074098045.db2.gz HGYDWGPZZQFHIF-KDOFPFPSSA-N 0 0 442.542 -0.865 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCN(C)C2)CC1 ZINC001315123376 1074097972 /nfs/dbraw/zinc/09/79/72/1074097972.db2.gz HGYDWGPZZQFHIF-KSSFIOAISA-N 0 0 442.542 -0.865 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCN(C)C2)CC1 ZINC001315123377 1074097838 /nfs/dbraw/zinc/09/78/38/1074097838.db2.gz HGYDWGPZZQFHIF-RDTXWAMCSA-N 0 0 442.542 -0.865 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@@H]1C ZINC001315123704 1074098366 /nfs/dbraw/zinc/09/83/66/1074098366.db2.gz KXBMZFMNRADESL-DEYYWGMASA-N 0 0 443.526 -0.392 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@@H]1C ZINC001315123735 1074098410 /nfs/dbraw/zinc/09/84/10/1074098410.db2.gz KXBMZFMNRADESL-IYOUNJFTSA-N 0 0 443.526 -0.392 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@@H]1C ZINC001315123736 1074098384 /nfs/dbraw/zinc/09/83/84/1074098384.db2.gz KXBMZFMNRADESL-PMUMKWKESA-N 0 0 443.526 -0.392 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@@H]1C ZINC001315123737 1074098419 /nfs/dbraw/zinc/09/84/19/1074098419.db2.gz KXBMZFMNRADESL-SUNYJGFJSA-N 0 0 443.526 -0.392 20 0 IBADRN COC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCCS(C)(=O)=O)CC1 ZINC001315124160 1074098337 /nfs/dbraw/zinc/09/83/37/1074098337.db2.gz JICQTCUNVUTASU-UHFFFAOYSA-N 0 0 428.515 -0.276 20 0 IBADRN COC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)CC1 ZINC001315124162 1074098403 /nfs/dbraw/zinc/09/84/03/1074098403.db2.gz JLXRPVRJWGFTEI-UHFFFAOYSA-N 0 0 443.530 -0.772 20 0 IBADRN COC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)C[C@@H]1C ZINC001315124229 1074098496 /nfs/dbraw/zinc/09/84/96/1074098496.db2.gz LEZPSRYOIQSWOL-HNNXBMFYSA-N 0 0 449.512 -0.464 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001315124557 1074098375 /nfs/dbraw/zinc/09/83/75/1074098375.db2.gz UOCKTTPFDBZTTD-KBPBESRZSA-N 0 0 429.499 -0.516 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001315124559 1074098487 /nfs/dbraw/zinc/09/84/87/1074098487.db2.gz UOCKTTPFDBZTTD-KGLIPLIRSA-N 0 0 429.499 -0.516 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@H]2COCCO2)CC1 ZINC001315124965 1074098344 /nfs/dbraw/zinc/09/83/44/1074098344.db2.gz YVGVBBFWFVNMIK-CABCVRRESA-N 0 0 443.526 -0.126 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@H]2COCCO2)CC1 ZINC001315124966 1074098428 /nfs/dbraw/zinc/09/84/28/1074098428.db2.gz YVGVBBFWFVNMIK-GJZGRUSLSA-N 0 0 443.526 -0.126 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@@H]2COCCO2)CC1 ZINC001315124967 1074098329 /nfs/dbraw/zinc/09/83/29/1074098329.db2.gz YVGVBBFWFVNMIK-HUUCEWRRSA-N 0 0 443.526 -0.126 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@@H]2COCCO2)CC1 ZINC001315124968 1074098466 /nfs/dbraw/zinc/09/84/66/1074098466.db2.gz YVGVBBFWFVNMIK-LSDHHAIUSA-N 0 0 443.526 -0.126 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2CCCNS(C)(=O)=O)CC1 ZINC001315126461 1074098471 /nfs/dbraw/zinc/09/84/71/1074098471.db2.gz XHIYWVRPSSGXMP-AWEZNQCLSA-N 0 0 430.531 0.000 20 0 IBADRN COC(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2CCCNS(C)(=O)=O)CC1 ZINC001315126469 1074098436 /nfs/dbraw/zinc/09/84/36/1074098436.db2.gz XHIYWVRPSSGXMP-CQSZACIVSA-N 0 0 430.531 0.000 20 0 IBADRN COC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001315137366 1074098392 /nfs/dbraw/zinc/09/83/92/1074098392.db2.gz FZFSBSJOLPIRST-KBPBESRZSA-N 0 0 444.558 -0.297 20 0 IBADRN COC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001315137467 1074098359 /nfs/dbraw/zinc/09/83/59/1074098359.db2.gz FZFSBSJOLPIRST-KGLIPLIRSA-N 0 0 444.558 -0.297 20 0 IBADRN COC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001315137468 1074098480 /nfs/dbraw/zinc/09/84/80/1074098480.db2.gz FZFSBSJOLPIRST-UONOGXRCSA-N 0 0 444.558 -0.297 20 0 IBADRN COC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001315137469 1074098352 /nfs/dbraw/zinc/09/83/52/1074098352.db2.gz FZFSBSJOLPIRST-ZIAGYGMSSA-N 0 0 444.558 -0.297 20 0 IBADRN COC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001315137531 1074098446 /nfs/dbraw/zinc/09/84/46/1074098446.db2.gz HYJWAGKTLQIQTG-HNNXBMFYSA-N 0 0 444.558 -0.296 20 0 IBADRN COC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001315137532 1074098741 /nfs/dbraw/zinc/09/87/41/1074098741.db2.gz HYJWAGKTLQIQTG-OAHLLOKOSA-N 0 0 444.558 -0.296 20 0 IBADRN COC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001315139115 1074098749 /nfs/dbraw/zinc/09/87/49/1074098749.db2.gz YEQLFQAJNNHHKI-CHWSQXEVSA-N 0 0 430.531 -0.652 20 0 IBADRN COC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001315139116 1074098719 /nfs/dbraw/zinc/09/87/19/1074098719.db2.gz YEQLFQAJNNHHKI-OLZOCXBDSA-N 0 0 430.531 -0.652 20 0 IBADRN COC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001315139117 1074098708 /nfs/dbraw/zinc/09/87/08/1074098708.db2.gz YEQLFQAJNNHHKI-QWHCGFSZSA-N 0 0 430.531 -0.652 20 0 IBADRN COC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001315139118 1074098799 /nfs/dbraw/zinc/09/87/99/1074098799.db2.gz YEQLFQAJNNHHKI-STQMWFEESA-N 0 0 430.531 -0.652 20 0 IBADRN CO[C@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001315150913 1074098772 /nfs/dbraw/zinc/09/87/72/1074098772.db2.gz KNCWRQAQRARWRX-DVOMOZLQSA-N 0 0 435.572 -0.062 20 0 IBADRN CO[C@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001315150915 1074098755 /nfs/dbraw/zinc/09/87/55/1074098755.db2.gz KNCWRQAQRARWRX-IOASZLSFSA-N 0 0 435.572 -0.062 20 0 IBADRN CO[C@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001315150917 1074098786 /nfs/dbraw/zinc/09/87/86/1074098786.db2.gz KNCWRQAQRARWRX-WWGRRREGSA-N 0 0 435.572 -0.062 20 0 IBADRN CO[C@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001315150919 1074098792 /nfs/dbraw/zinc/09/87/92/1074098792.db2.gz KNCWRQAQRARWRX-XJKCOSOUSA-N 0 0 435.572 -0.062 20 0 IBADRN CO[C@@H](C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315155914 1074098711 /nfs/dbraw/zinc/09/87/11/1074098711.db2.gz JRNAVYRKZNREFE-HNNXBMFYSA-N 0 0 435.529 -0.278 20 0 IBADRN CO[C@H](C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315155918 1074098702 /nfs/dbraw/zinc/09/87/02/1074098702.db2.gz JRNAVYRKZNREFE-OAHLLOKOSA-N 0 0 435.529 -0.278 20 0 IBADRN CO[C@@H](C)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001315163558 1074098714 /nfs/dbraw/zinc/09/87/14/1074098714.db2.gz QGPTYURXIJQBOF-INIZCTEOSA-N 0 0 430.513 -0.249 20 0 IBADRN CO[C@H](C)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001315163560 1074098762 /nfs/dbraw/zinc/09/87/62/1074098762.db2.gz QGPTYURXIJQBOF-MRXNPFEDSA-N 0 0 430.513 -0.249 20 0 IBADRN CO[C@@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)NC(C)C ZINC001315164246 1074098745 /nfs/dbraw/zinc/09/87/45/1074098745.db2.gz PFQBSODCSDFFLW-KBPBESRZSA-N 0 0 432.547 -0.393 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)NC(C)C ZINC001315164248 1074098767 /nfs/dbraw/zinc/09/87/67/1074098767.db2.gz PFQBSODCSDFFLW-KGLIPLIRSA-N 0 0 432.547 -0.393 20 0 IBADRN CO[C@@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)NC(C)C ZINC001315164249 1074098724 /nfs/dbraw/zinc/09/87/24/1074098724.db2.gz PFQBSODCSDFFLW-UONOGXRCSA-N 0 0 432.547 -0.393 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)NC(C)C ZINC001315164251 1074098737 /nfs/dbraw/zinc/09/87/37/1074098737.db2.gz PFQBSODCSDFFLW-ZIAGYGMSSA-N 0 0 432.547 -0.393 20 0 IBADRN CO[C@@H](C)Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315165241 1074098727 /nfs/dbraw/zinc/09/87/27/1074098727.db2.gz ITNFVFSMJYOQRR-HNNXBMFYSA-N 0 0 435.529 -0.391 20 0 IBADRN CO[C@H](C)Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315165253 1074098731 /nfs/dbraw/zinc/09/87/31/1074098731.db2.gz ITNFVFSMJYOQRR-OAHLLOKOSA-N 0 0 435.529 -0.391 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001315166959 1074098781 /nfs/dbraw/zinc/09/87/81/1074098781.db2.gz WVYUVSQXNNOUDY-BFHYXJOUSA-N 0 0 430.531 -0.687 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001315166963 1074099149 /nfs/dbraw/zinc/09/91/49/1074099149.db2.gz WVYUVSQXNNOUDY-MCIONIFRSA-N 0 0 430.531 -0.687 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001315166964 1074099157 /nfs/dbraw/zinc/09/91/57/1074099157.db2.gz WVYUVSQXNNOUDY-MGPQQGTHSA-N 0 0 430.531 -0.687 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001315166965 1074099186 /nfs/dbraw/zinc/09/91/86/1074099186.db2.gz WVYUVSQXNNOUDY-MJBXVCDLSA-N 0 0 430.531 -0.687 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@](C)(C(N)=O)C1 ZINC001315168375 1074099077 /nfs/dbraw/zinc/09/90/77/1074099077.db2.gz YSWKETHKGBSFMC-IGCXYCKISA-N 0 0 430.531 -0.652 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@](C)(C(N)=O)C1 ZINC001315168376 1074099065 /nfs/dbraw/zinc/09/90/65/1074099065.db2.gz YSWKETHKGBSFMC-IIYDPXPESA-N 0 0 430.531 -0.652 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@](C)(C(N)=O)C1 ZINC001315168377 1074099181 /nfs/dbraw/zinc/09/91/81/1074099181.db2.gz YSWKETHKGBSFMC-PBFPGSCMSA-N 0 0 430.531 -0.652 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@](C)(C(N)=O)C1 ZINC001315168378 1074099140 /nfs/dbraw/zinc/09/91/40/1074099140.db2.gz YSWKETHKGBSFMC-XNJGSVPQSA-N 0 0 430.531 -0.652 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001315168542 1074099171 /nfs/dbraw/zinc/09/91/71/1074099171.db2.gz ZZSZVYCNNFTSCY-FMKPAKJESA-N 0 0 444.558 -0.297 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001315168544 1074099055 /nfs/dbraw/zinc/09/90/55/1074099055.db2.gz ZZSZVYCNNFTSCY-IIAWOOMASA-N 0 0 444.558 -0.297 20 0 IBADRN CO[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001315168546 1074099103 /nfs/dbraw/zinc/09/91/03/1074099103.db2.gz ZZSZVYCNNFTSCY-LZWOXQAQSA-N 0 0 444.558 -0.297 20 0 IBADRN CO[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001315168549 1074099194 /nfs/dbraw/zinc/09/91/94/1074099194.db2.gz ZZSZVYCNNFTSCY-SQWLQELKSA-N 0 0 444.558 -0.297 20 0 IBADRN CO[C@@H](Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(C)(C)C ZINC001315194383 1074099047 /nfs/dbraw/zinc/09/90/47/1074099047.db2.gz VLBGWPLCVAMMLY-KBPBESRZSA-N 0 0 435.529 -0.376 20 0 IBADRN CO[C@@H](Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(C)(C)C ZINC001315194384 1074099130 /nfs/dbraw/zinc/09/91/30/1074099130.db2.gz VLBGWPLCVAMMLY-KGLIPLIRSA-N 0 0 435.529 -0.376 20 0 IBADRN CO[C@H](Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(C)(C)C ZINC001315194385 1074099082 /nfs/dbraw/zinc/09/90/82/1074099082.db2.gz VLBGWPLCVAMMLY-UONOGXRCSA-N 0 0 435.529 -0.376 20 0 IBADRN CO[C@H](Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(C)(C)C ZINC001315194386 1074099200 /nfs/dbraw/zinc/09/92/00/1074099200.db2.gz VLBGWPLCVAMMLY-ZIAGYGMSSA-N 0 0 435.529 -0.376 20 0 IBADRN CO[C@@H](Cn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(C)(C)C ZINC001315202885 1074099163 /nfs/dbraw/zinc/09/91/63/1074099163.db2.gz DVQCSTKRJVEELA-CABCVRRESA-N 0 0 430.513 -0.113 20 0 IBADRN CO[C@@H](Cn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(C)(C)C ZINC001315202886 1074099109 /nfs/dbraw/zinc/09/91/09/1074099109.db2.gz DVQCSTKRJVEELA-GJZGRUSLSA-N 0 0 430.513 -0.113 20 0 IBADRN CO[C@H](Cn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(C)(C)C ZINC001315202887 1074099210 /nfs/dbraw/zinc/09/92/10/1074099210.db2.gz DVQCSTKRJVEELA-HUUCEWRRSA-N 0 0 430.513 -0.113 20 0 IBADRN CO[C@H](Cn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(C)(C)C ZINC001315202888 1074099091 /nfs/dbraw/zinc/09/90/91/1074099091.db2.gz DVQCSTKRJVEELA-LSDHHAIUSA-N 0 0 430.513 -0.113 20 0 IBADRN CO[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1)C(C)C ZINC001315209035 1074099119 /nfs/dbraw/zinc/09/91/19/1074099119.db2.gz HWQVFXSKEYIOQM-CVEARBPZSA-N 0 0 447.540 -0.173 20 0 IBADRN CO[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1)C(C)C ZINC001315209037 1074099613 /nfs/dbraw/zinc/09/96/13/1074099613.db2.gz HWQVFXSKEYIOQM-HOTGVXAUSA-N 0 0 447.540 -0.173 20 0 IBADRN CO[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1)C(C)C ZINC001315209039 1074099569 /nfs/dbraw/zinc/09/95/69/1074099569.db2.gz HWQVFXSKEYIOQM-HZPDHXFCSA-N 0 0 447.540 -0.173 20 0 IBADRN CO[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1)C(C)C ZINC001315209041 1074099603 /nfs/dbraw/zinc/09/96/03/1074099603.db2.gz HWQVFXSKEYIOQM-JKSUJKDBSA-N 0 0 447.540 -0.173 20 0 IBADRN CO[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1)C1CC1 ZINC001315222715 1074099534 /nfs/dbraw/zinc/09/95/34/1074099534.db2.gz SSIBEVPTNWCJHM-CABCVRRESA-N 0 0 447.583 -0.395 20 0 IBADRN CO[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1)C1CC1 ZINC001315222717 1074099446 /nfs/dbraw/zinc/09/94/46/1074099446.db2.gz SSIBEVPTNWCJHM-GJZGRUSLSA-N 0 0 447.583 -0.395 20 0 IBADRN CO[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1)C1CC1 ZINC001315222720 1074099547 /nfs/dbraw/zinc/09/95/47/1074099547.db2.gz SSIBEVPTNWCJHM-HUUCEWRRSA-N 0 0 447.583 -0.395 20 0 IBADRN CO[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1)C1CC1 ZINC001315222722 1074099412 /nfs/dbraw/zinc/09/94/12/1074099412.db2.gz SSIBEVPTNWCJHM-LSDHHAIUSA-N 0 0 447.583 -0.395 20 0 IBADRN CO[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)C(C)C ZINC001315222771 1074099453 /nfs/dbraw/zinc/09/94/53/1074099453.db2.gz UBWBTEQJUILSNO-KBPBESRZSA-N 0 0 430.531 -0.782 20 0 IBADRN CO[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)C(C)C ZINC001315222776 1074099556 /nfs/dbraw/zinc/09/95/56/1074099556.db2.gz UBWBTEQJUILSNO-KGLIPLIRSA-N 0 0 430.531 -0.782 20 0 IBADRN CO[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)C(C)C ZINC001315222778 1074099425 /nfs/dbraw/zinc/09/94/25/1074099425.db2.gz UBWBTEQJUILSNO-UONOGXRCSA-N 0 0 430.531 -0.782 20 0 IBADRN CO[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1)C(C)C ZINC001315222780 1074099627 /nfs/dbraw/zinc/09/96/27/1074099627.db2.gz UBWBTEQJUILSNO-ZIAGYGMSSA-N 0 0 430.531 -0.782 20 0 IBADRN CO[C@@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(C)C ZINC001315227175 1074099579 /nfs/dbraw/zinc/09/95/79/1074099579.db2.gz HWKZRAQIUJMWLN-CABCVRRESA-N 0 0 433.513 -0.782 20 0 IBADRN CO[C@@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(C)C ZINC001315227177 1074099501 /nfs/dbraw/zinc/09/95/01/1074099501.db2.gz HWKZRAQIUJMWLN-GJZGRUSLSA-N 0 0 433.513 -0.782 20 0 IBADRN CO[C@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(C)C ZINC001315227179 1074099524 /nfs/dbraw/zinc/09/95/24/1074099524.db2.gz HWKZRAQIUJMWLN-HUUCEWRRSA-N 0 0 433.513 -0.782 20 0 IBADRN CO[C@H](Cn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(C)C ZINC001315227181 1074099434 /nfs/dbraw/zinc/09/94/34/1074099434.db2.gz HWKZRAQIUJMWLN-LSDHHAIUSA-N 0 0 433.513 -0.782 20 0 IBADRN CO[C@@]1(C)CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)C1 ZINC001315237663 1074099475 /nfs/dbraw/zinc/09/94/75/1074099475.db2.gz PDAGODKIUXCTPM-DOMZBBRYSA-N 0 0 438.576 -0.557 20 0 IBADRN CO[C@]1(C)CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)C1 ZINC001315237664 1074099464 /nfs/dbraw/zinc/09/94/64/1074099464.db2.gz PDAGODKIUXCTPM-IUODEOHRSA-N 0 0 438.576 -0.557 20 0 IBADRN CO[C@]1(C)CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)C1 ZINC001315237665 1074099594 /nfs/dbraw/zinc/09/95/94/1074099594.db2.gz PDAGODKIUXCTPM-SWLSCSKDSA-N 0 0 438.576 -0.557 20 0 IBADRN CO[C@@]1(C)CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNS(C)(=O)=O)C1 ZINC001315237666 1074099515 /nfs/dbraw/zinc/09/95/15/1074099515.db2.gz PDAGODKIUXCTPM-WFASDCNBSA-N 0 0 438.576 -0.557 20 0 IBADRN CO[C@]1(C)CCCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001315240537 1074099490 /nfs/dbraw/zinc/09/94/90/1074099490.db2.gz VYBBKIJZVSEQOV-MSOLQXFVSA-N 0 0 429.543 -0.029 20 0 IBADRN CO[C@]1(C)CCCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001315240538 1074099956 /nfs/dbraw/zinc/09/99/56/1074099956.db2.gz VYBBKIJZVSEQOV-QZTJIDSGSA-N 0 0 429.543 -0.029 20 0 IBADRN CO[C@@]1(C)CCCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001315240539 1074099842 /nfs/dbraw/zinc/09/98/42/1074099842.db2.gz VYBBKIJZVSEQOV-ROUUACIJSA-N 0 0 429.543 -0.029 20 0 IBADRN CO[C@@]1(C)CCCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001315240540 1074099973 /nfs/dbraw/zinc/09/99/73/1074099973.db2.gz VYBBKIJZVSEQOV-ZWKOTPCHSA-N 0 0 429.543 -0.029 20 0 IBADRN COC1(C)CN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001315257684 1074099856 /nfs/dbraw/zinc/09/98/56/1074099856.db2.gz VHCYHKGVWUOWSO-UHFFFAOYSA-N 0 0 427.527 -0.321 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCc2ccn(C)n2)C1 ZINC001315260555 1074099875 /nfs/dbraw/zinc/09/98/75/1074099875.db2.gz HHJMHLNBRQTXNA-HNNXBMFYSA-N 0 0 439.542 -0.188 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCc2ccn(C)n2)C1 ZINC001315260558 1074099945 /nfs/dbraw/zinc/09/99/45/1074099945.db2.gz HHJMHLNBRQTXNA-OAHLLOKOSA-N 0 0 439.542 -0.188 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315262063 1074099982 /nfs/dbraw/zinc/09/99/82/1074099982.db2.gz XGXVHIMVVDADTE-CHWSQXEVSA-N 0 0 428.515 -0.885 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315262064 1074099861 /nfs/dbraw/zinc/09/98/61/1074099861.db2.gz XGXVHIMVVDADTE-OLZOCXBDSA-N 0 0 428.515 -0.885 20 0 IBADRN COC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOCCCO)C1 ZINC001315262078 1074099938 /nfs/dbraw/zinc/09/99/38/1074099938.db2.gz XZKJIEMGJSYXHC-AWEZNQCLSA-N 0 0 433.531 -0.765 20 0 IBADRN COC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOCCCO)C1 ZINC001315262079 1074099883 /nfs/dbraw/zinc/09/98/83/1074099883.db2.gz XZKJIEMGJSYXHC-CQSZACIVSA-N 0 0 433.531 -0.765 20 0 IBADRN COC1(C)CN(c2nnc(CN3CCCC3=O)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001315265283 1074099864 /nfs/dbraw/zinc/09/98/64/1074099864.db2.gz MQXOGNVBWZJWKJ-UHFFFAOYSA-N 0 0 427.527 -0.435 20 0 IBADRN CO[C@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CCC(OCC(N)=O)CC2)CCOC1 ZINC001315266652 1074099902 /nfs/dbraw/zinc/09/99/02/1074099902.db2.gz IESOCYPPUDLXRM-FQEVSTJZSA-N 0 0 436.513 -0.210 20 0 IBADRN CO[C@@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CCC(OCC(N)=O)CC2)CCOC1 ZINC001315266653 1074099835 /nfs/dbraw/zinc/09/98/35/1074099835.db2.gz IESOCYPPUDLXRM-HXUWFJFHSA-N 0 0 436.513 -0.210 20 0 IBADRN CO[C@@]1(Cn2c(C(=O)NC(C)C)nnc2N2CCCN(S(C)(=O)=O)CC2)CCOC1 ZINC001315268804 1074099930 /nfs/dbraw/zinc/09/99/30/1074099930.db2.gz BKUKGKJUHLFWHM-GOSISDBHSA-N 0 0 444.558 -0.307 20 0 IBADRN CO[C@]1(Cn2c(C(=O)NC(C)C)nnc2N2CCCN(S(C)(=O)=O)CC2)CCOC1 ZINC001315268808 1074099919 /nfs/dbraw/zinc/09/99/19/1074099919.db2.gz BKUKGKJUHLFWHM-SFHVURJKSA-N 0 0 444.558 -0.307 20 0 IBADRN CO[C@]1(Cn2c(C(=O)NC(C)C)nnc2N2CCN(c3cnn(C)c3)C(=O)C2)CCOC1 ZINC001315270858 1074099892 /nfs/dbraw/zinc/09/98/92/1074099892.db2.gz KWBXBLXRUPMDRA-FQEVSTJZSA-N 0 0 446.512 -0.192 20 0 IBADRN CO[C@@]1(Cn2c(C(=O)NC(C)C)nnc2N2CCN(c3cnn(C)c3)C(=O)C2)CCOC1 ZINC001315270859 1074099993 /nfs/dbraw/zinc/09/99/93/1074099993.db2.gz KWBXBLXRUPMDRA-HXUWFJFHSA-N 0 0 446.512 -0.192 20 0 IBADRN CO[C@]1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCSCC2)CCOC1 ZINC001315277770 1074099912 /nfs/dbraw/zinc/09/99/12/1074099912.db2.gz MAJMPNMYJJGXLF-PBHICJAKSA-N 0 0 447.583 -0.030 20 0 IBADRN CO[C@@]1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCSCC2)CCOC1 ZINC001315277772 1074099847 /nfs/dbraw/zinc/09/98/47/1074099847.db2.gz MAJMPNMYJJGXLF-RHSMWYFYSA-N 0 0 447.583 -0.030 20 0 IBADRN CO[C@@]1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCSCC2)CCOC1 ZINC001315277774 1074099826 /nfs/dbraw/zinc/09/98/26/1074099826.db2.gz MAJMPNMYJJGXLF-WMLDXEAASA-N 0 0 447.583 -0.030 20 0 IBADRN CO[C@]1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCSCC2)CCOC1 ZINC001315277777 1074100537 /nfs/dbraw/zinc/10/05/37/1074100537.db2.gz MAJMPNMYJJGXLF-YOEHRIQHSA-N 0 0 447.583 -0.030 20 0 IBADRN CO[C@@]1(Cn2c(CN3CCCC3=O)nnc2N(C)[C@H]2CCS(=O)(=O)C2)CCOC1 ZINC001315283201 1074100539 /nfs/dbraw/zinc/10/05/39/1074100539.db2.gz QRRYPQKGEZJPLG-KBXCAEBGSA-N 0 0 427.527 -0.171 20 0 IBADRN CO[C@]1(Cn2c(CN3CCCC3=O)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)CCOC1 ZINC001315283202 1074100597 /nfs/dbraw/zinc/10/05/97/1074100597.db2.gz QRRYPQKGEZJPLG-KDOFPFPSSA-N 0 0 427.527 -0.171 20 0 IBADRN CO[C@]1(Cn2c(CN3CCCC3=O)nnc2N(C)[C@H]2CCS(=O)(=O)C2)CCOC1 ZINC001315283203 1074100528 /nfs/dbraw/zinc/10/05/28/1074100528.db2.gz QRRYPQKGEZJPLG-KSSFIOAISA-N 0 0 427.527 -0.171 20 0 IBADRN CO[C@@]1(Cn2c(CN3CCCC3=O)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)CCOC1 ZINC001315283204 1074100602 /nfs/dbraw/zinc/10/06/02/1074100602.db2.gz QRRYPQKGEZJPLG-RDTXWAMCSA-N 0 0 427.527 -0.171 20 0 IBADRN COc1cc(C)nc(N2CCN(c3nnc(C(=O)NC(C)C)n3CC(=O)N(C)C)CC2)n1 ZINC001315290200 1074100606 /nfs/dbraw/zinc/10/06/06/1074100606.db2.gz JSPBSWOLIZTFEZ-UHFFFAOYSA-N 0 0 445.528 -0.062 20 0 IBADRN COc1ccc(-c2nnc(N3CCNC(=O)C3)n2CC(=O)N2CCOCC2)c(OC)c1 ZINC001315422622 1074100557 /nfs/dbraw/zinc/10/05/57/1074100557.db2.gz AYDHAROBXHCMGT-UHFFFAOYSA-N 0 0 430.465 -0.243 20 0 IBADRN COc1ccc(-c2nnc(N3CCN(C)C(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)cc1 ZINC001315423331 1074100581 /nfs/dbraw/zinc/10/05/81/1074100581.db2.gz NEIGKPRHZMAEFN-IBGZPJMESA-N 0 0 435.506 -0.218 20 0 IBADRN COc1ccc(-c2nnc(N3CCN(C)C(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)cc1 ZINC001315423332 1074100522 /nfs/dbraw/zinc/10/05/22/1074100522.db2.gz NEIGKPRHZMAEFN-LJQANCHMSA-N 0 0 435.506 -0.218 20 0 IBADRN COc1ccc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)CC2)cc1O ZINC001315491621 1074100594 /nfs/dbraw/zinc/10/05/94/1074100594.db2.gz YCJJDIDOQKCJBV-GFCCVEGCSA-N 0 0 438.510 -0.023 20 0 IBADRN COc1ccc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)CC2)cc1O ZINC001315491623 1074100517 /nfs/dbraw/zinc/10/05/17/1074100517.db2.gz YCJJDIDOQKCJBV-LBPRGKRZSA-N 0 0 438.510 -0.023 20 0 IBADRN COc1ccc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCS(=O)(=O)CC2)c(OC)n1 ZINC001315498019 1074100584 /nfs/dbraw/zinc/10/05/84/1074100584.db2.gz LFMJTJDVANJNSO-CYBMUJFWSA-N 0 0 436.494 -0.076 20 0 IBADRN COc1ccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCS(=O)(=O)CC2)c(OC)n1 ZINC001315498020 1074100572 /nfs/dbraw/zinc/10/05/72/1074100572.db2.gz LFMJTJDVANJNSO-ZDUSSCGKSA-N 0 0 436.494 -0.076 20 0 IBADRN COc1ccc(Cn2c(CN3CCCC3=O)nnc2N(C)CCNS(C)(=O)=O)cn1 ZINC001315502364 1074100563 /nfs/dbraw/zinc/10/05/63/1074100563.db2.gz STIPXAYTPHVQBW-UHFFFAOYSA-N 0 0 437.526 -0.162 20 0 IBADRN COc1cccc(C(=O)NCCn2c([C@@H]3CCC(=O)N3)nnc2N2CCNC(=O)C2)c1 ZINC001315561677 1074100551 /nfs/dbraw/zinc/10/05/51/1074100551.db2.gz HXGFXMOHFBUAQX-HNNXBMFYSA-N 0 0 427.465 -0.396 20 0 IBADRN COc1cccc(C(=O)NCCn2c([C@H]3CCC(=O)N3)nnc2N2CCNC(=O)C2)c1 ZINC001315561678 1074100587 /nfs/dbraw/zinc/10/05/87/1074100587.db2.gz HXGFXMOHFBUAQX-OAHLLOKOSA-N 0 0 427.465 -0.396 20 0 IBADRN COc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCC(=O)N(C)C)c1 ZINC001315566695 1074100544 /nfs/dbraw/zinc/10/05/44/1074100544.db2.gz KAQYMIJSUIQDHO-HNNXBMFYSA-N 0 0 441.492 -0.421 20 0 IBADRN COc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCC(=O)N(C)C)c1 ZINC001315566696 1074100591 /nfs/dbraw/zinc/10/05/91/1074100591.db2.gz KAQYMIJSUIQDHO-OAHLLOKOSA-N 0 0 441.492 -0.421 20 0 IBADRN COc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCCNC(C)=O)c1 ZINC001315567348 1074100865 /nfs/dbraw/zinc/10/08/65/1074100865.db2.gz PPZOGHOCMBTQSS-INIZCTEOSA-N 0 0 441.492 -0.373 20 0 IBADRN COc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCCNC(C)=O)c1 ZINC001315567350 1074100846 /nfs/dbraw/zinc/10/08/46/1074100846.db2.gz PPZOGHOCMBTQSS-MRXNPFEDSA-N 0 0 441.492 -0.373 20 0 IBADRN COc1ccccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCOCCCO ZINC001315610242 1074100882 /nfs/dbraw/zinc/10/08/82/1074100882.db2.gz VAEQTJMWGFCAEL-HNNXBMFYSA-N 0 0 444.492 -0.500 20 0 IBADRN COc1ccccc1-c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCOCCCO ZINC001315610243 1074100872 /nfs/dbraw/zinc/10/08/72/1074100872.db2.gz VAEQTJMWGFCAEL-OAHLLOKOSA-N 0 0 444.492 -0.500 20 0 IBADRN COc1ccccc1Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(C)(C)O ZINC001315629560 1074100976 /nfs/dbraw/zinc/10/09/76/1074100976.db2.gz JTXOQDYVYDQSRM-HNNXBMFYSA-N 0 0 428.493 -0.205 20 0 IBADRN COc1ccccc1Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(C)(C)O ZINC001315629561 1074100963 /nfs/dbraw/zinc/10/09/63/1074100963.db2.gz JTXOQDYVYDQSRM-OAHLLOKOSA-N 0 0 428.493 -0.205 20 0 IBADRN COc1ccccc1OCc1nnc(N2CCS(=O)CC2)n1CCNS(C)(=O)=O ZINC001315659158 1074100985 /nfs/dbraw/zinc/10/09/85/1074100985.db2.gz SGYAINULNNOTFO-UHFFFAOYSA-N 0 0 443.551 -0.016 20 0 IBADRN COc1ccncc1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001315672925 1074100939 /nfs/dbraw/zinc/10/09/39/1074100939.db2.gz VDHGTONNGGQMIB-CYBMUJFWSA-N 0 0 441.535 -0.133 20 0 IBADRN COc1ccncc1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001315672935 1074101051 /nfs/dbraw/zinc/10/10/51/1074101051.db2.gz VDHGTONNGGQMIB-ZDUSSCGKSA-N 0 0 441.535 -0.133 20 0 IBADRN COc1ncccc1Cn1c(C(=O)NC(C)C)nnc1N(C)CCNS(C)(=O)=O ZINC001315674177 1074101033 /nfs/dbraw/zinc/10/10/33/1074101033.db2.gz QAJIZTHIAUTVOJ-UHFFFAOYSA-N 0 0 425.515 -0.146 20 0 IBADRN CO[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001315689357 1074101007 /nfs/dbraw/zinc/10/10/07/1074101007.db2.gz DZSKVVSPFHFQKW-KBXCAEBGSA-N 0 0 427.527 -0.321 20 0 IBADRN CO[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001315689358 1074101023 /nfs/dbraw/zinc/10/10/23/1074101023.db2.gz DZSKVVSPFHFQKW-KDOFPFPSSA-N 0 0 427.527 -0.321 20 0 IBADRN CO[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001315689359 1074100911 /nfs/dbraw/zinc/10/09/11/1074100911.db2.gz DZSKVVSPFHFQKW-KSSFIOAISA-N 0 0 427.527 -0.321 20 0 IBADRN CO[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001315689360 1074100931 /nfs/dbraw/zinc/10/09/31/1074100931.db2.gz DZSKVVSPFHFQKW-RDTXWAMCSA-N 0 0 427.527 -0.321 20 0 IBADRN CO[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)C1 ZINC001315701046 1074100824 /nfs/dbraw/zinc/10/08/24/1074100824.db2.gz IKSWFCWWFAASJT-CABCVRRESA-N 0 0 447.583 -0.169 20 0 IBADRN CO[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)C1 ZINC001315701048 1074100857 /nfs/dbraw/zinc/10/08/57/1074100857.db2.gz IKSWFCWWFAASJT-GJZGRUSLSA-N 0 0 447.583 -0.169 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)C1 ZINC001315701050 1074100999 /nfs/dbraw/zinc/10/09/99/1074100999.db2.gz IKSWFCWWFAASJT-HUUCEWRRSA-N 0 0 447.583 -0.169 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)C1 ZINC001315701052 1074101015 /nfs/dbraw/zinc/10/10/15/1074101015.db2.gz IKSWFCWWFAASJT-LSDHHAIUSA-N 0 0 447.583 -0.169 20 0 IBADRN CO[C@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315701918 1074100891 /nfs/dbraw/zinc/10/08/91/1074100891.db2.gz MVSYXEBURLBNRN-ILXRZTDVSA-N 0 0 442.542 -0.495 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315701919 1074100900 /nfs/dbraw/zinc/10/09/00/1074100900.db2.gz MVSYXEBURLBNRN-KFWWJZLASA-N 0 0 442.542 -0.495 20 0 IBADRN CO[C@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315701920 1074101574 /nfs/dbraw/zinc/10/15/74/1074101574.db2.gz MVSYXEBURLBNRN-QLFBSQMISA-N 0 0 442.542 -0.495 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315701921 1074101551 /nfs/dbraw/zinc/10/15/51/1074101551.db2.gz MVSYXEBURLBNRN-RBSFLKMASA-N 0 0 442.542 -0.495 20 0 IBADRN CO[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001315702317 1074101532 /nfs/dbraw/zinc/10/15/32/1074101532.db2.gz PWDRWOIPCCVPOJ-IHRRRGAJSA-N 0 0 440.526 -0.216 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001315702318 1074101559 /nfs/dbraw/zinc/10/15/59/1074101559.db2.gz PWDRWOIPCCVPOJ-MCIONIFRSA-N 0 0 440.526 -0.216 20 0 IBADRN CO[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001315702319 1074101542 /nfs/dbraw/zinc/10/15/42/1074101542.db2.gz PWDRWOIPCCVPOJ-MJBXVCDLSA-N 0 0 440.526 -0.216 20 0 IBADRN CO[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001315702320 1074101504 /nfs/dbraw/zinc/10/15/04/1074101504.db2.gz PWDRWOIPCCVPOJ-RDBSUJKOSA-N 0 0 440.526 -0.216 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC[C@@H]1C ZINC001315722508 1074101529 /nfs/dbraw/zinc/10/15/29/1074101529.db2.gz WGCCYLFOMJGOCD-FLTUCWPJSA-N 0 0 427.527 -0.360 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCS(=O)(=O)C2)CC[C@H]1C ZINC001315722509 1074101545 /nfs/dbraw/zinc/10/15/45/1074101545.db2.gz WGCCYLFOMJGOCD-KYZVSKTDSA-N 0 0 427.527 -0.360 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC[C@H]1C ZINC001315722510 1074101471 /nfs/dbraw/zinc/10/14/71/1074101471.db2.gz WGCCYLFOMJGOCD-NPSOMJIGSA-N 0 0 427.527 -0.360 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCS(=O)(=O)C2)CC[C@@H]1C ZINC001315722511 1074101578 /nfs/dbraw/zinc/10/15/78/1074101578.db2.gz WGCCYLFOMJGOCD-UYAYXHRUSA-N 0 0 427.527 -0.360 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001315733679 1074101512 /nfs/dbraw/zinc/10/15/12/1074101512.db2.gz NYFKHCKUXMMFNT-BYNSBNAKSA-N 0 0 444.558 -0.393 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001315733682 1074101500 /nfs/dbraw/zinc/10/15/00/1074101500.db2.gz NYFKHCKUXMMFNT-GBJTYRQASA-N 0 0 444.558 -0.393 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001315733685 1074101518 /nfs/dbraw/zinc/10/15/18/1074101518.db2.gz NYFKHCKUXMMFNT-KBUPBQIOSA-N 0 0 444.558 -0.393 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001315733688 1074101539 /nfs/dbraw/zinc/10/15/39/1074101539.db2.gz NYFKHCKUXMMFNT-LXTVHRRPSA-N 0 0 444.558 -0.393 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001315734584 1074101524 /nfs/dbraw/zinc/10/15/24/1074101524.db2.gz BKKUOSMLIQBCES-KLHDSHLOSA-N 0 0 441.554 -0.101 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001315734591 1074101492 /nfs/dbraw/zinc/10/14/92/1074101492.db2.gz BKKUOSMLIQBCES-LVQVYYBASA-N 0 0 441.554 -0.101 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001315734593 1074101564 /nfs/dbraw/zinc/10/15/64/1074101564.db2.gz BKKUOSMLIQBCES-XUWVNRHRSA-N 0 0 441.554 -0.101 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001315734595 1074101583 /nfs/dbraw/zinc/10/15/83/1074101583.db2.gz BKKUOSMLIQBCES-ZJIFWQFVSA-N 0 0 441.554 -0.101 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCS(=O)(=O)C2)CC[C@H]1C ZINC001315734749 1074101569 /nfs/dbraw/zinc/10/15/69/1074101569.db2.gz XQMLITWBLAWKBF-CLCXKQKWSA-N 0 0 441.554 -0.189 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCS(=O)(=O)C2)CC[C@@H]1C ZINC001315734752 1074101481 /nfs/dbraw/zinc/10/14/81/1074101481.db2.gz XQMLITWBLAWKBF-KHYOSLBOSA-N 0 0 441.554 -0.189 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCS(=O)(=O)C2)CC[C@@H]1C ZINC001315734756 1074102031 /nfs/dbraw/zinc/10/20/31/1074102031.db2.gz XQMLITWBLAWKBF-QMTMVMCOSA-N 0 0 441.554 -0.189 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCS(=O)(=O)C2)CC[C@H]1C ZINC001315734758 1074102089 /nfs/dbraw/zinc/10/20/89/1074102089.db2.gz XQMLITWBLAWKBF-SPYBWZPUSA-N 0 0 441.554 -0.189 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)CC1 ZINC001315737040 1074102071 /nfs/dbraw/zinc/10/20/71/1074102071.db2.gz XYQMHMKKNIWJNR-BFHYXJOUSA-N 0 0 430.531 -0.734 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)CC1 ZINC001315737041 1074102061 /nfs/dbraw/zinc/10/20/61/1074102061.db2.gz XYQMHMKKNIWJNR-HZSPNIEDSA-N 0 0 430.531 -0.734 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)CC1 ZINC001315737042 1074102108 /nfs/dbraw/zinc/10/21/08/1074102108.db2.gz XYQMHMKKNIWJNR-MELADBBJSA-N 0 0 430.531 -0.734 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCNC(=O)CC1 ZINC001315737043 1074102092 /nfs/dbraw/zinc/10/20/92/1074102092.db2.gz XYQMHMKKNIWJNR-MGPQQGTHSA-N 0 0 430.531 -0.734 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1 ZINC001315737534 1074102095 /nfs/dbraw/zinc/10/20/95/1074102095.db2.gz KYZKUWHMRBIJPJ-QLFBSQMISA-N 0 0 432.568 -0.200 20 0 IBADRN CO[C@@H]1COCC[C@@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1 ZINC001315737535 1074102037 /nfs/dbraw/zinc/10/20/37/1074102037.db2.gz KYZKUWHMRBIJPJ-RBSFLKMASA-N 0 0 432.568 -0.200 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1 ZINC001315737536 1074102120 /nfs/dbraw/zinc/10/21/20/1074102120.db2.gz KYZKUWHMRBIJPJ-RRFJBIMHSA-N 0 0 432.568 -0.200 20 0 IBADRN CO[C@@H]1COCC[C@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1 ZINC001315737537 1074102103 /nfs/dbraw/zinc/10/21/03/1074102103.db2.gz KYZKUWHMRBIJPJ-SOUVJXGZSA-N 0 0 432.568 -0.200 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCCN2CCOC2=O)CC1 ZINC001315742468 1074102064 /nfs/dbraw/zinc/10/20/64/1074102064.db2.gz ASNJZUCJHTXNKM-UHFFFAOYSA-N 0 0 449.512 -0.349 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCCN2CCOCC2)CC1 ZINC001315742747 1074102123 /nfs/dbraw/zinc/10/21/23/1074102123.db2.gz CYURKZQVGWGPOA-UHFFFAOYSA-N 0 0 437.545 -0.566 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC2CCN(C(C)=O)CC2)CC1 ZINC001315742758 1074102052 /nfs/dbraw/zinc/10/20/52/1074102052.db2.gz CZLSFEJYRRGVCZ-UHFFFAOYSA-N 0 0 449.556 -0.030 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(C)(C)C)n2CCOCCS(C)(=O)=O)CC1 ZINC001315743488 1074102082 /nfs/dbraw/zinc/10/20/82/1074102082.db2.gz IZQZRDSKUOXFAP-UHFFFAOYSA-N 0 0 431.559 -0.068 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CCOCC2)CC1 ZINC001315744060 1074102074 /nfs/dbraw/zinc/10/20/74/1074102074.db2.gz MFKXLZOVUNJQST-UHFFFAOYSA-N 0 0 436.513 -0.649 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCOC[C@@H]2C)CC1 ZINC001315744669 1074102097 /nfs/dbraw/zinc/10/20/97/1074102097.db2.gz QHWWJBWZMBNMCD-INIZCTEOSA-N 0 0 437.545 -0.568 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCOC[C@H]2C)CC1 ZINC001315744670 1074102112 /nfs/dbraw/zinc/10/21/12/1074102112.db2.gz QHWWJBWZMBNMCD-MRXNPFEDSA-N 0 0 437.545 -0.568 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCSC2)CC1 ZINC001315745701 1074102058 /nfs/dbraw/zinc/10/20/58/1074102058.db2.gz UQWDNHQRLWUTDK-GOSISDBHSA-N 0 0 426.543 -0.421 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCSC2)CC1 ZINC001315745702 1074102042 /nfs/dbraw/zinc/10/20/42/1074102042.db2.gz UQWDNHQRLWUTDK-SFHVURJKSA-N 0 0 426.543 -0.421 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC2)CC1 ZINC001315746121 1074102116 /nfs/dbraw/zinc/10/21/16/1074102116.db2.gz JUNKTBOGCLFSPW-KBPBESRZSA-N 0 0 430.531 -0.780 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC2)CC1 ZINC001315746122 1074102478 /nfs/dbraw/zinc/10/24/78/1074102478.db2.gz JUNKTBOGCLFSPW-KGLIPLIRSA-N 0 0 430.531 -0.780 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC2)CC1 ZINC001315746123 1074102530 /nfs/dbraw/zinc/10/25/30/1074102530.db2.gz JUNKTBOGCLFSPW-UONOGXRCSA-N 0 0 430.531 -0.780 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC2)CC1 ZINC001315746124 1074102482 /nfs/dbraw/zinc/10/24/82/1074102482.db2.gz JUNKTBOGCLFSPW-ZIAGYGMSSA-N 0 0 430.531 -0.780 20 0 IBADRN COCC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCCC(=O)NC2CC2)CC1 ZINC001315746414 1074102487 /nfs/dbraw/zinc/10/24/87/1074102487.db2.gz ZMDUYEYYRQVQSF-UHFFFAOYSA-N 0 0 435.529 -0.230 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC2(O)CCC2)CC1 ZINC001315746849 1074102543 /nfs/dbraw/zinc/10/25/43/1074102543.db2.gz UDLDHKBBRAYTSX-AWEZNQCLSA-N 0 0 444.558 -0.512 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC2(O)CCC2)CC1 ZINC001315746850 1074102520 /nfs/dbraw/zinc/10/25/20/1074102520.db2.gz UDLDHKBBRAYTSX-CQSZACIVSA-N 0 0 444.558 -0.512 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC(C)(C)C)CC1 ZINC001315746890 1074102506 /nfs/dbraw/zinc/10/25/06/1074102506.db2.gz UXWTZXZRVSPQEB-AWEZNQCLSA-N 0 0 446.574 -0.002 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC(C)(C)C)CC1 ZINC001315746891 1074102547 /nfs/dbraw/zinc/10/25/47/1074102547.db2.gz UXWTZXZRVSPQEB-CQSZACIVSA-N 0 0 446.574 -0.002 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccoc2)CC1 ZINC001315747113 1074102499 /nfs/dbraw/zinc/10/24/99/1074102499.db2.gz XZZWEADKFRYDJG-CYBMUJFWSA-N 0 0 426.499 -0.175 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccoc2)CC1 ZINC001315747114 1074102551 /nfs/dbraw/zinc/10/25/51/1074102551.db2.gz XZZWEADKFRYDJG-ZDUSSCGKSA-N 0 0 426.499 -0.175 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2CC(=O)N2CCOCC2)CC1 ZINC001315747489 1074102531 /nfs/dbraw/zinc/10/25/31/1074102531.db2.gz ABHBMRJZNWWBPS-INIZCTEOSA-N 0 0 436.513 -0.674 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2CC(=O)N2CCOCC2)CC1 ZINC001315747490 1074102496 /nfs/dbraw/zinc/10/24/96/1074102496.db2.gz ABHBMRJZNWWBPS-MRXNPFEDSA-N 0 0 436.513 -0.674 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCCCO3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001315747961 1074102512 /nfs/dbraw/zinc/10/25/12/1074102512.db2.gz IFWSZTCEIRHMPY-HNNXBMFYSA-N 0 0 444.558 -0.294 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCCCO3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001315747962 1074102454 /nfs/dbraw/zinc/10/24/54/1074102454.db2.gz IFWSZTCEIRHMPY-OAHLLOKOSA-N 0 0 444.558 -0.294 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(C)COC2)CC1 ZINC001315748351 1074102472 /nfs/dbraw/zinc/10/24/72/1074102472.db2.gz KYYOLSDRYDBRLY-AWEZNQCLSA-N 0 0 427.527 -0.488 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(C)COC2)CC1 ZINC001315748352 1074102537 /nfs/dbraw/zinc/10/25/37/1074102537.db2.gz KYYOLSDRYDBRLY-CQSZACIVSA-N 0 0 427.527 -0.488 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2CCC(=O)N2CCOCC2)CC1 ZINC001315748460 1074102491 /nfs/dbraw/zinc/10/24/91/1074102491.db2.gz LGVCUCDOEXTHTN-UHFFFAOYSA-N 0 0 431.497 -0.183 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)OC)CC1 ZINC001315748584 1074102515 /nfs/dbraw/zinc/10/25/15/1074102515.db2.gz OBMNSMCDESGTCW-AWEZNQCLSA-N 0 0 429.543 -0.100 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)OC)CC1 ZINC001315748586 1074103083 /nfs/dbraw/zinc/10/30/83/1074103083.db2.gz OBMNSMCDESGTCW-CQSZACIVSA-N 0 0 429.543 -0.100 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3cccn3C)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001315749518 1074103081 /nfs/dbraw/zinc/10/30/81/1074103081.db2.gz ZDTOGPQNZUCACT-UHFFFAOYSA-N 0 0 445.524 -0.139 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@H]2C[C@H]2C)CC1 ZINC001315749709 1074103087 /nfs/dbraw/zinc/10/30/87/1074103087.db2.gz XVBOQMJZUXFTEJ-ILXRZTDVSA-N 0 0 442.542 -0.513 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2C)CC1 ZINC001315749710 1074103104 /nfs/dbraw/zinc/10/31/04/1074103104.db2.gz XVBOQMJZUXFTEJ-KFWWJZLASA-N 0 0 442.542 -0.513 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@H]2C[C@@H]2C)CC1 ZINC001315749711 1074103052 /nfs/dbraw/zinc/10/30/52/1074103052.db2.gz XVBOQMJZUXFTEJ-KKUMJFAQSA-N 0 0 442.542 -0.513 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@@H]2C)CC1 ZINC001315749712 1074103101 /nfs/dbraw/zinc/10/31/01/1074103101.db2.gz XVBOQMJZUXFTEJ-ZNMIVQPWSA-N 0 0 442.542 -0.513 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CC2CCS(=O)(=O)CC2)CC1 ZINC001315749779 1074103099 /nfs/dbraw/zinc/10/30/99/1074103099.db2.gz ZTEPGMBPAYCGQE-INIZCTEOSA-N 0 0 441.554 -0.098 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CC2CCS(=O)(=O)CC2)CC1 ZINC001315749780 1074103097 /nfs/dbraw/zinc/10/30/97/1074103097.db2.gz ZTEPGMBPAYCGQE-MRXNPFEDSA-N 0 0 441.554 -0.098 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cc3ccccn3)n2CC(=O)NCC(F)F)CC1 ZINC001315750263 1074103068 /nfs/dbraw/zinc/10/30/68/1074103068.db2.gz GVEJZMFSXOKXLG-UHFFFAOYSA-N 0 0 437.451 -0.060 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CC3CC3)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001315750271 1074103076 /nfs/dbraw/zinc/10/30/76/1074103076.db2.gz HHFSMINLUQMGKK-UHFFFAOYSA-N 0 0 433.557 -0.310 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001315750346 1074103090 /nfs/dbraw/zinc/10/30/90/1074103090.db2.gz GCOFXEMBJGPRJL-UHFFFAOYSA-N 0 0 442.484 -0.873 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCOC[C@H]2OC)CC1 ZINC001315750503 1074103049 /nfs/dbraw/zinc/10/30/49/1074103049.db2.gz HKUHJXKIYDFSHS-HRCADAONSA-N 0 0 436.513 -0.424 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCOC[C@@H]2OC)CC1 ZINC001315750504 1074103067 /nfs/dbraw/zinc/10/30/67/1074103067.db2.gz HKUHJXKIYDFSHS-JYJNAYRXSA-N 0 0 436.513 -0.424 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCOC[C@H]2OC)CC1 ZINC001315750505 1074103063 /nfs/dbraw/zinc/10/30/63/1074103063.db2.gz HKUHJXKIYDFSHS-OWCLPIDISA-N 0 0 436.513 -0.424 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCOC[C@@H]2OC)CC1 ZINC001315750506 1074103085 /nfs/dbraw/zinc/10/30/85/1074103085.db2.gz HKUHJXKIYDFSHS-PMPSAXMXSA-N 0 0 436.513 -0.424 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@@H]2C)CC1 ZINC001315751245 1074103054 /nfs/dbraw/zinc/10/30/54/1074103054.db2.gz CMETXTCQXDOESO-KRWDZBQOSA-N 0 0 449.556 -0.584 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@H]2C)CC1 ZINC001315751249 1074103071 /nfs/dbraw/zinc/10/30/71/1074103071.db2.gz CMETXTCQXDOESO-QGZVFWFLSA-N 0 0 449.556 -0.584 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CC(F)(F)CO2)CC1 ZINC001315751278 1074103404 /nfs/dbraw/zinc/10/34/04/1074103404.db2.gz URZSQTFLYKTQHT-CHWSQXEVSA-N 0 0 428.440 -0.052 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CC(F)(F)CO2)CC1 ZINC001315751280 1074103433 /nfs/dbraw/zinc/10/34/33/1074103433.db2.gz URZSQTFLYKTQHT-OLZOCXBDSA-N 0 0 428.440 -0.052 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CC(F)(F)CO2)CC1 ZINC001315751282 1074103460 /nfs/dbraw/zinc/10/34/60/1074103460.db2.gz URZSQTFLYKTQHT-QWHCGFSZSA-N 0 0 428.440 -0.052 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CC(F)(F)CO2)CC1 ZINC001315751284 1074103497 /nfs/dbraw/zinc/10/34/97/1074103497.db2.gz URZSQTFLYKTQHT-STQMWFEESA-N 0 0 428.440 -0.052 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCCN(C(C)=O)C2)CC1 ZINC001315751290 1074103411 /nfs/dbraw/zinc/10/34/11/1074103411.db2.gz UUAVWPOGAFMDIT-DLBZAZTESA-N 0 0 447.540 -0.217 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCCN(C(C)=O)C2)CC1 ZINC001315751292 1074103454 /nfs/dbraw/zinc/10/34/54/1074103454.db2.gz UUAVWPOGAFMDIT-IAGOWNOFSA-N 0 0 447.540 -0.217 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@@H]2COCCO2)CC1 ZINC001315751294 1074103473 /nfs/dbraw/zinc/10/34/73/1074103473.db2.gz CXUCIDAWHNEONN-QGZVFWFLSA-N 0 0 433.513 -0.150 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCCO3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001315751342 1074103416 /nfs/dbraw/zinc/10/34/16/1074103416.db2.gz BVZQKOCMWIDKOS-OAHLLOKOSA-N 0 0 432.485 -0.422 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cc3csc(C)n3)n2CCS(C)(=O)=O)CC1 ZINC001315751457 1074103391 /nfs/dbraw/zinc/10/33/91/1074103391.db2.gz ZGYFONUJJYENHV-UHFFFAOYSA-N 0 0 442.567 -0.027 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCCN(C(C)=O)C2)CC1 ZINC001315751480 1074103468 /nfs/dbraw/zinc/10/34/68/1074103468.db2.gz UUAVWPOGAFMDIT-IRXDYDNUSA-N 0 0 447.540 -0.217 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCCN(C(C)=O)C2)CC1 ZINC001315751481 1074103399 /nfs/dbraw/zinc/10/33/99/1074103399.db2.gz UUAVWPOGAFMDIT-SJORKVTESA-N 0 0 447.540 -0.217 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cn3nc(C)cc3C)n2CCCNC(C)=O)CC1 ZINC001315751492 1074103463 /nfs/dbraw/zinc/10/34/63/1074103463.db2.gz DGZFHFZGGSRAMY-UHFFFAOYSA-N 0 0 432.529 -0.039 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)NCC(F)(F)F)CC1 ZINC001315751520 1074103506 /nfs/dbraw/zinc/10/35/06/1074103506.db2.gz VKFMWGHUTFHUOV-UHFFFAOYSA-N 0 0 444.418 -0.348 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3cnccn3)n2C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001315751801 1074103440 /nfs/dbraw/zinc/10/34/40/1074103440.db2.gz YZUMJHRSNCBPGV-HNNXBMFYSA-N 0 0 449.537 -0.145 20 0 IBADRN COCC(=O)N1CCN(c2nnc(-c3cnccn3)n2C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001315751802 1074103445 /nfs/dbraw/zinc/10/34/45/1074103445.db2.gz YZUMJHRSNCBPGV-OAHLLOKOSA-N 0 0 449.537 -0.145 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CC1 ZINC001315751830 1074103485 /nfs/dbraw/zinc/10/34/85/1074103485.db2.gz ZRENFVKVLZKDJJ-HNNXBMFYSA-N 0 0 431.501 -0.715 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CC1 ZINC001315751831 1074103501 /nfs/dbraw/zinc/10/35/01/1074103501.db2.gz ZRENFVKVLZKDJJ-OAHLLOKOSA-N 0 0 431.501 -0.715 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@@]2(O)CCOC2)CC1 ZINC001315752024 1074103480 /nfs/dbraw/zinc/10/34/80/1074103480.db2.gz IZUXNNIVQLAGBU-FQEVSTJZSA-N 0 0 433.513 -0.414 20 0 IBADRN COCC(=O)N1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@]2(O)CCOC2)CC1 ZINC001315752028 1074103492 /nfs/dbraw/zinc/10/34/92/1074103492.db2.gz IZUXNNIVQLAGBU-HXUWFJFHSA-N 0 0 433.513 -0.414 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CCOCC2)CC1 ZINC001315752599 1074103952 /nfs/dbraw/zinc/10/39/52/1074103952.db2.gz RNHHWSPUAYHWGD-UHFFFAOYSA-N 0 0 436.513 -0.763 20 0 IBADRN COCC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2Cc2nnc(C)s2)CC1 ZINC001315752876 1074104003 /nfs/dbraw/zinc/10/40/03/1074104003.db2.gz UVCFDMNHDNGDKZ-UHFFFAOYSA-N 0 0 434.526 -0.096 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@H]2COCCO2)CC1 ZINC001315753108 1074103999 /nfs/dbraw/zinc/10/39/99/1074103999.db2.gz WOLFJPWKTVXRKU-BQFCYCMXSA-N 0 0 439.513 -0.288 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@H]2COCCO2)CC1 ZINC001315753109 1074103995 /nfs/dbraw/zinc/10/39/95/1074103995.db2.gz WOLFJPWKTVXRKU-JZXOWHBKSA-N 0 0 439.513 -0.288 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@]2(O)CCOC2)CC1 ZINC001315753426 1074103943 /nfs/dbraw/zinc/10/39/43/1074103943.db2.gz ZXQYPVOAYMLMAC-KNBMTAEXSA-N 0 0 439.513 -0.552 20 0 IBADRN COCC(=O)N1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@]2(O)CCOC2)CC1 ZINC001315753431 1074103991 /nfs/dbraw/zinc/10/39/91/1074103991.db2.gz ZXQYPVOAYMLMAC-RIFZZMRRSA-N 0 0 439.513 -0.552 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(-c3cnccn3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001315753888 1074104017 /nfs/dbraw/zinc/10/40/17/1074104017.db2.gz HVARGGXKRUKYAX-FQEVSTJZSA-N 0 0 428.497 -0.055 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001315753889 1074104008 /nfs/dbraw/zinc/10/40/08/1074104008.db2.gz HVARGGXKRUKYAX-HXUWFJFHSA-N 0 0 428.497 -0.055 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)NCC2CC2)CC1 ZINC001315754255 1074104020 /nfs/dbraw/zinc/10/40/20/1074104020.db2.gz NJQVCIHVTFJFIO-UHFFFAOYSA-N 0 0 430.513 -0.064 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(CC3CC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001315755651 1074103983 /nfs/dbraw/zinc/10/39/83/1074103983.db2.gz VEYGGXSIADLQRQ-UHFFFAOYSA-N 0 0 440.570 -0.003 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCOC[C@@H]2C)CC1 ZINC001315756762 1074104026 /nfs/dbraw/zinc/10/40/26/1074104026.db2.gz OKSJVAXQWVUOIQ-DOTOQJQBSA-N 0 0 449.556 -0.319 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCOC[C@H]2C)CC1 ZINC001315756763 1074103955 /nfs/dbraw/zinc/10/39/55/1074103955.db2.gz OKSJVAXQWVUOIQ-NVXWUHKLSA-N 0 0 449.556 -0.319 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCOC[C@@H]2C)CC1 ZINC001315756764 1074103972 /nfs/dbraw/zinc/10/39/72/1074103972.db2.gz OKSJVAXQWVUOIQ-RDJZCZTQSA-N 0 0 449.556 -0.319 20 0 IBADRN COCC(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCOC[C@H]2C)CC1 ZINC001315756765 1074103934 /nfs/dbraw/zinc/10/39/34/1074103934.db2.gz OKSJVAXQWVUOIQ-WBVHZDCISA-N 0 0 449.556 -0.319 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(C3CCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001315757279 1074103967 /nfs/dbraw/zinc/10/39/67/1074103967.db2.gz ZSDJYYOYKNNTQD-IBGZPJMESA-N 0 0 441.554 -0.173 20 0 IBADRN COCC(=O)NC1CCN(c2nnc(C3CCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001315757280 1074103965 /nfs/dbraw/zinc/10/39/65/1074103965.db2.gz ZSDJYYOYKNNTQD-LJQANCHMSA-N 0 0 441.554 -0.173 20 0 IBADRN COCC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001315767251 1074103948 /nfs/dbraw/zinc/10/39/48/1074103948.db2.gz ACOYGXUDOKWLGV-QLFBSQMISA-N 0 0 445.542 -0.155 20 0 IBADRN COCC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001315767252 1074103930 /nfs/dbraw/zinc/10/39/30/1074103930.db2.gz ACOYGXUDOKWLGV-ZNMIVQPWSA-N 0 0 445.542 -0.155 20 0 IBADRN COCC(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001315767257 1074104012 /nfs/dbraw/zinc/10/40/12/1074104012.db2.gz AHYQTQOWTGAEBJ-AWEZNQCLSA-N 0 0 449.599 -0.066 20 0 IBADRN COCC(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001315767258 1074103987 /nfs/dbraw/zinc/10/39/87/1074103987.db2.gz AHYQTQOWTGAEBJ-CQSZACIVSA-N 0 0 449.599 -0.066 20 0 IBADRN COC[C@@H](C)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315775364 1074104581 /nfs/dbraw/zinc/10/45/81/1074104581.db2.gz LQKQOWLKZBQTPB-HNNXBMFYSA-N 0 0 437.545 -0.128 20 0 IBADRN COC[C@H](C)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001315775366 1074104439 /nfs/dbraw/zinc/10/44/39/1074104439.db2.gz LQKQOWLKZBQTPB-OAHLLOKOSA-N 0 0 437.545 -0.128 20 0 IBADRN COC[C@@H](C)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001315782452 1074104534 /nfs/dbraw/zinc/10/45/34/1074104534.db2.gz QIINZZYSBUSPJW-KRWDZBQOSA-N 0 0 444.540 -0.002 20 0 IBADRN COC[C@H](C)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001315782453 1074104587 /nfs/dbraw/zinc/10/45/87/1074104587.db2.gz QIINZZYSBUSPJW-QGZVFWFLSA-N 0 0 444.540 -0.002 20 0 IBADRN COC[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001315784556 1074104552 /nfs/dbraw/zinc/10/45/52/1074104552.db2.gz MNHWTYHWSISNNX-APIJFGDWSA-N 0 0 431.515 -0.545 20 0 IBADRN COC[C@@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001315784557 1074104516 /nfs/dbraw/zinc/10/45/16/1074104516.db2.gz MNHWTYHWSISNNX-BYNSBNAKSA-N 0 0 431.515 -0.545 20 0 IBADRN COC[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001315784558 1074104466 /nfs/dbraw/zinc/10/44/66/1074104466.db2.gz MNHWTYHWSISNNX-KBXIAJHMSA-N 0 0 431.515 -0.545 20 0 IBADRN COC[C@@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001315784559 1074104526 /nfs/dbraw/zinc/10/45/26/1074104526.db2.gz MNHWTYHWSISNNX-XQLPTFJDSA-N 0 0 431.515 -0.545 20 0 IBADRN COC[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(=O)OC)C1 ZINC001315785708 1074104461 /nfs/dbraw/zinc/10/44/61/1074104461.db2.gz SEXMTSVTLNUYFH-ILXRZTDVSA-N 0 0 445.542 -0.107 20 0 IBADRN COC[C@H](C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(=O)OC)C1 ZINC001315785709 1074104458 /nfs/dbraw/zinc/10/44/58/1074104458.db2.gz SEXMTSVTLNUYFH-KFWWJZLASA-N 0 0 445.542 -0.107 20 0 IBADRN COC[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(=O)OC)C1 ZINC001315785710 1074104565 /nfs/dbraw/zinc/10/45/65/1074104565.db2.gz SEXMTSVTLNUYFH-QLFBSQMISA-N 0 0 445.542 -0.107 20 0 IBADRN COC[C@H](C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(=O)OC)C1 ZINC001315785711 1074104448 /nfs/dbraw/zinc/10/44/48/1074104448.db2.gz SEXMTSVTLNUYFH-RBSFLKMASA-N 0 0 445.542 -0.107 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@H]2C1)OC ZINC001315792188 1074104522 /nfs/dbraw/zinc/10/45/22/1074104522.db2.gz OGJFHCKGKASGGP-IHRRRGAJSA-N 0 0 430.531 -0.639 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@@H]2C1)OC ZINC001315792189 1074104512 /nfs/dbraw/zinc/10/45/12/1074104512.db2.gz OGJFHCKGKASGGP-MCIONIFRSA-N 0 0 430.531 -0.639 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@@H]2C1)OC ZINC001315792190 1074104558 /nfs/dbraw/zinc/10/45/58/1074104558.db2.gz OGJFHCKGKASGGP-MJBXVCDLSA-N 0 0 430.531 -0.639 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)CC[C@H]2C1)OC ZINC001315792191 1074104561 /nfs/dbraw/zinc/10/45/61/1074104561.db2.gz OGJFHCKGKASGGP-RDBSUJKOSA-N 0 0 430.531 -0.639 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@H](S(C)(=O)=O)C1)OC ZINC001315793091 1074104428 /nfs/dbraw/zinc/10/44/28/1074104428.db2.gz SFYLVEYJOSQEKD-AGIUHOORSA-N 0 0 439.560 -0.827 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H](S(C)(=O)=O)C1)OC ZINC001315793093 1074104576 /nfs/dbraw/zinc/10/45/76/1074104576.db2.gz SFYLVEYJOSQEKD-AVGNSLFASA-N 0 0 439.560 -0.827 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](S(C)(=O)=O)C1)OC ZINC001315793094 1074104570 /nfs/dbraw/zinc/10/45/70/1074104570.db2.gz SFYLVEYJOSQEKD-FRRDWIJNSA-N 0 0 439.560 -0.827 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](S(C)(=O)=O)C1)OC ZINC001315793096 1074104546 /nfs/dbraw/zinc/10/45/46/1074104546.db2.gz SFYLVEYJOSQEKD-RWMBFGLXSA-N 0 0 439.560 -0.827 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](C(=O)OC)C1)OC ZINC001315793111 1074104914 /nfs/dbraw/zinc/10/49/14/1074104914.db2.gz SMRMAYPSNGIFMC-IHRRRGAJSA-N 0 0 433.531 -0.061 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](C(=O)OC)C1)OC ZINC001315793112 1074104896 /nfs/dbraw/zinc/10/48/96/1074104896.db2.gz SMRMAYPSNGIFMC-MCIONIFRSA-N 0 0 433.531 -0.061 20 0 IBADRN COC[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](C(=O)OC)C1)OC ZINC001315793113 1074104932 /nfs/dbraw/zinc/10/49/32/1074104932.db2.gz SMRMAYPSNGIFMC-MJBXVCDLSA-N 0 0 433.531 -0.061 20 0 IBADRN COC[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](C(=O)OC)C1)OC ZINC001315793114 1074104895 /nfs/dbraw/zinc/10/48/95/1074104895.db2.gz SMRMAYPSNGIFMC-RDBSUJKOSA-N 0 0 433.531 -0.061 20 0 IBADRN COC[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1)OC ZINC001315798008 1074104942 /nfs/dbraw/zinc/10/49/42/1074104942.db2.gz GXJGXNRUXKRQKP-CABCVRRESA-N 0 0 444.558 -0.344 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1)OC ZINC001315798009 1074104937 /nfs/dbraw/zinc/10/49/37/1074104937.db2.gz GXJGXNRUXKRQKP-GJZGRUSLSA-N 0 0 444.558 -0.344 20 0 IBADRN COC[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1)OC ZINC001315798010 1074104925 /nfs/dbraw/zinc/10/49/25/1074104925.db2.gz GXJGXNRUXKRQKP-HUUCEWRRSA-N 0 0 444.558 -0.344 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1)OC ZINC001315798011 1074104891 /nfs/dbraw/zinc/10/48/91/1074104891.db2.gz GXJGXNRUXKRQKP-LSDHHAIUSA-N 0 0 444.558 -0.344 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](OC)C1)OC ZINC001315804216 1074104922 /nfs/dbraw/zinc/10/49/22/1074104922.db2.gz NTMUSEWUEDBTID-KKUMJFAQSA-N 0 0 433.531 -0.503 20 0 IBADRN COC[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](OC)C1)OC ZINC001315804223 1074104915 /nfs/dbraw/zinc/10/49/15/1074104915.db2.gz NTMUSEWUEDBTID-RRFJBIMHSA-N 0 0 433.531 -0.503 20 0 IBADRN COC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](OC)C1)OC ZINC001315804225 1074104918 /nfs/dbraw/zinc/10/49/18/1074104918.db2.gz NTMUSEWUEDBTID-SOUVJXGZSA-N 0 0 433.531 -0.503 20 0 IBADRN COC[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](OC)C1)OC ZINC001315804227 1074104934 /nfs/dbraw/zinc/10/49/34/1074104934.db2.gz NTMUSEWUEDBTID-ZNMIVQPWSA-N 0 0 433.531 -0.503 20 0 IBADRN COC[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(CO)CC1)OC ZINC001315805288 1074104904 /nfs/dbraw/zinc/10/49/04/1074104904.db2.gz RXZQEPFUYZHVNE-CVEARBPZSA-N 0 0 447.558 -0.519 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(CO)CC1)OC ZINC001315805289 1074104911 /nfs/dbraw/zinc/10/49/11/1074104911.db2.gz RXZQEPFUYZHVNE-HOTGVXAUSA-N 0 0 447.558 -0.519 20 0 IBADRN COC[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(CO)CC1)OC ZINC001315805290 1074104920 /nfs/dbraw/zinc/10/49/20/1074104920.db2.gz RXZQEPFUYZHVNE-HZPDHXFCSA-N 0 0 447.558 -0.519 20 0 IBADRN COC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(CO)CC1)OC ZINC001315805291 1074104899 /nfs/dbraw/zinc/10/48/99/1074104899.db2.gz RXZQEPFUYZHVNE-JKSUJKDBSA-N 0 0 447.558 -0.519 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1)OC ZINC001315806655 1074105592 /nfs/dbraw/zinc/10/55/92/1074105592.db2.gz WBKMMRFSMAWQOM-DEYYWGMASA-N 0 0 427.527 -0.300 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1)OC ZINC001315806660 1074105517 /nfs/dbraw/zinc/10/55/17/1074105517.db2.gz WBKMMRFSMAWQOM-GLJUWKHASA-N 0 0 427.527 -0.300 20 0 IBADRN COC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1)OC ZINC001315806663 1074105489 /nfs/dbraw/zinc/10/54/89/1074105489.db2.gz WBKMMRFSMAWQOM-QWQRMKEZSA-N 0 0 427.527 -0.300 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1)OC ZINC001315806666 1074105578 /nfs/dbraw/zinc/10/55/78/1074105578.db2.gz WBKMMRFSMAWQOM-SUNYJGFJSA-N 0 0 427.527 -0.300 20 0 IBADRN COC[C@@H](Cn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1)OC ZINC001315806894 1074105504 /nfs/dbraw/zinc/10/55/04/1074105504.db2.gz HMUSMEOEEIDYEE-HZPDHXFCSA-N 0 0 438.529 -0.036 20 0 IBADRN COC[C@H](Cn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1)OC ZINC001315806895 1074105480 /nfs/dbraw/zinc/10/54/80/1074105480.db2.gz HMUSMEOEEIDYEE-JKSUJKDBSA-N 0 0 438.529 -0.036 20 0 IBADRN COC[C@H](F)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001315809366 1074105582 /nfs/dbraw/zinc/10/55/82/1074105582.db2.gz WZRXSXVIPGRZJV-CYBMUJFWSA-N 0 0 441.489 -0.009 20 0 IBADRN COC[C@@H](F)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001315809367 1074105509 /nfs/dbraw/zinc/10/55/09/1074105509.db2.gz WZRXSXVIPGRZJV-ZDUSSCGKSA-N 0 0 441.489 -0.009 20 0 IBADRN COC[C@@H](F)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001315810488 1074105568 /nfs/dbraw/zinc/10/55/68/1074105568.db2.gz ICBWUXSLTMTXCL-KBPBESRZSA-N 0 0 449.553 -0.917 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001315810489 1074105499 /nfs/dbraw/zinc/10/54/99/1074105499.db2.gz ICBWUXSLTMTXCL-KGLIPLIRSA-N 0 0 449.553 -0.917 20 0 IBADRN COC[C@H](F)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001315810490 1074105464 /nfs/dbraw/zinc/10/54/64/1074105464.db2.gz ICBWUXSLTMTXCL-UONOGXRCSA-N 0 0 449.553 -0.917 20 0 IBADRN COC[C@H](F)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001315810491 1074105561 /nfs/dbraw/zinc/10/55/61/1074105561.db2.gz ICBWUXSLTMTXCL-ZIAGYGMSSA-N 0 0 449.553 -0.917 20 0 IBADRN COC[C@@H](F)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001315811351 1074105527 /nfs/dbraw/zinc/10/55/27/1074105527.db2.gz PFDVYHWOTVDQHT-CRWXNKLISA-N 0 0 432.522 -0.165 20 0 IBADRN COC[C@H](F)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001315811353 1074105540 /nfs/dbraw/zinc/10/55/40/1074105540.db2.gz PFDVYHWOTVDQHT-DGAVXFQQSA-N 0 0 432.522 -0.165 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001315811355 1074105550 /nfs/dbraw/zinc/10/55/50/1074105550.db2.gz PFDVYHWOTVDQHT-MQYQWHSLSA-N 0 0 432.522 -0.165 20 0 IBADRN COC[C@H](F)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001315811357 1074105556 /nfs/dbraw/zinc/10/55/56/1074105556.db2.gz PFDVYHWOTVDQHT-SYQHCUMBSA-N 0 0 432.522 -0.165 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001315812614 1074105571 /nfs/dbraw/zinc/10/55/71/1074105571.db2.gz VOYKTNLPKXNFFY-NEPJUHHUSA-N 0 0 434.494 -0.048 20 0 IBADRN COC[C@H](F)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001315812615 1074105587 /nfs/dbraw/zinc/10/55/87/1074105587.db2.gz VOYKTNLPKXNFFY-NWDGAFQWSA-N 0 0 434.494 -0.048 20 0 IBADRN COC[C@@H](F)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001315812616 1074105535 /nfs/dbraw/zinc/10/55/35/1074105535.db2.gz VOYKTNLPKXNFFY-RYUDHWBXSA-N 0 0 434.494 -0.048 20 0 IBADRN COC[C@H](F)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001315812617 1074105521 /nfs/dbraw/zinc/10/55/21/1074105521.db2.gz VOYKTNLPKXNFFY-VXGBXAGGSA-N 0 0 434.494 -0.048 20 0 IBADRN COC[C@H](F)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001315818052 1074105849 /nfs/dbraw/zinc/10/58/49/1074105849.db2.gz PCCGURXSTNNGRU-CABCVRRESA-N 0 0 448.521 -0.736 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001315818053 1074105925 /nfs/dbraw/zinc/10/59/25/1074105925.db2.gz PCCGURXSTNNGRU-GJZGRUSLSA-N 0 0 448.521 -0.736 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001315818054 1074105855 /nfs/dbraw/zinc/10/58/55/1074105855.db2.gz PCCGURXSTNNGRU-HUUCEWRRSA-N 0 0 448.521 -0.736 20 0 IBADRN COC[C@@H](F)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C(C)=O)CC1 ZINC001315818055 1074105954 /nfs/dbraw/zinc/10/59/54/1074105954.db2.gz PCCGURXSTNNGRU-LSDHHAIUSA-N 0 0 448.521 -0.736 20 0 IBADRN COC[C@H](F)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001315818201 1074105911 /nfs/dbraw/zinc/10/59/11/1074105911.db2.gz YYWPLANJRSPCNV-CYBMUJFWSA-N 0 0 432.460 -0.353 20 0 IBADRN COC[C@@H](F)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001315818204 1074105967 /nfs/dbraw/zinc/10/59/67/1074105967.db2.gz YYWPLANJRSPCNV-ZDUSSCGKSA-N 0 0 432.460 -0.353 20 0 IBADRN COC[C@@H](F)Cn1c(CC(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001315818752 1074105895 /nfs/dbraw/zinc/10/58/95/1074105895.db2.gz UBKFLWVHZNQKDZ-AWEZNQCLSA-N 0 0 438.508 -0.072 20 0 IBADRN COC[C@H](F)Cn1c(CC(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001315818753 1074105936 /nfs/dbraw/zinc/10/59/36/1074105936.db2.gz UBKFLWVHZNQKDZ-CQSZACIVSA-N 0 0 438.508 -0.072 20 0 IBADRN COC[C@H](F)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001315819287 1074105946 /nfs/dbraw/zinc/10/59/46/1074105946.db2.gz YCCGFDQHLXOXMM-AAVRWANBSA-N 0 0 434.490 -0.475 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001315819296 1074105865 /nfs/dbraw/zinc/10/58/65/1074105865.db2.gz YCCGFDQHLXOXMM-REWJHTLYSA-N 0 0 434.490 -0.475 20 0 IBADRN COC[C@@H](F)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001315819297 1074105860 /nfs/dbraw/zinc/10/58/60/1074105860.db2.gz YCCGFDQHLXOXMM-RFQIPJPRSA-N 0 0 434.490 -0.475 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001315819299 1074105868 /nfs/dbraw/zinc/10/58/68/1074105868.db2.gz YCCGFDQHLXOXMM-YIYPIFLZSA-N 0 0 434.490 -0.475 20 0 IBADRN COC[C@H](F)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001315819736 1074105960 /nfs/dbraw/zinc/10/59/60/1074105960.db2.gz TXRAXFGSAUPAPC-CABCVRRESA-N 0 0 439.492 -0.212 20 0 IBADRN COC[C@@H](F)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001315819737 1074105916 /nfs/dbraw/zinc/10/59/16/1074105916.db2.gz TXRAXFGSAUPAPC-GJZGRUSLSA-N 0 0 439.492 -0.212 20 0 IBADRN COC[C@H](F)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001315819738 1074105873 /nfs/dbraw/zinc/10/58/73/1074105873.db2.gz TXRAXFGSAUPAPC-HUUCEWRRSA-N 0 0 439.492 -0.212 20 0 IBADRN COC[C@@H](F)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001315819739 1074105888 /nfs/dbraw/zinc/10/58/88/1074105888.db2.gz TXRAXFGSAUPAPC-LSDHHAIUSA-N 0 0 439.492 -0.212 20 0 IBADRN COC[C@@H](F)Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001315825482 1074105902 /nfs/dbraw/zinc/10/59/02/1074105902.db2.gz KLESCLJKSZZKSU-KBPBESRZSA-N 0 0 432.522 -0.145 20 0 IBADRN COC[C@H](F)Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001315825483 1074105931 /nfs/dbraw/zinc/10/59/31/1074105931.db2.gz KLESCLJKSZZKSU-UONOGXRCSA-N 0 0 432.522 -0.145 20 0 IBADRN COCC1(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN(C)C(=O)C2)CCOCC1 ZINC001315843246 1074105877 /nfs/dbraw/zinc/10/58/77/1074105877.db2.gz YMQOEBNMTBOJPO-AWEZNQCLSA-N 0 0 444.558 -0.390 20 0 IBADRN COCC1(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN(C)C(=O)C2)CCOCC1 ZINC001315843247 1074105898 /nfs/dbraw/zinc/10/58/98/1074105898.db2.gz YMQOEBNMTBOJPO-CQSZACIVSA-N 0 0 444.558 -0.390 20 0 IBADRN COCC1=CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)N(C)C)CC1 ZINC001315862611 1074106527 /nfs/dbraw/zinc/10/65/27/1074106527.db2.gz FLEQXBVRKQLEEN-HNNXBMFYSA-N 0 0 442.542 -0.518 20 0 IBADRN COCC1=CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)N(C)C)CC1 ZINC001315862622 1074106490 /nfs/dbraw/zinc/10/64/90/1074106490.db2.gz FLEQXBVRKQLEEN-OAHLLOKOSA-N 0 0 442.542 -0.518 20 0 IBADRN COCc1nc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)cs1 ZINC001315870224 1074106484 /nfs/dbraw/zinc/10/64/84/1074106484.db2.gz IISQVZGXGIIJRP-JTQLQIEISA-N 0 0 429.528 -0.524 20 0 IBADRN COCc1nc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)C2)cs1 ZINC001315870225 1074106499 /nfs/dbraw/zinc/10/64/99/1074106499.db2.gz IISQVZGXGIIJRP-SNVBAGLBSA-N 0 0 429.528 -0.524 20 0 IBADRN COC[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)C1 ZINC001315895425 1074106482 /nfs/dbraw/zinc/10/64/82/1074106482.db2.gz COIBPOJKJGHQEC-CABCVRRESA-N 0 0 449.599 -0.066 20 0 IBADRN COC[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)C1 ZINC001315895426 1074106525 /nfs/dbraw/zinc/10/65/25/1074106525.db2.gz COIBPOJKJGHQEC-GJZGRUSLSA-N 0 0 449.599 -0.066 20 0 IBADRN COC[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)C1 ZINC001315895427 1074106472 /nfs/dbraw/zinc/10/64/72/1074106472.db2.gz COIBPOJKJGHQEC-HUUCEWRRSA-N 0 0 449.599 -0.066 20 0 IBADRN COC[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)C1 ZINC001315895428 1074106476 /nfs/dbraw/zinc/10/64/76/1074106476.db2.gz COIBPOJKJGHQEC-LSDHHAIUSA-N 0 0 449.599 -0.066 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)C1 ZINC001315908202 1074106509 /nfs/dbraw/zinc/10/65/09/1074106509.db2.gz VTAICCFARPRWSJ-KBPBESRZSA-N 0 0 430.531 -0.780 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)C1 ZINC001315908203 1074106497 /nfs/dbraw/zinc/10/64/97/1074106497.db2.gz VTAICCFARPRWSJ-KGLIPLIRSA-N 0 0 430.531 -0.780 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)C1 ZINC001315908204 1074106511 /nfs/dbraw/zinc/10/65/11/1074106511.db2.gz VTAICCFARPRWSJ-UONOGXRCSA-N 0 0 430.531 -0.780 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)C1 ZINC001315908205 1074106480 /nfs/dbraw/zinc/10/64/80/1074106480.db2.gz VTAICCFARPRWSJ-ZIAGYGMSSA-N 0 0 430.531 -0.780 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315919314 1074106514 /nfs/dbraw/zinc/10/65/14/1074106514.db2.gz XXLUWGLKJBLJKX-KFWWJZLASA-N 0 0 442.542 -0.638 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315919315 1074106506 /nfs/dbraw/zinc/10/65/06/1074106506.db2.gz XXLUWGLKJBLJKX-RBSFLKMASA-N 0 0 442.542 -0.638 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315919316 1074106532 /nfs/dbraw/zinc/10/65/32/1074106532.db2.gz XXLUWGLKJBLJKX-RRFJBIMHSA-N 0 0 442.542 -0.638 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C1 ZINC001315919317 1074106492 /nfs/dbraw/zinc/10/64/92/1074106492.db2.gz XXLUWGLKJBLJKX-ZNMIVQPWSA-N 0 0 442.542 -0.638 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C1 ZINC001315919356 1074106951 /nfs/dbraw/zinc/10/69/51/1074106951.db2.gz YXEOFZHZEIBCIA-KBPBESRZSA-N 0 0 435.572 -0.408 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C1 ZINC001315919357 1074106945 /nfs/dbraw/zinc/10/69/45/1074106945.db2.gz YXEOFZHZEIBCIA-KGLIPLIRSA-N 0 0 435.572 -0.408 20 0 IBADRN COC[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C1 ZINC001315919358 1074106839 /nfs/dbraw/zinc/10/68/39/1074106839.db2.gz YXEOFZHZEIBCIA-UONOGXRCSA-N 0 0 435.572 -0.408 20 0 IBADRN COC[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C1 ZINC001315919359 1074106889 /nfs/dbraw/zinc/10/68/89/1074106889.db2.gz YXEOFZHZEIBCIA-ZIAGYGMSSA-N 0 0 435.572 -0.408 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCC[C@@H]2NC(=O)NC2=O)C[C@@H](C)O1 ZINC001315922799 1074106932 /nfs/dbraw/zinc/10/69/32/1074106932.db2.gz DLGOECUKFVIVNX-ILXRZTDVSA-N 0 0 449.512 -0.258 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCC[C@@H]2NC(=O)NC2=O)C[C@@H](C)O1 ZINC001315922800 1074106872 /nfs/dbraw/zinc/10/68/72/1074106872.db2.gz DLGOECUKFVIVNX-KFWWJZLASA-N 0 0 449.512 -0.258 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCC[C@@H]2NC(=O)NC2=O)C[C@H](C)O1 ZINC001315922801 1074106814 /nfs/dbraw/zinc/10/68/14/1074106814.db2.gz DLGOECUKFVIVNX-KKUMJFAQSA-N 0 0 449.512 -0.258 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCC[C@@H]2NC(=O)NC2=O)C[C@H](C)O1 ZINC001315922802 1074106879 /nfs/dbraw/zinc/10/68/79/1074106879.db2.gz DLGOECUKFVIVNX-ZNMIVQPWSA-N 0 0 449.512 -0.258 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CC2(S(C)(=O)=O)CC2)CCO1 ZINC001315924076 1074106917 /nfs/dbraw/zinc/10/69/17/1074106917.db2.gz RAWWOXOEVFPXBD-AWEZNQCLSA-N 0 0 427.527 -0.057 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CC2(S(C)(=O)=O)CC2)CCO1 ZINC001315924077 1074106865 /nfs/dbraw/zinc/10/68/65/1074106865.db2.gz RAWWOXOEVFPXBD-CQSZACIVSA-N 0 0 427.527 -0.057 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315924624 1074106939 /nfs/dbraw/zinc/10/69/39/1074106939.db2.gz WCAJWXCRDGRFJW-KRWDZBQOSA-N 0 0 437.545 -0.568 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315924625 1074106904 /nfs/dbraw/zinc/10/69/04/1074106904.db2.gz WCAJWXCRDGRFJW-QGZVFWFLSA-N 0 0 437.545 -0.568 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)C[C@H](C)O1 ZINC001315924827 1074106883 /nfs/dbraw/zinc/10/68/83/1074106883.db2.gz XXFUHZSYICRWGT-KBPBESRZSA-N 0 0 432.547 -0.452 20 0 IBADRN COC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)C[C@@H](C)O1 ZINC001315924828 1074106831 /nfs/dbraw/zinc/10/68/31/1074106831.db2.gz XXFUHZSYICRWGT-KGLIPLIRSA-N 0 0 432.547 -0.452 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)C[C@H](C)O1 ZINC001315924829 1074106846 /nfs/dbraw/zinc/10/68/46/1074106846.db2.gz XXFUHZSYICRWGT-UONOGXRCSA-N 0 0 432.547 -0.452 20 0 IBADRN COC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)C[C@@H](C)O1 ZINC001315924830 1074106924 /nfs/dbraw/zinc/10/69/24/1074106924.db2.gz XXFUHZSYICRWGT-ZIAGYGMSSA-N 0 0 432.547 -0.452 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C[C@H](C)O1 ZINC001315925798 1074106964 /nfs/dbraw/zinc/10/69/64/1074106964.db2.gz AMKRHSCCUSZATO-DGAVXFQQSA-N 0 0 430.531 -0.593 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C[C@H](C)O1 ZINC001315925800 1074106855 /nfs/dbraw/zinc/10/68/55/1074106855.db2.gz AMKRHSCCUSZATO-FQUUOJAGSA-N 0 0 430.531 -0.593 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C[C@@H](C)O1 ZINC001315925802 1074106958 /nfs/dbraw/zinc/10/69/58/1074106958.db2.gz AMKRHSCCUSZATO-RQJABVFESA-N 0 0 430.531 -0.593 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)C[C@@H](C)O1 ZINC001315925804 1074106898 /nfs/dbraw/zinc/10/68/98/1074106898.db2.gz AMKRHSCCUSZATO-SYQHCUMBSA-N 0 0 430.531 -0.593 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC[C@@H]2OC)CCO1 ZINC001315925879 1074107433 /nfs/dbraw/zinc/10/74/33/1074107433.db2.gz BBPJZQMCCFQVOT-FZKCQIBNSA-N 0 0 447.558 -0.209 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC[C@@H]2OC)CCO1 ZINC001315925881 1074107430 /nfs/dbraw/zinc/10/74/30/1074107430.db2.gz BBPJZQMCCFQVOT-VGWMRTNUSA-N 0 0 447.558 -0.209 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC[C@H]2OC)CCO1 ZINC001315925883 1074107440 /nfs/dbraw/zinc/10/74/40/1074107440.db2.gz BBPJZQMCCFQVOT-XUWVNRHRSA-N 0 0 447.558 -0.209 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC[C@H]2OC)CCO1 ZINC001315925885 1074107439 /nfs/dbraw/zinc/10/74/39/1074107439.db2.gz BBPJZQMCCFQVOT-YHUYYLMFSA-N 0 0 447.558 -0.209 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)CCO1 ZINC001315926177 1074107455 /nfs/dbraw/zinc/10/74/55/1074107455.db2.gz CIRZENKUKONQEL-KBPBESRZSA-N 0 0 433.531 -0.719 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)CCO1 ZINC001315926179 1074107437 /nfs/dbraw/zinc/10/74/37/1074107437.db2.gz CIRZENKUKONQEL-KGLIPLIRSA-N 0 0 433.531 -0.719 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)CCO1 ZINC001315926181 1074107409 /nfs/dbraw/zinc/10/74/09/1074107409.db2.gz CIRZENKUKONQEL-UONOGXRCSA-N 0 0 433.531 -0.719 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)CCO1 ZINC001315926183 1074107422 /nfs/dbraw/zinc/10/74/22/1074107422.db2.gz CIRZENKUKONQEL-ZIAGYGMSSA-N 0 0 433.531 -0.719 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C[C@H](C)O1 ZINC001315927401 1074107406 /nfs/dbraw/zinc/10/74/06/1074107406.db2.gz GMSAAWAYEIXNBC-GUTXKFCHSA-N 0 0 444.558 -0.345 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C[C@@H](C)O1 ZINC001315927402 1074107413 /nfs/dbraw/zinc/10/74/13/1074107413.db2.gz GMSAAWAYEIXNBC-IPYPFGDCSA-N 0 0 444.558 -0.345 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C[C@@H](C)O1 ZINC001315927403 1074107443 /nfs/dbraw/zinc/10/74/43/1074107443.db2.gz GMSAAWAYEIXNBC-NFAWXSAZSA-N 0 0 444.558 -0.345 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C[C@H](C)O1 ZINC001315927404 1074107446 /nfs/dbraw/zinc/10/74/46/1074107446.db2.gz GMSAAWAYEIXNBC-YDHLFZDLSA-N 0 0 444.558 -0.345 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCS(C)(=O)=O)C[C@@H](C)O1 ZINC001315928241 1074107414 /nfs/dbraw/zinc/10/74/14/1074107414.db2.gz IZHSVIMPEVVTQQ-AGIUHOORSA-N 0 0 439.560 -0.827 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCS(C)(=O)=O)C[C@H](C)O1 ZINC001315928242 1074107424 /nfs/dbraw/zinc/10/74/24/1074107424.db2.gz IZHSVIMPEVVTQQ-AVGNSLFASA-N 0 0 439.560 -0.827 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCS(C)(=O)=O)C[C@@H](C)O1 ZINC001315928243 1074107449 /nfs/dbraw/zinc/10/74/49/1074107449.db2.gz IZHSVIMPEVVTQQ-UPJWGTAASA-N 0 0 439.560 -0.827 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCS(C)(=O)=O)C[C@H](C)O1 ZINC001315928244 1074107442 /nfs/dbraw/zinc/10/74/42/1074107442.db2.gz IZHSVIMPEVVTQQ-XQQFMLRXSA-N 0 0 439.560 -0.827 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CCO1 ZINC001315930552 1074107426 /nfs/dbraw/zinc/10/74/26/1074107426.db2.gz OXZLJXLHAOQGNS-KBPBESRZSA-N 0 0 432.547 -0.392 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CCO1 ZINC001315930554 1074107434 /nfs/dbraw/zinc/10/74/34/1074107434.db2.gz OXZLJXLHAOQGNS-KGLIPLIRSA-N 0 0 432.547 -0.392 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CCO1 ZINC001315930556 1074107418 /nfs/dbraw/zinc/10/74/18/1074107418.db2.gz OXZLJXLHAOQGNS-UONOGXRCSA-N 0 0 432.547 -0.392 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CCO1 ZINC001315930558 1074107452 /nfs/dbraw/zinc/10/74/52/1074107452.db2.gz OXZLJXLHAOQGNS-ZIAGYGMSSA-N 0 0 432.547 -0.392 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)C[C@@H](C)O1 ZINC001315931181 1074108059 /nfs/dbraw/zinc/10/80/59/1074108059.db2.gz QKTUVAANWIQCJQ-LDDOYCOJSA-N 0 0 447.558 -0.066 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)C[C@@H](C)O1 ZINC001315931182 1074107972 /nfs/dbraw/zinc/10/79/72/1074107972.db2.gz QKTUVAANWIQCJQ-LLDVTBCESA-N 0 0 447.558 -0.066 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)C[C@H](C)O1 ZINC001315931183 1074107966 /nfs/dbraw/zinc/10/79/66/1074107966.db2.gz QKTUVAANWIQCJQ-XSWJXKHESA-N 0 0 447.558 -0.066 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)C[C@H](C)O1 ZINC001315931184 1074107899 /nfs/dbraw/zinc/10/78/99/1074107899.db2.gz QKTUVAANWIQCJQ-YRBFXIGRSA-N 0 0 447.558 -0.066 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)(C)C)CCO1 ZINC001315934520 1074107995 /nfs/dbraw/zinc/10/79/95/1074107995.db2.gz VKFHMAZAEMTSEV-KBPBESRZSA-N 0 0 446.574 -0.098 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)(C)C)CCO1 ZINC001315934526 1074107961 /nfs/dbraw/zinc/10/79/61/1074107961.db2.gz VKFHMAZAEMTSEV-KGLIPLIRSA-N 0 0 446.574 -0.098 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)(C)C)CCO1 ZINC001315934527 1074108038 /nfs/dbraw/zinc/10/80/38/1074108038.db2.gz VKFHMAZAEMTSEV-UONOGXRCSA-N 0 0 446.574 -0.098 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)(C)C)CCO1 ZINC001315934528 1074107945 /nfs/dbraw/zinc/10/79/45/1074107945.db2.gz VKFHMAZAEMTSEV-ZIAGYGMSSA-N 0 0 446.574 -0.098 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C[C@@H](C)O1 ZINC001315938595 1074108023 /nfs/dbraw/zinc/10/80/23/1074108023.db2.gz DQCOQIKPJAOVFA-HZSPNIEDSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C[C@H](C)O1 ZINC001315938596 1074108044 /nfs/dbraw/zinc/10/80/44/1074108044.db2.gz DQCOQIKPJAOVFA-IHRRRGAJSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C[C@H](C)O1 ZINC001315938597 1074107911 /nfs/dbraw/zinc/10/79/11/1074107911.db2.gz DQCOQIKPJAOVFA-MELADBBJSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)C[C@@H](C)O1 ZINC001315938598 1074108032 /nfs/dbraw/zinc/10/80/32/1074108032.db2.gz DQCOQIKPJAOVFA-RDBSUJKOSA-N 0 0 430.531 -0.591 20 0 IBADRN COC[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315940343 1074107922 /nfs/dbraw/zinc/10/79/22/1074107922.db2.gz WHFFJUWBQKLUPW-GOSISDBHSA-N 0 0 432.529 -0.306 20 0 IBADRN COC[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315940344 1074107984 /nfs/dbraw/zinc/10/79/84/1074107984.db2.gz WHFFJUWBQKLUPW-SFHVURJKSA-N 0 0 432.529 -0.306 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315940893 1074108026 /nfs/dbraw/zinc/10/80/26/1074108026.db2.gz UEQXNBAWBYOXQS-KBPBESRZSA-N 0 0 431.515 -0.858 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315940894 1074107940 /nfs/dbraw/zinc/10/79/40/1074107940.db2.gz UEQXNBAWBYOXQS-KGLIPLIRSA-N 0 0 431.515 -0.858 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315940895 1074108014 /nfs/dbraw/zinc/10/80/14/1074108014.db2.gz UEQXNBAWBYOXQS-UONOGXRCSA-N 0 0 431.515 -0.858 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001315940896 1074107979 /nfs/dbraw/zinc/10/79/79/1074107979.db2.gz UEQXNBAWBYOXQS-ZIAGYGMSSA-N 0 0 431.515 -0.858 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315941121 1074108000 /nfs/dbraw/zinc/10/80/00/1074108000.db2.gz XVUNFLZWKMGBDW-DLBZAZTESA-N 0 0 435.529 -0.755 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315941122 1074108050 /nfs/dbraw/zinc/10/80/50/1074108050.db2.gz XVUNFLZWKMGBDW-IAGOWNOFSA-N 0 0 435.529 -0.755 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315941123 1074108446 /nfs/dbraw/zinc/10/84/46/1074108446.db2.gz XVUNFLZWKMGBDW-IRXDYDNUSA-N 0 0 435.529 -0.755 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCN(C(C)=O)CC2)CCO1 ZINC001315941124 1074108480 /nfs/dbraw/zinc/10/84/80/1074108480.db2.gz XVUNFLZWKMGBDW-SJORKVTESA-N 0 0 435.529 -0.755 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(CS(C)(=O)=O)CC2)CCO1 ZINC001315941231 1074108451 /nfs/dbraw/zinc/10/84/51/1074108451.db2.gz ZSLLUPIILPPQSJ-KBPBESRZSA-N 0 0 427.527 -0.094 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(CS(C)(=O)=O)CC2)CCO1 ZINC001315941232 1074108475 /nfs/dbraw/zinc/10/84/75/1074108475.db2.gz ZSLLUPIILPPQSJ-KGLIPLIRSA-N 0 0 427.527 -0.094 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC2(CS(C)(=O)=O)CC2)CCO1 ZINC001315941233 1074108434 /nfs/dbraw/zinc/10/84/34/1074108434.db2.gz ZSLLUPIILPPQSJ-UONOGXRCSA-N 0 0 427.527 -0.094 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC2(CS(C)(=O)=O)CC2)CCO1 ZINC001315941234 1074108460 /nfs/dbraw/zinc/10/84/60/1074108460.db2.gz ZSLLUPIILPPQSJ-ZIAGYGMSSA-N 0 0 427.527 -0.094 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCO[C@@H]2C)CCO1 ZINC001315943973 1074108438 /nfs/dbraw/zinc/10/84/38/1074108438.db2.gz DYHVFZVOHQSHFF-BSXFFOKHSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@H]2C)CCO1 ZINC001315943979 1074108494 /nfs/dbraw/zinc/10/84/94/1074108494.db2.gz DYHVFZVOHQSHFF-JTOWHCCKSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCO[C@H]2C)CCO1 ZINC001315943982 1074108485 /nfs/dbraw/zinc/10/84/85/1074108485.db2.gz DYHVFZVOHQSHFF-KRXQYRFLSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@@H]2C)CCO1 ZINC001315943984 1074108442 /nfs/dbraw/zinc/10/84/42/1074108442.db2.gz DYHVFZVOHQSHFF-ZXFNITATSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)CCO1 ZINC001315944925 1074108420 /nfs/dbraw/zinc/10/84/20/1074108420.db2.gz GJWBXROPIZBADL-KBPBESRZSA-N 0 0 425.515 -0.299 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)CCO1 ZINC001315944926 1074108465 /nfs/dbraw/zinc/10/84/65/1074108465.db2.gz GJWBXROPIZBADL-KGLIPLIRSA-N 0 0 425.515 -0.299 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)CCO1 ZINC001315944927 1074108470 /nfs/dbraw/zinc/10/84/70/1074108470.db2.gz GJWBXROPIZBADL-UONOGXRCSA-N 0 0 425.515 -0.299 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)CCO1 ZINC001315944928 1074108410 /nfs/dbraw/zinc/10/84/10/1074108410.db2.gz GJWBXROPIZBADL-ZIAGYGMSSA-N 0 0 425.515 -0.299 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001315945005 1074108477 /nfs/dbraw/zinc/10/84/77/1074108477.db2.gz HEKGUXGQHDMLLX-DEYYWGMASA-N 0 0 427.527 -0.490 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(C)CN(C)C2=O)CCO1 ZINC001315945083 1074108431 /nfs/dbraw/zinc/10/84/31/1074108431.db2.gz HEKGUXGQHDMLLX-GLJUWKHASA-N 0 0 427.527 -0.490 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001315945084 1074108458 /nfs/dbraw/zinc/10/84/58/1074108458.db2.gz HEKGUXGQHDMLLX-QWQRMKEZSA-N 0 0 427.527 -0.490 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(C)CN(C)C2=O)CCO1 ZINC001315945085 1074108455 /nfs/dbraw/zinc/10/84/55/1074108455.db2.gz HEKGUXGQHDMLLX-SUNYJGFJSA-N 0 0 427.527 -0.490 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)NC(C)C)CCO1 ZINC001315945906 1074108490 /nfs/dbraw/zinc/10/84/90/1074108490.db2.gz KAQDDJRHWGGROG-CABCVRRESA-N 0 0 429.543 -0.054 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)NC(C)C)CCO1 ZINC001315945908 1074108488 /nfs/dbraw/zinc/10/84/88/1074108488.db2.gz KAQDDJRHWGGROG-GJZGRUSLSA-N 0 0 429.543 -0.054 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)NC(C)C)CCO1 ZINC001315945910 1074109216 /nfs/dbraw/zinc/10/92/16/1074109216.db2.gz KAQDDJRHWGGROG-HUUCEWRRSA-N 0 0 429.543 -0.054 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)NC(C)C)CCO1 ZINC001315945912 1074109207 /nfs/dbraw/zinc/10/92/07/1074109207.db2.gz KAQDDJRHWGGROG-LSDHHAIUSA-N 0 0 429.543 -0.054 20 0 IBADRN COC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001315947081 1074109263 /nfs/dbraw/zinc/10/92/63/1074109263.db2.gz OLFHMNNLXAZXCZ-CAOSSQGBSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001315947082 1074109130 /nfs/dbraw/zinc/10/91/30/1074109130.db2.gz OLFHMNNLXAZXCZ-JONQDZQNSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001315947083 1074109226 /nfs/dbraw/zinc/10/92/26/1074109226.db2.gz OLFHMNNLXAZXCZ-QKPAOTATSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001315947084 1074109257 /nfs/dbraw/zinc/10/92/57/1074109257.db2.gz OLFHMNNLXAZXCZ-QXSJWSMHSA-N 0 0 430.527 -0.428 20 0 IBADRN COC[C@H]1CN(c2nnc(Cc3ccccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001315947344 1074109280 /nfs/dbraw/zinc/10/92/80/1074109280.db2.gz DDFLQNMIGFRXOR-APWZRJJASA-N 0 0 437.522 -0.335 20 0 IBADRN COC[C@@H]1CN(c2nnc(Cc3ccccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001315947351 1074109089 /nfs/dbraw/zinc/10/90/89/1074109089.db2.gz DDFLQNMIGFRXOR-LPHOPBHVSA-N 0 0 437.522 -0.335 20 0 IBADRN COC[C@@H]1CN(c2nnc(Cc3ccccn3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001315947353 1074109118 /nfs/dbraw/zinc/10/91/18/1074109118.db2.gz DDFLQNMIGFRXOR-QFBILLFUSA-N 0 0 437.522 -0.335 20 0 IBADRN COC[C@H]1CN(c2nnc(Cc3ccccn3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001315947355 1074109246 /nfs/dbraw/zinc/10/92/46/1074109246.db2.gz DDFLQNMIGFRXOR-VQIMIIECSA-N 0 0 437.522 -0.335 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(OC)CCOC2)CCO1 ZINC001315947477 1074109160 /nfs/dbraw/zinc/10/91/60/1074109160.db2.gz PWUWIIXTPJSEGB-MPGHIAIKSA-N 0 0 430.527 -0.163 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(OC)CCOC2)CCO1 ZINC001315947478 1074109271 /nfs/dbraw/zinc/10/92/71/1074109271.db2.gz PWUWIIXTPJSEGB-RLFYNMQTSA-N 0 0 430.527 -0.163 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(OC)CCOC2)CCO1 ZINC001315947479 1074109200 /nfs/dbraw/zinc/10/92/00/1074109200.db2.gz PWUWIIXTPJSEGB-RVKKMQEKSA-N 0 0 430.527 -0.163 20 0 IBADRN COC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(OC)CCOC2)CCO1 ZINC001315947480 1074109069 /nfs/dbraw/zinc/10/90/69/1074109069.db2.gz PWUWIIXTPJSEGB-VKJFTORMSA-N 0 0 430.527 -0.163 20 0 IBADRN COC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccn[nH]2)C[C@@H](C)O1 ZINC001315953070 1074109190 /nfs/dbraw/zinc/10/91/90/1074109190.db2.gz KYSCYVGBPQGJHB-CABCVRRESA-N 0 0 446.512 -0.206 20 0 IBADRN COC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccn[nH]2)C[C@H](C)O1 ZINC001315953071 1074109183 /nfs/dbraw/zinc/10/91/83/1074109183.db2.gz KYSCYVGBPQGJHB-GJZGRUSLSA-N 0 0 446.512 -0.206 20 0 IBADRN COC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccn[nH]2)C[C@@H](C)O1 ZINC001315953072 1074109239 /nfs/dbraw/zinc/10/92/39/1074109239.db2.gz KYSCYVGBPQGJHB-HUUCEWRRSA-N 0 0 446.512 -0.206 20 0 IBADRN COC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccn[nH]2)C[C@H](C)O1 ZINC001315953073 1074109169 /nfs/dbraw/zinc/10/91/69/1074109169.db2.gz KYSCYVGBPQGJHB-LSDHHAIUSA-N 0 0 446.512 -0.206 20 0 IBADRN COC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)CCO1 ZINC001315953994 1074109099 /nfs/dbraw/zinc/10/90/99/1074109099.db2.gz NBAJBYFGGMJTBZ-HNNXBMFYSA-N 0 0 427.531 -0.459 20 0 IBADRN COC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2CCNS(C)(=O)=O)CCO1 ZINC001315953995 1074109152 /nfs/dbraw/zinc/10/91/52/1074109152.db2.gz NBAJBYFGGMJTBZ-OAHLLOKOSA-N 0 0 427.531 -0.459 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001315962752 1074109600 /nfs/dbraw/zinc/10/96/00/1074109600.db2.gz BWZUZQZAZFUEPU-ACJLOTCBSA-N 0 0 444.558 -0.513 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001315962753 1074109572 /nfs/dbraw/zinc/10/95/72/1074109572.db2.gz BWZUZQZAZFUEPU-FZKQIMNGSA-N 0 0 444.558 -0.513 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001315962754 1074109588 /nfs/dbraw/zinc/10/95/88/1074109588.db2.gz BWZUZQZAZFUEPU-SCLBCKFNSA-N 0 0 444.558 -0.513 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001315962755 1074109605 /nfs/dbraw/zinc/10/96/05/1074109605.db2.gz BWZUZQZAZFUEPU-UGSOOPFHSA-N 0 0 444.558 -0.513 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001315964589 1074109604 /nfs/dbraw/zinc/10/96/04/1074109604.db2.gz XOFWXXXLQKGASN-KBXCAEBGSA-N 0 0 429.543 -0.075 20 0 IBADRN COCC[C@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001315964594 1074109574 /nfs/dbraw/zinc/10/95/74/1074109574.db2.gz XOFWXXXLQKGASN-KDOFPFPSSA-N 0 0 429.543 -0.075 20 0 IBADRN COCC[C@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001315964596 1074109580 /nfs/dbraw/zinc/10/95/80/1074109580.db2.gz XOFWXXXLQKGASN-KSSFIOAISA-N 0 0 429.543 -0.075 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001315964598 1074109579 /nfs/dbraw/zinc/10/95/79/1074109579.db2.gz XOFWXXXLQKGASN-RDTXWAMCSA-N 0 0 429.543 -0.075 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001315966421 1074109583 /nfs/dbraw/zinc/10/95/83/1074109583.db2.gz RMQIUIGGCVKUNV-AHXJXLJNSA-N 0 0 444.558 -0.609 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001315966422 1074109627 /nfs/dbraw/zinc/10/96/27/1074109627.db2.gz RMQIUIGGCVKUNV-FLTUCWPJSA-N 0 0 444.558 -0.609 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001315966423 1074109586 /nfs/dbraw/zinc/10/95/86/1074109586.db2.gz RMQIUIGGCVKUNV-LWGWVAHUSA-N 0 0 444.558 -0.609 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001315966424 1074109616 /nfs/dbraw/zinc/10/96/16/1074109616.db2.gz RMQIUIGGCVKUNV-NUXNZHGMSA-N 0 0 444.558 -0.609 20 0 IBADRN COCC[C@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001315967213 1074109578 /nfs/dbraw/zinc/10/95/78/1074109578.db2.gz VIIHMGUXRPSTOO-BEFAXECRSA-N 0 0 426.518 -0.455 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001315967214 1074109620 /nfs/dbraw/zinc/10/96/20/1074109620.db2.gz VIIHMGUXRPSTOO-DNVCBOLYSA-N 0 0 426.518 -0.455 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001315967215 1074109591 /nfs/dbraw/zinc/10/95/91/1074109591.db2.gz VIIHMGUXRPSTOO-HNAYVOBHSA-N 0 0 426.518 -0.455 20 0 IBADRN COCC[C@](C)(O)Cn1c(C(=O)NC(C)C)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001315967216 1074109609 /nfs/dbraw/zinc/10/96/09/1074109609.db2.gz VIIHMGUXRPSTOO-KXBFYZLASA-N 0 0 426.518 -0.455 20 0 IBADRN COCC[C@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)COC)CC1 ZINC001315968111 1074109597 /nfs/dbraw/zinc/10/95/97/1074109597.db2.gz ZRDLOBWFNCYHBF-FQEVSTJZSA-N 0 0 438.529 -0.403 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)COC)CC1 ZINC001315968120 1074109593 /nfs/dbraw/zinc/10/95/93/1074109593.db2.gz ZRDLOBWFNCYHBF-HXUWFJFHSA-N 0 0 438.529 -0.403 20 0 IBADRN COCC[C@](C)(O)Cn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001315968121 1074109581 /nfs/dbraw/zinc/10/95/81/1074109581.db2.gz NHVKSSQARUZPRI-NRFANRHFSA-N 0 0 445.524 -0.012 20 0 IBADRN COCC[C@@](C)(O)Cn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001315968127 1074109612 /nfs/dbraw/zinc/10/96/12/1074109612.db2.gz NHVKSSQARUZPRI-OAQYLSRUSA-N 0 0 445.524 -0.012 20 0 IBADRN COCC[C@@](C)(O)Cn1c(-c2cnn(C)c2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001315969063 1074110208 /nfs/dbraw/zinc/11/02/08/1074110208.db2.gz SZLCXCNUBSXLGA-GOSISDBHSA-N 0 0 441.558 -0.016 20 0 IBADRN COCC[C@](C)(O)Cn1c(-c2cnn(C)c2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001315969064 1074110148 /nfs/dbraw/zinc/11/01/48/1074110148.db2.gz SZLCXCNUBSXLGA-SFHVURJKSA-N 0 0 441.558 -0.016 20 0 IBADRN COCC[C@](C)(O)Cn1c(C2CC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001315972029 1074110189 /nfs/dbraw/zinc/11/01/89/1074110189.db2.gz YOAHMVHNLPLWHB-NRFANRHFSA-N 0 0 436.557 -0.076 20 0 IBADRN COCC[C@@](C)(O)Cn1c(C2CC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001315972030 1074110230 /nfs/dbraw/zinc/11/02/30/1074110230.db2.gz YOAHMVHNLPLWHB-OAQYLSRUSA-N 0 0 436.557 -0.076 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001315972667 1074110120 /nfs/dbraw/zinc/11/01/20/1074110120.db2.gz JCDARRRVFHIUGL-PBHICJAKSA-N 0 0 449.599 -0.048 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001315972668 1074110183 /nfs/dbraw/zinc/11/01/83/1074110183.db2.gz JCDARRRVFHIUGL-RHSMWYFYSA-N 0 0 449.599 -0.048 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001315972669 1074110166 /nfs/dbraw/zinc/11/01/66/1074110166.db2.gz JCDARRRVFHIUGL-WMLDXEAASA-N 0 0 449.599 -0.048 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001315972670 1074110236 /nfs/dbraw/zinc/11/02/36/1074110236.db2.gz JCDARRRVFHIUGL-YOEHRIQHSA-N 0 0 449.599 -0.048 20 0 IBADRN COCC[C@@](C)(O)Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001315973209 1074110132 /nfs/dbraw/zinc/11/01/32/1074110132.db2.gz CVZZXVFOCYWXEN-GOSISDBHSA-N 0 0 444.558 -0.730 20 0 IBADRN COCC[C@](C)(O)Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001315973210 1074110071 /nfs/dbraw/zinc/11/00/71/1074110071.db2.gz CVZZXVFOCYWXEN-SFHVURJKSA-N 0 0 444.558 -0.730 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001315975643 1074110220 /nfs/dbraw/zinc/11/02/20/1074110220.db2.gz WMXWSTIAMWOFDZ-AUUYWEPGSA-N 0 0 441.554 -0.221 20 0 IBADRN COCC[C@@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001315975644 1074110213 /nfs/dbraw/zinc/11/02/13/1074110213.db2.gz WMXWSTIAMWOFDZ-IFXJQAMLSA-N 0 0 441.554 -0.221 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001315975880 1074110202 /nfs/dbraw/zinc/11/02/02/1074110202.db2.gz WMXWSTIAMWOFDZ-KUHUBIRLSA-N 0 0 441.554 -0.221 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001315975883 1074110140 /nfs/dbraw/zinc/11/01/40/1074110140.db2.gz WMXWSTIAMWOFDZ-LIRRHRJNSA-N 0 0 441.554 -0.221 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](C)C1 ZINC001315976617 1074110095 /nfs/dbraw/zinc/11/00/95/1074110095.db2.gz YXCNBZMGILNUDF-DAYGRLMNSA-N 0 0 447.558 -0.376 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](C)C1 ZINC001315976619 1074110224 /nfs/dbraw/zinc/11/02/24/1074110224.db2.gz YXCNBZMGILNUDF-MPGHIAIKSA-N 0 0 447.558 -0.376 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](C)C1 ZINC001315976621 1074110113 /nfs/dbraw/zinc/11/01/13/1074110113.db2.gz YXCNBZMGILNUDF-RKVPGOIHSA-N 0 0 447.558 -0.376 20 0 IBADRN COCC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](C)C1 ZINC001315976622 1074110161 /nfs/dbraw/zinc/11/01/61/1074110161.db2.gz YXCNBZMGILNUDF-VKJFTORMSA-N 0 0 447.558 -0.376 20 0 IBADRN COCC[C@@](C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001315976884 1074110174 /nfs/dbraw/zinc/11/01/74/1074110174.db2.gz WJTSKATXWGTLTC-HRAATJIYSA-N 0 0 443.508 -0.802 20 0 IBADRN COCC[C@](C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001315976895 1074110195 /nfs/dbraw/zinc/11/01/95/1074110195.db2.gz WJTSKATXWGTLTC-IERDGZPVSA-N 0 0 443.508 -0.802 20 0 IBADRN COCC[C@@](C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001315976898 1074110666 /nfs/dbraw/zinc/11/06/66/1074110666.db2.gz WJTSKATXWGTLTC-IIBYNOLFSA-N 0 0 443.508 -0.802 20 0 IBADRN COCC[C@](C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001315976901 1074110593 /nfs/dbraw/zinc/11/05/93/1074110593.db2.gz WJTSKATXWGTLTC-KKSFZXQISA-N 0 0 443.508 -0.802 20 0 IBADRN COCCCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001316007594 1074110713 /nfs/dbraw/zinc/11/07/13/1074110713.db2.gz YISGOUAROQQNJU-INIZCTEOSA-N 0 0 447.540 -0.027 20 0 IBADRN COCCCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001316007598 1074110675 /nfs/dbraw/zinc/11/06/75/1074110675.db2.gz YISGOUAROQQNJU-MRXNPFEDSA-N 0 0 447.540 -0.027 20 0 IBADRN COCCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001316013183 1074110690 /nfs/dbraw/zinc/11/06/90/1074110690.db2.gz AGYXTRUCDVXCKZ-KBPBESRZSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001316013184 1074110695 /nfs/dbraw/zinc/11/06/95/1074110695.db2.gz AGYXTRUCDVXCKZ-KGLIPLIRSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001316013185 1074110642 /nfs/dbraw/zinc/11/06/42/1074110642.db2.gz AGYXTRUCDVXCKZ-UONOGXRCSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001316013186 1074110607 /nfs/dbraw/zinc/11/06/07/1074110607.db2.gz AGYXTRUCDVXCKZ-ZIAGYGMSSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCCCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001316019952 1074110560 /nfs/dbraw/zinc/11/05/60/1074110560.db2.gz LUINUGVPSIWEJI-JYJNAYRXSA-N 0 0 436.513 -0.424 20 0 IBADRN COCCCCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001316019953 1074110635 /nfs/dbraw/zinc/11/06/35/1074110635.db2.gz LUINUGVPSIWEJI-XHSDSOJGSA-N 0 0 436.513 -0.424 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001316024498 1074110573 /nfs/dbraw/zinc/11/05/73/1074110573.db2.gz HRKYAWFVVSSTHC-BBRMVZONSA-N 0 0 436.556 -0.798 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001316024513 1074110599 /nfs/dbraw/zinc/11/05/99/1074110599.db2.gz HRKYAWFVVSSTHC-CJNGLKHVSA-N 0 0 436.556 -0.798 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001316024515 1074110567 /nfs/dbraw/zinc/11/05/67/1074110567.db2.gz HRKYAWFVVSSTHC-CZUORRHYSA-N 0 0 436.556 -0.798 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001316024517 1074110579 /nfs/dbraw/zinc/11/05/79/1074110579.db2.gz HRKYAWFVVSSTHC-XJKSGUPXSA-N 0 0 436.556 -0.798 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001316027305 1074110552 /nfs/dbraw/zinc/11/05/52/1074110552.db2.gz VNHIWYIWBOIPCX-CABCVRRESA-N 0 0 449.599 -0.066 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001316027306 1074110585 /nfs/dbraw/zinc/11/05/85/1074110585.db2.gz VNHIWYIWBOIPCX-GJZGRUSLSA-N 0 0 449.599 -0.066 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001316027307 1074111175 /nfs/dbraw/zinc/11/11/75/1074111175.db2.gz VNHIWYIWBOIPCX-HUUCEWRRSA-N 0 0 449.599 -0.066 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001316027308 1074111262 /nfs/dbraw/zinc/11/12/62/1074111262.db2.gz VNHIWYIWBOIPCX-LSDHHAIUSA-N 0 0 449.599 -0.066 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1 ZINC001316027328 1074111165 /nfs/dbraw/zinc/11/11/65/1074111165.db2.gz WGUVWWFVXXJSEA-CABCVRRESA-N 0 0 433.531 -0.501 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1 ZINC001316027329 1074111234 /nfs/dbraw/zinc/11/12/34/1074111234.db2.gz WGUVWWFVXXJSEA-HUUCEWRRSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1([S@@](C)=O)CC1 ZINC001316027330 1074111325 /nfs/dbraw/zinc/11/13/25/1074111325.db2.gz WIFMDOYGADTBFN-GMYLUUGSSA-N 0 0 449.599 -0.005 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1([S@@](C)=O)CC1 ZINC001316027331 1074111345 /nfs/dbraw/zinc/11/13/45/1074111345.db2.gz WIFMDOYGADTBFN-HJFSHJIFSA-N 0 0 449.599 -0.005 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1([S@](C)=O)CC1 ZINC001316027332 1074111269 /nfs/dbraw/zinc/11/12/69/1074111269.db2.gz WIFMDOYGADTBFN-POXGOYDTSA-N 0 0 449.599 -0.005 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1([S@](C)=O)CC1 ZINC001316027333 1074111228 /nfs/dbraw/zinc/11/12/28/1074111228.db2.gz WIFMDOYGADTBFN-SUMNFNSASA-N 0 0 449.599 -0.005 20 0 IBADRN COCCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1 ZINC001316027335 1074111320 /nfs/dbraw/zinc/11/13/20/1074111320.db2.gz WNHABVFHJFGYTC-HNNXBMFYSA-N 0 0 427.531 -0.135 20 0 IBADRN COCCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1 ZINC001316027336 1074111208 /nfs/dbraw/zinc/11/12/08/1074111208.db2.gz WNHABVFHJFGYTC-OAHLLOKOSA-N 0 0 427.531 -0.135 20 0 IBADRN COCCCn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001316032931 1074111845 /nfs/dbraw/zinc/11/18/45/1074111845.db2.gz RBTKAZGYTMDGQL-UHFFFAOYSA-N 0 0 449.556 -0.469 20 0 IBADRN COCCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001316034449 1074111936 /nfs/dbraw/zinc/11/19/36/1074111936.db2.gz ZQMHMIWGNKKHFS-CYBMUJFWSA-N 0 0 428.453 -0.891 20 0 IBADRN COCCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001316034457 1074112009 /nfs/dbraw/zinc/11/20/09/1074112009.db2.gz ZQMHMIWGNKKHFS-ZDUSSCGKSA-N 0 0 428.453 -0.891 20 0 IBADRN COCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001316034560 1074112043 /nfs/dbraw/zinc/11/20/43/1074112043.db2.gz KSOLDGDLZHKMOI-GXTWGEPZSA-N 0 0 429.547 -0.035 20 0 IBADRN COCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001316034565 1074112036 /nfs/dbraw/zinc/11/20/36/1074112036.db2.gz KSOLDGDLZHKMOI-JSGCOSHPSA-N 0 0 429.547 -0.035 20 0 IBADRN COCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001316034567 1074111980 /nfs/dbraw/zinc/11/19/80/1074111980.db2.gz KSOLDGDLZHKMOI-OCCSQVGLSA-N 0 0 429.547 -0.035 20 0 IBADRN COCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001316034568 1074112141 /nfs/dbraw/zinc/11/21/41/1074112141.db2.gz KSOLDGDLZHKMOI-TZMCWYRMSA-N 0 0 429.547 -0.035 20 0 IBADRN COCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001316035172 1074112012 /nfs/dbraw/zinc/11/20/12/1074112012.db2.gz OGJHQBZSQAEFKB-AWEZNQCLSA-N 0 0 426.547 -0.015 20 0 IBADRN COCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(c2cnn(C)c2)CC1 ZINC001316035173 1074112061 /nfs/dbraw/zinc/11/20/61/1074112061.db2.gz OGJHQBZSQAEFKB-CQSZACIVSA-N 0 0 426.547 -0.015 20 0 IBADRN COCCCN(c1nnc(C)n1CCC[C@@H]1NC(=O)NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC001316036291 1074112071 /nfs/dbraw/zinc/11/20/71/1074112071.db2.gz UNMGRSYQYVWGDI-KBPBESRZSA-N 0 0 428.515 -0.395 20 0 IBADRN COCCCN(c1nnc(C)n1CCC[C@@H]1NC(=O)NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001316036296 1074112052 /nfs/dbraw/zinc/11/20/52/1074112052.db2.gz UNMGRSYQYVWGDI-KGLIPLIRSA-N 0 0 428.515 -0.395 20 0 IBADRN COCCCN(c1nnc([C@@H]2CCOC2)n1C[C@@]1(O)CCOC1)[C@H]1CCS(=O)(=O)C1 ZINC001316037953 1074112134 /nfs/dbraw/zinc/11/21/34/1074112134.db2.gz VUAJKNMUFACZCQ-GJYPPUQNSA-N 0 0 444.554 -0.037 20 0 IBADRN COCCCN(c1nnc([C@@H]2CCOC2)n1C[C@]1(O)CCOC1)[C@@H]1CCS(=O)(=O)C1 ZINC001316037954 1074112002 /nfs/dbraw/zinc/11/20/02/1074112002.db2.gz VUAJKNMUFACZCQ-GPMSIDNRSA-N 0 0 444.554 -0.037 20 0 IBADRN COCCCN(c1nnc([C@@H]2CCOC2)n1C[C@]1(O)CCOC1)[C@H]1CCS(=O)(=O)C1 ZINC001316037955 1074111874 /nfs/dbraw/zinc/11/18/74/1074111874.db2.gz VUAJKNMUFACZCQ-JTDSTZFVSA-N 0 0 444.554 -0.037 20 0 IBADRN COCCCN(c1nnc([C@@H]2CCOC2)n1C[C@@]1(O)CCOC1)[C@@H]1CCS(=O)(=O)C1 ZINC001316037956 1074112077 /nfs/dbraw/zinc/11/20/77/1074112077.db2.gz VUAJKNMUFACZCQ-MDZRGWNJSA-N 0 0 444.554 -0.037 20 0 IBADRN COCCCN(c1nnc([C@@H]2CCC(=O)N2)n1CC1(O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001316038327 1074111956 /nfs/dbraw/zinc/11/19/56/1074111956.db2.gz YWFFOCRSZJLQJM-KBPBESRZSA-N 0 0 427.527 -0.216 20 0 IBADRN COCCCN(c1nnc([C@@H]2CCC(=O)N2)n1CC1(O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001316038328 1074111823 /nfs/dbraw/zinc/11/18/23/1074111823.db2.gz YWFFOCRSZJLQJM-KGLIPLIRSA-N 0 0 427.527 -0.216 20 0 IBADRN COCCCN(c1nnc([C@H]2CCC(=O)N2)n1CC1(O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001316038329 1074111910 /nfs/dbraw/zinc/11/19/10/1074111910.db2.gz YWFFOCRSZJLQJM-UONOGXRCSA-N 0 0 427.527 -0.216 20 0 IBADRN COCCCN(c1nnc([C@H]2CCC(=O)N2)n1CC1(O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001316038330 1074112025 /nfs/dbraw/zinc/11/20/25/1074112025.db2.gz YWFFOCRSZJLQJM-ZIAGYGMSSA-N 0 0 427.527 -0.216 20 0 IBADRN COCCCn1c(-c2ccccn2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001316039039 1074112440 /nfs/dbraw/zinc/11/24/40/1074112440.db2.gz ZYZBLSQGXHGHMV-UHFFFAOYSA-N 0 0 443.508 -0.116 20 0 IBADRN COCCCn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001316041368 1074112552 /nfs/dbraw/zinc/11/25/52/1074112552.db2.gz CTVUCWJIUUZRCB-UHFFFAOYSA-N 0 0 432.529 -0.304 20 0 IBADRN COCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001316041406 1074112456 /nfs/dbraw/zinc/11/24/56/1074112456.db2.gz UPSWFJOYAYLQHL-KBPBESRZSA-N 0 0 430.531 -0.686 20 0 IBADRN COCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001316041407 1074112471 /nfs/dbraw/zinc/11/24/71/1074112471.db2.gz UPSWFJOYAYLQHL-KGLIPLIRSA-N 0 0 430.531 -0.686 20 0 IBADRN COCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001316041408 1074112464 /nfs/dbraw/zinc/11/24/64/1074112464.db2.gz UPSWFJOYAYLQHL-UONOGXRCSA-N 0 0 430.531 -0.686 20 0 IBADRN COCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001316041409 1074112391 /nfs/dbraw/zinc/11/23/91/1074112391.db2.gz UPSWFJOYAYLQHL-ZIAGYGMSSA-N 0 0 430.531 -0.686 20 0 IBADRN COCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCS(C)(=O)=O ZINC001316041476 1074112432 /nfs/dbraw/zinc/11/24/32/1074112432.db2.gz WHEXTGQWFJMLBB-CYBMUJFWSA-N 0 0 439.560 -0.872 20 0 IBADRN COCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCS(C)(=O)=O ZINC001316041477 1074112409 /nfs/dbraw/zinc/11/24/09/1074112409.db2.gz WHEXTGQWFJMLBB-ZDUSSCGKSA-N 0 0 439.560 -0.872 20 0 IBADRN COCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001316042009 1074112477 /nfs/dbraw/zinc/11/24/77/1074112477.db2.gz SSQNCWWQPOVQNB-HNNXBMFYSA-N 0 0 449.599 -0.018 20 0 IBADRN COCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001316042010 1074112489 /nfs/dbraw/zinc/11/24/89/1074112489.db2.gz SSQNCWWQPOVQNB-OAHLLOKOSA-N 0 0 449.599 -0.018 20 0 IBADRN COCCCNC(=O)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCn2cncn2)CC1 ZINC001316049577 1074112448 /nfs/dbraw/zinc/11/24/48/1074112448.db2.gz SOZCSCKAEWIVAK-INIZCTEOSA-N 0 0 445.528 -0.110 20 0 IBADRN COCCN(C)c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001316049579 1074112415 /nfs/dbraw/zinc/11/24/15/1074112415.db2.gz JBGHOLVQWZNTHF-UHFFFAOYSA-N 0 0 446.599 -0.025 20 0 IBADRN COCCCNC(=O)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCn2cncn2)CC1 ZINC001316049584 1074112499 /nfs/dbraw/zinc/11/24/99/1074112499.db2.gz SOZCSCKAEWIVAK-MRXNPFEDSA-N 0 0 445.528 -0.110 20 0 IBADRN COCCN(C)c1nnc([C@]2(OC(C)C)CCOC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001316054798 1074112538 /nfs/dbraw/zinc/11/25/38/1074112538.db2.gz IQMFDJKWGUHVCG-MSOLQXFVSA-N 0 0 432.543 -0.049 20 0 IBADRN COCCN(C)c1nnc([C@@]2(OC(C)C)CCOC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001316054802 1074112376 /nfs/dbraw/zinc/11/23/76/1074112376.db2.gz IQMFDJKWGUHVCG-QZTJIDSGSA-N 0 0 432.543 -0.049 20 0 IBADRN COCCN(C)c1nnc([C@]2(OC(C)C)CCOC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001316054804 1074112505 /nfs/dbraw/zinc/11/25/05/1074112505.db2.gz IQMFDJKWGUHVCG-ROUUACIJSA-N 0 0 432.543 -0.049 20 0 IBADRN COCCN(C)c1nnc([C@@]2(OC(C)C)CCOC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001316054806 1074112548 /nfs/dbraw/zinc/11/25/48/1074112548.db2.gz IQMFDJKWGUHVCG-ZWKOTPCHSA-N 0 0 432.543 -0.049 20 0 IBADRN COCCN(C)c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CCNS(C)(=O)=O ZINC001316059871 1074112509 /nfs/dbraw/zinc/11/25/09/1074112509.db2.gz HLCVPDQANYWXAX-UHFFFAOYSA-N 0 0 435.510 -0.176 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@@H]1COCCO1 ZINC001316062087 1074112523 /nfs/dbraw/zinc/11/25/23/1074112523.db2.gz HFYCHELVJGEEBT-CABCVRRESA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@H]1COCCO1 ZINC001316062089 1074112559 /nfs/dbraw/zinc/11/25/59/1074112559.db2.gz HFYCHELVJGEEBT-GJZGRUSLSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@@H]1COCCO1 ZINC001316062091 1074113096 /nfs/dbraw/zinc/11/30/96/1074113096.db2.gz HFYCHELVJGEEBT-HUUCEWRRSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@H]1COCCO1 ZINC001316062094 1074113101 /nfs/dbraw/zinc/11/31/01/1074113101.db2.gz HFYCHELVJGEEBT-LSDHHAIUSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001316062899 1074113058 /nfs/dbraw/zinc/11/30/58/1074113058.db2.gz ODQGJOXUBKDVHW-KBPBESRZSA-N 0 0 435.572 -0.456 20 0 IBADRN COCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001316062908 1074113031 /nfs/dbraw/zinc/11/30/31/1074113031.db2.gz ODQGJOXUBKDVHW-KGLIPLIRSA-N 0 0 435.572 -0.456 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001316062909 1074113055 /nfs/dbraw/zinc/11/30/55/1074113055.db2.gz ODQGJOXUBKDVHW-UONOGXRCSA-N 0 0 435.572 -0.456 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001316062910 1074113026 /nfs/dbraw/zinc/11/30/26/1074113026.db2.gz ODQGJOXUBKDVHW-ZIAGYGMSSA-N 0 0 435.572 -0.456 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCc1ccn(C)n1 ZINC001316063914 1074113060 /nfs/dbraw/zinc/11/30/60/1074113060.db2.gz UZMAZLSRXBBYKQ-HNNXBMFYSA-N 0 0 427.531 -0.330 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCc1ccn(C)n1 ZINC001316063915 1074113071 /nfs/dbraw/zinc/11/30/71/1074113071.db2.gz UZMAZLSRXBBYKQ-OAHLLOKOSA-N 0 0 427.531 -0.330 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(OC)CCOC1 ZINC001316064466 1074113088 /nfs/dbraw/zinc/11/30/88/1074113088.db2.gz YJTOOXUEDLKDKR-PBHICJAKSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(OC)CCOC1 ZINC001316064467 1074113093 /nfs/dbraw/zinc/11/30/93/1074113093.db2.gz YJTOOXUEDLKDKR-RHSMWYFYSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(OC)CCOC1 ZINC001316064468 1074113109 /nfs/dbraw/zinc/11/31/09/1074113109.db2.gz YJTOOXUEDLKDKR-WMLDXEAASA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(OC)CCOC1 ZINC001316064469 1074113068 /nfs/dbraw/zinc/11/30/68/1074113068.db2.gz YJTOOXUEDLKDKR-YOEHRIQHSA-N 0 0 433.531 -0.501 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001316071144 1074113425 /nfs/dbraw/zinc/11/34/25/1074113425.db2.gz IOBBZOFHINKVFB-BEFAXECRSA-N 0 0 440.570 -0.525 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001316071146 1074113542 /nfs/dbraw/zinc/11/35/42/1074113542.db2.gz IOBBZOFHINKVFB-DNVCBOLYSA-N 0 0 440.570 -0.525 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001316071148 1074113449 /nfs/dbraw/zinc/11/34/49/1074113449.db2.gz IOBBZOFHINKVFB-HNAYVOBHSA-N 0 0 440.570 -0.525 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001316071150 1074113664 /nfs/dbraw/zinc/11/36/64/1074113664.db2.gz IOBBZOFHINKVFB-KXBFYZLASA-N 0 0 440.570 -0.525 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(NC(C)=O)CC1 ZINC001316071227 1074114197 /nfs/dbraw/zinc/11/41/97/1074114197.db2.gz JHLULRQWOGOTSX-INIZCTEOSA-N 0 0 442.586 -0.137 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(NC(C)=O)CC1 ZINC001316071229 1074114145 /nfs/dbraw/zinc/11/41/45/1074114145.db2.gz JHLULRQWOGOTSX-MRXNPFEDSA-N 0 0 442.586 -0.137 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(N)=O)CC1 ZINC001316071578 1074114180 /nfs/dbraw/zinc/11/41/80/1074114180.db2.gz LYVFBZCKDRCEGJ-HNNXBMFYSA-N 0 0 428.559 -0.540 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(C(N)=O)CC1 ZINC001316071579 1074114189 /nfs/dbraw/zinc/11/41/89/1074114189.db2.gz LYVFBZCKDRCEGJ-OAHLLOKOSA-N 0 0 428.559 -0.540 20 0 IBADRN COCCN(C)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001316071873 1074114262 /nfs/dbraw/zinc/11/42/62/1074114262.db2.gz NRZRWCJYHRWKJV-HRCADAONSA-N 0 0 431.559 -0.392 20 0 IBADRN COCCN(C)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](OC)[C@@H](OC)C1 ZINC001316071874 1074114237 /nfs/dbraw/zinc/11/42/37/1074114237.db2.gz NRZRWCJYHRWKJV-OAGGEKHMSA-N 0 0 431.559 -0.392 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc(C)n2CCCS(C)(=O)=O)C1 ZINC001316072372 1074114233 /nfs/dbraw/zinc/11/42/33/1074114233.db2.gz IGOAHMXUPIGLFB-HNNXBMFYSA-N 0 0 437.588 -0.102 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc(C)n2CCCS(C)(=O)=O)C1 ZINC001316072373 1074114135 /nfs/dbraw/zinc/11/41/35/1074114135.db2.gz IGOAHMXUPIGLFB-OAHLLOKOSA-N 0 0 437.588 -0.102 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001316104174 1074114847 /nfs/dbraw/zinc/11/48/47/1074114847.db2.gz KMQJTHIDPSQUEI-GFCCVEGCSA-N 0 0 438.576 -0.605 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001316104175 1074114892 /nfs/dbraw/zinc/11/48/92/1074114892.db2.gz KMQJTHIDPSQUEI-LBPRGKRZSA-N 0 0 438.576 -0.605 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(N2CCCNC2=O)CC1 ZINC001316104424 1074114709 /nfs/dbraw/zinc/11/47/09/1074114709.db2.gz NEKTWGMSULPACK-CYBMUJFWSA-N 0 0 429.547 -0.081 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(N2CCCNC2=O)CC1 ZINC001316104425 1074114786 /nfs/dbraw/zinc/11/47/86/1074114786.db2.gz NEKTWGMSULPACK-ZDUSSCGKSA-N 0 0 429.547 -0.081 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001316104484 1074114770 /nfs/dbraw/zinc/11/47/70/1074114770.db2.gz QIXNMCDZKSGUNY-AWEZNQCLSA-N 0 0 431.563 -0.819 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001316104485 1074114888 /nfs/dbraw/zinc/11/48/88/1074114888.db2.gz QIXNMCDZKSGUNY-CQSZACIVSA-N 0 0 431.563 -0.819 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001316104713 1074114747 /nfs/dbraw/zinc/11/47/47/1074114747.db2.gz SMLPSWCPFWTMIH-AAEUAGOBSA-N 0 0 443.530 -0.699 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001316104714 1074114898 /nfs/dbraw/zinc/11/48/98/1074114898.db2.gz SMLPSWCPFWTMIH-DGCLKSJQSA-N 0 0 443.530 -0.699 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001316104715 1074114724 /nfs/dbraw/zinc/11/47/24/1074114724.db2.gz SMLPSWCPFWTMIH-WCQYABFASA-N 0 0 443.530 -0.699 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001316104716 1074114735 /nfs/dbraw/zinc/11/47/35/1074114735.db2.gz SMLPSWCPFWTMIH-YPMHNXCESA-N 0 0 443.530 -0.699 20 0 IBADRN COCCN(CCOC)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001316104947 1074114826 /nfs/dbraw/zinc/11/48/26/1074114826.db2.gz OFCQQMBGPPYIIS-CRAIPNDOSA-N 0 0 431.559 -0.654 20 0 IBADRN COCCN(CCOC)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001316104948 1074114876 /nfs/dbraw/zinc/11/48/76/1074114876.db2.gz OFCQQMBGPPYIIS-MAUKXSAKSA-N 0 0 431.559 -0.654 20 0 IBADRN COCCN(CCOC)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001316104949 1074114674 /nfs/dbraw/zinc/11/46/74/1074114674.db2.gz OFCQQMBGPPYIIS-QAPCUYQASA-N 0 0 431.559 -0.654 20 0 IBADRN COCCN(CCOC)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001316104950 1074114901 /nfs/dbraw/zinc/11/49/01/1074114901.db2.gz OFCQQMBGPPYIIS-YJBOKZPZSA-N 0 0 431.559 -0.654 20 0 IBADRN COCCN(CCOC)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001316105125 1074114868 /nfs/dbraw/zinc/11/48/68/1074114868.db2.gz UTDRNYCWECYWJM-KBXCAEBGSA-N 0 0 429.543 -0.242 20 0 IBADRN COCCN(CCOC)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001316105126 1074115234 /nfs/dbraw/zinc/11/52/34/1074115234.db2.gz UTDRNYCWECYWJM-KDOFPFPSSA-N 0 0 429.543 -0.242 20 0 IBADRN COCCN(CCOC)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001316105127 1074115229 /nfs/dbraw/zinc/11/52/29/1074115229.db2.gz UTDRNYCWECYWJM-KSSFIOAISA-N 0 0 429.543 -0.242 20 0 IBADRN COCCN(CCOC)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001316105128 1074115192 /nfs/dbraw/zinc/11/51/92/1074115192.db2.gz UTDRNYCWECYWJM-RDTXWAMCSA-N 0 0 429.543 -0.242 20 0 IBADRN COCCn1c(Cc2ccncc2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001316108387 1074115212 /nfs/dbraw/zinc/11/52/12/1074115212.db2.gz YKCOAJQJHRTCLT-UHFFFAOYSA-N 0 0 443.508 -0.582 20 0 IBADRN COCCn1c(Cc2cccnc2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001316108591 1074115220 /nfs/dbraw/zinc/11/52/20/1074115220.db2.gz NVGLVVOKYIROBR-UHFFFAOYSA-N 0 0 429.525 -0.109 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCN(C(C)=O)C1 ZINC001316109844 1074115188 /nfs/dbraw/zinc/11/51/88/1074115188.db2.gz JHGAWIISRXQSRK-CVEARBPZSA-N 0 0 444.558 -0.438 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCN(C(C)=O)C1 ZINC001316109845 1074115204 /nfs/dbraw/zinc/11/52/04/1074115204.db2.gz JHGAWIISRXQSRK-HOTGVXAUSA-N 0 0 444.558 -0.438 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCN(C(C)=O)C1 ZINC001316109846 1074115223 /nfs/dbraw/zinc/11/52/23/1074115223.db2.gz JHGAWIISRXQSRK-HZPDHXFCSA-N 0 0 444.558 -0.438 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCN(C(C)=O)C1 ZINC001316109847 1074115200 /nfs/dbraw/zinc/11/52/00/1074115200.db2.gz JHGAWIISRXQSRK-JKSUJKDBSA-N 0 0 444.558 -0.438 20 0 IBADRN COCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001316110201 1074115242 /nfs/dbraw/zinc/11/52/42/1074115242.db2.gz LGQOYSDGVNPXSA-CYBMUJFWSA-N 0 0 435.572 -0.313 20 0 IBADRN COCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001316110202 1074115238 /nfs/dbraw/zinc/11/52/38/1074115238.db2.gz LGQOYSDGVNPXSA-ZDUSSCGKSA-N 0 0 435.572 -0.313 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](CC(N)=O)C1 ZINC001316110248 1074115226 /nfs/dbraw/zinc/11/52/26/1074115226.db2.gz NPHKIPMRMMJGCE-KBPBESRZSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](CC(N)=O)C1 ZINC001316110249 1074115184 /nfs/dbraw/zinc/11/51/84/1074115184.db2.gz NPHKIPMRMMJGCE-KGLIPLIRSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@@H](CC(N)=O)C1 ZINC001316110250 1074115190 /nfs/dbraw/zinc/11/51/90/1074115190.db2.gz NPHKIPMRMMJGCE-UONOGXRCSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](CC(N)=O)C1 ZINC001316110251 1074115194 /nfs/dbraw/zinc/11/51/94/1074115194.db2.gz NPHKIPMRMMJGCE-ZIAGYGMSSA-N 0 0 430.531 -0.651 20 0 IBADRN COCCn1cc(Cn2c(C)nnc2N2CCN(C(=O)CN3CCOCC3)CC2)cn1 ZINC001316110297 1074115209 /nfs/dbraw/zinc/11/52/09/1074115209.db2.gz DPLZSICSLHZKGK-UHFFFAOYSA-N 0 0 432.529 -0.541 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](COC(C)C)C1 ZINC001316110621 1074115206 /nfs/dbraw/zinc/11/52/06/1074115206.db2.gz OLOVHKORFXHTGE-CVEARBPZSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](COC(C)C)C1 ZINC001316110623 1074115215 /nfs/dbraw/zinc/11/52/15/1074115215.db2.gz OLOVHKORFXHTGE-HOTGVXAUSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@@H](COC(C)C)C1 ZINC001316110624 1074115197 /nfs/dbraw/zinc/11/51/97/1074115197.db2.gz OLOVHKORFXHTGE-HZPDHXFCSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCO[C@H](COC(C)C)C1 ZINC001316110625 1074115245 /nfs/dbraw/zinc/11/52/45/1074115245.db2.gz OLOVHKORFXHTGE-JKSUJKDBSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001316110678 1074115729 /nfs/dbraw/zinc/11/57/29/1074115729.db2.gz PXDPTRJSXVFZJV-INIZCTEOSA-N 0 0 444.558 -0.296 20 0 IBADRN COCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001316110679 1074115646 /nfs/dbraw/zinc/11/56/46/1074115646.db2.gz PXDPTRJSXVFZJV-MRXNPFEDSA-N 0 0 444.558 -0.296 20 0 IBADRN COCCn1cc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)OC[C@@H]3C2)cn1 ZINC001316112250 1074115752 /nfs/dbraw/zinc/11/57/52/1074115752.db2.gz AVOWZCDLIWEIPT-HNNXBMFYSA-N 0 0 432.485 -0.052 20 0 IBADRN COCCn1cc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)OC[C@H]3C2)cn1 ZINC001316112251 1074115695 /nfs/dbraw/zinc/11/56/95/1074115695.db2.gz AVOWZCDLIWEIPT-OAHLLOKOSA-N 0 0 432.485 -0.052 20 0 IBADRN COCCn1cc(Cn2c(CC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)cn1 ZINC001316112815 1074115742 /nfs/dbraw/zinc/11/57/42/1074115742.db2.gz CIXYJIPDDCYJHQ-INIZCTEOSA-N 0 0 430.513 -0.485 20 0 IBADRN COCCn1cc(Cn2c(CC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)cn1 ZINC001316112816 1074115720 /nfs/dbraw/zinc/11/57/20/1074115720.db2.gz CIXYJIPDDCYJHQ-MRXNPFEDSA-N 0 0 430.513 -0.485 20 0 IBADRN COCCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001316112898 1074115711 /nfs/dbraw/zinc/11/57/11/1074115711.db2.gz XNNJCCVZNRSDPR-HOTGVXAUSA-N 0 0 438.529 -0.178 20 0 IBADRN COCCn1cc(Cn2c([C@@H]3CCC(=O)N3)nnc2N(C)CCN2CCOCC2)cn1 ZINC001316112915 1074115675 /nfs/dbraw/zinc/11/56/75/1074115675.db2.gz CPFHZEAJSNPYQQ-KRWDZBQOSA-N 0 0 432.529 -0.111 20 0 IBADRN COCCn1cc(Cn2c([C@H]3CCC(=O)N3)nnc2N(C)CCN2CCOCC2)cn1 ZINC001316112917 1074115735 /nfs/dbraw/zinc/11/57/35/1074115735.db2.gz CPFHZEAJSNPYQQ-QGZVFWFLSA-N 0 0 432.529 -0.111 20 0 IBADRN COCCn1cc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)cn1 ZINC001316113714 1074115701 /nfs/dbraw/zinc/11/57/01/1074115701.db2.gz YKJNGPYDSAVNJC-CABCVRRESA-N 0 0 437.526 -0.256 20 0 IBADRN COCCn1cc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CC[C@H](S(C)(=O)=O)C2)cn1 ZINC001316113715 1074115707 /nfs/dbraw/zinc/11/57/07/1074115707.db2.gz YKJNGPYDSAVNJC-GJZGRUSLSA-N 0 0 437.526 -0.256 20 0 IBADRN COCCn1cc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)cn1 ZINC001316113716 1074115757 /nfs/dbraw/zinc/11/57/57/1074115757.db2.gz YKJNGPYDSAVNJC-HUUCEWRRSA-N 0 0 437.526 -0.256 20 0 IBADRN COCCn1cc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CC[C@H](S(C)(=O)=O)C2)cn1 ZINC001316113717 1074115650 /nfs/dbraw/zinc/11/56/50/1074115650.db2.gz YKJNGPYDSAVNJC-LSDHHAIUSA-N 0 0 437.526 -0.256 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)[C@H]2CCOC2)CC1=O ZINC001316113866 1074115717 /nfs/dbraw/zinc/11/57/17/1074115717.db2.gz ASJLPTITHWXQIM-KFWWJZLASA-N 0 0 444.558 -0.392 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)[C@@H]2CCOC2)CC1=O ZINC001316113868 1074115688 /nfs/dbraw/zinc/11/56/88/1074115688.db2.gz ASJLPTITHWXQIM-RBSFLKMASA-N 0 0 444.558 -0.392 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)[C@@H]2CCOC2)CC1=O ZINC001316113869 1074115746 /nfs/dbraw/zinc/11/57/46/1074115746.db2.gz ASJLPTITHWXQIM-RRFJBIMHSA-N 0 0 444.558 -0.392 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)[C@H]2CCOC2)CC1=O ZINC001316113871 1074115627 /nfs/dbraw/zinc/11/56/27/1074115627.db2.gz ASJLPTITHWXQIM-ZNMIVQPWSA-N 0 0 444.558 -0.392 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H]3CCOC3)nnc2N2CCS(=O)(=O)CC2)CC1=O ZINC001316114820 1074115668 /nfs/dbraw/zinc/11/56/68/1074115668.db2.gz FKHMXEIQKICQTF-CABCVRRESA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@H]3CCOC3)nnc2N2CCS(=O)(=O)CC2)CC1=O ZINC001316114821 1074115659 /nfs/dbraw/zinc/11/56/59/1074115659.db2.gz FKHMXEIQKICQTF-GJZGRUSLSA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H]3CCOC3)nnc2N2CCS(=O)(=O)CC2)CC1=O ZINC001316114822 1074115725 /nfs/dbraw/zinc/11/57/25/1074115725.db2.gz FKHMXEIQKICQTF-HUUCEWRRSA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@@H]3CCOC3)nnc2N2CCS(=O)(=O)CC2)CC1=O ZINC001316114823 1074116166 /nfs/dbraw/zinc/11/61/66/1074116166.db2.gz FKHMXEIQKICQTF-LSDHHAIUSA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCSCC2)CC1=O ZINC001316115196 1074116217 /nfs/dbraw/zinc/11/62/17/1074116217.db2.gz HDKVLFJAWLZPPB-KBPBESRZSA-N 0 0 446.599 -0.064 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCSCC2)CC1=O ZINC001316115204 1074116138 /nfs/dbraw/zinc/11/61/38/1074116138.db2.gz HDKVLFJAWLZPPB-KGLIPLIRSA-N 0 0 446.599 -0.064 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCSCC2)CC1=O ZINC001316115207 1074116249 /nfs/dbraw/zinc/11/62/49/1074116249.db2.gz HDKVLFJAWLZPPB-UONOGXRCSA-N 0 0 446.599 -0.064 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCSCC2)CC1=O ZINC001316115210 1074116234 /nfs/dbraw/zinc/11/62/34/1074116234.db2.gz HDKVLFJAWLZPPB-ZIAGYGMSSA-N 0 0 446.599 -0.064 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(=O)OC)CC2)CC1=O ZINC001316115284 1074116223 /nfs/dbraw/zinc/11/62/23/1074116223.db2.gz GIEHVZLMPZZDAH-CABCVRRESA-N 0 0 449.512 -0.388 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(=O)OC)CC2)CC1=O ZINC001316115285 1074116146 /nfs/dbraw/zinc/11/61/46/1074116146.db2.gz GIEHVZLMPZZDAH-GJZGRUSLSA-N 0 0 449.512 -0.388 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(=O)OC)CC2)CC1=O ZINC001316115286 1074116219 /nfs/dbraw/zinc/11/62/19/1074116219.db2.gz GIEHVZLMPZZDAH-HUUCEWRRSA-N 0 0 449.512 -0.388 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(=O)OC)CC2)CC1=O ZINC001316115287 1074116159 /nfs/dbraw/zinc/11/61/59/1074116159.db2.gz GIEHVZLMPZZDAH-LSDHHAIUSA-N 0 0 449.512 -0.388 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)Nc3ccccn3)n2C[C@@H]2COCCO2)CC1=O ZINC001316116326 1074116206 /nfs/dbraw/zinc/11/62/06/1074116206.db2.gz OKPNSIIELNNZBJ-OAHLLOKOSA-N 0 0 445.480 -0.364 20 0 IBADRN COCCN1C[C@@H](Cn2c(C3CC3)nnc2N2CCN(CC(=O)N(C)C)CC2)CC1=O ZINC001316116469 1074116202 /nfs/dbraw/zinc/11/62/02/1074116202.db2.gz KMAFSHZEOSQFMU-INIZCTEOSA-N 0 0 433.557 -0.139 20 0 IBADRN COCCN1C[C@H](Cn2c(C3CC3)nnc2N2CCN(CC(=O)N(C)C)CC2)CC1=O ZINC001316116471 1074116129 /nfs/dbraw/zinc/11/61/29/1074116129.db2.gz KMAFSHZEOSQFMU-MRXNPFEDSA-N 0 0 433.557 -0.139 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CC1=O ZINC001316116474 1074116245 /nfs/dbraw/zinc/11/62/45/1074116245.db2.gz ARLKHFDSHTXBQK-AWEZNQCLSA-N 0 0 444.558 -0.512 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCCC2)CC1=O ZINC001316116475 1074116187 /nfs/dbraw/zinc/11/61/87/1074116187.db2.gz ARLKHFDSHTXBQK-CQSZACIVSA-N 0 0 444.558 -0.512 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccoc2)CC1=O ZINC001316116500 1074116240 /nfs/dbraw/zinc/11/62/40/1074116240.db2.gz BBKJJMMDVGWQRN-CYBMUJFWSA-N 0 0 426.499 -0.175 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccoc2)CC1=O ZINC001316116502 1074116195 /nfs/dbraw/zinc/11/61/95/1074116195.db2.gz BBKJJMMDVGWQRN-ZDUSSCGKSA-N 0 0 426.499 -0.175 20 0 IBADRN COCCN1CCN(c2nnc(C(C)(C)C)n2CC2(O)CCS(=O)(=O)CC2)CC1=O ZINC001316116672 1074116098 /nfs/dbraw/zinc/11/60/98/1074116098.db2.gz RXHQUHCSLAIQNH-UHFFFAOYSA-N 0 0 443.570 -0.190 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)N3CCCC3)n2CCCNS(C)(=O)=O)CC1 ZINC001316116783 1074116173 /nfs/dbraw/zinc/11/61/73/1074116173.db2.gz AKGSBNKXJIXFMD-UHFFFAOYSA-N 0 0 443.574 -0.778 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)N3CCCC3)nnc2N2CCC[C@H](C(N)=O)C2)CC1=O ZINC001316116996 1074116230 /nfs/dbraw/zinc/11/62/30/1074116230.db2.gz MCORKMBUBZTPKM-CVEARBPZSA-N 0 0 447.540 -0.289 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)N3CCCC3)nnc2N2CCC[C@H](C(N)=O)C2)CC1=O ZINC001316116998 1074116120 /nfs/dbraw/zinc/11/61/20/1074116120.db2.gz MCORKMBUBZTPKM-HOTGVXAUSA-N 0 0 447.540 -0.289 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)N3CCCC3)nnc2N2CCC[C@@H](C(N)=O)C2)CC1=O ZINC001316117001 1074116733 /nfs/dbraw/zinc/11/67/33/1074116733.db2.gz MCORKMBUBZTPKM-HZPDHXFCSA-N 0 0 447.540 -0.289 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)N3CCCC3)nnc2N2CCC[C@@H](C(N)=O)C2)CC1=O ZINC001316117003 1074116722 /nfs/dbraw/zinc/11/67/22/1074116722.db2.gz MCORKMBUBZTPKM-JKSUJKDBSA-N 0 0 447.540 -0.289 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCCN(C(C)=O)CC2)CC1=O ZINC001316117017 1074116669 /nfs/dbraw/zinc/11/66/69/1074116669.db2.gz MFGXPQXPFWLENV-KRWDZBQOSA-N 0 0 449.556 -0.030 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCCN(C(C)=O)CC2)CC1=O ZINC001316117019 1074116636 /nfs/dbraw/zinc/11/66/36/1074116636.db2.gz MFGXPQXPFWLENV-QGZVFWFLSA-N 0 0 449.556 -0.030 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001316117029 1074116632 /nfs/dbraw/zinc/11/66/32/1074116632.db2.gz CFEDFWOEGBPYPG-HNNXBMFYSA-N 0 0 428.559 -0.381 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001316117031 1074116741 /nfs/dbraw/zinc/11/67/41/1074116741.db2.gz CFEDFWOEGBPYPG-OAHLLOKOSA-N 0 0 428.559 -0.381 20 0 IBADRN COCCN1C[C@@H](Cn2c(-c3cnccn3)nnc2N2CCN(C)C(=O)[C@H]2C)CC1=O ZINC001316117343 1074116729 /nfs/dbraw/zinc/11/67/29/1074116729.db2.gz OSRXLXREMQDPHM-CABCVRRESA-N 0 0 428.497 -0.103 20 0 IBADRN COCCN1C[C@@H](Cn2c(-c3cnccn3)nnc2N2CCN(C)C(=O)[C@@H]2C)CC1=O ZINC001316117392 1074116674 /nfs/dbraw/zinc/11/66/74/1074116674.db2.gz OSRXLXREMQDPHM-GJZGRUSLSA-N 0 0 428.497 -0.103 20 0 IBADRN COCCN1C[C@H](Cn2c(-c3cnccn3)nnc2N2CCN(C)C(=O)[C@H]2C)CC1=O ZINC001316117393 1074116689 /nfs/dbraw/zinc/11/66/89/1074116689.db2.gz OSRXLXREMQDPHM-HUUCEWRRSA-N 0 0 428.497 -0.103 20 0 IBADRN COCCN1C[C@H](Cn2c(-c3cnccn3)nnc2N2CCN(C)C(=O)[C@@H]2C)CC1=O ZINC001316117394 1074116654 /nfs/dbraw/zinc/11/66/54/1074116654.db2.gz OSRXLXREMQDPHM-LSDHHAIUSA-N 0 0 428.497 -0.103 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)N3CCCC3)nnc2N2CCC(C(N)=O)CC2)CC1=O ZINC001316117936 1074116717 /nfs/dbraw/zinc/11/67/17/1074116717.db2.gz PACRLVJGDCFQNI-HNNXBMFYSA-N 0 0 447.540 -0.289 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)N3CCCC3)nnc2N2CCC(C(N)=O)CC2)CC1=O ZINC001316117938 1074116748 /nfs/dbraw/zinc/11/67/48/1074116748.db2.gz PACRLVJGDCFQNI-OAHLLOKOSA-N 0 0 447.540 -0.289 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001316118024 1074116644 /nfs/dbraw/zinc/11/66/44/1074116644.db2.gz GYHZNQHFJUEIOS-CABCVRRESA-N 0 0 432.547 -0.928 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001316118025 1074116696 /nfs/dbraw/zinc/11/66/96/1074116696.db2.gz GYHZNQHFJUEIOS-GJZGRUSLSA-N 0 0 432.547 -0.928 20 0 IBADRN COCCN1C[C@@H](Cn2c(C)nnc2N2CCC(CNS(C)(=O)=O)CC2)CC1=O ZINC001316118212 1074116660 /nfs/dbraw/zinc/11/66/60/1074116660.db2.gz PSIPERINQMIEDC-INIZCTEOSA-N 0 0 428.559 -0.153 20 0 IBADRN COCCN1C[C@H](Cn2c(C)nnc2N2CCC(CNS(C)(=O)=O)CC2)CC1=O ZINC001316118213 1074116682 /nfs/dbraw/zinc/11/66/82/1074116682.db2.gz PSIPERINQMIEDC-MRXNPFEDSA-N 0 0 428.559 -0.153 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN(C3CC3)C(=O)C2)CC1=O ZINC001316118341 1074116713 /nfs/dbraw/zinc/11/67/13/1074116713.db2.gz QKJZYBKIBRRVBY-HNNXBMFYSA-N 0 0 447.540 -0.278 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN(C3CC3)C(=O)C2)CC1=O ZINC001316118350 1074116706 /nfs/dbraw/zinc/11/67/06/1074116706.db2.gz QKJZYBKIBRRVBY-OAHLLOKOSA-N 0 0 447.540 -0.278 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccco2)CC1=O ZINC001316118497 1074116753 /nfs/dbraw/zinc/11/67/53/1074116753.db2.gz IPTDDZGOVWNCBC-CYBMUJFWSA-N 0 0 426.499 -0.175 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccco2)CC1=O ZINC001316118498 1074116622 /nfs/dbraw/zinc/11/66/22/1074116622.db2.gz IPTDDZGOVWNCBC-ZDUSSCGKSA-N 0 0 426.499 -0.175 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(OC)CCOC2)CC1 ZINC001316118598 1074117224 /nfs/dbraw/zinc/11/72/24/1074117224.db2.gz JNCQLSPZPSZAFO-CRAIPNDOSA-N 0 0 446.574 -0.538 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(OC)CCOC2)CC1 ZINC001316118608 1074117205 /nfs/dbraw/zinc/11/72/05/1074117205.db2.gz JNCQLSPZPSZAFO-MAUKXSAKSA-N 0 0 446.574 -0.538 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(OC)CCOC2)CC1 ZINC001316118613 1074117162 /nfs/dbraw/zinc/11/71/62/1074117162.db2.gz JNCQLSPZPSZAFO-QAPCUYQASA-N 0 0 446.574 -0.538 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCS(=O)(=O)CC2)CC1=O ZINC001316118674 1074117290 /nfs/dbraw/zinc/11/72/90/1074117290.db2.gz QWODFNLPIKQVBQ-AWEZNQCLSA-N 0 0 442.542 -0.854 20 0 IBADRN COCCN1C[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCS(=O)(=O)CC2)CC1=O ZINC001316118675 1074117250 /nfs/dbraw/zinc/11/72/50/1074117250.db2.gz QWODFNLPIKQVBQ-CQSZACIVSA-N 0 0 442.542 -0.854 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(OC)CCOC2)CC1 ZINC001316118980 1074117196 /nfs/dbraw/zinc/11/71/96/1074117196.db2.gz JNCQLSPZPSZAFO-YJBOKZPZSA-N 0 0 446.574 -0.538 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)CC1 ZINC001316119086 1074117230 /nfs/dbraw/zinc/11/72/30/1074117230.db2.gz KTXVROYXYAXVOG-PBHICJAKSA-N 0 0 448.615 -0.475 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)CC1 ZINC001316119090 1074117266 /nfs/dbraw/zinc/11/72/66/1074117266.db2.gz KTXVROYXYAXVOG-RHSMWYFYSA-N 0 0 448.615 -0.475 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)CC1 ZINC001316119092 1074117296 /nfs/dbraw/zinc/11/72/96/1074117296.db2.gz KTXVROYXYAXVOG-WMLDXEAASA-N 0 0 448.615 -0.475 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)CC1 ZINC001316119093 1074117146 /nfs/dbraw/zinc/11/71/46/1074117146.db2.gz KTXVROYXYAXVOG-YOEHRIQHSA-N 0 0 448.615 -0.475 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(C(C)=O)CC2)CC1=O ZINC001316119453 1074117285 /nfs/dbraw/zinc/11/72/85/1074117285.db2.gz TVUQDZXYGVHVEO-DLBZAZTESA-N 0 0 447.540 -0.217 20 0 IBADRN COCCN1C[C@H](Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(C(C)=O)CC2)CC1=O ZINC001316119454 1074117189 /nfs/dbraw/zinc/11/71/89/1074117189.db2.gz TVUQDZXYGVHVEO-IAGOWNOFSA-N 0 0 447.540 -0.217 20 0 IBADRN COCCN1C[C@@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(C(C)=O)CC2)CC1=O ZINC001316119455 1074117281 /nfs/dbraw/zinc/11/72/81/1074117281.db2.gz TVUQDZXYGVHVEO-IRXDYDNUSA-N 0 0 447.540 -0.217 20 0 IBADRN COCCN1C[C@H](Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(C(C)=O)CC2)CC1=O ZINC001316119456 1074117135 /nfs/dbraw/zinc/11/71/35/1074117135.db2.gz TVUQDZXYGVHVEO-SJORKVTESA-N 0 0 447.540 -0.217 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCO2)CC1=O ZINC001316120193 1074117116 /nfs/dbraw/zinc/11/71/16/1074117116.db2.gz OKDRVTWCCDFCHH-KBPBESRZSA-N 0 0 430.531 -0.638 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCO2)CC1=O ZINC001316120194 1074117216 /nfs/dbraw/zinc/11/72/16/1074117216.db2.gz OKDRVTWCCDFCHH-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCCO2)CC1=O ZINC001316120195 1074117155 /nfs/dbraw/zinc/11/71/55/1074117155.db2.gz OKDRVTWCCDFCHH-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCCO2)CC1=O ZINC001316120196 1074117277 /nfs/dbraw/zinc/11/72/77/1074117277.db2.gz OKDRVTWCCDFCHH-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CCCO2)CC1=O ZINC001316120223 1074117180 /nfs/dbraw/zinc/11/71/80/1074117180.db2.gz OXEULAPVXLITQY-KBXCAEBGSA-N 0 0 444.558 -0.248 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)CCCO2)CC1=O ZINC001316120224 1074117260 /nfs/dbraw/zinc/11/72/60/1074117260.db2.gz OXEULAPVXLITQY-KDOFPFPSSA-N 0 0 444.558 -0.248 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)CCCO2)CC1=O ZINC001316120225 1074117843 /nfs/dbraw/zinc/11/78/43/1074117843.db2.gz OXEULAPVXLITQY-KSSFIOAISA-N 0 0 444.558 -0.248 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CCCO2)CC1=O ZINC001316120226 1074117911 /nfs/dbraw/zinc/11/79/11/1074117911.db2.gz OXEULAPVXLITQY-RDTXWAMCSA-N 0 0 444.558 -0.248 20 0 IBADRN COCCN1C[C@@H](Cn2c(C)nnc2N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1=O ZINC001316120319 1074117688 /nfs/dbraw/zinc/11/76/88/1074117688.db2.gz YEAFHZDSQIDFRG-KRWDZBQOSA-N 0 0 447.540 -0.648 20 0 IBADRN COCCN1C[C@H](Cn2c(C)nnc2N2CCN(C(=O)C(=O)N3CCCC3)CC2)CC1=O ZINC001316120320 1074117800 /nfs/dbraw/zinc/11/78/00/1074117800.db2.gz YEAFHZDSQIDFRG-QGZVFWFLSA-N 0 0 447.540 -0.648 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cc(C)cn2)CC1 ZINC001316120790 1074117890 /nfs/dbraw/zinc/11/78/90/1074117890.db2.gz QLTQLOMUSYFJPL-INIZCTEOSA-N 0 0 440.574 -0.138 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cc(C)cn2)CC1 ZINC001316120791 1074117880 /nfs/dbraw/zinc/11/78/80/1074117880.db2.gz QLTQLOMUSYFJPL-MRXNPFEDSA-N 0 0 440.574 -0.138 20 0 IBADRN COCCN1C[C@H](Cn2c(C(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)CC1=O ZINC001316120845 1074117861 /nfs/dbraw/zinc/11/78/61/1074117861.db2.gz ZDFDVHWAISWHGY-CABCVRRESA-N 0 0 433.513 -0.957 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)CC1=O ZINC001316120846 1074117754 /nfs/dbraw/zinc/11/77/54/1074117754.db2.gz ZDFDVHWAISWHGY-GJZGRUSLSA-N 0 0 433.513 -0.957 20 0 IBADRN COCCN1C[C@H](Cn2c(C(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)CC1=O ZINC001316120847 1074117837 /nfs/dbraw/zinc/11/78/37/1074117837.db2.gz ZDFDVHWAISWHGY-HUUCEWRRSA-N 0 0 433.513 -0.957 20 0 IBADRN COCCN1C[C@@H](Cn2c(C(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)CC1=O ZINC001316120848 1074117679 /nfs/dbraw/zinc/11/76/79/1074117679.db2.gz ZDFDVHWAISWHGY-LSDHHAIUSA-N 0 0 433.513 -0.957 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCSCC2)CC1=O ZINC001316121157 1074117851 /nfs/dbraw/zinc/11/78/51/1074117851.db2.gz WITUSMQCXRILBK-UHFFFAOYSA-N 0 0 439.586 -0.240 20 0 IBADRN COCCN1CCN(c2nnc(C(=O)NC(C)C)n2CC(=O)NCc2ccco2)CC1=O ZINC001316121162 1074117637 /nfs/dbraw/zinc/11/76/37/1074117637.db2.gz WNCRHOYNSWZPFJ-UHFFFAOYSA-N 0 0 447.496 -0.379 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCc2cn[nH]c2)CC1 ZINC001316121224 1074117868 /nfs/dbraw/zinc/11/78/68/1074117868.db2.gz RDWTXNPQGQWBBH-AWEZNQCLSA-N 0 0 426.547 -0.378 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCc2cn[nH]c2)CC1 ZINC001316121225 1074117902 /nfs/dbraw/zinc/11/79/02/1074117902.db2.gz RDWTXNPQGQWBBH-CQSZACIVSA-N 0 0 426.547 -0.378 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccn(C)n2)CC1 ZINC001316121692 1074117666 /nfs/dbraw/zinc/11/76/66/1074117666.db2.gz UZOYKQJXEUIIJC-AWEZNQCLSA-N 0 0 426.547 -0.562 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccn(C)n2)CC1 ZINC001316121696 1074117808 /nfs/dbraw/zinc/11/78/08/1074117808.db2.gz UZOYKQJXEUIIJC-CQSZACIVSA-N 0 0 426.547 -0.562 20 0 IBADRN COCCN1C[C@@H](Cn2c(CC3CC3)nnc2N2CCN(S(C)(=O)=O)CC2)CC1=O ZINC001316121947 1074117828 /nfs/dbraw/zinc/11/78/28/1074117828.db2.gz IPHVCLWYQDYYQW-INIZCTEOSA-N 0 0 440.570 -0.193 20 0 IBADRN COCCN1C[C@H](Cn2c(CC3CC3)nnc2N2CCN(S(C)(=O)=O)CC2)CC1=O ZINC001316121949 1074117704 /nfs/dbraw/zinc/11/77/04/1074117704.db2.gz IPHVCLWYQDYYQW-MRXNPFEDSA-N 0 0 440.570 -0.193 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC(C)(C)C)CC1=O ZINC001316122409 1074118319 /nfs/dbraw/zinc/11/83/19/1074118319.db2.gz ZMOIIGDTIKXTSO-AWEZNQCLSA-N 0 0 446.574 -0.002 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC(C)(C)C)CC1=O ZINC001316122411 1074118273 /nfs/dbraw/zinc/11/82/73/1074118273.db2.gz ZMOIIGDTIKXTSO-CQSZACIVSA-N 0 0 446.574 -0.002 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC2)CC1=O ZINC001316122421 1074118305 /nfs/dbraw/zinc/11/83/05/1074118305.db2.gz ZNGRYUINFFNVEE-KBPBESRZSA-N 0 0 430.531 -0.780 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC2)CC1=O ZINC001316122423 1074118357 /nfs/dbraw/zinc/11/83/57/1074118357.db2.gz ZNGRYUINFFNVEE-KGLIPLIRSA-N 0 0 430.531 -0.780 20 0 IBADRN COCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC2)CC1=O ZINC001316122424 1074118366 /nfs/dbraw/zinc/11/83/66/1074118366.db2.gz ZNGRYUINFFNVEE-UONOGXRCSA-N 0 0 430.531 -0.780 20 0 IBADRN COCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC2)CC1=O ZINC001316122426 1074118380 /nfs/dbraw/zinc/11/83/80/1074118380.db2.gz ZNGRYUINFFNVEE-ZIAGYGMSSA-N 0 0 430.531 -0.780 20 0 IBADRN COCCN1C[C@H](Cn2c(CN3CCCC3=O)nnc2N2CC[C@H](C(=O)OC)C2)CC1=O ZINC001316122819 1074118343 /nfs/dbraw/zinc/11/83/43/1074118343.db2.gz NFMJOOFKEXJPJU-CVEARBPZSA-N 0 0 448.524 -0.105 20 0 IBADRN COCCN1C[C@@H](Cn2c(CN3CCCC3=O)nnc2N2CC[C@H](C(=O)OC)C2)CC1=O ZINC001316122820 1074118309 /nfs/dbraw/zinc/11/83/09/1074118309.db2.gz NFMJOOFKEXJPJU-HOTGVXAUSA-N 0 0 448.524 -0.105 20 0 IBADRN COCCN1C[C@H](Cn2c(CN3CCCC3=O)nnc2N2CC[C@@H](C(=O)OC)C2)CC1=O ZINC001316122821 1074118335 /nfs/dbraw/zinc/11/83/35/1074118335.db2.gz NFMJOOFKEXJPJU-HZPDHXFCSA-N 0 0 448.524 -0.105 20 0 IBADRN COCCN1C[C@@H](Cn2c(CN3CCCC3=O)nnc2N2CC[C@@H](C(=O)OC)C2)CC1=O ZINC001316122822 1074118315 /nfs/dbraw/zinc/11/83/15/1074118315.db2.gz NFMJOOFKEXJPJU-JKSUJKDBSA-N 0 0 448.524 -0.105 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnccn3)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001316126952 1074118345 /nfs/dbraw/zinc/11/83/45/1074118345.db2.gz AYKSTQAQFKXEEP-UHFFFAOYSA-N 0 0 443.556 -0.332 20 0 IBADRN COCCN1C[C@@H](Cn2c(-c3cnn(C)c3)nnc2N2CCN(CCOC)CC2)CC1=O ZINC001316126953 1074118359 /nfs/dbraw/zinc/11/83/59/1074118359.db2.gz BABGCIQSDLJOAT-KRWDZBQOSA-N 0 0 446.556 -0.058 20 0 IBADRN COCCN1C[C@H](Cn2c(-c3cnn(C)c3)nnc2N2CCN(CCOC)CC2)CC1=O ZINC001316126954 1074118283 /nfs/dbraw/zinc/11/82/83/1074118283.db2.gz BABGCIQSDLJOAT-QGZVFWFLSA-N 0 0 446.556 -0.058 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnn(C)c3)n2Cc2ccc(C(N)=O)nc2)CC1=O ZINC001316127164 1074118370 /nfs/dbraw/zinc/11/83/70/1074118370.db2.gz FFYHDEVMZHAYPC-UHFFFAOYSA-N 0 0 439.480 -0.484 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnccn3)n2CC2(S(C)(=O)=O)CC2)CC1=O ZINC001316127251 1074118375 /nfs/dbraw/zinc/11/83/75/1074118375.db2.gz IHXXSKPWVGUSQT-UHFFFAOYSA-N 0 0 435.510 -0.393 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnccn3)n2CC2(CS(C)(=O)=O)CC2)CC1=O ZINC001316127265 1074118338 /nfs/dbraw/zinc/11/83/38/1074118338.db2.gz ISFMWZDPOSLEEG-UHFFFAOYSA-N 0 0 449.537 -0.145 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnn(C)c3)n2CCC(=O)N2CCOCC2)CC1 ZINC001316127442 1074118300 /nfs/dbraw/zinc/11/83/00/1074118300.db2.gz KZLNBLJHNCTYNH-UHFFFAOYSA-N 0 0 432.529 -0.304 20 0 IBADRN COCCN1CCN(c2nnc(-c3cnccn3)n2CCC2(O)CCOCC2)CC1=O ZINC001316128664 1074118261 /nfs/dbraw/zinc/11/82/61/1074118261.db2.gz WKURAFBAMRFITL-UHFFFAOYSA-N 0 0 431.497 -0.038 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CC1=O ZINC001316128909 1074118797 /nfs/dbraw/zinc/11/87/97/1074118797.db2.gz DMMDYDMCYXKMFU-CVEARBPZSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CC1=O ZINC001316128917 1074118836 /nfs/dbraw/zinc/11/88/36/1074118836.db2.gz DMMDYDMCYXKMFU-HOTGVXAUSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CC1=O ZINC001316128919 1074118854 /nfs/dbraw/zinc/11/88/54/1074118854.db2.gz DMMDYDMCYXKMFU-HZPDHXFCSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CC1=O ZINC001316128921 1074118840 /nfs/dbraw/zinc/11/88/40/1074118840.db2.gz DMMDYDMCYXKMFU-JKSUJKDBSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cccc(C(N)=O)c2)CC1=O ZINC001316128956 1074118916 /nfs/dbraw/zinc/11/89/16/1074118916.db2.gz DUGVEQPOLKVKCB-INIZCTEOSA-N 0 0 441.492 -0.329 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cccc(C(N)=O)c2)CC1=O ZINC001316129071 1074118877 /nfs/dbraw/zinc/11/88/77/1074118877.db2.gz DUGVEQPOLKVKCB-MRXNPFEDSA-N 0 0 441.492 -0.329 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCN2CCOC2=O)CC1=O ZINC001316129310 1074118965 /nfs/dbraw/zinc/11/89/65/1074118965.db2.gz DYTKQNYIVGFJNS-AWEZNQCLSA-N 0 0 435.485 -0.634 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCN2CCOC2=O)CC1=O ZINC001316129327 1074118922 /nfs/dbraw/zinc/11/89/22/1074118922.db2.gz DYTKQNYIVGFJNS-CQSZACIVSA-N 0 0 435.485 -0.634 20 0 IBADRN COCCN1CCN(c2nnc(-c3cccnc3)n2CC2(C(N)=O)CCOCC2)CC1=O ZINC001316130402 1074118871 /nfs/dbraw/zinc/11/88/71/1074118871.db2.gz VYFYRLQLZANBBX-UHFFFAOYSA-N 0 0 443.508 -0.083 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCN2CCCCC2=O)CC1=O ZINC001316131068 1074118845 /nfs/dbraw/zinc/11/88/45/1074118845.db2.gz PRBHLAHGHPABAG-INIZCTEOSA-N 0 0 447.540 -0.073 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCN2CCCCC2=O)CC1=O ZINC001316131069 1074119386 /nfs/dbraw/zinc/11/93/86/1074119386.db2.gz PRBHLAHGHPABAG-MRXNPFEDSA-N 0 0 447.540 -0.073 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCc2nnc(C)s2)CC1=O ZINC001316131260 1074119453 /nfs/dbraw/zinc/11/94/53/1074119453.db2.gz SIHLHRCAQFZFHF-CYBMUJFWSA-N 0 0 434.526 -0.073 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCc2nnc(C)s2)CC1=O ZINC001316131261 1074119509 /nfs/dbraw/zinc/11/95/09/1074119509.db2.gz SIHLHRCAQFZFHF-ZDUSSCGKSA-N 0 0 434.526 -0.073 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2c(C)nn(C)c2C)CC1=O ZINC001316131816 1074119504 /nfs/dbraw/zinc/11/95/04/1074119504.db2.gz YHMMDVQZNYCZDH-INIZCTEOSA-N 0 0 430.513 -0.077 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2c(C)nn(C)c2C)CC1=O ZINC001316131817 1074119470 /nfs/dbraw/zinc/11/94/70/1074119470.db2.gz YHMMDVQZNYCZDH-MRXNPFEDSA-N 0 0 430.513 -0.077 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCCOC3)n2CC(=O)N2CCOCC2)CC1=O ZINC001316132275 1074119428 /nfs/dbraw/zinc/11/94/28/1074119428.db2.gz JWHSBOYYAJTWBY-INIZCTEOSA-N 0 0 436.513 -0.674 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCCOC3)n2CC(=O)N2CCOCC2)CC1=O ZINC001316132276 1074119487 /nfs/dbraw/zinc/11/94/87/1074119487.db2.gz JWHSBOYYAJTWBY-MRXNPFEDSA-N 0 0 436.513 -0.674 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC=CCO2)CC1=O ZINC001316133239 1074119513 /nfs/dbraw/zinc/11/95/13/1074119513.db2.gz GAJNSJZSFVFRMY-CVEARBPZSA-N 0 0 439.538 -0.180 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC=CCO2)CC1=O ZINC001316133243 1074119444 /nfs/dbraw/zinc/11/94/44/1074119444.db2.gz GAJNSJZSFVFRMY-HOTGVXAUSA-N 0 0 439.538 -0.180 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CC=CCO2)CC1=O ZINC001316133245 1074119491 /nfs/dbraw/zinc/11/94/91/1074119491.db2.gz GAJNSJZSFVFRMY-HZPDHXFCSA-N 0 0 439.538 -0.180 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CC=CCO2)CC1=O ZINC001316133247 1074119407 /nfs/dbraw/zinc/11/94/07/1074119407.db2.gz GAJNSJZSFVFRMY-JKSUJKDBSA-N 0 0 439.538 -0.180 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C[C@H]1C ZINC001316133574 1074119412 /nfs/dbraw/zinc/11/94/12/1074119412.db2.gz HQZUWMWFIAHFFV-CABCVRRESA-N 0 0 428.559 -0.575 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C[C@@H]1C ZINC001316133577 1074119447 /nfs/dbraw/zinc/11/94/47/1074119447.db2.gz HQZUWMWFIAHFFV-GJZGRUSLSA-N 0 0 428.559 -0.575 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C[C@H]1C ZINC001316133579 1074119394 /nfs/dbraw/zinc/11/93/94/1074119394.db2.gz HQZUWMWFIAHFFV-HUUCEWRRSA-N 0 0 428.559 -0.575 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C[C@@H]1C ZINC001316133581 1074119421 /nfs/dbraw/zinc/11/94/21/1074119421.db2.gz HQZUWMWFIAHFFV-LSDHHAIUSA-N 0 0 428.559 -0.575 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2CC2(CS(C)(=O)=O)CC2)CC1=O ZINC001316133869 1074119483 /nfs/dbraw/zinc/11/94/83/1074119483.db2.gz JCZDPEMBALDNRX-HNNXBMFYSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2CC2(CS(C)(=O)=O)CC2)CC1=O ZINC001316133870 1074119460 /nfs/dbraw/zinc/11/94/60/1074119460.db2.gz JCZDPEMBALDNRX-OAHLLOKOSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2)CC1=O ZINC001316133964 1074119517 /nfs/dbraw/zinc/11/95/17/1074119517.db2.gz KCHCQXACESORMA-HNNXBMFYSA-N 0 0 437.526 -0.743 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2)CC1=O ZINC001316133966 1074119381 /nfs/dbraw/zinc/11/93/81/1074119381.db2.gz KCHCQXACESORMA-OAHLLOKOSA-N 0 0 437.526 -0.743 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCS(=O)(=O)C2)CC1=O ZINC001316134161 1074119494 /nfs/dbraw/zinc/11/94/94/1074119494.db2.gz LNEXLUGPPNBFDD-CVEARBPZSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCCS(=O)(=O)C2)CC1=O ZINC001316134162 1074119866 /nfs/dbraw/zinc/11/98/66/1074119866.db2.gz LNEXLUGPPNBFDD-HOTGVXAUSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCS(=O)(=O)C2)CC1=O ZINC001316134163 1074119962 /nfs/dbraw/zinc/11/99/62/1074119962.db2.gz LNEXLUGPPNBFDD-HZPDHXFCSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCCS(=O)(=O)C2)CC1=O ZINC001316134164 1074119786 /nfs/dbraw/zinc/11/97/86/1074119786.db2.gz LNEXLUGPPNBFDD-JKSUJKDBSA-N 0 0 441.554 -0.098 20 0 IBADRN COCCN1CCN(c2nnc(CC3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@H]1C ZINC001316134223 1074119778 /nfs/dbraw/zinc/11/97/78/1074119778.db2.gz BZDMIADFUHBPAF-BEFAXECRSA-N 0 0 427.571 -0.063 20 0 IBADRN COCCN1CCN(c2nnc(CC3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@H]1C ZINC001316134224 1074119793 /nfs/dbraw/zinc/11/97/93/1074119793.db2.gz BZDMIADFUHBPAF-DNVCBOLYSA-N 0 0 427.571 -0.063 20 0 IBADRN COCCN1CCN(c2nnc(CC3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@@H]1C ZINC001316134225 1074119941 /nfs/dbraw/zinc/11/99/41/1074119941.db2.gz BZDMIADFUHBPAF-HNAYVOBHSA-N 0 0 427.571 -0.063 20 0 IBADRN COCCN1CCN(c2nnc(CC3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@@H]1C ZINC001316134226 1074119800 /nfs/dbraw/zinc/11/98/00/1074119800.db2.gz BZDMIADFUHBPAF-KXBFYZLASA-N 0 0 427.571 -0.063 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCCOC3)n2CCCNS(C)(=O)=O)CC1 ZINC001316135374 1074119914 /nfs/dbraw/zinc/11/99/14/1074119914.db2.gz QGDSDNZZBCJBQZ-INIZCTEOSA-N 0 0 430.575 -0.120 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCCOC3)n2CCCNS(C)(=O)=O)CC1 ZINC001316135375 1074119872 /nfs/dbraw/zinc/11/98/72/1074119872.db2.gz QGDSDNZZBCJBQZ-MRXNPFEDSA-N 0 0 430.575 -0.120 20 0 IBADRN COCCN1CCN(c2nnc(Cc3ccsc3)n2CCNS(C)(=O)=O)CC1=O ZINC001316135862 1074119929 /nfs/dbraw/zinc/11/99/29/1074119929.db2.gz QYQYZOKCUFRPMC-UHFFFAOYSA-N 0 0 442.567 -0.225 20 0 IBADRN COCCN1CCN(c2nnc(Cc3ccncc3)n2CC(=O)N2CCOCC2)CC1=O ZINC001316136182 1074119849 /nfs/dbraw/zinc/11/98/49/1074119849.db2.gz PVQKEFYMLCTHIE-UHFFFAOYSA-N 0 0 443.508 -0.582 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CC1=O ZINC001316136767 1074119858 /nfs/dbraw/zinc/11/98/58/1074119858.db2.gz XASOZLOAVIZKKK-GFCCVEGCSA-N 0 0 434.419 -0.245 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CC1=O ZINC001316136768 1074119958 /nfs/dbraw/zinc/11/99/58/1074119958.db2.gz XASOZLOAVIZKKK-LBPRGKRZSA-N 0 0 434.419 -0.245 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1=O ZINC001316137398 1074119819 /nfs/dbraw/zinc/11/98/19/1074119819.db2.gz ZWTHLIJQXCMLIJ-CABCVRRESA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC001316137400 1074119809 /nfs/dbraw/zinc/11/98/09/1074119809.db2.gz ZWTHLIJQXCMLIJ-GJZGRUSLSA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCS(=O)(=O)C2)CC1=O ZINC001316137402 1074119947 /nfs/dbraw/zinc/11/99/47/1074119947.db2.gz ZWTHLIJQXCMLIJ-HUUCEWRRSA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC001316137404 1074119969 /nfs/dbraw/zinc/11/99/69/1074119969.db2.gz ZWTHLIJQXCMLIJ-LSDHHAIUSA-N 0 0 427.527 -0.488 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001316137877 1074119886 /nfs/dbraw/zinc/11/98/86/1074119886.db2.gz KVGCYPOOAUNTCK-UHFFFAOYSA-N 0 0 449.556 -0.549 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@@H]2C)CC1=O ZINC001316138187 1074120489 /nfs/dbraw/zinc/12/04/89/1074120489.db2.gz APRVHBQMZXYLKQ-KRWDZBQOSA-N 0 0 449.556 -0.584 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@H]2C)CC1=O ZINC001316138188 1074120576 /nfs/dbraw/zinc/12/05/76/1074120576.db2.gz APRVHBQMZXYLKQ-QGZVFWFLSA-N 0 0 449.556 -0.584 20 0 IBADRN COCCN1CCN(c2nnc(Cn3nc(C)cc3C)n2CC(=O)NCC2CC2)CC1=O ZINC001316138663 1074120507 /nfs/dbraw/zinc/12/05/07/1074120507.db2.gz GGOXYYNITPXIKO-UHFFFAOYSA-N 0 0 444.540 -0.039 20 0 IBADRN COCCN1CCN(c2nnc(Cn3nc(C)cc3C)n2C[C@@H]2CC[C@H](CO)O2)CC1=O ZINC001316138799 1074120572 /nfs/dbraw/zinc/12/05/72/1074120572.db2.gz GVHHEIVTXRYTEW-ZWKOTPCHSA-N 0 0 447.540 -0.025 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCCn2ccnn2)CC1=O ZINC001316138831 1074120531 /nfs/dbraw/zinc/12/05/31/1074120531.db2.gz HBQKNPYBAMPWGT-UHFFFAOYSA-N 0 0 431.501 -0.623 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC2CC2)CC1=O ZINC001316138858 1074120500 /nfs/dbraw/zinc/12/05/00/1074120500.db2.gz WKUBECDOPXXCBG-UHFFFAOYSA-N 0 0 433.513 -0.778 20 0 IBADRN COCCN1CCN(c2nnc(CN3CCCC3=O)n2CCCN2CCOCC2)CC1=O ZINC001316139262 1074120556 /nfs/dbraw/zinc/12/05/56/1074120556.db2.gz QCUJCKNWLANVMS-UHFFFAOYSA-N 0 0 449.556 -0.582 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3CCCO3)n2CCC(=O)N2CCOCC2)CC1=O ZINC001316140303 1074120513 /nfs/dbraw/zinc/12/05/13/1074120513.db2.gz NEOHWDHXFMJQCS-MRXNPFEDSA-N 0 0 436.513 -0.327 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2CCS(C)(=O)=O)CC1=O ZINC001316143840 1074120546 /nfs/dbraw/zinc/12/05/46/1074120546.db2.gz DVXPJMLPHUHUDG-HOCLYGCPSA-N 0 0 445.542 -0.658 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@H]2COCCO2)CC1=O ZINC001316144472 1074120590 /nfs/dbraw/zinc/12/05/90/1074120590.db2.gz GXRRPIWOHBQTMR-BQFCYCMXSA-N 0 0 439.513 -0.288 20 0 IBADRN COCCN1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@H]2COCCO2)CC1=O ZINC001316144474 1074120567 /nfs/dbraw/zinc/12/05/67/1074120567.db2.gz GXRRPIWOHBQTMR-JZXOWHBKSA-N 0 0 439.513 -0.288 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)CC1 ZINC001316148653 1074120497 /nfs/dbraw/zinc/12/04/97/1074120497.db2.gz MHOUHHWFDKPEQM-HNNXBMFYSA-N 0 0 443.570 -0.070 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)CC1 ZINC001316148654 1074120511 /nfs/dbraw/zinc/12/05/11/1074120511.db2.gz MHOUHHWFDKPEQM-OAHLLOKOSA-N 0 0 443.570 -0.070 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccn(C)n2)CC1 ZINC001316150160 1074120520 /nfs/dbraw/zinc/12/05/20/1074120520.db2.gz TYMWQCXODCGVKJ-INIZCTEOSA-N 0 0 430.513 -0.010 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccn(C)n2)CC1 ZINC001316150162 1074120505 /nfs/dbraw/zinc/12/05/05/1074120505.db2.gz TYMWQCXODCGVKJ-MRXNPFEDSA-N 0 0 430.513 -0.010 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1 ZINC001316150650 1074120484 /nfs/dbraw/zinc/12/04/84/1074120484.db2.gz WQRAGGFOAVNPPR-CVEARBPZSA-N 0 0 436.513 -0.376 20 0 IBADRN COCCNC(=O)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1 ZINC001316150651 1074120469 /nfs/dbraw/zinc/12/04/69/1074120469.db2.gz WQRAGGFOAVNPPR-HZPDHXFCSA-N 0 0 436.513 -0.376 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C)n2Cc2ccc(OC)nc2OC)CC1 ZINC001316151040 1074120477 /nfs/dbraw/zinc/12/04/77/1074120477.db2.gz ACKOHWUTKXHXMJ-UHFFFAOYSA-N 0 0 433.513 -0.068 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3ccccn3)n2CC(=O)N(C)C)CC1 ZINC001316151293 1074121034 /nfs/dbraw/zinc/12/10/34/1074121034.db2.gz DDLKMJFDSGTAII-UHFFFAOYSA-N 0 0 430.513 -0.687 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCC=CO2)CC1 ZINC001316151918 1074121106 /nfs/dbraw/zinc/12/11/06/1074121106.db2.gz QLJLXTFKDIQNET-KRWDZBQOSA-N 0 0 449.556 -0.006 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCC=CO2)CC1 ZINC001316151919 1074120989 /nfs/dbraw/zinc/12/09/89/1074120989.db2.gz QLJLXTFKDIQNET-QGZVFWFLSA-N 0 0 449.556 -0.006 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C)n2C[C@@H]2CCCCS2(=O)=O)CC1 ZINC001316151934 1074121065 /nfs/dbraw/zinc/12/10/65/1074121065.db2.gz QXJNLNYONUDQKI-INIZCTEOSA-N 0 0 428.559 -0.562 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C)n2C[C@H]2CCCCS2(=O)=O)CC1 ZINC001316151935 1074121022 /nfs/dbraw/zinc/12/10/22/1074121022.db2.gz QXJNLNYONUDQKI-MRXNPFEDSA-N 0 0 428.559 -0.562 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cc(C)no2)CC1 ZINC001316152155 1074121084 /nfs/dbraw/zinc/12/10/84/1074121084.db2.gz CWZVRASOJDQNHM-INIZCTEOSA-N 0 0 446.512 -0.541 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cc(C)no2)CC1 ZINC001316152156 1074121046 /nfs/dbraw/zinc/12/10/46/1074121046.db2.gz CWZVRASOJDQNHM-MRXNPFEDSA-N 0 0 446.512 -0.541 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2COCCO2)CC1 ZINC001316152171 1074121004 /nfs/dbraw/zinc/12/10/04/1074121004.db2.gz DNFWCVRANLXGKX-IRXDYDNUSA-N 0 0 438.529 -0.918 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2COCCO2)CC1 ZINC001316152177 1074121009 /nfs/dbraw/zinc/12/10/09/1074121009.db2.gz DNFWCVRANLXGKX-SJORKVTESA-N 0 0 438.529 -0.918 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccco2)CC1 ZINC001316152459 1074120997 /nfs/dbraw/zinc/12/09/97/1074120997.db2.gz HMJDKMKJSMSBFT-INIZCTEOSA-N 0 0 431.497 -0.245 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccco2)CC1 ZINC001316152460 1074121071 /nfs/dbraw/zinc/12/10/71/1074121071.db2.gz HMJDKMKJSMSBFT-MRXNPFEDSA-N 0 0 431.497 -0.245 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2cc(C)on2)CC1 ZINC001316152569 1074121113 /nfs/dbraw/zinc/12/11/13/1074121113.db2.gz ZTDUBQOUMVXBAZ-UHFFFAOYSA-N 0 0 448.528 -0.354 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@@H]2CCOC2)CC1 ZINC001316152588 1074121013 /nfs/dbraw/zinc/12/10/13/1074121013.db2.gz IGTUQMKHJMHGMW-INIZCTEOSA-N 0 0 430.513 -0.344 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@H]2CCOC2)CC1 ZINC001316152589 1074121088 /nfs/dbraw/zinc/12/10/88/1074121088.db2.gz IGTUQMKHJMHGMW-MRXNPFEDSA-N 0 0 430.513 -0.344 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(O)CCC2)CC1 ZINC001316152631 1074121053 /nfs/dbraw/zinc/12/10/53/1074121053.db2.gz JUVIRFRDPHOHJW-HNNXBMFYSA-N 0 0 435.529 -0.971 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(O)CCC2)CC1 ZINC001316152632 1074121027 /nfs/dbraw/zinc/12/10/27/1074121027.db2.gz JUVIRFRDPHOHJW-OAHLLOKOSA-N 0 0 435.529 -0.971 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3ccccn3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001316152644 1074121060 /nfs/dbraw/zinc/12/10/60/1074121060.db2.gz KGGINODKKPIKPI-MRXNPFEDSA-N 0 0 442.524 -0.497 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(CC(C)(C)C)n2Cc2ncn(C)n2)CC1 ZINC001316152664 1074121043 /nfs/dbraw/zinc/12/10/43/1074121043.db2.gz KVZIEPPJMTWBER-UHFFFAOYSA-N 0 0 433.561 -0.072 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCCOC3)n2CC2(O)CCC2)CC1 ZINC001316152740 1074121426 /nfs/dbraw/zinc/12/14/26/1074121426.db2.gz NAIZIHQDQPBSGG-KRWDZBQOSA-N 0 0 436.557 -0.028 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCCOC3)n2CC2(O)CCC2)CC1 ZINC001316152741 1074121409 /nfs/dbraw/zinc/12/14/09/1074121409.db2.gz NAIZIHQDQPBSGG-QGZVFWFLSA-N 0 0 436.557 -0.028 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cccn3C)n2C[C@H]2CCC(=O)N2)CC1 ZINC001316152799 1074121447 /nfs/dbraw/zinc/12/14/47/1074121447.db2.gz OKZHWOUZYJTHKM-MRXNPFEDSA-N 0 0 444.540 -0.553 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cccn3C)n2CC(=O)NC(C)C)CC1 ZINC001316152861 1074121470 /nfs/dbraw/zinc/12/14/70/1074121470.db2.gz QFHIQDHOJMOCQS-UHFFFAOYSA-N 0 0 446.556 -0.307 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3cccnc3)n2C[C@H]2COCCO2)CC1 ZINC001316152949 1074121430 /nfs/dbraw/zinc/12/14/30/1074121430.db2.gz STOWFHPHDZVBTH-SFHVURJKSA-N 0 0 445.524 -0.360 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C3CC3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001316152975 1074121507 /nfs/dbraw/zinc/12/15/07/1074121507.db2.gz UGJWJZPOBWQFDD-UHFFFAOYSA-N 0 0 449.556 -0.678 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(C)CCCO2)CC1 ZINC001316153190 1074121518 /nfs/dbraw/zinc/12/15/18/1074121518.db2.gz UOJYLESZWVOIJY-HRAATJIYSA-N 0 0 449.556 -0.317 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(C)CCCO2)CC1 ZINC001316153191 1074121488 /nfs/dbraw/zinc/12/14/88/1074121488.db2.gz UOJYLESZWVOIJY-IERDGZPVSA-N 0 0 449.556 -0.317 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(C)CCCO2)CC1 ZINC001316153192 1074121493 /nfs/dbraw/zinc/12/14/93/1074121493.db2.gz UOJYLESZWVOIJY-IIBYNOLFSA-N 0 0 449.556 -0.317 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(C)CCCO2)CC1 ZINC001316153193 1074121456 /nfs/dbraw/zinc/12/14/56/1074121456.db2.gz UOJYLESZWVOIJY-KKSFZXQISA-N 0 0 449.556 -0.317 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2Cc2ncn(C)n2)CC1 ZINC001316153243 1074121413 /nfs/dbraw/zinc/12/14/13/1074121413.db2.gz WAGRASXNIRKZLD-UHFFFAOYSA-N 0 0 428.501 -0.665 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc(C3CC3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001316153253 1074121440 /nfs/dbraw/zinc/12/14/40/1074121440.db2.gz WIJGITMRDCSWAG-UHFFFAOYSA-N 0 0 440.570 -0.383 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001316153871 1074121528 /nfs/dbraw/zinc/12/15/28/1074121528.db2.gz HHEGASCCJIZDJD-IERDGZPVSA-N 0 0 449.556 -0.508 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001316153872 1074121403 /nfs/dbraw/zinc/12/14/03/1074121403.db2.gz HHEGASCCJIZDJD-IIBYNOLFSA-N 0 0 449.556 -0.508 20 0 IBADRN COCCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2CCc2cn[nH]c2)CC1 ZINC001316154895 1074121499 /nfs/dbraw/zinc/12/14/99/1074121499.db2.gz OXJMABYCRDCMHF-QGZVFWFLSA-N 0 0 432.529 -0.020 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCS(=O)(=O)N(C)C ZINC001316161838 1074121463 /nfs/dbraw/zinc/12/14/63/1074121463.db2.gz ZWKVKUVIAAXLTR-KBPBESRZSA-N 0 0 432.547 -0.440 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCS(=O)(=O)N(C)C ZINC001316161840 1074121524 /nfs/dbraw/zinc/12/15/24/1074121524.db2.gz ZWKVKUVIAAXLTR-KGLIPLIRSA-N 0 0 432.547 -0.440 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCS(=O)(=O)N(C)C ZINC001316161842 1074121415 /nfs/dbraw/zinc/12/14/15/1074121415.db2.gz ZWKVKUVIAAXLTR-UONOGXRCSA-N 0 0 432.547 -0.440 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCS(=O)(=O)N(C)C ZINC001316161844 1074122071 /nfs/dbraw/zinc/12/20/71/1074122071.db2.gz ZWKVKUVIAAXLTR-ZIAGYGMSSA-N 0 0 432.547 -0.440 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001316169445 1074122081 /nfs/dbraw/zinc/12/20/81/1074122081.db2.gz GZTCKDYCOGSICJ-DOTOQJQBSA-N 0 0 437.545 -0.522 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@H](C(N)=O)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001316169449 1074121942 /nfs/dbraw/zinc/12/19/42/1074121942.db2.gz GZTCKDYCOGSICJ-NVXWUHKLSA-N 0 0 437.545 -0.522 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@H](C(N)=O)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001316169451 1074122011 /nfs/dbraw/zinc/12/20/11/1074122011.db2.gz GZTCKDYCOGSICJ-RDJZCZTQSA-N 0 0 437.545 -0.522 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@H](C(N)=O)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001316169453 1074122059 /nfs/dbraw/zinc/12/20/59/1074122059.db2.gz GZTCKDYCOGSICJ-WBVHZDCISA-N 0 0 437.545 -0.522 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCC(C(N)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001316169469 1074121912 /nfs/dbraw/zinc/12/19/12/1074121912.db2.gz HGWPPHYIMIYXHD-AWEZNQCLSA-N 0 0 444.558 -0.261 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCC(C(N)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001316169471 1074122044 /nfs/dbraw/zinc/12/20/44/1074122044.db2.gz HGWPPHYIMIYXHD-CQSZACIVSA-N 0 0 444.558 -0.261 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001316180494 1074121972 /nfs/dbraw/zinc/12/19/72/1074121972.db2.gz IECOAEKHVSAXAT-IIDMSEBBSA-N 0 0 431.559 -0.308 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001316180495 1074122025 /nfs/dbraw/zinc/12/20/25/1074122025.db2.gz IECOAEKHVSAXAT-RKVPGOIHSA-N 0 0 431.559 -0.308 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001316180496 1074121899 /nfs/dbraw/zinc/12/18/99/1074121899.db2.gz IECOAEKHVSAXAT-RVKKMQEKSA-N 0 0 431.559 -0.308 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001316180497 1074121995 /nfs/dbraw/zinc/12/19/95/1074121995.db2.gz IECOAEKHVSAXAT-VKJFTORMSA-N 0 0 431.559 -0.308 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001316188432 1074121923 /nfs/dbraw/zinc/12/19/23/1074121923.db2.gz RWGCCTHDMTZYGZ-CKNLXJGOSA-N 0 0 449.512 -0.151 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001316188433 1074121987 /nfs/dbraw/zinc/12/19/87/1074121987.db2.gz RWGCCTHDMTZYGZ-LAPQFRIASA-N 0 0 449.512 -0.151 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001316188434 1074121965 /nfs/dbraw/zinc/12/19/65/1074121965.db2.gz RWGCCTHDMTZYGZ-PJSUUKDQSA-N 0 0 449.512 -0.151 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001316188435 1074122053 /nfs/dbraw/zinc/12/20/53/1074122053.db2.gz RWGCCTHDMTZYGZ-YRVVQQKDSA-N 0 0 449.512 -0.151 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC(C)(C)O ZINC001316200767 1074121952 /nfs/dbraw/zinc/12/19/52/1074121952.db2.gz KZKQLIYYMRBQBG-HNNXBMFYSA-N 0 0 440.545 -0.054 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC(C)(C)O ZINC001316200777 1074122074 /nfs/dbraw/zinc/12/20/74/1074122074.db2.gz KZKQLIYYMRBQBG-OAHLLOKOSA-N 0 0 440.545 -0.054 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@@]1(O)CCOC1 ZINC001316204035 1074122097 /nfs/dbraw/zinc/12/20/97/1074122097.db2.gz KUEVKOAEMGBWKG-BEFAXECRSA-N 0 0 427.502 -0.552 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@]1(O)CCOC1 ZINC001316204036 1074122019 /nfs/dbraw/zinc/12/20/19/1074122019.db2.gz KUEVKOAEMGBWKG-DNVCBOLYSA-N 0 0 427.502 -0.552 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@]1(O)CCOC1 ZINC001316204037 1074122092 /nfs/dbraw/zinc/12/20/92/1074122092.db2.gz KUEVKOAEMGBWKG-HNAYVOBHSA-N 0 0 427.502 -0.552 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@@]1(O)CCOC1 ZINC001316204038 1074122571 /nfs/dbraw/zinc/12/25/71/1074122571.db2.gz KUEVKOAEMGBWKG-KXBFYZLASA-N 0 0 427.502 -0.552 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@](C)(O)C(C)C ZINC001316209208 1074122591 /nfs/dbraw/zinc/12/25/91/1074122591.db2.gz CAVDKZSZSFDCJP-QEEYODRMSA-N 0 0 438.529 -0.444 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@](C)(O)C(C)C ZINC001316209209 1074122617 /nfs/dbraw/zinc/12/26/17/1074122617.db2.gz CAVDKZSZSFDCJP-SIFCLUCFSA-N 0 0 438.529 -0.444 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@](C)(O)C(C)C ZINC001316209210 1074122562 /nfs/dbraw/zinc/12/25/62/1074122562.db2.gz CAVDKZSZSFDCJP-STXHMFSFSA-N 0 0 438.529 -0.444 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@](C)(O)C(C)C ZINC001316209211 1074122596 /nfs/dbraw/zinc/12/25/96/1074122596.db2.gz CAVDKZSZSFDCJP-SXGZJXTBSA-N 0 0 438.529 -0.444 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001316209673 1074122586 /nfs/dbraw/zinc/12/25/86/1074122586.db2.gz DONKFBPCYHWKFL-ILXRZTDVSA-N 0 0 449.512 -0.199 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001316209674 1074122605 /nfs/dbraw/zinc/12/26/05/1074122605.db2.gz DONKFBPCYHWKFL-KFWWJZLASA-N 0 0 449.512 -0.199 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001316209675 1074122549 /nfs/dbraw/zinc/12/25/49/1074122549.db2.gz DONKFBPCYHWKFL-KKUMJFAQSA-N 0 0 449.512 -0.199 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001316209676 1074122602 /nfs/dbraw/zinc/12/26/02/1074122602.db2.gz DONKFBPCYHWKFL-ZNMIVQPWSA-N 0 0 449.512 -0.199 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001316211651 1074122612 /nfs/dbraw/zinc/12/26/12/1074122612.db2.gz JRGPQTGTAPVEPE-HRCADAONSA-N 0 0 447.558 -0.376 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001316211655 1074122566 /nfs/dbraw/zinc/12/25/66/1074122566.db2.gz JRGPQTGTAPVEPE-OWCLPIDISA-N 0 0 447.558 -0.376 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001316211676 1074122578 /nfs/dbraw/zinc/12/25/78/1074122578.db2.gz JRZNWTCZDHWZGP-CABCVRRESA-N 0 0 437.501 -0.925 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001316211689 1074122583 /nfs/dbraw/zinc/12/25/83/1074122583.db2.gz JRZNWTCZDHWZGP-GJZGRUSLSA-N 0 0 437.501 -0.925 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CC(=O)N1CCOCC1 ZINC001316211691 1074122541 /nfs/dbraw/zinc/12/25/41/1074122541.db2.gz JRZNWTCZDHWZGP-HUUCEWRRSA-N 0 0 437.501 -0.925 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CC(=O)N1CCOCC1 ZINC001316211693 1074122557 /nfs/dbraw/zinc/12/25/57/1074122557.db2.gz JRZNWTCZDHWZGP-LSDHHAIUSA-N 0 0 437.501 -0.925 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CCCS(C)(=O)=O ZINC001316211754 1074122628 /nfs/dbraw/zinc/12/26/28/1074122628.db2.gz UJWATXYHDXROLB-CVEARBPZSA-N 0 0 431.559 -0.042 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CCCS(C)(=O)=O ZINC001316211755 1074122613 /nfs/dbraw/zinc/12/26/13/1074122613.db2.gz UJWATXYHDXROLB-HOTGVXAUSA-N 0 0 431.559 -0.042 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3CCOC[C@H]3C2)n1CCCS(C)(=O)=O ZINC001316211756 1074122595 /nfs/dbraw/zinc/12/25/95/1074122595.db2.gz UJWATXYHDXROLB-HZPDHXFCSA-N 0 0 431.559 -0.042 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3CCOC[C@H]3C2)n1CCCS(C)(=O)=O ZINC001316211757 1074122575 /nfs/dbraw/zinc/12/25/75/1074122575.db2.gz UJWATXYHDXROLB-JKSUJKDBSA-N 0 0 431.559 -0.042 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H]1COCCO1 ZINC001316212953 1074122619 /nfs/dbraw/zinc/12/26/19/1074122619.db2.gz HZKWWZTUHOAGIZ-IRXDYDNUSA-N 0 0 440.545 -0.372 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1C[C@H]1COCCO1 ZINC001316212954 1074122930 /nfs/dbraw/zinc/12/29/30/1074122930.db2.gz HZKWWZTUHOAGIZ-SJORKVTESA-N 0 0 440.545 -0.372 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CCS(=O)(=O)N(C)C ZINC001316213539 1074122990 /nfs/dbraw/zinc/12/29/90/1074122990.db2.gz NHNAYVMNIHHULA-KBPBESRZSA-N 0 0 446.530 -0.466 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CCS(=O)(=O)N(C)C ZINC001316213540 1074123014 /nfs/dbraw/zinc/12/30/14/1074123014.db2.gz NHNAYVMNIHHULA-KGLIPLIRSA-N 0 0 446.530 -0.466 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CCS(=O)(=O)N(C)C ZINC001316213541 1074122941 /nfs/dbraw/zinc/12/29/41/1074122941.db2.gz NHNAYVMNIHHULA-UONOGXRCSA-N 0 0 446.530 -0.466 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CCS(=O)(=O)N(C)C ZINC001316213542 1074122899 /nfs/dbraw/zinc/12/28/99/1074122899.db2.gz NHNAYVMNIHHULA-ZIAGYGMSSA-N 0 0 446.530 -0.466 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCNC(=O)c1ccn[nH]1 ZINC001316214088 1074122948 /nfs/dbraw/zinc/12/29/48/1074122948.db2.gz OGXDNOZPDNNAQF-CABCVRRESA-N 0 0 446.512 -0.034 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCNC(=O)c1ccn[nH]1 ZINC001316214090 1074122905 /nfs/dbraw/zinc/12/29/05/1074122905.db2.gz OGXDNOZPDNNAQF-GJZGRUSLSA-N 0 0 446.512 -0.034 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCNC(=O)c1ccn[nH]1 ZINC001316214092 1074122914 /nfs/dbraw/zinc/12/29/14/1074122914.db2.gz OGXDNOZPDNNAQF-HUUCEWRRSA-N 0 0 446.512 -0.034 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCNC(=O)c1ccn[nH]1 ZINC001316214094 1074122936 /nfs/dbraw/zinc/12/29/36/1074122936.db2.gz OGXDNOZPDNNAQF-LSDHHAIUSA-N 0 0 446.512 -0.034 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@@H]1COCCO1 ZINC001316216497 1074122997 /nfs/dbraw/zinc/12/29/97/1074122997.db2.gz SKAWFLQTBAYLRM-ARFHVFGLSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@@H]1COCCO1 ZINC001316216498 1074123019 /nfs/dbraw/zinc/12/30/19/1074123019.db2.gz SKAWFLQTBAYLRM-HRCADAONSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@H]1COCCO1 ZINC001316216499 1074123041 /nfs/dbraw/zinc/12/30/41/1074123041.db2.gz SKAWFLQTBAYLRM-JYJNAYRXSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@H]1COCCO1 ZINC001316216500 1074123049 /nfs/dbraw/zinc/12/30/49/1074123049.db2.gz SKAWFLQTBAYLRM-XHSDSOJGSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC(=O)NCC(F)F ZINC001316217680 1074122953 /nfs/dbraw/zinc/12/29/53/1074122953.db2.gz VFOIWTCIBPXOHL-KBPBESRZSA-N 0 0 432.472 -0.096 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC(=O)NCC(F)F ZINC001316217682 1074122973 /nfs/dbraw/zinc/12/29/73/1074122973.db2.gz VFOIWTCIBPXOHL-KGLIPLIRSA-N 0 0 432.472 -0.096 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC(=O)NCC(F)F ZINC001316217684 1074123027 /nfs/dbraw/zinc/12/30/27/1074123027.db2.gz VFOIWTCIBPXOHL-UONOGXRCSA-N 0 0 432.472 -0.096 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC(=O)NCC(F)F ZINC001316217686 1074123563 /nfs/dbraw/zinc/12/35/63/1074123563.db2.gz VFOIWTCIBPXOHL-ZIAGYGMSSA-N 0 0 432.472 -0.096 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCS(C)(=O)=O ZINC001316217703 1074123540 /nfs/dbraw/zinc/12/35/40/1074123540.db2.gz VICAOGXXHVKGPS-KBPBESRZSA-N 0 0 430.531 -0.397 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCS(C)(=O)=O ZINC001316217711 1074123594 /nfs/dbraw/zinc/12/35/94/1074123594.db2.gz VICAOGXXHVKGPS-KGLIPLIRSA-N 0 0 430.531 -0.397 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCS(C)(=O)=O ZINC001316217713 1074123431 /nfs/dbraw/zinc/12/34/31/1074123431.db2.gz VICAOGXXHVKGPS-UONOGXRCSA-N 0 0 430.531 -0.397 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCS(C)(=O)=O ZINC001316217715 1074123581 /nfs/dbraw/zinc/12/35/81/1074123581.db2.gz VICAOGXXHVKGPS-ZIAGYGMSSA-N 0 0 430.531 -0.397 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1Cc1ccn(C)n1 ZINC001316217982 1074123523 /nfs/dbraw/zinc/12/35/23/1074123523.db2.gz WSHAHGIOEQLYKB-INIZCTEOSA-N 0 0 434.545 -0.006 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1Cc1ccn(C)n1 ZINC001316217986 1074123534 /nfs/dbraw/zinc/12/35/34/1074123534.db2.gz WSHAHGIOEQLYKB-MRXNPFEDSA-N 0 0 434.545 -0.006 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@]1(O)CCO[C@H]1C ZINC001316219492 1074123475 /nfs/dbraw/zinc/12/34/75/1074123475.db2.gz GNUOLPHNXYRVPH-DOHHPOSVSA-N 0 0 441.529 -0.117 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@@]1(O)CCO[C@H]1C ZINC001316219495 1074123515 /nfs/dbraw/zinc/12/35/15/1074123515.db2.gz GNUOLPHNXYRVPH-MJEZEWASSA-N 0 0 441.529 -0.117 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@]1(O)CCO[C@@H]1C ZINC001316219497 1074123420 /nfs/dbraw/zinc/12/34/20/1074123420.db2.gz GNUOLPHNXYRVPH-MXHNKVEKSA-N 0 0 441.529 -0.117 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@H](CNC(C)=O)C2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001316219500 1074123506 /nfs/dbraw/zinc/12/35/06/1074123506.db2.gz GNUOLPHNXYRVPH-YVTWGWGSSA-N 0 0 441.529 -0.117 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1CC(=O)N1CCOCC1 ZINC001316221957 1074123461 /nfs/dbraw/zinc/12/34/61/1074123461.db2.gz STQXPNRJIKXGPE-JXFKEZNVSA-N 0 0 439.513 -0.143 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCO[C@]3(CCOC3)C2)n1CC(=O)N1CCOCC1 ZINC001316221958 1074123557 /nfs/dbraw/zinc/12/35/57/1074123557.db2.gz STQXPNRJIKXGPE-OXJNMPFZSA-N 0 0 439.513 -0.143 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@]3(CCOC3)C2)n1CC(=O)N1CCOCC1 ZINC001316221959 1074123493 /nfs/dbraw/zinc/12/34/93/1074123493.db2.gz STQXPNRJIKXGPE-OXQOHEQNSA-N 0 0 439.513 -0.143 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1CC(=O)N1CCOCC1 ZINC001316221960 1074123481 /nfs/dbraw/zinc/12/34/81/1074123481.db2.gz STQXPNRJIKXGPE-UZLBHIALSA-N 0 0 439.513 -0.143 20 0 IBADRN COCCOC1(Cn2c(C(=O)NC(C)C)nnc2N2CCN(C)C(=O)C2)CCOCC1 ZINC001316224032 1074123586 /nfs/dbraw/zinc/12/35/86/1074123586.db2.gz SPEVWJNCQGUYNW-UHFFFAOYSA-N 0 0 438.529 -0.093 20 0 IBADRN COCCO[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)C1 ZINC001316243117 1074123500 /nfs/dbraw/zinc/12/35/00/1074123500.db2.gz SNQRVWJZIJRLPG-FCEWJHQRSA-N 0 0 444.554 -0.037 20 0 IBADRN COCCO[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)C1 ZINC001316243118 1074123487 /nfs/dbraw/zinc/12/34/87/1074123487.db2.gz SNQRVWJZIJRLPG-FRQCXROJSA-N 0 0 444.554 -0.037 20 0 IBADRN COCCO[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)C1 ZINC001316243119 1074123440 /nfs/dbraw/zinc/12/34/40/1074123440.db2.gz SNQRVWJZIJRLPG-GPMSIDNRSA-N 0 0 444.554 -0.037 20 0 IBADRN COCCO[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)C1 ZINC001316243120 1074123548 /nfs/dbraw/zinc/12/35/48/1074123548.db2.gz SNQRVWJZIJRLPG-MDZRGWNJSA-N 0 0 444.554 -0.037 20 0 IBADRN COCCO[C@H]1CCN(c2nnc(-c3cnccn3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001316247978 1074124094 /nfs/dbraw/zinc/12/40/94/1074124094.db2.gz XZBGKLGMPXWKIL-KBPBESRZSA-N 0 0 430.469 -0.035 20 0 IBADRN COCCO[C@@H]1CCN(c2nnc(-c3cnccn3)n2CCC[C@@H]2NC(=O)NC2=O)C1 ZINC001316247979 1074124043 /nfs/dbraw/zinc/12/40/43/1074124043.db2.gz XZBGKLGMPXWKIL-KGLIPLIRSA-N 0 0 430.469 -0.035 20 0 IBADRN COCCOC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001316252944 1074124032 /nfs/dbraw/zinc/12/40/32/1074124032.db2.gz ZLLKYIRDUCUTCH-AWEZNQCLSA-N 0 0 429.543 -0.100 20 0 IBADRN COCCOC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001316252945 1074124073 /nfs/dbraw/zinc/12/40/73/1074124073.db2.gz ZLLKYIRDUCUTCH-CQSZACIVSA-N 0 0 429.543 -0.100 20 0 IBADRN COCCOCCCn1c([C@@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001316260866 1074123982 /nfs/dbraw/zinc/12/39/82/1074123982.db2.gz DGOITVSNXJRTBM-CVEARBPZSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCOCCCn1c([C@@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001316260867 1074124080 /nfs/dbraw/zinc/12/40/80/1074124080.db2.gz DGOITVSNXJRTBM-HOTGVXAUSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCOCCCn1c([C@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001316260868 1074124118 /nfs/dbraw/zinc/12/41/18/1074124118.db2.gz DGOITVSNXJRTBM-HZPDHXFCSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCOCCCn1c([C@H]2CCCCO2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001316260869 1074124108 /nfs/dbraw/zinc/12/41/08/1074124108.db2.gz DGOITVSNXJRTBM-JKSUJKDBSA-N 0 0 436.513 -0.280 20 0 IBADRN COCCOCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001316261073 1074124025 /nfs/dbraw/zinc/12/40/25/1074124025.db2.gz HARARRBQPWTYFZ-CABCVRRESA-N 0 0 442.542 -0.553 20 0 IBADRN COCCOCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001316261074 1074123976 /nfs/dbraw/zinc/12/39/76/1074123976.db2.gz HARARRBQPWTYFZ-GJZGRUSLSA-N 0 0 442.542 -0.553 20 0 IBADRN COCCOCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001316261075 1074124003 /nfs/dbraw/zinc/12/40/03/1074124003.db2.gz HARARRBQPWTYFZ-HUUCEWRRSA-N 0 0 442.542 -0.553 20 0 IBADRN COCCOCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001316261076 1074124100 /nfs/dbraw/zinc/12/41/00/1074124100.db2.gz HARARRBQPWTYFZ-LSDHHAIUSA-N 0 0 442.542 -0.553 20 0 IBADRN COCCOCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001316261638 1074123996 /nfs/dbraw/zinc/12/39/96/1074123996.db2.gz ASOOPLKWIONDHB-KBPBESRZSA-N 0 0 432.547 -0.392 20 0 IBADRN COCCOCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001316261640 1074124090 /nfs/dbraw/zinc/12/40/90/1074124090.db2.gz ASOOPLKWIONDHB-KGLIPLIRSA-N 0 0 432.547 -0.392 20 0 IBADRN COCCOCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001316261642 1074124008 /nfs/dbraw/zinc/12/40/08/1074124008.db2.gz ASOOPLKWIONDHB-UONOGXRCSA-N 0 0 432.547 -0.392 20 0 IBADRN COCCOCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001316261643 1074124112 /nfs/dbraw/zinc/12/41/12/1074124112.db2.gz ASOOPLKWIONDHB-ZIAGYGMSSA-N 0 0 432.547 -0.392 20 0 IBADRN COCCOCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001316262842 1074123988 /nfs/dbraw/zinc/12/39/88/1074123988.db2.gz KURJDPHICJIKBD-CYBMUJFWSA-N 0 0 446.530 -0.370 20 0 IBADRN COCCOCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001316262843 1074124053 /nfs/dbraw/zinc/12/40/53/1074124053.db2.gz KURJDPHICJIKBD-ZDUSSCGKSA-N 0 0 446.530 -0.370 20 0 IBADRN COCCOCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001316264106 1074124014 /nfs/dbraw/zinc/12/40/14/1074124014.db2.gz PTLINGZPZWCWEK-CABCVRRESA-N 0 0 432.547 -0.928 20 0 IBADRN COCCOCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001316264107 1074124059 /nfs/dbraw/zinc/12/40/59/1074124059.db2.gz PTLINGZPZWCWEK-GJZGRUSLSA-N 0 0 432.547 -0.928 20 0 IBADRN COCCOCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@H]2C1 ZINC001316264108 1074124398 /nfs/dbraw/zinc/12/43/98/1074124398.db2.gz PTLINGZPZWCWEK-HUUCEWRRSA-N 0 0 432.547 -0.928 20 0 IBADRN COCCOCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@H]2C1 ZINC001316264109 1074124461 /nfs/dbraw/zinc/12/44/61/1074124461.db2.gz PTLINGZPZWCWEK-LSDHHAIUSA-N 0 0 432.547 -0.928 20 0 IBADRN COCCOCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001316265142 1074124415 /nfs/dbraw/zinc/12/44/15/1074124415.db2.gz YRIQBEQWXNWMRG-KFWWJZLASA-N 0 0 444.558 -0.486 20 0 IBADRN COCCOCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001316265143 1074124482 /nfs/dbraw/zinc/12/44/82/1074124482.db2.gz YRIQBEQWXNWMRG-ZNMIVQPWSA-N 0 0 444.558 -0.486 20 0 IBADRN COCCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H](C)O[C@@H](C)C1 ZINC001316265625 1074124421 /nfs/dbraw/zinc/12/44/21/1074124421.db2.gz ALICQGUUDHJYJF-JYJNAYRXSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@H](C)C1 ZINC001316265626 1074124438 /nfs/dbraw/zinc/12/44/38/1074124438.db2.gz ALICQGUUDHJYJF-OAGGEKHMSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001316265627 1074124428 /nfs/dbraw/zinc/12/44/28/1074124428.db2.gz ALICQGUUDHJYJF-OWCLPIDISA-N 0 0 447.558 -0.112 20 0 IBADRN COCCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001316265628 1074124475 /nfs/dbraw/zinc/12/44/75/1074124475.db2.gz ALICQGUUDHJYJF-XHSDSOJGSA-N 0 0 447.558 -0.112 20 0 IBADRN COCCOCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCCN(C(C)=O)CC1 ZINC001316266389 1074124485 /nfs/dbraw/zinc/12/44/85/1074124485.db2.gz LLTFYMPPWCZYTG-INIZCTEOSA-N 0 0 429.543 -0.098 20 0 IBADRN COCCOCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCCN(C(C)=O)CC1 ZINC001316266391 1074124444 /nfs/dbraw/zinc/12/44/44/1074124444.db2.gz LLTFYMPPWCZYTG-MRXNPFEDSA-N 0 0 429.543 -0.098 20 0 IBADRN COCCOCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001316266503 1074124490 /nfs/dbraw/zinc/12/44/90/1074124490.db2.gz MCLNYDOZPVXKFL-KBPBESRZSA-N 0 0 428.515 -0.943 20 0 IBADRN COCCOCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001316266504 1074124382 /nfs/dbraw/zinc/12/43/82/1074124382.db2.gz MCLNYDOZPVXKFL-KGLIPLIRSA-N 0 0 428.515 -0.943 20 0 IBADRN COCCOCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001316266505 1074124456 /nfs/dbraw/zinc/12/44/56/1074124456.db2.gz MCLNYDOZPVXKFL-UONOGXRCSA-N 0 0 428.515 -0.943 20 0 IBADRN COCCOCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001316266506 1074124471 /nfs/dbraw/zinc/12/44/71/1074124471.db2.gz MCLNYDOZPVXKFL-ZIAGYGMSSA-N 0 0 428.515 -0.943 20 0 IBADRN COCCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001316266830 1074124479 /nfs/dbraw/zinc/12/44/79/1074124479.db2.gz PVVGIRCFPUTXRO-AWEZNQCLSA-N 0 0 435.572 -0.173 20 0 IBADRN COCCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCSCC1 ZINC001316266831 1074124450 /nfs/dbraw/zinc/12/44/50/1074124450.db2.gz PVVGIRCFPUTXRO-CQSZACIVSA-N 0 0 435.572 -0.173 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@H]1COCCO1 ZINC001316279030 1074124408 /nfs/dbraw/zinc/12/44/08/1074124408.db2.gz NGLXYUINDGZDPC-ZNMIVQPWSA-N 0 0 433.531 -0.597 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)CCNS(C)(=O)=O)n1Cc1ccn(C)n1 ZINC001316279053 1074124433 /nfs/dbraw/zinc/12/44/33/1074124433.db2.gz ODTRUIUCDRITDB-ZFWWWQNUSA-N 0 0 427.531 -0.231 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@]1(O)CCOC1 ZINC001316279963 1074125005 /nfs/dbraw/zinc/12/50/05/1074125005.db2.gz TXPXJJVQGDMCBQ-GRDNDAEWSA-N 0 0 433.531 -0.861 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@]1(O)CCOC1 ZINC001316279970 1074124922 /nfs/dbraw/zinc/12/49/22/1074124922.db2.gz TXPXJJVQGDMCBQ-ZQIUZPCESA-N 0 0 433.531 -0.861 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCC(CO)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001316284550 1074125074 /nfs/dbraw/zinc/12/50/74/1074125074.db2.gz AFZOLALMKSVITE-BXWFABGCSA-N 0 0 444.554 -0.240 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCC(CO)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001316284551 1074125008 /nfs/dbraw/zinc/12/50/08/1074125008.db2.gz AFZOLALMKSVITE-TXPKVOOTSA-N 0 0 444.554 -0.240 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001316288222 1074124976 /nfs/dbraw/zinc/12/49/76/1074124976.db2.gz KUMCLGMJOFDDDD-FQLMCAECSA-N 0 0 427.527 -0.096 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001316288323 1074125123 /nfs/dbraw/zinc/12/51/23/1074125123.db2.gz KUMCLGMJOFDDDD-NHIYQJMISA-N 0 0 427.527 -0.096 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCCNS(C)(=O)=O ZINC001316293912 1074125062 /nfs/dbraw/zinc/12/50/62/1074125062.db2.gz MDYFTUKMSFMXND-ILXRZTDVSA-N 0 0 444.558 -0.392 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCCNS(C)(=O)=O ZINC001316293914 1074125107 /nfs/dbraw/zinc/12/51/07/1074125107.db2.gz MDYFTUKMSFMXND-KKUMJFAQSA-N 0 0 444.558 -0.392 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1Cc1ccn(C)n1 ZINC001316295116 1074125049 /nfs/dbraw/zinc/12/50/49/1074125049.db2.gz VSQZSFUIYYLJRT-LPHOPBHVSA-N 0 0 446.556 -0.006 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(C(=O)OC)CC2)n1CCS(C)(=O)=O ZINC001316295382 1074124997 /nfs/dbraw/zinc/12/49/97/1074124997.db2.gz HWWVXHGESZAJOK-KBPBESRZSA-N 0 0 431.515 -0.065 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(C(C)=O)CC2)n1CCS(=O)(=O)N(C)C ZINC001316295458 1074124948 /nfs/dbraw/zinc/12/49/48/1074124948.db2.gz IPQMEQLRJTZAMD-HOTGVXAUSA-N 0 0 444.558 -0.438 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(C)C(=O)C2)n1CCCNS(C)(=O)=O ZINC001316295786 1074125114 /nfs/dbraw/zinc/12/51/14/1074125114.db2.gz MSFTUHNFSHAJKT-ZFWWWQNUSA-N 0 0 430.531 -0.780 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)C2)n1C[C@@H]1CCCCS1(=O)=O ZINC001316296581 1074125131 /nfs/dbraw/zinc/12/51/31/1074125131.db2.gz ILVQJZOBHUWZFU-DZKIICNBSA-N 0 0 427.527 -0.094 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)C2)n1C[C@H]1CCCCS1(=O)=O ZINC001316296582 1074125039 /nfs/dbraw/zinc/12/50/39/1074125039.db2.gz ILVQJZOBHUWZFU-LZWOXQAQSA-N 0 0 427.527 -0.094 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)CC2)n1CCC(=O)N1CCOCC1 ZINC001316296705 1074124958 /nfs/dbraw/zinc/12/49/58/1074124958.db2.gz BQNBSHARIYQESP-YJBOKZPZSA-N 0 0 436.513 -0.423 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN3CCOC[C@@H]3C2)n1CCNS(C)(=O)=O ZINC001316296822 1074125091 /nfs/dbraw/zinc/12/50/91/1074125091.db2.gz KMMQZWOKHHNPRS-JYJNAYRXSA-N 0 0 444.558 -0.928 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN3CCOC[C@H]3C2)n1CCNS(C)(=O)=O ZINC001316296823 1074124930 /nfs/dbraw/zinc/12/49/30/1074124930.db2.gz KMMQZWOKHHNPRS-XHSDSOJGSA-N 0 0 444.558 -0.928 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN3CCOC[C@@H]3C2)n1CCS(C)(=O)=O ZINC001316296863 1074125082 /nfs/dbraw/zinc/12/50/82/1074125082.db2.gz LWDBNGKTQQLDBD-JYJNAYRXSA-N 0 0 429.543 -0.433 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN3CCOC[C@H]3C2)n1CCS(C)(=O)=O ZINC001316296864 1074125139 /nfs/dbraw/zinc/12/51/39/1074125139.db2.gz LWDBNGKTQQLDBD-XHSDSOJGSA-N 0 0 429.543 -0.433 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1COCCO1 ZINC001316297053 1074124966 /nfs/dbraw/zinc/12/49/66/1074124966.db2.gz OYKPPKGASMYNFK-JYJNAYRXSA-N 0 0 445.542 -0.501 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCC(=O)N(C)C ZINC001316297489 1074125671 /nfs/dbraw/zinc/12/56/71/1074125671.db2.gz VFNGXQNREHIMSM-HOCLYGCPSA-N 0 0 444.558 -0.438 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN(S(C)(=O)=O)CC2)n1Cc1cnn(C)c1 ZINC001316297513 1074125602 /nfs/dbraw/zinc/12/56/02/1074125602.db2.gz VPLBIKQCTZRKQX-HOTGVXAUSA-N 0 0 439.542 -0.135 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCS(C)(=O)=O ZINC001316297623 1074125679 /nfs/dbraw/zinc/12/56/79/1074125679.db2.gz XUSOWDFZPIARLR-IHRRRGAJSA-N 0 0 428.515 -0.740 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCS(C)(=O)=O ZINC001316297624 1074125586 /nfs/dbraw/zinc/12/55/86/1074125586.db2.gz XUSOWDFZPIARLR-MJBXVCDLSA-N 0 0 428.515 -0.740 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCOCC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001316298030 1074125639 /nfs/dbraw/zinc/12/56/39/1074125639.db2.gz BBLZJCWEIZCWRX-GJZGRUSLSA-N 0 0 430.527 -0.222 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001316298100 1074125624 /nfs/dbraw/zinc/12/56/24/1074125624.db2.gz SOXGABUYHFEODR-HKUYNNGSSA-N 0 0 449.556 -0.508 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2CCNC(=O)CC2)n1CC1(C(N)=O)CCOCC1 ZINC001316298621 1074125660 /nfs/dbraw/zinc/12/56/60/1074125660.db2.gz XWWLTEYURCTEHJ-HOCLYGCPSA-N 0 0 436.513 -0.390 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2C[C@@H](OC)[C@@H](OC)C2)n1CCNS(C)(=O)=O ZINC001316300093 1074125685 /nfs/dbraw/zinc/12/56/85/1074125685.db2.gz VEQGKOIOBOYADL-XQLPTFJDSA-N 0 0 433.531 -0.599 20 0 IBADRN COC[C@@H]1CCO[C@@H]1c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CCCNS(C)(=O)=O ZINC001316301926 1074125636 /nfs/dbraw/zinc/12/56/36/1074125636.db2.gz LQGXEOHKFNSUME-XQLPTFJDSA-N 0 0 431.515 -0.497 20 0 IBADRN CON(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCCNC(=O)C1CCC1 ZINC001316311440 1074125647 /nfs/dbraw/zinc/12/56/47/1074125647.db2.gz LUWDWEPOHHRNSN-AWEZNQCLSA-N 0 0 430.531 -0.085 20 0 IBADRN CON(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCCNC(=O)C1CCC1 ZINC001316311441 1074125666 /nfs/dbraw/zinc/12/56/66/1074125666.db2.gz LUWDWEPOHHRNSN-CQSZACIVSA-N 0 0 430.531 -0.085 20 0 IBADRN CON(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCN(C(C)=O)C1 ZINC001316312003 1074125590 /nfs/dbraw/zinc/12/55/90/1074125590.db2.gz SHXCOMQYJMKWGB-CABCVRRESA-N 0 0 430.531 -0.133 20 0 IBADRN CON(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCN(C(C)=O)C1 ZINC001316312005 1074125702 /nfs/dbraw/zinc/12/57/02/1074125702.db2.gz SHXCOMQYJMKWGB-GJZGRUSLSA-N 0 0 430.531 -0.133 20 0 IBADRN CON(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCN(C(C)=O)C1 ZINC001316312007 1074125593 /nfs/dbraw/zinc/12/55/93/1074125593.db2.gz SHXCOMQYJMKWGB-HUUCEWRRSA-N 0 0 430.531 -0.133 20 0 IBADRN CON(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCN(C(C)=O)C1 ZINC001316312008 1074125570 /nfs/dbraw/zinc/12/55/70/1074125570.db2.gz SHXCOMQYJMKWGB-LSDHHAIUSA-N 0 0 430.531 -0.133 20 0 IBADRN CON(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCNC(=O)c1ccco1 ZINC001316312416 1074125581 /nfs/dbraw/zinc/12/55/81/1074125581.db2.gz VSJGLGQMHSPILQ-CYBMUJFWSA-N 0 0 428.471 -0.368 20 0 IBADRN CON(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCNC(=O)c1ccco1 ZINC001316312417 1074126290 /nfs/dbraw/zinc/12/62/90/1074126290.db2.gz VSJGLGQMHSPILQ-ZDUSSCGKSA-N 0 0 428.471 -0.368 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)NC(C)C)C1 ZINC001316317004 1074126338 /nfs/dbraw/zinc/12/63/38/1074126338.db2.gz NHWDBBPGAXFVFZ-CABCVRRESA-N 0 0 444.558 -0.249 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)NC(C)C)C1 ZINC001316317005 1074126305 /nfs/dbraw/zinc/12/63/05/1074126305.db2.gz NHWDBBPGAXFVFZ-HUUCEWRRSA-N 0 0 444.558 -0.249 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(C)COCCO2)C1 ZINC001316317408 1074126265 /nfs/dbraw/zinc/12/62/65/1074126265.db2.gz RMSSJSMNIYHIIG-IIDMSEBBSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(C)COCCO2)C1 ZINC001316317409 1074126154 /nfs/dbraw/zinc/12/61/54/1074126154.db2.gz RMSSJSMNIYHIIG-RKVPGOIHSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(C)COCCO2)C1 ZINC001316317410 1074126274 /nfs/dbraw/zinc/12/62/74/1074126274.db2.gz RMSSJSMNIYHIIG-RVKKMQEKSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(C)COCCO2)C1 ZINC001316317411 1074126182 /nfs/dbraw/zinc/12/61/82/1074126182.db2.gz RMSSJSMNIYHIIG-VKJFTORMSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@](C)(O)C2CC2)C1 ZINC001316317428 1074126354 /nfs/dbraw/zinc/12/63/54/1074126354.db2.gz SBGYEIYJSLJMIN-IIDMSEBBSA-N 0 0 429.543 -0.003 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@](C)(O)C2CC2)C1 ZINC001316317429 1074126362 /nfs/dbraw/zinc/12/63/62/1074126362.db2.gz SBGYEIYJSLJMIN-RKVPGOIHSA-N 0 0 429.543 -0.003 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@](C)(O)C2CC2)C1 ZINC001316317430 1074126298 /nfs/dbraw/zinc/12/62/98/1074126298.db2.gz SBGYEIYJSLJMIN-RVKKMQEKSA-N 0 0 429.543 -0.003 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@](C)(O)C2CC2)C1 ZINC001316317431 1074126139 /nfs/dbraw/zinc/12/61/39/1074126139.db2.gz SBGYEIYJSLJMIN-VKJFTORMSA-N 0 0 429.543 -0.003 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCc2n[nH]c(C)n2)C1 ZINC001316317474 1074126369 /nfs/dbraw/zinc/12/63/69/1074126369.db2.gz UBPLQELGFOEYKG-KGLIPLIRSA-N 0 0 440.530 -0.495 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCc2n[nH]c(C)n2)C1 ZINC001316317475 1074126320 /nfs/dbraw/zinc/12/63/20/1074126320.db2.gz UBPLQELGFOEYKG-ZIAGYGMSSA-N 0 0 440.530 -0.495 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001316318286 1074126255 /nfs/dbraw/zinc/12/62/55/1074126255.db2.gz ZBWKOTLDBDNHLA-UONOGXRCSA-N 0 0 448.567 -0.655 20 0 IBADRN CO[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001316318287 1074126190 /nfs/dbraw/zinc/12/61/90/1074126190.db2.gz ZBWKOTLDBDNHLA-ZIAGYGMSSA-N 0 0 448.567 -0.655 20 0 IBADRN CO[C@@H]1CC[C@@H]2CN(c3nnc([C@H](C)NS(C)(=O)=O)n3C[C@]3(O)CCOC3)C[C@H]12 ZINC001316319141 1074126161 /nfs/dbraw/zinc/12/61/61/1074126161.db2.gz RGGSDLMRXVUWDJ-CGSPGFDNSA-N 0 0 429.543 -0.099 20 0 IBADRN CO[C@@H]1CC[C@@H]2CN(c3nnc([C@H](C)NS(C)(=O)=O)n3C[C@@]3(O)CCOC3)C[C@H]12 ZINC001316319142 1074126311 /nfs/dbraw/zinc/12/63/11/1074126311.db2.gz RGGSDLMRXVUWDJ-KCTHJMFYSA-N 0 0 429.543 -0.099 20 0 IBADRN CO[C@@H]1CC[C@@H]2CN(c3nnc([C@@H](C)NS(C)(=O)=O)n3C[C@@]3(O)CCOC3)C[C@H]12 ZINC001316319143 1074126348 /nfs/dbraw/zinc/12/63/48/1074126348.db2.gz RGGSDLMRXVUWDJ-NSIVTLKISA-N 0 0 429.543 -0.099 20 0 IBADRN CO[C@@H]1CC[C@@H]2CN(c3nnc([C@@H](C)NS(C)(=O)=O)n3C[C@]3(O)CCOC3)C[C@H]12 ZINC001316319144 1074126663 /nfs/dbraw/zinc/12/66/63/1074126663.db2.gz RGGSDLMRXVUWDJ-VPKNTQAGSA-N 0 0 429.543 -0.099 20 0 IBADRN CO[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001316319724 1074126650 /nfs/dbraw/zinc/12/66/50/1074126650.db2.gz FBMFHEFIKTWTSZ-CQSZACIVSA-N 0 0 427.527 -0.435 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC[C@@H]2COCCO2)C1 ZINC001316327344 1074126667 /nfs/dbraw/zinc/12/66/67/1074126667.db2.gz PXMGYBGMOPIORO-ARFHVFGLSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC[C@H]2COCCO2)C1 ZINC001316327345 1074126632 /nfs/dbraw/zinc/12/66/32/1074126632.db2.gz PXMGYBGMOPIORO-HRCADAONSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC[C@H]2COCCO2)C1 ZINC001316327346 1074126717 /nfs/dbraw/zinc/12/67/17/1074126717.db2.gz PXMGYBGMOPIORO-JYJNAYRXSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC[C@@H]2COCCO2)C1 ZINC001316327347 1074126638 /nfs/dbraw/zinc/12/66/38/1074126638.db2.gz PXMGYBGMOPIORO-XHSDSOJGSA-N 0 0 445.542 -0.358 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2Cc2cc(C)nn2C)C1 ZINC001316327448 1074126699 /nfs/dbraw/zinc/12/66/99/1074126699.db2.gz TYBMXBYCVFCEIB-HOTGVXAUSA-N 0 0 439.542 -0.074 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2Cc2cc(C)nn2C)C1 ZINC001316327449 1074126678 /nfs/dbraw/zinc/12/66/78/1074126678.db2.gz TYBMXBYCVFCEIB-JKSUJKDBSA-N 0 0 439.542 -0.074 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)C1 ZINC001316327571 1074126684 /nfs/dbraw/zinc/12/66/84/1074126684.db2.gz XUJWFDYIPFDGDC-KBPBESRZSA-N 0 0 430.531 -0.686 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)C1 ZINC001316327572 1074126722 /nfs/dbraw/zinc/12/67/22/1074126722.db2.gz XUJWFDYIPFDGDC-UONOGXRCSA-N 0 0 430.531 -0.686 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(O)CCO[C@@H]2C)C1 ZINC001316327634 1074126727 /nfs/dbraw/zinc/12/67/27/1074126727.db2.gz ZJEAXIOEOAMZJI-LDDOYCOJSA-N 0 0 445.542 -0.624 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(O)CCO[C@@H]2C)C1 ZINC001316327635 1074126640 /nfs/dbraw/zinc/12/66/40/1074126640.db2.gz ZJEAXIOEOAMZJI-LLDVTBCESA-N 0 0 445.542 -0.624 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(O)CCO[C@H]2C)C1 ZINC001316327636 1074126673 /nfs/dbraw/zinc/12/66/73/1074126673.db2.gz ZJEAXIOEOAMZJI-XSWJXKHESA-N 0 0 445.542 -0.624 20 0 IBADRN CO[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(O)CCO[C@H]2C)C1 ZINC001316327637 1074126696 /nfs/dbraw/zinc/12/66/96/1074126696.db2.gz ZJEAXIOEOAMZJI-YRBFXIGRSA-N 0 0 445.542 -0.624 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)C[C@@H]1OC ZINC001316329260 1074126645 /nfs/dbraw/zinc/12/66/45/1074126645.db2.gz RPHFLYCSZRENSG-BCUIYNNISA-N 0 0 435.572 -0.394 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)C[C@@H]1OC ZINC001316329265 1074126659 /nfs/dbraw/zinc/12/66/59/1074126659.db2.gz RPHFLYCSZRENSG-FOCJUVANSA-N 0 0 435.572 -0.394 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@H]2C)C[C@@H]1OC ZINC001316329434 1074126706 /nfs/dbraw/zinc/12/67/06/1074126706.db2.gz DONSTMNYZJJFOZ-CGSPGFDNSA-N 0 0 430.527 -0.430 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCO[C@H]2C)C[C@@H]1OC ZINC001316329437 1074126648 /nfs/dbraw/zinc/12/66/48/1074126648.db2.gz DONSTMNYZJJFOZ-KCTHJMFYSA-N 0 0 430.527 -0.430 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCO[C@@H]2C)C[C@@H]1OC ZINC001316329439 1074127258 /nfs/dbraw/zinc/12/72/58/1074127258.db2.gz DONSTMNYZJJFOZ-LSPIHWNJSA-N 0 0 430.527 -0.430 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@@H]2C)C[C@@H]1OC ZINC001316329441 1074127295 /nfs/dbraw/zinc/12/72/95/1074127295.db2.gz DONSTMNYZJJFOZ-ZURLZEQWSA-N 0 0 430.527 -0.430 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(O)CCSC2)C[C@@H]1OC ZINC001316329794 1074126689 /nfs/dbraw/zinc/12/66/89/1074126689.db2.gz RPHFLYCSZRENSG-JFILPPLUSA-N 0 0 435.572 -0.394 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(O)CCSC2)C[C@@H]1OC ZINC001316329795 1074126712 /nfs/dbraw/zinc/12/67/12/1074126712.db2.gz RPHFLYCSZRENSG-NFFDBFGFSA-N 0 0 435.572 -0.394 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOC(C)C)C[C@@H]1OC ZINC001316330086 1074127291 /nfs/dbraw/zinc/12/72/91/1074127291.db2.gz IUDJJWJALBTXRK-OWCLPIDISA-N 0 0 447.558 -0.114 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOC(C)C)C[C@@H]1OC ZINC001316330087 1074127281 /nfs/dbraw/zinc/12/72/81/1074127281.db2.gz IUDJJWJALBTXRK-XHSDSOJGSA-N 0 0 447.558 -0.114 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C[C@@H]1OC ZINC001316330204 1074127284 /nfs/dbraw/zinc/12/72/84/1074127284.db2.gz KJOFBCXUZWJWQK-HZSPNIEDSA-N 0 0 433.531 -0.768 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C[C@@H]1OC ZINC001316330217 1074127287 /nfs/dbraw/zinc/12/72/87/1074127287.db2.gz KJOFBCXUZWJWQK-MJBXVCDLSA-N 0 0 433.531 -0.768 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C[C@@H]1OC ZINC001316330717 1074127269 /nfs/dbraw/zinc/12/72/69/1074127269.db2.gz LYLQHCHNJSCXND-YESZJQIVSA-N 0 0 443.570 -0.248 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCOCC2)C[C@@H]1OC ZINC001316330725 1074127299 /nfs/dbraw/zinc/12/72/99/1074127299.db2.gz LYLQHCHNJSCXND-ZACQAIPSSA-N 0 0 443.570 -0.248 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCOC[C@@H]2C)C[C@@H]1OC ZINC001316331286 1074127305 /nfs/dbraw/zinc/12/73/05/1074127305.db2.gz SNUQBZVPWCZWOE-LUKYLMHMSA-N 0 0 443.570 -0.249 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCOC[C@H]2C)C[C@@H]1OC ZINC001316331287 1074127303 /nfs/dbraw/zinc/12/73/03/1074127303.db2.gz SNUQBZVPWCZWOE-TWMKSMIVSA-N 0 0 443.570 -0.249 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCOC[C@H]2C)C[C@@H]1OC ZINC001316331288 1074127273 /nfs/dbraw/zinc/12/72/73/1074127273.db2.gz SNUQBZVPWCZWOE-VQHPVUNQSA-N 0 0 443.570 -0.249 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCOC[C@@H]2C)C[C@@H]1OC ZINC001316331289 1074127308 /nfs/dbraw/zinc/12/73/08/1074127308.db2.gz SNUQBZVPWCZWOE-VVLHAWIVSA-N 0 0 443.570 -0.249 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CCC2)C[C@@H]1OC ZINC001316331527 1074127311 /nfs/dbraw/zinc/12/73/11/1074127311.db2.gz VSMAGMYOWHCQPH-QLFBSQMISA-N 0 0 445.542 -0.624 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CCC2)C[C@@H]1OC ZINC001316331528 1074127277 /nfs/dbraw/zinc/12/72/77/1074127277.db2.gz VSMAGMYOWHCQPH-ZNMIVQPWSA-N 0 0 445.542 -0.624 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C[C@@H]1OC ZINC001316331663 1074127255 /nfs/dbraw/zinc/12/72/55/1074127255.db2.gz XMNBMEOAFJJPSP-KFWWJZLASA-N 0 0 427.527 -0.444 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C[C@@H]1OC ZINC001316331664 1074127317 /nfs/dbraw/zinc/12/73/17/1074127317.db2.gz XMNBMEOAFJJPSP-SOUVJXGZSA-N 0 0 427.527 -0.444 20 0 IBADRN CO[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC(C)C)C[C@@H]1OC ZINC001316332278 1074127321 /nfs/dbraw/zinc/12/73/21/1074127321.db2.gz DOCYTHLFLKJQQN-GASCZTMLSA-N 0 0 427.531 -0.144 20 0 IBADRN CO[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)(F)F)C[C@@H]1OC ZINC001316332851 1074127260 /nfs/dbraw/zinc/12/72/60/1074127260.db2.gz GRDQLZZQUUCAIV-TXEJJXNPSA-N 0 0 434.419 -0.071 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C[C@@H]1OC ZINC001316332988 1074127266 /nfs/dbraw/zinc/12/72/66/1074127266.db2.gz SKFPWMQPSXXOES-BARDWOONSA-N 0 0 442.542 -0.639 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCN(S(C)(=O)=O)C2)C[C@@H]1OC ZINC001316332989 1074127263 /nfs/dbraw/zinc/12/72/63/1074127263.db2.gz SKFPWMQPSXXOES-LJISPDSOSA-N 0 0 442.542 -0.639 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)C[C@@H]1OC ZINC001316332990 1074127796 /nfs/dbraw/zinc/12/77/96/1074127796.db2.gz SKFPWMQPSXXOES-TUVASFSCSA-N 0 0 442.542 -0.639 20 0 IBADRN CO[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCN(S(C)(=O)=O)C2)C[C@@H]1OC ZINC001316332991 1074127801 /nfs/dbraw/zinc/12/78/01/1074127801.db2.gz SKFPWMQPSXXOES-ZQDZILKHSA-N 0 0 442.542 -0.639 20 0 IBADRN CS(=O)(=O)c1cccc(-c2nnc(N3CCNC(=O)C3)n2CCCn2ccnn2)c1 ZINC001316335243 1074127863 /nfs/dbraw/zinc/12/78/63/1074127863.db2.gz CGKFFQUVXLDMCK-UHFFFAOYSA-N 0 0 430.494 -0.033 20 0 IBADRN CS(=O)(=O)c1cccc(-c2nnc(N3CCNC(=O)C3)n2CC(=O)NCC2CC2)c1 ZINC001316335945 1074127771 /nfs/dbraw/zinc/12/77/71/1074127771.db2.gz HZFHHMIFUUXMIS-UHFFFAOYSA-N 0 0 432.506 -0.189 20 0 IBADRN CS(=O)(=O)C1(Cn2c([C@@H]3CCOC3)nnc2N2CCC(OCC(N)=O)CC2)CC1 ZINC001316337050 1074127718 /nfs/dbraw/zinc/12/77/18/1074127718.db2.gz QZMPXRFJWYNRHL-CYBMUJFWSA-N 0 0 427.527 -0.170 20 0 IBADRN CS(=O)(=O)C1(Cn2c([C@H]3CCOC3)nnc2N2CCC(OCC(N)=O)CC2)CC1 ZINC001316337051 1074127876 /nfs/dbraw/zinc/12/78/76/1074127876.db2.gz QZMPXRFJWYNRHL-ZDUSSCGKSA-N 0 0 427.527 -0.170 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CCC2)C1 ZINC001316339760 1074127872 /nfs/dbraw/zinc/12/78/72/1074127872.db2.gz HOHOAWSQKUQPDW-CHWSQXEVSA-N 0 0 445.567 -0.180 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CCC2)C1 ZINC001316339764 1074127834 /nfs/dbraw/zinc/12/78/34/1074127834.db2.gz HOHOAWSQKUQPDW-OLZOCXBDSA-N 0 0 445.567 -0.180 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CCC2)C1 ZINC001316339766 1074127744 /nfs/dbraw/zinc/12/77/44/1074127744.db2.gz HOHOAWSQKUQPDW-QWHCGFSZSA-N 0 0 445.567 -0.180 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CCC2)C1 ZINC001316339768 1074127752 /nfs/dbraw/zinc/12/77/52/1074127752.db2.gz HOHOAWSQKUQPDW-STQMWFEESA-N 0 0 445.567 -0.180 20 0 IBADRN CS(=O)(=O)CC1(Cn2c(C(=O)N3CCCC3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001316340916 1074127791 /nfs/dbraw/zinc/12/77/91/1074127791.db2.gz BHZXYXGPJMSTHO-UHFFFAOYSA-N 0 0 445.567 -0.426 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCSC2)C1 ZINC001316340977 1074127781 /nfs/dbraw/zinc/12/77/81/1074127781.db2.gz BYIMCOQSHPZXQR-CXAGYDPISA-N 0 0 429.568 -0.108 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCSC2)C1 ZINC001316340979 1074127729 /nfs/dbraw/zinc/12/77/29/1074127729.db2.gz BYIMCOQSHPZXQR-DYVFJYSZSA-N 0 0 429.568 -0.108 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCSC2)C1 ZINC001316340981 1074127820 /nfs/dbraw/zinc/12/78/20/1074127820.db2.gz BYIMCOQSHPZXQR-GUYCJALGSA-N 0 0 429.568 -0.108 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCSC2)C1 ZINC001316340983 1074127826 /nfs/dbraw/zinc/12/78/26/1074127826.db2.gz BYIMCOQSHPZXQR-SUMWQHHRSA-N 0 0 429.568 -0.108 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001316341638 1074128204 /nfs/dbraw/zinc/12/82/04/1074128204.db2.gz BOIYJFCZHPIKIH-CXAGYDPISA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001316341640 1074127847 /nfs/dbraw/zinc/12/78/47/1074127847.db2.gz BOIYJFCZHPIKIH-DYVFJYSZSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001316341642 1074128274 /nfs/dbraw/zinc/12/82/74/1074128274.db2.gz BOIYJFCZHPIKIH-GUYCJALGSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001316341643 1074128247 /nfs/dbraw/zinc/12/82/47/1074128247.db2.gz BOIYJFCZHPIKIH-SUMWQHHRSA-N 0 0 448.567 -0.655 20 0 IBADRN CS(=O)(=O)CC1(Cn2c(CN3CCCC3=O)nnc2N2CCS(=O)CC2)CC1 ZINC001316342133 1074128266 /nfs/dbraw/zinc/12/82/66/1074128266.db2.gz XLZGFLONXNYBIQ-UHFFFAOYSA-N 0 0 429.568 -0.206 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc(CN3CCCC3=O)n2CCNC(=O)C2CC2)CC1 ZINC001316343065 1074128258 /nfs/dbraw/zinc/12/82/58/1074128258.db2.gz WHIZBQDKKNOYIO-UHFFFAOYSA-N 0 0 438.554 -0.060 20 0 IBADRN CS(=O)(=O)CCCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001316343678 1074128221 /nfs/dbraw/zinc/12/82/21/1074128221.db2.gz BIQIHGOKEXULLV-KFWWJZLASA-N 0 0 448.567 -0.043 20 0 IBADRN CS(=O)(=O)CCCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001316343679 1074128278 /nfs/dbraw/zinc/12/82/78/1074128278.db2.gz BIQIHGOKEXULLV-SOUVJXGZSA-N 0 0 448.567 -0.043 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)N2CCOCC2)C1 ZINC001316344532 1074128213 /nfs/dbraw/zinc/12/82/13/1074128213.db2.gz WNOGDRDGJRNLFB-KBPBESRZSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)Nc3ccccn3)n2C[C@H]2COCCO2)C1 ZINC001316344794 1074128284 /nfs/dbraw/zinc/12/82/84/1074128284.db2.gz VCLBTZZEYYFDMH-KBPBESRZSA-N 0 0 436.494 -0.036 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)Nc3ccccn3)n2C[C@H]2COCCO2)C1 ZINC001316344795 1074128190 /nfs/dbraw/zinc/12/81/90/1074128190.db2.gz VCLBTZZEYYFDMH-UONOGXRCSA-N 0 0 436.494 -0.036 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CC[C@@H]2COCCO2)C1 ZINC001316344825 1074128262 /nfs/dbraw/zinc/12/82/62/1074128262.db2.gz WBHHHESKWPYYGT-CABCVRRESA-N 0 0 427.527 -0.057 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CC[C@H]2COCCO2)C1 ZINC001316344826 1074128295 /nfs/dbraw/zinc/12/82/95/1074128295.db2.gz WBHHHESKWPYYGT-GJZGRUSLSA-N 0 0 427.527 -0.057 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CC[C@@H]2COCCO2)C1 ZINC001316344827 1074128231 /nfs/dbraw/zinc/12/82/31/1074128231.db2.gz WBHHHESKWPYYGT-HUUCEWRRSA-N 0 0 427.527 -0.057 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CC[C@H]2COCCO2)C1 ZINC001316344828 1074128287 /nfs/dbraw/zinc/12/82/87/1074128287.db2.gz WBHHHESKWPYYGT-LSDHHAIUSA-N 0 0 427.527 -0.057 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)N2CCOCC2)C1 ZINC001316344872 1074128251 /nfs/dbraw/zinc/12/82/51/1074128251.db2.gz WNOGDRDGJRNLFB-KGLIPLIRSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)N2CCOCC2)C1 ZINC001316344873 1074128210 /nfs/dbraw/zinc/12/82/10/1074128210.db2.gz WNOGDRDGJRNLFB-UONOGXRCSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)N2CCOCC2)C1 ZINC001316344874 1074128302 /nfs/dbraw/zinc/12/83/02/1074128302.db2.gz WNOGDRDGJRNLFB-ZIAGYGMSSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2COCCO2)CC1 ZINC001316345329 1074128238 /nfs/dbraw/zinc/12/82/38/1074128238.db2.gz ZKHYFJYXVPDWQG-AWEZNQCLSA-N 0 0 427.527 -0.057 20 0 IBADRN CS(=O)(=O)C1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2COCCO2)CC1 ZINC001316345331 1074128298 /nfs/dbraw/zinc/12/82/98/1074128298.db2.gz ZKHYFJYXVPDWQG-CQSZACIVSA-N 0 0 427.527 -0.057 20 0 IBADRN CS(=O)(=O)CCCn1c(Cc2ccncc2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001316346023 1074128306 /nfs/dbraw/zinc/12/83/06/1074128306.db2.gz VXBYVPFILZMOOW-UHFFFAOYSA-N 0 0 442.567 -0.220 20 0 IBADRN CS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCOC2(CCOCC2)C1 ZINC001316346340 1074128912 /nfs/dbraw/zinc/12/89/12/1074128912.db2.gz FVXRRPYHXBOYQG-UHFFFAOYSA-N 0 0 427.527 -0.056 20 0 IBADRN CS(=O)(=O)CCN(c1nnc(CN2CCCC2=O)n1C[C@H]1COCCO1)C1CC1 ZINC001316346382 1074128925 /nfs/dbraw/zinc/12/89/25/1074128925.db2.gz HLJVAAUNDICMIH-HNNXBMFYSA-N 0 0 427.527 -0.171 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001316346395 1074128931 /nfs/dbraw/zinc/12/89/31/1074128931.db2.gz AFUWLRZUGPKKAV-CABCVRRESA-N 0 0 437.526 -0.234 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001316346396 1074128869 /nfs/dbraw/zinc/12/88/69/1074128869.db2.gz AFUWLRZUGPKKAV-GJZGRUSLSA-N 0 0 437.526 -0.234 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001316346397 1074128980 /nfs/dbraw/zinc/12/89/80/1074128980.db2.gz AFUWLRZUGPKKAV-HUUCEWRRSA-N 0 0 437.526 -0.234 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001316346398 1074128916 /nfs/dbraw/zinc/12/89/16/1074128916.db2.gz AFUWLRZUGPKKAV-LSDHHAIUSA-N 0 0 437.526 -0.234 20 0 IBADRN CS(=O)(=O)CCCn1c(-c2cnccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001316346620 1074128882 /nfs/dbraw/zinc/12/88/82/1074128882.db2.gz DGPLQBNFPDJTBB-UHFFFAOYSA-N 0 0 429.528 -0.749 20 0 IBADRN CS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001316346680 1074128938 /nfs/dbraw/zinc/12/89/38/1074128938.db2.gz LTXTZXBISNLERA-UHFFFAOYSA-N 0 0 433.556 -0.428 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCCN1CCOCC1)C1CC1 ZINC001316346789 1074128894 /nfs/dbraw/zinc/12/88/94/1074128894.db2.gz OKXGYWRHLWLFFT-INIZCTEOSA-N 0 0 440.570 -0.035 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@H]2CCC(=O)N2)n1CCCN1CCOCC1)C1CC1 ZINC001316346790 1074128889 /nfs/dbraw/zinc/12/88/89/1074128889.db2.gz OKXGYWRHLWLFFT-MRXNPFEDSA-N 0 0 440.570 -0.035 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C[C@H]2CCOC2)CC1 ZINC001316347273 1074128952 /nfs/dbraw/zinc/12/89/52/1074128952.db2.gz LKRCJSOKIHTBKX-CVEARBPZSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C[C@@H]2CCOC2)CC1 ZINC001316347280 1074128872 /nfs/dbraw/zinc/12/88/72/1074128872.db2.gz LKRCJSOKIHTBKX-HOTGVXAUSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C[C@H]2CCOC2)CC1 ZINC001316347282 1074128963 /nfs/dbraw/zinc/12/89/63/1074128963.db2.gz LKRCJSOKIHTBKX-HZPDHXFCSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C[C@@H]2CCOC2)CC1 ZINC001316347284 1074128851 /nfs/dbraw/zinc/12/88/51/1074128851.db2.gz LKRCJSOKIHTBKX-JKSUJKDBSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1)C1CC1 ZINC001316347365 1074128959 /nfs/dbraw/zinc/12/89/59/1074128959.db2.gz WKDCOVXKHRSFQW-HIFRSBDPSA-N 0 0 448.567 -0.391 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1)C1CC1 ZINC001316347367 1074128974 /nfs/dbraw/zinc/12/89/74/1074128974.db2.gz WKDCOVXKHRSFQW-ZFWWWQNUSA-N 0 0 448.567 -0.391 20 0 IBADRN CS(=O)(=O)CCCn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCNC(=O)C1 ZINC001316347787 1074128947 /nfs/dbraw/zinc/12/89/47/1074128947.db2.gz JETQRJGYOATOFT-UHFFFAOYSA-N 0 0 441.535 -0.281 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1)C1CC1 ZINC001316347866 1074128900 /nfs/dbraw/zinc/12/89/00/1074128900.db2.gz ZAUTYAJEMUATNR-AWEZNQCLSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)CCN(c1nnc([C@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1)C1CC1 ZINC001316347867 1074128944 /nfs/dbraw/zinc/12/89/44/1074128944.db2.gz ZAUTYAJEMUATNR-CQSZACIVSA-N 0 0 440.526 -0.899 20 0 IBADRN CS(=O)(=O)CCn1c(-c2ccccc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001316347874 1074128906 /nfs/dbraw/zinc/12/89/06/1074128906.db2.gz IMHQSDDEABJJQW-UHFFFAOYSA-N 0 0 446.533 -0.083 20 0 IBADRN CS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001316348259 1074129210 /nfs/dbraw/zinc/12/92/10/1074129210.db2.gz AJDJFOROWHVPRM-UHFFFAOYSA-N 0 0 429.528 -0.749 20 0 IBADRN CS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001316348455 1074129214 /nfs/dbraw/zinc/12/92/14/1074129214.db2.gz BZDCWIGPJNTASD-KRWDZBQOSA-N 0 0 445.567 -0.540 20 0 IBADRN CS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001316348456 1074129255 /nfs/dbraw/zinc/12/92/55/1074129255.db2.gz BZDCWIGPJNTASD-QGZVFWFLSA-N 0 0 445.567 -0.540 20 0 IBADRN CS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCOC2(CCOCC2)C1 ZINC001316348459 1074129260 /nfs/dbraw/zinc/12/92/60/1074129260.db2.gz CADBQNSEEOOZHU-UHFFFAOYSA-N 0 0 427.527 -0.169 20 0 IBADRN CS(=O)(=O)CCCn1c(C2CCC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001316348538 1074129290 /nfs/dbraw/zinc/12/92/90/1074129290.db2.gz SIWGXNQAVOLZPO-UHFFFAOYSA-N 0 0 438.554 -0.093 20 0 IBADRN CS(=O)(=O)CCn1c(C2CCC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001316348598 1074129271 /nfs/dbraw/zinc/12/92/71/1074129271.db2.gz BUYGKUDQMQGDNK-UHFFFAOYSA-N 0 0 440.570 -0.429 20 0 IBADRN CS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC(C(=O)N2CCOCC2)CC1 ZINC001316349002 1074129302 /nfs/dbraw/zinc/12/93/02/1074129302.db2.gz FQRIFWLRLCODDI-UHFFFAOYSA-N 0 0 449.537 -0.145 20 0 IBADRN CS(=O)(=O)CCCn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001316349866 1074129295 /nfs/dbraw/zinc/12/92/95/1074129295.db2.gz ZMAOCIWXOZSQMF-UHFFFAOYSA-N 0 0 438.554 -0.139 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CCC2)CC1 ZINC001316350426 1074129266 /nfs/dbraw/zinc/12/92/66/1074129266.db2.gz QUPMBQUGLMTIAO-AWEZNQCLSA-N 0 0 431.584 -0.101 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CCC2)CC1 ZINC001316350427 1074129311 /nfs/dbraw/zinc/12/93/11/1074129311.db2.gz QUPMBQUGLMTIAO-CQSZACIVSA-N 0 0 431.584 -0.101 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](CN2CCOCC2)C1 ZINC001316350510 1074129249 /nfs/dbraw/zinc/12/92/49/1074129249.db2.gz SUZCOYJMTMQXNI-CVEARBPZSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](CN2CCOCC2)C1 ZINC001316350511 1074129231 /nfs/dbraw/zinc/12/92/31/1074129231.db2.gz SUZCOYJMTMQXNI-HZPDHXFCSA-N 0 0 440.570 -0.178 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001316350824 1074129201 /nfs/dbraw/zinc/12/92/01/1074129201.db2.gz YXIKENJPHNUGBW-KBPBESRZSA-N 0 0 435.572 -0.408 20 0 IBADRN CS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001316350825 1074129220 /nfs/dbraw/zinc/12/92/20/1074129220.db2.gz YXIKENJPHNUGBW-KGLIPLIRSA-N 0 0 435.572 -0.408 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001316350826 1074129278 /nfs/dbraw/zinc/12/92/78/1074129278.db2.gz YXIKENJPHNUGBW-UONOGXRCSA-N 0 0 435.572 -0.408 20 0 IBADRN CS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001316350827 1074129285 /nfs/dbraw/zinc/12/92/85/1074129285.db2.gz YXIKENJPHNUGBW-ZIAGYGMSSA-N 0 0 435.572 -0.408 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001316351021 1074129238 /nfs/dbraw/zinc/12/92/38/1074129238.db2.gz FDPGWBGFCLIIBS-KBPBESRZSA-N 0 0 428.515 -0.943 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001316351022 1074129314 /nfs/dbraw/zinc/12/93/14/1074129314.db2.gz FDPGWBGFCLIIBS-KGLIPLIRSA-N 0 0 428.515 -0.943 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001316351023 1074129224 /nfs/dbraw/zinc/12/92/24/1074129224.db2.gz FDPGWBGFCLIIBS-UONOGXRCSA-N 0 0 428.515 -0.943 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001316351024 1074129245 /nfs/dbraw/zinc/12/92/45/1074129245.db2.gz FDPGWBGFCLIIBS-ZIAGYGMSSA-N 0 0 428.515 -0.943 20 0 IBADRN CS(=O)(=O)CCOCCn1c(Cc2ccccn2)nnc1N1CCS(=O)CC1 ZINC001316351263 1074129929 /nfs/dbraw/zinc/12/99/29/1074129929.db2.gz JZOWJWPORYHNDP-UHFFFAOYSA-N 0 0 427.552 -0.106 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001316351381 1074129889 /nfs/dbraw/zinc/12/98/89/1074129889.db2.gz NIBDVFMDKVDCSN-ACJLOTCBSA-N 0 0 440.526 -0.993 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001316351382 1074129952 /nfs/dbraw/zinc/12/99/52/1074129952.db2.gz NIBDVFMDKVDCSN-FZKQIMNGSA-N 0 0 440.526 -0.993 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001316351383 1074129960 /nfs/dbraw/zinc/12/99/60/1074129960.db2.gz NIBDVFMDKVDCSN-SCLBCKFNSA-N 0 0 440.526 -0.993 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001316351384 1074129949 /nfs/dbraw/zinc/12/99/49/1074129949.db2.gz NIBDVFMDKVDCSN-UGSOOPFHSA-N 0 0 440.526 -0.993 20 0 IBADRN CS(=O)(=O)CCOCCn1c(Cc2ccncc2)nnc1N1CCS(=O)CC1 ZINC001316351433 1074129936 /nfs/dbraw/zinc/12/99/36/1074129936.db2.gz OREFNBKVBIJUBZ-UHFFFAOYSA-N 0 0 427.552 -0.106 20 0 IBADRN CS(=O)(=O)CCOCCn1c(CN2CCCC2=O)nnc1N1CCS(=O)CC1 ZINC001316351512 1074129918 /nfs/dbraw/zinc/12/99/18/1074129918.db2.gz FVFVWYSWTPAVRR-UHFFFAOYSA-N 0 0 433.556 -0.970 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2COCCO2)CC1 ZINC001316351538 1074129961 /nfs/dbraw/zinc/12/99/61/1074129961.db2.gz GMZIWFMBSLXCNP-OAHLLOKOSA-N 0 0 442.542 -0.599 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCOC2)nnc1N1CCC(CC(N)=O)CC1 ZINC001316351616 1074129939 /nfs/dbraw/zinc/12/99/39/1074129939.db2.gz RPNCBVQSQROYNL-HNNXBMFYSA-N 0 0 429.543 -0.065 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCOC2)nnc1N1CCC(CC(N)=O)CC1 ZINC001316351617 1074129946 /nfs/dbraw/zinc/12/99/46/1074129946.db2.gz RPNCBVQSQROYNL-OAHLLOKOSA-N 0 0 429.543 -0.065 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001316351788 1074129907 /nfs/dbraw/zinc/12/99/07/1074129907.db2.gz URDRLBORBMUCKP-CABCVRRESA-N 0 0 443.526 -0.126 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001316351790 1074129922 /nfs/dbraw/zinc/12/99/22/1074129922.db2.gz URDRLBORBMUCKP-GJZGRUSLSA-N 0 0 443.526 -0.126 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001316351791 1074129897 /nfs/dbraw/zinc/12/98/97/1074129897.db2.gz URDRLBORBMUCKP-HUUCEWRRSA-N 0 0 443.526 -0.126 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001316351794 1074129943 /nfs/dbraw/zinc/12/99/43/1074129943.db2.gz URDRLBORBMUCKP-LSDHHAIUSA-N 0 0 443.526 -0.126 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc([C@H]3CCOC3)n2CC(=O)N2CCOCC2)CC1 ZINC001316352235 1074129928 /nfs/dbraw/zinc/12/99/28/1074129928.db2.gz APVGPBBHCBWNBF-HNNXBMFYSA-N 0 0 442.542 -0.888 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc([C@@H]3CCOC3)n2CC(=O)N2CCOCC2)CC1 ZINC001316352236 1074129901 /nfs/dbraw/zinc/12/99/01/1074129901.db2.gz APVGPBBHCBWNBF-OAHLLOKOSA-N 0 0 442.542 -0.888 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(-c3ccccn3)n2CC(=O)N2CCOCC2)CC1 ZINC001316352414 1074129913 /nfs/dbraw/zinc/12/99/13/1074129913.db2.gz UAUZYIMJSYMLQB-UHFFFAOYSA-N 0 0 449.537 -0.329 20 0 IBADRN CS(=O)(=O)CCOCCn1c(CC2CC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001316352416 1074129964 /nfs/dbraw/zinc/12/99/64/1074129964.db2.gz UEDLPZBQMJBTCC-UHFFFAOYSA-N 0 0 449.599 -0.237 20 0 IBADRN CS(=O)(=O)N1CC[C@H](Cn2c(Cc3ccncc3)nnc2N2CCNC(=O)CC2)C1 ZINC001316352678 1074129962 /nfs/dbraw/zinc/12/99/62/1074129962.db2.gz XGVCOLQJGJQNOY-INIZCTEOSA-N 0 0 433.538 -0.128 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](Cn2c(Cc3ccncc3)nnc2N2CCNC(=O)CC2)C1 ZINC001316352680 1074129955 /nfs/dbraw/zinc/12/99/55/1074129955.db2.gz XGVCOLQJGJQNOY-MRXNPFEDSA-N 0 0 433.538 -0.128 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCCO2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001316352773 1074130322 /nfs/dbraw/zinc/13/03/22/1074130322.db2.gz YHCNAGMKHGIDRO-HZPDHXFCSA-N 0 0 429.543 -0.288 20 0 IBADRN CS(=O)(=O)CCOCCn1c([C@H]2CCCO2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001316352774 1074130291 /nfs/dbraw/zinc/13/02/91/1074130291.db2.gz YHCNAGMKHGIDRO-JKSUJKDBSA-N 0 0 429.543 -0.288 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCOC2)CC1 ZINC001316352804 1074130355 /nfs/dbraw/zinc/13/03/55/1074130355.db2.gz ZCFYJUPTBYQDRT-GOSISDBHSA-N 0 0 442.542 -0.863 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCOC2)CC1 ZINC001316352805 1074130362 /nfs/dbraw/zinc/13/03/62/1074130362.db2.gz ZCFYJUPTBYQDRT-SFHVURJKSA-N 0 0 442.542 -0.863 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(C3CC3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001316353052 1074130279 /nfs/dbraw/zinc/13/02/79/1074130279.db2.gz RRWCRIFQOIBYNO-UHFFFAOYSA-N 0 0 447.583 -0.433 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(C3CCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001316353200 1074130308 /nfs/dbraw/zinc/13/03/08/1074130308.db2.gz XQBCVGYDPYFAAI-KRWDZBQOSA-N 0 0 447.583 -0.433 20 0 IBADRN CS(=O)(=O)N1CCCN(c2nnc(C3CCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001316353201 1074130271 /nfs/dbraw/zinc/13/02/71/1074130271.db2.gz XQBCVGYDPYFAAI-QGZVFWFLSA-N 0 0 447.583 -0.433 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(Cc3cccnc3)n2CC(=O)NCC(F)F)CC1 ZINC001316353309 1074130230 /nfs/dbraw/zinc/13/02/30/1074130230.db2.gz BHIZKCFHHXPLTI-UHFFFAOYSA-N 0 0 443.480 -0.273 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(-c3cnccn3)n2CC(=O)NCC(F)F)CC1 ZINC001316353816 1074130313 /nfs/dbraw/zinc/13/03/13/1074130313.db2.gz CEGSPMSYTVJBFS-UHFFFAOYSA-N 0 0 444.468 -0.366 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(-c3cnccn3)n2CCSCCCO)CC1 ZINC001316354057 1074130192 /nfs/dbraw/zinc/13/01/92/1074130192.db2.gz DRFQCGXYWMOHPG-UHFFFAOYSA-N 0 0 427.556 -0.068 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCCC(=O)NC2CC2)CC1 ZINC001316354146 1074130208 /nfs/dbraw/zinc/13/02/08/1074130208.db2.gz OADXIOGJFSKEJV-AWEZNQCLSA-N 0 0 426.543 -0.078 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCCC(=O)NC2CC2)CC1 ZINC001316354148 1074130349 /nfs/dbraw/zinc/13/03/49/1074130349.db2.gz OADXIOGJFSKEJV-CQSZACIVSA-N 0 0 426.543 -0.078 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(-c3cnccn3)n2CC2(O)CCOCC2)CC1 ZINC001316354449 1074130256 /nfs/dbraw/zinc/13/02/56/1074130256.db2.gz HLRKJCQKKUWPQE-UHFFFAOYSA-N 0 0 437.526 -0.205 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC[C@H]2COCCO2)CC1 ZINC001316354476 1074130369 /nfs/dbraw/zinc/13/03/69/1074130369.db2.gz FRZJLRRPMZHVDH-HNNXBMFYSA-N 0 0 442.542 -0.599 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC[C@@H]2COCCO2)CC1 ZINC001316354478 1074130234 /nfs/dbraw/zinc/13/02/34/1074130234.db2.gz FRZJLRRPMZHVDH-OAHLLOKOSA-N 0 0 442.542 -0.599 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C3CC3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001316354554 1074130339 /nfs/dbraw/zinc/13/03/39/1074130339.db2.gz GSEJMDAXOOQEDH-UHFFFAOYSA-N 0 0 433.556 -0.823 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)CC1 ZINC001316354591 1074130266 /nfs/dbraw/zinc/13/02/66/1074130266.db2.gz ROQIXAUKDIBWMP-CYBMUJFWSA-N 0 0 434.544 -0.973 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)CC1 ZINC001316354608 1074130240 /nfs/dbraw/zinc/13/02/40/1074130240.db2.gz ROQIXAUKDIBWMP-ZDUSSCGKSA-N 0 0 434.544 -0.973 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCOC2)CC1 ZINC001316354696 1074130905 /nfs/dbraw/zinc/13/09/05/1074130905.db2.gz HEPFZFGMQFHHIP-GOSISDBHSA-N 0 0 442.542 -0.817 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCOC2)CC1 ZINC001316354705 1074130924 /nfs/dbraw/zinc/13/09/24/1074130924.db2.gz HEPFZFGMQFHHIP-SFHVURJKSA-N 0 0 442.542 -0.817 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCC(=O)N2)C1 ZINC001316354923 1074130891 /nfs/dbraw/zinc/13/08/91/1074130891.db2.gz FJHJNYVREMWOPD-QLFBSQMISA-N 0 0 426.543 -0.174 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCC(=O)N2)C1 ZINC001316354925 1074130866 /nfs/dbraw/zinc/13/08/66/1074130866.db2.gz FJHJNYVREMWOPD-RBSFLKMASA-N 0 0 426.543 -0.174 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCC(=O)N2)C1 ZINC001316354927 1074130929 /nfs/dbraw/zinc/13/09/29/1074130929.db2.gz FJHJNYVREMWOPD-RRFJBIMHSA-N 0 0 426.543 -0.174 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCC(=O)N2)C1 ZINC001316354929 1074130887 /nfs/dbraw/zinc/13/08/87/1074130887.db2.gz FJHJNYVREMWOPD-SOUVJXGZSA-N 0 0 426.543 -0.174 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(Cc3ccncc3)n2CC2(O)CCOCC2)CC1 ZINC001316354965 1074130913 /nfs/dbraw/zinc/13/09/13/1074130913.db2.gz TWVJTYSCQBADTC-UHFFFAOYSA-N 0 0 436.538 -0.113 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)C3)n2CCC2CCOCC2)C1 ZINC001316355098 1074130854 /nfs/dbraw/zinc/13/08/54/1074130854.db2.gz IZBZSRJFYCSWGI-HNNXBMFYSA-N 0 0 442.542 -0.636 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(C3CC3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001316355117 1074130882 /nfs/dbraw/zinc/13/08/82/1074130882.db2.gz IAEBFDPZMHEQST-UHFFFAOYSA-N 0 0 426.543 -0.044 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)C3)n2CCC2CCOCC2)C1 ZINC001316355126 1074130926 /nfs/dbraw/zinc/13/09/26/1074130926.db2.gz IZBZSRJFYCSWGI-OAHLLOKOSA-N 0 0 442.542 -0.636 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCC[C@H](C(N)=O)C3)n2Cc2ccco2)C1 ZINC001316355260 1074130876 /nfs/dbraw/zinc/13/08/76/1074130876.db2.gz KFRCQLORGZKIAW-DZGCQCFKSA-N 0 0 438.510 -0.046 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCC[C@H](C(N)=O)C3)n2Cc2ccco2)C1 ZINC001316355261 1074130893 /nfs/dbraw/zinc/13/08/93/1074130893.db2.gz KFRCQLORGZKIAW-ZFWWWQNUSA-N 0 0 438.510 -0.046 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(C3CCC3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001316355269 1074130902 /nfs/dbraw/zinc/13/09/02/1074130902.db2.gz JYERYODEGTWBQA-UHFFFAOYSA-N 0 0 447.583 -0.433 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCC2)CC1 ZINC001316355291 1074130880 /nfs/dbraw/zinc/13/08/80/1074130880.db2.gz KBCKMPPGEVRCCB-CYBMUJFWSA-N 0 0 447.583 -0.387 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCC2)CC1 ZINC001316355292 1074130910 /nfs/dbraw/zinc/13/09/10/1074130910.db2.gz KBCKMPPGEVRCCB-ZDUSSCGKSA-N 0 0 447.583 -0.387 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001316355459 1074130935 /nfs/dbraw/zinc/13/09/35/1074130935.db2.gz KXZZODXMWQVKRX-CYBMUJFWSA-N 0 0 428.540 -0.211 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001316355460 1074131272 /nfs/dbraw/zinc/13/12/72/1074131272.db2.gz KXZZODXMWQVKRX-ZDUSSCGKSA-N 0 0 428.540 -0.211 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)C3)n2Cc2cccc(O)c2)C1 ZINC001316355804 1074131283 /nfs/dbraw/zinc/13/12/83/1074131283.db2.gz LNDAWTOZIMKVLS-HNNXBMFYSA-N 0 0 436.494 -0.699 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)C3)n2Cc2cccc(O)c2)C1 ZINC001316355805 1074131259 /nfs/dbraw/zinc/13/12/59/1074131259.db2.gz LNDAWTOZIMKVLS-OAHLLOKOSA-N 0 0 436.494 -0.699 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCCO3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001316355867 1074131288 /nfs/dbraw/zinc/13/12/88/1074131288.db2.gz XCGQFHBHWSAFQL-UONOGXRCSA-N 0 0 433.556 -0.354 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCCO3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001316355868 1074131295 /nfs/dbraw/zinc/13/12/95/1074131295.db2.gz XCGQFHBHWSAFQL-ZIAGYGMSSA-N 0 0 433.556 -0.354 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCNC(=O)c2ccco2)CC1 ZINC001316355921 1074131304 /nfs/dbraw/zinc/13/13/04/1074131304.db2.gz YBQQEVFPCVPNTO-AWEZNQCLSA-N 0 0 438.510 -0.113 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCNC(=O)c2ccco2)CC1 ZINC001316355925 1074131256 /nfs/dbraw/zinc/13/12/56/1074131256.db2.gz YBQQEVFPCVPNTO-CQSZACIVSA-N 0 0 438.510 -0.113 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CC1 ZINC001316356171 1074131280 /nfs/dbraw/zinc/13/12/80/1074131280.db2.gz NUGGCYCHUXEBMI-CABCVRRESA-N 0 0 442.542 -0.494 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CC1 ZINC001316356198 1074131235 /nfs/dbraw/zinc/13/12/35/1074131235.db2.gz NUGGCYCHUXEBMI-GJZGRUSLSA-N 0 0 442.542 -0.494 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CC1 ZINC001316356200 1074131250 /nfs/dbraw/zinc/13/12/50/1074131250.db2.gz NUGGCYCHUXEBMI-HUUCEWRRSA-N 0 0 442.542 -0.494 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CC1 ZINC001316356203 1074131264 /nfs/dbraw/zinc/13/12/64/1074131264.db2.gz NUGGCYCHUXEBMI-LSDHHAIUSA-N 0 0 442.542 -0.494 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCS(=O)CC3)n2C[C@@H]2CCSC2)C1 ZINC001316356248 1074131267 /nfs/dbraw/zinc/13/12/67/1074131267.db2.gz MZTNKAPEAWMLGE-KBPBESRZSA-N 0 0 449.624 -0.067 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCS(=O)CC3)n2C[C@H]2CCSC2)C1 ZINC001316356249 1074131300 /nfs/dbraw/zinc/13/13/00/1074131300.db2.gz MZTNKAPEAWMLGE-KGLIPLIRSA-N 0 0 449.624 -0.067 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCS(=O)CC3)n2C[C@@H]2CCSC2)C1 ZINC001316356250 1074131307 /nfs/dbraw/zinc/13/13/07/1074131307.db2.gz MZTNKAPEAWMLGE-UONOGXRCSA-N 0 0 449.624 -0.067 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCS(=O)CC3)n2C[C@H]2CCSC2)C1 ZINC001316356252 1074131270 /nfs/dbraw/zinc/13/12/70/1074131270.db2.gz MZTNKAPEAWMLGE-ZIAGYGMSSA-N 0 0 449.624 -0.067 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC[C@H]2COCCO2)CC1 ZINC001316356277 1074131298 /nfs/dbraw/zinc/13/12/98/1074131298.db2.gz OUOLXQHLGHFCQF-KBPBESRZSA-N 0 0 428.515 -0.884 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC[C@@H]2COCCO2)CC1 ZINC001316356280 1074131291 /nfs/dbraw/zinc/13/12/91/1074131291.db2.gz OUOLXQHLGHFCQF-KGLIPLIRSA-N 0 0 428.515 -0.884 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC[C@H]2COCCO2)CC1 ZINC001316356281 1074131241 /nfs/dbraw/zinc/13/12/41/1074131241.db2.gz OUOLXQHLGHFCQF-UONOGXRCSA-N 0 0 428.515 -0.884 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC[C@@H]2COCCO2)CC1 ZINC001316356283 1074131891 /nfs/dbraw/zinc/13/18/91/1074131891.db2.gz OUOLXQHLGHFCQF-ZIAGYGMSSA-N 0 0 428.515 -0.884 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)NCC(F)F)CC1 ZINC001316356380 1074131854 /nfs/dbraw/zinc/13/18/54/1074131854.db2.gz MKTKWVMZEUSQOL-LLVKDONJSA-N 0 0 436.485 -0.319 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)NCC(F)F)CC1 ZINC001316356382 1074131900 /nfs/dbraw/zinc/13/19/00/1074131900.db2.gz MKTKWVMZEUSQOL-NSHDSACASA-N 0 0 436.485 -0.319 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001316356435 1074131915 /nfs/dbraw/zinc/13/19/15/1074131915.db2.gz NPIYJRYTVOTVPO-CHWSQXEVSA-N 0 0 425.515 -0.974 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001316356439 1074131888 /nfs/dbraw/zinc/13/18/88/1074131888.db2.gz NPIYJRYTVOTVPO-OLZOCXBDSA-N 0 0 425.515 -0.974 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc(-c3cccnc3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001316356780 1074131903 /nfs/dbraw/zinc/13/19/03/1074131903.db2.gz QQOURSAFQQYFBX-UHFFFAOYSA-N 0 0 440.551 -0.001 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCOC2)C1 ZINC001316356869 1074131912 /nfs/dbraw/zinc/13/19/12/1074131912.db2.gz QYCIYBWHQHTXMX-DAYGRLMNSA-N 0 0 429.543 -0.301 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCOC2)C1 ZINC001316356870 1074131895 /nfs/dbraw/zinc/13/18/95/1074131895.db2.gz QYCIYBWHQHTXMX-HDMKZQKVSA-N 0 0 429.543 -0.301 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCOC2)C1 ZINC001316356871 1074131884 /nfs/dbraw/zinc/13/18/84/1074131884.db2.gz QYCIYBWHQHTXMX-MPGHIAIKSA-N 0 0 429.543 -0.301 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCOC2)C1 ZINC001316356872 1074131850 /nfs/dbraw/zinc/13/18/50/1074131850.db2.gz QYCIYBWHQHTXMX-RLFYNMQTSA-N 0 0 429.543 -0.301 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCSCC3)n2C[C@H]2CCC(=O)N2)C1 ZINC001316356905 1074131886 /nfs/dbraw/zinc/13/18/86/1074131886.db2.gz OOVXRKXXGVVTKX-CHWSQXEVSA-N 0 0 430.556 -0.557 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCSCC3)n2C[C@H]2CCC(=O)N2)C1 ZINC001316356906 1074131899 /nfs/dbraw/zinc/13/18/99/1074131899.db2.gz OOVXRKXXGVVTKX-OLZOCXBDSA-N 0 0 430.556 -0.557 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCn2cc(Cl)cn2)CC1 ZINC001316357323 1074131872 /nfs/dbraw/zinc/13/18/72/1074131872.db2.gz TUVLSQLWUXLCBV-CYBMUJFWSA-N 0 0 442.933 -0.139 20 0 IBADRN CS(=O)(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCn2cc(Cl)cn2)CC1 ZINC001316357330 1074131845 /nfs/dbraw/zinc/13/18/45/1074131845.db2.gz TUVLSQLWUXLCBV-ZDUSSCGKSA-N 0 0 442.933 -0.139 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2CCc2cn[nH]c2)CC1 ZINC001316357417 1074132242 /nfs/dbraw/zinc/13/22/42/1074132242.db2.gz RSQGZIOCZMOABT-UHFFFAOYSA-N 0 0 436.542 -0.002 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(C3CC3)n2CCC(=O)N2CCOCC2)CC1 ZINC001316357483 1074132307 /nfs/dbraw/zinc/13/23/07/1074132307.db2.gz TZPCQZUONPKNCW-UHFFFAOYSA-N 0 0 426.543 -0.078 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)CC3)n2CCc2ccncc2)C1 ZINC001316357584 1074132239 /nfs/dbraw/zinc/13/22/39/1074132239.db2.gz SBGYHWBHJYEILR-INIZCTEOSA-N 0 0 449.537 -0.425 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)CC3)n2CCc2ccncc2)C1 ZINC001316357591 1074132228 /nfs/dbraw/zinc/13/22/28/1074132228.db2.gz SBGYHWBHJYEILR-MRXNPFEDSA-N 0 0 449.537 -0.425 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(-c3ccc[nH]3)n2CC(=O)N2CCOCC2)CC1 ZINC001316357767 1074132264 /nfs/dbraw/zinc/13/22/64/1074132264.db2.gz WHPJOERNIPWADW-UHFFFAOYSA-N 0 0 437.526 -0.350 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c(Cc2cccnc2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001316357799 1074132298 /nfs/dbraw/zinc/13/22/98/1074132298.db2.gz WGNBXJHAPYLJEH-HZPDHXFCSA-N 0 0 433.538 -0.001 20 0 IBADRN CS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001316357802 1074132289 /nfs/dbraw/zinc/13/22/89/1074132289.db2.gz YYQWPZYHOVSPNV-CYBMUJFWSA-N 0 0 441.514 -0.906 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1Cn1c(Cc2cccnc2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001316357813 1074132232 /nfs/dbraw/zinc/13/22/32/1074132232.db2.gz WGNBXJHAPYLJEH-JKSUJKDBSA-N 0 0 433.538 -0.001 20 0 IBADRN CS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001316357825 1074132269 /nfs/dbraw/zinc/13/22/69/1074132269.db2.gz YYQWPZYHOVSPNV-ZDUSSCGKSA-N 0 0 441.514 -0.906 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2C[C@H]2COCCO2)C1 ZINC001316357984 1074132281 /nfs/dbraw/zinc/13/22/81/1074132281.db2.gz XQDZWBJLSFGTRV-JYJNAYRXSA-N 0 0 429.543 -0.037 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2COCCO2)C1 ZINC001316357986 1074132248 /nfs/dbraw/zinc/13/22/48/1074132248.db2.gz XQDZWBJLSFGTRV-XHSDSOJGSA-N 0 0 429.543 -0.037 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CCNC(=O)C3)n2CCCCCCO)C1 ZINC001316358068 1074132303 /nfs/dbraw/zinc/13/23/03/1074132303.db2.gz VJIZIHMADPDJTM-AWEZNQCLSA-N 0 0 430.531 -0.900 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CCNC(=O)C3)n2CCCCCCO)C1 ZINC001316358076 1074132252 /nfs/dbraw/zinc/13/22/52/1074132252.db2.gz VJIZIHMADPDJTM-CQSZACIVSA-N 0 0 430.531 -0.900 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2COCCO2)CC1 ZINC001316358198 1074132274 /nfs/dbraw/zinc/13/22/74/1074132274.db2.gz ZIOADHGZEODMRJ-OAHLLOKOSA-N 0 0 442.542 -0.666 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CC[C@@H](C(N)=O)C3)n2CC(F)(F)F)C1 ZINC001316358420 1074132244 /nfs/dbraw/zinc/13/22/44/1074132244.db2.gz YGFLYYDGCPEDJO-NXEZZACHSA-N 0 0 426.421 -0.515 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CC[C@H](C(N)=O)C3)n2CC(F)(F)F)C1 ZINC001316358421 1074132817 /nfs/dbraw/zinc/13/28/17/1074132817.db2.gz YGFLYYDGCPEDJO-UWVGGRQHSA-N 0 0 426.421 -0.515 20 0 IBADRN CS(=O)(=O)N1CCO[C@@H](c2nnc(N3CC[C@H](C(N)=O)C3)n2CC(F)(F)F)C1 ZINC001316358422 1074132827 /nfs/dbraw/zinc/13/28/27/1074132827.db2.gz YGFLYYDGCPEDJO-VHSXEESVSA-N 0 0 426.421 -0.515 20 0 IBADRN CS(=O)(=O)N1CCO[C@H](c2nnc(N3CC[C@@H](C(N)=O)C3)n2CC(F)(F)F)C1 ZINC001316358423 1074132830 /nfs/dbraw/zinc/13/28/30/1074132830.db2.gz YGFLYYDGCPEDJO-ZJUUUORDSA-N 0 0 426.421 -0.515 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001316358601 1074132812 /nfs/dbraw/zinc/13/28/12/1074132812.db2.gz CXEVIIVXOITDMU-AWEZNQCLSA-N 0 0 426.543 -0.172 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001316358602 1074132824 /nfs/dbraw/zinc/13/28/24/1074132824.db2.gz CXEVIIVXOITDMU-CQSZACIVSA-N 0 0 426.543 -0.172 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(F)F)CC1 ZINC001316358612 1074132809 /nfs/dbraw/zinc/13/28/09/1074132809.db2.gz DCZHQXLZYAWYMR-GFCCVEGCSA-N 0 0 435.501 -0.444 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(F)F)CC1 ZINC001316358613 1074132821 /nfs/dbraw/zinc/13/28/21/1074132821.db2.gz DCZHQXLZYAWYMR-LBPRGKRZSA-N 0 0 435.501 -0.444 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCCOC2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001316358622 1074132833 /nfs/dbraw/zinc/13/28/33/1074132833.db2.gz DJIQIDIDOLYZCU-AWEZNQCLSA-N 0 0 426.543 -0.078 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCCOC2)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001316358623 1074132831 /nfs/dbraw/zinc/13/28/31/1074132831.db2.gz DJIQIDIDOLYZCU-CQSZACIVSA-N 0 0 426.543 -0.078 20 0 IBADRN CS(=O)(=O)NCCCn1c(Cc2cn3ccccc3n2)nnc1N1CCNC(=O)C1 ZINC001316358637 1074132828 /nfs/dbraw/zinc/13/28/28/1074132828.db2.gz DSILKAPFPHZVAX-UHFFFAOYSA-N 0 0 432.510 -0.608 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001316358682 1074132805 /nfs/dbraw/zinc/13/28/05/1074132805.db2.gz FVFVHNANXRLYIU-CVEARBPZSA-N 0 0 439.542 -0.177 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001316358683 1074132808 /nfs/dbraw/zinc/13/28/08/1074132808.db2.gz FVFVHNANXRLYIU-HOTGVXAUSA-N 0 0 439.542 -0.177 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001316358684 1074132823 /nfs/dbraw/zinc/13/28/23/1074132823.db2.gz FVFVHNANXRLYIU-HZPDHXFCSA-N 0 0 439.542 -0.177 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001316358685 1074132804 /nfs/dbraw/zinc/13/28/04/1074132804.db2.gz FVFVHNANXRLYIU-JKSUJKDBSA-N 0 0 439.542 -0.177 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001316358977 1074133148 /nfs/dbraw/zinc/13/31/48/1074133148.db2.gz NXJAAAFPHVPTCH-KKUMJFAQSA-N 0 0 442.542 -0.447 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001316358978 1074133125 /nfs/dbraw/zinc/13/31/25/1074133125.db2.gz NXJAAAFPHVPTCH-RRFJBIMHSA-N 0 0 442.542 -0.447 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001316358979 1074133143 /nfs/dbraw/zinc/13/31/43/1074133143.db2.gz NXJAAAFPHVPTCH-SOUVJXGZSA-N 0 0 442.542 -0.447 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H]([C@H]2CCCO2)C1 ZINC001316358980 1074133155 /nfs/dbraw/zinc/13/31/55/1074133155.db2.gz NXJAAAFPHVPTCH-ZNMIVQPWSA-N 0 0 442.542 -0.447 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2ncccn2)CC1 ZINC001316359313 1074133219 /nfs/dbraw/zinc/13/32/19/1074133219.db2.gz VCZGFGYRUCTBJC-AWEZNQCLSA-N 0 0 449.541 -0.715 20 0 IBADRN CS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2ncccn2)CC1 ZINC001316359314 1074133201 /nfs/dbraw/zinc/13/32/01/1074133201.db2.gz VCZGFGYRUCTBJC-CQSZACIVSA-N 0 0 449.541 -0.715 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1 ZINC001316359882 1074133195 /nfs/dbraw/zinc/13/31/95/1074133195.db2.gz GFVFHVPVRZAKLE-CABCVRRESA-N 0 0 442.542 -0.590 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2COCCO2)CC1 ZINC001316359883 1074133176 /nfs/dbraw/zinc/13/31/76/1074133176.db2.gz GFVFHVPVRZAKLE-GJZGRUSLSA-N 0 0 442.542 -0.590 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1 ZINC001316359885 1074133159 /nfs/dbraw/zinc/13/31/59/1074133159.db2.gz GFVFHVPVRZAKLE-HUUCEWRRSA-N 0 0 442.542 -0.590 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2COCCO2)CC1 ZINC001316359887 1074133180 /nfs/dbraw/zinc/13/31/80/1074133180.db2.gz GFVFHVPVRZAKLE-LSDHHAIUSA-N 0 0 442.542 -0.590 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc(C3CC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001316360189 1074133072 /nfs/dbraw/zinc/13/30/72/1074133072.db2.gz JSFAZYIRLANMFW-UHFFFAOYSA-N 0 0 446.599 -0.043 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCOC2)CC1 ZINC001316360311 1074133114 /nfs/dbraw/zinc/13/31/14/1074133114.db2.gz KLWYQMQZXFVLNB-KBXCAEBGSA-N 0 0 442.542 -0.854 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCOC2)CC1 ZINC001316360312 1074133170 /nfs/dbraw/zinc/13/31/70/1074133170.db2.gz KLWYQMQZXFVLNB-KDOFPFPSSA-N 0 0 442.542 -0.854 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCOC2)CC1 ZINC001316360314 1074133212 /nfs/dbraw/zinc/13/32/12/1074133212.db2.gz KLWYQMQZXFVLNB-KSSFIOAISA-N 0 0 442.542 -0.854 20 0 IBADRN CS(=O)(=O)NCC1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCOC2)CC1 ZINC001316360316 1074133102 /nfs/dbraw/zinc/13/31/02/1074133102.db2.gz KLWYQMQZXFVLNB-RDTXWAMCSA-N 0 0 442.542 -0.854 20 0 IBADRN CS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001316360522 1074133188 /nfs/dbraw/zinc/13/31/88/1074133188.db2.gz YQNGAVDISKRZNC-KBPBESRZSA-N 0 0 439.542 -0.945 20 0 IBADRN CS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001316360523 1074133089 /nfs/dbraw/zinc/13/30/89/1074133089.db2.gz YQNGAVDISKRZNC-KGLIPLIRSA-N 0 0 439.542 -0.945 20 0 IBADRN CS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001316360524 1074133120 /nfs/dbraw/zinc/13/31/20/1074133120.db2.gz YQNGAVDISKRZNC-UONOGXRCSA-N 0 0 439.542 -0.945 20 0 IBADRN CS(=O)(=O)NCCCn1c(CN2CCCC2=O)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001316360525 1074133629 /nfs/dbraw/zinc/13/36/29/1074133629.db2.gz YQNGAVDISKRZNC-ZIAGYGMSSA-N 0 0 439.542 -0.945 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2ccncc2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001316361221 1074133601 /nfs/dbraw/zinc/13/36/01/1074133601.db2.gz GQNXAWZDIWMKMV-UHFFFAOYSA-N 0 0 435.510 -0.108 20 0 IBADRN CS(=O)(=O)NCCn1c(C2CCC2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001316361534 1074133574 /nfs/dbraw/zinc/13/35/74/1074133574.db2.gz BQVHFGLSHOJASS-CYBMUJFWSA-N 0 0 434.588 -0.140 20 0 IBADRN CS(=O)(=O)NCCn1c(C2CCC2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001316361535 1074133607 /nfs/dbraw/zinc/13/36/07/1074133607.db2.gz BQVHFGLSHOJASS-ZDUSSCGKSA-N 0 0 434.588 -0.140 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001316361719 1074133626 /nfs/dbraw/zinc/13/36/26/1074133626.db2.gz CSUOADQHGBQOGC-UHFFFAOYSA-N 0 0 443.555 -0.639 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001316361744 1074133589 /nfs/dbraw/zinc/13/35/89/1074133589.db2.gz DUDNCBORZSBLNK-CVEARBPZSA-N 0 0 428.559 -0.510 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001316361745 1074133620 /nfs/dbraw/zinc/13/36/20/1074133620.db2.gz DUDNCBORZSBLNK-HOTGVXAUSA-N 0 0 428.559 -0.510 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001316361746 1074133641 /nfs/dbraw/zinc/13/36/41/1074133641.db2.gz DUDNCBORZSBLNK-HZPDHXFCSA-N 0 0 428.559 -0.510 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001316361747 1074133608 /nfs/dbraw/zinc/13/36/08/1074133608.db2.gz DUDNCBORZSBLNK-JKSUJKDBSA-N 0 0 428.559 -0.510 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001316361761 1074133633 /nfs/dbraw/zinc/13/36/33/1074133633.db2.gz FAWKXLXYUJJACI-BBRMVZONSA-N 0 0 433.556 -0.654 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@]2(CCS(=O)(=O)C2)C1 ZINC001316361762 1074133563 /nfs/dbraw/zinc/13/35/63/1074133563.db2.gz FAWKXLXYUJJACI-CJNGLKHVSA-N 0 0 433.556 -0.654 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001316361763 1074133647 /nfs/dbraw/zinc/13/36/47/1074133647.db2.gz FAWKXLXYUJJACI-CZUORRHYSA-N 0 0 433.556 -0.654 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001316361764 1074133586 /nfs/dbraw/zinc/13/35/86/1074133586.db2.gz FAWKXLXYUJJACI-XJKSGUPXSA-N 0 0 433.556 -0.654 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1CCN(c2cnccn2)CC1 ZINC001316361964 1074133616 /nfs/dbraw/zinc/13/36/16/1074133616.db2.gz NGQLHBFDQGODOM-UHFFFAOYSA-N 0 0 443.537 -0.070 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC001316362052 1074133567 /nfs/dbraw/zinc/13/35/67/1074133567.db2.gz GQSMSCJMNXSJRA-KFWWJZLASA-N 0 0 447.583 -0.265 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H]2OCCC[C@@H]2C1 ZINC001316362053 1074133604 /nfs/dbraw/zinc/13/36/04/1074133604.db2.gz GQSMSCJMNXSJRA-RBSFLKMASA-N 0 0 447.583 -0.265 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H]2OCCC[C@H]2C1 ZINC001316362054 1074133578 /nfs/dbraw/zinc/13/35/78/1074133578.db2.gz GQSMSCJMNXSJRA-RRFJBIMHSA-N 0 0 447.583 -0.265 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2OCCC[C@H]2C1 ZINC001316362055 1074134144 /nfs/dbraw/zinc/13/41/44/1074134144.db2.gz GQSMSCJMNXSJRA-ZNMIVQPWSA-N 0 0 447.583 -0.265 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(Cn2ccnn2)CC1 ZINC001316362060 1074134227 /nfs/dbraw/zinc/13/42/27/1074134227.db2.gz GRZIHGNPKLYCPB-AWEZNQCLSA-N 0 0 437.530 -0.714 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(Cn2ccnn2)CC1 ZINC001316362089 1074134183 /nfs/dbraw/zinc/13/41/83/1074134183.db2.gz GRZIHGNPKLYCPB-CQSZACIVSA-N 0 0 437.530 -0.714 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC001316362284 1074134240 /nfs/dbraw/zinc/13/42/40/1074134240.db2.gz IOWUJKPKUNMTQL-ILXRZTDVSA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC001316362288 1074134198 /nfs/dbraw/zinc/13/41/98/1074134198.db2.gz IOWUJKPKUNMTQL-KFWWJZLASA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC001316362289 1074134217 /nfs/dbraw/zinc/13/42/17/1074134217.db2.gz IOWUJKPKUNMTQL-QLFBSQMISA-N 0 0 426.543 -0.031 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC001316362291 1074134251 /nfs/dbraw/zinc/13/42/51/1074134251.db2.gz IOWUJKPKUNMTQL-RBSFLKMASA-N 0 0 426.543 -0.031 20 0 IBADRN C[S@](=O)C1(Cn2c(C(=O)N3CCCC3)nnc2N2CCC(OCC(N)=O)CC2)CC1 ZINC001316362357 1074134221 /nfs/dbraw/zinc/13/42/21/1074134221.db2.gz QCIMDOMSNFVEHK-PMERELPUSA-N 0 0 438.554 -0.104 20 0 IBADRN C[S@@](=O)C1(Cn2c(C(=O)N3CCCC3)nnc2N2CCC(OCC(N)=O)CC2)CC1 ZINC001316362362 1074134155 /nfs/dbraw/zinc/13/41/55/1074134155.db2.gz QCIMDOMSNFVEHK-SSEXGKCCSA-N 0 0 438.554 -0.104 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCC2)C1 ZINC001316362498 1074134237 /nfs/dbraw/zinc/13/42/37/1074134237.db2.gz JOAUXVWLJCRYSR-CYBMUJFWSA-N 0 0 433.556 -0.511 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC2(CCC2)C1 ZINC001316362500 1074134232 /nfs/dbraw/zinc/13/42/32/1074134232.db2.gz JOAUXVWLJCRYSR-ZDUSSCGKSA-N 0 0 433.556 -0.511 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001316362644 1074134188 /nfs/dbraw/zinc/13/41/88/1074134188.db2.gz MBISRYAXIXMURU-MCIONIFRSA-N 0 0 428.515 -0.344 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001316362650 1074134194 /nfs/dbraw/zinc/13/41/94/1074134194.db2.gz MBISRYAXIXMURU-MJBXVCDLSA-N 0 0 428.515 -0.344 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC001316362763 1074134253 /nfs/dbraw/zinc/13/42/53/1074134253.db2.gz SWUPSJHTEHPWHW-KBPBESRZSA-N 0 0 439.542 -0.803 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC001316362764 1074134172 /nfs/dbraw/zinc/13/41/72/1074134172.db2.gz SWUPSJHTEHPWHW-KGLIPLIRSA-N 0 0 439.542 -0.803 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC001316362765 1074134163 /nfs/dbraw/zinc/13/41/63/1074134163.db2.gz SWUPSJHTEHPWHW-UONOGXRCSA-N 0 0 439.542 -0.803 20 0 IBADRN CS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC001316362766 1074134177 /nfs/dbraw/zinc/13/41/77/1074134177.db2.gz SWUPSJHTEHPWHW-ZIAGYGMSSA-N 0 0 439.542 -0.803 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)c2ccco2)CC1 ZINC001316362885 1074134211 /nfs/dbraw/zinc/13/42/11/1074134211.db2.gz NRHSVGDJJXOUJF-AWEZNQCLSA-N 0 0 438.510 -0.113 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)c2ccco2)CC1 ZINC001316362887 1074134480 /nfs/dbraw/zinc/13/44/80/1074134480.db2.gz NRHSVGDJJXOUJF-CQSZACIVSA-N 0 0 438.510 -0.113 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2ccccn2)CC1 ZINC001316362957 1074134510 /nfs/dbraw/zinc/13/45/10/1074134510.db2.gz OWCBFGNELUJGII-AWEZNQCLSA-N 0 0 434.526 -0.500 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2ccccn2)CC1 ZINC001316362962 1074134400 /nfs/dbraw/zinc/13/44/00/1074134400.db2.gz OWCBFGNELUJGII-CQSZACIVSA-N 0 0 434.526 -0.500 20 0 IBADRN CS(=O)(=O)NCCn1c(-c2cnccn2)nnc1N1CCC(N2CCCNC2=O)CC1 ZINC001316363279 1074134485 /nfs/dbraw/zinc/13/44/85/1074134485.db2.gz QPWKFUDDOYWNQD-UHFFFAOYSA-N 0 0 449.541 -0.332 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCCC[C@H]2C1 ZINC001316364383 1074134415 /nfs/dbraw/zinc/13/44/15/1074134415.db2.gz YCKBIGCABQVRAG-CABCVRRESA-N 0 0 446.599 -0.596 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCCC[C@H]2C1 ZINC001316364384 1074134427 /nfs/dbraw/zinc/13/44/27/1074134427.db2.gz YCKBIGCABQVRAG-GJZGRUSLSA-N 0 0 446.599 -0.596 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCCC[C@@H]2C1 ZINC001316364385 1074134493 /nfs/dbraw/zinc/13/44/93/1074134493.db2.gz YCKBIGCABQVRAG-HUUCEWRRSA-N 0 0 446.599 -0.596 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCCC[C@@H]2C1 ZINC001316364386 1074134448 /nfs/dbraw/zinc/13/44/48/1074134448.db2.gz YCKBIGCABQVRAG-LSDHHAIUSA-N 0 0 446.599 -0.596 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2ccccn2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001316364392 1074134476 /nfs/dbraw/zinc/13/44/76/1074134476.db2.gz YIKASROEFXHLLV-HNNXBMFYSA-N 0 0 442.567 -0.174 20 0 IBADRN CS(=O)(=O)NCCn1c(Cc2ccccn2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001316364393 1074134440 /nfs/dbraw/zinc/13/44/40/1074134440.db2.gz YIKASROEFXHLLV-OAHLLOKOSA-N 0 0 442.567 -0.174 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCC[C@H](N2CCCC2=O)C1 ZINC001316364421 1074134443 /nfs/dbraw/zinc/13/44/43/1074134443.db2.gz ZAYRTWOFRXCWHL-CABCVRRESA-N 0 0 426.543 -0.078 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCC[C@H](N2CCCC2=O)C1 ZINC001316364424 1074134470 /nfs/dbraw/zinc/13/44/70/1074134470.db2.gz ZAYRTWOFRXCWHL-GJZGRUSLSA-N 0 0 426.543 -0.078 20 0 IBADRN CS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCC[C@@H](N2CCCC2=O)C1 ZINC001316364426 1074134523 /nfs/dbraw/zinc/13/45/23/1074134523.db2.gz ZAYRTWOFRXCWHL-HUUCEWRRSA-N 0 0 426.543 -0.078 20 0 IBADRN CS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCC[C@@H](N2CCCC2=O)C1 ZINC001316364427 1074134519 /nfs/dbraw/zinc/13/45/19/1074134519.db2.gz ZAYRTWOFRXCWHL-LSDHHAIUSA-N 0 0 426.543 -0.078 20 0 IBADRN C[S@@](=O)C1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(NS(C)(=O)=O)CC2)CC1 ZINC001316364795 1074134489 /nfs/dbraw/zinc/13/44/89/1074134489.db2.gz RUZXLUWINJCUPA-CFJPQMKISA-N 0 0 444.583 -0.342 20 0 IBADRN C[S@@](=O)C1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC(NS(C)(=O)=O)CC2)CC1 ZINC001316364797 1074134504 /nfs/dbraw/zinc/13/45/04/1074134504.db2.gz RUZXLUWINJCUPA-DBDZUICISA-N 0 0 444.583 -0.342 20 0 IBADRN C[S@](=O)C1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(NS(C)(=O)=O)CC2)CC1 ZINC001316364799 1074134409 /nfs/dbraw/zinc/13/44/09/1074134409.db2.gz RUZXLUWINJCUPA-OIJAAMDRSA-N 0 0 444.583 -0.342 20 0 IBADRN C[S@](=O)C1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCC(NS(C)(=O)=O)CC2)CC1 ZINC001316364801 1074134465 /nfs/dbraw/zinc/13/44/65/1074134465.db2.gz RUZXLUWINJCUPA-QYSRSOONSA-N 0 0 444.583 -0.342 20 0 IBADRN C[S@@](=O)C1(Cn2c(CN3CCCC3=O)nnc2N2CCS(=O)(=O)CC2)CCC1 ZINC001316364901 1074134462 /nfs/dbraw/zinc/13/44/62/1074134462.db2.gz CWLQUIFBCSINAL-HHHXNRCGSA-N 0 0 429.568 -0.064 20 0 IBADRN C[S@](=O)C1(Cn2c(CN3CCCC3=O)nnc2N2CCS(=O)(=O)CC2)CCC1 ZINC001316364902 1074134507 /nfs/dbraw/zinc/13/45/07/1074134507.db2.gz CWLQUIFBCSINAL-MHZLTWQESA-N 0 0 429.568 -0.064 20 0 IBADRN CS[C@@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001316374704 1074135127 /nfs/dbraw/zinc/13/51/27/1074135127.db2.gz OKAGANRZTSJHCH-KBPBESRZSA-N 0 0 447.631 -0.150 20 0 IBADRN CS[C@H](C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001316374705 1074135086 /nfs/dbraw/zinc/13/50/86/1074135086.db2.gz OKAGANRZTSJHCH-KGLIPLIRSA-N 0 0 447.631 -0.150 20 0 IBADRN CS[C@@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001316374706 1074135034 /nfs/dbraw/zinc/13/50/34/1074135034.db2.gz OKAGANRZTSJHCH-UONOGXRCSA-N 0 0 447.631 -0.150 20 0 IBADRN CS[C@H](C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001316374707 1074135055 /nfs/dbraw/zinc/13/50/55/1074135055.db2.gz OKAGANRZTSJHCH-ZIAGYGMSSA-N 0 0 447.631 -0.150 20 0 IBADRN CSC1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CC[C@@H](C(N)=O)C2)CC1 ZINC001316406025 1074135122 /nfs/dbraw/zinc/13/51/22/1074135122.db2.gz DAZBOSFIEBQMBL-CHWSQXEVSA-N 0 0 444.583 -0.182 20 0 IBADRN CSC1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CC[C@@H](C(N)=O)C2)CC1 ZINC001316406026 1074135108 /nfs/dbraw/zinc/13/51/08/1074135108.db2.gz DAZBOSFIEBQMBL-OLZOCXBDSA-N 0 0 444.583 -0.182 20 0 IBADRN CSC1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CC[C@H](C(N)=O)C2)CC1 ZINC001316406027 1074135112 /nfs/dbraw/zinc/13/51/12/1074135112.db2.gz DAZBOSFIEBQMBL-QWHCGFSZSA-N 0 0 444.583 -0.182 20 0 IBADRN CSC1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CC[C@H](C(N)=O)C2)CC1 ZINC001316406028 1074135105 /nfs/dbraw/zinc/13/51/05/1074135105.db2.gz DAZBOSFIEBQMBL-STQMWFEESA-N 0 0 444.583 -0.182 20 0 IBADRN CSCCCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001316457301 1074135090 /nfs/dbraw/zinc/13/50/90/1074135090.db2.gz OPKCDCUNPNSSNG-KBPBESRZSA-N 0 0 435.554 -0.091 20 0 IBADRN CSCCCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001316457302 1074135075 /nfs/dbraw/zinc/13/50/75/1074135075.db2.gz OPKCDCUNPNSSNG-KGLIPLIRSA-N 0 0 435.554 -0.091 20 0 IBADRN CSCCCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001316457303 1074135100 /nfs/dbraw/zinc/13/51/00/1074135100.db2.gz OPKCDCUNPNSSNG-UONOGXRCSA-N 0 0 435.554 -0.091 20 0 IBADRN CSCCCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001316457304 1074135130 /nfs/dbraw/zinc/13/51/30/1074135130.db2.gz OPKCDCUNPNSSNG-ZIAGYGMSSA-N 0 0 435.554 -0.091 20 0 IBADRN CSCCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1 ZINC001316462416 1074135116 /nfs/dbraw/zinc/13/51/16/1074135116.db2.gz YZIBIQCFVQNOIX-CYBMUJFWSA-N 0 0 432.572 -0.310 20 0 IBADRN CSCCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)C1 ZINC001316462417 1074135125 /nfs/dbraw/zinc/13/51/25/1074135125.db2.gz YZIBIQCFVQNOIX-ZDUSSCGKSA-N 0 0 432.572 -0.310 20 0 IBADRN C[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@@H]1O ZINC001316481561 1074135017 /nfs/dbraw/zinc/13/50/17/1074135017.db2.gz JPWWEHBTAMUSDT-GLJUWKHASA-N 0 0 427.527 -0.729 20 0 IBADRN C[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@@H]1O ZINC001316481562 1074135097 /nfs/dbraw/zinc/13/50/97/1074135097.db2.gz JPWWEHBTAMUSDT-QWQRMKEZSA-N 0 0 427.527 -0.729 20 0 IBADRN C[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CCOCCS(C)(=O)=O)C[C@@H]1O ZINC001316483737 1074135064 /nfs/dbraw/zinc/13/50/64/1074135064.db2.gz SXFSFFUXTUFDCF-CABCVRRESA-N 0 0 429.543 -0.331 20 0 IBADRN C[C@@H]1CCO[C@@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001316485360 1074135110 /nfs/dbraw/zinc/13/51/10/1074135110.db2.gz HJOLUPCBLBUESH-KFWWJZLASA-N 0 0 447.583 -0.395 20 0 IBADRN C[C@@H]1CCO[C@@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001316485362 1074135007 /nfs/dbraw/zinc/13/50/07/1074135007.db2.gz HJOLUPCBLBUESH-RBSFLKMASA-N 0 0 447.583 -0.395 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CCCO2)C[C@@H]1O ZINC001316485435 1074134985 /nfs/dbraw/zinc/13/49/85/1074134985.db2.gz VMDSXAJORHGXOR-FXUDXRNXSA-N 0 0 429.543 -0.003 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCO2)C[C@@H]1O ZINC001316485436 1074135382 /nfs/dbraw/zinc/13/53/82/1074135382.db2.gz VMDSXAJORHGXOR-LVQVYYBASA-N 0 0 429.543 -0.003 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CCCO2)C[C@@H]1O ZINC001316485437 1074135324 /nfs/dbraw/zinc/13/53/24/1074135324.db2.gz VMDSXAJORHGXOR-UGUYLWEFSA-N 0 0 429.543 -0.003 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCCO2)C[C@@H]1O ZINC001316485438 1074135361 /nfs/dbraw/zinc/13/53/61/1074135361.db2.gz VMDSXAJORHGXOR-WCVJEAGWSA-N 0 0 429.543 -0.003 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)C[C@@H]1O ZINC001316485448 1074135342 /nfs/dbraw/zinc/13/53/42/1074135342.db2.gz WENWZNDIGXKFTJ-FXUDXRNXSA-N 0 0 445.542 -0.767 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C[C@@H]1O ZINC001316485449 1074135376 /nfs/dbraw/zinc/13/53/76/1074135376.db2.gz WENWZNDIGXKFTJ-LVQVYYBASA-N 0 0 445.542 -0.767 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)C[C@@H]1O ZINC001316485450 1074135464 /nfs/dbraw/zinc/13/54/64/1074135464.db2.gz WENWZNDIGXKFTJ-UGUYLWEFSA-N 0 0 445.542 -0.767 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C[C@@H]1O ZINC001316485451 1074135397 /nfs/dbraw/zinc/13/53/97/1074135397.db2.gz WENWZNDIGXKFTJ-WCVJEAGWSA-N 0 0 445.542 -0.767 20 0 IBADRN C[C@@H]1CCO[C@@H]1Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001316486193 1074135346 /nfs/dbraw/zinc/13/53/46/1074135346.db2.gz SEHHLCABNPCTAE-BZUAXINKSA-N 0 0 441.554 -0.100 20 0 IBADRN C[C@@H]1CCO[C@@H]1Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001316486194 1074135400 /nfs/dbraw/zinc/13/54/00/1074135400.db2.gz SEHHLCABNPCTAE-OWCLPIDISA-N 0 0 441.554 -0.100 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CC[C@H](CO)O2)C[C@@H]1F ZINC001316490427 1074135450 /nfs/dbraw/zinc/13/54/50/1074135450.db2.gz UYAFHUCBWAFVLN-DGADGQDISA-N 0 0 447.533 -0.055 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2CC[C@H](CO)O2)C[C@@H]1F ZINC001316490428 1074135353 /nfs/dbraw/zinc/13/53/53/1074135353.db2.gz UYAFHUCBWAFVLN-LEOABGAYSA-N 0 0 447.533 -0.055 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCc2cn[nH]c2)CCN1S(C)(=O)=O ZINC001316493948 1074135443 /nfs/dbraw/zinc/13/54/43/1074135443.db2.gz CHQHDZFIEBNHDB-AWEZNQCLSA-N 0 0 436.542 -0.050 20 0 IBADRN C[C@H]1CN(c2nnc(-c3ccc[nH]3)n2CCCn2cc(CO)nn2)CCN1S(C)(=O)=O ZINC001316496171 1074135405 /nfs/dbraw/zinc/13/54/05/1074135405.db2.gz WQMATGRHHZPBOV-AWEZNQCLSA-N 0 0 449.541 -0.083 20 0 IBADRN C[C@H]1CN(c2nnc(C3CC3)n2CCC(=O)N2CCOCC2)CCN1S(C)(=O)=O ZINC001316496589 1074135413 /nfs/dbraw/zinc/13/54/13/1074135413.db2.gz SPEZFUJTYRMOQI-AWEZNQCLSA-N 0 0 426.543 -0.126 20 0 IBADRN C[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)C2CC2)CCN1S(C)(=O)=O ZINC001316496690 1074135331 /nfs/dbraw/zinc/13/53/31/1074135331.db2.gz TWPAAGMXCOWZOY-ZDUSSCGKSA-N 0 0 436.542 -0.325 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2ccn(C)n2)CCN1S(C)(=O)=O ZINC001316497210 1074135424 /nfs/dbraw/zinc/13/54/24/1074135424.db2.gz XAEHQUHRPQKUEJ-AWEZNQCLSA-N 0 0 436.542 -0.234 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC[C@H]2COCCO2)CCN1S(C)(=O)=O ZINC001316499035 1074135368 /nfs/dbraw/zinc/13/53/68/1074135368.db2.gz JOUOWNUTNAOJTL-KKUMJFAQSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC[C@@H]2COCCO2)CCN1S(C)(=O)=O ZINC001316499036 1074135937 /nfs/dbraw/zinc/13/59/37/1074135937.db2.gz JOUOWNUTNAOJTL-RRFJBIMHSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC[C@H]2COCCO2)CCN1S(C)(=O)=O ZINC001316499037 1074135847 /nfs/dbraw/zinc/13/58/47/1074135847.db2.gz JOUOWNUTNAOJTL-SOUVJXGZSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC[C@@H]2COCCO2)CCN1S(C)(=O)=O ZINC001316499038 1074135948 /nfs/dbraw/zinc/13/59/48/1074135948.db2.gz JOUOWNUTNAOJTL-ZNMIVQPWSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H]1CN(c2nnc(C3CCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC001316499150 1074135911 /nfs/dbraw/zinc/13/59/11/1074135911.db2.gz MUUAYAIXQQJGSW-GUYCJALGSA-N 0 0 447.583 -0.435 20 0 IBADRN C[C@H]1CN(c2nnc(C3CCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CCN1S(C)(=O)=O ZINC001316499151 1074135838 /nfs/dbraw/zinc/13/58/38/1074135838.db2.gz MUUAYAIXQQJGSW-SUMWQHHRSA-N 0 0 447.583 -0.435 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(O)CCOC2)C[C@H](C)O1 ZINC001316499689 1074135861 /nfs/dbraw/zinc/13/58/61/1074135861.db2.gz DAQAIWBCZCYANW-BASYENTBSA-N 0 0 445.542 -0.624 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(O)CCOC2)C[C@H](C)O1 ZINC001316499690 1074135958 /nfs/dbraw/zinc/13/59/58/1074135958.db2.gz DAQAIWBCZCYANW-FSZRXZPDSA-N 0 0 445.542 -0.624 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(O)CCOC2)C[C@H](C)O1 ZINC001316499691 1074135916 /nfs/dbraw/zinc/13/59/16/1074135916.db2.gz DAQAIWBCZCYANW-JTOWHCCKSA-N 0 0 445.542 -0.624 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(O)CCOC2)C[C@H](C)O1 ZINC001316499692 1074135882 /nfs/dbraw/zinc/13/58/82/1074135882.db2.gz DAQAIWBCZCYANW-KRXQYRFLSA-N 0 0 445.542 -0.624 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)c2ccn[nH]2)C[C@H](C)O1 ZINC001316499709 1074135829 /nfs/dbraw/zinc/13/58/29/1074135829.db2.gz DPQYCRPJWVCJQH-HZSPNIEDSA-N 0 0 437.526 -0.053 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)c2ccn[nH]2)C[C@H](C)O1 ZINC001316499710 1074135888 /nfs/dbraw/zinc/13/58/88/1074135888.db2.gz DPQYCRPJWVCJQH-MJBXVCDLSA-N 0 0 437.526 -0.053 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@H](C)O1 ZINC001316499849 1074135857 /nfs/dbraw/zinc/13/58/57/1074135857.db2.gz ITYGFQMPZFSEPY-LOUJCGABSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@H](C)O1 ZINC001316499850 1074135943 /nfs/dbraw/zinc/13/59/43/1074135943.db2.gz ITYGFQMPZFSEPY-OEUWWYETSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@H](C)O1 ZINC001316499851 1074135844 /nfs/dbraw/zinc/13/58/44/1074135844.db2.gz ITYGFQMPZFSEPY-QDEZUTFSSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@H](C)O1 ZINC001316499852 1074135819 /nfs/dbraw/zinc/13/58/19/1074135819.db2.gz ITYGFQMPZFSEPY-UMPJEAMMSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@H]2COCCO2)CCN1S(C)(=O)=O ZINC001316500522 1074135954 /nfs/dbraw/zinc/13/59/54/1074135954.db2.gz HJRHGISLZCJANC-GJZGRUSLSA-N 0 0 442.542 -0.714 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@H]2COCCO2)CCN1S(C)(=O)=O ZINC001316500523 1074135906 /nfs/dbraw/zinc/13/59/06/1074135906.db2.gz HJRHGISLZCJANC-LSDHHAIUSA-N 0 0 442.542 -0.714 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)CCN1S(C)(=O)=O ZINC001316501388 1074135923 /nfs/dbraw/zinc/13/59/23/1074135923.db2.gz SIJZQJYWIRUUKV-GXTWGEPZSA-N 0 0 448.571 -0.584 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)CCN1S(C)(=O)=O ZINC001316501392 1074136310 /nfs/dbraw/zinc/13/63/10/1074136310.db2.gz SIJZQJYWIRUUKV-JSGCOSHPSA-N 0 0 448.571 -0.584 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2Cc2ncn(C)n2)CCN1S(C)(=O)=O ZINC001316501641 1074136403 /nfs/dbraw/zinc/13/64/03/1074136403.db2.gz VSIHCHXYCVZLCS-ZDUSSCGKSA-N 0 0 437.530 -0.953 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCCO3)n2CC(=O)N2CCOCC2)CCN1S(C)(=O)=O ZINC001316502905 1074136349 /nfs/dbraw/zinc/13/63/49/1074136349.db2.gz PPKVVUHUIFQPLZ-LSDHHAIUSA-N 0 0 442.542 -0.542 20 0 IBADRN NC(=O)C1(Cn2c(Cc3ccccn3)nnc2N2CCS(=O)(=O)CC2)CCOCC1 ZINC001316537172 1074136357 /nfs/dbraw/zinc/13/63/57/1074136357.db2.gz AOIQMQRXAWPEJN-UHFFFAOYSA-N 0 0 434.522 -0.219 20 0 IBADRN NC(=O)c1cccc(CCn2c(CN3CCCC3=O)nnc2N2CCS(=O)(=O)CC2)c1 ZINC001316538585 1074136416 /nfs/dbraw/zinc/13/64/16/1074136416.db2.gz GQSRAOQBSFEGBV-UHFFFAOYSA-N 0 0 446.533 -0.023 20 0 IBADRN NC(=O)[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)C1 ZINC001316544271 1074136394 /nfs/dbraw/zinc/13/63/94/1074136394.db2.gz XJWVHKAVCSGZIG-HNNXBMFYSA-N 0 0 433.513 -0.649 20 0 IBADRN NC(=O)[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)C1 ZINC001316544272 1074136313 /nfs/dbraw/zinc/13/63/13/1074136313.db2.gz XJWVHKAVCSGZIG-OAHLLOKOSA-N 0 0 433.513 -0.649 20 0 IBADRN NC(=O)[C@H]1CCN(c2nnc(CC3CC3)n2CCS(=O)(=O)N2CCSCC2)C1 ZINC001316545007 1074136413 /nfs/dbraw/zinc/13/64/13/1074136413.db2.gz CWGXQZBROFXBRZ-AWEZNQCLSA-N 0 0 428.584 -0.079 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc(CC3CC3)n2CCS(=O)(=O)N2CCSCC2)C1 ZINC001316545009 1074136343 /nfs/dbraw/zinc/13/63/43/1074136343.db2.gz CWGXQZBROFXBRZ-CQSZACIVSA-N 0 0 428.584 -0.079 20 0 IBADRN NC(=O)C[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)NCC(F)F)C1 ZINC001316545406 1074136861 /nfs/dbraw/zinc/13/68/61/1074136861.db2.gz IGFPXVBAZYDQFN-GFCCVEGCSA-N 0 0 427.456 -0.013 20 0 IBADRN NC(=O)C[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)NCC(F)F)C1 ZINC001316545407 1074136898 /nfs/dbraw/zinc/13/68/98/1074136898.db2.gz IGFPXVBAZYDQFN-LBPRGKRZSA-N 0 0 427.456 -0.013 20 0 IBADRN NC(=O)[C@H]1CCN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)N2CCSCC2)C1 ZINC001316546654 1074136913 /nfs/dbraw/zinc/13/69/13/1074136913.db2.gz PSAOAZYXUOLULR-UONOGXRCSA-N 0 0 444.583 -0.180 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)N2CCSCC2)C1 ZINC001316546656 1074136887 /nfs/dbraw/zinc/13/68/87/1074136887.db2.gz PSAOAZYXUOLULR-ZIAGYGMSSA-N 0 0 444.583 -0.180 20 0 IBADRN NC(=O)CC1CCN(c2nnc(CN3CCCC3=O)n2CCC(=O)N2CCOCC2)CC1 ZINC001316546968 1074136934 /nfs/dbraw/zinc/13/69/34/1074136934.db2.gz HRSBEXVAXYVQHQ-UHFFFAOYSA-N 0 0 447.540 -0.259 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc(Cc3ccc(F)cc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001316547335 1074136875 /nfs/dbraw/zinc/13/68/75/1074136875.db2.gz UBYYHXMGEZSWKZ-AUUYWEPGSA-N 0 0 437.497 -0.131 20 0 IBADRN NC(=O)[C@H]1CCN(c2nnc(Cc3ccc(F)cc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001316547336 1074136869 /nfs/dbraw/zinc/13/68/69/1074136869.db2.gz UBYYHXMGEZSWKZ-IFXJQAMLSA-N 0 0 437.497 -0.131 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc(Cc3ccc(F)cc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001316547337 1074136844 /nfs/dbraw/zinc/13/68/44/1074136844.db2.gz UBYYHXMGEZSWKZ-KUHUBIRLSA-N 0 0 437.497 -0.131 20 0 IBADRN NC(=O)[C@H]1CCN(c2nnc(Cc3ccc(F)cc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001316547338 1074136810 /nfs/dbraw/zinc/13/68/10/1074136810.db2.gz UBYYHXMGEZSWKZ-LIRRHRJNSA-N 0 0 437.497 -0.131 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCC(O)CC2)C1 ZINC001316548252 1074136904 /nfs/dbraw/zinc/13/69/04/1074136904.db2.gz IYMROJISTUSVFH-CABCVRRESA-N 0 0 440.570 -0.661 20 0 IBADRN NC(=O)[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCC(O)CC2)C1 ZINC001316548397 1074136828 /nfs/dbraw/zinc/13/68/28/1074136828.db2.gz IYMROJISTUSVFH-GJZGRUSLSA-N 0 0 440.570 -0.661 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCC(O)CC2)C1 ZINC001316548401 1074136892 /nfs/dbraw/zinc/13/68/92/1074136892.db2.gz IYMROJISTUSVFH-HUUCEWRRSA-N 0 0 440.570 -0.661 20 0 IBADRN NC(=O)[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCC(O)CC2)C1 ZINC001316548403 1074136921 /nfs/dbraw/zinc/13/69/21/1074136921.db2.gz IYMROJISTUSVFH-LSDHHAIUSA-N 0 0 440.570 -0.661 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC[C@@H]2CCOC2)C1 ZINC001316548563 1074136917 /nfs/dbraw/zinc/13/69/17/1074136917.db2.gz KDNQLIGFTSBWQX-ARFHVFGLSA-N 0 0 441.554 -0.065 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC[C@H]2CCOC2)C1 ZINC001316548564 1074136848 /nfs/dbraw/zinc/13/68/48/1074136848.db2.gz KDNQLIGFTSBWQX-BZUAXINKSA-N 0 0 441.554 -0.065 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC[C@H]2CCOC2)C1 ZINC001316548566 1074136853 /nfs/dbraw/zinc/13/68/53/1074136853.db2.gz KDNQLIGFTSBWQX-OAGGEKHMSA-N 0 0 441.554 -0.065 20 0 IBADRN NC(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC[C@@H]2CCOC2)C1 ZINC001316548568 1074136818 /nfs/dbraw/zinc/13/68/18/1074136818.db2.gz KDNQLIGFTSBWQX-XHSDSOJGSA-N 0 0 441.554 -0.065 20 0 IBADRN NC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCSC2)CC1 ZINC001316549493 1074136958 /nfs/dbraw/zinc/13/69/58/1074136958.db2.gz MQLZLPXRDGFZOD-CXAGYDPISA-N 0 0 429.568 -0.250 20 0 IBADRN NC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCSC2)CC1 ZINC001316549494 1074136883 /nfs/dbraw/zinc/13/68/83/1074136883.db2.gz MQLZLPXRDGFZOD-DYVFJYSZSA-N 0 0 429.568 -0.250 20 0 IBADRN NC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCSC2)CC1 ZINC001316549495 1074137316 /nfs/dbraw/zinc/13/73/16/1074137316.db2.gz MQLZLPXRDGFZOD-GUYCJALGSA-N 0 0 429.568 -0.250 20 0 IBADRN NC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCSC2)CC1 ZINC001316549496 1074137341 /nfs/dbraw/zinc/13/73/41/1074137341.db2.gz MQLZLPXRDGFZOD-SUMWQHHRSA-N 0 0 429.568 -0.250 20 0 IBADRN NC(=O)C[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)C1 ZINC001316550702 1074137258 /nfs/dbraw/zinc/13/72/58/1074137258.db2.gz PCIGKICZTSIXJH-HNNXBMFYSA-N 0 0 433.513 -0.649 20 0 IBADRN NC(=O)C[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)C1 ZINC001316550703 1074137312 /nfs/dbraw/zinc/13/73/12/1074137312.db2.gz PCIGKICZTSIXJH-OAHLLOKOSA-N 0 0 433.513 -0.649 20 0 IBADRN NC(=O)C[C@H]1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001316551091 1074137290 /nfs/dbraw/zinc/13/72/90/1074137290.db2.gz TVCGZCYUSJHPOX-CABCVRRESA-N 0 0 426.543 -0.091 20 0 IBADRN NC(=O)C[C@@H]1CCCN(c2nnc([C@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001316551092 1074137301 /nfs/dbraw/zinc/13/73/01/1074137301.db2.gz TVCGZCYUSJHPOX-GJZGRUSLSA-N 0 0 426.543 -0.091 20 0 IBADRN NC(=O)C[C@H]1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001316551093 1074137307 /nfs/dbraw/zinc/13/73/07/1074137307.db2.gz TVCGZCYUSJHPOX-HUUCEWRRSA-N 0 0 426.543 -0.091 20 0 IBADRN NC(=O)C[C@@H]1CCCN(c2nnc([C@@H]3CCOC3)n2CCN2CCCS2(=O)=O)C1 ZINC001316551094 1074137344 /nfs/dbraw/zinc/13/73/44/1074137344.db2.gz TVCGZCYUSJHPOX-LSDHHAIUSA-N 0 0 426.543 -0.091 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CC1 ZINC001316551685 1074137325 /nfs/dbraw/zinc/13/73/25/1074137325.db2.gz CWQGOQXGPQGHCF-CVEARBPZSA-N 0 0 436.513 -0.105 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CC1 ZINC001316551686 1074137272 /nfs/dbraw/zinc/13/72/72/1074137272.db2.gz CWQGOQXGPQGHCF-HOTGVXAUSA-N 0 0 436.513 -0.105 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@@H]2CCOC2)CC1 ZINC001316551687 1074137335 /nfs/dbraw/zinc/13/73/35/1074137335.db2.gz CWQGOQXGPQGHCF-HZPDHXFCSA-N 0 0 436.513 -0.105 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCO[C@H]2CCOC2)CC1 ZINC001316551688 1074137349 /nfs/dbraw/zinc/13/73/49/1074137349.db2.gz CWQGOQXGPQGHCF-JKSUJKDBSA-N 0 0 436.513 -0.105 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)CC1 ZINC001316552368 1074137330 /nfs/dbraw/zinc/13/73/30/1074137330.db2.gz XHASBYQLZLTWIY-DZGCQCFKSA-N 0 0 427.527 -0.170 20 0 IBADRN NC(=O)COC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)CC1 ZINC001316552369 1074137362 /nfs/dbraw/zinc/13/73/62/1074137362.db2.gz XHASBYQLZLTWIY-UKRRQHHQSA-N 0 0 427.527 -0.170 20 0 IBADRN NC(=O)COC1CCN(c2nnc(C(=O)N3CCCC3)n2CCCn2ccnn2)CC1 ZINC001316552843 1074137296 /nfs/dbraw/zinc/13/72/96/1074137296.db2.gz XKNUWMSVIOBXNJ-UHFFFAOYSA-N 0 0 431.501 -0.333 20 0 IBADRN NC(=O)[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)(F)F)C1 ZINC001316553809 1074137283 /nfs/dbraw/zinc/13/72/83/1074137283.db2.gz MFGGVZUFCSFGBR-NSHDSACASA-N 0 0 431.419 -0.219 20 0 IBADRN O=C(c1nnc(N2CCS(=O)(=O)CC2)n1CCCO[C@H]1CCOC1)N1CCCC1 ZINC001316554703 1074137279 /nfs/dbraw/zinc/13/72/79/1074137279.db2.gz SGCRCKOYRYAYNB-HNNXBMFYSA-N 0 0 427.527 -0.056 20 0 IBADRN O=C(c1nnc(N2CCS(=O)(=O)CC2)n1CCCO[C@@H]1CCOC1)N1CCCC1 ZINC001316554704 1074137265 /nfs/dbraw/zinc/13/72/65/1074137265.db2.gz SGCRCKOYRYAYNB-OAHLLOKOSA-N 0 0 427.527 -0.056 20 0 IBADRN O=C(Cn1c(-c2ccccc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)NCC(F)F ZINC001316555935 1074137849 /nfs/dbraw/zinc/13/78/49/1074137849.db2.gz DFJIAEGLGOZWRT-CYBMUJFWSA-N 0 0 433.419 -0.527 20 0 IBADRN O=C(Cn1c(-c2ccccc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)NCC(F)F ZINC001316556136 1074137870 /nfs/dbraw/zinc/13/78/70/1074137870.db2.gz DFJIAEGLGOZWRT-ZDUSSCGKSA-N 0 0 433.419 -0.527 20 0 IBADRN O=C(CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCSCC1)N1CCOCC1 ZINC001316556300 1074137821 /nfs/dbraw/zinc/13/78/21/1074137821.db2.gz GBTGVDNPMBJACV-AWEZNQCLSA-N 0 0 429.568 -0.018 20 0 IBADRN O=C(CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCSCC1)N1CCOCC1 ZINC001316556303 1074137853 /nfs/dbraw/zinc/13/78/53/1074137853.db2.gz GBTGVDNPMBJACV-CQSZACIVSA-N 0 0 429.568 -0.018 20 0 IBADRN O=C(Cn1c(-c2cnccn2)nnc1N1CCN2CCOC[C@H]2C1)NCC(F)(F)F ZINC001316556910 1074137860 /nfs/dbraw/zinc/13/78/60/1074137860.db2.gz CDHCSNHNYNBWOH-GFCCVEGCSA-N 0 0 426.403 -0.066 20 0 IBADRN O=C(Cn1c(-c2cnccn2)nnc1N1CCN2CCOC[C@@H]2C1)NCC(F)(F)F ZINC001316556911 1074137830 /nfs/dbraw/zinc/13/78/30/1074137830.db2.gz CDHCSNHNYNBWOH-LBPRGKRZSA-N 0 0 426.403 -0.066 20 0 IBADRN O=C(Cn1c(C2CCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1)NCC(F)F ZINC001316557394 1074137840 /nfs/dbraw/zinc/13/78/40/1074137840.db2.gz FHFHYFAJYBOCHP-UHFFFAOYSA-N 0 0 438.443 -0.738 20 0 IBADRN O=C(Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)NC[C@@H]2C1)NCC(F)F ZINC001316557847 1074137859 /nfs/dbraw/zinc/13/78/59/1074137859.db2.gz UKANKUQJKQFVJJ-LLVKDONJSA-N 0 0 449.422 -0.480 20 0 IBADRN O=C(Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)NC[C@H]2C1)NCC(F)F ZINC001316557851 1074137837 /nfs/dbraw/zinc/13/78/37/1074137837.db2.gz UKANKUQJKQFVJJ-NSHDSACASA-N 0 0 449.422 -0.480 20 0 IBADRN O=C(Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)OC[C@H]2C1)NCC(F)(F)F ZINC001316557856 1074137867 /nfs/dbraw/zinc/13/78/67/1074137867.db2.gz USTGFVGPBGQRSP-LLVKDONJSA-N 0 0 445.402 -0.167 20 0 IBADRN O=C(Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)OC[C@@H]2C1)NCC(F)(F)F ZINC001316557859 1074137869 /nfs/dbraw/zinc/13/78/69/1074137869.db2.gz USTGFVGPBGQRSP-NSHDSACASA-N 0 0 445.402 -0.167 20 0 IBADRN O=C(Cn1c(-c2noc3c2COCC3)nnc1N1CCS(=O)CC1)NCC(F)F ZINC001316559095 1074137844 /nfs/dbraw/zinc/13/78/44/1074137844.db2.gz VVEJJHLDOZQKHS-UHFFFAOYSA-N 0 0 430.437 -0.044 20 0 IBADRN O=C(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC2(COC(=O)N2)CC1)NCC(F)(F)F ZINC001316559184 1074137868 /nfs/dbraw/zinc/13/78/68/1074137868.db2.gz XWBBKCAFXUIDDY-JTQLQIEISA-N 0 0 445.402 -0.014 20 0 IBADRN O=C(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC2(COC(=O)N2)CC1)NCC(F)(F)F ZINC001316559185 1074137847 /nfs/dbraw/zinc/13/78/47/1074137847.db2.gz XWBBKCAFXUIDDY-SNVBAGLBSA-N 0 0 445.402 -0.014 20 0 IBADRN O=C(Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1)NCC(F)F ZINC001316559727 1074137824 /nfs/dbraw/zinc/13/78/24/1074137824.db2.gz HTHPQDCTTRECBY-JTQLQIEISA-N 0 0 425.483 -0.870 20 0 IBADRN O=C(Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1)NCC(F)F ZINC001316559728 1074137816 /nfs/dbraw/zinc/13/78/16/1074137816.db2.gz HTHPQDCTTRECBY-SNVBAGLBSA-N 0 0 425.483 -0.870 20 0 IBADRN O=C(Cn1c(CN2CCCC2=O)nnc1N1CCO[C@]2(CCOC2)C1)NCC(F)F ZINC001316560937 1074137851 /nfs/dbraw/zinc/13/78/51/1074137851.db2.gz KKPYPOCKZWNCPA-GOSISDBHSA-N 0 0 428.440 -0.223 20 0 IBADRN O=C(Cn1c(CN2CCCC2=O)nnc1N1CCO[C@@]2(CCOC2)C1)NCC(F)F ZINC001316560938 1074137827 /nfs/dbraw/zinc/13/78/27/1074137827.db2.gz KKPYPOCKZWNCPA-SFHVURJKSA-N 0 0 428.440 -0.223 20 0 IBADRN O=C(Cn1c(CN2CCCC2=O)nnc1N1CCN(c2ncccn2)CC1)NCC(F)F ZINC001316561126 1074137866 /nfs/dbraw/zinc/13/78/66/1074137866.db2.gz NSLLFPBXAVDMCV-UHFFFAOYSA-N 0 0 449.466 -0.102 20 0 IBADRN O=C(Cn1c(C(=O)Nc2ccccn2)nnc1N1CCS(=O)CC1)N1CCOCC1 ZINC001316561328 1074137856 /nfs/dbraw/zinc/13/78/56/1074137856.db2.gz BWDLYZLVLGFCJI-UHFFFAOYSA-N 0 0 433.494 -0.647 20 0 IBADRN O=C(NC1CC1)N[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CCOCCCO)C1 ZINC001316561366 1074138187 /nfs/dbraw/zinc/13/81/87/1074138187.db2.gz CVFTYBZWMOKCIN-INIZCTEOSA-N 0 0 435.529 -0.047 20 0 IBADRN O=C(NC1CC1)N[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CCOCCCO)C1 ZINC001316561367 1074138148 /nfs/dbraw/zinc/13/81/48/1074138148.db2.gz CVFTYBZWMOKCIN-MRXNPFEDSA-N 0 0 435.529 -0.047 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC(F)(F)F)CC1 ZINC001316561634 1074138250 /nfs/dbraw/zinc/13/82/50/1074138250.db2.gz DRDLKYIRQUGBMS-UHFFFAOYSA-N 0 0 442.406 -0.588 20 0 IBADRN O=C(NC1CC1)C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2CCOCCCO)CC1 ZINC001316562607 1074138140 /nfs/dbraw/zinc/13/81/40/1074138140.db2.gz VKWHTBAFROTFTC-UHFFFAOYSA-N 0 0 431.497 -0.401 20 0 IBADRN O=C(NCc1nnc(N2CCS(=O)CC2)n1C[C@@]1(O)CCOC1)c1cccs1 ZINC001316565705 1074138229 /nfs/dbraw/zinc/13/82/29/1074138229.db2.gz QCFRPOLGCVJRRG-KRWDZBQOSA-N 0 0 425.536 -0.010 20 0 IBADRN O=C(NCc1nnc(N2CCS(=O)CC2)n1C[C@]1(O)CCOC1)c1cccs1 ZINC001316565706 1074138243 /nfs/dbraw/zinc/13/82/43/1074138243.db2.gz QCFRPOLGCVJRRG-QGZVFWFLSA-N 0 0 425.536 -0.010 20 0 IBADRN O=C(NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1)c1ccco1 ZINC001316566257 1074138121 /nfs/dbraw/zinc/13/81/21/1074138121.db2.gz VCOHTHZLNUBQHI-CYBMUJFWSA-N 0 0 441.535 -0.228 20 0 IBADRN O=C(NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1)c1ccco1 ZINC001316566258 1074138164 /nfs/dbraw/zinc/13/81/64/1074138164.db2.gz VCOHTHZLNUBQHI-ZDUSSCGKSA-N 0 0 441.535 -0.228 20 0 IBADRN O=C(NCc1nnc(N2CCS(=O)(=O)CC2)n1CCOCCCO)c1cccs1 ZINC001316566522 1074138236 /nfs/dbraw/zinc/13/82/36/1074138236.db2.gz YAJOKYGPRSOUKI-UHFFFAOYSA-N 0 0 443.551 -0.097 20 0 IBADRN O=C1CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2CCCN2CCC(O)CC2)N1 ZINC001316567552 1074138179 /nfs/dbraw/zinc/13/81/79/1074138179.db2.gz DBQPOCXVTOJIOC-HNNXBMFYSA-N 0 0 426.543 -0.689 20 0 IBADRN O=C1CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2CCCN2CCC(O)CC2)N1 ZINC001316567553 1074138169 /nfs/dbraw/zinc/13/81/69/1074138169.db2.gz DBQPOCXVTOJIOC-OAHLLOKOSA-N 0 0 426.543 -0.689 20 0 IBADRN O=C(CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCCO)CC1)N1CCCC1 ZINC001316567776 1074138256 /nfs/dbraw/zinc/13/82/56/1074138256.db2.gz GHDLLBRGADYIBH-KRWDZBQOSA-N 0 0 449.556 -0.627 20 0 IBADRN O=C(CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCCO)CC1)N1CCCC1 ZINC001316567777 1074138131 /nfs/dbraw/zinc/13/81/31/1074138131.db2.gz GHDLLBRGADYIBH-QGZVFWFLSA-N 0 0 449.556 -0.627 20 0 IBADRN O=C1CC[C@H](c2nnc(N3CCN(CC(F)(F)F)CC3)n2CCCn2cnnn2)N1 ZINC001316569131 1074138155 /nfs/dbraw/zinc/13/81/55/1074138155.db2.gz OKGZHPBPRLBCGP-GFCCVEGCSA-N 0 0 428.423 -0.010 20 0 IBADRN O=C1CC[C@@H](c2nnc(N3CCN(CC(F)(F)F)CC3)n2CCCn2cnnn2)N1 ZINC001316569132 1074138192 /nfs/dbraw/zinc/13/81/92/1074138192.db2.gz OKGZHPBPRLBCGP-LBPRGKRZSA-N 0 0 428.423 -0.010 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCC(CO)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001316569529 1074138214 /nfs/dbraw/zinc/13/82/14/1074138214.db2.gz MIYHYINLMBKKKQ-GOSISDBHSA-N 0 0 427.527 -0.841 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCC(CO)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001316569533 1074138246 /nfs/dbraw/zinc/13/82/46/1074138246.db2.gz MIYHYINLMBKKKQ-SFHVURJKSA-N 0 0 427.527 -0.841 20 0 IBADRN O=C1CCCN1Cc1nnc(N(CCCCO)C2CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001316569568 1074138221 /nfs/dbraw/zinc/13/82/21/1074138221.db2.gz NCOUYFDXHNOGKL-IBGZPJMESA-N 0 0 441.554 -0.309 20 0 IBADRN O=C1CCCN1Cc1nnc(N(CCCCO)C2CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001316569569 1074138225 /nfs/dbraw/zinc/13/82/25/1074138225.db2.gz NCOUYFDXHNOGKL-LJQANCHMSA-N 0 0 441.554 -0.309 20 0 IBADRN O=C(N1CCOCC1)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCCCO)CC1 ZINC001316570007 1074138731 /nfs/dbraw/zinc/13/87/31/1074138731.db2.gz UJYSHEVUKLDOPG-INIZCTEOSA-N 0 0 435.529 -0.034 20 0 IBADRN O=C(N1CCOCC1)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCCCO)CC1 ZINC001316570008 1074138748 /nfs/dbraw/zinc/13/87/48/1074138748.db2.gz UJYSHEVUKLDOPG-MRXNPFEDSA-N 0 0 435.529 -0.034 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CC[C@]3(CCS(=O)(=O)C3)C2)n1CCOCCCO ZINC001316570803 1074138725 /nfs/dbraw/zinc/13/87/25/1074138725.db2.gz AATNBQKTVSHHEQ-IBGZPJMESA-N 0 0 441.554 -0.186 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CC[C@@]3(CCS(=O)(=O)C3)C2)n1CCOCCCO ZINC001316570804 1074138697 /nfs/dbraw/zinc/13/86/97/1074138697.db2.gz AATNBQKTVSHHEQ-LJQANCHMSA-N 0 0 441.554 -0.186 20 0 IBADRN O=C(CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)CC1)N1CCCCC1 ZINC001316571136 1074138662 /nfs/dbraw/zinc/13/86/62/1074138662.db2.gz AZBBDPTVAIVIPI-HNNXBMFYSA-N 0 0 438.554 -0.091 20 0 IBADRN O=C(CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)CC1)N1CCCCC1 ZINC001316571148 1074138702 /nfs/dbraw/zinc/13/87/02/1074138702.db2.gz AZBBDPTVAIVIPI-OAHLLOKOSA-N 0 0 438.554 -0.091 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCS(=O)CC2)n1CCN1CCCS1(=O)=O ZINC001316571324 1074138694 /nfs/dbraw/zinc/13/86/94/1074138694.db2.gz CTAJDMJXWPHGFF-UHFFFAOYSA-N 0 0 430.556 -0.995 20 0 IBADRN O=C(Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCNC(=O)CC1)NCC(F)F ZINC001316571413 1074138738 /nfs/dbraw/zinc/13/87/38/1074138738.db2.gz DSGMGBGXKUJEDL-UHFFFAOYSA-N 0 0 446.422 -0.372 20 0 IBADRN O=C([C@@H]1CCCO1)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCCO)CC1 ZINC001316571472 1074138721 /nfs/dbraw/zinc/13/87/21/1074138721.db2.gz USMHSKUOBNSKSM-CVEARBPZSA-N 0 0 436.513 -0.544 20 0 IBADRN O=C([C@@H]1CCCO1)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCCO)CC1 ZINC001316571473 1074138708 /nfs/dbraw/zinc/13/87/08/1074138708.db2.gz USMHSKUOBNSKSM-HOTGVXAUSA-N 0 0 436.513 -0.544 20 0 IBADRN O=C([C@H]1CCCO1)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCCO)CC1 ZINC001316571474 1074138736 /nfs/dbraw/zinc/13/87/36/1074138736.db2.gz USMHSKUOBNSKSM-HZPDHXFCSA-N 0 0 436.513 -0.544 20 0 IBADRN O=C([C@H]1CCCO1)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCCO)CC1 ZINC001316571475 1074138750 /nfs/dbraw/zinc/13/87/50/1074138750.db2.gz USMHSKUOBNSKSM-JKSUJKDBSA-N 0 0 436.513 -0.544 20 0 IBADRN O=C1CC[C@@H](c2nnc(N3CCNC(=O)CC3)n2CC[C@@H](C(F)F)N2CCOCC2)N1 ZINC001316571519 1074138705 /nfs/dbraw/zinc/13/87/05/1074138705.db2.gz FJNUBEQBOSSMIO-KBPBESRZSA-N 0 0 441.483 -0.089 20 0 IBADRN O=C1CC[C@H](c2nnc(N3CCNC(=O)CC3)n2CC[C@@H](C(F)F)N2CCOCC2)N1 ZINC001316571520 1074138675 /nfs/dbraw/zinc/13/86/75/1074138675.db2.gz FJNUBEQBOSSMIO-KGLIPLIRSA-N 0 0 441.483 -0.089 20 0 IBADRN O=C1CC[C@@H](c2nnc(N3CCNC(=O)CC3)n2CC[C@H](C(F)F)N2CCOCC2)N1 ZINC001316571521 1074138651 /nfs/dbraw/zinc/13/86/51/1074138651.db2.gz FJNUBEQBOSSMIO-UONOGXRCSA-N 0 0 441.483 -0.089 20 0 IBADRN O=C1CC[C@H](c2nnc(N3CCNC(=O)CC3)n2CC[C@H](C(F)F)N2CCOCC2)N1 ZINC001316571522 1074138669 /nfs/dbraw/zinc/13/86/69/1074138669.db2.gz FJNUBEQBOSSMIO-ZIAGYGMSSA-N 0 0 441.483 -0.089 20 0 IBADRN O=C(Cn1c(CNC(=O)c2cccs2)nnc1N1CCNC(=O)CC1)NCC(F)F ZINC001316571628 1074138729 /nfs/dbraw/zinc/13/87/29/1074138729.db2.gz HCDXSHBKNJMUKI-UHFFFAOYSA-N 0 0 441.464 -0.023 20 0 IBADRN O=C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCO[C@H]2CCOC2)CCN1 ZINC001316572347 1074139070 /nfs/dbraw/zinc/13/90/70/1074139070.db2.gz MVGFOMSTWUTUSZ-CABCVRRESA-N 0 0 427.527 -0.298 20 0 IBADRN O=C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCO[C@H]2CCOC2)CCN1 ZINC001316572348 1074139076 /nfs/dbraw/zinc/13/90/76/1074139076.db2.gz MVGFOMSTWUTUSZ-GJZGRUSLSA-N 0 0 427.527 -0.298 20 0 IBADRN O=C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCO[C@@H]2CCOC2)CCN1 ZINC001316572349 1074139048 /nfs/dbraw/zinc/13/90/48/1074139048.db2.gz MVGFOMSTWUTUSZ-HUUCEWRRSA-N 0 0 427.527 -0.298 20 0 IBADRN O=C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCO[C@@H]2CCOC2)CCN1 ZINC001316572350 1074139127 /nfs/dbraw/zinc/13/91/27/1074139127.db2.gz MVGFOMSTWUTUSZ-LSDHHAIUSA-N 0 0 427.527 -0.298 20 0 IBADRN O=C1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC[C@H]2CCOC2)CCN1 ZINC001316572651 1074139057 /nfs/dbraw/zinc/13/90/57/1074139057.db2.gz AQTOXWRHAHXHDO-CABCVRRESA-N 0 0 427.527 -0.440 20 0 IBADRN O=C1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC[C@@H]2CCOC2)CCN1 ZINC001316572652 1074139122 /nfs/dbraw/zinc/13/91/22/1074139122.db2.gz AQTOXWRHAHXHDO-GJZGRUSLSA-N 0 0 427.527 -0.440 20 0 IBADRN O=C1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC[C@H]2CCOC2)CCN1 ZINC001316572653 1074139043 /nfs/dbraw/zinc/13/90/43/1074139043.db2.gz AQTOXWRHAHXHDO-HUUCEWRRSA-N 0 0 427.527 -0.440 20 0 IBADRN O=C1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC[C@@H]2CCOC2)CCN1 ZINC001316572654 1074139080 /nfs/dbraw/zinc/13/90/80/1074139080.db2.gz AQTOXWRHAHXHDO-LSDHHAIUSA-N 0 0 427.527 -0.440 20 0 IBADRN O=C1CCN(c2nnc([C@@H]3CCCCO3)n2CC2(O)CCS(=O)(=O)CC2)CCN1 ZINC001316572734 1074139017 /nfs/dbraw/zinc/13/90/17/1074139017.db2.gz QHJUTHDEMDAXRP-AWEZNQCLSA-N 0 0 427.527 -0.214 20 0 IBADRN O=C1CCN(c2nnc([C@H]3CCCCO3)n2CC2(O)CCS(=O)(=O)CC2)CCN1 ZINC001316572736 1074139133 /nfs/dbraw/zinc/13/91/33/1074139133.db2.gz QHJUTHDEMDAXRP-CQSZACIVSA-N 0 0 427.527 -0.214 20 0 IBADRN O=C1CCCCN1CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)CC1 ZINC001316573085 1074139099 /nfs/dbraw/zinc/13/90/99/1074139099.db2.gz UBPBHXKGTKQPCE-HNNXBMFYSA-N 0 0 438.554 -0.091 20 0 IBADRN O=C1CCCCN1CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)CC1 ZINC001316573086 1074139092 /nfs/dbraw/zinc/13/90/92/1074139092.db2.gz UBPBHXKGTKQPCE-OAHLLOKOSA-N 0 0 438.554 -0.091 20 0 IBADRN O=C1CN(c2nnc(-c3cnccn3)n2C[C@@H](N2CCOCC2)C(F)(F)F)CCN1 ZINC001316573264 1074139103 /nfs/dbraw/zinc/13/91/03/1074139103.db2.gz FANLPMSUKRKSOK-CYBMUJFWSA-N 0 0 426.403 -0.066 20 0 IBADRN O=C1CN(c2nnc(-c3cnccn3)n2C[C@H](N2CCOCC2)C(F)(F)F)CCN1 ZINC001316573266 1074139085 /nfs/dbraw/zinc/13/90/85/1074139085.db2.gz FANLPMSUKRKSOK-ZDUSSCGKSA-N 0 0 426.403 -0.066 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCNC(=O)C2)n1CC[C@@H](C(F)F)N1CCOCC1 ZINC001316573505 1074139110 /nfs/dbraw/zinc/13/91/10/1074139110.db2.gz CJWDFALSSXXNFS-AWEZNQCLSA-N 0 0 441.483 -0.307 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCNC(=O)C2)n1CC[C@H](C(F)F)N1CCOCC1 ZINC001316573506 1074139116 /nfs/dbraw/zinc/13/91/16/1074139116.db2.gz CJWDFALSSXXNFS-CQSZACIVSA-N 0 0 441.483 -0.307 20 0 IBADRN O=C1CCN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)N2CCSCC2)CCN1 ZINC001316573594 1074139038 /nfs/dbraw/zinc/13/90/38/1074139038.db2.gz YUEQRUZZIXQJOJ-AWEZNQCLSA-N 0 0 444.583 -0.513 20 0 IBADRN O=C1CCN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)N2CCSCC2)CCN1 ZINC001316573595 1074139030 /nfs/dbraw/zinc/13/90/30/1074139030.db2.gz YUEQRUZZIXQJOJ-CQSZACIVSA-N 0 0 444.583 -0.513 20 0 IBADRN O=C(Cn1c(CNC(=O)c2cccs2)nnc1N1CCNC(=O)C1)N1CCOCC1 ZINC001316574000 1074139062 /nfs/dbraw/zinc/13/90/62/1074139062.db2.gz JUPGJXVRJDPGDK-UHFFFAOYSA-N 0 0 433.494 -0.935 20 0 IBADRN O=C(Cn1c(-c2noc3c2COCC3)nnc1N1CCNC(=O)C1)NCC(F)(F)F ZINC001316574129 1074139595 /nfs/dbraw/zinc/13/95/95/1074139595.db2.gz LTVKGHMHOUOSGI-UHFFFAOYSA-N 0 0 429.359 -0.380 20 0 IBADRN O=C1CCCN1Cc1nnc(N2CCNC(=O)C2)n1CCn1cc(Br)cn1 ZINC001316574285 1074139625 /nfs/dbraw/zinc/13/96/25/1074139625.db2.gz NJJVZMAWQPOYDA-UHFFFAOYSA-N 0 0 437.302 -0.004 20 0 IBADRN O=C1NC(=O)[C@H](CCCn2c(Cc3ccccn3)nnc2N2CCS(=O)(=O)CC2)N1 ZINC001316574287 1074139616 /nfs/dbraw/zinc/13/96/16/1074139616.db2.gz NKHWZJWWGPHKAM-AWEZNQCLSA-N 0 0 433.494 -0.513 20 0 IBADRN O=C1CN(c2nnc(COc3ccccc3F)n2CCN2CCCS2(=O)=O)CCN1 ZINC001316574361 1074139646 /nfs/dbraw/zinc/13/96/46/1074139646.db2.gz OMWWOXSBEAPKAD-UHFFFAOYSA-N 0 0 438.485 -0.032 20 0 IBADRN O=C(Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCNC(=O)C1)NCC(F)F ZINC001316575192 1074139599 /nfs/dbraw/zinc/13/95/99/1074139599.db2.gz XOKNMLYYPYHLLA-UHFFFAOYSA-N 0 0 432.395 -0.762 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@@H]4CCCOC4)n3CCSCCCO)CCN2C1=O ZINC001316575396 1074139604 /nfs/dbraw/zinc/13/96/04/1074139604.db2.gz BWRGUFUZRWZZEM-CABCVRRESA-N 0 0 438.554 -0.565 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@H]4CCCOC4)n3CCSCCCO)CCN2C1=O ZINC001316575397 1074139611 /nfs/dbraw/zinc/13/96/11/1074139611.db2.gz BWRGUFUZRWZZEM-GJZGRUSLSA-N 0 0 438.554 -0.565 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@@H]4CCCOC4)n3CCSCCCO)CCN2C1=O ZINC001316575398 1074139651 /nfs/dbraw/zinc/13/96/51/1074139651.db2.gz BWRGUFUZRWZZEM-HUUCEWRRSA-N 0 0 438.554 -0.565 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@H]4CCCOC4)n3CCSCCCO)CCN2C1=O ZINC001316575399 1074139639 /nfs/dbraw/zinc/13/96/39/1074139639.db2.gz BWRGUFUZRWZZEM-LSDHHAIUSA-N 0 0 438.554 -0.565 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(CCc4ccccc4)n3CCOCCCO)CCN2C1=O ZINC001316575408 1074139641 /nfs/dbraw/zinc/13/96/41/1074139641.db2.gz CEGWVOVKOURSRQ-GOSISDBHSA-N 0 0 442.520 -0.391 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(CCc4ccccc4)n3CCOCCCO)CCN2C1=O ZINC001316575409 1074139636 /nfs/dbraw/zinc/13/96/36/1074139636.db2.gz CEGWVOVKOURSRQ-SFHVURJKSA-N 0 0 442.520 -0.391 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(Cc4ccsc4)n3CCOCCCO)CCN2C1=O ZINC001316575479 1074139621 /nfs/dbraw/zinc/13/96/21/1074139621.db2.gz FIBWIXJWCIINAS-HNNXBMFYSA-N 0 0 434.522 -0.524 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(Cc4ccsc4)n3CCOCCCO)CCN2C1=O ZINC001316575480 1074139649 /nfs/dbraw/zinc/13/96/49/1074139649.db2.gz FIBWIXJWCIINAS-OAHLLOKOSA-N 0 0 434.522 -0.524 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCN(CC(F)F)CC3)n2C[C@H]2COCCO2)C1 ZINC001316575634 1074139628 /nfs/dbraw/zinc/13/96/28/1074139628.db2.gz AECDOWSUDIVJBK-KBPBESRZSA-N 0 0 435.497 -0.017 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCN(CC(F)F)CC3)n2C[C@H]2COCCO2)C1 ZINC001316575635 1074139643 /nfs/dbraw/zinc/13/96/43/1074139643.db2.gz AECDOWSUDIVJBK-KGLIPLIRSA-N 0 0 435.497 -0.017 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCN(CC(F)F)CC3)n2C[C@@H]2COCCO2)C1 ZINC001316575636 1074139632 /nfs/dbraw/zinc/13/96/32/1074139632.db2.gz AECDOWSUDIVJBK-UONOGXRCSA-N 0 0 435.497 -0.017 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCN(CC(F)F)CC3)n2C[C@@H]2COCCO2)C1 ZINC001316575637 1074139588 /nfs/dbraw/zinc/13/95/88/1074139588.db2.gz AECDOWSUDIVJBK-ZIAGYGMSSA-N 0 0 435.497 -0.017 20 0 IBADRN O=C1OCCN1CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001316575741 1074139612 /nfs/dbraw/zinc/13/96/12/1074139612.db2.gz JMGIXRRBSOHAKL-CYBMUJFWSA-N 0 0 447.539 -0.743 20 0 IBADRN O=C1OCCN1CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001316575742 1074139635 /nfs/dbraw/zinc/13/96/35/1074139635.db2.gz JMGIXRRBSOHAKL-ZDUSSCGKSA-N 0 0 447.539 -0.743 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@H]4CCCOC4)n3CCCOCC(F)F)CCN2C1=O ZINC001316575792 1074139608 /nfs/dbraw/zinc/13/96/08/1074139608.db2.gz LELCPXUCOUSVDF-KBPBESRZSA-N 0 0 442.467 -0.009 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@@H]4CCCOC4)n3CCCOCC(F)F)CCN2C1=O ZINC001316575793 1074140090 /nfs/dbraw/zinc/14/00/90/1074140090.db2.gz LELCPXUCOUSVDF-KGLIPLIRSA-N 0 0 442.467 -0.009 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@H]4CCCOC4)n3CCCOCC(F)F)CCN2C1=O ZINC001316575795 1074140083 /nfs/dbraw/zinc/14/00/83/1074140083.db2.gz LELCPXUCOUSVDF-UONOGXRCSA-N 0 0 442.467 -0.009 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@@H]4CCCOC4)n3CCCOCC(F)F)CCN2C1=O ZINC001316575797 1074140193 /nfs/dbraw/zinc/14/01/93/1074140193.db2.gz LELCPXUCOUSVDF-ZIAGYGMSSA-N 0 0 442.467 -0.009 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@H]4CCCCO4)n3CCOCC(F)F)CCN2C1=O ZINC001316575868 1074140057 /nfs/dbraw/zinc/14/00/57/1074140057.db2.gz MFZLDAYPWJKXIF-CHWSQXEVSA-N 0 0 428.440 -0.052 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@@H]4CCCCO4)n3CCOCC(F)F)CCN2C1=O ZINC001316575871 1074140128 /nfs/dbraw/zinc/14/01/28/1074140128.db2.gz MFZLDAYPWJKXIF-OLZOCXBDSA-N 0 0 428.440 -0.052 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@H]4CCCCO4)n3CCOCC(F)F)CCN2C1=O ZINC001316575872 1074140098 /nfs/dbraw/zinc/14/00/98/1074140098.db2.gz MFZLDAYPWJKXIF-QWHCGFSZSA-N 0 0 428.440 -0.052 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@@H]4CCCCO4)n3CCOCC(F)F)CCN2C1=O ZINC001316576028 1074140148 /nfs/dbraw/zinc/14/01/48/1074140148.db2.gz MFZLDAYPWJKXIF-STQMWFEESA-N 0 0 428.440 -0.052 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@H]4CCCO4)n3CCCOCC(F)F)CCN2C1=O ZINC001316576069 1074140200 /nfs/dbraw/zinc/14/02/00/1074140200.db2.gz NZEQKIYZBMOKGE-CHWSQXEVSA-N 0 0 428.440 -0.052 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@H]4CCCO4)n3CCCOCC(F)F)CCN2C1=O ZINC001316576070 1074140047 /nfs/dbraw/zinc/14/00/47/1074140047.db2.gz NZEQKIYZBMOKGE-QWHCGFSZSA-N 0 0 428.440 -0.052 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@@H]4CCOC4)n3CCCOC(F)(F)F)CCN2C1=O ZINC001316576226 1074140162 /nfs/dbraw/zinc/14/01/62/1074140162.db2.gz QFRWZYSRYVZRGY-NEPJUHHUSA-N 0 0 432.403 -0.145 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@H]4CCOC4)n3CCCOC(F)(F)F)CCN2C1=O ZINC001316576227 1074140107 /nfs/dbraw/zinc/14/01/07/1074140107.db2.gz QFRWZYSRYVZRGY-NWDGAFQWSA-N 0 0 432.403 -0.145 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@H]4CCOC4)n3CCCOC(F)(F)F)CCN2C1=O ZINC001316576228 1074140032 /nfs/dbraw/zinc/14/00/32/1074140032.db2.gz QFRWZYSRYVZRGY-RYUDHWBXSA-N 0 0 432.403 -0.145 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@@H]4CCOC4)n3CCCOC(F)(F)F)CCN2C1=O ZINC001316576229 1074140187 /nfs/dbraw/zinc/14/01/87/1074140187.db2.gz QFRWZYSRYVZRGY-VXGBXAGGSA-N 0 0 432.403 -0.145 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc(-c4cnccn4)n3CCCOC(F)(F)F)CCN2C1=O ZINC001316577481 1074140118 /nfs/dbraw/zinc/14/01/18/1074140118.db2.gz ZIKGEQTYVOEMBV-LLVKDONJSA-N 0 0 440.386 -0.191 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc(-c4cnccn4)n3CCCOC(F)(F)F)CCN2C1=O ZINC001316577482 1074140179 /nfs/dbraw/zinc/14/01/79/1074140179.db2.gz ZIKGEQTYVOEMBV-NSHDSACASA-N 0 0 440.386 -0.191 20 0 IBADRN O=S1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCN2CCC(O)CC2)CC1 ZINC001316578609 1074140135 /nfs/dbraw/zinc/14/01/35/1074140135.db2.gz FMBLQTOFAUYZDH-HNNXBMFYSA-N 0 0 445.611 -0.404 20 0 IBADRN O=S1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCN2CCC(O)CC2)CC1 ZINC001316578610 1074140168 /nfs/dbraw/zinc/14/01/68/1074140168.db2.gz FMBLQTOFAUYZDH-OAHLLOKOSA-N 0 0 445.611 -0.404 20 0 IBADRN O=S1(=O)CCN(c2nnc(-c3ccc[nH]3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001316578854 1074140074 /nfs/dbraw/zinc/14/00/74/1074140074.db2.gz CIDBVLYDZMILGU-UHFFFAOYSA-N 0 0 429.524 -0.552 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2CCCN2CCOCC2)C1 ZINC001316578871 1074140062 /nfs/dbraw/zinc/14/00/62/1074140062.db2.gz DLTDXJHSHISMTM-HNNXBMFYSA-N 0 0 447.583 -0.863 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2CCCN2CCOCC2)C1 ZINC001316578872 1074140153 /nfs/dbraw/zinc/14/01/53/1074140153.db2.gz DLTDXJHSHISMTM-OAHLLOKOSA-N 0 0 447.583 -0.863 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@]2(O)CCSC2)C1 ZINC001316579377 1074140502 /nfs/dbraw/zinc/14/05/02/1074140502.db2.gz KYELEFPYLQVIRZ-DOMZBBRYSA-N 0 0 436.581 -0.717 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2C[C@]2(O)CCSC2)C1 ZINC001316579378 1074140537 /nfs/dbraw/zinc/14/05/37/1074140537.db2.gz KYELEFPYLQVIRZ-IUODEOHRSA-N 0 0 436.581 -0.717 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2C[C@]2(O)CCSC2)C1 ZINC001316579379 1074140521 /nfs/dbraw/zinc/14/05/21/1074140521.db2.gz KYELEFPYLQVIRZ-SWLSCSKDSA-N 0 0 436.581 -0.717 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@]2(O)CCSC2)C1 ZINC001316579380 1074140506 /nfs/dbraw/zinc/14/05/06/1074140506.db2.gz KYELEFPYLQVIRZ-WFASDCNBSA-N 0 0 436.581 -0.717 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2Cc2ccc(F)cn2)C1 ZINC001316579382 1074140531 /nfs/dbraw/zinc/14/05/31/1074140531.db2.gz LCJRAAGBTAENQM-GFCCVEGCSA-N 0 0 429.499 -0.003 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2Cc2ccc(F)cn2)C1 ZINC001316579383 1074140525 /nfs/dbraw/zinc/14/05/25/1074140525.db2.gz LCJRAAGBTAENQM-LBPRGKRZSA-N 0 0 429.499 -0.003 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2CC[C@H]2COCCO2)C1 ZINC001316579577 1074140534 /nfs/dbraw/zinc/14/05/34/1074140534.db2.gz NYKZJIKIZCCUCQ-KBPBESRZSA-N 0 0 434.540 -0.780 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2CC[C@H]2COCCO2)C1 ZINC001316579585 1074140540 /nfs/dbraw/zinc/14/05/40/1074140540.db2.gz NYKZJIKIZCCUCQ-KGLIPLIRSA-N 0 0 434.540 -0.780 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2CC[C@@H]2COCCO2)C1 ZINC001316579587 1074140504 /nfs/dbraw/zinc/14/05/04/1074140504.db2.gz NYKZJIKIZCCUCQ-UONOGXRCSA-N 0 0 434.540 -0.780 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2CC[C@@H]2COCCO2)C1 ZINC001316579589 1074140497 /nfs/dbraw/zinc/14/04/97/1074140497.db2.gz NYKZJIKIZCCUCQ-ZIAGYGMSSA-N 0 0 434.540 -0.780 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCS(=O)(=O)CC3)n2CCN2CCSCC2)C1 ZINC001316580028 1074140517 /nfs/dbraw/zinc/14/05/17/1074140517.db2.gz SCUCXFXBXDCJCM-AWEZNQCLSA-N 0 0 449.624 -0.536 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCS(=O)(=O)CC3)n2CCN2CCSCC2)C1 ZINC001316580031 1074140509 /nfs/dbraw/zinc/14/05/09/1074140509.db2.gz SCUCXFXBXDCJCM-CQSZACIVSA-N 0 0 449.624 -0.536 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCO[C@]4(CCOC4)C3)n2CCOCCCO)C1 ZINC001316580301 1074140499 /nfs/dbraw/zinc/14/04/99/1074140499.db2.gz RJUFDYQQRZKICD-CRAIPNDOSA-N 0 0 430.527 -0.425 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCO[C@]4(CCOC4)C3)n2CCOCCCO)C1 ZINC001316580303 1074140512 /nfs/dbraw/zinc/14/05/12/1074140512.db2.gz RJUFDYQQRZKICD-MAUKXSAKSA-N 0 0 430.527 -0.425 20 0 IBADRN O=S1(=O)CC[C@@H](c2nnc(N3CCO[C@@]4(CCOC4)C3)n2CCOCCCO)C1 ZINC001316580304 1074140515 /nfs/dbraw/zinc/14/05/15/1074140515.db2.gz RJUFDYQQRZKICD-QAPCUYQASA-N 0 0 430.527 -0.425 20 0 IBADRN O=S1(=O)CC[C@H](c2nnc(N3CCO[C@@]4(CCOC4)C3)n2CCOCCCO)C1 ZINC001316580305 1074140510 /nfs/dbraw/zinc/14/05/10/1074140510.db2.gz RJUFDYQQRZKICD-YJBOKZPZSA-N 0 0 430.527 -0.425 20 0 IBADRN O=S1(=O)CC[C@](O)(Cn2c(-c3cnccn3)nnc2N2CCN(CC(F)F)CC2)C1 ZINC001316580373 1074140507 /nfs/dbraw/zinc/14/05/07/1074140507.db2.gz UAIMBPDYCJVKFG-KRWDZBQOSA-N 0 0 443.480 -0.328 20 0 IBADRN O=S1(=O)CC[C@@](O)(Cn2c(-c3cnccn3)nnc2N2CCN(CC(F)F)CC2)C1 ZINC001316580374 1074140514 /nfs/dbraw/zinc/14/05/14/1074140514.db2.gz UAIMBPDYCJVKFG-QGZVFWFLSA-N 0 0 443.480 -0.328 20 0 IBADRN O=S1(=O)CC[C@@H](Cn2c(Cc3cccnc3)nnc2N2CCS(=O)(=O)CC2)C1 ZINC001316581258 1074140494 /nfs/dbraw/zinc/14/04/94/1074140494.db2.gz XGIVDWYTTUXNQL-HNNXBMFYSA-N 0 0 425.536 -0.067 20 0 IBADRN O=S1(=O)CC[C@H](Cn2c(Cc3cccnc3)nnc2N2CCS(=O)(=O)CC2)C1 ZINC001316581265 1074140528 /nfs/dbraw/zinc/14/05/28/1074140528.db2.gz XGIVDWYTTUXNQL-OAHLLOKOSA-N 0 0 425.536 -0.067 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CCS(=O)(=O)c2ccccc2)CC1 ZINC001317689725 1074141035 /nfs/dbraw/zinc/14/10/35/1074141035.db2.gz XJFLMGBRONRYOK-UHFFFAOYSA-N 0 0 425.507 -0.374 20 0 IBADRN COc1ccc(C(=O)OCC(=O)N2CCC(C(N)=O)CC2)cc1S(=O)(=O)N(C)C ZINC001317690923 1074141075 /nfs/dbraw/zinc/14/10/75/1074141075.db2.gz GRBQMSRGLDDYLU-UHFFFAOYSA-N 0 0 427.479 -0.174 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001317691494 1074141027 /nfs/dbraw/zinc/14/10/27/1074141027.db2.gz DAFVMOPHGPXHSI-CYBMUJFWSA-N 0 0 443.478 -0.893 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001317691505 1074141082 /nfs/dbraw/zinc/14/10/82/1074141082.db2.gz DAFVMOPHGPXHSI-ZDUSSCGKSA-N 0 0 443.478 -0.893 20 0 IBADRN O=C(COC(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001317691666 1074140979 /nfs/dbraw/zinc/14/09/79/1074140979.db2.gz SXJRIWVKZOSJQJ-JTQLQIEISA-N 0 0 440.446 -0.520 20 0 IBADRN O=C(COC(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317691773 1074141019 /nfs/dbraw/zinc/14/10/19/1074141019.db2.gz SXJRIWVKZOSJQJ-SNVBAGLBSA-N 0 0 440.446 -0.520 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2ccccc2c1)N[C@H]1CCS(=O)(=O)C1 ZINC001317691888 1074141041 /nfs/dbraw/zinc/14/10/41/1074141041.db2.gz NBBXDXFFUQCSDD-HNNXBMFYSA-N 0 0 440.499 -0.035 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc2ccccc2c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317691891 1074141057 /nfs/dbraw/zinc/14/10/57/1074141057.db2.gz NBBXDXFFUQCSDD-OAHLLOKOSA-N 0 0 440.499 -0.035 20 0 IBADRN O=C(COC(=O)CCNS(=O)(=O)/C=C\c1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317692361 1074141008 /nfs/dbraw/zinc/14/10/08/1074141008.db2.gz VTKKUTFCSJJUQJ-OCOPJHETSA-N 0 0 430.504 -0.187 20 0 IBADRN O=C(COC(=O)CCNS(=O)(=O)/C=C\c1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC001317692364 1074141070 /nfs/dbraw/zinc/14/10/70/1074141070.db2.gz VTKKUTFCSJJUQJ-QARUFBMTSA-N 0 0 430.504 -0.187 20 0 IBADRN O=C(COC(=O)CCNS(=O)(=O)/C=C/c1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC001317692366 1074141053 /nfs/dbraw/zinc/14/10/53/1074141053.db2.gz VTKKUTFCSJJUQJ-USYSOWRXSA-N 0 0 430.504 -0.187 20 0 IBADRN O=C(COC(=O)CCNS(=O)(=O)/C=C/c1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317692368 1074141087 /nfs/dbraw/zinc/14/10/87/1074141087.db2.gz VTKKUTFCSJJUQJ-XKOZXHHJSA-N 0 0 430.504 -0.187 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001317692562 1074141066 /nfs/dbraw/zinc/14/10/66/1074141066.db2.gz MCQHWLMROPWVHU-UHFFFAOYSA-N 0 0 439.490 -0.412 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC001317692568 1074140994 /nfs/dbraw/zinc/14/09/94/1074140994.db2.gz NNMZWUCMDDTJSE-NQCMUKECSA-N 0 0 443.522 -0.030 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC001317692569 1074141089 /nfs/dbraw/zinc/14/10/89/1074141089.db2.gz NNMZWUCMDDTJSE-QHRIQVFBSA-N 0 0 443.522 -0.030 20 0 IBADRN C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC001317692570 1074141023 /nfs/dbraw/zinc/14/10/23/1074141023.db2.gz NNMZWUCMDDTJSE-VLXJIEOASA-N 0 0 443.522 -0.030 20 0 IBADRN C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC001317692571 1074141003 /nfs/dbraw/zinc/14/10/03/1074141003.db2.gz NNMZWUCMDDTJSE-VPZZIHKRSA-N 0 0 443.522 -0.030 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC001317694428 1074141063 /nfs/dbraw/zinc/14/10/63/1074141063.db2.gz SCOJMYIREPMMQY-XYEKJYRLSA-N 0 0 429.495 -0.276 20 0 IBADRN CCC1CCC2(CC1)NC(=O)N(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC001317694447 1074141046 /nfs/dbraw/zinc/14/10/46/1074141046.db2.gz SCOJMYIREPMMQY-YNAXMUEKSA-N 0 0 429.495 -0.276 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC001317695720 1074141097 /nfs/dbraw/zinc/14/10/97/1074141097.db2.gz ZWOONNAKGUYUMJ-UHFFFAOYSA-N 0 0 433.433 -0.100 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001317697017 1074141493 /nfs/dbraw/zinc/14/14/93/1074141493.db2.gz SPLACOLKUNUHBJ-AWEZNQCLSA-N 0 0 446.503 -0.832 20 0 IBADRN O=C(COC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317697027 1074141423 /nfs/dbraw/zinc/14/14/23/1074141423.db2.gz SPLACOLKUNUHBJ-CQSZACIVSA-N 0 0 446.503 -0.832 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)N1CCCC1 ZINC001317701109 1074141485 /nfs/dbraw/zinc/14/14/85/1074141485.db2.gz KLJHOGVWULBJKC-HNNXBMFYSA-N 0 0 432.520 -0.066 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)N1CCCC1 ZINC001317701110 1074141596 /nfs/dbraw/zinc/14/15/96/1074141596.db2.gz KLJHOGVWULBJKC-OAHLLOKOSA-N 0 0 432.520 -0.066 20 0 IBADRN CC(=O)NCCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001317703626 1074141522 /nfs/dbraw/zinc/14/15/22/1074141522.db2.gz PDFAWFDPNISVPP-AWEZNQCLSA-N 0 0 439.943 -0.326 20 0 IBADRN CC(=O)NCCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001317703635 1074141471 /nfs/dbraw/zinc/14/14/71/1074141471.db2.gz PDFAWFDPNISVPP-CQSZACIVSA-N 0 0 439.943 -0.326 20 0 IBADRN O=C(CN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC001317704112 1074141526 /nfs/dbraw/zinc/14/15/26/1074141526.db2.gz JQDORKLXJFXAAP-UHFFFAOYSA-N 0 0 445.295 -0.166 20 0 IBADRN COc1ccc(CC(=O)N2CCC(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001317704583 1074141399 /nfs/dbraw/zinc/14/13/99/1074141399.db2.gz MUNYIWKNNZFOIS-UHFFFAOYSA-N 0 0 425.507 -0.018 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001317706475 1074141433 /nfs/dbraw/zinc/14/14/33/1074141433.db2.gz URHOQCSAOQYOOD-AWEZNQCLSA-N 0 0 432.520 -0.182 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCCC(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001317706478 1074141446 /nfs/dbraw/zinc/14/14/46/1074141446.db2.gz URHOQCSAOQYOOD-CQSZACIVSA-N 0 0 432.520 -0.182 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)OCC(=O)N1CCOCC1 ZINC001317708192 1074141501 /nfs/dbraw/zinc/14/15/01/1074141501.db2.gz BYLVUCILBWDKHF-HNNXBMFYSA-N 0 0 442.490 -0.370 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)OCC(=O)N1CCOCC1 ZINC001317708193 1074141535 /nfs/dbraw/zinc/14/15/35/1074141535.db2.gz BYLVUCILBWDKHF-OAHLLOKOSA-N 0 0 442.490 -0.370 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CNC3=O)CC2)c1 ZINC001317716255 1074141606 /nfs/dbraw/zinc/14/16/06/1074141606.db2.gz BAPFWWHYONOSDM-UHFFFAOYSA-N 0 0 426.451 -0.912 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001317718849 1074141376 /nfs/dbraw/zinc/14/13/76/1074141376.db2.gz HTCUMUJWYHCNCZ-INIZCTEOSA-N 0 0 429.564 -0.141 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001317718853 1074141450 /nfs/dbraw/zinc/14/14/50/1074141450.db2.gz HTCUMUJWYHCNCZ-MRXNPFEDSA-N 0 0 429.564 -0.141 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001317719539 1074141409 /nfs/dbraw/zinc/14/14/09/1074141409.db2.gz VQNSJCJKENSDKY-HNNXBMFYSA-N 0 0 431.536 -0.187 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001317719540 1074141418 /nfs/dbraw/zinc/14/14/18/1074141418.db2.gz VQNSJCJKENSDKY-OAHLLOKOSA-N 0 0 431.536 -0.187 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccc(S(=O)(=O)N(C)OC)c1 ZINC001317719760 1074141511 /nfs/dbraw/zinc/14/15/11/1074141511.db2.gz HFNAKTQWLNGEFJ-AWEZNQCLSA-N 0 0 447.535 -0.366 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccc(S(=O)(=O)N(C)OC)c1 ZINC001317719761 1074141945 /nfs/dbraw/zinc/14/19/45/1074141945.db2.gz HFNAKTQWLNGEFJ-CQSZACIVSA-N 0 0 447.535 -0.366 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CC(=O)NCCC(=O)OC)c(=O)c1=O ZINC001317723658 1074141926 /nfs/dbraw/zinc/14/19/26/1074141926.db2.gz NXPQCQAFMHVDGZ-UHFFFAOYSA-N 0 0 440.478 -0.887 20 0 IBADRN O=C(C[C@@H]1CCS(=O)(=O)C1)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001317723731 1074141964 /nfs/dbraw/zinc/14/19/64/1074141964.db2.gz PTHDLTZOWZZQDC-KKUMJFAQSA-N 0 0 437.536 -0.451 20 0 IBADRN O=C(C[C@@H]1CCS(=O)(=O)C1)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001317723740 1074141956 /nfs/dbraw/zinc/14/19/56/1074141956.db2.gz PTHDLTZOWZZQDC-RRFJBIMHSA-N 0 0 437.536 -0.451 20 0 IBADRN O=C(C[C@@H]1CCS(=O)(=O)C1)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001317723741 1074141971 /nfs/dbraw/zinc/14/19/71/1074141971.db2.gz PTHDLTZOWZZQDC-SOUVJXGZSA-N 0 0 437.536 -0.451 20 0 IBADRN O=C(C[C@@H]1CCS(=O)(=O)C1)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001317723742 1074141966 /nfs/dbraw/zinc/14/19/66/1074141966.db2.gz PTHDLTZOWZZQDC-ZNMIVQPWSA-N 0 0 437.536 -0.451 20 0 IBADRN O=C(CN1C(=O)CNC1=O)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC001317729208 1074141937 /nfs/dbraw/zinc/14/19/37/1074141937.db2.gz RCMXTMXGEHHAOV-UHFFFAOYSA-N 0 0 445.295 -0.166 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317729227 1074141973 /nfs/dbraw/zinc/14/19/73/1074141973.db2.gz MXNCVQOUVTZXJU-CYBMUJFWSA-N 0 0 447.535 -0.569 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317729230 1074141970 /nfs/dbraw/zinc/14/19/70/1074141970.db2.gz MXNCVQOUVTZXJU-ZDUSSCGKSA-N 0 0 447.535 -0.569 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC001317729324 1074141968 /nfs/dbraw/zinc/14/19/68/1074141968.db2.gz XQUUGUDAUALNDO-UHFFFAOYSA-N 0 0 428.445 -0.675 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)O[C@H](C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317730107 1074141967 /nfs/dbraw/zinc/14/19/67/1074141967.db2.gz MEIWBRJLZYQRCT-GRYCIOLGSA-N 0 0 430.570 -0.718 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)O[C@H](C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317730108 1074141947 /nfs/dbraw/zinc/14/19/47/1074141947.db2.gz MEIWBRJLZYQRCT-IJLUTSLNSA-N 0 0 430.570 -0.718 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)O[C@@H](C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317730109 1074141954 /nfs/dbraw/zinc/14/19/54/1074141954.db2.gz MEIWBRJLZYQRCT-QJPTWQEYSA-N 0 0 430.570 -0.718 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)O[C@@H](C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317730112 1074141932 /nfs/dbraw/zinc/14/19/32/1074141932.db2.gz MEIWBRJLZYQRCT-SDDRHHMPSA-N 0 0 430.570 -0.718 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1csc(N2CCCC2=O)n1 ZINC001317731428 1074141963 /nfs/dbraw/zinc/14/19/63/1074141963.db2.gz VAHKDGKACBURSK-GFCCVEGCSA-N 0 0 428.536 -0.036 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1csc(N2CCCC2=O)n1 ZINC001317731430 1074141950 /nfs/dbraw/zinc/14/19/50/1074141950.db2.gz VAHKDGKACBURSK-LBPRGKRZSA-N 0 0 428.536 -0.036 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Br)C(=O)N1CCNC(=O)C1 ZINC001317732500 1074141961 /nfs/dbraw/zinc/14/19/61/1074141961.db2.gz WLMYBRRGZHBUNZ-CYBMUJFWSA-N 0 0 446.323 -0.059 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Br)C(=O)N1CCNC(=O)C1 ZINC001317732501 1074141958 /nfs/dbraw/zinc/14/19/58/1074141958.db2.gz WLMYBRRGZHBUNZ-ZDUSSCGKSA-N 0 0 446.323 -0.059 20 0 IBADRN NC(=O)NCc1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001317736011 1074142425 /nfs/dbraw/zinc/14/24/25/1074142425.db2.gz KAFRMDRSDVCQAA-INIZCTEOSA-N 0 0 438.506 -0.657 20 0 IBADRN NC(=O)NCc1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001317736012 1074142388 /nfs/dbraw/zinc/14/23/88/1074142388.db2.gz KAFRMDRSDVCQAA-MRXNPFEDSA-N 0 0 438.506 -0.657 20 0 IBADRN COCCN(C(=O)c1cc(OC)c(OCC(N)=O)c(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001317736213 1074142454 /nfs/dbraw/zinc/14/24/54/1074142454.db2.gz UCPFKSUNWWJTBA-CYBMUJFWSA-N 0 0 430.479 -0.156 20 0 IBADRN COCCN(C(=O)c1cc(OC)c(OCC(N)=O)c(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC001317736215 1074142377 /nfs/dbraw/zinc/14/23/77/1074142377.db2.gz UCPFKSUNWWJTBA-ZDUSSCGKSA-N 0 0 430.479 -0.156 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN(C(=O)N3CCOCC3)CC2)cc1S(N)(=O)=O ZINC001317737275 1074142461 /nfs/dbraw/zinc/14/24/61/1074142461.db2.gz NOWLAUDQYDYAIB-UHFFFAOYSA-N 0 0 425.511 -0.349 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001317737380 1074142355 /nfs/dbraw/zinc/14/23/55/1074142355.db2.gz RXSDRKCVSXEOQR-UHFFFAOYSA-N 0 0 439.538 -0.917 20 0 IBADRN O=C(Cc1n[nH]c(=O)c2ccccc12)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001317737528 1074142465 /nfs/dbraw/zinc/14/24/65/1074142465.db2.gz CCUFSMHDBXUCKR-AWEZNQCLSA-N 0 0 448.501 -0.248 20 0 IBADRN O=C(Cc1n[nH]c(=O)c2ccccc12)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317737529 1074142419 /nfs/dbraw/zinc/14/24/19/1074142419.db2.gz CCUFSMHDBXUCKR-CQSZACIVSA-N 0 0 448.501 -0.248 20 0 IBADRN CN(CC(=O)NC1CC1)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001317737949 1074142446 /nfs/dbraw/zinc/14/24/46/1074142446.db2.gz SVQZLKQHBLOCFE-HNNXBMFYSA-N 0 0 431.536 -0.495 20 0 IBADRN CN(CC(=O)NC1CC1)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001317737950 1074142438 /nfs/dbraw/zinc/14/24/38/1074142438.db2.gz SVQZLKQHBLOCFE-OAHLLOKOSA-N 0 0 431.536 -0.495 20 0 IBADRN O=C(CN1CCCN(S(=O)(=O)c2ccc(F)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001317738552 1074142442 /nfs/dbraw/zinc/14/24/42/1074142442.db2.gz RBZPOWHVTGIXNE-HNNXBMFYSA-N 0 0 433.527 -0.175 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccc(N2CCCS2(=O)=O)c1 ZINC001317741551 1074142433 /nfs/dbraw/zinc/14/24/33/1074142433.db2.gz GAYNVDVXPMLYGY-HNNXBMFYSA-N 0 0 443.547 -0.008 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccc(N2CCCS2(=O)=O)c1 ZINC001317741553 1074142403 /nfs/dbraw/zinc/14/24/03/1074142403.db2.gz GAYNVDVXPMLYGY-OAHLLOKOSA-N 0 0 443.547 -0.008 20 0 IBADRN NC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CC1 ZINC001317743207 1074142366 /nfs/dbraw/zinc/14/23/66/1074142366.db2.gz MEHDIAQVXCJIDK-UHFFFAOYSA-N 0 0 430.477 -0.005 20 0 IBADRN Cn1c(=O)cc(CN2C(=O)N[C@@]3(CCS(=O)(=O)c4ccc(F)cc43)C2=O)n(C)c1=O ZINC001317744089 1074142330 /nfs/dbraw/zinc/14/23/30/1074142330.db2.gz ZHUCPJJPIXFJOF-GOSISDBHSA-N 0 0 436.421 -0.652 20 0 IBADRN Cn1c(=O)cc(CN2C(=O)N[C@]3(CCS(=O)(=O)c4ccc(F)cc43)C2=O)n(C)c1=O ZINC001317744091 1074142452 /nfs/dbraw/zinc/14/24/52/1074142452.db2.gz ZHUCPJJPIXFJOF-SFHVURJKSA-N 0 0 436.421 -0.652 20 0 IBADRN NC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)CC1 ZINC001317744400 1074142395 /nfs/dbraw/zinc/14/23/95/1074142395.db2.gz UKCGQYYRJGNXAQ-UHFFFAOYSA-N 0 0 430.477 -0.005 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2C(=O)N[C@@H](CCS(C)(=O)=O)C2=O)cc1 ZINC001317745346 1074142346 /nfs/dbraw/zinc/14/23/46/1074142346.db2.gz BACONMAXJZBDHW-AWEZNQCLSA-N 0 0 433.508 -0.329 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2C(=O)N[C@H](CCS(C)(=O)=O)C2=O)cc1 ZINC001317745347 1074142428 /nfs/dbraw/zinc/14/24/28/1074142428.db2.gz BACONMAXJZBDHW-CQSZACIVSA-N 0 0 433.508 -0.329 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CNC3=O)CC2)cc1 ZINC001317745629 1074142413 /nfs/dbraw/zinc/14/24/13/1074142413.db2.gz CYJVBAZHHCVXRL-UHFFFAOYSA-N 0 0 438.462 -0.752 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC(=O)N[C@H](C)COC)c(=O)n2CC(C)C ZINC001317746954 1074142814 /nfs/dbraw/zinc/14/28/14/1074142814.db2.gz PEVULUPUUCNIKW-CYBMUJFWSA-N 0 0 438.485 -0.477 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC(=O)N[C@@H](C)COC)c(=O)n2CC(C)C ZINC001317746956 1074142833 /nfs/dbraw/zinc/14/28/33/1074142833.db2.gz PEVULUPUUCNIKW-ZDUSSCGKSA-N 0 0 438.485 -0.477 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)NCc2ccccc2Cn2cccn2)C1=O ZINC001317748560 1074142867 /nfs/dbraw/zinc/14/28/67/1074142867.db2.gz XIOLYJKIAYQRND-INIZCTEOSA-N 0 0 433.490 -0.097 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)NCc2ccccc2Cn2cccn2)C1=O ZINC001317748561 1074142841 /nfs/dbraw/zinc/14/28/41/1074142841.db2.gz XIOLYJKIAYQRND-MRXNPFEDSA-N 0 0 433.490 -0.097 20 0 IBADRN Cc1ccc(C(=O)N2CCC3(CC2)NC(=O)NC3=O)cc1S(=O)(=O)N1CCOCC1 ZINC001317750155 1074142839 /nfs/dbraw/zinc/14/28/39/1074142839.db2.gz DOCPVZMSZAOHBE-UHFFFAOYSA-N 0 0 436.490 -0.170 20 0 IBADRN COc1ccc(NCC(=O)N2CCC(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001317754194 1074142858 /nfs/dbraw/zinc/14/28/58/1074142858.db2.gz LDJNTEPORSUTCS-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)OCC(=O)NCC(=O)N2CCCC2)cc1 ZINC001317760119 1074142851 /nfs/dbraw/zinc/14/28/51/1074142851.db2.gz QCQKIUUFLKCHRK-UHFFFAOYSA-N 0 0 427.479 -0.355 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)Nc2ccc(CN3CCOCC3)cc2)C1=O ZINC001317761910 1074142846 /nfs/dbraw/zinc/14/28/46/1074142846.db2.gz KIYIBBGTGFXKRU-INIZCTEOSA-N 0 0 438.506 -0.188 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)Nc2ccc(CN3CCOCC3)cc2)C1=O ZINC001317761912 1074142809 /nfs/dbraw/zinc/14/28/09/1074142809.db2.gz KIYIBBGTGFXKRU-MRXNPFEDSA-N 0 0 438.506 -0.188 20 0 IBADRN C[C@]1(NC(=O)CCSCCC(=O)N[C@@]2(C)CCS(=O)(=O)C2)CCS(=O)(=O)C1 ZINC001317762012 1074142855 /nfs/dbraw/zinc/14/28/55/1074142855.db2.gz OCWRVBIVTYFAHH-HOTGVXAUSA-N 0 0 440.609 -0.113 20 0 IBADRN C[C@@]1(NC(=O)CCSCCC(=O)N[C@]2(C)CCS(=O)(=O)C2)CCS(=O)(=O)C1 ZINC001317762013 1074142830 /nfs/dbraw/zinc/14/28/30/1074142830.db2.gz OCWRVBIVTYFAHH-HZPDHXFCSA-N 0 0 440.609 -0.113 20 0 IBADRN C[C@@]1(NC(=O)CCSCCC(=O)N[C@@]2(C)CCS(=O)(=O)C2)CCS(=O)(=O)C1 ZINC001317762014 1074142874 /nfs/dbraw/zinc/14/28/74/1074142874.db2.gz OCWRVBIVTYFAHH-IYBDPMFKSA-N 0 0 440.609 -0.113 20 0 IBADRN O=C(COC(=O)c1sccc1S(=O)(=O)N1CCCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317762389 1074142872 /nfs/dbraw/zinc/14/28/72/1074142872.db2.gz VZFXEEOGXLQKAO-LLVKDONJSA-N 0 0 436.533 -0.007 20 0 IBADRN O=C(COC(=O)c1sccc1S(=O)(=O)N1CCCC1)N[C@H]1CCS(=O)(=O)C1 ZINC001317762393 1074142803 /nfs/dbraw/zinc/14/28/03/1074142803.db2.gz VZFXEEOGXLQKAO-NSHDSACASA-N 0 0 436.533 -0.007 20 0 IBADRN O=C(Cn1c(=O)oc2ccccc21)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001317764309 1074142835 /nfs/dbraw/zinc/14/28/35/1074142835.db2.gz QQUUHAMMFZOHQB-AWEZNQCLSA-N 0 0 437.474 -0.531 20 0 IBADRN O=C(Cn1c(=O)oc2ccccc21)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317764312 1074142822 /nfs/dbraw/zinc/14/28/22/1074142822.db2.gz QQUUHAMMFZOHQB-CQSZACIVSA-N 0 0 437.474 -0.531 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC001317765104 1074142818 /nfs/dbraw/zinc/14/28/18/1074142818.db2.gz IUTIYHLYSXWFBD-UHFFFAOYSA-N 0 0 442.538 -0.339 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)[nH]c(=O)c(=O)n2CC ZINC001317767662 1074142870 /nfs/dbraw/zinc/14/28/70/1074142870.db2.gz HUCFZLCNMAQRAG-CYBMUJFWSA-N 0 0 436.490 -0.525 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)[nH]c(=O)c(=O)n2CC ZINC001317767669 1074142825 /nfs/dbraw/zinc/14/28/25/1074142825.db2.gz HUCFZLCNMAQRAG-ZDUSSCGKSA-N 0 0 436.490 -0.525 20 0 IBADRN COc1ccc(CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001317768061 1074142863 /nfs/dbraw/zinc/14/28/63/1074142863.db2.gz QUVBZCGUXAMYFA-AWEZNQCLSA-N 0 0 432.520 -0.438 20 0 IBADRN COc1ccc(CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001317768062 1074143207 /nfs/dbraw/zinc/14/32/07/1074143207.db2.gz QUVBZCGUXAMYFA-CQSZACIVSA-N 0 0 432.520 -0.438 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001317768695 1074143291 /nfs/dbraw/zinc/14/32/91/1074143291.db2.gz FKECUSIOBVHZBB-AWEZNQCLSA-N 0 0 443.547 -0.339 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001317768696 1074143227 /nfs/dbraw/zinc/14/32/27/1074143227.db2.gz FKECUSIOBVHZBB-CQSZACIVSA-N 0 0 443.547 -0.339 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC001317772370 1074143328 /nfs/dbraw/zinc/14/33/28/1074143328.db2.gz UYICJLFAUSZLTD-CYBMUJFWSA-N 0 0 430.469 -0.642 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC001317772374 1074143295 /nfs/dbraw/zinc/14/32/95/1074143295.db2.gz UYICJLFAUSZLTD-ZDUSSCGKSA-N 0 0 430.469 -0.642 20 0 IBADRN CN(C)C(=O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001317773020 1074143302 /nfs/dbraw/zinc/14/33/02/1074143302.db2.gz XUQJXDMYNFJGRY-HMVFGEFZSA-N 0 0 431.536 -0.416 20 0 IBADRN CN(C)C(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001317773026 1074143321 /nfs/dbraw/zinc/14/33/21/1074143321.db2.gz XUQJXDMYNFJGRY-LMRWQKIVSA-N 0 0 431.536 -0.416 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N2CCC3(CC2)NC(=O)NC3=O)c1 ZINC001317777062 1074143243 /nfs/dbraw/zinc/14/32/43/1074143243.db2.gz CGYQTJXJWZBVRH-UHFFFAOYSA-N 0 0 431.268 -0.089 20 0 IBADRN COC(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC001317778413 1074143275 /nfs/dbraw/zinc/14/32/75/1074143275.db2.gz DNLQHHXATKRMKH-AWEZNQCLSA-N 0 0 425.463 -0.644 20 0 IBADRN COC(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nc1 ZINC001317778414 1074143311 /nfs/dbraw/zinc/14/33/11/1074143311.db2.gz DNLQHHXATKRMKH-CQSZACIVSA-N 0 0 425.463 -0.644 20 0 IBADRN O=C(CC[C@@H]1NC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001317779601 1074143308 /nfs/dbraw/zinc/14/33/08/1074143308.db2.gz MVAJOLYQIWONFJ-AAEUAGOBSA-N 0 0 443.503 -0.205 20 0 IBADRN O=C(CC[C@H]1NC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001317779602 1074143326 /nfs/dbraw/zinc/14/33/26/1074143326.db2.gz MVAJOLYQIWONFJ-DGCLKSJQSA-N 0 0 443.503 -0.205 20 0 IBADRN O=C(CC[C@H]1NC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001317779603 1074143317 /nfs/dbraw/zinc/14/33/17/1074143317.db2.gz MVAJOLYQIWONFJ-WCQYABFASA-N 0 0 443.503 -0.205 20 0 IBADRN O=C(CC[C@@H]1NC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001317779604 1074143268 /nfs/dbraw/zinc/14/32/68/1074143268.db2.gz MVAJOLYQIWONFJ-YPMHNXCESA-N 0 0 443.503 -0.205 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(OC)c(S(=O)(=O)NC)c1 ZINC001317780850 1074143260 /nfs/dbraw/zinc/14/32/60/1074143260.db2.gz SPCWJLOYTWFKDU-CYBMUJFWSA-N 0 0 447.535 -0.631 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(OC)c(S(=O)(=O)NC)c1 ZINC001317780851 1074143282 /nfs/dbraw/zinc/14/32/82/1074143282.db2.gz SPCWJLOYTWFKDU-ZDUSSCGKSA-N 0 0 447.535 -0.631 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001317780871 1074143314 /nfs/dbraw/zinc/14/33/14/1074143314.db2.gz UJYOGSAMZZGAPJ-UHFFFAOYSA-N 0 0 436.490 -0.262 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)c1 ZINC001317785360 1074143235 /nfs/dbraw/zinc/14/32/35/1074143235.db2.gz ZOILPNHXLRFMHR-UHFFFAOYSA-N 0 0 440.522 -0.163 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CSCC(=O)Nc1cc(C)on1 ZINC001317789639 1074143215 /nfs/dbraw/zinc/14/32/15/1074143215.db2.gz OCSRAGKAIHWGEX-GFCCVEGCSA-N 0 0 432.524 -0.193 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CSCC(=O)Nc1cc(C)on1 ZINC001317789646 1074143265 /nfs/dbraw/zinc/14/32/65/1074143265.db2.gz OCSRAGKAIHWGEX-LBPRGKRZSA-N 0 0 432.524 -0.193 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001317790142 1074143735 /nfs/dbraw/zinc/14/37/35/1074143735.db2.gz YGHBDFJCRPTBBI-HNNXBMFYSA-N 0 0 443.547 -0.008 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001317790160 1074143743 /nfs/dbraw/zinc/14/37/43/1074143743.db2.gz YGHBDFJCRPTBBI-OAHLLOKOSA-N 0 0 443.547 -0.008 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)OCC(=O)NC2CCN(C(C)=O)CC2)ccc1OC ZINC001317790396 1074143695 /nfs/dbraw/zinc/14/36/95/1074143695.db2.gz CWFMOSWMRZQYNH-UHFFFAOYSA-N 0 0 427.479 -0.113 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NC1CCN(C(C)=O)CC1)c(=O)n2CC(C)C ZINC001317791485 1074143606 /nfs/dbraw/zinc/14/36/06/1074143606.db2.gz ZMUNOUYFUPUICM-UHFFFAOYSA-N 0 0 448.524 -0.211 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCC2(CC1)NC(=O)NC2=O ZINC001317791522 1074143709 /nfs/dbraw/zinc/14/37/09/1074143709.db2.gz LREBPAVVPOZUHT-UHFFFAOYSA-N 0 0 442.519 -0.488 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001317791664 1074143705 /nfs/dbraw/zinc/14/37/05/1074143705.db2.gz XRGAIHKEQUHJID-UHFFFAOYSA-N 0 0 432.524 -0.647 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2CCS(=O)(=O)C2)c1OC ZINC001317791683 1074143652 /nfs/dbraw/zinc/14/36/52/1074143652.db2.gz YYHWYOKPQSABDM-GFCCVEGCSA-N 0 0 448.519 -0.358 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H]2CCS(=O)(=O)C2)c1OC ZINC001317791684 1074143685 /nfs/dbraw/zinc/14/36/85/1074143685.db2.gz YYHWYOKPQSABDM-LBPRGKRZSA-N 0 0 448.519 -0.358 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCC2(CC1)NC(=O)NC2=O ZINC001317792678 1074143678 /nfs/dbraw/zinc/14/36/78/1074143678.db2.gz HHFULJHLMVBWOT-UHFFFAOYSA-N 0 0 440.453 -0.339 20 0 IBADRN COC(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001317792846 1074143642 /nfs/dbraw/zinc/14/36/42/1074143642.db2.gz IHYOMSZTYNGFPB-AWEZNQCLSA-N 0 0 425.463 -0.644 20 0 IBADRN COC(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001317792848 1074143724 /nfs/dbraw/zinc/14/37/24/1074143724.db2.gz IHYOMSZTYNGFPB-CQSZACIVSA-N 0 0 425.463 -0.644 20 0 IBADRN Cc1cc(NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001317794749 1074143660 /nfs/dbraw/zinc/14/36/60/1074143660.db2.gz JDDZPTRCDTUCBH-GFCCVEGCSA-N 0 0 432.462 -0.251 20 0 IBADRN Cc1cc(NC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001317794754 1074143728 /nfs/dbraw/zinc/14/37/28/1074143728.db2.gz JDDZPTRCDTUCBH-LBPRGKRZSA-N 0 0 432.462 -0.251 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)OCCN1C(=O)CNC1=O ZINC001317795370 1074143720 /nfs/dbraw/zinc/14/37/20/1074143720.db2.gz OCDDBNBNKXAQFM-CYBMUJFWSA-N 0 0 445.881 -0.032 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)OCCN1C(=O)CNC1=O ZINC001317795371 1074143626 /nfs/dbraw/zinc/14/36/26/1074143626.db2.gz OCDDBNBNKXAQFM-ZDUSSCGKSA-N 0 0 445.881 -0.032 20 0 IBADRN O=C(COC(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001317795467 1074143618 /nfs/dbraw/zinc/14/36/18/1074143618.db2.gz OCHQMCMJVJGQJA-HNNXBMFYSA-N 0 0 444.531 -0.094 20 0 IBADRN COCCn1nc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)ccc1=O ZINC001317795482 1074143635 /nfs/dbraw/zinc/14/36/35/1074143635.db2.gz OWYGZVVEWKIPAQ-GASCZTMLSA-N 0 0 443.526 -0.999 20 0 IBADRN COCCn1nc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)ccc1=O ZINC001317795483 1074143674 /nfs/dbraw/zinc/14/36/74/1074143674.db2.gz OWYGZVVEWKIPAQ-GJZGRUSLSA-N 0 0 443.526 -0.999 20 0 IBADRN COCCn1nc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)ccc1=O ZINC001317795484 1074143746 /nfs/dbraw/zinc/14/37/46/1074143746.db2.gz OWYGZVVEWKIPAQ-HUUCEWRRSA-N 0 0 443.526 -0.999 20 0 IBADRN O=C(COC(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317795544 1074143591 /nfs/dbraw/zinc/14/35/91/1074143591.db2.gz OCHQMCMJVJGQJA-OAHLLOKOSA-N 0 0 444.531 -0.094 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001317797808 1074144246 /nfs/dbraw/zinc/14/42/46/1074144246.db2.gz JUEBFFNKMFBHMJ-UHFFFAOYSA-N 0 0 437.565 -0.077 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CC[C@H]3NC(=O)NC3=O)CC2)c1 ZINC001317798934 1074144178 /nfs/dbraw/zinc/14/41/78/1074144178.db2.gz UZUMJVBDWVDRPH-CYBMUJFWSA-N 0 0 440.478 -0.475 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CC[C@@H]3NC(=O)NC3=O)CC2)c1 ZINC001317798935 1074144266 /nfs/dbraw/zinc/14/42/66/1074144266.db2.gz UZUMJVBDWVDRPH-ZDUSSCGKSA-N 0 0 440.478 -0.475 20 0 IBADRN CCOc1ccc(NC(=O)CN2C(=O)CNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001317798968 1074144229 /nfs/dbraw/zinc/14/42/29/1074144229.db2.gz XDCCAKFEXHQGBB-UHFFFAOYSA-N 0 0 426.451 -0.404 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001317799151 1074144213 /nfs/dbraw/zinc/14/42/13/1074144213.db2.gz PNRVBQANGJMSDA-SNPRPXQTSA-N 0 0 443.547 -0.111 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001317799152 1074144263 /nfs/dbraw/zinc/14/42/63/1074144263.db2.gz PNRVBQANGJMSDA-YUELXQCFSA-N 0 0 443.547 -0.111 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317800471 1074144190 /nfs/dbraw/zinc/14/41/90/1074144190.db2.gz YPFSADOYOLEHCF-BBRMVZONSA-N 0 0 432.520 -0.164 20 0 IBADRN CC(C)[C@H](OC(=O)CNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317800482 1074144198 /nfs/dbraw/zinc/14/41/98/1074144198.db2.gz YPFSADOYOLEHCF-CJNGLKHVSA-N 0 0 432.520 -0.164 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317800484 1074144255 /nfs/dbraw/zinc/14/42/55/1074144255.db2.gz YPFSADOYOLEHCF-CZUORRHYSA-N 0 0 432.520 -0.164 20 0 IBADRN CC(C)[C@@H](OC(=O)CNS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317800487 1074144218 /nfs/dbraw/zinc/14/42/18/1074144218.db2.gz YPFSADOYOLEHCF-XJKSGUPXSA-N 0 0 432.520 -0.164 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN(CCO)CC1 ZINC001317800810 1074144250 /nfs/dbraw/zinc/14/42/50/1074144250.db2.gz RPLIFGNBLQXXAM-INIZCTEOSA-N 0 0 427.523 -0.635 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(CC(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001317800873 1074144257 /nfs/dbraw/zinc/14/42/57/1074144257.db2.gz IVBZLZJYAROVKS-UHFFFAOYSA-N 0 0 429.587 -0.163 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN(CCO)CC1 ZINC001317800899 1074144267 /nfs/dbraw/zinc/14/42/67/1074144267.db2.gz RPLIFGNBLQXXAM-MRXNPFEDSA-N 0 0 427.523 -0.635 20 0 IBADRN C[C@@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317801717 1074144241 /nfs/dbraw/zinc/14/42/41/1074144241.db2.gz FKLHBIUBANESFK-RBZFPXEDSA-N 0 0 449.485 -0.168 20 0 IBADRN C[C@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317801718 1074144260 /nfs/dbraw/zinc/14/42/60/1074144260.db2.gz FKLHBIUBANESFK-RNODOKPDSA-N 0 0 449.485 -0.168 20 0 IBADRN C[C@@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317801719 1074144276 /nfs/dbraw/zinc/14/42/76/1074144276.db2.gz FKLHBIUBANESFK-XCLFUZPHSA-N 0 0 449.485 -0.168 20 0 IBADRN C[C@]12CCC(=O)N1c1ccccc1C(=O)N2CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317801720 1074144204 /nfs/dbraw/zinc/14/42/04/1074144204.db2.gz FKLHBIUBANESFK-ZUOKHONESA-N 0 0 449.485 -0.168 20 0 IBADRN Cc1ccc2ncc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)c(=O)n2c1 ZINC001317804592 1074144222 /nfs/dbraw/zinc/14/42/22/1074144222.db2.gz LTVLYERXTZDDHJ-HNNXBMFYSA-N 0 0 448.501 -0.509 20 0 IBADRN Cc1ccc2ncc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)c(=O)n2c1 ZINC001317804607 1074144235 /nfs/dbraw/zinc/14/42/35/1074144235.db2.gz LTVLYERXTZDDHJ-OAHLLOKOSA-N 0 0 448.501 -0.509 20 0 IBADRN COCC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2OC)CC1 ZINC001317809655 1074144271 /nfs/dbraw/zinc/14/42/71/1074144271.db2.gz PEXSVYVROMUUHU-UHFFFAOYSA-N 0 0 429.495 -0.311 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC001317810451 1074144661 /nfs/dbraw/zinc/14/46/61/1074144661.db2.gz QWASFCQGJVIZTA-CYBMUJFWSA-N 0 0 447.535 -0.171 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC001317810452 1074144566 /nfs/dbraw/zinc/14/45/66/1074144566.db2.gz QWASFCQGJVIZTA-ZDUSSCGKSA-N 0 0 447.535 -0.171 20 0 IBADRN Cc1nc[nH]c(=O)c1CCC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001317816088 1074144606 /nfs/dbraw/zinc/14/46/06/1074144606.db2.gz YBWVVTAKMFAZEF-KBPBESRZSA-N 0 0 427.527 -0.079 20 0 IBADRN Cc1nc[nH]c(=O)c1CCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001317816094 1074144514 /nfs/dbraw/zinc/14/45/14/1074144514.db2.gz YBWVVTAKMFAZEF-OKILXGFUSA-N 0 0 427.527 -0.079 20 0 IBADRN Cc1nc[nH]c(=O)c1CCC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001317816096 1074144629 /nfs/dbraw/zinc/14/46/29/1074144629.db2.gz YBWVVTAKMFAZEF-ZIAGYGMSSA-N 0 0 427.527 -0.079 20 0 IBADRN CS(=O)(=O)N1CCCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)CC1 ZINC001317818143 1074144668 /nfs/dbraw/zinc/14/46/68/1074144668.db2.gz MPFDOMRKGRGNJP-CQSZACIVSA-N 0 0 446.599 -0.064 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001317818565 1074144619 /nfs/dbraw/zinc/14/46/19/1074144619.db2.gz ZESCKKTXLRCZAM-UHFFFAOYSA-N 0 0 446.551 -0.676 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317820887 1074144675 /nfs/dbraw/zinc/14/46/75/1074144675.db2.gz OZYNALYOYIOQPJ-CYBMUJFWSA-N 0 0 447.535 -0.340 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317820888 1074144530 /nfs/dbraw/zinc/14/45/30/1074144530.db2.gz OZYNALYOYIOQPJ-ZDUSSCGKSA-N 0 0 447.535 -0.340 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)Cn3nc4n(c3=O)CCCCC4)CC2)cc1 ZINC001317821434 1074144589 /nfs/dbraw/zinc/14/45/89/1074144589.db2.gz UXNFNWGRCCRKNB-UHFFFAOYSA-N 0 0 434.522 -0.233 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC001317821824 1074144682 /nfs/dbraw/zinc/14/46/82/1074144682.db2.gz YRJRMTCWPOFCFG-UHFFFAOYSA-N 0 0 444.579 -0.362 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2nc3n(c2=O)CCCCC3)CC1 ZINC001317824213 1074144638 /nfs/dbraw/zinc/14/46/38/1074144638.db2.gz CYQJSGDKEJKGCE-UHFFFAOYSA-N 0 0 438.510 -0.091 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC001317824418 1074144596 /nfs/dbraw/zinc/14/45/96/1074144596.db2.gz GEYPYXZIGDRSNG-UHFFFAOYSA-N 0 0 429.495 -0.085 20 0 IBADRN Cc1cc(NC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001317825131 1074144576 /nfs/dbraw/zinc/14/45/76/1074144576.db2.gz ZMNJBTTYSFAESP-GFCCVEGCSA-N 0 0 425.492 -0.013 20 0 IBADRN Cc1cc(NC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001317825133 1074144665 /nfs/dbraw/zinc/14/46/65/1074144665.db2.gz ZMNJBTTYSFAESP-LBPRGKRZSA-N 0 0 425.492 -0.013 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001317827267 1074144498 /nfs/dbraw/zinc/14/44/98/1074144498.db2.gz GUUYBRMKLMBRNB-DZGCQCFKSA-N 0 0 435.568 -0.658 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001317827268 1074144653 /nfs/dbraw/zinc/14/46/53/1074144653.db2.gz GUUYBRMKLMBRNB-HIFRSBDPSA-N 0 0 435.568 -0.658 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001317827269 1074144657 /nfs/dbraw/zinc/14/46/57/1074144657.db2.gz GUUYBRMKLMBRNB-UKRRQHHQSA-N 0 0 435.568 -0.658 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001317827271 1074145180 /nfs/dbraw/zinc/14/51/80/1074145180.db2.gz GUUYBRMKLMBRNB-ZFWWWQNUSA-N 0 0 435.568 -0.658 20 0 IBADRN COc1ccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC001317833360 1074145186 /nfs/dbraw/zinc/14/51/86/1074145186.db2.gz MDAKCCBMRYBHTM-CYBMUJFWSA-N 0 0 447.535 -0.631 20 0 IBADRN COc1ccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC001317833362 1074145197 /nfs/dbraw/zinc/14/51/97/1074145197.db2.gz MDAKCCBMRYBHTM-ZDUSSCGKSA-N 0 0 447.535 -0.631 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001317833766 1074145221 /nfs/dbraw/zinc/14/52/21/1074145221.db2.gz SJCBFVMQBYYMRR-CYBMUJFWSA-N 0 0 433.508 -0.708 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001317833767 1074145253 /nfs/dbraw/zinc/14/52/53/1074145253.db2.gz SJCBFVMQBYYMRR-ZDUSSCGKSA-N 0 0 433.508 -0.708 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC001317836375 1074145166 /nfs/dbraw/zinc/14/51/66/1074145166.db2.gz IYOGAFHJHJVRDR-UHFFFAOYSA-N 0 0 429.271 -0.191 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC(C(=O)NCC(F)(F)F)CC3)c2c(=O)n(C)c1=O ZINC001317836803 1074145259 /nfs/dbraw/zinc/14/52/59/1074145259.db2.gz KDXGXXQJBBNFDM-UHFFFAOYSA-N 0 0 444.414 -0.259 20 0 IBADRN O=C(COC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317837001 1074145236 /nfs/dbraw/zinc/14/52/36/1074145236.db2.gz OTQNQULVTGFDFS-GFCCVEGCSA-N 0 0 434.467 -0.274 20 0 IBADRN O=C(COC(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001317837005 1074145207 /nfs/dbraw/zinc/14/52/07/1074145207.db2.gz OTQNQULVTGFDFS-LBPRGKRZSA-N 0 0 434.467 -0.274 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC001317838510 1074145142 /nfs/dbraw/zinc/14/51/42/1074145142.db2.gz JDNVUJSYNDNBPO-UHFFFAOYSA-N 0 0 448.567 -0.410 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC001317839430 1074145134 /nfs/dbraw/zinc/14/51/34/1074145134.db2.gz YAZVXSCUPHIHCV-UHFFFAOYSA-N 0 0 435.524 -0.156 20 0 IBADRN C[C@@H](Sc1nnc(S[C@@H](C)C(=O)N2CCNC2=O)n1C)C(=O)N1CCNC1=O ZINC001317841178 1074145229 /nfs/dbraw/zinc/14/52/29/1074145229.db2.gz FTCOHXHSBUXMAG-DTORHVGOSA-N 0 0 427.512 -0.120 20 0 IBADRN C[C@H](Sc1nnc(S[C@@H](C)C(=O)N2CCNC2=O)n1C)C(=O)N1CCNC1=O ZINC001317841186 1074145216 /nfs/dbraw/zinc/14/52/16/1074145216.db2.gz FTCOHXHSBUXMAG-IUCAKERBSA-N 0 0 427.512 -0.120 20 0 IBADRN C[C@@H](Sc1nnc(S[C@H](C)C(=O)N2CCNC2=O)n1C)C(=O)N1CCNC1=O ZINC001317841187 1074145249 /nfs/dbraw/zinc/14/52/49/1074145249.db2.gz FTCOHXHSBUXMAG-RKDXNWHRSA-N 0 0 427.512 -0.120 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1 ZINC001317846893 1074145254 /nfs/dbraw/zinc/14/52/54/1074145254.db2.gz GBBTWYXOOJWIDM-KFWWJZLASA-N 0 0 437.584 -0.964 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H](C)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC001317846902 1074145156 /nfs/dbraw/zinc/14/51/56/1074145156.db2.gz GBBTWYXOOJWIDM-RBSFLKMASA-N 0 0 437.584 -0.964 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC001317846903 1074145233 /nfs/dbraw/zinc/14/52/33/1074145233.db2.gz GBBTWYXOOJWIDM-RRFJBIMHSA-N 0 0 437.584 -0.964 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H](C)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1 ZINC001317846904 1074145245 /nfs/dbraw/zinc/14/52/45/1074145245.db2.gz GBBTWYXOOJWIDM-ZNMIVQPWSA-N 0 0 437.584 -0.964 20 0 IBADRN COc1c(F)cc(CC(=O)OCC(=O)N2CCN(C(C)=O)CC2)cc1S(N)(=O)=O ZINC001317848015 1074145200 /nfs/dbraw/zinc/14/52/00/1074145200.db2.gz CPLVHGJXYAUZEC-UHFFFAOYSA-N 0 0 431.442 -0.742 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001317852658 1074145125 /nfs/dbraw/zinc/14/51/25/1074145125.db2.gz PUPNGIYKACSEJM-CVEARBPZSA-N 0 0 443.547 -0.612 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001317852660 1074145748 /nfs/dbraw/zinc/14/57/48/1074145748.db2.gz PUPNGIYKACSEJM-HOTGVXAUSA-N 0 0 443.547 -0.612 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001317852661 1074145665 /nfs/dbraw/zinc/14/56/65/1074145665.db2.gz PUPNGIYKACSEJM-HZPDHXFCSA-N 0 0 443.547 -0.612 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001317852662 1074145737 /nfs/dbraw/zinc/14/57/37/1074145737.db2.gz PUPNGIYKACSEJM-JKSUJKDBSA-N 0 0 443.547 -0.612 20 0 IBADRN C[C@@]1(NC(=O)c2cncc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)c2)CCS(=O)(=O)C1 ZINC001317852801 1074145744 /nfs/dbraw/zinc/14/57/44/1074145744.db2.gz ORZQJXQWQXKMFH-CALCHBBNSA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@@]1(NC(=O)c2cncc(C(=O)N[C@]3(C)CCS(=O)(=O)C3)c2)CCS(=O)(=O)C1 ZINC001317852804 1074145682 /nfs/dbraw/zinc/14/56/82/1074145682.db2.gz ORZQJXQWQXKMFH-IAGOWNOFSA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@]1(NC(=O)c2cncc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)c2)CCS(=O)(=O)C1 ZINC001317852807 1074145713 /nfs/dbraw/zinc/14/57/13/1074145713.db2.gz ORZQJXQWQXKMFH-IRXDYDNUSA-N 0 0 429.520 -0.304 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001317855316 1074145758 /nfs/dbraw/zinc/14/57/58/1074145758.db2.gz IKZGMFDZFLVBHG-GOSISDBHSA-N 0 0 428.599 -0.104 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001317855319 1074145732 /nfs/dbraw/zinc/14/57/32/1074145732.db2.gz IKZGMFDZFLVBHG-SFHVURJKSA-N 0 0 428.599 -0.104 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317855370 1074145626 /nfs/dbraw/zinc/14/56/26/1074145626.db2.gz JTMFBJAOHUIHBK-DLBZAZTESA-N 0 0 431.555 -0.592 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317855371 1074145727 /nfs/dbraw/zinc/14/57/27/1074145727.db2.gz JTMFBJAOHUIHBK-IAGOWNOFSA-N 0 0 431.555 -0.592 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317855372 1074145722 /nfs/dbraw/zinc/14/57/22/1074145722.db2.gz JTMFBJAOHUIHBK-IRXDYDNUSA-N 0 0 431.555 -0.592 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317855373 1074145647 /nfs/dbraw/zinc/14/56/47/1074145647.db2.gz JTMFBJAOHUIHBK-SJORKVTESA-N 0 0 431.555 -0.592 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317856354 1074145717 /nfs/dbraw/zinc/14/57/17/1074145717.db2.gz UDPQVENSSLIAQX-INIZCTEOSA-N 0 0 446.570 -0.357 20 0 IBADRN CC(C)OCCCNC(=O)C1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317856356 1074145674 /nfs/dbraw/zinc/14/56/74/1074145674.db2.gz UDPQVENSSLIAQX-MRXNPFEDSA-N 0 0 446.570 -0.357 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC001317857206 1074145702 /nfs/dbraw/zinc/14/57/02/1074145702.db2.gz XPXHPFUZXIYHRE-LLVKDONJSA-N 0 0 437.565 -0.737 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC001317857207 1074145762 /nfs/dbraw/zinc/14/57/62/1074145762.db2.gz XPXHPFUZXIYHRE-NSHDSACASA-N 0 0 437.565 -0.737 20 0 IBADRN CC(=O)Nc1nc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001317858620 1074145654 /nfs/dbraw/zinc/14/56/54/1074145654.db2.gz RZZUCDJHLLDWFE-GFCCVEGCSA-N 0 0 430.508 -0.410 20 0 IBADRN CC(=O)Nc1nc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001317858621 1074145669 /nfs/dbraw/zinc/14/56/69/1074145669.db2.gz RZZUCDJHLLDWFE-LBPRGKRZSA-N 0 0 430.508 -0.410 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC001317858838 1074145752 /nfs/dbraw/zinc/14/57/52/1074145752.db2.gz VRDWOJNFMLXTDX-UHFFFAOYSA-N 0 0 441.506 -0.417 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001317879106 1074146112 /nfs/dbraw/zinc/14/61/12/1074146112.db2.gz WGFDKGGCOZDYJA-UHFFFAOYSA-N 0 0 445.520 -0.057 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001317880537 1074146087 /nfs/dbraw/zinc/14/60/87/1074146087.db2.gz NRGILUIMGYCAFB-DLBZAZTESA-N 0 0 443.566 -0.197 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001317880667 1074146178 /nfs/dbraw/zinc/14/61/78/1074146178.db2.gz NRGILUIMGYCAFB-IAGOWNOFSA-N 0 0 443.566 -0.197 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001317880669 1074146079 /nfs/dbraw/zinc/14/60/79/1074146079.db2.gz NRGILUIMGYCAFB-IRXDYDNUSA-N 0 0 443.566 -0.197 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001317880672 1074146169 /nfs/dbraw/zinc/14/61/69/1074146169.db2.gz NRGILUIMGYCAFB-SJORKVTESA-N 0 0 443.566 -0.197 20 0 IBADRN O=C(CCNC(=O)c1ccc(N2CCCS2(=O)=O)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001317882949 1074146206 /nfs/dbraw/zinc/14/62/06/1074146206.db2.gz HNCNWASCEYHDGP-AWEZNQCLSA-N 0 0 429.520 -0.350 20 0 IBADRN O=C(CCNC(=O)c1ccc(N2CCCS2(=O)=O)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317882955 1074146196 /nfs/dbraw/zinc/14/61/96/1074146196.db2.gz HNCNWASCEYHDGP-CQSZACIVSA-N 0 0 429.520 -0.350 20 0 IBADRN CCOCCCNC(=O)C1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317885089 1074146185 /nfs/dbraw/zinc/14/61/85/1074146185.db2.gz GGHHJQQYWNRFLH-HNNXBMFYSA-N 0 0 432.543 -0.746 20 0 IBADRN CCOCCCNC(=O)C1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317885091 1074146123 /nfs/dbraw/zinc/14/61/23/1074146123.db2.gz GGHHJQQYWNRFLH-OAHLLOKOSA-N 0 0 432.543 -0.746 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC001317885422 1074146175 /nfs/dbraw/zinc/14/61/75/1074146175.db2.gz DKAOLAIYEOHTQA-GFCCVEGCSA-N 0 0 433.508 -0.561 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC001317885424 1074146095 /nfs/dbraw/zinc/14/60/95/1074146095.db2.gz DKAOLAIYEOHTQA-LBPRGKRZSA-N 0 0 433.508 -0.561 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001317885446 1074146210 /nfs/dbraw/zinc/14/62/10/1074146210.db2.gz FAALJXCBBJWBFH-CYBMUJFWSA-N 0 0 433.508 -0.756 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001317885447 1074146139 /nfs/dbraw/zinc/14/61/39/1074146139.db2.gz FAALJXCBBJWBFH-ZDUSSCGKSA-N 0 0 433.508 -0.756 20 0 IBADRN Cn1c2ncn(CCC(=O)NC3CCN(C(=O)c4ccncc4)CC3)c2c(=O)n(C)c1=O ZINC001317885478 1074146162 /nfs/dbraw/zinc/14/61/62/1074146162.db2.gz FTSJHBVOZXVTSQ-UHFFFAOYSA-N 0 0 439.476 -0.360 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317886059 1074146057 /nfs/dbraw/zinc/14/60/57/1074146057.db2.gz ZQYHVHHUALQLAS-JTQLQIEISA-N 0 0 442.885 -0.300 20 0 IBADRN COc1cc(-n2cnnn2)c(Cl)cc1C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317886060 1074146191 /nfs/dbraw/zinc/14/61/91/1074146191.db2.gz ZQYHVHHUALQLAS-SNVBAGLBSA-N 0 0 442.885 -0.300 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NCCN2CCS(=O)(=O)CC2)CC1 ZINC001317886136 1074146154 /nfs/dbraw/zinc/14/61/54/1074146154.db2.gz AXLNHRNPVAASQU-UHFFFAOYSA-N 0 0 448.567 -0.461 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)c(C)n2n1 ZINC001317886973 1074146215 /nfs/dbraw/zinc/14/62/15/1074146215.db2.gz ROPPEIVWRNYDGA-GFCCVEGCSA-N 0 0 440.551 -0.233 20 0 IBADRN CSc1nc2nc(C)c(CC(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)c(C)n2n1 ZINC001317887020 1074146145 /nfs/dbraw/zinc/14/61/45/1074146145.db2.gz ROPPEIVWRNYDGA-LBPRGKRZSA-N 0 0 440.551 -0.233 20 0 IBADRN Cc1oc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC001317887086 1074146611 /nfs/dbraw/zinc/14/66/11/1074146611.db2.gz WARQZUJCWRGAIU-CYBMUJFWSA-N 0 0 447.535 -0.252 20 0 IBADRN Cc1oc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC001317887087 1074146672 /nfs/dbraw/zinc/14/66/72/1074146672.db2.gz WARQZUJCWRGAIU-ZDUSSCGKSA-N 0 0 447.535 -0.252 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001317889959 1074146660 /nfs/dbraw/zinc/14/66/60/1074146660.db2.gz RAGZSLDFZIYBGQ-DLBZAZTESA-N 0 0 430.571 -0.130 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001317889960 1074146719 /nfs/dbraw/zinc/14/67/19/1074146719.db2.gz RAGZSLDFZIYBGQ-IAGOWNOFSA-N 0 0 430.571 -0.130 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCN(C(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001317889961 1074146696 /nfs/dbraw/zinc/14/66/96/1074146696.db2.gz RAGZSLDFZIYBGQ-IRXDYDNUSA-N 0 0 430.571 -0.130 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCN(C(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001317889962 1074146676 /nfs/dbraw/zinc/14/66/76/1074146676.db2.gz RAGZSLDFZIYBGQ-SJORKVTESA-N 0 0 430.571 -0.130 20 0 IBADRN CN(C)C(=O)CN(CC(F)(F)F)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001317891116 1074146733 /nfs/dbraw/zinc/14/67/33/1074146733.db2.gz MFZXRYBSTCHPGA-JTQLQIEISA-N 0 0 426.417 -0.369 20 0 IBADRN CN(C)C(=O)CN(CC(F)(F)F)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001317891118 1074146713 /nfs/dbraw/zinc/14/67/13/1074146713.db2.gz MFZXRYBSTCHPGA-SNVBAGLBSA-N 0 0 426.417 -0.369 20 0 IBADRN Cn1cc(CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)cn1 ZINC001317891954 1074146725 /nfs/dbraw/zinc/14/67/25/1074146725.db2.gz KMUIUNXXAOFQME-INIZCTEOSA-N 0 0 428.536 -0.139 20 0 IBADRN Cn1cc(CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)cn1 ZINC001317891955 1074146729 /nfs/dbraw/zinc/14/67/29/1074146729.db2.gz KMUIUNXXAOFQME-MRXNPFEDSA-N 0 0 428.536 -0.139 20 0 IBADRN CC(C)(CNC(=O)CN1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)N1CCOCC1 ZINC001317893228 1074146648 /nfs/dbraw/zinc/14/66/48/1074146648.db2.gz JAVDTIWXFUDXGQ-UHFFFAOYSA-N 0 0 437.585 -0.351 20 0 IBADRN CCOC(=O)C1=C(CN2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)NC(=O)N[C@@H]1C ZINC001317894000 1074146679 /nfs/dbraw/zinc/14/66/79/1074146679.db2.gz YRGNJIHVPVJWAA-CYBMUJFWSA-N 0 0 435.525 -0.059 20 0 IBADRN CCOC(=O)C1=C(CN2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)NC(=O)N[C@H]1C ZINC001317894006 1074146621 /nfs/dbraw/zinc/14/66/21/1074146621.db2.gz YRGNJIHVPVJWAA-ZDUSSCGKSA-N 0 0 435.525 -0.059 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC3CCS(=O)(=O)CC3)cc2)CC1 ZINC001317895762 1074146700 /nfs/dbraw/zinc/14/67/00/1074146700.db2.gz QGHWTMYIDPSYIK-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001317896021 1074146666 /nfs/dbraw/zinc/14/66/66/1074146666.db2.gz RWZXVUURWZDCMY-CYBMUJFWSA-N 0 0 433.508 -0.756 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001317896023 1074146722 /nfs/dbraw/zinc/14/67/22/1074146722.db2.gz RWZXVUURWZDCMY-ZDUSSCGKSA-N 0 0 433.508 -0.756 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001317896190 1074146685 /nfs/dbraw/zinc/14/66/85/1074146685.db2.gz UEBQAGLBOBASHJ-UHFFFAOYSA-N 0 0 434.559 -0.738 20 0 IBADRN C[C@@]1(NC(=O)c2ccc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)cn2)CCS(=O)(=O)C1 ZINC001317898874 1074147139 /nfs/dbraw/zinc/14/71/39/1074147139.db2.gz NCMJJSHEGNRONG-DLBZAZTESA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@@]1(NC(=O)c2ccc(C(=O)N[C@]3(C)CCS(=O)(=O)C3)nc2)CCS(=O)(=O)C1 ZINC001317898875 1074147123 /nfs/dbraw/zinc/14/71/23/1074147123.db2.gz NCMJJSHEGNRONG-IAGOWNOFSA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@]1(NC(=O)c2ccc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)nc2)CCS(=O)(=O)C1 ZINC001317898877 1074147115 /nfs/dbraw/zinc/14/71/15/1074147115.db2.gz NCMJJSHEGNRONG-IRXDYDNUSA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@@]1(NC(=O)c2ccc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)nc2)CCS(=O)(=O)C1 ZINC001317898879 1074147068 /nfs/dbraw/zinc/14/70/68/1074147068.db2.gz NCMJJSHEGNRONG-SJORKVTESA-N 0 0 429.520 -0.304 20 0 IBADRN COCC(=O)N1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)CC1 ZINC001317902072 1074147029 /nfs/dbraw/zinc/14/70/29/1074147029.db2.gz ZXNCDQLDCSOMAR-AWEZNQCLSA-N 0 0 426.543 -0.241 20 0 IBADRN COCC(=O)N1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)CC1 ZINC001317902073 1074147130 /nfs/dbraw/zinc/14/71/30/1074147130.db2.gz ZXNCDQLDCSOMAR-CQSZACIVSA-N 0 0 426.543 -0.241 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317902378 1074147099 /nfs/dbraw/zinc/14/70/99/1074147099.db2.gz KJUVJPGDRYZIEJ-CYBMUJFWSA-N 0 0 433.508 -0.423 20 0 IBADRN COc1ccc(NC(C)=O)cc1S(=O)(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317902381 1074147110 /nfs/dbraw/zinc/14/71/10/1074147110.db2.gz KJUVJPGDRYZIEJ-ZDUSSCGKSA-N 0 0 433.508 -0.423 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001317903032 1074147025 /nfs/dbraw/zinc/14/70/25/1074147025.db2.gz XYVRCPUFRKEPLG-GFCCVEGCSA-N 0 0 438.549 -0.678 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001317903035 1074147019 /nfs/dbraw/zinc/14/70/19/1074147019.db2.gz XYVRCPUFRKEPLG-LBPRGKRZSA-N 0 0 438.549 -0.678 20 0 IBADRN O=S(=O)(c1ccc(NC(=S)NN[C@@H]2CCS(=O)(=O)C2)cc1)N1CCOCC1 ZINC001317903520 1074146997 /nfs/dbraw/zinc/14/69/97/1074146997.db2.gz KSEFZGQNEOVAKV-CYBMUJFWSA-N 0 0 434.565 -0.314 20 0 IBADRN O=S(=O)(c1ccc(NC(=S)NN[C@H]2CCS(=O)(=O)C2)cc1)N1CCOCC1 ZINC001317903521 1074147059 /nfs/dbraw/zinc/14/70/59/1074147059.db2.gz KSEFZGQNEOVAKV-ZDUSSCGKSA-N 0 0 434.565 -0.314 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n(C)c1 ZINC001317903583 1074147092 /nfs/dbraw/zinc/14/70/92/1074147092.db2.gz AGCGXGUUBIBKOO-CYBMUJFWSA-N 0 0 448.567 -0.569 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)n(C)c1 ZINC001317903584 1074147048 /nfs/dbraw/zinc/14/70/48/1074147048.db2.gz AGCGXGUUBIBKOO-ZDUSSCGKSA-N 0 0 448.567 -0.569 20 0 IBADRN CNC(=O)c1nn(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(=O)c2ccccc21 ZINC001317906763 1074147154 /nfs/dbraw/zinc/14/71/54/1074147154.db2.gz TZUCHISEZHTOSV-UHFFFAOYSA-N 0 0 440.460 -0.993 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(C(=O)N(C)C)c1 ZINC001317908741 1074147008 /nfs/dbraw/zinc/14/70/08/1074147008.db2.gz YVGHWYPRDCACPL-AWEZNQCLSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(C(=O)N(C)C)c1 ZINC001317908742 1074147146 /nfs/dbraw/zinc/14/71/46/1074147146.db2.gz YVGHWYPRDCACPL-CQSZACIVSA-N 0 0 431.536 -0.298 20 0 IBADRN C[C@H](NC(=O)CSc1nnc(N2CCOCC2)n1C[C@@H]1CCCO1)C(=O)N(C)C ZINC001317909441 1074147084 /nfs/dbraw/zinc/14/70/84/1074147084.db2.gz XLZLJFCSBQAEEL-KBPBESRZSA-N 0 0 426.543 -0.021 20 0 IBADRN C[C@@H](NC(=O)CSc1nnc(N2CCOCC2)n1C[C@@H]1CCCO1)C(=O)N(C)C ZINC001317909445 1074147055 /nfs/dbraw/zinc/14/70/55/1074147055.db2.gz XLZLJFCSBQAEEL-KGLIPLIRSA-N 0 0 426.543 -0.021 20 0 IBADRN C[C@H](NC(=O)CSc1nnc(N2CCOCC2)n1C[C@H]1CCCO1)C(=O)N(C)C ZINC001317909446 1074147041 /nfs/dbraw/zinc/14/70/41/1074147041.db2.gz XLZLJFCSBQAEEL-UONOGXRCSA-N 0 0 426.543 -0.021 20 0 IBADRN C[C@@H](NC(=O)CSc1nnc(N2CCOCC2)n1C[C@H]1CCCO1)C(=O)N(C)C ZINC001317909447 1074147553 /nfs/dbraw/zinc/14/75/53/1074147553.db2.gz XLZLJFCSBQAEEL-ZIAGYGMSSA-N 0 0 426.543 -0.021 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1NC(C)=O ZINC001317909675 1074147537 /nfs/dbraw/zinc/14/75/37/1074147537.db2.gz YNPOKAWNGQWIIK-GFCCVEGCSA-N 0 0 433.508 -0.423 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1NC(C)=O ZINC001317909676 1074147589 /nfs/dbraw/zinc/14/75/89/1074147589.db2.gz YNPOKAWNGQWIIK-LBPRGKRZSA-N 0 0 433.508 -0.423 20 0 IBADRN Cn1c(CCC(=O)NC2=NCC(=O)N2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001317911559 1074147634 /nfs/dbraw/zinc/14/76/34/1074147634.db2.gz LXGFCMZXHWFPEO-UHFFFAOYSA-N 0 0 434.478 -0.871 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(N2CCNC2=O)CC1 ZINC001317911957 1074147528 /nfs/dbraw/zinc/14/75/28/1074147528.db2.gz QSZHUDKAERCYGZ-UHFFFAOYSA-N 0 0 435.506 -0.563 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)N2CCCC2)cn1 ZINC001317913496 1074147559 /nfs/dbraw/zinc/14/75/59/1074147559.db2.gz NFFNCAYSVBHSRK-GXTWGEPZSA-N 0 0 429.520 -0.217 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)N2CCCC2)cn1 ZINC001317913711 1074147629 /nfs/dbraw/zinc/14/76/29/1074147629.db2.gz NFFNCAYSVBHSRK-JSGCOSHPSA-N 0 0 429.520 -0.217 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)N2CCCC2)cn1 ZINC001317913712 1074147533 /nfs/dbraw/zinc/14/75/33/1074147533.db2.gz NFFNCAYSVBHSRK-OCCSQVGLSA-N 0 0 429.520 -0.217 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C(=O)N2CCCC2)cn1 ZINC001317913713 1074147541 /nfs/dbraw/zinc/14/75/41/1074147541.db2.gz NFFNCAYSVBHSRK-TZMCWYRMSA-N 0 0 429.520 -0.217 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC(NS(C)(=O)=O)CC3)cc2S1(=O)=O ZINC001317915267 1074147601 /nfs/dbraw/zinc/14/76/01/1074147601.db2.gz CFCKVLJZHIONIX-UHFFFAOYSA-N 0 0 445.519 -0.369 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001317920713 1074147556 /nfs/dbraw/zinc/14/75/56/1074147556.db2.gz GFPGPEINNHPNKZ-INIZCTEOSA-N 0 0 445.563 -0.175 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317920717 1074147565 /nfs/dbraw/zinc/14/75/65/1074147565.db2.gz GFPGPEINNHPNKZ-MRXNPFEDSA-N 0 0 445.563 -0.175 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001317921002 1074147544 /nfs/dbraw/zinc/14/75/44/1074147544.db2.gz LPDFCQQUAKQXFV-UHFFFAOYSA-N 0 0 427.479 -0.003 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2CCC(C(=O)N(C)C(C)C)CC2)CC1 ZINC001317923108 1074147644 /nfs/dbraw/zinc/14/76/44/1074147644.db2.gz BZTJVOAHSALCNI-UHFFFAOYSA-N 0 0 425.574 -0.528 20 0 IBADRN COc1ccccc1-c1nnc(S(=O)(=O)[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)n1C ZINC001317924673 1074147594 /nfs/dbraw/zinc/14/75/94/1074147594.db2.gz YDYGGWQWWJZPKT-NEPJUHHUSA-N 0 0 442.519 -0.044 20 0 IBADRN COc1ccccc1-c1nnc(S(=O)(=O)[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)n1C ZINC001317924674 1074147549 /nfs/dbraw/zinc/14/75/49/1074147549.db2.gz YDYGGWQWWJZPKT-NWDGAFQWSA-N 0 0 442.519 -0.044 20 0 IBADRN COc1ccccc1-c1nnc(S(=O)(=O)[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)n1C ZINC001317924675 1074148147 /nfs/dbraw/zinc/14/81/47/1074148147.db2.gz YDYGGWQWWJZPKT-RYUDHWBXSA-N 0 0 442.519 -0.044 20 0 IBADRN COc1ccccc1-c1nnc(S(=O)(=O)[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)n1C ZINC001317924677 1074148157 /nfs/dbraw/zinc/14/81/57/1074148157.db2.gz YDYGGWQWWJZPKT-VXGBXAGGSA-N 0 0 442.519 -0.044 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC001317926794 1074148100 /nfs/dbraw/zinc/14/81/00/1074148100.db2.gz DSKOQEXZLFZNEI-UHFFFAOYSA-N 0 0 436.494 -0.292 20 0 IBADRN COCC(=O)NC1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001317927114 1074148159 /nfs/dbraw/zinc/14/81/59/1074148159.db2.gz DTHOFWJGDHTCCH-UHFFFAOYSA-N 0 0 438.506 -0.826 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3ccc(S(=O)(=O)N(C)C)cn3)CC2)C[C@H](C)O1 ZINC001317927124 1074148165 /nfs/dbraw/zinc/14/81/65/1074148165.db2.gz IXBMFYYLYVCMBI-GASCZTMLSA-N 0 0 447.583 -0.192 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3ccc(S(=O)(=O)N(C)C)cn3)CC2)C[C@H](C)O1 ZINC001317927125 1074148153 /nfs/dbraw/zinc/14/81/53/1074148153.db2.gz IXBMFYYLYVCMBI-GJZGRUSLSA-N 0 0 447.583 -0.192 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3ccc(S(=O)(=O)N(C)C)cn3)CC2)C[C@@H](C)O1 ZINC001317927126 1074148127 /nfs/dbraw/zinc/14/81/27/1074148127.db2.gz IXBMFYYLYVCMBI-HUUCEWRRSA-N 0 0 447.583 -0.192 20 0 IBADRN CN(CCCNC(=O)COC(=O)Cn1cc(C(F)(F)F)ccc1=O)S(C)(=O)=O ZINC001317928692 1074148141 /nfs/dbraw/zinc/14/81/41/1074148141.db2.gz YDOWOITVQHCPAB-UHFFFAOYSA-N 0 0 427.401 -0.192 20 0 IBADRN C[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NCC(F)(F)F ZINC001317928731 1074148144 /nfs/dbraw/zinc/14/81/44/1074148144.db2.gz RCAIDYDHLJINAK-JTQLQIEISA-N 0 0 436.412 -0.396 20 0 IBADRN C[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)C(=O)NCC(F)(F)F ZINC001317928736 1074148112 /nfs/dbraw/zinc/14/81/12/1074148112.db2.gz RCAIDYDHLJINAK-SNVBAGLBSA-N 0 0 436.412 -0.396 20 0 IBADRN Cn1cnnc1[C@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC001317931844 1074148176 /nfs/dbraw/zinc/14/81/76/1074148176.db2.gz PEHOSLRJLDIAGV-HNNXBMFYSA-N 0 0 432.506 -0.045 20 0 IBADRN Cn1cnnc1[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC001317931861 1074148121 /nfs/dbraw/zinc/14/81/21/1074148121.db2.gz PEHOSLRJLDIAGV-OAHLLOKOSA-N 0 0 432.506 -0.045 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001317932400 1074148168 /nfs/dbraw/zinc/14/81/68/1074148168.db2.gz ZRZJWMRRYYKOLP-AWEZNQCLSA-N 0 0 448.567 -0.553 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001317932401 1074148095 /nfs/dbraw/zinc/14/80/95/1074148095.db2.gz ZRZJWMRRYYKOLP-CQSZACIVSA-N 0 0 448.567 -0.553 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC001317932760 1074148118 /nfs/dbraw/zinc/14/81/18/1074148118.db2.gz MSNHEXUYAIDKBK-UHFFFAOYSA-N 0 0 434.497 -0.785 20 0 IBADRN COCCn1nc(C(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)ccc1=O ZINC001317932820 1074148107 /nfs/dbraw/zinc/14/81/07/1074148107.db2.gz OCDXGMNGRQYKIC-UHFFFAOYSA-N 0 0 446.489 -0.087 20 0 IBADRN C[C@H](NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)NCC(F)(F)F ZINC001317933132 1074148162 /nfs/dbraw/zinc/14/81/62/1074148162.db2.gz ZBGQWAFCICGNPG-JTQLQIEISA-N 0 0 438.428 -0.033 20 0 IBADRN C[C@@H](NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C(=O)NCC(F)(F)F ZINC001317933133 1074148150 /nfs/dbraw/zinc/14/81/50/1074148150.db2.gz ZBGQWAFCICGNPG-SNVBAGLBSA-N 0 0 438.428 -0.033 20 0 IBADRN O=C(CCNC(=O)CC1(CC(=O)N2CCOCC2)CCCC1)N[C@H]1CCS(=O)(=O)C1 ZINC001317933424 1074148560 /nfs/dbraw/zinc/14/85/60/1074148560.db2.gz ZJRBBPOLZDMBRT-INIZCTEOSA-N 0 0 443.566 -0.005 20 0 IBADRN O=C(CCNC(=O)CC1(CC(=O)N2CCOCC2)CCCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317933425 1074148450 /nfs/dbraw/zinc/14/84/50/1074148450.db2.gz ZJRBBPOLZDMBRT-MRXNPFEDSA-N 0 0 443.566 -0.005 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC001317933644 1074148539 /nfs/dbraw/zinc/14/85/39/1074148539.db2.gz HLUQYKAAQWZYDO-UHFFFAOYSA-N 0 0 432.543 -0.450 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC1CCC2(CC1)OCCO2 ZINC001317933672 1074148480 /nfs/dbraw/zinc/14/84/80/1074148480.db2.gz HWUKZMMGBROVNQ-DZGCQCFKSA-N 0 0 444.506 -0.633 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC1CCC2(CC1)OCCO2 ZINC001317933673 1074148550 /nfs/dbraw/zinc/14/85/50/1074148550.db2.gz HWUKZMMGBROVNQ-HIFRSBDPSA-N 0 0 444.506 -0.633 20 0 IBADRN O=C(COC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)NC1CCC2(CC1)OCCO2 ZINC001317933674 1074148494 /nfs/dbraw/zinc/14/84/94/1074148494.db2.gz HWUKZMMGBROVNQ-UKRRQHHQSA-N 0 0 444.506 -0.633 20 0 IBADRN O=C(COC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)NC1CCC2(CC1)OCCO2 ZINC001317933675 1074148529 /nfs/dbraw/zinc/14/85/29/1074148529.db2.gz HWUKZMMGBROVNQ-ZFWWWQNUSA-N 0 0 444.506 -0.633 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001317933694 1074148489 /nfs/dbraw/zinc/14/84/89/1074148489.db2.gz JAZLSMZSTISXHE-UHFFFAOYSA-N 0 0 426.495 -0.310 20 0 IBADRN C[C@H](NC(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)C(=O)N1CCCCC1 ZINC001317934386 1074148485 /nfs/dbraw/zinc/14/84/85/1074148485.db2.gz SXRUDTCUXAYZLZ-AWEZNQCLSA-N 0 0 444.496 -0.204 20 0 IBADRN C[C@@H](NC(=O)COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)C(=O)N1CCCCC1 ZINC001317934398 1074148444 /nfs/dbraw/zinc/14/84/44/1074148444.db2.gz SXRUDTCUXAYZLZ-CQSZACIVSA-N 0 0 444.496 -0.204 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001317935722 1074148508 /nfs/dbraw/zinc/14/85/08/1074148508.db2.gz ZYQDKCITHVSNTR-HNNXBMFYSA-N 0 0 440.522 -0.354 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001317935725 1074148471 /nfs/dbraw/zinc/14/84/71/1074148471.db2.gz ZYQDKCITHVSNTR-OAHLLOKOSA-N 0 0 440.522 -0.354 20 0 IBADRN Cc1occc1C(=O)NCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001317938654 1074148521 /nfs/dbraw/zinc/14/85/21/1074148521.db2.gz LTGPABPKDGLDCG-AWEZNQCLSA-N 0 0 427.479 -0.808 20 0 IBADRN Cc1occc1C(=O)NCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317938655 1074148439 /nfs/dbraw/zinc/14/84/39/1074148439.db2.gz LTGPABPKDGLDCG-CQSZACIVSA-N 0 0 427.479 -0.808 20 0 IBADRN O=C(COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)N1CCN(C(=O)C2CC2)CC1 ZINC001317938931 1074148499 /nfs/dbraw/zinc/14/84/99/1074148499.db2.gz FHBWWTHLPGOCOQ-UHFFFAOYSA-N 0 0 442.480 -0.640 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)/C=C\c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001317939541 1074148534 /nfs/dbraw/zinc/14/85/34/1074148534.db2.gz NWVFGYSSKXHPSP-SREVYHEPSA-N 0 0 434.493 -0.254 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)/C=C/c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001317939542 1074148514 /nfs/dbraw/zinc/14/85/14/1074148514.db2.gz NWVFGYSSKXHPSP-VOTSOKGWSA-N 0 0 434.493 -0.254 20 0 IBADRN COc1ccc(CC(=O)NCCCS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001317941677 1074148431 /nfs/dbraw/zinc/14/84/31/1074148431.db2.gz AKMXXQBMDBHLMI-UHFFFAOYSA-N 0 0 434.536 -0.191 20 0 IBADRN O=C(CS[C@H]1CCS(=O)(=O)C1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001317942267 1074148566 /nfs/dbraw/zinc/14/85/66/1074148566.db2.gz MJVQWCGOHXNCOR-HNNXBMFYSA-N 0 0 425.532 -0.205 20 0 IBADRN O=C(CS[C@@H]1CCS(=O)(=O)C1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001317942269 1074148463 /nfs/dbraw/zinc/14/84/63/1074148463.db2.gz MJVQWCGOHXNCOR-OAHLLOKOSA-N 0 0 425.532 -0.205 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)CC2(CC(=O)N3CCOCC3)CCCC2)CC1 ZINC001317944024 1074149067 /nfs/dbraw/zinc/14/90/67/1074149067.db2.gz IHNBOJOGFJBVET-UHFFFAOYSA-N 0 0 433.513 -0.457 20 0 IBADRN CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC001317945894 1074149055 /nfs/dbraw/zinc/14/90/55/1074149055.db2.gz OVOWIYLWQBYKRC-CMPLNLGQSA-N 0 0 437.565 -0.083 20 0 IBADRN CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC001317945895 1074149054 /nfs/dbraw/zinc/14/90/54/1074149054.db2.gz OVOWIYLWQBYKRC-JQWIXIFHSA-N 0 0 437.565 -0.083 20 0 IBADRN CC(=O)N[C@H](C)c1ccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC001317945896 1074149061 /nfs/dbraw/zinc/14/90/61/1074149061.db2.gz OVOWIYLWQBYKRC-PWSUYJOCSA-N 0 0 437.565 -0.083 20 0 IBADRN CC(=O)N[C@H](C)c1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC001317945897 1074149050 /nfs/dbraw/zinc/14/90/50/1074149050.db2.gz OVOWIYLWQBYKRC-ZYHUDNBSSA-N 0 0 437.565 -0.083 20 0 IBADRN CCCCN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001317947004 1074149060 /nfs/dbraw/zinc/14/90/60/1074149060.db2.gz AWVKGFDBKBOIIW-KBPBESRZSA-N 0 0 433.552 -0.032 20 0 IBADRN CCCCN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001317947006 1074149064 /nfs/dbraw/zinc/14/90/64/1074149064.db2.gz AWVKGFDBKBOIIW-KGLIPLIRSA-N 0 0 433.552 -0.032 20 0 IBADRN CCCCN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001317947008 1074149078 /nfs/dbraw/zinc/14/90/78/1074149078.db2.gz AWVKGFDBKBOIIW-UONOGXRCSA-N 0 0 433.552 -0.032 20 0 IBADRN CCCCN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001317947011 1074149053 /nfs/dbraw/zinc/14/90/53/1074149053.db2.gz AWVKGFDBKBOIIW-ZIAGYGMSSA-N 0 0 433.552 -0.032 20 0 IBADRN O=C(c1cnc2ccccc2c1O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317949306 1074149062 /nfs/dbraw/zinc/14/90/62/1074149062.db2.gz DHAAXOCLNBZHRI-CYBMUJFWSA-N 0 0 439.515 -0.197 20 0 IBADRN O=C(c1cnc2ccccc2c1O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317949307 1074149058 /nfs/dbraw/zinc/14/90/58/1074149058.db2.gz DHAAXOCLNBZHRI-ZDUSSCGKSA-N 0 0 439.515 -0.197 20 0 IBADRN CN(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(Br)o1 ZINC001317949330 1074149091 /nfs/dbraw/zinc/14/90/91/1074149091.db2.gz DMNKUUOEKSZGQB-SECBINFHSA-N 0 0 437.268 -0.039 20 0 IBADRN CN(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(Br)o1 ZINC001317949332 1074149052 /nfs/dbraw/zinc/14/90/52/1074149052.db2.gz DMNKUUOEKSZGQB-VIFPVBQESA-N 0 0 437.268 -0.039 20 0 IBADRN COC(=O)c1cccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001317953309 1074149075 /nfs/dbraw/zinc/14/90/75/1074149075.db2.gz BGUCOZNKWZDGQG-CYBMUJFWSA-N 0 0 425.463 -0.644 20 0 IBADRN COC(=O)c1cccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001317953311 1074149081 /nfs/dbraw/zinc/14/90/81/1074149081.db2.gz BGUCOZNKWZDGQG-ZDUSSCGKSA-N 0 0 425.463 -0.644 20 0 IBADRN O=C(CS(=O)(=O)C1CCCC1)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001317954424 1074149056 /nfs/dbraw/zinc/14/90/56/1074149056.db2.gz QEYDMFBJDMEMHF-AWEZNQCLSA-N 0 0 436.552 -0.782 20 0 IBADRN O=C(CS(=O)(=O)C1CCCC1)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317954425 1074149048 /nfs/dbraw/zinc/14/90/48/1074149048.db2.gz QEYDMFBJDMEMHF-CQSZACIVSA-N 0 0 436.552 -0.782 20 0 IBADRN Cn1c(C(=O)N2CCC(C(=O)Nc3nncs3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC001317955314 1074149057 /nfs/dbraw/zinc/14/90/57/1074149057.db2.gz WVNKTQRWBLOEBF-UHFFFAOYSA-N 0 0 431.478 -0.082 20 0 IBADRN O=C(c1ccc2[nH]c(=O)oc2c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317957397 1074149065 /nfs/dbraw/zinc/14/90/65/1074149065.db2.gz LKHGKFNXFFPWQN-GFCCVEGCSA-N 0 0 429.476 -0.192 20 0 IBADRN O=C(c1ccc2[nH]c(=O)oc2c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317957399 1074149571 /nfs/dbraw/zinc/14/95/71/1074149571.db2.gz LKHGKFNXFFPWQN-LBPRGKRZSA-N 0 0 429.476 -0.192 20 0 IBADRN CC(=O)Nc1nc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001317957530 1074149620 /nfs/dbraw/zinc/14/96/20/1074149620.db2.gz OWUHHESFRFLCPY-LLVKDONJSA-N 0 0 436.537 -0.624 20 0 IBADRN CC(=O)Nc1nc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001317957531 1074149631 /nfs/dbraw/zinc/14/96/31/1074149631.db2.gz OWUHHESFRFLCPY-NSHDSACASA-N 0 0 436.537 -0.624 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001317957826 1074149580 /nfs/dbraw/zinc/14/95/80/1074149580.db2.gz ZAROXDNJSITNEF-CYBMUJFWSA-N 0 0 449.576 -0.553 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001317957827 1074149701 /nfs/dbraw/zinc/14/97/01/1074149701.db2.gz ZAROXDNJSITNEF-ZDUSSCGKSA-N 0 0 449.576 -0.553 20 0 IBADRN Cc1noc2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC001317957830 1074149586 /nfs/dbraw/zinc/14/95/86/1074149586.db2.gz ZQSFSGWTJAVAQA-CYBMUJFWSA-N 0 0 428.492 -0.194 20 0 IBADRN Cc1noc2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC001317957831 1074149542 /nfs/dbraw/zinc/14/95/42/1074149542.db2.gz ZQSFSGWTJAVAQA-ZDUSSCGKSA-N 0 0 428.492 -0.194 20 0 IBADRN O=C(C[C@@H]1C=CS(=O)(=O)C1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001317957865 1074149606 /nfs/dbraw/zinc/14/96/06/1074149606.db2.gz BHKDDZGDGVJAKX-HNNXBMFYSA-N 0 0 428.536 -0.402 20 0 IBADRN O=C(C[C@H]1C=CS(=O)(=O)C1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001317957866 1074149675 /nfs/dbraw/zinc/14/96/75/1074149675.db2.gz BHKDDZGDGVJAKX-OAHLLOKOSA-N 0 0 428.536 -0.402 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(=O)n(Cc2nnnn2-c2ccc(S(C)(=O)=O)cc2)c1 ZINC001317960984 1074149592 /nfs/dbraw/zinc/14/95/92/1074149592.db2.gz JPOBVEHBJDKPMW-UHFFFAOYSA-N 0 0 438.491 -0.474 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(CN3C(=O)c4ccccc4C3=O)CC2)c(=O)n(C)c1=O ZINC001317961353 1074149656 /nfs/dbraw/zinc/14/96/56/1074149656.db2.gz RVNZEDHFJCWXOL-UHFFFAOYSA-N 0 0 446.485 -0.219 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)ccc1F ZINC001317964125 1074149709 /nfs/dbraw/zinc/14/97/09/1074149709.db2.gz PYMUANMHKOKLEL-UHFFFAOYSA-N 0 0 435.499 -0.012 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCCC1=O ZINC001317965856 1074149695 /nfs/dbraw/zinc/14/96/95/1074149695.db2.gz FSLJXAKQUOMLOQ-HNNXBMFYSA-N 0 0 432.520 -0.066 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCCN1CCCC1=O ZINC001317965857 1074149514 /nfs/dbraw/zinc/14/95/14/1074149514.db2.gz FSLJXAKQUOMLOQ-OAHLLOKOSA-N 0 0 432.520 -0.066 20 0 IBADRN O=C(CCNC(=O)NCc1cccnc1N1CCOCC1)N[C@H]1CCS(=O)(=O)C1 ZINC001317966164 1074149670 /nfs/dbraw/zinc/14/96/70/1074149670.db2.gz JXZAAENYMLMAFY-HNNXBMFYSA-N 0 0 425.511 -0.589 20 0 IBADRN O=C(CCNC(=O)NCc1cccnc1N1CCOCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317966174 1074149645 /nfs/dbraw/zinc/14/96/45/1074149645.db2.gz JXZAAENYMLMAFY-OAHLLOKOSA-N 0 0 425.511 -0.589 20 0 IBADRN C[S@](=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001317966415 1074149721 /nfs/dbraw/zinc/14/97/21/1074149721.db2.gz HKAMOPPLWTUZRV-BZQUYTCOSA-N 0 0 434.561 -0.301 20 0 IBADRN C[S@](=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001317966417 1074149562 /nfs/dbraw/zinc/14/95/62/1074149562.db2.gz HKAMOPPLWTUZRV-MQNRADLISA-N 0 0 434.561 -0.301 20 0 IBADRN C[S@@](=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001317966419 1074149554 /nfs/dbraw/zinc/14/95/54/1074149554.db2.gz HKAMOPPLWTUZRV-ODCWNRFASA-N 0 0 434.561 -0.301 20 0 IBADRN C[S@@](=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001317966420 1074149635 /nfs/dbraw/zinc/14/96/35/1074149635.db2.gz HKAMOPPLWTUZRV-SGANQWHYSA-N 0 0 434.561 -0.301 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)COC(=O)C2CCN(c3ccc4nnnn4n3)CC2)C1 ZINC001317966517 1074150062 /nfs/dbraw/zinc/15/00/62/1074150062.db2.gz IMVNOPAODIDPMD-HNNXBMFYSA-N 0 0 430.469 -0.594 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)COC(=O)C2CCN(c3ccc4nnnn4n3)CC2)C1 ZINC001317966523 1074149989 /nfs/dbraw/zinc/14/99/89/1074149989.db2.gz IMVNOPAODIDPMD-OAHLLOKOSA-N 0 0 430.469 -0.594 20 0 IBADRN NC(=O)[C@H]1CCCN(c2ccc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2)C1 ZINC001317966813 1074149998 /nfs/dbraw/zinc/14/99/98/1074149998.db2.gz NYLXBXCEZDFFGR-INIZCTEOSA-N 0 0 426.481 -0.641 20 0 IBADRN NC(=O)[C@@H]1CCCN(c2ccc(C(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc2)C1 ZINC001317966825 1074150045 /nfs/dbraw/zinc/15/00/45/1074150045.db2.gz NYLXBXCEZDFFGR-MRXNPFEDSA-N 0 0 426.481 -0.641 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)N2CCC(C(=O)N3CCCC3)CC2)c(=O)n(C)c1=O ZINC001317967617 1074150030 /nfs/dbraw/zinc/15/00/30/1074150030.db2.gz PEBHUCXQEQBXLN-AATRIKPKSA-N 0 0 432.477 -0.499 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)N2CCC(C(=O)N3CCCC3)CC2)c(=O)n(C)c1=O ZINC001317967629 1074150021 /nfs/dbraw/zinc/15/00/21/1074150021.db2.gz PEBHUCXQEQBXLN-WAYWQWQTSA-N 0 0 432.477 -0.499 20 0 IBADRN NC(=O)[C@@H]1CCCN(c2ccc(C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC001317967950 1074150078 /nfs/dbraw/zinc/15/00/78/1074150078.db2.gz VONFEKAPZPKFGW-CVEARBPZSA-N 0 0 436.534 -0.189 20 0 IBADRN NC(=O)[C@H]1CCCN(c2ccc(C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc2)C1 ZINC001317967952 1074150081 /nfs/dbraw/zinc/15/00/81/1074150081.db2.gz VONFEKAPZPKFGW-HOTGVXAUSA-N 0 0 436.534 -0.189 20 0 IBADRN NC(=O)[C@@H]1CCCN(c2ccc(C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC001317967953 1074150093 /nfs/dbraw/zinc/15/00/93/1074150093.db2.gz VONFEKAPZPKFGW-HZPDHXFCSA-N 0 0 436.534 -0.189 20 0 IBADRN CC(C)c1noc(CCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001317968079 1074150012 /nfs/dbraw/zinc/15/00/12/1074150012.db2.gz GDPFAOCUQAMKMZ-CYBMUJFWSA-N 0 0 434.540 -0.213 20 0 IBADRN CC(C)c1noc(CCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001317968080 1074150086 /nfs/dbraw/zinc/15/00/86/1074150086.db2.gz GDPFAOCUQAMKMZ-ZDUSSCGKSA-N 0 0 434.540 -0.213 20 0 IBADRN NC(=O)[C@H]1CCCN(c2ccc(C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)C1 ZINC001317968146 1074149996 /nfs/dbraw/zinc/14/99/96/1074149996.db2.gz VONFEKAPZPKFGW-JKSUJKDBSA-N 0 0 436.534 -0.189 20 0 IBADRN C[S@@](=O)Cc1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001317968384 1074150036 /nfs/dbraw/zinc/15/00/36/1074150036.db2.gz IQXBNAJUQYFUEW-AKJBCIBTSA-N 0 0 448.588 -0.160 20 0 IBADRN C[S@](=O)Cc1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001317968386 1074150075 /nfs/dbraw/zinc/15/00/75/1074150075.db2.gz IQXBNAJUQYFUEW-DXPJPUQTSA-N 0 0 448.588 -0.160 20 0 IBADRN C[S@](=O)Cc1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001317968387 1074149992 /nfs/dbraw/zinc/14/99/92/1074149992.db2.gz IQXBNAJUQYFUEW-QMTYFTJSSA-N 0 0 448.588 -0.160 20 0 IBADRN C[S@@](=O)Cc1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001317968388 1074150008 /nfs/dbraw/zinc/15/00/08/1074150008.db2.gz IQXBNAJUQYFUEW-YHAMSUFESA-N 0 0 448.588 -0.160 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)COC(=O)CN3CCS(=O)(=O)CC3)CC2)C1 ZINC001317968797 1074150016 /nfs/dbraw/zinc/15/00/16/1074150016.db2.gz CVYAMFDQXPNTRY-INIZCTEOSA-N 0 0 443.566 -0.243 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)COC(=O)CN3CCS(=O)(=O)CC3)CC2)C1 ZINC001317968800 1074150001 /nfs/dbraw/zinc/15/00/01/1074150001.db2.gz CVYAMFDQXPNTRY-MRXNPFEDSA-N 0 0 443.566 -0.243 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2C(=O)N[C@H](CCS(C)(=O)=O)C2=O)CC1 ZINC001317971377 1074150068 /nfs/dbraw/zinc/15/00/68/1074150068.db2.gz PTFNJLTWJDJEIT-GFCCVEGCSA-N 0 0 432.499 -0.579 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2C(=O)N[C@@H](CCS(C)(=O)=O)C2=O)CC1 ZINC001317971378 1074150052 /nfs/dbraw/zinc/15/00/52/1074150052.db2.gz PTFNJLTWJDJEIT-LBPRGKRZSA-N 0 0 432.499 -0.579 20 0 IBADRN O=C(CCNC(=O)NC[C@@]1(N2CCOCC2)CCSC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317971473 1074150812 /nfs/dbraw/zinc/15/08/12/1074150812.db2.gz WNPZIFNJTWDKNG-PBHICJAKSA-N 0 0 434.584 -0.813 20 0 IBADRN O=C(CCNC(=O)NC[C@]1(N2CCOCC2)CCSC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001317971474 1074150767 /nfs/dbraw/zinc/15/07/67/1074150767.db2.gz WNPZIFNJTWDKNG-RHSMWYFYSA-N 0 0 434.584 -0.813 20 0 IBADRN O=C(CCNC(=O)NC[C@]1(N2CCOCC2)CCSC1)N[C@H]1CCS(=O)(=O)C1 ZINC001317971475 1074150748 /nfs/dbraw/zinc/15/07/48/1074150748.db2.gz WNPZIFNJTWDKNG-WMLDXEAASA-N 0 0 434.584 -0.813 20 0 IBADRN O=C(CCNC(=O)NC[C@@]1(N2CCOCC2)CCSC1)N[C@H]1CCS(=O)(=O)C1 ZINC001317971476 1074150760 /nfs/dbraw/zinc/15/07/60/1074150760.db2.gz WNPZIFNJTWDKNG-YOEHRIQHSA-N 0 0 434.584 -0.813 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CS(=O)(=O)CCCN2CCCC2=O)cc1 ZINC001317973779 1074150713 /nfs/dbraw/zinc/15/07/13/1074150713.db2.gz DRFLPRLOCZSFQS-UHFFFAOYSA-N 0 0 427.508 -0.007 20 0 IBADRN Cc1nc(-c2cccnc2)[nH]c(=O)c1CC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001317975155 1074150737 /nfs/dbraw/zinc/15/07/37/1074150737.db2.gz SRLWWUZFYQTDLI-UHFFFAOYSA-N 0 0 434.522 -0.211 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N1CCC(NC(=O)c2ccccc2C)CC1 ZINC001317976602 1074150700 /nfs/dbraw/zinc/15/07/00/1074150700.db2.gz GFNZUECKZSPWLA-UHFFFAOYSA-N 0 0 432.477 -0.489 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)cc2S1(=O)=O ZINC001317979498 1074150720 /nfs/dbraw/zinc/15/07/20/1074150720.db2.gz LWHWNZLVOVMHDR-AWEZNQCLSA-N 0 0 441.531 -0.204 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)cc2S1(=O)=O ZINC001317979503 1074150819 /nfs/dbraw/zinc/15/08/19/1074150819.db2.gz LWHWNZLVOVMHDR-CQSZACIVSA-N 0 0 441.531 -0.204 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1 ZINC001317980621 1074150755 /nfs/dbraw/zinc/15/07/55/1074150755.db2.gz NQYAXFLIBJSCSE-UHFFFAOYSA-N 0 0 436.490 -0.118 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)N2CCOCC2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC001317982251 1074150799 /nfs/dbraw/zinc/15/07/99/1074150799.db2.gz QVRFUZQFERHQOA-UHFFFAOYSA-N 0 0 435.506 -0.336 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1S(N)(=O)=O ZINC001317982441 1074150825 /nfs/dbraw/zinc/15/08/25/1074150825.db2.gz VYKSNLBWOPHZOV-CYBMUJFWSA-N 0 0 449.526 -0.645 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1S(N)(=O)=O ZINC001317982442 1074150729 /nfs/dbraw/zinc/15/07/29/1074150729.db2.gz VYKSNLBWOPHZOV-ZDUSSCGKSA-N 0 0 449.526 -0.645 20 0 IBADRN Cc1nn(C)c(C)c1CCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317982989 1074150772 /nfs/dbraw/zinc/15/07/72/1074150772.db2.gz DNQAGHXKIVGQLR-HNNXBMFYSA-N 0 0 432.568 -0.369 20 0 IBADRN Cc1nn(C)c(C)c1CCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317982992 1074150683 /nfs/dbraw/zinc/15/06/83/1074150683.db2.gz DNQAGHXKIVGQLR-OAHLLOKOSA-N 0 0 432.568 -0.369 20 0 IBADRN Cc1cccc2nc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cn21 ZINC001317983599 1074150822 /nfs/dbraw/zinc/15/08/22/1074150822.db2.gz LFPHRWNUJBACCP-AWEZNQCLSA-N 0 0 426.520 -0.083 20 0 IBADRN Cc1cccc2nc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cn21 ZINC001317983693 1074151064 /nfs/dbraw/zinc/15/10/64/1074151064.db2.gz LFPHRWNUJBACCP-CQSZACIVSA-N 0 0 426.520 -0.083 20 0 IBADRN O=C(c1cc2c([nH]c1=O)CCC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317984204 1074151106 /nfs/dbraw/zinc/15/11/06/1074151106.db2.gz WSLRJXAUFYBMFR-CYBMUJFWSA-N 0 0 429.520 -0.449 20 0 IBADRN O=C(c1cc2c([nH]c1=O)CCC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317984211 1074151055 /nfs/dbraw/zinc/15/10/55/1074151055.db2.gz WSLRJXAUFYBMFR-ZDUSSCGKSA-N 0 0 429.520 -0.449 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)COC(=O)C2CCN(S(N)(=O)=O)CC2)CC1 ZINC001317984643 1074151153 /nfs/dbraw/zinc/15/11/53/1074151153.db2.gz YQRFSWFRKABFML-UHFFFAOYSA-N 0 0 434.515 -0.476 20 0 IBADRN CN(C)C(=O)CCCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001317985928 1074151206 /nfs/dbraw/zinc/15/12/06/1074151206.db2.gz NLCFQZSFSSIBCU-CABCVRRESA-N 0 0 438.568 -0.536 20 0 IBADRN CN(C)C(=O)CCCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001317985937 1074151072 /nfs/dbraw/zinc/15/10/72/1074151072.db2.gz NLCFQZSFSSIBCU-GJZGRUSLSA-N 0 0 438.568 -0.536 20 0 IBADRN CN(C)C(=O)CCCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001317985938 1074151042 /nfs/dbraw/zinc/15/10/42/1074151042.db2.gz NLCFQZSFSSIBCU-HUUCEWRRSA-N 0 0 438.568 -0.536 20 0 IBADRN CN(C)C(=O)CCCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001317985939 1074151200 /nfs/dbraw/zinc/15/12/00/1074151200.db2.gz NLCFQZSFSSIBCU-LSDHHAIUSA-N 0 0 438.568 -0.536 20 0 IBADRN COCCCn1cnnc1S(=O)(=O)CC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC001317986622 1074151171 /nfs/dbraw/zinc/15/11/71/1074151171.db2.gz BGQDYDLQCFGXJB-UHFFFAOYSA-N 0 0 425.467 -0.334 20 0 IBADRN Cc1cc(NC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001317987826 1074151160 /nfs/dbraw/zinc/15/11/60/1074151160.db2.gz OLVJZDDCFTZGGR-CYBMUJFWSA-N 0 0 425.492 -0.013 20 0 IBADRN Cc1cc(NC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001317987829 1074151082 /nfs/dbraw/zinc/15/10/82/1074151082.db2.gz OLVJZDDCFTZGGR-ZDUSSCGKSA-N 0 0 425.492 -0.013 20 0 IBADRN Cc1sc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)c1C ZINC001317988118 1074151117 /nfs/dbraw/zinc/15/11/17/1074151117.db2.gz KEIUWQJTFXVAIP-UHFFFAOYSA-N 0 0 428.492 -0.248 20 0 IBADRN O=C(CSc1nncs1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001317989509 1074151214 /nfs/dbraw/zinc/15/12/14/1074151214.db2.gz XZJWWRTWVGTOKK-JTQLQIEISA-N 0 0 426.567 -0.709 20 0 IBADRN O=C(CSc1nncs1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001317989510 1074151134 /nfs/dbraw/zinc/15/11/34/1074151134.db2.gz XZJWWRTWVGTOKK-SNVBAGLBSA-N 0 0 426.567 -0.709 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CCS(=O)(=O)c2ccc(OC)cc2)CC1 ZINC001317992669 1074151143 /nfs/dbraw/zinc/15/11/43/1074151143.db2.gz FQCKRTMINNQMSW-UHFFFAOYSA-N 0 0 427.523 -0.234 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CCNC(=O)COC)CC2)cc1 ZINC001317992945 1074151094 /nfs/dbraw/zinc/15/10/94/1074151094.db2.gz JTNGPSFYVBIQDX-UHFFFAOYSA-N 0 0 441.506 -0.151 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC(c4nnc5n4CCC5)CC3)c2c(=O)n(C)c1=O ZINC001317997141 1074151221 /nfs/dbraw/zinc/15/12/21/1074151221.db2.gz DRCKCWUIWNGHTP-UHFFFAOYSA-N 0 0 426.481 -0.232 20 0 IBADRN CNC(=O)COc1ccc(C(=O)O[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC001317998860 1074151195 /nfs/dbraw/zinc/15/11/95/1074151195.db2.gz ZLEARNCUCCGLRT-AAEUAGOBSA-N 0 0 428.463 -0.331 20 0 IBADRN CNC(=O)COc1ccc(C(=O)O[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC001317998863 1074151166 /nfs/dbraw/zinc/15/11/66/1074151166.db2.gz ZLEARNCUCCGLRT-DGCLKSJQSA-N 0 0 428.463 -0.331 20 0 IBADRN CNC(=O)COc1ccc(C(=O)O[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC001317998864 1074151123 /nfs/dbraw/zinc/15/11/23/1074151123.db2.gz ZLEARNCUCCGLRT-WCQYABFASA-N 0 0 428.463 -0.331 20 0 IBADRN CNC(=O)COc1ccc(C(=O)O[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC001317998868 1074151694 /nfs/dbraw/zinc/15/16/94/1074151694.db2.gz ZLEARNCUCCGLRT-YPMHNXCESA-N 0 0 428.463 -0.331 20 0 IBADRN COc1cc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)ccc1OCCO ZINC001317999367 1074151763 /nfs/dbraw/zinc/15/17/63/1074151763.db2.gz WCOOSJGCEWPXKV-CABCVRRESA-N 0 0 426.491 -0.282 20 0 IBADRN COc1cc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)ccc1OCCO ZINC001317999372 1074151734 /nfs/dbraw/zinc/15/17/34/1074151734.db2.gz WCOOSJGCEWPXKV-GJZGRUSLSA-N 0 0 426.491 -0.282 20 0 IBADRN COc1cc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)ccc1OCCO ZINC001317999376 1074151727 /nfs/dbraw/zinc/15/17/27/1074151727.db2.gz WCOOSJGCEWPXKV-HUUCEWRRSA-N 0 0 426.491 -0.282 20 0 IBADRN COc1cc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)ccc1OCCO ZINC001317999380 1074151709 /nfs/dbraw/zinc/15/17/09/1074151709.db2.gz WCOOSJGCEWPXKV-LSDHHAIUSA-N 0 0 426.491 -0.282 20 0 IBADRN NC(=O)NC1CCC(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001318000700 1074151700 /nfs/dbraw/zinc/15/17/00/1074151700.db2.gz JOQAGMVYTNWXGA-NRXISQOPSA-N 0 0 430.527 -0.912 20 0 IBADRN NC(=O)NC1CCC(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001318000701 1074151760 /nfs/dbraw/zinc/15/17/60/1074151760.db2.gz JOQAGMVYTNWXGA-YMAMQOFZSA-N 0 0 430.527 -0.912 20 0 IBADRN CCN1CCN(CC(=O)OCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C(=O)C1=O ZINC001318001528 1074151751 /nfs/dbraw/zinc/15/17/51/1074151751.db2.gz YSFXAWDDSLPIHH-UHFFFAOYSA-N 0 0 426.470 -0.700 20 0 IBADRN Cn1c([C@H]2CCS(=O)(=O)C2)nn(CN2CCN(C(=O)N3CCOCC3)CC2)c1=S ZINC001318001965 1074151724 /nfs/dbraw/zinc/15/17/24/1074151724.db2.gz GVQCYWMQCXLKBT-AWEZNQCLSA-N 0 0 444.583 -0.120 20 0 IBADRN Cn1c([C@@H]2CCS(=O)(=O)C2)nn(CN2CCN(C(=O)N3CCOCC3)CC2)c1=S ZINC001318001966 1074151739 /nfs/dbraw/zinc/15/17/39/1074151739.db2.gz GVQCYWMQCXLKBT-CQSZACIVSA-N 0 0 444.583 -0.120 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001318003102 1074151732 /nfs/dbraw/zinc/15/17/32/1074151732.db2.gz VQXAOIHZLWZEKM-CYBMUJFWSA-N 0 0 431.492 -0.857 20 0 IBADRN COC(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001318003103 1074151743 /nfs/dbraw/zinc/15/17/43/1074151743.db2.gz VQXAOIHZLWZEKM-ZDUSSCGKSA-N 0 0 431.492 -0.857 20 0 IBADRN CCc1nc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)no1 ZINC001318003232 1074151758 /nfs/dbraw/zinc/15/17/58/1074151758.db2.gz PGSQVTLXLNUWEH-CHWSQXEVSA-N 0 0 435.524 -0.259 20 0 IBADRN CCc1nc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)no1 ZINC001318003234 1074151737 /nfs/dbraw/zinc/15/17/37/1074151737.db2.gz PGSQVTLXLNUWEH-OLZOCXBDSA-N 0 0 435.524 -0.259 20 0 IBADRN CCc1nc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)no1 ZINC001318003235 1074151761 /nfs/dbraw/zinc/15/17/61/1074151761.db2.gz PGSQVTLXLNUWEH-QWHCGFSZSA-N 0 0 435.524 -0.259 20 0 IBADRN CCc1nc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)no1 ZINC001318003236 1074151717 /nfs/dbraw/zinc/15/17/17/1074151717.db2.gz PGSQVTLXLNUWEH-STQMWFEESA-N 0 0 435.524 -0.259 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001318005808 1074151745 /nfs/dbraw/zinc/15/17/45/1074151745.db2.gz PKXYNBAQCYJTTQ-UHFFFAOYSA-N 0 0 427.546 -0.554 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC001318006015 1074151755 /nfs/dbraw/zinc/15/17/55/1074151755.db2.gz UUZNQKHZLSRRTQ-UHFFFAOYSA-N 0 0 428.511 -0.065 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001318007298 1074151748 /nfs/dbraw/zinc/15/17/48/1074151748.db2.gz RJCMHGLSSVJAKZ-UHFFFAOYSA-N 0 0 434.522 -0.624 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001318009413 1074151754 /nfs/dbraw/zinc/15/17/54/1074151754.db2.gz MUDIUZOUKWBHIV-GFCCVEGCSA-N 0 0 433.533 -0.730 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001318009419 1074152176 /nfs/dbraw/zinc/15/21/76/1074152176.db2.gz MUDIUZOUKWBHIV-LBPRGKRZSA-N 0 0 433.533 -0.730 20 0 IBADRN O=C(CSc1nnc(N2CCOCC2)s1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318010248 1074152181 /nfs/dbraw/zinc/15/21/81/1074152181.db2.gz VBCWXVHGCGKSKB-CYBMUJFWSA-N 0 0 447.608 -0.202 20 0 IBADRN O=C(CSc1nnc(N2CCOCC2)s1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318010249 1074152148 /nfs/dbraw/zinc/15/21/48/1074152148.db2.gz VBCWXVHGCGKSKB-ZDUSSCGKSA-N 0 0 447.608 -0.202 20 0 IBADRN CNS(=O)(=O)c1c[nH]c(C(=O)OCC(=O)N2CCC(C(=O)N3CCCC3)CC2)c1 ZINC001318012064 1074152221 /nfs/dbraw/zinc/15/22/21/1074152221.db2.gz CDPNBJOYISXKBL-UHFFFAOYSA-N 0 0 426.495 -0.059 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCC(C(=O)N3CCCC3)CC2)CC1 ZINC001318012080 1074152169 /nfs/dbraw/zinc/15/21/69/1074152169.db2.gz DOOGBSLOIARLRL-UHFFFAOYSA-N 0 0 430.527 -0.694 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)N[C@H]1CCS(=O)(=O)C1 ZINC001318013186 1074152215 /nfs/dbraw/zinc/15/22/15/1074152215.db2.gz BJYPIAQANONOPY-DZKIICNBSA-N 0 0 442.465 -0.824 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)N[C@@H]1CCS(=O)(=O)C1 ZINC001318013187 1074152252 /nfs/dbraw/zinc/15/22/52/1074152252.db2.gz BJYPIAQANONOPY-FMKPAKJESA-N 0 0 442.465 -0.824 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F)N[C@H]1CCS(=O)(=O)C1 ZINC001318013188 1074152268 /nfs/dbraw/zinc/15/22/68/1074152268.db2.gz BJYPIAQANONOPY-LZWOXQAQSA-N 0 0 442.465 -0.824 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F)N[C@@H]1CCS(=O)(=O)C1 ZINC001318013189 1074152272 /nfs/dbraw/zinc/15/22/72/1074152272.db2.gz BJYPIAQANONOPY-YCPHGPKFSA-N 0 0 442.465 -0.824 20 0 IBADRN O=C(Cc1nc2ncccn2n1)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001318013191 1074152246 /nfs/dbraw/zinc/15/22/46/1074152246.db2.gz BMANNYGAAKCPSH-KBPBESRZSA-N 0 0 437.478 -0.595 20 0 IBADRN O=C(Cc1nc2ncccn2n1)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001318013192 1074152128 /nfs/dbraw/zinc/15/21/28/1074152128.db2.gz BMANNYGAAKCPSH-KGLIPLIRSA-N 0 0 437.478 -0.595 20 0 IBADRN O=C(Cc1nc2ncccn2n1)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001318013193 1074152164 /nfs/dbraw/zinc/15/21/64/1074152164.db2.gz BMANNYGAAKCPSH-UONOGXRCSA-N 0 0 437.478 -0.595 20 0 IBADRN O=C(Cc1nc2ncccn2n1)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001318013194 1074152141 /nfs/dbraw/zinc/15/21/41/1074152141.db2.gz BMANNYGAAKCPSH-ZIAGYGMSSA-N 0 0 437.478 -0.595 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC001318013914 1074152262 /nfs/dbraw/zinc/15/22/62/1074152262.db2.gz IDLOWSKSDYMQEB-ACJLOTCBSA-N 0 0 441.437 -0.561 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC001318013919 1074152203 /nfs/dbraw/zinc/15/22/03/1074152203.db2.gz IDLOWSKSDYMQEB-FZKQIMNGSA-N 0 0 441.437 -0.561 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC001318013920 1074152256 /nfs/dbraw/zinc/15/22/56/1074152256.db2.gz IDLOWSKSDYMQEB-SCLBCKFNSA-N 0 0 441.437 -0.561 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC001318013921 1074152239 /nfs/dbraw/zinc/15/22/39/1074152239.db2.gz IDLOWSKSDYMQEB-UGSOOPFHSA-N 0 0 441.437 -0.561 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001318016435 1074152682 /nfs/dbraw/zinc/15/26/82/1074152682.db2.gz JXRMWOURTYVUFH-FHIRATQRSA-N 0 0 446.595 -0.136 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12 ZINC001318016436 1074152692 /nfs/dbraw/zinc/15/26/92/1074152692.db2.gz JXRMWOURTYVUFH-VWPFQQQWSA-N 0 0 446.595 -0.136 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12 ZINC001318016437 1074152655 /nfs/dbraw/zinc/15/26/55/1074152655.db2.gz JXRMWOURTYVUFH-WVZRYYJFSA-N 0 0 446.595 -0.136 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21 ZINC001318016438 1074152677 /nfs/dbraw/zinc/15/26/77/1074152677.db2.gz JXRMWOURTYVUFH-XJIUQZFPSA-N 0 0 446.595 -0.136 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001318017163 1074152649 /nfs/dbraw/zinc/15/26/49/1074152649.db2.gz JRHRQQZVWIKURU-MSOLQXFVSA-N 0 0 449.529 -0.189 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001318017165 1074152686 /nfs/dbraw/zinc/15/26/86/1074152686.db2.gz JRHRQQZVWIKURU-QZTJIDSGSA-N 0 0 449.529 -0.189 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001318017167 1074152662 /nfs/dbraw/zinc/15/26/62/1074152662.db2.gz JRHRQQZVWIKURU-ROUUACIJSA-N 0 0 449.529 -0.189 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCOCC2)cc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001318017168 1074152658 /nfs/dbraw/zinc/15/26/58/1074152658.db2.gz JRHRQQZVWIKURU-ZWKOTPCHSA-N 0 0 449.529 -0.189 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001318019744 1074152665 /nfs/dbraw/zinc/15/26/65/1074152665.db2.gz TVDCIRWVDVMRJQ-UHFFFAOYSA-N 0 0 425.511 -0.256 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C2CCN(c3ccc4nncn4n3)CC2)CC1 ZINC001318024020 1074152675 /nfs/dbraw/zinc/15/26/75/1074152675.db2.gz WEBCVUVXZCSOMN-UHFFFAOYSA-N 0 0 436.542 -0.966 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001318032366 1074152678 /nfs/dbraw/zinc/15/26/78/1074152678.db2.gz PDQVIFKUAYFESK-KRWDZBQOSA-N 0 0 430.571 -0.128 20 0 IBADRN CCCCN(C(=O)CN1CCN(C(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001318032370 1074152640 /nfs/dbraw/zinc/15/26/40/1074152640.db2.gz PDQVIFKUAYFESK-QGZVFWFLSA-N 0 0 430.571 -0.128 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN(C(=O)N2CCOCC2)CC1 ZINC001318032475 1074152660 /nfs/dbraw/zinc/15/26/60/1074152660.db2.gz RCJGIIVBKYTJGA-UHFFFAOYSA-N 0 0 433.509 -0.027 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001318033624 1074152633 /nfs/dbraw/zinc/15/26/33/1074152633.db2.gz AHJRVJKKFRVCJG-GDBMZVCRSA-N 0 0 445.563 -0.105 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001318033626 1074152653 /nfs/dbraw/zinc/15/26/53/1074152653.db2.gz AHJRVJKKFRVCJG-GOEBONIOSA-N 0 0 445.563 -0.105 20 0 IBADRN C[C@@H](CN1CCCC1=O)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001318033629 1074152688 /nfs/dbraw/zinc/15/26/88/1074152688.db2.gz AHJRVJKKFRVCJG-HOCLYGCPSA-N 0 0 445.563 -0.105 20 0 IBADRN C[C@H](CN1CCCC1=O)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001318033632 1074152626 /nfs/dbraw/zinc/15/26/26/1074152626.db2.gz AHJRVJKKFRVCJG-ZBFHGGJFSA-N 0 0 445.563 -0.105 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(N3CCC[C@H](C(N)=O)C3)cc2)CC1 ZINC001318048553 1074153295 /nfs/dbraw/zinc/15/32/95/1074153295.db2.gz SICILPVMBODOKT-KRWDZBQOSA-N 0 0 437.566 -0.305 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(N3CCC[C@@H](C(N)=O)C3)cc2)CC1 ZINC001318048556 1074153433 /nfs/dbraw/zinc/15/34/33/1074153433.db2.gz SICILPVMBODOKT-QGZVFWFLSA-N 0 0 437.566 -0.305 20 0 IBADRN C[C@H]1CCCN(C(=O)CS(=O)(=O)c2nnc(CCC(N)=O)n2C[C@@H]2CCCO2)C1 ZINC001318052842 1074153441 /nfs/dbraw/zinc/15/34/41/1074153441.db2.gz KODJBLDGBMJUDH-KBPBESRZSA-N 0 0 427.527 -0.093 20 0 IBADRN C[C@@H]1CCCN(C(=O)CS(=O)(=O)c2nnc(CCC(N)=O)n2C[C@@H]2CCCO2)C1 ZINC001318052843 1074153283 /nfs/dbraw/zinc/15/32/83/1074153283.db2.gz KODJBLDGBMJUDH-KGLIPLIRSA-N 0 0 427.527 -0.093 20 0 IBADRN C[C@H]1CCCN(C(=O)CS(=O)(=O)c2nnc(CCC(N)=O)n2C[C@H]2CCCO2)C1 ZINC001318052844 1074153403 /nfs/dbraw/zinc/15/34/03/1074153403.db2.gz KODJBLDGBMJUDH-UONOGXRCSA-N 0 0 427.527 -0.093 20 0 IBADRN C[C@@H]1CCCN(C(=O)CS(=O)(=O)c2nnc(CCC(N)=O)n2C[C@H]2CCCO2)C1 ZINC001318052853 1074153401 /nfs/dbraw/zinc/15/34/01/1074153401.db2.gz KODJBLDGBMJUDH-ZIAGYGMSSA-N 0 0 427.527 -0.093 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC(=O)CSc1cccc[n+]1[O-] ZINC001318058084 1074153387 /nfs/dbraw/zinc/15/33/87/1074153387.db2.gz TZEPQFLWLFPJHJ-UHFFFAOYSA-N 0 0 440.503 -0.272 20 0 IBADRN Cn1ccc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc1=O ZINC001318060374 1074153437 /nfs/dbraw/zinc/15/34/37/1074153437.db2.gz JJVYJZKVDOWXQU-CVEARBPZSA-N 0 0 446.547 -0.505 20 0 IBADRN Cn1ccc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc1=O ZINC001318060375 1074153363 /nfs/dbraw/zinc/15/33/63/1074153363.db2.gz JJVYJZKVDOWXQU-HOTGVXAUSA-N 0 0 446.547 -0.505 20 0 IBADRN Cn1ccc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cc1=O ZINC001318060376 1074153350 /nfs/dbraw/zinc/15/33/50/1074153350.db2.gz JJVYJZKVDOWXQU-HZPDHXFCSA-N 0 0 446.547 -0.505 20 0 IBADRN Cn1ccc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cc1=O ZINC001318060377 1074153327 /nfs/dbraw/zinc/15/33/27/1074153327.db2.gz JJVYJZKVDOWXQU-JKSUJKDBSA-N 0 0 446.547 -0.505 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001318060891 1074153369 /nfs/dbraw/zinc/15/33/69/1074153369.db2.gz QQWTXZPUNRTQGN-INIZCTEOSA-N 0 0 430.571 -0.130 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001318060893 1074153343 /nfs/dbraw/zinc/15/33/43/1074153343.db2.gz QQWTXZPUNRTQGN-MRXNPFEDSA-N 0 0 430.571 -0.130 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC001318063300 1074153356 /nfs/dbraw/zinc/15/33/56/1074153356.db2.gz WFNXOSDXSBVFIS-UHFFFAOYSA-N 0 0 437.518 -0.202 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001318064312 1074153376 /nfs/dbraw/zinc/15/33/76/1074153376.db2.gz NEOGDTXGPJTGEH-DZGCQCFKSA-N 0 0 432.520 -0.210 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001318064313 1074153397 /nfs/dbraw/zinc/15/33/97/1074153397.db2.gz NEOGDTXGPJTGEH-HIFRSBDPSA-N 0 0 432.520 -0.210 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001318064314 1074153779 /nfs/dbraw/zinc/15/37/79/1074153779.db2.gz NEOGDTXGPJTGEH-UKRRQHHQSA-N 0 0 432.520 -0.210 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001318064315 1074153658 /nfs/dbraw/zinc/15/36/58/1074153658.db2.gz NEOGDTXGPJTGEH-ZFWWWQNUSA-N 0 0 432.520 -0.210 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)CCO)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001318065041 1074153784 /nfs/dbraw/zinc/15/37/84/1074153784.db2.gz MFXHYBXPDXKJBF-GXTWGEPZSA-N 0 0 433.504 -0.357 20 0 IBADRN C[C@H](OC(=O)c1ccc(S(=O)(=O)CCO)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001318065044 1074153668 /nfs/dbraw/zinc/15/36/68/1074153668.db2.gz MFXHYBXPDXKJBF-JSGCOSHPSA-N 0 0 433.504 -0.357 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)CCO)cc1)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC001318065045 1074153814 /nfs/dbraw/zinc/15/38/14/1074153814.db2.gz MFXHYBXPDXKJBF-OCCSQVGLSA-N 0 0 433.504 -0.357 20 0 IBADRN C[C@@H](OC(=O)c1ccc(S(=O)(=O)CCO)cc1)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001318065048 1074153662 /nfs/dbraw/zinc/15/36/62/1074153662.db2.gz MFXHYBXPDXKJBF-TZMCWYRMSA-N 0 0 433.504 -0.357 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N[C@H]1CCCN(S(C)(=O)=O)C1 ZINC001318066153 1074153683 /nfs/dbraw/zinc/15/36/83/1074153683.db2.gz UIDVCBSTKWYECP-AWEZNQCLSA-N 0 0 427.527 -0.200 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC001318066156 1074153714 /nfs/dbraw/zinc/15/37/14/1074153714.db2.gz UIDVCBSTKWYECP-CQSZACIVSA-N 0 0 427.527 -0.200 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC001318066354 1074153707 /nfs/dbraw/zinc/15/37/07/1074153707.db2.gz WDPJYJYLKUYBJF-UHFFFAOYSA-N 0 0 437.501 -0.387 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001318068279 1074153753 /nfs/dbraw/zinc/15/37/53/1074153753.db2.gz BFMLQHPMDWOAHT-UHFFFAOYSA-N 0 0 430.259 -0.692 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001318069195 1074153788 /nfs/dbraw/zinc/15/37/88/1074153788.db2.gz RJURCUSOMXPRJN-UHFFFAOYSA-N 0 0 440.522 -0.179 20 0 IBADRN O=C(NC1CC1)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001318070436 1074153673 /nfs/dbraw/zinc/15/36/73/1074153673.db2.gz HCCDFOVNBLWYHO-HNNXBMFYSA-N 0 0 434.518 -0.062 20 0 IBADRN O=C(NC1CC1)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001318070437 1074153701 /nfs/dbraw/zinc/15/37/01/1074153701.db2.gz HCCDFOVNBLWYHO-OAHLLOKOSA-N 0 0 434.518 -0.062 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001318071772 1074153743 /nfs/dbraw/zinc/15/37/43/1074153743.db2.gz DZSKJPDJNAPSAW-UHFFFAOYSA-N 0 0 443.526 -0.962 20 0 IBADRN O=C(COC(=O)c1cccnc1S(=O)(=O)C(F)(F)F)N[C@@H]1CCS(=O)(=O)C1 ZINC001318078079 1074153804 /nfs/dbraw/zinc/15/38/04/1074153804.db2.gz LDLIJYMWYONWJP-MRVPVSSYSA-N 0 0 430.382 -0.165 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)c3cc4c(n3C)n(C)c(=O)n(C)c4=O)CC2)no1 ZINC001318083909 1074153734 /nfs/dbraw/zinc/15/37/34/1074153734.db2.gz CLGCAWARBODXDZ-UHFFFAOYSA-N 0 0 428.449 -0.093 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC001318083952 1074153795 /nfs/dbraw/zinc/15/37/95/1074153795.db2.gz DUHULNIGRFEAGW-UHFFFAOYSA-N 0 0 425.471 -0.882 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC001318091484 1074153766 /nfs/dbraw/zinc/15/37/66/1074153766.db2.gz KCPPTDDTOXHWIE-UHFFFAOYSA-N 0 0 437.515 -0.093 20 0 IBADRN CCOC(Cn1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nn1)OCC ZINC001318093193 1074154363 /nfs/dbraw/zinc/15/43/63/1074154363.db2.gz JMGHMOZEAOAENZ-UHFFFAOYSA-N 0 0 436.469 -0.889 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc(Cl)s1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318099243 1074154347 /nfs/dbraw/zinc/15/43/47/1074154347.db2.gz MHYGWIVQCJUXKG-MRVPVSSYSA-N 0 0 430.913 -0.474 20 0 IBADRN O=C(COC(=O)CNS(=O)(=O)c1ccc(Cl)s1)N[C@H]1CCS(=O)(=O)C1 ZINC001318099244 1074154468 /nfs/dbraw/zinc/15/44/68/1074154468.db2.gz MHYGWIVQCJUXKG-QMMMGPOBSA-N 0 0 430.913 -0.474 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccccc1)C1CCN(c2ccc3nnnn3n2)CC1 ZINC001318103188 1074154485 /nfs/dbraw/zinc/15/44/85/1074154485.db2.gz JOCOLZYXEZFUSR-UHFFFAOYSA-N 0 0 430.494 -0.170 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(Cc3cc(=O)n4ccsc4n3)CC2)nn1 ZINC001318110055 1074154423 /nfs/dbraw/zinc/15/44/23/1074154423.db2.gz FOUIJTSKJDPTIG-UHFFFAOYSA-N 0 0 430.494 -0.606 20 0 IBADRN O=C(c1ccc(CN2C(=O)CCC2=O)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001318111521 1074154434 /nfs/dbraw/zinc/15/44/34/1074154434.db2.gz KGLHBXWRMFCZFJ-DLBZAZTESA-N 0 0 435.502 -0.749 20 0 IBADRN O=C(c1ccc(CN2C(=O)CCC2=O)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001318111522 1074154463 /nfs/dbraw/zinc/15/44/63/1074154463.db2.gz KGLHBXWRMFCZFJ-IAGOWNOFSA-N 0 0 435.502 -0.749 20 0 IBADRN O=C(c1ccc(CN2C(=O)CCC2=O)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001318111523 1074154494 /nfs/dbraw/zinc/15/44/94/1074154494.db2.gz KGLHBXWRMFCZFJ-IRXDYDNUSA-N 0 0 435.502 -0.749 20 0 IBADRN O=C(c1ccc(CN2C(=O)CCC2=O)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001318111526 1074154476 /nfs/dbraw/zinc/15/44/76/1074154476.db2.gz KGLHBXWRMFCZFJ-SJORKVTESA-N 0 0 435.502 -0.749 20 0 IBADRN COCCn1cc(NC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)ccc1=O ZINC001318112487 1074154418 /nfs/dbraw/zinc/15/44/18/1074154418.db2.gz VDBNULWOBMPKIM-UHFFFAOYSA-N 0 0 429.499 -0.779 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2CCO[C@H](C(N)=O)C2)CC1 ZINC001318114317 1074154449 /nfs/dbraw/zinc/15/44/49/1074154449.db2.gz WUWIULDDETZWCX-HNNXBMFYSA-N 0 0 439.490 -0.413 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2CCO[C@@H](C(N)=O)C2)CC1 ZINC001318114322 1074154375 /nfs/dbraw/zinc/15/43/75/1074154375.db2.gz WUWIULDDETZWCX-OAHLLOKOSA-N 0 0 439.490 -0.413 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC001318114463 1074154453 /nfs/dbraw/zinc/15/44/53/1074154453.db2.gz BRHALUDDIVGMNC-UHFFFAOYSA-N 0 0 439.498 -0.492 20 0 IBADRN O=C(CC1(CC(=O)N2CCOCC2)CCCC1)NCCS(=O)(=O)N1CCOCC1 ZINC001318119185 1074154411 /nfs/dbraw/zinc/15/44/11/1074154411.db2.gz BSRXNVKZZXFOFO-UHFFFAOYSA-N 0 0 431.555 -0.036 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)n1 ZINC001318120696 1074154310 /nfs/dbraw/zinc/15/43/10/1074154310.db2.gz BTXLKHHJACYMOH-UHFFFAOYSA-N 0 0 436.494 -0.292 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCCN(C(=O)[C@H]3CCC(=O)N3)CC2)c1)[C@@H]1CCC(=O)N1 ZINC001318122179 1074154819 /nfs/dbraw/zinc/15/48/19/1074154819.db2.gz SDSYIUILJFJIGC-DLBZAZTESA-N 0 0 441.488 -0.143 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCCN(C(=O)[C@@H]3CCC(=O)N3)CC2)c1)[C@@H]1CCC(=O)N1 ZINC001318122181 1074154930 /nfs/dbraw/zinc/15/49/30/1074154930.db2.gz SDSYIUILJFJIGC-IRXDYDNUSA-N 0 0 441.488 -0.143 20 0 IBADRN O=C(Nc1cccc(C(=O)N2CCCN(C(=O)[C@@H]3CCC(=O)N3)CC2)c1)[C@H]1CCC(=O)N1 ZINC001318122182 1074154921 /nfs/dbraw/zinc/15/49/21/1074154921.db2.gz SDSYIUILJFJIGC-SJORKVTESA-N 0 0 441.488 -0.143 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CCCc3c1cccc3S(C)(=O)=O)c(=O)n2C ZINC001318122216 1074154889 /nfs/dbraw/zinc/15/48/89/1074154889.db2.gz NXJKCXGJZDBQHW-UHFFFAOYSA-N 0 0 431.474 -0.183 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC001318123025 1074154852 /nfs/dbraw/zinc/15/48/52/1074154852.db2.gz JLFBKCIISMGGKS-AWEZNQCLSA-N 0 0 436.552 -0.782 20 0 IBADRN CS(=O)(=O)C1(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC001318123028 1074154827 /nfs/dbraw/zinc/15/48/27/1074154827.db2.gz JLFBKCIISMGGKS-CQSZACIVSA-N 0 0 436.552 -0.782 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(F)(F)F ZINC001318126239 1074154935 /nfs/dbraw/zinc/15/49/35/1074154935.db2.gz ZGBWHVVXMVRGAQ-LALPHHSUSA-N 0 0 440.444 -0.826 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(F)(F)F ZINC001318126240 1074154910 /nfs/dbraw/zinc/15/49/10/1074154910.db2.gz ZGBWHVVXMVRGAQ-SLEUVZQESA-N 0 0 440.444 -0.826 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(F)(F)F ZINC001318126241 1074154944 /nfs/dbraw/zinc/15/49/44/1074154944.db2.gz ZGBWHVVXMVRGAQ-TYNCELHUSA-N 0 0 440.444 -0.826 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(F)(F)F ZINC001318126242 1074154861 /nfs/dbraw/zinc/15/48/61/1074154861.db2.gz ZGBWHVVXMVRGAQ-YWPYICTPSA-N 0 0 440.444 -0.826 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnc2c(c1)c(C)nn2C ZINC001318126458 1074154874 /nfs/dbraw/zinc/15/48/74/1074154874.db2.gz TTZQLHHFIFSRJK-GFCCVEGCSA-N 0 0 429.524 -0.409 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnc2c(c1)c(C)nn2C ZINC001318126460 1074154880 /nfs/dbraw/zinc/15/48/80/1074154880.db2.gz TTZQLHHFIFSRJK-LBPRGKRZSA-N 0 0 429.524 -0.409 20 0 IBADRN O=C(CCNC(=O)NCCS(=O)(=O)Cc1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC001318128265 1074154914 /nfs/dbraw/zinc/15/49/14/1074154914.db2.gz SIECYZXAZHQYSJ-HNNXBMFYSA-N 0 0 431.536 -0.406 20 0 IBADRN O=C(CCNC(=O)NCCS(=O)(=O)Cc1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318128266 1074154898 /nfs/dbraw/zinc/15/48/98/1074154898.db2.gz SIECYZXAZHQYSJ-OAHLLOKOSA-N 0 0 431.536 -0.406 20 0 IBADRN C[C@@]1(c2cccc(CNC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)c2)NC(=O)NC1=O ZINC001318129784 1074154968 /nfs/dbraw/zinc/15/49/68/1074154968.db2.gz DBIPZPYQEMYKPS-IBGZPJMESA-N 0 0 429.458 -0.145 20 0 IBADRN C[C@]1(c2cccc(CNC(=O)C3=CN4CCS(=O)(=O)N=C4C=C3)c2)NC(=O)NC1=O ZINC001318129787 1074154868 /nfs/dbraw/zinc/15/48/68/1074154868.db2.gz DBIPZPYQEMYKPS-LJQANCHMSA-N 0 0 429.458 -0.145 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(S(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC001318131431 1074154952 /nfs/dbraw/zinc/15/49/52/1074154952.db2.gz RVTRDPFPMFWXBB-UHFFFAOYSA-N 0 0 441.553 -0.495 20 0 IBADRN O=c1cc2c(nn1CN1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)CCCC2 ZINC001318132754 1074154857 /nfs/dbraw/zinc/15/48/57/1074154857.db2.gz RXQICIYJXAPSMX-HNNXBMFYSA-N 0 0 430.552 -0.786 20 0 IBADRN O=c1cc2c(nn1CN1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)CCCC2 ZINC001318132757 1074154844 /nfs/dbraw/zinc/15/48/44/1074154844.db2.gz RXQICIYJXAPSMX-OAHLLOKOSA-N 0 0 430.552 -0.786 20 0 IBADRN C[C@]1(CCc2ccc3c(c2)OCO3)NC(=O)N(CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC001318132941 1074155520 /nfs/dbraw/zinc/15/55/20/1074155520.db2.gz VRXVAVDADMBWHU-BFUOFWGJSA-N 0 0 437.474 -0.038 20 0 IBADRN C[C@@]1(CCc2ccc3c(c2)OCO3)NC(=O)N(CC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC001318132944 1074155449 /nfs/dbraw/zinc/15/54/49/1074155449.db2.gz VRXVAVDADMBWHU-DJJJIMSYSA-N 0 0 437.474 -0.038 20 0 IBADRN C[C@]1(CCc2ccc3c(c2)OCO3)NC(=O)N(CC(=O)N[C@H]2CCS(=O)(=O)C2)C1=O ZINC001318132946 1074155532 /nfs/dbraw/zinc/15/55/32/1074155532.db2.gz VRXVAVDADMBWHU-ORAYPTAESA-N 0 0 437.474 -0.038 20 0 IBADRN C[C@@]1(CCc2ccc3c(c2)OCO3)NC(=O)N(CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1=O ZINC001318132947 1074155504 /nfs/dbraw/zinc/15/55/04/1074155504.db2.gz VRXVAVDADMBWHU-YJYMSZOUSA-N 0 0 437.474 -0.038 20 0 IBADRN O=C(CN1CCN(C(=O)C2CCN(c3ccc4nncn4n3)CC2)CC1)N1CCOCC1 ZINC001318133737 1074155550 /nfs/dbraw/zinc/15/55/50/1074155550.db2.gz WPQWYYKUVIIHSK-UHFFFAOYSA-N 0 0 442.524 -0.656 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC001318138016 1074155463 /nfs/dbraw/zinc/15/54/63/1074155463.db2.gz BDUHGBCHIROXSW-AWEZNQCLSA-N 0 0 425.511 -0.324 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC001318138017 1074155492 /nfs/dbraw/zinc/15/54/92/1074155492.db2.gz BDUHGBCHIROXSW-CQSZACIVSA-N 0 0 425.511 -0.324 20 0 IBADRN NC(=O)c1ccc(C(=O)OCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)cn1 ZINC001318138725 1074155540 /nfs/dbraw/zinc/15/55/40/1074155540.db2.gz PQEDKEGBUKDONV-UHFFFAOYSA-N 0 0 432.458 -0.130 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(N3C(=O)CCC3=O)cc2)CC1 ZINC001318139393 1074155454 /nfs/dbraw/zinc/15/54/54/1074155454.db2.gz BSCUQUNAQUWAMD-UHFFFAOYSA-N 0 0 426.429 -0.227 20 0 IBADRN NC(=O)COc1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001318143802 1074155481 /nfs/dbraw/zinc/15/54/81/1074155481.db2.gz MVGDBVZGJMSLQH-HNNXBMFYSA-N 0 0 439.490 -0.961 20 0 IBADRN NC(=O)COc1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001318143803 1074155516 /nfs/dbraw/zinc/15/55/16/1074155516.db2.gz MVGDBVZGJMSLQH-OAHLLOKOSA-N 0 0 439.490 -0.961 20 0 IBADRN Cc1csc(=O)n1CCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318143890 1074155512 /nfs/dbraw/zinc/15/55/12/1074155512.db2.gz PIFRXCICYWKMPT-AWEZNQCLSA-N 0 0 431.536 -0.517 20 0 IBADRN Cc1csc(=O)n1CCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318143925 1074155497 /nfs/dbraw/zinc/15/54/97/1074155497.db2.gz PIFRXCICYWKMPT-CQSZACIVSA-N 0 0 431.536 -0.517 20 0 IBADRN NC(=O)COc1ccccc1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318144348 1074155567 /nfs/dbraw/zinc/15/55/67/1074155567.db2.gz UYULZDTZCWGTNR-AWEZNQCLSA-N 0 0 439.490 -0.961 20 0 IBADRN NC(=O)COc1ccccc1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318144351 1074155559 /nfs/dbraw/zinc/15/55/59/1074155559.db2.gz UYULZDTZCWGTNR-CQSZACIVSA-N 0 0 439.490 -0.961 20 0 IBADRN NC(=O)c1ccccc1OCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318144442 1074155528 /nfs/dbraw/zinc/15/55/28/1074155528.db2.gz WIIWJERFSNBSAO-AWEZNQCLSA-N 0 0 439.490 -0.961 20 0 IBADRN NC(=O)c1ccccc1OCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318144444 1074155440 /nfs/dbraw/zinc/15/54/40/1074155440.db2.gz WIIWJERFSNBSAO-CQSZACIVSA-N 0 0 439.490 -0.961 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCc3cc(=O)n(C)c(=O)n3C)CC2)cn1C ZINC001318147565 1074155575 /nfs/dbraw/zinc/15/55/75/1074155575.db2.gz KUYSOHYCYDUEAK-UHFFFAOYSA-N 0 0 439.494 -0.730 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001318150605 1074155474 /nfs/dbraw/zinc/15/54/74/1074155474.db2.gz KLHIDZSBRCZUHT-INIZCTEOSA-N 0 0 444.554 -0.305 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001318150611 1074155583 /nfs/dbraw/zinc/15/55/83/1074155583.db2.gz KLHIDZSBRCZUHT-MRXNPFEDSA-N 0 0 444.554 -0.305 20 0 IBADRN CC(C)N(C(=O)CCNS(C)(=O)=O)C1CCN(C(=O)CCNS(C)(=O)=O)CC1 ZINC001318158108 1074155944 /nfs/dbraw/zinc/15/59/44/1074155944.db2.gz KNHGJHSVGYJLEH-UHFFFAOYSA-N 0 0 440.588 -0.907 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)c3ccc4c(=O)n(C)c(=O)n(C)c4n3)CC2)no1 ZINC001318166006 1074155960 /nfs/dbraw/zinc/15/59/60/1074155960.db2.gz NERCVCKNJLBABW-UHFFFAOYSA-N 0 0 426.433 -0.037 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCCOCC2)c(=O)[nH]c1=O ZINC001318172239 1074155919 /nfs/dbraw/zinc/15/59/19/1074155919.db2.gz PPCJWQXLVCDITA-UHFFFAOYSA-N 0 0 425.486 -0.050 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001318173174 1074156231 /nfs/dbraw/zinc/15/62/31/1074156231.db2.gz LGPWNZBLGCIXBE-CYBMUJFWSA-N 0 0 432.520 -0.331 20 0 IBADRN CS(=O)(=O)c1ccc(OCCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001318173175 1074155970 /nfs/dbraw/zinc/15/59/70/1074155970.db2.gz LGPWNZBLGCIXBE-ZDUSSCGKSA-N 0 0 432.520 -0.331 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC1CCN(C(=O)CC(F)(F)F)CC1)c(=O)n2C ZINC001318186982 1074156133 /nfs/dbraw/zinc/15/61/33/1074156133.db2.gz WGLGIJJRDPLKPQ-UHFFFAOYSA-N 0 0 430.387 -0.507 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001318187862 1074156200 /nfs/dbraw/zinc/15/62/00/1074156200.db2.gz OTJNTJLUTAIIRK-UHFFFAOYSA-N 0 0 428.497 -0.584 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001318193398 1074156114 /nfs/dbraw/zinc/15/61/14/1074156114.db2.gz DPJYFGLBXLQDDP-UHFFFAOYSA-N 0 0 429.499 -0.933 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)C1CCC2(CC1)NC(=O)NC2=O ZINC001318211583 1074156189 /nfs/dbraw/zinc/15/61/89/1074156189.db2.gz NMCWTZKUNVUXEZ-UHFFFAOYSA-N 0 0 427.461 -0.357 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCS(=O)(=O)CC3)cc2)CC1 ZINC001318212058 1074156012 /nfs/dbraw/zinc/15/60/12/1074156012.db2.gz USBMCXZIWVSNMX-UHFFFAOYSA-N 0 0 429.520 -0.590 20 0 IBADRN CNC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001318212867 1074156147 /nfs/dbraw/zinc/15/61/47/1074156147.db2.gz PKDRVMSAWIWXMD-UHFFFAOYSA-N 0 0 426.543 -0.981 20 0 IBADRN Cn1c(=O)cc(COC(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)n(C)c1=O ZINC001318214767 1074156733 /nfs/dbraw/zinc/15/67/33/1074156733.db2.gz ARXHIGGQROLAIS-UHFFFAOYSA-N 0 0 443.503 -0.352 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C)CCS(C)(=O)=O)cc2)CC1 ZINC001318218816 1074156708 /nfs/dbraw/zinc/15/67/08/1074156708.db2.gz PYQXZQLDGOODCO-UHFFFAOYSA-N 0 0 431.536 -0.344 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001318219354 1074156653 /nfs/dbraw/zinc/15/66/53/1074156653.db2.gz ZIURSTRVLMHSRG-AWEZNQCLSA-N 0 0 440.565 -0.729 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001318219355 1074156714 /nfs/dbraw/zinc/15/67/14/1074156714.db2.gz ZIURSTRVLMHSRG-CQSZACIVSA-N 0 0 440.565 -0.729 20 0 IBADRN Cc1cc(CN2CCCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC001318221330 1074156744 /nfs/dbraw/zinc/15/67/44/1074156744.db2.gz WPODPBBFYRWBHG-UHFFFAOYSA-N 0 0 428.559 -0.222 20 0 IBADRN O=C1NC(=O)C2(CCN(S(=O)(=O)c3ccc(N4CCCS4(=O)=O)cc3)CC2)N1 ZINC001318229399 1074156740 /nfs/dbraw/zinc/15/67/40/1074156740.db2.gz ISBZLHUWGLIDIZ-UHFFFAOYSA-N 0 0 428.492 -0.411 20 0 IBADRN O=C(COC(=O)CSCc1cc(=O)n2ccsc2n1)N[C@H]1CCS(=O)(=O)C1 ZINC001318230546 1074156754 /nfs/dbraw/zinc/15/67/54/1074156754.db2.gz AWDVXDXVZYZVDV-JTQLQIEISA-N 0 0 431.517 -0.164 20 0 IBADRN O=C(COC(=O)CSCc1cc(=O)n2ccsc2n1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318230547 1074156622 /nfs/dbraw/zinc/15/66/22/1074156622.db2.gz AWDVXDXVZYZVDV-SNVBAGLBSA-N 0 0 431.517 -0.164 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC001318231172 1074156729 /nfs/dbraw/zinc/15/67/29/1074156729.db2.gz PYVNDEACFAPHBM-AWEZNQCLSA-N 0 0 432.520 -0.230 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CC(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC001318231174 1074156634 /nfs/dbraw/zinc/15/66/34/1074156634.db2.gz PYVNDEACFAPHBM-CQSZACIVSA-N 0 0 432.520 -0.230 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1c[nH]c(C(=O)N2CCCC2)c1 ZINC001318232297 1074156691 /nfs/dbraw/zinc/15/66/91/1074156691.db2.gz PUJZRXUDXRURFI-CYBMUJFWSA-N 0 0 446.551 -0.435 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1c[nH]c(C(=O)N2CCCC2)c1 ZINC001318232300 1074156681 /nfs/dbraw/zinc/15/66/81/1074156681.db2.gz PUJZRXUDXRURFI-ZDUSSCGKSA-N 0 0 446.551 -0.435 20 0 IBADRN O=C(COC(=O)C1CCN(c2ccc3nnnn3n2)CC1)NCCCN1CCCC1=O ZINC001318233655 1074156695 /nfs/dbraw/zinc/15/66/95/1074156695.db2.gz VPLPTLBLRLUJPT-UHFFFAOYSA-N 0 0 430.469 -0.592 20 0 IBADRN COCCS(=O)(=O)CC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001318236184 1074156700 /nfs/dbraw/zinc/15/67/00/1074156700.db2.gz VYMKLYGTRQCMCQ-UHFFFAOYSA-N 0 0 426.539 -0.104 20 0 IBADRN Cc1ccn2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001318238068 1074156649 /nfs/dbraw/zinc/15/66/49/1074156649.db2.gz PCAPNTNIIABGQW-CYBMUJFWSA-N 0 0 427.508 -0.688 20 0 IBADRN Cc1ccn2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001318238070 1074156753 /nfs/dbraw/zinc/15/67/53/1074156753.db2.gz PCAPNTNIIABGQW-ZDUSSCGKSA-N 0 0 427.508 -0.688 20 0 IBADRN Cc1nnc(S(=O)(=O)CC(=O)N[C@@H]2CCS(=O)(=O)C2)n1CCc1cccs1 ZINC001318238601 1074157273 /nfs/dbraw/zinc/15/72/73/1074157273.db2.gz CJSRZKANXCNINL-GFCCVEGCSA-N 0 0 432.549 -0.032 20 0 IBADRN Cc1nnc(S(=O)(=O)CC(=O)N[C@H]2CCS(=O)(=O)C2)n1CCc1cccs1 ZINC001318238603 1074157223 /nfs/dbraw/zinc/15/72/23/1074157223.db2.gz CJSRZKANXCNINL-LBPRGKRZSA-N 0 0 432.549 -0.032 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC1(C(N)=O)CCOCC1)c2=O ZINC001318240810 1074157153 /nfs/dbraw/zinc/15/71/53/1074157153.db2.gz WVJBJJSMUVBTTH-UHFFFAOYSA-N 0 0 427.259 -0.709 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318241866 1074157210 /nfs/dbraw/zinc/15/72/10/1074157210.db2.gz VMQMRZSITTTYSH-OAGGEKHMSA-N 0 0 440.474 -0.395 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC001318241868 1074157167 /nfs/dbraw/zinc/15/71/67/1074157167.db2.gz VMQMRZSITTTYSH-XHSDSOJGSA-N 0 0 440.474 -0.395 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)Nc2ccc(N(C)C(=O)CN3CCN(C)CC3)cc2)nn1 ZINC001318245057 1074157280 /nfs/dbraw/zinc/15/72/80/1074157280.db2.gz PJZVRBLEJOFGMP-UHFFFAOYSA-N 0 0 442.524 -0.171 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC001318245793 1074157065 /nfs/dbraw/zinc/15/70/65/1074157065.db2.gz KIBFONRVHYGIAD-CVEARBPZSA-N 0 0 436.490 -0.928 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC001318245795 1074157196 /nfs/dbraw/zinc/15/71/96/1074157196.db2.gz KIBFONRVHYGIAD-HOTGVXAUSA-N 0 0 436.490 -0.928 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC001318245798 1074157144 /nfs/dbraw/zinc/15/71/44/1074157144.db2.gz KIBFONRVHYGIAD-HZPDHXFCSA-N 0 0 436.490 -0.928 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(N2NC(=O)CCC2=O)cc1 ZINC001318245800 1074157233 /nfs/dbraw/zinc/15/72/33/1074157233.db2.gz KIBFONRVHYGIAD-JKSUJKDBSA-N 0 0 436.490 -0.928 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001318246476 1074157265 /nfs/dbraw/zinc/15/72/65/1074157265.db2.gz WJIFFNSISPDONQ-CABCVRRESA-N 0 0 448.519 -0.831 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001318246477 1074157217 /nfs/dbraw/zinc/15/72/17/1074157217.db2.gz WJIFFNSISPDONQ-GJZGRUSLSA-N 0 0 448.519 -0.831 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001318246479 1074157130 /nfs/dbraw/zinc/15/71/30/1074157130.db2.gz WJIFFNSISPDONQ-HUUCEWRRSA-N 0 0 448.519 -0.831 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001318246482 1074157254 /nfs/dbraw/zinc/15/72/54/1074157254.db2.gz WJIFFNSISPDONQ-LSDHHAIUSA-N 0 0 448.519 -0.831 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)N1CCS(=O)(=O)CC1 ZINC001318249313 1074157261 /nfs/dbraw/zinc/15/72/61/1074157261.db2.gz RMWQKMYFJXTEGM-CYBMUJFWSA-N 0 0 428.488 -0.119 20 0 IBADRN O=C(c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)N1CCS(=O)(=O)CC1 ZINC001318249322 1074157183 /nfs/dbraw/zinc/15/71/83/1074157183.db2.gz RMWQKMYFJXTEGM-ZDUSSCGKSA-N 0 0 428.488 -0.119 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C1CCN(C(=O)c2cccnc2)CC1 ZINC001318257784 1074157239 /nfs/dbraw/zinc/15/72/39/1074157239.db2.gz HMLBJFIBGZUNFU-MSOLQXFVSA-N 0 0 436.534 -0.452 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C1CCN(C(=O)c2cccnc2)CC1 ZINC001318257785 1074157202 /nfs/dbraw/zinc/15/72/02/1074157202.db2.gz HMLBJFIBGZUNFU-QZTJIDSGSA-N 0 0 436.534 -0.452 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C1CCN(C(=O)c2cccnc2)CC1 ZINC001318257786 1074157114 /nfs/dbraw/zinc/15/71/14/1074157114.db2.gz HMLBJFIBGZUNFU-ROUUACIJSA-N 0 0 436.534 -0.452 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C1CCN(C(=O)c2cccnc2)CC1 ZINC001318257787 1074157698 /nfs/dbraw/zinc/15/76/98/1074157698.db2.gz HMLBJFIBGZUNFU-ZWKOTPCHSA-N 0 0 436.534 -0.452 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001318258794 1074157650 /nfs/dbraw/zinc/15/76/50/1074157650.db2.gz XJVOIQVKMLKYKG-DLBZAZTESA-N 0 0 447.517 -0.703 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001318258795 1074157668 /nfs/dbraw/zinc/15/76/68/1074157668.db2.gz XJVOIQVKMLKYKG-IAGOWNOFSA-N 0 0 447.517 -0.703 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001318258796 1074157622 /nfs/dbraw/zinc/15/76/22/1074157622.db2.gz XJVOIQVKMLKYKG-IRXDYDNUSA-N 0 0 447.517 -0.703 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001318258797 1074157684 /nfs/dbraw/zinc/15/76/84/1074157684.db2.gz XJVOIQVKMLKYKG-SJORKVTESA-N 0 0 447.517 -0.703 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001318258858 1074157639 /nfs/dbraw/zinc/15/76/39/1074157639.db2.gz JBMISBQEJASWIL-HNNXBMFYSA-N 0 0 435.506 -0.636 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001318258860 1074157714 /nfs/dbraw/zinc/15/77/14/1074157714.db2.gz JBMISBQEJASWIL-OAHLLOKOSA-N 0 0 435.506 -0.636 20 0 IBADRN Cc1nc(-c2cccnc2)[nH]c(=O)c1CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001318258866 1074157614 /nfs/dbraw/zinc/15/76/14/1074157614.db2.gz YOHVJAAMSOWFIV-DLBZAZTESA-N 0 0 447.517 -0.291 20 0 IBADRN Cc1nc(-c2cccnc2)[nH]c(=O)c1CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001318258867 1074157660 /nfs/dbraw/zinc/15/76/60/1074157660.db2.gz YOHVJAAMSOWFIV-IAGOWNOFSA-N 0 0 447.517 -0.291 20 0 IBADRN Cc1nc(-c2cccnc2)[nH]c(=O)c1CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001318258868 1074157630 /nfs/dbraw/zinc/15/76/30/1074157630.db2.gz YOHVJAAMSOWFIV-IRXDYDNUSA-N 0 0 447.517 -0.291 20 0 IBADRN Cc1nc(-c2cccnc2)[nH]c(=O)c1CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001318258869 1074157634 /nfs/dbraw/zinc/15/76/34/1074157634.db2.gz YOHVJAAMSOWFIV-SJORKVTESA-N 0 0 447.517 -0.291 20 0 IBADRN COc1ccc(CN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC001318265745 1074157656 /nfs/dbraw/zinc/15/76/56/1074157656.db2.gz FQOHPFLFVJBSCB-CVEARBPZSA-N 0 0 430.548 -0.012 20 0 IBADRN COc1ccc(CN(CC(=O)N[C@H]2CCS(=O)(=O)C2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC001318265746 1074157591 /nfs/dbraw/zinc/15/75/91/1074157591.db2.gz FQOHPFLFVJBSCB-HOTGVXAUSA-N 0 0 430.548 -0.012 20 0 IBADRN COc1ccc(NC(=O)CN2C(=O)NC3(CCOCC3)C2=O)cc1S(=O)(=O)N(C)C ZINC001318269923 1074157601 /nfs/dbraw/zinc/15/76/01/1074157601.db2.gz ZVKGQHGHACHNQD-UHFFFAOYSA-N 0 0 440.478 -0.015 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001318270256 1074157705 /nfs/dbraw/zinc/15/77/05/1074157705.db2.gz HCRCCYIILYKBPH-UHFFFAOYSA-N 0 0 431.536 -0.152 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC001318270921 1074157610 /nfs/dbraw/zinc/15/76/10/1074157610.db2.gz RKAQYQMWDNMVLM-UHFFFAOYSA-N 0 0 436.581 -0.107 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC001318275584 1074157700 /nfs/dbraw/zinc/15/77/00/1074157700.db2.gz AUAFQQJFDGVQPS-CVEARBPZSA-N 0 0 431.536 -0.324 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC001318275587 1074157643 /nfs/dbraw/zinc/15/76/43/1074157643.db2.gz AUAFQQJFDGVQPS-HOTGVXAUSA-N 0 0 431.536 -0.324 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC001318275589 1074158221 /nfs/dbraw/zinc/15/82/21/1074158221.db2.gz AUAFQQJFDGVQPS-HZPDHXFCSA-N 0 0 431.536 -0.324 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC001318275591 1074158153 /nfs/dbraw/zinc/15/81/53/1074158153.db2.gz AUAFQQJFDGVQPS-JKSUJKDBSA-N 0 0 431.536 -0.324 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CC1 ZINC001318275740 1074158331 /nfs/dbraw/zinc/15/83/31/1074158331.db2.gz AEGDRVTWXXGUDD-UHFFFAOYSA-N 0 0 444.517 -0.103 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)CSCC(=O)N2CCOCC2)CC1 ZINC001318277540 1074158320 /nfs/dbraw/zinc/15/83/20/1074158320.db2.gz QRCLTNKWRDSEJR-UHFFFAOYSA-N 0 0 435.550 -0.009 20 0 IBADRN CN1CC(=O)N(CC(=O)NCc2cccc(NC(=O)CN3C(=O)CN(C)C3=O)c2)C1=O ZINC001318278377 1074158260 /nfs/dbraw/zinc/15/82/60/1074158260.db2.gz JNSFJMBUFWEZBU-UHFFFAOYSA-N 0 0 430.421 -0.971 20 0 IBADRN O=C(C1CCN(c2ccc3nncn3n2)CC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001318279470 1074158125 /nfs/dbraw/zinc/15/81/25/1074158125.db2.gz YYYBAESOSWOYQM-UHFFFAOYSA-N 0 0 428.497 -0.063 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC001318280390 1074158234 /nfs/dbraw/zinc/15/82/34/1074158234.db2.gz HWDQBXNQCDYEOD-UHFFFAOYSA-N 0 0 431.541 -0.207 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001318284470 1074158158 /nfs/dbraw/zinc/15/81/58/1074158158.db2.gz KWEROPNSVXVAPB-ILXRZTDVSA-N 0 0 436.552 -0.926 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001318284472 1074158338 /nfs/dbraw/zinc/15/83/38/1074158338.db2.gz KWEROPNSVXVAPB-KFWWJZLASA-N 0 0 436.552 -0.926 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001318284474 1074158229 /nfs/dbraw/zinc/15/82/29/1074158229.db2.gz KWEROPNSVXVAPB-QLFBSQMISA-N 0 0 436.552 -0.926 20 0 IBADRN COCCCN(C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001318284475 1074158176 /nfs/dbraw/zinc/15/81/76/1074158176.db2.gz KWEROPNSVXVAPB-RBSFLKMASA-N 0 0 436.552 -0.926 20 0 IBADRN O=C(CCNS(=O)(=O)C1CCS(=O)(=O)CC1)N1CCN(c2ccccn2)CC1 ZINC001318285258 1074158286 /nfs/dbraw/zinc/15/82/86/1074158286.db2.gz AHKGTLYPCSLBEO-UHFFFAOYSA-N 0 0 430.552 -0.383 20 0 IBADRN Cn1cc(/C=C/C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)c(=O)n(C)c1=O ZINC001318285714 1074158312 /nfs/dbraw/zinc/15/83/12/1074158312.db2.gz IDURMPGSSUTGCA-AATRIKPKSA-N 0 0 426.477 -0.490 20 0 IBADRN Cn1cc(/C=C\C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)c(=O)n(C)c1=O ZINC001318285834 1074158141 /nfs/dbraw/zinc/15/81/41/1074158141.db2.gz IDURMPGSSUTGCA-WAYWQWQTSA-N 0 0 426.477 -0.490 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001318285989 1074158212 /nfs/dbraw/zinc/15/82/12/1074158212.db2.gz FSNONQMCBREVFG-GOSISDBHSA-N 0 0 439.494 -0.150 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NCc2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001318286001 1074158252 /nfs/dbraw/zinc/15/82/52/1074158252.db2.gz FSNONQMCBREVFG-SFHVURJKSA-N 0 0 439.494 -0.150 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)C3CCS(=O)(=O)CC3)CC2)cc1 ZINC001318287632 1074158620 /nfs/dbraw/zinc/15/86/20/1074158620.db2.gz ZGLNZWXLRAIPPR-UHFFFAOYSA-N 0 0 437.565 -0.637 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(S(N)(=O)=O)CC1 ZINC001318288576 1074158712 /nfs/dbraw/zinc/15/87/12/1074158712.db2.gz IAQRLMMHTVNEHN-UHFFFAOYSA-N 0 0 447.535 -0.391 20 0 IBADRN CN(C)C(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001318289728 1074158607 /nfs/dbraw/zinc/15/86/07/1074158607.db2.gz ICOGNZZLZICOPB-INIZCTEOSA-N 0 0 443.547 -0.337 20 0 IBADRN CN(C)C(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001318289739 1074158637 /nfs/dbraw/zinc/15/86/37/1074158637.db2.gz ICOGNZZLZICOPB-MRXNPFEDSA-N 0 0 443.547 -0.337 20 0 IBADRN O=C(CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)N1CCN(Cc2cccs2)CC1 ZINC001318293407 1074158723 /nfs/dbraw/zinc/15/87/23/1074158723.db2.gz LJNCZKPURWCFID-UHFFFAOYSA-N 0 0 440.547 -0.109 20 0 IBADRN COCCOc1ccc(CNC(=O)CN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)cc1 ZINC001318293502 1074158646 /nfs/dbraw/zinc/15/86/46/1074158646.db2.gz OHBXLUWBXDZPSL-UHFFFAOYSA-N 0 0 439.490 -0.173 20 0 IBADRN O=S(=O)(NCCCCN1CCN(c2ncccn2)CC1)C1CCS(=O)(=O)CC1 ZINC001318293840 1074158687 /nfs/dbraw/zinc/15/86/87/1074158687.db2.gz OXAKCANTDGJSPA-UHFFFAOYSA-N 0 0 431.584 -0.125 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CS[C@@H]2CCS(=O)(=O)C2)c1 ZINC001318294175 1074158578 /nfs/dbraw/zinc/15/85/78/1074158578.db2.gz TYLIHWFUMZRKIZ-GFCCVEGCSA-N 0 0 435.549 -0.430 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)CS[C@H]2CCS(=O)(=O)C2)c1 ZINC001318294176 1074158631 /nfs/dbraw/zinc/15/86/31/1074158631.db2.gz TYLIHWFUMZRKIZ-LBPRGKRZSA-N 0 0 435.549 -0.430 20 0 IBADRN CN1CC[C@H](C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC001318296794 1074158759 /nfs/dbraw/zinc/15/87/59/1074158759.db2.gz JCOLVQQZKSMGIX-GXTWGEPZSA-N 0 0 429.520 -0.041 20 0 IBADRN CN1CC[C@H](C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC001318296798 1074158700 /nfs/dbraw/zinc/15/87/00/1074158700.db2.gz JCOLVQQZKSMGIX-JSGCOSHPSA-N 0 0 429.520 -0.041 20 0 IBADRN CN1CC[C@@H](C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC001318296800 1074158734 /nfs/dbraw/zinc/15/87/34/1074158734.db2.gz JCOLVQQZKSMGIX-OCCSQVGLSA-N 0 0 429.520 -0.041 20 0 IBADRN CN1CC[C@@H](C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CC1=O ZINC001318296872 1074158800 /nfs/dbraw/zinc/15/88/00/1074158800.db2.gz JCOLVQQZKSMGIX-TZMCWYRMSA-N 0 0 429.520 -0.041 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC(C(N)=O)CC3)CC2)cc1 ZINC001318298031 1074158765 /nfs/dbraw/zinc/15/87/65/1074158765.db2.gz AQTDBISLHQUGOY-UHFFFAOYSA-N 0 0 436.534 -0.081 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001318298265 1074159203 /nfs/dbraw/zinc/15/92/03/1074159203.db2.gz CMEMPBYDYYUWIJ-AWEZNQCLSA-N 0 0 431.536 -0.162 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001318298266 1074159217 /nfs/dbraw/zinc/15/92/17/1074159217.db2.gz CMEMPBYDYYUWIJ-CQSZACIVSA-N 0 0 431.536 -0.162 20 0 IBADRN CN(C(=O)C1CCN(S(C)(=O)=O)CC1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001318300468 1074159245 /nfs/dbraw/zinc/15/92/45/1074159245.db2.gz MQYDNNLANGGLMA-UHFFFAOYSA-N 0 0 443.614 -0.645 20 0 IBADRN COc1ccc(C(=O)N2CCCN(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001318301153 1074159210 /nfs/dbraw/zinc/15/92/10/1074159210.db2.gz BOLBARKYVCMPPU-UHFFFAOYSA-N 0 0 426.495 -0.057 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1cc(=O)n2cccc(C)c2n1)[C@H]1CCS(=O)(=O)C1 ZINC001318303693 1074159224 /nfs/dbraw/zinc/15/92/24/1074159224.db2.gz GJXZBFNGKWTFHE-HNNXBMFYSA-N 0 0 441.531 -0.047 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1cc(=O)n2cccc(C)c2n1)[C@@H]1CCS(=O)(=O)C1 ZINC001318303694 1074159227 /nfs/dbraw/zinc/15/92/27/1074159227.db2.gz GJXZBFNGKWTFHE-OAHLLOKOSA-N 0 0 441.531 -0.047 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001318304536 1074159213 /nfs/dbraw/zinc/15/92/13/1074159213.db2.gz JWRCIRCTPVWJMU-UHFFFAOYSA-N 0 0 438.238 -0.203 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC001318304550 1074159198 /nfs/dbraw/zinc/15/91/98/1074159198.db2.gz KHLFFGAFHCKTAG-KRWDZBQOSA-N 0 0 430.509 -0.473 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC001318304551 1074159239 /nfs/dbraw/zinc/15/92/39/1074159239.db2.gz KHLFFGAFHCKTAG-QGZVFWFLSA-N 0 0 430.509 -0.473 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)Cn1c(CS(C)(=O)=O)nc2ccccc21 ZINC001318305149 1074159208 /nfs/dbraw/zinc/15/92/08/1074159208.db2.gz MVMVMKSPRAOYKO-HNNXBMFYSA-N 0 0 435.506 -0.566 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)Cn1c(CS(C)(=O)=O)nc2ccccc21 ZINC001318305153 1074159174 /nfs/dbraw/zinc/15/91/74/1074159174.db2.gz MVMVMKSPRAOYKO-OAHLLOKOSA-N 0 0 435.506 -0.566 20 0 IBADRN COC(=O)c1cc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n(-c2ccccc2)n1 ZINC001318306196 1074159193 /nfs/dbraw/zinc/15/91/93/1074159193.db2.gz DXXQORMJXUPHGG-UHFFFAOYSA-N 0 0 435.506 -0.034 20 0 IBADRN C[S@@](=O)c1nncn1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001318306379 1074159201 /nfs/dbraw/zinc/15/92/01/1074159201.db2.gz WVIXFZJWBSRYMF-HHHXNRCGSA-N 0 0 427.508 -0.647 20 0 IBADRN C[S@](=O)c1nncn1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001318306380 1074159216 /nfs/dbraw/zinc/15/92/16/1074159216.db2.gz WVIXFZJWBSRYMF-MHZLTWQESA-N 0 0 427.508 -0.647 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)CC2)cn1C ZINC001318307733 1074159167 /nfs/dbraw/zinc/15/91/67/1074159167.db2.gz BQGUJWFNONUQRD-GFCCVEGCSA-N 0 0 443.526 -0.735 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)CC2)cn1C ZINC001318307740 1074159205 /nfs/dbraw/zinc/15/92/05/1074159205.db2.gz BQGUJWFNONUQRD-LBPRGKRZSA-N 0 0 443.526 -0.735 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCS(=O)(=O)CC2)c1OC ZINC001318310382 1074159230 /nfs/dbraw/zinc/15/92/30/1074159230.db2.gz LDMYMIZEYWZDDV-UHFFFAOYSA-N 0 0 448.519 -0.405 20 0 IBADRN CSc1nc(C)c(CCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(=O)[nH]1 ZINC001318310519 1074159759 /nfs/dbraw/zinc/15/97/59/1074159759.db2.gz QIHVMHVEYNCFMP-KBPBESRZSA-N 0 0 430.552 -0.241 20 0 IBADRN CSc1nc(C)c(CCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(=O)[nH]1 ZINC001318310526 1074159815 /nfs/dbraw/zinc/15/98/15/1074159815.db2.gz QIHVMHVEYNCFMP-KGLIPLIRSA-N 0 0 430.552 -0.241 20 0 IBADRN CSc1nc(C)c(CCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(=O)[nH]1 ZINC001318310528 1074159734 /nfs/dbraw/zinc/15/97/34/1074159734.db2.gz QIHVMHVEYNCFMP-UONOGXRCSA-N 0 0 430.552 -0.241 20 0 IBADRN CSc1nc(C)c(CCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(=O)[nH]1 ZINC001318310530 1074159768 /nfs/dbraw/zinc/15/97/68/1074159768.db2.gz QIHVMHVEYNCFMP-ZIAGYGMSSA-N 0 0 430.552 -0.241 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2c[nH]c(C(=O)N3CCCC3)c2)CC1 ZINC001318312230 1074159841 /nfs/dbraw/zinc/15/98/41/1074159841.db2.gz MAWZPHUVPFIVTO-UHFFFAOYSA-N 0 0 425.511 -0.390 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCC(C(=O)N2CCOCC2)CC1 ZINC001318313080 1074159800 /nfs/dbraw/zinc/15/98/00/1074159800.db2.gz JLHUXSAXKIEHDU-UHFFFAOYSA-N 0 0 431.536 -0.187 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CNS(=O)(=O)c3cccs3)CC2)c(C(N)=O)c1C ZINC001318314138 1074159784 /nfs/dbraw/zinc/15/97/84/1074159784.db2.gz NHACRAZZCBXPFS-UHFFFAOYSA-N 0 0 438.535 -0.119 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001318314391 1074159810 /nfs/dbraw/zinc/15/98/10/1074159810.db2.gz GEVAEIIINPAAAT-UHFFFAOYSA-N 0 0 427.469 -0.831 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2C(=O)NC3(CCOCC3)C2=O)CC1 ZINC001318315895 1074159851 /nfs/dbraw/zinc/15/98/51/1074159851.db2.gz DEAQJJZFIUVPAW-UHFFFAOYSA-N 0 0 428.453 -0.887 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)NCCNS(C)(=O)=O ZINC001318317495 1074159834 /nfs/dbraw/zinc/15/98/34/1074159834.db2.gz JSBOCUYRKRLBRD-CYBMUJFWSA-N 0 0 439.943 -0.462 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)NCCNS(C)(=O)=O ZINC001318317499 1074159848 /nfs/dbraw/zinc/15/98/48/1074159848.db2.gz JSBOCUYRKRLBRD-ZDUSSCGKSA-N 0 0 439.943 -0.462 20 0 IBADRN NC(=O)N1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001318317892 1074159797 /nfs/dbraw/zinc/15/97/97/1074159797.db2.gz GLLWWHKTPKYIBZ-UHFFFAOYSA-N 0 0 432.524 -0.517 20 0 IBADRN Cc1nnc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CC(=O)N4)CC2)n1C ZINC001318318598 1074159778 /nfs/dbraw/zinc/15/97/78/1074159778.db2.gz SQFXWIOGHTZTBR-UHFFFAOYSA-N 0 0 433.494 -0.166 20 0 IBADRN NC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)n1 ZINC001318319081 1074159872 /nfs/dbraw/zinc/15/98/72/1074159872.db2.gz RRVPQYSMYSYKLY-UHFFFAOYSA-N 0 0 445.501 -0.636 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cnn(-c3ccc(=O)[nH]n3)c2C)CC1 ZINC001318320183 1074159867 /nfs/dbraw/zinc/15/98/67/1074159867.db2.gz GGWFSCBRBDADKT-HNNXBMFYSA-N 0 0 431.497 -0.025 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cnn(-c3ccc(=O)[nH]n3)c2C)CC1 ZINC001318320188 1074159823 /nfs/dbraw/zinc/15/98/23/1074159823.db2.gz GGWFSCBRBDADKT-OAHLLOKOSA-N 0 0 431.497 -0.025 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@H](C)C(=O)NCC(F)(F)F)CC1 ZINC001318321339 1074159750 /nfs/dbraw/zinc/15/97/50/1074159750.db2.gz XRXCYMCAMJLCQJ-CHWSQXEVSA-N 0 0 425.452 -0.078 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@H](C)C(=O)NCC(F)(F)F)CC1 ZINC001318321340 1074159878 /nfs/dbraw/zinc/15/98/78/1074159878.db2.gz XRXCYMCAMJLCQJ-OLZOCXBDSA-N 0 0 425.452 -0.078 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)N[C@@H](C)C(=O)NCC(F)(F)F)CC1 ZINC001318321341 1074159806 /nfs/dbraw/zinc/15/98/06/1074159806.db2.gz XRXCYMCAMJLCQJ-QWHCGFSZSA-N 0 0 425.452 -0.078 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)N[C@@H](C)C(=O)NCC(F)(F)F)CC1 ZINC001318321342 1074159830 /nfs/dbraw/zinc/15/98/30/1074159830.db2.gz XRXCYMCAMJLCQJ-STQMWFEESA-N 0 0 425.452 -0.078 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318321402 1074160205 /nfs/dbraw/zinc/16/02/05/1074160205.db2.gz ZQXOUABTGQGVKH-BBWFWOEESA-N 0 0 432.587 -0.821 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318321409 1074160264 /nfs/dbraw/zinc/16/02/64/1074160264.db2.gz ZQXOUABTGQGVKH-GVDBMIGSSA-N 0 0 432.587 -0.821 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318321410 1074160274 /nfs/dbraw/zinc/16/02/74/1074160274.db2.gz ZQXOUABTGQGVKH-ULQDDVLXSA-N 0 0 432.587 -0.821 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN([C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318321411 1074160294 /nfs/dbraw/zinc/16/02/94/1074160294.db2.gz ZQXOUABTGQGVKH-YESZJQIVSA-N 0 0 432.587 -0.821 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(=O)n1C[C@H]1CCCO1)N1CCN(C(=O)C2CC2)CC1 ZINC001318322333 1074160252 /nfs/dbraw/zinc/16/02/52/1074160252.db2.gz IDNVIQCKNZBNSL-CYBMUJFWSA-N 0 0 427.483 -0.983 20 0 IBADRN O=C(CS(=O)(=O)c1n[nH]c(=O)n1C[C@@H]1CCCO1)N1CCN(C(=O)C2CC2)CC1 ZINC001318322335 1074160209 /nfs/dbraw/zinc/16/02/09/1074160209.db2.gz IDNVIQCKNZBNSL-ZDUSSCGKSA-N 0 0 427.483 -0.983 20 0 IBADRN O=C(COC(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318323255 1074160224 /nfs/dbraw/zinc/16/02/24/1074160224.db2.gz KBKRHVPMDABEOI-GXTWGEPZSA-N 0 0 438.458 -0.343 20 0 IBADRN O=C(COC(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318323256 1074160262 /nfs/dbraw/zinc/16/02/62/1074160262.db2.gz KBKRHVPMDABEOI-JSGCOSHPSA-N 0 0 438.458 -0.343 20 0 IBADRN O=C(COC(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318323257 1074160247 /nfs/dbraw/zinc/16/02/47/1074160247.db2.gz KBKRHVPMDABEOI-OCCSQVGLSA-N 0 0 438.458 -0.343 20 0 IBADRN O=C(COC(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318323258 1074160229 /nfs/dbraw/zinc/16/02/29/1074160229.db2.gz KBKRHVPMDABEOI-TZMCWYRMSA-N 0 0 438.458 -0.343 20 0 IBADRN O=S(=O)(CCNc1ccc(S(=O)(=O)N2CCOCC2)cn1)N1CCSCC1 ZINC001318323587 1074160219 /nfs/dbraw/zinc/16/02/19/1074160219.db2.gz MZSJSWGVVYCQNL-UHFFFAOYSA-N 0 0 436.581 -0.107 20 0 IBADRN CCN(C(=O)CSCC(=O)N(CC)[C@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001318324908 1074160196 /nfs/dbraw/zinc/16/01/96/1074160196.db2.gz URBZXADWQLJAGC-KBPBESRZSA-N 0 0 440.609 -0.209 20 0 IBADRN CCN(C(=O)CSCC(=O)N(CC)[C@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001318324909 1074160290 /nfs/dbraw/zinc/16/02/90/1074160290.db2.gz URBZXADWQLJAGC-OKILXGFUSA-N 0 0 440.609 -0.209 20 0 IBADRN CCN(C(=O)CSCC(=O)N(CC)[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001318324910 1074160272 /nfs/dbraw/zinc/16/02/72/1074160272.db2.gz URBZXADWQLJAGC-ZIAGYGMSSA-N 0 0 440.609 -0.209 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318325730 1074160258 /nfs/dbraw/zinc/16/02/58/1074160258.db2.gz FIVHFHDSWAPHTG-JTQLQIEISA-N 0 0 428.462 -0.341 20 0 IBADRN O=C(CSc1nnnn1CC(F)(F)F)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318326180 1074160239 /nfs/dbraw/zinc/16/02/39/1074160239.db2.gz FIVHFHDSWAPHTG-SNVBAGLBSA-N 0 0 428.462 -0.341 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N4CCOCC4)CC3)ccc2N1 ZINC001318328694 1074160286 /nfs/dbraw/zinc/16/02/86/1074160286.db2.gz QSPGVNHRUQWIJP-UHFFFAOYSA-N 0 0 444.535 -0.545 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CSc1nnnn1CC(F)(F)F ZINC001318328721 1074160233 /nfs/dbraw/zinc/16/02/33/1074160233.db2.gz YDPHXOPTXQIBHL-SECBINFHSA-N 0 0 444.461 -0.521 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CSc1nnnn1CC(F)(F)F ZINC001318328722 1074160277 /nfs/dbraw/zinc/16/02/77/1074160277.db2.gz YDPHXOPTXQIBHL-VIFPVBQESA-N 0 0 444.461 -0.521 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCN(S(=O)(=O)N3CCOCC3)CC2)nc1 ZINC001318329055 1074160774 /nfs/dbraw/zinc/16/07/74/1074160774.db2.gz ZKMOPUQOSPSJIY-UHFFFAOYSA-N 0 0 447.583 -0.189 20 0 IBADRN CCN(C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1)S(C)(=O)=O ZINC001318331714 1074160820 /nfs/dbraw/zinc/16/08/20/1074160820.db2.gz GUFPNQYIXQWJMZ-UHFFFAOYSA-N 0 0 440.526 -0.904 20 0 IBADRN NC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)n1 ZINC001318332266 1074160739 /nfs/dbraw/zinc/16/07/39/1074160739.db2.gz PIAJMXHLDVCXAP-UHFFFAOYSA-N 0 0 441.416 -0.847 20 0 IBADRN C[C@H](NC(=O)C[C@](O)(c1nccn1C)C(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC001318334183 1074160856 /nfs/dbraw/zinc/16/08/56/1074160856.db2.gz DXWWMCAODRIVAP-HZMBPMFUSA-N 0 0 426.417 -0.678 20 0 IBADRN C[C@H](NC(=O)C[C@@](O)(c1nccn1C)C(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC001318334184 1074160811 /nfs/dbraw/zinc/16/08/11/1074160811.db2.gz DXWWMCAODRIVAP-IINYFYTJSA-N 0 0 426.417 -0.678 20 0 IBADRN C[C@@H](NC(=O)C[C@@](O)(c1nccn1C)C(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC001318334185 1074160780 /nfs/dbraw/zinc/16/07/80/1074160780.db2.gz DXWWMCAODRIVAP-QMTHXVAHSA-N 0 0 426.417 -0.678 20 0 IBADRN C[C@@H](NC(=O)C[C@](O)(c1nccn1C)C(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC001318334186 1074160793 /nfs/dbraw/zinc/16/07/93/1074160793.db2.gz DXWWMCAODRIVAP-YGRLFVJLSA-N 0 0 426.417 -0.678 20 0 IBADRN C[C@@H](NC(=O)CSCc1cc(=O)n2ccsc2n1)C(=O)N1CCS(=O)(=O)CC1 ZINC001318336123 1074160816 /nfs/dbraw/zinc/16/08/16/1074160816.db2.gz ZCKIBTHSTNKFQK-LLVKDONJSA-N 0 0 444.560 -0.249 20 0 IBADRN C[C@H](NC(=O)CSCc1cc(=O)n2ccsc2n1)C(=O)N1CCS(=O)(=O)CC1 ZINC001318336126 1074160752 /nfs/dbraw/zinc/16/07/52/1074160752.db2.gz ZCKIBTHSTNKFQK-NSHDSACASA-N 0 0 444.560 -0.249 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC001318336425 1074160852 /nfs/dbraw/zinc/16/08/52/1074160852.db2.gz WZSZOOYTEZPWHP-UHFFFAOYSA-N 0 0 439.490 -0.335 20 0 IBADRN O=C(COCC(=O)NCc1cccnc1)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001318338996 1074160746 /nfs/dbraw/zinc/16/07/46/1074160746.db2.gz MQSICZIUIXFECG-UHFFFAOYSA-N 0 0 439.472 -0.397 20 0 IBADRN C[C@@H](NC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC001318340365 1074160845 /nfs/dbraw/zinc/16/08/45/1074160845.db2.gz MUJAIZRPAIIFGZ-CYBMUJFWSA-N 0 0 431.536 -0.846 20 0 IBADRN C[C@H](NC(=O)c1ccc(CCNS(C)(=O)=O)cc1)C(=O)N1CCS(=O)(=O)CC1 ZINC001318340366 1074160825 /nfs/dbraw/zinc/16/08/25/1074160825.db2.gz MUJAIZRPAIIFGZ-ZDUSSCGKSA-N 0 0 431.536 -0.846 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC001318340489 1074160760 /nfs/dbraw/zinc/16/07/60/1074160760.db2.gz PHUSAWMYNAZEKJ-CYBMUJFWSA-N 0 0 432.520 -0.379 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC001318340493 1074160765 /nfs/dbraw/zinc/16/07/65/1074160765.db2.gz PHUSAWMYNAZEKJ-ZDUSSCGKSA-N 0 0 432.520 -0.379 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001318340723 1074160807 /nfs/dbraw/zinc/16/08/07/1074160807.db2.gz SBZZSDAVMMLOIK-KRWDZBQOSA-N 0 0 428.497 -0.129 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001318340726 1074160726 /nfs/dbraw/zinc/16/07/26/1074160726.db2.gz SBZZSDAVMMLOIK-QGZVFWFLSA-N 0 0 428.497 -0.129 20 0 IBADRN C[C@H](NC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)C(=O)N1CCS(=O)(=O)CC1 ZINC001318340743 1074160797 /nfs/dbraw/zinc/16/07/97/1074160797.db2.gz SXSIQKSGEQUBSL-ABHRYQDASA-N 0 0 432.568 -0.526 20 0 IBADRN C[C@H](NC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21)C(=O)N1CCS(=O)(=O)CC1 ZINC001318340744 1074160770 /nfs/dbraw/zinc/16/07/70/1074160770.db2.gz SXSIQKSGEQUBSL-PWNZVWSESA-N 0 0 432.568 -0.526 20 0 IBADRN C[C@H](NC(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12)C(=O)N1CCS(=O)(=O)CC1 ZINC001318340745 1074160789 /nfs/dbraw/zinc/16/07/89/1074160789.db2.gz SXSIQKSGEQUBSL-SFDCQRBFSA-N 0 0 432.568 -0.526 20 0 IBADRN C[C@H](NC(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12)C(=O)N1CCS(=O)(=O)CC1 ZINC001318340746 1074161327 /nfs/dbraw/zinc/16/13/27/1074161327.db2.gz SXSIQKSGEQUBSL-XFMPKHEZSA-N 0 0 432.568 -0.526 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCCN(C(N)=O)CC3)cc2)CC1 ZINC001318342014 1074161252 /nfs/dbraw/zinc/16/12/52/1074161252.db2.gz HWVRVYAAXQHJCT-UHFFFAOYSA-N 0 0 437.522 -0.234 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)no1 ZINC001318342140 1074161371 /nfs/dbraw/zinc/16/13/71/1074161371.db2.gz IRYNWASHHFQINT-INIZCTEOSA-N 0 0 433.509 -0.115 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)no1 ZINC001318342141 1074161312 /nfs/dbraw/zinc/16/13/12/1074161312.db2.gz IRYNWASHHFQINT-MRXNPFEDSA-N 0 0 433.509 -0.115 20 0 IBADRN Cn1c(C(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC001318343608 1074161382 /nfs/dbraw/zinc/16/13/82/1074161382.db2.gz LEKHCUBGACNWIA-UHFFFAOYSA-N 0 0 426.433 -0.726 20 0 IBADRN CCN(C1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)S(C)(=O)=O ZINC001318343875 1074161396 /nfs/dbraw/zinc/16/13/96/1074161396.db2.gz IJBMSKOHBAGYJG-DZGCQCFKSA-N 0 0 435.568 -0.706 20 0 IBADRN CCN(C1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)S(C)(=O)=O ZINC001318343876 1074161339 /nfs/dbraw/zinc/16/13/39/1074161339.db2.gz IJBMSKOHBAGYJG-HIFRSBDPSA-N 0 0 435.568 -0.706 20 0 IBADRN CCN(C1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1)S(C)(=O)=O ZINC001318343877 1074161291 /nfs/dbraw/zinc/16/12/91/1074161291.db2.gz IJBMSKOHBAGYJG-UKRRQHHQSA-N 0 0 435.568 -0.706 20 0 IBADRN CCN(C1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1)S(C)(=O)=O ZINC001318343878 1074161259 /nfs/dbraw/zinc/16/12/59/1074161259.db2.gz IJBMSKOHBAGYJG-ZFWWWQNUSA-N 0 0 435.568 -0.706 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)OCC(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC001318343910 1074161278 /nfs/dbraw/zinc/16/12/78/1074161278.db2.gz AFQZHHPVCAGNMZ-UHFFFAOYSA-N 0 0 431.449 -0.108 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)Cn1nc2n(c1=O)CCCCC2)[C@H]1CCS(=O)(=O)C1 ZINC001318343954 1074161304 /nfs/dbraw/zinc/16/13/04/1074161304.db2.gz CJMAYPGMNHNUIB-KBPBESRZSA-N 0 0 428.511 -0.262 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)Cn1nc2n(c1=O)CCCCC2)[C@H]1CCS(=O)(=O)C1 ZINC001318343964 1074161236 /nfs/dbraw/zinc/16/12/36/1074161236.db2.gz CJMAYPGMNHNUIB-KGLIPLIRSA-N 0 0 428.511 -0.262 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)Cn1nc2n(c1=O)CCCCC2)[C@@H]1CCS(=O)(=O)C1 ZINC001318343965 1074161269 /nfs/dbraw/zinc/16/12/69/1074161269.db2.gz CJMAYPGMNHNUIB-UONOGXRCSA-N 0 0 428.511 -0.262 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)Cn1nc2n(c1=O)CCCCC2)[C@@H]1CCS(=O)(=O)C1 ZINC001318343966 1074161356 /nfs/dbraw/zinc/16/13/56/1074161356.db2.gz CJMAYPGMNHNUIB-ZIAGYGMSSA-N 0 0 428.511 -0.262 20 0 IBADRN COc1ccc(C(=O)NC[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001318343972 1074161362 /nfs/dbraw/zinc/16/13/62/1074161362.db2.gz CSTOJPMHNXDPRB-CYBMUJFWSA-N 0 0 432.520 -0.119 20 0 IBADRN COc1ccc(C(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001318343973 1074161332 /nfs/dbraw/zinc/16/13/32/1074161332.db2.gz CSTOJPMHNXDPRB-ZDUSSCGKSA-N 0 0 432.520 -0.119 20 0 IBADRN COCC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC001318344015 1074161297 /nfs/dbraw/zinc/16/12/97/1074161297.db2.gz GBMAUQIZFPLAAC-UHFFFAOYSA-N 0 0 427.508 -0.323 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)ccc1=O ZINC001318344793 1074161285 /nfs/dbraw/zinc/16/12/85/1074161285.db2.gz TYXOIAWYRAITPE-UHFFFAOYSA-N 0 0 433.446 -0.742 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CS[C@@H]3CCS(=O)(=O)C3)CC2)c(C(N)=O)c1C ZINC001318345977 1074161808 /nfs/dbraw/zinc/16/18/08/1074161808.db2.gz PKHQFRAAAAZRGX-CYBMUJFWSA-N 0 0 427.552 -0.239 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CS[C@H]3CCS(=O)(=O)C3)CC2)c(C(N)=O)c1C ZINC001318345978 1074161868 /nfs/dbraw/zinc/16/18/68/1074161868.db2.gz PKHQFRAAAAZRGX-ZDUSSCGKSA-N 0 0 427.552 -0.239 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Cc2c(C)nc(-c3ccncc3)[nH]c2=O)CC1 ZINC001318347254 1074161822 /nfs/dbraw/zinc/16/18/22/1074161822.db2.gz AJQQVZHIXZMSKJ-UHFFFAOYSA-N 0 0 426.477 -0.120 20 0 IBADRN C[C@@H](NC(=O)c1ccc(CCNS(C)(=O)=O)s1)C(=O)N1CCS(=O)(=O)CC1 ZINC001318348118 1074161711 /nfs/dbraw/zinc/16/17/11/1074161711.db2.gz HVLNWJJGUFYOME-LLVKDONJSA-N 0 0 437.565 -0.785 20 0 IBADRN C[C@H](NC(=O)c1ccc(CCNS(C)(=O)=O)s1)C(=O)N1CCS(=O)(=O)CC1 ZINC001318348122 1074161764 /nfs/dbraw/zinc/16/17/64/1074161764.db2.gz HVLNWJJGUFYOME-NSHDSACASA-N 0 0 437.565 -0.785 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C2CCN(c3ccc4nncn4n3)CC2)CC1 ZINC001318348423 1074161857 /nfs/dbraw/zinc/16/18/57/1074161857.db2.gz XPNOBXFCNKKXMB-UHFFFAOYSA-N 0 0 428.497 -0.462 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318348996 1074161670 /nfs/dbraw/zinc/16/16/70/1074161670.db2.gz FTNDJYRHDCUMQA-JHJVBQTASA-N 0 0 429.568 -0.128 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318348998 1074161679 /nfs/dbraw/zinc/16/16/79/1074161679.db2.gz FTNDJYRHDCUMQA-UPJWGTAASA-N 0 0 429.568 -0.128 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318349000 1074161835 /nfs/dbraw/zinc/16/18/35/1074161835.db2.gz FTNDJYRHDCUMQA-XQQFMLRXSA-N 0 0 429.568 -0.128 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318349001 1074161683 /nfs/dbraw/zinc/16/16/83/1074161683.db2.gz FTNDJYRHDCUMQA-YNEHKIRRSA-N 0 0 429.568 -0.128 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2)CC1 ZINC001318350140 1074161742 /nfs/dbraw/zinc/16/17/42/1074161742.db2.gz IUCAONQNWZUGDY-UHFFFAOYSA-N 0 0 443.614 -0.309 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(CC(=O)N2CCC(C(=O)N3CCCC3)CC2)CC1 ZINC001318351439 1074161791 /nfs/dbraw/zinc/16/17/91/1074161791.db2.gz CPERWMLLHUUWTM-UHFFFAOYSA-N 0 0 447.536 -0.859 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1)c2=O ZINC001318353331 1074161693 /nfs/dbraw/zinc/16/16/93/1074161693.db2.gz NZHMJJWAWFOPOS-UHFFFAOYSA-N 0 0 434.453 -0.155 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC(=O)N3)CC1 ZINC001318353871 1074161888 /nfs/dbraw/zinc/16/18/88/1074161888.db2.gz WKRCYFYOWSFVGC-UHFFFAOYSA-N 0 0 434.474 -0.447 20 0 IBADRN Cc1c(C(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)nnn1-c1ccc2c(c1)OCCO2 ZINC001318353919 1074162355 /nfs/dbraw/zinc/16/23/55/1074162355.db2.gz QTMUYPASTNWJRN-GFCCVEGCSA-N 0 0 436.446 -0.193 20 0 IBADRN Cc1c(C(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)nnn1-c1ccc2c(c1)OCCO2 ZINC001318353920 1074162411 /nfs/dbraw/zinc/16/24/11/1074162411.db2.gz QTMUYPASTNWJRN-LBPRGKRZSA-N 0 0 436.446 -0.193 20 0 IBADRN CCn1c(C)nnc1S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001318355822 1074162285 /nfs/dbraw/zinc/16/22/85/1074162285.db2.gz DKIIUHZOIZVASX-KBPBESRZSA-N 0 0 434.540 -0.425 20 0 IBADRN CCn1c(C)nnc1S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001318355833 1074162381 /nfs/dbraw/zinc/16/23/81/1074162381.db2.gz DKIIUHZOIZVASX-KGLIPLIRSA-N 0 0 434.540 -0.425 20 0 IBADRN CCn1c(C)nnc1S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001318355836 1074162309 /nfs/dbraw/zinc/16/23/09/1074162309.db2.gz DKIIUHZOIZVASX-UONOGXRCSA-N 0 0 434.540 -0.425 20 0 IBADRN CCn1c(C)nnc1S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001318355838 1074162332 /nfs/dbraw/zinc/16/23/32/1074162332.db2.gz DKIIUHZOIZVASX-ZIAGYGMSSA-N 0 0 434.540 -0.425 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC001318356903 1074162360 /nfs/dbraw/zinc/16/23/60/1074162360.db2.gz QHGDJTYSWYUJAL-AEGPPILISA-N 0 0 425.511 -0.323 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001318356904 1074162398 /nfs/dbraw/zinc/16/23/98/1074162398.db2.gz QHGDJTYSWYUJAL-BPLDGKMQSA-N 0 0 425.511 -0.323 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC001318356905 1074162297 /nfs/dbraw/zinc/16/22/97/1074162297.db2.gz QHGDJTYSWYUJAL-NWANDNLSSA-N 0 0 425.511 -0.323 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001318356906 1074162345 /nfs/dbraw/zinc/16/23/45/1074162345.db2.gz QHGDJTYSWYUJAL-VHDGCEQUSA-N 0 0 425.511 -0.323 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NC2CCN(CCS(C)(=O)=O)CC2)cn1C ZINC001318358816 1074162407 /nfs/dbraw/zinc/16/24/07/1074162407.db2.gz KBBABCSOMJBODT-UHFFFAOYSA-N 0 0 435.572 -0.978 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)Cn2cc(Br)c(=O)[nH]c2=O)CC1 ZINC001318359203 1074162367 /nfs/dbraw/zinc/16/23/67/1074162367.db2.gz OJSBFHXRJZEIFH-UHFFFAOYSA-N 0 0 437.316 -0.663 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CCC(=O)NC1=O ZINC001318360695 1074162338 /nfs/dbraw/zinc/16/23/38/1074162338.db2.gz YEFKDDFNQMTVFF-UHFFFAOYSA-N 0 0 426.451 -0.404 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC001318360800 1074162318 /nfs/dbraw/zinc/16/23/18/1074162318.db2.gz AHKOEHIQPGICIL-UHFFFAOYSA-N 0 0 425.555 -0.109 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCCN3C(=O)CCC3=O)CC2)cn1C ZINC001318362005 1074162277 /nfs/dbraw/zinc/16/22/77/1074162277.db2.gz GURDGNDBWNQUBP-UHFFFAOYSA-N 0 0 425.511 -0.215 20 0 IBADRN O=C(CSc1nnnn1C1CCOCC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318362270 1074162387 /nfs/dbraw/zinc/16/23/87/1074162387.db2.gz MDXHCLMVLURTNZ-AWEZNQCLSA-N 0 0 430.556 -0.552 20 0 IBADRN O=C(CSc1nnnn1C1CCOCC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318362275 1074162261 /nfs/dbraw/zinc/16/22/61/1074162261.db2.gz MDXHCLMVLURTNZ-CQSZACIVSA-N 0 0 430.556 -0.552 20 0 IBADRN CN(C(=O)Cn1nc2n(c1=O)CCCCC2)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001318363246 1074163041 /nfs/dbraw/zinc/16/30/41/1074163041.db2.gz UUTLYAZWQWATPA-UHFFFAOYSA-N 0 0 447.584 -0.532 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC001318363483 1074162965 /nfs/dbraw/zinc/16/29/65/1074162965.db2.gz DAQYQSJSYIWLMV-UHFFFAOYSA-N 0 0 440.526 -0.904 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1 ZINC001318363632 1074163033 /nfs/dbraw/zinc/16/30/33/1074163033.db2.gz IGOCYVHUSBRZJE-UHFFFAOYSA-N 0 0 439.534 -0.138 20 0 IBADRN COCC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001318364082 1074162785 /nfs/dbraw/zinc/16/27/85/1074162785.db2.gz HMNHUZBFGKBJFK-UHFFFAOYSA-N 0 0 440.478 -0.997 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC001318365318 1074163085 /nfs/dbraw/zinc/16/30/85/1074163085.db2.gz JCNAYLDXOWNOIO-UHFFFAOYSA-N 0 0 434.478 -0.287 20 0 IBADRN Cc1sc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC001318365783 1074162991 /nfs/dbraw/zinc/16/29/91/1074162991.db2.gz QGUIAXLHKVLAIS-UHFFFAOYSA-N 0 0 432.524 -0.907 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CCn3cnnc3C2)c1OC ZINC001318371495 1074163018 /nfs/dbraw/zinc/16/30/18/1074163018.db2.gz PBXKIBBNYXSBHX-UHFFFAOYSA-N 0 0 437.478 -0.028 20 0 IBADRN CN(C(=O)C1CCC2(CC1)NC(=O)NC2=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001318373890 1074162886 /nfs/dbraw/zinc/16/28/86/1074162886.db2.gz PLZOAUZTPBLENV-UHFFFAOYSA-N 0 0 448.568 -0.548 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC(N3CCNC3=O)CC1)c2=O ZINC001318374486 1074162935 /nfs/dbraw/zinc/16/29/35/1074162935.db2.gz KGUPAQKLQWXAMO-UHFFFAOYSA-N 0 0 438.286 -0.091 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@@H](c4nnc5n4CCC5)C3)c2c(=O)n(C)c1=O ZINC001318375282 1074162797 /nfs/dbraw/zinc/16/27/97/1074162797.db2.gz JPEANFYYEBNYBW-CYBMUJFWSA-N 0 0 426.481 -0.232 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCC[C@H](c4nnc5n4CCC5)C3)c2c(=O)n(C)c1=O ZINC001318375283 1074162769 /nfs/dbraw/zinc/16/27/69/1074162769.db2.gz JPEANFYYEBNYBW-ZDUSSCGKSA-N 0 0 426.481 -0.232 20 0 IBADRN COC(=O)Cn1ncc2c1ncn(CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1)c2=O ZINC001318377238 1074162805 /nfs/dbraw/zinc/16/28/05/1074162805.db2.gz DTOUMTYAQPHTKT-UHFFFAOYSA-N 0 0 432.481 -0.129 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCC(C)(C)NS(C)(=O)=O)CC2)cn1C ZINC001318377675 1074163004 /nfs/dbraw/zinc/16/30/04/1074163004.db2.gz QZUIMQZYMYEMHR-UHFFFAOYSA-N 0 0 435.572 -0.427 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001318378751 1074163113 /nfs/dbraw/zinc/16/31/13/1074163113.db2.gz JKOXKCLOODOMOW-HNNXBMFYSA-N 0 0 430.527 -0.944 20 0 IBADRN O=C(CCCN1C(=O)CNC1=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001318378755 1074162976 /nfs/dbraw/zinc/16/29/76/1074162976.db2.gz JKOXKCLOODOMOW-OAHLLOKOSA-N 0 0 430.527 -0.944 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC001318382735 1074162912 /nfs/dbraw/zinc/16/29/12/1074162912.db2.gz KMVYPMVUFIKMNP-UHFFFAOYSA-N 0 0 449.551 -0.461 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)CSc2nnnn2CC(F)(F)F)CC1 ZINC001318383521 1074162945 /nfs/dbraw/zinc/16/29/45/1074162945.db2.gz UOXUSPUULCVEMH-UHFFFAOYSA-N 0 0 430.478 -0.047 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC001318385122 1074163095 /nfs/dbraw/zinc/16/30/95/1074163095.db2.gz USCBOAXJPQBFSZ-UHFFFAOYSA-N 0 0 432.568 -0.097 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)CC1 ZINC001318386257 1074163310 /nfs/dbraw/zinc/16/33/10/1074163310.db2.gz GGPCWVIRTUEWAN-UHFFFAOYSA-N 0 0 449.533 -0.222 20 0 IBADRN CNC(=O)[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCC[C@H](C(=O)NC)C3)c[nH]2)C1 ZINC001318389800 1074163395 /nfs/dbraw/zinc/16/33/95/1074163395.db2.gz IWKUBVLSQQDIAJ-KBPBESRZSA-N 0 0 439.538 -0.240 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCC[C@H](C(=O)NC)C3)c[nH]2)C1 ZINC001318389802 1074163301 /nfs/dbraw/zinc/16/33/01/1074163301.db2.gz IWKUBVLSQQDIAJ-KGLIPLIRSA-N 0 0 439.538 -0.240 20 0 IBADRN CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2c[nH]c(C(=O)N3CCC[C@H](C(=O)NC)C3)c2)C1 ZINC001318389803 1074163511 /nfs/dbraw/zinc/16/35/11/1074163511.db2.gz IWKUBVLSQQDIAJ-UONOGXRCSA-N 0 0 439.538 -0.240 20 0 IBADRN CNC(=O)[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCC[C@@H](C(=O)NC)C3)c[nH]2)C1 ZINC001318389804 1074163460 /nfs/dbraw/zinc/16/34/60/1074163460.db2.gz IWKUBVLSQQDIAJ-ZIAGYGMSSA-N 0 0 439.538 -0.240 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)NCCNS(C)(=O)=O ZINC001318389935 1074163516 /nfs/dbraw/zinc/16/35/16/1074163516.db2.gz BDGQTEANXMPSNQ-CYBMUJFWSA-N 0 0 439.943 -0.462 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)NCCNS(C)(=O)=O ZINC001318389938 1074163476 /nfs/dbraw/zinc/16/34/76/1074163476.db2.gz BDGQTEANXMPSNQ-ZDUSSCGKSA-N 0 0 439.943 -0.462 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001318393853 1074163387 /nfs/dbraw/zinc/16/33/87/1074163387.db2.gz LPFWOWUOOOUMFL-CVEARBPZSA-N 0 0 431.536 -0.785 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001318393857 1074163331 /nfs/dbraw/zinc/16/33/31/1074163331.db2.gz LPFWOWUOOOUMFL-HOTGVXAUSA-N 0 0 431.536 -0.785 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001318393861 1074163346 /nfs/dbraw/zinc/16/33/46/1074163346.db2.gz LPFWOWUOOOUMFL-HZPDHXFCSA-N 0 0 431.536 -0.785 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CC(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001318393866 1074163444 /nfs/dbraw/zinc/16/34/44/1074163444.db2.gz LPFWOWUOOOUMFL-JKSUJKDBSA-N 0 0 431.536 -0.785 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)CC1 ZINC001318399971 1074163318 /nfs/dbraw/zinc/16/33/18/1074163318.db2.gz OIGCSNAIARTDBQ-UHFFFAOYSA-N 0 0 427.527 -0.008 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318400283 1074163294 /nfs/dbraw/zinc/16/32/94/1074163294.db2.gz VGVVEYIYMJYEKH-HNNXBMFYSA-N 0 0 425.511 -0.925 20 0 IBADRN CN(CCc1ccccn1)C(=O)CNC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318400284 1074163528 /nfs/dbraw/zinc/16/35/28/1074163528.db2.gz VGVVEYIYMJYEKH-OAHLLOKOSA-N 0 0 425.511 -0.925 20 0 IBADRN CN(CC(=O)N1CCOCC1)Cn1nc(CCC(N)=O)n(C[C@@H]2CCCO2)c1=S ZINC001318402499 1074163371 /nfs/dbraw/zinc/16/33/71/1074163371.db2.gz HAFZLOZIQPSVRH-AWEZNQCLSA-N 0 0 426.543 -0.241 20 0 IBADRN CN(CC(=O)N1CCOCC1)Cn1nc(CCC(N)=O)n(C[C@H]2CCCO2)c1=S ZINC001318402501 1074163469 /nfs/dbraw/zinc/16/34/69/1074163469.db2.gz HAFZLOZIQPSVRH-CQSZACIVSA-N 0 0 426.543 -0.241 20 0 IBADRN O=C(NCCC1N=NC(=S)N1C1CC1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001318412870 1074163282 /nfs/dbraw/zinc/16/32/82/1074163282.db2.gz HOIBZADBFICEFV-LLVKDONJSA-N 0 0 426.524 -0.274 20 0 IBADRN O=C(NCCC1N=NC(=S)N1C1CC1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001318412871 1074164067 /nfs/dbraw/zinc/16/40/67/1074164067.db2.gz HOIBZADBFICEFV-NSHDSACASA-N 0 0 426.524 -0.274 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)CCc3nc4c([nH]3)n(C)c(=O)[nH]c4=O)CC2)CC1 ZINC001318414519 1074164043 /nfs/dbraw/zinc/16/40/43/1074164043.db2.gz UPEVDOCIFGTVRL-UHFFFAOYSA-N 0 0 445.524 -0.303 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)Cc2ccccc2NS(C)(=O)=O)CC1 ZINC001318416583 1074164002 /nfs/dbraw/zinc/16/40/02/1074164002.db2.gz CYNYZUWIGKZOBC-UHFFFAOYSA-N 0 0 440.522 -0.624 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318419492 1074164143 /nfs/dbraw/zinc/16/41/43/1074164143.db2.gz NQKYKNNBLWEXRR-DZGCQCFKSA-N 0 0 435.510 -0.964 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318419493 1074164131 /nfs/dbraw/zinc/16/41/31/1074164131.db2.gz NQKYKNNBLWEXRR-HIFRSBDPSA-N 0 0 435.510 -0.964 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318419494 1074163985 /nfs/dbraw/zinc/16/39/85/1074163985.db2.gz NQKYKNNBLWEXRR-UKRRQHHQSA-N 0 0 435.510 -0.964 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318419595 1074164136 /nfs/dbraw/zinc/16/41/36/1074164136.db2.gz NQKYKNNBLWEXRR-ZFWWWQNUSA-N 0 0 435.510 -0.964 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccco1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001318419912 1074164118 /nfs/dbraw/zinc/16/41/18/1074164118.db2.gz SQOYQQAOQHXJPX-CHWSQXEVSA-N 0 0 431.536 -0.107 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001318419913 1074164062 /nfs/dbraw/zinc/16/40/62/1074164062.db2.gz SQOYQQAOQHXJPX-OLZOCXBDSA-N 0 0 431.536 -0.107 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccco1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001318419914 1074164022 /nfs/dbraw/zinc/16/40/22/1074164022.db2.gz SQOYQQAOQHXJPX-QWHCGFSZSA-N 0 0 431.536 -0.107 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001318419915 1074164034 /nfs/dbraw/zinc/16/40/34/1074164034.db2.gz SQOYQQAOQHXJPX-STQMWFEESA-N 0 0 431.536 -0.107 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1ncn2nccc2c1=O ZINC001318420267 1074164093 /nfs/dbraw/zinc/16/40/93/1074164093.db2.gz BHGLTSAZZBGPAA-UHFFFAOYSA-N 0 0 432.462 -0.141 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001318420575 1074164073 /nfs/dbraw/zinc/16/40/73/1074164073.db2.gz AUOKOFFORPTNSZ-BPLDGKMQSA-N 0 0 443.547 -0.111 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001318420578 1074164087 /nfs/dbraw/zinc/16/40/87/1074164087.db2.gz AUOKOFFORPTNSZ-SNPRPXQTSA-N 0 0 443.547 -0.111 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001318420581 1074163976 /nfs/dbraw/zinc/16/39/76/1074163976.db2.gz AUOKOFFORPTNSZ-VHDGCEQUSA-N 0 0 443.547 -0.111 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001318420583 1074164080 /nfs/dbraw/zinc/16/40/80/1074164080.db2.gz AUOKOFFORPTNSZ-YUELXQCFSA-N 0 0 443.547 -0.111 20 0 IBADRN COC(=O)[C@H](CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccncc1 ZINC001318423111 1074163995 /nfs/dbraw/zinc/16/39/95/1074163995.db2.gz WFXVYVMTZOJFMS-AWEZNQCLSA-N 0 0 428.449 -0.633 20 0 IBADRN COC(=O)[C@@H](CNC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C)Cc1ccncc1 ZINC001318423113 1074164013 /nfs/dbraw/zinc/16/40/13/1074164013.db2.gz WFXVYVMTZOJFMS-CQSZACIVSA-N 0 0 428.449 -0.633 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCCC2)nn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318424169 1074164126 /nfs/dbraw/zinc/16/41/26/1074164126.db2.gz UNCFEIWKNOEKON-HNNXBMFYSA-N 0 0 437.522 -0.435 20 0 IBADRN O=C(COC(=O)c1ccc(N2CCCC2)nn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318424170 1074164103 /nfs/dbraw/zinc/16/41/03/1074164103.db2.gz UNCFEIWKNOEKON-OAHLLOKOSA-N 0 0 437.522 -0.435 20 0 IBADRN C[C@@H](CNC(=O)c1cnc2n(c1=O)CCS2)N(C)C(=O)c1cnc2n(c1=O)CCS2 ZINC001318425217 1074164325 /nfs/dbraw/zinc/16/43/25/1074164325.db2.gz GDHQEMMIJNXNPN-JTQLQIEISA-N 0 0 448.530 -0.098 20 0 IBADRN C[C@H](CNC(=O)c1cnc2n(c1=O)CCS2)N(C)C(=O)c1cnc2n(c1=O)CCS2 ZINC001318425218 1074164366 /nfs/dbraw/zinc/16/43/66/1074164366.db2.gz GDHQEMMIJNXNPN-SNVBAGLBSA-N 0 0 448.530 -0.098 20 0 IBADRN O=C(COc1ccccc1CNC(=O)Cn1ncn2nccc2c1=O)NC[C@@H]1CCCO1 ZINC001318426180 1074164431 /nfs/dbraw/zinc/16/44/31/1074164431.db2.gz BMWGBSAZNAISPH-INIZCTEOSA-N 0 0 440.460 -0.119 20 0 IBADRN O=C(COc1ccccc1CNC(=O)Cn1ncn2nccc2c1=O)NC[C@H]1CCCO1 ZINC001318426181 1074164443 /nfs/dbraw/zinc/16/44/43/1074164443.db2.gz BMWGBSAZNAISPH-MRXNPFEDSA-N 0 0 440.460 -0.119 20 0 IBADRN CN(C1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1)S(C)(=O)=O ZINC001318429847 1074164343 /nfs/dbraw/zinc/16/43/43/1074164343.db2.gz HZIIWFDIVMEZSY-UHFFFAOYSA-N 0 0 425.554 -0.402 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)COC(=O)Cn2ncn3nccc3c2=O)c1 ZINC001318431281 1074164374 /nfs/dbraw/zinc/16/43/74/1074164374.db2.gz JAMISEURXDIOSF-UHFFFAOYSA-N 0 0 428.405 -0.460 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCC[C@H](NC(=O)[C@H](C)N2C(=O)CCC2=O)C1)N1C(=O)CCC1=O ZINC001318432857 1074164537 /nfs/dbraw/zinc/16/45/37/1074164537.db2.gz ALMNKKLCZLEGQG-BARDWOONSA-N 0 0 434.493 -0.147 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCC[C@@H](NC(=O)[C@@H](C)N2C(=O)CCC2=O)C1)N1C(=O)CCC1=O ZINC001318432858 1074164453 /nfs/dbraw/zinc/16/44/53/1074164453.db2.gz ALMNKKLCZLEGQG-KBUPBQIOSA-N 0 0 434.493 -0.147 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCC[C@@H](NC(=O)[C@H](C)N2C(=O)CCC2=O)C1)N1C(=O)CCC1=O ZINC001318432859 1074164498 /nfs/dbraw/zinc/16/44/98/1074164498.db2.gz ALMNKKLCZLEGQG-LXTVHRRPSA-N 0 0 434.493 -0.147 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCC[C@H](NC(=O)[C@@H](C)N2C(=O)CCC2=O)C1)N1C(=O)CCC1=O ZINC001318432861 1074164314 /nfs/dbraw/zinc/16/43/14/1074164314.db2.gz ALMNKKLCZLEGQG-TUVASFSCSA-N 0 0 434.493 -0.147 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNS(=O)(=O)c1cc2c(cc1Cl)NC(=O)CO2 ZINC001318434084 1074164295 /nfs/dbraw/zinc/16/42/95/1074164295.db2.gz MSMNYDBINQFWQO-LLVKDONJSA-N 0 0 430.870 -0.314 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNS(=O)(=O)c1cc2c(cc1Cl)NC(=O)CO2 ZINC001318434085 1074164335 /nfs/dbraw/zinc/16/43/35/1074164335.db2.gz MSMNYDBINQFWQO-NSHDSACASA-N 0 0 430.870 -0.314 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@@H]1CCC[C@H](NC(=O)CCN2C(=O)CCC2=O)C1 ZINC001318434976 1074164490 /nfs/dbraw/zinc/16/44/90/1074164490.db2.gz TVULXNONONZIAX-CABCVRRESA-N 0 0 434.493 -0.144 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@H]1CCC[C@H](NC(=O)CCN2C(=O)CCC2=O)C1 ZINC001318434977 1074164305 /nfs/dbraw/zinc/16/43/05/1074164305.db2.gz TVULXNONONZIAX-GJZGRUSLSA-N 0 0 434.493 -0.144 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@@H]1CCC[C@@H](NC(=O)CCN2C(=O)CCC2=O)C1 ZINC001318434978 1074164530 /nfs/dbraw/zinc/16/45/30/1074164530.db2.gz TVULXNONONZIAX-HUUCEWRRSA-N 0 0 434.493 -0.144 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NC[C@H]1CCC[C@@H](NC(=O)CCN2C(=O)CCC2=O)C1 ZINC001318434979 1074164520 /nfs/dbraw/zinc/16/45/20/1074164520.db2.gz TVULXNONONZIAX-LSDHHAIUSA-N 0 0 434.493 -0.144 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)Cn3ncn4nccc4c3=O)c2)CC1 ZINC001318436000 1074164476 /nfs/dbraw/zinc/16/44/76/1074164476.db2.gz AUGWSNWFFWCQBQ-UHFFFAOYSA-N 0 0 431.478 -0.534 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001318436215 1074164405 /nfs/dbraw/zinc/16/44/05/1074164405.db2.gz DJDHUFSBHSLQJT-UHFFFAOYSA-N 0 0 438.416 -0.298 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)Cn3ncn4nccc4c3=O)cn2)CC1 ZINC001318436363 1074164461 /nfs/dbraw/zinc/16/44/61/1074164461.db2.gz HVYYAZVMHKFLAQ-UHFFFAOYSA-N 0 0 432.466 -0.994 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)Cn3ncn4nccc4c3=O)cc2)CC1 ZINC001318436961 1074165108 /nfs/dbraw/zinc/16/51/08/1074165108.db2.gz PFHWOEUIPXHJQI-UHFFFAOYSA-N 0 0 425.449 -0.175 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001318439626 1074165083 /nfs/dbraw/zinc/16/50/83/1074165083.db2.gz XQKZFRUIANGQLJ-UHFFFAOYSA-N 0 0 446.551 -0.722 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318441313 1074165103 /nfs/dbraw/zinc/16/51/03/1074165103.db2.gz INIASCCTPIFUNP-CABCVRRESA-N 0 0 444.506 -0.633 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318441316 1074165088 /nfs/dbraw/zinc/16/50/88/1074165088.db2.gz INIASCCTPIFUNP-GJZGRUSLSA-N 0 0 444.506 -0.633 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318441317 1074164990 /nfs/dbraw/zinc/16/49/90/1074164990.db2.gz INIASCCTPIFUNP-HUUCEWRRSA-N 0 0 444.506 -0.633 20 0 IBADRN CCOC(=O)C1CCN(C(=O)COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318441320 1074165030 /nfs/dbraw/zinc/16/50/30/1074165030.db2.gz INIASCCTPIFUNP-LSDHHAIUSA-N 0 0 444.506 -0.633 20 0 IBADRN O=C(COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318442692 1074165078 /nfs/dbraw/zinc/16/50/78/1074165078.db2.gz YDBIRMJYJNYEQF-AWEZNQCLSA-N 0 0 445.519 -0.671 20 0 IBADRN O=C(COC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318442694 1074164972 /nfs/dbraw/zinc/16/49/72/1074164972.db2.gz YDBIRMJYJNYEQF-CQSZACIVSA-N 0 0 445.519 -0.671 20 0 IBADRN COCC(=O)NCCC(=O)N1CCN(CCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC001318443746 1074165070 /nfs/dbraw/zinc/16/50/70/1074165070.db2.gz IBZQQBYEQIHFOZ-UHFFFAOYSA-N 0 0 426.539 -0.430 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001318446702 1074164977 /nfs/dbraw/zinc/16/49/77/1074164977.db2.gz XKFDYKWHLDINGR-GFCCVEGCSA-N 0 0 437.565 -0.302 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001318446704 1074165015 /nfs/dbraw/zinc/16/50/15/1074165015.db2.gz XKFDYKWHLDINGR-LBPRGKRZSA-N 0 0 437.565 -0.302 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC001318447466 1074165092 /nfs/dbraw/zinc/16/50/92/1074165092.db2.gz VMCLTORCKHYFAR-CEKAGXKUSA-N 0 0 427.505 -0.144 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC001318447467 1074165034 /nfs/dbraw/zinc/16/50/34/1074165034.db2.gz VMCLTORCKHYFAR-IHNWGIHHSA-N 0 0 427.505 -0.144 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@H]2CCCN2C(=O)/C=C/c2ccc(C(N)=O)cc2)C1 ZINC001318447468 1074164997 /nfs/dbraw/zinc/16/49/97/1074164997.db2.gz VMCLTORCKHYFAR-INHTXZAOSA-N 0 0 427.505 -0.144 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2CCCN2C(=O)/C=C\c2ccc(C(N)=O)cc2)C1 ZINC001318447469 1074165116 /nfs/dbraw/zinc/16/51/16/1074165116.db2.gz VMCLTORCKHYFAR-ISRKCNITSA-N 0 0 427.505 -0.144 20 0 IBADRN COc1c(F)cc(CC(=O)NC2CCN(CC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC001318448708 1074165021 /nfs/dbraw/zinc/16/50/21/1074165021.db2.gz ACJBNNCSLGLGIZ-UHFFFAOYSA-N 0 0 430.502 -0.307 20 0 IBADRN CCCCN(C(=O)COC(=O)Cn1ncn2nccc2c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001318449527 1074164984 /nfs/dbraw/zinc/16/49/84/1074164984.db2.gz YGJJHBWZSQFBPA-CYBMUJFWSA-N 0 0 425.467 -0.750 20 0 IBADRN CCCCN(C(=O)COC(=O)Cn1ncn2nccc2c1=O)[C@H]1CCS(=O)(=O)C1 ZINC001318449529 1074165047 /nfs/dbraw/zinc/16/50/47/1074165047.db2.gz YGJJHBWZSQFBPA-ZDUSSCGKSA-N 0 0 425.467 -0.750 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001318450835 1074165052 /nfs/dbraw/zinc/16/50/52/1074165052.db2.gz REJIUWUVCIHCIO-CYBMUJFWSA-N 0 0 437.522 -0.427 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CCC(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001318451183 1074165737 /nfs/dbraw/zinc/16/57/37/1074165737.db2.gz REJIUWUVCIHCIO-ZDUSSCGKSA-N 0 0 437.522 -0.427 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)NCCN1C(=O)CNC1=O)n2C ZINC001318455698 1074165631 /nfs/dbraw/zinc/16/56/31/1074165631.db2.gz MOVJIVZSIIKURA-UHFFFAOYSA-N 0 0 436.494 -0.576 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N(C)CCNS(C)(=O)=O)CC2)c1 ZINC001318455839 1074165763 /nfs/dbraw/zinc/16/57/63/1074165763.db2.gz ONWPXVVBKMLITO-UHFFFAOYSA-N 0 0 432.568 -0.546 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001318457355 1074165504 /nfs/dbraw/zinc/16/55/04/1074165504.db2.gz RRLPRVPAYJNVDF-UHFFFAOYSA-N 0 0 449.551 -0.086 20 0 IBADRN CN(CCNS(C)(=O)=O)CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC001318457429 1074165770 /nfs/dbraw/zinc/16/57/70/1074165770.db2.gz SRUOWIVLEFYGOU-INIZCTEOSA-N 0 0 432.524 -0.704 20 0 IBADRN CN(CCNS(C)(=O)=O)CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O ZINC001318457431 1074165801 /nfs/dbraw/zinc/16/58/01/1074165801.db2.gz SRUOWIVLEFYGOU-MRXNPFEDSA-N 0 0 432.524 -0.704 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001318459727 1074165598 /nfs/dbraw/zinc/16/55/98/1074165598.db2.gz HTMMBHCPOFMNPJ-SECBINFHSA-N 0 0 439.357 -0.145 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001318459729 1074165521 /nfs/dbraw/zinc/16/55/21/1074165521.db2.gz HTMMBHCPOFMNPJ-VIFPVBQESA-N 0 0 439.357 -0.145 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC001318459988 1074165748 /nfs/dbraw/zinc/16/57/48/1074165748.db2.gz CJXATYDTVBFZQW-HNNXBMFYSA-N 0 0 433.534 -0.087 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC001318459989 1074165677 /nfs/dbraw/zinc/16/56/77/1074165677.db2.gz CJXATYDTVBFZQW-OAHLLOKOSA-N 0 0 433.534 -0.087 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC2CCN(CC(=O)NC)CC2)c1 ZINC001318460572 1074165709 /nfs/dbraw/zinc/16/57/09/1074165709.db2.gz BWCNYHUVXBWJCX-UHFFFAOYSA-N 0 0 441.554 -0.795 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC001318461698 1074165778 /nfs/dbraw/zinc/16/57/78/1074165778.db2.gz USUOIYRFEXZMLC-UHFFFAOYSA-N 0 0 440.570 -0.246 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)CCNS(C)(=O)=O)CC2)cc1 ZINC001318463735 1074165815 /nfs/dbraw/zinc/16/58/15/1074165815.db2.gz CMYSOYKSKXHKET-UHFFFAOYSA-N 0 0 432.568 -0.691 20 0 IBADRN COc1ccccc1-n1cnnc1S(=O)(=O)[C@H](C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318467093 1074165794 /nfs/dbraw/zinc/16/57/94/1074165794.db2.gz GBPMXUUCJXXYOF-NEPJUHHUSA-N 0 0 428.492 -0.259 20 0 IBADRN COc1ccccc1-n1cnnc1S(=O)(=O)[C@@H](C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318467098 1074165573 /nfs/dbraw/zinc/16/55/73/1074165573.db2.gz GBPMXUUCJXXYOF-NWDGAFQWSA-N 0 0 428.492 -0.259 20 0 IBADRN COc1ccccc1-n1cnnc1S(=O)(=O)[C@@H](C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318467099 1074165665 /nfs/dbraw/zinc/16/56/65/1074165665.db2.gz GBPMXUUCJXXYOF-RYUDHWBXSA-N 0 0 428.492 -0.259 20 0 IBADRN COc1ccccc1-n1cnnc1S(=O)(=O)[C@H](C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318467100 1074166160 /nfs/dbraw/zinc/16/61/60/1074166160.db2.gz GBPMXUUCJXXYOF-VXGBXAGGSA-N 0 0 428.492 -0.259 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@@H]2CCCN(C(N)=O)C2)CC1 ZINC001318468000 1074165988 /nfs/dbraw/zinc/16/59/88/1074165988.db2.gz QRTWMQBCDBIFSB-CYBMUJFWSA-N 0 0 430.469 -0.654 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)[C@H]2CCCN(C(N)=O)C2)CC1 ZINC001318468002 1074166150 /nfs/dbraw/zinc/16/61/50/1074166150.db2.gz QRTWMQBCDBIFSB-ZDUSSCGKSA-N 0 0 430.469 -0.654 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)Cn3cnnc3[S@@](C)=O)CC2)c1 ZINC001318468332 1074166014 /nfs/dbraw/zinc/16/60/14/1074166014.db2.gz GBUFCTHXOXFDSC-MUUNZHRXSA-N 0 0 439.519 -0.249 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)Cn3cnnc3[S@](C)=O)CC2)c1 ZINC001318468333 1074166130 /nfs/dbraw/zinc/16/61/30/1074166130.db2.gz GBUFCTHXOXFDSC-NDEPHWFRSA-N 0 0 439.519 -0.249 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC001318468396 1074166000 /nfs/dbraw/zinc/16/60/00/1074166000.db2.gz IYEZKGBLOIKSMK-UHFFFAOYSA-N 0 0 438.416 -0.298 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnnc3[S@@](C)=O)CC2)cc1 ZINC001318469172 1074165967 /nfs/dbraw/zinc/16/59/67/1074165967.db2.gz ORORJABJRHJRQJ-MUUNZHRXSA-N 0 0 439.519 -0.249 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cnnc3[S@](C)=O)CC2)cc1 ZINC001318469174 1074166144 /nfs/dbraw/zinc/16/61/44/1074166144.db2.gz ORORJABJRHJRQJ-NDEPHWFRSA-N 0 0 439.519 -0.249 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CN3C(=O)CN(C4CCCC4)C3=O)CC2)o1 ZINC001318470739 1074166086 /nfs/dbraw/zinc/16/60/86/1074166086.db2.gz HKGCKBNOKHGVSQ-UHFFFAOYSA-N 0 0 439.494 -0.151 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001318472077 1074166104 /nfs/dbraw/zinc/16/61/04/1074166104.db2.gz RWPFDFDRMVFWFM-UHFFFAOYSA-N 0 0 425.554 -0.681 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001318472934 1074166167 /nfs/dbraw/zinc/16/61/67/1074166167.db2.gz GCRJVQKAJPMAJX-GFCCVEGCSA-N 0 0 443.503 -0.251 20 0 IBADRN O=C(CN1CCC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001318472935 1074166043 /nfs/dbraw/zinc/16/60/43/1074166043.db2.gz GCRJVQKAJPMAJX-LBPRGKRZSA-N 0 0 443.503 -0.251 20 0 IBADRN CN(C)C(=O)c1cccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001318476592 1074166056 /nfs/dbraw/zinc/16/60/56/1074166056.db2.gz ISLKOXSKDIIIKI-KRWDZBQOSA-N 0 0 437.518 -0.124 20 0 IBADRN CN(C)C(=O)c1cccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001318476593 1074166024 /nfs/dbraw/zinc/16/60/24/1074166024.db2.gz ISLKOXSKDIIIKI-QGZVFWFLSA-N 0 0 437.518 -0.124 20 0 IBADRN O=C(Nc1nc(CN2CCOCC2)cs1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001318479115 1074166188 /nfs/dbraw/zinc/16/61/88/1074166188.db2.gz OPPOZHQKAYEVNP-GXTWGEPZSA-N 0 0 428.536 -0.051 20 0 IBADRN O=C(Nc1nc(CN2CCOCC2)cs1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001318479133 1074165975 /nfs/dbraw/zinc/16/59/75/1074165975.db2.gz OPPOZHQKAYEVNP-JSGCOSHPSA-N 0 0 428.536 -0.051 20 0 IBADRN O=C(Nc1nc(CN2CCOCC2)cs1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001318479135 1074166137 /nfs/dbraw/zinc/16/61/37/1074166137.db2.gz OPPOZHQKAYEVNP-OCCSQVGLSA-N 0 0 428.536 -0.051 20 0 IBADRN O=C(Nc1nc(CN2CCOCC2)cs1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001318479137 1074166178 /nfs/dbraw/zinc/16/61/78/1074166178.db2.gz OPPOZHQKAYEVNP-TZMCWYRMSA-N 0 0 428.536 -0.051 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318479298 1074166123 /nfs/dbraw/zinc/16/61/23/1074166123.db2.gz SRQWDSLNGIOQOJ-KBPBESRZSA-N 0 0 447.521 -0.953 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318479299 1074166064 /nfs/dbraw/zinc/16/60/64/1074166064.db2.gz SRQWDSLNGIOQOJ-KGLIPLIRSA-N 0 0 447.521 -0.953 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318479300 1074166696 /nfs/dbraw/zinc/16/66/96/1074166696.db2.gz SRQWDSLNGIOQOJ-UONOGXRCSA-N 0 0 447.521 -0.953 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318479301 1074166708 /nfs/dbraw/zinc/16/67/08/1074166708.db2.gz SRQWDSLNGIOQOJ-ZIAGYGMSSA-N 0 0 447.521 -0.953 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)s1 ZINC001318479321 1074166726 /nfs/dbraw/zinc/16/67/26/1074166726.db2.gz SZOHOSBTLBNZOO-GHMZBOCLSA-N 0 0 435.549 -0.692 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)s1 ZINC001318479323 1074166748 /nfs/dbraw/zinc/16/67/48/1074166748.db2.gz SZOHOSBTLBNZOO-MNOVXSKESA-N 0 0 435.549 -0.692 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)s1 ZINC001318479325 1074166718 /nfs/dbraw/zinc/16/67/18/1074166718.db2.gz SZOHOSBTLBNZOO-QWRGUYRKSA-N 0 0 435.549 -0.692 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)s1 ZINC001318479326 1074166767 /nfs/dbraw/zinc/16/67/67/1074166767.db2.gz SZOHOSBTLBNZOO-WDEREUQCSA-N 0 0 435.549 -0.692 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)n1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O ZINC001318481344 1074166750 /nfs/dbraw/zinc/16/67/50/1074166750.db2.gz LHFNSZBRGGPICN-GHMZBOCLSA-N 0 0 431.492 -0.291 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)n1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O ZINC001318481345 1074166704 /nfs/dbraw/zinc/16/67/04/1074166704.db2.gz LHFNSZBRGGPICN-MNOVXSKESA-N 0 0 431.492 -0.291 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)n1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O ZINC001318481346 1074166721 /nfs/dbraw/zinc/16/67/21/1074166721.db2.gz LHFNSZBRGGPICN-QWRGUYRKSA-N 0 0 431.492 -0.291 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)n1c2ccc(S(=O)(=O)N(C)C)cc2oc1=O ZINC001318481347 1074166672 /nfs/dbraw/zinc/16/66/72/1074166672.db2.gz LHFNSZBRGGPICN-WDEREUQCSA-N 0 0 431.492 -0.291 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001318482007 1074166662 /nfs/dbraw/zinc/16/66/62/1074166662.db2.gz WLXYNGALYBUEHN-GOSISDBHSA-N 0 0 437.541 -0.704 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001318482008 1074166740 /nfs/dbraw/zinc/16/67/40/1074166740.db2.gz WLXYNGALYBUEHN-SFHVURJKSA-N 0 0 437.541 -0.704 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001318482780 1074166772 /nfs/dbraw/zinc/16/67/72/1074166772.db2.gz HTNSCCPCGKRSNG-HNNXBMFYSA-N 0 0 441.558 -0.527 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001318482781 1074166680 /nfs/dbraw/zinc/16/66/80/1074166680.db2.gz HTNSCCPCGKRSNG-OAHLLOKOSA-N 0 0 441.558 -0.527 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001318484594 1074166752 /nfs/dbraw/zinc/16/67/52/1074166752.db2.gz CAOQROBQTXVSSX-INIZCTEOSA-N 0 0 445.563 -0.151 20 0 IBADRN CN(CCN1CCCC1=O)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001318484616 1074166649 /nfs/dbraw/zinc/16/66/49/1074166649.db2.gz CAOQROBQTXVSSX-MRXNPFEDSA-N 0 0 445.563 -0.151 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)N(C)CCNS(C)(=O)=O)CC1 ZINC001318485003 1074166733 /nfs/dbraw/zinc/16/67/33/1074166733.db2.gz ZAMSPWKEZYVRSI-UHFFFAOYSA-N 0 0 435.547 -0.306 20 0 IBADRN C[C@@H](OC(=O)Cn1ncn2nccc2c1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC001318485463 1074166758 /nfs/dbraw/zinc/16/67/58/1074166758.db2.gz PDIHVLIUMVZQOA-CYBMUJFWSA-N 0 0 428.405 -0.600 20 0 IBADRN C[C@H](OC(=O)Cn1ncn2nccc2c1=O)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC001318485464 1074166785 /nfs/dbraw/zinc/16/67/85/1074166785.db2.gz PDIHVLIUMVZQOA-ZDUSSCGKSA-N 0 0 428.405 -0.600 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCN1C(=O)CCC1=O ZINC001318486915 1074167319 /nfs/dbraw/zinc/16/73/19/1074167319.db2.gz KXMIDHDCOHTBRH-AWEZNQCLSA-N 0 0 439.490 -0.507 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCCN1C(=O)CCC1=O ZINC001318486916 1074167190 /nfs/dbraw/zinc/16/71/90/1074167190.db2.gz KXMIDHDCOHTBRH-CQSZACIVSA-N 0 0 439.490 -0.507 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@@H]2CCN(C(N)=O)C2)c1 ZINC001318489758 1074167252 /nfs/dbraw/zinc/16/72/52/1074167252.db2.gz UNZPUQZFPAFMDQ-CYBMUJFWSA-N 0 0 425.511 -0.344 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@H]2CCN(C(N)=O)C2)c1 ZINC001318489759 1074167228 /nfs/dbraw/zinc/16/72/28/1074167228.db2.gz UNZPUQZFPAFMDQ-ZDUSSCGKSA-N 0 0 425.511 -0.344 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCS(=O)(=O)CC2)c1 ZINC001318489775 1074167308 /nfs/dbraw/zinc/16/73/08/1074167308.db2.gz GGACKSGQEISGSF-UHFFFAOYSA-N 0 0 431.536 -0.356 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)NCC1(NC(=O)CS(=O)(=O)C(C)C)CCOCC1 ZINC001318490015 1074167244 /nfs/dbraw/zinc/16/72/44/1074167244.db2.gz GLPGADWQPCSMSL-UHFFFAOYSA-N 0 0 426.557 -0.586 20 0 IBADRN CN(CCNS(C)(=O)=O)S(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001318501314 1074167289 /nfs/dbraw/zinc/16/72/89/1074167289.db2.gz YEWRVBMXCWLZPK-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CCc2nc3c(cnn3C)c(=O)[nH]2)CC1 ZINC001318501551 1074167352 /nfs/dbraw/zinc/16/73/52/1074167352.db2.gz DLLJOYAROCODMT-AWEZNQCLSA-N 0 0 433.513 -0.313 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CCc2nc3c(cnn3C)c(=O)[nH]2)CC1 ZINC001318501556 1074167202 /nfs/dbraw/zinc/16/72/02/1074167202.db2.gz DLLJOYAROCODMT-CQSZACIVSA-N 0 0 433.513 -0.313 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCCN(CC(N)=O)CC2)CC1 ZINC001318502662 1074167273 /nfs/dbraw/zinc/16/72/73/1074167273.db2.gz LAEIZTSJTYRLPR-UHFFFAOYSA-N 0 0 427.527 -0.288 20 0 IBADRN CCN(C(=O)CN1CCN([C@@H](C)C(=O)NCCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001318507183 1074167357 /nfs/dbraw/zinc/16/73/57/1074167357.db2.gz MBLYWOAAAHZJHZ-DLBZAZTESA-N 0 0 432.587 -0.819 20 0 IBADRN CCN(C(=O)CN1CCN([C@H](C)C(=O)NCCCOC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001318507192 1074167296 /nfs/dbraw/zinc/16/72/96/1074167296.db2.gz MBLYWOAAAHZJHZ-IAGOWNOFSA-N 0 0 432.587 -0.819 20 0 IBADRN CCN(C(=O)CN1CCN([C@@H](C)C(=O)NCCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001318507193 1074167381 /nfs/dbraw/zinc/16/73/81/1074167381.db2.gz MBLYWOAAAHZJHZ-IRXDYDNUSA-N 0 0 432.587 -0.819 20 0 IBADRN CCN(C(=O)CN1CCN([C@H](C)C(=O)NCCCOC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001318507194 1074167759 /nfs/dbraw/zinc/16/77/59/1074167759.db2.gz MBLYWOAAAHZJHZ-SJORKVTESA-N 0 0 432.587 -0.819 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)NC2CCN(CC(=O)NC)CC2)CC1 ZINC001318508290 1074167734 /nfs/dbraw/zinc/16/77/34/1074167734.db2.gz XBAHZTVUYGSNEU-UHFFFAOYSA-N 0 0 425.530 -0.360 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@H]3CCCN(CC(N)=O)C3)CC2)c1 ZINC001318509809 1074167658 /nfs/dbraw/zinc/16/76/58/1074167658.db2.gz PIGOZUZIMUKKHA-KRWDZBQOSA-N 0 0 437.566 -0.487 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@@H]3CCCN(CC(N)=O)C3)CC2)c1 ZINC001318509810 1074167798 /nfs/dbraw/zinc/16/77/98/1074167798.db2.gz PIGOZUZIMUKKHA-QGZVFWFLSA-N 0 0 437.566 -0.487 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001318513451 1074167671 /nfs/dbraw/zinc/16/76/71/1074167671.db2.gz GCSYNKMZZFKEKZ-UHFFFAOYSA-N 0 0 435.524 -0.476 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3CCS(=O)(=O)CC3)CC2)c1 ZINC001318513589 1074167778 /nfs/dbraw/zinc/16/77/78/1074167778.db2.gz IENVIEUEZISWLD-UHFFFAOYSA-N 0 0 443.547 -0.895 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)C1 ZINC001318513657 1074167711 /nfs/dbraw/zinc/16/77/11/1074167711.db2.gz MURZDOPIUJMHMD-AWEZNQCLSA-N 0 0 427.527 -0.200 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)C1 ZINC001318513660 1074167626 /nfs/dbraw/zinc/16/76/26/1074167626.db2.gz MURZDOPIUJMHMD-CQSZACIVSA-N 0 0 427.527 -0.200 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN(C)CCNS(C)(=O)=O)c1=O ZINC001318513683 1074167639 /nfs/dbraw/zinc/16/76/39/1074167639.db2.gz HZYVDARJHGTPLX-UHFFFAOYSA-N 0 0 433.556 -0.488 20 0 IBADRN O=C1CN(C2CCCC2)C(=O)N1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318513698 1074167793 /nfs/dbraw/zinc/16/77/93/1074167793.db2.gz IEVUYTKSMUMOMD-HNNXBMFYSA-N 0 0 448.567 -0.715 20 0 IBADRN O=C1CN(C2CCCC2)C(=O)N1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318513699 1074167687 /nfs/dbraw/zinc/16/76/87/1074167687.db2.gz IEVUYTKSMUMOMD-OAHLLOKOSA-N 0 0 448.567 -0.715 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N(C)CCNS(C)(=O)=O ZINC001318514435 1074167768 /nfs/dbraw/zinc/16/77/68/1074167768.db2.gz NTCOOKTVJGGHJO-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CCCN3C(=O)CNC3=O)CC2)c1 ZINC001318517350 1074167692 /nfs/dbraw/zinc/16/76/92/1074167692.db2.gz INACYTYMWUWCJG-UHFFFAOYSA-N 0 0 436.490 -0.294 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)NCc2cccnc2N2CCC(C(N)=O)CC2)CC1 ZINC001318518733 1074167748 /nfs/dbraw/zinc/16/77/48/1074167748.db2.gz IAINIKZOTFAEIU-UHFFFAOYSA-N 0 0 438.554 -0.040 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C2CCN(c3ccc4nncn4n3)CC2)CC1 ZINC001318522264 1074167677 /nfs/dbraw/zinc/16/76/77/1074167677.db2.gz ROACPGAXYOPFRS-UHFFFAOYSA-N 0 0 430.513 -0.753 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001318523061 1074167789 /nfs/dbraw/zinc/16/77/89/1074167789.db2.gz XKGLLFXYDAFNPS-AWEZNQCLSA-N 0 0 429.520 -0.255 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001318523066 1074167722 /nfs/dbraw/zinc/16/77/22/1074167722.db2.gz XKGLLFXYDAFNPS-CQSZACIVSA-N 0 0 429.520 -0.255 20 0 IBADRN NC(=O)CCNC(=O)c1cccc(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001318525718 1074167648 /nfs/dbraw/zinc/16/76/48/1074167648.db2.gz FSXQWERJFZPYPS-UHFFFAOYSA-N 0 0 432.481 -0.107 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC(CS(N)(=O)=O)CC1)c2=O ZINC001318527340 1074167704 /nfs/dbraw/zinc/16/77/04/1074167704.db2.gz AJLAXYPMSOAVMO-UHFFFAOYSA-N 0 0 447.315 -0.580 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC001318530912 1074168246 /nfs/dbraw/zinc/16/82/46/1074168246.db2.gz MSDVBUYPFOCWDQ-UHFFFAOYSA-N 0 0 425.449 -0.408 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001318531027 1074168336 /nfs/dbraw/zinc/16/83/36/1074168336.db2.gz OCGFZUCXSIQQOQ-UHFFFAOYSA-N 0 0 427.446 -0.816 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)Cn3ncn4nccc4c3=O)CC2)c(OC)c1 ZINC001318531257 1074168277 /nfs/dbraw/zinc/16/82/77/1074168277.db2.gz QZDQSVJPEHRZCT-UHFFFAOYSA-N 0 0 426.433 -0.107 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCCN(C(N)=O)CC1 ZINC001318531867 1074168325 /nfs/dbraw/zinc/16/83/25/1074168325.db2.gz QCHULLJFWKKDAQ-UHFFFAOYSA-N 0 0 426.495 -0.057 20 0 IBADRN CCOC(=O)c1cnn(C)c1NC(=O)CN1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001318531960 1074168240 /nfs/dbraw/zinc/16/82/40/1074168240.db2.gz VVXCGADWHNEMPK-UHFFFAOYSA-N 0 0 448.524 -0.029 20 0 IBADRN Cc1ccc(NC(=O)CN(C)C(=O)CN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)cc1 ZINC001318533506 1074168318 /nfs/dbraw/zinc/16/83/18/1074168318.db2.gz GCWWCSRAZCDEOO-UHFFFAOYSA-N 0 0 436.490 -0.109 20 0 IBADRN Cn1cc(C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)c(=O)n(C)c1=O ZINC001318533789 1074168257 /nfs/dbraw/zinc/16/82/57/1074168257.db2.gz MYYJKPVDSQGTTM-UHFFFAOYSA-N 0 0 434.474 -0.914 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)NCCC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC001318534651 1074168289 /nfs/dbraw/zinc/16/82/89/1074168289.db2.gz RSJVOHBFEDBIMA-UHFFFAOYSA-N 0 0 427.440 -0.115 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C[C@H]2C=CS(=O)(=O)C2)CC1 ZINC001318536238 1074168294 /nfs/dbraw/zinc/16/82/94/1074168294.db2.gz PLRDYAQIYALWTA-CYBMUJFWSA-N 0 0 434.478 -0.497 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C[C@@H]2C=CS(=O)(=O)C2)CC1 ZINC001318536240 1074168221 /nfs/dbraw/zinc/16/82/21/1074168221.db2.gz PLRDYAQIYALWTA-ZDUSSCGKSA-N 0 0 434.478 -0.497 20 0 IBADRN COc1ccc(CNC(=O)CN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)cc1OC ZINC001318538136 1074168300 /nfs/dbraw/zinc/16/83/00/1074168300.db2.gz SOEQATIPKGHMBC-UHFFFAOYSA-N 0 0 425.463 -0.181 20 0 IBADRN O=C(CCCn1ccccc1=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318539404 1074168341 /nfs/dbraw/zinc/16/83/41/1074168341.db2.gz NXZVQCFXCGAYSR-INIZCTEOSA-N 0 0 425.507 -0.497 20 0 IBADRN O=C(CCCn1ccccc1=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318539406 1074168250 /nfs/dbraw/zinc/16/82/50/1074168250.db2.gz NXZVQCFXCGAYSR-MRXNPFEDSA-N 0 0 425.507 -0.497 20 0 IBADRN CN(CC(=O)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001318545911 1074168344 /nfs/dbraw/zinc/16/83/44/1074168344.db2.gz SOORVICVPSMGAQ-CYBMUJFWSA-N 0 0 449.517 -0.080 20 0 IBADRN CN(CC(=O)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001318545912 1074168308 /nfs/dbraw/zinc/16/83/08/1074168308.db2.gz SOORVICVPSMGAQ-ZDUSSCGKSA-N 0 0 449.517 -0.080 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318546353 1074168331 /nfs/dbraw/zinc/16/83/31/1074168331.db2.gz WZQLZNWLXKOHNK-LLVKDONJSA-N 0 0 435.490 -0.422 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318546357 1074168802 /nfs/dbraw/zinc/16/88/02/1074168802.db2.gz WZQLZNWLXKOHNK-NSHDSACASA-N 0 0 435.490 -0.422 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)CCn2cc[nH]c(=O)c2=O)CC1 ZINC001318547982 1074168821 /nfs/dbraw/zinc/16/88/21/1074168821.db2.gz GLUUALSDBQMZRU-UHFFFAOYSA-N 0 0 426.417 -0.258 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)C1=O ZINC001318548852 1074168771 /nfs/dbraw/zinc/16/87/71/1074168771.db2.gz MWZFCTRENJGJCF-UHFFFAOYSA-N 0 0 428.389 -0.778 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CC[C@H](NS(C)(=O)=O)C2)CC1 ZINC001318554119 1074168861 /nfs/dbraw/zinc/16/88/61/1074168861.db2.gz GQWGQRFGFGKKMB-AWEZNQCLSA-N 0 0 433.556 -0.423 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)CC1 ZINC001318554124 1074168747 /nfs/dbraw/zinc/16/87/47/1074168747.db2.gz GQWGQRFGFGKKMB-CQSZACIVSA-N 0 0 433.556 -0.423 20 0 IBADRN COc1cc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(OC)c1OCC(N)=O ZINC001318554495 1074168794 /nfs/dbraw/zinc/16/87/94/1074168794.db2.gz FPDLCFDOBOQNCZ-CABCVRRESA-N 0 0 443.522 -0.845 20 0 IBADRN COc1cc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(OC)c1OCC(N)=O ZINC001318554496 1074168834 /nfs/dbraw/zinc/16/88/34/1074168834.db2.gz FPDLCFDOBOQNCZ-GJZGRUSLSA-N 0 0 443.522 -0.845 20 0 IBADRN COc1cc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(OC)c1OCC(N)=O ZINC001318554497 1074168754 /nfs/dbraw/zinc/16/87/54/1074168754.db2.gz FPDLCFDOBOQNCZ-HUUCEWRRSA-N 0 0 443.522 -0.845 20 0 IBADRN COc1cc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(OC)c1OCC(N)=O ZINC001318554498 1074168875 /nfs/dbraw/zinc/16/88/75/1074168875.db2.gz FPDLCFDOBOQNCZ-LSDHHAIUSA-N 0 0 443.522 -0.845 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001318554736 1074168764 /nfs/dbraw/zinc/16/87/64/1074168764.db2.gz KNQYHHZHOOWHLG-APIJFGDWSA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001318554737 1074168867 /nfs/dbraw/zinc/16/88/67/1074168867.db2.gz KNQYHHZHOOWHLG-CBBWQLFWSA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001318554738 1074168815 /nfs/dbraw/zinc/16/88/15/1074168815.db2.gz KNQYHHZHOOWHLG-KBUPBQIOSA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001318554739 1074168856 /nfs/dbraw/zinc/16/88/56/1074168856.db2.gz KNQYHHZHOOWHLG-LXTVHRRPSA-N 0 0 435.568 -0.754 20 0 IBADRN Cc1nnc(SCC(=O)NC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)o1 ZINC001318556665 1074168714 /nfs/dbraw/zinc/16/87/14/1074168714.db2.gz PGKCMMVCVOAODZ-UHFFFAOYSA-N 0 0 429.480 -0.214 20 0 IBADRN Cn1c(C(=O)OCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)cc(Br)c1C=O ZINC001318557020 1074169316 /nfs/dbraw/zinc/16/93/16/1074169316.db2.gz HUSOFARMOYFJIX-UHFFFAOYSA-N 0 0 427.211 -0.381 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CC[C@H](NS(C)(=O)=O)C2)CC1 ZINC001318557163 1074169178 /nfs/dbraw/zinc/16/91/78/1074169178.db2.gz ZXAUMQCBIHQQAZ-AWEZNQCLSA-N 0 0 434.540 -0.158 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001318557188 1074168701 /nfs/dbraw/zinc/16/87/01/1074168701.db2.gz QQWKBFBKJJCXHR-LLVKDONJSA-N 0 0 436.483 -0.028 20 0 IBADRN CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001318557189 1074168810 /nfs/dbraw/zinc/16/88/10/1074168810.db2.gz QQWKBFBKJJCXHR-NSHDSACASA-N 0 0 436.483 -0.028 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)CC1 ZINC001318557238 1074169225 /nfs/dbraw/zinc/16/92/25/1074169225.db2.gz ZXAUMQCBIHQQAZ-CQSZACIVSA-N 0 0 434.540 -0.158 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001318557905 1074169205 /nfs/dbraw/zinc/16/92/05/1074169205.db2.gz NZMOCDJZCFBNMZ-UHFFFAOYSA-N 0 0 447.583 -0.687 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(CS(C)(=O)=O)cc2)CC1 ZINC001318559933 1074169229 /nfs/dbraw/zinc/16/92/29/1074169229.db2.gz VSYWCTGFVZKXKH-UHFFFAOYSA-N 0 0 431.536 -0.410 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1cc(=O)n2ccccc2n1)[C@H]1CCS(=O)(=O)C1 ZINC001318564084 1074169281 /nfs/dbraw/zinc/16/92/81/1074169281.db2.gz KRVCNQGTHOBEAD-AWEZNQCLSA-N 0 0 427.504 -0.355 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1cc(=O)n2ccccc2n1)[C@@H]1CCS(=O)(=O)C1 ZINC001318564085 1074169323 /nfs/dbraw/zinc/16/93/23/1074169323.db2.gz KRVCNQGTHOBEAD-CQSZACIVSA-N 0 0 427.504 -0.355 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1nnnn1Cc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001318565222 1074169195 /nfs/dbraw/zinc/16/91/95/1074169195.db2.gz XVOVYEWWLQXFNY-HNNXBMFYSA-N 0 0 441.535 -0.328 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1nnnn1Cc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC001318565223 1074169203 /nfs/dbraw/zinc/16/92/03/1074169203.db2.gz XVOVYEWWLQXFNY-OAHLLOKOSA-N 0 0 441.535 -0.328 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N(C)CCNS(C)(=O)=O)c1 ZINC001318565699 1074169307 /nfs/dbraw/zinc/16/93/07/1074169307.db2.gz AKPBEGISMQDBEF-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN Cc1[nH]c(=O)[nH]c(=O)c1CCC(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001318566708 1074169292 /nfs/dbraw/zinc/16/92/92/1074169292.db2.gz DKTRQISRGIAMAG-UHFFFAOYSA-N 0 0 434.541 -0.174 20 0 IBADRN CN(C(=O)c1ccnc(-n2cncn2)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001318567585 1074169331 /nfs/dbraw/zinc/16/93/31/1074169331.db2.gz NLSQFOGQOZIJGJ-UHFFFAOYSA-N 0 0 426.525 -0.027 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC001318567701 1074169236 /nfs/dbraw/zinc/16/92/36/1074169236.db2.gz VNKQGSGJVCWUBJ-INIZCTEOSA-N 0 0 429.481 -0.085 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC001318567702 1074169182 /nfs/dbraw/zinc/16/91/82/1074169182.db2.gz VNKQGSGJVCWUBJ-MRXNPFEDSA-N 0 0 429.481 -0.085 20 0 IBADRN CN(C(=O)c1cnc2n(c1=O)CCS2)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001318568230 1074169160 /nfs/dbraw/zinc/16/91/60/1074169160.db2.gz WPYBINDEQAGDQZ-UHFFFAOYSA-N 0 0 434.566 -0.341 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)NCCN2C(=O)CNC2=O)CC1 ZINC001318573314 1074169254 /nfs/dbraw/zinc/16/92/54/1074169254.db2.gz UKOCYHUURDZJNF-UHFFFAOYSA-N 0 0 429.421 -0.464 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001318573928 1074169262 /nfs/dbraw/zinc/16/92/62/1074169262.db2.gz ZWYYMLNDIYDRNM-GFCCVEGCSA-N 0 0 434.492 -0.829 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001318573929 1074169216 /nfs/dbraw/zinc/16/92/16/1074169216.db2.gz ZWYYMLNDIYDRNM-LBPRGKRZSA-N 0 0 434.492 -0.829 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(C(=O)N4CCOCC4)CC3)ccc21 ZINC001318576004 1074169892 /nfs/dbraw/zinc/16/98/92/1074169892.db2.gz JXFCGZOGIOAGOO-UHFFFAOYSA-N 0 0 443.504 -0.078 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)C2)cn1 ZINC001318579598 1074169931 /nfs/dbraw/zinc/16/99/31/1074169931.db2.gz YXNQLBIZIFYFFN-AWEZNQCLSA-N 0 0 434.522 -0.087 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)C2)cn1 ZINC001318579600 1074169896 /nfs/dbraw/zinc/16/98/96/1074169896.db2.gz YXNQLBIZIFYFFN-CQSZACIVSA-N 0 0 434.522 -0.087 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)ccc21 ZINC001318581552 1074169922 /nfs/dbraw/zinc/16/99/22/1074169922.db2.gz UPWJFKDTYAVOKZ-UHFFFAOYSA-N 0 0 443.504 -0.478 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC001318581585 1074169900 /nfs/dbraw/zinc/16/99/00/1074169900.db2.gz WLHKDXJVIDAZPI-KRWDZBQOSA-N 0 0 429.477 -0.821 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC001318581586 1074169918 /nfs/dbraw/zinc/16/99/18/1074169918.db2.gz WLHKDXJVIDAZPI-QGZVFWFLSA-N 0 0 429.477 -0.821 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)C1CCN(c2ccc3nnnn3n2)CC1 ZINC001318583855 1074169914 /nfs/dbraw/zinc/16/99/14/1074169914.db2.gz GIJLTKODSZTJLO-UHFFFAOYSA-N 0 0 440.555 -0.770 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC001318583950 1074169936 /nfs/dbraw/zinc/16/99/36/1074169936.db2.gz AFUNPBRBVJSCEJ-UHFFFAOYSA-N 0 0 429.886 -0.196 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC001318588403 1074169885 /nfs/dbraw/zinc/16/98/85/1074169885.db2.gz WOQMIVPCODBFOQ-UHFFFAOYSA-N 0 0 440.541 -0.479 20 0 IBADRN COc1ccc(C(=O)N2CCC(NC(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)cc1OC ZINC001318589971 1074169904 /nfs/dbraw/zinc/16/99/04/1074169904.db2.gz NYTGKWPNTXZNIR-UHFFFAOYSA-N 0 0 432.433 -0.155 20 0 IBADRN CC(=O)N1CCc2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC001318591326 1074169930 /nfs/dbraw/zinc/16/99/30/1074169930.db2.gz IANLOCGAVABDII-GOSISDBHSA-N 0 0 448.545 -0.343 20 0 IBADRN CC(=O)N1CCc2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC001318591327 1074169909 /nfs/dbraw/zinc/16/99/09/1074169909.db2.gz IANLOCGAVABDII-SFHVURJKSA-N 0 0 448.545 -0.343 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318591533 1074169911 /nfs/dbraw/zinc/16/99/11/1074169911.db2.gz JYBGYYGIIBJNBL-BBRMVZONSA-N 0 0 425.555 -0.412 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318591534 1074169902 /nfs/dbraw/zinc/16/99/02/1074169902.db2.gz JYBGYYGIIBJNBL-CJNGLKHVSA-N 0 0 425.555 -0.412 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318591535 1074169915 /nfs/dbraw/zinc/16/99/15/1074169915.db2.gz JYBGYYGIIBJNBL-CZUORRHYSA-N 0 0 425.555 -0.412 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318591536 1074169901 /nfs/dbraw/zinc/16/99/01/1074169901.db2.gz JYBGYYGIIBJNBL-XJKSGUPXSA-N 0 0 425.555 -0.412 20 0 IBADRN O=C(CCc1nc2cccnc2[nH]1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318595457 1074169919 /nfs/dbraw/zinc/16/99/19/1074169919.db2.gz APYJXUVRFUOTQG-CYBMUJFWSA-N 0 0 441.535 -0.448 20 0 IBADRN O=C(CCc1nc2cccnc2[nH]1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318595458 1074169903 /nfs/dbraw/zinc/16/99/03/1074169903.db2.gz APYJXUVRFUOTQG-ZDUSSCGKSA-N 0 0 441.535 -0.448 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CS(=O)(=O)c2nnc(N3CCOCC3)n2C)c1 ZINC001318597671 1074170383 /nfs/dbraw/zinc/17/03/83/1074170383.db2.gz WSICPDZUYSLZBD-UHFFFAOYSA-N 0 0 429.524 -0.124 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001318598086 1074170450 /nfs/dbraw/zinc/17/04/50/1074170450.db2.gz DULCNDSAYXZLNJ-NRFANRHFSA-N 0 0 444.492 -0.379 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001318598087 1074170500 /nfs/dbraw/zinc/17/05/00/1074170500.db2.gz DULCNDSAYXZLNJ-OAQYLSRUSA-N 0 0 444.492 -0.379 20 0 IBADRN O=C(c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c[nH]1)N1CCCC1 ZINC001318598396 1074170486 /nfs/dbraw/zinc/17/04/86/1074170486.db2.gz AFVPQCCVTRZLQD-CVEARBPZSA-N 0 0 446.551 -0.973 20 0 IBADRN O=C(c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c[nH]1)N1CCCC1 ZINC001318598397 1074170348 /nfs/dbraw/zinc/17/03/48/1074170348.db2.gz AFVPQCCVTRZLQD-HOTGVXAUSA-N 0 0 446.551 -0.973 20 0 IBADRN O=C(c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c[nH]1)N1CCCC1 ZINC001318598398 1074170370 /nfs/dbraw/zinc/17/03/70/1074170370.db2.gz AFVPQCCVTRZLQD-HZPDHXFCSA-N 0 0 446.551 -0.973 20 0 IBADRN O=C(c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c[nH]1)N1CCCC1 ZINC001318598399 1074170377 /nfs/dbraw/zinc/17/03/77/1074170377.db2.gz AFVPQCCVTRZLQD-JKSUJKDBSA-N 0 0 446.551 -0.973 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-c3cccnc3)on2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318598687 1074170322 /nfs/dbraw/zinc/17/03/22/1074170322.db2.gz HHELCWHTRNZPEY-HNNXBMFYSA-N 0 0 433.490 -0.202 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-c3cccnc3)on2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318598691 1074170416 /nfs/dbraw/zinc/17/04/16/1074170416.db2.gz HHELCWHTRNZPEY-OAHLLOKOSA-N 0 0 433.490 -0.202 20 0 IBADRN Cc1nn(C)c(C)c1CCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318599791 1074170443 /nfs/dbraw/zinc/17/04/43/1074170443.db2.gz RLEHCHCBXQXZGH-INIZCTEOSA-N 0 0 425.555 -0.583 20 0 IBADRN Cc1nn(C)c(C)c1CCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318599797 1074170396 /nfs/dbraw/zinc/17/03/96/1074170396.db2.gz RLEHCHCBXQXZGH-MRXNPFEDSA-N 0 0 425.555 -0.583 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001318600216 1074170435 /nfs/dbraw/zinc/17/04/35/1074170435.db2.gz PRSPLENAKQLKPA-CHWSQXEVSA-N 0 0 433.504 -0.049 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001318600217 1074170365 /nfs/dbraw/zinc/17/03/65/1074170365.db2.gz PRSPLENAKQLKPA-OLZOCXBDSA-N 0 0 433.504 -0.049 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001318600218 1074170494 /nfs/dbraw/zinc/17/04/94/1074170494.db2.gz PRSPLENAKQLKPA-QWHCGFSZSA-N 0 0 433.504 -0.049 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001318600219 1074170464 /nfs/dbraw/zinc/17/04/64/1074170464.db2.gz PRSPLENAKQLKPA-STQMWFEESA-N 0 0 433.504 -0.049 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3ccnc3)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318600366 1074170356 /nfs/dbraw/zinc/17/03/56/1074170356.db2.gz XECYJTYCJVMMGJ-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3ccnc3)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318600380 1074170427 /nfs/dbraw/zinc/17/04/27/1074170427.db2.gz XECYJTYCJVMMGJ-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN CC(=O)Nc1nc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001318608231 1074170407 /nfs/dbraw/zinc/17/04/07/1074170407.db2.gz XIEDHBXNGSPXNU-GFCCVEGCSA-N 0 0 429.524 -0.837 20 0 IBADRN CC(=O)Nc1nc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001318608232 1074170335 /nfs/dbraw/zinc/17/03/35/1074170335.db2.gz XIEDHBXNGSPXNU-LBPRGKRZSA-N 0 0 429.524 -0.837 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001318609612 1074170472 /nfs/dbraw/zinc/17/04/72/1074170472.db2.gz BHDPMWDKYPFBGV-UHFFFAOYSA-N 0 0 426.561 -0.802 20 0 IBADRN O=C(CN1CCN(C(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318610681 1074170874 /nfs/dbraw/zinc/17/08/74/1074170874.db2.gz HOEPJNGUAMNMPM-FCLJQHQZSA-N 0 0 425.551 -0.171 20 0 IBADRN O=C(CN1CCN(C(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318610683 1074170894 /nfs/dbraw/zinc/17/08/94/1074170894.db2.gz HOEPJNGUAMNMPM-WFVVYAPDSA-N 0 0 425.551 -0.171 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3c([nH]c2=O)CCCC3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318610744 1074170938 /nfs/dbraw/zinc/17/09/38/1074170938.db2.gz JZNOFXMZEQSSFQ-HNNXBMFYSA-N 0 0 436.534 -0.273 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc3c([nH]c2=O)CCCC3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318610745 1074170934 /nfs/dbraw/zinc/17/09/34/1074170934.db2.gz JZNOFXMZEQSSFQ-OAHLLOKOSA-N 0 0 436.534 -0.273 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2)cn1 ZINC001318612389 1074170962 /nfs/dbraw/zinc/17/09/62/1074170962.db2.gz MTIBCQDOLMUZSY-HNNXBMFYSA-N 0 0 432.506 -0.451 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2)cn1 ZINC001318612392 1074170904 /nfs/dbraw/zinc/17/09/04/1074170904.db2.gz MTIBCQDOLMUZSY-OAHLLOKOSA-N 0 0 432.506 -0.451 20 0 IBADRN Cc1n[nH]c(=O)c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)c1C ZINC001318615667 1074170920 /nfs/dbraw/zinc/17/09/20/1074170920.db2.gz WZSNUYTXEKADMM-BETUJISGSA-N 0 0 442.542 -0.020 20 0 IBADRN Cc1n[nH]c(=O)c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)c1C ZINC001318615668 1074170983 /nfs/dbraw/zinc/17/09/83/1074170983.db2.gz WZSNUYTXEKADMM-CHWSQXEVSA-N 0 0 442.542 -0.020 20 0 IBADRN Cc1n[nH]c(=O)c(CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)c1C ZINC001318615669 1074170852 /nfs/dbraw/zinc/17/08/52/1074170852.db2.gz WZSNUYTXEKADMM-STQMWFEESA-N 0 0 442.542 -0.020 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(CS(N)(=O)=O)CC1 ZINC001318616079 1074170996 /nfs/dbraw/zinc/17/09/96/1074170996.db2.gz CZDHRMZWTKUAEN-UHFFFAOYSA-N 0 0 434.540 -0.813 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc2c1CCC2 ZINC001318616757 1074170867 /nfs/dbraw/zinc/17/08/67/1074170867.db2.gz DBISVMXEQIIYHS-UHFFFAOYSA-N 0 0 448.501 -0.744 20 0 IBADRN COC(=O)CCc1c(C)nc2c(c(=O)n(CN(C)CCNS(C)(=O)=O)n2C)c1C ZINC001318617282 1074170968 /nfs/dbraw/zinc/17/09/68/1074170968.db2.gz QOVPGKILUWIWTO-UHFFFAOYSA-N 0 0 427.527 -0.104 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cccc(N4CCNC4=O)c3)CC2)CC1 ZINC001318617552 1074170844 /nfs/dbraw/zinc/17/08/44/1074170844.db2.gz UEPFAEHWJGECBK-UHFFFAOYSA-N 0 0 436.538 -0.534 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCSCC2)cc2c1CCC2 ZINC001318618540 1074170898 /nfs/dbraw/zinc/17/08/98/1074170898.db2.gz YHUFEMRIHXGCSY-UHFFFAOYSA-N 0 0 433.577 -0.069 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cc3c(c(S(N)(=O)=O)c2)CCC3)CC1 ZINC001318618846 1074170859 /nfs/dbraw/zinc/17/08/59/1074170859.db2.gz FKVSLAFTHHOYQK-UHFFFAOYSA-N 0 0 430.552 -0.870 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC001318620192 1074170942 /nfs/dbraw/zinc/17/09/42/1074170942.db2.gz GOWNIIJGSJWPHD-CYBMUJFWSA-N 0 0 425.442 -0.321 20 0 IBADRN COc1c(F)cc(CC(=O)N[C@H]2CCN(c3cnn(C)c3)C2=O)cc1S(N)(=O)=O ZINC001318620193 1074170924 /nfs/dbraw/zinc/17/09/24/1074170924.db2.gz GOWNIIJGSJWPHD-ZDUSSCGKSA-N 0 0 425.442 -0.321 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318621117 1074170911 /nfs/dbraw/zinc/17/09/11/1074170911.db2.gz JSMQWGGYRCUUIF-DZGCQCFKSA-N 0 0 435.568 -0.706 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318621118 1074171534 /nfs/dbraw/zinc/17/15/34/1074171534.db2.gz JSMQWGGYRCUUIF-HIFRSBDPSA-N 0 0 435.568 -0.706 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318621119 1074171607 /nfs/dbraw/zinc/17/16/07/1074171607.db2.gz JSMQWGGYRCUUIF-UKRRQHHQSA-N 0 0 435.568 -0.706 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001318621120 1074171559 /nfs/dbraw/zinc/17/15/59/1074171559.db2.gz JSMQWGGYRCUUIF-ZFWWWQNUSA-N 0 0 435.568 -0.706 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001318623030 1074171602 /nfs/dbraw/zinc/17/16/02/1074171602.db2.gz UHYIHVAYIVQYIJ-QBPKDAKJSA-N 0 0 429.539 -0.540 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001318623031 1074171581 /nfs/dbraw/zinc/17/15/81/1074171581.db2.gz UHYIHVAYIVQYIJ-VVLHAWIVSA-N 0 0 429.539 -0.540 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001318623032 1074171578 /nfs/dbraw/zinc/17/15/78/1074171578.db2.gz UHYIHVAYIVQYIJ-WCXIOVBPSA-N 0 0 429.539 -0.540 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001318623033 1074171565 /nfs/dbraw/zinc/17/15/65/1074171565.db2.gz UHYIHVAYIVQYIJ-YLFCFFPRSA-N 0 0 429.539 -0.540 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC001318626932 1074171632 /nfs/dbraw/zinc/17/16/32/1074171632.db2.gz VHLSQMPAEOOWQY-UHFFFAOYSA-N 0 0 444.476 -0.166 20 0 IBADRN C[C@@H](NC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC001318632536 1074171585 /nfs/dbraw/zinc/17/15/85/1074171585.db2.gz OVSKFIJUNXCSPT-MRVPVSSYSA-N 0 0 430.434 -0.911 20 0 IBADRN C[C@H](NC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC001318632555 1074171517 /nfs/dbraw/zinc/17/15/17/1074171517.db2.gz OVSKFIJUNXCSPT-QMMMGPOBSA-N 0 0 430.434 -0.911 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC001318633461 1074171535 /nfs/dbraw/zinc/17/15/35/1074171535.db2.gz ZRKUZMLBJALXBH-GOSISDBHSA-N 0 0 448.545 -0.343 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC001318633462 1074171589 /nfs/dbraw/zinc/17/15/89/1074171589.db2.gz ZRKUZMLBJALXBH-SFHVURJKSA-N 0 0 448.545 -0.343 20 0 IBADRN C[C@@H](NC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)C(=O)N1CCS(=O)(=O)CC1 ZINC001318633482 1074171573 /nfs/dbraw/zinc/17/15/73/1074171573.db2.gz SXSIQKSGEQUBSL-OSFYFWSMSA-N 0 0 432.568 -0.526 20 0 IBADRN Cc1cc(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC001318637642 1074171610 /nfs/dbraw/zinc/17/16/10/1074171610.db2.gz OQSROSGYFRPRPW-UHFFFAOYSA-N 0 0 430.465 -0.849 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(Cn2nc(N3CCOCC3)n(C)c2=S)CC1 ZINC001318638538 1074171540 /nfs/dbraw/zinc/17/15/40/1074171540.db2.gz ILPIUUZCDLVZJX-INIZCTEOSA-N 0 0 441.602 -0.096 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(Cn2nc(N3CCOCC3)n(C)c2=S)CC1 ZINC001318638539 1074171504 /nfs/dbraw/zinc/17/15/04/1074171504.db2.gz ILPIUUZCDLVZJX-MRXNPFEDSA-N 0 0 441.602 -0.096 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001318644420 1074172042 /nfs/dbraw/zinc/17/20/42/1074172042.db2.gz ZGQLTRUHVSLTCX-UHFFFAOYSA-N 0 0 431.536 -0.010 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN(C(=O)C3CC3)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318644992 1074171992 /nfs/dbraw/zinc/17/19/92/1074171992.db2.gz PLMLAQLFSNETIT-DLBZAZTESA-N 0 0 440.566 -0.918 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN(C(=O)C3CC3)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318644993 1074172013 /nfs/dbraw/zinc/17/20/13/1074172013.db2.gz PLMLAQLFSNETIT-IAGOWNOFSA-N 0 0 440.566 -0.918 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN(C(=O)C3CC3)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318644995 1074171922 /nfs/dbraw/zinc/17/19/22/1074171922.db2.gz PLMLAQLFSNETIT-IRXDYDNUSA-N 0 0 440.566 -0.918 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN(C(=O)C3CC3)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318644997 1074171986 /nfs/dbraw/zinc/17/19/86/1074171986.db2.gz PLMLAQLFSNETIT-SJORKVTESA-N 0 0 440.566 -0.918 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)N1CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC1)c2=O ZINC001318645674 1074172034 /nfs/dbraw/zinc/17/20/34/1074172034.db2.gz YBETYXFPMKKSKO-HNNXBMFYSA-N 0 0 447.517 -0.936 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)N1CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC1)c2=O ZINC001318645679 1074172019 /nfs/dbraw/zinc/17/20/19/1074172019.db2.gz YBETYXFPMKKSKO-OAHLLOKOSA-N 0 0 447.517 -0.936 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001318646320 1074171940 /nfs/dbraw/zinc/17/19/40/1074171940.db2.gz INYDIUUOJCNNFU-CVEARBPZSA-N 0 0 440.541 -0.135 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001318646321 1074172075 /nfs/dbraw/zinc/17/20/75/1074172075.db2.gz INYDIUUOJCNNFU-HOTGVXAUSA-N 0 0 440.541 -0.135 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001318646322 1074172066 /nfs/dbraw/zinc/17/20/66/1074172066.db2.gz INYDIUUOJCNNFU-HZPDHXFCSA-N 0 0 440.541 -0.135 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001318646323 1074171977 /nfs/dbraw/zinc/17/19/77/1074171977.db2.gz INYDIUUOJCNNFU-JKSUJKDBSA-N 0 0 440.541 -0.135 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC001318646562 1074172078 /nfs/dbraw/zinc/17/20/78/1074172078.db2.gz KWHWZMYQUVOBPA-UHFFFAOYSA-N 0 0 425.573 -0.395 20 0 IBADRN O=C(CN1CCN(C(=O)CCCc2nc(C3CC3)no2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318646744 1074172059 /nfs/dbraw/zinc/17/20/59/1074172059.db2.gz INHPWDOQQRNVSG-HNNXBMFYSA-N 0 0 439.538 -0.283 20 0 IBADRN O=C(CN1CCN(C(=O)CCCc2nc(C3CC3)no2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318646746 1074172069 /nfs/dbraw/zinc/17/20/69/1074172069.db2.gz INHPWDOQQRNVSG-OAHLLOKOSA-N 0 0 439.538 -0.283 20 0 IBADRN NS(=O)(=O)NC[C@@H]1CCCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1 ZINC001318647151 1074172028 /nfs/dbraw/zinc/17/20/28/1074172028.db2.gz MERAWOAFIOEUGG-INIZCTEOSA-N 0 0 444.579 -0.438 20 0 IBADRN NS(=O)(=O)NC[C@H]1CCCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1 ZINC001318647152 1074172048 /nfs/dbraw/zinc/17/20/48/1074172048.db2.gz MERAWOAFIOEUGG-MRXNPFEDSA-N 0 0 444.579 -0.438 20 0 IBADRN Cc1ccc2ncc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c(=O)n2c1 ZINC001318648995 1074172575 /nfs/dbraw/zinc/17/25/75/1074172575.db2.gz CZWMFPCYNQVDGZ-HNNXBMFYSA-N 0 0 447.517 -0.936 20 0 IBADRN Cc1ccc2ncc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c(=O)n2c1 ZINC001318648997 1074172640 /nfs/dbraw/zinc/17/26/40/1074172640.db2.gz CZWMFPCYNQVDGZ-OAHLLOKOSA-N 0 0 447.517 -0.936 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001318649136 1074172659 /nfs/dbraw/zinc/17/26/59/1074172659.db2.gz FUEWXGLTTIFTMI-UHFFFAOYSA-N 0 0 441.554 -0.139 20 0 IBADRN O=C(CN1C(=O)NC2(CCCC2)C1=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001318650044 1074172665 /nfs/dbraw/zinc/17/26/65/1074172665.db2.gz YWPNRNPFIADWAA-UHFFFAOYSA-N 0 0 442.538 -0.060 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCN(CCn2cncn2)CC1 ZINC001318650489 1074172624 /nfs/dbraw/zinc/17/26/24/1074172624.db2.gz FZNXAJJBNWKHMS-UHFFFAOYSA-N 0 0 433.538 -0.082 20 0 IBADRN Cc1sc(=O)n(CCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC001318651037 1074172606 /nfs/dbraw/zinc/17/26/06/1074172606.db2.gz QSWKCGRIIALBHY-HNNXBMFYSA-N 0 0 444.579 -0.636 20 0 IBADRN Cc1sc(=O)n(CCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC001318651039 1074172601 /nfs/dbraw/zinc/17/26/01/1074172601.db2.gz QSWKCGRIIALBHY-OAHLLOKOSA-N 0 0 444.579 -0.636 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cccn3)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318651043 1074172608 /nfs/dbraw/zinc/17/26/08/1074172608.db2.gz QWZRTJDUAOWUNT-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cccn3)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318651045 1074172662 /nfs/dbraw/zinc/17/26/62/1074172662.db2.gz QWZRTJDUAOWUNT-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cnnc3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318651611 1074172619 /nfs/dbraw/zinc/17/26/19/1074172619.db2.gz XUWDQPOFWRQNST-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(-n3cnnc3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318651614 1074172632 /nfs/dbraw/zinc/17/26/32/1074172632.db2.gz XUWDQPOFWRQNST-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)N1CCN(S(=O)(=O)N(C)C2CCOCC2)CC1 ZINC001318652227 1074172635 /nfs/dbraw/zinc/17/26/35/1074172635.db2.gz VGSYORLVEGGLPG-UHFFFAOYSA-N 0 0 440.588 -0.685 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CCN(c4cnn(C)c4)C3=O)CC2)cn1C ZINC001318655678 1074172590 /nfs/dbraw/zinc/17/25/90/1074172590.db2.gz ACKXSASTRAYLRN-INIZCTEOSA-N 0 0 449.537 -0.216 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CCN(c4cnn(C)c4)C3=O)CC2)cn1C ZINC001318655680 1074172583 /nfs/dbraw/zinc/17/25/83/1074172583.db2.gz ACKXSASTRAYLRN-MRXNPFEDSA-N 0 0 449.537 -0.216 20 0 IBADRN Cc1n[nH]c(=O)c(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1C ZINC001318656862 1074172651 /nfs/dbraw/zinc/17/26/51/1074172651.db2.gz HDMDVOTYFPPVQC-UHFFFAOYSA-N 0 0 427.531 -0.882 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc2c1CCC2 ZINC001318660827 1074172680 /nfs/dbraw/zinc/17/26/80/1074172680.db2.gz ICYLJOAFJNXUFY-INIZCTEOSA-N 0 0 436.534 -0.514 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc2c1CCC2 ZINC001318660830 1074172612 /nfs/dbraw/zinc/17/26/12/1074172612.db2.gz ICYLJOAFJNXUFY-MRXNPFEDSA-N 0 0 436.534 -0.514 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-n3cccn3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318662361 1074172594 /nfs/dbraw/zinc/17/25/94/1074172594.db2.gz SEQRTNAICSMWHM-HNNXBMFYSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(-n3cccn3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318662368 1074173201 /nfs/dbraw/zinc/17/32/01/1074173201.db2.gz SEQRTNAICSMWHM-OAHLLOKOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(COC(=O)c1cccc(-n2cccn2)n1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001318662375 1074173155 /nfs/dbraw/zinc/17/31/55/1074173155.db2.gz SHLWJQQGHSBZMN-HNNXBMFYSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1cccc(-n2cccn2)n1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318662381 1074173078 /nfs/dbraw/zinc/17/30/78/1074173078.db2.gz SHLWJQQGHSBZMN-OAHLLOKOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)n1)N1CCOCC1 ZINC001318667201 1074173148 /nfs/dbraw/zinc/17/31/48/1074173148.db2.gz HQRDOUMCUZFKMH-UHFFFAOYSA-N 0 0 435.485 -0.656 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001318667672 1074173193 /nfs/dbraw/zinc/17/31/93/1074173193.db2.gz QQSZQSNUZGCTMT-UHFFFAOYSA-N 0 0 432.543 -0.540 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)CC1 ZINC001318667756 1074173128 /nfs/dbraw/zinc/17/31/28/1074173128.db2.gz SACLHGHGACQYMF-UHFFFAOYSA-N 0 0 447.540 -0.348 20 0 IBADRN COc1ccc(C(=O)N2CCO[C@@H](CNC(C)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001318668287 1074173179 /nfs/dbraw/zinc/17/31/79/1074173179.db2.gz RIBMTPKAKKCBMI-INIZCTEOSA-N 0 0 441.506 -0.307 20 0 IBADRN COc1ccc(C(=O)N2CCO[C@H](CNC(C)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001318668288 1074173174 /nfs/dbraw/zinc/17/31/74/1074173174.db2.gz RIBMTPKAKKCBMI-MRXNPFEDSA-N 0 0 441.506 -0.307 20 0 IBADRN COCC(=O)NC1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001318669768 1074173088 /nfs/dbraw/zinc/17/30/88/1074173088.db2.gz FQPSXMKJKIIDLB-UHFFFAOYSA-N 0 0 442.542 -0.490 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)Cn3nc4n(c3=O)CCCCC4)CC2)cn1 ZINC001318670304 1074173163 /nfs/dbraw/zinc/17/31/63/1074173163.db2.gz JCHBJNTUMDIEQN-UHFFFAOYSA-N 0 0 437.526 -0.526 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(CC[S@@](C)=O)CC1 ZINC001318672126 1074173064 /nfs/dbraw/zinc/17/30/64/1074173064.db2.gz STKFTGRMHXODLH-HHHXNRCGSA-N 0 0 432.568 -0.818 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(CC[S@](C)=O)CC1 ZINC001318672128 1074173227 /nfs/dbraw/zinc/17/32/27/1074173227.db2.gz STKFTGRMHXODLH-MHZLTWQESA-N 0 0 432.568 -0.818 20 0 IBADRN C[C@@]1(NC(=O)c2cc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)ccn2)CCS(=O)(=O)C1 ZINC001318673530 1074173213 /nfs/dbraw/zinc/17/32/13/1074173213.db2.gz ZUWZVWSTOUMCAF-DLBZAZTESA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@@]1(NC(=O)c2ccnc(C(=O)N[C@]3(C)CCS(=O)(=O)C3)c2)CCS(=O)(=O)C1 ZINC001318673532 1074173140 /nfs/dbraw/zinc/17/31/40/1074173140.db2.gz ZUWZVWSTOUMCAF-IAGOWNOFSA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@]1(NC(=O)c2ccnc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)c2)CCS(=O)(=O)C1 ZINC001318673534 1074173100 /nfs/dbraw/zinc/17/31/00/1074173100.db2.gz ZUWZVWSTOUMCAF-IRXDYDNUSA-N 0 0 429.520 -0.304 20 0 IBADRN C[C@@]1(NC(=O)c2ccnc(C(=O)N[C@@]3(C)CCS(=O)(=O)C3)c2)CCS(=O)(=O)C1 ZINC001318673535 1074173142 /nfs/dbraw/zinc/17/31/42/1074173142.db2.gz ZUWZVWSTOUMCAF-SJORKVTESA-N 0 0 429.520 -0.304 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001318674297 1074173699 /nfs/dbraw/zinc/17/36/99/1074173699.db2.gz MJESUQXWHVYPNG-UHFFFAOYSA-N 0 0 441.558 -0.917 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001318680369 1074173757 /nfs/dbraw/zinc/17/37/57/1074173757.db2.gz JPIFJNJJAGZAKV-UHFFFAOYSA-N 0 0 436.490 -0.580 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C1CCC(NC(=O)COCCOC)CC1 ZINC001318684572 1074173813 /nfs/dbraw/zinc/17/38/13/1074173813.db2.gz WTZAATRSKLQMDT-GPANFISMSA-N 0 0 426.514 -0.822 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C1CCC(NC(=O)COCCOC)CC1 ZINC001318684594 1074173795 /nfs/dbraw/zinc/17/37/95/1074173795.db2.gz WTZAATRSKLQMDT-UYSNPLJNSA-N 0 0 426.514 -0.822 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NCCOCCS(C)(=O)=O)CC1 ZINC001318688211 1074173676 /nfs/dbraw/zinc/17/36/76/1074173676.db2.gz HMTFIQFVPRVEMO-UHFFFAOYSA-N 0 0 437.540 -0.130 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318689735 1074173718 /nfs/dbraw/zinc/17/37/18/1074173718.db2.gz SVDVYUOLUORWOV-KFWWJZLASA-N 0 0 438.568 -0.727 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318689736 1074173817 /nfs/dbraw/zinc/17/38/17/1074173817.db2.gz SVDVYUOLUORWOV-RBSFLKMASA-N 0 0 438.568 -0.727 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318689737 1074173744 /nfs/dbraw/zinc/17/37/44/1074173744.db2.gz SVDVYUOLUORWOV-RRFJBIMHSA-N 0 0 438.568 -0.727 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318689738 1074173802 /nfs/dbraw/zinc/17/38/02/1074173802.db2.gz SVDVYUOLUORWOV-ZNMIVQPWSA-N 0 0 438.568 -0.727 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)n1 ZINC001318697510 1074173738 /nfs/dbraw/zinc/17/37/38/1074173738.db2.gz RFYJDFXZDZSLCP-UHFFFAOYSA-N 0 0 428.496 -0.712 20 0 IBADRN CNC(=O)C1(C(=O)NCc2cccnc2N2CCN(C(=O)C3(C(=O)NC)CC3)CC2)CC1 ZINC001318700079 1074173710 /nfs/dbraw/zinc/17/37/10/1074173710.db2.gz IBSHSWWUJRENDG-UHFFFAOYSA-N 0 0 442.520 -0.601 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC(NS(C)(=O)=O)CC2)cc1 ZINC001318700534 1074173774 /nfs/dbraw/zinc/17/37/74/1074173774.db2.gz YQXMUDSNCTWTFS-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN O=C(CCn1cncn1)NCc1cccnc1N1CCN(C(=O)CCn2cncn2)CC1 ZINC001318701652 1074173783 /nfs/dbraw/zinc/17/37/83/1074173783.db2.gz RWCXIZJOWIBGOP-UHFFFAOYSA-N 0 0 438.496 -0.290 20 0 IBADRN CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001318703017 1074173807 /nfs/dbraw/zinc/17/38/07/1074173807.db2.gz ONHGEQMJWNBCMH-INIZCTEOSA-N 0 0 437.522 -0.665 20 0 IBADRN CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001318703019 1074173764 /nfs/dbraw/zinc/17/37/64/1074173764.db2.gz ONHGEQMJWNBCMH-MRXNPFEDSA-N 0 0 437.522 -0.665 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1ccc(Br)o1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318703197 1074174310 /nfs/dbraw/zinc/17/43/10/1074174310.db2.gz WAHFRXPARMCMOL-SECBINFHSA-N 0 0 436.284 -0.419 20 0 IBADRN O=C(CCNC(=O)CNC(=O)c1ccc(Br)o1)N[C@H]1CCS(=O)(=O)C1 ZINC001318703222 1074174340 /nfs/dbraw/zinc/17/43/40/1074174340.db2.gz WAHFRXPARMCMOL-VIFPVBQESA-N 0 0 436.284 -0.419 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CCCS(=O)(=O)CC2)C1=O ZINC001318703980 1074174229 /nfs/dbraw/zinc/17/42/29/1074174229.db2.gz ANDZGUATNUDARY-KRWDZBQOSA-N 0 0 429.520 -0.065 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CCCS(=O)(=O)CC2)C1=O ZINC001318703981 1074174328 /nfs/dbraw/zinc/17/43/28/1074174328.db2.gz ANDZGUATNUDARY-QGZVFWFLSA-N 0 0 429.520 -0.065 20 0 IBADRN CN(CCNS(C)(=O)=O)CN1C(=O)NC2(CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC001318707334 1074174261 /nfs/dbraw/zinc/17/42/61/1074174261.db2.gz XRYPZMVGHWCETQ-UHFFFAOYSA-N 0 0 433.531 -0.254 20 0 IBADRN COCCNC(=O)C1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001318709861 1074174244 /nfs/dbraw/zinc/17/42/44/1074174244.db2.gz KUKDCNAEGRCXDB-INIZCTEOSA-N 0 0 438.506 -0.560 20 0 IBADRN COCCNC(=O)C1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001318709862 1074174275 /nfs/dbraw/zinc/17/42/75/1074174275.db2.gz KUKDCNAEGRCXDB-MRXNPFEDSA-N 0 0 438.506 -0.560 20 0 IBADRN CC(C)(C)NS(=O)(=O)CC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001318712598 1074174317 /nfs/dbraw/zinc/17/43/17/1074174317.db2.gz BUMFSRZHQRZWAQ-UHFFFAOYSA-N 0 0 425.573 -0.206 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)C1CCN(c3ccc4nnnn4n3)CC1)CC2 ZINC001318713815 1074174188 /nfs/dbraw/zinc/17/41/88/1074174188.db2.gz KVDLMZHPGGOGPD-UHFFFAOYSA-N 0 0 428.478 -0.028 20 0 IBADRN COc1cc(F)cc(F)c1S(=O)(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC001318713929 1074174346 /nfs/dbraw/zinc/17/43/46/1074174346.db2.gz NZMCYVYGZAAWBO-UHFFFAOYSA-N 0 0 441.478 -0.143 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001318713939 1074174295 /nfs/dbraw/zinc/17/42/95/1074174295.db2.gz ODRRLFZEXDYQCZ-AWEZNQCLSA-N 0 0 438.572 -0.707 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001318713941 1074174332 /nfs/dbraw/zinc/17/43/32/1074174332.db2.gz ODRRLFZEXDYQCZ-CQSZACIVSA-N 0 0 438.572 -0.707 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N(Cc2cccnc2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001318714003 1074174272 /nfs/dbraw/zinc/17/42/72/1074174272.db2.gz NPMXNCYEPFZWDR-AWEZNQCLSA-N 0 0 427.508 -0.691 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N(Cc2cccnc2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001318714009 1074174220 /nfs/dbraw/zinc/17/42/20/1074174220.db2.gz NPMXNCYEPFZWDR-CQSZACIVSA-N 0 0 427.508 -0.691 20 0 IBADRN CC[S@](=O)c1ccccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318715488 1074174336 /nfs/dbraw/zinc/17/43/36/1074174336.db2.gz VMOWFQQIBOMYSD-HBIPHATFSA-N 0 0 441.575 -0.125 20 0 IBADRN CC[S@](=O)c1ccccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318715490 1074174282 /nfs/dbraw/zinc/17/42/82/1074174282.db2.gz VMOWFQQIBOMYSD-JMGYQRAPSA-N 0 0 441.575 -0.125 20 0 IBADRN CC[S@@](=O)c1ccccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318715492 1074174350 /nfs/dbraw/zinc/17/43/50/1074174350.db2.gz VMOWFQQIBOMYSD-OHZJNBGDSA-N 0 0 441.575 -0.125 20 0 IBADRN CC[S@@](=O)c1ccccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318715493 1074174289 /nfs/dbraw/zinc/17/42/89/1074174289.db2.gz VMOWFQQIBOMYSD-WQIZZMQYSA-N 0 0 441.575 -0.125 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1S(=O)(=O)CCS(C)(=O)=O ZINC001318716500 1074174325 /nfs/dbraw/zinc/17/43/25/1074174325.db2.gz RWEWMHRGFYKDGC-GFCCVEGCSA-N 0 0 428.529 -0.155 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1S(=O)(=O)CCS(C)(=O)=O ZINC001318716501 1074174302 /nfs/dbraw/zinc/17/43/02/1074174302.db2.gz RWEWMHRGFYKDGC-LBPRGKRZSA-N 0 0 428.529 -0.155 20 0 IBADRN CCn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)NCCCN1CCCC1=O ZINC001318718164 1074174752 /nfs/dbraw/zinc/17/47/52/1074174752.db2.gz LZMIFWLHQKBOQM-UHFFFAOYSA-N 0 0 428.515 -0.963 20 0 IBADRN Cn1c2ncn(CCOC(=O)Cc3ccccc3NS(C)(=O)=O)c2c(=O)n(C)c1=O ZINC001318721406 1074174685 /nfs/dbraw/zinc/17/46/85/1074174685.db2.gz YDVGTDSUHPRRQR-UHFFFAOYSA-N 0 0 435.462 -0.409 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC001318721488 1074174757 /nfs/dbraw/zinc/17/47/57/1074174757.db2.gz RLFSTLSGSCVQOQ-UHFFFAOYSA-N 0 0 434.540 -0.290 20 0 IBADRN COCCCNC(=O)C1CCN(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC001318724973 1074174734 /nfs/dbraw/zinc/17/47/34/1074174734.db2.gz BVGNCZMEMDKDMQ-UHFFFAOYSA-N 0 0 425.530 -0.359 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318725391 1074174681 /nfs/dbraw/zinc/17/46/81/1074174681.db2.gz XSXUCXURPWOSPL-GFCCVEGCSA-N 0 0 425.529 -0.780 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318725392 1074174697 /nfs/dbraw/zinc/17/46/97/1074174697.db2.gz XSXUCXURPWOSPL-LBPRGKRZSA-N 0 0 425.529 -0.780 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2Cn2cncn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318728813 1074174737 /nfs/dbraw/zinc/17/47/37/1074174737.db2.gz AJHWKJJJXDGTJB-KRWDZBQOSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccc2Cn2cncn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318728819 1074174675 /nfs/dbraw/zinc/17/46/75/1074174675.db2.gz AJHWKJJJXDGTJB-QGZVFWFLSA-N 0 0 446.533 -0.613 20 0 IBADRN C[C@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)C(=O)NCC(F)(F)F ZINC001318729175 1074174703 /nfs/dbraw/zinc/17/47/03/1074174703.db2.gz DAMWHGFTBQHMAU-JTQLQIEISA-N 0 0 440.448 -0.061 20 0 IBADRN C[C@@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)C(=O)NCC(F)(F)F ZINC001318729183 1074174748 /nfs/dbraw/zinc/17/47/48/1074174748.db2.gz DAMWHGFTBQHMAU-SNVBAGLBSA-N 0 0 440.448 -0.061 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)c1 ZINC001318730460 1074174700 /nfs/dbraw/zinc/17/47/00/1074174700.db2.gz ZFGSJYFHLHPTPX-UHFFFAOYSA-N 0 0 448.567 -0.032 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(C(=O)C1=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC1)CC2 ZINC001318732019 1074174741 /nfs/dbraw/zinc/17/47/41/1074174741.db2.gz PQGCKLUYDVZDSX-CWRNSKLLSA-N 0 0 427.523 -0.070 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)C1=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC1)CC2 ZINC001318732032 1074174729 /nfs/dbraw/zinc/17/47/29/1074174729.db2.gz PQGCKLUYDVZDSX-FVQBIDKESA-N 0 0 427.523 -0.070 20 0 IBADRN CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)C1=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC1)CC2 ZINC001318732040 1074174745 /nfs/dbraw/zinc/17/47/45/1074174745.db2.gz PQGCKLUYDVZDSX-NUEKZKHPSA-N 0 0 427.523 -0.070 20 0 IBADRN CCO[C@@H]1C[C@H](O)C12CCN(C(=O)C1=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC1)CC2 ZINC001318732047 1074174717 /nfs/dbraw/zinc/17/47/17/1074174717.db2.gz PQGCKLUYDVZDSX-VNQPRFMTSA-N 0 0 427.523 -0.070 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001318735533 1074174723 /nfs/dbraw/zinc/17/47/23/1074174723.db2.gz LDEWXEWPAUIJRT-HNNXBMFYSA-N 0 0 431.536 -0.451 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001318735534 1074175411 /nfs/dbraw/zinc/17/54/11/1074175411.db2.gz LDEWXEWPAUIJRT-OAHLLOKOSA-N 0 0 431.536 -0.451 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(CNS(=O)(=O)CC)cc1 ZINC001318736396 1074175434 /nfs/dbraw/zinc/17/54/34/1074175434.db2.gz TXQQJNQAPIZHLE-INIZCTEOSA-N 0 0 445.563 -0.109 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(CNS(=O)(=O)CC)cc1 ZINC001318736402 1074175452 /nfs/dbraw/zinc/17/54/52/1074175452.db2.gz TXQQJNQAPIZHLE-MRXNPFEDSA-N 0 0 445.563 -0.109 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)Cn3ncn4nccc4c3=O)CC2)cc1 ZINC001318738466 1074175322 /nfs/dbraw/zinc/17/53/22/1074175322.db2.gz CJBZPZQXLASLIM-UHFFFAOYSA-N 0 0 439.476 -0.526 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)o1 ZINC001318738567 1074175400 /nfs/dbraw/zinc/17/54/00/1074175400.db2.gz XVMYMYMQTGMGGE-UHFFFAOYSA-N 0 0 432.480 -0.194 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001318740078 1074175417 /nfs/dbraw/zinc/17/54/17/1074175417.db2.gz PERSBINYUXYGEW-UHFFFAOYSA-N 0 0 427.440 -0.396 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)C1CCN(S(N)(=O)=O)CC1 ZINC001318747733 1074175352 /nfs/dbraw/zinc/17/53/52/1074175352.db2.gz INTLXBSTTKGTHR-AWEZNQCLSA-N 0 0 448.542 -0.087 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)C1CCN(S(N)(=O)=O)CC1 ZINC001318747738 1074175461 /nfs/dbraw/zinc/17/54/61/1074175461.db2.gz INTLXBSTTKGTHR-CQSZACIVSA-N 0 0 448.542 -0.087 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3ncn4nccc4c3=O)CC2)cc1 ZINC001318749463 1074175446 /nfs/dbraw/zinc/17/54/46/1074175446.db2.gz MTJQWBOAUSTYAQ-UHFFFAOYSA-N 0 0 432.462 -0.567 20 0 IBADRN CNC(=O)c1nn(CN2CCN([C@@H](C)C(=O)NCCCOC)CC2)c(=O)c2ccccc21 ZINC001318754529 1074175388 /nfs/dbraw/zinc/17/53/88/1074175388.db2.gz LJWQAGRWMGUJTM-INIZCTEOSA-N 0 0 444.536 -0.128 20 0 IBADRN CNC(=O)c1nn(CN2CCN([C@H](C)C(=O)NCCCOC)CC2)c(=O)c2ccccc21 ZINC001318754530 1074175456 /nfs/dbraw/zinc/17/54/56/1074175456.db2.gz LJWQAGRWMGUJTM-MRXNPFEDSA-N 0 0 444.536 -0.128 20 0 IBADRN O=C(c1cnc2n(c1=O)CCS2)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001318754546 1074175424 /nfs/dbraw/zinc/17/54/24/1074175424.db2.gz LOUDBZGDQBOPCQ-UHFFFAOYSA-N 0 0 429.506 -0.062 20 0 IBADRN COCCn1nc(C(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)ccc1=O ZINC001318756311 1074175364 /nfs/dbraw/zinc/17/53/64/1074175364.db2.gz AGNWJJAWLHBYMK-INIZCTEOSA-N 0 0 443.508 -0.085 20 0 IBADRN COCCn1nc(C(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)ccc1=O ZINC001318756312 1074175438 /nfs/dbraw/zinc/17/54/38/1074175438.db2.gz AGNWJJAWLHBYMK-MRXNPFEDSA-N 0 0 443.508 -0.085 20 0 IBADRN Cn1ncc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC001318764959 1074175334 /nfs/dbraw/zinc/17/53/34/1074175334.db2.gz NXLGKOWKZYNBJQ-LLVKDONJSA-N 0 0 437.444 -0.500 20 0 IBADRN Cn1ncc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC001318764961 1074175413 /nfs/dbraw/zinc/17/54/13/1074175413.db2.gz NXLGKOWKZYNBJQ-NSHDSACASA-N 0 0 437.444 -0.500 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001318765221 1074175449 /nfs/dbraw/zinc/17/54/49/1074175449.db2.gz PVIRTABLYLDUSA-AWEZNQCLSA-N 0 0 443.547 -0.090 20 0 IBADRN Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001318765233 1074175405 /nfs/dbraw/zinc/17/54/05/1074175405.db2.gz PVIRTABLYLDUSA-CQSZACIVSA-N 0 0 443.547 -0.090 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001318765954 1074175453 /nfs/dbraw/zinc/17/54/53/1074175453.db2.gz HFYMSIQYKULQBC-CYBMUJFWSA-N 0 0 428.486 -0.474 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)C1 ZINC001318765957 1074175465 /nfs/dbraw/zinc/17/54/65/1074175465.db2.gz HFYMSIQYKULQBC-ZDUSSCGKSA-N 0 0 428.486 -0.474 20 0 IBADRN COCC(=O)NC1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC001318767698 1074175856 /nfs/dbraw/zinc/17/58/56/1074175856.db2.gz LPOKHMGSVCUPSW-UHFFFAOYSA-N 0 0 442.538 -0.264 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001318768029 1074175818 /nfs/dbraw/zinc/17/58/18/1074175818.db2.gz SBXJLVUMGAJKHC-CAOSSQGBSA-N 0 0 428.555 -0.838 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001318768037 1074175871 /nfs/dbraw/zinc/17/58/71/1074175871.db2.gz SBXJLVUMGAJKHC-JJXSEGSLSA-N 0 0 428.555 -0.838 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001318768038 1074175675 /nfs/dbraw/zinc/17/56/75/1074175675.db2.gz SBXJLVUMGAJKHC-JONQDZQNSA-N 0 0 428.555 -0.838 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001318768039 1074175765 /nfs/dbraw/zinc/17/57/65/1074175765.db2.gz SBXJLVUMGAJKHC-ZJIFWQFVSA-N 0 0 428.555 -0.838 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CS(=O)(=O)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001318769766 1074175793 /nfs/dbraw/zinc/17/57/93/1074175793.db2.gz NRYIVYHIPCSQTN-CYBMUJFWSA-N 0 0 438.549 -0.845 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(CS(=O)(=O)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001318769767 1074175749 /nfs/dbraw/zinc/17/57/49/1074175749.db2.gz NRYIVYHIPCSQTN-ZDUSSCGKSA-N 0 0 438.549 -0.845 20 0 IBADRN CNC(=O)Cc1ccccc1NC(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC001318770032 1074175700 /nfs/dbraw/zinc/17/57/00/1074175700.db2.gz WWHNIOZUDPJALU-UHFFFAOYSA-N 0 0 425.511 -0.298 20 0 IBADRN O=C(COC(=O)C1C[C@@H]2COC[C@H](C1)C2=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001318771759 1074175863 /nfs/dbraw/zinc/17/58/63/1074175863.db2.gz QGGSGONPLSPOEF-LDBYLJPUSA-N 0 0 443.518 -0.034 20 0 IBADRN O=C(COC(=O)C1C[C@@H]2COC[C@H](C1)C2=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001318771760 1074175760 /nfs/dbraw/zinc/17/57/60/1074175760.db2.gz QGGSGONPLSPOEF-QHEDDYRISA-N 0 0 443.518 -0.034 20 0 IBADRN O=C(COC(=O)C1C[C@@H]2COC[C@H](C1)C2=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001318771762 1074175799 /nfs/dbraw/zinc/17/57/99/1074175799.db2.gz QGGSGONPLSPOEF-SLUKUZTOSA-N 0 0 443.518 -0.034 20 0 IBADRN O=C(COC(=O)C1C[C@@H]2COC[C@H](C1)C2=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001318771764 1074175732 /nfs/dbraw/zinc/17/57/32/1074175732.db2.gz QGGSGONPLSPOEF-VEDBGLOESA-N 0 0 443.518 -0.034 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC001318776406 1074175708 /nfs/dbraw/zinc/17/57/08/1074175708.db2.gz PNPUCSIOKIDEBZ-MRVPVSSYSA-N 0 0 432.256 -0.094 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC001318776408 1074175807 /nfs/dbraw/zinc/17/58/07/1074175807.db2.gz PNPUCSIOKIDEBZ-QMMMGPOBSA-N 0 0 432.256 -0.094 20 0 IBADRN Cn1c(CCC(N)=O)nn(CN2CCN(S(=O)(=O)Cc3ccon3)CC2)c1=S ZINC001318776615 1074175890 /nfs/dbraw/zinc/17/58/90/1074175890.db2.gz IDXSAKJUWPXIBG-UHFFFAOYSA-N 0 0 429.528 -0.538 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCCN2CCS(=O)(=O)CC2)cc1 ZINC001318776710 1074175782 /nfs/dbraw/zinc/17/57/82/1074175782.db2.gz IXORFVTUUWIDRX-UHFFFAOYSA-N 0 0 431.536 -0.991 20 0 IBADRN Cn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)Nc1cccc(C(=O)NC2CC2)c1 ZINC001318777232 1074175838 /nfs/dbraw/zinc/17/58/38/1074175838.db2.gz DAJYIMYZIPDAMP-UHFFFAOYSA-N 0 0 448.505 -0.044 20 0 IBADRN COc1ccc(CNC(=O)CS(=O)(=O)c2nnc(N3CCOCC3)n2C)cc1OC ZINC001318777837 1074175834 /nfs/dbraw/zinc/17/58/34/1074175834.db2.gz GREPVZGKIVBGOV-UHFFFAOYSA-N 0 0 439.494 -0.241 20 0 IBADRN CCO[C@H](C)c1noc(CN(C)CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001318783729 1074176359 /nfs/dbraw/zinc/17/63/59/1074176359.db2.gz GECIDLUEDXWWQT-CABCVRRESA-N 0 0 429.543 -0.070 20 0 IBADRN CCO[C@@H](C)c1noc(CN(C)CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001318783734 1074176373 /nfs/dbraw/zinc/17/63/73/1074176373.db2.gz GECIDLUEDXWWQT-GJZGRUSLSA-N 0 0 429.543 -0.070 20 0 IBADRN CCO[C@H](C)c1noc(CN(C)CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001318783736 1074176344 /nfs/dbraw/zinc/17/63/44/1074176344.db2.gz GECIDLUEDXWWQT-HUUCEWRRSA-N 0 0 429.543 -0.070 20 0 IBADRN CCO[C@@H](C)c1noc(CN(C)CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001318783738 1074176358 /nfs/dbraw/zinc/17/63/58/1074176358.db2.gz GECIDLUEDXWWQT-LSDHHAIUSA-N 0 0 429.543 -0.070 20 0 IBADRN CCc1ccc(C(=O)N(C)CCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001318787164 1074176378 /nfs/dbraw/zinc/17/63/78/1074176378.db2.gz ACCXEFGRBDCZSV-UHFFFAOYSA-N 0 0 433.552 -0.109 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001318787343 1074176361 /nfs/dbraw/zinc/17/63/61/1074176361.db2.gz DJIZANIYHYWXIH-UHFFFAOYSA-N 0 0 433.552 -0.352 20 0 IBADRN CN(CCNS(C)(=O)=O)Cn1nc(N2CCOCC2)n(C[C@@H]2CCCO2)c1=S ZINC001318787704 1074176317 /nfs/dbraw/zinc/17/63/17/1074176317.db2.gz PTDQINTVVMNSNR-AWEZNQCLSA-N 0 0 434.588 -0.132 20 0 IBADRN CN(CCNS(C)(=O)=O)Cn1nc(N2CCOCC2)n(C[C@H]2CCCO2)c1=S ZINC001318787714 1074176309 /nfs/dbraw/zinc/17/63/09/1074176309.db2.gz PTDQINTVVMNSNR-CQSZACIVSA-N 0 0 434.588 -0.132 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001318788881 1074176370 /nfs/dbraw/zinc/17/63/70/1074176370.db2.gz QNVJCOATKWCLPY-CYBMUJFWSA-N 0 0 445.519 -0.369 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001318788884 1074176338 /nfs/dbraw/zinc/17/63/38/1074176338.db2.gz QNVJCOATKWCLPY-ZDUSSCGKSA-N 0 0 445.519 -0.369 20 0 IBADRN COc1ccc(CC(=O)N(C)CCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001318789954 1074176333 /nfs/dbraw/zinc/17/63/33/1074176333.db2.gz ZHAOGYYVNBWWPF-UHFFFAOYSA-N 0 0 449.551 -0.734 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)cn2)CCO1 ZINC001318790240 1074176328 /nfs/dbraw/zinc/17/63/28/1074176328.db2.gz ZXBLUDHCXQJLKM-DJIMGWMZSA-N 0 0 436.534 -0.042 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)cn2)CCO1 ZINC001318790265 1074176348 /nfs/dbraw/zinc/17/63/48/1074176348.db2.gz ZXBLUDHCXQJLKM-HYVNUMGLSA-N 0 0 436.534 -0.042 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)cn2)CCO1 ZINC001318790269 1074176383 /nfs/dbraw/zinc/17/63/83/1074176383.db2.gz ZXBLUDHCXQJLKM-OIISXLGYSA-N 0 0 436.534 -0.042 20 0 IBADRN C[C@@H]1CN(c2ccc(CNC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)cn2)CCO1 ZINC001318790274 1074176352 /nfs/dbraw/zinc/17/63/52/1074176352.db2.gz ZXBLUDHCXQJLKM-PVAVHDDUSA-N 0 0 436.534 -0.042 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)n1 ZINC001318794039 1074176314 /nfs/dbraw/zinc/17/63/14/1074176314.db2.gz CLXXEOHZIHJXNU-CYBMUJFWSA-N 0 0 430.531 -0.273 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)n1 ZINC001318794040 1074176300 /nfs/dbraw/zinc/17/63/00/1074176300.db2.gz CLXXEOHZIHJXNU-ZDUSSCGKSA-N 0 0 430.531 -0.273 20 0 IBADRN O=C(COCC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)NCc1cccnc1 ZINC001318794164 1074176730 /nfs/dbraw/zinc/17/67/30/1074176730.db2.gz CPAKGMVADZFNBS-UHFFFAOYSA-N 0 0 437.478 -0.623 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN1CCN(CC)C(=O)C1=O ZINC001318795025 1074176689 /nfs/dbraw/zinc/17/66/89/1074176689.db2.gz MEDBTFZIAHFPMN-AWEZNQCLSA-N 0 0 440.497 -0.312 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN1CCN(CC)C(=O)C1=O ZINC001318795028 1074176749 /nfs/dbraw/zinc/17/67/49/1074176749.db2.gz MEDBTFZIAHFPMN-CQSZACIVSA-N 0 0 440.497 -0.312 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC001318798977 1074176737 /nfs/dbraw/zinc/17/67/37/1074176737.db2.gz NRQIPTAGALKOFY-UHFFFAOYSA-N 0 0 436.531 -0.860 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCN(C(=O)N3CCOCC3)CC2)C(=O)C1 ZINC001318800310 1074176770 /nfs/dbraw/zinc/17/67/70/1074176770.db2.gz HXVBRLIDVUQYAB-UHFFFAOYSA-N 0 0 439.513 -0.338 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001318800521 1074176653 /nfs/dbraw/zinc/17/66/53/1074176653.db2.gz FJYIRFYIVGPIBS-UHFFFAOYSA-N 0 0 448.567 -0.461 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001318800985 1074176632 /nfs/dbraw/zinc/17/66/32/1074176632.db2.gz XMVPUKSSWBOPOT-UHFFFAOYSA-N 0 0 428.515 -0.927 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001318801048 1074176785 /nfs/dbraw/zinc/17/67/85/1074176785.db2.gz KIVNKUDSMAANTK-UHFFFAOYSA-N 0 0 440.570 -0.090 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCC(=O)NCC(F)(F)F)CC2)cn1 ZINC001318801369 1074176776 /nfs/dbraw/zinc/17/67/76/1074176776.db2.gz ONWGJEZWPLOKQV-UHFFFAOYSA-N 0 0 426.421 -0.449 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001318801566 1074176814 /nfs/dbraw/zinc/17/68/14/1074176814.db2.gz RZLJAESCSJMTFW-UHFFFAOYSA-N 0 0 449.555 -0.707 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC(OCC(N)=O)CC2)C1 ZINC001318810080 1074176670 /nfs/dbraw/zinc/17/66/70/1074176670.db2.gz QFBBFPMVHSIDEP-AWEZNQCLSA-N 0 0 427.527 -0.080 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC(OCC(N)=O)CC2)C1 ZINC001318810082 1074176800 /nfs/dbraw/zinc/17/68/00/1074176800.db2.gz QFBBFPMVHSIDEP-CQSZACIVSA-N 0 0 427.527 -0.080 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NC[C@@H]3CCC[C@]34NC(=O)NC4=O)ccc21 ZINC001318817684 1074176763 /nfs/dbraw/zinc/17/67/63/1074176763.db2.gz DQADLJVCFUUPJX-BTYIYWSLSA-N 0 0 427.461 -0.180 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NC[C@H]3CCC[C@@]34NC(=O)NC4=O)ccc21 ZINC001318817685 1074176603 /nfs/dbraw/zinc/17/66/03/1074176603.db2.gz DQADLJVCFUUPJX-QVKFZJNVSA-N 0 0 427.461 -0.180 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NC[C@H]3CCC[C@]34NC(=O)NC4=O)ccc21 ZINC001318817686 1074176808 /nfs/dbraw/zinc/17/68/08/1074176808.db2.gz DQADLJVCFUUPJX-VFNWGFHPSA-N 0 0 427.461 -0.180 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NC[C@@H]3CCC[C@@]34NC(=O)NC4=O)ccc21 ZINC001318817687 1074176755 /nfs/dbraw/zinc/17/67/55/1074176755.db2.gz DQADLJVCFUUPJX-YCRPNKLZSA-N 0 0 427.461 -0.180 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC001318817722 1074177196 /nfs/dbraw/zinc/17/71/96/1074177196.db2.gz GHBSVPJLXHGFKY-KPZWWZAWSA-N 0 0 439.494 -0.846 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC001318817723 1074177188 /nfs/dbraw/zinc/17/71/88/1074177188.db2.gz GHBSVPJLXHGFKY-KZULUSFZSA-N 0 0 439.494 -0.846 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC001318817724 1074177149 /nfs/dbraw/zinc/17/71/49/1074177149.db2.gz GHBSVPJLXHGFKY-SGTLLEGYSA-N 0 0 439.494 -0.846 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC001318817725 1074177178 /nfs/dbraw/zinc/17/71/78/1074177178.db2.gz GHBSVPJLXHGFKY-XIKOKIGWSA-N 0 0 439.494 -0.846 20 0 IBADRN C[C@H](OC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1)C(=O)N1CCNC1=O ZINC001318825726 1074177169 /nfs/dbraw/zinc/17/71/69/1074177169.db2.gz MLDMNNIPJFZWIE-JTQLQIEISA-N 0 0 431.492 -0.009 20 0 IBADRN C[C@@H](OC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1)C(=O)N1CCNC1=O ZINC001318825727 1074177182 /nfs/dbraw/zinc/17/71/82/1074177182.db2.gz MLDMNNIPJFZWIE-SNVBAGLBSA-N 0 0 431.492 -0.009 20 0 IBADRN O=C(CN1CCN(C(=O)CCc2nc3cccnc3[nH]2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318826420 1074177130 /nfs/dbraw/zinc/17/71/30/1074177130.db2.gz CNGHYDPBGDRYPM-AWEZNQCLSA-N 0 0 434.522 -0.662 20 0 IBADRN O=C(CN1CCN(C(=O)CCc2nc3cccnc3[nH]2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318826421 1074177158 /nfs/dbraw/zinc/17/71/58/1074177158.db2.gz CNGHYDPBGDRYPM-CQSZACIVSA-N 0 0 434.522 -0.662 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)OCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc12 ZINC001318826505 1074177154 /nfs/dbraw/zinc/17/71/54/1074177154.db2.gz OJEORGXWVBBELR-GHMZBOCLSA-N 0 0 442.519 -0.092 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)OCC(=O)N[C@H]3CCS(=O)(=O)C3)cc12 ZINC001318826506 1074177136 /nfs/dbraw/zinc/17/71/36/1074177136.db2.gz OJEORGXWVBBELR-MNOVXSKESA-N 0 0 442.519 -0.092 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)OCC(=O)N[C@H]3CCS(=O)(=O)C3)cc12 ZINC001318826507 1074177148 /nfs/dbraw/zinc/17/71/48/1074177148.db2.gz OJEORGXWVBBELR-QWRGUYRKSA-N 0 0 442.519 -0.092 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)OCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc12 ZINC001318826508 1074177185 /nfs/dbraw/zinc/17/71/85/1074177185.db2.gz OJEORGXWVBBELR-WDEREUQCSA-N 0 0 442.519 -0.092 20 0 IBADRN COCC(=O)NC1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC001318828076 1074177678 /nfs/dbraw/zinc/17/76/78/1074177678.db2.gz ZTKDYZLLWQYGCZ-UHFFFAOYSA-N 0 0 425.507 -0.084 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2n[nH]c(=O)c3ccccc23)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318828831 1074177632 /nfs/dbraw/zinc/17/76/32/1074177632.db2.gz SFNTXGFDVPIYKG-AWEZNQCLSA-N 0 0 447.517 -0.675 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2n[nH]c(=O)c3ccccc23)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318828832 1074177734 /nfs/dbraw/zinc/17/77/34/1074177734.db2.gz SFNTXGFDVPIYKG-CQSZACIVSA-N 0 0 447.517 -0.675 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)n1 ZINC001318830127 1074177653 /nfs/dbraw/zinc/17/76/53/1074177653.db2.gz IEUMBGMFOYWMEU-UHFFFAOYSA-N 0 0 426.430 -0.564 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)c(=O)n(C)c1=O ZINC001318832004 1074177754 /nfs/dbraw/zinc/17/77/54/1074177754.db2.gz DHZYGVXRBIFRIG-PLNGDYQASA-N 0 0 447.536 -0.826 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)c(=O)n(C)c1=O ZINC001318832005 1074177689 /nfs/dbraw/zinc/17/76/89/1074177689.db2.gz DHZYGVXRBIFRIG-SNAWJCMRSA-N 0 0 447.536 -0.826 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@@H](CN3CCOCC3)C2)c1 ZINC001318833676 1074177788 /nfs/dbraw/zinc/17/77/88/1074177788.db2.gz UOESMGFNQJLANU-INIZCTEOSA-N 0 0 438.550 -0.035 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CC[C@H](CN3CCOCC3)C2)c1 ZINC001318833677 1074177771 /nfs/dbraw/zinc/17/77/71/1074177771.db2.gz UOESMGFNQJLANU-MRXNPFEDSA-N 0 0 438.550 -0.035 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC001318837939 1074177701 /nfs/dbraw/zinc/17/77/01/1074177701.db2.gz UKZJZDQMZNCXBY-BBWFWOEESA-N 0 0 435.502 -0.881 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC001318837961 1074177641 /nfs/dbraw/zinc/17/76/41/1074177641.db2.gz UKZJZDQMZNCXBY-GVDBMIGSSA-N 0 0 435.502 -0.881 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC001318837965 1074177763 /nfs/dbraw/zinc/17/77/63/1074177763.db2.gz UKZJZDQMZNCXBY-ULQDDVLXSA-N 0 0 435.502 -0.881 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC001318837970 1074177749 /nfs/dbraw/zinc/17/77/49/1074177749.db2.gz UKZJZDQMZNCXBY-YESZJQIVSA-N 0 0 435.502 -0.881 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001318845456 1074177709 /nfs/dbraw/zinc/17/77/09/1074177709.db2.gz IRAQWJYVMKPWQX-KRWDZBQOSA-N 0 0 444.488 -0.082 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001318845458 1074177731 /nfs/dbraw/zinc/17/77/31/1074177731.db2.gz IRAQWJYVMKPWQX-QGZVFWFLSA-N 0 0 444.488 -0.082 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCc2nnc3n2CCCC3)s1 ZINC001318846447 1074177738 /nfs/dbraw/zinc/17/77/38/1074177738.db2.gz SXVMEEMLHCHGCJ-UHFFFAOYSA-N 0 0 426.524 -0.093 20 0 IBADRN CN(CCNS(C)(=O)=O)c1cc(C(F)(F)F)nc(N(C)CCNS(C)(=O)=O)n1 ZINC001318847582 1074177666 /nfs/dbraw/zinc/17/76/66/1074177666.db2.gz NGFJRRSAANMYMI-UHFFFAOYSA-N 0 0 448.493 -0.534 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC(OCC(N)=O)CC2)cc1OC ZINC001318856180 1074177615 /nfs/dbraw/zinc/17/76/15/1074177615.db2.gz NGHVQOUWRJVWMM-UHFFFAOYSA-N 0 0 429.495 -0.135 20 0 IBADRN CCN(C1CCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1)S(C)(=O)=O ZINC001318858898 1074178115 /nfs/dbraw/zinc/17/81/15/1074178115.db2.gz KBEPVTFQRZVBLN-UHFFFAOYSA-N 0 0 431.536 -0.168 20 0 IBADRN CC(C)N(C(=O)[C@@H](C)NS(C)(=O)=O)C1CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC1 ZINC001318859190 1074178175 /nfs/dbraw/zinc/17/81/75/1074178175.db2.gz DTSHKKUGPGHDBZ-CHWSQXEVSA-N 0 0 440.588 -0.910 20 0 IBADRN CC(C)N(C(=O)[C@H](C)NS(C)(=O)=O)C1CCN(C(=O)[C@@H](C)NS(C)(=O)=O)CC1 ZINC001318859191 1074178113 /nfs/dbraw/zinc/17/81/13/1074178113.db2.gz DTSHKKUGPGHDBZ-OLZOCXBDSA-N 0 0 440.588 -0.910 20 0 IBADRN CC(C)N(C(=O)[C@@H](C)NS(C)(=O)=O)C1CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC1 ZINC001318859192 1074178085 /nfs/dbraw/zinc/17/80/85/1074178085.db2.gz DTSHKKUGPGHDBZ-QWHCGFSZSA-N 0 0 440.588 -0.910 20 0 IBADRN CC(C)N(C(=O)[C@H](C)NS(C)(=O)=O)C1CCN(C(=O)[C@H](C)NS(C)(=O)=O)CC1 ZINC001318859193 1074178153 /nfs/dbraw/zinc/17/81/53/1074178153.db2.gz DTSHKKUGPGHDBZ-STQMWFEESA-N 0 0 440.588 -0.910 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCC[C@H](N2CCNC2=O)C1)N1C(=O)c2ccccc2C1=O ZINC001318859227 1074178075 /nfs/dbraw/zinc/17/80/75/1074178075.db2.gz KRGLXPXYDJPGDY-BBRMVZONSA-N 0 0 427.461 -0.067 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCC[C@@H](N2CCNC2=O)C1)N1C(=O)c2ccccc2C1=O ZINC001318859230 1074178172 /nfs/dbraw/zinc/17/81/72/1074178172.db2.gz KRGLXPXYDJPGDY-CJNGLKHVSA-N 0 0 427.461 -0.067 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCC[C@@H](N2CCNC2=O)C1)N1C(=O)c2ccccc2C1=O ZINC001318859232 1074178069 /nfs/dbraw/zinc/17/80/69/1074178069.db2.gz KRGLXPXYDJPGDY-CZUORRHYSA-N 0 0 427.461 -0.067 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCC[C@H](N2CCNC2=O)C1)N1C(=O)c2ccccc2C1=O ZINC001318859233 1074178121 /nfs/dbraw/zinc/17/81/21/1074178121.db2.gz KRGLXPXYDJPGDY-XJKSGUPXSA-N 0 0 427.461 -0.067 20 0 IBADRN CC(C)c1nn([C@H]2CCS(=O)(=O)C2)cc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001318860029 1074178146 /nfs/dbraw/zinc/17/81/46/1074178146.db2.gz SFPFOYLALRYDJC-AWEZNQCLSA-N 0 0 448.567 -0.242 20 0 IBADRN CC(C)c1nn([C@@H]2CCS(=O)(=O)C2)cc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001318860030 1074178080 /nfs/dbraw/zinc/17/80/80/1074178080.db2.gz SFPFOYLALRYDJC-CQSZACIVSA-N 0 0 448.567 -0.242 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)N2CC[NH+](C)CC2)s1 ZINC001318861824 1074178126 /nfs/dbraw/zinc/17/81/26/1074178126.db2.gz DYFPBNJALVKRSU-UHFFFAOYSA-N 0 0 445.611 -0.195 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1cn(CC(=O)N(C)C)nn1 ZINC001318863450 1074178138 /nfs/dbraw/zinc/17/81/38/1074178138.db2.gz LDHMBLISTIIOMY-AWEZNQCLSA-N 0 0 438.485 -0.009 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1cn(CC(=O)N(C)C)nn1 ZINC001318863453 1074178149 /nfs/dbraw/zinc/17/81/49/1074178149.db2.gz LDHMBLISTIIOMY-CQSZACIVSA-N 0 0 438.485 -0.009 20 0 IBADRN CCOC(Cn1cc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)nn1)OCC ZINC001318863999 1074178098 /nfs/dbraw/zinc/17/80/98/1074178098.db2.gz PJTVLKUHAOBPOP-UHFFFAOYSA-N 0 0 442.498 -0.047 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001318864617 1074178158 /nfs/dbraw/zinc/17/81/58/1074178158.db2.gz BYMGAOINXOFPRD-INIZCTEOSA-N 0 0 438.506 -0.286 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001318864618 1074178509 /nfs/dbraw/zinc/17/85/09/1074178509.db2.gz BYMGAOINXOFPRD-MRXNPFEDSA-N 0 0 438.506 -0.286 20 0 IBADRN CC(C)c1nn([C@H]2CCS(=O)(=O)C2)cc1C(=O)NCC(=O)NCCN1CCOCC1 ZINC001318866143 1074178523 /nfs/dbraw/zinc/17/85/23/1074178523.db2.gz PMRXIIUWPNHZGA-HNNXBMFYSA-N 0 0 441.554 -0.456 20 0 IBADRN CC(C)c1nn([C@@H]2CCS(=O)(=O)C2)cc1C(=O)NCC(=O)NCCN1CCOCC1 ZINC001318866144 1074178545 /nfs/dbraw/zinc/17/85/45/1074178545.db2.gz PMRXIIUWPNHZGA-OAHLLOKOSA-N 0 0 441.554 -0.456 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(OCC(N)=O)CC2)c1 ZINC001318867060 1074178559 /nfs/dbraw/zinc/17/85/59/1074178559.db2.gz WYWQRBWIYNHWFK-UHFFFAOYSA-N 0 0 426.495 -0.840 20 0 IBADRN O=C(NCC1CCN(C(=O)C(F)(F)F)CC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001318869771 1074178486 /nfs/dbraw/zinc/17/84/86/1074178486.db2.gz KVWDMVCCLMNOTD-CHWSQXEVSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NCC1CCN(C(=O)C(F)(F)F)CC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001318869775 1074178711 /nfs/dbraw/zinc/17/87/11/1074178711.db2.gz KVWDMVCCLMNOTD-OLZOCXBDSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NCC1CCN(C(=O)C(F)(F)F)CC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001318869777 1074178550 /nfs/dbraw/zinc/17/85/50/1074178550.db2.gz KVWDMVCCLMNOTD-QWHCGFSZSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NCC1CCN(C(=O)C(F)(F)F)CC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001318869778 1074178512 /nfs/dbraw/zinc/17/85/12/1074178512.db2.gz KVWDMVCCLMNOTD-STQMWFEESA-N 0 0 439.456 -0.061 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001318874313 1074178591 /nfs/dbraw/zinc/17/85/91/1074178591.db2.gz XJQJMNDFCWJQFJ-UHFFFAOYSA-N 0 0 426.495 -0.881 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)NC(=O)CO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318888401 1074178661 /nfs/dbraw/zinc/17/86/61/1074178661.db2.gz PFOXEJWXTAWNTN-AWEZNQCLSA-N 0 0 436.490 -0.921 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)NC(=O)CO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318888406 1074178718 /nfs/dbraw/zinc/17/87/18/1074178718.db2.gz PFOXEJWXTAWNTN-CQSZACIVSA-N 0 0 436.490 -0.921 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3CCC(=O)NC3=O)CC2)c(OC)c1OC ZINC001318890185 1074178566 /nfs/dbraw/zinc/17/85/66/1074178566.db2.gz HQHQKGSXUYJWNE-UHFFFAOYSA-N 0 0 434.449 -0.061 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nnc1[C@@H]1CCCCO1 ZINC001318900008 1074179123 /nfs/dbraw/zinc/17/91/23/1074179123.db2.gz LJAVYTDPWVCAPE-KBPBESRZSA-N 0 0 444.583 -0.343 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nnc1[C@@H]1CCCCO1 ZINC001318900009 1074179087 /nfs/dbraw/zinc/17/90/87/1074179087.db2.gz LJAVYTDPWVCAPE-KGLIPLIRSA-N 0 0 444.583 -0.343 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)nnc1[C@H]1CCCCO1 ZINC001318900010 1074179065 /nfs/dbraw/zinc/17/90/65/1074179065.db2.gz LJAVYTDPWVCAPE-UONOGXRCSA-N 0 0 444.583 -0.343 20 0 IBADRN Nn1c(SCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)nnc1[C@H]1CCCCO1 ZINC001318900011 1074179083 /nfs/dbraw/zinc/17/90/83/1074179083.db2.gz LJAVYTDPWVCAPE-ZIAGYGMSSA-N 0 0 444.583 -0.343 20 0 IBADRN C[C@H](NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C(=O)NCC(F)(F)F ZINC001318901071 1074179077 /nfs/dbraw/zinc/17/90/77/1074179077.db2.gz WXUYKHBTSLYFCW-JTQLQIEISA-N 0 0 427.405 -0.101 20 0 IBADRN C[C@@H](NC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C(=O)NCC(F)(F)F ZINC001318901090 1074179085 /nfs/dbraw/zinc/17/90/85/1074179085.db2.gz WXUYKHBTSLYFCW-SNVBAGLBSA-N 0 0 427.405 -0.101 20 0 IBADRN CCN1C(=S)N=NC1CNC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001318901321 1074179126 /nfs/dbraw/zinc/17/91/26/1074179126.db2.gz CKGDUUPQJVVODF-UHFFFAOYSA-N 0 0 432.553 -0.121 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)C2CCN(c3ccc4nncn4n3)CC2)cn1 ZINC001318906248 1074179074 /nfs/dbraw/zinc/17/90/74/1074179074.db2.gz JIWNKMXJFUPPMG-UHFFFAOYSA-N 0 0 427.469 -0.062 20 0 IBADRN COCC(=O)NCCC(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001318913678 1074179140 /nfs/dbraw/zinc/17/91/40/1074179140.db2.gz FRYUNYJEOWBAPA-UHFFFAOYSA-N 0 0 448.542 -0.768 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCC2N=NC(=S)N2C)s1 ZINC001318916733 1074179147 /nfs/dbraw/zinc/17/91/47/1074179147.db2.gz PVKAALWVPVQTIJ-UHFFFAOYSA-N 0 0 432.553 -0.561 20 0 IBADRN COC(=O)CCN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001318920530 1074179115 /nfs/dbraw/zinc/17/91/15/1074179115.db2.gz MVKMKZUGUQBRJQ-KRWDZBQOSA-N 0 0 431.555 -0.638 20 0 IBADRN COC(=O)CCN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001318920531 1074179092 /nfs/dbraw/zinc/17/90/92/1074179092.db2.gz MVKMKZUGUQBRJQ-QGZVFWFLSA-N 0 0 431.555 -0.638 20 0 IBADRN O=C(CO[C@@H]1CCOC1)NCc1cccnc1N1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001318924895 1074179742 /nfs/dbraw/zinc/17/97/42/1074179742.db2.gz WAHBHKBXDABOOQ-MOPGFXCFSA-N 0 0 448.520 -0.043 20 0 IBADRN O=C(CO[C@H]1CCOC1)NCc1cccnc1N1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001318924897 1074179680 /nfs/dbraw/zinc/17/96/80/1074179680.db2.gz WAHBHKBXDABOOQ-OALUTQOASA-N 0 0 448.520 -0.043 20 0 IBADRN O=C(CO[C@H]1CCOC1)NCc1cccnc1N1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001318924899 1074179717 /nfs/dbraw/zinc/17/97/17/1074179717.db2.gz WAHBHKBXDABOOQ-RBUKOAKNSA-N 0 0 448.520 -0.043 20 0 IBADRN O=C(CO[C@@H]1CCOC1)NCc1cccnc1N1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001318924900 1074179673 /nfs/dbraw/zinc/17/96/73/1074179673.db2.gz WAHBHKBXDABOOQ-RTBURBONSA-N 0 0 448.520 -0.043 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3CCC(OCC(N)=O)CC3)cn2)CC1 ZINC001318928641 1074179733 /nfs/dbraw/zinc/17/97/33/1074179733.db2.gz OLYWMLFHBAXYHQ-UHFFFAOYSA-N 0 0 440.526 -0.339 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC001318933811 1074179745 /nfs/dbraw/zinc/17/97/45/1074179745.db2.gz OFQPUEJWSPBBQX-CYBMUJFWSA-N 0 0 431.474 -0.635 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC001318933823 1074179703 /nfs/dbraw/zinc/17/97/03/1074179703.db2.gz OFQPUEJWSPBBQX-ZDUSSCGKSA-N 0 0 431.474 -0.635 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CCN3C(=O)NC(=O)[C@@H]3C1)n2C ZINC001318934868 1074179685 /nfs/dbraw/zinc/17/96/85/1074179685.db2.gz GLMIUMNLERAJCS-HNNXBMFYSA-N 0 0 448.505 -0.481 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CCN3C(=O)NC(=O)[C@H]3C1)n2C ZINC001318934873 1074179699 /nfs/dbraw/zinc/17/96/99/1074179699.db2.gz GLMIUMNLERAJCS-OAHLLOKOSA-N 0 0 448.505 -0.481 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCc3nn(C)c(=O)cc3C2)c1 ZINC001318935017 1074179748 /nfs/dbraw/zinc/17/97/48/1074179748.db2.gz VUHRXPYYYXJFET-UHFFFAOYSA-N 0 0 435.506 -0.443 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCN(CCn2cncn2)CC1 ZINC001318935405 1074179721 /nfs/dbraw/zinc/17/97/21/1074179721.db2.gz KYEIKDSWDOQXTC-UHFFFAOYSA-N 0 0 437.526 -0.905 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001318935911 1074179757 /nfs/dbraw/zinc/17/97/57/1074179757.db2.gz PDRQHVJUMRGZDK-UHFFFAOYSA-N 0 0 427.545 -0.711 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cc3ccccc3[nH]c2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318945323 1074179709 /nfs/dbraw/zinc/17/97/09/1074179709.db2.gz LKVUEBJDFSLZLR-KRWDZBQOSA-N 0 0 446.529 -0.070 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2cc3ccccc3[nH]c2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318945325 1074179730 /nfs/dbraw/zinc/17/97/30/1074179730.db2.gz LKVUEBJDFSLZLR-QGZVFWFLSA-N 0 0 446.529 -0.070 20 0 IBADRN CCCc1noc(CCCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001318945947 1074179691 /nfs/dbraw/zinc/17/96/91/1074179691.db2.gz YUBGVFKNLUIEJB-HNNXBMFYSA-N 0 0 441.554 -0.208 20 0 IBADRN CCCc1noc(CCCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001318945981 1074180136 /nfs/dbraw/zinc/18/01/36/1074180136.db2.gz YUBGVFKNLUIEJB-OAHLLOKOSA-N 0 0 441.554 -0.208 20 0 IBADRN Cn1cc(N2CCN(Cn3nc([C@@H]4CCS(=O)(=O)C4)n(C)c3=S)CC2=O)cn1 ZINC001318946115 1074179915 /nfs/dbraw/zinc/17/99/15/1074179915.db2.gz BASLHAAILABGGB-GFCCVEGCSA-N 0 0 425.540 -0.107 20 0 IBADRN Cn1cc(N2CCN(Cn3nc([C@H]4CCS(=O)(=O)C4)n(C)c3=S)CC2=O)cn1 ZINC001318946116 1074179930 /nfs/dbraw/zinc/17/99/30/1074179930.db2.gz BASLHAAILABGGB-LBPRGKRZSA-N 0 0 425.540 -0.107 20 0 IBADRN COc1nc(N2CCC(N3CCNC3=O)CC2)nc(N2CCC(N3CCNC3=O)CC2)n1 ZINC001318947847 1074179990 /nfs/dbraw/zinc/17/99/90/1074179990.db2.gz QHONNXJAYHJTAW-UHFFFAOYSA-N 0 0 445.528 -0.132 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCN(CCn3cncn3)CC2)cc1 ZINC001318949225 1074180051 /nfs/dbraw/zinc/18/00/51/1074180051.db2.gz JRZZYYWLJRYOLG-UHFFFAOYSA-N 0 0 434.522 -0.402 20 0 IBADRN COC(=O)CC1(NC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCOCC1 ZINC001318950956 1074179898 /nfs/dbraw/zinc/17/98/98/1074179898.db2.gz LEQQBAHRFXNBHY-UHFFFAOYSA-N 0 0 447.535 -0.109 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)cn1 ZINC001318951663 1074180172 /nfs/dbraw/zinc/18/01/72/1074180172.db2.gz QZXREQXPCKXRNJ-UHFFFAOYSA-N 0 0 448.571 -0.704 20 0 IBADRN Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001318951711 1074180026 /nfs/dbraw/zinc/18/00/26/1074180026.db2.gz FLDPZTFSVWOUIH-CYBMUJFWSA-N 0 0 446.551 -0.111 20 0 IBADRN Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001318951712 1074180061 /nfs/dbraw/zinc/18/00/61/1074180061.db2.gz FLDPZTFSVWOUIH-ZDUSSCGKSA-N 0 0 446.551 -0.111 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC001318956281 1074180142 /nfs/dbraw/zinc/18/01/42/1074180142.db2.gz YSMYUPJQGDJOCV-GFCCVEGCSA-N 0 0 443.526 -0.626 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC001318956283 1074180011 /nfs/dbraw/zinc/18/00/11/1074180011.db2.gz YSMYUPJQGDJOCV-LBPRGKRZSA-N 0 0 443.526 -0.626 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC001318957763 1074180127 /nfs/dbraw/zinc/18/01/27/1074180127.db2.gz WIDYQKCZXFGUNI-AFNCTOJWSA-N 0 0 432.481 -0.078 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)/C=C\c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC001318957767 1074180120 /nfs/dbraw/zinc/18/01/20/1074180120.db2.gz WIDYQKCZXFGUNI-LMVHVUTASA-N 0 0 432.481 -0.078 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC001318957768 1074180179 /nfs/dbraw/zinc/18/01/79/1074180179.db2.gz WIDYQKCZXFGUNI-PSKZRQQASA-N 0 0 432.481 -0.078 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)/C=C/c3cn(C)c(=O)n(C)c3=O)CC2)n1 ZINC001318957769 1074179956 /nfs/dbraw/zinc/17/99/56/1074179956.db2.gz WIDYQKCZXFGUNI-UZYOAWRESA-N 0 0 432.481 -0.078 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)OCC(=O)N3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001318959167 1074179975 /nfs/dbraw/zinc/17/99/75/1074179975.db2.gz RIERPTJKLMMALX-AWEZNQCLSA-N 0 0 436.490 -0.921 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)OCC(=O)N3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001318959168 1074180608 /nfs/dbraw/zinc/18/06/08/1074180608.db2.gz RIERPTJKLMMALX-CQSZACIVSA-N 0 0 436.490 -0.921 20 0 IBADRN COc1nc(N2CCN(C(=O)Cn3ncn4nccc4c3=O)CC2)ncc1Br ZINC001318959280 1074180546 /nfs/dbraw/zinc/18/05/46/1074180546.db2.gz JGSTVLUPEIPGOV-UHFFFAOYSA-N 0 0 449.269 -0.199 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC001318963203 1074180536 /nfs/dbraw/zinc/18/05/36/1074180536.db2.gz YPSOEDAJDRYARE-CYBMUJFWSA-N 0 0 441.514 -0.477 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)CNS(=O)(=O)c3cnn(C)c3)CC2)n1 ZINC001318963227 1074180630 /nfs/dbraw/zinc/18/06/30/1074180630.db2.gz YPSOEDAJDRYARE-ZDUSSCGKSA-N 0 0 441.514 -0.477 20 0 IBADRN CC(=O)Nc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC001318963614 1074180644 /nfs/dbraw/zinc/18/06/44/1074180644.db2.gz OOIIRZTZDAFVCR-HNNXBMFYSA-N 0 0 440.497 -0.155 20 0 IBADRN CC(=O)Nc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)ccc1F ZINC001318963615 1074180543 /nfs/dbraw/zinc/18/05/43/1074180543.db2.gz OOIIRZTZDAFVCR-OAHLLOKOSA-N 0 0 440.497 -0.155 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c2ccccc21 ZINC001318964066 1074180584 /nfs/dbraw/zinc/18/05/84/1074180584.db2.gz UWVBUMBNEGYLOJ-HNNXBMFYSA-N 0 0 446.529 -0.400 20 0 IBADRN Cn1c(=O)cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc21 ZINC001318964074 1074180663 /nfs/dbraw/zinc/18/06/63/1074180663.db2.gz UWVBUMBNEGYLOJ-OAHLLOKOSA-N 0 0 446.529 -0.400 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001318968311 1074180625 /nfs/dbraw/zinc/18/06/25/1074180625.db2.gz OTKHJTSLEVZMRT-KRWDZBQOSA-N 0 0 446.508 -0.820 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001318968312 1074180617 /nfs/dbraw/zinc/18/06/17/1074180617.db2.gz OTKHJTSLEVZMRT-QGZVFWFLSA-N 0 0 446.508 -0.820 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001318973354 1074180568 /nfs/dbraw/zinc/18/05/68/1074180568.db2.gz IWBQNFSWUPRIDY-UHFFFAOYSA-N 0 0 438.572 -0.706 20 0 IBADRN CC(C)(CNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)NS(C)(=O)=O ZINC001318974252 1074180592 /nfs/dbraw/zinc/18/05/92/1074180592.db2.gz OQSCPFBOCIPQLD-UHFFFAOYSA-N 0 0 440.588 -0.413 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)CC1 ZINC001318975953 1074180562 /nfs/dbraw/zinc/18/05/62/1074180562.db2.gz YVIVNLKHKMDSJQ-UHFFFAOYSA-N 0 0 432.455 -0.100 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC001318977907 1074180550 /nfs/dbraw/zinc/18/05/50/1074180550.db2.gz QXOLBAYWXHTXBB-GOSISDBHSA-N 0 0 434.541 -0.382 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1 ZINC001318977908 1074180599 /nfs/dbraw/zinc/18/05/99/1074180599.db2.gz QXOLBAYWXHTXBB-SFHVURJKSA-N 0 0 434.541 -0.382 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)NCC(F)(F)F)s1 ZINC001318978511 1074180658 /nfs/dbraw/zinc/18/06/58/1074180658.db2.gz JSQHJRXCWXSDNZ-MRVPVSSYSA-N 0 0 444.457 -0.154 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)NCC(F)(F)F)s1 ZINC001318978512 1074180650 /nfs/dbraw/zinc/18/06/50/1074180650.db2.gz JSQHJRXCWXSDNZ-QMMMGPOBSA-N 0 0 444.457 -0.154 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCOCC2)s1 ZINC001318979529 1074180635 /nfs/dbraw/zinc/18/06/35/1074180635.db2.gz WOZWDIISMDRYIH-UHFFFAOYSA-N 0 0 432.524 -0.972 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CCC(OCC(N)=O)CC2)C1=O ZINC001318979658 1074180575 /nfs/dbraw/zinc/18/05/75/1074180575.db2.gz HMCKCLVKMRQBJY-IBGZPJMESA-N 0 0 438.506 -0.219 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CN2CCC(OCC(N)=O)CC2)C1=O ZINC001318979659 1074180612 /nfs/dbraw/zinc/18/06/12/1074180612.db2.gz HMCKCLVKMRQBJY-LJQANCHMSA-N 0 0 438.506 -0.219 20 0 IBADRN Cc1cccc(N2C(=O)N[C@@H](CCC(=O)N3CCN(CCS(N)(=O)=O)CC3)C2=O)c1 ZINC001318980048 1074181069 /nfs/dbraw/zinc/18/10/69/1074181069.db2.gz MKDCKKNMBCXOEJ-INIZCTEOSA-N 0 0 437.522 -0.367 20 0 IBADRN Cc1cccc(N2C(=O)N[C@H](CCC(=O)N3CCN(CCS(N)(=O)=O)CC3)C2=O)c1 ZINC001318980051 1074181031 /nfs/dbraw/zinc/18/10/31/1074181031.db2.gz MKDCKKNMBCXOEJ-MRXNPFEDSA-N 0 0 437.522 -0.367 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)CC2(CC(=O)N3CCOCC3)CCCC2)CC1 ZINC001318980072 1074181158 /nfs/dbraw/zinc/18/11/58/1074181158.db2.gz MWKZCRZFFOTIIG-UHFFFAOYSA-N 0 0 430.571 -0.382 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CN2CCC(OCC(N)=O)CC2)c1=O ZINC001318980110 1074181129 /nfs/dbraw/zinc/18/11/29/1074181129.db2.gz LMDNFAUEWUTGBE-UHFFFAOYSA-N 0 0 439.538 -0.003 20 0 IBADRN CN(CCS(=O)(=O)N1CCC(OCC(N)=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC001318980527 1074181094 /nfs/dbraw/zinc/18/10/94/1074181094.db2.gz UCTZCYJKDSQGPJ-UHFFFAOYSA-N 0 0 437.515 -0.258 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN([C@H](C(N)=O)c3ccccc3)CC2)CC1 ZINC001318982433 1074181136 /nfs/dbraw/zinc/18/11/36/1074181136.db2.gz DXNUSQYBHUGZGS-FQEVSTJZSA-N 0 0 444.536 -0.379 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN([C@@H](C(N)=O)c3ccccc3)CC2)CC1 ZINC001318982435 1074181155 /nfs/dbraw/zinc/18/11/55/1074181155.db2.gz DXNUSQYBHUGZGS-HXUWFJFHSA-N 0 0 444.536 -0.379 20 0 IBADRN CCN(C1CCN(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1)S(C)(=O)=O ZINC001318982727 1074181115 /nfs/dbraw/zinc/18/11/15/1074181115.db2.gz JKRCVYXNCQPBEV-UHFFFAOYSA-N 0 0 431.559 -0.477 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC(C)(C)CCS(C)(=O)=O)s1 ZINC001318993641 1074180997 /nfs/dbraw/zinc/18/09/97/1074180997.db2.gz KTRQRWYNYCYOQT-UHFFFAOYSA-N 0 0 439.581 -0.008 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)CCc3c(C)nc(N4CCOCC4)[nH]c3=O)CCN2C1=O ZINC001318996044 1074181144 /nfs/dbraw/zinc/18/11/44/1074181144.db2.gz HKLVXLUDWDSQHT-HNNXBMFYSA-N 0 0 432.481 -0.245 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)CCc3c(C)nc(N4CCOCC4)[nH]c3=O)CCN2C1=O ZINC001318996045 1074181048 /nfs/dbraw/zinc/18/10/48/1074181048.db2.gz HKLVXLUDWDSQHT-OAHLLOKOSA-N 0 0 432.481 -0.245 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@@H](CC(N)=O)C1CCOCC1)c2=O ZINC001318996672 1074181088 /nfs/dbraw/zinc/18/10/88/1074181088.db2.gz MFIDSXSLJWTAFC-JTQLQIEISA-N 0 0 441.286 -0.321 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@H](CC(N)=O)C1CCOCC1)c2=O ZINC001318996673 1074181074 /nfs/dbraw/zinc/18/10/74/1074181074.db2.gz MFIDSXSLJWTAFC-SNVBAGLBSA-N 0 0 441.286 -0.321 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1F ZINC001319001275 1074181083 /nfs/dbraw/zinc/18/10/83/1074181083.db2.gz NXKPHDFRGUZSLA-SECBINFHSA-N 0 0 440.446 -0.325 20 0 IBADRN COC(=O)c1c(F)ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1F ZINC001319001276 1074180982 /nfs/dbraw/zinc/18/09/82/1074180982.db2.gz NXKPHDFRGUZSLA-VIFPVBQESA-N 0 0 440.446 -0.325 20 0 IBADRN CNC(=O)Cc1noc(CNc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC001319001567 1074181038 /nfs/dbraw/zinc/18/10/38/1074181038.db2.gz RNJDVKBUZLETHA-UHFFFAOYSA-N 0 0 425.467 0.000 20 0 IBADRN C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1)C(=O)N(C)C ZINC001319002385 1074181167 /nfs/dbraw/zinc/18/11/67/1074181167.db2.gz LURCRDCXLAHHHN-LPIOIXHQSA-N 0 0 445.563 -0.027 20 0 IBADRN C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1)C(=O)N(C)C ZINC001319002386 1074181107 /nfs/dbraw/zinc/18/11/07/1074181107.db2.gz LURCRDCXLAHHHN-QCIVWTMKSA-N 0 0 445.563 -0.027 20 0 IBADRN C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1)C(=O)N(C)C ZINC001319002387 1074180959 /nfs/dbraw/zinc/18/09/59/1074180959.db2.gz LURCRDCXLAHHHN-RNTKJRCOSA-N 0 0 445.563 -0.027 20 0 IBADRN C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1)C(=O)N(C)C ZINC001319002388 1074181514 /nfs/dbraw/zinc/18/15/14/1074181514.db2.gz LURCRDCXLAHHHN-YYKIQEHNSA-N 0 0 445.563 -0.027 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC2CCN(S(C)(=O)=O)CC2)c1 ZINC001319002557 1074181517 /nfs/dbraw/zinc/18/15/17/1074181517.db2.gz VXXNFSAVQHOGQO-UHFFFAOYSA-N 0 0 446.551 -0.793 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cc(N2CCNC2=O)ccc1F ZINC001319008028 1074181574 /nfs/dbraw/zinc/18/15/74/1074181574.db2.gz YSKXTZNXBMYNRA-CVEARBPZSA-N 0 0 426.470 -0.417 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cc(N2CCNC2=O)ccc1F ZINC001319008029 1074181527 /nfs/dbraw/zinc/18/15/27/1074181527.db2.gz YSKXTZNXBMYNRA-HOTGVXAUSA-N 0 0 426.470 -0.417 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cc(N2CCNC2=O)ccc1F ZINC001319008030 1074181564 /nfs/dbraw/zinc/18/15/64/1074181564.db2.gz YSKXTZNXBMYNRA-HZPDHXFCSA-N 0 0 426.470 -0.417 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cc(N2CCNC2=O)ccc1F ZINC001319008031 1074181556 /nfs/dbraw/zinc/18/15/56/1074181556.db2.gz YSKXTZNXBMYNRA-JKSUJKDBSA-N 0 0 426.470 -0.417 20 0 IBADRN CS(=O)(=O)CC1(CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001319008126 1074181570 /nfs/dbraw/zinc/18/15/70/1074181570.db2.gz SVZUGUUDYFJWAF-UHFFFAOYSA-N 0 0 431.536 -0.275 20 0 IBADRN O=C(COC(=O)c1cnn(CC(=O)NC2CCCCC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001319017105 1074181496 /nfs/dbraw/zinc/18/14/96/1074181496.db2.gz LIERHKDFQOARKC-HNNXBMFYSA-N 0 0 426.495 -0.208 20 0 IBADRN O=C(COC(=O)c1cnn(CC(=O)NC2CCCCC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319017137 1074181504 /nfs/dbraw/zinc/18/15/04/1074181504.db2.gz LIERHKDFQOARKC-OAHLLOKOSA-N 0 0 426.495 -0.208 20 0 IBADRN COc1nc(N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)ncc1Br ZINC001319021838 1074181544 /nfs/dbraw/zinc/18/15/44/1074181544.db2.gz ALSMLSHQOBSZDE-LLVKDONJSA-N 0 0 448.343 -0.327 20 0 IBADRN COc1nc(N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)ncc1Br ZINC001319021839 1074181553 /nfs/dbraw/zinc/18/15/53/1074181553.db2.gz ALSMLSHQOBSZDE-NSHDSACASA-N 0 0 448.343 -0.327 20 0 IBADRN Cc1nc2n(n1)CCN(C(=O)c1cc(S(=O)(=O)N3CCn4nc(C)nc4C3)c[nH]1)C2 ZINC001319025874 1074181559 /nfs/dbraw/zinc/18/15/59/1074181559.db2.gz GDQAUFCGTWBACM-UHFFFAOYSA-N 0 0 431.482 -0.325 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccc(C(=O)N4CCCC4)cc3)C(=O)[C@@H]2C1 ZINC001319027360 1074181535 /nfs/dbraw/zinc/18/15/35/1074181535.db2.gz SOMIPMFUIKFWRX-INIZCTEOSA-N 0 0 442.476 -0.434 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccc(C(=O)N4CCCC4)cc3)C(=O)[C@H]2C1 ZINC001319027361 1074181524 /nfs/dbraw/zinc/18/15/24/1074181524.db2.gz SOMIPMFUIKFWRX-MRXNPFEDSA-N 0 0 442.476 -0.434 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(CCn3cncn3)CC2)c1 ZINC001319027630 1074181539 /nfs/dbraw/zinc/18/15/39/1074181539.db2.gz QSYGIZPUVYWWNX-UHFFFAOYSA-N 0 0 449.537 -0.780 20 0 IBADRN Cn1ncc2cc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cnc21 ZINC001319027937 1074181507 /nfs/dbraw/zinc/18/15/07/1074181507.db2.gz JZPKKEZTLQKABP-AWEZNQCLSA-N 0 0 427.508 -0.757 20 0 IBADRN Cn1ncc2cc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cnc21 ZINC001319027939 1074181532 /nfs/dbraw/zinc/18/15/32/1074181532.db2.gz JZPKKEZTLQKABP-CQSZACIVSA-N 0 0 427.508 -0.757 20 0 IBADRN Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319027986 1074182029 /nfs/dbraw/zinc/18/20/29/1074182029.db2.gz LHQKMNHATOTJNW-AWEZNQCLSA-N 0 0 439.538 -0.325 20 0 IBADRN Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319027987 1074181970 /nfs/dbraw/zinc/18/19/70/1074181970.db2.gz LHQKMNHATOTJNW-CQSZACIVSA-N 0 0 439.538 -0.325 20 0 IBADRN Cc1nnc(CNC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1C ZINC001319029066 1074182047 /nfs/dbraw/zinc/18/20/47/1074182047.db2.gz LTGOVDBGNJVGAB-UHFFFAOYSA-N 0 0 449.493 -0.329 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(=O)(=O)N(C)C)c(C)o1 ZINC001319031553 1074182001 /nfs/dbraw/zinc/18/20/01/1074182001.db2.gz VKLWLUWYZHAYJD-GFCCVEGCSA-N 0 0 435.524 -0.396 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(=O)(=O)N(C)C)c(C)o1 ZINC001319031554 1074182077 /nfs/dbraw/zinc/18/20/77/1074182077.db2.gz VKLWLUWYZHAYJD-LBPRGKRZSA-N 0 0 435.524 -0.396 20 0 IBADRN O=C(CN1CCN(C2CCOCC2)CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001319035234 1074182070 /nfs/dbraw/zinc/18/20/70/1074182070.db2.gz GTCZCYZXIPESCS-MOPGFXCFSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCN(C2CCOCC2)CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001319035235 1074182020 /nfs/dbraw/zinc/18/20/20/1074182020.db2.gz GTCZCYZXIPESCS-OALUTQOASA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCN(C2CCOCC2)CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001319035236 1074181980 /nfs/dbraw/zinc/18/19/80/1074181980.db2.gz GTCZCYZXIPESCS-RBUKOAKNSA-N 0 0 429.583 -0.022 20 0 IBADRN O=C(CN1CCN(C2CCOCC2)CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001319035237 1074181930 /nfs/dbraw/zinc/18/19/30/1074181930.db2.gz GTCZCYZXIPESCS-RTBURBONSA-N 0 0 429.583 -0.022 20 0 IBADRN COc1ccc(C(=O)N2CCN(CCS(=O)(=O)CCC(N)=O)CC2)c(OC)c1OC ZINC001319036337 1074181948 /nfs/dbraw/zinc/18/19/48/1074181948.db2.gz QQVNVUOQMRLOPG-UHFFFAOYSA-N 0 0 443.522 -0.240 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)cn1)N1CCOCC1 ZINC001319037846 1074181963 /nfs/dbraw/zinc/18/19/63/1074181963.db2.gz KWNSNTRKWMPTJI-UHFFFAOYSA-N 0 0 435.485 -0.656 20 0 IBADRN C[C@]1(C2CCN(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)CC2)NC(=O)NC1=O ZINC001319041288 1074182064 /nfs/dbraw/zinc/18/20/64/1074182064.db2.gz UZRYYXNSWVKJFV-GOSISDBHSA-N 0 0 427.527 -0.037 20 0 IBADRN C[C@@]1(C2CCN(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)CC2)NC(=O)NC1=O ZINC001319041289 1074182038 /nfs/dbraw/zinc/18/20/38/1074182038.db2.gz UZRYYXNSWVKJFV-SFHVURJKSA-N 0 0 427.527 -0.037 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001319041589 1074182054 /nfs/dbraw/zinc/18/20/54/1074182054.db2.gz MWQVBMHBOVFZIX-UHFFFAOYSA-N 0 0 439.600 -0.699 20 0 IBADRN CN(C(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C)S(C)(=O)=O ZINC001319043350 1074182012 /nfs/dbraw/zinc/18/20/12/1074182012.db2.gz BSMVRLFXQPYKMN-UHFFFAOYSA-N 0 0 444.535 -0.055 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1=O ZINC001319047641 1074181990 /nfs/dbraw/zinc/18/19/90/1074181990.db2.gz XBDOINAJCWBYGB-ACJLOTCBSA-N 0 0 429.543 -0.485 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1=O ZINC001319047659 1074182471 /nfs/dbraw/zinc/18/24/71/1074182471.db2.gz XBDOINAJCWBYGB-FZKQIMNGSA-N 0 0 429.543 -0.485 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1=O ZINC001319047661 1074182528 /nfs/dbraw/zinc/18/25/28/1074182528.db2.gz XBDOINAJCWBYGB-SCLBCKFNSA-N 0 0 429.543 -0.485 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1=O ZINC001319047663 1074182517 /nfs/dbraw/zinc/18/25/17/1074182517.db2.gz XBDOINAJCWBYGB-UGSOOPFHSA-N 0 0 429.543 -0.485 20 0 IBADRN O=C(NCCS(=O)(=O)NCc1ccccc1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319053334 1074182573 /nfs/dbraw/zinc/18/25/73/1074182573.db2.gz KOXQZZTZWSMBFA-UHFFFAOYSA-N 0 0 439.538 -0.115 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001319054974 1074182491 /nfs/dbraw/zinc/18/24/91/1074182491.db2.gz XSWHTNOVUMKEPZ-UHFFFAOYSA-N 0 0 447.583 -0.249 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(NC(N)=O)CC1 ZINC001319055186 1074182509 /nfs/dbraw/zinc/18/25/09/1074182509.db2.gz DLUQPPWKDMKSKJ-UHFFFAOYSA-N 0 0 426.495 -0.011 20 0 IBADRN O=C(COC(=O)CO[C@H]1CCOC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001319055560 1074182591 /nfs/dbraw/zinc/18/25/91/1074182591.db2.gz HRROFTJDYNHNPS-HNNXBMFYSA-N 0 0 428.463 -0.005 20 0 IBADRN O=C(COC(=O)CO[C@@H]1CCOC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001319055564 1074182481 /nfs/dbraw/zinc/18/24/81/1074182481.db2.gz HRROFTJDYNHNPS-OAHLLOKOSA-N 0 0 428.463 -0.005 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001319056249 1074182613 /nfs/dbraw/zinc/18/26/13/1074182613.db2.gz DNRLPSZHODTGQK-NEPJUHHUSA-N 0 0 447.510 -0.151 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001319056250 1074182552 /nfs/dbraw/zinc/18/25/52/1074182552.db2.gz DNRLPSZHODTGQK-NWDGAFQWSA-N 0 0 447.510 -0.151 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001319056251 1074182581 /nfs/dbraw/zinc/18/25/81/1074182581.db2.gz DNRLPSZHODTGQK-RYUDHWBXSA-N 0 0 447.510 -0.151 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001319056252 1074182936 /nfs/dbraw/zinc/18/29/36/1074182936.db2.gz DNRLPSZHODTGQK-VXGBXAGGSA-N 0 0 447.510 -0.151 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC001319061885 1074182931 /nfs/dbraw/zinc/18/29/31/1074182931.db2.gz CTAPLQRCNUZWGP-INIZCTEOSA-N 0 0 441.540 -0.109 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C2CCN(c3ccc4nnnn4n3)CC2)CC1 ZINC001319061893 1074182925 /nfs/dbraw/zinc/18/29/25/1074182925.db2.gz CTAPLQRCNUZWGP-MRXNPFEDSA-N 0 0 441.540 -0.109 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)Cc2nn(CCO)c(=O)c3ccccc23)CC1 ZINC001319065594 1074182951 /nfs/dbraw/zinc/18/29/51/1074182951.db2.gz PNUMXLFXISHPQO-AWEZNQCLSA-N 0 0 430.461 -0.446 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)Cc2nn(CCO)c(=O)c3ccccc23)CC1 ZINC001319065596 1074183022 /nfs/dbraw/zinc/18/30/22/1074183022.db2.gz PNUMXLFXISHPQO-CQSZACIVSA-N 0 0 430.461 -0.446 20 0 IBADRN NC(=O)N1CCN2C(=O)N(Cc3nnnn3-c3ccccc3Br)C(=O)[C@H]2C1 ZINC001319066720 1074182917 /nfs/dbraw/zinc/18/29/17/1074182917.db2.gz HGMAUIRBKWUNQO-LLVKDONJSA-N 0 0 435.242 -0.048 20 0 IBADRN NC(=O)N1CCN2C(=O)N(Cc3nnnn3-c3ccccc3Br)C(=O)[C@@H]2C1 ZINC001319066744 1074182983 /nfs/dbraw/zinc/18/29/83/1074182983.db2.gz HGMAUIRBKWUNQO-NSHDSACASA-N 0 0 435.242 -0.048 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccccc3CN3CCCC3=O)C(=O)[C@@H]2C1 ZINC001319069363 1074183077 /nfs/dbraw/zinc/18/30/77/1074183077.db2.gz WRFXGHFGAKSHAX-INIZCTEOSA-N 0 0 442.476 -0.548 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccccc3CN3CCCC3=O)C(=O)[C@H]2C1 ZINC001319069365 1074183062 /nfs/dbraw/zinc/18/30/62/1074183062.db2.gz WRFXGHFGAKSHAX-MRXNPFEDSA-N 0 0 442.476 -0.548 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC001319070912 1074183052 /nfs/dbraw/zinc/18/30/52/1074183052.db2.gz AXOFTFXORXZHCG-AMWPYFQMSA-N 0 0 431.536 -0.369 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC001319070918 1074183085 /nfs/dbraw/zinc/18/30/85/1074183085.db2.gz AXOFTFXORXZHCG-MVHRNJCLSA-N 0 0 431.536 -0.369 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001319070920 1074183005 /nfs/dbraw/zinc/18/30/05/1074183005.db2.gz AXOFTFXORXZHCG-XHDTYXFFSA-N 0 0 431.536 -0.369 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001319070924 1074182945 /nfs/dbraw/zinc/18/29/45/1074182945.db2.gz AXOFTFXORXZHCG-ZGJHENKESA-N 0 0 431.536 -0.369 20 0 IBADRN Cc1ccc(C(=O)NC[C@H](O)CN2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001319073600 1074183048 /nfs/dbraw/zinc/18/30/48/1074183048.db2.gz UNSUATOXFMPWRM-INIZCTEOSA-N 0 0 425.507 -0.271 20 0 IBADRN Cc1ccc(C(=O)NC[C@@H](O)CN2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001319073601 1074183028 /nfs/dbraw/zinc/18/30/28/1074183028.db2.gz UNSUATOXFMPWRM-MRXNPFEDSA-N 0 0 425.507 -0.271 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NC[C@@H](O)CN2CCCC2=O)sc1Br ZINC001319074245 1074183041 /nfs/dbraw/zinc/18/30/41/1074183041.db2.gz ZTZARIJMKVOZOB-SSDOTTSWSA-N 0 0 426.314 -0.129 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)NC[C@H](O)CN2CCCC2=O)sc1Br ZINC001319074246 1074182961 /nfs/dbraw/zinc/18/29/61/1074182961.db2.gz ZTZARIJMKVOZOB-ZETCQYMHSA-N 0 0 426.314 -0.129 20 0 IBADRN O=C(CCC(=O)N1CCCN(C(=O)CCC(=O)N2CCOCC2)CC1)N1CCOCC1 ZINC001319075902 1074183639 /nfs/dbraw/zinc/18/36/39/1074183639.db2.gz FZKAXHZRVLNWCZ-UHFFFAOYSA-N 0 0 438.525 -0.675 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCc3nn(C)c(=O)cc3C2)cc1 ZINC001319076735 1074183768 /nfs/dbraw/zinc/18/37/68/1074183768.db2.gz ODWCDPQBDRPDAK-UHFFFAOYSA-N 0 0 433.490 -0.008 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3cccc([C@@]4(C)NC(=O)NC4=O)c3)CCN2C1=O ZINC001319082474 1074183592 /nfs/dbraw/zinc/18/35/92/1074183592.db2.gz OTBFFMXCMYWTQX-JLTOFOAXSA-N 0 0 428.449 -0.081 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3cccc([C@@]4(C)NC(=O)NC4=O)c3)CCN2C1=O ZINC001319082475 1074183749 /nfs/dbraw/zinc/18/37/49/1074183749.db2.gz OTBFFMXCMYWTQX-VBKZILBWSA-N 0 0 428.449 -0.081 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3cccc([C@]4(C)NC(=O)NC4=O)c3)CCN2C1=O ZINC001319082476 1074183775 /nfs/dbraw/zinc/18/37/75/1074183775.db2.gz OTBFFMXCMYWTQX-VLIAUNLRSA-N 0 0 428.449 -0.081 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3cccc([C@]4(C)NC(=O)NC4=O)c3)CCN2C1=O ZINC001319082477 1074183675 /nfs/dbraw/zinc/18/36/75/1074183675.db2.gz OTBFFMXCMYWTQX-XOBRGWDASA-N 0 0 428.449 -0.081 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC001319082526 1074183599 /nfs/dbraw/zinc/18/35/99/1074183599.db2.gz QUGDVSRDRIYFNO-IAOVAPTHSA-N 0 0 430.548 -0.192 20 0 IBADRN C[C@H](C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC001319082528 1074183734 /nfs/dbraw/zinc/18/37/34/1074183734.db2.gz QUGDVSRDRIYFNO-JQFCIGGWSA-N 0 0 430.548 -0.192 20 0 IBADRN C[C@H](C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC001319082531 1074183607 /nfs/dbraw/zinc/18/36/07/1074183607.db2.gz QUGDVSRDRIYFNO-RRQGHBQHSA-N 0 0 430.548 -0.192 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC001319082532 1074183579 /nfs/dbraw/zinc/18/35/79/1074183579.db2.gz QUGDVSRDRIYFNO-XKQJLSEDSA-N 0 0 430.548 -0.192 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319083534 1074183613 /nfs/dbraw/zinc/18/36/13/1074183613.db2.gz MGBUEFGRCHFUQQ-AWEZNQCLSA-N 0 0 442.542 -0.700 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319083540 1074183681 /nfs/dbraw/zinc/18/36/81/1074183681.db2.gz MGBUEFGRCHFUQQ-CQSZACIVSA-N 0 0 442.542 -0.700 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319088486 1074183623 /nfs/dbraw/zinc/18/36/23/1074183623.db2.gz MTAYGQKJLNMKTF-HNNXBMFYSA-N 0 0 437.584 -0.362 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319088488 1074183729 /nfs/dbraw/zinc/18/37/29/1074183729.db2.gz MTAYGQKJLNMKTF-OAHLLOKOSA-N 0 0 437.584 -0.362 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@](O)(c1nccn1C)C(F)(F)F ZINC001319088551 1074183739 /nfs/dbraw/zinc/18/37/39/1074183739.db2.gz NLWAWONXHXYMTO-HZMBPMFUSA-N 0 0 426.417 -0.678 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)C[C@@](O)(c1nccn1C)C(F)(F)F ZINC001319088555 1074183723 /nfs/dbraw/zinc/18/37/23/1074183723.db2.gz NLWAWONXHXYMTO-IINYFYTJSA-N 0 0 426.417 -0.678 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@@](O)(c1nccn1C)C(F)(F)F ZINC001319088558 1074184365 /nfs/dbraw/zinc/18/43/65/1074184365.db2.gz NLWAWONXHXYMTO-QMTHXVAHSA-N 0 0 426.417 -0.678 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)C[C@](O)(c1nccn1C)C(F)(F)F ZINC001319088559 1074184274 /nfs/dbraw/zinc/18/42/74/1074184274.db2.gz NLWAWONXHXYMTO-YGRLFVJLSA-N 0 0 426.417 -0.678 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001319088942 1074184279 /nfs/dbraw/zinc/18/42/79/1074184279.db2.gz DOCIEBMLGGEOCQ-HNNXBMFYSA-N 0 0 447.583 -0.331 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001319088950 1074184372 /nfs/dbraw/zinc/18/43/72/1074184372.db2.gz DOCIEBMLGGEOCQ-OAHLLOKOSA-N 0 0 447.583 -0.331 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC001319089157 1074184186 /nfs/dbraw/zinc/18/41/86/1074184186.db2.gz XVHNYARVNWSHAP-CYBMUJFWSA-N 0 0 427.479 -0.805 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC001319089158 1074184241 /nfs/dbraw/zinc/18/42/41/1074184241.db2.gz XVHNYARVNWSHAP-ZDUSSCGKSA-N 0 0 427.479 -0.805 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2Cl)CC1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001319090181 1074184230 /nfs/dbraw/zinc/18/42/30/1074184230.db2.gz NMLIVSQEPHQRRM-GFCCVEGCSA-N 0 0 441.897 -0.102 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2Cl)CC1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001319090182 1074184269 /nfs/dbraw/zinc/18/42/69/1074184269.db2.gz NMLIVSQEPHQRRM-LBPRGKRZSA-N 0 0 441.897 -0.102 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)c1 ZINC001319090263 1074184341 /nfs/dbraw/zinc/18/43/41/1074184341.db2.gz XFYJVUCUOAWFJM-CYBMUJFWSA-N 0 0 436.556 -0.870 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)c1 ZINC001319090264 1074184283 /nfs/dbraw/zinc/18/42/83/1074184283.db2.gz XFYJVUCUOAWFJM-ZDUSSCGKSA-N 0 0 436.556 -0.870 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)CC1 ZINC001319091008 1074184332 /nfs/dbraw/zinc/18/43/32/1074184332.db2.gz SEGPAEAPWJREOP-CYBMUJFWSA-N 0 0 425.467 -0.545 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)CC1 ZINC001319091009 1074184212 /nfs/dbraw/zinc/18/42/12/1074184212.db2.gz SEGPAEAPWJREOP-ZDUSSCGKSA-N 0 0 425.467 -0.545 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)cc(OC)c1OC ZINC001319091456 1074184389 /nfs/dbraw/zinc/18/43/89/1074184389.db2.gz YMFWSBUWELQXOQ-UHFFFAOYSA-N 0 0 434.449 -0.015 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CC2)CC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319093567 1074184358 /nfs/dbraw/zinc/18/43/58/1074184358.db2.gz FINUPWRRRSJIMI-UHFFFAOYSA-N 0 0 429.543 -0.278 20 0 IBADRN CN(Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1)C1CCN(S(C)(=O)=O)CC1 ZINC001319097468 1074184298 /nfs/dbraw/zinc/18/42/98/1074184298.db2.gz GBJLOZSNUPRHHX-UHFFFAOYSA-N 0 0 428.540 -0.078 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)[C@@H]1CCS(=O)(=O)C1 ZINC001319098584 1074184326 /nfs/dbraw/zinc/18/43/26/1074184326.db2.gz SVOAUOFBOXNYAY-GFCCVEGCSA-N 0 0 437.565 -0.302 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1)[C@H]1CCS(=O)(=O)C1 ZINC001319098585 1074184313 /nfs/dbraw/zinc/18/43/13/1074184313.db2.gz SVOAUOFBOXNYAY-LBPRGKRZSA-N 0 0 437.565 -0.302 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CC(N)=O)CC1 ZINC001319098838 1074184350 /nfs/dbraw/zinc/18/43/50/1074184350.db2.gz BZIYOKLLMTYUHZ-INIZCTEOSA-N 0 0 440.522 -0.654 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CC(N)=O)CC1 ZINC001319098842 1074184246 /nfs/dbraw/zinc/18/42/46/1074184246.db2.gz BZIYOKLLMTYUHZ-MRXNPFEDSA-N 0 0 440.522 -0.654 20 0 IBADRN COc1c(F)cc(CC(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC001319099143 1074184891 /nfs/dbraw/zinc/18/48/91/1074184891.db2.gz DMUFDNVYUSMTOX-UHFFFAOYSA-N 0 0 437.515 -0.093 20 0 IBADRN CN(C(=O)c1ccc(S(=O)(=O)N2CCOCC2)o1)C1CCN(S(C)(=O)=O)CC1 ZINC001319099252 1074184832 /nfs/dbraw/zinc/18/48/32/1074184832.db2.gz GOXQDAGBTNBJSG-UHFFFAOYSA-N 0 0 435.524 -0.204 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc4nnc(C(F)F)n4c3)CC2)CC1 ZINC001319099578 1074184927 /nfs/dbraw/zinc/18/49/27/1074184927.db2.gz LQCSMWPNOFGIAU-UHFFFAOYSA-N 0 0 443.480 -0.083 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC001319101324 1074184827 /nfs/dbraw/zinc/18/48/27/1074184827.db2.gz ACOLZCYPSRVHQY-CVEARBPZSA-N 0 0 435.502 -0.343 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC001319101325 1074184884 /nfs/dbraw/zinc/18/48/84/1074184884.db2.gz ACOLZCYPSRVHQY-HOTGVXAUSA-N 0 0 435.502 -0.343 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC001319101327 1074184981 /nfs/dbraw/zinc/18/49/81/1074184981.db2.gz ACOLZCYPSRVHQY-HZPDHXFCSA-N 0 0 435.502 -0.343 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC001319101329 1074184815 /nfs/dbraw/zinc/18/48/15/1074184815.db2.gz ACOLZCYPSRVHQY-JKSUJKDBSA-N 0 0 435.502 -0.343 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001319101435 1074184842 /nfs/dbraw/zinc/18/48/42/1074184842.db2.gz DIXYGECHRVXHAM-UHFFFAOYSA-N 0 0 429.481 -0.131 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001319101523 1074184958 /nfs/dbraw/zinc/18/49/58/1074184958.db2.gz RECBQIDIHICZNU-LLVKDONJSA-N 0 0 426.499 -0.753 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001319101528 1074184988 /nfs/dbraw/zinc/18/49/88/1074184988.db2.gz RECBQIDIHICZNU-NSHDSACASA-N 0 0 426.499 -0.753 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C(F)(F)F ZINC001319102125 1074184823 /nfs/dbraw/zinc/18/48/23/1074184823.db2.gz HIBIGRGHUBURNC-CYBMUJFWSA-N 0 0 425.389 -0.216 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)NCCNS(=O)(=O)Cc1ccon1)C(F)(F)F ZINC001319102126 1074184805 /nfs/dbraw/zinc/18/48/05/1074184805.db2.gz HIBIGRGHUBURNC-ZDUSSCGKSA-N 0 0 425.389 -0.216 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319103338 1074184798 /nfs/dbraw/zinc/18/47/98/1074184798.db2.gz GJUITWLNAXNVTA-UHFFFAOYSA-N 0 0 438.506 -0.409 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC001319108686 1074184869 /nfs/dbraw/zinc/18/48/69/1074184869.db2.gz UKBWVGOVZSUKBD-UHFFFAOYSA-N 0 0 430.492 -0.351 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319108827 1074184952 /nfs/dbraw/zinc/18/49/52/1074184952.db2.gz XMYSMIIFUPNAQS-GFCCVEGCSA-N 0 0 425.529 -0.828 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319108828 1074184785 /nfs/dbraw/zinc/18/47/85/1074184785.db2.gz XMYSMIIFUPNAQS-LBPRGKRZSA-N 0 0 425.529 -0.828 20 0 IBADRN CN(CC(=O)Nc1cc(NC(=O)CN(C)S(C)(=O)=O)c(F)cc1F)S(C)(=O)=O ZINC001319109123 1074184944 /nfs/dbraw/zinc/18/49/44/1074184944.db2.gz AHWPXWDNPWOKSG-UHFFFAOYSA-N 0 0 442.466 -0.375 20 0 IBADRN Cn1c2ncn(CC(=O)NC3CCN(C(=O)c4ccncc4)CC3)c2c(=O)n(C)c1=O ZINC001319114676 1074184858 /nfs/dbraw/zinc/18/48/58/1074184858.db2.gz DHSNEYSXFQLKMY-UHFFFAOYSA-N 0 0 425.449 -0.750 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001319117793 1074184898 /nfs/dbraw/zinc/18/48/98/1074184898.db2.gz ZQADWVOLQYYBFW-UHFFFAOYSA-N 0 0 448.568 -0.152 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001319126194 1074185514 /nfs/dbraw/zinc/18/55/14/1074185514.db2.gz CFRKFSUKKYSADV-AWEZNQCLSA-N 0 0 442.513 -0.006 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001319126196 1074185575 /nfs/dbraw/zinc/18/55/75/1074185575.db2.gz CFRKFSUKKYSADV-CQSZACIVSA-N 0 0 442.513 -0.006 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001319126571 1074185436 /nfs/dbraw/zinc/18/54/36/1074185436.db2.gz SYTKXIXVPKGIKU-KRWDZBQOSA-N 0 0 426.539 -0.366 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001319126572 1074185479 /nfs/dbraw/zinc/18/54/79/1074185479.db2.gz SYTKXIXVPKGIKU-QGZVFWFLSA-N 0 0 426.539 -0.366 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1F ZINC001319129759 1074185758 /nfs/dbraw/zinc/18/57/58/1074185758.db2.gz KKAMAFXCTRWODI-UHFFFAOYSA-N 0 0 435.499 -0.012 20 0 IBADRN O=C(Nc1ccnn1[C@H]1CCS(=O)(=O)C1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001319131767 1074185713 /nfs/dbraw/zinc/18/57/13/1074185713.db2.gz DSQZGUXGWNAXEO-CABCVRRESA-N 0 0 425.511 -0.638 20 0 IBADRN O=C(Nc1ccnn1[C@H]1CCS(=O)(=O)C1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001319131768 1074185680 /nfs/dbraw/zinc/18/56/80/1074185680.db2.gz DSQZGUXGWNAXEO-GJZGRUSLSA-N 0 0 425.511 -0.638 20 0 IBADRN O=C(Nc1ccnn1[C@@H]1CCS(=O)(=O)C1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001319131769 1074185746 /nfs/dbraw/zinc/18/57/46/1074185746.db2.gz DSQZGUXGWNAXEO-HUUCEWRRSA-N 0 0 425.511 -0.638 20 0 IBADRN O=C(Nc1ccnn1[C@@H]1CCS(=O)(=O)C1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001319131770 1074185458 /nfs/dbraw/zinc/18/54/58/1074185458.db2.gz DSQZGUXGWNAXEO-LSDHHAIUSA-N 0 0 425.511 -0.638 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN1C(=O)C(=O)N(C)C1=O ZINC001319134073 1074185605 /nfs/dbraw/zinc/18/56/05/1074185605.db2.gz RUAJBQZINPADNP-LLVKDONJSA-N 0 0 426.426 -0.582 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)CN1C(=O)C(=O)N(C)C1=O ZINC001319134074 1074185558 /nfs/dbraw/zinc/18/55/58/1074185558.db2.gz RUAJBQZINPADNP-NSHDSACASA-N 0 0 426.426 -0.582 20 0 IBADRN O=C(Cn1cc(C2CC2)nn1)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001319144084 1074185546 /nfs/dbraw/zinc/18/55/46/1074185546.db2.gz PILVVRSOTWBCCU-CABCVRRESA-N 0 0 426.495 -0.107 20 0 IBADRN O=C(Cn1cc(C2CC2)nn1)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001319144085 1074185728 /nfs/dbraw/zinc/18/57/28/1074185728.db2.gz PILVVRSOTWBCCU-GJZGRUSLSA-N 0 0 426.495 -0.107 20 0 IBADRN O=C(Cn1cc(C2CC2)nn1)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001319144086 1074185493 /nfs/dbraw/zinc/18/54/93/1074185493.db2.gz PILVVRSOTWBCCU-HUUCEWRRSA-N 0 0 426.495 -0.107 20 0 IBADRN O=C(Cn1cc(C2CC2)nn1)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001319144087 1074185737 /nfs/dbraw/zinc/18/57/37/1074185737.db2.gz PILVVRSOTWBCCU-LSDHHAIUSA-N 0 0 426.495 -0.107 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO ZINC001319146589 1074185641 /nfs/dbraw/zinc/18/56/41/1074185641.db2.gz OUKXFJIXZKEWOW-UHFFFAOYSA-N 0 0 433.490 -0.197 20 0 IBADRN C[C@H](C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001319146890 1074186145 /nfs/dbraw/zinc/18/61/45/1074186145.db2.gz GPUQNPUTWYQHJS-GXTWGEPZSA-N 0 0 432.520 -0.113 20 0 IBADRN C[C@H](C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001319146891 1074186199 /nfs/dbraw/zinc/18/61/99/1074186199.db2.gz GPUQNPUTWYQHJS-JSGCOSHPSA-N 0 0 432.520 -0.113 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001319146892 1074186204 /nfs/dbraw/zinc/18/62/04/1074186204.db2.gz GPUQNPUTWYQHJS-OCCSQVGLSA-N 0 0 432.520 -0.113 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001319146893 1074186040 /nfs/dbraw/zinc/18/60/40/1074186040.db2.gz GPUQNPUTWYQHJS-TZMCWYRMSA-N 0 0 432.520 -0.113 20 0 IBADRN C[C@H](C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001319147540 1074186217 /nfs/dbraw/zinc/18/62/17/1074186217.db2.gz KVXDVSHVBNRYOQ-DZGCQCFKSA-N 0 0 445.563 -0.150 20 0 IBADRN C[C@@H](C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001319147541 1074186110 /nfs/dbraw/zinc/18/61/10/1074186110.db2.gz KVXDVSHVBNRYOQ-HIFRSBDPSA-N 0 0 445.563 -0.150 20 0 IBADRN C[C@@H](C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001319147542 1074186125 /nfs/dbraw/zinc/18/61/25/1074186125.db2.gz KVXDVSHVBNRYOQ-UKRRQHHQSA-N 0 0 445.563 -0.150 20 0 IBADRN C[C@H](C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001319147543 1074186074 /nfs/dbraw/zinc/18/60/74/1074186074.db2.gz KVXDVSHVBNRYOQ-ZFWWWQNUSA-N 0 0 445.563 -0.150 20 0 IBADRN Cc1nn(C(F)F)c(C)c1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319151842 1074186153 /nfs/dbraw/zinc/18/61/53/1074186153.db2.gz HKWYZZXNTZOYEU-AWEZNQCLSA-N 0 0 447.508 -0.115 20 0 IBADRN Cc1nn(C(F)F)c(C)c1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319151852 1074186133 /nfs/dbraw/zinc/18/61/33/1074186133.db2.gz HKWYZZXNTZOYEU-CQSZACIVSA-N 0 0 447.508 -0.115 20 0 IBADRN CCOC(=O)N1CCC(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001319152287 1074186101 /nfs/dbraw/zinc/18/61/01/1074186101.db2.gz MAJPJOXWMYEWPX-INIZCTEOSA-N 0 0 444.554 -0.698 20 0 IBADRN CCOC(=O)N1CCC(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001319152288 1074186088 /nfs/dbraw/zinc/18/60/88/1074186088.db2.gz MAJPJOXWMYEWPX-MRXNPFEDSA-N 0 0 444.554 -0.698 20 0 IBADRN Cc1c(C(=O)NCc2cccnc2N2CCN(C(=O)c3nnn(C)c3C)CC2)nnn1C ZINC001319153029 1074186162 /nfs/dbraw/zinc/18/61/62/1074186162.db2.gz QNTMYKTZZVZJHU-UHFFFAOYSA-N 0 0 438.496 -0.152 20 0 IBADRN Cc1cc(C)n2ncc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001319154017 1074186185 /nfs/dbraw/zinc/18/61/85/1074186185.db2.gz XVVXONODSQWBTE-HNNXBMFYSA-N 0 0 434.522 -0.593 20 0 IBADRN Cc1cc(C)n2ncc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001319154021 1074186046 /nfs/dbraw/zinc/18/60/46/1074186046.db2.gz XVVXONODSQWBTE-OAHLLOKOSA-N 0 0 434.522 -0.593 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)c1cccc(C(=O)N[C@H]2CCCS(=O)(=O)C2)n1 ZINC001319155951 1074186191 /nfs/dbraw/zinc/18/61/91/1074186191.db2.gz DKTWDFDMJZHCIF-BETUJISGSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)c1cccc(C(=O)N[C@@H]2CCCS(=O)(=O)C2)n1 ZINC001319155953 1074186208 /nfs/dbraw/zinc/18/62/08/1074186208.db2.gz DKTWDFDMJZHCIF-CHWSQXEVSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(N[C@H]1CCCS(=O)(=O)C1)c1cccc(C(=O)N[C@H]2CCCS(=O)(=O)C2)n1 ZINC001319155955 1074186174 /nfs/dbraw/zinc/18/61/74/1074186174.db2.gz DKTWDFDMJZHCIF-STQMWFEESA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(CN1C(=O)c2cc(Br)ccc2S1(=O)=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319157012 1074186119 /nfs/dbraw/zinc/18/61/19/1074186119.db2.gz IQWNLPWIWQJSGD-SECBINFHSA-N 0 0 437.293 -0.103 20 0 IBADRN O=C(CN1C(=O)c2cc(Br)ccc2S1(=O)=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319157013 1074186063 /nfs/dbraw/zinc/18/60/63/1074186063.db2.gz IQWNLPWIWQJSGD-VIFPVBQESA-N 0 0 437.293 -0.103 20 0 IBADRN CNC(=O)c1cccc(C(=O)OCC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)n1 ZINC001319157348 1074186874 /nfs/dbraw/zinc/18/68/74/1074186874.db2.gz NTMZXDKCMGSEQV-UHFFFAOYSA-N 0 0 438.448 -0.377 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C)c1ccccc1 ZINC001319160014 1074186727 /nfs/dbraw/zinc/18/67/27/1074186727.db2.gz JAQLZBXNMVEAKL-HNNXBMFYSA-N 0 0 436.494 -0.971 20 0 IBADRN CNC(=O)[C@H](NC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C)c1ccccc1 ZINC001319160015 1074186840 /nfs/dbraw/zinc/18/68/40/1074186840.db2.gz JAQLZBXNMVEAKL-OAHLLOKOSA-N 0 0 436.494 -0.971 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1=O ZINC001319160218 1074186701 /nfs/dbraw/zinc/18/67/01/1074186701.db2.gz PPCDFQYMRVUXEF-HRAATJIYSA-N 0 0 434.541 -0.098 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1=O ZINC001319160220 1074186653 /nfs/dbraw/zinc/18/66/53/1074186653.db2.gz PPCDFQYMRVUXEF-IERDGZPVSA-N 0 0 434.541 -0.098 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1=O ZINC001319160222 1074186866 /nfs/dbraw/zinc/18/68/66/1074186866.db2.gz PPCDFQYMRVUXEF-IIBYNOLFSA-N 0 0 434.541 -0.098 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)C1=O ZINC001319160224 1074186817 /nfs/dbraw/zinc/18/68/17/1074186817.db2.gz PPCDFQYMRVUXEF-KKSFZXQISA-N 0 0 434.541 -0.098 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)NCCCN2CCCC2=O)o1 ZINC001319161356 1074186845 /nfs/dbraw/zinc/18/68/45/1074186845.db2.gz OHNNKUZMMVCWLK-UHFFFAOYSA-N 0 0 435.524 -0.427 20 0 IBADRN CCc1n[nH]c(=O)c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1CC ZINC001319163259 1074186865 /nfs/dbraw/zinc/18/68/65/1074186865.db2.gz UPPWYJBWLYFNAB-GFCCVEGCSA-N 0 0 446.551 -0.418 20 0 IBADRN CCc1n[nH]c(=O)c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1CC ZINC001319163260 1074186802 /nfs/dbraw/zinc/18/68/02/1074186802.db2.gz UPPWYJBWLYFNAB-LBPRGKRZSA-N 0 0 446.551 -0.418 20 0 IBADRN O=C(CN1CCN([C@H]2CCS(=O)(=O)C2)CC1)NCc1cccc(C(=O)NC2CC2)c1 ZINC001319170545 1074186753 /nfs/dbraw/zinc/18/67/53/1074186753.db2.gz JDIGXDBRINAKON-IBGZPJMESA-N 0 0 434.562 0.000 20 0 IBADRN O=C(CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1)NCc1cccc(C(=O)NC2CC2)c1 ZINC001319170548 1074186837 /nfs/dbraw/zinc/18/68/37/1074186837.db2.gz JDIGXDBRINAKON-LJQANCHMSA-N 0 0 434.562 0.000 20 0 IBADRN COCCc1noc(CN(C)C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)n1 ZINC001319171801 1074186830 /nfs/dbraw/zinc/18/68/30/1074186830.db2.gz RMDXFNMEUMGSEX-UHFFFAOYSA-N 0 0 437.478 -0.349 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC001319173075 1074186735 /nfs/dbraw/zinc/18/67/35/1074186735.db2.gz VLXIBPURGQWKFY-UHFFFAOYSA-N 0 0 429.543 -0.677 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC001319173751 1074186789 /nfs/dbraw/zinc/18/67/89/1074186789.db2.gz FJRVKMSTAPCPCP-DZGCQCFKSA-N 0 0 445.519 -0.569 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC001319173753 1074186623 /nfs/dbraw/zinc/18/66/23/1074186623.db2.gz FJRVKMSTAPCPCP-HIFRSBDPSA-N 0 0 445.519 -0.569 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC001319173754 1074186686 /nfs/dbraw/zinc/18/66/86/1074186686.db2.gz FJRVKMSTAPCPCP-UKRRQHHQSA-N 0 0 445.519 -0.569 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC001319173755 1074186645 /nfs/dbraw/zinc/18/66/45/1074186645.db2.gz FJRVKMSTAPCPCP-ZFWWWQNUSA-N 0 0 445.519 -0.569 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)CC2)C1=O ZINC001319177426 1074187341 /nfs/dbraw/zinc/18/73/41/1074187341.db2.gz MJJHXWUQMVCYER-UHFFFAOYSA-N 0 0 436.490 -0.136 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC001319182138 1074187359 /nfs/dbraw/zinc/18/73/59/1074187359.db2.gz SCLKZNXZPUQWJQ-DZGCQCFKSA-N 0 0 439.490 -0.109 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC001319182140 1074187271 /nfs/dbraw/zinc/18/72/71/1074187271.db2.gz SCLKZNXZPUQWJQ-HIFRSBDPSA-N 0 0 439.490 -0.109 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN2C(=O)OC[C@H]2C1 ZINC001319182143 1074187376 /nfs/dbraw/zinc/18/73/76/1074187376.db2.gz SCLKZNXZPUQWJQ-UKRRQHHQSA-N 0 0 439.490 -0.109 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN2C(=O)OC[C@@H]2C1 ZINC001319182146 1074187278 /nfs/dbraw/zinc/18/72/78/1074187278.db2.gz SCLKZNXZPUQWJQ-ZFWWWQNUSA-N 0 0 439.490 -0.109 20 0 IBADRN CN(C1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1)S(C)(=O)=O ZINC001319187618 1074187192 /nfs/dbraw/zinc/18/71/92/1074187192.db2.gz QQNCMVSQNMJOOM-UHFFFAOYSA-N 0 0 438.554 -0.212 20 0 IBADRN COCC(=O)N1CCN(C(=O)c2ccc(C(=O)N3CCN(C(=O)COC)CC3)o2)CC1 ZINC001319197259 1074187364 /nfs/dbraw/zinc/18/73/64/1074187364.db2.gz UTMPBYYYRCUBQP-UHFFFAOYSA-N 0 0 436.465 -0.859 20 0 IBADRN NC(=O)COC1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC001319199558 1074187213 /nfs/dbraw/zinc/18/72/13/1074187213.db2.gz BFQVVIMSGPWKGX-UHFFFAOYSA-N 0 0 431.536 -0.196 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC(OCC(N)=O)CC3)CC2)cc1 ZINC001319199700 1074187399 /nfs/dbraw/zinc/18/73/99/1074187399.db2.gz FOGCHMYQHDNVTB-UHFFFAOYSA-N 0 0 438.550 -0.206 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)CCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC001319201693 1074187379 /nfs/dbraw/zinc/18/73/79/1074187379.db2.gz UYBMNBXXNMOCCZ-HNNXBMFYSA-N 0 0 428.497 -0.226 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)CCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC001319201696 1074187241 /nfs/dbraw/zinc/18/72/41/1074187241.db2.gz UYBMNBXXNMOCCZ-OAHLLOKOSA-N 0 0 428.497 -0.226 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@H]2CNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC001319208978 1074187319 /nfs/dbraw/zinc/18/73/19/1074187319.db2.gz UHWGQSIMGWNFHN-BTYIYWSLSA-N 0 0 443.508 -0.258 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@@H]2CNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC001319208983 1074187307 /nfs/dbraw/zinc/18/73/07/1074187307.db2.gz UHWGQSIMGWNFHN-QVKFZJNVSA-N 0 0 443.508 -0.258 20 0 IBADRN CN1C(=O)N[C@]2(CCC[C@@H]2CNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC001319208987 1074188008 /nfs/dbraw/zinc/18/80/08/1074188008.db2.gz UHWGQSIMGWNFHN-VFNWGFHPSA-N 0 0 443.508 -0.258 20 0 IBADRN CN1C(=O)N[C@@]2(CCC[C@H]2CNC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC001319208992 1074187869 /nfs/dbraw/zinc/18/78/69/1074187869.db2.gz UHWGQSIMGWNFHN-YCRPNKLZSA-N 0 0 443.508 -0.258 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001319212627 1074187944 /nfs/dbraw/zinc/18/79/44/1074187944.db2.gz NQKWRHHWFUPEDZ-GFCCVEGCSA-N 0 0 438.549 -0.596 20 0 IBADRN CCS(=O)(=O)c1cccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001319212630 1074187756 /nfs/dbraw/zinc/18/77/56/1074187756.db2.gz NQKWRHHWFUPEDZ-LBPRGKRZSA-N 0 0 438.549 -0.596 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3ncc4ccccc4c3=O)CC2)cn1C ZINC001319215415 1074187964 /nfs/dbraw/zinc/18/79/64/1074187964.db2.gz OLXQQDSOLJFOBT-UHFFFAOYSA-N 0 0 430.490 -0.028 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)cn1C ZINC001319216881 1074187882 /nfs/dbraw/zinc/18/78/82/1074187882.db2.gz DAQGXGJXYKJZRA-UHFFFAOYSA-N 0 0 448.571 -0.832 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(S(C)(=O)=O)CC2)cc1[C@]1(C)NC(=O)NC1=O ZINC001319217267 1074187895 /nfs/dbraw/zinc/18/78/95/1074187895.db2.gz DPVTUGCBELCLGJ-KRWDZBQOSA-N 0 0 445.519 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(S(C)(=O)=O)CC2)cc1[C@@]1(C)NC(=O)NC1=O ZINC001319217269 1074187978 /nfs/dbraw/zinc/18/79/78/1074187978.db2.gz DPVTUGCBELCLGJ-QGZVFWFLSA-N 0 0 445.519 -0.053 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)OCC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001319222869 1074187831 /nfs/dbraw/zinc/18/78/31/1074187831.db2.gz ZGAGFRBDSZKYIF-UHFFFAOYSA-N 0 0 433.527 -0.654 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001319224049 1074187908 /nfs/dbraw/zinc/18/79/08/1074187908.db2.gz DDSAZXMPZYLHKH-UHFFFAOYSA-N 0 0 433.552 -0.413 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC001319226274 1074187990 /nfs/dbraw/zinc/18/79/90/1074187990.db2.gz FBHLLUPQRFKARU-UHFFFAOYSA-N 0 0 431.493 -0.223 20 0 IBADRN O=C(COC(=O)C[C@H]1COC(=O)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001319229182 1074187788 /nfs/dbraw/zinc/18/77/88/1074187788.db2.gz HCVQGBXUWNMKNM-AWEZNQCLSA-N 0 0 440.474 -0.180 20 0 IBADRN O=C(COC(=O)C[C@@H]1COC(=O)C1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001319229183 1074187983 /nfs/dbraw/zinc/18/79/83/1074187983.db2.gz HCVQGBXUWNMKNM-CQSZACIVSA-N 0 0 440.474 -0.180 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001319230073 1074187936 /nfs/dbraw/zinc/18/79/36/1074187936.db2.gz OEEFZEBHEKMPEL-CYBMUJFWSA-N 0 0 429.451 -0.379 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001319230085 1074187721 /nfs/dbraw/zinc/18/77/21/1074187721.db2.gz OEEFZEBHEKMPEL-ZDUSSCGKSA-N 0 0 429.451 -0.379 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(C(=O)NCC(=O)N(C)C)c(Cl)c2)C(=O)C1=O ZINC001319236894 1074187924 /nfs/dbraw/zinc/18/79/24/1074187924.db2.gz MINBJUMHFQZJSD-UHFFFAOYSA-N 0 0 437.884 -0.213 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001319240704 1074187770 /nfs/dbraw/zinc/18/77/70/1074187770.db2.gz MUCFMLAKKBBACY-UHFFFAOYSA-N 0 0 447.583 -0.377 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001319241960 1074187739 /nfs/dbraw/zinc/18/77/39/1074187739.db2.gz AWDKESRECGKYJA-NRFANRHFSA-N 0 0 446.508 -0.669 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001319241961 1074188014 /nfs/dbraw/zinc/18/80/14/1074188014.db2.gz AWDKESRECGKYJA-OAQYLSRUSA-N 0 0 446.508 -0.669 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)CCS(C)(=O)=O)s1 ZINC001319250848 1074188385 /nfs/dbraw/zinc/18/83/85/1074188385.db2.gz CGLCOILSHJLHTE-JTQLQIEISA-N 0 0 425.554 -0.398 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)CCS(C)(=O)=O)s1 ZINC001319250858 1074188400 /nfs/dbraw/zinc/18/84/00/1074188400.db2.gz CGLCOILSHJLHTE-SNVBAGLBSA-N 0 0 425.554 -0.398 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CC[C@@H](S(N)(=O)=O)C1)n2C ZINC001319259519 1074188429 /nfs/dbraw/zinc/18/84/29/1074188429.db2.gz HNOQCANZVQWLDV-CYBMUJFWSA-N 0 0 443.551 -0.354 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CC[C@H](S(N)(=O)=O)C1)n2C ZINC001319259538 1074188438 /nfs/dbraw/zinc/18/84/38/1074188438.db2.gz HNOQCANZVQWLDV-ZDUSSCGKSA-N 0 0 443.551 -0.354 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC001319260817 1074188366 /nfs/dbraw/zinc/18/83/66/1074188366.db2.gz DXRQNZHYCYFSMU-UHFFFAOYSA-N 0 0 434.478 -0.145 20 0 IBADRN CN(C)C(=O)c1cccc(CS(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)c1 ZINC001319262650 1074188403 /nfs/dbraw/zinc/18/84/03/1074188403.db2.gz IOQONEUPYHEQNR-UHFFFAOYSA-N 0 0 433.552 -0.299 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1nccn1CC(F)(F)F)S(C)(=O)=O ZINC001319262731 1074188420 /nfs/dbraw/zinc/18/84/20/1074188420.db2.gz LOKVHWVZCFPCAS-UHFFFAOYSA-N 0 0 434.462 -0.242 20 0 IBADRN Cc1cccn2c(=O)cc(CS(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)nc12 ZINC001319263108 1074188390 /nfs/dbraw/zinc/18/83/90/1074188390.db2.gz MCFHSMBILQUYSJ-UHFFFAOYSA-N 0 0 444.535 -0.685 20 0 IBADRN COc1ccc(C(=O)N(CC[NH+](C)C)CC(=O)[O-])cc1S(=O)(=O)N1CCOCC1 ZINC001319265078 1074188409 /nfs/dbraw/zinc/18/84/09/1074188409.db2.gz QPSDRRMTZHLITQ-UHFFFAOYSA-N 0 0 429.495 -0.196 20 0 IBADRN CN(C)CCN(C(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC001319267705 1074188377 /nfs/dbraw/zinc/18/83/77/1074188377.db2.gz VYRDDQVVUGMVJU-AWEZNQCLSA-N 0 0 431.536 -0.864 20 0 IBADRN CN(C)CCN(C(=O)c1ccc(S(=O)(=O)CC(N)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC001319267718 1074188951 /nfs/dbraw/zinc/18/89/51/1074188951.db2.gz VYRDDQVVUGMVJU-CQSZACIVSA-N 0 0 431.536 -0.864 20 0 IBADRN O=C(c1ccc(=O)[nH]n1)N1CCCC[C@H]1C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319267904 1074188909 /nfs/dbraw/zinc/18/89/09/1074188909.db2.gz GMJVUABFMGJFRR-INIZCTEOSA-N 0 0 432.481 -0.227 20 0 IBADRN O=C(c1ccc(=O)[nH]n1)N1CCCC[C@@H]1C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319267905 1074189050 /nfs/dbraw/zinc/18/90/50/1074189050.db2.gz GMJVUABFMGJFRR-MRXNPFEDSA-N 0 0 432.481 -0.227 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001319268492 1074188967 /nfs/dbraw/zinc/18/89/67/1074188967.db2.gz OEIFDCWLMSSWMT-INIZCTEOSA-N 0 0 430.461 -0.330 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001319268493 1074189011 /nfs/dbraw/zinc/18/90/11/1074189011.db2.gz OEIFDCWLMSSWMT-MRXNPFEDSA-N 0 0 430.461 -0.330 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)ccc1OC ZINC001319269206 1074189045 /nfs/dbraw/zinc/18/90/45/1074189045.db2.gz FACPDZSGABZOLQ-UHFFFAOYSA-N 0 0 426.495 -0.187 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1(O)Cc2ccccc2C1 ZINC001319269454 1074189006 /nfs/dbraw/zinc/18/90/06/1074189006.db2.gz YBZCUJLPCIAXDR-INIZCTEOSA-N 0 0 428.532 -0.823 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1(O)Cc2ccccc2C1 ZINC001319269457 1074188999 /nfs/dbraw/zinc/18/89/99/1074188999.db2.gz YBZCUJLPCIAXDR-MRXNPFEDSA-N 0 0 428.532 -0.823 20 0 IBADRN Cn1c(C=O)c(Br)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001319271577 1074188993 /nfs/dbraw/zinc/18/89/93/1074188993.db2.gz CRGPAOQRDLOYQA-BXUZGUMPSA-N 0 0 434.312 -0.172 20 0 IBADRN Cn1c(C=O)c(Br)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001319271578 1074188987 /nfs/dbraw/zinc/18/89/87/1074188987.db2.gz CRGPAOQRDLOYQA-FZMZJTMJSA-N 0 0 434.312 -0.172 20 0 IBADRN Cn1c(C=O)c(Br)cc1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001319271579 1074189059 /nfs/dbraw/zinc/18/90/59/1074189059.db2.gz CRGPAOQRDLOYQA-RISCZKNCSA-N 0 0 434.312 -0.172 20 0 IBADRN Cn1c(C=O)c(Br)cc1C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001319271581 1074188977 /nfs/dbraw/zinc/18/89/77/1074188977.db2.gz CRGPAOQRDLOYQA-SMDDNHRTSA-N 0 0 434.312 -0.172 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](N3CCNC3=O)C2)s1 ZINC001319272243 1074189026 /nfs/dbraw/zinc/18/90/26/1074189026.db2.gz LUNJUYLWKMLZHS-CYBMUJFWSA-N 0 0 443.551 -0.321 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](N3CCNC3=O)C2)s1 ZINC001319272244 1074188955 /nfs/dbraw/zinc/18/89/55/1074188955.db2.gz LUNJUYLWKMLZHS-ZDUSSCGKSA-N 0 0 443.551 -0.321 20 0 IBADRN NC(=O)CN1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)CC1 ZINC001319272263 1074189031 /nfs/dbraw/zinc/18/90/31/1074189031.db2.gz MSHDJVLYXYKVIJ-UHFFFAOYSA-N 0 0 425.442 -0.184 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(CC[NH+](C)C)CC(=O)[O-])c2)CC1 ZINC001319273815 1074189063 /nfs/dbraw/zinc/18/90/63/1074189063.db2.gz ZVDZOFHFYXETKB-UHFFFAOYSA-N 0 0 440.522 -0.372 20 0 IBADRN COCCc1noc(CN(C)C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)n1 ZINC001319273848 1074189039 /nfs/dbraw/zinc/18/90/39/1074189039.db2.gz RIZMGGYMZSPMNQ-UHFFFAOYSA-N 0 0 427.483 -0.110 20 0 IBADRN CCN(C(=O)CN1C(=O)NC2(CCC3(CC2)OCCO3)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001319276937 1074189055 /nfs/dbraw/zinc/18/90/55/1074189055.db2.gz XNMATYAFYQORDE-CYBMUJFWSA-N 0 0 429.495 -0.370 20 0 IBADRN CCN(C(=O)CN1C(=O)NC2(CCC3(CC2)OCCO3)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001319276938 1074189018 /nfs/dbraw/zinc/18/90/18/1074189018.db2.gz XNMATYAFYQORDE-ZDUSSCGKSA-N 0 0 429.495 -0.370 20 0 IBADRN CS(=O)(=O)CCCCS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001319280409 1074189503 /nfs/dbraw/zinc/18/95/03/1074189503.db2.gz QECGNDLQMFKREP-UHFFFAOYSA-N 0 0 426.538 -0.299 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC001319283067 1074189465 /nfs/dbraw/zinc/18/94/65/1074189465.db2.gz GLVIHUYLJOVSRO-UHFFFAOYSA-N 0 0 445.563 -0.380 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCC(NS(C)(=O)=O)CC2)CC1 ZINC001319283881 1074189444 /nfs/dbraw/zinc/18/94/44/1074189444.db2.gz SSGMZWOBCUIBDD-UHFFFAOYSA-N 0 0 447.583 -0.033 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001319287465 1074189493 /nfs/dbraw/zinc/18/94/93/1074189493.db2.gz SETBDWQZLBPJBF-CYBMUJFWSA-N 0 0 439.538 -0.434 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001319287466 1074189357 /nfs/dbraw/zinc/18/93/57/1074189357.db2.gz SETBDWQZLBPJBF-ZDUSSCGKSA-N 0 0 439.538 -0.434 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC001319298916 1074189520 /nfs/dbraw/zinc/18/95/20/1074189520.db2.gz OGEFDOUCOLBALS-UHFFFAOYSA-N 0 0 427.527 -0.275 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@H](O)CN2CCCC2=O)C1=O ZINC001319299356 1074189409 /nfs/dbraw/zinc/18/94/09/1074189409.db2.gz OGCGIRGVYRCMPH-LHSJRXKWSA-N 0 0 432.477 -0.130 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NC[C@H](O)CN2CCCC2=O)C1=O ZINC001319299358 1074189430 /nfs/dbraw/zinc/18/94/30/1074189430.db2.gz OGCGIRGVYRCMPH-QKKBWIMNSA-N 0 0 432.477 -0.130 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@@H](O)CN2CCCC2=O)C1=O ZINC001319299359 1074189496 /nfs/dbraw/zinc/18/94/96/1074189496.db2.gz OGCGIRGVYRCMPH-SPLOXXLWSA-N 0 0 432.477 -0.130 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NC[C@@H](O)CN2CCCC2=O)C1=O ZINC001319299361 1074189514 /nfs/dbraw/zinc/18/95/14/1074189514.db2.gz OGCGIRGVYRCMPH-SZNDQCEHSA-N 0 0 432.477 -0.130 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)c3ccc(OC)nn3)[C@H](C(=O)N(C)C)C2)nn1 ZINC001319299386 1074189389 /nfs/dbraw/zinc/18/93/89/1074189389.db2.gz OOEDZUNWBSPCHW-AWEZNQCLSA-N 0 0 429.437 -0.661 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)c3ccc(OC)nn3)[C@@H](C(=O)N(C)C)C2)nn1 ZINC001319299393 1074189457 /nfs/dbraw/zinc/18/94/57/1074189457.db2.gz OOEDZUNWBSPCHW-CQSZACIVSA-N 0 0 429.437 -0.661 20 0 IBADRN CCn1c(Cc2ccccc2)nnc1S(=O)(=O)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319301114 1074189435 /nfs/dbraw/zinc/18/94/35/1074189435.db2.gz CGZPUJKNMXVYJZ-AWEZNQCLSA-N 0 0 426.520 -0.034 20 0 IBADRN CCn1c(Cc2ccccc2)nnc1S(=O)(=O)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319301116 1074189478 /nfs/dbraw/zinc/18/94/78/1074189478.db2.gz CGZPUJKNMXVYJZ-CQSZACIVSA-N 0 0 426.520 -0.034 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCC(C(=O)N2CCOCC2)CC1 ZINC001319302359 1074189482 /nfs/dbraw/zinc/18/94/82/1074189482.db2.gz BKUAUNBRTMOICQ-UHFFFAOYSA-N 0 0 436.534 -0.206 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(CCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001319303669 1074190029 /nfs/dbraw/zinc/19/00/29/1074190029.db2.gz CEWCTRDYCRTMBK-UHFFFAOYSA-N 0 0 442.538 -0.736 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc3c(c(S(N)(=O)=O)c2)CCC3)CC1 ZINC001319303915 1074190008 /nfs/dbraw/zinc/19/00/08/1074190008.db2.gz SAQGCHGSGAUEKV-UHFFFAOYSA-N 0 0 445.563 -0.053 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CN2CCC(C(=O)N3CCOCC3)CC2)cc1 ZINC001319304010 1074189993 /nfs/dbraw/zinc/18/99/93/1074189993.db2.gz UEENPJTXELUJGL-UHFFFAOYSA-N 0 0 438.550 -0.437 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001319304954 1074189978 /nfs/dbraw/zinc/18/99/78/1074189978.db2.gz CNGYNDASDDLCNE-CYBMUJFWSA-N 0 0 429.470 -0.440 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F ZINC001319304955 1074189987 /nfs/dbraw/zinc/18/99/87/1074189987.db2.gz CNGYNDASDDLCNE-ZDUSSCGKSA-N 0 0 429.470 -0.440 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC001319305762 1074190033 /nfs/dbraw/zinc/19/00/33/1074190033.db2.gz SFXYWRVTZAIYHY-KRWDZBQOSA-N 0 0 444.598 -0.283 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC001319305763 1074190012 /nfs/dbraw/zinc/19/00/12/1074190012.db2.gz SFXYWRVTZAIYHY-QGZVFWFLSA-N 0 0 444.598 -0.283 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@H](O)CN1CCCC1=O ZINC001319305803 1074190020 /nfs/dbraw/zinc/19/00/20/1074190020.db2.gz ULJIGCWBKJUYNE-KRWDZBQOSA-N 0 0 439.534 -0.260 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@@H](O)CN1CCCC1=O ZINC001319305950 1074189975 /nfs/dbraw/zinc/18/99/75/1074189975.db2.gz ULJIGCWBKJUYNE-QGZVFWFLSA-N 0 0 439.534 -0.260 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NC[C@@H](O)CN2CCCC2=O)c1 ZINC001319306244 1074189989 /nfs/dbraw/zinc/18/99/89/1074189989.db2.gz OENAFHYKHISHCK-GFCCVEGCSA-N 0 0 433.914 -0.022 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NC[C@H](O)CN2CCCC2=O)c1 ZINC001319306245 1074190010 /nfs/dbraw/zinc/19/00/10/1074190010.db2.gz OENAFHYKHISHCK-LBPRGKRZSA-N 0 0 433.914 -0.022 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cccc(CS(N)(=O)=O)c3)CC2)cn1C ZINC001319309022 1074190005 /nfs/dbraw/zinc/19/00/05/1074190005.db2.gz MPQBOMCJHIWMEQ-UHFFFAOYSA-N 0 0 441.535 -0.336 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cn3nc4n(c3=O)CCCCC4)CC2)cn1C ZINC001319310144 1074190022 /nfs/dbraw/zinc/19/00/22/1074190022.db2.gz XDSQTTBGSYMOKS-UHFFFAOYSA-N 0 0 437.526 -0.654 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC001319311739 1074189981 /nfs/dbraw/zinc/18/99/81/1074189981.db2.gz TWSYTMMGIZTKDO-JLTOFOAXSA-N 0 0 437.497 -0.192 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC001319311740 1074189992 /nfs/dbraw/zinc/18/99/92/1074189992.db2.gz TWSYTMMGIZTKDO-VBKZILBWSA-N 0 0 437.497 -0.192 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC001319311741 1074190003 /nfs/dbraw/zinc/19/00/03/1074190003.db2.gz TWSYTMMGIZTKDO-VLIAUNLRSA-N 0 0 437.497 -0.192 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC001319311742 1074190021 /nfs/dbraw/zinc/19/00/21/1074190021.db2.gz TWSYTMMGIZTKDO-XOBRGWDASA-N 0 0 437.497 -0.192 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cnn(CC(=O)NC2CCCC2)c1 ZINC001319314135 1074189999 /nfs/dbraw/zinc/18/99/99/1074189999.db2.gz BDRJLKATTCCUOS-INIZCTEOSA-N 0 0 439.538 -0.293 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cnn(CC(=O)NC2CCCC2)c1 ZINC001319314137 1074190018 /nfs/dbraw/zinc/19/00/18/1074190018.db2.gz BDRJLKATTCCUOS-MRXNPFEDSA-N 0 0 439.538 -0.293 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)Cn3ncn4nccc4c3=O)CC2)cc1 ZINC001319319840 1074190532 /nfs/dbraw/zinc/19/05/32/1074190532.db2.gz FZUMRZCNUGBYEM-UHFFFAOYSA-N 0 0 446.489 -0.177 20 0 IBADRN O=C(COC(=O)CCC(=O)N1CCN(c2ccccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319321347 1074190361 /nfs/dbraw/zinc/19/03/61/1074190361.db2.gz GWYFPEOZLYPYIH-HNNXBMFYSA-N 0 0 438.506 -0.643 20 0 IBADRN O=C(COC(=O)CCC(=O)N1CCN(c2ccccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319321348 1074190443 /nfs/dbraw/zinc/19/04/43/1074190443.db2.gz GWYFPEOZLYPYIH-OAHLLOKOSA-N 0 0 438.506 -0.643 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)S(=O)(=O)C1CCOCC1 ZINC001319322824 1074190435 /nfs/dbraw/zinc/19/04/35/1074190435.db2.gz YDGMTEUTERZVQT-AWEZNQCLSA-N 0 0 437.518 -0.143 20 0 IBADRN C[C@H](C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)S(=O)(=O)C1CCOCC1 ZINC001319322825 1074190527 /nfs/dbraw/zinc/19/05/27/1074190527.db2.gz YDGMTEUTERZVQT-CQSZACIVSA-N 0 0 437.518 -0.143 20 0 IBADRN NC(=O)N1CCCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001319325921 1074190339 /nfs/dbraw/zinc/19/03/39/1074190339.db2.gz VSPJCNCUEMQPNM-UHFFFAOYSA-N 0 0 439.538 -0.590 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC(OCC(N)=O)CC2)C1=O ZINC001319327079 1074190453 /nfs/dbraw/zinc/19/04/53/1074190453.db2.gz RTVHDTAFEPNGLT-NRFANRHFSA-N 0 0 431.493 -0.073 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC(OCC(N)=O)CC2)C1=O ZINC001319327080 1074190422 /nfs/dbraw/zinc/19/04/22/1074190422.db2.gz RTVHDTAFEPNGLT-OAQYLSRUSA-N 0 0 431.493 -0.073 20 0 IBADRN CC(C)OCCOC[C@@H](O)CN(C)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001319327337 1074190409 /nfs/dbraw/zinc/19/04/09/1074190409.db2.gz SRASBNGWWPVQAI-CABCVRRESA-N 0 0 433.527 -0.587 20 0 IBADRN CC(C)OCCOC[C@@H](O)CN(C)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001319327338 1074190416 /nfs/dbraw/zinc/19/04/16/1074190416.db2.gz SRASBNGWWPVQAI-GJZGRUSLSA-N 0 0 433.527 -0.587 20 0 IBADRN CC(C)OCCOC[C@H](O)CN(C)C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001319327339 1074190432 /nfs/dbraw/zinc/19/04/32/1074190432.db2.gz SRASBNGWWPVQAI-HUUCEWRRSA-N 0 0 433.527 -0.587 20 0 IBADRN CC(C)OCCOC[C@H](O)CN(C)C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001319327340 1074190518 /nfs/dbraw/zinc/19/05/18/1074190518.db2.gz SRASBNGWWPVQAI-LSDHHAIUSA-N 0 0 433.527 -0.587 20 0 IBADRN COC(=O)Cc1c(C(=O)OC)sc2[nH]c(CN3CCS(=O)(=O)CC3)nc(=O)c12 ZINC001319327509 1074190461 /nfs/dbraw/zinc/19/04/61/1074190461.db2.gz BDIHDMREYJVRAD-UHFFFAOYSA-N 0 0 429.476 -0.283 20 0 IBADRN CC(=O)NC[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CCO1 ZINC001319328201 1074190401 /nfs/dbraw/zinc/19/04/01/1074190401.db2.gz IVTMGMBCJIRQAA-INIZCTEOSA-N 0 0 437.522 -0.067 20 0 IBADRN CC(=O)NC[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CCO1 ZINC001319328203 1074190514 /nfs/dbraw/zinc/19/05/14/1074190514.db2.gz IVTMGMBCJIRQAA-MRXNPFEDSA-N 0 0 437.522 -0.067 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC001319332759 1074190390 /nfs/dbraw/zinc/19/03/90/1074190390.db2.gz XTFNDRMNSWAIGO-KPZWWZAWSA-N 0 0 429.495 -0.276 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC001319332760 1074190380 /nfs/dbraw/zinc/19/03/80/1074190380.db2.gz XTFNDRMNSWAIGO-KZULUSFZSA-N 0 0 429.495 -0.276 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC001319332761 1074190395 /nfs/dbraw/zinc/19/03/95/1074190395.db2.gz XTFNDRMNSWAIGO-SGTLLEGYSA-N 0 0 429.495 -0.276 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC001319332762 1074190507 /nfs/dbraw/zinc/19/05/07/1074190507.db2.gz XTFNDRMNSWAIGO-XIKOKIGWSA-N 0 0 429.495 -0.276 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)NCC(=O)NC(C)(C)C)c(=O)n2CC(C)C ZINC001319334564 1074190976 /nfs/dbraw/zinc/19/09/76/1074190976.db2.gz BJFQACHMGYRUHN-UHFFFAOYSA-N 0 0 436.513 -0.307 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCCN(C(N)=O)CC2)CC1 ZINC001319334751 1074190952 /nfs/dbraw/zinc/19/09/52/1074190952.db2.gz YLAYSGXDMYRZPZ-UHFFFAOYSA-N 0 0 428.515 -0.789 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(S(C)(=O)=O)CC2)c1 ZINC001319336149 1074190912 /nfs/dbraw/zinc/19/09/12/1074190912.db2.gz OTBXUUKZQGYGKB-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001319336249 1074190965 /nfs/dbraw/zinc/19/09/65/1074190965.db2.gz JPFBFVZRXXGBEJ-AWEZNQCLSA-N 0 0 433.552 -0.343 20 0 IBADRN CNC(=O)C(C)(C)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001319336251 1074190881 /nfs/dbraw/zinc/19/08/81/1074190881.db2.gz JPFBFVZRXXGBEJ-CQSZACIVSA-N 0 0 433.552 -0.343 20 0 IBADRN NC(=O)COC1CCN(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001319338842 1074190935 /nfs/dbraw/zinc/19/09/35/1074190935.db2.gz OONVPZSOZLADOE-UHFFFAOYSA-N 0 0 440.522 -0.388 20 0 IBADRN CNC(=O)C1CCN(c2nc(NCCOC)nc(N3CCC(C(=O)NC)CC3)n2)CC1 ZINC001319338977 1074190983 /nfs/dbraw/zinc/19/09/83/1074190983.db2.gz PPFLXLMUIWPJNA-UHFFFAOYSA-N 0 0 434.545 -0.723 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)NCC(=O)NCCO)c(=O)n(-c2ccccc2)n1C ZINC001319339797 1074190886 /nfs/dbraw/zinc/19/08/86/1074190886.db2.gz BIFPHONBRAHHPI-UHFFFAOYSA-N 0 0 435.506 -0.619 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NCCCO)CC2)cc1 ZINC001319355360 1074190897 /nfs/dbraw/zinc/19/08/97/1074190897.db2.gz FZYBTZRBQOWWKG-UHFFFAOYSA-N 0 0 427.523 -0.038 20 0 IBADRN CCn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)N1CCC[C@H](NC(C)=O)C1 ZINC001319357330 1074190907 /nfs/dbraw/zinc/19/09/07/1074190907.db2.gz SGVZAMKIHSEZTF-AWEZNQCLSA-N 0 0 428.515 -0.965 20 0 IBADRN CCn1c(N2CCOCC2)nnc1S(=O)(=O)CC(=O)N1CCC[C@@H](NC(C)=O)C1 ZINC001319357332 1074190924 /nfs/dbraw/zinc/19/09/24/1074190924.db2.gz SGVZAMKIHSEZTF-CQSZACIVSA-N 0 0 428.515 -0.965 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)CCCC(=O)N2CCC([C@H](O)C(=O)OC)CC2)CC1 ZINC001319357393 1074190921 /nfs/dbraw/zinc/19/09/21/1074190921.db2.gz RUGOSMQVPSURCZ-KDURUIRLSA-N 0 0 442.509 -0.298 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)CCCC(=O)N2CCC([C@H](O)C(=O)OC)CC2)CC1 ZINC001319357394 1074190931 /nfs/dbraw/zinc/19/09/31/1074190931.db2.gz RUGOSMQVPSURCZ-OALUTQOASA-N 0 0 442.509 -0.298 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)CCCC(=O)N2CCC([C@@H](O)C(=O)OC)CC2)CC1 ZINC001319357397 1074190927 /nfs/dbraw/zinc/19/09/27/1074190927.db2.gz RUGOSMQVPSURCZ-RTBURBONSA-N 0 0 442.509 -0.298 20 0 IBADRN O=C(CN1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319366200 1074191502 /nfs/dbraw/zinc/19/15/02/1074191502.db2.gz BKYVAMRKNKOWAZ-CYBMUJFWSA-N 0 0 426.520 -0.944 20 0 IBADRN O=C(CN1CCN(C2=NS(=O)(=O)c3ccccc32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319366201 1074191521 /nfs/dbraw/zinc/19/15/21/1074191521.db2.gz BKYVAMRKNKOWAZ-ZDUSSCGKSA-N 0 0 426.520 -0.944 20 0 IBADRN CCN(CCS(=O)(=O)CCN(CC)[C@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001319366225 1074191555 /nfs/dbraw/zinc/19/15/55/1074191555.db2.gz CMCBANFCXFJYQG-HOTGVXAUSA-N 0 0 444.641 -0.581 20 0 IBADRN CCN(CCS(=O)(=O)CCN(CC)[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001319366226 1074191538 /nfs/dbraw/zinc/19/15/38/1074191538.db2.gz CMCBANFCXFJYQG-HZPDHXFCSA-N 0 0 444.641 -0.581 20 0 IBADRN CCN(CCS(=O)(=O)CCN(CC)[C@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001319366227 1074191533 /nfs/dbraw/zinc/19/15/33/1074191533.db2.gz CMCBANFCXFJYQG-IYBDPMFKSA-N 0 0 444.641 -0.581 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319366717 1074191564 /nfs/dbraw/zinc/19/15/64/1074191564.db2.gz NWIRWRRGJXCXGD-KRWDZBQOSA-N 0 0 444.598 -0.378 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319366718 1074191450 /nfs/dbraw/zinc/19/14/50/1074191450.db2.gz NWIRWRRGJXCXGD-QGZVFWFLSA-N 0 0 444.598 -0.378 20 0 IBADRN CCCCn1c2nc(CN(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)n(C)c2c(=O)[nH]c1=O ZINC001319367264 1074191481 /nfs/dbraw/zinc/19/14/81/1074191481.db2.gz VMRIWPHKSBVMKZ-GFCCVEGCSA-N 0 0 440.526 -0.629 20 0 IBADRN CCCCn1c2nc(CN(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)n(C)c2c(=O)[nH]c1=O ZINC001319367265 1074191546 /nfs/dbraw/zinc/19/15/46/1074191546.db2.gz VMRIWPHKSBVMKZ-LBPRGKRZSA-N 0 0 440.526 -0.629 20 0 IBADRN O=c1cc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)nc2sccn21 ZINC001319367283 1074191559 /nfs/dbraw/zinc/19/15/59/1074191559.db2.gz WLZUIVPCPYOUFH-CYBMUJFWSA-N 0 0 432.549 -0.610 20 0 IBADRN O=c1cc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)nc2sccn21 ZINC001319367284 1074191553 /nfs/dbraw/zinc/19/15/53/1074191553.db2.gz WLZUIVPCPYOUFH-ZDUSSCGKSA-N 0 0 432.549 -0.610 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001319368599 1074191526 /nfs/dbraw/zinc/19/15/26/1074191526.db2.gz DZPQKLGAUSAMSZ-KRWDZBQOSA-N 0 0 442.538 -0.098 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001319368602 1074191567 /nfs/dbraw/zinc/19/15/67/1074191567.db2.gz DZPQKLGAUSAMSZ-QGZVFWFLSA-N 0 0 442.538 -0.098 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccs1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319370668 1074191512 /nfs/dbraw/zinc/19/15/12/1074191512.db2.gz QPLDYUDDIXXSPZ-UHFFFAOYSA-N 0 0 431.540 -0.194 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)NCC1(CO)COC1 ZINC001319371193 1074191549 /nfs/dbraw/zinc/19/15/49/1074191549.db2.gz UTUJWVOKLLIPFL-UHFFFAOYSA-N 0 0 439.490 -0.106 20 0 IBADRN NC(=O)NC1CCN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001319371255 1074191465 /nfs/dbraw/zinc/19/14/65/1074191465.db2.gz WBUBXSIASFYBGS-UHFFFAOYSA-N 0 0 439.538 -0.544 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001319371934 1074191497 /nfs/dbraw/zinc/19/14/97/1074191497.db2.gz FYZUSFOSQZRPHQ-CVEARBPZSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001319371938 1074191491 /nfs/dbraw/zinc/19/14/91/1074191491.db2.gz FYZUSFOSQZRPHQ-HOTGVXAUSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001319371941 1074191562 /nfs/dbraw/zinc/19/15/62/1074191562.db2.gz FYZUSFOSQZRPHQ-HZPDHXFCSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1ccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cc1 ZINC001319371945 1074191543 /nfs/dbraw/zinc/19/15/43/1074191543.db2.gz FYZUSFOSQZRPHQ-JKSUJKDBSA-N 0 0 428.532 -0.117 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)C2CCN(C(=O)c3cccnc3)CC2)CC1 ZINC001319373764 1074191849 /nfs/dbraw/zinc/19/18/49/1074191849.db2.gz VFRJZKUVLQVNML-UHFFFAOYSA-N 0 0 441.488 -0.246 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1 ZINC001319376301 1074191843 /nfs/dbraw/zinc/19/18/43/1074191843.db2.gz VGMPWPCFPNDSBW-UHFFFAOYSA-N 0 0 448.549 -0.004 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1ccccc1 ZINC001319376809 1074191925 /nfs/dbraw/zinc/19/19/25/1074191925.db2.gz BZHAMUHCFYNCQI-JYJNAYRXSA-N 0 0 428.532 -0.076 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1ccccc1 ZINC001319376810 1074191938 /nfs/dbraw/zinc/19/19/38/1074191938.db2.gz BZHAMUHCFYNCQI-OAGGEKHMSA-N 0 0 428.532 -0.076 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)c1ccccc1 ZINC001319376811 1074191837 /nfs/dbraw/zinc/19/18/37/1074191837.db2.gz BZHAMUHCFYNCQI-PMPSAXMXSA-N 0 0 428.532 -0.076 20 0 IBADRN CS(=O)(=O)C[C@H](NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)c1ccccc1 ZINC001319376812 1074191827 /nfs/dbraw/zinc/19/18/27/1074191827.db2.gz BZHAMUHCFYNCQI-XHSDSOJGSA-N 0 0 428.532 -0.076 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319377246 1074191874 /nfs/dbraw/zinc/19/18/74/1074191874.db2.gz LLUADHBOIIPJIV-UHFFFAOYSA-N 0 0 445.524 -0.498 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)c3cc(S(=O)(=O)N4CCSCC4)c[nH]3)CC2)C1=O ZINC001319380850 1074191867 /nfs/dbraw/zinc/19/18/67/1074191867.db2.gz QXSFZUMGAPUCDU-UHFFFAOYSA-N 0 0 441.535 -0.091 20 0 IBADRN CCN(C(C)=O)c1nc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001319382535 1074191956 /nfs/dbraw/zinc/19/19/56/1074191956.db2.gz AJNNUPVYGNXMTN-HNNXBMFYSA-N 0 0 443.595 -0.063 20 0 IBADRN CCN(C(C)=O)c1nc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001319382536 1074191883 /nfs/dbraw/zinc/19/18/83/1074191883.db2.gz AJNNUPVYGNXMTN-OAHLLOKOSA-N 0 0 443.595 -0.063 20 0 IBADRN COCCNC(=O)CN1CCN([C@@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC001319383177 1074191795 /nfs/dbraw/zinc/19/17/95/1074191795.db2.gz RYZPJGJOKNXPKO-AWEZNQCLSA-N 0 0 427.527 -0.959 20 0 IBADRN COCCNC(=O)CN1CCN([C@H](C)C(=O)Nc2cccc(S(N)(=O)=O)c2)CC1 ZINC001319383178 1074191788 /nfs/dbraw/zinc/19/17/88/1074191788.db2.gz RYZPJGJOKNXPKO-CQSZACIVSA-N 0 0 427.527 -0.959 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001319383522 1074191857 /nfs/dbraw/zinc/19/18/57/1074191857.db2.gz FLCFRYPVHSNPNR-CVEARBPZSA-N 0 0 446.570 -0.847 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001319383538 1074191944 /nfs/dbraw/zinc/19/19/44/1074191944.db2.gz FLCFRYPVHSNPNR-HOTGVXAUSA-N 0 0 446.570 -0.847 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001319383544 1074191898 /nfs/dbraw/zinc/19/18/98/1074191898.db2.gz FLCFRYPVHSNPNR-HZPDHXFCSA-N 0 0 446.570 -0.847 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001319383549 1074191914 /nfs/dbraw/zinc/19/19/14/1074191914.db2.gz FLCFRYPVHSNPNR-JKSUJKDBSA-N 0 0 446.570 -0.847 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCc3ccccc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319385352 1074191929 /nfs/dbraw/zinc/19/19/29/1074191929.db2.gz MXTKOOFUUYESPT-IBGZPJMESA-N 0 0 434.562 -0.508 20 0 IBADRN O=C(CN1CCN(CC(=O)N2CCc3ccccc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319385355 1074192502 /nfs/dbraw/zinc/19/25/02/1074192502.db2.gz MXTKOOFUUYESPT-LJQANCHMSA-N 0 0 434.562 -0.508 20 0 IBADRN COc1ccccc1NC(=O)[C@@H](C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319386946 1074192466 /nfs/dbraw/zinc/19/24/66/1074192466.db2.gz VYSSSLPUUNFAFW-CVEARBPZSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccccc1NC(=O)[C@H](C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319386949 1074192458 /nfs/dbraw/zinc/19/24/58/1074192458.db2.gz VYSSSLPUUNFAFW-HOTGVXAUSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccccc1NC(=O)[C@@H](C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319386952 1074192409 /nfs/dbraw/zinc/19/24/09/1074192409.db2.gz VYSSSLPUUNFAFW-HZPDHXFCSA-N 0 0 438.550 -0.057 20 0 IBADRN COc1ccccc1NC(=O)[C@H](C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319386956 1074192478 /nfs/dbraw/zinc/19/24/78/1074192478.db2.gz VYSSSLPUUNFAFW-JKSUJKDBSA-N 0 0 438.550 -0.057 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1cccs1 ZINC001319388094 1074192403 /nfs/dbraw/zinc/19/24/03/1074192403.db2.gz DFBZSVCTCWYRST-CABCVRRESA-N 0 0 428.580 -0.154 20 0 IBADRN C[C@H](NC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)c1cccs1 ZINC001319388095 1074192461 /nfs/dbraw/zinc/19/24/61/1074192461.db2.gz DFBZSVCTCWYRST-GJZGRUSLSA-N 0 0 428.580 -0.154 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1cccs1 ZINC001319388096 1074192454 /nfs/dbraw/zinc/19/24/54/1074192454.db2.gz DFBZSVCTCWYRST-HUUCEWRRSA-N 0 0 428.580 -0.154 20 0 IBADRN C[C@H](NC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)c1cccs1 ZINC001319388097 1074192427 /nfs/dbraw/zinc/19/24/27/1074192427.db2.gz DFBZSVCTCWYRST-LSDHHAIUSA-N 0 0 428.580 -0.154 20 0 IBADRN Cc1cc(C(=O)CN2CCN(CCS(N)(=O)=O)CC2)c(C)n1[C@H]1CCS(=O)(=O)C1 ZINC001319388720 1074192450 /nfs/dbraw/zinc/19/24/50/1074192450.db2.gz KCGNLSCVNULMDO-INIZCTEOSA-N 0 0 446.595 -0.447 20 0 IBADRN Cc1cc(C(=O)CN2CCN(CCS(N)(=O)=O)CC2)c(C)n1[C@@H]1CCS(=O)(=O)C1 ZINC001319388721 1074192432 /nfs/dbraw/zinc/19/24/32/1074192432.db2.gz KCGNLSCVNULMDO-MRXNPFEDSA-N 0 0 446.595 -0.447 20 0 IBADRN O=C(CN1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1)N[C@H]1CCS(=O)(=O)C1 ZINC001319389300 1074192493 /nfs/dbraw/zinc/19/24/93/1074192493.db2.gz UFMYIEACXJUZLE-CABCVRRESA-N 0 0 433.527 -0.128 20 0 IBADRN O=C(CN1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1)N[C@H]1CCS(=O)(=O)C1 ZINC001319389301 1074192865 /nfs/dbraw/zinc/19/28/65/1074192865.db2.gz UFMYIEACXJUZLE-GJZGRUSLSA-N 0 0 433.527 -0.128 20 0 IBADRN O=C(CN1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319389302 1074192749 /nfs/dbraw/zinc/19/27/49/1074192749.db2.gz UFMYIEACXJUZLE-HUUCEWRRSA-N 0 0 433.527 -0.128 20 0 IBADRN O=C(CN1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319389303 1074192872 /nfs/dbraw/zinc/19/28/72/1074192872.db2.gz UFMYIEACXJUZLE-LSDHHAIUSA-N 0 0 433.527 -0.128 20 0 IBADRN CC(=O)N1CCC(NC(=O)CNc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001319389919 1074192843 /nfs/dbraw/zinc/19/28/43/1074192843.db2.gz YLBPFKPCPXZCNE-UHFFFAOYSA-N 0 0 437.522 -0.654 20 0 IBADRN C[C@@H](C(=O)Nc1cccnc1Cl)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319390190 1074192756 /nfs/dbraw/zinc/19/27/56/1074192756.db2.gz WAIAJNUEUZVLLS-KBPBESRZSA-N 0 0 443.957 -0.017 20 0 IBADRN C[C@H](C(=O)Nc1cccnc1Cl)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319390191 1074192855 /nfs/dbraw/zinc/19/28/55/1074192855.db2.gz WAIAJNUEUZVLLS-KGLIPLIRSA-N 0 0 443.957 -0.017 20 0 IBADRN C[C@@H](C(=O)Nc1cccnc1Cl)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319390192 1074192881 /nfs/dbraw/zinc/19/28/81/1074192881.db2.gz WAIAJNUEUZVLLS-UONOGXRCSA-N 0 0 443.957 -0.017 20 0 IBADRN C[C@H](C(=O)Nc1cccnc1Cl)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319390193 1074192851 /nfs/dbraw/zinc/19/28/51/1074192851.db2.gz WAIAJNUEUZVLLS-ZIAGYGMSSA-N 0 0 443.957 -0.017 20 0 IBADRN Cc1cc(C)c(NC(=O)CNC(=O)CN2CCN(CCS(N)(=O)=O)CC2)c(C)c1 ZINC001319390318 1074192790 /nfs/dbraw/zinc/19/27/90/1074192790.db2.gz XEPVGNCXWKVLEP-UHFFFAOYSA-N 0 0 425.555 -0.427 20 0 IBADRN CS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCN(CCS(N)(=O)=O)CC2)c1 ZINC001319390379 1074192803 /nfs/dbraw/zinc/19/28/03/1074192803.db2.gz YWCCJAXISAZVCC-UHFFFAOYSA-N 0 0 438.959 -0.412 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001319390866 1074192769 /nfs/dbraw/zinc/19/27/69/1074192769.db2.gz CYVOSYQIKXKXNM-CVEARBPZSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001319390869 1074192886 /nfs/dbraw/zinc/19/28/86/1074192886.db2.gz CYVOSYQIKXKXNM-HOTGVXAUSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001319390872 1074192827 /nfs/dbraw/zinc/19/28/27/1074192827.db2.gz CYVOSYQIKXKXNM-HZPDHXFCSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001319390876 1074192838 /nfs/dbraw/zinc/19/28/38/1074192838.db2.gz CYVOSYQIKXKXNM-JKSUJKDBSA-N 0 0 425.507 -0.143 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC1CCN(CC(=O)N(C)C)CC1 ZINC001319391658 1074192779 /nfs/dbraw/zinc/19/27/79/1074192779.db2.gz RLVKXNPCLGRJFO-UHFFFAOYSA-N 0 0 440.512 -0.467 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC001319391671 1074192810 /nfs/dbraw/zinc/19/28/10/1074192810.db2.gz SDFBGLNXSABYFJ-UHFFFAOYSA-N 0 0 441.496 -0.134 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001319391945 1074192795 /nfs/dbraw/zinc/19/27/95/1074192795.db2.gz VOTNQSUPWJDTCS-UHFFFAOYSA-N 0 0 447.525 -0.253 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(CCS(=O)(=O)CCC(N)=O)CC2)cc1 ZINC001319393461 1074192895 /nfs/dbraw/zinc/19/28/95/1074192895.db2.gz AITYPQQHSVJGLI-UHFFFAOYSA-N 0 0 433.552 -0.318 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001319394800 1074193278 /nfs/dbraw/zinc/19/32/78/1074193278.db2.gz RGOQGBOENPXWLC-PBHICJAKSA-N 0 0 429.477 -0.364 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001319394801 1074193322 /nfs/dbraw/zinc/19/33/22/1074193322.db2.gz RGOQGBOENPXWLC-RHSMWYFYSA-N 0 0 429.477 -0.364 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001319394806 1074193349 /nfs/dbraw/zinc/19/33/49/1074193349.db2.gz RGOQGBOENPXWLC-WMLDXEAASA-N 0 0 429.477 -0.364 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001319394809 1074193327 /nfs/dbraw/zinc/19/33/27/1074193327.db2.gz RGOQGBOENPXWLC-YOEHRIQHSA-N 0 0 429.477 -0.364 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCc3ccc(-n4cncn4)nc3)CC2)cn1C ZINC001319395118 1074193328 /nfs/dbraw/zinc/19/33/28/1074193328.db2.gz VUSVWRBLFXXZJN-UHFFFAOYSA-N 0 0 445.509 -0.080 20 0 IBADRN CS(=O)(=O)c1ccc(F)c(NC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)c1 ZINC001319396515 1074193265 /nfs/dbraw/zinc/19/32/65/1074193265.db2.gz DRKXGLKRKVRFOY-UHFFFAOYSA-N 0 0 442.513 -0.356 20 0 IBADRN CN(CC(=O)Nc1cc(S(C)(=O)=O)ccc1F)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319396977 1074193353 /nfs/dbraw/zinc/19/33/53/1074193353.db2.gz MPTGKGAQUYYRMA-LLVKDONJSA-N 0 0 435.499 -0.597 20 0 IBADRN CN(CC(=O)Nc1cc(S(C)(=O)=O)ccc1F)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319396978 1074193311 /nfs/dbraw/zinc/19/33/11/1074193311.db2.gz MPTGKGAQUYYRMA-NSHDSACASA-N 0 0 435.499 -0.597 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319397632 1074193325 /nfs/dbraw/zinc/19/33/25/1074193325.db2.gz SOLMQYHQLGQAQF-PBHICJAKSA-N 0 0 428.511 -0.264 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319397750 1074193323 /nfs/dbraw/zinc/19/33/23/1074193323.db2.gz SOLMQYHQLGQAQF-RHSMWYFYSA-N 0 0 428.511 -0.264 20 0 IBADRN COC(=O)[C@H](O)C1CCN(CC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319397753 1074193271 /nfs/dbraw/zinc/19/32/71/1074193271.db2.gz SOLMQYHQLGQAQF-WMLDXEAASA-N 0 0 428.511 -0.264 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(CC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319397755 1074193332 /nfs/dbraw/zinc/19/33/32/1074193332.db2.gz SOLMQYHQLGQAQF-YOEHRIQHSA-N 0 0 428.511 -0.264 20 0 IBADRN CN(C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)S(C)(=O)=O ZINC001319400105 1074193358 /nfs/dbraw/zinc/19/33/58/1074193358.db2.gz FYSOUKIHAGRIBQ-UHFFFAOYSA-N 0 0 435.499 -0.143 20 0 IBADRN Cn1cc(N2CCN(Cn3nc(C[C@H]4CCS(=O)(=O)C4)n(C)c3=S)CC2=O)cn1 ZINC001319404334 1074193289 /nfs/dbraw/zinc/19/32/89/1074193289.db2.gz MUFZAOAIUFIBGI-CYBMUJFWSA-N 0 0 439.567 -0.032 20 0 IBADRN Cn1cc(N2CCN(Cn3nc(C[C@@H]4CCS(=O)(=O)C4)n(C)c3=S)CC2=O)cn1 ZINC001319404336 1074193319 /nfs/dbraw/zinc/19/33/19/1074193319.db2.gz MUFZAOAIUFIBGI-ZDUSSCGKSA-N 0 0 439.567 -0.032 20 0 IBADRN COCC(=O)NC1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001319404947 1074193343 /nfs/dbraw/zinc/19/33/43/1074193343.db2.gz YZJIYYDRBVNZHE-AWEZNQCLSA-N 0 0 426.539 -0.392 20 0 IBADRN COCC(=O)NC1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001319404949 1074193298 /nfs/dbraw/zinc/19/32/98/1074193298.db2.gz YZJIYYDRBVNZHE-CQSZACIVSA-N 0 0 426.539 -0.392 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)S(=O)(=O)C3CCOCC3)CC2)cn1C ZINC001319406716 1074193981 /nfs/dbraw/zinc/19/39/81/1074193981.db2.gz NWMXIYHCYPDZSE-CYBMUJFWSA-N 0 0 448.567 -0.456 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H](C)S(=O)(=O)C3CCOCC3)CC2)cn1C ZINC001319406717 1074194003 /nfs/dbraw/zinc/19/40/03/1074194003.db2.gz NWMXIYHCYPDZSE-ZDUSSCGKSA-N 0 0 448.567 -0.456 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(CS(N)(=O)=O)cc3)CC2)cn1C ZINC001319406796 1074193941 /nfs/dbraw/zinc/19/39/41/1074193941.db2.gz QLBXIEZTACMZFX-UHFFFAOYSA-N 0 0 441.535 -0.336 20 0 IBADRN C[C@@H]1CN(C(=O)Cc2nc3ncccn3n2)[C@@H](C)CN1C(=O)Cc1nc2ncccn2n1 ZINC001319408622 1074193996 /nfs/dbraw/zinc/19/39/96/1074193996.db2.gz AYKOQCGFRIHYJH-OKILXGFUSA-N 0 0 434.464 -0.205 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC001319417988 1074193955 /nfs/dbraw/zinc/19/39/55/1074193955.db2.gz VEVFAFMGDGKKQT-CHWSQXEVSA-N 0 0 429.882 -0.206 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC001319417989 1074194001 /nfs/dbraw/zinc/19/40/01/1074194001.db2.gz VEVFAFMGDGKKQT-OLZOCXBDSA-N 0 0 429.882 -0.206 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC001319417990 1074193933 /nfs/dbraw/zinc/19/39/33/1074193933.db2.gz VEVFAFMGDGKKQT-QWHCGFSZSA-N 0 0 429.882 -0.206 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC001319417991 1074194015 /nfs/dbraw/zinc/19/40/15/1074194015.db2.gz VEVFAFMGDGKKQT-STQMWFEESA-N 0 0 429.882 -0.206 20 0 IBADRN O=C(COC(=O)CCC(=O)N1CCN(c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319421501 1074193959 /nfs/dbraw/zinc/19/39/59/1074193959.db2.gz KEKZPVINYFXSEE-INIZCTEOSA-N 0 0 437.518 -0.038 20 0 IBADRN O=C(COC(=O)CCC(=O)N1CCN(c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319421505 1074193923 /nfs/dbraw/zinc/19/39/23/1074193923.db2.gz KEKZPVINYFXSEE-MRXNPFEDSA-N 0 0 437.518 -0.038 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)CN(C)CCNS(C)(=O)=O)CC1 ZINC001319425248 1074193971 /nfs/dbraw/zinc/19/39/71/1074193971.db2.gz XGAURBKCKVSUNK-UHFFFAOYSA-N 0 0 439.582 -0.133 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cn(Cc4ccccn4)nn3)CC2)cn1C ZINC001319425562 1074194019 /nfs/dbraw/zinc/19/40/19/1074194019.db2.gz RZSQWNNOIUHHLT-UHFFFAOYSA-N 0 0 430.494 -0.090 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)c3cnn([C@H]4CCOC4)c3)CC2)cn1 ZINC001319425956 1074193986 /nfs/dbraw/zinc/19/39/86/1074193986.db2.gz QGDFIWAYOAFZIB-AWEZNQCLSA-N 0 0 444.539 -0.290 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)c3cnn([C@@H]4CCOC4)c3)CC2)cn1 ZINC001319425957 1074193989 /nfs/dbraw/zinc/19/39/89/1074193989.db2.gz QGDFIWAYOAFZIB-CQSZACIVSA-N 0 0 444.539 -0.290 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)c2cnn([C@H]3CCOC3)c2)CC1 ZINC001319426336 1074193975 /nfs/dbraw/zinc/19/39/75/1074193975.db2.gz WTHZSHRULACTQQ-AWEZNQCLSA-N 0 0 431.496 -0.331 20 0 IBADRN O=S(=O)(Cc1ccon1)N1CCN(S(=O)(=O)c2cnn([C@@H]3CCOC3)c2)CC1 ZINC001319426338 1074194552 /nfs/dbraw/zinc/19/45/52/1074194552.db2.gz WTHZSHRULACTQQ-CQSZACIVSA-N 0 0 431.496 -0.331 20 0 IBADRN Cc1c(NC(=O)[C@H](C)SCC(=O)NCC(=O)NCCO)c(=O)n(-c2ccccc2)n1C ZINC001319433494 1074194535 /nfs/dbraw/zinc/19/45/35/1074194535.db2.gz RUYVCWBAOCTYFA-AWEZNQCLSA-N 0 0 449.533 -0.231 20 0 IBADRN Cc1c(NC(=O)[C@@H](C)SCC(=O)NCC(=O)NCCO)c(=O)n(-c2ccccc2)n1C ZINC001319433495 1074194560 /nfs/dbraw/zinc/19/45/60/1074194560.db2.gz RUYVCWBAOCTYFA-CQSZACIVSA-N 0 0 449.533 -0.231 20 0 IBADRN C[C@H](C(=O)NC[C@H](O)CS(=O)(=O)c1cccs1)S(=O)(=O)C1CCOCC1 ZINC001319433775 1074194504 /nfs/dbraw/zinc/19/45/04/1074194504.db2.gz NHABHHDNBSJAEK-NEPJUHHUSA-N 0 0 425.550 -0.019 20 0 IBADRN C[C@@H](C(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1)S(=O)(=O)C1CCOCC1 ZINC001319433930 1074194522 /nfs/dbraw/zinc/19/45/22/1074194522.db2.gz NHABHHDNBSJAEK-NWDGAFQWSA-N 0 0 425.550 -0.019 20 0 IBADRN C[C@@H](C(=O)NC[C@H](O)CS(=O)(=O)c1cccs1)S(=O)(=O)C1CCOCC1 ZINC001319433937 1074194468 /nfs/dbraw/zinc/19/44/68/1074194468.db2.gz NHABHHDNBSJAEK-RYUDHWBXSA-N 0 0 425.550 -0.019 20 0 IBADRN C[C@H](C(=O)NC[C@@H](O)CS(=O)(=O)c1cccs1)S(=O)(=O)C1CCOCC1 ZINC001319433939 1074194499 /nfs/dbraw/zinc/19/44/99/1074194499.db2.gz NHABHHDNBSJAEK-VXGBXAGGSA-N 0 0 425.550 -0.019 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001319445915 1074194556 /nfs/dbraw/zinc/19/45/56/1074194556.db2.gz DUOFLSKCGAQRSP-CYBMUJFWSA-N 0 0 446.551 -0.936 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001319445916 1074194544 /nfs/dbraw/zinc/19/45/44/1074194544.db2.gz DUOFLSKCGAQRSP-ZDUSSCGKSA-N 0 0 446.551 -0.936 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NCC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001319452884 1074194454 /nfs/dbraw/zinc/19/44/54/1074194454.db2.gz ASHWOHVNXCNZAS-UHFFFAOYSA-N 0 0 432.543 -0.241 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)nc2n(C)c1=O ZINC001319456911 1074194527 /nfs/dbraw/zinc/19/45/27/1074194527.db2.gz ICCROKVVBPCGNW-GFCCVEGCSA-N 0 0 438.510 -0.612 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)nc2n(C)c1=O ZINC001319456912 1074194431 /nfs/dbraw/zinc/19/44/31/1074194431.db2.gz ICCROKVVBPCGNW-LBPRGKRZSA-N 0 0 438.510 -0.612 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001319458180 1074194531 /nfs/dbraw/zinc/19/45/31/1074194531.db2.gz LPVOTOMCEPOQAZ-KRWDZBQOSA-N 0 0 447.554 -0.085 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001319458181 1074194489 /nfs/dbraw/zinc/19/44/89/1074194489.db2.gz LPVOTOMCEPOQAZ-QGZVFWFLSA-N 0 0 447.554 -0.085 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC001319459483 1074194564 /nfs/dbraw/zinc/19/45/64/1074194564.db2.gz HLPMALZCOMSLBF-CYBMUJFWSA-N 0 0 435.528 -0.887 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)C1 ZINC001319459484 1074194394 /nfs/dbraw/zinc/19/43/94/1074194394.db2.gz HLPMALZCOMSLBF-ZDUSSCGKSA-N 0 0 435.528 -0.887 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(C)(C)S(C)(=O)=O)s1 ZINC001319461910 1074194478 /nfs/dbraw/zinc/19/44/78/1074194478.db2.gz DDWVMPXLPLMYJQ-UHFFFAOYSA-N 0 0 425.554 -0.398 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001319463746 1074194444 /nfs/dbraw/zinc/19/44/44/1074194444.db2.gz SGTQBDSGSUHWCF-UHFFFAOYSA-N 0 0 431.537 -0.343 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(OC)c(N2CCNC2=O)c1 ZINC001319465689 1074194408 /nfs/dbraw/zinc/19/44/08/1074194408.db2.gz YJFPOYMVZNQLGA-AWEZNQCLSA-N 0 0 438.506 -0.010 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(OC)c(N2CCNC2=O)c1 ZINC001319465690 1074194907 /nfs/dbraw/zinc/19/49/07/1074194907.db2.gz YJFPOYMVZNQLGA-CQSZACIVSA-N 0 0 438.506 -0.010 20 0 IBADRN COC(=O)CN(CC(=O)OC)CC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001319470032 1074194970 /nfs/dbraw/zinc/19/49/70/1074194970.db2.gz AKPVDTAYRZMTEF-UHFFFAOYSA-N 0 0 427.479 -0.833 20 0 IBADRN Cn1c(CO)nnc1SCC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001319470740 1074194920 /nfs/dbraw/zinc/19/49/20/1074194920.db2.gz BUDOKOJJMKKQKC-UHFFFAOYSA-N 0 0 441.535 -0.263 20 0 IBADRN Cn1c(CO)nnc1SCC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001319472763 1074194943 /nfs/dbraw/zinc/19/49/43/1074194943.db2.gz WBGYRHATHDUUGD-UHFFFAOYSA-N 0 0 441.535 -0.263 20 0 IBADRN CN(CC(=O)NCc1cccc(C(=O)NC2CC2)c1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319475385 1074194876 /nfs/dbraw/zinc/19/48/76/1074194876.db2.gz WOZMVERCQAKBDY-KRWDZBQOSA-N 0 0 436.534 -0.570 20 0 IBADRN CN(CC(=O)NCc1cccc(C(=O)NC2CC2)c1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319475386 1074194904 /nfs/dbraw/zinc/19/49/04/1074194904.db2.gz WOZMVERCQAKBDY-QGZVFWFLSA-N 0 0 436.534 -0.570 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)OCC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC001319475485 1074194950 /nfs/dbraw/zinc/19/49/50/1074194950.db2.gz XYLWMUBWLPNVRQ-CHWSQXEVSA-N 0 0 440.474 -0.097 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)OCC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC001319475486 1074194862 /nfs/dbraw/zinc/19/48/62/1074194862.db2.gz XYLWMUBWLPNVRQ-OLZOCXBDSA-N 0 0 440.474 -0.097 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)OCC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC001319475487 1074194953 /nfs/dbraw/zinc/19/49/53/1074194953.db2.gz XYLWMUBWLPNVRQ-QWHCGFSZSA-N 0 0 440.474 -0.097 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)OCC(=O)N[C@H]3CCS(=O)(=O)C3)CC2=O)c1 ZINC001319475488 1074194896 /nfs/dbraw/zinc/19/48/96/1074194896.db2.gz XYLWMUBWLPNVRQ-STQMWFEESA-N 0 0 440.474 -0.097 20 0 IBADRN Cn1c(N)c(C(=O)CN2CCC(CN3C(=O)c4ccccc4C3=O)CC2)c(=O)n(C)c1=O ZINC001319475777 1074194961 /nfs/dbraw/zinc/19/49/61/1074194961.db2.gz ZGEOOURXAAVYOM-UHFFFAOYSA-N 0 0 439.472 -0.143 20 0 IBADRN O=c1ccc(C(F)(F)F)nn1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319477404 1074194957 /nfs/dbraw/zinc/19/49/57/1074194957.db2.gz ALKCGSMWRNBCFA-LLVKDONJSA-N 0 0 444.457 -0.646 20 0 IBADRN O=c1ccc(C(F)(F)F)nn1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319477406 1074194882 /nfs/dbraw/zinc/19/48/82/1074194882.db2.gz ALKCGSMWRNBCFA-NSHDSACASA-N 0 0 444.457 -0.646 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001319480226 1074194936 /nfs/dbraw/zinc/19/49/36/1074194936.db2.gz YWEIOJHGTRMSDO-UHFFFAOYSA-N 0 0 445.586 -0.625 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)c3nc(C)n(-c4nc(C(F)(F)F)n[nH]4)n3)CCN2C1=O ZINC001319481330 1074194899 /nfs/dbraw/zinc/19/48/99/1074194899.db2.gz GPUIGMPBGJIZNP-MRVPVSSYSA-N 0 0 427.347 -0.179 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)c3nc(C)n(-c4nc(C(F)(F)F)n[nH]4)n3)CCN2C1=O ZINC001319481331 1074194870 /nfs/dbraw/zinc/19/48/70/1074194870.db2.gz GPUIGMPBGJIZNP-QMMMGPOBSA-N 0 0 427.347 -0.179 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC(N2CCCNC2=O)CC1 ZINC001319481599 1074194928 /nfs/dbraw/zinc/19/49/28/1074194928.db2.gz AOSOKXVOAYHDHU-UHFFFAOYSA-N 0 0 449.533 -0.173 20 0 IBADRN CS(=O)(=O)c1cccc2c1CCCN2CC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319482214 1074195473 /nfs/dbraw/zinc/19/54/73/1074195473.db2.gz IXUQEYMHRQGIBC-GFCCVEGCSA-N 0 0 429.520 -0.144 20 0 IBADRN CS(=O)(=O)c1cccc2c1CCCN2CC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319482218 1074195558 /nfs/dbraw/zinc/19/55/58/1074195558.db2.gz IXUQEYMHRQGIBC-LBPRGKRZSA-N 0 0 429.520 -0.144 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)CC1 ZINC001319483364 1074195498 /nfs/dbraw/zinc/19/54/98/1074195498.db2.gz UNBIUIHBEUMZHH-UHFFFAOYSA-N 0 0 431.449 -0.775 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(Cc3noc(C)n3)CC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001319484894 1074195562 /nfs/dbraw/zinc/19/55/62/1074195562.db2.gz YFGHMOUHIMKBPF-HNNXBMFYSA-N 0 0 437.526 -0.001 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(Cc3noc(C)n3)CC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001319484896 1074195479 /nfs/dbraw/zinc/19/54/79/1074195479.db2.gz YFGHMOUHIMKBPF-OAHLLOKOSA-N 0 0 437.526 -0.001 20 0 IBADRN COc1ccccc1CNC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319488423 1074195492 /nfs/dbraw/zinc/19/54/92/1074195492.db2.gz BTAAQKVIYWYOSE-KRWDZBQOSA-N 0 0 438.550 -0.768 20 0 IBADRN COc1ccccc1CNC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319488424 1074195557 /nfs/dbraw/zinc/19/55/57/1074195557.db2.gz BTAAQKVIYWYOSE-QGZVFWFLSA-N 0 0 438.550 -0.768 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2CCN(CCS(N)(=O)=O)CC2)CC1 ZINC001319488471 1074195463 /nfs/dbraw/zinc/19/54/63/1074195463.db2.gz CKTRQGRCUARILB-UHFFFAOYSA-N 0 0 431.603 -0.707 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)NCc2ccc(C(=O)N3CCCC3)cc2)CC1 ZINC001319490840 1074195517 /nfs/dbraw/zinc/19/55/17/1074195517.db2.gz QDJSWNQXNBOVLV-UHFFFAOYSA-N 0 0 437.566 -0.555 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001319491020 1074195532 /nfs/dbraw/zinc/19/55/32/1074195532.db2.gz SJKYIGRBMITNPR-PXAZEXFGSA-N 0 0 427.504 -0.025 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001319491036 1074195508 /nfs/dbraw/zinc/19/55/08/1074195508.db2.gz SJKYIGRBMITNPR-SJCJKPOMSA-N 0 0 427.504 -0.025 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001319491047 1074195524 /nfs/dbraw/zinc/19/55/24/1074195524.db2.gz SJKYIGRBMITNPR-SJKOYZFVSA-N 0 0 427.504 -0.025 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001319491053 1074195537 /nfs/dbraw/zinc/19/55/37/1074195537.db2.gz SJKYIGRBMITNPR-YVEFUNNKSA-N 0 0 427.504 -0.025 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC001319491771 1074195554 /nfs/dbraw/zinc/19/55/54/1074195554.db2.gz WBRJTFSGLJWVGM-CVEARBPZSA-N 0 0 438.506 -0.048 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC001319491774 1074195528 /nfs/dbraw/zinc/19/55/28/1074195528.db2.gz WBRJTFSGLJWVGM-HOTGVXAUSA-N 0 0 438.506 -0.048 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC001319491778 1074195542 /nfs/dbraw/zinc/19/55/42/1074195542.db2.gz WBRJTFSGLJWVGM-HZPDHXFCSA-N 0 0 438.506 -0.048 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC001319491781 1074195563 /nfs/dbraw/zinc/19/55/63/1074195563.db2.gz WBRJTFSGLJWVGM-JKSUJKDBSA-N 0 0 438.506 -0.048 20 0 IBADRN CCc1n[nH]c(=O)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1CC ZINC001319493211 1074195546 /nfs/dbraw/zinc/19/55/46/1074195546.db2.gz DPFMWIDAGTWCRL-CYBMUJFWSA-N 0 0 439.538 -0.632 20 0 IBADRN CCc1n[nH]c(=O)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1CC ZINC001319493227 1074195551 /nfs/dbraw/zinc/19/55/51/1074195551.db2.gz DPFMWIDAGTWCRL-ZDUSSCGKSA-N 0 0 439.538 -0.632 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(CC(=O)N2CCCC2)CC1 ZINC001319493993 1074195909 /nfs/dbraw/zinc/19/59/09/1074195909.db2.gz LJBBVHMPSYWQMR-UHFFFAOYSA-N 0 0 439.538 -0.479 20 0 IBADRN NS(=O)(=O)c1ccc(CCNC(=O)CCN2CCN(CC(=O)NC3CC3)CC2)cc1 ZINC001319494047 1074195973 /nfs/dbraw/zinc/19/59/73/1074195973.db2.gz NIXLWMYLYLSKPV-UHFFFAOYSA-N 0 0 437.566 -0.721 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NCC3CCS(=O)(=O)CC3)CC2)cc1 ZINC001319495173 1074195928 /nfs/dbraw/zinc/19/59/28/1074195928.db2.gz PCQGOQCCTYWERF-UHFFFAOYSA-N 0 0 430.552 -0.010 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)co1 ZINC001319495376 1074195987 /nfs/dbraw/zinc/19/59/87/1074195987.db2.gz SAQBAWGROWWXKQ-UHFFFAOYSA-N 0 0 432.480 -0.194 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCN(CC(=O)NC(C)C)CC1 ZINC001319496397 1074195895 /nfs/dbraw/zinc/19/58/95/1074195895.db2.gz IIKHIIUOGDTFAK-UHFFFAOYSA-N 0 0 427.527 -0.577 20 0 IBADRN C[NH+](C)CCNC(=O)Cn1cc([N-]S(=O)(=O)c2cnn(C3CCOCC3)c2)cn1 ZINC001319496603 1074195935 /nfs/dbraw/zinc/19/59/35/1074195935.db2.gz OZROYJHWARFNFE-UHFFFAOYSA-N 0 0 425.515 -0.090 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC001319497280 1074196002 /nfs/dbraw/zinc/19/60/02/1074196002.db2.gz URWWFMHFAZFJQV-CRAIPNDOSA-N 0 0 440.541 -0.076 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC001319497281 1074195901 /nfs/dbraw/zinc/19/59/01/1074195901.db2.gz URWWFMHFAZFJQV-MAUKXSAKSA-N 0 0 440.541 -0.076 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)CN1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC001319497282 1074195993 /nfs/dbraw/zinc/19/59/93/1074195993.db2.gz URWWFMHFAZFJQV-QAPCUYQASA-N 0 0 440.541 -0.076 20 0 IBADRN C[C@H](NC(=O)CNC(=O)CN1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccc(F)cc1 ZINC001319497333 1074195998 /nfs/dbraw/zinc/19/59/98/1074195998.db2.gz URWWFMHFAZFJQV-YJBOKZPZSA-N 0 0 440.541 -0.076 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001319497479 1074195961 /nfs/dbraw/zinc/19/59/61/1074195961.db2.gz WLXWEMXQOMCPDC-HNNXBMFYSA-N 0 0 437.501 -0.147 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001319497504 1074195916 /nfs/dbraw/zinc/19/59/16/1074195916.db2.gz WLXWEMXQOMCPDC-OAHLLOKOSA-N 0 0 437.501 -0.147 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001319504758 1074195886 /nfs/dbraw/zinc/19/58/86/1074195886.db2.gz FXPWBFYBCAFWLI-APIJFGDWSA-N 0 0 435.568 -0.754 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001319504759 1074195923 /nfs/dbraw/zinc/19/59/23/1074195923.db2.gz FXPWBFYBCAFWLI-GBJTYRQASA-N 0 0 435.568 -0.754 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001319504760 1074195953 /nfs/dbraw/zinc/19/59/53/1074195953.db2.gz FXPWBFYBCAFWLI-KBUPBQIOSA-N 0 0 435.568 -0.754 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001319504761 1074196484 /nfs/dbraw/zinc/19/64/84/1074196484.db2.gz FXPWBFYBCAFWLI-LJISPDSOSA-N 0 0 435.568 -0.754 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)C1 ZINC001319505037 1074196386 /nfs/dbraw/zinc/19/63/86/1074196386.db2.gz KHYPBICLDGJKBI-AWEZNQCLSA-N 0 0 433.556 -0.423 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)C1 ZINC001319505038 1074196464 /nfs/dbraw/zinc/19/64/64/1074196464.db2.gz KHYPBICLDGJKBI-CQSZACIVSA-N 0 0 433.556 -0.423 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)CC1 ZINC001319506226 1074196454 /nfs/dbraw/zinc/19/64/54/1074196454.db2.gz QHTLCHPWBCIJEN-UHFFFAOYSA-N 0 0 437.515 -0.046 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001319506881 1074196349 /nfs/dbraw/zinc/19/63/49/1074196349.db2.gz XBGYTJRZQPQEDX-AWEZNQCLSA-N 0 0 433.556 -0.721 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001319506892 1074196493 /nfs/dbraw/zinc/19/64/93/1074196493.db2.gz XBGYTJRZQPQEDX-CQSZACIVSA-N 0 0 433.556 -0.721 20 0 IBADRN CCN(CC(=O)Nc1cc(S(C)(=O)=O)ccc1F)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319507224 1074196378 /nfs/dbraw/zinc/19/63/78/1074196378.db2.gz YPWNTVMSKJJXTQ-GFCCVEGCSA-N 0 0 449.526 -0.207 20 0 IBADRN CCN(CC(=O)Nc1cc(S(C)(=O)=O)ccc1F)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319507225 1074196357 /nfs/dbraw/zinc/19/63/57/1074196357.db2.gz YPWNTVMSKJJXTQ-LBPRGKRZSA-N 0 0 449.526 -0.207 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)Cc2ncon2)c1)N(CCO)CCN1CCOCC1 ZINC001319507333 1074196384 /nfs/dbraw/zinc/19/63/84/1074196384.db2.gz MLNBNHMQRGVBIW-UHFFFAOYSA-N 0 0 442.469 -0.051 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2CCN(C(=O)N3CCOCC3)CC2)ccc1C ZINC001319507355 1074196370 /nfs/dbraw/zinc/19/63/70/1074196370.db2.gz MZEKXMQRIZWRJZ-UHFFFAOYSA-N 0 0 439.538 -0.089 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)NC[C@@H](O)CN2CCCC2=O)cc1 ZINC001319507460 1074196471 /nfs/dbraw/zinc/19/64/71/1074196471.db2.gz OFHCADWRCOIMII-CVRCPQJVSA-N 0 0 428.489 -0.111 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)NC[C@@H](O)CN2CCCC2=O)cc1 ZINC001319507463 1074196441 /nfs/dbraw/zinc/19/64/41/1074196441.db2.gz OFHCADWRCOIMII-HJPCAMOWSA-N 0 0 428.489 -0.111 20 0 IBADRN NC(=O)c1ccc(/C=C\C(=O)N2CCC[C@@H]2C(=O)NC[C@H](O)CN2CCCC2=O)cc1 ZINC001319507468 1074196381 /nfs/dbraw/zinc/19/63/81/1074196381.db2.gz OFHCADWRCOIMII-IHNWGIHHSA-N 0 0 428.489 -0.111 20 0 IBADRN NC(=O)c1ccc(/C=C/C(=O)N2CCC[C@@H]2C(=O)NC[C@H](O)CN2CCCC2=O)cc1 ZINC001319507471 1074196375 /nfs/dbraw/zinc/19/63/75/1074196375.db2.gz OFHCADWRCOIMII-INHTXZAOSA-N 0 0 428.489 -0.111 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2cccc(=O)n2C)CCN1C(=O)c1cccc(=O)n1C ZINC001319509890 1074196391 /nfs/dbraw/zinc/19/63/91/1074196391.db2.gz BQEWGYJNQJIKLF-INIZCTEOSA-N 0 0 427.461 -0.861 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2cccc(=O)n2C)CCN1C(=O)c1cccc(=O)n1C ZINC001319509893 1074196477 /nfs/dbraw/zinc/19/64/77/1074196477.db2.gz BQEWGYJNQJIKLF-MRXNPFEDSA-N 0 0 427.461 -0.861 20 0 IBADRN COC(=O)CN(C)CCNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001319515780 1074196404 /nfs/dbraw/zinc/19/64/04/1074196404.db2.gz PXYWXABJYNUIQX-UHFFFAOYSA-N 0 0 443.522 -0.520 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001319518301 1074196373 /nfs/dbraw/zinc/19/63/73/1074196373.db2.gz LUFQGFMMRBTAPB-AWEZNQCLSA-N 0 0 435.593 -0.080 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001319518302 1074197230 /nfs/dbraw/zinc/19/72/30/1074197230.db2.gz LUFQGFMMRBTAPB-CQSZACIVSA-N 0 0 435.593 -0.080 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC001319518975 1074197111 /nfs/dbraw/zinc/19/71/11/1074197111.db2.gz UNXJZWQXLJAWHY-GFCCVEGCSA-N 0 0 437.565 -0.650 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC001319518976 1074197210 /nfs/dbraw/zinc/19/72/10/1074197210.db2.gz UNXJZWQXLJAWHY-LBPRGKRZSA-N 0 0 437.565 -0.650 20 0 IBADRN COCc1nc(C)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001319531747 1074197243 /nfs/dbraw/zinc/19/72/43/1074197243.db2.gz ICQJSOBSQVXEEY-CYBMUJFWSA-N 0 0 430.552 -0.341 20 0 IBADRN COCc1nc(C)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001319531752 1074197175 /nfs/dbraw/zinc/19/71/75/1074197175.db2.gz ICQJSOBSQVXEEY-ZDUSSCGKSA-N 0 0 430.552 -0.341 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H](C(C)C)N3CCCS3(=O)=O)CC2)c(C(N)=O)c1C ZINC001319534368 1074197235 /nfs/dbraw/zinc/19/72/35/1074197235.db2.gz KPNSLTIAAHIKDQ-INIZCTEOSA-N 0 0 438.554 -0.099 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H](C(C)C)N3CCCS3(=O)=O)CC2)c(C(N)=O)c1C ZINC001319534373 1074197217 /nfs/dbraw/zinc/19/72/17/1074197217.db2.gz KPNSLTIAAHIKDQ-MRXNPFEDSA-N 0 0 438.554 -0.099 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N(C)CCNS(C)(=O)=O)CC2)cc1 ZINC001319535349 1074197145 /nfs/dbraw/zinc/19/71/45/1074197145.db2.gz UGBYTJMBBNZOFS-INIZCTEOSA-N 0 0 446.595 -0.303 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N(C)CCNS(C)(=O)=O)CC2)cc1 ZINC001319535358 1074197186 /nfs/dbraw/zinc/19/71/86/1074197186.db2.gz UGBYTJMBBNZOFS-MRXNPFEDSA-N 0 0 446.595 -0.303 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(C(=O)CN(C)CCNS(C)(=O)=O)CC1 ZINC001319535859 1074197096 /nfs/dbraw/zinc/19/70/96/1074197096.db2.gz VAQUMXCANNCNPC-UHFFFAOYSA-N 0 0 439.582 -0.187 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N(C)CCNS(C)(=O)=O ZINC001319535950 1074197239 /nfs/dbraw/zinc/19/72/39/1074197239.db2.gz XRVPDZDTSGESRK-GFCCVEGCSA-N 0 0 436.556 -0.247 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N(C)CCNS(C)(=O)=O ZINC001319535955 1074197091 /nfs/dbraw/zinc/19/70/91/1074197091.db2.gz XRVPDZDTSGESRK-LBPRGKRZSA-N 0 0 436.556 -0.247 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001319536104 1074197082 /nfs/dbraw/zinc/19/70/82/1074197082.db2.gz YPZSHFBKOMZUNJ-UHFFFAOYSA-N 0 0 432.568 -0.303 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC001319536423 1074197103 /nfs/dbraw/zinc/19/71/03/1074197103.db2.gz XXDLHXIJCOAJET-UHFFFAOYSA-N 0 0 426.495 -0.380 20 0 IBADRN COC(=O)Cn1cc(CC[S@@](=O)c2ccc(S(=O)(=O)N3CCCC3)cn2)nn1 ZINC001319541003 1074197247 /nfs/dbraw/zinc/19/72/47/1074197247.db2.gz JIABQGWAGAKVRZ-HHHXNRCGSA-N 0 0 427.508 -0.019 20 0 IBADRN COC(=O)Cn1cc(CC[S@](=O)c2ccc(S(=O)(=O)N3CCCC3)cn2)nn1 ZINC001319541004 1074197161 /nfs/dbraw/zinc/19/71/61/1074197161.db2.gz JIABQGWAGAKVRZ-MHZLTWQESA-N 0 0 427.508 -0.019 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NCc1c(F)cccc1NS(C)(=O)=O)c(=O)n2C ZINC001319552131 1074197202 /nfs/dbraw/zinc/19/72/02/1074197202.db2.gz IYJQOQCDJQXWBN-UHFFFAOYSA-N 0 0 438.441 -0.739 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCC3(CC2)NC(=O)N(C)C3=O)CC1 ZINC001319553353 1074197115 /nfs/dbraw/zinc/19/71/15/1074197115.db2.gz SSVHGSZXPDFGKU-UHFFFAOYSA-N 0 0 441.496 -0.972 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)CN1CCC2(CC1)NC(=O)NC2=O ZINC001319554705 1074197660 /nfs/dbraw/zinc/19/76/60/1074197660.db2.gz ZCYCDPKPZBRNIT-UHFFFAOYSA-N 0 0 449.533 -0.110 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001319555371 1074197497 /nfs/dbraw/zinc/19/74/97/1074197497.db2.gz JHLUFXJSZCAVHQ-FPCVCCKLSA-N 0 0 449.595 -0.506 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001319555372 1074197478 /nfs/dbraw/zinc/19/74/78/1074197478.db2.gz JHLUFXJSZCAVHQ-KLHDSHLOSA-N 0 0 449.595 -0.506 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001319555373 1074197424 /nfs/dbraw/zinc/19/74/24/1074197424.db2.gz JHLUFXJSZCAVHQ-QKPAOTATSA-N 0 0 449.595 -0.506 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001319555374 1074197630 /nfs/dbraw/zinc/19/76/30/1074197630.db2.gz JHLUFXJSZCAVHQ-QXSJWSMHSA-N 0 0 449.595 -0.506 20 0 IBADRN Cn1cc(C[S@@](=O)CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC001319555501 1074197524 /nfs/dbraw/zinc/19/75/24/1074197524.db2.gz WZBFUZHQXYYZKF-HHHXNRCGSA-N 0 0 427.508 -0.277 20 0 IBADRN Cn1cc(C[S@](=O)CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)nn1 ZINC001319555502 1074197538 /nfs/dbraw/zinc/19/75/38/1074197538.db2.gz WZBFUZHQXYYZKF-MHZLTWQESA-N 0 0 427.508 -0.277 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC001319555561 1074197648 /nfs/dbraw/zinc/19/76/48/1074197648.db2.gz MSNQCSBDUVUVRV-CYBMUJFWSA-N 0 0 446.551 -0.818 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC001319555564 1074197568 /nfs/dbraw/zinc/19/75/68/1074197568.db2.gz MSNQCSBDUVUVRV-ZDUSSCGKSA-N 0 0 446.551 -0.818 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(C)CCNS(C)(=O)=O)c(OC)c1 ZINC001319557067 1074197691 /nfs/dbraw/zinc/19/76/91/1074197691.db2.gz FMLISHAUOWTXBC-UHFFFAOYSA-N 0 0 429.542 -0.374 20 0 IBADRN Cc1c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cnn1-c1ccncc1 ZINC001319558408 1074197585 /nfs/dbraw/zinc/19/75/85/1074197585.db2.gz LWTPJTLCIMSRKL-UHFFFAOYSA-N 0 0 433.538 -0.174 20 0 IBADRN CS(=O)(=O)CCNC(=S)N[C@H]1CC[C@H](NC(=S)NCCS(C)(=O)=O)CC1 ZINC001319562544 1074197408 /nfs/dbraw/zinc/19/74/08/1074197408.db2.gz HMVOUERZTMXPCW-HAQNSBGRSA-N 0 0 444.670 -0.685 20 0 IBADRN COc1c(F)cc(CC(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC001319566718 1074197551 /nfs/dbraw/zinc/19/75/51/1074197551.db2.gz KCGIRYNBBGUVHQ-UHFFFAOYSA-N 0 0 437.515 -0.188 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cnn1-c1ccncc1 ZINC001319569396 1074197456 /nfs/dbraw/zinc/19/74/56/1074197456.db2.gz GSYIGWKPVQPACP-INIZCTEOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cnn1-c1ccncc1 ZINC001319569397 1074197636 /nfs/dbraw/zinc/19/76/36/1074197636.db2.gz GSYIGWKPVQPACP-MRXNPFEDSA-N 0 0 446.533 -0.363 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@H](O)CN1CCCC1=O ZINC001319571586 1074198003 /nfs/dbraw/zinc/19/80/03/1074198003.db2.gz BUKJVLABJBXEAL-AWEZNQCLSA-N 0 0 441.506 -0.384 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@@H](O)CN1CCCC1=O ZINC001319571588 1074198120 /nfs/dbraw/zinc/19/81/20/1074198120.db2.gz BUKJVLABJBXEAL-CQSZACIVSA-N 0 0 441.506 -0.384 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCC3(CO)COC3)CC2)o1 ZINC001319581097 1074198061 /nfs/dbraw/zinc/19/80/61/1074198061.db2.gz IPOKCCWMJDYYKA-UHFFFAOYSA-N 0 0 430.479 -0.018 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC(N(C)S(C)(=O)=O)CC1 ZINC001319582230 1074198088 /nfs/dbraw/zinc/19/80/88/1074198088.db2.gz DPODJBBGSCLJPI-UHFFFAOYSA-N 0 0 434.540 -0.363 20 0 IBADRN COc1cc(CNC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1OC ZINC001319583817 1074198136 /nfs/dbraw/zinc/19/81/36/1074198136.db2.gz KZLFESJRBDKIGM-AWEZNQCLSA-N 0 0 443.522 -0.436 20 0 IBADRN COc1cc(CNC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1OC ZINC001319583818 1074198114 /nfs/dbraw/zinc/19/81/14/1074198114.db2.gz KZLFESJRBDKIGM-CQSZACIVSA-N 0 0 443.522 -0.436 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(C)C[C@H](O)CN3CCOCC3)CC2)cn1C ZINC001319585484 1074198134 /nfs/dbraw/zinc/19/81/34/1074198134.db2.gz KIUHNNZXSGNKKN-KRWDZBQOSA-N 0 0 443.570 -0.719 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(C)C[C@@H](O)CN3CCOCC3)CC2)cn1C ZINC001319585485 1074198096 /nfs/dbraw/zinc/19/80/96/1074198096.db2.gz KIUHNNZXSGNKKN-QGZVFWFLSA-N 0 0 443.570 -0.719 20 0 IBADRN O=C(NCc1ccc2c(c1)CCS2(=O)=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001319593254 1074198107 /nfs/dbraw/zinc/19/81/07/1074198107.db2.gz GXTVTSUCUHRPFO-CABCVRRESA-N 0 0 426.516 -0.332 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)co1 ZINC001319593255 1074198078 /nfs/dbraw/zinc/19/80/78/1074198078.db2.gz NAFYGTGGHZURBC-UHFFFAOYSA-N 0 0 442.538 -0.122 20 0 IBADRN O=C(NCc1ccc2c(c1)CCS2(=O)=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001319593258 1074198129 /nfs/dbraw/zinc/19/81/29/1074198129.db2.gz GXTVTSUCUHRPFO-GJZGRUSLSA-N 0 0 426.516 -0.332 20 0 IBADRN O=C(NCc1ccc2c(c1)CCS2(=O)=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001319593259 1074198057 /nfs/dbraw/zinc/19/80/57/1074198057.db2.gz GXTVTSUCUHRPFO-HUUCEWRRSA-N 0 0 426.516 -0.332 20 0 IBADRN O=C(NCc1ccc2c(c1)CCS2(=O)=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001319593260 1074198048 /nfs/dbraw/zinc/19/80/48/1074198048.db2.gz GXTVTSUCUHRPFO-LSDHHAIUSA-N 0 0 426.516 -0.332 20 0 IBADRN COCc1nc2n(n1)C[C@H](NC(=O)c1ccc(S(=O)(=O)N3CCOCC3)o1)CC2 ZINC001319595243 1074198072 /nfs/dbraw/zinc/19/80/72/1074198072.db2.gz TVVUKFWEPRTHIS-GFCCVEGCSA-N 0 0 425.467 -0.217 20 0 IBADRN COCc1nc2n(n1)C[C@@H](NC(=O)c1ccc(S(=O)(=O)N3CCOCC3)o1)CC2 ZINC001319595244 1074198036 /nfs/dbraw/zinc/19/80/36/1074198036.db2.gz TVVUKFWEPRTHIS-LBPRGKRZSA-N 0 0 425.467 -0.217 20 0 IBADRN CCn1c2ccc(NC(=O)CCC(=O)N(C)CCNS(C)(=O)=O)nc2n(CC)c1=O ZINC001319597599 1074198012 /nfs/dbraw/zinc/19/80/12/1074198012.db2.gz ZUIADYOKUAHAAC-UHFFFAOYSA-N 0 0 440.526 -0.036 20 0 IBADRN O=C(NCC1CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001319599966 1074198027 /nfs/dbraw/zinc/19/80/27/1074198027.db2.gz PKUWJHSIADUPHA-UHFFFAOYSA-N 0 0 429.520 -0.638 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)[C@@H](C)N1CCN(CCS(N)(=O)=O)CC1 ZINC001319602831 1074198123 /nfs/dbraw/zinc/19/81/23/1074198123.db2.gz BRWPRNVDMNVQIB-CYBMUJFWSA-N 0 0 427.527 -0.113 20 0 IBADRN COc1ccc(NC(C)=O)cc1NC(=O)[C@H](C)N1CCN(CCS(N)(=O)=O)CC1 ZINC001319602850 1074198133 /nfs/dbraw/zinc/19/81/33/1074198133.db2.gz BRWPRNVDMNVQIB-ZDUSSCGKSA-N 0 0 427.527 -0.113 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)CCCN2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC001319603193 1074198570 /nfs/dbraw/zinc/19/85/70/1074198570.db2.gz HTWWCTKUJFGMOA-UHFFFAOYSA-N 0 0 432.568 -0.285 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)NC2CCN(C(=O)C3CCCCC3)CC2)CC1 ZINC001319603679 1074198579 /nfs/dbraw/zinc/19/85/79/1074198579.db2.gz LVYWPACTEQYXJW-UHFFFAOYSA-N 0 0 443.614 -0.420 20 0 IBADRN CC(C)c1nnc(NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001319604088 1074198612 /nfs/dbraw/zinc/19/86/12/1074198612.db2.gz QMSYVBGXVRPIPX-CYBMUJFWSA-N 0 0 444.583 -0.479 20 0 IBADRN CC(C)c1nnc(NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001319604089 1074198510 /nfs/dbraw/zinc/19/85/10/1074198510.db2.gz QMSYVBGXVRPIPX-ZDUSSCGKSA-N 0 0 444.583 -0.479 20 0 IBADRN O=C(CN1CCN(C[C@H](O)COCc2cccs2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319604554 1074198636 /nfs/dbraw/zinc/19/86/36/1074198636.db2.gz UPVSLUUSIOXPTK-CVEARBPZSA-N 0 0 431.580 -0.454 20 0 IBADRN O=C(CN1CCN(C[C@H](O)COCc2cccs2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319604558 1074198482 /nfs/dbraw/zinc/19/84/82/1074198482.db2.gz UPVSLUUSIOXPTK-HOTGVXAUSA-N 0 0 431.580 -0.454 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)COCc2cccs2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319604560 1074198680 /nfs/dbraw/zinc/19/86/80/1074198680.db2.gz UPVSLUUSIOXPTK-HZPDHXFCSA-N 0 0 431.580 -0.454 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)COCc2cccs2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319604562 1074198691 /nfs/dbraw/zinc/19/86/91/1074198691.db2.gz UPVSLUUSIOXPTK-JKSUJKDBSA-N 0 0 431.580 -0.454 20 0 IBADRN O=C(CN1CCN([C@@H]2CCN(CC(F)(F)F)C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319605141 1074198541 /nfs/dbraw/zinc/19/85/41/1074198541.db2.gz BXRUVRIEQKEVDT-CHWSQXEVSA-N 0 0 426.461 -0.930 20 0 IBADRN O=C(CN1CCN([C@H]2CCN(CC(F)(F)F)C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319605142 1074198628 /nfs/dbraw/zinc/19/86/28/1074198628.db2.gz BXRUVRIEQKEVDT-OLZOCXBDSA-N 0 0 426.461 -0.930 20 0 IBADRN O=C(CN1CCN([C@@H]2CCN(CC(F)(F)F)C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319605143 1074198526 /nfs/dbraw/zinc/19/85/26/1074198526.db2.gz BXRUVRIEQKEVDT-QWHCGFSZSA-N 0 0 426.461 -0.930 20 0 IBADRN O=C(CN1CCN([C@H]2CCN(CC(F)(F)F)C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319605144 1074198551 /nfs/dbraw/zinc/19/85/51/1074198551.db2.gz BXRUVRIEQKEVDT-STQMWFEESA-N 0 0 426.461 -0.930 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319607620 1074198646 /nfs/dbraw/zinc/19/86/46/1074198646.db2.gz NZQLOEDTAXFYPF-CABCVRRESA-N 0 0 440.522 -0.812 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319607621 1074198622 /nfs/dbraw/zinc/19/86/22/1074198622.db2.gz NZQLOEDTAXFYPF-GJZGRUSLSA-N 0 0 440.522 -0.812 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319607622 1074198664 /nfs/dbraw/zinc/19/86/64/1074198664.db2.gz NZQLOEDTAXFYPF-HUUCEWRRSA-N 0 0 440.522 -0.812 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319607623 1074198561 /nfs/dbraw/zinc/19/85/61/1074198561.db2.gz NZQLOEDTAXFYPF-LSDHHAIUSA-N 0 0 440.522 -0.812 20 0 IBADRN O=C(CN1CCN(CCN2C(=O)c3ccccc3C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319607969 1074198601 /nfs/dbraw/zinc/19/86/01/1074198601.db2.gz OXMFUXHBUYKCMB-HNNXBMFYSA-N 0 0 434.518 -0.797 20 0 IBADRN O=C(CN1CCN(CCN2C(=O)c3ccccc3C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319607970 1074198590 /nfs/dbraw/zinc/19/85/90/1074198590.db2.gz OXMFUXHBUYKCMB-OAHLLOKOSA-N 0 0 434.518 -0.797 20 0 IBADRN COCCc1noc(CN(C)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001319608545 1074198987 /nfs/dbraw/zinc/19/89/87/1074198987.db2.gz AQRQFWWSNLWGTK-CABCVRRESA-N 0 0 430.527 -0.115 20 0 IBADRN COCCc1noc(CN(C)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001319608547 1074199075 /nfs/dbraw/zinc/19/90/75/1074199075.db2.gz AQRQFWWSNLWGTK-GJZGRUSLSA-N 0 0 430.527 -0.115 20 0 IBADRN COCCc1noc(CN(C)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001319608549 1074198936 /nfs/dbraw/zinc/19/89/36/1074198936.db2.gz AQRQFWWSNLWGTK-HUUCEWRRSA-N 0 0 430.527 -0.115 20 0 IBADRN COCCc1noc(CN(C)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001319608551 1074198893 /nfs/dbraw/zinc/19/88/93/1074198893.db2.gz AQRQFWWSNLWGTK-LSDHHAIUSA-N 0 0 430.527 -0.115 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N3CCC4(CC3)NC(=O)N(C)C4=O)nc2n(C)c1=O ZINC001319614228 1074199011 /nfs/dbraw/zinc/19/90/11/1074199011.db2.gz OGHBFPWTYVHTAV-UHFFFAOYSA-N 0 0 443.464 -0.467 20 0 IBADRN Cn1c(CCC(=O)NC2(C(N)=O)CC2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001319615382 1074198996 /nfs/dbraw/zinc/19/89/96/1074198996.db2.gz JTQLSDAXPAPSJP-UHFFFAOYSA-N 0 0 435.506 -0.339 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(CC[S@](C)=O)CC1 ZINC001319618074 1074198971 /nfs/dbraw/zinc/19/89/71/1074198971.db2.gz BANWXJRHNKPJMY-PMERELPUSA-N 0 0 431.526 -0.613 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCN(CC[S@@](C)=O)CC1 ZINC001319618078 1074198950 /nfs/dbraw/zinc/19/89/50/1074198950.db2.gz BANWXJRHNKPJMY-SSEXGKCCSA-N 0 0 431.526 -0.613 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)C1 ZINC001319619738 1074199021 /nfs/dbraw/zinc/19/90/21/1074199021.db2.gz NATRDQLVPVYMCA-LLVKDONJSA-N 0 0 432.455 -0.054 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)C1 ZINC001319619739 1074199581 /nfs/dbraw/zinc/19/95/81/1074199581.db2.gz NATRDQLVPVYMCA-NSHDSACASA-N 0 0 432.455 -0.054 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001319622500 1074199591 /nfs/dbraw/zinc/19/95/91/1074199591.db2.gz GFSGTPRIGOVOPE-INIZCTEOSA-N 0 0 443.547 -0.674 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319622503 1074199519 /nfs/dbraw/zinc/19/95/19/1074199519.db2.gz GFSGTPRIGOVOPE-MRXNPFEDSA-N 0 0 443.547 -0.674 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NCCCN2CCNC(=O)C2)c1 ZINC001319625397 1074199524 /nfs/dbraw/zinc/19/95/24/1074199524.db2.gz JESMPVVGTFZSOZ-UHFFFAOYSA-N 0 0 433.328 -0.091 20 0 IBADRN COC(=O)Cn1nc(C)c(CNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)c1C ZINC001319625687 1074199557 /nfs/dbraw/zinc/19/95/57/1074199557.db2.gz OBNOGBLILKXDTM-UHFFFAOYSA-N 0 0 428.449 -0.143 20 0 IBADRN COC(=O)Cn1nc(C)c(CNC(=O)CCNS(=O)(=O)c2cn(C)c(C)n2)c1C ZINC001319626399 1074199491 /nfs/dbraw/zinc/19/94/91/1074199491.db2.gz VVCHLDCDMPFRIH-UHFFFAOYSA-N 0 0 426.499 -0.300 20 0 IBADRN Cn1cc(CCC(=O)NCCC(=O)N2CCN(C(=O)CCc3cnn(C)c3)CC2)cn1 ZINC001319630418 1074199512 /nfs/dbraw/zinc/19/95/12/1074199512.db2.gz KBQAGFOQKVTAPL-UHFFFAOYSA-N 0 0 429.525 -0.104 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)CC2)C1=O ZINC001319630946 1074199584 /nfs/dbraw/zinc/19/95/84/1074199584.db2.gz MGVKVMICZNPNFW-UHFFFAOYSA-N 0 0 436.490 -0.136 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)C1=O ZINC001319631113 1074199534 /nfs/dbraw/zinc/19/95/34/1074199534.db2.gz UQKZWDRWERJPJQ-UHFFFAOYSA-N 0 0 429.481 -0.552 20 0 IBADRN O=C(CCC(=O)N1CCC(O)CC1)Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001319631207 1074199571 /nfs/dbraw/zinc/19/95/71/1074199571.db2.gz OBZWBPPWMWWIKE-UHFFFAOYSA-N 0 0 438.506 -0.491 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)c2nnc(N3CCOCC3)n2C)cc1 ZINC001319631538 1074199565 /nfs/dbraw/zinc/19/95/65/1074199565.db2.gz ZNZNMUWWVUUSAP-UHFFFAOYSA-N 0 0 429.524 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001319631562 1074199471 /nfs/dbraw/zinc/19/94/71/1074199471.db2.gz ZUIRTUUQJJCMAB-CYBMUJFWSA-N 0 0 438.549 -0.845 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CS(=O)(=O)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001319631565 1074199487 /nfs/dbraw/zinc/19/94/87/1074199487.db2.gz ZUIRTUUQJJCMAB-ZDUSSCGKSA-N 0 0 438.549 -0.845 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319635242 1074199545 /nfs/dbraw/zinc/19/95/45/1074199545.db2.gz KSRBFISNJBBLLT-DLBZAZTESA-N 0 0 425.507 -0.789 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319635249 1074199562 /nfs/dbraw/zinc/19/95/62/1074199562.db2.gz KSRBFISNJBBLLT-IAGOWNOFSA-N 0 0 425.507 -0.789 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319635251 1074199577 /nfs/dbraw/zinc/19/95/77/1074199577.db2.gz KSRBFISNJBBLLT-IRXDYDNUSA-N 0 0 425.507 -0.789 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319635253 1074199553 /nfs/dbraw/zinc/19/95/53/1074199553.db2.gz KSRBFISNJBBLLT-SJORKVTESA-N 0 0 425.507 -0.789 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c(OC)c1 ZINC001319635566 1074199539 /nfs/dbraw/zinc/19/95/39/1074199539.db2.gz NLSWTWCPLZWNHL-IAOVAPTHSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c(OC)c1 ZINC001319635567 1074199542 /nfs/dbraw/zinc/19/95/42/1074199542.db2.gz NLSWTWCPLZWNHL-JQFCIGGWSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c(OC)c1 ZINC001319635568 1074199529 /nfs/dbraw/zinc/19/95/29/1074199529.db2.gz NLSWTWCPLZWNHL-RRQGHBQHSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c(OC)c1 ZINC001319635569 1074200091 /nfs/dbraw/zinc/20/00/91/1074200091.db2.gz NLSWTWCPLZWNHL-XKQJLSEDSA-N 0 0 427.523 -0.194 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCc1nc2ccccc2s1 ZINC001319636493 1074199959 /nfs/dbraw/zinc/19/99/59/1074199959.db2.gz COZHDCQXTQEUOS-CVEARBPZSA-N 0 0 438.575 -0.270 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCc1nc2ccccc2s1 ZINC001319636494 1074200016 /nfs/dbraw/zinc/20/00/16/1074200016.db2.gz COZHDCQXTQEUOS-HOTGVXAUSA-N 0 0 438.575 -0.270 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCc1nc2ccccc2s1 ZINC001319636495 1074199970 /nfs/dbraw/zinc/19/99/70/1074199970.db2.gz COZHDCQXTQEUOS-HZPDHXFCSA-N 0 0 438.575 -0.270 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCc1nc2ccccc2s1 ZINC001319636496 1074200111 /nfs/dbraw/zinc/20/01/11/1074200111.db2.gz COZHDCQXTQEUOS-JKSUJKDBSA-N 0 0 438.575 -0.270 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2ccc(=O)[nH]c2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001319636603 1074200037 /nfs/dbraw/zinc/20/00/37/1074200037.db2.gz ZPFUPGFRMAIHKX-INIZCTEOSA-N 0 0 440.522 -0.793 20 0 IBADRN COCCN(C(=O)CN1CCN(C(=O)c2ccc(=O)[nH]c2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001319636604 1074200133 /nfs/dbraw/zinc/20/01/33/1074200133.db2.gz ZPFUPGFRMAIHKX-MRXNPFEDSA-N 0 0 440.522 -0.793 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001319636954 1074199990 /nfs/dbraw/zinc/19/99/90/1074199990.db2.gz KYQRZULLHIDJBA-MOPGFXCFSA-N 0 0 441.550 -0.897 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001319636955 1074200084 /nfs/dbraw/zinc/20/00/84/1074200084.db2.gz KYQRZULLHIDJBA-OALUTQOASA-N 0 0 441.550 -0.897 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001319636956 1074200116 /nfs/dbraw/zinc/20/01/16/1074200116.db2.gz KYQRZULLHIDJBA-RBUKOAKNSA-N 0 0 441.550 -0.897 20 0 IBADRN COCCOc1cccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001319636957 1074200054 /nfs/dbraw/zinc/20/00/54/1074200054.db2.gz KYQRZULLHIDJBA-RTBURBONSA-N 0 0 441.550 -0.897 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319638224 1074200125 /nfs/dbraw/zinc/20/01/25/1074200125.db2.gz VNQRRJUBVQKWEO-MSOLQXFVSA-N 0 0 438.550 -0.461 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319638225 1074200100 /nfs/dbraw/zinc/20/01/00/1074200100.db2.gz VNQRRJUBVQKWEO-QZTJIDSGSA-N 0 0 438.550 -0.461 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319638226 1074200006 /nfs/dbraw/zinc/20/00/06/1074200006.db2.gz VNQRRJUBVQKWEO-ROUUACIJSA-N 0 0 438.550 -0.461 20 0 IBADRN CC(C)NC(=O)c1ccccc1NC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319638227 1074200048 /nfs/dbraw/zinc/20/00/48/1074200048.db2.gz VNQRRJUBVQKWEO-ZWKOTPCHSA-N 0 0 438.550 -0.461 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001319642428 1074199936 /nfs/dbraw/zinc/19/99/36/1074199936.db2.gz RQTCDKNFYLTHGE-CVEARBPZSA-N 0 0 427.523 -0.905 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001319642431 1074200026 /nfs/dbraw/zinc/20/00/26/1074200026.db2.gz RQTCDKNFYLTHGE-HOTGVXAUSA-N 0 0 427.523 -0.905 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001319642433 1074199978 /nfs/dbraw/zinc/19/99/78/1074199978.db2.gz RQTCDKNFYLTHGE-HZPDHXFCSA-N 0 0 427.523 -0.905 20 0 IBADRN COc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001319642435 1074200073 /nfs/dbraw/zinc/20/00/73/1074200073.db2.gz RQTCDKNFYLTHGE-JKSUJKDBSA-N 0 0 427.523 -0.905 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001319644294 1074200064 /nfs/dbraw/zinc/20/00/64/1074200064.db2.gz TXIDHYDDGBZNFI-BPUTZDHNSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001319644295 1074200429 /nfs/dbraw/zinc/20/04/29/1074200429.db2.gz TXIDHYDDGBZNFI-CWRNSKLLSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001319644296 1074200503 /nfs/dbraw/zinc/20/05/03/1074200503.db2.gz TXIDHYDDGBZNFI-IMJJTQAJSA-N 0 0 427.523 -0.194 20 0 IBADRN COc1ccc(NC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001319644297 1074200481 /nfs/dbraw/zinc/20/04/81/1074200481.db2.gz TXIDHYDDGBZNFI-NUEKZKHPSA-N 0 0 427.523 -0.194 20 0 IBADRN O=C(CN1CCC2(CC1)NC(=O)NC2=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001319646792 1074200419 /nfs/dbraw/zinc/20/04/19/1074200419.db2.gz OHBDISNYVTVMGV-CYBMUJFWSA-N 0 0 440.547 -0.062 20 0 IBADRN O=C(CN1CCC2(CC1)NC(=O)NC2=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001319646793 1074200552 /nfs/dbraw/zinc/20/05/52/1074200552.db2.gz OHBDISNYVTVMGV-ZDUSSCGKSA-N 0 0 440.547 -0.062 20 0 IBADRN NS(=O)(=O)CC1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC001319647846 1074200558 /nfs/dbraw/zinc/20/05/58/1074200558.db2.gz UBBPZLJOHYGYKN-UHFFFAOYSA-N 0 0 444.579 -0.480 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001319651813 1074200538 /nfs/dbraw/zinc/20/05/38/1074200538.db2.gz NHKSMAABSOUKRS-CYBMUJFWSA-N 0 0 449.576 -0.787 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cs1 ZINC001319651814 1074200510 /nfs/dbraw/zinc/20/05/10/1074200510.db2.gz NHKSMAABSOUKRS-ZDUSSCGKSA-N 0 0 449.576 -0.787 20 0 IBADRN CN1C(=O)NC2(CCN(CC(=O)NCc3ccc(C(=O)N4CCOCC4)cc3)CC2)C1=O ZINC001319657543 1074200456 /nfs/dbraw/zinc/20/04/56/1074200456.db2.gz XBGUOBUOKMSRRN-UHFFFAOYSA-N 0 0 443.504 -0.209 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001319662835 1074200434 /nfs/dbraw/zinc/20/04/34/1074200434.db2.gz OZVORVDCZGQTAK-AWEZNQCLSA-N 0 0 447.583 -0.175 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001319662855 1074200441 /nfs/dbraw/zinc/20/04/41/1074200441.db2.gz OZVORVDCZGQTAK-CQSZACIVSA-N 0 0 447.583 -0.175 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccnn1Cc1cccs1 ZINC001319663583 1074200585 /nfs/dbraw/zinc/20/05/85/1074200585.db2.gz WZEJDEQSZHUSGJ-CVEARBPZSA-N 0 0 439.563 -0.293 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccnn1Cc1cccs1 ZINC001319663584 1074200473 /nfs/dbraw/zinc/20/04/73/1074200473.db2.gz WZEJDEQSZHUSGJ-HOTGVXAUSA-N 0 0 439.563 -0.293 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccnn1Cc1cccs1 ZINC001319663586 1074200489 /nfs/dbraw/zinc/20/04/89/1074200489.db2.gz WZEJDEQSZHUSGJ-HZPDHXFCSA-N 0 0 439.563 -0.293 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccnn1Cc1cccs1 ZINC001319663587 1074200572 /nfs/dbraw/zinc/20/05/72/1074200572.db2.gz WZEJDEQSZHUSGJ-JKSUJKDBSA-N 0 0 439.563 -0.293 20 0 IBADRN O=C(c1cccnc1)N1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001319663669 1074200580 /nfs/dbraw/zinc/20/05/80/1074200580.db2.gz YIYWVSZTAICWEK-HNNXBMFYSA-N 0 0 433.490 -0.469 20 0 IBADRN O=C(c1cccnc1)N1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001319663671 1074200543 /nfs/dbraw/zinc/20/05/43/1074200543.db2.gz YIYWVSZTAICWEK-OAHLLOKOSA-N 0 0 433.490 -0.469 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1cccc(N2CCCC2=O)c1 ZINC001319663936 1074200494 /nfs/dbraw/zinc/20/04/94/1074200494.db2.gz ZGMZCGOZGFLALU-MSOLQXFVSA-N 0 0 436.534 -0.473 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1cccc(N2CCCC2=O)c1 ZINC001319663939 1074201035 /nfs/dbraw/zinc/20/10/35/1074201035.db2.gz ZGMZCGOZGFLALU-QZTJIDSGSA-N 0 0 436.534 -0.473 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1cccc(N2CCCC2=O)c1 ZINC001319663940 1074200998 /nfs/dbraw/zinc/20/09/98/1074200998.db2.gz ZGMZCGOZGFLALU-ROUUACIJSA-N 0 0 436.534 -0.473 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1cccc(N2CCCC2=O)c1 ZINC001319663942 1074201030 /nfs/dbraw/zinc/20/10/30/1074201030.db2.gz ZGMZCGOZGFLALU-ZWKOTPCHSA-N 0 0 436.534 -0.473 20 0 IBADRN COc1cc(C(=O)NCC2CCN(S(C)(=O)=O)CC2)cc(OC)c1OCC(N)=O ZINC001319687090 1074200982 /nfs/dbraw/zinc/20/09/82/1074200982.db2.gz XOWKSTITPPACNM-UHFFFAOYSA-N 0 0 429.495 -0.031 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)OCC(=O)N2CCC(C(=O)N3CCCC3)CC2)cn1 ZINC001319687323 1074201025 /nfs/dbraw/zinc/20/10/25/1074201025.db2.gz CFZTZUJKJLJCGN-UHFFFAOYSA-N 0 0 441.510 -0.897 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)OCC(=O)N2CCC(C(N)=O)CC2)C1=O ZINC001319688293 1074200976 /nfs/dbraw/zinc/20/09/76/1074200976.db2.gz RZPGFALIVCGTQK-FQEVSTJZSA-N 0 0 434.424 -0.140 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)OCC(=O)N2CCC(C(N)=O)CC2)C1=O ZINC001319688298 1074200940 /nfs/dbraw/zinc/20/09/40/1074200940.db2.gz RZPGFALIVCGTQK-HXUWFJFHSA-N 0 0 434.424 -0.140 20 0 IBADRN COCCCNC(=O)C1CCN(CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001319688343 1074200926 /nfs/dbraw/zinc/20/09/26/1074200926.db2.gz SSGLIOVWNHSZDG-GOSISDBHSA-N 0 0 444.598 -0.818 20 0 IBADRN COCCCNC(=O)C1CCN(CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001319688344 1074200990 /nfs/dbraw/zinc/20/09/90/1074200990.db2.gz SSGLIOVWNHSZDG-SFHVURJKSA-N 0 0 444.598 -0.818 20 0 IBADRN COc1nc(Cl)c(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C ZINC001319688439 1074200994 /nfs/dbraw/zinc/20/09/94/1074200994.db2.gz IVPAYXKPXONKKW-LLVKDONJSA-N 0 0 426.948 -0.283 20 0 IBADRN COc1nc(Cl)c(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C ZINC001319688440 1074200951 /nfs/dbraw/zinc/20/09/51/1074200951.db2.gz IVPAYXKPXONKKW-NSHDSACASA-N 0 0 426.948 -0.283 20 0 IBADRN COC(=O)CN(C)CCNC(=O)c1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001319688588 1074200966 /nfs/dbraw/zinc/20/09/66/1074200966.db2.gz HQMVLXBBBSYKSL-UHFFFAOYSA-N 0 0 429.495 -0.449 20 0 IBADRN COC(=O)CN(C)CCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001319689413 1074200902 /nfs/dbraw/zinc/20/09/02/1074200902.db2.gz RMAFBPMUDAWYFV-UHFFFAOYSA-N 0 0 442.538 -0.392 20 0 IBADRN CNC(=O)c1cccc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001319689739 1074201021 /nfs/dbraw/zinc/20/10/21/1074201021.db2.gz DWHFFCCJIVJTTM-KBPBESRZSA-N 0 0 439.490 -0.207 20 0 IBADRN CNC(=O)c1cccc(C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001319689742 1074200960 /nfs/dbraw/zinc/20/09/60/1074200960.db2.gz DWHFFCCJIVJTTM-KGLIPLIRSA-N 0 0 439.490 -0.207 20 0 IBADRN CNC(=O)c1cccc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001319689745 1074200913 /nfs/dbraw/zinc/20/09/13/1074200913.db2.gz DWHFFCCJIVJTTM-UONOGXRCSA-N 0 0 439.490 -0.207 20 0 IBADRN CNC(=O)c1cccc(C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001319689748 1074201017 /nfs/dbraw/zinc/20/10/17/1074201017.db2.gz DWHFFCCJIVJTTM-ZIAGYGMSSA-N 0 0 439.490 -0.207 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(Cn3nc(C(F)(F)F)ccc3=O)CC2)o1 ZINC001319691518 1074201006 /nfs/dbraw/zinc/20/10/06/1074201006.db2.gz OPVBDBVGLMACJS-UHFFFAOYSA-N 0 0 435.384 -0.082 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC[C@@]1(O)CCOC1 ZINC001319704372 1074201389 /nfs/dbraw/zinc/20/13/89/1074201389.db2.gz KGHBQICBOMCBLP-BPDSDUPGSA-N 0 0 446.547 -0.352 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC[C@]1(O)CCOC1 ZINC001319704373 1074201365 /nfs/dbraw/zinc/20/13/65/1074201365.db2.gz KGHBQICBOMCBLP-KFPLHUSVSA-N 0 0 446.547 -0.352 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC[C@@]1(O)CCOC1 ZINC001319704374 1074201424 /nfs/dbraw/zinc/20/14/24/1074201424.db2.gz KGHBQICBOMCBLP-QVIQCZGBSA-N 0 0 446.547 -0.352 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC[C@]1(O)CCOC1 ZINC001319704375 1074201341 /nfs/dbraw/zinc/20/13/41/1074201341.db2.gz KGHBQICBOMCBLP-USJSMJIESA-N 0 0 446.547 -0.352 20 0 IBADRN Cc1ccc2nc(C[S@@](=O)CC(=O)NCCCN(C)S(C)(=O)=O)cc(=O)n2c1 ZINC001319708803 1074201310 /nfs/dbraw/zinc/20/13/10/1074201310.db2.gz DNHHDBVJTWOKLN-HHHXNRCGSA-N 0 0 428.536 -0.351 20 0 IBADRN Cc1ccc2nc(C[S@](=O)CC(=O)NCCCN(C)S(C)(=O)=O)cc(=O)n2c1 ZINC001319708805 1074201417 /nfs/dbraw/zinc/20/14/17/1074201417.db2.gz DNHHDBVJTWOKLN-MHZLTWQESA-N 0 0 428.536 -0.351 20 0 IBADRN Cc1ccn2c(=O)cc(C[S@@](=O)CC(=O)NCCCN(C)S(C)(=O)=O)nc2c1 ZINC001319712442 1074201453 /nfs/dbraw/zinc/20/14/53/1074201453.db2.gz UPJYJQVGKJEKGT-HHHXNRCGSA-N 0 0 428.536 -0.351 20 0 IBADRN Cc1ccn2c(=O)cc(C[S@](=O)CC(=O)NCCCN(C)S(C)(=O)=O)nc2c1 ZINC001319712445 1074201350 /nfs/dbraw/zinc/20/13/50/1074201350.db2.gz UPJYJQVGKJEKGT-MHZLTWQESA-N 0 0 428.536 -0.351 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)co1 ZINC001319718568 1074201435 /nfs/dbraw/zinc/20/14/35/1074201435.db2.gz FOQSALDARNLENC-UHFFFAOYSA-N 0 0 435.524 -0.109 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cnn2cc(Br)cnc12 ZINC001319723097 1074201379 /nfs/dbraw/zinc/20/13/79/1074201379.db2.gz FVYGOCOPSVOYFW-JTQLQIEISA-N 0 0 430.284 -0.133 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cnn2cc(Br)cnc12 ZINC001319723098 1074201476 /nfs/dbraw/zinc/20/14/76/1074201476.db2.gz FVYGOCOPSVOYFW-SNVBAGLBSA-N 0 0 430.284 -0.133 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001319726494 1074201315 /nfs/dbraw/zinc/20/13/15/1074201315.db2.gz HHTOJUYCCGEDHA-UHFFFAOYSA-N 0 0 427.479 -0.689 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCCC(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001319728651 1074201358 /nfs/dbraw/zinc/20/13/58/1074201358.db2.gz SOZDYUXNCMFMDC-AWEZNQCLSA-N 0 0 432.520 -0.100 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCCC(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001319728654 1074201400 /nfs/dbraw/zinc/20/14/00/1074201400.db2.gz SOZDYUXNCMFMDC-CQSZACIVSA-N 0 0 432.520 -0.100 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)CC1 ZINC001319732390 1074201463 /nfs/dbraw/zinc/20/14/63/1074201463.db2.gz JCTKCRCMUJUODK-UHFFFAOYSA-N 0 0 429.587 -0.004 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(Cn2nc(C[C@H]3CCS(=O)(=O)C3)n(C)c2=S)C1 ZINC001319742547 1074201412 /nfs/dbraw/zinc/20/14/12/1074201412.db2.gz WIRCOFWUUGUUKE-CHWSQXEVSA-N 0 0 437.613 -0.101 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(Cn2nc(C[C@H]3CCS(=O)(=O)C3)n(C)c2=S)C1 ZINC001319742641 1074201384 /nfs/dbraw/zinc/20/13/84/1074201384.db2.gz WIRCOFWUUGUUKE-OLZOCXBDSA-N 0 0 437.613 -0.101 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(Cn2nc(C[C@@H]3CCS(=O)(=O)C3)n(C)c2=S)C1 ZINC001319742642 1074201326 /nfs/dbraw/zinc/20/13/26/1074201326.db2.gz WIRCOFWUUGUUKE-QWHCGFSZSA-N 0 0 437.613 -0.101 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(Cn2nc(C[C@@H]3CCS(=O)(=O)C3)n(C)c2=S)C1 ZINC001319742643 1074201370 /nfs/dbraw/zinc/20/13/70/1074201370.db2.gz WIRCOFWUUGUUKE-STQMWFEESA-N 0 0 437.613 -0.101 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCc1cnn(-c2ccccc2)c1 ZINC001319744627 1074201333 /nfs/dbraw/zinc/20/13/33/1074201333.db2.gz BBARTZCEXJWRJP-MOPGFXCFSA-N 0 0 433.534 -0.736 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCc1cnn(-c2ccccc2)c1 ZINC001319744629 1074201899 /nfs/dbraw/zinc/20/18/99/1074201899.db2.gz BBARTZCEXJWRJP-OALUTQOASA-N 0 0 433.534 -0.736 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCc1cnn(-c2ccccc2)c1 ZINC001319744632 1074201906 /nfs/dbraw/zinc/20/19/06/1074201906.db2.gz BBARTZCEXJWRJP-RBUKOAKNSA-N 0 0 433.534 -0.736 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCc1cnn(-c2ccccc2)c1 ZINC001319744634 1074201816 /nfs/dbraw/zinc/20/18/16/1074201816.db2.gz BBARTZCEXJWRJP-RTBURBONSA-N 0 0 433.534 -0.736 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccccc1C(=O)NC1CC1 ZINC001319745761 1074201786 /nfs/dbraw/zinc/20/17/86/1074201786.db2.gz KHDQYPPTKCZFRB-MSOLQXFVSA-N 0 0 436.534 -0.707 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccccc1C(=O)NC1CC1 ZINC001319745764 1074201779 /nfs/dbraw/zinc/20/17/79/1074201779.db2.gz KHDQYPPTKCZFRB-QZTJIDSGSA-N 0 0 436.534 -0.707 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccccc1C(=O)NC1CC1 ZINC001319745766 1074201889 /nfs/dbraw/zinc/20/18/89/1074201889.db2.gz KHDQYPPTKCZFRB-ROUUACIJSA-N 0 0 436.534 -0.707 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccccc1C(=O)NC1CC1 ZINC001319745767 1074201762 /nfs/dbraw/zinc/20/17/62/1074201762.db2.gz KHDQYPPTKCZFRB-ZWKOTPCHSA-N 0 0 436.534 -0.707 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319745831 1074201870 /nfs/dbraw/zinc/20/18/70/1074201870.db2.gz QACAEKBHDKKHQW-MSOLQXFVSA-N 0 0 438.550 -0.921 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319745834 1074201911 /nfs/dbraw/zinc/20/19/11/1074201911.db2.gz QACAEKBHDKKHQW-QZTJIDSGSA-N 0 0 438.550 -0.921 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319745838 1074201772 /nfs/dbraw/zinc/20/17/72/1074201772.db2.gz QACAEKBHDKKHQW-ROUUACIJSA-N 0 0 438.550 -0.921 20 0 IBADRN CCc1ccccc1NC(=O)CNC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319745839 1074201853 /nfs/dbraw/zinc/20/18/53/1074201853.db2.gz QACAEKBHDKKHQW-ZWKOTPCHSA-N 0 0 438.550 -0.921 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3ccc(S(=O)(=O)N(C)C)cn3)CC2)cn1C ZINC001319756424 1074201747 /nfs/dbraw/zinc/20/17/47/1074201747.db2.gz XAFKMDDJNKNEAB-UHFFFAOYSA-N 0 0 428.540 -0.115 20 0 IBADRN COC[C@H](NC(=O)CSCC(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O)C(N)=O ZINC001319759890 1074201895 /nfs/dbraw/zinc/20/18/95/1074201895.db2.gz HEEDKTBHHAVHHP-AWEZNQCLSA-N 0 0 435.506 -0.227 20 0 IBADRN COC[C@@H](NC(=O)CSCC(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O)C(N)=O ZINC001319759895 1074201880 /nfs/dbraw/zinc/20/18/80/1074201880.db2.gz HEEDKTBHHAVHHP-CQSZACIVSA-N 0 0 435.506 -0.227 20 0 IBADRN COc1ccc(NC(=O)CN2CCC3(CC2)NC(=O)NC3=O)cc1S(=O)(=O)N(C)C ZINC001319760344 1074201860 /nfs/dbraw/zinc/20/18/60/1074201860.db2.gz MRLYBPZSDAKBIY-UHFFFAOYSA-N 0 0 439.494 -0.442 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(N3CCC[C@@H](C(N)=O)C3)cc2)CC1 ZINC001319763002 1074201804 /nfs/dbraw/zinc/20/18/04/1074201804.db2.gz QPUPKHDLWIOQIL-GOSISDBHSA-N 0 0 431.537 -0.091 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2ccc(N3CCC[C@H](C(N)=O)C3)cc2)CC1 ZINC001319763003 1074201873 /nfs/dbraw/zinc/20/18/73/1074201873.db2.gz QPUPKHDLWIOQIL-SFHVURJKSA-N 0 0 431.537 -0.091 20 0 IBADRN O=C(CN1C(=O)CNC1=O)Nc1ccc(CC(=O)N2CCN(c3ncccn3)CC2)cc1 ZINC001319764388 1074201797 /nfs/dbraw/zinc/20/17/97/1074201797.db2.gz CIVBKJIMLVGXCW-UHFFFAOYSA-N 0 0 437.460 -0.142 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319770162 1074201825 /nfs/dbraw/zinc/20/18/25/1074201825.db2.gz VVXPQZMXBZBMCI-AWEZNQCLSA-N 0 0 445.563 -0.555 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319770164 1074202316 /nfs/dbraw/zinc/20/23/16/1074202316.db2.gz VVXPQZMXBZBMCI-CQSZACIVSA-N 0 0 445.563 -0.555 20 0 IBADRN O=S(=O)(c1c[nH]c2ncccc12)N1CCN(CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001319772344 1074202404 /nfs/dbraw/zinc/20/24/04/1074202404.db2.gz VUOUSJSZPFLTJX-UHFFFAOYSA-N 0 0 428.536 -0.191 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3CCN(S(C)(=O)=O)CC3)CC2)cn1C ZINC001319776718 1074202208 /nfs/dbraw/zinc/20/22/08/1074202208.db2.gz KANKELNCCSXPOH-UHFFFAOYSA-N 0 0 433.556 -0.767 20 0 IBADRN COCCCN(C(=O)NCCC(=O)N1CCN(C(C)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001319788380 1074202398 /nfs/dbraw/zinc/20/23/98/1074202398.db2.gz ZGMQKDBGWORACX-INIZCTEOSA-N 0 0 432.543 -0.698 20 0 IBADRN COCCCN(C(=O)NCCC(=O)N1CCN(C(C)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001319788382 1074202231 /nfs/dbraw/zinc/20/22/31/1074202231.db2.gz ZGMQKDBGWORACX-MRXNPFEDSA-N 0 0 432.543 -0.698 20 0 IBADRN COCCCN(C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001319794690 1074202325 /nfs/dbraw/zinc/20/23/25/1074202325.db2.gz ATKWBXVGGFPFNI-MSOLQXFVSA-N 0 0 432.587 -0.372 20 0 IBADRN COCCCN(C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001319794695 1074202263 /nfs/dbraw/zinc/20/22/63/1074202263.db2.gz ATKWBXVGGFPFNI-QZTJIDSGSA-N 0 0 432.587 -0.372 20 0 IBADRN COCCCN(C(=O)N[C@H]1CCN(CCN2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001319794697 1074202342 /nfs/dbraw/zinc/20/23/42/1074202342.db2.gz ATKWBXVGGFPFNI-ROUUACIJSA-N 0 0 432.587 -0.372 20 0 IBADRN COCCCN(C(=O)N[C@H]1CCN(CCN2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001319794699 1074202379 /nfs/dbraw/zinc/20/23/79/1074202379.db2.gz ATKWBXVGGFPFNI-ZWKOTPCHSA-N 0 0 432.587 -0.372 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001319795417 1074202241 /nfs/dbraw/zinc/20/22/41/1074202241.db2.gz CYMSQFYYVPZDON-CHWSQXEVSA-N 0 0 425.525 -0.453 20 0 IBADRN CCN(C(=O)COC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001319795418 1074202351 /nfs/dbraw/zinc/20/23/51/1074202351.db2.gz CYMSQFYYVPZDON-OLZOCXBDSA-N 0 0 425.525 -0.453 20 0 IBADRN CCN(C(=O)COC(=O)[C@H](C)S(=O)(=O)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001319795419 1074202222 /nfs/dbraw/zinc/20/22/22/1074202222.db2.gz CYMSQFYYVPZDON-QWHCGFSZSA-N 0 0 425.525 -0.453 20 0 IBADRN CCN(C(=O)COC(=O)[C@H](C)S(=O)(=O)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001319795420 1074202336 /nfs/dbraw/zinc/20/23/36/1074202336.db2.gz CYMSQFYYVPZDON-STQMWFEESA-N 0 0 425.525 -0.453 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001319795792 1074202192 /nfs/dbraw/zinc/20/21/92/1074202192.db2.gz GCJCOWMJUGTFIM-UHFFFAOYSA-N 0 0 432.481 -0.828 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](C)S(=O)(=O)C1CCOCC1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319796380 1074202411 /nfs/dbraw/zinc/20/24/11/1074202411.db2.gz POUSVMUTNGKHHA-GUTXKFCHSA-N 0 0 439.552 -0.160 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319796381 1074202290 /nfs/dbraw/zinc/20/22/90/1074202290.db2.gz POUSVMUTNGKHHA-IPYPFGDCSA-N 0 0 439.552 -0.160 20 0 IBADRN CC(C)[C@H](OC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001319796382 1074202394 /nfs/dbraw/zinc/20/23/94/1074202394.db2.gz POUSVMUTNGKHHA-NFAWXSAZSA-N 0 0 439.552 -0.160 20 0 IBADRN CC(C)[C@H](OC(=O)[C@H](C)S(=O)(=O)C1CCOCC1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001319796383 1074202711 /nfs/dbraw/zinc/20/27/11/1074202711.db2.gz POUSVMUTNGKHHA-YDHLFZDLSA-N 0 0 439.552 -0.160 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2COc3ccc(F)cc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319807639 1074202721 /nfs/dbraw/zinc/20/27/21/1074202721.db2.gz LYWIPOYWFAPTAK-DOTOQJQBSA-N 0 0 439.509 -0.176 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2COc3ccc(F)cc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001319807642 1074202818 /nfs/dbraw/zinc/20/28/18/1074202818.db2.gz LYWIPOYWFAPTAK-NVXWUHKLSA-N 0 0 439.509 -0.176 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2COc3ccc(F)cc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319807645 1074202673 /nfs/dbraw/zinc/20/26/73/1074202673.db2.gz LYWIPOYWFAPTAK-RDJZCZTQSA-N 0 0 439.509 -0.176 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2COc3ccc(F)cc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001319807647 1074202714 /nfs/dbraw/zinc/20/27/14/1074202714.db2.gz LYWIPOYWFAPTAK-WBVHZDCISA-N 0 0 439.509 -0.176 20 0 IBADRN COc1ccc(CN2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1OCC(N)=O ZINC001319811397 1074202797 /nfs/dbraw/zinc/20/27/97/1074202797.db2.gz XNEJPMDUCSUYJU-UHFFFAOYSA-N 0 0 441.554 -0.831 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)cc2C1=O ZINC001319822165 1074202828 /nfs/dbraw/zinc/20/28/28/1074202828.db2.gz TXUGHLISVWXLEO-APPDUMDISA-N 0 0 428.488 -0.073 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)cc2C1=O ZINC001319822166 1074202790 /nfs/dbraw/zinc/20/27/90/1074202790.db2.gz TXUGHLISVWXLEO-DIFFPNOSSA-N 0 0 428.488 -0.073 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)cc2C1=O ZINC001319822167 1074202683 /nfs/dbraw/zinc/20/26/83/1074202683.db2.gz TXUGHLISVWXLEO-GTNSWQLSSA-N 0 0 428.488 -0.073 20 0 IBADRN CN1C(=O)c2ccc(S(=O)(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)cc2C1=O ZINC001319822169 1074202786 /nfs/dbraw/zinc/20/27/86/1074202786.db2.gz TXUGHLISVWXLEO-PIGZYNQJSA-N 0 0 428.488 -0.073 20 0 IBADRN Cc1c(C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001319825515 1074202739 /nfs/dbraw/zinc/20/27/39/1074202739.db2.gz LYHHELSCAZSFKC-BLVKFPJESA-N 0 0 436.490 -0.593 20 0 IBADRN Cc1c(C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001319825516 1074202746 /nfs/dbraw/zinc/20/27/46/1074202746.db2.gz LYHHELSCAZSFKC-BUXKBTBVSA-N 0 0 436.490 -0.593 20 0 IBADRN Cc1c(C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001319825517 1074202780 /nfs/dbraw/zinc/20/27/80/1074202780.db2.gz LYHHELSCAZSFKC-CWTRNNRKSA-N 0 0 436.490 -0.593 20 0 IBADRN Cc1c(C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001319825519 1074202694 /nfs/dbraw/zinc/20/26/94/1074202694.db2.gz LYHHELSCAZSFKC-HXPMCKFVSA-N 0 0 436.490 -0.593 20 0 IBADRN O=C(NC[C@H](NC(=O)[C@H]1CCS(=O)(=O)C1)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001319825578 1074202704 /nfs/dbraw/zinc/20/27/04/1074202704.db2.gz LAEHZRVIUCQXHP-JYJNAYRXSA-N 0 0 428.532 -0.171 20 0 IBADRN O=C(NC[C@H](NC(=O)[C@@H]1CCS(=O)(=O)C1)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC001319825580 1074202774 /nfs/dbraw/zinc/20/27/74/1074202774.db2.gz LAEHZRVIUCQXHP-OAGGEKHMSA-N 0 0 428.532 -0.171 20 0 IBADRN O=C(N[C@@H](CNC(=O)[C@@H]1CCS(=O)(=O)C1)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001319825582 1074203199 /nfs/dbraw/zinc/20/31/99/1074203199.db2.gz LAEHZRVIUCQXHP-PMPSAXMXSA-N 0 0 428.532 -0.171 20 0 IBADRN O=C(NC[C@H](NC(=O)[C@@H]1CCS(=O)(=O)C1)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001319825584 1074203222 /nfs/dbraw/zinc/20/32/22/1074203222.db2.gz LAEHZRVIUCQXHP-XHSDSOJGSA-N 0 0 428.532 -0.171 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319827456 1074203233 /nfs/dbraw/zinc/20/32/33/1074203233.db2.gz SGWLKLDCLRFWMZ-DZGCQCFKSA-N 0 0 425.529 -0.669 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319827461 1074203339 /nfs/dbraw/zinc/20/33/39/1074203339.db2.gz SGWLKLDCLRFWMZ-HIFRSBDPSA-N 0 0 425.529 -0.669 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319827465 1074203371 /nfs/dbraw/zinc/20/33/71/1074203371.db2.gz SGWLKLDCLRFWMZ-UKRRQHHQSA-N 0 0 425.529 -0.669 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319827468 1074203260 /nfs/dbraw/zinc/20/32/60/1074203260.db2.gz SGWLKLDCLRFWMZ-ZFWWWQNUSA-N 0 0 425.529 -0.669 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319830429 1074203347 /nfs/dbraw/zinc/20/33/47/1074203347.db2.gz AIEOBPPAPFSCQH-ALKREAHSSA-N 0 0 428.555 -0.283 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319830433 1074203332 /nfs/dbraw/zinc/20/33/32/1074203332.db2.gz AIEOBPPAPFSCQH-IDHHARJASA-N 0 0 428.555 -0.283 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319830436 1074203206 /nfs/dbraw/zinc/20/32/06/1074203206.db2.gz AIEOBPPAPFSCQH-OGWOLHLISA-N 0 0 428.555 -0.283 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319830440 1074203228 /nfs/dbraw/zinc/20/32/28/1074203228.db2.gz AIEOBPPAPFSCQH-SIXWZSSISA-N 0 0 428.555 -0.283 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)OCCOC(=O)C2CCN(S(C)(=O)=O)CC2)CC1 ZINC001319830692 1074203212 /nfs/dbraw/zinc/20/32/12/1074203212.db2.gz PMMAQYUKRWVXHR-UHFFFAOYSA-N 0 0 440.540 -0.584 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319836123 1074203325 /nfs/dbraw/zinc/20/33/25/1074203325.db2.gz KPMSCSXMXUDVLG-BXWFABGCSA-N 0 0 430.571 -0.035 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319836357 1074203250 /nfs/dbraw/zinc/20/32/50/1074203250.db2.gz KPMSCSXMXUDVLG-FCEWJHQRSA-N 0 0 430.571 -0.035 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319836358 1074203319 /nfs/dbraw/zinc/20/33/19/1074203319.db2.gz KPMSCSXMXUDVLG-FRQCXROJSA-N 0 0 430.571 -0.035 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001319836359 1074203302 /nfs/dbraw/zinc/20/33/02/1074203302.db2.gz KPMSCSXMXUDVLG-TXPKVOOTSA-N 0 0 430.571 -0.035 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001319841286 1074203308 /nfs/dbraw/zinc/20/33/08/1074203308.db2.gz AJQJZCVZMKJIFU-UHFFFAOYSA-N 0 0 442.490 -0.144 20 0 IBADRN C[C@H]1CN(Cn2nc(C[C@H]3CCS(=O)(=O)C3)n(C)c2=S)CCN1S(C)(=O)=O ZINC001319844108 1074203889 /nfs/dbraw/zinc/20/38/89/1074203889.db2.gz IYFHGTRFJPQVMT-QWHCGFSZSA-N 0 0 437.613 -0.149 20 0 IBADRN C[C@H]1CN(Cn2nc(C[C@@H]3CCS(=O)(=O)C3)n(C)c2=S)CCN1S(C)(=O)=O ZINC001319844109 1074203799 /nfs/dbraw/zinc/20/37/99/1074203799.db2.gz IYFHGTRFJPQVMT-STQMWFEESA-N 0 0 437.613 -0.149 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001319851859 1074203945 /nfs/dbraw/zinc/20/39/45/1074203945.db2.gz PPMGTZZVTVWTMP-ZDUSSCGKSA-N 0 0 427.527 -0.248 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001319855275 1074203966 /nfs/dbraw/zinc/20/39/66/1074203966.db2.gz ANTVGUHESSFZAV-KBPBESRZSA-N 0 0 425.511 -0.255 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001319855276 1074203785 /nfs/dbraw/zinc/20/37/85/1074203785.db2.gz ANTVGUHESSFZAV-KGLIPLIRSA-N 0 0 425.511 -0.255 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001319855277 1074203960 /nfs/dbraw/zinc/20/39/60/1074203960.db2.gz ANTVGUHESSFZAV-UONOGXRCSA-N 0 0 425.511 -0.255 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001319855278 1074203915 /nfs/dbraw/zinc/20/39/15/1074203915.db2.gz ANTVGUHESSFZAV-ZIAGYGMSSA-N 0 0 425.511 -0.255 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)[C@@H](C)S(=O)(=O)C3CCOCC3)CC2)nn1 ZINC001319858008 1074203934 /nfs/dbraw/zinc/20/39/34/1074203934.db2.gz HMSFNCUBTXNIIG-CYBMUJFWSA-N 0 0 426.495 -0.106 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)[C@H](C)S(=O)(=O)C3CCOCC3)CC2)nn1 ZINC001319858009 1074203901 /nfs/dbraw/zinc/20/39/01/1074203901.db2.gz HMSFNCUBTXNIIG-ZDUSSCGKSA-N 0 0 426.495 -0.106 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CSc2nnc(CO)n2C)CC1 ZINC001319859724 1074203745 /nfs/dbraw/zinc/20/37/45/1074203745.db2.gz DFNHKZQLDPZMMN-UHFFFAOYSA-N 0 0 430.512 -0.463 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N(c1ccc(F)cc1)[C@H]1C=CS(=O)(=O)C1 ZINC001319860736 1074203972 /nfs/dbraw/zinc/20/39/72/1074203972.db2.gz SEBLNYBMJZHPIH-HNNXBMFYSA-N 0 0 441.437 -0.735 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)OCC(=O)N(c1ccc(F)cc1)[C@@H]1C=CS(=O)(=O)C1 ZINC001319860737 1074203763 /nfs/dbraw/zinc/20/37/63/1074203763.db2.gz SEBLNYBMJZHPIH-OAHLLOKOSA-N 0 0 441.437 -0.735 20 0 IBADRN C[C@H](O)c1cn(CC(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)nn1 ZINC001319863599 1074204342 /nfs/dbraw/zinc/20/43/42/1074204342.db2.gz LZRHWPYDFLLAIM-AWEZNQCLSA-N 0 0 431.449 -0.337 20 0 IBADRN C[C@@H](O)c1cn(CC(=O)OCC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)nn1 ZINC001319863938 1074204309 /nfs/dbraw/zinc/20/43/09/1074204309.db2.gz LZRHWPYDFLLAIM-CQSZACIVSA-N 0 0 431.449 -0.337 20 0 IBADRN C[C@](O)(CN1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001319873148 1074204295 /nfs/dbraw/zinc/20/42/95/1074204295.db2.gz HKPISECXGNEHRQ-FQEVSTJZSA-N 0 0 425.551 -0.226 20 0 IBADRN C[C@@](O)(CN1CCN(CC(=O)N2CCOCC2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001319873151 1074204279 /nfs/dbraw/zinc/20/42/79/1074204279.db2.gz HKPISECXGNEHRQ-HXUWFJFHSA-N 0 0 425.551 -0.226 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)NCCS(=O)(=O)N1CCSCC1 ZINC001319873239 1074204255 /nfs/dbraw/zinc/20/42/55/1074204255.db2.gz IUJNGCPVYLHKHN-UHFFFAOYSA-N 0 0 436.577 -0.510 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319879644 1074204333 /nfs/dbraw/zinc/20/43/33/1074204333.db2.gz OWNFGZGATWDCMP-BZUAXINKSA-N 0 0 430.523 -0.781 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319879645 1074204288 /nfs/dbraw/zinc/20/42/88/1074204288.db2.gz OWNFGZGATWDCMP-OAGGEKHMSA-N 0 0 430.523 -0.781 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319879646 1074204337 /nfs/dbraw/zinc/20/43/37/1074204337.db2.gz OWNFGZGATWDCMP-OWCLPIDISA-N 0 0 430.523 -0.781 20 0 IBADRN COc1cccc(OC)c1OC[C@H](O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319879648 1074204274 /nfs/dbraw/zinc/20/42/74/1074204274.db2.gz OWNFGZGATWDCMP-PMPSAXMXSA-N 0 0 430.523 -0.781 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319879693 1074204285 /nfs/dbraw/zinc/20/42/85/1074204285.db2.gz QJAQONFUGLASOF-MOPGFXCFSA-N 0 0 425.551 -0.385 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001319879694 1074204327 /nfs/dbraw/zinc/20/43/27/1074204327.db2.gz QJAQONFUGLASOF-OALUTQOASA-N 0 0 425.551 -0.385 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319879695 1074204298 /nfs/dbraw/zinc/20/42/98/1074204298.db2.gz QJAQONFUGLASOF-RBUKOAKNSA-N 0 0 425.551 -0.385 20 0 IBADRN CCOCc1ccccc1CNC(=O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001319879696 1074204347 /nfs/dbraw/zinc/20/43/47/1074204347.db2.gz QJAQONFUGLASOF-RTBURBONSA-N 0 0 425.551 -0.385 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC1)CCC2 ZINC001319880666 1074204324 /nfs/dbraw/zinc/20/43/24/1074204324.db2.gz WANITTBQROYIEM-CHWSQXEVSA-N 0 0 442.563 -0.950 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC1)CCC2 ZINC001319880674 1074204331 /nfs/dbraw/zinc/20/43/31/1074204331.db2.gz WANITTBQROYIEM-OLZOCXBDSA-N 0 0 442.563 -0.950 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC1)CCC2 ZINC001319880961 1074204318 /nfs/dbraw/zinc/20/43/18/1074204318.db2.gz WANITTBQROYIEM-QWHCGFSZSA-N 0 0 442.563 -0.950 20 0 IBADRN NC(=O)c1c2c(sc1NC(=O)CN1CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC1)CCC2 ZINC001319880968 1074204677 /nfs/dbraw/zinc/20/46/77/1074204677.db2.gz WANITTBQROYIEM-STQMWFEESA-N 0 0 442.563 -0.950 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CC[C@H](OCCN3CCOCC3)C2)cc1 ZINC001319888745 1074204813 /nfs/dbraw/zinc/20/48/13/1074204813.db2.gz ONKXYXGVFQRLAF-INIZCTEOSA-N 0 0 425.507 -0.491 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)cc1 ZINC001319888748 1074204709 /nfs/dbraw/zinc/20/47/09/1074204709.db2.gz ONKXYXGVFQRLAF-MRXNPFEDSA-N 0 0 425.507 -0.491 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N[C@H]2CCCN(CC(N)=O)C2)C1=O ZINC001319890883 1074204741 /nfs/dbraw/zinc/20/47/41/1074204741.db2.gz WFVYMQXHVKMCDM-LHSJRXKWSA-N 0 0 431.493 -0.163 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N[C@H]2CCCN(CC(N)=O)C2)C1=O ZINC001319890884 1074204686 /nfs/dbraw/zinc/20/46/86/1074204686.db2.gz WFVYMQXHVKMCDM-QKKBWIMNSA-N 0 0 431.493 -0.163 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N[C@@H]2CCCN(CC(N)=O)C2)C1=O ZINC001319890885 1074204878 /nfs/dbraw/zinc/20/48/78/1074204878.db2.gz WFVYMQXHVKMCDM-SPLOXXLWSA-N 0 0 431.493 -0.163 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N[C@@H]2CCCN(CC(N)=O)C2)C1=O ZINC001319890886 1074204794 /nfs/dbraw/zinc/20/47/94/1074204794.db2.gz WFVYMQXHVKMCDM-SZNDQCEHSA-N 0 0 431.493 -0.163 20 0 IBADRN NC(=O)COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319891761 1074204777 /nfs/dbraw/zinc/20/47/77/1074204777.db2.gz WJMJJKKAJQGPBV-UHFFFAOYSA-N 0 0 446.913 -0.037 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001319893547 1074204731 /nfs/dbraw/zinc/20/47/31/1074204731.db2.gz XDELIPYBEAPPFK-INIZCTEOSA-N 0 0 443.591 -0.033 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319893549 1074204787 /nfs/dbraw/zinc/20/47/87/1074204787.db2.gz XDELIPYBEAPPFK-MRXNPFEDSA-N 0 0 443.591 -0.033 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001319904517 1074204830 /nfs/dbraw/zinc/20/48/30/1074204830.db2.gz ITSOPWSWBSDCNK-AWEZNQCLSA-N 0 0 432.550 -0.014 20 0 IBADRN C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001319904520 1074204864 /nfs/dbraw/zinc/20/48/64/1074204864.db2.gz ITSOPWSWBSDCNK-CQSZACIVSA-N 0 0 432.550 -0.014 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001319910636 1074204641 /nfs/dbraw/zinc/20/46/41/1074204641.db2.gz DWJKJZBLLOECDV-UHFFFAOYSA-N 0 0 431.536 -0.322 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC001319914948 1074204626 /nfs/dbraw/zinc/20/46/26/1074204626.db2.gz BSANJVJLYOMZNP-GFCCVEGCSA-N 0 0 429.499 -0.995 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)CC1 ZINC001319914950 1074204855 /nfs/dbraw/zinc/20/48/55/1074204855.db2.gz BSANJVJLYOMZNP-LBPRGKRZSA-N 0 0 429.499 -0.995 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC001319915203 1074204759 /nfs/dbraw/zinc/20/47/59/1074204759.db2.gz FWVKBKQWFPFBPM-UHFFFAOYSA-N 0 0 425.530 -0.360 20 0 IBADRN Cc1nn(CCC(=O)N(C)[C@@H]2CCNC2=O)c(C)c1CCC(=O)N(C)[C@H]1CCNC1=O ZINC001319918266 1074204656 /nfs/dbraw/zinc/20/46/56/1074204656.db2.gz ODWPHZMEJAMWAJ-DLBZAZTESA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N(C)[C@@H]2CCNC2=O)c(C)c1CCC(=O)N(C)[C@@H]1CCNC1=O ZINC001319918267 1074204669 /nfs/dbraw/zinc/20/46/69/1074204669.db2.gz ODWPHZMEJAMWAJ-IAGOWNOFSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N(C)[C@H]2CCNC2=O)c(C)c1CCC(=O)N(C)[C@H]1CCNC1=O ZINC001319918268 1074204906 /nfs/dbraw/zinc/20/49/06/1074204906.db2.gz ODWPHZMEJAMWAJ-IRXDYDNUSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N(C)[C@H]2CCNC2=O)c(C)c1CCC(=O)N(C)[C@@H]1CCNC1=O ZINC001319918269 1074204848 /nfs/dbraw/zinc/20/48/48/1074204848.db2.gz ODWPHZMEJAMWAJ-SJORKVTESA-N 0 0 432.525 -0.484 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001319920874 1074205228 /nfs/dbraw/zinc/20/52/28/1074205228.db2.gz WLAYGMDFAZKOTF-UHFFFAOYSA-N 0 0 449.327 -0.567 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCc3ccc([C@]4(C)NC(=O)NC4=O)cc3)CC2)C1=O ZINC001319925707 1074205329 /nfs/dbraw/zinc/20/53/29/1074205329.db2.gz ODENVUMOYXDLJV-IBGZPJMESA-N 0 0 428.449 -0.033 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCc3ccc([C@@]4(C)NC(=O)NC4=O)cc3)CC2)C1=O ZINC001319925708 1074205244 /nfs/dbraw/zinc/20/52/44/1074205244.db2.gz ODENVUMOYXDLJV-LJQANCHMSA-N 0 0 428.449 -0.033 20 0 IBADRN CC(C)(O)CN1CCN(CCS(=O)(=O)CCN2CCN(CC(C)(C)O)CC2)CC1 ZINC001319927053 1074205214 /nfs/dbraw/zinc/20/52/14/1074205214.db2.gz GFWZEJBLVGDZRE-UHFFFAOYSA-N 0 0 434.647 -0.822 20 0 IBADRN Cn1ccnc1[C@@H](O)C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC001319937449 1074205274 /nfs/dbraw/zinc/20/52/74/1074205274.db2.gz DFVHFAKWISYXNM-INIZCTEOSA-N 0 0 429.481 -0.471 20 0 IBADRN Cn1ccnc1[C@H](O)C1CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC001319937459 1074205314 /nfs/dbraw/zinc/20/53/14/1074205314.db2.gz DFVHFAKWISYXNM-MRXNPFEDSA-N 0 0 429.481 -0.471 20 0 IBADRN O=c1c(Cl)c(Cl)cnn1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001319939051 1074205307 /nfs/dbraw/zinc/20/53/07/1074205307.db2.gz DJCUNQYCAWKMDX-JTQLQIEISA-N 0 0 445.350 -0.358 20 0 IBADRN O=c1c(Cl)c(Cl)cnn1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001319939071 1074205258 /nfs/dbraw/zinc/20/52/58/1074205258.db2.gz DJCUNQYCAWKMDX-SNVBAGLBSA-N 0 0 445.350 -0.358 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCNS(C)(=O)=O)CC2)cc1C ZINC001319940186 1074205288 /nfs/dbraw/zinc/20/52/88/1074205288.db2.gz UPKKMRBTGVFSTK-UHFFFAOYSA-N 0 0 446.595 -0.383 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@H](C(=O)OC)C1 ZINC001319940477 1074205324 /nfs/dbraw/zinc/20/53/24/1074205324.db2.gz YCOZELMIEFXMGV-BZPMIXESSA-N 0 0 443.478 -0.895 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@H](C(=O)OC)C1 ZINC001319940483 1074205256 /nfs/dbraw/zinc/20/52/56/1074205256.db2.gz YCOZELMIEFXMGV-DYEKYZERSA-N 0 0 443.478 -0.895 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@@H](C(=O)OC)C1 ZINC001319940485 1074205296 /nfs/dbraw/zinc/20/52/96/1074205296.db2.gz YCOZELMIEFXMGV-MBNYWOFBSA-N 0 0 443.478 -0.895 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)[C@@H](C(=O)OC)C1 ZINC001319940488 1074205252 /nfs/dbraw/zinc/20/52/52/1074205252.db2.gz YCOZELMIEFXMGV-YRGRVCCFSA-N 0 0 443.478 -0.895 20 0 IBADRN O=C(CS(=O)(=O)c1nncn1C1CC1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001319941305 1074205301 /nfs/dbraw/zinc/20/53/01/1074205301.db2.gz XXDJCRJFWYCXAP-KBPBESRZSA-N 0 0 432.524 -0.419 20 0 IBADRN O=C(CS(=O)(=O)c1nncn1C1CC1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001319941306 1074205281 /nfs/dbraw/zinc/20/52/81/1074205281.db2.gz XXDJCRJFWYCXAP-KGLIPLIRSA-N 0 0 432.524 -0.419 20 0 IBADRN O=C(CS(=O)(=O)c1nncn1C1CC1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001319941307 1074205318 /nfs/dbraw/zinc/20/53/18/1074205318.db2.gz XXDJCRJFWYCXAP-UONOGXRCSA-N 0 0 432.524 -0.419 20 0 IBADRN O=C(CS(=O)(=O)c1nncn1C1CC1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001319941308 1074205248 /nfs/dbraw/zinc/20/52/48/1074205248.db2.gz XXDJCRJFWYCXAP-ZIAGYGMSSA-N 0 0 432.524 -0.419 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@H]1CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001319947056 1074205221 /nfs/dbraw/zinc/20/52/21/1074205221.db2.gz QGYHFOJQKMYVKY-HNNXBMFYSA-N 0 0 441.558 -0.166 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@@H]1CCCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001319947059 1074205797 /nfs/dbraw/zinc/20/57/97/1074205797.db2.gz QGYHFOJQKMYVKY-OAHLLOKOSA-N 0 0 441.558 -0.166 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)no2)cn1 ZINC001319947528 1074205629 /nfs/dbraw/zinc/20/56/29/1074205629.db2.gz UYFSIFSKPGQVIU-GXTWGEPZSA-N 0 0 439.498 -0.166 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)no2)cn1 ZINC001319947529 1074205787 /nfs/dbraw/zinc/20/57/87/1074205787.db2.gz UYFSIFSKPGQVIU-JSGCOSHPSA-N 0 0 439.498 -0.166 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)no2)cn1 ZINC001319947530 1074205717 /nfs/dbraw/zinc/20/57/17/1074205717.db2.gz UYFSIFSKPGQVIU-OCCSQVGLSA-N 0 0 439.498 -0.166 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)no2)cn1 ZINC001319947531 1074205611 /nfs/dbraw/zinc/20/56/11/1074205611.db2.gz UYFSIFSKPGQVIU-TZMCWYRMSA-N 0 0 439.498 -0.166 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CC[C@H]4NC(=O)NC4=O)CC3)C2=O)n(C)n1 ZINC001319952286 1074205755 /nfs/dbraw/zinc/20/57/55/1074205755.db2.gz LJJDKSYCCYXGEY-CABCVRRESA-N 0 0 431.497 -0.644 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)CC[C@@H]4NC(=O)NC4=O)CC3)C2=O)n(C)n1 ZINC001319952287 1074205727 /nfs/dbraw/zinc/20/57/27/1074205727.db2.gz LJJDKSYCCYXGEY-GJZGRUSLSA-N 0 0 431.497 -0.644 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CC[C@H]4NC(=O)NC4=O)CC3)C2=O)n(C)n1 ZINC001319952288 1074205713 /nfs/dbraw/zinc/20/57/13/1074205713.db2.gz LJJDKSYCCYXGEY-HUUCEWRRSA-N 0 0 431.497 -0.644 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)CC[C@@H]4NC(=O)NC4=O)CC3)C2=O)n(C)n1 ZINC001319952289 1074205697 /nfs/dbraw/zinc/20/56/97/1074205697.db2.gz LJJDKSYCCYXGEY-LSDHHAIUSA-N 0 0 431.497 -0.644 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)NCC(=O)N1CCOCC1 ZINC001319954564 1074205739 /nfs/dbraw/zinc/20/57/39/1074205739.db2.gz SBQIRIHKHBCIGC-INIZCTEOSA-N 0 0 441.506 -0.309 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)NCC(=O)N1CCOCC1 ZINC001319954565 1074205653 /nfs/dbraw/zinc/20/56/53/1074205653.db2.gz SBQIRIHKHBCIGC-MRXNPFEDSA-N 0 0 441.506 -0.309 20 0 IBADRN COCC[C@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC001319956493 1074205777 /nfs/dbraw/zinc/20/57/77/1074205777.db2.gz XZTZEUUEXCCFST-AWEZNQCLSA-N 0 0 429.495 -0.735 20 0 IBADRN COCC[C@@H](NC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1)C(N)=O ZINC001319956494 1074205640 /nfs/dbraw/zinc/20/56/40/1074205640.db2.gz XZTZEUUEXCCFST-CQSZACIVSA-N 0 0 429.495 -0.735 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CCC(C)(C)C(=O)N2CCC(O)(C(=O)OC)CC2)CC1 ZINC001319962399 1074205764 /nfs/dbraw/zinc/20/57/64/1074205764.db2.gz LILLMNISMVDQMA-UHFFFAOYSA-N 0 0 442.509 -0.154 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@H]3COC4(CCOCC4)O3)CC2)cn1 ZINC001319970828 1074205679 /nfs/dbraw/zinc/20/56/79/1074205679.db2.gz MLNSDKNKIHGOSY-HNNXBMFYSA-N 0 0 443.526 -0.206 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@@H]3COC4(CCOCC4)O3)CC2)cn1 ZINC001319970829 1074205747 /nfs/dbraw/zinc/20/57/47/1074205747.db2.gz MLNSDKNKIHGOSY-OAHLLOKOSA-N 0 0 443.526 -0.206 20 0 IBADRN CN(C(=O)c1coc(S(N)(=O)=O)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001319977184 1074206204 /nfs/dbraw/zinc/20/62/04/1074206204.db2.gz NZSBNLOTQIYGQG-UHFFFAOYSA-N 0 0 427.527 -0.763 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001319980202 1074206181 /nfs/dbraw/zinc/20/61/81/1074206181.db2.gz ZIUPOIMRFQBYOH-JSGCOSHPSA-N 0 0 439.943 -0.529 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001319980203 1074206175 /nfs/dbraw/zinc/20/61/75/1074206175.db2.gz ZIUPOIMRFQBYOH-OCCSQVGLSA-N 0 0 439.943 -0.529 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCNC(=O)N(C)C ZINC001319984505 1074206152 /nfs/dbraw/zinc/20/61/52/1074206152.db2.gz GNTGFOILVLDFHC-AWEZNQCLSA-N 0 0 428.511 -0.384 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCCNC(=O)N(C)C ZINC001319984508 1074206110 /nfs/dbraw/zinc/20/61/10/1074206110.db2.gz GNTGFOILVLDFHC-CQSZACIVSA-N 0 0 428.511 -0.384 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C[C@@](C)(O)c1ccc(S(C)(=O)=O)cc1 ZINC001319986514 1074206185 /nfs/dbraw/zinc/20/61/85/1074206185.db2.gz VIHNPYUCBOUPDW-CRAIPNDOSA-N 0 0 432.564 -0.077 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C[C@@](C)(O)c1ccc(S(C)(=O)=O)cc1 ZINC001319986517 1074206094 /nfs/dbraw/zinc/20/60/94/1074206094.db2.gz VIHNPYUCBOUPDW-MAUKXSAKSA-N 0 0 432.564 -0.077 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C[C@](C)(O)c1ccc(S(C)(=O)=O)cc1 ZINC001319986522 1074206142 /nfs/dbraw/zinc/20/61/42/1074206142.db2.gz VIHNPYUCBOUPDW-QAPCUYQASA-N 0 0 432.564 -0.077 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C[C@](C)(O)c1ccc(S(C)(=O)=O)cc1 ZINC001319986525 1074206103 /nfs/dbraw/zinc/20/61/03/1074206103.db2.gz VIHNPYUCBOUPDW-YJBOKZPZSA-N 0 0 432.564 -0.077 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319987296 1074206088 /nfs/dbraw/zinc/20/60/88/1074206088.db2.gz IPAWWKXRQOVTGQ-JOCHJYFZSA-N 0 0 441.488 -0.024 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001319987297 1074206118 /nfs/dbraw/zinc/20/61/18/1074206118.db2.gz IPAWWKXRQOVTGQ-QFIPXVFZSA-N 0 0 441.488 -0.024 20 0 IBADRN NC(=O)COC1CCN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001319988194 1074206156 /nfs/dbraw/zinc/20/61/56/1074206156.db2.gz VDHMTJWIZXTJLK-BBRMVZONSA-N 0 0 445.925 -0.043 20 0 IBADRN NC(=O)COC1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001319988195 1074206167 /nfs/dbraw/zinc/20/61/67/1074206167.db2.gz VDHMTJWIZXTJLK-CJNGLKHVSA-N 0 0 445.925 -0.043 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CCO1 ZINC001319989433 1074206190 /nfs/dbraw/zinc/20/61/90/1074206190.db2.gz FCBXKVKFJXMHIS-KRWDZBQOSA-N 0 0 438.506 -0.874 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCN(C(C)=O)CC3)cc2)CCO1 ZINC001319989434 1074206145 /nfs/dbraw/zinc/20/61/45/1074206145.db2.gz FCBXKVKFJXMHIS-QGZVFWFLSA-N 0 0 438.506 -0.874 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CCO1 ZINC001319989592 1074206580 /nfs/dbraw/zinc/20/65/80/1074206580.db2.gz SEWYAUCCVWWBCC-KRWDZBQOSA-N 0 0 438.506 -0.874 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)CCO1 ZINC001319989593 1074206567 /nfs/dbraw/zinc/20/65/67/1074206567.db2.gz SEWYAUCCVWWBCC-QGZVFWFLSA-N 0 0 438.506 -0.874 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)CCCCCCC(=O)N2CCO[C@H](C(=O)NC)C2)CCO1 ZINC001319990127 1074206483 /nfs/dbraw/zinc/20/64/83/1074206483.db2.gz YVNDOMUUAAJXNT-HOTGVXAUSA-N 0 0 426.514 -0.726 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCCCCCC(=O)N2CCO[C@@H](C(=O)NC)C2)CCO1 ZINC001319990130 1074206512 /nfs/dbraw/zinc/20/65/12/1074206512.db2.gz YVNDOMUUAAJXNT-HZPDHXFCSA-N 0 0 426.514 -0.726 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)CCCCCCC(=O)N2CCO[C@H](C(=O)NC)C2)CCO1 ZINC001319990132 1074206558 /nfs/dbraw/zinc/20/65/58/1074206558.db2.gz YVNDOMUUAAJXNT-IYBDPMFKSA-N 0 0 426.514 -0.726 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CCO1 ZINC001319990624 1074206417 /nfs/dbraw/zinc/20/64/17/1074206417.db2.gz PTKIEIXTYUGEIV-GDBMZVCRSA-N 0 0 441.506 -0.798 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CCO1 ZINC001319990625 1074206537 /nfs/dbraw/zinc/20/65/37/1074206537.db2.gz PTKIEIXTYUGEIV-GOEBONIOSA-N 0 0 441.506 -0.798 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CCO1 ZINC001319990626 1074206599 /nfs/dbraw/zinc/20/65/99/1074206599.db2.gz PTKIEIXTYUGEIV-HOCLYGCPSA-N 0 0 441.506 -0.798 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CCO1 ZINC001319990627 1074206449 /nfs/dbraw/zinc/20/64/49/1074206449.db2.gz PTKIEIXTYUGEIV-ZBFHGGJFSA-N 0 0 441.506 -0.798 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(C(C)(C)C(N)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001319997049 1074206612 /nfs/dbraw/zinc/20/66/12/1074206612.db2.gz WWVHIPVDPPWWPH-KBPBESRZSA-N 0 0 425.555 -0.219 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(C(C)(C)C(N)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001319997050 1074206544 /nfs/dbraw/zinc/20/65/44/1074206544.db2.gz WWVHIPVDPPWWPH-KGLIPLIRSA-N 0 0 425.555 -0.219 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(C(C)(C)C(N)=O)CC1 ZINC001319997051 1074206464 /nfs/dbraw/zinc/20/64/64/1074206464.db2.gz WWVHIPVDPPWWPH-UONOGXRCSA-N 0 0 425.555 -0.219 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(C(C)(C)C(N)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001319997052 1074206430 /nfs/dbraw/zinc/20/64/30/1074206430.db2.gz WWVHIPVDPPWWPH-ZIAGYGMSSA-N 0 0 425.555 -0.219 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NCC(=O)c1cccs1 ZINC001319998314 1074206621 /nfs/dbraw/zinc/20/66/21/1074206621.db2.gz MVOQLLKPEZUELV-CYBMUJFWSA-N 0 0 449.576 -0.819 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NCC(=O)c1cccs1 ZINC001319998315 1074206591 /nfs/dbraw/zinc/20/65/91/1074206591.db2.gz MVOQLLKPEZUELV-ZDUSSCGKSA-N 0 0 449.576 -0.819 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)=NN1c1ccc(F)cc1 ZINC001319998982 1074206606 /nfs/dbraw/zinc/20/66/06/1074206606.db2.gz KNXIRLVKJXDCJS-KRWDZBQOSA-N 0 0 432.456 -0.158 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)=NN1c1ccc(F)cc1 ZINC001319998983 1074206525 /nfs/dbraw/zinc/20/65/25/1074206525.db2.gz KNXIRLVKJXDCJS-QGZVFWFLSA-N 0 0 432.456 -0.158 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC001319999263 1074206496 /nfs/dbraw/zinc/20/64/96/1074206496.db2.gz PTGAAJVXNABNBA-LLVKDONJSA-N 0 0 437.515 -0.188 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC[C@@H](CNS(C)(=O)=O)C2)cc1S(N)(=O)=O ZINC001319999264 1074206990 /nfs/dbraw/zinc/20/69/90/1074206990.db2.gz PTGAAJVXNABNBA-NSHDSACASA-N 0 0 437.515 -0.188 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001319999291 1074207023 /nfs/dbraw/zinc/20/70/23/1074207023.db2.gz RLXXEJUBDOLFLD-HNNXBMFYSA-N 0 0 440.522 -0.042 20 0 IBADRN COCCn1cc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(C)n1 ZINC001320001825 1074206985 /nfs/dbraw/zinc/20/69/85/1074206985.db2.gz DUAZCCAMTREOII-HNNXBMFYSA-N 0 0 428.511 -0.674 20 0 IBADRN COCCn1cc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(C)n1 ZINC001320001826 1074206962 /nfs/dbraw/zinc/20/69/62/1074206962.db2.gz DUAZCCAMTREOII-OAHLLOKOSA-N 0 0 428.511 -0.674 20 0 IBADRN COc1ccc(CC(=O)N[C@@H](CO)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC001320006010 1074207054 /nfs/dbraw/zinc/20/70/54/1074207054.db2.gz RNGKITVMIQPFPK-INIZCTEOSA-N 0 0 438.506 -0.158 20 0 IBADRN COc1ccc(CC(=O)N[C@H](CO)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC001320006011 1074207044 /nfs/dbraw/zinc/20/70/44/1074207044.db2.gz RNGKITVMIQPFPK-MRXNPFEDSA-N 0 0 438.506 -0.158 20 0 IBADRN COCCn1cc(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)cn1 ZINC001320008521 1074207101 /nfs/dbraw/zinc/20/71/01/1074207101.db2.gz ABVYVFXOHMNAAO-UHFFFAOYSA-N 0 0 436.556 -0.219 20 0 IBADRN CN(CC(N)=O)C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Br ZINC001320015132 1074207003 /nfs/dbraw/zinc/20/70/03/1074207003.db2.gz RYUNMBIQAYEWJD-GFCCVEGCSA-N 0 0 434.312 -0.074 20 0 IBADRN CN(CC(N)=O)C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Br ZINC001320015133 1074207061 /nfs/dbraw/zinc/20/70/61/1074207061.db2.gz RYUNMBIQAYEWJD-LBPRGKRZSA-N 0 0 434.312 -0.074 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)n(C)c1 ZINC001320015815 1074206935 /nfs/dbraw/zinc/20/69/35/1074206935.db2.gz KNBKQRYCFDICDA-UHFFFAOYSA-N 0 0 425.555 -0.098 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001320020020 1074206924 /nfs/dbraw/zinc/20/69/24/1074206924.db2.gz IAXXGKRPMBBESN-UHFFFAOYSA-N 0 0 430.552 -0.533 20 0 IBADRN CCN(C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001320022032 1074206952 /nfs/dbraw/zinc/20/69/52/1074206952.db2.gz IJYSSDPHJWGACM-AWEZNQCLSA-N 0 0 433.556 -0.208 20 0 IBADRN CCN(C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001320022033 1074206982 /nfs/dbraw/zinc/20/69/82/1074206982.db2.gz IJYSSDPHJWGACM-CQSZACIVSA-N 0 0 433.556 -0.208 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C[C@H]2COC(=O)C2)cc1)S(C)(=O)=O ZINC001320022896 1074207011 /nfs/dbraw/zinc/20/70/11/1074207011.db2.gz SUWHELAMHKKPAW-GFCCVEGCSA-N 0 0 434.492 -0.140 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)C[C@@H]2COC(=O)C2)cc1)S(C)(=O)=O ZINC001320022897 1074207081 /nfs/dbraw/zinc/20/70/81/1074207081.db2.gz SUWHELAMHKKPAW-LBPRGKRZSA-N 0 0 434.492 -0.140 20 0 IBADRN O=C(CN1CCC(N2CCCNC2=O)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001320023128 1074207092 /nfs/dbraw/zinc/20/70/92/1074207092.db2.gz AVBUSKACOIYKSE-KRWDZBQOSA-N 0 0 427.571 -0.803 20 0 IBADRN O=C(CN1CCC(N2CCCNC2=O)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001320023129 1074207069 /nfs/dbraw/zinc/20/70/69/1074207069.db2.gz AVBUSKACOIYKSE-QGZVFWFLSA-N 0 0 427.571 -0.803 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2ccc[n+]([O-])c2)cc1)S(C)(=O)=O ZINC001320023283 1074207501 /nfs/dbraw/zinc/20/75/01/1074207501.db2.gz WBVWISGGSBRYPJ-UHFFFAOYSA-N 0 0 429.476 -0.146 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)Nc1cc(C)nn1[C@H]1CCS(=O)(=O)C1 ZINC001320023439 1074207579 /nfs/dbraw/zinc/20/75/79/1074207579.db2.gz HMGHJBRAASATAZ-KBPBESRZSA-N 0 0 433.556 -0.497 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)Nc1cc(C)nn1[C@H]1CCS(=O)(=O)C1 ZINC001320023444 1074207497 /nfs/dbraw/zinc/20/74/97/1074207497.db2.gz HMGHJBRAASATAZ-KGLIPLIRSA-N 0 0 433.556 -0.497 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1CC(=O)Nc1cc(C)nn1[C@@H]1CCS(=O)(=O)C1 ZINC001320023446 1074207420 /nfs/dbraw/zinc/20/74/20/1074207420.db2.gz HMGHJBRAASATAZ-UONOGXRCSA-N 0 0 433.556 -0.497 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1CC(=O)Nc1cc(C)nn1[C@@H]1CCS(=O)(=O)C1 ZINC001320023448 1074207584 /nfs/dbraw/zinc/20/75/84/1074207584.db2.gz HMGHJBRAASATAZ-ZIAGYGMSSA-N 0 0 433.556 -0.497 20 0 IBADRN COc1cc(OC)c(OC)cc1CNC(=O)CN1CCN(CCS(N)(=O)=O)CC1 ZINC001320025071 1074207535 /nfs/dbraw/zinc/20/75/35/1074207535.db2.gz XYUCPLKIRNQIGW-UHFFFAOYSA-N 0 0 430.527 -0.765 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CCS(N)(=O)=O)CC2)n(-c2nc(C)cc(C)n2)n1 ZINC001320025141 1074207563 /nfs/dbraw/zinc/20/75/63/1074207563.db2.gz YZBYOXWNNZWWOA-UHFFFAOYSA-N 0 0 436.542 -0.568 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC001320025615 1074207454 /nfs/dbraw/zinc/20/74/54/1074207454.db2.gz AYJCVIWJNKSQGU-UHFFFAOYSA-N 0 0 426.485 -0.809 20 0 IBADRN Cc1nc([C@@H]2COCCN2C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)no1 ZINC001320026974 1074207442 /nfs/dbraw/zinc/20/74/42/1074207442.db2.gz USHNHGJERZAYFK-HNNXBMFYSA-N 0 0 425.467 -0.049 20 0 IBADRN Cc1nc([C@H]2COCCN2C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)no1 ZINC001320026976 1074207470 /nfs/dbraw/zinc/20/74/70/1074207470.db2.gz USHNHGJERZAYFK-OAHLLOKOSA-N 0 0 425.467 -0.049 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC001320027071 1074207522 /nfs/dbraw/zinc/20/75/22/1074207522.db2.gz IHHQNZOSXMXZML-UHFFFAOYSA-N 0 0 433.498 -0.642 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001320027874 1074207570 /nfs/dbraw/zinc/20/75/70/1074207570.db2.gz MJOFDWJHAKFTJB-UHFFFAOYSA-N 0 0 447.525 -0.253 20 0 IBADRN COCCN(C(=O)NCc1ccc(OCC(N)=O)c(OC)c1)[C@H]1CCS(=O)(=O)C1 ZINC001320032210 1074207433 /nfs/dbraw/zinc/20/74/33/1074207433.db2.gz LJFUOTNATWNCTH-AWEZNQCLSA-N 0 0 429.495 -0.096 20 0 IBADRN COCCN(C(=O)NCc1ccc(OCC(N)=O)c(OC)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001320032211 1074207542 /nfs/dbraw/zinc/20/75/42/1074207542.db2.gz LJFUOTNATWNCTH-CQSZACIVSA-N 0 0 429.495 -0.096 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC001320038187 1074207556 /nfs/dbraw/zinc/20/75/56/1074207556.db2.gz QGJVKTYOQIWUIA-AWEZNQCLSA-N 0 0 434.540 -0.158 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)noc3C)CC2)C1 ZINC001320038188 1074207511 /nfs/dbraw/zinc/20/75/11/1074207511.db2.gz QGJVKTYOQIWUIA-CQSZACIVSA-N 0 0 434.540 -0.158 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC4(COC(=O)N4)CC3)CC2)cn1 ZINC001320038800 1074207487 /nfs/dbraw/zinc/20/74/87/1074207487.db2.gz DTQIJVFCLGYLMF-UHFFFAOYSA-N 0 0 426.499 -0.143 20 0 IBADRN Cc1nn(CCC(=O)NC[C@H]2COCCO2)c(C)c1CCC(=O)NC[C@@H]1COCCO1 ZINC001320042218 1074207550 /nfs/dbraw/zinc/20/75/50/1074207550.db2.gz XGYKVBSQIZJJPN-MSOLQXFVSA-N 0 0 438.525 -0.114 20 0 IBADRN Cc1nn(CCC(=O)NC[C@@H]2COCCO2)c(C)c1CCC(=O)NC[C@@H]1COCCO1 ZINC001320042219 1074208023 /nfs/dbraw/zinc/20/80/23/1074208023.db2.gz XGYKVBSQIZJJPN-QZTJIDSGSA-N 0 0 438.525 -0.114 20 0 IBADRN Cc1nn(CCC(=O)NC[C@H]2COCCO2)c(C)c1CCC(=O)NC[C@H]1COCCO1 ZINC001320042220 1074207846 /nfs/dbraw/zinc/20/78/46/1074207846.db2.gz XGYKVBSQIZJJPN-ROUUACIJSA-N 0 0 438.525 -0.114 20 0 IBADRN Cc1nn(CCC(=O)NC[C@@H]2COCCO2)c(C)c1CCC(=O)NC[C@H]1COCCO1 ZINC001320042222 1074208006 /nfs/dbraw/zinc/20/80/06/1074208006.db2.gz XGYKVBSQIZJJPN-ZWKOTPCHSA-N 0 0 438.525 -0.114 20 0 IBADRN Cn1c2nnc(CN[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)n2c2ccccc2c1=O ZINC001320051356 1074207860 /nfs/dbraw/zinc/20/78/60/1074207860.db2.gz HIICDYNOHRKZRK-GDBMZVCRSA-N 0 0 432.506 -0.831 20 0 IBADRN Cn1c2nnc(CN[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)n2c2ccccc2c1=O ZINC001320051357 1074207926 /nfs/dbraw/zinc/20/79/26/1074207926.db2.gz HIICDYNOHRKZRK-GOEBONIOSA-N 0 0 432.506 -0.831 20 0 IBADRN Cn1c2nnc(CN[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)n2c2ccccc2c1=O ZINC001320051358 1074207887 /nfs/dbraw/zinc/20/78/87/1074207887.db2.gz HIICDYNOHRKZRK-HOCLYGCPSA-N 0 0 432.506 -0.831 20 0 IBADRN Cn1c2nnc(CN[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)n2c2ccccc2c1=O ZINC001320051359 1074207935 /nfs/dbraw/zinc/20/79/35/1074207935.db2.gz HIICDYNOHRKZRK-ZBFHGGJFSA-N 0 0 432.506 -0.831 20 0 IBADRN COc1cccc(CNC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001320052315 1074207977 /nfs/dbraw/zinc/20/79/77/1074207977.db2.gz LKCDGMQZWGYMLZ-KRWDZBQOSA-N 0 0 438.550 -0.768 20 0 IBADRN COc1cccc(CNC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001320052316 1074207991 /nfs/dbraw/zinc/20/79/91/1074207991.db2.gz LKCDGMQZWGYMLZ-QGZVFWFLSA-N 0 0 438.550 -0.768 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)CC2)C1=O ZINC001320052532 1074207963 /nfs/dbraw/zinc/20/79/63/1074207963.db2.gz NPOXADUYQJAURX-UHFFFAOYSA-N 0 0 437.469 -0.023 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)NCc1ccccc1F ZINC001320054975 1074208035 /nfs/dbraw/zinc/20/80/35/1074208035.db2.gz NAJYQFLXYYQRRX-INIZCTEOSA-N 0 0 426.514 -0.637 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)NCc1ccccc1F ZINC001320054976 1074207945 /nfs/dbraw/zinc/20/79/45/1074207945.db2.gz NAJYQFLXYYQRRX-MRXNPFEDSA-N 0 0 426.514 -0.637 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)C1 ZINC001320054993 1074207932 /nfs/dbraw/zinc/20/79/32/1074207932.db2.gz NIGLTJRPCRJUQZ-KBPBESRZSA-N 0 0 433.556 -0.497 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(CC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)C1 ZINC001320054995 1074207916 /nfs/dbraw/zinc/20/79/16/1074207916.db2.gz NIGLTJRPCRJUQZ-KGLIPLIRSA-N 0 0 433.556 -0.497 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)C1 ZINC001320054996 1074208013 /nfs/dbraw/zinc/20/80/13/1074208013.db2.gz NIGLTJRPCRJUQZ-UONOGXRCSA-N 0 0 433.556 -0.497 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(CC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)C1 ZINC001320054997 1074207987 /nfs/dbraw/zinc/20/79/87/1074207987.db2.gz NIGLTJRPCRJUQZ-ZIAGYGMSSA-N 0 0 433.556 -0.497 20 0 IBADRN CCN(C(=O)CN1C(=O)C(=O)N(C)C1=O)c1ccnc(CN2CCN(C(C)=O)CC2)c1 ZINC001320055836 1074207953 /nfs/dbraw/zinc/20/79/53/1074207953.db2.gz YKVNYEFGOWBRET-UHFFFAOYSA-N 0 0 430.465 -0.481 20 0 IBADRN O=C(CN1CCN(CCS(=O)(=O)c2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001320056257 1074207872 /nfs/dbraw/zinc/20/78/72/1074207872.db2.gz RFOBDGBPOJPRSC-INIZCTEOSA-N 0 0 429.564 -0.619 20 0 IBADRN O=C(CN1CCN(CCS(=O)(=O)c2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001320056258 1074207881 /nfs/dbraw/zinc/20/78/81/1074207881.db2.gz RFOBDGBPOJPRSC-MRXNPFEDSA-N 0 0 429.564 -0.619 20 0 IBADRN O=C(CCc1ccccc1)NC1CCN(C(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)CC1 ZINC001320056434 1074207997 /nfs/dbraw/zinc/20/79/97/1074207997.db2.gz AUTIZROAIVXYBY-INIZCTEOSA-N 0 0 430.461 -0.132 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@H]1CCS(=O)(=O)C1 ZINC001320056991 1074208418 /nfs/dbraw/zinc/20/84/18/1074208418.db2.gz IJQXPXXPFAELGM-JQWIXIFHSA-N 0 0 429.476 -0.595 20 0 IBADRN O=C(C[C@@H]1NC(=O)NC1=O)OCC(=O)N(Cc1cccs1)[C@@H]1CCS(=O)(=O)C1 ZINC001320056993 1074208435 /nfs/dbraw/zinc/20/84/35/1074208435.db2.gz IJQXPXXPFAELGM-PWSUYJOCSA-N 0 0 429.476 -0.595 20 0 IBADRN O=C1CCCN1C[C@@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001320057597 1074208469 /nfs/dbraw/zinc/20/84/69/1074208469.db2.gz LGFKUZYTNGJEDD-AWEZNQCLSA-N 0 0 431.536 -0.267 20 0 IBADRN O=C1CCCN1C[C@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001320057598 1074208452 /nfs/dbraw/zinc/20/84/52/1074208452.db2.gz LGFKUZYTNGJEDD-CQSZACIVSA-N 0 0 431.536 -0.267 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](O)CN2CCCC2=O)cc1C(=O)NCC(F)(F)F ZINC001320067310 1074208371 /nfs/dbraw/zinc/20/83/71/1074208371.db2.gz MKRRLKCDSHRQLC-JTQLQIEISA-N 0 0 426.417 -0.421 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](O)CN2CCCC2=O)cc1C(=O)NCC(F)(F)F ZINC001320067312 1074208334 /nfs/dbraw/zinc/20/83/34/1074208334.db2.gz MKRRLKCDSHRQLC-SNVBAGLBSA-N 0 0 426.417 -0.421 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001320068897 1074208380 /nfs/dbraw/zinc/20/83/80/1074208380.db2.gz XRNLHWLXGHUHSQ-ABSDTBQOSA-N 0 0 443.566 -0.448 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001320068899 1074208488 /nfs/dbraw/zinc/20/84/88/1074208488.db2.gz XRNLHWLXGHUHSQ-FUHIMQAGSA-N 0 0 443.566 -0.448 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001320068902 1074208398 /nfs/dbraw/zinc/20/83/98/1074208398.db2.gz XRNLHWLXGHUHSQ-UWVAXJGDSA-N 0 0 443.566 -0.448 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001320068905 1074208412 /nfs/dbraw/zinc/20/84/12/1074208412.db2.gz XRNLHWLXGHUHSQ-ZWOKBUDYSA-N 0 0 443.566 -0.448 20 0 IBADRN CS(=O)(=O)NCCCOC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001320081890 1074208477 /nfs/dbraw/zinc/20/84/77/1074208477.db2.gz XGZARSVHZFARTI-UHFFFAOYSA-N 0 0 436.508 -0.001 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OCCCNS(C)(=O)=O)cc2)CC1 ZINC001320090297 1074208441 /nfs/dbraw/zinc/20/84/41/1074208441.db2.gz HOAUXMBXRIEGPJ-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CC1 ZINC001320092740 1074208363 /nfs/dbraw/zinc/20/83/63/1074208363.db2.gz VONWZHTXNQCDRQ-UHFFFAOYSA-N 0 0 448.571 -0.521 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001320100991 1074208353 /nfs/dbraw/zinc/20/83/53/1074208353.db2.gz SULBIHOVTVMIOB-AWEZNQCLSA-N 0 0 448.567 -0.670 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001320100993 1074208839 /nfs/dbraw/zinc/20/88/39/1074208839.db2.gz SULBIHOVTVMIOB-CQSZACIVSA-N 0 0 448.567 -0.670 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCCOC1 ZINC001320101323 1074208880 /nfs/dbraw/zinc/20/88/80/1074208880.db2.gz YEROCJHFUAYFGC-JFIYKMOQSA-N 0 0 431.555 -0.734 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCCOC1 ZINC001320101326 1074208825 /nfs/dbraw/zinc/20/88/25/1074208825.db2.gz YEROCJHFUAYFGC-LZLYRXPVSA-N 0 0 431.555 -0.734 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCCOC1 ZINC001320101329 1074208922 /nfs/dbraw/zinc/20/89/22/1074208922.db2.gz YEROCJHFUAYFGC-SOLBZPMBSA-N 0 0 431.555 -0.734 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCCOC1 ZINC001320101332 1074208884 /nfs/dbraw/zinc/20/88/84/1074208884.db2.gz YEROCJHFUAYFGC-XYJFISCASA-N 0 0 431.555 -0.734 20 0 IBADRN CNC(=O)[C@@H]1CN(Cc2nc(=O)c3c(CC(=O)OC)c(C(=O)OC)sc3[nH]2)CCO1 ZINC001320102340 1074208870 /nfs/dbraw/zinc/20/88/70/1074208870.db2.gz VVUIXPGGDZVRAU-JTQLQIEISA-N 0 0 438.462 -0.567 20 0 IBADRN CNC(=O)[C@H]1CN(Cc2nc(=O)c3c(CC(=O)OC)c(C(=O)OC)sc3[nH]2)CCO1 ZINC001320102342 1074208849 /nfs/dbraw/zinc/20/88/49/1074208849.db2.gz VVUIXPGGDZVRAU-SNVBAGLBSA-N 0 0 438.462 -0.567 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H]2COC3(CCOCC3)O2)c1 ZINC001320108867 1074208887 /nfs/dbraw/zinc/20/88/87/1074208887.db2.gz IQDPSMBEMPKFLG-AWEZNQCLSA-N 0 0 441.506 -0.178 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H]2COC3(CCOCC3)O2)c1 ZINC001320109094 1074208894 /nfs/dbraw/zinc/20/88/94/1074208894.db2.gz IQDPSMBEMPKFLG-CQSZACIVSA-N 0 0 441.506 -0.178 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC001320118362 1074208818 /nfs/dbraw/zinc/20/88/18/1074208818.db2.gz JJVSAULPZHSXCY-DOTOQJQBSA-N 0 0 439.534 -0.229 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC001320118363 1074208861 /nfs/dbraw/zinc/20/88/61/1074208861.db2.gz JJVSAULPZHSXCY-NVXWUHKLSA-N 0 0 439.534 -0.229 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN2CCOC[C@@H]2C1 ZINC001320118364 1074208834 /nfs/dbraw/zinc/20/88/34/1074208834.db2.gz JJVSAULPZHSXCY-RDJZCZTQSA-N 0 0 439.534 -0.229 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN2CCOC[C@H]2C1 ZINC001320118365 1074208832 /nfs/dbraw/zinc/20/88/32/1074208832.db2.gz JJVSAULPZHSXCY-WBVHZDCISA-N 0 0 439.534 -0.229 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cn1C ZINC001320119534 1074208919 /nfs/dbraw/zinc/20/89/19/1074208919.db2.gz BDQJQMRLMIZAAT-AWEZNQCLSA-N 0 0 448.571 -0.974 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)CC2)cn1C ZINC001320119535 1074208912 /nfs/dbraw/zinc/20/89/12/1074208912.db2.gz BDQJQMRLMIZAAT-CQSZACIVSA-N 0 0 448.571 -0.974 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccccc1N1CCCC1=O ZINC001320120091 1074208865 /nfs/dbraw/zinc/20/88/65/1074208865.db2.gz MKPXNVCEAJLYSE-MSOLQXFVSA-N 0 0 436.534 -0.473 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccccc1N1CCCC1=O ZINC001320120092 1074208842 /nfs/dbraw/zinc/20/88/42/1074208842.db2.gz MKPXNVCEAJLYSE-QZTJIDSGSA-N 0 0 436.534 -0.473 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccccc1N1CCCC1=O ZINC001320120093 1074208858 /nfs/dbraw/zinc/20/88/58/1074208858.db2.gz MKPXNVCEAJLYSE-ROUUACIJSA-N 0 0 436.534 -0.473 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccccc1N1CCCC1=O ZINC001320120094 1074208812 /nfs/dbraw/zinc/20/88/12/1074208812.db2.gz MKPXNVCEAJLYSE-ZWKOTPCHSA-N 0 0 436.534 -0.473 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001320120350 1074209342 /nfs/dbraw/zinc/20/93/42/1074209342.db2.gz SPJITTOIYPECMZ-AWEZNQCLSA-N 0 0 432.543 -0.289 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001320120351 1074209404 /nfs/dbraw/zinc/20/94/04/1074209404.db2.gz SPJITTOIYPECMZ-CQSZACIVSA-N 0 0 432.543 -0.289 20 0 IBADRN Cc1nn(CCC(=O)N[C@@]2(CO)CCOC2)c(C)c1CCC(=O)N[C@]1(CO)CCOC1 ZINC001320125418 1074209287 /nfs/dbraw/zinc/20/92/87/1074209287.db2.gz SERJGLOFSJJNMZ-LEWJYISDSA-N 0 0 438.525 -0.642 20 0 IBADRN Cc1nn(CCC(=O)N[C@@]2(CO)CCOC2)c(C)c1CCC(=O)N[C@@]1(CO)CCOC1 ZINC001320125420 1074209226 /nfs/dbraw/zinc/20/92/26/1074209226.db2.gz SERJGLOFSJJNMZ-NHCUHLMSSA-N 0 0 438.525 -0.642 20 0 IBADRN Cc1nn(CCC(=O)N[C@]2(CO)CCOC2)c(C)c1CCC(=O)N[C@@]1(CO)CCOC1 ZINC001320125421 1074209357 /nfs/dbraw/zinc/20/93/57/1074209357.db2.gz SERJGLOFSJJNMZ-RTWAWAEBSA-N 0 0 438.525 -0.642 20 0 IBADRN Cc1nn(CCC(=O)N[C@]2(CO)CCOC2)c(C)c1CCC(=O)N[C@]1(CO)CCOC1 ZINC001320125422 1074209329 /nfs/dbraw/zinc/20/93/29/1074209329.db2.gz SERJGLOFSJJNMZ-SFTDATJTSA-N 0 0 438.525 -0.642 20 0 IBADRN C[C@@H](NC(=O)CN1C(=O)CN(C)C1=O)c1ccccc1NC(=O)CN1C(=O)CN(C)C1=O ZINC001320137621 1074209319 /nfs/dbraw/zinc/20/93/19/1074209319.db2.gz WFWXNQIJALHONW-GFCCVEGCSA-N 0 0 444.448 -0.410 20 0 IBADRN C[C@H](NC(=O)CN1C(=O)CN(C)C1=O)c1ccccc1NC(=O)CN1C(=O)CN(C)C1=O ZINC001320137622 1074209242 /nfs/dbraw/zinc/20/92/42/1074209242.db2.gz WFWXNQIJALHONW-LBPRGKRZSA-N 0 0 444.448 -0.410 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)CNc1cc(C(N)=O)ccc1CN1C(=O)CN(C)C1=O ZINC001320138875 1074209380 /nfs/dbraw/zinc/20/93/80/1074209380.db2.gz AAAZIBNTLIROQB-UHFFFAOYSA-N 0 0 427.465 -0.017 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H](CO)C(=O)OCc2ccccc2)c1 ZINC001320141238 1074209192 /nfs/dbraw/zinc/20/91/92/1074209192.db2.gz ODKNWCVHAGDURI-INIZCTEOSA-N 0 0 428.488 -0.023 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H](CO)C(=O)OCc2ccccc2)c1 ZINC001320141239 1074209394 /nfs/dbraw/zinc/20/93/94/1074209394.db2.gz ODKNWCVHAGDURI-MRXNPFEDSA-N 0 0 428.488 -0.023 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001320151790 1074209306 /nfs/dbraw/zinc/20/93/06/1074209306.db2.gz CTVYQTSCSXZNHV-AWEZNQCLSA-N 0 0 431.536 -0.663 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001320151791 1074209276 /nfs/dbraw/zinc/20/92/76/1074209276.db2.gz CTVYQTSCSXZNHV-CQSZACIVSA-N 0 0 431.536 -0.663 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC001320158432 1074209206 /nfs/dbraw/zinc/20/92/06/1074209206.db2.gz KDMBAOFRJZCNTC-KRWDZBQOSA-N 0 0 445.563 -0.210 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)cc1 ZINC001320158434 1074209364 /nfs/dbraw/zinc/20/93/64/1074209364.db2.gz KDMBAOFRJZCNTC-QGZVFWFLSA-N 0 0 445.563 -0.210 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC001320158545 1074209201 /nfs/dbraw/zinc/20/92/01/1074209201.db2.gz GAOFYVUHNSJCPS-LLVKDONJSA-N 0 0 431.268 -0.267 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC001320158546 1074209298 /nfs/dbraw/zinc/20/92/98/1074209298.db2.gz GAOFYVUHNSJCPS-NSHDSACASA-N 0 0 431.268 -0.267 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC3(COC(=O)N3)CC1)c2=O ZINC001320159559 1074209414 /nfs/dbraw/zinc/20/94/14/1074209414.db2.gz HOUPPUXUWGMMJQ-UHFFFAOYSA-N 0 0 425.243 -0.007 20 0 IBADRN Cn1ccc(C(=O)NCCC(=O)N2CCN(C(=O)c3ccn(C)c(=O)c3)CC2)cc1=O ZINC001320159962 1074209216 /nfs/dbraw/zinc/20/92/16/1074209216.db2.gz IOFQZPBWOYBATB-UHFFFAOYSA-N 0 0 427.461 -0.812 20 0 IBADRN Cn1c(=O)cccc1C(=O)NCCC(=O)N1CCN(C(=O)c2cccc(=O)n2C)CC1 ZINC001320160874 1074209255 /nfs/dbraw/zinc/20/92/55/1074209255.db2.gz ZPMHKDYGXYZYCA-UHFFFAOYSA-N 0 0 427.461 -0.812 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCN([C@@H](C)C(=O)NCCCOC)CC2)cc1 ZINC001320164321 1074209801 /nfs/dbraw/zinc/20/98/01/1074209801.db2.gz OHIZLRDVOUBHGX-INIZCTEOSA-N 0 0 440.566 -0.177 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCN([C@H](C)C(=O)NCCCOC)CC2)cc1 ZINC001320164322 1074209873 /nfs/dbraw/zinc/20/98/73/1074209873.db2.gz OHIZLRDVOUBHGX-MRXNPFEDSA-N 0 0 440.566 -0.177 20 0 IBADRN C[C@H](NC(=O)[C@@H](O)[C@H](O)c1nc2ccccc2s1)C(=O)N1CCS(=O)(=O)CC1 ZINC001320175372 1074209847 /nfs/dbraw/zinc/20/98/47/1074209847.db2.gz SKVGNNWOMQXGNV-BPNCWPANSA-N 0 0 427.504 -0.548 20 0 IBADRN C[C@H](NC(=O)[C@H](O)[C@H](O)c1nc2ccccc2s1)C(=O)N1CCS(=O)(=O)CC1 ZINC001320175373 1074209737 /nfs/dbraw/zinc/20/97/37/1074209737.db2.gz SKVGNNWOMQXGNV-GDLCADMTSA-N 0 0 427.504 -0.548 20 0 IBADRN C[C@H](NC(=O)[C@@H](O)[C@@H](O)c1nc2ccccc2s1)C(=O)N1CCS(=O)(=O)CC1 ZINC001320175374 1074209822 /nfs/dbraw/zinc/20/98/22/1074209822.db2.gz SKVGNNWOMQXGNV-LEWSCRJBSA-N 0 0 427.504 -0.548 20 0 IBADRN C[C@H](NC(=O)[C@H](O)[C@@H](O)c1nc2ccccc2s1)C(=O)N1CCS(=O)(=O)CC1 ZINC001320175375 1074209853 /nfs/dbraw/zinc/20/98/53/1074209853.db2.gz SKVGNNWOMQXGNV-ZLKJLUDKSA-N 0 0 427.504 -0.548 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)CN2CCC(=O)NC2=O)c1 ZINC001320177476 1074209757 /nfs/dbraw/zinc/20/97/57/1074209757.db2.gz UNZZHNIIXGAODC-UHFFFAOYSA-N 0 0 439.494 -0.346 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC(C)(C)CS(C)(=O)=O)s1 ZINC001320177825 1074209764 /nfs/dbraw/zinc/20/97/64/1074209764.db2.gz MYSBVYIZDJELKE-UHFFFAOYSA-N 0 0 425.554 -0.398 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)C2)nn1 ZINC001320182452 1074209774 /nfs/dbraw/zinc/20/97/74/1074209774.db2.gz GCOLHVPXHJISGL-GFCCVEGCSA-N 0 0 439.450 -0.234 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)C2)nn1 ZINC001320182459 1074209904 /nfs/dbraw/zinc/20/99/04/1074209904.db2.gz GCOLHVPXHJISGL-LBPRGKRZSA-N 0 0 439.450 -0.234 20 0 IBADRN Cn1cc(-n2cc(C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)ccc2=O)cn1 ZINC001320183245 1074209748 /nfs/dbraw/zinc/20/97/48/1074209748.db2.gz NEIVFXUXHNNPJI-UHFFFAOYSA-N 0 0 432.462 -0.153 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@@H]1CCCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC001320195957 1074209892 /nfs/dbraw/zinc/20/98/92/1074209892.db2.gz RCPUZFIWTPVDOJ-CYBMUJFWSA-N 0 0 442.480 -0.089 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@H]1CCCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC001320195959 1074209828 /nfs/dbraw/zinc/20/98/28/1074209828.db2.gz RCPUZFIWTPVDOJ-ZDUSSCGKSA-N 0 0 442.480 -0.089 20 0 IBADRN CCN(C(=O)CN1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)[C@@H]1CCS(=O)(=O)C1 ZINC001320196151 1074209899 /nfs/dbraw/zinc/20/98/99/1074209899.db2.gz UXDZDYBDKJNSAR-CABCVRRESA-N 0 0 438.510 -0.121 20 0 IBADRN CCN(C(=O)CN1CCOC[C@H]1c1noc(-c2cnn(C)c2)n1)[C@H]1CCS(=O)(=O)C1 ZINC001320196153 1074209811 /nfs/dbraw/zinc/20/98/11/1074209811.db2.gz UXDZDYBDKJNSAR-GJZGRUSLSA-N 0 0 438.510 -0.121 20 0 IBADRN CCN(C(=O)CN1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)[C@@H]1CCS(=O)(=O)C1 ZINC001320196155 1074209861 /nfs/dbraw/zinc/20/98/61/1074209861.db2.gz UXDZDYBDKJNSAR-HUUCEWRRSA-N 0 0 438.510 -0.121 20 0 IBADRN CCN(C(=O)CN1CCOC[C@@H]1c1noc(-c2cnn(C)c2)n1)[C@H]1CCS(=O)(=O)C1 ZINC001320196156 1074209787 /nfs/dbraw/zinc/20/97/87/1074209787.db2.gz UXDZDYBDKJNSAR-LSDHHAIUSA-N 0 0 438.510 -0.121 20 0 IBADRN Cn1cc(C(=O)C(=O)N2CCN(C(=O)C(=O)c3cnn(C)c3)[C@H](c3cnn(C)c3)C2)cn1 ZINC001320201919 1074210141 /nfs/dbraw/zinc/21/01/41/1074210141.db2.gz NZAAAHLAEDQEOQ-INIZCTEOSA-N 0 0 438.448 -0.635 20 0 IBADRN Cn1cc(C(=O)C(=O)N2CCN(C(=O)C(=O)c3cnn(C)c3)[C@@H](c3cnn(C)c3)C2)cn1 ZINC001320201922 1074210172 /nfs/dbraw/zinc/21/01/72/1074210172.db2.gz NZAAAHLAEDQEOQ-MRXNPFEDSA-N 0 0 438.448 -0.635 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001320206285 1074210306 /nfs/dbraw/zinc/21/03/06/1074210306.db2.gz KGUWWCMRAAPTGV-AWEZNQCLSA-N 0 0 447.535 -0.852 20 0 IBADRN COc1ccc(CC(=O)N2CC[C@@H](S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001320206290 1074210321 /nfs/dbraw/zinc/21/03/21/1074210321.db2.gz KGUWWCMRAAPTGV-CQSZACIVSA-N 0 0 447.535 -0.852 20 0 IBADRN COCCn1cc(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)ccc1=O ZINC001320212668 1074210112 /nfs/dbraw/zinc/21/01/12/1074210112.db2.gz IVVICVCQYLEKJO-UHFFFAOYSA-N 0 0 434.474 -0.133 20 0 IBADRN COCCCS(=O)(=O)NCc1nc(-c2ccc(C(=O)N3CCN(C)CC3)nc2)no1 ZINC001320213826 1074210270 /nfs/dbraw/zinc/21/02/70/1074210270.db2.gz VYHFOPZFLKMMEE-UHFFFAOYSA-N 0 0 438.510 -0.025 20 0 IBADRN O=C(NCC1CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001320216029 1074210370 /nfs/dbraw/zinc/21/03/70/1074210370.db2.gz QWKQQKHBDLEVCY-UHFFFAOYSA-N 0 0 429.520 -0.638 20 0 IBADRN CS(=O)(=O)c1cc(S(N)(=O)=O)ccc1N1CCC(O)(CN2CCOCC2)CC1 ZINC001320220572 1074210232 /nfs/dbraw/zinc/21/02/32/1074210232.db2.gz MLBGYYWNHWYZIC-UHFFFAOYSA-N 0 0 433.552 -0.599 20 0 IBADRN CN(C)S(=O)(=O)Cc1noc(Cn2cnc3c(c(Br)nn3C)c2=O)n1 ZINC001320225302 1074210358 /nfs/dbraw/zinc/21/03/58/1074210358.db2.gz ZCGBHRFYKPTZNJ-UHFFFAOYSA-N 0 0 432.260 -0.285 20 0 IBADRN Cn1nccc1CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001320227971 1074210094 /nfs/dbraw/zinc/21/00/94/1074210094.db2.gz JRQZGFOJTZJLCQ-INIZCTEOSA-N 0 0 428.536 -0.139 20 0 IBADRN Cn1nccc1CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001320227972 1074210344 /nfs/dbraw/zinc/21/03/44/1074210344.db2.gz JRQZGFOJTZJLCQ-MRXNPFEDSA-N 0 0 428.536 -0.139 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)[C@H]1CCOC1 ZINC001320228278 1074210221 /nfs/dbraw/zinc/21/02/21/1074210221.db2.gz OXSGOADBKBAFAW-DZGCQCFKSA-N 0 0 433.504 -0.083 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)[C@@H]1CCOC1 ZINC001320228279 1074210249 /nfs/dbraw/zinc/21/02/49/1074210249.db2.gz OXSGOADBKBAFAW-HIFRSBDPSA-N 0 0 433.504 -0.083 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)[C@@H]1CCOC1 ZINC001320228280 1074210197 /nfs/dbraw/zinc/21/01/97/1074210197.db2.gz OXSGOADBKBAFAW-UKRRQHHQSA-N 0 0 433.504 -0.083 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)[C@H]1CCOC1 ZINC001320228281 1074210379 /nfs/dbraw/zinc/21/03/79/1074210379.db2.gz OXSGOADBKBAFAW-ZFWWWQNUSA-N 0 0 433.504 -0.083 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@@H](CO)C(=O)OC)CC1 ZINC001320228405 1074210182 /nfs/dbraw/zinc/21/01/82/1074210182.db2.gz ULSKUAFHQMSCEN-AWEZNQCLSA-N 0 0 428.463 -0.476 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N[C@H](CO)C(=O)OC)CC1 ZINC001320228406 1074210260 /nfs/dbraw/zinc/21/02/60/1074210260.db2.gz ULSKUAFHQMSCEN-CQSZACIVSA-N 0 0 428.463 -0.476 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Br)C(=O)NC(CO)CO ZINC001320237477 1074210872 /nfs/dbraw/zinc/21/08/72/1074210872.db2.gz IMWYMDPNXSNUHI-CYBMUJFWSA-N 0 0 437.312 -0.548 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Br)C(=O)NC(CO)CO ZINC001320237478 1074210743 /nfs/dbraw/zinc/21/07/43/1074210743.db2.gz IMWYMDPNXSNUHI-ZDUSSCGKSA-N 0 0 437.312 -0.548 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)CSCC(=O)N2CCC[C@H](S(C)(=O)=O)C2)C1 ZINC001320237710 1074210882 /nfs/dbraw/zinc/21/08/82/1074210882.db2.gz SHXQUALVQMLMHK-KBPBESRZSA-N 0 0 440.609 -0.209 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)CSCC(=O)N2CCC[C@H](S(C)(=O)=O)C2)C1 ZINC001320237711 1074210908 /nfs/dbraw/zinc/21/09/08/1074210908.db2.gz SHXQUALVQMLMHK-OKILXGFUSA-N 0 0 440.609 -0.209 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)CSCC(=O)N2CCC[C@@H](S(C)(=O)=O)C2)C1 ZINC001320237712 1074210731 /nfs/dbraw/zinc/21/07/31/1074210731.db2.gz SHXQUALVQMLMHK-ZIAGYGMSSA-N 0 0 440.609 -0.209 20 0 IBADRN O=C(Cc1ccc(CC(=O)N2CCS(=O)(=O)CC2)cc1)N1CCS(=O)(=O)CC1 ZINC001320239528 1074210930 /nfs/dbraw/zinc/21/09/30/1074210930.db2.gz JUWFGNHYBCACQK-UHFFFAOYSA-N 0 0 428.532 -0.714 20 0 IBADRN CO[C@@]1(CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCOC1 ZINC001320241419 1074210815 /nfs/dbraw/zinc/21/08/15/1074210815.db2.gz BQPFBDCKHHMHLA-DOTOQJQBSA-N 0 0 434.536 -0.310 20 0 IBADRN CO[C@@]1(CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCOC1 ZINC001320241423 1074210695 /nfs/dbraw/zinc/21/06/95/1074210695.db2.gz BQPFBDCKHHMHLA-NVXWUHKLSA-N 0 0 434.536 -0.310 20 0 IBADRN CO[C@]1(CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCOC1 ZINC001320241424 1074210826 /nfs/dbraw/zinc/21/08/26/1074210826.db2.gz BQPFBDCKHHMHLA-RDJZCZTQSA-N 0 0 434.536 -0.310 20 0 IBADRN CO[C@]1(CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCOC1 ZINC001320241425 1074210893 /nfs/dbraw/zinc/21/08/93/1074210893.db2.gz BQPFBDCKHHMHLA-WBVHZDCISA-N 0 0 434.536 -0.310 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](S(C)(=O)=O)C2)s1 ZINC001320241810 1074210722 /nfs/dbraw/zinc/21/07/22/1074210722.db2.gz ZHGMASDOUIGZQG-CYBMUJFWSA-N 0 0 437.565 -0.302 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](S(C)(=O)=O)C2)s1 ZINC001320241811 1074210913 /nfs/dbraw/zinc/21/09/13/1074210913.db2.gz ZHGMASDOUIGZQG-ZDUSSCGKSA-N 0 0 437.565 -0.302 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)OCCCNS(C)(=O)=O)CC2)cc1 ZINC001320242886 1074210859 /nfs/dbraw/zinc/21/08/59/1074210859.db2.gz ZEMNRHSLGUMTLE-UHFFFAOYSA-N 0 0 433.552 -0.216 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001320245263 1074210920 /nfs/dbraw/zinc/21/09/20/1074210920.db2.gz BHIJGJCHPCWXIV-DZKIICNBSA-N 0 0 444.941 -0.470 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001320245264 1074210756 /nfs/dbraw/zinc/21/07/56/1074210756.db2.gz BHIJGJCHPCWXIV-FMKPAKJESA-N 0 0 444.941 -0.470 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001320245267 1074210786 /nfs/dbraw/zinc/21/07/86/1074210786.db2.gz BHIJGJCHPCWXIV-LZWOXQAQSA-N 0 0 444.941 -0.470 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001320245269 1074210833 /nfs/dbraw/zinc/21/08/33/1074210833.db2.gz BHIJGJCHPCWXIV-YCPHGPKFSA-N 0 0 444.941 -0.470 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N[C@H](CO)C(=O)OCc1ccccc1 ZINC001320247756 1074211206 /nfs/dbraw/zinc/21/12/06/1074211206.db2.gz HJTXLKMRFBPYQY-GFCCVEGCSA-N 0 0 426.223 -0.068 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)N[C@@H](CO)C(=O)OCc1ccccc1 ZINC001320247760 1074211179 /nfs/dbraw/zinc/21/11/79/1074211179.db2.gz HJTXLKMRFBPYQY-LBPRGKRZSA-N 0 0 426.223 -0.068 20 0 IBADRN Cc1c(C(=O)N[C@@H](CO)C(=O)OCc2ccccc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001320250762 1074211279 /nfs/dbraw/zinc/21/12/79/1074211279.db2.gz SNEMKEWLUMOGPR-HNNXBMFYSA-N 0 0 426.429 -0.225 20 0 IBADRN Cc1c(C(=O)N[C@H](CO)C(=O)OCc2ccccc2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001320250815 1074211232 /nfs/dbraw/zinc/21/12/32/1074211232.db2.gz SNEMKEWLUMOGPR-OAHLLOKOSA-N 0 0 426.429 -0.225 20 0 IBADRN COC(=O)CN(C)CCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001320254383 1074211270 /nfs/dbraw/zinc/21/12/70/1074211270.db2.gz IPRSPJXYTXWGPY-HIFRSBDPSA-N 0 0 433.914 -0.315 20 0 IBADRN COC(=O)CN(C)CCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001320254384 1074211097 /nfs/dbraw/zinc/21/10/97/1074211097.db2.gz IPRSPJXYTXWGPY-ZFWWWQNUSA-N 0 0 433.914 -0.315 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)CCO1 ZINC001320254531 1074211151 /nfs/dbraw/zinc/21/11/51/1074211151.db2.gz LFLOPOZYXOPFGE-CVEARBPZSA-N 0 0 441.506 -0.311 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)CCO1 ZINC001320254547 1074211253 /nfs/dbraw/zinc/21/12/53/1074211253.db2.gz LFLOPOZYXOPFGE-HOTGVXAUSA-N 0 0 441.506 -0.311 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)CCO1 ZINC001320254549 1074211244 /nfs/dbraw/zinc/21/12/44/1074211244.db2.gz LFLOPOZYXOPFGE-HZPDHXFCSA-N 0 0 441.506 -0.311 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OCc2ccccc2)CCO1 ZINC001320254551 1074211088 /nfs/dbraw/zinc/21/10/88/1074211088.db2.gz LFLOPOZYXOPFGE-JKSUJKDBSA-N 0 0 441.506 -0.311 20 0 IBADRN COC(=O)c1ncsc1S(=O)(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001320255659 1074211222 /nfs/dbraw/zinc/21/12/22/1074211222.db2.gz VSGNNPATJBWKJN-UHFFFAOYSA-N 0 0 436.493 -0.246 20 0 IBADRN COCCN(Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC001320269692 1074211260 /nfs/dbraw/zinc/21/12/60/1074211260.db2.gz XVTNQWBCPSOKLL-AWEZNQCLSA-N 0 0 429.524 -0.299 20 0 IBADRN COCCN(Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC001320269693 1074211141 /nfs/dbraw/zinc/21/11/41/1074211141.db2.gz XVTNQWBCPSOKLL-CQSZACIVSA-N 0 0 429.524 -0.299 20 0 IBADRN CN(C(=O)c1cn(CC2(C)COC2)nn1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001320276759 1074211103 /nfs/dbraw/zinc/21/11/03/1074211103.db2.gz ZCBUGTOTTHYLCQ-UHFFFAOYSA-N 0 0 433.557 -0.375 20 0 IBADRN COCCN(CC(=O)NCCc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC001320281801 1074211756 /nfs/dbraw/zinc/21/17/56/1074211756.db2.gz LJGAWLYRNJSDGR-HNNXBMFYSA-N 0 0 433.552 -0.872 20 0 IBADRN COCCN(CC(=O)NCCc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC001320281802 1074211654 /nfs/dbraw/zinc/21/16/54/1074211654.db2.gz LJGAWLYRNJSDGR-OAHLLOKOSA-N 0 0 433.552 -0.872 20 0 IBADRN Cn1cc(-n2cc(C(=O)NC3CCN(S(=O)(=O)c4cnn(C)c4)CC3)ccc2=O)cn1 ZINC001320291117 1074211733 /nfs/dbraw/zinc/21/17/33/1074211733.db2.gz BRGCKAODLISBSG-UHFFFAOYSA-N 0 0 445.505 -0.112 20 0 IBADRN O=C(c1nccn2c(Br)cnc12)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001320296943 1074211765 /nfs/dbraw/zinc/21/17/65/1074211765.db2.gz JJQPXRTZMLQUDF-UHFFFAOYSA-N 0 0 441.271 -0.243 20 0 IBADRN O=C(c1ccc(CN2C(=O)CCC2=O)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001320299560 1074211748 /nfs/dbraw/zinc/21/17/48/1074211748.db2.gz RHEPIXOHNPGQHY-UHFFFAOYSA-N 0 0 432.462 -0.400 20 0 IBADRN Cn1cncc1[C@@H]1CC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC001320308629 1074211891 /nfs/dbraw/zinc/21/18/91/1074211891.db2.gz OESFLTIBTSLNST-CYBMUJFWSA-N 0 0 436.494 -0.608 20 0 IBADRN Cn1cncc1[C@H]1CC(=O)N(CN2CCN(S(=O)(=O)c3ccc(C(N)=O)o3)CC2)C1 ZINC001320308630 1074211845 /nfs/dbraw/zinc/21/18/45/1074211845.db2.gz OESFLTIBTSLNST-ZDUSSCGKSA-N 0 0 436.494 -0.608 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2[nH]ncc2I)CC1 ZINC001320313616 1074211785 /nfs/dbraw/zinc/21/17/85/1074211785.db2.gz PTKBDTDWKMPHDZ-UHFFFAOYSA-N 0 0 433.250 -0.369 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001320319187 1074211620 /nfs/dbraw/zinc/21/16/20/1074211620.db2.gz AGCQVTILBXHKBU-DOTOQJQBSA-N 0 0 447.517 -0.146 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001320319189 1074211775 /nfs/dbraw/zinc/21/17/75/1074211775.db2.gz AGCQVTILBXHKBU-NVXWUHKLSA-N 0 0 447.517 -0.146 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001320319191 1074211704 /nfs/dbraw/zinc/21/17/04/1074211704.db2.gz AGCQVTILBXHKBU-RDJZCZTQSA-N 0 0 447.517 -0.146 20 0 IBADRN O=C(N[C@H]1CCCN(Cc2ccccn2)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001320319192 1074211713 /nfs/dbraw/zinc/21/17/13/1074211713.db2.gz AGCQVTILBXHKBU-WBVHZDCISA-N 0 0 447.517 -0.146 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)c1[nH]ncc1I ZINC001320323073 1074211877 /nfs/dbraw/zinc/21/18/77/1074211877.db2.gz ZTPOETZJXMVQNY-UHFFFAOYSA-N 0 0 425.208 -0.148 20 0 IBADRN COC(=O)NC1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001320324588 1074211859 /nfs/dbraw/zinc/21/18/59/1074211859.db2.gz XERBKCXVFAWIHA-AWEZNQCLSA-N 0 0 433.508 -0.665 20 0 IBADRN COC(=O)NC1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001320324589 1074211722 /nfs/dbraw/zinc/21/17/22/1074211722.db2.gz XERBKCXVFAWIHA-CQSZACIVSA-N 0 0 433.508 -0.665 20 0 IBADRN COC(=O)NC1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cc(OC)c2OC)C1 ZINC001320324627 1074211667 /nfs/dbraw/zinc/21/16/67/1074211667.db2.gz YTDPWZAWYLEBRJ-UHFFFAOYSA-N 0 0 443.478 -0.095 20 0 IBADRN COC(=O)NC1CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001320327039 1074211640 /nfs/dbraw/zinc/21/16/40/1074211640.db2.gz CGYXCADQYJJOLA-UHFFFAOYSA-N 0 0 438.506 -0.134 20 0 IBADRN O=C(c1cc(=O)[nH]c(C2CC2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001320341759 1074212377 /nfs/dbraw/zinc/21/23/77/1074212377.db2.gz LUYLJTWVGGAOEO-AWEZNQCLSA-N 0 0 429.520 -0.061 20 0 IBADRN O=C(c1cc(=O)[nH]c(C2CC2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001320341763 1074212236 /nfs/dbraw/zinc/21/22/36/1074212236.db2.gz LUYLJTWVGGAOEO-CQSZACIVSA-N 0 0 429.520 -0.061 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)nn1 ZINC001320342487 1074212387 /nfs/dbraw/zinc/21/23/87/1074212387.db2.gz JSXUPRRWNDYTBC-BFHYXJOUSA-N 0 0 439.494 -0.736 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)nn1 ZINC001320342488 1074212179 /nfs/dbraw/zinc/21/21/79/1074212179.db2.gz JSXUPRRWNDYTBC-MCIONIFRSA-N 0 0 439.494 -0.736 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)nn1 ZINC001320342489 1074212374 /nfs/dbraw/zinc/21/23/74/1074212374.db2.gz JSXUPRRWNDYTBC-MGPQQGTHSA-N 0 0 439.494 -0.736 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)nn1 ZINC001320342490 1074212085 /nfs/dbraw/zinc/21/20/85/1074212085.db2.gz JSXUPRRWNDYTBC-MJBXVCDLSA-N 0 0 439.494 -0.736 20 0 IBADRN COC(CNC(=O)c1c(C)c(C)nn(C)c1=O)CNC(=O)c1c(C)c(C)nn(C)c1=O ZINC001320353060 1074212097 /nfs/dbraw/zinc/21/20/97/1074212097.db2.gz PJGXUEMRTNQIIJ-UHFFFAOYSA-N 0 0 432.481 -0.718 20 0 IBADRN COCCc1noc(CN(C)C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)n1 ZINC001320355671 1074212190 /nfs/dbraw/zinc/21/21/90/1074212190.db2.gz XHHICZBFYKAAJO-UHFFFAOYSA-N 0 0 439.494 -0.103 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)Cc2ccc(CC(=O)N[C@H](CO)c3cnn(C)c3)cc2)cn1 ZINC001320358298 1074212132 /nfs/dbraw/zinc/21/21/32/1074212132.db2.gz PEUDPFUZQQZJIM-BGYRXZFFSA-N 0 0 440.504 -0.062 20 0 IBADRN Cn1cc([C@H](CO)NC(=O)Cc2ccc(CC(=O)N[C@@H](CO)c3cnn(C)c3)cc2)cn1 ZINC001320358299 1074212204 /nfs/dbraw/zinc/21/22/04/1074212204.db2.gz PEUDPFUZQQZJIM-PMACEKPBSA-N 0 0 440.504 -0.062 20 0 IBADRN Cn1cc([C@@H](CO)NC(=O)Cc2ccc(CC(=O)N[C@H](CO)c3cnn(C)c3)cc2)cn1 ZINC001320358300 1074212359 /nfs/dbraw/zinc/21/23/59/1074212359.db2.gz PEUDPFUZQQZJIM-WOJBJXKFSA-N 0 0 440.504 -0.062 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC001320367592 1074212718 /nfs/dbraw/zinc/21/27/18/1074212718.db2.gz MUEBZOBBCXBSJT-UHFFFAOYSA-N 0 0 426.495 -0.137 20 0 IBADRN O=C(CCCc1nc2ccccc2c(=O)[nH]1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320368348 1074212705 /nfs/dbraw/zinc/21/27/05/1074212705.db2.gz ZVRXNXOJLAHRQK-DLBZAZTESA-N 0 0 434.518 -0.040 20 0 IBADRN O=C(CCCc1nc2ccccc2c(=O)[nH]1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320368349 1074212762 /nfs/dbraw/zinc/21/27/62/1074212762.db2.gz ZVRXNXOJLAHRQK-IAGOWNOFSA-N 0 0 434.518 -0.040 20 0 IBADRN O=C(CCCc1nc2ccccc2c(=O)[nH]1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320368350 1074212777 /nfs/dbraw/zinc/21/27/77/1074212777.db2.gz ZVRXNXOJLAHRQK-IRXDYDNUSA-N 0 0 434.518 -0.040 20 0 IBADRN O=C(CCCc1nc2ccccc2c(=O)[nH]1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320368351 1074212766 /nfs/dbraw/zinc/21/27/66/1074212766.db2.gz ZVRXNXOJLAHRQK-SJORKVTESA-N 0 0 434.518 -0.040 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)N(CCO)CCN2CCOCC2)CC1 ZINC001320375585 1074212788 /nfs/dbraw/zinc/21/27/88/1074212788.db2.gz JNRSTYTWHXALBO-UHFFFAOYSA-N 0 0 434.541 -0.381 20 0 IBADRN O=C(CN1CCN(Cc2cn(Cc3cccnc3)nn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001320376595 1074212751 /nfs/dbraw/zinc/21/27/51/1074212751.db2.gz GRLNROFYRVMMKH-KRWDZBQOSA-N 0 0 433.538 -0.858 20 0 IBADRN O=C(CN1CCN(Cc2cn(Cc3cccnc3)nn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001320376596 1074212696 /nfs/dbraw/zinc/21/26/96/1074212696.db2.gz GRLNROFYRVMMKH-QGZVFWFLSA-N 0 0 433.538 -0.858 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)N3CCN(S(=O)(=O)c4nc[nH]n4)CC3)ccc21 ZINC001320378565 1074212756 /nfs/dbraw/zinc/21/27/56/1074212756.db2.gz JMONUJJBJDKKSH-UHFFFAOYSA-N 0 0 440.507 -0.727 20 0 IBADRN C[C@H](C(=O)NCc1ccnc(NC(=O)[C@H](C)N2CCN(C)CC2)c1)N1CCN(C)CC1 ZINC001320379378 1074212778 /nfs/dbraw/zinc/21/27/78/1074212778.db2.gz UHKFFCNMGNUFAP-MSOLQXFVSA-N 0 0 431.585 -0.092 20 0 IBADRN C[C@H](C(=O)NCc1ccnc(NC(=O)[C@@H](C)N2CCN(C)CC2)c1)N1CCN(C)CC1 ZINC001320379380 1074212772 /nfs/dbraw/zinc/21/27/72/1074212772.db2.gz UHKFFCNMGNUFAP-QZTJIDSGSA-N 0 0 431.585 -0.092 20 0 IBADRN C[C@@H](C(=O)NCc1ccnc(NC(=O)[C@H](C)N2CCN(C)CC2)c1)N1CCN(C)CC1 ZINC001320379382 1074212781 /nfs/dbraw/zinc/21/27/81/1074212781.db2.gz UHKFFCNMGNUFAP-ROUUACIJSA-N 0 0 431.585 -0.092 20 0 IBADRN C[C@H](C(=O)Nc1cc(CNC(=O)[C@H](C)N2CCN(C)CC2)ccn1)N1CCN(C)CC1 ZINC001320379384 1074212741 /nfs/dbraw/zinc/21/27/41/1074212741.db2.gz UHKFFCNMGNUFAP-ZWKOTPCHSA-N 0 0 431.585 -0.092 20 0 IBADRN O=C(c1cccc(N2CCCS2(=O)=O)c1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001320379571 1074212769 /nfs/dbraw/zinc/21/27/69/1074212769.db2.gz WWYWZYQODQNYEU-UHFFFAOYSA-N 0 0 440.507 -0.509 20 0 IBADRN Cc1sc2ncc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(=O)n2c1C ZINC001320382632 1074212724 /nfs/dbraw/zinc/21/27/24/1074212724.db2.gz XFCVNXAIXIRFLV-KBPBESRZSA-N 0 0 426.520 -0.711 20 0 IBADRN Cc1sc2ncc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c(=O)n2c1C ZINC001320382633 1074212729 /nfs/dbraw/zinc/21/27/29/1074212729.db2.gz XFCVNXAIXIRFLV-KGLIPLIRSA-N 0 0 426.520 -0.711 20 0 IBADRN Cc1sc2ncc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c(=O)n2c1C ZINC001320382634 1074212714 /nfs/dbraw/zinc/21/27/14/1074212714.db2.gz XFCVNXAIXIRFLV-UONOGXRCSA-N 0 0 426.520 -0.711 20 0 IBADRN Cc1sc2ncc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c(=O)n2c1C ZINC001320382635 1074212764 /nfs/dbraw/zinc/21/27/64/1074212764.db2.gz XFCVNXAIXIRFLV-ZIAGYGMSSA-N 0 0 426.520 -0.711 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)Cc1ccccc1 ZINC001320385513 1074212731 /nfs/dbraw/zinc/21/27/31/1074212731.db2.gz HQNUUKPKNHOWTM-KRWDZBQOSA-N 0 0 429.564 -0.221 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)Cc1ccccc1 ZINC001320385516 1074212672 /nfs/dbraw/zinc/21/26/72/1074212672.db2.gz HQNUUKPKNHOWTM-QGZVFWFLSA-N 0 0 429.564 -0.221 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)N[C@H]3CCS(=O)(=O)C3)C2)nn1 ZINC001320414798 1074213167 /nfs/dbraw/zinc/21/31/67/1074213167.db2.gz FDTATGQDPCMHHZ-DARAHFNDSA-N 0 0 439.538 -0.176 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)N[C@@H]3CCS(=O)(=O)C3)C2)nn1 ZINC001320414799 1074213083 /nfs/dbraw/zinc/21/30/83/1074213083.db2.gz FDTATGQDPCMHHZ-LQKXBSAESA-N 0 0 439.538 -0.176 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@@H]3CCCC[C@H]3C(=O)N[C@@H]3CCS(=O)(=O)C3)C2)nn1 ZINC001320414800 1074213098 /nfs/dbraw/zinc/21/30/98/1074213098.db2.gz FDTATGQDPCMHHZ-SJXGUFTOSA-N 0 0 439.538 -0.176 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)[C@@H]3CCCC[C@H]3C(=O)N[C@H]3CCS(=O)(=O)C3)C2)nn1 ZINC001320414801 1074213145 /nfs/dbraw/zinc/21/31/45/1074213145.db2.gz FDTATGQDPCMHHZ-WMHQRMGPSA-N 0 0 439.538 -0.176 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)Cn3cnc4c(c(Br)nn4C)c3=O)C2)nn1 ZINC001320415081 1074213181 /nfs/dbraw/zinc/21/31/81/1074213181.db2.gz LEVHFIVZIHFJCR-MRVPVSSYSA-N 0 0 437.258 -0.379 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)Cn3cnc4c(c(Br)nn4C)c3=O)C2)nn1 ZINC001320415082 1074213116 /nfs/dbraw/zinc/21/31/16/1074213116.db2.gz LEVHFIVZIHFJCR-QMMMGPOBSA-N 0 0 437.258 -0.379 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)cnc2c1Cl ZINC001320415982 1074213197 /nfs/dbraw/zinc/21/31/97/1074213197.db2.gz DASKEARORKCARL-JTQLQIEISA-N 0 0 435.915 -0.385 20 0 IBADRN Cc1nn2cc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)cnc2c1Cl ZINC001320415983 1074213133 /nfs/dbraw/zinc/21/31/33/1074213133.db2.gz DASKEARORKCARL-SNVBAGLBSA-N 0 0 435.915 -0.385 20 0 IBADRN CN1CCN(C(=O)CC2CCN(S(=O)(=O)N3CCC4(CC3)OCCO4)CC2)CC1 ZINC001320417180 1074213154 /nfs/dbraw/zinc/21/31/54/1074213154.db2.gz JJWHJHVVDDCFMS-UHFFFAOYSA-N 0 0 430.571 -0.054 20 0 IBADRN CC[C@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)C(=O)N1CCOCC1 ZINC001320417513 1074213107 /nfs/dbraw/zinc/21/31/07/1074213107.db2.gz OJMGKDPTVUXZCZ-HNNXBMFYSA-N 0 0 441.510 -0.139 20 0 IBADRN CC[C@@H](NC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)C(=O)N1CCOCC1 ZINC001320417668 1074213044 /nfs/dbraw/zinc/21/30/44/1074213044.db2.gz OJMGKDPTVUXZCZ-OAHLLOKOSA-N 0 0 441.510 -0.139 20 0 IBADRN O=S(=O)(C[C@H](O)CNS(=O)(=O)N1CCC2(CC1)OCCO2)c1cccs1 ZINC001320417907 1074213192 /nfs/dbraw/zinc/21/31/92/1074213192.db2.gz MOBYXWKATPIFAD-GFCCVEGCSA-N 0 0 426.538 -0.444 20 0 IBADRN O=S(=O)(C[C@@H](O)CNS(=O)(=O)N1CCC2(CC1)OCCO2)c1cccs1 ZINC001320417912 1074213187 /nfs/dbraw/zinc/21/31/87/1074213187.db2.gz MOBYXWKATPIFAD-LBPRGKRZSA-N 0 0 426.538 -0.444 20 0 IBADRN COc1ccc(CC(=O)NCC2(O)CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC001320418231 1074213127 /nfs/dbraw/zinc/21/31/27/1074213127.db2.gz TZXHKHDRFKRRMH-UHFFFAOYSA-N 0 0 428.507 -0.084 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2[nH]ncc2I)CC1 ZINC001320439175 1074213172 /nfs/dbraw/zinc/21/31/72/1074213172.db2.gz JSLYSOFHFNSNPA-UHFFFAOYSA-N 0 0 427.268 -0.679 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001320442162 1074213598 /nfs/dbraw/zinc/21/35/98/1074213598.db2.gz YKGSMUNYPJJQGK-AWEZNQCLSA-N 0 0 445.563 -0.603 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001320442163 1074213544 /nfs/dbraw/zinc/21/35/44/1074213544.db2.gz YKGSMUNYPJJQGK-CQSZACIVSA-N 0 0 445.563 -0.603 20 0 IBADRN COc1cc(CNC(=O)NCC2CN(S(C)(=O)=O)C2)ccc1OCC(=O)N(C)C ZINC001320445051 1074213608 /nfs/dbraw/zinc/21/36/08/1074213608.db2.gz FTBIOQVUKPURJS-UHFFFAOYSA-N 0 0 428.511 -0.147 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC001320446594 1074213570 /nfs/dbraw/zinc/21/35/70/1074213570.db2.gz DJCRZZXERIHQHN-AEFFLSMTSA-N 0 0 446.547 -0.214 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC001320446597 1074213622 /nfs/dbraw/zinc/21/36/22/1074213622.db2.gz DJCRZZXERIHQHN-FUHWJXTLSA-N 0 0 446.547 -0.214 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCO[C@]2(CCOC2)C1 ZINC001320446599 1074213588 /nfs/dbraw/zinc/21/35/88/1074213588.db2.gz DJCRZZXERIHQHN-SJLPKXTDSA-N 0 0 446.547 -0.214 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC001320446602 1074213639 /nfs/dbraw/zinc/21/36/39/1074213639.db2.gz DJCRZZXERIHQHN-WMZOPIPTSA-N 0 0 446.547 -0.214 20 0 IBADRN COC(=O)c1cn(C2CN(C(=O)C3CCN(S(=O)(=O)c4cccnc4)CC3)C2)nn1 ZINC001320455369 1074213490 /nfs/dbraw/zinc/21/34/90/1074213490.db2.gz JNMYMJKRFGPFGO-UHFFFAOYSA-N 0 0 434.478 -0.056 20 0 IBADRN CCN(C(=O)COC(=O)Cc1ccc(S(=O)(=O)NC)cc1)[C@H]1CCS(=O)(=O)C1 ZINC001320455810 1074213651 /nfs/dbraw/zinc/21/36/51/1074213651.db2.gz WJPLGRXPFRFWKV-AWEZNQCLSA-N 0 0 432.520 -0.284 20 0 IBADRN CCN(C(=O)COC(=O)Cc1ccc(S(=O)(=O)NC)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC001320455811 1074213627 /nfs/dbraw/zinc/21/36/27/1074213627.db2.gz WJPLGRXPFRFWKV-CQSZACIVSA-N 0 0 432.520 -0.284 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)cc1 ZINC001320456029 1074213554 /nfs/dbraw/zinc/21/35/54/1074213554.db2.gz YRCWDGMKBBFJNG-HNNXBMFYSA-N 0 0 433.552 -0.258 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)cc1 ZINC001320456030 1074213618 /nfs/dbraw/zinc/21/36/18/1074213618.db2.gz YRCWDGMKBBFJNG-OAHLLOKOSA-N 0 0 433.552 -0.258 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001320456954 1074213500 /nfs/dbraw/zinc/21/35/00/1074213500.db2.gz OBOHYTIBCVTSGM-UHFFFAOYSA-N 0 0 446.551 -0.257 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC001320462234 1074213951 /nfs/dbraw/zinc/21/39/51/1074213951.db2.gz ZFIUHSMAJUHMQL-UHFFFAOYSA-N 0 0 434.540 -0.698 20 0 IBADRN O=C(NCc1nnnn1CC1CC1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001320467022 1074213961 /nfs/dbraw/zinc/21/39/61/1074213961.db2.gz RULMSTOGWWJLMZ-UHFFFAOYSA-N 0 0 427.531 -0.145 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccn2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001320470005 1074214000 /nfs/dbraw/zinc/21/40/00/1074214000.db2.gz OLZQMOPPTFZFOG-KRWDZBQOSA-N 0 0 435.550 -0.829 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccccn2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001320470009 1074214070 /nfs/dbraw/zinc/21/40/70/1074214070.db2.gz OLZQMOPPTFZFOG-QGZVFWFLSA-N 0 0 435.550 -0.829 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCC3CN(S(C)(=O)=O)C3)cc2S1(=O)=O ZINC001320471953 1074214059 /nfs/dbraw/zinc/21/40/59/1074214059.db2.gz ITEMYIBYFFJNMW-UHFFFAOYSA-N 0 0 431.492 -0.901 20 0 IBADRN COc1cc(CN2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1OCC(N)=O ZINC001320476476 1074214022 /nfs/dbraw/zinc/21/40/22/1074214022.db2.gz YMDRJBNWGRJERE-HNNXBMFYSA-N 0 0 427.523 -0.127 20 0 IBADRN COc1cc(CN2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc(OC)c1OCC(N)=O ZINC001320476478 1074214074 /nfs/dbraw/zinc/21/40/74/1074214074.db2.gz YMDRJBNWGRJERE-OAHLLOKOSA-N 0 0 427.523 -0.127 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)Cn1nc(C(=O)N2CCNC(=O)[C@@H]2CCC)ccc1=O ZINC001320481787 1074213991 /nfs/dbraw/zinc/21/39/91/1074213991.db2.gz YIFJEOFVMWILDY-CVEARBPZSA-N 0 0 446.508 -0.889 20 0 IBADRN CCC[C@H]1C(=O)NCCN1C(=O)Cn1nc(C(=O)N2CCNC(=O)[C@@H]2CCC)ccc1=O ZINC001320481788 1074213940 /nfs/dbraw/zinc/21/39/40/1074213940.db2.gz YIFJEOFVMWILDY-HOTGVXAUSA-N 0 0 446.508 -0.889 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)Cn1nc(C(=O)N2CCNC(=O)[C@H]2CCC)ccc1=O ZINC001320481789 1074213930 /nfs/dbraw/zinc/21/39/30/1074213930.db2.gz YIFJEOFVMWILDY-HZPDHXFCSA-N 0 0 446.508 -0.889 20 0 IBADRN CCC[C@@H]1C(=O)NCCN1C(=O)c1ccc(=O)n(CC(=O)N2CCNC(=O)[C@@H]2CCC)n1 ZINC001320481790 1074214048 /nfs/dbraw/zinc/21/40/48/1074214048.db2.gz YIFJEOFVMWILDY-JKSUJKDBSA-N 0 0 446.508 -0.889 20 0 IBADRN COC(=O)[C@H](CO)NC(=O)CSCC(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O ZINC001320488040 1074214054 /nfs/dbraw/zinc/21/40/54/1074214054.db2.gz AAJKYIGDMQLAKC-AWEZNQCLSA-N 0 0 436.490 -0.194 20 0 IBADRN COC(=O)[C@@H](CO)NC(=O)CSCC(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O ZINC001320488041 1074213903 /nfs/dbraw/zinc/21/39/03/1074213903.db2.gz AAJKYIGDMQLAKC-CQSZACIVSA-N 0 0 436.490 -0.194 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccc(F)cc2)n1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320490611 1074214011 /nfs/dbraw/zinc/21/40/11/1074214011.db2.gz BDQAPDGLRCUVAM-DLBZAZTESA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccc(F)cc2)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320490613 1074214459 /nfs/dbraw/zinc/21/44/59/1074214459.db2.gz BDQAPDGLRCUVAM-IAGOWNOFSA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccc(F)cc2)n1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320490614 1074214432 /nfs/dbraw/zinc/21/44/32/1074214432.db2.gz BDQAPDGLRCUVAM-IRXDYDNUSA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccc(F)cc2)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320490615 1074214337 /nfs/dbraw/zinc/21/43/37/1074214337.db2.gz BDQAPDGLRCUVAM-SJORKVTESA-N 0 0 436.465 -0.713 20 0 IBADRN Cn1cnc(CNC(=O)CCc2nc3cc(S(=O)(=O)N4CCOCC4)ccc3n2C)n1 ZINC001320491523 1074214333 /nfs/dbraw/zinc/21/43/33/1074214333.db2.gz SSRVMMGDWQHOGI-UHFFFAOYSA-N 0 0 447.521 -0.028 20 0 IBADRN CS(=O)(=O)NC1CCN(c2cc(N3CCC(NS(C)(=O)=O)CC3)ncn2)CC1 ZINC001320492376 1074214402 /nfs/dbraw/zinc/21/44/02/1074214402.db2.gz SISCVCKUXBSGLT-UHFFFAOYSA-N 0 0 432.572 -0.487 20 0 IBADRN Cn1ncc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC001320492403 1074214389 /nfs/dbraw/zinc/21/43/89/1074214389.db2.gz VHQNMISPZCKBLQ-LLVKDONJSA-N 0 0 448.343 -0.756 20 0 IBADRN Cn1ncc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC001320492404 1074214448 /nfs/dbraw/zinc/21/44/48/1074214448.db2.gz VHQNMISPZCKBLQ-NSHDSACASA-N 0 0 448.343 -0.756 20 0 IBADRN Cn1nccc1CCC(=O)NCCC(=O)N1CCN(C(=O)CCc2ccnn2C)CC1 ZINC001320507136 1074214414 /nfs/dbraw/zinc/21/44/14/1074214414.db2.gz SNQYVTBNEITFRP-UHFFFAOYSA-N 0 0 429.525 -0.104 20 0 IBADRN NS(=O)(=O)c1cccc(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)c1 ZINC001320508970 1074214344 /nfs/dbraw/zinc/21/43/44/1074214344.db2.gz GEQHMVJUFSXIBF-UHFFFAOYSA-N 0 0 437.565 -0.783 20 0 IBADRN CC1(C)NC(=O)N(Cc2noc(CCC(=O)N3CCN(c4ncccn4)CC3)n2)C1=O ZINC001320510589 1074214424 /nfs/dbraw/zinc/21/44/24/1074214424.db2.gz WRFFMMPHVCOLLA-UHFFFAOYSA-N 0 0 428.453 -0.029 20 0 IBADRN O=C(CN1C(=O)c2ccccc2S1(=O)=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001320512064 1074214378 /nfs/dbraw/zinc/21/43/78/1074214378.db2.gz CMWKDWLMMOINDV-UHFFFAOYSA-N 0 0 441.531 -0.448 20 0 IBADRN COC(=O)c1cn([C@H]2CCCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)C2)nn1 ZINC001320515013 1074214435 /nfs/dbraw/zinc/21/44/35/1074214435.db2.gz YJYUZFUQSFQZFY-HNNXBMFYSA-N 0 0 442.542 -0.080 20 0 IBADRN COC(=O)c1cn([C@@H]2CCCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)C2)nn1 ZINC001320515015 1074214366 /nfs/dbraw/zinc/21/43/66/1074214366.db2.gz YJYUZFUQSFQZFY-OAHLLOKOSA-N 0 0 442.542 -0.080 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC001320522151 1074214395 /nfs/dbraw/zinc/21/43/95/1074214395.db2.gz GWNFAAMTKVDQKP-UHFFFAOYSA-N 0 0 428.511 -0.046 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NN2CC(=O)N(C)C2=O)CC1 ZINC001320527114 1074214319 /nfs/dbraw/zinc/21/43/19/1074214319.db2.gz UYILBBSIIBSQOW-UHFFFAOYSA-N 0 0 438.462 -0.201 20 0 IBADRN COCc1noc(CN2CCN(C(=O)Cc3ccc(S(=O)(=O)CCO)cc3)CC2)n1 ZINC001320528335 1074214310 /nfs/dbraw/zinc/21/43/10/1074214310.db2.gz AQZNFKCZMZAQMJ-UHFFFAOYSA-N 0 0 438.506 -0.131 20 0 IBADRN COCc1noc(CN2CCN(C(=O)c3cc(S(=O)(=O)N(C)C)cn3C)CC2)n1 ZINC001320531762 1074214442 /nfs/dbraw/zinc/21/44/42/1074214442.db2.gz XVMKVSJIPINQFX-UHFFFAOYSA-N 0 0 426.499 -0.237 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)C1 ZINC001320541071 1074214354 /nfs/dbraw/zinc/21/43/54/1074214354.db2.gz XHXQAFNTHAFGNU-AWEZNQCLSA-N 0 0 427.527 -0.200 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)C1 ZINC001320541072 1074214453 /nfs/dbraw/zinc/21/44/53/1074214453.db2.gz XHXQAFNTHAFGNU-CQSZACIVSA-N 0 0 427.527 -0.200 20 0 IBADRN CC(C)N1C(=O)C[C@@H](NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1=O ZINC001320543679 1074214791 /nfs/dbraw/zinc/21/47/91/1074214791.db2.gz KOHWKXWVZRRGFK-CYBMUJFWSA-N 0 0 425.467 -0.240 20 0 IBADRN CC(C)N1C(=O)C[C@H](NC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C1=O ZINC001320543681 1074214940 /nfs/dbraw/zinc/21/49/40/1074214940.db2.gz KOHWKXWVZRRGFK-ZDUSSCGKSA-N 0 0 425.467 -0.240 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCCN2C(=O)COc3ccccc32)CC1 ZINC001320544126 1074214737 /nfs/dbraw/zinc/21/47/37/1074214737.db2.gz OACXIRKYHCMAAL-UHFFFAOYSA-N 0 0 425.511 -0.712 20 0 IBADRN O=S(=O)(CCCN1CCOCC1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001320554707 1074214895 /nfs/dbraw/zinc/21/48/95/1074214895.db2.gz CWLFXJJGIPZRQA-UHFFFAOYSA-N 0 0 439.600 -0.399 20 0 IBADRN COc1ccccc1S(=O)(=O)CCCN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320558378 1074214973 /nfs/dbraw/zinc/21/49/73/1074214973.db2.gz YABATUKSYZHIMZ-CVEARBPZSA-N 0 0 432.564 -0.366 20 0 IBADRN COc1ccccc1S(=O)(=O)CCCN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320558379 1074214948 /nfs/dbraw/zinc/21/49/48/1074214948.db2.gz YABATUKSYZHIMZ-HOTGVXAUSA-N 0 0 432.564 -0.366 20 0 IBADRN COc1ccccc1S(=O)(=O)CCCN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320558380 1074214866 /nfs/dbraw/zinc/21/48/66/1074214866.db2.gz YABATUKSYZHIMZ-HZPDHXFCSA-N 0 0 432.564 -0.366 20 0 IBADRN COc1ccccc1S(=O)(=O)CCCN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320558381 1074214801 /nfs/dbraw/zinc/21/48/01/1074214801.db2.gz YABATUKSYZHIMZ-JKSUJKDBSA-N 0 0 432.564 -0.366 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCCN(CCS(=O)(=O)CCC(N)=O)C2)C(=O)C1 ZINC001320565664 1074214751 /nfs/dbraw/zinc/21/47/51/1074214751.db2.gz LIEVWGXIVOJFNU-HNNXBMFYSA-N 0 0 446.570 -0.180 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCCN(CCS(=O)(=O)CCC(N)=O)C2)C(=O)C1 ZINC001320565665 1074214818 /nfs/dbraw/zinc/21/48/18/1074214818.db2.gz LIEVWGXIVOJFNU-OAHLLOKOSA-N 0 0 446.570 -0.180 20 0 IBADRN COC(CN(CCCO)C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)OC ZINC001320567806 1074214915 /nfs/dbraw/zinc/21/49/15/1074214915.db2.gz KFNBNKZJQVETGT-UHFFFAOYSA-N 0 0 432.543 -0.041 20 0 IBADRN COC(=O)CC1(NC(=O)CCN2C(=O)NC3(CCCC3)C2=O)CCS(=O)(=O)CC1 ZINC001320571153 1074214717 /nfs/dbraw/zinc/21/47/17/1074214717.db2.gz AJRKSUMOLPCYKO-UHFFFAOYSA-N 0 0 429.495 -0.132 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC001320577094 1074214841 /nfs/dbraw/zinc/21/48/41/1074214841.db2.gz GMKDLKFKLLVRLI-BZUAXINKSA-N 0 0 427.523 -0.811 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC001320577106 1074214933 /nfs/dbraw/zinc/21/49/33/1074214933.db2.gz GMKDLKFKLLVRLI-OAGGEKHMSA-N 0 0 427.523 -0.811 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC001320577108 1074214964 /nfs/dbraw/zinc/21/49/64/1074214964.db2.gz GMKDLKFKLLVRLI-OWCLPIDISA-N 0 0 427.523 -0.811 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC001320577109 1074214729 /nfs/dbraw/zinc/21/47/29/1074214729.db2.gz GMKDLKFKLLVRLI-PMPSAXMXSA-N 0 0 427.523 -0.811 20 0 IBADRN O=C1N(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCC12CCOCC2 ZINC001320579143 1074215377 /nfs/dbraw/zinc/21/53/77/1074215377.db2.gz WIRZGNGDEMEIMC-HNNXBMFYSA-N 0 0 435.568 -0.893 20 0 IBADRN O=C1N(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCC12CCOCC2 ZINC001320579144 1074215359 /nfs/dbraw/zinc/21/53/59/1074215359.db2.gz WIRZGNGDEMEIMC-OAHLLOKOSA-N 0 0 435.568 -0.893 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)n1 ZINC001320579240 1074215385 /nfs/dbraw/zinc/21/53/85/1074215385.db2.gz KGZQTNVPJPLKQP-CYBMUJFWSA-N 0 0 430.531 -0.114 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)n1 ZINC001320579243 1074215389 /nfs/dbraw/zinc/21/53/89/1074215389.db2.gz KGZQTNVPJPLKQP-ZDUSSCGKSA-N 0 0 430.531 -0.114 20 0 IBADRN O=C(NCC(F)(F)F)[C@@H]1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001320579481 1074215320 /nfs/dbraw/zinc/21/53/20/1074215320.db2.gz RSUKAUKVQXNQLM-GHMZBOCLSA-N 0 0 438.428 -0.321 20 0 IBADRN O=C(NCC(F)(F)F)[C@@H]1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001320579482 1074215333 /nfs/dbraw/zinc/21/53/33/1074215333.db2.gz RSUKAUKVQXNQLM-MNOVXSKESA-N 0 0 438.428 -0.321 20 0 IBADRN O=C(NCC(F)(F)F)[C@H]1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001320579483 1074215325 /nfs/dbraw/zinc/21/53/25/1074215325.db2.gz RSUKAUKVQXNQLM-QWRGUYRKSA-N 0 0 438.428 -0.321 20 0 IBADRN O=C(NCC(F)(F)F)[C@H]1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001320579484 1074215304 /nfs/dbraw/zinc/21/53/04/1074215304.db2.gz RSUKAUKVQXNQLM-WDEREUQCSA-N 0 0 438.428 -0.321 20 0 IBADRN O=C(c1cnn2cc(Br)cnc12)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001320581096 1074215419 /nfs/dbraw/zinc/21/54/19/1074215419.db2.gz DRHYNKDOYUITSH-UHFFFAOYSA-N 0 0 441.271 -0.243 20 0 IBADRN O=C(c1ccc(N2CCCS2(=O)=O)cc1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001320582096 1074215339 /nfs/dbraw/zinc/21/53/39/1074215339.db2.gz UKURGCNNFVZPSC-UHFFFAOYSA-N 0 0 440.507 -0.509 20 0 IBADRN O=C(Cn1nc(C(=O)OCC(=O)N2CCCCC2)ccc1=O)OCC(=O)N1CCCCC1 ZINC001320582658 1074215288 /nfs/dbraw/zinc/21/52/88/1074215288.db2.gz HFBOYFNGVPKFST-UHFFFAOYSA-N 0 0 448.476 -0.032 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](COC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001320606573 1074215352 /nfs/dbraw/zinc/21/53/52/1074215352.db2.gz FTNTWDSPFHIHCM-CHWSQXEVSA-N 0 0 435.524 -0.633 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](COC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001320606574 1074215346 /nfs/dbraw/zinc/21/53/46/1074215346.db2.gz FTNTWDSPFHIHCM-OLZOCXBDSA-N 0 0 435.524 -0.633 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](COC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001320606575 1074215296 /nfs/dbraw/zinc/21/52/96/1074215296.db2.gz FTNTWDSPFHIHCM-QWHCGFSZSA-N 0 0 435.524 -0.633 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](COC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1 ZINC001320606576 1074215421 /nfs/dbraw/zinc/21/54/21/1074215421.db2.gz FTNTWDSPFHIHCM-STQMWFEESA-N 0 0 435.524 -0.633 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cnn(Cc3cccnc3)c1)C2 ZINC001320607228 1074215715 /nfs/dbraw/zinc/21/57/15/1074215715.db2.gz IDWNXJPDFIANLC-UHFFFAOYSA-N 0 0 425.453 -0.180 20 0 IBADRN COc1cc(F)cc(F)c1S(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001320608752 1074215772 /nfs/dbraw/zinc/21/57/72/1074215772.db2.gz RAKDWOPHBNFCHQ-UHFFFAOYSA-N 0 0 431.421 -0.376 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(C(=O)N(C)C)cc1)C2 ZINC001320609161 1074215784 /nfs/dbraw/zinc/21/57/84/1074215784.db2.gz UTTHPUUTIIXAOP-UHFFFAOYSA-N 0 0 429.481 -0.440 20 0 IBADRN CCNC(=O)COc1ccc(CNC(=O)NCC2CN(S(C)(=O)=O)C2)cc1OC ZINC001320612841 1074215842 /nfs/dbraw/zinc/21/58/42/1074215842.db2.gz UWHCJHBBXDHULA-UHFFFAOYSA-N 0 0 428.511 -0.099 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)C1 ZINC001320617816 1074215759 /nfs/dbraw/zinc/21/57/59/1074215759.db2.gz AQWUNKFPVOJAIY-AWEZNQCLSA-N 0 0 430.552 -0.282 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)C1 ZINC001320617817 1074215726 /nfs/dbraw/zinc/21/57/26/1074215726.db2.gz AQWUNKFPVOJAIY-CQSZACIVSA-N 0 0 430.552 -0.282 20 0 IBADRN O=C(NCc1cccc(C(=O)N2CCOCC2)c1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001320619296 1074215658 /nfs/dbraw/zinc/21/56/58/1074215658.db2.gz YCSRXBGXUXTMDS-MSOLQXFVSA-N 0 0 449.529 -0.189 20 0 IBADRN O=C(NCc1cccc(C(=O)N2CCOCC2)c1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001320619297 1074215764 /nfs/dbraw/zinc/21/57/64/1074215764.db2.gz YCSRXBGXUXTMDS-QZTJIDSGSA-N 0 0 449.529 -0.189 20 0 IBADRN O=C(NCc1cccc(C(=O)N2CCOCC2)c1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001320619298 1074215675 /nfs/dbraw/zinc/21/56/75/1074215675.db2.gz YCSRXBGXUXTMDS-ROUUACIJSA-N 0 0 449.529 -0.189 20 0 IBADRN O=C(NCc1cccc(C(=O)N2CCOCC2)c1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001320619299 1074215753 /nfs/dbraw/zinc/21/57/53/1074215753.db2.gz YCSRXBGXUXTMDS-ZWKOTPCHSA-N 0 0 449.529 -0.189 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1[nH]ncc1I ZINC001320620092 1074215825 /nfs/dbraw/zinc/21/58/25/1074215825.db2.gz MFMFFYNJDRULNX-JTQLQIEISA-N 0 0 433.250 -0.323 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1[nH]ncc1I ZINC001320620093 1074215811 /nfs/dbraw/zinc/21/58/11/1074215811.db2.gz MFMFFYNJDRULNX-SNVBAGLBSA-N 0 0 433.250 -0.323 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1 ZINC001320620312 1074215790 /nfs/dbraw/zinc/21/57/90/1074215790.db2.gz XVSKVVKTSAPICB-DZGCQCFKSA-N 0 0 427.469 -0.384 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC001320620314 1074215683 /nfs/dbraw/zinc/21/56/83/1074215683.db2.gz XVSKVVKTSAPICB-HIFRSBDPSA-N 0 0 427.469 -0.384 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@H]1CC[C@H](C(=O)N(C)C)O1 ZINC001320620315 1074215836 /nfs/dbraw/zinc/21/58/36/1074215836.db2.gz XVSKVVKTSAPICB-UKRRQHHQSA-N 0 0 427.469 -0.384 20 0 IBADRN Cc1nn(-c2cncc3nnnn32)c(C)c1CC(=O)NC[C@@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC001320620316 1074215735 /nfs/dbraw/zinc/21/57/35/1074215735.db2.gz XVSKVVKTSAPICB-ZFWWWQNUSA-N 0 0 427.469 -0.384 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(S(C)(=O)=O)s1)C2 ZINC001320620857 1074216234 /nfs/dbraw/zinc/21/62/34/1074216234.db2.gz FRDLSPYGGQXDQV-UHFFFAOYSA-N 0 0 442.523 -0.677 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(N3C(=O)CCC3=O)c1)C2 ZINC001320624862 1074216130 /nfs/dbraw/zinc/21/61/30/1074216130.db2.gz HLEAZHVPFXOUFG-UHFFFAOYSA-N 0 0 426.433 -0.558 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001320624877 1074216250 /nfs/dbraw/zinc/21/62/50/1074216250.db2.gz HVCLFIHLPRJGPI-UHFFFAOYSA-N 0 0 427.469 -0.837 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCCN1Cc1cccnc1)C2 ZINC001320624891 1074216276 /nfs/dbraw/zinc/21/62/76/1074216276.db2.gz IFEQAHVNXROTHW-KRWDZBQOSA-N 0 0 427.509 -0.075 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCCN1Cc1cccnc1)C2 ZINC001320624892 1074216214 /nfs/dbraw/zinc/21/62/14/1074216214.db2.gz IFEQAHVNXROTHW-QGZVFWFLSA-N 0 0 427.509 -0.075 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCc1nc3ccccc3oc1=O)C2 ZINC001320624912 1074216231 /nfs/dbraw/zinc/21/62/31/1074216231.db2.gz IXWZFELCOHZVND-UHFFFAOYSA-N 0 0 426.433 -0.391 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)nc1 ZINC001320625101 1074216263 /nfs/dbraw/zinc/21/62/63/1074216263.db2.gz PMUHTWTVGKYJGV-UHFFFAOYSA-N 0 0 437.526 -0.421 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC001320625912 1074216268 /nfs/dbraw/zinc/21/62/68/1074216268.db2.gz QLBLYLJCMMOHBH-UHFFFAOYSA-N 0 0 436.494 -0.449 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc3c(c1)CCS3(=O)=O)C2 ZINC001320628664 1074216187 /nfs/dbraw/zinc/21/61/87/1074216187.db2.gz AHKTUGVYUKZQPX-UHFFFAOYSA-N 0 0 448.505 -0.812 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cc(OCC(F)F)ccn1)C2 ZINC001320630548 1074216167 /nfs/dbraw/zinc/21/61/67/1074216167.db2.gz RZOXCPYMKPVXDR-UHFFFAOYSA-N 0 0 439.423 -0.103 20 0 IBADRN O=C(c1ccc(N2CCN(C(=O)N3CCOCC3)CC2)nc1)N1CCS(=O)(=O)CC1 ZINC001320631975 1074216156 /nfs/dbraw/zinc/21/61/56/1074216156.db2.gz OLWXIAVEFDEMQJ-UHFFFAOYSA-N 0 0 437.522 -0.474 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNc2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC001320632480 1074216272 /nfs/dbraw/zinc/21/62/72/1074216272.db2.gz ZRDWXJTXDBZHQI-UHFFFAOYSA-N 0 0 437.522 -0.555 20 0 IBADRN CCn1c(CCC(=O)N2CC[C@@](O)(C(N)=O)C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001320632595 1074216207 /nfs/dbraw/zinc/21/62/07/1074216207.db2.gz FEMJQFBNZQNMIV-IBGZPJMESA-N 0 0 437.522 -0.312 20 0 IBADRN CCn1c(CCC(=O)N2CC[C@](O)(C(N)=O)C2)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001320632596 1074216200 /nfs/dbraw/zinc/21/62/00/1074216200.db2.gz FEMJQFBNZQNMIV-LJQANCHMSA-N 0 0 437.522 -0.312 20 0 IBADRN COc1ccc(C(=O)NCCC2CS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001320634218 1074216290 /nfs/dbraw/zinc/21/62/90/1074216290.db2.gz SUNMCWPIDPRPFY-UHFFFAOYSA-N 0 0 432.520 -0.119 20 0 IBADRN CCS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001320635869 1074216144 /nfs/dbraw/zinc/21/61/44/1074216144.db2.gz GJJFGBQDFPWKLI-MSOLQXFVSA-N 0 0 444.575 -0.285 20 0 IBADRN CCS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001320635873 1074216244 /nfs/dbraw/zinc/21/62/44/1074216244.db2.gz GJJFGBQDFPWKLI-QZTJIDSGSA-N 0 0 444.575 -0.285 20 0 IBADRN CCS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001320635876 1074216176 /nfs/dbraw/zinc/21/61/76/1074216176.db2.gz GJJFGBQDFPWKLI-ROUUACIJSA-N 0 0 444.575 -0.285 20 0 IBADRN CCS(=O)(=O)c1ccc(CCC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001320635880 1074216183 /nfs/dbraw/zinc/21/61/83/1074216183.db2.gz GJJFGBQDFPWKLI-ZWKOTPCHSA-N 0 0 444.575 -0.285 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)nn1-c1nc(C)cc(C)n1 ZINC001320636343 1074216758 /nfs/dbraw/zinc/21/67/58/1074216758.db2.gz JSRXSKNEYGEKEK-DLBZAZTESA-N 0 0 434.522 -0.497 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)nn1-c1nc(C)cc(C)n1 ZINC001320636344 1074216811 /nfs/dbraw/zinc/21/68/11/1074216811.db2.gz JSRXSKNEYGEKEK-IAGOWNOFSA-N 0 0 434.522 -0.497 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)nn1-c1nc(C)cc(C)n1 ZINC001320636345 1074216715 /nfs/dbraw/zinc/21/67/15/1074216715.db2.gz JSRXSKNEYGEKEK-IRXDYDNUSA-N 0 0 434.522 -0.497 20 0 IBADRN Cc1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)nn1-c1nc(C)cc(C)n1 ZINC001320636346 1074216730 /nfs/dbraw/zinc/21/67/30/1074216730.db2.gz JSRXSKNEYGEKEK-SJORKVTESA-N 0 0 434.522 -0.497 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)cc2n(C)c1=O ZINC001320637396 1074216722 /nfs/dbraw/zinc/21/67/22/1074216722.db2.gz MRBMQDAOHBSHDQ-PXAZEXFGSA-N 0 0 429.520 -0.108 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)cc2n(C)c1=O ZINC001320637399 1074216791 /nfs/dbraw/zinc/21/67/91/1074216791.db2.gz MRBMQDAOHBSHDQ-SJCJKPOMSA-N 0 0 429.520 -0.108 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)cc2n(C)c1=O ZINC001320637402 1074216684 /nfs/dbraw/zinc/21/66/84/1074216684.db2.gz MRBMQDAOHBSHDQ-SJKOYZFVSA-N 0 0 429.520 -0.108 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)cc2n(C)c1=O ZINC001320637404 1074216820 /nfs/dbraw/zinc/21/68/20/1074216820.db2.gz MRBMQDAOHBSHDQ-YVEFUNNKSA-N 0 0 429.520 -0.108 20 0 IBADRN COCC[C@@H](NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C)C(N)=O ZINC001320639391 1074216665 /nfs/dbraw/zinc/21/66/65/1074216665.db2.gz YOVDKKRUYOPRPB-CYBMUJFWSA-N 0 0 425.511 -0.237 20 0 IBADRN COCC[C@H](NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C)C(N)=O ZINC001320639392 1074216709 /nfs/dbraw/zinc/21/67/09/1074216709.db2.gz YOVDKKRUYOPRPB-ZDUSSCGKSA-N 0 0 425.511 -0.237 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001320640190 1074216780 /nfs/dbraw/zinc/21/67/80/1074216780.db2.gz MWMFXNPBALGFHO-CRAIPNDOSA-N 0 0 430.527 -0.498 20 0 IBADRN O=C(N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001320640191 1074216803 /nfs/dbraw/zinc/21/68/03/1074216803.db2.gz MWMFXNPBALGFHO-MAUKXSAKSA-N 0 0 430.527 -0.498 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001320640192 1074216645 /nfs/dbraw/zinc/21/66/45/1074216645.db2.gz MWMFXNPBALGFHO-QAPCUYQASA-N 0 0 430.527 -0.498 20 0 IBADRN O=C(N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001320640195 1074216763 /nfs/dbraw/zinc/21/67/63/1074216763.db2.gz MWMFXNPBALGFHO-YJBOKZPZSA-N 0 0 430.527 -0.498 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCc1nc3ccccc3c(=O)[nH]1)C2 ZINC001320641130 1074216691 /nfs/dbraw/zinc/21/66/91/1074216691.db2.gz GTTZWPGMNXCHSF-UHFFFAOYSA-N 0 0 425.449 -0.243 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)CCc1nc(-c3ccccn3)no1)C2 ZINC001320641155 1074216702 /nfs/dbraw/zinc/21/67/02/1074216702.db2.gz HCYQGDYTAATUAJ-UHFFFAOYSA-N 0 0 426.437 -0.447 20 0 IBADRN C[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001320654492 1074216577 /nfs/dbraw/zinc/21/65/77/1074216577.db2.gz JRGHYBBJGWMRSC-IAOVAPTHSA-N 0 0 430.548 -0.504 20 0 IBADRN C[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001320654493 1074216796 /nfs/dbraw/zinc/21/67/96/1074216796.db2.gz JRGHYBBJGWMRSC-JQFCIGGWSA-N 0 0 430.548 -0.504 20 0 IBADRN C[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001320654494 1074217194 /nfs/dbraw/zinc/21/71/94/1074217194.db2.gz JRGHYBBJGWMRSC-RRQGHBQHSA-N 0 0 430.548 -0.504 20 0 IBADRN C[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001320654495 1074217197 /nfs/dbraw/zinc/21/71/97/1074217197.db2.gz JRGHYBBJGWMRSC-XKQJLSEDSA-N 0 0 430.548 -0.504 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001320654499 1074217145 /nfs/dbraw/zinc/21/71/45/1074217145.db2.gz JUZMPOQYGJDLOV-CVEARBPZSA-N 0 0 431.536 -0.636 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1 ZINC001320654500 1074217180 /nfs/dbraw/zinc/21/71/80/1074217180.db2.gz JUZMPOQYGJDLOV-HOTGVXAUSA-N 0 0 431.536 -0.636 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001320654501 1074217100 /nfs/dbraw/zinc/21/71/00/1074217100.db2.gz JUZMPOQYGJDLOV-HZPDHXFCSA-N 0 0 431.536 -0.636 20 0 IBADRN CCS(=O)(=O)Nc1cccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1 ZINC001320654502 1074217139 /nfs/dbraw/zinc/21/71/39/1074217139.db2.gz JUZMPOQYGJDLOV-JKSUJKDBSA-N 0 0 431.536 -0.636 20 0 IBADRN Cc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1NS(C)(=O)=O ZINC001320654753 1074217165 /nfs/dbraw/zinc/21/71/65/1074217165.db2.gz SSXJEXCONSNPDT-CVEARBPZSA-N 0 0 431.536 -0.718 20 0 IBADRN Cc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1NS(C)(=O)=O ZINC001320654754 1074217154 /nfs/dbraw/zinc/21/71/54/1074217154.db2.gz SSXJEXCONSNPDT-HOTGVXAUSA-N 0 0 431.536 -0.718 20 0 IBADRN Cc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1NS(C)(=O)=O ZINC001320654755 1074217188 /nfs/dbraw/zinc/21/71/88/1074217188.db2.gz SSXJEXCONSNPDT-HZPDHXFCSA-N 0 0 431.536 -0.718 20 0 IBADRN Cc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1NS(C)(=O)=O ZINC001320654756 1074217192 /nfs/dbraw/zinc/21/71/92/1074217192.db2.gz SSXJEXCONSNPDT-JKSUJKDBSA-N 0 0 431.536 -0.718 20 0 IBADRN O=C(c1cc(N2CCNC2=O)ccc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320654763 1074217135 /nfs/dbraw/zinc/21/71/35/1074217135.db2.gz TVIHXPVSORKUQQ-CVEARBPZSA-N 0 0 426.470 -0.729 20 0 IBADRN O=C(c1cc(N2CCNC2=O)ccc1F)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320654764 1074217168 /nfs/dbraw/zinc/21/71/68/1074217168.db2.gz TVIHXPVSORKUQQ-HOTGVXAUSA-N 0 0 426.470 -0.729 20 0 IBADRN O=C(c1cc(N2CCNC2=O)ccc1F)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320654765 1074217131 /nfs/dbraw/zinc/21/71/31/1074217131.db2.gz TVIHXPVSORKUQQ-HZPDHXFCSA-N 0 0 426.470 -0.729 20 0 IBADRN O=C(c1cc(N2CCNC2=O)ccc1F)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320654766 1074217159 /nfs/dbraw/zinc/21/71/59/1074217159.db2.gz TVIHXPVSORKUQQ-JKSUJKDBSA-N 0 0 426.470 -0.729 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C[C@](O)(c1nccn1C)C(F)(F)F)C2 ZINC001320654841 1074217125 /nfs/dbraw/zinc/21/71/25/1074217125.db2.gz VPBPCTIZGWREEL-INIZCTEOSA-N 0 0 445.402 -0.951 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C[C@@](O)(c1nccn1C)C(F)(F)F)C2 ZINC001320654855 1074217105 /nfs/dbraw/zinc/21/71/05/1074217105.db2.gz VPBPCTIZGWREEL-MRXNPFEDSA-N 0 0 445.402 -0.951 20 0 IBADRN Cc1ncc(Br)c(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC001320662024 1074217172 /nfs/dbraw/zinc/21/71/72/1074217172.db2.gz NTUNXNHLIPVQRT-UHFFFAOYSA-N 0 0 447.359 -0.202 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC[C@@](O)(C(N)=O)C3)CC2)cc1OC ZINC001320662815 1074217200 /nfs/dbraw/zinc/21/72/00/1074217200.db2.gz VHNOSORYYVOJKX-IBGZPJMESA-N 0 0 441.506 -0.447 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC[C@](O)(C(N)=O)C3)CC2)cc1OC ZINC001320662816 1074217184 /nfs/dbraw/zinc/21/71/84/1074217184.db2.gz VHNOSORYYVOJKX-LJQANCHMSA-N 0 0 441.506 -0.447 20 0 IBADRN CC(=O)N1CC(C(=O)N2CCN(S(=O)(=O)c3cc(S(C)(=O)=O)ccc3C)CC2)C1 ZINC001320671716 1074217113 /nfs/dbraw/zinc/21/71/13/1074217113.db2.gz XWGWVVZSVCWGQF-UHFFFAOYSA-N 0 0 443.547 -0.290 20 0 IBADRN COCC[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(N)=O ZINC001320676693 1074217608 /nfs/dbraw/zinc/21/76/08/1074217608.db2.gz IQQSQSYRMKAWKM-HNNXBMFYSA-N 0 0 428.511 -0.606 20 0 IBADRN COCC[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1)C(N)=O ZINC001320676695 1074217547 /nfs/dbraw/zinc/21/75/47/1074217547.db2.gz IQQSQSYRMKAWKM-OAHLLOKOSA-N 0 0 428.511 -0.606 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001320677024 1074217563 /nfs/dbraw/zinc/21/75/63/1074217563.db2.gz ZYZLXNNSUXGDAP-GFCCVEGCSA-N 0 0 427.508 -0.155 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001320677025 1074217512 /nfs/dbraw/zinc/21/75/12/1074217512.db2.gz ZYZLXNNSUXGDAP-LBPRGKRZSA-N 0 0 427.508 -0.155 20 0 IBADRN COC(=O)CN(C)CCNS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001320688426 1074217659 /nfs/dbraw/zinc/21/76/59/1074217659.db2.gz LGPXQEGLGCIXHB-UHFFFAOYSA-N 0 0 449.551 -0.601 20 0 IBADRN COC(=O)CC1(NC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)CCS(=O)(=O)CC1 ZINC001320702777 1074217617 /nfs/dbraw/zinc/21/76/17/1074217617.db2.gz YRCZSRYTOVFTQV-UHFFFAOYSA-N 0 0 431.492 -0.889 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)Cn3c(=O)c4c(ncn4C)n(C)c3=O)CC2)no1 ZINC001320705349 1074217572 /nfs/dbraw/zinc/21/75/72/1074217572.db2.gz PCQLPTMTRAQKLA-UHFFFAOYSA-N 0 0 429.437 -0.849 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)[C@H]1CC[C@H](C(=O)N2C[C@H](O)C[C@H]2C(=O)OC)CC1 ZINC001320707163 1074217556 /nfs/dbraw/zinc/21/75/56/1074217556.db2.gz KRBCVALMVDMLKH-JQOWZUPLSA-N 0 0 426.466 -0.938 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001320710247 1074217623 /nfs/dbraw/zinc/21/76/23/1074217623.db2.gz BLIRTFHTBFFINM-CYBMUJFWSA-N 0 0 439.943 -0.462 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001320710248 1074217505 /nfs/dbraw/zinc/21/75/05/1074217505.db2.gz BLIRTFHTBFFINM-ZDUSSCGKSA-N 0 0 439.943 -0.462 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001320710851 1074217585 /nfs/dbraw/zinc/21/75/85/1074217585.db2.gz IKZOXXJTLGYSIF-HNNXBMFYSA-N 0 0 435.506 -0.300 20 0 IBADRN O=C(NCc1cccc(N2CCOCC2)n1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001320710852 1074217525 /nfs/dbraw/zinc/21/75/25/1074217525.db2.gz IKZOXXJTLGYSIF-OAHLLOKOSA-N 0 0 435.506 -0.300 20 0 IBADRN Cn1c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc2c1nc1ccccn1c2=O ZINC001320710865 1074217591 /nfs/dbraw/zinc/21/75/91/1074217591.db2.gz IUIVFRRPWAXMHX-UHFFFAOYSA-N 0 0 442.461 -0.549 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CNC1(CC(=O)OC)CCS(=O)(=O)CC1)c1ccccc1 ZINC001320713759 1074217676 /nfs/dbraw/zinc/21/76/76/1074217676.db2.gz ZBQQKWDLVGAKJT-KRWDZBQOSA-N 0 0 425.507 -0.310 20 0 IBADRN CNC(=O)[C@H](NC(=O)CNC1(CC(=O)OC)CCS(=O)(=O)CC1)c1ccccc1 ZINC001320713761 1074217632 /nfs/dbraw/zinc/21/76/32/1074217632.db2.gz ZBQQKWDLVGAKJT-QGZVFWFLSA-N 0 0 425.507 -0.310 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NCc1nnc2n1CCOC2 ZINC001320713831 1074218063 /nfs/dbraw/zinc/21/80/63/1074218063.db2.gz OLUOIKWUUQDSHP-UHFFFAOYSA-N 0 0 437.478 -0.046 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001320716094 1074218061 /nfs/dbraw/zinc/21/80/61/1074218061.db2.gz BEWMWUUAXFSCJB-AWEZNQCLSA-N 0 0 445.563 -0.002 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NC[C@H]2CCS(=O)(=O)C2)cc1 ZINC001320716096 1074218031 /nfs/dbraw/zinc/21/80/31/1074218031.db2.gz BEWMWUUAXFSCJB-CQSZACIVSA-N 0 0 445.563 -0.002 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)C2CCS(=O)(=O)CC2)C1 ZINC001320726774 1074218049 /nfs/dbraw/zinc/21/80/49/1074218049.db2.gz XNUGJDHSJUOULU-AWEZNQCLSA-N 0 0 432.586 -0.734 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)C2CCS(=O)(=O)CC2)C1 ZINC001320726775 1074218014 /nfs/dbraw/zinc/21/80/14/1074218014.db2.gz XNUGJDHSJUOULU-CQSZACIVSA-N 0 0 432.586 -0.734 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2CCS(=O)(=O)C2)c1 ZINC001320727664 1074218057 /nfs/dbraw/zinc/21/80/57/1074218057.db2.gz MNKWEYZAPBLCRY-AWEZNQCLSA-N 0 0 445.563 -0.002 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CCS(=O)(=O)C2)c1 ZINC001320727665 1074218035 /nfs/dbraw/zinc/21/80/35/1074218035.db2.gz MNKWEYZAPBLCRY-CQSZACIVSA-N 0 0 445.563 -0.002 20 0 IBADRN O=C(CN1CCN(C(=O)NCCN2C(=O)COc3ccccc32)CC1)N1CCOCC1 ZINC001320731096 1074218043 /nfs/dbraw/zinc/21/80/43/1074218043.db2.gz KBPROJJIDKSTME-UHFFFAOYSA-N 0 0 431.493 -0.402 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCN2C(=O)COc3ccccc32)CC1 ZINC001320731645 1074218080 /nfs/dbraw/zinc/21/80/80/1074218080.db2.gz RZJHQZCDSUREFZ-UHFFFAOYSA-N 0 0 443.460 -0.585 20 0 IBADRN COCCN(C)S(=O)(=O)c1ccc(N(C)C(=O)Cn2ncn3nccc3c2=O)cc1 ZINC001320735617 1074218055 /nfs/dbraw/zinc/21/80/55/1074218055.db2.gz SHCATCPWKAYQSJ-UHFFFAOYSA-N 0 0 434.478 -0.179 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cn1 ZINC001320738895 1074218072 /nfs/dbraw/zinc/21/80/72/1074218072.db2.gz VLHRMQPGUQPGHP-DEBKPEHPSA-N 0 0 428.540 -0.365 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@H](C)NS(=O)(=O)c2cnn(C)c2)cn1 ZINC001320738896 1074218447 /nfs/dbraw/zinc/21/84/47/1074218447.db2.gz VLHRMQPGUQPGHP-HFKRUFESSA-N 0 0 428.540 -0.365 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cn1 ZINC001320738897 1074218461 /nfs/dbraw/zinc/21/84/61/1074218461.db2.gz VLHRMQPGUQPGHP-KRVZWGSESA-N 0 0 428.540 -0.365 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)[C@@H](C)NS(=O)(=O)c2cnn(C)c2)cn1 ZINC001320738898 1074218479 /nfs/dbraw/zinc/21/84/79/1074218479.db2.gz VLHRMQPGUQPGHP-MZTWVUCYSA-N 0 0 428.540 -0.365 20 0 IBADRN Cn1cc2cnn(CN3CCN(S(=O)(=O)c4c[nH]c5ncccc45)CC3)c(=O)c2n1 ZINC001320739231 1074218398 /nfs/dbraw/zinc/21/83/98/1074218398.db2.gz BXUITHWTSRKXHJ-UHFFFAOYSA-N 0 0 428.478 -0.030 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC001320744488 1074218495 /nfs/dbraw/zinc/21/84/95/1074218495.db2.gz ZBLFHWBZJLNFGH-UHFFFAOYSA-N 0 0 449.556 -0.946 20 0 IBADRN COC[C@H]1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001320747764 1074218391 /nfs/dbraw/zinc/21/83/91/1074218391.db2.gz MWJGTTOITNFPNJ-DZGCQCFKSA-N 0 0 441.506 -0.135 20 0 IBADRN COC[C@@H]1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001320747766 1074218371 /nfs/dbraw/zinc/21/83/71/1074218371.db2.gz MWJGTTOITNFPNJ-HIFRSBDPSA-N 0 0 441.506 -0.135 20 0 IBADRN COC[C@@H]1CCN(C(=O)[C@@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001320747768 1074218428 /nfs/dbraw/zinc/21/84/28/1074218428.db2.gz MWJGTTOITNFPNJ-UKRRQHHQSA-N 0 0 441.506 -0.135 20 0 IBADRN COC[C@H]1CCN(C(=O)[C@H](CCC(N)=O)NS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001320747770 1074218466 /nfs/dbraw/zinc/21/84/66/1074218466.db2.gz MWJGTTOITNFPNJ-ZFWWWQNUSA-N 0 0 441.506 -0.135 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)c1ccc(CN2C(=O)N[C@]3(CCOC3)C2=O)cc1 ZINC001320750710 1074218487 /nfs/dbraw/zinc/21/84/87/1074218487.db2.gz QJTPQZHYRMYGDT-LEWJYISDSA-N 0 0 442.428 -0.209 20 0 IBADRN O=C(CN1C(=O)N[C@@]2(CCOC2)C1=O)c1ccc(CN2C(=O)N[C@@]3(CCOC3)C2=O)cc1 ZINC001320750711 1074218502 /nfs/dbraw/zinc/21/85/02/1074218502.db2.gz QJTPQZHYRMYGDT-NHCUHLMSSA-N 0 0 442.428 -0.209 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)c1ccc(CN2C(=O)N[C@@]3(CCOC3)C2=O)cc1 ZINC001320750712 1074218423 /nfs/dbraw/zinc/21/84/23/1074218423.db2.gz QJTPQZHYRMYGDT-RTWAWAEBSA-N 0 0 442.428 -0.209 20 0 IBADRN O=C(CN1C(=O)N[C@]2(CCOC2)C1=O)c1ccc(CN2C(=O)N[C@]3(CCOC3)C2=O)cc1 ZINC001320750713 1074218452 /nfs/dbraw/zinc/21/84/52/1074218452.db2.gz QJTPQZHYRMYGDT-SFTDATJTSA-N 0 0 442.428 -0.209 20 0 IBADRN O=C(CN1CCN(C[C@H]2CN(c3ccccc3)C(=O)O2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001320750771 1074218385 /nfs/dbraw/zinc/21/83/85/1074218385.db2.gz RRCFTAIYXSJJAA-AEFFLSMTSA-N 0 0 436.534 -0.067 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CN(c3ccccc3)C(=O)O2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001320750773 1074218435 /nfs/dbraw/zinc/21/84/35/1074218435.db2.gz RRCFTAIYXSJJAA-FUHWJXTLSA-N 0 0 436.534 -0.067 20 0 IBADRN O=C(CN1CCN(C[C@@H]2CN(c3ccccc3)C(=O)O2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001320750775 1074218484 /nfs/dbraw/zinc/21/84/84/1074218484.db2.gz RRCFTAIYXSJJAA-SJLPKXTDSA-N 0 0 436.534 -0.067 20 0 IBADRN O=C(CN1CCN(C[C@H]2CN(c3ccccc3)C(=O)O2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001320750777 1074218456 /nfs/dbraw/zinc/21/84/56/1074218456.db2.gz RRCFTAIYXSJJAA-WMZOPIPTSA-N 0 0 436.534 -0.067 20 0 IBADRN C[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC001320754314 1074218914 /nfs/dbraw/zinc/21/89/14/1074218914.db2.gz NYMJRCUAPOODJI-CYBMUJFWSA-N 0 0 429.520 -0.544 20 0 IBADRN C[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC001320754315 1074218820 /nfs/dbraw/zinc/21/88/20/1074218820.db2.gz NYMJRCUAPOODJI-ZDUSSCGKSA-N 0 0 429.520 -0.544 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)Cc1ccc(S(=O)(=O)N3CCOCC3)s1)CC2 ZINC001320754461 1074218951 /nfs/dbraw/zinc/21/89/51/1074218951.db2.gz SRKCKOFJLNSTDN-UHFFFAOYSA-N 0 0 438.531 -0.010 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001320754605 1074218921 /nfs/dbraw/zinc/21/89/21/1074218921.db2.gz UYPIBUWWBOOJHC-UHFFFAOYSA-N 0 0 440.565 -0.511 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCC2CS(=O)(=O)C2)c1 ZINC001320754917 1074218907 /nfs/dbraw/zinc/21/89/07/1074218907.db2.gz DPHBNXDDVWOQNX-UHFFFAOYSA-N 0 0 445.563 -0.002 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C1CCN(C(=O)N3CCCC3)CC1)C2 ZINC001320755840 1074218931 /nfs/dbraw/zinc/21/89/31/1074218931.db2.gz TVCBMMZONNEKPD-UHFFFAOYSA-N 0 0 433.513 -0.597 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCCCN1Cc1ccncc1)C2 ZINC001320756019 1074218883 /nfs/dbraw/zinc/21/88/83/1074218883.db2.gz UVNWIAJKYNMEGL-KRWDZBQOSA-N 0 0 427.509 -0.075 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCCCN1Cc1ccncc1)C2 ZINC001320756020 1074218865 /nfs/dbraw/zinc/21/88/65/1074218865.db2.gz UVNWIAJKYNMEGL-QGZVFWFLSA-N 0 0 427.509 -0.075 20 0 IBADRN CSc1nc(C)c(CCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c(=O)[nH]1 ZINC001320756110 1074218782 /nfs/dbraw/zinc/21/87/82/1074218782.db2.gz YIMDTIIOFIPDLY-UHFFFAOYSA-N 0 0 435.510 -0.366 20 0 IBADRN C[C@@H]1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCS1(=O)=O ZINC001320757703 1074218828 /nfs/dbraw/zinc/21/88/28/1074218828.db2.gz NREZRTNYXPOJIL-CYBMUJFWSA-N 0 0 433.556 -0.208 20 0 IBADRN C[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCS1(=O)=O ZINC001320757704 1074218927 /nfs/dbraw/zinc/21/89/27/1074218927.db2.gz NREZRTNYXPOJIL-ZDUSSCGKSA-N 0 0 433.556 -0.208 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1 ZINC001320760935 1074218848 /nfs/dbraw/zinc/21/88/48/1074218848.db2.gz KDPIFSPAFWIHFC-HNNXBMFYSA-N 0 0 440.522 -0.288 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CC1 ZINC001320760936 1074218936 /nfs/dbraw/zinc/21/89/36/1074218936.db2.gz KDPIFSPAFWIHFC-OAHLLOKOSA-N 0 0 440.522 -0.288 20 0 IBADRN C[C@H]1CCN(CCS(=O)(=O)CCN2CC[C@H](C)S(=O)(=O)CC2)CCS1(=O)=O ZINC001320761631 1074218945 /nfs/dbraw/zinc/21/89/45/1074218945.db2.gz VGKWUGJJMKCDAU-HOTGVXAUSA-N 0 0 444.641 -0.581 20 0 IBADRN C[C@@H]1CCN(CCS(=O)(=O)CCN2CC[C@@H](C)S(=O)(=O)CC2)CCS1(=O)=O ZINC001320761634 1074218803 /nfs/dbraw/zinc/21/88/03/1074218803.db2.gz VGKWUGJJMKCDAU-HZPDHXFCSA-N 0 0 444.641 -0.581 20 0 IBADRN C[C@@H]1CCN(CCS(=O)(=O)CCN2CC[C@H](C)S(=O)(=O)CC2)CCS1(=O)=O ZINC001320761637 1074218940 /nfs/dbraw/zinc/21/89/40/1074218940.db2.gz VGKWUGJJMKCDAU-IYBDPMFKSA-N 0 0 444.641 -0.581 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCCC1CS(=O)(=O)C1 ZINC001320762774 1074218898 /nfs/dbraw/zinc/21/88/98/1074218898.db2.gz UCDUJJHBUOJHGN-UHFFFAOYSA-N 0 0 432.520 -0.119 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)Cc1nnnn1CC(F)(F)F)C(=O)N1CCCCC1 ZINC001320763053 1074218855 /nfs/dbraw/zinc/21/88/55/1074218855.db2.gz LBDRKYGKAXDQLZ-JTQLQIEISA-N 0 0 426.421 -0.333 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)Cc1nnnn1CC(F)(F)F)C(=O)N1CCCCC1 ZINC001320763055 1074218878 /nfs/dbraw/zinc/21/88/78/1074218878.db2.gz LBDRKYGKAXDQLZ-SNVBAGLBSA-N 0 0 426.421 -0.333 20 0 IBADRN CC(C)N1CC[C@@H](OC(=O)Cn2nc(C(=O)O[C@H]3CCN(C(C)C)C3=O)ccc2=O)C1=O ZINC001320764414 1074218957 /nfs/dbraw/zinc/21/89/57/1074218957.db2.gz IQYXUCICXSIVEU-CVEARBPZSA-N 0 0 448.476 -0.038 20 0 IBADRN CC(C)N1CC[C@H](OC(=O)Cn2nc(C(=O)O[C@H]3CCN(C(C)C)C3=O)ccc2=O)C1=O ZINC001320764417 1074219300 /nfs/dbraw/zinc/21/93/00/1074219300.db2.gz IQYXUCICXSIVEU-HOTGVXAUSA-N 0 0 448.476 -0.038 20 0 IBADRN CC(C)N1CC[C@@H](OC(=O)Cn2nc(C(=O)O[C@@H]3CCN(C(C)C)C3=O)ccc2=O)C1=O ZINC001320764419 1074219239 /nfs/dbraw/zinc/21/92/39/1074219239.db2.gz IQYXUCICXSIVEU-HZPDHXFCSA-N 0 0 448.476 -0.038 20 0 IBADRN CC(C)N1CC[C@@H](OC(=O)c2ccc(=O)n(CC(=O)O[C@H]3CCN(C(C)C)C3=O)n2)C1=O ZINC001320764422 1074219145 /nfs/dbraw/zinc/21/91/45/1074219145.db2.gz IQYXUCICXSIVEU-JKSUJKDBSA-N 0 0 448.476 -0.038 20 0 IBADRN O=C(c1cnc(C(F)(F)F)nc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001320764785 1074219150 /nfs/dbraw/zinc/21/91/50/1074219150.db2.gz VNSVUOWOQMVTDQ-LLVKDONJSA-N 0 0 442.441 -0.230 20 0 IBADRN O=C(c1cnc(C(F)(F)F)nc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001320764786 1074219186 /nfs/dbraw/zinc/21/91/86/1074219186.db2.gz VNSVUOWOQMVTDQ-NSHDSACASA-N 0 0 442.441 -0.230 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCC2CS(=O)(=O)C2)cc1 ZINC001320766808 1074219225 /nfs/dbraw/zinc/21/92/25/1074219225.db2.gz HMEWDLHWZUAZDH-UHFFFAOYSA-N 0 0 445.563 -0.002 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cc1csc(N3CCCC3=O)n1)C2 ZINC001320766839 1074219108 /nfs/dbraw/zinc/21/91/08/1074219108.db2.gz ICPUFUYMEAGDAZ-UHFFFAOYSA-N 0 0 433.494 -0.699 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1C[C@@H](O)CC(F)(F)C1 ZINC001320767251 1074219167 /nfs/dbraw/zinc/21/91/67/1074219167.db2.gz LMSODBRDMLJXHD-GXTWGEPZSA-N 0 0 440.490 -0.003 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1C[C@@H](O)CC(F)(F)C1 ZINC001320767252 1074219158 /nfs/dbraw/zinc/21/91/58/1074219158.db2.gz LMSODBRDMLJXHD-JSGCOSHPSA-N 0 0 440.490 -0.003 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1C[C@H](O)CC(F)(F)C1 ZINC001320767253 1074219296 /nfs/dbraw/zinc/21/92/96/1074219296.db2.gz LMSODBRDMLJXHD-OCCSQVGLSA-N 0 0 440.490 -0.003 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1C[C@H](O)CC(F)(F)C1 ZINC001320767254 1074219164 /nfs/dbraw/zinc/21/91/64/1074219164.db2.gz LMSODBRDMLJXHD-TZMCWYRMSA-N 0 0 440.490 -0.003 20 0 IBADRN NC(=O)c1cccc(C[S@](=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001320771880 1074219193 /nfs/dbraw/zinc/21/91/93/1074219193.db2.gz XLKAWLFNSZGGBR-TXUMCGTDSA-N 0 0 443.547 -0.376 20 0 IBADRN NC(=O)c1cccc(C[S@](=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001320771881 1074219176 /nfs/dbraw/zinc/21/91/76/1074219176.db2.gz XLKAWLFNSZGGBR-WTCZBGTRSA-N 0 0 443.547 -0.376 20 0 IBADRN NC(=O)c1cccc(C[S@](=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001320772476 1074219118 /nfs/dbraw/zinc/21/91/18/1074219118.db2.gz XLKAWLFNSZGGBR-XAZSVGOPSA-N 0 0 443.547 -0.376 20 0 IBADRN NC(=O)c1cccc(C[S@](=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001320772477 1074219205 /nfs/dbraw/zinc/21/92/05/1074219205.db2.gz XLKAWLFNSZGGBR-ZKDVCIDWSA-N 0 0 443.547 -0.376 20 0 IBADRN CNC(=O)[C@H](NC(=O)CS(=O)(=O)Cc1nnnn1CC(F)(F)F)c1ccccc1 ZINC001320775691 1074219127 /nfs/dbraw/zinc/21/91/27/1074219127.db2.gz GZZLABAGNXPMSS-CYBMUJFWSA-N 0 0 434.400 -0.246 20 0 IBADRN CNC(=O)[C@@H](NC(=O)CS(=O)(=O)Cc1nnnn1CC(F)(F)F)c1ccccc1 ZINC001320775692 1074219214 /nfs/dbraw/zinc/21/92/14/1074219214.db2.gz GZZLABAGNXPMSS-ZDUSSCGKSA-N 0 0 434.400 -0.246 20 0 IBADRN CNC(=O)[C@H](NC(=O)C[S@@](=O)Cc1nnnn1CC1CCOCC1)c1ccccc1 ZINC001320782260 1074219284 /nfs/dbraw/zinc/21/92/84/1074219284.db2.gz AZLKHZHQKPSGPC-JJCDCTGGSA-N 0 0 434.522 -0.048 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C[S@@](=O)Cc1nnnn1CC1CCOCC1)c1ccccc1 ZINC001320782262 1074219136 /nfs/dbraw/zinc/21/91/36/1074219136.db2.gz AZLKHZHQKPSGPC-PBYQXAPXSA-N 0 0 434.522 -0.048 20 0 IBADRN CNC(=O)[C@H](NC(=O)C[S@](=O)Cc1nnnn1CC1CCOCC1)c1ccccc1 ZINC001320782264 1074219670 /nfs/dbraw/zinc/21/96/70/1074219670.db2.gz AZLKHZHQKPSGPC-VPTFNQTCSA-N 0 0 434.522 -0.048 20 0 IBADRN CNC(=O)[C@@H](NC(=O)C[S@](=O)Cc1nnnn1CC1CCOCC1)c1ccccc1 ZINC001320782266 1074219634 /nfs/dbraw/zinc/21/96/34/1074219634.db2.gz AZLKHZHQKPSGPC-YVGXBJCXSA-N 0 0 434.522 -0.048 20 0 IBADRN Cc1c(Br)cc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c(=O)n1C ZINC001320783807 1074219749 /nfs/dbraw/zinc/21/97/49/1074219749.db2.gz VEISIWHDZSEDSL-JTQLQIEISA-N 0 0 434.312 -0.168 20 0 IBADRN Cc1c(Br)cc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c(=O)n1C ZINC001320783809 1074219681 /nfs/dbraw/zinc/21/96/81/1074219681.db2.gz VEISIWHDZSEDSL-SNVBAGLBSA-N 0 0 434.312 -0.168 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CC(=O)N4CCC(C(N)=O)CC4)CC3)C2=O)n(C)n1 ZINC001320785440 1074219652 /nfs/dbraw/zinc/21/96/52/1074219652.db2.gz IYMGULJVWRIHQA-GOSISDBHSA-N 0 0 445.568 -0.435 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CC(=O)N4CCC(C(N)=O)CC4)CC3)C2=O)n(C)n1 ZINC001320785444 1074219708 /nfs/dbraw/zinc/21/97/08/1074219708.db2.gz IYMGULJVWRIHQA-SFHVURJKSA-N 0 0 445.568 -0.435 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCOC[C@H]2c2noc(-c3cnn(C)c3)n2)CC1 ZINC001320789769 1074219718 /nfs/dbraw/zinc/21/97/18/1074219718.db2.gz PDMADVGWRVDPAS-HNNXBMFYSA-N 0 0 432.485 -0.237 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCOC[C@@H]2c2noc(-c3cnn(C)c3)n2)CC1 ZINC001320789778 1074219698 /nfs/dbraw/zinc/21/96/98/1074219698.db2.gz PDMADVGWRVDPAS-OAHLLOKOSA-N 0 0 432.485 -0.237 20 0 IBADRN CC(=O)Nc1ccccc1CC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001320798836 1074219694 /nfs/dbraw/zinc/21/96/94/1074219694.db2.gz AOWQYHXNKJTMNY-INIZCTEOSA-N 0 0 443.547 -0.151 20 0 IBADRN CC(=O)Nc1ccccc1CC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001320798838 1074219639 /nfs/dbraw/zinc/21/96/39/1074219639.db2.gz AOWQYHXNKJTMNY-MRXNPFEDSA-N 0 0 443.547 -0.151 20 0 IBADRN Cn1c(C=O)c(Br)cc1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001320802977 1074219758 /nfs/dbraw/zinc/21/97/58/1074219758.db2.gz IYLDMRKCUPQYBF-UHFFFAOYSA-N 0 0 431.272 -0.135 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2)n1 ZINC001320803680 1074219737 /nfs/dbraw/zinc/21/97/37/1074219737.db2.gz PDPDWYIMTFITTL-UHFFFAOYSA-N 0 0 444.558 -0.448 20 0 IBADRN CC(CC(=O)NCCC1N=NC(=S)N1C)CC(=O)NCCC1N=NC(=S)N1C ZINC001320805183 1074219714 /nfs/dbraw/zinc/21/97/14/1074219714.db2.gz REMPTOWNGSNFPW-UHFFFAOYSA-N 0 0 426.572 -0.045 20 0 IBADRN CCOC1CC(CNC(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)(N2CCOCC2)C1 ZINC001320811174 1074219660 /nfs/dbraw/zinc/21/96/60/1074219660.db2.gz BYBFFNXBAQFMJK-UHFFFAOYSA-N 0 0 434.497 -0.319 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCC[C@H](OCCO)C1 ZINC001320818379 1074219648 /nfs/dbraw/zinc/21/96/48/1074219648.db2.gz KFDOGVLHAADESP-DOTOQJQBSA-N 0 0 448.563 -0.232 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCC[C@@H](OCCO)C1 ZINC001320818380 1074219725 /nfs/dbraw/zinc/21/97/25/1074219725.db2.gz KFDOGVLHAADESP-NVXWUHKLSA-N 0 0 448.563 -0.232 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCC[C@H](OCCO)C1 ZINC001320818381 1074219730 /nfs/dbraw/zinc/21/97/30/1074219730.db2.gz KFDOGVLHAADESP-RDJZCZTQSA-N 0 0 448.563 -0.232 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCC[C@@H](OCCO)C1 ZINC001320818382 1074220094 /nfs/dbraw/zinc/22/00/94/1074220094.db2.gz KFDOGVLHAADESP-WBVHZDCISA-N 0 0 448.563 -0.232 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001320822810 1074220118 /nfs/dbraw/zinc/22/01/18/1074220118.db2.gz OHWPQNFGUFFMAX-FMKPAKJESA-N 0 0 429.470 -0.951 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001320822812 1074220154 /nfs/dbraw/zinc/22/01/54/1074220154.db2.gz OHWPQNFGUFFMAX-YCPHGPKFSA-N 0 0 429.470 -0.951 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)c1 ZINC001320829311 1074220108 /nfs/dbraw/zinc/22/01/08/1074220108.db2.gz GWPHKFMFJRJYNK-UHFFFAOYSA-N 0 0 428.492 -0.214 20 0 IBADRN CN(Cc1nc(CC(=O)N2CCS(=O)(=O)CC2)no1)S(=O)(=O)c1ccccc1 ZINC001320829863 1074220162 /nfs/dbraw/zinc/22/01/62/1074220162.db2.gz OHFKHLIGZYLPRA-UHFFFAOYSA-N 0 0 428.492 -0.310 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCc1noc(CN2C(=O)c3ccccc3S2(=O)=O)n1 ZINC001320837036 1074220099 /nfs/dbraw/zinc/22/00/99/1074220099.db2.gz CLUSOHWQSLWEAX-UHFFFAOYSA-N 0 0 429.480 -0.305 20 0 IBADRN CS(=O)(=O)Nc1cccc(Cc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)c1 ZINC001320850887 1074220213 /nfs/dbraw/zinc/22/02/13/1074220213.db2.gz DMZCLHJTQOYZAT-UHFFFAOYSA-N 0 0 428.492 -0.169 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)c1 ZINC001320851977 1074220056 /nfs/dbraw/zinc/22/00/56/1074220056.db2.gz IIHICEZQYCQJEY-UHFFFAOYSA-N 0 0 444.491 -0.282 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001320853320 1074220075 /nfs/dbraw/zinc/22/00/75/1074220075.db2.gz SXSUNPIITKFIBW-UHFFFAOYSA-N 0 0 444.491 -0.282 20 0 IBADRN Cc1ncc(Br)c(C(=O)OCC(=O)N2CCN(CC(=O)N(C)C)CC2)n1 ZINC001320855986 1074220066 /nfs/dbraw/zinc/22/00/66/1074220066.db2.gz OOXRMEONXMAXSA-UHFFFAOYSA-N 0 0 428.287 -0.063 20 0 IBADRN CC1CCN(c2nnc(S(=O)(=O)CC(=O)N[C@@H]3CCS(=O)(=O)C3)n2C2CC2)CC1 ZINC001320859214 1074220196 /nfs/dbraw/zinc/22/01/96/1074220196.db2.gz PNUGGADTIBSYNG-CYBMUJFWSA-N 0 0 445.567 -0.074 20 0 IBADRN CC1CCN(c2nnc(S(=O)(=O)CC(=O)N[C@H]3CCS(=O)(=O)C3)n2C2CC2)CC1 ZINC001320859215 1074220143 /nfs/dbraw/zinc/22/01/43/1074220143.db2.gz PNUGGADTIBSYNG-ZDUSSCGKSA-N 0 0 445.567 -0.074 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCCCC2)n1C1CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001320864183 1074220204 /nfs/dbraw/zinc/22/02/04/1074220204.db2.gz RHBONPGXKSAVAM-GFCCVEGCSA-N 0 0 431.540 -0.320 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCCCC2)n1C1CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001320864188 1074220085 /nfs/dbraw/zinc/22/00/85/1074220085.db2.gz RHBONPGXKSAVAM-LBPRGKRZSA-N 0 0 431.540 -0.320 20 0 IBADRN CN1CCC2(CCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)CC2)C1=O ZINC001320864958 1074220126 /nfs/dbraw/zinc/22/01/26/1074220126.db2.gz DXOSLOXLZQYYIZ-UHFFFAOYSA-N 0 0 434.518 -0.108 20 0 IBADRN Cc1cc(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)ccc1S(N)(=O)=O ZINC001320868912 1074220550 /nfs/dbraw/zinc/22/05/50/1074220550.db2.gz FSTNUKSKVCLSTI-UHFFFAOYSA-N 0 0 425.511 -0.078 20 0 IBADRN COCC(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001320872849 1074220618 /nfs/dbraw/zinc/22/06/18/1074220618.db2.gz WNYRQUACXVNFGN-UHFFFAOYSA-N 0 0 427.527 -0.554 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1ccc(F)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001320878770 1074220657 /nfs/dbraw/zinc/22/06/57/1074220657.db2.gz LIHBRVBZKQLBPW-DDWPSWQVSA-N 0 0 442.465 -0.364 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(F)cc1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001320878772 1074220636 /nfs/dbraw/zinc/22/06/36/1074220636.db2.gz LIHBRVBZKQLBPW-KSYCFECVSA-N 0 0 442.465 -0.364 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1ccc(F)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001320878774 1074220534 /nfs/dbraw/zinc/22/05/34/1074220534.db2.gz LIHBRVBZKQLBPW-MWNCTCPHSA-N 0 0 442.465 -0.364 20 0 IBADRN C[C@H](OC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(F)cc1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001320878777 1074220572 /nfs/dbraw/zinc/22/05/72/1074220572.db2.gz LIHBRVBZKQLBPW-SRMUXQRQSA-N 0 0 442.465 -0.364 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CC(=O)NCCCN1CCCCCC1=O)c2=O ZINC001320878977 1074220519 /nfs/dbraw/zinc/22/05/19/1074220519.db2.gz NMGUEPRJHGDPEV-UHFFFAOYSA-N 0 0 438.510 -0.558 20 0 IBADRN CC(C)(C)NC(=O)CNC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C1CC1 ZINC001320879106 1074220528 /nfs/dbraw/zinc/22/05/28/1074220528.db2.gz HBKBCJRLVGMYTG-UHFFFAOYSA-N 0 0 428.515 -0.746 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C1CC1)NCCCN1CCCC1=O ZINC001320880537 1074220646 /nfs/dbraw/zinc/22/06/46/1074220646.db2.gz OLPPNKUREWWUDA-UHFFFAOYSA-N 0 0 440.526 -0.648 20 0 IBADRN O=S(=O)(c1ccc(N2CCC(N3CCS(=O)(=O)CC3)CC2)nc1)N1CCOCC1 ZINC001320883215 1074220634 /nfs/dbraw/zinc/22/06/34/1074220634.db2.gz HOZUJDYMILBXDJ-UHFFFAOYSA-N 0 0 444.579 -0.198 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)c2c(OC)ccc(S(N)(=O)=O)c2OC)C1 ZINC001320883912 1074220626 /nfs/dbraw/zinc/22/06/26/1074220626.db2.gz TYCLGKZIYKSETQ-CHWSQXEVSA-N 0 0 432.495 -0.163 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)c2c(OC)ccc(S(N)(=O)=O)c2OC)C1 ZINC001320883913 1074220642 /nfs/dbraw/zinc/22/06/42/1074220642.db2.gz TYCLGKZIYKSETQ-OLZOCXBDSA-N 0 0 432.495 -0.163 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)c2c(OC)ccc(S(N)(=O)=O)c2OC)C1 ZINC001320883914 1074220604 /nfs/dbraw/zinc/22/06/04/1074220604.db2.gz TYCLGKZIYKSETQ-QWHCGFSZSA-N 0 0 432.495 -0.163 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)c2c(OC)ccc(S(N)(=O)=O)c2OC)C1 ZINC001320883915 1074220562 /nfs/dbraw/zinc/22/05/62/1074220562.db2.gz TYCLGKZIYKSETQ-STQMWFEESA-N 0 0 432.495 -0.163 20 0 IBADRN Cn1nc(Br)cc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001320884246 1074220589 /nfs/dbraw/zinc/22/05/89/1074220589.db2.gz PVAKKICUNJERLL-LLVKDONJSA-N 0 0 448.343 -0.756 20 0 IBADRN Cn1nc(Br)cc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001320884247 1074220556 /nfs/dbraw/zinc/22/05/56/1074220556.db2.gz PVAKKICUNJERLL-NSHDSACASA-N 0 0 448.343 -0.756 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)c2ccc3c(c2)C(=O)NCC3)CCS(=O)(=O)CC1 ZINC001320887469 1074220537 /nfs/dbraw/zinc/22/05/37/1074220537.db2.gz BRYMWYGYXXCOCI-UHFFFAOYSA-N 0 0 430.504 -0.239 20 0 IBADRN CC(=O)c1ccc(NC(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001320889740 1074221012 /nfs/dbraw/zinc/22/10/12/1074221012.db2.gz UCEXRBWUILAKFI-GOSISDBHSA-N 0 0 436.534 -0.251 20 0 IBADRN CC(=O)c1ccc(NC(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001320889742 1074220871 /nfs/dbraw/zinc/22/08/71/1074220871.db2.gz UCEXRBWUILAKFI-SFHVURJKSA-N 0 0 436.534 -0.251 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)NCc2nnn(C)n2)c(=O)n(-c2ccccc2)n1C ZINC001320893716 1074220991 /nfs/dbraw/zinc/22/09/91/1074220991.db2.gz KHUMEMVADXWKOP-UHFFFAOYSA-N 0 0 430.494 -0.004 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)OCC(=O)N1CCC2(CC1)OCCO2 ZINC001320903471 1074220885 /nfs/dbraw/zinc/22/08/85/1074220885.db2.gz LPCMHXDZKXUQFW-UHFFFAOYSA-N 0 0 427.475 -0.096 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)CC[C@H]2C)s1 ZINC001320903865 1074221037 /nfs/dbraw/zinc/22/10/37/1074221037.db2.gz AHDXBAATRSOGMG-LLVKDONJSA-N 0 0 437.565 -0.302 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)CC[C@@H]2C)s1 ZINC001320903866 1074221001 /nfs/dbraw/zinc/22/10/01/1074221001.db2.gz AHDXBAATRSOGMG-NSHDSACASA-N 0 0 437.565 -0.302 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)C[C@H](C)C2)s1 ZINC001320904075 1074221050 /nfs/dbraw/zinc/22/10/50/1074221050.db2.gz DQHNLZFCAIQRJA-LLVKDONJSA-N 0 0 437.565 -0.444 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCS(=O)(=O)C[C@@H](C)C2)s1 ZINC001320904078 1074221042 /nfs/dbraw/zinc/22/10/42/1074221042.db2.gz DQHNLZFCAIQRJA-NSHDSACASA-N 0 0 437.565 -0.444 20 0 IBADRN COCCOc1cccc(CNC(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)c1 ZINC001320906484 1074220954 /nfs/dbraw/zinc/22/09/54/1074220954.db2.gz WBOLRUFGSVHOTJ-UHFFFAOYSA-N 0 0 449.489 -0.125 20 0 IBADRN CS(=O)(=O)c1ccc2ncnc(N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c2c1 ZINC001320907197 1074220927 /nfs/dbraw/zinc/22/09/27/1074220927.db2.gz GZUKAOYBIMDALR-CVEARBPZSA-N 0 0 426.520 -0.687 20 0 IBADRN CS(=O)(=O)c1ccc2ncnc(N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c2c1 ZINC001320907205 1074220947 /nfs/dbraw/zinc/22/09/47/1074220947.db2.gz GZUKAOYBIMDALR-HOTGVXAUSA-N 0 0 426.520 -0.687 20 0 IBADRN CS(=O)(=O)c1ccc2ncnc(N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c2c1 ZINC001320907208 1074220903 /nfs/dbraw/zinc/22/09/03/1074220903.db2.gz GZUKAOYBIMDALR-HZPDHXFCSA-N 0 0 426.520 -0.687 20 0 IBADRN CS(=O)(=O)c1ccc2ncnc(N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c2c1 ZINC001320907209 1074221030 /nfs/dbraw/zinc/22/10/30/1074221030.db2.gz GZUKAOYBIMDALR-JKSUJKDBSA-N 0 0 426.520 -0.687 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCS(=O)(=O)C1 ZINC001320907251 1074220943 /nfs/dbraw/zinc/22/09/43/1074220943.db2.gz HVWIAYIBCVRAIR-CYBMUJFWSA-N 0 0 433.556 -0.351 20 0 IBADRN C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCS(=O)(=O)C1 ZINC001320907254 1074220935 /nfs/dbraw/zinc/22/09/35/1074220935.db2.gz HVWIAYIBCVRAIR-ZDUSSCGKSA-N 0 0 433.556 -0.351 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001320907690 1074220984 /nfs/dbraw/zinc/22/09/84/1074220984.db2.gz XEHFGLLRTBBFCB-CYBMUJFWSA-N 0 0 433.556 -0.208 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001320907692 1074221569 /nfs/dbraw/zinc/22/15/69/1074221569.db2.gz XEHFGLLRTBBFCB-ZDUSSCGKSA-N 0 0 433.556 -0.208 20 0 IBADRN COc1cc2c(cc1OC)CN(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)CC2 ZINC001320909404 1074221561 /nfs/dbraw/zinc/22/15/61/1074221561.db2.gz JOFLOOOYTVUTMO-HNNXBMFYSA-N 0 0 426.491 -0.092 20 0 IBADRN COc1cc2c(cc1OC)CN(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001320909405 1074221572 /nfs/dbraw/zinc/22/15/72/1074221572.db2.gz JOFLOOOYTVUTMO-OAHLLOKOSA-N 0 0 426.491 -0.092 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC001320910257 1074221466 /nfs/dbraw/zinc/22/14/66/1074221466.db2.gz MJONTUXZRWIVRX-GOSISDBHSA-N 0 0 438.525 -0.630 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC001320910259 1074221384 /nfs/dbraw/zinc/22/13/84/1074221384.db2.gz MJONTUXZRWIVRX-SFHVURJKSA-N 0 0 438.525 -0.630 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cn(Cc3noc(C)n3)nn2)C1 ZINC001320914419 1074221441 /nfs/dbraw/zinc/22/14/41/1074221441.db2.gz HLWQXKSNPMZZPY-CYBMUJFWSA-N 0 0 427.487 -0.470 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cn(Cc3noc(C)n3)nn2)C1 ZINC001320914420 1074221528 /nfs/dbraw/zinc/22/15/28/1074221528.db2.gz HLWQXKSNPMZZPY-ZDUSSCGKSA-N 0 0 427.487 -0.470 20 0 IBADRN Cc1sc(S(N)(=O)=O)cc1C(=O)OCC(=O)N1CCN(c2cnccn2)CC1 ZINC001320914452 1074221490 /nfs/dbraw/zinc/22/14/90/1074221490.db2.gz JOFZHWZFHQNLEO-UHFFFAOYSA-N 0 0 425.492 0.000 20 0 IBADRN C[C@H](NC(=O)CS(=O)(=O)Cc1nnnn1CC1CCOCC1)C(=O)N1CCCCC1 ZINC001320917980 1074221579 /nfs/dbraw/zinc/22/15/79/1074221579.db2.gz TVUHZGLJQBFMHQ-AWEZNQCLSA-N 0 0 442.542 -0.468 20 0 IBADRN C[C@@H](NC(=O)CS(=O)(=O)Cc1nnnn1CC1CCOCC1)C(=O)N1CCCCC1 ZINC001320917982 1074221542 /nfs/dbraw/zinc/22/15/42/1074221542.db2.gz TVUHZGLJQBFMHQ-CQSZACIVSA-N 0 0 442.542 -0.468 20 0 IBADRN CS(=O)(=O)Cc1nc(COC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cs1 ZINC001320919091 1074221479 /nfs/dbraw/zinc/22/14/79/1074221479.db2.gz WVAUQLXVYJGPMO-CYBMUJFWSA-N 0 0 431.536 -0.088 20 0 IBADRN CS(=O)(=O)Cc1nc(COC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)cs1 ZINC001320919092 1074221420 /nfs/dbraw/zinc/22/14/20/1074221420.db2.gz WVAUQLXVYJGPMO-ZDUSSCGKSA-N 0 0 431.536 -0.088 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@H]2CCCCS2(=O)=O)s1 ZINC001320933363 1074221434 /nfs/dbraw/zinc/22/14/34/1074221434.db2.gz WGYDHCOWPDSZDG-CYBMUJFWSA-N 0 0 437.565 -0.254 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NC[C@@H]2CCCCS2(=O)=O)s1 ZINC001320933364 1074221403 /nfs/dbraw/zinc/22/14/03/1074221403.db2.gz WGYDHCOWPDSZDG-ZDUSSCGKSA-N 0 0 437.565 -0.254 20 0 IBADRN C[C@@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1nnc2n1CCCCC2 ZINC001320935810 1074221584 /nfs/dbraw/zinc/22/15/84/1074221584.db2.gz GRHOJANXPMYCBG-CYBMUJFWSA-N 0 0 431.501 -0.263 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCN1C(=O)COc3ccccc31)C2 ZINC001320936584 1074221535 /nfs/dbraw/zinc/22/15/35/1074221535.db2.gz XDNBIZSHAQEXAE-UHFFFAOYSA-N 0 0 443.464 -0.916 20 0 IBADRN CCOC1CC(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)(N2CCOCC2)C1 ZINC001320937905 1074221498 /nfs/dbraw/zinc/22/14/98/1074221498.db2.gz LIUXNTCRTXZGOZ-JFBVMJNESA-N 0 0 443.566 -0.592 20 0 IBADRN CCOC1CC(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)(N2CCOCC2)C1 ZINC001320937906 1074221517 /nfs/dbraw/zinc/22/15/17/1074221517.db2.gz LIUXNTCRTXZGOZ-RIGHFQGKSA-N 0 0 443.566 -0.592 20 0 IBADRN CCOC1CC(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)(N2CCOCC2)C1 ZINC001320937907 1074221798 /nfs/dbraw/zinc/22/17/98/1074221798.db2.gz LIUXNTCRTXZGOZ-VPRUJKLYSA-N 0 0 443.566 -0.592 20 0 IBADRN CCOC1CC(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)(N2CCOCC2)C1 ZINC001320937908 1074221837 /nfs/dbraw/zinc/22/18/37/1074221837.db2.gz LIUXNTCRTXZGOZ-ZRDSACAASA-N 0 0 443.566 -0.592 20 0 IBADRN O=C(NC[C@@H](CO)CC1CCOCC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001320946035 1074221790 /nfs/dbraw/zinc/22/17/90/1074221790.db2.gz PMFFYMKYFDDWLA-INIZCTEOSA-N 0 0 439.534 -0.038 20 0 IBADRN O=C(NC[C@H](CO)CC1CCOCC1)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001320946037 1074221883 /nfs/dbraw/zinc/22/18/83/1074221883.db2.gz PMFFYMKYFDDWLA-MRXNPFEDSA-N 0 0 439.534 -0.038 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(Cn3nc(-c4cnn(C)c4)ccc3=O)CC2)cn1C ZINC001320949605 1074221857 /nfs/dbraw/zinc/22/18/57/1074221857.db2.gz PBQSCLYCWVPRCB-UHFFFAOYSA-N 0 0 432.510 -0.350 20 0 IBADRN COc1ccc(=O)n(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2OC)n1 ZINC001320951565 1074221849 /nfs/dbraw/zinc/22/18/49/1074221849.db2.gz LNZLJRFKGOKUFS-UHFFFAOYSA-N 0 0 438.462 -0.080 20 0 IBADRN COCc1nnc(-c2cccc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)c2)o1 ZINC001320952406 1074221890 /nfs/dbraw/zinc/22/18/90/1074221890.db2.gz UBQJEBTXLJNAOT-UHFFFAOYSA-N 0 0 441.448 -0.015 20 0 IBADRN Cc1cccc(N2N=C(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)CCC2=O)c1 ZINC001320952517 1074221918 /nfs/dbraw/zinc/22/19/18/1074221918.db2.gz WEGYFTJPGNRYIU-UHFFFAOYSA-N 0 0 439.476 -0.033 20 0 IBADRN O=C(NC1CCC(NC(=O)N2CCS(=O)(=O)CC2)CC1)N1CCS(=O)(=O)CC1 ZINC001320957537 1074221807 /nfs/dbraw/zinc/22/18/07/1074221807.db2.gz BEOONHIYNTVRBG-UHFFFAOYSA-N 0 0 436.556 -0.822 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCc2ccc(C)n(C)c2=O)s1 ZINC001320959742 1074221902 /nfs/dbraw/zinc/22/19/02/1074221902.db2.gz YTEIQYTWZSDKRH-UHFFFAOYSA-N 0 0 426.520 -0.014 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320960290 1074221897 /nfs/dbraw/zinc/22/18/97/1074221897.db2.gz BDMDOXDSPPEXMB-KKUMJFAQSA-N 0 0 445.563 -0.567 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320960293 1074221831 /nfs/dbraw/zinc/22/18/31/1074221831.db2.gz BDMDOXDSPPEXMB-RRFJBIMHSA-N 0 0 445.563 -0.567 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320960296 1074221784 /nfs/dbraw/zinc/22/17/84/1074221784.db2.gz BDMDOXDSPPEXMB-SOUVJXGZSA-N 0 0 445.563 -0.567 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320960326 1074221825 /nfs/dbraw/zinc/22/18/25/1074221825.db2.gz BDMDOXDSPPEXMB-ZNMIVQPWSA-N 0 0 445.563 -0.567 20 0 IBADRN CN(C)S(=O)(=O)N(C)CCc1noc(-c2ccc(S(=O)(=O)N3CCOCC3)o2)n1 ZINC001320962612 1074221776 /nfs/dbraw/zinc/22/17/76/1074221776.db2.gz OSZWORPQOHSFGG-UHFFFAOYSA-N 0 0 449.511 -0.369 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC001320963110 1074221925 /nfs/dbraw/zinc/22/19/25/1074221925.db2.gz SKMLSOTUDYCOPZ-DLBZAZTESA-N 0 0 430.571 -0.538 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC001320963112 1074221912 /nfs/dbraw/zinc/22/19/12/1074221912.db2.gz SKMLSOTUDYCOPZ-IAGOWNOFSA-N 0 0 430.571 -0.538 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC001320963114 1074221864 /nfs/dbraw/zinc/22/18/64/1074221864.db2.gz SKMLSOTUDYCOPZ-IRXDYDNUSA-N 0 0 430.571 -0.538 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC001320963116 1074221816 /nfs/dbraw/zinc/22/18/16/1074221816.db2.gz SKMLSOTUDYCOPZ-SJORKVTESA-N 0 0 430.571 -0.538 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC001320963673 1074222320 /nfs/dbraw/zinc/22/23/20/1074222320.db2.gz UBKYIMOYNCHSNK-IIAWOOMASA-N 0 0 428.507 -0.164 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC001320963677 1074222283 /nfs/dbraw/zinc/22/22/83/1074222283.db2.gz UBKYIMOYNCHSNK-IJEWVQPXSA-N 0 0 428.507 -0.164 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC001320963681 1074222325 /nfs/dbraw/zinc/22/23/25/1074222325.db2.gz UBKYIMOYNCHSNK-OFQRWUPVSA-N 0 0 428.507 -0.164 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC001320963685 1074222232 /nfs/dbraw/zinc/22/22/32/1074222232.db2.gz UBKYIMOYNCHSNK-SQWLQELKSA-N 0 0 428.507 -0.164 20 0 IBADRN O=C(c1nn(-c2ccc(F)cc2)ccc1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320964246 1074222306 /nfs/dbraw/zinc/22/23/06/1074222306.db2.gz XMRRXIXUMAWPKQ-DOTOQJQBSA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1nn(-c2ccc(F)cc2)ccc1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001320964249 1074222310 /nfs/dbraw/zinc/22/23/10/1074222310.db2.gz XMRRXIXUMAWPKQ-NVXWUHKLSA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1nn(-c2ccc(F)cc2)ccc1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320964252 1074222241 /nfs/dbraw/zinc/22/22/41/1074222241.db2.gz XMRRXIXUMAWPKQ-RDJZCZTQSA-N 0 0 436.465 -0.713 20 0 IBADRN O=C(c1nn(-c2ccc(F)cc2)ccc1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001320964254 1074222333 /nfs/dbraw/zinc/22/23/33/1074222333.db2.gz XMRRXIXUMAWPKQ-WBVHZDCISA-N 0 0 436.465 -0.713 20 0 IBADRN Cn1c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc2c1nc1ccccn1c2=O ZINC001320964659 1074222271 /nfs/dbraw/zinc/22/22/71/1074222271.db2.gz ZWAMVIXIIRDAHG-CVEARBPZSA-N 0 0 445.501 -0.898 20 0 IBADRN Cn1c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc2c1nc1ccccn1c2=O ZINC001320964660 1074222275 /nfs/dbraw/zinc/22/22/75/1074222275.db2.gz ZWAMVIXIIRDAHG-HOTGVXAUSA-N 0 0 445.501 -0.898 20 0 IBADRN Cn1c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc2c1nc1ccccn1c2=O ZINC001320964661 1074222314 /nfs/dbraw/zinc/22/23/14/1074222314.db2.gz ZWAMVIXIIRDAHG-HZPDHXFCSA-N 0 0 445.501 -0.898 20 0 IBADRN Cn1c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc2c1nc1ccccn1c2=O ZINC001320964662 1074222302 /nfs/dbraw/zinc/22/23/02/1074222302.db2.gz ZWAMVIXIIRDAHG-JKSUJKDBSA-N 0 0 445.501 -0.898 20 0 IBADRN O=C(Cc1noc(-c2nc3ncc(Br)cn3n2)n1)N1CCS(=O)(=O)CC1 ZINC001320968810 1074222262 /nfs/dbraw/zinc/22/22/62/1074222262.db2.gz NEWABLFWVUDQOH-UHFFFAOYSA-N 0 0 442.255 -0.264 20 0 IBADRN CCS(=O)(=O)NCc1ccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001320969114 1074222295 /nfs/dbraw/zinc/22/22/95/1074222295.db2.gz PVYSGCVCVBWKMF-UHFFFAOYSA-N 0 0 442.519 -0.025 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001320973840 1074222279 /nfs/dbraw/zinc/22/22/79/1074222279.db2.gz AOYSUYOURVOLKQ-CVEARBPZSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001320973842 1074222256 /nfs/dbraw/zinc/22/22/56/1074222256.db2.gz AOYSUYOURVOLKQ-HOTGVXAUSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001320973844 1074222335 /nfs/dbraw/zinc/22/23/35/1074222335.db2.gz AOYSUYOURVOLKQ-HZPDHXFCSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1cccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001320973845 1074222217 /nfs/dbraw/zinc/22/22/17/1074222217.db2.gz AOYSUYOURVOLKQ-JKSUJKDBSA-N 0 0 428.532 -0.117 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)Cn2c(=O)oc3cc(S(=O)(=O)CCO)ccc32)C1 ZINC001320978256 1074222287 /nfs/dbraw/zinc/22/22/87/1074222287.db2.gz IMIVJOREOWNRAM-CYBMUJFWSA-N 0 0 425.463 -0.512 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)Cn2c(=O)oc3cc(S(=O)(=O)CCO)ccc32)C1 ZINC001320978259 1074222329 /nfs/dbraw/zinc/22/23/29/1074222329.db2.gz IMIVJOREOWNRAM-ZDUSSCGKSA-N 0 0 425.463 -0.512 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001320987375 1074222674 /nfs/dbraw/zinc/22/26/74/1074222674.db2.gz BQSPJRSIDFRZPQ-HNNXBMFYSA-N 0 0 431.442 -0.147 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc(NC(C)=O)c(F)c1 ZINC001320987390 1074222585 /nfs/dbraw/zinc/22/25/85/1074222585.db2.gz BQSPJRSIDFRZPQ-OAHLLOKOSA-N 0 0 431.442 -0.147 20 0 IBADRN O=C(CN1CCN(CCS(=O)(=O)c2ccc(F)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001320988765 1074222695 /nfs/dbraw/zinc/22/26/95/1074222695.db2.gz JAXDDFQSGQDQGP-INIZCTEOSA-N 0 0 447.554 -0.480 20 0 IBADRN O=C(CN1CCN(CCS(=O)(=O)c2ccc(F)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001320988768 1074222658 /nfs/dbraw/zinc/22/26/58/1074222658.db2.gz JAXDDFQSGQDQGP-MRXNPFEDSA-N 0 0 447.554 -0.480 20 0 IBADRN CC1(Cn2cc(C(=O)NCC3(NC(=O)c4cn(CC5(C)COC5)nn4)CC3)nn2)COC1 ZINC001320996917 1074222629 /nfs/dbraw/zinc/22/26/29/1074222629.db2.gz XOBCQCYLSNGFPR-UHFFFAOYSA-N 0 0 444.496 -0.365 20 0 IBADRN O=C(CN1CCN(CCS(=O)(=O)Cc2ccccc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001321004027 1074222637 /nfs/dbraw/zinc/22/26/37/1074222637.db2.gz ROHOXCBFNLMTQB-GOSISDBHSA-N 0 0 443.591 -0.478 20 0 IBADRN O=C(CN1CCN(CCS(=O)(=O)Cc2ccccc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001321004029 1074222596 /nfs/dbraw/zinc/22/25/96/1074222596.db2.gz ROHOXCBFNLMTQB-SFHVURJKSA-N 0 0 443.591 -0.478 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)c1=O ZINC001321004042 1074222649 /nfs/dbraw/zinc/22/26/49/1074222649.db2.gz SKXFVIYPMLDFIH-UHFFFAOYSA-N 0 0 433.490 -0.352 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1)N[C@@H]1CCS(=O)(=O)C1 ZINC001321006306 1074222642 /nfs/dbraw/zinc/22/26/42/1074222642.db2.gz SJRQIDKAXLODNW-NEPJUHHUSA-N 0 0 436.533 -0.252 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1)N[C@H]1CCS(=O)(=O)C1 ZINC001321006308 1074222625 /nfs/dbraw/zinc/22/26/25/1074222625.db2.gz SJRQIDKAXLODNW-NWDGAFQWSA-N 0 0 436.533 -0.252 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1S(=O)(=O)c1cccs1)N[C@H]1CCS(=O)(=O)C1 ZINC001321006310 1074222610 /nfs/dbraw/zinc/22/26/10/1074222610.db2.gz SJRQIDKAXLODNW-RYUDHWBXSA-N 0 0 436.533 -0.252 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1S(=O)(=O)c1cccs1)N[C@@H]1CCS(=O)(=O)C1 ZINC001321006312 1074222645 /nfs/dbraw/zinc/22/26/45/1074222645.db2.gz SJRQIDKAXLODNW-VXGBXAGGSA-N 0 0 436.533 -0.252 20 0 IBADRN C[C@@H]1CN(C(=O)Cc2nc3ncccn3n2)C[C@H](C)N1C(=O)Cc1nc2ncccn2n1 ZINC001321007074 1074222617 /nfs/dbraw/zinc/22/26/17/1074222617.db2.gz FYLGXLGBEUWMLG-OKILXGFUSA-N 0 0 434.464 -0.205 20 0 IBADRN O=C(c1ccnn1CC(F)F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321007562 1074222654 /nfs/dbraw/zinc/22/26/54/1074222654.db2.gz KZTHVTYINYTLQP-LLVKDONJSA-N 0 0 426.467 -0.577 20 0 IBADRN O=C(c1ccnn1CC(F)F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321007563 1074222706 /nfs/dbraw/zinc/22/27/06/1074222706.db2.gz KZTHVTYINYTLQP-NSHDSACASA-N 0 0 426.467 -0.577 20 0 IBADRN COCCCNC(=O)[C@@H](C)S(=O)(=O)c1nnc(N2CCOCC2)n1CC(F)(F)F ZINC001321008995 1074222687 /nfs/dbraw/zinc/22/26/87/1074222687.db2.gz OTJUNPJPNUOQHA-LLVKDONJSA-N 0 0 443.448 -0.008 20 0 IBADRN COCCCNC(=O)[C@H](C)S(=O)(=O)c1nnc(N2CCOCC2)n1CC(F)(F)F ZINC001321008996 1074222699 /nfs/dbraw/zinc/22/26/99/1074222699.db2.gz OTJUNPJPNUOQHA-NSHDSACASA-N 0 0 443.448 -0.008 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC001321014229 1074222566 /nfs/dbraw/zinc/22/25/66/1074222566.db2.gz MWFGVEBHWKHHCG-FQEVSTJZSA-N 0 0 436.509 -0.301 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC(C(=O)N2CCOCC2)CC1 ZINC001321014230 1074223019 /nfs/dbraw/zinc/22/30/19/1074223019.db2.gz MWFGVEBHWKHHCG-HXUWFJFHSA-N 0 0 436.509 -0.301 20 0 IBADRN CC(C)[C@H](NC(=O)CCN1CCCS1(=O)=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321015386 1074223057 /nfs/dbraw/zinc/22/30/57/1074223057.db2.gz IGSMIHQCRZPJHI-KRWDZBQOSA-N 0 0 426.543 -0.251 20 0 IBADRN CC(C)[C@@H](NC(=O)CCN1CCCS1(=O)=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321015387 1074223142 /nfs/dbraw/zinc/22/31/42/1074223142.db2.gz IGSMIHQCRZPJHI-QGZVFWFLSA-N 0 0 426.543 -0.251 20 0 IBADRN CN(C)S(=O)(=O)CCC[S@@](=O)Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC001321017267 1074223006 /nfs/dbraw/zinc/22/30/06/1074223006.db2.gz IKMBUZIMSSOEDJ-RUZDIDTESA-N 0 0 435.553 -0.404 20 0 IBADRN CN(C)S(=O)(=O)CCC[S@](=O)Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC001321017268 1074223120 /nfs/dbraw/zinc/22/31/20/1074223120.db2.gz IKMBUZIMSSOEDJ-VWLOTQADSA-N 0 0 435.553 -0.404 20 0 IBADRN CC(C)[C@H](NC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321017571 1074223068 /nfs/dbraw/zinc/22/30/68/1074223068.db2.gz WXNARZAXRHJWGM-KRWDZBQOSA-N 0 0 440.570 -0.005 20 0 IBADRN CC(C)[C@@H](NC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321017574 1074223092 /nfs/dbraw/zinc/22/30/92/1074223092.db2.gz WXNARZAXRHJWGM-QGZVFWFLSA-N 0 0 440.570 -0.005 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H](CO)C(=O)OCc1ccccc1 ZINC001321019457 1074223132 /nfs/dbraw/zinc/22/31/32/1074223132.db2.gz DWFNEOFFTZNEIZ-BBRMVZONSA-N 0 0 438.506 -0.076 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H](CO)C(=O)OCc1ccccc1 ZINC001321019459 1074223104 /nfs/dbraw/zinc/22/31/04/1074223104.db2.gz DWFNEOFFTZNEIZ-CJNGLKHVSA-N 0 0 438.506 -0.076 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H](CO)C(=O)OCc1ccccc1 ZINC001321019461 1074222997 /nfs/dbraw/zinc/22/29/97/1074222997.db2.gz DWFNEOFFTZNEIZ-CZUORRHYSA-N 0 0 438.506 -0.076 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H](CO)C(=O)OCc1ccccc1 ZINC001321019463 1074222977 /nfs/dbraw/zinc/22/29/77/1074222977.db2.gz DWFNEOFFTZNEIZ-XJKSGUPXSA-N 0 0 438.506 -0.076 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC001321020061 1074223039 /nfs/dbraw/zinc/22/30/39/1074223039.db2.gz QRESTGQKARTPKD-GXTWGEPZSA-N 0 0 437.478 -0.416 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC001321020065 1074223127 /nfs/dbraw/zinc/22/31/27/1074223127.db2.gz QRESTGQKARTPKD-JSGCOSHPSA-N 0 0 437.478 -0.416 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC001321020068 1074223138 /nfs/dbraw/zinc/22/31/38/1074223138.db2.gz QRESTGQKARTPKD-OCCSQVGLSA-N 0 0 437.478 -0.416 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)C1 ZINC001321020071 1074223027 /nfs/dbraw/zinc/22/30/27/1074223027.db2.gz QRESTGQKARTPKD-TZMCWYRMSA-N 0 0 437.478 -0.416 20 0 IBADRN O=C(N[C@@]1(CO)CCOC1)c1cc(Br)c(C(=O)N[C@]2(CO)CCOC2)o1 ZINC001321020422 1074223112 /nfs/dbraw/zinc/22/31/12/1074223112.db2.gz ULYFNUIUJIGPJS-CVEARBPZSA-N 0 0 433.255 -0.196 20 0 IBADRN O=C(N[C@]1(CO)CCOC1)c1cc(Br)c(C(=O)N[C@]2(CO)CCOC2)o1 ZINC001321020423 1074223098 /nfs/dbraw/zinc/22/30/98/1074223098.db2.gz ULYFNUIUJIGPJS-HOTGVXAUSA-N 0 0 433.255 -0.196 20 0 IBADRN O=C(N[C@@]1(CO)CCOC1)c1cc(Br)c(C(=O)N[C@@]2(CO)CCOC2)o1 ZINC001321020424 1074223046 /nfs/dbraw/zinc/22/30/46/1074223046.db2.gz ULYFNUIUJIGPJS-HZPDHXFCSA-N 0 0 433.255 -0.196 20 0 IBADRN O=C(N[C@@]1(CO)CCOC1)c1oc(C(=O)N[C@]2(CO)CCOC2)cc1Br ZINC001321020425 1074223086 /nfs/dbraw/zinc/22/30/86/1074223086.db2.gz ULYFNUIUJIGPJS-JKSUJKDBSA-N 0 0 433.255 -0.196 20 0 IBADRN O=C([C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001321021823 1074223078 /nfs/dbraw/zinc/22/30/78/1074223078.db2.gz KLNCTIFJOGVJSX-BTYIYWSLSA-N 0 0 440.460 -0.263 20 0 IBADRN O=C([C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001321021824 1074223508 /nfs/dbraw/zinc/22/35/08/1074223508.db2.gz KLNCTIFJOGVJSX-QVKFZJNVSA-N 0 0 440.460 -0.263 20 0 IBADRN O=C([C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001321021825 1074223570 /nfs/dbraw/zinc/22/35/70/1074223570.db2.gz KLNCTIFJOGVJSX-VFNWGFHPSA-N 0 0 440.460 -0.263 20 0 IBADRN O=C([C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001321021826 1074223513 /nfs/dbraw/zinc/22/35/13/1074223513.db2.gz KLNCTIFJOGVJSX-YCRPNKLZSA-N 0 0 440.460 -0.263 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c1 ZINC001321022389 1074223549 /nfs/dbraw/zinc/22/35/49/1074223549.db2.gz RZDZTOGIXZSBJP-GOSISDBHSA-N 0 0 439.494 -0.921 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c1 ZINC001321022394 1074223475 /nfs/dbraw/zinc/22/34/75/1074223475.db2.gz RZDZTOGIXZSBJP-SFHVURJKSA-N 0 0 439.494 -0.921 20 0 IBADRN O=C(Nc1cc(-c2cccnc2)nn1CCO)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001321025781 1074223470 /nfs/dbraw/zinc/22/34/70/1074223470.db2.gz QZFDMSPGJQGSBD-CABCVRRESA-N 0 0 433.490 -0.089 20 0 IBADRN O=C(Nc1cc(-c2cccnc2)nn1CCO)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001321025785 1074223455 /nfs/dbraw/zinc/22/34/55/1074223455.db2.gz QZFDMSPGJQGSBD-GJZGRUSLSA-N 0 0 433.490 -0.089 20 0 IBADRN O=C(Nc1cc(-c2cccnc2)nn1CCO)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001321025789 1074223500 /nfs/dbraw/zinc/22/35/00/1074223500.db2.gz QZFDMSPGJQGSBD-HUUCEWRRSA-N 0 0 433.490 -0.089 20 0 IBADRN O=C(Nc1cc(-c2cccnc2)nn1CCO)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001321025790 1074223412 /nfs/dbraw/zinc/22/34/12/1074223412.db2.gz QZFDMSPGJQGSBD-LSDHHAIUSA-N 0 0 433.490 -0.089 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001321030365 1074223491 /nfs/dbraw/zinc/22/34/91/1074223491.db2.gz ZUQUECQIAPREDF-UHFFFAOYSA-N 0 0 440.522 -0.667 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)c1 ZINC001321035930 1074223535 /nfs/dbraw/zinc/22/35/35/1074223535.db2.gz MTLUGGVFMXWPAO-UHFFFAOYSA-N 0 0 425.492 -0.168 20 0 IBADRN CC(=O)[C@H](Cc1ccccc1)NC(=O)Cn1cnc2c(c(S(C)(=O)=O)nn2C)c1=O ZINC001321036218 1074223528 /nfs/dbraw/zinc/22/35/28/1074223528.db2.gz VCQCLSVWRHDBPL-AWEZNQCLSA-N 0 0 431.474 -0.150 20 0 IBADRN CC(=O)[C@@H](Cc1ccccc1)NC(=O)Cn1cnc2c(c(S(C)(=O)=O)nn2C)c1=O ZINC001321036219 1074223519 /nfs/dbraw/zinc/22/35/19/1074223519.db2.gz VCQCLSVWRHDBPL-CQSZACIVSA-N 0 0 431.474 -0.150 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001321036557 1074223556 /nfs/dbraw/zinc/22/35/56/1074223556.db2.gz IRPIDKFBBAPVSV-JSGCOSHPSA-N 0 0 441.897 -0.098 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001321036560 1074223565 /nfs/dbraw/zinc/22/35/65/1074223565.db2.gz IRPIDKFBBAPVSV-OCCSQVGLSA-N 0 0 441.897 -0.098 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)N(C)CCS(C)(=O)=O)[C@@H]1C(=O)N(C)CCS(C)(=O)=O ZINC001321036873 1074223484 /nfs/dbraw/zinc/22/34/84/1074223484.db2.gz ISMMXRVKQIORFX-BPLDGKMQSA-N 0 0 438.568 -0.776 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N(C)CCS(C)(=O)=O)[C@H]1C(=O)N(C)CCS(C)(=O)=O ZINC001321036874 1074223546 /nfs/dbraw/zinc/22/35/46/1074223546.db2.gz ISMMXRVKQIORFX-SNPRPXQTSA-N 0 0 438.568 -0.776 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N(C)CCS(C)(=O)=O)[C@@H]1C(=O)N(C)CCS(C)(=O)=O ZINC001321036875 1074223436 /nfs/dbraw/zinc/22/34/36/1074223436.db2.gz ISMMXRVKQIORFX-VHDGCEQUSA-N 0 0 438.568 -0.776 20 0 IBADRN C[C@@H]1CC(=O)C[C@@H](C(=O)N(C)CCS(C)(=O)=O)[C@H]1C(=O)N(C)CCS(C)(=O)=O ZINC001321036876 1074223541 /nfs/dbraw/zinc/22/35/41/1074223541.db2.gz ISMMXRVKQIORFX-YUELXQCFSA-N 0 0 438.568 -0.776 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)NCc1nnc2n1CCOC2 ZINC001321037289 1074223579 /nfs/dbraw/zinc/22/35/79/1074223579.db2.gz QOXONUSKYQOBNR-UHFFFAOYSA-N 0 0 437.478 -0.046 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NCc2nnc3n2CCOC3)cc1OC ZINC001321037300 1074223955 /nfs/dbraw/zinc/22/39/55/1074223955.db2.gz QTTIWMJJDMZGGT-UHFFFAOYSA-N 0 0 425.467 -0.190 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)c(=O)c1 ZINC001321046308 1074223975 /nfs/dbraw/zinc/22/39/75/1074223975.db2.gz JQMZWHXTDOVNEB-FQEVSTJZSA-N 0 0 441.550 -0.003 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)c(=O)c1 ZINC001321046313 1074223998 /nfs/dbraw/zinc/22/39/98/1074223998.db2.gz JQMZWHXTDOVNEB-HXUWFJFHSA-N 0 0 441.550 -0.003 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001321058757 1074223984 /nfs/dbraw/zinc/22/39/84/1074223984.db2.gz UARJSKMMXUDBKW-AWEZNQCLSA-N 0 0 429.495 -0.573 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001321058758 1074223788 /nfs/dbraw/zinc/22/37/88/1074223788.db2.gz UARJSKMMXUDBKW-CQSZACIVSA-N 0 0 429.495 -0.573 20 0 IBADRN CCN(C(=O)COC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)[C@H]1CCS(=O)(=O)C1 ZINC001321061341 1074224027 /nfs/dbraw/zinc/22/40/27/1074224027.db2.gz CDDAMWATLNEXDY-HNNXBMFYSA-N 0 0 447.531 -0.426 20 0 IBADRN CCN(C(=O)COC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC001321061344 1074223861 /nfs/dbraw/zinc/22/38/61/1074223861.db2.gz CDDAMWATLNEXDY-OAHLLOKOSA-N 0 0 447.531 -0.426 20 0 IBADRN O=C(Nc1ccc(F)c(N2C(=O)CNC2=O)c1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001321063351 1074223919 /nfs/dbraw/zinc/22/39/19/1074223919.db2.gz VJCKRNMSIPJZCO-CMPLNLGQSA-N 0 0 438.437 -0.144 20 0 IBADRN O=C(Nc1ccc(F)c(N2C(=O)CNC2=O)c1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001321063352 1074224009 /nfs/dbraw/zinc/22/40/09/1074224009.db2.gz VJCKRNMSIPJZCO-JQWIXIFHSA-N 0 0 438.437 -0.144 20 0 IBADRN O=C(Nc1ccc(F)c(N2C(=O)CNC2=O)c1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001321063353 1074223815 /nfs/dbraw/zinc/22/38/15/1074223815.db2.gz VJCKRNMSIPJZCO-PWSUYJOCSA-N 0 0 438.437 -0.144 20 0 IBADRN O=C(Nc1ccc(F)c(N2C(=O)CNC2=O)c1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001321063354 1074223912 /nfs/dbraw/zinc/22/39/12/1074223912.db2.gz VJCKRNMSIPJZCO-ZYHUDNBSSA-N 0 0 438.437 -0.144 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)[C@@H]1CC12CCS(=O)(=O)CC2)S(C)(=O)=O ZINC001321064066 1074223835 /nfs/dbraw/zinc/22/38/35/1074223835.db2.gz ROTWGKRHABFUDX-AWEZNQCLSA-N 0 0 438.568 -0.079 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)[C@H]1CC12CCS(=O)(=O)CC2)S(C)(=O)=O ZINC001321064067 1074223899 /nfs/dbraw/zinc/22/38/99/1074223899.db2.gz ROTWGKRHABFUDX-CQSZACIVSA-N 0 0 438.568 -0.079 20 0 IBADRN NC(=O)c1ccc(NCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321064360 1074223873 /nfs/dbraw/zinc/22/38/73/1074223873.db2.gz UNYZUGZESNUVDL-INIZCTEOSA-N 0 0 438.506 -0.928 20 0 IBADRN NC(=O)c1ccc(NCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321064361 1074223883 /nfs/dbraw/zinc/22/38/83/1074223883.db2.gz UNYZUGZESNUVDL-MRXNPFEDSA-N 0 0 438.506 -0.928 20 0 IBADRN CC(C)[C@@H](NC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321064904 1074223943 /nfs/dbraw/zinc/22/39/43/1074223943.db2.gz HKEZWFJNHLLQCX-KBXCAEBGSA-N 0 0 440.570 -0.558 20 0 IBADRN CC(C)[C@H](NC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321064905 1074224411 /nfs/dbraw/zinc/22/44/11/1074224411.db2.gz HKEZWFJNHLLQCX-KDOFPFPSSA-N 0 0 440.570 -0.558 20 0 IBADRN CC(C)[C@H](NC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321064906 1074224585 /nfs/dbraw/zinc/22/45/85/1074224585.db2.gz HKEZWFJNHLLQCX-KSSFIOAISA-N 0 0 440.570 -0.558 20 0 IBADRN CC(C)[C@@H](NC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321064907 1074224577 /nfs/dbraw/zinc/22/45/77/1074224577.db2.gz HKEZWFJNHLLQCX-RDTXWAMCSA-N 0 0 440.570 -0.558 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cn1C ZINC001321070551 1074224536 /nfs/dbraw/zinc/22/45/36/1074224536.db2.gz PWNRNLISELCOQT-UHFFFAOYSA-N 0 0 447.583 -0.882 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001321073606 1074224442 /nfs/dbraw/zinc/22/44/42/1074224442.db2.gz DKWJZKFJAKCXCL-AWEZNQCLSA-N 0 0 431.536 -0.711 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001321073607 1074224555 /nfs/dbraw/zinc/22/45/55/1074224555.db2.gz DKWJZKFJAKCXCL-CQSZACIVSA-N 0 0 431.536 -0.711 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2C1 ZINC001321077234 1074224567 /nfs/dbraw/zinc/22/45/67/1074224567.db2.gz WVZJODNDMMCKEW-KRWDZBQOSA-N 0 0 432.525 -0.802 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C(=O)CN4CCOCC4)CC3)c2C1 ZINC001321077238 1074224593 /nfs/dbraw/zinc/22/45/93/1074224593.db2.gz WVZJODNDMMCKEW-QGZVFWFLSA-N 0 0 432.525 -0.802 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cn1 ZINC001321078918 1074224491 /nfs/dbraw/zinc/22/44/91/1074224491.db2.gz FVQUKXKPHGPSKK-UHFFFAOYSA-N 0 0 437.565 -0.631 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCc2nnc3n2CCOC3)s1 ZINC001321079960 1074224458 /nfs/dbraw/zinc/22/44/58/1074224458.db2.gz UDORIZWHIZVMLJ-UHFFFAOYSA-N 0 0 435.553 -0.170 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001321081886 1074224602 /nfs/dbraw/zinc/22/46/02/1074224602.db2.gz PUAGHJLFXZLCBG-AWEZNQCLSA-N 0 0 432.520 -0.518 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001321081888 1074224507 /nfs/dbraw/zinc/22/45/07/1074224507.db2.gz PUAGHJLFXZLCBG-CQSZACIVSA-N 0 0 432.520 -0.518 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC001321090060 1074224475 /nfs/dbraw/zinc/22/44/75/1074224475.db2.gz IRUGMENJKMFACW-IVAFGUPBSA-N 0 0 431.540 -0.979 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC001321090064 1074224560 /nfs/dbraw/zinc/22/45/60/1074224560.db2.gz IRUGMENJKMFACW-MDNHHPLHSA-N 0 0 431.540 -0.979 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001321090067 1074224525 /nfs/dbraw/zinc/22/45/25/1074224525.db2.gz IRUGMENJKMFACW-MWSMCUOVSA-N 0 0 431.540 -0.979 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001321090070 1074224597 /nfs/dbraw/zinc/22/45/97/1074224597.db2.gz IRUGMENJKMFACW-VXKZXEGRSA-N 0 0 431.540 -0.979 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)c2C1 ZINC001321091281 1074224516 /nfs/dbraw/zinc/22/45/16/1074224516.db2.gz UPAZEOQXTHPPGE-HNNXBMFYSA-N 0 0 429.481 -0.111 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)Nc3ccn(CC(=O)N4CCOCC4)n3)c2C1 ZINC001321091283 1074224531 /nfs/dbraw/zinc/22/45/31/1074224531.db2.gz UPAZEOQXTHPPGE-OAHLLOKOSA-N 0 0 429.481 -0.111 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(-c3nc([C@@H]4CCS(=O)(=O)C4)no3)cc2)CCN1 ZINC001321101148 1074224423 /nfs/dbraw/zinc/22/44/23/1074224423.db2.gz GEOBBSNDFJCDLS-GFCCVEGCSA-N 0 0 426.476 -0.241 20 0 IBADRN O=C1CN(S(=O)(=O)c2ccc(-c3nc([C@H]4CCS(=O)(=O)C4)no3)cc2)CCN1 ZINC001321101150 1074224434 /nfs/dbraw/zinc/22/44/34/1074224434.db2.gz GEOBBSNDFJCDLS-LBPRGKRZSA-N 0 0 426.476 -0.241 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCc2ccncn2)CCN1C(=O)CCc1ccncn1 ZINC001321104147 1074224896 /nfs/dbraw/zinc/22/48/96/1074224896.db2.gz BGKMEMIVXRIARS-GOSISDBHSA-N 0 0 425.493 -0.040 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCc2ccncn2)CCN1C(=O)CCc1ccncn1 ZINC001321104149 1074224989 /nfs/dbraw/zinc/22/49/89/1074224989.db2.gz BGKMEMIVXRIARS-SFHVURJKSA-N 0 0 425.493 -0.040 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001321109405 1074224930 /nfs/dbraw/zinc/22/49/30/1074224930.db2.gz HQEFOOMIRHMSKE-FQEVSTJZSA-N 0 0 438.550 -0.232 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001321109406 1074224951 /nfs/dbraw/zinc/22/49/51/1074224951.db2.gz HQEFOOMIRHMSKE-HXUWFJFHSA-N 0 0 438.550 -0.232 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCC(NS(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001321111763 1074224849 /nfs/dbraw/zinc/22/48/49/1074224849.db2.gz SXYMUDJTVSXVSF-GOSISDBHSA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCC(NS(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001321111764 1074224801 /nfs/dbraw/zinc/22/48/01/1074224801.db2.gz SXYMUDJTVSXVSF-SFHVURJKSA-N 0 0 445.563 -0.018 20 0 IBADRN COC(=O)c1nn(CN2CCC[C@@H](N3CCCS3(=O)=O)C2)c(=O)c2noc(C)c21 ZINC001321116475 1074224841 /nfs/dbraw/zinc/22/48/41/1074224841.db2.gz YCSAYKAIPODJFF-GFCCVEGCSA-N 0 0 425.467 -0.063 20 0 IBADRN COC(=O)c1nn(CN2CCC[C@H](N3CCCS3(=O)=O)C2)c(=O)c2noc(C)c21 ZINC001321116476 1074224828 /nfs/dbraw/zinc/22/48/28/1074224828.db2.gz YCSAYKAIPODJFF-LBPRGKRZSA-N 0 0 425.467 -0.063 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC001321117977 1074224881 /nfs/dbraw/zinc/22/48/81/1074224881.db2.gz ALRQCKXXYXPDAL-UHFFFAOYSA-N 0 0 429.586 -0.259 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001321129480 1074224817 /nfs/dbraw/zinc/22/48/17/1074224817.db2.gz LUJFZUNUKGAKJU-KBPBESRZSA-N 0 0 446.551 -0.822 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001321129482 1074224784 /nfs/dbraw/zinc/22/47/84/1074224784.db2.gz LUJFZUNUKGAKJU-KGLIPLIRSA-N 0 0 446.551 -0.822 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001321129484 1074224921 /nfs/dbraw/zinc/22/49/21/1074224921.db2.gz LUJFZUNUKGAKJU-UONOGXRCSA-N 0 0 446.551 -0.822 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001321129485 1074224982 /nfs/dbraw/zinc/22/49/82/1074224982.db2.gz LUJFZUNUKGAKJU-ZIAGYGMSSA-N 0 0 446.551 -0.822 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](CCS(C)(=O)=O)c1ccccc1 ZINC001321129534 1074224792 /nfs/dbraw/zinc/22/47/92/1074224792.db2.gz DUKJLQQORFOUTH-CVEARBPZSA-N 0 0 430.548 -0.033 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H](CCS(C)(=O)=O)c1ccccc1 ZINC001321129536 1074224776 /nfs/dbraw/zinc/22/47/76/1074224776.db2.gz DUKJLQQORFOUTH-HOTGVXAUSA-N 0 0 430.548 -0.033 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](CCS(C)(=O)=O)c1ccccc1 ZINC001321129539 1074224852 /nfs/dbraw/zinc/22/48/52/1074224852.db2.gz DUKJLQQORFOUTH-HZPDHXFCSA-N 0 0 430.548 -0.033 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H](CCS(C)(=O)=O)c1ccccc1 ZINC001321129542 1074224811 /nfs/dbraw/zinc/22/48/11/1074224811.db2.gz DUKJLQQORFOUTH-JKSUJKDBSA-N 0 0 430.548 -0.033 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)C1CCN(S(=O)(=O)c2cccnc2Cl)CC1 ZINC001321132831 1074224911 /nfs/dbraw/zinc/22/49/11/1074224911.db2.gz CDFWNMKZTGLCTF-UHFFFAOYSA-N 0 0 432.886 -0.459 20 0 IBADRN Cc1oc(S(N)(=O)=O)cc1C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001321136146 1074224860 /nfs/dbraw/zinc/22/48/60/1074224860.db2.gz KCSBASLOXVMWLX-UHFFFAOYSA-N 0 0 442.538 -0.074 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC001321137484 1074224966 /nfs/dbraw/zinc/22/49/66/1074224966.db2.gz XDMGHSDVBLBQTG-UHFFFAOYSA-N 0 0 435.506 -0.111 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN(CC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321140304 1074225381 /nfs/dbraw/zinc/22/53/81/1074225381.db2.gz JRUJZOGYFMNZHU-HNNXBMFYSA-N 0 0 446.570 -0.215 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN(CC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321140305 1074225325 /nfs/dbraw/zinc/22/53/25/1074225325.db2.gz JRUJZOGYFMNZHU-OAHLLOKOSA-N 0 0 446.570 -0.215 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)Cn1cc(S(N)(=O)=O)cn1 ZINC001321140475 1074225420 /nfs/dbraw/zinc/22/54/20/1074225420.db2.gz LUVYFRZYEDXRGM-UHFFFAOYSA-N 0 0 436.494 -0.435 20 0 IBADRN O=C(CC(O)CC(=O)N1CCN(c2cccnn2)CC1)N1CCN(c2cccnn2)CC1 ZINC001321141437 1074225442 /nfs/dbraw/zinc/22/54/42/1074225442.db2.gz VWGHREBOEKEBDY-UHFFFAOYSA-N 0 0 440.508 -0.595 20 0 IBADRN CC(=O)N[C@](C)(C(=O)OCc1cc(=O)n(C)c(=O)n1C)c1ccc(S(C)(=O)=O)cc1 ZINC001321141999 1074225289 /nfs/dbraw/zinc/22/52/89/1074225289.db2.gz CRBGTBURFVMWBA-IBGZPJMESA-N 0 0 437.474 -0.418 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)OCc1cc(=O)n(C)c(=O)n1C)c1ccc(S(C)(=O)=O)cc1 ZINC001321142000 1074225358 /nfs/dbraw/zinc/22/53/58/1074225358.db2.gz CRBGTBURFVMWBA-LJQANCHMSA-N 0 0 437.474 -0.418 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)OCCCN1C(=O)CNC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321142833 1074225408 /nfs/dbraw/zinc/22/54/08/1074225408.db2.gz LXAOPGDRIPVGBC-GOSISDBHSA-N 0 0 425.463 -0.074 20 0 IBADRN CC(=O)N[C@](C)(C(=O)OCCCN1C(=O)CNC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321143234 1074225368 /nfs/dbraw/zinc/22/53/68/1074225368.db2.gz LXAOPGDRIPVGBC-SFHVURJKSA-N 0 0 425.463 -0.074 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)OCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001321143661 1074225397 /nfs/dbraw/zinc/22/53/97/1074225397.db2.gz PDKVTIHWJXDWNB-UHFFFAOYSA-N 0 0 433.446 -0.345 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCC(=O)N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC001321145145 1074225345 /nfs/dbraw/zinc/22/53/45/1074225345.db2.gz OURHMBXBBIQSQO-IBGZPJMESA-N 0 0 425.507 -0.194 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCC(=O)N1CCOCC1)c1ccc(S(C)(=O)=O)cc1 ZINC001321145146 1074225276 /nfs/dbraw/zinc/22/52/76/1074225276.db2.gz OURHMBXBBIQSQO-LJQANCHMSA-N 0 0 425.507 -0.194 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC[C@@H](O)CN1CCCC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321145378 1074225306 /nfs/dbraw/zinc/22/53/06/1074225306.db2.gz RVYULUOAEARSCV-BEFAXECRSA-N 0 0 425.507 -0.459 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC[C@@H](O)CN1CCCC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321145379 1074225258 /nfs/dbraw/zinc/22/52/58/1074225258.db2.gz RVYULUOAEARSCV-DNVCBOLYSA-N 0 0 425.507 -0.459 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC[C@H](O)CN1CCCC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321145380 1074225349 /nfs/dbraw/zinc/22/53/49/1074225349.db2.gz RVYULUOAEARSCV-HNAYVOBHSA-N 0 0 425.507 -0.459 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC[C@H](O)CN1CCCC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321145381 1074225314 /nfs/dbraw/zinc/22/53/14/1074225314.db2.gz RVYULUOAEARSCV-KXBFYZLASA-N 0 0 425.507 -0.459 20 0 IBADRN C[C@@H]1CN(C(=O)C2(C(=O)N3CCS(=O)(=O)C[C@@H](C)C3)CCC2)CCS(=O)(=O)C1 ZINC001321146628 1074225320 /nfs/dbraw/zinc/22/53/20/1074225320.db2.gz ODGOHHIGRFUAGM-GASCZTMLSA-N 0 0 434.580 -0.057 20 0 IBADRN C[C@H]1CN(C(=O)C2(C(=O)N3CCS(=O)(=O)C[C@@H](C)C3)CCC2)CCS(=O)(=O)C1 ZINC001321146629 1074225266 /nfs/dbraw/zinc/22/52/66/1074225266.db2.gz ODGOHHIGRFUAGM-GJZGRUSLSA-N 0 0 434.580 -0.057 20 0 IBADRN C[C@@H]1CN(C(=O)C2(C(=O)N3CCS(=O)(=O)C[C@H](C)C3)CCC2)CCS(=O)(=O)C1 ZINC001321146630 1074225772 /nfs/dbraw/zinc/22/57/72/1074225772.db2.gz ODGOHHIGRFUAGM-HUUCEWRRSA-N 0 0 434.580 -0.057 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC001321148878 1074225821 /nfs/dbraw/zinc/22/58/21/1074225821.db2.gz OEAUJZORCVMUBG-CYBMUJFWSA-N 0 0 426.495 -0.204 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC001321148879 1074225800 /nfs/dbraw/zinc/22/58/00/1074225800.db2.gz OEAUJZORCVMUBG-ZDUSSCGKSA-N 0 0 426.495 -0.204 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1CCS(=O)(=O)CCN1CCS(=O)(=O)CC[C@@H]1C ZINC001321149271 1074225764 /nfs/dbraw/zinc/22/57/64/1074225764.db2.gz STYQTKNHKUQUAV-HOTGVXAUSA-N 0 0 444.641 -0.581 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1CCS(=O)(=O)CCN1CCS(=O)(=O)CC[C@H]1C ZINC001321149274 1074225710 /nfs/dbraw/zinc/22/57/10/1074225710.db2.gz STYQTKNHKUQUAV-HZPDHXFCSA-N 0 0 444.641 -0.581 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1CCS(=O)(=O)CCN1CCS(=O)(=O)CC[C@@H]1C ZINC001321149278 1074225756 /nfs/dbraw/zinc/22/57/56/1074225756.db2.gz STYQTKNHKUQUAV-IYBDPMFKSA-N 0 0 444.641 -0.581 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[S@](=O)C[C@@H]2c2cnn(C)c2)cn1C ZINC001321153520 1074225835 /nfs/dbraw/zinc/22/58/35/1074225835.db2.gz DHGSFHKBHADNOG-ASHKIFAZSA-N 0 0 428.540 -0.537 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[S@@](=O)C[C@@H]2c2cnn(C)c2)cn1C ZINC001321153521 1074225828 /nfs/dbraw/zinc/22/58/28/1074225828.db2.gz DHGSFHKBHADNOG-LOKFHWFJSA-N 0 0 428.540 -0.537 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[S@@](=O)C[C@H]2c2cnn(C)c2)cn1C ZINC001321153522 1074225745 /nfs/dbraw/zinc/22/57/45/1074225745.db2.gz DHGSFHKBHADNOG-PGGUUEOZSA-N 0 0 428.540 -0.537 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CC[S@](=O)C[C@H]2c2cnn(C)c2)cn1C ZINC001321153523 1074225811 /nfs/dbraw/zinc/22/58/11/1074225811.db2.gz DHGSFHKBHADNOG-PWFNWSNSSA-N 0 0 428.540 -0.537 20 0 IBADRN C[C@@H](CNC(=O)Cc1ccc(CC(=O)NC[C@H](C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC001321153812 1074225750 /nfs/dbraw/zinc/22/57/50/1074225750.db2.gz FLLVATRYMGOTEB-KBPBESRZSA-N 0 0 432.564 -0.130 20 0 IBADRN C[C@H](CNC(=O)Cc1ccc(CC(=O)NC[C@H](C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC001321153813 1074225779 /nfs/dbraw/zinc/22/57/79/1074225779.db2.gz FLLVATRYMGOTEB-OKILXGFUSA-N 0 0 432.564 -0.130 20 0 IBADRN C[C@H](CNC(=O)Cc1ccc(CC(=O)NC[C@@H](C)S(C)(=O)=O)cc1)S(C)(=O)=O ZINC001321153814 1074225718 /nfs/dbraw/zinc/22/57/18/1074225718.db2.gz FLLVATRYMGOTEB-ZIAGYGMSSA-N 0 0 432.564 -0.130 20 0 IBADRN CN(CCS(C)(=O)=O)C(=O)Cc1ccc(CC(=O)N(C)CCS(C)(=O)=O)cc1 ZINC001321154334 1074225789 /nfs/dbraw/zinc/22/57/89/1074225789.db2.gz RSIDVJQDDNZWOS-UHFFFAOYSA-N 0 0 432.564 -0.222 20 0 IBADRN CCCn1ncnc1CS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001321159982 1074225700 /nfs/dbraw/zinc/22/57/00/1074225700.db2.gz UTUIVCONQNXAAE-CABCVRRESA-N 0 0 448.567 -0.202 20 0 IBADRN CCCn1ncnc1CS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001321159983 1074225729 /nfs/dbraw/zinc/22/57/29/1074225729.db2.gz UTUIVCONQNXAAE-GJZGRUSLSA-N 0 0 448.567 -0.202 20 0 IBADRN CCCn1ncnc1CS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001321159984 1074225735 /nfs/dbraw/zinc/22/57/35/1074225735.db2.gz UTUIVCONQNXAAE-HUUCEWRRSA-N 0 0 448.567 -0.202 20 0 IBADRN CCCn1ncnc1CS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001321159985 1074226117 /nfs/dbraw/zinc/22/61/17/1074226117.db2.gz UTUIVCONQNXAAE-LSDHHAIUSA-N 0 0 448.567 -0.202 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC001321160712 1074226191 /nfs/dbraw/zinc/22/61/91/1074226191.db2.gz YGRQLFXJIIMDPO-HNNXBMFYSA-N 0 0 434.584 -0.330 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1c(C)nn(CCS(C)(=O)=O)c1C ZINC001321160713 1074226092 /nfs/dbraw/zinc/22/60/92/1074226092.db2.gz YGRQLFXJIIMDPO-OAHLLOKOSA-N 0 0 434.584 -0.330 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCC(C(=O)N3CCOCC3)CC2)cn1C ZINC001321165481 1074226158 /nfs/dbraw/zinc/22/61/58/1074226158.db2.gz BTZZJVYCAITCPT-UHFFFAOYSA-N 0 0 427.527 -0.506 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(CN2C(=O)CCC2=O)cc1 ZINC001321166839 1074226197 /nfs/dbraw/zinc/22/61/97/1074226197.db2.gz VAZBUICABVQLTR-DLBZAZTESA-N 0 0 435.502 -0.437 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(CN2C(=O)CCC2=O)cc1 ZINC001321166840 1074226253 /nfs/dbraw/zinc/22/62/53/1074226253.db2.gz VAZBUICABVQLTR-IAGOWNOFSA-N 0 0 435.502 -0.437 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(CN2C(=O)CCC2=O)cc1 ZINC001321166841 1074226133 /nfs/dbraw/zinc/22/61/33/1074226133.db2.gz VAZBUICABVQLTR-IRXDYDNUSA-N 0 0 435.502 -0.437 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(CN2C(=O)CCC2=O)cc1 ZINC001321166842 1074226257 /nfs/dbraw/zinc/22/62/57/1074226257.db2.gz VAZBUICABVQLTR-SJORKVTESA-N 0 0 435.502 -0.437 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001321167487 1074226232 /nfs/dbraw/zinc/22/62/32/1074226232.db2.gz PKYXEEMJKKKFNJ-JOCHJYFZSA-N 0 0 434.537 -0.324 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001321167606 1074226141 /nfs/dbraw/zinc/22/61/41/1074226141.db2.gz PKYXEEMJKKKFNJ-QFIPXVFZSA-N 0 0 434.537 -0.324 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2 ZINC001321169213 1074226211 /nfs/dbraw/zinc/22/62/11/1074226211.db2.gz BHXQNKYYSXBVHE-AWEZNQCLSA-N 0 0 441.531 -0.554 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2 ZINC001321169218 1074226108 /nfs/dbraw/zinc/22/61/08/1074226108.db2.gz BHXQNKYYSXBVHE-CQSZACIVSA-N 0 0 441.531 -0.554 20 0 IBADRN CC(C)[C@H](NCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321169509 1074226244 /nfs/dbraw/zinc/22/62/44/1074226244.db2.gz JNRFINLIPQIIGV-HNNXBMFYSA-N 0 0 443.512 -0.729 20 0 IBADRN CC(C)[C@@H](NCc1nc2c([nH]1)n(C)c(=O)n(C)c2=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321169526 1074226121 /nfs/dbraw/zinc/22/61/21/1074226121.db2.gz JNRFINLIPQIIGV-OAHLLOKOSA-N 0 0 443.512 -0.729 20 0 IBADRN CN(C(=O)c1ccc(S(=O)(=O)N(C)CCO)cc1)C1CCN(S(C)(=O)=O)CC1 ZINC001321172561 1074226223 /nfs/dbraw/zinc/22/62/23/1074226223.db2.gz AVJHQMTVABKHDB-UHFFFAOYSA-N 0 0 433.552 -0.205 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001321173736 1074226099 /nfs/dbraw/zinc/22/60/99/1074226099.db2.gz IPGDQDGAKSTLAK-HNNXBMFYSA-N 0 0 446.551 -0.919 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001321173739 1074226220 /nfs/dbraw/zinc/22/62/20/1074226220.db2.gz IPGDQDGAKSTLAK-OAHLLOKOSA-N 0 0 446.551 -0.919 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)Cn2c(CS(C)(=O)=O)nc3ccccc32)CC1 ZINC001321173785 1074226202 /nfs/dbraw/zinc/22/62/02/1074226202.db2.gz BKBJSBYFTJFAOB-UHFFFAOYSA-N 0 0 443.551 -0.374 20 0 IBADRN CN(Cc1ccc([S@](C)=O)cc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001321173802 1074226170 /nfs/dbraw/zinc/22/61/70/1074226170.db2.gz BUYLFMKSUGURHQ-XRMHAKFTSA-N 0 0 433.490 -0.188 20 0 IBADRN CN(Cc1ccc([S@@](C)=O)cc1)c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001321173803 1074226628 /nfs/dbraw/zinc/22/66/28/1074226628.db2.gz BUYLFMKSUGURHQ-YJTGBHPLSA-N 0 0 433.490 -0.188 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)CC1 ZINC001321175053 1074226608 /nfs/dbraw/zinc/22/66/08/1074226608.db2.gz SHKNWVNBHFTTHZ-UHFFFAOYSA-N 0 0 426.543 -0.677 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001321175157 1074226561 /nfs/dbraw/zinc/22/65/61/1074226561.db2.gz UUGGZGKLHURPPJ-UHFFFAOYSA-N 0 0 440.522 -0.491 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)Cn2cc(S(N)(=O)=O)cn2)CC1 ZINC001321175432 1074226551 /nfs/dbraw/zinc/22/65/51/1074226551.db2.gz XCVXAZGYOGLAEY-UHFFFAOYSA-N 0 0 447.461 -0.655 20 0 IBADRN COC(=O)c1ccc(=O)n(CCS(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)n1 ZINC001321182302 1074226577 /nfs/dbraw/zinc/22/65/77/1074226577.db2.gz WWWJRJIDPUFJKD-UHFFFAOYSA-N 0 0 429.476 -0.246 20 0 IBADRN NC(=O)c1ccccc1CCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321183232 1074226623 /nfs/dbraw/zinc/22/66/23/1074226623.db2.gz BWLORQATGQRDRL-HNNXBMFYSA-N 0 0 443.547 -0.621 20 0 IBADRN NC(=O)c1ccccc1CCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321183233 1074226716 /nfs/dbraw/zinc/22/67/16/1074226716.db2.gz BWLORQATGQRDRL-OAHLLOKOSA-N 0 0 443.547 -0.621 20 0 IBADRN Cc1ncc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C2CC2)n1 ZINC001321186494 1074226537 /nfs/dbraw/zinc/22/65/37/1074226537.db2.gz BGJQYUFSINULIO-AWEZNQCLSA-N 0 0 428.536 -0.063 20 0 IBADRN Cc1ncc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C2CC2)n1 ZINC001321186514 1074226694 /nfs/dbraw/zinc/22/66/94/1074226694.db2.gz BGJQYUFSINULIO-CQSZACIVSA-N 0 0 428.536 -0.063 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N[C@H]1CCn2ccnc2C1)N[C@@H]1CCn2ccnc2C1 ZINC001321188807 1074226685 /nfs/dbraw/zinc/22/66/85/1074226685.db2.gz BCEAXDFUPKXLLA-GASCZTMLSA-N 0 0 442.567 -0.362 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N[C@H]1CCn2ccnc2C1)N[C@H]1CCn2ccnc2C1 ZINC001321188808 1074226689 /nfs/dbraw/zinc/22/66/89/1074226689.db2.gz BCEAXDFUPKXLLA-GJZGRUSLSA-N 0 0 442.567 -0.362 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)N[C@@H]1CCn2ccnc2C1)N[C@@H]1CCn2ccnc2C1 ZINC001321188809 1074226598 /nfs/dbraw/zinc/22/65/98/1074226598.db2.gz BCEAXDFUPKXLLA-HUUCEWRRSA-N 0 0 442.567 -0.362 20 0 IBADRN O=C(NC[C@]1(O)CCOC1)c1cc(Br)c(C(=O)NC[C@@]2(O)CCOC2)o1 ZINC001321190483 1074226700 /nfs/dbraw/zinc/22/67/00/1074226700.db2.gz PYEXNABHCISFPX-CVEARBPZSA-N 0 0 433.255 -0.196 20 0 IBADRN O=C(NC[C@@]1(O)CCOC1)c1cc(Br)c(C(=O)NC[C@@]2(O)CCOC2)o1 ZINC001321190484 1074226729 /nfs/dbraw/zinc/22/67/29/1074226729.db2.gz PYEXNABHCISFPX-HOTGVXAUSA-N 0 0 433.255 -0.196 20 0 IBADRN O=C(NC[C@]1(O)CCOC1)c1cc(Br)c(C(=O)NC[C@]2(O)CCOC2)o1 ZINC001321190485 1074226722 /nfs/dbraw/zinc/22/67/22/1074226722.db2.gz PYEXNABHCISFPX-HZPDHXFCSA-N 0 0 433.255 -0.196 20 0 IBADRN O=C(NC[C@@]1(O)CCOC1)c1cc(Br)c(C(=O)NC[C@]2(O)CCOC2)o1 ZINC001321190486 1074226586 /nfs/dbraw/zinc/22/65/86/1074226586.db2.gz PYEXNABHCISFPX-JKSUJKDBSA-N 0 0 433.255 -0.196 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001321195396 1074226676 /nfs/dbraw/zinc/22/66/76/1074226676.db2.gz HKTSKJRYERXHJW-HNNXBMFYSA-N 0 0 443.547 -0.154 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001321195397 1074227100 /nfs/dbraw/zinc/22/71/00/1074227100.db2.gz HKTSKJRYERXHJW-OAHLLOKOSA-N 0 0 443.547 -0.154 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(OC)c(S(=O)(=O)N(C)C)c2)CCS(=O)(=O)CC1 ZINC001321201068 1074227028 /nfs/dbraw/zinc/22/70/28/1074227028.db2.gz NBFAWPZAQPMXPB-UHFFFAOYSA-N 0 0 448.519 -0.204 20 0 IBADRN CC(=O)Nc1ccccc1CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321203451 1074227077 /nfs/dbraw/zinc/22/70/77/1074227077.db2.gz BKFJMSUYGBZXMI-KRWDZBQOSA-N 0 0 436.534 -0.365 20 0 IBADRN CC(=O)Nc1ccccc1CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321203453 1074227138 /nfs/dbraw/zinc/22/71/38/1074227138.db2.gz BKFJMSUYGBZXMI-QGZVFWFLSA-N 0 0 436.534 -0.365 20 0 IBADRN COC(=O)C1(NC(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CCS(=O)(=O)CC1 ZINC001321210716 1074227036 /nfs/dbraw/zinc/22/70/36/1074227036.db2.gz YHEVPWFKYFYJRY-UHFFFAOYSA-N 0 0 430.504 -0.023 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC(S(N)(=O)=O)CC1 ZINC001321211184 1074227186 /nfs/dbraw/zinc/22/71/86/1074227186.db2.gz XCWALAYGIGTDOA-UHFFFAOYSA-N 0 0 439.581 -0.537 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCC1(OCCO)CCOCC1 ZINC001321212675 1074227143 /nfs/dbraw/zinc/22/71/43/1074227143.db2.gz HCTKTJPHMCFKNJ-UHFFFAOYSA-N 0 0 448.563 -0.014 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NCC3(OCCO)CCOCC3)nc2n(C)c1=O ZINC001321212700 1074227051 /nfs/dbraw/zinc/22/70/51/1074227051.db2.gz IOSQEFOKZVMXAC-UHFFFAOYSA-N 0 0 435.481 -0.335 20 0 IBADRN NS(=O)(=O)Cc1cccc(CNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001321213915 1074227085 /nfs/dbraw/zinc/22/70/85/1074227085.db2.gz XIOFXJAJAWCPLT-UHFFFAOYSA-N 0 0 425.511 -0.246 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC2(S(C)(=O)=O)CCC2)s1 ZINC001321215934 1074227165 /nfs/dbraw/zinc/22/71/65/1074227165.db2.gz JBYFHXIDLYXVOY-UHFFFAOYSA-N 0 0 437.565 -0.254 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001321216292 1074227067 /nfs/dbraw/zinc/22/70/67/1074227067.db2.gz UMFLUKBMACWYBA-KBPBESRZSA-N 0 0 426.447 -0.336 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001321216293 1074227131 /nfs/dbraw/zinc/22/71/31/1074227131.db2.gz UMFLUKBMACWYBA-KGLIPLIRSA-N 0 0 426.447 -0.336 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001321216294 1074227093 /nfs/dbraw/zinc/22/70/93/1074227093.db2.gz UMFLUKBMACWYBA-UONOGXRCSA-N 0 0 426.447 -0.336 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001321216295 1074227003 /nfs/dbraw/zinc/22/70/03/1074227003.db2.gz UMFLUKBMACWYBA-ZIAGYGMSSA-N 0 0 426.447 -0.336 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(c3nnc([C@@H](C)NS(C)(=O)=O)n3C)CC2)no1 ZINC001321218353 1074227178 /nfs/dbraw/zinc/22/71/78/1074227178.db2.gz SLYNWJZWOVHCHM-GFCCVEGCSA-N 0 0 426.503 -0.518 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(c3nnc([C@H](C)NS(C)(=O)=O)n3C)CC2)no1 ZINC001321218354 1074227195 /nfs/dbraw/zinc/22/71/95/1074227195.db2.gz SLYNWJZWOVHCHM-LBPRGKRZSA-N 0 0 426.503 -0.518 20 0 IBADRN COc1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)n1 ZINC001321219160 1074227019 /nfs/dbraw/zinc/22/70/19/1074227019.db2.gz FNXSWWUWZIFONH-UHFFFAOYSA-N 0 0 434.474 -0.012 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC001321221120 1074227544 /nfs/dbraw/zinc/22/75/44/1074227544.db2.gz LJLLCDIGCXEMDZ-BHYGNILZSA-N 0 0 447.623 -0.474 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC001321221126 1074227495 /nfs/dbraw/zinc/22/74/95/1074227495.db2.gz LJLLCDIGCXEMDZ-UAGQMJEPSA-N 0 0 447.623 -0.474 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)CC1 ZINC001321221130 1074227458 /nfs/dbraw/zinc/22/74/58/1074227458.db2.gz LJLLCDIGCXEMDZ-USXIJHARSA-N 0 0 447.623 -0.474 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)CC1 ZINC001321221134 1074227561 /nfs/dbraw/zinc/22/75/61/1074227561.db2.gz LJLLCDIGCXEMDZ-XIRDDKMYSA-N 0 0 447.623 -0.474 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC001321223682 1074227576 /nfs/dbraw/zinc/22/75/76/1074227576.db2.gz ZTIFZKWCOOMQHF-GFCCVEGCSA-N 0 0 436.581 -0.107 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC001321223688 1074227538 /nfs/dbraw/zinc/22/75/38/1074227538.db2.gz ZTIFZKWCOOMQHF-LBPRGKRZSA-N 0 0 436.581 -0.107 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001321225599 1074227530 /nfs/dbraw/zinc/22/75/30/1074227530.db2.gz KQKWWTQPRMJPAU-UHFFFAOYSA-N 0 0 438.572 -0.661 20 0 IBADRN Cn1cc(/C=C\C(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)c(=O)n(C)c1=O ZINC001321231386 1074227502 /nfs/dbraw/zinc/22/75/02/1074227502.db2.gz JUHUSIGZASVTBR-PLNGDYQASA-N 0 0 434.465 -0.385 20 0 IBADRN Cn1cc(/C=C/C(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)c(=O)n(C)c1=O ZINC001321231389 1074227471 /nfs/dbraw/zinc/22/74/71/1074227471.db2.gz JUHUSIGZASVTBR-SNAWJCMRSA-N 0 0 434.465 -0.385 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N(C)CCS(C)(=O)=O)ccc2F)CC1 ZINC001321231518 1074227593 /nfs/dbraw/zinc/22/75/93/1074227593.db2.gz LMUIFIMETMASNU-UHFFFAOYSA-N 0 0 449.526 -0.205 20 0 IBADRN O=C(CCCCN1CCOCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321235132 1074227515 /nfs/dbraw/zinc/22/75/15/1074227515.db2.gz GLAVULBCAQSZJI-INIZCTEOSA-N 0 0 437.584 -0.850 20 0 IBADRN O=C(CCCCN1CCOCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321235133 1074227552 /nfs/dbraw/zinc/22/75/52/1074227552.db2.gz GLAVULBCAQSZJI-MRXNPFEDSA-N 0 0 437.584 -0.850 20 0 IBADRN Cc1nc2ncnn2c(C)c1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321238107 1074227507 /nfs/dbraw/zinc/22/75/07/1074227507.db2.gz YQJRCYUTKLZANG-AWEZNQCLSA-N 0 0 436.494 -0.771 20 0 IBADRN Cc1nc2ncnn2c(C)c1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321238108 1074227525 /nfs/dbraw/zinc/22/75/25/1074227525.db2.gz YQJRCYUTKLZANG-CQSZACIVSA-N 0 0 436.494 -0.771 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CC1 ZINC001321238683 1074227485 /nfs/dbraw/zinc/22/74/85/1074227485.db2.gz BBWSQKXBTCSIRW-UHFFFAOYSA-N 0 0 427.531 -0.189 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)no1 ZINC001321239373 1074227914 /nfs/dbraw/zinc/22/79/14/1074227914.db2.gz KIWHCNLQINVSDR-CHWSQXEVSA-N 0 0 431.515 -0.630 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)no1 ZINC001321239374 1074227947 /nfs/dbraw/zinc/22/79/47/1074227947.db2.gz KIWHCNLQINVSDR-OLZOCXBDSA-N 0 0 431.515 -0.630 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)no1 ZINC001321239375 1074227997 /nfs/dbraw/zinc/22/79/97/1074227997.db2.gz KIWHCNLQINVSDR-QWHCGFSZSA-N 0 0 431.515 -0.630 20 0 IBADRN CC(C)(C)OC(=O)NCc1nc(CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)no1 ZINC001321239376 1074227965 /nfs/dbraw/zinc/22/79/65/1074227965.db2.gz KIWHCNLQINVSDR-STQMWFEESA-N 0 0 431.515 -0.630 20 0 IBADRN COC(=O)C1(NCCC(=O)N2CCN(c3ncccn3)CC2)CCS(=O)(=O)CC1 ZINC001321239421 1074228003 /nfs/dbraw/zinc/22/80/03/1074228003.db2.gz LDVXTFHCGXRXNZ-UHFFFAOYSA-N 0 0 425.511 -0.775 20 0 IBADRN CCS(=O)(=O)c1cccc(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC001321241839 1074227956 /nfs/dbraw/zinc/22/79/56/1074227956.db2.gz YTEVSADHTPPUIF-UHFFFAOYSA-N 0 0 427.508 -0.326 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)C(F)(F)F ZINC001321241841 1074227933 /nfs/dbraw/zinc/22/79/33/1074227933.db2.gz YUHLAOWMUKRVJU-CYBMUJFWSA-N 0 0 437.404 -0.789 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1)C(F)(F)F ZINC001321241843 1074227968 /nfs/dbraw/zinc/22/79/68/1074227968.db2.gz YUHLAOWMUKRVJU-ZDUSSCGKSA-N 0 0 437.404 -0.789 20 0 IBADRN CS(=O)(=O)[C@H]1CCN(C(=O)CCSCCC(=O)N2CC[C@H](S(C)(=O)=O)C2)C1 ZINC001321245983 1074227979 /nfs/dbraw/zinc/22/79/79/1074227979.db2.gz IFVPOKUXPHEDDO-KBPBESRZSA-N 0 0 440.609 -0.209 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)CCSCCC(=O)N2CC[C@H](S(C)(=O)=O)C2)C1 ZINC001321245988 1074227985 /nfs/dbraw/zinc/22/79/85/1074227985.db2.gz IFVPOKUXPHEDDO-OKILXGFUSA-N 0 0 440.609 -0.209 20 0 IBADRN CS(=O)(=O)[C@@H]1CCN(C(=O)CCSCCC(=O)N2CC[C@@H](S(C)(=O)=O)C2)C1 ZINC001321245989 1074227959 /nfs/dbraw/zinc/22/79/59/1074227959.db2.gz IFVPOKUXPHEDDO-ZIAGYGMSSA-N 0 0 440.609 -0.209 20 0 IBADRN COC(=O)C1(NC(=O)c2cnn(CC(=O)NC3CCCC3)c2)CCS(=O)(=O)CC1 ZINC001321246065 1074227924 /nfs/dbraw/zinc/22/79/24/1074227924.db2.gz BBSVFHLYNHIHJP-UHFFFAOYSA-N 0 0 426.495 -0.208 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC(C(=O)NCCCO)CC1 ZINC001321246431 1074227971 /nfs/dbraw/zinc/22/79/71/1074227971.db2.gz IAUWFNCLTWIBMJ-UHFFFAOYSA-N 0 0 434.536 -0.002 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCC2(OCCO)CCOCC2)cc1 ZINC001321248547 1074227911 /nfs/dbraw/zinc/22/79/11/1074227911.db2.gz USBDNDDGBAFPCZ-UHFFFAOYSA-N 0 0 428.507 -0.164 20 0 IBADRN COC(=O)C1(NC(=O)c2cc(S(=O)(=O)N(C)C)ccc2F)CCS(=O)(=O)CC1 ZINC001321248572 1074227931 /nfs/dbraw/zinc/22/79/31/1074227931.db2.gz VKFBBQULAXMOIW-UHFFFAOYSA-N 0 0 436.483 -0.074 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)cc1 ZINC001321248583 1074227904 /nfs/dbraw/zinc/22/79/04/1074227904.db2.gz VUWNHDJZQXIBBX-UHFFFAOYSA-N 0 0 432.520 -0.024 20 0 IBADRN Cn1c2ncn(CC(=O)N3CCCc4c3cccc4S(C)(=O)=O)c2c(=O)n(C)c1=O ZINC001321254624 1074227989 /nfs/dbraw/zinc/22/79/89/1074227989.db2.gz SPOFURLGOAKFIT-UHFFFAOYSA-N 0 0 431.474 -0.183 20 0 IBADRN COC(=O)NC1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001321254740 1074227939 /nfs/dbraw/zinc/22/79/39/1074227939.db2.gz CYXPBCNXFJQWMB-UHFFFAOYSA-N 0 0 426.499 -0.717 20 0 IBADRN O=C(CN1CCN(C(=O)c2[nH]ncc2I)CC1)N1CCOCC1 ZINC001321254986 1074227950 /nfs/dbraw/zinc/22/79/50/1074227950.db2.gz GTJBPRHBOGCTCH-UHFFFAOYSA-N 0 0 433.250 -0.369 20 0 IBADRN O=C(COCCOCC(=O)N1CCO[C@@]2(CCOC2)C1)N1CCO[C@]2(CCOC2)C1 ZINC001321256122 1074228299 /nfs/dbraw/zinc/22/82/99/1074228299.db2.gz ZXZVYTMTQFCSOZ-BGYRXZFFSA-N 0 0 428.482 -0.945 20 0 IBADRN O=C(COCCOCC(=O)N1CCO[C@@]2(CCOC2)C1)N1CCO[C@@]2(CCOC2)C1 ZINC001321256123 1074228368 /nfs/dbraw/zinc/22/83/68/1074228368.db2.gz ZXZVYTMTQFCSOZ-PMACEKPBSA-N 0 0 428.482 -0.945 20 0 IBADRN O=C(COCCOCC(=O)N1CCO[C@]2(CCOC2)C1)N1CCO[C@]2(CCOC2)C1 ZINC001321256126 1074228239 /nfs/dbraw/zinc/22/82/39/1074228239.db2.gz ZXZVYTMTQFCSOZ-WOJBJXKFSA-N 0 0 428.482 -0.945 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1[nH]ncc1I ZINC001321257797 1074228258 /nfs/dbraw/zinc/22/82/58/1074228258.db2.gz ZOISNIDRHFEQLO-NXEZZACHSA-N 0 0 440.263 -0.758 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1[nH]ncc1I ZINC001321257798 1074228252 /nfs/dbraw/zinc/22/82/52/1074228252.db2.gz ZOISNIDRHFEQLO-UWVGGRQHSA-N 0 0 440.263 -0.758 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1[nH]ncc1I ZINC001321257799 1074228263 /nfs/dbraw/zinc/22/82/63/1074228263.db2.gz ZOISNIDRHFEQLO-VHSXEESVSA-N 0 0 440.263 -0.758 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1[nH]ncc1I ZINC001321257800 1074228210 /nfs/dbraw/zinc/22/82/10/1074228210.db2.gz ZOISNIDRHFEQLO-ZJUUUORDSA-N 0 0 440.263 -0.758 20 0 IBADRN Cn1c(-c2cccnc2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321259818 1074228218 /nfs/dbraw/zinc/22/82/18/1074228218.db2.gz MODPANONGSIPQB-AWEZNQCLSA-N 0 0 426.524 -0.484 20 0 IBADRN Cn1c(-c2cccnc2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321259819 1074228247 /nfs/dbraw/zinc/22/82/47/1074228247.db2.gz MODPANONGSIPQB-CQSZACIVSA-N 0 0 426.524 -0.484 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3CCS(=O)(=O)C3)ccc2F)CC1 ZINC001321260454 1074228229 /nfs/dbraw/zinc/22/82/29/1074228229.db2.gz XFYNCWGUPLHWLX-AWEZNQCLSA-N 0 0 447.510 -0.405 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3CCS(=O)(=O)C3)ccc2F)CC1 ZINC001321260455 1074228287 /nfs/dbraw/zinc/22/82/87/1074228287.db2.gz XFYNCWGUPLHWLX-CQSZACIVSA-N 0 0 447.510 -0.405 20 0 IBADRN NC(=O)COC1CCN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001321268190 1074228387 /nfs/dbraw/zinc/22/83/87/1074228387.db2.gz MDAOUEJIGDZTGT-UHFFFAOYSA-N 0 0 429.470 -0.047 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001321268693 1074228313 /nfs/dbraw/zinc/22/83/13/1074228313.db2.gz PJJKYVLVYANGRO-AWEZNQCLSA-N 0 0 428.486 -0.474 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001321268696 1074228306 /nfs/dbraw/zinc/22/83/06/1074228306.db2.gz PJJKYVLVYANGRO-CQSZACIVSA-N 0 0 428.486 -0.474 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001321276778 1074228328 /nfs/dbraw/zinc/22/83/28/1074228328.db2.gz LBVDIFUNBQZMER-MSOLQXFVSA-N 0 0 435.525 -0.222 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001321276779 1074228786 /nfs/dbraw/zinc/22/87/86/1074228786.db2.gz LBVDIFUNBQZMER-QZTJIDSGSA-N 0 0 435.525 -0.222 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001321276780 1074228720 /nfs/dbraw/zinc/22/87/20/1074228720.db2.gz LBVDIFUNBQZMER-ROUUACIJSA-N 0 0 435.525 -0.222 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001321276781 1074228717 /nfs/dbraw/zinc/22/87/17/1074228717.db2.gz LBVDIFUNBQZMER-ZWKOTPCHSA-N 0 0 435.525 -0.222 20 0 IBADRN Cn1ccc(CS(=O)(=O)CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cc1=O ZINC001321277565 1074228781 /nfs/dbraw/zinc/22/87/81/1074228781.db2.gz PKUXWIGXXWZCNR-UHFFFAOYSA-N 0 0 425.488 -0.463 20 0 IBADRN Cc1cc(NC(=O)C[S@@](=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)n(C)n1 ZINC001321278658 1074228746 /nfs/dbraw/zinc/22/87/46/1074228746.db2.gz NEEWIGKVBXSVDQ-HHHXNRCGSA-N 0 0 427.508 -0.109 20 0 IBADRN Cc1cc(NC(=O)C[S@](=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)n(C)n1 ZINC001321278659 1074228759 /nfs/dbraw/zinc/22/87/59/1074228759.db2.gz NEEWIGKVBXSVDQ-MHZLTWQESA-N 0 0 427.508 -0.109 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)c2ccc(S(N)(=O)=O)nc2)CC1 ZINC001321282306 1074228723 /nfs/dbraw/zinc/22/87/23/1074228723.db2.gz UKVRDXKTKQQUTL-UHFFFAOYSA-N 0 0 426.467 -0.140 20 0 IBADRN C[C@@H](OC(=O)C1(CO)COC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001321282356 1074228765 /nfs/dbraw/zinc/22/87/65/1074228765.db2.gz RDJHMDHQODHRTJ-CYBMUJFWSA-N 0 0 428.463 -0.413 20 0 IBADRN C[C@H](OC(=O)C1(CO)COC1)C(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001321282358 1074228811 /nfs/dbraw/zinc/22/88/11/1074228811.db2.gz RDJHMDHQODHRTJ-ZDUSSCGKSA-N 0 0 428.463 -0.413 20 0 IBADRN COCCCNC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1CC(F)(F)F ZINC001321282581 1074228707 /nfs/dbraw/zinc/22/87/07/1074228707.db2.gz LUMHCXJVERHQMN-UHFFFAOYSA-N 0 0 429.421 -0.397 20 0 IBADRN NS(=O)(=O)CCCS(=O)(=O)c1nnc(N2CCOCC2)n1Cc1ccccc1 ZINC001321282908 1074228756 /nfs/dbraw/zinc/22/87/56/1074228756.db2.gz MUFBGSLAOHPLCI-UHFFFAOYSA-N 0 0 429.524 -0.385 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)c2cn(C)c(=O)[nH]c2=O)CC3)CC1 ZINC001321285091 1074228816 /nfs/dbraw/zinc/22/88/16/1074228816.db2.gz BLJBKNAEARPJGF-CYBMUJFWSA-N 0 0 429.481 -0.938 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)c2cn(C)c(=O)[nH]c2=O)CC3)CC1 ZINC001321285092 1074228772 /nfs/dbraw/zinc/22/87/72/1074228772.db2.gz BLJBKNAEARPJGF-ZDUSSCGKSA-N 0 0 429.481 -0.938 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CCN2C(=O)CCC2=O)CC3)CC1 ZINC001321291403 1074228710 /nfs/dbraw/zinc/22/87/10/1074228710.db2.gz URNQCLKKSQNERW-HNNXBMFYSA-N 0 0 430.509 -0.512 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CCN2C(=O)CCC2=O)CC3)CC1 ZINC001321291407 1074228729 /nfs/dbraw/zinc/22/87/29/1074228729.db2.gz URNQCLKKSQNERW-OAHLLOKOSA-N 0 0 430.509 -0.512 20 0 IBADRN O=C(CN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCOC2)CC1)NC1CC1 ZINC001321292258 1074228803 /nfs/dbraw/zinc/22/88/03/1074228803.db2.gz WMRYTTJUWACPSM-INIZCTEOSA-N 0 0 431.541 -0.049 20 0 IBADRN O=C(CN1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCOC2)CC1)NC1CC1 ZINC001321292259 1074228793 /nfs/dbraw/zinc/22/87/93/1074228793.db2.gz WMRYTTJUWACPSM-MRXNPFEDSA-N 0 0 431.541 -0.049 20 0 IBADRN COC(=O)Cc1occc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321292390 1074228704 /nfs/dbraw/zinc/22/87/04/1074228704.db2.gz RWCSXKRTFXNNKJ-GFCCVEGCSA-N 0 0 434.492 -0.730 20 0 IBADRN COC(=O)Cc1occc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321292391 1074228700 /nfs/dbraw/zinc/22/87/00/1074228700.db2.gz RWCSXKRTFXNNKJ-LBPRGKRZSA-N 0 0 434.492 -0.730 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCn2c(nnc2C(F)F)C1 ZINC001321296932 1074228738 /nfs/dbraw/zinc/22/87/38/1074228738.db2.gz GKCCGPUYSWWQAR-UHFFFAOYSA-N 0 0 440.432 -0.008 20 0 IBADRN Cc1cc(S(N)(=O)=O)ccc1CNC(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC001321297177 1074229284 /nfs/dbraw/zinc/22/92/84/1074229284.db2.gz XRMUHCUTMVVJAL-UHFFFAOYSA-N 0 0 432.568 -0.049 20 0 IBADRN CC[C@]1(C)NC(=O)N(NC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1=O ZINC001321297499 1074229374 /nfs/dbraw/zinc/22/93/74/1074229374.db2.gz MFAZSOFGGUFQMS-KRWDZBQOSA-N 0 0 426.499 -0.119 20 0 IBADRN CC[C@@]1(C)NC(=O)N(NC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1=O ZINC001321297500 1074229209 /nfs/dbraw/zinc/22/92/09/1074229209.db2.gz MFAZSOFGGUFQMS-QGZVFWFLSA-N 0 0 426.499 -0.119 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCn2c(nnc2C(F)F)C1 ZINC001321298281 1074229250 /nfs/dbraw/zinc/22/92/50/1074229250.db2.gz ZXNXPDXEGCXOFB-UHFFFAOYSA-N 0 0 440.432 -0.008 20 0 IBADRN C[S@@](=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321298516 1074229347 /nfs/dbraw/zinc/22/93/47/1074229347.db2.gz FSBKBJKVZGENGM-AKJBCIBTSA-N 0 0 448.588 -0.372 20 0 IBADRN C[S@](=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321298517 1074229157 /nfs/dbraw/zinc/22/91/57/1074229157.db2.gz FSBKBJKVZGENGM-DXPJPUQTSA-N 0 0 448.588 -0.372 20 0 IBADRN C[S@](=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321298518 1074229237 /nfs/dbraw/zinc/22/92/37/1074229237.db2.gz FSBKBJKVZGENGM-QMTYFTJSSA-N 0 0 448.588 -0.372 20 0 IBADRN C[S@@](=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321298519 1074229365 /nfs/dbraw/zinc/22/93/65/1074229365.db2.gz FSBKBJKVZGENGM-YHAMSUFESA-N 0 0 448.588 -0.372 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC001321308355 1074229256 /nfs/dbraw/zinc/22/92/56/1074229256.db2.gz AMHMDPCEOVZQOX-IBGZPJMESA-N 0 0 438.506 -0.250 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)cc1 ZINC001321308358 1074229226 /nfs/dbraw/zinc/22/92/26/1074229226.db2.gz AMHMDPCEOVZQOX-LJQANCHMSA-N 0 0 438.506 -0.250 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC001321308868 1074229360 /nfs/dbraw/zinc/22/93/60/1074229360.db2.gz IENXWLZHBZSBEF-DOTOQJQBSA-N 0 0 430.523 -0.215 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC001321308869 1074229142 /nfs/dbraw/zinc/22/91/42/1074229142.db2.gz IENXWLZHBZSBEF-NVXWUHKLSA-N 0 0 430.523 -0.215 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC001321308870 1074229338 /nfs/dbraw/zinc/22/93/38/1074229338.db2.gz IENXWLZHBZSBEF-RDJZCZTQSA-N 0 0 430.523 -0.215 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)C1 ZINC001321308871 1074229269 /nfs/dbraw/zinc/22/92/69/1074229269.db2.gz IENXWLZHBZSBEF-WBVHZDCISA-N 0 0 430.523 -0.215 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)Nc2ccn(CCS(C)(=O)=O)n2)cc1 ZINC001321309158 1074229278 /nfs/dbraw/zinc/22/92/78/1074229278.db2.gz KLRWMRDSBKJORW-UHFFFAOYSA-N 0 0 430.508 -0.207 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CC(=O)[C@H]1CCCN1C(=O)C(F)(F)F)c2=O ZINC001321311294 1074229200 /nfs/dbraw/zinc/22/92/00/1074229200.db2.gz IWPUHFOGHDMYFJ-MRVPVSSYSA-N 0 0 435.384 -0.344 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(CC(=O)[C@@H]1CCCN1C(=O)C(F)(F)F)c2=O ZINC001321311296 1074229329 /nfs/dbraw/zinc/22/93/29/1074229329.db2.gz IWPUHFOGHDMYFJ-QMMMGPOBSA-N 0 0 435.384 -0.344 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)cc1 ZINC001321311301 1074229188 /nfs/dbraw/zinc/22/91/88/1074229188.db2.gz IXWDXISHWJQCDF-UHFFFAOYSA-N 0 0 425.492 -0.168 20 0 IBADRN Cc1nn(CCC(=O)N[C@@H]2CCN(C)C2=O)c(C)c1CCC(=O)N[C@H]1CCN(C)C1=O ZINC001321317368 1074229170 /nfs/dbraw/zinc/22/91/70/1074229170.db2.gz SKKNKEALPXLHKW-DLBZAZTESA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N[C@@H]2CCN(C)C2=O)c(C)c1CCC(=O)N[C@@H]1CCN(C)C1=O ZINC001321317371 1074229179 /nfs/dbraw/zinc/22/91/79/1074229179.db2.gz SKKNKEALPXLHKW-IAGOWNOFSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N[C@H]2CCN(C)C2=O)c(C)c1CCC(=O)N[C@H]1CCN(C)C1=O ZINC001321317373 1074229595 /nfs/dbraw/zinc/22/95/95/1074229595.db2.gz SKKNKEALPXLHKW-IRXDYDNUSA-N 0 0 432.525 -0.484 20 0 IBADRN Cc1nn(CCC(=O)N[C@H]2CCN(C)C2=O)c(C)c1CCC(=O)N[C@@H]1CCN(C)C1=O ZINC001321317375 1074229599 /nfs/dbraw/zinc/22/95/99/1074229599.db2.gz SKKNKEALPXLHKW-SJORKVTESA-N 0 0 432.525 -0.484 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NCC(F)(F)F ZINC001321318108 1074229590 /nfs/dbraw/zinc/22/95/90/1074229590.db2.gz YGZLJMAMJYYING-JTQLQIEISA-N 0 0 438.428 -0.150 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C(=O)NCC(F)(F)F ZINC001321318109 1074229686 /nfs/dbraw/zinc/22/96/86/1074229686.db2.gz YGZLJMAMJYYING-SNVBAGLBSA-N 0 0 438.428 -0.150 20 0 IBADRN C[S@@](=O)c1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001321319800 1074229660 /nfs/dbraw/zinc/22/96/60/1074229660.db2.gz RNEWYTHNHXTJIQ-KUNJGFBQSA-N 0 0 427.548 -0.515 20 0 IBADRN C[S@](=O)c1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001321319801 1074229648 /nfs/dbraw/zinc/22/96/48/1074229648.db2.gz RNEWYTHNHXTJIQ-QZXCRCNTSA-N 0 0 427.548 -0.515 20 0 IBADRN C[S@](=O)c1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001321319802 1074229681 /nfs/dbraw/zinc/22/96/81/1074229681.db2.gz RNEWYTHNHXTJIQ-RXAIFQJESA-N 0 0 427.548 -0.515 20 0 IBADRN C[S@@](=O)c1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001321319803 1074229630 /nfs/dbraw/zinc/22/96/30/1074229630.db2.gz RNEWYTHNHXTJIQ-YVORESIASA-N 0 0 427.548 -0.515 20 0 IBADRN CN1CC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001321321497 1074229604 /nfs/dbraw/zinc/22/96/04/1074229604.db2.gz IHNCTSHTSQGUDH-DZGCQCFKSA-N 0 0 431.536 -0.495 20 0 IBADRN CN1CC[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001321321498 1074229623 /nfs/dbraw/zinc/22/96/23/1074229623.db2.gz IHNCTSHTSQGUDH-HIFRSBDPSA-N 0 0 431.536 -0.495 20 0 IBADRN CN1CC[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001321321499 1074229675 /nfs/dbraw/zinc/22/96/75/1074229675.db2.gz IHNCTSHTSQGUDH-UKRRQHHQSA-N 0 0 431.536 -0.495 20 0 IBADRN CN1CC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001321321501 1074229618 /nfs/dbraw/zinc/22/96/18/1074229618.db2.gz IHNCTSHTSQGUDH-ZFWWWQNUSA-N 0 0 431.536 -0.495 20 0 IBADRN Cn1c(=O)c2ccc(C(=O)N(CC(F)F)C3CCS(=O)(=O)CC3)nc2n(C)c1=O ZINC001321323949 1074229713 /nfs/dbraw/zinc/22/97/13/1074229713.db2.gz WTJCPOCBHIJVSR-UHFFFAOYSA-N 0 0 430.433 -0.083 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC001321324850 1074229668 /nfs/dbraw/zinc/22/96/68/1074229668.db2.gz FHJPTTZVHHXILR-AUMHUTNHSA-N 0 0 445.549 -0.029 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC001321324856 1074229640 /nfs/dbraw/zinc/22/96/40/1074229640.db2.gz FHJPTTZVHHXILR-INZIPBBXSA-N 0 0 445.549 -0.029 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC001321324859 1074229576 /nfs/dbraw/zinc/22/95/76/1074229576.db2.gz FHJPTTZVHHXILR-MYGHRHJXSA-N 0 0 445.549 -0.029 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)cn1 ZINC001321324864 1074229693 /nfs/dbraw/zinc/22/96/93/1074229693.db2.gz FHJPTTZVHHXILR-NMEJYPJZSA-N 0 0 445.549 -0.029 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCOC1 ZINC001321325258 1074229656 /nfs/dbraw/zinc/22/96/56/1074229656.db2.gz KFICZKHZGMAPIB-CABCVRRESA-N 0 0 433.556 -0.738 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCOC1 ZINC001321325260 1074229613 /nfs/dbraw/zinc/22/96/13/1074229613.db2.gz KFICZKHZGMAPIB-GJZGRUSLSA-N 0 0 433.556 -0.738 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCOC1 ZINC001321325263 1074229585 /nfs/dbraw/zinc/22/95/85/1074229585.db2.gz KFICZKHZGMAPIB-HUUCEWRRSA-N 0 0 433.556 -0.738 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCOC1 ZINC001321325265 1074230146 /nfs/dbraw/zinc/23/01/46/1074230146.db2.gz KFICZKHZGMAPIB-LSDHHAIUSA-N 0 0 433.556 -0.738 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@H]2CCCCS2(=O)=O)CC3)CC1 ZINC001321326771 1074230051 /nfs/dbraw/zinc/23/00/51/1074230051.db2.gz YBUVSVKVRYHUEW-CRAIPNDOSA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@H]2CCCCS2(=O)=O)CC3)CC1 ZINC001321326773 1074230201 /nfs/dbraw/zinc/23/02/01/1074230201.db2.gz YBUVSVKVRYHUEW-MAUKXSAKSA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@@H]2CCCCS2(=O)=O)CC3)CC1 ZINC001321326775 1074230075 /nfs/dbraw/zinc/23/00/75/1074230075.db2.gz YBUVSVKVRYHUEW-QAPCUYQASA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@@H]2CCCCS2(=O)=O)CC3)CC1 ZINC001321326777 1074230180 /nfs/dbraw/zinc/23/01/80/1074230180.db2.gz YBUVSVKVRYHUEW-YJBOKZPZSA-N 0 0 437.566 -0.083 20 0 IBADRN COC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCCO2)CCO1 ZINC001321326792 1074230153 /nfs/dbraw/zinc/23/01/53/1074230153.db2.gz SRTLYAHDNHFODN-IHRRRGAJSA-N 0 0 431.515 -0.164 20 0 IBADRN COC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCCCO2)CCO1 ZINC001321326794 1074229988 /nfs/dbraw/zinc/22/99/88/1074229988.db2.gz SRTLYAHDNHFODN-MCIONIFRSA-N 0 0 431.515 -0.164 20 0 IBADRN COC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCCCO2)CCO1 ZINC001321326796 1074230009 /nfs/dbraw/zinc/23/00/09/1074230009.db2.gz SRTLYAHDNHFODN-MJBXVCDLSA-N 0 0 431.515 -0.164 20 0 IBADRN COC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCCO2)CCO1 ZINC001321326798 1074230030 /nfs/dbraw/zinc/23/00/30/1074230030.db2.gz SRTLYAHDNHFODN-RDBSUJKOSA-N 0 0 431.515 -0.164 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CC[C@H](CO)[C@@H](O)C1 ZINC001321327256 1074230019 /nfs/dbraw/zinc/23/00/19/1074230019.db2.gz ZVTPEKTWBAJYSF-IKGGRYGDSA-N 0 0 428.507 -0.082 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CC[C@H](CO)[C@H](O)C1 ZINC001321327257 1074230173 /nfs/dbraw/zinc/23/01/73/1074230173.db2.gz ZVTPEKTWBAJYSF-IXDOHACOSA-N 0 0 428.507 -0.082 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CC[C@@H](CO)[C@@H](O)C1 ZINC001321327258 1074230068 /nfs/dbraw/zinc/23/00/68/1074230068.db2.gz ZVTPEKTWBAJYSF-ULQDDVLXSA-N 0 0 428.507 -0.082 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CC[C@@H](CO)[C@H](O)C1 ZINC001321327259 1074230040 /nfs/dbraw/zinc/23/00/40/1074230040.db2.gz ZVTPEKTWBAJYSF-YESZJQIVSA-N 0 0 428.507 -0.082 20 0 IBADRN NS(=O)(=O)c1sc(Cl)cc1C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321332570 1074230123 /nfs/dbraw/zinc/23/01/23/1074230123.db2.gz GGXKJQZDQQEJOG-SECBINFHSA-N 0 0 427.957 -0.006 20 0 IBADRN NS(=O)(=O)c1sc(Cl)cc1C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321332571 1074230117 /nfs/dbraw/zinc/23/01/17/1074230117.db2.gz GGXKJQZDQQEJOG-VIFPVBQESA-N 0 0 427.957 -0.006 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@@H](CO)Cc3cnn(C)c3)CC2)cn1C ZINC001321332832 1074230087 /nfs/dbraw/zinc/23/00/87/1074230087.db2.gz LMRJTBZVPWEHAF-INIZCTEOSA-N 0 0 438.554 -0.170 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@H](CO)Cc3cnn(C)c3)CC2)cn1C ZINC001321332833 1074230559 /nfs/dbraw/zinc/23/05/59/1074230559.db2.gz LMRJTBZVPWEHAF-MRXNPFEDSA-N 0 0 438.554 -0.170 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC001321337406 1074230580 /nfs/dbraw/zinc/23/05/80/1074230580.db2.gz AWVUEAGLOGBFQW-BZUAXINKSA-N 0 0 437.584 -0.818 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC001321337407 1074230620 /nfs/dbraw/zinc/23/06/20/1074230620.db2.gz AWVUEAGLOGBFQW-OAGGEKHMSA-N 0 0 437.584 -0.818 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC001321337408 1074230568 /nfs/dbraw/zinc/23/05/68/1074230568.db2.gz AWVUEAGLOGBFQW-OWCLPIDISA-N 0 0 437.584 -0.818 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC001321337409 1074230455 /nfs/dbraw/zinc/23/04/55/1074230455.db2.gz AWVUEAGLOGBFQW-PMPSAXMXSA-N 0 0 437.584 -0.818 20 0 IBADRN CCOC(=O)c1ncc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)s1 ZINC001321344224 1074230503 /nfs/dbraw/zinc/23/05/03/1074230503.db2.gz NUYPEFGYBHPKLF-UHFFFAOYSA-N 0 0 431.540 -0.430 20 0 IBADRN CS(=O)(=O)Cc1noc(COC(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)n1 ZINC001321345509 1074230597 /nfs/dbraw/zinc/23/05/97/1074230597.db2.gz GGCLSRZZPPEART-CYBMUJFWSA-N 0 0 430.483 -0.629 20 0 IBADRN CS(=O)(=O)Cc1noc(COC(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)n1 ZINC001321345510 1074230461 /nfs/dbraw/zinc/23/04/61/1074230461.db2.gz GGCLSRZZPPEART-ZDUSSCGKSA-N 0 0 430.483 -0.629 20 0 IBADRN COC(=O)C1CCN(C(=O)COC(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001321346743 1074230552 /nfs/dbraw/zinc/23/05/52/1074230552.db2.gz IXXHGOLCAXDMCY-UHFFFAOYSA-N 0 0 427.475 -0.050 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC(OCC(N)=O)CC1 ZINC001321347225 1074230612 /nfs/dbraw/zinc/23/06/12/1074230612.db2.gz MGOUJJBAYNDYLN-UHFFFAOYSA-N 0 0 433.552 -0.323 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)COC(=O)c2ccnc(S(N)(=O)=O)c2)CC1 ZINC001321347398 1074230495 /nfs/dbraw/zinc/23/04/95/1074230495.db2.gz VITZJNWANYSLFD-UHFFFAOYSA-N 0 0 426.495 -0.009 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001321354720 1074230539 /nfs/dbraw/zinc/23/05/39/1074230539.db2.gz HYOOUFIAEKDEQZ-AWEZNQCLSA-N 0 0 431.536 -0.152 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001321354721 1074230591 /nfs/dbraw/zinc/23/05/91/1074230591.db2.gz HYOOUFIAEKDEQZ-CQSZACIVSA-N 0 0 431.536 -0.152 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2cccc(C(=O)NC3CC3)c2)CCS(=O)(=O)CC1 ZINC001321355693 1074230442 /nfs/dbraw/zinc/23/04/42/1074230442.db2.gz AFWGXLLJBFZVMS-UHFFFAOYSA-N 0 0 430.504 -0.023 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(F)c(S(=O)(=O)N(C)C)c2)CCS(=O)(=O)CC1 ZINC001321373912 1074230912 /nfs/dbraw/zinc/23/09/12/1074230912.db2.gz WPYIWTWCGNTSGI-UHFFFAOYSA-N 0 0 436.483 -0.074 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(CCNS(C)(=O)=O)cc2)CCS(=O)(=O)CC1 ZINC001321374396 1074231031 /nfs/dbraw/zinc/23/10/31/1074231031.db2.gz ZLSONKLOKPCLDZ-UHFFFAOYSA-N 0 0 432.520 -0.372 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C)C1 ZINC001321383492 1074230920 /nfs/dbraw/zinc/23/09/20/1074230920.db2.gz LTKZTHOGAJPSGV-KBPBESRZSA-N 0 0 435.572 -0.406 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C)C1 ZINC001321383496 1074231065 /nfs/dbraw/zinc/23/10/65/1074231065.db2.gz LTKZTHOGAJPSGV-KGLIPLIRSA-N 0 0 435.572 -0.406 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C)C1 ZINC001321383499 1074230973 /nfs/dbraw/zinc/23/09/73/1074230973.db2.gz LTKZTHOGAJPSGV-UONOGXRCSA-N 0 0 435.572 -0.406 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C)C1 ZINC001321383502 1074231057 /nfs/dbraw/zinc/23/10/57/1074231057.db2.gz LTKZTHOGAJPSGV-ZIAGYGMSSA-N 0 0 435.572 -0.406 20 0 IBADRN COC(=O)C1CCN(C(=O)Cn2c(=O)oc3cc(S(=O)(=O)CCO)ccc32)CC1 ZINC001321388975 1074230947 /nfs/dbraw/zinc/23/09/47/1074230947.db2.gz RXSQDQCRMWNOBZ-UHFFFAOYSA-N 0 0 426.447 -0.228 20 0 IBADRN O=C(c1ccc(N2CCC[C@H](N3CCCS3(=O)=O)C2)nc1)N1CCS(=O)(=O)CC1 ZINC001321396639 1074231079 /nfs/dbraw/zinc/23/10/79/1074231079.db2.gz AWMNKOXSWIRDLX-INIZCTEOSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C(c1ccc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)nc1)N1CCS(=O)(=O)CC1 ZINC001321396642 1074231096 /nfs/dbraw/zinc/23/10/96/1074231096.db2.gz AWMNKOXSWIRDLX-MRXNPFEDSA-N 0 0 442.563 -0.044 20 0 IBADRN O=C1C[C@]2(CCN(c3nc(N4CC[C@@]5(CNC(=O)C5)C4)nc(N4CCOCC4)n3)C2)CN1 ZINC001321397741 1074231038 /nfs/dbraw/zinc/23/10/38/1074231038.db2.gz SHSKPDSTLNAFDW-NHCUHLMSSA-N 0 0 442.524 -0.859 20 0 IBADRN O=C1C[C@]2(CCN(c3nc(N4CC[C@]5(CNC(=O)C5)C4)nc(N4CCOCC4)n3)C2)CN1 ZINC001321397742 1074230961 /nfs/dbraw/zinc/23/09/61/1074230961.db2.gz SHSKPDSTLNAFDW-OYRHEFFESA-N 0 0 442.524 -0.859 20 0 IBADRN O=C1C[C@@]2(CCN(c3nc(N4CC[C@]5(CNC(=O)C5)C4)nc(N4CCOCC4)n3)C2)CN1 ZINC001321397743 1074230980 /nfs/dbraw/zinc/23/09/80/1074230980.db2.gz SHSKPDSTLNAFDW-SFTDATJTSA-N 0 0 442.524 -0.859 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C)CC1 ZINC001321398041 1074231022 /nfs/dbraw/zinc/23/10/22/1074231022.db2.gz BWTNGEFNJUQJRB-AWEZNQCLSA-N 0 0 435.572 -0.406 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C)CC1 ZINC001321398043 1074231073 /nfs/dbraw/zinc/23/10/73/1074231073.db2.gz BWTNGEFNJUQJRB-CQSZACIVSA-N 0 0 435.572 -0.406 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3C)CC2)cs1 ZINC001321398068 1074230938 /nfs/dbraw/zinc/23/09/38/1074230938.db2.gz CNFNHYXSQLYCDZ-GFCCVEGCSA-N 0 0 446.580 -0.007 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3nnc([C@H]4CCS(=O)(=O)C4)n3C)CC2)cs1 ZINC001321398069 1074230957 /nfs/dbraw/zinc/23/09/57/1074230957.db2.gz CNFNHYXSQLYCDZ-LBPRGKRZSA-N 0 0 446.580 -0.007 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C)CC1 ZINC001321401304 1074231013 /nfs/dbraw/zinc/23/10/13/1074231013.db2.gz ZDLKYRTVXJCGSS-KBPBESRZSA-N 0 0 431.563 -0.912 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C)CC1 ZINC001321401305 1074231398 /nfs/dbraw/zinc/23/13/98/1074231398.db2.gz ZDLKYRTVXJCGSS-KGLIPLIRSA-N 0 0 431.563 -0.912 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C)CC1 ZINC001321401306 1074231393 /nfs/dbraw/zinc/23/13/93/1074231393.db2.gz ZDLKYRTVXJCGSS-UONOGXRCSA-N 0 0 431.563 -0.912 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C)CC1 ZINC001321401307 1074231359 /nfs/dbraw/zinc/23/13/59/1074231359.db2.gz ZDLKYRTVXJCGSS-ZIAGYGMSSA-N 0 0 431.563 -0.912 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)ccc1OC ZINC001321403723 1074231350 /nfs/dbraw/zinc/23/13/50/1074231350.db2.gz LOSAPWOXUQGFPY-AWEZNQCLSA-N 0 0 431.536 -0.452 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)ccc1OC ZINC001321403726 1074231354 /nfs/dbraw/zinc/23/13/54/1074231354.db2.gz LOSAPWOXUQGFPY-CQSZACIVSA-N 0 0 431.536 -0.452 20 0 IBADRN O=S1(=O)CC[C@@H](N(CCCN2CCOCC2)C(=S)NCCCN2CCOCC2)C1 ZINC001321403908 1074231389 /nfs/dbraw/zinc/23/13/89/1074231389.db2.gz IGMWWMWVFYHLCJ-GOSISDBHSA-N 0 0 448.655 -0.205 20 0 IBADRN O=S1(=O)CC[C@H](N(CCCN2CCOCC2)C(=S)NCCCN2CCOCC2)C1 ZINC001321403913 1074231312 /nfs/dbraw/zinc/23/13/12/1074231312.db2.gz IGMWWMWVFYHLCJ-SFHVURJKSA-N 0 0 448.655 -0.205 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001321409819 1074231346 /nfs/dbraw/zinc/23/13/46/1074231346.db2.gz DSCGTSHLRQHZTO-UHFFFAOYSA-N 0 0 425.442 -0.102 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@@H](O)C[C@H]3CO)ccc2F)CC1 ZINC001321410342 1074231416 /nfs/dbraw/zinc/23/14/16/1074231416.db2.gz JDOQDZYNEFUCOR-GJZGRUSLSA-N 0 0 429.470 -0.754 20 0 IBADRN NC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)n1 ZINC001321413112 1074231383 /nfs/dbraw/zinc/23/13/83/1074231383.db2.gz BKYGSOIBVAJRGC-UHFFFAOYSA-N 0 0 439.881 -0.471 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@@]3(C1)NC(=O)NC3=O)c2=O ZINC001321425340 1074231329 /nfs/dbraw/zinc/23/13/29/1074231329.db2.gz BHOCACHKAYCBHP-HNNXBMFYSA-N 0 0 438.242 -0.907 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC[C@]3(C1)NC(=O)NC3=O)c2=O ZINC001321425353 1074231378 /nfs/dbraw/zinc/23/13/78/1074231378.db2.gz BHOCACHKAYCBHP-OAHLLOKOSA-N 0 0 438.242 -0.907 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001321427591 1074231340 /nfs/dbraw/zinc/23/13/40/1074231340.db2.gz ULBFKQSAANOGJF-INIZCTEOSA-N 0 0 427.508 -0.434 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001321427593 1074231364 /nfs/dbraw/zinc/23/13/64/1074231364.db2.gz ULBFKQSAANOGJF-MRXNPFEDSA-N 0 0 427.508 -0.434 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321429327 1074231407 /nfs/dbraw/zinc/23/14/07/1074231407.db2.gz LFZLEOSINUMPCD-DLBZAZTESA-N 0 0 438.550 -0.243 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321429329 1074231849 /nfs/dbraw/zinc/23/18/49/1074231849.db2.gz LFZLEOSINUMPCD-IAGOWNOFSA-N 0 0 438.550 -0.243 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321429331 1074231732 /nfs/dbraw/zinc/23/17/32/1074231732.db2.gz LFZLEOSINUMPCD-IRXDYDNUSA-N 0 0 438.550 -0.243 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)c2ccco2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321429334 1074231768 /nfs/dbraw/zinc/23/17/68/1074231768.db2.gz LFZLEOSINUMPCD-SJORKVTESA-N 0 0 438.550 -0.243 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CCC2CS(=O)(=O)C2)c(=O)c1=O ZINC001321430530 1074231835 /nfs/dbraw/zinc/23/18/35/1074231835.db2.gz VFLVCEBESLRKNK-UHFFFAOYSA-N 0 0 429.520 -0.132 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001321435411 1074231855 /nfs/dbraw/zinc/23/18/55/1074231855.db2.gz PHUHXQSEPIDSFX-UHFFFAOYSA-N 0 0 431.492 -0.196 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCC(C(N)=O)CC1)c(=O)n2CC(C)C ZINC001321447457 1074231688 /nfs/dbraw/zinc/23/16/88/1074231688.db2.gz ONTCXTGRFNMUBK-UHFFFAOYSA-N 0 0 434.497 -0.614 20 0 IBADRN Cn1ncc2cc(S(=O)(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)cnc21 ZINC001321448211 1074231776 /nfs/dbraw/zinc/23/17/76/1074231776.db2.gz NFPOMBHGSNHQDS-UHFFFAOYSA-N 0 0 426.480 -0.207 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)c3cnc4c(cnn4C)c3)CC2)cn1 ZINC001321448639 1074231727 /nfs/dbraw/zinc/23/17/27/1074231727.db2.gz UDKYXVPTVMMBBG-UHFFFAOYSA-N 0 0 439.523 -0.167 20 0 IBADRN Cn1ncc2cc(S(=O)(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)cnc21 ZINC001321449254 1074231720 /nfs/dbraw/zinc/23/17/20/1074231720.db2.gz ZDDWQFIBOSLMOB-AWEZNQCLSA-N 0 0 429.524 -0.217 20 0 IBADRN Cn1ncc2cc(S(=O)(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)cnc21 ZINC001321449255 1074231750 /nfs/dbraw/zinc/23/17/50/1074231750.db2.gz ZDDWQFIBOSLMOB-CQSZACIVSA-N 0 0 429.524 -0.217 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCN1C(=O)CSC1=O)c2=O ZINC001321451213 1074231739 /nfs/dbraw/zinc/23/17/39/1074231739.db2.gz UNEYKDCMITUEND-UHFFFAOYSA-N 0 0 429.256 -0.296 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)CN1CCCN(CC(=O)N(C)Cc2cnn(C)c2)CC1 ZINC001321452677 1074231813 /nfs/dbraw/zinc/23/18/13/1074231813.db2.gz WDMKJDIEXXRZJX-UHFFFAOYSA-N 0 0 430.557 -0.222 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC001321456953 1074231712 /nfs/dbraw/zinc/23/17/12/1074231712.db2.gz HHKUCDVVEVWMBO-UHFFFAOYSA-N 0 0 430.911 -0.052 20 0 IBADRN COCCn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001321457690 1074231863 /nfs/dbraw/zinc/23/18/63/1074231863.db2.gz WFOCCHQCHWOVQG-AWEZNQCLSA-N 0 0 448.505 -0.858 20 0 IBADRN COCCn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001321457691 1074231758 /nfs/dbraw/zinc/23/17/58/1074231758.db2.gz WFOCCHQCHWOVQG-CQSZACIVSA-N 0 0 448.505 -0.858 20 0 IBADRN NC(=O)CCS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC001321458908 1074231877 /nfs/dbraw/zinc/23/18/77/1074231877.db2.gz QYSWEBBROSTUAE-UHFFFAOYSA-N 0 0 425.479 -0.439 20 0 IBADRN O=C(CN1CCC(C(=O)N2CCCC2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321459110 1074232148 /nfs/dbraw/zinc/23/21/48/1074232148.db2.gz YPRRKPAHFKHAKS-GOSISDBHSA-N 0 0 426.583 -0.348 20 0 IBADRN O=C(CN1CCC(C(=O)N2CCCC2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321459111 1074232153 /nfs/dbraw/zinc/23/21/53/1074232153.db2.gz YPRRKPAHFKHAKS-SFHVURJKSA-N 0 0 426.583 -0.348 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCn2c(nnc2-c2cncc(Br)c2)C1 ZINC001321461472 1074232263 /nfs/dbraw/zinc/23/22/63/1074232263.db2.gz IYCXCBTTYPUSPB-UHFFFAOYSA-N 0 0 436.270 -0.303 20 0 IBADRN CC(C)[C@H](NC(=O)CN1CCS(=O)(=O)CC1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321462560 1074232238 /nfs/dbraw/zinc/23/22/38/1074232238.db2.gz XQOITKHLSAMHQP-KRWDZBQOSA-N 0 0 426.543 -0.946 20 0 IBADRN CC(C)[C@@H](NC(=O)CN1CCS(=O)(=O)CC1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321462561 1074232187 /nfs/dbraw/zinc/23/21/87/1074232187.db2.gz XQOITKHLSAMHQP-QGZVFWFLSA-N 0 0 426.543 -0.946 20 0 IBADRN COCCN1C(=O)C(=C(NC2CCN(C(C)=O)CC2)[C@H](F)C(=O)OC)C(=O)NC1=S ZINC001321467587 1074232162 /nfs/dbraw/zinc/23/21/62/1074232162.db2.gz PIURWINPDLEHQK-BSHRGCEOSA-N 0 0 444.485 -0.758 20 0 IBADRN COCCN1C(=O)C(=C(NC2CCN(C(C)=O)CC2)[C@@H](F)C(=O)OC)C(=O)NC1=S ZINC001321467588 1074232195 /nfs/dbraw/zinc/23/21/95/1074232195.db2.gz PIURWINPDLEHQK-MNWMYKRDSA-N 0 0 444.485 -0.758 20 0 IBADRN COCCN1C(=O)C(=C(NC2CCN(C(C)=O)CC2)[C@@H](F)C(=O)OC)C(=O)NC1=S ZINC001321467589 1074232244 /nfs/dbraw/zinc/23/22/44/1074232244.db2.gz PIURWINPDLEHQK-NXXVSJRMSA-N 0 0 444.485 -0.758 20 0 IBADRN COCCN1C(=O)C(=C(NC2CCN(C(C)=O)CC2)[C@H](F)C(=O)OC)C(=O)NC1=S ZINC001321467590 1074232171 /nfs/dbraw/zinc/23/21/71/1074232171.db2.gz PIURWINPDLEHQK-REQDGWNSSA-N 0 0 444.485 -0.758 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2n(CCN2CCCS2(=O)=O)c(=O)c1=O ZINC001321471380 1074232222 /nfs/dbraw/zinc/23/22/22/1074232222.db2.gz RFZUSERQIBLEDL-UHFFFAOYSA-N 0 0 444.535 -0.531 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC001321472029 1074232159 /nfs/dbraw/zinc/23/21/59/1074232159.db2.gz VXBRNDCRDMMSOL-UHFFFAOYSA-N 0 0 449.555 -0.411 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@H]2CCOC2)n1 ZINC001321473530 1074232208 /nfs/dbraw/zinc/23/22/08/1074232208.db2.gz KPQGYZPEOSVSRY-CVEARBPZSA-N 0 0 428.497 -0.677 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@@H]2CCOC2)n1 ZINC001321473562 1074232234 /nfs/dbraw/zinc/23/22/34/1074232234.db2.gz KPQGYZPEOSVSRY-HOTGVXAUSA-N 0 0 428.497 -0.677 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@H]2CCOC2)n1 ZINC001321473564 1074232256 /nfs/dbraw/zinc/23/22/56/1074232256.db2.gz KPQGYZPEOSVSRY-HZPDHXFCSA-N 0 0 428.497 -0.677 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@@H]2CCOC2)n1 ZINC001321473566 1074232181 /nfs/dbraw/zinc/23/21/81/1074232181.db2.gz KPQGYZPEOSVSRY-JKSUJKDBSA-N 0 0 428.497 -0.677 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001321474111 1074232296 /nfs/dbraw/zinc/23/22/96/1074232296.db2.gz ZQRHKLAYFQNLES-UHFFFAOYSA-N 0 0 441.554 -0.637 20 0 IBADRN CC(C)[C@@H](NC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321482165 1074232287 /nfs/dbraw/zinc/23/22/87/1074232287.db2.gz LAZGLRSJQCXXAL-GOSISDBHSA-N 0 0 433.513 -0.002 20 0 IBADRN CC(C)[C@H](NC(=O)CCCN1C(=O)CN(C)C1=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001321482168 1074232215 /nfs/dbraw/zinc/23/22/15/1074232215.db2.gz LAZGLRSJQCXXAL-SFHVURJKSA-N 0 0 433.513 -0.002 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)CC2)no1 ZINC001321487388 1074232135 /nfs/dbraw/zinc/23/21/35/1074232135.db2.gz ADVVVDLRAWCBFK-UHFFFAOYSA-N 0 0 442.542 -0.536 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321488552 1074232273 /nfs/dbraw/zinc/23/22/73/1074232273.db2.gz QDELWEQEHQNTDD-AWEZNQCLSA-N 0 0 430.552 -0.980 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321488561 1074232723 /nfs/dbraw/zinc/23/27/23/1074232723.db2.gz QDELWEQEHQNTDD-CQSZACIVSA-N 0 0 430.552 -0.980 20 0 IBADRN CN(C(=O)c1cc(Br)c(C(=O)N(C)[C@@H]2CCNC2=O)o1)[C@@H]1CCNC1=O ZINC001321492203 1074232755 /nfs/dbraw/zinc/23/27/55/1074232755.db2.gz NUDMKJRHWVHPOJ-NXEZZACHSA-N 0 0 427.255 -0.037 20 0 IBADRN CN(C(=O)c1cc(Br)c(C(=O)N(C)[C@H]2CCNC2=O)o1)[C@H]1CCNC1=O ZINC001321492204 1074232530 /nfs/dbraw/zinc/23/25/30/1074232530.db2.gz NUDMKJRHWVHPOJ-UWVGGRQHSA-N 0 0 427.255 -0.037 20 0 IBADRN CN(C(=O)c1oc(C(=O)N(C)[C@H]2CCNC2=O)cc1Br)[C@@H]1CCNC1=O ZINC001321492452 1074232763 /nfs/dbraw/zinc/23/27/63/1074232763.db2.gz NUDMKJRHWVHPOJ-VHSXEESVSA-N 0 0 427.255 -0.037 20 0 IBADRN CN(C(=O)c1cc(Br)c(C(=O)N(C)[C@H]2CCNC2=O)o1)[C@@H]1CCNC1=O ZINC001321492453 1074232609 /nfs/dbraw/zinc/23/26/09/1074232609.db2.gz NUDMKJRHWVHPOJ-ZJUUUORDSA-N 0 0 427.255 -0.037 20 0 IBADRN O=C(CN1CCN(CC(=O)Nc2ccccc2)CC1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001321494418 1074232566 /nfs/dbraw/zinc/23/25/66/1074232566.db2.gz YTEYYOXGRBWKCP-INIZCTEOSA-N 0 0 437.522 -0.744 20 0 IBADRN O=C(CN1CCN(CC(=O)Nc2ccccc2)CC1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001321494419 1074232625 /nfs/dbraw/zinc/23/26/25/1074232625.db2.gz YTEYYOXGRBWKCP-MRXNPFEDSA-N 0 0 437.522 -0.744 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N(C)CCS(C)(=O)=O ZINC001321497166 1074232767 /nfs/dbraw/zinc/23/27/67/1074232767.db2.gz FIBGRVVQROCKOI-AWEZNQCLSA-N 0 0 434.536 -0.269 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N(C)CCS(C)(=O)=O ZINC001321497167 1074232704 /nfs/dbraw/zinc/23/27/04/1074232704.db2.gz FIBGRVVQROCKOI-CQSZACIVSA-N 0 0 434.536 -0.269 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)O[C@@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001321498033 1074232715 /nfs/dbraw/zinc/23/27/15/1074232715.db2.gz QXBLVKFWFHFGPJ-AWEZNQCLSA-N 0 0 441.506 -0.014 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)O[C@H](C)C(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001321498034 1074232538 /nfs/dbraw/zinc/23/25/38/1074232538.db2.gz QXBLVKFWFHFGPJ-CQSZACIVSA-N 0 0 441.506 -0.014 20 0 IBADRN CCOC(=O)[C@H]1CCCN1C(=O)Cn1nc(C(=O)N2CCC[C@@H]2C(=O)OCC)ccc1=O ZINC001321504796 1074232582 /nfs/dbraw/zinc/23/25/82/1074232582.db2.gz LPNFKHVCCFPAMM-HZPDHXFCSA-N 0 0 448.476 -0.035 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC001321508160 1074232669 /nfs/dbraw/zinc/23/26/69/1074232669.db2.gz VAEZGMALDRLJAR-GOSISDBHSA-N 0 0 444.554 -0.773 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC001321508162 1074232739 /nfs/dbraw/zinc/23/27/39/1074232739.db2.gz VAEZGMALDRLJAR-SFHVURJKSA-N 0 0 444.554 -0.773 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001321517603 1074232730 /nfs/dbraw/zinc/23/27/30/1074232730.db2.gz PLPJCGZKXPUQLS-INIZCTEOSA-N 0 0 427.479 -0.807 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001321517605 1074232692 /nfs/dbraw/zinc/23/26/92/1074232692.db2.gz PLPJCGZKXPUQLS-MRXNPFEDSA-N 0 0 427.479 -0.807 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)c1cc(I)ccc1-n1cnnn1 ZINC001321517943 1074232549 /nfs/dbraw/zinc/23/25/49/1074232549.db2.gz RBHBUFYOYVVZLN-JTQLQIEISA-N 0 0 431.190 -0.079 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)c1cc(I)ccc1-n1cnnn1 ZINC001321517944 1074232633 /nfs/dbraw/zinc/23/26/33/1074232633.db2.gz RBHBUFYOYVVZLN-SNVBAGLBSA-N 0 0 431.190 -0.079 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCN2CCS(=O)(=O)CC2)CC1 ZINC001321519765 1074232596 /nfs/dbraw/zinc/23/25/96/1074232596.db2.gz OIAISOYGDTVXEU-UHFFFAOYSA-N 0 0 447.583 -0.726 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001321531428 1074232647 /nfs/dbraw/zinc/23/26/47/1074232647.db2.gz TZFHTWUOIDNBNH-GDBMZVCRSA-N 0 0 425.511 -0.811 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001321531473 1074233035 /nfs/dbraw/zinc/23/30/35/1074233035.db2.gz TZFHTWUOIDNBNH-GOEBONIOSA-N 0 0 425.511 -0.811 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001321531475 1074233029 /nfs/dbraw/zinc/23/30/29/1074233029.db2.gz TZFHTWUOIDNBNH-HOCLYGCPSA-N 0 0 425.511 -0.811 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001321531478 1074233043 /nfs/dbraw/zinc/23/30/43/1074233043.db2.gz TZFHTWUOIDNBNH-ZBFHGGJFSA-N 0 0 425.511 -0.811 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CCC2(CC1)NC(=O)NC2=O ZINC001321537671 1074233087 /nfs/dbraw/zinc/23/30/87/1074233087.db2.gz UKAATDJJEGHSLP-OCCSQVGLSA-N 0 0 440.453 -0.850 20 0 IBADRN Cn1cc(-c2n[nH]cc2C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001321538260 1074233002 /nfs/dbraw/zinc/23/30/02/1074233002.db2.gz YMHWWADXNIGRDH-CYBMUJFWSA-N 0 0 442.523 -0.915 20 0 IBADRN Cn1cc(-c2n[nH]cc2C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001321538261 1074233065 /nfs/dbraw/zinc/23/30/65/1074233065.db2.gz YMHWWADXNIGRDH-ZDUSSCGKSA-N 0 0 442.523 -0.915 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)COC(=O)CNC(=O)c2cc(Cl)ccn2)CC1 ZINC001321544410 1074233050 /nfs/dbraw/zinc/23/30/50/1074233050.db2.gz OQNJBXDGCSQCMV-UHFFFAOYSA-N 0 0 425.873 -0.760 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2cccc(C(=O)NCC3(S(C)(=O)=O)CC3)n2)CC1 ZINC001321551072 1074233055 /nfs/dbraw/zinc/23/30/55/1074233055.db2.gz MZARWZOKSXCNBN-UHFFFAOYSA-N 0 0 429.520 -0.304 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(S(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC001321555138 1074233024 /nfs/dbraw/zinc/23/30/24/1074233024.db2.gz QTEKDEFVSJJXPE-AWEZNQCLSA-N 0 0 425.573 -0.948 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(S(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC001321555141 1074233040 /nfs/dbraw/zinc/23/30/40/1074233040.db2.gz QTEKDEFVSJJXPE-CQSZACIVSA-N 0 0 425.573 -0.948 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC001321558170 1074233070 /nfs/dbraw/zinc/23/30/70/1074233070.db2.gz DMQSGQZHAKZIOF-HNNXBMFYSA-N 0 0 436.490 -0.496 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC001321558171 1074233062 /nfs/dbraw/zinc/23/30/62/1074233062.db2.gz DMQSGQZHAKZIOF-OAHLLOKOSA-N 0 0 436.490 -0.496 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001321559241 1074233020 /nfs/dbraw/zinc/23/30/20/1074233020.db2.gz YKUYRFOIPUHHQO-GFCCVEGCSA-N 0 0 438.549 -0.596 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001321559247 1074233083 /nfs/dbraw/zinc/23/30/83/1074233083.db2.gz YKUYRFOIPUHHQO-LBPRGKRZSA-N 0 0 438.549 -0.596 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001321560310 1074233013 /nfs/dbraw/zinc/23/30/13/1074233013.db2.gz MGKLTLNFCJOXTQ-UHFFFAOYSA-N 0 0 446.551 -0.305 20 0 IBADRN O=C(CSc1n[nH]c(=O)n1C[C@@H]1CCCO1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321561370 1074233499 /nfs/dbraw/zinc/23/34/99/1074233499.db2.gz CXONPXIBHCWJHQ-KBPBESRZSA-N 0 0 445.567 -0.414 20 0 IBADRN O=C(CSc1n[nH]c(=O)n1C[C@@H]1CCCO1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321561371 1074233390 /nfs/dbraw/zinc/23/33/90/1074233390.db2.gz CXONPXIBHCWJHQ-KGLIPLIRSA-N 0 0 445.567 -0.414 20 0 IBADRN O=C(CSc1n[nH]c(=O)n1C[C@H]1CCCO1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321561372 1074233454 /nfs/dbraw/zinc/23/34/54/1074233454.db2.gz CXONPXIBHCWJHQ-UONOGXRCSA-N 0 0 445.567 -0.414 20 0 IBADRN O=C(CSc1n[nH]c(=O)n1C[C@H]1CCCO1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321561373 1074233381 /nfs/dbraw/zinc/23/33/81/1074233381.db2.gz CXONPXIBHCWJHQ-ZIAGYGMSSA-N 0 0 445.567 -0.414 20 0 IBADRN C[C@@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C(=O)NC1CC1 ZINC001321561688 1074233426 /nfs/dbraw/zinc/23/34/26/1074233426.db2.gz MZVHBYRJIPKBNT-DOMZBBRYSA-N 0 0 432.520 -0.022 20 0 IBADRN C[C@@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C(=O)NC1CC1 ZINC001321561689 1074233407 /nfs/dbraw/zinc/23/34/07/1074233407.db2.gz MZVHBYRJIPKBNT-IUODEOHRSA-N 0 0 432.520 -0.022 20 0 IBADRN C[C@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C(=O)NC1CC1 ZINC001321561690 1074233430 /nfs/dbraw/zinc/23/34/30/1074233430.db2.gz MZVHBYRJIPKBNT-SWLSCSKDSA-N 0 0 432.520 -0.022 20 0 IBADRN C[C@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C(=O)NC1CC1 ZINC001321561691 1074233447 /nfs/dbraw/zinc/23/34/47/1074233447.db2.gz MZVHBYRJIPKBNT-WFASDCNBSA-N 0 0 432.520 -0.022 20 0 IBADRN COCCN(C(=O)CSc1nnc(SCC(N)=O)s1)[C@@H]1CCS(=O)(=O)C1 ZINC001321561780 1074233349 /nfs/dbraw/zinc/23/33/49/1074233349.db2.gz XHFVYZWFBKGOCD-SECBINFHSA-N 0 0 440.594 -0.130 20 0 IBADRN COCCN(C(=O)CSc1nnc(SCC(N)=O)s1)[C@H]1CCS(=O)(=O)C1 ZINC001321561781 1074233375 /nfs/dbraw/zinc/23/33/75/1074233375.db2.gz XHFVYZWFBKGOCD-VIFPVBQESA-N 0 0 440.594 -0.130 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@@H]3CCS(=O)(=O)C3)c2)CC1 ZINC001321570534 1074233415 /nfs/dbraw/zinc/23/34/15/1074233415.db2.gz WPXZDURMCMPOCX-HNNXBMFYSA-N 0 0 443.547 -0.296 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC[C@H]3CCS(=O)(=O)C3)c2)CC1 ZINC001321570535 1074233489 /nfs/dbraw/zinc/23/34/89/1074233489.db2.gz WPXZDURMCMPOCX-OAHLLOKOSA-N 0 0 443.547 -0.296 20 0 IBADRN CC(=O)N[C@](C)(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC001321571350 1074233507 /nfs/dbraw/zinc/23/35/07/1074233507.db2.gz AOIYFZVTYGZMKS-BLVKFPJESA-N 0 0 441.506 -0.423 20 0 IBADRN CC(=O)N[C@](C)(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC001321571351 1074233513 /nfs/dbraw/zinc/23/35/13/1074233513.db2.gz AOIYFZVTYGZMKS-BUXKBTBVSA-N 0 0 441.506 -0.423 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC001321571352 1074233464 /nfs/dbraw/zinc/23/34/64/1074233464.db2.gz AOIYFZVTYGZMKS-CWTRNNRKSA-N 0 0 441.506 -0.423 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC001321571353 1074233335 /nfs/dbraw/zinc/23/33/35/1074233335.db2.gz AOIYFZVTYGZMKS-HXPMCKFVSA-N 0 0 441.506 -0.423 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCOCCS(C)(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321571373 1074233484 /nfs/dbraw/zinc/23/34/84/1074233484.db2.gz AXTFDBYDCRAXCB-KRWDZBQOSA-N 0 0 434.536 -0.381 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCOCCS(C)(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321571374 1074233473 /nfs/dbraw/zinc/23/34/73/1074233473.db2.gz AXTFDBYDCRAXCB-QGZVFWFLSA-N 0 0 434.536 -0.381 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(C(=O)N3CCOCC3)CC1)OCC(=O)N2 ZINC001321572601 1074233396 /nfs/dbraw/zinc/23/33/96/1074233396.db2.gz RPDZKQFUTSETEW-UHFFFAOYSA-N 0 0 440.478 -0.215 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001321572997 1074233437 /nfs/dbraw/zinc/23/34/37/1074233437.db2.gz CMKDZCODBRFNOO-UHFFFAOYSA-N 0 0 431.536 -0.152 20 0 IBADRN O=C(COCC(=O)N1CCCN(C(=O)C2CC2)CC1)N1CCCN(C(=O)C2CC2)CC1 ZINC001321580432 1074233877 /nfs/dbraw/zinc/23/38/77/1074233877.db2.gz JHTZUNHOVYTTER-UHFFFAOYSA-N 0 0 434.537 -0.055 20 0 IBADRN CC1(C)CN(CCS(=O)(=O)CCN2CCN(CCO)C(C)(C)C2)CCN1CCO ZINC001321580439 1074233811 /nfs/dbraw/zinc/23/38/11/1074233811.db2.gz JQNMBNFAUUZBRR-UHFFFAOYSA-N 0 0 434.647 -0.822 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCN1CCCS1(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321582991 1074233834 /nfs/dbraw/zinc/23/38/34/1074233834.db2.gz MDRPCRYSWLDWAJ-KRWDZBQOSA-N 0 0 431.536 -0.407 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCN1CCCS1(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001321582992 1074233859 /nfs/dbraw/zinc/23/38/59/1074233859.db2.gz MDRPCRYSWLDWAJ-QGZVFWFLSA-N 0 0 431.536 -0.407 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001321583785 1074233853 /nfs/dbraw/zinc/23/38/53/1074233853.db2.gz UDCINRWGXJVCJQ-GOSISDBHSA-N 0 0 426.491 -0.032 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001321583787 1074233864 /nfs/dbraw/zinc/23/38/64/1074233864.db2.gz UDCINRWGXJVCJQ-SFHVURJKSA-N 0 0 426.491 -0.032 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCN1CCS(=O)(=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001321584320 1074233867 /nfs/dbraw/zinc/23/38/67/1074233867.db2.gz YKGQXHRZPOETJZ-GOSISDBHSA-N 0 0 445.563 -0.712 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCN1CCS(=O)(=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001321584321 1074233820 /nfs/dbraw/zinc/23/38/20/1074233820.db2.gz YKGQXHRZPOETJZ-SFHVURJKSA-N 0 0 445.563 -0.712 20 0 IBADRN Cc1nnc(N2CCN(C(=O)Cn3nc4n(c3=O)CCCCC4)CC2)c(C(N)=O)c1C ZINC001321585303 1074233814 /nfs/dbraw/zinc/23/38/14/1074233814.db2.gz LCXDZHYKCDQBBC-UHFFFAOYSA-N 0 0 428.497 -0.374 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CN(C)C(=O)[C@H](C)n3cccn3)CC2)c(C(N)=O)c1C ZINC001321585448 1074233791 /nfs/dbraw/zinc/23/37/91/1074233791.db2.gz NROYWWUNQDDWTD-HNNXBMFYSA-N 0 0 428.497 -0.243 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CN(C)C(=O)[C@@H](C)n3cccn3)CC2)c(C(N)=O)c1C ZINC001321585450 1074233871 /nfs/dbraw/zinc/23/38/71/1074233871.db2.gz NROYWWUNQDDWTD-OAHLLOKOSA-N 0 0 428.497 -0.243 20 0 IBADRN CCS(=O)(=O)N1CCC(C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)CC1 ZINC001321585511 1074233882 /nfs/dbraw/zinc/23/38/82/1074233882.db2.gz PNUARRHKMOANQU-UHFFFAOYSA-N 0 0 438.554 -0.097 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ccc(-n3cncn3)nc2)CC1 ZINC001321590890 1074233848 /nfs/dbraw/zinc/23/38/48/1074233848.db2.gz XZKFUUNQOXGXDN-UHFFFAOYSA-N 0 0 426.437 -0.835 20 0 IBADRN O=C(COC(=O)C1(CO)COC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001321598209 1074233802 /nfs/dbraw/zinc/23/38/02/1074233802.db2.gz QTVYMVRVHMDWMP-UHFFFAOYSA-N 0 0 438.502 -0.440 20 0 IBADRN COCCn1cnnc1S(=O)(=O)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC001321601625 1074233869 /nfs/dbraw/zinc/23/38/69/1074233869.db2.gz OMXPGULBMZDCJN-AWEZNQCLSA-N 0 0 434.540 -0.344 20 0 IBADRN COCCn1cnnc1S(=O)(=O)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001321601626 1074233826 /nfs/dbraw/zinc/23/38/26/1074233826.db2.gz OMXPGULBMZDCJN-CQSZACIVSA-N 0 0 434.540 -0.344 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321606334 1074234213 /nfs/dbraw/zinc/23/42/13/1074234213.db2.gz KDIQSJIWVZRVEP-ARFHVFGLSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321606335 1074234151 /nfs/dbraw/zinc/23/41/51/1074234151.db2.gz KDIQSJIWVZRVEP-BZUAXINKSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321606336 1074234160 /nfs/dbraw/zinc/23/41/60/1074234160.db2.gz KDIQSJIWVZRVEP-HRCADAONSA-N 0 0 449.595 -0.364 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321606337 1074234172 /nfs/dbraw/zinc/23/41/72/1074234172.db2.gz KDIQSJIWVZRVEP-OWCLPIDISA-N 0 0 449.595 -0.364 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001321607640 1074234176 /nfs/dbraw/zinc/23/41/76/1074234176.db2.gz YQIKVCSPSGEDJQ-UHFFFAOYSA-N 0 0 443.552 -0.430 20 0 IBADRN Cc1[nH]c2nn(C)c(=O)c-2c(C)c1CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001321613924 1074234223 /nfs/dbraw/zinc/23/42/23/1074234223.db2.gz JLZQJWKNJOBUJP-UHFFFAOYSA-N 0 0 442.476 -0.759 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC001321625313 1074234265 /nfs/dbraw/zinc/23/42/65/1074234265.db2.gz OXXPANOOQOHFDP-UHFFFAOYSA-N 0 0 447.579 -0.462 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC001321626885 1074234246 /nfs/dbraw/zinc/23/42/46/1074234246.db2.gz UTNFVVJOKQCXHC-UHFFFAOYSA-N 0 0 440.522 -0.310 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001321633204 1074234204 /nfs/dbraw/zinc/23/42/04/1074234204.db2.gz YOZLIFKQQCGEKZ-AWEZNQCLSA-N 0 0 448.567 -0.976 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001321633205 1074234217 /nfs/dbraw/zinc/23/42/17/1074234217.db2.gz YOZLIFKQQCGEKZ-CQSZACIVSA-N 0 0 448.567 -0.976 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[S@@](=O)C[C@H]2c2cnn(C)c2)c1 ZINC001321642467 1074234230 /nfs/dbraw/zinc/23/42/30/1074234230.db2.gz NSJZMHIJSRCSQD-KUNJGFBQSA-N 0 0 429.524 -0.381 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[S@](=O)C[C@H]2c2cnn(C)c2)c1 ZINC001321642468 1074234142 /nfs/dbraw/zinc/23/41/42/1074234142.db2.gz NSJZMHIJSRCSQD-QZXCRCNTSA-N 0 0 429.524 -0.381 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[S@](=O)C[C@@H]2c2cnn(C)c2)c1 ZINC001321642469 1074234107 /nfs/dbraw/zinc/23/41/07/1074234107.db2.gz NSJZMHIJSRCSQD-RXAIFQJESA-N 0 0 429.524 -0.381 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CC[S@@](=O)C[C@@H]2c2cnn(C)c2)c1 ZINC001321642470 1074234260 /nfs/dbraw/zinc/23/42/60/1074234260.db2.gz NSJZMHIJSRCSQD-YVORESIASA-N 0 0 429.524 -0.381 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001321642916 1074234119 /nfs/dbraw/zinc/23/41/19/1074234119.db2.gz HGROEFRYRIWVKU-CYBMUJFWSA-N 0 0 433.508 -0.708 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001321642917 1074234166 /nfs/dbraw/zinc/23/41/66/1074234166.db2.gz HGROEFRYRIWVKU-ZDUSSCGKSA-N 0 0 433.508 -0.708 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001321643313 1074234254 /nfs/dbraw/zinc/23/42/54/1074234254.db2.gz MTPNYHRAEFGJTR-CYBMUJFWSA-N 0 0 427.479 -0.757 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001321643314 1074234657 /nfs/dbraw/zinc/23/46/57/1074234657.db2.gz MTPNYHRAEFGJTR-ZDUSSCGKSA-N 0 0 427.479 -0.757 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1OC ZINC001321643772 1074234681 /nfs/dbraw/zinc/23/46/81/1074234681.db2.gz TXLGYFPZWPGKQW-CYBMUJFWSA-N 0 0 427.479 -0.757 20 0 IBADRN COc1ccc(C(=O)NCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1OC ZINC001321643774 1074234635 /nfs/dbraw/zinc/23/46/35/1074234635.db2.gz TXLGYFPZWPGKQW-ZDUSSCGKSA-N 0 0 427.479 -0.757 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)N2CCC(C(=O)OC)CC2)CCS(=O)(=O)CC1 ZINC001321644514 1074234672 /nfs/dbraw/zinc/23/46/72/1074234672.db2.gz AXNZHJCGCTWTLC-UHFFFAOYSA-N 0 0 426.513 -0.784 20 0 IBADRN COC(=O)CC1(NC(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CCS(=O)(=O)CC1 ZINC001321645626 1074234661 /nfs/dbraw/zinc/23/46/61/1074234661.db2.gz OWBZXECUXOZFQG-UHFFFAOYSA-N 0 0 435.524 -0.484 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)CC1 ZINC001321645800 1074234586 /nfs/dbraw/zinc/23/45/86/1074234586.db2.gz QOFZBAYVAKMOSV-UHFFFAOYSA-N 0 0 440.540 -0.394 20 0 IBADRN CN(C1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1)S(C)(=O)=O ZINC001321649714 1074234622 /nfs/dbraw/zinc/23/46/22/1074234622.db2.gz DMHRTNOVWRQBDE-UHFFFAOYSA-N 0 0 440.507 -0.406 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)OCCN(C)S(=O)(=O)N2CCOCC2)cc1 ZINC001321655987 1074234644 /nfs/dbraw/zinc/23/46/44/1074234644.db2.gz PXNITFVHQVFCGV-UHFFFAOYSA-N 0 0 435.524 -0.811 20 0 IBADRN COCC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC001321660381 1074234666 /nfs/dbraw/zinc/23/46/66/1074234666.db2.gz WXDIDJHMMUZDCT-UHFFFAOYSA-N 0 0 445.469 -0.646 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN(C)CCNS(C)(=O)=O ZINC001321662985 1074234676 /nfs/dbraw/zinc/23/46/76/1074234676.db2.gz RBCISUWGKXLHQL-UHFFFAOYSA-N 0 0 427.570 -0.808 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=S)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001321667889 1074234649 /nfs/dbraw/zinc/23/46/49/1074234649.db2.gz VCEGTDFMUXDCON-UHFFFAOYSA-N 0 0 434.609 -0.193 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1C(=O)NC2(CCOCC2)C1=O ZINC001321668416 1074234596 /nfs/dbraw/zinc/23/45/96/1074234596.db2.gz DWBKQKNKXAIREH-UHFFFAOYSA-N 0 0 445.519 -0.188 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)ccc1OC ZINC001321673366 1074234628 /nfs/dbraw/zinc/23/46/28/1074234628.db2.gz TVFYPNMQZYPANN-UHFFFAOYSA-N 0 0 433.552 -0.158 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001321673710 1074234613 /nfs/dbraw/zinc/23/46/13/1074234613.db2.gz JFZRWINFOXCQMX-AWEZNQCLSA-N 0 0 431.536 -0.152 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001321673712 1074234641 /nfs/dbraw/zinc/23/46/41/1074234641.db2.gz JFZRWINFOXCQMX-CQSZACIVSA-N 0 0 431.536 -0.152 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001321683563 1074234549 /nfs/dbraw/zinc/23/45/49/1074234549.db2.gz PAFBJQDSUALKCJ-HNNXBMFYSA-N 0 0 438.510 -0.681 20 0 IBADRN O=C(Nc1cnn(CCN2CCOCC2)c1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001321683564 1074234604 /nfs/dbraw/zinc/23/46/04/1074234604.db2.gz PAFBJQDSUALKCJ-OAHLLOKOSA-N 0 0 438.510 -0.681 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCCS(=O)(=O)CC1 ZINC001321684899 1074234570 /nfs/dbraw/zinc/23/45/70/1074234570.db2.gz WBCMGTIRKOIHQM-UHFFFAOYSA-N 0 0 432.520 -0.023 20 0 IBADRN CN(C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001321698584 1074235087 /nfs/dbraw/zinc/23/50/87/1074235087.db2.gz PZHOCJWIRXBYSD-CVEARBPZSA-N 0 0 437.584 -0.563 20 0 IBADRN CN(C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001321698785 1074235113 /nfs/dbraw/zinc/23/51/13/1074235113.db2.gz PZHOCJWIRXBYSD-HOTGVXAUSA-N 0 0 437.584 -0.563 20 0 IBADRN CN(C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001321698786 1074234971 /nfs/dbraw/zinc/23/49/71/1074234971.db2.gz PZHOCJWIRXBYSD-HZPDHXFCSA-N 0 0 437.584 -0.563 20 0 IBADRN CN(C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001321698787 1074235009 /nfs/dbraw/zinc/23/50/09/1074235009.db2.gz PZHOCJWIRXBYSD-JKSUJKDBSA-N 0 0 437.584 -0.563 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(S(C)(=O)=O)cc1)C2 ZINC001321700151 1074234987 /nfs/dbraw/zinc/23/49/87/1074234987.db2.gz FXBHZWXGRPDDIO-UHFFFAOYSA-N 0 0 436.494 -0.738 20 0 IBADRN COC(=O)C1(NC(=O)CCC(=O)N2CC(=O)Nc3ccccc32)CCS(=O)(=O)CC1 ZINC001321704851 1074235051 /nfs/dbraw/zinc/23/50/51/1074235051.db2.gz BQRZMFYRTBJSQN-UHFFFAOYSA-N 0 0 437.474 -0.012 20 0 IBADRN COc1ccc(C(=O)N2CC[C@H](S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001321705610 1074234963 /nfs/dbraw/zinc/23/49/63/1074234963.db2.gz ISQYMLGBYSUMJF-AWEZNQCLSA-N 0 0 432.520 -0.025 20 0 IBADRN COc1ccc(C(=O)N2CC[C@@H](S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001321705611 1074234982 /nfs/dbraw/zinc/23/49/82/1074234982.db2.gz ISQYMLGBYSUMJF-CQSZACIVSA-N 0 0 432.520 -0.025 20 0 IBADRN COC(=O)C1(NC(=O)CNC(=O)c2cc(OC)cc(OC)c2)CCS(=O)(=O)CC1 ZINC001321705672 1074234937 /nfs/dbraw/zinc/23/49/37/1074234937.db2.gz KVGLEPLRFCUVFJ-UHFFFAOYSA-N 0 0 428.463 -0.330 20 0 IBADRN COC(=O)C1(NC(=O)c2[nH]ncc2I)CCS(=O)(=O)CC1 ZINC001321706107 1074235096 /nfs/dbraw/zinc/23/50/96/1074235096.db2.gz NSJFFQAZHXXEKB-UHFFFAOYSA-N 0 0 427.220 -0.136 20 0 IBADRN Cn1c(Cc2ccccn2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321717571 1074235131 /nfs/dbraw/zinc/23/51/31/1074235131.db2.gz RVIXZAOOULUFNF-HNNXBMFYSA-N 0 0 440.551 -0.560 20 0 IBADRN Cn1c(Cc2ccccn2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321717572 1074234993 /nfs/dbraw/zinc/23/49/93/1074234993.db2.gz RVIXZAOOULUFNF-OAHLLOKOSA-N 0 0 440.551 -0.560 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)CC1 ZINC001321722267 1074235107 /nfs/dbraw/zinc/23/51/07/1074235107.db2.gz PUPIKUBMFNYEFW-UHFFFAOYSA-N 0 0 430.552 -0.140 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cc1ccccc1NS(C)(=O)=O)C2 ZINC001321728344 1074234951 /nfs/dbraw/zinc/23/49/51/1074234951.db2.gz BGUUVFJABXOBPY-UHFFFAOYSA-N 0 0 436.494 -0.911 20 0 IBADRN CCN(CC)C(=O)N1CCC(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)CC1 ZINC001321729757 1074235579 /nfs/dbraw/zinc/23/55/79/1074235579.db2.gz UTQUQJUXWUNNIF-UHFFFAOYSA-N 0 0 435.529 -0.351 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC001321730098 1074235492 /nfs/dbraw/zinc/23/54/92/1074235492.db2.gz RGHOLWONTAZGRD-UHFFFAOYSA-N 0 0 426.529 -0.258 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)NCCNS(=O)(=O)c1ccc(F)cc1Cl ZINC001321730316 1074235484 /nfs/dbraw/zinc/23/54/84/1074235484.db2.gz WPQQJJKKTHJDKN-UHFFFAOYSA-N 0 0 428.833 -0.222 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H](O)C[C@H]3CO)ccc2F)CC1 ZINC001321738938 1074235497 /nfs/dbraw/zinc/23/54/97/1074235497.db2.gz JDOQDZYNEFUCOR-LSDHHAIUSA-N 0 0 429.470 -0.754 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCO[C@H](C(N)=O)C3)ccc2F)CC1 ZINC001321739682 1074235464 /nfs/dbraw/zinc/23/54/64/1074235464.db2.gz WJCCJTUAWLUFCR-HNNXBMFYSA-N 0 0 442.469 -0.995 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCO[C@@H](C(N)=O)C3)ccc2F)CC1 ZINC001321739683 1074235614 /nfs/dbraw/zinc/23/56/14/1074235614.db2.gz WJCCJTUAWLUFCR-OAHLLOKOSA-N 0 0 442.469 -0.995 20 0 IBADRN COC(=O)CCCc1nc(CS(=O)(=O)CC(=O)N2CCN(C(=O)C3CC3)CC2)no1 ZINC001321744829 1074235591 /nfs/dbraw/zinc/23/55/91/1074235591.db2.gz IRRXZIBAPZWXKI-UHFFFAOYSA-N 0 0 442.494 -0.439 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCC2CS(=O)(=O)C2)c1 ZINC001321749682 1074235473 /nfs/dbraw/zinc/23/54/73/1074235473.db2.gz WTECUVOAOHWBSI-UHFFFAOYSA-N 0 0 445.563 -0.062 20 0 IBADRN COCCN(C(=O)CN1CCC(C(=O)N2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001321750966 1074235586 /nfs/dbraw/zinc/23/55/86/1074235586.db2.gz BGWLSBNNMBOMHJ-KRWDZBQOSA-N 0 0 431.555 -0.781 20 0 IBADRN COCCN(C(=O)CN1CCC(C(=O)N2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001321750996 1074235532 /nfs/dbraw/zinc/23/55/32/1074235532.db2.gz BGWLSBNNMBOMHJ-QGZVFWFLSA-N 0 0 431.555 -0.781 20 0 IBADRN COCCOc1cncc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001321754282 1074235605 /nfs/dbraw/zinc/23/56/05/1074235605.db2.gz ZVXRTCIECHNNNJ-INIZCTEOSA-N 0 0 440.522 -0.832 20 0 IBADRN COCCOc1cncc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001321754283 1074235541 /nfs/dbraw/zinc/23/55/41/1074235541.db2.gz ZVXRTCIECHNNNJ-MRXNPFEDSA-N 0 0 440.522 -0.832 20 0 IBADRN COCc1nnc(-c2cccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)c2)o1 ZINC001321754897 1074235563 /nfs/dbraw/zinc/23/55/63/1074235563.db2.gz HEKAAUBCFXMRRA-CVEARBPZSA-N 0 0 436.490 -0.201 20 0 IBADRN COCc1nnc(-c2cccc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)c2)o1 ZINC001321754898 1074235599 /nfs/dbraw/zinc/23/55/99/1074235599.db2.gz HEKAAUBCFXMRRA-HOTGVXAUSA-N 0 0 436.490 -0.201 20 0 IBADRN COCc1nnc(-c2cccc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)c2)o1 ZINC001321754899 1074235455 /nfs/dbraw/zinc/23/54/55/1074235455.db2.gz HEKAAUBCFXMRRA-HZPDHXFCSA-N 0 0 436.490 -0.201 20 0 IBADRN COCc1nnc(-c2cccc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)c2)o1 ZINC001321754900 1074235549 /nfs/dbraw/zinc/23/55/49/1074235549.db2.gz HEKAAUBCFXMRRA-JKSUJKDBSA-N 0 0 436.490 -0.201 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001321756170 1074235555 /nfs/dbraw/zinc/23/55/55/1074235555.db2.gz RAVXQPJPKXDYGG-CVEARBPZSA-N 0 0 425.507 -0.931 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001321756173 1074235891 /nfs/dbraw/zinc/23/58/91/1074235891.db2.gz RAVXQPJPKXDYGG-HOTGVXAUSA-N 0 0 425.507 -0.931 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001321756176 1074235758 /nfs/dbraw/zinc/23/57/58/1074235758.db2.gz RAVXQPJPKXDYGG-HZPDHXFCSA-N 0 0 425.507 -0.931 20 0 IBADRN CN(C)C(=O)COc1ccccc1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001321756179 1074235809 /nfs/dbraw/zinc/23/58/09/1074235809.db2.gz RAVXQPJPKXDYGG-JKSUJKDBSA-N 0 0 425.507 -0.931 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)Cn2ncn3nccc3c2=O)CC1 ZINC001321756975 1074235868 /nfs/dbraw/zinc/23/58/68/1074235868.db2.gz CZVDYTFRMSJIHJ-UHFFFAOYSA-N 0 0 437.526 -0.456 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)Cn3ncn4nccc4c3=O)cc2)CC1 ZINC001321757918 1074235789 /nfs/dbraw/zinc/23/57/89/1074235789.db2.gz IUYPOGYMJXIQSC-UHFFFAOYSA-N 0 0 431.478 -0.534 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCC(NS(C)(=O)=O)CC1 ZINC001321761110 1074235801 /nfs/dbraw/zinc/23/58/01/1074235801.db2.gz SQLMFQOCBCGNGS-UHFFFAOYSA-N 0 0 426.538 -0.199 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)OCC(=O)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001321761654 1074235840 /nfs/dbraw/zinc/23/58/40/1074235840.db2.gz PXZRWGWYNMYNOG-UHFFFAOYSA-N 0 0 439.432 -0.190 20 0 IBADRN COCC(=O)NC1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001321764112 1074235880 /nfs/dbraw/zinc/23/58/80/1074235880.db2.gz FVWYQPGAWJGZPF-UHFFFAOYSA-N 0 0 438.506 -0.826 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1 ZINC001321764361 1074235920 /nfs/dbraw/zinc/23/59/20/1074235920.db2.gz IPJZWKYQSFTAHM-CYBMUJFWSA-N 0 0 435.524 -0.298 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)CCCN2S(C)(=O)=O ZINC001321764621 1074235781 /nfs/dbraw/zinc/23/57/81/1074235781.db2.gz LZZRPQJAYMOUBK-HNNXBMFYSA-N 0 0 443.547 -0.226 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)CCCN2S(C)(=O)=O ZINC001321764622 1074235855 /nfs/dbraw/zinc/23/58/55/1074235855.db2.gz LZZRPQJAYMOUBK-OAHLLOKOSA-N 0 0 443.547 -0.226 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2cc(S(=O)(=O)NC)ccc2Br)CC1 ZINC001321764762 1074235761 /nfs/dbraw/zinc/23/57/61/1074235761.db2.gz QUKOIRZMKCSLDO-UHFFFAOYSA-N 0 0 433.328 -0.139 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CC1(CC(=O)N2CCOCC2)CCCC1 ZINC001321765007 1074235861 /nfs/dbraw/zinc/23/58/61/1074235861.db2.gz SLSOMYUKFCSDDS-INIZCTEOSA-N 0 0 443.566 -0.053 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CC1(CC(=O)N2CCOCC2)CCCC1 ZINC001321765008 1074235847 /nfs/dbraw/zinc/23/58/47/1074235847.db2.gz SLSOMYUKFCSDDS-MRXNPFEDSA-N 0 0 443.566 -0.053 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccco1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001321765082 1074235747 /nfs/dbraw/zinc/23/57/47/1074235747.db2.gz XLSHSDACLYZXQH-CHWSQXEVSA-N 0 0 431.536 -0.107 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001321765083 1074235825 /nfs/dbraw/zinc/23/58/25/1074235825.db2.gz XLSHSDACLYZXQH-OLZOCXBDSA-N 0 0 431.536 -0.107 20 0 IBADRN CSCC[C@@H](NC(=O)c1ccco1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001321765084 1074235832 /nfs/dbraw/zinc/23/58/32/1074235832.db2.gz XLSHSDACLYZXQH-QWHCGFSZSA-N 0 0 431.536 -0.107 20 0 IBADRN CSCC[C@H](NC(=O)c1ccco1)C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001321765085 1074235816 /nfs/dbraw/zinc/23/58/16/1074235816.db2.gz XLSHSDACLYZXQH-STQMWFEESA-N 0 0 431.536 -0.107 20 0 IBADRN CS(=O)(=O)N(CC(F)(F)F)C1CCN(C(=O)CN2CCS(=O)(=O)CC2)CC1 ZINC001321769795 1074235895 /nfs/dbraw/zinc/23/58/95/1074235895.db2.gz XEJSIDQHQVOODN-UHFFFAOYSA-N 0 0 435.490 -0.468 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)Nc2cnn(CC(N)=O)c2)c1 ZINC001321770466 1074235770 /nfs/dbraw/zinc/23/57/70/1074235770.db2.gz RAKAOXMQOLGDIE-UHFFFAOYSA-N 0 0 436.494 -0.292 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC)CC1 ZINC001321775644 1074236295 /nfs/dbraw/zinc/23/62/95/1074236295.db2.gz QJPZQZKPVMCVAX-GFCCVEGCSA-N 0 0 430.531 -0.196 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC)CC1 ZINC001321775645 1074236389 /nfs/dbraw/zinc/23/63/89/1074236389.db2.gz QJPZQZKPVMCVAX-LBPRGKRZSA-N 0 0 430.531 -0.196 20 0 IBADRN Cn1c(CCC(N)=O)nn(CN2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)c1=S ZINC001321776441 1074236244 /nfs/dbraw/zinc/23/62/44/1074236244.db2.gz BZFNEEKAHHZPBN-UHFFFAOYSA-N 0 0 439.567 -0.204 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H]3CCCN(CC(N)=O)C3)CC2)cc1 ZINC001321782349 1074236393 /nfs/dbraw/zinc/23/63/93/1074236393.db2.gz SOHKNDSNDXCOFB-KRWDZBQOSA-N 0 0 437.566 -0.633 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H]3CCCN(CC(N)=O)C3)CC2)cc1 ZINC001321782351 1074236358 /nfs/dbraw/zinc/23/63/58/1074236358.db2.gz SOHKNDSNDXCOFB-QGZVFWFLSA-N 0 0 437.566 -0.633 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C)[C@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC001321784153 1074236309 /nfs/dbraw/zinc/23/63/09/1074236309.db2.gz STVQRXDLJOVXFW-INIZCTEOSA-N 0 0 443.547 -0.202 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)cc2)CC1 ZINC001321784155 1074236326 /nfs/dbraw/zinc/23/63/26/1074236326.db2.gz STVQRXDLJOVXFW-MRXNPFEDSA-N 0 0 443.547 -0.202 20 0 IBADRN C[C@@H]1CCCN(C(=O)C2CCN(C(=O)COC(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)C1 ZINC001321803023 1074236262 /nfs/dbraw/zinc/23/62/62/1074236262.db2.gz BXUIXUYDMNNZMK-CYBMUJFWSA-N 0 0 436.465 -0.553 20 0 IBADRN C[C@H]1CCCN(C(=O)C2CCN(C(=O)COC(=O)CN3C(=O)C(=O)N(C)C3=O)CC2)C1 ZINC001321803025 1074236255 /nfs/dbraw/zinc/23/62/55/1074236255.db2.gz BXUIXUYDMNNZMK-ZDUSSCGKSA-N 0 0 436.465 -0.553 20 0 IBADRN C[C@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)NCC(F)(F)F ZINC001321804107 1074236279 /nfs/dbraw/zinc/23/62/79/1074236279.db2.gz PUDSTLGDTIYTPL-JTQLQIEISA-N 0 0 426.417 -0.157 20 0 IBADRN C[C@@H](NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C(=O)NCC(F)(F)F ZINC001321804121 1074236353 /nfs/dbraw/zinc/23/63/53/1074236353.db2.gz PUDSTLGDTIYTPL-SNVBAGLBSA-N 0 0 426.417 -0.157 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001321806966 1074236301 /nfs/dbraw/zinc/23/63/01/1074236301.db2.gz OAENOGRUSBJSDL-UHFFFAOYSA-N 0 0 438.503 -0.141 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N(C)CCNS(C)(=O)=O)cc2)CC1 ZINC001321807466 1074236329 /nfs/dbraw/zinc/23/63/29/1074236329.db2.gz UYDAFJZHGDUBMD-UHFFFAOYSA-N 0 0 427.527 -0.006 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCOC1 ZINC001321807687 1074236383 /nfs/dbraw/zinc/23/63/83/1074236383.db2.gz DOILRGYMVVRREY-CABCVRRESA-N 0 0 447.583 -0.484 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NCc1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001321807851 1074236348 /nfs/dbraw/zinc/23/63/48/1074236348.db2.gz YJPLOLUNCZPZSG-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NCc1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001321807852 1074236320 /nfs/dbraw/zinc/23/63/20/1074236320.db2.gz YJPLOLUNCZPZSG-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCOC1 ZINC001321807985 1074236317 /nfs/dbraw/zinc/23/63/17/1074236317.db2.gz DOILRGYMVVRREY-GJZGRUSLSA-N 0 0 447.583 -0.484 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCOC1 ZINC001321807986 1074236287 /nfs/dbraw/zinc/23/62/87/1074236287.db2.gz DOILRGYMVVRREY-HUUCEWRRSA-N 0 0 447.583 -0.484 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCOC1 ZINC001321807987 1074236405 /nfs/dbraw/zinc/23/64/05/1074236405.db2.gz DOILRGYMVVRREY-LSDHHAIUSA-N 0 0 447.583 -0.484 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCCO2)CCO1 ZINC001321811595 1074236777 /nfs/dbraw/zinc/23/67/77/1074236777.db2.gz WQPMPCHKWLKBDK-BFHYXJOUSA-N 0 0 431.515 -0.164 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCO2)CCO1 ZINC001321811596 1074236713 /nfs/dbraw/zinc/23/67/13/1074236713.db2.gz WQPMPCHKWLKBDK-HZSPNIEDSA-N 0 0 431.515 -0.164 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCCO2)CCO1 ZINC001321811597 1074236671 /nfs/dbraw/zinc/23/66/71/1074236671.db2.gz WQPMPCHKWLKBDK-MELADBBJSA-N 0 0 431.515 -0.164 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCCO2)CCO1 ZINC001321811598 1074236751 /nfs/dbraw/zinc/23/67/51/1074236751.db2.gz WQPMPCHKWLKBDK-MGPQQGTHSA-N 0 0 431.515 -0.164 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001321815252 1074236769 /nfs/dbraw/zinc/23/67/69/1074236769.db2.gz RQZLFBVTHRAKAX-CABCVRRESA-N 0 0 425.442 -0.249 20 0 IBADRN Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001321815254 1074236722 /nfs/dbraw/zinc/23/67/22/1074236722.db2.gz RQZLFBVTHRAKAX-GJZGRUSLSA-N 0 0 425.442 -0.249 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001321815255 1074236904 /nfs/dbraw/zinc/23/69/04/1074236904.db2.gz RQZLFBVTHRAKAX-HUUCEWRRSA-N 0 0 425.442 -0.249 20 0 IBADRN Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001321815256 1074236683 /nfs/dbraw/zinc/23/66/83/1074236683.db2.gz RQZLFBVTHRAKAX-LSDHHAIUSA-N 0 0 425.442 -0.249 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321815314 1074236811 /nfs/dbraw/zinc/23/68/11/1074236811.db2.gz SOHYOJHQNIEQKP-CYBMUJFWSA-N 0 0 436.494 -0.620 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321815316 1074236886 /nfs/dbraw/zinc/23/68/86/1074236886.db2.gz SOHYOJHQNIEQKP-ZDUSSCGKSA-N 0 0 436.494 -0.620 20 0 IBADRN Cc1nc[nH]c(=O)c1CCC(=O)OCC(=O)N1CCN(c2ncnc3c2cnn3C)CC1 ZINC001321815835 1074236836 /nfs/dbraw/zinc/23/68/36/1074236836.db2.gz VYGGWNCSEQYFAL-UHFFFAOYSA-N 0 0 440.464 -0.008 20 0 IBADRN Cn1cc(S(=O)(=O)NCCNC(=O)c2ccccc2C(F)(F)F)c(=O)n(C)c1=O ZINC001321816550 1074236640 /nfs/dbraw/zinc/23/66/40/1074236640.db2.gz LKLSPHFFJYFWNK-UHFFFAOYSA-N 0 0 434.396 -0.189 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)NCc2nnnn2C)c(=O)n(-c2ccccc2)n1C ZINC001321817618 1074236616 /nfs/dbraw/zinc/23/66/16/1074236616.db2.gz QKKAZLHBALQXRR-UHFFFAOYSA-N 0 0 430.494 -0.004 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCCCN2CCN(c3ncccn3)CC2)CC1 ZINC001321819562 1074236789 /nfs/dbraw/zinc/23/67/89/1074236789.db2.gz RDXXSDZHMQCFMO-UHFFFAOYSA-N 0 0 425.559 -0.719 20 0 IBADRN O=C(NCc1nc(CN2CCCCC2)no1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001321825968 1074236759 /nfs/dbraw/zinc/23/67/59/1074236759.db2.gz OBWFXPGXWJRFSH-CYBMUJFWSA-N 0 0 438.510 -0.163 20 0 IBADRN O=C(NCc1nc(CN2CCCCC2)no1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001321825970 1074236864 /nfs/dbraw/zinc/23/68/64/1074236864.db2.gz OBWFXPGXWJRFSH-ZDUSSCGKSA-N 0 0 438.510 -0.163 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC001321827485 1074236697 /nfs/dbraw/zinc/23/66/97/1074236697.db2.gz YFUNOIYOUQXUNG-UHFFFAOYSA-N 0 0 449.555 -0.699 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C(F)(F)C1CCOCC1 ZINC001321829794 1074236734 /nfs/dbraw/zinc/23/67/34/1074236734.db2.gz ZELAFOJOVIZFJU-CYBMUJFWSA-N 0 0 430.495 -0.291 20 0 IBADRN O=C(N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C(F)(F)C1CCOCC1 ZINC001321829795 1074236876 /nfs/dbraw/zinc/23/68/76/1074236876.db2.gz ZELAFOJOVIZFJU-ZDUSSCGKSA-N 0 0 430.495 -0.291 20 0 IBADRN CCCCN(C(=O)CS(=O)(=O)c1nnc(CO)n1CCC)[C@@H]1CCS(=O)(=O)C1 ZINC001321833310 1074237241 /nfs/dbraw/zinc/23/72/41/1074237241.db2.gz KHVALQOSQLTQNI-CYBMUJFWSA-N 0 0 436.556 -0.230 20 0 IBADRN CCCCN(C(=O)CS(=O)(=O)c1nnc(CO)n1CCC)[C@H]1CCS(=O)(=O)C1 ZINC001321833323 1074237195 /nfs/dbraw/zinc/23/71/95/1074237195.db2.gz KHVALQOSQLTQNI-ZDUSSCGKSA-N 0 0 436.556 -0.230 20 0 IBADRN COCCn1c(-c2ccncc2)nnc1S(=O)(=O)CC(=O)N1CCN(C(C)=O)CC1 ZINC001321833612 1074237232 /nfs/dbraw/zinc/23/72/32/1074237232.db2.gz OGPUTAYHJMPISG-UHFFFAOYSA-N 0 0 436.494 -0.549 20 0 IBADRN COCCn1nc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)ccc1=O ZINC001321834093 1074237121 /nfs/dbraw/zinc/23/71/21/1074237121.db2.gz IGWSWFUDUAMDHU-UHFFFAOYSA-N 0 0 435.462 -0.738 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc2n(C)c3ccccc3n21 ZINC001321834683 1074237136 /nfs/dbraw/zinc/23/71/36/1074237136.db2.gz WOEQARWDGCNYQU-GHMZBOCLSA-N 0 0 425.492 -0.314 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc2n(C)c3ccccc3n21 ZINC001321834684 1074237189 /nfs/dbraw/zinc/23/71/89/1074237189.db2.gz WOEQARWDGCNYQU-MNOVXSKESA-N 0 0 425.492 -0.314 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc2n(C)c3ccccc3n21 ZINC001321834685 1074237117 /nfs/dbraw/zinc/23/71/17/1074237117.db2.gz WOEQARWDGCNYQU-QWRGUYRKSA-N 0 0 425.492 -0.314 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc2n(C)c3ccccc3n21 ZINC001321834686 1074237226 /nfs/dbraw/zinc/23/72/26/1074237226.db2.gz WOEQARWDGCNYQU-WDEREUQCSA-N 0 0 425.492 -0.314 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NCc2nnc3n2CCOC3)CC1 ZINC001321838563 1074237244 /nfs/dbraw/zinc/23/72/44/1074237244.db2.gz PGOHIOBXFVLNHR-UHFFFAOYSA-N 0 0 435.510 -0.252 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCC(C(N)=O)CC2)n(C)c1 ZINC001321857579 1074237178 /nfs/dbraw/zinc/23/71/78/1074237178.db2.gz GJKRMHGBDYCDOV-UHFFFAOYSA-N 0 0 428.511 -0.064 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001321861845 1074237215 /nfs/dbraw/zinc/23/72/15/1074237215.db2.gz XFPFPGLVIYXOFP-UHFFFAOYSA-N 0 0 436.494 -0.562 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)nn1 ZINC001321865365 1074237140 /nfs/dbraw/zinc/23/71/40/1074237140.db2.gz ZKYURSFNIINYHY-UHFFFAOYSA-N 0 0 448.505 -0.632 20 0 IBADRN CS(=O)(=O)CCCNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001321869256 1074237689 /nfs/dbraw/zinc/23/76/89/1074237689.db2.gz WVTIDFOJLOQEBV-UHFFFAOYSA-N 0 0 431.536 -0.008 20 0 IBADRN C[C@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc(-c2ccccn2)n1C ZINC001321870872 1074237584 /nfs/dbraw/zinc/23/75/84/1074237584.db2.gz HRKHPPKIDCMJPF-NEPJUHHUSA-N 0 0 427.508 -0.315 20 0 IBADRN C[C@@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc(-c2ccccn2)n1C ZINC001321870875 1074237592 /nfs/dbraw/zinc/23/75/92/1074237592.db2.gz HRKHPPKIDCMJPF-NWDGAFQWSA-N 0 0 427.508 -0.315 20 0 IBADRN C[C@@H](C(=O)N(C)[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc(-c2ccccn2)n1C ZINC001321870878 1074237710 /nfs/dbraw/zinc/23/77/10/1074237710.db2.gz HRKHPPKIDCMJPF-RYUDHWBXSA-N 0 0 427.508 -0.315 20 0 IBADRN C[C@H](C(=O)N(C)[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc(-c2ccccn2)n1C ZINC001321870880 1074237629 /nfs/dbraw/zinc/23/76/29/1074237629.db2.gz HRKHPPKIDCMJPF-VXGBXAGGSA-N 0 0 427.508 -0.315 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)ccc21 ZINC001321871901 1074237569 /nfs/dbraw/zinc/23/75/69/1074237569.db2.gz WLPFHDKUOVSZNA-AWEZNQCLSA-N 0 0 429.520 -0.568 20 0 IBADRN CS(=O)(=O)N1CCc2cc(C(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc21 ZINC001321871902 1074237714 /nfs/dbraw/zinc/23/77/14/1074237714.db2.gz WLPFHDKUOVSZNA-CQSZACIVSA-N 0 0 429.520 -0.568 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321875461 1074237662 /nfs/dbraw/zinc/23/76/62/1074237662.db2.gz ARBNVDGRTKFMFQ-AWEZNQCLSA-N 0 0 434.540 -0.709 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)c2C1 ZINC001321882593 1074237559 /nfs/dbraw/zinc/23/75/59/1074237559.db2.gz CMMHKTKLTKBMOU-HNNXBMFYSA-N 0 0 429.481 -0.111 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)c2C1 ZINC001321882594 1074237681 /nfs/dbraw/zinc/23/76/81/1074237681.db2.gz CMMHKTKLTKBMOU-OAHLLOKOSA-N 0 0 429.481 -0.111 20 0 IBADRN CN(C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001321886395 1074237537 /nfs/dbraw/zinc/23/75/37/1074237537.db2.gz ULXMXVGAFUVLET-KRWDZBQOSA-N 0 0 430.571 -0.224 20 0 IBADRN CN(C(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001321886404 1074237636 /nfs/dbraw/zinc/23/76/36/1074237636.db2.gz ULXMXVGAFUVLET-QGZVFWFLSA-N 0 0 430.571 -0.224 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC[C@@H]1COCCO1 ZINC001321888315 1074237598 /nfs/dbraw/zinc/23/75/98/1074237598.db2.gz KFQIAYDVVHCMBK-FFYLZMLBSA-N 0 0 446.547 -0.088 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC[C@H]1COCCO1 ZINC001321888317 1074237671 /nfs/dbraw/zinc/23/76/71/1074237671.db2.gz KFQIAYDVVHCMBK-IHSLIHKDSA-N 0 0 446.547 -0.088 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC[C@@H]1COCCO1 ZINC001321888319 1074237655 /nfs/dbraw/zinc/23/76/55/1074237655.db2.gz KFQIAYDVVHCMBK-MYGHVRAUSA-N 0 0 446.547 -0.088 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC[C@H]1COCCO1 ZINC001321888321 1074237676 /nfs/dbraw/zinc/23/76/76/1074237676.db2.gz KFQIAYDVVHCMBK-YNUCIAJGSA-N 0 0 446.547 -0.088 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2ccc(C(=O)OC)nc2)CC1 ZINC001321892883 1074237620 /nfs/dbraw/zinc/23/76/20/1074237620.db2.gz IZMGRQSGHONMNZ-UHFFFAOYSA-N 0 0 434.492 -0.001 20 0 IBADRN Cc1ncc(Br)c(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)n1 ZINC001321895039 1074238182 /nfs/dbraw/zinc/23/81/82/1074238182.db2.gz MFRFNDVNSWMXHR-UHFFFAOYSA-N 0 0 434.316 -0.118 20 0 IBADRN O=C(CCNC(=O)NCc1ccnc(N2CCOCC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001321898807 1074238003 /nfs/dbraw/zinc/23/80/03/1074238003.db2.gz OIGYSBXFIPYUFP-HNNXBMFYSA-N 0 0 425.511 -0.589 20 0 IBADRN O=C(CCNC(=O)NCc1ccnc(N2CCOCC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001321898808 1074238173 /nfs/dbraw/zinc/23/81/73/1074238173.db2.gz OIGYSBXFIPYUFP-OAHLLOKOSA-N 0 0 425.511 -0.589 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@H](O)CN2CCCC2=O)(S(C)(=O)=O)CC1 ZINC001321904135 1074238163 /nfs/dbraw/zinc/23/81/63/1074238163.db2.gz ACKCZJGXWJFSMT-AWEZNQCLSA-N 0 0 447.554 -0.100 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@@H](O)CN2CCCC2=O)(S(C)(=O)=O)CC1 ZINC001321904136 1074238132 /nfs/dbraw/zinc/23/81/32/1074238132.db2.gz ACKCZJGXWJFSMT-CQSZACIVSA-N 0 0 447.554 -0.100 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)Cn1nc2n(c1=O)CCCCC2)S(C)(=O)=O ZINC001321904437 1074238100 /nfs/dbraw/zinc/23/81/00/1074238100.db2.gz LRDQYXIQZFMXQL-UHFFFAOYSA-N 0 0 445.542 -0.509 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC2CCN(S(=O)(=O)C(F)(F)F)CC2)CC1 ZINC001321904616 1074237992 /nfs/dbraw/zinc/23/79/92/1074237992.db2.gz JGTPFOHMCAHSPG-UHFFFAOYSA-N 0 0 436.478 -0.407 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCO[C@@H](CNC(C)=O)C3)cc2S1(=O)=O ZINC001321904899 1074238093 /nfs/dbraw/zinc/23/80/93/1074238093.db2.gz AEVYIILZBLMPJY-AWEZNQCLSA-N 0 0 425.463 -0.545 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCO[C@H](CNC(C)=O)C3)cc2S1(=O)=O ZINC001321904900 1074237982 /nfs/dbraw/zinc/23/79/82/1074237982.db2.gz AEVYIILZBLMPJY-CQSZACIVSA-N 0 0 425.463 -0.545 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CCO1 ZINC001321905628 1074238072 /nfs/dbraw/zinc/23/80/72/1074238072.db2.gz MSCNHDQVEGCZEB-INIZCTEOSA-N 0 0 427.527 -0.209 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CCO1 ZINC001321905629 1074238024 /nfs/dbraw/zinc/23/80/24/1074238024.db2.gz MSCNHDQVEGCZEB-MRXNPFEDSA-N 0 0 427.527 -0.209 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2CCN(c3cnn(C)c3)C2)c1 ZINC001321907707 1074238192 /nfs/dbraw/zinc/23/81/92/1074238192.db2.gz HGBGLHFVGYCZCD-HNNXBMFYSA-N 0 0 434.522 -0.205 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2CCN(c3cnn(C)c3)C2)c1 ZINC001321907709 1074238148 /nfs/dbraw/zinc/23/81/48/1074238148.db2.gz HGBGLHFVGYCZCD-OAHLLOKOSA-N 0 0 434.522 -0.205 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001321910632 1074238051 /nfs/dbraw/zinc/23/80/51/1074238051.db2.gz SBVBENUWANDWCM-UHFFFAOYSA-N 0 0 428.530 -0.127 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)COCC(=O)N[C@H]3CCN(c4cnn(C)c4)C3)C2)cn1 ZINC001321911219 1074238084 /nfs/dbraw/zinc/23/80/84/1074238084.db2.gz XPMRDQMIBOUTGR-HOTGVXAUSA-N 0 0 430.513 -0.740 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)COCC(=O)N[C@@H]3CCN(c4cnn(C)c4)C3)C2)cn1 ZINC001321911220 1074238682 /nfs/dbraw/zinc/23/86/82/1074238682.db2.gz XPMRDQMIBOUTGR-HZPDHXFCSA-N 0 0 430.513 -0.740 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)COCC(=O)N[C@H]3CCN(c4cnn(C)c4)C3)C2)cn1 ZINC001321911221 1074238583 /nfs/dbraw/zinc/23/85/83/1074238583.db2.gz XPMRDQMIBOUTGR-IYBDPMFKSA-N 0 0 430.513 -0.740 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)o1 ZINC001321912351 1074238626 /nfs/dbraw/zinc/23/86/26/1074238626.db2.gz IXCVZMJIYNVOBG-NEPJUHHUSA-N 0 0 434.492 -0.391 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)o1 ZINC001321912354 1074238646 /nfs/dbraw/zinc/23/86/46/1074238646.db2.gz IXCVZMJIYNVOBG-NWDGAFQWSA-N 0 0 434.492 -0.391 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)o1 ZINC001321912427 1074238618 /nfs/dbraw/zinc/23/86/18/1074238618.db2.gz IXCVZMJIYNVOBG-RYUDHWBXSA-N 0 0 434.492 -0.391 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(COC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)o1 ZINC001321912429 1074238637 /nfs/dbraw/zinc/23/86/37/1074238637.db2.gz IXCVZMJIYNVOBG-VXGBXAGGSA-N 0 0 434.492 -0.391 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)C2)cn1 ZINC001321922068 1074238540 /nfs/dbraw/zinc/23/85/40/1074238540.db2.gz VWNCRHGLIRZVRU-INIZCTEOSA-N 0 0 427.513 -0.173 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)C2)cn1 ZINC001321922069 1074238707 /nfs/dbraw/zinc/23/87/07/1074238707.db2.gz VWNCRHGLIRZVRU-MRXNPFEDSA-N 0 0 427.513 -0.173 20 0 IBADRN COC(=O)CC1(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CCOCC1 ZINC001321924599 1074238589 /nfs/dbraw/zinc/23/85/89/1074238589.db2.gz MIQHNPDRWSBQJD-CYBMUJFWSA-N 0 0 429.495 -0.372 20 0 IBADRN COC(=O)CC1(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CCOCC1 ZINC001321924600 1074238612 /nfs/dbraw/zinc/23/86/12/1074238612.db2.gz MIQHNPDRWSBQJD-ZDUSSCGKSA-N 0 0 429.495 -0.372 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCc3nnc(C)n3C)cc2)CC1 ZINC001321927843 1074238604 /nfs/dbraw/zinc/23/86/04/1074238604.db2.gz QGKRNPLWDBYUTC-UHFFFAOYSA-N 0 0 434.522 -0.051 20 0 IBADRN NC(=O)CNc1ccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321929012 1074238686 /nfs/dbraw/zinc/23/86/86/1074238686.db2.gz JGAAWKGSWYWQPO-INIZCTEOSA-N 0 0 438.506 -0.928 20 0 IBADRN NC(=O)CNc1ccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321929013 1074238550 /nfs/dbraw/zinc/23/85/50/1074238550.db2.gz JGAAWKGSWYWQPO-MRXNPFEDSA-N 0 0 438.506 -0.928 20 0 IBADRN Cn1c(SCCCN2C(=O)CNC2=O)nnc1SCCCN1C(=O)CNC1=O ZINC001321930924 1074238695 /nfs/dbraw/zinc/23/86/95/1074238695.db2.gz DPUKJOSWEDWJPC-UHFFFAOYSA-N 0 0 427.512 -0.117 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC001321931727 1074238654 /nfs/dbraw/zinc/23/86/54/1074238654.db2.gz QXBPFSRDJTZHSA-GFCCVEGCSA-N 0 0 431.474 -0.059 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC001321931730 1074238572 /nfs/dbraw/zinc/23/85/72/1074238572.db2.gz QXBPFSRDJTZHSA-LBPRGKRZSA-N 0 0 431.474 -0.059 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2CCC[C@@H](C(N)=O)C2)cc1 ZINC001321932554 1074238529 /nfs/dbraw/zinc/23/85/29/1074238529.db2.gz TZCLCPUYWXOWOK-CVEARBPZSA-N 0 0 436.534 -0.236 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2CCC[C@H](C(N)=O)C2)cc1 ZINC001321932555 1074238564 /nfs/dbraw/zinc/23/85/64/1074238564.db2.gz TZCLCPUYWXOWOK-HOTGVXAUSA-N 0 0 436.534 -0.236 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2CCC[C@@H](C(N)=O)C2)cc1 ZINC001321932556 1074238874 /nfs/dbraw/zinc/23/88/74/1074238874.db2.gz TZCLCPUYWXOWOK-HZPDHXFCSA-N 0 0 436.534 -0.236 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2CCC[C@H](C(N)=O)C2)cc1 ZINC001321932557 1074238899 /nfs/dbraw/zinc/23/88/99/1074238899.db2.gz TZCLCPUYWXOWOK-JKSUJKDBSA-N 0 0 436.534 -0.236 20 0 IBADRN Cc1cc(NC(=O)COC(=O)CN(C)CC(=O)NC(C)C)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001321936019 1074238929 /nfs/dbraw/zinc/23/89/29/1074238929.db2.gz YEGXBSLTJMBJSA-AWEZNQCLSA-N 0 0 443.526 -0.511 20 0 IBADRN Cc1cc(NC(=O)COC(=O)CN(C)CC(=O)NC(C)C)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001321936020 1074238972 /nfs/dbraw/zinc/23/89/72/1074238972.db2.gz YEGXBSLTJMBJSA-CQSZACIVSA-N 0 0 443.526 -0.511 20 0 IBADRN C[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC001321939890 1074238949 /nfs/dbraw/zinc/23/89/49/1074238949.db2.gz AUZXPKDZCMAHLN-CYBMUJFWSA-N 0 0 429.520 -0.544 20 0 IBADRN C[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC001321939891 1074238922 /nfs/dbraw/zinc/23/89/22/1074238922.db2.gz AUZXPKDZCMAHLN-ZDUSSCGKSA-N 0 0 429.520 -0.544 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCCC3)nn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001321941381 1074238877 /nfs/dbraw/zinc/23/88/77/1074238877.db2.gz KRRAVVXLAVZMPR-HNNXBMFYSA-N 0 0 436.538 -0.862 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCCC3)nn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001321941382 1074238910 /nfs/dbraw/zinc/23/89/10/1074238910.db2.gz KRRAVVXLAVZMPR-OAHLLOKOSA-N 0 0 436.538 -0.862 20 0 IBADRN C[C@@H]1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCS1(=O)=O ZINC001321941522 1074238904 /nfs/dbraw/zinc/23/89/04/1074238904.db2.gz LPJNVJJIWBLEGG-CYBMUJFWSA-N 0 0 431.536 -0.180 20 0 IBADRN C[C@H]1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCS1(=O)=O ZINC001321941523 1074239000 /nfs/dbraw/zinc/23/90/00/1074239000.db2.gz LPJNVJJIWBLEGG-ZDUSSCGKSA-N 0 0 431.536 -0.180 20 0 IBADRN CCC(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001321941774 1074239011 /nfs/dbraw/zinc/23/90/11/1074239011.db2.gz OHSDKVNMCPUSOX-CVEARBPZSA-N 0 0 428.555 -0.918 20 0 IBADRN CCC(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001321941775 1074238961 /nfs/dbraw/zinc/23/89/61/1074238961.db2.gz OHSDKVNMCPUSOX-HOTGVXAUSA-N 0 0 428.555 -0.918 20 0 IBADRN CCC(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001321941776 1074239033 /nfs/dbraw/zinc/23/90/33/1074239033.db2.gz OHSDKVNMCPUSOX-HZPDHXFCSA-N 0 0 428.555 -0.918 20 0 IBADRN CCC(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001321941777 1074238992 /nfs/dbraw/zinc/23/89/92/1074238992.db2.gz OHSDKVNMCPUSOX-JKSUJKDBSA-N 0 0 428.555 -0.918 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3cccn3)o2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001321942041 1074238883 /nfs/dbraw/zinc/23/88/83/1074238883.db2.gz RYXFZIMSVYZZIL-HNNXBMFYSA-N 0 0 435.506 -0.415 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3cccn3)o2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001321942042 1074238981 /nfs/dbraw/zinc/23/89/81/1074238981.db2.gz RYXFZIMSVYZZIL-OAHLLOKOSA-N 0 0 435.506 -0.415 20 0 IBADRN O=C(c1cnc2sccn2c1=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321942423 1074238868 /nfs/dbraw/zinc/23/88/68/1074238868.db2.gz CSYSSGHARWBMEQ-LLVKDONJSA-N 0 0 446.532 -0.969 20 0 IBADRN O=C(c1cnc2sccn2c1=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321942424 1074238942 /nfs/dbraw/zinc/23/89/42/1074238942.db2.gz CSYSSGHARWBMEQ-NSHDSACASA-N 0 0 446.532 -0.969 20 0 IBADRN O=C(c1ccc(-n2ccnc2)nc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321942445 1074238895 /nfs/dbraw/zinc/23/88/95/1074238895.db2.gz FDQCWYKMKOIIFD-HNNXBMFYSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1ccc(-n2ccnc2)nc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321942449 1074239039 /nfs/dbraw/zinc/23/90/39/1074239039.db2.gz FDQCWYKMKOIIFD-OAHLLOKOSA-N 0 0 439.519 -0.458 20 0 IBADRN CC(=O)NCc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321942666 1074239384 /nfs/dbraw/zinc/23/93/84/1074239384.db2.gz HYIVPJFYVOYETI-KRWDZBQOSA-N 0 0 443.547 -0.403 20 0 IBADRN CC(=O)NCc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321942667 1074239316 /nfs/dbraw/zinc/23/93/16/1074239316.db2.gz HYIVPJFYVOYETI-QGZVFWFLSA-N 0 0 443.547 -0.403 20 0 IBADRN O=C(Cn1c(=O)oc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321942698 1074239483 /nfs/dbraw/zinc/23/94/83/1074239483.db2.gz KAZQHWZLYNBCSL-CYBMUJFWSA-N 0 0 443.503 -0.744 20 0 IBADRN O=C(Cn1c(=O)oc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321942699 1074239451 /nfs/dbraw/zinc/23/94/51/1074239451.db2.gz KAZQHWZLYNBCSL-ZDUSSCGKSA-N 0 0 443.503 -0.744 20 0 IBADRN O=C(c1ccnc(-n2ccnc2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001321942747 1074239349 /nfs/dbraw/zinc/23/93/49/1074239349.db2.gz MNQMDOHAXDIDSX-HNNXBMFYSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1ccnc(-n2ccnc2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321942748 1074239368 /nfs/dbraw/zinc/23/93/68/1074239368.db2.gz MNQMDOHAXDIDSX-OAHLLOKOSA-N 0 0 439.519 -0.458 20 0 IBADRN CCc1noc(CCCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001321942882 1074239391 /nfs/dbraw/zinc/23/93/91/1074239391.db2.gz ORJKDFDDQCUJRO-CYBMUJFWSA-N 0 0 434.540 -0.384 20 0 IBADRN CCc1noc(CCCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001321942883 1074239377 /nfs/dbraw/zinc/23/93/77/1074239377.db2.gz ORJKDFDDQCUJRO-ZDUSSCGKSA-N 0 0 434.540 -0.384 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1nc2ncc(Br)cn2n1 ZINC001321943192 1074239398 /nfs/dbraw/zinc/23/93/98/1074239398.db2.gz UUPKULNDAWMUMW-JTQLQIEISA-N 0 0 445.299 -0.348 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1nc2ncc(Br)cn2n1 ZINC001321943205 1074239340 /nfs/dbraw/zinc/23/93/40/1074239340.db2.gz UUPKULNDAWMUMW-SNVBAGLBSA-N 0 0 445.299 -0.348 20 0 IBADRN O=[S@](Cc1nnnn1CC(F)(F)F)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001321949371 1074239473 /nfs/dbraw/zinc/23/94/73/1074239473.db2.gz FXAHDAPKBQMABE-HHHXNRCGSA-N 0 0 440.429 -0.041 20 0 IBADRN O=[S@@](Cc1nnnn1CC(F)(F)F)c1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001321949376 1074239480 /nfs/dbraw/zinc/23/94/80/1074239480.db2.gz FXAHDAPKBQMABE-MHZLTWQESA-N 0 0 440.429 -0.041 20 0 IBADRN COc1cc(F)cc(F)c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001321952508 1074239465 /nfs/dbraw/zinc/23/94/65/1074239465.db2.gz WTQASHIAHLOTTG-CHWSQXEVSA-N 0 0 426.463 -0.251 20 0 IBADRN COc1cc(F)cc(F)c1S(=O)(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001321952509 1074239322 /nfs/dbraw/zinc/23/93/22/1074239322.db2.gz WTQASHIAHLOTTG-OLZOCXBDSA-N 0 0 426.463 -0.251 20 0 IBADRN COc1cc(F)cc(F)c1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001321952510 1074239357 /nfs/dbraw/zinc/23/93/57/1074239357.db2.gz WTQASHIAHLOTTG-QWHCGFSZSA-N 0 0 426.463 -0.251 20 0 IBADRN COc1cc(F)cc(F)c1S(=O)(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001321952511 1074239428 /nfs/dbraw/zinc/23/94/28/1074239428.db2.gz WTQASHIAHLOTTG-STQMWFEESA-N 0 0 426.463 -0.251 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c2C1 ZINC001321954101 1074239416 /nfs/dbraw/zinc/23/94/16/1074239416.db2.gz YDXLWTRCGJAIIF-AWEZNQCLSA-N 0 0 443.526 -0.864 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)OCC(=O)NCCCN(C)S(C)(=O)=O)c2C1 ZINC001321954102 1074239423 /nfs/dbraw/zinc/23/94/23/1074239423.db2.gz YDXLWTRCGJAIIF-CQSZACIVSA-N 0 0 443.526 -0.864 20 0 IBADRN Cn1cc(/C=C\C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)c(=O)n(C)c1=O ZINC001321955551 1074239405 /nfs/dbraw/zinc/23/94/05/1074239405.db2.gz DTCFQKZJEVTNST-ARJAWSKDSA-N 0 0 440.522 -0.813 20 0 IBADRN Cn1cc(/C=C/C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)c(=O)n(C)c1=O ZINC001321955553 1074239747 /nfs/dbraw/zinc/23/97/47/1074239747.db2.gz DTCFQKZJEVTNST-ONEGZZNKSA-N 0 0 440.522 -0.813 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-n3cccn3)ccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001321957450 1074239769 /nfs/dbraw/zinc/23/97/69/1074239769.db2.gz USHFUQWSMVOQCA-HNNXBMFYSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(-n3cccn3)ccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001321957453 1074239752 /nfs/dbraw/zinc/23/97/52/1074239752.db2.gz USHFUQWSMVOQCA-OAHLLOKOSA-N 0 0 432.506 -0.672 20 0 IBADRN C[S@@](=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321957987 1074239869 /nfs/dbraw/zinc/23/98/69/1074239869.db2.gz YJNLPFWQEHKLHB-KUNJGFBQSA-N 0 0 427.548 -0.515 20 0 IBADRN C[S@](=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321958023 1074239828 /nfs/dbraw/zinc/23/98/28/1074239828.db2.gz YJNLPFWQEHKLHB-QZXCRCNTSA-N 0 0 427.548 -0.515 20 0 IBADRN C[S@](=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321958027 1074239782 /nfs/dbraw/zinc/23/97/82/1074239782.db2.gz YJNLPFWQEHKLHB-RXAIFQJESA-N 0 0 427.548 -0.515 20 0 IBADRN C[S@@](=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001321958029 1074239730 /nfs/dbraw/zinc/23/97/30/1074239730.db2.gz YJNLPFWQEHKLHB-YVORESIASA-N 0 0 427.548 -0.515 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(-c2cccnc2)n1 ZINC001321958147 1074239848 /nfs/dbraw/zinc/23/98/48/1074239848.db2.gz ZYGIITMOPMQELW-INIZCTEOSA-N 0 0 446.533 -0.457 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(-c2cccnc2)n1 ZINC001321958166 1074239814 /nfs/dbraw/zinc/23/98/14/1074239814.db2.gz ZYGIITMOPMQELW-MRXNPFEDSA-N 0 0 446.533 -0.457 20 0 IBADRN COC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001321959890 1074239858 /nfs/dbraw/zinc/23/98/58/1074239858.db2.gz ILBPJENEEODMCE-GFCCVEGCSA-N 0 0 431.492 -0.857 20 0 IBADRN COC(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001321959891 1074239876 /nfs/dbraw/zinc/23/98/76/1074239876.db2.gz ILBPJENEEODMCE-LBPRGKRZSA-N 0 0 431.492 -0.857 20 0 IBADRN Cn1nccc1COCC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001321962093 1074239787 /nfs/dbraw/zinc/23/97/87/1074239787.db2.gz QKIWZDDSLRRHFG-INIZCTEOSA-N 0 0 429.525 -0.100 20 0 IBADRN Cn1nccc1COCC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001321962094 1074239835 /nfs/dbraw/zinc/23/98/35/1074239835.db2.gz QKIWZDDSLRRHFG-MRXNPFEDSA-N 0 0 429.525 -0.100 20 0 IBADRN CCS(=O)(=O)NCCCNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001321966406 1074239736 /nfs/dbraw/zinc/23/97/36/1074239736.db2.gz CSIIRMPYBJZKTO-UHFFFAOYSA-N 0 0 440.588 -0.412 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC(C(=O)Nc3nncs3)CC2)CC1 ZINC001321968584 1074239806 /nfs/dbraw/zinc/23/98/06/1074239806.db2.gz CXGRHFPLUXKCKJ-UHFFFAOYSA-N 0 0 437.526 -0.021 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)NCCC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC001321969882 1074239774 /nfs/dbraw/zinc/23/97/74/1074239774.db2.gz OODSDRMFFCMMNG-UHFFFAOYSA-N 0 0 431.559 -0.477 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1cc2c(c(S(N)(=O)=O)c1)CCC2 ZINC001321970019 1074239711 /nfs/dbraw/zinc/23/97/11/1074239711.db2.gz LTRFDBSBHDHOAL-UHFFFAOYSA-N 0 0 425.507 -0.230 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NCC2(O)CCS(=O)(=O)CC2)c1 ZINC001321975710 1074239760 /nfs/dbraw/zinc/23/97/60/1074239760.db2.gz AUPPSTFUZRKXIM-UHFFFAOYSA-N 0 0 434.536 -0.411 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)NC[C@@H]2CCCO2)cc1 ZINC001321976511 1074239802 /nfs/dbraw/zinc/23/98/02/1074239802.db2.gz PPOCSQBVZCDDAH-HNNXBMFYSA-N 0 0 446.547 -0.187 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1ccc(S(=O)(=O)NC[C@H]2CCCO2)cc1 ZINC001321976512 1074239718 /nfs/dbraw/zinc/23/97/18/1074239718.db2.gz PPOCSQBVZCDDAH-OAHLLOKOSA-N 0 0 446.547 -0.187 20 0 IBADRN COc1cc(C(=O)N2CCC[C@H](CNS(C)(=O)=O)C2)cc(OC)c1OCC(N)=O ZINC001321978502 1074240337 /nfs/dbraw/zinc/24/03/37/1074240337.db2.gz HTOWZIDZXKIRPI-GFCCVEGCSA-N 0 0 429.495 -0.031 20 0 IBADRN Cn1c(C2CC2)nnc1S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001321979462 1074240303 /nfs/dbraw/zinc/24/03/03/1074240303.db2.gz RXRRFUXHWFBGQV-KBPBESRZSA-N 0 0 446.551 -0.339 20 0 IBADRN Cn1c(C2CC2)nnc1S(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001321979463 1074240319 /nfs/dbraw/zinc/24/03/19/1074240319.db2.gz RXRRFUXHWFBGQV-KGLIPLIRSA-N 0 0 446.551 -0.339 20 0 IBADRN Cn1c(C2CC2)nnc1S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001321979464 1074240221 /nfs/dbraw/zinc/24/02/21/1074240221.db2.gz RXRRFUXHWFBGQV-UONOGXRCSA-N 0 0 446.551 -0.339 20 0 IBADRN Cn1c(C2CC2)nnc1S(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001321979465 1074240349 /nfs/dbraw/zinc/24/03/49/1074240349.db2.gz RXRRFUXHWFBGQV-ZIAGYGMSSA-N 0 0 446.551 -0.339 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321984681 1074240231 /nfs/dbraw/zinc/24/02/31/1074240231.db2.gz ZGAUWZROTOKMEA-INIZCTEOSA-N 0 0 438.550 -0.292 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321984682 1074240244 /nfs/dbraw/zinc/24/02/44/1074240244.db2.gz ZGAUWZROTOKMEA-MRXNPFEDSA-N 0 0 438.550 -0.292 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001321993276 1074240332 /nfs/dbraw/zinc/24/03/32/1074240332.db2.gz AYPKWMZVTHMSIO-HMVFGEFZSA-N 0 0 431.536 -0.416 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001321993277 1074240307 /nfs/dbraw/zinc/24/03/07/1074240307.db2.gz AYPKWMZVTHMSIO-LMRWQKIVSA-N 0 0 431.536 -0.416 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC001321993278 1074240270 /nfs/dbraw/zinc/24/02/70/1074240270.db2.gz AYPKWMZVTHMSIO-RZXPCSSPSA-N 0 0 431.536 -0.416 20 0 IBADRN CNC(=O)CN(C)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC001321993279 1074240313 /nfs/dbraw/zinc/24/03/13/1074240313.db2.gz AYPKWMZVTHMSIO-SAAWKEMMSA-N 0 0 431.536 -0.416 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001321993446 1074240324 /nfs/dbraw/zinc/24/03/24/1074240324.db2.gz KPFROPVGACXKIO-UHFFFAOYSA-N 0 0 442.494 -0.490 20 0 IBADRN O=C(c1nc2ncc(Br)cn2n1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001321994258 1074240285 /nfs/dbraw/zinc/24/02/85/1074240285.db2.gz CIWOLUIBTBLYKF-LLVKDONJSA-N 0 0 429.300 -0.168 20 0 IBADRN O=C(c1nc2ncc(Br)cn2n1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001321994267 1074240275 /nfs/dbraw/zinc/24/02/75/1074240275.db2.gz CIWOLUIBTBLYKF-NSHDSACASA-N 0 0 429.300 -0.168 20 0 IBADRN O=C(CNC(=O)c1cnc2ccccc2c1O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001321994742 1074240342 /nfs/dbraw/zinc/24/03/42/1074240342.db2.gz HKHJBWWQGKJCFN-UHFFFAOYSA-N 0 0 427.461 -0.146 20 0 IBADRN COCCN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)[C@@H]1CCS(=O)(=O)C1 ZINC001321999632 1074240295 /nfs/dbraw/zinc/24/02/95/1074240295.db2.gz BXWDGTDCCPHKDG-CQSZACIVSA-N 0 0 449.551 -0.678 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC001322000281 1074240261 /nfs/dbraw/zinc/24/02/61/1074240261.db2.gz WCRHGRROJYGGCL-DZGCQCFKSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC001322000282 1074240354 /nfs/dbraw/zinc/24/03/54/1074240354.db2.gz WCRHGRROJYGGCL-HIFRSBDPSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC001322000283 1074240290 /nfs/dbraw/zinc/24/02/90/1074240290.db2.gz WCRHGRROJYGGCL-UKRRQHHQSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC001322000284 1074240561 /nfs/dbraw/zinc/24/05/61/1074240561.db2.gz WCRHGRROJYGGCL-ZFWWWQNUSA-N 0 0 425.507 -0.035 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n1 ZINC001322009174 1074240670 /nfs/dbraw/zinc/24/06/70/1074240670.db2.gz VFTGDJUTUUTHNQ-UHFFFAOYSA-N 0 0 434.474 -0.296 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)n1 ZINC001322009389 1074240568 /nfs/dbraw/zinc/24/05/68/1074240568.db2.gz XWUYTKIAWQHNBX-UHFFFAOYSA-N 0 0 436.446 -0.311 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC001322009452 1074240585 /nfs/dbraw/zinc/24/05/85/1074240585.db2.gz YLBHPZYBEYRTTL-UHFFFAOYSA-N 0 0 434.541 -0.070 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)Nc1ccn(CCS(C)(=O)=O)n1 ZINC001322032187 1074240704 /nfs/dbraw/zinc/24/07/04/1074240704.db2.gz IOHYDOBWUAQTII-UHFFFAOYSA-N 0 0 445.523 -0.461 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CCCN3C(=O)NC(C)(C)C3=O)CC2)cn1 ZINC001322034305 1074240530 /nfs/dbraw/zinc/24/05/30/1074240530.db2.gz ZLCOIENWODFYQK-UHFFFAOYSA-N 0 0 440.526 -0.200 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc([C@H]4CCC(=O)N4)n3C)CC2)C[C@H](C)O1 ZINC001322037436 1074240614 /nfs/dbraw/zinc/24/06/14/1074240614.db2.gz SRIPURGDVGRBFE-HZSPNIEDSA-N 0 0 427.531 -0.758 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc([C@@H]4CCC(=O)N4)n3C)CC2)C[C@@H](C)O1 ZINC001322037437 1074240682 /nfs/dbraw/zinc/24/06/82/1074240682.db2.gz SRIPURGDVGRBFE-MCIONIFRSA-N 0 0 427.531 -0.758 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc([C@H]4CCC(=O)N4)n3C)CC2)C[C@@H](C)O1 ZINC001322037438 1074240535 /nfs/dbraw/zinc/24/05/35/1074240535.db2.gz SRIPURGDVGRBFE-MGPQQGTHSA-N 0 0 427.531 -0.758 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nnc([C@@H]4CCC(=O)N4)n3C)CC2)C[C@H](C)O1 ZINC001322037439 1074240736 /nfs/dbraw/zinc/24/07/36/1074240736.db2.gz SRIPURGDVGRBFE-MJBXVCDLSA-N 0 0 427.531 -0.758 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NC3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C2)cn1 ZINC001322045429 1074240661 /nfs/dbraw/zinc/24/06/61/1074240661.db2.gz PNBYPYFNVUCLRU-HNNXBMFYSA-N 0 0 436.542 -0.115 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NC3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C2)cn1 ZINC001322045430 1074240549 /nfs/dbraw/zinc/24/05/49/1074240549.db2.gz PNBYPYFNVUCLRU-OAHLLOKOSA-N 0 0 436.542 -0.115 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)CC1 ZINC001322048799 1074240621 /nfs/dbraw/zinc/24/06/21/1074240621.db2.gz JECYRTPERFULNJ-UHFFFAOYSA-N 0 0 437.566 -0.133 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2ccc(S(=O)(=O)NCCOC)cc2)CC1 ZINC001322049228 1074240577 /nfs/dbraw/zinc/24/05/77/1074240577.db2.gz OLEPSPSYXHFXPM-UHFFFAOYSA-N 0 0 448.567 -0.596 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)Nc3ncn(CC(=O)N(C)C)n3)CC2)cn1C ZINC001322061201 1074240543 /nfs/dbraw/zinc/24/05/43/1074240543.db2.gz DKWKEYBKPPKVGS-UHFFFAOYSA-N 0 0 438.514 -0.552 20 0 IBADRN CNC(=O)Cn1cnc(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)n1 ZINC001322061530 1074240556 /nfs/dbraw/zinc/24/05/56/1074240556.db2.gz GYISFMZKPOKYBV-UHFFFAOYSA-N 0 0 442.885 -0.049 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2cnn([C@@H]3CCOC3)c2)CC1 ZINC001322061952 1074240692 /nfs/dbraw/zinc/24/06/92/1074240692.db2.gz UREWPHKZATWDMG-CYBMUJFWSA-N 0 0 435.524 -0.025 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)c2cnn([C@H]3CCOC3)c2)CC1 ZINC001322061954 1074241077 /nfs/dbraw/zinc/24/10/77/1074241077.db2.gz UREWPHKZATWDMG-ZDUSSCGKSA-N 0 0 435.524 -0.025 20 0 IBADRN COc1ccccc1N1CCN(C(=O)Cc2noc(CNC(=O)CNC(C)=O)n2)CC1 ZINC001322066624 1074241072 /nfs/dbraw/zinc/24/10/72/1074241072.db2.gz YXOXYCYVXYDMEW-UHFFFAOYSA-N 0 0 430.465 -0.278 20 0 IBADRN O=C(Cc1noc(CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)n1)N1CCS(=O)(=O)CC1 ZINC001322066630 1074241183 /nfs/dbraw/zinc/24/11/83/1074241183.db2.gz ZLHKOQNDRQLPMD-MKBNYLNASA-N 0 0 443.551 -0.253 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001322068058 1074241161 /nfs/dbraw/zinc/24/11/61/1074241161.db2.gz AUFCMVARROVKFY-CVEARBPZSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001322068059 1074241236 /nfs/dbraw/zinc/24/12/36/1074241236.db2.gz AUFCMVARROVKFY-HOTGVXAUSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001322068060 1074241096 /nfs/dbraw/zinc/24/10/96/1074241096.db2.gz AUFCMVARROVKFY-HZPDHXFCSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001322068061 1074241216 /nfs/dbraw/zinc/24/12/16/1074241216.db2.gz AUFCMVARROVKFY-JKSUJKDBSA-N 0 0 430.571 -0.083 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)N(CC)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322068792 1074241065 /nfs/dbraw/zinc/24/10/65/1074241065.db2.gz FVWOCWDYNLKTLG-AWEZNQCLSA-N 0 0 438.568 -0.536 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CC(=O)N(CC)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322068796 1074241192 /nfs/dbraw/zinc/24/11/92/1074241192.db2.gz FVWOCWDYNLKTLG-CQSZACIVSA-N 0 0 438.568 -0.536 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN([C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322071906 1074241108 /nfs/dbraw/zinc/24/11/08/1074241108.db2.gz YLNBACSVMASVCQ-KBPBESRZSA-N 0 0 438.568 -0.537 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN([C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322071907 1074241210 /nfs/dbraw/zinc/24/12/10/1074241210.db2.gz YLNBACSVMASVCQ-KGLIPLIRSA-N 0 0 438.568 -0.537 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN([C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322071909 1074241205 /nfs/dbraw/zinc/24/12/05/1074241205.db2.gz YLNBACSVMASVCQ-UONOGXRCSA-N 0 0 438.568 -0.537 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN([C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322071911 1074241091 /nfs/dbraw/zinc/24/10/91/1074241091.db2.gz YLNBACSVMASVCQ-ZIAGYGMSSA-N 0 0 438.568 -0.537 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)OCCN1CCN(S(=O)(=O)C2CC2)CC1 ZINC001322072612 1074241224 /nfs/dbraw/zinc/24/12/24/1074241224.db2.gz GJDLEFROHJHXET-UHFFFAOYSA-N 0 0 427.527 -0.576 20 0 IBADRN CCS(=O)(=O)C[C@H](C)NC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001322075273 1074241196 /nfs/dbraw/zinc/24/11/96/1074241196.db2.gz AKOIIYYTRIAMOD-JTQLQIEISA-N 0 0 425.554 -0.398 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)NC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001322075274 1074241154 /nfs/dbraw/zinc/24/11/54/1074241154.db2.gz AKOIIYYTRIAMOD-SNVBAGLBSA-N 0 0 425.554 -0.398 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCNC(=O)C[C@@H]1C ZINC001322075699 1074241100 /nfs/dbraw/zinc/24/11/00/1074241100.db2.gz WRYNQRFFPUZPEI-DZGCQCFKSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCNC(=O)C[C@H]1C ZINC001322075700 1074241570 /nfs/dbraw/zinc/24/15/70/1074241570.db2.gz WRYNQRFFPUZPEI-HIFRSBDPSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCNC(=O)C[C@H]1C ZINC001322075701 1074241553 /nfs/dbraw/zinc/24/15/53/1074241553.db2.gz WRYNQRFFPUZPEI-UKRRQHHQSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCNC(=O)C[C@@H]1C ZINC001322075702 1074241545 /nfs/dbraw/zinc/24/15/45/1074241545.db2.gz WRYNQRFFPUZPEI-ZFWWWQNUSA-N 0 0 425.507 -0.035 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001322085408 1074241526 /nfs/dbraw/zinc/24/15/26/1074241526.db2.gz XFTBQNIICKOSDL-CXAGYDPISA-N 0 0 449.533 -0.749 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001322085410 1074241633 /nfs/dbraw/zinc/24/16/33/1074241633.db2.gz XFTBQNIICKOSDL-DYVFJYSZSA-N 0 0 449.533 -0.749 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001322085412 1074241535 /nfs/dbraw/zinc/24/15/35/1074241535.db2.gz XFTBQNIICKOSDL-GUYCJALGSA-N 0 0 449.533 -0.749 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001322085414 1074241509 /nfs/dbraw/zinc/24/15/09/1074241509.db2.gz XFTBQNIICKOSDL-SUMWQHHRSA-N 0 0 449.533 -0.749 20 0 IBADRN CCNC(=O)c1cccc(NC(=O)CS(=O)(=O)c2nnc(N3CCOCC3)n2C)c1 ZINC001322093960 1074241596 /nfs/dbraw/zinc/24/15/96/1074241596.db2.gz WEDPAAVTNKDQSS-UHFFFAOYSA-N 0 0 436.494 -0.186 20 0 IBADRN COCCn1cnc2c1c(=O)n(CC(=O)N1CCN(C(C)=O)CC1)c(=O)n2CC(C)C ZINC001322096132 1074241658 /nfs/dbraw/zinc/24/16/58/1074241658.db2.gz PDNJIQHVVBXMFF-UHFFFAOYSA-N 0 0 434.497 -0.647 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)CC1 ZINC001322096282 1074241669 /nfs/dbraw/zinc/24/16/69/1074241669.db2.gz WAUBOWBXTBBDTI-UHFFFAOYSA-N 0 0 431.536 -0.168 20 0 IBADRN COc1ccc(-c2nnc(S(=O)(=O)[C@@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)n2C)cc1 ZINC001322096956 1074241590 /nfs/dbraw/zinc/24/15/90/1074241590.db2.gz HDNXWIOFNMLNOW-AAEUAGOBSA-N 0 0 442.519 -0.044 20 0 IBADRN COc1ccc(-c2nnc(S(=O)(=O)[C@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)n2C)cc1 ZINC001322096958 1074241557 /nfs/dbraw/zinc/24/15/57/1074241557.db2.gz HDNXWIOFNMLNOW-DGCLKSJQSA-N 0 0 442.519 -0.044 20 0 IBADRN COc1ccc(-c2nnc(S(=O)(=O)[C@@H](C)C(=O)N[C@@H]3CCS(=O)(=O)C3)n2C)cc1 ZINC001322096960 1074241581 /nfs/dbraw/zinc/24/15/81/1074241581.db2.gz HDNXWIOFNMLNOW-WCQYABFASA-N 0 0 442.519 -0.044 20 0 IBADRN COc1ccc(-c2nnc(S(=O)(=O)[C@H](C)C(=O)N[C@H]3CCS(=O)(=O)C3)n2C)cc1 ZINC001322096964 1074241683 /nfs/dbraw/zinc/24/16/83/1074241683.db2.gz HDNXWIOFNMLNOW-YPMHNXCESA-N 0 0 442.519 -0.044 20 0 IBADRN Cn1c(=O)ccn(Cc2nnc(-c3cccc(S(=O)(=O)N4CCOCC4)c3)o2)c1=O ZINC001322099495 1074241499 /nfs/dbraw/zinc/24/14/99/1074241499.db2.gz KKKXLXSHGXNSBG-UHFFFAOYSA-N 0 0 433.446 -0.334 20 0 IBADRN COCCCNC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1 ZINC001322118794 1074242065 /nfs/dbraw/zinc/24/20/65/1074242065.db2.gz CUDSVWJASUMSLN-AWEZNQCLSA-N 0 0 431.515 -0.780 20 0 IBADRN COCCCNC(=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1 ZINC001322118796 1074242089 /nfs/dbraw/zinc/24/20/89/1074242089.db2.gz CUDSVWJASUMSLN-CQSZACIVSA-N 0 0 431.515 -0.780 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1CC(F)(F)F)N1CCOCC1 ZINC001322119162 1074241977 /nfs/dbraw/zinc/24/19/77/1074241977.db2.gz GSUCLABWRWCBKQ-UHFFFAOYSA-N 0 0 427.405 -0.691 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)no1 ZINC001322121082 1074242072 /nfs/dbraw/zinc/24/20/72/1074242072.db2.gz OEKBJMFSASLDTM-DZGCQCFKSA-N 0 0 438.506 -0.261 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)no1 ZINC001322121083 1074242032 /nfs/dbraw/zinc/24/20/32/1074242032.db2.gz OEKBJMFSASLDTM-HIFRSBDPSA-N 0 0 438.506 -0.261 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)no1 ZINC001322121084 1074242069 /nfs/dbraw/zinc/24/20/69/1074242069.db2.gz OEKBJMFSASLDTM-UKRRQHHQSA-N 0 0 438.506 -0.261 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)no1 ZINC001322121085 1074242081 /nfs/dbraw/zinc/24/20/81/1074242081.db2.gz OEKBJMFSASLDTM-ZFWWWQNUSA-N 0 0 438.506 -0.261 20 0 IBADRN CC(C)N(CCCNC(=O)CS(=O)(=O)c1nnc(C(F)F)n1C)S(C)(=O)=O ZINC001322121253 1074242019 /nfs/dbraw/zinc/24/20/19/1074242019.db2.gz PJGFXNOFXTYZOU-UHFFFAOYSA-N 0 0 431.487 -0.297 20 0 IBADRN COc1ccc(-n2cnnc2S(=O)(=O)[C@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001322122998 1074242003 /nfs/dbraw/zinc/24/20/03/1074242003.db2.gz WTWYXUNIUQJKFS-NEPJUHHUSA-N 0 0 428.492 -0.259 20 0 IBADRN COc1ccc(-n2cnnc2S(=O)(=O)[C@@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001322122999 1074242084 /nfs/dbraw/zinc/24/20/84/1074242084.db2.gz WTWYXUNIUQJKFS-NWDGAFQWSA-N 0 0 428.492 -0.259 20 0 IBADRN COc1ccc(-n2cnnc2S(=O)(=O)[C@@H](C)C(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001322123000 1074242049 /nfs/dbraw/zinc/24/20/49/1074242049.db2.gz WTWYXUNIUQJKFS-RYUDHWBXSA-N 0 0 428.492 -0.259 20 0 IBADRN COc1ccc(-n2cnnc2S(=O)(=O)[C@H](C)C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001322123004 1074242076 /nfs/dbraw/zinc/24/20/76/1074242076.db2.gz WTWYXUNIUQJKFS-VXGBXAGGSA-N 0 0 428.492 -0.259 20 0 IBADRN C[C@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC001322131294 1074242057 /nfs/dbraw/zinc/24/20/57/1074242057.db2.gz IXCUXINPJXJAEO-AWEZNQCLSA-N 0 0 425.511 -0.272 20 0 IBADRN C[C@@H](OC(=O)C1CCN(S(C)(=O)=O)CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC001322131295 1074241955 /nfs/dbraw/zinc/24/19/55/1074241955.db2.gz IXCUXINPJXJAEO-CQSZACIVSA-N 0 0 425.511 -0.272 20 0 IBADRN Cn1cc(-c2cncc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c2)cn1 ZINC001322131621 1074241985 /nfs/dbraw/zinc/24/19/85/1074241985.db2.gz AIEXWTVAISXLTE-GOSISDBHSA-N 0 0 446.533 -0.457 20 0 IBADRN Cn1cc(-c2cncc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c2)cn1 ZINC001322131626 1074242026 /nfs/dbraw/zinc/24/20/26/1074242026.db2.gz AIEXWTVAISXLTE-SFHVURJKSA-N 0 0 446.533 -0.457 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C(C)(C)S(C)(=O)=O)CC3)CC1 ZINC001322133341 1074241992 /nfs/dbraw/zinc/24/19/92/1074241992.db2.gz MKMUOYKSSOSSGI-AWEZNQCLSA-N 0 0 425.555 -0.228 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C(C)(C)S(C)(=O)=O)CC3)CC1 ZINC001322133342 1074241964 /nfs/dbraw/zinc/24/19/64/1074241964.db2.gz MKMUOYKSSOSSGI-CQSZACIVSA-N 0 0 425.555 -0.228 20 0 IBADRN Cn1ncc2c1nc(CCC(=O)OCC(=O)N1CCN(c3cnccn3)CC1)[nH]c2=O ZINC001322140675 1074242042 /nfs/dbraw/zinc/24/20/42/1074242042.db2.gz NLMMNCXJJQDPPT-UHFFFAOYSA-N 0 0 426.437 -0.317 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322142844 1074242008 /nfs/dbraw/zinc/24/20/08/1074242008.db2.gz YEFRLHHEVVNLFI-PBHICJAKSA-N 0 0 439.582 -0.337 20 0 IBADRN Cc1nn(C)c(C)c1C[C@@H](C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322142853 1074242310 /nfs/dbraw/zinc/24/23/10/1074242310.db2.gz YEFRLHHEVVNLFI-RHSMWYFYSA-N 0 0 439.582 -0.337 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322142855 1074242318 /nfs/dbraw/zinc/24/23/18/1074242318.db2.gz YEFRLHHEVVNLFI-WMLDXEAASA-N 0 0 439.582 -0.337 20 0 IBADRN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322142857 1074242391 /nfs/dbraw/zinc/24/23/91/1074242391.db2.gz YEFRLHHEVVNLFI-YOEHRIQHSA-N 0 0 439.582 -0.337 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC001322144435 1074242258 /nfs/dbraw/zinc/24/22/58/1074242258.db2.gz LFTPOSJUOJCZGA-UHFFFAOYSA-N 0 0 428.515 -0.958 20 0 IBADRN O=C(COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001322147662 1074242354 /nfs/dbraw/zinc/24/23/54/1074242354.db2.gz BJEDAAIKEYSVGF-INIZCTEOSA-N 0 0 444.531 -0.623 20 0 IBADRN O=C(COC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322147665 1074242298 /nfs/dbraw/zinc/24/22/98/1074242298.db2.gz BJEDAAIKEYSVGF-MRXNPFEDSA-N 0 0 444.531 -0.623 20 0 IBADRN Cc1cc(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001322147991 1074242409 /nfs/dbraw/zinc/24/24/09/1074242409.db2.gz HZCMESHYYGJVFK-LLVKDONJSA-N 0 0 434.478 -0.307 20 0 IBADRN Cc1cc(NC(=O)c2cc3c(n2C)n(C)c(=O)n(C)c3=O)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001322147992 1074242381 /nfs/dbraw/zinc/24/23/81/1074242381.db2.gz HZCMESHYYGJVFK-NSHDSACASA-N 0 0 434.478 -0.307 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)NCC1(O)CCS(=O)(=O)CC1 ZINC001322148694 1074242290 /nfs/dbraw/zinc/24/22/90/1074242290.db2.gz GVPVWWVJYFTBIZ-UHFFFAOYSA-N 0 0 433.504 -0.323 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001322149199 1074242396 /nfs/dbraw/zinc/24/23/96/1074242396.db2.gz JGGHDDNVIFAXGQ-UHFFFAOYSA-N 0 0 432.520 -0.623 20 0 IBADRN CSC[C@H](C(=O)NCC1(O)CCS(=O)(=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001322150608 1074242347 /nfs/dbraw/zinc/24/23/47/1074242347.db2.gz YYXWFGVMFQDCJE-HZSPNIEDSA-N 0 0 432.564 -0.051 20 0 IBADRN CSC[C@H](C(=O)NCC1(O)CCS(=O)(=O)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC001322150610 1074242250 /nfs/dbraw/zinc/24/22/50/1074242250.db2.gz YYXWFGVMFQDCJE-MELADBBJSA-N 0 0 432.564 -0.051 20 0 IBADRN CSC[C@H](C(=O)NCC1(O)CCS(=O)(=O)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC001322150613 1074242232 /nfs/dbraw/zinc/24/22/32/1074242232.db2.gz YYXWFGVMFQDCJE-MGPQQGTHSA-N 0 0 432.564 -0.051 20 0 IBADRN CSC[C@@H](C(=O)NCC1(O)CCS(=O)(=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001322150615 1074242365 /nfs/dbraw/zinc/24/23/65/1074242365.db2.gz YYXWFGVMFQDCJE-MJBXVCDLSA-N 0 0 432.564 -0.051 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)COC(=O)Cn1ncn2nccc2c1=O)c1ccc(F)cc1 ZINC001322162190 1074242876 /nfs/dbraw/zinc/24/28/76/1074242876.db2.gz GZJMPEPPEJPAJF-GFCCVEGCSA-N 0 0 430.396 -0.433 20 0 IBADRN C[C@H](NC(=O)CNC(=O)COC(=O)Cn1ncn2nccc2c1=O)c1ccc(F)cc1 ZINC001322162191 1074242753 /nfs/dbraw/zinc/24/27/53/1074242753.db2.gz GZJMPEPPEJPAJF-LBPRGKRZSA-N 0 0 430.396 -0.433 20 0 IBADRN COc1ccc(CNC(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)cc1OC ZINC001322162612 1074242731 /nfs/dbraw/zinc/24/27/31/1074242731.db2.gz KZWFGXZMORYZRH-UHFFFAOYSA-N 0 0 435.462 -0.133 20 0 IBADRN COCCOc1ccc(CNC(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)cc1 ZINC001322163340 1074242807 /nfs/dbraw/zinc/24/28/07/1074242807.db2.gz RCZPNKGLANSXNN-UHFFFAOYSA-N 0 0 449.489 -0.125 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1)S(C)(=O)=O ZINC001322172070 1074242910 /nfs/dbraw/zinc/24/29/10/1074242910.db2.gz ONBZDXAYGAFNIZ-CYBMUJFWSA-N 0 0 431.536 -0.311 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)C1)S(C)(=O)=O ZINC001322172072 1074242745 /nfs/dbraw/zinc/24/27/45/1074242745.db2.gz ONBZDXAYGAFNIZ-ZDUSSCGKSA-N 0 0 431.536 -0.311 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1)S(C)(=O)=O ZINC001322172378 1074242716 /nfs/dbraw/zinc/24/27/16/1074242716.db2.gz RAVAUIWZJBHIMN-KKUMJFAQSA-N 0 0 435.568 -0.848 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1)S(C)(=O)=O ZINC001322172381 1074242797 /nfs/dbraw/zinc/24/27/97/1074242797.db2.gz RAVAUIWZJBHIMN-RRFJBIMHSA-N 0 0 435.568 -0.848 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1)S(C)(=O)=O ZINC001322172384 1074242764 /nfs/dbraw/zinc/24/27/64/1074242764.db2.gz RAVAUIWZJBHIMN-SOUVJXGZSA-N 0 0 435.568 -0.848 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1)S(C)(=O)=O ZINC001322172387 1074242727 /nfs/dbraw/zinc/24/27/27/1074242727.db2.gz RAVAUIWZJBHIMN-ZNMIVQPWSA-N 0 0 435.568 -0.848 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2cccc(OCC(F)(F)F)n2)CC1 ZINC001322175646 1074242925 /nfs/dbraw/zinc/24/29/25/1074242925.db2.gz VUTGIXGGPWOJCG-UHFFFAOYSA-N 0 0 432.446 -0.066 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001322181890 1074242842 /nfs/dbraw/zinc/24/28/42/1074242842.db2.gz XMHMKNCBQYVXGC-KBPBESRZSA-N 0 0 431.898 -0.414 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001322181891 1074242870 /nfs/dbraw/zinc/24/28/70/1074242870.db2.gz XMHMKNCBQYVXGC-KGLIPLIRSA-N 0 0 431.898 -0.414 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001322181892 1074242815 /nfs/dbraw/zinc/24/28/15/1074242815.db2.gz XMHMKNCBQYVXGC-UONOGXRCSA-N 0 0 431.898 -0.414 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001322181893 1074242917 /nfs/dbraw/zinc/24/29/17/1074242917.db2.gz XMHMKNCBQYVXGC-ZIAGYGMSSA-N 0 0 431.898 -0.414 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)COC(=O)C2CCN(S(=O)(=O)NC)CC2)CC1 ZINC001322185305 1074242775 /nfs/dbraw/zinc/24/27/75/1074242775.db2.gz JHPUGQGKSPZWSC-UHFFFAOYSA-N 0 0 434.515 -0.557 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCN(C(=O)c3ccco3)CC2)CC1 ZINC001322186598 1074242790 /nfs/dbraw/zinc/24/27/90/1074242790.db2.gz RLLMPLUHJIWJNH-UHFFFAOYSA-N 0 0 442.494 -0.717 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCc2nnc3n2c2ccsc2c(=O)n3C)CC1 ZINC001322186836 1074242904 /nfs/dbraw/zinc/24/29/04/1074242904.db2.gz SZINAECTHHNCKB-UHFFFAOYSA-N 0 0 440.507 -0.138 20 0 IBADRN O=C(COC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)NC(=O)NCC(F)(F)F ZINC001322199813 1074243290 /nfs/dbraw/zinc/24/32/90/1074243290.db2.gz JUQGBLADNMSTIQ-UHFFFAOYSA-N 0 0 426.369 -0.074 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCC2(CC1)NC(=O)NC2=O ZINC001322202185 1074243122 /nfs/dbraw/zinc/24/31/22/1074243122.db2.gz JIBWKIHFFJWGGQ-UHFFFAOYSA-N 0 0 440.453 -0.339 20 0 IBADRN NC(=O)C1(CNC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCOCC1 ZINC001322221982 1074243157 /nfs/dbraw/zinc/24/31/57/1074243157.db2.gz CNYMFKDWSVQPLQ-INIZCTEOSA-N 0 0 429.477 -0.066 20 0 IBADRN NC(=O)C1(CNC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCOCC1 ZINC001322221983 1074243180 /nfs/dbraw/zinc/24/31/80/1074243180.db2.gz CNYMFKDWSVQPLQ-MRXNPFEDSA-N 0 0 429.477 -0.066 20 0 IBADRN Cn1c(C=O)c(Br)cc1C(=O)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC001322223073 1074243230 /nfs/dbraw/zinc/24/32/30/1074243230.db2.gz PXRYIACEJIKTAF-UHFFFAOYSA-N 0 0 449.327 -0.065 20 0 IBADRN Cc1nnc2ccc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cn12 ZINC001322223154 1074243224 /nfs/dbraw/zinc/24/32/24/1074243224.db2.gz CZNUCXZDDKQSGD-AWEZNQCLSA-N 0 0 427.508 -0.688 20 0 IBADRN Cc1nnc2ccc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cn12 ZINC001322223155 1074243191 /nfs/dbraw/zinc/24/31/91/1074243191.db2.gz CZNUCXZDDKQSGD-CQSZACIVSA-N 0 0 427.508 -0.688 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001322224098 1074243131 /nfs/dbraw/zinc/24/31/31/1074243131.db2.gz CTCNZCICOWKVSH-KRWDZBQOSA-N 0 0 443.504 -0.415 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001322224102 1074243266 /nfs/dbraw/zinc/24/32/66/1074243266.db2.gz CTCNZCICOWKVSH-QGZVFWFLSA-N 0 0 443.504 -0.415 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC(N4CCNC4=O)CC3)CC2=O)c1 ZINC001322224292 1074243204 /nfs/dbraw/zinc/24/32/04/1074243204.db2.gz BHMPWXLRVKDOTN-CYBMUJFWSA-N 0 0 435.506 -0.297 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCC(N4CCNC4=O)CC3)CC2=O)c1 ZINC001322224293 1074243145 /nfs/dbraw/zinc/24/31/45/1074243145.db2.gz BHMPWXLRVKDOTN-ZDUSSCGKSA-N 0 0 435.506 -0.297 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)cn1 ZINC001322234302 1074243302 /nfs/dbraw/zinc/24/33/02/1074243302.db2.gz WLMGLAZEMVCRCI-KBPBESRZSA-N 0 0 432.506 -0.185 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)cn1 ZINC001322234303 1074243111 /nfs/dbraw/zinc/24/31/11/1074243111.db2.gz WLMGLAZEMVCRCI-KGLIPLIRSA-N 0 0 432.506 -0.185 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)cn1 ZINC001322234304 1074243255 /nfs/dbraw/zinc/24/32/55/1074243255.db2.gz WLMGLAZEMVCRCI-UONOGXRCSA-N 0 0 432.506 -0.185 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@@H]3CC(=O)N(c4cccc(S(N)(=O)=O)c4)C3)C2)cn1 ZINC001322234305 1074243114 /nfs/dbraw/zinc/24/31/14/1074243114.db2.gz WLMGLAZEMVCRCI-ZIAGYGMSSA-N 0 0 432.506 -0.185 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cnn(CC(=O)NC2CCCCC2)c1 ZINC001322238891 1074243212 /nfs/dbraw/zinc/24/32/12/1074243212.db2.gz VUPOSJMYTAGHOX-INIZCTEOSA-N 0 0 439.538 -0.293 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cnn(CC(=O)NC2CCCCC2)c1 ZINC001322238892 1074243169 /nfs/dbraw/zinc/24/31/69/1074243169.db2.gz VUPOSJMYTAGHOX-MRXNPFEDSA-N 0 0 439.538 -0.293 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)c1 ZINC001322239033 1074243282 /nfs/dbraw/zinc/24/32/82/1074243282.db2.gz PDSHDMPGPCYRHP-UHFFFAOYSA-N 0 0 426.538 -0.845 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(c1)OCO2)N[C@H]1CCS(=O)(=O)C1 ZINC001322240409 1074243691 /nfs/dbraw/zinc/24/36/91/1074243691.db2.gz CRHMOBOJSLGSMU-KBPBESRZSA-N 0 0 438.458 -0.134 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN1C(=O)c1ccc2c(c1)OCO2)N[C@@H]1CCS(=O)(=O)C1 ZINC001322240415 1074243660 /nfs/dbraw/zinc/24/36/60/1074243660.db2.gz CRHMOBOJSLGSMU-KGLIPLIRSA-N 0 0 438.458 -0.134 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1C(=O)c1ccc2c(c1)OCO2)N[C@H]1CCS(=O)(=O)C1 ZINC001322240420 1074243675 /nfs/dbraw/zinc/24/36/75/1074243675.db2.gz CRHMOBOJSLGSMU-UONOGXRCSA-N 0 0 438.458 -0.134 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN1C(=O)c1ccc2c(c1)OCO2)N[C@@H]1CCS(=O)(=O)C1 ZINC001322240423 1074243694 /nfs/dbraw/zinc/24/36/94/1074243694.db2.gz CRHMOBOJSLGSMU-ZIAGYGMSSA-N 0 0 438.458 -0.134 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2N1 ZINC001322245528 1074243727 /nfs/dbraw/zinc/24/37/27/1074243727.db2.gz AEWHZYCKBACOHM-CVEARBPZSA-N 0 0 429.520 -0.653 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@@H]3N3CCOCC3)ccc2N1 ZINC001322245534 1074243717 /nfs/dbraw/zinc/24/37/17/1074243717.db2.gz AEWHZYCKBACOHM-HOTGVXAUSA-N 0 0 429.520 -0.653 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N[C@@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2N1 ZINC001322245536 1074243710 /nfs/dbraw/zinc/24/37/10/1074243710.db2.gz AEWHZYCKBACOHM-HZPDHXFCSA-N 0 0 429.520 -0.653 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)N[C@H]3CS(=O)(=O)C[C@H]3N3CCOCC3)ccc2N1 ZINC001322245538 1074243744 /nfs/dbraw/zinc/24/37/44/1074243744.db2.gz AEWHZYCKBACOHM-JKSUJKDBSA-N 0 0 429.520 -0.653 20 0 IBADRN O=C(c1ccc(N2CCCS2(=O)=O)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001322245584 1074243736 /nfs/dbraw/zinc/24/37/36/1074243736.db2.gz BKBAFHLFLKMFIJ-DLBZAZTESA-N 0 0 443.547 -0.858 20 0 IBADRN O=C(c1ccc(N2CCCS2(=O)=O)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001322245585 1074243638 /nfs/dbraw/zinc/24/36/38/1074243638.db2.gz BKBAFHLFLKMFIJ-IAGOWNOFSA-N 0 0 443.547 -0.858 20 0 IBADRN O=C(c1ccc(N2CCCS2(=O)=O)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001322245586 1074243749 /nfs/dbraw/zinc/24/37/49/1074243749.db2.gz BKBAFHLFLKMFIJ-IRXDYDNUSA-N 0 0 443.547 -0.858 20 0 IBADRN O=C(c1ccc(N2CCCS2(=O)=O)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001322245587 1074243687 /nfs/dbraw/zinc/24/36/87/1074243687.db2.gz BKBAFHLFLKMFIJ-SJORKVTESA-N 0 0 443.547 -0.858 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC001322246480 1074243705 /nfs/dbraw/zinc/24/37/05/1074243705.db2.gz VQCVTQHAFXPXSV-MSOLQXFVSA-N 0 0 438.506 -0.169 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC001322246481 1074243667 /nfs/dbraw/zinc/24/36/67/1074243667.db2.gz VQCVTQHAFXPXSV-QZTJIDSGSA-N 0 0 438.506 -0.169 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c1 ZINC001322246482 1074243731 /nfs/dbraw/zinc/24/37/31/1074243731.db2.gz VQCVTQHAFXPXSV-ROUUACIJSA-N 0 0 438.506 -0.169 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c1 ZINC001322246483 1074243700 /nfs/dbraw/zinc/24/37/00/1074243700.db2.gz VQCVTQHAFXPXSV-ZWKOTPCHSA-N 0 0 438.506 -0.169 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC001322254928 1074243626 /nfs/dbraw/zinc/24/36/26/1074243626.db2.gz WVGSJXDOIPDCLK-UHFFFAOYSA-N 0 0 435.528 -0.744 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cn1 ZINC001322264822 1074243721 /nfs/dbraw/zinc/24/37/21/1074243721.db2.gz ZCQRVNVPLJSBDY-HPGBDJQBSA-N 0 0 437.547 -0.238 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cn1 ZINC001322264823 1074243680 /nfs/dbraw/zinc/24/36/80/1074243680.db2.gz ZCQRVNVPLJSBDY-JYRCXFKTSA-N 0 0 437.547 -0.238 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cn1 ZINC001322264824 1074243652 /nfs/dbraw/zinc/24/36/52/1074243652.db2.gz ZCQRVNVPLJSBDY-UULLZXFKSA-N 0 0 437.547 -0.238 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)cn1 ZINC001322264825 1074244095 /nfs/dbraw/zinc/24/40/95/1074244095.db2.gz ZCQRVNVPLJSBDY-YMGMXPECSA-N 0 0 437.547 -0.238 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2nc(C)n(-c3nc(C(F)(F)F)n[nH]3)n2)CC1 ZINC001322267625 1074244008 /nfs/dbraw/zinc/24/40/08/1074244008.db2.gz RBLDVKPUCDGKCG-UHFFFAOYSA-N 0 0 445.406 -0.767 20 0 IBADRN Cc1nc(C(=O)NC2CCN(CC(=O)N(C)C)CC2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC001322269690 1074244052 /nfs/dbraw/zinc/24/40/52/1074244052.db2.gz LNRLRVCIWIMCPQ-UHFFFAOYSA-N 0 0 429.407 -0.005 20 0 IBADRN Cn1c(C(=O)NCc2cccc([C@]3(C)NC(=O)NC3=O)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC001322278581 1074243941 /nfs/dbraw/zinc/24/39/41/1074243941.db2.gz DGWLQIIOVQZKES-NRFANRHFSA-N 0 0 438.444 -0.440 20 0 IBADRN Cn1c(C(=O)NCc2cccc([C@@]3(C)NC(=O)NC3=O)c2)cc2c1n(C)c(=O)n(C)c2=O ZINC001322278582 1074243951 /nfs/dbraw/zinc/24/39/51/1074243951.db2.gz DGWLQIIOVQZKES-OAQYLSRUSA-N 0 0 438.444 -0.440 20 0 IBADRN O=C(N[C@H]1CC[C@H](NC(=O)N2CCS(=O)(=O)CC2)CC1)N1CCS(=O)(=O)CC1 ZINC001322285272 1074244083 /nfs/dbraw/zinc/24/40/83/1074244083.db2.gz BEOONHIYNTVRBG-HDJSIYSDSA-N 0 0 436.556 -0.822 20 0 IBADRN O=C(NCCCCCCNC(=O)N1CCS(=O)(=O)CC1)N1CCS(=O)(=O)CC1 ZINC001322286681 1074243957 /nfs/dbraw/zinc/24/39/57/1074243957.db2.gz PUVCACMIZYUVJT-UHFFFAOYSA-N 0 0 438.572 -0.573 20 0 IBADRN O=C(CS(=O)(=O)Cc1ccc(S(=O)(=O)C(F)F)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322288883 1074244027 /nfs/dbraw/zinc/24/40/27/1074244027.db2.gz WQFFZMBOYLUWNS-LLVKDONJSA-N 0 0 445.487 -0.099 20 0 IBADRN O=C(CS(=O)(=O)Cc1ccc(S(=O)(=O)C(F)F)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001322288889 1074244152 /nfs/dbraw/zinc/24/41/52/1074244152.db2.gz WQFFZMBOYLUWNS-NSHDSACASA-N 0 0 445.487 -0.099 20 0 IBADRN O=C(c1ccc(-n2cnnc2)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322290231 1074243999 /nfs/dbraw/zinc/24/39/99/1074243999.db2.gz BAYOPMUZUQZFCM-INIZCTEOSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1ccc(-n2cnnc2)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322290233 1074243981 /nfs/dbraw/zinc/24/39/81/1074243981.db2.gz BAYOPMUZUQZFCM-MRXNPFEDSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1ccc(F)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322291137 1074244103 /nfs/dbraw/zinc/24/41/03/1074244103.db2.gz LVKOIPJWKBFLPW-ILXRZTDVSA-N 0 0 428.438 -0.752 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(F)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322291138 1074244142 /nfs/dbraw/zinc/24/41/42/1074244142.db2.gz LVKOIPJWKBFLPW-KFWWJZLASA-N 0 0 428.438 -0.752 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1ccc(F)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001322291139 1074244130 /nfs/dbraw/zinc/24/41/30/1074244130.db2.gz LVKOIPJWKBFLPW-KKUMJFAQSA-N 0 0 428.438 -0.752 20 0 IBADRN O=C(COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(F)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001322291140 1074244117 /nfs/dbraw/zinc/24/41/17/1074244117.db2.gz LVKOIPJWKBFLPW-ZNMIVQPWSA-N 0 0 428.438 -0.752 20 0 IBADRN O=C(COC(=O)CO[C@H]1CCOC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001322295558 1074244124 /nfs/dbraw/zinc/24/41/24/1074244124.db2.gz CTAAMPBODFGSNB-HNNXBMFYSA-N 0 0 428.463 -0.005 20 0 IBADRN O=C(COC(=O)CO[C@@H]1CCOC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001322295559 1074243988 /nfs/dbraw/zinc/24/39/88/1074243988.db2.gz CTAAMPBODFGSNB-OAHLLOKOSA-N 0 0 428.463 -0.005 20 0 IBADRN COc1ccc(C(=O)N2CCC(NC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001322295570 1074244042 /nfs/dbraw/zinc/24/40/42/1074244042.db2.gz DIXHIZBSZGXGBT-UHFFFAOYSA-N 0 0 426.495 -0.011 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCCN(CC(=O)N3CCCC3)CC2)cc1 ZINC001322297095 1074243969 /nfs/dbraw/zinc/24/39/69/1074243969.db2.gz SQNMDDOSGFNEDR-UHFFFAOYSA-N 0 0 436.534 -0.284 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CO[C@H]3CCOC3)CC2)cc1 ZINC001322297382 1074244509 /nfs/dbraw/zinc/24/45/09/1074244509.db2.gz XRTREXDCOOXSAL-INIZCTEOSA-N 0 0 442.490 -0.123 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)CO[C@@H]3CCOC3)CC2)cc1 ZINC001322297383 1074244462 /nfs/dbraw/zinc/24/44/62/1074244462.db2.gz XRTREXDCOOXSAL-MRXNPFEDSA-N 0 0 442.490 -0.123 20 0 IBADRN O=C(CO[C@@H]1CCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001322305273 1074244576 /nfs/dbraw/zinc/24/45/76/1074244576.db2.gz XGVFNCPRQIZSRS-CYBMUJFWSA-N 0 0 425.463 -0.344 20 0 IBADRN O=C(CO[C@H]1CCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001322305274 1074244591 /nfs/dbraw/zinc/24/45/91/1074244591.db2.gz XGVFNCPRQIZSRS-ZDUSSCGKSA-N 0 0 425.463 -0.344 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)no1 ZINC001322307751 1074244540 /nfs/dbraw/zinc/24/45/40/1074244540.db2.gz NWMAIRHJDJJLGO-UHFFFAOYSA-N 0 0 429.499 -0.451 20 0 IBADRN NC(=O)N1CCN2C(=O)N(Cc3cc(=O)n4cc(Br)ccc4n3)C(=O)[C@H]2C1 ZINC001322310905 1074244484 /nfs/dbraw/zinc/24/44/84/1074244484.db2.gz LRYZQZARMJVSAC-LLVKDONJSA-N 0 0 435.238 -0.016 20 0 IBADRN NC(=O)N1CCN2C(=O)N(Cc3cc(=O)n4cc(Br)ccc4n3)C(=O)[C@@H]2C1 ZINC001322310907 1074244479 /nfs/dbraw/zinc/24/44/79/1074244479.db2.gz LRYZQZARMJVSAC-NSHDSACASA-N 0 0 435.238 -0.016 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)N3CCC(C(=O)Nc4ccccc4)CC3)C(=O)[C@@H]2C1 ZINC001322311148 1074244502 /nfs/dbraw/zinc/24/45/02/1074244502.db2.gz PZUKCEZGUGYXPU-INIZCTEOSA-N 0 0 442.476 -0.109 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)N3CCC(C(=O)Nc4ccccc4)CC3)C(=O)[C@H]2C1 ZINC001322311149 1074244568 /nfs/dbraw/zinc/24/45/68/1074244568.db2.gz PZUKCEZGUGYXPU-MRXNPFEDSA-N 0 0 442.476 -0.109 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccccc3CN3CCOCC3)C(=O)[C@@H]2C1 ZINC001322311180 1074244516 /nfs/dbraw/zinc/24/45/16/1074244516.db2.gz QWNVZSWIYLNWKY-KRWDZBQOSA-N 0 0 444.492 -0.838 20 0 IBADRN NC(=O)N1CCN2C(=O)N(CC(=O)NCc3ccccc3CN3CCOCC3)C(=O)[C@H]2C1 ZINC001322311181 1074244581 /nfs/dbraw/zinc/24/45/81/1074244581.db2.gz QWNVZSWIYLNWKY-QGZVFWFLSA-N 0 0 444.492 -0.838 20 0 IBADRN NS(=O)(=O)c1ccc(CNC(=S)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001322316003 1074244470 /nfs/dbraw/zinc/24/44/70/1074244470.db2.gz HNLTWFDKPSUIBY-UHFFFAOYSA-N 0 0 427.552 -0.222 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001322319566 1074244533 /nfs/dbraw/zinc/24/45/33/1074244533.db2.gz HBEGRANJLQHCDE-ILXRZTDVSA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001322319567 1074244450 /nfs/dbraw/zinc/24/44/50/1074244450.db2.gz HBEGRANJLQHCDE-KFWWJZLASA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001322319568 1074244459 /nfs/dbraw/zinc/24/44/59/1074244459.db2.gz HBEGRANJLQHCDE-QLFBSQMISA-N 0 0 433.552 -0.952 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001322319569 1074244562 /nfs/dbraw/zinc/24/45/62/1074244562.db2.gz HBEGRANJLQHCDE-RBSFLKMASA-N 0 0 433.552 -0.952 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCc3nn(C)c(=O)cc3C2)c1 ZINC001322325543 1074244598 /nfs/dbraw/zinc/24/45/98/1074244598.db2.gz ODZLVSGRQCYQEH-UHFFFAOYSA-N 0 0 433.490 -0.655 20 0 IBADRN O=C(CCNC(=O)N1CCC(N2CCNC2=O)CC1)N1CCN(c2ncccn2)CC1 ZINC001322350024 1074244556 /nfs/dbraw/zinc/24/45/56/1074244556.db2.gz NHGXNEZZCWZCRL-UHFFFAOYSA-N 0 0 430.513 -0.286 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)[C@H]3CCCN3C(=O)CNC(C)=O)CC2)n1 ZINC001322350681 1074244488 /nfs/dbraw/zinc/24/44/88/1074244488.db2.gz HWHRPBUSJSJQBU-GDBMZVCRSA-N 0 0 436.513 -0.062 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)[C@H]3CCCN3C(=O)CNC(C)=O)CC2)n1 ZINC001322350682 1074244494 /nfs/dbraw/zinc/24/44/94/1074244494.db2.gz HWHRPBUSJSJQBU-GOEBONIOSA-N 0 0 436.513 -0.062 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)CC2)n1 ZINC001322350683 1074245000 /nfs/dbraw/zinc/24/50/00/1074245000.db2.gz HWHRPBUSJSJQBU-HOCLYGCPSA-N 0 0 436.513 -0.062 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)CC2)n1 ZINC001322350684 1074244976 /nfs/dbraw/zinc/24/49/76/1074244976.db2.gz HWHRPBUSJSJQBU-ZBFHGGJFSA-N 0 0 436.513 -0.062 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(c3cnn(C)c3)C(=O)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001322351202 1074244873 /nfs/dbraw/zinc/24/48/73/1074244873.db2.gz KHRNAVUOQDDHMQ-AWEZNQCLSA-N 0 0 435.510 -0.428 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(c3cnn(C)c3)C(=O)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001322351203 1074245057 /nfs/dbraw/zinc/24/50/57/1074245057.db2.gz KHRNAVUOQDDHMQ-CQSZACIVSA-N 0 0 435.510 -0.428 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CC[C@]3(CNC(=O)C3)C2)[C@H]1C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC001322358165 1074245047 /nfs/dbraw/zinc/24/50/47/1074245047.db2.gz PBSVHMZHWCRTPO-HHDVVGBASA-N 0 0 444.532 -0.305 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CC[C@]3(CNC(=O)C3)C2)[C@@H]1C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC001322358166 1074244984 /nfs/dbraw/zinc/24/49/84/1074244984.db2.gz PBSVHMZHWCRTPO-LYNKTGHRSA-N 0 0 444.532 -0.305 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CC[C@]3(CNC(=O)C3)C2)[C@H]1C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC001322358167 1074244883 /nfs/dbraw/zinc/24/48/83/1074244883.db2.gz PBSVHMZHWCRTPO-WYSMKKAESA-N 0 0 444.532 -0.305 20 0 IBADRN C[C@@H]1CC(=O)C[C@H](C(=O)N2CC[C@]3(CNC(=O)C3)C2)[C@@H]1C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC001322358168 1074245062 /nfs/dbraw/zinc/24/50/62/1074245062.db2.gz PBSVHMZHWCRTPO-ZFZMFKIGSA-N 0 0 444.532 -0.305 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)s1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001322359205 1074244901 /nfs/dbraw/zinc/24/49/01/1074244901.db2.gz UFQRBLCBQNHMHW-GFCCVEGCSA-N 0 0 441.535 -0.239 20 0 IBADRN O=C(NCc1cnc(N2CCOCC2)s1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001322359206 1074244943 /nfs/dbraw/zinc/24/49/43/1074244943.db2.gz UFQRBLCBQNHMHW-LBPRGKRZSA-N 0 0 441.535 -0.239 20 0 IBADRN CNS(=O)(=O)Cc1nnc(CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)o1 ZINC001322371807 1074244912 /nfs/dbraw/zinc/24/49/12/1074244912.db2.gz XZRQMJAOPJZDNA-UHFFFAOYSA-N 0 0 430.508 -0.075 20 0 IBADRN O=C(C[S@](=O)Cc1nnnn1-c1ccc(OC(F)F)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322387440 1074244891 /nfs/dbraw/zinc/24/48/91/1074244891.db2.gz NWZLNWPFBMVDRI-CLQGZBHWSA-N 0 0 449.461 -0.184 20 0 IBADRN O=C(C[S@](=O)Cc1nnnn1-c1ccc(OC(F)F)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001322387443 1074244954 /nfs/dbraw/zinc/24/49/54/1074244954.db2.gz NWZLNWPFBMVDRI-OJKRAFQWSA-N 0 0 449.461 -0.184 20 0 IBADRN O=C(C[S@@](=O)Cc1nnnn1-c1ccc(OC(F)F)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322387445 1074244962 /nfs/dbraw/zinc/24/49/62/1074244962.db2.gz NWZLNWPFBMVDRI-RNOVWYRCSA-N 0 0 449.461 -0.184 20 0 IBADRN O=C(C[S@@](=O)Cc1nnnn1-c1ccc(OC(F)F)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001322387447 1074244993 /nfs/dbraw/zinc/24/49/93/1074244993.db2.gz NWZLNWPFBMVDRI-RRCUPVIRSA-N 0 0 449.461 -0.184 20 0 IBADRN COCCCNC(=O)[C@H](C)[S@](=O)Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC001322388468 1074245034 /nfs/dbraw/zinc/24/50/34/1074245034.db2.gz YDDOHWGNUWCKPL-BIXPNRAVSA-N 0 0 429.524 -0.144 20 0 IBADRN COCCCNC(=O)[C@H](C)[S@@](=O)Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC001322388469 1074244966 /nfs/dbraw/zinc/24/49/66/1074244966.db2.gz YDDOHWGNUWCKPL-JWNZJDHWSA-N 0 0 429.524 -0.144 20 0 IBADRN COCCCNC(=O)[C@@H](C)[S@](=O)Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC001322388470 1074245042 /nfs/dbraw/zinc/24/50/42/1074245042.db2.gz YDDOHWGNUWCKPL-KHXSAUHESA-N 0 0 429.524 -0.144 20 0 IBADRN COCCCNC(=O)[C@@H](C)[S@@](=O)Cc1nnnn1-c1ccc(S(C)(=O)=O)cc1 ZINC001322388471 1074245487 /nfs/dbraw/zinc/24/54/87/1074245487.db2.gz YDDOHWGNUWCKPL-MUDIAHQHSA-N 0 0 429.524 -0.144 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)c2ccc(=O)n(CCOC)n2)CC1 ZINC001322404183 1074245540 /nfs/dbraw/zinc/24/55/40/1074245540.db2.gz OEZVKMWKGUUUTL-UHFFFAOYSA-N 0 0 435.506 -0.240 20 0 IBADRN CS(=O)(=O)NCC1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC001322404548 1074245495 /nfs/dbraw/zinc/24/54/95/1074245495.db2.gz DVKBMZRCQWTONF-UHFFFAOYSA-N 0 0 435.524 -0.298 20 0 IBADRN O=C(CN1C(=O)NC2(CCOCC2)C1=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001322404880 1074245505 /nfs/dbraw/zinc/24/55/05/1074245505.db2.gz SBWUXDNMAFJTNC-UHFFFAOYSA-N 0 0 430.461 -0.124 20 0 IBADRN CS(=O)(=O)NC1CCN(c2cncc(N3CCC(NS(C)(=O)=O)CC3)n2)CC1 ZINC001322406191 1074245373 /nfs/dbraw/zinc/24/53/73/1074245373.db2.gz PIFKDCAXTVBCFE-UHFFFAOYSA-N 0 0 432.572 -0.487 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC001322410739 1074245518 /nfs/dbraw/zinc/24/55/18/1074245518.db2.gz BNBGSAQPBKQJNI-DZGCQCFKSA-N 0 0 444.535 -0.996 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC001322410740 1074245535 /nfs/dbraw/zinc/24/55/35/1074245535.db2.gz BNBGSAQPBKQJNI-HIFRSBDPSA-N 0 0 444.535 -0.996 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC001322410741 1074245361 /nfs/dbraw/zinc/24/53/61/1074245361.db2.gz BNBGSAQPBKQJNI-UKRRQHHQSA-N 0 0 444.535 -0.996 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC001322410742 1074245328 /nfs/dbraw/zinc/24/53/28/1074245328.db2.gz BNBGSAQPBKQJNI-ZFWWWQNUSA-N 0 0 444.535 -0.996 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC2(CS(C)(=O)=O)CC2)s1 ZINC001322411190 1074245524 /nfs/dbraw/zinc/24/55/24/1074245524.db2.gz BAEIMBWKMMFDQY-UHFFFAOYSA-N 0 0 437.565 -0.397 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CC[C@@H]3NC(=O)NC3=O)cc2)CC1 ZINC001322414051 1074245288 /nfs/dbraw/zinc/24/52/88/1074245288.db2.gz MWQCVNLTEGCSKF-IBGZPJMESA-N 0 0 445.520 -0.124 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CC[C@H]3NC(=O)NC3=O)cc2)CC1 ZINC001322414054 1074245391 /nfs/dbraw/zinc/24/53/91/1074245391.db2.gz MWQCVNLTEGCSKF-LJQANCHMSA-N 0 0 445.520 -0.124 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1 ZINC001322417595 1074245439 /nfs/dbraw/zinc/24/54/39/1074245439.db2.gz YIAVWDDFMJFJGA-NSHDSACASA-N 0 0 436.284 -0.954 20 0 IBADRN CCCn1c(CO)nnc1S(=O)(=O)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC001322421549 1074245529 /nfs/dbraw/zinc/24/55/29/1074245529.db2.gz FMRGGIFLRKQULK-AWEZNQCLSA-N 0 0 448.567 -0.088 20 0 IBADRN CCCn1c(CO)nnc1S(=O)(=O)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001322421551 1074245343 /nfs/dbraw/zinc/24/53/43/1074245343.db2.gz FMRGGIFLRKQULK-CQSZACIVSA-N 0 0 448.567 -0.088 20 0 IBADRN O=C1CC2(CN1CN1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)CCOCC2 ZINC001322424455 1074245313 /nfs/dbraw/zinc/24/53/13/1074245313.db2.gz ZJKGXSRWCDZHKC-HNNXBMFYSA-N 0 0 435.568 -0.893 20 0 IBADRN O=C1CC2(CN1CN1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)CCOCC2 ZINC001322424457 1074245479 /nfs/dbraw/zinc/24/54/79/1074245479.db2.gz ZJKGXSRWCDZHKC-OAHLLOKOSA-N 0 0 435.568 -0.893 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)N1CCCCC1 ZINC001322425237 1074245265 /nfs/dbraw/zinc/24/52/65/1074245265.db2.gz VBWDRWJXPSURFZ-INIZCTEOSA-N 0 0 445.563 -0.103 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC(=O)N1CCCCC1 ZINC001322425239 1074245825 /nfs/dbraw/zinc/24/58/25/1074245825.db2.gz VBWDRWJXPSURFZ-MRXNPFEDSA-N 0 0 445.563 -0.103 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001322427646 1074245950 /nfs/dbraw/zinc/24/59/50/1074245950.db2.gz MESZYWFWZRPEPG-UHFFFAOYSA-N 0 0 440.522 -0.179 20 0 IBADRN COCCn1c(-c2ccncc2)nnc1S(=O)(=O)CC(=O)NCC(=O)N1CCCC1 ZINC001322428771 1074245870 /nfs/dbraw/zinc/24/58/70/1074245870.db2.gz TYXCJZBGMAWDOW-UHFFFAOYSA-N 0 0 436.494 -0.501 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(Cc3nnnn3-c3ccccc3)CC2)CC1 ZINC001322433110 1074245955 /nfs/dbraw/zinc/24/59/55/1074245955.db2.gz BDKWGHZRJSVNGY-UHFFFAOYSA-N 0 0 448.553 -0.517 20 0 IBADRN O=C(CCNC(=O)NCCCc1nnc2n1CCCCC2)N[C@H]1CCS(=O)(=O)C1 ZINC001322433145 1074245969 /nfs/dbraw/zinc/24/59/69/1074245969.db2.gz MHXUTSYTBPYPIS-AWEZNQCLSA-N 0 0 426.543 -0.070 20 0 IBADRN O=C(CCNC(=O)NCCCc1nnc2n1CCCCC2)N[C@@H]1CCS(=O)(=O)C1 ZINC001322433146 1074245877 /nfs/dbraw/zinc/24/58/77/1074245877.db2.gz MHXUTSYTBPYPIS-CQSZACIVSA-N 0 0 426.543 -0.070 20 0 IBADRN CS(=O)(=O)NC1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC001322436950 1074245937 /nfs/dbraw/zinc/24/59/37/1074245937.db2.gz OWDJHMWKWWQECY-UHFFFAOYSA-N 0 0 436.581 -0.094 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c2ccccn21 ZINC001322436981 1074245897 /nfs/dbraw/zinc/24/58/97/1074245897.db2.gz QGDRBMVLGZWNML-CYBMUJFWSA-N 0 0 426.520 -0.317 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c2ccccn21 ZINC001322436982 1074245987 /nfs/dbraw/zinc/24/59/87/1074245987.db2.gz QGDRBMVLGZWNML-ZDUSSCGKSA-N 0 0 426.520 -0.317 20 0 IBADRN Cc1cc(NC(=O)CN2CCC(CNS(C)(=O)=O)CC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001322440507 1074245908 /nfs/dbraw/zinc/24/59/08/1074245908.db2.gz OEAQCDVGTCBJCV-HNNXBMFYSA-N 0 0 447.583 -0.249 20 0 IBADRN Cc1cc(NC(=O)CN2CCC(CNS(C)(=O)=O)CC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001322440508 1074245828 /nfs/dbraw/zinc/24/58/28/1074245828.db2.gz OEAQCDVGTCBJCV-OAHLLOKOSA-N 0 0 447.583 -0.249 20 0 IBADRN CN(CCNS(C)(=O)=O)c1cc(N(C)CCNS(C)(=O)=O)c2ccncc2n1 ZINC001322441773 1074245920 /nfs/dbraw/zinc/24/59/20/1074245920.db2.gz QZXWNJCAUMVUPE-UHFFFAOYSA-N 0 0 430.556 -0.399 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N[C@H]2CCCN(CC(N)=O)C2)(S(C)(=O)=O)CC1 ZINC001322446194 1074245990 /nfs/dbraw/zinc/24/59/90/1074245990.db2.gz PLWWGFUCLHTMPG-AWEZNQCLSA-N 0 0 446.570 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N[C@@H]2CCCN(CC(N)=O)C2)(S(C)(=O)=O)CC1 ZINC001322446196 1074245982 /nfs/dbraw/zinc/24/59/82/1074245982.db2.gz PLWWGFUCLHTMPG-CQSZACIVSA-N 0 0 446.570 -0.133 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC(C(=O)N4CCOCC4)CC3)cnc21 ZINC001322449825 1074245974 /nfs/dbraw/zinc/24/59/74/1074245974.db2.gz RHWCQVIHZBXRQI-UHFFFAOYSA-N 0 0 437.478 -0.706 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001322450069 1074245942 /nfs/dbraw/zinc/24/59/42/1074245942.db2.gz JXRMWOURTYVUFH-WSMBLCCSSA-N 0 0 446.595 -0.136 20 0 IBADRN CC(=O)N1CCC(NC(=O)Cn2c(Br)nc3c2n(C)c(=O)n(C)c3=O)CC1 ZINC001322450149 1074245913 /nfs/dbraw/zinc/24/59/13/1074245913.db2.gz MLGZUNWVHMFDEK-UHFFFAOYSA-N 0 0 441.286 -0.677 20 0 IBADRN Cc1nccc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001322454784 1074245885 /nfs/dbraw/zinc/24/58/85/1074245885.db2.gz FQNFMLAOPRLQED-CVEARBPZSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1nccc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001322454789 1074246307 /nfs/dbraw/zinc/24/63/07/1074246307.db2.gz FQNFMLAOPRLQED-HOTGVXAUSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1nccc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001322454790 1074246366 /nfs/dbraw/zinc/24/63/66/1074246366.db2.gz FQNFMLAOPRLQED-HZPDHXFCSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1nccc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)n1 ZINC001322454791 1074246226 /nfs/dbraw/zinc/24/62/26/1074246226.db2.gz FQNFMLAOPRLQED-JKSUJKDBSA-N 0 0 431.536 -0.105 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2ccc(N3CCOCC3)cc2C(N)=O)CC1 ZINC001322459535 1074246402 /nfs/dbraw/zinc/24/64/02/1074246402.db2.gz QVUOPBOIPRALGC-UHFFFAOYSA-N 0 0 425.511 -0.263 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)C3CCS(=O)(=O)CC3)CC2)cs1 ZINC001322459850 1074246260 /nfs/dbraw/zinc/24/62/60/1074246260.db2.gz JVZMMKMKJLAAKM-UHFFFAOYSA-N 0 0 443.594 -0.335 20 0 IBADRN O=C(C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1)N1CCCCC1 ZINC001322465316 1074246377 /nfs/dbraw/zinc/24/63/77/1074246377.db2.gz KQCYNJMUJHPFJD-VGKBRBPRSA-N 0 0 446.508 -0.333 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)CCCS(C)(=O)=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001322472826 1074246433 /nfs/dbraw/zinc/24/64/33/1074246433.db2.gz YCHRUHVPDSYSMS-HNNXBMFYSA-N 0 0 435.572 -0.439 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)CCCS(C)(=O)=O)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001322472828 1074246389 /nfs/dbraw/zinc/24/63/89/1074246389.db2.gz YCHRUHVPDSYSMS-OAHLLOKOSA-N 0 0 435.572 -0.439 20 0 IBADRN O=S(=O)(NCc1nnc2n1CCOC2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001322472832 1074246487 /nfs/dbraw/zinc/24/64/87/1074246487.db2.gz YINDHPILUVWLNJ-UHFFFAOYSA-N 0 0 443.507 -0.692 20 0 IBADRN Cc1oc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)cc1S(N)(=O)=O ZINC001322475070 1074246324 /nfs/dbraw/zinc/24/63/24/1074246324.db2.gz PLHBOYBTOOCLCU-UHFFFAOYSA-N 0 0 442.538 -0.074 20 0 IBADRN NC(=O)[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)c(Cl)cc1Cl ZINC001322475893 1074246461 /nfs/dbraw/zinc/24/64/61/1074246461.db2.gz YLTKRHCIJGDIAP-NSHDSACASA-N 0 0 426.278 -0.410 20 0 IBADRN C[C@@H](NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C1(S(C)(=O)=O)CC1 ZINC001322479173 1074246439 /nfs/dbraw/zinc/24/64/39/1074246439.db2.gz VFWRSLRZXWSMPL-GFCCVEGCSA-N 0 0 431.536 -0.134 20 0 IBADRN C[C@H](NC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)C1(S(C)(=O)=O)CC1 ZINC001322479174 1074246355 /nfs/dbraw/zinc/24/63/55/1074246355.db2.gz VFWRSLRZXWSMPL-LBPRGKRZSA-N 0 0 431.536 -0.134 20 0 IBADRN CS(=O)(=O)CCNC(=S)NC1CCC(NC(=S)NCCS(C)(=O)=O)CC1 ZINC001322482465 1074246314 /nfs/dbraw/zinc/24/63/14/1074246314.db2.gz HMVOUERZTMXPCW-UHFFFAOYSA-N 0 0 444.670 -0.685 20 0 IBADRN Cn1cc(C(=O)CCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001322483758 1074246479 /nfs/dbraw/zinc/24/64/79/1074246479.db2.gz GZTSTPYFDSSAAI-HNNXBMFYSA-N 0 0 426.495 -0.743 20 0 IBADRN Cn1cc(C(=O)CCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001322483759 1074246249 /nfs/dbraw/zinc/24/62/49/1074246249.db2.gz GZTSTPYFDSSAAI-OAHLLOKOSA-N 0 0 426.495 -0.743 20 0 IBADRN O=C(C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1)C(F)(F)F ZINC001322491224 1074246762 /nfs/dbraw/zinc/24/67/62/1074246762.db2.gz VYRJNMBLWMGHAR-UBEDBUPSSA-N 0 0 431.371 -0.214 20 0 IBADRN CN1C(=S)N=NC1CCNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC001322495704 1074246800 /nfs/dbraw/zinc/24/68/00/1074246800.db2.gz FYWQJWRPJDJLDL-UHFFFAOYSA-N 0 0 426.524 -0.440 20 0 IBADRN NC(=O)CCNC(=O)c1ccc(F)c(NC(=O)CSCC(=O)N2CCOCC2)c1 ZINC001322495788 1074246735 /nfs/dbraw/zinc/24/67/35/1074246735.db2.gz HLGNOZSKJDISBJ-UHFFFAOYSA-N 0 0 426.470 -0.039 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001322498404 1074246813 /nfs/dbraw/zinc/24/68/13/1074246813.db2.gz UVWODGVHNWLFRN-KBXCAEBGSA-N 0 0 445.563 -0.161 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001322498406 1074246748 /nfs/dbraw/zinc/24/67/48/1074246748.db2.gz UVWODGVHNWLFRN-KDOFPFPSSA-N 0 0 445.563 -0.161 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001322498409 1074246816 /nfs/dbraw/zinc/24/68/16/1074246816.db2.gz UVWODGVHNWLFRN-KSSFIOAISA-N 0 0 445.563 -0.161 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001322498411 1074246768 /nfs/dbraw/zinc/24/67/68/1074246768.db2.gz UVWODGVHNWLFRN-RDTXWAMCSA-N 0 0 445.563 -0.161 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3cccnc3N3CCC(C(N)=O)CC3)CCN2C1=O ZINC001322500010 1074246757 /nfs/dbraw/zinc/24/67/57/1074246757.db2.gz HNLNKBDMAPKLIG-INIZCTEOSA-N 0 0 443.508 -0.039 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3cccnc3N3CCC(C(N)=O)CC3)CCN2C1=O ZINC001322500013 1074246717 /nfs/dbraw/zinc/24/67/17/1074246717.db2.gz HNLNKBDMAPKLIG-MRXNPFEDSA-N 0 0 443.508 -0.039 20 0 IBADRN O=C(NCc1ccc2c(c1)CCS2(=O)=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001322506674 1074246724 /nfs/dbraw/zinc/24/67/24/1074246724.db2.gz PBTQRAYPNFWMBZ-AWEZNQCLSA-N 0 0 439.515 -0.202 20 0 IBADRN O=C(NCc1ccc2c(c1)CCS2(=O)=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001322506675 1074246743 /nfs/dbraw/zinc/24/67/43/1074246743.db2.gz PBTQRAYPNFWMBZ-CQSZACIVSA-N 0 0 439.515 -0.202 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CCCN2C(=O)CNC2=O)CC3)CC1 ZINC001322511864 1074246790 /nfs/dbraw/zinc/24/67/90/1074246790.db2.gz JSODCZRLMHNDTN-HNNXBMFYSA-N 0 0 445.524 -0.719 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CCCN2C(=O)CNC2=O)CC3)CC1 ZINC001322511865 1074246781 /nfs/dbraw/zinc/24/67/81/1074246781.db2.gz JSODCZRLMHNDTN-OAHLLOKOSA-N 0 0 445.524 -0.719 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C2(S(C)(=O)=O)CCC2)CC3)CC1 ZINC001322513395 1074246820 /nfs/dbraw/zinc/24/68/20/1074246820.db2.gz WSTPOTKTNBWZNT-HNNXBMFYSA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C2(S(C)(=O)=O)CCC2)CC3)CC1 ZINC001322513396 1074246705 /nfs/dbraw/zinc/24/67/05/1074246705.db2.gz WSTPOTKTNBWZNT-OAHLLOKOSA-N 0 0 437.566 -0.083 20 0 IBADRN COCCc1noc(CN(C)C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC001322517151 1074246772 /nfs/dbraw/zinc/24/67/72/1074246772.db2.gz SSZONDWUVIJZEF-UHFFFAOYSA-N 0 0 432.485 -0.071 20 0 IBADRN CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)C1 ZINC001322522001 1074246795 /nfs/dbraw/zinc/24/67/95/1074246795.db2.gz RFRDZSHYRGLTDQ-HNNXBMFYSA-N 0 0 438.554 -0.097 20 0 IBADRN CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)C1 ZINC001322522002 1074247178 /nfs/dbraw/zinc/24/71/78/1074247178.db2.gz RFRDZSHYRGLTDQ-OAHLLOKOSA-N 0 0 438.554 -0.097 20 0 IBADRN CN(C(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C)C1CCN(S(C)(=O)=O)CC1 ZINC001322523252 1074247237 /nfs/dbraw/zinc/24/72/37/1074247237.db2.gz QSPJGGIGUCEEES-UHFFFAOYSA-N 0 0 448.567 -0.458 20 0 IBADRN Cc1ccc(C(=O)NCC2(O)CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001322524379 1074247118 /nfs/dbraw/zinc/24/71/18/1074247118.db2.gz JZWHHRFIENPQGO-UHFFFAOYSA-N 0 0 446.547 -0.315 20 0 IBADRN O=C(c1cnn(-c2cccnc2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322532524 1074247157 /nfs/dbraw/zinc/24/71/57/1074247157.db2.gz SWFZPUONUSYJMC-INIZCTEOSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cnn(-c2cccnc2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322532525 1074247145 /nfs/dbraw/zinc/24/71/45/1074247145.db2.gz SWFZPUONUSYJMC-MRXNPFEDSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(-c3cccnc3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001322534508 1074247172 /nfs/dbraw/zinc/24/71/72/1074247172.db2.gz GGZHENOZHKRTOU-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(-c3cccnc3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322534509 1074247086 /nfs/dbraw/zinc/24/70/86/1074247086.db2.gz GGZHENOZHKRTOU-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN Cc1nc2n(n1)C[C@@H](NC(=O)COCCOCC(=O)N[C@H]1CCc3nc(C)nn3C1)CC2 ZINC001322537568 1074247246 /nfs/dbraw/zinc/24/72/46/1074247246.db2.gz VQFLAMRNGSCXTF-HOTGVXAUSA-N 0 0 446.512 -0.918 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)COCCOCC(=O)N[C@@H]1CCc3nc(C)nn3C1)CC2 ZINC001322537569 1074247215 /nfs/dbraw/zinc/24/72/15/1074247215.db2.gz VQFLAMRNGSCXTF-HZPDHXFCSA-N 0 0 446.512 -0.918 20 0 IBADRN Cc1nc2n(n1)C[C@H](NC(=O)COCCOCC(=O)N[C@H]1CCc3nc(C)nn3C1)CC2 ZINC001322537570 1074247165 /nfs/dbraw/zinc/24/71/65/1074247165.db2.gz VQFLAMRNGSCXTF-IYBDPMFKSA-N 0 0 446.512 -0.918 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)NC(=O)NC1=O ZINC001322538084 1074247109 /nfs/dbraw/zinc/24/71/09/1074247109.db2.gz LYUOJTHPSFZWDJ-AUUYWEPGSA-N 0 0 436.490 -0.026 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)NC(=O)NC1=O ZINC001322538085 1074247132 /nfs/dbraw/zinc/24/71/32/1074247132.db2.gz LYUOJTHPSFZWDJ-IFXJQAMLSA-N 0 0 436.490 -0.026 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)NC(=O)NC1=O ZINC001322538086 1074247098 /nfs/dbraw/zinc/24/70/98/1074247098.db2.gz LYUOJTHPSFZWDJ-KUHUBIRLSA-N 0 0 436.490 -0.026 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)NC(=O)NC1=O ZINC001322538087 1074247207 /nfs/dbraw/zinc/24/72/07/1074247207.db2.gz LYUOJTHPSFZWDJ-LIRRHRJNSA-N 0 0 436.490 -0.026 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC001322538537 1074247223 /nfs/dbraw/zinc/24/72/23/1074247223.db2.gz YRPUGFSSEVXWMB-INIZCTEOSA-N 0 0 433.513 -0.874 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC001322538538 1074247251 /nfs/dbraw/zinc/24/72/51/1074247251.db2.gz YRPUGFSSEVXWMB-MRXNPFEDSA-N 0 0 433.513 -0.874 20 0 IBADRN CN(C(=O)c1ccc(-n2ccnc2)nn1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001322540325 1074247065 /nfs/dbraw/zinc/24/70/65/1074247065.db2.gz HJMAHGIBMHZBCU-UHFFFAOYSA-N 0 0 426.525 -0.027 20 0 IBADRN CC1(Cn2cc(C(=O)NCCCNC(=O)c3cn(CC4(C)COC4)nn3)nn2)COC1 ZINC001322545682 1074247187 /nfs/dbraw/zinc/24/71/87/1074247187.db2.gz FXOSSNCZMBETNW-UHFFFAOYSA-N 0 0 432.485 -0.508 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CS[C@@H]1CCS(=O)(=O)C1 ZINC001322547413 1074247260 /nfs/dbraw/zinc/24/72/60/1074247260.db2.gz XOIQHBQAEFAXOQ-CABCVRRESA-N 0 0 427.548 -0.374 20 0 IBADRN NC(=O)CCNC(=O)[C@H](Cc1ccccc1)NC(=O)CS[C@H]1CCS(=O)(=O)C1 ZINC001322547414 1074247498 /nfs/dbraw/zinc/24/74/98/1074247498.db2.gz XOIQHBQAEFAXOQ-GJZGRUSLSA-N 0 0 427.548 -0.374 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CS[C@@H]1CCS(=O)(=O)C1 ZINC001322547415 1074247554 /nfs/dbraw/zinc/24/75/54/1074247554.db2.gz XOIQHBQAEFAXOQ-HUUCEWRRSA-N 0 0 427.548 -0.374 20 0 IBADRN NC(=O)CCNC(=O)[C@@H](Cc1ccccc1)NC(=O)CS[C@H]1CCS(=O)(=O)C1 ZINC001322547416 1074247521 /nfs/dbraw/zinc/24/75/21/1074247521.db2.gz XOIQHBQAEFAXOQ-LSDHHAIUSA-N 0 0 427.548 -0.374 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)O[C@H](C)C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)c2C1 ZINC001322549790 1074247581 /nfs/dbraw/zinc/24/75/81/1074247581.db2.gz HATRDRLOPXDGHX-BPLDGKMQSA-N 0 0 440.522 -0.228 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)O[C@@H](C)C(=O)N(C)[C@H]3CCS(=O)(=O)C3)c2C1 ZINC001322549792 1074247625 /nfs/dbraw/zinc/24/76/25/1074247625.db2.gz HATRDRLOPXDGHX-CFVMTHIKSA-N 0 0 440.522 -0.228 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)O[C@@H](C)C(=O)N(C)[C@@H]3CCS(=O)(=O)C3)c2C1 ZINC001322549795 1074247546 /nfs/dbraw/zinc/24/75/46/1074247546.db2.gz HATRDRLOPXDGHX-NWANDNLSSA-N 0 0 440.522 -0.228 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)O[C@H](C)C(=O)N(C)[C@H]3CCS(=O)(=O)C3)c2C1 ZINC001322549797 1074247574 /nfs/dbraw/zinc/24/75/74/1074247574.db2.gz HATRDRLOPXDGHX-YUELXQCFSA-N 0 0 440.522 -0.228 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC001322555169 1074247617 /nfs/dbraw/zinc/24/76/17/1074247617.db2.gz KJXWKMUWTHCXHO-UHFFFAOYSA-N 0 0 427.523 -0.086 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC001322557240 1074247594 /nfs/dbraw/zinc/24/75/94/1074247594.db2.gz JHFIZDZRSIEHIR-GFCCVEGCSA-N 0 0 440.540 -0.277 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1F ZINC001322557242 1074247505 /nfs/dbraw/zinc/24/75/05/1074247505.db2.gz JHFIZDZRSIEHIR-LBPRGKRZSA-N 0 0 440.540 -0.277 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)CC1 ZINC001322557726 1074247539 /nfs/dbraw/zinc/24/75/39/1074247539.db2.gz AZEMXULFMOAUJK-KBPBESRZSA-N 0 0 439.556 -0.966 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)CC1 ZINC001322557728 1074247586 /nfs/dbraw/zinc/24/75/86/1074247586.db2.gz AZEMXULFMOAUJK-KGLIPLIRSA-N 0 0 439.556 -0.966 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@@H](C(=O)N[C@H]2CCS(=O)(=O)C2)C(C)C)CC1 ZINC001322557729 1074247487 /nfs/dbraw/zinc/24/74/87/1074247487.db2.gz AZEMXULFMOAUJK-UONOGXRCSA-N 0 0 439.556 -0.966 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)O[C@@H](C(=O)N[C@@H]2CCS(=O)(=O)C2)C(C)C)CC1 ZINC001322557731 1074247524 /nfs/dbraw/zinc/24/75/24/1074247524.db2.gz AZEMXULFMOAUJK-ZIAGYGMSSA-N 0 0 439.556 -0.966 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CC[S@](=O)C[C@H]2c2cnn(C)c2)cc1 ZINC001322564257 1074247590 /nfs/dbraw/zinc/24/75/90/1074247590.db2.gz GWIAGNZGSLJMHV-HPGBDJQBSA-N 0 0 440.547 -0.021 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CC[S@@](=O)C[C@@H]2c2cnn(C)c2)cc1 ZINC001322564259 1074247558 /nfs/dbraw/zinc/24/75/58/1074247558.db2.gz GWIAGNZGSLJMHV-JYRCXFKTSA-N 0 0 440.547 -0.021 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CC[S@](=O)C[C@@H]2c2cnn(C)c2)cc1 ZINC001322564260 1074248041 /nfs/dbraw/zinc/24/80/41/1074248041.db2.gz GWIAGNZGSLJMHV-UULLZXFKSA-N 0 0 440.547 -0.021 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CC[S@@](=O)C[C@H]2c2cnn(C)c2)cc1 ZINC001322564262 1074247871 /nfs/dbraw/zinc/24/78/71/1074247871.db2.gz GWIAGNZGSLJMHV-YMGMXPECSA-N 0 0 440.547 -0.021 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001322565304 1074247901 /nfs/dbraw/zinc/24/79/01/1074247901.db2.gz LHSFTZHFIQALBO-KBPBESRZSA-N 0 0 427.614 -0.621 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322565305 1074247948 /nfs/dbraw/zinc/24/79/48/1074247948.db2.gz LHSFTZHFIQALBO-KGLIPLIRSA-N 0 0 427.614 -0.621 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001322565306 1074248032 /nfs/dbraw/zinc/24/80/32/1074248032.db2.gz LHSFTZHFIQALBO-UONOGXRCSA-N 0 0 427.614 -0.621 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322565307 1074248077 /nfs/dbraw/zinc/24/80/77/1074248077.db2.gz LHSFTZHFIQALBO-ZIAGYGMSSA-N 0 0 427.614 -0.621 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCC(S(C)(=O)=O)CC2)CC1 ZINC001322570110 1074247994 /nfs/dbraw/zinc/24/79/94/1074247994.db2.gz PLFUJGXSEPLMJB-UHFFFAOYSA-N 0 0 448.567 -0.367 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2ccccc2NS(C)(=O)=O)CC1 ZINC001322576561 1074247915 /nfs/dbraw/zinc/24/79/15/1074247915.db2.gz BBMREZZVPGZIIJ-UHFFFAOYSA-N 0 0 425.511 -0.062 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001322579212 1074247935 /nfs/dbraw/zinc/24/79/35/1074247935.db2.gz KWJLZMKOYGOAMS-AWEZNQCLSA-N 0 0 426.495 -0.906 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322579213 1074247858 /nfs/dbraw/zinc/24/78/58/1074247858.db2.gz KWJLZMKOYGOAMS-CQSZACIVSA-N 0 0 426.495 -0.906 20 0 IBADRN Cn1ncc2c1C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001322586756 1074248023 /nfs/dbraw/zinc/24/80/23/1074248023.db2.gz YNOYHFDZKIRGNR-DZGCQCFKSA-N 0 0 430.552 -0.814 20 0 IBADRN Cn1ncc2c1C[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001322586757 1074247983 /nfs/dbraw/zinc/24/79/83/1074247983.db2.gz YNOYHFDZKIRGNR-HIFRSBDPSA-N 0 0 430.552 -0.814 20 0 IBADRN Cn1ncc2c1C[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001322586758 1074248049 /nfs/dbraw/zinc/24/80/49/1074248049.db2.gz YNOYHFDZKIRGNR-UKRRQHHQSA-N 0 0 430.552 -0.814 20 0 IBADRN Cn1ncc2c1C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001322586759 1074248011 /nfs/dbraw/zinc/24/80/11/1074248011.db2.gz YNOYHFDZKIRGNR-ZFWWWQNUSA-N 0 0 430.552 -0.814 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[S@](=O)C[C@H]1c1cnn(C)c1 ZINC001322586784 1074247881 /nfs/dbraw/zinc/24/78/81/1074247881.db2.gz ZDEGVUIUALBFFT-QFOVXEIGSA-N 0 0 442.567 -0.231 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[S@@](=O)C[C@H]1c1cnn(C)c1 ZINC001322586785 1074247924 /nfs/dbraw/zinc/24/79/24/1074247924.db2.gz ZDEGVUIUALBFFT-UPNZFSRRSA-N 0 0 442.567 -0.231 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[S@@](=O)C[C@@H]1c1cnn(C)c1 ZINC001322586786 1074248016 /nfs/dbraw/zinc/24/80/16/1074248016.db2.gz ZDEGVUIUALBFFT-WQBIBCECSA-N 0 0 442.567 -0.231 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CC[S@](=O)C[C@@H]1c1cnn(C)c1 ZINC001322586787 1074248390 /nfs/dbraw/zinc/24/83/90/1074248390.db2.gz ZDEGVUIUALBFFT-YFBHESTHSA-N 0 0 442.567 -0.231 20 0 IBADRN CNC(=O)c1cccc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC001322587347 1074248476 /nfs/dbraw/zinc/24/84/76/1074248476.db2.gz BKSRIKGJBTXPBM-UHFFFAOYSA-N 0 0 432.506 -0.282 20 0 IBADRN COc1ccc(CC(=O)NC[C@H](O)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC001322588523 1074248456 /nfs/dbraw/zinc/24/84/56/1074248456.db2.gz RHLFVXXFUAXYES-INIZCTEOSA-N 0 0 438.506 -0.158 20 0 IBADRN COc1ccc(CC(=O)NC[C@@H](O)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC001322588524 1074248404 /nfs/dbraw/zinc/24/84/04/1074248404.db2.gz RHLFVXXFUAXYES-MRXNPFEDSA-N 0 0 438.506 -0.158 20 0 IBADRN O=C(NCCN1C(=O)CSC1=O)C1(C(=O)NCCN2C(=O)CSC2=O)CCC1 ZINC001322589394 1074248396 /nfs/dbraw/zinc/24/83/96/1074248396.db2.gz QMNPHRAUXQREOR-UHFFFAOYSA-N 0 0 428.492 -0.220 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCC2(C1)OCCO2 ZINC001322591186 1074248329 /nfs/dbraw/zinc/24/83/29/1074248329.db2.gz WUZWDNQTWPFGGY-HNNXBMFYSA-N 0 0 432.520 -0.256 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCC2(C1)OCCO2 ZINC001322591188 1074248419 /nfs/dbraw/zinc/24/84/19/1074248419.db2.gz WUZWDNQTWPFGGY-OAHLLOKOSA-N 0 0 432.520 -0.256 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322594654 1074248471 /nfs/dbraw/zinc/24/84/71/1074248471.db2.gz BHESMNWNIFUPFG-CVEARBPZSA-N 0 0 443.570 -0.982 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322594657 1074248321 /nfs/dbraw/zinc/24/83/21/1074248321.db2.gz BHESMNWNIFUPFG-HOTGVXAUSA-N 0 0 443.570 -0.982 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322594661 1074248439 /nfs/dbraw/zinc/24/84/39/1074248439.db2.gz BHESMNWNIFUPFG-HZPDHXFCSA-N 0 0 443.570 -0.982 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322594664 1074248464 /nfs/dbraw/zinc/24/84/64/1074248464.db2.gz BHESMNWNIFUPFG-JKSUJKDBSA-N 0 0 443.570 -0.982 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCN(C(=O)N2CCOCC2)CC1)S(C)(=O)=O ZINC001322600293 1074248369 /nfs/dbraw/zinc/24/83/69/1074248369.db2.gz FBDHAZYHYMSKTN-UHFFFAOYSA-N 0 0 433.575 -0.768 20 0 IBADRN CCN(C1CCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1)S(C)(=O)=O ZINC001322600987 1074248378 /nfs/dbraw/zinc/24/83/78/1074248378.db2.gz NQQHZBILYNJYTG-AWEZNQCLSA-N 0 0 448.567 -0.576 20 0 IBADRN CCN(C1CCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1)S(C)(=O)=O ZINC001322600994 1074248445 /nfs/dbraw/zinc/24/84/45/1074248445.db2.gz NQQHZBILYNJYTG-CQSZACIVSA-N 0 0 448.567 -0.576 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)NCc2ccc(N3CCCC3=O)cc2)C1=O ZINC001322603404 1074248413 /nfs/dbraw/zinc/24/84/13/1074248413.db2.gz WKLQXQWABKNESD-HNNXBMFYSA-N 0 0 436.490 -0.215 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)NCc2ccc(N3CCCC3=O)cc2)C1=O ZINC001322603405 1074248335 /nfs/dbraw/zinc/24/83/35/1074248335.db2.gz WKLQXQWABKNESD-OAHLLOKOSA-N 0 0 436.490 -0.215 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1cc(=O)n2ccccc2n1)S(C)(=O)=O ZINC001322603769 1074248346 /nfs/dbraw/zinc/24/83/46/1074248346.db2.gz YTJOYOCRADMPGO-UHFFFAOYSA-N 0 0 430.508 -0.993 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)Cn1ncn2nccc2c1=O ZINC001322607301 1074248357 /nfs/dbraw/zinc/24/83/57/1074248357.db2.gz MZCAIDJLASBZKA-AWEZNQCLSA-N 0 0 434.453 -0.098 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)Cn1ncn2nccc2c1=O ZINC001322607310 1074248887 /nfs/dbraw/zinc/24/88/87/1074248887.db2.gz MZCAIDJLASBZKA-CQSZACIVSA-N 0 0 434.453 -0.098 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC001322615123 1074248865 /nfs/dbraw/zinc/24/88/65/1074248865.db2.gz QVDOQBFPLWSYFR-UHFFFAOYSA-N 0 0 430.911 -0.052 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001322616635 1074248925 /nfs/dbraw/zinc/24/89/25/1074248925.db2.gz LOCIAUCCXCTMCD-BHYGNILZSA-N 0 0 447.623 -0.162 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001322616636 1074248801 /nfs/dbraw/zinc/24/88/01/1074248801.db2.gz LOCIAUCCXCTMCD-UAGQMJEPSA-N 0 0 447.623 -0.162 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)CC1 ZINC001322616637 1074248920 /nfs/dbraw/zinc/24/89/20/1074248920.db2.gz LOCIAUCCXCTMCD-USXIJHARSA-N 0 0 447.623 -0.162 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)CC1 ZINC001322616638 1074248816 /nfs/dbraw/zinc/24/88/16/1074248816.db2.gz LOCIAUCCXCTMCD-XIRDDKMYSA-N 0 0 447.623 -0.162 20 0 IBADRN O=C(CCNC(=O)C1CCC2(CC1)NC(=O)NC2=O)N1CCN(c2ncccn2)CC1 ZINC001322627205 1074248882 /nfs/dbraw/zinc/24/88/82/1074248882.db2.gz VQYOYVFGSRSUHG-UHFFFAOYSA-N 0 0 429.481 -0.600 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322627512 1074248929 /nfs/dbraw/zinc/24/89/29/1074248929.db2.gz KCTMXURLJZLFSB-BRWVUGGUSA-N 0 0 443.566 -0.150 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322627514 1074248765 /nfs/dbraw/zinc/24/87/65/1074248765.db2.gz KCTMXURLJZLFSB-GVDBMIGSSA-N 0 0 443.566 -0.150 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322627515 1074248858 /nfs/dbraw/zinc/24/88/58/1074248858.db2.gz KCTMXURLJZLFSB-IXDOHACOSA-N 0 0 443.566 -0.150 20 0 IBADRN COCC(=O)NC1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322627516 1074248809 /nfs/dbraw/zinc/24/88/09/1074248809.db2.gz KCTMXURLJZLFSB-YESZJQIVSA-N 0 0 443.566 -0.150 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)CCS(=O)(=O)CC1 ZINC001322628550 1074248953 /nfs/dbraw/zinc/24/89/53/1074248953.db2.gz LLZYFOAVIBVZDK-UHFFFAOYSA-N 0 0 431.492 -0.724 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)c1ccc(C(=O)N(C)CC(=O)N2CCOCC2)o1 ZINC001322629225 1074248852 /nfs/dbraw/zinc/24/88/52/1074248852.db2.gz HXGZHJMEPDVUQH-UHFFFAOYSA-N 0 0 436.465 -0.859 20 0 IBADRN C[C@@H](C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)S(=O)(=O)C1CCOCC1 ZINC001322629809 1074248914 /nfs/dbraw/zinc/24/89/14/1074248914.db2.gz DBEMFNUHENWMHM-HNNXBMFYSA-N 0 0 439.538 -0.386 20 0 IBADRN C[C@H](C(=O)NCCC(=O)N1CCN(c2ncccn2)CC1)S(=O)(=O)C1CCOCC1 ZINC001322629810 1074248788 /nfs/dbraw/zinc/24/87/88/1074248788.db2.gz DBEMFNUHENWMHM-OAHLLOKOSA-N 0 0 439.538 -0.386 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)CC1=O ZINC001322638070 1074248823 /nfs/dbraw/zinc/24/88/23/1074248823.db2.gz BHHXYKGWXMOJOT-KBPBESRZSA-N 0 0 442.494 -0.472 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)CC1=O ZINC001322638077 1074249275 /nfs/dbraw/zinc/24/92/75/1074249275.db2.gz BHHXYKGWXMOJOT-KGLIPLIRSA-N 0 0 442.494 -0.472 20 0 IBADRN COCCN1C[C@@H](C(=O)OCC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC001322638078 1074249433 /nfs/dbraw/zinc/24/94/33/1074249433.db2.gz BHHXYKGWXMOJOT-UONOGXRCSA-N 0 0 442.494 -0.472 20 0 IBADRN COCCN1C[C@H](C(=O)OCC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)CC1=O ZINC001322638079 1074249264 /nfs/dbraw/zinc/24/92/64/1074249264.db2.gz BHHXYKGWXMOJOT-ZIAGYGMSSA-N 0 0 442.494 -0.472 20 0 IBADRN Cc1cc(C)n2ncc(C(=O)OCC(=O)N3CCN([C@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001322638169 1074249475 /nfs/dbraw/zinc/24/94/75/1074249475.db2.gz GRRGWXRPYBYLME-HNNXBMFYSA-N 0 0 435.506 -0.166 20 0 IBADRN Cc1cc(C)n2ncc(C(=O)OCC(=O)N3CCN([C@@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001322638170 1074249255 /nfs/dbraw/zinc/24/92/55/1074249255.db2.gz GRRGWXRPYBYLME-OAHLLOKOSA-N 0 0 435.506 -0.166 20 0 IBADRN CCCCN(C(=O)CNC1(C(=O)OC)CCS(=O)(=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001322639857 1074249459 /nfs/dbraw/zinc/24/94/59/1074249459.db2.gz MQXNWMDQJMEQLP-AWEZNQCLSA-N 0 0 438.568 -0.488 20 0 IBADRN CCCCN(C(=O)CNC1(C(=O)OC)CCS(=O)(=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001322639858 1074249245 /nfs/dbraw/zinc/24/92/45/1074249245.db2.gz MQXNWMDQJMEQLP-CQSZACIVSA-N 0 0 438.568 -0.488 20 0 IBADRN COC(=O)C1(NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CCS(=O)(=O)CC1 ZINC001322640255 1074249408 /nfs/dbraw/zinc/24/94/08/1074249408.db2.gz QNGBTOGQBCVSCX-UHFFFAOYSA-N 0 0 433.527 -0.224 20 0 IBADRN COCC(=O)NCCC(=O)OCC(=O)N1CCN(C(=O)[C@@H]2COc3ccccc3O2)CC1 ZINC001322649743 1074249377 /nfs/dbraw/zinc/24/93/77/1074249377.db2.gz AFQDTORIXWFZEJ-KRWDZBQOSA-N 0 0 449.460 -0.807 20 0 IBADRN COCC(=O)NCCC(=O)OCC(=O)N1CCN(C(=O)[C@H]2COc3ccccc3O2)CC1 ZINC001322649744 1074249398 /nfs/dbraw/zinc/24/93/98/1074249398.db2.gz AFQDTORIXWFZEJ-QGZVFWFLSA-N 0 0 449.460 -0.807 20 0 IBADRN CCN(C1CCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1)S(C)(=O)=O ZINC001322650135 1074249350 /nfs/dbraw/zinc/24/93/50/1074249350.db2.gz KJHBPKGVCIKRPK-UHFFFAOYSA-N 0 0 438.616 -0.049 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001322650533 1074249448 /nfs/dbraw/zinc/24/94/48/1074249448.db2.gz NVXPHSMHKZKTGA-UHFFFAOYSA-N 0 0 432.568 -0.315 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC001322650778 1074249418 /nfs/dbraw/zinc/24/94/18/1074249418.db2.gz ROBNFNMDVVQTEN-UHFFFAOYSA-N 0 0 431.603 -0.215 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)s1 ZINC001322651196 1074249309 /nfs/dbraw/zinc/24/93/09/1074249309.db2.gz YWWYNTBKVJVBAW-UHFFFAOYSA-N 0 0 438.597 -0.036 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC001322654907 1074249390 /nfs/dbraw/zinc/24/93/90/1074249390.db2.gz QHNCQZXLPQCZAW-UHFFFAOYSA-N 0 0 437.515 -0.188 20 0 IBADRN COc1ccc(C(=O)N2CCCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001322655718 1074249338 /nfs/dbraw/zinc/24/93/38/1074249338.db2.gz YPHUCTVJFZRPMR-UHFFFAOYSA-N 0 0 432.520 -0.023 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001322656425 1074249440 /nfs/dbraw/zinc/24/94/40/1074249440.db2.gz IKQCKZUPJAPKPQ-UHFFFAOYSA-N 0 0 441.488 -0.345 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001322657193 1074249737 /nfs/dbraw/zinc/24/97/37/1074249737.db2.gz WZXPGCRXFKKBRT-DZGCQCFKSA-N 0 0 434.474 -0.288 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001322657194 1074249773 /nfs/dbraw/zinc/24/97/73/1074249773.db2.gz WZXPGCRXFKKBRT-HIFRSBDPSA-N 0 0 434.474 -0.288 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001322657195 1074249729 /nfs/dbraw/zinc/24/97/29/1074249729.db2.gz WZXPGCRXFKKBRT-UKRRQHHQSA-N 0 0 434.474 -0.288 20 0 IBADRN O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001322657196 1074249813 /nfs/dbraw/zinc/24/98/13/1074249813.db2.gz WZXPGCRXFKKBRT-ZFWWWQNUSA-N 0 0 434.474 -0.288 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(CNS(C)(=O)=O)o3)CC2)cn1C ZINC001322657200 1074249467 /nfs/dbraw/zinc/24/94/67/1074249467.db2.gz ZMOPJJCZHOCHOG-UHFFFAOYSA-N 0 0 445.523 -0.483 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@H](S(C)(=O)=O)C3)cc2)CC1 ZINC001322664065 1074249710 /nfs/dbraw/zinc/24/97/10/1074249710.db2.gz HURPIDTWHWWRQJ-KRWDZBQOSA-N 0 0 443.547 -0.202 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@@H](S(C)(=O)=O)C3)cc2)CC1 ZINC001322664068 1074249724 /nfs/dbraw/zinc/24/97/24/1074249724.db2.gz HURPIDTWHWWRQJ-QGZVFWFLSA-N 0 0 443.547 -0.202 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)cc1 ZINC001322664729 1074249821 /nfs/dbraw/zinc/24/98/21/1074249821.db2.gz UIFRQMXZZDLSBG-UHFFFAOYSA-N 0 0 432.520 -0.236 20 0 IBADRN COc1ccc(NC(=O)CN(C)Cc2nncn2C)cc1S(=O)(=O)N1CCOCC1 ZINC001322670835 1074249803 /nfs/dbraw/zinc/24/98/03/1074249803.db2.gz GVNDTMHWQYBLCA-UHFFFAOYSA-N 0 0 438.510 -0.085 20 0 IBADRN O=C(c1cnc2n(c1=O)CCS2)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001322692217 1074249669 /nfs/dbraw/zinc/24/96/69/1074249669.db2.gz VSHVBKOMRXETDY-AWEZNQCLSA-N 0 0 442.563 -0.299 20 0 IBADRN O=C(c1cnc2n(c1=O)CCS2)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001322692218 1074249746 /nfs/dbraw/zinc/24/97/46/1074249746.db2.gz VSHVBKOMRXETDY-CQSZACIVSA-N 0 0 442.563 -0.299 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N1CCC(C(=O)NCCCO)CC1 ZINC001322709025 1074249761 /nfs/dbraw/zinc/24/97/61/1074249761.db2.gz JCITWBTXOQJSOU-UHFFFAOYSA-N 0 0 432.525 -0.357 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001322721185 1074249658 /nfs/dbraw/zinc/24/96/58/1074249658.db2.gz UTTXIIPIDNNQHM-UHFFFAOYSA-N 0 0 447.535 -0.143 20 0 IBADRN CC(C)(C)OC(=O)NCc1nnnn1CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322725490 1074249751 /nfs/dbraw/zinc/24/97/51/1074249751.db2.gz JPCXCDLAIPWHOI-CYBMUJFWSA-N 0 0 443.530 -0.971 20 0 IBADRN CC(C)(C)OC(=O)NCc1nnnn1CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001322725491 1074249693 /nfs/dbraw/zinc/24/96/93/1074249693.db2.gz JPCXCDLAIPWHOI-ZDUSSCGKSA-N 0 0 443.530 -0.971 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001322742546 1074249678 /nfs/dbraw/zinc/24/96/78/1074249678.db2.gz DKJCNWVLFQRDFS-UHFFFAOYSA-N 0 0 441.572 -0.968 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001322744985 1074249780 /nfs/dbraw/zinc/24/97/80/1074249780.db2.gz YTPXPSDIZSATEY-UHFFFAOYSA-N 0 0 445.929 -0.004 20 0 IBADRN CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(=O)(=O)CCCOC)CC1 ZINC001322745687 1074250248 /nfs/dbraw/zinc/25/02/48/1074250248.db2.gz UAEJLLCVIIOMQV-AWEZNQCLSA-N 0 0 435.572 -0.312 20 0 IBADRN CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(=O)(=O)CCCOC)CC1 ZINC001322745690 1074250213 /nfs/dbraw/zinc/25/02/13/1074250213.db2.gz UAEJLLCVIIOMQV-CQSZACIVSA-N 0 0 435.572 -0.312 20 0 IBADRN CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC001322746083 1074250221 /nfs/dbraw/zinc/25/02/21/1074250221.db2.gz XRLBRHSHGQQSJZ-HNNXBMFYSA-N 0 0 426.543 -0.819 20 0 IBADRN CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC001322746084 1074250153 /nfs/dbraw/zinc/25/01/53/1074250153.db2.gz XRLBRHSHGQQSJZ-OAHLLOKOSA-N 0 0 426.543 -0.819 20 0 IBADRN O=C1CNC(=O)N1Cc1nnc(CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)o1 ZINC001322746206 1074250240 /nfs/dbraw/zinc/25/02/40/1074250240.db2.gz FYDWESGFWOFZLQ-UHFFFAOYSA-N 0 0 435.462 -0.073 20 0 IBADRN CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001322746561 1074250177 /nfs/dbraw/zinc/25/01/77/1074250177.db2.gz OCXYCEPLMXRZAQ-CABCVRRESA-N 0 0 447.583 -0.169 20 0 IBADRN CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001322746564 1074250209 /nfs/dbraw/zinc/25/02/09/1074250209.db2.gz OCXYCEPLMXRZAQ-GJZGRUSLSA-N 0 0 447.583 -0.169 20 0 IBADRN CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001322746565 1074250147 /nfs/dbraw/zinc/25/01/47/1074250147.db2.gz OCXYCEPLMXRZAQ-HUUCEWRRSA-N 0 0 447.583 -0.169 20 0 IBADRN CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001322746566 1074250265 /nfs/dbraw/zinc/25/02/65/1074250265.db2.gz OCXYCEPLMXRZAQ-LSDHHAIUSA-N 0 0 447.583 -0.169 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@H](O)CN2CCCC2=O)cc1 ZINC001322753790 1074250279 /nfs/dbraw/zinc/25/02/79/1074250279.db2.gz JWZKCGHHEPWINY-HNNXBMFYSA-N 0 0 426.495 -0.635 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NC[C@@H](O)CN2CCCC2=O)cc1 ZINC001322753792 1074250253 /nfs/dbraw/zinc/25/02/53/1074250253.db2.gz JWZKCGHHEPWINY-OAHLLOKOSA-N 0 0 426.495 -0.635 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@H](O)CN2CCCC2=O)CC1 ZINC001322754632 1074250224 /nfs/dbraw/zinc/25/02/24/1074250224.db2.gz XBJOZKQKFPSEEX-HNNXBMFYSA-N 0 0 428.511 -0.208 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@@H](O)CN2CCCC2=O)CC1 ZINC001322754634 1074250171 /nfs/dbraw/zinc/25/01/71/1074250171.db2.gz XBJOZKQKFPSEEX-OAHLLOKOSA-N 0 0 428.511 -0.208 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC001322755730 1074250268 /nfs/dbraw/zinc/25/02/68/1074250268.db2.gz NFVJVSQVMLPMPV-UHFFFAOYSA-N 0 0 425.507 -0.004 20 0 IBADRN COCC(=O)NC1CCN(CC(=O)N2CCN(c3ncnc4c3cnn4C)CC2)CC1 ZINC001322757500 1074250196 /nfs/dbraw/zinc/25/01/96/1074250196.db2.gz KVYVHPOECOEMRK-UHFFFAOYSA-N 0 0 430.513 -0.761 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCCc2nncn2C)c1OC ZINC001322758842 1074250230 /nfs/dbraw/zinc/25/02/30/1074250230.db2.gz WHQOEHWPLYSPTR-UHFFFAOYSA-N 0 0 439.494 -0.174 20 0 IBADRN CCN(CC(=O)NCc1cccs1)C(=O)COC(=O)Cn1ncn2nccc2c1=O ZINC001322765165 1074250162 /nfs/dbraw/zinc/25/01/62/1074250162.db2.gz BHUSPXDJOSMKSM-UHFFFAOYSA-N 0 0 432.462 -0.339 20 0 IBADRN COCCN(C(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O)[C@H]1CCS(=O)(=O)C1 ZINC001322770607 1074250185 /nfs/dbraw/zinc/25/01/85/1074250185.db2.gz VXZZXFQLCIZTTM-KRWDZBQOSA-N 0 0 437.518 -0.013 20 0 IBADRN COCCN(C(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001322770609 1074250591 /nfs/dbraw/zinc/25/05/91/1074250591.db2.gz VXZZXFQLCIZTTM-QGZVFWFLSA-N 0 0 437.518 -0.013 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NC1CCC2(CC1)NC(=O)NC2=O ZINC001322774524 1074250558 /nfs/dbraw/zinc/25/05/58/1074250558.db2.gz UKPCVURORGSOIK-UHFFFAOYSA-N 0 0 429.481 -0.458 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCCO1 ZINC001322775226 1074250650 /nfs/dbraw/zinc/25/06/50/1074250650.db2.gz WDWAXVLOYPCTFJ-CABCVRRESA-N 0 0 433.556 -0.596 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCCO1 ZINC001322775227 1074250632 /nfs/dbraw/zinc/25/06/32/1074250632.db2.gz WDWAXVLOYPCTFJ-GJZGRUSLSA-N 0 0 433.556 -0.596 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@H]1CCCO1 ZINC001322775228 1074250548 /nfs/dbraw/zinc/25/05/48/1074250548.db2.gz WDWAXVLOYPCTFJ-HUUCEWRRSA-N 0 0 433.556 -0.596 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C[C@@H]1CCCO1 ZINC001322775229 1074250697 /nfs/dbraw/zinc/25/06/97/1074250697.db2.gz WDWAXVLOYPCTFJ-LSDHHAIUSA-N 0 0 433.556 -0.596 20 0 IBADRN NC(=O)N1CCCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001322780372 1074250494 /nfs/dbraw/zinc/25/04/94/1074250494.db2.gz MCSNCFDBGHZKMK-UHFFFAOYSA-N 0 0 439.538 -0.590 20 0 IBADRN O=C(C1CCN(CC(F)F)CC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322781345 1074250574 /nfs/dbraw/zinc/25/05/74/1074250574.db2.gz PHFFUWBJYQPIHO-AWEZNQCLSA-N 0 0 443.538 -0.375 20 0 IBADRN O=C(C1CCN(CC(F)F)CC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322781347 1074250600 /nfs/dbraw/zinc/25/06/00/1074250600.db2.gz PHFFUWBJYQPIHO-CQSZACIVSA-N 0 0 443.538 -0.375 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)NCc1ccccc1S(=O)(=O)N1CCCCC1 ZINC001322784333 1074250616 /nfs/dbraw/zinc/25/06/16/1074250616.db2.gz MCTBOLPADXBJGV-UHFFFAOYSA-N 0 0 446.595 -0.042 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001322784338 1074250641 /nfs/dbraw/zinc/25/06/41/1074250641.db2.gz MHRXJIMWGWOTFH-UHFFFAOYSA-N 0 0 436.531 -0.860 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001322784711 1074250581 /nfs/dbraw/zinc/25/05/81/1074250581.db2.gz QEHUXSHWISUEMT-UHFFFAOYSA-N 0 0 432.568 -0.858 20 0 IBADRN C[C@@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N(C)CCNS(C)(=O)=O ZINC001322784802 1074250607 /nfs/dbraw/zinc/25/06/07/1074250607.db2.gz VLDBEXJANSZPBC-AWEZNQCLSA-N 0 0 448.567 -0.485 20 0 IBADRN C[C@H](C(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)N(C)CCNS(C)(=O)=O ZINC001322784803 1074250530 /nfs/dbraw/zinc/25/05/30/1074250530.db2.gz VLDBEXJANSZPBC-CQSZACIVSA-N 0 0 448.567 -0.485 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC[C@@](O)(C(F)(F)F)CC2)[C@H](O)[C@@H]1O ZINC001322786248 1074251095 /nfs/dbraw/zinc/25/10/95/1074251095.db2.gz RIMUBAINPYXGGV-FKHLYAMYSA-N 0 0 433.387 -0.279 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CCC[C@](O)(C(F)(F)F)CC2)[C@H](O)[C@@H]1O ZINC001322786249 1074250999 /nfs/dbraw/zinc/25/09/99/1074250999.db2.gz RIMUBAINPYXGGV-OBOJTPGXSA-N 0 0 433.387 -0.279 20 0 IBADRN COc1ccc(CC(=O)N2CCC(O)(C(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001322790774 1074251123 /nfs/dbraw/zinc/25/11/23/1074251123.db2.gz DITRDXABJBQYBV-UHFFFAOYSA-N 0 0 441.506 -0.903 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001322795482 1074251014 /nfs/dbraw/zinc/25/10/14/1074251014.db2.gz JJTLGVZVWDKBBI-UHFFFAOYSA-N 0 0 436.581 -0.115 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C2CCS(=O)(=O)CC2)CC1 ZINC001322804787 1074251045 /nfs/dbraw/zinc/25/10/45/1074251045.db2.gz BRVLWQXIGUVBFM-UHFFFAOYSA-N 0 0 436.494 -0.620 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001322806121 1074251144 /nfs/dbraw/zinc/25/11/44/1074251144.db2.gz DBFJGSBQJFPBNZ-UHFFFAOYSA-N 0 0 428.536 -0.145 20 0 IBADRN COc1ccc(C(=O)N(C)CCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001322807191 1074250936 /nfs/dbraw/zinc/25/09/36/1074250936.db2.gz IXYFYERMDPLBAF-UHFFFAOYSA-N 0 0 435.524 -0.663 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nc2ccccc2c(N(C)CCNS(C)(=O)=O)n1 ZINC001322809446 1074250983 /nfs/dbraw/zinc/25/09/83/1074250983.db2.gz ZMZJKSSUCGFBJC-UHFFFAOYSA-N 0 0 430.556 -0.399 20 0 IBADRN Cc1nc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c(Br)n1C ZINC001322811804 1074251039 /nfs/dbraw/zinc/25/10/39/1074251039.db2.gz PKZTXFAWKAACEX-SECBINFHSA-N 0 0 443.345 -0.585 20 0 IBADRN Cc1nc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c(Br)n1C ZINC001322811810 1074250965 /nfs/dbraw/zinc/25/09/65/1074250965.db2.gz PKZTXFAWKAACEX-VIFPVBQESA-N 0 0 443.345 -0.585 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001322827812 1074251110 /nfs/dbraw/zinc/25/11/10/1074251110.db2.gz GLSXKQRYGWSCOU-UHFFFAOYSA-N 0 0 434.540 -0.602 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC001322828481 1074251027 /nfs/dbraw/zinc/25/10/27/1074251027.db2.gz LLKTZUSAZZKVEV-UHFFFAOYSA-N 0 0 433.556 -0.364 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2nc(C)n(C)c2Br)CCS(=O)(=O)CC1 ZINC001322829309 1074251132 /nfs/dbraw/zinc/25/11/32/1074251132.db2.gz BXMXRAIZDWUCCL-UHFFFAOYSA-N 0 0 444.329 -0.110 20 0 IBADRN C[C@@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)C1(S(C)(=O)=O)CC1 ZINC001322830089 1074251118 /nfs/dbraw/zinc/25/11/18/1074251118.db2.gz MQNMCPARKKRGKU-GFCCVEGCSA-N 0 0 433.556 -0.162 20 0 IBADRN C[C@H](NC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)C1(S(C)(=O)=O)CC1 ZINC001322830090 1074251069 /nfs/dbraw/zinc/25/10/69/1074251069.db2.gz MQNMCPARKKRGKU-LBPRGKRZSA-N 0 0 433.556 -0.162 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)C2CCN(S(=O)(=O)NC)CC2)CC1 ZINC001322833326 1074251085 /nfs/dbraw/zinc/25/10/85/1074251085.db2.gz MYFXIMFRUKJNKU-UHFFFAOYSA-N 0 0 432.543 -0.577 20 0 IBADRN O=C(CN1CCC(N2CCCCC2=O)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322834246 1074251101 /nfs/dbraw/zinc/25/11/01/1074251101.db2.gz CODYYLPXQNZMLP-GOSISDBHSA-N 0 0 426.583 -0.205 20 0 IBADRN O=C(CN1CCC(N2CCCCC2=O)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001322834247 1074250958 /nfs/dbraw/zinc/25/09/58/1074250958.db2.gz CODYYLPXQNZMLP-SFHVURJKSA-N 0 0 426.583 -0.205 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001322836122 1074250975 /nfs/dbraw/zinc/25/09/75/1074250975.db2.gz IIRGYCZHGYXDKZ-GDBMZVCRSA-N 0 0 449.595 -0.820 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001322836123 1074251588 /nfs/dbraw/zinc/25/15/88/1074251588.db2.gz IIRGYCZHGYXDKZ-GOEBONIOSA-N 0 0 449.595 -0.820 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC001322836125 1074251562 /nfs/dbraw/zinc/25/15/62/1074251562.db2.gz IIRGYCZHGYXDKZ-HOCLYGCPSA-N 0 0 449.595 -0.820 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC001322836127 1074251590 /nfs/dbraw/zinc/25/15/90/1074251590.db2.gz IIRGYCZHGYXDKZ-ZBFHGGJFSA-N 0 0 449.595 -0.820 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)n12 ZINC001322837365 1074251543 /nfs/dbraw/zinc/25/15/43/1074251543.db2.gz OIPYVVLREFVXQK-CYBMUJFWSA-N 0 0 432.506 -0.375 20 0 IBADRN Cc1ccc2c(c1)c(=O)n(C)c1nnc(CN(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)n12 ZINC001322837371 1074251502 /nfs/dbraw/zinc/25/15/02/1074251502.db2.gz OIPYVVLREFVXQK-ZDUSSCGKSA-N 0 0 432.506 -0.375 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001322837929 1074251572 /nfs/dbraw/zinc/25/15/72/1074251572.db2.gz ZHQNHJYSOQNYFQ-UHFFFAOYSA-N 0 0 426.543 -0.838 20 0 IBADRN O=C(CCSCCC(=O)N[C@H]1CCCS(=O)(=O)C1)N[C@H]1CCCS(=O)(=O)C1 ZINC001322859402 1074251549 /nfs/dbraw/zinc/25/15/49/1074251549.db2.gz JOMCLSNPVYFHSS-KBPBESRZSA-N 0 0 440.609 -0.113 20 0 IBADRN O=C(CCSCCC(=O)N[C@H]1CCCS(=O)(=O)C1)N[C@@H]1CCCS(=O)(=O)C1 ZINC001322859403 1074251567 /nfs/dbraw/zinc/25/15/67/1074251567.db2.gz JOMCLSNPVYFHSS-OKILXGFUSA-N 0 0 440.609 -0.113 20 0 IBADRN O=C(CCSCCC(=O)N[C@@H]1CCCS(=O)(=O)C1)N[C@@H]1CCCS(=O)(=O)C1 ZINC001322859404 1074251440 /nfs/dbraw/zinc/25/14/40/1074251440.db2.gz JOMCLSNPVYFHSS-ZIAGYGMSSA-N 0 0 440.609 -0.113 20 0 IBADRN CC(C)N(CC(F)(F)F)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322860817 1074251470 /nfs/dbraw/zinc/25/14/70/1074251470.db2.gz GOOSSXVAIZLGBH-AWEZNQCLSA-N 0 0 442.504 -0.293 20 0 IBADRN CC(C)N(CC(F)(F)F)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322860818 1074251577 /nfs/dbraw/zinc/25/15/77/1074251577.db2.gz GOOSSXVAIZLGBH-CQSZACIVSA-N 0 0 442.504 -0.293 20 0 IBADRN O=C(CN1CCN(CCn2cnc3ccccc3c2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001322861256 1074251536 /nfs/dbraw/zinc/25/15/36/1074251536.db2.gz IPPGBIIZAIQYGB-INIZCTEOSA-N 0 0 433.534 -0.683 20 0 IBADRN O=C(CN1CCN(CCn2cnc3ccccc3c2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322861257 1074251481 /nfs/dbraw/zinc/25/14/81/1074251481.db2.gz IPPGBIIZAIQYGB-MRXNPFEDSA-N 0 0 433.534 -0.683 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001322861969 1074251580 /nfs/dbraw/zinc/25/15/80/1074251580.db2.gz LGBSFMKOPBFVNI-CABCVRRESA-N 0 0 442.563 -0.710 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001322861972 1074251511 /nfs/dbraw/zinc/25/15/11/1074251511.db2.gz LGBSFMKOPBFVNI-GJZGRUSLSA-N 0 0 442.563 -0.710 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001322861976 1074251524 /nfs/dbraw/zinc/25/15/24/1074251524.db2.gz LGBSFMKOPBFVNI-HUUCEWRRSA-N 0 0 442.563 -0.710 20 0 IBADRN NC(=O)CSc1ccccc1NC(=O)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001322861980 1074251488 /nfs/dbraw/zinc/25/14/88/1074251488.db2.gz LGBSFMKOPBFVNI-LSDHHAIUSA-N 0 0 442.563 -0.710 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(CC(=O)N3CCC(C(N)=O)CC3)CC2)cc1 ZINC001322862109 1074251802 /nfs/dbraw/zinc/25/18/02/1074251802.db2.gz NJNLTYLHBFEAFO-UHFFFAOYSA-N 0 0 431.537 -0.234 20 0 IBADRN C[C@H](C(=O)NCCc1cccs1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322863186 1074251950 /nfs/dbraw/zinc/25/19/50/1074251950.db2.gz SVQRXJLRLUAAIK-CVEARBPZSA-N 0 0 442.607 -0.284 20 0 IBADRN C[C@@H](C(=O)NCCc1cccs1)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001322863187 1074251990 /nfs/dbraw/zinc/25/19/90/1074251990.db2.gz SVQRXJLRLUAAIK-HOTGVXAUSA-N 0 0 442.607 -0.284 20 0 IBADRN C[C@H](C(=O)NCCc1cccs1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322863188 1074251999 /nfs/dbraw/zinc/25/19/99/1074251999.db2.gz SVQRXJLRLUAAIK-HZPDHXFCSA-N 0 0 442.607 -0.284 20 0 IBADRN C[C@@H](C(=O)NCCc1cccs1)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001322863189 1074251966 /nfs/dbraw/zinc/25/19/66/1074251966.db2.gz SVQRXJLRLUAAIK-JKSUJKDBSA-N 0 0 442.607 -0.284 20 0 IBADRN O=C(CN1CCN(C[C@H](O)COCc2ccccc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322869100 1074252008 /nfs/dbraw/zinc/25/20/08/1074252008.db2.gz LDEUTAXALCINIX-MSOLQXFVSA-N 0 0 443.541 -0.376 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)COCc2ccccc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001322869102 1074251761 /nfs/dbraw/zinc/25/17/61/1074251761.db2.gz LDEUTAXALCINIX-QZTJIDSGSA-N 0 0 443.541 -0.376 20 0 IBADRN O=C(CN1CCN(C[C@H](O)COCc2ccccc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001322869104 1074251821 /nfs/dbraw/zinc/25/18/21/1074251821.db2.gz LDEUTAXALCINIX-ROUUACIJSA-N 0 0 443.541 -0.376 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)COCc2ccccc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001322869106 1074251865 /nfs/dbraw/zinc/25/18/65/1074251865.db2.gz LDEUTAXALCINIX-ZWKOTPCHSA-N 0 0 443.541 -0.376 20 0 IBADRN COc1cc(NC(=O)CCN2CCN(CCS(N)(=O)=O)CC2)cc(OC)c1OC ZINC001322869971 1074251927 /nfs/dbraw/zinc/25/19/27/1074251927.db2.gz SBCYREXJSHZDRJ-UHFFFAOYSA-N 0 0 430.527 -0.053 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001322870907 1074251840 /nfs/dbraw/zinc/25/18/40/1074251840.db2.gz AIQQMFWPKOIWJU-CHWSQXEVSA-N 0 0 432.572 -0.408 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001322870908 1074251794 /nfs/dbraw/zinc/25/17/94/1074251794.db2.gz AIQQMFWPKOIWJU-OLZOCXBDSA-N 0 0 432.572 -0.408 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001322870909 1074251783 /nfs/dbraw/zinc/25/17/83/1074251783.db2.gz AIQQMFWPKOIWJU-QWHCGFSZSA-N 0 0 432.572 -0.408 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001322870910 1074251920 /nfs/dbraw/zinc/25/19/20/1074251920.db2.gz AIQQMFWPKOIWJU-STQMWFEESA-N 0 0 432.572 -0.408 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001322874734 1074251831 /nfs/dbraw/zinc/25/18/31/1074251831.db2.gz RYKJSDOZVSKUEN-AWEZNQCLSA-N 0 0 431.536 -0.663 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001322874737 1074251910 /nfs/dbraw/zinc/25/19/10/1074251910.db2.gz RYKJSDOZVSKUEN-CQSZACIVSA-N 0 0 431.536 -0.663 20 0 IBADRN CS(=O)(=O)CCNC(=S)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001322882870 1074252314 /nfs/dbraw/zinc/25/23/14/1074252314.db2.gz MQIOHUCYNDQOEK-UHFFFAOYSA-N 0 0 433.577 -0.233 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)Nc2cc(S(C)(=O)=O)ccc2F)CC1 ZINC001322886540 1074252418 /nfs/dbraw/zinc/25/24/18/1074252418.db2.gz XBFSMAJDLKBFQH-UHFFFAOYSA-N 0 0 430.502 -0.452 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1)S(C)(=O)=O ZINC001322901548 1074252361 /nfs/dbraw/zinc/25/23/61/1074252361.db2.gz UZFRLPLTZICOOJ-HNNXBMFYSA-N 0 0 438.616 -0.192 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)C1)S(C)(=O)=O ZINC001322901549 1074252331 /nfs/dbraw/zinc/25/23/31/1074252331.db2.gz UZFRLPLTZICOOJ-OAHLLOKOSA-N 0 0 438.616 -0.192 20 0 IBADRN CN(C)C(=O)N1CCC(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001322903898 1074252376 /nfs/dbraw/zinc/25/23/76/1074252376.db2.gz FWGMOIOGMQSSQX-INIZCTEOSA-N 0 0 444.554 -0.746 20 0 IBADRN CN(C)C(=O)N1CCC(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001322903904 1074252397 /nfs/dbraw/zinc/25/23/97/1074252397.db2.gz FWGMOIOGMQSSQX-MRXNPFEDSA-N 0 0 444.554 -0.746 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C(=O)N(C)C ZINC001322905648 1074252435 /nfs/dbraw/zinc/25/24/35/1074252435.db2.gz ZIWYLTFUBLZYDT-GFCCVEGCSA-N 0 0 447.535 -0.679 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1C(=O)N(C)C ZINC001322905649 1074252308 /nfs/dbraw/zinc/25/23/08/1074252308.db2.gz ZIWYLTFUBLZYDT-LBPRGKRZSA-N 0 0 447.535 -0.679 20 0 IBADRN COC(OC)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001322912101 1074252300 /nfs/dbraw/zinc/25/23/00/1074252300.db2.gz GXXGLXAMDZSMTL-CYBMUJFWSA-N 0 0 429.495 -0.368 20 0 IBADRN COC(OC)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001322912104 1074252448 /nfs/dbraw/zinc/25/24/48/1074252448.db2.gz GXXGLXAMDZSMTL-ZDUSSCGKSA-N 0 0 429.495 -0.368 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1F ZINC001322917966 1074252341 /nfs/dbraw/zinc/25/23/41/1074252341.db2.gz AOWIKAZFMUOFCA-LLVKDONJSA-N 0 0 448.494 -0.436 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1F ZINC001322917968 1074252452 /nfs/dbraw/zinc/25/24/52/1074252452.db2.gz AOWIKAZFMUOFCA-NSHDSACASA-N 0 0 448.494 -0.436 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)CN3CCC(=O)NC3=O)cc2)CC1 ZINC001322918392 1074252371 /nfs/dbraw/zinc/25/23/71/1074252371.db2.gz FBFNHOWOZMKPOZ-UHFFFAOYSA-N 0 0 438.462 -0.749 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC001322929868 1074252433 /nfs/dbraw/zinc/25/24/33/1074252433.db2.gz PALRKPRIZADYQZ-GFCCVEGCSA-N 0 0 425.554 -0.394 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC001322929871 1074252351 /nfs/dbraw/zinc/25/23/51/1074252351.db2.gz PALRKPRIZADYQZ-LBPRGKRZSA-N 0 0 425.554 -0.394 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)c2=O ZINC001322948412 1074252775 /nfs/dbraw/zinc/25/27/75/1074252775.db2.gz LZTMMOPRIOWZGF-SECBINFHSA-N 0 0 447.315 -0.710 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)c2=O ZINC001322948413 1074252914 /nfs/dbraw/zinc/25/29/14/1074252914.db2.gz LZTMMOPRIOWZGF-VIFPVBQESA-N 0 0 447.315 -0.710 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC001322962986 1074252920 /nfs/dbraw/zinc/25/29/20/1074252920.db2.gz ZQDGHCKOCNAGKS-AWEZNQCLSA-N 0 0 426.495 -0.852 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCO1 ZINC001322962987 1074252886 /nfs/dbraw/zinc/25/28/86/1074252886.db2.gz ZQDGHCKOCNAGKS-CQSZACIVSA-N 0 0 426.495 -0.852 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2ccc(F)c(OC)c2)c(=O)n1CC(=O)NCCOC ZINC001322984893 1074252869 /nfs/dbraw/zinc/25/28/69/1074252869.db2.gz JGNAQCSEXUCAGR-UHFFFAOYSA-N 0 0 438.477 -0.008 20 0 IBADRN CN1CCN(C(=O)C2CCN(S(=O)(=O)c3ccc4[nH]c(=O)c(=O)[nH]c4c3)CC2)CC1 ZINC001322990433 1074252832 /nfs/dbraw/zinc/25/28/32/1074252832.db2.gz ZJXTZFUDPMPKJC-UHFFFAOYSA-N 0 0 435.506 -0.609 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3cncn3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323007489 1074252881 /nfs/dbraw/zinc/25/28/81/1074252881.db2.gz BIPRDWZYLKMHEA-GOSISDBHSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cn3cncn3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001323007492 1074252841 /nfs/dbraw/zinc/25/28/41/1074252841.db2.gz BIPRDWZYLKMHEA-SFHVURJKSA-N 0 0 446.533 -0.613 20 0 IBADRN Cc1csc(=O)n1CCC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323007684 1074252822 /nfs/dbraw/zinc/25/28/22/1074252822.db2.gz DGVZWYOPFKMCEM-AWEZNQCLSA-N 0 0 430.552 -0.944 20 0 IBADRN Cc1csc(=O)n1CCC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323007688 1074252877 /nfs/dbraw/zinc/25/28/77/1074252877.db2.gz DGVZWYOPFKMCEM-CQSZACIVSA-N 0 0 430.552 -0.944 20 0 IBADRN CCc1noc(CCCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323008262 1074252893 /nfs/dbraw/zinc/25/28/93/1074252893.db2.gz FSLCGVIJDXIONF-AWEZNQCLSA-N 0 0 427.527 -0.598 20 0 IBADRN CCc1noc(CCCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323008269 1074252855 /nfs/dbraw/zinc/25/28/55/1074252855.db2.gz FSLCGVIJDXIONF-CQSZACIVSA-N 0 0 427.527 -0.598 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cnn1-c1ccccn1 ZINC001323008449 1074252910 /nfs/dbraw/zinc/25/29/10/1074252910.db2.gz GYBMFYIYDYUSAD-INIZCTEOSA-N 0 0 446.533 -0.363 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cnn1-c1ccccn1 ZINC001323008450 1074252787 /nfs/dbraw/zinc/25/27/87/1074252787.db2.gz GYBMFYIYDYUSAD-MRXNPFEDSA-N 0 0 446.533 -0.363 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCNC3=O)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001323009577 1074252861 /nfs/dbraw/zinc/25/28/61/1074252861.db2.gz QRZBFJREKYCZKX-INIZCTEOSA-N 0 0 449.533 -0.723 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(N3CCNC3=O)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323009579 1074252800 /nfs/dbraw/zinc/25/28/00/1074252800.db2.gz QRZBFJREKYCZKX-MRXNPFEDSA-N 0 0 449.533 -0.723 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC001323020020 1074252900 /nfs/dbraw/zinc/25/29/00/1074252900.db2.gz LZFGEEIQGXNNIH-SECBINFHSA-N 0 0 426.314 -0.140 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC001323020025 1074252918 /nfs/dbraw/zinc/25/29/18/1074252918.db2.gz LZFGEEIQGXNNIH-VIFPVBQESA-N 0 0 426.314 -0.140 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001323021251 1074252807 /nfs/dbraw/zinc/25/28/07/1074252807.db2.gz STDNJRCVDSKEQC-UHFFFAOYSA-N 0 0 439.556 -0.438 20 0 IBADRN CN1c2ccccc2[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC001323022833 1074252814 /nfs/dbraw/zinc/25/28/14/1074252814.db2.gz DVAUELGOKVVUDN-DOTOQJQBSA-N 0 0 448.545 -0.416 20 0 IBADRN CN1c2ccccc2[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC001323022834 1074253123 /nfs/dbraw/zinc/25/31/23/1074253123.db2.gz DVAUELGOKVVUDN-NVXWUHKLSA-N 0 0 448.545 -0.416 20 0 IBADRN CN1c2ccccc2[C@@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC001323022835 1074253066 /nfs/dbraw/zinc/25/30/66/1074253066.db2.gz DVAUELGOKVVUDN-RDJZCZTQSA-N 0 0 448.545 -0.416 20 0 IBADRN CN1c2ccccc2[C@@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1=O ZINC001323022836 1074253081 /nfs/dbraw/zinc/25/30/81/1074253081.db2.gz DVAUELGOKVVUDN-WBVHZDCISA-N 0 0 448.545 -0.416 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@@H]1CC(=O)N([C@@H]3CCS(=O)(=O)C3)C1)CC2 ZINC001323023985 1074253254 /nfs/dbraw/zinc/25/32/54/1074253254.db2.gz SSWQXHYSPBMTEO-CHWSQXEVSA-N 0 0 427.504 -0.741 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@@H]1CC(=O)N([C@H]3CCS(=O)(=O)C3)C1)CC2 ZINC001323023986 1074253286 /nfs/dbraw/zinc/25/32/86/1074253286.db2.gz SSWQXHYSPBMTEO-OLZOCXBDSA-N 0 0 427.504 -0.741 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@H]1CC(=O)N([C@@H]3CCS(=O)(=O)C3)C1)CC2 ZINC001323023987 1074253283 /nfs/dbraw/zinc/25/32/83/1074253283.db2.gz SSWQXHYSPBMTEO-QWHCGFSZSA-N 0 0 427.504 -0.741 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@H]1CC(=O)N([C@H]3CCS(=O)(=O)C3)C1)CC2 ZINC001323023988 1074253163 /nfs/dbraw/zinc/25/31/63/1074253163.db2.gz SSWQXHYSPBMTEO-STQMWFEESA-N 0 0 427.504 -0.741 20 0 IBADRN Cn1c2cccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c2oc1=O ZINC001323024516 1074253215 /nfs/dbraw/zinc/25/32/15/1074253215.db2.gz KFGLOTZBHHVIFY-CYBMUJFWSA-N 0 0 436.490 -0.807 20 0 IBADRN Cn1c2cccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c2oc1=O ZINC001323024517 1074253177 /nfs/dbraw/zinc/25/31/77/1074253177.db2.gz KFGLOTZBHHVIFY-ZDUSSCGKSA-N 0 0 436.490 -0.807 20 0 IBADRN CN(CC(=O)NCc1ccc(S(=O)(=O)CCO)cc1)S(=O)(=O)c1cccnc1 ZINC001323026287 1074253274 /nfs/dbraw/zinc/25/32/74/1074253274.db2.gz HHWUGZUYEIUOFC-UHFFFAOYSA-N 0 0 427.504 -0.216 20 0 IBADRN O=C(COC(=O)c1cc(-n2cccn2)ccn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001323037650 1074253223 /nfs/dbraw/zinc/25/32/23/1074253223.db2.gz FQZHXENDCBOEIW-INIZCTEOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1cc(-n2cccn2)ccn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323037652 1074253264 /nfs/dbraw/zinc/25/32/64/1074253264.db2.gz FQZHXENDCBOEIW-MRXNPFEDSA-N 0 0 433.490 -0.245 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001323038325 1074253134 /nfs/dbraw/zinc/25/31/34/1074253134.db2.gz QHPYSUOTMHDISX-UHFFFAOYSA-N 0 0 445.586 -0.577 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC(NC(=O)c3cc(C)on3)CC2)CC1 ZINC001323039253 1074253113 /nfs/dbraw/zinc/25/31/13/1074253113.db2.gz VSFZFDZQMFLUBY-UHFFFAOYSA-N 0 0 434.497 -0.032 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001323039374 1074253205 /nfs/dbraw/zinc/25/32/05/1074253205.db2.gz YDVCPNYAAMUAKC-UHFFFAOYSA-N 0 0 438.572 -0.754 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001323039486 1074253153 /nfs/dbraw/zinc/25/31/53/1074253153.db2.gz ZFDOBODSIVGRIE-UHFFFAOYSA-N 0 0 440.588 -0.413 20 0 IBADRN CN(CCNS(C)(=O)=O)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001323039550 1074253093 /nfs/dbraw/zinc/25/30/93/1074253093.db2.gz RURFXBUIFUIWRF-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)NC2CCN(S(=O)(=O)CC)CC2)CC1 ZINC001323040039 1074253294 /nfs/dbraw/zinc/25/32/94/1074253294.db2.gz UFVUDBJTXAPSTC-UHFFFAOYSA-N 0 0 432.543 -0.099 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc2c1CCC2 ZINC001323041661 1074253236 /nfs/dbraw/zinc/25/32/36/1074253236.db2.gz UQUNHTHOUDHUTG-UHFFFAOYSA-N 0 0 436.534 -0.561 20 0 IBADRN CNS(=O)(=O)Cc1nnc(CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)o1 ZINC001323046549 1074253187 /nfs/dbraw/zinc/25/31/87/1074253187.db2.gz LWRVTIXXFHTDEO-UHFFFAOYSA-N 0 0 429.524 -0.066 20 0 IBADRN COCC(=O)N(C)CC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001323050926 1074253558 /nfs/dbraw/zinc/25/35/58/1074253558.db2.gz CSLPOESSFPXSKA-CYBMUJFWSA-N 0 0 433.508 -0.805 20 0 IBADRN COCC(=O)N(C)CC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001323050928 1074253627 /nfs/dbraw/zinc/25/36/27/1074253627.db2.gz CSLPOESSFPXSKA-ZDUSSCGKSA-N 0 0 433.508 -0.805 20 0 IBADRN COCc1nc(C)c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001323054312 1074253657 /nfs/dbraw/zinc/25/36/57/1074253657.db2.gz XXWHOBAVXYKUHT-GFCCVEGCSA-N 0 0 437.565 -0.127 20 0 IBADRN COCc1nc(C)c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001323054321 1074253649 /nfs/dbraw/zinc/25/36/49/1074253649.db2.gz XXWHOBAVXYKUHT-LBPRGKRZSA-N 0 0 437.565 -0.127 20 0 IBADRN COc1ccc(NC(=O)CN2CCC(S(N)(=O)=O)CC2)cc1S(=O)(=O)N(C)C ZINC001323071915 1074253544 /nfs/dbraw/zinc/25/35/44/1074253544.db2.gz SIGBDJKSSYQLHO-UHFFFAOYSA-N 0 0 434.540 -0.363 20 0 IBADRN O=C(CN1CCN(CCNC(=O)c2ccccc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001323072083 1074253633 /nfs/dbraw/zinc/25/36/33/1074253633.db2.gz JRDKXCCZEJRXBR-HNNXBMFYSA-N 0 0 426.514 -0.524 20 0 IBADRN O=C(CN1CCN(CCNC(=O)c2ccccc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323072089 1074253643 /nfs/dbraw/zinc/25/36/43/1074253643.db2.gz JRDKXCCZEJRXBR-OAHLLOKOSA-N 0 0 426.514 -0.524 20 0 IBADRN O=C(CCCc1nc(C2CC2)no1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323085579 1074253527 /nfs/dbraw/zinc/25/35/27/1074253527.db2.gz OFWZAOKHTRWXHU-AWEZNQCLSA-N 0 0 446.551 -0.069 20 0 IBADRN O=C(CCCc1nc(C2CC2)no1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323085580 1074253553 /nfs/dbraw/zinc/25/35/53/1074253553.db2.gz OFWZAOKHTRWXHU-CQSZACIVSA-N 0 0 446.551 -0.069 20 0 IBADRN Cc1nn(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)c(=O)c(CO)c1C ZINC001323086123 1074253507 /nfs/dbraw/zinc/25/35/07/1074253507.db2.gz AOZIGDLVZFKBNU-IBGZPJMESA-N 0 0 442.472 -0.137 20 0 IBADRN Cc1nn(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)c(=O)c(CO)c1C ZINC001323086124 1074253569 /nfs/dbraw/zinc/25/35/69/1074253569.db2.gz AOZIGDLVZFKBNU-LJQANCHMSA-N 0 0 442.472 -0.137 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)n1 ZINC001323086273 1074253572 /nfs/dbraw/zinc/25/35/72/1074253572.db2.gz WPHNKNLUHACDGS-UHFFFAOYSA-N 0 0 438.437 -0.298 20 0 IBADRN CCOC(=O)C=c1sc(=Cc2cccnc2N(C)C)c(=O)n1CC(=O)N1CCOCC1 ZINC001323086387 1074253537 /nfs/dbraw/zinc/25/35/37/1074253537.db2.gz FRBIVVFULXAWIZ-UHFFFAOYSA-N 0 0 446.529 -0.598 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCn4c(nnc4C(F)(F)F)C3)cnc21 ZINC001323099348 1074253518 /nfs/dbraw/zinc/25/35/18/1074253518.db2.gz BYFLRGLWDKLREX-UHFFFAOYSA-N 0 0 431.356 -0.151 20 0 IBADRN COC(=O)c1ccc(=O)n(CN2CCCN(S(=O)(=O)c3c(C)noc3C)CC2)n1 ZINC001323101333 1074253913 /nfs/dbraw/zinc/25/39/13/1074253913.db2.gz PXCFGMZONWCPRE-UHFFFAOYSA-N 0 0 425.467 -0.011 20 0 IBADRN Cn1c2ncn(CCC(=O)N3CCn4c(nnc4C(F)(F)F)C3)c2c(=O)n(C)c1=O ZINC001323101351 1074254069 /nfs/dbraw/zinc/25/40/69/1074254069.db2.gz PXMGDJJQVDXPAG-UHFFFAOYSA-N 0 0 426.359 -0.524 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)COC(=O)C2C[C@@H]3COC[C@H](C2)C3=O)CC1 ZINC001323103922 1074254039 /nfs/dbraw/zinc/25/40/39/1074254039.db2.gz BUQYVEFHXPSMIN-GOOCMWNKSA-N 0 0 442.476 -0.203 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)Cc1ccc(S(C)(=O)=O)cc1 ZINC001323117319 1074254056 /nfs/dbraw/zinc/25/40/56/1074254056.db2.gz OGTSRMDXCRWWSA-KRWDZBQOSA-N 0 0 443.591 -0.147 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)Cc1ccc(S(C)(=O)=O)cc1 ZINC001323117320 1074254110 /nfs/dbraw/zinc/25/41/10/1074254110.db2.gz OGTSRMDXCRWWSA-QGZVFWFLSA-N 0 0 443.591 -0.147 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CSCC(=O)N3CCOCC3)CC2)cn1 ZINC001323126195 1074253983 /nfs/dbraw/zinc/25/39/83/1074253983.db2.gz WIJLDVMXTFQMCO-UHFFFAOYSA-N 0 0 445.567 -0.719 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCCN3CCNC(=O)C3)CC2)cn1C ZINC001323132702 1074253931 /nfs/dbraw/zinc/25/39/31/1074253931.db2.gz WBJMVFJDIHTBAX-UHFFFAOYSA-N 0 0 426.543 -0.933 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCc3nn(C)c(=O)cc3C2)c(S(N)(=O)=O)c1 ZINC001323134541 1074253953 /nfs/dbraw/zinc/25/39/53/1074253953.db2.gz BDLSYOOLXADWHZ-UHFFFAOYSA-N 0 0 427.508 -0.759 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)OCC(=O)N2CCC(C(N)=O)CC2)C(=O)C1 ZINC001323134651 1074254074 /nfs/dbraw/zinc/25/40/74/1074254074.db2.gz ACOHTKANAJFDCC-UHFFFAOYSA-N 0 0 426.470 -0.667 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCCOCCS(C)(=O)=O)CC1 ZINC001323146344 1074253999 /nfs/dbraw/zinc/25/39/99/1074253999.db2.gz NNHSAXSBOQLTNB-UHFFFAOYSA-N 0 0 436.556 -0.395 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)C2(S(C)(=O)=O)CC2)s1 ZINC001323157416 1074254088 /nfs/dbraw/zinc/25/40/88/1074254088.db2.gz CMCOHRUDVZYDFM-JTQLQIEISA-N 0 0 437.565 -0.256 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)C2(S(C)(=O)=O)CC2)s1 ZINC001323157418 1074254010 /nfs/dbraw/zinc/25/40/10/1074254010.db2.gz CMCOHRUDVZYDFM-SNVBAGLBSA-N 0 0 437.565 -0.256 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)NC(=O)NC1=O ZINC001323157644 1074254083 /nfs/dbraw/zinc/25/40/83/1074254083.db2.gz DZGBKKCOTCZSKP-KPZWWZAWSA-N 0 0 440.478 -0.107 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)NC(=O)NC1=O ZINC001323157646 1074254105 /nfs/dbraw/zinc/25/41/05/1074254105.db2.gz DZGBKKCOTCZSKP-KZULUSFZSA-N 0 0 440.478 -0.107 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)NC(=O)NC1=O ZINC001323157648 1074254032 /nfs/dbraw/zinc/25/40/32/1074254032.db2.gz DZGBKKCOTCZSKP-SGTLLEGYSA-N 0 0 440.478 -0.107 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)NC(=O)NC1=O ZINC001323157651 1074254024 /nfs/dbraw/zinc/25/40/24/1074254024.db2.gz DZGBKKCOTCZSKP-XIKOKIGWSA-N 0 0 440.478 -0.107 20 0 IBADRN O=C(NCCCNC(=O)c1cnc2n(c1=O)CCS2)c1cnc2n(c1=O)CCS2 ZINC001323161617 1074253901 /nfs/dbraw/zinc/25/39/01/1074253901.db2.gz PZXYJNOVKVYXIR-UHFFFAOYSA-N 0 0 434.503 -0.439 20 0 IBADRN CCCN(C(=O)[C@H](C)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001323165003 1074254096 /nfs/dbraw/zinc/25/40/96/1074254096.db2.gz PAFCRTPPBNGCCU-KKUMJFAQSA-N 0 0 437.584 -0.964 20 0 IBADRN CCCN(C(=O)[C@H](C)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001323165006 1074254423 /nfs/dbraw/zinc/25/44/23/1074254423.db2.gz PAFCRTPPBNGCCU-RRFJBIMHSA-N 0 0 437.584 -0.964 20 0 IBADRN CCCN(C(=O)[C@H](C)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001323165009 1074254361 /nfs/dbraw/zinc/25/43/61/1074254361.db2.gz PAFCRTPPBNGCCU-SOUVJXGZSA-N 0 0 437.584 -0.964 20 0 IBADRN CCCN(C(=O)[C@H](C)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001323165012 1074254363 /nfs/dbraw/zinc/25/43/63/1074254363.db2.gz PAFCRTPPBNGCCU-ZNMIVQPWSA-N 0 0 437.584 -0.964 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)c2ccc(S(=O)(=O)NC)nc2)CC1 ZINC001323187634 1074254418 /nfs/dbraw/zinc/25/44/18/1074254418.db2.gz JZXWQKYCUAVOJC-UHFFFAOYSA-N 0 0 433.508 -0.428 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CNS(=O)(=O)CCOC(C)C)CC1 ZINC001323189373 1074254354 /nfs/dbraw/zinc/25/43/54/1074254354.db2.gz VDAYPQGRUHFSIL-UHFFFAOYSA-N 0 0 442.556 -0.700 20 0 IBADRN CCN(C1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1)S(C)(=O)=O ZINC001323190057 1074254431 /nfs/dbraw/zinc/25/44/31/1074254431.db2.gz PSACRQMZORXVNT-UHFFFAOYSA-N 0 0 436.556 -0.175 20 0 IBADRN COC(=O)CN(C)CCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001323192153 1074254450 /nfs/dbraw/zinc/25/44/50/1074254450.db2.gz FKQOXZZHJTUBEY-UHFFFAOYSA-N 0 0 440.522 -0.626 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC001323192197 1074254385 /nfs/dbraw/zinc/25/43/85/1074254385.db2.gz GWTNDPUKGRSGOB-VIFPVBQESA-N 0 0 438.242 -0.484 20 0 IBADRN COC(=O)Cn1nc(C)c(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1C ZINC001323193623 1074254464 /nfs/dbraw/zinc/25/44/64/1074254464.db2.gz RDFSANAOHJFPCJ-KBPBESRZSA-N 0 0 426.495 -0.675 20 0 IBADRN COC(=O)Cn1nc(C)c(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1C ZINC001323193624 1074254372 /nfs/dbraw/zinc/25/43/72/1074254372.db2.gz RDFSANAOHJFPCJ-KGLIPLIRSA-N 0 0 426.495 -0.675 20 0 IBADRN COC(=O)Cn1nc(C)c(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1C ZINC001323193625 1074254456 /nfs/dbraw/zinc/25/44/56/1074254456.db2.gz RDFSANAOHJFPCJ-UONOGXRCSA-N 0 0 426.495 -0.675 20 0 IBADRN COC(=O)Cn1nc(C)c(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1C ZINC001323193626 1074254435 /nfs/dbraw/zinc/25/44/35/1074254435.db2.gz RDFSANAOHJFPCJ-ZIAGYGMSSA-N 0 0 426.495 -0.675 20 0 IBADRN COC(=O)CN(C)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001323194003 1074254440 /nfs/dbraw/zinc/25/44/40/1074254440.db2.gz VTPXEWMRKNDUAS-AWEZNQCLSA-N 0 0 439.490 -0.155 20 0 IBADRN COC(=O)CN(C)CCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001323194004 1074254425 /nfs/dbraw/zinc/25/44/25/1074254425.db2.gz VTPXEWMRKNDUAS-CQSZACIVSA-N 0 0 439.490 -0.155 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc1 ZINC001323195046 1074254411 /nfs/dbraw/zinc/25/44/11/1074254411.db2.gz ZBENEUVYBJJIAV-UHFFFAOYSA-N 0 0 445.563 -0.050 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N(C)CCNS(C)(=O)=O)C2=O)c(OC)c1 ZINC001323201771 1074254378 /nfs/dbraw/zinc/25/43/78/1074254378.db2.gz RXQDHGAGSMGADO-HNNXBMFYSA-N 0 0 428.511 -0.005 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N(C)CCNS(C)(=O)=O)C2=O)c(OC)c1 ZINC001323201772 1074254854 /nfs/dbraw/zinc/25/48/54/1074254854.db2.gz RXQDHGAGSMGADO-OAHLLOKOSA-N 0 0 428.511 -0.005 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NC[C@@H]1CCN(c2ccccc2)C1 ZINC001323205807 1074254878 /nfs/dbraw/zinc/25/48/78/1074254878.db2.gz IHFUFAOEZPKVTP-DFQSSKMNSA-N 0 0 436.578 -0.595 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NC[C@H]1CCN(c2ccccc2)C1 ZINC001323205809 1074254799 /nfs/dbraw/zinc/25/47/99/1074254799.db2.gz IHFUFAOEZPKVTP-MISYRCLQSA-N 0 0 436.578 -0.595 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NC[C@H]1CCN(c2ccccc2)C1 ZINC001323205811 1074254845 /nfs/dbraw/zinc/25/48/45/1074254845.db2.gz IHFUFAOEZPKVTP-RLLQIKCJSA-N 0 0 436.578 -0.595 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NC[C@@H]1CCN(c2ccccc2)C1 ZINC001323205812 1074254864 /nfs/dbraw/zinc/25/48/64/1074254864.db2.gz IHFUFAOEZPKVTP-SXLOBPIMSA-N 0 0 436.578 -0.595 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC001323208143 1074254747 /nfs/dbraw/zinc/25/47/47/1074254747.db2.gz OTBUPTCBKXDKEL-GDBMZVCRSA-N 0 0 443.547 -0.399 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC001323208144 1074254770 /nfs/dbraw/zinc/25/47/70/1074254770.db2.gz OTBUPTCBKXDKEL-GOEBONIOSA-N 0 0 443.547 -0.399 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC001323208145 1074254784 /nfs/dbraw/zinc/25/47/84/1074254784.db2.gz OTBUPTCBKXDKEL-HOCLYGCPSA-N 0 0 443.547 -0.399 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC001323208146 1074254873 /nfs/dbraw/zinc/25/48/73/1074254873.db2.gz OTBUPTCBKXDKEL-ZBFHGGJFSA-N 0 0 443.547 -0.399 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001323208159 1074254814 /nfs/dbraw/zinc/25/48/14/1074254814.db2.gz ZDGYVQMDNRJJFW-BHYGNILZSA-N 0 0 441.550 -0.516 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001323208160 1074254792 /nfs/dbraw/zinc/25/47/92/1074254792.db2.gz ZDGYVQMDNRJJFW-UAGQMJEPSA-N 0 0 441.550 -0.516 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1OC ZINC001323208161 1074254820 /nfs/dbraw/zinc/25/48/20/1074254820.db2.gz ZDGYVQMDNRJJFW-USXIJHARSA-N 0 0 441.550 -0.516 20 0 IBADRN COc1ccc(CNC(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1OC ZINC001323208162 1074254777 /nfs/dbraw/zinc/25/47/77/1074254777.db2.gz ZDGYVQMDNRJJFW-XIRDDKMYSA-N 0 0 441.550 -0.516 20 0 IBADRN CCOCc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001323208167 1074254761 /nfs/dbraw/zinc/25/47/61/1074254761.db2.gz ZIRYPOLCWBHDIA-MOPGFXCFSA-N 0 0 425.551 -0.385 20 0 IBADRN CCOCc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001323208168 1074254807 /nfs/dbraw/zinc/25/48/07/1074254807.db2.gz ZIRYPOLCWBHDIA-OALUTQOASA-N 0 0 425.551 -0.385 20 0 IBADRN CCOCc1ccc(CNC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001323208172 1074254830 /nfs/dbraw/zinc/25/48/30/1074254830.db2.gz ZIRYPOLCWBHDIA-RBUKOAKNSA-N 0 0 425.551 -0.385 20 0 IBADRN CCOCc1ccc(CNC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001323208176 1074254835 /nfs/dbraw/zinc/25/48/35/1074254835.db2.gz ZIRYPOLCWBHDIA-RTBURBONSA-N 0 0 425.551 -0.385 20 0 IBADRN O=C(NCCCO)C1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001323218380 1074255171 /nfs/dbraw/zinc/25/51/71/1074255171.db2.gz UNBQUKCQKHNSIC-UHFFFAOYSA-N 0 0 445.520 -0.321 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(S(=O)(=O)N3CCC4(CC3)OCCO4)CC2)cn1 ZINC001323223718 1074255148 /nfs/dbraw/zinc/25/51/48/1074255148.db2.gz JMEMHLWIBOGSIY-UHFFFAOYSA-N 0 0 427.527 -0.054 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CCC2(CC1)NC(=O)NC2=O ZINC001323226752 1074255201 /nfs/dbraw/zinc/25/52/01/1074255201.db2.gz VBXKBLZQLOXIRA-UHFFFAOYSA-N 0 0 439.494 -0.703 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC001323237531 1074255159 /nfs/dbraw/zinc/25/51/59/1074255159.db2.gz BGTVKZLGYFDFHJ-CVEARBPZSA-N 0 0 439.538 -0.106 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC001323237534 1074255196 /nfs/dbraw/zinc/25/51/96/1074255196.db2.gz BGTVKZLGYFDFHJ-HOTGVXAUSA-N 0 0 439.538 -0.106 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC001323237539 1074255154 /nfs/dbraw/zinc/25/51/54/1074255154.db2.gz BGTVKZLGYFDFHJ-HZPDHXFCSA-N 0 0 439.538 -0.106 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC001323237542 1074255178 /nfs/dbraw/zinc/25/51/78/1074255178.db2.gz BGTVKZLGYFDFHJ-JKSUJKDBSA-N 0 0 439.538 -0.106 20 0 IBADRN Cc1nc(N(C)C)sc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323247923 1074255213 /nfs/dbraw/zinc/25/52/13/1074255213.db2.gz FDGAUKJFOXNJCC-GFCCVEGCSA-N 0 0 436.581 -0.208 20 0 IBADRN Cc1nc(N(C)C)sc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323247966 1074255209 /nfs/dbraw/zinc/25/52/09/1074255209.db2.gz FDGAUKJFOXNJCC-LBPRGKRZSA-N 0 0 436.581 -0.208 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001323249619 1074255219 /nfs/dbraw/zinc/25/52/19/1074255219.db2.gz QZOMXFMXQKOEIX-UHFFFAOYSA-N 0 0 437.464 -0.583 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCN(CC3(O)CCS(=O)(=O)CC3)CC2)cc1 ZINC001323254637 1074255167 /nfs/dbraw/zinc/25/51/67/1074255167.db2.gz UVMGRHAXUAZPEU-UHFFFAOYSA-N 0 0 445.607 -0.169 20 0 IBADRN CSCc1noc(CCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323255025 1074255142 /nfs/dbraw/zinc/25/51/42/1074255142.db2.gz ZNNFEDZORSWYSN-CYBMUJFWSA-N 0 0 446.551 -0.260 20 0 IBADRN CSCc1noc(CCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323255027 1074255158 /nfs/dbraw/zinc/25/51/58/1074255158.db2.gz ZNNFEDZORSWYSN-ZDUSSCGKSA-N 0 0 446.551 -0.260 20 0 IBADRN CN(C)C(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001323257365 1074255234 /nfs/dbraw/zinc/25/52/34/1074255234.db2.gz OYOMHBMBXFOYCO-KRWDZBQOSA-N 0 0 436.534 -0.551 20 0 IBADRN CN(C)C(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001323257366 1074255189 /nfs/dbraw/zinc/25/51/89/1074255189.db2.gz OYOMHBMBXFOYCO-QGZVFWFLSA-N 0 0 436.534 -0.551 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C(F)(F)F)n1 ZINC001323257440 1074255161 /nfs/dbraw/zinc/25/51/61/1074255161.db2.gz RQCJYAKWWJZWTF-LLVKDONJSA-N 0 0 437.444 -0.500 20 0 IBADRN Cn1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C(F)(F)F)n1 ZINC001323257441 1074255218 /nfs/dbraw/zinc/25/52/18/1074255218.db2.gz RQCJYAKWWJZWTF-NSHDSACASA-N 0 0 437.444 -0.500 20 0 IBADRN CC(C)c1noc(CCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323258120 1074255547 /nfs/dbraw/zinc/25/55/47/1074255547.db2.gz YHMPVSUNYAMWSM-AWEZNQCLSA-N 0 0 427.527 -0.427 20 0 IBADRN CC(C)c1noc(CCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323258121 1074255610 /nfs/dbraw/zinc/25/56/10/1074255610.db2.gz YHMPVSUNYAMWSM-CQSZACIVSA-N 0 0 427.527 -0.427 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1)S(C)(=O)=O ZINC001323258906 1074255606 /nfs/dbraw/zinc/25/56/06/1074255606.db2.gz BKIYQFABOHUXHL-KBPBESRZSA-N 0 0 448.567 -0.718 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)C1)S(C)(=O)=O ZINC001323258907 1074255554 /nfs/dbraw/zinc/25/55/54/1074255554.db2.gz BKIYQFABOHUXHL-KGLIPLIRSA-N 0 0 448.567 -0.718 20 0 IBADRN CN(C[C@@H]1CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1)S(C)(=O)=O ZINC001323258908 1074255594 /nfs/dbraw/zinc/25/55/94/1074255594.db2.gz BKIYQFABOHUXHL-UONOGXRCSA-N 0 0 448.567 -0.718 20 0 IBADRN CN(C[C@H]1CCCN(C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)C1)S(C)(=O)=O ZINC001323258909 1074255637 /nfs/dbraw/zinc/25/56/37/1074255637.db2.gz BKIYQFABOHUXHL-ZIAGYGMSSA-N 0 0 448.567 -0.718 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2CCCS(=O)(=O)C2)c1 ZINC001323264527 1074255577 /nfs/dbraw/zinc/25/55/77/1074255577.db2.gz LYJBBETXMWCUCE-CYBMUJFWSA-N 0 0 431.536 -0.392 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2CCCS(=O)(=O)C2)c1 ZINC001323264528 1074255585 /nfs/dbraw/zinc/25/55/85/1074255585.db2.gz LYJBBETXMWCUCE-ZDUSSCGKSA-N 0 0 431.536 -0.392 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@H]1CCCS(=O)(=O)C1)c2=O ZINC001323264534 1074255527 /nfs/dbraw/zinc/25/55/27/1074255527.db2.gz LZZYPLSSZXOLMO-SECBINFHSA-N 0 0 432.300 -0.167 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC[C@@H]1CCCS(=O)(=O)C1)c2=O ZINC001323264535 1074255598 /nfs/dbraw/zinc/25/55/98/1074255598.db2.gz LZZYPLSSZXOLMO-VIFPVBQESA-N 0 0 432.300 -0.167 20 0 IBADRN COc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(NC(C)=O)c1OC ZINC001323267806 1074255616 /nfs/dbraw/zinc/25/56/16/1074255616.db2.gz APDXKUHORHPELH-CVEARBPZSA-N 0 0 441.506 -0.110 20 0 IBADRN COc1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(NC(C)=O)c1OC ZINC001323267807 1074255572 /nfs/dbraw/zinc/25/55/72/1074255572.db2.gz APDXKUHORHPELH-HOTGVXAUSA-N 0 0 441.506 -0.110 20 0 IBADRN COc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(NC(C)=O)c1OC ZINC001323267808 1074255541 /nfs/dbraw/zinc/25/55/41/1074255541.db2.gz APDXKUHORHPELH-HZPDHXFCSA-N 0 0 441.506 -0.110 20 0 IBADRN COc1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(NC(C)=O)c1OC ZINC001323267809 1074255644 /nfs/dbraw/zinc/25/56/44/1074255644.db2.gz APDXKUHORHPELH-JKSUJKDBSA-N 0 0 441.506 -0.110 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC001323275889 1074255620 /nfs/dbraw/zinc/25/56/20/1074255620.db2.gz CWTVSVYNDQDGQY-UHFFFAOYSA-N 0 0 446.570 -0.309 20 0 IBADRN Cn1c2ncn(CC(=O)Nc3ccc(F)c(N4C(=O)CCC4=O)c3)c2c(=O)n(C)c1=O ZINC001323287719 1074255973 /nfs/dbraw/zinc/25/59/73/1074255973.db2.gz AXLHXNVCWYSOHO-UHFFFAOYSA-N 0 0 428.380 -0.135 20 0 IBADRN COc1cc(CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1OCC(N)=O ZINC001323291014 1074255938 /nfs/dbraw/zinc/25/59/38/1074255938.db2.gz MRPRJQXLAFBPFM-CYBMUJFWSA-N 0 0 429.495 -0.697 20 0 IBADRN COc1cc(CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1OCC(N)=O ZINC001323291015 1074255932 /nfs/dbraw/zinc/25/59/32/1074255932.db2.gz MRPRJQXLAFBPFM-ZDUSSCGKSA-N 0 0 429.495 -0.697 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001323292817 1074255986 /nfs/dbraw/zinc/25/59/86/1074255986.db2.gz PMGQLPZWZRYQDY-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001323292818 1074255970 /nfs/dbraw/zinc/25/59/70/1074255970.db2.gz PMGQLPZWZRYQDY-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001323292819 1074255953 /nfs/dbraw/zinc/25/59/53/1074255953.db2.gz PMGQLPZWZRYQDY-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001323292820 1074255912 /nfs/dbraw/zinc/25/59/12/1074255912.db2.gz PMGQLPZWZRYQDY-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001323297460 1074255942 /nfs/dbraw/zinc/25/59/42/1074255942.db2.gz NVCYCIFRXQHYJH-UHFFFAOYSA-N 0 0 439.538 -0.256 20 0 IBADRN COCCN(C(=O)CSc1nnc([C@H]2CCCCO2)n1N)[C@@H]1CCS(=O)(=O)C1 ZINC001323313763 1074255965 /nfs/dbraw/zinc/25/59/65/1074255965.db2.gz VYFKNVOHEIGUDA-CHWSQXEVSA-N 0 0 433.556 -0.012 20 0 IBADRN COCCN(C(=O)CSc1nnc([C@@H]2CCCCO2)n1N)[C@@H]1CCS(=O)(=O)C1 ZINC001323313764 1074255902 /nfs/dbraw/zinc/25/59/02/1074255902.db2.gz VYFKNVOHEIGUDA-OLZOCXBDSA-N 0 0 433.556 -0.012 20 0 IBADRN COCCN(C(=O)CSc1nnc([C@H]2CCCCO2)n1N)[C@H]1CCS(=O)(=O)C1 ZINC001323313765 1074255929 /nfs/dbraw/zinc/25/59/29/1074255929.db2.gz VYFKNVOHEIGUDA-QWHCGFSZSA-N 0 0 433.556 -0.012 20 0 IBADRN COCCN(C(=O)CSc1nnc([C@@H]2CCCCO2)n1N)[C@H]1CCS(=O)(=O)C1 ZINC001323313766 1074255921 /nfs/dbraw/zinc/25/59/21/1074255921.db2.gz VYFKNVOHEIGUDA-STQMWFEESA-N 0 0 433.556 -0.012 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCC(OCC(N)=O)CC3)CC2)c1 ZINC001323314294 1074255923 /nfs/dbraw/zinc/25/59/23/1074255923.db2.gz PEOIIROLRHZPON-UHFFFAOYSA-N 0 0 438.550 -0.060 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCOCC1 ZINC001323314620 1074255957 /nfs/dbraw/zinc/25/59/57/1074255957.db2.gz GIDOZEBWQKIIOH-INIZCTEOSA-N 0 0 433.552 -0.783 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCOCC1 ZINC001323314622 1074255980 /nfs/dbraw/zinc/25/59/80/1074255980.db2.gz GIDOZEBWQKIIOH-MRXNPFEDSA-N 0 0 433.552 -0.783 20 0 IBADRN CS(=O)(=O)c1ccc([C@@H](O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC001323317420 1074255948 /nfs/dbraw/zinc/25/59/48/1074255948.db2.gz MWBTYAKNEVOEKC-CABCVRRESA-N 0 0 425.463 -0.707 20 0 IBADRN CS(=O)(=O)c1ccc([C@@H](O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC001323317422 1074255950 /nfs/dbraw/zinc/25/59/50/1074255950.db2.gz MWBTYAKNEVOEKC-GJZGRUSLSA-N 0 0 425.463 -0.707 20 0 IBADRN CS(=O)(=O)c1ccc([C@H](O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC001323317425 1074255915 /nfs/dbraw/zinc/25/59/15/1074255915.db2.gz MWBTYAKNEVOEKC-HUUCEWRRSA-N 0 0 425.463 -0.707 20 0 IBADRN CS(=O)(=O)c1ccc([C@H](O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC001323317427 1074256290 /nfs/dbraw/zinc/25/62/90/1074256290.db2.gz MWBTYAKNEVOEKC-LSDHHAIUSA-N 0 0 425.463 -0.707 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(C(=O)NCCOCCS(N)(=O)=O)c1 ZINC001323329946 1074256404 /nfs/dbraw/zinc/25/64/04/1074256404.db2.gz ZJGNSBPEPWQIGP-UHFFFAOYSA-N 0 0 429.314 -0.113 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323330211 1074256330 /nfs/dbraw/zinc/25/63/30/1074256330.db2.gz GFMDIJXTOLGHKE-QLFBSQMISA-N 0 0 430.523 -0.377 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323330212 1074256296 /nfs/dbraw/zinc/25/62/96/1074256296.db2.gz GFMDIJXTOLGHKE-RBSFLKMASA-N 0 0 430.523 -0.377 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323330213 1074256319 /nfs/dbraw/zinc/25/63/19/1074256319.db2.gz GFMDIJXTOLGHKE-RRFJBIMHSA-N 0 0 430.523 -0.377 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323330214 1074256373 /nfs/dbraw/zinc/25/63/73/1074256373.db2.gz GFMDIJXTOLGHKE-SOUVJXGZSA-N 0 0 430.523 -0.377 20 0 IBADRN O=C(COC(=O)[C@@]1(F)CCOC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001323340493 1074256402 /nfs/dbraw/zinc/25/64/02/1074256402.db2.gz RJKOGVTXLJSTBU-GOSISDBHSA-N 0 0 430.454 -0.005 20 0 IBADRN O=C(COC(=O)[C@]1(F)CCOC1)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001323340494 1074256389 /nfs/dbraw/zinc/25/63/89/1074256389.db2.gz RJKOGVTXLJSTBU-SFHVURJKSA-N 0 0 430.454 -0.005 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323345999 1074256378 /nfs/dbraw/zinc/25/63/78/1074256378.db2.gz PPZWWRCXASODGQ-CYBMUJFWSA-N 0 0 438.531 -0.173 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001323346003 1074256306 /nfs/dbraw/zinc/25/63/06/1074256306.db2.gz PPZWWRCXASODGQ-ZDUSSCGKSA-N 0 0 438.531 -0.173 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001323351280 1074256357 /nfs/dbraw/zinc/25/63/57/1074256357.db2.gz ZUXBWNJHXBXYOX-HNNXBMFYSA-N 0 0 447.583 -0.331 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001323351281 1074256365 /nfs/dbraw/zinc/25/63/65/1074256365.db2.gz ZUXBWNJHXBXYOX-OAHLLOKOSA-N 0 0 447.583 -0.331 20 0 IBADRN O=S(=O)(C[C@@H]1CCCS(=O)(=O)C1)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1 ZINC001323363726 1074256409 /nfs/dbraw/zinc/25/64/09/1074256409.db2.gz UNXQJHXPIHSKPI-CABCVRRESA-N 0 0 448.567 -0.108 20 0 IBADRN O=S(=O)(C[C@H]1CCCS(=O)(=O)C1)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1 ZINC001323363729 1074256351 /nfs/dbraw/zinc/25/63/51/1074256351.db2.gz UNXQJHXPIHSKPI-GJZGRUSLSA-N 0 0 448.567 -0.108 20 0 IBADRN O=S(=O)(C[C@@H]1CCCS(=O)(=O)C1)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1 ZINC001323363731 1074256343 /nfs/dbraw/zinc/25/63/43/1074256343.db2.gz UNXQJHXPIHSKPI-HUUCEWRRSA-N 0 0 448.567 -0.108 20 0 IBADRN O=S(=O)(C[C@H]1CCCS(=O)(=O)C1)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1 ZINC001323363735 1074256397 /nfs/dbraw/zinc/25/63/97/1074256397.db2.gz UNXQJHXPIHSKPI-LSDHHAIUSA-N 0 0 448.567 -0.108 20 0 IBADRN C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001323364762 1074256768 /nfs/dbraw/zinc/25/67/68/1074256768.db2.gz VQVCVVACJMLYIP-AUUYWEPGSA-N 0 0 447.488 -0.406 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001323364763 1074256758 /nfs/dbraw/zinc/25/67/58/1074256758.db2.gz VQVCVVACJMLYIP-IFXJQAMLSA-N 0 0 447.488 -0.406 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001323364764 1074256751 /nfs/dbraw/zinc/25/67/51/1074256751.db2.gz VQVCVVACJMLYIP-KUHUBIRLSA-N 0 0 447.488 -0.406 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001323364765 1074256739 /nfs/dbraw/zinc/25/67/39/1074256739.db2.gz VQVCVVACJMLYIP-LIRRHRJNSA-N 0 0 447.488 -0.406 20 0 IBADRN C[C@H]1C[C@H](NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC001323365149 1074256725 /nfs/dbraw/zinc/25/67/25/1074256725.db2.gz XMHIFNBSCLREAM-BBRMVZONSA-N 0 0 426.543 -0.278 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC001323365151 1074256731 /nfs/dbraw/zinc/25/67/31/1074256731.db2.gz XMHIFNBSCLREAM-CJNGLKHVSA-N 0 0 426.543 -0.278 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC001323365154 1074256685 /nfs/dbraw/zinc/25/66/85/1074256685.db2.gz XMHIFNBSCLREAM-CZUORRHYSA-N 0 0 426.543 -0.278 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)C(=O)N1c1cnn(C)c1 ZINC001323365157 1074256703 /nfs/dbraw/zinc/25/67/03/1074256703.db2.gz XMHIFNBSCLREAM-XJKSGUPXSA-N 0 0 426.543 -0.278 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccc(N2CCCS2(=O)=O)c1 ZINC001323366292 1074256735 /nfs/dbraw/zinc/25/67/35/1074256735.db2.gz DANYPOFMUFFSFD-AWEZNQCLSA-N 0 0 429.520 -0.398 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccc(N2CCCS2(=O)=O)c1 ZINC001323366293 1074256765 /nfs/dbraw/zinc/25/67/65/1074256765.db2.gz DANYPOFMUFFSFD-CQSZACIVSA-N 0 0 429.520 -0.398 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(c2ccc3nnnn3n2)CC1 ZINC001323366318 1074256697 /nfs/dbraw/zinc/25/66/97/1074256697.db2.gz FLQYCWAWSSWXDB-UHFFFAOYSA-N 0 0 437.507 -0.258 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)CC1 ZINC001323366667 1074256745 /nfs/dbraw/zinc/25/67/45/1074256745.db2.gz GTEASDUGJQSWMF-UHFFFAOYSA-N 0 0 434.497 -0.785 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC3CCS(=O)(=O)CC3)c2)CC1 ZINC001323366904 1074256771 /nfs/dbraw/zinc/25/67/71/1074256771.db2.gz KBKBIRYEMYSZIU-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN COCCN(C(=O)CN1CC[S@](=O)C[C@@H]1c1cnn(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001323367382 1074256721 /nfs/dbraw/zinc/25/67/21/1074256721.db2.gz YAAZIBXELBYNGU-JQQNFUDXSA-N 0 0 432.568 -0.812 20 0 IBADRN COCCN(C(=O)CN1CC[S@](=O)C[C@@H]1c1cnn(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC001323367383 1074256716 /nfs/dbraw/zinc/25/67/16/1074256716.db2.gz YAAZIBXELBYNGU-KSRHRBNTSA-N 0 0 432.568 -0.812 20 0 IBADRN COCCN(C(=O)CN1CC[S@](=O)C[C@H]1c1cnn(C)c1)[C@H]1CCS(=O)(=O)C1 ZINC001323367384 1074256748 /nfs/dbraw/zinc/25/67/48/1074256748.db2.gz YAAZIBXELBYNGU-MPEQGZPJSA-N 0 0 432.568 -0.812 20 0 IBADRN COCCN(C(=O)CN1CC[S@](=O)C[C@H]1c1cnn(C)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001323367385 1074256762 /nfs/dbraw/zinc/25/67/62/1074256762.db2.gz YAAZIBXELBYNGU-RSJDLPBQSA-N 0 0 432.568 -0.812 20 0 IBADRN COC(=O)CN(C)CCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001323367819 1074256754 /nfs/dbraw/zinc/25/67/54/1074256754.db2.gz RGSRTCXZLYJLII-UHFFFAOYSA-N 0 0 435.524 -0.909 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)CCN2S(C)(=O)=O ZINC001323368189 1074256711 /nfs/dbraw/zinc/25/67/11/1074256711.db2.gz VWBDNISPVAMJGE-AWEZNQCLSA-N 0 0 429.520 -0.616 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc2c(c1)CCN2S(C)(=O)=O ZINC001323368190 1074256728 /nfs/dbraw/zinc/25/67/28/1074256728.db2.gz VWBDNISPVAMJGE-CQSZACIVSA-N 0 0 429.520 -0.616 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001323370479 1074257188 /nfs/dbraw/zinc/25/71/88/1074257188.db2.gz YKJOQTIONSMXOA-AWEZNQCLSA-N 0 0 426.413 -0.326 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001323370480 1074257040 /nfs/dbraw/zinc/25/70/40/1074257040.db2.gz YKJOQTIONSMXOA-CQSZACIVSA-N 0 0 426.413 -0.326 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCC(=O)NCC(F)(F)F)s1 ZINC001323382934 1074257062 /nfs/dbraw/zinc/25/70/62/1074257062.db2.gz NKNCKNZCHFGFFN-UHFFFAOYSA-N 0 0 430.430 -0.543 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1)N1CCOCC1 ZINC001323390148 1074256996 /nfs/dbraw/zinc/25/69/96/1074256996.db2.gz JCHYCUONJHOPKP-KRWDZBQOSA-N 0 0 446.508 -0.820 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1)N1CCOCC1 ZINC001323390161 1074257171 /nfs/dbraw/zinc/25/71/71/1074257171.db2.gz JCHYCUONJHOPKP-QGZVFWFLSA-N 0 0 446.508 -0.820 20 0 IBADRN COCC(=O)NC1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC001323390855 1074257175 /nfs/dbraw/zinc/25/71/75/1074257175.db2.gz SMFNNPYWQNNAFQ-UHFFFAOYSA-N 0 0 440.522 -0.580 20 0 IBADRN COC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC001323403640 1074257184 /nfs/dbraw/zinc/25/71/84/1074257184.db2.gz CKBZBEOMWMKRFE-UHFFFAOYSA-N 0 0 432.524 -0.037 20 0 IBADRN Cc1n[nH]c(C)c1C[C@H](C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323410652 1074257117 /nfs/dbraw/zinc/25/71/17/1074257117.db2.gz BBNHTRLMFZKNMN-BBRMVZONSA-N 0 0 425.555 -0.347 20 0 IBADRN Cc1n[nH]c(C)c1C[C@@H](C)C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323410653 1074257193 /nfs/dbraw/zinc/25/71/93/1074257193.db2.gz BBNHTRLMFZKNMN-CJNGLKHVSA-N 0 0 425.555 -0.347 20 0 IBADRN Cc1n[nH]c(C)c1C[C@@H](C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323410654 1074257013 /nfs/dbraw/zinc/25/70/13/1074257013.db2.gz BBNHTRLMFZKNMN-CZUORRHYSA-N 0 0 425.555 -0.347 20 0 IBADRN Cc1n[nH]c(C)c1C[C@H](C)C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323410657 1074257132 /nfs/dbraw/zinc/25/71/32/1074257132.db2.gz BBNHTRLMFZKNMN-XJKSGUPXSA-N 0 0 425.555 -0.347 20 0 IBADRN O=C(CCNC(=O)c1cnn(CC(=O)NC2CCCCC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001323426888 1074257080 /nfs/dbraw/zinc/25/70/80/1074257080.db2.gz GJTFFZFDYRFLQW-INIZCTEOSA-N 0 0 439.538 -0.245 20 0 IBADRN O=C(CCNC(=O)c1cnn(CC(=O)NC2CCCCC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323426891 1074257166 /nfs/dbraw/zinc/25/71/66/1074257166.db2.gz GJTFFZFDYRFLQW-MRXNPFEDSA-N 0 0 439.538 -0.245 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC2CCN(S(C)(=O)=O)CC2)cc(OC)c1OC ZINC001323430846 1074257123 /nfs/dbraw/zinc/25/71/23/1074257123.db2.gz BUIBGKJEWBBPSE-UHFFFAOYSA-N 0 0 429.495 -0.018 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@@H](CNC(C)=O)C3)c2)CCO1 ZINC001323445529 1074257093 /nfs/dbraw/zinc/25/70/93/1074257093.db2.gz NGTHNMQAHFRNFY-BGYRXZFFSA-N 0 0 446.504 -0.359 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@@H](CNC(C)=O)C3)c2)CCO1 ZINC001323445532 1074257025 /nfs/dbraw/zinc/25/70/25/1074257025.db2.gz NGTHNMQAHFRNFY-PMACEKPBSA-N 0 0 446.504 -0.359 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2cccc(C(=O)N3CCO[C@H](CNC(C)=O)C3)c2)CCO1 ZINC001323445534 1074257052 /nfs/dbraw/zinc/25/70/52/1074257052.db2.gz NGTHNMQAHFRNFY-WOJBJXKFSA-N 0 0 446.504 -0.359 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC001323456926 1074257510 /nfs/dbraw/zinc/25/75/10/1074257510.db2.gz MJFQKTSMFVLKQN-BLVKFPJESA-N 0 0 436.490 -0.593 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC001323456929 1074257527 /nfs/dbraw/zinc/25/75/27/1074257527.db2.gz MJFQKTSMFVLKQN-BUXKBTBVSA-N 0 0 436.490 -0.593 20 0 IBADRN Cc1cc(C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC001323456931 1074257566 /nfs/dbraw/zinc/25/75/66/1074257566.db2.gz MJFQKTSMFVLKQN-CWTRNNRKSA-N 0 0 436.490 -0.593 20 0 IBADRN Cc1cc(C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)nc2c1c(=O)n(C)c(=O)n2C ZINC001323456933 1074257413 /nfs/dbraw/zinc/25/74/13/1074257413.db2.gz MJFQKTSMFVLKQN-HXPMCKFVSA-N 0 0 436.490 -0.593 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1)N1CCCC1 ZINC001323473366 1074257561 /nfs/dbraw/zinc/25/75/61/1074257561.db2.gz UXDJCXISUWWSMC-APWZRJJASA-N 0 0 428.555 -0.328 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1)N1CCCC1 ZINC001323473367 1074257534 /nfs/dbraw/zinc/25/75/34/1074257534.db2.gz UXDJCXISUWWSMC-LPHOPBHVSA-N 0 0 428.555 -0.328 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1)N1CCCC1 ZINC001323473368 1074257478 /nfs/dbraw/zinc/25/74/78/1074257478.db2.gz UXDJCXISUWWSMC-QFBILLFUSA-N 0 0 428.555 -0.328 20 0 IBADRN O=C(CN1CCN(C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1)N1CCCC1 ZINC001323473369 1074257460 /nfs/dbraw/zinc/25/74/60/1074257460.db2.gz UXDJCXISUWWSMC-VQIMIIECSA-N 0 0 428.555 -0.328 20 0 IBADRN CN(CC(=O)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001323475758 1074257428 /nfs/dbraw/zinc/25/74/28/1074257428.db2.gz MYNVDHLPGJNGNK-ACJLOTCBSA-N 0 0 441.531 -0.080 20 0 IBADRN CN(CC(=O)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001323475761 1074257500 /nfs/dbraw/zinc/25/75/00/1074257500.db2.gz MYNVDHLPGJNGNK-FZKQIMNGSA-N 0 0 441.531 -0.080 20 0 IBADRN CN(CC(=O)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001323475763 1074257468 /nfs/dbraw/zinc/25/74/68/1074257468.db2.gz MYNVDHLPGJNGNK-SCLBCKFNSA-N 0 0 441.531 -0.080 20 0 IBADRN CN(CC(=O)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001323475766 1074257541 /nfs/dbraw/zinc/25/75/41/1074257541.db2.gz MYNVDHLPGJNGNK-UGSOOPFHSA-N 0 0 441.531 -0.080 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@]1(O)CCSC1 ZINC001323480673 1074257408 /nfs/dbraw/zinc/25/74/08/1074257408.db2.gz AJVWKILLTKYSBR-GDBMZVCRSA-N 0 0 436.577 -0.248 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@]1(O)CCSC1 ZINC001323480682 1074257518 /nfs/dbraw/zinc/25/75/18/1074257518.db2.gz AJVWKILLTKYSBR-GOEBONIOSA-N 0 0 436.577 -0.248 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@@]1(O)CCSC1 ZINC001323480685 1074257580 /nfs/dbraw/zinc/25/75/80/1074257580.db2.gz AJVWKILLTKYSBR-HOCLYGCPSA-N 0 0 436.577 -0.248 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@@]1(O)CCSC1 ZINC001323480689 1074257571 /nfs/dbraw/zinc/25/75/71/1074257571.db2.gz AJVWKILLTKYSBR-ZBFHGGJFSA-N 0 0 436.577 -0.248 20 0 IBADRN COCC(=O)NCCC(=O)N1CCN(C(=O)CCNC(=O)COC)C2(CCCCC2)C1 ZINC001323483068 1074257490 /nfs/dbraw/zinc/25/74/90/1074257490.db2.gz PAVLDJXJJHVUCF-UHFFFAOYSA-N 0 0 440.541 -0.335 20 0 IBADRN COc1ccc(C(=O)OCCCNS(C)(=O)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001323488942 1074257557 /nfs/dbraw/zinc/25/75/57/1074257557.db2.gz VRQHFHMBYOQRBQ-UHFFFAOYSA-N 0 0 436.508 -0.188 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)OCCCNS(C)(=O)=O ZINC001323489027 1074257394 /nfs/dbraw/zinc/25/73/94/1074257394.db2.gz WMBDNKQUHQQFLF-UHFFFAOYSA-N 0 0 436.508 -0.188 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O ZINC001323492399 1074258044 /nfs/dbraw/zinc/25/80/44/1074258044.db2.gz WYAUTPPBHHTADY-UHFFFAOYSA-N 0 0 435.462 -0.374 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(C(C)(C)C(N)=O)CC3)CC2)cn1C ZINC001323507540 1074257840 /nfs/dbraw/zinc/25/78/40/1074257840.db2.gz AEPUAQHDDCFFBA-UHFFFAOYSA-N 0 0 440.570 -0.463 20 0 IBADRN O=C(CCNC(=O)NCC1(O)CCS(=O)(=O)CC1)N1CCN(c2ccccn2)CC1 ZINC001323509680 1074257877 /nfs/dbraw/zinc/25/78/77/1074257877.db2.gz WINCKJISDYQGGT-UHFFFAOYSA-N 0 0 439.538 -0.641 20 0 IBADRN O=C(CNc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323531867 1074257868 /nfs/dbraw/zinc/25/78/68/1074257868.db2.gz CCBVMHXJALCYLU-CABCVRRESA-N 0 0 431.536 -0.453 20 0 IBADRN O=C(CNc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001323531868 1074257858 /nfs/dbraw/zinc/25/78/58/1074257858.db2.gz CCBVMHXJALCYLU-GJZGRUSLSA-N 0 0 431.536 -0.453 20 0 IBADRN O=C(CNc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323531869 1074257921 /nfs/dbraw/zinc/25/79/21/1074257921.db2.gz CCBVMHXJALCYLU-HUUCEWRRSA-N 0 0 431.536 -0.453 20 0 IBADRN O=C(CNc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1)N[C@H]1CCS(=O)(=O)C1 ZINC001323531870 1074258067 /nfs/dbraw/zinc/25/80/67/1074258067.db2.gz CCBVMHXJALCYLU-LSDHHAIUSA-N 0 0 431.536 -0.453 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)CC1 ZINC001323531958 1074257911 /nfs/dbraw/zinc/25/79/11/1074257911.db2.gz FVCQNGZPIXNKRA-HNNXBMFYSA-N 0 0 440.522 -0.263 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)CC1 ZINC001323531959 1074257850 /nfs/dbraw/zinc/25/78/50/1074257850.db2.gz FVCQNGZPIXNKRA-OAHLLOKOSA-N 0 0 440.522 -0.263 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC001323534908 1074258074 /nfs/dbraw/zinc/25/80/74/1074258074.db2.gz PARPKHJJOBRTAZ-GOSISDBHSA-N 0 0 426.535 -0.055 20 0 IBADRN Cc1nn(CC(F)(F)F)cc1C(=O)OCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001323537121 1074258056 /nfs/dbraw/zinc/25/80/56/1074258056.db2.gz JXIMZDHEXDNDOH-SECBINFHSA-N 0 0 426.373 -0.076 20 0 IBADRN Cc1nn(CC(F)(F)F)cc1C(=O)OCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001323537145 1074258419 /nfs/dbraw/zinc/25/84/19/1074258419.db2.gz JXIMZDHEXDNDOH-VIFPVBQESA-N 0 0 426.373 -0.076 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)c3ccccc3S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC001323540570 1074258449 /nfs/dbraw/zinc/25/84/49/1074258449.db2.gz IQJFDANLFDAHPI-UHFFFAOYSA-N 0 0 436.490 -0.136 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)CC2 ZINC001323541898 1074258334 /nfs/dbraw/zinc/25/83/34/1074258334.db2.gz PJKJXRSXPAYFRH-ARFHVFGLSA-N 0 0 436.534 -0.225 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001323541900 1074258320 /nfs/dbraw/zinc/25/83/20/1074258320.db2.gz PJKJXRSXPAYFRH-BZUAXINKSA-N 0 0 436.534 -0.225 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1)CC2 ZINC001323541902 1074258467 /nfs/dbraw/zinc/25/84/67/1074258467.db2.gz PJKJXRSXPAYFRH-HRCADAONSA-N 0 0 436.534 -0.225 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001323541904 1074258427 /nfs/dbraw/zinc/25/84/27/1074258427.db2.gz PJKJXRSXPAYFRH-OWCLPIDISA-N 0 0 436.534 -0.225 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)NCCC(=O)N1CCN(c3ncccn3)CC1)CC2 ZINC001323541907 1074258406 /nfs/dbraw/zinc/25/84/06/1074258406.db2.gz PJWWMWSKNLBANJ-UHFFFAOYSA-N 0 0 426.481 -0.623 20 0 IBADRN Cc1nc(N(C)C)sc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323543234 1074258460 /nfs/dbraw/zinc/25/84/60/1074258460.db2.gz GGPNZMSISLPWPG-CYBMUJFWSA-N 0 0 429.568 -0.421 20 0 IBADRN Cc1nc(N(C)C)sc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323543235 1074258393 /nfs/dbraw/zinc/25/83/93/1074258393.db2.gz GGPNZMSISLPWPG-ZDUSSCGKSA-N 0 0 429.568 -0.421 20 0 IBADRN Cc1nnc(N2CCN(C(=O)c3cc(S(=O)(=O)N(C)C)cn3C)CC2)c(C(N)=O)c1C ZINC001323550911 1074258496 /nfs/dbraw/zinc/25/84/96/1074258496.db2.gz XKUIBYWNUVNOGZ-UHFFFAOYSA-N 0 0 449.537 -0.256 20 0 IBADRN NS(=O)(=O)c1cccc(NC(=O)CN2CCC(N3CCS(=O)(=O)CC3)CC2)c1 ZINC001323555348 1074258505 /nfs/dbraw/zinc/25/85/05/1074258505.db2.gz MCCAXPRMPICYJI-UHFFFAOYSA-N 0 0 430.552 -0.533 20 0 IBADRN CNC(=O)c1nn(CC(=O)N2CCN(CC(=O)NC(C)(C)C)CC2)c(=O)c2ccccc21 ZINC001323560490 1074258474 /nfs/dbraw/zinc/25/84/74/1074258474.db2.gz DAUSFDYBAJGSMO-UHFFFAOYSA-N 0 0 442.520 -0.185 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001323564816 1074258344 /nfs/dbraw/zinc/25/83/44/1074258344.db2.gz ZULVRXSYFWYVRI-HNNXBMFYSA-N 0 0 425.463 -0.360 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1ccc2c(c1)CCC(=O)N2 ZINC001323564817 1074258487 /nfs/dbraw/zinc/25/84/87/1074258487.db2.gz ZULVRXSYFWYVRI-OAHLLOKOSA-N 0 0 425.463 -0.360 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323565041 1074258375 /nfs/dbraw/zinc/25/83/75/1074258375.db2.gz CDQGKXVFDXFNEK-CABCVRRESA-N 0 0 429.543 -0.070 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323565045 1074258384 /nfs/dbraw/zinc/25/83/84/1074258384.db2.gz CDQGKXVFDXFNEK-GJZGRUSLSA-N 0 0 429.543 -0.070 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323565048 1074258982 /nfs/dbraw/zinc/25/89/82/1074258982.db2.gz CDQGKXVFDXFNEK-HUUCEWRRSA-N 0 0 429.543 -0.070 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001323565051 1074258840 /nfs/dbraw/zinc/25/88/40/1074258840.db2.gz CDQGKXVFDXFNEK-LSDHHAIUSA-N 0 0 429.543 -0.070 20 0 IBADRN COc1ccc(CC(=O)NC2(CO)CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC001323568360 1074258886 /nfs/dbraw/zinc/25/88/86/1074258886.db2.gz QPSKDTFDBPNFOP-UHFFFAOYSA-N 0 0 428.507 -0.084 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@H]2CCCN(C(N)=O)C2)c1 ZINC001323575448 1074258791 /nfs/dbraw/zinc/25/87/91/1074258791.db2.gz ZNMJTVTUGYKZQG-AWEZNQCLSA-N 0 0 427.527 -0.214 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@H]2CCCN(C(N)=O)C2)c1 ZINC001323575449 1074258993 /nfs/dbraw/zinc/25/89/93/1074258993.db2.gz ZNMJTVTUGYKZQG-CQSZACIVSA-N 0 0 427.527 -0.214 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)ccc21 ZINC001323584547 1074258849 /nfs/dbraw/zinc/25/88/49/1074258849.db2.gz YJKJVHDOADNIGQ-AWEZNQCLSA-N 0 0 429.520 -0.481 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc21 ZINC001323584548 1074258949 /nfs/dbraw/zinc/25/89/49/1074258949.db2.gz YJKJVHDOADNIGQ-CQSZACIVSA-N 0 0 429.520 -0.481 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(C)C[C@H](O)CN2CCOCC2)cc1 ZINC001323597921 1074258965 /nfs/dbraw/zinc/25/89/65/1074258965.db2.gz SBSUETICMYKBML-INIZCTEOSA-N 0 0 427.523 -0.686 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N(C)C[C@@H](O)CN2CCOCC2)cc1 ZINC001323597922 1074258824 /nfs/dbraw/zinc/25/88/24/1074258824.db2.gz SBSUETICMYKBML-MRXNPFEDSA-N 0 0 427.523 -0.686 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001323598400 1074258930 /nfs/dbraw/zinc/25/89/30/1074258930.db2.gz UFIHPNVEUWCIFY-UHFFFAOYSA-N 0 0 445.586 -0.449 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1ccc(F)c(S(=O)(=O)Cc2ncon2)c1 ZINC001323598685 1074258956 /nfs/dbraw/zinc/25/89/56/1074258956.db2.gz YJTHMQHDLVMWLO-AWEZNQCLSA-N 0 0 442.469 -0.052 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1ccc(F)c(S(=O)(=O)Cc2ncon2)c1 ZINC001323598686 1074258901 /nfs/dbraw/zinc/25/89/01/1074258901.db2.gz YJTHMQHDLVMWLO-CQSZACIVSA-N 0 0 442.469 -0.052 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)N2CC[C@@](O)(C(N)=O)C2)c(=O)n(-c2ccccc2)n1C ZINC001323598922 1074259003 /nfs/dbraw/zinc/25/90/03/1074259003.db2.gz QGJCCURRTLDBEC-FQEVSTJZSA-N 0 0 447.517 -0.395 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)N2CC[C@](O)(C(N)=O)C2)c(=O)n(-c2ccccc2)n1C ZINC001323598927 1074258815 /nfs/dbraw/zinc/25/88/15/1074258815.db2.gz QGJCCURRTLDBEC-HXUWFJFHSA-N 0 0 447.517 -0.395 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCc3nnc(C)n3C)c2)CC1 ZINC001323607423 1074258802 /nfs/dbraw/zinc/25/88/02/1074258802.db2.gz ITAYWWODZIVGOY-UHFFFAOYSA-N 0 0 434.522 -0.051 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCC3(CS(C)(=O)=O)CC3)CC2)cn1 ZINC001323614120 1074258859 /nfs/dbraw/zinc/25/88/59/1074258859.db2.gz NLUVKXXZQCZSOM-UHFFFAOYSA-N 0 0 433.556 -0.303 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)NCCN(CCO)C(=O)C(=O)c1c(C)nn(C)c1C ZINC001323635007 1074259219 /nfs/dbraw/zinc/25/92/19/1074259219.db2.gz KYELWSWPUYDYTI-UHFFFAOYSA-N 0 0 432.481 -0.610 20 0 IBADRN NC(=O)C1(CNC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CCOCC1 ZINC001323635158 1074259214 /nfs/dbraw/zinc/25/92/14/1074259214.db2.gz KPDLGCASWXXJGW-UHFFFAOYSA-N 0 0 431.536 -0.290 20 0 IBADRN CC(C)(C(=O)NCC1(CNC(=O)C(C)(C)S(C)(=O)=O)CCOCC1)S(C)(=O)=O ZINC001323636983 1074259248 /nfs/dbraw/zinc/25/92/48/1074259248.db2.gz YUWHDYNJCYHDEZ-UHFFFAOYSA-N 0 0 440.584 -0.338 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001323643271 1074259294 /nfs/dbraw/zinc/25/92/94/1074259294.db2.gz QMBSDCOHDQTGMF-DOXZYTNZSA-N 0 0 446.552 -0.775 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001323643272 1074259327 /nfs/dbraw/zinc/25/93/27/1074259327.db2.gz QMBSDCOHDQTGMF-KHYOSLBOSA-N 0 0 446.552 -0.775 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001323643273 1074259315 /nfs/dbraw/zinc/25/93/15/1074259315.db2.gz QMBSDCOHDQTGMF-QMTMVMCOSA-N 0 0 446.552 -0.775 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001323643274 1074259279 /nfs/dbraw/zinc/25/92/79/1074259279.db2.gz QMBSDCOHDQTGMF-YZVOILCLSA-N 0 0 446.552 -0.775 20 0 IBADRN O=C(CCNC(=O)NCc1ccncc1OC[C@@H]1CCOC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323648616 1074259274 /nfs/dbraw/zinc/25/92/74/1074259274.db2.gz FWERVPSXOCSXJK-GDBMZVCRSA-N 0 0 440.522 -0.011 20 0 IBADRN O=C(CCNC(=O)NCc1ccncc1OC[C@H]1CCOC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323648619 1074259222 /nfs/dbraw/zinc/25/92/22/1074259222.db2.gz FWERVPSXOCSXJK-GOEBONIOSA-N 0 0 440.522 -0.011 20 0 IBADRN O=C(CCNC(=O)NCc1ccncc1OC[C@H]1CCOC1)N[C@H]1CCS(=O)(=O)C1 ZINC001323648620 1074259205 /nfs/dbraw/zinc/25/92/05/1074259205.db2.gz FWERVPSXOCSXJK-HOCLYGCPSA-N 0 0 440.522 -0.011 20 0 IBADRN O=C(CCNC(=O)NCc1ccncc1OC[C@@H]1CCOC1)N[C@H]1CCS(=O)(=O)C1 ZINC001323648622 1074259268 /nfs/dbraw/zinc/25/92/68/1074259268.db2.gz FWERVPSXOCSXJK-ZBFHGGJFSA-N 0 0 440.522 -0.011 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001323654788 1074259226 /nfs/dbraw/zinc/25/92/26/1074259226.db2.gz GGQXBFUMYSRCIR-MFKMUULPSA-N 0 0 439.943 -0.328 20 0 IBADRN CNC(=O)[C@@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001323654806 1074259307 /nfs/dbraw/zinc/25/93/07/1074259307.db2.gz GGQXBFUMYSRCIR-ZWNOBZJWSA-N 0 0 439.943 -0.328 20 0 IBADRN CS(=O)(=O)NCc1ccc(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)o1 ZINC001323685612 1074259702 /nfs/dbraw/zinc/25/97/02/1074259702.db2.gz UOARGGNNBXBDFA-UHFFFAOYSA-N 0 0 436.494 -0.413 20 0 IBADRN CS(=O)(=O)NCc1ccc(C(=O)N2CCN(CC(=O)Nc3nncs3)CC2)o1 ZINC001323686048 1074259733 /nfs/dbraw/zinc/25/97/33/1074259733.db2.gz ZEJKLKYOULWFBR-UHFFFAOYSA-N 0 0 428.496 -0.423 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)CC1 ZINC001323689950 1074259853 /nfs/dbraw/zinc/25/98/53/1074259853.db2.gz IRKGAIKGJGVDGQ-UHFFFAOYSA-N 0 0 425.442 -0.314 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@H]2CCCN(C(=O)c3ccccc3)C2)CC1 ZINC001323689970 1074259773 /nfs/dbraw/zinc/25/97/73/1074259773.db2.gz JWIOCXUKFOVAST-INIZCTEOSA-N 0 0 430.552 -0.297 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N[C@@H]2CCCN(C(=O)c3ccccc3)C2)CC1 ZINC001323689971 1074259709 /nfs/dbraw/zinc/25/97/09/1074259709.db2.gz JWIOCXUKFOVAST-MRXNPFEDSA-N 0 0 430.552 -0.297 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001323690334 1074259721 /nfs/dbraw/zinc/25/97/21/1074259721.db2.gz QLKGZKIOMHVGSE-KRWDZBQOSA-N 0 0 449.533 -0.617 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001323690335 1074259824 /nfs/dbraw/zinc/25/98/24/1074259824.db2.gz QLKGZKIOMHVGSE-QGZVFWFLSA-N 0 0 449.533 -0.617 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCc2c(C)nn(CCS(C)(=O)=O)c2C)CC1 ZINC001323693884 1074259621 /nfs/dbraw/zinc/25/96/21/1074259621.db2.gz HSHJJDDNEXGESR-UHFFFAOYSA-N 0 0 436.556 -0.236 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)N2CCC(C(=O)N(C)C(C)C)CC2)CC1 ZINC001323698140 1074259791 /nfs/dbraw/zinc/25/97/91/1074259791.db2.gz ZZNHUXXLIIOXLK-UHFFFAOYSA-N 0 0 446.570 -0.189 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NCc2c(F)cccc2NS(C)(=O)=O)cn1C ZINC001323738065 1074259834 /nfs/dbraw/zinc/25/98/34/1074259834.db2.gz DQQMJYWAZSQOPM-UHFFFAOYSA-N 0 0 433.487 -0.166 20 0 IBADRN O=C(CSCC(=O)NC[C@@H]1CCCCS1(=O)=O)NC[C@@H]1CCCCS1(=O)=O ZINC001323744783 1074259781 /nfs/dbraw/zinc/25/97/81/1074259781.db2.gz OJMFBUAZOYTKSD-KBPBESRZSA-N 0 0 440.609 -0.113 20 0 IBADRN O=C(CSCC(=O)NC[C@@H]1CCCCS1(=O)=O)NC[C@H]1CCCCS1(=O)=O ZINC001323744785 1074259690 /nfs/dbraw/zinc/25/96/90/1074259690.db2.gz OJMFBUAZOYTKSD-OKILXGFUSA-N 0 0 440.609 -0.113 20 0 IBADRN O=C(CSCC(=O)NC[C@H]1CCCCS1(=O)=O)NC[C@H]1CCCCS1(=O)=O ZINC001323744786 1074259867 /nfs/dbraw/zinc/25/98/67/1074259867.db2.gz OJMFBUAZOYTKSD-ZIAGYGMSSA-N 0 0 440.609 -0.113 20 0 IBADRN CS(=O)(=O)Cc1ccccc1CNC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001323757744 1074259747 /nfs/dbraw/zinc/25/97/47/1074259747.db2.gz VMEDLYWVGKCILP-CVEARBPZSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1ccccc1CNC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001323757745 1074259634 /nfs/dbraw/zinc/25/96/34/1074259634.db2.gz VMEDLYWVGKCILP-HOTGVXAUSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1ccccc1CNC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001323757746 1074259752 /nfs/dbraw/zinc/25/97/52/1074259752.db2.gz VMEDLYWVGKCILP-HZPDHXFCSA-N 0 0 428.532 -0.117 20 0 IBADRN CS(=O)(=O)Cc1ccccc1CNC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001323757747 1074259761 /nfs/dbraw/zinc/25/97/61/1074259761.db2.gz VMEDLYWVGKCILP-JKSUJKDBSA-N 0 0 428.532 -0.117 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccc3c(c2)NC(=O)C3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001323758277 1074260140 /nfs/dbraw/zinc/26/01/40/1074260140.db2.gz XMBSEGLEXASEJO-INIZCTEOSA-N 0 0 434.518 -0.829 20 0 IBADRN O=C(CN1CCN(C(=O)Cc2ccc3c(c2)NC(=O)C3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001323758280 1074260283 /nfs/dbraw/zinc/26/02/83/1074260283.db2.gz XMBSEGLEXASEJO-MRXNPFEDSA-N 0 0 434.518 -0.829 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@H](O)CN3CCCC3=O)CC2)cc1 ZINC001323769438 1074260277 /nfs/dbraw/zinc/26/02/77/1074260277.db2.gz KERWNZJSCCKOCO-KRWDZBQOSA-N 0 0 438.550 -0.599 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NC[C@@H](O)CN3CCCC3=O)CC2)cc1 ZINC001323769439 1074260240 /nfs/dbraw/zinc/26/02/40/1074260240.db2.gz KERWNZJSCCKOCO-QGZVFWFLSA-N 0 0 438.550 -0.599 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC001323777630 1074260294 /nfs/dbraw/zinc/26/02/94/1074260294.db2.gz YZEFHGJVVZJOJR-AWEZNQCLSA-N 0 0 436.490 -0.407 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)c1 ZINC001323777631 1074260288 /nfs/dbraw/zinc/26/02/88/1074260288.db2.gz YZEFHGJVVZJOJR-CQSZACIVSA-N 0 0 436.490 -0.407 20 0 IBADRN C[C@H](NC(=O)CN1CCN(C)CC1)c1ccc(CNC(=O)CN2CCN(C)CC2)cc1 ZINC001323782305 1074260173 /nfs/dbraw/zinc/26/01/73/1074260173.db2.gz FYGWSUGCFKXPQH-IBGZPJMESA-N 0 0 430.597 -0.025 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(C)CC1)c1ccc(CNC(=O)CN2CCN(C)CC2)cc1 ZINC001323782310 1074260154 /nfs/dbraw/zinc/26/01/54/1074260154.db2.gz FYGWSUGCFKXPQH-LJQANCHMSA-N 0 0 430.597 -0.025 20 0 IBADRN O=C(c1ccc(=O)n(Cc2ccccc2)n1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001323785068 1074260181 /nfs/dbraw/zinc/26/01/81/1074260181.db2.gz JHVVGOHMWPFPDR-UHFFFAOYSA-N 0 0 429.462 -0.444 20 0 IBADRN O=C(C1CCN(C(=O)N2CCCC2)CC1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001323785940 1074260280 /nfs/dbraw/zinc/26/02/80/1074260280.db2.gz PHKQGNLGDOISCX-UHFFFAOYSA-N 0 0 425.515 -0.435 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cn(Cc3cccnc3)nn2)CC1 ZINC001323786661 1074260273 /nfs/dbraw/zinc/26/02/73/1074260273.db2.gz YBKXXQYHHJUKJG-KRWDZBQOSA-N 0 0 440.551 -0.644 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cn(Cc3cccnc3)nn2)CC1 ZINC001323786667 1074260255 /nfs/dbraw/zinc/26/02/55/1074260255.db2.gz YBKXXQYHHJUKJG-QGZVFWFLSA-N 0 0 440.551 -0.644 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001323799525 1074260230 /nfs/dbraw/zinc/26/02/30/1074260230.db2.gz YEIZVLYSIYICPF-UHFFFAOYSA-N 0 0 441.572 -0.968 20 0 IBADRN COCCc1noc(CN(C)C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)n1 ZINC001323813120 1074260196 /nfs/dbraw/zinc/26/01/96/1074260196.db2.gz FYKDBBYTCMEXPW-UHFFFAOYSA-N 0 0 437.478 -0.349 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cc(C3CC3)nn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323816433 1074260291 /nfs/dbraw/zinc/26/02/91/1074260291.db2.gz GLFAZQUKFVDPRW-AWEZNQCLSA-N 0 0 445.567 -0.364 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)Nc2cc(C3CC3)nn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323816435 1074260186 /nfs/dbraw/zinc/26/01/86/1074260186.db2.gz GLFAZQUKFVDPRW-CQSZACIVSA-N 0 0 445.567 -0.364 20 0 IBADRN COCCNc1nc(N2CCC(NC(C)=O)CC2)nc(N2CCC(NC(C)=O)CC2)n1 ZINC001323817478 1074260207 /nfs/dbraw/zinc/26/02/07/1074260207.db2.gz KRXJNYSFEPZRGG-UHFFFAOYSA-N 0 0 434.545 -0.438 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@@H](CO)C(=O)OCc3ccccc3)c2c(=O)n(C)c1=O ZINC001323818105 1074260285 /nfs/dbraw/zinc/26/02/85/1074260285.db2.gz PZLSNYIKXIGKLX-AWEZNQCLSA-N 0 0 429.433 -0.956 20 0 IBADRN Cn1c2ncn(CCC(=O)N[C@H](CO)C(=O)OCc3ccccc3)c2c(=O)n(C)c1=O ZINC001323818106 1074260147 /nfs/dbraw/zinc/26/01/47/1074260147.db2.gz PZLSNYIKXIGKLX-CQSZACIVSA-N 0 0 429.433 -0.956 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC001323830476 1074260511 /nfs/dbraw/zinc/26/05/11/1074260511.db2.gz GWKXLROAWPRVTG-UHFFFAOYSA-N 0 0 438.616 -0.144 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)n1 ZINC001323838983 1074260545 /nfs/dbraw/zinc/26/05/45/1074260545.db2.gz UYPHZWJVJYKYGO-UHFFFAOYSA-N 0 0 428.492 -0.125 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(C)(=O)=O)c(F)c1 ZINC001323872797 1074260579 /nfs/dbraw/zinc/26/05/79/1074260579.db2.gz NTMYUYNEIJRKQS-JTQLQIEISA-N 0 0 442.512 -0.847 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(S(C)(=O)=O)c(F)c1 ZINC001323872798 1074260607 /nfs/dbraw/zinc/26/06/07/1074260607.db2.gz NTMYUYNEIJRKQS-SNVBAGLBSA-N 0 0 442.512 -0.847 20 0 IBADRN O=C(c1cnc2nccnc2c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323875852 1074260588 /nfs/dbraw/zinc/26/05/88/1074260588.db2.gz PYCAITQLNJRIHT-CYBMUJFWSA-N 0 0 425.492 -0.701 20 0 IBADRN O=C(c1cnc2nccnc2c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323875854 1074260566 /nfs/dbraw/zinc/26/05/66/1074260566.db2.gz PYCAITQLNJRIHT-ZDUSSCGKSA-N 0 0 425.492 -0.701 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)CCCOC)CC2)cc1 ZINC001323876143 1074260668 /nfs/dbraw/zinc/26/06/68/1074260668.db2.gz SVNFLPGITJCLEG-UHFFFAOYSA-N 0 0 433.552 -0.352 20 0 IBADRN COC(=O)c1cn([C@H]2CCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)C2)nn1 ZINC001323877595 1074260594 /nfs/dbraw/zinc/26/05/94/1074260594.db2.gz MYLCMRYQWBEJQY-AWEZNQCLSA-N 0 0 428.515 -0.470 20 0 IBADRN COC(=O)c1cn([C@@H]2CCN(C(=O)NC3CCN(CCS(C)(=O)=O)CC3)C2)nn1 ZINC001323877598 1074260624 /nfs/dbraw/zinc/26/06/24/1074260624.db2.gz MYLCMRYQWBEJQY-CQSZACIVSA-N 0 0 428.515 -0.470 20 0 IBADRN O=C(c1nnc2ccccc2n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323879211 1074260637 /nfs/dbraw/zinc/26/06/37/1074260637.db2.gz BUIFNCKGXKCPEE-GFCCVEGCSA-N 0 0 425.492 -0.701 20 0 IBADRN O=C(c1nnc2ccccc2n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323879213 1074260662 /nfs/dbraw/zinc/26/06/62/1074260662.db2.gz BUIFNCKGXKCPEE-LBPRGKRZSA-N 0 0 425.492 -0.701 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2CC(O)(C3CC3)C2)cc1)N1CC(O)(C2CC2)C1 ZINC001323881410 1074260555 /nfs/dbraw/zinc/26/05/55/1074260555.db2.gz TVSMWKLNALYFHO-UHFFFAOYSA-N 0 0 428.532 -0.023 20 0 IBADRN COCCN(C(=S)NCC(=O)N(C)C)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC001323882546 1074260684 /nfs/dbraw/zinc/26/06/84/1074260684.db2.gz LEULISRRJUUVSU-UHFFFAOYSA-N 0 0 434.522 -0.005 20 0 IBADRN O=C1CN(S(=O)(=O)c2cccc(-c3nc([C@@H]4CCS(=O)(=O)C4)no3)c2)CCN1 ZINC001323891086 1074261118 /nfs/dbraw/zinc/26/11/18/1074261118.db2.gz MEHBSBGOVVHMFS-GFCCVEGCSA-N 0 0 426.476 -0.241 20 0 IBADRN O=C1CN(S(=O)(=O)c2cccc(-c3nc([C@H]4CCS(=O)(=O)C4)no3)c2)CCN1 ZINC001323891088 1074261208 /nfs/dbraw/zinc/26/12/08/1074261208.db2.gz MEHBSBGOVVHMFS-LBPRGKRZSA-N 0 0 426.476 -0.241 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC001323892605 1074261306 /nfs/dbraw/zinc/26/13/06/1074261306.db2.gz VDCYBKCSUNGMEO-UHFFFAOYSA-N 0 0 448.567 -0.943 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCC(=O)N2CCC[C@H]2C(=O)NC)cc1 ZINC001323893065 1074261295 /nfs/dbraw/zinc/26/12/95/1074261295.db2.gz YUVDKSRGCUSXKR-HNNXBMFYSA-N 0 0 426.495 -0.783 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCC(=O)N2CCC[C@@H]2C(=O)NC)cc1 ZINC001323893066 1074261187 /nfs/dbraw/zinc/26/11/87/1074261187.db2.gz YUVDKSRGCUSXKR-OAHLLOKOSA-N 0 0 426.495 -0.783 20 0 IBADRN O=C(C1CCN(c2ccc3nnnn3n2)CC1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC001323919057 1074261099 /nfs/dbraw/zinc/26/10/99/1074261099.db2.gz DSDGPECFXIOSPX-KRWDZBQOSA-N 0 0 430.513 -0.315 20 0 IBADRN O=C(C1CCN(c2ccc3nnnn3n2)CC1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC001323919059 1074261217 /nfs/dbraw/zinc/26/12/17/1074261217.db2.gz DSDGPECFXIOSPX-QGZVFWFLSA-N 0 0 430.513 -0.315 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2ncc(C3OCCO3)s2)CC1 ZINC001323928665 1074261285 /nfs/dbraw/zinc/26/12/85/1074261285.db2.gz FLEFWKFEJUJWLP-GFCCVEGCSA-N 0 0 437.565 -0.177 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2ncc(C3OCCO3)s2)CC1 ZINC001323928666 1074261314 /nfs/dbraw/zinc/26/13/14/1074261314.db2.gz FLEFWKFEJUJWLP-LBPRGKRZSA-N 0 0 437.565 -0.177 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001323929498 1074261258 /nfs/dbraw/zinc/26/12/58/1074261258.db2.gz MFXMXACGOIJNKT-BRWVUGGUSA-N 0 0 441.554 -0.903 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001323929509 1074261192 /nfs/dbraw/zinc/26/11/92/1074261192.db2.gz MFXMXACGOIJNKT-IKGGRYGDSA-N 0 0 441.554 -0.903 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001323929511 1074261165 /nfs/dbraw/zinc/26/11/65/1074261165.db2.gz MFXMXACGOIJNKT-IXDOHACOSA-N 0 0 441.554 -0.903 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001323929512 1074261229 /nfs/dbraw/zinc/26/12/29/1074261229.db2.gz MFXMXACGOIJNKT-ZACQAIPSSA-N 0 0 441.554 -0.903 20 0 IBADRN O=C(Cc1ccccc1C(=O)N1CCN2C(=O)NC[C@H]2C1)N1CCN2C(=O)NC[C@@H]2C1 ZINC001323929856 1074261143 /nfs/dbraw/zinc/26/11/43/1074261143.db2.gz KCVVCGAOXPJWNB-CVEARBPZSA-N 0 0 426.477 -0.685 20 0 IBADRN O=C(Cc1ccccc1C(=O)N1CCN2C(=O)NC[C@H]2C1)N1CCN2C(=O)NC[C@H]2C1 ZINC001323929859 1074261321 /nfs/dbraw/zinc/26/13/21/1074261321.db2.gz KCVVCGAOXPJWNB-HOTGVXAUSA-N 0 0 426.477 -0.685 20 0 IBADRN O=C(Cc1ccccc1C(=O)N1CCN2C(=O)NC[C@@H]2C1)N1CCN2C(=O)NC[C@@H]2C1 ZINC001323929862 1074261160 /nfs/dbraw/zinc/26/11/60/1074261160.db2.gz KCVVCGAOXPJWNB-HZPDHXFCSA-N 0 0 426.477 -0.685 20 0 IBADRN O=C(Cc1ccccc1C(=O)N1CCN2C(=O)NC[C@@H]2C1)N1CCN2C(=O)NC[C@H]2C1 ZINC001323929865 1074261664 /nfs/dbraw/zinc/26/16/64/1074261664.db2.gz KCVVCGAOXPJWNB-JKSUJKDBSA-N 0 0 426.477 -0.685 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001323931958 1074261619 /nfs/dbraw/zinc/26/16/19/1074261619.db2.gz SJTDFTFMYKKGSW-CHWSQXEVSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001323931960 1074261708 /nfs/dbraw/zinc/26/17/08/1074261708.db2.gz SJTDFTFMYKKGSW-OLZOCXBDSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001323931961 1074261755 /nfs/dbraw/zinc/26/17/55/1074261755.db2.gz SJTDFTFMYKKGSW-QWHCGFSZSA-N 0 0 429.520 -0.123 20 0 IBADRN CNS(=O)(=O)c1ccc(C)c(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001323931963 1074261733 /nfs/dbraw/zinc/26/17/33/1074261733.db2.gz SJTDFTFMYKKGSW-STQMWFEESA-N 0 0 429.520 -0.123 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@@]1(O)CCN(C)C1 ZINC001323932076 1074261683 /nfs/dbraw/zinc/26/16/83/1074261683.db2.gz WTKCDWXCBYFEQP-BEFAXECRSA-N 0 0 427.523 -0.589 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@]1(O)CCN(C)C1 ZINC001323932077 1074261645 /nfs/dbraw/zinc/26/16/45/1074261645.db2.gz WTKCDWXCBYFEQP-DNVCBOLYSA-N 0 0 427.523 -0.589 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@]1(O)CCN(C)C1 ZINC001323932078 1074261743 /nfs/dbraw/zinc/26/17/43/1074261743.db2.gz WTKCDWXCBYFEQP-HNAYVOBHSA-N 0 0 427.523 -0.589 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@@]1(O)CCN(C)C1 ZINC001323932080 1074261713 /nfs/dbraw/zinc/26/17/13/1074261713.db2.gz WTKCDWXCBYFEQP-KXBFYZLASA-N 0 0 427.523 -0.589 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)c2c(Br)nnn2C)c1 ZINC001323935073 1074261763 /nfs/dbraw/zinc/26/17/63/1074261763.db2.gz WVNOMEBXFZPQMD-UHFFFAOYSA-N 0 0 431.272 -0.146 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(Cc3nccn3S(=O)(=O)N(C)C)CC2)cn1C ZINC001323935266 1074261702 /nfs/dbraw/zinc/26/17/02/1074261702.db2.gz DYEAKJBQDLEDLW-UHFFFAOYSA-N 0 0 431.544 -0.914 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CNC(=O)N1CCN(CC(=O)N2CCOCC2)CC1 ZINC001323936832 1074261728 /nfs/dbraw/zinc/26/17/28/1074261728.db2.gz SKMQVLSUXCDVOU-UHFFFAOYSA-N 0 0 439.538 -0.256 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001323941372 1074261791 /nfs/dbraw/zinc/26/17/91/1074261791.db2.gz GJMAFMBHXFQNKM-UHFFFAOYSA-N 0 0 428.330 -0.265 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1(c2ncon2)CCOCC1 ZINC001323943816 1074261671 /nfs/dbraw/zinc/26/16/71/1074261671.db2.gz MSSVHKLEZRMUTC-UHFFFAOYSA-N 0 0 425.467 -0.135 20 0 IBADRN CS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC001323944216 1074261757 /nfs/dbraw/zinc/26/17/57/1074261757.db2.gz UVKCOBSDYURJIH-AWEZNQCLSA-N 0 0 431.536 -0.180 20 0 IBADRN CS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC001323944217 1074261774 /nfs/dbraw/zinc/26/17/74/1074261774.db2.gz UVKCOBSDYURJIH-CQSZACIVSA-N 0 0 431.536 -0.180 20 0 IBADRN O=C(N1CCOCC1)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC001323947846 1074261781 /nfs/dbraw/zinc/26/17/81/1074261781.db2.gz TZBNLXDCEFIEBJ-UHFFFAOYSA-N 0 0 446.551 -0.636 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nc2c(nc1N(C)CCNS(C)(=O)=O)CCCC2 ZINC001323959798 1074262091 /nfs/dbraw/zinc/26/20/91/1074262091.db2.gz JHZXBOVTBBDKJT-UHFFFAOYSA-N 0 0 434.588 -0.674 20 0 IBADRN CN(C)S(=O)(=O)CCNc1nc2c(nc1NCCS(=O)(=O)N(C)C)CCCC2 ZINC001323960039 1074262105 /nfs/dbraw/zinc/26/21/05/1074262105.db2.gz CBQDFYSAXSGICL-UHFFFAOYSA-N 0 0 434.588 -0.038 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001323962737 1074262149 /nfs/dbraw/zinc/26/21/49/1074262149.db2.gz NFBPIXSBGLEQGP-UHFFFAOYSA-N 0 0 432.525 -0.093 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CN(C)S(=O)(=O)c2cccnc2)CC1 ZINC001323967610 1074262114 /nfs/dbraw/zinc/26/21/14/1074262114.db2.gz FMHLDFQRDFQLTE-UHFFFAOYSA-N 0 0 447.535 -0.329 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNS(=O)(=O)CCCN2CCOCC2)CC1 ZINC001323971530 1074262127 /nfs/dbraw/zinc/26/21/27/1074262127.db2.gz ADVPBWSSJWJVNB-UHFFFAOYSA-N 0 0 425.555 -0.075 20 0 IBADRN C[C@H](NC(=O)c1ccoc1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323973862 1074262122 /nfs/dbraw/zinc/26/21/22/1074262122.db2.gz JZBJLOKMOHCRCP-DZGCQCFKSA-N 0 0 427.479 -0.728 20 0 IBADRN C[C@@H](NC(=O)c1ccoc1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001323973863 1074262143 /nfs/dbraw/zinc/26/21/43/1074262143.db2.gz JZBJLOKMOHCRCP-HIFRSBDPSA-N 0 0 427.479 -0.728 20 0 IBADRN C[C@@H](NC(=O)c1ccoc1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323973864 1074262165 /nfs/dbraw/zinc/26/21/65/1074262165.db2.gz JZBJLOKMOHCRCP-UKRRQHHQSA-N 0 0 427.479 -0.728 20 0 IBADRN C[C@H](NC(=O)c1ccoc1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001323973865 1074262086 /nfs/dbraw/zinc/26/20/86/1074262086.db2.gz JZBJLOKMOHCRCP-ZFWWWQNUSA-N 0 0 427.479 -0.728 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001323975272 1074262080 /nfs/dbraw/zinc/26/20/80/1074262080.db2.gz UXAYPPFZSPIZNN-UHFFFAOYSA-N 0 0 438.554 -0.164 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@H](C)S(=O)(=O)C3CCOCC3)CC2)c(C(N)=O)c1C ZINC001323976896 1074262069 /nfs/dbraw/zinc/26/20/69/1074262069.db2.gz LEWLRTYUXFUKJW-AWEZNQCLSA-N 0 0 439.538 -0.177 20 0 IBADRN Cc1nnc(N2CCN(C(=O)[C@@H](C)S(=O)(=O)C3CCOCC3)CC2)c(C(N)=O)c1C ZINC001323976897 1074262159 /nfs/dbraw/zinc/26/21/59/1074262159.db2.gz LEWLRTYUXFUKJW-CQSZACIVSA-N 0 0 439.538 -0.177 20 0 IBADRN NC(=O)C[C@H]1CCN(C(=O)CN(CC(=O)N2CC[C@@H](CC(N)=O)C2)c2ccccc2)C1 ZINC001323985454 1074262139 /nfs/dbraw/zinc/26/21/39/1074262139.db2.gz IQGJHKUOFREPQD-CALCHBBNSA-N 0 0 429.521 -0.059 20 0 IBADRN NC(=O)C[C@H]1CCN(C(=O)CN(CC(=O)N2CC[C@H](CC(N)=O)C2)c2ccccc2)C1 ZINC001323985456 1074262109 /nfs/dbraw/zinc/26/21/09/1074262109.db2.gz IQGJHKUOFREPQD-IAGOWNOFSA-N 0 0 429.521 -0.059 20 0 IBADRN NC(=O)C[C@@H]1CCN(C(=O)CN(CC(=O)N2CC[C@@H](CC(N)=O)C2)c2ccccc2)C1 ZINC001323985458 1074262155 /nfs/dbraw/zinc/26/21/55/1074262155.db2.gz IQGJHKUOFREPQD-IRXDYDNUSA-N 0 0 429.521 -0.059 20 0 IBADRN COc1ccc(CNC(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC001323987131 1074262119 /nfs/dbraw/zinc/26/21/19/1074262119.db2.gz FBJIKLBLXNTYJF-UHFFFAOYSA-N 0 0 434.540 -0.092 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCS(=O)(=O)Cc1cnnn1C ZINC001323989400 1074262714 /nfs/dbraw/zinc/26/27/14/1074262714.db2.gz BAAZGKBKTUQFNE-UHFFFAOYSA-N 0 0 436.537 -0.546 20 0 IBADRN COc1ccc(CNC(=O)N(C)C2CCN(S(C)(=O)=O)CC2)cc1S(N)(=O)=O ZINC001323990863 1074262542 /nfs/dbraw/zinc/26/25/42/1074262542.db2.gz YBELBQNROPHHKU-UHFFFAOYSA-N 0 0 434.540 -0.092 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H](C)C(=O)NCC(F)(F)F)CC2)cn1C ZINC001323991456 1074262577 /nfs/dbraw/zinc/26/25/77/1074262577.db2.gz MHGRQAQXSPJNIJ-JTQLQIEISA-N 0 0 440.448 -0.189 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H](C)C(=O)NCC(F)(F)F)CC2)cn1C ZINC001323991459 1074262616 /nfs/dbraw/zinc/26/26/16/1074262616.db2.gz MHGRQAQXSPJNIJ-SNVBAGLBSA-N 0 0 440.448 -0.189 20 0 IBADRN CCC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001323994546 1074262694 /nfs/dbraw/zinc/26/26/94/1074262694.db2.gz LJISIRSQPRMHRK-CVEARBPZSA-N 0 0 429.539 -0.491 20 0 IBADRN CCC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001323994548 1074262592 /nfs/dbraw/zinc/26/25/92/1074262592.db2.gz LJISIRSQPRMHRK-HOTGVXAUSA-N 0 0 429.539 -0.491 20 0 IBADRN CCC(=O)N1CCC[C@@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001323994550 1074262704 /nfs/dbraw/zinc/26/27/04/1074262704.db2.gz LJISIRSQPRMHRK-HZPDHXFCSA-N 0 0 429.539 -0.491 20 0 IBADRN CCC(=O)N1CCC[C@H](C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001323994552 1074262783 /nfs/dbraw/zinc/26/27/83/1074262783.db2.gz LJISIRSQPRMHRK-JKSUJKDBSA-N 0 0 429.539 -0.491 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CNC2=O)CC1 ZINC001324008835 1074262684 /nfs/dbraw/zinc/26/26/84/1074262684.db2.gz GQPZUYTZSXGCIT-UHFFFAOYSA-N 0 0 430.870 -0.267 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CS[C@@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC001324010544 1074262555 /nfs/dbraw/zinc/26/25/55/1074262555.db2.gz CTECULLBNVZTHA-CYBMUJFWSA-N 0 0 436.581 -0.518 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CS[C@H]3CCS(=O)(=O)C3)CC2)cn1C ZINC001324010549 1074262566 /nfs/dbraw/zinc/26/25/66/1074262566.db2.gz CTECULLBNVZTHA-ZDUSSCGKSA-N 0 0 436.581 -0.518 20 0 IBADRN O=C(c1nn(-c2ccc(F)cc2)ccc1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001324016854 1074262645 /nfs/dbraw/zinc/26/26/45/1074262645.db2.gz RIZBCGJRYWJQCM-UHFFFAOYSA-N 0 0 433.425 -0.364 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC001324017050 1074262748 /nfs/dbraw/zinc/26/27/48/1074262748.db2.gz UVNVBNNHRCLIMH-UHFFFAOYSA-N 0 0 428.496 -0.798 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CCN(CC(=O)N2CCOCC2)CC1)C(C)(C)O ZINC001324026655 1074262656 /nfs/dbraw/zinc/26/26/56/1074262656.db2.gz ITPBJYILJNFJIA-MRXNPFEDSA-N 0 0 428.530 -0.346 20 0 IBADRN O=C(CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001324027001 1074262603 /nfs/dbraw/zinc/26/26/03/1074262603.db2.gz PCIDRVHADRAXHK-GASCZTMLSA-N 0 0 443.547 -0.900 20 0 IBADRN O=C(CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccccc1)N[C@H]1CCS(=O)(=O)C1 ZINC001324027002 1074263069 /nfs/dbraw/zinc/26/30/69/1074263069.db2.gz PCIDRVHADRAXHK-GJZGRUSLSA-N 0 0 443.547 -0.900 20 0 IBADRN O=C(CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccccc1)N[C@@H]1CCS(=O)(=O)C1 ZINC001324027003 1074263190 /nfs/dbraw/zinc/26/31/90/1074263190.db2.gz PCIDRVHADRAXHK-HUUCEWRRSA-N 0 0 443.547 -0.900 20 0 IBADRN CCS(=O)(=O)CCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001324027148 1074263125 /nfs/dbraw/zinc/26/31/25/1074263125.db2.gz QPPMDEXKUGMOOA-KBPBESRZSA-N 0 0 445.581 -0.969 20 0 IBADRN CCS(=O)(=O)CCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001324027149 1074263196 /nfs/dbraw/zinc/26/31/96/1074263196.db2.gz QPPMDEXKUGMOOA-KGLIPLIRSA-N 0 0 445.581 -0.969 20 0 IBADRN CCS(=O)(=O)CCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001324027150 1074263108 /nfs/dbraw/zinc/26/31/08/1074263108.db2.gz QPPMDEXKUGMOOA-UONOGXRCSA-N 0 0 445.581 -0.969 20 0 IBADRN CCS(=O)(=O)CCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001324027151 1074263150 /nfs/dbraw/zinc/26/31/50/1074263150.db2.gz QPPMDEXKUGMOOA-ZIAGYGMSSA-N 0 0 445.581 -0.969 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2[nH]ncc2I)CC1 ZINC001324032466 1074263128 /nfs/dbraw/zinc/26/31/28/1074263128.db2.gz RLHZPWGPENVPJQ-UHFFFAOYSA-N 0 0 445.217 -0.552 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(OCC(=O)NC)c(OC)c1 ZINC001324033317 1074263184 /nfs/dbraw/zinc/26/31/84/1074263184.db2.gz AHHBGPYEAOBOAU-AWEZNQCLSA-N 0 0 441.506 -0.415 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(OCC(=O)NC)c(OC)c1 ZINC001324033319 1074263103 /nfs/dbraw/zinc/26/31/03/1074263103.db2.gz AHHBGPYEAOBOAU-CQSZACIVSA-N 0 0 441.506 -0.415 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2CS(=O)(=O)CC(=O)N2CCCCC2)cc1 ZINC001324033854 1074263158 /nfs/dbraw/zinc/26/31/58/1074263158.db2.gz HLDPNRSAWCIWFS-UHFFFAOYSA-N 0 0 427.508 -0.007 20 0 IBADRN O=C(NC[C@@]1(N2CCOCC2)CCSC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001324036650 1074263119 /nfs/dbraw/zinc/26/31/19/1074263119.db2.gz HWSIJRCQKIKGGS-IBGZPJMESA-N 0 0 427.571 -0.026 20 0 IBADRN O=C(NC[C@]1(N2CCOCC2)CCSC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001324036651 1074263056 /nfs/dbraw/zinc/26/30/56/1074263056.db2.gz HWSIJRCQKIKGGS-LJQANCHMSA-N 0 0 427.571 -0.026 20 0 IBADRN O=C(c1cc(CN2CCCC2)on1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324037165 1074263083 /nfs/dbraw/zinc/26/30/83/1074263083.db2.gz OKGGDZXGZYOCRO-HNNXBMFYSA-N 0 0 446.551 -0.455 20 0 IBADRN O=C(c1cc(CN2CCCC2)on1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324037166 1074263168 /nfs/dbraw/zinc/26/31/68/1074263168.db2.gz OKGGDZXGZYOCRO-OAHLLOKOSA-N 0 0 446.551 -0.455 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC001324038724 1074263224 /nfs/dbraw/zinc/26/32/24/1074263224.db2.gz AZXZMWWRQAVNDY-INIZCTEOSA-N 0 0 439.538 -0.247 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCc1ccnc(N2CCOCC2)c1 ZINC001324038725 1074263096 /nfs/dbraw/zinc/26/30/96/1074263096.db2.gz AZXZMWWRQAVNDY-MRXNPFEDSA-N 0 0 439.538 -0.247 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(N3CCCC3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001324043369 1074263114 /nfs/dbraw/zinc/26/31/14/1074263114.db2.gz WQONXFDQOMUEHB-HNNXBMFYSA-N 0 0 436.538 -0.862 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(N3CCCC3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001324043370 1074263074 /nfs/dbraw/zinc/26/30/74/1074263074.db2.gz WQONXFDQOMUEHB-OAHLLOKOSA-N 0 0 436.538 -0.862 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCc1ccc(S(C)(=O)=O)s1 ZINC001324050397 1074263644 /nfs/dbraw/zinc/26/36/44/1074263644.db2.gz YVNMLQUIQRCGKZ-LLVKDONJSA-N 0 0 437.565 -0.014 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCc1ccc(S(C)(=O)=O)s1 ZINC001324050404 1074263566 /nfs/dbraw/zinc/26/35/66/1074263566.db2.gz YVNMLQUIQRCGKZ-NSHDSACASA-N 0 0 437.565 -0.014 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC001324057500 1074263597 /nfs/dbraw/zinc/26/35/97/1074263597.db2.gz JBVZXJKPFJQMIX-CYBMUJFWSA-N 0 0 429.543 -0.153 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)CC1 ZINC001324057505 1074263503 /nfs/dbraw/zinc/26/35/03/1074263503.db2.gz JBVZXJKPFJQMIX-ZDUSSCGKSA-N 0 0 429.543 -0.153 20 0 IBADRN O=C(Cn1cnc2c(cnn2CCOc2ccccc2)c1=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324059187 1074263637 /nfs/dbraw/zinc/26/36/37/1074263637.db2.gz QRRZZMYAMAIBLG-AWEZNQCLSA-N 0 0 431.474 -0.025 20 0 IBADRN O=C(Cn1cnc2c(cnn2CCOc2ccccc2)c1=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324059188 1074263601 /nfs/dbraw/zinc/26/36/01/1074263601.db2.gz QRRZZMYAMAIBLG-CQSZACIVSA-N 0 0 431.474 -0.025 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NCC(=O)NCC(F)(F)F ZINC001324069742 1074263590 /nfs/dbraw/zinc/26/35/90/1074263590.db2.gz IFZLZKQIFOJIKJ-UHFFFAOYSA-N 0 0 430.426 -0.087 20 0 IBADRN COc1ccc(CNC(=O)NC2CCN(CC(=O)N(C)C)CC2)cc1S(N)(=O)=O ZINC001324072411 1074263704 /nfs/dbraw/zinc/26/37/04/1074263704.db2.gz RGSCYVCGIBEMAU-UHFFFAOYSA-N 0 0 427.527 -0.306 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCC2CCS(=O)(=O)CC2)c1 ZINC001324082232 1074263648 /nfs/dbraw/zinc/26/36/48/1074263648.db2.gz ILUHVRVHNJUJAY-UHFFFAOYSA-N 0 0 431.536 -0.392 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(CC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001324086096 1074263606 /nfs/dbraw/zinc/26/36/06/1074263606.db2.gz UKMFLKFHRVTXOT-HNNXBMFYSA-N 0 0 442.538 -0.898 20 0 IBADRN COC(=O)C1=NOC2(C1)CCN(CC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001324086099 1074263576 /nfs/dbraw/zinc/26/35/76/1074263576.db2.gz UKMFLKFHRVTXOT-OAHLLOKOSA-N 0 0 442.538 -0.898 20 0 IBADRN C[S@](=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001324086978 1074263615 /nfs/dbraw/zinc/26/36/15/1074263615.db2.gz IFLKSUOMVYINCK-BZQUYTCOSA-N 0 0 434.561 -0.301 20 0 IBADRN C[S@](=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001324086980 1074263541 /nfs/dbraw/zinc/26/35/41/1074263541.db2.gz IFLKSUOMVYINCK-MQNRADLISA-N 0 0 434.561 -0.301 20 0 IBADRN C[S@@](=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001324086982 1074264049 /nfs/dbraw/zinc/26/40/49/1074264049.db2.gz IFLKSUOMVYINCK-ODCWNRFASA-N 0 0 434.561 -0.301 20 0 IBADRN C[S@@](=O)c1cccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001324086983 1074264045 /nfs/dbraw/zinc/26/40/45/1074264045.db2.gz IFLKSUOMVYINCK-SGANQWHYSA-N 0 0 434.561 -0.301 20 0 IBADRN Cc1cc(C(=O)N(C)CCNS(C)(=O)=O)cc(C(=O)N(C)CCNS(C)(=O)=O)c1 ZINC001324087006 1074264004 /nfs/dbraw/zinc/26/40/04/1074264004.db2.gz GOFWIHUJDYRFBT-UHFFFAOYSA-N 0 0 448.567 -0.763 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC(C(=O)NCCCO)CC1 ZINC001324093227 1074263995 /nfs/dbraw/zinc/26/39/95/1074263995.db2.gz BRJURCUNRBZXDI-CYBMUJFWSA-N 0 0 429.543 -0.559 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC(C(=O)NCCCO)CC1 ZINC001324093231 1074264064 /nfs/dbraw/zinc/26/40/64/1074264064.db2.gz BRJURCUNRBZXDI-ZDUSSCGKSA-N 0 0 429.543 -0.559 20 0 IBADRN O=S(=O)(c1c[nH]c(C(F)(F)F)c1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC001324095988 1074264055 /nfs/dbraw/zinc/26/40/55/1074264055.db2.gz STBYPIJVQSGFLO-UHFFFAOYSA-N 0 0 432.446 -0.083 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CC[C@@H](CS(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC001324100075 1074264034 /nfs/dbraw/zinc/26/40/34/1074264034.db2.gz BTTUQUXLYIWENA-LLVKDONJSA-N 0 0 425.554 -0.545 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CC[C@H](CS(C)(=O)=O)C2)c(S(N)(=O)=O)c1 ZINC001324100079 1074264040 /nfs/dbraw/zinc/26/40/40/1074264040.db2.gz BTTUQUXLYIWENA-NSHDSACASA-N 0 0 425.554 -0.545 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001324103586 1074264074 /nfs/dbraw/zinc/26/40/74/1074264074.db2.gz SLNKDDPCMZQVKX-DLBZAZTESA-N 0 0 446.547 -0.978 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001324103591 1074264017 /nfs/dbraw/zinc/26/40/17/1074264017.db2.gz SLNKDDPCMZQVKX-IAGOWNOFSA-N 0 0 446.547 -0.978 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001324103593 1074264024 /nfs/dbraw/zinc/26/40/24/1074264024.db2.gz SLNKDDPCMZQVKX-IRXDYDNUSA-N 0 0 446.547 -0.978 20 0 IBADRN COCCS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001324103594 1074264010 /nfs/dbraw/zinc/26/40/10/1074264010.db2.gz SLNKDDPCMZQVKX-SJORKVTESA-N 0 0 446.547 -0.978 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)OCC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001324115246 1074263985 /nfs/dbraw/zinc/26/39/85/1074263985.db2.gz UUVBNXZKXUCQER-UHFFFAOYSA-N 0 0 433.527 -0.654 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)CCO)cc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001324121961 1074264059 /nfs/dbraw/zinc/26/40/59/1074264059.db2.gz YIKKRYQCQKBQPY-GXTWGEPZSA-N 0 0 430.504 -0.573 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)CCO)cc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001324121963 1074264030 /nfs/dbraw/zinc/26/40/30/1074264030.db2.gz YIKKRYQCQKBQPY-JSGCOSHPSA-N 0 0 430.504 -0.573 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)CCO)cc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001324121965 1074264069 /nfs/dbraw/zinc/26/40/69/1074264069.db2.gz YIKKRYQCQKBQPY-OCCSQVGLSA-N 0 0 430.504 -0.573 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)CCO)cc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001324121967 1074264468 /nfs/dbraw/zinc/26/44/68/1074264468.db2.gz YIKKRYQCQKBQPY-TZMCWYRMSA-N 0 0 430.504 -0.573 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCCn1cc(CO)nn1 ZINC001324128350 1074264445 /nfs/dbraw/zinc/26/44/45/1074264445.db2.gz JSQBNJPJVQYBIR-UHFFFAOYSA-N 0 0 429.524 -0.398 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001324134915 1074264548 /nfs/dbraw/zinc/26/45/48/1074264548.db2.gz ZBNWZTOQTAFTBP-UHFFFAOYSA-N 0 0 432.462 -0.072 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCC3(CC2)NC(=O)NC3=O)C1=O ZINC001324140854 1074264520 /nfs/dbraw/zinc/26/45/20/1074264520.db2.gz SVLLLHRTOIYZIX-IBGZPJMESA-N 0 0 429.433 -0.337 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCC3(CC2)NC(=O)NC3=O)C1=O ZINC001324140856 1074264453 /nfs/dbraw/zinc/26/44/53/1074264453.db2.gz SVLLLHRTOIYZIX-LJQANCHMSA-N 0 0 429.433 -0.337 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(=O)[nH]c1=O ZINC001324140910 1074264540 /nfs/dbraw/zinc/26/45/40/1074264540.db2.gz YRPGBVGKZKJXOM-CYBMUJFWSA-N 0 0 427.527 -0.826 20 0 IBADRN CC(C)Cn1c(N)c(C(=O)CN2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(=O)[nH]c1=O ZINC001324140911 1074264471 /nfs/dbraw/zinc/26/44/71/1074264471.db2.gz YRPGBVGKZKJXOM-ZDUSSCGKSA-N 0 0 427.527 -0.826 20 0 IBADRN CC(C)n1cc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nn1 ZINC001324142451 1074264432 /nfs/dbraw/zinc/26/44/32/1074264432.db2.gz GFNMCSWMLCAJBO-CVEARBPZSA-N 0 0 448.567 -0.032 20 0 IBADRN CC(C)n1cc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nn1 ZINC001324142452 1074264493 /nfs/dbraw/zinc/26/44/93/1074264493.db2.gz GFNMCSWMLCAJBO-HOTGVXAUSA-N 0 0 448.567 -0.032 20 0 IBADRN CC(C)n1cc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nn1 ZINC001324142453 1074264421 /nfs/dbraw/zinc/26/44/21/1074264421.db2.gz GFNMCSWMLCAJBO-HZPDHXFCSA-N 0 0 448.567 -0.032 20 0 IBADRN CC(C)n1cc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nn1 ZINC001324142454 1074264477 /nfs/dbraw/zinc/26/44/77/1074264477.db2.gz GFNMCSWMLCAJBO-JKSUJKDBSA-N 0 0 448.567 -0.032 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)c(S(C)(=O)=O)c1 ZINC001324144158 1074264534 /nfs/dbraw/zinc/26/45/34/1074264534.db2.gz VLDZRSLTOAOGRY-JTQLQIEISA-N 0 0 442.512 -0.847 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(F)c(S(C)(=O)=O)c1 ZINC001324144159 1074264537 /nfs/dbraw/zinc/26/45/37/1074264537.db2.gz VLDZRSLTOAOGRY-SNVBAGLBSA-N 0 0 442.512 -0.847 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC001324147122 1074264482 /nfs/dbraw/zinc/26/44/82/1074264482.db2.gz HUKWXWSQKWNVBV-UHFFFAOYSA-N 0 0 442.542 -0.205 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCCN2C(=O)COc3ccccc32)CC1 ZINC001324169840 1074264543 /nfs/dbraw/zinc/26/45/43/1074264543.db2.gz DSKFMRUVDWWBSJ-UHFFFAOYSA-N 0 0 431.493 -0.402 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)CC1 ZINC001324171320 1074264973 /nfs/dbraw/zinc/26/49/73/1074264973.db2.gz KBVUHTIBMJSFLA-UHFFFAOYSA-N 0 0 439.557 -0.458 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001324172961 1074264962 /nfs/dbraw/zinc/26/49/62/1074264962.db2.gz TZNRLESYQLONRX-UHFFFAOYSA-N 0 0 440.522 -0.439 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC001324173439 1074264809 /nfs/dbraw/zinc/26/48/09/1074264809.db2.gz WFOOOKJUNAOBLJ-CYBMUJFWSA-N 0 0 447.535 -0.875 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1 ZINC001324173443 1074264829 /nfs/dbraw/zinc/26/48/29/1074264829.db2.gz WFOOOKJUNAOBLJ-ZDUSSCGKSA-N 0 0 447.535 -0.875 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)NCCN1C(=O)S/C(=C/c2cccnc2)C1=O ZINC001324184784 1074264930 /nfs/dbraw/zinc/26/49/30/1074264930.db2.gz YJONYYKOFPWKNL-GXDHUFHOSA-N 0 0 441.535 -0.285 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)NCCN1C(=O)S/C(=C\c2cccnc2)C1=O ZINC001324184785 1074264987 /nfs/dbraw/zinc/26/49/87/1074264987.db2.gz YJONYYKOFPWKNL-UVTDQMKNSA-N 0 0 441.535 -0.285 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC001324187914 1074264938 /nfs/dbraw/zinc/26/49/38/1074264938.db2.gz XXQKTXBLPKWVHB-UHFFFAOYSA-N 0 0 431.541 -0.438 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)CC1 ZINC001324191807 1074264994 /nfs/dbraw/zinc/26/49/94/1074264994.db2.gz PSJJZLDFPQRCRA-UHFFFAOYSA-N 0 0 426.538 -0.199 20 0 IBADRN Cn1cnc(CNC(=O)[C@H]2CC[C@@H](C(=O)NCc3ncn(C)n3)N2Cc2ccccc2)n1 ZINC001324192530 1074264798 /nfs/dbraw/zinc/26/47/98/1074264798.db2.gz KQRAFHUMLGVQIJ-CALCHBBNSA-N 0 0 437.508 -0.091 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC001324195047 1074264836 /nfs/dbraw/zinc/26/48/36/1074264836.db2.gz LXLGWSOYHADPCO-GFCCVEGCSA-N 0 0 443.503 -0.774 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC001324195048 1074264843 /nfs/dbraw/zinc/26/48/43/1074264843.db2.gz LXLGWSOYHADPCO-LBPRGKRZSA-N 0 0 443.503 -0.774 20 0 IBADRN COc1ccc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC001324195514 1074264877 /nfs/dbraw/zinc/26/48/77/1074264877.db2.gz PJXFMQWSQGJXOO-CYBMUJFWSA-N 0 0 447.535 -0.679 20 0 IBADRN COc1ccc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N(C)C ZINC001324195516 1074264777 /nfs/dbraw/zinc/26/47/77/1074264777.db2.gz PJXFMQWSQGJXOO-ZDUSSCGKSA-N 0 0 447.535 -0.679 20 0 IBADRN COC(=O)Cn1cc(CCS(=O)(=O)Cc2cccc(S(=O)(=O)N(C)C)c2)nn1 ZINC001324198235 1074264850 /nfs/dbraw/zinc/26/48/50/1074264850.db2.gz AUDLIFUONQLCAN-UHFFFAOYSA-N 0 0 430.508 -0.141 20 0 IBADRN CC(C)N1CCN(C(=O)Cn2nc(C(=O)N3CCN(C(C)C)C(=O)C3)ccc2=O)CC1=O ZINC001324207643 1074264900 /nfs/dbraw/zinc/26/49/00/1074264900.db2.gz HSCAYHQVFWLBSF-UHFFFAOYSA-N 0 0 446.508 -0.985 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CNCc1ccccc1S(=O)(=O)N(C)CCO ZINC001324207698 1074264820 /nfs/dbraw/zinc/26/48/20/1074264820.db2.gz IVYAJRXBABFMNA-UHFFFAOYSA-N 0 0 431.540 -0.560 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCC2CN(S(C)(=O)=O)C2)c1 ZINC001324210437 1074264917 /nfs/dbraw/zinc/26/49/17/1074264917.db2.gz PIBQNVSUZNVGCG-UHFFFAOYSA-N 0 0 434.540 -0.698 20 0 IBADRN COCCN(C(=O)CN1CCN(C[C@H](C)O)CC1)c1c(N)n(CC(C)C)c(=O)[nH]c1=O ZINC001324211239 1074264887 /nfs/dbraw/zinc/26/48/87/1074264887.db2.gz TYTPGUHTZDLYFN-HNNXBMFYSA-N 0 0 440.545 -0.835 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC001324214565 1074264910 /nfs/dbraw/zinc/26/49/10/1074264910.db2.gz PGNGJDYYSNSYIW-DOMZBBRYSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC001324214566 1074265374 /nfs/dbraw/zinc/26/53/74/1074265374.db2.gz PGNGJDYYSNSYIW-IUODEOHRSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC001324214567 1074265544 /nfs/dbraw/zinc/26/55/44/1074265544.db2.gz PGNGJDYYSNSYIW-SWLSCSKDSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)C1 ZINC001324214568 1074265441 /nfs/dbraw/zinc/26/54/41/1074265441.db2.gz PGNGJDYYSNSYIW-WFASDCNBSA-N 0 0 429.520 -0.278 20 0 IBADRN Cc1cc(Cn2nnc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)c2C)on1 ZINC001324217797 1074265281 /nfs/dbraw/zinc/26/52/81/1074265281.db2.gz WRVVLGCNHOEKKF-UHFFFAOYSA-N 0 0 429.437 -0.635 20 0 IBADRN NC(=O)CN1CCCN(c2nc3c(nc2N2CCCN(CC(N)=O)CC2)CCCC3)CC1 ZINC001324219281 1074265509 /nfs/dbraw/zinc/26/55/09/1074265509.db2.gz BNTALDWCDZTKCJ-UHFFFAOYSA-N 0 0 444.584 -0.650 20 0 IBADRN O=C(Cn1nc(C(=O)N2CC(OCC(F)F)C2)ccc1=O)N1CC(OCC(F)F)C1 ZINC001324225661 1074265382 /nfs/dbraw/zinc/26/53/82/1074265382.db2.gz SXENLUCNHKZZIT-UHFFFAOYSA-N 0 0 436.362 -0.158 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CN3C(=O)[C@@H]4CC=CC[C@@H]4C3=O)CC2)c(C(N)=O)c1C ZINC001324228134 1074265414 /nfs/dbraw/zinc/26/54/14/1074265414.db2.gz PZOAWCPEFMFGAB-GASCZTMLSA-N 0 0 426.477 -0.208 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(Cc3nnc(CCNS(C)(=O)=O)o3)CC2)cc1 ZINC001324228595 1074265528 /nfs/dbraw/zinc/26/55/28/1074265528.db2.gz KSGULNKEHMOVMV-UHFFFAOYSA-N 0 0 443.551 -0.024 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001324230146 1074265432 /nfs/dbraw/zinc/26/54/32/1074265432.db2.gz BBTBWZNASHALRK-KRWDZBQOSA-N 0 0 429.564 -0.277 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001324230151 1074265360 /nfs/dbraw/zinc/26/53/60/1074265360.db2.gz BBTBWZNASHALRK-QGZVFWFLSA-N 0 0 429.564 -0.277 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001324230926 1074265538 /nfs/dbraw/zinc/26/55/38/1074265538.db2.gz OCZUGSHOPQXWAW-CYBMUJFWSA-N 0 0 432.520 -0.379 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001324230927 1074265476 /nfs/dbraw/zinc/26/54/76/1074265476.db2.gz OCZUGSHOPQXWAW-ZDUSSCGKSA-N 0 0 432.520 -0.379 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2cnn(C)c2I)CC1 ZINC001324232455 1074265399 /nfs/dbraw/zinc/26/53/99/1074265399.db2.gz HBEUZYRGKIASSF-UHFFFAOYSA-N 0 0 433.250 -0.165 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(C(C)(C)C(N)=O)CC1 ZINC001324244597 1074265820 /nfs/dbraw/zinc/26/58/20/1074265820.db2.gz JIYDJQKIFWVUKK-AWEZNQCLSA-N 0 0 425.555 -0.737 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(C(C)(C)C(N)=O)CC1 ZINC001324244599 1074265830 /nfs/dbraw/zinc/26/58/30/1074265830.db2.gz JIYDJQKIFWVUKK-CQSZACIVSA-N 0 0 425.555 -0.737 20 0 IBADRN O=C(NCC1(CO)COC1)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001324244615 1074265891 /nfs/dbraw/zinc/26/58/91/1074265891.db2.gz JPLHSTACEBFPFL-UHFFFAOYSA-N 0 0 426.491 -0.016 20 0 IBADRN CNS(=O)(=O)C1CCN(c2cncc(N3CCC(S(=O)(=O)NC)CC3)n2)CC1 ZINC001324244862 1074265861 /nfs/dbraw/zinc/26/58/61/1074265861.db2.gz OZZZLBQGYNUPJI-UHFFFAOYSA-N 0 0 432.572 -0.487 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3(S(C)(=O)=O)CCOCC3)CC2)cn1C ZINC001324245017 1074265779 /nfs/dbraw/zinc/26/57/79/1074265779.db2.gz JNLULLYFUZVJIX-UHFFFAOYSA-N 0 0 434.540 -0.845 20 0 IBADRN Cn1ncc(I)c1C(=O)NCCNS(=O)(=O)Cc1ccon1 ZINC001324245652 1074265902 /nfs/dbraw/zinc/26/59/02/1074265902.db2.gz OKAPIKNTPANVAM-UHFFFAOYSA-N 0 0 439.235 -0.138 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC001324247546 1074265807 /nfs/dbraw/zinc/26/58/07/1074265807.db2.gz WILCNHMNXVCJMS-INIZCTEOSA-N 0 0 429.495 -0.568 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC001324247547 1074265875 /nfs/dbraw/zinc/26/58/75/1074265875.db2.gz WILCNHMNXVCJMS-MRXNPFEDSA-N 0 0 429.495 -0.568 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001324248082 1074265745 /nfs/dbraw/zinc/26/57/45/1074265745.db2.gz MZYQBBDQCJWDRG-INIZCTEOSA-N 0 0 443.526 -0.471 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001324248092 1074265755 /nfs/dbraw/zinc/26/57/55/1074265755.db2.gz MZYQBBDQCJWDRG-MRXNPFEDSA-N 0 0 443.526 -0.471 20 0 IBADRN CCC[C@H]1CN(C(=O)COCc2nncn2C)CCN1C(=O)COCc1nncn1C ZINC001324250094 1074265768 /nfs/dbraw/zinc/26/57/68/1074265768.db2.gz HLZBDHCKAKMUIN-HNNXBMFYSA-N 0 0 434.501 -0.484 20 0 IBADRN CCC[C@@H]1CN(C(=O)COCc2nncn2C)CCN1C(=O)COCc1nncn1C ZINC001324250095 1074265849 /nfs/dbraw/zinc/26/58/49/1074265849.db2.gz HLZBDHCKAKMUIN-OAHLLOKOSA-N 0 0 434.501 -0.484 20 0 IBADRN COc1cc(NC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc(OC)c1OC ZINC001324254287 1074265762 /nfs/dbraw/zinc/26/57/62/1074265762.db2.gz BKUXJBSSBGWGHD-GFCCVEGCSA-N 0 0 429.495 -0.114 20 0 IBADRN COc1cc(NC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc(OC)c1OC ZINC001324254288 1074265814 /nfs/dbraw/zinc/26/58/14/1074265814.db2.gz BKUXJBSSBGWGHD-LBPRGKRZSA-N 0 0 429.495 -0.114 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN([C@H]3CCN(C4CCOCC4)C3=O)CC2)o1 ZINC001324254735 1074265836 /nfs/dbraw/zinc/26/58/36/1074265836.db2.gz HQYNESNAHFVGCM-AWEZNQCLSA-N 0 0 426.495 -0.535 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN([C@@H]3CCN(C4CCOCC4)C3=O)CC2)o1 ZINC001324254736 1074265912 /nfs/dbraw/zinc/26/59/12/1074265912.db2.gz HQYNESNAHFVGCM-CQSZACIVSA-N 0 0 426.495 -0.535 20 0 IBADRN O=C(C1CCN(c2ccc3nnnn3n2)CC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001324254967 1074265923 /nfs/dbraw/zinc/26/59/23/1074265923.db2.gz IRPOBFBDXFGKOS-UHFFFAOYSA-N 0 0 429.485 -0.668 20 0 IBADRN CCn1c(=O)c2ccccc2n(CC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1=O ZINC001324255125 1074265855 /nfs/dbraw/zinc/26/58/55/1074265855.db2.gz LODAVFZSUDSRSJ-UHFFFAOYSA-N 0 0 429.477 -0.221 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC[C@](CO)(c3ccccc3)C2)[C@H](O)[C@@H]1O ZINC001324256910 1074266271 /nfs/dbraw/zinc/26/62/71/1074266271.db2.gz GTMNQGWXECRQEE-KSDRABAPSA-N 0 0 427.461 -0.422 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC[C@@](CO)(c3ccccc3)C2)[C@H](O)[C@@H]1O ZINC001324256911 1074266448 /nfs/dbraw/zinc/26/64/48/1074266448.db2.gz GTMNQGWXECRQEE-QKSOIWCDSA-N 0 0 427.461 -0.422 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC001324261736 1074266373 /nfs/dbraw/zinc/26/63/73/1074266373.db2.gz JPJCCEQMJCIDKK-UHFFFAOYSA-N 0 0 447.535 -0.535 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001324265721 1074266308 /nfs/dbraw/zinc/26/63/08/1074266308.db2.gz GZVJFKVMTPADKR-CYBMUJFWSA-N 0 0 441.535 -0.828 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001324265722 1074266430 /nfs/dbraw/zinc/26/64/30/1074266430.db2.gz GZVJFKVMTPADKR-ZDUSSCGKSA-N 0 0 441.535 -0.828 20 0 IBADRN NC(=O)C1CCN(C(=O)CN2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)CC1 ZINC001324268350 1074266298 /nfs/dbraw/zinc/26/62/98/1074266298.db2.gz YKHGYRMDGMXCDB-UHFFFAOYSA-N 0 0 434.522 -0.407 20 0 IBADRN O=C(Cc1noc(C(=O)N2CCN(c3ccccc3)CC2)n1)N1CCS(=O)(=O)CC1 ZINC001324270839 1074266257 /nfs/dbraw/zinc/26/62/57/1074266257.db2.gz XGXWOZXWQOESHE-UHFFFAOYSA-N 0 0 433.490 -0.169 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCN(C(N)=O)C1 ZINC001324275187 1074266334 /nfs/dbraw/zinc/26/63/34/1074266334.db2.gz CAQVAUSOIWGORN-CYBMUJFWSA-N 0 0 426.495 -0.154 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CCN(C(N)=O)C1 ZINC001324275190 1074266436 /nfs/dbraw/zinc/26/64/36/1074266436.db2.gz CAQVAUSOIWGORN-ZDUSSCGKSA-N 0 0 426.495 -0.154 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(C2CCOCC2)c1 ZINC001324276331 1074266393 /nfs/dbraw/zinc/26/63/93/1074266393.db2.gz FRELFYQSXYVNLK-CVEARBPZSA-N 0 0 434.540 -0.989 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cnn(C2CCOCC2)c1 ZINC001324276333 1074266358 /nfs/dbraw/zinc/26/63/58/1074266358.db2.gz FRELFYQSXYVNLK-HOTGVXAUSA-N 0 0 434.540 -0.989 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(C2CCOCC2)c1 ZINC001324276334 1074266441 /nfs/dbraw/zinc/26/64/41/1074266441.db2.gz FRELFYQSXYVNLK-HZPDHXFCSA-N 0 0 434.540 -0.989 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cnn(C2CCOCC2)c1 ZINC001324276335 1074266423 /nfs/dbraw/zinc/26/64/23/1074266423.db2.gz FRELFYQSXYVNLK-JKSUJKDBSA-N 0 0 434.540 -0.989 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001324278075 1074266347 /nfs/dbraw/zinc/26/63/47/1074266347.db2.gz LKOVKQUWFGJEJM-GHMZBOCLSA-N 0 0 428.433 -0.516 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC001324278076 1074266342 /nfs/dbraw/zinc/26/63/42/1074266342.db2.gz LKOVKQUWFGJEJM-MNOVXSKESA-N 0 0 428.433 -0.516 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC001324278077 1074266287 /nfs/dbraw/zinc/26/62/87/1074266287.db2.gz LKOVKQUWFGJEJM-QWRGUYRKSA-N 0 0 428.433 -0.516 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001324278078 1074266367 /nfs/dbraw/zinc/26/63/67/1074266367.db2.gz LKOVKQUWFGJEJM-WDEREUQCSA-N 0 0 428.433 -0.516 20 0 IBADRN O=C([C@H]1CCNC(=O)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001324286191 1074266386 /nfs/dbraw/zinc/26/63/86/1074266386.db2.gz KYZKIVHWDDLSFV-CYBMUJFWSA-N 0 0 436.490 -0.233 20 0 IBADRN O=C([C@@H]1CCNC(=O)CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001324286192 1074266320 /nfs/dbraw/zinc/26/63/20/1074266320.db2.gz KYZKIVHWDDLSFV-ZDUSSCGKSA-N 0 0 436.490 -0.233 20 0 IBADRN CC(C)(CO)N1CCN(CCS(=O)(=O)CCN2CCN(C(C)(C)CO)CC2)CC1 ZINC001324287570 1074266642 /nfs/dbraw/zinc/26/66/42/1074266642.db2.gz XSWBVLJPXJWFNU-UHFFFAOYSA-N 0 0 434.647 -0.822 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)Nc2cc(-n3cnnn3)ccc2Cl)CC1 ZINC001324296535 1074266740 /nfs/dbraw/zinc/26/67/40/1074266740.db2.gz GBSVVIGKJSPWGZ-UHFFFAOYSA-N 0 0 428.906 -0.840 20 0 IBADRN NS(=O)(=O)CCN1CCN(CCC(=O)Nc2ccc(N3CCOCC3)cc2)CC1 ZINC001324297863 1074266662 /nfs/dbraw/zinc/26/66/62/1074266662.db2.gz KUSPGZJVVGZDQS-UHFFFAOYSA-N 0 0 425.555 -0.242 20 0 IBADRN C[S@](=O)CCN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324298018 1074266723 /nfs/dbraw/zinc/26/67/23/1074266723.db2.gz MRGLTRJOHIJWGJ-FXUCQVAHSA-N 0 0 435.612 -0.823 20 0 IBADRN C[S@](=O)CCN1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324298020 1074266753 /nfs/dbraw/zinc/26/67/53/1074266753.db2.gz MRGLTRJOHIJWGJ-HCXYCLFGSA-N 0 0 435.612 -0.823 20 0 IBADRN C[S@](=O)CCN1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324298023 1074266781 /nfs/dbraw/zinc/26/67/81/1074266781.db2.gz MRGLTRJOHIJWGJ-HGRMPGJJSA-N 0 0 435.612 -0.823 20 0 IBADRN C[S@](=O)CCN1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324298026 1074266757 /nfs/dbraw/zinc/26/67/57/1074266757.db2.gz MRGLTRJOHIJWGJ-YJRQDOMCSA-N 0 0 435.612 -0.823 20 0 IBADRN COCC(=O)NC1CCN(C(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC001324298181 1074266700 /nfs/dbraw/zinc/26/67/00/1074266700.db2.gz JJYWGMQREOONPP-UHFFFAOYSA-N 0 0 427.469 -0.382 20 0 IBADRN CCOc1ccccc1NC(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324298746 1074266648 /nfs/dbraw/zinc/26/66/48/1074266648.db2.gz OMGALLHIXBPWDX-INIZCTEOSA-N 0 0 438.550 -0.055 20 0 IBADRN CCOc1ccccc1NC(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324298747 1074266775 /nfs/dbraw/zinc/26/67/75/1074266775.db2.gz OMGALLHIXBPWDX-MRXNPFEDSA-N 0 0 438.550 -0.055 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@@H](C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324299441 1074266677 /nfs/dbraw/zinc/26/66/77/1074266677.db2.gz TUNUESMKRGDGII-CVEARBPZSA-N 0 0 440.570 -0.288 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@H](C)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324299443 1074267117 /nfs/dbraw/zinc/26/71/17/1074267117.db2.gz TUNUESMKRGDGII-HOTGVXAUSA-N 0 0 440.570 -0.288 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@@H](C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324299445 1074267152 /nfs/dbraw/zinc/26/71/52/1074267152.db2.gz TUNUESMKRGDGII-HZPDHXFCSA-N 0 0 440.570 -0.288 20 0 IBADRN CC(C)n1nccc1NC(=O)[C@H](C)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324299447 1074267204 /nfs/dbraw/zinc/26/72/04/1074267204.db2.gz TUNUESMKRGDGII-JKSUJKDBSA-N 0 0 440.570 -0.288 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(Cl)cn1 ZINC001324300170 1074267192 /nfs/dbraw/zinc/26/71/92/1074267192.db2.gz XDWQPFRLQRKOMT-AWEZNQCLSA-N 0 0 429.930 -0.406 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(Cl)cn1 ZINC001324300173 1074267091 /nfs/dbraw/zinc/26/70/91/1074267091.db2.gz XDWQPFRLQRKOMT-CQSZACIVSA-N 0 0 429.930 -0.406 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001324303212 1074267210 /nfs/dbraw/zinc/26/72/10/1074267210.db2.gz QRMWNEHYSUHLEE-CHWSQXEVSA-N 0 0 429.520 -0.420 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001324303213 1074267229 /nfs/dbraw/zinc/26/72/29/1074267229.db2.gz QRMWNEHYSUHLEE-OLZOCXBDSA-N 0 0 429.520 -0.420 20 0 IBADRN CS(=O)(=O)C[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001324303214 1074267197 /nfs/dbraw/zinc/26/71/97/1074267197.db2.gz QRMWNEHYSUHLEE-QWHCGFSZSA-N 0 0 429.520 -0.420 20 0 IBADRN CS(=O)(=O)C[C@H]1CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001324303215 1074267097 /nfs/dbraw/zinc/26/70/97/1074267097.db2.gz QRMWNEHYSUHLEE-STQMWFEESA-N 0 0 429.520 -0.420 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001324304813 1074267161 /nfs/dbraw/zinc/26/71/61/1074267161.db2.gz KZXZPBMDWRAGSQ-HNNXBMFYSA-N 0 0 438.572 -0.707 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001324304815 1074267126 /nfs/dbraw/zinc/26/71/26/1074267126.db2.gz KZXZPBMDWRAGSQ-OAHLLOKOSA-N 0 0 438.572 -0.707 20 0 IBADRN CC[C@@H](C)[C@@H]1CN(C(=O)COCc2nncn2C)CCN1C(=O)COCc1nncn1C ZINC001324309444 1074267170 /nfs/dbraw/zinc/26/71/70/1074267170.db2.gz OIWGWDNYHMNNPO-CVEARBPZSA-N 0 0 448.528 -0.238 20 0 IBADRN CC[C@H](C)[C@@H]1CN(C(=O)COCc2nncn2C)CCN1C(=O)COCc1nncn1C ZINC001324309445 1074267107 /nfs/dbraw/zinc/26/71/07/1074267107.db2.gz OIWGWDNYHMNNPO-HOTGVXAUSA-N 0 0 448.528 -0.238 20 0 IBADRN CC[C@@H](C)[C@H]1CN(C(=O)COCc2nncn2C)CCN1C(=O)COCc1nncn1C ZINC001324309446 1074267216 /nfs/dbraw/zinc/26/72/16/1074267216.db2.gz OIWGWDNYHMNNPO-HZPDHXFCSA-N 0 0 448.528 -0.238 20 0 IBADRN CC[C@H](C)[C@H]1CN(C(=O)COCc2nncn2C)CCN1C(=O)COCc1nncn1C ZINC001324309447 1074267186 /nfs/dbraw/zinc/26/71/86/1074267186.db2.gz OIWGWDNYHMNNPO-JKSUJKDBSA-N 0 0 448.528 -0.238 20 0 IBADRN Cn1ccc(CCC(=O)NCCC(=O)N2CCN(C(=O)CCc3ccn(C)n3)CC2)n1 ZINC001324310318 1074267175 /nfs/dbraw/zinc/26/71/75/1074267175.db2.gz UJDQYUFLPSMBLW-UHFFFAOYSA-N 0 0 429.525 -0.104 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)CCC(=O)N1CCN(c3ncccn3)CC1)C2 ZINC001324312788 1074267221 /nfs/dbraw/zinc/26/72/21/1074267221.db2.gz RMPQTBFWPPGRCR-UHFFFAOYSA-N 0 0 428.497 -0.272 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324313244 1074267077 /nfs/dbraw/zinc/26/70/77/1074267077.db2.gz VFGOSYYUHQTTLI-CWRNSKLLSA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324313247 1074267578 /nfs/dbraw/zinc/26/75/78/1074267578.db2.gz VFGOSYYUHQTTLI-FVQBIDKESA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324313249 1074267575 /nfs/dbraw/zinc/26/75/75/1074267575.db2.gz VFGOSYYUHQTTLI-NUEKZKHPSA-N 0 0 449.595 -0.364 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324313251 1074267525 /nfs/dbraw/zinc/26/75/25/1074267525.db2.gz VFGOSYYUHQTTLI-VNQPRFMTSA-N 0 0 449.595 -0.364 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(C)(C)O ZINC001324315533 1074267611 /nfs/dbraw/zinc/26/76/11/1074267611.db2.gz DXGOOLRTTUWKFH-GDBMZVCRSA-N 0 0 428.530 -0.300 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(C)(C)O ZINC001324315534 1074267532 /nfs/dbraw/zinc/26/75/32/1074267532.db2.gz DXGOOLRTTUWKFH-GOEBONIOSA-N 0 0 428.530 -0.300 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001324316935 1074267567 /nfs/dbraw/zinc/26/75/67/1074267567.db2.gz FVDAICOJALRFLO-INIZCTEOSA-N 0 0 448.505 -0.632 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001324316937 1074267630 /nfs/dbraw/zinc/26/76/30/1074267630.db2.gz FVDAICOJALRFLO-MRXNPFEDSA-N 0 0 448.505 -0.632 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ncc(C(N)=O)cc3Cl)CC2)CC1 ZINC001324320313 1074267684 /nfs/dbraw/zinc/26/76/84/1074267684.db2.gz ZPNQIPJOJYUATJ-UHFFFAOYSA-N 0 0 430.918 -0.916 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC001324321384 1074267510 /nfs/dbraw/zinc/26/75/10/1074267510.db2.gz UJBPDKLDHKUZPB-CYBMUJFWSA-N 0 0 427.504 -0.128 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cc1 ZINC001324321385 1074267563 /nfs/dbraw/zinc/26/75/63/1074267563.db2.gz UJBPDKLDHKUZPB-ZDUSSCGKSA-N 0 0 427.504 -0.128 20 0 IBADRN CN(C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001324329338 1074267692 /nfs/dbraw/zinc/26/76/92/1074267692.db2.gz BNTLXINJSAPLTR-AWEZNQCLSA-N 0 0 448.571 -0.752 20 0 IBADRN CN(C(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001324329339 1074267601 /nfs/dbraw/zinc/26/76/01/1074267601.db2.gz BNTLXINJSAPLTR-CQSZACIVSA-N 0 0 448.571 -0.752 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(CS(C)(=O)=O)CC2)c1 ZINC001324334506 1074267546 /nfs/dbraw/zinc/26/75/46/1074267546.db2.gz MKGJORWCGQQFCR-UHFFFAOYSA-N 0 0 445.563 -0.050 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CC(CN4CCOCC4)C3)CC2)cn1C ZINC001324336097 1074267636 /nfs/dbraw/zinc/26/76/36/1074267636.db2.gz WBCDIZYTZHIYBF-UHFFFAOYSA-N 0 0 425.555 -0.080 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@@H](CC(N)=O)C1 ZINC001324338505 1074267662 /nfs/dbraw/zinc/26/76/62/1074267662.db2.gz SSSXEYZTKRNLGO-DZGCQCFKSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@H](CC(N)=O)C1 ZINC001324338506 1074267556 /nfs/dbraw/zinc/26/75/56/1074267556.db2.gz SSSXEYZTKRNLGO-HIFRSBDPSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@H](CC(N)=O)C1 ZINC001324338507 1074267626 /nfs/dbraw/zinc/26/76/26/1074267626.db2.gz SSSXEYZTKRNLGO-UKRRQHHQSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@@H](CC(N)=O)C1 ZINC001324338508 1074267656 /nfs/dbraw/zinc/26/76/56/1074267656.db2.gz SSSXEYZTKRNLGO-ZFWWWQNUSA-N 0 0 425.507 -0.048 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C[C@@]2(C)NC(=O)NC2=O)cc1 ZINC001324340758 1074268187 /nfs/dbraw/zinc/26/81/87/1074268187.db2.gz KQXLGZFFACHAIF-AUUYWEPGSA-N 0 0 438.506 -0.108 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C[C@@]2(C)NC(=O)NC2=O)cc1 ZINC001324340759 1074268084 /nfs/dbraw/zinc/26/80/84/1074268084.db2.gz KQXLGZFFACHAIF-IFXJQAMLSA-N 0 0 438.506 -0.108 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C[C@]2(C)NC(=O)NC2=O)cc1 ZINC001324340760 1074268066 /nfs/dbraw/zinc/26/80/66/1074268066.db2.gz KQXLGZFFACHAIF-KUHUBIRLSA-N 0 0 438.506 -0.108 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C[C@]2(C)NC(=O)NC2=O)cc1 ZINC001324340761 1074268093 /nfs/dbraw/zinc/26/80/93/1074268093.db2.gz KQXLGZFFACHAIF-LIRRHRJNSA-N 0 0 438.506 -0.108 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)NC[C@H](O)CN(C)C(=O)C(=O)c1c(C)nn(C)c1C ZINC001324341093 1074268165 /nfs/dbraw/zinc/26/81/65/1074268165.db2.gz UYKPTOGNSFQDQI-AWEZNQCLSA-N 0 0 432.481 -0.612 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)C(=O)NC[C@@H](O)CN(C)C(=O)C(=O)c1c(C)nn(C)c1C ZINC001324341094 1074268028 /nfs/dbraw/zinc/26/80/28/1074268028.db2.gz UYKPTOGNSFQDQI-CQSZACIVSA-N 0 0 432.481 -0.612 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2cccc(CN3C(=O)CNC3=O)c2)CC1 ZINC001324341095 1074268075 /nfs/dbraw/zinc/26/80/75/1074268075.db2.gz UZAKJZXJAKTUBK-UHFFFAOYSA-N 0 0 428.492 -0.378 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3C[C@H]4[C@H](CO)[C@H]4C3)ccc2F)CC1 ZINC001324344949 1074268139 /nfs/dbraw/zinc/26/81/39/1074268139.db2.gz LVRIRTLTKSZODK-ZSHCYNCHSA-N 0 0 425.482 -0.011 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnc(C3OCCO3)s2)CC1 ZINC001324346470 1074268100 /nfs/dbraw/zinc/26/81/00/1074268100.db2.gz SXPLGQMJNIODQP-CYBMUJFWSA-N 0 0 437.565 -0.177 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(Cc2cnc(C3OCCO3)s2)CC1 ZINC001324346471 1074268036 /nfs/dbraw/zinc/26/80/36/1074268036.db2.gz SXPLGQMJNIODQP-ZDUSSCGKSA-N 0 0 437.565 -0.177 20 0 IBADRN COC[C@H](CNC(=O)c1c(C)c(C)nn(C)c1=O)NC(=O)c1c(C)c(C)nn(C)c1=O ZINC001324347788 1074268192 /nfs/dbraw/zinc/26/81/92/1074268192.db2.gz FVMLPZFWBSMNOC-AWEZNQCLSA-N 0 0 432.481 -0.718 20 0 IBADRN COC[C@@H](CNC(=O)c1c(C)c(C)nn(C)c1=O)NC(=O)c1c(C)c(C)nn(C)c1=O ZINC001324347790 1074268008 /nfs/dbraw/zinc/26/80/08/1074268008.db2.gz FVMLPZFWBSMNOC-CQSZACIVSA-N 0 0 432.481 -0.718 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)CC(=O)N(C)C)cc2)CC1 ZINC001324359149 1074268170 /nfs/dbraw/zinc/26/81/70/1074268170.db2.gz FDMKURVFJRUSOK-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001324359945 1074268120 /nfs/dbraw/zinc/26/81/20/1074268120.db2.gz NKAKJYMBBJEIBK-UHFFFAOYSA-N 0 0 437.565 -0.077 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC001324359989 1074268104 /nfs/dbraw/zinc/26/81/04/1074268104.db2.gz PHVPQCQLNQTJGJ-UHFFFAOYSA-N 0 0 434.540 -0.800 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC001324360213 1074268046 /nfs/dbraw/zinc/26/80/46/1074268046.db2.gz PWUCAGKDLFRXFG-UHFFFAOYSA-N 0 0 436.581 -0.094 20 0 IBADRN COc1ccc(NC(=O)COCc2nncn2C)cc1S(=O)(=O)N1CCOCC1 ZINC001324365994 1074268149 /nfs/dbraw/zinc/26/81/49/1074268149.db2.gz UCHXBFFWVZICOF-UHFFFAOYSA-N 0 0 425.467 0.000 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@H](CO)C3)CC2)cc1 ZINC001324369383 1074268158 /nfs/dbraw/zinc/26/81/58/1074268158.db2.gz LWOXBERQIMVGNB-AWEZNQCLSA-N 0 0 445.563 -0.034 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@@H](CO)C3)CC2)cc1 ZINC001324369384 1074268129 /nfs/dbraw/zinc/26/81/29/1074268129.db2.gz LWOXBERQIMVGNB-CQSZACIVSA-N 0 0 445.563 -0.034 20 0 IBADRN CS(=O)(=O)CC1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324369506 1074268569 /nfs/dbraw/zinc/26/85/69/1074268569.db2.gz ICUIMBQLJUTCNI-DLBZAZTESA-N 0 0 436.596 -0.062 20 0 IBADRN CS(=O)(=O)CC1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324369507 1074268540 /nfs/dbraw/zinc/26/85/40/1074268540.db2.gz ICUIMBQLJUTCNI-IAGOWNOFSA-N 0 0 436.596 -0.062 20 0 IBADRN CS(=O)(=O)CC1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324369510 1074268595 /nfs/dbraw/zinc/26/85/95/1074268595.db2.gz ICUIMBQLJUTCNI-IRXDYDNUSA-N 0 0 436.596 -0.062 20 0 IBADRN CS(=O)(=O)CC1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324369512 1074268621 /nfs/dbraw/zinc/26/86/21/1074268621.db2.gz ICUIMBQLJUTCNI-SJORKVTESA-N 0 0 436.596 -0.062 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001324370993 1074268571 /nfs/dbraw/zinc/26/85/71/1074268571.db2.gz KVWFWTHZGAZTNB-KBPBESRZSA-N 0 0 433.914 -0.168 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001324370997 1074268638 /nfs/dbraw/zinc/26/86/38/1074268638.db2.gz KVWFWTHZGAZTNB-KGLIPLIRSA-N 0 0 433.914 -0.168 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001324382711 1074268587 /nfs/dbraw/zinc/26/85/87/1074268587.db2.gz NMQMEMNCLFVAAZ-CYBMUJFWSA-N 0 0 431.536 -0.440 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001324382712 1074268560 /nfs/dbraw/zinc/26/85/60/1074268560.db2.gz NMQMEMNCLFVAAZ-ZDUSSCGKSA-N 0 0 431.536 -0.440 20 0 IBADRN COc1coc(C(=O)NCCN2CCN(C(=O)c3cc(=O)c(OC)co3)CC2)cc1=O ZINC001324387894 1074268625 /nfs/dbraw/zinc/26/86/25/1074268625.db2.gz OOUCSYJZNGWQFP-UHFFFAOYSA-N 0 0 433.417 -0.202 20 0 IBADRN C[C@]1(C[C@H]2CCCN2c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)NC(=O)NC1=O ZINC001324391192 1074268600 /nfs/dbraw/zinc/26/86/00/1074268600.db2.gz GUOJPBXDWPSPKA-AUUYWEPGSA-N 0 0 435.506 -0.091 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)NC(=O)NC1=O ZINC001324391193 1074268652 /nfs/dbraw/zinc/26/86/52/1074268652.db2.gz GUOJPBXDWPSPKA-IFXJQAMLSA-N 0 0 435.506 -0.091 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)NC(=O)NC1=O ZINC001324391194 1074268535 /nfs/dbraw/zinc/26/85/35/1074268535.db2.gz GUOJPBXDWPSPKA-KUHUBIRLSA-N 0 0 435.506 -0.091 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2c2ccc(C(=O)N3CCS(=O)(=O)CC3)cn2)NC(=O)NC1=O ZINC001324391195 1074268582 /nfs/dbraw/zinc/26/85/82/1074268582.db2.gz GUOJPBXDWPSPKA-LIRRHRJNSA-N 0 0 435.506 -0.091 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001324392697 1074268524 /nfs/dbraw/zinc/26/85/24/1074268524.db2.gz RPIBRTLDNRJABE-LLVKDONJSA-N 0 0 448.343 -0.756 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001324392698 1074268634 /nfs/dbraw/zinc/26/86/34/1074268634.db2.gz RPIBRTLDNRJABE-NSHDSACASA-N 0 0 448.343 -0.756 20 0 IBADRN COc1cc(CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(OC)c1OCC(N)=O ZINC001324394884 1074268644 /nfs/dbraw/zinc/26/86/44/1074268644.db2.gz JYNKWUYZUIIQLG-UHFFFAOYSA-N 0 0 448.480 -0.970 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@H]2CCNC(=O)C2)CC1)[C@@H]1CCNC(=O)C1 ZINC001324395566 1074268551 /nfs/dbraw/zinc/26/85/51/1074268551.db2.gz SYZCHAHEUIADKJ-CVEARBPZSA-N 0 0 442.520 -0.601 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@H]2CCNC(=O)C2)CC1)[C@H]1CCNC(=O)C1 ZINC001324395568 1074268629 /nfs/dbraw/zinc/26/86/29/1074268629.db2.gz SYZCHAHEUIADKJ-HOTGVXAUSA-N 0 0 442.520 -0.601 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@@H]2CCNC(=O)C2)CC1)[C@@H]1CCNC(=O)C1 ZINC001324395570 1074268591 /nfs/dbraw/zinc/26/85/91/1074268591.db2.gz SYZCHAHEUIADKJ-HZPDHXFCSA-N 0 0 442.520 -0.601 20 0 IBADRN O=C(NCc1cccnc1N1CCN(C(=O)[C@@H]2CCNC(=O)C2)CC1)[C@H]1CCNC(=O)C1 ZINC001324395573 1074269007 /nfs/dbraw/zinc/26/90/07/1074269007.db2.gz SYZCHAHEUIADKJ-JKSUJKDBSA-N 0 0 442.520 -0.601 20 0 IBADRN COCCN(C(C)=O)c1nc(CN2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cs1 ZINC001324397649 1074269084 /nfs/dbraw/zinc/26/90/84/1074269084.db2.gz WMQSAMNDXWLXAF-UHFFFAOYSA-N 0 0 437.526 -0.395 20 0 IBADRN O=S(=O)(NCCC1CS(=O)(=O)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001324407901 1074268992 /nfs/dbraw/zinc/26/89/92/1074268992.db2.gz LMCWCCYGABPRHN-UHFFFAOYSA-N 0 0 438.549 -0.580 20 0 IBADRN COc1cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc(NC(C)=O)c1OC ZINC001324417196 1074269064 /nfs/dbraw/zinc/26/90/64/1074269064.db2.gz MWVJCQDIILQUHH-UHFFFAOYSA-N 0 0 446.464 -0.235 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2S1(=O)=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324419891 1074268933 /nfs/dbraw/zinc/26/89/33/1074268933.db2.gz LQYKJDREUGGUGB-SECBINFHSA-N 0 0 437.293 -0.103 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2S1(=O)=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324419898 1074269096 /nfs/dbraw/zinc/26/90/96/1074269096.db2.gz LQYKJDREUGGUGB-VIFPVBQESA-N 0 0 437.293 -0.103 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NC2CCN(CC(=O)NC)CC2)CC1 ZINC001324420590 1074269019 /nfs/dbraw/zinc/26/90/19/1074269019.db2.gz QWBYLODNMVUFRS-UHFFFAOYSA-N 0 0 432.543 -0.651 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCCC3CS(=O)(=O)C3)cc2)CC1 ZINC001324422497 1074268950 /nfs/dbraw/zinc/26/89/50/1074268950.db2.gz WHESHXYXFUNGOH-UHFFFAOYSA-N 0 0 443.547 -0.296 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC001324430543 1074268981 /nfs/dbraw/zinc/26/89/81/1074268981.db2.gz SYGIGZGFDCYWLW-UHFFFAOYSA-N 0 0 438.915 -0.122 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)s1 ZINC001324437225 1074268939 /nfs/dbraw/zinc/26/89/39/1074268939.db2.gz GEXAYIXRRMPOEO-JTQLQIEISA-N 0 0 433.533 -0.067 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)s1 ZINC001324437226 1074268973 /nfs/dbraw/zinc/26/89/73/1074268973.db2.gz GEXAYIXRRMPOEO-SNVBAGLBSA-N 0 0 433.533 -0.067 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)NCc1ccc(C(=O)N3CCNC(=O)C3)cc1)C2 ZINC001324445115 1074268902 /nfs/dbraw/zinc/26/89/02/1074268902.db2.gz XJCBSDWRSXBLJC-UHFFFAOYSA-N 0 0 441.492 -0.236 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC001324449109 1074269484 /nfs/dbraw/zinc/26/94/84/1074269484.db2.gz AXOFTFXORXZHCG-BUUHPPLQSA-N 0 0 431.536 -0.369 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001324449110 1074269494 /nfs/dbraw/zinc/26/94/94/1074269494.db2.gz AXOFTFXORXZHCG-DGUGNXMESA-N 0 0 431.536 -0.369 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001324449111 1074269521 /nfs/dbraw/zinc/26/95/21/1074269521.db2.gz AXOFTFXORXZHCG-KPXZUXBZSA-N 0 0 431.536 -0.369 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC001324449112 1074269470 /nfs/dbraw/zinc/26/94/70/1074269470.db2.gz AXOFTFXORXZHCG-LBNDFQBJSA-N 0 0 431.536 -0.369 20 0 IBADRN Cn1c2cccc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c2oc1=O ZINC001324449170 1074269439 /nfs/dbraw/zinc/26/94/39/1074269439.db2.gz DASXROPIEONEQY-GFCCVEGCSA-N 0 0 443.503 -0.594 20 0 IBADRN Cn1c2cccc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c2oc1=O ZINC001324449291 1074269507 /nfs/dbraw/zinc/26/95/07/1074269507.db2.gz DASXROPIEONEQY-LBPRGKRZSA-N 0 0 443.503 -0.594 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@H](S(N)(=O)=O)C3)c2)CC1 ZINC001324449458 1074269462 /nfs/dbraw/zinc/26/94/62/1074269462.db2.gz ANYHBNXXBVCGPS-INIZCTEOSA-N 0 0 444.535 -0.958 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@H](S(N)(=O)=O)C3)c2)CC1 ZINC001324449459 1074269476 /nfs/dbraw/zinc/26/94/76/1074269476.db2.gz ANYHBNXXBVCGPS-MRXNPFEDSA-N 0 0 444.535 -0.958 20 0 IBADRN CC(C)N(CCOCCNC(=O)[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCS(=O)(=O)C1 ZINC001324450973 1074269474 /nfs/dbraw/zinc/26/94/74/1074269474.db2.gz DQWXZZDPPDBMIS-CABCVRRESA-N 0 0 438.568 -0.774 20 0 IBADRN CC(C)N(CCOCCNC(=O)[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCS(=O)(=O)C1 ZINC001324450975 1074269466 /nfs/dbraw/zinc/26/94/66/1074269466.db2.gz DQWXZZDPPDBMIS-GJZGRUSLSA-N 0 0 438.568 -0.774 20 0 IBADRN CC(C)N(CCOCCNC(=O)[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCS(=O)(=O)C1 ZINC001324450977 1074269432 /nfs/dbraw/zinc/26/94/32/1074269432.db2.gz DQWXZZDPPDBMIS-HUUCEWRRSA-N 0 0 438.568 -0.774 20 0 IBADRN CC(C)N(CCOCCNC(=O)[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCS(=O)(=O)C1 ZINC001324450979 1074269445 /nfs/dbraw/zinc/26/94/45/1074269445.db2.gz DQWXZZDPPDBMIS-LSDHHAIUSA-N 0 0 438.568 -0.774 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001324455029 1074269458 /nfs/dbraw/zinc/26/94/58/1074269458.db2.gz VIADRVAZUDQWLN-HNNXBMFYSA-N 0 0 438.510 -0.393 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001324455032 1074269450 /nfs/dbraw/zinc/26/94/50/1074269450.db2.gz VIADRVAZUDQWLN-OAHLLOKOSA-N 0 0 438.510 -0.393 20 0 IBADRN CNS(=O)(=O)C1CCN(c2ncc(C)c(N3CCC(S(=O)(=O)NC)CC3)n2)CC1 ZINC001324460236 1074269511 /nfs/dbraw/zinc/26/95/11/1074269511.db2.gz SYXHWVPJECKFQB-UHFFFAOYSA-N 0 0 446.599 -0.179 20 0 IBADRN COC(=O)[C@H](Cn1ccnc1)NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001324461977 1074269525 /nfs/dbraw/zinc/26/95/25/1074269525.db2.gz DYDBTGWPMSSVRH-HNNXBMFYSA-N 0 0 438.510 -0.316 20 0 IBADRN COC(=O)[C@@H](Cn1ccnc1)NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001324461978 1074269498 /nfs/dbraw/zinc/26/94/98/1074269498.db2.gz DYDBTGWPMSSVRH-OAHLLOKOSA-N 0 0 438.510 -0.316 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cnn(C)c1I ZINC001324476658 1074269480 /nfs/dbraw/zinc/26/94/80/1074269480.db2.gz XDMGQGYYTNWICT-MRVPVSSYSA-N 0 0 440.263 -0.600 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cnn(C)c1I ZINC001324476659 1074269422 /nfs/dbraw/zinc/26/94/22/1074269422.db2.gz XDMGQGYYTNWICT-QMMMGPOBSA-N 0 0 440.263 -0.600 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1nc(S(C)(=O)=O)n2ccccc12 ZINC001324477392 1074269487 /nfs/dbraw/zinc/26/94/87/1074269487.db2.gz DEIQUBWUEJZIQH-LLVKDONJSA-N 0 0 428.492 -0.887 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1nc(S(C)(=O)=O)n2ccccc12 ZINC001324477395 1074269905 /nfs/dbraw/zinc/26/99/05/1074269905.db2.gz DEIQUBWUEJZIQH-NSHDSACASA-N 0 0 428.492 -0.887 20 0 IBADRN O=C(c1ccncc1)N1CCC[C@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC001324477541 1074269887 /nfs/dbraw/zinc/26/98/87/1074269887.db2.gz IQUALJDOOVJSKD-HNNXBMFYSA-N 0 0 433.494 -0.415 20 0 IBADRN O=C(c1ccncc1)N1CCC[C@@H](C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)C1 ZINC001324477542 1074269932 /nfs/dbraw/zinc/26/99/32/1074269932.db2.gz IQUALJDOOVJSKD-OAHLLOKOSA-N 0 0 433.494 -0.415 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001324478676 1074269811 /nfs/dbraw/zinc/26/98/11/1074269811.db2.gz SNBUQBVOVAZDKO-UHFFFAOYSA-N 0 0 434.525 -0.737 20 0 IBADRN COCCc1ccc(OC[C@H](O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001324487930 1074269791 /nfs/dbraw/zinc/26/97/91/1074269791.db2.gz IQLUOYZHCJOJPW-HOJAQTOUSA-N 0 0 428.551 -0.609 20 0 IBADRN COCCc1ccc(OC[C@H](O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001324487931 1074269925 /nfs/dbraw/zinc/26/99/25/1074269925.db2.gz IQLUOYZHCJOJPW-MISYRCLQSA-N 0 0 428.551 -0.609 20 0 IBADRN COCCc1ccc(OC[C@H](O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001324487932 1074269819 /nfs/dbraw/zinc/26/98/19/1074269819.db2.gz IQLUOYZHCJOJPW-RLLQIKCJSA-N 0 0 428.551 -0.609 20 0 IBADRN COCCc1ccc(OC[C@H](O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001324487933 1074269873 /nfs/dbraw/zinc/26/98/73/1074269873.db2.gz IQLUOYZHCJOJPW-YZGWKJHDSA-N 0 0 428.551 -0.609 20 0 IBADRN O=C(CN1CCC[C@H](n2cc(CO)nn2)C1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001324490498 1074269950 /nfs/dbraw/zinc/26/99/50/1074269950.db2.gz FLUUYAGTMOECGK-BZSNNMDCSA-N 0 0 441.554 -0.398 20 0 IBADRN O=C(CN1CCC[C@H](n2cc(CO)nn2)C1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001324490500 1074269826 /nfs/dbraw/zinc/26/98/26/1074269826.db2.gz FLUUYAGTMOECGK-KSZLIROESA-N 0 0 441.554 -0.398 20 0 IBADRN O=C(CN1CCC[C@H](n2cc(CO)nn2)C1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001324490502 1074269896 /nfs/dbraw/zinc/26/98/96/1074269896.db2.gz FLUUYAGTMOECGK-OKZBNKHCSA-N 0 0 441.554 -0.398 20 0 IBADRN O=C(CN1CCC[C@H](n2cc(CO)nn2)C1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001324490505 1074269920 /nfs/dbraw/zinc/26/99/20/1074269920.db2.gz FLUUYAGTMOECGK-RCCFBDPRSA-N 0 0 441.554 -0.398 20 0 IBADRN O=C(COC(=O)[C@@]1(F)CCOC1)N1CCN(C(=O)COC(=O)[C@]2(F)CCOC2)CC1 ZINC001324508051 1074269837 /nfs/dbraw/zinc/26/98/37/1074269837.db2.gz ZQUDWCFQPMTBRQ-HDICACEKSA-N 0 0 434.392 -0.999 20 0 IBADRN O=C(COC(=O)[C@@]1(F)CCOC1)N1CCN(C(=O)COC(=O)[C@@]2(F)CCOC2)CC1 ZINC001324508066 1074269959 /nfs/dbraw/zinc/26/99/59/1074269959.db2.gz ZQUDWCFQPMTBRQ-QZTJIDSGSA-N 0 0 434.392 -0.999 20 0 IBADRN O=C(COC(=O)[C@]1(F)CCOC1)N1CCN(C(=O)COC(=O)[C@]2(F)CCOC2)CC1 ZINC001324508068 1074269880 /nfs/dbraw/zinc/26/98/80/1074269880.db2.gz ZQUDWCFQPMTBRQ-ROUUACIJSA-N 0 0 434.392 -0.999 20 0 IBADRN CC(C)C(CNC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(C)C ZINC001324511474 1074269938 /nfs/dbraw/zinc/26/99/38/1074269938.db2.gz UDSVGUXHQYFBQX-UHFFFAOYSA-N 0 0 431.603 -0.333 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001324511824 1074269860 /nfs/dbraw/zinc/26/98/60/1074269860.db2.gz ROOGUNIHDBGSEM-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](N3CCCC3=O)C2)s1 ZINC001324513019 1074269963 /nfs/dbraw/zinc/26/99/63/1074269963.db2.gz AKTURFPDRPNIBP-CYBMUJFWSA-N 0 0 428.536 -0.114 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](N3CCCC3=O)C2)s1 ZINC001324513025 1074269910 /nfs/dbraw/zinc/26/99/10/1074269910.db2.gz AKTURFPDRPNIBP-ZDUSSCGKSA-N 0 0 428.536 -0.114 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)C3(S(C)(=O)=O)CCOCC3)cn2)CC1 ZINC001324514993 1074269802 /nfs/dbraw/zinc/26/98/02/1074269802.db2.gz ZHSANRCHZDKDBD-UHFFFAOYSA-N 0 0 446.551 -0.305 20 0 IBADRN CN1C(=O)NC2(CCN(CC(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001324516064 1074270377 /nfs/dbraw/zinc/27/03/77/1074270377.db2.gz LMQWRZTTXPKBQG-CABCVRRESA-N 0 0 442.538 -0.803 20 0 IBADRN CN1C(=O)NC2(CCN(CC(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001324516067 1074270287 /nfs/dbraw/zinc/27/02/87/1074270287.db2.gz LMQWRZTTXPKBQG-GJZGRUSLSA-N 0 0 442.538 -0.803 20 0 IBADRN CN1C(=O)NC2(CCN(CC(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001324516069 1074270332 /nfs/dbraw/zinc/27/03/32/1074270332.db2.gz LMQWRZTTXPKBQG-HUUCEWRRSA-N 0 0 442.538 -0.803 20 0 IBADRN CN1C(=O)NC2(CCN(CC(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001324516072 1074270302 /nfs/dbraw/zinc/27/03/02/1074270302.db2.gz LMQWRZTTXPKBQG-LSDHHAIUSA-N 0 0 442.538 -0.803 20 0 IBADRN O=C(CN1CCC(OC[C@@H]2CCOC2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001324516484 1074270309 /nfs/dbraw/zinc/27/03/09/1074270309.db2.gz PFPUSOOLLUXLST-MSOLQXFVSA-N 0 0 429.583 -0.165 20 0 IBADRN O=C(CN1CCC(OC[C@@H]2CCOC2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324516485 1074270339 /nfs/dbraw/zinc/27/03/39/1074270339.db2.gz PFPUSOOLLUXLST-QZTJIDSGSA-N 0 0 429.583 -0.165 20 0 IBADRN O=C(CN1CCC(OC[C@H]2CCOC2)CC1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001324516486 1074270365 /nfs/dbraw/zinc/27/03/65/1074270365.db2.gz PFPUSOOLLUXLST-ROUUACIJSA-N 0 0 429.583 -0.165 20 0 IBADRN O=C(CN1CCC(OC[C@H]2CCOC2)CC1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324516487 1074270245 /nfs/dbraw/zinc/27/02/45/1074270245.db2.gz PFPUSOOLLUXLST-ZWKOTPCHSA-N 0 0 429.583 -0.165 20 0 IBADRN COCCN(C(=O)CN1CCC([C@H](O)c2nccn2C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001324519715 1074270296 /nfs/dbraw/zinc/27/02/96/1074270296.db2.gz FKELZAOUITYNOC-AEFFLSMTSA-N 0 0 428.555 -0.172 20 0 IBADRN COCCN(C(=O)CN1CCC([C@@H](O)c2nccn2C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001324519717 1074270282 /nfs/dbraw/zinc/27/02/82/1074270282.db2.gz FKELZAOUITYNOC-FUHWJXTLSA-N 0 0 428.555 -0.172 20 0 IBADRN COCCN(C(=O)CN1CCC([C@@H](O)c2nccn2C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001324519719 1074270227 /nfs/dbraw/zinc/27/02/27/1074270227.db2.gz FKELZAOUITYNOC-SJLPKXTDSA-N 0 0 428.555 -0.172 20 0 IBADRN COCCN(C(=O)CN1CCC([C@H](O)c2nccn2C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001324519721 1074270276 /nfs/dbraw/zinc/27/02/76/1074270276.db2.gz FKELZAOUITYNOC-WMZOPIPTSA-N 0 0 428.555 -0.172 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1=O ZINC001324521864 1074270346 /nfs/dbraw/zinc/27/03/46/1074270346.db2.gz ZVVXDJNWCXWFKZ-UHFFFAOYSA-N 0 0 441.510 -0.505 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1)C(=O)OC ZINC001324529678 1074270321 /nfs/dbraw/zinc/27/03/21/1074270321.db2.gz GINAAGYBFYAQTE-CQSZACIVSA-N 0 0 444.510 -0.260 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3(S(C)(=O)=O)CCOCC3)CC2)cs1 ZINC001324542615 1074270356 /nfs/dbraw/zinc/27/03/56/1074270356.db2.gz UKSZFTLDXIQYLO-UHFFFAOYSA-N 0 0 437.565 -0.122 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cnn3c2C[C@@H](CNC(C)=O)CC3)CC1 ZINC001324559543 1074270314 /nfs/dbraw/zinc/27/03/14/1074270314.db2.gz CGZIAKAHNICZOD-INIZCTEOSA-N 0 0 441.554 -0.294 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cnn3c2C[C@H](CNC(C)=O)CC3)CC1 ZINC001324559544 1074270268 /nfs/dbraw/zinc/27/02/68/1074270268.db2.gz CGZIAKAHNICZOD-MRXNPFEDSA-N 0 0 441.554 -0.294 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC001324560400 1074270383 /nfs/dbraw/zinc/27/03/83/1074270383.db2.gz JMSNGWZECIVIGA-AOYOOGHLSA-N 0 0 447.521 -0.628 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC001324560402 1074270373 /nfs/dbraw/zinc/27/03/73/1074270373.db2.gz JMSNGWZECIVIGA-JKGBWWFKSA-N 0 0 447.521 -0.628 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC001324560403 1074270259 /nfs/dbraw/zinc/27/02/59/1074270259.db2.gz JMSNGWZECIVIGA-PSKUBERUSA-N 0 0 447.521 -0.628 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)cn1 ZINC001324560404 1074270709 /nfs/dbraw/zinc/27/07/09/1074270709.db2.gz JMSNGWZECIVIGA-YSVRNHBLSA-N 0 0 447.521 -0.628 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)Cn2ncn3nccc3c2=O)CC1 ZINC001324562101 1074270856 /nfs/dbraw/zinc/27/08/56/1074270856.db2.gz RPJCQQOVMBRLNF-UHFFFAOYSA-N 0 0 425.515 -0.598 20 0 IBADRN Cn1ccnc1[C@](O)(CC(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1)C(F)(F)F ZINC001324568635 1074270681 /nfs/dbraw/zinc/27/06/81/1074270681.db2.gz IHULLYLOUMVREP-GFCCVEGCSA-N 0 0 432.446 -0.170 20 0 IBADRN Cn1ccnc1[C@@](O)(CC(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1)C(F)(F)F ZINC001324568636 1074270866 /nfs/dbraw/zinc/27/08/66/1074270866.db2.gz IHULLYLOUMVREP-LBPRGKRZSA-N 0 0 432.446 -0.170 20 0 IBADRN Cn1cnnc1CNC(=O)[C@H]1CC[C@@H](C(=O)NCc2nncn2C)N1Cc1ccccc1 ZINC001324570658 1074270888 /nfs/dbraw/zinc/27/08/88/1074270888.db2.gz DJKASGBMPZRMJO-CALCHBBNSA-N 0 0 437.508 -0.091 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001324571617 1074270870 /nfs/dbraw/zinc/27/08/70/1074270870.db2.gz KHGFIXVYHGBRSJ-CYBMUJFWSA-N 0 0 447.535 -0.875 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001324571619 1074270884 /nfs/dbraw/zinc/27/08/84/1074270884.db2.gz KHGFIXVYHGBRSJ-ZDUSSCGKSA-N 0 0 447.535 -0.875 20 0 IBADRN COC(=O)CN(C)CCNC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001324577605 1074270836 /nfs/dbraw/zinc/27/08/36/1074270836.db2.gz MYEZVJMGINZAGS-UHFFFAOYSA-N 0 0 427.523 -0.139 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NC[C@@H]3CCCS(=O)(=O)C3)CC2)cc1 ZINC001324577626 1074270848 /nfs/dbraw/zinc/27/08/48/1074270848.db2.gz OOQZZXYLPRNHDV-AWEZNQCLSA-N 0 0 430.552 -0.010 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NC[C@H]3CCCS(=O)(=O)C3)CC2)cc1 ZINC001324577630 1074270827 /nfs/dbraw/zinc/27/08/27/1074270827.db2.gz OOQZZXYLPRNHDV-CQSZACIVSA-N 0 0 430.552 -0.010 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324585102 1074270877 /nfs/dbraw/zinc/27/08/77/1074270877.db2.gz UUMHCUIOGQDBSW-DZGCQCFKSA-N 0 0 435.506 -0.222 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324585104 1074270734 /nfs/dbraw/zinc/27/07/34/1074270734.db2.gz UUMHCUIOGQDBSW-HIFRSBDPSA-N 0 0 435.506 -0.222 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324585106 1074270695 /nfs/dbraw/zinc/27/06/95/1074270695.db2.gz UUMHCUIOGQDBSW-UKRRQHHQSA-N 0 0 435.506 -0.222 20 0 IBADRN C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324585109 1074270745 /nfs/dbraw/zinc/27/07/45/1074270745.db2.gz UUMHCUIOGQDBSW-ZFWWWQNUSA-N 0 0 435.506 -0.222 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1 ZINC001324587344 1074270671 /nfs/dbraw/zinc/27/06/71/1074270671.db2.gz JJUVOAOALINNCF-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H](CS(C)(=O)=O)C1 ZINC001324594847 1074270754 /nfs/dbraw/zinc/27/07/54/1074270754.db2.gz WSSBAWPVBODVEH-AWEZNQCLSA-N 0 0 433.552 -0.057 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H](CS(C)(=O)=O)C1 ZINC001324594849 1074270771 /nfs/dbraw/zinc/27/07/71/1074270771.db2.gz WSSBAWPVBODVEH-CQSZACIVSA-N 0 0 433.552 -0.057 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(OCC)cc2)CC1 ZINC001324598049 1074271215 /nfs/dbraw/zinc/27/12/15/1074271215.db2.gz BJCQVNBMDYLAFZ-UHFFFAOYSA-N 0 0 427.479 -0.403 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001324598693 1074271162 /nfs/dbraw/zinc/27/11/62/1074271162.db2.gz JFTJHVFJPTWSBX-UHFFFAOYSA-N 0 0 428.330 -0.265 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)[C@@H](C)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324599473 1074271151 /nfs/dbraw/zinc/27/11/51/1074271151.db2.gz MLOKNFIVCAWMRU-CVEARBPZSA-N 0 0 438.550 -0.018 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)[C@H](C)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324599474 1074271192 /nfs/dbraw/zinc/27/11/92/1074271192.db2.gz MLOKNFIVCAWMRU-HOTGVXAUSA-N 0 0 438.550 -0.018 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)[C@@H](C)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324599475 1074271224 /nfs/dbraw/zinc/27/12/24/1074271224.db2.gz MLOKNFIVCAWMRU-HZPDHXFCSA-N 0 0 438.550 -0.018 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)[C@H](C)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324599476 1074271233 /nfs/dbraw/zinc/27/12/33/1074271233.db2.gz MLOKNFIVCAWMRU-JKSUJKDBSA-N 0 0 438.550 -0.018 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001324600661 1074271298 /nfs/dbraw/zinc/27/12/98/1074271298.db2.gz KMKBWZGSHYLBCN-INIZCTEOSA-N 0 0 426.495 -0.888 20 0 IBADRN C[C@H](C(=O)Nc1ccccc1S(C)(=O)=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324600847 1074271199 /nfs/dbraw/zinc/27/11/99/1074271199.db2.gz XHMJDBQAWKKZHR-CHWSQXEVSA-N 0 0 431.536 -0.348 20 0 IBADRN C[C@H](C(=O)Nc1ccccc1S(C)(=O)=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324600849 1074271292 /nfs/dbraw/zinc/27/12/92/1074271292.db2.gz XHMJDBQAWKKZHR-OLZOCXBDSA-N 0 0 431.536 -0.348 20 0 IBADRN C[C@@H](C(=O)Nc1ccccc1S(C)(=O)=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324600852 1074271280 /nfs/dbraw/zinc/27/12/80/1074271280.db2.gz XHMJDBQAWKKZHR-QWHCGFSZSA-N 0 0 431.536 -0.348 20 0 IBADRN C[C@@H](C(=O)Nc1ccccc1S(C)(=O)=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324600854 1074271274 /nfs/dbraw/zinc/27/12/74/1074271274.db2.gz XHMJDBQAWKKZHR-STQMWFEESA-N 0 0 431.536 -0.348 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324600858 1074271173 /nfs/dbraw/zinc/27/11/73/1074271173.db2.gz XJXNNLUILWXCGP-BBRMVZONSA-N 0 0 425.555 -0.032 20 0 IBADRN Cc1n[nH]c(C)c1[C@H](C)CC(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324600890 1074271142 /nfs/dbraw/zinc/27/11/42/1074271142.db2.gz XJXNNLUILWXCGP-CJNGLKHVSA-N 0 0 425.555 -0.032 20 0 IBADRN Cc1n[nH]c(C)c1[C@H](C)CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324600891 1074271206 /nfs/dbraw/zinc/27/12/06/1074271206.db2.gz XJXNNLUILWXCGP-CZUORRHYSA-N 0 0 425.555 -0.032 20 0 IBADRN Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324600892 1074271121 /nfs/dbraw/zinc/27/11/21/1074271121.db2.gz XJXNNLUILWXCGP-XJKSGUPXSA-N 0 0 425.555 -0.032 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC3(CC2)NC(=O)N(C)C3=O)cc1OC ZINC001324602738 1074271259 /nfs/dbraw/zinc/27/12/59/1074271259.db2.gz IGOIHSPVZZMYSP-UHFFFAOYSA-N 0 0 440.478 -0.475 20 0 IBADRN COC(=O)[C@]1(C)CCCN1C(=O)Cn1nc(C(=O)N2CCC[C@@]2(C)C(=O)OC)ccc1=O ZINC001324604113 1074271182 /nfs/dbraw/zinc/27/11/82/1074271182.db2.gz IZOUVHSLVXXYTO-SFTDATJTSA-N 0 0 448.476 -0.035 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001324605391 1074271244 /nfs/dbraw/zinc/27/12/44/1074271244.db2.gz LVSKMAUVGASWMK-UHFFFAOYSA-N 0 0 425.554 -0.504 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324607038 1074271133 /nfs/dbraw/zinc/27/11/33/1074271133.db2.gz OZUSFRNKWSMPNJ-GDBMZVCRSA-N 0 0 449.537 -0.574 20 0 IBADRN CC[C@@H](Nc1nnnn1-c1ccccc1)C(=O)N(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324607040 1074271159 /nfs/dbraw/zinc/27/11/59/1074271159.db2.gz OZUSFRNKWSMPNJ-GOEBONIOSA-N 0 0 449.537 -0.574 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N(CC)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001324607043 1074271685 /nfs/dbraw/zinc/27/16/85/1074271685.db2.gz OZUSFRNKWSMPNJ-HOCLYGCPSA-N 0 0 449.537 -0.574 20 0 IBADRN CC[C@H](Nc1nnnn1-c1ccccc1)C(=O)N(CC)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001324607045 1074271472 /nfs/dbraw/zinc/27/14/72/1074271472.db2.gz OZUSFRNKWSMPNJ-ZBFHGGJFSA-N 0 0 449.537 -0.574 20 0 IBADRN Cc1cn2ccnc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001324611972 1074271689 /nfs/dbraw/zinc/27/16/89/1074271689.db2.gz TYBKGZNIGUNPIO-CYBMUJFWSA-N 0 0 427.508 -0.688 20 0 IBADRN Cc1cn2ccnc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)c2n1 ZINC001324611973 1074271624 /nfs/dbraw/zinc/27/16/24/1074271624.db2.gz TYBKGZNIGUNPIO-ZDUSSCGKSA-N 0 0 427.508 -0.688 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)c1ccc(C(=O)NC[C@@H]2CCCS2(=O)=O)nc1 ZINC001324613105 1074271482 /nfs/dbraw/zinc/27/14/82/1074271482.db2.gz SAGARGGOQJDEEG-KBPBESRZSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)c1ccc(C(=O)NC[C@@H]2CCCS2(=O)=O)nc1 ZINC001324613106 1074271691 /nfs/dbraw/zinc/27/16/91/1074271691.db2.gz SAGARGGOQJDEEG-KGLIPLIRSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)c1ccc(C(=O)NC[C@H]2CCCS2(=O)=O)nc1 ZINC001324613107 1074271512 /nfs/dbraw/zinc/27/15/12/1074271512.db2.gz SAGARGGOQJDEEG-UONOGXRCSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)c1ccc(C(=O)NC[C@H]2CCCS2(=O)=O)nc1 ZINC001324613108 1074271697 /nfs/dbraw/zinc/27/16/97/1074271697.db2.gz SAGARGGOQJDEEG-ZIAGYGMSSA-N 0 0 429.520 -0.304 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N[C@@H]2CCc3c(nc(C)n3CCO)C2)c1 ZINC001324617782 1074271534 /nfs/dbraw/zinc/27/15/34/1074271534.db2.gz CGBOUROPMYOIKG-CYBMUJFWSA-N 0 0 425.511 -0.276 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N[C@H]2CCc3c(nc(C)n3CCO)C2)c1 ZINC001324617783 1074271519 /nfs/dbraw/zinc/27/15/19/1074271519.db2.gz CGBOUROPMYOIKG-ZDUSSCGKSA-N 0 0 425.511 -0.276 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001324620225 1074271635 /nfs/dbraw/zinc/27/16/35/1074271635.db2.gz XXYOLDSJKQSTJE-UHFFFAOYSA-N 0 0 434.540 -0.709 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001324621059 1074271496 /nfs/dbraw/zinc/27/14/96/1074271496.db2.gz NERZUDCVHLQLGQ-BMFZPTHFSA-N 0 0 432.502 -0.063 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001324621061 1074271546 /nfs/dbraw/zinc/27/15/46/1074271546.db2.gz NERZUDCVHLQLGQ-FVQBIDKESA-N 0 0 432.502 -0.063 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001324621063 1074271580 /nfs/dbraw/zinc/27/15/80/1074271580.db2.gz NERZUDCVHLQLGQ-KBMXLJTQSA-N 0 0 432.502 -0.063 20 0 IBADRN C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001324621065 1074271679 /nfs/dbraw/zinc/27/16/79/1074271679.db2.gz NERZUDCVHLQLGQ-VNQPRFMTSA-N 0 0 432.502 -0.063 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCS(=O)(=O)C[C@H](C)C3)cc2S1(=O)=O ZINC001324622546 1074271938 /nfs/dbraw/zinc/27/19/38/1074271938.db2.gz GLARUHWQBIYPIS-GFCCVEGCSA-N 0 0 430.504 -0.016 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCS(=O)(=O)C[C@@H](C)C3)cc2S1(=O)=O ZINC001324622547 1074271925 /nfs/dbraw/zinc/27/19/25/1074271925.db2.gz GLARUHWQBIYPIS-LBPRGKRZSA-N 0 0 430.504 -0.016 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNCc2nccn2S(=O)(=O)N(C)C)cc1 ZINC001324623181 1074272006 /nfs/dbraw/zinc/27/20/06/1074272006.db2.gz MZLFBHRVYQSJME-UHFFFAOYSA-N 0 0 444.535 -0.085 20 0 IBADRN C[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS(=O)(=O)C1 ZINC001324623824 1074271945 /nfs/dbraw/zinc/27/19/45/1074271945.db2.gz KIVMOLZWMQMSFL-CYBMUJFWSA-N 0 0 429.520 -0.686 20 0 IBADRN C[C@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS(=O)(=O)C1 ZINC001324623825 1074272101 /nfs/dbraw/zinc/27/21/01/1074272101.db2.gz KIVMOLZWMQMSFL-ZDUSSCGKSA-N 0 0 429.520 -0.686 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CC1 ZINC001324628420 1074272019 /nfs/dbraw/zinc/27/20/19/1074272019.db2.gz CQRSWUWKNOYYJN-UHFFFAOYSA-N 0 0 448.571 -0.704 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)CC1 ZINC001324642562 1074272094 /nfs/dbraw/zinc/27/20/94/1074272094.db2.gz NQOVWBYAMXBOBW-LLVKDONJSA-N 0 0 426.426 -0.152 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)CC1 ZINC001324642563 1074271990 /nfs/dbraw/zinc/27/19/90/1074271990.db2.gz NQOVWBYAMXBOBW-NSHDSACASA-N 0 0 426.426 -0.152 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN3C(=O)CC[C@H]3C2)c[nH]1)N1CCN2C(=O)CC[C@H]2C1 ZINC001324643224 1074272044 /nfs/dbraw/zinc/27/20/44/1074272044.db2.gz WKDJXAACQKNYML-KBPBESRZSA-N 0 0 435.506 -0.543 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN3C(=O)CC[C@H]3C2)c[nH]1)N1CCN2C(=O)CC[C@@H]2C1 ZINC001324643225 1074272066 /nfs/dbraw/zinc/27/20/66/1074272066.db2.gz WKDJXAACQKNYML-KGLIPLIRSA-N 0 0 435.506 -0.543 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN3C(=O)CC[C@@H]3C2)c[nH]1)N1CCN2C(=O)CC[C@H]2C1 ZINC001324643226 1074271962 /nfs/dbraw/zinc/27/19/62/1074271962.db2.gz WKDJXAACQKNYML-UONOGXRCSA-N 0 0 435.506 -0.543 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCN3C(=O)CC[C@@H]3C2)c[nH]1)N1CCN2C(=O)CC[C@@H]2C1 ZINC001324643227 1074271998 /nfs/dbraw/zinc/27/19/98/1074271998.db2.gz WKDJXAACQKNYML-ZIAGYGMSSA-N 0 0 435.506 -0.543 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCc1cccc2cccnc21 ZINC001324644782 1074272106 /nfs/dbraw/zinc/27/21/06/1074272106.db2.gz PAVXRVAPRZAGGD-MOPGFXCFSA-N 0 0 432.546 -0.331 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCc1cccc2cccnc21 ZINC001324644783 1074272051 /nfs/dbraw/zinc/27/20/51/1074272051.db2.gz PAVXRVAPRZAGGD-OALUTQOASA-N 0 0 432.546 -0.331 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCc1cccc2cccnc21 ZINC001324644784 1074272089 /nfs/dbraw/zinc/27/20/89/1074272089.db2.gz PAVXRVAPRZAGGD-RBUKOAKNSA-N 0 0 432.546 -0.331 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCc1cccc2cccnc21 ZINC001324644786 1074272035 /nfs/dbraw/zinc/27/20/35/1074272035.db2.gz PAVXRVAPRZAGGD-RTBURBONSA-N 0 0 432.546 -0.331 20 0 IBADRN Cc1ccsc1-c1nnc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)o1 ZINC001324645280 1074272075 /nfs/dbraw/zinc/27/20/75/1074272075.db2.gz RCEHZSIGCACONF-CHWSQXEVSA-N 0 0 441.535 -0.179 20 0 IBADRN Cc1ccsc1-c1nnc(NC(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)o1 ZINC001324645281 1074272082 /nfs/dbraw/zinc/27/20/82/1074272082.db2.gz RCEHZSIGCACONF-OLZOCXBDSA-N 0 0 441.535 -0.179 20 0 IBADRN Cc1ccsc1-c1nnc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)o1 ZINC001324645283 1074272060 /nfs/dbraw/zinc/27/20/60/1074272060.db2.gz RCEHZSIGCACONF-QWHCGFSZSA-N 0 0 441.535 -0.179 20 0 IBADRN Cc1ccsc1-c1nnc(NC(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)o1 ZINC001324645284 1074271975 /nfs/dbraw/zinc/27/19/75/1074271975.db2.gz RCEHZSIGCACONF-STQMWFEESA-N 0 0 441.535 -0.179 20 0 IBADRN Cn1nncc1C[S@@](=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001324648015 1074272492 /nfs/dbraw/zinc/27/24/92/1074272492.db2.gz HZHCUXLJZDWZCY-HHHXNRCGSA-N 0 0 427.508 -0.277 20 0 IBADRN Cn1nncc1C[S@](=O)CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001324648016 1074272459 /nfs/dbraw/zinc/27/24/59/1074272459.db2.gz HZHCUXLJZDWZCY-MHZLTWQESA-N 0 0 427.508 -0.277 20 0 IBADRN Cn1cc([C@H](O)C[C@H]2CCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001324656881 1074272467 /nfs/dbraw/zinc/27/24/67/1074272467.db2.gz HAUBMPNUYPKWSX-CEXWTWQISA-N 0 0 439.582 -0.361 20 0 IBADRN Cn1cc([C@H](O)C[C@@H]2CCCN2CC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001324656883 1074272572 /nfs/dbraw/zinc/27/25/72/1074272572.db2.gz HAUBMPNUYPKWSX-GBESFXJTSA-N 0 0 439.582 -0.361 20 0 IBADRN Cn1cc([C@H](O)C[C@H]2CCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001324656885 1074272542 /nfs/dbraw/zinc/27/25/42/1074272542.db2.gz HAUBMPNUYPKWSX-GUDVDZBRSA-N 0 0 439.582 -0.361 20 0 IBADRN Cn1cc([C@H](O)C[C@@H]2CCCN2CC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001324656887 1074272589 /nfs/dbraw/zinc/27/25/89/1074272589.db2.gz HAUBMPNUYPKWSX-IPMKNSEASA-N 0 0 439.582 -0.361 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc2c(c1)OCCCO2 ZINC001324658203 1074272446 /nfs/dbraw/zinc/27/24/46/1074272446.db2.gz WDEMEZQGODTHRX-CVEARBPZSA-N 0 0 425.507 -0.438 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc2c(c1)OCCCO2 ZINC001324658205 1074272411 /nfs/dbraw/zinc/27/24/11/1074272411.db2.gz WDEMEZQGODTHRX-HOTGVXAUSA-N 0 0 425.507 -0.438 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc2c(c1)OCCCO2 ZINC001324658208 1074272514 /nfs/dbraw/zinc/27/25/14/1074272514.db2.gz WDEMEZQGODTHRX-HZPDHXFCSA-N 0 0 425.507 -0.438 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc2c(c1)OCCCO2 ZINC001324658211 1074272451 /nfs/dbraw/zinc/27/24/51/1074272451.db2.gz WDEMEZQGODTHRX-JKSUJKDBSA-N 0 0 425.507 -0.438 20 0 IBADRN CS(=O)(=O)CCCC(=O)NC[C@@H]1CSCCN1C(=O)CCCS(C)(=O)=O ZINC001324676802 1074272438 /nfs/dbraw/zinc/27/24/38/1074272438.db2.gz NVNHBBNQXVNSTA-CYBMUJFWSA-N 0 0 428.598 -0.304 20 0 IBADRN CS(=O)(=O)CCCC(=O)NC[C@H]1CSCCN1C(=O)CCCS(C)(=O)=O ZINC001324676803 1074272506 /nfs/dbraw/zinc/27/25/06/1074272506.db2.gz NVNHBBNQXVNSTA-ZDUSSCGKSA-N 0 0 428.598 -0.304 20 0 IBADRN O=C(NC[C@@H](CO)CC1CCOCC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001324685892 1074272423 /nfs/dbraw/zinc/27/24/23/1074272423.db2.gz OEHZSNZWZPOJNV-INIZCTEOSA-N 0 0 439.534 -0.038 20 0 IBADRN O=C(NC[C@H](CO)CC1CCOCC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001324685893 1074272561 /nfs/dbraw/zinc/27/25/61/1074272561.db2.gz OEHZSNZWZPOJNV-MRXNPFEDSA-N 0 0 439.534 -0.038 20 0 IBADRN CN1c2ccc(C(=O)COC(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)cc2CC1=O ZINC001324691016 1074272497 /nfs/dbraw/zinc/27/24/97/1074272497.db2.gz UJNYZTYIQNHWHL-CABCVRRESA-N 0 0 434.470 -0.033 20 0 IBADRN CN1c2ccc(C(=O)COC(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)cc2CC1=O ZINC001324691019 1074272604 /nfs/dbraw/zinc/27/26/04/1074272604.db2.gz UJNYZTYIQNHWHL-GJZGRUSLSA-N 0 0 434.470 -0.033 20 0 IBADRN CN1c2ccc(C(=O)COC(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)cc2CC1=O ZINC001324691021 1074272390 /nfs/dbraw/zinc/27/23/90/1074272390.db2.gz UJNYZTYIQNHWHL-HUUCEWRRSA-N 0 0 434.470 -0.033 20 0 IBADRN CN1c2ccc(C(=O)COC(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)cc2CC1=O ZINC001324691023 1074272566 /nfs/dbraw/zinc/27/25/66/1074272566.db2.gz UJNYZTYIQNHWHL-LSDHHAIUSA-N 0 0 434.470 -0.033 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NC1CCN(S(=O)(=O)CC)CC1 ZINC001324703768 1074272532 /nfs/dbraw/zinc/27/25/32/1074272532.db2.gz ALLLOCCWBXKNKX-AWEZNQCLSA-N 0 0 438.572 -0.865 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NC1CCN(S(=O)(=O)CC)CC1 ZINC001324703769 1074272904 /nfs/dbraw/zinc/27/29/04/1074272904.db2.gz ALLLOCCWBXKNKX-CQSZACIVSA-N 0 0 438.572 -0.865 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1)c1cc2n(n1)CCO2 ZINC001324703960 1074272886 /nfs/dbraw/zinc/27/28/86/1074272886.db2.gz DOYLIMFUQURTDD-GFCCVEGCSA-N 0 0 426.476 -0.007 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1)c1cc2n(n1)CCO2 ZINC001324703961 1074273016 /nfs/dbraw/zinc/27/30/16/1074273016.db2.gz DOYLIMFUQURTDD-LBPRGKRZSA-N 0 0 426.476 -0.007 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCC[C@@H]2CN(C(C)=O)C[C@H]21 ZINC001324707757 1074272854 /nfs/dbraw/zinc/27/28/54/1074272854.db2.gz WKLAYASBPBDQPB-QBPKDAKJSA-N 0 0 428.555 -0.032 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCC[C@H]2CN(C(C)=O)C[C@@H]21 ZINC001324707758 1074272942 /nfs/dbraw/zinc/27/29/42/1074272942.db2.gz WKLAYASBPBDQPB-VVLHAWIVSA-N 0 0 428.555 -0.032 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCC[C@@H]2CN(C(C)=O)C[C@@H]21 ZINC001324707759 1074272828 /nfs/dbraw/zinc/27/28/28/1074272828.db2.gz WKLAYASBPBDQPB-WCXIOVBPSA-N 0 0 428.555 -0.032 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)N[C@@H]1CCC[C@H]2CN(C(C)=O)C[C@H]21 ZINC001324707760 1074273025 /nfs/dbraw/zinc/27/30/25/1074273025.db2.gz WKLAYASBPBDQPB-YLFCFFPRSA-N 0 0 428.555 -0.032 20 0 IBADRN CNS(=O)(=O)c1ccc(CCc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001324712688 1074272950 /nfs/dbraw/zinc/27/29/50/1074272950.db2.gz SUJFPEKFWRATKP-UHFFFAOYSA-N 0 0 442.519 -0.438 20 0 IBADRN CS(=O)(=O)C1(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOCC1 ZINC001324715562 1074272913 /nfs/dbraw/zinc/27/29/13/1074272913.db2.gz ZNRZYUYAQLDDNW-UHFFFAOYSA-N 0 0 445.519 -0.661 20 0 IBADRN O=C(CN1CCN(C(=O)c2ncn(-c3ccccc3)n2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001324724798 1074272869 /nfs/dbraw/zinc/27/28/69/1074272869.db2.gz IDZQMVCPYPBQDW-HNNXBMFYSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ncn(-c3ccccc3)n2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001324724802 1074272895 /nfs/dbraw/zinc/27/28/95/1074272895.db2.gz IDZQMVCPYPBQDW-OAHLLOKOSA-N 0 0 432.506 -0.672 20 0 IBADRN CC(C)CNC(=O)CNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001324735620 1074272973 /nfs/dbraw/zinc/27/29/73/1074272973.db2.gz KZKKPTIGSXLTMF-UHFFFAOYSA-N 0 0 426.495 -0.098 20 0 IBADRN Cn1cnc2c1nccc2C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001324737472 1074272925 /nfs/dbraw/zinc/27/29/25/1074272925.db2.gz NOCGRRJDKZNGHI-GFCCVEGCSA-N 0 0 427.508 -0.757 20 0 IBADRN Cn1cnc2c1nccc2C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001324737474 1074272781 /nfs/dbraw/zinc/27/27/81/1074272781.db2.gz NOCGRRJDKZNGHI-LBPRGKRZSA-N 0 0 427.508 -0.757 20 0 IBADRN CN(C)C(=O)CCCCS(=O)(=O)CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC001324742586 1074273036 /nfs/dbraw/zinc/27/30/36/1074273036.db2.gz NICOVKIWRVPXGX-UHFFFAOYSA-N 0 0 431.536 -0.104 20 0 IBADRN CC1(C)NC(=O)N(CC[S@@](=O)CC(=O)N2CCC(C(=O)N3CCCC3)CC2)C1=O ZINC001324742739 1074272840 /nfs/dbraw/zinc/27/28/40/1074272840.db2.gz VIGUIYOREUEUGC-GDLZYMKVSA-N 0 0 426.539 -0.074 20 0 IBADRN CC1(C)NC(=O)N(CC[S@](=O)CC(=O)N2CCC(C(=O)N3CCCC3)CC2)C1=O ZINC001324742742 1074272797 /nfs/dbraw/zinc/27/27/97/1074272797.db2.gz VIGUIYOREUEUGC-LJAQVGFWSA-N 0 0 426.539 -0.074 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)NCCN(C(=O)[C@H]1CCc3nnn(C)c3C1)C1CC1)CC2 ZINC001324742932 1074273400 /nfs/dbraw/zinc/27/34/00/1074273400.db2.gz TZBCSHNGWMFMCL-KBPBESRZSA-N 0 0 426.525 -0.039 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NCCN(C(=O)[C@H]1CCc3nnn(C)c3C1)C1CC1)CC2 ZINC001324742933 1074273390 /nfs/dbraw/zinc/27/33/90/1074273390.db2.gz TZBCSHNGWMFMCL-KGLIPLIRSA-N 0 0 426.525 -0.039 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)N(CCNC(=O)[C@H]1CCc3nnn(C)c3C1)C1CC1)CC2 ZINC001324742934 1074273451 /nfs/dbraw/zinc/27/34/51/1074273451.db2.gz TZBCSHNGWMFMCL-UONOGXRCSA-N 0 0 426.525 -0.039 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NCCN(C(=O)[C@@H]1CCc3nnn(C)c3C1)C1CC1)CC2 ZINC001324742935 1074273465 /nfs/dbraw/zinc/27/34/65/1074273465.db2.gz TZBCSHNGWMFMCL-ZIAGYGMSSA-N 0 0 426.525 -0.039 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H](CO)COC(C)=O ZINC001324755808 1074273470 /nfs/dbraw/zinc/27/34/70/1074273470.db2.gz STJCGADXQMXQON-HNNXBMFYSA-N 0 0 443.522 -0.325 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H](CO)COC(C)=O ZINC001324755810 1074273455 /nfs/dbraw/zinc/27/34/55/1074273455.db2.gz STJCGADXQMXQON-OAHLLOKOSA-N 0 0 443.522 -0.325 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@@H]2CC23CCS(=O)(=O)CC3)c1 ZINC001324768198 1074273476 /nfs/dbraw/zinc/27/34/76/1074273476.db2.gz STDMMLSDTXNLQM-AWEZNQCLSA-N 0 0 429.520 -0.136 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)CNC(=O)[C@H]2CC23CCS(=O)(=O)CC3)c1 ZINC001324768199 1074273408 /nfs/dbraw/zinc/27/34/08/1074273408.db2.gz STDMMLSDTXNLQM-CQSZACIVSA-N 0 0 429.520 -0.136 20 0 IBADRN O=S(=O)(c1ccc(S(=O)(=O)N2C[C@H]3[C@H](CO)[C@H]3C2)cc1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC001324768951 1074273508 /nfs/dbraw/zinc/27/35/08/1074273508.db2.gz GGPFLBNJDXMDIQ-HKCPWHJYSA-N 0 0 428.532 -0.596 20 0 IBADRN O=C(CCN1C(=O)CCC1=O)NCC1CCC(NC(=O)CCN2C(=O)CCC2=O)CC1 ZINC001324775189 1074273412 /nfs/dbraw/zinc/27/34/12/1074273412.db2.gz DGKWJJZRUNCLQR-UHFFFAOYSA-N 0 0 434.493 -0.144 20 0 IBADRN Cn1nc(S(C)(=O)=O)c2c1ncn(Cc1ccc(S(=O)(=O)N3CCCC3)o1)c2=O ZINC001324776108 1074273431 /nfs/dbraw/zinc/27/34/31/1074273431.db2.gz KRQBEAMNGRYJOJ-UHFFFAOYSA-N 0 0 441.491 -0.041 20 0 IBADRN COc1ccc(CCNC(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)cc1OC ZINC001324777444 1074273443 /nfs/dbraw/zinc/27/34/43/1074273443.db2.gz SQAQCTUHGZQXLD-UHFFFAOYSA-N 0 0 449.489 -0.090 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N(CC(F)F)C1CCS(=O)(=O)CC1)c(=O)n2C ZINC001324793935 1074273420 /nfs/dbraw/zinc/27/34/20/1074273420.db2.gz UJFZZWFPZYORRT-UHFFFAOYSA-N 0 0 433.437 -0.895 20 0 IBADRN CN(Cn1nc(CCC(N)=O)n(C[C@@H]2CCCO2)c1=S)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001324801950 1074273397 /nfs/dbraw/zinc/27/33/97/1074273397.db2.gz HTTAVHBWTXFYGL-KBPBESRZSA-N 0 0 446.599 -0.066 20 0 IBADRN CN(Cn1nc(CCC(N)=O)n(C[C@@H]2CCCO2)c1=S)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001324801952 1074273426 /nfs/dbraw/zinc/27/34/26/1074273426.db2.gz HTTAVHBWTXFYGL-KGLIPLIRSA-N 0 0 446.599 -0.066 20 0 IBADRN CN(Cn1nc(CCC(N)=O)n(C[C@H]2CCCO2)c1=S)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001324801954 1074273384 /nfs/dbraw/zinc/27/33/84/1074273384.db2.gz HTTAVHBWTXFYGL-UONOGXRCSA-N 0 0 446.599 -0.066 20 0 IBADRN CN(Cn1nc(CCC(N)=O)n(C[C@H]2CCCO2)c1=S)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001324801956 1074273438 /nfs/dbraw/zinc/27/34/38/1074273438.db2.gz HTTAVHBWTXFYGL-ZIAGYGMSSA-N 0 0 446.599 -0.066 20 0 IBADRN CN(Cn1nc(C[C@H]2CCS(=O)(=O)C2)n(C)c1=S)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001324803080 1074273371 /nfs/dbraw/zinc/27/33/71/1074273371.db2.gz NSJPCZLGKVWXKK-CHWSQXEVSA-N 0 0 437.613 -0.149 20 0 IBADRN CN(Cn1nc(C[C@H]2CCS(=O)(=O)C2)n(C)c1=S)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001324803085 1074273403 /nfs/dbraw/zinc/27/34/03/1074273403.db2.gz NSJPCZLGKVWXKK-OLZOCXBDSA-N 0 0 437.613 -0.149 20 0 IBADRN CN(Cn1nc(C[C@@H]2CCS(=O)(=O)C2)n(C)c1=S)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001324803087 1074273821 /nfs/dbraw/zinc/27/38/21/1074273821.db2.gz NSJPCZLGKVWXKK-QWHCGFSZSA-N 0 0 437.613 -0.149 20 0 IBADRN CN(Cn1nc(C[C@@H]2CCS(=O)(=O)C2)n(C)c1=S)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001324803090 1074273807 /nfs/dbraw/zinc/27/38/07/1074273807.db2.gz NSJPCZLGKVWXKK-STQMWFEESA-N 0 0 437.613 -0.149 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC001324815384 1074273786 /nfs/dbraw/zinc/27/37/86/1074273786.db2.gz PSALNODAAMRMIA-AWEZNQCLSA-N 0 0 425.463 -0.644 20 0 IBADRN COC(=O)c1cc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)ccn1 ZINC001324815385 1074273924 /nfs/dbraw/zinc/27/39/24/1074273924.db2.gz PSALNODAAMRMIA-CQSZACIVSA-N 0 0 425.463 -0.644 20 0 IBADRN CCOC(=O)N1CCN(C(=O)COC(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001324816107 1074273843 /nfs/dbraw/zinc/27/38/43/1074273843.db2.gz VGFBXWPGYSYALF-UHFFFAOYSA-N 0 0 442.490 -0.161 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2nnn(Cc3noc(C)n3)c2C)CC1 ZINC001324823980 1074273876 /nfs/dbraw/zinc/27/38/76/1074273876.db2.gz JNOVIMBDMZGURP-UHFFFAOYSA-N 0 0 427.487 -0.550 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001324849592 1074273854 /nfs/dbraw/zinc/27/38/54/1074273854.db2.gz DTTJVJMWEASKKD-KBPBESRZSA-N 0 0 444.531 -0.265 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001324849595 1074273798 /nfs/dbraw/zinc/27/37/98/1074273798.db2.gz DTTJVJMWEASKKD-KGLIPLIRSA-N 0 0 444.531 -0.265 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001324849600 1074273865 /nfs/dbraw/zinc/27/38/65/1074273865.db2.gz DTTJVJMWEASKKD-UONOGXRCSA-N 0 0 444.531 -0.265 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001324849602 1074273899 /nfs/dbraw/zinc/27/38/99/1074273899.db2.gz DTTJVJMWEASKKD-ZIAGYGMSSA-N 0 0 444.531 -0.265 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCC(OCC(N)=O)CC2)s1 ZINC001324860034 1074273775 /nfs/dbraw/zinc/27/37/75/1074273775.db2.gz QFGQMNFWZCIFJX-UHFFFAOYSA-N 0 0 439.581 -0.115 20 0 IBADRN Cc1nnc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)s1 ZINC001324860526 1074273814 /nfs/dbraw/zinc/27/38/14/1074273814.db2.gz VUFISRJDLGDMST-CHWSQXEVSA-N 0 0 437.565 -0.044 20 0 IBADRN Cc1nnc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)s1 ZINC001324860528 1074273764 /nfs/dbraw/zinc/27/37/64/1074273764.db2.gz VUFISRJDLGDMST-OLZOCXBDSA-N 0 0 437.565 -0.044 20 0 IBADRN Cc1nnc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)s1 ZINC001324860529 1074273870 /nfs/dbraw/zinc/27/38/70/1074273870.db2.gz VUFISRJDLGDMST-QWHCGFSZSA-N 0 0 437.565 -0.044 20 0 IBADRN Cc1nnc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)s1 ZINC001324860531 1074273915 /nfs/dbraw/zinc/27/39/15/1074273915.db2.gz VUFISRJDLGDMST-STQMWFEESA-N 0 0 437.565 -0.044 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001324875605 1074273752 /nfs/dbraw/zinc/27/37/52/1074273752.db2.gz VGLIDOYHWGAENB-UHFFFAOYSA-N 0 0 447.539 -0.352 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)CC1 ZINC001324875726 1074273907 /nfs/dbraw/zinc/27/39/07/1074273907.db2.gz ZYXUQJRGGCEDRW-UHFFFAOYSA-N 0 0 432.515 -0.026 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001324876472 1074273736 /nfs/dbraw/zinc/27/37/36/1074273736.db2.gz GBJVUBWXLLZIIY-CYBMUJFWSA-N 0 0 437.565 -0.925 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001324876473 1074274250 /nfs/dbraw/zinc/27/42/50/1074274250.db2.gz GBJVUBWXLLZIIY-ZDUSSCGKSA-N 0 0 437.565 -0.925 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)C2(S(C)(=O)=O)CCOCC2)cc1 ZINC001324884436 1074274308 /nfs/dbraw/zinc/27/43/08/1074274308.db2.gz NYDDMYZMKCYCEN-UHFFFAOYSA-N 0 0 434.536 -0.179 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)N2CCO[C@H](C(N)=O)C2)c(=O)n(-c2ccccc2)n1C ZINC001324888443 1074274295 /nfs/dbraw/zinc/27/42/95/1074274295.db2.gz JWTIOTWSKXQGQP-HNNXBMFYSA-N 0 0 447.517 -0.131 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)N2CCO[C@@H](C(N)=O)C2)c(=O)n(-c2ccccc2)n1C ZINC001324888444 1074274222 /nfs/dbraw/zinc/27/42/22/1074274222.db2.gz JWTIOTWSKXQGQP-OAHLLOKOSA-N 0 0 447.517 -0.131 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@]4(CNC(=O)C4)C3)c2)CC1 ZINC001324898409 1074274288 /nfs/dbraw/zinc/27/42/88/1074274288.db2.gz KXSGPJMXOPTDNY-FQEVSTJZSA-N 0 0 434.518 -0.108 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@]4(CNC(=O)C4)C3)c2)CC1 ZINC001324898410 1074274321 /nfs/dbraw/zinc/27/43/21/1074274321.db2.gz KXSGPJMXOPTDNY-HXUWFJFHSA-N 0 0 434.518 -0.108 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001324900560 1074274235 /nfs/dbraw/zinc/27/42/35/1074274235.db2.gz BLXHOWORPFCXMS-KRWDZBQOSA-N 0 0 442.519 -0.488 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001324900562 1074274315 /nfs/dbraw/zinc/27/43/15/1074274315.db2.gz BLXHOWORPFCXMS-QGZVFWFLSA-N 0 0 442.519 -0.488 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001324904766 1074274332 /nfs/dbraw/zinc/27/43/32/1074274332.db2.gz NTUQKOWMWSXSRM-BBRMVZONSA-N 0 0 427.508 -0.108 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001324904769 1074274273 /nfs/dbraw/zinc/27/42/73/1074274273.db2.gz NTUQKOWMWSXSRM-CJNGLKHVSA-N 0 0 427.508 -0.108 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001324904772 1074274217 /nfs/dbraw/zinc/27/42/17/1074274217.db2.gz NTUQKOWMWSXSRM-CZUORRHYSA-N 0 0 427.508 -0.108 20 0 IBADRN Cn1nnnc1-c1cccc(S(=O)(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001324904775 1074274203 /nfs/dbraw/zinc/27/42/03/1074274203.db2.gz NTUQKOWMWSXSRM-XJKSGUPXSA-N 0 0 427.508 -0.108 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001324907787 1074274256 /nfs/dbraw/zinc/27/42/56/1074274256.db2.gz UPVFHQZNSCTIAJ-DYESRHJHSA-N 0 0 447.513 -0.089 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001324907788 1074274325 /nfs/dbraw/zinc/27/43/25/1074274325.db2.gz UPVFHQZNSCTIAJ-LAUBAEHRSA-N 0 0 447.513 -0.089 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001324907789 1074274269 /nfs/dbraw/zinc/27/42/69/1074274269.db2.gz UPVFHQZNSCTIAJ-UTKZUKDTSA-N 0 0 447.513 -0.089 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001324907790 1074274263 /nfs/dbraw/zinc/27/42/63/1074274263.db2.gz UPVFHQZNSCTIAJ-UWJYYQICSA-N 0 0 447.513 -0.089 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(Cc1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC001324907920 1074274278 /nfs/dbraw/zinc/27/42/78/1074274278.db2.gz URDVCGJKEQTRJM-AWEZNQCLSA-N 0 0 425.554 -0.660 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N(Cc1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC001324907921 1074274302 /nfs/dbraw/zinc/27/43/02/1074274302.db2.gz URDVCGJKEQTRJM-CQSZACIVSA-N 0 0 425.554 -0.660 20 0 IBADRN CN(CC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)Cc1ccccc1S(N)(=O)=O ZINC001324910298 1074274209 /nfs/dbraw/zinc/27/42/09/1074274209.db2.gz KDSOMHVXKAYKRJ-INIZCTEOSA-N 0 0 444.579 -0.903 20 0 IBADRN CN(CC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)Cc1ccccc1S(N)(=O)=O ZINC001324910299 1074274721 /nfs/dbraw/zinc/27/47/21/1074274721.db2.gz KDSOMHVXKAYKRJ-MRXNPFEDSA-N 0 0 444.579 -0.903 20 0 IBADRN CNS(=O)(=O)C1CCN([C@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001324912257 1074274832 /nfs/dbraw/zinc/27/48/32/1074274832.db2.gz GWMJIJMXYYLQQX-CYBMUJFWSA-N 0 0 432.568 -0.605 20 0 IBADRN CNS(=O)(=O)C1CCN([C@@H](C)C(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001324912258 1074274698 /nfs/dbraw/zinc/27/46/98/1074274698.db2.gz GWMJIJMXYYLQQX-ZDUSSCGKSA-N 0 0 432.568 -0.605 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)c1cncc(C(=O)NC[C@@H]2CCCS2(=O)=O)c1 ZINC001324913405 1074274666 /nfs/dbraw/zinc/27/46/66/1074274666.db2.gz FXXJKTYHFUKJOG-GASCZTMLSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)c1cncc(C(=O)NC[C@@H]2CCCS2(=O)=O)c1 ZINC001324913407 1074274804 /nfs/dbraw/zinc/27/48/04/1074274804.db2.gz FXXJKTYHFUKJOG-GJZGRUSLSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)c1cncc(C(=O)NC[C@H]2CCCS2(=O)=O)c1 ZINC001324913409 1074274812 /nfs/dbraw/zinc/27/48/12/1074274812.db2.gz FXXJKTYHFUKJOG-HUUCEWRRSA-N 0 0 429.520 -0.304 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC001324927635 1074274822 /nfs/dbraw/zinc/27/48/22/1074274822.db2.gz LECKEQUJAZJEDO-SECBINFHSA-N 0 0 432.446 -0.247 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC001324927636 1074274730 /nfs/dbraw/zinc/27/47/30/1074274730.db2.gz LECKEQUJAZJEDO-VIFPVBQESA-N 0 0 432.446 -0.247 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)NCCN1CCS(=O)(=O)CC1 ZINC001324933264 1074274629 /nfs/dbraw/zinc/27/46/29/1074274629.db2.gz LHCZRBLAOHHBBZ-AWEZNQCLSA-N 0 0 430.914 -0.840 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)NCCN1CCS(=O)(=O)CC1 ZINC001324933266 1074274659 /nfs/dbraw/zinc/27/46/59/1074274659.db2.gz LHCZRBLAOHHBBZ-CQSZACIVSA-N 0 0 430.914 -0.840 20 0 IBADRN Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCN(c2nnc(C)c(C)c2C(N)=O)CC1 ZINC001324951664 1074274683 /nfs/dbraw/zinc/27/46/83/1074274683.db2.gz BDPBMEGFBFJZJQ-NRFANRHFSA-N 0 0 428.493 -0.238 20 0 IBADRN Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCN(c2nnc(C)c(C)c2C(N)=O)CC1 ZINC001324951671 1074274791 /nfs/dbraw/zinc/27/47/91/1074274791.db2.gz BDPBMEGFBFJZJQ-OAQYLSRUSA-N 0 0 428.493 -0.238 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N(C)Cc2ccccc2S(N)(=O)=O)CC1 ZINC001324952199 1074274854 /nfs/dbraw/zinc/27/48/54/1074274854.db2.gz JFNIDPVQCISRIM-UHFFFAOYSA-N 0 0 425.511 -0.444 20 0 IBADRN C[C@@H](NC(=O)c1c(I)cnn1C)C(=O)N1CCS(=O)(=O)CC1 ZINC001324956116 1074274642 /nfs/dbraw/zinc/27/46/42/1074274642.db2.gz YAXZDXQMNOMEOF-MRVPVSSYSA-N 0 0 440.263 -0.600 20 0 IBADRN C[C@H](NC(=O)c1c(I)cnn1C)C(=O)N1CCS(=O)(=O)CC1 ZINC001324956117 1074274764 /nfs/dbraw/zinc/27/47/64/1074274764.db2.gz YAXZDXQMNOMEOF-QMMMGPOBSA-N 0 0 440.263 -0.600 20 0 IBADRN Cn1c(CCC(=O)NCc2nc[nH]n2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001324976924 1074274866 /nfs/dbraw/zinc/27/48/66/1074274866.db2.gz NHLCQBGIIGGVOA-UHFFFAOYSA-N 0 0 433.494 -0.039 20 0 IBADRN COc1cnc(C(=O)N2CCN(C(=O)c3ncc(OC)cn3)[C@H](C(=O)N(C)C)C2)nc1 ZINC001324985392 1074275132 /nfs/dbraw/zinc/27/51/32/1074275132.db2.gz YSMQUGVKSQHJPG-AWEZNQCLSA-N 0 0 429.437 -0.661 20 0 IBADRN COc1cnc(C(=O)N2CCN(C(=O)c3ncc(OC)cn3)[C@@H](C(=O)N(C)C)C2)nc1 ZINC001324985403 1074275296 /nfs/dbraw/zinc/27/52/96/1074275296.db2.gz YSMQUGVKSQHJPG-CQSZACIVSA-N 0 0 429.437 -0.661 20 0 IBADRN O=C(CCn1cnccc1=O)NC[C@H]1CSCCN1C(=O)CCn1cnccc1=O ZINC001324995019 1074275255 /nfs/dbraw/zinc/27/52/55/1074275255.db2.gz MBVQRLSRDOAWFU-HNNXBMFYSA-N 0 0 432.506 -0.659 20 0 IBADRN O=C(CCn1cnccc1=O)NC[C@@H]1CSCCN1C(=O)CCn1cnccc1=O ZINC001324995020 1074275141 /nfs/dbraw/zinc/27/51/41/1074275141.db2.gz MBVQRLSRDOAWFU-OAHLLOKOSA-N 0 0 432.506 -0.659 20 0 IBADRN CS(=O)(=O)C1(C(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2O)CCOCC1 ZINC001324996734 1074275194 /nfs/dbraw/zinc/27/51/94/1074275194.db2.gz SQSPCQCXFDCWMA-UHFFFAOYSA-N 0 0 448.519 -0.055 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](CO)Cc2ccncc2)s1 ZINC001324998396 1074275136 /nfs/dbraw/zinc/27/51/36/1074275136.db2.gz LHRMEAYEPZDRNV-AWEZNQCLSA-N 0 0 426.520 -0.223 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](CO)Cc2ccncc2)s1 ZINC001324998605 1074275210 /nfs/dbraw/zinc/27/52/10/1074275210.db2.gz LHRMEAYEPZDRNV-CQSZACIVSA-N 0 0 426.520 -0.223 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)C2CCC(NC(=O)COCCOC)CC2)CC1 ZINC001325008771 1074275219 /nfs/dbraw/zinc/27/52/19/1074275219.db2.gz QRVDYRAQGQUBPA-UHFFFAOYSA-N 0 0 426.558 -0.005 20 0 IBADRN COc1ccc(=O)n(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC001325010812 1074275243 /nfs/dbraw/zinc/27/52/43/1074275243.db2.gz DYFGMOUHAAJOQM-UHFFFAOYSA-N 0 0 438.462 -0.080 20 0 IBADRN C[C@H]1CN(c2cc(N3CCS(=O)(=O)C[C@@H](C)C3)n3ncnc3n2)CCS(=O)(=O)C1 ZINC001325021301 1074275263 /nfs/dbraw/zinc/27/52/63/1074275263.db2.gz VFVAQNJULOPKFT-KBPBESRZSA-N 0 0 442.567 -0.134 20 0 IBADRN C[C@@H]1CN(c2cc(N3CCS(=O)(=O)C[C@@H](C)C3)n3ncnc3n2)CCS(=O)(=O)C1 ZINC001325021305 1074275289 /nfs/dbraw/zinc/27/52/89/1074275289.db2.gz VFVAQNJULOPKFT-KGLIPLIRSA-N 0 0 442.567 -0.134 20 0 IBADRN C[C@@H]1CN(c2cc(N3CCS(=O)(=O)C[C@@H](C)C3)nc3ncnn32)CCS(=O)(=O)C1 ZINC001325021307 1074275150 /nfs/dbraw/zinc/27/51/50/1074275150.db2.gz VFVAQNJULOPKFT-UONOGXRCSA-N 0 0 442.567 -0.134 20 0 IBADRN C[C@@H]1CN(c2cc(N3CCS(=O)(=O)C[C@H](C)C3)n3ncnc3n2)CCS(=O)(=O)C1 ZINC001325021309 1074275183 /nfs/dbraw/zinc/27/51/83/1074275183.db2.gz VFVAQNJULOPKFT-ZIAGYGMSSA-N 0 0 442.567 -0.134 20 0 IBADRN CS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)cn1 ZINC001325028636 1074275271 /nfs/dbraw/zinc/27/52/71/1074275271.db2.gz MMEJJUSTXZHNJW-UHFFFAOYSA-N 0 0 426.476 -0.268 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)OCC(=O)N[C@@H]3CCS(=O)(=O)C3)CC(=O)N2C(C)(C)C)cn1 ZINC001325036750 1074275284 /nfs/dbraw/zinc/27/52/84/1074275284.db2.gz WNDGSESQSYMGSZ-CKEIUWERSA-N 0 0 440.522 -0.045 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)OCC(=O)N[C@H]3CCS(=O)(=O)C3)CC(=O)N2C(C)(C)C)cn1 ZINC001325036751 1074275177 /nfs/dbraw/zinc/27/51/77/1074275177.db2.gz WNDGSESQSYMGSZ-GRDNDAEWSA-N 0 0 440.522 -0.045 20 0 IBADRN Cn1cc([C@@H]2[C@H](C(=O)OCC(=O)N[C@H]3CCS(=O)(=O)C3)CC(=O)N2C(C)(C)C)cn1 ZINC001325036752 1074275677 /nfs/dbraw/zinc/27/56/77/1074275677.db2.gz WNDGSESQSYMGSZ-JJRVBVJISA-N 0 0 440.522 -0.045 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)OCC(=O)N[C@@H]3CCS(=O)(=O)C3)CC(=O)N2C(C)(C)C)cn1 ZINC001325036753 1074275684 /nfs/dbraw/zinc/27/56/84/1074275684.db2.gz WNDGSESQSYMGSZ-JKIFEVAISA-N 0 0 440.522 -0.045 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001325041379 1074275800 /nfs/dbraw/zinc/27/58/00/1074275800.db2.gz GGQXBFUMYSRCIR-GWCFXTLKSA-N 0 0 439.943 -0.328 20 0 IBADRN CNC(=O)[C@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001325041381 1074275772 /nfs/dbraw/zinc/27/57/72/1074275772.db2.gz GGQXBFUMYSRCIR-GXFFZTMASA-N 0 0 439.943 -0.328 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@@H]1CCCS(=O)(=O)C1 ZINC001325051329 1074275807 /nfs/dbraw/zinc/27/58/07/1074275807.db2.gz VZULWUOJBJOFMR-AWEZNQCLSA-N 0 0 433.552 -0.077 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)NC[C@H]1CCCS(=O)(=O)C1 ZINC001325051330 1074275836 /nfs/dbraw/zinc/27/58/36/1074275836.db2.gz VZULWUOJBJOFMR-CQSZACIVSA-N 0 0 433.552 -0.077 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC001325052038 1074275693 /nfs/dbraw/zinc/27/56/93/1074275693.db2.gz XZHIJNGLAOLENW-KMUNFCNLSA-N 0 0 427.504 -0.548 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H](O)[C@H](O)c1nc2ccccc2s1 ZINC001325052039 1074275700 /nfs/dbraw/zinc/27/57/00/1074275700.db2.gz XZHIJNGLAOLENW-ONERCXAPSA-N 0 0 427.504 -0.548 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](O)[C@@H](O)c1nc2ccccc2s1 ZINC001325052040 1074275785 /nfs/dbraw/zinc/27/57/85/1074275785.db2.gz XZHIJNGLAOLENW-VCTAVGKDSA-N 0 0 427.504 -0.548 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H](O)[C@H](O)c1nc2ccccc2s1 ZINC001325052041 1074275817 /nfs/dbraw/zinc/27/58/17/1074275817.db2.gz XZHIJNGLAOLENW-WKPIXPDZSA-N 0 0 427.504 -0.548 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCC(C(=O)NCCCO)CC1)OCC(=O)N2 ZINC001325052668 1074275747 /nfs/dbraw/zinc/27/57/47/1074275747.db2.gz XJQHUSGBOQXVJO-UHFFFAOYSA-N 0 0 427.479 -0.075 20 0 IBADRN O=C(C1CCC2(CC1)NC(=O)NC2=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001325052894 1074275831 /nfs/dbraw/zinc/27/58/31/1074275831.db2.gz LVMFVHVQLZQSKR-FVRSWCFKSA-N 0 0 428.511 -0.592 20 0 IBADRN O=C(C1CCC2(CC1)NC(=O)NC2=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001325052895 1074275781 /nfs/dbraw/zinc/27/57/81/1074275781.db2.gz LVMFVHVQLZQSKR-TXKKKEFDSA-N 0 0 428.511 -0.592 20 0 IBADRN Cn1ncc2c1nc(CCC(=O)N1CCC[C@@H](S(=O)(=O)N3CCOCC3)C1)[nH]c2=O ZINC001325052913 1074275738 /nfs/dbraw/zinc/27/57/38/1074275738.db2.gz NLLKDWISKNGTLF-CYBMUJFWSA-N 0 0 438.510 -0.346 20 0 IBADRN Cn1ncc2c1nc(CCC(=O)N1CCC[C@H](S(=O)(=O)N3CCOCC3)C1)[nH]c2=O ZINC001325052914 1074275729 /nfs/dbraw/zinc/27/57/29/1074275729.db2.gz NLLKDWISKNGTLF-ZDUSSCGKSA-N 0 0 438.510 -0.346 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001325053634 1074275719 /nfs/dbraw/zinc/27/57/19/1074275719.db2.gz DNSFAKVTMKNNNB-HNNXBMFYSA-N 0 0 444.485 -0.749 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC001325054450 1074275792 /nfs/dbraw/zinc/27/57/92/1074275792.db2.gz IFAGPMUXMFPXAM-UHFFFAOYSA-N 0 0 447.315 -0.567 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1 ZINC001325055500 1074275757 /nfs/dbraw/zinc/27/57/57/1074275757.db2.gz SRYOKWWLQMJDSA-KBPBESRZSA-N 0 0 433.914 -0.168 20 0 IBADRN COC[C@@H](C(N)=O)N(C)C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1 ZINC001325055502 1074276185 /nfs/dbraw/zinc/27/61/85/1074276185.db2.gz SRYOKWWLQMJDSA-KGLIPLIRSA-N 0 0 433.914 -0.168 20 0 IBADRN O=S(=O)(C1CCS(=O)(=O)CC1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001325057085 1074276263 /nfs/dbraw/zinc/27/62/63/1074276263.db2.gz CHVHPNUPVNMMSP-AWEZNQCLSA-N 0 0 430.570 -0.980 20 0 IBADRN O=S(=O)(C1CCS(=O)(=O)CC1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001325057087 1074276162 /nfs/dbraw/zinc/27/61/62/1074276162.db2.gz CHVHPNUPVNMMSP-CQSZACIVSA-N 0 0 430.570 -0.980 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001325063636 1074276142 /nfs/dbraw/zinc/27/61/42/1074276142.db2.gz NRGGXBHOIPSCKD-AWEZNQCLSA-N 0 0 425.467 -0.138 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001325063637 1074276211 /nfs/dbraw/zinc/27/62/11/1074276211.db2.gz NRGGXBHOIPSCKD-CQSZACIVSA-N 0 0 425.467 -0.138 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(CO)COC3)CC2)c1 ZINC001325074902 1074276227 /nfs/dbraw/zinc/27/62/27/1074276227.db2.gz IKAYOGIGHXSVCN-UHFFFAOYSA-N 0 0 440.474 -0.726 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2)cn1 ZINC001325077367 1074276202 /nfs/dbraw/zinc/27/62/02/1074276202.db2.gz PEQOXYHQUMQYNI-DOTOQJQBSA-N 0 0 426.543 -0.165 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)C2)cn1 ZINC001325077372 1074276133 /nfs/dbraw/zinc/27/61/33/1074276133.db2.gz PEQOXYHQUMQYNI-NVXWUHKLSA-N 0 0 426.543 -0.165 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2)cn1 ZINC001325077376 1074276116 /nfs/dbraw/zinc/27/61/16/1074276116.db2.gz PEQOXYHQUMQYNI-RDJZCZTQSA-N 0 0 426.543 -0.165 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)C2)cn1 ZINC001325077380 1074276075 /nfs/dbraw/zinc/27/60/75/1074276075.db2.gz PEQOXYHQUMQYNI-WBVHZDCISA-N 0 0 426.543 -0.165 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(c2ccc(S(C)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC001325088675 1074276280 /nfs/dbraw/zinc/27/62/80/1074276280.db2.gz DNXOGMRLYNRYFH-UHFFFAOYSA-N 0 0 444.578 -0.259 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCCCNC1=O ZINC001325091190 1074276193 /nfs/dbraw/zinc/27/61/93/1074276193.db2.gz IUHULKMPRWVXPS-CABCVRRESA-N 0 0 431.536 -0.447 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCCCNC1=O ZINC001325091191 1074276270 /nfs/dbraw/zinc/27/62/70/1074276270.db2.gz IUHULKMPRWVXPS-GJZGRUSLSA-N 0 0 431.536 -0.447 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@@H]1CCCCNC1=O ZINC001325091192 1074276153 /nfs/dbraw/zinc/27/61/53/1074276153.db2.gz IUHULKMPRWVXPS-HUUCEWRRSA-N 0 0 431.536 -0.447 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N[C@H]1CCCCNC1=O ZINC001325091193 1074276101 /nfs/dbraw/zinc/27/61/01/1074276101.db2.gz IUHULKMPRWVXPS-LSDHHAIUSA-N 0 0 431.536 -0.447 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C[C@@H](O)CC(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC001325106529 1074276176 /nfs/dbraw/zinc/27/61/76/1074276176.db2.gz BBSXSCJJFPVFJI-AICCOOGYSA-N 0 0 442.600 -0.313 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)C[C@@H](O)CC(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC001325106530 1074276218 /nfs/dbraw/zinc/27/62/18/1074276218.db2.gz BBSXSCJJFPVFJI-AZOBVAEKSA-N 0 0 442.600 -0.313 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)CC(O)CC(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC001325106531 1074276087 /nfs/dbraw/zinc/27/60/87/1074276087.db2.gz BBSXSCJJFPVFJI-MQYQWHSLSA-N 0 0 442.600 -0.313 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)C[C@H](O)CC(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC001325106532 1074276123 /nfs/dbraw/zinc/27/61/23/1074276123.db2.gz BBSXSCJJFPVFJI-ZSAUSMIDSA-N 0 0 442.600 -0.313 20 0 IBADRN O=C(NCCN1CCNC(=O)C1)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC001325106875 1074276570 /nfs/dbraw/zinc/27/65/70/1074276570.db2.gz ICHMCWZXFCDILR-UHFFFAOYSA-N 0 0 431.465 -0.588 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)cc1 ZINC001325107059 1074276648 /nfs/dbraw/zinc/27/66/48/1074276648.db2.gz HBGXFOURFBFQCV-GOSISDBHSA-N 0 0 441.550 -0.137 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CC[C@H](OCCN3CCOCC3)C2)cc1 ZINC001325107061 1074276586 /nfs/dbraw/zinc/27/65/86/1074276586.db2.gz HBGXFOURFBFQCV-SFHVURJKSA-N 0 0 441.550 -0.137 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)c2ccc(S(=O)(=O)N(C)CCO)cc2)CC1 ZINC001325107695 1074276680 /nfs/dbraw/zinc/27/66/80/1074276680.db2.gz KOBJQVWSMPKCMJ-UHFFFAOYSA-N 0 0 433.552 -0.157 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1c(I)cnn1C ZINC001325129278 1074276685 /nfs/dbraw/zinc/27/66/85/1074276685.db2.gz XHSXBRKTSUWDOG-MRVPVSSYSA-N 0 0 440.263 -0.600 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1c(I)cnn1C ZINC001325129279 1074276538 /nfs/dbraw/zinc/27/65/38/1074276538.db2.gz XHSXBRKTSUWDOG-QMMMGPOBSA-N 0 0 440.263 -0.600 20 0 IBADRN CO[C@H](C(=O)NC[C@H](NC(=O)[C@@H](OC)c1cnn(C)c1)c1cnn(C)c1)c1cnn(C)c1 ZINC001325139540 1074276665 /nfs/dbraw/zinc/27/66/65/1074276665.db2.gz OKTJBINWMZVZOI-BZSNNMDCSA-N 0 0 444.496 -0.064 20 0 IBADRN CO[C@@H](C(=O)N[C@H](CNC(=O)[C@@H](OC)c1cnn(C)c1)c1cnn(C)c1)c1cnn(C)c1 ZINC001325139553 1074276609 /nfs/dbraw/zinc/27/66/09/1074276609.db2.gz OKTJBINWMZVZOI-FGTMMUONSA-N 0 0 444.496 -0.064 20 0 IBADRN CO[C@@H](C(=O)N[C@@H](CNC(=O)[C@@H](OC)c1cnn(C)c1)c1cnn(C)c1)c1cnn(C)c1 ZINC001325139554 1074276629 /nfs/dbraw/zinc/27/66/29/1074276629.db2.gz OKTJBINWMZVZOI-OKZBNKHCSA-N 0 0 444.496 -0.064 20 0 IBADRN CO[C@H](C(=O)NC[C@@H](NC(=O)[C@@H](OC)c1cnn(C)c1)c1cnn(C)c1)c1cnn(C)c1 ZINC001325139556 1074276634 /nfs/dbraw/zinc/27/66/34/1074276634.db2.gz OKTJBINWMZVZOI-SQNIBIBYSA-N 0 0 444.496 -0.064 20 0 IBADRN CCn1nc(C(=O)NC[C@@H]2CSCCN2C(=O)c2ccc(=O)n(CC)n2)ccc1=O ZINC001325147664 1074276688 /nfs/dbraw/zinc/27/66/88/1074276688.db2.gz JPZWYXBHUUOJHV-CYBMUJFWSA-N 0 0 432.506 -0.173 20 0 IBADRN CCn1nc(C(=O)NC[C@H]2CSCCN2C(=O)c2ccc(=O)n(CC)n2)ccc1=O ZINC001325147666 1074276623 /nfs/dbraw/zinc/27/66/23/1074276623.db2.gz JPZWYXBHUUOJHV-ZDUSSCGKSA-N 0 0 432.506 -0.173 20 0 IBADRN COC(=O)C1(CNC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCOCC1 ZINC001325149876 1074276963 /nfs/dbraw/zinc/27/69/63/1074276963.db2.gz DXKCJAQKBGJBBZ-UHFFFAOYSA-N 0 0 447.535 -0.252 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)CCO1 ZINC001325151347 1074276971 /nfs/dbraw/zinc/27/69/71/1074276971.db2.gz UGWTUDMSBYUHQF-CABCVRRESA-N 0 0 445.925 -0.154 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)CCO1 ZINC001325151348 1074277015 /nfs/dbraw/zinc/27/70/15/1074277015.db2.gz UGWTUDMSBYUHQF-GJZGRUSLSA-N 0 0 445.925 -0.154 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)CCO1 ZINC001325151349 1074277028 /nfs/dbraw/zinc/27/70/28/1074277028.db2.gz UGWTUDMSBYUHQF-HUUCEWRRSA-N 0 0 445.925 -0.154 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)CCO1 ZINC001325151350 1074277041 /nfs/dbraw/zinc/27/70/41/1074277041.db2.gz UGWTUDMSBYUHQF-LSDHHAIUSA-N 0 0 445.925 -0.154 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)c1ccc3c(c1)S(=O)(=O)N=C1NC=NN13)C2 ZINC001325151480 1074277108 /nfs/dbraw/zinc/27/71/08/1074277108.db2.gz NWMYSBUVYVZTKW-UHFFFAOYSA-N 0 0 430.450 -0.466 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC001325157488 1074276987 /nfs/dbraw/zinc/27/69/87/1074276987.db2.gz IKCBBIKWKKDBKA-AWEZNQCLSA-N 0 0 431.536 -0.152 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC001325157489 1074277113 /nfs/dbraw/zinc/27/71/13/1074277113.db2.gz IKCBBIKWKKDBKA-CQSZACIVSA-N 0 0 431.536 -0.152 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NCC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)c1 ZINC001325172639 1074277021 /nfs/dbraw/zinc/27/70/21/1074277021.db2.gz HDZUKJIFYNHJEU-INIZCTEOSA-N 0 0 440.522 -0.764 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NCC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)c1 ZINC001325172641 1074277086 /nfs/dbraw/zinc/27/70/86/1074277086.db2.gz HDZUKJIFYNHJEU-MRXNPFEDSA-N 0 0 440.522 -0.764 20 0 IBADRN COC(=O)C1(NC(=O)c2nc3ncc(Br)cn3n2)CCS(=O)(=O)CC1 ZINC001325186121 1074277094 /nfs/dbraw/zinc/27/70/94/1074277094.db2.gz XGFZBHANIKIXKM-UHFFFAOYSA-N 0 0 432.256 -0.263 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)cc1 ZINC001325189364 1074276995 /nfs/dbraw/zinc/27/69/95/1074276995.db2.gz IKPWMGZWLZJJSG-UHFFFAOYSA-N 0 0 443.547 -0.024 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCN(C(=O)c3ccccc3)CC2)n1C ZINC001325201672 1074277061 /nfs/dbraw/zinc/27/70/61/1074277061.db2.gz RRAKFLXPHCHTIJ-UHFFFAOYSA-N 0 0 435.554 -0.242 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCC1(OCCO)CCOCC1)c2=O ZINC001325203527 1074277101 /nfs/dbraw/zinc/27/71/01/1074277101.db2.gz LGRNWIABDRMEEL-UHFFFAOYSA-N 0 0 444.286 -0.433 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCC3(OCCO)CCOCC3)CC2)cn1 ZINC001325203552 1074277034 /nfs/dbraw/zinc/27/70/34/1074277034.db2.gz LGYQOZCQPNWAQV-UHFFFAOYSA-N 0 0 445.542 -0.570 20 0 IBADRN COCC[C@H](NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(N)=O ZINC001325215848 1074277048 /nfs/dbraw/zinc/27/70/48/1074277048.db2.gz CQZRMLCVWKHBPV-HNNXBMFYSA-N 0 0 444.485 -0.701 20 0 IBADRN COCC[C@@H](NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(N)=O ZINC001325215849 1074277078 /nfs/dbraw/zinc/27/70/78/1074277078.db2.gz CQZRMLCVWKHBPV-OAHLLOKOSA-N 0 0 444.485 -0.701 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCS(=O)(=O)CC3)ccc2F)CC1 ZINC001325216661 1074276977 /nfs/dbraw/zinc/27/69/77/1074276977.db2.gz FPOZNRZMXZNFLC-UHFFFAOYSA-N 0 0 447.510 -0.451 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N(Cc2cccnc2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001325224554 1074277054 /nfs/dbraw/zinc/27/70/54/1074277054.db2.gz ZUVGPCZXRRLMSS-AWEZNQCLSA-N 0 0 428.492 -0.171 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N(Cc2cccnc2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001325224555 1074277514 /nfs/dbraw/zinc/27/75/14/1074277514.db2.gz ZUVGPCZXRRLMSS-CQSZACIVSA-N 0 0 428.492 -0.171 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)C(=O)CCn2cc(S(N)(=O)=O)cn2)CC1 ZINC001325233701 1074277444 /nfs/dbraw/zinc/27/74/44/1074277444.db2.gz LSBRHDAOROIWPD-UHFFFAOYSA-N 0 0 443.498 -0.562 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC001325234305 1074277431 /nfs/dbraw/zinc/27/74/31/1074277431.db2.gz VFQQHGLQZZMAHG-IJEWVQPXSA-N 0 0 432.520 -0.606 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC001325234308 1074277565 /nfs/dbraw/zinc/27/75/65/1074277565.db2.gz VFQQHGLQZZMAHG-LZWOXQAQSA-N 0 0 432.520 -0.606 20 0 IBADRN O=C(Cc1ccc2c(c1)NC(=O)C2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001325239424 1074277535 /nfs/dbraw/zinc/27/75/35/1074277535.db2.gz NKRORJIHULPFTO-HNNXBMFYSA-N 0 0 441.531 -0.615 20 0 IBADRN O=C(Cc1ccc2c(c1)NC(=O)C2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001325239427 1074277491 /nfs/dbraw/zinc/27/74/91/1074277491.db2.gz NKRORJIHULPFTO-OAHLLOKOSA-N 0 0 441.531 -0.615 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cccc(NC(=O)CSc2nncn2C)c1 ZINC001325265791 1074277552 /nfs/dbraw/zinc/27/75/52/1074277552.db2.gz JFNHNRCEJGKSAJ-UHFFFAOYSA-N 0 0 441.539 -0.328 20 0 IBADRN COCCn1c(C2CC2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001325267590 1074277420 /nfs/dbraw/zinc/27/74/20/1074277420.db2.gz HGRZJVFPMMLCGZ-AWEZNQCLSA-N 0 0 433.556 -0.559 20 0 IBADRN COCCn1c(C2CC2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001325267592 1074277525 /nfs/dbraw/zinc/27/75/25/1074277525.db2.gz HGRZJVFPMMLCGZ-CQSZACIVSA-N 0 0 433.556 -0.559 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)s1 ZINC001325270410 1074277467 /nfs/dbraw/zinc/27/74/67/1074277467.db2.gz VEZFJRBSCWIJPM-KBPBESRZSA-N 0 0 437.565 -0.840 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)s1 ZINC001325270411 1074277461 /nfs/dbraw/zinc/27/74/61/1074277461.db2.gz VEZFJRBSCWIJPM-KGLIPLIRSA-N 0 0 437.565 -0.840 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)s1 ZINC001325270412 1074277521 /nfs/dbraw/zinc/27/75/21/1074277521.db2.gz VEZFJRBSCWIJPM-UONOGXRCSA-N 0 0 437.565 -0.840 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)s1 ZINC001325270413 1074277473 /nfs/dbraw/zinc/27/74/73/1074277473.db2.gz VEZFJRBSCWIJPM-ZIAGYGMSSA-N 0 0 437.565 -0.840 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC001325277087 1074277454 /nfs/dbraw/zinc/27/74/54/1074277454.db2.gz FTTYYYUYKMWNNJ-AWEZNQCLSA-N 0 0 429.582 -0.206 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001325277088 1074277570 /nfs/dbraw/zinc/27/75/70/1074277570.db2.gz FTTYYYUYKMWNNJ-CQSZACIVSA-N 0 0 429.582 -0.206 20 0 IBADRN COCCNc1nnc(S(=O)(=O)[C@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)s1 ZINC001325283994 1074277576 /nfs/dbraw/zinc/27/75/76/1074277576.db2.gz KDCVBPIMDQXIPO-NXEZZACHSA-N 0 0 426.542 -0.596 20 0 IBADRN COCCNc1nnc(S(=O)(=O)[C@@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)s1 ZINC001325283995 1074277587 /nfs/dbraw/zinc/27/75/87/1074277587.db2.gz KDCVBPIMDQXIPO-UWVGGRQHSA-N 0 0 426.542 -0.596 20 0 IBADRN COCCNc1nnc(S(=O)(=O)[C@@H](C)C(=O)N(C)[C@@H]2CCS(=O)(=O)C2)s1 ZINC001325283997 1074277887 /nfs/dbraw/zinc/27/78/87/1074277887.db2.gz KDCVBPIMDQXIPO-VHSXEESVSA-N 0 0 426.542 -0.596 20 0 IBADRN COCCNc1nnc(S(=O)(=O)[C@H](C)C(=O)N(C)[C@H]2CCS(=O)(=O)C2)s1 ZINC001325284406 1074277913 /nfs/dbraw/zinc/27/79/13/1074277913.db2.gz KDCVBPIMDQXIPO-ZJUUUORDSA-N 0 0 426.542 -0.596 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)cn1 ZINC001325287481 1074277946 /nfs/dbraw/zinc/27/79/46/1074277946.db2.gz XNZHHKNCTVAEDH-HNNXBMFYSA-N 0 0 437.522 -0.515 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)cn1 ZINC001325287482 1074278124 /nfs/dbraw/zinc/27/81/24/1074278124.db2.gz XNZHHKNCTVAEDH-OAHLLOKOSA-N 0 0 437.522 -0.515 20 0 IBADRN Cc1cc(=O)c(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)nn1-c1ccccc1F ZINC001325302901 1074277895 /nfs/dbraw/zinc/27/78/95/1074277895.db2.gz OAMVFRBELAWUDA-UHFFFAOYSA-N 0 0 447.452 -0.055 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(C)[C@H]3CCN(S(C)(=O)=O)C3)CC2)cn1C ZINC001325308503 1074278181 /nfs/dbraw/zinc/27/81/81/1074278181.db2.gz BPOOZZFPNHYQRE-HNNXBMFYSA-N 0 0 447.583 -0.378 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(C)[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cn1C ZINC001325308504 1074277862 /nfs/dbraw/zinc/27/78/62/1074277862.db2.gz BPOOZZFPNHYQRE-OAHLLOKOSA-N 0 0 447.583 -0.378 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)Nc1c(F)cccc1F ZINC001325325821 1074277932 /nfs/dbraw/zinc/27/79/32/1074277932.db2.gz NWDINEPUYYDGMD-CYBMUJFWSA-N 0 0 430.477 -0.176 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)Nc1c(F)cccc1F ZINC001325325824 1074278074 /nfs/dbraw/zinc/27/80/74/1074278074.db2.gz NWDINEPUYYDGMD-ZDUSSCGKSA-N 0 0 430.477 -0.176 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001325326171 1074278167 /nfs/dbraw/zinc/27/81/67/1074278167.db2.gz AZZXWSYAALXCCO-CVEARBPZSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001325326176 1074278142 /nfs/dbraw/zinc/27/81/42/1074278142.db2.gz AZZXWSYAALXCCO-HOTGVXAUSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001325326183 1074278175 /nfs/dbraw/zinc/27/81/75/1074278175.db2.gz AZZXWSYAALXCCO-HZPDHXFCSA-N 0 0 430.571 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001325326188 1074278084 /nfs/dbraw/zinc/27/80/84/1074278084.db2.gz AZZXWSYAALXCCO-JKSUJKDBSA-N 0 0 430.571 -0.083 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC001325374404 1074278055 /nfs/dbraw/zinc/27/80/55/1074278055.db2.gz BZYMKTWTEUOBDG-UHFFFAOYSA-N 0 0 430.509 -0.548 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)cc1 ZINC001325401578 1074278042 /nfs/dbraw/zinc/27/80/42/1074278042.db2.gz SPCGFEJMZPIFNJ-UHFFFAOYSA-N 0 0 446.533 -0.008 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)n(C)c1 ZINC001325404411 1074278018 /nfs/dbraw/zinc/27/80/18/1074278018.db2.gz IAHMZSTUSQOMLG-UHFFFAOYSA-N 0 0 432.568 -0.390 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)nn1 ZINC001325406512 1074278512 /nfs/dbraw/zinc/27/85/12/1074278512.db2.gz TVOINUCWNNQSIL-GXTWGEPZSA-N 0 0 439.494 -0.736 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)nn1 ZINC001325406516 1074278667 /nfs/dbraw/zinc/27/86/67/1074278667.db2.gz TVOINUCWNNQSIL-JSGCOSHPSA-N 0 0 439.494 -0.736 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)CC2)nn1 ZINC001325406520 1074278482 /nfs/dbraw/zinc/27/84/82/1074278482.db2.gz TVOINUCWNNQSIL-OCCSQVGLSA-N 0 0 439.494 -0.736 20 0 IBADRN COC(=O)c1cn(C2CCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)CC2)nn1 ZINC001325406523 1074278686 /nfs/dbraw/zinc/27/86/86/1074278686.db2.gz TVOINUCWNNQSIL-TZMCWYRMSA-N 0 0 439.494 -0.736 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001325407528 1074278397 /nfs/dbraw/zinc/27/83/97/1074278397.db2.gz TVTQEZDZGIMYFY-UHFFFAOYSA-N 0 0 432.543 -0.651 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N[C@@H]1CCCN(CC(N)=O)C1 ZINC001325423138 1074278531 /nfs/dbraw/zinc/27/85/31/1074278531.db2.gz OGGPEFLXDHVDTL-CABCVRRESA-N 0 0 425.530 -0.042 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N[C@H]1CCCN(CC(N)=O)C1 ZINC001325423140 1074278569 /nfs/dbraw/zinc/27/85/69/1074278569.db2.gz OGGPEFLXDHVDTL-GJZGRUSLSA-N 0 0 425.530 -0.042 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N[C@@H]1CCCN(CC(N)=O)C1 ZINC001325423141 1074278600 /nfs/dbraw/zinc/27/86/00/1074278600.db2.gz OGGPEFLXDHVDTL-HUUCEWRRSA-N 0 0 425.530 -0.042 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N[C@H]1CCCN(CC(N)=O)C1 ZINC001325423143 1074278637 /nfs/dbraw/zinc/27/86/37/1074278637.db2.gz OGGPEFLXDHVDTL-LSDHHAIUSA-N 0 0 425.530 -0.042 20 0 IBADRN O=C(Cn1cncn1)Nc1cccc(C(=O)N2CCCN(C(=O)Cn3cncn3)CC2)c1 ZINC001325425323 1074278619 /nfs/dbraw/zinc/27/86/19/1074278619.db2.gz YETHAJGWEJBZHH-UHFFFAOYSA-N 0 0 437.464 -0.117 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001325435913 1074278465 /nfs/dbraw/zinc/27/84/65/1074278465.db2.gz GNJCKXCKRWATNM-UHFFFAOYSA-N 0 0 439.542 -0.762 20 0 IBADRN COC1(C(=O)NCCC(=O)N2CCN(C(=O)C3(OC)CCOCC3)CC2)CCOCC1 ZINC001325464701 1074278431 /nfs/dbraw/zinc/27/84/31/1074278431.db2.gz JZYOXJOIABHNBZ-UHFFFAOYSA-N 0 0 441.525 -0.445 20 0 IBADRN CN(C)Cc1nc(C(C)(C)NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)no1 ZINC001325467301 1074278415 /nfs/dbraw/zinc/27/84/15/1074278415.db2.gz JTAWZELMLRVSKA-LLVKDONJSA-N 0 0 426.499 -0.352 20 0 IBADRN CN(C)Cc1nc(C(C)(C)NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)no1 ZINC001325467302 1074278630 /nfs/dbraw/zinc/27/86/30/1074278630.db2.gz JTAWZELMLRVSKA-NSHDSACASA-N 0 0 426.499 -0.352 20 0 IBADRN O=C(NN1CCCC1=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC001325489586 1074278677 /nfs/dbraw/zinc/27/86/77/1074278677.db2.gz JUYRSCHCVHFSRC-UHFFFAOYSA-N 0 0 438.506 -0.189 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H](CCO)C2CCOCC2)s1 ZINC001325519459 1074278589 /nfs/dbraw/zinc/27/85/89/1074278589.db2.gz KELMJGWXONLKKJ-HNNXBMFYSA-N 0 0 433.552 -0.044 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H](CCO)C2CCOCC2)s1 ZINC001325519460 1074278443 /nfs/dbraw/zinc/27/84/43/1074278443.db2.gz KELMJGWXONLKKJ-OAHLLOKOSA-N 0 0 433.552 -0.044 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCC(N2CCS(=O)(=O)CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001325566537 1074279123 /nfs/dbraw/zinc/27/91/23/1074279123.db2.gz JIERASQOSVWRMF-DOTOQJQBSA-N 0 0 435.612 -0.395 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCC(N2CCS(=O)(=O)CC2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001325566540 1074279100 /nfs/dbraw/zinc/27/91/00/1074279100.db2.gz JIERASQOSVWRMF-NVXWUHKLSA-N 0 0 435.612 -0.395 20 0 IBADRN CCN(C(=O)[C@H](C)N1CCC(N2CCS(=O)(=O)CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001325566543 1074279086 /nfs/dbraw/zinc/27/90/86/1074279086.db2.gz JIERASQOSVWRMF-RDJZCZTQSA-N 0 0 435.612 -0.395 20 0 IBADRN CCN(C(=O)[C@@H](C)N1CCC(N2CCS(=O)(=O)CC2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001325566546 1074279148 /nfs/dbraw/zinc/27/91/48/1074279148.db2.gz JIERASQOSVWRMF-WBVHZDCISA-N 0 0 435.612 -0.395 20 0 IBADRN Cn1nc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1OC(F)F ZINC001325601403 1074279081 /nfs/dbraw/zinc/27/90/81/1074279081.db2.gz QSWDMUCFJKPHTL-LLVKDONJSA-N 0 0 435.453 -0.917 20 0 IBADRN Cn1nc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1OC(F)F ZINC001325601405 1074279073 /nfs/dbraw/zinc/27/90/73/1074279073.db2.gz QSWDMUCFJKPHTL-NSHDSACASA-N 0 0 435.453 -0.917 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCO[C@@]3(CCOC3)C2)c[nH]1)N1CCO[C@]2(CCOC2)C1 ZINC001325616219 1074279127 /nfs/dbraw/zinc/27/91/27/1074279127.db2.gz SCDKZCXJZXNSFN-MOPGFXCFSA-N 0 0 441.506 -0.174 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCO[C@@]3(CCOC3)C2)c[nH]1)N1CCO[C@@]2(CCOC2)C1 ZINC001325616222 1074279132 /nfs/dbraw/zinc/27/91/32/1074279132.db2.gz SCDKZCXJZXNSFN-OALUTQOASA-N 0 0 441.506 -0.174 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCO[C@]3(CCOC3)C2)c[nH]1)N1CCO[C@@]2(CCOC2)C1 ZINC001325616226 1074278977 /nfs/dbraw/zinc/27/89/77/1074278977.db2.gz SCDKZCXJZXNSFN-RBUKOAKNSA-N 0 0 441.506 -0.174 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCO[C@]3(CCOC3)C2)c[nH]1)N1CCO[C@]2(CCOC2)C1 ZINC001325616232 1074279136 /nfs/dbraw/zinc/27/91/36/1074279136.db2.gz SCDKZCXJZXNSFN-RTBURBONSA-N 0 0 441.506 -0.174 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1[nH]ncc1I ZINC001325637973 1074279046 /nfs/dbraw/zinc/27/90/46/1074279046.db2.gz BPRYKAKYYUKPBD-SSDOTTSWSA-N 0 0 426.236 -0.610 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1[nH]ncc1I ZINC001325637975 1074279062 /nfs/dbraw/zinc/27/90/62/1074279062.db2.gz BPRYKAKYYUKPBD-ZETCQYMHSA-N 0 0 426.236 -0.610 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3CCC(O)(C(N)=O)CC3)CC2)cc1 ZINC001325695016 1074279142 /nfs/dbraw/zinc/27/91/42/1074279142.db2.gz DDMGFQCCJYKXTA-UHFFFAOYSA-N 0 0 425.507 -0.065 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)C(=O)NCc2c(F)cc(F)c(F)c2F)CC1 ZINC001325719172 1074279108 /nfs/dbraw/zinc/27/91/08/1074279108.db2.gz KZKDOSDYLZKOLL-UHFFFAOYSA-N 0 0 446.401 -0.138 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C(=O)NCc2c(F)cc(F)c(F)c2F)CC1 ZINC001325722365 1074278992 /nfs/dbraw/zinc/27/89/92/1074278992.db2.gz XNNWMDODCMXVLT-UHFFFAOYSA-N 0 0 440.419 -0.447 20 0 IBADRN COC(=O)[C@H](C[S@@](=O)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1)NC(C)=O ZINC001325726092 1074279018 /nfs/dbraw/zinc/27/90/18/1074279018.db2.gz SEJBYROCRQNFFV-CLTPODQCSA-N 0 0 436.552 -0.629 20 0 IBADRN COC(=O)[C@H](C[S@](=O)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1)NC(C)=O ZINC001325726093 1074279008 /nfs/dbraw/zinc/27/90/08/1074279008.db2.gz SEJBYROCRQNFFV-LKLRLBKWSA-N 0 0 436.552 -0.629 20 0 IBADRN COC(=O)[C@H](C[S@@](=O)CC(=O)N(C1CCCC1)[C@H]1CCS(=O)(=O)C1)NC(C)=O ZINC001325726094 1074279536 /nfs/dbraw/zinc/27/95/36/1074279536.db2.gz SEJBYROCRQNFFV-LSXCKUSFSA-N 0 0 436.552 -0.629 20 0 IBADRN COC(=O)[C@H](C[S@](=O)CC(=O)N(C1CCCC1)[C@@H]1CCS(=O)(=O)C1)NC(C)=O ZINC001325726095 1074279470 /nfs/dbraw/zinc/27/94/70/1074279470.db2.gz SEJBYROCRQNFFV-OACFQNQOSA-N 0 0 436.552 -0.629 20 0 IBADRN COCCN(C(=O)CSc1nnc(C)n1CC(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001325747444 1074279378 /nfs/dbraw/zinc/27/93/78/1074279378.db2.gz CYIUBYCFALXONR-CYBMUJFWSA-N 0 0 433.556 -0.571 20 0 IBADRN COCCN(C(=O)CSc1nnc(C)n1CC(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001325747447 1074279529 /nfs/dbraw/zinc/27/95/29/1074279529.db2.gz CYIUBYCFALXONR-ZDUSSCGKSA-N 0 0 433.556 -0.571 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@H]1CCCCN1C(=O)CN1C(=O)CNC1=O ZINC001325786540 1074279513 /nfs/dbraw/zinc/27/95/13/1074279513.db2.gz VLJCZDPOAOJLOD-CYBMUJFWSA-N 0 0 425.486 -0.050 20 0 IBADRN CC(C)(C)OC(=O)NCCC(=O)NC[C@@H]1CCCCN1C(=O)CN1C(=O)CNC1=O ZINC001325786541 1074279524 /nfs/dbraw/zinc/27/95/24/1074279524.db2.gz VLJCZDPOAOJLOD-ZDUSSCGKSA-N 0 0 425.486 -0.050 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc4c(cnn4C)c3)CC2)cn1C ZINC001325826993 1074279435 /nfs/dbraw/zinc/27/94/35/1074279435.db2.gz XZDAETJEPQHLGG-UHFFFAOYSA-N 0 0 439.523 -0.295 20 0 IBADRN Cc1nnc(COCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001325848850 1074279478 /nfs/dbraw/zinc/27/94/78/1074279478.db2.gz NPRPMTGOFHOUOO-GFCCVEGCSA-N 0 0 438.553 -0.976 20 0 IBADRN Cc1nnc(COCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001325848853 1074279489 /nfs/dbraw/zinc/27/94/89/1074279489.db2.gz NPRPMTGOFHOUOO-LBPRGKRZSA-N 0 0 438.553 -0.976 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CCS(=O)(=O)c2ccccc21 ZINC001325860960 1074279429 /nfs/dbraw/zinc/27/94/29/1074279429.db2.gz MZBMBUDRIVQIGG-ARFHVFGLSA-N 0 0 428.532 -0.438 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CCS(=O)(=O)c2ccccc21 ZINC001325860963 1074279398 /nfs/dbraw/zinc/27/93/98/1074279398.db2.gz MZBMBUDRIVQIGG-BZUAXINKSA-N 0 0 428.532 -0.438 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CCS(=O)(=O)c2ccccc21 ZINC001325860965 1074279443 /nfs/dbraw/zinc/27/94/43/1074279443.db2.gz MZBMBUDRIVQIGG-OAGGEKHMSA-N 0 0 428.532 -0.438 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CCS(=O)(=O)c2ccccc21 ZINC001325860967 1074279369 /nfs/dbraw/zinc/27/93/69/1074279369.db2.gz MZBMBUDRIVQIGG-XHSDSOJGSA-N 0 0 428.532 -0.438 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc(COc2ccccc2)n1C ZINC001325860970 1074279391 /nfs/dbraw/zinc/27/93/91/1074279391.db2.gz NBPHPNQGFCPDMQ-CHWSQXEVSA-N 0 0 442.519 -0.140 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc(COc2ccccc2)n1C ZINC001325860973 1074279463 /nfs/dbraw/zinc/27/94/63/1074279463.db2.gz NBPHPNQGFCPDMQ-OLZOCXBDSA-N 0 0 442.519 -0.140 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc(COc2ccccc2)n1C ZINC001325860976 1074279357 /nfs/dbraw/zinc/27/93/57/1074279357.db2.gz NBPHPNQGFCPDMQ-QWHCGFSZSA-N 0 0 442.519 -0.140 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1nnc(COc2ccccc2)n1C ZINC001325860979 1074279882 /nfs/dbraw/zinc/27/98/82/1074279882.db2.gz NBPHPNQGFCPDMQ-STQMWFEESA-N 0 0 442.519 -0.140 20 0 IBADRN CN(CCCNC(=O)Cn1cnc2ccc(S(C)(=O)=O)cc2c1=O)S(C)(=O)=O ZINC001325866229 1074279903 /nfs/dbraw/zinc/27/99/03/1074279903.db2.gz KLJAUBKKVPALRG-UHFFFAOYSA-N 0 0 430.508 -0.802 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2ccc(=O)c3cnn(C)c32)CC1 ZINC001325866935 1074279874 /nfs/dbraw/zinc/27/98/74/1074279874.db2.gz PGPJFTJOYQHGSO-UHFFFAOYSA-N 0 0 434.478 -0.127 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N2CC[C@](O)(C(N)=O)C2)c1 ZINC001325871133 1074279919 /nfs/dbraw/zinc/27/99/19/1074279919.db2.gz OJQGGZKASZWKPC-GFCCVEGCSA-N 0 0 427.298 -0.537 20 0 IBADRN CS(=O)(=O)c1ccc(Br)c(S(=O)(=O)N2CC[C@@](O)(C(N)=O)C2)c1 ZINC001325871135 1074279851 /nfs/dbraw/zinc/27/98/51/1074279851.db2.gz OJQGGZKASZWKPC-LBPRGKRZSA-N 0 0 427.298 -0.537 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)C1(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001325872158 1074279894 /nfs/dbraw/zinc/27/98/94/1074279894.db2.gz CBYQKACAEWPSOR-UHFFFAOYSA-N 0 0 441.572 -0.192 20 0 IBADRN COCC(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001325876685 1074279866 /nfs/dbraw/zinc/27/98/66/1074279866.db2.gz BQVWFAWIFAEHPJ-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)c(OC)c1 ZINC001325877933 1074279753 /nfs/dbraw/zinc/27/97/53/1074279753.db2.gz AHLZEYHZDILIEH-UHFFFAOYSA-N 0 0 441.553 -0.374 20 0 IBADRN Cc1ncc2n1CC[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)C2 ZINC001325878135 1074279797 /nfs/dbraw/zinc/27/97/97/1074279797.db2.gz IMZUGZODKGFKAQ-GDBMZVCRSA-N 0 0 430.552 -0.585 20 0 IBADRN Cc1ncc2n1CC[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)C2 ZINC001325878138 1074279766 /nfs/dbraw/zinc/27/97/66/1074279766.db2.gz IMZUGZODKGFKAQ-GOEBONIOSA-N 0 0 430.552 -0.585 20 0 IBADRN Cc1ncc2n1CC[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)C2 ZINC001325878141 1074279780 /nfs/dbraw/zinc/27/97/80/1074279780.db2.gz IMZUGZODKGFKAQ-HOCLYGCPSA-N 0 0 430.552 -0.585 20 0 IBADRN Cc1ncc2n1CC[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)C2 ZINC001325878145 1074279808 /nfs/dbraw/zinc/27/98/08/1074279808.db2.gz IMZUGZODKGFKAQ-ZBFHGGJFSA-N 0 0 430.552 -0.585 20 0 IBADRN CC(C)OCc1nc([C@@H](C)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC001325879144 1074279831 /nfs/dbraw/zinc/27/98/31/1074279831.db2.gz NOTFIYTYWJGMRK-CABCVRRESA-N 0 0 429.543 -0.024 20 0 IBADRN CC(C)OCc1nc([C@H](C)NCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)no1 ZINC001325879146 1074279790 /nfs/dbraw/zinc/27/97/90/1074279790.db2.gz NOTFIYTYWJGMRK-GJZGRUSLSA-N 0 0 429.543 -0.024 20 0 IBADRN CC(C)OCc1nc([C@@H](C)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC001325879148 1074279847 /nfs/dbraw/zinc/27/98/47/1074279847.db2.gz NOTFIYTYWJGMRK-HUUCEWRRSA-N 0 0 429.543 -0.024 20 0 IBADRN CC(C)OCc1nc([C@H](C)NCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)no1 ZINC001325879150 1074279861 /nfs/dbraw/zinc/27/98/61/1074279861.db2.gz NOTFIYTYWJGMRK-LSDHHAIUSA-N 0 0 429.543 -0.024 20 0 IBADRN CN(C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N(C)[C@@H]1CCNC1=O)[C@@H]1CCNC1=O ZINC001325893886 1074280289 /nfs/dbraw/zinc/28/02/89/1074280289.db2.gz VKGVIYWLZSLYDD-BFHYXJOUSA-N 0 0 439.513 -0.646 20 0 IBADRN CN(C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N(C)[C@H]1CCNC1=O)[C@H]1CCNC1=O ZINC001325893887 1074280354 /nfs/dbraw/zinc/28/03/54/1074280354.db2.gz VKGVIYWLZSLYDD-IHRRRGAJSA-N 0 0 439.513 -0.646 20 0 IBADRN CN(C(=O)[C@H](CCC(=O)N(C)[C@H]1CCNC1=O)NC(=O)OC(C)(C)C)[C@@H]1CCNC1=O ZINC001325893888 1074280419 /nfs/dbraw/zinc/28/04/19/1074280419.db2.gz VKGVIYWLZSLYDD-MELADBBJSA-N 0 0 439.513 -0.646 20 0 IBADRN CN(C(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N(C)[C@H]1CCNC1=O)[C@@H]1CCNC1=O ZINC001325893889 1074280390 /nfs/dbraw/zinc/28/03/90/1074280390.db2.gz VKGVIYWLZSLYDD-MJBXVCDLSA-N 0 0 439.513 -0.646 20 0 IBADRN CCN(CC(=O)NCc1ccc(S(C)(=O)=O)cc1)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001325898253 1074280429 /nfs/dbraw/zinc/28/04/29/1074280429.db2.gz WSYFMRZEXFLIFV-HNNXBMFYSA-N 0 0 445.563 -0.669 20 0 IBADRN CCN(CC(=O)NCc1ccc(S(C)(=O)=O)cc1)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001325898256 1074280361 /nfs/dbraw/zinc/28/03/61/1074280361.db2.gz WSYFMRZEXFLIFV-OAHLLOKOSA-N 0 0 445.563 -0.669 20 0 IBADRN O=C(NCC(F)(F)F)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001325902054 1074280341 /nfs/dbraw/zinc/28/03/41/1074280341.db2.gz BMQRYDTZPLYSTO-GRYCIOLGSA-N 0 0 425.429 -0.451 20 0 IBADRN O=C(NCC(F)(F)F)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001325902056 1074280381 /nfs/dbraw/zinc/28/03/81/1074280381.db2.gz BMQRYDTZPLYSTO-IJLUTSLNSA-N 0 0 425.429 -0.451 20 0 IBADRN O=C(NCC(F)(F)F)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001325902058 1074280491 /nfs/dbraw/zinc/28/04/91/1074280491.db2.gz BMQRYDTZPLYSTO-UTUOFQBUSA-N 0 0 425.429 -0.451 20 0 IBADRN O=C(NCC(F)(F)F)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001325902060 1074280470 /nfs/dbraw/zinc/28/04/70/1074280470.db2.gz BMQRYDTZPLYSTO-WOPDTQHZSA-N 0 0 425.429 -0.451 20 0 IBADRN COc1ccc(C(=O)NCC2CN(S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001325910319 1074280442 /nfs/dbraw/zinc/28/04/42/1074280442.db2.gz DIDHJVDRDKQIGB-UHFFFAOYSA-N 0 0 447.535 -0.663 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC001325929242 1074280371 /nfs/dbraw/zinc/28/03/71/1074280371.db2.gz VXSHJZZCNYDNNN-UHFFFAOYSA-N 0 0 435.528 -0.801 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001325941363 1074280313 /nfs/dbraw/zinc/28/03/13/1074280313.db2.gz COYCPRHMGYJODK-HNNXBMFYSA-N 0 0 440.570 -0.769 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001325941364 1074280247 /nfs/dbraw/zinc/28/02/47/1074280247.db2.gz COYCPRHMGYJODK-OAHLLOKOSA-N 0 0 440.570 -0.769 20 0 IBADRN CN(C)[C@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)c1cncn1C ZINC001325942415 1074280271 /nfs/dbraw/zinc/28/02/71/1074280271.db2.gz RLEYNGPOEJZSIQ-JXFKEZNVSA-N 0 0 442.568 -0.014 20 0 IBADRN CN(C)[C@@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)c1cncn1C ZINC001325942416 1074280225 /nfs/dbraw/zinc/28/02/25/1074280225.db2.gz RLEYNGPOEJZSIQ-OXJNMPFZSA-N 0 0 442.568 -0.014 20 0 IBADRN CN(C)[C@@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)c1cncn1C ZINC001325942417 1074280194 /nfs/dbraw/zinc/28/01/94/1074280194.db2.gz RLEYNGPOEJZSIQ-OXQOHEQNSA-N 0 0 442.568 -0.014 20 0 IBADRN CN(C)[C@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)c1cncn1C ZINC001325942418 1074280826 /nfs/dbraw/zinc/28/08/26/1074280826.db2.gz RLEYNGPOEJZSIQ-UZLBHIALSA-N 0 0 442.568 -0.014 20 0 IBADRN CNC(=O)CN1CCN(c2nc3c(nc2N2CCN(CC(=O)NC)CC2)CCCC3)CC1 ZINC001325952525 1074280839 /nfs/dbraw/zinc/28/08/39/1074280839.db2.gz YDRSEZLANRGYII-UHFFFAOYSA-N 0 0 444.584 -0.909 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001325960786 1074280848 /nfs/dbraw/zinc/28/08/48/1074280848.db2.gz NXBDAPYYXSGRMO-GDBMZVCRSA-N 0 0 428.511 -0.346 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001325960787 1074280875 /nfs/dbraw/zinc/28/08/75/1074280875.db2.gz NXBDAPYYXSGRMO-GOEBONIOSA-N 0 0 428.511 -0.346 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001325960788 1074280768 /nfs/dbraw/zinc/28/07/68/1074280768.db2.gz NXBDAPYYXSGRMO-HOCLYGCPSA-N 0 0 428.511 -0.346 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001325960789 1074280752 /nfs/dbraw/zinc/28/07/52/1074280752.db2.gz NXBDAPYYXSGRMO-ZBFHGGJFSA-N 0 0 428.511 -0.346 20 0 IBADRN O=C(CN(CC(=O)NCC1(O)CCOCC1)c1ccccc1)NCC1(O)CCOCC1 ZINC001325995558 1074280802 /nfs/dbraw/zinc/28/08/02/1074280802.db2.gz JTRSZPHYKSIEEP-UHFFFAOYSA-N 0 0 435.521 -0.192 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001326000937 1074280817 /nfs/dbraw/zinc/28/08/17/1074280817.db2.gz YECLHKPWLRAZJW-UHFFFAOYSA-N 0 0 446.551 -0.330 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN3C(=O)CNC3=O)cc2)CC1 ZINC001326043707 1074280853 /nfs/dbraw/zinc/28/08/53/1074280853.db2.gz OZYMANBMVKOYRN-UHFFFAOYSA-N 0 0 431.493 -0.560 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001326048858 1074280787 /nfs/dbraw/zinc/28/07/87/1074280787.db2.gz SQHKIQUAVNCILP-DLBZAZTESA-N 0 0 425.555 -0.186 20 0 IBADRN CC(C)CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001326048859 1074280877 /nfs/dbraw/zinc/28/08/77/1074280877.db2.gz SQHKIQUAVNCILP-IAGOWNOFSA-N 0 0 425.555 -0.186 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001326048860 1074280808 /nfs/dbraw/zinc/28/08/08/1074280808.db2.gz SQHKIQUAVNCILP-IRXDYDNUSA-N 0 0 425.555 -0.186 20 0 IBADRN CC(C)CC(=O)N[C@@H](Cc1c[nH]cn1)C(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001326048861 1074280862 /nfs/dbraw/zinc/28/08/62/1074280862.db2.gz SQHKIQUAVNCILP-SJORKVTESA-N 0 0 425.555 -0.186 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)(C)O)C2 ZINC001326075802 1074280835 /nfs/dbraw/zinc/28/08/35/1074280835.db2.gz TZONOHDASRWGMY-CQSZACIVSA-N 0 0 440.501 -0.861 20 0 IBADRN CN(C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001326085776 1074280832 /nfs/dbraw/zinc/28/08/32/1074280832.db2.gz YSHIAWUILSEZJU-INIZCTEOSA-N 0 0 438.554 -0.041 20 0 IBADRN CN(C(=O)NCc1cccnc1N1CCC(C(N)=O)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001326085777 1074280760 /nfs/dbraw/zinc/28/07/60/1074280760.db2.gz YSHIAWUILSEZJU-MRXNPFEDSA-N 0 0 438.554 -0.041 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1)N[C@H]1CCS(=O)(=O)C1 ZINC001326090179 1074280822 /nfs/dbraw/zinc/28/08/22/1074280822.db2.gz OCSMKLWQACIHAF-ARFHVFGLSA-N 0 0 427.523 -0.763 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001326090180 1074280850 /nfs/dbraw/zinc/28/08/50/1074280850.db2.gz OCSMKLWQACIHAF-BZUAXINKSA-N 0 0 427.523 -0.763 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001326090181 1074280844 /nfs/dbraw/zinc/28/08/44/1074280844.db2.gz OCSMKLWQACIHAF-OAGGEKHMSA-N 0 0 427.523 -0.763 20 0 IBADRN O=C(CCNC(=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1)N[C@H]1CCS(=O)(=O)C1 ZINC001326090182 1074281471 /nfs/dbraw/zinc/28/14/71/1074281471.db2.gz OCSMKLWQACIHAF-XHSDSOJGSA-N 0 0 427.523 -0.763 20 0 IBADRN CS(=O)(=O)CC1CCN([C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001326110926 1074281440 /nfs/dbraw/zinc/28/14/40/1074281440.db2.gz JRTPOLSBYMPPNU-HNNXBMFYSA-N 0 0 429.520 -0.278 20 0 IBADRN CS(=O)(=O)CC1CCN([C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2=O)CC1 ZINC001326110929 1074281342 /nfs/dbraw/zinc/28/13/42/1074281342.db2.gz JRTPOLSBYMPPNU-OAHLLOKOSA-N 0 0 429.520 -0.278 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCC(O)CC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001326117939 1074281332 /nfs/dbraw/zinc/28/13/32/1074281332.db2.gz SIOVGKWGXBVEML-AWEZNQCLSA-N 0 0 431.536 -0.453 20 0 IBADRN O=C(CNc1cccc(S(=O)(=O)N2CCC(O)CC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001326117941 1074281215 /nfs/dbraw/zinc/28/12/15/1074281215.db2.gz SIOVGKWGXBVEML-CQSZACIVSA-N 0 0 431.536 -0.453 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001326118292 1074281430 /nfs/dbraw/zinc/28/14/30/1074281430.db2.gz WCNFBSPQWJPXEN-DOMZBBRYSA-N 0 0 435.499 -0.771 20 0 IBADRN Cn1ncc(I)c1C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001326127184 1074281393 /nfs/dbraw/zinc/28/13/93/1074281393.db2.gz SJCYNMQBZUZSRE-SSDOTTSWSA-N 0 0 427.220 -0.515 20 0 IBADRN Cn1ncc(I)c1C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001326127185 1074281425 /nfs/dbraw/zinc/28/14/25/1074281425.db2.gz SJCYNMQBZUZSRE-ZETCQYMHSA-N 0 0 427.220 -0.515 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCc1nnc3n1CCCCC3)C2 ZINC001326128460 1074281274 /nfs/dbraw/zinc/28/12/74/1074281274.db2.gz KICBHSKCCPGZTK-UHFFFAOYSA-N 0 0 431.501 -0.781 20 0 IBADRN CC(=O)Nc1cc(NC(C)=O)cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC001326162045 1074281232 /nfs/dbraw/zinc/28/12/32/1074281232.db2.gz IHWYBIWXMDERRL-UHFFFAOYSA-N 0 0 443.464 -0.294 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCC3CS(=O)(=O)C3)c2)CC1 ZINC001326163104 1074281316 /nfs/dbraw/zinc/28/13/16/1074281316.db2.gz OXBOQMNMYCDQPS-UHFFFAOYSA-N 0 0 443.547 -0.296 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(Br)c(C=O)n1C)C2 ZINC001326163681 1074281305 /nfs/dbraw/zinc/28/13/05/1074281305.db2.gz WLPSOWRAZRWIEC-UHFFFAOYSA-N 0 0 439.270 -0.298 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1 ZINC001326171216 1074281265 /nfs/dbraw/zinc/28/12/65/1074281265.db2.gz KCNPRCLPJBYSJI-AWEZNQCLSA-N 0 0 432.462 -0.325 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1 ZINC001326171218 1074281373 /nfs/dbraw/zinc/28/13/73/1074281373.db2.gz KCNPRCLPJBYSJI-CQSZACIVSA-N 0 0 432.462 -0.325 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)C(F)(F)F ZINC001326171540 1074281449 /nfs/dbraw/zinc/28/14/49/1074281449.db2.gz JFKIQDDCDHUEFD-CYBMUJFWSA-N 0 0 446.469 -0.066 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)Cc1ccc(S(=O)(=O)CCO)cc1)C(F)(F)F ZINC001326171541 1074281356 /nfs/dbraw/zinc/28/13/56/1074281356.db2.gz JFKIQDDCDHUEFD-ZDUSSCGKSA-N 0 0 446.469 -0.066 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1N1CCNC1=O ZINC001326179844 1074281378 /nfs/dbraw/zinc/28/13/78/1074281378.db2.gz FVSSXYKISJCQSX-CVEARBPZSA-N 0 0 438.506 -0.860 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1N1CCNC1=O ZINC001326179845 1074281250 /nfs/dbraw/zinc/28/12/50/1074281250.db2.gz FVSSXYKISJCQSX-HOTGVXAUSA-N 0 0 438.506 -0.860 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1N1CCNC1=O ZINC001326179846 1074281783 /nfs/dbraw/zinc/28/17/83/1074281783.db2.gz FVSSXYKISJCQSX-HZPDHXFCSA-N 0 0 438.506 -0.860 20 0 IBADRN COc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1N1CCNC1=O ZINC001326179847 1074281777 /nfs/dbraw/zinc/28/17/77/1074281777.db2.gz FVSSXYKISJCQSX-JKSUJKDBSA-N 0 0 438.506 -0.860 20 0 IBADRN COc1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(NC(C)=O)c1OC ZINC001326180974 1074281912 /nfs/dbraw/zinc/28/19/12/1074281912.db2.gz RGEPBCZEJIGBHH-CVEARBPZSA-N 0 0 441.506 -0.422 20 0 IBADRN COc1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc(NC(C)=O)c1OC ZINC001326180975 1074281882 /nfs/dbraw/zinc/28/18/82/1074281882.db2.gz RGEPBCZEJIGBHH-HOTGVXAUSA-N 0 0 441.506 -0.422 20 0 IBADRN COc1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(NC(C)=O)c1OC ZINC001326180976 1074281889 /nfs/dbraw/zinc/28/18/89/1074281889.db2.gz RGEPBCZEJIGBHH-HZPDHXFCSA-N 0 0 441.506 -0.422 20 0 IBADRN COc1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc(NC(C)=O)c1OC ZINC001326180977 1074281790 /nfs/dbraw/zinc/28/17/90/1074281790.db2.gz RGEPBCZEJIGBHH-JKSUJKDBSA-N 0 0 441.506 -0.422 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cn1nc(-c3ccccc3)ccc1=O)C2 ZINC001326181635 1074281820 /nfs/dbraw/zinc/28/18/20/1074281820.db2.gz ZJPLISOZOGJMLP-UHFFFAOYSA-N 0 0 437.460 -0.601 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)Cn1nc(CCC(N)=O)n(C)c1=S ZINC001326194870 1074281871 /nfs/dbraw/zinc/28/18/71/1074281871.db2.gz XXPQQLFTXHCIKC-UHFFFAOYSA-N 0 0 428.540 -0.015 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001326194947 1074281918 /nfs/dbraw/zinc/28/19/18/1074281918.db2.gz ZYIUJXUTQFATCR-UHFFFAOYSA-N 0 0 444.531 -0.078 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)NC1CCN(CCS(C)(=O)=O)CC1 ZINC001326197930 1074281808 /nfs/dbraw/zinc/28/18/08/1074281808.db2.gz TXKSHRHUIOZCQF-UHFFFAOYSA-N 0 0 432.568 -0.016 20 0 IBADRN O=C(Cc1c[nH]c2cnccc12)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001326212917 1074281858 /nfs/dbraw/zinc/28/18/58/1074281858.db2.gz IRXOEELFPHPQRS-AWEZNQCLSA-N 0 0 426.520 -0.234 20 0 IBADRN O=C(Cc1c[nH]c2cnccc12)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001326212918 1074281896 /nfs/dbraw/zinc/28/18/96/1074281896.db2.gz IRXOEELFPHPQRS-CQSZACIVSA-N 0 0 426.520 -0.234 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)cc1 ZINC001326224742 1074281832 /nfs/dbraw/zinc/28/18/32/1074281832.db2.gz IVZNFDYEIIWFLM-UHFFFAOYSA-N 0 0 427.508 -0.326 20 0 IBADRN COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O ZINC001326234554 1074281754 /nfs/dbraw/zinc/28/17/54/1074281754.db2.gz NVSQNKRNLKDAJK-HNNXBMFYSA-N 0 0 449.485 -0.388 20 0 IBADRN COC(=O)[C@H]1Cc2ccccc2CN1C(=O)CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O ZINC001326234555 1074281787 /nfs/dbraw/zinc/28/17/87/1074281787.db2.gz NVSQNKRNLKDAJK-OAHLLOKOSA-N 0 0 449.485 -0.388 20 0 IBADRN CS(=O)(=O)N1CCC(CNC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001326258466 1074281798 /nfs/dbraw/zinc/28/17/98/1074281798.db2.gz XVJYYIGUAQPFJE-UHFFFAOYSA-N 0 0 433.552 -0.077 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)COC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC001326268123 1074281769 /nfs/dbraw/zinc/28/17/69/1074281769.db2.gz ZVXBRNHJBAKRJK-CYBMUJFWSA-N 0 0 434.515 -0.476 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)COC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC001326268127 1074281904 /nfs/dbraw/zinc/28/19/04/1074281904.db2.gz ZVXBRNHJBAKRJK-ZDUSSCGKSA-N 0 0 434.515 -0.476 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1nnc2n1CCOC2 ZINC001326268983 1074281761 /nfs/dbraw/zinc/28/17/61/1074281761.db2.gz IRJXSYYWASDMAM-UHFFFAOYSA-N 0 0 437.478 -0.232 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)CC2 ZINC001326318398 1074282332 /nfs/dbraw/zinc/28/23/32/1074282332.db2.gz JXYSGYWWUJPRFV-CABCVRRESA-N 0 0 425.555 -0.181 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)CC2 ZINC001326318400 1074282446 /nfs/dbraw/zinc/28/24/46/1074282446.db2.gz JXYSGYWWUJPRFV-GJZGRUSLSA-N 0 0 425.555 -0.181 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001326318401 1074282389 /nfs/dbraw/zinc/28/23/89/1074282389.db2.gz JXYSGYWWUJPRFV-HUUCEWRRSA-N 0 0 425.555 -0.181 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001326318403 1074282308 /nfs/dbraw/zinc/28/23/08/1074282308.db2.gz JXYSGYWWUJPRFV-LSDHHAIUSA-N 0 0 425.555 -0.181 20 0 IBADRN CC(C)[C@H](CN(C)C(=O)Cc1nc2ncccn2n1)NC(=O)Cc1nc2ncccn2n1 ZINC001326319378 1074282299 /nfs/dbraw/zinc/28/22/99/1074282299.db2.gz QJVOJLMFDUSYOH-AWEZNQCLSA-N 0 0 436.480 -0.054 20 0 IBADRN CC(C)[C@@H](CN(C)C(=O)Cc1nc2ncccn2n1)NC(=O)Cc1nc2ncccn2n1 ZINC001326319380 1074282285 /nfs/dbraw/zinc/28/22/85/1074282285.db2.gz QJVOJLMFDUSYOH-CQSZACIVSA-N 0 0 436.480 -0.054 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001326339776 1074282463 /nfs/dbraw/zinc/28/24/63/1074282463.db2.gz HHMZPGQWEMNDEU-AWEZNQCLSA-N 0 0 443.547 -0.296 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001326339778 1074282321 /nfs/dbraw/zinc/28/23/21/1074282321.db2.gz HHMZPGQWEMNDEU-CQSZACIVSA-N 0 0 443.547 -0.296 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCC(C(=O)NCCOCCS(N)(=O)=O)CC2)c1 ZINC001326342859 1074282375 /nfs/dbraw/zinc/28/23/75/1074282375.db2.gz IWOCERRIMXKZES-UHFFFAOYSA-N 0 0 443.522 -0.023 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)CC1 ZINC001326342921 1074282395 /nfs/dbraw/zinc/28/23/95/1074282395.db2.gz KDOSHNFLZQMIAD-AWEZNQCLSA-N 0 0 427.504 -0.225 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)CC1 ZINC001326342923 1074282352 /nfs/dbraw/zinc/28/23/52/1074282352.db2.gz KDOSHNFLZQMIAD-CQSZACIVSA-N 0 0 427.504 -0.225 20 0 IBADRN COc1ccc(CS(C)(=O)=O)cc1NC(=O)Cn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001326348273 1074282413 /nfs/dbraw/zinc/28/24/13/1074282413.db2.gz UDCWQZHLZPIYPH-UHFFFAOYSA-N 0 0 435.462 -0.374 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)NC1CCN(C(=O)c3ccncc3)CC1)c(=O)n2C ZINC001326355660 1074282404 /nfs/dbraw/zinc/28/24/04/1074282404.db2.gz DFBDXJMBAMZMRR-UHFFFAOYSA-N 0 0 425.449 -0.750 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC(=O)N1CCCC1 ZINC001326356211 1074282339 /nfs/dbraw/zinc/28/23/39/1074282339.db2.gz KCAHJFVZXBBWKE-HNNXBMFYSA-N 0 0 425.507 -0.033 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCC(=O)N1CCCC1 ZINC001326356212 1074282427 /nfs/dbraw/zinc/28/24/27/1074282427.db2.gz KCAHJFVZXBBWKE-OAHLLOKOSA-N 0 0 425.507 -0.033 20 0 IBADRN COCC(=O)NC1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC001326363924 1074282456 /nfs/dbraw/zinc/28/24/56/1074282456.db2.gz VQHZDEZBLBXYCJ-UHFFFAOYSA-N 0 0 434.540 -0.684 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NC[C@@H](O)CN1CCCC1=O ZINC001326364765 1074282472 /nfs/dbraw/zinc/28/24/72/1074282472.db2.gz UXZVRJJQZAAVOB-GFCCVEGCSA-N 0 0 434.312 -0.173 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NC[C@H](O)CN1CCCC1=O ZINC001326364766 1074282263 /nfs/dbraw/zinc/28/22/63/1074282263.db2.gz UXZVRJJQZAAVOB-LBPRGKRZSA-N 0 0 434.312 -0.173 20 0 IBADRN C[C@@H]1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS1(=O)=O ZINC001326366402 1074282478 /nfs/dbraw/zinc/28/24/78/1074282478.db2.gz OSOOSCVVZKCJSE-SECBINFHSA-N 0 0 432.300 -0.072 20 0 IBADRN C[C@H]1CCN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CCS1(=O)=O ZINC001326366403 1074282917 /nfs/dbraw/zinc/28/29/17/1074282917.db2.gz OSOOSCVVZKCJSE-VIFPVBQESA-N 0 0 432.300 -0.072 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC001326367029 1074282821 /nfs/dbraw/zinc/28/28/21/1074282821.db2.gz LNIZXSOJEASUQX-UHFFFAOYSA-N 0 0 447.583 -0.033 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)c1c(OC)ccc(S(N)(=O)=O)c1OC)C2 ZINC001326373586 1074282941 /nfs/dbraw/zinc/28/29/41/1074282941.db2.gz XZWKXZAQBAHNKN-UHFFFAOYSA-N 0 0 425.467 -0.212 20 0 IBADRN CCCCN(C)C(=O)C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001326378570 1074282716 /nfs/dbraw/zinc/28/27/16/1074282716.db2.gz ZGSJMNZLHOBYPC-VGKBRBPRSA-N 0 0 448.524 -0.087 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)cn1 ZINC001326379406 1074282805 /nfs/dbraw/zinc/28/28/05/1074282805.db2.gz DGZZOIRZGVEXTF-FTJNGKRUSA-N 0 0 430.284 -0.223 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)cn1 ZINC001326379407 1074282750 /nfs/dbraw/zinc/28/27/50/1074282750.db2.gz DGZZOIRZGVEXTF-JPQMIFPKSA-N 0 0 430.284 -0.223 20 0 IBADRN Cn1cc([C@H]2C[S@@](=O)CCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)cn1 ZINC001326379408 1074282782 /nfs/dbraw/zinc/28/27/82/1074282782.db2.gz DGZZOIRZGVEXTF-NDHGSNPTSA-N 0 0 430.284 -0.223 20 0 IBADRN Cn1cc([C@H]2C[S@](=O)CCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)cn1 ZINC001326379504 1074282771 /nfs/dbraw/zinc/28/27/71/1074282771.db2.gz DGZZOIRZGVEXTF-SSINHNECSA-N 0 0 430.284 -0.223 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001326381110 1074282728 /nfs/dbraw/zinc/28/27/28/1074282728.db2.gz QAJSERHWBLVUGW-CABCVRRESA-N 0 0 429.543 -0.070 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001326381112 1074282842 /nfs/dbraw/zinc/28/28/42/1074282842.db2.gz QAJSERHWBLVUGW-GJZGRUSLSA-N 0 0 429.543 -0.070 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001326381113 1074282763 /nfs/dbraw/zinc/28/27/63/1074282763.db2.gz QAJSERHWBLVUGW-HUUCEWRRSA-N 0 0 429.543 -0.070 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001326381115 1074282742 /nfs/dbraw/zinc/28/27/42/1074282742.db2.gz QAJSERHWBLVUGW-LSDHHAIUSA-N 0 0 429.543 -0.070 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)c(=O)cc1C ZINC001326434886 1074282793 /nfs/dbraw/zinc/28/27/93/1074282793.db2.gz QWEMHTPKTINDNF-UHFFFAOYSA-N 0 0 428.511 -0.862 20 0 IBADRN C[C@@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CCS(=O)(=O)C1 ZINC001326441264 1074282905 /nfs/dbraw/zinc/28/29/05/1074282905.db2.gz BIODWJZJUGRENP-LLVKDONJSA-N 0 0 425.554 -0.545 20 0 IBADRN C[C@H]1CN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CCS(=O)(=O)C1 ZINC001326441268 1074282923 /nfs/dbraw/zinc/28/29/23/1074282923.db2.gz BIODWJZJUGRENP-NSHDSACASA-N 0 0 425.554 -0.545 20 0 IBADRN O=C(COC(=O)c1ccn(-c2ccncc2)n1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001326454857 1074282850 /nfs/dbraw/zinc/28/28/50/1074282850.db2.gz IPUIHPBKDXFOOX-INIZCTEOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1ccn(-c2ccncc2)n1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001326454858 1074282875 /nfs/dbraw/zinc/28/28/75/1074282875.db2.gz IPUIHPBKDXFOOX-MRXNPFEDSA-N 0 0 433.490 -0.245 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001326464767 1074282928 /nfs/dbraw/zinc/28/29/28/1074282928.db2.gz DVFKQAXDPADOMT-AWEZNQCLSA-N 0 0 430.552 -0.139 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)NC[C@H]2CCS(=O)(=O)C2)CC1 ZINC001326464769 1074282831 /nfs/dbraw/zinc/28/28/31/1074282831.db2.gz DVFKQAXDPADOMT-CQSZACIVSA-N 0 0 430.552 -0.139 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OCCN2C(=O)NC3(CCS(=O)(=O)CC3)C2=O)cc1 ZINC001326464925 1074282891 /nfs/dbraw/zinc/28/28/91/1074282891.db2.gz IXGZUEYLHFYVFQ-UHFFFAOYSA-N 0 0 445.519 -0.185 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC[C@@H](C(F)(F)F)[C@H](CO)C2)[C@H](O)[C@@H]1O ZINC001326474030 1074283342 /nfs/dbraw/zinc/28/33/42/1074283342.db2.gz BIJNLTRIYZULEC-HTNUDKSESA-N 0 0 433.387 -0.565 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC[C@H](C(F)(F)F)[C@@H](CO)C2)[C@H](O)[C@@H]1O ZINC001326474031 1074283309 /nfs/dbraw/zinc/28/33/09/1074283309.db2.gz BIJNLTRIYZULEC-VLJMLOEOSA-N 0 0 433.387 -0.565 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC[C@H](C(F)(F)F)[C@H](CO)C2)[C@H](O)[C@@H]1O ZINC001326474032 1074283381 /nfs/dbraw/zinc/28/33/81/1074283381.db2.gz BIJNLTRIYZULEC-WHUDRKENSA-N 0 0 433.387 -0.565 20 0 IBADRN OC[C@H]1O[C@@H](n2cnc3c2ncnc3N2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)[C@H](O)[C@@H]1O ZINC001326474033 1074283317 /nfs/dbraw/zinc/28/33/17/1074283317.db2.gz BIJNLTRIYZULEC-XULTWRPTSA-N 0 0 433.387 -0.565 20 0 IBADRN Cc1ccc(Br)c(S(=O)(=O)NCCNC(=O)CN2C(=O)CNC2=O)c1 ZINC001326479285 1074283267 /nfs/dbraw/zinc/28/32/67/1074283267.db2.gz JYNPYMOCHCWYPF-UHFFFAOYSA-N 0 0 433.284 -0.296 20 0 IBADRN CCn1c(=O)c2ccccc2n(Cc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)c1=O ZINC001326492273 1074283238 /nfs/dbraw/zinc/28/32/38/1074283238.db2.gz BEHIPWQKQNPEAC-UHFFFAOYSA-N 0 0 447.473 -0.586 20 0 IBADRN CS(=O)(=O)NCCc1ccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001326492275 1074283375 /nfs/dbraw/zinc/28/33/75/1074283375.db2.gz BHOMDFXLNGQUMR-UHFFFAOYSA-N 0 0 442.519 -0.372 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001326492896 1074283256 /nfs/dbraw/zinc/28/32/56/1074283256.db2.gz MBKWJOIURBSECH-UHFFFAOYSA-N 0 0 442.519 -0.301 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccs1)c1nc(CC(=O)N2CCS(=O)(=O)CC2)no1 ZINC001326493092 1074283278 /nfs/dbraw/zinc/28/32/78/1074283278.db2.gz MXHXFZOXPAIATA-JTQLQIEISA-N 0 0 434.521 -0.030 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccs1)c1nc(CC(=O)N2CCS(=O)(=O)CC2)no1 ZINC001326493094 1074283431 /nfs/dbraw/zinc/28/34/31/1074283431.db2.gz MXHXFZOXPAIATA-SNVBAGLBSA-N 0 0 434.521 -0.030 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cn1C ZINC001326506509 1074283389 /nfs/dbraw/zinc/28/33/89/1074283389.db2.gz OKFWOSXTUOJRHX-PXAZEXFGSA-N 0 0 448.519 -0.215 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cn1C ZINC001326506510 1074283407 /nfs/dbraw/zinc/28/34/07/1074283407.db2.gz OKFWOSXTUOJRHX-SJCJKPOMSA-N 0 0 448.519 -0.215 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cn1C ZINC001326506511 1074283402 /nfs/dbraw/zinc/28/34/02/1074283402.db2.gz OKFWOSXTUOJRHX-SJKOYZFVSA-N 0 0 448.519 -0.215 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cn1C ZINC001326506512 1074283441 /nfs/dbraw/zinc/28/34/41/1074283441.db2.gz OKFWOSXTUOJRHX-YVEFUNNKSA-N 0 0 448.519 -0.215 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1cnnc1[S@@](C)=O ZINC001326513567 1074283424 /nfs/dbraw/zinc/28/34/24/1074283424.db2.gz AXQSQXMHEUGZES-MUUNZHRXSA-N 0 0 443.507 -0.316 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1cnnc1[S@](C)=O ZINC001326513568 1074283365 /nfs/dbraw/zinc/28/33/65/1074283365.db2.gz AXQSQXMHEUGZES-NDEPHWFRSA-N 0 0 443.507 -0.316 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(S(=O)(=O)NC)CC2)c1 ZINC001326516289 1074283412 /nfs/dbraw/zinc/28/34/12/1074283412.db2.gz OBITZHCFDVBPMO-UHFFFAOYSA-N 0 0 448.567 -0.581 20 0 IBADRN CC(=O)Nc1cccc(-n2cnnc2S(=O)(=O)CC(=O)N2CCN(C(C)=O)CC2)c1 ZINC001326562283 1074283294 /nfs/dbraw/zinc/28/32/94/1074283294.db2.gz RNXSYCWJYSCEJD-UHFFFAOYSA-N 0 0 434.478 -0.310 20 0 IBADRN Cn1c2ncn(CCC(=O)N(CC(F)F)C3CCS(=O)(=O)CC3)c2c(=O)n(C)c1=O ZINC001326602223 1074283321 /nfs/dbraw/zinc/28/33/21/1074283321.db2.gz FAYKNKYTSCVZTB-UHFFFAOYSA-N 0 0 447.464 -0.505 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)NCC1(O)CCS(=O)(=O)CC1 ZINC001326625893 1074283883 /nfs/dbraw/zinc/28/38/83/1074283883.db2.gz AQIWKUNOCYBVER-UHFFFAOYSA-N 0 0 426.543 -0.772 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)NC1(C(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)CCCC1 ZINC001326637623 1074283875 /nfs/dbraw/zinc/28/38/75/1074283875.db2.gz MNWBMIOUFXIBLF-AWEZNQCLSA-N 0 0 440.522 -0.074 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)NC1(C(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)CCCC1 ZINC001326637624 1074283715 /nfs/dbraw/zinc/28/37/15/1074283715.db2.gz MNWBMIOUFXIBLF-CQSZACIVSA-N 0 0 440.522 -0.074 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC001326640107 1074283828 /nfs/dbraw/zinc/28/38/28/1074283828.db2.gz CEIBCZBTJWLSRJ-GFCCVEGCSA-N 0 0 433.328 -0.207 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)CNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC001326640126 1074283859 /nfs/dbraw/zinc/28/38/59/1074283859.db2.gz CEIBCZBTJWLSRJ-LBPRGKRZSA-N 0 0 433.328 -0.207 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001326640918 1074283760 /nfs/dbraw/zinc/28/37/60/1074283760.db2.gz HUGHHPSHEKXVJI-HNNXBMFYSA-N 0 0 446.547 -0.187 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001326640920 1074283772 /nfs/dbraw/zinc/28/37/72/1074283772.db2.gz HUGHHPSHEKXVJI-OAHLLOKOSA-N 0 0 446.547 -0.187 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NC[C@@H](O)CN1CCCC1=O ZINC001326642993 1074283700 /nfs/dbraw/zinc/28/37/00/1074283700.db2.gz UVEKPJGRQYAFDA-GFCCVEGCSA-N 0 0 434.312 -0.173 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NC[C@H](O)CN1CCCC1=O ZINC001326642994 1074283906 /nfs/dbraw/zinc/28/39/06/1074283906.db2.gz UVEKPJGRQYAFDA-LBPRGKRZSA-N 0 0 434.312 -0.173 20 0 IBADRN Cc1nc(Cn2cc(C(=O)N(C)C3CCN(CC(=O)N4CCN(C)CC4)CC3)nn2)no1 ZINC001326657013 1074283900 /nfs/dbraw/zinc/28/39/00/1074283900.db2.gz CTJOMSQXJIQMIA-UHFFFAOYSA-N 0 0 445.528 -0.672 20 0 IBADRN O=C(c1ccc2oc(=O)[nH]c2c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001326679639 1074283917 /nfs/dbraw/zinc/28/39/17/1074283917.db2.gz KVFWKQKHTOPGPG-GFCCVEGCSA-N 0 0 429.476 -0.192 20 0 IBADRN O=C(c1ccc2oc(=O)[nH]c2c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001326679641 1074283924 /nfs/dbraw/zinc/28/39/24/1074283924.db2.gz KVFWKQKHTOPGPG-LBPRGKRZSA-N 0 0 429.476 -0.192 20 0 IBADRN O=C(C1CCN(c2ccc3nncn3n2)CC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001326697097 1074283802 /nfs/dbraw/zinc/28/38/02/1074283802.db2.gz HXJKRWALZAQNGY-UHFFFAOYSA-N 0 0 445.509 -0.403 20 0 IBADRN O=C(CCSCCC(=O)NC[C@@H]1CCCS1(=O)=O)NC[C@@H]1CCCS1(=O)=O ZINC001326701868 1074283814 /nfs/dbraw/zinc/28/38/14/1074283814.db2.gz RCCOMAIWNLCRAY-KBPBESRZSA-N 0 0 440.609 -0.113 20 0 IBADRN O=C(CCSCCC(=O)NC[C@@H]1CCCS1(=O)=O)NC[C@H]1CCCS1(=O)=O ZINC001326701869 1074283782 /nfs/dbraw/zinc/28/37/82/1074283782.db2.gz RCCOMAIWNLCRAY-OKILXGFUSA-N 0 0 440.609 -0.113 20 0 IBADRN O=C(CCSCCC(=O)NC[C@H]1CCCS1(=O)=O)NC[C@H]1CCCS1(=O)=O ZINC001326701870 1074283822 /nfs/dbraw/zinc/28/38/22/1074283822.db2.gz RCCOMAIWNLCRAY-ZIAGYGMSSA-N 0 0 440.609 -0.113 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OCC3(O)CCS(=O)(=O)CC3)cc2)CC1 ZINC001326704984 1074284203 /nfs/dbraw/zinc/28/42/03/1074284203.db2.gz QOWHUCHQKLHHIX-UHFFFAOYSA-N 0 0 446.547 -0.278 20 0 IBADRN O=C(CN1CCC[C@@]2(C1)NC(=O)NC2=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001326722017 1074284258 /nfs/dbraw/zinc/28/42/58/1074284258.db2.gz ZNXWNEBIHZQMIR-NRFANRHFSA-N 0 0 429.477 -0.551 20 0 IBADRN O=C(CN1CCC[C@]2(C1)NC(=O)NC2=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001326722020 1074284308 /nfs/dbraw/zinc/28/43/08/1074284308.db2.gz ZNXWNEBIHZQMIR-OAQYLSRUSA-N 0 0 429.477 -0.551 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)CC1 ZINC001326726586 1074284161 /nfs/dbraw/zinc/28/41/61/1074284161.db2.gz YCPKCMHLFNGMKC-INIZCTEOSA-N 0 0 436.600 -0.295 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)CC1 ZINC001326726588 1074284293 /nfs/dbraw/zinc/28/42/93/1074284293.db2.gz YCPKCMHLFNGMKC-MRXNPFEDSA-N 0 0 436.600 -0.295 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC001326741981 1074284417 /nfs/dbraw/zinc/28/44/17/1074284417.db2.gz NDKCEMCFTMQQEN-UHFFFAOYSA-N 0 0 448.567 -0.596 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@@]1(O)CCO[C@@H]1C ZINC001326744364 1074284328 /nfs/dbraw/zinc/28/43/28/1074284328.db2.gz AXPNXMNCCTWGLZ-MUKKUYKPSA-N 0 0 428.507 -0.116 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@@]1(O)CCO[C@H]1C ZINC001326744365 1074284390 /nfs/dbraw/zinc/28/43/90/1074284390.db2.gz AXPNXMNCCTWGLZ-OHNRDTAOSA-N 0 0 428.507 -0.116 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@]1(O)CCO[C@H]1C ZINC001326744366 1074284397 /nfs/dbraw/zinc/28/43/97/1074284397.db2.gz AXPNXMNCCTWGLZ-ZBQZNYHESA-N 0 0 428.507 -0.116 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@]1(O)CCO[C@@H]1C ZINC001326744367 1074284407 /nfs/dbraw/zinc/28/44/07/1074284407.db2.gz AXPNXMNCCTWGLZ-ZXYWRSMDSA-N 0 0 428.507 -0.116 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001326745903 1074284192 /nfs/dbraw/zinc/28/41/92/1074284192.db2.gz KNCTWCIUTPQORS-JLJPHGGASA-N 0 0 434.536 -0.576 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001326745904 1074284287 /nfs/dbraw/zinc/28/42/87/1074284287.db2.gz KNCTWCIUTPQORS-LXZKKBNFSA-N 0 0 434.536 -0.576 20 0 IBADRN C[C@@H]1OCC[C@]1(O)CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001326745905 1074284241 /nfs/dbraw/zinc/28/42/41/1074284241.db2.gz KNCTWCIUTPQORS-QRTARXTBSA-N 0 0 434.536 -0.576 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001326745906 1074284180 /nfs/dbraw/zinc/28/41/80/1074284180.db2.gz KNCTWCIUTPQORS-YSVLISHTSA-N 0 0 434.536 -0.576 20 0 IBADRN CCN(C(=O)CS(=O)(=O)c1nnc(NCCOC)s1)[C@H]1CCS(=O)(=O)C1 ZINC001326761242 1074284217 /nfs/dbraw/zinc/28/42/17/1074284217.db2.gz BIAMJQMMGSZIFI-JTQLQIEISA-N 0 0 426.542 -0.594 20 0 IBADRN CCN(C(=O)CS(=O)(=O)c1nnc(NCCOC)s1)[C@@H]1CCS(=O)(=O)C1 ZINC001326761243 1074284232 /nfs/dbraw/zinc/28/42/32/1074284232.db2.gz BIAMJQMMGSZIFI-SNVBAGLBSA-N 0 0 426.542 -0.594 20 0 IBADRN COCC(=O)N1CCN(C(=O)CCCCC(=O)N2CCN(C(=O)COC)CC2)CC1 ZINC001326761269 1074284341 /nfs/dbraw/zinc/28/43/41/1074284341.db2.gz CPWWIUKOQHBIAY-UHFFFAOYSA-N 0 0 426.514 -0.819 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1F ZINC001326764558 1074284910 /nfs/dbraw/zinc/28/49/10/1074284910.db2.gz UGRJQMFDJPMGTB-UHFFFAOYSA-N 0 0 440.457 -0.565 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1F ZINC001326764991 1074284706 /nfs/dbraw/zinc/28/47/06/1074284706.db2.gz XNCKVQHWAWUMQS-CVEARBPZSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc1F ZINC001326764992 1074284765 /nfs/dbraw/zinc/28/47/65/1074284765.db2.gz XNCKVQHWAWUMQS-HOTGVXAUSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1F ZINC001326764993 1074284736 /nfs/dbraw/zinc/28/47/36/1074284736.db2.gz XNCKVQHWAWUMQS-HZPDHXFCSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc1F ZINC001326764994 1074284728 /nfs/dbraw/zinc/28/47/28/1074284728.db2.gz XNCKVQHWAWUMQS-JKSUJKDBSA-N 0 0 435.499 -0.440 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@]4(CNC(=O)C4)C3)cc2)CC1 ZINC001326776455 1074284744 /nfs/dbraw/zinc/28/47/44/1074284744.db2.gz TUULOZJTWVXAOU-FQEVSTJZSA-N 0 0 434.518 -0.108 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@@]4(CNC(=O)C4)C3)cc2)CC1 ZINC001326776458 1074284760 /nfs/dbraw/zinc/28/47/60/1074284760.db2.gz TUULOZJTWVXAOU-HXUWFJFHSA-N 0 0 434.518 -0.108 20 0 IBADRN CN(C(=O)c1ccc2[nH]c(=O)c(=O)[nH]c2c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001326777236 1074284880 /nfs/dbraw/zinc/28/48/80/1074284880.db2.gz QQAMHLDQOLNAQD-UHFFFAOYSA-N 0 0 442.520 -0.473 20 0 IBADRN Cc1ccn(C[C@](C)(O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)c(=O)c1 ZINC001326783198 1074284697 /nfs/dbraw/zinc/28/46/97/1074284697.db2.gz XXSBPGIWNZGQDN-NRFANRHFSA-N 0 0 428.493 -0.238 20 0 IBADRN Cc1ccn(C[C@@](C)(O)C(=O)N2CCN(c3nnc(C)c(C)c3C(N)=O)CC2)c(=O)c1 ZINC001326783201 1074284808 /nfs/dbraw/zinc/28/48/08/1074284808.db2.gz XXSBPGIWNZGQDN-OAQYLSRUSA-N 0 0 428.493 -0.238 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1CCS(=O)(=O)C1)N(CC(F)F)C(=O)[C@@H]1CCS(=O)(=O)C1 ZINC001326787117 1074284722 /nfs/dbraw/zinc/28/47/22/1074284722.db2.gz JWXBZXFIVJJZSA-QJPTWQEYSA-N 0 0 430.495 -0.546 20 0 IBADRN C[C@@H](CNC(=O)[C@H]1CCS(=O)(=O)C1)N(CC(F)F)C(=O)[C@@H]1CCS(=O)(=O)C1 ZINC001326787118 1074284752 /nfs/dbraw/zinc/28/47/52/1074284752.db2.gz JWXBZXFIVJJZSA-SDDRHHMPSA-N 0 0 430.495 -0.546 20 0 IBADRN C[C@@H](CNC(=O)[C@H]1CCS(=O)(=O)C1)N(CC(F)F)C(=O)[C@H]1CCS(=O)(=O)C1 ZINC001326787120 1074284687 /nfs/dbraw/zinc/28/46/87/1074284687.db2.gz JWXBZXFIVJJZSA-SRVKXCTJSA-N 0 0 430.495 -0.546 20 0 IBADRN C[C@@H](CNC(=O)[C@@H]1CCS(=O)(=O)C1)N(CC(F)F)C(=O)[C@H]1CCS(=O)(=O)C1 ZINC001326787122 1074284817 /nfs/dbraw/zinc/28/48/17/1074284817.db2.gz JWXBZXFIVJJZSA-TUAOUCFPSA-N 0 0 430.495 -0.546 20 0 IBADRN Cn1ncc(C(=O)NCCNS(=O)(=O)Cc2ccon2)c1I ZINC001326804548 1074284850 /nfs/dbraw/zinc/28/48/50/1074284850.db2.gz XVCMCAVJZRIPFO-UHFFFAOYSA-N 0 0 439.235 -0.138 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CSc1nnnn1CC(F)(F)F ZINC001326808612 1074285228 /nfs/dbraw/zinc/28/52/28/1074285228.db2.gz CCCXGIPOJXEXTA-MRVPVSSYSA-N 0 0 430.434 -0.911 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CSc1nnnn1CC(F)(F)F ZINC001326808613 1074285127 /nfs/dbraw/zinc/28/51/27/1074285127.db2.gz CCCXGIPOJXEXTA-QMMMGPOBSA-N 0 0 430.434 -0.911 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCc2cnccn2)CCN1C(=O)CCc1cnccn1 ZINC001326836498 1074285232 /nfs/dbraw/zinc/28/52/32/1074285232.db2.gz WJTORKRKFJJTSX-GOSISDBHSA-N 0 0 425.493 -0.040 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCc2cnccn2)CCN1C(=O)CCc1cnccn1 ZINC001326836500 1074285220 /nfs/dbraw/zinc/28/52/20/1074285220.db2.gz WJTORKRKFJJTSX-SFHVURJKSA-N 0 0 425.493 -0.040 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001326855588 1074285257 /nfs/dbraw/zinc/28/52/57/1074285257.db2.gz ZWKFPXZQOKKDPY-INIZCTEOSA-N 0 0 427.479 -0.807 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001326855590 1074285212 /nfs/dbraw/zinc/28/52/12/1074285212.db2.gz ZWKFPXZQOKKDPY-MRXNPFEDSA-N 0 0 427.479 -0.807 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC001326864729 1074285248 /nfs/dbraw/zinc/28/52/48/1074285248.db2.gz VBWFAHWCFYDRJK-AUUYWEPGSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC001326864730 1074285143 /nfs/dbraw/zinc/28/51/43/1074285143.db2.gz VBWFAHWCFYDRJK-IFXJQAMLSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC001326864731 1074285186 /nfs/dbraw/zinc/28/51/86/1074285186.db2.gz VBWFAHWCFYDRJK-KUHUBIRLSA-N 0 0 425.507 -0.048 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC001326864732 1074285152 /nfs/dbraw/zinc/28/51/52/1074285152.db2.gz VBWFAHWCFYDRJK-LIRRHRJNSA-N 0 0 425.507 -0.048 20 0 IBADRN CS(=O)(=O)C1(CNC(=O)c2ccnc(C(=O)NCC3(S(C)(=O)=O)CC3)c2)CC1 ZINC001326880016 1074285192 /nfs/dbraw/zinc/28/51/92/1074285192.db2.gz UGMDVSCAGOBFFQ-UHFFFAOYSA-N 0 0 429.520 -0.304 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)NCC3(O)CCS(=O)(=O)CC3)cc2S1(=O)=O ZINC001326903317 1074285172 /nfs/dbraw/zinc/28/51/72/1074285172.db2.gz FUMYJDIPGNLOKD-UHFFFAOYSA-N 0 0 430.504 -0.091 20 0 IBADRN CS(=O)(=O)CCSCC(=O)N1CCN(C(=O)CSCCS(C)(=O)=O)CC1 ZINC001326914147 1074285138 /nfs/dbraw/zinc/28/51/38/1074285138.db2.gz AWHQCDPTKWHECE-UHFFFAOYSA-N 0 0 446.638 -0.787 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=S)NCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001326928478 1074285156 /nfs/dbraw/zinc/28/51/56/1074285156.db2.gz BVGHXKBEQKJGFQ-UHFFFAOYSA-N 0 0 427.552 -0.621 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCO1 ZINC001326930522 1074285265 /nfs/dbraw/zinc/28/52/65/1074285265.db2.gz KXYGTUCQRDKKRU-APWZRJJASA-N 0 0 425.507 -0.195 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCO1 ZINC001326930531 1074285217 /nfs/dbraw/zinc/28/52/17/1074285217.db2.gz KXYGTUCQRDKKRU-LPHOPBHVSA-N 0 0 425.507 -0.195 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCO1 ZINC001326930532 1074285164 /nfs/dbraw/zinc/28/51/64/1074285164.db2.gz KXYGTUCQRDKKRU-QFBILLFUSA-N 0 0 425.507 -0.195 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCO1 ZINC001326930533 1074285252 /nfs/dbraw/zinc/28/52/52/1074285252.db2.gz KXYGTUCQRDKKRU-VQIMIIECSA-N 0 0 425.507 -0.195 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(CO)COC3)CC2)cc1C ZINC001326942121 1074285238 /nfs/dbraw/zinc/28/52/38/1074285238.db2.gz CNZPMORPLXYGMJ-UHFFFAOYSA-N 0 0 426.491 -0.312 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(CO)COC3)CC2)cc1 ZINC001326943764 1074285626 /nfs/dbraw/zinc/28/56/26/1074285626.db2.gz MGTGENGAOZRXNP-UHFFFAOYSA-N 0 0 440.474 -0.726 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001326944387 1074285558 /nfs/dbraw/zinc/28/55/58/1074285558.db2.gz MVIPGEFTRQVUTM-CRAIPNDOSA-N 0 0 445.563 -0.247 20 0 IBADRN CNC(=O)[C@]1(C)CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001326944388 1074285570 /nfs/dbraw/zinc/28/55/70/1074285570.db2.gz MVIPGEFTRQVUTM-MAUKXSAKSA-N 0 0 445.563 -0.247 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001326944389 1074285730 /nfs/dbraw/zinc/28/57/30/1074285730.db2.gz MVIPGEFTRQVUTM-QAPCUYQASA-N 0 0 445.563 -0.247 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001326944390 1074285775 /nfs/dbraw/zinc/28/57/75/1074285775.db2.gz MVIPGEFTRQVUTM-YJBOKZPZSA-N 0 0 445.563 -0.247 20 0 IBADRN Cc1ccc(NC(=O)COC(=O)C2(CO)COC2)cc1S(=O)(=O)N1CCOCC1 ZINC001326945295 1074285580 /nfs/dbraw/zinc/28/55/80/1074285580.db2.gz WZMPOACMRJPFLO-UHFFFAOYSA-N 0 0 428.463 -0.493 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)cc1Br ZINC001326977224 1074285689 /nfs/dbraw/zinc/28/56/89/1074285689.db2.gz BJIKYWUGJMXHED-AWEZNQCLSA-N 0 0 431.268 -0.089 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)cc1Br ZINC001326977234 1074285610 /nfs/dbraw/zinc/28/56/10/1074285610.db2.gz BJIKYWUGJMXHED-CQSZACIVSA-N 0 0 431.268 -0.089 20 0 IBADRN CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)[C@H]1CCN(C)C1=O ZINC001326982100 1074285684 /nfs/dbraw/zinc/28/56/84/1074285684.db2.gz FEYMAPQUWKITOI-CABCVRRESA-N 0 0 431.536 -0.543 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)[C@H]1CCN(C)C1=O ZINC001326982102 1074285538 /nfs/dbraw/zinc/28/55/38/1074285538.db2.gz FEYMAPQUWKITOI-GJZGRUSLSA-N 0 0 431.536 -0.543 20 0 IBADRN CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)[C@@H]1CCN(C)C1=O ZINC001326982112 1074285767 /nfs/dbraw/zinc/28/57/67/1074285767.db2.gz FEYMAPQUWKITOI-HUUCEWRRSA-N 0 0 431.536 -0.543 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)[C@@H]1CCN(C)C1=O ZINC001326982114 1074285669 /nfs/dbraw/zinc/28/56/69/1074285669.db2.gz FEYMAPQUWKITOI-LSDHHAIUSA-N 0 0 431.536 -0.543 20 0 IBADRN NS(=O)(=O)C[C@H]1CCCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC001327029581 1074285641 /nfs/dbraw/zinc/28/56/41/1074285641.db2.gz MTMOQKICDLNWGL-KRWDZBQOSA-N 0 0 438.550 -0.224 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC001327029582 1074285699 /nfs/dbraw/zinc/28/56/99/1074285699.db2.gz MTMOQKICDLNWGL-QGZVFWFLSA-N 0 0 438.550 -0.224 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC2(CC1)NC(=O)NC2=O ZINC001327030327 1074285721 /nfs/dbraw/zinc/28/57/21/1074285721.db2.gz SJUSSGDPOPHEER-UHFFFAOYSA-N 0 0 444.535 -0.615 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001327045870 1074285748 /nfs/dbraw/zinc/28/57/48/1074285748.db2.gz ARQVKUIUCYWEMP-HNNXBMFYSA-N 0 0 438.572 -0.578 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1 ZINC001327045872 1074285760 /nfs/dbraw/zinc/28/57/60/1074285760.db2.gz ARQVKUIUCYWEMP-OAHLLOKOSA-N 0 0 438.572 -0.578 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001327046882 1074285736 /nfs/dbraw/zinc/28/57/36/1074285736.db2.gz BPRMBFQXNQXPRO-DOMZBBRYSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001327046885 1074285782 /nfs/dbraw/zinc/28/57/82/1074285782.db2.gz BPRMBFQXNQXPRO-IUODEOHRSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001327046887 1074286114 /nfs/dbraw/zinc/28/61/14/1074286114.db2.gz BPRMBFQXNQXPRO-SWLSCSKDSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001327046895 1074286247 /nfs/dbraw/zinc/28/62/47/1074286247.db2.gz BPRMBFQXNQXPRO-WFASDCNBSA-N 0 0 438.549 -0.391 20 0 IBADRN COCCn1cc(CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)cn1 ZINC001327047445 1074286342 /nfs/dbraw/zinc/28/63/42/1074286342.db2.gz XFOZCUWANGUZBW-UHFFFAOYSA-N 0 0 425.515 -0.085 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001327049070 1074286234 /nfs/dbraw/zinc/28/62/34/1074286234.db2.gz OJRPMNUVSJCPTM-UHFFFAOYSA-N 0 0 432.524 -0.647 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(S(=O)(=O)N(C)OC)cc2)CCS(=O)(=O)CC1 ZINC001327067170 1074286124 /nfs/dbraw/zinc/28/61/24/1074286124.db2.gz NISSAMPEXNWOCW-UHFFFAOYSA-N 0 0 434.492 -0.281 20 0 IBADRN COC(=O)C1(NCC(=O)NCC(=O)Nc2cc(C)ccc2OC)CCS(=O)(=O)CC1 ZINC001327068398 1074286316 /nfs/dbraw/zinc/28/63/16/1074286316.db2.gz SYJKOODZEXZZJC-UHFFFAOYSA-N 0 0 441.506 -0.232 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC001327075892 1074286088 /nfs/dbraw/zinc/28/60/88/1074286088.db2.gz UVJTZUAPYYKBCX-KFWWJZLASA-N 0 0 447.579 -0.658 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC001327075895 1074286350 /nfs/dbraw/zinc/28/63/50/1074286350.db2.gz UVJTZUAPYYKBCX-RBSFLKMASA-N 0 0 447.579 -0.658 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC001327075899 1074286099 /nfs/dbraw/zinc/28/60/99/1074286099.db2.gz UVJTZUAPYYKBCX-RRFJBIMHSA-N 0 0 447.579 -0.658 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC001327075902 1074286059 /nfs/dbraw/zinc/28/60/59/1074286059.db2.gz UVJTZUAPYYKBCX-ZNMIVQPWSA-N 0 0 447.579 -0.658 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)c1 ZINC001327077666 1074286210 /nfs/dbraw/zinc/28/62/10/1074286210.db2.gz FYZHRIDJBCTCIA-UHFFFAOYSA-N 0 0 432.481 -0.236 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc3c(c2)CCN3S(C)(=O)=O)CCS(=O)(=O)CC1 ZINC001327081012 1074286276 /nfs/dbraw/zinc/28/62/76/1074286276.db2.gz YWBRWNUUHXTRPO-UHFFFAOYSA-N 0 0 430.504 -0.141 20 0 IBADRN COC(=O)C1(NC(=O)CNC(=O)c2ccc(OC)c(OC)c2)CCS(=O)(=O)CC1 ZINC001327084925 1074286193 /nfs/dbraw/zinc/28/61/93/1074286193.db2.gz IKQXTTPZLCYVTB-UHFFFAOYSA-N 0 0 428.463 -0.330 20 0 IBADRN COC(=O)C1(NC(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)CCS(=O)(=O)CC1 ZINC001327085007 1074286074 /nfs/dbraw/zinc/28/60/74/1074286074.db2.gz KGRHQGXMPLBLLH-UHFFFAOYSA-N 0 0 432.520 -0.284 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1C ZINC001327093780 1074286355 /nfs/dbraw/zinc/28/63/55/1074286355.db2.gz YDNROSHZKIXPMD-CHWSQXEVSA-N 0 0 436.560 -0.944 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1C ZINC001327093782 1074286172 /nfs/dbraw/zinc/28/61/72/1074286172.db2.gz YDNROSHZKIXPMD-OLZOCXBDSA-N 0 0 436.560 -0.944 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1C ZINC001327093784 1074286763 /nfs/dbraw/zinc/28/67/63/1074286763.db2.gz YDNROSHZKIXPMD-QWHCGFSZSA-N 0 0 436.560 -0.944 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1C ZINC001327093786 1074286713 /nfs/dbraw/zinc/28/67/13/1074286713.db2.gz YDNROSHZKIXPMD-STQMWFEESA-N 0 0 436.560 -0.944 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cnn2c1C[C@H](CNC(C)=O)CC2 ZINC001327096879 1074286737 /nfs/dbraw/zinc/28/67/37/1074286737.db2.gz NQBCPMYHDHXILM-PBHICJAKSA-N 0 0 435.481 -0.898 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cnn2c1C[C@H](CNC(C)=O)CC2 ZINC001327096880 1074286685 /nfs/dbraw/zinc/28/66/85/1074286685.db2.gz NQBCPMYHDHXILM-RHSMWYFYSA-N 0 0 435.481 -0.898 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cnn2c1C[C@@H](CNC(C)=O)CC2 ZINC001327096881 1074286706 /nfs/dbraw/zinc/28/67/06/1074286706.db2.gz NQBCPMYHDHXILM-WMLDXEAASA-N 0 0 435.481 -0.898 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cnn2c1C[C@@H](CNC(C)=O)CC2 ZINC001327096882 1074286695 /nfs/dbraw/zinc/28/66/95/1074286695.db2.gz NQBCPMYHDHXILM-YOEHRIQHSA-N 0 0 435.481 -0.898 20 0 IBADRN Cn1cc(CN(CCn2ccnc2)C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cn1 ZINC001327099734 1074286744 /nfs/dbraw/zinc/28/67/44/1074286744.db2.gz UPTMAHGZKHVAAK-INIZCTEOSA-N 0 0 447.521 -0.189 20 0 IBADRN Cn1cc(CN(CCn2ccnc2)C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cn1 ZINC001327099735 1074286757 /nfs/dbraw/zinc/28/67/57/1074286757.db2.gz UPTMAHGZKHVAAK-MRXNPFEDSA-N 0 0 447.521 -0.189 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@H](O)CN2CCCC2=O)c1 ZINC001327101177 1074286603 /nfs/dbraw/zinc/28/66/03/1074286603.db2.gz JLJVOBMHSAVVIL-AWEZNQCLSA-N 0 0 433.552 -0.021 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H](O)CN2CCCC2=O)c1 ZINC001327101186 1074286753 /nfs/dbraw/zinc/28/67/53/1074286753.db2.gz JLJVOBMHSAVVIL-CQSZACIVSA-N 0 0 433.552 -0.021 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC[C@@H](CO)[C@H](O)C3)ccc2F)CC1 ZINC001327112326 1074286722 /nfs/dbraw/zinc/28/67/22/1074286722.db2.gz DMGCSULJFUOLNN-DOTOQJQBSA-N 0 0 443.497 -0.506 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC[C@H](CO)[C@H](O)C3)ccc2F)CC1 ZINC001327112329 1074286659 /nfs/dbraw/zinc/28/66/59/1074286659.db2.gz DMGCSULJFUOLNN-NVXWUHKLSA-N 0 0 443.497 -0.506 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC[C@@H](CO)[C@@H](O)C3)ccc2F)CC1 ZINC001327112333 1074286617 /nfs/dbraw/zinc/28/66/17/1074286617.db2.gz DMGCSULJFUOLNN-RDJZCZTQSA-N 0 0 443.497 -0.506 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC[C@H](CO)[C@@H](O)C3)ccc2F)CC1 ZINC001327112336 1074286766 /nfs/dbraw/zinc/28/67/66/1074286766.db2.gz DMGCSULJFUOLNN-WBVHZDCISA-N 0 0 443.497 -0.506 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3CCN(C(N)=O)C3)ccc2F)CC1 ZINC001327114971 1074286667 /nfs/dbraw/zinc/28/66/67/1074286667.db2.gz XKJDZCLULIQPJG-AWEZNQCLSA-N 0 0 441.485 -0.439 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3CCN(C(N)=O)C3)ccc2F)CC1 ZINC001327114972 1074286730 /nfs/dbraw/zinc/28/67/30/1074286730.db2.gz XKJDZCLULIQPJG-CQSZACIVSA-N 0 0 441.485 -0.439 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001327134994 1074286771 /nfs/dbraw/zinc/28/67/71/1074286771.db2.gz ORLDUIAKGWPLCB-GFCCVEGCSA-N 0 0 433.328 -0.207 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)CNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001327134997 1074286631 /nfs/dbraw/zinc/28/66/31/1074286631.db2.gz ORLDUIAKGWPLCB-LBPRGKRZSA-N 0 0 433.328 -0.207 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC1CCN(C(=O)C(C)(C)C)CC1)C2 ZINC001327142522 1074287249 /nfs/dbraw/zinc/28/72/49/1074287249.db2.gz XGTYQUBRULDQRQ-UHFFFAOYSA-N 0 0 435.529 -0.305 20 0 IBADRN CN1CCN(C(=O)CC2CCN(C(=O)CCn3cc(S(N)(=O)=O)cn3)CC2)CC1 ZINC001327153877 1074287206 /nfs/dbraw/zinc/28/72/06/1074287206.db2.gz SIKPEELMQGRBTE-UHFFFAOYSA-N 0 0 426.543 -0.677 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCCC2)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001327159938 1074287264 /nfs/dbraw/zinc/28/72/64/1074287264.db2.gz LYJZDSRQCILGID-UHFFFAOYSA-N 0 0 436.494 -0.174 20 0 IBADRN CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC001327166797 1074287126 /nfs/dbraw/zinc/28/71/26/1074287126.db2.gz FERMAJGBMJKQPG-CVEARBPZSA-N 0 0 442.586 -0.137 20 0 IBADRN CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC001327166811 1074287259 /nfs/dbraw/zinc/28/72/59/1074287259.db2.gz FERMAJGBMJKQPG-HOTGVXAUSA-N 0 0 442.586 -0.137 20 0 IBADRN CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC001327166812 1074287116 /nfs/dbraw/zinc/28/71/16/1074287116.db2.gz FERMAJGBMJKQPG-HZPDHXFCSA-N 0 0 442.586 -0.137 20 0 IBADRN CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC001327166813 1074287050 /nfs/dbraw/zinc/28/70/50/1074287050.db2.gz FERMAJGBMJKQPG-JKSUJKDBSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CCNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001327170648 1074287225 /nfs/dbraw/zinc/28/72/25/1074287225.db2.gz JEJUKOBTUQAIDH-UHFFFAOYSA-N 0 0 439.538 -0.360 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CCC(=O)N2CCOCC2)CC3)CC1 ZINC001327191363 1074287180 /nfs/dbraw/zinc/28/71/80/1074287180.db2.gz PGRMYFMSQDIYNT-KRWDZBQOSA-N 0 0 446.552 -0.412 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CCC(=O)N2CCOCC2)CC3)CC1 ZINC001327191364 1074287092 /nfs/dbraw/zinc/28/70/92/1074287092.db2.gz PGRMYFMSQDIYNT-QGZVFWFLSA-N 0 0 446.552 -0.412 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC001327204507 1074287079 /nfs/dbraw/zinc/28/70/79/1074287079.db2.gz DLUUMBHQSPUCKG-UHFFFAOYSA-N 0 0 431.540 -0.909 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC2)CCO1 ZINC001327205896 1074287004 /nfs/dbraw/zinc/28/70/04/1074287004.db2.gz QTQPAWPJRNLRJD-BFHYXJOUSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC2)CCO1 ZINC001327206112 1074287546 /nfs/dbraw/zinc/28/75/46/1074287546.db2.gz QTQPAWPJRNLRJD-HZSPNIEDSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCOC2)CCO1 ZINC001327206113 1074287553 /nfs/dbraw/zinc/28/75/53/1074287553.db2.gz QTQPAWPJRNLRJD-MELADBBJSA-N 0 0 431.515 -0.307 20 0 IBADRN COC(=O)C[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCOC2)CCO1 ZINC001327206114 1074287470 /nfs/dbraw/zinc/28/74/70/1074287470.db2.gz QTQPAWPJRNLRJD-MGPQQGTHSA-N 0 0 431.515 -0.307 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001327341455 1074287578 /nfs/dbraw/zinc/28/75/78/1074287578.db2.gz AGPILYMZAKORSN-ARFHVFGLSA-N 0 0 428.555 -0.884 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001327341456 1074287479 /nfs/dbraw/zinc/28/74/79/1074287479.db2.gz AGPILYMZAKORSN-BZUAXINKSA-N 0 0 428.555 -0.884 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001327341457 1074287631 /nfs/dbraw/zinc/28/76/31/1074287631.db2.gz AGPILYMZAKORSN-HRCADAONSA-N 0 0 428.555 -0.884 20 0 IBADRN NC(=O)CN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001327341459 1074287693 /nfs/dbraw/zinc/28/76/93/1074287693.db2.gz AGPILYMZAKORSN-OWCLPIDISA-N 0 0 428.555 -0.884 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001327378634 1074287623 /nfs/dbraw/zinc/28/76/23/1074287623.db2.gz ZSHCSYKLFIHBEN-KBPBESRZSA-N 0 0 445.581 -0.969 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001327378635 1074287708 /nfs/dbraw/zinc/28/77/08/1074287708.db2.gz ZSHCSYKLFIHBEN-KGLIPLIRSA-N 0 0 445.581 -0.969 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001327378636 1074287559 /nfs/dbraw/zinc/28/75/59/1074287559.db2.gz ZSHCSYKLFIHBEN-UONOGXRCSA-N 0 0 445.581 -0.969 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001327378637 1074287457 /nfs/dbraw/zinc/28/74/57/1074287457.db2.gz ZSHCSYKLFIHBEN-ZIAGYGMSSA-N 0 0 445.581 -0.969 20 0 IBADRN CC(C)c1noc(CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC001327395844 1074287650 /nfs/dbraw/zinc/28/76/50/1074287650.db2.gz AQLAEEPXFMJKHG-UHFFFAOYSA-N 0 0 429.547 -0.445 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)CN2C(=O)c3ccccc3S2(=O)=O)CC1 ZINC001327395974 1074287670 /nfs/dbraw/zinc/28/76/70/1074287670.db2.gz GMUYISLRPYPGHT-UHFFFAOYSA-N 0 0 429.520 -0.544 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)NC2CCN(CC(=O)N(C)C)CC2)CC1 ZINC001327396574 1074287564 /nfs/dbraw/zinc/28/75/64/1074287564.db2.gz LEHJUMNVACFJAV-UHFFFAOYSA-N 0 0 426.558 -0.007 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)CC1 ZINC001327439291 1074287683 /nfs/dbraw/zinc/28/76/83/1074287683.db2.gz SHAOBLDKWVBNJJ-UHFFFAOYSA-N 0 0 431.559 -0.725 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)c2ccc3c(c2)S(=O)(=O)N=C2NC=NN23)CC1 ZINC001327439375 1074287519 /nfs/dbraw/zinc/28/75/19/1074287519.db2.gz TZXNNKGHXDBYEA-UHFFFAOYSA-N 0 0 426.480 -0.795 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1sccc1S(=O)(=O)N(C)C ZINC001327493873 1074288179 /nfs/dbraw/zinc/28/81/79/1074288179.db2.gz CDIOTDUDCALQSA-LLVKDONJSA-N 0 0 437.565 -0.236 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1sccc1S(=O)(=O)N(C)C ZINC001327493875 1074288012 /nfs/dbraw/zinc/28/80/12/1074288012.db2.gz CDIOTDUDCALQSA-NSHDSACASA-N 0 0 437.565 -0.236 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2c(F)cccc2NS(C)(=O)=O)CC1 ZINC001327498307 1074287899 /nfs/dbraw/zinc/28/78/99/1074287899.db2.gz GKYZPGPRWIRHEF-UHFFFAOYSA-N 0 0 437.519 -0.169 20 0 IBADRN CN(CCCNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1)S(C)(=O)=O ZINC001327499153 1074288123 /nfs/dbraw/zinc/28/81/23/1074288123.db2.gz MWKCBGXTDNEYPC-UHFFFAOYSA-N 0 0 440.588 -0.460 20 0 IBADRN O=S(=O)(NCCN1CC=CC1)c1ccc(S(=O)(=O)NCCN2CC=CC2)cc1 ZINC001327516866 1074288181 /nfs/dbraw/zinc/28/81/81/1074288181.db2.gz GZTYYUXEQSWCKL-UHFFFAOYSA-N 0 0 426.564 -0.013 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC001327532271 1074288111 /nfs/dbraw/zinc/28/81/11/1074288111.db2.gz JPNCZRQJHCXGMX-UHFFFAOYSA-N 0 0 435.524 -0.858 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCCN(C)S(C)(=O)=O)CC1 ZINC001327537583 1074288158 /nfs/dbraw/zinc/28/81/58/1074288158.db2.gz FJLLPZRSKWPNEJ-UHFFFAOYSA-N 0 0 427.545 -0.580 20 0 IBADRN O=C(CN(CC(=O)N1CCn2cnnc2C1)Cc1ccccc1)N1CCn2cnnc2C1 ZINC001327585902 1074287948 /nfs/dbraw/zinc/28/79/48/1074287948.db2.gz RGJHERRLMWSTSH-UHFFFAOYSA-N 0 0 435.492 -0.244 20 0 IBADRN NC(=O)c1ccc(N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)nc1 ZINC001327596983 1074287984 /nfs/dbraw/zinc/28/79/84/1074287984.db2.gz YPUITUWWQBKFHL-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC[C@H](N4CCNC4=O)C3)CC2)cn1 ZINC001327619120 1074287961 /nfs/dbraw/zinc/28/79/61/1074287961.db2.gz PEJUFZAFXGJCMG-HNNXBMFYSA-N 0 0 439.542 -0.228 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC[C@@H](N4CCNC4=O)C3)CC2)cn1 ZINC001327619122 1074288146 /nfs/dbraw/zinc/28/81/46/1074288146.db2.gz PEJUFZAFXGJCMG-OAHLLOKOSA-N 0 0 439.542 -0.228 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1 ZINC001327636499 1074287917 /nfs/dbraw/zinc/28/79/17/1074287917.db2.gz NFGFJGRZSYYDDA-UHFFFAOYSA-N 0 0 426.495 -0.899 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)NCCN1CCOCC1 ZINC001327640827 1074287933 /nfs/dbraw/zinc/28/79/33/1074287933.db2.gz JTVDNQGZFFTACV-APWZRJJASA-N 0 0 436.557 -0.250 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)NCCN1CCOCC1 ZINC001327640829 1074288136 /nfs/dbraw/zinc/28/81/36/1074288136.db2.gz JTVDNQGZFFTACV-LPHOPBHVSA-N 0 0 436.557 -0.250 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)NCCN1CCOCC1 ZINC001327640832 1074288193 /nfs/dbraw/zinc/28/81/93/1074288193.db2.gz JTVDNQGZFFTACV-QFBILLFUSA-N 0 0 436.557 -0.250 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)NCCN1CCOCC1 ZINC001327640834 1074287994 /nfs/dbraw/zinc/28/79/94/1074287994.db2.gz JTVDNQGZFFTACV-VQIMIIECSA-N 0 0 436.557 -0.250 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(CN3CCCC3)on2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001327664746 1074288025 /nfs/dbraw/zinc/28/80/25/1074288025.db2.gz KRRXCUKIIIXLAB-HNNXBMFYSA-N 0 0 439.538 -0.669 20 0 IBADRN O=C(CN1CCN(C(=O)c2cc(CN3CCCC3)on2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001327664747 1074288066 /nfs/dbraw/zinc/28/80/66/1074288066.db2.gz KRRXCUKIIIXLAB-OAHLLOKOSA-N 0 0 439.538 -0.669 20 0 IBADRN O=C(N[C@H]1CCCS(=O)(=O)C1)c1ccc(C(=O)N[C@H]2CCCS(=O)(=O)C2)nc1 ZINC001327665008 1074288100 /nfs/dbraw/zinc/28/81/00/1074288100.db2.gz PGYPYCUDZCMVSO-KBPBESRZSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)c1ccc(C(=O)N[C@H]2CCCS(=O)(=O)C2)nc1 ZINC001327665012 1074288420 /nfs/dbraw/zinc/28/84/20/1074288420.db2.gz PGYPYCUDZCMVSO-KGLIPLIRSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(N[C@H]1CCCS(=O)(=O)C1)c1ccc(C(=O)N[C@@H]2CCCS(=O)(=O)C2)nc1 ZINC001327665015 1074288531 /nfs/dbraw/zinc/28/85/31/1074288531.db2.gz PGYPYCUDZCMVSO-UONOGXRCSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(N[C@@H]1CCCS(=O)(=O)C1)c1ccc(C(=O)N[C@@H]2CCCS(=O)(=O)C2)nc1 ZINC001327665018 1074288452 /nfs/dbraw/zinc/28/84/52/1074288452.db2.gz PGYPYCUDZCMVSO-ZIAGYGMSSA-N 0 0 429.520 -0.304 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(C(=O)N2C[C@H](O)C[C@H]2C(=O)OC)s1 ZINC001327666068 1074288366 /nfs/dbraw/zinc/28/83/66/1074288366.db2.gz JIBQAWZDDHBZSI-WYUUTHIRSA-N 0 0 426.447 -0.755 20 0 IBADRN Cc1cc(C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc(C(=O)N2CCN3C(=O)NC[C@H]3C2)c1 ZINC001327666881 1074288514 /nfs/dbraw/zinc/28/85/14/1074288514.db2.gz XAGNCBODDVHRHI-CALCHBBNSA-N 0 0 426.477 -0.306 20 0 IBADRN Cc1cc(C(=O)N2CCN3C(=O)NC[C@@H]3C2)cc(C(=O)N2CCN3C(=O)NC[C@@H]3C2)c1 ZINC001327666882 1074288521 /nfs/dbraw/zinc/28/85/21/1074288521.db2.gz XAGNCBODDVHRHI-IAGOWNOFSA-N 0 0 426.477 -0.306 20 0 IBADRN Cc1cc(C(=O)N2CCN3C(=O)NC[C@H]3C2)cc(C(=O)N2CCN3C(=O)NC[C@H]3C2)c1 ZINC001327666883 1074288387 /nfs/dbraw/zinc/28/83/87/1074288387.db2.gz XAGNCBODDVHRHI-IRXDYDNUSA-N 0 0 426.477 -0.306 20 0 IBADRN O=C(Cn1cc(NC(=O)C2(S(=O)(=O)c3ccccc3)CCOCC2)cn1)NCCO ZINC001327667109 1074288468 /nfs/dbraw/zinc/28/84/68/1074288468.db2.gz YTQRPJLJLMXTEJ-UHFFFAOYSA-N 0 0 436.490 -0.047 20 0 IBADRN NC(=O)N1CCC[C@@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001327686452 1074288438 /nfs/dbraw/zinc/28/84/38/1074288438.db2.gz CVGNTLNDANBEBI-BJJXKVORSA-N 0 0 428.486 -0.144 20 0 IBADRN NC(=O)N1CCC[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001327686454 1074288398 /nfs/dbraw/zinc/28/83/98/1074288398.db2.gz CVGNTLNDANBEBI-XPKDYRNWSA-N 0 0 428.486 -0.144 20 0 IBADRN CC(=O)N1CCC(N(Cc2cncs2)S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001327692956 1074288499 /nfs/dbraw/zinc/28/84/99/1074288499.db2.gz TYVXCNPUUXIQJB-UHFFFAOYSA-N 0 0 441.535 -0.258 20 0 IBADRN O=C(NCc1c(F)cc(F)c(F)c1F)C(=O)N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001327702217 1074288428 /nfs/dbraw/zinc/28/84/28/1074288428.db2.gz PEGVFPVDGGLMEQ-UHFFFAOYSA-N 0 0 430.358 -0.191 20 0 IBADRN CC(C)(C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)S(=O)(=O)C1CC1 ZINC001327715678 1074288409 /nfs/dbraw/zinc/28/84/09/1074288409.db2.gz ALNFBYSLVBTYNV-CYBMUJFWSA-N 0 0 436.552 -0.783 20 0 IBADRN CC(C)(C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)S(=O)(=O)C1CC1 ZINC001327715679 1074288460 /nfs/dbraw/zinc/28/84/60/1074288460.db2.gz ALNFBYSLVBTYNV-ZDUSSCGKSA-N 0 0 436.552 -0.783 20 0 IBADRN Cc1cc(NC(=O)CN(C)[C@H]2CCN(S(C)(=O)=O)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001327729234 1074288379 /nfs/dbraw/zinc/28/83/79/1074288379.db2.gz DIWASUKRHSCOER-KBPBESRZSA-N 0 0 433.556 -0.545 20 0 IBADRN Cc1cc(NC(=O)CN(C)[C@@H]2CCN(S(C)(=O)=O)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001327729235 1074288493 /nfs/dbraw/zinc/28/84/93/1074288493.db2.gz DIWASUKRHSCOER-KGLIPLIRSA-N 0 0 433.556 -0.545 20 0 IBADRN Cc1cc(NC(=O)CN(C)[C@H]2CCN(S(C)(=O)=O)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001327729236 1074288484 /nfs/dbraw/zinc/28/84/84/1074288484.db2.gz DIWASUKRHSCOER-UONOGXRCSA-N 0 0 433.556 -0.545 20 0 IBADRN Cc1cc(NC(=O)CN(C)[C@@H]2CCN(S(C)(=O)=O)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001327729237 1074288445 /nfs/dbraw/zinc/28/84/45/1074288445.db2.gz DIWASUKRHSCOER-ZIAGYGMSSA-N 0 0 433.556 -0.545 20 0 IBADRN O=C(CCn1cnccc1=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001327738639 1074288943 /nfs/dbraw/zinc/28/89/43/1074288943.db2.gz DWRMAEZHOWDWOH-CABCVRRESA-N 0 0 427.479 -0.629 20 0 IBADRN O=C(CCn1cnccc1=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001327738641 1074288966 /nfs/dbraw/zinc/28/89/66/1074288966.db2.gz DWRMAEZHOWDWOH-GJZGRUSLSA-N 0 0 427.479 -0.629 20 0 IBADRN O=C(CCn1cnccc1=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001327738644 1074288893 /nfs/dbraw/zinc/28/88/93/1074288893.db2.gz DWRMAEZHOWDWOH-HUUCEWRRSA-N 0 0 427.479 -0.629 20 0 IBADRN O=C(CCn1cnccc1=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001327738646 1074288881 /nfs/dbraw/zinc/28/88/81/1074288881.db2.gz DWRMAEZHOWDWOH-LSDHHAIUSA-N 0 0 427.479 -0.629 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC001327759267 1074288999 /nfs/dbraw/zinc/28/89/99/1074288999.db2.gz LZVUUWWGJKCULY-HNNXBMFYSA-N 0 0 431.449 -0.504 20 0 IBADRN CN(C)C(=O)c1cccc(NC(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)c1 ZINC001327759269 1074288992 /nfs/dbraw/zinc/28/89/92/1074288992.db2.gz LZVUUWWGJKCULY-OAHLLOKOSA-N 0 0 431.449 -0.504 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)CN2C(=O)N[C@@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC001327787404 1074288959 /nfs/dbraw/zinc/28/89/59/1074288959.db2.gz MJGLMETZIOUQEO-HNNXBMFYSA-N 0 0 431.449 -0.504 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)CN2C(=O)N[C@H](CC(=O)N3CCOCC3)C2=O)cc1 ZINC001327787405 1074288899 /nfs/dbraw/zinc/28/88/99/1074288899.db2.gz MJGLMETZIOUQEO-OAHLLOKOSA-N 0 0 431.449 -0.504 20 0 IBADRN Cn1cc(Br)c(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001327790025 1074288975 /nfs/dbraw/zinc/28/89/75/1074288975.db2.gz ZPTNIEGCAXFSTC-LLVKDONJSA-N 0 0 449.327 -0.329 20 0 IBADRN Cn1cc(Br)c(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001327790027 1074288970 /nfs/dbraw/zinc/28/89/70/1074288970.db2.gz ZPTNIEGCAXFSTC-NSHDSACASA-N 0 0 449.327 -0.329 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H]2CCCO2)CC1 ZINC001327797884 1074289003 /nfs/dbraw/zinc/28/90/03/1074289003.db2.gz XQGPVEIQCIEVLB-HNNXBMFYSA-N 0 0 441.558 -0.379 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H]2CCCO2)CC1 ZINC001327797885 1074288919 /nfs/dbraw/zinc/28/89/19/1074288919.db2.gz XQGPVEIQCIEVLB-OAHLLOKOSA-N 0 0 441.558 -0.379 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC001327798121 1074288845 /nfs/dbraw/zinc/28/88/45/1074288845.db2.gz QEIHVDPABPNUQD-BRWVUGGUSA-N 0 0 436.596 -0.062 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC001327798122 1074288987 /nfs/dbraw/zinc/28/89/87/1074288987.db2.gz QEIHVDPABPNUQD-IKGGRYGDSA-N 0 0 436.596 -0.062 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC001327798123 1074288857 /nfs/dbraw/zinc/28/88/57/1074288857.db2.gz QEIHVDPABPNUQD-IXDOHACOSA-N 0 0 436.596 -0.062 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC001327798124 1074288949 /nfs/dbraw/zinc/28/89/49/1074288949.db2.gz QEIHVDPABPNUQD-ZACQAIPSSA-N 0 0 436.596 -0.062 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001327798595 1074288934 /nfs/dbraw/zinc/28/89/34/1074288934.db2.gz ZVFVODRXEXNSSQ-UHFFFAOYSA-N 0 0 438.550 -0.211 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C3(S(C)(=O)=O)CCOCC3)CC2)c(C(N)=O)c1C ZINC001327817006 1074288978 /nfs/dbraw/zinc/28/89/78/1074288978.db2.gz UMJWYWRSBWEOPJ-UHFFFAOYSA-N 0 0 425.511 -0.565 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1I ZINC001327827488 1074288867 /nfs/dbraw/zinc/28/88/67/1074288867.db2.gz PSRKORUCYDGSCB-SSDOTTSWSA-N 0 0 427.220 -0.515 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N[C@H]2CCS(=O)(=O)C2)c1I ZINC001327827490 1074288953 /nfs/dbraw/zinc/28/89/53/1074288953.db2.gz PSRKORUCYDGSCB-ZETCQYMHSA-N 0 0 427.220 -0.515 20 0 IBADRN O=C(CCn1cnccc1=O)N1C[C@@H]2CCCN(C(=O)CCn3cnccc3=O)[C@@H]2C1 ZINC001327836097 1074289272 /nfs/dbraw/zinc/28/92/72/1074289272.db2.gz CMJHEBNGMYNBKY-DLBZAZTESA-N 0 0 426.477 -0.270 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3c(C)noc3C)CC2)CC1 ZINC001327841807 1074289281 /nfs/dbraw/zinc/28/92/81/1074289281.db2.gz FFTPSCONCVDLTR-UHFFFAOYSA-N 0 0 449.555 -0.699 20 0 IBADRN CS(=O)(=O)CC1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001327874687 1074289302 /nfs/dbraw/zinc/28/93/02/1074289302.db2.gz POHOXQOAGVCDEK-UHFFFAOYSA-N 0 0 443.547 -0.296 20 0 IBADRN CN(C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001327875476 1074289246 /nfs/dbraw/zinc/28/92/46/1074289246.db2.gz FRWXLFODJMDKRX-LLVKDONJSA-N 0 0 426.480 -0.796 20 0 IBADRN CN(C(=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001327875477 1074289349 /nfs/dbraw/zinc/28/93/49/1074289349.db2.gz FRWXLFODJMDKRX-NSHDSACASA-N 0 0 426.480 -0.796 20 0 IBADRN CCO[C@@H](C)c1noc(CN2CCN(C(=O)C3CCN(S(=O)(=O)NC)CC3)CC2)n1 ZINC001327893849 1074289392 /nfs/dbraw/zinc/28/93/92/1074289392.db2.gz YDUZJRTZDSAWSF-AWEZNQCLSA-N 0 0 444.558 -0.013 20 0 IBADRN CCO[C@H](C)c1noc(CN2CCN(C(=O)C3CCN(S(=O)(=O)NC)CC3)CC2)n1 ZINC001327893850 1074289233 /nfs/dbraw/zinc/28/92/33/1074289233.db2.gz YDUZJRTZDSAWSF-CQSZACIVSA-N 0 0 444.558 -0.013 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001327896644 1074289314 /nfs/dbraw/zinc/28/93/14/1074289314.db2.gz VTQRULAWLPKJMR-KBPBESRZSA-N 0 0 429.520 -0.672 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001327896645 1074289172 /nfs/dbraw/zinc/28/91/72/1074289172.db2.gz VTQRULAWLPKJMR-KGLIPLIRSA-N 0 0 429.520 -0.672 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001327896646 1074289373 /nfs/dbraw/zinc/28/93/73/1074289373.db2.gz VTQRULAWLPKJMR-UONOGXRCSA-N 0 0 429.520 -0.672 20 0 IBADRN CN(Cc1ccccc1S(N)(=O)=O)C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001327896647 1074289261 /nfs/dbraw/zinc/28/92/61/1074289261.db2.gz VTQRULAWLPKJMR-ZIAGYGMSSA-N 0 0 429.520 -0.672 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001327904840 1074289328 /nfs/dbraw/zinc/28/93/28/1074289328.db2.gz GLQCMCYFITWVIF-INIZCTEOSA-N 0 0 448.505 -0.632 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001327904841 1074289355 /nfs/dbraw/zinc/28/93/55/1074289355.db2.gz GLQCMCYFITWVIF-MRXNPFEDSA-N 0 0 448.505 -0.632 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCCCS(N)(=O)=O)c1 ZINC001327909136 1074289222 /nfs/dbraw/zinc/28/92/22/1074289222.db2.gz WDOHNXVDFADXAW-UHFFFAOYSA-N 0 0 448.567 -0.428 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]23)CC1 ZINC001327917422 1074289292 /nfs/dbraw/zinc/28/92/92/1074289292.db2.gz QHPVGDZKYLZXIM-DYEKYZERSA-N 0 0 438.597 -0.018 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]23)CC1 ZINC001327917424 1074289320 /nfs/dbraw/zinc/28/93/20/1074289320.db2.gz QHPVGDZKYLZXIM-MBNYWOFBSA-N 0 0 438.597 -0.018 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)CC1 ZINC001327917426 1074289210 /nfs/dbraw/zinc/28/92/10/1074289210.db2.gz QHPVGDZKYLZXIM-OBJOEFQTSA-N 0 0 438.597 -0.018 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)CC1 ZINC001327917428 1074289776 /nfs/dbraw/zinc/28/97/76/1074289776.db2.gz QHPVGDZKYLZXIM-SGMGOOAPSA-N 0 0 438.597 -0.018 20 0 IBADRN COc1ccc(NC(=O)Cn2ncn3nccc3c2=O)c(N2CCN(C(C)=O)CC2)n1 ZINC001327921170 1074289822 /nfs/dbraw/zinc/28/98/22/1074289822.db2.gz URTXQNIQWWEIBC-UHFFFAOYSA-N 0 0 426.437 -0.398 20 0 IBADRN COc1cc(OC)cc(C(=O)N2CCN(C(=O)Cn3ncn4nccc4c3=O)CC2)c1 ZINC001327921476 1074289827 /nfs/dbraw/zinc/28/98/27/1074289827.db2.gz YPLYCBCHZZSAOX-UHFFFAOYSA-N 0 0 426.433 -0.107 20 0 IBADRN Cn1c2nnc(CN3CCS(=O)(=NS(C)(=O)=O)CC3)n2c2ccsc2c1=O ZINC001327925118 1074289787 /nfs/dbraw/zinc/28/97/87/1074289787.db2.gz HICJKHPTGXOYGO-UHFFFAOYSA-N 0 0 430.537 -0.114 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(S(=O)(=O)c2cnn(C3CCOCC3)c2)CC1 ZINC001327925787 1074289841 /nfs/dbraw/zinc/28/98/41/1074289841.db2.gz NCLMSDPMBKSMOM-UHFFFAOYSA-N 0 0 426.542 -0.334 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)CCN3CCN(C(=O)[C@@H]4CCCO4)CC3)c2C)no1 ZINC001327927218 1074289854 /nfs/dbraw/zinc/28/98/54/1074289854.db2.gz HZDPBERSYNDFEV-HNNXBMFYSA-N 0 0 432.485 -0.022 20 0 IBADRN Cc1nc(Cn2nnc(NC(=O)CCN3CCN(C(=O)[C@H]4CCCO4)CC3)c2C)no1 ZINC001327927224 1074289724 /nfs/dbraw/zinc/28/97/24/1074289724.db2.gz HZDPBERSYNDFEV-OAHLLOKOSA-N 0 0 432.485 -0.022 20 0 IBADRN COC(=O)c1cn(CC(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)nn1 ZINC001327949642 1074289796 /nfs/dbraw/zinc/28/97/96/1074289796.db2.gz RRYSHRPISBIIHK-AWEZNQCLSA-N 0 0 444.496 -0.972 20 0 IBADRN COC(=O)c1cn(CC(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)nn1 ZINC001327949652 1074289747 /nfs/dbraw/zinc/28/97/47/1074289747.db2.gz RRYSHRPISBIIHK-CQSZACIVSA-N 0 0 444.496 -0.972 20 0 IBADRN COc1ccc(C(=O)CNC(=O)CCC(=O)NCC2(O)CCS(=O)(=O)CC2)cc1 ZINC001327960404 1074289818 /nfs/dbraw/zinc/28/98/18/1074289818.db2.gz FUVYOGCSIZPTHV-UHFFFAOYSA-N 0 0 426.491 -0.170 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)CC1 ZINC001328015582 1074289813 /nfs/dbraw/zinc/28/98/13/1074289813.db2.gz BGBOGXKTDGWMNG-UHFFFAOYSA-N 0 0 438.510 -0.469 20 0 IBADRN CN(C(=O)c1ccc(S(C)(=O)=O)o1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001328075333 1074289803 /nfs/dbraw/zinc/28/98/03/1074289803.db2.gz HDRCFHPKERQIJP-UHFFFAOYSA-N 0 0 426.539 -0.007 20 0 IBADRN CC(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC001328129482 1074289833 /nfs/dbraw/zinc/28/98/33/1074289833.db2.gz LBGSZRKOFFOXCK-AWEZNQCLSA-N 0 0 434.588 -0.235 20 0 IBADRN CC(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(=O)(=O)N(C)C)CC1 ZINC001328129486 1074289860 /nfs/dbraw/zinc/28/98/60/1074289860.db2.gz LBGSZRKOFFOXCK-CQSZACIVSA-N 0 0 434.588 -0.235 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N[C@H]2CCCN(CC(N)=O)C2)CC1 ZINC001328139125 1074290147 /nfs/dbraw/zinc/29/01/47/1074290147.db2.gz BEWBONSKBTUCRQ-HNNXBMFYSA-N 0 0 427.527 -0.242 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N[C@@H]2CCCN(CC(N)=O)C2)CC1 ZINC001328139126 1074290179 /nfs/dbraw/zinc/29/01/79/1074290179.db2.gz BEWBONSKBTUCRQ-OAHLLOKOSA-N 0 0 427.527 -0.242 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)NCc2c(F)cc(F)c(F)c2F)CC1 ZINC001328144024 1074290264 /nfs/dbraw/zinc/29/02/64/1074290264.db2.gz ANBSKMCCSONXGJ-UHFFFAOYSA-N 0 0 426.392 -0.190 20 0 IBADRN CSc1ncc(Br)c(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)n1 ZINC001328148817 1074290273 /nfs/dbraw/zinc/29/02/73/1074290273.db2.gz ZRAMFDGIRGMCEA-UHFFFAOYSA-N 0 0 427.288 -0.068 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001328176284 1074290216 /nfs/dbraw/zinc/29/02/16/1074290216.db2.gz CGOSVZAAMKSUQD-HNNXBMFYSA-N 0 0 447.583 -0.331 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001328176285 1074290328 /nfs/dbraw/zinc/29/03/28/1074290328.db2.gz CGOSVZAAMKSUQD-OAHLLOKOSA-N 0 0 447.583 -0.331 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N(C)CCNS(C)(=O)=O)c1 ZINC001328176308 1074290317 /nfs/dbraw/zinc/29/03/17/1074290317.db2.gz DHDMFVXUWPTWLM-UHFFFAOYSA-N 0 0 448.567 -0.605 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001328178143 1074290287 /nfs/dbraw/zinc/29/02/87/1074290287.db2.gz SGJSEOQKWMQZNT-KBPBESRZSA-N 0 0 446.599 -0.018 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001328178144 1074290310 /nfs/dbraw/zinc/29/03/10/1074290310.db2.gz SGJSEOQKWMQZNT-KGLIPLIRSA-N 0 0 446.599 -0.018 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001328178145 1074290323 /nfs/dbraw/zinc/29/03/23/1074290323.db2.gz SGJSEOQKWMQZNT-UONOGXRCSA-N 0 0 446.599 -0.018 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001328178146 1074290253 /nfs/dbraw/zinc/29/02/53/1074290253.db2.gz SGJSEOQKWMQZNT-ZIAGYGMSSA-N 0 0 446.599 -0.018 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)CCNS(C)(=O)=O)CC2)cc1 ZINC001328225998 1074290201 /nfs/dbraw/zinc/29/02/01/1074290201.db2.gz IUGAESFYUATHHI-UHFFFAOYSA-N 0 0 448.567 -0.991 20 0 IBADRN O=C(COC(=O)CN1CCC(=O)NC1=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001328226637 1074290280 /nfs/dbraw/zinc/29/02/80/1074290280.db2.gz RBNMYAZDFVQCNV-UHFFFAOYSA-N 0 0 432.433 -0.740 20 0 IBADRN Cc1c(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)nnn1-c1ccn(C)n1 ZINC001328232652 1074290334 /nfs/dbraw/zinc/29/03/34/1074290334.db2.gz KBCYMNCYMMKIMH-UHFFFAOYSA-N 0 0 433.498 -0.374 20 0 IBADRN COCCN(C(C)=O)c1nc(COC(=O)CNS(=O)(=O)c2cnn(C)c2)cs1 ZINC001328274543 1074290300 /nfs/dbraw/zinc/29/03/00/1074290300.db2.gz RFOQQGYDZSYQRO-UHFFFAOYSA-N 0 0 431.496 -0.102 20 0 IBADRN C[C@H](C(=O)NCc1csc(NC(=O)[C@H](C)N2CCN(C)CC2)n1)N1CCN(C)CC1 ZINC001328355705 1074290833 /nfs/dbraw/zinc/29/08/33/1074290833.db2.gz LDZBLRPTGYPBIE-CVEARBPZSA-N 0 0 437.614 -0.030 20 0 IBADRN C[C@@H](C(=O)NCc1csc(NC(=O)[C@H](C)N2CCN(C)CC2)n1)N1CCN(C)CC1 ZINC001328355706 1074290699 /nfs/dbraw/zinc/29/06/99/1074290699.db2.gz LDZBLRPTGYPBIE-HOTGVXAUSA-N 0 0 437.614 -0.030 20 0 IBADRN C[C@H](C(=O)NCc1csc(NC(=O)[C@@H](C)N2CCN(C)CC2)n1)N1CCN(C)CC1 ZINC001328355707 1074290852 /nfs/dbraw/zinc/29/08/52/1074290852.db2.gz LDZBLRPTGYPBIE-HZPDHXFCSA-N 0 0 437.614 -0.030 20 0 IBADRN C[C@H](C(=O)Nc1nc(CNC(=O)[C@H](C)N2CCN(C)CC2)cs1)N1CCN(C)CC1 ZINC001328355708 1074290717 /nfs/dbraw/zinc/29/07/17/1074290717.db2.gz LDZBLRPTGYPBIE-JKSUJKDBSA-N 0 0 437.614 -0.030 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(CS(N)(=O)=O)CC2)c1 ZINC001328389946 1074290795 /nfs/dbraw/zinc/29/07/95/1074290795.db2.gz PDFCJZDHLINYRN-UHFFFAOYSA-N 0 0 448.567 -0.594 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC001328417252 1074290746 /nfs/dbraw/zinc/29/07/46/1074290746.db2.gz UKGRXBSWCHOFHO-UHFFFAOYSA-N 0 0 442.542 -0.790 20 0 IBADRN Cc1nc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC001328430846 1074290839 /nfs/dbraw/zinc/29/08/39/1074290839.db2.gz RFZKDQARVFNNDS-UHFFFAOYSA-N 0 0 437.408 -0.723 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NC3(C(N)=O)CCOCC3)cc2)CC1 ZINC001328431201 1074290819 /nfs/dbraw/zinc/29/08/19/1074290819.db2.gz UGPARPHFBKRFFO-UHFFFAOYSA-N 0 0 438.506 -0.696 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2Cc3cccnc3N3C[C@H](O)C[C@H]3C2)cc1 ZINC001328450029 1074290732 /nfs/dbraw/zinc/29/07/32/1074290732.db2.gz HZJNPRVVLNGFEJ-JKSUJKDBSA-N 0 0 430.486 -0.064 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001328450929 1074290810 /nfs/dbraw/zinc/29/08/10/1074290810.db2.gz NPNRCPWJRBVAHW-LTIDMASMSA-N 0 0 434.518 -0.601 20 0 IBADRN O=C([C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001328450932 1074290777 /nfs/dbraw/zinc/29/07/77/1074290777.db2.gz NPNRCPWJRBVAHW-LUKYLMHMSA-N 0 0 434.518 -0.601 20 0 IBADRN O=C([C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001328450934 1074290682 /nfs/dbraw/zinc/29/06/82/1074290682.db2.gz NPNRCPWJRBVAHW-VVLHAWIVSA-N 0 0 434.518 -0.601 20 0 IBADRN O=C([C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001328450937 1074290790 /nfs/dbraw/zinc/29/07/90/1074290790.db2.gz NPNRCPWJRBVAHW-WCXIOVBPSA-N 0 0 434.518 -0.601 20 0 IBADRN O=c1[nH]c2ccc(S(=O)(=O)N3Cc4cccnc4N4C[C@H](O)C[C@H]4C3)cc2[nH]c1=O ZINC001328451902 1074290849 /nfs/dbraw/zinc/29/08/49/1074290849.db2.gz VCYYZUCIGVWVMH-QWHCGFSZSA-N 0 0 429.458 -0.245 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N(C)CC(=O)N[C@H]3CCS(=O)(=O)C3)cc21 ZINC001328471550 1074290783 /nfs/dbraw/zinc/29/07/83/1074290783.db2.gz HPFACQIUHWYDAA-AWEZNQCLSA-N 0 0 429.520 -0.481 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]3CCS(=O)(=O)C3)cc21 ZINC001328471551 1074290847 /nfs/dbraw/zinc/29/08/47/1074290847.db2.gz HPFACQIUHWYDAA-CQSZACIVSA-N 0 0 429.520 -0.481 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001328472057 1074291238 /nfs/dbraw/zinc/29/12/38/1074291238.db2.gz KSALFNSKYBPOJW-HNNXBMFYSA-N 0 0 443.547 -0.849 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001328472058 1074291220 /nfs/dbraw/zinc/29/12/20/1074291220.db2.gz KSALFNSKYBPOJW-OAHLLOKOSA-N 0 0 443.547 -0.849 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1C(=O)N(C)C ZINC001328473538 1074291156 /nfs/dbraw/zinc/29/11/56/1074291156.db2.gz UISJEQIOCZHFDP-AWEZNQCLSA-N 0 0 445.563 -0.110 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1C(=O)N(C)C ZINC001328473539 1074291083 /nfs/dbraw/zinc/29/10/83/1074291083.db2.gz UISJEQIOCZHFDP-CQSZACIVSA-N 0 0 445.563 -0.110 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001328507864 1074291091 /nfs/dbraw/zinc/29/10/91/1074291091.db2.gz ODMSOPYZQPSMHF-KHTYJDQRSA-N 0 0 434.497 -0.479 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@@H](O)CN1CCCC1=O ZINC001328535566 1074291273 /nfs/dbraw/zinc/29/12/73/1074291273.db2.gz MHAIOWSZMBBNJI-CYBMUJFWSA-N 0 0 427.479 -0.774 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@H](O)CN1CCCC1=O ZINC001328535568 1074291259 /nfs/dbraw/zinc/29/12/59/1074291259.db2.gz MHAIOWSZMBBNJI-ZDUSSCGKSA-N 0 0 427.479 -0.774 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001328548202 1074291110 /nfs/dbraw/zinc/29/11/10/1074291110.db2.gz CKVRCYASCWAUDC-HNNXBMFYSA-N 0 0 436.577 -0.275 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001328548203 1074291245 /nfs/dbraw/zinc/29/12/45/1074291245.db2.gz CKVRCYASCWAUDC-OAHLLOKOSA-N 0 0 436.577 -0.275 20 0 IBADRN C[C@H](NC(=O)CSCC(=O)N[C@@H](C)C1(S(C)(=O)=O)CC1)C1(S(C)(=O)=O)CC1 ZINC001328569185 1074291233 /nfs/dbraw/zinc/29/12/33/1074291233.db2.gz LKYRWNULWBQBMM-RYUDHWBXSA-N 0 0 440.609 -0.117 20 0 IBADRN C[C@@H](NC(=O)CSCC(=O)N[C@@H](C)C1(S(C)(=O)=O)CC1)C1(S(C)(=O)=O)CC1 ZINC001328569186 1074291148 /nfs/dbraw/zinc/29/11/48/1074291148.db2.gz LKYRWNULWBQBMM-TXEJJXNPSA-N 0 0 440.609 -0.117 20 0 IBADRN C[C@@H](NC(=O)CSCC(=O)N[C@H](C)C1(S(C)(=O)=O)CC1)C1(S(C)(=O)=O)CC1 ZINC001328569187 1074291279 /nfs/dbraw/zinc/29/12/79/1074291279.db2.gz LKYRWNULWBQBMM-VXGBXAGGSA-N 0 0 440.609 -0.117 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001328575972 1074291189 /nfs/dbraw/zinc/29/11/89/1074291189.db2.gz POFUGGGWWCKHBO-CHWSQXEVSA-N 0 0 432.572 -0.408 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001328575975 1074291184 /nfs/dbraw/zinc/29/11/84/1074291184.db2.gz POFUGGGWWCKHBO-OLZOCXBDSA-N 0 0 432.572 -0.408 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@H]3CCCO3)c2=S)C1 ZINC001328575977 1074291058 /nfs/dbraw/zinc/29/10/58/1074291058.db2.gz POFUGGGWWCKHBO-QWHCGFSZSA-N 0 0 432.572 -0.408 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(Cn2nc(CCC(N)=O)n(C[C@@H]3CCCO3)c2=S)C1 ZINC001328575979 1074291214 /nfs/dbraw/zinc/29/12/14/1074291214.db2.gz POFUGGGWWCKHBO-STQMWFEESA-N 0 0 432.572 -0.408 20 0 IBADRN C[C@]1(C[C@H]2CCCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)NC(=O)NC1=O ZINC001328585319 1074291700 /nfs/dbraw/zinc/29/17/00/1074291700.db2.gz PDXNDQUEOJOFTR-ANRSDYALSA-N 0 0 428.243 -0.309 20 0 IBADRN C[C@@]1(C[C@@H]2CCCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)NC(=O)NC1=O ZINC001328585324 1074291642 /nfs/dbraw/zinc/29/16/42/1074291642.db2.gz PDXNDQUEOJOFTR-AYVTZFPOSA-N 0 0 428.243 -0.309 20 0 IBADRN C[C@@]1(C[C@H]2CCCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)NC(=O)NC1=O ZINC001328585327 1074291743 /nfs/dbraw/zinc/29/17/43/1074291743.db2.gz PDXNDQUEOJOFTR-GLEZIHRCSA-N 0 0 428.243 -0.309 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)NC(=O)NC1=O ZINC001328585331 1074291696 /nfs/dbraw/zinc/29/16/96/1074291696.db2.gz PDXNDQUEOJOFTR-VXJOIVPMSA-N 0 0 428.243 -0.309 20 0 IBADRN O=C1CCCCN1C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001328587670 1074291711 /nfs/dbraw/zinc/29/17/11/1074291711.db2.gz YBLOEMJRBKXZEW-AEVYOOLXSA-N 0 0 432.481 -0.581 20 0 IBADRN CC(C)(C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)S(=O)(=O)C1CC1 ZINC001328598716 1074291734 /nfs/dbraw/zinc/29/17/34/1074291734.db2.gz RLFMJTZVOVIUHC-CYBMUJFWSA-N 0 0 442.581 -0.997 20 0 IBADRN CC(C)(C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)S(=O)(=O)C1CC1 ZINC001328598717 1074291656 /nfs/dbraw/zinc/29/16/56/1074291656.db2.gz RLFMJTZVOVIUHC-ZDUSSCGKSA-N 0 0 442.581 -0.997 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CC1 ZINC001328610166 1074291649 /nfs/dbraw/zinc/29/16/49/1074291649.db2.gz BTADTUKAGAZZJN-UHFFFAOYSA-N 0 0 447.535 -0.086 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CSCC(=O)N2CCOCC2)CC1 ZINC001328616488 1074291670 /nfs/dbraw/zinc/29/16/70/1074291670.db2.gz FGEGVNLIFBFLFA-UHFFFAOYSA-N 0 0 436.552 -0.453 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC001328638872 1074291737 /nfs/dbraw/zinc/29/17/37/1074291737.db2.gz HGSXNDKKWVTEGA-UHFFFAOYSA-N 0 0 434.540 -0.686 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001328716525 1074291686 /nfs/dbraw/zinc/29/16/86/1074291686.db2.gz WAKAAVANOABVSO-UHFFFAOYSA-N 0 0 446.552 -0.132 20 0 IBADRN C=CC(=O)NCc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001328762202 1074291705 /nfs/dbraw/zinc/29/17/05/1074291705.db2.gz JNHHVHWNCQVWHQ-GOSISDBHSA-N 0 0 448.545 -0.450 20 0 IBADRN C=CC(=O)NCc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001328762205 1074291723 /nfs/dbraw/zinc/29/17/23/1074291723.db2.gz JNHHVHWNCQVWHQ-SFHVURJKSA-N 0 0 448.545 -0.450 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001328763704 1074291719 /nfs/dbraw/zinc/29/17/19/1074291719.db2.gz YIRQKKLWJJENAE-AWEZNQCLSA-N 0 0 442.563 -0.766 20 0 IBADRN Cc1ccc(C(=O)NCC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001328763706 1074291731 /nfs/dbraw/zinc/29/17/31/1074291731.db2.gz YIRQKKLWJJENAE-CQSZACIVSA-N 0 0 442.563 -0.766 20 0 IBADRN Cn1c2ncn(CC(=O)N(Cc3cccnc3)[C@H]3CCS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC001328770519 1074292003 /nfs/dbraw/zinc/29/20/03/1074292003.db2.gz JGBXSDQWGPXZBJ-AWEZNQCLSA-N 0 0 446.489 -0.955 20 0 IBADRN Cn1c2ncn(CC(=O)N(Cc3cccnc3)[C@@H]3CCS(=O)(=O)C3)c2c(=O)n(C)c1=O ZINC001328770521 1074292054 /nfs/dbraw/zinc/29/20/54/1074292054.db2.gz JGBXSDQWGPXZBJ-CQSZACIVSA-N 0 0 446.489 -0.955 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[S@](=O)C[C@H]1c1cnn(C)c1 ZINC001328772648 1074292213 /nfs/dbraw/zinc/29/22/13/1074292213.db2.gz QWTORLZYYIESPJ-HBIPHATFSA-N 0 0 441.535 -0.180 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[S@](=O)C[C@@H]1c1cnn(C)c1 ZINC001328772649 1074292155 /nfs/dbraw/zinc/29/21/55/1074292155.db2.gz QWTORLZYYIESPJ-JMGYQRAPSA-N 0 0 441.535 -0.180 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[S@@](=O)C[C@H]1c1cnn(C)c1 ZINC001328772650 1074292223 /nfs/dbraw/zinc/29/22/23/1074292223.db2.gz QWTORLZYYIESPJ-OHZJNBGDSA-N 0 0 441.535 -0.180 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CC[S@@](=O)C[C@@H]1c1cnn(C)c1 ZINC001328772651 1074292125 /nfs/dbraw/zinc/29/21/25/1074292125.db2.gz QWTORLZYYIESPJ-WQIZZMQYSA-N 0 0 441.535 -0.180 20 0 IBADRN O=C(c1cn(CCO)nc1C1CCOCC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001328857928 1074292039 /nfs/dbraw/zinc/29/20/39/1074292039.db2.gz KSXOQUJYXZCHNL-UHFFFAOYSA-N 0 0 438.510 -0.361 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001328880864 1074292146 /nfs/dbraw/zinc/29/21/46/1074292146.db2.gz ONTUCZAYJNZVFN-UHFFFAOYSA-N 0 0 426.561 -0.802 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)cn1C ZINC001328881318 1074292230 /nfs/dbraw/zinc/29/22/30/1074292230.db2.gz RSIHVPHDLJOVBQ-UHFFFAOYSA-N 0 0 448.567 -0.364 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC001328897836 1074292029 /nfs/dbraw/zinc/29/20/29/1074292029.db2.gz MAYNELRIDPUBHF-UHFFFAOYSA-N 0 0 445.567 -0.841 20 0 IBADRN CN(CCNS(C)(=O)=O)S(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC001328898766 1074292062 /nfs/dbraw/zinc/29/20/62/1074292062.db2.gz DGWHNJSANWXYSD-UHFFFAOYSA-N 0 0 442.313 -0.050 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc2c1CCC2 ZINC001328898802 1074292255 /nfs/dbraw/zinc/29/22/55/1074292255.db2.gz DQZMXNLPGUVFPE-CVEARBPZSA-N 0 0 443.547 -0.950 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc2c1CCC2 ZINC001328898807 1074292185 /nfs/dbraw/zinc/29/21/85/1074292185.db2.gz DQZMXNLPGUVFPE-HOTGVXAUSA-N 0 0 443.547 -0.950 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc2c1CCC2 ZINC001328898812 1074292095 /nfs/dbraw/zinc/29/20/95/1074292095.db2.gz DQZMXNLPGUVFPE-HZPDHXFCSA-N 0 0 443.547 -0.950 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc2c1CCC2 ZINC001328899064 1074292246 /nfs/dbraw/zinc/29/22/46/1074292246.db2.gz DQZMXNLPGUVFPE-JKSUJKDBSA-N 0 0 443.547 -0.950 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c(=O)cc1C ZINC001328913791 1074292192 /nfs/dbraw/zinc/29/21/92/1074292192.db2.gz QYVRVLZUGJXUCY-UHFFFAOYSA-N 0 0 441.554 -0.947 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)CC1 ZINC001328926229 1074292013 /nfs/dbraw/zinc/29/20/13/1074292013.db2.gz MJMLZJMOYCTJDQ-UHFFFAOYSA-N 0 0 436.557 -0.737 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001328926325 1074292163 /nfs/dbraw/zinc/29/21/63/1074292163.db2.gz QVPGUMXANZZKNZ-UHFFFAOYSA-N 0 0 445.586 -0.577 20 0 IBADRN O=C(CN(CC(=O)N1CCn2ncnc2C1)Cc1ccccc1)N1CCn2ncnc2C1 ZINC001329015723 1074292717 /nfs/dbraw/zinc/29/27/17/1074292717.db2.gz DRARDACMIDOFGB-UHFFFAOYSA-N 0 0 435.492 -0.244 20 0 IBADRN CC(=O)N1CCC(NC(=O)COC(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)CC1 ZINC001329019185 1074292636 /nfs/dbraw/zinc/29/26/36/1074292636.db2.gz COUVPXICGJMZPJ-UHFFFAOYSA-N 0 0 431.449 -0.775 20 0 IBADRN O=C(CN1CCN(C(=O)C2CCN(CC(F)F)CC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001329049757 1074292664 /nfs/dbraw/zinc/29/26/64/1074292664.db2.gz ZRQLFUWZUJEUIX-HNNXBMFYSA-N 0 0 436.525 -0.589 20 0 IBADRN O=C(CN1CCN(C(=O)C2CCN(CC(F)F)CC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001329049765 1074292675 /nfs/dbraw/zinc/29/26/75/1074292675.db2.gz ZRQLFUWZUJEUIX-OAHLLOKOSA-N 0 0 436.525 -0.589 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCC(=O)CC3)CC2)cc1 ZINC001329069769 1074292543 /nfs/dbraw/zinc/29/25/43/1074292543.db2.gz IQBKUPCLNUSOKA-UHFFFAOYSA-N 0 0 429.520 -0.074 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC001329099287 1074292742 /nfs/dbraw/zinc/29/27/42/1074292742.db2.gz BVFSNRSJIFTYMP-UHFFFAOYSA-N 0 0 448.567 -0.908 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001329100808 1074292691 /nfs/dbraw/zinc/29/26/91/1074292691.db2.gz GGXCTOZYGZSJJF-UHFFFAOYSA-N 0 0 432.587 -0.108 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC001329100824 1074292623 /nfs/dbraw/zinc/29/26/23/1074292623.db2.gz GYKIKJCUCCFISP-GFCCVEGCSA-N 0 0 434.540 -0.409 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC001329100825 1074292531 /nfs/dbraw/zinc/29/25/31/1074292531.db2.gz GYKIKJCUCCFISP-LBPRGKRZSA-N 0 0 434.540 -0.409 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCn2c(nnc2C(F)(F)F)C1 ZINC001329101928 1074292611 /nfs/dbraw/zinc/29/26/11/1074292611.db2.gz ZNDKCGOBBWNNOQ-SECBINFHSA-N 0 0 434.400 -0.195 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCn2c(nnc2C(F)(F)F)C1 ZINC001329101929 1074292684 /nfs/dbraw/zinc/29/26/84/1074292684.db2.gz ZNDKCGOBBWNNOQ-VIFPVBQESA-N 0 0 434.400 -0.195 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@H](NC(=O)NCC2(O)CCS(=O)(=O)CC2)C1 ZINC001329124608 1074292571 /nfs/dbraw/zinc/29/25/71/1074292571.db2.gz CJCYUAFYTFJHOS-HNNXBMFYSA-N 0 0 425.507 -0.095 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)NCC2(O)CCS(=O)(=O)CC2)C1 ZINC001329124612 1074292732 /nfs/dbraw/zinc/29/27/32/1074292732.db2.gz CJCYUAFYTFJHOS-OAHLLOKOSA-N 0 0 425.507 -0.095 20 0 IBADRN CN1CCC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001329162581 1074292565 /nfs/dbraw/zinc/29/25/65/1074292565.db2.gz WFFFMVJRSSXONP-CABCVRRESA-N 0 0 431.536 -0.495 20 0 IBADRN CN1CCC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001329162583 1074292605 /nfs/dbraw/zinc/29/26/05/1074292605.db2.gz WFFFMVJRSSXONP-GJZGRUSLSA-N 0 0 431.536 -0.495 20 0 IBADRN CN1CCC[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001329162586 1074292710 /nfs/dbraw/zinc/29/27/10/1074292710.db2.gz WFFFMVJRSSXONP-HUUCEWRRSA-N 0 0 431.536 -0.495 20 0 IBADRN CN1CCC[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001329162588 1074292516 /nfs/dbraw/zinc/29/25/16/1074292516.db2.gz WFFFMVJRSSXONP-LSDHHAIUSA-N 0 0 431.536 -0.495 20 0 IBADRN Cc1cc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001329219268 1074292650 /nfs/dbraw/zinc/29/26/50/1074292650.db2.gz IDRHAJPJXUYBEA-GFCCVEGCSA-N 0 0 428.558 -0.426 20 0 IBADRN Cc1cc(NS(=O)(=O)CCCS(=O)(=O)N(C)C)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001329219269 1074293035 /nfs/dbraw/zinc/29/30/35/1074293035.db2.gz IDRHAJPJXUYBEA-LBPRGKRZSA-N 0 0 428.558 -0.426 20 0 IBADRN NS(=O)(=O)c1ccc(OCC(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001329337590 1074293203 /nfs/dbraw/zinc/29/32/03/1074293203.db2.gz PSRHSOBYNITJFB-UHFFFAOYSA-N 0 0 431.536 -0.566 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)CCCC(=O)N2CCN(CC[S@@](C)=O)CC2)CC1 ZINC001329378176 1074293251 /nfs/dbraw/zinc/29/32/51/1074293251.db2.gz FYNDWWNOULOGHR-FQLXRVMXSA-N 0 0 448.655 -0.798 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)CCCC(=O)N2CCN(CC[S@@](C)=O)CC2)CC1 ZINC001329378190 1074293259 /nfs/dbraw/zinc/29/32/59/1074293259.db2.gz FYNDWWNOULOGHR-ISILISOKSA-N 0 0 448.655 -0.798 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)CCCC(=O)N2CCN(CC[S@](C)=O)CC2)CC1 ZINC001329378193 1074293229 /nfs/dbraw/zinc/29/32/29/1074293229.db2.gz FYNDWWNOULOGHR-VMPREFPWSA-N 0 0 448.655 -0.798 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC(C(=O)NCCO)CC2)c(C(=O)OC)s1 ZINC001329378826 1074293134 /nfs/dbraw/zinc/29/31/34/1074293134.db2.gz KDQOGCLBZDDMBO-UHFFFAOYSA-N 0 0 434.492 -0.170 20 0 IBADRN CN(C)CCN(C(=O)c1cn(CCO)nc1C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001329441381 1074293254 /nfs/dbraw/zinc/29/32/54/1074293254.db2.gz IPENGPVPWSNROT-INIZCTEOSA-N 0 0 428.555 -0.040 20 0 IBADRN CN(C)CCN(C(=O)c1cn(CCO)nc1C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001329441383 1074293181 /nfs/dbraw/zinc/29/31/81/1074293181.db2.gz IPENGPVPWSNROT-MRXNPFEDSA-N 0 0 428.555 -0.040 20 0 IBADRN O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1cn(CCO)nc1C1CCOCC1 ZINC001329442846 1074293097 /nfs/dbraw/zinc/29/30/97/1074293097.db2.gz UGTJXFZDAJUEJU-KRWDZBQOSA-N 0 0 435.525 -0.568 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1cn(CCO)nc1C1CCOCC1 ZINC001329442847 1074293120 /nfs/dbraw/zinc/29/31/20/1074293120.db2.gz UGTJXFZDAJUEJU-QGZVFWFLSA-N 0 0 435.525 -0.568 20 0 IBADRN COCCN(C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)[C@H]1CCS(=O)(=O)C1 ZINC001329534551 1074293221 /nfs/dbraw/zinc/29/32/21/1074293221.db2.gz REIKGFPYSVTIEQ-HNNXBMFYSA-N 0 0 446.547 -0.176 20 0 IBADRN COCCN(C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC001329534555 1074293165 /nfs/dbraw/zinc/29/31/65/1074293165.db2.gz REIKGFPYSVTIEQ-OAHLLOKOSA-N 0 0 446.547 -0.176 20 0 IBADRN Cc1ccc(C(=O)N2CCC(C(=O)NCCO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001329546093 1074293062 /nfs/dbraw/zinc/29/30/62/1074293062.db2.gz SHBFDKZXLYTTMX-UHFFFAOYSA-N 0 0 439.534 -0.023 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N2CCC(C(=O)NCCO)CC2)cc1 ZINC001329546951 1074293153 /nfs/dbraw/zinc/29/31/53/1074293153.db2.gz ZVEZAVMPJSUHBI-UHFFFAOYSA-N 0 0 445.563 -0.207 20 0 IBADRN Cc1cnc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nc1 ZINC001329583802 1074293215 /nfs/dbraw/zinc/29/32/15/1074293215.db2.gz YRZJKEJJNXVTJB-CABCVRRESA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cnc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nc1 ZINC001329583803 1074293045 /nfs/dbraw/zinc/29/30/45/1074293045.db2.gz YRZJKEJJNXVTJB-GJZGRUSLSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cnc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nc1 ZINC001329583804 1074293606 /nfs/dbraw/zinc/29/36/06/1074293606.db2.gz YRZJKEJJNXVTJB-HUUCEWRRSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cnc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nc1 ZINC001329583805 1074293636 /nfs/dbraw/zinc/29/36/36/1074293636.db2.gz YRZJKEJJNXVTJB-LSDHHAIUSA-N 0 0 431.536 -0.105 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(C(=O)NCCO)CC2)c1 ZINC001329593654 1074293642 /nfs/dbraw/zinc/29/36/42/1074293642.db2.gz GDUAZFLNJSIKLR-UHFFFAOYSA-N 0 0 442.538 -0.774 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CCC(C(=O)NCCO)CC1 ZINC001329594690 1074293550 /nfs/dbraw/zinc/29/35/50/1074293550.db2.gz SQMIMUFZZXCCSX-UHFFFAOYSA-N 0 0 445.563 -0.341 20 0 IBADRN CCN1CC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1=O ZINC001329600720 1074293594 /nfs/dbraw/zinc/29/35/94/1074293594.db2.gz CXBCBVNCKSDQJL-CABCVRRESA-N 0 0 425.507 -0.035 20 0 IBADRN CCN1CC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1=O ZINC001329600721 1074293696 /nfs/dbraw/zinc/29/36/96/1074293696.db2.gz CXBCBVNCKSDQJL-GJZGRUSLSA-N 0 0 425.507 -0.035 20 0 IBADRN CCN1CC[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1=O ZINC001329600722 1074293631 /nfs/dbraw/zinc/29/36/31/1074293631.db2.gz CXBCBVNCKSDQJL-HUUCEWRRSA-N 0 0 425.507 -0.035 20 0 IBADRN CCN1CC[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)C1=O ZINC001329600723 1074293687 /nfs/dbraw/zinc/29/36/87/1074293687.db2.gz CXBCBVNCKSDQJL-LSDHHAIUSA-N 0 0 425.507 -0.035 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCC(C(=O)N3CCOCC3)CC2)c1 ZINC001329602536 1074293574 /nfs/dbraw/zinc/29/35/74/1074293574.db2.gz CEFAJFMKNJSGPQ-UHFFFAOYSA-N 0 0 428.511 -0.350 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@H](NS(C)(=O)=O)C3)CC2)cn1C ZINC001329610400 1074293539 /nfs/dbraw/zinc/29/35/39/1074293539.db2.gz JMGJIQFACSAZKR-HNNXBMFYSA-N 0 0 447.583 -0.331 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)CS(=O)(=O)c2nnc(N3CCOCC3)n2C)cc1 ZINC001329669760 1074293650 /nfs/dbraw/zinc/29/36/50/1074293650.db2.gz PFRGQXOHEPDJOJ-UHFFFAOYSA-N 0 0 436.494 -0.234 20 0 IBADRN CS(=O)(=O)NC1CCN(c2nccnc2N2CCC(NS(C)(=O)=O)CC2)CC1 ZINC001329709449 1074293673 /nfs/dbraw/zinc/29/36/73/1074293673.db2.gz BTKMJDWETQZZDX-UHFFFAOYSA-N 0 0 432.572 -0.487 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)c1 ZINC001329771133 1074293564 /nfs/dbraw/zinc/29/35/64/1074293564.db2.gz AEIJJOHKLWSWDE-UHFFFAOYSA-N 0 0 431.496 -0.459 20 0 IBADRN C[C@@H](C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)S(=O)(=O)C1CCOCC1 ZINC001329792213 1074293584 /nfs/dbraw/zinc/29/35/84/1074293584.db2.gz IBUPOVRZSZJQQK-AWEZNQCLSA-N 0 0 445.563 -0.035 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1)S(=O)(=O)C1CCOCC1 ZINC001329792215 1074294106 /nfs/dbraw/zinc/29/41/06/1074294106.db2.gz IBUPOVRZSZJQQK-CQSZACIVSA-N 0 0 445.563 -0.035 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)NCCN1CCN(c2ncccn2)CC1 ZINC001329820152 1074293984 /nfs/dbraw/zinc/29/39/84/1074293984.db2.gz HOBFUAPHNZSPAV-UHFFFAOYSA-N 0 0 433.534 -0.277 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCC(=O)N1CCCNC(=O)C1)C(=O)N1CCCNC(=O)C1 ZINC001329901565 1074294062 /nfs/dbraw/zinc/29/40/62/1074294062.db2.gz QLAIUKMEQRMDGX-AWEZNQCLSA-N 0 0 439.513 -0.643 20 0 IBADRN CN(C)C(=O)c1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001329911657 1074294041 /nfs/dbraw/zinc/29/40/41/1074294041.db2.gz AQECJMQJAUFAAJ-KRWDZBQOSA-N 0 0 436.534 -0.551 20 0 IBADRN CN(C)C(=O)c1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001329911658 1074293973 /nfs/dbraw/zinc/29/39/73/1074293973.db2.gz AQECJMQJAUFAAJ-QGZVFWFLSA-N 0 0 436.534 -0.551 20 0 IBADRN Cc1nn(C)c2ncc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC001329914728 1074294085 /nfs/dbraw/zinc/29/40/85/1074294085.db2.gz YHDNGWCWMDJVNT-HNNXBMFYSA-N 0 0 434.522 -0.662 20 0 IBADRN Cc1nn(C)c2ncc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC001329914729 1074293995 /nfs/dbraw/zinc/29/39/95/1074293995.db2.gz YHDNGWCWMDJVNT-OAHLLOKOSA-N 0 0 434.522 -0.662 20 0 IBADRN O=C(CCNC(=O)N[C@H]1CCN(C2CCOCC2)C1=O)N1CCN(c2ncccn2)CC1 ZINC001329958699 1074294027 /nfs/dbraw/zinc/29/40/27/1074294027.db2.gz UKKVONOKCVGZFQ-KRWDZBQOSA-N 0 0 445.524 -0.406 20 0 IBADRN O=C(CCNC(=O)N[C@@H]1CCN(C2CCOCC2)C1=O)N1CCN(c2ncccn2)CC1 ZINC001329958700 1074294036 /nfs/dbraw/zinc/29/40/36/1074294036.db2.gz UKKVONOKCVGZFQ-QGZVFWFLSA-N 0 0 445.524 -0.406 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001329973964 1074294090 /nfs/dbraw/zinc/29/40/90/1074294090.db2.gz FKLGUTQQCVGBHI-WBVHZDCISA-N 0 0 443.497 -0.703 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCC(C(=O)NCCO)CC2)c1 ZINC001329974741 1074294047 /nfs/dbraw/zinc/29/40/47/1074294047.db2.gz MULWDGBYESQTTF-UHFFFAOYSA-N 0 0 425.507 -0.095 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001329974778 1074294057 /nfs/dbraw/zinc/29/40/57/1074294057.db2.gz NVZNDEILGFBAIL-UHFFFAOYSA-N 0 0 431.536 -0.270 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCCN3CCCS3(=O)=O)CC2)cn1C ZINC001329975389 1074293977 /nfs/dbraw/zinc/29/39/77/1074293977.db2.gz XUIRSKNCIFIBQQ-UHFFFAOYSA-N 0 0 447.583 -0.329 20 0 IBADRN COC(=O)COCC(=O)N1CCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC001329989754 1074294077 /nfs/dbraw/zinc/29/40/77/1074294077.db2.gz YDWOYZOWYXAJFX-UHFFFAOYSA-N 0 0 448.519 -0.579 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)NC[C@@H]1CCn3ncc(C(=O)N4CCN(C)CC4)c3C1)CC2 ZINC001330013991 1074293998 /nfs/dbraw/zinc/29/39/98/1074293998.db2.gz SVOUCZLWUOFCMK-CVEARBPZSA-N 0 0 440.552 -0.117 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)NC[C@H]1CCn3ncc(C(=O)N4CCN(C)CC4)c3C1)CC2 ZINC001330013993 1074294005 /nfs/dbraw/zinc/29/40/05/1074294005.db2.gz SVOUCZLWUOFCMK-HOTGVXAUSA-N 0 0 440.552 -0.117 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NC[C@@H]1CCn3ncc(C(=O)N4CCN(C)CC4)c3C1)CC2 ZINC001330013995 1074294656 /nfs/dbraw/zinc/29/46/56/1074294656.db2.gz SVOUCZLWUOFCMK-HZPDHXFCSA-N 0 0 440.552 -0.117 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)NC[C@H]1CCn3ncc(C(=O)N4CCN(C)CC4)c3C1)CC2 ZINC001330013998 1074294492 /nfs/dbraw/zinc/29/44/92/1074294492.db2.gz SVOUCZLWUOFCMK-JKSUJKDBSA-N 0 0 440.552 -0.117 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CNCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001330014656 1074294447 /nfs/dbraw/zinc/29/44/47/1074294447.db2.gz ASFMQODKCUFWKO-UHFFFAOYSA-N 0 0 443.551 -0.152 20 0 IBADRN O=C(NC[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1)C(F)(F)F ZINC001330059189 1074294586 /nfs/dbraw/zinc/29/45/86/1074294586.db2.gz QZWVFGUAERVPIN-JHJVBQTASA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NC[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1)C(F)(F)F ZINC001330059191 1074294616 /nfs/dbraw/zinc/29/46/16/1074294616.db2.gz QZWVFGUAERVPIN-UPJWGTAASA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NC[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1)C(F)(F)F ZINC001330059193 1074294522 /nfs/dbraw/zinc/29/45/22/1074294522.db2.gz QZWVFGUAERVPIN-XQQFMLRXSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NC[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1)C(F)(F)F ZINC001330059194 1074294459 /nfs/dbraw/zinc/29/44/59/1074294459.db2.gz QZWVFGUAERVPIN-YNEHKIRRSA-N 0 0 439.456 -0.061 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCc1cccc(OCC(=O)NC)c1 ZINC001330086335 1074294482 /nfs/dbraw/zinc/29/44/82/1074294482.db2.gz DNLZGWBSNQOKIH-HNNXBMFYSA-N 0 0 440.522 -0.354 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCc1cccc(OCC(=O)NC)c1 ZINC001330086336 1074294474 /nfs/dbraw/zinc/29/44/74/1074294474.db2.gz DNLZGWBSNQOKIH-OAHLLOKOSA-N 0 0 440.522 -0.354 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCc1cccnc1N1CCOCC1 ZINC001330088143 1074294602 /nfs/dbraw/zinc/29/46/02/1074294602.db2.gz UGHRLBWEQVVZGC-INIZCTEOSA-N 0 0 439.538 -0.247 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCc1cccnc1N1CCOCC1 ZINC001330088144 1074294724 /nfs/dbraw/zinc/29/47/24/1074294724.db2.gz UGHRLBWEQVVZGC-MRXNPFEDSA-N 0 0 439.538 -0.247 20 0 IBADRN O=C(c1ccnc(N2CCCC2)n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001330111563 1074294540 /nfs/dbraw/zinc/29/45/40/1074294540.db2.gz YXJZGPABNRSNDR-AWEZNQCLSA-N 0 0 443.551 -0.649 20 0 IBADRN O=C(c1ccnc(N2CCCC2)n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001330111566 1074294718 /nfs/dbraw/zinc/29/47/18/1074294718.db2.gz YXJZGPABNRSNDR-CQSZACIVSA-N 0 0 443.551 -0.649 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCc2ncccn2)CCN1C(=O)CCc1ncccn1 ZINC001330128703 1074294551 /nfs/dbraw/zinc/29/45/51/1074294551.db2.gz SWVSGYSIFRMQHQ-INIZCTEOSA-N 0 0 425.493 -0.040 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCc2ncccn2)CCN1C(=O)CCc1ncccn1 ZINC001330128705 1074294643 /nfs/dbraw/zinc/29/46/43/1074294643.db2.gz SWVSGYSIFRMQHQ-MRXNPFEDSA-N 0 0 425.493 -0.040 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)c3cc4n(n3)CCO4)CCN2C(=O)c2cc3n(n2)CCO3)cn1 ZINC001330138073 1074295200 /nfs/dbraw/zinc/29/52/00/1074295200.db2.gz KOUOKXYJPANWGK-INIZCTEOSA-N 0 0 438.448 -0.063 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)c3cc4n(n3)CCO4)CCN2C(=O)c2cc3n(n2)CCO3)cn1 ZINC001330138078 1074295178 /nfs/dbraw/zinc/29/51/78/1074295178.db2.gz KOUOKXYJPANWGK-MRXNPFEDSA-N 0 0 438.448 -0.063 20 0 IBADRN CNc1nc(N2CC[C@@H](CS(C)(=O)=O)C2)nc(N2CC[C@@H](CS(C)(=O)=O)C2)n1 ZINC001330157461 1074295091 /nfs/dbraw/zinc/29/50/91/1074295091.db2.gz PWUHPMDDAKHJEN-CHWSQXEVSA-N 0 0 432.572 -0.923 20 0 IBADRN CNc1nc(N2CC[C@H](CS(C)(=O)=O)C2)nc(N2CC[C@H](CS(C)(=O)=O)C2)n1 ZINC001330157462 1074295003 /nfs/dbraw/zinc/29/50/03/1074295003.db2.gz PWUHPMDDAKHJEN-STQMWFEESA-N 0 0 432.572 -0.923 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC(CS(C)(=O)=O)CC2)c(S(N)(=O)=O)c1 ZINC001330158729 1074295046 /nfs/dbraw/zinc/29/50/46/1074295046.db2.gz WBGZAKLTKCWXKR-UHFFFAOYSA-N 0 0 439.581 -0.155 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@@H]1CCOC1 ZINC001330167020 1074295142 /nfs/dbraw/zinc/29/51/42/1074295142.db2.gz MYFMBHWFLISANO-CVEARBPZSA-N 0 0 446.574 -0.033 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@@H]1CCOC1 ZINC001330167021 1074295216 /nfs/dbraw/zinc/29/52/16/1074295216.db2.gz MYFMBHWFLISANO-HOTGVXAUSA-N 0 0 446.574 -0.033 20 0 IBADRN COCCO[C@H](C)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@H]1CCOC1 ZINC001330167022 1074295191 /nfs/dbraw/zinc/29/51/91/1074295191.db2.gz MYFMBHWFLISANO-HZPDHXFCSA-N 0 0 446.574 -0.033 20 0 IBADRN COCCO[C@@H](C)c1nnc(N2CCN(S(=O)(=O)N(C)C)CC2)n1C[C@H]1CCOC1 ZINC001330167023 1074295060 /nfs/dbraw/zinc/29/50/60/1074295060.db2.gz MYFMBHWFLISANO-JKSUJKDBSA-N 0 0 446.574 -0.033 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001330173579 1074295153 /nfs/dbraw/zinc/29/51/53/1074295153.db2.gz GOIJJLZLTSKWRM-KRWDZBQOSA-N 0 0 427.465 -0.174 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001330173580 1074295171 /nfs/dbraw/zinc/29/51/71/1074295171.db2.gz GOIJJLZLTSKWRM-QGZVFWFLSA-N 0 0 427.465 -0.174 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(-c3ncc[nH]3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001330227446 1074295207 /nfs/dbraw/zinc/29/52/07/1074295207.db2.gz JGVUNNURABYBFQ-HNNXBMFYSA-N 0 0 432.506 -0.467 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(-c3ncc[nH]3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001330227448 1074295030 /nfs/dbraw/zinc/29/50/30/1074295030.db2.gz JGVUNNURABYBFQ-OAHLLOKOSA-N 0 0 432.506 -0.467 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)NC3CCN(CCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC001330235137 1074295128 /nfs/dbraw/zinc/29/51/28/1074295128.db2.gz USZACOKQOYBBAM-HNNXBMFYSA-N 0 0 426.543 -0.358 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)NC3CCN(CCS(C)(=O)=O)CC3)C2=O)n(C)n1 ZINC001330235138 1074295069 /nfs/dbraw/zinc/29/50/69/1074295069.db2.gz USZACOKQOYBBAM-OAHLLOKOSA-N 0 0 426.543 -0.358 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001330269422 1074295114 /nfs/dbraw/zinc/29/51/14/1074295114.db2.gz DBCWAOUQBSOYAE-INIZCTEOSA-N 0 0 434.497 -0.916 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001330269423 1074295643 /nfs/dbraw/zinc/29/56/43/1074295643.db2.gz DBCWAOUQBSOYAE-MRXNPFEDSA-N 0 0 434.497 -0.916 20 0 IBADRN Cc1nn(CCC(=O)N2CCCNC(=O)C2)c(C)c1CCC(=O)N1CCCNC(=O)C1 ZINC001330289419 1074295677 /nfs/dbraw/zinc/29/56/77/1074295677.db2.gz YPHNYMAOVRVMAN-UHFFFAOYSA-N 0 0 432.525 -0.480 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001330305992 1074295610 /nfs/dbraw/zinc/29/56/10/1074295610.db2.gz VENXLLCTTPEBRK-CABCVRRESA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001330305993 1074295620 /nfs/dbraw/zinc/29/56/20/1074295620.db2.gz VENXLLCTTPEBRK-GJZGRUSLSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001330305996 1074295652 /nfs/dbraw/zinc/29/56/52/1074295652.db2.gz VENXLLCTTPEBRK-HUUCEWRRSA-N 0 0 437.584 -0.805 20 0 IBADRN CNS(=O)(=O)C1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001330305998 1074295566 /nfs/dbraw/zinc/29/55/66/1074295566.db2.gz VENXLLCTTPEBRK-LSDHHAIUSA-N 0 0 437.584 -0.805 20 0 IBADRN Cc1n[nH]c(C)c1C[C@@H](C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001330313771 1074295635 /nfs/dbraw/zinc/29/56/35/1074295635.db2.gz FWTOUCZTVQHQBY-DOMZBBRYSA-N 0 0 432.568 -0.134 20 0 IBADRN Cc1n[nH]c(C)c1C[C@@H](C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001330313772 1074295668 /nfs/dbraw/zinc/29/56/68/1074295668.db2.gz FWTOUCZTVQHQBY-IUODEOHRSA-N 0 0 432.568 -0.134 20 0 IBADRN Cc1n[nH]c(C)c1C[C@H](C)C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001330313773 1074295523 /nfs/dbraw/zinc/29/55/23/1074295523.db2.gz FWTOUCZTVQHQBY-SWLSCSKDSA-N 0 0 432.568 -0.134 20 0 IBADRN Cc1n[nH]c(C)c1C[C@H](C)C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001330313774 1074295536 /nfs/dbraw/zinc/29/55/36/1074295536.db2.gz FWTOUCZTVQHQBY-WFASDCNBSA-N 0 0 432.568 -0.134 20 0 IBADRN Cc1nc(SCCC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001330315461 1074295742 /nfs/dbraw/zinc/29/57/42/1074295742.db2.gz WSTDSTAFLMNGJE-GFCCVEGCSA-N 0 0 437.569 -0.744 20 0 IBADRN Cc1nc(SCCC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n[nH]1 ZINC001330315463 1074295719 /nfs/dbraw/zinc/29/57/19/1074295719.db2.gz WSTDSTAFLMNGJE-LBPRGKRZSA-N 0 0 437.569 -0.744 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C2CC2)[C@@H]2CCS(=O)(=O)C2)s1 ZINC001330338750 1074295687 /nfs/dbraw/zinc/29/56/87/1074295687.db2.gz SWAOHGCOAWNHJH-CYBMUJFWSA-N 0 0 449.576 -0.159 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N(C2CC2)[C@H]2CCS(=O)(=O)C2)s1 ZINC001330338751 1074295493 /nfs/dbraw/zinc/29/54/93/1074295493.db2.gz SWAOHGCOAWNHJH-ZDUSSCGKSA-N 0 0 449.576 -0.159 20 0 IBADRN Cn1cnnc1COCC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001330352231 1074295627 /nfs/dbraw/zinc/29/56/27/1074295627.db2.gz YHWHTISRLUWOTP-KBPBESRZSA-N 0 0 430.483 -0.930 20 0 IBADRN Cn1cnnc1COCC(=O)OCC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001330352233 1074295545 /nfs/dbraw/zinc/29/55/45/1074295545.db2.gz YHWHTISRLUWOTP-KGLIPLIRSA-N 0 0 430.483 -0.930 20 0 IBADRN Cn1cnnc1COCC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001330352235 1074295558 /nfs/dbraw/zinc/29/55/58/1074295558.db2.gz YHWHTISRLUWOTP-UONOGXRCSA-N 0 0 430.483 -0.930 20 0 IBADRN Cn1cnnc1COCC(=O)OCC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001330352238 1074295659 /nfs/dbraw/zinc/29/56/59/1074295659.db2.gz YHWHTISRLUWOTP-ZIAGYGMSSA-N 0 0 430.483 -0.930 20 0 IBADRN O=C(C[C@@H]1CCCS(=O)(=O)C1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001330358425 1074296101 /nfs/dbraw/zinc/29/61/01/1074296101.db2.gz ZZVJMXCXCRPZJH-DLBZAZTESA-N 0 0 436.596 -0.061 20 0 IBADRN O=C(C[C@H]1CCCS(=O)(=O)C1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001330358427 1074296169 /nfs/dbraw/zinc/29/61/69/1074296169.db2.gz ZZVJMXCXCRPZJH-IAGOWNOFSA-N 0 0 436.596 -0.061 20 0 IBADRN O=C(C[C@@H]1CCCS(=O)(=O)C1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001330358429 1074296064 /nfs/dbraw/zinc/29/60/64/1074296064.db2.gz ZZVJMXCXCRPZJH-IRXDYDNUSA-N 0 0 436.596 -0.061 20 0 IBADRN O=C(C[C@H]1CCCS(=O)(=O)C1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001330358431 1074296109 /nfs/dbraw/zinc/29/61/09/1074296109.db2.gz ZZVJMXCXCRPZJH-SJORKVTESA-N 0 0 436.596 -0.061 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NC2CCN(S(C)(=O)=O)CC2)cc1 ZINC001330404240 1074296174 /nfs/dbraw/zinc/29/61/74/1074296174.db2.gz IGKCHBRVMAOAHN-UHFFFAOYSA-N 0 0 431.536 -0.298 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NC1CCN(S(C)(=O)=O)CC1)c2=O ZINC001330406796 1074296096 /nfs/dbraw/zinc/29/60/96/1074296096.db2.gz XABKROPCRQGPLO-UHFFFAOYSA-N 0 0 447.315 -0.567 20 0 IBADRN CS(=O)(=O)C[C@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC001330424779 1074296179 /nfs/dbraw/zinc/29/61/79/1074296179.db2.gz QLNWCGXYEXFEDJ-AWEZNQCLSA-N 0 0 443.547 -0.296 20 0 IBADRN CS(=O)(=O)C[C@@H]1CCCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C1 ZINC001330424783 1074296086 /nfs/dbraw/zinc/29/60/86/1074296086.db2.gz QLNWCGXYEXFEDJ-CQSZACIVSA-N 0 0 443.547 -0.296 20 0 IBADRN O=C(NCCO)C1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001330435032 1074296185 /nfs/dbraw/zinc/29/61/85/1074296185.db2.gz FFZPWLKSSIOUJF-UHFFFAOYSA-N 0 0 425.507 -0.332 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC001330436443 1074296165 /nfs/dbraw/zinc/29/61/65/1074296165.db2.gz OEQMZUWMLCTBSU-UHFFFAOYSA-N 0 0 439.556 -0.436 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](CS(C)(=O)=O)C2)s1 ZINC001330438877 1074296159 /nfs/dbraw/zinc/29/61/59/1074296159.db2.gz BKLOEXYJOYUXLG-GFCCVEGCSA-N 0 0 437.565 -0.444 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](CS(C)(=O)=O)C2)s1 ZINC001330438878 1074296053 /nfs/dbraw/zinc/29/60/53/1074296053.db2.gz BKLOEXYJOYUXLG-LBPRGKRZSA-N 0 0 437.565 -0.444 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)cn1 ZINC001330444122 1074296128 /nfs/dbraw/zinc/29/61/28/1074296128.db2.gz BRIYULJVLNPHIR-UHFFFAOYSA-N 0 0 426.543 -0.005 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(CS(C)(=O)=O)CC1 ZINC001330460129 1074296105 /nfs/dbraw/zinc/29/61/05/1074296105.db2.gz WSQBFTPFFNJVPC-UHFFFAOYSA-N 0 0 433.552 -0.057 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)c1cc(S(=O)(=O)N3CCOCC3)cn1C)C2 ZINC001330460761 1074296074 /nfs/dbraw/zinc/29/60/74/1074296074.db2.gz CRTUITQKWSODNF-UHFFFAOYSA-N 0 0 438.510 -0.518 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)N(CCOC)C3=O)CC1 ZINC001330461097 1074296151 /nfs/dbraw/zinc/29/61/51/1074296151.db2.gz NNGWRTOPEWZJBQ-UHFFFAOYSA-N 0 0 445.519 -0.369 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001330461121 1074296589 /nfs/dbraw/zinc/29/65/89/1074296589.db2.gz OOEBGUZBLMEDNH-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001330461369 1074296657 /nfs/dbraw/zinc/29/66/57/1074296657.db2.gz QXJMXCKGIUKVAP-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CC3(C2)CCOC3)c(=O)[nH]c1=O ZINC001330489684 1074296679 /nfs/dbraw/zinc/29/66/79/1074296679.db2.gz UEYWMRUFXCYTJK-UHFFFAOYSA-N 0 0 437.497 -0.050 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC001330503397 1074296631 /nfs/dbraw/zinc/29/66/31/1074296631.db2.gz LZONTDPNVUHCSM-UHFFFAOYSA-N 0 0 428.492 -0.378 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)Nc3cc(C)nn3C)[C@@H](CCO)C2)n(C)n1 ZINC001330505046 1074296517 /nfs/dbraw/zinc/29/65/17/1074296517.db2.gz ZIFATTQPURRERC-INIZCTEOSA-N 0 0 432.529 -0.284 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(CC(=O)Nc3cc(C)nn3C)[C@H](CCO)C2)n(C)n1 ZINC001330505048 1074296671 /nfs/dbraw/zinc/29/66/71/1074296671.db2.gz ZIFATTQPURRERC-MRXNPFEDSA-N 0 0 432.529 -0.284 20 0 IBADRN Cn1cc(-c2nc([C@@H]3COCCN3C(=O)NCCCN3CCCS3(=O)=O)no2)cn1 ZINC001330536377 1074296649 /nfs/dbraw/zinc/29/66/49/1074296649.db2.gz LZZWVXDTHCXUCN-AWEZNQCLSA-N 0 0 439.498 -0.021 20 0 IBADRN Cn1cc(-c2nc([C@H]3COCCN3C(=O)NCCCN3CCCS3(=O)=O)no2)cn1 ZINC001330536378 1074296608 /nfs/dbraw/zinc/29/66/08/1074296608.db2.gz LZZWVXDTHCXUCN-CQSZACIVSA-N 0 0 439.498 -0.021 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@H]2CCNC(=O)CC2)cc1)S(C)(=O)=O ZINC001330550168 1074296690 /nfs/dbraw/zinc/29/66/90/1074296690.db2.gz BONTUQPIVWORCI-CYBMUJFWSA-N 0 0 447.535 -0.177 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@@H]2CCNC(=O)CC2)cc1)S(C)(=O)=O ZINC001330550169 1074296549 /nfs/dbraw/zinc/29/65/49/1074296549.db2.gz BONTUQPIVWORCI-ZDUSSCGKSA-N 0 0 447.535 -0.177 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC001330594025 1074296596 /nfs/dbraw/zinc/29/65/96/1074296596.db2.gz BXYCQBKBQPEWQY-KRWDZBQOSA-N 0 0 438.506 -0.181 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC001330594026 1074296579 /nfs/dbraw/zinc/29/65/79/1074296579.db2.gz BXYCQBKBQPEWQY-QGZVFWFLSA-N 0 0 438.506 -0.181 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001330594989 1074296537 /nfs/dbraw/zinc/29/65/37/1074296537.db2.gz LLEWJORFFWCQRI-UHFFFAOYSA-N 0 0 425.507 -0.332 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001330595992 1074296643 /nfs/dbraw/zinc/29/66/43/1074296643.db2.gz PHTSEAFSSDZNPV-KRWDZBQOSA-N 0 0 430.509 -0.199 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001330595993 1074296492 /nfs/dbraw/zinc/29/64/92/1074296492.db2.gz PHTSEAFSSDZNPV-QGZVFWFLSA-N 0 0 430.509 -0.199 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCC(C(=O)NCCO)CC2)cc1 ZINC001330596416 1074296601 /nfs/dbraw/zinc/29/66/01/1074296601.db2.gz UDOSOPREPRMXAO-UHFFFAOYSA-N 0 0 425.507 -0.095 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001330596727 1074296663 /nfs/dbraw/zinc/29/66/63/1074296663.db2.gz WNBYYTSEDYTUTG-GOSISDBHSA-N 0 0 443.504 -0.117 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001330596728 1074296506 /nfs/dbraw/zinc/29/65/06/1074296506.db2.gz WNBYYTSEDYTUTG-SFHVURJKSA-N 0 0 443.504 -0.117 20 0 IBADRN CS(=O)(=O)CC1(CC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001330615125 1074296529 /nfs/dbraw/zinc/29/65/29/1074296529.db2.gz ORSQXXVFZBICPW-AWEZNQCLSA-N 0 0 436.552 -0.924 20 0 IBADRN CS(=O)(=O)CC1(CC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001330615127 1074297095 /nfs/dbraw/zinc/29/70/95/1074297095.db2.gz ORSQXXVFZBICPW-CQSZACIVSA-N 0 0 436.552 -0.924 20 0 IBADRN Cc1nc(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)nn1-c1nc(C(F)(F)F)n[nH]1 ZINC001330678122 1074297112 /nfs/dbraw/zinc/29/71/12/1074297112.db2.gz NSIUEVXKNGOTES-UHFFFAOYSA-N 0 0 443.390 -0.077 20 0 IBADRN COC(=O)CN1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)CC1 ZINC001330684846 1074297099 /nfs/dbraw/zinc/29/70/99/1074297099.db2.gz VWMZUBDSFNCSCK-UHFFFAOYSA-N 0 0 437.522 -0.114 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)CN(C)[C@H]3CCS(=O)(=O)C3)CC2)c1N ZINC001330735594 1074297054 /nfs/dbraw/zinc/29/70/54/1074297054.db2.gz PACKBEOFBPWQIC-AWEZNQCLSA-N 0 0 427.527 -0.076 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)CN(C)[C@@H]3CCS(=O)(=O)C3)CC2)c1N ZINC001330735597 1074297083 /nfs/dbraw/zinc/29/70/83/1074297083.db2.gz PACKBEOFBPWQIC-CQSZACIVSA-N 0 0 427.527 -0.076 20 0 IBADRN COCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)c2cccn2C)CC1 ZINC001330739098 1074297038 /nfs/dbraw/zinc/29/70/38/1074297038.db2.gz FPYDOCWGCRIOPT-AWEZNQCLSA-N 0 0 439.542 -0.164 20 0 IBADRN COCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)c2cccn2C)CC1 ZINC001330739103 1074296990 /nfs/dbraw/zinc/29/69/90/1074296990.db2.gz FPYDOCWGCRIOPT-CQSZACIVSA-N 0 0 439.542 -0.164 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)Cc2ccc(S(=O)(=O)NC)cc2)CC1 ZINC001330751437 1074296959 /nfs/dbraw/zinc/29/69/59/1074296959.db2.gz HURQSHJBTNLYQY-UHFFFAOYSA-N 0 0 432.568 -0.132 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC(C(=O)NCCO)CC2)C1 ZINC001330751801 1074297044 /nfs/dbraw/zinc/29/70/44/1074297044.db2.gz LORGRIXBECXSTN-INIZCTEOSA-N 0 0 441.554 -0.226 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC(C(=O)NCCO)CC2)C1 ZINC001330751802 1074296979 /nfs/dbraw/zinc/29/69/79/1074296979.db2.gz LORGRIXBECXSTN-MRXNPFEDSA-N 0 0 441.554 -0.226 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCC(NC(N)=O)CC3)c2)CC1 ZINC001330806055 1074297017 /nfs/dbraw/zinc/29/70/17/1074297017.db2.gz HLRISWMUHCGEAA-UHFFFAOYSA-N 0 0 437.522 -0.188 20 0 IBADRN O=C(CN1CCCC1=O)N1CCC(C(=O)N2CCN(C(=O)CN3CCCC3=O)CC2)CC1 ZINC001330807570 1074297124 /nfs/dbraw/zinc/29/71/24/1074297124.db2.gz NMDDWYNAGQIPEK-UHFFFAOYSA-N 0 0 447.536 -0.859 20 0 IBADRN COc1ccc(CC(=O)N2CCC(NC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001330807833 1074297132 /nfs/dbraw/zinc/29/71/32/1074297132.db2.gz DNSTZTFBZJUQPC-UHFFFAOYSA-N 0 0 440.522 -0.082 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CO[C@H]2CCOC2)CC1 ZINC001330808522 1074296999 /nfs/dbraw/zinc/29/69/99/1074296999.db2.gz JXYOTTSCIOMAQC-AWEZNQCLSA-N 0 0 431.467 -0.527 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)COC(=O)CO[C@@H]2CCOC2)CC1 ZINC001330808526 1074297048 /nfs/dbraw/zinc/29/70/48/1074297048.db2.gz JXYOTTSCIOMAQC-CQSZACIVSA-N 0 0 431.467 -0.527 20 0 IBADRN CC(CNS(=O)(=O)N(C)C1CCOCC1)CNS(=O)(=O)N(C)C1CCOCC1 ZINC001330808910 1074297071 /nfs/dbraw/zinc/29/70/71/1074297071.db2.gz FMRRCZINSUMSNX-UHFFFAOYSA-N 0 0 442.604 -0.487 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC001330822981 1074297449 /nfs/dbraw/zinc/29/74/49/1074297449.db2.gz HIDHJELGLUTZQX-UHFFFAOYSA-N 0 0 448.567 -0.477 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)CC2)C1=O ZINC001330964595 1074297592 /nfs/dbraw/zinc/29/75/92/1074297592.db2.gz XZPUJTYVJKEDOB-UHFFFAOYSA-N 0 0 442.519 -0.075 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)c1cn(CCO)nc1C1CCOCC1 ZINC001331002335 1074297588 /nfs/dbraw/zinc/29/75/88/1074297588.db2.gz KSPDIRYOXOWZGR-INIZCTEOSA-N 0 0 444.554 -0.012 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)c1cn(CCO)nc1C1CCOCC1 ZINC001331002360 1074297568 /nfs/dbraw/zinc/29/75/68/1074297568.db2.gz KSPDIRYOXOWZGR-MRXNPFEDSA-N 0 0 444.554 -0.012 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(CCO)nc2C2CCOCC2)CC1)N1CCOCC1 ZINC001331003085 1074297612 /nfs/dbraw/zinc/29/76/12/1074297612.db2.gz OFONSNQEDSSVLI-UHFFFAOYSA-N 0 0 435.525 -0.614 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)c1cn(CCO)nc1C1CCOCC1 ZINC001331004451 1074297558 /nfs/dbraw/zinc/29/75/58/1074297558.db2.gz WUHVBIROEXYMIV-UHFFFAOYSA-N 0 0 432.568 -0.122 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O ZINC001331044274 1074297512 /nfs/dbraw/zinc/29/75/12/1074297512.db2.gz VEQOLYACLMJBIA-AWEZNQCLSA-N 0 0 427.527 -0.200 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)CCc1c(C)nc(N2CCOCC2)[nH]c1=O ZINC001331044277 1074297576 /nfs/dbraw/zinc/29/75/76/1074297576.db2.gz VEQOLYACLMJBIA-CQSZACIVSA-N 0 0 427.527 -0.200 20 0 IBADRN COCCn1cnc2c1c(=O)n([C@@H](C)C(=O)N1CCN(C(C)=O)CC1)c(=O)n2CC(C)C ZINC001331095317 1074297553 /nfs/dbraw/zinc/29/75/53/1074297553.db2.gz NCNGLYQLWXPQFZ-HNNXBMFYSA-N 0 0 448.524 -0.086 20 0 IBADRN COCCn1cnc2c1c(=O)n([C@H](C)C(=O)N1CCN(C(C)=O)CC1)c(=O)n2CC(C)C ZINC001331095322 1074297526 /nfs/dbraw/zinc/29/75/26/1074297526.db2.gz NCNGLYQLWXPQFZ-OAHLLOKOSA-N 0 0 448.524 -0.086 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1Cn1nc(CCC(N)=O)n(C[C@@H]2CCCO2)c1=S ZINC001331105789 1074297458 /nfs/dbraw/zinc/29/74/58/1074297458.db2.gz KERBHMDINZEDAY-KBPBESRZSA-N 0 0 446.599 -0.018 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1Cn1nc(CCC(N)=O)n(C[C@@H]2CCCO2)c1=S ZINC001331105795 1074297625 /nfs/dbraw/zinc/29/76/25/1074297625.db2.gz KERBHMDINZEDAY-KGLIPLIRSA-N 0 0 446.599 -0.018 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1Cn1nc(CCC(N)=O)n(C[C@H]2CCCO2)c1=S ZINC001331105800 1074297534 /nfs/dbraw/zinc/29/75/34/1074297534.db2.gz KERBHMDINZEDAY-UONOGXRCSA-N 0 0 446.599 -0.018 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1Cn1nc(CCC(N)=O)n(C[C@H]2CCCO2)c1=S ZINC001331105803 1074297430 /nfs/dbraw/zinc/29/74/30/1074297430.db2.gz KERBHMDINZEDAY-ZIAGYGMSSA-N 0 0 446.599 -0.018 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)CC1 ZINC001331111050 1074297498 /nfs/dbraw/zinc/29/74/98/1074297498.db2.gz ORFCOCYDSOZQPQ-UHFFFAOYSA-N 0 0 445.567 -0.841 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)Cn1nc(C(=O)N2CCCC[C@@H]2C(=O)OC)ccc1=O ZINC001331133822 1074297539 /nfs/dbraw/zinc/29/75/39/1074297539.db2.gz XKUNVDUUYBMMFA-HZPDHXFCSA-N 0 0 448.476 -0.035 20 0 IBADRN COc1cc(C(=O)N(CCN(C)C)[C@H]2CCS(=O)(=O)C2)cc(OC)c1OCC(N)=O ZINC001331182355 1074297618 /nfs/dbraw/zinc/29/76/18/1074297618.db2.gz BGDPGYUIQZWCAU-AWEZNQCLSA-N 0 0 443.522 -0.241 20 0 IBADRN COc1cc(C(=O)N(CCN(C)C)[C@@H]2CCS(=O)(=O)C2)cc(OC)c1OCC(N)=O ZINC001331182361 1074297478 /nfs/dbraw/zinc/29/74/78/1074297478.db2.gz BGDPGYUIQZWCAU-CQSZACIVSA-N 0 0 443.522 -0.241 20 0 IBADRN O=C(CN1CCO[C@H](c2noc(C3CC3)n2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001331196390 1074298028 /nfs/dbraw/zinc/29/80/28/1074298028.db2.gz NCKWBPQFTVQWRR-CVEARBPZSA-N 0 0 439.538 -0.348 20 0 IBADRN O=C(CN1CCO[C@H](c2noc(C3CC3)n2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001331196396 1074298082 /nfs/dbraw/zinc/29/80/82/1074298082.db2.gz NCKWBPQFTVQWRR-HOTGVXAUSA-N 0 0 439.538 -0.348 20 0 IBADRN O=C(CN1CCO[C@@H](c2noc(C3CC3)n2)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001331196400 1074298073 /nfs/dbraw/zinc/29/80/73/1074298073.db2.gz NCKWBPQFTVQWRR-HZPDHXFCSA-N 0 0 439.538 -0.348 20 0 IBADRN O=C(CN1CCO[C@@H](c2noc(C3CC3)n2)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001331196402 1074297831 /nfs/dbraw/zinc/29/78/31/1074297831.db2.gz NCKWBPQFTVQWRR-JKSUJKDBSA-N 0 0 439.538 -0.348 20 0 IBADRN Cc1cc(NC(=O)CN2CCC[C@H](NS(C)(=O)=O)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001331198297 1074298069 /nfs/dbraw/zinc/29/80/69/1074298069.db2.gz WLXILMJNWLZYFD-KBPBESRZSA-N 0 0 433.556 -0.497 20 0 IBADRN Cc1cc(NC(=O)CN2CCC[C@@H](NS(C)(=O)=O)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001331198298 1074298007 /nfs/dbraw/zinc/29/80/07/1074298007.db2.gz WLXILMJNWLZYFD-KGLIPLIRSA-N 0 0 433.556 -0.497 20 0 IBADRN Cc1cc(NC(=O)CN2CCC[C@H](NS(C)(=O)=O)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001331198299 1074297925 /nfs/dbraw/zinc/29/79/25/1074297925.db2.gz WLXILMJNWLZYFD-UONOGXRCSA-N 0 0 433.556 -0.497 20 0 IBADRN Cc1cc(NC(=O)CN2CCC[C@@H](NS(C)(=O)=O)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001331198302 1074297947 /nfs/dbraw/zinc/29/79/47/1074297947.db2.gz WLXILMJNWLZYFD-ZIAGYGMSSA-N 0 0 433.556 -0.497 20 0 IBADRN NC(=O)C1(NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCOCC1 ZINC001331222402 1074298016 /nfs/dbraw/zinc/29/80/16/1074298016.db2.gz NHXSFLOIIYDLPJ-UHFFFAOYSA-N 0 0 425.507 -0.209 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)o1 ZINC001331289931 1074297848 /nfs/dbraw/zinc/29/78/48/1074297848.db2.gz TUMUUFORAKFOQG-UHFFFAOYSA-N 0 0 428.511 -0.382 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2cn(CCO)nc2C2CCOCC2)CC1 ZINC001331298764 1074297957 /nfs/dbraw/zinc/29/79/57/1074297957.db2.gz BFUJWPGMFUWJFD-UHFFFAOYSA-N 0 0 429.543 -0.924 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2cn(CCO)nc2C2CCOCC2)CC1 ZINC001331299824 1074297893 /nfs/dbraw/zinc/29/78/93/1074297893.db2.gz INEKIGKNQHDTKO-UHFFFAOYSA-N 0 0 435.525 -0.614 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cn(CCO)nc2C2CCOCC2)CC1 ZINC001331302218 1074298040 /nfs/dbraw/zinc/29/80/40/1074298040.db2.gz SNSSHZKCIYSOLQ-UHFFFAOYSA-N 0 0 447.492 -0.797 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NC3(C(N)=O)CCOCC3)c2)CC1 ZINC001331447670 1074298418 /nfs/dbraw/zinc/29/84/18/1074298418.db2.gz CHKCBVICQZMKQF-UHFFFAOYSA-N 0 0 438.506 -0.696 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@@H](CC(N)=O)C2CCOCC2)cc1 ZINC001331501077 1074298403 /nfs/dbraw/zinc/29/84/03/1074298403.db2.gz ZSLOYTJGYKPAJT-INIZCTEOSA-N 0 0 425.507 -0.051 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N[C@H](CC(N)=O)C2CCOCC2)cc1 ZINC001331501093 1074298408 /nfs/dbraw/zinc/29/84/08/1074298408.db2.gz ZSLOYTJGYKPAJT-MRXNPFEDSA-N 0 0 425.507 -0.051 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC001331607416 1074298435 /nfs/dbraw/zinc/29/84/35/1074298435.db2.gz DEIUECUMLPGINY-HNNXBMFYSA-N 0 0 445.538 -0.082 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC001331607427 1074298450 /nfs/dbraw/zinc/29/84/50/1074298450.db2.gz DEIUECUMLPGINY-OAHLLOKOSA-N 0 0 445.538 -0.082 20 0 IBADRN C[C@]1(C2CCN(Cc3nnnn3-c3ccc(S(C)(=O)=O)cc3)CC2)NC(=O)NC1=O ZINC001331609300 1074298371 /nfs/dbraw/zinc/29/83/71/1074298371.db2.gz IDLAOYFRPQFUAM-GOSISDBHSA-N 0 0 433.494 -0.124 20 0 IBADRN C[C@@]1(C2CCN(Cc3nnnn3-c3ccc(S(C)(=O)=O)cc3)CC2)NC(=O)NC1=O ZINC001331609308 1074298399 /nfs/dbraw/zinc/29/83/99/1074298399.db2.gz IDLAOYFRPQFUAM-SFHVURJKSA-N 0 0 433.494 -0.124 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCc3cc(=O)c(O)cn3C)cc2)CC1 ZINC001331699519 1074298387 /nfs/dbraw/zinc/29/83/87/1074298387.db2.gz LEAAXBCLKQVQQF-UHFFFAOYSA-N 0 0 448.501 -0.126 20 0 IBADRN CC(C)[C@H](NC1CCOCC1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001331750313 1074298378 /nfs/dbraw/zinc/29/83/78/1074298378.db2.gz WMVDNLMRCHYSKK-HKUYNNGSSA-N 0 0 445.582 -0.346 20 0 IBADRN CC(C)[C@@H](NC1CCOCC1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001331750314 1074298411 /nfs/dbraw/zinc/29/84/11/1074298411.db2.gz WMVDNLMRCHYSKK-IEBWSBKVSA-N 0 0 445.582 -0.346 20 0 IBADRN CC(C)[C@H](NC1CCOCC1)C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001331750316 1074298425 /nfs/dbraw/zinc/29/84/25/1074298425.db2.gz WMVDNLMRCHYSKK-MJGOQNOKSA-N 0 0 445.582 -0.346 20 0 IBADRN CC(C)[C@@H](NC1CCOCC1)C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001331750418 1074298441 /nfs/dbraw/zinc/29/84/41/1074298441.db2.gz WMVDNLMRCHYSKK-PKOBYXMFSA-N 0 0 445.582 -0.346 20 0 IBADRN Cc1nc(N2CCC(C(=O)NCCO)CC2)c(N2CCC(C(=O)NCCO)CC2)nc1C ZINC001331939447 1074298390 /nfs/dbraw/zinc/29/83/90/1074298390.db2.gz OLQBNOQBMZEQBK-UHFFFAOYSA-N 0 0 448.568 -0.257 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CCOC1=O ZINC001331999030 1074298828 /nfs/dbraw/zinc/29/88/28/1074298828.db2.gz XLRKNEGOSLRDPG-OLZOCXBDSA-N 0 0 438.911 -0.147 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CCOC1=O ZINC001331999043 1074298878 /nfs/dbraw/zinc/29/88/78/1074298878.db2.gz XLRKNEGOSLRDPG-STQMWFEESA-N 0 0 438.911 -0.147 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001332159115 1074298872 /nfs/dbraw/zinc/29/88/72/1074298872.db2.gz CDBAZIXNAPZSEA-UHFFFAOYSA-N 0 0 425.507 -0.332 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(C(=O)NCCO)CC2)[C@@H](C)O)cc1 ZINC001332159126 1074298751 /nfs/dbraw/zinc/29/87/51/1074298751.db2.gz CIDOJSZASIGJIL-PBHICJAKSA-N 0 0 427.523 -0.630 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(C(=O)NCCO)CC2)[C@@H](C)O)cc1 ZINC001332159129 1074298802 /nfs/dbraw/zinc/29/88/02/1074298802.db2.gz CIDOJSZASIGJIL-RHSMWYFYSA-N 0 0 427.523 -0.630 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(C(=O)NCCO)CC2)[C@H](C)O)cc1 ZINC001332159130 1074298731 /nfs/dbraw/zinc/29/87/31/1074298731.db2.gz CIDOJSZASIGJIL-WMLDXEAASA-N 0 0 427.523 -0.630 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(C(=O)NCCO)CC2)[C@H](C)O)cc1 ZINC001332159131 1074298774 /nfs/dbraw/zinc/29/87/74/1074298774.db2.gz CIDOJSZASIGJIL-YOEHRIQHSA-N 0 0 427.523 -0.630 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)Cn1nc2n(c1=O)CCCCC2 ZINC001332159251 1074298808 /nfs/dbraw/zinc/29/88/08/1074298808.db2.gz MLIZNNZNFLOZRI-UHFFFAOYSA-N 0 0 437.522 -0.300 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC(C(=O)NCCO)CC2)cc1OC ZINC001332160892 1074298743 /nfs/dbraw/zinc/29/87/43/1074298743.db2.gz NJOVHRFVRNTGEA-UHFFFAOYSA-N 0 0 443.522 -0.281 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCC(C(=O)NCCO)CC2)cc1 ZINC001332160900 1074298707 /nfs/dbraw/zinc/29/87/07/1074298707.db2.gz NNURNWZKQYFKCN-UHFFFAOYSA-N 0 0 440.522 -0.387 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N(Cc2cccnc2)[C@H]2CCS(=O)(=O)C2)c1 ZINC001332161054 1074298651 /nfs/dbraw/zinc/29/86/51/1074298651.db2.gz MYWJDAJVCLHELR-AWEZNQCLSA-N 0 0 427.508 -0.691 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N(Cc2cccnc2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC001332161055 1074298686 /nfs/dbraw/zinc/29/86/86/1074298686.db2.gz MYWJDAJVCLHELR-CQSZACIVSA-N 0 0 427.508 -0.691 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC2CCN(CC(N)=O)CC2)(S(C)(=O)=O)CC1 ZINC001332196324 1074298757 /nfs/dbraw/zinc/29/87/57/1074298757.db2.gz RPWGTVJRQXWACA-UHFFFAOYSA-N 0 0 446.570 -0.133 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(n2ccnn2)C1 ZINC001332274069 1074298817 /nfs/dbraw/zinc/29/88/17/1074298817.db2.gz MUONFBARLLMCPY-HNNXBMFYSA-N 0 0 427.508 -0.557 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(n2ccnn2)C1 ZINC001332274070 1074298720 /nfs/dbraw/zinc/29/87/20/1074298720.db2.gz MUONFBARLLMCPY-OAHLLOKOSA-N 0 0 427.508 -0.557 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC001332274255 1074298791 /nfs/dbraw/zinc/29/87/91/1074298791.db2.gz HVNXVWGYYMCYHQ-UHFFFAOYSA-N 0 0 437.565 -0.637 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)CCOCC1 ZINC001332352949 1074299247 /nfs/dbraw/zinc/29/92/47/1074299247.db2.gz MVMOQUHYCWEREN-UHFFFAOYSA-N 0 0 431.536 -0.424 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001332364986 1074299235 /nfs/dbraw/zinc/29/92/35/1074299235.db2.gz NHCNJEHOSUVWAK-UHFFFAOYSA-N 0 0 439.538 -0.115 20 0 IBADRN CNc1nc(N2CCC(N3CCNC3=O)CC2)nc(N2CCC(N3CCNC3=O)CC2)n1 ZINC001332401563 1074299255 /nfs/dbraw/zinc/29/92/55/1074299255.db2.gz VGRRYGLDDFEALQ-UHFFFAOYSA-N 0 0 444.544 -0.677 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001332477741 1074299184 /nfs/dbraw/zinc/29/91/84/1074299184.db2.gz NBXSPZKZDTYAFG-AWEZNQCLSA-N 0 0 448.567 -0.528 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)CC1 ZINC001332477742 1074299208 /nfs/dbraw/zinc/29/92/08/1074299208.db2.gz NBXSPZKZDTYAFG-CQSZACIVSA-N 0 0 448.567 -0.528 20 0 IBADRN O=C(c1cc(Br)c(C(=O)N2CCCNC(=O)C2)o1)N1CCCNC(=O)C1 ZINC001332497347 1074299210 /nfs/dbraw/zinc/29/92/10/1074299210.db2.gz MQDHQNMVVXDHDD-UHFFFAOYSA-N 0 0 427.255 -0.034 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001332899609 1074299213 /nfs/dbraw/zinc/29/92/13/1074299213.db2.gz CTDUAIHXQNMPJN-INIZCTEOSA-N 0 0 441.510 -0.389 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001332899627 1074299228 /nfs/dbraw/zinc/29/92/28/1074299228.db2.gz CTDUAIHXQNMPJN-MRXNPFEDSA-N 0 0 441.510 -0.389 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001332901806 1074299226 /nfs/dbraw/zinc/29/92/26/1074299226.db2.gz ODJWQMHFVKOEIQ-KBPBESRZSA-N 0 0 431.536 -0.038 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001332901808 1074299188 /nfs/dbraw/zinc/29/91/88/1074299188.db2.gz ODJWQMHFVKOEIQ-KGLIPLIRSA-N 0 0 431.536 -0.038 20 0 IBADRN O=C(NC[C@H](O)CS(=O)(=O)c1cccs1)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001332901810 1074299193 /nfs/dbraw/zinc/29/91/93/1074299193.db2.gz ODJWQMHFVKOEIQ-UONOGXRCSA-N 0 0 431.536 -0.038 20 0 IBADRN O=C(NC[C@@H](O)CS(=O)(=O)c1cccs1)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001332901811 1074299224 /nfs/dbraw/zinc/29/92/24/1074299224.db2.gz ODJWQMHFVKOEIQ-ZIAGYGMSSA-N 0 0 431.536 -0.038 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)[C@H]1CCS(=O)(=O)C1 ZINC001333060414 1074299220 /nfs/dbraw/zinc/29/92/20/1074299220.db2.gz FLRYPTLWTXIWSN-HNNXBMFYSA-N 0 0 433.552 -0.966 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)[C@@H]1CCS(=O)(=O)C1 ZINC001333060415 1074299201 /nfs/dbraw/zinc/29/92/01/1074299201.db2.gz FLRYPTLWTXIWSN-OAHLLOKOSA-N 0 0 433.552 -0.966 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(S(C)(=O)=O)CC2)c1 ZINC001333060424 1074299204 /nfs/dbraw/zinc/29/92/04/1074299204.db2.gz FUSBZCXNOCXZQO-UHFFFAOYSA-N 0 0 433.552 -0.086 20 0 IBADRN O=C(CN1CCC(C(=O)NCCO)CC1)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001333085120 1074299676 /nfs/dbraw/zinc/29/96/76/1074299676.db2.gz AINRLATWCCINGV-UHFFFAOYSA-N 0 0 432.521 -0.404 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001333086890 1074299655 /nfs/dbraw/zinc/29/96/55/1074299655.db2.gz MWXKYWNWUHZTMA-AWEZNQCLSA-N 0 0 427.479 -0.190 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001333086891 1074299769 /nfs/dbraw/zinc/29/97/69/1074299769.db2.gz MWXKYWNWUHZTMA-CQSZACIVSA-N 0 0 427.479 -0.190 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2C(=O)[C@@H]3CN(C(N)=O)CCN3C2=O)CC1 ZINC001333195931 1074299857 /nfs/dbraw/zinc/29/98/57/1074299857.db2.gz JJWQBLMXZWZNIY-HNNXBMFYSA-N 0 0 436.513 -0.586 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2C(=O)[C@H]3CN(C(N)=O)CCN3C2=O)CC1 ZINC001333195996 1074299602 /nfs/dbraw/zinc/29/96/02/1074299602.db2.gz JJWQBLMXZWZNIY-OAHLLOKOSA-N 0 0 436.513 -0.586 20 0 IBADRN Cc1ccc2nc(CS(=O)(=O)CC(=O)NCCCN(C)S(C)(=O)=O)cc(=O)n2c1 ZINC001333213543 1074299595 /nfs/dbraw/zinc/29/95/95/1074299595.db2.gz CFVXEAGVJCLQKH-UHFFFAOYSA-N 0 0 444.535 -0.685 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C(=O)CNC1=O ZINC001333265670 1074299701 /nfs/dbraw/zinc/29/97/01/1074299701.db2.gz UXRCBCYVKFCZKV-UHFFFAOYSA-N 0 0 425.467 -0.370 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)c2cc(S(=O)(=O)NCCOC)c[nH]2)CC1 ZINC001333272758 1074299567 /nfs/dbraw/zinc/29/95/67/1074299567.db2.gz WCOZCFZFAOPIMY-UHFFFAOYSA-N 0 0 436.556 -0.175 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCCC[C@H]3CS(N)(=O)=O)CC2)cn1C ZINC001333386207 1074300110 /nfs/dbraw/zinc/30/01/10/1074300110.db2.gz HUXCJNAFZUVHLF-HNNXBMFYSA-N 0 0 447.583 -0.201 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCCC[C@@H]3CS(N)(=O)=O)CC2)cn1C ZINC001333386212 1074300234 /nfs/dbraw/zinc/30/02/34/1074300234.db2.gz HUXCJNAFZUVHLF-OAHLLOKOSA-N 0 0 447.583 -0.201 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC(C(=O)NCCO)CC1 ZINC001333420639 1074300139 /nfs/dbraw/zinc/30/01/39/1074300139.db2.gz HHCLFAWXDUCSGF-UHFFFAOYSA-N 0 0 447.579 -0.469 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(CN3C(=O)NC4(CCOCC4)C3=O)CC2)cn1C ZINC001333421103 1074300152 /nfs/dbraw/zinc/30/01/52/1074300152.db2.gz KIJCSTAUUDOVFU-UHFFFAOYSA-N 0 0 426.499 -0.907 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CCN(S(N)(=O)=O)CC2)c1 ZINC001333430095 1074300270 /nfs/dbraw/zinc/30/02/70/1074300270.db2.gz JHMHLFYRZQJTNK-UHFFFAOYSA-N 0 0 441.329 -0.682 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)NC2CCN(CCS(C)(=O)=O)CC2)CC1 ZINC001333430790 1074300307 /nfs/dbraw/zinc/30/03/07/1074300307.db2.gz NUKPAFDZYINPEG-UHFFFAOYSA-N 0 0 438.616 -0.001 20 0 IBADRN NC(=O)CN1CCC(NC(=O)c2sccc2S(=O)(=O)N2CCC(CO)CC2)CC1 ZINC001333432021 1074300175 /nfs/dbraw/zinc/30/01/75/1074300175.db2.gz XVXRUXLHTJUUCE-UHFFFAOYSA-N 0 0 444.579 -0.179 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H](C)C(=O)N3CCC(C(N)=O)CC3)CC2)cn1C ZINC001333480096 1074300084 /nfs/dbraw/zinc/30/00/84/1074300084.db2.gz PWNHDXWCJLWLKL-CYBMUJFWSA-N 0 0 426.543 -0.853 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H](C)C(=O)N3CCC(C(N)=O)CC3)CC2)cn1C ZINC001333480097 1074300215 /nfs/dbraw/zinc/30/02/15/1074300215.db2.gz PWNHDXWCJLWLKL-ZDUSSCGKSA-N 0 0 426.543 -0.853 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001333502087 1074300071 /nfs/dbraw/zinc/30/00/71/1074300071.db2.gz XIPGKFOECASQNN-DOMZBBRYSA-N 0 0 427.527 -0.400 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001333502088 1074300226 /nfs/dbraw/zinc/30/02/26/1074300226.db2.gz XIPGKFOECASQNN-IUODEOHRSA-N 0 0 427.527 -0.400 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001333502089 1074300258 /nfs/dbraw/zinc/30/02/58/1074300258.db2.gz XIPGKFOECASQNN-SWLSCSKDSA-N 0 0 427.527 -0.400 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001333502091 1074300088 /nfs/dbraw/zinc/30/00/88/1074300088.db2.gz XIPGKFOECASQNN-WFASDCNBSA-N 0 0 427.527 -0.400 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3CCC4(CC3)NC(=O)NC4=O)CC2)cn1C ZINC001333520467 1074300133 /nfs/dbraw/zinc/30/01/33/1074300133.db2.gz FTCAGVIOBXEBKN-UHFFFAOYSA-N 0 0 438.510 -0.670 20 0 IBADRN C[C@@H](C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)S(=O)(=O)C1CCOCC1 ZINC001333548611 1074300632 /nfs/dbraw/zinc/30/06/32/1074300632.db2.gz JCXYKLWHWJLSME-AWEZNQCLSA-N 0 0 448.563 -0.102 20 0 IBADRN C[C@H](C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)S(=O)(=O)C1CCOCC1 ZINC001333548638 1074300700 /nfs/dbraw/zinc/30/07/00/1074300700.db2.gz JCXYKLWHWJLSME-CQSZACIVSA-N 0 0 448.563 -0.102 20 0 IBADRN Cc1cc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nn1C ZINC001333550990 1074300689 /nfs/dbraw/zinc/30/06/89/1074300689.db2.gz PPRXLDSNHRUAHJ-CVEARBPZSA-N 0 0 433.552 -0.162 20 0 IBADRN Cc1cc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nn1C ZINC001333550993 1074300670 /nfs/dbraw/zinc/30/06/70/1074300670.db2.gz PPRXLDSNHRUAHJ-HOTGVXAUSA-N 0 0 433.552 -0.162 20 0 IBADRN Cc1cc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)nn1C ZINC001333550995 1074300568 /nfs/dbraw/zinc/30/05/68/1074300568.db2.gz PPRXLDSNHRUAHJ-HZPDHXFCSA-N 0 0 433.552 -0.162 20 0 IBADRN Cc1cc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)nn1C ZINC001333550997 1074300657 /nfs/dbraw/zinc/30/06/57/1074300657.db2.gz PPRXLDSNHRUAHJ-JKSUJKDBSA-N 0 0 433.552 -0.162 20 0 IBADRN COC(=O)[C@@H]1CCN2C(=O)N(Cc3ccc(C(=O)N4CCNC(=O)C4)cc3)C(=O)[C@@H]2C1 ZINC001333568176 1074300662 /nfs/dbraw/zinc/30/06/62/1074300662.db2.gz MHRDPXNDGPQCSI-CVEARBPZSA-N 0 0 428.445 -0.026 20 0 IBADRN COC(=O)[C@H]1CCN2C(=O)N(Cc3ccc(C(=O)N4CCNC(=O)C4)cc3)C(=O)[C@@H]2C1 ZINC001333568177 1074300693 /nfs/dbraw/zinc/30/06/93/1074300693.db2.gz MHRDPXNDGPQCSI-HOTGVXAUSA-N 0 0 428.445 -0.026 20 0 IBADRN COC(=O)[C@@H]1CCN2C(=O)N(Cc3ccc(C(=O)N4CCNC(=O)C4)cc3)C(=O)[C@H]2C1 ZINC001333568178 1074300610 /nfs/dbraw/zinc/30/06/10/1074300610.db2.gz MHRDPXNDGPQCSI-HZPDHXFCSA-N 0 0 428.445 -0.026 20 0 IBADRN COC(=O)[C@H]1CCN2C(=O)N(Cc3ccc(C(=O)N4CCNC(=O)C4)cc3)C(=O)[C@H]2C1 ZINC001333568179 1074300542 /nfs/dbraw/zinc/30/05/42/1074300542.db2.gz MHRDPXNDGPQCSI-JKSUJKDBSA-N 0 0 428.445 -0.026 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)Cc3c(C)[nH]c4ccnn4c3=O)CC2)cn1C ZINC001333607290 1074300576 /nfs/dbraw/zinc/30/05/76/1074300576.db2.gz UWQJZACXEZVRKG-UHFFFAOYSA-N 0 0 433.494 -0.551 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(c3ccc(C(=O)NCCO)nn3)CC2)c1 ZINC001333638839 1074300556 /nfs/dbraw/zinc/30/05/56/1074300556.db2.gz KFDKXUGSLKPGAY-UHFFFAOYSA-N 0 0 433.490 -0.435 20 0 IBADRN COCCC(=O)N1CCC(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC001333722021 1074300625 /nfs/dbraw/zinc/30/06/25/1074300625.db2.gz MYNOPSSRZYFGOG-UHFFFAOYSA-N 0 0 437.497 -0.983 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CCCN(CC(=O)N2CCCC2)CC1 ZINC001333837303 1074300681 /nfs/dbraw/zinc/30/06/81/1074300681.db2.gz LKYZMKARBRHWHK-UHFFFAOYSA-N 0 0 425.511 -0.988 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)O[C@H]1CCNC1=O ZINC001333845652 1074301013 /nfs/dbraw/zinc/30/10/13/1074301013.db2.gz DLZUEXSATLXDAJ-BWSMEOODSA-N 0 0 430.504 -0.188 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)O[C@@H]1CCNC1=O ZINC001333845694 1074301167 /nfs/dbraw/zinc/30/11/67/1074301167.db2.gz DLZUEXSATLXDAJ-PPHUUTPLSA-N 0 0 430.504 -0.188 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)O[C@@H]1CCNC1=O ZINC001333845707 1074301139 /nfs/dbraw/zinc/30/11/39/1074301139.db2.gz DLZUEXSATLXDAJ-USJBSMOHSA-N 0 0 430.504 -0.188 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)O[C@H]1CCNC1=O ZINC001333845709 1074301087 /nfs/dbraw/zinc/30/10/87/1074301087.db2.gz DLZUEXSATLXDAJ-YTKQLVESSA-N 0 0 430.504 -0.188 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@@H](O)CN3CCCC3=O)CC2)c1 ZINC001334124410 1074301044 /nfs/dbraw/zinc/30/10/44/1074301044.db2.gz FQPHRIPYWHFVOU-GOSISDBHSA-N 0 0 438.550 -0.454 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@H](O)CN3CCCC3=O)CC2)c1 ZINC001334124429 1074301127 /nfs/dbraw/zinc/30/11/27/1074301127.db2.gz FQPHRIPYWHFVOU-SFHVURJKSA-N 0 0 438.550 -0.454 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001334124557 1074301152 /nfs/dbraw/zinc/30/11/52/1074301152.db2.gz GHLYURMXGFWMQW-HNNXBMFYSA-N 0 0 434.518 -0.053 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001334124562 1074300941 /nfs/dbraw/zinc/30/09/41/1074300941.db2.gz GHLYURMXGFWMQW-OAHLLOKOSA-N 0 0 434.518 -0.053 20 0 IBADRN COc1ccc(C(=O)NC[C@H](O)CN2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001334125352 1074301188 /nfs/dbraw/zinc/30/11/88/1074301188.db2.gz KRKOXHFBOMBMTL-HNNXBMFYSA-N 0 0 441.506 -0.571 20 0 IBADRN COc1ccc(C(=O)NC[C@@H](O)CN2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001334125353 1074300957 /nfs/dbraw/zinc/30/09/57/1074300957.db2.gz KRKOXHFBOMBMTL-OAHLLOKOSA-N 0 0 441.506 -0.571 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1nnc2n1c1ccsc1c(=O)n2C ZINC001334127306 1074301055 /nfs/dbraw/zinc/30/10/55/1074301055.db2.gz ZJOWFSCMMULKNR-LLVKDONJSA-N 0 0 438.535 -0.232 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1nnc2n1c1ccsc1c(=O)n2C ZINC001334127344 1074301158 /nfs/dbraw/zinc/30/11/58/1074301158.db2.gz ZJOWFSCMMULKNR-NSHDSACASA-N 0 0 438.535 -0.232 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)c2cccn(C)c2=O)CCN1C(=O)c1cccn(C)c1=O ZINC001334171354 1074301034 /nfs/dbraw/zinc/30/10/34/1074301034.db2.gz GYZVJHHPVRZZGK-INIZCTEOSA-N 0 0 427.461 -0.861 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)c2cccn(C)c2=O)CCN1C(=O)c1cccn(C)c1=O ZINC001334171392 1074301121 /nfs/dbraw/zinc/30/11/21/1074301121.db2.gz GYZVJHHPVRZZGK-MRXNPFEDSA-N 0 0 427.461 -0.861 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001334234742 1074301175 /nfs/dbraw/zinc/30/11/75/1074301175.db2.gz LJLUXLMXMZEQBU-KRWDZBQOSA-N 0 0 428.493 -0.398 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CC1 ZINC001334234743 1074301069 /nfs/dbraw/zinc/30/10/69/1074301069.db2.gz LJLUXLMXMZEQBU-QGZVFWFLSA-N 0 0 428.493 -0.398 20 0 IBADRN NC(=O)CN1CCC(NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC001334260274 1074301582 /nfs/dbraw/zinc/30/15/82/1074301582.db2.gz MXXQMJXQRLBZKC-UHFFFAOYSA-N 0 0 430.552 -0.623 20 0 IBADRN O=C(CCNC(=O)c1cnn(CC(=O)NC2CCCC2)c1)N[C@H]1CCS(=O)(=O)C1 ZINC001334266661 1074301498 /nfs/dbraw/zinc/30/14/98/1074301498.db2.gz SVBBTIMEKRIYIC-HNNXBMFYSA-N 0 0 425.511 -0.635 20 0 IBADRN O=C(CCNC(=O)c1cnn(CC(=O)NC2CCCC2)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001334266667 1074301457 /nfs/dbraw/zinc/30/14/57/1074301457.db2.gz SVBBTIMEKRIYIC-OAHLLOKOSA-N 0 0 425.511 -0.635 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC001334358877 1074301614 /nfs/dbraw/zinc/30/16/14/1074301614.db2.gz NQJHWXFQGNNPPH-GOSISDBHSA-N 0 0 425.511 -0.558 20 0 IBADRN CN(CCNS(C)(=O)=O)CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC001334358881 1074301622 /nfs/dbraw/zinc/30/16/22/1074301622.db2.gz NQJHWXFQGNNPPH-SFHVURJKSA-N 0 0 425.511 -0.558 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)C1 ZINC001334387082 1074301556 /nfs/dbraw/zinc/30/15/56/1074301556.db2.gz DCODLTYTSHWVQR-AWEZNQCLSA-N 0 0 443.551 -0.281 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(CC(=O)Nc2cccc(S(=O)(=O)NC3=NCCC3)c2)C1 ZINC001334387083 1074301523 /nfs/dbraw/zinc/30/15/23/1074301523.db2.gz DCODLTYTSHWVQR-CQSZACIVSA-N 0 0 443.551 -0.281 20 0 IBADRN COC(=O)Cn1cc(CC[S@@](=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)nn1 ZINC001334390496 1074301442 /nfs/dbraw/zinc/30/14/42/1074301442.db2.gz GYWVKVJAZUCKQK-MUUNZHRXSA-N 0 0 443.507 -0.783 20 0 IBADRN COC(=O)Cn1cc(CC[S@](=O)c2ccc(S(=O)(=O)N3CCOCC3)cn2)nn1 ZINC001334390497 1074301645 /nfs/dbraw/zinc/30/16/45/1074301645.db2.gz GYWVKVJAZUCKQK-NDEPHWFRSA-N 0 0 443.507 -0.783 20 0 IBADRN NC(=O)COC1CCN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001334390912 1074301600 /nfs/dbraw/zinc/30/16/00/1074301600.db2.gz MGEYPAKIABVCEU-UHFFFAOYSA-N 0 0 440.522 -0.388 20 0 IBADRN O=S(=O)(NCCNc1ccc(S(=O)(=O)N2CCOCC2)cn1)c1cccnc1 ZINC001334419631 1074301465 /nfs/dbraw/zinc/30/14/65/1074301465.db2.gz LQCRUCPKAMGAIR-UHFFFAOYSA-N 0 0 427.508 -0.112 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001334434839 1074301510 /nfs/dbraw/zinc/30/15/10/1074301510.db2.gz AGJWQKNWURKBFE-UHFFFAOYSA-N 0 0 426.499 -0.861 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001334842972 1074301657 /nfs/dbraw/zinc/30/16/57/1074301657.db2.gz AODKTCBKVPVRCE-AWEZNQCLSA-N 0 0 440.522 -0.861 20 0 IBADRN COc1ccccc1N(CCC(N)=O)C(=O)CN(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001334842976 1074301639 /nfs/dbraw/zinc/30/16/39/1074301639.db2.gz AODKTCBKVPVRCE-CQSZACIVSA-N 0 0 440.522 -0.861 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)NCC(=O)c1ccccc1 ZINC001334843020 1074301626 /nfs/dbraw/zinc/30/16/26/1074301626.db2.gz BLLYCOJTSXANEW-INIZCTEOSA-N 0 0 443.547 -0.880 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)NCC(=O)c1ccccc1 ZINC001334843027 1074301650 /nfs/dbraw/zinc/30/16/50/1074301650.db2.gz BLLYCOJTSXANEW-MRXNPFEDSA-N 0 0 443.547 -0.880 20 0 IBADRN COCCN(C(=O)CN1CCC(NC(=O)c2cc(C)on2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001334846411 1074301634 /nfs/dbraw/zinc/30/16/34/1074301634.db2.gz ZRVCNHYUWXTHLF-INIZCTEOSA-N 0 0 442.538 -0.161 20 0 IBADRN COCCN(C(=O)CN1CCC(NC(=O)c2cc(C)on2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001334846477 1074301481 /nfs/dbraw/zinc/30/14/81/1074301481.db2.gz ZRVCNHYUWXTHLF-MRXNPFEDSA-N 0 0 442.538 -0.161 20 0 IBADRN C[NH+](C)CC(=O)N1CCN(S(=O)(=O)c2ccc(N3C[C@@H](C(=O)[O-])CC3=O)cc2)CC1 ZINC001334848943 1074302036 /nfs/dbraw/zinc/30/20/36/1074302036.db2.gz JUMMCEOXPWWWMS-AWEZNQCLSA-N 0 0 438.506 -0.481 20 0 IBADRN C[NH+](C)CC(=O)N1CCN(S(=O)(=O)c2ccc(N3C[C@H](C(=O)[O-])CC3=O)cc2)CC1 ZINC001334848953 1074301967 /nfs/dbraw/zinc/30/19/67/1074301967.db2.gz JUMMCEOXPWWWMS-CQSZACIVSA-N 0 0 438.506 -0.481 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC4(CC3)OCCO4)CC2)o1 ZINC001334867167 1074301958 /nfs/dbraw/zinc/30/19/58/1074301958.db2.gz HIEWHRUIHQYJNT-UHFFFAOYSA-N 0 0 442.494 -0.950 20 0 IBADRN COC(=O)CN(CC(=O)OC)CC(=O)N1CCCc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001334934496 1074301987 /nfs/dbraw/zinc/30/19/87/1074301987.db2.gz AJHDDXJKKGMKFI-UHFFFAOYSA-N 0 0 441.506 -0.136 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001335041891 1074301919 /nfs/dbraw/zinc/30/19/19/1074301919.db2.gz CVIQYNLCMMTPKV-ARFHVFGLSA-N 0 0 428.555 -0.838 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001335041892 1074302048 /nfs/dbraw/zinc/30/20/48/1074302048.db2.gz CVIQYNLCMMTPKV-BZUAXINKSA-N 0 0 428.555 -0.838 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001335041893 1074302092 /nfs/dbraw/zinc/30/20/92/1074302092.db2.gz CVIQYNLCMMTPKV-OAGGEKHMSA-N 0 0 428.555 -0.838 20 0 IBADRN NC(=O)CN1CCC(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001335041894 1074302120 /nfs/dbraw/zinc/30/21/20/1074302120.db2.gz CVIQYNLCMMTPKV-XHSDSOJGSA-N 0 0 428.555 -0.838 20 0 IBADRN CC(=O)c1c(C)[nH]c(C(=O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1C ZINC001335059471 1074302075 /nfs/dbraw/zinc/30/20/75/1074302075.db2.gz GXPUXIPXEJMTGW-INIZCTEOSA-N 0 0 438.550 -0.062 20 0 IBADRN CC(=O)c1c(C)[nH]c(C(=O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1C ZINC001335059472 1074301940 /nfs/dbraw/zinc/30/19/40/1074301940.db2.gz GXPUXIPXEJMTGW-MRXNPFEDSA-N 0 0 438.550 -0.062 20 0 IBADRN O=C(CN1CCN(Cc2nc3ccsc3c(=O)[nH]2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001335059507 1074302132 /nfs/dbraw/zinc/30/21/32/1074302132.db2.gz GZYKDTPLKIXRSM-GFCCVEGCSA-N 0 0 425.536 -0.182 20 0 IBADRN O=C(CN1CCN(Cc2nc3ccsc3c(=O)[nH]2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001335059529 1074302011 /nfs/dbraw/zinc/30/20/11/1074302011.db2.gz GZYKDTPLKIXRSM-LBPRGKRZSA-N 0 0 425.536 -0.182 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(CC[S@](C)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001335060087 1074302059 /nfs/dbraw/zinc/30/20/59/1074302059.db2.gz IWTSXKSGEIVAMC-LKLRLBKWSA-N 0 0 430.596 -0.104 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(CC[S@@](C)=O)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001335060088 1074302028 /nfs/dbraw/zinc/30/20/28/1074302028.db2.gz IWTSXKSGEIVAMC-LSXCKUSFSA-N 0 0 430.596 -0.104 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(CC[S@@](C)=O)CC1 ZINC001335060089 1074302102 /nfs/dbraw/zinc/30/21/02/1074302102.db2.gz IWTSXKSGEIVAMC-SPPRZUHCSA-N 0 0 430.596 -0.104 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(CC[S@](C)=O)CC1 ZINC001335060090 1074301997 /nfs/dbraw/zinc/30/19/97/1074301997.db2.gz IWTSXKSGEIVAMC-WDYLCZHCSA-N 0 0 430.596 -0.104 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@@H](C)N1CCN(CC(N)=O)CC1 ZINC001335060878 1074302137 /nfs/dbraw/zinc/30/21/37/1074302137.db2.gz MUWFLBOGIJUSGT-CYBMUJFWSA-N 0 0 427.527 -0.625 20 0 IBADRN COc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)[C@H](C)N1CCN(CC(N)=O)CC1 ZINC001335060886 1074301893 /nfs/dbraw/zinc/30/18/93/1074301893.db2.gz MUWFLBOGIJUSGT-ZDUSSCGKSA-N 0 0 427.527 -0.625 20 0 IBADRN O=C(CN1CCN(C[C@H](O)COc2ccccc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001335061675 1074302414 /nfs/dbraw/zinc/30/24/14/1074302414.db2.gz PWFGUPHJOMXYCY-CVEARBPZSA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN(C[C@H](O)COc2ccccc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001335061676 1074302332 /nfs/dbraw/zinc/30/23/32/1074302332.db2.gz PWFGUPHJOMXYCY-HOTGVXAUSA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)COc2ccccc2F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001335061677 1074302454 /nfs/dbraw/zinc/30/24/54/1074302454.db2.gz PWFGUPHJOMXYCY-HZPDHXFCSA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN(C[C@@H](O)COc2ccccc2F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001335061678 1074302389 /nfs/dbraw/zinc/30/23/89/1074302389.db2.gz PWFGUPHJOMXYCY-JKSUJKDBSA-N 0 0 429.514 -0.514 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(F)cc1F ZINC001335063474 1074302373 /nfs/dbraw/zinc/30/23/73/1074302373.db2.gz ZAQKSIAPVFOWNU-AWEZNQCLSA-N 0 0 430.477 -0.176 20 0 IBADRN O=C(CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(F)cc1F ZINC001335063475 1074302482 /nfs/dbraw/zinc/30/24/82/1074302482.db2.gz ZAQKSIAPVFOWNU-CQSZACIVSA-N 0 0 430.477 -0.176 20 0 IBADRN NC(=O)CN1CCN(CC(=O)NCCN2C(=O)S/C(=C\c3cccnc3)C2=O)CC1 ZINC001335063489 1074302355 /nfs/dbraw/zinc/30/23/55/1074302355.db2.gz ZERQNOXDONVXJG-GDNBJRDFSA-N 0 0 432.506 -0.663 20 0 IBADRN NC(=O)CN1CCN(CC(=O)NCCN2C(=O)S/C(=C/c3cccnc3)C2=O)CC1 ZINC001335063490 1074302419 /nfs/dbraw/zinc/30/24/19/1074302419.db2.gz ZERQNOXDONVXJG-XNTDXEJSSA-N 0 0 432.506 -0.663 20 0 IBADRN NC(=O)CN1CCC(NC(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CC1 ZINC001335090662 1074302425 /nfs/dbraw/zinc/30/24/25/1074302425.db2.gz UASIAJZRCHMTMR-UHFFFAOYSA-N 0 0 430.552 -0.427 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCCN(C)C1=O ZINC001335315286 1074302396 /nfs/dbraw/zinc/30/23/96/1074302396.db2.gz ZEHJQYGAHMKEJL-CABCVRRESA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCCN(C)C1=O ZINC001335315287 1074302463 /nfs/dbraw/zinc/30/24/63/1074302463.db2.gz ZEHJQYGAHMKEJL-GJZGRUSLSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCCN(C)C1=O ZINC001335315288 1074302449 /nfs/dbraw/zinc/30/24/49/1074302449.db2.gz ZEHJQYGAHMKEJL-HUUCEWRRSA-N 0 0 425.507 -0.035 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCCN(C)C1=O ZINC001335315289 1074302475 /nfs/dbraw/zinc/30/24/75/1074302475.db2.gz ZEHJQYGAHMKEJL-LSDHHAIUSA-N 0 0 425.507 -0.035 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)NCCC(=O)N1CCN(C(C)=O)CC1 ZINC001335451548 1074302440 /nfs/dbraw/zinc/30/24/40/1074302440.db2.gz XNSQKFUWSRYVMF-IBGZPJMESA-N 0 0 447.536 -0.260 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1 ZINC001335567624 1074302369 /nfs/dbraw/zinc/30/23/69/1074302369.db2.gz WXHTUAGBHQBVJK-NEPJUHHUSA-N 0 0 425.554 -0.537 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1 ZINC001335567626 1074302344 /nfs/dbraw/zinc/30/23/44/1074302344.db2.gz WXHTUAGBHQBVJK-NWDGAFQWSA-N 0 0 425.554 -0.537 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)C1 ZINC001335567627 1074302384 /nfs/dbraw/zinc/30/23/84/1074302384.db2.gz WXHTUAGBHQBVJK-RYUDHWBXSA-N 0 0 425.554 -0.537 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)C1 ZINC001335567628 1074302364 /nfs/dbraw/zinc/30/23/64/1074302364.db2.gz WXHTUAGBHQBVJK-VXGBXAGGSA-N 0 0 425.554 -0.537 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)c1cccc(C(=O)NC[C@@H]2CCCS2(=O)=O)n1 ZINC001335585592 1074302404 /nfs/dbraw/zinc/30/24/04/1074302404.db2.gz SFLKCUZJMWFRMY-BETUJISGSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)c1cccc(C(=O)NC[C@H]2CCCS2(=O)=O)n1 ZINC001335585594 1074302468 /nfs/dbraw/zinc/30/24/68/1074302468.db2.gz SFLKCUZJMWFRMY-CHWSQXEVSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)c1cccc(C(=O)NC[C@@H]2CCCS2(=O)=O)n1 ZINC001335585597 1074302903 /nfs/dbraw/zinc/30/29/03/1074302903.db2.gz SFLKCUZJMWFRMY-STQMWFEESA-N 0 0 429.520 -0.304 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@H]1CCOC1=O ZINC001335592008 1074302832 /nfs/dbraw/zinc/30/28/32/1074302832.db2.gz SCXASPAQGOSACW-BWSMEOODSA-N 0 0 430.504 -0.188 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@H]1CCOC1=O ZINC001335592009 1074302725 /nfs/dbraw/zinc/30/27/25/1074302725.db2.gz SCXASPAQGOSACW-DHDOUQCBSA-N 0 0 430.504 -0.188 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@H]1CCOC1=O ZINC001335592011 1074302875 /nfs/dbraw/zinc/30/28/75/1074302875.db2.gz SCXASPAQGOSACW-QQAGXBGCSA-N 0 0 430.504 -0.188 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@H]1CCOC1=O ZINC001335592013 1074302846 /nfs/dbraw/zinc/30/28/46/1074302846.db2.gz SCXASPAQGOSACW-YTKQLVESSA-N 0 0 430.504 -0.188 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001335659080 1074302799 /nfs/dbraw/zinc/30/27/99/1074302799.db2.gz ACHLYCANSNLFSF-GDBMZVCRSA-N 0 0 445.563 -0.709 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001335659083 1074302884 /nfs/dbraw/zinc/30/28/84/1074302884.db2.gz ACHLYCANSNLFSF-GOEBONIOSA-N 0 0 445.563 -0.709 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001335659086 1074302890 /nfs/dbraw/zinc/30/28/90/1074302890.db2.gz ACHLYCANSNLFSF-HOCLYGCPSA-N 0 0 445.563 -0.709 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001335659087 1074302912 /nfs/dbraw/zinc/30/29/12/1074302912.db2.gz ACHLYCANSNLFSF-ZBFHGGJFSA-N 0 0 445.563 -0.709 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@H](S(N)(=O)=O)C3)cc2)CC1 ZINC001335803359 1074302752 /nfs/dbraw/zinc/30/27/52/1074302752.db2.gz MCACNYDQCYBISI-INIZCTEOSA-N 0 0 444.535 -0.958 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC[C@@H](S(N)(=O)=O)C3)cc2)CC1 ZINC001335803361 1074302783 /nfs/dbraw/zinc/30/27/83/1074302783.db2.gz MCACNYDQCYBISI-MRXNPFEDSA-N 0 0 444.535 -0.958 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)NC[C@H](C)O)cc3)C2=O)n(C)n1 ZINC001335808415 1074302769 /nfs/dbraw/zinc/30/27/69/1074302769.db2.gz NRXDMARAOZANTO-BBRMVZONSA-N 0 0 435.506 -0.077 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)c3ccc(S(=O)(=O)NC[C@@H](C)O)cc3)C2=O)n(C)n1 ZINC001335808422 1074302741 /nfs/dbraw/zinc/30/27/41/1074302741.db2.gz NRXDMARAOZANTO-CJNGLKHVSA-N 0 0 435.506 -0.077 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)NC[C@@H](C)O)cc3)C2=O)n(C)n1 ZINC001335808424 1074302775 /nfs/dbraw/zinc/30/27/75/1074302775.db2.gz NRXDMARAOZANTO-CZUORRHYSA-N 0 0 435.506 -0.077 20 0 IBADRN Cc1cc(N2CC[C@@H](NC(=O)c3ccc(S(=O)(=O)NC[C@H](C)O)cc3)C2=O)n(C)n1 ZINC001335808427 1074302788 /nfs/dbraw/zinc/30/27/88/1074302788.db2.gz NRXDMARAOZANTO-XJKSGUPXSA-N 0 0 435.506 -0.077 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC001335866318 1074302710 /nfs/dbraw/zinc/30/27/10/1074302710.db2.gz KSVQKBHHWFOTHN-JHJVBQTASA-N 0 0 429.495 -0.420 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1)C2=O ZINC001335866319 1074303252 /nfs/dbraw/zinc/30/32/52/1074303252.db2.gz KSVQKBHHWFOTHN-SJBDTSRBSA-N 0 0 429.495 -0.420 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC001335866321 1074303212 /nfs/dbraw/zinc/30/32/12/1074303212.db2.gz KSVQKBHHWFOTHN-UPJWGTAASA-N 0 0 429.495 -0.420 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1)C2=O ZINC001335866322 1074303297 /nfs/dbraw/zinc/30/32/97/1074303297.db2.gz KSVQKBHHWFOTHN-YFQWEKLQSA-N 0 0 429.495 -0.420 20 0 IBADRN COC(=O)CN(C)CCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001335896297 1074303238 /nfs/dbraw/zinc/30/32/38/1074303238.db2.gz BHGYKUUMUHPFLA-UHFFFAOYSA-N 0 0 429.495 -0.263 20 0 IBADRN COC(=O)CN(C)CCNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC001335899064 1074303288 /nfs/dbraw/zinc/30/32/88/1074303288.db2.gz ZJWXMOSBHCCZPE-UHFFFAOYSA-N 0 0 439.538 -0.023 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)CNS(=O)(=O)c2ccccc2)CC1 ZINC001335990992 1074303217 /nfs/dbraw/zinc/30/32/17/1074303217.db2.gz MPORWXVNGYYQPI-UHFFFAOYSA-N 0 0 438.531 -0.039 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)CC1 ZINC001335991394 1074303149 /nfs/dbraw/zinc/30/31/49/1074303149.db2.gz QOAPVCSCNIATJQ-UHFFFAOYSA-N 0 0 430.552 -0.346 20 0 IBADRN CCCCS(=O)(=O)NCC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001336068131 1074303203 /nfs/dbraw/zinc/30/32/03/1074303203.db2.gz WSNOFRSNLLFFPG-UHFFFAOYSA-N 0 0 425.573 -0.205 20 0 IBADRN C[C@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)c1nnnn1C ZINC001336158281 1074303209 /nfs/dbraw/zinc/30/32/09/1074303209.db2.gz JDJNNVDWHXBIBP-AWEZNQCLSA-N 0 0 435.510 -0.299 20 0 IBADRN C[C@@H](NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)c1nnnn1C ZINC001336158285 1074303189 /nfs/dbraw/zinc/30/31/89/1074303189.db2.gz JDJNNVDWHXBIBP-CQSZACIVSA-N 0 0 435.510 -0.299 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1CCC(NC(=O)OC(C)(C)C)CC1 ZINC001336159519 1074303230 /nfs/dbraw/zinc/30/32/30/1074303230.db2.gz YWLICCNTWZHCHE-AWEZNQCLSA-N 0 0 433.527 -0.226 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1CCC(NC(=O)OC(C)(C)C)CC1 ZINC001336159521 1074303257 /nfs/dbraw/zinc/30/32/57/1074303257.db2.gz YWLICCNTWZHCHE-CQSZACIVSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC001336223083 1074303169 /nfs/dbraw/zinc/30/31/69/1074303169.db2.gz BOKAAVWYXFQQFT-INIZCTEOSA-N 0 0 438.550 -0.274 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N3CCCC3)CC2)cc1 ZINC001336223084 1074303278 /nfs/dbraw/zinc/30/32/78/1074303278.db2.gz BOKAAVWYXFQQFT-MRXNPFEDSA-N 0 0 438.550 -0.274 20 0 IBADRN CCS(=O)(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCO2)CC1 ZINC001336352635 1074303506 /nfs/dbraw/zinc/30/35/06/1074303506.db2.gz MEFMZMPBFAZYEE-CABCVRRESA-N 0 0 447.583 -0.169 20 0 IBADRN CCS(=O)(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCCO2)CC1 ZINC001336352636 1074303545 /nfs/dbraw/zinc/30/35/45/1074303545.db2.gz MEFMZMPBFAZYEE-GJZGRUSLSA-N 0 0 447.583 -0.169 20 0 IBADRN CCS(=O)(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)CC1 ZINC001336352637 1074303536 /nfs/dbraw/zinc/30/35/36/1074303536.db2.gz MEFMZMPBFAZYEE-HUUCEWRRSA-N 0 0 447.583 -0.169 20 0 IBADRN CCS(=O)(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)CC1 ZINC001336352638 1074303638 /nfs/dbraw/zinc/30/36/38/1074303638.db2.gz MEFMZMPBFAZYEE-LSDHHAIUSA-N 0 0 447.583 -0.169 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)Cn2ncn3nccc3c2=O)CC1 ZINC001336414379 1074303624 /nfs/dbraw/zinc/30/36/24/1074303624.db2.gz QESPQXTVDANZSS-KRWDZBQOSA-N 0 0 429.868 -0.085 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)Cn2ncn3nccc3c2=O)CC1 ZINC001336414380 1074303517 /nfs/dbraw/zinc/30/35/17/1074303517.db2.gz QESPQXTVDANZSS-QGZVFWFLSA-N 0 0 429.868 -0.085 20 0 IBADRN O=C(CO[C@H]1CCOC1)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001336435892 1074303629 /nfs/dbraw/zinc/30/36/29/1074303629.db2.gz ZSEOHQDGAAGLDF-INIZCTEOSA-N 0 0 442.490 -0.214 20 0 IBADRN O=C(CO[C@@H]1CCOC1)OCCNC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001336435893 1074303678 /nfs/dbraw/zinc/30/36/78/1074303678.db2.gz ZSEOHQDGAAGLDF-MRXNPFEDSA-N 0 0 442.490 -0.214 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001336555525 1074303667 /nfs/dbraw/zinc/30/36/67/1074303667.db2.gz COZRWFXDRTZFQN-UHFFFAOYSA-N 0 0 425.507 -0.808 20 0 IBADRN NC(=O)COc1ccc(CNC(=O)CN2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)cc1 ZINC001336601867 1074303730 /nfs/dbraw/zinc/30/37/30/1074303730.db2.gz PONLNRKXUZPTQZ-UHFFFAOYSA-N 0 0 427.461 -0.275 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)N(Cc1ccco1)CC(F)(F)F ZINC001336601889 1074303709 /nfs/dbraw/zinc/30/37/09/1074303709.db2.gz PRDLADKTCLJZFU-CABCVRRESA-N 0 0 439.456 -0.054 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)N(Cc1ccco1)CC(F)(F)F ZINC001336601890 1074303657 /nfs/dbraw/zinc/30/36/57/1074303657.db2.gz PRDLADKTCLJZFU-GJZGRUSLSA-N 0 0 439.456 -0.054 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)N(Cc1ccco1)CC(F)(F)F ZINC001336601892 1074304046 /nfs/dbraw/zinc/30/40/46/1074304046.db2.gz PRDLADKTCLJZFU-HUUCEWRRSA-N 0 0 439.456 -0.054 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)N(Cc1ccco1)CC(F)(F)F ZINC001336601894 1074304105 /nfs/dbraw/zinc/30/41/05/1074304105.db2.gz PRDLADKTCLJZFU-LSDHHAIUSA-N 0 0 439.456 -0.054 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)CCN2C(=O)CN1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001336602544 1074304086 /nfs/dbraw/zinc/30/40/86/1074304086.db2.gz SZFAPUIWZQDCES-UHFFFAOYSA-N 0 0 445.501 -0.218 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCc1cnn(-c2ccccc2)c1 ZINC001336603043 1074304075 /nfs/dbraw/zinc/30/40/75/1074304075.db2.gz WXIOXPIBHMRXPK-PMACEKPBSA-N 0 0 447.561 -0.694 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)NCCc1cnn(-c2ccccc2)c1 ZINC001336603045 1074303986 /nfs/dbraw/zinc/30/39/86/1074303986.db2.gz WXIOXPIBHMRXPK-UXHICEINSA-N 0 0 447.561 -0.694 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCc1cnn(-c2ccccc2)c1 ZINC001336603047 1074304027 /nfs/dbraw/zinc/30/40/27/1074304027.db2.gz WXIOXPIBHMRXPK-VQTJNVASSA-N 0 0 447.561 -0.694 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)NCCc1cnn(-c2ccccc2)c1 ZINC001336603049 1074304010 /nfs/dbraw/zinc/30/40/10/1074304010.db2.gz WXIOXPIBHMRXPK-WOJBJXKFSA-N 0 0 447.561 -0.694 20 0 IBADRN C[C@H](C(=O)Nc1ccccc1S(C)(=O)=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001336603509 1074304099 /nfs/dbraw/zinc/30/40/99/1074304099.db2.gz ZAEIAHHMRQBKEQ-BMFZPTHFSA-N 0 0 445.563 -0.807 20 0 IBADRN C[C@H](C(=O)Nc1ccccc1S(C)(=O)=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001336603510 1074304068 /nfs/dbraw/zinc/30/40/68/1074304068.db2.gz ZAEIAHHMRQBKEQ-FVQBIDKESA-N 0 0 445.563 -0.807 20 0 IBADRN C[C@H](C(=O)Nc1ccccc1S(C)(=O)=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001336603511 1074304119 /nfs/dbraw/zinc/30/41/19/1074304119.db2.gz ZAEIAHHMRQBKEQ-KBMXLJTQSA-N 0 0 445.563 -0.807 20 0 IBADRN C[C@H](C(=O)Nc1ccccc1S(C)(=O)=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001336603512 1074304132 /nfs/dbraw/zinc/30/41/32/1074304132.db2.gz ZAEIAHHMRQBKEQ-VNQPRFMTSA-N 0 0 445.563 -0.807 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc(N2CCOCC2)cc1 ZINC001336619067 1074304040 /nfs/dbraw/zinc/30/40/40/1074304040.db2.gz JBMSVDCWBLQZMG-MOPGFXCFSA-N 0 0 438.550 -0.763 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1ccc(N2CCOCC2)cc1 ZINC001336619068 1074304110 /nfs/dbraw/zinc/30/41/10/1074304110.db2.gz JBMSVDCWBLQZMG-OALUTQOASA-N 0 0 438.550 -0.763 20 0 IBADRN O=C(CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc(N2CCOCC2)cc1 ZINC001336619069 1074304079 /nfs/dbraw/zinc/30/40/79/1074304079.db2.gz JBMSVDCWBLQZMG-RBUKOAKNSA-N 0 0 438.550 -0.763 20 0 IBADRN O=C(CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1ccc(N2CCOCC2)cc1 ZINC001336619070 1074303997 /nfs/dbraw/zinc/30/39/97/1074303997.db2.gz JBMSVDCWBLQZMG-RTBURBONSA-N 0 0 438.550 -0.763 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCCN1CCCS1(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001336659283 1074304016 /nfs/dbraw/zinc/30/40/16/1074304016.db2.gz CBDHFYVPKYZKFG-GOSISDBHSA-N 0 0 445.563 -0.017 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCCN1CCCS1(=O)=O)c1ccc(S(C)(=O)=O)cc1 ZINC001336659285 1074304488 /nfs/dbraw/zinc/30/44/88/1074304488.db2.gz CBDHFYVPKYZKFG-SFHVURJKSA-N 0 0 445.563 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NS(=O)(=O)N3CCC4(CC3)OCCO4)CC2)cn1 ZINC001336697347 1074304376 /nfs/dbraw/zinc/30/43/76/1074304376.db2.gz HONARUKIIUWPDC-UHFFFAOYSA-N 0 0 449.555 -0.754 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CC1(CC(=O)N2CCOCC2)CCCC1 ZINC001336705407 1074304484 /nfs/dbraw/zinc/30/44/84/1074304484.db2.gz GRNJISKDCHRIDQ-HNNXBMFYSA-N 0 0 430.523 -0.016 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CC1(CC(=O)N2CCOCC2)CCCC1 ZINC001336705408 1074304438 /nfs/dbraw/zinc/30/44/38/1074304438.db2.gz GRNJISKDCHRIDQ-OAHLLOKOSA-N 0 0 430.523 -0.016 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC001336706220 1074304452 /nfs/dbraw/zinc/30/44/52/1074304452.db2.gz PBVUVUSEGCPVNB-ACJLOTCBSA-N 0 0 441.437 -0.609 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC001336706222 1074304412 /nfs/dbraw/zinc/30/44/12/1074304412.db2.gz PBVUVUSEGCPVNB-FZKQIMNGSA-N 0 0 441.437 -0.609 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O ZINC001336706223 1074304475 /nfs/dbraw/zinc/30/44/75/1074304475.db2.gz PBVUVUSEGCPVNB-SCLBCKFNSA-N 0 0 441.437 -0.609 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O ZINC001336706224 1074304459 /nfs/dbraw/zinc/30/44/59/1074304459.db2.gz PBVUVUSEGCPVNB-UGSOOPFHSA-N 0 0 441.437 -0.609 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)Cn1c(CS(C)(=O)=O)nc2ccccc21 ZINC001336706268 1074304386 /nfs/dbraw/zinc/30/43/86/1074304386.db2.gz PMEYEDFTAMOPNO-AWEZNQCLSA-N 0 0 443.503 -0.621 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)Cn1c(CS(C)(=O)=O)nc2ccccc21 ZINC001336706271 1074304424 /nfs/dbraw/zinc/30/44/24/1074304424.db2.gz PMEYEDFTAMOPNO-CQSZACIVSA-N 0 0 443.503 -0.621 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC)n(C)c1 ZINC001336706578 1074304441 /nfs/dbraw/zinc/30/44/41/1074304441.db2.gz RZCCXTCNJQSZNM-AWEZNQCLSA-N 0 0 435.524 -0.532 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC)n(C)c1 ZINC001336706579 1074304471 /nfs/dbraw/zinc/30/44/71/1074304471.db2.gz RZCCXTCNJQSZNM-CQSZACIVSA-N 0 0 435.524 -0.532 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)N(C)CC(=O)Nc2ccc(N3CCOCC3)cc2)nn1 ZINC001336744982 1074304464 /nfs/dbraw/zinc/30/44/64/1074304464.db2.gz NCOUPIUCBYUXIK-UHFFFAOYSA-N 0 0 429.481 -0.086 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001336819032 1074304427 /nfs/dbraw/zinc/30/44/27/1074304427.db2.gz DTKFXYJSDWHHFA-HNNXBMFYSA-N 0 0 430.552 -0.517 20 0 IBADRN CS(=O)(=O)Nc1ccc(N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001336819034 1074304482 /nfs/dbraw/zinc/30/44/82/1074304482.db2.gz DTKFXYJSDWHHFA-OAHLLOKOSA-N 0 0 430.552 -0.517 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc2c(nc3ccccn3c2=O)n1C ZINC001337000078 1074304395 /nfs/dbraw/zinc/30/43/95/1074304395.db2.gz ZPUZJADJCFRNDC-CYBMUJFWSA-N 0 0 445.501 -0.048 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc2c(nc3ccccn3c2=O)n1C ZINC001337000079 1074304456 /nfs/dbraw/zinc/30/44/56/1074304456.db2.gz ZPUZJADJCFRNDC-ZDUSSCGKSA-N 0 0 445.501 -0.048 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC001337006777 1074304448 /nfs/dbraw/zinc/30/44/48/1074304448.db2.gz AFUZEOQAYHZPLL-HNNXBMFYSA-N 0 0 426.539 -0.372 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC001337006779 1074304405 /nfs/dbraw/zinc/30/44/05/1074304405.db2.gz AFUZEOQAYHZPLL-OAHLLOKOSA-N 0 0 426.539 -0.372 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC001337006887 1074304419 /nfs/dbraw/zinc/30/44/19/1074304419.db2.gz CCYUYGRNBWNKIJ-PBHICJAKSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC001337006889 1074304836 /nfs/dbraw/zinc/30/48/36/1074304836.db2.gz CCYUYGRNBWNKIJ-RHSMWYFYSA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)[C@H]3CCCO3)CC2)cc1 ZINC001337006891 1074304777 /nfs/dbraw/zinc/30/47/77/1074304777.db2.gz CCYUYGRNBWNKIJ-WMLDXEAASA-N 0 0 425.507 -0.191 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)[C@@H]3CCCO3)CC2)cc1 ZINC001337006894 1074304832 /nfs/dbraw/zinc/30/48/32/1074304832.db2.gz CCYUYGRNBWNKIJ-YOEHRIQHSA-N 0 0 425.507 -0.191 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC001337008418 1074304791 /nfs/dbraw/zinc/30/47/91/1074304791.db2.gz XLCCMMSAVNIKJZ-INIZCTEOSA-N 0 0 440.566 -0.028 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC001337008419 1074304735 /nfs/dbraw/zinc/30/47/35/1074304735.db2.gz XLCCMMSAVNIKJZ-MRXNPFEDSA-N 0 0 440.566 -0.028 20 0 IBADRN O=C(COC(=O)c1ccc(CN2CCCC2=O)cc1)NC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001337009029 1074304764 /nfs/dbraw/zinc/30/47/64/1074304764.db2.gz SIIFNPPJMFWWSC-HNNXBMFYSA-N 0 0 437.474 -0.021 20 0 IBADRN O=C(COC(=O)c1ccc(CN2CCCC2=O)cc1)NC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001337009030 1074304824 /nfs/dbraw/zinc/30/48/24/1074304824.db2.gz SIIFNPPJMFWWSC-OAHLLOKOSA-N 0 0 437.474 -0.021 20 0 IBADRN O=C(COC(=O)c1ncn(-c2ccccc2)n1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001337010948 1074304813 /nfs/dbraw/zinc/30/48/13/1074304813.db2.gz ZXIXLMCHLPGBSA-INIZCTEOSA-N 0 0 433.490 -0.245 20 0 IBADRN O=C(COC(=O)c1ncn(-c2ccccc2)n1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001337010950 1074304752 /nfs/dbraw/zinc/30/47/52/1074304752.db2.gz ZXIXLMCHLPGBSA-MRXNPFEDSA-N 0 0 433.490 -0.245 20 0 IBADRN O=S1(=O)CC[C@H](N(CCCN2CCOCC2)C(=S)NCCN2CCOCC2)C1 ZINC001337029221 1074304855 /nfs/dbraw/zinc/30/48/55/1074304855.db2.gz UNKYDDAKWSGPJS-KRWDZBQOSA-N 0 0 434.628 -0.596 20 0 IBADRN O=S1(=O)CC[C@@H](N(CCCN2CCOCC2)C(=S)NCCN2CCOCC2)C1 ZINC001337029226 1074304784 /nfs/dbraw/zinc/30/47/84/1074304784.db2.gz UNKYDDAKWSGPJS-QGZVFWFLSA-N 0 0 434.628 -0.596 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)N1CCOCC1 ZINC001337029250 1074304870 /nfs/dbraw/zinc/30/48/70/1074304870.db2.gz UYDRXLQMWRXUSE-HNNXBMFYSA-N 0 0 448.519 -0.830 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCC(=O)N1CCOCC1 ZINC001337029251 1074304828 /nfs/dbraw/zinc/30/48/28/1074304828.db2.gz UYDRXLQMWRXUSE-OAHLLOKOSA-N 0 0 448.519 -0.830 20 0 IBADRN COc1ccc(CC(=O)N(C)[C@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001337047048 1074304860 /nfs/dbraw/zinc/30/48/60/1074304860.db2.gz OOPLMRVBEBPXCR-HNNXBMFYSA-N 0 0 446.547 -0.096 20 0 IBADRN COc1ccc(CC(=O)N(C)[C@@H]2CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001337047052 1074304804 /nfs/dbraw/zinc/30/48/04/1074304804.db2.gz OOPLMRVBEBPXCR-OAHLLOKOSA-N 0 0 446.547 -0.096 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1nccn2c(Br)cnc12 ZINC001337050913 1074304839 /nfs/dbraw/zinc/30/48/39/1074304839.db2.gz YHNVUGDGXOQVPE-SECBINFHSA-N 0 0 430.284 -0.133 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1nccn2c(Br)cnc12 ZINC001337050914 1074304818 /nfs/dbraw/zinc/30/48/18/1074304818.db2.gz YHNVUGDGXOQVPE-VIFPVBQESA-N 0 0 430.284 -0.133 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CN1C(=O)N[C@@H](CCS(C)(=O)=O)C1=O)CC2 ZINC001337066070 1074304797 /nfs/dbraw/zinc/30/47/97/1074304797.db2.gz PXEGHXXAHPFIEQ-AWEZNQCLSA-N 0 0 439.490 -0.056 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CN1C(=O)N[C@H](CCS(C)(=O)=O)C1=O)CC2 ZINC001337066072 1074305239 /nfs/dbraw/zinc/30/52/39/1074305239.db2.gz PXEGHXXAHPFIEQ-CQSZACIVSA-N 0 0 439.490 -0.056 20 0 IBADRN CCCCN(C(=O)CN1CCN([C@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001337075797 1074305288 /nfs/dbraw/zinc/30/52/88/1074305288.db2.gz GAVSEMITZFOODA-DLBZAZTESA-N 0 0 435.612 -0.393 20 0 IBADRN CCCCN(C(=O)CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001337075808 1074305141 /nfs/dbraw/zinc/30/51/41/1074305141.db2.gz GAVSEMITZFOODA-IAGOWNOFSA-N 0 0 435.612 -0.393 20 0 IBADRN CCCCN(C(=O)CN1CCN([C@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001337075813 1074305198 /nfs/dbraw/zinc/30/51/98/1074305198.db2.gz GAVSEMITZFOODA-IRXDYDNUSA-N 0 0 435.612 -0.393 20 0 IBADRN CCCCN(C(=O)CN1CCN([C@@H]2CCS(=O)(=O)C2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001337075817 1074305221 /nfs/dbraw/zinc/30/52/21/1074305221.db2.gz GAVSEMITZFOODA-SJORKVTESA-N 0 0 435.612 -0.393 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(CC(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001337076519 1074305081 /nfs/dbraw/zinc/30/50/81/1074305081.db2.gz SRZWTGUZAOFSKE-UHFFFAOYSA-N 0 0 427.527 -0.288 20 0 IBADRN COCCCN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001337076647 1074305250 /nfs/dbraw/zinc/30/52/50/1074305250.db2.gz ZRMLXBLCIMQYRA-KBPBESRZSA-N 0 0 449.551 -0.796 20 0 IBADRN COCCCN(C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001337076648 1074305279 /nfs/dbraw/zinc/30/52/79/1074305279.db2.gz ZRMLXBLCIMQYRA-KGLIPLIRSA-N 0 0 449.551 -0.796 20 0 IBADRN COCCCN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001337076649 1074305269 /nfs/dbraw/zinc/30/52/69/1074305269.db2.gz ZRMLXBLCIMQYRA-UONOGXRCSA-N 0 0 449.551 -0.796 20 0 IBADRN COCCCN(C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001337076650 1074305187 /nfs/dbraw/zinc/30/51/87/1074305187.db2.gz ZRMLXBLCIMQYRA-ZIAGYGMSSA-N 0 0 449.551 -0.796 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC3=NCCS3)CC2)cc1 ZINC001337084535 1074305163 /nfs/dbraw/zinc/30/51/63/1074305163.db2.gz TZSFGCUYMRURLZ-UHFFFAOYSA-N 0 0 432.549 -0.046 20 0 IBADRN NC(=O)N1CCC[C@H](C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC001337095658 1074305118 /nfs/dbraw/zinc/30/51/18/1074305118.db2.gz QOJMICFFKOPINX-KKUMJFAQSA-N 0 0 431.511 -0.485 20 0 IBADRN NC(=O)N1CCC[C@H](C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC001337095659 1074305152 /nfs/dbraw/zinc/30/51/52/1074305152.db2.gz QOJMICFFKOPINX-RRFJBIMHSA-N 0 0 431.511 -0.485 20 0 IBADRN NC(=O)N1CCC[C@H](C(=O)OCC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)C1 ZINC001337095660 1074305067 /nfs/dbraw/zinc/30/50/67/1074305067.db2.gz QOJMICFFKOPINX-SOUVJXGZSA-N 0 0 431.511 -0.485 20 0 IBADRN NC(=O)N1CCC[C@H](C(=O)OCC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC001337095661 1074305231 /nfs/dbraw/zinc/30/52/31/1074305231.db2.gz QOJMICFFKOPINX-ZNMIVQPWSA-N 0 0 431.511 -0.485 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)C1 ZINC001337118615 1074305103 /nfs/dbraw/zinc/30/51/03/1074305103.db2.gz SKZZWRRWJJZHIE-KBPBESRZSA-N 0 0 433.556 -0.497 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(CC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)C1 ZINC001337118616 1074305128 /nfs/dbraw/zinc/30/51/28/1074305128.db2.gz SKZZWRRWJJZHIE-KGLIPLIRSA-N 0 0 433.556 -0.497 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)C1 ZINC001337118617 1074305260 /nfs/dbraw/zinc/30/52/60/1074305260.db2.gz SKZZWRRWJJZHIE-UONOGXRCSA-N 0 0 433.556 -0.497 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(CC(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)C1 ZINC001337118618 1074305175 /nfs/dbraw/zinc/30/51/75/1074305175.db2.gz SKZZWRRWJJZHIE-ZIAGYGMSSA-N 0 0 433.556 -0.497 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NCC(=O)N1CCC(C(N)=O)CC1 ZINC001337123020 1074305209 /nfs/dbraw/zinc/30/52/09/1074305209.db2.gz PSHDDTKGDIMKBV-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001337129509 1074305466 /nfs/dbraw/zinc/30/54/66/1074305466.db2.gz CYVLFPLLTLTUHZ-KBPBESRZSA-N 0 0 432.520 -0.068 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001337129510 1074305508 /nfs/dbraw/zinc/30/55/08/1074305508.db2.gz CYVLFPLLTLTUHZ-KGLIPLIRSA-N 0 0 432.520 -0.068 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)CNS(=O)(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC001337129511 1074305488 /nfs/dbraw/zinc/30/54/88/1074305488.db2.gz CYVLFPLLTLTUHZ-UONOGXRCSA-N 0 0 432.520 -0.068 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)CNS(=O)(=O)c1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC001337129512 1074305498 /nfs/dbraw/zinc/30/54/98/1074305498.db2.gz CYVLFPLLTLTUHZ-ZIAGYGMSSA-N 0 0 432.520 -0.068 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC001337188366 1074305590 /nfs/dbraw/zinc/30/55/90/1074305590.db2.gz BYLAPEQFXGTWIW-CYBMUJFWSA-N 0 0 433.552 -0.253 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)NCC2CCN(S(C)(=O)=O)CC2)cc1 ZINC001337188368 1074305603 /nfs/dbraw/zinc/30/56/03/1074305603.db2.gz BYLAPEQFXGTWIW-ZDUSSCGKSA-N 0 0 433.552 -0.253 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC001337188774 1074305440 /nfs/dbraw/zinc/30/54/40/1074305440.db2.gz HTTINMJSQPCSGK-CYBMUJFWSA-N 0 0 433.552 -0.110 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC001337188786 1074305480 /nfs/dbraw/zinc/30/54/80/1074305480.db2.gz HTTINMJSQPCSGK-ZDUSSCGKSA-N 0 0 433.552 -0.110 20 0 IBADRN O=C(COCC(=O)N(C1CC1)[C@H]1CCS(=O)(=O)C1)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001337252635 1074305623 /nfs/dbraw/zinc/30/56/23/1074305623.db2.gz JQIHNVQVFNKYJF-HOTGVXAUSA-N 0 0 448.563 -0.641 20 0 IBADRN O=C(COCC(=O)N(C1CC1)[C@@H]1CCS(=O)(=O)C1)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001337252636 1074305558 /nfs/dbraw/zinc/30/55/58/1074305558.db2.gz JQIHNVQVFNKYJF-HZPDHXFCSA-N 0 0 448.563 -0.641 20 0 IBADRN O=C(COCC(=O)N(C1CC1)[C@H]1CCS(=O)(=O)C1)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001337252637 1074305517 /nfs/dbraw/zinc/30/55/17/1074305517.db2.gz JQIHNVQVFNKYJF-IYBDPMFKSA-N 0 0 448.563 -0.641 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001337254899 1074305614 /nfs/dbraw/zinc/30/56/14/1074305614.db2.gz OHIGXGSEQZVMJL-CYBMUJFWSA-N 0 0 431.536 -0.310 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001337254900 1074305453 /nfs/dbraw/zinc/30/54/53/1074305453.db2.gz OHIGXGSEQZVMJL-ZDUSSCGKSA-N 0 0 431.536 -0.310 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)C2=O)n1 ZINC001337257452 1074306049 /nfs/dbraw/zinc/30/60/49/1074306049.db2.gz FOYQPPCXAHWMCS-DEYYWGMASA-N 0 0 425.511 -0.049 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)C2=O)n1 ZINC001337257463 1074306097 /nfs/dbraw/zinc/30/60/97/1074306097.db2.gz FOYQPPCXAHWMCS-GLJUWKHASA-N 0 0 425.511 -0.049 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)C2=O)n1 ZINC001337257465 1074306021 /nfs/dbraw/zinc/30/60/21/1074306021.db2.gz FOYQPPCXAHWMCS-QWQRMKEZSA-N 0 0 425.511 -0.049 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)C2=O)n1 ZINC001337257467 1074305935 /nfs/dbraw/zinc/30/59/35/1074305935.db2.gz FOYQPPCXAHWMCS-SUNYJGFJSA-N 0 0 425.511 -0.049 20 0 IBADRN COCC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(N)=O ZINC001337263286 1074306005 /nfs/dbraw/zinc/30/60/05/1074306005.db2.gz QKNNXDLWVCODFL-INIZCTEOSA-N 0 0 426.495 -0.840 20 0 IBADRN COCC[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1)C(N)=O ZINC001337263288 1074305957 /nfs/dbraw/zinc/30/59/57/1074305957.db2.gz QKNNXDLWVCODFL-MRXNPFEDSA-N 0 0 426.495 -0.840 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC001337271270 1074306044 /nfs/dbraw/zinc/30/60/44/1074306044.db2.gz CLCAPPKPYDYCKZ-CYBMUJFWSA-N 0 0 432.520 -0.119 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC001337271271 1074306013 /nfs/dbraw/zinc/30/60/13/1074306013.db2.gz CLCAPPKPYDYCKZ-ZDUSSCGKSA-N 0 0 432.520 -0.119 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H]2CCS(=O)(=O)C2)c1 ZINC001337271279 1074306059 /nfs/dbraw/zinc/30/60/59/1074306059.db2.gz CRZLPKDDDASACG-AWEZNQCLSA-N 0 0 445.563 -0.062 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H]2CCS(=O)(=O)C2)c1 ZINC001337271280 1074305995 /nfs/dbraw/zinc/30/59/95/1074305995.db2.gz CRZLPKDDDASACG-CQSZACIVSA-N 0 0 445.563 -0.062 20 0 IBADRN C[C@H](OC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)N1CCN(c2ncccn2)CC1 ZINC001337271636 1074306112 /nfs/dbraw/zinc/30/61/12/1074306112.db2.gz OIGXAMFHUUPEQC-HNNXBMFYSA-N 0 0 429.481 -0.158 20 0 IBADRN C[C@@H](OC(=O)Cn1nc2n(c1=O)CCCCC2)C(=O)N1CCN(c2ncccn2)CC1 ZINC001337271638 1074306104 /nfs/dbraw/zinc/30/61/04/1074306104.db2.gz OIGXAMFHUUPEQC-OAHLLOKOSA-N 0 0 429.481 -0.158 20 0 IBADRN COCC[C@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(N)=O ZINC001337281265 1074306120 /nfs/dbraw/zinc/30/61/20/1074306120.db2.gz NOVZKLLJBVUFGX-INIZCTEOSA-N 0 0 426.495 -0.840 20 0 IBADRN COCC[C@@H](NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1)C(N)=O ZINC001337281267 1074306035 /nfs/dbraw/zinc/30/60/35/1074306035.db2.gz NOVZKLLJBVUFGX-MRXNPFEDSA-N 0 0 426.495 -0.840 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001337310574 1074305981 /nfs/dbraw/zinc/30/59/81/1074305981.db2.gz XNQIXLQGDGHEBB-DZGCQCFKSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001337310902 1074306089 /nfs/dbraw/zinc/30/60/89/1074306089.db2.gz XNQIXLQGDGHEBB-HIFRSBDPSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1 ZINC001337310903 1074306074 /nfs/dbraw/zinc/30/60/74/1074306074.db2.gz XNQIXLQGDGHEBB-UKRRQHHQSA-N 0 0 429.520 -0.290 20 0 IBADRN CS(=O)(=O)Nc1cccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1 ZINC001337310904 1074306353 /nfs/dbraw/zinc/30/63/53/1074306353.db2.gz XNQIXLQGDGHEBB-ZFWWWQNUSA-N 0 0 429.520 -0.290 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC001337312873 1074306419 /nfs/dbraw/zinc/30/64/19/1074306419.db2.gz JQYQLACIFURSEQ-CXAGYDPISA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC001337312874 1074306470 /nfs/dbraw/zinc/30/64/70/1074306470.db2.gz JQYQLACIFURSEQ-DYVFJYSZSA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC001337312875 1074306483 /nfs/dbraw/zinc/30/64/83/1074306483.db2.gz JQYQLACIFURSEQ-GUYCJALGSA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(C3CCOCC3)C2=O)cc1 ZINC001337312876 1074306442 /nfs/dbraw/zinc/30/64/42/1074306442.db2.gz JQYQLACIFURSEQ-SUMWQHHRSA-N 0 0 425.507 -0.145 20 0 IBADRN Cc1cnc2c(cccc2S(=O)(=O)N2CCN(C(=O)CN3C(=O)CNC3=O)CC2)c1 ZINC001337323401 1074306460 /nfs/dbraw/zinc/30/64/60/1074306460.db2.gz NWLFLAMVHPHPMT-UHFFFAOYSA-N 0 0 431.474 -0.072 20 0 IBADRN O=C(COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001337351909 1074306452 /nfs/dbraw/zinc/30/64/52/1074306452.db2.gz KFRGLMQLJWBCJG-CYBMUJFWSA-N 0 0 446.503 -0.832 20 0 IBADRN O=C(COC(=O)c1ccccc1S(=O)(=O)N1CCOCC1)N[C@H]1CCS(=O)(=O)C1 ZINC001337351910 1074306363 /nfs/dbraw/zinc/30/63/63/1074306363.db2.gz KFRGLMQLJWBCJG-ZDUSSCGKSA-N 0 0 446.503 -0.832 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)ccc21 ZINC001337388698 1074306504 /nfs/dbraw/zinc/30/65/04/1074306504.db2.gz RZQXTYUVRHWWQW-HNNXBMFYSA-N 0 0 443.547 -0.043 20 0 IBADRN CC(=O)N1CCCc2cc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)ccc21 ZINC001337388699 1074306323 /nfs/dbraw/zinc/30/63/23/1074306323.db2.gz RZQXTYUVRHWWQW-OAHLLOKOSA-N 0 0 443.547 -0.043 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1)N[C@H]1CCS(=O)(=O)C1 ZINC001337407746 1074306404 /nfs/dbraw/zinc/30/64/04/1074306404.db2.gz PPEVFRXDTKYCIC-CABCVRRESA-N 0 0 444.531 -0.066 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1)N[C@H]1CCS(=O)(=O)C1 ZINC001337407747 1074306411 /nfs/dbraw/zinc/30/64/11/1074306411.db2.gz PPEVFRXDTKYCIC-GJZGRUSLSA-N 0 0 444.531 -0.066 20 0 IBADRN O=C(COC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC001337407748 1074306399 /nfs/dbraw/zinc/30/63/99/1074306399.db2.gz PPEVFRXDTKYCIC-HUUCEWRRSA-N 0 0 444.531 -0.066 20 0 IBADRN O=C(COC(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1)N[C@@H]1CCS(=O)(=O)C1 ZINC001337407749 1074306390 /nfs/dbraw/zinc/30/63/90/1074306390.db2.gz PPEVFRXDTKYCIC-LSDHHAIUSA-N 0 0 444.531 -0.066 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)CC1 ZINC001337413060 1074306429 /nfs/dbraw/zinc/30/64/29/1074306429.db2.gz AODRHWRPZWOMMN-UHFFFAOYSA-N 0 0 437.515 -0.046 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)OCC(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)c2=O ZINC001337413386 1074306488 /nfs/dbraw/zinc/30/64/88/1074306488.db2.gz CGSUQEGREKDJQP-HNNXBMFYSA-N 0 0 448.501 -0.509 20 0 IBADRN Cc1ccn2c(c1)ncc(C(=O)OCC(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)c2=O ZINC001337413387 1074306823 /nfs/dbraw/zinc/30/68/23/1074306823.db2.gz CGSUQEGREKDJQP-OAHLLOKOSA-N 0 0 448.501 -0.509 20 0 IBADRN COCC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001337414381 1074306725 /nfs/dbraw/zinc/30/67/25/1074306725.db2.gz ILOXOMODLGRFIH-UHFFFAOYSA-N 0 0 427.479 -0.785 20 0 IBADRN COCC(=O)NCCC(=O)OCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001337414444 1074306702 /nfs/dbraw/zinc/30/67/02/1074306702.db2.gz KLKRJILYSDIYOC-UHFFFAOYSA-N 0 0 441.506 -0.644 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC001337433678 1074306752 /nfs/dbraw/zinc/30/67/52/1074306752.db2.gz HGMMMJWCPGSNGK-INIZCTEOSA-N 0 0 437.474 -0.876 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)CCN2C(=O)CNC(C)=O ZINC001337433679 1074306911 /nfs/dbraw/zinc/30/69/11/1074306911.db2.gz HGMMMJWCPGSNGK-MRXNPFEDSA-N 0 0 437.474 -0.876 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)NC(C)C)ccc1F ZINC001337435324 1074306868 /nfs/dbraw/zinc/30/68/68/1074306868.db2.gz VOFAASVIKHGZIP-AWEZNQCLSA-N 0 0 436.483 -0.075 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)NC(C)C)ccc1F ZINC001337435327 1074306881 /nfs/dbraw/zinc/30/68/81/1074306881.db2.gz VOFAASVIKHGZIP-CQSZACIVSA-N 0 0 436.483 -0.075 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC001337450808 1074306687 /nfs/dbraw/zinc/30/66/87/1074306687.db2.gz GWYSBHQWUSBTFA-AWEZNQCLSA-N 0 0 428.515 -0.081 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@@H]1CCCN(C(=O)NCCS(=O)(=O)N2CCOCC2)C1 ZINC001337450809 1074306861 /nfs/dbraw/zinc/30/68/61/1074306861.db2.gz GWYSBHQWUSBTFA-CQSZACIVSA-N 0 0 428.515 -0.081 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001337480209 1074306844 /nfs/dbraw/zinc/30/68/44/1074306844.db2.gz CRUOUWBWTIVWBI-UHFFFAOYSA-N 0 0 446.556 -0.232 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)Cc2ccc(S(=O)(=O)CCO)cc2)[C@@H](C(=O)OC)C1 ZINC001337481467 1074306805 /nfs/dbraw/zinc/30/68/05/1074306805.db2.gz DYAKAGATATXSPH-GDBMZVCRSA-N 0 0 427.475 -0.052 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)Cc1ccc(S(=O)(=O)CCO)cc1 ZINC001337481468 1074306904 /nfs/dbraw/zinc/30/69/04/1074306904.db2.gz DYAKAGATATXSPH-GOEBONIOSA-N 0 0 427.475 -0.052 20 0 IBADRN COC(=O)[C@H]1CCN(C(=O)Cc2ccc(S(=O)(=O)CCO)cc2)[C@H](C(=O)OC)C1 ZINC001337481469 1074306739 /nfs/dbraw/zinc/30/67/39/1074306739.db2.gz DYAKAGATATXSPH-HOCLYGCPSA-N 0 0 427.475 -0.052 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)Cc2ccc(S(=O)(=O)CCO)cc2)[C@H](C(=O)OC)C1 ZINC001337482101 1074306720 /nfs/dbraw/zinc/30/67/20/1074306720.db2.gz DYAKAGATATXSPH-ZBFHGGJFSA-N 0 0 427.475 -0.052 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)NCCN1CCNC(=O)C1 ZINC001337503360 1074306814 /nfs/dbraw/zinc/30/68/14/1074306814.db2.gz GFMXLENALHUQAZ-HNNXBMFYSA-N 0 0 444.941 -0.579 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)NCCN1CCNC(=O)C1 ZINC001337503370 1074306673 /nfs/dbraw/zinc/30/66/73/1074306673.db2.gz GFMXLENALHUQAZ-OAHLLOKOSA-N 0 0 444.941 -0.579 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cnn(C)c1I ZINC001337516656 1074306832 /nfs/dbraw/zinc/30/68/32/1074306832.db2.gz QBBROBNOBXWXGJ-MRVPVSSYSA-N 0 0 427.220 -0.563 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cnn(C)c1I ZINC001337516657 1074307210 /nfs/dbraw/zinc/30/72/10/1074307210.db2.gz QBBROBNOBXWXGJ-QMMMGPOBSA-N 0 0 427.220 -0.563 20 0 IBADRN Cc1cc(NC(=O)CN2CCCN(S(C)(=O)=O)CC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001337518477 1074307239 /nfs/dbraw/zinc/30/72/39/1074307239.db2.gz XLYOSBZDAJCWAY-AWEZNQCLSA-N 0 0 433.556 -0.543 20 0 IBADRN Cc1cc(NC(=O)CN2CCCN(S(C)(=O)=O)CC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001337518481 1074307249 /nfs/dbraw/zinc/30/72/49/1074307249.db2.gz XLYOSBZDAJCWAY-CQSZACIVSA-N 0 0 433.556 -0.543 20 0 IBADRN COC(=O)C1(CNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCOCC1 ZINC001337530069 1074307188 /nfs/dbraw/zinc/30/71/88/1074307188.db2.gz MQMDYMBJFVRQTA-UHFFFAOYSA-N 0 0 443.526 -0.158 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1sccc1S(=O)(=O)N1CCCC1 ZINC001337533328 1074307222 /nfs/dbraw/zinc/30/72/22/1074307222.db2.gz BBQKIDBYQAHJKD-GFCCVEGCSA-N 0 0 449.576 -0.092 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1sccc1S(=O)(=O)N1CCCC1 ZINC001337533330 1074307232 /nfs/dbraw/zinc/30/72/32/1074307232.db2.gz BBQKIDBYQAHJKD-LBPRGKRZSA-N 0 0 449.576 -0.092 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001337533489 1074307166 /nfs/dbraw/zinc/30/71/66/1074307166.db2.gz DVCYCUFJPZCFRK-UHFFFAOYSA-N 0 0 430.552 -0.671 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001337535561 1074307277 /nfs/dbraw/zinc/30/72/77/1074307277.db2.gz VDQHHPDUYXJJMW-AWEZNQCLSA-N 0 0 429.520 -0.398 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001337535562 1074307284 /nfs/dbraw/zinc/30/72/84/1074307284.db2.gz VDQHHPDUYXJJMW-CQSZACIVSA-N 0 0 429.520 -0.398 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC(NC(=O)COC)CC3)cc2S1(=O)=O ZINC001337546589 1074307259 /nfs/dbraw/zinc/30/72/59/1074307259.db2.gz VJZBYXMJWSRBRO-UHFFFAOYSA-N 0 0 439.490 -0.155 20 0 IBADRN CN(CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001337556344 1074307183 /nfs/dbraw/zinc/30/71/83/1074307183.db2.gz YFCMKBSGMNFBQD-CXAGYDPISA-N 0 0 429.520 -0.067 20 0 IBADRN CN(CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001337556345 1074307334 /nfs/dbraw/zinc/30/73/34/1074307334.db2.gz YFCMKBSGMNFBQD-DYVFJYSZSA-N 0 0 429.520 -0.067 20 0 IBADRN CN(CN1C(=O)N[C@@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001337556346 1074307150 /nfs/dbraw/zinc/30/71/50/1074307150.db2.gz YFCMKBSGMNFBQD-GUYCJALGSA-N 0 0 429.520 -0.067 20 0 IBADRN CN(CN1C(=O)N[C@](C)(c2ccc(S(C)(=O)=O)cc2)C1=O)[C@H]1CCS(=O)(=O)C1 ZINC001337556347 1074307324 /nfs/dbraw/zinc/30/73/24/1074307324.db2.gz YFCMKBSGMNFBQD-SUMWQHHRSA-N 0 0 429.520 -0.067 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)o2)CC1 ZINC001337568995 1074307293 /nfs/dbraw/zinc/30/72/93/1074307293.db2.gz WHFJFOLAYNXSGH-GFCCVEGCSA-N 0 0 435.524 -0.476 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)Cc2ccc(S(=O)(=O)N(C)C)o2)CC1 ZINC001337568996 1074307305 /nfs/dbraw/zinc/30/73/05/1074307305.db2.gz WHFJFOLAYNXSGH-LBPRGKRZSA-N 0 0 435.524 -0.476 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C)n1 ZINC001337588856 1074307219 /nfs/dbraw/zinc/30/72/19/1074307219.db2.gz AROSBGUKAVXXNT-AWEZNQCLSA-N 0 0 434.540 -0.887 20 0 IBADRN COCCn1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C)n1 ZINC001337588895 1074307200 /nfs/dbraw/zinc/30/72/00/1074307200.db2.gz AROSBGUKAVXXNT-CQSZACIVSA-N 0 0 434.540 -0.887 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001337643775 1074307656 /nfs/dbraw/zinc/30/76/56/1074307656.db2.gz HCLLKWKFOXAEDP-HNNXBMFYSA-N 0 0 431.536 -0.799 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001337643776 1074307579 /nfs/dbraw/zinc/30/75/79/1074307579.db2.gz HCLLKWKFOXAEDP-OAHLLOKOSA-N 0 0 431.536 -0.799 20 0 IBADRN O=C(CCNC(=O)CSCc1cc(=O)n2ccsc2n1)N[C@@H]1CCS(=O)(=O)C1 ZINC001337645640 1074307727 /nfs/dbraw/zinc/30/77/27/1074307727.db2.gz XMEOGCVOONDUML-LLVKDONJSA-N 0 0 444.560 -0.201 20 0 IBADRN O=C(CCNC(=O)CSCc1cc(=O)n2ccsc2n1)N[C@H]1CCS(=O)(=O)C1 ZINC001337645654 1074307618 /nfs/dbraw/zinc/30/76/18/1074307618.db2.gz XMEOGCVOONDUML-NSHDSACASA-N 0 0 444.560 -0.201 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001337653481 1074307732 /nfs/dbraw/zinc/30/77/32/1074307732.db2.gz ZQGMXDMMLIJWBC-CYBMUJFWSA-N 0 0 432.520 -0.331 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001337653482 1074307684 /nfs/dbraw/zinc/30/76/84/1074307684.db2.gz ZQGMXDMMLIJWBC-ZDUSSCGKSA-N 0 0 432.520 -0.331 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)Nc1cc(NC(=O)CS(=O)(=O)N(C)C)c(F)cc1F ZINC001337682688 1074307752 /nfs/dbraw/zinc/30/77/52/1074307752.db2.gz PMUFFPPHMDSMDP-UHFFFAOYSA-N 0 0 442.466 -0.375 20 0 IBADRN CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC001337686601 1074307633 /nfs/dbraw/zinc/30/76/33/1074307633.db2.gz XKWXAGIRHSPWKT-CMPLNLGQSA-N 0 0 437.565 -0.131 20 0 IBADRN CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC001337686602 1074307778 /nfs/dbraw/zinc/30/77/78/1074307778.db2.gz XKWXAGIRHSPWKT-JQWIXIFHSA-N 0 0 437.565 -0.131 20 0 IBADRN CC(=O)N[C@H](C)c1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)s1 ZINC001337686603 1074307742 /nfs/dbraw/zinc/30/77/42/1074307742.db2.gz XKWXAGIRHSPWKT-PWSUYJOCSA-N 0 0 437.565 -0.131 20 0 IBADRN CC(=O)N[C@H](C)c1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)s1 ZINC001337686604 1074307701 /nfs/dbraw/zinc/30/77/01/1074307701.db2.gz XKWXAGIRHSPWKT-ZYHUDNBSSA-N 0 0 437.565 -0.131 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001337695799 1074307664 /nfs/dbraw/zinc/30/76/64/1074307664.db2.gz SQWNZARTIBDPHW-BHYGNILZSA-N 0 0 434.518 -0.276 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001337695803 1074307771 /nfs/dbraw/zinc/30/77/71/1074307771.db2.gz SQWNZARTIBDPHW-DJIMGWMZSA-N 0 0 434.518 -0.276 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001337695806 1074307677 /nfs/dbraw/zinc/30/76/77/1074307677.db2.gz SQWNZARTIBDPHW-HYVNUMGLSA-N 0 0 434.518 -0.276 20 0 IBADRN O=C(N[C@@H]1CCCN(Cc2ccccn2)C1=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001337695809 1074307694 /nfs/dbraw/zinc/30/76/94/1074307694.db2.gz SQWNZARTIBDPHW-USXIJHARSA-N 0 0 434.518 -0.276 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCOCC2(CCOCC2)C1 ZINC001337701193 1074307764 /nfs/dbraw/zinc/30/77/64/1074307764.db2.gz XCDIYULSGBDFLW-UHFFFAOYSA-N 0 0 425.463 -0.103 20 0 IBADRN Cn1cc(/C=C/C(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC001337703207 1074307592 /nfs/dbraw/zinc/30/75/92/1074307592.db2.gz RPRMEKASQDFYOR-RMKNXTFCSA-N 0 0 435.458 -0.640 20 0 IBADRN Cn1cc(/C=C\C(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)c(=O)n(C)c1=O ZINC001337703208 1074307713 /nfs/dbraw/zinc/30/77/13/1074307713.db2.gz RPRMEKASQDFYOR-TWGQIWQCSA-N 0 0 435.458 -0.640 20 0 IBADRN O=C(Cn1ncc2ccccc2c1=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001337705855 1074307643 /nfs/dbraw/zinc/30/76/43/1074307643.db2.gz IXRSSSRHUZJYKC-INIZCTEOSA-N 0 0 448.501 -0.729 20 0 IBADRN O=C(Cn1ncc2ccccc2c1=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001337705857 1074307984 /nfs/dbraw/zinc/30/79/84/1074307984.db2.gz IXRSSSRHUZJYKC-MRXNPFEDSA-N 0 0 448.501 -0.729 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001337707689 1074308009 /nfs/dbraw/zinc/30/80/09/1074308009.db2.gz UCEMAWORBOJJFX-DOTOQJQBSA-N 0 0 435.452 -0.403 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001337707690 1074308171 /nfs/dbraw/zinc/30/81/71/1074308171.db2.gz UCEMAWORBOJJFX-NVXWUHKLSA-N 0 0 435.452 -0.403 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001337707691 1074308035 /nfs/dbraw/zinc/30/80/35/1074308035.db2.gz UCEMAWORBOJJFX-RDJZCZTQSA-N 0 0 435.452 -0.403 20 0 IBADRN NC(=O)C1CCN(C(=O)COC(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001337707694 1074308135 /nfs/dbraw/zinc/30/81/35/1074308135.db2.gz UCEMAWORBOJJFX-WBVHZDCISA-N 0 0 435.452 -0.403 20 0 IBADRN O=C(c1ccc(=O)n(-c2ccc(F)cc2)n1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001337727474 1074308109 /nfs/dbraw/zinc/30/81/09/1074308109.db2.gz ALISKBRAJFVFMD-UHFFFAOYSA-N 0 0 433.425 -0.364 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001337749790 1074308044 /nfs/dbraw/zinc/30/80/44/1074308044.db2.gz ABEKRNNGNIKVRU-AEFFLSMTSA-N 0 0 431.555 -0.734 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001337749791 1074308100 /nfs/dbraw/zinc/30/81/00/1074308100.db2.gz ABEKRNNGNIKVRU-FUHWJXTLSA-N 0 0 431.555 -0.734 20 0 IBADRN CCOC(=O)[C@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001337749792 1074308163 /nfs/dbraw/zinc/30/81/63/1074308163.db2.gz ABEKRNNGNIKVRU-SJLPKXTDSA-N 0 0 431.555 -0.734 20 0 IBADRN CCOC(=O)[C@@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001337749793 1074308123 /nfs/dbraw/zinc/30/81/23/1074308123.db2.gz ABEKRNNGNIKVRU-WMZOPIPTSA-N 0 0 431.555 -0.734 20 0 IBADRN CC1(C)NC(=O)N(CCCNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)C1=O ZINC001337762236 1074307974 /nfs/dbraw/zinc/30/79/74/1074307974.db2.gz XWOANZQPDHIVCD-UHFFFAOYSA-N 0 0 428.467 -0.249 20 0 IBADRN Cc1nn(C)c2ncc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC001337777944 1074308022 /nfs/dbraw/zinc/30/80/22/1074308022.db2.gz BEJMYVFPKDGLQQ-AWEZNQCLSA-N 0 0 441.535 -0.449 20 0 IBADRN Cc1nn(C)c2ncc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cc12 ZINC001337777948 1074308081 /nfs/dbraw/zinc/30/80/81/1074308081.db2.gz BEJMYVFPKDGLQQ-CQSZACIVSA-N 0 0 441.535 -0.449 20 0 IBADRN Cc1cc(C(=O)N[C@@H](CO)C(=O)OCc2ccccc2)nc2c1c(=O)n(C)c(=O)n2C ZINC001337778612 1074308088 /nfs/dbraw/zinc/30/80/88/1074308088.db2.gz BIAPEQVSGOGIBK-HNNXBMFYSA-N 0 0 426.429 -0.225 20 0 IBADRN Cc1cc(C(=O)N[C@H](CO)C(=O)OCc2ccccc2)nc2c1c(=O)n(C)c(=O)n2C ZINC001337778615 1074307994 /nfs/dbraw/zinc/30/79/94/1074307994.db2.gz BIAPEQVSGOGIBK-OAHLLOKOSA-N 0 0 426.429 -0.225 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@H]1CNC(=O)C1 ZINC001337780740 1074308155 /nfs/dbraw/zinc/30/81/55/1074308155.db2.gz IUERNWFIICSQOK-AEOIHIIDSA-N 0 0 429.520 -0.615 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@H]1CNC(=O)C1 ZINC001337780741 1074308056 /nfs/dbraw/zinc/30/80/56/1074308056.db2.gz IUERNWFIICSQOK-FZXWVIKQSA-N 0 0 429.520 -0.615 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@@H]1CNC(=O)C1 ZINC001337780742 1074308071 /nfs/dbraw/zinc/30/80/71/1074308071.db2.gz IUERNWFIICSQOK-IDZXHDBHSA-N 0 0 429.520 -0.615 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@@H]1CNC(=O)C1 ZINC001337780743 1074308521 /nfs/dbraw/zinc/30/85/21/1074308521.db2.gz IUERNWFIICSQOK-UGZXISOKSA-N 0 0 429.520 -0.615 20 0 IBADRN O=c1c2ccccc2cnn1CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001337796763 1074308395 /nfs/dbraw/zinc/30/83/95/1074308395.db2.gz NPXIVHFANXRESV-HNNXBMFYSA-N 0 0 426.520 -0.512 20 0 IBADRN O=c1c2ccccc2cnn1CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001337796764 1074308413 /nfs/dbraw/zinc/30/84/13/1074308413.db2.gz NPXIVHFANXRESV-OAHLLOKOSA-N 0 0 426.520 -0.512 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001337805287 1074308488 /nfs/dbraw/zinc/30/84/88/1074308488.db2.gz MUUMCJAPVRLNQE-LLVKDONJSA-N 0 0 435.499 -0.549 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001337805289 1074308535 /nfs/dbraw/zinc/30/85/35/1074308535.db2.gz MUUMCJAPVRLNQE-NSHDSACASA-N 0 0 435.499 -0.549 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001337818997 1074308432 /nfs/dbraw/zinc/30/84/32/1074308432.db2.gz DIKDRYQJVNTFJP-AWEZNQCLSA-N 0 0 447.535 -0.755 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001337819001 1074308507 /nfs/dbraw/zinc/30/85/07/1074308507.db2.gz DIKDRYQJVNTFJP-CQSZACIVSA-N 0 0 447.535 -0.755 20 0 IBADRN O=C(CN1CCN(C(=O)C2CCN(C(=O)c3cccnc3)CC2)CC1)N1CCOCC1 ZINC001337819784 1074308422 /nfs/dbraw/zinc/30/84/22/1074308422.db2.gz LXEHDEGCOYSSNB-UHFFFAOYSA-N 0 0 429.521 -0.063 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001337820716 1074308405 /nfs/dbraw/zinc/30/84/05/1074308405.db2.gz RRRCVBSFMLFJTF-CYBMUJFWSA-N 0 0 435.593 -0.215 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)s1 ZINC001337820717 1074308439 /nfs/dbraw/zinc/30/84/39/1074308439.db2.gz RRRCVBSFMLFJTF-ZDUSSCGKSA-N 0 0 435.593 -0.215 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001337829100 1074308495 /nfs/dbraw/zinc/30/84/95/1074308495.db2.gz WSJPUGIFJPACDB-UHFFFAOYSA-N 0 0 448.524 -0.144 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1ccc(S(=O)(=O)NC)cc1 ZINC001337842705 1074308446 /nfs/dbraw/zinc/30/84/46/1074308446.db2.gz MGYQVTCUVMARIB-AWEZNQCLSA-N 0 0 431.536 -0.711 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1ccc(S(=O)(=O)NC)cc1 ZINC001337842706 1074308477 /nfs/dbraw/zinc/30/84/77/1074308477.db2.gz MGYQVTCUVMARIB-CQSZACIVSA-N 0 0 431.536 -0.711 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC001337842717 1074308528 /nfs/dbraw/zinc/30/85/28/1074308528.db2.gz MQZNZZULBQEVAS-LLVKDONJSA-N 0 0 449.327 -0.329 20 0 IBADRN Cn1ncc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1Br ZINC001337842718 1074308482 /nfs/dbraw/zinc/30/84/82/1074308482.db2.gz MQZNZZULBQEVAS-NSHDSACASA-N 0 0 449.327 -0.329 20 0 IBADRN NC(=O)c1ccc(OCC(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001337845791 1074308471 /nfs/dbraw/zinc/30/84/71/1074308471.db2.gz SRTDVDPFQSXYBJ-HNNXBMFYSA-N 0 0 439.490 -0.961 20 0 IBADRN NC(=O)c1ccc(OCC(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001337845793 1074308514 /nfs/dbraw/zinc/30/85/14/1074308514.db2.gz SRTDVDPFQSXYBJ-OAHLLOKOSA-N 0 0 439.490 -0.961 20 0 IBADRN O=C(CCN1C(=O)NC2(CCCC2)C1=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001337859767 1074308461 /nfs/dbraw/zinc/30/84/61/1074308461.db2.gz CUUBYVVVLZGPNN-UHFFFAOYSA-N 0 0 426.539 -0.038 20 0 IBADRN Cn1ccc(CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)n1 ZINC001337875112 1074309030 /nfs/dbraw/zinc/30/90/30/1074309030.db2.gz ZTNWARQIQQAOME-INIZCTEOSA-N 0 0 428.536 -0.139 20 0 IBADRN Cn1ccc(CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)n1 ZINC001337875113 1074309091 /nfs/dbraw/zinc/30/90/91/1074309091.db2.gz ZTNWARQIQQAOME-MRXNPFEDSA-N 0 0 428.536 -0.139 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1 ZINC001337883780 1074308829 /nfs/dbraw/zinc/30/88/29/1074308829.db2.gz HWAPYPDSQLGDEW-UHFFFAOYSA-N 0 0 433.552 -0.448 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(Cc2nc(CS(=O)(=O)N(C)C)no2)c1 ZINC001337913232 1074309017 /nfs/dbraw/zinc/30/90/17/1074309017.db2.gz XSOLDERZNVFCQA-UHFFFAOYSA-N 0 0 433.512 -0.299 20 0 IBADRN O=C1CCCN1C[C@@H](O)CNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001337922399 1074308850 /nfs/dbraw/zinc/30/88/50/1074308850.db2.gz VEBUQDQGPJUKMW-HNNXBMFYSA-N 0 0 442.563 -0.023 20 0 IBADRN O=C1CCCN1C[C@H](O)CNC(=S)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001337922404 1074309041 /nfs/dbraw/zinc/30/90/41/1074309041.db2.gz VEBUQDQGPJUKMW-OAHLLOKOSA-N 0 0 442.563 -0.023 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(c2nnc(-c3ccc(S(C)(=O)=O)cc3)n2C)CC1 ZINC001337981023 1074308838 /nfs/dbraw/zinc/30/88/38/1074308838.db2.gz YVASIDIVRWPTIX-UHFFFAOYSA-N 0 0 428.540 -0.186 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)Cn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)no1 ZINC001337982094 1074309006 /nfs/dbraw/zinc/30/90/06/1074309006.db2.gz LHVHAPCJMFHZIC-UHFFFAOYSA-N 0 0 429.437 -0.849 20 0 IBADRN COC(=O)c1ncsc1S(=O)(=O)N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001337987035 1074308859 /nfs/dbraw/zinc/30/88/59/1074308859.db2.gz FEPBMGQFECXRBJ-UHFFFAOYSA-N 0 0 449.536 -0.333 20 0 IBADRN CN1CCC[C@@H]1c1nnc(N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1C ZINC001337990223 1074309481 /nfs/dbraw/zinc/30/94/81/1074309481.db2.gz ILRQUMAKBYSGBI-UONOGXRCSA-N 0 0 432.572 -0.779 20 0 IBADRN CN1CCC[C@@H]1c1nnc(N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1C ZINC001337990224 1074309422 /nfs/dbraw/zinc/30/94/22/1074309422.db2.gz ILRQUMAKBYSGBI-ZIAGYGMSSA-N 0 0 432.572 -0.779 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCOc1ccc(S(C)(=O)=O)cc1 ZINC001338004396 1074309475 /nfs/dbraw/zinc/30/94/75/1074309475.db2.gz QMRVAKXGGSMMDF-CYBMUJFWSA-N 0 0 432.520 -0.379 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCOc1ccc(S(C)(=O)=O)cc1 ZINC001338004398 1074309327 /nfs/dbraw/zinc/30/93/27/1074309327.db2.gz QMRVAKXGGSMMDF-ZDUSSCGKSA-N 0 0 432.520 -0.379 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CNC(=O)Cc3ccc(O)cc3)CC2)cn1 ZINC001338005301 1074309399 /nfs/dbraw/zinc/30/93/99/1074309399.db2.gz PGHMTOUVEOOBJJ-UHFFFAOYSA-N 0 0 435.506 -0.246 20 0 IBADRN Cc1cc(C(=O)NC2CCN(C(=O)CCn3cnc4c3c(=O)n(C)c(=O)n4C)CC2)no1 ZINC001338010960 1074309501 /nfs/dbraw/zinc/30/95/01/1074309501.db2.gz XBWFDKHHGZZMSS-UHFFFAOYSA-N 0 0 443.464 -0.459 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001338024245 1074309415 /nfs/dbraw/zinc/30/94/15/1074309415.db2.gz YSNTZLMNSCRMAB-CABCVRRESA-N 0 0 446.570 -0.357 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001338024246 1074309352 /nfs/dbraw/zinc/30/93/52/1074309352.db2.gz YSNTZLMNSCRMAB-GJZGRUSLSA-N 0 0 446.570 -0.357 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001338024247 1074309457 /nfs/dbraw/zinc/30/94/57/1074309457.db2.gz YSNTZLMNSCRMAB-HUUCEWRRSA-N 0 0 446.570 -0.357 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001338024248 1074309495 /nfs/dbraw/zinc/30/94/95/1074309495.db2.gz YSNTZLMNSCRMAB-LSDHHAIUSA-N 0 0 446.570 -0.357 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCCN(S(C)(=O)=O)CC3)CC2)cn1C ZINC001338061081 1074309335 /nfs/dbraw/zinc/30/93/35/1074309335.db2.gz VGWQZZIMIXJLBG-UHFFFAOYSA-N 0 0 447.583 -0.377 20 0 IBADRN COCC(=O)NCCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001338076132 1074309509 /nfs/dbraw/zinc/30/95/09/1074309509.db2.gz NXVLCZMQBTWNEY-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC001338076972 1074309453 /nfs/dbraw/zinc/30/94/53/1074309453.db2.gz OUIQUEBORGLHDH-INIZCTEOSA-N 0 0 426.495 -0.900 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC001338076977 1074309342 /nfs/dbraw/zinc/30/93/42/1074309342.db2.gz OUIQUEBORGLHDH-MRXNPFEDSA-N 0 0 426.495 -0.900 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001338077535 1074309357 /nfs/dbraw/zinc/30/93/57/1074309357.db2.gz XDNPVNWELHNAKX-UHFFFAOYSA-N 0 0 440.570 -0.590 20 0 IBADRN O=C(CCNC(=O)c1[nH]ncc1I)N[C@@H]1CCS(=O)(=O)C1 ZINC001338164828 1074309406 /nfs/dbraw/zinc/30/94/06/1074309406.db2.gz LZGDGUYMWHGGOQ-SSDOTTSWSA-N 0 0 426.236 -0.563 20 0 IBADRN O=C(CCNC(=O)c1[nH]ncc1I)N[C@H]1CCS(=O)(=O)C1 ZINC001338164830 1074309816 /nfs/dbraw/zinc/30/98/16/1074309816.db2.gz LZGDGUYMWHGGOQ-ZETCQYMHSA-N 0 0 426.236 -0.563 20 0 IBADRN COc1cc2c(cc1OC)S(=O)(=O)N(CC(=O)NC1CCN(C(C)=O)CC1)C2=O ZINC001338176315 1074309857 /nfs/dbraw/zinc/30/98/57/1074309857.db2.gz NCRVXIVEUIXSMY-UHFFFAOYSA-N 0 0 425.463 -0.025 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)cn1 ZINC001338186526 1074309752 /nfs/dbraw/zinc/30/97/52/1074309752.db2.gz PLMGXFSZHCHKRE-UHFFFAOYSA-N 0 0 443.507 -0.392 20 0 IBADRN C[S@@](=O)c1cccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001338203542 1074309836 /nfs/dbraw/zinc/30/98/36/1074309836.db2.gz LDUWTXQCPNKTNT-KUNJGFBQSA-N 0 0 428.532 -0.088 20 0 IBADRN C[S@](=O)c1cccc(C(=O)OCC(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001338203970 1074309716 /nfs/dbraw/zinc/30/97/16/1074309716.db2.gz LDUWTXQCPNKTNT-QZXCRCNTSA-N 0 0 428.532 -0.088 20 0 IBADRN C[S@](=O)c1cccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001338203971 1074309850 /nfs/dbraw/zinc/30/98/50/1074309850.db2.gz LDUWTXQCPNKTNT-RXAIFQJESA-N 0 0 428.532 -0.088 20 0 IBADRN C[S@@](=O)c1cccc(C(=O)OCC(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001338203972 1074309785 /nfs/dbraw/zinc/30/97/85/1074309785.db2.gz LDUWTXQCPNKTNT-YVORESIASA-N 0 0 428.532 -0.088 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@H]2CCN(S(C)(=O)=O)C2)cc(OC)c1OC ZINC001338224661 1074309776 /nfs/dbraw/zinc/30/97/76/1074309776.db2.gz BUXKLPOCQBYFEC-GFCCVEGCSA-N 0 0 429.495 -0.160 20 0 IBADRN COc1cc(C(=O)NCC(=O)NC[C@@H]2CCN(S(C)(=O)=O)C2)cc(OC)c1OC ZINC001338224663 1074309810 /nfs/dbraw/zinc/30/98/10/1074309810.db2.gz BUXKLPOCQBYFEC-LBPRGKRZSA-N 0 0 429.495 -0.160 20 0 IBADRN COC(=O)N1CCCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC001338242612 1074309867 /nfs/dbraw/zinc/30/98/67/1074309867.db2.gz MVBNDBSVYGHPCZ-UHFFFAOYSA-N 0 0 426.495 -0.033 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001338243878 1074309768 /nfs/dbraw/zinc/30/97/68/1074309768.db2.gz LPVZQJROPWBVBD-MSOLQXFVSA-N 0 0 432.502 -0.788 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001338243880 1074309725 /nfs/dbraw/zinc/30/97/25/1074309725.db2.gz LPVZQJROPWBVBD-QZTJIDSGSA-N 0 0 432.502 -0.788 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001338243882 1074309792 /nfs/dbraw/zinc/30/97/92/1074309792.db2.gz LPVZQJROPWBVBD-ROUUACIJSA-N 0 0 432.502 -0.788 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001338243884 1074309696 /nfs/dbraw/zinc/30/96/96/1074309696.db2.gz LPVZQJROPWBVBD-ZWKOTPCHSA-N 0 0 432.502 -0.788 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H](C)c2nnnn2C)c1OC ZINC001338256506 1074309879 /nfs/dbraw/zinc/30/98/79/1074309879.db2.gz KCDHPDDIRKJBEA-LLVKDONJSA-N 0 0 440.482 -0.261 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H](C)c2nnnn2C)c1OC ZINC001338256507 1074309732 /nfs/dbraw/zinc/30/97/32/1074309732.db2.gz KCDHPDDIRKJBEA-NSHDSACASA-N 0 0 440.482 -0.261 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(C(C)C)C2=O ZINC001338256517 1074309801 /nfs/dbraw/zinc/30/98/01/1074309801.db2.gz KNPJSPRQBZLPEU-CYBMUJFWSA-N 0 0 444.487 -0.348 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(C(C)C)C2=O ZINC001338256520 1074309759 /nfs/dbraw/zinc/30/97/59/1074309759.db2.gz KNPJSPRQBZLPEU-ZDUSSCGKSA-N 0 0 444.487 -0.348 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H](C)c3nnnn3C)ccc2F)CC1 ZINC001338256554 1074309745 /nfs/dbraw/zinc/30/97/45/1074309745.db2.gz LMQXRAHAIRZKCL-LLVKDONJSA-N 0 0 439.473 -0.307 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H](C)c3nnnn3C)ccc2F)CC1 ZINC001338256564 1074309824 /nfs/dbraw/zinc/30/98/24/1074309824.db2.gz LMQXRAHAIRZKCL-NSHDSACASA-N 0 0 439.473 -0.307 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)c3cc(OC)n(C)n3)[C@H](C(=O)N(C)C)C2)nn1C ZINC001338273472 1074310235 /nfs/dbraw/zinc/31/02/35/1074310235.db2.gz UNXOJYGTTOCTFD-AWEZNQCLSA-N 0 0 433.469 -0.774 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)c3cc(OC)n(C)n3)[C@@H](C(=O)N(C)C)C2)nn1C ZINC001338273475 1074310188 /nfs/dbraw/zinc/31/01/88/1074310188.db2.gz UNXOJYGTTOCTFD-CQSZACIVSA-N 0 0 433.469 -0.774 20 0 IBADRN Cc1cc(C(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC001338274027 1074310133 /nfs/dbraw/zinc/31/01/33/1074310133.db2.gz ZHKUKYMVQDSNHD-UHFFFAOYSA-N 0 0 449.533 -0.724 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001338276085 1074310292 /nfs/dbraw/zinc/31/02/92/1074310292.db2.gz GSVLMPYGGCSPEV-HNNXBMFYSA-N 0 0 441.641 -0.231 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001338276094 1074310165 /nfs/dbraw/zinc/31/01/65/1074310165.db2.gz GSVLMPYGGCSPEV-OAHLLOKOSA-N 0 0 441.641 -0.231 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1)N1CC(CO)C1 ZINC001338286731 1074310121 /nfs/dbraw/zinc/31/01/21/1074310121.db2.gz FVUVRYZMLJNZSL-UHFFFAOYSA-N 0 0 425.507 -0.392 20 0 IBADRN NC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)s3)CC2)n1 ZINC001338291002 1074310197 /nfs/dbraw/zinc/31/01/97/1074310197.db2.gz WWGDGLABSYUZPM-UHFFFAOYSA-N 0 0 445.910 -0.410 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2C[C@H](O)C[C@H]2CO)CC1 ZINC001338300750 1074310171 /nfs/dbraw/zinc/31/01/71/1074310171.db2.gz AYOFZIHJQKBQBF-LSDHHAIUSA-N 0 0 426.491 -0.172 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001338378504 1074310207 /nfs/dbraw/zinc/31/02/07/1074310207.db2.gz RLBJXTDZRHJRST-CYBMUJFWSA-N 0 0 425.467 -0.913 20 0 IBADRN CN(Cc1cnn(C)c1)C(=O)COC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001338378506 1074310143 /nfs/dbraw/zinc/31/01/43/1074310143.db2.gz RLBJXTDZRHJRST-ZDUSSCGKSA-N 0 0 425.467 -0.913 20 0 IBADRN CC1(C)NC(=O)N(CCCNC(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)C1=O ZINC001338388490 1074310527 /nfs/dbraw/zinc/31/05/27/1074310527.db2.gz GWQUEFDVEKTLHF-UHFFFAOYSA-N 0 0 427.461 -0.105 20 0 IBADRN CO[C@H](C)c1noc(CN2CCCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)n1 ZINC001338402522 1074310766 /nfs/dbraw/zinc/31/07/66/1074310766.db2.gz CLQISCFJSBJDPC-CYBMUJFWSA-N 0 0 430.531 -0.114 20 0 IBADRN CO[C@@H](C)c1noc(CN2CCCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)n1 ZINC001338402542 1074310621 /nfs/dbraw/zinc/31/06/21/1074310621.db2.gz CLQISCFJSBJDPC-ZDUSSCGKSA-N 0 0 430.531 -0.114 20 0 IBADRN CCOC(=O)N1CCC(NC(=O)CCCNC(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC001338402567 1074310701 /nfs/dbraw/zinc/31/07/01/1074310701.db2.gz DEFZJNGGBXMMGE-UHFFFAOYSA-N 0 0 447.558 -0.306 20 0 IBADRN Cn1cc([C@@H](CO)NCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC001338425397 1074310542 /nfs/dbraw/zinc/31/05/42/1074310542.db2.gz ZUVSQCGJIZWJIA-GOSISDBHSA-N 0 0 437.522 -0.620 20 0 IBADRN Cn1cc([C@H](CO)NCC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)cn1 ZINC001338425399 1074310667 /nfs/dbraw/zinc/31/06/67/1074310667.db2.gz ZUVSQCGJIZWJIA-SFHVURJKSA-N 0 0 437.522 -0.620 20 0 IBADRN O=C(CCc1nc(-c2ncccn2)no1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC001338430493 1074310755 /nfs/dbraw/zinc/31/07/55/1074310755.db2.gz ROAUYZLFANCHFS-UHFFFAOYSA-N 0 0 437.482 -0.820 20 0 IBADRN C[C@@H](NC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1)C(=O)N(C)C ZINC001338445211 1074310692 /nfs/dbraw/zinc/31/06/92/1074310692.db2.gz AMDCSGOMPIUNFG-LLVKDONJSA-N 0 0 447.535 -0.357 20 0 IBADRN C[C@H](NC(=O)COC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1)C(=O)N(C)C ZINC001338445215 1074310569 /nfs/dbraw/zinc/31/05/69/1074310569.db2.gz AMDCSGOMPIUNFG-NSHDSACASA-N 0 0 447.535 -0.357 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC(C(=O)NCc3cccnc3)CC2)cn1C ZINC001338482210 1074310717 /nfs/dbraw/zinc/31/07/17/1074310717.db2.gz MUKPNTVVRLOWGA-UHFFFAOYSA-N 0 0 434.522 -0.043 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1)C1CC1 ZINC001338484872 1074310561 /nfs/dbraw/zinc/31/05/61/1074310561.db2.gz SMGQSRAXFMJRSV-UHFFFAOYSA-N 0 0 434.518 -0.062 20 0 IBADRN COCc1noc(CN2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)n1 ZINC001338512266 1074310588 /nfs/dbraw/zinc/31/05/88/1074310588.db2.gz MQJUQXMXMCEFDU-UHFFFAOYSA-N 0 0 438.510 -0.046 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCC3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC001338517307 1074310743 /nfs/dbraw/zinc/31/07/43/1074310743.db2.gz KNWUPLXHKFMXRB-UHFFFAOYSA-N 0 0 430.531 -0.285 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CCO1 ZINC001338520097 1074310735 /nfs/dbraw/zinc/31/07/35/1074310735.db2.gz SFLGKATWUMAYSI-GDBMZVCRSA-N 0 0 442.490 -0.371 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CCO1 ZINC001338520099 1074310710 /nfs/dbraw/zinc/31/07/10/1074310710.db2.gz SFLGKATWUMAYSI-GOEBONIOSA-N 0 0 442.490 -0.371 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CCO1 ZINC001338520100 1074310631 /nfs/dbraw/zinc/31/06/31/1074310631.db2.gz SFLGKATWUMAYSI-HOCLYGCPSA-N 0 0 442.490 -0.371 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccccc2OC)CCO1 ZINC001338520102 1074310607 /nfs/dbraw/zinc/31/06/07/1074310607.db2.gz SFLGKATWUMAYSI-ZBFHGGJFSA-N 0 0 442.490 -0.371 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCNC(=O)CC1 ZINC001338527431 1074310682 /nfs/dbraw/zinc/31/06/82/1074310682.db2.gz FWMNUAYWLMYJGC-FCONWMNKSA-N 0 0 443.547 -0.272 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCNC(=O)CC1 ZINC001338527432 1074311087 /nfs/dbraw/zinc/31/10/87/1074311087.db2.gz FWMNUAYWLMYJGC-SBNBMVPLSA-N 0 0 443.547 -0.272 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCNC(=O)CC1 ZINC001338527433 1074311032 /nfs/dbraw/zinc/31/10/32/1074311032.db2.gz FWMNUAYWLMYJGC-VCHSTOLQSA-N 0 0 443.547 -0.272 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCNC(=O)CC1 ZINC001338527434 1074311064 /nfs/dbraw/zinc/31/10/64/1074311064.db2.gz FWMNUAYWLMYJGC-WPMUKRGUSA-N 0 0 443.547 -0.272 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001338536747 1074311055 /nfs/dbraw/zinc/31/10/55/1074311055.db2.gz AVYGXBBCQSXXIQ-KBPBESRZSA-N 0 0 428.536 -0.574 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001338536753 1074311007 /nfs/dbraw/zinc/31/10/07/1074311007.db2.gz AVYGXBBCQSXXIQ-KGLIPLIRSA-N 0 0 428.536 -0.574 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001338536757 1074310963 /nfs/dbraw/zinc/31/09/63/1074310963.db2.gz AVYGXBBCQSXXIQ-UONOGXRCSA-N 0 0 428.536 -0.574 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001338536762 1074311002 /nfs/dbraw/zinc/31/10/02/1074311002.db2.gz AVYGXBBCQSXXIQ-ZIAGYGMSSA-N 0 0 428.536 -0.574 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001338538436 1074310979 /nfs/dbraw/zinc/31/09/79/1074310979.db2.gz LHUMSLZBHFCNBY-MSOLQXFVSA-N 0 0 432.502 -0.476 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001338538437 1074311071 /nfs/dbraw/zinc/31/10/71/1074311071.db2.gz LHUMSLZBHFCNBY-QZTJIDSGSA-N 0 0 432.502 -0.476 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001338538438 1074311025 /nfs/dbraw/zinc/31/10/25/1074311025.db2.gz LHUMSLZBHFCNBY-ROUUACIJSA-N 0 0 432.502 -0.476 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001338538439 1074311082 /nfs/dbraw/zinc/31/10/82/1074311082.db2.gz LHUMSLZBHFCNBY-ZWKOTPCHSA-N 0 0 432.502 -0.476 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC001338538912 1074311050 /nfs/dbraw/zinc/31/10/50/1074311050.db2.gz PUGBSBIBCKIQJF-HNNXBMFYSA-N 0 0 432.520 -0.027 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCS(=O)(=O)CC1 ZINC001338538913 1074311015 /nfs/dbraw/zinc/31/10/15/1074311015.db2.gz PUGBSBIBCKIQJF-OAHLLOKOSA-N 0 0 432.520 -0.027 20 0 IBADRN CN(CC1(O)CCOCC1)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001338566172 1074311039 /nfs/dbraw/zinc/31/10/39/1074311039.db2.gz RHUOTVSDLHQBSN-INIZCTEOSA-N 0 0 448.563 -0.232 20 0 IBADRN CN(CC1(O)CCOCC1)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001338566174 1074310969 /nfs/dbraw/zinc/31/09/69/1074310969.db2.gz RHUOTVSDLHQBSN-MRXNPFEDSA-N 0 0 448.563 -0.232 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001338574849 1074311077 /nfs/dbraw/zinc/31/10/77/1074311077.db2.gz OPNXOYFNLDNEKO-GDBMZVCRSA-N 0 0 443.570 -0.936 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(CC(=O)NC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001338574850 1074311062 /nfs/dbraw/zinc/31/10/62/1074311062.db2.gz OPNXOYFNLDNEKO-GOEBONIOSA-N 0 0 443.570 -0.936 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001338574851 1074311045 /nfs/dbraw/zinc/31/10/45/1074311045.db2.gz OPNXOYFNLDNEKO-HOCLYGCPSA-N 0 0 443.570 -0.936 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(CC(=O)NC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001338574852 1074310993 /nfs/dbraw/zinc/31/09/93/1074310993.db2.gz OPNXOYFNLDNEKO-ZBFHGGJFSA-N 0 0 443.570 -0.936 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCC(CS(N)(=O)=O)CC2)cc(OC)c1OC ZINC001338592021 1074311096 /nfs/dbraw/zinc/31/10/96/1074311096.db2.gz OCODAERQFQHQGM-UHFFFAOYSA-N 0 0 429.495 -0.031 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N[C@H](C)C(=O)N3CCS(=O)(=O)CC3)c(C)c12 ZINC001338593324 1074311328 /nfs/dbraw/zinc/31/13/28/1074311328.db2.gz XUSFHNRLLKJCPN-CYBMUJFWSA-N 0 0 437.522 -0.427 20 0 IBADRN Cc1nn(C)c2[nH]c(=O)c(CCC(=O)N[C@@H](C)C(=O)N3CCS(=O)(=O)CC3)c(C)c12 ZINC001338593325 1074311514 /nfs/dbraw/zinc/31/15/14/1074311514.db2.gz XUSFHNRLLKJCPN-ZDUSSCGKSA-N 0 0 437.522 -0.427 20 0 IBADRN O=C(C1CCN(c2ccc3nnnn3n2)CC1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC001338604098 1074311467 /nfs/dbraw/zinc/31/14/67/1074311467.db2.gz FPTDKJSETCARTM-INIZCTEOSA-N 0 0 428.497 -0.024 20 0 IBADRN O=C(C1CCN(c2ccc3nnnn3n2)CC1)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC001338604099 1074311379 /nfs/dbraw/zinc/31/13/79/1074311379.db2.gz FPTDKJSETCARTM-MRXNPFEDSA-N 0 0 428.497 -0.024 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001338604606 1074311526 /nfs/dbraw/zinc/31/15/26/1074311526.db2.gz JKSJPNUSCZZDLJ-UHFFFAOYSA-N 0 0 439.538 -0.936 20 0 IBADRN O=C(NC1CC(N2CCOCC2)C1)c1cc(C(=O)NC2CC(N3CCOCC3)C2)[nH]n1 ZINC001338628003 1074311349 /nfs/dbraw/zinc/31/13/49/1074311349.db2.gz DXJLLDAGLKXNTG-UHFFFAOYSA-N 0 0 432.525 -0.404 20 0 IBADRN O=C(COCC(=O)N1CCC[C@H](N2CCNC2=O)C1)N1CCC[C@H](N2CCNC2=O)C1 ZINC001338638476 1074311338 /nfs/dbraw/zinc/31/13/38/1074311338.db2.gz VSAAUKGGXUHTIR-HOTGVXAUSA-N 0 0 436.513 -0.965 20 0 IBADRN O=C(COCC(=O)N1CCC[C@@H](N2CCNC2=O)C1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC001338638479 1074311551 /nfs/dbraw/zinc/31/15/51/1074311551.db2.gz VSAAUKGGXUHTIR-HZPDHXFCSA-N 0 0 436.513 -0.965 20 0 IBADRN O=C(COCC(=O)N1CCC[C@H](N2CCNC2=O)C1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC001338638481 1074311492 /nfs/dbraw/zinc/31/14/92/1074311492.db2.gz VSAAUKGGXUHTIR-IYBDPMFKSA-N 0 0 436.513 -0.965 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1cc(=O)n2cc(C)ccc2n1)[C@H]1CCS(=O)(=O)C1 ZINC001338650590 1074311456 /nfs/dbraw/zinc/31/14/56/1074311456.db2.gz BPUBORKTLOVHLG-HNNXBMFYSA-N 0 0 441.531 -0.047 20 0 IBADRN CCN(C(=O)CS(=O)(=O)Cc1cc(=O)n2cc(C)ccc2n1)[C@@H]1CCS(=O)(=O)C1 ZINC001338650591 1074311540 /nfs/dbraw/zinc/31/15/40/1074311540.db2.gz BPUBORKTLOVHLG-OAHLLOKOSA-N 0 0 441.531 -0.047 20 0 IBADRN CN(C(=O)CCc1nc(-c2nc[nH]n2)no1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001338652617 1074311363 /nfs/dbraw/zinc/31/13/63/1074311363.db2.gz BUVYZLAZYHVOCC-UHFFFAOYSA-N 0 0 445.528 -0.516 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CS[C@H]2CCS(=O)(=O)C2)c1 ZINC001338653483 1074311398 /nfs/dbraw/zinc/31/13/98/1074311398.db2.gz MJHBIXWPYYRIBL-AAEUAGOBSA-N 0 0 449.576 -0.042 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CS[C@@H]2CCS(=O)(=O)C2)c1 ZINC001338653486 1074311446 /nfs/dbraw/zinc/31/14/46/1074311446.db2.gz MJHBIXWPYYRIBL-DGCLKSJQSA-N 0 0 449.576 -0.042 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@H](C)NC(=O)CS[C@@H]2CCS(=O)(=O)C2)c1 ZINC001338653489 1074311428 /nfs/dbraw/zinc/31/14/28/1074311428.db2.gz MJHBIXWPYYRIBL-WCQYABFASA-N 0 0 449.576 -0.042 20 0 IBADRN CNS(=O)(=O)c1cccc(NC(=O)[C@@H](C)NC(=O)CS[C@H]2CCS(=O)(=O)C2)c1 ZINC001338653492 1074311389 /nfs/dbraw/zinc/31/13/89/1074311389.db2.gz MJHBIXWPYYRIBL-YPMHNXCESA-N 0 0 449.576 -0.042 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCCOCC1 ZINC001338656053 1074311483 /nfs/dbraw/zinc/31/14/83/1074311483.db2.gz OEXKIBRKXOFFDN-KRWDZBQOSA-N 0 0 447.579 -0.393 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCCN1CCCOCC1 ZINC001338656054 1074311436 /nfs/dbraw/zinc/31/14/36/1074311436.db2.gz OEXKIBRKXOFFDN-QGZVFWFLSA-N 0 0 447.579 -0.393 20 0 IBADRN COC(=O)CC1(NC(=O)c2ccc(S(=O)(=O)N(C)C)nc2)CCS(=O)(=O)CC1 ZINC001338678697 1074311417 /nfs/dbraw/zinc/31/14/17/1074311417.db2.gz CBSOFLBNNVUJEG-UHFFFAOYSA-N 0 0 433.508 -0.428 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc2c(nc3ccccn3c2=O)n1C ZINC001338679073 1074311890 /nfs/dbraw/zinc/31/18/90/1074311890.db2.gz GGIBNLDXYPAFRG-GFCCVEGCSA-N 0 0 431.474 -0.439 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc2c(nc3ccccn3c2=O)n1C ZINC001338679075 1074311932 /nfs/dbraw/zinc/31/19/32/1074311932.db2.gz GGIBNLDXYPAFRG-LBPRGKRZSA-N 0 0 431.474 -0.439 20 0 IBADRN CNC(=O)COc1cccc(CC(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)c1 ZINC001338679120 1074311795 /nfs/dbraw/zinc/31/17/95/1074311795.db2.gz HHEVZNVZRJKRNF-UHFFFAOYSA-N 0 0 426.491 -0.019 20 0 IBADRN COC(=O)CC1(NC(=O)CN(C)S(=O)(=O)c2cccnc2)CCS(=O)(=O)CC1 ZINC001338680173 1074311831 /nfs/dbraw/zinc/31/18/31/1074311831.db2.gz PYGROHHJPAAGAP-UHFFFAOYSA-N 0 0 433.508 -0.671 20 0 IBADRN COC(=O)CC1(NC(=O)c2ccc(CN3C(=O)CNC3=O)cc2)CCS(=O)(=O)CC1 ZINC001338692553 1074311815 /nfs/dbraw/zinc/31/18/15/1074311815.db2.gz BDOBOSHKPOSLHY-UHFFFAOYSA-N 0 0 437.474 -0.021 20 0 IBADRN COc1c(F)cc(CC(=O)NCCC(=O)N2CCN(C(C)=O)CC2)cc1S(N)(=O)=O ZINC001338710808 1074311907 /nfs/dbraw/zinc/31/19/07/1074311907.db2.gz MGUSYWZPUSRIBL-UHFFFAOYSA-N 0 0 444.485 -0.779 20 0 IBADRN NS(=O)(=O)C1CCN(C(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)CC1 ZINC001338723580 1074311862 /nfs/dbraw/zinc/31/18/62/1074311862.db2.gz IPGXMZUIMALQQE-UHFFFAOYSA-N 0 0 437.565 -0.409 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCS(=O)CC1 ZINC001338726419 1074311847 /nfs/dbraw/zinc/31/18/47/1074311847.db2.gz OXNRCMADAKMDIN-ACQAZONXSA-N 0 0 448.588 -0.029 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCS(=O)CC1 ZINC001338726420 1074311929 /nfs/dbraw/zinc/31/19/29/1074311929.db2.gz OXNRCMADAKMDIN-KAMPRCONSA-N 0 0 448.588 -0.029 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N1CCS(=O)CC1 ZINC001338726421 1074311870 /nfs/dbraw/zinc/31/18/70/1074311870.db2.gz OXNRCMADAKMDIN-SNQWNFELSA-N 0 0 448.588 -0.029 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N1CCS(=O)CC1 ZINC001338726422 1074311823 /nfs/dbraw/zinc/31/18/23/1074311823.db2.gz OXNRCMADAKMDIN-VFXTVZEFSA-N 0 0 448.588 -0.029 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(S(=O)(=O)c1ccc3c(c1)CCC(=O)N3)C2 ZINC001338739139 1074311804 /nfs/dbraw/zinc/31/18/04/1074311804.db2.gz BYISOGNTAIMDNO-UHFFFAOYSA-N 0 0 434.478 -0.778 20 0 IBADRN CCS(=O)(=O)c1cccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1 ZINC001338739783 1074311919 /nfs/dbraw/zinc/31/19/19/1074311919.db2.gz LUSAVHWQDBLKHV-UHFFFAOYSA-N 0 0 436.494 -0.026 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC1CCN(C(=O)c3ccoc3)CC1)C2 ZINC001338741145 1074311941 /nfs/dbraw/zinc/31/19/41/1074311941.db2.gz WXSBBZOFZWYNOD-UHFFFAOYSA-N 0 0 445.480 -0.444 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCC3N=NC(=S)N3C)CC2)cn1C ZINC001338742465 1074311836 /nfs/dbraw/zinc/31/18/36/1074311836.db2.gz LVPHOWNPDFDOHL-UHFFFAOYSA-N 0 0 427.556 -0.095 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NCCC2CS(=O)(=O)C2)s1 ZINC001338757297 1074311880 /nfs/dbraw/zinc/31/18/80/1074311880.db2.gz LIQXINMKXWLCJJ-UHFFFAOYSA-N 0 0 430.595 -0.057 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1OC ZINC001338764752 1074311897 /nfs/dbraw/zinc/31/18/97/1074311897.db2.gz KWCFCHGGOZAHSK-GFCCVEGCSA-N 0 0 427.479 -0.805 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)cc1OC ZINC001338764754 1074311961 /nfs/dbraw/zinc/31/19/61/1074311961.db2.gz KWCFCHGGOZAHSK-LBPRGKRZSA-N 0 0 427.479 -0.805 20 0 IBADRN O=C(NCCC1CS(=O)(=O)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001338768393 1074312230 /nfs/dbraw/zinc/31/22/30/1074312230.db2.gz ZNSUPOLMWUBDOQ-UHFFFAOYSA-N 0 0 431.536 -0.059 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)OCCNS(C)(=O)=O ZINC001338780487 1074312256 /nfs/dbraw/zinc/31/22/56/1074312256.db2.gz CJNWBTOLUNWIBN-CYBMUJFWSA-N 0 0 440.927 -0.035 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)OCCNS(C)(=O)=O ZINC001338780488 1074312180 /nfs/dbraw/zinc/31/21/80/1074312180.db2.gz CJNWBTOLUNWIBN-ZDUSSCGKSA-N 0 0 440.927 -0.035 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001338780633 1074312264 /nfs/dbraw/zinc/31/22/64/1074312264.db2.gz CVROQICCCJVLJS-CHWSQXEVSA-N 0 0 427.552 -0.155 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001338780636 1074312248 /nfs/dbraw/zinc/31/22/48/1074312248.db2.gz CVROQICCCJVLJS-OLZOCXBDSA-N 0 0 427.552 -0.155 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001338780637 1074312239 /nfs/dbraw/zinc/31/22/39/1074312239.db2.gz CVROQICCCJVLJS-QWHCGFSZSA-N 0 0 427.552 -0.155 20 0 IBADRN CN1C(=S)N=NC1C1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001338780639 1074312218 /nfs/dbraw/zinc/31/22/18/1074312218.db2.gz CVROQICCCJVLJS-STQMWFEESA-N 0 0 427.552 -0.155 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001338784575 1074312209 /nfs/dbraw/zinc/31/22/09/1074312209.db2.gz CILURATURDAYQN-DLBZAZTESA-N 0 0 430.548 -0.675 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001338784576 1074312222 /nfs/dbraw/zinc/31/22/22/1074312222.db2.gz CILURATURDAYQN-IAGOWNOFSA-N 0 0 430.548 -0.675 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001338784577 1074312287 /nfs/dbraw/zinc/31/22/87/1074312287.db2.gz CILURATURDAYQN-IRXDYDNUSA-N 0 0 430.548 -0.675 20 0 IBADRN CCS(=O)(=O)c1ccc(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001338784578 1074312191 /nfs/dbraw/zinc/31/21/91/1074312191.db2.gz CILURATURDAYQN-SJORKVTESA-N 0 0 430.548 -0.675 20 0 IBADRN O=C(c1ccncc1)N1CCC[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC001338784797 1074312316 /nfs/dbraw/zinc/31/23/16/1074312316.db2.gz GKFJMDFSOSKILD-BZSNNMDCSA-N 0 0 436.534 -0.764 20 0 IBADRN O=C(c1ccncc1)N1CCC[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC001338784798 1074312167 /nfs/dbraw/zinc/31/21/67/1074312167.db2.gz GKFJMDFSOSKILD-KSZLIROESA-N 0 0 436.534 -0.764 20 0 IBADRN O=C(c1ccncc1)N1CCC[C@H](C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC001338784799 1074312341 /nfs/dbraw/zinc/31/23/41/1074312341.db2.gz GKFJMDFSOSKILD-OKZBNKHCSA-N 0 0 436.534 -0.764 20 0 IBADRN O=C(c1ccncc1)N1CCC[C@H](C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC001338784800 1074312307 /nfs/dbraw/zinc/31/23/07/1074312307.db2.gz GKFJMDFSOSKILD-RCCFBDPRSA-N 0 0 436.534 -0.764 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1[nH]ncc1I)C2 ZINC001338785267 1074312614 /nfs/dbraw/zinc/31/26/14/1074312614.db2.gz RWRQPTQWMURFBP-UHFFFAOYSA-N 0 0 445.221 -0.883 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)n(-c2ccccc2)n1 ZINC001338785468 1074312659 /nfs/dbraw/zinc/31/26/59/1074312659.db2.gz SZGDQELFTGIEEQ-MSOLQXFVSA-N 0 0 448.501 -0.425 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)n(-c2ccccc2)n1 ZINC001338785469 1074312678 /nfs/dbraw/zinc/31/26/78/1074312678.db2.gz SZGDQELFTGIEEQ-QZTJIDSGSA-N 0 0 448.501 -0.425 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)n(-c2ccccc2)n1 ZINC001338785470 1074312780 /nfs/dbraw/zinc/31/27/80/1074312780.db2.gz SZGDQELFTGIEEQ-ROUUACIJSA-N 0 0 448.501 -0.425 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)n(-c2ccccc2)n1 ZINC001338785471 1074312648 /nfs/dbraw/zinc/31/26/48/1074312648.db2.gz SZGDQELFTGIEEQ-ZWKOTPCHSA-N 0 0 448.501 -0.425 20 0 IBADRN COc1ccc(NC(=O)CN2CCC(=O)NC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001338794370 1074312714 /nfs/dbraw/zinc/31/27/14/1074312714.db2.gz QAOBDIDMKQHCKY-UHFFFAOYSA-N 0 0 426.451 -0.404 20 0 IBADRN NC(=O)CN1CCCN(C(=O)c2cc(S(N)(=O)=O)c(Br)s2)CC1 ZINC001338805579 1074312690 /nfs/dbraw/zinc/31/26/90/1074312690.db2.gz BSJZDIPSYNTSLU-UHFFFAOYSA-N 0 0 425.330 -0.209 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)C1CCC2(CC1)NC(=O)NC2=O)[C@H]1CCS(=O)(=O)C1 ZINC001338808053 1074312704 /nfs/dbraw/zinc/31/27/04/1074312704.db2.gz VYIAXESJXTXJAP-HPZFQONSSA-N 0 0 429.495 -0.278 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)C1CCC2(CC1)NC(=O)NC2=O)[C@H]1CCS(=O)(=O)C1 ZINC001338808054 1074312637 /nfs/dbraw/zinc/31/26/37/1074312637.db2.gz VYIAXESJXTXJAP-HYONLYSNSA-N 0 0 429.495 -0.278 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)C1CCC2(CC1)NC(=O)NC2=O)[C@@H]1CCS(=O)(=O)C1 ZINC001338808055 1074312546 /nfs/dbraw/zinc/31/25/46/1074312546.db2.gz VYIAXESJXTXJAP-LLFUWHRMSA-N 0 0 429.495 -0.278 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)C1CCC2(CC1)NC(=O)NC2=O)[C@@H]1CCS(=O)(=O)C1 ZINC001338808056 1074312536 /nfs/dbraw/zinc/31/25/36/1074312536.db2.gz VYIAXESJXTXJAP-WELLJHPMSA-N 0 0 429.495 -0.278 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001338839995 1074312554 /nfs/dbraw/zinc/31/25/54/1074312554.db2.gz KDKLJNFFPAASKI-HNNXBMFYSA-N 0 0 446.551 -0.748 20 0 IBADRN CNC(=O)N1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001338839996 1074312595 /nfs/dbraw/zinc/31/25/95/1074312595.db2.gz KDKLJNFFPAASKI-OAHLLOKOSA-N 0 0 446.551 -0.748 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(C)CC(=O)N3CCOCC3)CC2)cn1C ZINC001338884332 1074313196 /nfs/dbraw/zinc/31/31/96/1074313196.db2.gz BOFXSONCYRUMNU-UHFFFAOYSA-N 0 0 427.527 -0.554 20 0 IBADRN CCn1c([C@H]2CCOC2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001338906775 1074313238 /nfs/dbraw/zinc/31/32/38/1074313238.db2.gz KQNKGRFJSKRNHC-KBPBESRZSA-N 0 0 433.556 -0.559 20 0 IBADRN CCn1c([C@@H]2CCOC2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001338906778 1074313215 /nfs/dbraw/zinc/31/32/15/1074313215.db2.gz KQNKGRFJSKRNHC-KGLIPLIRSA-N 0 0 433.556 -0.559 20 0 IBADRN CCn1c([C@H]2CCOC2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001338906781 1074313147 /nfs/dbraw/zinc/31/31/47/1074313147.db2.gz KQNKGRFJSKRNHC-UONOGXRCSA-N 0 0 433.556 -0.559 20 0 IBADRN CCn1c([C@@H]2CCOC2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001338906784 1074313140 /nfs/dbraw/zinc/31/31/40/1074313140.db2.gz KQNKGRFJSKRNHC-ZIAGYGMSSA-N 0 0 433.556 -0.559 20 0 IBADRN O=C(Cn1cnc2cnc(Cl)cc2c1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001338915653 1074313095 /nfs/dbraw/zinc/31/30/95/1074313095.db2.gz NPTWPNMUBHOMDT-GFCCVEGCSA-N 0 0 425.898 -0.224 20 0 IBADRN O=C(Cn1cnc2cnc(Cl)cc2c1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001338915670 1074313157 /nfs/dbraw/zinc/31/31/57/1074313157.db2.gz NPTWPNMUBHOMDT-LBPRGKRZSA-N 0 0 425.898 -0.224 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001338917888 1074313030 /nfs/dbraw/zinc/31/30/30/1074313030.db2.gz DCXYUHZQJHXKJJ-UHFFFAOYSA-N 0 0 436.494 -0.171 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001338929539 1074313075 /nfs/dbraw/zinc/31/30/75/1074313075.db2.gz UVJYSHMYSLGESE-UHFFFAOYSA-N 0 0 447.579 -0.239 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001338941357 1074313167 /nfs/dbraw/zinc/31/31/67/1074313167.db2.gz DJDMLKDCCMCYSN-UHFFFAOYSA-N 0 0 436.425 -0.310 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)Cn3ncn4nccc4c3=O)CC2)cc1 ZINC001338943258 1074313206 /nfs/dbraw/zinc/31/32/06/1074313206.db2.gz POWGCGBXNCFIOK-UHFFFAOYSA-N 0 0 444.473 -0.373 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@H]1CCCN(C(=O)Cn2ncn3nccc3c2=O)C1 ZINC001338960584 1074313106 /nfs/dbraw/zinc/31/31/06/1074313106.db2.gz VMUIDWDCDWPILK-AWEZNQCLSA-N 0 0 447.496 -0.230 20 0 IBADRN CC(C)(C)OC(=O)NCCNC(=O)[C@@H]1CCCN(C(=O)Cn2ncn3nccc3c2=O)C1 ZINC001338960587 1074313221 /nfs/dbraw/zinc/31/32/21/1074313221.db2.gz VMUIDWDCDWPILK-CQSZACIVSA-N 0 0 447.496 -0.230 20 0 IBADRN O=C(COC(=O)Cn1ncn2nccc2c1=O)Nc1ccc(CN2CCOCC2)cc1 ZINC001338961773 1074313176 /nfs/dbraw/zinc/31/31/76/1074313176.db2.gz UCWJPMPJHUYRPT-UHFFFAOYSA-N 0 0 426.433 -0.095 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC001338969441 1074313632 /nfs/dbraw/zinc/31/36/32/1074313632.db2.gz BCRDQAAMFDMZAZ-GDBMZVCRSA-N 0 0 445.563 -0.014 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)cc1 ZINC001338969442 1074313656 /nfs/dbraw/zinc/31/36/56/1074313656.db2.gz BCRDQAAMFDMZAZ-GOEBONIOSA-N 0 0 445.563 -0.014 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC001338969443 1074313618 /nfs/dbraw/zinc/31/36/18/1074313618.db2.gz BCRDQAAMFDMZAZ-HOCLYGCPSA-N 0 0 445.563 -0.014 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)cc1 ZINC001338969444 1074313646 /nfs/dbraw/zinc/31/36/46/1074313646.db2.gz BCRDQAAMFDMZAZ-ZBFHGGJFSA-N 0 0 445.563 -0.014 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC001338976655 1074313543 /nfs/dbraw/zinc/31/35/43/1074313543.db2.gz MJLJXYMJBLFQAA-SSDOTTSWSA-N 0 0 425.330 -0.163 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC001338976656 1074313585 /nfs/dbraw/zinc/31/35/85/1074313585.db2.gz MJLJXYMJBLFQAA-ZETCQYMHSA-N 0 0 425.330 -0.163 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)CN2C(=O)C(=O)N(C)C2=O)cc1 ZINC001338977323 1074313551 /nfs/dbraw/zinc/31/35/51/1074313551.db2.gz RFCAJPSTISQYAC-UHFFFAOYSA-N 0 0 430.465 -0.744 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001338988298 1074313521 /nfs/dbraw/zinc/31/35/21/1074313521.db2.gz MUANSYPXFHRPCT-BWTHXVPBSA-N 0 0 446.595 -0.330 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2CC(=O)N(CCOC)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001338988300 1074313529 /nfs/dbraw/zinc/31/35/29/1074313529.db2.gz MUANSYPXFHRPCT-IIZOCFLVSA-N 0 0 446.595 -0.330 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001338988303 1074313627 /nfs/dbraw/zinc/31/36/27/1074313627.db2.gz MUANSYPXFHRPCT-VLMBKWFHSA-N 0 0 446.595 -0.330 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2CC(=O)N(CCOC)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001338988306 1074313535 /nfs/dbraw/zinc/31/35/35/1074313535.db2.gz MUANSYPXFHRPCT-VUFWKLLHSA-N 0 0 446.595 -0.330 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c2C1 ZINC001338989271 1074313469 /nfs/dbraw/zinc/31/34/69/1074313469.db2.gz UKHAKWMKPHFDPP-DLBZAZTESA-N 0 0 432.525 -0.756 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N[C@@H]3CC(=O)N(CCN4CCOCC4)C3)c2C1 ZINC001338989272 1074313593 /nfs/dbraw/zinc/31/35/93/1074313593.db2.gz UKHAKWMKPHFDPP-IAGOWNOFSA-N 0 0 432.525 -0.756 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)c2C1 ZINC001338989273 1074313568 /nfs/dbraw/zinc/31/35/68/1074313568.db2.gz UKHAKWMKPHFDPP-IRXDYDNUSA-N 0 0 432.525 -0.756 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N[C@H]3CC(=O)N(CCN4CCOCC4)C3)c2C1 ZINC001338989274 1074313666 /nfs/dbraw/zinc/31/36/66/1074313666.db2.gz UKHAKWMKPHFDPP-SJORKVTESA-N 0 0 432.525 -0.756 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)Cn2nc3n(c2=O)CCCCC3)C1 ZINC001338999197 1074313690 /nfs/dbraw/zinc/31/36/90/1074313690.db2.gz UGFFSBFELLJAOB-HNNXBMFYSA-N 0 0 429.543 -0.330 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)Cn2nc3n(c2=O)CCCCC3)C1 ZINC001338999198 1074313681 /nfs/dbraw/zinc/31/36/81/1074313681.db2.gz UGFFSBFELLJAOB-OAHLLOKOSA-N 0 0 429.543 -0.330 20 0 IBADRN COCC(=O)NCCC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC001338999360 1074313511 /nfs/dbraw/zinc/31/35/11/1074313511.db2.gz LUYCHTJUPZGPTA-UHFFFAOYSA-N 0 0 433.508 -0.480 20 0 IBADRN NC(=O)CN1CCC[C@H](NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001339003608 1074313486 /nfs/dbraw/zinc/31/34/86/1074313486.db2.gz UOVMELMJBGOREI-KRWDZBQOSA-N 0 0 438.550 -0.294 20 0 IBADRN NC(=O)CN1CCC[C@@H](NC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001339003609 1074314014 /nfs/dbraw/zinc/31/40/14/1074314014.db2.gz UOVMELMJBGOREI-QGZVFWFLSA-N 0 0 438.550 -0.294 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CCCN(CC(N)=O)C3)CC2)cn1C ZINC001339004067 1074313911 /nfs/dbraw/zinc/31/39/11/1074313911.db2.gz WAOBBXJQJRRWFY-HNNXBMFYSA-N 0 0 426.543 -0.805 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CCCN(CC(N)=O)C3)CC2)cn1C ZINC001339004070 1074313977 /nfs/dbraw/zinc/31/39/77/1074313977.db2.gz WAOBBXJQJRRWFY-OAHLLOKOSA-N 0 0 426.543 -0.805 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N(C)CCNS(C)(=O)=O)c1 ZINC001339017114 1074313930 /nfs/dbraw/zinc/31/39/30/1074313930.db2.gz GUXSQZKGTFMDSH-UHFFFAOYSA-N 0 0 427.932 -0.114 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001339021881 1074313952 /nfs/dbraw/zinc/31/39/52/1074313952.db2.gz UDPDIEKKARJAFC-UHFFFAOYSA-N 0 0 439.943 -0.018 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N(C)CCNS(C)(=O)=O)c2)CC1 ZINC001339022012 1074314002 /nfs/dbraw/zinc/31/40/02/1074314002.db2.gz VMYRJGMRBNOCDZ-UHFFFAOYSA-N 0 0 446.551 -0.839 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC001339049347 1074313958 /nfs/dbraw/zinc/31/39/58/1074313958.db2.gz NQTVTWAEWDCBPL-UHFFFAOYSA-N 0 0 434.544 -0.824 20 0 IBADRN COC(=O)[C@@H]1CCN2C(=O)N(C3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C(=O)[C@@H]2C1 ZINC001339059500 1074313878 /nfs/dbraw/zinc/31/38/78/1074313878.db2.gz BIUIUNJLCVZKSD-DOMZBBRYSA-N 0 0 439.494 -0.211 20 0 IBADRN COC(=O)[C@@H]1CCN2C(=O)N(C3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C(=O)[C@H]2C1 ZINC001339059507 1074313969 /nfs/dbraw/zinc/31/39/69/1074313969.db2.gz BIUIUNJLCVZKSD-IUODEOHRSA-N 0 0 439.494 -0.211 20 0 IBADRN COC(=O)[C@H]1CCN2C(=O)N(C3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C(=O)[C@H]2C1 ZINC001339059510 1074314007 /nfs/dbraw/zinc/31/40/07/1074314007.db2.gz BIUIUNJLCVZKSD-SWLSCSKDSA-N 0 0 439.494 -0.211 20 0 IBADRN COC(=O)[C@H]1CCN2C(=O)N(C3CCN(S(=O)(=O)c4cnn(C)c4)CC3)C(=O)[C@@H]2C1 ZINC001339059514 1074313945 /nfs/dbraw/zinc/31/39/45/1074313945.db2.gz BIUIUNJLCVZKSD-WFASDCNBSA-N 0 0 439.494 -0.211 20 0 IBADRN O=C1c2ccccc2C(=O)N1C[C@@H](O)CS(=O)(=O)Cc1nnnn1CC(F)(F)F ZINC001339062589 1074313895 /nfs/dbraw/zinc/31/38/95/1074313895.db2.gz VDCVALZEPWLSIX-SECBINFHSA-N 0 0 433.368 -0.193 20 0 IBADRN O=C1c2ccccc2C(=O)N1C[C@H](O)CS(=O)(=O)Cc1nnnn1CC(F)(F)F ZINC001339062592 1074313903 /nfs/dbraw/zinc/31/39/03/1074313903.db2.gz VDCVALZEPWLSIX-VIFPVBQESA-N 0 0 433.368 -0.193 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC001339079975 1074313992 /nfs/dbraw/zinc/31/39/92/1074313992.db2.gz ULBGZIKSSWWYQJ-SECBINFHSA-N 0 0 432.300 -0.072 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1C(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC001339079976 1074314009 /nfs/dbraw/zinc/31/40/09/1074314009.db2.gz ULBGZIKSSWWYQJ-VIFPVBQESA-N 0 0 432.300 -0.072 20 0 IBADRN CCn1nc(C(=O)NCC2(NC(=O)c3ccc(=O)n(CC)n3)CCOCC2)ccc1=O ZINC001339093750 1074313973 /nfs/dbraw/zinc/31/39/73/1074313973.db2.gz KEPVSVOMFICOHY-UHFFFAOYSA-N 0 0 430.465 -0.451 20 0 IBADRN NS(=O)(=O)CC1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001339109359 1074313871 /nfs/dbraw/zinc/31/38/71/1074313871.db2.gz AHKWPDUTMSYYIW-UHFFFAOYSA-N 0 0 437.565 -0.090 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CC(=O)N(C)c4ccccc43)CC2)CC1 ZINC001339111216 1074313888 /nfs/dbraw/zinc/31/38/88/1074313888.db2.gz NATZVRPXCSLUER-KRWDZBQOSA-N 0 0 435.550 -0.227 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CC(=O)N(C)c4ccccc43)CC2)CC1 ZINC001339111219 1074313963 /nfs/dbraw/zinc/31/39/63/1074313963.db2.gz NATZVRPXCSLUER-QGZVFWFLSA-N 0 0 435.550 -0.227 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001339117985 1074314247 /nfs/dbraw/zinc/31/42/47/1074314247.db2.gz HDCVRKRBTYLAFW-HNNXBMFYSA-N 0 0 445.519 -0.719 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001339117989 1074314386 /nfs/dbraw/zinc/31/43/86/1074314386.db2.gz HDCVRKRBTYLAFW-OAHLLOKOSA-N 0 0 445.519 -0.719 20 0 IBADRN C[S@](=O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001339174327 1074314270 /nfs/dbraw/zinc/31/42/70/1074314270.db2.gz SIPOEPQIURZUIT-OZAJXLCCSA-N 0 0 444.984 -0.084 20 0 IBADRN C[S@@](=O)CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001339174345 1074314168 /nfs/dbraw/zinc/31/41/68/1074314168.db2.gz SIPOEPQIURZUIT-RKNYENMMSA-N 0 0 444.984 -0.084 20 0 IBADRN C[S@](=O)CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001339174352 1074314376 /nfs/dbraw/zinc/31/43/76/1074314376.db2.gz SIPOEPQIURZUIT-RZFZLAGVSA-N 0 0 444.984 -0.084 20 0 IBADRN C[S@@](=O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001339174356 1074314337 /nfs/dbraw/zinc/31/43/37/1074314337.db2.gz SIPOEPQIURZUIT-YEBMWUKDSA-N 0 0 444.984 -0.084 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)CSCC(=O)N[C@H]3CCN(c4cnn(C)c4)C3)C2)cn1 ZINC001339205207 1074314226 /nfs/dbraw/zinc/31/42/26/1074314226.db2.gz VTVWIMUHSSKRDO-HOTGVXAUSA-N 0 0 446.581 -0.023 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)CSCC(=O)N[C@@H]3CCN(c4cnn(C)c4)C3)C2)cn1 ZINC001339205208 1074314299 /nfs/dbraw/zinc/31/42/99/1074314299.db2.gz VTVWIMUHSSKRDO-HZPDHXFCSA-N 0 0 446.581 -0.023 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)CSCC(=O)N[C@H]3CCN(c4cnn(C)c4)C3)C2)cn1 ZINC001339205209 1074314312 /nfs/dbraw/zinc/31/43/12/1074314312.db2.gz VTVWIMUHSSKRDO-IYBDPMFKSA-N 0 0 446.581 -0.023 20 0 IBADRN Cn1c(=O)ccn(Cc2nc(CC(=O)Nc3ccc(N4CCOCC4)cc3)no2)c1=O ZINC001339208983 1074314356 /nfs/dbraw/zinc/31/43/56/1074314356.db2.gz KECZUWVPYVPMAU-UHFFFAOYSA-N 0 0 426.433 -0.004 20 0 IBADRN COCCS(=O)(=O)c1ccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001339209180 1074314211 /nfs/dbraw/zinc/31/42/11/1074314211.db2.gz BWRZOXWFXNMRLP-UHFFFAOYSA-N 0 0 443.503 -0.044 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001339209249 1074314391 /nfs/dbraw/zinc/31/43/91/1074314391.db2.gz DCKHPVNYWHUGPM-UHFFFAOYSA-N 0 0 428.492 -0.214 20 0 IBADRN O=C(Cc1noc(Cn2cc(Br)ccc2=O)n1)N1CCS(=O)(=O)CC1 ZINC001339209269 1074314367 /nfs/dbraw/zinc/31/43/67/1074314367.db2.gz DLUJSWSFKVJZBT-UHFFFAOYSA-N 0 0 431.268 -0.158 20 0 IBADRN CC1CCC(NS(=O)(=O)Cc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)CC1 ZINC001339210862 1074314284 /nfs/dbraw/zinc/31/42/84/1074314284.db2.gz OGRZTIKLORFIBE-UHFFFAOYSA-N 0 0 434.540 -0.133 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)CN3C(=O)CNC3=O)CC2)cc1OC ZINC001339215084 1074314325 /nfs/dbraw/zinc/31/43/25/1074314325.db2.gz TZVPDOWVCFUITP-UHFFFAOYSA-N 0 0 440.478 -0.475 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@@H](CNC(C)=O)C3)cc2)CCO1 ZINC001339221697 1074314201 /nfs/dbraw/zinc/31/42/01/1074314201.db2.gz VHPROZLEIDLLHE-BGYRXZFFSA-N 0 0 446.504 -0.359 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@@H](CNC(C)=O)C3)cc2)CCO1 ZINC001339221698 1074314260 /nfs/dbraw/zinc/31/42/60/1074314260.db2.gz VHPROZLEIDLLHE-PMACEKPBSA-N 0 0 446.504 -0.359 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)c2ccc(C(=O)N3CCO[C@H](CNC(C)=O)C3)cc2)CCO1 ZINC001339221699 1074314237 /nfs/dbraw/zinc/31/42/37/1074314237.db2.gz VHPROZLEIDLLHE-WOJBJXKFSA-N 0 0 446.504 -0.359 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC001339232176 1074314400 /nfs/dbraw/zinc/31/44/00/1074314400.db2.gz AUNJMYNFIDDJRZ-KBPBESRZSA-N 0 0 426.426 -0.956 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC001339232177 1074314188 /nfs/dbraw/zinc/31/41/88/1074314188.db2.gz AUNJMYNFIDDJRZ-KGLIPLIRSA-N 0 0 426.426 -0.956 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1 ZINC001339232178 1074314588 /nfs/dbraw/zinc/31/45/88/1074314588.db2.gz AUNJMYNFIDDJRZ-UONOGXRCSA-N 0 0 426.426 -0.956 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1 ZINC001339232179 1074314698 /nfs/dbraw/zinc/31/46/98/1074314698.db2.gz AUNJMYNFIDDJRZ-ZIAGYGMSSA-N 0 0 426.426 -0.956 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC001339232911 1074314614 /nfs/dbraw/zinc/31/46/14/1074314614.db2.gz HQZWSIWLQCHALU-CYBMUJFWSA-N 0 0 434.467 -0.321 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC001339232912 1074314679 /nfs/dbraw/zinc/31/46/79/1074314679.db2.gz HQZWSIWLQCHALU-ZDUSSCGKSA-N 0 0 434.467 -0.321 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCS(=O)(=O)C[C@@H]3C(=O)OC)cc2S1(=O)=O ZINC001339233645 1074314720 /nfs/dbraw/zinc/31/47/20/1074314720.db2.gz LRCFFRMJVHNPAG-GFCCVEGCSA-N 0 0 430.460 -0.737 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCS(=O)(=O)C[C@H]3C(=O)OC)cc2S1(=O)=O ZINC001339233659 1074314657 /nfs/dbraw/zinc/31/46/57/1074314657.db2.gz LRCFFRMJVHNPAG-LBPRGKRZSA-N 0 0 430.460 -0.737 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001339234615 1074314669 /nfs/dbraw/zinc/31/46/69/1074314669.db2.gz QXFTXFQUTYYHAE-CHWSQXEVSA-N 0 0 447.510 -0.699 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001339234616 1074314594 /nfs/dbraw/zinc/31/45/94/1074314594.db2.gz QXFTXFQUTYYHAE-OLZOCXBDSA-N 0 0 447.510 -0.699 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001339234617 1074314712 /nfs/dbraw/zinc/31/47/12/1074314712.db2.gz QXFTXFQUTYYHAE-QWHCGFSZSA-N 0 0 447.510 -0.699 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001339234618 1074314663 /nfs/dbraw/zinc/31/46/63/1074314663.db2.gz QXFTXFQUTYYHAE-STQMWFEESA-N 0 0 447.510 -0.699 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CC(c2cc(OC)ccc2OC)=NO1 ZINC001339236917 1074314637 /nfs/dbraw/zinc/31/46/37/1074314637.db2.gz FJKDGAFFYSFXKH-GDBMZVCRSA-N 0 0 426.447 -0.005 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CC(c2cc(OC)ccc2OC)=NO1 ZINC001339236918 1074314675 /nfs/dbraw/zinc/31/46/75/1074314675.db2.gz FJKDGAFFYSFXKH-GOEBONIOSA-N 0 0 426.447 -0.005 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CC(c2cc(OC)ccc2OC)=NO1 ZINC001339236919 1074314706 /nfs/dbraw/zinc/31/47/06/1074314706.db2.gz FJKDGAFFYSFXKH-HOCLYGCPSA-N 0 0 426.447 -0.005 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CC(c2cc(OC)ccc2OC)=NO1 ZINC001339236920 1074314727 /nfs/dbraw/zinc/31/47/27/1074314727.db2.gz FJKDGAFFYSFXKH-ZBFHGGJFSA-N 0 0 426.447 -0.005 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)cc1 ZINC001339260776 1074314598 /nfs/dbraw/zinc/31/45/98/1074314598.db2.gz XEKJDEODHBCYBZ-UHFFFAOYSA-N 0 0 438.506 -0.001 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001339265774 1074314691 /nfs/dbraw/zinc/31/46/91/1074314691.db2.gz JVZSSFYBDWYBDG-HNNXBMFYSA-N 0 0 443.547 -0.849 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001339265777 1074314567 /nfs/dbraw/zinc/31/45/67/1074314567.db2.gz JVZSSFYBDWYBDG-OAHLLOKOSA-N 0 0 443.547 -0.849 20 0 IBADRN CC(=O)NCc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001339267807 1074314576 /nfs/dbraw/zinc/31/45/76/1074314576.db2.gz QJQWFBCHQBDZHL-GOSISDBHSA-N 0 0 436.534 -0.616 20 0 IBADRN CC(=O)NCc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001339267809 1074314653 /nfs/dbraw/zinc/31/46/53/1074314653.db2.gz QJQWFBCHQBDZHL-SFHVURJKSA-N 0 0 436.534 -0.616 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CS(=O)(=O)c2nnc(N3CCOCC3)n2C2CC2)C1 ZINC001339276254 1074314627 /nfs/dbraw/zinc/31/46/27/1074314627.db2.gz OTSYSXBAJGCSJJ-AWEZNQCLSA-N 0 0 440.526 -0.650 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CS(=O)(=O)c2nnc(N3CCOCC3)n2C2CC2)C1 ZINC001339276256 1074315079 /nfs/dbraw/zinc/31/50/79/1074315079.db2.gz OTSYSXBAJGCSJJ-CQSZACIVSA-N 0 0 440.526 -0.650 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(-n3ccnc3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001339283915 1074314965 /nfs/dbraw/zinc/31/49/65/1074314965.db2.gz PFLWCZZWBBWTEF-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(-n3ccnc3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001339283917 1074315028 /nfs/dbraw/zinc/31/50/28/1074315028.db2.gz PFLWCZZWBBWTEF-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN Cc1cc2nc(C)cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)n2n1 ZINC001339284406 1074315017 /nfs/dbraw/zinc/31/50/17/1074315017.db2.gz QZVXDDQJGWRLDX-HNNXBMFYSA-N 0 0 434.522 -0.593 20 0 IBADRN Cc1cc2nc(C)cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)n2n1 ZINC001339284413 1074314904 /nfs/dbraw/zinc/31/49/04/1074314904.db2.gz QZVXDDQJGWRLDX-OAHLLOKOSA-N 0 0 434.522 -0.593 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccnc2-n2cccn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001339284987 1074314941 /nfs/dbraw/zinc/31/49/41/1074314941.db2.gz VSIHJHYRPXCGOA-HNNXBMFYSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccnc2-n2cccn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001339284988 1074315073 /nfs/dbraw/zinc/31/50/73/1074315073.db2.gz VSIHJHYRPXCGOA-OAHLLOKOSA-N 0 0 432.506 -0.672 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(Cc1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC001339286319 1074314956 /nfs/dbraw/zinc/31/49/56/1074314956.db2.gz BPMBBWSMSKCYEN-HNNXBMFYSA-N 0 0 439.581 -0.318 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(Cc1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC001339286320 1074314933 /nfs/dbraw/zinc/31/49/33/1074314933.db2.gz BPMBBWSMSKCYEN-OAHLLOKOSA-N 0 0 439.581 -0.318 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)C1 ZINC001339287258 1074314986 /nfs/dbraw/zinc/31/49/86/1074314986.db2.gz LEPVIDFZKRKTBG-KBPBESRZSA-N 0 0 434.486 -0.103 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)C1 ZINC001339287259 1074315088 /nfs/dbraw/zinc/31/50/88/1074315088.db2.gz LEPVIDFZKRKTBG-KGLIPLIRSA-N 0 0 434.486 -0.103 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)C1 ZINC001339287261 1074314997 /nfs/dbraw/zinc/31/49/97/1074314997.db2.gz LEPVIDFZKRKTBG-UONOGXRCSA-N 0 0 434.486 -0.103 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)Cc2cc(F)c(OC)c(S(N)(=O)=O)c2)C1 ZINC001339287263 1074315044 /nfs/dbraw/zinc/31/50/44/1074315044.db2.gz LEPVIDFZKRKTBG-ZIAGYGMSSA-N 0 0 434.486 -0.103 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc21 ZINC001339287627 1074315009 /nfs/dbraw/zinc/31/50/09/1074315009.db2.gz FEQHMBCZGNNBJM-HNNXBMFYSA-N 0 0 443.547 -0.043 20 0 IBADRN CC(=O)N1CCCc2ccc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc21 ZINC001339287628 1074314912 /nfs/dbraw/zinc/31/49/12/1074314912.db2.gz FEQHMBCZGNNBJM-OAHLLOKOSA-N 0 0 443.547 -0.043 20 0 IBADRN Cn1c(NC2CCN(S(=O)(=O)C3CCOCC3)CC2)nc2c1c(=O)[nH]c(=O)n2C ZINC001339288890 1074314976 /nfs/dbraw/zinc/31/49/76/1074314976.db2.gz JXCQGVHQNASJGU-UHFFFAOYSA-N 0 0 426.499 -0.242 20 0 IBADRN O=C(NCCCN1C(=O)CCC1=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001339289828 1074315052 /nfs/dbraw/zinc/31/50/52/1074315052.db2.gz QBOMBBDLKJTDAZ-UHFFFAOYSA-N 0 0 430.527 -0.202 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N(C)CCNS(C)(=O)=O)cc1 ZINC001339307972 1074314920 /nfs/dbraw/zinc/31/49/20/1074314920.db2.gz MENSNDXQJVWTAL-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN C[C@@H](C(=O)OCC(=O)N1CCN(c2cnccn2)CC1)S(=O)(=O)C1CCOCC1 ZINC001339323596 1074315063 /nfs/dbraw/zinc/31/50/63/1074315063.db2.gz XUOSDURYRAOOHM-AWEZNQCLSA-N 0 0 426.495 -0.349 20 0 IBADRN C[C@H](C(=O)OCC(=O)N1CCN(c2cnccn2)CC1)S(=O)(=O)C1CCOCC1 ZINC001339323601 1074315449 /nfs/dbraw/zinc/31/54/49/1074315449.db2.gz XUOSDURYRAOOHM-CQSZACIVSA-N 0 0 426.495 -0.349 20 0 IBADRN CN1C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC1=O ZINC001339346113 1074315362 /nfs/dbraw/zinc/31/53/62/1074315362.db2.gz LQEPGUWUXRBXBS-DZGCQCFKSA-N 0 0 431.536 -0.495 20 0 IBADRN CN1C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC1=O ZINC001339346170 1074315256 /nfs/dbraw/zinc/31/52/56/1074315256.db2.gz LQEPGUWUXRBXBS-HIFRSBDPSA-N 0 0 431.536 -0.495 20 0 IBADRN CN1C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC1=O ZINC001339346171 1074315308 /nfs/dbraw/zinc/31/53/08/1074315308.db2.gz LQEPGUWUXRBXBS-UKRRQHHQSA-N 0 0 431.536 -0.495 20 0 IBADRN CN1C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC1=O ZINC001339346172 1074315277 /nfs/dbraw/zinc/31/52/77/1074315277.db2.gz LQEPGUWUXRBXBS-ZFWWWQNUSA-N 0 0 431.536 -0.495 20 0 IBADRN O=S(=O)(C1CCS(=O)(=O)CC1)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001339359025 1074315285 /nfs/dbraw/zinc/31/52/85/1074315285.db2.gz FKOWCTVRFYISLG-UHFFFAOYSA-N 0 0 445.567 -0.658 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)n1 ZINC001339360086 1074315353 /nfs/dbraw/zinc/31/53/53/1074315353.db2.gz BQZFNWJCJYSEGJ-UHFFFAOYSA-N 0 0 436.446 -0.311 20 0 IBADRN COC(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)n1 ZINC001339361089 1074315492 /nfs/dbraw/zinc/31/54/92/1074315492.db2.gz KRXRUWIMHZDWBQ-UHFFFAOYSA-N 0 0 438.437 -0.298 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@H](C)OC(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001339374716 1074315403 /nfs/dbraw/zinc/31/54/03/1074315403.db2.gz BRBLDYRLOWLCPV-AWEZNQCLSA-N 0 0 426.491 -0.383 20 0 IBADRN CC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001339374717 1074315326 /nfs/dbraw/zinc/31/53/26/1074315326.db2.gz BRBLDYRLOWLCPV-CQSZACIVSA-N 0 0 426.491 -0.383 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@@H]2CC23CCS(=O)(=O)CC3)CC1 ZINC001339375876 1074315315 /nfs/dbraw/zinc/31/53/15/1074315315.db2.gz GHCGDGYUQSXEHQ-HNNXBMFYSA-N 0 0 443.566 -0.197 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)COC(=O)[C@H]2CC23CCS(=O)(=O)CC3)CC1 ZINC001339375879 1074315441 /nfs/dbraw/zinc/31/54/41/1074315441.db2.gz GHCGDGYUQSXEHQ-OAHLLOKOSA-N 0 0 443.566 -0.197 20 0 IBADRN CS(=O)(=O)c1cccnc1CNC(=O)NCCC(=O)N1CCN(c2ncccn2)CC1 ZINC001339381142 1074315344 /nfs/dbraw/zinc/31/53/44/1074315344.db2.gz CEPNOTGQGYJITQ-UHFFFAOYSA-N 0 0 447.521 -0.187 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(CC[S@@](C)=O)CC3)CC2)cn1C ZINC001339415257 1074315476 /nfs/dbraw/zinc/31/54/76/1074315476.db2.gz BWQRGCMPSAHNDA-MUUNZHRXSA-N 0 0 445.611 -0.348 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(CC[S@](C)=O)CC3)CC2)cn1C ZINC001339415258 1074315485 /nfs/dbraw/zinc/31/54/85/1074315485.db2.gz BWQRGCMPSAHNDA-NDEPHWFRSA-N 0 0 445.611 -0.348 20 0 IBADRN CNC(=O)[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C(C)C ZINC001339421140 1074315430 /nfs/dbraw/zinc/31/54/30/1074315430.db2.gz RUMMTZZMHMRUAI-CABCVRRESA-N 0 0 433.552 -0.345 20 0 IBADRN CNC(=O)[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C(C)C ZINC001339421142 1074315469 /nfs/dbraw/zinc/31/54/69/1074315469.db2.gz RUMMTZZMHMRUAI-GJZGRUSLSA-N 0 0 433.552 -0.345 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CCCN3C(=O)CN(C)C3=O)CC2)cn1 ZINC001339432923 1074315412 /nfs/dbraw/zinc/31/54/12/1074315412.db2.gz YWFDTIVSZWZZHT-UHFFFAOYSA-N 0 0 426.499 -0.636 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001339447891 1074315761 /nfs/dbraw/zinc/31/57/61/1074315761.db2.gz NCGQRLNWDFOWIS-HNNXBMFYSA-N 0 0 431.536 -0.499 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001339447892 1074315709 /nfs/dbraw/zinc/31/57/09/1074315709.db2.gz NCGQRLNWDFOWIS-OAHLLOKOSA-N 0 0 431.536 -0.499 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)NCCCn1c(=O)ccn(C)c1=O ZINC001339452315 1074315736 /nfs/dbraw/zinc/31/57/36/1074315736.db2.gz BCBNAWTXWKDGQI-UHFFFAOYSA-N 0 0 432.481 -0.673 20 0 IBADRN CCN1C[C@H](c2nnc(N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)n2C)CC1=O ZINC001339486552 1074315827 /nfs/dbraw/zinc/31/58/27/1074315827.db2.gz JHTYDSVTXVDBJT-CABCVRRESA-N 0 0 426.543 -0.218 20 0 IBADRN CCN1C[C@@H](c2nnc(N3CCC[C@H](S(=O)(=O)N4CCOCC4)C3)n2C)CC1=O ZINC001339486553 1074315773 /nfs/dbraw/zinc/31/57/73/1074315773.db2.gz JHTYDSVTXVDBJT-GJZGRUSLSA-N 0 0 426.543 -0.218 20 0 IBADRN CCN1C[C@H](c2nnc(N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)n2C)CC1=O ZINC001339486554 1074315716 /nfs/dbraw/zinc/31/57/16/1074315716.db2.gz JHTYDSVTXVDBJT-HUUCEWRRSA-N 0 0 426.543 -0.218 20 0 IBADRN CCN1C[C@@H](c2nnc(N3CCC[C@@H](S(=O)(=O)N4CCOCC4)C3)n2C)CC1=O ZINC001339486555 1074315788 /nfs/dbraw/zinc/31/57/88/1074315788.db2.gz JHTYDSVTXVDBJT-LSDHHAIUSA-N 0 0 426.543 -0.218 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001339492702 1074315667 /nfs/dbraw/zinc/31/56/67/1074315667.db2.gz IKGMYACJNQVHQQ-UHFFFAOYSA-N 0 0 444.536 -0.049 20 0 IBADRN CCN1C[C@@H](c2nnc(N3CCN(S(=O)(=O)c4cn(C)c(C)n4)CC3)n2C)CC1=O ZINC001339494618 1074315837 /nfs/dbraw/zinc/31/58/37/1074315837.db2.gz WPPHJNCDCNKDPF-AWEZNQCLSA-N 0 0 436.542 -0.296 20 0 IBADRN CCN1C[C@H](c2nnc(N3CCN(S(=O)(=O)c4cn(C)c(C)n4)CC3)n2C)CC1=O ZINC001339494619 1074315858 /nfs/dbraw/zinc/31/58/58/1074315858.db2.gz WPPHJNCDCNKDPF-CQSZACIVSA-N 0 0 436.542 -0.296 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)c1 ZINC001339495450 1074315807 /nfs/dbraw/zinc/31/58/07/1074315807.db2.gz IPYDSXQEIITHDS-UHFFFAOYSA-N 0 0 436.556 -0.822 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1ccc(F)c(S(=O)(=O)Cc2ncon2)c1 ZINC001339496169 1074315780 /nfs/dbraw/zinc/31/57/80/1074315780.db2.gz ULKATYXFZZUCTF-UHFFFAOYSA-N 0 0 432.455 -0.052 20 0 IBADRN Cc1c(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cnn1-c1ccccn1 ZINC001339496226 1074315766 /nfs/dbraw/zinc/31/57/66/1074315766.db2.gz WRLCWQUDLUAENB-CYBMUJFWSA-N 0 0 427.508 -0.500 20 0 IBADRN Cc1c(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cnn1-c1ccccn1 ZINC001339496227 1074315723 /nfs/dbraw/zinc/31/57/23/1074315723.db2.gz WRLCWQUDLUAENB-ZDUSSCGKSA-N 0 0 427.508 -0.500 20 0 IBADRN CCC[C@@H](CCCS(C)(=O)=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001339504891 1074315687 /nfs/dbraw/zinc/31/56/87/1074315687.db2.gz BRLKFASCWXZBIW-AWEZNQCLSA-N 0 0 429.543 -0.284 20 0 IBADRN CCC[C@H](CCCS(C)(=O)=O)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001339504892 1074315753 /nfs/dbraw/zinc/31/57/53/1074315753.db2.gz BRLKFASCWXZBIW-CQSZACIVSA-N 0 0 429.543 -0.284 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1F ZINC001339518396 1074315699 /nfs/dbraw/zinc/31/56/99/1074315699.db2.gz RQWQXLXIXHNTEK-UHFFFAOYSA-N 0 0 440.453 -0.234 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)NC[C@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC001339537807 1074315849 /nfs/dbraw/zinc/31/58/49/1074315849.db2.gz YEDBSGVNALWOQC-INIZCTEOSA-N 0 0 442.451 -0.136 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)NC[C@@H](Cc1cccc(F)c1)C(=O)N1CCOCC1 ZINC001339537808 1074315796 /nfs/dbraw/zinc/31/57/96/1074315796.db2.gz YEDBSGVNALWOQC-MRXNPFEDSA-N 0 0 442.451 -0.136 20 0 IBADRN O=C(c1cc(Br)c(C(=O)N2CCNC(=O)CC2)o1)N1CCNC(=O)CC1 ZINC001339568545 1074316122 /nfs/dbraw/zinc/31/61/22/1074316122.db2.gz WLLXFYBKMGDNFD-UHFFFAOYSA-N 0 0 427.255 -0.034 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCCN(S(C)(=O)=O)CC2)cc1 ZINC001339574545 1074316222 /nfs/dbraw/zinc/31/62/22/1074316222.db2.gz JPGNCUDIDZYDRV-UHFFFAOYSA-N 0 0 431.536 -0.344 20 0 IBADRN COCCn1nc(C(=O)N2CCN(S(=O)(=O)c3csc(C)n3)CC2)ccc1=O ZINC001339584910 1074316240 /nfs/dbraw/zinc/31/62/40/1074316240.db2.gz CMNWQMQMVRAWJM-UHFFFAOYSA-N 0 0 427.508 -0.199 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(N3CCNC3=O)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001339596407 1074316195 /nfs/dbraw/zinc/31/61/95/1074316195.db2.gz ZGKCBZRXDADNTG-INIZCTEOSA-N 0 0 449.533 -0.723 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(N3CCNC3=O)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001339596408 1074316091 /nfs/dbraw/zinc/31/60/91/1074316091.db2.gz ZGKCBZRXDADNTG-MRXNPFEDSA-N 0 0 449.533 -0.723 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(Cc2nc(CS(C)(=O)=O)no2)CC1 ZINC001339631948 1074316205 /nfs/dbraw/zinc/31/62/05/1074316205.db2.gz ONPASIZKCUOPGE-UHFFFAOYSA-N 0 0 429.524 -0.156 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(OCC(N)=O)CC1 ZINC001339663684 1074316232 /nfs/dbraw/zinc/31/62/32/1074316232.db2.gz OPFPCNZXHGUWRT-UHFFFAOYSA-N 0 0 441.506 -0.177 20 0 IBADRN NC(=O)c1ccccc1CCC(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001339698267 1074316070 /nfs/dbraw/zinc/31/60/70/1074316070.db2.gz RPRQVIGYFFEQQF-INIZCTEOSA-N 0 0 437.518 -0.407 20 0 IBADRN NC(=O)c1ccccc1CCC(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001339698268 1074316115 /nfs/dbraw/zinc/31/61/15/1074316115.db2.gz RPRQVIGYFFEQQF-MRXNPFEDSA-N 0 0 437.518 -0.407 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC1CCN(CCS(C)(=O)=O)CC1)OCC(=O)N2 ZINC001339727943 1074316149 /nfs/dbraw/zinc/31/61/49/1074316149.db2.gz ZNELADQJYLKAFN-UHFFFAOYSA-N 0 0 447.535 -0.187 20 0 IBADRN CCOC(=O)[C@](C)(NCC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001339732761 1074316107 /nfs/dbraw/zinc/31/61/07/1074316107.db2.gz JYCFGJCLIPIVJF-KBXCAEBGSA-N 0 0 446.547 -0.239 20 0 IBADRN CCOC(=O)[C@@](C)(NCC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001339732763 1074316256 /nfs/dbraw/zinc/31/62/56/1074316256.db2.gz JYCFGJCLIPIVJF-KDOFPFPSSA-N 0 0 446.547 -0.239 20 0 IBADRN CCOC(=O)[C@@](C)(NCC(=O)N[C@H]1CCS(=O)(=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001339732765 1074316568 /nfs/dbraw/zinc/31/65/68/1074316568.db2.gz JYCFGJCLIPIVJF-KSSFIOAISA-N 0 0 446.547 -0.239 20 0 IBADRN CCOC(=O)[C@](C)(NCC(=O)N[C@@H]1CCS(=O)(=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001339732767 1074316561 /nfs/dbraw/zinc/31/65/61/1074316561.db2.gz JYCFGJCLIPIVJF-RDTXWAMCSA-N 0 0 446.547 -0.239 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NCCN1CCN(C(C)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001339748459 1074316453 /nfs/dbraw/zinc/31/64/53/1074316453.db2.gz ZLBJTPZLNSZBEM-FQEVSTJZSA-N 0 0 438.550 -0.278 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NCCN1CCN(C(C)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001339748464 1074316525 /nfs/dbraw/zinc/31/65/25/1074316525.db2.gz ZLBJTPZLNSZBEM-HXUWFJFHSA-N 0 0 438.550 -0.278 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1 ZINC001339771572 1074316576 /nfs/dbraw/zinc/31/65/76/1074316576.db2.gz KNNSIPXFOUTRCB-KBPBESRZSA-N 0 0 449.551 -0.252 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC001339771573 1074316481 /nfs/dbraw/zinc/31/64/81/1074316481.db2.gz KNNSIPXFOUTRCB-KGLIPLIRSA-N 0 0 449.551 -0.252 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)c1 ZINC001339771574 1074316509 /nfs/dbraw/zinc/31/65/09/1074316509.db2.gz KNNSIPXFOUTRCB-UONOGXRCSA-N 0 0 449.551 -0.252 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)c1 ZINC001339771575 1074316496 /nfs/dbraw/zinc/31/64/96/1074316496.db2.gz KNNSIPXFOUTRCB-ZIAGYGMSSA-N 0 0 449.551 -0.252 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)c1ccc(F)c(S(=O)(=O)Cc2ncon2)c1 ZINC001339772675 1074316589 /nfs/dbraw/zinc/31/65/89/1074316589.db2.gz XRYSMUHHRGXBGP-UHFFFAOYSA-N 0 0 446.482 -0.357 20 0 IBADRN Cc1nn(CCS(C)(=O)=O)c(C)c1CN1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001339775001 1074316501 /nfs/dbraw/zinc/31/65/01/1074316501.db2.gz RGSWEYKEQUDUJF-UHFFFAOYSA-N 0 0 439.542 -0.993 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)C1 ZINC001339785863 1074316530 /nfs/dbraw/zinc/31/65/30/1074316530.db2.gz ACYMJRZYKVYNCU-LLVKDONJSA-N 0 0 432.455 -0.054 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)C1 ZINC001339785866 1074316490 /nfs/dbraw/zinc/31/64/90/1074316490.db2.gz ACYMJRZYKVYNCU-NSHDSACASA-N 0 0 432.455 -0.054 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1C(=O)CNC1=O ZINC001339796989 1074316517 /nfs/dbraw/zinc/31/65/17/1074316517.db2.gz DBDOLEHBZWFZLE-UHFFFAOYSA-N 0 0 426.451 -0.404 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)c3ccc(=O)[nH]c3)CC2)cc1 ZINC001339803745 1074316603 /nfs/dbraw/zinc/31/66/03/1074316603.db2.gz PLFDFNXOTDWUQC-UHFFFAOYSA-N 0 0 448.501 -0.002 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)c2nc(C)n(-c3nc(C(F)(F)F)n[nH]3)n2)CC1 ZINC001339838046 1074316462 /nfs/dbraw/zinc/31/64/62/1074316462.db2.gz URDGEYVURNZYEP-UHFFFAOYSA-N 0 0 429.407 -0.003 20 0 IBADRN COC(=O)CN(CCN1CC[NH+](C)CC1)C(=O)Cc1c(C)nc(-c2ncccn2)[n-]c1=O ZINC001339846280 1074316538 /nfs/dbraw/zinc/31/65/38/1074316538.db2.gz KAOOINDQKMWWAC-UHFFFAOYSA-N 0 0 443.508 -0.261 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)OCC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001339891167 1074316959 /nfs/dbraw/zinc/31/69/59/1074316959.db2.gz KVFQYKLKBRUJOO-UHFFFAOYSA-N 0 0 447.554 -0.265 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC001339895745 1074316954 /nfs/dbraw/zinc/31/69/54/1074316954.db2.gz URZVUZDMLRTMON-CYBMUJFWSA-N 0 0 434.540 -0.813 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC001339895748 1074316910 /nfs/dbraw/zinc/31/69/10/1074316910.db2.gz URZVUZDMLRTMON-ZDUSSCGKSA-N 0 0 434.540 -0.813 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)CC1 ZINC001339896408 1074316863 /nfs/dbraw/zinc/31/68/63/1074316863.db2.gz YHJPBNUUOOPIKZ-KBPBESRZSA-N 0 0 433.596 -0.014 20 0 IBADRN CSC[C@@H](C)C(=O)N1CCC(C(=O)N[C@@H](C)C(=O)N2CCS(=O)(=O)CC2)CC1 ZINC001339896409 1074316857 /nfs/dbraw/zinc/31/68/57/1074316857.db2.gz YHJPBNUUOOPIKZ-KGLIPLIRSA-N 0 0 433.596 -0.014 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)CC1 ZINC001339896412 1074316922 /nfs/dbraw/zinc/31/69/22/1074316922.db2.gz YHJPBNUUOOPIKZ-UONOGXRCSA-N 0 0 433.596 -0.014 20 0 IBADRN CSC[C@@H](C)C(=O)N1CCC(C(=O)N[C@H](C)C(=O)N2CCS(=O)(=O)CC2)CC1 ZINC001339896414 1074316829 /nfs/dbraw/zinc/31/68/29/1074316829.db2.gz YHJPBNUUOOPIKZ-ZIAGYGMSSA-N 0 0 433.596 -0.014 20 0 IBADRN C[C@@]1(C2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)NC(=O)NC1=O ZINC001339907593 1074316892 /nfs/dbraw/zinc/31/68/92/1074316892.db2.gz NNZCSROOVZKGAZ-HNNXBMFYSA-N 0 0 428.243 -0.452 20 0 IBADRN C[C@]1(C2CCN(C(=O)Cn3cc(Br)c(=O)[nH]c3=O)CC2)NC(=O)NC1=O ZINC001339907594 1074316819 /nfs/dbraw/zinc/31/68/19/1074316819.db2.gz NNZCSROOVZKGAZ-OAHLLOKOSA-N 0 0 428.243 -0.452 20 0 IBADRN C[C@]1(C2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)CC2)NC(=O)NC1=O ZINC001339909428 1074316851 /nfs/dbraw/zinc/31/68/51/1074316851.db2.gz XDTOGPSSEAOCTJ-GOSISDBHSA-N 0 0 440.478 -0.249 20 0 IBADRN C[C@@]1(C2CCN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)o3)CC2)NC(=O)NC1=O ZINC001339909432 1074316840 /nfs/dbraw/zinc/31/68/40/1074316840.db2.gz XDTOGPSSEAOCTJ-SFHVURJKSA-N 0 0 440.478 -0.249 20 0 IBADRN COC(=O)c1cnccc1C(=O)OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001339921234 1074316935 /nfs/dbraw/zinc/31/69/35/1074316935.db2.gz QABWEQDESCTZJU-CYBMUJFWSA-N 0 0 425.463 -0.644 20 0 IBADRN COC(=O)c1cnccc1C(=O)OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001339921235 1074316875 /nfs/dbraw/zinc/31/68/75/1074316875.db2.gz QABWEQDESCTZJU-ZDUSSCGKSA-N 0 0 425.463 -0.644 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)CC2 ZINC001339941817 1074316939 /nfs/dbraw/zinc/31/69/39/1074316939.db2.gz MAWKCHQYOFXPKS-UHFFFAOYSA-N 0 0 433.490 -0.537 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCc4nn(C)c(=O)cc4C3)CC2)cn1 ZINC001339941826 1074316931 /nfs/dbraw/zinc/31/69/31/1074316931.db2.gz MJMXTQCMUJGAMG-UHFFFAOYSA-N 0 0 435.510 -0.565 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)cc1 ZINC001339950979 1074316898 /nfs/dbraw/zinc/31/68/98/1074316898.db2.gz PJTFIFLTOMOKMX-UHFFFAOYSA-N 0 0 432.520 -0.236 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CCC(C)(C)C(=O)N2CCO[C@@H](CNC(C)=O)C2)CCO1 ZINC001339956100 1074316948 /nfs/dbraw/zinc/31/69/48/1074316948.db2.gz DXWBKFZXCFSMSK-MSOLQXFVSA-N 0 0 440.541 -0.480 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)CCC(C)(C)C(=O)N2CCO[C@H](CNC(C)=O)C2)CCO1 ZINC001339956101 1074316885 /nfs/dbraw/zinc/31/68/85/1074316885.db2.gz DXWBKFZXCFSMSK-QZTJIDSGSA-N 0 0 440.541 -0.480 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)CCC(C)(C)C(=O)N2CCO[C@@H](CNC(C)=O)C2)CCO1 ZINC001339956102 1074316944 /nfs/dbraw/zinc/31/69/44/1074316944.db2.gz DXWBKFZXCFSMSK-ROUUACIJSA-N 0 0 440.541 -0.480 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C(C)(C)CCC(=O)N2CCO[C@@H](CNC(C)=O)C2)CCO1 ZINC001339956103 1074316905 /nfs/dbraw/zinc/31/69/05/1074316905.db2.gz DXWBKFZXCFSMSK-ZWKOTPCHSA-N 0 0 440.541 -0.480 20 0 IBADRN COc1ccc(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC001339957663 1074317253 /nfs/dbraw/zinc/31/72/53/1074317253.db2.gz QHCGUCOURQUVLF-CYBMUJFWSA-N 0 0 438.462 -0.908 20 0 IBADRN COc1ccc(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)cc1S(=O)(=O)N1CCOCC1 ZINC001339957722 1074317276 /nfs/dbraw/zinc/31/72/76/1074317276.db2.gz QHCGUCOURQUVLF-ZDUSSCGKSA-N 0 0 438.462 -0.908 20 0 IBADRN Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001339968487 1074317198 /nfs/dbraw/zinc/31/71/98/1074317198.db2.gz XVACNSTYYOUYLJ-KBPBESRZSA-N 0 0 433.556 -0.652 20 0 IBADRN Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001339968490 1074317212 /nfs/dbraw/zinc/31/72/12/1074317212.db2.gz XVACNSTYYOUYLJ-KGLIPLIRSA-N 0 0 433.556 -0.652 20 0 IBADRN Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001339968492 1074317174 /nfs/dbraw/zinc/31/71/74/1074317174.db2.gz XVACNSTYYOUYLJ-UONOGXRCSA-N 0 0 433.556 -0.652 20 0 IBADRN Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001339968494 1074317103 /nfs/dbraw/zinc/31/71/03/1074317103.db2.gz XVACNSTYYOUYLJ-ZIAGYGMSSA-N 0 0 433.556 -0.652 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1Cn1nc(C[C@H]2CCS(=O)(=O)C2)n(C)c1=S ZINC001339972581 1074317126 /nfs/dbraw/zinc/31/71/26/1074317126.db2.gz IYTIBLKWFLSHLI-CHWSQXEVSA-N 0 0 437.613 -0.101 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1Cn1nc(C[C@H]2CCS(=O)(=O)C2)n(C)c1=S ZINC001339972582 1074317136 /nfs/dbraw/zinc/31/71/36/1074317136.db2.gz IYTIBLKWFLSHLI-OLZOCXBDSA-N 0 0 437.613 -0.101 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1Cn1nc(C[C@@H]2CCS(=O)(=O)C2)n(C)c1=S ZINC001339972583 1074317158 /nfs/dbraw/zinc/31/71/58/1074317158.db2.gz IYTIBLKWFLSHLI-QWHCGFSZSA-N 0 0 437.613 -0.101 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1Cn1nc(C[C@@H]2CCS(=O)(=O)C2)n(C)c1=S ZINC001339972584 1074317150 /nfs/dbraw/zinc/31/71/50/1074317150.db2.gz IYTIBLKWFLSHLI-STQMWFEESA-N 0 0 437.613 -0.101 20 0 IBADRN Cc1cnc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001339977478 1074317142 /nfs/dbraw/zinc/31/71/42/1074317142.db2.gz HAPSSOLCZVOPDW-CVEARBPZSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cnc(CS(=O)(=O)CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001339977479 1074317234 /nfs/dbraw/zinc/31/72/34/1074317234.db2.gz HAPSSOLCZVOPDW-HOTGVXAUSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cnc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001339977480 1074317268 /nfs/dbraw/zinc/31/72/68/1074317268.db2.gz HAPSSOLCZVOPDW-HZPDHXFCSA-N 0 0 431.536 -0.105 20 0 IBADRN Cc1cnc(CS(=O)(=O)CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001339977481 1074317114 /nfs/dbraw/zinc/31/71/14/1074317114.db2.gz HAPSSOLCZVOPDW-JKSUJKDBSA-N 0 0 431.536 -0.105 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCS(=O)CC3)ccc2F)CC1 ZINC001339983125 1074317244 /nfs/dbraw/zinc/31/72/44/1074317244.db2.gz KJBTXLGUUZAQOO-UHFFFAOYSA-N 0 0 431.511 -0.117 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(n4cncn4)C3)ccc2F)CC1 ZINC001339983411 1074317181 /nfs/dbraw/zinc/31/71/81/1074317181.db2.gz QJRUQWHJOSRKBN-UHFFFAOYSA-N 0 0 436.469 -0.033 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC[C@H](C(N)=O)C3)ccc2F)CC1 ZINC001339983603 1074317190 /nfs/dbraw/zinc/31/71/90/1074317190.db2.gz UPLFPXAUUXGHJS-AWEZNQCLSA-N 0 0 426.470 -0.374 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC[C@@H](C(N)=O)C3)ccc2F)CC1 ZINC001339983604 1074317166 /nfs/dbraw/zinc/31/71/66/1074317166.db2.gz UPLFPXAUUXGHJS-CQSZACIVSA-N 0 0 426.470 -0.374 20 0 IBADRN O=C(Cc1nn(CCO)c(=O)c2ccccc12)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC001339989274 1074317221 /nfs/dbraw/zinc/31/72/21/1074317221.db2.gz VWZKIDSSNFYFDQ-UHFFFAOYSA-N 0 0 442.472 -0.445 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC[C@H](NC(N)=O)C3)ccc2F)CC1 ZINC001339997092 1074317204 /nfs/dbraw/zinc/31/72/04/1074317204.db2.gz BNWMAOVELRDLFT-AWEZNQCLSA-N 0 0 441.485 -0.439 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC[C@@H](NC(N)=O)C3)ccc2F)CC1 ZINC001339997096 1074317551 /nfs/dbraw/zinc/31/75/51/1074317551.db2.gz BNWMAOVELRDLFT-CQSZACIVSA-N 0 0 441.485 -0.439 20 0 IBADRN O=C(NC[C@H](O)CN1CCCC1=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001339997545 1074317498 /nfs/dbraw/zinc/31/74/98/1074317498.db2.gz FPCBCMZEGUCNBS-AWEZNQCLSA-N 0 0 429.470 -0.440 20 0 IBADRN O=C(NC[C@@H](O)CN1CCCC1=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001339997547 1074317661 /nfs/dbraw/zinc/31/76/61/1074317661.db2.gz FPCBCMZEGUCNBS-CQSZACIVSA-N 0 0 429.470 -0.440 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001340000117 1074317560 /nfs/dbraw/zinc/31/75/60/1074317560.db2.gz ZVJQPIBGWHBNOK-GOSISDBHSA-N 0 0 440.453 -0.339 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001340000118 1074317569 /nfs/dbraw/zinc/31/75/69/1074317569.db2.gz ZVJQPIBGWHBNOK-SFHVURJKSA-N 0 0 440.453 -0.339 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001340002313 1074317614 /nfs/dbraw/zinc/31/76/14/1074317614.db2.gz WJDSDAMHUVGLAI-CVEARBPZSA-N 0 0 430.571 -0.937 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001340002315 1074317597 /nfs/dbraw/zinc/31/75/97/1074317597.db2.gz WJDSDAMHUVGLAI-HOTGVXAUSA-N 0 0 430.571 -0.937 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001340002316 1074317643 /nfs/dbraw/zinc/31/76/43/1074317643.db2.gz WJDSDAMHUVGLAI-HZPDHXFCSA-N 0 0 430.571 -0.937 20 0 IBADRN CC(C)(C(N)=O)N1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001340002317 1074317530 /nfs/dbraw/zinc/31/75/30/1074317530.db2.gz WJDSDAMHUVGLAI-JKSUJKDBSA-N 0 0 430.571 -0.937 20 0 IBADRN CN(CC(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001340012186 1074317668 /nfs/dbraw/zinc/31/76/68/1074317668.db2.gz DZQFASFWIZGRCH-CYBMUJFWSA-N 0 0 431.527 -0.377 20 0 IBADRN CN(CC(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001340012191 1074317507 /nfs/dbraw/zinc/31/75/07/1074317507.db2.gz DZQFASFWIZGRCH-ZDUSSCGKSA-N 0 0 431.527 -0.377 20 0 IBADRN COCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC001340026874 1074317676 /nfs/dbraw/zinc/31/76/76/1074317676.db2.gz HWGJLZMRGBEFQY-GFCCVEGCSA-N 0 0 441.549 -0.077 20 0 IBADRN COCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(S(C)(=O)=O)ccc1OC ZINC001340026877 1074317519 /nfs/dbraw/zinc/31/75/19/1074317519.db2.gz HWGJLZMRGBEFQY-LBPRGKRZSA-N 0 0 441.549 -0.077 20 0 IBADRN NC(=O)COC1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001340038746 1074317591 /nfs/dbraw/zinc/31/75/91/1074317591.db2.gz JDIQZRIFLNJAJE-UHFFFAOYSA-N 0 0 447.535 -0.638 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3Cc3ccccc3)CCN2C1=O ZINC001340056934 1074317583 /nfs/dbraw/zinc/31/75/83/1074317583.db2.gz CAUHCSJRTUGLNC-CVEARBPZSA-N 0 0 444.517 -0.625 20 0 IBADRN O=C1NC[C@H]2CN(c3nnc([C@H]4CCS(=O)(=O)C4)n3Cc3ccccc3)CCN2C1=O ZINC001340056935 1074317633 /nfs/dbraw/zinc/31/76/33/1074317633.db2.gz CAUHCSJRTUGLNC-HOTGVXAUSA-N 0 0 444.517 -0.625 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3Cc3ccccc3)CCN2C1=O ZINC001340056936 1074317624 /nfs/dbraw/zinc/31/76/24/1074317624.db2.gz CAUHCSJRTUGLNC-HZPDHXFCSA-N 0 0 444.517 -0.625 20 0 IBADRN O=C1NC[C@@H]2CN(c3nnc([C@H]4CCS(=O)(=O)C4)n3Cc3ccccc3)CCN2C1=O ZINC001340056937 1074317651 /nfs/dbraw/zinc/31/76/51/1074317651.db2.gz CAUHCSJRTUGLNC-JKSUJKDBSA-N 0 0 444.517 -0.625 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2C[S@@](=O)CC(=O)NCC(F)(F)F)cc1 ZINC001340057821 1074317605 /nfs/dbraw/zinc/31/76/05/1074317605.db2.gz DJVLFQXXFORHOX-AREMUKBSSA-N 0 0 425.414 -0.007 20 0 IBADRN CS(=O)(=O)c1ccc(-n2nnnc2C[S@](=O)CC(=O)NCC(F)(F)F)cc1 ZINC001340057822 1074317837 /nfs/dbraw/zinc/31/78/37/1074317837.db2.gz DJVLFQXXFORHOX-SANMLTNESA-N 0 0 425.414 -0.007 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001340089803 1074317966 /nfs/dbraw/zinc/31/79/66/1074317966.db2.gz WMFOHLMTMJLBRA-HNNXBMFYSA-N 0 0 425.555 -0.228 20 0 IBADRN CC(C)S(=O)(=O)CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001340089804 1074317887 /nfs/dbraw/zinc/31/78/87/1074317887.db2.gz WMFOHLMTMJLBRA-OAHLLOKOSA-N 0 0 425.555 -0.228 20 0 IBADRN COC(=O)C[C@H]1CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CCO1 ZINC001340108639 1074318033 /nfs/dbraw/zinc/31/80/33/1074318033.db2.gz FEQATYKKVNVVLR-HNNXBMFYSA-N 0 0 433.527 -0.067 20 0 IBADRN COC(=O)C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CCO1 ZINC001340108642 1074318072 /nfs/dbraw/zinc/31/80/72/1074318072.db2.gz FEQATYKKVNVVLR-OAHLLOKOSA-N 0 0 433.527 -0.067 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)CNC(=O)c2ccccc2I)CC1 ZINC001340140230 1074317905 /nfs/dbraw/zinc/31/79/05/1074317905.db2.gz ODZJFEAZXNXPAA-UHFFFAOYSA-N 0 0 431.230 -0.140 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC2CCN(C(=O)CC(F)(F)F)CC2)CC1 ZINC001340141333 1074317984 /nfs/dbraw/zinc/31/79/84/1074317984.db2.gz JJBYZVPZDZOXDG-UHFFFAOYSA-N 0 0 436.478 -0.659 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(Cc1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC001340192732 1074317957 /nfs/dbraw/zinc/31/79/57/1074317957.db2.gz AYVVWZSXIXUOFD-DZGCQCFKSA-N 0 0 441.535 -0.302 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(Cc1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC001340192733 1074318044 /nfs/dbraw/zinc/31/80/44/1074318044.db2.gz AYVVWZSXIXUOFD-HIFRSBDPSA-N 0 0 441.535 -0.302 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(Cc1cccnc1)[C@@H]1CCS(=O)(=O)C1 ZINC001340192734 1074317866 /nfs/dbraw/zinc/31/78/66/1074317866.db2.gz AYVVWZSXIXUOFD-UKRRQHHQSA-N 0 0 441.535 -0.302 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N(Cc1cccnc1)[C@H]1CCS(=O)(=O)C1 ZINC001340192735 1074317925 /nfs/dbraw/zinc/31/79/25/1074317925.db2.gz AYVVWZSXIXUOFD-ZFWWWQNUSA-N 0 0 441.535 -0.302 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1c(F)cccc1NS(C)(=O)=O ZINC001340203887 1074318019 /nfs/dbraw/zinc/31/80/19/1074318019.db2.gz IIPLNFAJYWFHGR-JTQLQIEISA-N 0 0 433.487 -0.086 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)NCc1c(F)cccc1NS(C)(=O)=O ZINC001340203888 1074317931 /nfs/dbraw/zinc/31/79/31/1074317931.db2.gz IIPLNFAJYWFHGR-SNVBAGLBSA-N 0 0 433.487 -0.086 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001340210790 1074318003 /nfs/dbraw/zinc/31/80/03/1074318003.db2.gz WMFROTPKVDAVNE-UHFFFAOYSA-N 0 0 438.503 -0.342 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)OCC(=O)N2CCC(C(=O)N3CCCC3)CC2)c1 ZINC001340227890 1074317859 /nfs/dbraw/zinc/31/78/59/1074317859.db2.gz IJHPGJBUDJNFOE-UHFFFAOYSA-N 0 0 441.510 -0.897 20 0 IBADRN CN(CCCNS(=O)(=O)N(C)C1CCOCC1)S(=O)(=O)N(C)C1CCOCC1 ZINC001340295172 1074317876 /nfs/dbraw/zinc/31/78/76/1074317876.db2.gz VLDPLINEXQXVMN-UHFFFAOYSA-N 0 0 442.604 -0.391 20 0 IBADRN Cn1cnnc1SCC(=O)Nc1cccc(C(=O)N2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC001340315382 1074318331 /nfs/dbraw/zinc/31/83/31/1074318331.db2.gz YZKZHHCEMYDYMB-CYBMUJFWSA-N 0 0 429.462 -0.078 20 0 IBADRN Cn1cnnc1SCC(=O)Nc1cccc(C(=O)N2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC001340315383 1074318248 /nfs/dbraw/zinc/31/82/48/1074318248.db2.gz YZKZHHCEMYDYMB-ZDUSSCGKSA-N 0 0 429.462 -0.078 20 0 IBADRN CN(CCCNC(=O)CS(=O)(=O)Cc1nc(CC(F)(F)F)no1)S(C)(=O)=O ZINC001340463752 1074318299 /nfs/dbraw/zinc/31/82/99/1074318299.db2.gz AWTWIUOZLNBMTA-UHFFFAOYSA-N 0 0 436.434 -0.513 20 0 IBADRN CC1(C)NC(=O)N(CCCNC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1=O ZINC001340502265 1074318428 /nfs/dbraw/zinc/31/84/28/1074318428.db2.gz FVKRCQLOQKBVQG-UHFFFAOYSA-N 0 0 444.492 -0.222 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(Cn3nc(CCC(N)=O)n(C)c3=S)CC2)cn1C ZINC001340516781 1074318263 /nfs/dbraw/zinc/31/82/63/1074318263.db2.gz QZXOYDGMEHRASB-UHFFFAOYSA-N 0 0 442.571 -0.625 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NC[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC001340525862 1074318400 /nfs/dbraw/zinc/31/84/00/1074318400.db2.gz GUGFORMPULAUMX-JLTOFOAXSA-N 0 0 429.481 -0.600 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NC[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC001340525864 1074318291 /nfs/dbraw/zinc/31/82/91/1074318291.db2.gz GUGFORMPULAUMX-VBKZILBWSA-N 0 0 429.481 -0.600 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NC[C@H]1CCC[C@]12NC(=O)NC2=O ZINC001340526319 1074318311 /nfs/dbraw/zinc/31/83/11/1074318311.db2.gz GUGFORMPULAUMX-VLIAUNLRSA-N 0 0 429.481 -0.600 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NC[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC001340526321 1074318421 /nfs/dbraw/zinc/31/84/21/1074318421.db2.gz GUGFORMPULAUMX-XOBRGWDASA-N 0 0 429.481 -0.600 20 0 IBADRN C[C@@]1(C2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)NC(=O)NC1=O ZINC001340528215 1074318369 /nfs/dbraw/zinc/31/83/69/1074318369.db2.gz OYQQEHVQVVLNLP-NRFANRHFSA-N 0 0 443.508 -0.258 20 0 IBADRN C[C@]1(C2CCN(C(=O)CCC(=O)N3CCN(c4ncccn4)CC3)CC2)NC(=O)NC1=O ZINC001340528216 1074318326 /nfs/dbraw/zinc/31/83/26/1074318326.db2.gz OYQQEHVQVVLNLP-OAQYLSRUSA-N 0 0 443.508 -0.258 20 0 IBADRN CS(=O)(=O)CCn1ccc(NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)n1 ZINC001340530860 1074318351 /nfs/dbraw/zinc/31/83/51/1074318351.db2.gz ZLEMAADHPCRIRL-UHFFFAOYSA-N 0 0 435.510 -0.215 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001340542073 1074318384 /nfs/dbraw/zinc/31/83/84/1074318384.db2.gz UVCBRXUBPBDLHM-HNNXBMFYSA-N 0 0 445.563 -0.305 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001340542074 1074318359 /nfs/dbraw/zinc/31/83/59/1074318359.db2.gz UVCBRXUBPBDLHM-OAHLLOKOSA-N 0 0 445.563 -0.305 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3cnn(-c4ccc(=O)[nH]n4)c3C)CC2)cn1C ZINC001340556560 1074318408 /nfs/dbraw/zinc/31/84/08/1074318408.db2.gz VZKNFEZNXVKHOL-UHFFFAOYSA-N 0 0 446.493 -0.135 20 0 IBADRN O=C(COC(=O)C[C@H]1COC(=O)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001340582274 1074318377 /nfs/dbraw/zinc/31/83/77/1074318377.db2.gz FCIDOJOAXSNRCZ-HNNXBMFYSA-N 0 0 440.474 -0.180 20 0 IBADRN O=C(COC(=O)C[C@@H]1COC(=O)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001340582276 1074318392 /nfs/dbraw/zinc/31/83/92/1074318392.db2.gz FCIDOJOAXSNRCZ-OAHLLOKOSA-N 0 0 440.474 -0.180 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001340582385 1074318273 /nfs/dbraw/zinc/31/82/73/1074318273.db2.gz HBEJLOGAZQHOTB-BFHYXJOUSA-N 0 0 439.552 -0.064 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@H](C)S(=O)(=O)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001340582386 1074318713 /nfs/dbraw/zinc/31/87/13/1074318713.db2.gz HBEJLOGAZQHOTB-IHRRRGAJSA-N 0 0 439.552 -0.064 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@H](C)S(=O)(=O)C1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001340582387 1074318801 /nfs/dbraw/zinc/31/88/01/1074318801.db2.gz HBEJLOGAZQHOTB-MELADBBJSA-N 0 0 439.552 -0.064 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)[C@@H](C)S(=O)(=O)C1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001340582388 1074318630 /nfs/dbraw/zinc/31/86/30/1074318630.db2.gz HBEJLOGAZQHOTB-MJBXVCDLSA-N 0 0 439.552 -0.064 20 0 IBADRN C[C@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCC(C(N)=O)CC1 ZINC001340582723 1074318757 /nfs/dbraw/zinc/31/87/57/1074318757.db2.gz KDORORVBMZWQNN-HNNXBMFYSA-N 0 0 446.508 -0.439 20 0 IBADRN C[C@@H](OC(=O)CCC(=O)N1CCN(c2ncccn2)CC1)C(=O)N1CCC(C(N)=O)CC1 ZINC001340582726 1074318694 /nfs/dbraw/zinc/31/86/94/1074318694.db2.gz KDORORVBMZWQNN-OAHLLOKOSA-N 0 0 446.508 -0.439 20 0 IBADRN COc1ccc(CC(=O)N(CC[NH+](C)C)CC(=O)[O-])cc1S(=O)(=O)N1CCOCC1 ZINC001340679029 1074318840 /nfs/dbraw/zinc/31/88/40/1074318840.db2.gz ZIFTXOJFERIEHD-UHFFFAOYSA-N 0 0 443.522 -0.267 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)s1 ZINC001340691121 1074318597 /nfs/dbraw/zinc/31/85/97/1074318597.db2.gz GOAAOAJWTGEQIG-UHFFFAOYSA-N 0 0 426.538 -0.135 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)c1cnc2ccc(I)cc2c1O ZINC001340698006 1074318763 /nfs/dbraw/zinc/31/87/63/1074318763.db2.gz SEGGMHWLRPOMOI-UHFFFAOYSA-N 0 0 428.186 -0.455 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)C(=O)NCc2c(F)cc(F)c(F)c2F)CC1 ZINC001340726565 1074318874 /nfs/dbraw/zinc/31/88/74/1074318874.db2.gz BSOXGFNODYXPEY-UHFFFAOYSA-N 0 0 429.334 -0.783 20 0 IBADRN O=C(CN1CCN(C(=O)C(=O)NCc2c(F)cc(F)c(F)c2F)CC1)N1CCOCC1 ZINC001340731919 1074318828 /nfs/dbraw/zinc/31/88/28/1074318828.db2.gz RUVVBCXJZVVKEE-UHFFFAOYSA-N 0 0 446.401 -0.138 20 0 IBADRN O=C(CN1CCN(C(=O)c2cncc(OCC(F)F)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001340732231 1074318884 /nfs/dbraw/zinc/31/88/84/1074318884.db2.gz LVNDMTSAVAOVJE-AWEZNQCLSA-N 0 0 446.476 -0.213 20 0 IBADRN O=C(CN1CCN(C(=O)c2cncc(OCC(F)F)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001340732234 1074318621 /nfs/dbraw/zinc/31/86/21/1074318621.db2.gz LVNDMTSAVAOVJE-CQSZACIVSA-N 0 0 446.476 -0.213 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCN(CC(=O)NC3CC3)CC2)c1 ZINC001340732999 1074318817 /nfs/dbraw/zinc/31/88/17/1074318817.db2.gz OENYBILHYOTUPY-UHFFFAOYSA-N 0 0 429.930 -0.178 20 0 IBADRN O=C(CCN1CCN([C@H]2CCS(=O)(=O)C2)CC1)NN1C(=O)NC2(CCCCC2)C1=O ZINC001340747417 1074318641 /nfs/dbraw/zinc/31/86/41/1074318641.db2.gz KILUOPJKQAVYTP-HNNXBMFYSA-N 0 0 441.554 -0.533 20 0 IBADRN O=C(CCN1CCN([C@@H]2CCS(=O)(=O)C2)CC1)NN1C(=O)NC2(CCCCC2)C1=O ZINC001340747419 1074318664 /nfs/dbraw/zinc/31/86/64/1074318664.db2.gz KILUOPJKQAVYTP-OAHLLOKOSA-N 0 0 441.554 -0.533 20 0 IBADRN CC(C)NC(=O)CN1CCN(CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001340748669 1074318865 /nfs/dbraw/zinc/31/88/65/1074318865.db2.gz USKIVUMDMHNMET-UHFFFAOYSA-N 0 0 425.555 -0.865 20 0 IBADRN COc1ccc(S(N)(=O)=O)cc1NC(=O)CN1CCC(C(=O)N2CCOCC2)CC1 ZINC001340749936 1074318673 /nfs/dbraw/zinc/31/86/73/1074318673.db2.gz CJGLIRZEHBJQHT-UHFFFAOYSA-N 0 0 440.522 -0.148 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@@H](O)CN1CCCC1=O ZINC001340750221 1074318706 /nfs/dbraw/zinc/31/87/06/1074318706.db2.gz DZQFTQCVPCPDEQ-LLVKDONJSA-N 0 0 439.412 -0.037 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@H](O)CN1CCCC1=O ZINC001340750227 1074318653 /nfs/dbraw/zinc/31/86/53/1074318653.db2.gz DZQFTQCVPCPDEQ-NSHDSACASA-N 0 0 439.412 -0.037 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1cccc(OCC(=O)NC)c1 ZINC001340766869 1074319053 /nfs/dbraw/zinc/31/90/53/1074319053.db2.gz SGWGRUBKJLLWGB-HNNXBMFYSA-N 0 0 425.507 -0.494 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1cccc(OCC(=O)NC)c1 ZINC001340766871 1074319270 /nfs/dbraw/zinc/31/92/70/1074319270.db2.gz SGWGRUBKJLLWGB-OAHLLOKOSA-N 0 0 425.507 -0.494 20 0 IBADRN COc1ccc(NC(=O)CN2CCn3cnnc3C2)cc1S(=O)(=O)N1CCOCC1 ZINC001340795380 1074319234 /nfs/dbraw/zinc/31/92/34/1074319234.db2.gz PSWZFNMPLQBTPN-UHFFFAOYSA-N 0 0 436.494 -0.238 20 0 IBADRN NC(=O)NC1CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001340892678 1074319099 /nfs/dbraw/zinc/31/90/99/1074319099.db2.gz ZWJVVAPYTOEUGZ-UHFFFAOYSA-N 0 0 439.538 -0.544 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001340910198 1074319073 /nfs/dbraw/zinc/31/90/73/1074319073.db2.gz GNYXNAQLFXFHIC-GFCCVEGCSA-N 0 0 439.473 -0.717 20 0 IBADRN Cc1nnnn1-c1ccc(F)c(NC(=O)CN(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001340910199 1074319189 /nfs/dbraw/zinc/31/91/89/1074319189.db2.gz GNYXNAQLFXFHIC-LBPRGKRZSA-N 0 0 439.473 -0.717 20 0 IBADRN Cc1cc(NC(=O)CN2CCC[C@H](CNS(C)(=O)=O)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001340910545 1074319087 /nfs/dbraw/zinc/31/90/87/1074319087.db2.gz IIJKTJRDZAIGIU-CABCVRRESA-N 0 0 447.583 -0.249 20 0 IBADRN Cc1cc(NC(=O)CN2CCC[C@@H](CNS(C)(=O)=O)C2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001340910548 1074319265 /nfs/dbraw/zinc/31/92/65/1074319265.db2.gz IIJKTJRDZAIGIU-GJZGRUSLSA-N 0 0 447.583 -0.249 20 0 IBADRN Cc1cc(NC(=O)CN2CCC[C@H](CNS(C)(=O)=O)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001340910552 1074319045 /nfs/dbraw/zinc/31/90/45/1074319045.db2.gz IIJKTJRDZAIGIU-HUUCEWRRSA-N 0 0 447.583 -0.249 20 0 IBADRN Cc1cc(NC(=O)CN2CCC[C@@H](CNS(C)(=O)=O)C2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001340910554 1074319277 /nfs/dbraw/zinc/31/92/77/1074319277.db2.gz IIJKTJRDZAIGIU-LSDHHAIUSA-N 0 0 447.583 -0.249 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001340910560 1074319130 /nfs/dbraw/zinc/31/91/30/1074319130.db2.gz IMPXKIAGKBQFRU-AWEZNQCLSA-N 0 0 433.556 -0.890 20 0 IBADRN Cc1nn(C)c(C)c1NC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001340910565 1074319145 /nfs/dbraw/zinc/31/91/45/1074319145.db2.gz IMPXKIAGKBQFRU-CQSZACIVSA-N 0 0 433.556 -0.890 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001340911436 1074319223 /nfs/dbraw/zinc/31/92/23/1074319223.db2.gz NXMAGZJDZBLHOO-AWEZNQCLSA-N 0 0 432.543 -0.699 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)CC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001340911437 1074319060 /nfs/dbraw/zinc/31/90/60/1074319060.db2.gz NXMAGZJDZBLHOO-CQSZACIVSA-N 0 0 432.543 -0.699 20 0 IBADRN Cc1c(C(=O)N2CCN(C(=O)N3CCOCC3)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001340912090 1074319120 /nfs/dbraw/zinc/31/91/20/1074319120.db2.gz QCCOWLMZWSWZMY-UHFFFAOYSA-N 0 0 430.465 -0.849 20 0 IBADRN CN(CC(=O)Nc1ccccc1SCC(N)=O)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001340912765 1074319242 /nfs/dbraw/zinc/31/92/42/1074319242.db2.gz SFBHASYJBSETLN-GFCCVEGCSA-N 0 0 428.536 -0.562 20 0 IBADRN CN(CC(=O)Nc1ccccc1SCC(N)=O)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001340912776 1074319201 /nfs/dbraw/zinc/31/92/01/1074319201.db2.gz SFBHASYJBSETLN-LBPRGKRZSA-N 0 0 428.536 -0.562 20 0 IBADRN Cc1c(C(=O)Nc2ccnn2[C@@H]2CCS(=O)(=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001340925454 1074319530 /nfs/dbraw/zinc/31/95/30/1074319530.db2.gz SZKYBVODYWEUMS-LLVKDONJSA-N 0 0 432.462 -0.251 20 0 IBADRN Cc1c(C(=O)Nc2ccnn2[C@H]2CCS(=O)(=O)C2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001340925455 1074319433 /nfs/dbraw/zinc/31/94/33/1074319433.db2.gz SZKYBVODYWEUMS-NSHDSACASA-N 0 0 432.462 -0.251 20 0 IBADRN O=C(CN1CCN(Cc2nc(CC(F)(F)F)no2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001340939235 1074319499 /nfs/dbraw/zinc/31/94/99/1074319499.db2.gz DVJZHWHOTQJFAR-LLVKDONJSA-N 0 0 425.433 -0.405 20 0 IBADRN O=C(CN1CCN(Cc2nc(CC(F)(F)F)no2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001340939236 1074319640 /nfs/dbraw/zinc/31/96/40/1074319640.db2.gz DVJZHWHOTQJFAR-NSHDSACASA-N 0 0 425.433 -0.405 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(CC[S@](C)=O)CC2)CC1 ZINC001340939246 1074319508 /nfs/dbraw/zinc/31/95/08/1074319508.db2.gz FAMLWKDWZXAWGX-PMERELPUSA-N 0 0 434.570 -0.992 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)CN2CCN(CC[S@@](C)=O)CC2)CC1 ZINC001340939247 1074319520 /nfs/dbraw/zinc/31/95/20/1074319520.db2.gz FAMLWKDWZXAWGX-SSEXGKCCSA-N 0 0 434.570 -0.992 20 0 IBADRN CN(Cc1cccs1)C(=O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001340939279 1074319585 /nfs/dbraw/zinc/31/95/85/1074319585.db2.gz GCRKSHQRPKCPCZ-HNNXBMFYSA-N 0 0 428.580 -0.373 20 0 IBADRN CN(Cc1cccs1)C(=O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001340939280 1074319571 /nfs/dbraw/zinc/31/95/71/1074319571.db2.gz GCRKSHQRPKCPCZ-OAHLLOKOSA-N 0 0 428.580 -0.373 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(CC[S@](C)=O)CC1 ZINC001340939302 1074319443 /nfs/dbraw/zinc/31/94/43/1074319443.db2.gz GZNUSDKAJMRSBY-ASHKIFAZSA-N 0 0 428.580 -0.392 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(CC[S@@](C)=O)CC1 ZINC001340939303 1074319620 /nfs/dbraw/zinc/31/96/20/1074319620.db2.gz GZNUSDKAJMRSBY-LOKFHWFJSA-N 0 0 428.580 -0.392 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(CC[S@@](C)=O)CC1 ZINC001340939304 1074319465 /nfs/dbraw/zinc/31/94/65/1074319465.db2.gz GZNUSDKAJMRSBY-PGGUUEOZSA-N 0 0 428.580 -0.392 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(CC[S@](C)=O)CC1 ZINC001340939305 1074319628 /nfs/dbraw/zinc/31/96/28/1074319628.db2.gz GZNUSDKAJMRSBY-PWFNWSNSSA-N 0 0 428.580 -0.392 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)[C@H](C)N1CCN(CCS(N)(=O)=O)CC1 ZINC001340944633 1074319485 /nfs/dbraw/zinc/31/94/85/1074319485.db2.gz ITANHBVCJJNUBO-INIZCTEOSA-N 0 0 425.555 -0.347 20 0 IBADRN Cc1cccc(C)c1NC(=O)CNC(=O)[C@@H](C)N1CCN(CCS(N)(=O)=O)CC1 ZINC001340944635 1074319561 /nfs/dbraw/zinc/31/95/61/1074319561.db2.gz ITANHBVCJJNUBO-MRXNPFEDSA-N 0 0 425.555 -0.347 20 0 IBADRN NS(=O)(=O)CCN1CCN(CC(=O)NCc2ccccc2CN2CCCC2=O)CC1 ZINC001340945312 1074319596 /nfs/dbraw/zinc/31/95/96/1074319596.db2.gz LBEXOSFAWFTETH-UHFFFAOYSA-N 0 0 437.566 -0.669 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)Cc2c(C)nn(-c3cncc4nnnn43)c2C)CC1 ZINC001340951707 1074319609 /nfs/dbraw/zinc/31/96/09/1074319609.db2.gz NVMLCRDMAUADHX-UHFFFAOYSA-N 0 0 426.485 -0.855 20 0 IBADRN COC[C@](C)(CNC(=O)[C@H]1CCc2nnc(C)n2C1)NC(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001340970284 1074319886 /nfs/dbraw/zinc/31/98/86/1074319886.db2.gz YGABRAVPVJBJPB-MRUHUIDDSA-N 0 0 444.540 -0.051 20 0 IBADRN COC[C@](C)(CNC(=O)[C@H]1CCc2nnc(C)n2C1)NC(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001340970285 1074320003 /nfs/dbraw/zinc/32/00/03/1074320003.db2.gz YGABRAVPVJBJPB-QYWGDWMGSA-N 0 0 444.540 -0.051 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1CCc2nnc(C)n2C1)NC(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001340970286 1074319896 /nfs/dbraw/zinc/31/98/96/1074319896.db2.gz YGABRAVPVJBJPB-XFQAVAEZSA-N 0 0 444.540 -0.051 20 0 IBADRN COC[C@](C)(CNC(=O)[C@@H]1CCc2nnc(C)n2C1)NC(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001340970287 1074319905 /nfs/dbraw/zinc/31/99/05/1074319905.db2.gz YGABRAVPVJBJPB-ZOCZFRKYSA-N 0 0 444.540 -0.051 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC001341023830 1074319965 /nfs/dbraw/zinc/31/99/65/1074319965.db2.gz GOORRCSKSCVOAZ-AWEZNQCLSA-N 0 0 446.551 -0.737 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NC[C@@H](O)CS(=O)(=O)c2cccs2)CC1 ZINC001341023881 1074319878 /nfs/dbraw/zinc/31/98/78/1074319878.db2.gz GOORRCSKSCVOAZ-CQSZACIVSA-N 0 0 446.551 -0.737 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NCc2ccc(S(=O)(=O)CCOC)cc2)CC1 ZINC001341030019 1074319817 /nfs/dbraw/zinc/31/98/17/1074319817.db2.gz GXXYKNFACIQVGZ-UHFFFAOYSA-N 0 0 433.552 -0.101 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(Cc3nnc(C)[nH]3)CC2)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001341052823 1074319826 /nfs/dbraw/zinc/31/98/26/1074319826.db2.gz UDDSRGFQIWHTTB-HNNXBMFYSA-N 0 0 436.542 -0.266 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(Cc3nnc(C)[nH]3)CC2)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001341052824 1074319988 /nfs/dbraw/zinc/31/99/88/1074319988.db2.gz UDDSRGFQIWHTTB-OAHLLOKOSA-N 0 0 436.542 -0.266 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)CC2)cn1 ZINC001341060695 1074320010 /nfs/dbraw/zinc/32/00/10/1074320010.db2.gz LRFXZDYWASPRIO-CYBMUJFWSA-N 0 0 448.571 -0.846 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cn1 ZINC001341060697 1074319856 /nfs/dbraw/zinc/31/98/56/1074319856.db2.gz LRFXZDYWASPRIO-ZDUSSCGKSA-N 0 0 448.571 -0.846 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@H]2CCCN(C(N)=O)C2)CC3)CC1 ZINC001341063649 1074320029 /nfs/dbraw/zinc/32/00/29/1074320029.db2.gz FYBHZHPEQWLZFI-CVEARBPZSA-N 0 0 431.541 -0.260 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@H]2CCCN(C(N)=O)C2)CC3)CC1 ZINC001341063747 1074319866 /nfs/dbraw/zinc/31/98/66/1074319866.db2.gz FYBHZHPEQWLZFI-HOTGVXAUSA-N 0 0 431.541 -0.260 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@@H]2CCCN(C(N)=O)C2)CC3)CC1 ZINC001341063748 1074319843 /nfs/dbraw/zinc/31/98/43/1074319843.db2.gz FYBHZHPEQWLZFI-HZPDHXFCSA-N 0 0 431.541 -0.260 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@@H]2CCCN(C(N)=O)C2)CC3)CC1 ZINC001341063749 1074319949 /nfs/dbraw/zinc/31/99/49/1074319949.db2.gz FYBHZHPEQWLZFI-JKSUJKDBSA-N 0 0 431.541 -0.260 20 0 IBADRN C[C@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)N1C(=O)CCC1=O ZINC001341066040 1074319916 /nfs/dbraw/zinc/31/99/16/1074319916.db2.gz YPFPDZYDCGCLAD-CABCVRRESA-N 0 0 430.509 -0.513 20 0 IBADRN C[C@@H](C(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)N1C(=O)CCC1=O ZINC001341066041 1074319935 /nfs/dbraw/zinc/31/99/35/1074319935.db2.gz YPFPDZYDCGCLAD-GJZGRUSLSA-N 0 0 430.509 -0.513 20 0 IBADRN C[C@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)N1C(=O)CCC1=O ZINC001341066042 1074319974 /nfs/dbraw/zinc/31/99/74/1074319974.db2.gz YPFPDZYDCGCLAD-HUUCEWRRSA-N 0 0 430.509 -0.513 20 0 IBADRN C[C@@H](C(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1)N1C(=O)CCC1=O ZINC001341066043 1074320016 /nfs/dbraw/zinc/32/00/16/1074320016.db2.gz YPFPDZYDCGCLAD-LSDHHAIUSA-N 0 0 430.509 -0.513 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1 ZINC001341066834 1074320362 /nfs/dbraw/zinc/32/03/62/1074320362.db2.gz ABCRYTQNLHYOMA-INIZCTEOSA-N 0 0 429.564 -0.072 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C1 ZINC001341066835 1074320230 /nfs/dbraw/zinc/32/02/30/1074320230.db2.gz ABCRYTQNLHYOMA-MRXNPFEDSA-N 0 0 429.564 -0.072 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)NC[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cn1 ZINC001341075996 1074320381 /nfs/dbraw/zinc/32/03/81/1074320381.db2.gz ADBNAUXQHHAYBM-HNNXBMFYSA-N 0 0 426.543 -0.147 20 0 IBADRN Cn1cc(C(=O)NCC2CCN(C(=O)NC[C@H]3CCN(S(C)(=O)=O)C3)CC2)cn1 ZINC001341075998 1074320459 /nfs/dbraw/zinc/32/04/59/1074320459.db2.gz ADBNAUXQHHAYBM-OAHLLOKOSA-N 0 0 426.543 -0.147 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC001341126321 1074320242 /nfs/dbraw/zinc/32/02/42/1074320242.db2.gz YRQABYKMPUEGDA-UHFFFAOYSA-N 0 0 447.535 -0.574 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(C(F)F)n1 ZINC001341127349 1074320221 /nfs/dbraw/zinc/32/02/21/1074320221.db2.gz IIRVQQKQTBYYPR-JTQLQIEISA-N 0 0 426.467 -0.368 20 0 IBADRN Cn1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(C(F)F)n1 ZINC001341127350 1074320198 /nfs/dbraw/zinc/32/01/98/1074320198.db2.gz IIRVQQKQTBYYPR-SNVBAGLBSA-N 0 0 426.467 -0.368 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC2CCS(=O)(=O)CC2)c1 ZINC001341157537 1074320253 /nfs/dbraw/zinc/32/02/53/1074320253.db2.gz MXCKDRJCANPVAR-UHFFFAOYSA-N 0 0 433.552 -0.180 20 0 IBADRN COCC(=O)N(C)CC(=O)N1CCC(C(=O)Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001341193635 1074320398 /nfs/dbraw/zinc/32/03/98/1074320398.db2.gz UKZIMFVGXRXDOC-UHFFFAOYSA-N 0 0 426.495 -0.384 20 0 IBADRN CN1c2ccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc2CCC1=O ZINC001341213095 1074320451 /nfs/dbraw/zinc/32/04/51/1074320451.db2.gz TVFIYVJZOPEMHS-KRWDZBQOSA-N 0 0 448.545 -0.343 20 0 IBADRN CN1c2ccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc2CCC1=O ZINC001341213098 1074320464 /nfs/dbraw/zinc/32/04/64/1074320464.db2.gz TVFIYVJZOPEMHS-QGZVFWFLSA-N 0 0 448.545 -0.343 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1)[C@@H]1CCNC1=O ZINC001341227026 1074320435 /nfs/dbraw/zinc/32/04/35/1074320435.db2.gz DCACYZKOQHCWTM-FKZVYCBESA-N 0 0 443.547 -0.273 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1)[C@H]1CCNC1=O ZINC001341227027 1074320213 /nfs/dbraw/zinc/32/02/13/1074320213.db2.gz DCACYZKOQHCWTM-IJVTXNRDSA-N 0 0 443.547 -0.273 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1)[C@@H]1CCNC1=O ZINC001341227028 1074320390 /nfs/dbraw/zinc/32/03/90/1074320390.db2.gz DCACYZKOQHCWTM-KTDOTMJKSA-N 0 0 443.547 -0.273 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1)[C@H]1CCNC1=O ZINC001341227029 1074320442 /nfs/dbraw/zinc/32/04/42/1074320442.db2.gz DCACYZKOQHCWTM-KYDQQWHWSA-N 0 0 443.547 -0.273 20 0 IBADRN COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001341227413 1074320302 /nfs/dbraw/zinc/32/03/02/1074320302.db2.gz JBAWNTYBESOQQG-HNNXBMFYSA-N 0 0 438.510 -0.247 20 0 IBADRN C[NH+](C)CCN(CC(=O)[O-])S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001341228368 1074320338 /nfs/dbraw/zinc/32/03/38/1074320338.db2.gz VWFYBUWSOZYAJY-UHFFFAOYSA-N 0 0 435.524 -0.656 20 0 IBADRN O=C(CN1CCC2(CC1)NC(=O)NC2=O)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001341256076 1074320801 /nfs/dbraw/zinc/32/08/01/1074320801.db2.gz FMCGPWCSLNAMQE-UHFFFAOYSA-N 0 0 429.477 -0.551 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@H](S(N)(=O)=O)C3)CC2)cn1C ZINC001341257408 1074320767 /nfs/dbraw/zinc/32/07/67/1074320767.db2.gz WVFUFLLWRSLYLL-AWEZNQCLSA-N 0 0 433.556 -0.591 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@@H](S(N)(=O)=O)C3)CC2)cn1C ZINC001341257409 1074320754 /nfs/dbraw/zinc/32/07/54/1074320754.db2.gz WVFUFLLWRSLYLL-CQSZACIVSA-N 0 0 433.556 -0.591 20 0 IBADRN NS(=O)(=O)CC1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001341258709 1074320763 /nfs/dbraw/zinc/32/07/63/1074320763.db2.gz UEIABFYRKFWDCS-CVEARBPZSA-N 0 0 437.584 -0.818 20 0 IBADRN NS(=O)(=O)CC1CCN(CC(=O)N(C[C@@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001341258711 1074320833 /nfs/dbraw/zinc/32/08/33/1074320833.db2.gz UEIABFYRKFWDCS-HOTGVXAUSA-N 0 0 437.584 -0.818 20 0 IBADRN NS(=O)(=O)CC1CCN(CC(=O)N(C[C@H]2CCCO2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001341258713 1074320781 /nfs/dbraw/zinc/32/07/81/1074320781.db2.gz UEIABFYRKFWDCS-HZPDHXFCSA-N 0 0 437.584 -0.818 20 0 IBADRN NS(=O)(=O)CC1CCN(CC(=O)N(C[C@H]2CCCO2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001341258715 1074320707 /nfs/dbraw/zinc/32/07/07/1074320707.db2.gz UEIABFYRKFWDCS-JKSUJKDBSA-N 0 0 437.584 -0.818 20 0 IBADRN NC(=O)c1cnn2c1nccc2C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001341343982 1074320733 /nfs/dbraw/zinc/32/07/33/1074320733.db2.gz ZBWFHWBEDUFQIA-UHFFFAOYSA-N 0 0 438.473 -0.144 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(CNC(=O)[C@H](C)N2C(=O)CCC2=O)cc1)N1C(=O)CCC1=O ZINC001341405323 1074320819 /nfs/dbraw/zinc/32/08/19/1074320819.db2.gz PTTXZIAMKRBMIZ-KBPBESRZSA-N 0 0 442.472 -0.006 20 0 IBADRN C[C@H](C(=O)NCc1ccc(CNC(=O)[C@H](C)N2C(=O)CCC2=O)cc1)N1C(=O)CCC1=O ZINC001341405327 1074320777 /nfs/dbraw/zinc/32/07/77/1074320777.db2.gz PTTXZIAMKRBMIZ-OKILXGFUSA-N 0 0 442.472 -0.006 20 0 IBADRN C[C@H](C(=O)NCc1ccc(CNC(=O)[C@@H](C)N2C(=O)CCC2=O)cc1)N1C(=O)CCC1=O ZINC001341405330 1074320716 /nfs/dbraw/zinc/32/07/16/1074320716.db2.gz PTTXZIAMKRBMIZ-ZIAGYGMSSA-N 0 0 442.472 -0.006 20 0 IBADRN COC(=O)[C@@H](O)CNC(=O)CSCC(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O ZINC001341405565 1074320685 /nfs/dbraw/zinc/32/06/85/1074320685.db2.gz RYLTWUDAXHVWAA-AWEZNQCLSA-N 0 0 436.490 -0.194 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001341422573 1074320724 /nfs/dbraw/zinc/32/07/24/1074320724.db2.gz BVKMWAYUOMWYEM-FGTMMUONSA-N 0 0 442.534 -0.587 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001341422575 1074321153 /nfs/dbraw/zinc/32/11/53/1074321153.db2.gz BVKMWAYUOMWYEM-KURKYZTESA-N 0 0 442.534 -0.587 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001341422577 1074321147 /nfs/dbraw/zinc/32/11/47/1074321147.db2.gz BVKMWAYUOMWYEM-KZNAEPCWSA-N 0 0 442.534 -0.587 20 0 IBADRN COc1cc(C(C)=O)ccc1OC[C@H](O)CN1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001341422579 1074321234 /nfs/dbraw/zinc/32/12/34/1074321234.db2.gz BVKMWAYUOMWYEM-SQNIBIBYSA-N 0 0 442.534 -0.587 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1OC ZINC001341426505 1074321214 /nfs/dbraw/zinc/32/12/14/1074321214.db2.gz ZSBLXMNMXOPDBF-DLBZAZTESA-N 0 0 441.550 -0.562 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1OC ZINC001341426507 1074321105 /nfs/dbraw/zinc/32/11/05/1074321105.db2.gz ZSBLXMNMXOPDBF-IAGOWNOFSA-N 0 0 441.550 -0.562 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1OC ZINC001341426508 1074321187 /nfs/dbraw/zinc/32/11/87/1074321187.db2.gz ZSBLXMNMXOPDBF-IRXDYDNUSA-N 0 0 441.550 -0.562 20 0 IBADRN COc1cccc(CN(C)C(=O)CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1OC ZINC001341426509 1074321141 /nfs/dbraw/zinc/32/11/41/1074321141.db2.gz ZSBLXMNMXOPDBF-SJORKVTESA-N 0 0 441.550 -0.562 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N(C)[C@H]1CCN(C)C1=O ZINC001341471915 1074321130 /nfs/dbraw/zinc/32/11/30/1074321130.db2.gz RACTVPAHHRZGEN-CABCVRRESA-N 0 0 425.507 -0.083 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N(C)[C@H]1CCN(C)C1=O ZINC001341471916 1074321229 /nfs/dbraw/zinc/32/12/29/1074321229.db2.gz RACTVPAHHRZGEN-GJZGRUSLSA-N 0 0 425.507 -0.083 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N(C)[C@@H]1CCN(C)C1=O ZINC001341471917 1074321113 /nfs/dbraw/zinc/32/11/13/1074321113.db2.gz RACTVPAHHRZGEN-HUUCEWRRSA-N 0 0 425.507 -0.083 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N(C)[C@@H]1CCN(C)C1=O ZINC001341471918 1074321224 /nfs/dbraw/zinc/32/12/24/1074321224.db2.gz RACTVPAHHRZGEN-LSDHHAIUSA-N 0 0 425.507 -0.083 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC001341494687 1074321085 /nfs/dbraw/zinc/32/10/85/1074321085.db2.gz WVQDNCWXEQKIPL-QLFBSQMISA-N 0 0 439.538 -0.014 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)cc1C ZINC001341494688 1074321092 /nfs/dbraw/zinc/32/10/92/1074321092.db2.gz WVQDNCWXEQKIPL-RBSFLKMASA-N 0 0 439.538 -0.014 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC001341494689 1074321160 /nfs/dbraw/zinc/32/11/60/1074321160.db2.gz WVQDNCWXEQKIPL-RRFJBIMHSA-N 0 0 439.538 -0.014 20 0 IBADRN CNC(=O)Cn1nc(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)cc1C ZINC001341494690 1074321121 /nfs/dbraw/zinc/32/11/21/1074321121.db2.gz WVQDNCWXEQKIPL-SOUVJXGZSA-N 0 0 439.538 -0.014 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001341552815 1074321180 /nfs/dbraw/zinc/32/11/80/1074321180.db2.gz JDRIOJISERCFSZ-FMKPAKJESA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@@H]1CO[C@@H](CO)CN1C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001341552816 1074321076 /nfs/dbraw/zinc/32/10/76/1074321076.db2.gz JDRIOJISERCFSZ-IIAWOOMASA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001341552817 1074321454 /nfs/dbraw/zinc/32/14/54/1074321454.db2.gz JDRIOJISERCFSZ-IJEWVQPXSA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@@H]1CO[C@H](CO)CN1C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001341552818 1074321626 /nfs/dbraw/zinc/32/16/26/1074321626.db2.gz JDRIOJISERCFSZ-YCPHGPKFSA-N 0 0 434.536 -0.624 20 0 IBADRN O=C(CCNC(=O)c1cnn2cc(Br)cnc12)N[C@H]1CCS(=O)(=O)C1 ZINC001341588554 1074321534 /nfs/dbraw/zinc/32/15/34/1074321534.db2.gz ZNQOKTLCEZHXCS-JTQLQIEISA-N 0 0 430.284 -0.085 20 0 IBADRN O=C(CCNC(=O)c1cnn2cc(Br)cnc12)N[C@@H]1CCS(=O)(=O)C1 ZINC001341588555 1074321464 /nfs/dbraw/zinc/32/14/64/1074321464.db2.gz ZNQOKTLCEZHXCS-SNVBAGLBSA-N 0 0 430.284 -0.085 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC(O)(C(=O)OC)CC3)cc2S1(=O)=O ZINC001341604237 1074321556 /nfs/dbraw/zinc/32/15/56/1074321556.db2.gz GXEHVOFDUVZRIG-UHFFFAOYSA-N 0 0 426.447 -0.382 20 0 IBADRN COC(=O)CN(C)CCNC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC001341618248 1074321615 /nfs/dbraw/zinc/32/16/15/1074321615.db2.gz HXFFUEWRGUTJHW-UHFFFAOYSA-N 0 0 426.539 -0.332 20 0 IBADRN COC(=O)CN(C)CCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001341619702 1074321543 /nfs/dbraw/zinc/32/15/43/1074321543.db2.gz VVALENSQONBESV-UHFFFAOYSA-N 0 0 440.522 -0.626 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)c2cc[n+]([O-])cc2)cc1)S(C)(=O)=O ZINC001341711381 1074321522 /nfs/dbraw/zinc/32/15/22/1074321522.db2.gz FMTZPFSTJBTMPI-UHFFFAOYSA-N 0 0 429.476 -0.146 20 0 IBADRN CN1C(=O)NC2(CCN(S(=O)(=O)c3ccc(C(=O)N4CCOCC4)cc3)CC2)C1=O ZINC001341729419 1074321570 /nfs/dbraw/zinc/32/15/70/1074321570.db2.gz PQVLNODGLYVQCC-UHFFFAOYSA-N 0 0 436.490 -0.136 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)CC2)C1=O ZINC001341745524 1074321584 /nfs/dbraw/zinc/32/15/84/1074321584.db2.gz HKBQEAWMNNEBBU-UHFFFAOYSA-N 0 0 444.496 -0.759 20 0 IBADRN CS(=O)(=O)C[C@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC001341759304 1074321484 /nfs/dbraw/zinc/32/14/84/1074321484.db2.gz FVNHTUIBJLLYBC-MSOLQXFVSA-N 0 0 443.591 -0.307 20 0 IBADRN CS(=O)(=O)C[C@@H](NCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC001341759305 1074321505 /nfs/dbraw/zinc/32/15/05/1074321505.db2.gz FVNHTUIBJLLYBC-QZTJIDSGSA-N 0 0 443.591 -0.307 20 0 IBADRN CS(=O)(=O)C[C@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC001341759306 1074321601 /nfs/dbraw/zinc/32/16/01/1074321601.db2.gz FVNHTUIBJLLYBC-ROUUACIJSA-N 0 0 443.591 -0.307 20 0 IBADRN CS(=O)(=O)C[C@@H](NCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1)c1ccccc1 ZINC001341759307 1074321423 /nfs/dbraw/zinc/32/14/23/1074321423.db2.gz FVNHTUIBJLLYBC-ZWKOTPCHSA-N 0 0 443.591 -0.307 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC3(CC2)NC(=O)NC3=O)cc1C(=O)NCC(F)(F)F ZINC001341759508 1074321449 /nfs/dbraw/zinc/32/14/49/1074321449.db2.gz IXXXHKJQMPQSHE-UHFFFAOYSA-N 0 0 437.400 -0.320 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@H]3CC[C@@H](C(=O)N(C)C)O3)CC2)cn1C ZINC001341764585 1074321592 /nfs/dbraw/zinc/32/15/92/1074321592.db2.gz SJSQGMYKSFSMQO-CVEARBPZSA-N 0 0 441.554 -0.119 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@@H]3CC[C@@H](C(=O)N(C)C)O3)CC2)cn1C ZINC001341764588 1074321475 /nfs/dbraw/zinc/32/14/75/1074321475.db2.gz SJSQGMYKSFSMQO-HOTGVXAUSA-N 0 0 441.554 -0.119 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@H]3CC[C@H](C(=O)N(C)C)O3)CC2)cn1C ZINC001341764591 1074321439 /nfs/dbraw/zinc/32/14/39/1074321439.db2.gz SJSQGMYKSFSMQO-HZPDHXFCSA-N 0 0 441.554 -0.119 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@@H]3CC[C@H](C(=O)N(C)C)O3)CC2)cn1C ZINC001341764594 1074322074 /nfs/dbraw/zinc/32/20/74/1074322074.db2.gz SJSQGMYKSFSMQO-JKSUJKDBSA-N 0 0 441.554 -0.119 20 0 IBADRN CN(c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001341770297 1074321932 /nfs/dbraw/zinc/32/19/32/1074321932.db2.gz QEYDPSITYKRMKR-BDMGVTFQSA-N 0 0 446.386 -0.963 20 0 IBADRN CN(c1ncnc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001341770298 1074322069 /nfs/dbraw/zinc/32/20/69/1074322069.db2.gz QEYDPSITYKRMKR-LEJQEAHTSA-N 0 0 446.386 -0.963 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001341777172 1074321882 /nfs/dbraw/zinc/32/18/82/1074321882.db2.gz XVMHMPPZADFOTL-DOMZBBRYSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001341777173 1074321891 /nfs/dbraw/zinc/32/18/91/1074321891.db2.gz XVMHMPPZADFOTL-IUODEOHRSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001341777175 1074321978 /nfs/dbraw/zinc/32/19/78/1074321978.db2.gz XVMHMPPZADFOTL-SWLSCSKDSA-N 0 0 438.549 -0.391 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001341777176 1074321995 /nfs/dbraw/zinc/32/19/95/1074321995.db2.gz XVMHMPPZADFOTL-WFASDCNBSA-N 0 0 438.549 -0.391 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)NCC(=O)N1CCOCC1 ZINC001341836435 1074322093 /nfs/dbraw/zinc/32/20/93/1074322093.db2.gz JPTXLIIKCVYWBO-HNNXBMFYSA-N 0 0 445.925 -0.152 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)NCC(=O)N1CCOCC1 ZINC001341836438 1074322045 /nfs/dbraw/zinc/32/20/45/1074322045.db2.gz JPTXLIIKCVYWBO-OAHLLOKOSA-N 0 0 445.925 -0.152 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NC3CCC4(CC3)NC(=O)NC4=O)ccc21 ZINC001341849541 1074321956 /nfs/dbraw/zinc/32/19/56/1074321956.db2.gz JOFGDYXTAWKZRX-UHFFFAOYSA-N 0 0 427.461 -0.038 20 0 IBADRN Cc1nc(C)c(CC(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)c(=O)[nH]1 ZINC001341883674 1074321896 /nfs/dbraw/zinc/32/18/96/1074321896.db2.gz MJSQWOLTTMSDQU-UHFFFAOYSA-N 0 0 430.465 -0.564 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccn(-c4ccncc4)n3)CC2)ccc1=O ZINC001341914433 1074322018 /nfs/dbraw/zinc/32/20/18/1074322018.db2.gz HUEXZAFYFXWTQJ-UHFFFAOYSA-N 0 0 437.460 -0.024 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCN(C(=O)N3CCOCC3)CC2)cn1C ZINC001341914534 1074321987 /nfs/dbraw/zinc/32/19/87/1074321987.db2.gz JGSCIRPEGBARLJ-UHFFFAOYSA-N 0 0 442.494 -0.471 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(=O)(=O)N(C)C)cn1C ZINC001341927364 1074321946 /nfs/dbraw/zinc/32/19/46/1074321946.db2.gz TUOBWLHFGVCFGV-GFCCVEGCSA-N 0 0 434.540 -0.959 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(=O)(=O)N(C)C)cn1C ZINC001341927365 1074322413 /nfs/dbraw/zinc/32/24/13/1074322413.db2.gz TUOBWLHFGVCFGV-LBPRGKRZSA-N 0 0 434.540 -0.959 20 0 IBADRN Cn1cccc(C(=O)NCCC(=O)N2CCN(C(=O)c3cccn(C)c3=O)CC2)c1=O ZINC001341943462 1074322247 /nfs/dbraw/zinc/32/22/47/1074322247.db2.gz AONXFLLUZIVKNF-UHFFFAOYSA-N 0 0 427.461 -0.812 20 0 IBADRN Cc1nn(CCC(=O)N2CCNC(=O)CC2)c(C)c1CCC(=O)N1CCNC(=O)CC1 ZINC001341984591 1074322266 /nfs/dbraw/zinc/32/22/66/1074322266.db2.gz VDARORMVZPVSML-UHFFFAOYSA-N 0 0 432.525 -0.480 20 0 IBADRN Cn1cnc(-c2ccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc2)n1 ZINC001342021624 1074322470 /nfs/dbraw/zinc/32/24/70/1074322470.db2.gz UCTYYIVWXWYZMR-KRWDZBQOSA-N 0 0 446.533 -0.457 20 0 IBADRN Cn1cnc(-c2ccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc2)n1 ZINC001342021627 1074322459 /nfs/dbraw/zinc/32/24/59/1074322459.db2.gz UCTYYIVWXWYZMR-QGZVFWFLSA-N 0 0 446.533 -0.457 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@@H]1CCCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC001342023924 1074322389 /nfs/dbraw/zinc/32/23/89/1074322389.db2.gz ZOBZRHGYJFPXQZ-GFCCVEGCSA-N 0 0 428.453 -0.479 20 0 IBADRN CC(=O)Nc1c[nH]nc1[C@H]1CCCN(C(=O)Cn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC001342023928 1074322368 /nfs/dbraw/zinc/32/23/68/1074322368.db2.gz ZOBZRHGYJFPXQZ-LBPRGKRZSA-N 0 0 428.453 -0.479 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC3(COC(=O)N3)CC2)c1 ZINC001342229447 1074322478 /nfs/dbraw/zinc/32/24/78/1074322478.db2.gz SLRIDUQMYLAFAW-UHFFFAOYSA-N 0 0 426.495 -0.020 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)N1CCN(C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC001342256372 1074322382 /nfs/dbraw/zinc/32/23/82/1074322382.db2.gz XFJJIIPCMNDGKE-GFCCVEGCSA-N 0 0 445.464 -0.412 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)N1CCN(C(=O)N2CCOCC2)CC1)C(F)(F)F ZINC001342256383 1074322320 /nfs/dbraw/zinc/32/23/20/1074322320.db2.gz XFJJIIPCMNDGKE-LBPRGKRZSA-N 0 0 445.464 -0.412 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)c2ccccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001342311154 1074322426 /nfs/dbraw/zinc/32/24/26/1074322426.db2.gz DEGVURBAZDEWIQ-BZUAXINKSA-N 0 0 428.532 -0.750 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)c2ccccc21)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001342311159 1074322343 /nfs/dbraw/zinc/32/23/43/1074322343.db2.gz DEGVURBAZDEWIQ-OAGGEKHMSA-N 0 0 428.532 -0.750 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)c2ccccc21)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001342311598 1074322333 /nfs/dbraw/zinc/32/23/33/1074322333.db2.gz DEGVURBAZDEWIQ-OWCLPIDISA-N 0 0 428.532 -0.750 20 0 IBADRN O=C([C@@H]1CCS(=O)(=O)c2ccccc21)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001342311599 1074322276 /nfs/dbraw/zinc/32/22/76/1074322276.db2.gz DEGVURBAZDEWIQ-PMPSAXMXSA-N 0 0 428.532 -0.750 20 0 IBADRN O=C(Cn1nc(-c2ccccc2)ccc1=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001342337666 1074322436 /nfs/dbraw/zinc/32/24/36/1074322436.db2.gz JWNLSEXHYKXQJQ-UHFFFAOYSA-N 0 0 429.462 -0.439 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(c3ccc(C(=O)OC)nn3)CC2)CC1 ZINC001342463562 1074322352 /nfs/dbraw/zinc/32/23/52/1074322352.db2.gz YLEXFSXMQAIPOE-UHFFFAOYSA-N 0 0 426.499 -0.912 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCN(CC(=O)Nc3nncs3)CC2)cc1 ZINC001342464347 1074322865 /nfs/dbraw/zinc/32/28/65/1074322865.db2.gz RUOFFTCEIWFAPE-UHFFFAOYSA-N 0 0 438.535 -0.228 20 0 IBADRN Cn1cc(-n2cc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)ccc2=O)cn1 ZINC001342482168 1074322705 /nfs/dbraw/zinc/32/27/05/1074322705.db2.gz QOOABUDOCMBSKI-UHFFFAOYSA-N 0 0 426.433 -0.996 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CCc2c(C)nc(N3CCOCC3)[nH]c2=O)CC1 ZINC001342520092 1074322716 /nfs/dbraw/zinc/32/27/16/1074322716.db2.gz KGKWRISLMPAQQT-UHFFFAOYSA-N 0 0 427.527 -0.246 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Nc3ccc(-n4cncn4)cc3)CC2)CC1 ZINC001342542986 1074322747 /nfs/dbraw/zinc/32/27/47/1074322747.db2.gz RVRULWVJWXQEFJ-UHFFFAOYSA-N 0 0 434.526 -0.091 20 0 IBADRN COCCn1cc(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)ccc1=O ZINC001342562423 1074322765 /nfs/dbraw/zinc/32/27/65/1074322765.db2.gz ZDFHIGSPILABEU-UHFFFAOYSA-N 0 0 445.476 -0.797 20 0 IBADRN Cn1c2ncn(CC(=O)OC3CN(C(=O)OCc4ccccc4)C3)c2c(=O)n(C)c1=O ZINC001342580644 1074322801 /nfs/dbraw/zinc/32/28/01/1074322801.db2.gz JMJFUKDAWXHFKE-UHFFFAOYSA-N 0 0 427.417 -0.002 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC[C@H](S(C)(=O)=O)C3)CC2)cn1 ZINC001342585830 1074322733 /nfs/dbraw/zinc/32/27/33/1074322733.db2.gz CJGWOKWSFFZKJF-AWEZNQCLSA-N 0 0 433.556 -0.208 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC[C@@H](S(C)(=O)=O)C3)CC2)cn1 ZINC001342585831 1074322915 /nfs/dbraw/zinc/32/29/15/1074322915.db2.gz CJGWOKWSFFZKJF-CQSZACIVSA-N 0 0 433.556 -0.208 20 0 IBADRN O=C(Cc1ccc(CC(=O)NCCN2C(=O)CCC2=O)cc1)NCCN1C(=O)CCC1=O ZINC001342601975 1074322851 /nfs/dbraw/zinc/32/28/51/1074322851.db2.gz TUCOEGINTWGIMA-UHFFFAOYSA-N 0 0 442.472 -0.698 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CCCS(=O)(=O)C3)CC2)cn1C ZINC001342616439 1074322950 /nfs/dbraw/zinc/32/29/50/1074322950.db2.gz GCHONZLDCQEZDJ-AWEZNQCLSA-N 0 0 433.556 -0.431 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CCCS(=O)(=O)C3)CC2)cn1C ZINC001342616447 1074322775 /nfs/dbraw/zinc/32/27/75/1074322775.db2.gz GCHONZLDCQEZDJ-CQSZACIVSA-N 0 0 433.556 -0.431 20 0 IBADRN Cc1c(-c2cc(CN3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)on2)cnn1C ZINC001342671450 1074322924 /nfs/dbraw/zinc/32/29/24/1074322924.db2.gz FDYLDSWTNFKMSP-HNNXBMFYSA-N 0 0 436.538 -0.196 20 0 IBADRN Cc1c(-c2cc(CN3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)on2)cnn1C ZINC001342671451 1074322837 /nfs/dbraw/zinc/32/28/37/1074322837.db2.gz FDYLDSWTNFKMSP-OAHLLOKOSA-N 0 0 436.538 -0.196 20 0 IBADRN C[C@@H](O)c1cn(C2CN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2)nn1 ZINC001342710921 1074322907 /nfs/dbraw/zinc/32/29/07/1074322907.db2.gz CGGLKJUFEGGFGQ-GFCCVEGCSA-N 0 0 434.478 -0.851 20 0 IBADRN C[C@H](O)c1cn(C2CN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2)nn1 ZINC001342710924 1074323255 /nfs/dbraw/zinc/32/32/55/1074323255.db2.gz CGGLKJUFEGGFGQ-LBPRGKRZSA-N 0 0 434.478 -0.851 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)c1 ZINC001342715282 1074323111 /nfs/dbraw/zinc/32/31/11/1074323111.db2.gz WRMSOUUFXUOJPV-UHFFFAOYSA-N 0 0 428.496 -0.798 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)Cn2nc3n(c2=O)CCCCC3)CC1 ZINC001342733275 1074323262 /nfs/dbraw/zinc/32/32/62/1074323262.db2.gz WZBBXJSFRYFZCO-UHFFFAOYSA-N 0 0 428.511 -0.260 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC001342824247 1074323248 /nfs/dbraw/zinc/32/32/48/1074323248.db2.gz HWDGYFSGNDUVSA-UHFFFAOYSA-N 0 0 427.479 -0.807 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@H](NC(=O)Cn1cc(Br)c(=O)[nH]c1=O)C2 ZINC001342861847 1074323223 /nfs/dbraw/zinc/32/32/23/1074323223.db2.gz CZJSFZWJPMOODQ-JTQLQIEISA-N 0 0 426.271 -0.118 20 0 IBADRN Cc1nc2c(n1CCO)CC[C@@H](NC(=O)Cn1cc(Br)c(=O)[nH]c1=O)C2 ZINC001342861872 1074323236 /nfs/dbraw/zinc/32/32/36/1074323236.db2.gz CZJSFZWJPMOODQ-SNVBAGLBSA-N 0 0 426.271 -0.118 20 0 IBADRN Cn1nc2ccccc2c1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001342875662 1074323212 /nfs/dbraw/zinc/32/32/12/1074323212.db2.gz DDJXRYRIUJXEOJ-CYBMUJFWSA-N 0 0 426.520 -0.152 20 0 IBADRN Cn1nc2ccccc2c1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001342875665 1074323269 /nfs/dbraw/zinc/32/32/69/1074323269.db2.gz DDJXRYRIUJXEOJ-ZDUSSCGKSA-N 0 0 426.520 -0.152 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(CC(F)(F)F)c1 ZINC001342877918 1074323182 /nfs/dbraw/zinc/32/31/82/1074323182.db2.gz YHLSWRLLBRHUKA-JTQLQIEISA-N 0 0 432.446 -0.241 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(CC(F)(F)F)c1 ZINC001342877919 1074323172 /nfs/dbraw/zinc/32/31/72/1074323172.db2.gz YHLSWRLLBRHUKA-SNVBAGLBSA-N 0 0 432.446 -0.241 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCC(N3CCS(=O)(=O)CC3)CC2)CC1 ZINC001342878292 1074323128 /nfs/dbraw/zinc/32/31/28/1074323128.db2.gz RASSRDZKUBCRIZ-UHFFFAOYSA-N 0 0 437.584 -0.299 20 0 IBADRN O=C(CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)[C@H]1CCCN1C(=O)C(F)(F)F ZINC001342931205 1074323144 /nfs/dbraw/zinc/32/31/44/1074323144.db2.gz FQTXKARNMNNEEV-SECBINFHSA-N 0 0 425.385 -0.392 20 0 IBADRN O=C(CN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)[C@@H]1CCCN1C(=O)C(F)(F)F ZINC001342931207 1074323152 /nfs/dbraw/zinc/32/31/52/1074323152.db2.gz FQTXKARNMNNEEV-VIFPVBQESA-N 0 0 425.385 -0.392 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)CN2CCc3n[nH]c(=O)cc3C2)CC1 ZINC001342952140 1074323196 /nfs/dbraw/zinc/32/31/96/1074323196.db2.gz VVNBGBQPFJVWDV-UHFFFAOYSA-N 0 0 436.494 -0.322 20 0 IBADRN Cn1nncc1CNC(=O)CCc1nc2cc(S(=O)(=O)N3CCOCC3)ccc2n1C ZINC001343042649 1074323578 /nfs/dbraw/zinc/32/35/78/1074323578.db2.gz JKYOJAQHVTXLRI-UHFFFAOYSA-N 0 0 447.521 -0.028 20 0 IBADRN Cn1cc([C@H](CO)NCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)cn1 ZINC001343046363 1074323732 /nfs/dbraw/zinc/32/37/32/1074323732.db2.gz DMUDXKACSFRMIU-KRWDZBQOSA-N 0 0 437.522 -0.620 20 0 IBADRN Cn1cc([C@@H](CO)NCC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)cn1 ZINC001343046365 1074323621 /nfs/dbraw/zinc/32/36/21/1074323621.db2.gz DMUDXKACSFRMIU-QGZVFWFLSA-N 0 0 437.522 -0.620 20 0 IBADRN Cn1ccnc1[C@@](O)(Cc1noc(CN2CCN(S(C)(=O)=O)CC2)n1)C(F)(F)F ZINC001343061865 1074323745 /nfs/dbraw/zinc/32/37/45/1074323745.db2.gz JVAOBOAGNIZECL-AWEZNQCLSA-N 0 0 438.432 -0.127 20 0 IBADRN Cn1ccnc1[C@](O)(Cc1noc(CN2CCN(S(C)(=O)=O)CC2)n1)C(F)(F)F ZINC001343061867 1074323565 /nfs/dbraw/zinc/32/35/65/1074323565.db2.gz JVAOBOAGNIZECL-CQSZACIVSA-N 0 0 438.432 -0.127 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)nc(C(F)(F)F)n1 ZINC001343070570 1074323657 /nfs/dbraw/zinc/32/36/57/1074323657.db2.gz SPRABHRFCLGDAF-GFCCVEGCSA-N 0 0 449.455 -0.135 20 0 IBADRN Cc1cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)nc(C(F)(F)F)n1 ZINC001343070585 1074323665 /nfs/dbraw/zinc/32/36/65/1074323665.db2.gz SPRABHRFCLGDAF-LBPRGKRZSA-N 0 0 449.455 -0.135 20 0 IBADRN O=C(CN1CC[C@H](C(=O)NCC(F)(F)F)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001343070707 1074323710 /nfs/dbraw/zinc/32/37/10/1074323710.db2.gz OGQOGWBNZGYKRW-KBPBESRZSA-N 0 0 440.488 -0.682 20 0 IBADRN O=C(CN1CC[C@@H](C(=O)NCC(F)(F)F)C1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001343070713 1074323501 /nfs/dbraw/zinc/32/35/01/1074323501.db2.gz OGQOGWBNZGYKRW-KGLIPLIRSA-N 0 0 440.488 -0.682 20 0 IBADRN O=C(CN1CC[C@H](C(=O)NCC(F)(F)F)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001343070721 1074323586 /nfs/dbraw/zinc/32/35/86/1074323586.db2.gz OGQOGWBNZGYKRW-UONOGXRCSA-N 0 0 440.488 -0.682 20 0 IBADRN O=C(CN1CC[C@@H](C(=O)NCC(F)(F)F)C1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001343070727 1074323672 /nfs/dbraw/zinc/32/36/72/1074323672.db2.gz OGQOGWBNZGYKRW-ZIAGYGMSSA-N 0 0 440.488 -0.682 20 0 IBADRN O=C(COC(=O)c1cnc(C(F)(F)F)nc1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001343074789 1074323552 /nfs/dbraw/zinc/32/35/52/1074323552.db2.gz ZOXPCXZYMSTQKI-GFCCVEGCSA-N 0 0 436.412 -0.017 20 0 IBADRN O=C(COC(=O)c1cnc(C(F)(F)F)nc1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001343074805 1074323699 /nfs/dbraw/zinc/32/36/99/1074323699.db2.gz ZOXPCXZYMSTQKI-LBPRGKRZSA-N 0 0 436.412 -0.017 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001343109729 1074323753 /nfs/dbraw/zinc/32/37/53/1074323753.db2.gz MVYJLDKGVDLIIN-KFWWJZLASA-N 0 0 435.568 -0.896 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001343109731 1074323725 /nfs/dbraw/zinc/32/37/25/1074323725.db2.gz MVYJLDKGVDLIIN-RBSFLKMASA-N 0 0 435.568 -0.896 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001343109733 1074323692 /nfs/dbraw/zinc/32/36/92/1074323692.db2.gz MVYJLDKGVDLIIN-RRFJBIMHSA-N 0 0 435.568 -0.896 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001343109736 1074323704 /nfs/dbraw/zinc/32/37/04/1074323704.db2.gz MVYJLDKGVDLIIN-ZNMIVQPWSA-N 0 0 435.568 -0.896 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)c2ccc(F)c(S(=O)(=O)Cc3ncon3)c2)C1 ZINC001343111034 1074323609 /nfs/dbraw/zinc/32/36/09/1074323609.db2.gz WVWJMWMMXMRINE-UHFFFAOYSA-N 0 0 432.455 -0.196 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN(Cc3nc(COC)no3)CC2)CC1 ZINC001343145965 1074323532 /nfs/dbraw/zinc/32/35/32/1074323532.db2.gz FPASAOZHKPUQFN-UHFFFAOYSA-N 0 0 430.531 -0.143 20 0 IBADRN COCc1noc(CN2CCN(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)CC2)n1 ZINC001343146656 1074324089 /nfs/dbraw/zinc/32/40/89/1074324089.db2.gz QOIQBWHYIIDDLG-UHFFFAOYSA-N 0 0 437.501 -0.876 20 0 IBADRN CS(=O)(=O)C1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001343165998 1074324016 /nfs/dbraw/zinc/32/40/16/1074324016.db2.gz PTUCOBMSXSPLMZ-CJNGLKHVSA-N 0 0 434.511 -0.015 20 0 IBADRN CN(CCNS(=O)(=O)N(C)C1CCOCC1)S(=O)(=O)N(C)C1CCOCC1 ZINC001343218597 1074324080 /nfs/dbraw/zinc/32/40/80/1074324080.db2.gz JXXAEYGWVIQVOR-UHFFFAOYSA-N 0 0 428.577 -0.781 20 0 IBADRN O=C(CN1CCN(Cc2ncc(C3OCCO3)s2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001343239501 1074324023 /nfs/dbraw/zinc/32/40/23/1074324023.db2.gz ZXNJWOUKEAUCNF-CYBMUJFWSA-N 0 0 430.552 -0.391 20 0 IBADRN O=C(CN1CCN(Cc2ncc(C3OCCO3)s2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001343239502 1074324124 /nfs/dbraw/zinc/32/41/24/1074324124.db2.gz ZXNJWOUKEAUCNF-ZDUSSCGKSA-N 0 0 430.552 -0.391 20 0 IBADRN COC(=O)CC1(NC(=O)c2cccc(CN3C(=O)CNC3=O)c2)CCS(=O)(=O)CC1 ZINC001343321760 1074324109 /nfs/dbraw/zinc/32/41/09/1074324109.db2.gz JRKHRTXCZFTORV-UHFFFAOYSA-N 0 0 437.474 -0.021 20 0 IBADRN COC(=O)CC1(NS(=O)(=O)c2ccc3c(c2)n(C)c(=O)n3C)CCS(=O)(=O)CC1 ZINC001343323158 1074324008 /nfs/dbraw/zinc/32/40/08/1074324008.db2.gz QOFUTSYUTCQZJC-UHFFFAOYSA-N 0 0 445.519 -0.334 20 0 IBADRN COC(=O)CC1(NC(=O)C2=CC=CN3CCS(=O)(=O)N=C23)CCS(=O)(=O)CC1 ZINC001343323725 1074323997 /nfs/dbraw/zinc/32/39/97/1074323997.db2.gz UTVCPJDOZAOREH-UHFFFAOYSA-N 0 0 431.492 -0.889 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)NC2(CC(=O)OC)CCS(=O)(=O)CC2)cc1 ZINC001343335079 1074324137 /nfs/dbraw/zinc/32/41/37/1074324137.db2.gz GOEDGSFDKACGGU-UHFFFAOYSA-N 0 0 432.520 -0.236 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1 ZINC001343338137 1074324048 /nfs/dbraw/zinc/32/40/48/1074324048.db2.gz ZWBPCXQWMNSBLL-CYBMUJFWSA-N 0 0 439.943 -0.462 20 0 IBADRN CNS(=O)(=O)CCNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1 ZINC001343338138 1074324063 /nfs/dbraw/zinc/32/40/63/1074324063.db2.gz ZWBPCXQWMNSBLL-ZDUSSCGKSA-N 0 0 439.943 -0.462 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)CC3(CS(C)(=O)=O)CC3)CC2)ccc1=O ZINC001343347294 1074324040 /nfs/dbraw/zinc/32/40/40/1074324040.db2.gz LSDAJPIPEJCOQD-UHFFFAOYSA-N 0 0 440.522 -0.704 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@@H]3CCCCS3(=O)=O)CC2)ccc1=O ZINC001343364679 1074324031 /nfs/dbraw/zinc/32/40/31/1074324031.db2.gz CPGTUAWIMDWRRW-HNNXBMFYSA-N 0 0 426.495 -0.951 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)[C@H]3CCCCS3(=O)=O)CC2)ccc1=O ZINC001343364683 1074324096 /nfs/dbraw/zinc/32/40/96/1074324096.db2.gz CPGTUAWIMDWRRW-OAHLLOKOSA-N 0 0 426.495 -0.951 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CCC(S(N)(=O)=O)CC3)c2)CC1 ZINC001343382668 1074324116 /nfs/dbraw/zinc/32/41/16/1074324116.db2.gz GWCQMNZPGMMRBA-UHFFFAOYSA-N 0 0 445.567 -0.093 20 0 IBADRN C[C@H](NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1nnc2n1CCCCC2 ZINC001343392248 1074324069 /nfs/dbraw/zinc/32/40/69/1074324069.db2.gz GRHOJANXPMYCBG-ZDUSSCGKSA-N 0 0 431.501 -0.263 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCCCNC(=O)OC(C)(C)C)C2 ZINC001343394786 1074323990 /nfs/dbraw/zinc/32/39/90/1074323990.db2.gz RHVGGYJHGLVIKL-UHFFFAOYSA-N 0 0 439.517 -0.037 20 0 IBADRN O=C(CCn1ccnn1)NCc1cccnc1N1CCN(C(=O)CCn2ccnn2)CC1 ZINC001343405992 1074324100 /nfs/dbraw/zinc/32/41/00/1074324100.db2.gz QSXURGHTZDVWLZ-UHFFFAOYSA-N 0 0 438.496 -0.290 20 0 IBADRN C[C@@]1(C2CCN(c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)CC2)NC(=O)NC1=O ZINC001343415676 1074324418 /nfs/dbraw/zinc/32/44/18/1074324418.db2.gz VXVDVPINPCROCP-IBGZPJMESA-N 0 0 435.506 -0.233 20 0 IBADRN C[C@]1(C2CCN(c3ccc(C(=O)N4CCS(=O)(=O)CC4)cn3)CC2)NC(=O)NC1=O ZINC001343415682 1074324437 /nfs/dbraw/zinc/32/44/37/1074324437.db2.gz VXVDVPINPCROCP-LJQANCHMSA-N 0 0 435.506 -0.233 20 0 IBADRN C[C@@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001343422623 1074324466 /nfs/dbraw/zinc/32/44/66/1074324466.db2.gz ZFOXIPCZBSQIRN-CYBMUJFWSA-N 0 0 435.506 -0.318 20 0 IBADRN C[C@H](C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001343422628 1074324514 /nfs/dbraw/zinc/32/45/14/1074324514.db2.gz ZFOXIPCZBSQIRN-ZDUSSCGKSA-N 0 0 435.506 -0.318 20 0 IBADRN C[C@H]1CCCN(C(=O)CCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC001343429004 1074324428 /nfs/dbraw/zinc/32/44/28/1074324428.db2.gz PSXHNOFNKDUQTP-INIZCTEOSA-N 0 0 431.559 -0.461 20 0 IBADRN C[C@@H]1CCCN(C(=O)CCNC(=O)N2CCN(S(=O)(=O)N3CCOCC3)CC2)C1 ZINC001343429005 1074324384 /nfs/dbraw/zinc/32/43/84/1074324384.db2.gz PSXHNOFNKDUQTP-MRXNPFEDSA-N 0 0 431.559 -0.461 20 0 IBADRN C[C@H]1CCCN(C(=O)CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC001343429950 1074324489 /nfs/dbraw/zinc/32/44/89/1074324489.db2.gz VHGNPOIKECDESD-KRWDZBQOSA-N 0 0 444.602 -0.546 20 0 IBADRN C[C@@H]1CCCN(C(=O)CCNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)C1 ZINC001343429953 1074324356 /nfs/dbraw/zinc/32/43/56/1074324356.db2.gz VHGNPOIKECDESD-QGZVFWFLSA-N 0 0 444.602 -0.546 20 0 IBADRN Cc1cc(NC(=O)CSCC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)no1 ZINC001343450939 1074324458 /nfs/dbraw/zinc/32/44/58/1074324458.db2.gz ISZMWQLDXHILKL-UHFFFAOYSA-N 0 0 437.482 -0.856 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001343452406 1074324452 /nfs/dbraw/zinc/32/44/52/1074324452.db2.gz QRZQKYJOWAISRP-DLBZAZTESA-N 0 0 445.563 -0.958 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001343452408 1074324500 /nfs/dbraw/zinc/32/45/00/1074324500.db2.gz QRZQKYJOWAISRP-IAGOWNOFSA-N 0 0 445.563 -0.958 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001343452411 1074324510 /nfs/dbraw/zinc/32/45/10/1074324510.db2.gz QRZQKYJOWAISRP-IRXDYDNUSA-N 0 0 445.563 -0.958 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001343452413 1074324404 /nfs/dbraw/zinc/32/44/04/1074324404.db2.gz QRZQKYJOWAISRP-SJORKVTESA-N 0 0 445.563 -0.958 20 0 IBADRN COc1c(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cnn1-c1ccccc1 ZINC001343452494 1074324395 /nfs/dbraw/zinc/32/43/95/1074324395.db2.gz SNPPYCYOLSMMKF-UHFFFAOYSA-N 0 0 425.449 -0.017 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001343453682 1074324432 /nfs/dbraw/zinc/32/44/32/1074324432.db2.gz YYVVLVPFKAZVMJ-KBPBESRZSA-N 0 0 428.536 -0.886 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001343453683 1074324484 /nfs/dbraw/zinc/32/44/84/1074324484.db2.gz YYVVLVPFKAZVMJ-KGLIPLIRSA-N 0 0 428.536 -0.886 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001343453684 1074324410 /nfs/dbraw/zinc/32/44/10/1074324410.db2.gz YYVVLVPFKAZVMJ-UONOGXRCSA-N 0 0 428.536 -0.886 20 0 IBADRN O=C(Cc1csc(N2CCCC2=O)n1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001343453685 1074324503 /nfs/dbraw/zinc/32/45/03/1074324503.db2.gz YYVVLVPFKAZVMJ-ZIAGYGMSSA-N 0 0 428.536 -0.886 20 0 IBADRN Cn1cc(C(=O)CCC(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)cn1 ZINC001343469032 1074324705 /nfs/dbraw/zinc/32/47/05/1074324705.db2.gz FTASDIHDBJBNIS-UHFFFAOYSA-N 0 0 430.465 -0.522 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)OCCN1CCN(C(=O)c3ccc(=O)n(C)n3)CC1)CC2 ZINC001343476674 1074324771 /nfs/dbraw/zinc/32/47/71/1074324771.db2.gz GTBGSGTUPUTZEN-AWEZNQCLSA-N 0 0 429.481 -0.985 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)OCCN1CCN(C(=O)c3ccc(=O)n(C)n3)CC1)CC2 ZINC001343476677 1074324812 /nfs/dbraw/zinc/32/48/12/1074324812.db2.gz GTBGSGTUPUTZEN-CQSZACIVSA-N 0 0 429.481 -0.985 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001343525596 1074324803 /nfs/dbraw/zinc/32/48/03/1074324803.db2.gz GJUMUJLPTDIIDV-DZGCQCFKSA-N 0 0 433.552 -0.249 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001343525598 1074324719 /nfs/dbraw/zinc/32/47/19/1074324719.db2.gz GJUMUJLPTDIIDV-HIFRSBDPSA-N 0 0 433.552 -0.249 20 0 IBADRN CCN(C)C(=O)[C@@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001343525600 1074324853 /nfs/dbraw/zinc/32/48/53/1074324853.db2.gz GJUMUJLPTDIIDV-UKRRQHHQSA-N 0 0 433.552 -0.249 20 0 IBADRN CCN(C)C(=O)[C@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001343525602 1074324911 /nfs/dbraw/zinc/32/49/11/1074324911.db2.gz GJUMUJLPTDIIDV-ZFWWWQNUSA-N 0 0 433.552 -0.249 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC(C(=O)NCCCO)CC2)cc1OC ZINC001343533270 1074324759 /nfs/dbraw/zinc/32/47/59/1074324759.db2.gz COGBWOOBACYUHX-UHFFFAOYSA-N 0 0 443.522 -0.281 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2CCc3nnn(C)c3C2)CCN1C(=O)[C@H]1CCc2nnn(C)c2C1 ZINC001343533644 1074324782 /nfs/dbraw/zinc/32/47/82/1074324782.db2.gz XMJMXDVVDGXFCL-KKUMJFAQSA-N 0 0 426.525 -0.087 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CCc3nnn(C)c3C2)CCN1C(=O)[C@@H]1CCc2nnn(C)c2C1 ZINC001343533646 1074324917 /nfs/dbraw/zinc/32/49/17/1074324917.db2.gz XMJMXDVVDGXFCL-RRFJBIMHSA-N 0 0 426.525 -0.087 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2CCc3nnn(C)c3C2)CCN1C(=O)[C@@H]1CCc2nnn(C)c2C1 ZINC001343533648 1074324861 /nfs/dbraw/zinc/32/48/61/1074324861.db2.gz XMJMXDVVDGXFCL-SOUVJXGZSA-N 0 0 426.525 -0.087 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CCc3nnn(C)c3C2)CCN1C(=O)[C@H]1CCc2nnn(C)c2C1 ZINC001343533650 1074324869 /nfs/dbraw/zinc/32/48/69/1074324869.db2.gz XMJMXDVVDGXFCL-ZNMIVQPWSA-N 0 0 426.525 -0.087 20 0 IBADRN CS(=O)(=O)N(CCN1C(=O)NC2(CCS(=O)(=O)CC2)C1=O)Cc1ccccc1 ZINC001343545732 1074324889 /nfs/dbraw/zinc/32/48/89/1074324889.db2.gz YHEQKDCHAKYWLM-UHFFFAOYSA-N 0 0 429.520 -0.053 20 0 IBADRN C[C@@H](C(=O)NCCC1N=NC(=S)N1C)[C@H](C)C(=O)NCCC1N=NC(=S)N1C ZINC001343547316 1074324731 /nfs/dbraw/zinc/32/47/31/1074324731.db2.gz JAYVMONNMAGSHE-AOOOYVTPSA-N 0 0 426.572 -0.189 20 0 IBADRN C[C@@H](C(=O)NCCC1N=NC(=S)N1C)[C@@H](C)C(=O)NCCC1N=NC(=S)N1C ZINC001343547333 1074324904 /nfs/dbraw/zinc/32/49/04/1074324904.db2.gz JAYVMONNMAGSHE-NXEZZACHSA-N 0 0 426.572 -0.189 20 0 IBADRN C[C@H](C(=O)NCCC1N=NC(=S)N1C)[C@H](C)C(=O)NCCC1N=NC(=S)N1C ZINC001343547336 1074324795 /nfs/dbraw/zinc/32/47/95/1074324795.db2.gz JAYVMONNMAGSHE-UWVGGRQHSA-N 0 0 426.572 -0.189 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)NCc2nnc3n2CCOC3)CC1 ZINC001343548797 1074324825 /nfs/dbraw/zinc/32/48/25/1074324825.db2.gz WSSAUNYJTVXCBJ-UHFFFAOYSA-N 0 0 449.537 -0.256 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)CNS(=O)(=O)c2ccccc2)CC1 ZINC001343551819 1074324836 /nfs/dbraw/zinc/32/48/36/1074324836.db2.gz FJKRNFZRDXFVGC-UHFFFAOYSA-N 0 0 432.520 -0.066 20 0 IBADRN CC(C)[C@H](NCc1nccn1S(=O)(=O)N(C)C)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001343551826 1074324879 /nfs/dbraw/zinc/32/48/79/1074324879.db2.gz FOVOCVATWQVMRP-KRWDZBQOSA-N 0 0 438.558 -0.152 20 0 IBADRN CC(C)[C@@H](NCc1nccn1S(=O)(=O)N(C)C)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001343551827 1074324898 /nfs/dbraw/zinc/32/48/98/1074324898.db2.gz FOVOCVATWQVMRP-QGZVFWFLSA-N 0 0 438.558 -0.152 20 0 IBADRN O=C(Cn1nc(C(=O)N2CCOCC(F)(F)C2)ccc1=O)N1CCOCC(F)(F)C1 ZINC001343562272 1074325234 /nfs/dbraw/zinc/32/52/34/1074325234.db2.gz GYCSDHXCPGBZBW-UHFFFAOYSA-N 0 0 436.362 -0.155 20 0 IBADRN COCC(=O)N1CCN(c2nc3c(nc2N2CCN(C(=O)COC)CC2)CCCC3)CC1 ZINC001343581379 1074325253 /nfs/dbraw/zinc/32/52/53/1074325253.db2.gz LRJBFRSZYFITJF-UHFFFAOYSA-N 0 0 446.552 -0.055 20 0 IBADRN C[C@H](O)C(=O)N1CCN(c2nc3c(nc2N2CCN(C(=O)[C@H](C)O)CC2)CCCC3)CC1 ZINC001343588041 1074325258 /nfs/dbraw/zinc/32/52/58/1074325258.db2.gz LQORTTCZVSCDKN-HOTGVXAUSA-N 0 0 446.552 -0.586 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc3c(nc2N2CCN(C(=O)[C@@H](C)O)CC2)CCCC3)CC1 ZINC001343588042 1074325243 /nfs/dbraw/zinc/32/52/43/1074325243.db2.gz LQORTTCZVSCDKN-HZPDHXFCSA-N 0 0 446.552 -0.586 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(c2nc3c(nc2N2CCN(C(=O)[C@H](C)O)CC2)CCCC3)CC1 ZINC001343588043 1074325210 /nfs/dbraw/zinc/32/52/10/1074325210.db2.gz LQORTTCZVSCDKN-IYBDPMFKSA-N 0 0 446.552 -0.586 20 0 IBADRN COC(=O)CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C)cc1 ZINC001343615686 1074325178 /nfs/dbraw/zinc/32/51/78/1074325178.db2.gz YDBDTWBTEJQTGL-AWEZNQCLSA-N 0 0 432.520 -0.148 20 0 IBADRN COC(=O)CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(C)cc1 ZINC001343615691 1074325268 /nfs/dbraw/zinc/32/52/68/1074325268.db2.gz YDBDTWBTEJQTGL-CQSZACIVSA-N 0 0 432.520 -0.148 20 0 IBADRN CCN(C1CCN(c2ccc(S(N)(=O)=O)cc2S(C)(=O)=O)CC1)S(C)(=O)=O ZINC001343618487 1074325194 /nfs/dbraw/zinc/32/51/94/1074325194.db2.gz QLDLJFIIZVMFFA-UHFFFAOYSA-N 0 0 439.581 -0.012 20 0 IBADRN CC1(C)OCC(CO)(NCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CO1 ZINC001343645953 1074325133 /nfs/dbraw/zinc/32/51/33/1074325133.db2.gz BHNHUZPIVPPMLQ-UHFFFAOYSA-N 0 0 427.523 -0.377 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC001343646465 1074325229 /nfs/dbraw/zinc/32/52/29/1074325229.db2.gz HGCQSRBTQCCRGJ-AWEZNQCLSA-N 0 0 431.536 -0.075 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC001343646467 1074325150 /nfs/dbraw/zinc/32/51/50/1074325150.db2.gz HGCQSRBTQCCRGJ-CQSZACIVSA-N 0 0 431.536 -0.075 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001343656468 1074325155 /nfs/dbraw/zinc/32/51/55/1074325155.db2.gz JXIYHWPOOQRQAV-DZGCQCFKSA-N 0 0 449.595 -0.269 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001343656470 1074325265 /nfs/dbraw/zinc/32/52/65/1074325265.db2.gz JXIYHWPOOQRQAV-HIFRSBDPSA-N 0 0 449.595 -0.269 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001343656474 1074325225 /nfs/dbraw/zinc/32/52/25/1074325225.db2.gz JXIYHWPOOQRQAV-UKRRQHHQSA-N 0 0 449.595 -0.269 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001343656477 1074325165 /nfs/dbraw/zinc/32/51/65/1074325165.db2.gz JXIYHWPOOQRQAV-ZFWWWQNUSA-N 0 0 449.595 -0.269 20 0 IBADRN C[C@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001343664672 1074325109 /nfs/dbraw/zinc/32/51/09/1074325109.db2.gz DNZCAPVIIUYLMT-RBZFPXEDSA-N 0 0 438.502 -0.092 20 0 IBADRN C[C@@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)OCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001343664673 1074325220 /nfs/dbraw/zinc/32/52/20/1074325220.db2.gz DNZCAPVIIUYLMT-RNODOKPDSA-N 0 0 438.502 -0.092 20 0 IBADRN C[C@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001343664674 1074325171 /nfs/dbraw/zinc/32/51/71/1074325171.db2.gz DNZCAPVIIUYLMT-XCLFUZPHSA-N 0 0 438.502 -0.092 20 0 IBADRN C[C@@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)OCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001343664675 1074325187 /nfs/dbraw/zinc/32/51/87/1074325187.db2.gz DNZCAPVIIUYLMT-ZUOKHONESA-N 0 0 438.502 -0.092 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H](C)S(=O)(=O)CC2)s1 ZINC001343690532 1074325123 /nfs/dbraw/zinc/32/51/23/1074325123.db2.gz HOBPAMFEAWYWCM-LLVKDONJSA-N 0 0 437.565 -0.302 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H](C)S(=O)(=O)CC2)s1 ZINC001343690533 1074325599 /nfs/dbraw/zinc/32/55/99/1074325599.db2.gz HOBPAMFEAWYWCM-NSHDSACASA-N 0 0 437.565 -0.302 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCS(=O)(=O)C1 ZINC001343721885 1074325609 /nfs/dbraw/zinc/32/56/09/1074325609.db2.gz CQCVVFFNKFILAY-CYBMUJFWSA-N 0 0 431.536 -0.323 20 0 IBADRN C[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCS(=O)(=O)C1 ZINC001343721886 1074325549 /nfs/dbraw/zinc/32/55/49/1074325549.db2.gz CQCVVFFNKFILAY-ZDUSSCGKSA-N 0 0 431.536 -0.323 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCS(=O)(=O)C1 ZINC001343723418 1074325644 /nfs/dbraw/zinc/32/56/44/1074325644.db2.gz XADVCMAAQHQMMO-FXUDXRNXSA-N 0 0 434.580 -0.011 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCS(=O)(=O)C1 ZINC001343723419 1074325657 /nfs/dbraw/zinc/32/56/57/1074325657.db2.gz XADVCMAAQHQMMO-KLHDSHLOSA-N 0 0 434.580 -0.011 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCS(=O)(=O)C1 ZINC001343723420 1074325705 /nfs/dbraw/zinc/32/57/05/1074325705.db2.gz XADVCMAAQHQMMO-LVQVYYBASA-N 0 0 434.580 -0.011 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCS(=O)(=O)C1 ZINC001343723421 1074325566 /nfs/dbraw/zinc/32/55/66/1074325566.db2.gz XADVCMAAQHQMMO-QKPAOTATSA-N 0 0 434.580 -0.011 20 0 IBADRN O=C(CN(CC(=O)NC1(CO)CCOCC1)c1ccccc1)NC1(CO)CCOCC1 ZINC001343726639 1074325480 /nfs/dbraw/zinc/32/54/80/1074325480.db2.gz SCXXUXUOSMCDLC-UHFFFAOYSA-N 0 0 435.521 -0.192 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cn1 ZINC001343732641 1074325452 /nfs/dbraw/zinc/32/54/52/1074325452.db2.gz JGHWTHHIVBBFRZ-JRJBARMQSA-N 0 0 441.535 -0.782 20 0 IBADRN Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cn1 ZINC001343732646 1074325463 /nfs/dbraw/zinc/32/54/63/1074325463.db2.gz JGHWTHHIVBBFRZ-OANHIGOFSA-N 0 0 441.535 -0.782 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)C2=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC2)cn1 ZINC001343732650 1074325554 /nfs/dbraw/zinc/32/55/54/1074325554.db2.gz JGHWTHHIVBBFRZ-XLGKRILJSA-N 0 0 441.535 -0.782 20 0 IBADRN Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)C2=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC2)cn1 ZINC001343732654 1074325507 /nfs/dbraw/zinc/32/55/07/1074325507.db2.gz JGHWTHHIVBBFRZ-YCYNLCBSSA-N 0 0 441.535 -0.782 20 0 IBADRN COc1ccc(CC(=O)N[C@@H](CO)COC(C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001343735297 1074325472 /nfs/dbraw/zinc/32/54/72/1074325472.db2.gz ZHQNPKSVCZOLMX-HNNXBMFYSA-N 0 0 430.479 -0.701 20 0 IBADRN COc1ccc(CC(=O)N[C@H](CO)COC(C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001343735298 1074325518 /nfs/dbraw/zinc/32/55/18/1074325518.db2.gz ZHQNPKSVCZOLMX-OAHLLOKOSA-N 0 0 430.479 -0.701 20 0 IBADRN COCCn1c(-c2ccc(S(C)(=O)=O)cc2)nnc1N(C)CCNS(C)(=O)=O ZINC001343762281 1074326024 /nfs/dbraw/zinc/32/60/24/1074326024.db2.gz BNPOBBZNXJBDQK-UHFFFAOYSA-N 0 0 431.540 -0.020 20 0 IBADRN COCCn1c(-c2ccco2)nnc1N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC001343763713 1074326033 /nfs/dbraw/zinc/32/60/33/1074326033.db2.gz JGUNHDXUPOCEAM-UHFFFAOYSA-N 0 0 426.499 -0.117 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@H]2CCS(=O)(=O)C2)s1 ZINC001343782540 1074326056 /nfs/dbraw/zinc/32/60/56/1074326056.db2.gz GRAHUOQQVZTEAN-LLVKDONJSA-N 0 0 430.595 -0.057 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)NC[C@@H]2CCS(=O)(=O)C2)s1 ZINC001343782541 1074325978 /nfs/dbraw/zinc/32/59/78/1074325978.db2.gz GRAHUOQQVZTEAN-NSHDSACASA-N 0 0 430.595 -0.057 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC001343790116 1074325935 /nfs/dbraw/zinc/32/59/35/1074325935.db2.gz NCGHIZVHVYYGTM-UHFFFAOYSA-N 0 0 446.551 -0.079 20 0 IBADRN CCCCN(C(=O)COC(=O)C1(S(C)(=O)=O)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001343796427 1074325985 /nfs/dbraw/zinc/32/59/85/1074325985.db2.gz ILKREPDSRHVOGU-AWEZNQCLSA-N 0 0 439.552 -0.061 20 0 IBADRN CCCCN(C(=O)COC(=O)C1(S(C)(=O)=O)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001343796429 1074325942 /nfs/dbraw/zinc/32/59/42/1074325942.db2.gz ILKREPDSRHVOGU-CQSZACIVSA-N 0 0 439.552 -0.061 20 0 IBADRN CC(=O)N1CCC(N(CC(=O)c2c(N)n(C)c(=O)n(C)c2=O)Cc2cncs2)CC1 ZINC001343797114 1074325968 /nfs/dbraw/zinc/32/59/68/1074325968.db2.gz OFRGHMGJHJKWIX-UHFFFAOYSA-N 0 0 434.522 -0.182 20 0 IBADRN CC(C)N(CCCNC(=O)COC(=O)C1(S(C)(=O)=O)CCOCC1)S(C)(=O)=O ZINC001343797679 1074325972 /nfs/dbraw/zinc/32/59/72/1074325972.db2.gz SWWVYNBXKBPEJK-UHFFFAOYSA-N 0 0 442.556 -0.700 20 0 IBADRN Cn1nc(Br)c2c1ncn(Cc1nc(CS(=O)(=O)NC3CC3)no1)c2=O ZINC001343855654 1074325954 /nfs/dbraw/zinc/32/59/54/1074325954.db2.gz ARFYGTUVURWBJY-UHFFFAOYSA-N 0 0 444.271 -0.094 20 0 IBADRN O=C(Cc1noc(CCNS(=O)(=O)c2ccccc2F)n1)N1CCS(=O)(=O)CC1 ZINC001343855736 1074325950 /nfs/dbraw/zinc/32/59/50/1074325950.db2.gz GVDGESAHZZZCIS-UHFFFAOYSA-N 0 0 446.482 -0.471 20 0 IBADRN O=C(Cc1noc(CCNS(=O)(=O)c2ccccc2)n1)N1CCS(=O)(=O)CC1 ZINC001343855751 1074325947 /nfs/dbraw/zinc/32/59/47/1074325947.db2.gz HUQQHLGRDQMECZ-UHFFFAOYSA-N 0 0 428.492 -0.610 20 0 IBADRN CNS(=O)(=O)c1ccc(Cc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001343855792 1074325903 /nfs/dbraw/zinc/32/59/03/1074325903.db2.gz JSUGTGAQECAVMQ-UHFFFAOYSA-N 0 0 428.492 -0.632 20 0 IBADRN CS(=O)(=O)NCCc1ccc(-c2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)s1 ZINC001343855839 1074325988 /nfs/dbraw/zinc/32/59/88/1074325988.db2.gz MUNZCDUQFRLBHH-UHFFFAOYSA-N 0 0 448.548 -0.311 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1C[C@H]2CCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]21 ZINC001343860348 1074325912 /nfs/dbraw/zinc/32/59/12/1074325912.db2.gz LCYZDZAPZDBISL-DHMVHTBWSA-N 0 0 426.539 -0.793 20 0 IBADRN CN1CCC2(CCN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2)C1=O ZINC001343865521 1074326046 /nfs/dbraw/zinc/32/60/46/1074326046.db2.gz LRLCXDFYYNFESR-UHFFFAOYSA-N 0 0 434.518 -0.108 20 0 IBADRN C[C@@H](CS(C)(=O)=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1 ZINC001343904412 1074326064 /nfs/dbraw/zinc/32/60/64/1074326064.db2.gz BXDQAXHTTQVETN-KBPBESRZSA-N 0 0 436.556 -0.252 20 0 IBADRN C[C@H](CS(C)(=O)=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1 ZINC001343904413 1074326399 /nfs/dbraw/zinc/32/63/99/1074326399.db2.gz BXDQAXHTTQVETN-KGLIPLIRSA-N 0 0 436.556 -0.252 20 0 IBADRN C[C@@H](CS(C)(=O)=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1 ZINC001343904414 1074326329 /nfs/dbraw/zinc/32/63/29/1074326329.db2.gz BXDQAXHTTQVETN-UONOGXRCSA-N 0 0 436.556 -0.252 20 0 IBADRN C[C@H](CS(C)(=O)=O)CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1 ZINC001343904415 1074326302 /nfs/dbraw/zinc/32/63/02/1074326302.db2.gz BXDQAXHTTQVETN-ZIAGYGMSSA-N 0 0 436.556 -0.252 20 0 IBADRN CCN(C(=O)CS(=O)(=O)c1nncn1CCc1ccccn1)[C@H]1CCS(=O)(=O)C1 ZINC001343904820 1074326271 /nfs/dbraw/zinc/32/62/71/1074326271.db2.gz JHVWTGOZJRBGQV-HNNXBMFYSA-N 0 0 441.535 -0.275 20 0 IBADRN CCN(C(=O)CS(=O)(=O)c1nncn1CCc1ccccn1)[C@@H]1CCS(=O)(=O)C1 ZINC001343904821 1074326281 /nfs/dbraw/zinc/32/62/81/1074326281.db2.gz JHVWTGOZJRBGQV-OAHLLOKOSA-N 0 0 441.535 -0.275 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1)NC[C@H]1CCCO1 ZINC001343904889 1074326364 /nfs/dbraw/zinc/32/63/64/1074326364.db2.gz KXACMCIRYGQZLP-CABCVRRESA-N 0 0 443.526 -0.637 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1)NC[C@@H]1CCCO1 ZINC001343904895 1074326393 /nfs/dbraw/zinc/32/63/93/1074326393.db2.gz KXACMCIRYGQZLP-GJZGRUSLSA-N 0 0 443.526 -0.637 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1)NC[C@H]1CCCO1 ZINC001343904897 1074326342 /nfs/dbraw/zinc/32/63/42/1074326342.db2.gz KXACMCIRYGQZLP-HUUCEWRRSA-N 0 0 443.526 -0.637 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1)NC[C@@H]1CCCO1 ZINC001343904898 1074326312 /nfs/dbraw/zinc/32/63/12/1074326312.db2.gz KXACMCIRYGQZLP-LSDHHAIUSA-N 0 0 443.526 -0.637 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@H]1CCCO1)NCC(F)(F)F ZINC001343904994 1074326315 /nfs/dbraw/zinc/32/63/15/1074326315.db2.gz OCHICBKITUPZJZ-LLVKDONJSA-N 0 0 441.432 -0.254 20 0 IBADRN O=C(CS(=O)(=O)c1nnc(N2CCOCC2)n1C[C@@H]1CCCO1)NCC(F)(F)F ZINC001343904995 1074326370 /nfs/dbraw/zinc/32/63/70/1074326370.db2.gz OCHICBKITUPZJZ-NSHDSACASA-N 0 0 441.432 -0.254 20 0 IBADRN C[C@@H](NC(=O)c1cnn(C)c1I)C(=O)N1CCS(=O)(=O)CC1 ZINC001343909565 1074326333 /nfs/dbraw/zinc/32/63/33/1074326333.db2.gz DIXSTLQOQIITCD-MRVPVSSYSA-N 0 0 440.263 -0.600 20 0 IBADRN C[C@H](NC(=O)c1cnn(C)c1I)C(=O)N1CCS(=O)(=O)CC1 ZINC001343909583 1074326375 /nfs/dbraw/zinc/32/63/75/1074326375.db2.gz DIXSTLQOQIITCD-QMMMGPOBSA-N 0 0 440.263 -0.600 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC001343912806 1074326293 /nfs/dbraw/zinc/32/62/93/1074326293.db2.gz JCOJENAWZQVANV-HKUYNNGSSA-N 0 0 448.520 -0.186 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC001343912807 1074326390 /nfs/dbraw/zinc/32/63/90/1074326390.db2.gz JCOJENAWZQVANV-IEBWSBKVSA-N 0 0 448.520 -0.186 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC001343912808 1074326355 /nfs/dbraw/zinc/32/63/55/1074326355.db2.gz JCOJENAWZQVANV-MJGOQNOKSA-N 0 0 448.520 -0.186 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)C1 ZINC001343912809 1074326383 /nfs/dbraw/zinc/32/63/83/1074326383.db2.gz JCOJENAWZQVANV-PKOBYXMFSA-N 0 0 448.520 -0.186 20 0 IBADRN COCCO[C@H]1C[C@@H](CO)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC001343912810 1074326285 /nfs/dbraw/zinc/32/62/85/1074326285.db2.gz JDBKTQGKFPPEDN-CVEARBPZSA-N 0 0 445.538 -0.468 20 0 IBADRN COCCO[C@H]1C[C@H](CO)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC001343912811 1074326322 /nfs/dbraw/zinc/32/63/22/1074326322.db2.gz JDBKTQGKFPPEDN-HOTGVXAUSA-N 0 0 445.538 -0.468 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC001343912812 1074326347 /nfs/dbraw/zinc/32/63/47/1074326347.db2.gz JDBKTQGKFPPEDN-HZPDHXFCSA-N 0 0 445.538 -0.468 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C1 ZINC001343912813 1074326633 /nfs/dbraw/zinc/32/66/33/1074326633.db2.gz JDBKTQGKFPPEDN-JKSUJKDBSA-N 0 0 445.538 -0.468 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)C2(S(C)(=O)=O)CCOCC2)c1 ZINC001343926398 1074326625 /nfs/dbraw/zinc/32/66/25/1074326625.db2.gz HQTPXHUGRUAQSZ-UHFFFAOYSA-N 0 0 434.536 -0.179 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CC[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H]2C1 ZINC001343935723 1074326823 /nfs/dbraw/zinc/32/68/23/1074326823.db2.gz ZADYCJLJRHRZAA-DHMVHTBWSA-N 0 0 426.539 -0.793 20 0 IBADRN COC(=O)c1ccccc1N(C)C(=O)Cn1cnc2c(c(S(C)(=O)=O)nn2C)c1=O ZINC001343936355 1074326796 /nfs/dbraw/zinc/32/67/96/1074326796.db2.gz ALEPQTWJXXODDG-UHFFFAOYSA-N 0 0 433.446 -0.017 20 0 IBADRN C[C@@H](OC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001343936605 1074326754 /nfs/dbraw/zinc/32/67/54/1074326754.db2.gz GKDPZDCNQGTCGV-HTQZYQBOSA-N 0 0 431.418 -0.438 20 0 IBADRN C[C@H](OC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001343936607 1074326760 /nfs/dbraw/zinc/32/67/60/1074326760.db2.gz GKDPZDCNQGTCGV-JGVFFNPUSA-N 0 0 431.418 -0.438 20 0 IBADRN C[C@@H](OC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001343936608 1074326613 /nfs/dbraw/zinc/32/66/13/1074326613.db2.gz GKDPZDCNQGTCGV-SFYZADRCSA-N 0 0 431.418 -0.438 20 0 IBADRN C[C@H](OC(=O)CSc1nnnn1CC(F)(F)F)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001343936610 1074326815 /nfs/dbraw/zinc/32/68/15/1074326815.db2.gz GKDPZDCNQGTCGV-YUMQZZPRSA-N 0 0 431.418 -0.438 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)C1 ZINC001343937008 1074326667 /nfs/dbraw/zinc/32/66/67/1074326667.db2.gz JUGUUCTUHFINNY-LLVKDONJSA-N 0 0 425.467 -0.665 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)Cn2cnc3c(c(S(C)(=O)=O)nn3C)c2=O)C1 ZINC001343937010 1074326725 /nfs/dbraw/zinc/32/67/25/1074326725.db2.gz JUGUUCTUHFINNY-NSHDSACASA-N 0 0 425.467 -0.665 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)c2ccc3c(c2)NC(=O)CNC3=O)CC1 ZINC001343972904 1074326836 /nfs/dbraw/zinc/32/68/36/1074326836.db2.gz NIGMDCGXKCTRKG-UHFFFAOYSA-N 0 0 437.474 -0.049 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CCn2cnccc2=O)CC3)CC1 ZINC001343973591 1074326694 /nfs/dbraw/zinc/32/66/94/1074326694.db2.gz XTYCFUFSBKTDRC-INIZCTEOSA-N 0 0 427.509 -0.404 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CCn2cnccc2=O)CC3)CC1 ZINC001343973592 1074326804 /nfs/dbraw/zinc/32/68/04/1074326804.db2.gz XTYCFUFSBKTDRC-MRXNPFEDSA-N 0 0 427.509 -0.404 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)OCC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC001343981879 1074326713 /nfs/dbraw/zinc/32/67/13/1074326713.db2.gz OCQAISXVODICCP-UHFFFAOYSA-N 0 0 438.502 -0.381 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001343998538 1074327260 /nfs/dbraw/zinc/32/72/60/1074327260.db2.gz SOFOERAVHLOXJP-UHFFFAOYSA-N 0 0 434.540 -0.653 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC001344001415 1074327270 /nfs/dbraw/zinc/32/72/70/1074327270.db2.gz JVZWPLDAZUQANM-UHFFFAOYSA-N 0 0 446.551 -0.793 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)c1ccc(S(=O)(=O)N3CCOCC3)o1)C2 ZINC001344002395 1074327089 /nfs/dbraw/zinc/32/70/89/1074327089.db2.gz XSDMTXXQSUTJLI-UHFFFAOYSA-N 0 0 425.467 -0.263 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2nc3ncc(Br)cn3n2)CC1 ZINC001344032102 1074327207 /nfs/dbraw/zinc/32/72/07/1074327207.db2.gz OZSRLKORQDNLPH-UHFFFAOYSA-N 0 0 437.301 -0.230 20 0 IBADRN C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001344042399 1074327283 /nfs/dbraw/zinc/32/72/83/1074327283.db2.gz GPVULMQSHZJTRA-CYBMUJFWSA-N 0 0 429.520 -0.544 20 0 IBADRN C[C@H]1CCS(=O)(=O)CCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001344042400 1074327239 /nfs/dbraw/zinc/32/72/39/1074327239.db2.gz GPVULMQSHZJTRA-ZDUSSCGKSA-N 0 0 429.520 -0.544 20 0 IBADRN CC(C)n1ncnc1CS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001344048609 1074327274 /nfs/dbraw/zinc/32/72/74/1074327274.db2.gz LGDHGZLCNXOMBP-CABCVRRESA-N 0 0 448.567 -0.032 20 0 IBADRN CC(C)n1ncnc1CS(=O)(=O)CC(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001344048612 1074327098 /nfs/dbraw/zinc/32/70/98/1074327098.db2.gz LGDHGZLCNXOMBP-GJZGRUSLSA-N 0 0 448.567 -0.032 20 0 IBADRN CC(C)n1ncnc1CS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001344048616 1074327294 /nfs/dbraw/zinc/32/72/94/1074327294.db2.gz LGDHGZLCNXOMBP-HUUCEWRRSA-N 0 0 448.567 -0.032 20 0 IBADRN CC(C)n1ncnc1CS(=O)(=O)CC(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001344048620 1074327184 /nfs/dbraw/zinc/32/71/84/1074327184.db2.gz LGDHGZLCNXOMBP-LSDHHAIUSA-N 0 0 448.567 -0.032 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC[C@@H](CS(C)(=O)=O)C3)cc2S1(=O)=O ZINC001344086921 1074327127 /nfs/dbraw/zinc/32/71/27/1074327127.db2.gz KPSUQOLUSRJHTB-GFCCVEGCSA-N 0 0 430.504 -0.016 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CC[C@H](CS(C)(=O)=O)C3)cc2S1(=O)=O ZINC001344086923 1074327159 /nfs/dbraw/zinc/32/71/59/1074327159.db2.gz KPSUQOLUSRJHTB-LBPRGKRZSA-N 0 0 430.504 -0.016 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCOCC1)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001344089826 1074327068 /nfs/dbraw/zinc/32/70/68/1074327068.db2.gz KHNQVPVVNWRCHZ-INIZCTEOSA-N 0 0 428.492 -0.417 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCOCC1)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001344089827 1074327225 /nfs/dbraw/zinc/32/72/25/1074327225.db2.gz KHNQVPVVNWRCHZ-MRXNPFEDSA-N 0 0 428.492 -0.417 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CC[C@@]3(CNC(=O)C3)C2)c1C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC001344090195 1074327194 /nfs/dbraw/zinc/32/71/94/1074327194.db2.gz OSFPZAYQENGQPB-DHIUTWEWSA-N 0 0 441.532 -0.033 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CC[C@]3(CNC(=O)C3)C2)c1C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC001344090196 1074327145 /nfs/dbraw/zinc/32/71/45/1074327145.db2.gz OSFPZAYQENGQPB-GOTSBHOMSA-N 0 0 441.532 -0.033 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CC[C@@]3(CNC(=O)C3)C2)c1C(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC001344090197 1074327110 /nfs/dbraw/zinc/32/71/10/1074327110.db2.gz OSFPZAYQENGQPB-PKTZIBPZSA-N 0 0 441.532 -0.033 20 0 IBADRN Cc1cn(C)c(CC(=O)N2CC[C@]3(CNC(=O)C3)C2)c1C(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC001344090198 1074327137 /nfs/dbraw/zinc/32/71/37/1074327137.db2.gz OSFPZAYQENGQPB-XZOQPEGZSA-N 0 0 441.532 -0.033 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)sc1Br ZINC001344099098 1074327079 /nfs/dbraw/zinc/32/70/79/1074327079.db2.gz QOHOSPMLJGBASQ-GFCCVEGCSA-N 0 0 437.297 -0.028 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)sc1Br ZINC001344099099 1074327172 /nfs/dbraw/zinc/32/71/72/1074327172.db2.gz QOHOSPMLJGBASQ-LBPRGKRZSA-N 0 0 437.297 -0.028 20 0 IBADRN O=C(Cn1ncn2nccc2c1=O)NC[C@H]1CC[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001344324515 1074327484 /nfs/dbraw/zinc/32/74/84/1074327484.db2.gz IMJMZVBMLUBGJK-IABQLKSGSA-N 0 0 426.477 -0.285 20 0 IBADRN CN(C)C(=O)[C@H]1CN(C(=O)CCc2cncn2C)CCN1C(=O)CCc1cncn1C ZINC001344391131 1074327512 /nfs/dbraw/zinc/32/75/12/1074327512.db2.gz CYBGYCXKBRVLGH-GOSISDBHSA-N 0 0 429.525 -0.153 20 0 IBADRN CN(C)C(=O)[C@@H]1CN(C(=O)CCc2cncn2C)CCN1C(=O)CCc1cncn1C ZINC001344391132 1074327536 /nfs/dbraw/zinc/32/75/36/1074327536.db2.gz CYBGYCXKBRVLGH-SFHVURJKSA-N 0 0 429.525 -0.153 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)Cn2nc(CO)c(=O)cc2C)CC1 ZINC001344416438 1074327588 /nfs/dbraw/zinc/32/75/88/1074327588.db2.gz SMYFSNSBUVMSPO-UHFFFAOYSA-N 0 0 425.467 -0.818 20 0 IBADRN CN1C[C@@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC1=O ZINC001344439828 1074327576 /nfs/dbraw/zinc/32/75/76/1074327576.db2.gz VTZPSNKIAOGCMI-DZGCQCFKSA-N 0 0 432.520 -0.068 20 0 IBADRN CN1C[C@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC1=O ZINC001344439831 1074327474 /nfs/dbraw/zinc/32/74/74/1074327474.db2.gz VTZPSNKIAOGCMI-HIFRSBDPSA-N 0 0 432.520 -0.068 20 0 IBADRN CN1C[C@H](OC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC1=O ZINC001344439833 1074327518 /nfs/dbraw/zinc/32/75/18/1074327518.db2.gz VTZPSNKIAOGCMI-UKRRQHHQSA-N 0 0 432.520 -0.068 20 0 IBADRN CN1C[C@@H](OC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC1=O ZINC001344439835 1074327506 /nfs/dbraw/zinc/32/75/06/1074327506.db2.gz VTZPSNKIAOGCMI-ZFWWWQNUSA-N 0 0 432.520 -0.068 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN([C@@H]3CCS(=O)(=O)C3)CC1)OCC(=O)N2 ZINC001344453590 1074327522 /nfs/dbraw/zinc/32/75/22/1074327522.db2.gz USGVXCRWDNJPII-GFCCVEGCSA-N 0 0 445.519 -0.481 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN([C@H]3CCS(=O)(=O)C3)CC1)OCC(=O)N2 ZINC001344453591 1074327584 /nfs/dbraw/zinc/32/75/84/1074327584.db2.gz USGVXCRWDNJPII-LBPRGKRZSA-N 0 0 445.519 -0.481 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)NC1CCN(S(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001344463662 1074327501 /nfs/dbraw/zinc/32/75/01/1074327501.db2.gz ARWLGZJHZIZEDI-GOSISDBHSA-N 0 0 445.563 -0.018 20 0 IBADRN CC(=O)N[C@](C)(C(=O)NC1CCN(S(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001344463663 1074327597 /nfs/dbraw/zinc/32/75/97/1074327597.db2.gz ARWLGZJHZIZEDI-SFHVURJKSA-N 0 0 445.563 -0.018 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)CN1CCC(=O)NC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001344476188 1074327565 /nfs/dbraw/zinc/32/75/65/1074327565.db2.gz JBLIWZXHOXPUNR-GOSISDBHSA-N 0 0 425.463 -0.074 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)CN1CCC(=O)NC1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001344476189 1074327539 /nfs/dbraw/zinc/32/75/39/1074327539.db2.gz JBLIWZXHOXPUNR-SFHVURJKSA-N 0 0 425.463 -0.074 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C(=O)COC(=O)C3(CO)COC3)CC2)cc1 ZINC001344478748 1074327492 /nfs/dbraw/zinc/32/74/92/1074327492.db2.gz MOGWAMPHKKNZNZ-UHFFFAOYSA-N 0 0 442.490 -0.530 20 0 IBADRN O=C(COC(=O)C1(CO)COC1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001344479401 1074327569 /nfs/dbraw/zinc/32/75/69/1074327569.db2.gz SORMUKYESSNXEH-UHFFFAOYSA-N 0 0 448.881 -0.149 20 0 IBADRN Nc1nc(=O)[nH]cc1N1CCN(C(=O)CCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC001344487277 1074327974 /nfs/dbraw/zinc/32/79/74/1074327974.db2.gz KAYNDDUHHWCJOR-UHFFFAOYSA-N 0 0 440.508 -0.063 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3)CC2)CC1 ZINC001344498896 1074327898 /nfs/dbraw/zinc/32/78/98/1074327898.db2.gz AUPYJHRIIDLQOP-UHFFFAOYSA-N 0 0 445.567 -0.473 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCC(N3CCNC3=O)CC2)c(S(N)(=O)=O)c1 ZINC001344499691 1074327908 /nfs/dbraw/zinc/32/79/08/1074327908.db2.gz PBRSHRYNMMXUAM-UHFFFAOYSA-N 0 0 431.540 -0.422 20 0 IBADRN O=C(CC1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1)N1CCCC1 ZINC001344500471 1074327994 /nfs/dbraw/zinc/32/79/94/1074327994.db2.gz XJTMFPZEDWOBDO-HAXDFEGKSA-N 0 0 446.508 -0.333 20 0 IBADRN C[S@@](=O)c1ccc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001344501250 1074328005 /nfs/dbraw/zinc/32/80/05/1074328005.db2.gz UAIHPTHWGOWZFG-DEXQXJORSA-N 0 0 441.575 -0.586 20 0 IBADRN C[S@](=O)c1ccc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001344501252 1074327941 /nfs/dbraw/zinc/32/79/41/1074327941.db2.gz UAIHPTHWGOWZFG-HJWYETAXSA-N 0 0 441.575 -0.586 20 0 IBADRN C[S@](=O)c1ccc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001344501254 1074327968 /nfs/dbraw/zinc/32/79/68/1074327968.db2.gz UAIHPTHWGOWZFG-OLRZCDJHSA-N 0 0 441.575 -0.586 20 0 IBADRN C[S@@](=O)c1ccc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001344501256 1074327964 /nfs/dbraw/zinc/32/79/64/1074327964.db2.gz UAIHPTHWGOWZFG-WVDZOPJMSA-N 0 0 441.575 -0.586 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1 ZINC001344523894 1074327922 /nfs/dbraw/zinc/32/79/22/1074327922.db2.gz NAJFMPWFCKRFLZ-UHFFFAOYSA-N 0 0 433.552 -0.205 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)N1CCN(CCS(N)(=O)=O)CC1)C(F)(F)F ZINC001344577856 1074327957 /nfs/dbraw/zinc/32/79/57/1074327957.db2.gz AGRUTJKEYZILCD-AWEZNQCLSA-N 0 0 442.464 -0.824 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)N1CCN(CCS(N)(=O)=O)CC1)C(F)(F)F ZINC001344577892 1074327842 /nfs/dbraw/zinc/32/78/42/1074327842.db2.gz AGRUTJKEYZILCD-CQSZACIVSA-N 0 0 442.464 -0.824 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)NC2(C(=O)OC)CCS(=O)(=O)CC2)CC1 ZINC001344578194 1074327818 /nfs/dbraw/zinc/32/78/18/1074327818.db2.gz BPHCUDYARQQXJH-UHFFFAOYSA-N 0 0 426.513 -0.784 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc(OC)c(C(=O)N(C)C)c2)CCS(=O)(=O)CC1 ZINC001344578357 1074327999 /nfs/dbraw/zinc/32/79/99/1074327999.db2.gz DESKBOZFKOFYGD-UHFFFAOYSA-N 0 0 448.519 -0.204 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccccc2Cn2cncn2)CCS(=O)(=O)CC1 ZINC001344580450 1074327857 /nfs/dbraw/zinc/32/78/57/1074327857.db2.gz OWOGZFLLBVMFTG-UHFFFAOYSA-N 0 0 428.492 -0.275 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)CCS(=O)(=O)CC1 ZINC001344581403 1074327986 /nfs/dbraw/zinc/32/79/86/1074327986.db2.gz WBLWDUYPXAOELJ-UHFFFAOYSA-N 0 0 442.515 -0.079 20 0 IBADRN CSC[C@@H](C)C(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CC1 ZINC001344581792 1074328313 /nfs/dbraw/zinc/32/83/13/1074328313.db2.gz VENGEUJGADDJPS-CYBMUJFWSA-N 0 0 444.583 -0.125 20 0 IBADRN CSC[C@H](C)C(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)c3nc[nH]n3)CC2)CC1 ZINC001344581793 1074328329 /nfs/dbraw/zinc/32/83/29/1074328329.db2.gz VENGEUJGADDJPS-ZDUSSCGKSA-N 0 0 444.583 -0.125 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCS(=O)(=O)Cc1cn(C)nn1 ZINC001344582095 1074328349 /nfs/dbraw/zinc/32/83/49/1074328349.db2.gz XSDZFDZAVHYBGM-UHFFFAOYSA-N 0 0 436.537 -0.546 20 0 IBADRN CN1C[C@@H](COC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001344605681 1074328305 /nfs/dbraw/zinc/32/83/05/1074328305.db2.gz ZHFVPBDRTZCNDL-DZGCQCFKSA-N 0 0 432.520 -0.210 20 0 IBADRN CN1C[C@H](COC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001344605682 1074328264 /nfs/dbraw/zinc/32/82/64/1074328264.db2.gz ZHFVPBDRTZCNDL-HIFRSBDPSA-N 0 0 432.520 -0.210 20 0 IBADRN CN1C[C@H](COC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001344605683 1074328232 /nfs/dbraw/zinc/32/82/32/1074328232.db2.gz ZHFVPBDRTZCNDL-UKRRQHHQSA-N 0 0 432.520 -0.210 20 0 IBADRN CN1C[C@@H](COC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001344605684 1074328365 /nfs/dbraw/zinc/32/83/65/1074328365.db2.gz ZHFVPBDRTZCNDL-ZFWWWQNUSA-N 0 0 432.520 -0.210 20 0 IBADRN COC(=O)C1(NCC(=O)N(C)CC(=O)Nc2cccc(OC)c2)CCS(=O)(=O)CC1 ZINC001344609180 1074328273 /nfs/dbraw/zinc/32/82/73/1074328273.db2.gz BCDPUWQVVFCAKF-UHFFFAOYSA-N 0 0 441.506 -0.198 20 0 IBADRN COC(=O)C1(NC(=O)c2cccc(S(=O)(=O)N(C)OC)c2)CCS(=O)(=O)CC1 ZINC001344609376 1074328287 /nfs/dbraw/zinc/32/82/87/1074328287.db2.gz DDFCLAAXDAHEBR-UHFFFAOYSA-N 0 0 434.492 -0.281 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)CCS(=O)(=O)CC1 ZINC001344611008 1074328396 /nfs/dbraw/zinc/32/83/96/1074328396.db2.gz NPVWWRLXODQLPN-FQEVSTJZSA-N 0 0 449.485 -0.384 20 0 IBADRN COC(=O)C1(NC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)CCS(=O)(=O)CC1 ZINC001344611039 1074328337 /nfs/dbraw/zinc/32/83/37/1074328337.db2.gz NPVWWRLXODQLPN-HXUWFJFHSA-N 0 0 449.485 -0.384 20 0 IBADRN COC(=O)C1(NCC(=O)N(CCC(N)=O)c2ccc(F)cc2)CCS(=O)(=O)CC1 ZINC001344612039 1074328250 /nfs/dbraw/zinc/32/82/50/1074328250.db2.gz STBYDKDZGWINLN-UHFFFAOYSA-N 0 0 429.470 -0.256 20 0 IBADRN COC(=O)C1(NCC(=O)N(CCC(N)=O)Cc2ccccc2)CCS(=O)(=O)CC1 ZINC001344612694 1074328357 /nfs/dbraw/zinc/32/83/57/1074328357.db2.gz XGZNWQFLINLANF-UHFFFAOYSA-N 0 0 425.507 -0.399 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCn4cnnc4C3)ccc2F)CC1 ZINC001344636347 1074328217 /nfs/dbraw/zinc/32/82/17/1074328217.db2.gz BMSDCLDCPJENEV-UHFFFAOYSA-N 0 0 436.469 -0.074 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N(C)CCNS(C)(=O)=O)c1 ZINC001344637058 1074328687 /nfs/dbraw/zinc/32/86/87/1074328687.db2.gz ISFWYQQNIMQCKP-UHFFFAOYSA-N 0 0 427.570 -0.113 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3nnc([C@H](C)NS(C)(=O)=O)n3C)CC2)cs1 ZINC001344640754 1074328660 /nfs/dbraw/zinc/32/86/60/1074328660.db2.gz IQHGFDVRCLQRJH-JTQLQIEISA-N 0 0 449.584 -0.299 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(c3nnc([C@@H](C)NS(C)(=O)=O)n3C)CC2)cs1 ZINC001344640782 1074328723 /nfs/dbraw/zinc/32/87/23/1074328723.db2.gz IQHGFDVRCLQRJH-SNVBAGLBSA-N 0 0 449.584 -0.299 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001344655216 1074328715 /nfs/dbraw/zinc/32/87/15/1074328715.db2.gz JPKNDEXEVPVSIY-HNNXBMFYSA-N 0 0 445.469 -0.668 20 0 IBADRN CC(=O)OC[C@@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001344655246 1074328703 /nfs/dbraw/zinc/32/87/03/1074328703.db2.gz JPKNDEXEVPVSIY-OAHLLOKOSA-N 0 0 445.469 -0.668 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC001344670631 1074328735 /nfs/dbraw/zinc/32/87/35/1074328735.db2.gz YOOBWITWDFZBSX-IJEWVQPXSA-N 0 0 426.491 -0.146 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC001344670633 1074328650 /nfs/dbraw/zinc/32/86/50/1074328650.db2.gz YOOBWITWDFZBSX-LZWOXQAQSA-N 0 0 426.491 -0.146 20 0 IBADRN CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001344707925 1074328751 /nfs/dbraw/zinc/32/87/51/1074328751.db2.gz VJAIXZRDFRIKHH-HNNXBMFYSA-N 0 0 426.543 -0.819 20 0 IBADRN CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001344707926 1074328739 /nfs/dbraw/zinc/32/87/39/1074328739.db2.gz VJAIXZRDFRIKHH-OAHLLOKOSA-N 0 0 426.543 -0.819 20 0 IBADRN COCCn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N(C)CCNS(C)(=O)=O ZINC001344718395 1074328609 /nfs/dbraw/zinc/32/86/09/1074328609.db2.gz PEBBWOCPIQWWDN-UHFFFAOYSA-N 0 0 431.540 -0.020 20 0 IBADRN COCCn1c(-c2n[nH]c(=O)c3ccccc32)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001344718399 1074328746 /nfs/dbraw/zinc/32/87/46/1074328746.db2.gz PERPLDQXGWNEOK-GFCCVEGCSA-N 0 0 438.448 -0.613 20 0 IBADRN COCCn1c(-c2n[nH]c(=O)c3ccccc32)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001344718400 1074328665 /nfs/dbraw/zinc/32/86/65/1074328665.db2.gz PERPLDQXGWNEOK-LBPRGKRZSA-N 0 0 438.448 -0.613 20 0 IBADRN COCCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)N2CCOCC2)CC1 ZINC001344718886 1074328638 /nfs/dbraw/zinc/32/86/38/1074328638.db2.gz UIZWPVXZWDRKOV-UHFFFAOYSA-N 0 0 435.529 -0.859 20 0 IBADRN O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001344719764 1074328680 /nfs/dbraw/zinc/32/86/80/1074328680.db2.gz YUWVXEGENXWCHC-GRDNDAEWSA-N 0 0 427.523 -0.164 20 0 IBADRN O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001344719776 1074328730 /nfs/dbraw/zinc/32/87/30/1074328730.db2.gz YUWVXEGENXWCHC-JJRVBVJISA-N 0 0 427.523 -0.164 20 0 IBADRN O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001344719778 1074328673 /nfs/dbraw/zinc/32/86/73/1074328673.db2.gz YUWVXEGENXWCHC-VBQJREDUSA-N 0 0 427.523 -0.164 20 0 IBADRN O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001344719780 1074328709 /nfs/dbraw/zinc/32/87/09/1074328709.db2.gz YUWVXEGENXWCHC-ZQIUZPCESA-N 0 0 427.523 -0.164 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CCCS(C)(=O)=O)CC3)CC1 ZINC001344743949 1074328980 /nfs/dbraw/zinc/32/89/80/1074328980.db2.gz KKOYEMOZRWKVMU-HNNXBMFYSA-N 0 0 425.555 -0.226 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CCCS(C)(=O)=O)CC3)CC1 ZINC001344743950 1074329095 /nfs/dbraw/zinc/32/90/95/1074329095.db2.gz KKOYEMOZRWKVMU-OAHLLOKOSA-N 0 0 425.555 -0.226 20 0 IBADRN O=C(OC[C@@H]1CN(c2ncccn2)CCO1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001344785953 1074329067 /nfs/dbraw/zinc/32/90/67/1074329067.db2.gz HTOYDTNJVVQTDT-KBPBESRZSA-N 0 0 437.478 -0.610 20 0 IBADRN O=C(OC[C@@H]1CN(c2ncccn2)CCO1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001344785989 1074329107 /nfs/dbraw/zinc/32/91/07/1074329107.db2.gz HTOYDTNJVVQTDT-KGLIPLIRSA-N 0 0 437.478 -0.610 20 0 IBADRN O=C(OC[C@H]1CN(c2ncccn2)CCO1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001344785994 1074329024 /nfs/dbraw/zinc/32/90/24/1074329024.db2.gz HTOYDTNJVVQTDT-UONOGXRCSA-N 0 0 437.478 -0.610 20 0 IBADRN O=C(OC[C@H]1CN(c2ncccn2)CCO1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001344785998 1074329071 /nfs/dbraw/zinc/32/90/71/1074329071.db2.gz HTOYDTNJVVQTDT-ZIAGYGMSSA-N 0 0 437.478 -0.610 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CC[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2C1 ZINC001345095430 1074329089 /nfs/dbraw/zinc/32/90/89/1074329089.db2.gz MYRBCAXEYFWPIL-BCHHIPDFSA-N 0 0 426.539 -0.887 20 0 IBADRN COc1cc2c(c(OC)c1)S(=O)(=O)N(CC(=O)NC1CCN(C(C)=O)CC1)C2=O ZINC001345173010 1074328937 /nfs/dbraw/zinc/32/89/37/1074328937.db2.gz GITHEMCGXWPMKZ-UHFFFAOYSA-N 0 0 425.463 -0.025 20 0 IBADRN COCCN(C(=O)CSc1nnc(C2CCOCC2)n1N)[C@@H]1CCS(=O)(=O)C1 ZINC001345173127 1074329113 /nfs/dbraw/zinc/32/91/13/1074329113.db2.gz HQOJWHCMMRVCOO-CYBMUJFWSA-N 0 0 433.556 -0.360 20 0 IBADRN COCCN(C(=O)CSc1nnc(C2CCOCC2)n1N)[C@H]1CCS(=O)(=O)C1 ZINC001345173129 1074328964 /nfs/dbraw/zinc/32/89/64/1074328964.db2.gz HQOJWHCMMRVCOO-ZDUSSCGKSA-N 0 0 433.556 -0.360 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2ncn(CC(=O)N3CCCCCC3)n2)CC1 ZINC001345391923 1074329075 /nfs/dbraw/zinc/32/90/75/1074329075.db2.gz WQFKFUVGEYUKFX-UHFFFAOYSA-N 0 0 427.531 -0.205 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)C3CCN(S(=O)(=O)NC)CC3)CC2)CC1 ZINC001345399246 1074329051 /nfs/dbraw/zinc/32/90/51/1074329051.db2.gz CEEQFJCPGIYDMU-UHFFFAOYSA-N 0 0 443.614 -0.045 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)c1 ZINC001345399554 1074329014 /nfs/dbraw/zinc/32/90/14/1074329014.db2.gz HTZSXGNYTOILBZ-DOMZBBRYSA-N 0 0 439.581 -0.019 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)c1 ZINC001345399555 1074329032 /nfs/dbraw/zinc/32/90/32/1074329032.db2.gz HTZSXGNYTOILBZ-IUODEOHRSA-N 0 0 439.581 -0.019 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)c1 ZINC001345399556 1074329006 /nfs/dbraw/zinc/32/90/06/1074329006.db2.gz HTZSXGNYTOILBZ-SWLSCSKDSA-N 0 0 439.581 -0.019 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)c1 ZINC001345399557 1074328953 /nfs/dbraw/zinc/32/89/53/1074328953.db2.gz HTZSXGNYTOILBZ-WFASDCNBSA-N 0 0 439.581 -0.019 20 0 IBADRN CN(C)C(=O)NCCN(C)C(=O)c1cc(S(=O)(=O)N(C)CCNC(=O)N(C)C)c[nH]1 ZINC001345401250 1074329498 /nfs/dbraw/zinc/32/94/98/1074329498.db2.gz WFRFXXFBGPKMMK-UHFFFAOYSA-N 0 0 445.546 -0.750 20 0 IBADRN Cn1c(COc2ccccc2)nnc1S(=O)(=O)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001345415435 1074329337 /nfs/dbraw/zinc/32/93/37/1074329337.db2.gz MVHLYCSOGVTONN-GFCCVEGCSA-N 0 0 428.492 -0.529 20 0 IBADRN Cn1c(COc2ccccc2)nnc1S(=O)(=O)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001345415436 1074329329 /nfs/dbraw/zinc/32/93/29/1074329329.db2.gz MVHLYCSOGVTONN-LBPRGKRZSA-N 0 0 428.492 -0.529 20 0 IBADRN CN1CC[C@@H](NC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H]2CCN(C)C2=O)C1=O ZINC001345425595 1074329298 /nfs/dbraw/zinc/32/92/98/1074329298.db2.gz AQXLRGYUOXGGRO-BFHYXJOUSA-N 0 0 439.513 -0.646 20 0 IBADRN CN1CC[C@H](NC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H]2CCN(C)C2=O)C1=O ZINC001345425596 1074329519 /nfs/dbraw/zinc/32/95/19/1074329519.db2.gz AQXLRGYUOXGGRO-IHRRRGAJSA-N 0 0 439.513 -0.646 20 0 IBADRN CN1CC[C@@H](NC(=O)[C@H](CCC(=O)N[C@H]2CCN(C)C2=O)NC(=O)OC(C)(C)C)C1=O ZINC001345425597 1074329343 /nfs/dbraw/zinc/32/93/43/1074329343.db2.gz AQXLRGYUOXGGRO-MELADBBJSA-N 0 0 439.513 -0.646 20 0 IBADRN CN1CC[C@@H](NC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H]2CCN(C)C2=O)C1=O ZINC001345425598 1074329407 /nfs/dbraw/zinc/32/94/07/1074329407.db2.gz AQXLRGYUOXGGRO-MJBXVCDLSA-N 0 0 439.513 -0.646 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCS(=O)(=O)c3ccccc31)C2 ZINC001345437696 1074329444 /nfs/dbraw/zinc/32/94/44/1074329444.db2.gz DYOOTKTYIQAXHJ-AWEZNQCLSA-N 0 0 433.490 -0.564 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCS(=O)(=O)c3ccccc31)C2 ZINC001345437697 1074329431 /nfs/dbraw/zinc/32/94/31/1074329431.db2.gz DYOOTKTYIQAXHJ-CQSZACIVSA-N 0 0 433.490 -0.564 20 0 IBADRN CCCS(=O)(=O)CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001345462909 1074329462 /nfs/dbraw/zinc/32/94/62/1074329462.db2.gz GXXKPXNTECFWFG-HNNXBMFYSA-N 0 0 425.555 -0.226 20 0 IBADRN CCCS(=O)(=O)CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C)CC3)c2C1 ZINC001345462912 1074329530 /nfs/dbraw/zinc/32/95/30/1074329530.db2.gz GXXKPXNTECFWFG-OAHLLOKOSA-N 0 0 425.555 -0.226 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)N(CC(F)F)C1CCN(S(C)(=O)=O)CC1 ZINC001345463859 1074329452 /nfs/dbraw/zinc/32/94/52/1074329452.db2.gz LHVVYKFZUSZOJL-UHFFFAOYSA-N 0 0 428.483 -0.196 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC[C@H]2CCn3ncc(C(=O)NC(C)C)c3C2)CC1 ZINC001345487700 1074329419 /nfs/dbraw/zinc/32/94/19/1074329419.db2.gz LZFSGEDESZDLOD-AWEZNQCLSA-N 0 0 440.570 -0.124 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC[C@@H]2CCn3ncc(C(=O)NC(C)C)c3C2)CC1 ZINC001345487708 1074329544 /nfs/dbraw/zinc/32/95/44/1074329544.db2.gz LZFSGEDESZDLOD-CQSZACIVSA-N 0 0 440.570 -0.124 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CC2SC(=N)NC2=O)CC3)CC1 ZINC001345488232 1074329741 /nfs/dbraw/zinc/32/97/41/1074329741.db2.gz PYFOJQJTUKNEEY-DOMZBBRYSA-N 0 0 433.538 -0.494 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CC2SC(=N)NC2=O)CC3)CC1 ZINC001345488233 1074329829 /nfs/dbraw/zinc/32/98/29/1074329829.db2.gz PYFOJQJTUKNEEY-IUODEOHRSA-N 0 0 433.538 -0.494 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CC2SC(=N)NC2=O)CC3)CC1 ZINC001345488234 1074329925 /nfs/dbraw/zinc/32/99/25/1074329925.db2.gz PYFOJQJTUKNEEY-SWLSCSKDSA-N 0 0 433.538 -0.494 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CC2SC(=N)NC2=O)CC3)CC1 ZINC001345488235 1074329938 /nfs/dbraw/zinc/32/99/38/1074329938.db2.gz PYFOJQJTUKNEEY-WFASDCNBSA-N 0 0 433.538 -0.494 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)C1CCN(S(N)(=O)=O)CC1)CC2 ZINC001345488960 1074329954 /nfs/dbraw/zinc/32/99/54/1074329954.db2.gz VKMFKQKMIVTZNG-CYBMUJFWSA-N 0 0 426.543 -0.385 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)C1CCN(S(N)(=O)=O)CC1)CC2 ZINC001345488961 1074329961 /nfs/dbraw/zinc/32/99/61/1074329961.db2.gz VKMFKQKMIVTZNG-ZDUSSCGKSA-N 0 0 426.543 -0.385 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCC2(O)CCS(=O)(=O)CC2)C1=O ZINC001345495569 1074329845 /nfs/dbraw/zinc/32/98/45/1074329845.db2.gz WDWHPUFAZOGLPK-KRWDZBQOSA-N 0 0 427.454 -0.351 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCC2(O)CCS(=O)(=O)CC2)C1=O ZINC001345495570 1074329859 /nfs/dbraw/zinc/32/98/59/1074329859.db2.gz WDWHPUFAZOGLPK-QGZVFWFLSA-N 0 0 427.454 -0.351 20 0 IBADRN CCN(C(=O)COC(=O)c1cnn2c1C[C@H](CNC(C)=O)CC2)[C@H]1CCS(=O)(=O)C1 ZINC001345519602 1074329949 /nfs/dbraw/zinc/32/99/49/1074329949.db2.gz VCZGGFQXAOYQBY-CABCVRRESA-N 0 0 440.522 -0.226 20 0 IBADRN CCN(C(=O)COC(=O)c1cnn2c1C[C@@H](CNC(C)=O)CC2)[C@H]1CCS(=O)(=O)C1 ZINC001345519604 1074329894 /nfs/dbraw/zinc/32/98/94/1074329894.db2.gz VCZGGFQXAOYQBY-GJZGRUSLSA-N 0 0 440.522 -0.226 20 0 IBADRN CCN(C(=O)COC(=O)c1cnn2c1C[C@H](CNC(C)=O)CC2)[C@@H]1CCS(=O)(=O)C1 ZINC001345519606 1074329918 /nfs/dbraw/zinc/32/99/18/1074329918.db2.gz VCZGGFQXAOYQBY-HUUCEWRRSA-N 0 0 440.522 -0.226 20 0 IBADRN CCN(C(=O)COC(=O)c1cnn2c1C[C@@H](CNC(C)=O)CC2)[C@@H]1CCS(=O)(=O)C1 ZINC001345519610 1074329820 /nfs/dbraw/zinc/32/98/20/1074329820.db2.gz VCZGGFQXAOYQBY-LSDHHAIUSA-N 0 0 440.522 -0.226 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)C1CCN(S(=O)(=O)NC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001345527107 1074329867 /nfs/dbraw/zinc/32/98/67/1074329867.db2.gz KRKNWFWVDMPSQW-GXTWGEPZSA-N 0 0 439.556 -0.870 20 0 IBADRN CCN(C(=O)[C@H](C)OC(=O)C1CCN(S(=O)(=O)NC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001345527108 1074329770 /nfs/dbraw/zinc/32/97/70/1074329770.db2.gz KRKNWFWVDMPSQW-JSGCOSHPSA-N 0 0 439.556 -0.870 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)C1CCN(S(=O)(=O)NC)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001345527109 1074329884 /nfs/dbraw/zinc/32/98/84/1074329884.db2.gz KRKNWFWVDMPSQW-OCCSQVGLSA-N 0 0 439.556 -0.870 20 0 IBADRN CCN(C(=O)[C@@H](C)OC(=O)C1CCN(S(=O)(=O)NC)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001345527110 1074329875 /nfs/dbraw/zinc/32/98/75/1074329875.db2.gz KRKNWFWVDMPSQW-TZMCWYRMSA-N 0 0 439.556 -0.870 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)OCC(=O)Nc2cccc(S(C)(=O)=O)c2)CC1 ZINC001345527156 1074329967 /nfs/dbraw/zinc/32/99/67/1074329967.db2.gz LEJIQJCSDZMDGI-UHFFFAOYSA-N 0 0 433.508 -0.252 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)CN2CCN(CC(=O)N3CCOCC3)CC2)cc1 ZINC001345672677 1074329905 /nfs/dbraw/zinc/32/99/05/1074329905.db2.gz ZVPWWDHLYDQDKQ-UHFFFAOYSA-N 0 0 438.550 -0.817 20 0 IBADRN CS(=O)(=O)NCCc1nnc(CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)o1 ZINC001345714286 1074329779 /nfs/dbraw/zinc/32/97/79/1074329779.db2.gz QAILFQDYZDFHQW-UHFFFAOYSA-N 0 0 444.535 -0.033 20 0 IBADRN O=C(CN1CCN(C(=O)c2[nH]ncc2Br)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001345732022 1074329793 /nfs/dbraw/zinc/32/97/93/1074329793.db2.gz SHMNDPCTQFIMLU-JTQLQIEISA-N 0 0 434.316 -0.767 20 0 IBADRN O=C(CN1CCN(C(=O)c2[nH]ncc2Br)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001345732037 1074330158 /nfs/dbraw/zinc/33/01/58/1074330158.db2.gz SHMNDPCTQFIMLU-SNVBAGLBSA-N 0 0 434.316 -0.767 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(CNS(C)(=O)=O)CC1 ZINC001346011938 1074330286 /nfs/dbraw/zinc/33/02/86/1074330286.db2.gz IUIJCSQTMRHLNL-UHFFFAOYSA-N 0 0 448.567 -0.553 20 0 IBADRN CN(C)C(=O)N1CCC(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)CC1 ZINC001346012605 1074330210 /nfs/dbraw/zinc/33/02/10/1074330210.db2.gz BSVVSJVSTSBEHA-UHFFFAOYSA-N 0 0 448.524 -0.525 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)C3C[C@@H]4COC[C@H](C3)C4=O)CC2)ccc1=O ZINC001346039422 1074330251 /nfs/dbraw/zinc/33/02/51/1074330251.db2.gz KHCRAZFWFHOXIO-MQVJKMGUSA-N 0 0 432.477 -0.677 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nc2c(c(N(C)CCNS(C)(=O)=O)n1)SCC2 ZINC001346043159 1074330301 /nfs/dbraw/zinc/33/03/01/1074330301.db2.gz ISAIUOOBMYJBBD-UHFFFAOYSA-N 0 0 438.601 -0.904 20 0 IBADRN O=C(Oc1ccc(C[C@@H]2NC(=O)NC2=O)cc1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001346055173 1074330186 /nfs/dbraw/zinc/33/01/86/1074330186.db2.gz VFPNVRQXIRWCSD-GUTXKFCHSA-N 0 0 435.458 -0.622 20 0 IBADRN O=C(Oc1ccc(C[C@@H]2NC(=O)NC2=O)cc1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001346055174 1074330346 /nfs/dbraw/zinc/33/03/46/1074330346.db2.gz VFPNVRQXIRWCSD-IPYPFGDCSA-N 0 0 435.458 -0.622 20 0 IBADRN O=C(Oc1ccc(C[C@@H]2NC(=O)NC2=O)cc1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001346055175 1074330093 /nfs/dbraw/zinc/33/00/93/1074330093.db2.gz VFPNVRQXIRWCSD-NFAWXSAZSA-N 0 0 435.458 -0.622 20 0 IBADRN O=C(Oc1ccc(C[C@@H]2NC(=O)NC2=O)cc1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001346055176 1074330102 /nfs/dbraw/zinc/33/01/02/1074330102.db2.gz VFPNVRQXIRWCSD-YDHLFZDLSA-N 0 0 435.458 -0.622 20 0 IBADRN Cn1nc(C(=O)N2CCN(CCOC(=O)c3ccc4n[nH]c(=O)n4c3)CC2)ccc1=O ZINC001346055236 1074330175 /nfs/dbraw/zinc/33/01/75/1074330175.db2.gz XYNHZLGAQGDAJZ-UHFFFAOYSA-N 0 0 427.421 -0.857 20 0 IBADRN Cc1nnc(N2CCN(C(=O)CNS(=O)(=O)c3ccccc3)CC2)c(C(N)=O)c1C ZINC001346068006 1074330277 /nfs/dbraw/zinc/33/02/77/1074330277.db2.gz JGKITXOQVRJCSH-UHFFFAOYSA-N 0 0 432.506 -0.181 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001346068632 1074330232 /nfs/dbraw/zinc/33/02/32/1074330232.db2.gz MUAOSGDJQUGODN-UHFFFAOYSA-N 0 0 425.511 -0.750 20 0 IBADRN CN(CC(F)F)C1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001346070523 1074330323 /nfs/dbraw/zinc/33/03/23/1074330323.db2.gz DQOKAFGVRMXBBT-XKLVTHTNSA-N 0 0 428.440 -0.397 20 0 IBADRN O=C1CCCCN1CC1CCN(c2ncnc3c2ncn3[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)CC1 ZINC001346073648 1074330124 /nfs/dbraw/zinc/33/01/24/1074330124.db2.gz ZKWKNHIPLLHZOY-HAXDFEGKSA-N 0 0 446.508 -0.333 20 0 IBADRN CCCNC(=O)[C@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001346081500 1074330137 /nfs/dbraw/zinc/33/01/37/1074330137.db2.gz FSEMQEUKUBPWNH-HNNXBMFYSA-N 0 0 426.543 -0.253 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001346081845 1074330261 /nfs/dbraw/zinc/33/02/61/1074330261.db2.gz ISPRSMZXEJSCAM-UHFFFAOYSA-N 0 0 443.526 -0.565 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)CNS(=O)(=O)c2ccc(NC(C)=O)cc2)CC1 ZINC001346089314 1074330546 /nfs/dbraw/zinc/33/05/46/1074330546.db2.gz OZEYRPAQNUJUSA-UHFFFAOYSA-N 0 0 440.522 -0.076 20 0 IBADRN CCS(=O)(=O)C1CCN(c2ccc(S(=O)(=O)N(C)C)cc2S(N)(=O)=O)CC1 ZINC001346096111 1074330640 /nfs/dbraw/zinc/33/06/40/1074330640.db2.gz CRYBQOWVJBGXFO-UHFFFAOYSA-N 0 0 439.581 -0.012 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)c2cc(S(=O)(=O)N(C)C)cn2C)CC1 ZINC001346108739 1074330521 /nfs/dbraw/zinc/33/05/21/1074330521.db2.gz ACKKIOTYYGEWEQ-UHFFFAOYSA-N 0 0 449.551 -0.142 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)NCc2cc(S(N)(=O)=O)ccc2F)CC1 ZINC001346114063 1074330555 /nfs/dbraw/zinc/33/05/55/1074330555.db2.gz SLSSZRUJGZJEQT-UHFFFAOYSA-N 0 0 436.531 -0.219 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)NCCOCCS(C)(=O)=O)CC1 ZINC001346180628 1074330604 /nfs/dbraw/zinc/33/06/04/1074330604.db2.gz QKHZGILERZTUCN-UHFFFAOYSA-N 0 0 428.529 -0.801 20 0 IBADRN O=C(COC(=O)[C@@]1(F)CCOC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001346230343 1074330492 /nfs/dbraw/zinc/33/04/92/1074330492.db2.gz QDYUQJJBAMFYCY-GOSISDBHSA-N 0 0 430.454 -0.005 20 0 IBADRN O=C(COC(=O)[C@]1(F)CCOC1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001346230344 1074330696 /nfs/dbraw/zinc/33/06/96/1074330696.db2.gz QDYUQJJBAMFYCY-SFHVURJKSA-N 0 0 430.454 -0.005 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC001346274182 1074330650 /nfs/dbraw/zinc/33/06/50/1074330650.db2.gz WELWCTRRWAJHLQ-UHFFFAOYSA-N 0 0 447.558 -0.211 20 0 IBADRN C/C(=C\C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1)C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1 ZINC001346288254 1074330535 /nfs/dbraw/zinc/33/05/35/1074330535.db2.gz RDQHWOXTDLEJBD-DTTMMAFBSA-N 0 0 438.525 -0.563 20 0 IBADRN C/C(=C/C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1)C(=O)NC[C@@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC001346288268 1074330591 /nfs/dbraw/zinc/33/05/91/1074330591.db2.gz RDQHWOXTDLEJBD-ICXXGMIUSA-N 0 0 438.525 -0.563 20 0 IBADRN C/C(=C\C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1)C(=O)NC[C@@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC001346288271 1074330622 /nfs/dbraw/zinc/33/06/22/1074330622.db2.gz RDQHWOXTDLEJBD-MPXBWIPZSA-N 0 0 438.525 -0.563 20 0 IBADRN C/C(=C/C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1)C(=O)NC[C@@H]1CC[C@H](C(=O)N(C)C)O1 ZINC001346288275 1074330598 /nfs/dbraw/zinc/33/05/98/1074330598.db2.gz RDQHWOXTDLEJBD-MWRDVKANSA-N 0 0 438.525 -0.563 20 0 IBADRN COc1ccc(OC)c([C@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001346425694 1074330687 /nfs/dbraw/zinc/33/06/87/1074330687.db2.gz YDVOSCOPDOQNEF-CRAIPNDOSA-N 0 0 441.550 -0.342 20 0 IBADRN COc1ccc(OC)c([C@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001346425696 1074330674 /nfs/dbraw/zinc/33/06/74/1074330674.db2.gz YDVOSCOPDOQNEF-MAUKXSAKSA-N 0 0 441.550 -0.342 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001346425699 1074330682 /nfs/dbraw/zinc/33/06/82/1074330682.db2.gz YDVOSCOPDOQNEF-QAPCUYQASA-N 0 0 441.550 -0.342 20 0 IBADRN COc1ccc(OC)c([C@@H](O)CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001346425701 1074330662 /nfs/dbraw/zinc/33/06/62/1074330662.db2.gz YDVOSCOPDOQNEF-YJBOKZPZSA-N 0 0 441.550 -0.342 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@@H]2CCOC[C@@H]2OCCOC)CC1 ZINC001346533496 1074330481 /nfs/dbraw/zinc/33/04/81/1074330481.db2.gz OBEWGNWVPQGLQX-CABCVRRESA-N 0 0 436.527 -0.041 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@H]2CCOC[C@@H]2OCCOC)CC1 ZINC001346533499 1074330507 /nfs/dbraw/zinc/33/05/07/1074330507.db2.gz OBEWGNWVPQGLQX-GJZGRUSLSA-N 0 0 436.527 -0.041 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@@H]2CCOC[C@H]2OCCOC)CC1 ZINC001346533500 1074330633 /nfs/dbraw/zinc/33/06/33/1074330633.db2.gz OBEWGNWVPQGLQX-HUUCEWRRSA-N 0 0 436.527 -0.041 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)N[C@H]2CCOC[C@H]2OCCOC)CC1 ZINC001346533501 1074330976 /nfs/dbraw/zinc/33/09/76/1074330976.db2.gz OBEWGNWVPQGLQX-LSDHHAIUSA-N 0 0 436.527 -0.041 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC001346599111 1074330855 /nfs/dbraw/zinc/33/08/55/1074330855.db2.gz QBCGKDGXNFYCFM-HNNXBMFYSA-N 0 0 440.588 -0.938 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)CC1 ZINC001346599115 1074330900 /nfs/dbraw/zinc/33/09/00/1074330900.db2.gz QBCGKDGXNFYCFM-OAHLLOKOSA-N 0 0 440.588 -0.938 20 0 IBADRN CN(CCCC(=O)NCC1(O)CCS(=O)(=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC001346620102 1074330878 /nfs/dbraw/zinc/33/08/78/1074330878.db2.gz BCJRMLRLUFMGDV-UHFFFAOYSA-N 0 0 443.547 -0.097 20 0 IBADRN COc1cc(C(=O)N2CCC(CNS(C)(=O)=O)CC2)cc(OC)c1OCC(N)=O ZINC001346745295 1074330889 /nfs/dbraw/zinc/33/08/89/1074330889.db2.gz SBHRZCPGLIFSJY-UHFFFAOYSA-N 0 0 429.495 -0.031 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)NCCS(N)(=O)=O)c2)CC1 ZINC001346834957 1074330948 /nfs/dbraw/zinc/33/09/48/1074330948.db2.gz AGDGGIIYIBONDF-UHFFFAOYSA-N 0 0 438.959 -0.316 20 0 IBADRN NC(=O)C1CCN(C(=O)CS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC001346852486 1074330959 /nfs/dbraw/zinc/33/09/59/1074330959.db2.gz JOCGRKWKGFUHQV-UHFFFAOYSA-N 0 0 443.547 -0.031 20 0 IBADRN CCOC(=O)CC[C@]1(C)NC(=O)N(CN2CCN(C(=O)N3CCOCC3)CC2)C1=O ZINC001346866951 1074330928 /nfs/dbraw/zinc/33/09/28/1074330928.db2.gz QMMMWRMMSJKHRK-IBGZPJMESA-N 0 0 425.486 -0.333 20 0 IBADRN CCOC(=O)CC[C@@]1(C)NC(=O)N(CN2CCN(C(=O)N3CCOCC3)CC2)C1=O ZINC001346866952 1074330940 /nfs/dbraw/zinc/33/09/40/1074330940.db2.gz QMMMWRMMSJKHRK-LJQANCHMSA-N 0 0 425.486 -0.333 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001346877116 1074331003 /nfs/dbraw/zinc/33/10/03/1074331003.db2.gz MBTVVLJRAQBRFR-AWEZNQCLSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001346877142 1074330917 /nfs/dbraw/zinc/33/09/17/1074330917.db2.gz MBTVVLJRAQBRFR-CQSZACIVSA-N 0 0 431.536 -0.298 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1 ZINC001346879092 1074330981 /nfs/dbraw/zinc/33/09/81/1074330981.db2.gz WWRYJMRZSKXVSP-AWEZNQCLSA-N 0 0 431.536 -0.250 20 0 IBADRN CCN(C)C(=O)c1cccc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1 ZINC001346879094 1074330969 /nfs/dbraw/zinc/33/09/69/1074330969.db2.gz WWRYJMRZSKXVSP-CQSZACIVSA-N 0 0 431.536 -0.250 20 0 IBADRN O=C(NCCNC(=O)c1cnccn1)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001347053384 1074330868 /nfs/dbraw/zinc/33/08/68/1074330868.db2.gz NLSGHXRJFCWMTD-UHFFFAOYSA-N 0 0 437.453 -0.204 20 0 IBADRN O=C(NCCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1F)c1cnccn1 ZINC001347053557 1074330896 /nfs/dbraw/zinc/33/08/96/1074330896.db2.gz OSMIYQKSLSXIRV-UHFFFAOYSA-N 0 0 437.453 -0.204 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(Cc2nc(CC(=O)N3CCS(=O)(=O)CC3)no2)cc1 ZINC001347095713 1074330910 /nfs/dbraw/zinc/33/09/10/1074330910.db2.gz LYBDWUFDEZMQKR-UHFFFAOYSA-N 0 0 442.519 -0.290 20 0 IBADRN CCN(C(=O)Cn1cnc2ccc(S(C)(=O)=O)cc2c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001347319666 1074331208 /nfs/dbraw/zinc/33/12/08/1074331208.db2.gz ITMAIWNIUPOTNX-GFCCVEGCSA-N 0 0 427.504 -0.164 20 0 IBADRN CCN(C(=O)Cn1cnc2ccc(S(C)(=O)=O)cc2c1=O)[C@H]1CCS(=O)(=O)C1 ZINC001347319667 1074331162 /nfs/dbraw/zinc/33/11/62/1074331162.db2.gz ITMAIWNIUPOTNX-LBPRGKRZSA-N 0 0 427.504 -0.164 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCC(=O)N3CCN(C)CC3)n(C)c2)C1 ZINC001347328365 1074331319 /nfs/dbraw/zinc/33/13/19/1074331319.db2.gz QOLKDCZELATPSJ-HNNXBMFYSA-N 0 0 425.555 -0.051 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NCC(=O)N3CCN(C)CC3)n(C)c2)C1 ZINC001347328368 1074331340 /nfs/dbraw/zinc/33/13/40/1074331340.db2.gz QOLKDCZELATPSJ-OAHLLOKOSA-N 0 0 425.555 -0.051 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCCn1cc(CO)nn1)c2=O ZINC001347381088 1074331173 /nfs/dbraw/zinc/33/11/73/1074331173.db2.gz LRUXMOVEMASEIY-UHFFFAOYSA-N 0 0 425.247 -0.817 20 0 IBADRN COCCN1CCN(c2nc3c(nc2N2CCN(CCOC)C(=O)C2)CCCC3)CC1=O ZINC001347399061 1074331217 /nfs/dbraw/zinc/33/12/17/1074331217.db2.gz TUOJICLUCNEJAQ-UHFFFAOYSA-N 0 0 446.552 -0.055 20 0 IBADRN O=C(CN1C(=O)CCC1=O)NC[C@H](Cc1ccccc1)NC(=O)CN1C(=O)CCC1=O ZINC001347417264 1074331368 /nfs/dbraw/zinc/33/13/68/1074331368.db2.gz QPDVNCWILZNVLT-HNNXBMFYSA-N 0 0 428.445 -0.872 20 0 IBADRN O=C(CN1C(=O)CCC1=O)NC[C@@H](Cc1ccccc1)NC(=O)CN1C(=O)CCC1=O ZINC001347417265 1074331331 /nfs/dbraw/zinc/33/13/31/1074331331.db2.gz QPDVNCWILZNVLT-OAHLLOKOSA-N 0 0 428.445 -0.872 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NCCS(=O)(=O)N(C)C)c1 ZINC001347425616 1074331358 /nfs/dbraw/zinc/33/13/58/1074331358.db2.gz RQSMVTCOLCPNPF-UHFFFAOYSA-N 0 0 428.330 -0.022 20 0 IBADRN CON(C)C(=O)C1CCN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CC1 ZINC001347434309 1074331230 /nfs/dbraw/zinc/33/12/30/1074331230.db2.gz GHAFMYFVLHXXDV-UHFFFAOYSA-N 0 0 428.511 -0.082 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC001347435853 1074331149 /nfs/dbraw/zinc/33/11/49/1074331149.db2.gz CMSZYRYVMHQDRD-INIZCTEOSA-N 0 0 444.531 -0.671 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC001347435854 1074331302 /nfs/dbraw/zinc/33/13/02/1074331302.db2.gz CMSZYRYVMHQDRD-MRXNPFEDSA-N 0 0 444.531 -0.671 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N(CC)CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001347447414 1074331260 /nfs/dbraw/zinc/33/12/60/1074331260.db2.gz JRDANMQEWSNJBV-INIZCTEOSA-N 0 0 445.586 -0.688 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N(CC)CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001347447415 1074331289 /nfs/dbraw/zinc/33/12/89/1074331289.db2.gz JRDANMQEWSNJBV-MRXNPFEDSA-N 0 0 445.586 -0.688 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1)C(C)(C)O ZINC001347474416 1074331310 /nfs/dbraw/zinc/33/13/10/1074331310.db2.gz OWMZXDJJABDUOG-MRXNPFEDSA-N 0 0 440.497 -0.530 20 0 IBADRN O=C(NCCN1CCS(=O)(=O)CC1)C1(S(=O)(=O)c2ccccc2)CCOCC1 ZINC001347478419 1074331277 /nfs/dbraw/zinc/33/12/77/1074331277.db2.gz ILTOTCIDMALTRY-UHFFFAOYSA-N 0 0 430.548 -0.144 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC001347479255 1074331521 /nfs/dbraw/zinc/33/15/21/1074331521.db2.gz PXPKPJZDOKCGPR-CVEARBPZSA-N 0 0 425.555 -0.342 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1)CC2 ZINC001347479256 1074331613 /nfs/dbraw/zinc/33/16/13/1074331613.db2.gz PXPKPJZDOKCGPR-HOTGVXAUSA-N 0 0 425.555 -0.342 20 0 IBADRN Cn1nnc2c1C[C@H](C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001347479257 1074331498 /nfs/dbraw/zinc/33/14/98/1074331498.db2.gz PXPKPJZDOKCGPR-HZPDHXFCSA-N 0 0 425.555 -0.342 20 0 IBADRN Cn1nnc2c1C[C@@H](C(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1)CC2 ZINC001347479258 1074331563 /nfs/dbraw/zinc/33/15/63/1074331563.db2.gz PXPKPJZDOKCGPR-JKSUJKDBSA-N 0 0 425.555 -0.342 20 0 IBADRN COC(=O)Cc1occ(C)c1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001347485643 1074331603 /nfs/dbraw/zinc/33/16/03/1074331603.db2.gz SMWAYDSSJXNEJL-AWEZNQCLSA-N 0 0 441.506 -0.635 20 0 IBADRN COC(=O)Cc1occ(C)c1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001347485644 1074331719 /nfs/dbraw/zinc/33/17/19/1074331719.db2.gz SMWAYDSSJXNEJL-CQSZACIVSA-N 0 0 441.506 -0.635 20 0 IBADRN CS(=O)(=O)CC1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001347502338 1074331670 /nfs/dbraw/zinc/33/16/70/1074331670.db2.gz DRFCYIKKTPHBKM-UHFFFAOYSA-N 0 0 438.554 -0.019 20 0 IBADRN COc1nc(N2CC[C@@H](CS(C)(=O)=O)C2)nc(N2CC[C@H](CS(C)(=O)=O)C2)n1 ZINC001347503007 1074331741 /nfs/dbraw/zinc/33/17/41/1074331741.db2.gz GOOBWWHXOARGIZ-BETUJISGSA-N 0 0 433.556 -0.378 20 0 IBADRN COc1nc(N2CC[C@@H](CS(C)(=O)=O)C2)nc(N2CC[C@@H](CS(C)(=O)=O)C2)n1 ZINC001347503008 1074331682 /nfs/dbraw/zinc/33/16/82/1074331682.db2.gz GOOBWWHXOARGIZ-CHWSQXEVSA-N 0 0 433.556 -0.378 20 0 IBADRN COc1nc(N2CC[C@H](CS(C)(=O)=O)C2)nc(N2CC[C@H](CS(C)(=O)=O)C2)n1 ZINC001347503009 1074331623 /nfs/dbraw/zinc/33/16/23/1074331623.db2.gz GOOBWWHXOARGIZ-STQMWFEESA-N 0 0 433.556 -0.378 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NCC(=O)N3CCN(C)CC3)CC2)c1 ZINC001347514130 1074331542 /nfs/dbraw/zinc/33/15/42/1074331542.db2.gz IHORKOAMEZJCRX-UHFFFAOYSA-N 0 0 437.566 -0.663 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)NCC(=O)N3CCN(C)CC3)CC2=O)cc1OC ZINC001347515175 1074331725 /nfs/dbraw/zinc/33/17/25/1074331725.db2.gz UALPXJXSSMRUKG-KRWDZBQOSA-N 0 0 432.521 -0.015 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)NCC(=O)N3CCN(C)CC3)CC2=O)cc1OC ZINC001347515177 1074331695 /nfs/dbraw/zinc/33/16/95/1074331695.db2.gz UALPXJXSSMRUKG-QGZVFWFLSA-N 0 0 432.521 -0.015 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCS(=O)(=O)C(C)C)s1 ZINC001347526949 1074331641 /nfs/dbraw/zinc/33/16/41/1074331641.db2.gz LBWVJMWZOVYRQV-UHFFFAOYSA-N 0 0 425.554 -0.398 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)CCOCC1 ZINC001347602201 1074331509 /nfs/dbraw/zinc/33/15/09/1074331509.db2.gz IFUMQOZUCANDTK-UHFFFAOYSA-N 0 0 431.536 -0.424 20 0 IBADRN O=C(c1cc(Cn2cccn2)on1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001347602736 1074331569 /nfs/dbraw/zinc/33/15/69/1074331569.db2.gz LQEVDEVYALAISU-AWEZNQCLSA-N 0 0 443.507 -0.806 20 0 IBADRN O=C(c1cc(Cn2cccn2)on1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001347602737 1074331709 /nfs/dbraw/zinc/33/17/09/1074331709.db2.gz LQEVDEVYALAISU-CQSZACIVSA-N 0 0 443.507 -0.806 20 0 IBADRN C[C@H](C(=O)N1CCC(C(N)=O)CC1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001347625854 1074331663 /nfs/dbraw/zinc/33/16/63/1074331663.db2.gz CHKKVTHQDTWUBN-GFCCVEGCSA-N 0 0 431.536 -0.177 20 0 IBADRN C[C@@H](C(=O)N1CCC(C(N)=O)CC1)S(=O)(=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001347625855 1074331588 /nfs/dbraw/zinc/33/15/88/1074331588.db2.gz CHKKVTHQDTWUBN-LBPRGKRZSA-N 0 0 431.536 -0.177 20 0 IBADRN CS(=O)(=O)C1(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCOCC1 ZINC001347654034 1074331864 /nfs/dbraw/zinc/33/18/64/1074331864.db2.gz HTLVRRMFOUWHTM-UHFFFAOYSA-N 0 0 425.511 -0.775 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CCOCC1 ZINC001347655030 1074332068 /nfs/dbraw/zinc/33/20/68/1074332068.db2.gz RGXQYLSUFHJNKZ-UHFFFAOYSA-N 0 0 439.538 -0.444 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2c(I)cnn2C)CC1 ZINC001347657207 1074331986 /nfs/dbraw/zinc/33/19/86/1074331986.db2.gz IEKWPIGTCMEESH-UHFFFAOYSA-N 0 0 433.250 -0.165 20 0 IBADRN CN(C(=O)C[C@@H]1CCCS(=O)(=O)C1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001347658214 1074331875 /nfs/dbraw/zinc/33/18/75/1074331875.db2.gz ORMRQDTYZIQSPC-KRWDZBQOSA-N 0 0 428.599 -0.102 20 0 IBADRN CN(C(=O)C[C@H]1CCCS(=O)(=O)C1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001347658225 1074332044 /nfs/dbraw/zinc/33/20/44/1074332044.db2.gz ORMRQDTYZIQSPC-QGZVFWFLSA-N 0 0 428.599 -0.102 20 0 IBADRN CNC(=O)[C@@H](O)CNC(=O)CSCC(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O ZINC001347698783 1074331925 /nfs/dbraw/zinc/33/19/25/1074331925.db2.gz MXBKGIOKTKNFAY-AWEZNQCLSA-N 0 0 435.506 -0.621 20 0 IBADRN CNC(=O)[C@H](O)CNC(=O)CSCC(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O ZINC001347698789 1074332137 /nfs/dbraw/zinc/33/21/37/1074332137.db2.gz MXBKGIOKTKNFAY-CQSZACIVSA-N 0 0 435.506 -0.621 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N(C)C)C2)s1 ZINC001347712528 1074332094 /nfs/dbraw/zinc/33/20/94/1074332094.db2.gz TZODFFZLYXYJGQ-CYBMUJFWSA-N 0 0 430.552 -0.011 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H](C(=O)N(C)C)C2)s1 ZINC001347712529 1074331915 /nfs/dbraw/zinc/33/19/15/1074331915.db2.gz TZODFFZLYXYJGQ-ZDUSSCGKSA-N 0 0 430.552 -0.011 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)C2 ZINC001347734869 1074331890 /nfs/dbraw/zinc/33/18/90/1074331890.db2.gz TXJMRDDQVQGTTG-UHFFFAOYSA-N 0 0 435.506 -0.015 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001347747558 1074332018 /nfs/dbraw/zinc/33/20/18/1074332018.db2.gz UVTSKSDYSOZOPH-DOMZBBRYSA-N 0 0 425.515 -0.349 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001347747559 1074331906 /nfs/dbraw/zinc/33/19/06/1074331906.db2.gz UVTSKSDYSOZOPH-IUODEOHRSA-N 0 0 425.515 -0.349 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001347747560 1074331997 /nfs/dbraw/zinc/33/19/97/1074331997.db2.gz UVTSKSDYSOZOPH-SWLSCSKDSA-N 0 0 425.515 -0.349 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001347747561 1074332107 /nfs/dbraw/zinc/33/21/07/1074332107.db2.gz UVTSKSDYSOZOPH-WFASDCNBSA-N 0 0 425.515 -0.349 20 0 IBADRN NC(=O)Cn1cc(C(=O)N2CCn3c(nnc3-c3cncc(Br)c3)C2)nn1 ZINC001347748499 1074332007 /nfs/dbraw/zinc/33/20/07/1074332007.db2.gz QRIWYALOUAYFGI-UHFFFAOYSA-N 0 0 432.242 -0.165 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)CC1 ZINC001347857853 1074331940 /nfs/dbraw/zinc/33/19/40/1074331940.db2.gz UEKNZZGFZRAFRE-UHFFFAOYSA-N 0 0 434.559 -0.725 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)[C@@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC001347889575 1074332104 /nfs/dbraw/zinc/33/21/04/1074332104.db2.gz QXVUXBAGLSFKFK-BIENJYKASA-N 0 0 440.522 -0.436 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)[C@H]3CC(=O)N([C@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC001347889577 1074332030 /nfs/dbraw/zinc/33/20/30/1074332030.db2.gz QXVUXBAGLSFKFK-CKFHNAJUSA-N 0 0 440.522 -0.436 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)[C@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC001347889579 1074332442 /nfs/dbraw/zinc/33/24/42/1074332442.db2.gz QXVUXBAGLSFKFK-IQUTYRLHSA-N 0 0 440.522 -0.436 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)[C@@H]3CC(=O)N([C@@H]4CCS(=O)(=O)C4)C3)C2)n1 ZINC001347889584 1074332489 /nfs/dbraw/zinc/33/24/89/1074332489.db2.gz QXVUXBAGLSFKFK-PJIJBLCYSA-N 0 0 440.522 -0.436 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)c3cn(CCO)nc3C3CCOCC3)cnc2n(C)c1=O ZINC001347892351 1074332276 /nfs/dbraw/zinc/33/22/76/1074332276.db2.gz BVNIUGRWGDSFTH-UHFFFAOYSA-N 0 0 428.449 -0.033 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCCC2)cc1NC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001347924238 1074332317 /nfs/dbraw/zinc/33/23/17/1074332317.db2.gz KNYISXKJXWPHRG-AWEZNQCLSA-N 0 0 445.519 -0.279 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001347955428 1074332418 /nfs/dbraw/zinc/33/24/18/1074332418.db2.gz UQKWPOXYRPRVDV-UHFFFAOYSA-N 0 0 437.522 -0.518 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC001348006596 1074332402 /nfs/dbraw/zinc/33/24/02/1074332402.db2.gz MXNNPYOMYLXMQF-UHFFFAOYSA-N 0 0 433.531 -0.600 20 0 IBADRN O=C(Nc1ccc(Cl)c(S(=O)(=O)N2CCCC2)c1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001348068326 1074332298 /nfs/dbraw/zinc/33/22/98/1074332298.db2.gz JHSXPUDCMTWIMU-LBPRGKRZSA-N 0 0 435.911 -0.024 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCC(=O)N3CCN(C)CC3)CC2)cn1C ZINC001348075356 1074332364 /nfs/dbraw/zinc/33/23/64/1074332364.db2.gz IJSGJBSVZINNST-UHFFFAOYSA-N 0 0 426.543 -0.981 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)cc1 ZINC001348100761 1074332309 /nfs/dbraw/zinc/33/23/09/1074332309.db2.gz CUGWHZBNGCNJHM-UHFFFAOYSA-N 0 0 426.495 -0.250 20 0 IBADRN Cn1ncnc1CCOC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001348183300 1074332468 /nfs/dbraw/zinc/33/24/68/1074332468.db2.gz YPRAEKCVSPUKIF-AWEZNQCLSA-N 0 0 430.508 -0.317 20 0 IBADRN Cn1ncnc1CCOC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001348183301 1074332390 /nfs/dbraw/zinc/33/23/90/1074332390.db2.gz YPRAEKCVSPUKIF-CQSZACIVSA-N 0 0 430.508 -0.317 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCC[C@@H]1CCNC1=O ZINC001348207397 1074332448 /nfs/dbraw/zinc/33/24/48/1074332448.db2.gz BVHDCIWCEDSNBK-DZGCQCFKSA-N 0 0 432.520 -0.162 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCC[C@H]1CCNC1=O ZINC001348207398 1074332289 /nfs/dbraw/zinc/33/22/89/1074332289.db2.gz BVHDCIWCEDSNBK-HIFRSBDPSA-N 0 0 432.520 -0.162 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)OCC[C@H]1CCNC1=O ZINC001348207399 1074332458 /nfs/dbraw/zinc/33/24/58/1074332458.db2.gz BVHDCIWCEDSNBK-UKRRQHHQSA-N 0 0 432.520 -0.162 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)OCC[C@@H]1CCNC1=O ZINC001348207400 1074332343 /nfs/dbraw/zinc/33/23/43/1074332343.db2.gz BVHDCIWCEDSNBK-ZFWWWQNUSA-N 0 0 432.520 -0.162 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)c1ccnc(C(=O)NC[C@@H]2CCCS2(=O)=O)c1 ZINC001348453350 1074332478 /nfs/dbraw/zinc/33/24/78/1074332478.db2.gz CJIJCNILTWXVAF-KBPBESRZSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)c1ccnc(C(=O)NC[C@@H]2CCCS2(=O)=O)c1 ZINC001348453351 1074332331 /nfs/dbraw/zinc/33/23/31/1074332331.db2.gz CJIJCNILTWXVAF-KGLIPLIRSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@@H]1CCCS1(=O)=O)c1ccnc(C(=O)NC[C@H]2CCCS2(=O)=O)c1 ZINC001348453352 1074332352 /nfs/dbraw/zinc/33/23/52/1074332352.db2.gz CJIJCNILTWXVAF-UONOGXRCSA-N 0 0 429.520 -0.304 20 0 IBADRN O=C(NC[C@H]1CCCS1(=O)=O)c1ccnc(C(=O)NC[C@H]2CCCS2(=O)=O)c1 ZINC001348453353 1074332756 /nfs/dbraw/zinc/33/27/56/1074332756.db2.gz CJIJCNILTWXVAF-ZIAGYGMSSA-N 0 0 429.520 -0.304 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)C1=O ZINC001348456306 1074332667 /nfs/dbraw/zinc/33/26/67/1074332667.db2.gz OVIWGKXDJJLYEV-UHFFFAOYSA-N 0 0 438.491 -0.582 20 0 IBADRN O=C(CC1CS(=O)(=O)C1)N1CCN(S(=O)(=O)c2cccc3nsnc32)CC1 ZINC001348456560 1074332905 /nfs/dbraw/zinc/33/29/05/1074332905.db2.gz YBBOTSTVESGVSY-UHFFFAOYSA-N 0 0 430.533 -0.041 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@@H](C)NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)cc1 ZINC001348456561 1074332825 /nfs/dbraw/zinc/33/28/25/1074332825.db2.gz YBCBAIVJJLCSOH-DOMZBBRYSA-N 0 0 431.536 -0.193 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc([C@H](C)NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)cc1 ZINC001348456562 1074332704 /nfs/dbraw/zinc/33/27/04/1074332704.db2.gz YBCBAIVJJLCSOH-WFASDCNBSA-N 0 0 431.536 -0.193 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001348486299 1074332691 /nfs/dbraw/zinc/33/26/91/1074332691.db2.gz GZDLGWAEEPQPNF-CABCVRRESA-N 0 0 431.555 -0.009 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001348486300 1074332842 /nfs/dbraw/zinc/33/28/42/1074332842.db2.gz GZDLGWAEEPQPNF-GJZGRUSLSA-N 0 0 431.555 -0.009 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001348486301 1074332884 /nfs/dbraw/zinc/33/28/84/1074332884.db2.gz GZDLGWAEEPQPNF-HUUCEWRRSA-N 0 0 431.555 -0.009 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001348486302 1074332833 /nfs/dbraw/zinc/33/28/33/1074332833.db2.gz GZDLGWAEEPQPNF-LSDHHAIUSA-N 0 0 431.555 -0.009 20 0 IBADRN CCOc1ccc(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)cc1S(=O)(=O)N1CCCC1 ZINC001348569647 1074332655 /nfs/dbraw/zinc/33/26/55/1074332655.db2.gz TWUWYIUAJCMRJR-ZDUSSCGKSA-N 0 0 445.519 -0.279 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1csc(S[C@H](C)C(N)=O)n1 ZINC001348580855 1074332895 /nfs/dbraw/zinc/33/28/95/1074332895.db2.gz HGXBAAKVKPNANT-GHMZBOCLSA-N 0 0 448.592 -0.197 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1csc(S[C@H](C)C(N)=O)n1 ZINC001348580868 1074332727 /nfs/dbraw/zinc/33/27/27/1074332727.db2.gz HGXBAAKVKPNANT-MNOVXSKESA-N 0 0 448.592 -0.197 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)Cc1csc(S[C@@H](C)C(N)=O)n1 ZINC001348580870 1074332856 /nfs/dbraw/zinc/33/28/56/1074332856.db2.gz HGXBAAKVKPNANT-QWRGUYRKSA-N 0 0 448.592 -0.197 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)Cc1csc(S[C@@H](C)C(N)=O)n1 ZINC001348580872 1074332815 /nfs/dbraw/zinc/33/28/15/1074332815.db2.gz HGXBAAKVKPNANT-WDEREUQCSA-N 0 0 448.592 -0.197 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)CN3C(=O)CCC3=O)C2)CC1 ZINC001348621324 1074332870 /nfs/dbraw/zinc/33/28/70/1074332870.db2.gz RXIFILSUHGEEHW-UHFFFAOYSA-N 0 0 444.510 -0.771 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)NCCCN1CCCS1(=O)=O ZINC001348730509 1074332776 /nfs/dbraw/zinc/33/27/76/1074332776.db2.gz KQWQVZDHHVDHRR-UHFFFAOYSA-N 0 0 427.527 -0.198 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCC(C(=O)NCCO)CC1 ZINC001348731449 1074332804 /nfs/dbraw/zinc/33/28/04/1074332804.db2.gz ZDAFOGWXKRNLLO-UHFFFAOYSA-N 0 0 426.491 -0.031 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC)CC1 ZINC001348740777 1074332765 /nfs/dbraw/zinc/33/27/65/1074332765.db2.gz ILWFHQJLILDIPO-AWEZNQCLSA-N 0 0 434.588 -0.091 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC)CC1 ZINC001348740778 1074332679 /nfs/dbraw/zinc/33/26/79/1074332679.db2.gz ILWFHQJLILDIPO-CQSZACIVSA-N 0 0 434.588 -0.091 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCNC(=O)c3cnccn3)CC2)cn1C ZINC001348770925 1074332641 /nfs/dbraw/zinc/33/26/41/1074332641.db2.gz OWUOGNRUWHPJOU-UHFFFAOYSA-N 0 0 435.510 -0.535 20 0 IBADRN COc1ccc(NC(=O)CN2CC(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001348977032 1074333110 /nfs/dbraw/zinc/33/31/10/1074333110.db2.gz HYWHFNUVTWXOHY-UHFFFAOYSA-N 0 0 426.451 -0.451 20 0 IBADRN COCCNC(=O)CN1CCN(CC(=O)NCc2ccc(S(C)(=O)=O)cc2)CC1 ZINC001349006320 1074333135 /nfs/dbraw/zinc/33/31/35/1074333135.db2.gz UOGONXHVVKOROK-UHFFFAOYSA-N 0 0 426.539 -0.914 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001349159011 1074333072 /nfs/dbraw/zinc/33/30/72/1074333072.db2.gz MCSNPATUIGIBQT-INIZCTEOSA-N 0 0 438.506 -0.789 20 0 IBADRN CC1(C)NC(=O)N(CCCNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC001349203524 1074333085 /nfs/dbraw/zinc/33/30/85/1074333085.db2.gz JDFUEDDGFPCNEM-AWEZNQCLSA-N 0 0 445.542 -0.457 20 0 IBADRN CC1(C)NC(=O)N(CCCNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC001349203525 1074333183 /nfs/dbraw/zinc/33/31/83/1074333183.db2.gz JDFUEDDGFPCNEM-CQSZACIVSA-N 0 0 445.542 -0.457 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CC(=O)N(C)C1=O ZINC001349263467 1074333103 /nfs/dbraw/zinc/33/31/03/1074333103.db2.gz KFEAXACRCSGCGI-UHFFFAOYSA-N 0 0 440.478 -0.061 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N(C)C)CC2)n1C[C@@H]1CCOC1 ZINC001349297154 1074333156 /nfs/dbraw/zinc/33/31/56/1074333156.db2.gz RXUJXBVODNRYBH-KBPBESRZSA-N 0 0 429.547 -0.272 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N(C)C)CC2)n1C[C@@H]1CCOC1 ZINC001349297160 1074333115 /nfs/dbraw/zinc/33/31/15/1074333115.db2.gz RXUJXBVODNRYBH-KGLIPLIRSA-N 0 0 429.547 -0.272 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N(C)C)CC2)n1C[C@H]1CCOC1 ZINC001349297163 1074333061 /nfs/dbraw/zinc/33/30/61/1074333061.db2.gz RXUJXBVODNRYBH-UONOGXRCSA-N 0 0 429.547 -0.272 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N(C)C)CC2)n1C[C@H]1CCOC1 ZINC001349297167 1074333128 /nfs/dbraw/zinc/33/31/28/1074333128.db2.gz RXUJXBVODNRYBH-ZIAGYGMSSA-N 0 0 429.547 -0.272 20 0 IBADRN COc1ccc(NC(=O)C2(OC)CS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001349533139 1074333197 /nfs/dbraw/zinc/33/31/97/1074333197.db2.gz LICBHWIOPJJBLH-UHFFFAOYSA-N 0 0 434.492 -0.532 20 0 IBADRN Cc1nn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)c(=O)n1C ZINC001349819117 1074333147 /nfs/dbraw/zinc/33/31/47/1074333147.db2.gz BBKDNIIUQXBYPE-UHFFFAOYSA-N 0 0 433.412 -0.159 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)C1=O ZINC001349819293 1074333191 /nfs/dbraw/zinc/33/31/91/1074333191.db2.gz IPBVSZOKXPIBDG-UHFFFAOYSA-N 0 0 434.396 -0.169 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCCCS3(=O)=O)CC2)cc1 ZINC001349830362 1074333095 /nfs/dbraw/zinc/33/30/95/1074333095.db2.gz AWLUZGGWWORNNI-UHFFFAOYSA-N 0 0 431.536 -0.046 20 0 IBADRN CN1CCn2nc(C(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC001349994304 1074333118 /nfs/dbraw/zinc/33/31/18/1074333118.db2.gz JRSNETMJRJPJAS-CHWSQXEVSA-N 0 0 432.524 -0.675 20 0 IBADRN CN1CCn2nc(C(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC001349994305 1074333124 /nfs/dbraw/zinc/33/31/24/1074333124.db2.gz JRSNETMJRJPJAS-OLZOCXBDSA-N 0 0 432.524 -0.675 20 0 IBADRN CN1CCn2nc(C(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC001349994306 1074333132 /nfs/dbraw/zinc/33/31/32/1074333132.db2.gz JRSNETMJRJPJAS-QWHCGFSZSA-N 0 0 432.524 -0.675 20 0 IBADRN CN1CCn2nc(C(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC001349994307 1074333141 /nfs/dbraw/zinc/33/31/41/1074333141.db2.gz JRSNETMJRJPJAS-STQMWFEESA-N 0 0 432.524 -0.675 20 0 IBADRN COC1(C(=O)N2CCN(S(=O)(=O)c3cccc4nsnc43)CC2)CS(=O)(=O)C1 ZINC001350003366 1074333078 /nfs/dbraw/zinc/33/30/78/1074333078.db2.gz YQBOUDMQKHBGKF-UHFFFAOYSA-N 0 0 446.532 -0.662 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2=O)cn1 ZINC001350175223 1074333534 /nfs/dbraw/zinc/33/35/34/1074333534.db2.gz YLKMFUAHVBWNKK-AWEZNQCLSA-N 0 0 427.465 -0.148 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)Nc3ccc(N4CCNC(=O)C4)nc3)CC2=O)cn1 ZINC001350175224 1074333556 /nfs/dbraw/zinc/33/35/56/1074333556.db2.gz YLKMFUAHVBWNKK-CQSZACIVSA-N 0 0 427.465 -0.148 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc1 ZINC001350261078 1074333478 /nfs/dbraw/zinc/33/34/78/1074333478.db2.gz MQDBNLRKDUZANL-INIZCTEOSA-N 0 0 443.547 -0.444 20 0 IBADRN COCCn1c(N)c(N(Cc2ccccc2)C(=O)[C@@H]2CSCC(=O)N2)c(=O)[nH]c1=O ZINC001350272066 1074333366 /nfs/dbraw/zinc/33/33/66/1074333366.db2.gz HGYNXVXHNURTIG-ZDUSSCGKSA-N 0 0 433.490 -0.058 20 0 IBADRN O=C(c1cn(C[C@H]2CNC(=O)O2)nn1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001350365844 1074333448 /nfs/dbraw/zinc/33/34/48/1074333448.db2.gz PXQCDMLEEOGYMY-CYBMUJFWSA-N 0 0 438.441 -0.328 20 0 IBADRN O=C(c1cn(C[C@@H]2CNC(=O)O2)nn1)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001350365872 1074333353 /nfs/dbraw/zinc/33/33/53/1074333353.db2.gz PXQCDMLEEOGYMY-ZDUSSCGKSA-N 0 0 438.441 -0.328 20 0 IBADRN O=C(CN1CCCCS1(=O)=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001350414198 1074333581 /nfs/dbraw/zinc/33/35/81/1074333581.db2.gz DLNVINZXKMHGEO-UHFFFAOYSA-N 0 0 445.563 -0.110 20 0 IBADRN C[C@]1(CC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCS(=O)(=O)N1 ZINC001350415022 1074333547 /nfs/dbraw/zinc/33/35/47/1074333547.db2.gz OUDWHVIDTDMIQV-GOSISDBHSA-N 0 0 445.563 -0.063 20 0 IBADRN C[C@@]1(CC(=O)NCc2ccccc2CS(=O)(=O)N2CCOCC2)CCS(=O)(=O)N1 ZINC001350415023 1074333593 /nfs/dbraw/zinc/33/35/93/1074333593.db2.gz OUDWHVIDTDMIQV-SFHVURJKSA-N 0 0 445.563 -0.063 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001350441372 1074333603 /nfs/dbraw/zinc/33/36/03/1074333603.db2.gz RYDCQTKOQLIHSZ-GOSISDBHSA-N 0 0 438.510 -0.625 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCc2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001350441388 1074333516 /nfs/dbraw/zinc/33/35/16/1074333516.db2.gz RYDCQTKOQLIHSZ-SFHVURJKSA-N 0 0 438.510 -0.625 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CCC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)O1 ZINC001350452500 1074333618 /nfs/dbraw/zinc/33/36/18/1074333618.db2.gz MYBTULMOXDUPRM-CVEARBPZSA-N 0 0 439.538 -0.537 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)O1 ZINC001350453186 1074333638 /nfs/dbraw/zinc/33/36/38/1074333638.db2.gz PNEHFDXJIUKYMK-CABCVRRESA-N 0 0 426.495 -0.630 20 0 IBADRN O=C(CN1CCCCS1(=O)=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001350453953 1074333824 /nfs/dbraw/zinc/33/38/24/1074333824.db2.gz SDRJAZOUZYTZIJ-UHFFFAOYSA-N 0 0 445.567 -0.925 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@H]1CCc2nncn2CC1 ZINC001350454771 1074334065 /nfs/dbraw/zinc/33/40/65/1074334065.db2.gz XCROXSJYKKLLHF-INIZCTEOSA-N 0 0 433.538 -0.106 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)[C@@H]1CCc2nncn2CC1 ZINC001350454774 1074333814 /nfs/dbraw/zinc/33/38/14/1074333814.db2.gz XCROXSJYKKLLHF-MRXNPFEDSA-N 0 0 433.538 -0.106 20 0 IBADRN Cn1nc(C2CC2)nc1CC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001350454778 1074334021 /nfs/dbraw/zinc/33/40/21/1074334021.db2.gz XEBPXZOCJLPTPF-UHFFFAOYSA-N 0 0 433.538 -0.102 20 0 IBADRN CN1CCn2nc(C(=O)NCCS(=O)(=O)NCc3ccccc3)cc2S1(=O)=O ZINC001350545917 1074333992 /nfs/dbraw/zinc/33/39/92/1074333992.db2.gz MYCMMNFWBAPASM-UHFFFAOYSA-N 0 0 427.508 -0.633 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCS(=O)(=O)NCc2ccccc2)[C@H]1c1nccn1C ZINC001350547415 1074333863 /nfs/dbraw/zinc/33/38/63/1074333863.db2.gz WVPBZSMJEBLVLH-ROUUACIJSA-N 0 0 449.533 -0.056 20 0 IBADRN CO[C@@]1(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCS(=O)(=O)C1 ZINC001350719521 1074333838 /nfs/dbraw/zinc/33/38/38/1074333838.db2.gz DVIVTLMJOZEUOQ-INIZCTEOSA-N 0 0 436.483 -0.011 20 0 IBADRN CO[C@]1(C(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCS(=O)(=O)C1 ZINC001350719522 1074334041 /nfs/dbraw/zinc/33/40/41/1074334041.db2.gz DVIVTLMJOZEUOQ-MRXNPFEDSA-N 0 0 436.483 -0.011 20 0 IBADRN O=C(c1[nH]ncc1Br)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001350731354 1074333847 /nfs/dbraw/zinc/33/38/47/1074333847.db2.gz FXTHHQDIPMHLMM-SECBINFHSA-N 0 0 441.329 -0.553 20 0 IBADRN O=C(c1[nH]ncc1Br)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001350731383 1074334083 /nfs/dbraw/zinc/33/40/83/1074334083.db2.gz FXTHHQDIPMHLMM-VIFPVBQESA-N 0 0 441.329 -0.553 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001350739096 1074333891 /nfs/dbraw/zinc/33/38/91/1074333891.db2.gz YLQXURGMBONODL-HNNXBMFYSA-N 0 0 428.536 -0.147 20 0 IBADRN CC1(C)NC(=O)N(CCCNC(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1=O ZINC001350747849 1074334108 /nfs/dbraw/zinc/33/41/08/1074334108.db2.gz QYJFMDFRZCHNJN-UHFFFAOYSA-N 0 0 446.512 -0.465 20 0 IBADRN NC(=O)CN1CCC(NC(=O)c2cc(S(N)(=O)=O)c(Br)s2)CC1 ZINC001350838521 1074334045 /nfs/dbraw/zinc/33/40/45/1074334045.db2.gz RKDMKOUWFDDHLY-UHFFFAOYSA-N 0 0 425.330 -0.163 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1)C1(n2cnnn2)CCOCC1 ZINC001350876155 1074333903 /nfs/dbraw/zinc/33/39/03/1074333903.db2.gz UVUWRFIBJBRQRW-UHFFFAOYSA-N 0 0 442.448 -0.010 20 0 IBADRN CCCS(=O)(=O)N1CCC(NC(=O)N2CCN(C(=O)N3CCOCC3)CC2)CC1 ZINC001351003288 1074333956 /nfs/dbraw/zinc/33/39/56/1074333956.db2.gz ANQIEERULDHVIJ-UHFFFAOYSA-N 0 0 431.559 -0.030 20 0 IBADRN COc1ccc(CC(=O)NC2(C(N)=O)CCOCC2)cc1S(=O)(=O)N1CCOCC1 ZINC001351044995 1074334443 /nfs/dbraw/zinc/33/44/43/1074334443.db2.gz XPCFJGXLLBAUNZ-UHFFFAOYSA-N 0 0 441.506 -0.591 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)CC3CS(=O)(=O)C3)CC2)c1 ZINC001351061806 1074334328 /nfs/dbraw/zinc/33/43/28/1074334328.db2.gz FWCXWJXPDCPCPI-UHFFFAOYSA-N 0 0 436.533 -0.198 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)CCCc2ccccc2)CC1 ZINC001351070351 1074334385 /nfs/dbraw/zinc/33/43/85/1074334385.db2.gz NCALFVXZLKGVKR-KRWDZBQOSA-N 0 0 438.550 -0.137 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)CCCc2ccccc2)CC1 ZINC001351070352 1074334368 /nfs/dbraw/zinc/33/43/68/1074334368.db2.gz NCALFVXZLKGVKR-QGZVFWFLSA-N 0 0 438.550 -0.137 20 0 IBADRN CC(C)c1nn([C@H]2CCS(=O)(=O)C2)cc1C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC001351217431 1074334321 /nfs/dbraw/zinc/33/43/21/1074334321.db2.gz RLRNEDXANQUGPH-AWEZNQCLSA-N 0 0 447.583 -0.070 20 0 IBADRN CC(C)c1nn([C@@H]2CCS(=O)(=O)C2)cc1C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC001351217432 1074334311 /nfs/dbraw/zinc/33/43/11/1074334311.db2.gz RLRNEDXANQUGPH-CQSZACIVSA-N 0 0 447.583 -0.070 20 0 IBADRN COCCN(C(=O)[C@@H]1CC(=O)N(c2cnn(CCOC)c2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001351218958 1074334349 /nfs/dbraw/zinc/33/43/49/1074334349.db2.gz SDVJPWZPIUGZMY-CABCVRRESA-N 0 0 428.511 -0.456 20 0 IBADRN COCCN(C(=O)[C@H]1CC(=O)N(c2cnn(CCOC)c2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001351218959 1074334470 /nfs/dbraw/zinc/33/44/70/1074334470.db2.gz SDVJPWZPIUGZMY-GJZGRUSLSA-N 0 0 428.511 -0.456 20 0 IBADRN COCCN(C(=O)[C@@H]1CC(=O)N(c2cnn(CCOC)c2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001351218960 1074334379 /nfs/dbraw/zinc/33/43/79/1074334379.db2.gz SDVJPWZPIUGZMY-HUUCEWRRSA-N 0 0 428.511 -0.456 20 0 IBADRN COCCN(C(=O)[C@H]1CC(=O)N(c2cnn(CCOC)c2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001351218963 1074334433 /nfs/dbraw/zinc/33/44/33/1074334433.db2.gz SDVJPWZPIUGZMY-LSDHHAIUSA-N 0 0 428.511 -0.456 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)[C@@H]1CSCC(=O)N1 ZINC001351456834 1074334394 /nfs/dbraw/zinc/33/43/94/1074334394.db2.gz KWEUOWDJUVUPLY-AWEZNQCLSA-N 0 0 428.536 -0.199 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CC1 ZINC001351508873 1074334298 /nfs/dbraw/zinc/33/42/98/1074334298.db2.gz VMOHMSLHLZJLHS-UHFFFAOYSA-N 0 0 437.565 -0.389 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001351519457 1074334459 /nfs/dbraw/zinc/33/44/59/1074334459.db2.gz HDOFVPSVDPSYBQ-UHFFFAOYSA-N 0 0 437.474 -0.170 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCS3(=O)=O)CC2)c1 ZINC001351558238 1074334450 /nfs/dbraw/zinc/33/44/50/1074334450.db2.gz AAQWYUWVGIOCGL-GFCCVEGCSA-N 0 0 436.533 -0.055 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCS3(=O)=O)CC2)c1 ZINC001351558239 1074334338 /nfs/dbraw/zinc/33/43/38/1074334338.db2.gz AAQWYUWVGIOCGL-LBPRGKRZSA-N 0 0 436.533 -0.055 20 0 IBADRN O=C(CN1C(=O)CCC1=O)NCCN(CCC(F)(F)F)C(=O)CN1C(=O)CCC1=O ZINC001351567325 1074334502 /nfs/dbraw/zinc/33/45/02/1074334502.db2.gz ZZMROTGLCJVTEJ-UHFFFAOYSA-N 0 0 434.371 -0.818 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(OC)c(S(C)(=O)=O)c1 ZINC001351620480 1074334770 /nfs/dbraw/zinc/33/47/70/1074334770.db2.gz KEXPIXVFORPYFC-CYBMUJFWSA-N 0 0 432.520 -0.136 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(OC)c(S(C)(=O)=O)c1 ZINC001351620498 1074334690 /nfs/dbraw/zinc/33/46/90/1074334690.db2.gz KEXPIXVFORPYFC-ZDUSSCGKSA-N 0 0 432.520 -0.136 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N(C)C)nc1 ZINC001351622100 1074334835 /nfs/dbraw/zinc/33/48/35/1074334835.db2.gz YIUBIHVBWKTHNJ-CYBMUJFWSA-N 0 0 432.524 -0.903 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(S(=O)(=O)N(C)C)nc1 ZINC001351622101 1074334810 /nfs/dbraw/zinc/33/48/10/1074334810.db2.gz YIUBIHVBWKTHNJ-ZDUSSCGKSA-N 0 0 432.524 -0.903 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCCN2C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001351681933 1074334710 /nfs/dbraw/zinc/33/47/10/1074334710.db2.gz BGYPGLHXEGBIFO-HNNXBMFYSA-N 0 0 443.547 -0.091 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)c(C)s1 ZINC001351697664 1074334668 /nfs/dbraw/zinc/33/46/68/1074334668.db2.gz JXWKVKUWUQCORN-LBPRGKRZSA-N 0 0 435.549 -0.889 20 0 IBADRN COc1ccc(NC(=O)[C@]2(OC)CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001351757102 1074334828 /nfs/dbraw/zinc/33/48/28/1074334828.db2.gz RWHTUXCUTWQULP-KRWDZBQOSA-N 0 0 448.519 -0.142 20 0 IBADRN COc1ccc(NC(=O)[C@@]2(OC)CCS(=O)(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001351757104 1074334780 /nfs/dbraw/zinc/33/47/80/1074334780.db2.gz RWHTUXCUTWQULP-QGZVFWFLSA-N 0 0 448.519 -0.142 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC001351783519 1074334788 /nfs/dbraw/zinc/33/47/88/1074334788.db2.gz KQPKXZGLGKXBBI-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC001351783520 1074334843 /nfs/dbraw/zinc/33/48/43/1074334843.db2.gz KQPKXZGLGKXBBI-CQSZACIVSA-N 0 0 432.543 -0.004 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CCC3(CNC(=O)O3)CC1)c2=O ZINC001351807117 1074334854 /nfs/dbraw/zinc/33/48/54/1074334854.db2.gz PPCPCVHBEWOOJU-UHFFFAOYSA-N 0 0 425.243 -0.007 20 0 IBADRN Cn1nc(I)cc1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001351866572 1074334817 /nfs/dbraw/zinc/33/48/17/1074334817.db2.gz YRYLMCLXXGCCSO-UHFFFAOYSA-N 0 0 428.252 -0.584 20 0 IBADRN CCOc1ccc(NC(=O)CN2CC(=O)N(C)C2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001351991610 1074334675 /nfs/dbraw/zinc/33/46/75/1074334675.db2.gz UVKBKFWSSCNGDD-UHFFFAOYSA-N 0 0 440.478 -0.061 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC(C(=O)NCCO)CC1 ZINC001352008545 1074334743 /nfs/dbraw/zinc/33/47/43/1074334743.db2.gz LHQVTJULNXHLRQ-HNNXBMFYSA-N 0 0 426.514 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCC(C(=O)NCCO)CC1 ZINC001352008547 1074334764 /nfs/dbraw/zinc/33/47/64/1074334764.db2.gz LHQVTJULNXHLRQ-OAHLLOKOSA-N 0 0 426.514 -0.151 20 0 IBADRN NC(=O)c1ncn(CC(=O)N2CCN(S(=O)(=O)c3ccccc3C(F)(F)F)CC2)n1 ZINC001352171896 1074334719 /nfs/dbraw/zinc/33/47/19/1074334719.db2.gz DQYKMCRLQDGSRC-UHFFFAOYSA-N 0 0 446.411 -0.071 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001352215237 1074335316 /nfs/dbraw/zinc/33/53/16/1074335316.db2.gz KGSSXGOQZQNHCW-GDBMZVCRSA-N 0 0 431.536 -0.189 20 0 IBADRN CCN1CCOC[C@@H]1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001352215239 1074335119 /nfs/dbraw/zinc/33/51/19/1074335119.db2.gz KGSSXGOQZQNHCW-GOEBONIOSA-N 0 0 431.536 -0.189 20 0 IBADRN CCN1CCOC[C@H]1C(=O)Nc1ccc(S(=O)(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001352215241 1074335285 /nfs/dbraw/zinc/33/52/85/1074335285.db2.gz KGSSXGOQZQNHCW-HOCLYGCPSA-N 0 0 431.536 -0.189 20 0 IBADRN CCN1CCOC[C@H]1C(=O)Nc1ccc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001352215242 1074335223 /nfs/dbraw/zinc/33/52/23/1074335223.db2.gz KGSSXGOQZQNHCW-ZBFHGGJFSA-N 0 0 431.536 -0.189 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC(NC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc1 ZINC001352288470 1074335255 /nfs/dbraw/zinc/33/52/55/1074335255.db2.gz KZXUVCHYDITDBS-HNNXBMFYSA-N 0 0 429.520 -0.822 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001352306052 1074335161 /nfs/dbraw/zinc/33/51/61/1074335161.db2.gz UQSWMLXBLHJPLQ-GOSISDBHSA-N 0 0 443.504 -0.162 20 0 IBADRN O=C(NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001352306055 1074335278 /nfs/dbraw/zinc/33/52/78/1074335278.db2.gz UQSWMLXBLHJPLQ-SFHVURJKSA-N 0 0 443.504 -0.162 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)CN3CCCCS3(=O)=O)cc2)CC1 ZINC001352325906 1074335309 /nfs/dbraw/zinc/33/53/09/1074335309.db2.gz XXPBAACDTUQTKD-UHFFFAOYSA-N 0 0 430.552 -0.013 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccc(NC(=O)Cn3nc4n(c3=O)CCCC4)cc2)CC1 ZINC001352325929 1074335264 /nfs/dbraw/zinc/33/52/64/1074335264.db2.gz YSQXYDDNAWNADZ-UHFFFAOYSA-N 0 0 434.522 -0.044 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)NCCNC(=O)c1cnccn1)c2=O ZINC001352335912 1074335100 /nfs/dbraw/zinc/33/51/00/1074335100.db2.gz HKXXTYUMVBANRG-UHFFFAOYSA-N 0 0 435.242 -0.771 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)c1 ZINC001352466467 1074335871 /nfs/dbraw/zinc/33/58/71/1074335871.db2.gz CXNHAMLTFNRPFN-ZDUSSCGKSA-N 0 0 433.508 -0.423 20 0 IBADRN O=C(CN1CCCCS1(=O)=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001352548439 1074335960 /nfs/dbraw/zinc/33/59/60/1074335960.db2.gz FLTBEBKIVJLTOL-UHFFFAOYSA-N 0 0 425.536 -0.174 20 0 IBADRN COC1(C(=O)N2CCN(S(=O)(=O)c3ccc(C(C)=O)cc3)CC2)CS(=O)(=O)C1 ZINC001352652696 1074336021 /nfs/dbraw/zinc/33/60/21/1074336021.db2.gz UASSMPZFKXFNPY-UHFFFAOYSA-N 0 0 430.504 -0.464 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)cc2S1(=O)=O ZINC001352694880 1074336361 /nfs/dbraw/zinc/33/63/61/1074336361.db2.gz MKTIDHYYRCWOFG-UHFFFAOYSA-N 0 0 439.519 -0.336 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC001352768152 1074337101 /nfs/dbraw/zinc/33/71/01/1074337101.db2.gz PXSSRJXOZHJESQ-CYBMUJFWSA-N 0 0 432.462 -0.393 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)c1ccc2c(c1)S(=O)(=O)N=C1NC=NN12 ZINC001352768153 1074337116 /nfs/dbraw/zinc/33/71/16/1074337116.db2.gz PXSSRJXOZHJESQ-ZDUSSCGKSA-N 0 0 432.462 -0.393 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001352932154 1074337417 /nfs/dbraw/zinc/33/74/17/1074337417.db2.gz YFSJRMSSZATBBS-KRWDZBQOSA-N 0 0 437.518 -0.076 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001352932155 1074337864 /nfs/dbraw/zinc/33/78/64/1074337864.db2.gz YFSJRMSSZATBBS-QGZVFWFLSA-N 0 0 437.518 -0.076 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(C(=O)NCCO)CC2)c1 ZINC001352960145 1074337636 /nfs/dbraw/zinc/33/76/36/1074337636.db2.gz MOKCMJDCPRNAEL-UHFFFAOYSA-N 0 0 440.522 -0.986 20 0 IBADRN COC1(C(=O)N2CCN(S(=O)(=O)c3ccc(F)c(F)c3F)CC2)CS(=O)(=O)C1 ZINC001352964683 1074337846 /nfs/dbraw/zinc/33/78/46/1074337846.db2.gz CIWVMCFTDGDMGY-UHFFFAOYSA-N 0 0 442.437 -0.250 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCS(=O)(=O)N2CCSCC2)[C@H]1c1ccnn1C ZINC001352969971 1074337748 /nfs/dbraw/zinc/33/77/48/1074337748.db2.gz WBGIKNRIXOLVSE-CVEARBPZSA-N 0 0 445.567 -0.797 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001352993184 1074337696 /nfs/dbraw/zinc/33/76/96/1074337696.db2.gz WJTNWJSOCNLHHM-QAPCUYQASA-N 0 0 440.570 -0.028 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001352993186 1074337657 /nfs/dbraw/zinc/33/76/57/1074337657.db2.gz WJTNWJSOCNLHHM-YJBOKZPZSA-N 0 0 440.570 -0.028 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(N(C)C)c(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)c1 ZINC001353038393 1074337815 /nfs/dbraw/zinc/33/78/15/1074337815.db2.gz VZGPDNCCUOMQRZ-AWEZNQCLSA-N 0 0 446.551 -0.365 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)[C@H]3CCCN(S(C)(=O)=O)C3)cn2)CC1 ZINC001353047988 1074337804 /nfs/dbraw/zinc/33/78/04/1074337804.db2.gz QNRONQLFRWGKDY-AWEZNQCLSA-N 0 0 445.567 -0.227 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)CN3CCCCS3(=O)=O)cn2)CC1 ZINC001353048724 1074337828 /nfs/dbraw/zinc/33/78/28/1074337828.db2.gz XXAKQJFNJKAMES-UHFFFAOYSA-N 0 0 431.540 -0.473 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)NCC(=O)N2CCN(C)CC2)c1 ZINC001353238535 1074338604 /nfs/dbraw/zinc/33/86/04/1074338604.db2.gz BIKIRASMZWWYHM-UHFFFAOYSA-N 0 0 432.930 -0.232 20 0 IBADRN O=C([C@H]1COC(=O)N1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC001353246010 1074338528 /nfs/dbraw/zinc/33/85/28/1074338528.db2.gz ACVLSYBENCFHAM-LLVKDONJSA-N 0 0 444.853 -0.388 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001353281465 1074338357 /nfs/dbraw/zinc/33/83/57/1074338357.db2.gz NFRLVYSJSMJLJD-KRWDZBQOSA-N 0 0 446.595 -0.187 20 0 IBADRN CCN1CCN(S(=O)(=O)c2cccc(NC(=O)C3(OC)CS(=O)(=O)C3)c2)CC1 ZINC001353305313 1074338551 /nfs/dbraw/zinc/33/85/51/1074338551.db2.gz OQSKUCSSCSFOMG-UHFFFAOYSA-N 0 0 431.536 -0.235 20 0 IBADRN COc1cc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)ncn1 ZINC001353399438 1074339001 /nfs/dbraw/zinc/33/90/01/1074339001.db2.gz NCTPCDFILWYJBQ-UHFFFAOYSA-N 0 0 433.446 -0.037 20 0 IBADRN COCCCn1nc(C(=O)Nc2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)ccc1=O ZINC001353459727 1074338809 /nfs/dbraw/zinc/33/88/09/1074338809.db2.gz HNWGIDGLHFVIMB-UHFFFAOYSA-N 0 0 449.489 -0.347 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC001353486086 1074339705 /nfs/dbraw/zinc/33/97/05/1074339705.db2.gz IFDAQQWNNAHZMG-CABCVRRESA-N 0 0 438.550 -0.229 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)cc1 ZINC001353486087 1074339604 /nfs/dbraw/zinc/33/96/04/1074339604.db2.gz IFDAQQWNNAHZMG-GJZGRUSLSA-N 0 0 438.550 -0.229 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)cc1 ZINC001353486088 1074339711 /nfs/dbraw/zinc/33/97/11/1074339711.db2.gz IFDAQQWNNAHZMG-HUUCEWRRSA-N 0 0 438.550 -0.229 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC001353486089 1074339594 /nfs/dbraw/zinc/33/95/94/1074339594.db2.gz IFDAQQWNNAHZMG-LSDHHAIUSA-N 0 0 438.550 -0.229 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC001353486868 1074339679 /nfs/dbraw/zinc/33/96/79/1074339679.db2.gz UXWQXQVZCJEBTM-AWEZNQCLSA-N 0 0 427.523 -0.040 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC001353486869 1074339727 /nfs/dbraw/zinc/33/97/27/1074339727.db2.gz UXWQXQVZCJEBTM-CQSZACIVSA-N 0 0 427.523 -0.040 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)[C@]1(F)CCS(=O)(=O)C1 ZINC001353578191 1074339976 /nfs/dbraw/zinc/33/99/76/1074339976.db2.gz YLAGNLRPRITPSP-KRWDZBQOSA-N 0 0 448.494 -0.183 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)[C@@]1(F)CCS(=O)(=O)C1 ZINC001353578193 1074340055 /nfs/dbraw/zinc/34/00/55/1074340055.db2.gz YLAGNLRPRITPSP-QGZVFWFLSA-N 0 0 448.494 -0.183 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(NC(=O)c2cc3n(n2)CCN(C)S3(=O)=O)cc1 ZINC001353598724 1074340193 /nfs/dbraw/zinc/34/01/93/1074340193.db2.gz RNOJHQDOODPGGD-UHFFFAOYSA-N 0 0 429.480 -0.049 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc1C ZINC001353642695 1074340154 /nfs/dbraw/zinc/34/01/54/1074340154.db2.gz XRYHFGKAOLVYEM-HNNXBMFYSA-N 0 0 429.520 -0.950 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3OCCO[C@@H]3C(F)(F)F)CC2)o1 ZINC001353644401 1074340039 /nfs/dbraw/zinc/34/00/39/1074340039.db2.gz VBXIYIMMCXUGDL-NEPJUHHUSA-N 0 0 441.384 -0.442 20 0 IBADRN O=C(COCc1cc[nH]c(=O)c1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001353768649 1074340637 /nfs/dbraw/zinc/34/06/37/1074340637.db2.gz CZXONXYAQXHUPF-UHFFFAOYSA-N 0 0 435.506 -0.033 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3CCCCS3(=O)=O)CC2)c1 ZINC001353775352 1074340656 /nfs/dbraw/zinc/34/06/56/1074340656.db2.gz XJVFSTSUHVGOCT-UHFFFAOYSA-N 0 0 443.547 -0.200 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)Cn3nc4n(c3=O)CCCC4)CC2)c1 ZINC001353775429 1074340624 /nfs/dbraw/zinc/34/06/24/1074340624.db2.gz ZCBINMLDBREYAC-UHFFFAOYSA-N 0 0 447.517 -0.231 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCN(S(=O)(=O)c2ccc(Cl)s2)CC1 ZINC001353876522 1074340840 /nfs/dbraw/zinc/34/08/40/1074340840.db2.gz DUGHHPDVROSUMX-VIFPVBQESA-N 0 0 441.940 -0.852 20 0 IBADRN CCOC(=O)C(NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)C(=O)OCC ZINC001353896968 1074341346 /nfs/dbraw/zinc/34/13/46/1074341346.db2.gz GVIMZDHEMJOVCG-UHFFFAOYSA-N 0 0 428.463 -0.227 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCc2ccc(S(N)(=O)=O)cc2)[C@H]1c1ccnn1C ZINC001354001865 1074341306 /nfs/dbraw/zinc/34/13/06/1074341306.db2.gz DLIKGDGRRMSMHH-MSOLQXFVSA-N 0 0 435.506 -0.285 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@H]1CCCN(CC(=O)OC(C)(C)C)C1=O ZINC001354002150 1074341893 /nfs/dbraw/zinc/34/18/93/1074341893.db2.gz HCWPFSOAGXFGPB-INIZCTEOSA-N 0 0 441.525 -0.100 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@@H]1CCCN(CC(=O)OC(C)(C)C)C1=O ZINC001354002151 1074341880 /nfs/dbraw/zinc/34/18/80/1074341880.db2.gz HCWPFSOAGXFGPB-MRXNPFEDSA-N 0 0 441.525 -0.100 20 0 IBADRN O=C(c1ccc(C(F)(F)F)cc1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001354034791 1074342343 /nfs/dbraw/zinc/34/23/43/1074342343.db2.gz CBRHCDFEDIOLNP-ZDUSSCGKSA-N 0 0 433.408 -0.097 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCC2)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001354136984 1074343855 /nfs/dbraw/zinc/34/38/55/1074343855.db2.gz ZTJLPNRYYPKINJ-UHFFFAOYSA-N 0 0 449.533 -0.141 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)[C@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1 ZINC001354166669 1074343811 /nfs/dbraw/zinc/34/38/11/1074343811.db2.gz YBSQCVCHFOHQGW-ZDUSSCGKSA-N 0 0 433.556 -0.639 20 0 IBADRN O=C(CN1CCCCS1(=O)=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001354298805 1074344310 /nfs/dbraw/zinc/34/43/10/1074344310.db2.gz UNBUYPAQQVCFSC-UHFFFAOYSA-N 0 0 426.520 -0.295 20 0 IBADRN CN1CCN(C(=O)[C@H]2CCc3ccccc3N2C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001354337779 1074344858 /nfs/dbraw/zinc/34/48/58/1074344858.db2.gz JMXZQSOMCAALSS-DOTOQJQBSA-N 0 0 434.518 -0.978 20 0 IBADRN CN1CCN(C(=O)[C@@H]2CCc3ccccc3N2C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001354337783 1074344743 /nfs/dbraw/zinc/34/47/43/1074344743.db2.gz JMXZQSOMCAALSS-RDJZCZTQSA-N 0 0 434.518 -0.978 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)c2cc3n(n2)CCN(C)S3(=O)=O)cc1 ZINC001354385290 1074345187 /nfs/dbraw/zinc/34/51/87/1074345187.db2.gz CQFWZRNUKNYJRV-UHFFFAOYSA-N 0 0 435.462 -0.399 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2CCCN(c3ccn(C)n3)C2=O)[C@H]1c1ccnn1C ZINC001354430394 1074345079 /nfs/dbraw/zinc/34/50/79/1074345079.db2.gz RDKGTWIMDSAMHG-VHSSKADRSA-N 0 0 429.481 -0.246 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CCCN(c3ccn(C)n3)C2=O)[C@H]1c1ccnn1C ZINC001354430395 1074345041 /nfs/dbraw/zinc/34/50/41/1074345041.db2.gz RDKGTWIMDSAMHG-XWIAVFTESA-N 0 0 429.481 -0.246 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2=O)cn1 ZINC001354430786 1074345174 /nfs/dbraw/zinc/34/51/74/1074345174.db2.gz XYDCQQPFWVJLHR-GDBMZVCRSA-N 0 0 429.481 -0.072 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N[C@@H]3CCCN(c4ccn(C)n4)C3=O)CC2=O)cn1 ZINC001354430787 1074345294 /nfs/dbraw/zinc/34/52/94/1074345294.db2.gz XYDCQQPFWVJLHR-GOEBONIOSA-N 0 0 429.481 -0.072 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2=O)cn1 ZINC001354430788 1074345247 /nfs/dbraw/zinc/34/52/47/1074345247.db2.gz XYDCQQPFWVJLHR-HOCLYGCPSA-N 0 0 429.481 -0.072 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@H]3CCCN(c4ccn(C)n4)C3=O)CC2=O)cn1 ZINC001354430789 1074345205 /nfs/dbraw/zinc/34/52/05/1074345205.db2.gz XYDCQQPFWVJLHR-ZBFHGGJFSA-N 0 0 429.481 -0.072 20 0 IBADRN COc1ccc(CN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001354452209 1074345694 /nfs/dbraw/zinc/34/56/94/1074345694.db2.gz AXIUTBLNNLCUNR-HIFRSBDPSA-N 0 0 430.504 -0.876 20 0 IBADRN COc1ccc(CN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)[C@H]2CCS(=O)(=O)C2)cc1 ZINC001354452210 1074345632 /nfs/dbraw/zinc/34/56/32/1074345632.db2.gz AXIUTBLNNLCUNR-ZFWWWQNUSA-N 0 0 430.504 -0.876 20 0 IBADRN CO[C@@]1(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CCS(=O)(=O)C1 ZINC001354748562 1074346841 /nfs/dbraw/zinc/34/68/41/1074346841.db2.gz FTZNRPAFQZPEGV-KRWDZBQOSA-N 0 0 427.504 -0.517 20 0 IBADRN CO[C@]1(C(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CCS(=O)(=O)C1 ZINC001354748564 1074347045 /nfs/dbraw/zinc/34/70/45/1074347045.db2.gz FTZNRPAFQZPEGV-QGZVFWFLSA-N 0 0 427.504 -0.517 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)[C@H]1c1nccn1C ZINC001354754261 1074347452 /nfs/dbraw/zinc/34/74/52/1074347452.db2.gz HKQQJTBQRARWDO-CSFVBSKPSA-N 0 0 429.481 -0.248 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)[C@H]1c1nccn1C ZINC001354754263 1074347354 /nfs/dbraw/zinc/34/73/54/1074347354.db2.gz HKQQJTBQRARWDO-IRWJRLHMSA-N 0 0 429.481 -0.248 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)[C@H]1c1nccn1C ZINC001354754266 1074347533 /nfs/dbraw/zinc/34/75/33/1074347533.db2.gz HKQQJTBQRARWDO-QCPWZWHMSA-N 0 0 429.481 -0.248 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)[C@H]1c1nccn1C ZINC001354754267 1074347441 /nfs/dbraw/zinc/34/74/41/1074347441.db2.gz HKQQJTBQRARWDO-YVVXQTMVSA-N 0 0 429.481 -0.248 20 0 IBADRN CS(=O)(=O)N1CCC(CC(=O)N2CCN(S(=O)(=O)Cc3ccon3)CC2)CC1 ZINC001354792105 1074347569 /nfs/dbraw/zinc/34/75/69/1074347569.db2.gz MFARJXOQMMCVDC-UHFFFAOYSA-N 0 0 434.540 -0.290 20 0 IBADRN O=C([C@@H]1CSCC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001354821709 1074347978 /nfs/dbraw/zinc/34/79/78/1074347978.db2.gz QQDUKDATPUJERT-ZDUSSCGKSA-N 0 0 427.504 -0.478 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2CCN(c3cc(C)nn3C)C2=O)[C@H]1c1ccnn1C ZINC001354886213 1074348340 /nfs/dbraw/zinc/34/83/40/1074348340.db2.gz FVTHKYNSSOEYGS-VHSSKADRSA-N 0 0 429.481 -0.328 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CCN(c3cc(C)nn3C)C2=O)[C@H]1c1ccnn1C ZINC001354886218 1074348350 /nfs/dbraw/zinc/34/83/50/1074348350.db2.gz FVTHKYNSSOEYGS-XWIAVFTESA-N 0 0 429.481 -0.328 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)c2cc3n(n2)CCN(C)S3(=O)=O)cc1 ZINC001355054650 1074349284 /nfs/dbraw/zinc/34/92/84/1074349284.db2.gz MNEXCHXCVBBBEM-UHFFFAOYSA-N 0 0 427.508 -0.466 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1)C(=O)NCCN1CCOCC1 ZINC001355062363 1074349187 /nfs/dbraw/zinc/34/91/87/1074349187.db2.gz YXHAIIRHCWICTM-YRPNKDGESA-N 0 0 440.585 -0.155 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1)C(=O)NCCN1CCOCC1 ZINC001355062365 1074349250 /nfs/dbraw/zinc/34/92/50/1074349250.db2.gz YXHAIIRHCWICTM-YSTOQKLRSA-N 0 0 440.585 -0.155 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1)C(=O)NCCN1CCOCC1 ZINC001355062367 1074349084 /nfs/dbraw/zinc/34/90/84/1074349084.db2.gz YXHAIIRHCWICTM-ZGXWSNOMSA-N 0 0 440.585 -0.155 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1)C(=O)NCCN1CCOCC1 ZINC001355062369 1074349173 /nfs/dbraw/zinc/34/91/73/1074349173.db2.gz YXHAIIRHCWICTM-ZRNYENFQSA-N 0 0 440.585 -0.155 20 0 IBADRN CC[C@H](NC(=O)c1ccccc1S(=O)(=O)CCS(C)(=O)=O)C(=O)N1CCOCC1 ZINC001355085808 1074349264 /nfs/dbraw/zinc/34/92/64/1074349264.db2.gz ZETNYQSLCIMTNH-HNNXBMFYSA-N 0 0 446.547 -0.128 20 0 IBADRN CC[C@@H](NC(=O)c1ccccc1S(=O)(=O)CCS(C)(=O)=O)C(=O)N1CCOCC1 ZINC001355085810 1074349244 /nfs/dbraw/zinc/34/92/44/1074349244.db2.gz ZETNYQSLCIMTNH-OAHLLOKOSA-N 0 0 446.547 -0.128 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)[C@H]2CCCN(S(C)(=O)=O)C2)CC1 ZINC001355099000 1074349229 /nfs/dbraw/zinc/34/92/29/1074349229.db2.gz KNMUVROVHNXWHT-AWEZNQCLSA-N 0 0 432.543 -0.147 20 0 IBADRN Cn1nc2c(c1C(=O)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)CS(=O)(=O)CC2 ZINC001355104761 1074349199 /nfs/dbraw/zinc/34/91/99/1074349199.db2.gz UHCAYRHLBHVNHB-CHWSQXEVSA-N 0 0 431.536 -0.301 20 0 IBADRN Cn1nc2c(c1C(=O)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1)CS(=O)(=O)CC2 ZINC001355104763 1074349163 /nfs/dbraw/zinc/34/91/63/1074349163.db2.gz UHCAYRHLBHVNHB-OLZOCXBDSA-N 0 0 431.536 -0.301 20 0 IBADRN Cn1nc2c(c1C(=O)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)CS(=O)(=O)CC2 ZINC001355104783 1074349312 /nfs/dbraw/zinc/34/93/12/1074349312.db2.gz UHCAYRHLBHVNHB-QWHCGFSZSA-N 0 0 431.536 -0.301 20 0 IBADRN Cn1nc2c(c1C(=O)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1)CS(=O)(=O)CC2 ZINC001355104786 1074349109 /nfs/dbraw/zinc/34/91/09/1074349109.db2.gz UHCAYRHLBHVNHB-STQMWFEESA-N 0 0 431.536 -0.301 20 0 IBADRN COC1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)CS(=O)(=O)C1 ZINC001355119236 1074349271 /nfs/dbraw/zinc/34/92/71/1074349271.db2.gz WNLWDIOOIBYHOS-UHFFFAOYSA-N 0 0 428.532 -0.178 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC3(CNC(=O)O3)CC2)c1 ZINC001355126687 1074349294 /nfs/dbraw/zinc/34/92/94/1074349294.db2.gz JAESBZHOJQCOAR-UHFFFAOYSA-N 0 0 426.495 -0.020 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)co1 ZINC001355133976 1074349141 /nfs/dbraw/zinc/34/91/41/1074349141.db2.gz CDDOYPTYEINOSR-UHFFFAOYSA-N 0 0 442.519 -0.040 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@H]3CCC[C@@]34NC(=O)N(C)C4=O)CC2=O)cn1 ZINC001355155245 1074349736 /nfs/dbraw/zinc/34/97/36/1074349736.db2.gz CPZHANFGABHTFX-ARGWCVDVSA-N 0 0 432.481 -0.281 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@@H]3CCC[C@]34NC(=O)N(C)C4=O)CC2=O)cn1 ZINC001355155249 1074349636 /nfs/dbraw/zinc/34/96/36/1074349636.db2.gz CPZHANFGABHTFX-CKNLXJGOSA-N 0 0 432.481 -0.281 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@H]3CCC[C@]34NC(=O)N(C)C4=O)CC2=O)cn1 ZINC001355155252 1074349723 /nfs/dbraw/zinc/34/97/23/1074349723.db2.gz CPZHANFGABHTFX-JZKQVHKSSA-N 0 0 432.481 -0.281 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@@H]3CCC[C@@]34NC(=O)N(C)C4=O)CC2=O)cn1 ZINC001355155260 1074349688 /nfs/dbraw/zinc/34/96/88/1074349688.db2.gz CPZHANFGABHTFX-LAPQFRIASA-N 0 0 432.481 -0.281 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)[C@H]1c1ccnn1C ZINC001355156856 1074349751 /nfs/dbraw/zinc/34/97/51/1074349751.db2.gz VSFOBRIPMHNVMY-DTGLCGDCSA-N 0 0 432.481 -0.455 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)[C@H]1c1ccnn1C ZINC001355156857 1074349680 /nfs/dbraw/zinc/34/96/80/1074349680.db2.gz VSFOBRIPMHNVMY-DVNRBFFJSA-N 0 0 432.481 -0.455 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)[C@H]1c1ccnn1C ZINC001355156858 1074349630 /nfs/dbraw/zinc/34/96/30/1074349630.db2.gz VSFOBRIPMHNVMY-UXFYUNLUSA-N 0 0 432.481 -0.455 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)[C@H]1c1ccnn1C ZINC001355156859 1074349604 /nfs/dbraw/zinc/34/96/04/1074349604.db2.gz VSFOBRIPMHNVMY-ZIKOISBGSA-N 0 0 432.481 -0.455 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1OCC[C@H]1NC(=O)OC(C)(C)C ZINC001355279517 1074349660 /nfs/dbraw/zinc/34/96/60/1074349660.db2.gz XQQYMTWZNDPTNQ-GUTXKFCHSA-N 0 0 433.527 -0.180 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1OCC[C@H]1NC(=O)OC(C)(C)C ZINC001355279520 1074349647 /nfs/dbraw/zinc/34/96/47/1074349647.db2.gz XQQYMTWZNDPTNQ-NFAWXSAZSA-N 0 0 433.527 -0.180 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)c3cn(C[C@@H]4CNC(=O)O4)nn3)cc2)CC1 ZINC001355290813 1074349756 /nfs/dbraw/zinc/34/97/56/1074349756.db2.gz WIFOTEIIXHKHHA-INIZCTEOSA-N 0 0 427.465 -0.045 20 0 IBADRN CN1CCN(C(=O)Cc2ccc(NC(=O)c3cn(C[C@H]4CNC(=O)O4)nn3)cc2)CC1 ZINC001355290814 1074349781 /nfs/dbraw/zinc/34/97/81/1074349781.db2.gz WIFOTEIIXHKHHA-MRXNPFEDSA-N 0 0 427.465 -0.045 20 0 IBADRN Cn1c(C2CCOCC2)nnc1N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001355371084 1074349703 /nfs/dbraw/zinc/34/97/03/1074349703.db2.gz XJFUFWWASCMQSX-AWEZNQCLSA-N 0 0 433.556 -0.652 20 0 IBADRN Cn1c(C2CCOCC2)nnc1N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001355371085 1074350074 /nfs/dbraw/zinc/35/00/74/1074350074.db2.gz XJFUFWWASCMQSX-CQSZACIVSA-N 0 0 433.556 -0.652 20 0 IBADRN CN(C1CCCCC1)S(=O)(=O)N1CCN(C(=O)C2(n3cnnn3)CCOCC2)CC1 ZINC001355420551 1074350230 /nfs/dbraw/zinc/35/02/30/1074350230.db2.gz VDFHUJPICGZTTR-UHFFFAOYSA-N 0 0 441.558 -0.168 20 0 IBADRN COC(=O)c1cccn(CC(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c1=O ZINC001355529034 1074350064 /nfs/dbraw/zinc/35/00/64/1074350064.db2.gz VFOAIVVAKYSVBO-UHFFFAOYSA-N 0 0 436.465 -0.170 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(CC(=O)N3CCCC3)CC2)[C@H]1c1ccnn1C ZINC001355712860 1074350288 /nfs/dbraw/zinc/35/02/88/1074350288.db2.gz VVLHAYAFAKUQRH-UXHICEINSA-N 0 0 432.525 -0.525 20 0 IBADRN COC(=O)[C@@H]1CO[C@H](CCC(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1 ZINC001355758482 1074350113 /nfs/dbraw/zinc/35/01/13/1074350113.db2.gz AIFFBCNDPORDLO-LSDHHAIUSA-N 0 0 428.511 -0.080 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN(S(C)(=O)=O)C3)CC2)cn1C ZINC001355758651 1074350146 /nfs/dbraw/zinc/35/01/46/1074350146.db2.gz DLWLDDFNACMVJF-AWEZNQCLSA-N 0 0 433.556 -0.767 20 0 IBADRN CC(C)C(=O)N1CCC(C(=O)OCCN2CCN(C(=O)c3ccc(=O)n(C)n3)CC2)CC1 ZINC001356003227 1074350174 /nfs/dbraw/zinc/35/01/74/1074350174.db2.gz KNGMSFGETLGBIJ-UHFFFAOYSA-N 0 0 447.536 -0.024 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1NC(=O)C1(OC)CS(=O)(=O)C1 ZINC001356066335 1074350269 /nfs/dbraw/zinc/35/02/69/1074350269.db2.gz VPNJRBGTQJGWLF-UHFFFAOYSA-N 0 0 447.535 -0.617 20 0 IBADRN Cn1cc(C2=NO[C@H](C(=O)Nc3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2)cn1 ZINC001356145606 1074350631 /nfs/dbraw/zinc/35/06/31/1074350631.db2.gz NTCZQYUFHDWXKL-INIZCTEOSA-N 0 0 432.462 -0.328 20 0 IBADRN Cn1cc(C2=NO[C@@H](C(=O)Nc3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C2)cn1 ZINC001356145607 1074350694 /nfs/dbraw/zinc/35/06/94/1074350694.db2.gz NTCZQYUFHDWXKL-MRXNPFEDSA-N 0 0 432.462 -0.328 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001356146329 1074350535 /nfs/dbraw/zinc/35/05/35/1074350535.db2.gz SAMYFQQBQVRCAS-INIZCTEOSA-N 0 0 434.541 -0.011 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)[C@@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001356146335 1074350782 /nfs/dbraw/zinc/35/07/82/1074350782.db2.gz SAMYFQQBQVRCAS-MRXNPFEDSA-N 0 0 434.541 -0.011 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)Nc3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2=O)cn1 ZINC001356156393 1074350728 /nfs/dbraw/zinc/35/07/28/1074350728.db2.gz CFNJPHXZRCUBKR-CYBMUJFWSA-N 0 0 446.489 -0.468 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)Nc3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)CC2=O)cn1 ZINC001356156396 1074350523 /nfs/dbraw/zinc/35/05/23/1074350523.db2.gz CFNJPHXZRCUBKR-ZDUSSCGKSA-N 0 0 446.489 -0.468 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC001356182980 1074350705 /nfs/dbraw/zinc/35/07/05/1074350705.db2.gz SVAOQNGHLDATLQ-HNNXBMFYSA-N 0 0 441.531 -0.337 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCCc2cc(S(=O)(=O)N3CCCC3)ccc21 ZINC001356203958 1074350717 /nfs/dbraw/zinc/35/07/17/1074350717.db2.gz RDZUDPQSDFREBH-HNNXBMFYSA-N 0 0 441.531 -0.337 20 0 IBADRN O=C(Nc1ncn(Cc2ccc(Br)cc2)n1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001356250106 1074350599 /nfs/dbraw/zinc/35/05/99/1074350599.db2.gz AYVASDORHZSNDA-NSHDSACASA-N 0 0 428.268 -0.059 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)C[C@]2(C)CCS(=O)(=O)N2)CC1 ZINC001356310738 1074350793 /nfs/dbraw/zinc/35/07/93/1074350793.db2.gz FAFLUNCDKMMZQK-INIZCTEOSA-N 0 0 434.540 -0.014 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(C(=O)C[C@@]2(C)CCS(=O)(=O)N2)CC1 ZINC001356310739 1074350679 /nfs/dbraw/zinc/35/06/79/1074350679.db2.gz FAFLUNCDKMMZQK-MRXNPFEDSA-N 0 0 434.540 -0.014 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCC[C@@H](CN2CCN(c3ccccn3)CC2)C1 ZINC001356326288 1074350507 /nfs/dbraw/zinc/35/05/07/1074350507.db2.gz FLNWZEJDKZZKQR-IRXDYDNUSA-N 0 0 435.550 -0.645 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCC[C@H](CN2CCN(c3ccccn3)CC2)C1 ZINC001356326291 1074351328 /nfs/dbraw/zinc/35/13/28/1074351328.db2.gz FLNWZEJDKZZKQR-SJORKVTESA-N 0 0 435.550 -0.645 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCCC1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001356415403 1074351022 /nfs/dbraw/zinc/35/10/22/1074351022.db2.gz MDZSDCAVMQUDEM-HNNXBMFYSA-N 0 0 429.520 -0.858 20 0 IBADRN C[C@@]1(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCS(=O)(=O)N1 ZINC001356506264 1074351171 /nfs/dbraw/zinc/35/11/71/1074351171.db2.gz HARHXFDSSJFMTN-KRWDZBQOSA-N 0 0 445.567 -0.879 20 0 IBADRN C[C@]1(CC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCS(=O)(=O)N1 ZINC001356506265 1074351247 /nfs/dbraw/zinc/35/12/47/1074351247.db2.gz HARHXFDSSJFMTN-QGZVFWFLSA-N 0 0 445.567 -0.879 20 0 IBADRN C[C@@H](C(=O)NCCS(=O)(=O)N1CCOCC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001356514045 1074351205 /nfs/dbraw/zinc/35/12/05/1074351205.db2.gz HQQAKXGQWVOOSW-AWEZNQCLSA-N 0 0 448.542 -0.768 20 0 IBADRN C[C@H](C(=O)NCCS(=O)(=O)N1CCOCC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001356514046 1074351282 /nfs/dbraw/zinc/35/12/82/1074351282.db2.gz HQQAKXGQWVOOSW-CQSZACIVSA-N 0 0 448.542 -0.768 20 0 IBADRN CC(C)(C)OC(=O)Cn1cccc(C(=O)NCCS(=O)(=O)N2CCOCC2)c1=O ZINC001356514917 1074351308 /nfs/dbraw/zinc/35/13/08/1074351308.db2.gz SFMFKJLUMXXTOP-UHFFFAOYSA-N 0 0 429.495 -0.418 20 0 IBADRN O=C(CCN1CCOCC1)Nc1cc(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)ccc1F ZINC001356561869 1074351056 /nfs/dbraw/zinc/35/10/56/1074351056.db2.gz JNLKMDGJPRNYEA-HNNXBMFYSA-N 0 0 442.469 -0.662 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCNS(=O)(=O)c2ccccc2)[C@H]1c1nccn1C ZINC001357113886 1074353091 /nfs/dbraw/zinc/35/30/91/1074353091.db2.gz XVEOSUAHQVVOEP-IRXDYDNUSA-N 0 0 435.506 -0.197 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)C1=O ZINC001357118278 1074353150 /nfs/dbraw/zinc/35/31/50/1074353150.db2.gz QFPMRXYHUQPKMH-PBHICJAKSA-N 0 0 443.456 -0.078 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)C1=O ZINC001357118299 1074353154 /nfs/dbraw/zinc/35/31/54/1074353154.db2.gz QFPMRXYHUQPKMH-RHSMWYFYSA-N 0 0 443.456 -0.078 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)C1=O ZINC001357118301 1074353239 /nfs/dbraw/zinc/35/32/39/1074353239.db2.gz QFPMRXYHUQPKMH-WMLDXEAASA-N 0 0 443.456 -0.078 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)C1=O ZINC001357118303 1074353115 /nfs/dbraw/zinc/35/31/15/1074353115.db2.gz QFPMRXYHUQPKMH-YOEHRIQHSA-N 0 0 443.456 -0.078 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC001357156875 1074353103 /nfs/dbraw/zinc/35/31/03/1074353103.db2.gz JRZXTNHUSXCDLT-CYBMUJFWSA-N 0 0 430.513 -0.029 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC001357156883 1074353142 /nfs/dbraw/zinc/35/31/42/1074353142.db2.gz JRZXTNHUSXCDLT-ZDUSSCGKSA-N 0 0 430.513 -0.029 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)[C@]1(F)CCS(=O)(=O)C1 ZINC001357182783 1074353123 /nfs/dbraw/zinc/35/31/23/1074353123.db2.gz KOWSZEUMMMXJIK-INIZCTEOSA-N 0 0 430.483 -0.077 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1)[C@@]1(F)CCS(=O)(=O)C1 ZINC001357182804 1074353055 /nfs/dbraw/zinc/35/30/55/1074353055.db2.gz KOWSZEUMMMXJIK-MRXNPFEDSA-N 0 0 430.483 -0.077 20 0 IBADRN O=C(CN1CCCCC(=O)C1=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC001357184079 1074353514 /nfs/dbraw/zinc/35/35/14/1074353514.db2.gz SYIHUVQBVQGYGZ-UHFFFAOYSA-N 0 0 433.490 -0.023 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1c2c(nn1C)CCS(=O)(=O)C2 ZINC001357286736 1074353415 /nfs/dbraw/zinc/35/34/15/1074353415.db2.gz BILFNVIFJORFHG-KRWDZBQOSA-N 0 0 434.518 -0.005 20 0 IBADRN CO[C@@]1(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCS(=O)(=O)C1 ZINC001357331719 1074353668 /nfs/dbraw/zinc/35/36/68/1074353668.db2.gz KRXXGGIRSBYOCY-KRWDZBQOSA-N 0 0 431.536 -0.235 20 0 IBADRN CO[C@]1(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)CCS(=O)(=O)C1 ZINC001357331740 1074353564 /nfs/dbraw/zinc/35/35/64/1074353564.db2.gz KRXXGGIRSBYOCY-QGZVFWFLSA-N 0 0 431.536 -0.235 20 0 IBADRN Cn1cc(I)c(C(=O)NCCS(=O)(=O)N2CCOCC2)n1 ZINC001357437895 1074353466 /nfs/dbraw/zinc/35/34/66/1074353466.db2.gz PWJHLBPWJAPUAP-UHFFFAOYSA-N 0 0 428.252 -0.584 20 0 IBADRN O=C(c1ccc(Br)cc1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001357465753 1074353652 /nfs/dbraw/zinc/35/36/52/1074353652.db2.gz DNBXWWHMXQYBSO-ZDUSSCGKSA-N 0 0 444.307 -0.353 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1=O ZINC001357492204 1074353624 /nfs/dbraw/zinc/35/36/24/1074353624.db2.gz ITFSXACUJZZPFC-HNNXBMFYSA-N 0 0 448.501 -0.309 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1=O ZINC001357492207 1074353597 /nfs/dbraw/zinc/35/35/97/1074353597.db2.gz ITFSXACUJZZPFC-OAHLLOKOSA-N 0 0 448.501 -0.309 20 0 IBADRN C[C@@]1(CC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CCS(=O)(=O)N1 ZINC001357492247 1074353536 /nfs/dbraw/zinc/35/35/36/1074353536.db2.gz KFBIODMJFSDXCV-KRWDZBQOSA-N 0 0 426.520 -0.248 20 0 IBADRN C[C@]1(CC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CCS(=O)(=O)N1 ZINC001357492248 1074353554 /nfs/dbraw/zinc/35/35/54/1074353554.db2.gz KFBIODMJFSDXCV-QGZVFWFLSA-N 0 0 426.520 -0.248 20 0 IBADRN O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001357507540 1074353432 /nfs/dbraw/zinc/35/34/32/1074353432.db2.gz RLLZVESPZGKKTF-GASCZTMLSA-N 0 0 434.474 -0.008 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)Nc3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2=O)cn1 ZINC001357508128 1074353527 /nfs/dbraw/zinc/35/35/27/1074353527.db2.gz SKQSNIZRSUHWKC-CYBMUJFWSA-N 0 0 434.478 -0.172 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)Nc3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2=O)cn1 ZINC001357508141 1074353577 /nfs/dbraw/zinc/35/35/77/1074353577.db2.gz SKQSNIZRSUHWKC-ZDUSSCGKSA-N 0 0 434.478 -0.172 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCNS(=O)(=O)c2ccccc2)[C@H]1c1ccnn1C ZINC001357548667 1074353453 /nfs/dbraw/zinc/35/34/53/1074353453.db2.gz HRNRNVWDBLWHMH-MSOLQXFVSA-N 0 0 435.506 -0.197 20 0 IBADRN O=C(c1cn(C[C@@H]2CNC(=O)O2)nn1)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC001357550589 1074353497 /nfs/dbraw/zinc/35/34/97/1074353497.db2.gz HGHCDZUFJFJDSM-KBPBESRZSA-N 0 0 426.499 -0.147 20 0 IBADRN O=C(c1cn(C[C@H]2CNC(=O)O2)nn1)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC001357550590 1074353634 /nfs/dbraw/zinc/35/36/34/1074353634.db2.gz HGHCDZUFJFJDSM-KGLIPLIRSA-N 0 0 426.499 -0.147 20 0 IBADRN O=C(c1cn(C[C@@H]2CNC(=O)O2)nn1)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC001357550591 1074353589 /nfs/dbraw/zinc/35/35/89/1074353589.db2.gz HGHCDZUFJFJDSM-UONOGXRCSA-N 0 0 426.499 -0.147 20 0 IBADRN O=C(c1cn(C[C@H]2CNC(=O)O2)nn1)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC001357550592 1074353639 /nfs/dbraw/zinc/35/36/39/1074353639.db2.gz HGHCDZUFJFJDSM-ZIAGYGMSSA-N 0 0 426.499 -0.147 20 0 IBADRN CN1CCn2nc(C(=O)NCCOc3ccc(NS(C)(=O)=O)cc3)cc2S1(=O)=O ZINC001357577909 1074353608 /nfs/dbraw/zinc/35/36/08/1074353608.db2.gz MHNISVMFPABBQM-UHFFFAOYSA-N 0 0 443.507 -0.303 20 0 IBADRN O=C(NCc1ccccc1S(=O)(=O)N1CCCCC1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001357650142 1074353964 /nfs/dbraw/zinc/35/39/64/1074353964.db2.gz VMUDYFKYNYCIQE-AWEZNQCLSA-N 0 0 429.520 -0.609 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC001357679031 1074354174 /nfs/dbraw/zinc/35/41/74/1074354174.db2.gz COJPIKUAOUVYGI-HNNXBMFYSA-N 0 0 440.545 -0.425 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCCCN2C(=O)NC(C)(C)C2=O)CC1 ZINC001357679032 1074354110 /nfs/dbraw/zinc/35/41/10/1074354110.db2.gz COJPIKUAOUVYGI-OAHLLOKOSA-N 0 0 440.545 -0.425 20 0 IBADRN CCOC(=O)C1(CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CCCC1 ZINC001357697648 1074354186 /nfs/dbraw/zinc/35/41/86/1074354186.db2.gz RVMOPDIDXWREDW-UHFFFAOYSA-N 0 0 445.586 -0.071 20 0 IBADRN CN1CCn2nc(C(=O)NCc3ccc(C(=O)N4CCOCC4)cc3)cc2S1(=O)=O ZINC001357770287 1074354129 /nfs/dbraw/zinc/35/41/29/1074354129.db2.gz FETKNCQMSNYCLH-UHFFFAOYSA-N 0 0 433.490 -0.080 20 0 IBADRN C[C@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCNC(=O)C1 ZINC001357798679 1074354252 /nfs/dbraw/zinc/35/42/52/1074354252.db2.gz NERDGPWPIZXGTD-KRWDZBQOSA-N 0 0 447.535 -0.177 20 0 IBADRN C[C@@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCNC(=O)C1 ZINC001357798680 1074354099 /nfs/dbraw/zinc/35/40/99/1074354099.db2.gz NERDGPWPIZXGTD-QGZVFWFLSA-N 0 0 447.535 -0.177 20 0 IBADRN O=C(NCCCNC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1)c1ccc(Br)cc1 ZINC001357995578 1074354076 /nfs/dbraw/zinc/35/40/76/1074354076.db2.gz BYEOHGNABNQVPP-LBPRGKRZSA-N 0 0 432.296 -0.402 20 0 IBADRN O=C(Cn1nc(C(F)(F)F)c2c1CCOC2)NCCS(=O)(=O)N1CCOCC1 ZINC001358210593 1074354229 /nfs/dbraw/zinc/35/42/29/1074354229.db2.gz MDXVRKSHDPSRRU-UHFFFAOYSA-N 0 0 426.417 -0.247 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1cc(Br)ccc1-n1cnnn1 ZINC001358210678 1074354261 /nfs/dbraw/zinc/35/42/61/1074354261.db2.gz PBGUUGXULZRKER-UHFFFAOYSA-N 0 0 445.299 -0.183 20 0 IBADRN O=C(CN1Cc2c(cnn2-c2ccccc2)C1=O)NCCS(=O)(=O)N1CCOCC1 ZINC001358210779 1074354035 /nfs/dbraw/zinc/35/40/35/1074354035.db2.gz UARAAJDFDQILQH-UHFFFAOYSA-N 0 0 433.490 -0.394 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC001358450718 1074353993 /nfs/dbraw/zinc/35/39/93/1074353993.db2.gz FHVQCNZXASGXRE-INIZCTEOSA-N 0 0 448.549 -0.312 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC001358450719 1074354018 /nfs/dbraw/zinc/35/40/18/1074354018.db2.gz FHVQCNZXASGXRE-MRXNPFEDSA-N 0 0 448.549 -0.312 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)CC1 ZINC001359350407 1074368936 /nfs/dbraw/zinc/36/89/36/1074368936.db2.gz CULJFYWIHUBWQH-CYBMUJFWSA-N 0 0 439.546 -0.373 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)CC1 ZINC001359350408 1074368979 /nfs/dbraw/zinc/36/89/79/1074368979.db2.gz CULJFYWIHUBWQH-ZDUSSCGKSA-N 0 0 439.546 -0.373 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001359350832 1074368903 /nfs/dbraw/zinc/36/89/03/1074368903.db2.gz PNXBRKKQAFFRRB-BBRMVZONSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001359350833 1074368867 /nfs/dbraw/zinc/36/88/67/1074368867.db2.gz PNXBRKKQAFFRRB-CJNGLKHVSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1 ZINC001359350834 1074368890 /nfs/dbraw/zinc/36/88/90/1074368890.db2.gz PNXBRKKQAFFRRB-CZUORRHYSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1 ZINC001359350835 1074368949 /nfs/dbraw/zinc/36/89/49/1074368949.db2.gz PNXBRKKQAFFRRB-XJKSGUPXSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCn2cncn2)CC1 ZINC001359353164 1074368965 /nfs/dbraw/zinc/36/89/65/1074368965.db2.gz SGZPGVOWZZWLSS-HNNXBMFYSA-N 0 0 436.542 -0.081 20 0 IBADRN CC(=O)N(C)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCn2cncn2)CC1 ZINC001359353165 1074369318 /nfs/dbraw/zinc/36/93/18/1074369318.db2.gz SGZPGVOWZZWLSS-OAHLLOKOSA-N 0 0 436.542 -0.081 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)C1 ZINC001359355785 1074369343 /nfs/dbraw/zinc/36/93/43/1074369343.db2.gz ALYKDKLIXAVKIL-GXTWGEPZSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)C1 ZINC001359355786 1074369321 /nfs/dbraw/zinc/36/93/21/1074369321.db2.gz ALYKDKLIXAVKIL-JSGCOSHPSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)C1 ZINC001359355787 1074369391 /nfs/dbraw/zinc/36/93/91/1074369391.db2.gz ALYKDKLIXAVKIL-OCCSQVGLSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)C1 ZINC001359355788 1074369329 /nfs/dbraw/zinc/36/93/29/1074369329.db2.gz ALYKDKLIXAVKIL-TZMCWYRMSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nncn2C)C1 ZINC001359356691 1074369407 /nfs/dbraw/zinc/36/94/07/1074369407.db2.gz QFBNOWRAQWAGNG-GXTWGEPZSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nncn2C)C1 ZINC001359356692 1074369349 /nfs/dbraw/zinc/36/93/49/1074369349.db2.gz QFBNOWRAQWAGNG-JSGCOSHPSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nncn2C)C1 ZINC001359356693 1074369361 /nfs/dbraw/zinc/36/93/61/1074369361.db2.gz QFBNOWRAQWAGNG-OCCSQVGLSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nncn2C)C1 ZINC001359356694 1074369302 /nfs/dbraw/zinc/36/93/02/1074369302.db2.gz QFBNOWRAQWAGNG-TZMCWYRMSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc(-c3cnccn3)n2CCS(=O)(=O)N(C)C)C1 ZINC001359358623 1074369334 /nfs/dbraw/zinc/36/93/34/1074369334.db2.gz CRNNIHFTUMSMCF-HNNXBMFYSA-N 0 0 436.542 -0.069 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc(-c3cnccn3)n2CCS(=O)(=O)N(C)C)C1 ZINC001359358624 1074369741 /nfs/dbraw/zinc/36/97/41/1074369741.db2.gz CRNNIHFTUMSMCF-OAHLLOKOSA-N 0 0 436.542 -0.069 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)C1 ZINC001359360651 1074369943 /nfs/dbraw/zinc/36/99/43/1074369943.db2.gz OQAGIOOMXJZIRL-CABCVRRESA-N 0 0 436.542 -0.338 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)C1 ZINC001359360652 1074369891 /nfs/dbraw/zinc/36/98/91/1074369891.db2.gz OQAGIOOMXJZIRL-GJZGRUSLSA-N 0 0 436.542 -0.338 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)C1 ZINC001359360653 1074369770 /nfs/dbraw/zinc/36/97/70/1074369770.db2.gz OQAGIOOMXJZIRL-HUUCEWRRSA-N 0 0 436.542 -0.338 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)C1 ZINC001359360654 1074369827 /nfs/dbraw/zinc/36/98/27/1074369827.db2.gz OQAGIOOMXJZIRL-LSDHHAIUSA-N 0 0 436.542 -0.338 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)C1 ZINC001359360911 1074369930 /nfs/dbraw/zinc/36/99/30/1074369930.db2.gz SXJMLPKKBRFEBZ-GJYPPUQNSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)C1 ZINC001359360912 1074369733 /nfs/dbraw/zinc/36/97/33/1074369733.db2.gz SXJMLPKKBRFEBZ-GPMSIDNRSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)C1 ZINC001359360913 1074369821 /nfs/dbraw/zinc/36/98/21/1074369821.db2.gz SXJMLPKKBRFEBZ-JTDSTZFVSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)C1 ZINC001359360914 1074369936 /nfs/dbraw/zinc/36/99/36/1074369936.db2.gz SXJMLPKKBRFEBZ-MDZRGWNJSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(=O)N1CCC(Cn2c(-c3cnccn3)nnc2N(C)CCNS(C)(=O)=O)CC1 ZINC001359365720 1074369900 /nfs/dbraw/zinc/36/99/00/1074369900.db2.gz KKFGPCCXUFNPHM-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN CC(=O)N1CCC(Cn2c(CN3CCCC3=O)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001359366472 1074370198 /nfs/dbraw/zinc/37/01/98/1074370198.db2.gz IFPREIBVVTYJMG-UHFFFAOYSA-N 0 0 438.554 -0.106 20 0 IBADRN CC(=O)N1CCC[C@H](Cn2c(C(=O)NC(C)C)nnc2N(C)CC(=O)N2CCOCC2)C1 ZINC001359367525 1074370335 /nfs/dbraw/zinc/37/03/35/1074370335.db2.gz MQLVWUXFPFKWHV-KRWDZBQOSA-N 0 0 449.556 -0.030 20 0 IBADRN CC(=O)N1CCC[C@@H](Cn2c(C(=O)NC(C)C)nnc2N(C)CC(=O)N2CCOCC2)C1 ZINC001359367526 1074370286 /nfs/dbraw/zinc/37/02/86/1074370286.db2.gz MQLVWUXFPFKWHV-QGZVFWFLSA-N 0 0 449.556 -0.030 20 0 IBADRN CC(=O)N1CCC[C@@H](Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)C1 ZINC001359368253 1074370274 /nfs/dbraw/zinc/37/02/74/1074370274.db2.gz GBISOMWYJBEMKN-DZGCQCFKSA-N 0 0 446.599 -0.285 20 0 IBADRN CC(=O)N1CCC[C@H](Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)C1 ZINC001359368254 1074370210 /nfs/dbraw/zinc/37/02/10/1074370210.db2.gz GBISOMWYJBEMKN-HIFRSBDPSA-N 0 0 446.599 -0.285 20 0 IBADRN CC(=O)N1CCC[C@@H](Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)C1 ZINC001359368255 1074370236 /nfs/dbraw/zinc/37/02/36/1074370236.db2.gz GBISOMWYJBEMKN-UKRRQHHQSA-N 0 0 446.599 -0.285 20 0 IBADRN CC(=O)N1CCC[C@H](Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)CC2)C1 ZINC001359368256 1074370296 /nfs/dbraw/zinc/37/02/96/1074370296.db2.gz GBISOMWYJBEMKN-ZFWWWQNUSA-N 0 0 446.599 -0.285 20 0 IBADRN CC(=O)N1CCCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)N2CCOCC2)CC1 ZINC001359372750 1074370228 /nfs/dbraw/zinc/37/02/28/1074370228.db2.gz JWIVNIXABBFMGP-UHFFFAOYSA-N 0 0 433.513 -0.569 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)CC1 ZINC001359373600 1074370361 /nfs/dbraw/zinc/37/03/61/1074370361.db2.gz BRAGARZCRAGRQY-CYBMUJFWSA-N 0 0 425.519 -0.761 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)CC1 ZINC001359373601 1074370252 /nfs/dbraw/zinc/37/02/52/1074370252.db2.gz BRAGARZCRAGRQY-ZDUSSCGKSA-N 0 0 425.519 -0.761 20 0 IBADRN CC(=O)N1CCCN(c2nnc(-c3cnn(C)c3)n2CCOCCS(C)(=O)=O)CC1 ZINC001359375800 1074370242 /nfs/dbraw/zinc/37/02/42/1074370242.db2.gz BHBCTSKLMDWFPC-UHFFFAOYSA-N 0 0 439.542 -0.202 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001359376491 1074370282 /nfs/dbraw/zinc/37/02/82/1074370282.db2.gz ATSMPYIJOBHICK-APWZRJJASA-N 0 0 441.554 -0.220 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001359376492 1074370329 /nfs/dbraw/zinc/37/03/29/1074370329.db2.gz ATSMPYIJOBHICK-LPHOPBHVSA-N 0 0 441.554 -0.220 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@H]3CCCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001359376493 1074370322 /nfs/dbraw/zinc/37/03/22/1074370322.db2.gz ATSMPYIJOBHICK-QFBILLFUSA-N 0 0 441.554 -0.220 20 0 IBADRN CC(=O)N1CCCN(c2nnc([C@@H]3CCCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001359376494 1074370217 /nfs/dbraw/zinc/37/02/17/1074370217.db2.gz ATSMPYIJOBHICK-VQIMIIECSA-N 0 0 441.554 -0.220 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC2(C(N)=O)CCOCC2)[C@@H](C)C1 ZINC001359380170 1074370341 /nfs/dbraw/zinc/37/03/41/1074370341.db2.gz GVDGQZQFSBNRCL-AWEZNQCLSA-N 0 0 435.529 -0.245 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC2(C(N)=O)CCOCC2)[C@H](C)C1 ZINC001359380171 1074370304 /nfs/dbraw/zinc/37/03/04/1074370304.db2.gz GVDGQZQFSBNRCL-CQSZACIVSA-N 0 0 435.529 -0.245 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCOCCS(C)(=O)=O)[C@@H](C)C1 ZINC001359381439 1074370315 /nfs/dbraw/zinc/37/03/15/1074370315.db2.gz HIWJXLHFIBNFPU-AWEZNQCLSA-N 0 0 444.558 -0.466 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCOCCS(C)(=O)=O)[C@H](C)C1 ZINC001359381440 1074370350 /nfs/dbraw/zinc/37/03/50/1074370350.db2.gz HIWJXLHFIBNFPU-CQSZACIVSA-N 0 0 444.558 -0.466 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCCS2(=O)=O)[C@@H](C)C1 ZINC001359381489 1074370631 /nfs/dbraw/zinc/37/06/31/1074370631.db2.gz IOLADQYCCQRUAP-AWEZNQCLSA-N 0 0 441.558 -0.491 20 0 IBADRN CC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCCS2(=O)=O)[C@H](C)C1 ZINC001359381490 1074370651 /nfs/dbraw/zinc/37/06/51/1074370651.db2.gz IOLADQYCCQRUAP-CQSZACIVSA-N 0 0 441.558 -0.491 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)(C)C)CC1 ZINC001359382120 1074370574 /nfs/dbraw/zinc/37/05/74/1074370574.db2.gz BJDFSRALOKKZRU-CYBMUJFWSA-N 0 0 443.574 -0.281 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C(C)(C)C)CC1 ZINC001359382121 1074370694 /nfs/dbraw/zinc/37/06/94/1074370694.db2.gz BJDFSRALOKKZRU-ZDUSSCGKSA-N 0 0 443.574 -0.281 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)[C@@H](C)C1 ZINC001359382255 1074370620 /nfs/dbraw/zinc/37/06/20/1074370620.db2.gz DWWCCKLITKYCEX-RTPGUIODSA-N 0 0 446.599 -0.144 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)[C@H](C)C1 ZINC001359382256 1074370657 /nfs/dbraw/zinc/37/06/57/1074370657.db2.gz DWWCCKLITKYCEX-UFEGIJMPSA-N 0 0 446.599 -0.144 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)[C@@H](C)C1 ZINC001359382257 1074370708 /nfs/dbraw/zinc/37/07/08/1074370708.db2.gz DWWCCKLITKYCEX-WFTMENRYSA-N 0 0 446.599 -0.144 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)[C@H](C)C1 ZINC001359382258 1074370613 /nfs/dbraw/zinc/37/06/13/1074370613.db2.gz DWWCCKLITKYCEX-ZBYRNGAHSA-N 0 0 446.599 -0.144 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)[C@@H](C)C1 ZINC001359382369 1074370626 /nfs/dbraw/zinc/37/06/26/1074370626.db2.gz HBEAQENKLJOLSG-DEYYWGMASA-N 0 0 444.558 -0.249 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)[C@H](C)C1 ZINC001359382370 1074370600 /nfs/dbraw/zinc/37/06/00/1074370600.db2.gz HBEAQENKLJOLSG-GLJUWKHASA-N 0 0 444.558 -0.249 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)[C@H](C)C1 ZINC001359382371 1074370594 /nfs/dbraw/zinc/37/05/94/1074370594.db2.gz HBEAQENKLJOLSG-QWQRMKEZSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)[C@@H](C)C1 ZINC001359382372 1074370608 /nfs/dbraw/zinc/37/06/08/1074370608.db2.gz HBEAQENKLJOLSG-SUNYJGFJSA-N 0 0 444.558 -0.249 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOCCCO)[C@@H](C)C1 ZINC001359382625 1074370647 /nfs/dbraw/zinc/37/06/47/1074370647.db2.gz LONKCTYNYBWYDF-KBPBESRZSA-N 0 0 432.547 -0.656 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOCCCO)[C@H](C)C1 ZINC001359382626 1074370666 /nfs/dbraw/zinc/37/06/66/1074370666.db2.gz LONKCTYNYBWYDF-KGLIPLIRSA-N 0 0 432.547 -0.656 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOCCCO)[C@@H](C)C1 ZINC001359382627 1074370660 /nfs/dbraw/zinc/37/06/60/1074370660.db2.gz LONKCTYNYBWYDF-UONOGXRCSA-N 0 0 432.547 -0.656 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOCCCO)[C@H](C)C1 ZINC001359382628 1074370586 /nfs/dbraw/zinc/37/05/86/1074370586.db2.gz LONKCTYNYBWYDF-ZIAGYGMSSA-N 0 0 432.547 -0.656 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)[C@H](C)C1 ZINC001359382716 1074370702 /nfs/dbraw/zinc/37/07/02/1074370702.db2.gz MTVUTKNACQPUDS-BFJAYTPKSA-N 0 0 444.558 -0.515 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)[C@@H](C)C1 ZINC001359382717 1074370638 /nfs/dbraw/zinc/37/06/38/1074370638.db2.gz MTVUTKNACQPUDS-DARAHFNDSA-N 0 0 444.558 -0.515 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)[C@@H](C)C1 ZINC001359382718 1074370960 /nfs/dbraw/zinc/37/09/60/1074370960.db2.gz MTVUTKNACQPUDS-LQKXBSAESA-N 0 0 444.558 -0.515 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)[C@H](C)C1 ZINC001359382719 1074371030 /nfs/dbraw/zinc/37/10/30/1074371030.db2.gz MTVUTKNACQPUDS-LUYZLQTOSA-N 0 0 444.558 -0.515 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@@H]2COCCO2)CC1 ZINC001359382771 1074370975 /nfs/dbraw/zinc/37/09/75/1074370975.db2.gz NVLHWKSUDPURBE-DZGCQCFKSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@H]2COCCO2)CC1 ZINC001359382772 1074371071 /nfs/dbraw/zinc/37/10/71/1074371071.db2.gz NVLHWKSUDPURBE-HIFRSBDPSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@@H]2COCCO2)CC1 ZINC001359382773 1074371022 /nfs/dbraw/zinc/37/10/22/1074371022.db2.gz NVLHWKSUDPURBE-UKRRQHHQSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@H]2COCCO2)CC1 ZINC001359382774 1074370945 /nfs/dbraw/zinc/37/09/45/1074370945.db2.gz NVLHWKSUDPURBE-ZFWWWQNUSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)CC1 ZINC001359382965 1074371118 /nfs/dbraw/zinc/37/11/18/1074371118.db2.gz QKLUVYIAOBKVHM-CYBMUJFWSA-N 0 0 429.547 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)CC1 ZINC001359382966 1074371061 /nfs/dbraw/zinc/37/10/61/1074371061.db2.gz QKLUVYIAOBKVHM-ZDUSSCGKSA-N 0 0 429.547 -0.529 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)[C@H](C)C1 ZINC001359383378 1074371013 /nfs/dbraw/zinc/37/10/13/1074371013.db2.gz YHPUKSLJTYEUON-CHWSQXEVSA-N 0 0 429.547 -0.576 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)[C@H](C)C1 ZINC001359383379 1074371004 /nfs/dbraw/zinc/37/10/04/1074371004.db2.gz YHPUKSLJTYEUON-OLZOCXBDSA-N 0 0 429.547 -0.576 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)[C@@H](C)C1 ZINC001359383380 1074370989 /nfs/dbraw/zinc/37/09/89/1074370989.db2.gz YHPUKSLJTYEUON-QWHCGFSZSA-N 0 0 429.547 -0.576 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)[C@@H](C)C1 ZINC001359383381 1074371108 /nfs/dbraw/zinc/37/11/08/1074371108.db2.gz YHPUKSLJTYEUON-STQMWFEESA-N 0 0 429.547 -0.576 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)[C@H](C)C1 ZINC001359387405 1074371078 /nfs/dbraw/zinc/37/10/78/1074371078.db2.gz FJYQEUYBEHWELV-AUUYWEPGSA-N 0 0 434.522 -0.053 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)[C@@H](C)C1 ZINC001359387406 1074371057 /nfs/dbraw/zinc/37/10/57/1074371057.db2.gz FJYQEUYBEHWELV-IFXJQAMLSA-N 0 0 434.522 -0.053 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)[C@H](C)C1 ZINC001359387407 1074371039 /nfs/dbraw/zinc/37/10/39/1074371039.db2.gz FJYQEUYBEHWELV-KUHUBIRLSA-N 0 0 434.522 -0.053 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)[C@@H](C)C1 ZINC001359387408 1074371114 /nfs/dbraw/zinc/37/11/14/1074371114.db2.gz FJYQEUYBEHWELV-LIRRHRJNSA-N 0 0 434.522 -0.053 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnccn3)n2CCOCCS(C)(=O)=O)[C@@H](C)C1 ZINC001359388259 1074371095 /nfs/dbraw/zinc/37/10/95/1074371095.db2.gz DDRMLKNGLBCBBG-AWEZNQCLSA-N 0 0 437.526 -0.147 20 0 IBADRN CC(=O)N1CCN(c2nnc(-c3cnccn3)n2CCOCCS(C)(=O)=O)[C@H](C)C1 ZINC001359388260 1074371085 /nfs/dbraw/zinc/37/10/85/1074371085.db2.gz DDRMLKNGLBCBBG-CQSZACIVSA-N 0 0 437.526 -0.147 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)[C@@H](C)C1 ZINC001359389244 1074371104 /nfs/dbraw/zinc/37/11/04/1074371104.db2.gz FMABALRRESMHGP-GXTWGEPZSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)[C@@H](C)C1 ZINC001359389245 1074371048 /nfs/dbraw/zinc/37/10/48/1074371048.db2.gz FMABALRRESMHGP-JSGCOSHPSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)[C@H](C)C1 ZINC001359389246 1074371493 /nfs/dbraw/zinc/37/14/93/1074371493.db2.gz FMABALRRESMHGP-OCCSQVGLSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)[C@H](C)C1 ZINC001359389247 1074371437 /nfs/dbraw/zinc/37/14/37/1074371437.db2.gz FMABALRRESMHGP-TZMCWYRMSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccc(C(N)=O)nc2)[C@@H](C)C1 ZINC001359389830 1074371416 /nfs/dbraw/zinc/37/14/16/1074371416.db2.gz RNXRKRBHVYWBQT-BLLLJJGKSA-N 0 0 426.481 -0.172 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccc(C(N)=O)nc2)[C@@H](C)C1 ZINC001359389831 1074371482 /nfs/dbraw/zinc/37/14/82/1074371482.db2.gz RNXRKRBHVYWBQT-LRDDRELGSA-N 0 0 426.481 -0.172 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccc(C(N)=O)nc2)[C@H](C)C1 ZINC001359389832 1074371404 /nfs/dbraw/zinc/37/14/04/1074371404.db2.gz RNXRKRBHVYWBQT-MLGOLLRUSA-N 0 0 426.481 -0.172 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccc(C(N)=O)nc2)[C@H](C)C1 ZINC001359389833 1074371503 /nfs/dbraw/zinc/37/15/03/1074371503.db2.gz RNXRKRBHVYWBQT-WBMJQRKESA-N 0 0 426.481 -0.172 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)[C@@H](C)C1 ZINC001359389964 1074371319 /nfs/dbraw/zinc/37/13/19/1074371319.db2.gz VCUSGAJWCAAWFU-DZGCQCFKSA-N 0 0 441.558 -0.386 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)[C@H](C)C1 ZINC001359389965 1074371346 /nfs/dbraw/zinc/37/13/46/1074371346.db2.gz VCUSGAJWCAAWFU-HIFRSBDPSA-N 0 0 441.558 -0.386 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)[C@H](C)C1 ZINC001359389966 1074371523 /nfs/dbraw/zinc/37/15/23/1074371523.db2.gz VCUSGAJWCAAWFU-UKRRQHHQSA-N 0 0 441.558 -0.386 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC(C)C)[C@@H](C)C1 ZINC001359389967 1074371517 /nfs/dbraw/zinc/37/15/17/1074371517.db2.gz VCUSGAJWCAAWFU-ZFWWWQNUSA-N 0 0 441.558 -0.386 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccc(C(N)=O)cc2)CC1 ZINC001359391272 1074371472 /nfs/dbraw/zinc/37/14/72/1074371472.db2.gz RPZAVOKTFSSWKE-KRWDZBQOSA-N 0 0 446.533 -0.004 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccc(C(N)=O)cc2)CC1 ZINC001359391273 1074371425 /nfs/dbraw/zinc/37/14/25/1074371425.db2.gz RPZAVOKTFSSWKE-QGZVFWFLSA-N 0 0 446.533 -0.004 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)[C@H](C)C1 ZINC001359391415 1074371335 /nfs/dbraw/zinc/37/13/35/1074371335.db2.gz VAQWADLSCBMLPS-GDBMZVCRSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)[C@@H](C)C1 ZINC001359391416 1074371356 /nfs/dbraw/zinc/37/13/56/1074371356.db2.gz VAQWADLSCBMLPS-GOEBONIOSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)[C@@H](C)C1 ZINC001359391417 1074371464 /nfs/dbraw/zinc/37/14/64/1074371464.db2.gz VAQWADLSCBMLPS-HOCLYGCPSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)[C@H](C)C1 ZINC001359391418 1074371532 /nfs/dbraw/zinc/37/15/32/1074371532.db2.gz VAQWADLSCBMLPS-ZBFHGGJFSA-N 0 0 441.554 -0.221 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)[C@@H](C)C1 ZINC001359392285 1074371789 /nfs/dbraw/zinc/37/17/89/1074371789.db2.gz QABDOPOUTSNGJT-DZGCQCFKSA-N 0 0 442.542 -0.807 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)[C@H](C)C1 ZINC001359392286 1074371890 /nfs/dbraw/zinc/37/18/90/1074371890.db2.gz QABDOPOUTSNGJT-HIFRSBDPSA-N 0 0 442.542 -0.807 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)[C@H](C)C1 ZINC001359392287 1074371867 /nfs/dbraw/zinc/37/18/67/1074371867.db2.gz QABDOPOUTSNGJT-UKRRQHHQSA-N 0 0 442.542 -0.807 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)[C@@H](C)C1 ZINC001359392288 1074371926 /nfs/dbraw/zinc/37/19/26/1074371926.db2.gz QABDOPOUTSNGJT-ZFWWWQNUSA-N 0 0 442.542 -0.807 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCCO)[C@H](C)C1 ZINC001359392403 1074371818 /nfs/dbraw/zinc/37/18/18/1074371818.db2.gz STLSNDZTOZMMDM-GDBMZVCRSA-N 0 0 429.543 -0.364 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCCCO)[C@@H](C)C1 ZINC001359392404 1074371846 /nfs/dbraw/zinc/37/18/46/1074371846.db2.gz STLSNDZTOZMMDM-GOEBONIOSA-N 0 0 429.543 -0.364 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCCO)[C@@H](C)C1 ZINC001359392405 1074371812 /nfs/dbraw/zinc/37/18/12/1074371812.db2.gz STLSNDZTOZMMDM-HOCLYGCPSA-N 0 0 429.543 -0.364 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCCCO)[C@H](C)C1 ZINC001359392406 1074371831 /nfs/dbraw/zinc/37/18/31/1074371831.db2.gz STLSNDZTOZMMDM-ZBFHGGJFSA-N 0 0 429.543 -0.364 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)[C@@H](C)C1 ZINC001359392494 1074371904 /nfs/dbraw/zinc/37/19/04/1074371904.db2.gz VATODLNEBVAHAC-BBRMVZONSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)[C@H](C)C1 ZINC001359392495 1074371839 /nfs/dbraw/zinc/37/18/39/1074371839.db2.gz VATODLNEBVAHAC-CJNGLKHVSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)[C@H](C)C1 ZINC001359392496 1074371897 /nfs/dbraw/zinc/37/18/97/1074371897.db2.gz VATODLNEBVAHAC-CZUORRHYSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)[C@@H](C)C1 ZINC001359392497 1074371825 /nfs/dbraw/zinc/37/18/25/1074371825.db2.gz VATODLNEBVAHAC-XJKSGUPXSA-N 0 0 438.554 -0.237 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccccn3)n2C[C@]2(O)CCS(=O)(=O)C2)[C@H](C)C1 ZINC001359393579 1074371853 /nfs/dbraw/zinc/37/18/53/1074371853.db2.gz XIPDOUUGNFALKL-FOIQADDNSA-N 0 0 448.549 -0.130 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccccn3)n2C[C@]2(O)CCS(=O)(=O)C2)[C@@H](C)C1 ZINC001359393580 1074371919 /nfs/dbraw/zinc/37/19/19/1074371919.db2.gz XIPDOUUGNFALKL-MGPUTAFESA-N 0 0 448.549 -0.130 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)[C@H](C)C1 ZINC001359393581 1074371804 /nfs/dbraw/zinc/37/18/04/1074371804.db2.gz XIPDOUUGNFALKL-QRWLVFNGSA-N 0 0 448.549 -0.130 20 0 IBADRN CC(=O)N1CCN(c2nnc(Cc3ccccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)[C@@H](C)C1 ZINC001359393582 1074371873 /nfs/dbraw/zinc/37/18/73/1074371873.db2.gz XIPDOUUGNFALKL-YWZLYKJASA-N 0 0 448.549 -0.130 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccn[nH]2)[C@@H](C)C1 ZINC001359395697 1074371859 /nfs/dbraw/zinc/37/18/59/1074371859.db2.gz GAPXVGVMGHYCCA-AWEZNQCLSA-N 0 0 443.512 -0.389 20 0 IBADRN CC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCNC(=O)c2ccn[nH]2)[C@H](C)C1 ZINC001359395698 1074372310 /nfs/dbraw/zinc/37/23/10/1074372310.db2.gz GAPXVGVMGHYCCA-CQSZACIVSA-N 0 0 443.512 -0.389 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CC3(O)CC3)C[C@@H]2C)CC1 ZINC001359398204 1074372215 /nfs/dbraw/zinc/37/22/15/1074372215.db2.gz SCRJEORLEMGCNL-DOTOQJQBSA-N 0 0 433.557 -0.070 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CC3(O)CC3)C[C@H]2C)CC1 ZINC001359398205 1074372349 /nfs/dbraw/zinc/37/23/49/1074372349.db2.gz SCRJEORLEMGCNL-NVXWUHKLSA-N 0 0 433.557 -0.070 20 0 IBADRN CC(=O)N1CCN([C@H]2CN(c3nnc(C(=O)NC(C)C)n3CC3(O)CC3)C[C@@H]2C)CC1 ZINC001359398206 1074372265 /nfs/dbraw/zinc/37/22/65/1074372265.db2.gz SCRJEORLEMGCNL-RDJZCZTQSA-N 0 0 433.557 -0.070 20 0 IBADRN CC(=O)N1CCN([C@H]2CN(c3nnc(C(=O)NC(C)C)n3CC3(O)CC3)C[C@H]2C)CC1 ZINC001359398207 1074372193 /nfs/dbraw/zinc/37/21/93/1074372193.db2.gz SCRJEORLEMGCNL-WBVHZDCISA-N 0 0 433.557 -0.070 20 0 IBADRN CC(=O)N1CCN([C@H]2CN(c3nnc(C4CC4)n3CCNS(C)(=O)=O)C[C@H]2C)CC1 ZINC001359398676 1074372286 /nfs/dbraw/zinc/37/22/86/1074372286.db2.gz CTWUODCGRUDRBT-PBHICJAKSA-N 0 0 439.586 -0.307 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc(C4CC4)n3CCNS(C)(=O)=O)C[C@H]2C)CC1 ZINC001359398677 1074372807 /nfs/dbraw/zinc/37/28/07/1074372807.db2.gz CTWUODCGRUDRBT-RHSMWYFYSA-N 0 0 439.586 -0.307 20 0 IBADRN CC(=O)N1CCN([C@@H]2CN(c3nnc(C4CC4)n3CCNS(C)(=O)=O)C[C@@H]2C)CC1 ZINC001359398678 1074372999 /nfs/dbraw/zinc/37/29/99/1074372999.db2.gz CTWUODCGRUDRBT-WMLDXEAASA-N 0 0 439.586 -0.307 20 0 IBADRN CC(=O)N1CCN([C@H]2CN(c3nnc(C4CC4)n3CCNS(C)(=O)=O)C[C@@H]2C)CC1 ZINC001359398679 1074372784 /nfs/dbraw/zinc/37/27/84/1074372784.db2.gz CTWUODCGRUDRBT-YOEHRIQHSA-N 0 0 439.586 -0.307 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CCC[C@H](C(N)=O)C2)CC1 ZINC001359399275 1074372883 /nfs/dbraw/zinc/37/28/83/1074372883.db2.gz NDPDOMJKIZLSAD-INIZCTEOSA-N 0 0 434.545 -0.718 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CC(=O)N(C)[C@@H](C)C2)CC1 ZINC001359399842 1074372871 /nfs/dbraw/zinc/37/28/71/1074372871.db2.gz WVXNKWQUCRPHTI-HNNXBMFYSA-N 0 0 434.545 -0.753 20 0 IBADRN CC(=O)N1CCN(CCn2c(C(=O)NC(C)C)nnc2N2CC(=O)N(C)[C@H](C)C2)CC1 ZINC001359399843 1074372892 /nfs/dbraw/zinc/37/28/92/1074372892.db2.gz WVXNKWQUCRPHTI-OAHLLOKOSA-N 0 0 434.545 -0.753 20 0 IBADRN CC(=O)N1CCN(CCn2c(C)nnc2N2CCN(c3ccc(C(N)=O)cn3)CC2)CC1 ZINC001359400378 1074372828 /nfs/dbraw/zinc/37/28/28/1074372828.db2.gz JROXUMNWMIQZPT-UHFFFAOYSA-N 0 0 441.540 -0.429 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CC2CC2)CC1 ZINC001359400698 1074372698 /nfs/dbraw/zinc/37/26/98/1074372698.db2.gz UNASJBODZYMZJS-AWEZNQCLSA-N 0 0 427.575 -0.101 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CC2CC2)CC1 ZINC001359400699 1074372981 /nfs/dbraw/zinc/37/29/81/1074372981.db2.gz UNASJBODZYMZJS-CQSZACIVSA-N 0 0 427.575 -0.101 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnn(C)c3)nnc2N2CCC(C(N)=O)CC2)CC1 ZINC001359401002 1074372681 /nfs/dbraw/zinc/37/26/81/1074372681.db2.gz FPOQVJMWARQTOU-UHFFFAOYSA-N 0 0 429.529 -0.456 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3cnccn3)nnc2N2CCN(C(C)=O)CC2)CC1 ZINC001359401403 1074372726 /nfs/dbraw/zinc/37/27/26/1074372726.db2.gz QLVYTQKRJWTZLL-UHFFFAOYSA-N 0 0 427.513 -0.432 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(C(C)=O)CC2)CC1 ZINC001359401426 1074372924 /nfs/dbraw/zinc/37/29/24/1074372924.db2.gz RHVGNOQRNGJFQI-GOSISDBHSA-N 0 0 446.556 -0.548 20 0 IBADRN CC(=O)N1CCN(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(C(C)=O)CC2)CC1 ZINC001359401427 1074372755 /nfs/dbraw/zinc/37/27/55/1074372755.db2.gz RHVGNOQRNGJFQI-SFHVURJKSA-N 0 0 446.556 -0.548 20 0 IBADRN CC(=O)N1CCN(CCn2c(C3CC3)nnc2N2CCN(S(C)(=O)=O)[C@@H](C)C2)CC1 ZINC001359401594 1074372658 /nfs/dbraw/zinc/37/26/58/1074372658.db2.gz WQMBUMOHJDIRGW-HNNXBMFYSA-N 0 0 439.586 -0.210 20 0 IBADRN CC(=O)N1CCN(CCn2c(-c3ccccn3)nnc2N(C)CCS(C)(=O)=O)CC1 ZINC001359401669 1074372953 /nfs/dbraw/zinc/37/29/53/1074372953.db2.gz YRHRFCAIPAEVIA-UHFFFAOYSA-N 0 0 435.554 -0.015 20 0 IBADRN CC(=O)N1CCN(CCn2c(CCOc3ccccc3)nnc2N2CCNC(=O)C2)CC1 ZINC001359401879 1074372900 /nfs/dbraw/zinc/37/29/00/1074372900.db2.gz HDRHZSXVRKOVDG-UHFFFAOYSA-N 0 0 441.536 0.000 20 0 IBADRN CC(=O)N1CCN(CCn2c(CC3CC3)nnc2N2CCC(OCC(N)=O)CC2)CC1 ZINC001359402246 1074372938 /nfs/dbraw/zinc/37/29/38/1074372938.db2.gz SRUVVKHMQFGZCH-UHFFFAOYSA-N 0 0 433.557 -0.135 20 0 IBADRN CC(=O)N1CCN(CCn2c(N3CCN(CC(=O)N(C)C)CC3)nnc2C(C)(C)C)CC1 ZINC001359402441 1074372851 /nfs/dbraw/zinc/37/28/51/1074372851.db2.gz ASPLFUYKIINICB-UHFFFAOYSA-N 0 0 448.616 -0.050 20 0 IBADRN CC(=O)N1CCN(CCn2c(Nc3ccn(C)n3)nnc2[C@@H](C)NS(C)(=O)=O)CC1 ZINC001359402801 1074372911 /nfs/dbraw/zinc/37/29/11/1074372911.db2.gz NIPMVHKZYZALJV-CYBMUJFWSA-N 0 0 439.546 -0.471 20 0 IBADRN CC(=O)N1CCN(CCn2c(Nc3ccn(C)n3)nnc2[C@H](C)NS(C)(=O)=O)CC1 ZINC001359402802 1074372964 /nfs/dbraw/zinc/37/29/64/1074372964.db2.gz NIPMVHKZYZALJV-ZDUSSCGKSA-N 0 0 439.546 -0.471 20 0 IBADRN CC(=O)Nc1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)cn1 ZINC001359406472 1074373278 /nfs/dbraw/zinc/37/32/78/1074373278.db2.gz FNBUSUWDNNFZNB-HNNXBMFYSA-N 0 0 447.521 -0.092 20 0 IBADRN CC(=O)Nc1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)cn1 ZINC001359406473 1074373411 /nfs/dbraw/zinc/37/34/11/1074373411.db2.gz FNBUSUWDNNFZNB-OAHLLOKOSA-N 0 0 447.521 -0.092 20 0 IBADRN CC(=O)NC1CCC(N(C)c2nnc(CN3CCCC3=O)n2Cc2nnn(C)n2)CC1 ZINC001359414768 1074373251 /nfs/dbraw/zinc/37/32/51/1074373251.db2.gz YCXADTIWBIEUCJ-UHFFFAOYSA-N 0 0 430.517 -0.144 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)C1 ZINC001359415022 1074373322 /nfs/dbraw/zinc/37/33/22/1074373322.db2.gz DMVYYUJSVUKBEI-AAEUAGOBSA-N 0 0 425.519 -0.830 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)C1 ZINC001359415023 1074373299 /nfs/dbraw/zinc/37/32/99/1074373299.db2.gz DMVYYUJSVUKBEI-DGCLKSJQSA-N 0 0 425.519 -0.830 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)C1 ZINC001359415024 1074373270 /nfs/dbraw/zinc/37/32/70/1074373270.db2.gz DMVYYUJSVUKBEI-WCQYABFASA-N 0 0 425.519 -0.830 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)C1 ZINC001359415025 1074373291 /nfs/dbraw/zinc/37/32/91/1074373291.db2.gz DMVYYUJSVUKBEI-YPMHNXCESA-N 0 0 425.519 -0.830 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)C1 ZINC001359415648 1074373399 /nfs/dbraw/zinc/37/33/99/1074373399.db2.gz PKALUZJOGNCQMB-AEGPPILISA-N 0 0 430.531 -0.591 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)C1 ZINC001359415649 1074373331 /nfs/dbraw/zinc/37/33/31/1074373331.db2.gz PKALUZJOGNCQMB-BPLDGKMQSA-N 0 0 430.531 -0.591 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)C1 ZINC001359415650 1074373239 /nfs/dbraw/zinc/37/32/39/1074373239.db2.gz PKALUZJOGNCQMB-NWANDNLSSA-N 0 0 430.531 -0.591 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)C1 ZINC001359415651 1074373261 /nfs/dbraw/zinc/37/32/61/1074373261.db2.gz PKALUZJOGNCQMB-VHDGCEQUSA-N 0 0 430.531 -0.591 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001359415751 1074373406 /nfs/dbraw/zinc/37/34/06/1074373406.db2.gz RBUUXBILCAOIIV-DOMZBBRYSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001359415752 1074373695 /nfs/dbraw/zinc/37/36/95/1074373695.db2.gz RBUUXBILCAOIIV-IUODEOHRSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001359415753 1074373680 /nfs/dbraw/zinc/37/36/80/1074373680.db2.gz RBUUXBILCAOIIV-SWLSCSKDSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)C1 ZINC001359415754 1074373720 /nfs/dbraw/zinc/37/37/20/1074373720.db2.gz RBUUXBILCAOIIV-WFASDCNBSA-N 0 0 441.558 -0.481 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(-c3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001359418887 1074373686 /nfs/dbraw/zinc/37/36/86/1074373686.db2.gz AVRUYHKLASZRJN-APWZRJJASA-N 0 0 434.522 -0.005 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(-c3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001359418888 1074373791 /nfs/dbraw/zinc/37/37/91/1074373791.db2.gz AVRUYHKLASZRJN-LPHOPBHVSA-N 0 0 434.522 -0.005 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(-c3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001359418889 1074373704 /nfs/dbraw/zinc/37/37/04/1074373704.db2.gz AVRUYHKLASZRJN-QFBILLFUSA-N 0 0 434.522 -0.005 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(-c3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001359418890 1074373759 /nfs/dbraw/zinc/37/37/59/1074373759.db2.gz AVRUYHKLASZRJN-VQIMIIECSA-N 0 0 434.522 -0.005 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)c2ccn[nH]2)C1 ZINC001359418985 1074373815 /nfs/dbraw/zinc/37/38/15/1074373815.db2.gz CWKPVBAVRYGVCP-HNNXBMFYSA-N 0 0 426.485 -0.063 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)c2ccn[nH]2)C1 ZINC001359418986 1074373768 /nfs/dbraw/zinc/37/37/68/1074373768.db2.gz CWKPVBAVRYGVCP-OAHLLOKOSA-N 0 0 426.485 -0.063 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)C1 ZINC001359420649 1074373824 /nfs/dbraw/zinc/37/38/24/1074373824.db2.gz IWVMOUGDCYBPLW-CABCVRRESA-N 0 0 426.543 -0.237 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)C1 ZINC001359420650 1074373728 /nfs/dbraw/zinc/37/37/28/1074373728.db2.gz IWVMOUGDCYBPLW-GJZGRUSLSA-N 0 0 426.543 -0.237 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)C1 ZINC001359420651 1074373784 /nfs/dbraw/zinc/37/37/84/1074373784.db2.gz IWVMOUGDCYBPLW-HUUCEWRRSA-N 0 0 426.543 -0.237 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)C1 ZINC001359420652 1074373807 /nfs/dbraw/zinc/37/38/07/1074373807.db2.gz IWVMOUGDCYBPLW-LSDHHAIUSA-N 0 0 426.543 -0.237 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001359422455 1074373800 /nfs/dbraw/zinc/37/38/00/1074373800.db2.gz OSIJOMFENAVALK-FXAWDEMLSA-N 0 0 448.549 -0.082 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001359422456 1074373674 /nfs/dbraw/zinc/37/36/74/1074373674.db2.gz OSIJOMFENAVALK-PXNSSMCTSA-N 0 0 448.549 -0.082 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001359422457 1074373663 /nfs/dbraw/zinc/37/36/63/1074373663.db2.gz OSIJOMFENAVALK-XLIONFOSSA-N 0 0 448.549 -0.082 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001359422458 1074373775 /nfs/dbraw/zinc/37/37/75/1074373775.db2.gz OSIJOMFENAVALK-YLJYHZDGSA-N 0 0 448.549 -0.082 20 0 IBADRN CC(=O)N[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)N(C)C)C1 ZINC001359423575 1074374139 /nfs/dbraw/zinc/37/41/39/1074374139.db2.gz LWNKGJKZXIHEEN-HNNXBMFYSA-N 0 0 441.558 -0.603 20 0 IBADRN CC(=O)N[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)N(C)C)C1 ZINC001359423576 1074374130 /nfs/dbraw/zinc/37/41/30/1074374130.db2.gz LWNKGJKZXIHEEN-OAHLLOKOSA-N 0 0 441.558 -0.603 20 0 IBADRN CC(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001359424510 1074374051 /nfs/dbraw/zinc/37/40/51/1074374051.db2.gz FQLVNBUOUFEGAX-UHFFFAOYSA-N 0 0 448.572 -0.315 20 0 IBADRN CC(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001359424869 1074374087 /nfs/dbraw/zinc/37/40/87/1074374087.db2.gz ODCJDZAEJYUHHR-UHFFFAOYSA-N 0 0 441.558 -0.490 20 0 IBADRN CC(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001359425103 1074374057 /nfs/dbraw/zinc/37/40/57/1074374057.db2.gz UABGSIMYZWDEGC-UHFFFAOYSA-N 0 0 447.540 -0.099 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)CC1 ZINC001359425659 1074374024 /nfs/dbraw/zinc/37/40/24/1074374024.db2.gz IQDYYPHIQBLEFF-ACJLOTCBSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)CC1 ZINC001359425660 1074374044 /nfs/dbraw/zinc/37/40/44/1074374044.db2.gz IQDYYPHIQBLEFF-FZKQIMNGSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)COCCO2)CC1 ZINC001359425661 1074374094 /nfs/dbraw/zinc/37/40/94/1074374094.db2.gz IQDYYPHIQBLEFF-SCLBCKFNSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)COCCO2)CC1 ZINC001359425662 1074374070 /nfs/dbraw/zinc/37/40/70/1074374070.db2.gz IQDYYPHIQBLEFF-UGSOOPFHSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001359425830 1074374032 /nfs/dbraw/zinc/37/40/32/1074374032.db2.gz MUQOCSRAYKZTIL-DOMZBBRYSA-N 0 0 430.531 -0.591 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001359425831 1074374104 /nfs/dbraw/zinc/37/41/04/1074374104.db2.gz MUQOCSRAYKZTIL-WFASDCNBSA-N 0 0 430.531 -0.591 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)CC1 ZINC001359426057 1074374038 /nfs/dbraw/zinc/37/40/38/1074374038.db2.gz UWXOPFXGXMXUKU-BODITIBLSA-N 0 0 446.599 -0.096 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)CC1 ZINC001359426058 1074374078 /nfs/dbraw/zinc/37/40/78/1074374078.db2.gz UWXOPFXGXMXUKU-JNFKXCBWSA-N 0 0 446.599 -0.096 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)CC1 ZINC001359426059 1074374063 /nfs/dbraw/zinc/37/40/63/1074374063.db2.gz UWXOPFXGXMXUKU-PSBQPLHCSA-N 0 0 446.599 -0.096 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)CC1 ZINC001359426060 1074374171 /nfs/dbraw/zinc/37/41/71/1074374171.db2.gz UWXOPFXGXMXUKU-YASQENCXSA-N 0 0 446.599 -0.096 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)CC1 ZINC001359426153 1074374164 /nfs/dbraw/zinc/37/41/64/1074374164.db2.gz YEHPMHMDDCSMRE-GFCCVEGCSA-N 0 0 429.547 -0.529 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)N(C)C)CC1 ZINC001359426154 1074374016 /nfs/dbraw/zinc/37/40/16/1074374016.db2.gz YEHPMHMDDCSMRE-LBPRGKRZSA-N 0 0 429.547 -0.529 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001359428370 1074374113 /nfs/dbraw/zinc/37/41/13/1074374113.db2.gz AOLOQWIXHKFZOJ-BEFAXECRSA-N 0 0 441.554 -0.173 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001359428371 1074374615 /nfs/dbraw/zinc/37/46/15/1074374615.db2.gz AOLOQWIXHKFZOJ-DNVCBOLYSA-N 0 0 441.554 -0.173 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001359428372 1074374535 /nfs/dbraw/zinc/37/45/35/1074374535.db2.gz AOLOQWIXHKFZOJ-HNAYVOBHSA-N 0 0 441.554 -0.173 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CCCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001359428373 1074374513 /nfs/dbraw/zinc/37/45/13/1074374513.db2.gz AOLOQWIXHKFZOJ-KXBFYZLASA-N 0 0 441.554 -0.173 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CC1 ZINC001359429054 1074374439 /nfs/dbraw/zinc/37/44/39/1074374439.db2.gz UWCBLAJIDFFHCQ-HNNXBMFYSA-N 0 0 442.542 -0.759 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CC1 ZINC001359429055 1074374553 /nfs/dbraw/zinc/37/45/53/1074374553.db2.gz UWCBLAJIDFFHCQ-OAHLLOKOSA-N 0 0 442.542 -0.759 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCO[C@@H]2C)CC1 ZINC001359429127 1074374624 /nfs/dbraw/zinc/37/46/24/1074374624.db2.gz WPFHNOSTEJMHJH-MUKKUYKPSA-N 0 0 441.554 -0.175 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCO[C@H]2C)CC1 ZINC001359429128 1074374461 /nfs/dbraw/zinc/37/44/61/1074374461.db2.gz WPFHNOSTEJMHJH-OHNRDTAOSA-N 0 0 441.554 -0.175 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@H]2C)CC1 ZINC001359429129 1074374598 /nfs/dbraw/zinc/37/45/98/1074374598.db2.gz WPFHNOSTEJMHJH-ZBQZNYHESA-N 0 0 441.554 -0.175 20 0 IBADRN CC(=O)NC1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCO[C@@H]2C)CC1 ZINC001359429130 1074374576 /nfs/dbraw/zinc/37/45/76/1074374576.db2.gz WPFHNOSTEJMHJH-ZXYWRSMDSA-N 0 0 441.554 -0.175 20 0 IBADRN CC(=O)NC1CCN(c2nnc(CN3CCCC3=O)n2CC2(C(N)=O)CCOCC2)CC1 ZINC001359430505 1074374476 /nfs/dbraw/zinc/37/44/76/1074374476.db2.gz XHVYRFKDCQOWOC-UHFFFAOYSA-N 0 0 447.540 -0.213 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCCn2cnnn2)C1 ZINC001359430582 1074374447 /nfs/dbraw/zinc/37/44/47/1074374447.db2.gz AAMWONHMLSOIAF-INIZCTEOSA-N 0 0 430.517 -0.057 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCCn2cnnn2)C1 ZINC001359430583 1074374508 /nfs/dbraw/zinc/37/45/08/1074374508.db2.gz AAMWONHMLSOIAF-MRXNPFEDSA-N 0 0 430.517 -0.057 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C1 ZINC001359436314 1074374560 /nfs/dbraw/zinc/37/45/60/1074374560.db2.gz MDMMTBRXFJFQMO-BBWFWOEESA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001359436315 1074374569 /nfs/dbraw/zinc/37/45/69/1074374569.db2.gz MDMMTBRXFJFQMO-GVDBMIGSSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C1 ZINC001359436316 1074374593 /nfs/dbraw/zinc/37/45/93/1074374593.db2.gz MDMMTBRXFJFQMO-IKGGRYGDSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C1 ZINC001359436317 1074374606 /nfs/dbraw/zinc/37/46/06/1074374606.db2.gz MDMMTBRXFJFQMO-ULQDDVLXSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001359436318 1074374583 /nfs/dbraw/zinc/37/45/83/1074374583.db2.gz MDMMTBRXFJFQMO-YESZJQIVSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C1 ZINC001359436319 1074374543 /nfs/dbraw/zinc/37/45/43/1074374543.db2.gz MDMMTBRXFJFQMO-ZACQAIPSSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2nncn2C)C1 ZINC001359436818 1074374954 /nfs/dbraw/zinc/37/49/54/1074374954.db2.gz VOBCRHKOSRXIDF-CABCVRRESA-N 0 0 436.542 -0.291 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2nncn2C)C1 ZINC001359436819 1074374984 /nfs/dbraw/zinc/37/49/84/1074374984.db2.gz VOBCRHKOSRXIDF-GJZGRUSLSA-N 0 0 436.542 -0.291 20 0 IBADRN CC(=O)NC[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2nncn2C)C1 ZINC001359436820 1074374889 /nfs/dbraw/zinc/37/48/89/1074374889.db2.gz VOBCRHKOSRXIDF-HUUCEWRRSA-N 0 0 436.542 -0.291 20 0 IBADRN CC(=O)NC[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2nncn2C)C1 ZINC001359436821 1074374976 /nfs/dbraw/zinc/37/49/76/1074374976.db2.gz VOBCRHKOSRXIDF-LSDHHAIUSA-N 0 0 436.542 -0.291 20 0 IBADRN CC(=O)NCC1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)N2CCOCC2)CC1 ZINC001359441775 1074374912 /nfs/dbraw/zinc/37/49/12/1074374912.db2.gz XTUADBGBZIVMAY-UHFFFAOYSA-N 0 0 430.513 -0.110 20 0 IBADRN CC(=O)NCC1CCN(c2nnc(-c3cnccn3)n2CC(=O)N2CCOCC2)CC1 ZINC001359442056 1074374969 /nfs/dbraw/zinc/37/49/69/1074374969.db2.gz IAOMKVCKNHWNBN-UHFFFAOYSA-N 0 0 428.497 -0.054 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CC1 ZINC001359442112 1074374899 /nfs/dbraw/zinc/37/48/99/1074374899.db2.gz KBTGUMKBJKMLAR-INIZCTEOSA-N 0 0 433.513 -0.560 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CC1 ZINC001359442113 1074374947 /nfs/dbraw/zinc/37/49/47/1074374947.db2.gz KBTGUMKBJKMLAR-MRXNPFEDSA-N 0 0 433.513 -0.560 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)CC1 ZINC001359442114 1074374852 /nfs/dbraw/zinc/37/48/52/1074374852.db2.gz KCNCKVRBIAZIBZ-HNNXBMFYSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NCC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)CC1 ZINC001359442115 1074374876 /nfs/dbraw/zinc/37/48/76/1074374876.db2.gz KCNCKVRBIAZIBZ-OAHLLOKOSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NCC1CCN(c2nnc(CN3CCCC3=O)n2CCS(C)(=O)=O)CC1 ZINC001359443741 1074374931 /nfs/dbraw/zinc/37/49/31/1074374931.db2.gz JTZWQEIKDOHTCQ-UHFFFAOYSA-N 0 0 426.543 -0.202 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2NC(=O)CC2(C)C)CCO1 ZINC001359444228 1074374884 /nfs/dbraw/zinc/37/48/84/1074374884.db2.gz CMRRDQGRRFKPLD-CABCVRRESA-N 0 0 435.529 -0.328 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2NC(=O)CC2(C)C)CCO1 ZINC001359444229 1074374925 /nfs/dbraw/zinc/37/49/25/1074374925.db2.gz CMRRDQGRRFKPLD-GJZGRUSLSA-N 0 0 435.529 -0.328 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H]2NC(=O)CC2(C)C)CCO1 ZINC001359444230 1074374906 /nfs/dbraw/zinc/37/49/06/1074374906.db2.gz CMRRDQGRRFKPLD-HUUCEWRRSA-N 0 0 435.529 -0.328 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H]2NC(=O)CC2(C)C)CCO1 ZINC001359444231 1074374860 /nfs/dbraw/zinc/37/48/60/1074374860.db2.gz CMRRDQGRRFKPLD-LSDHHAIUSA-N 0 0 435.529 -0.328 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCSC2)CCO1 ZINC001359444529 1074374919 /nfs/dbraw/zinc/37/49/19/1074374919.db2.gz MATVSXTZLNGEQZ-BEFAXECRSA-N 0 0 438.554 -0.277 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCSC2)CCO1 ZINC001359444530 1074374867 /nfs/dbraw/zinc/37/48/67/1074374867.db2.gz MATVSXTZLNGEQZ-DNVCBOLYSA-N 0 0 438.554 -0.277 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@]2(O)CCSC2)CCO1 ZINC001359444531 1074374960 /nfs/dbraw/zinc/37/49/60/1074374960.db2.gz MATVSXTZLNGEQZ-HNAYVOBHSA-N 0 0 438.554 -0.277 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2C[C@@]2(O)CCSC2)CCO1 ZINC001359444532 1074375246 /nfs/dbraw/zinc/37/52/46/1074375246.db2.gz MATVSXTZLNGEQZ-KXBFYZLASA-N 0 0 438.554 -0.277 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001359444856 1074375316 /nfs/dbraw/zinc/37/53/16/1074375316.db2.gz WXCCNVAPEXXZOQ-DOTOQJQBSA-N 0 0 437.545 -0.522 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CCN2CCOC[C@H]2C)CCO1 ZINC001359444857 1074375176 /nfs/dbraw/zinc/37/51/76/1074375176.db2.gz WXCCNVAPEXXZOQ-NVXWUHKLSA-N 0 0 437.545 -0.522 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001359444858 1074375214 /nfs/dbraw/zinc/37/52/14/1074375214.db2.gz WXCCNVAPEXXZOQ-RDJZCZTQSA-N 0 0 437.545 -0.522 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CCN2CCOC[C@H]2C)CCO1 ZINC001359444859 1074375239 /nfs/dbraw/zinc/37/52/39/1074375239.db2.gz WXCCNVAPEXXZOQ-WBVHZDCISA-N 0 0 437.545 -0.522 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCSCCCO)CCO1 ZINC001359444892 1074375348 /nfs/dbraw/zinc/37/53/48/1074375348.db2.gz XXDONDIYMDCLRR-INIZCTEOSA-N 0 0 440.570 -0.029 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCSCCCO)CCO1 ZINC001359444893 1074375279 /nfs/dbraw/zinc/37/52/79/1074375279.db2.gz XXDONDIYMDCLRR-MRXNPFEDSA-N 0 0 440.570 -0.029 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C(C)(C)C)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001359445129 1074375296 /nfs/dbraw/zinc/37/52/96/1074375296.db2.gz CINSITSJWVTCDU-HNNXBMFYSA-N 0 0 443.570 -0.143 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C(C)(C)C)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001359445130 1074375289 /nfs/dbraw/zinc/37/52/89/1074375289.db2.gz CINSITSJWVTCDU-OAHLLOKOSA-N 0 0 443.570 -0.143 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC(C)C)CCO1 ZINC001359445151 1074375198 /nfs/dbraw/zinc/37/51/98/1074375198.db2.gz COBAYPYCPBRDIV-DZGCQCFKSA-N 0 0 432.547 -0.345 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC(C)C)CCO1 ZINC001359445152 1074375188 /nfs/dbraw/zinc/37/51/88/1074375188.db2.gz COBAYPYCPBRDIV-HIFRSBDPSA-N 0 0 432.547 -0.345 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC(C)C)CCO1 ZINC001359445153 1074375265 /nfs/dbraw/zinc/37/52/65/1074375265.db2.gz COBAYPYCPBRDIV-UKRRQHHQSA-N 0 0 432.547 -0.345 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC(C)C)CCO1 ZINC001359445154 1074375259 /nfs/dbraw/zinc/37/52/59/1074375259.db2.gz COBAYPYCPBRDIV-ZFWWWQNUSA-N 0 0 432.547 -0.345 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nccs2)CCO1 ZINC001359445491 1074375231 /nfs/dbraw/zinc/37/52/31/1074375231.db2.gz IXUBKVDJKANCIR-AAEUAGOBSA-N 0 0 443.555 -0.266 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nccs2)CCO1 ZINC001359445492 1074375207 /nfs/dbraw/zinc/37/52/07/1074375207.db2.gz IXUBKVDJKANCIR-DGCLKSJQSA-N 0 0 443.555 -0.266 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nccs2)CCO1 ZINC001359445493 1074375359 /nfs/dbraw/zinc/37/53/59/1074375359.db2.gz IXUBKVDJKANCIR-WCQYABFASA-N 0 0 443.555 -0.266 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nccs2)CCO1 ZINC001359445494 1074375222 /nfs/dbraw/zinc/37/52/22/1074375222.db2.gz IXUBKVDJKANCIR-YPMHNXCESA-N 0 0 443.555 -0.266 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2CCSC2)CCO1 ZINC001359445667 1074375308 /nfs/dbraw/zinc/37/53/08/1074375308.db2.gz MIWIUZHQDDFROX-AEGPPILISA-N 0 0 446.599 -0.017 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2CCSC2)CCO1 ZINC001359445668 1074375328 /nfs/dbraw/zinc/37/53/28/1074375328.db2.gz MIWIUZHQDDFROX-BPLDGKMQSA-N 0 0 446.599 -0.017 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2CCSC2)CCO1 ZINC001359445669 1074375341 /nfs/dbraw/zinc/37/53/41/1074375341.db2.gz MIWIUZHQDDFROX-NWANDNLSSA-N 0 0 446.599 -0.017 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2CCSC2)CCO1 ZINC001359445670 1074375596 /nfs/dbraw/zinc/37/55/96/1074375596.db2.gz MIWIUZHQDDFROX-VHDGCEQUSA-N 0 0 446.599 -0.017 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccccc3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001359447565 1074375685 /nfs/dbraw/zinc/37/56/85/1074375685.db2.gz XXXNWNWWAZYASE-FXAWDEMLSA-N 0 0 449.533 -0.164 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccccc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001359447566 1074375575 /nfs/dbraw/zinc/37/55/75/1074375575.db2.gz XXXNWNWWAZYASE-PXNSSMCTSA-N 0 0 449.533 -0.164 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccccc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001359447567 1074375667 /nfs/dbraw/zinc/37/56/67/1074375667.db2.gz XXXNWNWWAZYASE-XLIONFOSSA-N 0 0 449.533 -0.164 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccccc3)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001359447568 1074375653 /nfs/dbraw/zinc/37/56/53/1074375653.db2.gz XXXNWNWWAZYASE-YLJYHZDGSA-N 0 0 449.533 -0.164 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2C[C@H]2CCCS(=O)(=O)C2)CCO1 ZINC001359447687 1074375682 /nfs/dbraw/zinc/37/56/82/1074375682.db2.gz ALFKFEJRAYIHRT-CVEARBPZSA-N 0 0 449.537 -0.099 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2C[C@@H]2CCCS(=O)(=O)C2)CCO1 ZINC001359447688 1074375566 /nfs/dbraw/zinc/37/55/66/1074375566.db2.gz ALFKFEJRAYIHRT-HOTGVXAUSA-N 0 0 449.537 -0.099 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2C[C@H]2CCCS(=O)(=O)C2)CCO1 ZINC001359447689 1074375638 /nfs/dbraw/zinc/37/56/38/1074375638.db2.gz ALFKFEJRAYIHRT-HZPDHXFCSA-N 0 0 449.537 -0.099 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2C[C@@H]2CCCS(=O)(=O)C2)CCO1 ZINC001359447690 1074375629 /nfs/dbraw/zinc/37/56/29/1074375629.db2.gz ALFKFEJRAYIHRT-JKSUJKDBSA-N 0 0 449.537 -0.099 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccc[nH]3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001359447981 1074375620 /nfs/dbraw/zinc/37/56/20/1074375620.db2.gz GVSSGGFPUZUQBX-AWEZNQCLSA-N 0 0 425.515 -0.494 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccc[nH]3)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001359447982 1074375693 /nfs/dbraw/zinc/37/56/93/1074375693.db2.gz GVSSGGFPUZUQBX-CQSZACIVSA-N 0 0 425.515 -0.494 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3noc4c3COCC4)n2CC(=O)N(C)C)CCO1 ZINC001359448017 1074375540 /nfs/dbraw/zinc/37/55/40/1074375540.db2.gz HVVFMBMLNZZXPN-CYBMUJFWSA-N 0 0 433.469 -0.565 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3noc4c3COCC4)n2CC(=O)N(C)C)CCO1 ZINC001359448018 1074375603 /nfs/dbraw/zinc/37/56/03/1074375603.db2.gz HVVFMBMLNZZXPN-ZDUSSCGKSA-N 0 0 433.469 -0.565 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccccn3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001359448277 1074375645 /nfs/dbraw/zinc/37/56/45/1074375645.db2.gz MBWLWXSUTLQYRL-INIZCTEOSA-N 0 0 443.508 -0.037 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccccn3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001359448278 1074375585 /nfs/dbraw/zinc/37/55/85/1074375585.db2.gz MBWLWXSUTLQYRL-MRXNPFEDSA-N 0 0 443.508 -0.037 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCCN2CCOC2=O)CCO1 ZINC001359448903 1074375673 /nfs/dbraw/zinc/37/56/73/1074375673.db2.gz ZFNRFWUKDMRTOS-INIZCTEOSA-N 0 0 432.485 -0.138 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCCN2CCOC2=O)CCO1 ZINC001359448904 1074375701 /nfs/dbraw/zinc/37/57/01/1074375701.db2.gz ZFNRFWUKDMRTOS-MRXNPFEDSA-N 0 0 432.485 -0.138 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001359448949 1074375660 /nfs/dbraw/zinc/37/56/60/1074375660.db2.gz AJEULXYENQYOCI-DLBZAZTESA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001359448950 1074375551 /nfs/dbraw/zinc/37/55/51/1074375551.db2.gz AJEULXYENQYOCI-IAGOWNOFSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001359448951 1074375612 /nfs/dbraw/zinc/37/56/12/1074375612.db2.gz AJEULXYENQYOCI-IRXDYDNUSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)CC2CC2)CCO1 ZINC001359448952 1074376063 /nfs/dbraw/zinc/37/60/63/1074376063.db2.gz AJEULXYENQYOCI-SJORKVTESA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC(C)(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001359449033 1074376039 /nfs/dbraw/zinc/37/60/39/1074376039.db2.gz BIOTVUFTFWFTNU-BEFAXECRSA-N 0 0 443.570 -0.242 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC(C)(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001359449034 1074376071 /nfs/dbraw/zinc/37/60/71/1074376071.db2.gz BIOTVUFTFWFTNU-DNVCBOLYSA-N 0 0 443.570 -0.242 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC(C)(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001359449035 1074376016 /nfs/dbraw/zinc/37/60/16/1074376016.db2.gz BIOTVUFTFWFTNU-HNAYVOBHSA-N 0 0 443.570 -0.242 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC(C)(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001359449036 1074375993 /nfs/dbraw/zinc/37/59/93/1074375993.db2.gz BIOTVUFTFWFTNU-KXBFYZLASA-N 0 0 443.570 -0.242 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001359449179 1074375988 /nfs/dbraw/zinc/37/59/88/1074375988.db2.gz DNPZGPCWFLDEEU-BRWVUGGUSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001359449180 1074375979 /nfs/dbraw/zinc/37/59/79/1074375979.db2.gz DNPZGPCWFLDEEU-GVDBMIGSSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001359449181 1074376010 /nfs/dbraw/zinc/37/60/10/1074376010.db2.gz DNPZGPCWFLDEEU-IXDOHACOSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001359449182 1074376022 /nfs/dbraw/zinc/37/60/22/1074376022.db2.gz DNPZGPCWFLDEEU-YESZJQIVSA-N 0 0 441.554 -0.052 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CC2)CCO1 ZINC001359449207 1074376048 /nfs/dbraw/zinc/37/60/48/1074376048.db2.gz DTHGEKYIPAFMDI-KBPBESRZSA-N 0 0 440.526 -0.852 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CC2)CCO1 ZINC001359449208 1074375969 /nfs/dbraw/zinc/37/59/69/1074375969.db2.gz DTHGEKYIPAFMDI-KGLIPLIRSA-N 0 0 440.526 -0.852 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CC2)CCO1 ZINC001359449209 1074376054 /nfs/dbraw/zinc/37/60/54/1074376054.db2.gz DTHGEKYIPAFMDI-UONOGXRCSA-N 0 0 440.526 -0.852 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC2(S(C)(=O)=O)CC2)CCO1 ZINC001359449210 1074375911 /nfs/dbraw/zinc/37/59/11/1074375911.db2.gz DTHGEKYIPAFMDI-ZIAGYGMSSA-N 0 0 440.526 -0.852 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2OCC[C@H]2C)CCO1 ZINC001359449687 1074376080 /nfs/dbraw/zinc/37/60/80/1074376080.db2.gz MBQASVOYOYKJGP-BSWAZPDLSA-N 0 0 441.554 -0.054 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2OCC[C@H]2C)CCO1 ZINC001359449688 1074375959 /nfs/dbraw/zinc/37/59/59/1074375959.db2.gz MBQASVOYOYKJGP-MWQQHZPXSA-N 0 0 441.554 -0.054 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2OCC[C@H]2C)CCO1 ZINC001359449689 1074375945 /nfs/dbraw/zinc/37/59/45/1074375945.db2.gz MBQASVOYOYKJGP-MXASKKJJSA-N 0 0 441.554 -0.054 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2OCC[C@H]2C)CCO1 ZINC001359449690 1074376002 /nfs/dbraw/zinc/37/60/02/1074376002.db2.gz MBQASVOYOYKJGP-XLNGHYISSA-N 0 0 441.554 -0.054 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(C)(C)O)CCO1 ZINC001359449777 1074376028 /nfs/dbraw/zinc/37/60/28/1074376028.db2.gz NHCRQTJPPWKGBH-CABCVRRESA-N 0 0 429.543 -0.318 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(C)(C)O)CCO1 ZINC001359449778 1074375925 /nfs/dbraw/zinc/37/59/25/1074375925.db2.gz NHCRQTJPPWKGBH-GJZGRUSLSA-N 0 0 429.543 -0.318 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(C)(C)O)CCO1 ZINC001359449779 1074375936 /nfs/dbraw/zinc/37/59/36/1074375936.db2.gz NHCRQTJPPWKGBH-HUUCEWRRSA-N 0 0 429.543 -0.318 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(C)(C)O)CCO1 ZINC001359449780 1074376450 /nfs/dbraw/zinc/37/64/50/1074376450.db2.gz NHCRQTJPPWKGBH-LSDHHAIUSA-N 0 0 429.543 -0.318 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(C3CCC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001359449831 1074376302 /nfs/dbraw/zinc/37/63/02/1074376302.db2.gz ODKSZNRLYWLMAI-INIZCTEOSA-N 0 0 441.554 -0.173 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(C3CCC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001359449832 1074376430 /nfs/dbraw/zinc/37/64/30/1074376430.db2.gz ODKSZNRLYWLMAI-MRXNPFEDSA-N 0 0 441.554 -0.173 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCc2nnc(C)s2)CCO1 ZINC001359450041 1074376364 /nfs/dbraw/zinc/37/63/64/1074376364.db2.gz QPZHOYLPTYYCRS-KBPBESRZSA-N 0 0 434.526 -0.027 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCc2nnc(C)s2)CCO1 ZINC001359450042 1074376388 /nfs/dbraw/zinc/37/63/88/1074376388.db2.gz QPZHOYLPTYYCRS-KGLIPLIRSA-N 0 0 434.526 -0.027 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCc2nnc(C)s2)CCO1 ZINC001359450043 1074376460 /nfs/dbraw/zinc/37/64/60/1074376460.db2.gz QPZHOYLPTYYCRS-UONOGXRCSA-N 0 0 434.526 -0.027 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCc2nnc(C)s2)CCO1 ZINC001359450044 1074376377 /nfs/dbraw/zinc/37/63/77/1074376377.db2.gz QPZHOYLPTYYCRS-ZIAGYGMSSA-N 0 0 434.526 -0.027 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CCO1 ZINC001359450549 1074376292 /nfs/dbraw/zinc/37/62/92/1074376292.db2.gz ZQYJSAIGLJAJQE-CABCVRRESA-N 0 0 442.542 -0.954 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CCO1 ZINC001359450550 1074376421 /nfs/dbraw/zinc/37/64/21/1074376421.db2.gz ZQYJSAIGLJAJQE-GJZGRUSLSA-N 0 0 442.542 -0.954 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CCO1 ZINC001359450551 1074376273 /nfs/dbraw/zinc/37/62/73/1074376273.db2.gz ZQYJSAIGLJAJQE-HUUCEWRRSA-N 0 0 442.542 -0.954 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CCO1 ZINC001359450552 1074376258 /nfs/dbraw/zinc/37/62/58/1074376258.db2.gz ZQYJSAIGLJAJQE-LSDHHAIUSA-N 0 0 442.542 -0.954 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNC(=O)C(C)C)CCO1 ZINC001359450563 1074376345 /nfs/dbraw/zinc/37/63/45/1074376345.db2.gz ZWEXRBKNILDWRI-CVEARBPZSA-N 0 0 435.529 -0.267 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNC(=O)C(C)C)CCO1 ZINC001359450564 1074376352 /nfs/dbraw/zinc/37/63/52/1074376352.db2.gz ZWEXRBKNILDWRI-HOTGVXAUSA-N 0 0 435.529 -0.267 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCNC(=O)C(C)C)CCO1 ZINC001359450565 1074376326 /nfs/dbraw/zinc/37/63/26/1074376326.db2.gz ZWEXRBKNILDWRI-HZPDHXFCSA-N 0 0 435.529 -0.267 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCNC(=O)C(C)C)CCO1 ZINC001359450566 1074376410 /nfs/dbraw/zinc/37/64/10/1074376410.db2.gz ZWEXRBKNILDWRI-JKSUJKDBSA-N 0 0 435.529 -0.267 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cc3ccccn3)n2CC(=O)NCC(F)F)CCO1 ZINC001359451643 1074376396 /nfs/dbraw/zinc/37/63/96/1074376396.db2.gz WMHLIAORFBDNPT-HNNXBMFYSA-N 0 0 437.451 -0.014 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cc3ccccn3)n2CC(=O)NCC(F)F)CCO1 ZINC001359451644 1074376440 /nfs/dbraw/zinc/37/64/40/1074376440.db2.gz WMHLIAORFBDNPT-OAHLLOKOSA-N 0 0 437.451 -0.014 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cc3ccccc3F)n2Cc2nnn(C)n2)CCO1 ZINC001359451661 1074376313 /nfs/dbraw/zinc/37/63/13/1074376313.db2.gz WZOROVHQHJQSAX-HNNXBMFYSA-N 0 0 429.460 -0.079 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cc3ccccc3F)n2Cc2nnn(C)n2)CCO1 ZINC001359451662 1074376281 /nfs/dbraw/zinc/37/62/81/1074376281.db2.gz WZOROVHQHJQSAX-OAHLLOKOSA-N 0 0 429.460 -0.079 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC3CC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001359451759 1074376265 /nfs/dbraw/zinc/37/62/65/1074376265.db2.gz YOVKPAPCPFHEHE-DLBZAZTESA-N 0 0 440.570 -0.147 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC3CC3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001359451760 1074376832 /nfs/dbraw/zinc/37/68/32/1074376832.db2.gz YOVKPAPCPFHEHE-IAGOWNOFSA-N 0 0 440.570 -0.147 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC3CC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001359451761 1074376672 /nfs/dbraw/zinc/37/66/72/1074376672.db2.gz YOVKPAPCPFHEHE-IRXDYDNUSA-N 0 0 440.570 -0.147 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC3CC3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001359451762 1074376882 /nfs/dbraw/zinc/37/68/82/1074376882.db2.gz YOVKPAPCPFHEHE-SJORKVTESA-N 0 0 440.570 -0.147 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCC(=O)N(C)C)CCO1 ZINC001359452001 1074376755 /nfs/dbraw/zinc/37/67/55/1074376755.db2.gz DNQZJOXNVWOAAX-INIZCTEOSA-N 0 0 435.529 -0.390 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCC(=O)N(C)C)CCO1 ZINC001359452002 1074376926 /nfs/dbraw/zinc/37/69/26/1074376926.db2.gz DNQZJOXNVWOAAX-MRXNPFEDSA-N 0 0 435.529 -0.390 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC2([S@@](C)=O)CC2)CCO1 ZINC001359452065 1074376707 /nfs/dbraw/zinc/37/67/07/1074376707.db2.gz FMYKUHMSMGBNQV-DHMKHTPVSA-N 0 0 438.554 -0.347 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC2([S@](C)=O)CC2)CCO1 ZINC001359452066 1074376842 /nfs/dbraw/zinc/37/68/42/1074376842.db2.gz FMYKUHMSMGBNQV-FOGNVHKLSA-N 0 0 438.554 -0.347 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC2([S@@](C)=O)CC2)CCO1 ZINC001359452067 1074376721 /nfs/dbraw/zinc/37/67/21/1074376721.db2.gz FMYKUHMSMGBNQV-INCQDFKNSA-N 0 0 438.554 -0.347 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC2([S@](C)=O)CC2)CCO1 ZINC001359452068 1074376788 /nfs/dbraw/zinc/37/67/88/1074376788.db2.gz FMYKUHMSMGBNQV-JGVYIQDASA-N 0 0 438.554 -0.347 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCN2CCOC2=O)CCO1 ZINC001359452243 1074376795 /nfs/dbraw/zinc/37/67/95/1074376795.db2.gz JJECXNOIPQWYOZ-INIZCTEOSA-N 0 0 449.512 -0.416 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCN2CCOC2=O)CCO1 ZINC001359452244 1074376871 /nfs/dbraw/zinc/37/68/71/1074376871.db2.gz JJECXNOIPQWYOZ-MRXNPFEDSA-N 0 0 449.512 -0.416 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@H]2COCCO2)CCO1 ZINC001359452297 1074376741 /nfs/dbraw/zinc/37/67/41/1074376741.db2.gz KEMMTPRBUKEBPL-QZTJIDSGSA-N 0 0 433.513 -0.104 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@H]2COCCO2)CCO1 ZINC001359452298 1074376767 /nfs/dbraw/zinc/37/67/67/1074376767.db2.gz KEMMTPRBUKEBPL-ZWKOTPCHSA-N 0 0 433.513 -0.104 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@H](C)N2CCOCC2)CCO1 ZINC001359452367 1074376905 /nfs/dbraw/zinc/37/69/05/1074376905.db2.gz LHAXVWPKMURWMT-AEFFLSMTSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@H](C)N2CCOCC2)CCO1 ZINC001359452368 1074376682 /nfs/dbraw/zinc/37/66/82/1074376682.db2.gz LHAXVWPKMURWMT-FUHWJXTLSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@H](C)N2CCOCC2)CCO1 ZINC001359452369 1074376850 /nfs/dbraw/zinc/37/68/50/1074376850.db2.gz LHAXVWPKMURWMT-SJLPKXTDSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@H](C)N2CCOCC2)CCO1 ZINC001359452370 1074376811 /nfs/dbraw/zinc/37/68/11/1074376811.db2.gz LHAXVWPKMURWMT-WMZOPIPTSA-N 0 0 449.556 -0.538 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCCO3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001359453076 1074376777 /nfs/dbraw/zinc/37/67/77/1074376777.db2.gz AENCKUOLQZHKJI-ARFHVFGLSA-N 0 0 427.527 -0.094 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCCO3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001359453077 1074376894 /nfs/dbraw/zinc/37/68/94/1074376894.db2.gz AENCKUOLQZHKJI-BZUAXINKSA-N 0 0 427.527 -0.094 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCCO3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001359453078 1074376729 /nfs/dbraw/zinc/37/67/29/1074376729.db2.gz AENCKUOLQZHKJI-HRCADAONSA-N 0 0 427.527 -0.094 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCCO3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001359453079 1074377266 /nfs/dbraw/zinc/37/72/66/1074377266.db2.gz AENCKUOLQZHKJI-OWCLPIDISA-N 0 0 427.527 -0.094 20 0 IBADRN CC(=O)NCCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001359453167 1074377331 /nfs/dbraw/zinc/37/73/31/1074377331.db2.gz CRTLWXUUAGBNCL-AWEZNQCLSA-N 0 0 427.469 -0.335 20 0 IBADRN CC(=O)NCCCn1c(C(=O)Nc2ccccn2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001359453168 1074377194 /nfs/dbraw/zinc/37/71/94/1074377194.db2.gz CRTLWXUUAGBNCL-CQSZACIVSA-N 0 0 427.469 -0.335 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCCO3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001359453271 1074377284 /nfs/dbraw/zinc/37/72/84/1074377284.db2.gz GHUHNQPOWZROCT-HZPDHXFCSA-N 0 0 436.513 -0.247 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCCO3)n2CC2(C(N)=O)CCOCC2)CCO1 ZINC001359453272 1074377228 /nfs/dbraw/zinc/37/72/28/1074377228.db2.gz GHUHNQPOWZROCT-JKSUJKDBSA-N 0 0 436.513 -0.247 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCCO3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001359453363 1074377277 /nfs/dbraw/zinc/37/72/77/1074377277.db2.gz IRKIRMOOJYIJPU-HZPDHXFCSA-N 0 0 445.542 -0.468 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCCO3)n2CCOCCS(C)(=O)=O)CCO1 ZINC001359453364 1074377244 /nfs/dbraw/zinc/37/72/44/1074377244.db2.gz IRKIRMOOJYIJPU-JKSUJKDBSA-N 0 0 445.542 -0.468 20 0 IBADRN CC(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001359453421 1074377351 /nfs/dbraw/zinc/37/73/51/1074377351.db2.gz KMIJGRSPRHAJIV-INIZCTEOSA-N 0 0 435.529 -0.230 20 0 IBADRN CC(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001359453422 1074377255 /nfs/dbraw/zinc/37/72/55/1074377255.db2.gz KMIJGRSPRHAJIV-MRXNPFEDSA-N 0 0 435.529 -0.230 20 0 IBADRN CC(=O)NCCCn1c(C(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001359453718 1074377211 /nfs/dbraw/zinc/37/72/11/1074377211.db2.gz RYZBDFKNPRTEJV-UHFFFAOYSA-N 0 0 433.517 -0.606 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](N2CCCC2=O)C1 ZINC001359454524 1074377236 /nfs/dbraw/zinc/37/72/36/1074377236.db2.gz POKVVVRYXAJJTM-DZGCQCFKSA-N 0 0 441.558 -0.384 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@H](N2CCCC2=O)C1 ZINC001359454525 1074377185 /nfs/dbraw/zinc/37/71/85/1074377185.db2.gz POKVVVRYXAJJTM-HIFRSBDPSA-N 0 0 441.558 -0.384 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H](N2CCCC2=O)C1 ZINC001359454526 1074377204 /nfs/dbraw/zinc/37/72/04/1074377204.db2.gz POKVVVRYXAJJTM-UKRRQHHQSA-N 0 0 441.558 -0.384 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H](N2CCCC2=O)C1 ZINC001359454527 1074377297 /nfs/dbraw/zinc/37/72/97/1074377297.db2.gz POKVVVRYXAJJTM-ZFWWWQNUSA-N 0 0 441.558 -0.384 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(NC(C)=O)CC1 ZINC001359454615 1074377322 /nfs/dbraw/zinc/37/73/22/1074377322.db2.gz RTPCNHCXWPYUKQ-GFCCVEGCSA-N 0 0 429.547 -0.481 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(NC(C)=O)CC1 ZINC001359454616 1074377367 /nfs/dbraw/zinc/37/73/67/1074377367.db2.gz RTPCNHCXWPYUKQ-LBPRGKRZSA-N 0 0 429.547 -0.481 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(C)C)CC1 ZINC001359454879 1074377687 /nfs/dbraw/zinc/37/76/87/1074377687.db2.gz YISRATGPAWUMJX-AWEZNQCLSA-N 0 0 443.574 -0.281 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(C)C)CC1 ZINC001359454880 1074377727 /nfs/dbraw/zinc/37/77/27/1074377727.db2.gz YISRATGPAWUMJX-CQSZACIVSA-N 0 0 443.574 -0.281 20 0 IBADRN CC(=O)NCCCn1c(-c2cccc(S(=O)(=O)N(C)C)c2)nnc1N1CCNC(=O)C1 ZINC001359455465 1074377619 /nfs/dbraw/zinc/37/76/19/1074377619.db2.gz QXQYQHYZMAWQRY-UHFFFAOYSA-N 0 0 449.537 -0.342 20 0 IBADRN CC(=O)NCCCn1c(-c2ccccc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359457096 1074377678 /nfs/dbraw/zinc/37/76/78/1074377678.db2.gz XEEFGTGDWMONKY-INIZCTEOSA-N 0 0 425.493 -0.073 20 0 IBADRN CC(=O)NCCCn1c(-c2ccccc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359457097 1074377741 /nfs/dbraw/zinc/37/77/41/1074377741.db2.gz XEEFGTGDWMONKY-MRXNPFEDSA-N 0 0 425.493 -0.073 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(C(=O)N2CCOCC2)CC1 ZINC001359457924 1074377712 /nfs/dbraw/zinc/37/77/12/1074377712.db2.gz AFPFPWJODBQCMJ-KRWDZBQOSA-N 0 0 447.540 -0.169 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(C(=O)N2CCOCC2)CC1 ZINC001359457925 1074377632 /nfs/dbraw/zinc/37/76/32/1074377632.db2.gz AFPFPWJODBQCMJ-QGZVFWFLSA-N 0 0 447.540 -0.169 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001359458072 1074377718 /nfs/dbraw/zinc/37/77/18/1074377718.db2.gz DIPPPMCVVPBHHI-GXTWGEPZSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001359458073 1074377736 /nfs/dbraw/zinc/37/77/36/1074377736.db2.gz DIPPPMCVVPBHHI-JSGCOSHPSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001359458177 1074377777 /nfs/dbraw/zinc/37/77/77/1074377777.db2.gz GMOCECBSLBNBGF-AWEZNQCLSA-N 0 0 427.531 -0.727 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001359458178 1074377749 /nfs/dbraw/zinc/37/77/49/1074377749.db2.gz GMOCECBSLBNBGF-CQSZACIVSA-N 0 0 427.531 -0.727 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccon1 ZINC001359459438 1074377706 /nfs/dbraw/zinc/37/77/06/1074377706.db2.gz MWAYTEINMYDGDN-HNNXBMFYSA-N 0 0 441.514 -0.238 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccon1 ZINC001359459439 1074377657 /nfs/dbraw/zinc/37/76/57/1074377657.db2.gz MWAYTEINMYDGDN-OAHLLOKOSA-N 0 0 441.514 -0.238 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC001359459489 1074377626 /nfs/dbraw/zinc/37/76/26/1074377626.db2.gz NUSXOGJIORBFFT-BZUAXINKSA-N 0 0 438.554 -0.046 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC001359459490 1074377665 /nfs/dbraw/zinc/37/76/65/1074377665.db2.gz NUSXOGJIORBFFT-OAGGEKHMSA-N 0 0 438.554 -0.046 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC001359459491 1074377692 /nfs/dbraw/zinc/37/76/92/1074377692.db2.gz NUSXOGJIORBFFT-OWCLPIDISA-N 0 0 438.554 -0.046 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC001359459492 1074377671 /nfs/dbraw/zinc/37/76/71/1074377671.db2.gz NUSXOGJIORBFFT-PMPSAXMXSA-N 0 0 438.554 -0.046 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)[C@@H](F)C1 ZINC001359459566 1074377699 /nfs/dbraw/zinc/37/76/99/1074377699.db2.gz QDNUANJECPXKTP-SNPRPXQTSA-N 0 0 432.522 -0.069 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@@H](C)[C@@H](F)C1 ZINC001359459567 1074378015 /nfs/dbraw/zinc/37/80/15/1074378015.db2.gz QDNUANJECPXKTP-VHDGCEQUSA-N 0 0 432.522 -0.069 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(NC(C)=O)CC1 ZINC001359459711 1074377998 /nfs/dbraw/zinc/37/79/98/1074377998.db2.gz SXQKGOWATRXRJL-HNNXBMFYSA-N 0 0 426.543 -0.189 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(NC(C)=O)CC1 ZINC001359459712 1074378106 /nfs/dbraw/zinc/37/81/06/1074378106.db2.gz SXQKGOWATRXRJL-OAHLLOKOSA-N 0 0 426.543 -0.189 20 0 IBADRN CC(=O)NCCCn1c(Cc2ccccc2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359460140 1074378066 /nfs/dbraw/zinc/37/80/66/1074378066.db2.gz FERQRDNWLVCJLT-GOSISDBHSA-N 0 0 439.520 -0.149 20 0 IBADRN CC(=O)NCCCn1c(Cc2ccccc2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359460141 1074378059 /nfs/dbraw/zinc/37/80/59/1074378059.db2.gz FERQRDNWLVCJLT-SFHVURJKSA-N 0 0 439.520 -0.149 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001359461003 1074378113 /nfs/dbraw/zinc/37/81/13/1074378113.db2.gz IOYKZLULZBEAQV-UHFFFAOYSA-N 0 0 446.556 -0.673 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001359461122 1074378022 /nfs/dbraw/zinc/37/80/22/1074378022.db2.gz MAZHDPOZCYGKOT-UHFFFAOYSA-N 0 0 443.512 -0.487 20 0 IBADRN CC(=O)NCCCn1c(CC2CC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001359461210 1074378007 /nfs/dbraw/zinc/37/80/07/1074378007.db2.gz OISLQODMCILAOS-UHFFFAOYSA-N 0 0 445.528 -0.777 20 0 IBADRN CC(=O)NCCCn1c(CC2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001359461417 1074378074 /nfs/dbraw/zinc/37/80/74/1074378074.db2.gz WKLLIPSGRSKSSQ-UHFFFAOYSA-N 0 0 447.540 -0.736 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001359461445 1074378081 /nfs/dbraw/zinc/37/80/81/1074378081.db2.gz XCLSOPKXPNJQSI-KRWDZBQOSA-N 0 0 447.540 -0.246 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001359461446 1074378121 /nfs/dbraw/zinc/37/81/21/1074378121.db2.gz XCLSOPKXPNJQSI-QGZVFWFLSA-N 0 0 447.540 -0.246 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N(C)C)CC1 ZINC001359477652 1074378146 /nfs/dbraw/zinc/37/81/46/1074378146.db2.gz CGMAWNWHHWIACR-HNNXBMFYSA-N 0 0 446.556 -0.722 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N(C)C)CC1 ZINC001359477653 1074378087 /nfs/dbraw/zinc/37/80/87/1074378087.db2.gz CGMAWNWHHWIACR-OAHLLOKOSA-N 0 0 446.556 -0.722 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2nnc(C3CC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001359478206 1074378139 /nfs/dbraw/zinc/37/81/39/1074378139.db2.gz OMKGRUMDKMEHHB-AWEZNQCLSA-N 0 0 439.586 -0.164 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2nnc(C3CC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001359478207 1074378127 /nfs/dbraw/zinc/37/81/27/1074378127.db2.gz OMKGRUMDKMEHHB-CQSZACIVSA-N 0 0 439.586 -0.164 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2nnc(C3CCC3)n2CCNS(C)(=O)=O)CC1 ZINC001359478588 1074378134 /nfs/dbraw/zinc/37/81/34/1074378134.db2.gz WGAOFHLIQGJLGV-AWEZNQCLSA-N 0 0 439.586 -0.116 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2nnc(C3CCC3)n2CCNS(C)(=O)=O)CC1 ZINC001359478589 1074378543 /nfs/dbraw/zinc/37/85/43/1074378543.db2.gz WGAOFHLIQGJLGV-CQSZACIVSA-N 0 0 439.586 -0.116 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001359484032 1074378473 /nfs/dbraw/zinc/37/84/73/1074378473.db2.gz STPMZAQHXPCNMF-AAVRWANBSA-N 0 0 447.583 -0.283 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001359484033 1074378585 /nfs/dbraw/zinc/37/85/85/1074378585.db2.gz STPMZAQHXPCNMF-XJFOESAGSA-N 0 0 447.583 -0.283 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001359484034 1074378577 /nfs/dbraw/zinc/37/85/77/1074378577.db2.gz STPMZAQHXPCNMF-YIYPIFLZSA-N 0 0 447.583 -0.283 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001359484035 1074378603 /nfs/dbraw/zinc/37/86/03/1074378603.db2.gz STPMZAQHXPCNMF-ZOBORPQBSA-N 0 0 447.583 -0.283 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCOC2)n1CCCNS(C)(=O)=O ZINC001359484064 1074378413 /nfs/dbraw/zinc/37/84/13/1074378413.db2.gz TXKIOUPAYIDFCZ-HZSPNIEDSA-N 0 0 437.588 -0.021 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCOC2)n1CCCNS(C)(=O)=O ZINC001359484065 1074378497 /nfs/dbraw/zinc/37/84/97/1074378497.db2.gz TXKIOUPAYIDFCZ-MCIONIFRSA-N 0 0 437.588 -0.021 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCOC2)n1CCCNS(C)(=O)=O ZINC001359484066 1074378427 /nfs/dbraw/zinc/37/84/27/1074378427.db2.gz TXKIOUPAYIDFCZ-MGPQQGTHSA-N 0 0 437.588 -0.021 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCOC2)n1CCCNS(C)(=O)=O ZINC001359484067 1074378484 /nfs/dbraw/zinc/37/84/84/1074378484.db2.gz TXKIOUPAYIDFCZ-RDBSUJKOSA-N 0 0 437.588 -0.021 20 0 IBADRN CC(C)(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1 ZINC001359492857 1074378519 /nfs/dbraw/zinc/37/85/19/1074378519.db2.gz AMAZRMMSMHKIPI-CYBMUJFWSA-N 0 0 431.584 -0.089 20 0 IBADRN CC(C)(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1 ZINC001359492858 1074378551 /nfs/dbraw/zinc/37/85/51/1074378551.db2.gz AMAZRMMSMHKIPI-ZDUSSCGKSA-N 0 0 431.584 -0.089 20 0 IBADRN CC(C)(C)C(=O)NCCn1c(CC2CC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001359494381 1074378404 /nfs/dbraw/zinc/37/84/04/1074378404.db2.gz VVBCZVGMKXDSMP-UHFFFAOYSA-N 0 0 444.544 -0.272 20 0 IBADRN CC(C)(C)c1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1CCNS(C)(=O)=O ZINC001359510505 1074378558 /nfs/dbraw/zinc/37/85/58/1074378558.db2.gz VRQGWWHHTXBSGY-CYBMUJFWSA-N 0 0 436.604 -0.110 20 0 IBADRN CC(C)(C)c1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1CCNS(C)(=O)=O ZINC001359510506 1074378570 /nfs/dbraw/zinc/37/85/70/1074378570.db2.gz VRQGWWHHTXBSGY-ZDUSSCGKSA-N 0 0 436.604 -0.110 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CCn1cncn1 ZINC001359513597 1074378460 /nfs/dbraw/zinc/37/84/60/1074378460.db2.gz ZTVHVZAGKBPPPZ-UHFFFAOYSA-N 0 0 431.545 -0.152 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCOCCS(C)(=O)=O ZINC001359516349 1074378490 /nfs/dbraw/zinc/37/84/90/1074378490.db2.gz XVRAFOWNIROHBT-UHFFFAOYSA-N 0 0 437.588 -0.282 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@]1(O)CCOC1 ZINC001359537694 1074378965 /nfs/dbraw/zinc/37/89/65/1074378965.db2.gz LIXKAUXTMGKQDX-NRFANRHFSA-N 0 0 434.541 -0.055 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@]1(O)CCOC1 ZINC001359537695 1074378833 /nfs/dbraw/zinc/37/88/33/1074378833.db2.gz LIXKAUXTMGKQDX-OAQYLSRUSA-N 0 0 434.541 -0.055 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC(=O)N1CCOCC1 ZINC001359540939 1074378865 /nfs/dbraw/zinc/37/88/65/1074378865.db2.gz LHTZAKOVVLGLEY-UHFFFAOYSA-N 0 0 428.559 -0.193 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001359541008 1074378822 /nfs/dbraw/zinc/37/88/22/1074378822.db2.gz NQNLVKBDMJICIV-UHFFFAOYSA-N 0 0 448.615 -0.016 20 0 IBADRN CC(C)(C)NC(=O)CCn1c(CC2CC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001359552349 1074378984 /nfs/dbraw/zinc/37/89/84/1074378984.db2.gz MOEKECQTNGKTAQ-UHFFFAOYSA-N 0 0 444.544 -0.129 20 0 IBADRN CC(C)(C)OC(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001359574475 1074378897 /nfs/dbraw/zinc/37/88/97/1074378897.db2.gz ZHHJTDQWFVDWEQ-CHWSQXEVSA-N 0 0 434.501 -0.032 20 0 IBADRN CC(C)(C)OC(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001359574476 1074378944 /nfs/dbraw/zinc/37/89/44/1074378944.db2.gz ZHHJTDQWFVDWEQ-OLZOCXBDSA-N 0 0 434.501 -0.032 20 0 IBADRN CC(C)(C)OC(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001359574477 1074378875 /nfs/dbraw/zinc/37/88/75/1074378875.db2.gz ZHHJTDQWFVDWEQ-QWHCGFSZSA-N 0 0 434.501 -0.032 20 0 IBADRN CC(C)(C)OC(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001359574478 1074378888 /nfs/dbraw/zinc/37/88/88/1074378888.db2.gz ZHHJTDQWFVDWEQ-STQMWFEESA-N 0 0 434.501 -0.032 20 0 IBADRN CC(C)(C)OCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001359585202 1074378850 /nfs/dbraw/zinc/37/88/50/1074378850.db2.gz VCFUTUIIHSPLID-QLFBSQMISA-N 0 0 445.542 -0.012 20 0 IBADRN CC(C)(C)OCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001359585203 1074378936 /nfs/dbraw/zinc/37/89/36/1074378936.db2.gz VCFUTUIIHSPLID-ZNMIVQPWSA-N 0 0 445.542 -0.012 20 0 IBADRN CC(C)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(F)(F)F ZINC001359595144 1074378804 /nfs/dbraw/zinc/37/88/04/1074378804.db2.gz ASSHRJNKWNZDKX-GHMZBOCLSA-N 0 0 443.430 -0.035 20 0 IBADRN CC(C)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)C(F)(F)F ZINC001359595145 1074378974 /nfs/dbraw/zinc/37/89/74/1074378974.db2.gz ASSHRJNKWNZDKX-MNOVXSKESA-N 0 0 443.430 -0.035 20 0 IBADRN CC(C)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(F)(F)F ZINC001359595146 1074379009 /nfs/dbraw/zinc/37/90/09/1074379009.db2.gz ASSHRJNKWNZDKX-QWRGUYRKSA-N 0 0 443.430 -0.035 20 0 IBADRN CC(C)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)C(F)(F)F ZINC001359595147 1074378841 /nfs/dbraw/zinc/37/88/41/1074378841.db2.gz ASSHRJNKWNZDKX-WDEREUQCSA-N 0 0 443.430 -0.035 20 0 IBADRN CC(C)(O)CCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001359601313 1074379001 /nfs/dbraw/zinc/37/90/01/1074379001.db2.gz TZQVTTHYCYQRIN-KRWDZBQOSA-N 0 0 436.557 -0.076 20 0 IBADRN CC(C)(O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001359601314 1074378993 /nfs/dbraw/zinc/37/89/93/1074378993.db2.gz TZQVTTHYCYQRIN-QGZVFWFLSA-N 0 0 436.557 -0.076 20 0 IBADRN CC(C)(O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001359601513 1074378906 /nfs/dbraw/zinc/37/89/06/1074378906.db2.gz ZDSXFDQGNGMKNI-CYBMUJFWSA-N 0 0 435.572 -0.659 20 0 IBADRN CC(C)(O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001359601514 1074378924 /nfs/dbraw/zinc/37/89/24/1074378924.db2.gz ZDSXFDQGNGMKNI-ZDUSSCGKSA-N 0 0 435.572 -0.659 20 0 IBADRN CC(C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001359602543 1074379263 /nfs/dbraw/zinc/37/92/63/1074379263.db2.gz KRGQNJMXLMAFME-UHFFFAOYSA-N 0 0 433.513 -0.788 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001359604005 1074379401 /nfs/dbraw/zinc/37/94/01/1074379401.db2.gz KBPWNPGISHILGP-CYBMUJFWSA-N 0 0 426.543 -0.055 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001359604006 1074379243 /nfs/dbraw/zinc/37/92/43/1074379243.db2.gz KBPWNPGISHILGP-ZDUSSCGKSA-N 0 0 426.543 -0.055 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCc2oncc2C1 ZINC001359604456 1074379357 /nfs/dbraw/zinc/37/93/57/1074379357.db2.gz VXCZGJIDDKEYAS-AWEZNQCLSA-N 0 0 426.499 -0.067 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCc2oncc2C1 ZINC001359604457 1074379393 /nfs/dbraw/zinc/37/93/93/1074379393.db2.gz VXCZGJIDDKEYAS-CQSZACIVSA-N 0 0 426.499 -0.067 20 0 IBADRN CC(C)(O)Cn1c(CN2CCCC2=O)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001359604901 1074379302 /nfs/dbraw/zinc/37/93/02/1074379302.db2.gz KHLOJQNUVAQQRW-UHFFFAOYSA-N 0 0 433.557 -0.084 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCNS(C)(=O)=O)CC1 ZINC001359616010 1074379312 /nfs/dbraw/zinc/37/93/12/1074379312.db2.gz HQXYBGSZTXTLET-UHFFFAOYSA-N 0 0 441.558 -0.632 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)CC1 ZINC001359617890 1074379231 /nfs/dbraw/zinc/37/92/31/1074379231.db2.gz YNNYJSITJGTSLP-GFCCVEGCSA-N 0 0 427.456 -0.085 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)CC1 ZINC001359617891 1074379272 /nfs/dbraw/zinc/37/92/72/1074379272.db2.gz YNNYJSITJGTSLP-LBPRGKRZSA-N 0 0 427.456 -0.085 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001359618714 1074379373 /nfs/dbraw/zinc/37/93/73/1074379373.db2.gz YFZBTJWAFPHESW-AWEZNQCLSA-N 0 0 426.543 -0.427 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001359618715 1074379385 /nfs/dbraw/zinc/37/93/85/1074379385.db2.gz YFZBTJWAFPHESW-CQSZACIVSA-N 0 0 426.543 -0.427 20 0 IBADRN CC(C)C(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)CC1 ZINC001359623915 1074379343 /nfs/dbraw/zinc/37/93/43/1074379343.db2.gz JIRCOFSFIGIZTM-CYBMUJFWSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)C(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)CC1 ZINC001359623916 1074379294 /nfs/dbraw/zinc/37/92/94/1074379294.db2.gz JIRCOFSFIGIZTM-ZDUSSCGKSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1 ZINC001359630273 1074379365 /nfs/dbraw/zinc/37/93/65/1074379365.db2.gz OQCQUDIZLVVLIR-CYBMUJFWSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1 ZINC001359630274 1074379281 /nfs/dbraw/zinc/37/92/81/1074379281.db2.gz OQCQUDIZLVVLIR-ZDUSSCGKSA-N 0 0 429.547 -0.671 20 0 IBADRN CC(C)C(=O)NCCCn1c(-c2cnccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001359631377 1074379349 /nfs/dbraw/zinc/37/93/49/1074379349.db2.gz AMEDJIVDEGZOMT-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN CC(C)C(=O)NCCCn1c(-c2cccn2C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359631452 1074379408 /nfs/dbraw/zinc/37/94/08/1074379408.db2.gz CPKZXNDVSNAZNF-HNNXBMFYSA-N 0 0 442.524 -0.407 20 0 IBADRN CC(C)C(=O)NCCCn1c(-c2cccn2C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359631453 1074379723 /nfs/dbraw/zinc/37/97/23/1074379723.db2.gz CPKZXNDVSNAZNF-OAHLLOKOSA-N 0 0 442.524 -0.407 20 0 IBADRN CC(C)C(=O)NCCCn1c(C2CC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001359631998 1074379786 /nfs/dbraw/zinc/37/97/86/1074379786.db2.gz UVUDXQOJDFPXEC-UHFFFAOYSA-N 0 0 430.517 -0.347 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1 ZINC001359633035 1074379753 /nfs/dbraw/zinc/37/97/53/1074379753.db2.gz WCLJJGGXQFMJJY-AWEZNQCLSA-N 0 0 431.584 -0.089 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)CC1 ZINC001359633036 1074379741 /nfs/dbraw/zinc/37/97/41/1074379741.db2.gz WCLJJGGXQFMJJY-CQSZACIVSA-N 0 0 431.584 -0.089 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H]1COCCO1 ZINC001359634357 1074379673 /nfs/dbraw/zinc/37/96/73/1074379673.db2.gz PZAXRTNFTJQIQA-KBPBESRZSA-N 0 0 432.547 -0.488 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@H]1COCCO1 ZINC001359634358 1074379774 /nfs/dbraw/zinc/37/97/74/1074379774.db2.gz PZAXRTNFTJQIQA-KGLIPLIRSA-N 0 0 432.547 -0.488 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1COCCO1 ZINC001359634359 1074379683 /nfs/dbraw/zinc/37/96/83/1074379683.db2.gz PZAXRTNFTJQIQA-UONOGXRCSA-N 0 0 432.547 -0.488 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@H]1COCCO1 ZINC001359634360 1074379731 /nfs/dbraw/zinc/37/97/31/1074379731.db2.gz PZAXRTNFTJQIQA-ZIAGYGMSSA-N 0 0 432.547 -0.488 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc(C(=O)N2CCCC2)n1CCNS(C)(=O)=O ZINC001359634396 1074379705 /nfs/dbraw/zinc/37/97/05/1074379705.db2.gz RCXDPDIAVKBBTN-UHFFFAOYSA-N 0 0 429.547 -0.728 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001359636940 1074379809 /nfs/dbraw/zinc/37/98/09/1074379809.db2.gz AFXABUBINODOJG-CYBMUJFWSA-N 0 0 435.572 -0.567 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCS(C)(=O)=O ZINC001359636941 1074379797 /nfs/dbraw/zinc/37/97/97/1074379797.db2.gz AFXABUBINODOJG-ZDUSSCGKSA-N 0 0 435.572 -0.567 20 0 IBADRN CC(C)C(=O)NCCn1c(C(=O)Nc2ccccn2)nnc1N1CCS(=O)(=O)CC1 ZINC001359638138 1074379765 /nfs/dbraw/zinc/37/97/65/1074379765.db2.gz MLOBLCZOOFAXRH-UHFFFAOYSA-N 0 0 435.510 -0.068 20 0 IBADRN CC(C)C(=O)NCCn1c(C(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001359638183 1074379695 /nfs/dbraw/zinc/37/96/95/1074379695.db2.gz NWZZENCIDAVGDQ-UHFFFAOYSA-N 0 0 447.544 -0.360 20 0 IBADRN CC(C)C(=O)NCCn1c(C(=O)N2CCCC2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001359638239 1074379616 /nfs/dbraw/zinc/37/96/16/1074379616.db2.gz PVMGTETWWCQODF-UHFFFAOYSA-N 0 0 435.529 -0.419 20 0 IBADRN CC(C)C(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001359638428 1074379663 /nfs/dbraw/zinc/37/96/63/1074379663.db2.gz WIGCCBJWROSFMA-UHFFFAOYSA-N 0 0 448.572 -0.504 20 0 IBADRN CC(C)C(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001359640392 1074379629 /nfs/dbraw/zinc/37/96/29/1074379629.db2.gz JZCCKHBSNHNXRU-UHFFFAOYSA-N 0 0 438.558 -0.078 20 0 IBADRN CC(C)C(=O)NCCn1c(C2CC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001359640674 1074379711 /nfs/dbraw/zinc/37/97/11/1074379711.db2.gz TWWATVZLCFSWQM-UHFFFAOYSA-N 0 0 433.557 -0.092 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001359641474 1074379781 /nfs/dbraw/zinc/37/97/81/1074379781.db2.gz OWHXKXJFAJKEFY-KBPBESRZSA-N 0 0 447.583 -0.424 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001359641475 1074379645 /nfs/dbraw/zinc/37/96/45/1074379645.db2.gz OWHXKXJFAJKEFY-KGLIPLIRSA-N 0 0 447.583 -0.424 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001359641476 1074379654 /nfs/dbraw/zinc/37/96/54/1074379654.db2.gz OWHXKXJFAJKEFY-UONOGXRCSA-N 0 0 447.583 -0.424 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001359641477 1074380187 /nfs/dbraw/zinc/38/01/87/1074380187.db2.gz OWHXKXJFAJKEFY-ZIAGYGMSSA-N 0 0 447.583 -0.424 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359641624 1074380051 /nfs/dbraw/zinc/38/00/51/1074380051.db2.gz RPIRTNFSDUIMFI-CABCVRRESA-N 0 0 433.513 -0.909 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359641625 1074380176 /nfs/dbraw/zinc/38/01/76/1074380176.db2.gz RPIRTNFSDUIMFI-GJZGRUSLSA-N 0 0 433.513 -0.909 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359641626 1074380198 /nfs/dbraw/zinc/38/01/98/1074380198.db2.gz RPIRTNFSDUIMFI-HUUCEWRRSA-N 0 0 433.513 -0.909 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359641627 1074380061 /nfs/dbraw/zinc/38/00/61/1074380061.db2.gz RPIRTNFSDUIMFI-LSDHHAIUSA-N 0 0 433.513 -0.909 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001359641700 1074380001 /nfs/dbraw/zinc/38/00/01/1074380001.db2.gz UAGFOGJXIKMBHF-KBPBESRZSA-N 0 0 426.543 -0.381 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001359641701 1074380155 /nfs/dbraw/zinc/38/01/55/1074380155.db2.gz UAGFOGJXIKMBHF-KGLIPLIRSA-N 0 0 426.543 -0.381 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001359641702 1074380029 /nfs/dbraw/zinc/38/00/29/1074380029.db2.gz UAGFOGJXIKMBHF-UONOGXRCSA-N 0 0 426.543 -0.381 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001359641703 1074380037 /nfs/dbraw/zinc/38/00/37/1074380037.db2.gz UAGFOGJXIKMBHF-ZIAGYGMSSA-N 0 0 426.543 -0.381 20 0 IBADRN CC(C)C(=O)NCCn1c(Cc2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359642532 1074380074 /nfs/dbraw/zinc/38/00/74/1074380074.db2.gz UTAAQBCBMVYASD-INIZCTEOSA-N 0 0 440.508 -0.817 20 0 IBADRN CC(C)C(=O)NCCn1c(Cc2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359642533 1074380207 /nfs/dbraw/zinc/38/02/07/1074380207.db2.gz UTAAQBCBMVYASD-MRXNPFEDSA-N 0 0 440.508 -0.817 20 0 IBADRN CC(C)[C@](C)(O)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359654258 1074380216 /nfs/dbraw/zinc/38/02/16/1074380216.db2.gz YQMRZJNGFYUMLZ-HRAATJIYSA-N 0 0 427.509 -0.182 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359654259 1074380118 /nfs/dbraw/zinc/38/01/18/1074380118.db2.gz YQMRZJNGFYUMLZ-IERDGZPVSA-N 0 0 427.509 -0.182 20 0 IBADRN CC(C)[C@](C)(O)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359654260 1074380141 /nfs/dbraw/zinc/38/01/41/1074380141.db2.gz YQMRZJNGFYUMLZ-IIBYNOLFSA-N 0 0 427.509 -0.182 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359654261 1074380084 /nfs/dbraw/zinc/38/00/84/1074380084.db2.gz YQMRZJNGFYUMLZ-KKSFZXQISA-N 0 0 427.509 -0.182 20 0 IBADRN CC(C)c1nnc(N2CCC(N3CCNC3=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001359699926 1074380013 /nfs/dbraw/zinc/38/00/13/1074380013.db2.gz WYASRCAQJIJUOE-GOSISDBHSA-N 0 0 426.543 -0.055 20 0 IBADRN CC(C)c1nnc(N2CCC(N3CCNC3=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001359699927 1074380109 /nfs/dbraw/zinc/38/01/09/1074380109.db2.gz WYASRCAQJIJUOE-SFHVURJKSA-N 0 0 426.543 -0.055 20 0 IBADRN CC(C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001359700586 1074380166 /nfs/dbraw/zinc/38/01/66/1074380166.db2.gz UMBVIGHZMMFYAC-UHFFFAOYSA-N 0 0 434.588 -0.045 20 0 IBADRN CC(C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001359700677 1074380097 /nfs/dbraw/zinc/38/00/97/1074380097.db2.gz XBMDQGBLWBKHMQ-INIZCTEOSA-N 0 0 435.572 -0.531 20 0 IBADRN CC(C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001359700678 1074380127 /nfs/dbraw/zinc/38/01/27/1074380127.db2.gz XBMDQGBLWBKHMQ-MRXNPFEDSA-N 0 0 435.572 -0.531 20 0 IBADRN CC(C)c1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CCCNS(C)(=O)=O ZINC001359702318 1074380394 /nfs/dbraw/zinc/38/03/94/1074380394.db2.gz UHKQBPMWOPRCGU-UHFFFAOYSA-N 0 0 427.531 -0.139 20 0 IBADRN CC(C)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001359704666 1074380371 /nfs/dbraw/zinc/38/03/71/1074380371.db2.gz OQGBHBHQDYXKDF-UHFFFAOYSA-N 0 0 436.538 -0.002 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC(=O)N1CCOCC1 ZINC001359712637 1074380535 /nfs/dbraw/zinc/38/05/35/1074380535.db2.gz BBZKWMQCWPIFGO-UHFFFAOYSA-N 0 0 433.513 -0.813 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCCNS(C)(=O)=O ZINC001359712930 1074380437 /nfs/dbraw/zinc/38/04/37/1074380437.db2.gz QHOLHZBRVRTBSX-UHFFFAOYSA-N 0 0 441.558 -0.732 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001359714061 1074380587 /nfs/dbraw/zinc/38/05/87/1074380587.db2.gz JJUJHPBJSHRMOV-AWEZNQCLSA-N 0 0 430.517 -0.349 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@H]1NC(=O)CC1(C)C ZINC001359714062 1074380425 /nfs/dbraw/zinc/38/04/25/1074380425.db2.gz JJUJHPBJSHRMOV-CQSZACIVSA-N 0 0 430.517 -0.349 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001359714094 1074380544 /nfs/dbraw/zinc/38/05/44/1074380544.db2.gz KJFIREBNBCNMRA-NRFANRHFSA-N 0 0 433.557 -0.139 20 0 IBADRN CC(C)c1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001359714095 1074380359 /nfs/dbraw/zinc/38/03/59/1074380359.db2.gz KJFIREBNBCNMRA-OAQYLSRUSA-N 0 0 433.557 -0.139 20 0 IBADRN CC(C)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001359717204 1074380524 /nfs/dbraw/zinc/38/05/24/1074380524.db2.gz MHJVIEZCYPDAEN-GOSISDBHSA-N 0 0 437.526 -0.462 20 0 IBADRN CC(C)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001359717205 1074380476 /nfs/dbraw/zinc/38/04/76/1074380476.db2.gz MHJVIEZCYPDAEN-SFHVURJKSA-N 0 0 437.526 -0.462 20 0 IBADRN CC(C)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1CCNS(C)(=O)=O ZINC001359718375 1074380464 /nfs/dbraw/zinc/38/04/64/1074380464.db2.gz AXOPPIPWAPGSOC-UHFFFAOYSA-N 0 0 427.575 -0.305 20 0 IBADRN CC(C)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCNC(=O)c1ccn[nH]1 ZINC001359718502 1074380449 /nfs/dbraw/zinc/38/04/49/1074380449.db2.gz GEDFYTOPCGDREK-UHFFFAOYSA-N 0 0 429.529 -0.045 20 0 IBADRN CC(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)NCCc1ccccc1 ZINC001359720910 1074380570 /nfs/dbraw/zinc/38/05/70/1074380570.db2.gz IEIPKFKPBUWWCI-KRWDZBQOSA-N 0 0 439.520 -0.093 20 0 IBADRN CC(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)NCCc1ccccc1 ZINC001359720911 1074380579 /nfs/dbraw/zinc/38/05/79/1074380579.db2.gz IEIPKFKPBUWWCI-QGZVFWFLSA-N 0 0 439.520 -0.093 20 0 IBADRN CC(C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001359720996 1074380513 /nfs/dbraw/zinc/38/05/13/1074380513.db2.gz KIWCBGGPNDTPME-UHFFFAOYSA-N 0 0 435.572 -0.577 20 0 IBADRN CC(C)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CCOCCS(C)(=O)=O ZINC001359721215 1074380595 /nfs/dbraw/zinc/38/05/95/1074380595.db2.gz PTWUTYGKUKQUKZ-AWEZNQCLSA-N 0 0 437.588 -0.067 20 0 IBADRN CC(C)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001359721387 1074380351 /nfs/dbraw/zinc/38/03/51/1074380351.db2.gz UJWHMSNYTOEGOV-AWEZNQCLSA-N 0 0 426.543 -0.103 20 0 IBADRN CC(C)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001359721388 1074380384 /nfs/dbraw/zinc/38/03/84/1074380384.db2.gz UJWHMSNYTOEGOV-CQSZACIVSA-N 0 0 426.543 -0.103 20 0 IBADRN CC(C)Cc1nnc(N2CCC(N(C)S(C)(=O)=O)CC2)n1CCNS(C)(=O)=O ZINC001359811131 1074380909 /nfs/dbraw/zinc/38/09/09/1074380909.db2.gz FCKBZHUVHFMBBU-UHFFFAOYSA-N 0 0 436.604 -0.114 20 0 IBADRN CC(C)Cc1nnc(N2CCC(OCC(N)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001359817883 1074380961 /nfs/dbraw/zinc/38/09/61/1074380961.db2.gz NFYMFWARAOEBPZ-UHFFFAOYSA-N 0 0 428.559 -0.017 20 0 IBADRN CC(C)Cc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001359843071 1074380879 /nfs/dbraw/zinc/38/08/79/1074380879.db2.gz KFBJPFVFQQQZRV-UHFFFAOYSA-N 0 0 448.615 -0.016 20 0 IBADRN CC(C)Cc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CCS(=O)(=O)N(C)C ZINC001359843765 1074380931 /nfs/dbraw/zinc/38/09/31/1074380931.db2.gz YGZGINFKZDBXEX-UHFFFAOYSA-N 0 0 436.604 -0.160 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC1(O)CCOCC1 ZINC001359843920 1074380972 /nfs/dbraw/zinc/38/09/72/1074380972.db2.gz CZOHZUOQFNRFLL-UHFFFAOYSA-N 0 0 434.541 -0.055 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001359843979 1074380890 /nfs/dbraw/zinc/38/08/90/1074380890.db2.gz FXSCSPYYGKERJO-MRXNPFEDSA-N 0 0 447.540 -0.737 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC(=O)N1CCOCC1 ZINC001359843986 1074380899 /nfs/dbraw/zinc/38/08/99/1074380899.db2.gz GGCZOJSZFOWHBF-UHFFFAOYSA-N 0 0 447.540 -0.737 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CCNC(=O)C(C)C ZINC001359847374 1074380982 /nfs/dbraw/zinc/38/09/82/1074380982.db2.gz UVNGNSVNECCDLB-UHFFFAOYSA-N 0 0 432.533 -0.416 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CCn1cncn1 ZINC001359847430 1074380988 /nfs/dbraw/zinc/38/09/88/1074380988.db2.gz WDAZVTOJBNOVAH-UHFFFAOYSA-N 0 0 431.545 -0.251 20 0 IBADRN CC(C)Cc1nnc(N2CCN(C)C(=O)C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001359849617 1074381004 /nfs/dbraw/zinc/38/10/04/1074381004.db2.gz MFQUOMDORAAXHB-UHFFFAOYSA-N 0 0 425.515 -0.137 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCCCS(C)(=O)=O ZINC001359862272 1074380917 /nfs/dbraw/zinc/38/09/17/1074380917.db2.gz GVOJZUCGUSYGNJ-HNNXBMFYSA-N 0 0 440.570 -0.162 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCCCS(C)(=O)=O ZINC001359862273 1074380851 /nfs/dbraw/zinc/38/08/51/1074380851.db2.gz GVOJZUCGUSYGNJ-OAHLLOKOSA-N 0 0 440.570 -0.162 20 0 IBADRN CC(C)Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001359862369 1074380948 /nfs/dbraw/zinc/38/09/48/1074380948.db2.gz IBJIHNPCYVYQDQ-HNNXBMFYSA-N 0 0 448.615 -0.160 20 0 IBADRN CC(C)Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001359862370 1074380869 /nfs/dbraw/zinc/38/08/69/1074380869.db2.gz IBJIHNPCYVYQDQ-OAHLLOKOSA-N 0 0 448.615 -0.160 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001359862624 1074380925 /nfs/dbraw/zinc/38/09/25/1074380925.db2.gz LFDZGUCDTPPVPJ-CABCVRRESA-N 0 0 425.559 -0.028 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)NC[C@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001359862628 1074380944 /nfs/dbraw/zinc/38/09/44/1074380944.db2.gz LFDZGUCDTPPVPJ-GJZGRUSLSA-N 0 0 425.559 -0.028 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001359862629 1074380995 /nfs/dbraw/zinc/38/09/95/1074380995.db2.gz LFDZGUCDTPPVPJ-HUUCEWRRSA-N 0 0 425.559 -0.028 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001359862630 1074380939 /nfs/dbraw/zinc/38/09/39/1074380939.db2.gz LFDZGUCDTPPVPJ-LSDHHAIUSA-N 0 0 425.559 -0.028 20 0 IBADRN CC(C)Cc1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001359862677 1074380955 /nfs/dbraw/zinc/38/09/55/1074380955.db2.gz MFYWSNAVHBXJPK-WMLDXEAASA-N 0 0 449.599 -0.504 20 0 IBADRN CC(C)Cc1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001359862678 1074380966 /nfs/dbraw/zinc/38/09/66/1074380966.db2.gz MFYWSNAVHBXJPK-YOEHRIQHSA-N 0 0 449.599 -0.504 20 0 IBADRN CC(C)Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001359862851 1074381256 /nfs/dbraw/zinc/38/12/56/1074381256.db2.gz PJPWGNHIESGQRZ-ZDUSSCGKSA-N 0 0 427.531 -0.454 20 0 IBADRN CC(C)Cc1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001359862891 1074381278 /nfs/dbraw/zinc/38/12/78/1074381278.db2.gz QQFVOTNGGSIUKQ-HNNXBMFYSA-N 0 0 428.559 -0.195 20 0 IBADRN CC(C)Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CC(=O)NC(C)C ZINC001359863338 1074381199 /nfs/dbraw/zinc/38/11/99/1074381199.db2.gz WHNHRVOYNXQZQR-UHFFFAOYSA-N 0 0 447.544 -0.532 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCS(=O)(=O)CC1CC1 ZINC001359863484 1074381384 /nfs/dbraw/zinc/38/13/84/1074381384.db2.gz XVDLFKOQNFBBHF-HNNXBMFYSA-N 0 0 438.554 -0.552 20 0 IBADRN CC(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCS(=O)(=O)CC1CC1 ZINC001359863485 1074381226 /nfs/dbraw/zinc/38/12/26/1074381226.db2.gz XVDLFKOQNFBBHF-OAHLLOKOSA-N 0 0 438.554 -0.552 20 0 IBADRN CC(C)CC[C@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359894362 1074381212 /nfs/dbraw/zinc/38/12/12/1074381212.db2.gz MYXNANHBEHSACE-GXZWQRSESA-N 0 0 447.540 -0.437 20 0 IBADRN CC(C)CC[C@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359894363 1074381394 /nfs/dbraw/zinc/38/13/94/1074381394.db2.gz MYXNANHBEHSACE-PZPWOCDFSA-N 0 0 447.540 -0.437 20 0 IBADRN CC(C)CC[C@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359894364 1074381267 /nfs/dbraw/zinc/38/12/67/1074381267.db2.gz MYXNANHBEHSACE-WIEQDCTASA-N 0 0 447.540 -0.437 20 0 IBADRN CC(C)CC[C@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359894365 1074381235 /nfs/dbraw/zinc/38/12/35/1074381235.db2.gz MYXNANHBEHSACE-ZSDSOXJFSA-N 0 0 447.540 -0.437 20 0 IBADRN O=C(C[C@@H]1NC(=O)c2ccccc2NC1=O)NCCS(=O)(=O)N1CCSCC1 ZINC001359922796 1074381289 /nfs/dbraw/zinc/38/12/89/1074381289.db2.gz KOXQLDXTAZBWLZ-AWEZNQCLSA-N 0 0 426.520 -0.378 20 0 IBADRN CC(C)CCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001359944020 1074381332 /nfs/dbraw/zinc/38/13/32/1074381332.db2.gz MSHWEMXWKKDLQT-UHFFFAOYSA-N 0 0 430.517 -0.218 20 0 IBADRN CC(C)CCOCCn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001359962474 1074381405 /nfs/dbraw/zinc/38/14/05/1074381405.db2.gz ZUVNEGMIUZKWKO-HNNXBMFYSA-N 0 0 435.529 -0.374 20 0 IBADRN CC(C)CCOCCn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001359962475 1074381245 /nfs/dbraw/zinc/38/12/45/1074381245.db2.gz ZUVNEGMIUZKWKO-OAHLLOKOSA-N 0 0 435.529 -0.374 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1)N1CCCc2ccccc21 ZINC001359988483 1074381435 /nfs/dbraw/zinc/38/14/35/1074381435.db2.gz HEAPPJFGIVXNFK-INIZCTEOSA-N 0 0 434.518 -0.977 20 0 IBADRN CC(C)CN1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2nnn(C)n2)[C@@H](C)C1=O ZINC001360012081 1074381304 /nfs/dbraw/zinc/38/13/04/1074381304.db2.gz MBHMVXPHKMTGNC-AWEZNQCLSA-N 0 0 430.517 -0.221 20 0 IBADRN CC(C)CN1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2nnn(C)n2)[C@H](C)C1=O ZINC001360012082 1074381454 /nfs/dbraw/zinc/38/14/54/1074381454.db2.gz MBHMVXPHKMTGNC-CQSZACIVSA-N 0 0 430.517 -0.221 20 0 IBADRN CC(C)CN1CCN(c2nnc(-c3cnn(C)c3)n2CC(=O)N2CCOCC2)CC1=O ZINC001360022974 1074381365 /nfs/dbraw/zinc/38/13/65/1074381365.db2.gz VAIFCOVXBZRUTN-UHFFFAOYSA-N 0 0 430.513 -0.158 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CC1=O ZINC001360025397 1074381420 /nfs/dbraw/zinc/38/14/20/1074381420.db2.gz HFFSUAWJWHBJEO-HNNXBMFYSA-N 0 0 433.513 -0.607 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CC1=O ZINC001360025398 1074381349 /nfs/dbraw/zinc/38/13/49/1074381349.db2.gz HFFSUAWJWHBJEO-OAHLLOKOSA-N 0 0 433.513 -0.607 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)N2CCOCC2)CC1=O ZINC001360026066 1074381321 /nfs/dbraw/zinc/38/13/21/1074381321.db2.gz PNKNXCKATAIILA-INIZCTEOSA-N 0 0 447.540 -0.217 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)N2CCOCC2)CC1=O ZINC001360026067 1074381909 /nfs/dbraw/zinc/38/19/09/1074381909.db2.gz PNKNXCKATAIILA-MRXNPFEDSA-N 0 0 447.540 -0.217 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)CC1=O ZINC001360026197 1074381736 /nfs/dbraw/zinc/38/17/36/1074381736.db2.gz TTXHBEKFPPJUIY-AWEZNQCLSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)CC1=O ZINC001360026198 1074381850 /nfs/dbraw/zinc/38/18/50/1074381850.db2.gz TTXHBEKFPPJUIY-CQSZACIVSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1=O ZINC001360026526 1074381895 /nfs/dbraw/zinc/38/18/95/1074381895.db2.gz AJTUUFNSYDWVOJ-HZPDHXFCSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)CC1=O ZINC001360026527 1074381771 /nfs/dbraw/zinc/38/17/71/1074381771.db2.gz AJTUUFNSYDWVOJ-JKSUJKDBSA-N 0 0 441.554 -0.100 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cnnn2C)CC1=O ZINC001360027095 1074381866 /nfs/dbraw/zinc/38/18/66/1074381866.db2.gz IRXYGISPJWLQOC-AWEZNQCLSA-N 0 0 436.542 -0.338 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cnnn2C)CC1=O ZINC001360027096 1074381932 /nfs/dbraw/zinc/38/19/32/1074381932.db2.gz IRXYGISPJWLQOC-CQSZACIVSA-N 0 0 436.542 -0.338 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1=O ZINC001360027926 1074381900 /nfs/dbraw/zinc/38/19/00/1074381900.db2.gz TVOGBXMQGWKDGK-AWEZNQCLSA-N 0 0 426.543 -0.427 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1=O ZINC001360027927 1074381761 /nfs/dbraw/zinc/38/17/61/1074381761.db2.gz TVOGBXMQGWKDGK-CQSZACIVSA-N 0 0 426.543 -0.427 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)CC1=O ZINC001360028030 1074381916 /nfs/dbraw/zinc/38/19/16/1074381916.db2.gz VKRQEVNRGXJUPX-AWEZNQCLSA-N 0 0 436.542 -0.338 20 0 IBADRN CC(C)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)CC1=O ZINC001360028031 1074381800 /nfs/dbraw/zinc/38/18/00/1074381800.db2.gz VKRQEVNRGXJUPX-CQSZACIVSA-N 0 0 436.542 -0.338 20 0 IBADRN CC(C)COCCCn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001360067549 1074381858 /nfs/dbraw/zinc/38/18/58/1074381858.db2.gz XQVMIYMWUFJOHU-INIZCTEOSA-N 0 0 447.540 -0.276 20 0 IBADRN CC(C)COCCCn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001360067552 1074381751 /nfs/dbraw/zinc/38/17/51/1074381751.db2.gz XQVMIYMWUFJOHU-MRXNPFEDSA-N 0 0 447.540 -0.276 20 0 IBADRN CC(C)COCCCn1c(-c2cnccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001360070903 1074381923 /nfs/dbraw/zinc/38/19/23/1074381923.db2.gz OXIDRBOOGIUDGG-HNNXBMFYSA-N 0 0 428.497 -0.055 20 0 IBADRN CC(C)COCCCn1c(-c2cnccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001360070904 1074381831 /nfs/dbraw/zinc/38/18/31/1074381831.db2.gz OXIDRBOOGIUDGG-OAHLLOKOSA-N 0 0 428.497 -0.055 20 0 IBADRN CC(C)CSCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001360087123 1074381843 /nfs/dbraw/zinc/38/18/43/1074381843.db2.gz MCYCZGKMHAQLHH-HNNXBMFYSA-N 0 0 449.581 -0.063 20 0 IBADRN CC(C)CSCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001360087124 1074381875 /nfs/dbraw/zinc/38/18/75/1074381875.db2.gz MCYCZGKMHAQLHH-OAHLLOKOSA-N 0 0 449.581 -0.063 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCS(=O)(=O)N2CCSCC2)[C@H]1c1nccn1C ZINC001360098779 1074381881 /nfs/dbraw/zinc/38/18/81/1074381881.db2.gz FSYFLKNLMVIRNQ-GJZGRUSLSA-N 0 0 445.567 -0.797 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)O1 ZINC001360103129 1074382305 /nfs/dbraw/zinc/38/23/05/1074382305.db2.gz ZLATVQQQBGBITK-CVEARBPZSA-N 0 0 425.507 -0.041 20 0 IBADRN CO[C@@]1(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCS(=O)(=O)C1 ZINC001360139947 1074382410 /nfs/dbraw/zinc/38/24/10/1074382410.db2.gz WCZPANVRZNJWOJ-INIZCTEOSA-N 0 0 432.524 -0.695 20 0 IBADRN CO[C@]1(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCS(=O)(=O)C1 ZINC001360139948 1074382446 /nfs/dbraw/zinc/38/24/46/1074382446.db2.gz WCZPANVRZNJWOJ-MRXNPFEDSA-N 0 0 432.524 -0.695 20 0 IBADRN CC(C)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001360152571 1074382345 /nfs/dbraw/zinc/38/23/45/1074382345.db2.gz PXMRLKYYJWEULQ-HNNXBMFYSA-N 0 0 448.615 -0.398 20 0 IBADRN CC(C)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001360152572 1074382231 /nfs/dbraw/zinc/38/22/31/1074382231.db2.gz PXMRLKYYJWEULQ-OAHLLOKOSA-N 0 0 448.615 -0.398 20 0 IBADRN CC(C)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001360153567 1074382454 /nfs/dbraw/zinc/38/24/54/1074382454.db2.gz ZPAMKXYZDIMYNA-IBGZPJMESA-N 0 0 440.570 -0.520 20 0 IBADRN CC(C)N1CCN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001360153568 1074382367 /nfs/dbraw/zinc/38/23/67/1074382367.db2.gz ZPAMKXYZDIMYNA-LJQANCHMSA-N 0 0 440.570 -0.520 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(C)C)n2CC(=O)NCC(F)F)CC1 ZINC001360156434 1074382438 /nfs/dbraw/zinc/38/24/38/1074382438.db2.gz AEUGTAADYGCVAT-UHFFFAOYSA-N 0 0 429.472 -0.044 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2Cc2ccn(C)n2)CC1 ZINC001360156525 1074382252 /nfs/dbraw/zinc/38/22/52/1074382252.db2.gz CYPVTOUSFDLVBN-UHFFFAOYSA-N 0 0 445.528 -0.629 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2[C@@H]2C[C@H]2C)CC1 ZINC001360156577 1074382709 /nfs/dbraw/zinc/38/27/09/1074382709.db2.gz FRTSLDNDHLMXPN-HZSPNIEDSA-N 0 0 441.558 -0.358 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2[C@H]2C[C@@H]2C)CC1 ZINC001360156578 1074382774 /nfs/dbraw/zinc/38/27/74/1074382774.db2.gz FRTSLDNDHLMXPN-IHRRRGAJSA-N 0 0 441.558 -0.358 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2[C@@H]2C[C@@H]2C)CC1 ZINC001360156579 1074382751 /nfs/dbraw/zinc/38/27/51/1074382751.db2.gz FRTSLDNDHLMXPN-MELADBBJSA-N 0 0 441.558 -0.358 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2[C@H]2C[C@H]2C)CC1 ZINC001360156580 1074382767 /nfs/dbraw/zinc/38/27/67/1074382767.db2.gz FRTSLDNDHLMXPN-RDBSUJKOSA-N 0 0 441.558 -0.358 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2Cc2cnn(C)c2)CC1 ZINC001360156803 1074382671 /nfs/dbraw/zinc/38/26/71/1074382671.db2.gz ODLINZKGXROBIG-UHFFFAOYSA-N 0 0 445.528 -0.629 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccn3C)n2Cc2cnnn2C)CC1 ZINC001360158809 1074382715 /nfs/dbraw/zinc/38/27/15/1074382715.db2.gz FBXCRDKMEODXSK-UHFFFAOYSA-N 0 0 440.512 -0.366 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2Cc2ncn(C)n2)CC1 ZINC001360158872 1074382678 /nfs/dbraw/zinc/38/26/78/1074382678.db2.gz GJCPWSLIYOJIFS-UHFFFAOYSA-N 0 0 426.485 -0.377 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(CC3CC3)n2CCNC(=O)C2CC2)CC1 ZINC001360159061 1074382732 /nfs/dbraw/zinc/38/27/32/1074382732.db2.gz BYWRDNASWJKQJC-UHFFFAOYSA-N 0 0 431.541 -0.070 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCCO3)n2C[C@]2(O)CCOC2)CC1 ZINC001360159081 1074382741 /nfs/dbraw/zinc/38/27/41/1074382741.db2.gz CCWDJEFDPRYVHQ-FOIQADDNSA-N 0 0 436.513 -0.546 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCCO3)n2C[C@@]2(O)CCOC2)CC1 ZINC001360159085 1074382685 /nfs/dbraw/zinc/38/26/85/1074382685.db2.gz CCWDJEFDPRYVHQ-QRWLVFNGSA-N 0 0 436.513 -0.546 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CC2)CC1 ZINC001360159132 1074382695 /nfs/dbraw/zinc/38/26/95/1074382695.db2.gz CZBHQDRQOIDBBP-UHFFFAOYSA-N 0 0 433.513 -0.901 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCOC(C)C)CC1 ZINC001360159343 1074382783 /nfs/dbraw/zinc/38/27/83/1074382783.db2.gz HSJPAKSJAFYUOQ-UHFFFAOYSA-N 0 0 449.556 -0.001 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001360159490 1074382703 /nfs/dbraw/zinc/38/27/03/1074382703.db2.gz RNMADAPJYLOQPF-CQSZACIVSA-N 0 0 428.497 -0.275 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccnc3)n2Cc2ncn(C)n2)CC1 ZINC001360159649 1074382721 /nfs/dbraw/zinc/38/27/21/1074382721.db2.gz UPWQESKPUKFWEW-UHFFFAOYSA-N 0 0 438.496 -0.310 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc(-c3cccnc3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001360159853 1074382729 /nfs/dbraw/zinc/38/27/29/1074382729.db2.gz XGKUVPORKGHMNZ-MRXNPFEDSA-N 0 0 440.508 -0.208 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@](C)(O)C(C)C)CC1 ZINC001360159922 1074382663 /nfs/dbraw/zinc/38/26/63/1074382663.db2.gz YJXSWXUXZSBBQH-BTYIYWSLSA-N 0 0 449.556 -0.191 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@](C)(O)C(C)C)CC1 ZINC001360159925 1074382760 /nfs/dbraw/zinc/38/27/60/1074382760.db2.gz YJXSWXUXZSBBQH-QVKFZJNVSA-N 0 0 449.556 -0.191 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@](C)(O)C(C)C)CC1 ZINC001360159927 1074382809 /nfs/dbraw/zinc/38/28/09/1074382809.db2.gz YJXSWXUXZSBBQH-VFNWGFHPSA-N 0 0 449.556 -0.191 20 0 IBADRN CC(C)NC(=O)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@](C)(O)C(C)C)CC1 ZINC001360159929 1074382800 /nfs/dbraw/zinc/38/28/00/1074382800.db2.gz YJXSWXUXZSBBQH-YCRPNKLZSA-N 0 0 449.556 -0.191 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H](C)CS(C)(=O)=O)n1CC(=O)NCC(F)(F)F ZINC001360163735 1074382658 /nfs/dbraw/zinc/38/26/58/1074382658.db2.gz LPKGZSVOOFSJGT-JTQLQIEISA-N 0 0 442.464 -0.036 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H](C)CS(C)(=O)=O)n1CC(=O)NCC(F)(F)F ZINC001360163736 1074383249 /nfs/dbraw/zinc/38/32/49/1074383249.db2.gz LPKGZSVOOFSJGT-SNVBAGLBSA-N 0 0 442.464 -0.036 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001360165989 1074383162 /nfs/dbraw/zinc/38/31/62/1074383162.db2.gz BJUDZILQADNGAG-HNNXBMFYSA-N 0 0 428.559 -0.238 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001360165990 1074383089 /nfs/dbraw/zinc/38/30/89/1074383089.db2.gz BJUDZILQADNGAG-OAHLLOKOSA-N 0 0 428.559 -0.238 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CC(=O)NCC(F)(F)F ZINC001360166049 1074383102 /nfs/dbraw/zinc/38/31/02/1074383102.db2.gz CVRYZUVXABVRBX-UHFFFAOYSA-N 0 0 449.434 -0.610 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCCN1CCOCC1 ZINC001360166147 1074383263 /nfs/dbraw/zinc/38/32/63/1074383263.db2.gz GBKNNLUZJSAZBX-UHFFFAOYSA-N 0 0 437.545 -0.566 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CC1([S@@](C)=O)CC1 ZINC001360166553 1074383147 /nfs/dbraw/zinc/38/31/47/1074383147.db2.gz MHTMUVSXUCXUIY-GDLZYMKVSA-N 0 0 426.543 -0.378 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CC1([S@](C)=O)CC1 ZINC001360166555 1074383203 /nfs/dbraw/zinc/38/32/03/1074383203.db2.gz MHTMUVSXUCXUIY-LJAQVGFWSA-N 0 0 426.543 -0.378 20 0 IBADRN O=C([C@@H]1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001360166646 1074383294 /nfs/dbraw/zinc/38/32/94/1074383294.db2.gz GPXGXMGTYWYUKH-HNNXBMFYSA-N 0 0 443.503 -0.572 20 0 IBADRN O=C([C@H]1CCCS1(=O)=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001360166647 1074383194 /nfs/dbraw/zinc/38/31/94/1074383194.db2.gz GPXGXMGTYWYUKH-OAHLLOKOSA-N 0 0 443.503 -0.572 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCN1CCOC[C@@H]1C ZINC001360167145 1074383155 /nfs/dbraw/zinc/38/31/55/1074383155.db2.gz URPDIRIXXQHAHP-INIZCTEOSA-N 0 0 437.545 -0.568 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CCN1CCOC[C@H]1C ZINC001360167147 1074383113 /nfs/dbraw/zinc/38/31/13/1074383113.db2.gz URPDIRIXXQHAHP-MRXNPFEDSA-N 0 0 437.545 -0.568 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)(F)F ZINC001360167273 1074383233 /nfs/dbraw/zinc/38/32/33/1074383233.db2.gz WAXMSBSLRNETDM-JTQLQIEISA-N 0 0 440.448 -0.282 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)(F)F ZINC001360167274 1074383279 /nfs/dbraw/zinc/38/32/79/1074383279.db2.gz WAXMSBSLRNETDM-SNVBAGLBSA-N 0 0 440.448 -0.282 20 0 IBADRN C[C@]1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCNC(=O)C1 ZINC001360167563 1074383169 /nfs/dbraw/zinc/38/31/69/1074383169.db2.gz RHIMPJAQUJPHJH-IBGZPJMESA-N 0 0 436.490 -0.233 20 0 IBADRN C[C@@]1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)CCNC(=O)C1 ZINC001360167564 1074383126 /nfs/dbraw/zinc/38/31/26/1074383126.db2.gz RHIMPJAQUJPHJH-LJQANCHMSA-N 0 0 436.490 -0.233 20 0 IBADRN CCc1ccc(O[C@H](C)C(=O)N2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc1 ZINC001360175043 1074383240 /nfs/dbraw/zinc/38/32/40/1074383240.db2.gz VSHQLWPHVAHSBD-PBHICJAKSA-N 0 0 437.518 -0.400 20 0 IBADRN CCc1ccc(O[C@@H](C)C(=O)N2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc1 ZINC001360175044 1074383181 /nfs/dbraw/zinc/38/31/81/1074383181.db2.gz VSHQLWPHVAHSBD-YOEHRIQHSA-N 0 0 437.518 -0.400 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCc2cnccn2)n1CCN1CCCS1(=O)=O ZINC001360179112 1074383135 /nfs/dbraw/zinc/38/31/35/1074383135.db2.gz WPSMQGVFGKRTSJ-UHFFFAOYSA-N 0 0 436.542 -0.079 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001360179999 1074383212 /nfs/dbraw/zinc/38/32/12/1074383212.db2.gz DOCOFEFAJXDBBW-HNNXBMFYSA-N 0 0 428.559 -0.381 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001360180000 1074383225 /nfs/dbraw/zinc/38/32/25/1074383225.db2.gz DOCOFEFAJXDBBW-OAHLLOKOSA-N 0 0 428.559 -0.381 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCN2CCOCC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001360180150 1074383620 /nfs/dbraw/zinc/38/36/20/1074383620.db2.gz KFELEDYORSOHFP-AWEZNQCLSA-N 0 0 436.517 -0.827 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@H]1CC[C@]2(CCOC2)O1 ZINC001360180162 1074383591 /nfs/dbraw/zinc/38/35/91/1074383591.db2.gz KQMJSQOSMZJCSW-KBXCAEBGSA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@H]1CC[C@@]2(CCOC2)O1 ZINC001360180163 1074383542 /nfs/dbraw/zinc/38/35/42/1074383542.db2.gz KQMJSQOSMZJCSW-KDOFPFPSSA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@@H]1CC[C@@]2(CCOC2)O1 ZINC001360180164 1074383643 /nfs/dbraw/zinc/38/36/43/1074383643.db2.gz KQMJSQOSMZJCSW-KSSFIOAISA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1C[C@H]1CC[C@]2(CCOC2)O1 ZINC001360180165 1074383636 /nfs/dbraw/zinc/38/36/36/1074383636.db2.gz KQMJSQOSMZJCSW-RDTXWAMCSA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCN2CCOC(C)(C)C2)n1CCNS(C)(=O)=O ZINC001360180261 1074383556 /nfs/dbraw/zinc/38/35/56/1074383556.db2.gz NRZVQTKSNYSKJM-UHFFFAOYSA-N 0 0 445.590 -0.488 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCNS(C)(=O)=O)n1CC(=O)NC1CCCCC1 ZINC001360180794 1074383613 /nfs/dbraw/zinc/38/36/13/1074383613.db2.gz YGXUOURCURHADZ-UHFFFAOYSA-N 0 0 443.574 -0.149 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC1CCS(=O)(=O)CC1 ZINC001360181221 1074383494 /nfs/dbraw/zinc/38/34/94/1074383494.db2.gz BBEDREKUAWSEFO-UHFFFAOYSA-N 0 0 435.572 -0.278 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCOCC2CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001360181394 1074383483 /nfs/dbraw/zinc/38/34/83/1074383483.db2.gz DFTXLNXTDPCDSW-GOSISDBHSA-N 0 0 429.543 -0.171 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCOCC2CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001360181395 1074383649 /nfs/dbraw/zinc/38/36/49/1074383649.db2.gz DFTXLNXTDPCDSW-SFHVURJKSA-N 0 0 429.543 -0.171 20 0 IBADRN CC(C)NC(=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC(=O)NCC(F)(F)F ZINC001360181682 1074383678 /nfs/dbraw/zinc/38/36/78/1074383678.db2.gz NMJFKHHCSPNWQH-UHFFFAOYSA-N 0 0 428.437 -0.424 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1CC(=O)N1CCOCC1 ZINC001360184176 1074383551 /nfs/dbraw/zinc/38/35/51/1074383551.db2.gz CPUBOABMFVROMF-UHFFFAOYSA-N 0 0 442.542 -0.712 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001360184293 1074383582 /nfs/dbraw/zinc/38/35/82/1074383582.db2.gz GNRJIJPSDZHCNH-UONOGXRCSA-N 0 0 441.558 -0.493 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001360184294 1074383664 /nfs/dbraw/zinc/38/36/64/1074383664.db2.gz GNRJIJPSDZHCNH-ZIAGYGMSSA-N 0 0 441.558 -0.493 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CC1CCS(=O)(=O)CC1 ZINC001360184355 1074383655 /nfs/dbraw/zinc/38/36/55/1074383655.db2.gz IAESZYHFGGRUSU-CYBMUJFWSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CC1CCS(=O)(=O)CC1 ZINC001360184356 1074383670 /nfs/dbraw/zinc/38/36/70/1074383670.db2.gz IAESZYHFGGRUSU-ZDUSSCGKSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1CCS(=O)(=O)CC1CC1 ZINC001360184640 1074383470 /nfs/dbraw/zinc/38/34/70/1074383470.db2.gz PGZRHIJNKOMLTJ-CYBMUJFWSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1CCS(=O)(=O)CC1CC1 ZINC001360184642 1074383598 /nfs/dbraw/zinc/38/35/98/1074383598.db2.gz PGZRHIJNKOMLTJ-ZDUSSCGKSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001360184657 1074383505 /nfs/dbraw/zinc/38/35/05/1074383505.db2.gz PJRXZCPODHDRAQ-ACJLOTCBSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@]1(O)CCO[C@@H]1C ZINC001360184659 1074383605 /nfs/dbraw/zinc/38/36/05/1074383605.db2.gz PJRXZCPODHDRAQ-FZKQIMNGSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@]1(O)CCO[C@H]1C ZINC001360184660 1074384045 /nfs/dbraw/zinc/38/40/45/1074384045.db2.gz PJRXZCPODHDRAQ-SCLBCKFNSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@@]1(O)CCO[C@H]1C ZINC001360184661 1074383941 /nfs/dbraw/zinc/38/39/41/1074383941.db2.gz PJRXZCPODHDRAQ-UGSOOPFHSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001360184986 1074383910 /nfs/dbraw/zinc/38/39/10/1074383910.db2.gz UWZRKQSIOKGYDG-LSDHHAIUSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1Cc1cccc(OCC(N)=O)c1 ZINC001360185018 1074383925 /nfs/dbraw/zinc/38/39/25/1074383925.db2.gz UYUXYZXFRHOLTA-AWEZNQCLSA-N 0 0 443.508 -0.004 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1Cc1cccc(OCC(N)=O)c1 ZINC001360185021 1074383989 /nfs/dbraw/zinc/38/39/89/1074383989.db2.gz UYUXYZXFRHOLTA-CQSZACIVSA-N 0 0 443.508 -0.004 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CCN1CCCS1(=O)=O ZINC001360190520 1074383972 /nfs/dbraw/zinc/38/39/72/1074383972.db2.gz AEQJCTNXQVFDRD-KBPBESRZSA-N 0 0 439.542 -0.833 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CCN1CCCS1(=O)=O ZINC001360190521 1074383979 /nfs/dbraw/zinc/38/39/79/1074383979.db2.gz AEQJCTNXQVFDRD-KGLIPLIRSA-N 0 0 439.542 -0.833 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CCN1CCCS1(=O)=O ZINC001360190522 1074383999 /nfs/dbraw/zinc/38/39/99/1074383999.db2.gz AEQJCTNXQVFDRD-UONOGXRCSA-N 0 0 439.542 -0.833 20 0 IBADRN CC(C)NC(=O)c1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CCN1CCCS1(=O)=O ZINC001360190523 1074383915 /nfs/dbraw/zinc/38/39/15/1074383915.db2.gz AEQJCTNXQVFDRD-ZIAGYGMSSA-N 0 0 439.542 -0.833 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(Cn3cncn3)CC2)n1CCNS(C)(=O)=O ZINC001360204733 1074384063 /nfs/dbraw/zinc/38/40/63/1074384063.db2.gz WCOWHUFXLYYUKC-UHFFFAOYSA-N 0 0 439.546 -0.526 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(CO)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001360207301 1074383952 /nfs/dbraw/zinc/38/39/52/1074383952.db2.gz XFQIMLPPSUPCNX-HNNXBMFYSA-N 0 0 428.559 -0.094 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(CO)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001360207302 1074383904 /nfs/dbraw/zinc/38/39/04/1074383904.db2.gz XFQIMLPPSUPCNX-OAHLLOKOSA-N 0 0 428.559 -0.094 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1CC(=O)NCC1CC1 ZINC001360207769 1074383893 /nfs/dbraw/zinc/38/38/93/1074383893.db2.gz CFGFZSSFGUHMQK-UHFFFAOYSA-N 0 0 432.529 -0.064 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1C[C@]1(O)CCO[C@@H]1C ZINC001360207771 1074383933 /nfs/dbraw/zinc/38/39/33/1074383933.db2.gz CGHXXZYFJNAAEA-JLTOFOAXSA-N 0 0 435.529 -0.050 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1C[C@]1(O)CCO[C@H]1C ZINC001360207772 1074384054 /nfs/dbraw/zinc/38/40/54/1074384054.db2.gz CGHXXZYFJNAAEA-VBKZILBWSA-N 0 0 435.529 -0.050 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001360207773 1074383963 /nfs/dbraw/zinc/38/39/63/1074383963.db2.gz CGHXXZYFJNAAEA-VLIAUNLRSA-N 0 0 435.529 -0.050 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1C[C@@]1(O)CCO[C@H]1C ZINC001360207774 1074383885 /nfs/dbraw/zinc/38/38/85/1074383885.db2.gz CGHXXZYFJNAAEA-XOBRGWDASA-N 0 0 435.529 -0.050 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1CCCS(C)(=O)=O ZINC001360207878 1074384036 /nfs/dbraw/zinc/38/40/36/1074384036.db2.gz DRGNCZSHASPFMG-UHFFFAOYSA-N 0 0 441.558 -0.155 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1CCS(C)(=O)=O ZINC001360208413 1074384025 /nfs/dbraw/zinc/38/40/25/1074384025.db2.gz MZRMFJBZJVMVFJ-UHFFFAOYSA-N 0 0 427.531 -0.545 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CCNC(=O)C(C)C ZINC001360209808 1074384411 /nfs/dbraw/zinc/38/44/11/1074384411.db2.gz GHZJMPWXNHLWDP-UHFFFAOYSA-N 0 0 443.574 -0.294 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1Cc1cnnn1C ZINC001360209865 1074384312 /nfs/dbraw/zinc/38/43/12/1074384312.db2.gz HZLVFWCFGOANOA-UHFFFAOYSA-N 0 0 425.519 -0.889 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@H](NC(=O)NC3CC3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001360209872 1074384509 /nfs/dbraw/zinc/38/45/09/1074384509.db2.gz IJFZVRQOBPCOHG-HRCADAONSA-N 0 0 435.529 -0.004 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H](NC(=O)NC3CC3)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001360209873 1074384400 /nfs/dbraw/zinc/38/44/00/1074384400.db2.gz IJFZVRQOBPCOHG-OWCLPIDISA-N 0 0 435.529 -0.004 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(O)(C(F)(F)F)CC2)n1CCNS(C)(=O)=O ZINC001360210142 1074384382 /nfs/dbraw/zinc/38/43/82/1074384382.db2.gz NGYBOTPUBFZNRW-UHFFFAOYSA-N 0 0 442.464 -0.141 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CC(=O)NCC1CC1 ZINC001360210691 1074384299 /nfs/dbraw/zinc/38/42/99/1074384299.db2.gz URIKESDDRJLKIL-UHFFFAOYSA-N 0 0 441.558 -0.540 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@H]1COCCO1 ZINC001360210929 1074384501 /nfs/dbraw/zinc/38/45/01/1074384501.db2.gz YWJXFTDAIQYIEU-AWEZNQCLSA-N 0 0 430.531 -0.650 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CCC(=O)N1CCOCC1 ZINC001360213045 1074384423 /nfs/dbraw/zinc/38/44/23/1074384423.db2.gz MZAVLBOTWYCTIP-UHFFFAOYSA-N 0 0 447.540 -0.228 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCS(=O)(=O)CC1CC1 ZINC001360215131 1074384347 /nfs/dbraw/zinc/38/43/47/1074384347.db2.gz HEZLVJPDYFBLDQ-IBGZPJMESA-N 0 0 438.554 -0.043 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCS(=O)(=O)CC1CC1 ZINC001360215132 1074384289 /nfs/dbraw/zinc/38/42/89/1074384289.db2.gz HEZLVJPDYFBLDQ-LJQANCHMSA-N 0 0 438.554 -0.043 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CC(=O)NCC(F)(F)F ZINC001360215242 1074384258 /nfs/dbraw/zinc/38/42/58/1074384258.db2.gz IUZJHNLNXPBGEB-INIZCTEOSA-N 0 0 431.419 -0.189 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CC(=O)NCC(F)(F)F ZINC001360215243 1074384247 /nfs/dbraw/zinc/38/42/47/1074384247.db2.gz IUZJHNLNXPBGEB-MRXNPFEDSA-N 0 0 431.419 -0.189 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(CCS(=O)(=O)C3)C2)n1C[C@H]1COCCO1 ZINC001360215521 1074384390 /nfs/dbraw/zinc/38/43/90/1074384390.db2.gz ORMROZFOEWCRAF-KBXCAEBGSA-N 0 0 427.527 -0.153 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(CCS(=O)(=O)C3)C2)n1C[C@@H]1COCCO1 ZINC001360215522 1074384909 /nfs/dbraw/zinc/38/49/09/1074384909.db2.gz ORMROZFOEWCRAF-KDOFPFPSSA-N 0 0 427.527 -0.153 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@]3(CCS(=O)(=O)C3)C2)n1C[C@H]1COCCO1 ZINC001360215523 1074385013 /nfs/dbraw/zinc/38/50/13/1074385013.db2.gz ORMROZFOEWCRAF-KSSFIOAISA-N 0 0 427.527 -0.153 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@]3(CCS(=O)(=O)C3)C2)n1C[C@@H]1COCCO1 ZINC001360215524 1074384877 /nfs/dbraw/zinc/38/48/77/1074384877.db2.gz ORMROZFOEWCRAF-RDTXWAMCSA-N 0 0 427.527 -0.153 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC1(O)CCOCC1 ZINC001360218438 1074384957 /nfs/dbraw/zinc/38/49/57/1074384957.db2.gz ICKBJVUOXPKIBF-UHFFFAOYSA-N 0 0 431.497 -0.386 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001360218473 1074384841 /nfs/dbraw/zinc/38/48/41/1074384841.db2.gz INYTVTZGRSGIMC-CYBMUJFWSA-N 0 0 449.537 -0.493 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001360218476 1074384919 /nfs/dbraw/zinc/38/49/19/1074384919.db2.gz INYTVTZGRSGIMC-ZDUSSCGKSA-N 0 0 449.537 -0.493 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CCNC(=O)C1CC1 ZINC001360219448 1074384884 /nfs/dbraw/zinc/38/48/84/1074384884.db2.gz RTUVPHUDYNYMIB-UHFFFAOYSA-N 0 0 428.497 -0.401 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](C(=O)NC3CC3)C2)n1CC(=O)N1CCOCC1 ZINC001360220180 1074384815 /nfs/dbraw/zinc/38/48/15/1074384815.db2.gz ZTWGJPGMRYDHJL-HNNXBMFYSA-N 0 0 447.540 -0.230 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](C(=O)NC3CC3)C2)n1CC(=O)N1CCOCC1 ZINC001360220181 1074385045 /nfs/dbraw/zinc/38/50/45/1074385045.db2.gz ZTWGJPGMRYDHJL-OAHLLOKOSA-N 0 0 447.540 -0.230 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001360225838 1074384802 /nfs/dbraw/zinc/38/48/02/1074384802.db2.gz ADUQMOVCLGBNEK-ACJLOTCBSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001360225839 1074384970 /nfs/dbraw/zinc/38/49/70/1074384970.db2.gz ADUQMOVCLGBNEK-FZKQIMNGSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001360225840 1074385002 /nfs/dbraw/zinc/38/50/02/1074385002.db2.gz ADUQMOVCLGBNEK-SCLBCKFNSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001360225841 1074384993 /nfs/dbraw/zinc/38/49/93/1074384993.db2.gz ADUQMOVCLGBNEK-UGSOOPFHSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001360226138 1074384851 /nfs/dbraw/zinc/38/48/51/1074384851.db2.gz HQWRXTXQJSEFIJ-KBXCAEBGSA-N 0 0 428.559 -0.504 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001360226139 1074385055 /nfs/dbraw/zinc/38/50/55/1074385055.db2.gz HQWRXTXQJSEFIJ-KDOFPFPSSA-N 0 0 428.559 -0.504 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCN(C)C1 ZINC001360226140 1074384929 /nfs/dbraw/zinc/38/49/29/1074384929.db2.gz HQWRXTXQJSEFIJ-KSSFIOAISA-N 0 0 428.559 -0.504 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCN(C)C1 ZINC001360226141 1074385023 /nfs/dbraw/zinc/38/50/23/1074385023.db2.gz HQWRXTXQJSEFIJ-RDTXWAMCSA-N 0 0 428.559 -0.504 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001360226452 1074384831 /nfs/dbraw/zinc/38/48/31/1074384831.db2.gz NGDVNWOHNJDXMD-RRFJBIMHSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001360226454 1074384900 /nfs/dbraw/zinc/38/49/00/1074384900.db2.gz NGDVNWOHNJDXMD-ZNMIVQPWSA-N 0 0 429.543 -0.030 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCCN(S(C)(=O)=O)CC2)n1Cc1cnnn1C ZINC001360229538 1074384982 /nfs/dbraw/zinc/38/49/82/1074384982.db2.gz AJCQRPVTFJMKSW-UHFFFAOYSA-N 0 0 425.519 -0.935 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1Cc1ccn(C)n1 ZINC001360231448 1074385424 /nfs/dbraw/zinc/38/54/24/1074385424.db2.gz KVTXIIWMNBYPFV-UHFFFAOYSA-N 0 0 443.512 -0.875 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CC1(O)CC1 ZINC001360231466 1074385306 /nfs/dbraw/zinc/38/53/06/1074385306.db2.gz LLSMGJCTZTUBMY-UHFFFAOYSA-N 0 0 433.513 -0.788 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CC1(C)CC1 ZINC001360232017 1074385269 /nfs/dbraw/zinc/38/52/69/1074385269.db2.gz WAWAHLWHVSHADD-UHFFFAOYSA-N 0 0 447.540 -0.276 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1Cc1ncn(C)n1 ZINC001360233224 1074385324 /nfs/dbraw/zinc/38/53/24/1074385324.db2.gz GMRMVRKNMIHWHV-AWEZNQCLSA-N 0 0 431.501 -0.579 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1Cc1ncn(C)n1 ZINC001360233225 1074385445 /nfs/dbraw/zinc/38/54/45/1074385445.db2.gz GMRMVRKNMIHWHV-CQSZACIVSA-N 0 0 431.501 -0.579 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1Cc1cnnn1C ZINC001360233625 1074385332 /nfs/dbraw/zinc/38/53/32/1074385332.db2.gz MXMIHEADATZPLW-HNNXBMFYSA-N 0 0 431.501 -0.579 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1Cc1cnnn1C ZINC001360233627 1074385377 /nfs/dbraw/zinc/38/53/77/1074385377.db2.gz MXMIHEADATZPLW-OAHLLOKOSA-N 0 0 431.501 -0.579 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1CCCn1ccnn1 ZINC001360234057 1074385437 /nfs/dbraw/zinc/38/54/37/1074385437.db2.gz RRCOZQBHGYRQAR-INIZCTEOSA-N 0 0 445.528 -0.074 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1CCCn1ccnn1 ZINC001360234058 1074385348 /nfs/dbraw/zinc/38/53/48/1074385348.db2.gz RRCOZQBHGYRQAR-MRXNPFEDSA-N 0 0 445.528 -0.074 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@@H]3CCCO3)CC2)n1CCNC(=O)C1CC1 ZINC001360234317 1074385452 /nfs/dbraw/zinc/38/54/52/1074385452.db2.gz YITRUZRKLCSGKB-INIZCTEOSA-N 0 0 447.540 -0.230 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)[C@H]3CCCO3)CC2)n1CCNC(=O)C1CC1 ZINC001360234318 1074385368 /nfs/dbraw/zinc/38/53/68/1074385368.db2.gz YITRUZRKLCSGKB-MRXNPFEDSA-N 0 0 447.540 -0.230 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1Cc1cnn(C)c1 ZINC001360234618 1074385415 /nfs/dbraw/zinc/38/54/15/1074385415.db2.gz HSOCRUZNMCZVRX-UHFFFAOYSA-N 0 0 445.528 -0.228 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1C[C@@H]1COCCO1 ZINC001360234692 1074385386 /nfs/dbraw/zinc/38/53/86/1074385386.db2.gz KFJUWUNLISREGM-DOTOQJQBSA-N 0 0 449.556 -0.379 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1C[C@@H]1COCCO1 ZINC001360234693 1074385317 /nfs/dbraw/zinc/38/53/17/1074385317.db2.gz KFJUWUNLISREGM-NVXWUHKLSA-N 0 0 449.556 -0.379 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1C[C@H]1COCCO1 ZINC001360234694 1074385486 /nfs/dbraw/zinc/38/54/86/1074385486.db2.gz KFJUWUNLISREGM-RDJZCZTQSA-N 0 0 449.556 -0.379 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1C[C@H]1COCCO1 ZINC001360234695 1074385277 /nfs/dbraw/zinc/38/52/77/1074385277.db2.gz KFJUWUNLISREGM-WBVHZDCISA-N 0 0 449.556 -0.379 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1Cc1nncn1C ZINC001360235294 1074385821 /nfs/dbraw/zinc/38/58/21/1074385821.db2.gz UAISAURITRXDJS-UHFFFAOYSA-N 0 0 446.516 -0.833 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCS(=O)(=O)CC1CC1 ZINC001360237578 1074385893 /nfs/dbraw/zinc/38/58/93/1074385893.db2.gz JETJDWSDUZHVAF-CYBMUJFWSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCS(=O)(=O)CC1CC1 ZINC001360237579 1074385884 /nfs/dbraw/zinc/38/58/84/1074385884.db2.gz JETJDWSDUZHVAF-ZDUSSCGKSA-N 0 0 426.543 -0.092 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)C2)n1Cc1ccc(N2CCOCC2)nc1 ZINC001360237968 1074385765 /nfs/dbraw/zinc/38/57/65/1074385765.db2.gz SWUMLVNRKOGHCG-UHFFFAOYSA-N 0 0 442.524 -0.025 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001360238066 1074385791 /nfs/dbraw/zinc/38/57/91/1074385791.db2.gz UJVUINVCYWVZDZ-UONOGXRCSA-N 0 0 441.558 -0.493 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001360238068 1074385848 /nfs/dbraw/zinc/38/58/48/1074385848.db2.gz UJVUINVCYWVZDZ-ZIAGYGMSSA-N 0 0 441.558 -0.493 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CC[C@H]1COCCO1 ZINC001360238520 1074385855 /nfs/dbraw/zinc/38/58/55/1074385855.db2.gz QIEWTPSODBMINI-INIZCTEOSA-N 0 0 446.512 -0.192 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CC[C@@H]1COCCO1 ZINC001360238521 1074385942 /nfs/dbraw/zinc/38/59/42/1074385942.db2.gz QIEWTPSODBMINI-MRXNPFEDSA-N 0 0 446.512 -0.192 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CC1(C(N)=O)CCOCC1 ZINC001360240400 1074385811 /nfs/dbraw/zinc/38/58/11/1074385811.db2.gz HJCNQXGCJATRAT-UHFFFAOYSA-N 0 0 433.513 -0.491 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(c3ncccn3)CC2)n1CC(=O)NCC(F)F ZINC001360240401 1074385865 /nfs/dbraw/zinc/38/58/65/1074385865.db2.gz HLMDUMNJWNEBEA-UHFFFAOYSA-N 0 0 437.455 -0.086 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1Cc1nncn1C ZINC001360241163 1074385782 /nfs/dbraw/zinc/38/57/82/1074385782.db2.gz DKSQWOSZVVXJHF-UHFFFAOYSA-N 0 0 444.544 -0.663 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@@H]1COCCO1 ZINC001360241239 1074385742 /nfs/dbraw/zinc/38/57/42/1074385742.db2.gz HBQCSZIAGSBKDH-MRXNPFEDSA-N 0 0 435.529 -0.768 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CC(=O)NCC1CC1 ZINC001360241262 1074385902 /nfs/dbraw/zinc/38/59/02/1074385902.db2.gz HPFLCCAVGKJUFK-UHFFFAOYSA-N 0 0 446.556 -0.657 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1Cc1cnn(C)c1 ZINC001360241395 1074385839 /nfs/dbraw/zinc/38/58/39/1074385839.db2.gz MGTYGKRSCNDUSZ-UHFFFAOYSA-N 0 0 443.556 -0.058 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001360241426 1074385875 /nfs/dbraw/zinc/38/58/75/1074385875.db2.gz NKHSYAZMUKASHI-MRXNPFEDSA-N 0 0 446.556 -0.561 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1Cc1cnn(C)c1 ZINC001360241455 1074385758 /nfs/dbraw/zinc/38/57/58/1074385758.db2.gz ODURPHQJHKAHOS-UHFFFAOYSA-N 0 0 429.529 -0.401 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCCC(=O)NC1CC1 ZINC001360241496 1074385802 /nfs/dbraw/zinc/38/58/02/1074385802.db2.gz PVOAFZUSDNRLOC-UHFFFAOYSA-N 0 0 448.572 -0.315 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(CC(F)(F)F)CC2)n1CCNS(C)(=O)=O ZINC001360241497 1074385829 /nfs/dbraw/zinc/38/58/29/1074385829.db2.gz QBZRKCNXPUOGQF-UHFFFAOYSA-N 0 0 441.480 -0.350 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCC(=O)N1CCOCC1 ZINC001360244993 1074386245 /nfs/dbraw/zinc/38/62/45/1074386245.db2.gz DCDRQUOQSCHCGS-HNNXBMFYSA-N 0 0 448.528 -0.779 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCC(=O)N1CCOCC1 ZINC001360244994 1074386307 /nfs/dbraw/zinc/38/63/07/1074386307.db2.gz DCDRQUOQSCHCGS-OAHLLOKOSA-N 0 0 448.528 -0.779 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCCn1ccnn1 ZINC001360245148 1074386365 /nfs/dbraw/zinc/38/63/65/1074386365.db2.gz FULCLINUWIHUIC-UHFFFAOYSA-N 0 0 425.519 -0.820 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@]1(O)CCSC1 ZINC001360245243 1074386338 /nfs/dbraw/zinc/38/63/38/1074386338.db2.gz HLGTWSAMBCOZBC-INIZCTEOSA-N 0 0 432.572 -0.634 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@]1(O)CCSC1 ZINC001360245247 1074386331 /nfs/dbraw/zinc/38/63/31/1074386331.db2.gz HLGTWSAMBCOZBC-MRXNPFEDSA-N 0 0 432.572 -0.634 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCCNS(C)(=O)=O ZINC001360245347 1074386214 /nfs/dbraw/zinc/38/62/14/1074386214.db2.gz IKXHTSSZRTWFFZ-CYBMUJFWSA-N 0 0 427.531 -0.833 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCCNS(C)(=O)=O ZINC001360245348 1074386360 /nfs/dbraw/zinc/38/63/60/1074386360.db2.gz IKXHTSSZRTWFFZ-ZDUSSCGKSA-N 0 0 427.531 -0.833 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCC[C@H](O)C1 ZINC001360245391 1074386233 /nfs/dbraw/zinc/38/62/33/1074386233.db2.gz IZYKVUORRQCODP-KFWWJZLASA-N 0 0 433.513 -0.886 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCC[C@@H](O)C1 ZINC001360245397 1074386302 /nfs/dbraw/zinc/38/63/02/1074386302.db2.gz IZYKVUORRQCODP-RBSFLKMASA-N 0 0 433.513 -0.886 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCC[C@@H](O)C1 ZINC001360245400 1074386271 /nfs/dbraw/zinc/38/62/71/1074386271.db2.gz IZYKVUORRQCODP-RRFJBIMHSA-N 0 0 433.513 -0.886 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCC[C@H](O)C1 ZINC001360245402 1074386327 /nfs/dbraw/zinc/38/63/27/1074386327.db2.gz IZYKVUORRQCODP-ZNMIVQPWSA-N 0 0 433.513 -0.886 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC1CC(F)(F)C1 ZINC001360245404 1074386238 /nfs/dbraw/zinc/38/62/38/1074386238.db2.gz JDZSKQBAODZNHY-GFCCVEGCSA-N 0 0 425.440 -0.391 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC1CC(F)(F)C1 ZINC001360245409 1074386222 /nfs/dbraw/zinc/38/62/22/1074386222.db2.gz JDZSKQBAODZNHY-LBPRGKRZSA-N 0 0 425.440 -0.391 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCC(F)(F)F ZINC001360245479 1074386344 /nfs/dbraw/zinc/38/63/44/1074386344.db2.gz JYYJCAHBZSZADY-LLVKDONJSA-N 0 0 431.419 -0.094 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCC(F)(F)F ZINC001360245480 1074386265 /nfs/dbraw/zinc/38/62/65/1074386265.db2.gz JYYJCAHBZSZADY-NSHDSACASA-N 0 0 431.419 -0.094 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCNC(=O)c1ccco1 ZINC001360245752 1074386578 /nfs/dbraw/zinc/38/65/78/1074386578.db2.gz NKOKQFCOWGHYFA-AWEZNQCLSA-N 0 0 444.496 -0.005 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCNC(=O)c1ccco1 ZINC001360245753 1074386681 /nfs/dbraw/zinc/38/66/81/1074386681.db2.gz NKOKQFCOWGHYFA-CQSZACIVSA-N 0 0 444.496 -0.005 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCCc1cnn(C)n1 ZINC001360246260 1074386698 /nfs/dbraw/zinc/38/66/98/1074386698.db2.gz UWYRXWWDQHQJCA-HNNXBMFYSA-N 0 0 430.517 -0.266 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCCc1cnn(C)n1 ZINC001360246261 1074386706 /nfs/dbraw/zinc/38/67/06/1074386706.db2.gz UWYRXWWDQHQJCA-OAHLLOKOSA-N 0 0 430.517 -0.266 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001360246393 1074386768 /nfs/dbraw/zinc/38/67/68/1074386768.db2.gz YRCBEFNDZOZLEL-CYBMUJFWSA-N 0 0 439.542 -0.403 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001360246394 1074386533 /nfs/dbraw/zinc/38/65/33/1074386533.db2.gz YRCBEFNDZOZLEL-ZDUSSCGKSA-N 0 0 439.542 -0.403 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCNC(=O)C2)n1CCS(=O)(=O)Cc1ccccc1 ZINC001360247731 1074386748 /nfs/dbraw/zinc/38/67/48/1074386748.db2.gz FOFVHDWWKIASDE-UHFFFAOYSA-N 0 0 434.522 -0.033 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC(=O)NCc1ccco1 ZINC001360247804 1074386723 /nfs/dbraw/zinc/38/67/23/1074386723.db2.gz GZJPAPSCWVRRPG-HNNXBMFYSA-N 0 0 431.497 -0.154 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC(=O)NCc1ccco1 ZINC001360247806 1074386649 /nfs/dbraw/zinc/38/66/49/1074386649.db2.gz GZJPAPSCWVRRPG-OAHLLOKOSA-N 0 0 431.497 -0.154 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CC1(CS(C)(=O)=O)CC1 ZINC001360248401 1074386640 /nfs/dbraw/zinc/38/66/40/1074386640.db2.gz PZRPOSDIOICYOS-CYBMUJFWSA-N 0 0 440.526 -0.118 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CC1(CS(C)(=O)=O)CC1 ZINC001360248409 1074386543 /nfs/dbraw/zinc/38/65/43/1074386543.db2.gz PZRPOSDIOICYOS-ZDUSSCGKSA-N 0 0 440.526 -0.118 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCNC(=O)C2)n1CC1(NC(=O)OC(C)(C)C)COC1 ZINC001360248694 1074386565 /nfs/dbraw/zinc/38/65/65/1074386565.db2.gz UVJGNSWLNRFAPL-UHFFFAOYSA-N 0 0 437.501 -0.354 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@]3(CCOC3)C2)n1CCOCCS(C)(=O)=O ZINC001360253427 1074386553 /nfs/dbraw/zinc/38/65/53/1074386553.db2.gz CQKUHEXKTXYLRW-GOSISDBHSA-N 0 0 445.542 -0.527 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@]3(CCOC3)C2)n1CCOCCS(C)(=O)=O ZINC001360253428 1074386589 /nfs/dbraw/zinc/38/65/89/1074386589.db2.gz CQKUHEXKTXYLRW-SFHVURJKSA-N 0 0 445.542 -0.527 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCOC3(CCOCC3)C2)n1CCNC(=O)c1ccn[nH]1 ZINC001360253686 1074386687 /nfs/dbraw/zinc/38/66/87/1074386687.db2.gz JPWMPADANATHDC-UHFFFAOYSA-N 0 0 446.512 -0.045 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@]3(CCO[C@H]3C)C2)n1CCCNS(C)(=O)=O ZINC001360253694 1074386744 /nfs/dbraw/zinc/38/67/44/1074386744.db2.gz JUPAGHDCIRSKGU-KBXCAEBGSA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@]3(CCO[C@@H]3C)C2)n1CCCNS(C)(=O)=O ZINC001360253695 1074386515 /nfs/dbraw/zinc/38/65/15/1074386515.db2.gz JUPAGHDCIRSKGU-KDOFPFPSSA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@@]3(CCO[C@H]3C)C2)n1CCCNS(C)(=O)=O ZINC001360253696 1074387064 /nfs/dbraw/zinc/38/70/64/1074387064.db2.gz JUPAGHDCIRSKGU-KSSFIOAISA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCO[C@]3(CCO[C@@H]3C)C2)n1CCCNS(C)(=O)=O ZINC001360253697 1074387055 /nfs/dbraw/zinc/38/70/55/1074387055.db2.gz JUPAGHDCIRSKGU-RDTXWAMCSA-N 0 0 444.558 -0.260 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC1CCS(=O)(=O)CC1 ZINC001360254527 1074387168 /nfs/dbraw/zinc/38/71/68/1074387168.db2.gz GUNCVNIBDVYITD-UHFFFAOYSA-N 0 0 433.556 -0.524 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCOCC3(CC3)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001360254772 1074387202 /nfs/dbraw/zinc/38/72/02/1074387202.db2.gz KIZXWWWNTUVAGP-UHFFFAOYSA-N 0 0 441.554 -0.027 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H]1CCCCS1(=O)=O ZINC001360254822 1074387003 /nfs/dbraw/zinc/38/70/03/1074387003.db2.gz LEEGRDRLMDHGFC-CYBMUJFWSA-N 0 0 433.556 -0.382 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H]1CCCCS1(=O)=O ZINC001360254825 1074387074 /nfs/dbraw/zinc/38/70/74/1074387074.db2.gz LEEGRDRLMDHGFC-ZDUSSCGKSA-N 0 0 433.556 -0.382 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCCN1CCC(O)CC1 ZINC001360254970 1074387151 /nfs/dbraw/zinc/38/71/51/1074387151.db2.gz NJQNRDCBBSHEAA-UHFFFAOYSA-N 0 0 428.559 -0.502 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCS(=O)(=O)CC1CC1 ZINC001360255390 1074387044 /nfs/dbraw/zinc/38/70/44/1074387044.db2.gz SKJXJHIAOJJSRA-UHFFFAOYSA-N 0 0 433.556 -0.524 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCCCCS(C)(=O)=O ZINC001360255586 1074387120 /nfs/dbraw/zinc/38/71/20/1074387120.db2.gz XGJNQDKLHGUKKO-UHFFFAOYSA-N 0 0 435.572 -0.134 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CCCn1cc(CO)nn1 ZINC001360257251 1074387033 /nfs/dbraw/zinc/38/70/33/1074387033.db2.gz JYEHHRZTKGSYPN-GOEBONIOSA-N 0 0 445.528 -0.353 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H]3NC(=O)OC[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001360257778 1074387193 /nfs/dbraw/zinc/38/71/93/1074387193.db2.gz RIVAOFNMNISGJE-KGLIPLIRSA-N 0 0 435.485 -0.790 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CC[C@@H]3NC(=O)OC[C@H]3C2)n1CC1(S(C)(=O)=O)CC1 ZINC001360258003 1074387182 /nfs/dbraw/zinc/38/71/82/1074387182.db2.gz YCQWQEYOOBBNDR-OLZOCXBDSA-N 0 0 440.526 -0.072 20 0 IBADRN CC(C)NC(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001360260469 1074387022 /nfs/dbraw/zinc/38/70/22/1074387022.db2.gz BTRRZSWCKQRYAX-UHFFFAOYSA-N 0 0 435.529 -0.101 20 0 IBADRN CC(C)NC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001360260944 1074386978 /nfs/dbraw/zinc/38/69/78/1074386978.db2.gz SVIVTHAGFYFUCT-UHFFFAOYSA-N 0 0 443.574 -0.151 20 0 IBADRN CC(C)NC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001360261139 1074387014 /nfs/dbraw/zinc/38/70/14/1074387014.db2.gz ZRWZUNXSGVGUHP-UHFFFAOYSA-N 0 0 445.528 -0.082 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001360265241 1074387140 /nfs/dbraw/zinc/38/71/40/1074387140.db2.gz AFCJGYJWOZBNDC-KBPBESRZSA-N 0 0 426.543 -0.238 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001360265242 1074387130 /nfs/dbraw/zinc/38/71/30/1074387130.db2.gz AFCJGYJWOZBNDC-KGLIPLIRSA-N 0 0 426.543 -0.238 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001360265243 1074387453 /nfs/dbraw/zinc/38/74/53/1074387453.db2.gz AFCJGYJWOZBNDC-UONOGXRCSA-N 0 0 426.543 -0.238 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001360265244 1074387520 /nfs/dbraw/zinc/38/75/20/1074387520.db2.gz AFCJGYJWOZBNDC-ZIAGYGMSSA-N 0 0 426.543 -0.238 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001360266315 1074387496 /nfs/dbraw/zinc/38/74/96/1074387496.db2.gz RWZLJNLGEDSJSN-KBPBESRZSA-N 0 0 426.543 -0.238 20 0 IBADRN CC(C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001360266316 1074387458 /nfs/dbraw/zinc/38/74/58/1074387458.db2.gz RWZLJNLGEDSJSN-KGLIPLIRSA-N 0 0 426.543 -0.238 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001360266317 1074387534 /nfs/dbraw/zinc/38/75/34/1074387534.db2.gz RWZLJNLGEDSJSN-UONOGXRCSA-N 0 0 426.543 -0.238 20 0 IBADRN CC(C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001360266318 1074387542 /nfs/dbraw/zinc/38/75/42/1074387542.db2.gz RWZLJNLGEDSJSN-ZIAGYGMSSA-N 0 0 426.543 -0.238 20 0 IBADRN CC(C)NC(=O)CCn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001360266756 1074387594 /nfs/dbraw/zinc/38/75/94/1074387594.db2.gz XTTZREJODZJHHK-UHFFFAOYSA-N 0 0 447.540 -0.422 20 0 IBADRN O=C(C[C@@H]1NC(=O)c2ccccc2NC1=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC001360266766 1074387487 /nfs/dbraw/zinc/38/74/87/1074387487.db2.gz GNDXGZOVUIZDGY-HNNXBMFYSA-N 0 0 426.433 -0.179 20 0 IBADRN CC(C)NC(=O)CCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001360268698 1074387588 /nfs/dbraw/zinc/38/75/88/1074387588.db2.gz LGXBORUUFGPQAS-INIZCTEOSA-N 0 0 440.508 -0.674 20 0 IBADRN CC(C)NC(=O)CCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001360268699 1074387549 /nfs/dbraw/zinc/38/75/49/1074387549.db2.gz LGXBORUUFGPQAS-MRXNPFEDSA-N 0 0 440.508 -0.674 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(C(=O)NC(C)C)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001360271210 1074387482 /nfs/dbraw/zinc/38/74/82/1074387482.db2.gz CVBVRODWCNXDGB-AWEZNQCLSA-N 0 0 443.574 -0.341 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(C(=O)NC(C)C)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001360271212 1074387464 /nfs/dbraw/zinc/38/74/64/1074387464.db2.gz CVBVRODWCNXDGB-CQSZACIVSA-N 0 0 443.574 -0.341 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(C(=O)N2CCCC2)n1CCC(=O)N1CCOCC1 ZINC001360271319 1074387558 /nfs/dbraw/zinc/38/75/58/1074387558.db2.gz DONXNUXWHUNYHH-UHFFFAOYSA-N 0 0 435.529 -0.276 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(C(=O)NC(C)C)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001360271662 1074387528 /nfs/dbraw/zinc/38/75/28/1074387528.db2.gz IHWGKJMSNLRLHR-CQSZACIVSA-N 0 0 443.574 -0.199 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@]1(O)CCO[C@@H]1C ZINC001360274939 1074387511 /nfs/dbraw/zinc/38/75/11/1074387511.db2.gz VXJGSMZUDXQTDZ-AHIWAGSCSA-N 0 0 432.547 -0.611 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@]1(O)CCO[C@H]1C ZINC001360274942 1074387506 /nfs/dbraw/zinc/38/75/06/1074387506.db2.gz VXJGSMZUDXQTDZ-DCGLDWPTSA-N 0 0 432.547 -0.611 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@]1(O)CCO[C@H]1C ZINC001360274943 1074387565 /nfs/dbraw/zinc/38/75/65/1074387565.db2.gz VXJGSMZUDXQTDZ-GDZNZVCISA-N 0 0 432.547 -0.611 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@]1(O)CCO[C@@H]1C ZINC001360274945 1074387444 /nfs/dbraw/zinc/38/74/44/1074387444.db2.gz VXJGSMZUDXQTDZ-OGHNNQOOSA-N 0 0 432.547 -0.611 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)CC3CS(=O)(=O)C3)cc2)CC1 ZINC001360275484 1074387983 /nfs/dbraw/zinc/38/79/83/1074387983.db2.gz LKSMODMKOFILMR-UHFFFAOYSA-N 0 0 430.504 -0.256 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCC(=O)N2)n1CCN1CCCS1(=O)=O ZINC001360279635 1074387994 /nfs/dbraw/zinc/38/79/94/1074387994.db2.gz ZMMJJYZZOXWNBP-CYBMUJFWSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCCS1(=O)=O ZINC001360279636 1074387968 /nfs/dbraw/zinc/38/79/68/1074387968.db2.gz ZMMJJYZZOXWNBP-ZDUSSCGKSA-N 0 0 427.531 -0.775 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1nnc2n1CCC2 ZINC001360281151 1074387951 /nfs/dbraw/zinc/38/79/51/1074387951.db2.gz MXHWZWGTFNMRMN-CYBMUJFWSA-N 0 0 436.542 -0.273 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1nnc2n1CCC2 ZINC001360281152 1074388018 /nfs/dbraw/zinc/38/80/18/1074388018.db2.gz MXHWZWGTFNMRMN-ZDUSSCGKSA-N 0 0 436.542 -0.273 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(Cc2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001360283308 1074388012 /nfs/dbraw/zinc/38/80/12/1074388012.db2.gz WWURHJJGEPEQLX-IBGZPJMESA-N 0 0 436.538 -0.226 20 0 IBADRN CC(C)NC(=O)CN(C)c1nnc(Cc2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001360283311 1074387883 /nfs/dbraw/zinc/38/78/83/1074387883.db2.gz WWURHJJGEPEQLX-LJQANCHMSA-N 0 0 436.538 -0.226 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001360285256 1074387944 /nfs/dbraw/zinc/38/79/44/1074387944.db2.gz ALJOQKFNPNWYGW-PXAZEXFGSA-N 0 0 443.530 -0.556 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001360285257 1074387929 /nfs/dbraw/zinc/38/79/29/1074387929.db2.gz ALJOQKFNPNWYGW-SJCJKPOMSA-N 0 0 443.530 -0.556 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001360285258 1074387902 /nfs/dbraw/zinc/38/79/02/1074387902.db2.gz ALJOQKFNPNWYGW-SJKOYZFVSA-N 0 0 443.530 -0.556 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001360285259 1074387868 /nfs/dbraw/zinc/38/78/68/1074387868.db2.gz ALJOQKFNPNWYGW-YVEFUNNKSA-N 0 0 443.530 -0.556 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(C)C)CC1 ZINC001360285447 1074387916 /nfs/dbraw/zinc/38/79/16/1074387916.db2.gz GATVFOOFXNLTDR-AWEZNQCLSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(C)C)CC1 ZINC001360285448 1074387877 /nfs/dbraw/zinc/38/78/77/1074387877.db2.gz GATVFOOFXNLTDR-CQSZACIVSA-N 0 0 443.574 -0.283 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001360285710 1074387960 /nfs/dbraw/zinc/38/79/60/1074387960.db2.gz NBGVNXWDWHZAGG-MCIONIFRSA-N 0 0 441.558 -0.625 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001360285711 1074387907 /nfs/dbraw/zinc/38/79/07/1074387907.db2.gz NBGVNXWDWHZAGG-MJBXVCDLSA-N 0 0 441.558 -0.625 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(F)F)CC1 ZINC001360286049 1074387937 /nfs/dbraw/zinc/38/79/37/1074387937.db2.gz WLVSIMPFXFTLEQ-GFCCVEGCSA-N 0 0 437.517 -0.200 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(F)F)CC1 ZINC001360286050 1074388339 /nfs/dbraw/zinc/38/83/39/1074388339.db2.gz WLVSIMPFXFTLEQ-LBPRGKRZSA-N 0 0 437.517 -0.200 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cccnc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001360288941 1074388411 /nfs/dbraw/zinc/38/84/11/1074388411.db2.gz LTHBQTDIOXPPKT-UHFFFAOYSA-N 0 0 440.508 -0.208 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(C(=O)N2CCOCC2)CC1 ZINC001360290115 1074388375 /nfs/dbraw/zinc/38/83/75/1074388375.db2.gz FDGVCTBCKJVTGP-INIZCTEOSA-N 0 0 447.540 -0.171 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(C(=O)N2CCOCC2)CC1 ZINC001360290116 1074388354 /nfs/dbraw/zinc/38/83/54/1074388354.db2.gz FDGVCTBCKJVTGP-MRXNPFEDSA-N 0 0 447.540 -0.171 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001360290117 1074388327 /nfs/dbraw/zinc/38/83/27/1074388327.db2.gz FEONLEIMXXJWBC-GDBMZVCRSA-N 0 0 446.556 -0.457 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001360290118 1074388313 /nfs/dbraw/zinc/38/83/13/1074388313.db2.gz FEONLEIMXXJWBC-GOEBONIOSA-N 0 0 446.556 -0.457 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001360290119 1074388361 /nfs/dbraw/zinc/38/83/61/1074388361.db2.gz FEONLEIMXXJWBC-HOCLYGCPSA-N 0 0 446.556 -0.457 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001360290120 1074388319 /nfs/dbraw/zinc/38/83/19/1074388319.db2.gz FEONLEIMXXJWBC-ZBFHGGJFSA-N 0 0 446.556 -0.457 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001360290135 1074388415 /nfs/dbraw/zinc/38/84/15/1074388415.db2.gz FLJSSFIBIZDDLC-UHFFFAOYSA-N 0 0 443.556 -0.052 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001360290179 1074388389 /nfs/dbraw/zinc/38/83/89/1074388389.db2.gz HBROAPHABWWMNK-AWEZNQCLSA-N 0 0 441.558 -0.386 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001360290180 1074388379 /nfs/dbraw/zinc/38/83/79/1074388379.db2.gz HBROAPHABWWMNK-CQSZACIVSA-N 0 0 441.558 -0.386 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001360290284 1074388368 /nfs/dbraw/zinc/38/83/68/1074388368.db2.gz KQDCNESPVBVRPH-AWEZNQCLSA-N 0 0 438.558 -0.031 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001360290285 1074388347 /nfs/dbraw/zinc/38/83/47/1074388347.db2.gz KQDCNESPVBVRPH-CQSZACIVSA-N 0 0 438.558 -0.031 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001360290312 1074388295 /nfs/dbraw/zinc/38/82/95/1074388295.db2.gz LNIXCYMPOGVEQW-INIZCTEOSA-N 0 0 446.556 -0.502 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001360290313 1074388396 /nfs/dbraw/zinc/38/83/96/1074388396.db2.gz LNIXCYMPOGVEQW-MRXNPFEDSA-N 0 0 446.556 -0.502 20 0 IBADRN CC(C)NC(=O)Cn1c(-c2cnccn2)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001360290659 1074388332 /nfs/dbraw/zinc/38/83/32/1074388332.db2.gz WRLYNAHWOGVTHJ-UHFFFAOYSA-N 0 0 427.469 -0.220 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCOCC1 ZINC001360291453 1074388433 /nfs/dbraw/zinc/38/84/33/1074388433.db2.gz BITBGXAYQUTJTH-AWEZNQCLSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCOCC1 ZINC001360291454 1074388403 /nfs/dbraw/zinc/38/84/03/1074388403.db2.gz BITBGXAYQUTJTH-CQSZACIVSA-N 0 0 430.531 -0.638 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@H]1CCOC1 ZINC001360291465 1074388305 /nfs/dbraw/zinc/38/83/05/1074388305.db2.gz BKCCSCLXPNVBEC-KBPBESRZSA-N 0 0 430.531 -0.639 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@@H]1CCOC1 ZINC001360291466 1074388284 /nfs/dbraw/zinc/38/82/84/1074388284.db2.gz BKCCSCLXPNVBEC-KGLIPLIRSA-N 0 0 430.531 -0.639 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@H]1CCOC1 ZINC001360291467 1074388676 /nfs/dbraw/zinc/38/86/76/1074388676.db2.gz BKCCSCLXPNVBEC-UONOGXRCSA-N 0 0 430.531 -0.639 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)[C@@H]1CCOC1 ZINC001360291468 1074388786 /nfs/dbraw/zinc/38/87/86/1074388786.db2.gz BKCCSCLXPNVBEC-ZIAGYGMSSA-N 0 0 430.531 -0.639 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC001360292381 1074388723 /nfs/dbraw/zinc/38/87/23/1074388723.db2.gz LUTLONMZGNGSDW-ILXRZTDVSA-N 0 0 438.554 -0.048 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC001360292382 1074388684 /nfs/dbraw/zinc/38/86/84/1074388684.db2.gz LUTLONMZGNGSDW-KFWWJZLASA-N 0 0 438.554 -0.048 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC001360292383 1074388781 /nfs/dbraw/zinc/38/87/81/1074388781.db2.gz LUTLONMZGNGSDW-QLFBSQMISA-N 0 0 438.554 -0.048 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC001360292384 1074388813 /nfs/dbraw/zinc/38/88/13/1074388813.db2.gz LUTLONMZGNGSDW-RBSFLKMASA-N 0 0 438.554 -0.048 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001360292385 1074388767 /nfs/dbraw/zinc/38/87/67/1074388767.db2.gz LWIKRPRXEODJIF-HNNXBMFYSA-N 0 0 448.553 -0.179 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001360292386 1074388756 /nfs/dbraw/zinc/38/87/56/1074388756.db2.gz LWIKRPRXEODJIF-OAHLLOKOSA-N 0 0 448.553 -0.179 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001360292876 1074388711 /nfs/dbraw/zinc/38/87/11/1074388711.db2.gz SZISUUJNUGRYNB-CYBMUJFWSA-N 0 0 440.526 -0.216 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001360292877 1074388820 /nfs/dbraw/zinc/38/88/20/1074388820.db2.gz SZISUUJNUGRYNB-ZDUSSCGKSA-N 0 0 440.526 -0.216 20 0 IBADRN CC(C)NC(=O)Cn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001360293120 1074388654 /nfs/dbraw/zinc/38/86/54/1074388654.db2.gz YZXOEZLJLLCTRJ-HNNXBMFYSA-N 0 0 435.529 -0.520 20 0 IBADRN CC(C)NC(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001360293121 1074388716 /nfs/dbraw/zinc/38/87/16/1074388716.db2.gz YZXOEZLJLLCTRJ-OAHLLOKOSA-N 0 0 435.529 -0.520 20 0 IBADRN CC(C)NC(=O)Cn1c(CC2CC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001360295517 1074388830 /nfs/dbraw/zinc/38/88/30/1074388830.db2.gz FKMNWPWXYOMQBU-UHFFFAOYSA-N 0 0 445.528 -0.778 20 0 IBADRN CC(C)NC(=O)Cn1c(CN2CCCC2=O)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001360295656 1074388701 /nfs/dbraw/zinc/38/87/01/1074388701.db2.gz JVJPVZVBWWIRSD-UHFFFAOYSA-N 0 0 428.497 -0.419 20 0 IBADRN COC1(C(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)CS(=O)(=O)C1 ZINC001360296312 1074388748 /nfs/dbraw/zinc/38/87/48/1074388748.db2.gz IDDKZCLDXPZIPE-UHFFFAOYSA-N 0 0 431.536 -0.948 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2cnn(C)c2)CC1 ZINC001360298363 1074388794 /nfs/dbraw/zinc/38/87/94/1074388794.db2.gz UUYFHUKIFPETNA-UHFFFAOYSA-N 0 0 431.545 -0.155 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2CC2)CC1 ZINC001360299523 1074388729 /nfs/dbraw/zinc/38/87/29/1074388729.db2.gz ZNKUITZSOURKEG-AWEZNQCLSA-N 0 0 427.575 -0.055 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2CC2)CC1 ZINC001360299524 1074388740 /nfs/dbraw/zinc/38/87/40/1074388740.db2.gz ZNKUITZSOURKEG-CQSZACIVSA-N 0 0 427.575 -0.055 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccn(C)n2)CC1 ZINC001360300839 1074389207 /nfs/dbraw/zinc/38/92/07/1074389207.db2.gz BVLBYSCUKRVLKV-INIZCTEOSA-N 0 0 429.529 -0.342 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccn(C)n2)CC1 ZINC001360300840 1074389196 /nfs/dbraw/zinc/38/91/96/1074389196.db2.gz BVLBYSCUKRVLKV-MRXNPFEDSA-N 0 0 429.529 -0.342 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CC2(O)CCOCC2)CC1 ZINC001360300852 1074389178 /nfs/dbraw/zinc/38/91/78/1074389178.db2.gz CHBZOUAFTGSSAF-UHFFFAOYSA-N 0 0 446.556 -0.133 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C3CC3)n2CCN2CCCS2(=O)=O)CC1 ZINC001360300909 1074389104 /nfs/dbraw/zinc/38/91/04/1074389104.db2.gz FEBYEZWSIWRRFQ-UHFFFAOYSA-N 0 0 439.586 -0.162 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cnnn2C)CC1 ZINC001360301099 1074389185 /nfs/dbraw/zinc/38/91/85/1074389185.db2.gz KQFWOOCDUPSKRP-HNNXBMFYSA-N 0 0 430.517 -0.947 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cnnn2C)CC1 ZINC001360301100 1074389129 /nfs/dbraw/zinc/38/91/29/1074389129.db2.gz KQFWOOCDUPSKRP-OAHLLOKOSA-N 0 0 430.517 -0.947 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)N2CCOCC2)CC1 ZINC001360301113 1074389149 /nfs/dbraw/zinc/38/91/49/1074389149.db2.gz KWMXQSZPWBUIPD-KRWDZBQOSA-N 0 0 449.556 -0.713 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)N2CCOCC2)CC1 ZINC001360301114 1074389091 /nfs/dbraw/zinc/38/90/91/1074389091.db2.gz KWMXQSZPWBUIPD-QGZVFWFLSA-N 0 0 449.556 -0.713 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)CC1 ZINC001360301223 1074389202 /nfs/dbraw/zinc/38/92/02/1074389202.db2.gz OKKSDBQQVLTONO-HNNXBMFYSA-N 0 0 442.586 -0.402 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)CC1 ZINC001360301224 1074389173 /nfs/dbraw/zinc/38/91/73/1074389173.db2.gz OKKSDBQQVLTONO-OAHLLOKOSA-N 0 0 442.586 -0.402 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCO[C@H]2C)CC1 ZINC001360301274 1074389154 /nfs/dbraw/zinc/38/91/54/1074389154.db2.gz PQIVNTAVIUQEFD-CKJXQJPGSA-N 0 0 449.556 -0.584 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCO[C@H]2C)CC1 ZINC001360301275 1074389166 /nfs/dbraw/zinc/38/91/66/1074389166.db2.gz PQIVNTAVIUQEFD-QYWGDWMGSA-N 0 0 449.556 -0.584 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCO[C@@H]2C)CC1 ZINC001360301276 1074389144 /nfs/dbraw/zinc/38/91/44/1074389144.db2.gz PQIVNTAVIUQEFD-VWKPWSFCSA-N 0 0 449.556 -0.584 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCO[C@@H]2C)CC1 ZINC001360301277 1074389098 /nfs/dbraw/zinc/38/90/98/1074389098.db2.gz PQIVNTAVIUQEFD-XFQAVAEZSA-N 0 0 449.556 -0.584 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001360301384 1074389124 /nfs/dbraw/zinc/38/91/24/1074389124.db2.gz SRYSPXJRESRKQZ-NRFANRHFSA-N 0 0 441.540 -0.140 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001360301386 1074389111 /nfs/dbraw/zinc/38/91/11/1074389111.db2.gz SRYSPXJRESRKQZ-OAQYLSRUSA-N 0 0 441.540 -0.140 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(Cc3ccccn3)n2CC(=O)N(C)C)CC1 ZINC001360301738 1074389077 /nfs/dbraw/zinc/38/90/77/1074389077.db2.gz GQRCDTYBAONMIT-UHFFFAOYSA-N 0 0 428.541 -0.001 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C3CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001360301788 1074389191 /nfs/dbraw/zinc/38/91/91/1074389191.db2.gz ZSBSOSIIRUGKFO-IBGZPJMESA-N 0 0 440.570 -0.648 20 0 IBADRN CC(C)NC(=O)CN1CCN(c2nnc(C3CC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001360301789 1074389160 /nfs/dbraw/zinc/38/91/60/1074389160.db2.gz ZSBSOSIIRUGKFO-LJQANCHMSA-N 0 0 440.570 -0.648 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001360313323 1074389524 /nfs/dbraw/zinc/38/95/24/1074389524.db2.gz KXKFWZSUHQBMMP-AWEZNQCLSA-N 0 0 437.530 -0.780 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001360313330 1074389489 /nfs/dbraw/zinc/38/94/89/1074389489.db2.gz KXKFWZSUHQBMMP-CQSZACIVSA-N 0 0 437.530 -0.780 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001360314010 1074389424 /nfs/dbraw/zinc/38/94/24/1074389424.db2.gz XBKQCQVTWICZSB-DZGCQCFKSA-N 0 0 436.542 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001360314016 1074389617 /nfs/dbraw/zinc/38/96/17/1074389617.db2.gz XBKQCQVTWICZSB-HIFRSBDPSA-N 0 0 436.542 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001360314017 1074389659 /nfs/dbraw/zinc/38/96/59/1074389659.db2.gz XBKQCQVTWICZSB-UKRRQHHQSA-N 0 0 436.542 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001360314018 1074389560 /nfs/dbraw/zinc/38/95/60/1074389560.db2.gz XBKQCQVTWICZSB-ZFWWWQNUSA-N 0 0 436.542 -0.279 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001360314116 1074389631 /nfs/dbraw/zinc/38/96/31/1074389631.db2.gz YITVUKZWNZBOMM-GOSISDBHSA-N 0 0 434.526 -0.221 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001360314117 1074389663 /nfs/dbraw/zinc/38/96/63/1074389663.db2.gz YITVUKZWNZBOMM-SFHVURJKSA-N 0 0 434.526 -0.221 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001360315040 1074389503 /nfs/dbraw/zinc/38/95/03/1074389503.db2.gz AHBBPYVRSCOHQP-CABCVRRESA-N 0 0 441.558 -0.334 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001360315041 1074389476 /nfs/dbraw/zinc/38/94/76/1074389476.db2.gz AHBBPYVRSCOHQP-GJZGRUSLSA-N 0 0 441.558 -0.334 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001360315042 1074389592 /nfs/dbraw/zinc/38/95/92/1074389592.db2.gz AHBBPYVRSCOHQP-HUUCEWRRSA-N 0 0 441.558 -0.334 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001360315043 1074389465 /nfs/dbraw/zinc/38/94/65/1074389465.db2.gz AHBBPYVRSCOHQP-LSDHHAIUSA-N 0 0 441.558 -0.334 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](C)[C@@H](O)C1 ZINC001360315375 1074389514 /nfs/dbraw/zinc/38/95/14/1074389514.db2.gz HWOPEZDINKLATF-ILXRZTDVSA-N 0 0 449.599 -0.285 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](C)[C@@H](O)C1 ZINC001360315380 1074389570 /nfs/dbraw/zinc/38/95/70/1074389570.db2.gz HWOPEZDINKLATF-KFWWJZLASA-N 0 0 449.599 -0.285 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001360315645 1074389581 /nfs/dbraw/zinc/38/95/81/1074389581.db2.gz KOEAJFTZGHWJFT-KBPBESRZSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001360315646 1074389627 /nfs/dbraw/zinc/38/96/27/1074389627.db2.gz KOEAJFTZGHWJFT-KGLIPLIRSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001360315647 1074389606 /nfs/dbraw/zinc/38/96/06/1074389606.db2.gz KOEAJFTZGHWJFT-UONOGXRCSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001360315648 1074389550 /nfs/dbraw/zinc/38/95/50/1074389550.db2.gz KOEAJFTZGHWJFT-ZIAGYGMSSA-N 0 0 435.572 -0.267 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCS(=O)(=O)CC1 ZINC001360315979 1074389451 /nfs/dbraw/zinc/38/94/51/1074389451.db2.gz LMXVTLRWDYJWHB-UHFFFAOYSA-N 0 0 442.567 -0.174 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001360316206 1074389863 /nfs/dbraw/zinc/38/98/63/1074389863.db2.gz PHGBUOOLQHLZAF-AWEZNQCLSA-N 0 0 441.558 -0.605 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001360316207 1074389899 /nfs/dbraw/zinc/38/98/99/1074389899.db2.gz PHGBUOOLQHLZAF-CQSZACIVSA-N 0 0 441.558 -0.605 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(C2CCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001360316682 1074389906 /nfs/dbraw/zinc/38/99/06/1074389906.db2.gz YCCUUORULHIICS-AWEZNQCLSA-N 0 0 439.542 -0.980 20 0 IBADRN CC(C)NS(=O)(=O)CCn1c(C2CCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001360316683 1074389937 /nfs/dbraw/zinc/38/99/37/1074389937.db2.gz YCCUUORULHIICS-CQSZACIVSA-N 0 0 439.542 -0.980 20 0 IBADRN CN(Cc1ccccc1Br)C(=O)CCNC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001360326534 1074389917 /nfs/dbraw/zinc/38/99/17/1074389917.db2.gz BBTUEEDAUKUKEQ-ZDUSSCGKSA-N 0 0 446.323 -0.173 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2CC(=O)N(C)C)CCOC1 ZINC001360330221 1074389985 /nfs/dbraw/zinc/38/99/85/1074389985.db2.gz IOHCTPNJAZMOJZ-KRWDZBQOSA-N 0 0 432.547 -0.608 20 0 IBADRN CC(C)O[C@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2CC(=O)N(C)C)CCOC1 ZINC001360330222 1074390014 /nfs/dbraw/zinc/39/00/14/1074390014.db2.gz IOHCTPNJAZMOJZ-QGZVFWFLSA-N 0 0 432.547 -0.608 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCNS(C)(=O)=O)CCOC1 ZINC001360347814 1074389822 /nfs/dbraw/zinc/38/98/22/1074389822.db2.gz NZGFZMNIMCSCND-KBXCAEBGSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCNS(C)(=O)=O)CCOC1 ZINC001360347815 1074389889 /nfs/dbraw/zinc/38/98/89/1074389889.db2.gz NZGFZMNIMCSCND-KDOFPFPSSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CCNS(C)(=O)=O)CCOC1 ZINC001360347816 1074389836 /nfs/dbraw/zinc/38/98/36/1074389836.db2.gz NZGFZMNIMCSCND-KSSFIOAISA-N 0 0 444.558 -0.465 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CCNS(C)(=O)=O)CCOC1 ZINC001360347817 1074389961 /nfs/dbraw/zinc/38/99/61/1074389961.db2.gz NZGFZMNIMCSCND-RDTXWAMCSA-N 0 0 444.558 -0.465 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001360348042 1074389871 /nfs/dbraw/zinc/38/98/71/1074389871.db2.gz RKPIXHUGHSNLBD-VBKZILBWSA-N 0 0 449.512 -0.415 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCN(C)C(=O)C3)n2CCC[C@@H]2NC(=O)NC2=O)CCOC1 ZINC001360348043 1074390002 /nfs/dbraw/zinc/39/00/02/1074390002.db2.gz RKPIXHUGHSNLBD-XOBRGWDASA-N 0 0 449.512 -0.415 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCOCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001360349827 1074389974 /nfs/dbraw/zinc/38/99/74/1074389974.db2.gz LNKLXDUSUHAJHZ-MSOLQXFVSA-N 0 0 430.527 -0.295 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCOCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001360349828 1074390024 /nfs/dbraw/zinc/39/00/24/1074390024.db2.gz LNKLXDUSUHAJHZ-QZTJIDSGSA-N 0 0 430.527 -0.295 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCOCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001360349829 1074389853 /nfs/dbraw/zinc/38/98/53/1074389853.db2.gz LNKLXDUSUHAJHZ-ROUUACIJSA-N 0 0 430.527 -0.295 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCOCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001360349830 1074390330 /nfs/dbraw/zinc/39/03/30/1074390330.db2.gz LNKLXDUSUHAJHZ-ZWKOTPCHSA-N 0 0 430.527 -0.295 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@H]2COCCO2)CCOC1 ZINC001360349855 1074390263 /nfs/dbraw/zinc/39/02/63/1074390263.db2.gz MBLGTSMQKJNONG-CRAIPNDOSA-N 0 0 430.527 -0.031 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@H]2COCCO2)CCOC1 ZINC001360349856 1074390388 /nfs/dbraw/zinc/39/03/88/1074390388.db2.gz MBLGTSMQKJNONG-MAUKXSAKSA-N 0 0 430.527 -0.031 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@H]2COCCO2)CCOC1 ZINC001360349857 1074390174 /nfs/dbraw/zinc/39/01/74/1074390174.db2.gz MBLGTSMQKJNONG-QAPCUYQASA-N 0 0 430.527 -0.031 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@H]2COCCO2)CCOC1 ZINC001360349858 1074390184 /nfs/dbraw/zinc/39/01/84/1074390184.db2.gz MBLGTSMQKJNONG-YJBOKZPZSA-N 0 0 430.527 -0.031 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@]2(O)CCOC2)CCOC1 ZINC001360350283 1074390202 /nfs/dbraw/zinc/39/02/02/1074390202.db2.gz SANNHQFIGHJPIZ-MSOLQXFVSA-N 0 0 430.527 -0.295 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@]2(O)CCOC2)CCOC1 ZINC001360350284 1074390401 /nfs/dbraw/zinc/39/04/01/1074390401.db2.gz SANNHQFIGHJPIZ-QZTJIDSGSA-N 0 0 430.527 -0.295 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001360350285 1074390355 /nfs/dbraw/zinc/39/03/55/1074390355.db2.gz SANNHQFIGHJPIZ-ROUUACIJSA-N 0 0 430.527 -0.295 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCS(=O)(=O)CC3)n2C[C@@]2(O)CCOC2)CCOC1 ZINC001360350286 1074390194 /nfs/dbraw/zinc/39/01/94/1074390194.db2.gz SANNHQFIGHJPIZ-ZWKOTPCHSA-N 0 0 430.527 -0.295 20 0 IBADRN CC(C)O[C@@]1(c2nnc(N3CCS(=O)CC3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001360350523 1074390254 /nfs/dbraw/zinc/39/02/54/1074390254.db2.gz WDKAGAYNYNNILN-KRWDZBQOSA-N 0 0 449.599 -0.221 20 0 IBADRN CC(C)O[C@]1(c2nnc(N3CCS(=O)CC3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001360350524 1074390308 /nfs/dbraw/zinc/39/03/08/1074390308.db2.gz WDKAGAYNYNNILN-QGZVFWFLSA-N 0 0 449.599 -0.221 20 0 IBADRN COC(=O)[C@@H]1CCCCN1C(=O)c1cccc(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)c1 ZINC001360355283 1074390317 /nfs/dbraw/zinc/39/03/17/1074390317.db2.gz QQLJPOFMCXULRM-GJZGRUSLSA-N 0 0 437.474 -0.294 20 0 IBADRN COC(=O)[C@H]1CCCCN1C(=O)c1cccc(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)c1 ZINC001360355284 1074390238 /nfs/dbraw/zinc/39/02/38/1074390238.db2.gz QQLJPOFMCXULRM-LSDHHAIUSA-N 0 0 437.474 -0.294 20 0 IBADRN CC(C)OC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CCO1 ZINC001360372921 1074390275 /nfs/dbraw/zinc/39/02/75/1074390275.db2.gz PFOUGCZKHXSLLH-CVEARBPZSA-N 0 0 436.513 -0.282 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CCO1 ZINC001360372922 1074390226 /nfs/dbraw/zinc/39/02/26/1074390226.db2.gz PFOUGCZKHXSLLH-HOTGVXAUSA-N 0 0 436.513 -0.282 20 0 IBADRN CC(C)OC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CCO1 ZINC001360372923 1074390342 /nfs/dbraw/zinc/39/03/42/1074390342.db2.gz PFOUGCZKHXSLLH-HZPDHXFCSA-N 0 0 436.513 -0.282 20 0 IBADRN CC(C)OC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)CCO1 ZINC001360372924 1074390288 /nfs/dbraw/zinc/39/02/88/1074390288.db2.gz PFOUGCZKHXSLLH-JKSUJKDBSA-N 0 0 436.513 -0.282 20 0 IBADRN Cn1cc(CCC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nn1 ZINC001360374077 1074390375 /nfs/dbraw/zinc/39/03/75/1074390375.db2.gz MNUKEIRGOVDLQE-UHFFFAOYSA-N 0 0 434.478 -0.388 20 0 IBADRN CC(C)OCCCn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001360383243 1074390214 /nfs/dbraw/zinc/39/02/14/1074390214.db2.gz NYFZMDCSECYXSC-HNNXBMFYSA-N 0 0 433.513 -0.524 20 0 IBADRN CC(C)OCCCn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001360383244 1074390365 /nfs/dbraw/zinc/39/03/65/1074390365.db2.gz NYFZMDCSECYXSC-OAHLLOKOSA-N 0 0 433.513 -0.524 20 0 IBADRN CC(C)OCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001360403884 1074390580 /nfs/dbraw/zinc/39/05/80/1074390580.db2.gz LFQMKFWROMUKAM-QLFBSQMISA-N 0 0 431.515 -0.403 20 0 IBADRN CC(C)OCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001360403887 1074390626 /nfs/dbraw/zinc/39/06/26/1074390626.db2.gz LFQMKFWROMUKAM-ZNMIVQPWSA-N 0 0 431.515 -0.403 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)CN3CCCCC(=O)C3=O)CC2)c1 ZINC001360408504 1074390599 /nfs/dbraw/zinc/39/05/99/1074390599.db2.gz NLJIZMUECADURO-UHFFFAOYSA-N 0 0 435.502 -0.044 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc(C(=O)N2CCCC2)n1CCN1CCCS1(=O)=O ZINC001360482728 1074390635 /nfs/dbraw/zinc/39/06/35/1074390635.db2.gz JRYARMZSDQJUDO-CYBMUJFWSA-N 0 0 427.531 -0.750 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc(C(=O)N2CCCC2)n1CCN1CCCS1(=O)=O ZINC001360482729 1074390646 /nfs/dbraw/zinc/39/06/46/1074390646.db2.gz JRYARMZSDQJUDO-ZDUSSCGKSA-N 0 0 427.531 -0.750 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H]2CCOC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001360492210 1074390616 /nfs/dbraw/zinc/39/06/16/1074390616.db2.gz CCGQQKVCVSVINV-KBPBESRZSA-N 0 0 446.599 -0.139 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CCOC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001360492211 1074390726 /nfs/dbraw/zinc/39/07/26/1074390726.db2.gz CCGQQKVCVSVINV-KGLIPLIRSA-N 0 0 446.599 -0.139 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@@H]2CCOC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001360492212 1074390651 /nfs/dbraw/zinc/39/06/51/1074390651.db2.gz CCGQQKVCVSVINV-UONOGXRCSA-N 0 0 446.599 -0.139 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CCOC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001360492213 1074390662 /nfs/dbraw/zinc/39/06/62/1074390662.db2.gz CCGQQKVCVSVINV-ZIAGYGMSSA-N 0 0 446.599 -0.139 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCSCC1 ZINC001360492800 1074390607 /nfs/dbraw/zinc/39/06/07/1074390607.db2.gz NDUVNGZAZKNSDV-KBPBESRZSA-N 0 0 430.600 -0.071 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCSCC1 ZINC001360492801 1074390673 /nfs/dbraw/zinc/39/06/73/1074390673.db2.gz NDUVNGZAZKNSDV-KGLIPLIRSA-N 0 0 430.600 -0.071 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCSCC1 ZINC001360492802 1074390718 /nfs/dbraw/zinc/39/07/18/1074390718.db2.gz NDUVNGZAZKNSDV-UONOGXRCSA-N 0 0 430.600 -0.071 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCSCC1 ZINC001360492803 1074390685 /nfs/dbraw/zinc/39/06/85/1074390685.db2.gz NDUVNGZAZKNSDV-ZIAGYGMSSA-N 0 0 430.600 -0.071 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001360494753 1074390696 /nfs/dbraw/zinc/39/06/96/1074390696.db2.gz IREIMMRFWHXYBI-KBPBESRZSA-N 0 0 441.558 -0.618 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001360494754 1074390705 /nfs/dbraw/zinc/39/07/05/1074390705.db2.gz IREIMMRFWHXYBI-KGLIPLIRSA-N 0 0 441.558 -0.618 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001360494755 1074390711 /nfs/dbraw/zinc/39/07/11/1074390711.db2.gz IREIMMRFWHXYBI-UONOGXRCSA-N 0 0 441.558 -0.618 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001360494756 1074390970 /nfs/dbraw/zinc/39/09/70/1074390970.db2.gz IREIMMRFWHXYBI-ZIAGYGMSSA-N 0 0 441.558 -0.618 20 0 IBADRN CS(=O)(=O)N1CCC(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)CC1 ZINC001360501164 1074391064 /nfs/dbraw/zinc/39/10/64/1074391064.db2.gz HCHGWIYTORGPHD-UHFFFAOYSA-N 0 0 437.584 -0.253 20 0 IBADRN O=C(Cn1nc2n(c1=O)CCCC2)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001360501407 1074390948 /nfs/dbraw/zinc/39/09/48/1074390948.db2.gz IPYWMJKSXDNFAZ-UHFFFAOYSA-N 0 0 427.527 -0.530 20 0 IBADRN Cc1cnc(S(C)(=O)=O)nc1C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001360502505 1074391090 /nfs/dbraw/zinc/39/10/90/1074391090.db2.gz WBHQBYOGUCGSMT-UHFFFAOYSA-N 0 0 446.551 -0.108 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N(C)[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC001360554498 1074390943 /nfs/dbraw/zinc/39/09/43/1074390943.db2.gz KAAFYHIRQCBGRS-ILXRZTDVSA-N 0 0 426.543 -0.427 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC001360554499 1074390930 /nfs/dbraw/zinc/39/09/30/1074390930.db2.gz KAAFYHIRQCBGRS-KFWWJZLASA-N 0 0 426.543 -0.427 20 0 IBADRN C[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N(C)[C@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC001360554500 1074391104 /nfs/dbraw/zinc/39/11/04/1074391104.db2.gz KAAFYHIRQCBGRS-QLFBSQMISA-N 0 0 426.543 -0.427 20 0 IBADRN C[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1)N1CCOCC1 ZINC001360554501 1074391054 /nfs/dbraw/zinc/39/10/54/1074391054.db2.gz KAAFYHIRQCBGRS-RBSFLKMASA-N 0 0 426.543 -0.427 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](C(N)=O)C1)N1CCOCC1 ZINC001360570520 1074391585 /nfs/dbraw/zinc/39/15/85/1074391585.db2.gz MXRLNBMMBYXMKG-BZUAXINKSA-N 0 0 440.570 -0.397 20 0 IBADRN C[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@@H](C(N)=O)C1)N1CCOCC1 ZINC001360570541 1074391352 /nfs/dbraw/zinc/39/13/52/1074391352.db2.gz MXRLNBMMBYXMKG-OAGGEKHMSA-N 0 0 440.570 -0.397 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](C(N)=O)C1)N1CCOCC1 ZINC001360570542 1074391554 /nfs/dbraw/zinc/39/15/54/1074391554.db2.gz MXRLNBMMBYXMKG-OWCLPIDISA-N 0 0 440.570 -0.397 20 0 IBADRN C[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](C(N)=O)C1)N1CCOCC1 ZINC001360570543 1074391431 /nfs/dbraw/zinc/39/14/31/1074391431.db2.gz MXRLNBMMBYXMKG-PMPSAXMXSA-N 0 0 440.570 -0.397 20 0 IBADRN CN1CCn2nc(C(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)cc2S1(=O)=O ZINC001360581420 1074391439 /nfs/dbraw/zinc/39/14/39/1074391439.db2.gz LVBCRYZUPPRSDS-UHFFFAOYSA-N 0 0 441.482 -0.489 20 0 IBADRN C[C@@H](Cn1c(CN2CCCC2=O)nnc1N1CCS(=O)(=O)CC1)N1CCOCC1 ZINC001360582102 1074391450 /nfs/dbraw/zinc/39/14/50/1074391450.db2.gz KGWJGZOCMXEEPU-HNNXBMFYSA-N 0 0 426.543 -0.644 20 0 IBADRN C[C@H](Cn1c(CN2CCCC2=O)nnc1N1CCS(=O)(=O)CC1)N1CCOCC1 ZINC001360582103 1074391476 /nfs/dbraw/zinc/39/14/76/1074391476.db2.gz KGWJGZOCMXEEPU-OAHLLOKOSA-N 0 0 426.543 -0.644 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H](C)CN1CCOCC1 ZINC001360601562 1074392060 /nfs/dbraw/zinc/39/20/60/1074392060.db2.gz BONXBLOTVYDHJI-HNNXBMFYSA-N 0 0 428.559 -0.381 20 0 IBADRN CC(C)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H](C)CN1CCOCC1 ZINC001360601563 1074391969 /nfs/dbraw/zinc/39/19/69/1074391969.db2.gz BONXBLOTVYDHJI-OAHLLOKOSA-N 0 0 428.559 -0.381 20 0 IBADRN C[C@@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1)CN1CCOCC1 ZINC001360602659 1074391854 /nfs/dbraw/zinc/39/18/54/1074391854.db2.gz QCZAUBJPIDNNKW-CABCVRRESA-N 0 0 443.574 -0.865 20 0 IBADRN C[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1)CN1CCOCC1 ZINC001360602666 1074391921 /nfs/dbraw/zinc/39/19/21/1074391921.db2.gz QCZAUBJPIDNNKW-GJZGRUSLSA-N 0 0 443.574 -0.865 20 0 IBADRN C[C@@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)C1)CN1CCOCC1 ZINC001360602667 1074391877 /nfs/dbraw/zinc/39/18/77/1074391877.db2.gz QCZAUBJPIDNNKW-HUUCEWRRSA-N 0 0 443.574 -0.865 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@@H](C)CN1CCOCC1 ZINC001360602668 1074391837 /nfs/dbraw/zinc/39/18/37/1074391837.db2.gz QCZAUBJPIDNNKW-LSDHHAIUSA-N 0 0 443.574 -0.865 20 0 IBADRN C[C@H](Cn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)(=O)CC1)CN1CCOCC1 ZINC001360604132 1074391987 /nfs/dbraw/zinc/39/19/87/1074391987.db2.gz YVSFBVYHCAIUFK-INIZCTEOSA-N 0 0 440.570 -0.283 20 0 IBADRN C[C@@H](Cn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)(=O)CC1)CN1CCOCC1 ZINC001360604133 1074391999 /nfs/dbraw/zinc/39/19/99/1074391999.db2.gz YVSFBVYHCAIUFK-MRXNPFEDSA-N 0 0 440.570 -0.283 20 0 IBADRN C[C@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)CN1CCOCC1 ZINC001360604854 1074391864 /nfs/dbraw/zinc/39/18/64/1074391864.db2.gz ZIZRHQNHYOGKJL-DOTOQJQBSA-N 0 0 449.556 -0.332 20 0 IBADRN C[C@@H](Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)CN1CCOCC1 ZINC001360604855 1074391904 /nfs/dbraw/zinc/39/19/04/1074391904.db2.gz ZIZRHQNHYOGKJL-NVXWUHKLSA-N 0 0 449.556 -0.332 20 0 IBADRN C[C@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)CN1CCOCC1 ZINC001360604856 1074391954 /nfs/dbraw/zinc/39/19/54/1074391954.db2.gz ZIZRHQNHYOGKJL-RDJZCZTQSA-N 0 0 449.556 -0.332 20 0 IBADRN C[C@@H](Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(OCC(N)=O)CC1)CN1CCOCC1 ZINC001360604857 1074392024 /nfs/dbraw/zinc/39/20/24/1074392024.db2.gz ZIZRHQNHYOGKJL-WBVHZDCISA-N 0 0 449.556 -0.332 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001360613962 1074391941 /nfs/dbraw/zinc/39/19/41/1074391941.db2.gz LZELMSRKSVPKOW-QLFBSQMISA-N 0 0 429.543 -0.077 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CC[C@H](CO)O1 ZINC001360613963 1074392006 /nfs/dbraw/zinc/39/20/06/1074392006.db2.gz LZELMSRKSVPKOW-SOUVJXGZSA-N 0 0 429.543 -0.077 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)Nc2ccccn2)n1C[C@@]1(O)CCOC1 ZINC001360615725 1074392045 /nfs/dbraw/zinc/39/20/45/1074392045.db2.gz ZTVADZXKIKOZQM-ACJLOTCBSA-N 0 0 438.510 -0.054 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)Nc2ccccn2)n1C[C@]1(O)CCOC1 ZINC001360615727 1074392069 /nfs/dbraw/zinc/39/20/69/1074392069.db2.gz ZTVADZXKIKOZQM-FZKQIMNGSA-N 0 0 438.510 -0.054 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)Nc2ccccn2)n1C[C@]1(O)CCOC1 ZINC001360615728 1074392379 /nfs/dbraw/zinc/39/23/79/1074392379.db2.gz ZTVADZXKIKOZQM-SCLBCKFNSA-N 0 0 438.510 -0.054 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(C(=O)Nc2ccccn2)n1C[C@@]1(O)CCOC1 ZINC001360615730 1074392386 /nfs/dbraw/zinc/39/23/86/1074392386.db2.gz ZTVADZXKIKOZQM-UGSOOPFHSA-N 0 0 438.510 -0.054 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CCC(=O)N2)n1CC1(S(C)(=O)=O)CC1 ZINC001360617627 1074392319 /nfs/dbraw/zinc/39/23/19/1074392319.db2.gz JFLBSFOIMYOZMW-NEPJUHHUSA-N 0 0 433.556 -0.324 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCC(=O)N2)n1CC1(S(C)(=O)=O)CC1 ZINC001360617628 1074392436 /nfs/dbraw/zinc/39/24/36/1074392436.db2.gz JFLBSFOIMYOZMW-NWDGAFQWSA-N 0 0 433.556 -0.324 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CCC(=O)N2)n1CC1(S(C)(=O)=O)CC1 ZINC001360617629 1074392452 /nfs/dbraw/zinc/39/24/52/1074392452.db2.gz JFLBSFOIMYOZMW-RYUDHWBXSA-N 0 0 433.556 -0.324 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CCC(=O)N2)n1CC1(S(C)(=O)=O)CC1 ZINC001360617630 1074392359 /nfs/dbraw/zinc/39/23/59/1074392359.db2.gz JFLBSFOIMYOZMW-VXGBXAGGSA-N 0 0 433.556 -0.324 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(-c2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001360618985 1074392407 /nfs/dbraw/zinc/39/24/07/1074392407.db2.gz JMNYORVAJFDKKV-CXAGYDPISA-N 0 0 443.551 -0.241 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(-c2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001360618986 1074392398 /nfs/dbraw/zinc/39/23/98/1074392398.db2.gz JMNYORVAJFDKKV-DYVFJYSZSA-N 0 0 443.551 -0.241 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(-c2ccccn2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001360618987 1074392352 /nfs/dbraw/zinc/39/23/52/1074392352.db2.gz JMNYORVAJFDKKV-GUYCJALGSA-N 0 0 443.551 -0.241 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(-c2ccccn2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001360618988 1074392294 /nfs/dbraw/zinc/39/22/94/1074392294.db2.gz JMNYORVAJFDKKV-SUMWQHHRSA-N 0 0 443.551 -0.241 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(Cc2cccnc2)n1CC(=O)N1CCOCC1 ZINC001360621973 1074392414 /nfs/dbraw/zinc/39/24/14/1074392414.db2.gz HITLPDXZCVRPQO-HNNXBMFYSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(Cc2cccnc2)n1CC(=O)N1CCOCC1 ZINC001360621974 1074392336 /nfs/dbraw/zinc/39/23/36/1074392336.db2.gz HITLPDXZCVRPQO-OAHLLOKOSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1 ZINC001360622193 1074392421 /nfs/dbraw/zinc/39/24/21/1074392421.db2.gz IZEOUMZAJMWPPK-GXTWGEPZSA-N 0 0 435.572 -0.110 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1 ZINC001360622194 1074392346 /nfs/dbraw/zinc/39/23/46/1074392346.db2.gz IZEOUMZAJMWPPK-JSGCOSHPSA-N 0 0 435.572 -0.110 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1 ZINC001360622195 1074392306 /nfs/dbraw/zinc/39/23/06/1074392306.db2.gz IZEOUMZAJMWPPK-OCCSQVGLSA-N 0 0 435.572 -0.110 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1CC1 ZINC001360622196 1074392461 /nfs/dbraw/zinc/39/24/61/1074392461.db2.gz IZEOUMZAJMWPPK-TZMCWYRMSA-N 0 0 435.572 -0.110 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(Cc2ccccn2)n1CCNS(C)(=O)=O ZINC001360623440 1074392426 /nfs/dbraw/zinc/39/24/26/1074392426.db2.gz SUTADGYVCPACRG-CYBMUJFWSA-N 0 0 430.556 -0.318 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(Cc2ccccn2)n1CCNS(C)(=O)=O ZINC001360623441 1074392369 /nfs/dbraw/zinc/39/23/69/1074392369.db2.gz SUTADGYVCPACRG-ZDUSSCGKSA-N 0 0 430.556 -0.318 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(Cc2ccncc2)n1CCNS(C)(=O)=O ZINC001360624209 1074392442 /nfs/dbraw/zinc/39/24/42/1074392442.db2.gz YRUYXLDOBHKBPD-CYBMUJFWSA-N 0 0 430.556 -0.318 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(Cc2ccncc2)n1CCNS(C)(=O)=O ZINC001360624210 1074392327 /nfs/dbraw/zinc/39/23/27/1074392327.db2.gz YRUYXLDOBHKBPD-ZDUSSCGKSA-N 0 0 430.556 -0.318 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCN(C)C1 ZINC001360624325 1074392813 /nfs/dbraw/zinc/39/28/13/1074392813.db2.gz ZWIFTEXVSKLMRB-KBXCAEBGSA-N 0 0 428.559 -0.664 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCN(C)C1 ZINC001360624327 1074392996 /nfs/dbraw/zinc/39/29/96/1074392996.db2.gz ZWIFTEXVSKLMRB-KDOFPFPSSA-N 0 0 428.559 -0.664 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCN(C)C1 ZINC001360624329 1074392964 /nfs/dbraw/zinc/39/29/64/1074392964.db2.gz ZWIFTEXVSKLMRB-KSSFIOAISA-N 0 0 428.559 -0.664 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCN(C)C1 ZINC001360624331 1074392713 /nfs/dbraw/zinc/39/27/13/1074392713.db2.gz ZWIFTEXVSKLMRB-RDTXWAMCSA-N 0 0 428.559 -0.664 20 0 IBADRN CC(F)(F)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001360628589 1074392846 /nfs/dbraw/zinc/39/28/46/1074392846.db2.gz CEYVWAVFRLRVRW-NEPJUHHUSA-N 0 0 436.485 -0.032 20 0 IBADRN CC(F)(F)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001360628590 1074392991 /nfs/dbraw/zinc/39/29/91/1074392991.db2.gz CEYVWAVFRLRVRW-NWDGAFQWSA-N 0 0 436.485 -0.032 20 0 IBADRN CC(F)(F)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H](C(N)=O)C1 ZINC001360628591 1074392978 /nfs/dbraw/zinc/39/29/78/1074392978.db2.gz CEYVWAVFRLRVRW-RYUDHWBXSA-N 0 0 436.485 -0.032 20 0 IBADRN CC(F)(F)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001360628592 1074392877 /nfs/dbraw/zinc/39/28/77/1074392877.db2.gz CEYVWAVFRLRVRW-VXGBXAGGSA-N 0 0 436.485 -0.032 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCN1CCOC[C@@H]1C ZINC001360645275 1074392666 /nfs/dbraw/zinc/39/26/66/1074392666.db2.gz HJKPQPAKZJOGCL-IHRRRGAJSA-N 0 0 431.563 -0.691 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCN1CCOC[C@H]1C ZINC001360645276 1074392943 /nfs/dbraw/zinc/39/29/43/1074392943.db2.gz HJKPQPAKZJOGCL-MCIONIFRSA-N 0 0 431.563 -0.691 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCN1CCOC[C@H]1C ZINC001360645277 1074392773 /nfs/dbraw/zinc/39/27/73/1074392773.db2.gz HJKPQPAKZJOGCL-MJBXVCDLSA-N 0 0 431.563 -0.691 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCN1CCOC[C@@H]1C ZINC001360645278 1074392922 /nfs/dbraw/zinc/39/29/22/1074392922.db2.gz HJKPQPAKZJOGCL-RDBSUJKOSA-N 0 0 431.563 -0.691 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCC(=O)N(C)C ZINC001360645633 1074392912 /nfs/dbraw/zinc/39/29/12/1074392912.db2.gz JYTVVHUJMYXGHI-NEPJUHHUSA-N 0 0 438.576 -0.764 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H](C)CS(C)(=O)=O)n1CCC(=O)N(C)C ZINC001360645634 1074392660 /nfs/dbraw/zinc/39/26/60/1074392660.db2.gz JYTVVHUJMYXGHI-NWDGAFQWSA-N 0 0 438.576 -0.764 20 0 IBADRN C[C@@H](CS(C)(=O)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCC(=O)N(C)C ZINC001360645635 1074392642 /nfs/dbraw/zinc/39/26/42/1074392642.db2.gz JYTVVHUJMYXGHI-RYUDHWBXSA-N 0 0 438.576 -0.764 20 0 IBADRN C[C@H](CS(C)(=O)=O)N(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCC(=O)N(C)C ZINC001360645636 1074392801 /nfs/dbraw/zinc/39/28/01/1074392801.db2.gz JYTVVHUJMYXGHI-VXGBXAGGSA-N 0 0 438.576 -0.764 20 0 IBADRN C[C@@H](CC(N)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H](C)N1CCOCC1 ZINC001360646154 1074392981 /nfs/dbraw/zinc/39/29/81/1074392981.db2.gz NKKCJFVVYLKURZ-IHRRRGAJSA-N 0 0 431.563 -0.691 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H](C)N1CCOCC1 ZINC001360646156 1074392748 /nfs/dbraw/zinc/39/27/48/1074392748.db2.gz NKKCJFVVYLKURZ-MCIONIFRSA-N 0 0 431.563 -0.691 20 0 IBADRN C[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)[C@@H](C)CC(N)=O)N1CCOCC1 ZINC001360646158 1074392890 /nfs/dbraw/zinc/39/28/90/1074392890.db2.gz NKKCJFVVYLKURZ-MJBXVCDLSA-N 0 0 431.563 -0.691 20 0 IBADRN C[C@H](CC(N)=O)N(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H](C)N1CCOCC1 ZINC001360646160 1074392857 /nfs/dbraw/zinc/39/28/57/1074392857.db2.gz NKKCJFVVYLKURZ-RDBSUJKOSA-N 0 0 431.563 -0.691 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(C)(C)C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001360650840 1074393429 /nfs/dbraw/zinc/39/34/29/1074393429.db2.gz GCNXISPPPJIUEB-BLLLJJGKSA-N 0 0 437.588 -0.080 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CC(C)(C)C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001360650841 1074393233 /nfs/dbraw/zinc/39/32/33/1074393233.db2.gz GCNXISPPPJIUEB-LRDDRELGSA-N 0 0 437.588 -0.080 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(C)(C)C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001360650842 1074393426 /nfs/dbraw/zinc/39/34/26/1074393426.db2.gz GCNXISPPPJIUEB-MLGOLLRUSA-N 0 0 437.588 -0.080 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CC(C)(C)C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001360650843 1074393344 /nfs/dbraw/zinc/39/33/44/1074393344.db2.gz GCNXISPPPJIUEB-WBMJQRKESA-N 0 0 437.588 -0.080 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCSC2)n1CCS(=O)(=O)N(C)C ZINC001360651556 1074393382 /nfs/dbraw/zinc/39/33/82/1074393382.db2.gz RFJPIDZYXJXDLM-NEPJUHHUSA-N 0 0 440.617 -0.279 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCSC2)n1CCS(=O)(=O)N(C)C ZINC001360651557 1074393399 /nfs/dbraw/zinc/39/33/99/1074393399.db2.gz RFJPIDZYXJXDLM-NWDGAFQWSA-N 0 0 440.617 -0.279 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCSC2)n1CCS(=O)(=O)N(C)C ZINC001360651558 1074393407 /nfs/dbraw/zinc/39/34/07/1074393407.db2.gz RFJPIDZYXJXDLM-RYUDHWBXSA-N 0 0 440.617 -0.279 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCSC2)n1CCS(=O)(=O)N(C)C ZINC001360651559 1074393390 /nfs/dbraw/zinc/39/33/90/1074393390.db2.gz RFJPIDZYXJXDLM-VXGBXAGGSA-N 0 0 440.617 -0.279 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCN1CC=CCC1 ZINC001360651618 1074393472 /nfs/dbraw/zinc/39/34/72/1074393472.db2.gz SJXCRMQJGSADKB-CABCVRRESA-N 0 0 446.599 -0.227 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCN1CC=CCC1 ZINC001360651619 1074393309 /nfs/dbraw/zinc/39/33/09/1074393309.db2.gz SJXCRMQJGSADKB-GJZGRUSLSA-N 0 0 446.599 -0.227 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCN1CC=CCC1 ZINC001360651620 1074393439 /nfs/dbraw/zinc/39/34/39/1074393439.db2.gz SJXCRMQJGSADKB-HUUCEWRRSA-N 0 0 446.599 -0.227 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCN1CC=CCC1 ZINC001360651621 1074393484 /nfs/dbraw/zinc/39/34/84/1074393484.db2.gz SJXCRMQJGSADKB-LSDHHAIUSA-N 0 0 446.599 -0.227 20 0 IBADRN CC(C)[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001360651798 1074393416 /nfs/dbraw/zinc/39/34/16/1074393416.db2.gz WKGLQBITLNHYSI-KBXCAEBGSA-N 0 0 446.574 -0.410 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001360651799 1074393495 /nfs/dbraw/zinc/39/34/95/1074393495.db2.gz WKGLQBITLNHYSI-KDOFPFPSSA-N 0 0 446.574 -0.410 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001360651800 1074393454 /nfs/dbraw/zinc/39/34/54/1074393454.db2.gz WKGLQBITLNHYSI-KSSFIOAISA-N 0 0 446.574 -0.410 20 0 IBADRN CC(C)[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001360651801 1074393499 /nfs/dbraw/zinc/39/34/99/1074393499.db2.gz WKGLQBITLNHYSI-RDTXWAMCSA-N 0 0 446.574 -0.410 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1C[C@H]1OCC[C@H]1C ZINC001360651808 1074393792 /nfs/dbraw/zinc/39/37/92/1074393792.db2.gz WRRWNIOMWWUDOS-AAVRWANBSA-N 0 0 435.572 -0.063 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1C[C@H]1OCC[C@H]1C ZINC001360651809 1074393974 /nfs/dbraw/zinc/39/39/74/1074393974.db2.gz WRRWNIOMWWUDOS-XJFOESAGSA-N 0 0 435.572 -0.063 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1C[C@H]1OCC[C@H]1C ZINC001360651810 1074393877 /nfs/dbraw/zinc/39/38/77/1074393877.db2.gz WRRWNIOMWWUDOS-YIYPIFLZSA-N 0 0 435.572 -0.063 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1C[C@H]1OCC[C@H]1C ZINC001360651811 1074393720 /nfs/dbraw/zinc/39/37/20/1074393720.db2.gz WRRWNIOMWWUDOS-ZOBORPQBSA-N 0 0 435.572 -0.063 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCOCC(F)F ZINC001360651938 1074393898 /nfs/dbraw/zinc/39/38/98/1074393898.db2.gz YGFANTPUQHAPIC-GHMZBOCLSA-N 0 0 445.514 -0.207 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCOCC(F)F ZINC001360651939 1074393928 /nfs/dbraw/zinc/39/39/28/1074393928.db2.gz YGFANTPUQHAPIC-MNOVXSKESA-N 0 0 445.514 -0.207 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1CCOCC(F)F ZINC001360651940 1074393946 /nfs/dbraw/zinc/39/39/46/1074393946.db2.gz YGFANTPUQHAPIC-QWRGUYRKSA-N 0 0 445.514 -0.207 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1CCOCC(F)F ZINC001360651941 1074393887 /nfs/dbraw/zinc/39/38/87/1074393887.db2.gz YGFANTPUQHAPIC-WDEREUQCSA-N 0 0 445.514 -0.207 20 0 IBADRN C[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)Cc1cnn(C)c1)N1CCOCC1 ZINC001360655376 1074393962 /nfs/dbraw/zinc/39/39/62/1074393962.db2.gz GRENUHNPUXFZHG-CABCVRRESA-N 0 0 440.574 -0.021 20 0 IBADRN C[C@@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)Cc1cnn(C)c1)N1CCOCC1 ZINC001360655377 1074393772 /nfs/dbraw/zinc/39/37/72/1074393772.db2.gz GRENUHNPUXFZHG-GJZGRUSLSA-N 0 0 440.574 -0.021 20 0 IBADRN C[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)Cc1cnn(C)c1)N1CCOCC1 ZINC001360655378 1074393735 /nfs/dbraw/zinc/39/37/35/1074393735.db2.gz GRENUHNPUXFZHG-HUUCEWRRSA-N 0 0 440.574 -0.021 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2cnn(C)c2)n1C[C@H](C)N1CCOCC1 ZINC001360655379 1074393824 /nfs/dbraw/zinc/39/38/24/1074393824.db2.gz GRENUHNPUXFZHG-LSDHHAIUSA-N 0 0 440.574 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)Cc2ncnn2CC(F)F)n1CC(=O)N(C)C ZINC001360656901 1074393758 /nfs/dbraw/zinc/39/37/58/1074393758.db2.gz BYRIZTXKESFCBT-JTQLQIEISA-N 0 0 449.488 -0.531 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2ncnn2CC(F)F)n1CC(=O)N(C)C ZINC001360656902 1074393956 /nfs/dbraw/zinc/39/39/56/1074393956.db2.gz BYRIZTXKESFCBT-SNVBAGLBSA-N 0 0 449.488 -0.531 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)Cc2ncnn2CC(F)F)n1CC1(O)CC1 ZINC001360657893 1074393920 /nfs/dbraw/zinc/39/39/20/1074393920.db2.gz RNJOAIRVFMORQU-JTQLQIEISA-N 0 0 434.473 -0.094 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)Cc2ncnn2CC(F)F)n1CC1(O)CC1 ZINC001360657894 1074393810 /nfs/dbraw/zinc/39/38/10/1074393810.db2.gz RNJOAIRVFMORQU-SNVBAGLBSA-N 0 0 434.473 -0.094 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)C[C@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001360660734 1074393938 /nfs/dbraw/zinc/39/39/38/1074393938.db2.gz HVVJFAMMFNQEPE-CHWSQXEVSA-N 0 0 438.576 -0.748 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)C[C@@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001360660735 1074393909 /nfs/dbraw/zinc/39/39/09/1074393909.db2.gz HVVJFAMMFNQEPE-OLZOCXBDSA-N 0 0 438.576 -0.748 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)C[C@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001360660736 1074393866 /nfs/dbraw/zinc/39/38/66/1074393866.db2.gz HVVJFAMMFNQEPE-QWHCGFSZSA-N 0 0 438.576 -0.748 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)C[C@@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001360660737 1074393850 /nfs/dbraw/zinc/39/38/50/1074393850.db2.gz HVVJFAMMFNQEPE-STQMWFEESA-N 0 0 438.576 -0.748 20 0 IBADRN C[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CCn1cccn1)N1CCOCC1 ZINC001360661410 1074394416 /nfs/dbraw/zinc/39/44/16/1074394416.db2.gz ANZNVTUNHQYYNQ-CVEARBPZSA-N 0 0 440.574 -0.058 20 0 IBADRN C[C@@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CCn1cccn1)N1CCOCC1 ZINC001360661411 1074394484 /nfs/dbraw/zinc/39/44/84/1074394484.db2.gz ANZNVTUNHQYYNQ-HOTGVXAUSA-N 0 0 440.574 -0.058 20 0 IBADRN C[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CCn1cccn1)N1CCOCC1 ZINC001360661412 1074394280 /nfs/dbraw/zinc/39/42/80/1074394280.db2.gz ANZNVTUNHQYYNQ-HZPDHXFCSA-N 0 0 440.574 -0.058 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCn2cccn2)n1C[C@H](C)N1CCOCC1 ZINC001360661413 1074394386 /nfs/dbraw/zinc/39/43/86/1074394386.db2.gz ANZNVTUNHQYYNQ-JKSUJKDBSA-N 0 0 440.574 -0.058 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCCS(C)(=O)=O)n1Cc1cnn(C)c1 ZINC001360661474 1074394449 /nfs/dbraw/zinc/39/44/49/1074394449.db2.gz BRPCLZXONUBIHF-GFCCVEGCSA-N 0 0 433.560 -0.459 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCCS(C)(=O)=O)n1Cc1cnn(C)c1 ZINC001360661475 1074394270 /nfs/dbraw/zinc/39/42/70/1074394270.db2.gz BRPCLZXONUBIHF-LBPRGKRZSA-N 0 0 433.560 -0.459 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1Cc1cnn(C)c1 ZINC001360662070 1074394453 /nfs/dbraw/zinc/39/44/53/1074394453.db2.gz IUXKMWJDOYDQPG-AWEZNQCLSA-N 0 0 426.547 -0.562 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1Cc1cnn(C)c1 ZINC001360662071 1074394501 /nfs/dbraw/zinc/39/45/01/1074394501.db2.gz IUXKMWJDOYDQPG-CQSZACIVSA-N 0 0 426.547 -0.562 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCc2cnccn2)n1C[C@]1(C)CN(C)C1=O ZINC001360662086 1074394473 /nfs/dbraw/zinc/39/44/73/1074394473.db2.gz JAGRHIMOQWQSRW-ACJLOTCBSA-N 0 0 436.542 -0.165 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCc2cnccn2)n1C[C@@]1(C)CN(C)C1=O ZINC001360662087 1074394466 /nfs/dbraw/zinc/39/44/66/1074394466.db2.gz JAGRHIMOQWQSRW-FZKQIMNGSA-N 0 0 436.542 -0.165 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCc2cnccn2)n1C[C@@]1(C)CN(C)C1=O ZINC001360662088 1074394258 /nfs/dbraw/zinc/39/42/58/1074394258.db2.gz JAGRHIMOQWQSRW-SCLBCKFNSA-N 0 0 436.542 -0.165 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCc2cnccn2)n1C[C@]1(C)CN(C)C1=O ZINC001360662089 1074394360 /nfs/dbraw/zinc/39/43/60/1074394360.db2.gz JAGRHIMOQWQSRW-UGSOOPFHSA-N 0 0 436.542 -0.165 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@]1(C)COCCO1 ZINC001360662160 1074394401 /nfs/dbraw/zinc/39/44/01/1074394401.db2.gz JIPTZEOANFHUPC-CRAIPNDOSA-N 0 0 446.574 -0.538 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@]1(C)COCCO1 ZINC001360662164 1074394340 /nfs/dbraw/zinc/39/43/40/1074394340.db2.gz JIPTZEOANFHUPC-MAUKXSAKSA-N 0 0 446.574 -0.538 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@@]1(C)COCCO1 ZINC001360662166 1074394317 /nfs/dbraw/zinc/39/43/17/1074394317.db2.gz JIPTZEOANFHUPC-QAPCUYQASA-N 0 0 446.574 -0.538 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@@]1(C)COCCO1 ZINC001360662168 1074394370 /nfs/dbraw/zinc/39/43/70/1074394370.db2.gz JIPTZEOANFHUPC-YJBOKZPZSA-N 0 0 446.574 -0.538 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1Cc1cccs1 ZINC001360662422 1074394872 /nfs/dbraw/zinc/39/48/72/1074394872.db2.gz OPIXNSVMFDFERH-LLVKDONJSA-N 0 0 436.585 -0.017 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCNS(C)(=O)=O)n1Cc1cccs1 ZINC001360662423 1074394748 /nfs/dbraw/zinc/39/47/48/1074394748.db2.gz OPIXNSVMFDFERH-NSHDSACASA-N 0 0 436.585 -0.017 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@@H]1COCCO1 ZINC001360662848 1074394793 /nfs/dbraw/zinc/39/47/93/1074394793.db2.gz UNNGGFRBQDYKCF-HUUCEWRRSA-N 0 0 432.547 -0.928 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(C)CCN2CCOCC2)n1C[C@@H]1COCCO1 ZINC001360662849 1074394755 /nfs/dbraw/zinc/39/47/55/1074394755.db2.gz UNNGGFRBQDYKCF-LSDHHAIUSA-N 0 0 432.547 -0.928 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1C[C@@]1(C)CN(C)C1=O ZINC001360665847 1074394830 /nfs/dbraw/zinc/39/48/30/1074394830.db2.gz CFLRNQZDLLUTGH-BWACUDIHSA-N 0 0 427.531 -0.967 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@@]1(C)CN(C)C1=O ZINC001360665848 1074394776 /nfs/dbraw/zinc/39/47/76/1074394776.db2.gz CFLRNQZDLLUTGH-NVGCLXPQSA-N 0 0 427.531 -0.967 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@H]2C)n1C[C@]1(C)CN(C)C1=O ZINC001360665849 1074394848 /nfs/dbraw/zinc/39/48/48/1074394848.db2.gz CFLRNQZDLLUTGH-PEBVRCNWSA-N 0 0 427.531 -0.967 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)C[C@@H]2C)n1C[C@]1(C)CN(C)C1=O ZINC001360665850 1074394732 /nfs/dbraw/zinc/39/47/32/1074394732.db2.gz CFLRNQZDLLUTGH-PRXAMGSTSA-N 0 0 427.531 -0.967 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@@H]32)n1CC(=O)N(C)C ZINC001360665905 1074394822 /nfs/dbraw/zinc/39/48/22/1074394822.db2.gz DBBTYINMDASING-AVGNSLFASA-N 0 0 427.531 -0.776 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@H]32)n1CC(=O)N(C)C ZINC001360665906 1074394840 /nfs/dbraw/zinc/39/48/40/1074394840.db2.gz DBBTYINMDASING-RWMBFGLXSA-N 0 0 427.531 -0.776 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1CC(=O)N(C)C ZINC001360665907 1074394769 /nfs/dbraw/zinc/39/47/69/1074394769.db2.gz DBBTYINMDASING-XQQFMLRXSA-N 0 0 427.531 -0.776 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@H]32)n1CC(=O)N(C)C ZINC001360665908 1074394718 /nfs/dbraw/zinc/39/47/18/1074394718.db2.gz DBBTYINMDASING-YNEHKIRRSA-N 0 0 427.531 -0.776 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001360667247 1074394876 /nfs/dbraw/zinc/39/48/76/1074394876.db2.gz NSYCZLWDPSDWSD-AGIUHOORSA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001360667248 1074394855 /nfs/dbraw/zinc/39/48/55/1074394855.db2.gz NSYCZLWDPSDWSD-AVGNSLFASA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001360667249 1074394803 /nfs/dbraw/zinc/39/48/03/1074394803.db2.gz NSYCZLWDPSDWSD-FRRDWIJNSA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001360667250 1074394812 /nfs/dbraw/zinc/39/48/12/1074394812.db2.gz NSYCZLWDPSDWSD-RWMBFGLXSA-N 0 0 441.558 -0.530 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1Cc1ccn(C)n1 ZINC001360667263 1074394789 /nfs/dbraw/zinc/39/47/89/1074394789.db2.gz NYGAWNHPRZUMBC-GFCCVEGCSA-N 0 0 445.571 -0.317 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N(CCS(C)(=O)=O)C2CC2)n1Cc1ccn(C)n1 ZINC001360667264 1074394782 /nfs/dbraw/zinc/39/47/82/1074394782.db2.gz NYGAWNHPRZUMBC-LBPRGKRZSA-N 0 0 445.571 -0.317 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@]1(O)CCO[C@@H]1C ZINC001360667428 1074394864 /nfs/dbraw/zinc/39/48/64/1074394864.db2.gz PNPLUPBMFQLUOS-IPJQOSJUSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@]1(O)CCO[C@H]1C ZINC001360667432 1074394739 /nfs/dbraw/zinc/39/47/39/1074394739.db2.gz PNPLUPBMFQLUOS-XREXNNHRSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001360667434 1074395237 /nfs/dbraw/zinc/39/52/37/1074395237.db2.gz PNPLUPBMFQLUOS-ZHRONYKTSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1C[C@]1(O)CCO[C@H]1C ZINC001360667435 1074395148 /nfs/dbraw/zinc/39/51/48/1074395148.db2.gz PNPLUPBMFQLUOS-ZOPJHEKZSA-N 0 0 430.531 -0.905 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCC(=O)N(C)C ZINC001360667445 1074395295 /nfs/dbraw/zinc/39/52/95/1074395295.db2.gz PRWKSQFTJWLNEO-CHWSQXEVSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCCC(=O)N(C)C ZINC001360667450 1074395139 /nfs/dbraw/zinc/39/51/39/1074395139.db2.gz PRWKSQFTJWLNEO-OLZOCXBDSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCC(=O)N(C)C ZINC001360667451 1074395123 /nfs/dbraw/zinc/39/51/23/1074395123.db2.gz PRWKSQFTJWLNEO-QWHCGFSZSA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCCC(=O)N(C)C ZINC001360667453 1074395269 /nfs/dbraw/zinc/39/52/69/1074395269.db2.gz PRWKSQFTJWLNEO-STQMWFEESA-N 0 0 429.547 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H](C)[C@H](N3CCOCC3)C2)n1CC1(O)CCC1 ZINC001360667463 1074395177 /nfs/dbraw/zinc/39/51/77/1074395177.db2.gz PWMMKGSSZXKXPO-HRCADAONSA-N 0 0 442.586 -0.040 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H](N3CCOCC3)[C@@H](C)C2)n1CC1(O)CCC1 ZINC001360667466 1074395192 /nfs/dbraw/zinc/39/51/92/1074395192.db2.gz PWMMKGSSZXKXPO-JYJNAYRXSA-N 0 0 442.586 -0.040 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@H](N3CCOCC3)C2)n1CC1(O)CCC1 ZINC001360667467 1074395252 /nfs/dbraw/zinc/39/52/52/1074395252.db2.gz PWMMKGSSZXKXPO-OWCLPIDISA-N 0 0 442.586 -0.040 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](N3CCOCC3)C2)n1CC1(O)CCC1 ZINC001360667469 1074395164 /nfs/dbraw/zinc/39/51/64/1074395164.db2.gz PWMMKGSSZXKXPO-PMPSAXMXSA-N 0 0 442.586 -0.040 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@@H]32)n1C[C@H]1CCC(=O)N1 ZINC001360667479 1074395111 /nfs/dbraw/zinc/39/51/11/1074395111.db2.gz PZMVQJQAJXPESB-CRWXNKLISA-N 0 0 439.542 -0.586 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1C[C@H]1CCC(=O)N1 ZINC001360667482 1074395134 /nfs/dbraw/zinc/39/51/34/1074395134.db2.gz PZMVQJQAJXPESB-DGAVXFQQSA-N 0 0 439.542 -0.586 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@@H]3CCCC[C@H]32)n1C[C@H]1CCC(=O)N1 ZINC001360667509 1074395232 /nfs/dbraw/zinc/39/52/32/1074395232.db2.gz PZMVQJQAJXPESB-REWJHTLYSA-N 0 0 439.542 -0.586 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC(=O)N[C@H]3CCCC[C@H]32)n1C[C@H]1CCC(=O)N1 ZINC001360667510 1074395219 /nfs/dbraw/zinc/39/52/19/1074395219.db2.gz PZMVQJQAJXPESB-RFQIPJPRSA-N 0 0 439.542 -0.586 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC[C@@H](C2)C3O)n1C[C@H]1COCCO1 ZINC001360669721 1074395157 /nfs/dbraw/zinc/39/51/57/1074395157.db2.gz AUOGFCQBAVWIRV-KRMXURRVSA-N 0 0 429.543 -0.099 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3CCC[C@@H](C2)C3O)n1C[C@H]1COCCO1 ZINC001360669727 1074395247 /nfs/dbraw/zinc/39/52/47/1074395247.db2.gz AUOGFCQBAVWIRV-WHWZVRATSA-N 0 0 429.543 -0.099 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1C[C@]1(C)CN(C)C1=O ZINC001360670395 1074395206 /nfs/dbraw/zinc/39/52/06/1074395206.db2.gz IJGWABXWGDGNNL-JWXAPSBJSA-N 0 0 439.542 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1C[C@@]1(C)CN(C)C1=O ZINC001360670396 1074395225 /nfs/dbraw/zinc/39/52/25/1074395225.db2.gz IJGWABXWGDGNNL-PAKFGRKTSA-N 0 0 439.542 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1C[C@]1(C)CN(C)C1=O ZINC001360670397 1074395285 /nfs/dbraw/zinc/39/52/85/1074395285.db2.gz IJGWABXWGDGNNL-RSLFNQERSA-N 0 0 439.542 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1C[C@@]1(C)CN(C)C1=O ZINC001360670398 1074395277 /nfs/dbraw/zinc/39/52/77/1074395277.db2.gz IJGWABXWGDGNNL-ZVZLLHMNSA-N 0 0 439.542 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@]34CCC[C@@]3(COC4)C2)n1CCS(C)(=O)=O ZINC001360670817 1074395578 /nfs/dbraw/zinc/39/55/78/1074395578.db2.gz ORDHQXDQDKAGFI-RRQGHBQHSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@]34CCC[C@@]3(COC4)C2)n1CCS(C)(=O)=O ZINC001360670818 1074395682 /nfs/dbraw/zinc/39/56/82/1074395682.db2.gz ORDHQXDQDKAGFI-XYPHTWIQSA-N 0 0 447.583 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]([C@@H]3CCOC3)C2)n1C[C@@]1(O)CCOC1 ZINC001360677171 1074396107 /nfs/dbraw/zinc/39/61/07/1074396107.db2.gz AGEHJFWNJNONNB-AFIMGQEJSA-N 0 0 429.543 -0.098 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]([C@@H]3CCOC3)C2)n1C[C@]1(O)CCOC1 ZINC001360677172 1074396017 /nfs/dbraw/zinc/39/60/17/1074396017.db2.gz AGEHJFWNJNONNB-OIPACUDHSA-N 0 0 429.543 -0.098 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]([C@H]3CCOC3)C2)n1C[C@@]1(O)CCOC1 ZINC001360677173 1074396054 /nfs/dbraw/zinc/39/60/54/1074396054.db2.gz AGEHJFWNJNONNB-XSWJXKHESA-N 0 0 429.543 -0.098 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]([C@H]3CCOC3)C2)n1C[C@]1(O)CCOC1 ZINC001360677174 1074396096 /nfs/dbraw/zinc/39/60/96/1074396096.db2.gz AGEHJFWNJNONNB-YRBFXIGRSA-N 0 0 429.543 -0.098 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](c3cnn(C)c3)C2)n1C[C@@]1(O)CCOC1 ZINC001360679580 1074396129 /nfs/dbraw/zinc/39/61/29/1074396129.db2.gz XXVPFKVNLXSDRN-DEYYWGMASA-N 0 0 439.542 -0.233 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](c3cnn(C)c3)C2)n1C[C@@]1(O)CCOC1 ZINC001360679581 1074396069 /nfs/dbraw/zinc/39/60/69/1074396069.db2.gz XXVPFKVNLXSDRN-IYOUNJFTSA-N 0 0 439.542 -0.233 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](c3cnn(C)c3)C2)n1C[C@]1(O)CCOC1 ZINC001360679582 1074395984 /nfs/dbraw/zinc/39/59/84/1074395984.db2.gz XXVPFKVNLXSDRN-PMUMKWKESA-N 0 0 439.542 -0.233 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](c3cnn(C)c3)C2)n1C[C@]1(O)CCOC1 ZINC001360679583 1074396170 /nfs/dbraw/zinc/39/61/70/1074396170.db2.gz XXVPFKVNLXSDRN-SUNYJGFJSA-N 0 0 439.542 -0.233 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(CCCO)CC2)n1CCS(C)(=O)=O ZINC001360682384 1074396060 /nfs/dbraw/zinc/39/60/60/1074396060.db2.gz YYXKFKBUZMMJDE-CYBMUJFWSA-N 0 0 437.588 -0.078 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(CCCO)CC2)n1CCS(C)(=O)=O ZINC001360682385 1074396029 /nfs/dbraw/zinc/39/60/29/1074396029.db2.gz YYXKFKBUZMMJDE-ZDUSSCGKSA-N 0 0 437.588 -0.078 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1CC1(O)CC1 ZINC001360683279 1074396040 /nfs/dbraw/zinc/39/60/40/1074396040.db2.gz DEBQQOYVGYIJIH-GFCCVEGCSA-N 0 0 427.531 -0.593 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1CC1(O)CC1 ZINC001360683281 1074396176 /nfs/dbraw/zinc/39/61/76/1074396176.db2.gz DEBQQOYVGYIJIH-LBPRGKRZSA-N 0 0 427.531 -0.593 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](N3CCCC3=O)C2)n1CC(=O)N(C)C ZINC001360683483 1074396076 /nfs/dbraw/zinc/39/60/76/1074396076.db2.gz DIQBZVXXVAGYGO-CHWSQXEVSA-N 0 0 427.531 -0.822 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](N3CCCC3=O)C2)n1CC(=O)N(C)C ZINC001360683484 1074396085 /nfs/dbraw/zinc/39/60/85/1074396085.db2.gz DIQBZVXXVAGYGO-OLZOCXBDSA-N 0 0 427.531 -0.822 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](N3CCCC3=O)C2)n1CC(=O)N(C)C ZINC001360683485 1074396163 /nfs/dbraw/zinc/39/61/63/1074396163.db2.gz DIQBZVXXVAGYGO-QWHCGFSZSA-N 0 0 427.531 -0.822 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](N3CCCC3=O)C2)n1CC(=O)N(C)C ZINC001360683486 1074396144 /nfs/dbraw/zinc/39/61/44/1074396144.db2.gz DIQBZVXXVAGYGO-STQMWFEESA-N 0 0 427.531 -0.822 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(F)(F)C2)n1CCNC(=O)c1ccn[nH]1 ZINC001360684181 1074396153 /nfs/dbraw/zinc/39/61/53/1074396153.db2.gz LMAWVNDUKKZLGQ-JTQLQIEISA-N 0 0 432.457 -0.113 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(F)(F)C2)n1CCNC(=O)c1ccn[nH]1 ZINC001360684182 1074396116 /nfs/dbraw/zinc/39/61/16/1074396116.db2.gz LMAWVNDUKKZLGQ-SNVBAGLBSA-N 0 0 432.457 -0.113 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(N3CCCNC3=O)CC2)n1CC1(O)CC1 ZINC001360684647 1074396594 /nfs/dbraw/zinc/39/65/94/1074396594.db2.gz PUAREBWPXVOFRB-CYBMUJFWSA-N 0 0 441.558 -0.203 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(N3CCCNC3=O)CC2)n1CC1(O)CC1 ZINC001360684648 1074396428 /nfs/dbraw/zinc/39/64/28/1074396428.db2.gz PUAREBWPXVOFRB-ZDUSSCGKSA-N 0 0 441.558 -0.203 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CC(=O)N(C)C ZINC001360684697 1074396136 /nfs/dbraw/zinc/39/61/36/1074396136.db2.gz DAQFTGDYDALJOU-GFCCVEGCSA-N 0 0 427.531 -0.917 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CC(=O)N(C)C ZINC001360684698 1074396004 /nfs/dbraw/zinc/39/60/04/1074396004.db2.gz DAQFTGDYDALJOU-LBPRGKRZSA-N 0 0 427.531 -0.917 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@@](C)(O)C1CC1 ZINC001360684895 1074396496 /nfs/dbraw/zinc/39/64/96/1074396496.db2.gz FWSSPKXGUDEVLR-DETPVDSQSA-N 0 0 435.572 -0.327 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@](C)(O)C1CC1 ZINC001360684896 1074396476 /nfs/dbraw/zinc/39/64/76/1074396476.db2.gz FWSSPKXGUDEVLR-GHJWDPDVSA-N 0 0 435.572 -0.327 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1C[C@@](C)(O)C1CC1 ZINC001360684897 1074396543 /nfs/dbraw/zinc/39/65/43/1074396543.db2.gz FWSSPKXGUDEVLR-NORZTCDRSA-N 0 0 435.572 -0.327 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1C[C@](C)(O)C1CC1 ZINC001360684898 1074396587 /nfs/dbraw/zinc/39/65/87/1074396587.db2.gz FWSSPKXGUDEVLR-RBOXIYTFSA-N 0 0 435.572 -0.327 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1C[C@]1(O)CCSC1 ZINC001360685257 1074396519 /nfs/dbraw/zinc/39/65/19/1074396519.db2.gz JYCWKOZVRXXZBZ-AFAVFJNCSA-N 0 0 444.583 -0.385 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1C[C@]1(O)CCSC1 ZINC001360685261 1074396551 /nfs/dbraw/zinc/39/65/51/1074396551.db2.gz JYCWKOZVRXXZBZ-JCURWCKSSA-N 0 0 444.583 -0.385 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1C[C@@]1(O)CCSC1 ZINC001360685264 1074396559 /nfs/dbraw/zinc/39/65/59/1074396559.db2.gz JYCWKOZVRXXZBZ-VUCTXSBTSA-N 0 0 444.583 -0.385 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1C[C@@]1(O)CCSC1 ZINC001360685266 1074396566 /nfs/dbraw/zinc/39/65/66/1074396566.db2.gz JYCWKOZVRXXZBZ-ZLIFDBKOSA-N 0 0 444.583 -0.385 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1CC1=CCCOC1 ZINC001360685443 1074396443 /nfs/dbraw/zinc/39/64/43/1074396443.db2.gz LITCHXQVEHERBM-GXTWGEPZSA-N 0 0 433.556 -0.142 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1CC1=CCCOC1 ZINC001360685444 1074396600 /nfs/dbraw/zinc/39/66/00/1074396600.db2.gz LITCHXQVEHERBM-JSGCOSHPSA-N 0 0 433.556 -0.142 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H](S(C)(=O)=O)C2)n1CC1=CCCOC1 ZINC001360685445 1074396581 /nfs/dbraw/zinc/39/65/81/1074396581.db2.gz LITCHXQVEHERBM-OCCSQVGLSA-N 0 0 433.556 -0.142 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](S(C)(=O)=O)C2)n1CC1=CCCOC1 ZINC001360685446 1074396468 /nfs/dbraw/zinc/39/64/68/1074396468.db2.gz LITCHXQVEHERBM-TZMCWYRMSA-N 0 0 433.556 -0.142 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC(O)(C(F)(F)F)CC2)n1CC(=O)N(C)C ZINC001360685501 1074396460 /nfs/dbraw/zinc/39/64/60/1074396460.db2.gz WRGKZLLXOWBHRG-JTQLQIEISA-N 0 0 442.464 -0.130 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC(O)(C(F)(F)F)CC2)n1CC(=O)N(C)C ZINC001360685507 1074396529 /nfs/dbraw/zinc/39/65/29/1074396529.db2.gz WRGKZLLXOWBHRG-SNVBAGLBSA-N 0 0 442.464 -0.130 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CCC3)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001360685617 1074396574 /nfs/dbraw/zinc/39/65/74/1074396574.db2.gz MOFFYLPQESILLI-CXAGYDPISA-N 0 0 447.583 -0.182 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CCC3)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001360685618 1074396535 /nfs/dbraw/zinc/39/65/35/1074396535.db2.gz MOFFYLPQESILLI-DYVFJYSZSA-N 0 0 447.583 -0.182 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CCC3)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001360685619 1074396485 /nfs/dbraw/zinc/39/64/85/1074396485.db2.gz MOFFYLPQESILLI-GUYCJALGSA-N 0 0 447.583 -0.182 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CCC3)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001360685620 1074396508 /nfs/dbraw/zinc/39/65/08/1074396508.db2.gz MOFFYLPQESILLI-SUMWQHHRSA-N 0 0 447.583 -0.182 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CC(=O)NCC(F)F ZINC001360685635 1074396833 /nfs/dbraw/zinc/39/68/33/1074396833.db2.gz NBHWLRSPQBGMLX-HWPZZCPQSA-N 0 0 449.484 -0.872 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CC(=O)NCC(F)F ZINC001360685636 1074396881 /nfs/dbraw/zinc/39/68/81/1074396881.db2.gz NBHWLRSPQBGMLX-MGPLVRAMSA-N 0 0 449.484 -0.872 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1CC(=O)NCC(F)F ZINC001360685637 1074396806 /nfs/dbraw/zinc/39/68/06/1074396806.db2.gz NBHWLRSPQBGMLX-QFYYESIMSA-N 0 0 449.484 -0.872 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1CC(=O)NCC(F)F ZINC001360685638 1074396745 /nfs/dbraw/zinc/39/67/45/1074396745.db2.gz NBHWLRSPQBGMLX-QLJPJBMISA-N 0 0 449.484 -0.872 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CC1(O)CCC1 ZINC001360685841 1074396928 /nfs/dbraw/zinc/39/69/28/1074396928.db2.gz OQUAAIKKJGHAEK-CYBMUJFWSA-N 0 0 426.543 -0.090 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1CC1(O)CCC1 ZINC001360685842 1074396763 /nfs/dbraw/zinc/39/67/63/1074396763.db2.gz OQUAAIKKJGHAEK-ZDUSSCGKSA-N 0 0 426.543 -0.090 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1C[C@](C)(O)C1CC1 ZINC001360686061 1074396868 /nfs/dbraw/zinc/39/68/68/1074396868.db2.gz RYFPWRIHJDMAQF-IGNZVWTISA-N 0 0 426.543 -0.092 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1C[C@@](C)(O)C1CC1 ZINC001360686062 1074396892 /nfs/dbraw/zinc/39/68/92/1074396892.db2.gz RYFPWRIHJDMAQF-JBBXEZCESA-N 0 0 426.543 -0.092 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CCC(=O)N3)C2)n1C[C@@](C)(O)C1CC1 ZINC001360686063 1074396898 /nfs/dbraw/zinc/39/68/98/1074396898.db2.gz RYFPWRIHJDMAQF-RZAIGCCYSA-N 0 0 426.543 -0.092 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CCC(=O)N3)C2)n1C[C@](C)(O)C1CC1 ZINC001360686064 1074396788 /nfs/dbraw/zinc/39/67/88/1074396788.db2.gz RYFPWRIHJDMAQF-UYHISHBKSA-N 0 0 426.543 -0.092 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001360686479 1074396774 /nfs/dbraw/zinc/39/67/74/1074396774.db2.gz ZCCGPRIAQBYXNH-CHWSQXEVSA-N 0 0 439.542 -0.727 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC3(CNC(=O)C3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001360686480 1074396819 /nfs/dbraw/zinc/39/68/19/1074396819.db2.gz ZCCGPRIAQBYXNH-QWHCGFSZSA-N 0 0 439.542 -0.727 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCc3c(cc[nH]c3=O)C2)n1C[C@@H]1COCCO1 ZINC001360687943 1074396939 /nfs/dbraw/zinc/39/69/39/1074396939.db2.gz BWHLDTGNBPBHRL-GXTWGEPZSA-N 0 0 438.510 -0.033 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCc3c(cc[nH]c3=O)C2)n1C[C@H]1COCCO1 ZINC001360687944 1074396753 /nfs/dbraw/zinc/39/67/53/1074396753.db2.gz BWHLDTGNBPBHRL-JSGCOSHPSA-N 0 0 438.510 -0.033 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCc3c(cc[nH]c3=O)C2)n1C[C@H]1COCCO1 ZINC001360687945 1074396907 /nfs/dbraw/zinc/39/69/07/1074396907.db2.gz BWHLDTGNBPBHRL-OCCSQVGLSA-N 0 0 438.510 -0.033 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCc3c(cc[nH]c3=O)C2)n1C[C@@H]1COCCO1 ZINC001360687946 1074396948 /nfs/dbraw/zinc/39/69/48/1074396948.db2.gz BWHLDTGNBPBHRL-TZMCWYRMSA-N 0 0 438.510 -0.033 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCC1(O)CCC1 ZINC001360688005 1074396844 /nfs/dbraw/zinc/39/68/44/1074396844.db2.gz CTZNXHINNWPNFI-CXAGYDPISA-N 0 0 426.543 -0.090 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCC1(O)CCC1 ZINC001360688006 1074396918 /nfs/dbraw/zinc/39/69/18/1074396918.db2.gz CTZNXHINNWPNFI-DYVFJYSZSA-N 0 0 426.543 -0.090 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCC1(O)CCC1 ZINC001360688007 1074396796 /nfs/dbraw/zinc/39/67/96/1074396796.db2.gz CTZNXHINNWPNFI-GUYCJALGSA-N 0 0 426.543 -0.090 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCC1(O)CCC1 ZINC001360688008 1074396857 /nfs/dbraw/zinc/39/68/57/1074396857.db2.gz CTZNXHINNWPNFI-SUMWQHHRSA-N 0 0 426.543 -0.090 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCc3oncc3C2)n1CC1(O)CCOCC1 ZINC001360688017 1074397163 /nfs/dbraw/zinc/39/71/63/1074397163.db2.gz CYFSLKIMANCLJQ-GFCCVEGCSA-N 0 0 426.499 -0.020 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCc3oncc3C2)n1CC1(O)CCOCC1 ZINC001360688018 1074397142 /nfs/dbraw/zinc/39/71/42/1074397142.db2.gz CYFSLKIMANCLJQ-LBPRGKRZSA-N 0 0 426.499 -0.020 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCOCC(F)F ZINC001360688491 1074397103 /nfs/dbraw/zinc/39/71/03/1074397103.db2.gz LOHBNAVDXZWQQR-BDJLRTHQSA-N 0 0 436.485 -0.114 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCOCC(F)F ZINC001360688492 1074397174 /nfs/dbraw/zinc/39/71/74/1074397174.db2.gz LOHBNAVDXZWQQR-BZNIZROVSA-N 0 0 436.485 -0.114 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCOCC(F)F ZINC001360688493 1074397154 /nfs/dbraw/zinc/39/71/54/1074397154.db2.gz LOHBNAVDXZWQQR-MEDUHNTESA-N 0 0 436.485 -0.114 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCOCC(F)F ZINC001360688494 1074397263 /nfs/dbraw/zinc/39/72/63/1074397263.db2.gz LOHBNAVDXZWQQR-ZBEGNZNMSA-N 0 0 436.485 -0.114 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3OC(=O)O[C@H]3C2)n1CCCC(=O)N(C)C ZINC001360689395 1074397253 /nfs/dbraw/zinc/39/72/53/1074397253.db2.gz FTZRCNSXVSZHIV-AVGNSLFASA-N 0 0 444.514 -0.129 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3OC(=O)O[C@@H]3C2)n1CCCC(=O)N(C)C ZINC001360689396 1074397244 /nfs/dbraw/zinc/39/72/44/1074397244.db2.gz FTZRCNSXVSZHIV-RWMBFGLXSA-N 0 0 444.514 -0.129 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3OC(=O)O[C@H]3C2)n1CCCC(=O)N(C)C ZINC001360689397 1074397295 /nfs/dbraw/zinc/39/72/95/1074397295.db2.gz FTZRCNSXVSZHIV-XQQFMLRXSA-N 0 0 444.514 -0.129 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3OC(=O)O[C@@H]3C2)n1CCCC(=O)N(C)C ZINC001360689398 1074397223 /nfs/dbraw/zinc/39/72/23/1074397223.db2.gz FTZRCNSXVSZHIV-YNEHKIRRSA-N 0 0 444.514 -0.129 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC1(C)COC1 ZINC001360689777 1074397234 /nfs/dbraw/zinc/39/72/34/1074397234.db2.gz JIIFTNGGIUIRDZ-GFCCVEGCSA-N 0 0 437.526 -0.419 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC1(C)COC1 ZINC001360689778 1074397115 /nfs/dbraw/zinc/39/71/15/1074397115.db2.gz JIIFTNGGIUIRDZ-LBPRGKRZSA-N 0 0 437.526 -0.419 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3OC(=O)O[C@@H]3C2)n1CCC(=O)N(C)C ZINC001360689889 1074397194 /nfs/dbraw/zinc/39/71/94/1074397194.db2.gz KWIFPJVOVVWVRV-QJPTWQEYSA-N 0 0 430.487 -0.519 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3OC(=O)O[C@@H]3C2)n1CCC(=O)N(C)C ZINC001360689890 1074397207 /nfs/dbraw/zinc/39/72/07/1074397207.db2.gz KWIFPJVOVVWVRV-SDDRHHMPSA-N 0 0 430.487 -0.519 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3OC(=O)O[C@H]3C2)n1CCC(=O)N(C)C ZINC001360689891 1074397184 /nfs/dbraw/zinc/39/71/84/1074397184.db2.gz KWIFPJVOVVWVRV-SRVKXCTJSA-N 0 0 430.487 -0.519 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3OC(=O)O[C@H]3C2)n1CCC(=O)N(C)C ZINC001360689892 1074397073 /nfs/dbraw/zinc/39/70/73/1074397073.db2.gz KWIFPJVOVVWVRV-TUAOUCFPSA-N 0 0 430.487 -0.519 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@H]1CC=CCO1 ZINC001360690271 1074397475 /nfs/dbraw/zinc/39/74/75/1074397475.db2.gz PVVGSCYBNQGCND-DZGCQCFKSA-N 0 0 449.537 -0.110 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@@H]1CC=CCO1 ZINC001360690279 1074397541 /nfs/dbraw/zinc/39/75/41/1074397541.db2.gz PVVGSCYBNQGCND-HIFRSBDPSA-N 0 0 449.537 -0.110 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@H]1CC=CCO1 ZINC001360690281 1074397605 /nfs/dbraw/zinc/39/76/05/1074397605.db2.gz PVVGSCYBNQGCND-UKRRQHHQSA-N 0 0 449.537 -0.110 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCc3nn(C)c(=O)cc3C2)n1C[C@@H]1CC=CCO1 ZINC001360690283 1074397575 /nfs/dbraw/zinc/39/75/75/1074397575.db2.gz PVVGSCYBNQGCND-ZFWWWQNUSA-N 0 0 449.537 -0.110 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@H]1CCOC1 ZINC001360693620 1074397549 /nfs/dbraw/zinc/39/75/49/1074397549.db2.gz CXVRODLXJKVKQY-BFHYXJOUSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@@H]1CCOC1 ZINC001360693621 1074397532 /nfs/dbraw/zinc/39/75/32/1074397532.db2.gz CXVRODLXJKVKQY-IHRRRGAJSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@@H]1CCOC1 ZINC001360693622 1074397567 /nfs/dbraw/zinc/39/75/67/1074397567.db2.gz CXVRODLXJKVKQY-MELADBBJSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@H]1CCOC1 ZINC001360693623 1074397500 /nfs/dbraw/zinc/39/75/00/1074397500.db2.gz CXVRODLXJKVKQY-MJBXVCDLSA-N 0 0 435.572 -0.062 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCCOCC2)n1CCC(=O)N1CCOCC1 ZINC001360699807 1074397663 /nfs/dbraw/zinc/39/76/63/1074397663.db2.gz IJCOLKXEJDHFPO-AWEZNQCLSA-N 0 0 430.531 -0.636 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCCOCC2)n1CCC(=O)N1CCOCC1 ZINC001360699808 1074397486 /nfs/dbraw/zinc/39/74/86/1074397486.db2.gz IJCOLKXEJDHFPO-CQSZACIVSA-N 0 0 430.531 -0.636 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCCOCC2)n1CC(=O)NCC(F)(F)F ZINC001360700031 1074397586 /nfs/dbraw/zinc/39/75/86/1074397586.db2.gz KSSWALLVDTVLBP-JTQLQIEISA-N 0 0 428.437 -0.207 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCCOCC2)n1CC(=O)NCC(F)(F)F ZINC001360700032 1074397652 /nfs/dbraw/zinc/39/76/52/1074397652.db2.gz KSSWALLVDTVLBP-SNVBAGLBSA-N 0 0 428.437 -0.207 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C1CC1 ZINC001360702366 1074397462 /nfs/dbraw/zinc/39/74/62/1074397462.db2.gz CPMIYCFPHKRDHR-LLVKDONJSA-N 0 0 425.515 -0.850 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C1CC1 ZINC001360702367 1074397597 /nfs/dbraw/zinc/39/75/97/1074397597.db2.gz CPMIYCFPHKRDHR-NSHDSACASA-N 0 0 425.515 -0.850 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1C1CC1 ZINC001360703384 1074397953 /nfs/dbraw/zinc/39/79/53/1074397953.db2.gz SWAKGFNEIDOQKE-CYBMUJFWSA-N 0 0 427.531 -0.203 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1C1CC1 ZINC001360703390 1074398062 /nfs/dbraw/zinc/39/80/62/1074398062.db2.gz SWAKGFNEIDOQKE-ZDUSSCGKSA-N 0 0 427.531 -0.203 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(c3cnn(C)c3)CC2)n1CC1(C)COC1 ZINC001360703725 1074398003 /nfs/dbraw/zinc/39/80/03/1074398003.db2.gz CJSBNFWDBXDWKV-AWEZNQCLSA-N 0 0 438.558 -0.015 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(c3cnn(C)c3)CC2)n1CC1(C)COC1 ZINC001360703726 1074397992 /nfs/dbraw/zinc/39/79/92/1074397992.db2.gz CJSBNFWDBXDWKV-CQSZACIVSA-N 0 0 438.558 -0.015 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCNC(=O)C1CC1 ZINC001360703954 1074397943 /nfs/dbraw/zinc/39/79/43/1074397943.db2.gz DOXIDAQSZWYXCI-NEPJUHHUSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCNC(=O)C1CC1 ZINC001360703955 1074397986 /nfs/dbraw/zinc/39/79/86/1074397986.db2.gz DOXIDAQSZWYXCI-NWDGAFQWSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCNC(=O)C1CC1 ZINC001360703956 1074398026 /nfs/dbraw/zinc/39/80/26/1074398026.db2.gz DOXIDAQSZWYXCI-RYUDHWBXSA-N 0 0 427.531 -0.919 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCNC(=O)C1CC1 ZINC001360703957 1074397972 /nfs/dbraw/zinc/39/79/72/1074397972.db2.gz DOXIDAQSZWYXCI-VXGBXAGGSA-N 0 0 427.531 -0.919 20 0 IBADRN CC(C)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001360704276 1074398086 /nfs/dbraw/zinc/39/80/86/1074398086.db2.gz YLIBDDLBPZTKLM-AWEZNQCLSA-N 0 0 448.615 -0.004 20 0 IBADRN CC(C)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001360704279 1074398040 /nfs/dbraw/zinc/39/80/40/1074398040.db2.gz YLIBDDLBPZTKLM-CQSZACIVSA-N 0 0 448.615 -0.004 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CC[C@@H]1COCCO1 ZINC001360704526 1074398054 /nfs/dbraw/zinc/39/80/54/1074398054.db2.gz HWECWCRGLDXJCJ-BFHYXJOUSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CC[C@H]1COCCO1 ZINC001360704527 1074397934 /nfs/dbraw/zinc/39/79/34/1074397934.db2.gz HWECWCRGLDXJCJ-IHRRRGAJSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CC[C@@H]1COCCO1 ZINC001360704528 1074398011 /nfs/dbraw/zinc/39/80/11/1074398011.db2.gz HWECWCRGLDXJCJ-MELADBBJSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CC[C@H]1COCCO1 ZINC001360704529 1074397979 /nfs/dbraw/zinc/39/79/79/1074397979.db2.gz HWECWCRGLDXJCJ-MJBXVCDLSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@H]1CC(F)(F)CO1 ZINC001360705033 1074397963 /nfs/dbraw/zinc/39/79/63/1074397963.db2.gz POXIWUYZBJKOHW-QJPTWQEYSA-N 0 0 436.485 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@H]1CC(F)(F)CO1 ZINC001360705034 1074398035 /nfs/dbraw/zinc/39/80/35/1074398035.db2.gz POXIWUYZBJKOHW-SDDRHHMPSA-N 0 0 436.485 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1C[C@@H]1CC(F)(F)CO1 ZINC001360705035 1074398107 /nfs/dbraw/zinc/39/81/07/1074398107.db2.gz POXIWUYZBJKOHW-SRVKXCTJSA-N 0 0 436.485 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1C[C@@H]1CC(F)(F)CO1 ZINC001360705036 1074398021 /nfs/dbraw/zinc/39/80/21/1074398021.db2.gz POXIWUYZBJKOHW-TUAOUCFPSA-N 0 0 436.485 -0.021 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCC1(O)CCOCC1 ZINC001360705481 1074398491 /nfs/dbraw/zinc/39/84/91/1074398491.db2.gz YPGJWWRPMKBMGD-KBPBESRZSA-N 0 0 444.558 -0.513 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCC1(O)CCOCC1 ZINC001360705482 1074398349 /nfs/dbraw/zinc/39/83/49/1074398349.db2.gz YPGJWWRPMKBMGD-KGLIPLIRSA-N 0 0 444.558 -0.513 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCC1(O)CCOCC1 ZINC001360705483 1074398359 /nfs/dbraw/zinc/39/83/59/1074398359.db2.gz YPGJWWRPMKBMGD-UONOGXRCSA-N 0 0 444.558 -0.513 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCC1(O)CCOCC1 ZINC001360705484 1074398401 /nfs/dbraw/zinc/39/84/01/1074398401.db2.gz YPGJWWRPMKBMGD-ZIAGYGMSSA-N 0 0 444.558 -0.513 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC1(O)CCC1 ZINC001360707255 1074398483 /nfs/dbraw/zinc/39/84/83/1074398483.db2.gz AMPVUTJMVIVQPL-AWEZNQCLSA-N 0 0 443.574 -0.987 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC1(O)CCC1 ZINC001360707264 1074398457 /nfs/dbraw/zinc/39/84/57/1074398457.db2.gz AMPVUTJMVIVQPL-CQSZACIVSA-N 0 0 443.574 -0.987 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1C[C@]1(O)CCOC1 ZINC001360707417 1074398338 /nfs/dbraw/zinc/39/83/38/1074398338.db2.gz DHHGMIGYZKFGHQ-BLLLJJGKSA-N 0 0 438.501 -0.573 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1C[C@@]1(O)CCOC1 ZINC001360707418 1074398370 /nfs/dbraw/zinc/39/83/70/1074398370.db2.gz DHHGMIGYZKFGHQ-LRDDRELGSA-N 0 0 438.501 -0.573 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1C[C@]1(O)CCOC1 ZINC001360707419 1074398430 /nfs/dbraw/zinc/39/84/30/1074398430.db2.gz DHHGMIGYZKFGHQ-MLGOLLRUSA-N 0 0 438.501 -0.573 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1C[C@@]1(O)CCOC1 ZINC001360707420 1074398411 /nfs/dbraw/zinc/39/84/11/1074398411.db2.gz DHHGMIGYZKFGHQ-WBMJQRKESA-N 0 0 438.501 -0.573 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1CCC(=O)N(C)C ZINC001360707529 1074398419 /nfs/dbraw/zinc/39/84/19/1074398419.db2.gz GBKDCGWOANKSSL-GFCCVEGCSA-N 0 0 437.517 -0.246 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1CCC(=O)N(C)C ZINC001360707530 1074398377 /nfs/dbraw/zinc/39/83/77/1074398377.db2.gz GBKDCGWOANKSSL-LBPRGKRZSA-N 0 0 437.517 -0.246 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1C[C@@H]1COCCO1 ZINC001360708031 1074398467 /nfs/dbraw/zinc/39/84/67/1074398467.db2.gz PBSOVKGPLKJSKP-CHWSQXEVSA-N 0 0 438.501 -0.309 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(CC(F)F)CC2)n1C[C@@H]1COCCO1 ZINC001360708032 1074398438 /nfs/dbraw/zinc/39/84/38/1074398438.db2.gz PBSOVKGPLKJSKP-QWHCGFSZSA-N 0 0 438.501 -0.309 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CCNC(=O)C1CC1 ZINC001360708473 1074398826 /nfs/dbraw/zinc/39/88/26/1074398826.db2.gz WZSPBCOHKBLMPF-GFCCVEGCSA-N 0 0 439.542 -0.775 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CCNC(=O)C1CC1 ZINC001360708474 1074398791 /nfs/dbraw/zinc/39/87/91/1074398791.db2.gz WZSPBCOHKBLMPF-LBPRGKRZSA-N 0 0 439.542 -0.775 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CC[C@@H]1COCCO1 ZINC001360708709 1074398809 /nfs/dbraw/zinc/39/88/09/1074398809.db2.gz BKHJJHXSIFSSLA-BFHYXJOUSA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CC[C@H]1COCCO1 ZINC001360708710 1074398817 /nfs/dbraw/zinc/39/88/17/1074398817.db2.gz BKHJJHXSIFSSLA-IHRRRGAJSA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@H]3C2)n1CC[C@@H]1COCCO1 ZINC001360708711 1074398770 /nfs/dbraw/zinc/39/87/70/1074398770.db2.gz BKHJJHXSIFSSLA-MELADBBJSA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@H]3C2)n1CC[C@H]1COCCO1 ZINC001360708712 1074398800 /nfs/dbraw/zinc/39/88/00/1074398800.db2.gz BKHJJHXSIFSSLA-MJBXVCDLSA-N 0 0 444.514 -0.665 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1CCOCCCO ZINC001360708857 1074398730 /nfs/dbraw/zinc/39/87/30/1074398730.db2.gz FBNPLPWLLFCTJC-CXAGYDPISA-N 0 0 446.530 -0.682 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1CCOCCCO ZINC001360708858 1074398703 /nfs/dbraw/zinc/39/87/03/1074398703.db2.gz FBNPLPWLLFCTJC-DYVFJYSZSA-N 0 0 446.530 -0.682 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1CCOCCCO ZINC001360708859 1074398844 /nfs/dbraw/zinc/39/88/44/1074398844.db2.gz FBNPLPWLLFCTJC-GUYCJALGSA-N 0 0 446.530 -0.682 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1CCOCCCO ZINC001360708860 1074398858 /nfs/dbraw/zinc/39/88/58/1074398858.db2.gz FBNPLPWLLFCTJC-SUMWQHHRSA-N 0 0 446.530 -0.682 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCCOC1CCOCC1 ZINC001360708865 1074398737 /nfs/dbraw/zinc/39/87/37/1074398737.db2.gz FHARQJDHQJBCMM-CYBMUJFWSA-N 0 0 430.531 -0.590 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCCOC1CCOCC1 ZINC001360708866 1074398884 /nfs/dbraw/zinc/39/88/84/1074398884.db2.gz FHARQJDHQJBCMM-ZDUSSCGKSA-N 0 0 430.531 -0.590 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCOCCCO ZINC001360708879 1074398748 /nfs/dbraw/zinc/39/87/48/1074398748.db2.gz FMJCVIWJRMIPEQ-KBPBESRZSA-N 0 0 430.531 -0.902 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCOCCCO ZINC001360708880 1074398784 /nfs/dbraw/zinc/39/87/84/1074398784.db2.gz FMJCVIWJRMIPEQ-KGLIPLIRSA-N 0 0 430.531 -0.902 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCOCCCO ZINC001360708881 1074399294 /nfs/dbraw/zinc/39/92/94/1074399294.db2.gz FMJCVIWJRMIPEQ-UONOGXRCSA-N 0 0 430.531 -0.902 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCOCCCO ZINC001360708882 1074399244 /nfs/dbraw/zinc/39/92/44/1074399244.db2.gz FMJCVIWJRMIPEQ-ZIAGYGMSSA-N 0 0 430.531 -0.902 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCCCCO ZINC001360708969 1074399142 /nfs/dbraw/zinc/39/91/42/1074399142.db2.gz HCGUZRCUBSHBRX-KBPBESRZSA-N 0 0 429.547 -0.393 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CCCCCO ZINC001360708970 1074399298 /nfs/dbraw/zinc/39/92/98/1074399298.db2.gz HCGUZRCUBSHBRX-KGLIPLIRSA-N 0 0 429.547 -0.393 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCCCCO ZINC001360708971 1074399271 /nfs/dbraw/zinc/39/92/71/1074399271.db2.gz HCGUZRCUBSHBRX-UONOGXRCSA-N 0 0 429.547 -0.393 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CCCCCO ZINC001360708972 1074399134 /nfs/dbraw/zinc/39/91/34/1074399134.db2.gz HCGUZRCUBSHBRX-ZIAGYGMSSA-N 0 0 429.547 -0.393 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1C[C@]1(C)CN(C)C1=O ZINC001360708981 1074399114 /nfs/dbraw/zinc/39/91/14/1074399114.db2.gz HGLJHJIUNJGUGW-JCGVRSQUSA-N 0 0 439.542 -0.822 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1C[C@]1(C)CN(C)C1=O ZINC001360708982 1074399302 /nfs/dbraw/zinc/39/93/02/1074399302.db2.gz HGLJHJIUNJGUGW-LXIYXOSZSA-N 0 0 439.542 -0.822 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1C[C@@]1(C)CN(C)C1=O ZINC001360708983 1074399125 /nfs/dbraw/zinc/39/91/25/1074399125.db2.gz HGLJHJIUNJGUGW-VEVIJQCQSA-N 0 0 439.542 -0.822 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1C[C@@]1(C)CN(C)C1=O ZINC001360708984 1074399102 /nfs/dbraw/zinc/39/91/02/1074399102.db2.gz HGLJHJIUNJGUGW-ZJNRKIDTSA-N 0 0 439.542 -0.822 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1Cc1ncnn1-c1ccccc1 ZINC001360708993 1074399154 /nfs/dbraw/zinc/39/91/54/1074399154.db2.gz HIHYZMLQEISDMD-CYBMUJFWSA-N 0 0 445.509 -0.547 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1Cc1ncnn1-c1ccccc1 ZINC001360708994 1074399306 /nfs/dbraw/zinc/39/93/06/1074399306.db2.gz HIHYZMLQEISDMD-ZDUSSCGKSA-N 0 0 445.509 -0.547 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCOC1 ZINC001360709141 1074399275 /nfs/dbraw/zinc/39/92/75/1074399275.db2.gz JSILTKFGONJZKG-CHWSQXEVSA-N 0 0 436.560 -0.994 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@H]1CCOC1 ZINC001360709142 1074399236 /nfs/dbraw/zinc/39/92/36/1074399236.db2.gz JSILTKFGONJZKG-OLZOCXBDSA-N 0 0 436.560 -0.994 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCOC1 ZINC001360709143 1074399228 /nfs/dbraw/zinc/39/92/28/1074399228.db2.gz JSILTKFGONJZKG-QWHCGFSZSA-N 0 0 436.560 -0.994 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@@H]1CCOC1 ZINC001360709144 1074399686 /nfs/dbraw/zinc/39/96/86/1074399686.db2.gz JSILTKFGONJZKG-STQMWFEESA-N 0 0 436.560 -0.994 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CCSCCCO ZINC001360709327 1074399611 /nfs/dbraw/zinc/39/96/11/1074399611.db2.gz MCMKJMRBIMMAAL-CABCVRRESA-N 0 0 448.615 -0.475 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CCSCCCO ZINC001360709328 1074399664 /nfs/dbraw/zinc/39/96/64/1074399664.db2.gz MCMKJMRBIMMAAL-GJZGRUSLSA-N 0 0 448.615 -0.475 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CCSCCCO ZINC001360709329 1074399576 /nfs/dbraw/zinc/39/95/76/1074399576.db2.gz MCMKJMRBIMMAAL-HUUCEWRRSA-N 0 0 448.615 -0.475 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CCSCCCO ZINC001360709330 1074399546 /nfs/dbraw/zinc/39/95/46/1074399546.db2.gz MCMKJMRBIMMAAL-LSDHHAIUSA-N 0 0 448.615 -0.475 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCC1CCOCC1 ZINC001360709367 1074399598 /nfs/dbraw/zinc/39/95/98/1074399598.db2.gz MMOJGWPRLZHLCW-DZGCQCFKSA-N 0 0 441.558 -0.081 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCC1CCOCC1 ZINC001360709368 1074399696 /nfs/dbraw/zinc/39/96/96/1074399696.db2.gz MMOJGWPRLZHLCW-HIFRSBDPSA-N 0 0 441.558 -0.081 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCC1CCOCC1 ZINC001360709369 1074399630 /nfs/dbraw/zinc/39/96/30/1074399630.db2.gz MMOJGWPRLZHLCW-UKRRQHHQSA-N 0 0 441.558 -0.081 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCC1CCOCC1 ZINC001360709370 1074399525 /nfs/dbraw/zinc/39/95/25/1074399525.db2.gz MMOJGWPRLZHLCW-ZFWWWQNUSA-N 0 0 441.558 -0.081 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC1=CCCOC1 ZINC001360709393 1074399519 /nfs/dbraw/zinc/39/95/19/1074399519.db2.gz MUTQLWURAKIKRR-DZGCQCFKSA-N 0 0 439.542 -0.209 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC1=CCCOC1 ZINC001360709394 1074399682 /nfs/dbraw/zinc/39/96/82/1074399682.db2.gz MUTQLWURAKIKRR-HIFRSBDPSA-N 0 0 439.542 -0.209 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC1=CCCOC1 ZINC001360709395 1074399709 /nfs/dbraw/zinc/39/97/09/1074399709.db2.gz MUTQLWURAKIKRR-UKRRQHHQSA-N 0 0 439.542 -0.209 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC1=CCCOC1 ZINC001360709396 1074399659 /nfs/dbraw/zinc/39/96/59/1074399659.db2.gz MUTQLWURAKIKRR-ZFWWWQNUSA-N 0 0 439.542 -0.209 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC1CCOCC1 ZINC001360709441 1074399715 /nfs/dbraw/zinc/39/97/15/1074399715.db2.gz NRZXANXXIRCPNI-DZGCQCFKSA-N 0 0 441.558 -0.129 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC1CCOCC1 ZINC001360709442 1074399636 /nfs/dbraw/zinc/39/96/36/1074399636.db2.gz NRZXANXXIRCPNI-HIFRSBDPSA-N 0 0 441.558 -0.129 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC1CCOCC1 ZINC001360709443 1074399651 /nfs/dbraw/zinc/39/96/51/1074399651.db2.gz NRZXANXXIRCPNI-UKRRQHHQSA-N 0 0 441.558 -0.129 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC1CCOCC1 ZINC001360709444 1074399643 /nfs/dbraw/zinc/39/96/43/1074399643.db2.gz NRZXANXXIRCPNI-ZFWWWQNUSA-N 0 0 441.558 -0.129 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCCO1 ZINC001360709495 1074399702 /nfs/dbraw/zinc/39/97/02/1074399702.db2.gz ODIRLCIRNKCZCT-CHWSQXEVSA-N 0 0 436.560 -0.851 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1C[C@H]1CCCO1 ZINC001360709496 1074399535 /nfs/dbraw/zinc/39/95/35/1074399535.db2.gz ODIRLCIRNKCZCT-QWHCGFSZSA-N 0 0 436.560 -0.851 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC1(C)COC1 ZINC001360709511 1074399605 /nfs/dbraw/zinc/39/96/05/1074399605.db2.gz OIDZDPKLAXKFLJ-GFCCVEGCSA-N 0 0 436.560 -0.994 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC1(C)COC1 ZINC001360709512 1074399165 /nfs/dbraw/zinc/39/91/65/1074399165.db2.gz OIDZDPKLAXKFLJ-LBPRGKRZSA-N 0 0 436.560 -0.994 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCCn1ccnn1 ZINC001360709695 1074400028 /nfs/dbraw/zinc/40/00/28/1074400028.db2.gz PTPPQGFJCYVEMW-KBPBESRZSA-N 0 0 437.530 -0.619 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCCn1ccnn1 ZINC001360709696 1074400126 /nfs/dbraw/zinc/40/01/26/1074400126.db2.gz PTPPQGFJCYVEMW-KGLIPLIRSA-N 0 0 437.530 -0.619 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCCn1ccnn1 ZINC001360709697 1074400037 /nfs/dbraw/zinc/40/00/37/1074400037.db2.gz PTPPQGFJCYVEMW-UONOGXRCSA-N 0 0 437.530 -0.619 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCCn1ccnn1 ZINC001360709698 1074400093 /nfs/dbraw/zinc/40/00/93/1074400093.db2.gz PTPPQGFJCYVEMW-ZIAGYGMSSA-N 0 0 437.530 -0.619 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC1=CCCOC1 ZINC001360709909 1074399998 /nfs/dbraw/zinc/39/99/98/1074399998.db2.gz RIWMGXVXIYRHPC-GDBMZVCRSA-N 0 0 426.543 -0.244 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CC1=CCCOC1 ZINC001360709910 1074400224 /nfs/dbraw/zinc/40/02/24/1074400224.db2.gz RIWMGXVXIYRHPC-GOEBONIOSA-N 0 0 426.543 -0.244 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC1=CCCOC1 ZINC001360709911 1074400045 /nfs/dbraw/zinc/40/00/45/1074400045.db2.gz RIWMGXVXIYRHPC-HOCLYGCPSA-N 0 0 426.543 -0.244 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CC1=CCCOC1 ZINC001360709912 1074400177 /nfs/dbraw/zinc/40/01/77/1074400177.db2.gz RIWMGXVXIYRHPC-ZBFHGGJFSA-N 0 0 426.543 -0.244 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC1(C)COC1 ZINC001360710157 1074400142 /nfs/dbraw/zinc/40/01/42/1074400142.db2.gz UCORYKFODONQSO-CHWSQXEVSA-N 0 0 427.531 -0.519 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC1(C)COC1 ZINC001360710158 1074400110 /nfs/dbraw/zinc/40/01/10/1074400110.db2.gz UCORYKFODONQSO-OLZOCXBDSA-N 0 0 427.531 -0.519 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1CC1(C)COC1 ZINC001360710159 1074400146 /nfs/dbraw/zinc/40/01/46/1074400146.db2.gz UCORYKFODONQSO-QWHCGFSZSA-N 0 0 427.531 -0.519 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1CC1(C)COC1 ZINC001360710160 1074400154 /nfs/dbraw/zinc/40/01/54/1074400154.db2.gz UCORYKFODONQSO-STQMWFEESA-N 0 0 427.531 -0.519 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCOC[C@@H]1C ZINC001360710369 1074400101 /nfs/dbraw/zinc/40/01/01/1074400101.db2.gz WGRJXNTZSYFCMA-BYNSBNAKSA-N 0 0 441.558 -0.225 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCOC[C@H]1C ZINC001360710370 1074400120 /nfs/dbraw/zinc/40/01/20/1074400120.db2.gz WGRJXNTZSYFCMA-CBBWQLFWSA-N 0 0 441.558 -0.225 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@H]1CCOC[C@H]1C ZINC001360710371 1074400057 /nfs/dbraw/zinc/40/00/57/1074400057.db2.gz WGRJXNTZSYFCMA-LXTVHRRPSA-N 0 0 441.558 -0.225 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1C[C@@H]1CCOC[C@@H]1C ZINC001360710372 1074400217 /nfs/dbraw/zinc/40/02/17/1074400217.db2.gz WGRJXNTZSYFCMA-ZQDZILKHSA-N 0 0 441.558 -0.225 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1Cc1cccc(-n2cncn2)c1 ZINC001360710391 1074400657 /nfs/dbraw/zinc/40/06/57/1074400657.db2.gz WRCZGZIUBMQHOP-CYBMUJFWSA-N 0 0 445.509 -0.547 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1Cc1cccc(-n2cncn2)c1 ZINC001360710392 1074400651 /nfs/dbraw/zinc/40/06/51/1074400651.db2.gz WRCZGZIUBMQHOP-ZDUSSCGKSA-N 0 0 445.509 -0.547 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1Cc1cccc(C(=O)N(C)C)c1 ZINC001360710731 1074400647 /nfs/dbraw/zinc/40/06/47/1074400647.db2.gz YQDBLCWCQLJVPV-CYBMUJFWSA-N 0 0 449.537 -0.425 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1Cc1cccc(C(=O)N(C)C)c1 ZINC001360710732 1074400669 /nfs/dbraw/zinc/40/06/69/1074400669.db2.gz YQDBLCWCQLJVPV-ZDUSSCGKSA-N 0 0 449.537 -0.425 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC(F)(F)F ZINC001360710759 1074400631 /nfs/dbraw/zinc/40/06/31/1074400631.db2.gz YXSVYOZZOXFYDS-SECBINFHSA-N 0 0 434.466 -0.468 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CC(F)(F)F ZINC001360710760 1074400620 /nfs/dbraw/zinc/40/06/20/1074400620.db2.gz YXSVYOZZOXFYDS-VIFPVBQESA-N 0 0 434.466 -0.468 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CC(=O)NC1CCCCC1 ZINC001360710792 1074400619 /nfs/dbraw/zinc/40/06/19/1074400619.db2.gz ZAZIMBANHXABGS-GFCCVEGCSA-N 0 0 427.531 -0.727 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CC(=O)NC1CCCCC1 ZINC001360710793 1074400614 /nfs/dbraw/zinc/40/06/14/1074400614.db2.gz ZAZIMBANHXABGS-LBPRGKRZSA-N 0 0 427.531 -0.727 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1ccc2c(c1)OCO2 ZINC001360713330 1074400654 /nfs/dbraw/zinc/40/06/54/1074400654.db2.gz HPILDBQNJWBTDX-GFCCVEGCSA-N 0 0 436.494 -0.008 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1Cc1ccc2c(c1)OCO2 ZINC001360713331 1074400634 /nfs/dbraw/zinc/40/06/34/1074400634.db2.gz HPILDBQNJWBTDX-LBPRGKRZSA-N 0 0 436.494 -0.008 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1CCn1cncn1 ZINC001360713444 1074400630 /nfs/dbraw/zinc/40/06/30/1074400630.db2.gz HTLPBVJLUKSGGW-GHMZBOCLSA-N 0 0 438.436 -0.053 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1CCn1cncn1 ZINC001360713445 1074400661 /nfs/dbraw/zinc/40/06/61/1074400661.db2.gz HTLPBVJLUKSGGW-MNOVXSKESA-N 0 0 438.436 -0.053 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1CCn1cncn1 ZINC001360713446 1074400602 /nfs/dbraw/zinc/40/06/02/1074400602.db2.gz HTLPBVJLUKSGGW-QWRGUYRKSA-N 0 0 438.436 -0.053 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1CCn1cncn1 ZINC001360713447 1074400644 /nfs/dbraw/zinc/40/06/44/1074400644.db2.gz HTLPBVJLUKSGGW-WDEREUQCSA-N 0 0 438.436 -0.053 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1C[C@]1(O)CCOC1 ZINC001360713488 1074401235 /nfs/dbraw/zinc/40/12/35/1074401235.db2.gz IJWBFYVRQYXZPX-COPLHBTASA-N 0 0 443.448 -0.193 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1C[C@]1(O)CCOC1 ZINC001360713489 1074401280 /nfs/dbraw/zinc/40/12/80/1074401280.db2.gz IJWBFYVRQYXZPX-MISXGVKJSA-N 0 0 443.448 -0.193 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@H](C(F)(F)F)C2)n1C[C@@]1(O)CCOC1 ZINC001360713490 1074401226 /nfs/dbraw/zinc/40/12/26/1074401226.db2.gz IJWBFYVRQYXZPX-MJVIPROJSA-N 0 0 443.448 -0.193 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@@H](C(F)(F)F)C2)n1C[C@@]1(O)CCOC1 ZINC001360713491 1074401251 /nfs/dbraw/zinc/40/12/51/1074401251.db2.gz IJWBFYVRQYXZPX-WDMOLILDSA-N 0 0 443.448 -0.193 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCN1CCOCC1(C)C ZINC001360714190 1074401288 /nfs/dbraw/zinc/40/12/88/1074401288.db2.gz NQMUZMXTBTVWFV-AWEZNQCLSA-N 0 0 443.574 -0.675 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCN1CCOCC1(C)C ZINC001360714191 1074401263 /nfs/dbraw/zinc/40/12/63/1074401263.db2.gz NQMUZMXTBTVWFV-CQSZACIVSA-N 0 0 443.574 -0.675 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCC(=O)N1CCCCC1 ZINC001360714378 1074401197 /nfs/dbraw/zinc/40/11/97/1074401197.db2.gz OSNSEZLOCJSWPK-AWEZNQCLSA-N 0 0 441.558 -0.383 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)CC2)n1CCC(=O)N1CCCCC1 ZINC001360714379 1074401206 /nfs/dbraw/zinc/40/12/06/1074401206.db2.gz OSNSEZLOCJSWPK-CQSZACIVSA-N 0 0 441.558 -0.383 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCO[C@H]3C)C2)n1C[C@@]1(O)CCOC1 ZINC001360714501 1074401220 /nfs/dbraw/zinc/40/12/20/1074401220.db2.gz RNWOPTGFNFQOGL-DFEHZGFQSA-N 0 0 445.542 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCO[C@@H]3C)C2)n1C[C@@]1(O)CCOC1 ZINC001360714502 1074401275 /nfs/dbraw/zinc/40/12/75/1074401275.db2.gz RNWOPTGFNFQOGL-IHETXDGRSA-N 0 0 445.542 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCO[C@H]3C)C2)n1C[C@]1(O)CCOC1 ZINC001360714503 1074401213 /nfs/dbraw/zinc/40/12/13/1074401213.db2.gz RNWOPTGFNFQOGL-LBTBCDHLSA-N 0 0 445.542 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCO[C@]3(CCO[C@@H]3C)C2)n1C[C@]1(O)CCOC1 ZINC001360714504 1074401278 /nfs/dbraw/zinc/40/12/78/1074401278.db2.gz RNWOPTGFNFQOGL-MJSCVDMRSA-N 0 0 445.542 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCOCC(F)(F)C(F)F ZINC001360714618 1074401283 /nfs/dbraw/zinc/40/12/83/1074401283.db2.gz QYFBWSZSFFETBU-SECBINFHSA-N 0 0 446.427 -0.259 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCNC(=O)C2)n1CCOCC(F)(F)C(F)F ZINC001360714619 1074401305 /nfs/dbraw/zinc/40/13/05/1074401305.db2.gz QYFBWSZSFFETBU-VIFPVBQESA-N 0 0 446.427 -0.259 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC3(CCOCC3)C2)n1C[C@H]1CCC(=O)N1 ZINC001360715396 1074401301 /nfs/dbraw/zinc/40/13/01/1074401301.db2.gz VAFNTVUSOINWAZ-UONOGXRCSA-N 0 0 442.542 -0.447 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC3(CCOCC3)C2)n1C[C@H]1CCC(=O)N1 ZINC001360715397 1074401315 /nfs/dbraw/zinc/40/13/15/1074401315.db2.gz VAFNTVUSOINWAZ-ZIAGYGMSSA-N 0 0 442.542 -0.447 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCOC(C)(C)C2)n1CCCNS(C)(=O)=O ZINC001360715628 1074401558 /nfs/dbraw/zinc/40/15/58/1074401558.db2.gz WXNNZPAIRXCSHQ-GFCCVEGCSA-N 0 0 438.576 -0.557 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCOC(C)(C)C2)n1CCCNS(C)(=O)=O ZINC001360715629 1074401572 /nfs/dbraw/zinc/40/15/72/1074401572.db2.gz WXNNZPAIRXCSHQ-LBPRGKRZSA-N 0 0 438.576 -0.557 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1CCCN1CCOCC1 ZINC001360717848 1074401601 /nfs/dbraw/zinc/40/16/01/1074401601.db2.gz AFGJTCLJZQTCEZ-OAGGEKHMSA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H](C)[C@@H](O)C2)n1CCCN1CCOCC1 ZINC001360717849 1074401509 /nfs/dbraw/zinc/40/15/09/1074401509.db2.gz AFGJTCLJZQTCEZ-PMPSAXMXSA-N 0 0 430.575 -0.182 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1C[C@H]1COCCO1 ZINC001360718182 1074401590 /nfs/dbraw/zinc/40/15/90/1074401590.db2.gz HBLGQWXHSUMNLC-KBXIAJHMSA-N 0 0 442.542 -0.734 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1C[C@@H]1COCCO1 ZINC001360718183 1074401445 /nfs/dbraw/zinc/40/14/45/1074401445.db2.gz HBLGQWXHSUMNLC-TUVASFSCSA-N 0 0 442.542 -0.734 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1C[C@H]1COCCO1 ZINC001360718184 1074401470 /nfs/dbraw/zinc/40/14/70/1074401470.db2.gz HBLGQWXHSUMNLC-XGUBFFRZSA-N 0 0 442.542 -0.734 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1C[C@@H]1COCCO1 ZINC001360718185 1074401455 /nfs/dbraw/zinc/40/14/55/1074401455.db2.gz HBLGQWXHSUMNLC-YJNKXOJESA-N 0 0 442.542 -0.734 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CC(=O)NCC(F)(F)F ZINC001360718210 1074401432 /nfs/dbraw/zinc/40/14/32/1074401432.db2.gz HVMRBXZKXWHBNY-BBBLOLIVSA-N 0 0 442.420 -0.872 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CC(=O)NCC(F)(F)F ZINC001360718211 1074401464 /nfs/dbraw/zinc/40/14/64/1074401464.db2.gz HVMRBXZKXWHBNY-LPEHRKFASA-N 0 0 442.420 -0.872 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](F)C2)n1Cc1cn(C2COC2)nn1 ZINC001360718276 1074401617 /nfs/dbraw/zinc/40/16/17/1074401617.db2.gz JMUUBBDPYDUDFI-GYSYKLTISA-N 0 0 428.494 -0.106 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)[C@@H](F)C2)n1Cc1cn(C2COC2)nn1 ZINC001360718277 1074401500 /nfs/dbraw/zinc/40/15/00/1074401500.db2.gz JMUUBBDPYDUDFI-SUNKGSAMSA-N 0 0 428.494 -0.106 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C)C2)n1CCC(=O)N1CCOCC1 ZINC001360718310 1074401647 /nfs/dbraw/zinc/40/16/47/1074401647.db2.gz KFDBLDLZZKWRFK-QLFBSQMISA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C)C2)n1CCC(=O)N1CCOCC1 ZINC001360718311 1074401548 /nfs/dbraw/zinc/40/15/48/1074401548.db2.gz KFDBLDLZZKWRFK-ZNMIVQPWSA-N 0 0 444.558 -0.249 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1ncn(C)n1 ZINC001360718352 1074401579 /nfs/dbraw/zinc/40/15/79/1074401579.db2.gz LJQOGCXXHZRMHT-FRRDWIJNSA-N 0 0 437.530 -0.878 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1Cc1ncn(C)n1 ZINC001360718353 1074401533 /nfs/dbraw/zinc/40/15/33/1074401533.db2.gz LJQOGCXXHZRMHT-RWMBFGLXSA-N 0 0 437.530 -0.878 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001360718398 1074401598 /nfs/dbraw/zinc/40/15/98/1074401598.db2.gz LXAVLISRGRDLSZ-RWMBFGLXSA-N 0 0 449.555 -0.583 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001360718399 1074401543 /nfs/dbraw/zinc/40/15/43/1074401543.db2.gz LXAVLISRGRDLSZ-UPJWGTAASA-N 0 0 449.555 -0.583 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1Cc1ccc(N(C)C)nc1 ZINC001360718492 1074401477 /nfs/dbraw/zinc/40/14/77/1074401477.db2.gz NPCGDOASVIVFJI-AEGPPILISA-N 0 0 437.526 -0.041 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1Cc1ccc(N(C)C)nc1 ZINC001360718493 1074401627 /nfs/dbraw/zinc/40/16/27/1074401627.db2.gz NPCGDOASVIVFJI-YUELXQCFSA-N 0 0 437.526 -0.041 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@@](C)(O)c1ccco1 ZINC001360718548 1074402232 /nfs/dbraw/zinc/40/22/32/1074402232.db2.gz PBGAUNQKMBHACK-APNQJHFZSA-N 0 0 427.483 -0.050 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@](C)(O)c1ccco1 ZINC001360718549 1074402261 /nfs/dbraw/zinc/40/22/61/1074402261.db2.gz PBGAUNQKMBHACK-FJZAXULXSA-N 0 0 427.483 -0.050 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@](C)(O)c1ccco1 ZINC001360718550 1074402247 /nfs/dbraw/zinc/40/22/47/1074402247.db2.gz PBGAUNQKMBHACK-JRATXPSKSA-N 0 0 427.483 -0.050 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@H]3OCO[C@H]3C2)n1C[C@@](C)(O)c1ccco1 ZINC001360718551 1074402288 /nfs/dbraw/zinc/40/22/88/1074402288.db2.gz PBGAUNQKMBHACK-KOFHJDLBSA-N 0 0 427.483 -0.050 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1CC1(C)COC1 ZINC001360718688 1074402230 /nfs/dbraw/zinc/40/22/30/1074402230.db2.gz RUBHDZHXJHCCTF-MCIONIFRSA-N 0 0 426.543 -0.113 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1CC1(C)COC1 ZINC001360718689 1074402266 /nfs/dbraw/zinc/40/22/66/1074402266.db2.gz RUBHDZHXJHCCTF-MJBXVCDLSA-N 0 0 426.543 -0.113 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1Cc1cnnn1C ZINC001360718794 1074402244 /nfs/dbraw/zinc/40/22/44/1074402244.db2.gz UIDJHVYPDHCCRL-BZPMIXESSA-N 0 0 437.530 -0.973 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1Cc1cnnn1C ZINC001360718795 1074402298 /nfs/dbraw/zinc/40/22/98/1074402298.db2.gz UIDJHVYPDHCCRL-SCRDCRAPSA-N 0 0 437.530 -0.973 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1Cc1nncn1C ZINC001360718926 1074402269 /nfs/dbraw/zinc/40/22/69/1074402269.db2.gz XLYOKVBLBZFNKC-UPJWGTAASA-N 0 0 437.530 -0.973 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@H]3CCNC(=O)[C@H]3C2)n1Cc1nncn1C ZINC001360718927 1074402301 /nfs/dbraw/zinc/40/23/01/1074402301.db2.gz XLYOKVBLBZFNKC-XQQFMLRXSA-N 0 0 437.530 -0.973 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1C[C@H]1CCC(=O)N1 ZINC001360719014 1074402229 /nfs/dbraw/zinc/40/22/29/1074402229.db2.gz ZJDWUWMNSOLLHB-IGQOVBAYSA-N 0 0 439.542 -0.776 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1C[C@H]1CCC(=O)N1 ZINC001360719015 1074402241 /nfs/dbraw/zinc/40/22/41/1074402241.db2.gz ZJDWUWMNSOLLHB-XJFOESAGSA-N 0 0 439.542 -0.776 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CCC(=O)N(C)C ZINC001360719038 1074402231 /nfs/dbraw/zinc/40/22/31/1074402231.db2.gz ZVUWYDVIYLQEPE-HZSPNIEDSA-N 0 0 441.558 -0.576 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CC[C@@H]3[C@@H](CC(=O)N3C)C2)n1CCC(=O)N(C)C ZINC001360719039 1074402250 /nfs/dbraw/zinc/40/22/50/1074402250.db2.gz ZVUWYDVIYLQEPE-MELADBBJSA-N 0 0 441.558 -0.576 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCSCC2)n1CC1(C(N)=O)CCOCC1 ZINC001360719130 1074402293 /nfs/dbraw/zinc/40/22/93/1074402293.db2.gz AWDSCUDHEMHFTF-GFCCVEGCSA-N 0 0 432.572 -0.276 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCSCC2)n1CC1(C(N)=O)CCOCC1 ZINC001360719131 1074402227 /nfs/dbraw/zinc/40/22/27/1074402227.db2.gz AWDSCUDHEMHFTF-LBPRGKRZSA-N 0 0 432.572 -0.276 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCC1CCOCC1 ZINC001360719504 1074402685 /nfs/dbraw/zinc/40/26/85/1074402685.db2.gz HRROONLTTJABGB-CYBMUJFWSA-N 0 0 435.572 -0.060 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCC1CCOCC1 ZINC001360719505 1074402756 /nfs/dbraw/zinc/40/27/56/1074402756.db2.gz HRROONLTTJABGB-ZDUSSCGKSA-N 0 0 435.572 -0.060 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CC(=O)NC1CCCCC1 ZINC001360719544 1074402605 /nfs/dbraw/zinc/40/26/05/1074402605.db2.gz IGNSHNYBWXHIDZ-CYBMUJFWSA-N 0 0 446.599 -0.094 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CC(=O)NC1CCCCC1 ZINC001360719545 1074402628 /nfs/dbraw/zinc/40/26/28/1074402628.db2.gz IGNSHNYBWXHIDZ-ZDUSSCGKSA-N 0 0 446.599 -0.094 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCNC(=O)c1ccco1 ZINC001360720395 1074402618 /nfs/dbraw/zinc/40/26/18/1074402618.db2.gz QNANLLLOCJOMDL-GFCCVEGCSA-N 0 0 444.539 -0.520 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)CC2)n1CCNC(=O)c1ccco1 ZINC001360720396 1074402774 /nfs/dbraw/zinc/40/27/74/1074402774.db2.gz QNANLLLOCJOMDL-LBPRGKRZSA-N 0 0 444.539 -0.520 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCSCC2)n1CCOCCS(C)(=O)=O ZINC001360720581 1074402657 /nfs/dbraw/zinc/40/26/57/1074402657.db2.gz SXDUWPMYKLAUTM-GFCCVEGCSA-N 0 0 441.601 -0.497 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCSCC2)n1CCOCCS(C)(=O)=O ZINC001360720582 1074402734 /nfs/dbraw/zinc/40/27/34/1074402734.db2.gz SXDUWPMYKLAUTM-LBPRGKRZSA-N 0 0 441.601 -0.497 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCc1ccccn1 ZINC001360720875 1074402689 /nfs/dbraw/zinc/40/26/89/1074402689.db2.gz WRXICSVKIPJASS-CYBMUJFWSA-N 0 0 428.540 -0.239 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCc1ccccn1 ZINC001360720876 1074402611 /nfs/dbraw/zinc/40/26/11/1074402611.db2.gz WRXICSVKIPJASS-ZDUSSCGKSA-N 0 0 428.540 -0.239 20 0 IBADRN C[C@](O)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1)c1ccco1 ZINC001360728327 1074402634 /nfs/dbraw/zinc/40/26/34/1074402634.db2.gz KNONZQDUHKUVGS-ACJLOTCBSA-N 0 0 438.510 -0.189 20 0 IBADRN C[C@@](O)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1)c1ccco1 ZINC001360728328 1074402717 /nfs/dbraw/zinc/40/27/17/1074402717.db2.gz KNONZQDUHKUVGS-FZKQIMNGSA-N 0 0 438.510 -0.189 20 0 IBADRN C[C@@](O)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1)c1ccco1 ZINC001360728329 1074403263 /nfs/dbraw/zinc/40/32/63/1074403263.db2.gz KNONZQDUHKUVGS-SCLBCKFNSA-N 0 0 438.510 -0.189 20 0 IBADRN C[C@](O)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1)c1ccco1 ZINC001360728330 1074403261 /nfs/dbraw/zinc/40/32/61/1074403261.db2.gz KNONZQDUHKUVGS-UGSOOPFHSA-N 0 0 438.510 -0.189 20 0 IBADRN O=C(NCc1ccccc1)[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001360770604 1074403267 /nfs/dbraw/zinc/40/32/67/1074403267.db2.gz GUAJXBFEFJNDLS-MSOLQXFVSA-N 0 0 429.498 -0.057 20 0 IBADRN O=C(NCc1ccccc1)[C@H](Cc1ccccc1)NC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001360770609 1074403253 /nfs/dbraw/zinc/40/32/53/1074403253.db2.gz GUAJXBFEFJNDLS-ROUUACIJSA-N 0 0 429.498 -0.057 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1=O ZINC001360785653 1074403256 /nfs/dbraw/zinc/40/32/56/1074403256.db2.gz ABSMSOFJLPNWHY-INIZCTEOSA-N 0 0 445.538 -0.513 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C1=O ZINC001360785654 1074403258 /nfs/dbraw/zinc/40/32/58/1074403258.db2.gz ABSMSOFJLPNWHY-MRXNPFEDSA-N 0 0 445.538 -0.513 20 0 IBADRN Cc1ccc(O[C@H](C)C(=O)N2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)c(C)c1 ZINC001360785866 1074403251 /nfs/dbraw/zinc/40/32/51/1074403251.db2.gz HAIWYUSLBHIYSN-CVEARBPZSA-N 0 0 437.518 -0.345 20 0 IBADRN Cc1ccc(O[C@@H](C)C(=O)N2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)c(C)c1 ZINC001360785867 1074403236 /nfs/dbraw/zinc/40/32/36/1074403236.db2.gz HAIWYUSLBHIYSN-HOTGVXAUSA-N 0 0 437.518 -0.345 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@H]2COCCO2)C1 ZINC001360796809 1074403273 /nfs/dbraw/zinc/40/32/73/1074403273.db2.gz DRBBGXQQPZSOMK-DEYYWGMASA-N 0 0 427.527 -0.313 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@H]2COCCO2)C1 ZINC001360796810 1074403264 /nfs/dbraw/zinc/40/32/64/1074403264.db2.gz DRBBGXQQPZSOMK-GLJUWKHASA-N 0 0 427.527 -0.313 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@@H]2COCCO2)C1 ZINC001360796811 1074403270 /nfs/dbraw/zinc/40/32/70/1074403270.db2.gz DRBBGXQQPZSOMK-IYOUNJFTSA-N 0 0 427.527 -0.313 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@@H]2COCCO2)C1 ZINC001360796812 1074403262 /nfs/dbraw/zinc/40/32/62/1074403262.db2.gz DRBBGXQQPZSOMK-LBTNJELSSA-N 0 0 427.527 -0.313 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)C1 ZINC001360797525 1074403259 /nfs/dbraw/zinc/40/32/59/1074403259.db2.gz RQOJHNNPPQNGSI-HBUWYVDXSA-N 0 0 426.543 -0.092 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)C1 ZINC001360797526 1074403255 /nfs/dbraw/zinc/40/32/55/1074403255.db2.gz RQOJHNNPPQNGSI-IYOUNJFTSA-N 0 0 426.543 -0.092 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)C1 ZINC001360797527 1074403271 /nfs/dbraw/zinc/40/32/71/1074403271.db2.gz RQOJHNNPPQNGSI-LBTNJELSSA-N 0 0 426.543 -0.092 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)C1 ZINC001360797528 1074403265 /nfs/dbraw/zinc/40/32/65/1074403265.db2.gz RQOJHNNPPQNGSI-PMUMKWKESA-N 0 0 426.543 -0.092 20 0 IBADRN C[C@]1(C(N)=O)CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)(F)F)C1 ZINC001360797545 1074403241 /nfs/dbraw/zinc/40/32/41/1074403241.db2.gz RXWXKSZNROMFCW-INIZCTEOSA-N 0 0 431.419 -0.219 20 0 IBADRN C[C@@]1(C(N)=O)CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)(F)F)C1 ZINC001360797546 1074403266 /nfs/dbraw/zinc/40/32/66/1074403266.db2.gz RXWXKSZNROMFCW-MRXNPFEDSA-N 0 0 431.419 -0.219 20 0 IBADRN CC1(C)C[C@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001360802625 1074403268 /nfs/dbraw/zinc/40/32/68/1074403268.db2.gz KHLSLFOYDKNWSM-KBPBESRZSA-N 0 0 426.543 -0.017 20 0 IBADRN CC1(C)C[C@@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001360802626 1074403227 /nfs/dbraw/zinc/40/32/27/1074403227.db2.gz KHLSLFOYDKNWSM-KGLIPLIRSA-N 0 0 426.543 -0.017 20 0 IBADRN CC1(C)C[C@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001360802627 1074403506 /nfs/dbraw/zinc/40/35/06/1074403506.db2.gz KHLSLFOYDKNWSM-UONOGXRCSA-N 0 0 426.543 -0.017 20 0 IBADRN CC1(C)C[C@@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001360802628 1074403474 /nfs/dbraw/zinc/40/34/74/1074403474.db2.gz KHLSLFOYDKNWSM-ZIAGYGMSSA-N 0 0 426.543 -0.017 20 0 IBADRN CC1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOCCCO)CCO1 ZINC001360824792 1074403384 /nfs/dbraw/zinc/40/33/84/1074403384.db2.gz QMWFDVXXTZSXDI-HNNXBMFYSA-N 0 0 447.558 -0.375 20 0 IBADRN CC1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOCCCO)CCO1 ZINC001360824793 1074403326 /nfs/dbraw/zinc/40/33/26/1074403326.db2.gz QMWFDVXXTZSXDI-OAHLLOKOSA-N 0 0 447.558 -0.375 20 0 IBADRN CC1(C)CN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001360827694 1074403439 /nfs/dbraw/zinc/40/34/39/1074403439.db2.gz UEDCQWDVCQMKIT-GOSISDBHSA-N 0 0 427.527 -0.435 20 0 IBADRN CC1(C)CN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001360827695 1074403411 /nfs/dbraw/zinc/40/34/11/1074403411.db2.gz UEDCQWDVCQMKIT-SFHVURJKSA-N 0 0 427.527 -0.435 20 0 IBADRN CC1(C)COCCN1CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCS(=O)(=O)CC1 ZINC001360830399 1074403486 /nfs/dbraw/zinc/40/34/86/1074403486.db2.gz NWQISRQPKPGTLA-AWEZNQCLSA-N 0 0 426.543 -0.425 20 0 IBADRN CC1(C)COCCN1CCn1c([C@H]2CCC(=O)N2)nnc1N1CCS(=O)(=O)CC1 ZINC001360830400 1074403520 /nfs/dbraw/zinc/40/35/20/1074403520.db2.gz NWQISRQPKPGTLA-CQSZACIVSA-N 0 0 426.543 -0.425 20 0 IBADRN C[C@@]1(C2CCN(c3nnc(C4CC4)n3CCNS(C)(=O)=O)CC2)NC(=O)NC1=O ZINC001360832246 1074403539 /nfs/dbraw/zinc/40/35/39/1074403539.db2.gz IDUQOKZGNUNLNT-KRWDZBQOSA-N 0 0 425.515 -0.481 20 0 IBADRN C[C@]1(C2CCN(c3nnc(C4CC4)n3CCNS(C)(=O)=O)CC2)NC(=O)NC1=O ZINC001360832247 1074403452 /nfs/dbraw/zinc/40/34/52/1074403452.db2.gz IDUQOKZGNUNLNT-QGZVFWFLSA-N 0 0 425.515 -0.481 20 0 IBADRN C[C@]1(C2CCN(c3nnc([C@H]4CCOC4)n3CCS(C)(=O)=O)CC2)NC(=O)NC1=O ZINC001360832872 1074403400 /nfs/dbraw/zinc/40/34/00/1074403400.db2.gz OWEPVFHAWRPFFX-KPZWWZAWSA-N 0 0 440.526 -0.359 20 0 IBADRN C[C@]1(C2CCN(c3nnc([C@@H]4CCOC4)n3CCS(C)(=O)=O)CC2)NC(=O)NC1=O ZINC001360832873 1074403431 /nfs/dbraw/zinc/40/34/31/1074403431.db2.gz OWEPVFHAWRPFFX-KZULUSFZSA-N 0 0 440.526 -0.359 20 0 IBADRN C[C@@]1(C2CCN(c3nnc([C@H]4CCOC4)n3CCS(C)(=O)=O)CC2)NC(=O)NC1=O ZINC001360832874 1074403304 /nfs/dbraw/zinc/40/33/04/1074403304.db2.gz OWEPVFHAWRPFFX-SGTLLEGYSA-N 0 0 440.526 -0.359 20 0 IBADRN C[C@@]1(C2CCN(c3nnc([C@@H]4CCOC4)n3CCS(C)(=O)=O)CC2)NC(=O)NC1=O ZINC001360832875 1074403365 /nfs/dbraw/zinc/40/33/65/1074403365.db2.gz OWEPVFHAWRPFFX-XIKOKIGWSA-N 0 0 440.526 -0.359 20 0 IBADRN C[C@]1(C2CCN(c3nnc(C4CCC4)n3CCNS(C)(=O)=O)CC2)NC(=O)NC1=O ZINC001360832879 1074403355 /nfs/dbraw/zinc/40/33/55/1074403355.db2.gz OZFGFZUCUNZYHC-GOSISDBHSA-N 0 0 439.542 -0.091 20 0 IBADRN C[C@@]1(C2CCN(c3nnc(C4CCC4)n3CCNS(C)(=O)=O)CC2)NC(=O)NC1=O ZINC001360832880 1074403529 /nfs/dbraw/zinc/40/35/29/1074403529.db2.gz OZFGFZUCUNZYHC-SFHVURJKSA-N 0 0 439.542 -0.091 20 0 IBADRN C[C@@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CC[C@H](S(C)(=O)=O)C2)COCCO1 ZINC001360832947 1074403375 /nfs/dbraw/zinc/40/33/75/1074403375.db2.gz FICJUUGPLYUTTG-KBXCAEBGSA-N 0 0 427.527 -0.057 20 0 IBADRN C[C@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)COCCO1 ZINC001360832948 1074403345 /nfs/dbraw/zinc/40/33/45/1074403345.db2.gz FICJUUGPLYUTTG-KDOFPFPSSA-N 0 0 427.527 -0.057 20 0 IBADRN C[C@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CC[C@H](S(C)(=O)=O)C2)COCCO1 ZINC001360832949 1074403315 /nfs/dbraw/zinc/40/33/15/1074403315.db2.gz FICJUUGPLYUTTG-KSSFIOAISA-N 0 0 427.527 -0.057 20 0 IBADRN C[C@@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)COCCO1 ZINC001360832950 1074403467 /nfs/dbraw/zinc/40/34/67/1074403467.db2.gz FICJUUGPLYUTTG-RDTXWAMCSA-N 0 0 427.527 -0.057 20 0 IBADRN C[C@@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CCN(S(C)(=O)=O)CC2)COCCO1 ZINC001360832991 1074404065 /nfs/dbraw/zinc/40/40/65/1074404065.db2.gz GYMYILMHDHNTSF-GOSISDBHSA-N 0 0 442.542 -0.599 20 0 IBADRN C[C@]1(Cn2c(C(=O)N3CCCC3)nnc2N2CCN(S(C)(=O)=O)CC2)COCCO1 ZINC001360832992 1074404026 /nfs/dbraw/zinc/40/40/26/1074404026.db2.gz GYMYILMHDHNTSF-SFHVURJKSA-N 0 0 442.542 -0.599 20 0 IBADRN C[C@]1(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)COCCO1 ZINC001360838145 1074404001 /nfs/dbraw/zinc/40/40/01/1074404001.db2.gz FRWQHOIGJUFFQH-CPUCHLNUSA-N 0 0 448.567 -0.391 20 0 IBADRN C[C@]1(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@H](S(C)(=O)=O)C2)COCCO1 ZINC001360838154 1074404015 /nfs/dbraw/zinc/40/40/15/1074404015.db2.gz FRWQHOIGJUFFQH-KEYYUXOJSA-N 0 0 448.567 -0.391 20 0 IBADRN C[C@]1(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)COCCO1 ZINC001360838156 1074404058 /nfs/dbraw/zinc/40/40/58/1074404058.db2.gz FRWQHOIGJUFFQH-VBQJREDUSA-N 0 0 448.567 -0.391 20 0 IBADRN C[C@]1(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@H](S(C)(=O)=O)C2)COCCO1 ZINC001360838158 1074404072 /nfs/dbraw/zinc/40/40/72/1074404072.db2.gz FRWQHOIGJUFFQH-ZQIUZPCESA-N 0 0 448.567 -0.391 20 0 IBADRN C[C@@]1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)COCCO1 ZINC001360839269 1074404004 /nfs/dbraw/zinc/40/40/04/1074404004.db2.gz QUDVBEWASRDJRH-KBXCAEBGSA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@]1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)COCCO1 ZINC001360839270 1074404029 /nfs/dbraw/zinc/40/40/29/1074404029.db2.gz QUDVBEWASRDJRH-KDOFPFPSSA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@]1(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)COCCO1 ZINC001360839271 1074404047 /nfs/dbraw/zinc/40/40/47/1074404047.db2.gz QUDVBEWASRDJRH-KSSFIOAISA-N 0 0 442.542 -0.494 20 0 IBADRN C[C@@]1(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCCN(S(C)(=O)=O)CC2)COCCO1 ZINC001360839272 1074404069 /nfs/dbraw/zinc/40/40/69/1074404069.db2.gz QUDVBEWASRDJRH-RDTXWAMCSA-N 0 0 442.542 -0.494 20 0 IBADRN CC1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCC[C@H](C(N)=O)C2)COC1 ZINC001360841658 1074404051 /nfs/dbraw/zinc/40/40/51/1074404051.db2.gz JIYZVZKZNIYYPK-KBPBESRZSA-N 0 0 442.542 -0.651 20 0 IBADRN CC1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCC[C@H](C(N)=O)C2)COC1 ZINC001360841660 1074404016 /nfs/dbraw/zinc/40/40/16/1074404016.db2.gz JIYZVZKZNIYYPK-UONOGXRCSA-N 0 0 442.542 -0.651 20 0 IBADRN CC1(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001360842253 1074404053 /nfs/dbraw/zinc/40/40/53/1074404053.db2.gz RRNSABIQXVSZFT-CYBMUJFWSA-N 0 0 433.556 -0.354 20 0 IBADRN CC1(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCS(=O)(=O)CC2)CC1 ZINC001360842254 1074404007 /nfs/dbraw/zinc/40/40/07/1074404007.db2.gz RRNSABIQXVSZFT-ZDUSSCGKSA-N 0 0 433.556 -0.354 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)Cc2ccccc2)CC1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001360846867 1074404036 /nfs/dbraw/zinc/40/40/36/1074404036.db2.gz UZTPQSYJNJOJAT-HNNXBMFYSA-N 0 0 429.520 -0.990 20 0 IBADRN C[C@]12COC(=O)N1CCN(c1nnc([C@H]3CCC(=O)N3)n1CCCS(C)(=O)=O)C2 ZINC001360848963 1074404020 /nfs/dbraw/zinc/40/40/20/1074404020.db2.gz KFTUAFSKAZCTFK-PXAZEXFGSA-N 0 0 426.499 -0.305 20 0 IBADRN C[C@]12COC(=O)N1CCN(c1nnc([C@@H]3CCC(=O)N3)n1CCCS(C)(=O)=O)C2 ZINC001360848964 1074404456 /nfs/dbraw/zinc/40/44/56/1074404456.db2.gz KFTUAFSKAZCTFK-SJCJKPOMSA-N 0 0 426.499 -0.305 20 0 IBADRN C[C@@]12COC(=O)N1CCN(c1nnc([C@H]3CCC(=O)N3)n1CCCS(C)(=O)=O)C2 ZINC001360848965 1074404356 /nfs/dbraw/zinc/40/43/56/1074404356.db2.gz KFTUAFSKAZCTFK-SJKOYZFVSA-N 0 0 426.499 -0.305 20 0 IBADRN C[C@@]12COC(=O)N1CCN(c1nnc([C@@H]3CCC(=O)N3)n1CCCS(C)(=O)=O)C2 ZINC001360848966 1074404465 /nfs/dbraw/zinc/40/44/65/1074404465.db2.gz KFTUAFSKAZCTFK-YVEFUNNKSA-N 0 0 426.499 -0.305 20 0 IBADRN C[C@@]12COC(=O)N1CCN(c1nnc([C@H]3CCC(=O)N3)n1CC(=O)NCC(F)F)C2 ZINC001360849775 1074404475 /nfs/dbraw/zinc/40/44/75/1074404475.db2.gz YGOOENDXHUCDAY-BMLIUANNSA-N 0 0 427.412 -0.359 20 0 IBADRN C[C@]12COC(=O)N1CCN(c1nnc([C@@H]3CCC(=O)N3)n1CC(=O)NCC(F)F)C2 ZINC001360849777 1074404331 /nfs/dbraw/zinc/40/43/31/1074404331.db2.gz YGOOENDXHUCDAY-BTDLBPIBSA-N 0 0 427.412 -0.359 20 0 IBADRN C[C@@]12COC(=O)N1CCN(c1nnc([C@@H]3CCC(=O)N3)n1CC(=O)NCC(F)F)C2 ZINC001360849779 1074404490 /nfs/dbraw/zinc/40/44/90/1074404490.db2.gz YGOOENDXHUCDAY-DYZYQPBXSA-N 0 0 427.412 -0.359 20 0 IBADRN C[C@]12COC(=O)N1CCN(c1nnc([C@H]3CCC(=O)N3)n1CC(=O)NCC(F)F)C2 ZINC001360849780 1074404419 /nfs/dbraw/zinc/40/44/19/1074404419.db2.gz YGOOENDXHUCDAY-QGHHPUGFSA-N 0 0 427.412 -0.359 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)c1cc(I)nn1C ZINC001360860304 1074404365 /nfs/dbraw/zinc/40/43/65/1074404365.db2.gz IWJRNUMUOLWSLW-UHFFFAOYSA-N 0 0 436.250 -0.028 20 0 IBADRN Cc1c(CN(C)c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)cnn1C ZINC001360931610 1074404388 /nfs/dbraw/zinc/40/43/88/1074404388.db2.gz WHNRKXJDLUZVSM-IUODEOHRSA-N 0 0 427.531 -0.018 20 0 IBADRN Cc1c(CN(C)c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)cnn1C ZINC001360931611 1074404317 /nfs/dbraw/zinc/40/43/17/1074404317.db2.gz WHNRKXJDLUZVSM-SWLSCSKDSA-N 0 0 427.531 -0.018 20 0 IBADRN Cc1c(CN(C)c2nnc([C@@H]3CCC(=O)N3)n2CCC[C@@H]2NC(=O)NC2=O)cnn1C ZINC001360939936 1074404432 /nfs/dbraw/zinc/40/44/32/1074404432.db2.gz FZLKXCKGYIWCDX-KBPBESRZSA-N 0 0 429.485 -0.104 20 0 IBADRN Cc1c(CN(C)c2nnc([C@H]3CCC(=O)N3)n2CCC[C@@H]2NC(=O)NC2=O)cnn1C ZINC001360939937 1074404442 /nfs/dbraw/zinc/40/44/42/1074404442.db2.gz FZLKXCKGYIWCDX-KGLIPLIRSA-N 0 0 429.485 -0.104 20 0 IBADRN Cc1nnsc1Cn1c(C(=O)N2CCCC2)nnc1N(C)CCNS(C)(=O)=O ZINC001360950271 1074404400 /nfs/dbraw/zinc/40/44/00/1074404400.db2.gz KIHJEKDLGSGWTK-UHFFFAOYSA-N 0 0 428.544 -0.292 20 0 IBADRN Cc1nnsc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001360952511 1074404500 /nfs/dbraw/zinc/40/45/00/1074404500.db2.gz HFMYHLRHIWRLSA-GXSJLCMTSA-N 0 0 428.544 -0.237 20 0 IBADRN Cc1nnsc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001360952512 1074404485 /nfs/dbraw/zinc/40/44/85/1074404485.db2.gz HFMYHLRHIWRLSA-KOLCDFICSA-N 0 0 428.544 -0.237 20 0 IBADRN Cc1nnsc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001360952513 1074404508 /nfs/dbraw/zinc/40/45/08/1074404508.db2.gz HFMYHLRHIWRLSA-MWLCHTKSSA-N 0 0 428.544 -0.237 20 0 IBADRN Cc1nnsc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001360952514 1074404378 /nfs/dbraw/zinc/40/43/78/1074404378.db2.gz HFMYHLRHIWRLSA-ONGXEEELSA-N 0 0 428.544 -0.237 20 0 IBADRN Cc1c(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)cnn1C ZINC001360952654 1074404340 /nfs/dbraw/zinc/40/43/40/1074404340.db2.gz KQCCKJWFMVAKRL-BXUZGUMPSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1c(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)[C@H]2CCS(=O)(=O)C2)cnn1C ZINC001360952655 1074404447 /nfs/dbraw/zinc/40/44/47/1074404447.db2.gz KQCCKJWFMVAKRL-FZMZJTMJSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1c(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)[C@H]2CCS(=O)(=O)C2)cnn1C ZINC001360952656 1074404350 /nfs/dbraw/zinc/40/43/50/1074404350.db2.gz KQCCKJWFMVAKRL-RISCZKNCSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1c(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)cnn1C ZINC001360952657 1074405037 /nfs/dbraw/zinc/40/50/37/1074405037.db2.gz KQCCKJWFMVAKRL-SMDDNHRTSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1c(Cn2c(C(C)C)nnc2N2CCN(C(=O)C(=O)N3CCOCC3)CC2)cnn1C ZINC001360952902 1074405011 /nfs/dbraw/zinc/40/50/11/1074405011.db2.gz NQYKJWBIHFLCQZ-UHFFFAOYSA-N 0 0 444.540 -0.001 20 0 IBADRN Cc1c(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)(=O)CC2)cnn1C ZINC001360956369 1074405095 /nfs/dbraw/zinc/40/50/95/1074405095.db2.gz KTILNZAGJOTFAS-LLVKDONJSA-N 0 0 431.544 -0.787 20 0 IBADRN Cc1c(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)(=O)CC2)cnn1C ZINC001360956370 1074404992 /nfs/dbraw/zinc/40/49/92/1074404992.db2.gz KTILNZAGJOTFAS-NSHDSACASA-N 0 0 431.544 -0.787 20 0 IBADRN Cc1nnsc1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001360966613 1074405027 /nfs/dbraw/zinc/40/50/27/1074405027.db2.gz YLFYAETUSFBYQL-CYBMUJFWSA-N 0 0 433.542 -0.352 20 0 IBADRN Cc1nnsc1Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001360966614 1074405034 /nfs/dbraw/zinc/40/50/34/1074405034.db2.gz YLFYAETUSFBYQL-ZDUSSCGKSA-N 0 0 433.542 -0.352 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)C3CCN(S(C)(=O)=O)CC3)CC2)cn1 ZINC001360970846 1074405083 /nfs/dbraw/zinc/40/50/83/1074405083.db2.gz RTQCXOYFMPPFNZ-UHFFFAOYSA-N 0 0 433.556 -0.639 20 0 IBADRN Cc1ccoc1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001360970878 1074405007 /nfs/dbraw/zinc/40/50/07/1074405007.db2.gz NFSRATGAYSQFCT-HNNXBMFYSA-N 0 0 438.510 -0.161 20 0 IBADRN Cc1ccoc1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001360970879 1074405023 /nfs/dbraw/zinc/40/50/23/1074405023.db2.gz NFSRATGAYSQFCT-OAHLLOKOSA-N 0 0 438.510 -0.161 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CN3CCCC4(CC4)S3(=O)=O)CC2)cn1 ZINC001360970911 1074404997 /nfs/dbraw/zinc/40/49/97/1074404997.db2.gz SECJWYHWXSKEON-UHFFFAOYSA-N 0 0 445.567 -0.352 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)c3ncccc3S(C)(=O)=O)CC2)cn1 ZINC001360971197 1074405044 /nfs/dbraw/zinc/40/50/44/1074405044.db2.gz YPPCQVVKXFDUCA-UHFFFAOYSA-N 0 0 427.508 -0.198 20 0 IBADRN Cc1c(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)cnn1C ZINC001360971687 1074405125 /nfs/dbraw/zinc/40/51/25/1074405125.db2.gz WKJCURVMWUFPBF-DZGCQCFKSA-N 0 0 442.567 -0.106 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@H](S(C)(=O)=O)C2)cnn1C ZINC001360971688 1074405104 /nfs/dbraw/zinc/40/51/04/1074405104.db2.gz WKJCURVMWUFPBF-HIFRSBDPSA-N 0 0 442.567 -0.106 20 0 IBADRN Cc1c(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC[C@@H](S(C)(=O)=O)C2)cnn1C ZINC001360971690 1074405074 /nfs/dbraw/zinc/40/50/74/1074405074.db2.gz WKJCURVMWUFPBF-UKRRQHHQSA-N 0 0 442.567 -0.106 20 0 IBADRN Cc1c(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC[C@H](S(C)(=O)=O)C2)cnn1C ZINC001360971692 1074405016 /nfs/dbraw/zinc/40/50/16/1074405016.db2.gz WKJCURVMWUFPBF-ZFWWWQNUSA-N 0 0 442.567 -0.106 20 0 IBADRN Cc1c(Cn2c(CN3CCCC3=O)nnc2N2CCN(CC(=O)N(C)C)CC2)cnn1C ZINC001360976289 1074404988 /nfs/dbraw/zinc/40/49/88/1074404988.db2.gz FZEAGRMNIIHTDY-UHFFFAOYSA-N 0 0 443.556 -0.299 20 0 IBADRN Cc1c(Cn2c(CN3CCCC3=O)nnc2N(C)CC(=O)N2CCOCC2)cnn1C ZINC001360976306 1074405112 /nfs/dbraw/zinc/40/51/12/1074405112.db2.gz GNUQULGBLFFXOR-UHFFFAOYSA-N 0 0 430.513 -0.214 20 0 IBADRN Cc1nnsc1Cn1c(CN2CCCC2=O)nnc1N(C)CCNS(C)(=O)=O ZINC001360976643 1074405048 /nfs/dbraw/zinc/40/50/48/1074405048.db2.gz NUXCENBIPSMEQE-UHFFFAOYSA-N 0 0 428.544 -0.406 20 0 IBADRN Cc1nnsc1Cn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001360976738 1074405003 /nfs/dbraw/zinc/40/50/03/1074405003.db2.gz QSBKEDBFBJAJSM-UHFFFAOYSA-N 0 0 440.555 -0.310 20 0 IBADRN COc1cc(CN2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc(OC)c1OC ZINC001361015909 1074405055 /nfs/dbraw/zinc/40/50/55/1074405055.db2.gz QRNGINLCFMLDOH-AWEZNQCLSA-N 0 0 441.506 -0.730 20 0 IBADRN CN1CCn2nc(C(=O)N[C@H]3CC(=O)N(CCOc4ccccc4)C3)cc2S1(=O)=O ZINC001361019880 1074405891 /nfs/dbraw/zinc/40/58/91/1074405891.db2.gz KFIJARCIBFRTMD-AWEZNQCLSA-N 0 0 433.490 -0.073 20 0 IBADRN CN1CCn2nc(C(=O)N[C@@H]3CC(=O)N(CCOc4ccccc4)C3)cc2S1(=O)=O ZINC001361019881 1074405799 /nfs/dbraw/zinc/40/57/99/1074405799.db2.gz KFIJARCIBFRTMD-CQSZACIVSA-N 0 0 433.490 -0.073 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(C(=O)C(=O)NC(C)C)CC2)no1 ZINC001361074902 1074405809 /nfs/dbraw/zinc/40/58/09/1074405809.db2.gz SGDXGJDZCHGLQV-HNNXBMFYSA-N 0 0 444.496 -0.253 20 0 IBADRN Cc1cc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(C(=O)C(=O)NC(C)C)CC2)no1 ZINC001361074903 1074405894 /nfs/dbraw/zinc/40/58/94/1074405894.db2.gz SGDXGJDZCHGLQV-OAHLLOKOSA-N 0 0 444.496 -0.253 20 0 IBADRN Cc1ccc(-c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(O)CCS(=O)(=O)C2)o1 ZINC001361190192 1074405759 /nfs/dbraw/zinc/40/57/59/1074405759.db2.gz FMOMFGGOOZOURV-INIZCTEOSA-N 0 0 432.524 -0.123 20 0 IBADRN Cc1ccc(-c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(O)CCS(=O)(=O)C2)o1 ZINC001361190203 1074405899 /nfs/dbraw/zinc/40/58/99/1074405899.db2.gz FMOMFGGOOZOURV-MRXNPFEDSA-N 0 0 432.524 -0.123 20 0 IBADRN Cc1ccc(-c2nnc(N3CCN(S(C)(=O)=O)[C@@H](C)C3)n2CCNS(C)(=O)=O)o1 ZINC001361225699 1074405863 /nfs/dbraw/zinc/40/58/63/1074405863.db2.gz JUSXJTMRNOJMRN-LBPRGKRZSA-N 0 0 446.555 -0.134 20 0 IBADRN Cc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCCS(C)(=O)=O)o1 ZINC001361225882 1074405858 /nfs/dbraw/zinc/40/58/58/1074405858.db2.gz PLRCJXMQFWNJKF-CYBMUJFWSA-N 0 0 436.494 -0.572 20 0 IBADRN Cc1ccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCCS(C)(=O)=O)o1 ZINC001361225883 1074405771 /nfs/dbraw/zinc/40/57/71/1074405771.db2.gz PLRCJXMQFWNJKF-ZDUSSCGKSA-N 0 0 436.494 -0.572 20 0 IBADRN Cc1ccc(Cn2c(C(=O)NC(C)C)nnc2N(C)CC(=O)N2CCOCC2)c(=O)n1C ZINC001361262031 1074405790 /nfs/dbraw/zinc/40/57/90/1074405790.db2.gz OVPXODGJCPRRNI-UHFFFAOYSA-N 0 0 445.524 -0.233 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCC[C@@H](C(N)=O)C2)c(=O)n1C ZINC001361285354 1074405786 /nfs/dbraw/zinc/40/57/86/1074405786.db2.gz WXNXIZSFXHLFAZ-GDBMZVCRSA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCC[C@H](C(N)=O)C2)c(=O)n1C ZINC001361285355 1074405854 /nfs/dbraw/zinc/40/58/54/1074405854.db2.gz WXNXIZSFXHLFAZ-GOEBONIOSA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCC[C@H](C(N)=O)C2)c(=O)n1C ZINC001361285356 1074405813 /nfs/dbraw/zinc/40/58/13/1074405813.db2.gz WXNXIZSFXHLFAZ-HOCLYGCPSA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCC[C@@H](C(N)=O)C2)c(=O)n1C ZINC001361285357 1074405828 /nfs/dbraw/zinc/40/58/28/1074405828.db2.gz WXNXIZSFXHLFAZ-ZBFHGGJFSA-N 0 0 448.549 -0.063 20 0 IBADRN Cc1ccc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)s1 ZINC001361285467 1074405777 /nfs/dbraw/zinc/40/57/77/1074405777.db2.gz ZVONLRDXKFHQES-AWEZNQCLSA-N 0 0 440.551 -0.035 20 0 IBADRN Cc1ccc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)s1 ZINC001361285468 1074405887 /nfs/dbraw/zinc/40/58/87/1074405887.db2.gz ZVONLRDXKFHQES-CQSZACIVSA-N 0 0 440.551 -0.035 20 0 IBADRN O=C(c1cn(C[C@@H]2CNC(=O)O2)nn1)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC001434867715 1074412017 /nfs/dbraw/zinc/41/20/17/1074412017.db2.gz DQCHXSPBTBRCQN-AWEZNQCLSA-N 0 0 434.478 -0.077 20 0 IBADRN O=C(c1cn(C[C@H]2CNC(=O)O2)nn1)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC001434867727 1074411924 /nfs/dbraw/zinc/41/19/24/1074411924.db2.gz DQCHXSPBTBRCQN-CQSZACIVSA-N 0 0 434.478 -0.077 20 0 IBADRN O=C(NCCCNC(=O)[C@@H]1CS(=O)(=O)CC(=O)N1)c1cccc(Br)c1 ZINC001434974836 1074411805 /nfs/dbraw/zinc/41/18/05/1074411805.db2.gz HPAJRODMQICYBW-LBPRGKRZSA-N 0 0 432.296 -0.402 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)NCCS(=O)(=O)N2CCSCC2)[C@H]1c1cccnc1 ZINC001435080572 1074411870 /nfs/dbraw/zinc/41/18/70/1074411870.db2.gz LLYRAOKWNRVIKC-CVEARBPZSA-N 0 0 428.536 -0.525 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCCN3C(=O)CNC3=O)CC2)cn1C ZINC001435107692 1074411829 /nfs/dbraw/zinc/41/18/29/1074411829.db2.gz FOUXYKNHPKDIKX-UHFFFAOYSA-N 0 0 426.499 -0.813 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(C(=O)c1cc3n(n1)CCN(C)S3(=O)=O)CC2 ZINC001435120984 1074412004 /nfs/dbraw/zinc/41/20/04/1074412004.db2.gz TZUJRWGAZGEIDF-UHFFFAOYSA-N 0 0 439.519 -0.030 20 0 IBADRN COc1nccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC001435136656 1074411907 /nfs/dbraw/zinc/41/19/07/1074411907.db2.gz ASFWXGFJOSCGPF-UHFFFAOYSA-N 0 0 433.446 -0.037 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)[C@H]1c1cccnc1 ZINC001435158953 1074412449 /nfs/dbraw/zinc/41/24/49/1074412449.db2.gz JPINAVJDEDBHIT-MOPGFXCFSA-N 0 0 428.449 -0.326 20 0 IBADRN COC1(C(=O)Oc2ccc(C(=O)N3CCN(S(C)(=O)=O)CC3)cc2)CS(=O)(=O)C1 ZINC001435163213 1074412464 /nfs/dbraw/zinc/41/24/64/1074412464.db2.gz WPXKMUFVKPTRQG-UHFFFAOYSA-N 0 0 446.503 -0.877 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001435211038 1074412477 /nfs/dbraw/zinc/41/24/77/1074412477.db2.gz DUSCWWAQCDSSQO-HNNXBMFYSA-N 0 0 425.573 -0.350 20 0 IBADRN COC(=O)c1cccn(CC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)c1=O ZINC001435211795 1074412364 /nfs/dbraw/zinc/41/23/64/1074412364.db2.gz HQKBJIXARDIBDF-UHFFFAOYSA-N 0 0 441.506 -0.276 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)[C@@H]3CC34CCS(=O)(=O)CC4)CC2)cn1 ZINC001435257767 1074412549 /nfs/dbraw/zinc/41/25/49/1074412549.db2.gz STUDJHXWRNVHSR-HNNXBMFYSA-N 0 0 430.552 -0.096 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)[C@H]3CC34CCS(=O)(=O)CC4)CC2)cn1 ZINC001435257773 1074412600 /nfs/dbraw/zinc/41/26/00/1074412600.db2.gz STUDJHXWRNVHSR-OAHLLOKOSA-N 0 0 430.552 -0.096 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2=O)cn1 ZINC001435360817 1074412614 /nfs/dbraw/zinc/41/26/14/1074412614.db2.gz IOWSGEDEQTXSNP-GDBMZVCRSA-N 0 0 429.481 -0.154 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N[C@@H]3CCN(c4cc(C)nn4C)C3=O)CC2=O)cn1 ZINC001435360818 1074412575 /nfs/dbraw/zinc/41/25/75/1074412575.db2.gz IOWSGEDEQTXSNP-GOEBONIOSA-N 0 0 429.481 -0.154 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2=O)cn1 ZINC001435360819 1074412387 /nfs/dbraw/zinc/41/23/87/1074412387.db2.gz IOWSGEDEQTXSNP-HOCLYGCPSA-N 0 0 429.481 -0.154 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@H]3CCN(c4cc(C)nn4C)C3=O)CC2=O)cn1 ZINC001435360820 1074412406 /nfs/dbraw/zinc/41/24/06/1074412406.db2.gz IOWSGEDEQTXSNP-ZBFHGGJFSA-N 0 0 429.481 -0.154 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)C[C@@H]2NC(=O)c3ccccc3NC2=O)CC1 ZINC001435510104 1074412488 /nfs/dbraw/zinc/41/24/88/1074412488.db2.gz QLYYJNIJRIQGHT-INIZCTEOSA-N 0 0 438.506 -0.362 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)C[C@]2(C)CCS(=O)(=O)N2)cc1 ZINC001435524567 1074412583 /nfs/dbraw/zinc/41/25/83/1074412583.db2.gz IKZHEMCHPPNVPS-KRWDZBQOSA-N 0 0 432.520 -0.138 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)C[C@@]2(C)CCS(=O)(=O)N2)cc1 ZINC001435524570 1074412596 /nfs/dbraw/zinc/41/25/96/1074412596.db2.gz IKZHEMCHPPNVPS-QGZVFWFLSA-N 0 0 432.520 -0.138 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N(C)C2CCN(S(C)(=O)=O)CC2)[C@H]1c1ccnn1C ZINC001435530165 1074412531 /nfs/dbraw/zinc/41/25/31/1074412531.db2.gz OGPQSATYRJLRNU-SJORKVTESA-N 0 0 427.527 -0.409 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cc2n(n1)CCN(C)S2(=O)=O ZINC001435630476 1074412515 /nfs/dbraw/zinc/41/25/15/1074412515.db2.gz KDTJDQARQIMELQ-HNNXBMFYSA-N 0 0 435.506 -0.379 20 0 IBADRN CN1CCn2nc(C(=O)N[C@@H](Cc3ccccc3)C(=O)N3CCOCC3)cc2S1(=O)=O ZINC001435822826 1074413250 /nfs/dbraw/zinc/41/32/50/1074413250.db2.gz HVUSPZNVLYPRIB-KRWDZBQOSA-N 0 0 447.517 -0.283 20 0 IBADRN CN1CCn2nc(C(=O)N[C@H](Cc3ccccc3)C(=O)N3CCOCC3)cc2S1(=O)=O ZINC001435822835 1074413343 /nfs/dbraw/zinc/41/33/43/1074413343.db2.gz HVUSPZNVLYPRIB-QGZVFWFLSA-N 0 0 447.517 -0.283 20 0 IBADRN CS(=O)(=O)N1CCC(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC001435850785 1074413348 /nfs/dbraw/zinc/41/33/48/1074413348.db2.gz CBCNBTXVIWLHIK-INIZCTEOSA-N 0 0 437.584 -0.299 20 0 IBADRN CS(=O)(=O)N1CCC(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)CC1 ZINC001435850787 1074413185 /nfs/dbraw/zinc/41/31/85/1074413185.db2.gz CBCNBTXVIWLHIK-MRXNPFEDSA-N 0 0 437.584 -0.299 20 0 IBADRN O=C([C@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001435851286 1074413334 /nfs/dbraw/zinc/41/33/34/1074413334.db2.gz FDWIFHNUFMUPQH-AGIUHOORSA-N 0 0 427.445 -0.046 20 0 IBADRN O=C([C@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001435851299 1074413239 /nfs/dbraw/zinc/41/32/39/1074413239.db2.gz FDWIFHNUFMUPQH-AVGNSLFASA-N 0 0 427.445 -0.046 20 0 IBADRN O=C([C@@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001435851302 1074413140 /nfs/dbraw/zinc/41/31/40/1074413140.db2.gz FDWIFHNUFMUPQH-UPJWGTAASA-N 0 0 427.445 -0.046 20 0 IBADRN O=C([C@@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001435851306 1074413301 /nfs/dbraw/zinc/41/33/01/1074413301.db2.gz FDWIFHNUFMUPQH-XQQFMLRXSA-N 0 0 427.445 -0.046 20 0 IBADRN COC(=O)c1cccn(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c1=O ZINC001435854754 1074413229 /nfs/dbraw/zinc/41/32/29/1074413229.db2.gz VNSKUECVNRBVMD-AWEZNQCLSA-N 0 0 427.479 -0.712 20 0 IBADRN COC(=O)c1cccn(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c1=O ZINC001435854758 1074413075 /nfs/dbraw/zinc/41/30/75/1074413075.db2.gz VNSKUECVNRBVMD-CQSZACIVSA-N 0 0 427.479 -0.712 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)cn1C ZINC001436007274 1074413123 /nfs/dbraw/zinc/41/31/23/1074413123.db2.gz WGWXZGNTZGWOSM-UHFFFAOYSA-N 0 0 433.556 -0.863 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCNC(=O)[C@@H]1c1ccc(Br)cc1 ZINC001436022227 1074413293 /nfs/dbraw/zinc/41/32/93/1074413293.db2.gz HQTZBLRIBQWVFO-AAEUAGOBSA-N 0 0 430.280 -0.638 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCNC(=O)[C@H]1c1ccc(Br)cc1 ZINC001436022234 1074413111 /nfs/dbraw/zinc/41/31/11/1074413111.db2.gz HQTZBLRIBQWVFO-WCQYABFASA-N 0 0 430.280 -0.638 20 0 IBADRN Cn1nc2c(c1C(=O)NCCNS(=O)(=O)c1ccc(F)cc1F)CS(=O)(=O)CC2 ZINC001436032078 1074413204 /nfs/dbraw/zinc/41/32/04/1074413204.db2.gz WHUDHQISJIVATF-UHFFFAOYSA-N 0 0 448.473 -0.123 20 0 IBADRN COC(=O)C1(NC(=O)c2cnn(C)c2I)CCS(=O)(=O)CC1 ZINC001436032694 1074413102 /nfs/dbraw/zinc/41/31/02/1074413102.db2.gz IHUNZKCOPOLCCY-UHFFFAOYSA-N 0 0 441.247 -0.125 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(CCNS(C)(=O)=O)s2)CCS(=O)(=O)CC1 ZINC001436034406 1074413310 /nfs/dbraw/zinc/41/33/10/1074413310.db2.gz WSKRCVOZOXXJCQ-UHFFFAOYSA-N 0 0 438.549 -0.310 20 0 IBADRN COc1ccc(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)cc1S(=O)(=O)N1CCCC1 ZINC001436079522 1074413814 /nfs/dbraw/zinc/41/38/14/1074413814.db2.gz VPUIRQUSZCACKG-LBPRGKRZSA-N 0 0 431.492 -0.669 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@@H](N3CCCS3(=O)=O)C2)[C@H]1c1ccnn1C ZINC001436100422 1074413963 /nfs/dbraw/zinc/41/39/63/1074413963.db2.gz MJGCOJGGKSHGTO-OLMNPRSZSA-N 0 0 439.538 -0.265 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC[C@H](N3CCCS3(=O)=O)C2)[C@H]1c1ccnn1C ZINC001436100443 1074414016 /nfs/dbraw/zinc/41/40/16/1074414016.db2.gz MJGCOJGGKSHGTO-QGTPRVQTSA-N 0 0 439.538 -0.265 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CCOC(=O)CNC(=O)C(C)(C)C)C1 ZINC001436117708 1074413886 /nfs/dbraw/zinc/41/38/86/1074413886.db2.gz CBUMHYZXMRJZMX-HNNXBMFYSA-N 0 0 449.570 -0.019 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CCOC(=O)CNC(=O)C(C)(C)C)C1 ZINC001436117719 1074413871 /nfs/dbraw/zinc/41/38/71/1074413871.db2.gz CBUMHYZXMRJZMX-OAHLLOKOSA-N 0 0 449.570 -0.019 20 0 IBADRN COCCCn1nc(C(=O)N2CCC[C@H](S(=O)(=O)N(C)CCOC)C2)ccc1=O ZINC001436119859 1074414007 /nfs/dbraw/zinc/41/40/07/1074414007.db2.gz MCCHNBVXTCFLET-HNNXBMFYSA-N 0 0 430.527 -0.208 20 0 IBADRN COCCCn1nc(C(=O)N2CCC[C@@H](S(=O)(=O)N(C)CCOC)C2)ccc1=O ZINC001436119860 1074413906 /nfs/dbraw/zinc/41/39/06/1074413906.db2.gz MCCHNBVXTCFLET-OAHLLOKOSA-N 0 0 430.527 -0.208 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(C(=O)C(C)(C)NC(=O)C(C)(C)NC(C)=O)CC1 ZINC001436185249 1074413892 /nfs/dbraw/zinc/41/38/92/1074413892.db2.gz VUXLQCBXJRVNJI-UHFFFAOYSA-N 0 0 447.554 -0.235 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001436195844 1074414014 /nfs/dbraw/zinc/41/40/14/1074414014.db2.gz XJEVNNIKYFHFDR-KGLIPLIRSA-N 0 0 432.543 -0.054 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001436195859 1074413920 /nfs/dbraw/zinc/41/39/20/1074413920.db2.gz XJEVNNIKYFHFDR-ZIAGYGMSSA-N 0 0 432.543 -0.054 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)c1cc2c(s1)n(C)c(=O)n(C)c2=O)C(F)(F)F ZINC001436212495 1074413881 /nfs/dbraw/zinc/41/38/81/1074413881.db2.gz NTFFRIKYBIIFIR-SECBINFHSA-N 0 0 442.441 -0.149 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)c1cc2c(s1)n(C)c(=O)n(C)c2=O)C(F)(F)F ZINC001436212503 1074413830 /nfs/dbraw/zinc/41/38/30/1074413830.db2.gz NTFFRIKYBIIFIR-VIFPVBQESA-N 0 0 442.441 -0.149 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCn2ncc(I)c21 ZINC001436250792 1074414010 /nfs/dbraw/zinc/41/40/10/1074414010.db2.gz SZXFFBZUOHVHOF-LLVKDONJSA-N 0 0 431.234 -0.039 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCn2ncc(I)c21 ZINC001436250805 1074413949 /nfs/dbraw/zinc/41/39/49/1074413949.db2.gz SZXFFBZUOHVHOF-NSHDSACASA-N 0 0 431.234 -0.039 20 0 IBADRN COCCNC(=O)C1(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC1 ZINC001436622083 1074413974 /nfs/dbraw/zinc/41/39/74/1074413974.db2.gz PYDSKYKSOSWURT-UHFFFAOYSA-N 0 0 429.470 -0.128 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCOCC1)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001436626322 1074413846 /nfs/dbraw/zinc/41/38/46/1074413846.db2.gz BPQFASQKUVDHMD-HNNXBMFYSA-N 0 0 442.538 -0.851 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCOCC1)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001436626336 1074414410 /nfs/dbraw/zinc/41/44/10/1074414410.db2.gz BPQFASQKUVDHMD-OAHLLOKOSA-N 0 0 442.538 -0.851 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC001436690264 1074414492 /nfs/dbraw/zinc/41/44/92/1074414492.db2.gz MEMAXSAEELPVRJ-HZSPNIEDSA-N 0 0 426.491 -0.095 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC001436691053 1074414534 /nfs/dbraw/zinc/41/45/34/1074414534.db2.gz MEMAXSAEELPVRJ-MJBXVCDLSA-N 0 0 426.491 -0.095 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)NCCS(=O)(=O)C3CC3)c2)CC1 ZINC001436752916 1074414391 /nfs/dbraw/zinc/41/43/91/1074414391.db2.gz CFNAIBORBBRBKK-UHFFFAOYSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCc3nc4ncccn4n3)cc2)CC1 ZINC001436843966 1074414348 /nfs/dbraw/zinc/41/43/48/1074414348.db2.gz BBGKAVDXIZNPON-UHFFFAOYSA-N 0 0 443.489 -0.093 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC001436898166 1074414289 /nfs/dbraw/zinc/41/42/89/1074414289.db2.gz CDMLSFHOGWGJJF-CYBMUJFWSA-N 0 0 427.479 -0.161 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1ccc(OC)c(S(=O)(=O)N(C)C)c1 ZINC001436898169 1074414425 /nfs/dbraw/zinc/41/44/25/1074414425.db2.gz CDMLSFHOGWGJJF-ZDUSSCGKSA-N 0 0 427.479 -0.161 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCC[C@H]2C(=O)NCC(=O)OC)CC1 ZINC001436898738 1074414528 /nfs/dbraw/zinc/41/45/28/1074414528.db2.gz DMHVWJHJWGJMCA-GPANFISMSA-N 0 0 427.498 -0.395 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCC[C@@H]2C(=O)NCC(=O)OC)CC1 ZINC001436898739 1074414482 /nfs/dbraw/zinc/41/44/82/1074414482.db2.gz DMHVWJHJWGJMCA-UYSNPLJNSA-N 0 0 427.498 -0.395 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001436899528 1074414454 /nfs/dbraw/zinc/41/44/54/1074414454.db2.gz GDRWFQLROIHBNA-INIZCTEOSA-N 0 0 438.506 -0.238 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001436899532 1074414440 /nfs/dbraw/zinc/41/44/40/1074414440.db2.gz GDRWFQLROIHBNA-MRXNPFEDSA-N 0 0 438.506 -0.238 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1cccc(S(=O)(=O)N=S(C)(C)=O)c1 ZINC001436903113 1074414311 /nfs/dbraw/zinc/41/43/11/1074414311.db2.gz PBDXQGKDAXJMOX-AWEZNQCLSA-N 0 0 445.519 -0.003 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)c1cccc(S(=O)(=O)N=S(C)(C)=O)c1 ZINC001436903118 1074414521 /nfs/dbraw/zinc/41/45/21/1074414521.db2.gz PBDXQGKDAXJMOX-CQSZACIVSA-N 0 0 445.519 -0.003 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)C[C@@H]1C1CCC1 ZINC001436990084 1074414322 /nfs/dbraw/zinc/41/43/22/1074414322.db2.gz SLZDRVOVUXEXAR-DZGCQCFKSA-N 0 0 431.536 -0.422 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)C[C@H]1C1CCC1 ZINC001436990096 1074414465 /nfs/dbraw/zinc/41/44/65/1074414465.db2.gz SLZDRVOVUXEXAR-HIFRSBDPSA-N 0 0 431.536 -0.422 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)C[C@@H]1C1CCC1 ZINC001436990103 1074414474 /nfs/dbraw/zinc/41/44/74/1074414474.db2.gz SLZDRVOVUXEXAR-UKRRQHHQSA-N 0 0 431.536 -0.422 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCS(=O)(=O)C[C@H]1C1CCC1 ZINC001436990108 1074414548 /nfs/dbraw/zinc/41/45/48/1074414548.db2.gz SLZDRVOVUXEXAR-ZFWWWQNUSA-N 0 0 431.536 -0.422 20 0 IBADRN COC(=O)c1ccc(NC(=O)[C@@H]2CCCN2C(=O)CNC(C)=O)c(S(C)(=O)=O)c1 ZINC001437022544 1074415123 /nfs/dbraw/zinc/41/51/23/1074415123.db2.gz FZASSCZANKJFFD-AWEZNQCLSA-N 0 0 425.463 -0.058 20 0 IBADRN COC(=O)c1ccc(NC(=O)[C@H]2CCCN2C(=O)CNC(C)=O)c(S(C)(=O)=O)c1 ZINC001437022545 1074415028 /nfs/dbraw/zinc/41/50/28/1074415028.db2.gz FZASSCZANKJFFD-CQSZACIVSA-N 0 0 425.463 -0.058 20 0 IBADRN Cc1c(C(=O)N[C@@H](CC(C)C)C(=O)N2CCOCC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001437069876 1074415193 /nfs/dbraw/zinc/41/51/93/1074415193.db2.gz MKRMAOHURBZLFH-HNNXBMFYSA-N 0 0 431.493 -0.056 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001437084574 1074415313 /nfs/dbraw/zinc/41/53/13/1074415313.db2.gz VMWKOBSOYLZGHV-GOSISDBHSA-N 0 0 444.531 -0.422 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001437084575 1074415044 /nfs/dbraw/zinc/41/50/44/1074415044.db2.gz VMWKOBSOYLZGHV-SFHVURJKSA-N 0 0 444.531 -0.422 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)c1cn(C[C@H]2CNC(=O)O2)nn1)Cc1ccc(OC)c(F)c1 ZINC001437090515 1074415293 /nfs/dbraw/zinc/41/52/93/1074415293.db2.gz ZZQIYZSSYLRBDB-CHWSQXEVSA-N 0 0 434.428 -0.131 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)c1cn(C[C@@H]2CNC(=O)O2)nn1)Cc1ccc(OC)c(F)c1 ZINC001437090533 1074415011 /nfs/dbraw/zinc/41/50/11/1074415011.db2.gz ZZQIYZSSYLRBDB-OLZOCXBDSA-N 0 0 434.428 -0.131 20 0 IBADRN CNC(=O)[C@H](CNC(=O)c1cn(C[C@H]2CNC(=O)O2)nn1)Cc1ccc(OC)c(F)c1 ZINC001437090539 1074415169 /nfs/dbraw/zinc/41/51/69/1074415169.db2.gz ZZQIYZSSYLRBDB-QWHCGFSZSA-N 0 0 434.428 -0.131 20 0 IBADRN CNC(=O)[C@H](CNC(=O)c1cn(C[C@@H]2CNC(=O)O2)nn1)Cc1ccc(OC)c(F)c1 ZINC001437090547 1074415251 /nfs/dbraw/zinc/41/52/51/1074415251.db2.gz ZZQIYZSSYLRBDB-STQMWFEESA-N 0 0 434.428 -0.131 20 0 IBADRN COCCN1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C(C)(C)C1 ZINC001437287223 1074415052 /nfs/dbraw/zinc/41/50/52/1074415052.db2.gz ORRWSOIRPUVUOH-UHFFFAOYSA-N 0 0 438.550 -0.010 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001437298916 1074415262 /nfs/dbraw/zinc/41/52/62/1074415262.db2.gz HGJNOPBGIQPXPW-CYBMUJFWSA-N 0 0 435.499 -0.390 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001437298918 1074415271 /nfs/dbraw/zinc/41/52/71/1074415271.db2.gz HGJNOPBGIQPXPW-ZDUSSCGKSA-N 0 0 435.499 -0.390 20 0 IBADRN CNC(=O)[C@]1(C(F)(F)F)CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC001437316701 1074415306 /nfs/dbraw/zinc/41/53/06/1074415306.db2.gz MKDGGLKTUIDJSI-INIZCTEOSA-N 0 0 430.387 -0.649 20 0 IBADRN CNC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)CCn2cnc3c2c(=O)n(C)c(=O)n3C)C1 ZINC001437316713 1074415206 /nfs/dbraw/zinc/41/52/06/1074415206.db2.gz MKDGGLKTUIDJSI-MRXNPFEDSA-N 0 0 430.387 -0.649 20 0 IBADRN CCc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)Cn1ccc(=O)n(C)c1=O ZINC001437319691 1074415220 /nfs/dbraw/zinc/41/52/20/1074415220.db2.gz FGOBJQAEEGCTCS-UHFFFAOYSA-N 0 0 436.490 -0.231 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)Nc3ccc(=O)n(CC(N)=O)c3)CC2)c1 ZINC001437422804 1074415238 /nfs/dbraw/zinc/41/52/38/1074415238.db2.gz LCYTUKNQIBXZIG-UHFFFAOYSA-N 0 0 447.517 -0.267 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1NC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1OC ZINC001437502961 1074415943 /nfs/dbraw/zinc/41/59/43/1074415943.db2.gz IUOABRNVGOSFHE-GOEBONIOSA-N 0 0 428.463 -0.224 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)CN2C(=O)c3ccc(Br)cc3C2=O)COC1 ZINC001437530078 1074415938 /nfs/dbraw/zinc/41/59/38/1074415938.db2.gz GOPIKUZAPHSRMU-UHFFFAOYSA-N 0 0 431.264 -0.025 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1(CS(C)(=O)=O)COC1 ZINC001437530151 1074415909 /nfs/dbraw/zinc/41/59/09/1074415909.db2.gz HJWGMSKPLRLMAK-UHFFFAOYSA-N 0 0 448.519 -0.741 20 0 IBADRN Cc1ccc(C(=O)NC2(CS(C)(=O)=O)COC2)cc1S(=O)(=O)N1CCOCC1 ZINC001437531990 1074415971 /nfs/dbraw/zinc/41/59/71/1074415971.db2.gz OLTBGRYPDWDBOU-UHFFFAOYSA-N 0 0 432.520 -0.441 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)C1=O ZINC001437555592 1074415981 /nfs/dbraw/zinc/41/59/81/1074415981.db2.gz IGGCRNKIFFOOJC-DFHBCGBQSA-N 0 0 425.463 -0.610 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)C1=O ZINC001437555622 1074415976 /nfs/dbraw/zinc/41/59/76/1074415976.db2.gz IGGCRNKIFFOOJC-IAISJRAMSA-N 0 0 425.463 -0.610 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)C1=O ZINC001437555627 1074416002 /nfs/dbraw/zinc/41/60/02/1074416002.db2.gz IGGCRNKIFFOOJC-WRSAYESZSA-N 0 0 425.463 -0.610 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)C1=O ZINC001437555630 1074416008 /nfs/dbraw/zinc/41/60/08/1074416008.db2.gz IGGCRNKIFFOOJC-YEWDVWPNSA-N 0 0 425.463 -0.610 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC001437557772 1074415934 /nfs/dbraw/zinc/41/59/34/1074415934.db2.gz MNVOMRROLWYYMV-DLBZAZTESA-N 0 0 445.563 -0.625 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC001437557776 1074416005 /nfs/dbraw/zinc/41/60/05/1074416005.db2.gz MNVOMRROLWYYMV-IAGOWNOFSA-N 0 0 445.563 -0.625 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC001437557780 1074415881 /nfs/dbraw/zinc/41/58/81/1074415881.db2.gz MNVOMRROLWYYMV-IRXDYDNUSA-N 0 0 445.563 -0.625 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC001437557782 1074415917 /nfs/dbraw/zinc/41/59/17/1074415917.db2.gz MNVOMRROLWYYMV-SJORKVTESA-N 0 0 445.563 -0.625 20 0 IBADRN CS(=O)(=O)[C@@H]1CN(C(=O)C2=CC=CN3CCS(=O)(=O)N=C23)C[C@H]1C(F)(F)F ZINC001437633052 1074416019 /nfs/dbraw/zinc/41/60/19/1074416019.db2.gz DZZSUBQCDDTHTD-GHMZBOCLSA-N 0 0 427.426 -0.082 20 0 IBADRN Cn1nc2c(c1C(=O)N1C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C1)CS(=O)(=O)CC2 ZINC001437633745 1074415960 /nfs/dbraw/zinc/41/59/60/1074415960.db2.gz IKXOAZBHKVLNHX-MWLCHTKSSA-N 0 0 429.442 -0.062 20 0 IBADRN Cn1cc([C@@H]2[C@@H](CNC(=O)CN3CCS(=O)(=O)CC3)CC(=O)N2C(C)(C)C)cn1 ZINC001437638027 1074416014 /nfs/dbraw/zinc/41/60/14/1074416014.db2.gz KARYERZHOUWQFH-KDOFPFPSSA-N 0 0 425.555 -0.045 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1COCCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001437641394 1074416490 /nfs/dbraw/zinc/41/64/90/1074416490.db2.gz RFQWJUUZPXPJQJ-GXTWGEPZSA-N 0 0 429.495 -0.279 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1COCCN1C(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001437641399 1074416550 /nfs/dbraw/zinc/41/65/50/1074416550.db2.gz RFQWJUUZPXPJQJ-JSGCOSHPSA-N 0 0 429.495 -0.279 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1COCCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001437641405 1074416525 /nfs/dbraw/zinc/41/65/25/1074416525.db2.gz RFQWJUUZPXPJQJ-OCCSQVGLSA-N 0 0 429.495 -0.279 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1COCCN1C(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001437641409 1074416544 /nfs/dbraw/zinc/41/65/44/1074416544.db2.gz RFQWJUUZPXPJQJ-TZMCWYRMSA-N 0 0 429.495 -0.279 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3ccc4c(c3)CCN4C(=O)CNC(C)=O)C[C@H]21 ZINC001437792727 1074416507 /nfs/dbraw/zinc/41/65/07/1074416507.db2.gz QYLNBJNHEVQYBV-JQHSSLGASA-N 0 0 435.502 -0.404 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@H]21 ZINC001437793892 1074416362 /nfs/dbraw/zinc/41/63/62/1074416362.db2.gz XWDXGHYYXRXFMK-BBWFWOEESA-N 0 0 444.531 -0.409 20 0 IBADRN CN(CC(=O)N1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1)S(C)(=O)=O ZINC001437858406 1074416566 /nfs/dbraw/zinc/41/65/66/1074416566.db2.gz MHYUKSRAZIOOHW-UHFFFAOYSA-N 0 0 447.558 -0.305 20 0 IBADRN O=C(NCCN1C[C@@H]2CCCCN2C1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001437947691 1074416555 /nfs/dbraw/zinc/41/65/55/1074416555.db2.gz ZEVWRHLEDNYCMO-INIZCTEOSA-N 0 0 449.533 -0.173 20 0 IBADRN O=C(NCCN1C[C@H]2CCCCN2C1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001437947692 1074416405 /nfs/dbraw/zinc/41/64/05/1074416405.db2.gz ZEVWRHLEDNYCMO-MRXNPFEDSA-N 0 0 449.533 -0.173 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cc2S1(=O)=O ZINC001438174917 1074416540 /nfs/dbraw/zinc/41/65/40/1074416540.db2.gz PARTYPQGQWGKGL-CYBMUJFWSA-N 0 0 445.519 -0.370 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cc2S1(=O)=O ZINC001438174920 1074416469 /nfs/dbraw/zinc/41/64/69/1074416469.db2.gz PARTYPQGQWGKGL-ZDUSSCGKSA-N 0 0 445.519 -0.370 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC001438175159 1074416479 /nfs/dbraw/zinc/41/64/79/1074416479.db2.gz RJVCOAYZRUERBM-CVEARBPZSA-N 0 0 445.563 -0.136 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC001438175164 1074416560 /nfs/dbraw/zinc/41/65/60/1074416560.db2.gz RJVCOAYZRUERBM-HOTGVXAUSA-N 0 0 445.563 -0.136 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)[C@@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC001438175166 1074416521 /nfs/dbraw/zinc/41/65/21/1074416521.db2.gz RJVCOAYZRUERBM-HZPDHXFCSA-N 0 0 445.563 -0.136 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)[C@H]1CCCN(S(=O)(=O)c2ccccc2)C1 ZINC001438175169 1074416433 /nfs/dbraw/zinc/41/64/33/1074416433.db2.gz RJVCOAYZRUERBM-JKSUJKDBSA-N 0 0 445.563 -0.136 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001438175750 1074416512 /nfs/dbraw/zinc/41/65/12/1074416512.db2.gz XJJBQCJFLNNZGB-KBPBESRZSA-N 0 0 434.536 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001438175753 1074417038 /nfs/dbraw/zinc/41/70/38/1074417038.db2.gz XJJBQCJFLNNZGB-KGLIPLIRSA-N 0 0 434.536 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@H](C)C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001438175755 1074417224 /nfs/dbraw/zinc/41/72/24/1074417224.db2.gz XJJBQCJFLNNZGB-UONOGXRCSA-N 0 0 434.536 -0.118 20 0 IBADRN COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001438175769 1074417168 /nfs/dbraw/zinc/41/71/68/1074417168.db2.gz XJJBQCJFLNNZGB-ZIAGYGMSSA-N 0 0 434.536 -0.118 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)C1 ZINC001438178417 1074417187 /nfs/dbraw/zinc/41/71/87/1074417187.db2.gz ONVAPKWRSKKSIE-DOTOQJQBSA-N 0 0 438.506 -0.039 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@@H](C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)C1 ZINC001438178418 1074416993 /nfs/dbraw/zinc/41/69/93/1074416993.db2.gz ONVAPKWRSKKSIE-NVXWUHKLSA-N 0 0 438.506 -0.039 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@H](C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)C1 ZINC001438178419 1074417218 /nfs/dbraw/zinc/41/72/18/1074417218.db2.gz ONVAPKWRSKKSIE-RDJZCZTQSA-N 0 0 438.506 -0.039 20 0 IBADRN CCOC(=O)C1=NN(c2ccccc2)[C@H](C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)C1 ZINC001438178420 1074417030 /nfs/dbraw/zinc/41/70/30/1074417030.db2.gz ONVAPKWRSKKSIE-WBVHZDCISA-N 0 0 438.506 -0.039 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CN2C(=O)COc3cc(Br)ccc32)C1 ZINC001438179810 1074417012 /nfs/dbraw/zinc/41/70/12/1074417012.db2.gz HTOBEGQUMLJROH-UHFFFAOYSA-N 0 0 447.311 -0.219 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@H]2CCCN(S(=O)(=O)Cc3ccccc3)C2)C1 ZINC001438181978 1074417233 /nfs/dbraw/zinc/41/72/33/1074417233.db2.gz PZHHTDRHRCVKOU-INIZCTEOSA-N 0 0 444.579 -0.165 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@H]2CCCN(S(=O)(=O)Cc3ccccc3)C2)C1 ZINC001438181981 1074417196 /nfs/dbraw/zinc/41/71/96/1074417196.db2.gz PZHHTDRHRCVKOU-MRXNPFEDSA-N 0 0 444.579 -0.165 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(OC)c(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)c1 ZINC001438182893 1074417239 /nfs/dbraw/zinc/41/72/39/1074417239.db2.gz WXYSFCJMCUTOCZ-UHFFFAOYSA-N 0 0 448.567 -0.054 20 0 IBADRN C[C@H](C(=O)NC1CN(S(=O)(=O)N(C)C)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001438183083 1074417045 /nfs/dbraw/zinc/41/70/45/1074417045.db2.gz ZFJLWNGHJYYRGW-GFCCVEGCSA-N 0 0 433.531 -0.939 20 0 IBADRN C[C@@H](C(=O)NC1CN(S(=O)(=O)N(C)C)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001438183084 1074417119 /nfs/dbraw/zinc/41/71/19/1074417119.db2.gz ZFJLWNGHJYYRGW-LBPRGKRZSA-N 0 0 433.531 -0.939 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC001438202365 1074417145 /nfs/dbraw/zinc/41/71/45/1074417145.db2.gz CTRNLVBQWFFMLJ-KBPBESRZSA-N 0 0 425.507 -0.287 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(CCCC[C@@H]2C)C1=O ZINC001438305035 1074417177 /nfs/dbraw/zinc/41/71/77/1074417177.db2.gz FSFQYNKJWJDWNL-JCGVRSQUSA-N 0 0 429.495 -0.324 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(CCCC[C@H]2C)C1=O ZINC001438305075 1074417079 /nfs/dbraw/zinc/41/70/79/1074417079.db2.gz FSFQYNKJWJDWNL-SNUQEOBHSA-N 0 0 429.495 -0.324 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(CCCC[C@@H]2C)C1=O ZINC001438305096 1074417250 /nfs/dbraw/zinc/41/72/50/1074417250.db2.gz FSFQYNKJWJDWNL-VEVIJQCQSA-N 0 0 429.495 -0.324 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(CCCC[C@H]2C)C1=O ZINC001438305112 1074417862 /nfs/dbraw/zinc/41/78/62/1074417862.db2.gz FSFQYNKJWJDWNL-VFVRVIDISA-N 0 0 429.495 -0.324 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC001438308224 1074417950 /nfs/dbraw/zinc/41/79/50/1074417950.db2.gz KOKAUASEHJAMFV-HNNXBMFYSA-N 0 0 432.520 -0.419 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(CCNS(C)(=O)=O)cc1 ZINC001438308243 1074417932 /nfs/dbraw/zinc/41/79/32/1074417932.db2.gz KOKAUASEHJAMFV-OAHLLOKOSA-N 0 0 432.520 -0.419 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC001438311938 1074417871 /nfs/dbraw/zinc/41/78/71/1074417871.db2.gz SNXPGEFVPBWFBC-AUUYWEPGSA-N 0 0 437.474 -0.358 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@](C)(c2ccccc2)C1=O ZINC001438311939 1074417904 /nfs/dbraw/zinc/41/79/04/1074417904.db2.gz SNXPGEFVPBWFBC-IFXJQAMLSA-N 0 0 437.474 -0.358 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC001438311940 1074417901 /nfs/dbraw/zinc/41/79/01/1074417901.db2.gz SNXPGEFVPBWFBC-KUHUBIRLSA-N 0 0 437.474 -0.358 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@](C)(c2ccccc2)C1=O ZINC001438311941 1074417848 /nfs/dbraw/zinc/41/78/48/1074417848.db2.gz SNXPGEFVPBWFBC-LIRRHRJNSA-N 0 0 437.474 -0.358 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1OCC(=O)N(C)[C@@H]1c1cccnc1 ZINC001438313361 1074417911 /nfs/dbraw/zinc/41/79/11/1074417911.db2.gz WAAJOAZWUDYOGP-XKQJLSEDSA-N 0 0 425.463 -0.831 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1OCC(=O)N(C)[C@@H]1c1cccnc1 ZINC001438313362 1074417877 /nfs/dbraw/zinc/41/78/77/1074417877.db2.gz WAAJOAZWUDYOGP-XYPHTWIQSA-N 0 0 425.463 -0.831 20 0 IBADRN NC(=O)Cc1cccc2c1CCN(C(=O)C1=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC1)C2 ZINC001438378423 1074417832 /nfs/dbraw/zinc/41/78/32/1074417832.db2.gz QWKGHJJGWRBENJ-HNNXBMFYSA-N 0 0 432.502 -0.235 20 0 IBADRN NC(=O)Cc1cccc2c1CCN(C(=O)C1=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC1)C2 ZINC001438378429 1074417939 /nfs/dbraw/zinc/41/79/39/1074417939.db2.gz QWKGHJJGWRBENJ-OAHLLOKOSA-N 0 0 432.502 -0.235 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NC[C@@]34COCCN3C(=O)COC4)ccc21 ZINC001438546115 1074417893 /nfs/dbraw/zinc/41/78/93/1074417893.db2.gz KXLPTQNLZJZPTI-NRFANRHFSA-N 0 0 430.461 -0.931 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)NC[C@]34COCCN3C(=O)COC4)ccc21 ZINC001438546117 1074417945 /nfs/dbraw/zinc/41/79/45/1074417945.db2.gz KXLPTQNLZJZPTI-OAQYLSRUSA-N 0 0 430.461 -0.931 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)NC[C@]34COCCN3C(=O)COC4)CC2=O)c1 ZINC001438546190 1074418405 /nfs/dbraw/zinc/41/84/05/1074418405.db2.gz LRHHAFZFGINZKS-LHSJRXKWSA-N 0 0 433.461 -0.199 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)NC[C@@]34COCCN3C(=O)COC4)CC2=O)c1 ZINC001438546240 1074418354 /nfs/dbraw/zinc/41/83/54/1074418354.db2.gz LRHHAFZFGINZKS-QKKBWIMNSA-N 0 0 433.461 -0.199 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)NC[C@]34COCCN3C(=O)COC4)CC2=O)c1 ZINC001438546246 1074418316 /nfs/dbraw/zinc/41/83/16/1074418316.db2.gz LRHHAFZFGINZKS-SPLOXXLWSA-N 0 0 433.461 -0.199 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)NC[C@@]34COCCN3C(=O)COC4)CC2=O)c1 ZINC001438546253 1074418361 /nfs/dbraw/zinc/41/83/61/1074418361.db2.gz LRHHAFZFGINZKS-SZNDQCEHSA-N 0 0 433.461 -0.199 20 0 IBADRN CN(CCCC(=O)NC[C@@]12COCCN1C(=O)COC2)S(=O)(=O)c1ccc(F)cc1 ZINC001438548221 1074418344 /nfs/dbraw/zinc/41/83/44/1074418344.db2.gz NXBFQIVMTYBWOQ-IBGZPJMESA-N 0 0 443.497 -0.030 20 0 IBADRN CN(CCCC(=O)NC[C@]12COCCN1C(=O)COC2)S(=O)(=O)c1ccc(F)cc1 ZINC001438548228 1074418486 /nfs/dbraw/zinc/41/84/86/1074418486.db2.gz NXBFQIVMTYBWOQ-LJQANCHMSA-N 0 0 443.497 -0.030 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)C1=O ZINC001438548911 1074418310 /nfs/dbraw/zinc/41/83/10/1074418310.db2.gz QUOIEIOZVMULPU-QRQYBIJMSA-N 0 0 428.445 -0.156 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)C1=O ZINC001438548927 1074418282 /nfs/dbraw/zinc/41/82/82/1074418282.db2.gz QUOIEIOZVMULPU-RRMDADRESA-N 0 0 428.445 -0.156 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)NC[C@]23COCCN2C(=O)COC3)CCS(=O)(=O)CC1 ZINC001438551672 1074418400 /nfs/dbraw/zinc/41/84/00/1074418400.db2.gz SUADQZBPAXCKIL-GOSISDBHSA-N 0 0 446.522 -0.733 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)NC[C@@]23COCCN2C(=O)COC3)CCS(=O)(=O)CC1 ZINC001438551681 1074418371 /nfs/dbraw/zinc/41/83/71/1074418371.db2.gz SUADQZBPAXCKIL-SFHVURJKSA-N 0 0 446.522 -0.733 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)C3(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC3)C[C@H]2C1=O ZINC001438552077 1074418326 /nfs/dbraw/zinc/41/83/26/1074418326.db2.gz VTJOJLUHYDWUGE-BETUJISGSA-N 0 0 443.522 -0.126 20 0 IBADRN CSC[C@H](C(=O)NC[C@]12COCCN1C(=O)COC2)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001438552903 1074418454 /nfs/dbraw/zinc/41/84/54/1074418454.db2.gz UQOUZWBQECYQFN-IGMJJTELSA-N 0 0 439.534 -0.363 20 0 IBADRN CSC[C@H](C(=O)NC[C@@]12COCCN1C(=O)COC2)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001438552908 1074418464 /nfs/dbraw/zinc/41/84/64/1074418464.db2.gz UQOUZWBQECYQFN-ODCFSMADSA-N 0 0 439.534 -0.363 20 0 IBADRN CSC[C@H](C(=O)NC[C@]12COCCN1C(=O)COC2)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC001438552912 1074418473 /nfs/dbraw/zinc/41/84/73/1074418473.db2.gz UQOUZWBQECYQFN-RDNQGFKOSA-N 0 0 439.534 -0.363 20 0 IBADRN CSC[C@H](C(=O)NC[C@]12COCCN1C(=O)COC2)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC001438552916 1074418418 /nfs/dbraw/zinc/41/84/18/1074418418.db2.gz UQOUZWBQECYQFN-UJKGCTCZSA-N 0 0 439.534 -0.363 20 0 IBADRN COc1cc(C(=O)NC[C@]23COCCN2C(=O)COC3)cc(Cl)c1OCC(N)=O ZINC001438554302 1074418437 /nfs/dbraw/zinc/41/84/37/1074418437.db2.gz XIHOMLSXTHUJNQ-GOSISDBHSA-N 0 0 427.841 -0.430 20 0 IBADRN COc1cc(C(=O)NC[C@@]23COCCN2C(=O)COC3)cc(Cl)c1OCC(N)=O ZINC001438554306 1074418482 /nfs/dbraw/zinc/41/84/82/1074418482.db2.gz XIHOMLSXTHUJNQ-SFHVURJKSA-N 0 0 427.841 -0.430 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NC[C@]12COC(=O)N1CCOC2 ZINC001438629179 1074418382 /nfs/dbraw/zinc/41/83/82/1074418382.db2.gz IWVNJNZALOHLHX-KRWDZBQOSA-N 0 0 446.503 -0.544 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NC[C@@]12COC(=O)N1CCOC2 ZINC001438629188 1074418990 /nfs/dbraw/zinc/41/89/90/1074418990.db2.gz IWVNJNZALOHLHX-QGZVFWFLSA-N 0 0 446.503 -0.544 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)NC[C@@]12COC(=O)N1CCOC2 ZINC001438629347 1074419014 /nfs/dbraw/zinc/41/90/14/1074419014.db2.gz JSBVVHVMJLKQJT-GOSISDBHSA-N 0 0 426.447 -0.041 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)NC[C@]12COC(=O)N1CCOC2 ZINC001438629356 1074418927 /nfs/dbraw/zinc/41/89/27/1074418927.db2.gz JSBVVHVMJLKQJT-SFHVURJKSA-N 0 0 426.447 -0.041 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)c1cc2n(n1)CCN(C)S2(=O)=O ZINC001438634181 1074418882 /nfs/dbraw/zinc/41/88/82/1074418882.db2.gz WMNCWVZOGLHXRN-UHFFFAOYSA-N 0 0 439.519 -0.030 20 0 IBADRN CN(C)C(=O)COc1ccc(C(F)(F)C(=O)NC2(C(N)=O)CCS(=O)(=O)CC2)cc1 ZINC001438661320 1074418971 /nfs/dbraw/zinc/41/89/71/1074418971.db2.gz VVICPVYQRITDRA-UHFFFAOYSA-N 0 0 447.460 -0.206 20 0 IBADRN CCc1ccc(C(=O)NCc2nnc3n2CCNC3=O)cc1S(=O)(=O)N1CCOCC1 ZINC001438913294 1074419035 /nfs/dbraw/zinc/41/90/35/1074419035.db2.gz AASPGDUAKCODSD-UHFFFAOYSA-N 0 0 448.505 -0.465 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)CN3C(=O)N[C@@](C)(C4CC4)C3=O)CC2)cc1 ZINC001438913897 1074418996 /nfs/dbraw/zinc/41/89/96/1074418996.db2.gz GJUMPUIIOPSWHY-FQEVSTJZSA-N 0 0 449.533 -0.036 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)CN3C(=O)N[C@](C)(C4CC4)C3=O)CC2)cc1 ZINC001438913901 1074418956 /nfs/dbraw/zinc/41/89/56/1074418956.db2.gz GJUMPUIIOPSWHY-HXUWFJFHSA-N 0 0 449.533 -0.036 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2C1=O)NCc1nnc2n1CCNC2=O ZINC001438918267 1074419058 /nfs/dbraw/zinc/41/90/58/1074419058.db2.gz VZCAAYQQIRTPJC-UHFFFAOYSA-N 0 0 433.222 -0.304 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)[C@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC001438918362 1074419006 /nfs/dbraw/zinc/41/90/06/1074419006.db2.gz WDYSZCMGIOADTC-HNNXBMFYSA-N 0 0 432.506 -0.120 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)[C@@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC001438918394 1074419030 /nfs/dbraw/zinc/41/90/30/1074419030.db2.gz WDYSZCMGIOADTC-OAHLLOKOSA-N 0 0 432.506 -0.120 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)CCN3CCCS3(=O)=O)CC2)cc1 ZINC001438919370 1074419041 /nfs/dbraw/zinc/41/90/41/1074419041.db2.gz XHHCKZHIOGIXKB-UHFFFAOYSA-N 0 0 430.552 -0.331 20 0 IBADRN CS(=O)(=O)c1nc(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)c2ccccn21 ZINC001439171646 1074419050 /nfs/dbraw/zinc/41/90/50/1074419050.db2.gz GIBXJKIBUGRMLS-UHFFFAOYSA-N 0 0 443.485 -0.100 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)CN(C)S(=O)(=O)c1cccnc1 ZINC001439172575 1074418854 /nfs/dbraw/zinc/41/88/54/1074418854.db2.gz BWRRWQIWERFFRU-UHFFFAOYSA-N 0 0 447.517 -0.407 20 0 IBADRN C[C@@H](C(=O)NCC(=O)NCC(=O)NCc1ccccc1)S(=O)(=O)C1CCOCC1 ZINC001439175246 1074419022 /nfs/dbraw/zinc/41/90/22/1074419022.db2.gz SARGTHOWDQJVTJ-AWEZNQCLSA-N 0 0 425.507 -0.483 20 0 IBADRN C[C@H](C(=O)NCC(=O)NCC(=O)NCc1ccccc1)S(=O)(=O)C1CCOCC1 ZINC001439175249 1074419728 /nfs/dbraw/zinc/41/97/28/1074419728.db2.gz SARGTHOWDQJVTJ-CQSZACIVSA-N 0 0 425.507 -0.483 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)c1c2c(nn1C)CCS(=O)(=O)C2 ZINC001439175968 1074419729 /nfs/dbraw/zinc/41/97/29/1074419729.db2.gz JMZCSVNNAGHRDS-UHFFFAOYSA-N 0 0 447.517 -0.604 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1 ZINC001439178180 1074419710 /nfs/dbraw/zinc/41/97/10/1074419710.db2.gz RFMZVLPKSUGJKP-UHFFFAOYSA-N 0 0 447.536 -0.313 20 0 IBADRN CNC(=O)C1(NC(=O)CN(C)S(=O)(=O)c2ccc(NC(C)=O)cc2)CCOCC1 ZINC001439288174 1074419748 /nfs/dbraw/zinc/41/97/48/1074419748.db2.gz IFEQIWCPVRLHPN-UHFFFAOYSA-N 0 0 426.495 -0.323 20 0 IBADRN CNC(=O)C1(NC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCOCC1 ZINC001439292321 1074419684 /nfs/dbraw/zinc/41/96/84/1074419684.db2.gz ROVMVWOMKIIPHU-UHFFFAOYSA-N 0 0 427.527 -0.459 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)Cn2cnc3c(c(Br)nn3C)c2=O)CC1 ZINC001439293905 1074419739 /nfs/dbraw/zinc/41/97/39/1074419739.db2.gz SBMBRJRZPUBLMO-UHFFFAOYSA-N 0 0 447.315 -0.567 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NCCN3CCNC3=O)CC2)o1 ZINC001439310639 1074419721 /nfs/dbraw/zinc/41/97/21/1074419721.db2.gz HVWKLWZEDOQDKZ-UHFFFAOYSA-N 0 0 442.494 -0.002 20 0 IBADRN CO[C@]1(CNC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CCS(=O)(=O)C1 ZINC001439402858 1074419726 /nfs/dbraw/zinc/41/97/26/1074419726.db2.gz BNEOHCLRPYISCE-KRWDZBQOSA-N 0 0 433.504 -0.059 20 0 IBADRN CO[C@@]1(CNC(=O)CCS(=O)(=O)c2ccc3c(c2)OCCO3)CCS(=O)(=O)C1 ZINC001439402860 1074419718 /nfs/dbraw/zinc/41/97/18/1074419718.db2.gz BNEOHCLRPYISCE-QGZVFWFLSA-N 0 0 433.504 -0.059 20 0 IBADRN CO[C@]1(CNC(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CCS(=O)(=O)C1 ZINC001439402965 1074419754 /nfs/dbraw/zinc/41/97/54/1074419754.db2.gz CDUDUSFKAZRAQC-ACJLOTCBSA-N 0 0 433.527 -0.226 20 0 IBADRN CO[C@@]1(CNC(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CCS(=O)(=O)C1 ZINC001439402968 1074419744 /nfs/dbraw/zinc/41/97/44/1074419744.db2.gz CDUDUSFKAZRAQC-FZKQIMNGSA-N 0 0 433.527 -0.226 20 0 IBADRN CO[C@@]1(CNC(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CCS(=O)(=O)C1 ZINC001439402971 1074419723 /nfs/dbraw/zinc/41/97/23/1074419723.db2.gz CDUDUSFKAZRAQC-SCLBCKFNSA-N 0 0 433.527 -0.226 20 0 IBADRN CO[C@]1(CNC(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CCS(=O)(=O)C1 ZINC001439402974 1074419735 /nfs/dbraw/zinc/41/97/35/1074419735.db2.gz CDUDUSFKAZRAQC-UGSOOPFHSA-N 0 0 433.527 -0.226 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC(C)(C)CNS(C)(=O)=O)C1=O ZINC001439523703 1074419733 /nfs/dbraw/zinc/41/97/33/1074419733.db2.gz HNOWXGLWRJHFAC-GOSISDBHSA-N 0 0 426.495 -0.094 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC(C)(C)CNS(C)(=O)=O)C1=O ZINC001439523704 1074419716 /nfs/dbraw/zinc/41/97/16/1074419716.db2.gz HNOWXGLWRJHFAC-SFHVURJKSA-N 0 0 426.495 -0.094 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCCS(=O)(=O)N2CCCC2)cc1 ZINC001439682685 1074419714 /nfs/dbraw/zinc/41/97/14/1074419714.db2.gz GWZKVVYBLPWNMN-UHFFFAOYSA-N 0 0 446.551 -0.193 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001439729313 1074419705 /nfs/dbraw/zinc/41/97/05/1074419705.db2.gz FJBFOMKMARYFHC-HNNXBMFYSA-N 0 0 427.523 -0.125 20 0 IBADRN Cc1cc(=O)n(CC(=O)NC(C)C)c(C)c1C(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001439729314 1074420171 /nfs/dbraw/zinc/42/01/71/1074420171.db2.gz FJBFOMKMARYFHC-OAHLLOKOSA-N 0 0 427.523 -0.125 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001439749842 1074420176 /nfs/dbraw/zinc/42/01/76/1074420176.db2.gz SMFGHVKFKYLXIN-BETUJISGSA-N 0 0 435.545 -0.379 20 0 IBADRN C[C@]12CN(C(=O)c3cccc(S(=O)(=O)N4CCNC(=O)C4)c3)C[C@H]1CS(=O)(=O)C2 ZINC001439873259 1074420151 /nfs/dbraw/zinc/42/01/51/1074420151.db2.gz KNJTYEAFSHLACV-KBXCAEBGSA-N 0 0 441.531 -0.686 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1C[C@H]2CS(=O)(=O)C[C@@]2(C)C1 ZINC001439876024 1074420140 /nfs/dbraw/zinc/42/01/40/1074420140.db2.gz ZQFKQIQRXNNVLW-SUMWQHHRSA-N 0 0 431.536 -0.447 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC001439877404 1074420203 /nfs/dbraw/zinc/42/02/03/1074420203.db2.gz AGPHRJYTPAJNKH-INIZCTEOSA-N 0 0 439.490 -0.528 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC001439877406 1074420159 /nfs/dbraw/zinc/42/01/59/1074420159.db2.gz AGPHRJYTPAJNKH-MRXNPFEDSA-N 0 0 439.490 -0.528 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001439878563 1074420622 /nfs/dbraw/zinc/42/06/22/1074420622.db2.gz GRRRSFFPDXZXDW-HNNXBMFYSA-N 0 0 448.501 -0.310 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001439878564 1074420655 /nfs/dbraw/zinc/42/06/55/1074420655.db2.gz GRRRSFFPDXZXDW-OAHLLOKOSA-N 0 0 448.501 -0.310 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001439880848 1074420677 /nfs/dbraw/zinc/42/06/77/1074420677.db2.gz UYLGBZMCQBKCCE-CHWSQXEVSA-N 0 0 426.470 -0.704 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001439880855 1074420670 /nfs/dbraw/zinc/42/06/70/1074420670.db2.gz UYLGBZMCQBKCCE-OLZOCXBDSA-N 0 0 426.470 -0.704 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001439880859 1074420723 /nfs/dbraw/zinc/42/07/23/1074420723.db2.gz UYLGBZMCQBKCCE-QWHCGFSZSA-N 0 0 426.470 -0.704 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001439880864 1074420720 /nfs/dbraw/zinc/42/07/20/1074420720.db2.gz UYLGBZMCQBKCCE-STQMWFEESA-N 0 0 426.470 -0.704 20 0 IBADRN CN(c1cccc(CNC(=O)c2cnc3c(c2)c(=O)n(C)c(=O)n3C)c1)S(C)(=O)=O ZINC001439995426 1074420704 /nfs/dbraw/zinc/42/07/04/1074420704.db2.gz KLLGVBGFIOCMIP-UHFFFAOYSA-N 0 0 431.474 -0.042 20 0 IBADRN CN(c1cccc(CNC(=O)c2cc3n(n2)CCN(C)S3(=O)=O)c1)S(C)(=O)=O ZINC001439997479 1074420690 /nfs/dbraw/zinc/42/06/90/1074420690.db2.gz PACVCUHDTJLPRZ-UHFFFAOYSA-N 0 0 427.508 -0.157 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)C[C@H]1C(=O)OC ZINC001440072434 1074420652 /nfs/dbraw/zinc/42/06/52/1074420652.db2.gz CDLXTAUIVPWNOL-BFHYXJOUSA-N 0 0 441.481 -0.125 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)C[C@H]1C(=O)OC ZINC001440072439 1074420696 /nfs/dbraw/zinc/42/06/96/1074420696.db2.gz CDLXTAUIVPWNOL-MCIONIFRSA-N 0 0 441.481 -0.125 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)C[C@H]1C(=O)OC ZINC001440072444 1074420634 /nfs/dbraw/zinc/42/06/34/1074420634.db2.gz CDLXTAUIVPWNOL-MELADBBJSA-N 0 0 441.481 -0.125 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)C[C@H]1C(=O)OC ZINC001440072446 1074420680 /nfs/dbraw/zinc/42/06/80/1074420680.db2.gz CDLXTAUIVPWNOL-MGPQQGTHSA-N 0 0 441.481 -0.125 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C[C@H]1C(=O)OC ZINC001440080830 1074420642 /nfs/dbraw/zinc/42/06/42/1074420642.db2.gz QXMLHOFMJOVDDN-LRAJWGHMSA-N 0 0 447.444 -0.117 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C[C@H]1C(=O)OC ZINC001440080834 1074421054 /nfs/dbraw/zinc/42/10/54/1074421054.db2.gz QXMLHOFMJOVDDN-PZPWOCDFSA-N 0 0 447.444 -0.117 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)C[C@H]1C(=O)OC ZINC001440080837 1074421044 /nfs/dbraw/zinc/42/10/44/1074421044.db2.gz QXMLHOFMJOVDDN-VTJXTGGHSA-N 0 0 447.444 -0.117 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)C[C@H]1C(=O)OC ZINC001440080840 1074420829 /nfs/dbraw/zinc/42/08/29/1074420829.db2.gz QXMLHOFMJOVDDN-ZSDSOXJFSA-N 0 0 447.444 -0.117 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN2CC(F)(F)C[C@H]2C1 ZINC001440143347 1074420916 /nfs/dbraw/zinc/42/09/16/1074420916.db2.gz ZWZADNGYQHSRQQ-AWEZNQCLSA-N 0 0 428.461 -0.028 20 0 IBADRN CN1C[C@H](C(=O)NCCCN2C(=O)NC(C)(C)C2=O)N(C(=O)OC(C)(C)C)CC1=O ZINC001440155310 1074420970 /nfs/dbraw/zinc/42/09/70/1074420970.db2.gz NGUHKRIXSZAHHL-GFCCVEGCSA-N 0 0 425.486 -0.099 20 0 IBADRN CN1C[C@@H](C(=O)NCCCN2C(=O)NC(C)(C)C2=O)N(C(=O)OC(C)(C)C)CC1=O ZINC001440155313 1074420842 /nfs/dbraw/zinc/42/08/42/1074420842.db2.gz NGUHKRIXSZAHHL-LBPRGKRZSA-N 0 0 425.486 -0.099 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOC1 ZINC001440221208 1074420891 /nfs/dbraw/zinc/42/08/91/1074420891.db2.gz CPFFTWRVBITGQG-GOSISDBHSA-N 0 0 425.463 -0.883 20 0 IBADRN COC(=O)[C@]1(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCOC1 ZINC001440221212 1074421021 /nfs/dbraw/zinc/42/10/21/1074421021.db2.gz CPFFTWRVBITGQG-SFHVURJKSA-N 0 0 425.463 -0.883 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@]2(C(=O)OC)CCOC2)c1 ZINC001440226559 1074420933 /nfs/dbraw/zinc/42/09/33/1074420933.db2.gz YISOWYWRTZHSPT-GOSISDBHSA-N 0 0 429.495 -0.425 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@]2(C(=O)OC)CCOC2)c1 ZINC001440226560 1074421030 /nfs/dbraw/zinc/42/10/30/1074421030.db2.gz YISOWYWRTZHSPT-SFHVURJKSA-N 0 0 429.495 -0.425 20 0 IBADRN CS(=O)(=O)CC1(C(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)COC1 ZINC001440339674 1074421640 /nfs/dbraw/zinc/42/16/40/1074421640.db2.gz AOJZINUZYITAFV-UHFFFAOYSA-N 0 0 438.474 -0.141 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001440341571 1074421563 /nfs/dbraw/zinc/42/15/63/1074421563.db2.gz CGOSPPCHBKJCQF-UHFFFAOYSA-N 0 0 426.467 -0.806 20 0 IBADRN O=C(c1nc2ncc(CCO)cn2n1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001440423856 1074421515 /nfs/dbraw/zinc/42/15/15/1074421515.db2.gz SJDFKLQLDGVKST-UHFFFAOYSA-N 0 0 430.490 -0.053 20 0 IBADRN O=C(CO)NCCC(=O)N1CCN(S(=O)(=O)c2ccc(Br)s2)CC1 ZINC001440423869 1074421490 /nfs/dbraw/zinc/42/14/90/1074421490.db2.gz SRKAQAKCWGBPRL-UHFFFAOYSA-N 0 0 440.341 -0.158 20 0 IBADRN O=C(CO)NCCC(=O)N1CCN(S(=O)(=O)c2ccc(Br)cc2)CC1 ZINC001440431563 1074421479 /nfs/dbraw/zinc/42/14/79/1074421479.db2.gz FXHFIOZEISAPJW-UHFFFAOYSA-N 0 0 434.312 -0.219 20 0 IBADRN CC(C)(CNC(=O)c1cc(S(=O)(=O)NCC(N)=O)ccc1Cl)N1CCOCC1 ZINC001440536040 1074421605 /nfs/dbraw/zinc/42/16/05/1074421605.db2.gz RWYGPRZHYHTUJH-UHFFFAOYSA-N 0 0 432.930 -0.056 20 0 IBADRN COCCNC(=O)CNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12 ZINC001440567588 1074421553 /nfs/dbraw/zinc/42/15/53/1074421553.db2.gz YUJOBHURFKZMOZ-HZSPNIEDSA-N 0 0 433.527 -0.465 20 0 IBADRN COCCNC(=O)CNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001440567593 1074421500 /nfs/dbraw/zinc/42/15/00/1074421500.db2.gz YUJOBHURFKZMOZ-MCIONIFRSA-N 0 0 433.527 -0.465 20 0 IBADRN COCCNC(=O)CNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12 ZINC001440567599 1074421661 /nfs/dbraw/zinc/42/16/61/1074421661.db2.gz YUJOBHURFKZMOZ-MGPQQGTHSA-N 0 0 433.527 -0.465 20 0 IBADRN COCCNC(=O)CNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001440567604 1074421548 /nfs/dbraw/zinc/42/15/48/1074421548.db2.gz YUJOBHURFKZMOZ-RDBSUJKOSA-N 0 0 433.527 -0.465 20 0 IBADRN CO[C@@]1(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS(=O)(=O)C1 ZINC001440611861 1074421681 /nfs/dbraw/zinc/42/16/81/1074421681.db2.gz BZEHLIARVCABAE-KRWDZBQOSA-N 0 0 432.520 -0.473 20 0 IBADRN CO[C@]1(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS(=O)(=O)C1 ZINC001440611862 1074421668 /nfs/dbraw/zinc/42/16/68/1074421668.db2.gz BZEHLIARVCABAE-QGZVFWFLSA-N 0 0 432.520 -0.473 20 0 IBADRN CS(=O)(=O)CC1(C(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)COC1 ZINC001440612684 1074422116 /nfs/dbraw/zinc/42/21/16/1074422116.db2.gz JTKHHLSBMKKRGN-UHFFFAOYSA-N 0 0 432.520 -0.615 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)c1cn(C(F)(F)CO)nn1 ZINC001440612780 1074422204 /nfs/dbraw/zinc/42/22/04/1074422204.db2.gz MURRKMWFRPGBLB-UHFFFAOYSA-N 0 0 431.421 -0.229 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)CC1 ZINC001440618596 1074422307 /nfs/dbraw/zinc/42/23/07/1074422307.db2.gz NCCRQQISBFWSMQ-HNNXBMFYSA-N 0 0 426.539 -0.372 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)CC1 ZINC001440618600 1074422280 /nfs/dbraw/zinc/42/22/80/1074422280.db2.gz NCCRQQISBFWSMQ-OAHLLOKOSA-N 0 0 426.539 -0.372 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CCn3cc(C(N)=O)c(=O)[nH]c3=O)CC2)cc1F ZINC001440628598 1074422258 /nfs/dbraw/zinc/42/22/58/1074422258.db2.gz STQMDKFOJXPVBR-UHFFFAOYSA-N 0 0 433.440 -0.070 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)c1OC ZINC001440629091 1074422290 /nfs/dbraw/zinc/42/22/90/1074422290.db2.gz WLFLVULNYKWZOW-AWEZNQCLSA-N 0 0 440.522 -0.096 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)c1OC ZINC001440629098 1074422302 /nfs/dbraw/zinc/42/23/02/1074422302.db2.gz WLFLVULNYKWZOW-CQSZACIVSA-N 0 0 440.522 -0.096 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@@H]3CSCC(=O)N3)CC2)c1 ZINC001440644304 1074422210 /nfs/dbraw/zinc/42/22/10/1074422210.db2.gz JTPASTAHLVBUHL-ZDUSSCGKSA-N 0 0 429.520 -0.232 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@H]3OCCN(C)C3=O)CC2)c1 ZINC001440646252 1074422240 /nfs/dbraw/zinc/42/22/40/1074422240.db2.gz MYQIOZNZMPRATD-INIZCTEOSA-N 0 0 427.479 -0.606 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)[C@@H]3OCCN(C)C3=O)CC2)c1 ZINC001440646419 1074422100 /nfs/dbraw/zinc/42/21/00/1074422100.db2.gz MYQIOZNZMPRATD-MRXNPFEDSA-N 0 0 427.479 -0.606 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2c(C)[nH]nc2I)CC1 ZINC001440665948 1074422314 /nfs/dbraw/zinc/42/23/14/1074422314.db2.gz JXPKDZOCVVPTEW-UHFFFAOYSA-N 0 0 435.266 -0.157 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C[C@H]2CS(=O)(=O)c3ccccc3O2)CC1 ZINC001440665978 1074422250 /nfs/dbraw/zinc/42/22/50/1074422250.db2.gz KQWRJHNDEJWKGK-HNNXBMFYSA-N 0 0 425.507 -0.482 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)C[C@@H]2CS(=O)(=O)c3ccccc3O2)CC1 ZINC001440665979 1074422224 /nfs/dbraw/zinc/42/22/24/1074422224.db2.gz KQWRJHNDEJWKGK-OAHLLOKOSA-N 0 0 425.507 -0.482 20 0 IBADRN Cc1ccc([C@H](CN2CCOCC2)NC(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)cc1 ZINC001440685839 1074422179 /nfs/dbraw/zinc/42/21/79/1074422179.db2.gz TYUWPWWMQFTIEF-KRWDZBQOSA-N 0 0 429.477 -0.064 20 0 IBADRN Cc1ccc([C@@H](CN2CCOCC2)NC(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)cc1 ZINC001440685852 1074422127 /nfs/dbraw/zinc/42/21/27/1074422127.db2.gz TYUWPWWMQFTIEF-QGZVFWFLSA-N 0 0 429.477 -0.064 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC001440701012 1074422272 /nfs/dbraw/zinc/42/22/72/1074422272.db2.gz HJNBJJUHKRCPGY-UHFFFAOYSA-N 0 0 436.519 -0.065 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)c2cnn3c2S(=O)(=O)N(C)CC3)cc1 ZINC001440706020 1074422165 /nfs/dbraw/zinc/42/21/65/1074422165.db2.gz ZIJLTHJHNOWSKD-UHFFFAOYSA-N 0 0 427.508 -0.504 20 0 IBADRN CC(=O)N1CCCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001440721383 1074422311 /nfs/dbraw/zinc/42/23/11/1074422311.db2.gz JWNARGXKOYTEPF-KRWDZBQOSA-N 0 0 445.563 -0.151 20 0 IBADRN CC(=O)N1CCCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001440721387 1074422144 /nfs/dbraw/zinc/42/21/44/1074422144.db2.gz JWNARGXKOYTEPF-QGZVFWFLSA-N 0 0 445.563 -0.151 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H](CO)NC(=O)c3ccc(F)cc3)CC2)no1 ZINC001440775043 1074422910 /nfs/dbraw/zinc/42/29/10/1074422910.db2.gz VORBBADYDMDEDM-INIZCTEOSA-N 0 0 433.440 -0.004 20 0 IBADRN O=C(C[C@@H]1COC(=O)C1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440778539 1074422754 /nfs/dbraw/zinc/42/27/54/1074422754.db2.gz CSTLRCPZWWVKIU-HNNXBMFYSA-N 0 0 438.506 -0.296 20 0 IBADRN O=C(C[C@H]1COC(=O)C1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440778543 1074422856 /nfs/dbraw/zinc/42/28/56/1074422856.db2.gz CSTLRCPZWWVKIU-OAHLLOKOSA-N 0 0 438.506 -0.296 20 0 IBADRN CCNC(=O)CC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440779459 1074422882 /nfs/dbraw/zinc/42/28/82/1074422882.db2.gz ASVMYAOZKMSDSC-UHFFFAOYSA-N 0 0 425.511 -0.723 20 0 IBADRN COC(=O)N(C)CC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440780605 1074422829 /nfs/dbraw/zinc/42/28/29/1074422829.db2.gz DSUULUBXYQEANJ-UHFFFAOYSA-N 0 0 441.510 -0.551 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440780612 1074422732 /nfs/dbraw/zinc/42/27/32/1074422732.db2.gz DXJOQOAAVDEWNY-CVEARBPZSA-N 0 0 437.522 -0.737 20 0 IBADRN NC(=O)[C@H]1CC[C@@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440780616 1074422821 /nfs/dbraw/zinc/42/28/21/1074422821.db2.gz DXJOQOAAVDEWNY-HOTGVXAUSA-N 0 0 437.522 -0.737 20 0 IBADRN NC(=O)[C@@H]1CC[C@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440780620 1074422810 /nfs/dbraw/zinc/42/28/10/1074422810.db2.gz DXJOQOAAVDEWNY-HZPDHXFCSA-N 0 0 437.522 -0.737 20 0 IBADRN NC(=O)[C@H]1CC[C@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440780623 1074422866 /nfs/dbraw/zinc/42/28/66/1074422866.db2.gz DXJOQOAAVDEWNY-JKSUJKDBSA-N 0 0 437.522 -0.737 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001440783395 1074422895 /nfs/dbraw/zinc/42/28/95/1074422895.db2.gz LSUCYTKRIQKABI-CXAGYDPISA-N 0 0 433.484 -0.389 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001440783402 1074422781 /nfs/dbraw/zinc/42/27/81/1074422781.db2.gz LSUCYTKRIQKABI-DYVFJYSZSA-N 0 0 433.484 -0.389 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001440783410 1074422873 /nfs/dbraw/zinc/42/28/73/1074422873.db2.gz LSUCYTKRIQKABI-GUYCJALGSA-N 0 0 433.484 -0.389 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001440783416 1074422684 /nfs/dbraw/zinc/42/26/84/1074422684.db2.gz LSUCYTKRIQKABI-SUMWQHHRSA-N 0 0 433.484 -0.389 20 0 IBADRN O=C(c1ccc(CO)nc1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440784516 1074422839 /nfs/dbraw/zinc/42/28/39/1074422839.db2.gz ODOSVFFUPYYGTJ-UHFFFAOYSA-N 0 0 447.517 -0.048 20 0 IBADRN O=C(CC1OCCCO1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440785784 1074422715 /nfs/dbraw/zinc/42/27/15/1074422715.db2.gz SVPWTYPABGQADQ-UHFFFAOYSA-N 0 0 440.522 -0.096 20 0 IBADRN Cn1cccc1C(=O)C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440786862 1074422937 /nfs/dbraw/zinc/42/29/37/1074422937.db2.gz UAGIIGGARUKHKC-UHFFFAOYSA-N 0 0 447.517 -0.028 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@@H](C)C(=O)NC3CC3)CC2)[C@H]1c1ccnn1C ZINC001440786863 1074422658 /nfs/dbraw/zinc/42/26/58/1074422658.db2.gz QHSZNYLRDMXRNJ-KYNGSXCRSA-N 0 0 432.525 -0.480 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN([C@H](C)C(=O)NC3CC3)CC2)[C@H]1c1ccnn1C ZINC001440786867 1074422928 /nfs/dbraw/zinc/42/29/28/1074422928.db2.gz QHSZNYLRDMXRNJ-ZMYBRWDISA-N 0 0 432.525 -0.480 20 0 IBADRN CN(C)C(=O)/C=C/C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440786877 1074422703 /nfs/dbraw/zinc/42/27/03/1074422703.db2.gz UDEMKZUPRXNCDW-AATRIKPKSA-N 0 0 437.522 -0.605 20 0 IBADRN CN(C)C(=O)/C=C\C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440786883 1074422795 /nfs/dbraw/zinc/42/27/95/1074422795.db2.gz UDEMKZUPRXNCDW-WAYWQWQTSA-N 0 0 437.522 -0.605 20 0 IBADRN Cn1ccc(CC(=O)N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)n1 ZINC001440787891 1074423514 /nfs/dbraw/zinc/42/35/14/1074423514.db2.gz YUCADRWUFKGCSF-UHFFFAOYSA-N 0 0 434.522 -0.273 20 0 IBADRN Cc1cncn1CC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001440787934 1074423476 /nfs/dbraw/zinc/42/34/76/1074423476.db2.gz YZPCPMYZPFOOKF-UHFFFAOYSA-N 0 0 434.522 -0.044 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001440788767 1074423438 /nfs/dbraw/zinc/42/34/38/1074423438.db2.gz VPUNHEIEGBTXDC-INIZCTEOSA-N 0 0 446.957 -0.350 20 0 IBADRN CCNC(=O)CN1CCCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001440788772 1074423469 /nfs/dbraw/zinc/42/34/69/1074423469.db2.gz VPUNHEIEGBTXDC-MRXNPFEDSA-N 0 0 446.957 -0.350 20 0 IBADRN O=C([C@H]1CC(=O)N1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC001440799011 1074423498 /nfs/dbraw/zinc/42/34/98/1074423498.db2.gz SQHORJKMUDPLOS-LLVKDONJSA-N 0 0 428.854 -0.608 20 0 IBADRN O=C([C@@H]1CC(=O)N1)N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1 ZINC001440799012 1074423513 /nfs/dbraw/zinc/42/35/13/1074423513.db2.gz SQHORJKMUDPLOS-NSHDSACASA-N 0 0 428.854 -0.608 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2c[nH]nc2I)CC1 ZINC001440824007 1074423456 /nfs/dbraw/zinc/42/34/56/1074423456.db2.gz HYKLSWWGOIGFBQ-UHFFFAOYSA-N 0 0 433.250 -0.369 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)c2c(Br)nc3n2CCOC3)CC1 ZINC001440830459 1074423503 /nfs/dbraw/zinc/42/35/03/1074423503.db2.gz CMPAWHIPKRFSBY-UHFFFAOYSA-N 0 0 442.314 -0.208 20 0 IBADRN Cn1nc(I)cc1C(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001440830969 1074423374 /nfs/dbraw/zinc/42/33/74/1074423374.db2.gz YMYBGEQLPKAGJJ-UHFFFAOYSA-N 0 0 447.277 -0.359 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)cc1=O ZINC001440837370 1074423487 /nfs/dbraw/zinc/42/34/87/1074423487.db2.gz ROTVOZMKXMQJBB-UHFFFAOYSA-N 0 0 427.299 -0.236 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001440863350 1074423452 /nfs/dbraw/zinc/42/34/52/1074423452.db2.gz RFMSWBAHRLBQEX-INIZCTEOSA-N 0 0 447.941 -0.020 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001440863353 1074423431 /nfs/dbraw/zinc/42/34/31/1074423431.db2.gz RFMSWBAHRLBQEX-MRXNPFEDSA-N 0 0 447.941 -0.020 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)N2CCC3(CC2)NC(=O)NC3=O)CCS(=O)(=O)CC1 ZINC001440888773 1074423416 /nfs/dbraw/zinc/42/34/16/1074423416.db2.gz VSMSIWFWDQANIO-UHFFFAOYSA-N 0 0 429.495 -0.276 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@H](CO)NC(=O)c2ccc(F)cc2)CC1 ZINC001440899339 1074423394 /nfs/dbraw/zinc/42/33/94/1074423394.db2.gz YOXGJQOPGXZSIK-INIZCTEOSA-N 0 0 427.440 -0.058 20 0 IBADRN O=C(C1=CCS(=O)(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001440911105 1074423447 /nfs/dbraw/zinc/42/34/47/1074423447.db2.gz ALVNXHVEEXAAEJ-UHFFFAOYSA-N 0 0 428.488 -0.355 20 0 IBADRN NS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1 ZINC001440920220 1074423482 /nfs/dbraw/zinc/42/34/82/1074423482.db2.gz XLPAVMFUJHCHRN-UHFFFAOYSA-N 0 0 431.492 -0.888 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)NC(=O)Cn3cnnn3)CC2)cc1C ZINC001440923502 1074423383 /nfs/dbraw/zinc/42/33/83/1074423383.db2.gz MASXTWSAXPIBFW-HNNXBMFYSA-N 0 0 435.510 -0.672 20 0 IBADRN O=C(N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)c1cccc(F)n1 ZINC001440924195 1074423408 /nfs/dbraw/zinc/42/34/08/1074423408.db2.gz NDWFTOAHMVEDQL-INIZCTEOSA-N 0 0 436.465 -0.156 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)[C@@H](O)C(=O)N2C)cn1 ZINC001440936348 1074423917 /nfs/dbraw/zinc/42/39/17/1074423917.db2.gz CSWMXAGIMUTEQT-RBSFLKMASA-N 0 0 432.481 -0.719 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001440940298 1074423935 /nfs/dbraw/zinc/42/39/35/1074423935.db2.gz NPPRNKOZXNFALW-UHFFFAOYSA-N 0 0 425.507 -0.409 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC001440940767 1074424080 /nfs/dbraw/zinc/42/40/80/1074424080.db2.gz SJAQILOLZDIBMS-AAEUAGOBSA-N 0 0 425.554 -0.730 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC001440940770 1074424009 /nfs/dbraw/zinc/42/40/09/1074424009.db2.gz SJAQILOLZDIBMS-DGCLKSJQSA-N 0 0 425.554 -0.730 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC001440940774 1074424041 /nfs/dbraw/zinc/42/40/41/1074424041.db2.gz SJAQILOLZDIBMS-WCQYABFASA-N 0 0 425.554 -0.730 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC001440942471 1074424021 /nfs/dbraw/zinc/42/40/21/1074424021.db2.gz SJAQILOLZDIBMS-YPMHNXCESA-N 0 0 425.554 -0.730 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001440942651 1074424095 /nfs/dbraw/zinc/42/40/95/1074424095.db2.gz SZHJULJTDDRMBB-UHFFFAOYSA-N 0 0 442.494 -0.439 20 0 IBADRN NS(=O)(=O)c1ccc(OCCC(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001440944089 1074424046 /nfs/dbraw/zinc/42/40/46/1074424046.db2.gz ZYCHOJJBTXTHGJ-UHFFFAOYSA-N 0 0 426.495 -0.301 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CC1(O)CN(C(=O)OC(C)(C)C)C1 ZINC001440952768 1074423881 /nfs/dbraw/zinc/42/38/81/1074423881.db2.gz LCTRLPXKAUCESA-CYBMUJFWSA-N 0 0 433.527 -0.490 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CC1(O)CN(C(=O)OC(C)(C)C)C1 ZINC001440952773 1074424069 /nfs/dbraw/zinc/42/40/69/1074424069.db2.gz LCTRLPXKAUCESA-ZDUSSCGKSA-N 0 0 433.527 -0.490 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1c(Br)nc2n1CCOC2 ZINC001440956841 1074424087 /nfs/dbraw/zinc/42/40/87/1074424087.db2.gz WYNPJXDVWASQDT-JTQLQIEISA-N 0 0 449.327 -0.059 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1c(Br)nc2n1CCOC2 ZINC001440956988 1074423901 /nfs/dbraw/zinc/42/39/01/1074423901.db2.gz WYNPJXDVWASQDT-SNVBAGLBSA-N 0 0 449.327 -0.059 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCCCN3C(=O)NC4(CCCCC4)C3=O)[C@@H](O)C(=O)N2C)cn1 ZINC001440980298 1074423973 /nfs/dbraw/zinc/42/39/73/1074423973.db2.gz WVQGXPNGQCBLGX-BZUAXINKSA-N 0 0 446.508 -0.329 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001441015094 1074424075 /nfs/dbraw/zinc/42/40/75/1074424075.db2.gz RVNUGQHSSDLKCY-GFCCVEGCSA-N 0 0 438.549 -0.596 20 0 IBADRN CCN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)[C@H]1CCS(=O)(=O)C1 ZINC001441015096 1074424029 /nfs/dbraw/zinc/42/40/29/1074424029.db2.gz RVNUGQHSSDLKCY-LBPRGKRZSA-N 0 0 438.549 -0.596 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001441017107 1074424056 /nfs/dbraw/zinc/42/40/56/1074424056.db2.gz SNHZTMZHLZXTHX-DOTOQJQBSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001441017108 1074424708 /nfs/dbraw/zinc/42/47/08/1074424708.db2.gz SNHZTMZHLZXTHX-NVXWUHKLSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001441017109 1074424798 /nfs/dbraw/zinc/42/47/98/1074424798.db2.gz SNHZTMZHLZXTHX-RDJZCZTQSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001441017110 1074424659 /nfs/dbraw/zinc/42/46/59/1074424659.db2.gz SNHZTMZHLZXTHX-WBVHZDCISA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)NCCCNC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC001441059017 1074424778 /nfs/dbraw/zinc/42/47/78/1074424778.db2.gz NMOZWKQBWQOWRX-UHFFFAOYSA-N 0 0 439.581 -0.190 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)[C@H]3[C@@H](O)C(=O)N(C)[C@@H]3c3cnn(C)c3)CC2)cc1 ZINC001441080905 1074424731 /nfs/dbraw/zinc/42/47/31/1074424731.db2.gz RWKDUQMNENTROY-GUDVDZBRSA-N 0 0 441.488 -0.097 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)N(C)C2CCCCC2)CC1 ZINC001441120199 1074424613 /nfs/dbraw/zinc/42/46/13/1074424613.db2.gz SRXUTXKDZPOQKM-UHFFFAOYSA-N 0 0 425.577 -0.964 20 0 IBADRN NC(=O)C1(CNC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CCOCC1 ZINC001441227519 1074424587 /nfs/dbraw/zinc/42/45/87/1074424587.db2.gz OGMMGCSPCQHRAF-UHFFFAOYSA-N 0 0 425.507 -0.156 20 0 IBADRN CCCS(=O)(=O)NC1CCN(C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC001441231901 1074424717 /nfs/dbraw/zinc/42/47/17/1074424717.db2.gz AAUVEWPPKPLXBU-BZUAXINKSA-N 0 0 427.527 -0.769 20 0 IBADRN CC(C)[C@H](NCC(F)(F)F)C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001441231916 1074424564 /nfs/dbraw/zinc/42/45/64/1074424564.db2.gz AAXJPIATGZCDAI-AWEZNQCLSA-N 0 0 429.509 -0.201 20 0 IBADRN Cc1nnnn1-c1ccc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cc1 ZINC001441232728 1074424520 /nfs/dbraw/zinc/42/45/20/1074424520.db2.gz BCFHMPOKBRAZSZ-UHFFFAOYSA-N 0 0 434.526 -0.779 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)Cc3ccc(-n4cnnc4)cc3)CC2)CC1 ZINC001441236055 1074424824 /nfs/dbraw/zinc/42/48/24/1074424824.db2.gz ICAHUGHQNXRXDS-UHFFFAOYSA-N 0 0 433.538 -0.554 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCC[C@@H]3N3CCCC3=O)CC2)CC1 ZINC001441236159 1074424761 /nfs/dbraw/zinc/42/47/61/1074424761.db2.gz IUZMNCLXQTVXOP-MSOLQXFVSA-N 0 0 441.598 -0.196 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)C3CCC(S(C)(=O)=O)CC3)CC2)CC1 ZINC001441237365 1074424643 /nfs/dbraw/zinc/42/46/43/1074424643.db2.gz KOYVRTZSTVPVPZ-UHFFFAOYSA-N 0 0 436.600 -0.774 20 0 IBADRN Cc1cn(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)nc1C(F)(F)F ZINC001441237604 1074424843 /nfs/dbraw/zinc/42/48/43/1074424843.db2.gz MLSBPQUIZODRED-UHFFFAOYSA-N 0 0 438.476 -0.153 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnc(-c4ccccn4)nc3)CC2)CC1 ZINC001441237651 1074425493 /nfs/dbraw/zinc/42/54/93/1074425493.db2.gz MZLWVCTYBGVPGP-UHFFFAOYSA-N 0 0 431.522 -0.211 20 0 IBADRN CCCOc1ccnc(CC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC001441239488 1074425505 /nfs/dbraw/zinc/42/55/05/1074425505.db2.gz RHQQETKCAMYJLW-UHFFFAOYSA-N 0 0 426.543 -0.556 20 0 IBADRN Cc1c(Br)cnn1CC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001441239532 1074425483 /nfs/dbraw/zinc/42/54/83/1074425483.db2.gz RPIMHLUQJOGASQ-UHFFFAOYSA-N 0 0 449.375 -0.410 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@]3(c4ccccc4)CNC(=O)C3)CC2)CC1 ZINC001441239553 1074425477 /nfs/dbraw/zinc/42/54/77/1074425477.db2.gz RUPGLFSOWLEOPB-FQEVSTJZSA-N 0 0 435.550 -0.919 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@]3(c4ccccc4)CNC(=O)C3)CC2)CC1 ZINC001441239557 1074425404 /nfs/dbraw/zinc/42/54/04/1074425404.db2.gz RUPGLFSOWLEOPB-HXUWFJFHSA-N 0 0 435.550 -0.919 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3c(C(F)F)nc4cnccn43)CC2)CC1 ZINC001441239576 1074425527 /nfs/dbraw/zinc/42/55/27/1074425527.db2.gz SAKZAIMPNZLRTA-UHFFFAOYSA-N 0 0 443.480 -0.083 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3coc4c3C(=O)NCCC4)CC2)CC1 ZINC001441240114 1074425414 /nfs/dbraw/zinc/42/54/14/1074425414.db2.gz SOAIKHQMGJSZGK-UHFFFAOYSA-N 0 0 425.511 -0.794 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN(C(C)(C)C)C3=O)CC2)CC1 ZINC001441240291 1074425384 /nfs/dbraw/zinc/42/53/84/1074425384.db2.gz UTRLEKAHBOOKOX-INIZCTEOSA-N 0 0 429.587 -0.340 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN(C(C)(C)C)C3=O)CC2)CC1 ZINC001441240299 1074425547 /nfs/dbraw/zinc/42/55/47/1074425547.db2.gz UTRLEKAHBOOKOX-MRXNPFEDSA-N 0 0 429.587 -0.340 20 0 IBADRN CCOC(=O)N1CSC[C@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001441241434 1074425459 /nfs/dbraw/zinc/42/54/59/1074425459.db2.gz ZHORXRMJABUKFA-AWEZNQCLSA-N 0 0 435.572 -0.846 20 0 IBADRN CCOC(=O)N1CSC[C@@H]1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001441241436 1074425533 /nfs/dbraw/zinc/42/55/33/1074425533.db2.gz ZHORXRMJABUKFA-CQSZACIVSA-N 0 0 435.572 -0.846 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cccc(S(=O)(=O)N=S(C)(C)=O)c1 ZINC001441248777 1074425449 /nfs/dbraw/zinc/42/54/49/1074425449.db2.gz ODEDFKRZTZOTBS-CYBMUJFWSA-N 0 0 431.492 -0.393 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cccc(S(=O)(=O)N=S(C)(C)=O)c1 ZINC001441248812 1074425431 /nfs/dbraw/zinc/42/54/31/1074425431.db2.gz ODEDFKRZTZOTBS-ZDUSSCGKSA-N 0 0 431.492 -0.393 20 0 IBADRN COC(=O)[C@@H]1CO[C@H](CCC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C1 ZINC001441262873 1074425394 /nfs/dbraw/zinc/42/53/94/1074425394.db2.gz CGRBTNVKDKQCRM-LUKYLMHMSA-N 0 0 447.554 -0.157 20 0 IBADRN COC(=O)[C@@H]1CO[C@H](CCC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C1 ZINC001441262874 1074425498 /nfs/dbraw/zinc/42/54/98/1074425498.db2.gz CGRBTNVKDKQCRM-VVLHAWIVSA-N 0 0 447.554 -0.157 20 0 IBADRN COC(=O)[C@@H]1CO[C@H](CCC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C1 ZINC001441262875 1074425445 /nfs/dbraw/zinc/42/54/45/1074425445.db2.gz CGRBTNVKDKQCRM-WCXIOVBPSA-N 0 0 447.554 -0.157 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n(C)n1 ZINC001441264347 1074425371 /nfs/dbraw/zinc/42/53/71/1074425371.db2.gz GSFCSEJFAXPKSE-BETUJISGSA-N 0 0 429.499 -0.682 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n(C)n1 ZINC001441264348 1074425423 /nfs/dbraw/zinc/42/54/23/1074425423.db2.gz GSFCSEJFAXPKSE-CHWSQXEVSA-N 0 0 429.499 -0.682 20 0 IBADRN COC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n(C)n1 ZINC001441264349 1074426065 /nfs/dbraw/zinc/42/60/65/1074426065.db2.gz GSFCSEJFAXPKSE-STQMWFEESA-N 0 0 429.499 -0.682 20 0 IBADRN CO[C@@H]1CCn2cc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@H](C)C4)CC3)nc2C1 ZINC001441265567 1074425962 /nfs/dbraw/zinc/42/59/62/1074425962.db2.gz HKPHIPXBGPSYJA-BZUAXINKSA-N 0 0 441.554 -0.044 20 0 IBADRN CO[C@@H]1CCn2cc(C(=O)N3CCN(S(=O)(=O)N4C[C@H](C)O[C@@H](C)C4)CC3)nc2C1 ZINC001441265571 1074426142 /nfs/dbraw/zinc/42/61/42/1074426142.db2.gz HKPHIPXBGPSYJA-HRCADAONSA-N 0 0 441.554 -0.044 20 0 IBADRN CO[C@@H]1CCn2cc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@@H](C)C4)CC3)nc2C1 ZINC001441265575 1074425994 /nfs/dbraw/zinc/42/59/94/1074425994.db2.gz HKPHIPXBGPSYJA-OWCLPIDISA-N 0 0 441.554 -0.044 20 0 IBADRN CO[C@H]1CCn2cc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@@H](C)C4)CC3)nc2C1 ZINC001441265579 1074426130 /nfs/dbraw/zinc/42/61/30/1074426130.db2.gz HKPHIPXBGPSYJA-XHSDSOJGSA-N 0 0 441.554 -0.044 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001441266947 1074426095 /nfs/dbraw/zinc/42/60/95/1074426095.db2.gz JKPQYPABKRFMNH-BETUJISGSA-N 0 0 449.555 -0.980 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001441266950 1074426164 /nfs/dbraw/zinc/42/61/64/1074426164.db2.gz JKPQYPABKRFMNH-CHWSQXEVSA-N 0 0 449.555 -0.980 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001441266953 1074426088 /nfs/dbraw/zinc/42/60/88/1074426088.db2.gz JKPQYPABKRFMNH-STQMWFEESA-N 0 0 449.555 -0.980 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)/C=C\c3ccc(C(N)=O)o3)CC2)C[C@@H](C)O1 ZINC001441266995 1074425979 /nfs/dbraw/zinc/42/59/79/1074425979.db2.gz JPUZWMOQEMXHKV-GNXSNYGWSA-N 0 0 426.495 -0.110 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)/C=C\c3ccc(C(N)=O)o3)CC2)C[C@H](C)O1 ZINC001441266997 1074426135 /nfs/dbraw/zinc/42/61/35/1074426135.db2.gz JPUZWMOQEMXHKV-HFBDLHNASA-N 0 0 426.495 -0.110 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)/C=C/c3ccc(C(N)=O)o3)CC2)C[C@@H](C)O1 ZINC001441266999 1074426036 /nfs/dbraw/zinc/42/60/36/1074426036.db2.gz JPUZWMOQEMXHKV-IOKDEZFASA-N 0 0 426.495 -0.110 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)/C=C/c3ccc(C(N)=O)o3)CC2)C[C@H](C)O1 ZINC001441267001 1074426157 /nfs/dbraw/zinc/42/61/57/1074426157.db2.gz JPUZWMOQEMXHKV-MRPPWBEGSA-N 0 0 426.495 -0.110 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCC4N=NC(=O)N4C3)CC2)C[C@H](C)O1 ZINC001441271498 1074426057 /nfs/dbraw/zinc/42/60/57/1074426057.db2.gz QTJKAFJNBQMWKL-HZSPNIEDSA-N 0 0 428.515 -0.956 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCC4N=NC(=O)N4C3)CC2)C[C@@H](C)O1 ZINC001441271502 1074426002 /nfs/dbraw/zinc/42/60/02/1074426002.db2.gz QTJKAFJNBQMWKL-MCIONIFRSA-N 0 0 428.515 -0.956 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCC4N=NC(=O)N4C3)CC2)C[C@@H](C)O1 ZINC001441271506 1074426170 /nfs/dbraw/zinc/42/61/70/1074426170.db2.gz QTJKAFJNBQMWKL-MGPQQGTHSA-N 0 0 428.515 -0.956 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@H]3CCC4N=NC(=O)N4C3)CC2)C[C@H](C)O1 ZINC001441271508 1074426020 /nfs/dbraw/zinc/42/60/20/1074426020.db2.gz QTJKAFJNBQMWKL-MJBXVCDLSA-N 0 0 428.515 -0.956 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4c([nH]c3=O)CCOC4)CC2)C[C@H](C)O1 ZINC001441271510 1074426078 /nfs/dbraw/zinc/42/60/78/1074426078.db2.gz QWYADJQQVWNEDZ-KBPBESRZSA-N 0 0 440.522 -0.028 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4c([nH]c3=O)CCOC4)CC2)C[C@H](C)O1 ZINC001441271511 1074426149 /nfs/dbraw/zinc/42/61/49/1074426149.db2.gz QWYADJQQVWNEDZ-OKILXGFUSA-N 0 0 440.522 -0.028 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cc4c([nH]c3=O)CCOC4)CC2)C[C@@H](C)O1 ZINC001441271512 1074426044 /nfs/dbraw/zinc/42/60/44/1074426044.db2.gz QWYADJQQVWNEDZ-ZIAGYGMSSA-N 0 0 440.522 -0.028 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)[C@H](C)S(C)(=O)=O ZINC001441276400 1074426121 /nfs/dbraw/zinc/42/61/21/1074426121.db2.gz WTYJSNJRZKWRQL-BARDWOONSA-N 0 0 425.573 -0.446 20 0 IBADRN C[C@H]([C@@H](C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)S(C)(=O)=O ZINC001441276403 1074426652 /nfs/dbraw/zinc/42/66/52/1074426652.db2.gz WTYJSNJRZKWRQL-KBUPBQIOSA-N 0 0 425.573 -0.446 20 0 IBADRN C[C@H]([C@@H](C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)S(C)(=O)=O ZINC001441276407 1074426698 /nfs/dbraw/zinc/42/66/98/1074426698.db2.gz WTYJSNJRZKWRQL-LXTVHRRPSA-N 0 0 425.573 -0.446 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)[C@H](C)S(C)(=O)=O ZINC001441276409 1074426774 /nfs/dbraw/zinc/42/67/74/1074426774.db2.gz WTYJSNJRZKWRQL-TUVASFSCSA-N 0 0 425.573 -0.446 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnc4c3CCCC4)CC2)C[C@H](C)O1 ZINC001441278718 1074426712 /nfs/dbraw/zinc/42/67/12/1074426712.db2.gz YRSPYDDZJAZVEG-GASCZTMLSA-N 0 0 426.543 -0.345 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnc4c3CCCC4)CC2)C[C@H](C)O1 ZINC001441278735 1074426475 /nfs/dbraw/zinc/42/64/75/1074426475.db2.gz YRSPYDDZJAZVEG-GJZGRUSLSA-N 0 0 426.543 -0.345 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)Cn3nnc4c3CCCC4)CC2)C[C@@H](C)O1 ZINC001441278752 1074426550 /nfs/dbraw/zinc/42/65/50/1074426550.db2.gz YRSPYDDZJAZVEG-HUUCEWRRSA-N 0 0 426.543 -0.345 20 0 IBADRN CCOC(=O)[C@H](CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1)C(C)=O ZINC001441278848 1074426600 /nfs/dbraw/zinc/42/66/00/1074426600.db2.gz ZAVJCXLVZYNSLY-IIAWOOMASA-N 0 0 433.527 -0.357 20 0 IBADRN CCOC(=O)[C@H](CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)=O ZINC001441278853 1074426785 /nfs/dbraw/zinc/42/67/85/1074426785.db2.gz ZAVJCXLVZYNSLY-IJEWVQPXSA-N 0 0 433.527 -0.357 20 0 IBADRN CCOC(=O)[C@@H](CC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)C(C)=O ZINC001441278859 1074426638 /nfs/dbraw/zinc/42/66/38/1074426638.db2.gz ZAVJCXLVZYNSLY-LZWOXQAQSA-N 0 0 433.527 -0.357 20 0 IBADRN CCOC(=O)[C@H](CC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)C(C)=O ZINC001441278864 1074426662 /nfs/dbraw/zinc/42/66/62/1074426662.db2.gz ZAVJCXLVZYNSLY-OFQRWUPVSA-N 0 0 433.527 -0.357 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CC2(C1)CS(=O)(=O)C2 ZINC001441309275 1074426726 /nfs/dbraw/zinc/42/67/26/1074426726.db2.gz HHHOBZUJBXOTCR-UHFFFAOYSA-N 0 0 427.504 -0.430 20 0 IBADRN COC(=O)CNS(=O)(=O)c1cccc(NC(=O)[C@H]2CCCN(S(C)(=O)=O)C2)c1 ZINC001441349405 1074426682 /nfs/dbraw/zinc/42/66/82/1074426682.db2.gz QXEDLJRPNDUPHA-LBPRGKRZSA-N 0 0 433.508 -0.252 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNC(=O)c3cc4n(n3)CCN(C)S4(=O)=O)cc2)CC1 ZINC001441364954 1074426747 /nfs/dbraw/zinc/42/67/47/1074426747.db2.gz OGOIBNCCBGUAKM-UHFFFAOYSA-N 0 0 446.533 -0.165 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001441369321 1074426582 /nfs/dbraw/zinc/42/65/82/1074426582.db2.gz KIRJWOVMGQQWMF-AWEZNQCLSA-N 0 0 427.527 -0.187 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001441369322 1074426672 /nfs/dbraw/zinc/42/66/72/1074426672.db2.gz KIRJWOVMGQQWMF-CQSZACIVSA-N 0 0 427.527 -0.187 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)c3cc4c(s3)n(C)c(=O)n(C)c4=O)CC2)CC1 ZINC001441377791 1074426614 /nfs/dbraw/zinc/42/66/14/1074426614.db2.gz XMVAJORZGCPZFL-UHFFFAOYSA-N 0 0 433.534 -0.075 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC(C(=O)N3CCN(C)CC3)CC2)[C@H]1c1ccnn1C ZINC001441377984 1074426493 /nfs/dbraw/zinc/42/64/93/1074426493.db2.gz YLFQJFSDQSVGDV-UXHICEINSA-N 0 0 446.552 -0.279 20 0 IBADRN C/C(=C/C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)CN1CCOCC1 ZINC001441388785 1074426792 /nfs/dbraw/zinc/42/67/92/1074426792.db2.gz HHXGKUDVLIPVLZ-OWBHPGMISA-N 0 0 426.495 -0.510 20 0 IBADRN C/C(=C\C(=O)N1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)CN1CCOCC1 ZINC001441388795 1074426522 /nfs/dbraw/zinc/42/65/22/1074426522.db2.gz HHXGKUDVLIPVLZ-WYMLVPIESA-N 0 0 426.495 -0.510 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001441393722 1074427366 /nfs/dbraw/zinc/42/73/66/1074427366.db2.gz HWLOJXZREPDEDQ-KBPBESRZSA-N 0 0 432.543 -0.701 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001441393725 1074427264 /nfs/dbraw/zinc/42/72/64/1074427264.db2.gz HWLOJXZREPDEDQ-KGLIPLIRSA-N 0 0 432.543 -0.701 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001441393726 1074427318 /nfs/dbraw/zinc/42/73/18/1074427318.db2.gz HWLOJXZREPDEDQ-UONOGXRCSA-N 0 0 432.543 -0.701 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCN1C ZINC001441393727 1074427248 /nfs/dbraw/zinc/42/72/48/1074427248.db2.gz HWLOJXZREPDEDQ-ZIAGYGMSSA-N 0 0 432.543 -0.701 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1nc2ncc(Br)cn2n1 ZINC001441396064 1074427283 /nfs/dbraw/zinc/42/72/83/1074427283.db2.gz OFOONEGINAYULB-SECBINFHSA-N 0 0 431.272 -0.738 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1nc2ncc(Br)cn2n1 ZINC001441396068 1074427267 /nfs/dbraw/zinc/42/72/67/1074427267.db2.gz OFOONEGINAYULB-VIFPVBQESA-N 0 0 431.272 -0.738 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CC1 ZINC001441396778 1074427275 /nfs/dbraw/zinc/42/72/75/1074427275.db2.gz KJFRRPZQNUYZPL-UHFFFAOYSA-N 0 0 444.579 -0.213 20 0 IBADRN O=C(NCCNC(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)c1cccnc1 ZINC001441402404 1074427253 /nfs/dbraw/zinc/42/72/53/1074427253.db2.gz HPEBOHUADHQSNC-HNNXBMFYSA-N 0 0 426.882 -0.080 20 0 IBADRN O=C(NCCNC(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)c1cccnc1 ZINC001441402407 1074427328 /nfs/dbraw/zinc/42/73/28/1074427328.db2.gz HPEBOHUADHQSNC-OAHLLOKOSA-N 0 0 426.882 -0.080 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)CN3CCCC4(CC4)S3(=O)=O)CC2)cc1 ZINC001441407001 1074427300 /nfs/dbraw/zinc/42/73/00/1074427300.db2.gz IDHIYJZQFQNECC-UHFFFAOYSA-N 0 0 442.563 -0.059 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)c3ccnc(N4CCOCC4)n3)CC2)cc1 ZINC001441408275 1074427346 /nfs/dbraw/zinc/42/73/46/1074427346.db2.gz LDBAFFCRUNRKNU-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1=O ZINC001441409891 1074427234 /nfs/dbraw/zinc/42/72/34/1074427234.db2.gz RWCDJAHALRFTDN-INIZCTEOSA-N 0 0 438.506 -0.606 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1=O ZINC001441409893 1074427289 /nfs/dbraw/zinc/42/72/89/1074427289.db2.gz RWCDJAHALRFTDN-MRXNPFEDSA-N 0 0 438.506 -0.606 20 0 IBADRN Cn1cc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c(I)n1 ZINC001441413598 1074427293 /nfs/dbraw/zinc/42/72/93/1074427293.db2.gz HAHBATMDQMRWNJ-MRVPVSSYSA-N 0 0 440.263 -0.552 20 0 IBADRN Cn1cc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c(I)n1 ZINC001441413603 1074427230 /nfs/dbraw/zinc/42/72/30/1074427230.db2.gz HAHBATMDQMRWNJ-QMMMGPOBSA-N 0 0 440.263 -0.552 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)Nc1ccc(F)c(NC(=O)CCN2CCOCC2)c1 ZINC001441435968 1074428028 /nfs/dbraw/zinc/42/80/28/1074428028.db2.gz ULIJVHSRUHDGMQ-UHFFFAOYSA-N 0 0 431.490 -0.179 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)C1=O ZINC001441445711 1074427921 /nfs/dbraw/zinc/42/79/21/1074427921.db2.gz FQJCSQSVNQPNPS-KBPBESRZSA-N 0 0 432.543 -0.402 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)C1=O ZINC001441445716 1074428056 /nfs/dbraw/zinc/42/80/56/1074428056.db2.gz FQJCSQSVNQPNPS-KGLIPLIRSA-N 0 0 432.543 -0.402 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)C1=O ZINC001441445720 1074427895 /nfs/dbraw/zinc/42/78/95/1074427895.db2.gz FQJCSQSVNQPNPS-UONOGXRCSA-N 0 0 432.543 -0.402 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)C1=O ZINC001441445725 1074428020 /nfs/dbraw/zinc/42/80/20/1074428020.db2.gz FQJCSQSVNQPNPS-ZIAGYGMSSA-N 0 0 432.543 -0.402 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c(I)n1 ZINC001441455272 1074427757 /nfs/dbraw/zinc/42/77/57/1074427757.db2.gz YSZXCERAJAWMFS-MRVPVSSYSA-N 0 0 427.268 -0.330 20 0 IBADRN Cn1cc(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c(I)n1 ZINC001441455507 1074428080 /nfs/dbraw/zinc/42/80/80/1074428080.db2.gz YSZXCERAJAWMFS-QMMMGPOBSA-N 0 0 427.268 -0.330 20 0 IBADRN COCC(=O)NC1CCN(C(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CC1 ZINC001441471627 1074427795 /nfs/dbraw/zinc/42/77/95/1074427795.db2.gz WIEJEZLOCNVPCT-UHFFFAOYSA-N 0 0 446.551 -0.584 20 0 IBADRN COCC(=O)NC1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001441472522 1074427935 /nfs/dbraw/zinc/42/79/35/1074427935.db2.gz YIVUYXHEGVFPCI-UHFFFAOYSA-N 0 0 440.522 -0.462 20 0 IBADRN C/C(=C\C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC001441497819 1074427871 /nfs/dbraw/zinc/42/78/71/1074427871.db2.gz JLWLEHVXYZTTDK-FBMGVBCBSA-N 0 0 437.566 -0.072 20 0 IBADRN C/C(=C/C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)CN1CCOCC1 ZINC001441497827 1074428046 /nfs/dbraw/zinc/42/80/46/1074428046.db2.gz JLWLEHVXYZTTDK-VLGSPTGOSA-N 0 0 437.566 -0.072 20 0 IBADRN CC1=C(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)S(=O)(=O)CCO1 ZINC001441509033 1074428061 /nfs/dbraw/zinc/42/80/61/1074428061.db2.gz OKHRJOYNRDQJSX-UHFFFAOYSA-N 0 0 444.535 -0.674 20 0 IBADRN CN1C(=O)CS/C1=C\C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001441534058 1074427952 /nfs/dbraw/zinc/42/79/52/1074427952.db2.gz YNHUJUKAIVTFOL-ATVHPVEESA-N 0 0 425.536 -0.304 20 0 IBADRN CN1C(=O)CS/C1=C/C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001441534065 1074427974 /nfs/dbraw/zinc/42/79/74/1074427974.db2.gz YNHUJUKAIVTFOL-SFQUDFHCSA-N 0 0 425.536 -0.304 20 0 IBADRN COC1(C(=O)NCc2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CS(=O)(=O)C1 ZINC001441609030 1074427861 /nfs/dbraw/zinc/42/78/61/1074427861.db2.gz VQTXCQXYZOBXOL-AWEZNQCLSA-N 0 0 432.520 -0.426 20 0 IBADRN COC1(C(=O)NCc2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CS(=O)(=O)C1 ZINC001441609035 1074427776 /nfs/dbraw/zinc/42/77/76/1074427776.db2.gz VQTXCQXYZOBXOL-CQSZACIVSA-N 0 0 432.520 -0.426 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001441634951 1074427735 /nfs/dbraw/zinc/42/77/35/1074427735.db2.gz YLQXURGMBONODL-OAHLLOKOSA-N 0 0 428.536 -0.147 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C[C@H]2CC(=O)N2)CC1 ZINC001441644212 1074428548 /nfs/dbraw/zinc/42/85/48/1074428548.db2.gz AFLHFVVYWUDEMP-CYBMUJFWSA-N 0 0 429.520 -0.490 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)C[C@@H]2CC(=O)N2)CC1 ZINC001441644279 1074428458 /nfs/dbraw/zinc/42/84/58/1074428458.db2.gz AFLHFVVYWUDEMP-ZDUSSCGKSA-N 0 0 429.520 -0.490 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001441651864 1074428387 /nfs/dbraw/zinc/42/83/87/1074428387.db2.gz PTXCZXZZLLAGAH-CABCVRRESA-N 0 0 443.547 -0.257 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001441651867 1074428336 /nfs/dbraw/zinc/42/83/36/1074428336.db2.gz PTXCZXZZLLAGAH-GJZGRUSLSA-N 0 0 443.547 -0.257 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001441651869 1074428279 /nfs/dbraw/zinc/42/82/79/1074428279.db2.gz PTXCZXZZLLAGAH-HUUCEWRRSA-N 0 0 443.547 -0.257 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001441651871 1074428499 /nfs/dbraw/zinc/42/84/99/1074428499.db2.gz PTXCZXZZLLAGAH-LSDHHAIUSA-N 0 0 443.547 -0.257 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2NC(=O)O[C@@H]2C)CC1 ZINC001441655479 1074428422 /nfs/dbraw/zinc/42/84/22/1074428422.db2.gz XDKFLEYCLYPVFY-DOMZBBRYSA-N 0 0 445.519 -0.272 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2NC(=O)O[C@@H]2C)CC1 ZINC001441655484 1074428315 /nfs/dbraw/zinc/42/83/15/1074428315.db2.gz XDKFLEYCLYPVFY-IUODEOHRSA-N 0 0 445.519 -0.272 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2NC(=O)O[C@H]2C)CC1 ZINC001441655488 1074428507 /nfs/dbraw/zinc/42/85/07/1074428507.db2.gz XDKFLEYCLYPVFY-SWLSCSKDSA-N 0 0 445.519 -0.272 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2NC(=O)O[C@H]2C)CC1 ZINC001441655493 1074428379 /nfs/dbraw/zinc/42/83/79/1074428379.db2.gz XDKFLEYCLYPVFY-WFASDCNBSA-N 0 0 445.519 -0.272 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001441655677 1074428345 /nfs/dbraw/zinc/42/83/45/1074428345.db2.gz ZYOMBMGDFJXHML-SFHVURJKSA-N 0 0 443.547 -0.100 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c(F)c1 ZINC001441659744 1074428266 /nfs/dbraw/zinc/42/82/66/1074428266.db2.gz CHVUQWUJRWQXJX-UHFFFAOYSA-N 0 0 434.449 -0.025 20 0 IBADRN COC(=O)c1cccn(CC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)c1=O ZINC001441660998 1074428479 /nfs/dbraw/zinc/42/84/79/1074428479.db2.gz FYWJPIBFKRUDIO-UHFFFAOYSA-N 0 0 426.429 -0.477 20 0 IBADRN CN1CCn2ncc(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)c2S1(=O)=O ZINC001441662095 1074428432 /nfs/dbraw/zinc/42/84/32/1074428432.db2.gz JQMVSAQBUMWNDN-UHFFFAOYSA-N 0 0 446.489 -0.981 20 0 IBADRN O=C(CN1CCCC2(CC2)S1(=O)=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001441664652 1074428360 /nfs/dbraw/zinc/42/83/60/1074428360.db2.gz PGLDAGFJBVYCOO-UHFFFAOYSA-N 0 0 434.518 -0.167 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)c1 ZINC001441692527 1074428305 /nfs/dbraw/zinc/42/83/05/1074428305.db2.gz RXQYTNNRRGCNQK-GUDVDZBRSA-N 0 0 429.477 -0.306 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NCC(=O)NCC(F)(F)F)c1 ZINC001441695065 1074428533 /nfs/dbraw/zinc/42/85/33/1074428533.db2.gz QGOVSTRFKYYPAT-UHFFFAOYSA-N 0 0 431.414 -0.837 20 0 IBADRN CCCc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)NC(=O)Cn3cnnn3)CC2)cc1 ZINC001441731414 1074428399 /nfs/dbraw/zinc/42/83/99/1074428399.db2.gz DBXCLIJKGPUUSC-HNNXBMFYSA-N 0 0 449.537 -0.337 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)cn1 ZINC001441769265 1074428517 /nfs/dbraw/zinc/42/85/17/1074428517.db2.gz WHQQEZVOEFKXEY-CYBMUJFWSA-N 0 0 429.499 -0.008 20 0 IBADRN CNC(=O)Cn1cc(NC(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)cn1 ZINC001441769271 1074429105 /nfs/dbraw/zinc/42/91/05/1074429105.db2.gz WHQQEZVOEFKXEY-ZDUSSCGKSA-N 0 0 429.499 -0.008 20 0 IBADRN O=C(c1ccnn1CC1CCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441792264 1074429081 /nfs/dbraw/zinc/42/90/81/1074429081.db2.gz AJSGVSDCOMBZIE-HNNXBMFYSA-N 0 0 430.552 -0.042 20 0 IBADRN O=C(c1ccnn1CC1CCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441792282 1074429102 /nfs/dbraw/zinc/42/91/02/1074429102.db2.gz AJSGVSDCOMBZIE-OAHLLOKOSA-N 0 0 430.552 -0.042 20 0 IBADRN Cc1cc(C=O)c(O)c(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001441793012 1074429117 /nfs/dbraw/zinc/42/91/17/1074429117.db2.gz CNOLNHCUKYZVGL-AWEZNQCLSA-N 0 0 430.504 -0.212 20 0 IBADRN Cc1cc(C=O)c(O)c(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001441793016 1074429107 /nfs/dbraw/zinc/42/91/07/1074429107.db2.gz CNOLNHCUKYZVGL-CQSZACIVSA-N 0 0 430.504 -0.212 20 0 IBADRN O=C(c1[nH]ncc1C(F)(F)F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441793124 1074429148 /nfs/dbraw/zinc/42/91/48/1074429148.db2.gz DKTCSGBDXUKCPK-SECBINFHSA-N 0 0 430.430 -0.297 20 0 IBADRN O=C(c1[nH]ncc1C(F)(F)F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441793150 1074429140 /nfs/dbraw/zinc/42/91/40/1074429140.db2.gz DKTCSGBDXUKCPK-VIFPVBQESA-N 0 0 430.430 -0.297 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cc1C(F)(F)F ZINC001441794085 1074429096 /nfs/dbraw/zinc/42/90/96/1074429096.db2.gz FIZUBBNJJPSUMY-JTQLQIEISA-N 0 0 444.457 -0.286 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cc1C(F)(F)F ZINC001441794092 1074429129 /nfs/dbraw/zinc/42/91/29/1074429129.db2.gz FIZUBBNJJPSUMY-SNVBAGLBSA-N 0 0 444.457 -0.286 20 0 IBADRN O=C(c1cnn(-c2ccncc2)c1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441794263 1074429162 /nfs/dbraw/zinc/42/91/62/1074429162.db2.gz GKAMWCUQHUTTTG-INIZCTEOSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(c1cnn(-c2ccncc2)c1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441794272 1074429060 /nfs/dbraw/zinc/42/90/60/1074429060.db2.gz GKAMWCUQHUTTTG-MRXNPFEDSA-N 0 0 439.519 -0.458 20 0 IBADRN O=C(Cc1cn2cc(F)ccc2n1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441795577 1074429125 /nfs/dbraw/zinc/42/91/25/1074429125.db2.gz IOVRHGRANJGEBG-HNNXBMFYSA-N 0 0 444.510 -0.323 20 0 IBADRN O=C(Cc1cn2cc(F)ccc2n1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441795625 1074429053 /nfs/dbraw/zinc/42/90/53/1074429053.db2.gz IOVRHGRANJGEBG-OAHLLOKOSA-N 0 0 444.510 -0.323 20 0 IBADRN COC(=O)c1ccccc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441798109 1074429132 /nfs/dbraw/zinc/42/91/32/1074429132.db2.gz LOCJRJJUZUJTMV-CYBMUJFWSA-N 0 0 430.504 -0.252 20 0 IBADRN COC(=O)c1ccccc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441798111 1074429073 /nfs/dbraw/zinc/42/90/73/1074429073.db2.gz LOCJRJJUZUJTMV-ZDUSSCGKSA-N 0 0 430.504 -0.252 20 0 IBADRN Cn1cc(-c2ccc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)o2)cn1 ZINC001441798913 1074429135 /nfs/dbraw/zinc/42/91/35/1074429135.db2.gz ONRGWWZPUPAXPN-AWEZNQCLSA-N 0 0 442.519 -0.045 20 0 IBADRN Cn1cc(-c2ccc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)o2)cn1 ZINC001441798918 1074429146 /nfs/dbraw/zinc/42/91/46/1074429146.db2.gz ONRGWWZPUPAXPN-CQSZACIVSA-N 0 0 442.519 -0.045 20 0 IBADRN CC(C)(C)OC(=O)COCC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441799586 1074429155 /nfs/dbraw/zinc/42/91/55/1074429155.db2.gz PCXAOGQZNVYBPF-CYBMUJFWSA-N 0 0 440.540 -0.994 20 0 IBADRN CC(C)(C)OC(=O)COCC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441799612 1074429158 /nfs/dbraw/zinc/42/91/58/1074429158.db2.gz PCXAOGQZNVYBPF-ZDUSSCGKSA-N 0 0 440.540 -0.994 20 0 IBADRN COC(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001441799744 1074429088 /nfs/dbraw/zinc/42/90/88/1074429088.db2.gz PHJXGRFXXIQEED-AWEZNQCLSA-N 0 0 437.540 -0.874 20 0 IBADRN COC(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001441799769 1074429812 /nfs/dbraw/zinc/42/98/12/1074429812.db2.gz PHJXGRFXXIQEED-CQSZACIVSA-N 0 0 437.540 -0.874 20 0 IBADRN COC(=O)/C=C/c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001441800641 1074429818 /nfs/dbraw/zinc/42/98/18/1074429818.db2.gz QVLVEVVGPDCJMP-KQIUPUNMSA-N 0 0 446.503 -0.260 20 0 IBADRN COC(=O)/C=C/c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001441800646 1074429725 /nfs/dbraw/zinc/42/97/25/1074429725.db2.gz QVLVEVVGPDCJMP-LYKUJDHUSA-N 0 0 446.503 -0.260 20 0 IBADRN COC(=O)/C=C\c1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001441800651 1074429805 /nfs/dbraw/zinc/42/98/05/1074429805.db2.gz QVLVEVVGPDCJMP-MFBWXBCUSA-N 0 0 446.503 -0.260 20 0 IBADRN COC(=O)/C=C\c1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001441800656 1074429734 /nfs/dbraw/zinc/42/97/34/1074429734.db2.gz QVLVEVVGPDCJMP-PKXJPQMGSA-N 0 0 446.503 -0.260 20 0 IBADRN O=C(c1cc2c([nH]c1=O)CCOC2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441801355 1074429646 /nfs/dbraw/zinc/42/96/46/1074429646.db2.gz TVBBQXVLGVLBGU-CYBMUJFWSA-N 0 0 445.519 -0.865 20 0 IBADRN O=C(c1cc2c([nH]c1=O)CCOC2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441801357 1074429781 /nfs/dbraw/zinc/42/97/81/1074429781.db2.gz TVBBQXVLGVLBGU-ZDUSSCGKSA-N 0 0 445.519 -0.865 20 0 IBADRN Cc1cnc2c(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cnn2c1 ZINC001441801527 1074429831 /nfs/dbraw/zinc/42/98/31/1074429831.db2.gz UPVFMTLBSSKTPI-CYBMUJFWSA-N 0 0 427.508 -0.688 20 0 IBADRN Cc1cnc2c(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cnn2c1 ZINC001441801533 1074429633 /nfs/dbraw/zinc/42/96/33/1074429633.db2.gz UPVFMTLBSSKTPI-ZDUSSCGKSA-N 0 0 427.508 -0.688 20 0 IBADRN C[C@]1(Br)C[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441802753 1074429680 /nfs/dbraw/zinc/42/96/80/1074429680.db2.gz WAKGAVWLRWMANA-GVXVVHGQSA-N 0 0 429.358 -0.179 20 0 IBADRN C[C@]1(Br)C[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001441802755 1074429701 /nfs/dbraw/zinc/42/97/01/1074429701.db2.gz WAKGAVWLRWMANA-LOWVWBTDSA-N 0 0 429.358 -0.179 20 0 IBADRN C[C@]1(Br)C[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441802757 1074429616 /nfs/dbraw/zinc/42/96/16/1074429616.db2.gz WAKGAVWLRWMANA-MDZLAQPJSA-N 0 0 429.358 -0.179 20 0 IBADRN C[C@]1(Br)C[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001441802759 1074429823 /nfs/dbraw/zinc/42/98/23/1074429823.db2.gz WAKGAVWLRWMANA-WZRBSPASSA-N 0 0 429.358 -0.179 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1S(C)(=O)=O ZINC001441883837 1074429709 /nfs/dbraw/zinc/42/97/09/1074429709.db2.gz BXKUNRJICJCKPG-UHFFFAOYSA-N 0 0 437.474 -0.468 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ccc(Br)[nH]c2=O)CC1 ZINC001441891094 1074429745 /nfs/dbraw/zinc/42/97/45/1074429745.db2.gz ODCRXFNMZNRHEV-UHFFFAOYSA-N 0 0 425.239 -0.017 20 0 IBADRN O=C(Cc1csc(N2CCOCC2)n1)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001441893353 1074429790 /nfs/dbraw/zinc/42/97/90/1074429790.db2.gz QTMWQVYJQYRXBH-UHFFFAOYSA-N 0 0 435.506 -0.658 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001441898560 1074429688 /nfs/dbraw/zinc/42/96/88/1074429688.db2.gz WYJMVODMKSBWPV-WBMJQRKESA-N 0 0 426.470 -0.920 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCC(N2CCNC2=O)CC1)N1C(=O)c2ccccc2C1=O ZINC001441923243 1074429777 /nfs/dbraw/zinc/42/97/77/1074429777.db2.gz XQDQRBSZQVRZGN-INIZCTEOSA-N 0 0 427.461 -0.067 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCC(N2CCNC2=O)CC1)N1C(=O)c2ccccc2C1=O ZINC001441923249 1074429763 /nfs/dbraw/zinc/42/97/63/1074429763.db2.gz XQDQRBSZQVRZGN-MRXNPFEDSA-N 0 0 427.461 -0.067 20 0 IBADRN COCCCN(C(=O)[C@H]1OCCN(C)C1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC001441981853 1074430152 /nfs/dbraw/zinc/43/01/52/1074430152.db2.gz UXFXBJVPPBMQAC-INIZCTEOSA-N 0 0 445.476 -0.194 20 0 IBADRN COCCCN(C(=O)[C@@H]1OCCN(C)C1=O)c1c(N)n(Cc2ccccc2)c(=O)[nH]c1=O ZINC001441981860 1074430118 /nfs/dbraw/zinc/43/01/18/1074430118.db2.gz UXFXBJVPPBMQAC-MRXNPFEDSA-N 0 0 445.476 -0.194 20 0 IBADRN C[C@@H](C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001442157426 1074430204 /nfs/dbraw/zinc/43/02/04/1074430204.db2.gz HAIYDTCPRGIBIO-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H](C(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001442157434 1074430135 /nfs/dbraw/zinc/43/01/35/1074430135.db2.gz HAIYDTCPRGIBIO-KGLIPLIRSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001442157439 1074430258 /nfs/dbraw/zinc/43/02/58/1074430258.db2.gz HAIYDTCPRGIBIO-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001442157446 1074430267 /nfs/dbraw/zinc/43/02/67/1074430267.db2.gz HAIYDTCPRGIBIO-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001442158972 1074430161 /nfs/dbraw/zinc/43/01/61/1074430161.db2.gz JGTUNRUQDFZRBI-GXTWGEPZSA-N 0 0 439.943 -0.481 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001442158978 1074430188 /nfs/dbraw/zinc/43/01/88/1074430188.db2.gz JGTUNRUQDFZRBI-JSGCOSHPSA-N 0 0 439.943 -0.481 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001442158983 1074430195 /nfs/dbraw/zinc/43/01/95/1074430195.db2.gz JGTUNRUQDFZRBI-OCCSQVGLSA-N 0 0 439.943 -0.481 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001442158990 1074430314 /nfs/dbraw/zinc/43/03/14/1074430314.db2.gz JGTUNRUQDFZRBI-TZMCWYRMSA-N 0 0 439.943 -0.481 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2COC[C@]2(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001442248643 1074430321 /nfs/dbraw/zinc/43/03/21/1074430321.db2.gz FNGBKOUCWRDJSY-DNVCBOLYSA-N 0 0 446.570 -0.437 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@](O)(CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001442248677 1074430277 /nfs/dbraw/zinc/43/02/77/1074430277.db2.gz FUUOBASLAYAOMP-IBGZPJMESA-N 0 0 448.586 -0.168 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@](O)(CC(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001442248681 1074430085 /nfs/dbraw/zinc/43/00/85/1074430085.db2.gz FUUOBASLAYAOMP-LJQANCHMSA-N 0 0 448.586 -0.168 20 0 IBADRN COc1cc2c(cc1OC)CN(CC(=O)NCCN1CCN(S(C)(=O)=O)CC1)CC2 ZINC001442252908 1074430304 /nfs/dbraw/zinc/43/03/04/1074430304.db2.gz RMCSLTOGRSAJJC-UHFFFAOYSA-N 0 0 440.566 -0.245 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001442319233 1074430242 /nfs/dbraw/zinc/43/02/42/1074430242.db2.gz AXFFOFGEHYDXAF-UHFFFAOYSA-N 0 0 436.284 -0.396 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CCN(Cc3nccn3C(F)F)CC2)c(=O)[nH]c1=O ZINC001442378921 1074430172 /nfs/dbraw/zinc/43/01/72/1074430172.db2.gz NADKJPXMTWBGEF-UHFFFAOYSA-N 0 0 425.396 -0.626 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC001442419459 1074430219 /nfs/dbraw/zinc/43/02/19/1074430219.db2.gz XMNAICRJWRMNMJ-UHFFFAOYSA-N 0 0 435.524 -0.153 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)Cc2ccc(S(=O)(=O)CCO)cc2)CC1 ZINC001442462042 1074430284 /nfs/dbraw/zinc/43/02/84/1074430284.db2.gz FMGUDQYHIKMJRD-UHFFFAOYSA-N 0 0 432.502 -0.162 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@@H](C)NC(=O)Cn1cnnn1 ZINC001442573629 1074430675 /nfs/dbraw/zinc/43/06/75/1074430675.db2.gz IYOBAAGSTPIWFR-CYBMUJFWSA-N 0 0 435.510 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(CC(C(=O)NCCS(=O)(=O)N3CCOCC3)=NO2)C1 ZINC001442588926 1074430801 /nfs/dbraw/zinc/43/08/01/1074430801.db2.gz BLYUKVMUJCKFTJ-GOSISDBHSA-N 0 0 446.526 -0.080 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(CC(C(=O)NCCS(=O)(=O)N3CCOCC3)=NO2)C1 ZINC001442588933 1074430839 /nfs/dbraw/zinc/43/08/39/1074430839.db2.gz BLYUKVMUJCKFTJ-SFHVURJKSA-N 0 0 446.526 -0.080 20 0 IBADRN COC(=O)c1cccn(CC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)c1=O ZINC001442593843 1074430769 /nfs/dbraw/zinc/43/07/69/1074430769.db2.gz BALYXEVZZQBCLD-UHFFFAOYSA-N 0 0 427.461 -0.115 20 0 IBADRN COc1cc2c(cc1OC)CN(CC(=O)NCCS(=O)(=O)N1CCOCC1)CC2 ZINC001442594202 1074430824 /nfs/dbraw/zinc/43/08/24/1074430824.db2.gz JNDFJFAYRWMWKS-UHFFFAOYSA-N 0 0 427.523 -0.160 20 0 IBADRN O=C(CNC(=O)c1cnc(Cl)c(Cl)c1)NCCS(=O)(=O)N1CCOCC1 ZINC001442599452 1074430846 /nfs/dbraw/zinc/43/08/46/1074430846.db2.gz XCUCLGUQUOWKGN-UHFFFAOYSA-N 0 0 425.294 -0.104 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc(Br)cc1-n1cnnn1 ZINC001442599571 1074430632 /nfs/dbraw/zinc/43/06/32/1074430632.db2.gz ZAAOMHXHLBTJNZ-UHFFFAOYSA-N 0 0 445.299 -0.183 20 0 IBADRN CC(=O)NC1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001442643493 1074430651 /nfs/dbraw/zinc/43/06/51/1074430651.db2.gz DDWVUZPCOUNOMV-KRWDZBQOSA-N 0 0 445.563 -0.105 20 0 IBADRN CC(=O)NC1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1 ZINC001442643497 1074430789 /nfs/dbraw/zinc/43/07/89/1074430789.db2.gz DDWVUZPCOUNOMV-QGZVFWFLSA-N 0 0 445.563 -0.105 20 0 IBADRN CC1(C)CN(CCNC(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CCO1 ZINC001442697622 1074430743 /nfs/dbraw/zinc/43/07/43/1074430743.db2.gz PCGMHCTXZWNFHM-UHFFFAOYSA-N 0 0 432.930 -0.056 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)C(=O)c2cnn3c2S(=O)(=O)N(C)CC3)C1 ZINC001442783086 1074430865 /nfs/dbraw/zinc/43/08/65/1074430865.db2.gz OUNVMVMIODZFRG-CYBMUJFWSA-N 0 0 441.510 -0.609 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)C(=O)c2cnn3c2S(=O)(=O)N(C)CC3)C1 ZINC001442783088 1074430872 /nfs/dbraw/zinc/43/08/72/1074430872.db2.gz OUNVMVMIODZFRG-ZDUSSCGKSA-N 0 0 441.510 -0.609 20 0 IBADRN CCCS(=O)(=O)Nc1cc(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)c(F)cc1F ZINC001442839863 1074430586 /nfs/dbraw/zinc/43/05/86/1074430586.db2.gz ONKGCIIFHQCHQP-LBPRGKRZSA-N 0 0 425.435 -0.032 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccc(S(=O)(=O)C(F)F)c1 ZINC001442988813 1074430796 /nfs/dbraw/zinc/43/07/96/1074430796.db2.gz AUTCWUUCKZLLID-KBPBESRZSA-N 0 0 438.474 -0.090 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cccc(S(=O)(=O)C(F)F)c1 ZINC001442988814 1074430834 /nfs/dbraw/zinc/43/08/34/1074430834.db2.gz AUTCWUUCKZLLID-KGLIPLIRSA-N 0 0 438.474 -0.090 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccc(S(=O)(=O)C(F)F)c1 ZINC001442988816 1074430610 /nfs/dbraw/zinc/43/06/10/1074430610.db2.gz AUTCWUUCKZLLID-UONOGXRCSA-N 0 0 438.474 -0.090 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cccc(S(=O)(=O)C(F)F)c1 ZINC001442988818 1074431127 /nfs/dbraw/zinc/43/11/27/1074431127.db2.gz AUTCWUUCKZLLID-ZIAGYGMSSA-N 0 0 438.474 -0.090 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001442991611 1074431251 /nfs/dbraw/zinc/43/12/51/1074431251.db2.gz LZKCAQZSAQJJMB-ARFHVFGLSA-N 0 0 446.570 -0.849 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001442991619 1074431228 /nfs/dbraw/zinc/43/12/28/1074431228.db2.gz LZKCAQZSAQJJMB-BZUAXINKSA-N 0 0 446.570 -0.849 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001442991627 1074431246 /nfs/dbraw/zinc/43/12/46/1074431246.db2.gz LZKCAQZSAQJJMB-OAGGEKHMSA-N 0 0 446.570 -0.849 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001442991635 1074431196 /nfs/dbraw/zinc/43/11/96/1074431196.db2.gz LZKCAQZSAQJJMB-XHSDSOJGSA-N 0 0 446.570 -0.849 20 0 IBADRN COc1cc(CCC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(OC)c1O ZINC001442999829 1074431208 /nfs/dbraw/zinc/43/12/08/1074431208.db2.gz GPCMOWTYKCIWRU-CABCVRRESA-N 0 0 428.507 -0.044 20 0 IBADRN COc1cc(CCC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)cc(OC)c1O ZINC001442999836 1074431277 /nfs/dbraw/zinc/43/12/77/1074431277.db2.gz GPCMOWTYKCIWRU-GJZGRUSLSA-N 0 0 428.507 -0.044 20 0 IBADRN COc1cc(CCC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(OC)c1O ZINC001442999841 1074431306 /nfs/dbraw/zinc/43/13/06/1074431306.db2.gz GPCMOWTYKCIWRU-HUUCEWRRSA-N 0 0 428.507 -0.044 20 0 IBADRN COc1cc(CCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)cc(OC)c1O ZINC001442999848 1074431265 /nfs/dbraw/zinc/43/12/65/1074431265.db2.gz GPCMOWTYKCIWRU-LSDHHAIUSA-N 0 0 428.507 -0.044 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001443005536 1074431152 /nfs/dbraw/zinc/43/11/52/1074431152.db2.gz QGQNIPBSXRQSOV-IAOVAPTHSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001443005553 1074431272 /nfs/dbraw/zinc/43/12/72/1074431272.db2.gz QGQNIPBSXRQSOV-KBRIMQKVSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001443005557 1074431165 /nfs/dbraw/zinc/43/11/65/1074431165.db2.gz QGQNIPBSXRQSOV-XKQJLSEDSA-N 0 0 425.482 -0.207 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001443005562 1074431310 /nfs/dbraw/zinc/43/13/10/1074431310.db2.gz QGQNIPBSXRQSOV-XYPHTWIQSA-N 0 0 425.482 -0.207 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C3OCCO3)C2)c1 ZINC001443027615 1074431301 /nfs/dbraw/zinc/43/13/01/1074431301.db2.gz LPBXRKVURHCEIN-CYBMUJFWSA-N 0 0 432.520 -0.020 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@H](C3OCCO3)C2)c1 ZINC001443027619 1074431136 /nfs/dbraw/zinc/43/11/36/1074431136.db2.gz LPBXRKVURHCEIN-ZDUSSCGKSA-N 0 0 432.520 -0.020 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)CN3CC(=O)N(C)C3=O)cc2)CC1 ZINC001443042011 1074431176 /nfs/dbraw/zinc/43/11/76/1074431176.db2.gz FXAPQCFHJHARJG-UHFFFAOYSA-N 0 0 445.520 -0.218 20 0 IBADRN O=C(CCNC(=O)[C@H](CO)NC(=O)c1ccc(F)cc1)N1CCN(c2ncccn2)CC1 ZINC001443059979 1074431257 /nfs/dbraw/zinc/43/12/57/1074431257.db2.gz RSNHOUWNWXTCSH-KRWDZBQOSA-N 0 0 444.467 -0.439 20 0 IBADRN CC(C)CC(=O)N1CCN(C(=O)CCCCCNC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)CC1 ZINC001443086633 1074431217 /nfs/dbraw/zinc/43/12/17/1074431217.db2.gz AXSAFRNYFAYWBE-DBXWQHBBSA-N 0 0 439.553 -0.207 20 0 IBADRN O=C(Cn1nc(Br)c(Br)n1)NCCN1CCS(=O)(=O)CC1 ZINC001443223330 1074431938 /nfs/dbraw/zinc/43/19/38/1074431938.db2.gz DTWDFYDEBGOANG-UHFFFAOYSA-N 0 0 445.137 -0.350 20 0 IBADRN Cn1cc(C(=O)NCCN2CCS(=O)(=O)CC2)c(CN2C(=O)c3ccccc3C2=O)n1 ZINC001443228465 1074431826 /nfs/dbraw/zinc/43/18/26/1074431826.db2.gz WFJBHBQWLNFKPE-UHFFFAOYSA-N 0 0 445.501 -0.324 20 0 IBADRN C[C@@H](NC(=O)c1c(CNC(=O)OC(C)(C)C)cnn1C)C(=O)N1CCS(=O)(=O)CC1 ZINC001443252685 1074431906 /nfs/dbraw/zinc/43/19/06/1074431906.db2.gz HTNFYDYFLQFUHI-GFCCVEGCSA-N 0 0 443.526 -0.180 20 0 IBADRN C[C@H](NC(=O)c1c(CNC(=O)OC(C)(C)C)cnn1C)C(=O)N1CCS(=O)(=O)CC1 ZINC001443252689 1074431932 /nfs/dbraw/zinc/43/19/32/1074431932.db2.gz HTNFYDYFLQFUHI-LBPRGKRZSA-N 0 0 443.526 -0.180 20 0 IBADRN C[C@H](NC(=O)C1(CC(=O)N2CCOCC2)CCCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC001443252749 1074431935 /nfs/dbraw/zinc/43/19/35/1074431935.db2.gz IJWMYUYXZJUPSA-HNNXBMFYSA-N 0 0 429.539 -0.443 20 0 IBADRN C[C@@H](NC(=O)C1(CC(=O)N2CCOCC2)CCCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC001443252752 1074431866 /nfs/dbraw/zinc/43/18/66/1074431866.db2.gz IJWMYUYXZJUPSA-OAHLLOKOSA-N 0 0 429.539 -0.443 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N[C@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001443252996 1074431840 /nfs/dbraw/zinc/43/18/40/1074431840.db2.gz JCZHOAMDCFWYOD-MRVPVSSYSA-N 0 0 440.263 -0.302 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1 ZINC001443253046 1074431861 /nfs/dbraw/zinc/43/18/61/1074431861.db2.gz JCZHOAMDCFWYOD-QMMMGPOBSA-N 0 0 440.263 -0.302 20 0 IBADRN C[C@H](NC(=O)[C@@H]1CCCN(CC(=O)OC(C)(C)C)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC001443253265 1074431886 /nfs/dbraw/zinc/43/18/86/1074431886.db2.gz JMYYMACMQAPDLV-KBPBESRZSA-N 0 0 445.538 -0.672 20 0 IBADRN C[C@@H](NC(=O)[C@@H]1CCCN(CC(=O)OC(C)(C)C)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC001443253275 1074431892 /nfs/dbraw/zinc/43/18/92/1074431892.db2.gz JMYYMACMQAPDLV-KGLIPLIRSA-N 0 0 445.538 -0.672 20 0 IBADRN C[C@H](NC(=O)[C@H]1CCCN(CC(=O)OC(C)(C)C)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC001443253286 1074431881 /nfs/dbraw/zinc/43/18/81/1074431881.db2.gz JMYYMACMQAPDLV-UONOGXRCSA-N 0 0 445.538 -0.672 20 0 IBADRN C[C@@H](NC(=O)[C@H]1CCCN(CC(=O)OC(C)(C)C)C1=O)C(=O)N1CCS(=O)(=O)CC1 ZINC001443253295 1074431811 /nfs/dbraw/zinc/43/18/11/1074431811.db2.gz JMYYMACMQAPDLV-ZIAGYGMSSA-N 0 0 445.538 -0.672 20 0 IBADRN C[C@@H](C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001443254843 1074431902 /nfs/dbraw/zinc/43/19/02/1074431902.db2.gz LFESMACZJVZRCL-HZSPNIEDSA-N 0 0 435.543 -0.388 20 0 IBADRN C[C@H](NC(=O)[C@@H](C)[C@@H](O)CN(C)C(=O)OC(C)(C)C)C(=O)N1CCS(=O)(=O)CC1 ZINC001443254848 1074431871 /nfs/dbraw/zinc/43/18/71/1074431871.db2.gz LFESMACZJVZRCL-IHRRRGAJSA-N 0 0 435.543 -0.388 20 0 IBADRN C[C@H](NC(=O)[C@@H](C)[C@H](O)CN(C)C(=O)OC(C)(C)C)C(=O)N1CCS(=O)(=O)CC1 ZINC001443254853 1074432478 /nfs/dbraw/zinc/43/24/78/1074432478.db2.gz LFESMACZJVZRCL-MELADBBJSA-N 0 0 435.543 -0.388 20 0 IBADRN C[C@@H](C(=O)N[C@@H](C)C(=O)N1CCS(=O)(=O)CC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001443254859 1074432407 /nfs/dbraw/zinc/43/24/07/1074432407.db2.gz LFESMACZJVZRCL-RDBSUJKOSA-N 0 0 435.543 -0.388 20 0 IBADRN C[C@@H](NC(=O)c1cn(C)nc1I)C(=O)N1CCS(=O)(=O)CC1 ZINC001443262234 1074432450 /nfs/dbraw/zinc/43/24/50/1074432450.db2.gz TZNLDONUJIUONO-MRVPVSSYSA-N 0 0 440.263 -0.600 20 0 IBADRN C[C@H](NC(=O)c1cn(C)nc1I)C(=O)N1CCS(=O)(=O)CC1 ZINC001443262247 1074432304 /nfs/dbraw/zinc/43/23/04/1074432304.db2.gz TZNLDONUJIUONO-QMMMGPOBSA-N 0 0 440.263 -0.600 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H](O)[C@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001443274990 1074432379 /nfs/dbraw/zinc/43/23/79/1074432379.db2.gz WNFMSWGAUNLMJJ-GDBMZVCRSA-N 0 0 428.371 -0.845 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H](O)[C@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001443274997 1074432441 /nfs/dbraw/zinc/43/24/41/1074432441.db2.gz WNFMSWGAUNLMJJ-GOEBONIOSA-N 0 0 428.371 -0.845 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@H](O)[C@@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001443275004 1074432429 /nfs/dbraw/zinc/43/24/29/1074432429.db2.gz WNFMSWGAUNLMJJ-HOCLYGCPSA-N 0 0 428.371 -0.845 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)[C@@H](O)[C@@](O)(c2ccccc2)C(F)(F)F)CC1 ZINC001443275010 1074432475 /nfs/dbraw/zinc/43/24/75/1074432475.db2.gz WNFMSWGAUNLMJJ-ZBFHGGJFSA-N 0 0 428.371 -0.845 20 0 IBADRN CC1(C)COCCN1CCNC(=O)c1cc(S(=O)(=O)NCC(N)=O)ccc1Cl ZINC001443308843 1074432453 /nfs/dbraw/zinc/43/24/53/1074432453.db2.gz GGDCIANCHGSKPK-UHFFFAOYSA-N 0 0 432.930 -0.056 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)c3cn(C[C@@H]4CNC(=O)O4)nn3)CC2)CC1 ZINC001443315438 1074432411 /nfs/dbraw/zinc/43/24/11/1074432411.db2.gz ZHZAMRZPQHHAKZ-GPANFISMSA-N 0 0 433.513 -0.161 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)c3cn(C[C@H]4CNC(=O)O4)nn3)CC2)CC1 ZINC001443315440 1074432436 /nfs/dbraw/zinc/43/24/36/1074432436.db2.gz ZHZAMRZPQHHAKZ-UYSNPLJNSA-N 0 0 433.513 -0.161 20 0 IBADRN CC[C@H](C)[C@H](NC(=O)C1=CN(C)S(=O)(=O)N=C1C)C(=O)NCCN1CCOCC1 ZINC001443330585 1074432470 /nfs/dbraw/zinc/43/24/70/1074432470.db2.gz BDBBVAGMSMVTAB-BBRMVZONSA-N 0 0 429.543 -0.499 20 0 IBADRN CC[C@@H](C)[C@H](NC(=O)C1=CN(C)S(=O)(=O)N=C1C)C(=O)NCCN1CCOCC1 ZINC001443330588 1074432422 /nfs/dbraw/zinc/43/24/22/1074432422.db2.gz BDBBVAGMSMVTAB-CJNGLKHVSA-N 0 0 429.543 -0.499 20 0 IBADRN CC[C@@H](C)[C@@H](NC(=O)C1=CN(C)S(=O)(=O)N=C1C)C(=O)NCCN1CCOCC1 ZINC001443330589 1074432433 /nfs/dbraw/zinc/43/24/33/1074432433.db2.gz BDBBVAGMSMVTAB-CZUORRHYSA-N 0 0 429.543 -0.499 20 0 IBADRN CC[C@H](C)[C@@H](NC(=O)C1=CN(C)S(=O)(=O)N=C1C)C(=O)NCCN1CCOCC1 ZINC001443330590 1074432466 /nfs/dbraw/zinc/43/24/66/1074432466.db2.gz BDBBVAGMSMVTAB-XJKSGUPXSA-N 0 0 429.543 -0.499 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@@](F)(C(=O)NCC(=O)NCCN2CCOCC2)C1 ZINC001443341471 1074432446 /nfs/dbraw/zinc/43/24/46/1074432446.db2.gz SONVUOALCFGWBU-FQEVSTJZSA-N 0 0 444.504 -0.473 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@](F)(C(=O)NCC(=O)NCCN2CCOCC2)C1 ZINC001443341473 1074432333 /nfs/dbraw/zinc/43/23/33/1074432333.db2.gz SONVUOALCFGWBU-HXUWFJFHSA-N 0 0 444.504 -0.473 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(C(=O)NCC(=O)NCCN1CCOCC1)=NO2 ZINC001443345284 1074432395 /nfs/dbraw/zinc/43/23/95/1074432395.db2.gz XLTVNJJZQJLVMT-UHFFFAOYSA-N 0 0 425.486 -0.683 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001443367367 1074432811 /nfs/dbraw/zinc/43/28/11/1074432811.db2.gz ZWPPBSQDPSONNP-UHFFFAOYSA-N 0 0 425.554 -0.437 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1F ZINC001443373615 1074432764 /nfs/dbraw/zinc/43/27/64/1074432764.db2.gz RGGYJVDTPGBOBW-INIZCTEOSA-N 0 0 438.456 -0.867 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1F ZINC001443373623 1074432702 /nfs/dbraw/zinc/43/27/02/1074432702.db2.gz RGGYJVDTPGBOBW-MRXNPFEDSA-N 0 0 438.456 -0.867 20 0 IBADRN Cc1cn2nnc(C(=O)N(C)C3CCN(CC(=O)N4CCN(C)CC4)CC3)c2c(=O)[nH]1 ZINC001443387307 1074432961 /nfs/dbraw/zinc/43/29/61/1074432961.db2.gz DANITGDQCPKAPM-UHFFFAOYSA-N 0 0 430.513 -0.551 20 0 IBADRN CN(C(=O)c1coc(S(C)(=O)=O)c1)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001443390674 1074433017 /nfs/dbraw/zinc/43/30/17/1074433017.db2.gz JROXFGQGKGFOIR-UHFFFAOYSA-N 0 0 426.539 -0.007 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001443390892 1074432825 /nfs/dbraw/zinc/43/28/25/1074432825.db2.gz LOFDNTZPJYRQLW-KRWDZBQOSA-N 0 0 435.500 -0.603 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001443390894 1074432770 /nfs/dbraw/zinc/43/27/70/1074432770.db2.gz LOFDNTZPJYRQLW-QGZVFWFLSA-N 0 0 435.500 -0.603 20 0 IBADRN CN(C(=O)c1cnc2c(c1)NC(=O)CN2C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001443390924 1074432918 /nfs/dbraw/zinc/43/29/18/1074432918.db2.gz LSFPNNRQZSNILM-UHFFFAOYSA-N 0 0 443.552 -0.220 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001443391793 1074432938 /nfs/dbraw/zinc/43/29/38/1074432938.db2.gz NHPBULOMTPLBQN-INIZCTEOSA-N 0 0 446.957 -0.352 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001443391794 1074432679 /nfs/dbraw/zinc/43/26/79/1074432679.db2.gz NHPBULOMTPLBQN-MRXNPFEDSA-N 0 0 446.957 -0.352 20 0 IBADRN Cc1cn2nc(C(=O)N(C)C3CCN(CC(=O)N4CCN(C)CC4)CC3)cc2c(=O)[nH]1 ZINC001443396052 1074432851 /nfs/dbraw/zinc/43/28/51/1074432851.db2.gz YIEILYPJKDROBU-UHFFFAOYSA-N 0 0 429.525 -0.359 20 0 IBADRN CN(C(=O)c1sccc1S(N)(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001443396094 1074432902 /nfs/dbraw/zinc/43/29/02/1074432902.db2.gz YLMYQQWGMOBRQL-UHFFFAOYSA-N 0 0 443.595 -0.294 20 0 IBADRN NC(=O)c1ccc(CN2CCN(C(=O)CNS(=O)(=O)c3ccccc3N)CC2)cc1 ZINC001443411881 1074432971 /nfs/dbraw/zinc/43/29/71/1074432971.db2.gz SYSUWOUVUGPIMT-UHFFFAOYSA-N 0 0 431.518 -0.010 20 0 IBADRN CN1CCN([C@@H](CNC(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)c2cccs2)CC1 ZINC001443425767 1074432876 /nfs/dbraw/zinc/43/28/76/1074432876.db2.gz BLHBGDFQQGNDAN-AWEZNQCLSA-N 0 0 434.522 -0.396 20 0 IBADRN CN1CCN([C@H](CNC(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)c2cccs2)CC1 ZINC001443425771 1074433421 /nfs/dbraw/zinc/43/34/21/1074433421.db2.gz BLHBGDFQQGNDAN-CQSZACIVSA-N 0 0 434.522 -0.396 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@@H]1CCCNC1=O ZINC001443457872 1074433462 /nfs/dbraw/zinc/43/34/62/1074433462.db2.gz LBMAFXWCEBGOIB-DZGCQCFKSA-N 0 0 425.507 -0.129 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@H]1CCCNC1=O ZINC001443457883 1074433418 /nfs/dbraw/zinc/43/34/18/1074433418.db2.gz LBMAFXWCEBGOIB-HIFRSBDPSA-N 0 0 425.507 -0.129 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NC[C@H]1CCCNC1=O ZINC001443457891 1074433403 /nfs/dbraw/zinc/43/34/03/1074433403.db2.gz LBMAFXWCEBGOIB-UKRRQHHQSA-N 0 0 425.507 -0.129 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NC[C@@H]1CCCNC1=O ZINC001443457902 1074433352 /nfs/dbraw/zinc/43/33/52/1074433352.db2.gz LBMAFXWCEBGOIB-ZFWWWQNUSA-N 0 0 425.507 -0.129 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@H]3CCCNC3=O)ccc2F)CC1 ZINC001443463275 1074433409 /nfs/dbraw/zinc/43/34/09/1074433409.db2.gz ROTURGLRBKLSNS-HNNXBMFYSA-N 0 0 440.497 -0.066 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@H]3CCCNC3=O)ccc2F)CC1 ZINC001443463281 1074433440 /nfs/dbraw/zinc/43/34/40/1074433440.db2.gz ROTURGLRBKLSNS-OAHLLOKOSA-N 0 0 440.497 -0.066 20 0 IBADRN CCN(CC)C(=O)CN1CCCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001443474861 1074433444 /nfs/dbraw/zinc/43/34/44/1074433444.db2.gz BEUPMKCCDXUWDT-UHFFFAOYSA-N 0 0 426.543 -0.467 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001443478104 1074433467 /nfs/dbraw/zinc/43/34/67/1074433467.db2.gz MRUYSABOMFDSRV-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001443478109 1074433432 /nfs/dbraw/zinc/43/34/32/1074433432.db2.gz MRUYSABOMFDSRV-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCCC(=O)N2CCN(C)CC2)cc1 ZINC001443480255 1074433376 /nfs/dbraw/zinc/43/33/76/1074433376.db2.gz QJMOCZLSRKLRAD-UHFFFAOYSA-N 0 0 439.538 -0.406 20 0 IBADRN CCOCCCNC(=O)C1CCN(C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC001443481771 1074433487 /nfs/dbraw/zinc/43/34/87/1074433487.db2.gz MEXQQEGRKZXEHZ-KZNAEPCWSA-N 0 0 435.525 -0.308 20 0 IBADRN C[C@]1(CC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)CCS(=O)(=O)N1 ZINC001443488538 1074433428 /nfs/dbraw/zinc/43/34/28/1074433428.db2.gz AWQUFRIBMVNKSS-DOTOQJQBSA-N 0 0 437.584 -0.804 20 0 IBADRN C[C@]1(CC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)CCS(=O)(=O)N1 ZINC001443488545 1074433364 /nfs/dbraw/zinc/43/33/64/1074433364.db2.gz AWQUFRIBMVNKSS-NVXWUHKLSA-N 0 0 437.584 -0.804 20 0 IBADRN C[C@@]1(CC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)CCS(=O)(=O)N1 ZINC001443488551 1074433483 /nfs/dbraw/zinc/43/34/83/1074433483.db2.gz AWQUFRIBMVNKSS-RDJZCZTQSA-N 0 0 437.584 -0.804 20 0 IBADRN C[C@@]1(CC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)CCS(=O)(=O)N1 ZINC001443488560 1074433465 /nfs/dbraw/zinc/43/34/65/1074433465.db2.gz AWQUFRIBMVNKSS-WBVHZDCISA-N 0 0 437.584 -0.804 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3ccc([C@]4(C)NC(=O)NC4=O)cc3)CC2)CC1 ZINC001443491008 1074433452 /nfs/dbraw/zinc/43/34/52/1074433452.db2.gz AORFTHKYKFDQRU-NRFANRHFSA-N 0 0 428.493 -0.134 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3ccc([C@@]4(C)NC(=O)NC4=O)cc3)CC2)CC1 ZINC001443491011 1074434111 /nfs/dbraw/zinc/43/41/11/1074434111.db2.gz AORFTHKYKFDQRU-OAQYLSRUSA-N 0 0 428.493 -0.134 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3ccc(N4NC(=O)CCC4=O)cc3)CC2)CC1 ZINC001443494051 1074434067 /nfs/dbraw/zinc/43/40/67/1074434067.db2.gz KCGWZRHOEPEYCB-UHFFFAOYSA-N 0 0 428.493 -0.030 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)cc1OC ZINC001443494512 1074434040 /nfs/dbraw/zinc/43/40/40/1074434040.db2.gz LCEHNOJENXJVGJ-UHFFFAOYSA-N 0 0 433.509 -0.055 20 0 IBADRN C[C@@]1(CCCC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)NC(=O)NC1=O ZINC001443495015 1074434173 /nfs/dbraw/zinc/43/41/73/1074434173.db2.gz WPEKXSSLNWSULQ-BEFAXECRSA-N 0 0 444.554 -0.507 20 0 IBADRN C[C@]1(CCCC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)NC(=O)NC1=O ZINC001443495016 1074433979 /nfs/dbraw/zinc/43/39/79/1074433979.db2.gz WPEKXSSLNWSULQ-DNVCBOLYSA-N 0 0 444.554 -0.507 20 0 IBADRN C[C@]1(CCCC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)NC(=O)NC1=O ZINC001443495017 1074433993 /nfs/dbraw/zinc/43/39/93/1074433993.db2.gz WPEKXSSLNWSULQ-HNAYVOBHSA-N 0 0 444.554 -0.507 20 0 IBADRN C[C@@]1(CCCC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)NC(=O)NC1=O ZINC001443495018 1074434169 /nfs/dbraw/zinc/43/41/69/1074434169.db2.gz WPEKXSSLNWSULQ-KXBFYZLASA-N 0 0 444.554 -0.507 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)[C@H]1c1ccnn1C ZINC001443495920 1074434105 /nfs/dbraw/zinc/43/41/05/1074434105.db2.gz OCOZEIZOULZORP-MOPGFXCFSA-N 0 0 447.540 -0.780 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3cccc([C@]4(C)NC(=O)NC4=O)c3)CC2)CC1 ZINC001443496261 1074434116 /nfs/dbraw/zinc/43/41/16/1074434116.db2.gz PNXLLFJLNJBMHY-NRFANRHFSA-N 0 0 428.493 -0.134 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3cccc([C@@]4(C)NC(=O)NC4=O)c3)CC2)CC1 ZINC001443496265 1074434075 /nfs/dbraw/zinc/43/40/75/1074434075.db2.gz PNXLLFJLNJBMHY-OAQYLSRUSA-N 0 0 428.493 -0.134 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3nnc(C(C)(C)S(C)(=O)=O)o3)CC2)CC1 ZINC001443496293 1074434023 /nfs/dbraw/zinc/43/40/23/1074434023.db2.gz PWJTWLRMSKWQNC-UHFFFAOYSA-N 0 0 428.515 -0.526 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)c3ccc(CCNS(C)(=O)=O)s3)CC2)CC1 ZINC001443499168 1074434053 /nfs/dbraw/zinc/43/40/53/1074434053.db2.gz NHPXUWLVSNOTBB-UHFFFAOYSA-N 0 0 443.595 -0.035 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCN(C(=O)N4CCN(C)CC4)CC3)CC2=O)cn1 ZINC001443499280 1074434123 /nfs/dbraw/zinc/43/41/23/1074434123.db2.gz YAWVZXKDDSOGAR-KRWDZBQOSA-N 0 0 447.540 -0.606 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCN(C(=O)N4CCN(C)CC4)CC3)CC2=O)cn1 ZINC001443499282 1074434134 /nfs/dbraw/zinc/43/41/34/1074434134.db2.gz YAWVZXKDDSOGAR-QGZVFWFLSA-N 0 0 447.540 -0.606 20 0 IBADRN CC(C)(CNC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)NS(C)(=O)=O ZINC001443513540 1074434161 /nfs/dbraw/zinc/43/41/61/1074434161.db2.gz FAYKCYOQOWHKAA-UHFFFAOYSA-N 0 0 433.552 -0.110 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)CC1 ZINC001443517884 1074434178 /nfs/dbraw/zinc/43/41/78/1074434178.db2.gz TXBRHKDMUFOBGH-KRWDZBQOSA-N 0 0 429.514 -0.530 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC001443520274 1074434097 /nfs/dbraw/zinc/43/40/97/1074434097.db2.gz YZADEGFYJJCNDR-AWEZNQCLSA-N 0 0 447.579 -0.416 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)NC2CCN(CCS(C)(=O)=O)CC2)cc1 ZINC001443520285 1074434002 /nfs/dbraw/zinc/43/40/02/1074434002.db2.gz YZADEGFYJJCNDR-CQSZACIVSA-N 0 0 447.579 -0.416 20 0 IBADRN COc1ccc(CN(C(=O)CN(C)S(=O)(=O)N(C)C)[C@H]2CCS(=O)(=O)C2)cc1 ZINC001443520780 1074434517 /nfs/dbraw/zinc/43/45/17/1074434517.db2.gz TZRIGSCUTVRTJK-HNNXBMFYSA-N 0 0 433.552 -0.051 20 0 IBADRN COc1ccc(CN(C(=O)CN(C)S(=O)(=O)N(C)C)[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001443520781 1074434339 /nfs/dbraw/zinc/43/43/39/1074434339.db2.gz TZRIGSCUTVRTJK-OAHLLOKOSA-N 0 0 433.552 -0.051 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC(C2N=NC(=S)N2C)CC1 ZINC001443538042 1074434465 /nfs/dbraw/zinc/43/44/65/1074434465.db2.gz BEFKNLBZFXNSEC-UHFFFAOYSA-N 0 0 432.572 -0.356 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001443553089 1074434557 /nfs/dbraw/zinc/43/45/57/1074434557.db2.gz WFFYSOARLJPCFG-AWEZNQCLSA-N 0 0 438.554 -0.278 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001443553110 1074434491 /nfs/dbraw/zinc/43/44/91/1074434491.db2.gz WFFYSOARLJPCFG-CQSZACIVSA-N 0 0 438.554 -0.278 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)CC1 ZINC001443579463 1074434377 /nfs/dbraw/zinc/43/43/77/1074434377.db2.gz IPMKCJAXVGLUKE-OAGGEKHMSA-N 0 0 430.546 -0.054 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)O)CC1 ZINC001443579471 1074434543 /nfs/dbraw/zinc/43/45/43/1074434543.db2.gz IPMKCJAXVGLUKE-XHSDSOJGSA-N 0 0 430.546 -0.054 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CN2CCCC3(CC3)S2(=O)=O)CC1 ZINC001443585053 1074434327 /nfs/dbraw/zinc/43/43/27/1074434327.db2.gz ORAJIQSLSMSVNB-INIZCTEOSA-N 0 0 430.571 -0.370 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CN2CCCC3(CC3)S2(=O)=O)CC1 ZINC001443585065 1074434440 /nfs/dbraw/zinc/43/44/40/1074434440.db2.gz ORAJIQSLSMSVNB-MRXNPFEDSA-N 0 0 430.571 -0.370 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CN2CCC[C@H](C(=O)OC)C2=O)CC1 ZINC001443587871 1074434485 /nfs/dbraw/zinc/43/44/85/1074434485.db2.gz WRFHJRVQMFCBQT-CVEARBPZSA-N 0 0 426.514 -0.917 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CN2CCC[C@H](C(=O)OC)C2=O)CC1 ZINC001443587876 1074434566 /nfs/dbraw/zinc/43/45/66/1074434566.db2.gz WRFHJRVQMFCBQT-HOTGVXAUSA-N 0 0 426.514 -0.917 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CN2CCC[C@@H](C(=O)OC)C2=O)CC1 ZINC001443587882 1074434347 /nfs/dbraw/zinc/43/43/47/1074434347.db2.gz WRFHJRVQMFCBQT-HZPDHXFCSA-N 0 0 426.514 -0.917 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CN2CCC[C@@H](C(=O)OC)C2=O)CC1 ZINC001443587888 1074435166 /nfs/dbraw/zinc/43/51/66/1074435166.db2.gz WRFHJRVQMFCBQT-JKSUJKDBSA-N 0 0 426.514 -0.917 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)c(Cl)o2)CC1 ZINC001443588643 1074435292 /nfs/dbraw/zinc/43/52/92/1074435292.db2.gz XVAZDMHUDUHXQO-LLVKDONJSA-N 0 0 436.918 -0.121 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2cc(S(N)(=O)=O)c(Cl)o2)CC1 ZINC001443588646 1074435279 /nfs/dbraw/zinc/43/52/79/1074435279.db2.gz XVAZDMHUDUHXQO-NSHDSACASA-N 0 0 436.918 -0.121 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC001443588670 1074435314 /nfs/dbraw/zinc/43/53/14/1074435314.db2.gz XYHWKAPGHHUQGZ-HNNXBMFYSA-N 0 0 444.529 -0.211 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC001443588672 1074435227 /nfs/dbraw/zinc/43/52/27/1074435227.db2.gz XYHWKAPGHHUQGZ-OAHLLOKOSA-N 0 0 444.529 -0.211 20 0 IBADRN CCOCCN1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001443619141 1074435183 /nfs/dbraw/zinc/43/51/83/1074435183.db2.gz PYSBMDFYPYYHCR-UHFFFAOYSA-N 0 0 433.552 -0.451 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC2)cc1 ZINC001443619727 1074435239 /nfs/dbraw/zinc/43/52/39/1074435239.db2.gz NVBLVTURXQMIPR-UHFFFAOYSA-N 0 0 441.554 -0.693 20 0 IBADRN COCCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001443674536 1074435156 /nfs/dbraw/zinc/43/51/56/1074435156.db2.gz LFORNSXHISTNRW-CVEARBPZSA-N 0 0 443.497 -0.610 20 0 IBADRN COCCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC001443674548 1074435233 /nfs/dbraw/zinc/43/52/33/1074435233.db2.gz LFORNSXHISTNRW-HOTGVXAUSA-N 0 0 443.497 -0.610 20 0 IBADRN COCCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC001443674560 1074435287 /nfs/dbraw/zinc/43/52/87/1074435287.db2.gz LFORNSXHISTNRW-HZPDHXFCSA-N 0 0 443.497 -0.610 20 0 IBADRN COCCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC001443674569 1074435220 /nfs/dbraw/zinc/43/52/20/1074435220.db2.gz LFORNSXHISTNRW-JKSUJKDBSA-N 0 0 443.497 -0.610 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)CCC(=O)NCCNC(C)=O)cc2)CC1 ZINC001443723766 1074435211 /nfs/dbraw/zinc/43/52/11/1074435211.db2.gz KNSAMLDXVYOENN-UHFFFAOYSA-N 0 0 433.509 -0.047 20 0 IBADRN O=C(NCCN1C(=O)CNC1=O)c1ccc(I)cc1-n1cnnn1 ZINC001443760850 1074435294 /nfs/dbraw/zinc/43/52/94/1074435294.db2.gz OMCIZDRASHBWJV-UHFFFAOYSA-N 0 0 441.189 -0.452 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)N(C)CCNS(C)(=O)=O ZINC001443765846 1074435298 /nfs/dbraw/zinc/43/52/98/1074435298.db2.gz AXDWBQKXIAYKOP-KXNHARMFSA-N 0 0 425.429 -0.020 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@H]2[C@H]1C(=O)N(C)CCNS(C)(=O)=O ZINC001443765853 1074435172 /nfs/dbraw/zinc/43/51/72/1074435172.db2.gz AXDWBQKXIAYKOP-NOOOWODRSA-N 0 0 425.429 -0.020 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)N(C)CCNS(C)(=O)=O ZINC001443765858 1074435797 /nfs/dbraw/zinc/43/57/97/1074435797.db2.gz AXDWBQKXIAYKOP-RHYQMDGZSA-N 0 0 425.429 -0.020 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@H]2[C@@H]1C(=O)N(C)CCNS(C)(=O)=O ZINC001443765863 1074435980 /nfs/dbraw/zinc/43/59/80/1074435980.db2.gz AXDWBQKXIAYKOP-WRWGMCAJSA-N 0 0 425.429 -0.020 20 0 IBADRN CNS(=O)(=O)NCC(=O)NCCCC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC001443770626 1074435968 /nfs/dbraw/zinc/43/59/68/1074435968.db2.gz WMJFJPJHFRMFLM-UHFFFAOYSA-N 0 0 428.511 -0.461 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001443827764 1074435891 /nfs/dbraw/zinc/43/58/91/1074435891.db2.gz JGNORGIPHGBNPS-DOTOQJQBSA-N 0 0 426.481 -0.873 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001443827838 1074435952 /nfs/dbraw/zinc/43/59/52/1074435952.db2.gz JGNORGIPHGBNPS-RDJZCZTQSA-N 0 0 426.481 -0.873 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)[C@H]2CCC3N=NC(=O)N3C2)CC1 ZINC001443843248 1074435828 /nfs/dbraw/zinc/43/58/28/1074435828.db2.gz CFRLZTSIULOFCH-HNNXBMFYSA-N 0 0 434.522 -0.201 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)[C@@H]2CCC3N=NC(=O)N3C2)CC1 ZINC001443843256 1074435934 /nfs/dbraw/zinc/43/59/34/1074435934.db2.gz CFRLZTSIULOFCH-OAHLLOKOSA-N 0 0 434.522 -0.201 20 0 IBADRN CN(C)S(=O)(=O)CCC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001443843564 1074435902 /nfs/dbraw/zinc/43/59/02/1074435902.db2.gz DMAKFCVJUZBXNK-UHFFFAOYSA-N 0 0 432.568 -0.480 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@H](N3CCCC3=O)C2)c1 ZINC001443866636 1074435929 /nfs/dbraw/zinc/43/59/29/1074435929.db2.gz NHOJIAMVVAPOTM-AWEZNQCLSA-N 0 0 443.547 -0.018 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@@H](N3CCCC3=O)C2)c1 ZINC001443866642 1074435877 /nfs/dbraw/zinc/43/58/77/1074435877.db2.gz NHOJIAMVVAPOTM-CQSZACIVSA-N 0 0 443.547 -0.018 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)C1 ZINC001443910125 1074435866 /nfs/dbraw/zinc/43/58/66/1074435866.db2.gz KEBOUBSVAJTMRW-OLZOCXBDSA-N 0 0 441.572 -0.145 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)C1 ZINC001443910127 1074435976 /nfs/dbraw/zinc/43/59/76/1074435976.db2.gz KEBOUBSVAJTMRW-STQMWFEESA-N 0 0 441.572 -0.145 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC001443921741 1074435853 /nfs/dbraw/zinc/43/58/53/1074435853.db2.gz VGNZPSZVWSZCAH-UHFFFAOYSA-N 0 0 437.566 -0.152 20 0 IBADRN O=C(CS(=O)(=O)C1CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001443946513 1074435810 /nfs/dbraw/zinc/43/58/10/1074435810.db2.gz QABIEBMAZKGXCG-UHFFFAOYSA-N 0 0 443.503 -0.572 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(CNC(=O)CCNC(=O)CO)CC2)cc1OC ZINC001444059886 1074435753 /nfs/dbraw/zinc/43/57/53/1074435753.db2.gz UXOHZCWTYAIJFM-UHFFFAOYSA-N 0 0 443.522 -0.281 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)NCCN1C(=O)CCC1=O ZINC001444171617 1074435773 /nfs/dbraw/zinc/43/57/73/1074435773.db2.gz JZPGMISPORFJQF-HNNXBMFYSA-N 0 0 439.490 -0.019 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)NCCN1C(=O)CCC1=O ZINC001444171625 1074436514 /nfs/dbraw/zinc/43/65/14/1074436514.db2.gz JZPGMISPORFJQF-OAHLLOKOSA-N 0 0 439.490 -0.019 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCC(N(CC(F)(F)F)S(C)(=O)=O)CC1 ZINC001444174517 1074436458 /nfs/dbraw/zinc/43/64/58/1074436458.db2.gz AWRQFUQQEAEKQH-UHFFFAOYSA-N 0 0 438.494 -0.461 20 0 IBADRN CN(C)c1nc(N)nc(C(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)n1 ZINC001444174537 1074436307 /nfs/dbraw/zinc/43/63/07/1074436307.db2.gz AYGLMTYTPUAZBI-UHFFFAOYSA-N 0 0 425.437 -0.052 20 0 IBADRN O=C(CSCC(=O)N1CCOCC1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001444316090 1074436528 /nfs/dbraw/zinc/43/65/28/1074436528.db2.gz AVVRKEVVYHRCCD-UHFFFAOYSA-N 0 0 449.595 -0.332 20 0 IBADRN NS(=O)(=O)c1ccc(CC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)cc1 ZINC001444326444 1074436471 /nfs/dbraw/zinc/43/64/71/1074436471.db2.gz XIHCZCIDTPXAOJ-UHFFFAOYSA-N 0 0 445.563 -0.034 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(c4ccc(C(N)=O)cc4)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001444392140 1074436432 /nfs/dbraw/zinc/43/64/32/1074436432.db2.gz OZTSDVANKLNLSP-KZNAEPCWSA-N 0 0 426.477 -0.642 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NC1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001444395275 1074436403 /nfs/dbraw/zinc/43/64/03/1074436403.db2.gz UZDFSGNCUMZNID-AWEZNQCLSA-N 0 0 435.510 -0.712 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)CCC(=O)N2CCC(O)CC2)c1 ZINC001444417549 1074436332 /nfs/dbraw/zinc/43/63/32/1074436332.db2.gz MKKHRBGZODUYBM-UHFFFAOYSA-N 0 0 427.523 -0.009 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Br)C(=O)NCCC(N)=O ZINC001444420587 1074436421 /nfs/dbraw/zinc/43/64/21/1074436421.db2.gz VRDLYYWZYLGCMI-GFCCVEGCSA-N 0 0 434.312 -0.026 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Br)C(=O)NCCC(N)=O ZINC001444420588 1074436506 /nfs/dbraw/zinc/43/65/06/1074436506.db2.gz VRDLYYWZYLGCMI-LBPRGKRZSA-N 0 0 434.312 -0.026 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001444480013 1074436317 /nfs/dbraw/zinc/43/63/17/1074436317.db2.gz CTTLBPVADUKQHQ-MUUNZHRXSA-N 0 0 443.591 -0.032 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001444480017 1074436447 /nfs/dbraw/zinc/43/64/47/1074436447.db2.gz CTTLBPVADUKQHQ-NDEPHWFRSA-N 0 0 443.591 -0.032 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CCO1 ZINC001444482015 1074436499 /nfs/dbraw/zinc/43/64/99/1074436499.db2.gz CFONNHVXQMGBBO-CABCVRRESA-N 0 0 433.527 -0.226 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CCO1 ZINC001444482017 1074436388 /nfs/dbraw/zinc/43/63/88/1074436388.db2.gz CFONNHVXQMGBBO-GJZGRUSLSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CCO1 ZINC001444482020 1074436464 /nfs/dbraw/zinc/43/64/64/1074436464.db2.gz CFONNHVXQMGBBO-HUUCEWRRSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CCO1 ZINC001444482022 1074436517 /nfs/dbraw/zinc/43/65/17/1074436517.db2.gz CFONNHVXQMGBBO-LSDHHAIUSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CCO1 ZINC001444482270 1074437018 /nfs/dbraw/zinc/43/70/18/1074437018.db2.gz CRIPTEBSIFTGDL-SPYBWZPUSA-N 0 0 445.538 -0.368 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CCO1 ZINC001444482275 1074437117 /nfs/dbraw/zinc/43/71/17/1074437117.db2.gz CRIPTEBSIFTGDL-ZRGWGRIASA-N 0 0 445.538 -0.368 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CC2)C1=O ZINC001444495490 1074437123 /nfs/dbraw/zinc/43/71/23/1074437123.db2.gz BFQUZNHBLBGEPH-CYBMUJFWSA-N 0 0 444.897 -0.478 20 0 IBADRN CN1C(=O)NC2(CCN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CC2)C1=O ZINC001444495493 1074437153 /nfs/dbraw/zinc/43/71/53/1074437153.db2.gz BFQUZNHBLBGEPH-ZDUSSCGKSA-N 0 0 444.897 -0.478 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NCCN2C(=O)CCCC2=O)C1 ZINC001444502112 1074437236 /nfs/dbraw/zinc/43/72/36/1074437236.db2.gz KRYJPIYGODBQOC-BFUOFWGJSA-N 0 0 443.522 -0.077 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001444509560 1074437100 /nfs/dbraw/zinc/43/71/00/1074437100.db2.gz PTJIJKPKTLURTN-UHFFFAOYSA-N 0 0 439.494 -0.798 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)Cc3nnc(C4CCOCC4)[nH]3)CC2)cn1 ZINC001444544765 1074437161 /nfs/dbraw/zinc/43/71/61/1074437161.db2.gz CYSCQVAYQQHTJU-UHFFFAOYSA-N 0 0 437.526 -0.056 20 0 IBADRN O=C(CCC(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1)N1CCC(O)CC1 ZINC001444581173 1074437065 /nfs/dbraw/zinc/43/70/65/1074437065.db2.gz KOYKVWCFGZOHSW-UHFFFAOYSA-N 0 0 438.569 -0.173 20 0 IBADRN O=C(NCCCN1CCOCC1)C1CCN(C(=O)C2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC001444585619 1074437002 /nfs/dbraw/zinc/43/70/02/1074437002.db2.gz VNVZKTVFNCTTEV-UHFFFAOYSA-N 0 0 449.552 -0.168 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)CC1 ZINC001444593840 1074437108 /nfs/dbraw/zinc/43/71/08/1074437108.db2.gz GOAUYJOEADZXAW-AWEZNQCLSA-N 0 0 425.482 -0.199 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)CC1 ZINC001444593845 1074437213 /nfs/dbraw/zinc/43/72/13/1074437213.db2.gz GOAUYJOEADZXAW-CQSZACIVSA-N 0 0 425.482 -0.199 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001444601665 1074436986 /nfs/dbraw/zinc/43/69/86/1074436986.db2.gz PHYAPQWJKRPRBT-DOTOQJQBSA-N 0 0 435.452 -0.436 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001444601673 1074437082 /nfs/dbraw/zinc/43/70/82/1074437082.db2.gz PHYAPQWJKRPRBT-NVXWUHKLSA-N 0 0 435.452 -0.436 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001444601677 1074437089 /nfs/dbraw/zinc/43/70/89/1074437089.db2.gz PHYAPQWJKRPRBT-RDJZCZTQSA-N 0 0 435.452 -0.436 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001444601682 1074437144 /nfs/dbraw/zinc/43/71/44/1074437144.db2.gz PHYAPQWJKRPRBT-WBVHZDCISA-N 0 0 435.452 -0.436 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001444605527 1074437051 /nfs/dbraw/zinc/43/70/51/1074437051.db2.gz WNNOCQXKXWVHHV-AWEZNQCLSA-N 0 0 440.497 -0.312 20 0 IBADRN CCOC(=O)C(=O)N1CCN(C(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)CC1 ZINC001444605531 1074437138 /nfs/dbraw/zinc/43/71/38/1074437138.db2.gz WNNOCQXKXWVHHV-CQSZACIVSA-N 0 0 440.497 -0.312 20 0 IBADRN NS(=O)(=O)CCCCCC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001444627400 1074437187 /nfs/dbraw/zinc/43/71/87/1074437187.db2.gz SIFOUCSZCBJJKG-UHFFFAOYSA-N 0 0 426.543 -0.189 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)[nH]nc1C(F)(F)F ZINC001444630698 1074437033 /nfs/dbraw/zinc/43/70/33/1074437033.db2.gz AUPAJUBVPVQGGH-LLVKDONJSA-N 0 0 437.444 -0.202 20 0 IBADRN Cc1c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)[nH]nc1C(F)(F)F ZINC001444630707 1074437664 /nfs/dbraw/zinc/43/76/64/1074437664.db2.gz AUPAJUBVPVQGGH-NSHDSACASA-N 0 0 437.444 -0.202 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(Cl)n1 ZINC001444630751 1074437713 /nfs/dbraw/zinc/43/77/13/1074437713.db2.gz AYXHNCUBUPHOLV-GFCCVEGCSA-N 0 0 430.914 -0.195 20 0 IBADRN COc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(Cl)n1 ZINC001444630764 1074437729 /nfs/dbraw/zinc/43/77/29/1074437729.db2.gz AYXHNCUBUPHOLV-LBPRGKRZSA-N 0 0 430.914 -0.195 20 0 IBADRN O=C(CN1CCN(C(=O)C(F)(F)C2(O)CCCCC2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444633558 1074437643 /nfs/dbraw/zinc/43/76/43/1074437643.db2.gz FNPBQKIZADCFKG-AWEZNQCLSA-N 0 0 437.509 -0.236 20 0 IBADRN O=C(CN1CCN(C(=O)C(F)(F)C2(O)CCCCC2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444633677 1074437808 /nfs/dbraw/zinc/43/78/08/1074437808.db2.gz FNPBQKIZADCFKG-CQSZACIVSA-N 0 0 437.509 -0.236 20 0 IBADRN O=C(CN1CCN(C(=O)c2c3c(ccc2F)OCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444633882 1074437654 /nfs/dbraw/zinc/43/76/54/1074437654.db2.gz FVRVHYALKJPXGL-GFCCVEGCSA-N 0 0 427.454 -0.385 20 0 IBADRN O=C(CN1CCN(C(=O)c2c3c(ccc2F)OCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444633893 1074437683 /nfs/dbraw/zinc/43/76/83/1074437683.db2.gz FVRVHYALKJPXGL-LBPRGKRZSA-N 0 0 427.454 -0.385 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444634654 1074437783 /nfs/dbraw/zinc/43/77/83/1074437783.db2.gz HFGNVQHBXDOUMW-CVEARBPZSA-N 0 0 428.530 -0.035 20 0 IBADRN CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444634659 1074437614 /nfs/dbraw/zinc/43/76/14/1074437614.db2.gz HFGNVQHBXDOUMW-HOTGVXAUSA-N 0 0 428.530 -0.035 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444634666 1074437790 /nfs/dbraw/zinc/43/77/90/1074437790.db2.gz HFGNVQHBXDOUMW-HZPDHXFCSA-N 0 0 428.530 -0.035 20 0 IBADRN CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444634673 1074437673 /nfs/dbraw/zinc/43/76/73/1074437673.db2.gz HFGNVQHBXDOUMW-JKSUJKDBSA-N 0 0 428.530 -0.035 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@@H](NS(=O)(=O)c3cccs3)[C@@H](C)O)CC2)[nH]1 ZINC001444634677 1074437690 /nfs/dbraw/zinc/43/76/90/1074437690.db2.gz KATLOEHNKALZIM-ABAIWWIYSA-N 0 0 428.540 -0.453 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@H](NS(=O)(=O)c3cccs3)[C@@H](C)O)CC2)[nH]1 ZINC001444634708 1074437720 /nfs/dbraw/zinc/43/77/20/1074437720.db2.gz KATLOEHNKALZIM-IAQYHMDHSA-N 0 0 428.540 -0.453 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@@H](NS(=O)(=O)c3cccs3)[C@H](C)O)CC2)[nH]1 ZINC001444634717 1074437798 /nfs/dbraw/zinc/43/77/98/1074437798.db2.gz KATLOEHNKALZIM-NHYWBVRUSA-N 0 0 428.540 -0.453 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cc3nnc[nH]3)cc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444636084 1074437771 /nfs/dbraw/zinc/43/77/71/1074437771.db2.gz HPCVAQCXECXMBL-KRWDZBQOSA-N 0 0 446.533 -0.543 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(Cc3nnc[nH]3)cc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444636090 1074437701 /nfs/dbraw/zinc/43/77/01/1074437701.db2.gz HPCVAQCXECXMBL-QGZVFWFLSA-N 0 0 446.533 -0.543 20 0 IBADRN Cc1nnc(CN2CCN(C(=O)[C@H](NS(=O)(=O)c3cccs3)[C@H](C)O)CC2)[nH]1 ZINC001444636201 1074437738 /nfs/dbraw/zinc/43/77/38/1074437738.db2.gz KATLOEHNKALZIM-XHDPSFHLSA-N 0 0 428.540 -0.453 20 0 IBADRN COC(=O)c1cc(C)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001444636316 1074437758 /nfs/dbraw/zinc/43/77/58/1074437758.db2.gz IVZZLJIOFNXFCK-CYBMUJFWSA-N 0 0 427.479 -0.564 20 0 IBADRN COC(=O)c1cc(C)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)o1 ZINC001444636320 1074437765 /nfs/dbraw/zinc/43/77/65/1074437765.db2.gz IVZZLJIOFNXFCK-ZDUSSCGKSA-N 0 0 427.479 -0.564 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(-c3ccccc3)cn2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444636417 1074437631 /nfs/dbraw/zinc/43/76/31/1074437631.db2.gz IJCHCXVVWFPEJL-INIZCTEOSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(CN1CCN(C(=O)c2cn(-c3ccccc3)cn2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444636422 1074438324 /nfs/dbraw/zinc/43/83/24/1074438324.db2.gz IJCHCXVVWFPEJL-MRXNPFEDSA-N 0 0 431.518 -0.067 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F ZINC001444636868 1074438471 /nfs/dbraw/zinc/43/84/71/1074438471.db2.gz JJPWZJIUTCRDSL-AGIUHOORSA-N 0 0 427.445 -0.741 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H]1CS(=O)(=O)C[C@H]1C(F)(F)F ZINC001444636881 1074438291 /nfs/dbraw/zinc/43/82/91/1074438291.db2.gz JJPWZJIUTCRDSL-FRRDWIJNSA-N 0 0 427.445 -0.741 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F ZINC001444636892 1074438306 /nfs/dbraw/zinc/43/83/06/1074438306.db2.gz JJPWZJIUTCRDSL-JHJVBQTASA-N 0 0 427.445 -0.741 20 0 IBADRN O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F ZINC001444636898 1074438381 /nfs/dbraw/zinc/43/83/81/1074438381.db2.gz JJPWZJIUTCRDSL-UPJWGTAASA-N 0 0 427.445 -0.741 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001444637164 1074438448 /nfs/dbraw/zinc/43/84/48/1074438448.db2.gz JWYPDXGOYCLQDZ-BBWFWOEESA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001444637169 1074438501 /nfs/dbraw/zinc/43/85/01/1074438501.db2.gz JWYPDXGOYCLQDZ-GVDBMIGSSA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@H](C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001444637174 1074438396 /nfs/dbraw/zinc/43/83/96/1074438396.db2.gz JWYPDXGOYCLQDZ-ULQDDVLXSA-N 0 0 442.557 -0.100 20 0 IBADRN C[C@H](C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001444637179 1074438356 /nfs/dbraw/zinc/43/83/56/1074438356.db2.gz JWYPDXGOYCLQDZ-YESZJQIVSA-N 0 0 442.557 -0.100 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc3c2OCCCO3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444638569 1074438518 /nfs/dbraw/zinc/43/85/18/1074438518.db2.gz LGRIWCHJILBGJK-HNNXBMFYSA-N 0 0 437.518 -0.091 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc3c2OCCCO3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444638573 1074438484 /nfs/dbraw/zinc/43/84/84/1074438484.db2.gz LGRIWCHJILBGJK-OAHLLOKOSA-N 0 0 437.518 -0.091 20 0 IBADRN Nc1ncnc2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)ccc12 ZINC001444641262 1074438513 /nfs/dbraw/zinc/43/85/13/1074438513.db2.gz PWAPAEQPEREKBT-AWEZNQCLSA-N 0 0 432.506 -0.727 20 0 IBADRN Nc1ncnc2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)ccc12 ZINC001444641270 1074438412 /nfs/dbraw/zinc/43/84/12/1074438412.db2.gz PWAPAEQPEREKBT-CQSZACIVSA-N 0 0 432.506 -0.727 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)cc2[nH]c1=O ZINC001444641661 1074438276 /nfs/dbraw/zinc/43/82/76/1074438276.db2.gz QOQKPNINLPQKKV-HNNXBMFYSA-N 0 0 449.533 -0.177 20 0 IBADRN CCn1c2ccc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)cc2[nH]c1=O ZINC001444641671 1074438316 /nfs/dbraw/zinc/43/83/16/1074438316.db2.gz QOQKPNINLPQKKV-OAHLLOKOSA-N 0 0 449.533 -0.177 20 0 IBADRN C=CC(=O)Nc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001444642134 1074438813 /nfs/dbraw/zinc/43/88/13/1074438813.db2.gz QDUPGYQXPFPWSC-KRWDZBQOSA-N 0 0 434.518 -0.128 20 0 IBADRN C=CC(=O)Nc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001444642136 1074438899 /nfs/dbraw/zinc/43/88/99/1074438899.db2.gz QDUPGYQXPFPWSC-QGZVFWFLSA-N 0 0 434.518 -0.128 20 0 IBADRN O=C(C[C@H]1CS(=O)(=O)c2ccccc2O1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444642138 1074438423 /nfs/dbraw/zinc/43/84/23/1074438423.db2.gz RWPWYHURDIRVEC-CVEARBPZSA-N 0 0 437.518 -0.339 20 0 IBADRN O=C(C[C@H]1CS(=O)(=O)c2ccccc2O1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444642141 1074438952 /nfs/dbraw/zinc/43/89/52/1074438952.db2.gz RWPWYHURDIRVEC-HOTGVXAUSA-N 0 0 437.518 -0.339 20 0 IBADRN O=C(C[C@@H]1CS(=O)(=O)c2ccccc2O1)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444642146 1074438858 /nfs/dbraw/zinc/43/88/58/1074438858.db2.gz RWPWYHURDIRVEC-HZPDHXFCSA-N 0 0 437.518 -0.339 20 0 IBADRN O=C(C[C@@H]1CS(=O)(=O)c2ccccc2O1)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444642150 1074438989 /nfs/dbraw/zinc/43/89/89/1074438989.db2.gz RWPWYHURDIRVEC-JKSUJKDBSA-N 0 0 437.518 -0.339 20 0 IBADRN COCCN(CC(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)OC(C)(C)C ZINC001444642207 1074438885 /nfs/dbraw/zinc/43/88/85/1074438885.db2.gz ZOJHYRINWPGXOZ-INIZCTEOSA-N 0 0 428.530 -0.081 20 0 IBADRN COCCN(CC(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)OC(C)(C)C ZINC001444642209 1074438829 /nfs/dbraw/zinc/43/88/29/1074438829.db2.gz ZOJHYRINWPGXOZ-MRXNPFEDSA-N 0 0 428.530 -0.081 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H]2COc3ccccc3O2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444642225 1074438876 /nfs/dbraw/zinc/43/88/76/1074438876.db2.gz QTSBKQBJSJRCQG-CVEARBPZSA-N 0 0 437.518 -0.336 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@H]2COc3ccccc3O2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444642230 1074438869 /nfs/dbraw/zinc/43/88/69/1074438869.db2.gz QTSBKQBJSJRCQG-HOTGVXAUSA-N 0 0 437.518 -0.336 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H]2COc3ccccc3O2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444642237 1074438819 /nfs/dbraw/zinc/43/88/19/1074438819.db2.gz QTSBKQBJSJRCQG-HZPDHXFCSA-N 0 0 437.518 -0.336 20 0 IBADRN O=C(CN1CCN(C(=O)C[C@@H]2COc3ccccc3O2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444642242 1074438840 /nfs/dbraw/zinc/43/88/40/1074438840.db2.gz QTSBKQBJSJRCQG-JKSUJKDBSA-N 0 0 437.518 -0.336 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2COc3cc(F)ccc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444644076 1074438977 /nfs/dbraw/zinc/43/89/77/1074438977.db2.gz VMNQYYWJARDUSN-DOTOQJQBSA-N 0 0 439.509 -0.176 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2COc3cc(F)ccc3C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444644082 1074438946 /nfs/dbraw/zinc/43/89/46/1074438946.db2.gz VMNQYYWJARDUSN-NVXWUHKLSA-N 0 0 439.509 -0.176 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2COc3cc(F)ccc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444644087 1074438907 /nfs/dbraw/zinc/43/89/07/1074438907.db2.gz VMNQYYWJARDUSN-RDJZCZTQSA-N 0 0 439.509 -0.176 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2COc3cc(F)ccc3C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444644093 1074439005 /nfs/dbraw/zinc/43/90/05/1074439005.db2.gz VMNQYYWJARDUSN-WBVHZDCISA-N 0 0 439.509 -0.176 20 0 IBADRN COc1c(F)cc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(N)c1F ZINC001444645733 1074438934 /nfs/dbraw/zinc/43/89/34/1074438934.db2.gz VSNFXPFGNRKXLZ-LLVKDONJSA-N 0 0 446.476 -0.383 20 0 IBADRN COc1c(F)cc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(N)c1F ZINC001444645737 1074439489 /nfs/dbraw/zinc/43/94/89/1074439489.db2.gz VSNFXPFGNRKXLZ-NSHDSACASA-N 0 0 446.476 -0.383 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444647613 1074439472 /nfs/dbraw/zinc/43/94/72/1074439472.db2.gz YZGQNGLHCWBYDX-LLVKDONJSA-N 0 0 447.277 -0.014 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001444647710 1074439525 /nfs/dbraw/zinc/43/95/25/1074439525.db2.gz YZGQNGLHCWBYDX-NSHDSACASA-N 0 0 447.277 -0.014 20 0 IBADRN C=CC(=O)Nc1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001444647987 1074439446 /nfs/dbraw/zinc/43/94/46/1074439446.db2.gz YBXWWRKOWLKSMV-KRWDZBQOSA-N 0 0 434.518 -0.128 20 0 IBADRN C=CC(=O)Nc1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001444648004 1074439544 /nfs/dbraw/zinc/43/95/44/1074439544.db2.gz YBXWWRKOWLKSMV-QGZVFWFLSA-N 0 0 434.518 -0.128 20 0 IBADRN O=C(CN1CCN(C(=O)c2oc3ccccc3c2CO)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001444648636 1074439483 /nfs/dbraw/zinc/43/94/83/1074439483.db2.gz ZMRPBYSPAXZUBQ-AWEZNQCLSA-N 0 0 435.502 -0.014 20 0 IBADRN O=C(CN1CCN(C(=O)c2oc3ccccc3c2CO)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001444648641 1074439501 /nfs/dbraw/zinc/43/95/01/1074439501.db2.gz ZMRPBYSPAXZUBQ-CQSZACIVSA-N 0 0 435.502 -0.014 20 0 IBADRN CN1CCn2nc(C(=O)N(Cc3cccnc3)[C@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC001444664298 1074439493 /nfs/dbraw/zinc/43/94/93/1074439493.db2.gz HBKNLJOTFFCHKQ-AWEZNQCLSA-N 0 0 439.519 -0.258 20 0 IBADRN CN1CCn2nc(C(=O)N(Cc3cccnc3)[C@@H]3CCS(=O)(=O)C3)cc2S1(=O)=O ZINC001444664304 1074439452 /nfs/dbraw/zinc/43/94/52/1074439452.db2.gz HBKNLJOTFFCHKQ-CQSZACIVSA-N 0 0 439.519 -0.258 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCN(CCn2cncn2)CC1 ZINC001444673059 1074439671 /nfs/dbraw/zinc/43/96/71/1074439671.db2.gz RVTWUSCQXRXYRV-KRWDZBQOSA-N 0 0 431.472 -0.836 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCN(CCn2cncn2)CC1 ZINC001444673063 1074439565 /nfs/dbraw/zinc/43/95/65/1074439565.db2.gz RVTWUSCQXRXYRV-QGZVFWFLSA-N 0 0 431.472 -0.836 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N(Cc2cccnc2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001444674531 1074439604 /nfs/dbraw/zinc/43/96/04/1074439604.db2.gz UBOYDECHFWRTFW-INIZCTEOSA-N 0 0 430.552 -0.227 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N(Cc2cccnc2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001444674538 1074439664 /nfs/dbraw/zinc/43/96/64/1074439664.db2.gz UBOYDECHFWRTFW-MRXNPFEDSA-N 0 0 430.552 -0.227 20 0 IBADRN CN1CCn2ncc(C(=O)N(Cc3cccnc3)[C@H]3CCS(=O)(=O)C3)c2S1(=O)=O ZINC001444677223 1074439538 /nfs/dbraw/zinc/43/95/38/1074439538.db2.gz ZYWDUNLZBNGSEO-AWEZNQCLSA-N 0 0 439.519 -0.258 20 0 IBADRN CN1CCn2ncc(C(=O)N(Cc3cccnc3)[C@@H]3CCS(=O)(=O)C3)c2S1(=O)=O ZINC001444677224 1074439509 /nfs/dbraw/zinc/43/95/09/1074439509.db2.gz ZYWDUNLZBNGSEO-CQSZACIVSA-N 0 0 439.519 -0.258 20 0 IBADRN CN1CC[C@](C)(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1=O ZINC001444729198 1074439551 /nfs/dbraw/zinc/43/95/51/1074439551.db2.gz NKXXTTPNUJKWNK-IBGZPJMESA-N 0 0 444.554 -0.052 20 0 IBADRN CN1CC[C@@](C)(C(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)C1=O ZINC001444729214 1074440176 /nfs/dbraw/zinc/44/01/76/1074440176.db2.gz NKXXTTPNUJKWNK-LJQANCHMSA-N 0 0 444.554 -0.052 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)[C@H]1c1nccn1C ZINC001444729693 1074440167 /nfs/dbraw/zinc/44/01/67/1074440167.db2.gz PJRCMSKOROTNRI-AUSJPIAWSA-N 0 0 432.481 -0.455 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)[C@H]1c1nccn1C ZINC001444729699 1074440227 /nfs/dbraw/zinc/44/02/27/1074440227.db2.gz PJRCMSKOROTNRI-AVYPCKFXSA-N 0 0 432.481 -0.455 20 0 IBADRN CCn1cc(CC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)nn1 ZINC001444730653 1074440150 /nfs/dbraw/zinc/44/01/50/1074440150.db2.gz STAZASQGDZUGGB-UHFFFAOYSA-N 0 0 442.542 -0.066 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3cnn(CCO)c3)C2)CC1 ZINC001444733386 1074440144 /nfs/dbraw/zinc/44/01/44/1074440144.db2.gz YNNSSBDLZBFBDG-UHFFFAOYSA-N 0 0 443.526 -0.418 20 0 IBADRN CNS(=O)(=O)NCC(=O)Nc1ccc(N2CCN(S(=O)(=O)C(F)F)CC2)cc1 ZINC001444864362 1074440265 /nfs/dbraw/zinc/44/02/65/1074440265.db2.gz XPFQTKZIYBHEBR-UHFFFAOYSA-N 0 0 441.482 -0.647 20 0 IBADRN NC(=O)COC1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001444899775 1074440157 /nfs/dbraw/zinc/44/01/57/1074440157.db2.gz NLVXYFWLCSSLHG-UHFFFAOYSA-N 0 0 425.507 -0.062 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(CO)CC2)CC1 ZINC001444915384 1074440218 /nfs/dbraw/zinc/44/02/18/1074440218.db2.gz CGLUFYNNBABZCB-UHFFFAOYSA-N 0 0 444.579 -0.355 20 0 IBADRN CNC(=O)CN1CCN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CC1 ZINC001444926616 1074440136 /nfs/dbraw/zinc/44/01/36/1074440136.db2.gz MJSUTTNZDRQSTE-AUUYWEPGSA-N 0 0 444.554 -0.842 20 0 IBADRN CNC(=O)CN1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001444930839 1074440256 /nfs/dbraw/zinc/44/02/56/1074440256.db2.gz QSKOGDYNYHDSGS-UHFFFAOYSA-N 0 0 431.536 -0.991 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN1C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C ZINC001444957008 1074440272 /nfs/dbraw/zinc/44/02/72/1074440272.db2.gz VHRUVURLLXPHEJ-OLZOCXBDSA-N 0 0 441.572 -0.145 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN1C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C ZINC001444957012 1074440230 /nfs/dbraw/zinc/44/02/30/1074440230.db2.gz VHRUVURLLXPHEJ-STQMWFEESA-N 0 0 441.572 -0.145 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)cn1 ZINC001445001449 1074440194 /nfs/dbraw/zinc/44/01/94/1074440194.db2.gz YGWLIOUKHFNBAM-AWEZNQCLSA-N 0 0 438.485 -0.338 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)cn1 ZINC001445001452 1074440214 /nfs/dbraw/zinc/44/02/14/1074440214.db2.gz YGWLIOUKHFNBAM-CQSZACIVSA-N 0 0 438.485 -0.338 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)C1CC1)C(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001445033415 1074440129 /nfs/dbraw/zinc/44/01/29/1074440129.db2.gz FLBRGBFGKITHNA-OAHLLOKOSA-N 0 0 434.540 -0.245 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(C(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1)CC2 ZINC001445034308 1074440182 /nfs/dbraw/zinc/44/01/82/1074440182.db2.gz SBTIUNSUVHJKST-BRWVUGGUSA-N 0 0 433.490 -0.592 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)c2sccc2S(N)(=O)=O)CC1 ZINC001445120978 1074440240 /nfs/dbraw/zinc/44/02/40/1074440240.db2.gz BSCANTKXNSKLDK-UHFFFAOYSA-N 0 0 425.554 -0.092 20 0 IBADRN COC(=O)c1cccn(CC(=O)N2CCN(S(=O)(=O)CCOC(C)C)CC2)c1=O ZINC001445123592 1074440934 /nfs/dbraw/zinc/44/09/34/1074440934.db2.gz DQDNNJTVCWSOCV-UHFFFAOYSA-N 0 0 429.495 -0.466 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CC1 ZINC001445131375 1074440895 /nfs/dbraw/zinc/44/08/95/1074440895.db2.gz OUEXUNDMBDQJES-HNNXBMFYSA-N 0 0 446.501 -0.790 20 0 IBADRN Cc1cnc(S(C)(=O)=O)nc1C(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC001445136801 1074441004 /nfs/dbraw/zinc/44/10/04/1074441004.db2.gz VOAJWNLTLAXFTJ-UHFFFAOYSA-N 0 0 434.540 -0.299 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCN3C(=O)NC[C@H]3C2)C1 ZINC001445175335 1074440963 /nfs/dbraw/zinc/44/09/63/1074440963.db2.gz JDQYYYPXXKATQJ-FHSNZYRGSA-N 0 0 428.511 -0.496 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCN3C(=O)NC[C@@H]3C2)C1 ZINC001445175341 1074440976 /nfs/dbraw/zinc/44/09/76/1074440976.db2.gz JDQYYYPXXKATQJ-SNUQEOBHSA-N 0 0 428.511 -0.496 20 0 IBADRN CCN1CCN(C(=O)Cc2ccc(NC(=O)[C@H](C)NC(=O)Cn3cnnn3)cc2)CC1 ZINC001445224513 1074441033 /nfs/dbraw/zinc/44/10/33/1074441033.db2.gz FBDXFQOGUUPNDA-HNNXBMFYSA-N 0 0 428.497 -0.477 20 0 IBADRN CN(C)CCN(C(=O)[C@@H](CO)NC(=O)OCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001445291473 1074440879 /nfs/dbraw/zinc/44/08/79/1074440879.db2.gz ZDOZPTZHRPQELD-DLBZAZTESA-N 0 0 427.523 -0.149 20 0 IBADRN CN(C)CCN(C(=O)[C@@H](CO)NC(=O)OCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC001445291475 1074440855 /nfs/dbraw/zinc/44/08/55/1074440855.db2.gz ZDOZPTZHRPQELD-IAGOWNOFSA-N 0 0 427.523 -0.149 20 0 IBADRN CN(C)CCN(C(=O)[C@H](CO)NC(=O)OCc1ccccc1)[C@H]1CCS(=O)(=O)C1 ZINC001445291480 1074440798 /nfs/dbraw/zinc/44/07/98/1074440798.db2.gz ZDOZPTZHRPQELD-IRXDYDNUSA-N 0 0 427.523 -0.149 20 0 IBADRN CN(C)CCN(C(=O)[C@H](CO)NC(=O)OCc1ccccc1)[C@@H]1CCS(=O)(=O)C1 ZINC001445291482 1074440836 /nfs/dbraw/zinc/44/08/36/1074440836.db2.gz ZDOZPTZHRPQELD-SJORKVTESA-N 0 0 427.523 -0.149 20 0 IBADRN CN(C)CCN(C(=O)CCOc1ccc(S(N)(=O)=O)cc1)[C@H]1CCS(=O)(=O)C1 ZINC001445291651 1074440945 /nfs/dbraw/zinc/44/09/45/1074440945.db2.gz ZUGXPPCKTQDUGV-AWEZNQCLSA-N 0 0 433.552 -0.320 20 0 IBADRN CN(C)CCN(C(=O)CCOc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC001445291653 1074440984 /nfs/dbraw/zinc/44/09/84/1074440984.db2.gz ZUGXPPCKTQDUGV-CQSZACIVSA-N 0 0 433.552 -0.320 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CCN2C1=O ZINC001445394019 1074440870 /nfs/dbraw/zinc/44/08/70/1074440870.db2.gz PBNTZZXAHKLMIR-KBPBESRZSA-N 0 0 444.897 -0.526 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CCN2C1=O ZINC001445394022 1074441016 /nfs/dbraw/zinc/44/10/16/1074441016.db2.gz PBNTZZXAHKLMIR-KGLIPLIRSA-N 0 0 444.897 -0.526 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CCN2C1=O ZINC001445394024 1074440995 /nfs/dbraw/zinc/44/09/95/1074440995.db2.gz PBNTZZXAHKLMIR-UONOGXRCSA-N 0 0 444.897 -0.526 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CCN2C1=O ZINC001445394025 1074440770 /nfs/dbraw/zinc/44/07/70/1074440770.db2.gz PBNTZZXAHKLMIR-ZIAGYGMSSA-N 0 0 444.897 -0.526 20 0 IBADRN COCCOc1ccccc1N1CCN(C(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)CC1 ZINC001445498646 1074440911 /nfs/dbraw/zinc/44/09/11/1074440911.db2.gz VMNAAYUFXQNENN-UHFFFAOYSA-N 0 0 445.476 -0.188 20 0 IBADRN O=C(CN1CCN(C(=O)CN2CCNC(=O)C2)CC1)Nc1ccc(Br)cc1 ZINC001445537697 1074441397 /nfs/dbraw/zinc/44/13/97/1074441397.db2.gz ZPUWTLFJCWSYSW-UHFFFAOYSA-N 0 0 438.326 -0.036 20 0 IBADRN CN1CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)[C@H](CNC(=O)OC(C)(C)C)C1 ZINC001445545889 1074441412 /nfs/dbraw/zinc/44/14/12/1074441412.db2.gz PAXCGCFQWNOBSG-CYBMUJFWSA-N 0 0 442.542 -0.202 20 0 IBADRN CN1CCN(C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC001445545892 1074441523 /nfs/dbraw/zinc/44/15/23/1074441523.db2.gz PAXCGCFQWNOBSG-ZDUSSCGKSA-N 0 0 442.542 -0.202 20 0 IBADRN O=C(N[C@@H](CO)C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1)c1cccc(F)n1 ZINC001445583224 1074441368 /nfs/dbraw/zinc/44/13/68/1074441368.db2.gz LYLFWTFSWHGGIJ-NSHDSACASA-N 0 0 442.391 -0.258 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N3CCC(O)CC3)CC2)cn1C ZINC001445603675 1074441387 /nfs/dbraw/zinc/44/13/87/1074441387.db2.gz CNHMRIGJESRLTF-UHFFFAOYSA-N 0 0 427.527 -0.675 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)c3ccc(S(C)(=O)=O)cc3N)CC2)cn1C ZINC001445612562 1074441433 /nfs/dbraw/zinc/44/14/33/1074441433.db2.gz YHNXWPJORKIYMP-UHFFFAOYSA-N 0 0 441.535 -0.139 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC001445626158 1074441504 /nfs/dbraw/zinc/44/15/04/1074441504.db2.gz JBJKFNBBPGKWCD-CYBMUJFWSA-N 0 0 425.511 -0.990 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)CC1 ZINC001445626162 1074441301 /nfs/dbraw/zinc/44/13/01/1074441301.db2.gz JBJKFNBBPGKWCD-ZDUSSCGKSA-N 0 0 425.511 -0.990 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CC(=O)NC(=O)N2)CC1 ZINC001445630298 1074441284 /nfs/dbraw/zinc/44/12/84/1074441284.db2.gz OXZJWRXNUXHBJY-NSHDSACASA-N 0 0 430.870 -0.220 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCS(=O)(=O)N2)CC1 ZINC001445634858 1074441446 /nfs/dbraw/zinc/44/14/46/1074441446.db2.gz XPWPLISDPZEFRC-GFCCVEGCSA-N 0 0 437.927 -0.127 20 0 IBADRN COc1ccc(Cl)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCS(=O)(=O)N2)CC1 ZINC001445634861 1074441518 /nfs/dbraw/zinc/44/15/18/1074441518.db2.gz XPWPLISDPZEFRC-LBPRGKRZSA-N 0 0 437.927 -0.127 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CC[C@H](OCCN3CCOCC3)C2)c(F)c1 ZINC001445657017 1074441342 /nfs/dbraw/zinc/44/13/42/1074441342.db2.gz SRLKHQVWYGRUOS-AWEZNQCLSA-N 0 0 430.502 -0.165 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CC[C@@H](OCCN3CCOCC3)C2)c(F)c1 ZINC001445657023 1074441534 /nfs/dbraw/zinc/44/15/34/1074441534.db2.gz SRLKHQVWYGRUOS-CQSZACIVSA-N 0 0 430.502 -0.165 20 0 IBADRN COc1ccc(C[C@@H](CO)CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1O ZINC001445699942 1074441467 /nfs/dbraw/zinc/44/14/67/1074441467.db2.gz VVMYXXXFTWLRHB-BYNBJNPRSA-N 0 0 432.477 -0.408 20 0 IBADRN COc1ccc(C[C@H](CO)CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1O ZINC001445699948 1074441545 /nfs/dbraw/zinc/44/15/45/1074441545.db2.gz VVMYXXXFTWLRHB-JGNHQEBTSA-N 0 0 432.477 -0.408 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)CC2(O)CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001445794668 1074441482 /nfs/dbraw/zinc/44/14/82/1074441482.db2.gz KAEWPYWXZLLUOG-UHFFFAOYSA-N 0 0 435.543 -0.131 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001445803309 1074441316 /nfs/dbraw/zinc/44/13/16/1074441316.db2.gz XSGKHAVMFKWXNS-CVEARBPZSA-N 0 0 426.495 -0.532 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001445803322 1074441511 /nfs/dbraw/zinc/44/15/11/1074441511.db2.gz XSGKHAVMFKWXNS-HOTGVXAUSA-N 0 0 426.495 -0.532 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001445803333 1074441527 /nfs/dbraw/zinc/44/15/27/1074441527.db2.gz XSGKHAVMFKWXNS-HZPDHXFCSA-N 0 0 426.495 -0.532 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001445803340 1074441336 /nfs/dbraw/zinc/44/13/36/1074441336.db2.gz XSGKHAVMFKWXNS-JKSUJKDBSA-N 0 0 426.495 -0.532 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1=O ZINC001445827415 1074442038 /nfs/dbraw/zinc/44/20/38/1074442038.db2.gz NRTYQYNLQDDQAG-GDBMZVCRSA-N 0 0 433.461 -0.161 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1=O ZINC001445827421 1074442090 /nfs/dbraw/zinc/44/20/90/1074442090.db2.gz NRTYQYNLQDDQAG-GOEBONIOSA-N 0 0 433.461 -0.161 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1=O ZINC001445827429 1074442101 /nfs/dbraw/zinc/44/21/01/1074442101.db2.gz NRTYQYNLQDDQAG-HOCLYGCPSA-N 0 0 433.461 -0.161 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1=O ZINC001445827438 1074442139 /nfs/dbraw/zinc/44/21/39/1074442139.db2.gz NRTYQYNLQDDQAG-ZBFHGGJFSA-N 0 0 433.461 -0.161 20 0 IBADRN Cn1c2sc(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)cc2c(=O)n(C)c1=O ZINC001445862744 1074442073 /nfs/dbraw/zinc/44/20/73/1074442073.db2.gz FYEJABNBCORDMZ-UHFFFAOYSA-N 0 0 426.520 -0.015 20 0 IBADRN CC[C@H](CO)NC(=O)Cc1ccc(NC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1 ZINC001445888018 1074442118 /nfs/dbraw/zinc/44/21/18/1074442118.db2.gz GGDMPSVIWVIVKU-HUYLIWGRSA-N 0 0 443.504 -0.021 20 0 IBADRN CC[C@@H](CO)NC(=O)Cc1ccc(NC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1 ZINC001445888020 1074442105 /nfs/dbraw/zinc/44/21/05/1074442105.db2.gz GGDMPSVIWVIVKU-YGWPLHOASA-N 0 0 443.504 -0.021 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NC[C@@H](O)CN1CCCC1=O ZINC001445923917 1074442079 /nfs/dbraw/zinc/44/20/79/1074442079.db2.gz HHRNPMGGUBUTMQ-CYBMUJFWSA-N 0 0 432.520 -0.782 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)NC[C@H](O)CN1CCCC1=O ZINC001445923929 1074442096 /nfs/dbraw/zinc/44/20/96/1074442096.db2.gz HHRNPMGGUBUTMQ-ZDUSSCGKSA-N 0 0 432.520 -0.782 20 0 IBADRN COCCc1cc(NC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)n(C)n1 ZINC001446016250 1074442046 /nfs/dbraw/zinc/44/20/46/1074442046.db2.gz YTAMANOIDADZDM-UHFFFAOYSA-N 0 0 430.508 -0.071 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001446174806 1074442135 /nfs/dbraw/zinc/44/21/35/1074442135.db2.gz FVYQHSANMHOSDO-DZGCQCFKSA-N 0 0 428.486 -0.874 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001446174808 1074442116 /nfs/dbraw/zinc/44/21/16/1074442116.db2.gz FVYQHSANMHOSDO-HIFRSBDPSA-N 0 0 428.486 -0.874 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001446174809 1074442112 /nfs/dbraw/zinc/44/21/12/1074442112.db2.gz FVYQHSANMHOSDO-UKRRQHHQSA-N 0 0 428.486 -0.874 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)C1 ZINC001446174810 1074442064 /nfs/dbraw/zinc/44/20/64/1074442064.db2.gz FVYQHSANMHOSDO-ZFWWWQNUSA-N 0 0 428.486 -0.874 20 0 IBADRN CN1C(=O)CN(NC(=O)c2ccc(I)cc2-n2cnnn2)C1=O ZINC001446301066 1074442025 /nfs/dbraw/zinc/44/20/25/1074442025.db2.gz YPJUBJQQGLGTDI-UHFFFAOYSA-N 0 0 427.162 -0.194 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001446330546 1074442131 /nfs/dbraw/zinc/44/21/31/1074442131.db2.gz IUTMABMECLNLTM-OAHLLOKOSA-N 0 0 445.563 -0.014 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N(CCO)CCN1CCOCC1 ZINC001446395304 1074442056 /nfs/dbraw/zinc/44/20/56/1074442056.db2.gz WCBBANCELVUYKQ-KRWDZBQOSA-N 0 0 430.546 -0.195 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2c3c(nn2C)CCS(=O)(=O)C3)CC1 ZINC001446507250 1074442151 /nfs/dbraw/zinc/44/21/51/1074442151.db2.gz LDOAFUPNVUEVKA-UHFFFAOYSA-N 0 0 426.495 -0.578 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)c2cnn3c2S(=O)(=O)N(C)CC3)CC1 ZINC001446508727 1074442143 /nfs/dbraw/zinc/44/21/43/1074442143.db2.gz PIQUMUNAMBFMHV-UHFFFAOYSA-N 0 0 427.483 -0.951 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)C(C)(C)NC(=O)C(C)(C)NC(C)=O)CC1 ZINC001446510632 1074442821 /nfs/dbraw/zinc/44/28/21/1074442821.db2.gz VBSUBZIONAWRPY-UHFFFAOYSA-N 0 0 426.514 -0.286 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)CCn3cc(C(N)=O)c(=O)[nH]c3=O)CC2)c1 ZINC001446546517 1074442844 /nfs/dbraw/zinc/44/28/44/1074442844.db2.gz XEYPWUDPWHVXLF-UHFFFAOYSA-N 0 0 445.476 -0.330 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCN([C@@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC001446578276 1074442693 /nfs/dbraw/zinc/44/26/93/1074442693.db2.gz PFOOBNZUMLOFRL-BLLLJJGKSA-N 0 0 434.888 -0.798 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCN([C@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC001446578287 1074442633 /nfs/dbraw/zinc/44/26/33/1074442633.db2.gz PFOOBNZUMLOFRL-LRDDRELGSA-N 0 0 434.888 -0.798 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN([C@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC001446582540 1074442802 /nfs/dbraw/zinc/44/28/02/1074442802.db2.gz WULROCVMKDALMQ-INIZCTEOSA-N 0 0 431.946 -0.251 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN([C@@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC001446582542 1074442617 /nfs/dbraw/zinc/44/26/17/1074442617.db2.gz WULROCVMKDALMQ-MRXNPFEDSA-N 0 0 431.946 -0.251 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CCN([C@H]2CCOC2=O)CC1 ZINC001446586158 1074442861 /nfs/dbraw/zinc/44/28/61/1074442861.db2.gz UATWUKIHEOBQCG-INIZCTEOSA-N 0 0 440.522 -0.444 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NC1CCN([C@@H]2CCOC2=O)CC1 ZINC001446586161 1074442674 /nfs/dbraw/zinc/44/26/74/1074442674.db2.gz UATWUKIHEOBQCG-MRXNPFEDSA-N 0 0 440.522 -0.444 20 0 IBADRN NC(=O)c1ncn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)c(Cl)c3)CC2)n1 ZINC001446596292 1074442525 /nfs/dbraw/zinc/44/25/25/1074442525.db2.gz AIGNWXZJNHGKOI-UHFFFAOYSA-N 0 0 430.849 -0.297 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)NC2CCN([C@@H]3CCOC3=O)CC2)cc1 ZINC001446599932 1074442789 /nfs/dbraw/zinc/44/27/89/1074442789.db2.gz AUFDBJQSPNHTES-CXAGYDPISA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)NC2CCN([C@H]3CCOC3=O)CC2)cc1 ZINC001446599937 1074443474 /nfs/dbraw/zinc/44/34/74/1074443474.db2.gz AUFDBJQSPNHTES-DYVFJYSZSA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)NC2CCN([C@H]3CCOC3=O)CC2)cc1 ZINC001446599942 1074443267 /nfs/dbraw/zinc/44/32/67/1074443267.db2.gz AUFDBJQSPNHTES-GUYCJALGSA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)NC2CCN([C@@H]3CCOC3=O)CC2)cc1 ZINC001446599949 1074443400 /nfs/dbraw/zinc/44/34/00/1074443400.db2.gz AUFDBJQSPNHTES-SUMWQHHRSA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001446701812 1074443354 /nfs/dbraw/zinc/44/33/54/1074443354.db2.gz WPKJIPNLBNNOTR-ILXRZTDVSA-N 0 0 435.543 -0.087 20 0 IBADRN C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001446701814 1074443370 /nfs/dbraw/zinc/44/33/70/1074443370.db2.gz WPKJIPNLBNNOTR-KFWWJZLASA-N 0 0 435.543 -0.087 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NC[C@H]2CC(=O)N(C3CC3)C2)c1 ZINC001446769637 1074443235 /nfs/dbraw/zinc/44/32/35/1074443235.db2.gz QYSILFQVTWZDKK-GFCCVEGCSA-N 0 0 429.520 -0.505 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)c1 ZINC001446769641 1074443457 /nfs/dbraw/zinc/44/34/57/1074443457.db2.gz QYSILFQVTWZDKK-LBPRGKRZSA-N 0 0 429.520 -0.505 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N[C@H]1CN(C)NC1=O ZINC001446836356 1074443413 /nfs/dbraw/zinc/44/34/13/1074443413.db2.gz NJMLINPSGSTDMG-BBRMVZONSA-N 0 0 426.495 -0.388 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N[C@@H]1CN(C)NC1=O ZINC001446836364 1074443375 /nfs/dbraw/zinc/44/33/75/1074443375.db2.gz NJMLINPSGSTDMG-CJNGLKHVSA-N 0 0 426.495 -0.388 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N[C@@H]1CN(C)NC1=O ZINC001446836371 1074443282 /nfs/dbraw/zinc/44/32/82/1074443282.db2.gz NJMLINPSGSTDMG-CZUORRHYSA-N 0 0 426.495 -0.388 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)c1ccc2c(c1)OCCCO2)C(=O)N[C@H]1CN(C)NC1=O ZINC001446836376 1074443345 /nfs/dbraw/zinc/44/33/45/1074443345.db2.gz NJMLINPSGSTDMG-XJKSGUPXSA-N 0 0 426.495 -0.388 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001446912636 1074443462 /nfs/dbraw/zinc/44/34/62/1074443462.db2.gz AVKSYTVJXRNHGQ-WBVHZDCISA-N 0 0 426.491 -0.225 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1 ZINC001446913478 1074443434 /nfs/dbraw/zinc/44/34/34/1074443434.db2.gz DLYHMKDBARHMBI-SKDRFNHKSA-N 0 0 426.369 -0.002 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(F)cc1)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001446924003 1074443419 /nfs/dbraw/zinc/44/34/19/1074443419.db2.gz JBIFFTYJVSSNSE-UHFFFAOYSA-N 0 0 433.527 -0.175 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001446965040 1074443446 /nfs/dbraw/zinc/44/34/46/1074443446.db2.gz QRQKMUQLHCMVAF-AWEZNQCLSA-N 0 0 438.506 -0.113 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CCN1S(C)(=O)=O ZINC001446966502 1074443324 /nfs/dbraw/zinc/44/33/24/1074443324.db2.gz YZLQGZULOYGHJG-AWEZNQCLSA-N 0 0 445.563 -0.062 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)C[C@H]1C ZINC001446975468 1074444113 /nfs/dbraw/zinc/44/41/13/1074444113.db2.gz QUGDASJSTHFTPN-DVOMOZLQSA-N 0 0 433.552 -0.128 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)C[C@@H]1C ZINC001446975470 1074444188 /nfs/dbraw/zinc/44/41/88/1074444188.db2.gz QUGDASJSTHFTPN-HEHGZKQESA-N 0 0 433.552 -0.128 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)C[C@@H]1C ZINC001446975472 1074444020 /nfs/dbraw/zinc/44/40/20/1074444020.db2.gz QUGDASJSTHFTPN-WOSRLPQWSA-N 0 0 433.552 -0.128 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)C[C@H]1C ZINC001446975474 1074444169 /nfs/dbraw/zinc/44/41/69/1074444169.db2.gz QUGDASJSTHFTPN-XJKCOSOUSA-N 0 0 433.552 -0.128 20 0 IBADRN COc1ccc(OC)c(CN(CCO)C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)c1 ZINC001447051398 1074444127 /nfs/dbraw/zinc/44/41/27/1074444127.db2.gz SYBAAUNMBCHBMD-GUDVDZBRSA-N 0 0 432.477 -0.051 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)[C@H]3CCCN(S(C)(=O)=O)C3)C2)cc1 ZINC001447102927 1074444162 /nfs/dbraw/zinc/44/41/62/1074444162.db2.gz MKFYUAVNZHPELW-ZDUSSCGKSA-N 0 0 431.536 -0.144 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001447112524 1074444198 /nfs/dbraw/zinc/44/41/98/1074444198.db2.gz XDKPGZADTFJMDY-AWEZNQCLSA-N 0 0 432.543 -0.006 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001447112530 1074444099 /nfs/dbraw/zinc/44/40/99/1074444099.db2.gz XDKPGZADTFJMDY-CQSZACIVSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)Cn2nc(Br)c(Br)n2)CC1 ZINC001447203942 1074444068 /nfs/dbraw/zinc/44/40/68/1074444068.db2.gz UJCGSQGSQHPXMB-SSDOTTSWSA-N 0 0 425.081 -0.145 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)Cn2nc(Br)c(Br)n2)CC1 ZINC001447203951 1074444094 /nfs/dbraw/zinc/44/40/94/1074444094.db2.gz UJCGSQGSQHPXMB-ZETCQYMHSA-N 0 0 425.081 -0.145 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC001447255441 1074444082 /nfs/dbraw/zinc/44/40/82/1074444082.db2.gz CBRPYADSDXXPSN-BXUZGUMPSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC001447255444 1074444185 /nfs/dbraw/zinc/44/41/85/1074444185.db2.gz CBRPYADSDXXPSN-FZMZJTMJSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC001447255446 1074444154 /nfs/dbraw/zinc/44/41/54/1074444154.db2.gz CBRPYADSDXXPSN-RISCZKNCSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCC1CCN(S(C)(=O)=O)CC1 ZINC001447255449 1074444046 /nfs/dbraw/zinc/44/40/46/1074444046.db2.gz CBRPYADSDXXPSN-SMDDNHRTSA-N 0 0 439.581 -0.436 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCNC(=O)[C@@H]1c1ccccc1Br ZINC001447332330 1074444726 /nfs/dbraw/zinc/44/47/26/1074444726.db2.gz AOSBCFVAPJWHRC-HZMBPMFUSA-N 0 0 436.270 -0.360 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCNC(=O)[C@H]1c1ccccc1Br ZINC001447332336 1074444665 /nfs/dbraw/zinc/44/46/65/1074444665.db2.gz AOSBCFVAPJWHRC-IINYFYTJSA-N 0 0 436.270 -0.360 20 0 IBADRN COC(=O)[C@@H](CC(F)(F)F)NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001447415142 1074444623 /nfs/dbraw/zinc/44/46/23/1074444623.db2.gz VJXOWVDTDYEGTB-LLVKDONJSA-N 0 0 446.425 -0.021 20 0 IBADRN COC(=O)[C@H](CC(F)(F)F)NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001447415147 1074444656 /nfs/dbraw/zinc/44/46/56/1074444656.db2.gz VJXOWVDTDYEGTB-NSHDSACASA-N 0 0 446.425 -0.021 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCN3CCOC[C@H]3C2)C1 ZINC001447504372 1074444679 /nfs/dbraw/zinc/44/46/79/1074444679.db2.gz DSWPSMVHKJLZBC-SPYBWZPUSA-N 0 0 429.539 -0.189 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCN3CCOC[C@@H]3C2)C1 ZINC001447504380 1074444650 /nfs/dbraw/zinc/44/46/50/1074444650.db2.gz DSWPSMVHKJLZBC-ZRGWGRIASA-N 0 0 429.539 -0.189 20 0 IBADRN CNC(=O)C1CCC(NC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001447509955 1074444499 /nfs/dbraw/zinc/44/44/99/1074444499.db2.gz CMJCETWHQIKDPX-UHFFFAOYSA-N 0 0 431.536 -0.211 20 0 IBADRN C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Br)C(N)=O ZINC001447512638 1074444599 /nfs/dbraw/zinc/44/45/99/1074444599.db2.gz YCLQKPTVKVBXLG-BXKDBHETSA-N 0 0 434.312 -0.028 20 0 IBADRN C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Br)C(N)=O ZINC001447512640 1074444683 /nfs/dbraw/zinc/44/46/83/1074444683.db2.gz YCLQKPTVKVBXLG-CABZTGNLSA-N 0 0 434.312 -0.028 20 0 IBADRN C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Br)C(N)=O ZINC001447512641 1074444580 /nfs/dbraw/zinc/44/45/80/1074444580.db2.gz YCLQKPTVKVBXLG-JOYOIKCWSA-N 0 0 434.312 -0.028 20 0 IBADRN C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Br)C(N)=O ZINC001447512642 1074444618 /nfs/dbraw/zinc/44/46/18/1074444618.db2.gz YCLQKPTVKVBXLG-SKDRFNHKSA-N 0 0 434.312 -0.028 20 0 IBADRN O=C(c1cccc(S(=O)(=O)C(F)F)c1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001447550296 1074444522 /nfs/dbraw/zinc/44/45/22/1074444522.db2.gz AWESKESMSRYPKI-UHFFFAOYSA-N 0 0 435.434 -0.052 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001447550643 1074445404 /nfs/dbraw/zinc/44/54/04/1074445404.db2.gz BZOWQCLBQFVPSZ-CYBMUJFWSA-N 0 0 443.530 -0.811 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001447550682 1074445387 /nfs/dbraw/zinc/44/53/87/1074445387.db2.gz BZOWQCLBQFVPSZ-ZDUSSCGKSA-N 0 0 443.530 -0.811 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H]2[C@@H]1C(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001447555030 1074445429 /nfs/dbraw/zinc/44/54/29/1074445429.db2.gz IFERIGKKRPXVDE-RWMBFGLXSA-N 0 0 426.499 -0.107 20 0 IBADRN CCS(=O)(=O)c1ccccc1CC(=O)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001447558075 1074445450 /nfs/dbraw/zinc/44/54/50/1074445450.db2.gz MDZRRFSZBKCNIQ-UHFFFAOYSA-N 0 0 427.508 -0.326 20 0 IBADRN O=C(c1cc2c(cc1Cl)NC(=O)CO2)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001447561773 1074445335 /nfs/dbraw/zinc/44/53/35/1074445335.db2.gz UWLYVTOFNOXXAZ-UHFFFAOYSA-N 0 0 426.842 -0.064 20 0 IBADRN O=C(Cn1nc(C(F)(F)F)c2c1CCOC2)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001447563145 1074445447 /nfs/dbraw/zinc/44/54/47/1074445447.db2.gz XTORTHYFIVQBNE-UHFFFAOYSA-N 0 0 449.415 -0.374 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@]1(F)CN(C(=O)OC(C)(C)C)CC12COC2 ZINC001447656206 1074445347 /nfs/dbraw/zinc/44/53/47/1074445347.db2.gz XDIYBIKOALMRDC-KRWDZBQOSA-N 0 0 438.522 -0.089 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@]1(F)CN(C(=O)OC(C)(C)C)CC12COC2 ZINC001447656216 1074445430 /nfs/dbraw/zinc/44/54/30/1074445430.db2.gz XDIYBIKOALMRDC-QGZVFWFLSA-N 0 0 438.522 -0.089 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001447661512 1074445443 /nfs/dbraw/zinc/44/54/43/1074445443.db2.gz OQUJQKMBVKZONO-BQFCYCMXSA-N 0 0 428.507 -0.026 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001447661515 1074445396 /nfs/dbraw/zinc/44/53/96/1074445396.db2.gz OQUJQKMBVKZONO-JZXOWHBKSA-N 0 0 428.507 -0.026 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001447661519 1074445439 /nfs/dbraw/zinc/44/54/39/1074445439.db2.gz OQUJQKMBVKZONO-LZLYRXPVSA-N 0 0 428.507 -0.026 20 0 IBADRN CC(=O)OC[C@H](CO)NC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001447661522 1074445409 /nfs/dbraw/zinc/44/54/09/1074445409.db2.gz OQUJQKMBVKZONO-XYJFISCASA-N 0 0 428.507 -0.026 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001447685247 1074445313 /nfs/dbraw/zinc/44/53/13/1074445313.db2.gz HYMJNTGEWAZTQI-UHFFFAOYSA-N 0 0 441.535 -0.352 20 0 IBADRN O=C(C1=NN(c2ccc(F)cc2)C(=O)CC1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001447688468 1074445413 /nfs/dbraw/zinc/44/54/13/1074445413.db2.gz KUMGPBAHTSMMOJ-UHFFFAOYSA-N 0 0 448.436 -0.256 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1F)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001447688852 1074445434 /nfs/dbraw/zinc/44/54/34/1074445434.db2.gz WERJSWXJQFCSQI-UHFFFAOYSA-N 0 0 445.498 -0.250 20 0 IBADRN CS(=O)(=O)Nc1ccccc1CC(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001447691837 1074445365 /nfs/dbraw/zinc/44/53/65/1074445365.db2.gz TWCFCIASXQHKJI-UHFFFAOYSA-N 0 0 427.508 -0.143 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001447739503 1074445419 /nfs/dbraw/zinc/44/54/19/1074445419.db2.gz CAYVMQMGRDNFGY-GUTXKFCHSA-N 0 0 434.511 -0.065 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001447739506 1074445375 /nfs/dbraw/zinc/44/53/75/1074445375.db2.gz CAYVMQMGRDNFGY-IPYPFGDCSA-N 0 0 434.511 -0.065 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001447739508 1074445862 /nfs/dbraw/zinc/44/58/62/1074445862.db2.gz CAYVMQMGRDNFGY-NFAWXSAZSA-N 0 0 434.511 -0.065 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001447739511 1074445743 /nfs/dbraw/zinc/44/57/43/1074445743.db2.gz CAYVMQMGRDNFGY-YDHLFZDLSA-N 0 0 434.511 -0.065 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccncc1NC(=O)C(F)(F)F)C2 ZINC001447748194 1074445794 /nfs/dbraw/zinc/44/57/94/1074445794.db2.gz ALPOADZQSUQHBU-UHFFFAOYSA-N 0 0 441.370 -0.315 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)/C=C/c1ccc3c(c1)NC(=O)CO3)C2 ZINC001447750361 1074445849 /nfs/dbraw/zinc/44/58/49/1074445849.db2.gz IINLANSUEYTFBG-GQCTYLIASA-N 0 0 426.433 -0.481 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)/C=C\c1ccc3c(c1)NC(=O)CO3)C2 ZINC001447750378 1074445725 /nfs/dbraw/zinc/44/57/25/1074445725.db2.gz IINLANSUEYTFBG-XQRVVYSFSA-N 0 0 426.433 -0.481 20 0 IBADRN C[C@@H]1CC(=O)Nc2ccc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc2N1 ZINC001447751102 1074445698 /nfs/dbraw/zinc/44/56/98/1074445698.db2.gz KQURXCJHMFEJBQ-GFCCVEGCSA-N 0 0 427.465 -0.068 20 0 IBADRN C[C@H]1CC(=O)Nc2ccc(C(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)cc2N1 ZINC001447751104 1074445735 /nfs/dbraw/zinc/44/57/35/1074445735.db2.gz KQURXCJHMFEJBQ-LBPRGKRZSA-N 0 0 427.465 -0.068 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Cc1ccccc1N1CCCC1=O)C2 ZINC001447751117 1074445691 /nfs/dbraw/zinc/44/56/91/1074445691.db2.gz KWYNYVQBAIKQHZ-UHFFFAOYSA-N 0 0 426.477 -0.155 20 0 IBADRN CCOC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001447752124 1074445854 /nfs/dbraw/zinc/44/58/54/1074445854.db2.gz LFBWPYYINFKPAT-INIZCTEOSA-N 0 0 444.492 -0.167 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C[C@H](c1nccn1C)C(F)(F)F)C2 ZINC001447752475 1074445842 /nfs/dbraw/zinc/44/58/42/1074445842.db2.gz NGZGPPGLSYHZQR-LLVKDONJSA-N 0 0 429.403 -0.055 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)C[C@@H](c1nccn1C)C(F)(F)F)C2 ZINC001447752506 1074445810 /nfs/dbraw/zinc/44/58/10/1074445810.db2.gz NGZGPPGLSYHZQR-NSHDSACASA-N 0 0 429.403 -0.055 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccn(CC(F)(F)F)c1=O)C2 ZINC001447752656 1074445832 /nfs/dbraw/zinc/44/58/32/1074445832.db2.gz OXZGYPZBWBRZMB-UHFFFAOYSA-N 0 0 428.371 -0.487 20 0 IBADRN Cc1cc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)ccc1N1CCOCC1 ZINC001447754246 1074445748 /nfs/dbraw/zinc/44/57/48/1074445748.db2.gz UTPPNICJEWIPQI-UHFFFAOYSA-N 0 0 428.493 -0.066 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc3c(cc1Cl)NC(=O)CO3)C2 ZINC001447754588 1074445760 /nfs/dbraw/zinc/44/57/60/1074445760.db2.gz XCUGZVOIFXCAPG-UHFFFAOYSA-N 0 0 434.840 -0.227 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cc(Br)c[nH]c1=O)C2 ZINC001447755029 1074445673 /nfs/dbraw/zinc/44/56/73/1074445673.db2.gz YQNFUJOPWULMFA-UHFFFAOYSA-N 0 0 425.243 -0.348 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC001447793473 1074445713 /nfs/dbraw/zinc/44/57/13/1074445713.db2.gz AHCFGJBPNSSAFO-KRWDZBQOSA-N 0 0 431.478 -0.646 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cnn(C)c1 ZINC001447793490 1074445772 /nfs/dbraw/zinc/44/57/72/1074445772.db2.gz AHCFGJBPNSSAFO-QGZVFWFLSA-N 0 0 431.478 -0.646 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N(C)[C@@H](C)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001447940254 1074445776 /nfs/dbraw/zinc/44/57/76/1074445776.db2.gz PTFLZYXBCKGSLH-KBPBESRZSA-N 0 0 435.510 -0.063 20 0 IBADRN C[C@H](c1ccc(N2CCCS2(=O)=O)cc1)N(C)C(=O)[C@H](C)NC(=O)Cn1cnnn1 ZINC001447940335 1074445868 /nfs/dbraw/zinc/44/58/68/1074445868.db2.gz PTFLZYXBCKGSLH-UONOGXRCSA-N 0 0 435.510 -0.063 20 0 IBADRN CC(C)C[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001447971976 1074445822 /nfs/dbraw/zinc/44/58/22/1074445822.db2.gz WEIVZSQVGBMYRG-HNNXBMFYSA-N 0 0 431.536 -0.259 20 0 IBADRN CC(C)C[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001447971979 1074446435 /nfs/dbraw/zinc/44/64/35/1074446435.db2.gz WEIVZSQVGBMYRG-OAHLLOKOSA-N 0 0 431.536 -0.259 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)C1 ZINC001448019499 1074446324 /nfs/dbraw/zinc/44/63/24/1074446324.db2.gz HCOLAFILFCWDJO-CYBMUJFWSA-N 0 0 434.515 -0.103 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)C1 ZINC001448019501 1074446463 /nfs/dbraw/zinc/44/64/63/1074446463.db2.gz HCOLAFILFCWDJO-ZDUSSCGKSA-N 0 0 434.515 -0.103 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)N[C@@H](C)CC[NH+]3CCN(C)CC3)c(CCO)c2=O)n1 ZINC001448031297 1074446408 /nfs/dbraw/zinc/44/64/08/1074446408.db2.gz ZGVDBWZHNGTETR-AWEZNQCLSA-N 0 0 431.541 -0.137 20 0 IBADRN Cc1cc(C)nc(-n2[n-]c(C(=O)N[C@H](C)CC[NH+]3CCN(C)CC3)c(CCO)c2=O)n1 ZINC001448031307 1074446448 /nfs/dbraw/zinc/44/64/48/1074446448.db2.gz ZGVDBWZHNGTETR-CQSZACIVSA-N 0 0 431.541 -0.137 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NCC2CN(S(C)(=O)=O)C2)CC1 ZINC001448168023 1074446481 /nfs/dbraw/zinc/44/64/81/1074446481.db2.gz ZEQIJTAJITZZFU-UHFFFAOYSA-N 0 0 433.556 -0.565 20 0 IBADRN CS(=O)(=O)NC1CC(NC(=O)Cn2nc(Br)c(Br)n2)C1 ZINC001448176155 1074446394 /nfs/dbraw/zinc/44/63/94/1074446394.db2.gz YFQDFGSPXBWVDP-UHFFFAOYSA-N 0 0 431.110 -0.001 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC001448182339 1074446417 /nfs/dbraw/zinc/44/64/17/1074446417.db2.gz SHEFCJMUPPKBJM-JTQLQIEISA-N 0 0 426.467 -0.725 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC001448182341 1074446389 /nfs/dbraw/zinc/44/63/89/1074446389.db2.gz SHEFCJMUPPKBJM-SNVBAGLBSA-N 0 0 426.467 -0.725 20 0 IBADRN C[S@](=O)C1(C(=O)N[C@@H](CO)CN2CCOCC2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001448187764 1074446437 /nfs/dbraw/zinc/44/64/37/1074446437.db2.gz IVDZYQWUNIKEBJ-HOLBHBGLSA-N 0 0 433.571 -0.056 20 0 IBADRN C[S@@](=O)C1(C(=O)N[C@@H](CO)CN2CCOCC2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001448187775 1074447000 /nfs/dbraw/zinc/44/70/00/1074447000.db2.gz IVDZYQWUNIKEBJ-MHTXLSKPSA-N 0 0 433.571 -0.056 20 0 IBADRN C[S@@](=O)C1(C(=O)N[C@H](CO)CN2CCOCC2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001448187779 1074447009 /nfs/dbraw/zinc/44/70/09/1074447009.db2.gz IVDZYQWUNIKEBJ-PEGYKEAPSA-N 0 0 433.571 -0.056 20 0 IBADRN C[S@](=O)C1(C(=O)N[C@H](CO)CN2CCOCC2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001448187782 1074447023 /nfs/dbraw/zinc/44/70/23/1074447023.db2.gz IVDZYQWUNIKEBJ-WNNBVUDTSA-N 0 0 433.571 -0.056 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N[C@H](CO)CN2CCOCC2)C1 ZINC001448193287 1074447085 /nfs/dbraw/zinc/44/70/85/1074447085.db2.gz SHIJIOYCSXXXNH-CVEARBPZSA-N 0 0 429.543 -0.763 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N[C@H](CO)CN2CCOCC2)C1 ZINC001448193302 1074447073 /nfs/dbraw/zinc/44/70/73/1074447073.db2.gz SHIJIOYCSXXXNH-HOTGVXAUSA-N 0 0 429.543 -0.763 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H](CO)CN2CCOCC2)C1 ZINC001448193307 1074447014 /nfs/dbraw/zinc/44/70/14/1074447014.db2.gz SHIJIOYCSXXXNH-HZPDHXFCSA-N 0 0 429.543 -0.763 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N[C@@H](CO)CN2CCOCC2)C1 ZINC001448193322 1074447028 /nfs/dbraw/zinc/44/70/28/1074447028.db2.gz SHIJIOYCSXXXNH-JKSUJKDBSA-N 0 0 429.543 -0.763 20 0 IBADRN CCCN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)[C@H]1CC(=O)N(C)C1=O ZINC001448248053 1074447076 /nfs/dbraw/zinc/44/70/76/1074447076.db2.gz NRTHOKFMMLJDOE-AWEZNQCLSA-N 0 0 445.519 -0.636 20 0 IBADRN CCCN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)[C@@H]1CC(=O)N(C)C1=O ZINC001448249404 1074447044 /nfs/dbraw/zinc/44/70/44/1074447044.db2.gz NRTHOKFMMLJDOE-CQSZACIVSA-N 0 0 445.519 -0.636 20 0 IBADRN COC(=O)C1(NC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@H]23)CCS(=O)(=O)CC1 ZINC001448355843 1074447082 /nfs/dbraw/zinc/44/70/82/1074447082.db2.gz QSSMESMZAOEHLE-DYEKYZERSA-N 0 0 433.552 -0.051 20 0 IBADRN COC(=O)C1(NC(=O)CCCC[C@@H]2SC[C@H]3NC(=O)N[C@@H]23)CCS(=O)(=O)CC1 ZINC001448355848 1074447089 /nfs/dbraw/zinc/44/70/89/1074447089.db2.gz QSSMESMZAOEHLE-MBNYWOFBSA-N 0 0 433.552 -0.051 20 0 IBADRN COC(=O)C1(NC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@@H]32)CCS(=O)(=O)CC1 ZINC001448355852 1074447069 /nfs/dbraw/zinc/44/70/69/1074447069.db2.gz QSSMESMZAOEHLE-OBJOEFQTSA-N 0 0 433.552 -0.051 20 0 IBADRN COC(=O)C1(NC(=O)CCCC[C@@H]2SC[C@@H]3NC(=O)N[C@H]32)CCS(=O)(=O)CC1 ZINC001448355856 1074447101 /nfs/dbraw/zinc/44/71/01/1074447101.db2.gz QSSMESMZAOEHLE-SGMGOOAPSA-N 0 0 433.552 -0.051 20 0 IBADRN COC(=O)C1(NC(=O)CN2CCN(C(=O)OC(C)(C)C)CC2)CCS(=O)(=O)CC1 ZINC001448358689 1074447034 /nfs/dbraw/zinc/44/70/34/1074447034.db2.gz YRJWPOIFHVHHRL-UHFFFAOYSA-N 0 0 433.527 -0.224 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)c1 ZINC001448412633 1074447465 /nfs/dbraw/zinc/44/74/65/1074447465.db2.gz LOVWVIPDMUBZMM-KRWDZBQOSA-N 0 0 430.436 -0.040 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@H]1CC(=O)N(C)C1=O ZINC001448414420 1074447482 /nfs/dbraw/zinc/44/74/82/1074447482.db2.gz CZKZTCWHMPJFSP-HNNXBMFYSA-N 0 0 438.506 -0.492 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N[C@@H]1CC(=O)N(C)C1=O ZINC001448414425 1074447543 /nfs/dbraw/zinc/44/75/43/1074447543.db2.gz CZKZTCWHMPJFSP-OAHLLOKOSA-N 0 0 438.506 -0.492 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2CC(=O)N(C)C2=O)c1OC ZINC001448415386 1074447452 /nfs/dbraw/zinc/44/74/52/1074447452.db2.gz DVFSJOZVDZGZSX-CYBMUJFWSA-N 0 0 441.462 -0.788 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H]2CC(=O)N(C)C2=O)c1OC ZINC001448415389 1074447598 /nfs/dbraw/zinc/44/75/98/1074447598.db2.gz DVFSJOZVDZGZSX-ZDUSSCGKSA-N 0 0 441.462 -0.788 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)C1=O ZINC001448423138 1074447438 /nfs/dbraw/zinc/44/74/38/1074447438.db2.gz XKHRXQMEPFRUAC-CHWSQXEVSA-N 0 0 429.882 -0.253 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)C1=O ZINC001448423145 1074447490 /nfs/dbraw/zinc/44/74/90/1074447490.db2.gz XKHRXQMEPFRUAC-OLZOCXBDSA-N 0 0 429.882 -0.253 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)C1=O ZINC001448423150 1074447477 /nfs/dbraw/zinc/44/74/77/1074447477.db2.gz XKHRXQMEPFRUAC-QWHCGFSZSA-N 0 0 429.882 -0.253 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c2ccc(Cl)cc2)C1=O ZINC001448423154 1074447592 /nfs/dbraw/zinc/44/75/92/1074447592.db2.gz XKHRXQMEPFRUAC-STQMWFEESA-N 0 0 429.882 -0.253 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cc1c(C)nc2c(c(=O)[nH]n2C)c1C ZINC001448436300 1074447519 /nfs/dbraw/zinc/44/75/19/1074447519.db2.gz JMMAJCNDHKWRFM-AWEZNQCLSA-N 0 0 433.465 -0.260 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cc1c(C)nc2c(c(=O)[nH]n2C)c1C ZINC001448436353 1074447509 /nfs/dbraw/zinc/44/75/09/1074447509.db2.gz JMMAJCNDHKWRFM-CQSZACIVSA-N 0 0 433.465 -0.260 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC(=O)N(C)C(=O)C1 ZINC001448439676 1074447570 /nfs/dbraw/zinc/44/75/70/1074447570.db2.gz RIAUCVGCZJFDMO-UHFFFAOYSA-N 0 0 438.506 -0.538 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cnn(CC(=O)NC2CCCC2)c1 ZINC001448452960 1074447496 /nfs/dbraw/zinc/44/74/96/1074447496.db2.gz OHZBQZDUTSLPGX-INIZCTEOSA-N 0 0 435.481 -0.538 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cnn(CC(=O)NC2CCCC2)c1 ZINC001448452964 1074447504 /nfs/dbraw/zinc/44/75/04/1074447504.db2.gz OHZBQZDUTSLPGX-MRXNPFEDSA-N 0 0 435.481 -0.538 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001448454367 1074447549 /nfs/dbraw/zinc/44/75/49/1074447549.db2.gz UODKFLGFYZGYMJ-CABCVRRESA-N 0 0 443.497 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001448454369 1074448146 /nfs/dbraw/zinc/44/81/46/1074448146.db2.gz UODKFLGFYZGYMJ-GJZGRUSLSA-N 0 0 443.497 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001448454370 1074448231 /nfs/dbraw/zinc/44/82/31/1074448231.db2.gz UODKFLGFYZGYMJ-HUUCEWRRSA-N 0 0 443.497 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001448454371 1074448242 /nfs/dbraw/zinc/44/82/42/1074448242.db2.gz UODKFLGFYZGYMJ-LSDHHAIUSA-N 0 0 443.497 -0.081 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CN1CCc2cc(OC)c(OC)cc2C1 ZINC001448458800 1074448238 /nfs/dbraw/zinc/44/82/38/1074448238.db2.gz YGYFVCGTJHAMCG-KRWDZBQOSA-N 0 0 449.504 -0.031 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CN1CCc2cc(OC)c(OC)cc2C1 ZINC001448458811 1074448110 /nfs/dbraw/zinc/44/81/10/1074448110.db2.gz YGYFVCGTJHAMCG-QGZVFWFLSA-N 0 0 449.504 -0.031 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)CNS(=O)(=O)N(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC001448538443 1074448090 /nfs/dbraw/zinc/44/80/90/1074448090.db2.gz MZBUBHPSEFQYPZ-INIZCTEOSA-N 0 0 435.524 -0.619 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)CNS(=O)(=O)N(C)C)c1ccc(S(C)(=O)=O)cc1 ZINC001448538445 1074448235 /nfs/dbraw/zinc/44/82/35/1074448235.db2.gz MZBUBHPSEFQYPZ-MRXNPFEDSA-N 0 0 435.524 -0.619 20 0 IBADRN Cc1cc(S(=O)(=O)CCO)ccc1NC(=O)c1cnn2c1S(=O)(=O)N(C)CC2 ZINC001448615879 1074448215 /nfs/dbraw/zinc/44/82/15/1074448215.db2.gz GJTFKQWWBNUYLR-UHFFFAOYSA-N 0 0 428.492 -0.156 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCn2c(nnc2-c2cncc(Br)c2)C1 ZINC001448631477 1074448122 /nfs/dbraw/zinc/44/81/22/1074448122.db2.gz SAVKWOXCWIPGLS-UHFFFAOYSA-N 0 0 444.315 -0.159 20 0 IBADRN CCOC1CC(CNC(=O)CNS(=O)(=O)c2ccccc2N)(N2CCOCC2)C1 ZINC001448719997 1074448194 /nfs/dbraw/zinc/44/81/94/1074448194.db2.gz HXRRHODIRKUKGD-UHFFFAOYSA-N 0 0 426.539 -0.067 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cn(C)nc2I)CC1 ZINC001448769382 1074448184 /nfs/dbraw/zinc/44/81/84/1074448184.db2.gz NVVCFOPARBLDTQ-UHFFFAOYSA-N 0 0 427.268 -0.317 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cn1 ZINC001448772705 1074448167 /nfs/dbraw/zinc/44/81/67/1074448167.db2.gz AOKJNMOIXRLVCI-HBIPHATFSA-N 0 0 447.583 -0.631 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cn1 ZINC001448772710 1074448223 /nfs/dbraw/zinc/44/82/23/1074448223.db2.gz AOKJNMOIXRLVCI-JMGYQRAPSA-N 0 0 447.583 -0.631 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cn1 ZINC001448772716 1074448838 /nfs/dbraw/zinc/44/88/38/1074448838.db2.gz AOKJNMOIXRLVCI-OHZJNBGDSA-N 0 0 447.583 -0.631 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)cn1 ZINC001448772719 1074448781 /nfs/dbraw/zinc/44/87/81/1074448781.db2.gz AOKJNMOIXRLVCI-WQIZZMQYSA-N 0 0 447.583 -0.631 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)NC3(C[C@H](C)C[C@@H](C)C3)C2=O)CC1 ZINC001448773420 1074448843 /nfs/dbraw/zinc/44/88/43/1074448843.db2.gz DPGZYKSIHNNLNR-CHWSQXEVSA-N 0 0 429.543 -0.222 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)N[C@@]3(C[C@H](C)C[C@H](C)C3)C2=O)CC1 ZINC001448773423 1074448850 /nfs/dbraw/zinc/44/88/50/1074448850.db2.gz DPGZYKSIHNNLNR-IVWMJMPLSA-N 0 0 429.543 -0.222 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)CN2C(=O)NC3(C[C@@H](C)C[C@H](C)C3)C2=O)CC1 ZINC001448773424 1074448787 /nfs/dbraw/zinc/44/87/87/1074448787.db2.gz DPGZYKSIHNNLNR-STQMWFEESA-N 0 0 429.543 -0.222 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)cn1 ZINC001448782901 1074448751 /nfs/dbraw/zinc/44/87/51/1074448751.db2.gz QJCAGOWVHBDDAU-ASHKIFAZSA-N 0 0 426.524 -0.321 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)cn1 ZINC001448782912 1074448852 /nfs/dbraw/zinc/44/88/52/1074448852.db2.gz QJCAGOWVHBDDAU-LOKFHWFJSA-N 0 0 426.524 -0.321 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)cn1 ZINC001448782919 1074448847 /nfs/dbraw/zinc/44/88/47/1074448847.db2.gz QJCAGOWVHBDDAU-PGGUUEOZSA-N 0 0 426.524 -0.321 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)cn1 ZINC001448782929 1074448831 /nfs/dbraw/zinc/44/88/31/1074448831.db2.gz QJCAGOWVHBDDAU-PWFNWSNSSA-N 0 0 426.524 -0.321 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2c(C)cc(=O)n(CC(=O)NC(C)C)c2C)CC1 ZINC001448784066 1074448857 /nfs/dbraw/zinc/44/88/57/1074448857.db2.gz YZQOCBKSBYKKRJ-UHFFFAOYSA-N 0 0 441.554 -0.352 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2c(I)cnn2C)CC1 ZINC001448784460 1074448812 /nfs/dbraw/zinc/44/88/12/1074448812.db2.gz ZUMLQXPTCNQXLJ-UHFFFAOYSA-N 0 0 427.268 -0.317 20 0 IBADRN COC(=O)[C@]12CN(C(=O)c3ccc(S(=O)(=O)NC[C@@H](C)O)cc3)C[C@H]1COCC2 ZINC001448824686 1074448734 /nfs/dbraw/zinc/44/87/34/1074448734.db2.gz OCKDMEHLMOCVSX-FRIZHTMISA-N 0 0 426.491 -0.003 20 0 IBADRN COC(=O)[C@]12CN(C(=O)c3ccc(S(=O)(=O)NC[C@H](C)O)cc3)C[C@H]1COCC2 ZINC001448824690 1074448703 /nfs/dbraw/zinc/44/87/03/1074448703.db2.gz OCKDMEHLMOCVSX-ZUEVXXBESA-N 0 0 426.491 -0.003 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N[C@H](C(=O)N(C)Cc1nnc2n1CCC2)C(C)C ZINC001448842371 1074448824 /nfs/dbraw/zinc/44/88/24/1074448824.db2.gz XYAOYLAHCKSHFV-INIZCTEOSA-N 0 0 437.526 -0.142 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N[C@@H](C(=O)N(C)Cc1nnc2n1CCC2)C(C)C ZINC001448842399 1074448716 /nfs/dbraw/zinc/44/87/16/1074448716.db2.gz XYAOYLAHCKSHFV-MRXNPFEDSA-N 0 0 437.526 -0.142 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2C[C@H](O)CN2c2ccncn2)C1 ZINC001448846464 1074448729 /nfs/dbraw/zinc/44/87/29/1074448729.db2.gz OBSSNBIGWLEPFW-ARFHVFGLSA-N 0 0 427.527 -0.685 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2C[C@@H](O)CN2c2ccncn2)C1 ZINC001448846474 1074448799 /nfs/dbraw/zinc/44/87/99/1074448799.db2.gz OBSSNBIGWLEPFW-BZUAXINKSA-N 0 0 427.527 -0.685 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2C[C@@H](O)CN2c2ccncn2)C1 ZINC001448846482 1074448690 /nfs/dbraw/zinc/44/86/90/1074448690.db2.gz OBSSNBIGWLEPFW-OAGGEKHMSA-N 0 0 427.527 -0.685 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H]2C[C@H](O)CN2c2ccncn2)C1 ZINC001448846487 1074448806 /nfs/dbraw/zinc/44/88/06/1074448806.db2.gz OBSSNBIGWLEPFW-XHSDSOJGSA-N 0 0 427.527 -0.685 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NCCCn2c(=O)ccn(C)c2=O)C1=O ZINC001448872449 1074449371 /nfs/dbraw/zinc/44/93/71/1074449371.db2.gz JYPVAEONNGAXDP-NRFANRHFSA-N 0 0 443.460 -0.471 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NCCCn2c(=O)ccn(C)c2=O)C1=O ZINC001448872453 1074449453 /nfs/dbraw/zinc/44/94/53/1074449453.db2.gz JYPVAEONNGAXDP-OAQYLSRUSA-N 0 0 443.460 -0.471 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)c2cccc(S(=O)(=O)N=S(C)(C)=O)c2)c1=O ZINC001448875473 1074449416 /nfs/dbraw/zinc/44/94/16/1074449416.db2.gz VUTVEVDYDDNEJD-UHFFFAOYSA-N 0 0 442.519 -0.217 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)CC(=O)NCCCn2c(=O)ccn(C)c2=O)cc1F ZINC001448875762 1074449324 /nfs/dbraw/zinc/44/93/24/1074449324.db2.gz XEQCFISHMOIFCE-UHFFFAOYSA-N 0 0 425.438 -0.131 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)cs1 ZINC001448899439 1074449464 /nfs/dbraw/zinc/44/94/64/1074449464.db2.gz BQFHNTSEOLFUJT-GFCCVEGCSA-N 0 0 437.569 -0.800 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)cs1 ZINC001448899446 1074449485 /nfs/dbraw/zinc/44/94/85/1074449485.db2.gz BQFHNTSEOLFUJT-LBPRGKRZSA-N 0 0 437.569 -0.800 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)C3=CN(C)S(=O)(=O)N=C3C)CC2)cs1 ZINC001448907391 1074449420 /nfs/dbraw/zinc/44/94/20/1074449420.db2.gz MENBPMDPWJGSAZ-UHFFFAOYSA-N 0 0 433.537 -0.181 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)C)cc1)C(=O)OC ZINC001448975422 1074449363 /nfs/dbraw/zinc/44/93/63/1074449363.db2.gz WLJCJGXLVKQAGV-CQSZACIVSA-N 0 0 428.463 -0.227 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC001449043431 1074449456 /nfs/dbraw/zinc/44/94/56/1074449456.db2.gz JJSZCCCLXXINPM-SFHVURJKSA-N 0 0 438.477 -0.072 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CC(=O)N(CC(F)(F)F)C2)c1 ZINC001449049726 1074449428 /nfs/dbraw/zinc/44/94/28/1074449428.db2.gz IYPJJRNFZLDQBY-UHFFFAOYSA-N 0 0 442.803 -0.092 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)c1cn(C2CCN(C(=O)OC(C)(C)C)CC2)nn1 ZINC001449120584 1074450032 /nfs/dbraw/zinc/45/00/32/1074450032.db2.gz OCWCLZSZIHZTGQ-UHFFFAOYSA-N 0 0 445.546 -0.024 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)Cn1nc(Br)c(Br)n1 ZINC001449120835 1074449987 /nfs/dbraw/zinc/44/99/87/1074449987.db2.gz PERHINABOYHLAK-UHFFFAOYSA-N 0 0 434.114 -0.685 20 0 IBADRN CCCN1C(=O)c2ccccc2N2C(=O)CC[C@@]21C(=O)NCCOCCS(N)(=O)=O ZINC001449150621 1074449999 /nfs/dbraw/zinc/44/99/99/1074449999.db2.gz IMQHXYKGRVZVBR-IBGZPJMESA-N 0 0 438.506 -0.203 20 0 IBADRN CCCN1C(=O)c2ccccc2N2C(=O)CC[C@]21C(=O)NCCOCCS(N)(=O)=O ZINC001449150623 1074450101 /nfs/dbraw/zinc/45/01/01/1074450101.db2.gz IMQHXYKGRVZVBR-LJQANCHMSA-N 0 0 438.506 -0.203 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)NCCOCCS(N)(=O)=O)cc1Br ZINC001449150654 1074450057 /nfs/dbraw/zinc/45/00/57/1074450057.db2.gz JCXVBQCIYQZGJD-UHFFFAOYSA-N 0 0 429.314 -0.113 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(Cl)s1 ZINC001449154447 1074449942 /nfs/dbraw/zinc/44/99/42/1074449942.db2.gz QSHYXJMEALZPTF-JTQLQIEISA-N 0 0 445.972 -0.024 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(Cl)s1 ZINC001449154450 1074450088 /nfs/dbraw/zinc/45/00/88/1074450088.db2.gz QSHYXJMEALZPTF-SNVBAGLBSA-N 0 0 445.972 -0.024 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)[C@H]1c1ccnn1C ZINC001449241415 1074450106 /nfs/dbraw/zinc/45/01/06/1074450106.db2.gz BZFUXWLJRZBCCB-DTDBQYNISA-N 0 0 441.554 -0.067 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)[C@H]1c1ccnn1C ZINC001449241418 1074450052 /nfs/dbraw/zinc/45/00/52/1074450052.db2.gz BZFUXWLJRZBCCB-JFTQMJAMSA-N 0 0 441.554 -0.067 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)[C@H]1c1ccnn1C ZINC001449241420 1074450084 /nfs/dbraw/zinc/45/00/84/1074450084.db2.gz BZFUXWLJRZBCCB-NONVJHHQSA-N 0 0 441.554 -0.067 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)[C@H]1c1ccnn1C ZINC001449241424 1074450104 /nfs/dbraw/zinc/45/01/04/1074450104.db2.gz BZFUXWLJRZBCCB-ZZCKCESHSA-N 0 0 441.554 -0.067 20 0 IBADRN COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1 ZINC001449260600 1074450022 /nfs/dbraw/zinc/45/00/22/1074450022.db2.gz BZZJWCPMMWEECX-AWEZNQCLSA-N 0 0 431.433 -0.226 20 0 IBADRN COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C1 ZINC001449260604 1074450680 /nfs/dbraw/zinc/45/06/80/1074450680.db2.gz BZZJWCPMMWEECX-CQSZACIVSA-N 0 0 431.433 -0.226 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@H]2CO)cc1 ZINC001449264175 1074450719 /nfs/dbraw/zinc/45/07/19/1074450719.db2.gz GPGZAQXPNCUROL-AWEZNQCLSA-N 0 0 434.540 -0.491 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)Nc1ccc(S(=O)(=O)N2CCC[C@@H]2CO)cc1 ZINC001449264183 1074450524 /nfs/dbraw/zinc/45/05/24/1074450524.db2.gz GPGZAQXPNCUROL-CQSZACIVSA-N 0 0 434.540 -0.491 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C[C@@H]2C=CS(=O)(=O)C2)CC3)CC1 ZINC001449265771 1074450584 /nfs/dbraw/zinc/45/05/84/1074450584.db2.gz DTVQCSYAJKRUFD-CVEARBPZSA-N 0 0 435.550 -0.102 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C[C@@H]2C=CS(=O)(=O)C2)CC3)CC1 ZINC001449265778 1074450727 /nfs/dbraw/zinc/45/07/27/1074450727.db2.gz DTVQCSYAJKRUFD-HOTGVXAUSA-N 0 0 435.550 -0.102 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)C[C@H]2C=CS(=O)(=O)C2)CC3)CC1 ZINC001449265784 1074450671 /nfs/dbraw/zinc/45/06/71/1074450671.db2.gz DTVQCSYAJKRUFD-HZPDHXFCSA-N 0 0 435.550 -0.102 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)C[C@H]2C=CS(=O)(=O)C2)CC3)CC1 ZINC001449265789 1074450703 /nfs/dbraw/zinc/45/07/03/1074450703.db2.gz DTVQCSYAJKRUFD-JKSUJKDBSA-N 0 0 435.550 -0.102 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)c1cnn3c1S(=O)(=O)N(C)CC3)CC2 ZINC001449265822 1074450647 /nfs/dbraw/zinc/45/06/47/1074450647.db2.gz FDOWEGWTBCCTFG-CYBMUJFWSA-N 0 0 449.537 -0.156 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)c1cnn3c1S(=O)(=O)N(C)CC3)CC2 ZINC001449265823 1074450554 /nfs/dbraw/zinc/45/05/54/1074450554.db2.gz FDOWEGWTBCCTFG-ZDUSSCGKSA-N 0 0 449.537 -0.156 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)O1 ZINC001449266640 1074450632 /nfs/dbraw/zinc/45/06/32/1074450632.db2.gz GMJKOYGXEPDQMH-OLMNPRSZSA-N 0 0 433.509 -0.330 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)O1 ZINC001449266648 1074450713 /nfs/dbraw/zinc/45/07/13/1074450713.db2.gz GMJKOYGXEPDQMH-QGTPRVQTSA-N 0 0 433.509 -0.330 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)CN2CCCCC(=O)C2=O)CC3)CC1 ZINC001449266823 1074450611 /nfs/dbraw/zinc/45/06/11/1074450611.db2.gz GSOAENQERPYXCJ-INIZCTEOSA-N 0 0 444.536 -0.469 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)CN2CCCCC(=O)C2=O)CC3)CC1 ZINC001449266836 1074450695 /nfs/dbraw/zinc/45/06/95/1074450695.db2.gz GSOAENQERPYXCJ-MRXNPFEDSA-N 0 0 444.536 -0.469 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC(CNS(C)(=O)=O)CC2)[C@H]1c1ccnn1C ZINC001449345364 1074450507 /nfs/dbraw/zinc/45/05/07/1074450507.db2.gz IXQQMGIHJCBMHL-SJORKVTESA-N 0 0 427.527 -0.504 20 0 IBADRN Cn1cc(C[C@H](CO)CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)cn1 ZINC001449369905 1074450663 /nfs/dbraw/zinc/45/06/63/1074450663.db2.gz KWYGSBMVIJBVEW-HNNXBMFYSA-N 0 0 438.506 -0.173 20 0 IBADRN Cn1cc(C[C@@H](CO)CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)cn1 ZINC001449369964 1074450571 /nfs/dbraw/zinc/45/05/71/1074450571.db2.gz KWYGSBMVIJBVEW-OAHLLOKOSA-N 0 0 438.506 -0.173 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NC(C)(C)CC(=O)N1CCN(c2ccccc2)CC1 ZINC001449379550 1074450686 /nfs/dbraw/zinc/45/06/86/1074450686.db2.gz UPQCUBKPUMCTAT-INIZCTEOSA-N 0 0 442.524 -0.189 20 0 IBADRN O=C(NCC1(O)CCS(=O)(=O)CC1)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC001449402858 1074450654 /nfs/dbraw/zinc/45/06/54/1074450654.db2.gz CTJYKUBQYWGFMT-UHFFFAOYSA-N 0 0 430.548 -0.414 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2ccc(Br)cc2-n2cnnn2)CC1 ZINC001449407753 1074450601 /nfs/dbraw/zinc/45/06/01/1074450601.db2.gz BLBWWKGYTWATRJ-UHFFFAOYSA-N 0 0 430.288 -0.353 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)CC1 ZINC001449408053 1074450540 /nfs/dbraw/zinc/45/05/40/1074450540.db2.gz CNUFGKCFXXSZRF-UHFFFAOYSA-N 0 0 439.556 -0.869 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC001449409999 1074451246 /nfs/dbraw/zinc/45/12/46/1074451246.db2.gz GEEXSIXINIZHLL-UHFFFAOYSA-N 0 0 448.571 -0.964 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)C[C@@H]1c1nccn1C ZINC001449410806 1074451137 /nfs/dbraw/zinc/45/11/37/1074451137.db2.gz QIZCGZSFTPUZLH-CYBMUJFWSA-N 0 0 430.531 -0.064 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)C[C@H]1c1nccn1C ZINC001449410808 1074451252 /nfs/dbraw/zinc/45/12/52/1074451252.db2.gz QIZCGZSFTPUZLH-ZDUSSCGKSA-N 0 0 430.531 -0.064 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001449414799 1074451212 /nfs/dbraw/zinc/45/12/12/1074451212.db2.gz OOIJZFHUDWPBKK-GDBMZVCRSA-N 0 0 428.482 -0.614 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@@H]1C(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001449414802 1074451126 /nfs/dbraw/zinc/45/11/26/1074451126.db2.gz OOIJZFHUDWPBKK-GOEBONIOSA-N 0 0 428.482 -0.614 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@H]1C(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001449414804 1074451162 /nfs/dbraw/zinc/45/11/62/1074451162.db2.gz OOIJZFHUDWPBKK-HOCLYGCPSA-N 0 0 428.482 -0.614 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@H]1C(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001449414807 1074451276 /nfs/dbraw/zinc/45/12/76/1074451276.db2.gz OOIJZFHUDWPBKK-ZBFHGGJFSA-N 0 0 428.482 -0.614 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CCOC3)CC1 ZINC001449416959 1074451241 /nfs/dbraw/zinc/45/12/41/1074451241.db2.gz VMJNXRXECRCFQO-KBXCAEBGSA-N 0 0 432.543 -0.132 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCC([C@@H]3OCC[C@H]3NC(=O)C(F)(F)F)CC2)C1 ZINC001449465652 1074451199 /nfs/dbraw/zinc/45/11/99/1074451199.db2.gz GDWIKSKMUDBDMZ-OLZOCXBDSA-N 0 0 427.445 -0.048 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCn2cnc3sccc3c2=O)CC1 ZINC001449492572 1074451231 /nfs/dbraw/zinc/45/12/31/1074451231.db2.gz BIUXKDXZPYLIIA-UHFFFAOYSA-N 0 0 447.564 -0.035 20 0 IBADRN Cc1ccc(-c2nnn(CC(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)n2)cc1 ZINC001449492596 1074451186 /nfs/dbraw/zinc/45/11/86/1074451186.db2.gz BOLAJLUVKSPSNG-UHFFFAOYSA-N 0 0 441.539 -0.235 20 0 IBADRN C[C@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC001449494706 1074451256 /nfs/dbraw/zinc/45/12/56/1074451256.db2.gz CVKGPEIAUVVWEH-GFCCVEGCSA-N 0 0 449.555 -0.644 20 0 IBADRN C[C@@H](C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)N1C(=O)NC2(CCCC2)C1=O ZINC001449494709 1074451280 /nfs/dbraw/zinc/45/12/80/1074451280.db2.gz CVKGPEIAUVVWEH-LBPRGKRZSA-N 0 0 449.555 -0.644 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2ccc(=O)n(-c3ccccc3)n2)CC1 ZINC001449495424 1074451266 /nfs/dbraw/zinc/45/12/66/1074451266.db2.gz QXFOXGQPARACBQ-UHFFFAOYSA-N 0 0 439.519 -0.037 20 0 IBADRN COCCNc1nc(CC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cs1 ZINC001449495505 1074451272 /nfs/dbraw/zinc/45/12/72/1074451272.db2.gz DJTWLTPFHZFMGU-UHFFFAOYSA-N 0 0 439.585 -0.140 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cc1OCCO ZINC001449495749 1074451106 /nfs/dbraw/zinc/45/11/06/1074451106.db2.gz DVKDUVPHEUBSAQ-UHFFFAOYSA-N 0 0 435.524 -0.204 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)Cn2ncc3ccccc3c2=O)CC1 ZINC001449495819 1074451171 /nfs/dbraw/zinc/45/11/71/1074451171.db2.gz SQNHPZCHEXBCGO-UHFFFAOYSA-N 0 0 427.508 -0.487 20 0 IBADRN CNS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001449496263 1074451856 /nfs/dbraw/zinc/45/18/56/1074451856.db2.gz UXXDZUNSCHISKC-UHFFFAOYSA-N 0 0 438.553 -0.675 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2CCCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)cn1 ZINC001449497977 1074451765 /nfs/dbraw/zinc/45/17/65/1074451765.db2.gz CYJCPCUGMVVCGM-LLVKDONJSA-N 0 0 439.270 -0.134 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)Cn2cc(Br)c(=O)[nH]c2=O)cn1 ZINC001449498004 1074451802 /nfs/dbraw/zinc/45/18/02/1074451802.db2.gz CYJCPCUGMVVCGM-NSHDSACASA-N 0 0 439.270 -0.134 20 0 IBADRN Cc1nnnn1-c1ccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c(F)c1 ZINC001449498221 1074451879 /nfs/dbraw/zinc/45/18/79/1074451879.db2.gz KPTMCYAPHDVCFP-UHFFFAOYSA-N 0 0 445.502 -0.160 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCOc2ccc(C(N)=O)cc2)CC1 ZINC001449499506 1074451797 /nfs/dbraw/zinc/45/17/97/1074451797.db2.gz NPJNFADWDKLBAX-UHFFFAOYSA-N 0 0 432.524 -0.329 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2CCCN2C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)cn1 ZINC001449501542 1074451815 /nfs/dbraw/zinc/45/18/15/1074451815.db2.gz AHIRPMIUAJXWFE-PBHICJAKSA-N 0 0 444.467 -0.046 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2CCCN2C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)cn1 ZINC001449501547 1074451804 /nfs/dbraw/zinc/45/18/04/1074451804.db2.gz AHIRPMIUAJXWFE-RHSMWYFYSA-N 0 0 444.467 -0.046 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)cn1 ZINC001449501552 1074451785 /nfs/dbraw/zinc/45/17/85/1074451785.db2.gz AHIRPMIUAJXWFE-WMLDXEAASA-N 0 0 444.467 -0.046 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)cn1 ZINC001449501556 1074451864 /nfs/dbraw/zinc/45/18/64/1074451864.db2.gz AHIRPMIUAJXWFE-YOEHRIQHSA-N 0 0 444.467 -0.046 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)ccc1F ZINC001449501594 1074451777 /nfs/dbraw/zinc/45/17/77/1074451777.db2.gz SDTNKCIVODJBQM-UHFFFAOYSA-N 0 0 441.528 -0.041 20 0 IBADRN Cn1cc(I)c(C(=O)N2CCCC[C@@H]2CNS(N)(=O)=O)n1 ZINC001449502180 1074451868 /nfs/dbraw/zinc/45/18/68/1074451868.db2.gz IRFCRQTVQIOHLY-MRVPVSSYSA-N 0 0 427.268 -0.188 20 0 IBADRN Cn1cc(I)c(C(=O)N2CCCC[C@H]2CNS(N)(=O)=O)n1 ZINC001449502186 1074451786 /nfs/dbraw/zinc/45/17/86/1074451786.db2.gz IRFCRQTVQIOHLY-QMMMGPOBSA-N 0 0 427.268 -0.188 20 0 IBADRN CCOC(=O)[C@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1 ZINC001449504061 1074451826 /nfs/dbraw/zinc/45/18/26/1074451826.db2.gz USJFFQDLWNOZIE-AWEZNQCLSA-N 0 0 438.572 -0.622 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(CC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1 ZINC001449504067 1074451885 /nfs/dbraw/zinc/45/18/85/1074451885.db2.gz USJFFQDLWNOZIE-CQSZACIVSA-N 0 0 438.572 -0.622 20 0 IBADRN CC(C)(C)OC(=O)[C@@]1(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CCCO1 ZINC001449504425 1074451805 /nfs/dbraw/zinc/45/18/05/1074451805.db2.gz WLNPQUZGTBRPBT-INIZCTEOSA-N 0 0 439.556 -0.006 20 0 IBADRN CC(C)(C)OC(=O)[C@]1(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CCCO1 ZINC001449504429 1074451793 /nfs/dbraw/zinc/45/17/93/1074451793.db2.gz WLNPQUZGTBRPBT-MRXNPFEDSA-N 0 0 439.556 -0.006 20 0 IBADRN Cn1nc(I)cc1C(=O)N1CCCC[C@@H]1CNS(N)(=O)=O ZINC001449505464 1074451872 /nfs/dbraw/zinc/45/18/72/1074451872.db2.gz MHXUQVTYZYCBIH-MRVPVSSYSA-N 0 0 427.268 -0.188 20 0 IBADRN Cn1nc(I)cc1C(=O)N1CCCC[C@H]1CNS(N)(=O)=O ZINC001449505470 1074451807 /nfs/dbraw/zinc/45/18/07/1074451807.db2.gz MHXUQVTYZYCBIH-QMMMGPOBSA-N 0 0 427.268 -0.188 20 0 IBADRN CN1CC(=O)Nc2cc(C(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)cnc21 ZINC001449506817 1074451822 /nfs/dbraw/zinc/45/18/22/1074451822.db2.gz ZVMUYDMBRPFQGV-UHFFFAOYSA-N 0 0 430.512 -0.800 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)Nc2ccc3c(c2)nc(C)n3C(CO)CO)CC1 ZINC001449516672 1074452555 /nfs/dbraw/zinc/45/25/55/1074452555.db2.gz CUDKEDMKWXCLPQ-UHFFFAOYSA-N 0 0 425.511 -0.015 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](NC(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)C1 ZINC001449530889 1074452550 /nfs/dbraw/zinc/45/25/50/1074452550.db2.gz ZKNTZRMEPQQJKM-OWCLPIDISA-N 0 0 425.457 -0.058 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)C[C@H]1O ZINC001449611453 1074452408 /nfs/dbraw/zinc/45/24/08/1074452408.db2.gz WFVZDFWMVYDESB-BKDUDQBXSA-N 0 0 446.547 -0.402 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)C[C@H]1O ZINC001449611460 1074452396 /nfs/dbraw/zinc/45/23/96/1074452396.db2.gz WFVZDFWMVYDESB-MAEFMKOSSA-N 0 0 446.547 -0.402 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c2ccccc2)C[C@H]1O ZINC001449611467 1074452545 /nfs/dbraw/zinc/45/25/45/1074452545.db2.gz WFVZDFWMVYDESB-OUIQCJFTSA-N 0 0 446.547 -0.402 20 0 IBADRN CO[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c2ccccc2)C[C@H]1O ZINC001449611475 1074452475 /nfs/dbraw/zinc/45/24/75/1074452475.db2.gz WFVZDFWMVYDESB-UYDKOKGTSA-N 0 0 446.547 -0.402 20 0 IBADRN CC(=O)N[C@@H](CC(=O)Nc1cc(Br)cnc1N1CCN(C)CC1)C(N)=O ZINC001449622332 1074452483 /nfs/dbraw/zinc/45/24/83/1074452483.db2.gz FBMSLIAPHGTYFH-LBPRGKRZSA-N 0 0 427.303 -0.086 20 0 IBADRN CN1CCN(c2ncc(Br)cc2NC(=O)C2=C[C@@H](O)[C@@H](O)[C@H](O)C2)CC1 ZINC001449629045 1074452436 /nfs/dbraw/zinc/45/24/36/1074452436.db2.gz LRAJTDBFQVSBCQ-RBSFLKMASA-N 0 0 427.299 -0.053 20 0 IBADRN O=C(CCn1c(=O)oc2cc(S(=O)(=O)N3CCCC3)ccc21)N[C@@H]1COC[C@H]1O ZINC001449651570 1074452500 /nfs/dbraw/zinc/45/25/00/1074452500.db2.gz OECLSVGFYXGHGZ-UKRRQHHQSA-N 0 0 425.463 -0.355 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC[C@]3(O)CCS(=O)(=O)C3)n(C)c2)C1 ZINC001449683066 1074452368 /nfs/dbraw/zinc/45/23/68/1074452368.db2.gz BSGDFFXGYDOOKA-CXAGYDPISA-N 0 0 433.552 -0.275 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC[C@@]3(O)CCS(=O)(=O)C3)n(C)c2)C1 ZINC001449683072 1074452539 /nfs/dbraw/zinc/45/25/39/1074452539.db2.gz BSGDFFXGYDOOKA-DYVFJYSZSA-N 0 0 433.552 -0.275 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC[C@@]3(O)CCS(=O)(=O)C3)n(C)c2)C1 ZINC001449683078 1074452469 /nfs/dbraw/zinc/45/24/69/1074452469.db2.gz BSGDFFXGYDOOKA-GUYCJALGSA-N 0 0 433.552 -0.275 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC[C@]3(O)CCS(=O)(=O)C3)n(C)c2)C1 ZINC001449683085 1074452356 /nfs/dbraw/zinc/45/23/56/1074452356.db2.gz BSGDFFXGYDOOKA-SUMWQHHRSA-N 0 0 433.552 -0.275 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001449685675 1074452581 /nfs/dbraw/zinc/45/25/81/1074452581.db2.gz DSEHKVIROAWQRA-CYBMUJFWSA-N 0 0 441.325 -0.607 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001449685683 1074452525 /nfs/dbraw/zinc/45/25/25/1074452525.db2.gz DSEHKVIROAWQRA-ZDUSSCGKSA-N 0 0 441.325 -0.607 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NCCN2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001449688337 1074452415 /nfs/dbraw/zinc/45/24/15/1074452415.db2.gz VMZVWQWCYYUCSL-OKILXGFUSA-N 0 0 431.536 -0.652 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NC[C@]2(O)CCS(=O)(=O)C2)c1 ZINC001449696597 1074452374 /nfs/dbraw/zinc/45/23/74/1074452374.db2.gz PWWJJZZNNJKNSJ-CYBMUJFWSA-N 0 0 441.325 -0.363 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NC[C@@]2(O)CCS(=O)(=O)C2)c1 ZINC001449696617 1074452902 /nfs/dbraw/zinc/45/29/02/1074452902.db2.gz PWWJJZZNNJKNSJ-ZDUSSCGKSA-N 0 0 441.325 -0.363 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NC[C@@]2(O)CCS(=O)(=O)C2)ccc1Cl ZINC001449701442 1074452961 /nfs/dbraw/zinc/45/29/61/1074452961.db2.gz UJVJDOMLEKPLAM-AWEZNQCLSA-N 0 0 426.900 -0.199 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NC[C@]2(O)CCS(=O)(=O)C2)ccc1Cl ZINC001449701447 1074452889 /nfs/dbraw/zinc/45/28/89/1074452889.db2.gz UJVJDOMLEKPLAM-CQSZACIVSA-N 0 0 426.900 -0.199 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001449703838 1074452914 /nfs/dbraw/zinc/45/29/14/1074452914.db2.gz WWWPALITNANKLJ-CYBMUJFWSA-N 0 0 441.325 -0.607 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001449703840 1074453071 /nfs/dbraw/zinc/45/30/71/1074453071.db2.gz WWWPALITNANKLJ-ZDUSSCGKSA-N 0 0 441.325 -0.607 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)NCc1nn(C)cc1I ZINC001449735346 1074453065 /nfs/dbraw/zinc/45/30/65/1074453065.db2.gz DPLMLIZVAIZCIS-UHFFFAOYSA-N 0 0 430.268 -0.403 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CC1 ZINC001449776720 1074452944 /nfs/dbraw/zinc/45/29/44/1074452944.db2.gz TXFRIKPLEAPOQA-CAMPQQCRSA-N 0 0 429.470 -0.386 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CC1 ZINC001449776722 1074453010 /nfs/dbraw/zinc/45/30/10/1074453010.db2.gz TXFRIKPLEAPOQA-YBKRRLQSSA-N 0 0 429.470 -0.386 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001449787143 1074453014 /nfs/dbraw/zinc/45/30/14/1074453014.db2.gz KFSRCLYQUAKLIM-GUTXKFCHSA-N 0 0 437.515 -0.606 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001449787147 1074452997 /nfs/dbraw/zinc/45/29/97/1074452997.db2.gz KFSRCLYQUAKLIM-NFAWXSAZSA-N 0 0 437.515 -0.606 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H](O)CNC(=O)OC(C)(C)C)[C@H]1c1nccn1C ZINC001449797373 1074453006 /nfs/dbraw/zinc/45/30/06/1074453006.db2.gz PIMXPDXVOSLGCS-QEJZJMRPSA-N 0 0 425.486 -0.290 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H](O)CNC(=O)OC(C)(C)C)[C@H]1c1nccn1C ZINC001449797387 1074452967 /nfs/dbraw/zinc/45/29/67/1074452967.db2.gz PIMXPDXVOSLGCS-SNPRPXQTSA-N 0 0 425.486 -0.290 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@H](O)CNC(=O)OC(C)(C)C)[C@H]1c1ccnn1C ZINC001449799104 1074452929 /nfs/dbraw/zinc/45/29/29/1074452929.db2.gz QECISHGXVMFTAI-MAZHCROVSA-N 0 0 425.486 -0.290 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC[C@@H](O)CNC(=O)OC(C)(C)C)[C@H]1c1ccnn1C ZINC001449799119 1074452990 /nfs/dbraw/zinc/45/29/90/1074452990.db2.gz QECISHGXVMFTAI-WQVCFCJDSA-N 0 0 425.486 -0.290 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(=O)N[C@H](C)C3)ccc2F)CC1 ZINC001449825591 1074453047 /nfs/dbraw/zinc/45/30/47/1074453047.db2.gz DXMHPHGAYMSKCD-GFCCVEGCSA-N 0 0 426.470 -0.361 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC(=O)N[C@@H](C)C3)ccc2F)CC1 ZINC001449825608 1074453057 /nfs/dbraw/zinc/45/30/57/1074453057.db2.gz DXMHPHGAYMSKCD-LBPRGKRZSA-N 0 0 426.470 -0.361 20 0 IBADRN O=C(NCCS(=O)(=O)C1CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001449872905 1074453714 /nfs/dbraw/zinc/45/37/14/1074453714.db2.gz BXCAQZFHOKIYIJ-UHFFFAOYSA-N 0 0 436.508 -0.376 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)NCCS(=O)(=O)C2CCOCC2)c1 ZINC001449874673 1074453507 /nfs/dbraw/zinc/45/35/07/1074453507.db2.gz DYXASHQOVWILJU-UHFFFAOYSA-N 0 0 434.536 -0.065 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)C2CCOCC2)cc1 ZINC001449876501 1074453692 /nfs/dbraw/zinc/45/36/92/1074453692.db2.gz ICZFIGIYWNCKHQ-UHFFFAOYSA-N 0 0 434.536 -0.065 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)NCCS(=O)(=O)C2CCOCC2)=NN1c1ccc(F)cc1 ZINC001449883072 1074453518 /nfs/dbraw/zinc/45/35/18/1074453518.db2.gz YOQPIKQJMZZAKI-INIZCTEOSA-N 0 0 426.470 -0.044 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)NCCS(=O)(=O)C2CCOCC2)=NN1c1ccc(F)cc1 ZINC001449883074 1074453495 /nfs/dbraw/zinc/45/34/95/1074453495.db2.gz YOQPIKQJMZZAKI-MRXNPFEDSA-N 0 0 426.470 -0.044 20 0 IBADRN COc1ccc(CNS(C)(=O)=O)cc1NC(=O)c1cnn2c1S(=O)(=O)N(C)CC2 ZINC001449911720 1074453566 /nfs/dbraw/zinc/45/35/66/1074453566.db2.gz CSSRLWVCYOBXMW-UHFFFAOYSA-N 0 0 443.507 -0.173 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N1CC(C3CS(=O)(=O)C3)C1)c2=O ZINC001449964991 1074453703 /nfs/dbraw/zinc/45/37/03/1074453703.db2.gz ABYFWQGNIAKCGG-UHFFFAOYSA-N 0 0 430.284 -0.605 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CC(C4CS(=O)(=O)C4)C3)CC2)cn1C ZINC001449966159 1074453526 /nfs/dbraw/zinc/45/35/26/1074453526.db2.gz HOAPTGKCOXXSKQ-UHFFFAOYSA-N 0 0 430.552 -0.368 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CC(C2CS(=O)(=O)C2)C1 ZINC001449969546 1074453665 /nfs/dbraw/zinc/45/36/65/1074453665.db2.gz SYJSOVQLUMVKTK-UHFFFAOYSA-N 0 0 428.532 -0.051 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H](C(=O)Nc2cn[nH]c2)C1 ZINC001449973352 1074453609 /nfs/dbraw/zinc/45/36/09/1074453609.db2.gz BDQNOMSROZYONQ-CYBMUJFWSA-N 0 0 436.494 -0.130 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H](C(=O)Nc2cn[nH]c2)C1 ZINC001449973358 1074453484 /nfs/dbraw/zinc/45/34/84/1074453484.db2.gz BDQNOMSROZYONQ-ZDUSSCGKSA-N 0 0 436.494 -0.130 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CC(C[NH+]4CCN(C)CC4)C3)CC2)cn1C ZINC001450179278 1074453578 /nfs/dbraw/zinc/45/35/78/1074453578.db2.gz GRNRPCONFVPQTE-UHFFFAOYSA-N 0 0 438.598 -0.165 20 0 IBADRN COCC1(O)CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001450196993 1074453680 /nfs/dbraw/zinc/45/36/80/1074453680.db2.gz ITMZDHZSMXLQTB-UHFFFAOYSA-N 0 0 425.507 -0.481 20 0 IBADRN CN(C)S(=O)(=O)c1ccsc1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001450199884 1074453735 /nfs/dbraw/zinc/45/37/35/1074453735.db2.gz HHPMZZTWGLBBDH-UHFFFAOYSA-N 0 0 429.567 -0.118 20 0 IBADRN COCC1(O)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cc(OC)c2OC)C1 ZINC001450202289 1074454122 /nfs/dbraw/zinc/45/41/22/1074454122.db2.gz XJBOWCCNWCSLMX-UHFFFAOYSA-N 0 0 430.479 -0.442 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)c2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC001450203415 1074454194 /nfs/dbraw/zinc/45/41/94/1074454194.db2.gz MSUSIXDBPMSMGO-UHFFFAOYSA-N 0 0 431.540 -0.175 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)CC1 ZINC001450206693 1074454268 /nfs/dbraw/zinc/45/42/68/1074454268.db2.gz VBESKOWXXQHGGO-UHFFFAOYSA-N 0 0 438.572 -0.191 20 0 IBADRN COC(=O)[C@H](Cc1ccncc1)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001450218704 1074454086 /nfs/dbraw/zinc/45/40/86/1074454086.db2.gz ZANQNJJHHKCYMT-KRWDZBQOSA-N 0 0 448.501 -0.038 20 0 IBADRN COC(=O)[C@@H](Cc1ccncc1)NC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001450218707 1074454095 /nfs/dbraw/zinc/45/40/95/1074454095.db2.gz ZANQNJJHHKCYMT-QGZVFWFLSA-N 0 0 448.501 -0.038 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC(n2cc(N(C)C)cn2)CC1 ZINC001450265616 1074454070 /nfs/dbraw/zinc/45/40/70/1074454070.db2.gz QSYGFPACZQNZQD-UHFFFAOYSA-N 0 0 428.559 -0.053 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCC(C)(CO)CO ZINC001450273990 1074454155 /nfs/dbraw/zinc/45/41/55/1074454155.db2.gz UQXDPFMYCZZSFB-UHFFFAOYSA-N 0 0 429.539 -0.258 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001450277909 1074454139 /nfs/dbraw/zinc/45/41/39/1074454139.db2.gz WKJGIGXWYUNGPB-UHFFFAOYSA-N 0 0 432.568 -0.100 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001450377831 1074454185 /nfs/dbraw/zinc/45/41/85/1074454185.db2.gz FNLSZMXNNSHBKP-AWEZNQCLSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001450377843 1074454100 /nfs/dbraw/zinc/45/41/00/1074454100.db2.gz FNLSZMXNNSHBKP-CQSZACIVSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001450383634 1074454263 /nfs/dbraw/zinc/45/42/63/1074454263.db2.gz WZPFVTLTHOSHRA-UHFFFAOYSA-N 0 0 445.563 -0.014 20 0 IBADRN CNS(=O)(=O)C1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)CC1 ZINC001450383832 1074454250 /nfs/dbraw/zinc/45/42/50/1074454250.db2.gz YQNFUOQHQYLCPN-ZDUSSCGKSA-N 0 0 441.572 -0.145 20 0 IBADRN NC(=O)c1cn(CCC(=O)N(CCN2CCOCC2)[C@@H]2CCSC2)c(=O)[nH]c1=O ZINC001450524099 1074454924 /nfs/dbraw/zinc/45/49/24/1074454924.db2.gz FARSSPPSFJRMRL-CYBMUJFWSA-N 0 0 425.511 -0.896 20 0 IBADRN NC(=O)c1cn(CCC(=O)N(CCN2CCOCC2)[C@H]2CCSC2)c(=O)[nH]c1=O ZINC001450524105 1074454976 /nfs/dbraw/zinc/45/49/76/1074454976.db2.gz FARSSPPSFJRMRL-ZDUSSCGKSA-N 0 0 425.511 -0.896 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@H](C(=O)N3CCCC3)C2)c1 ZINC001450560250 1074454965 /nfs/dbraw/zinc/45/49/65/1074454965.db2.gz STJJDACNBQBPNR-AWEZNQCLSA-N 0 0 443.547 -0.161 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@@H](C(=O)N3CCCC3)C2)c1 ZINC001450560257 1074454933 /nfs/dbraw/zinc/45/49/33/1074454933.db2.gz STJJDACNBQBPNR-CQSZACIVSA-N 0 0 443.547 -0.161 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCc2cc3n(n2)CCCO3)s1 ZINC001450593714 1074454980 /nfs/dbraw/zinc/45/49/80/1074454980.db2.gz LZFCFMTWOHZADI-UHFFFAOYSA-N 0 0 427.508 -0.042 20 0 IBADRN C[C@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@H](C)S1(=O)=O ZINC001450703002 1074454883 /nfs/dbraw/zinc/45/48/83/1074454883.db2.gz LZGWYXGGGNSPOS-RYUDHWBXSA-N 0 0 438.549 -0.598 20 0 IBADRN C[C@@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@H](C)S1(=O)=O ZINC001450703008 1074454814 /nfs/dbraw/zinc/45/48/14/1074454814.db2.gz LZGWYXGGGNSPOS-TXEJJXNPSA-N 0 0 438.549 -0.598 20 0 IBADRN C[C@@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@@H](C)S1(=O)=O ZINC001450703014 1074454750 /nfs/dbraw/zinc/45/47/50/1074454750.db2.gz LZGWYXGGGNSPOS-VXGBXAGGSA-N 0 0 438.549 -0.598 20 0 IBADRN COCC[N@H+](C)CCN1C[C@@H](NC(=O)c2nn(-c3ccc(F)cc3)c(=O)cc2[O-])CC1=O ZINC001450720233 1074454819 /nfs/dbraw/zinc/45/48/19/1074454819.db2.gz CUMPOCBSZJUJKL-HNNXBMFYSA-N 0 0 447.467 -0.217 20 0 IBADRN COCC[N@H+](C)CCN1C[C@H](NC(=O)c2nn(-c3ccc(F)cc3)c(=O)cc2[O-])CC1=O ZINC001450720237 1074454854 /nfs/dbraw/zinc/45/48/54/1074454854.db2.gz CUMPOCBSZJUJKL-OAHLLOKOSA-N 0 0 447.467 -0.217 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCN1C(=O)c1cscn1 ZINC001450907664 1074455384 /nfs/dbraw/zinc/45/53/84/1074455384.db2.gz PUVOBMCZGYNNLN-BFHYXJOUSA-N 0 0 440.547 -0.148 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCN1C(=O)c1cscn1 ZINC001450907666 1074455593 /nfs/dbraw/zinc/45/55/93/1074455593.db2.gz PUVOBMCZGYNNLN-IHRRRGAJSA-N 0 0 440.547 -0.148 20 0 IBADRN C[C@H]1CN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CCN1C(=O)c1cscn1 ZINC001450907670 1074455446 /nfs/dbraw/zinc/45/54/46/1074455446.db2.gz PUVOBMCZGYNNLN-MELADBBJSA-N 0 0 440.547 -0.148 20 0 IBADRN C[C@H]1CN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CCN1C(=O)c1cscn1 ZINC001450907674 1074455408 /nfs/dbraw/zinc/45/54/08/1074455408.db2.gz PUVOBMCZGYNNLN-MJBXVCDLSA-N 0 0 440.547 -0.148 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001450910247 1074455617 /nfs/dbraw/zinc/45/56/17/1074455617.db2.gz BESXBQHQHARGQF-RKDXNWHRSA-N 0 0 438.325 -0.095 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(O)CC1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001450915288 1074455462 /nfs/dbraw/zinc/45/54/62/1074455462.db2.gz NBOUSEMVOLOATJ-CHWSQXEVSA-N 0 0 429.520 -0.377 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)CCNS(=O)(=O)c1cccnc1 ZINC001450959833 1074455437 /nfs/dbraw/zinc/45/54/37/1074455437.db2.gz HXJWVJIMWMBRMB-GXTWGEPZSA-N 0 0 444.535 -0.281 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CCNS(=O)(=O)c1cccnc1 ZINC001450959840 1074455421 /nfs/dbraw/zinc/45/54/21/1074455421.db2.gz HXJWVJIMWMBRMB-JSGCOSHPSA-N 0 0 444.535 -0.281 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CCNS(=O)(=O)c1cccnc1 ZINC001450959845 1074455454 /nfs/dbraw/zinc/45/54/54/1074455454.db2.gz HXJWVJIMWMBRMB-OCCSQVGLSA-N 0 0 444.535 -0.281 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)CCNS(=O)(=O)c1cccnc1 ZINC001450959852 1074455662 /nfs/dbraw/zinc/45/56/62/1074455662.db2.gz HXJWVJIMWMBRMB-TZMCWYRMSA-N 0 0 444.535 -0.281 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)CNS(=O)(=O)c1ccccc1 ZINC001450962475 1074455645 /nfs/dbraw/zinc/45/56/45/1074455645.db2.gz LRWFQABTBZKNMY-GXTWGEPZSA-N 0 0 429.520 -0.066 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CNS(=O)(=O)c1ccccc1 ZINC001450962484 1074455507 /nfs/dbraw/zinc/45/55/07/1074455507.db2.gz LRWFQABTBZKNMY-JSGCOSHPSA-N 0 0 429.520 -0.066 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CNS(=O)(=O)c1ccccc1 ZINC001450962495 1074455600 /nfs/dbraw/zinc/45/56/00/1074455600.db2.gz LRWFQABTBZKNMY-OCCSQVGLSA-N 0 0 429.520 -0.066 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)CNS(=O)(=O)c1ccccc1 ZINC001450962504 1074456065 /nfs/dbraw/zinc/45/60/65/1074456065.db2.gz LRWFQABTBZKNMY-TZMCWYRMSA-N 0 0 429.520 -0.066 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)CN1CCCC2(CC2)S1(=O)=O ZINC001450962886 1074456030 /nfs/dbraw/zinc/45/60/30/1074456030.db2.gz MJZXXDQUPQDTNL-CHWSQXEVSA-N 0 0 433.552 -0.086 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CN1CCCC2(CC2)S1(=O)=O ZINC001450962899 1074455933 /nfs/dbraw/zinc/45/59/33/1074455933.db2.gz MJZXXDQUPQDTNL-OLZOCXBDSA-N 0 0 433.552 -0.086 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)CN1CCCC2(CC2)S1(=O)=O ZINC001450962908 1074456100 /nfs/dbraw/zinc/45/61/00/1074456100.db2.gz MJZXXDQUPQDTNL-QWHCGFSZSA-N 0 0 433.552 -0.086 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CN1CCCC2(CC2)S1(=O)=O ZINC001450962916 1074455952 /nfs/dbraw/zinc/45/59/52/1074455952.db2.gz MJZXXDQUPQDTNL-STQMWFEESA-N 0 0 433.552 -0.086 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)CNS(=O)(=O)c1cccs1 ZINC001450965072 1074456050 /nfs/dbraw/zinc/45/60/50/1074456050.db2.gz PBHOTELNUQFQQO-GHMZBOCLSA-N 0 0 435.549 -0.004 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)CNS(=O)(=O)c1cccs1 ZINC001450965075 1074456041 /nfs/dbraw/zinc/45/60/41/1074456041.db2.gz PBHOTELNUQFQQO-MNOVXSKESA-N 0 0 435.549 -0.004 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)CNS(=O)(=O)c1cccs1 ZINC001450965077 1074455961 /nfs/dbraw/zinc/45/59/61/1074455961.db2.gz PBHOTELNUQFQQO-QWRGUYRKSA-N 0 0 435.549 -0.004 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)CNS(=O)(=O)c1cccs1 ZINC001450965081 1074455916 /nfs/dbraw/zinc/45/59/16/1074455916.db2.gz PBHOTELNUQFQQO-WDEREUQCSA-N 0 0 435.549 -0.004 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H]1C(=O)N=S(C)(C)=O ZINC001450970714 1074456116 /nfs/dbraw/zinc/45/61/16/1074456116.db2.gz GWLGYEAKQDZYGJ-AAEUAGOBSA-N 0 0 433.556 -0.051 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H]1C(=O)N=S(C)(C)=O ZINC001450970795 1074456057 /nfs/dbraw/zinc/45/60/57/1074456057.db2.gz GWLGYEAKQDZYGJ-YPMHNXCESA-N 0 0 433.556 -0.051 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)[C@@H](C)S(=O)(=O)C1CCOCC1 ZINC001450970886 1074456019 /nfs/dbraw/zinc/45/60/19/1074456019.db2.gz WJBXLPQSUKFBGN-BZPMIXESSA-N 0 0 436.552 -0.062 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)[C@@H](C)S(=O)(=O)C1CCOCC1 ZINC001450970889 1074456086 /nfs/dbraw/zinc/45/60/86/1074456086.db2.gz WJBXLPQSUKFBGN-DYEKYZERSA-N 0 0 436.552 -0.062 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)[C@H](C)S(=O)(=O)C1CCOCC1 ZINC001450970891 1074455896 /nfs/dbraw/zinc/45/58/96/1074455896.db2.gz WJBXLPQSUKFBGN-OBJOEFQTSA-N 0 0 436.552 -0.062 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)[C@H](C)S(=O)(=O)C1CCOCC1 ZINC001450970893 1074456094 /nfs/dbraw/zinc/45/60/94/1074456094.db2.gz WJBXLPQSUKFBGN-SCRDCRAPSA-N 0 0 436.552 -0.062 20 0 IBADRN C[C@@]1(CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC(=O)N1 ZINC001450976413 1074456076 /nfs/dbraw/zinc/45/60/76/1074456076.db2.gz CLVNQZZKGRBOLR-PBHICJAKSA-N 0 0 431.536 -0.447 20 0 IBADRN C[C@]1(CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC(=O)N1 ZINC001450976415 1074455969 /nfs/dbraw/zinc/45/59/69/1074455969.db2.gz CLVNQZZKGRBOLR-RHSMWYFYSA-N 0 0 431.536 -0.447 20 0 IBADRN C[C@]1(CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC(=O)N1 ZINC001450976418 1074456013 /nfs/dbraw/zinc/45/60/13/1074456013.db2.gz CLVNQZZKGRBOLR-WMLDXEAASA-N 0 0 431.536 -0.447 20 0 IBADRN C[C@@]1(CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCC(=O)N1 ZINC001450976420 1074456110 /nfs/dbraw/zinc/45/61/10/1074456110.db2.gz CLVNQZZKGRBOLR-YOEHRIQHSA-N 0 0 431.536 -0.447 20 0 IBADRN Cn1cnc(CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)c1 ZINC001451050955 1074455983 /nfs/dbraw/zinc/45/59/83/1074455983.db2.gz FGHMWGBENFINNN-INIZCTEOSA-N 0 0 428.536 -0.139 20 0 IBADRN Cn1cnc(CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)c1 ZINC001451050962 1074456704 /nfs/dbraw/zinc/45/67/04/1074456704.db2.gz FGHMWGBENFINNN-MRXNPFEDSA-N 0 0 428.536 -0.139 20 0 IBADRN CN(CC(=O)NC[C@@H](O)Cn1ccnn1)S(=O)(=O)c1ccc(Br)cc1 ZINC001451066040 1074456682 /nfs/dbraw/zinc/45/66/82/1074456682.db2.gz RQXSJKKUPNPNTM-GFCCVEGCSA-N 0 0 432.300 -0.162 20 0 IBADRN CN(CC(=O)NC[C@H](O)Cn1ccnn1)S(=O)(=O)c1ccc(Br)cc1 ZINC001451066044 1074456734 /nfs/dbraw/zinc/45/67/34/1074456734.db2.gz RQXSJKKUPNPNTM-LBPRGKRZSA-N 0 0 432.300 -0.162 20 0 IBADRN O=C(CCC(=O)N1CCN(c2ncccn2)CC1)NCC1(N2CCOCC2)CCOCC1 ZINC001451105854 1074456628 /nfs/dbraw/zinc/45/66/28/1074456628.db2.gz PIZZNNWMDNZZCW-UHFFFAOYSA-N 0 0 446.552 -0.097 20 0 IBADRN COc1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1S(=O)(=O)N(C)C ZINC001451125788 1074456663 /nfs/dbraw/zinc/45/66/63/1074456663.db2.gz DEMLVJZINUVBPO-BBRMVZONSA-N 0 0 432.520 -0.026 20 0 IBADRN COc1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1S(=O)(=O)N(C)C ZINC001451125791 1074456740 /nfs/dbraw/zinc/45/67/40/1074456740.db2.gz DEMLVJZINUVBPO-CJNGLKHVSA-N 0 0 432.520 -0.026 20 0 IBADRN COc1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1S(=O)(=O)N(C)C ZINC001451125795 1074456622 /nfs/dbraw/zinc/45/66/22/1074456622.db2.gz DEMLVJZINUVBPO-CZUORRHYSA-N 0 0 432.520 -0.026 20 0 IBADRN COc1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1S(=O)(=O)N(C)C ZINC001451125800 1074456745 /nfs/dbraw/zinc/45/67/45/1074456745.db2.gz DEMLVJZINUVBPO-XJKSGUPXSA-N 0 0 432.520 -0.026 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)c1 ZINC001451131849 1074456669 /nfs/dbraw/zinc/45/66/69/1074456669.db2.gz IXQWTURMBATXGI-CVEARBPZSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)c1 ZINC001451131851 1074456677 /nfs/dbraw/zinc/45/66/77/1074456677.db2.gz IXQWTURMBATXGI-HOTGVXAUSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)c1 ZINC001451131853 1074456644 /nfs/dbraw/zinc/45/66/44/1074456644.db2.gz IXQWTURMBATXGI-HZPDHXFCSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)c1 ZINC001451131857 1074456729 /nfs/dbraw/zinc/45/67/29/1074456729.db2.gz IXQWTURMBATXGI-JKSUJKDBSA-N 0 0 432.520 -0.361 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1 ZINC001451144729 1074456655 /nfs/dbraw/zinc/45/66/55/1074456655.db2.gz BJFKCJUJLHQQKA-CVEARBPZSA-N 0 0 432.520 -0.673 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1 ZINC001451144733 1074456607 /nfs/dbraw/zinc/45/66/07/1074456607.db2.gz BJFKCJUJLHQQKA-HOTGVXAUSA-N 0 0 432.520 -0.673 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1 ZINC001451144736 1074456716 /nfs/dbraw/zinc/45/67/16/1074456716.db2.gz BJFKCJUJLHQQKA-HZPDHXFCSA-N 0 0 432.520 -0.673 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1 ZINC001451144740 1074456659 /nfs/dbraw/zinc/45/66/59/1074456659.db2.gz BJFKCJUJLHQQKA-JKSUJKDBSA-N 0 0 432.520 -0.673 20 0 IBADRN CCC[C@H](O)[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC001451154869 1074456693 /nfs/dbraw/zinc/45/66/93/1074456693.db2.gz SXOJRXLXQFWJFL-CVEARBPZSA-N 0 0 446.522 -0.024 20 0 IBADRN CCC[C@H](O)[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC001451154876 1074456699 /nfs/dbraw/zinc/45/66/99/1074456699.db2.gz SXOJRXLXQFWJFL-HOTGVXAUSA-N 0 0 446.522 -0.024 20 0 IBADRN CCC[C@@H](O)[C@@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC001451154881 1074456671 /nfs/dbraw/zinc/45/66/71/1074456671.db2.gz SXOJRXLXQFWJFL-HZPDHXFCSA-N 0 0 446.522 -0.024 20 0 IBADRN CCC[C@@H](O)[C@H](CO)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cc(OC)c1OC ZINC001451154886 1074456613 /nfs/dbraw/zinc/45/66/13/1074456613.db2.gz SXOJRXLXQFWJFL-JKSUJKDBSA-N 0 0 446.522 -0.024 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001451158517 1074457249 /nfs/dbraw/zinc/45/72/49/1074457249.db2.gz NKCLSRYOLPDRJE-BZZMCLGOSA-N 0 0 440.493 -0.256 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001451158520 1074457255 /nfs/dbraw/zinc/45/72/55/1074457255.db2.gz NKCLSRYOLPDRJE-DDBAPUKQSA-N 0 0 440.493 -0.256 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001451158523 1074457238 /nfs/dbraw/zinc/45/72/38/1074457238.db2.gz NKCLSRYOLPDRJE-SPUZQDLCSA-N 0 0 440.493 -0.256 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001451158525 1074457144 /nfs/dbraw/zinc/45/71/44/1074457144.db2.gz NKCLSRYOLPDRJE-VDHUWJSZSA-N 0 0 440.493 -0.256 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001451171820 1074457131 /nfs/dbraw/zinc/45/71/31/1074457131.db2.gz WDQBQPVHHGPMHV-DZGCQCFKSA-N 0 0 425.488 -0.090 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001451171827 1074457232 /nfs/dbraw/zinc/45/72/32/1074457232.db2.gz WDQBQPVHHGPMHV-HIFRSBDPSA-N 0 0 425.488 -0.090 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001451171833 1074457263 /nfs/dbraw/zinc/45/72/63/1074457263.db2.gz WDQBQPVHHGPMHV-UKRRQHHQSA-N 0 0 425.488 -0.090 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001451171840 1074457191 /nfs/dbraw/zinc/45/71/91/1074457191.db2.gz WDQBQPVHHGPMHV-ZFWWWQNUSA-N 0 0 425.488 -0.090 20 0 IBADRN O=C(c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1)N1C[C@@H](O)[C@H](CO)C1 ZINC001451179230 1074457176 /nfs/dbraw/zinc/45/71/76/1074457176.db2.gz NJGSLGZELURFBW-GXTWGEPZSA-N 0 0 449.323 -0.105 20 0 IBADRN O=C(c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1)N1C[C@H](O)[C@H](CO)C1 ZINC001451179260 1074457222 /nfs/dbraw/zinc/45/72/22/1074457222.db2.gz NJGSLGZELURFBW-JSGCOSHPSA-N 0 0 449.323 -0.105 20 0 IBADRN O=C(c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1)N1C[C@H](O)[C@@H](CO)C1 ZINC001451179265 1074457196 /nfs/dbraw/zinc/45/71/96/1074457196.db2.gz NJGSLGZELURFBW-OCCSQVGLSA-N 0 0 449.323 -0.105 20 0 IBADRN O=C(c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1)N1C[C@H](CO)[C@H](O)C1 ZINC001451179269 1074457271 /nfs/dbraw/zinc/45/72/71/1074457271.db2.gz NJGSLGZELURFBW-TZMCWYRMSA-N 0 0 449.323 -0.105 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@@H](O)[C@H](CO)C1 ZINC001451180584 1074457206 /nfs/dbraw/zinc/45/72/06/1074457206.db2.gz PGOWRXFZVKXJGM-DOTOQJQBSA-N 0 0 425.507 -0.889 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@H](CO)[C@H](O)C1 ZINC001451180590 1074457284 /nfs/dbraw/zinc/45/72/84/1074457284.db2.gz PGOWRXFZVKXJGM-NVXWUHKLSA-N 0 0 425.507 -0.889 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@H](O)[C@H](CO)C1 ZINC001451180596 1074457296 /nfs/dbraw/zinc/45/72/96/1074457296.db2.gz PGOWRXFZVKXJGM-RDJZCZTQSA-N 0 0 425.507 -0.889 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1C[C@H](O)[C@@H](CO)C1 ZINC001451180602 1074457214 /nfs/dbraw/zinc/45/72/14/1074457214.db2.gz PGOWRXFZVKXJGM-WBVHZDCISA-N 0 0 425.507 -0.889 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@H](CO)[C@H](O)C1 ZINC001451181079 1074457244 /nfs/dbraw/zinc/45/72/44/1074457244.db2.gz QEXINALNCSACJG-GDBMZVCRSA-N 0 0 426.491 -0.330 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@@H](O)[C@H](CO)C1 ZINC001451181082 1074457168 /nfs/dbraw/zinc/45/71/68/1074457168.db2.gz QEXINALNCSACJG-GOEBONIOSA-N 0 0 426.491 -0.330 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@H](O)[C@H](CO)C1 ZINC001451181084 1074457278 /nfs/dbraw/zinc/45/72/78/1074457278.db2.gz QEXINALNCSACJG-HOCLYGCPSA-N 0 0 426.491 -0.330 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1C[C@H](O)[C@@H](CO)C1 ZINC001451181086 1074457155 /nfs/dbraw/zinc/45/71/55/1074457155.db2.gz QEXINALNCSACJG-ZBFHGGJFSA-N 0 0 426.491 -0.330 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCN(CC(N)=O)C(=O)C3)n(C)c2)C1 ZINC001451188735 1074457758 /nfs/dbraw/zinc/45/77/58/1074457758.db2.gz BPXKUZTXRLUNNJ-CYBMUJFWSA-N 0 0 425.511 -0.785 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCN(CC(N)=O)C(=O)C3)n(C)c2)C1 ZINC001451188737 1074457654 /nfs/dbraw/zinc/45/76/54/1074457654.db2.gz BPXKUZTXRLUNNJ-ZDUSSCGKSA-N 0 0 425.511 -0.785 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2ccc3c(c2)S(=O)(=O)c2ccccc2C3=O)CC1=O ZINC001451189089 1074457750 /nfs/dbraw/zinc/45/77/50/1074457750.db2.gz DJMBUCVPOPFJQI-UHFFFAOYSA-N 0 0 427.438 -0.167 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001451189361 1074457788 /nfs/dbraw/zinc/45/77/88/1074457788.db2.gz FKQMKJSFZIEEIS-AWEZNQCLSA-N 0 0 428.536 -0.757 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001451189684 1074457765 /nfs/dbraw/zinc/45/77/65/1074457765.db2.gz FKQMKJSFZIEEIS-CQSZACIVSA-N 0 0 428.536 -0.757 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCn2cnc3ccc(Br)cc3c2=O)CC1=O ZINC001451189818 1074457745 /nfs/dbraw/zinc/45/77/45/1074457745.db2.gz FVQDDBCOIMMWTH-UHFFFAOYSA-N 0 0 436.266 -0.295 20 0 IBADRN NC(=O)CN1CCN(C(=O)CS(=O)(=O)Cc2cccc(Br)c2)CC1=O ZINC001451190694 1074457717 /nfs/dbraw/zinc/45/77/17/1074457717.db2.gz GLIVPSGRVPEOAD-UHFFFAOYSA-N 0 0 432.296 -0.480 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCC(=O)N1CCN(CC(N)=O)C(=O)C1)CC2 ZINC001451190703 1074457794 /nfs/dbraw/zinc/45/77/94/1074457794.db2.gz GPZMQWXFQZXCAG-UHFFFAOYSA-N 0 0 432.477 -0.475 20 0 IBADRN CCc1ccc(C(=O)N2CCN(CC(N)=O)C(=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001451191252 1074457819 /nfs/dbraw/zinc/45/78/19/1074457819.db2.gz HVDLSPBFPILXFY-UHFFFAOYSA-N 0 0 438.506 -0.960 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N2CCN(CC(N)=O)C(=O)C2)c1 ZINC001451191390 1074457773 /nfs/dbraw/zinc/45/77/73/1074457773.db2.gz ICDSQBLHKHPLQE-UHFFFAOYSA-N 0 0 433.284 -0.873 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@@H](C(=O)N3CCN(CC(N)=O)C(=O)C3)C2)s1 ZINC001451192904 1074457802 /nfs/dbraw/zinc/45/78/02/1074457802.db2.gz KYHLVYIUJGJNPL-CYBMUJFWSA-N 0 0 428.536 -0.387 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H](C(=O)N3CCN(CC(N)=O)C(=O)C3)C2)s1 ZINC001451192909 1074457828 /nfs/dbraw/zinc/45/78/28/1074457828.db2.gz KYHLVYIUJGJNPL-ZDUSSCGKSA-N 0 0 428.536 -0.387 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCc2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1=O ZINC001451193628 1074457694 /nfs/dbraw/zinc/45/76/94/1074457694.db2.gz MYODCVCFAAQHTE-UHFFFAOYSA-N 0 0 436.534 -0.050 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2c(Cl)cccc2Cl)CC1=O ZINC001451193952 1074457668 /nfs/dbraw/zinc/45/76/68/1074457668.db2.gz NMPMNGKAYMMNCM-UHFFFAOYSA-N 0 0 437.305 -0.182 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2CCN(CC(N)=O)C(=O)C2)(n2cccn2)CC1 ZINC001451194007 1074457686 /nfs/dbraw/zinc/45/76/86/1074457686.db2.gz NSJRYNOJENXPQO-UHFFFAOYSA-N 0 0 434.497 -0.235 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCSCC2)CC1=O ZINC001451197000 1074457810 /nfs/dbraw/zinc/45/78/10/1074457810.db2.gz UISCWWHCQFICCH-UHFFFAOYSA-N 0 0 432.549 -0.745 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)N2CCN(CC(N)=O)C(=O)C2)C1=O ZINC001451197108 1074457712 /nfs/dbraw/zinc/45/77/12/1074457712.db2.gz VRZQUECSEABDTJ-GOSISDBHSA-N 0 0 439.831 -0.598 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)N2CCN(CC(N)=O)C(=O)C2)C1=O ZINC001451197115 1074457703 /nfs/dbraw/zinc/45/77/03/1074457703.db2.gz VRZQUECSEABDTJ-SFHVURJKSA-N 0 0 439.831 -0.598 20 0 IBADRN NC(=O)CN1CCN(C(=O)C2CCN(S(=O)(=O)c3cccnc3Cl)CC2)CC1=O ZINC001451197574 1074457781 /nfs/dbraw/zinc/45/77/81/1074457781.db2.gz XSTDXJDKTVUEFG-UHFFFAOYSA-N 0 0 443.913 -0.708 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)c1cnn2c1S(=O)(=O)N(C)CC2)C1CCCC1 ZINC001451219478 1074458419 /nfs/dbraw/zinc/45/84/19/1074458419.db2.gz KBRDAIUBJOBQDG-AWEZNQCLSA-N 0 0 448.571 -0.798 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)c1cnn2c1S(=O)(=O)N(C)CC2)C1CCCC1 ZINC001451219487 1074458251 /nfs/dbraw/zinc/45/82/51/1074458251.db2.gz KBRDAIUBJOBQDG-CQSZACIVSA-N 0 0 448.571 -0.798 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C1CCCC1 ZINC001451219575 1074458375 /nfs/dbraw/zinc/45/83/75/1074458375.db2.gz KKWMOPFACIFZKD-HNNXBMFYSA-N 0 0 435.572 -0.050 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)c[nH]1)C1CCCC1 ZINC001451219581 1074458312 /nfs/dbraw/zinc/45/83/12/1074458312.db2.gz KKWMOPFACIFZKD-OAHLLOKOSA-N 0 0 435.572 -0.050 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001451223822 1074458366 /nfs/dbraw/zinc/45/83/66/1074458366.db2.gz QNWKBMFAUMOTMN-HNNXBMFYSA-N 0 0 439.604 -0.766 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001451223823 1074458342 /nfs/dbraw/zinc/45/83/42/1074458342.db2.gz QNWKBMFAUMOTMN-OAHLLOKOSA-N 0 0 439.604 -0.766 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC001451227036 1074458401 /nfs/dbraw/zinc/45/84/01/1074458401.db2.gz RPJSVTYDRVSMNO-UHFFFAOYSA-N 0 0 436.531 -0.119 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)CN(C)C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001451228141 1074458329 /nfs/dbraw/zinc/45/83/29/1074458329.db2.gz VLNNNQYVQOQANP-UHFFFAOYSA-N 0 0 443.551 -0.546 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC001451228264 1074458428 /nfs/dbraw/zinc/45/84/28/1074458428.db2.gz HTMJWQLJDLTCER-AWEZNQCLSA-N 0 0 448.567 -0.654 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC001451228265 1074458288 /nfs/dbraw/zinc/45/82/88/1074458288.db2.gz HTMJWQLJDLTCER-CQSZACIVSA-N 0 0 448.567 -0.654 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)CN(C)S(=O)(=O)c1cccnc1)C1CCCC1 ZINC001451231397 1074458241 /nfs/dbraw/zinc/45/82/41/1074458241.db2.gz NRGFCJDZUDOVFI-INIZCTEOSA-N 0 0 447.583 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)CN(C)S(=O)(=O)c1cccnc1)C1CCCC1 ZINC001451231399 1074458335 /nfs/dbraw/zinc/45/83/35/1074458335.db2.gz NRGFCJDZUDOVFI-MRXNPFEDSA-N 0 0 447.583 -0.227 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C1CCCC1 ZINC001451233014 1074458276 /nfs/dbraw/zinc/45/82/76/1074458276.db2.gz RFYCDISFHSKWEX-KBXCAEBGSA-N 0 0 429.543 -0.222 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C1CCCC1 ZINC001451233023 1074458357 /nfs/dbraw/zinc/45/83/57/1074458357.db2.gz RFYCDISFHSKWEX-KDOFPFPSSA-N 0 0 429.543 -0.222 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)C1CCCC1 ZINC001451233030 1074458247 /nfs/dbraw/zinc/45/82/47/1074458247.db2.gz RFYCDISFHSKWEX-KSSFIOAISA-N 0 0 429.543 -0.222 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)C1CCCC1 ZINC001451233038 1074458325 /nfs/dbraw/zinc/45/83/25/1074458325.db2.gz RFYCDISFHSKWEX-RDTXWAMCSA-N 0 0 429.543 -0.222 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)N3CC(S(N)(=O)=O)C3)CC2)c1 ZINC001451281017 1074458381 /nfs/dbraw/zinc/45/83/81/1074458381.db2.gz JQMSSWLIAOQCPB-UHFFFAOYSA-N 0 0 429.520 -0.211 20 0 IBADRN NS(=O)(=O)C1CN(C(=O)c2cc(I)ccc2-n2cnnn2)C1 ZINC001451281701 1074458283 /nfs/dbraw/zinc/45/82/83/1074458283.db2.gz KZSVBSNJCQQDEN-UHFFFAOYSA-N 0 0 434.219 -0.620 20 0 IBADRN NS(=O)(=O)C1CN(C(=O)C2CCN(c3ccc4nnc(C(F)(F)F)n4n3)CC2)C1 ZINC001451281798 1074458256 /nfs/dbraw/zinc/45/82/56/1074458256.db2.gz LCCDRVLTXTUFJC-UHFFFAOYSA-N 0 0 433.416 -0.141 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)COCC(=O)NCc1cccnc1 ZINC001451329837 1074459007 /nfs/dbraw/zinc/45/90/07/1074459007.db2.gz SYJHYRMBQIPXEO-HNNXBMFYSA-N 0 0 441.506 -0.318 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)COCC(=O)NCc1cccnc1 ZINC001451329838 1074458985 /nfs/dbraw/zinc/45/89/85/1074458985.db2.gz SYJHYRMBQIPXEO-OAHLLOKOSA-N 0 0 441.506 -0.318 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN(CCO)C[C@@H]3C)c2)CC1 ZINC001451358585 1074458961 /nfs/dbraw/zinc/45/89/61/1074458961.db2.gz XGIJSDFQTDRMHA-INIZCTEOSA-N 0 0 438.550 -0.322 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCN(CCO)C[C@H]3C)c2)CC1 ZINC001451358587 1074459019 /nfs/dbraw/zinc/45/90/19/1074459019.db2.gz XGIJSDFQTDRMHA-MRXNPFEDSA-N 0 0 438.550 -0.322 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC001451416500 1074458951 /nfs/dbraw/zinc/45/89/51/1074458951.db2.gz GCIXWBPDXSSPEI-IBGZPJMESA-N 0 0 426.514 -0.592 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@](C)(O)CN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC001451416502 1074459030 /nfs/dbraw/zinc/45/90/30/1074459030.db2.gz GCIXWBPDXSSPEI-LJQANCHMSA-N 0 0 426.514 -0.592 20 0 IBADRN COCC(COC)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)CC1 ZINC001451418711 1074458992 /nfs/dbraw/zinc/45/89/92/1074458992.db2.gz JLBUCAVJSDKSMR-HNNXBMFYSA-N 0 0 429.539 -0.235 20 0 IBADRN COCC(COC)N1CCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)CC1 ZINC001451418713 1074458940 /nfs/dbraw/zinc/45/89/40/1074458940.db2.gz JLBUCAVJSDKSMR-OAHLLOKOSA-N 0 0 429.539 -0.235 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001451424682 1074458913 /nfs/dbraw/zinc/45/89/13/1074458913.db2.gz UAZPKKSJGBRYCQ-INIZCTEOSA-N 0 0 428.530 -0.130 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001451424689 1074458973 /nfs/dbraw/zinc/45/89/73/1074458973.db2.gz UAZPKKSJGBRYCQ-MRXNPFEDSA-N 0 0 428.530 -0.130 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC001451425586 1074458977 /nfs/dbraw/zinc/45/89/77/1074458977.db2.gz WTBNEOYNVFIZNL-AWEZNQCLSA-N 0 0 442.538 -0.742 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2ccc(C(N)=O)cc2)CC1 ZINC001451425592 1074458932 /nfs/dbraw/zinc/45/89/32/1074458932.db2.gz WTBNEOYNVFIZNL-CQSZACIVSA-N 0 0 442.538 -0.742 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N(CCN2CCOCC2)Cc2ccco2)cn1C ZINC001451469465 1074458999 /nfs/dbraw/zinc/45/89/99/1074458999.db2.gz IISOYKARCVNXJQ-UHFFFAOYSA-N 0 0 425.511 -0.039 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(S(C)(=O)=O)C1 ZINC001451476200 1074458906 /nfs/dbraw/zinc/45/89/06/1074458906.db2.gz YCFJGXZINIHIKX-AWEZNQCLSA-N 0 0 438.549 -0.976 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(S(C)(=O)=O)C1 ZINC001451476206 1074458928 /nfs/dbraw/zinc/45/89/28/1074458928.db2.gz YCFJGXZINIHIKX-CQSZACIVSA-N 0 0 438.549 -0.976 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@H](O)[C@@H](CO)C3)c2)CC1 ZINC001451592048 1074458958 /nfs/dbraw/zinc/45/89/58/1074458958.db2.gz LBPPQNKGMPVXOF-AEFFLSMTSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@H](O)[C@H](CO)C3)c2)CC1 ZINC001451592065 1074458924 /nfs/dbraw/zinc/45/89/24/1074458924.db2.gz LBPPQNKGMPVXOF-FUHWJXTLSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@@H](O)[C@@H](CO)C3)c2)CC1 ZINC001451592082 1074458891 /nfs/dbraw/zinc/45/88/91/1074458891.db2.gz LBPPQNKGMPVXOF-SJLPKXTDSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC[C@H](O)[C@H](CO)C3)c2)CC1 ZINC001451592102 1074459548 /nfs/dbraw/zinc/45/95/48/1074459548.db2.gz LBPPQNKGMPVXOF-WMZOPIPTSA-N 0 0 425.507 -0.645 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCC(C)(C)S(C)(=O)=O)s1 ZINC001451592322 1074458980 /nfs/dbraw/zinc/45/89/80/1074458980.db2.gz TZOXIDUWPMMKCV-UHFFFAOYSA-N 0 0 439.581 -0.008 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@@H](O)[C@H](CO)C2)o1 ZINC001451612902 1074459599 /nfs/dbraw/zinc/45/95/99/1074459599.db2.gz GIZGDZDQMUKXIW-BFHYXJOUSA-N 0 0 430.479 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@H](O)[C@@H](CO)C2)o1 ZINC001451612906 1074459489 /nfs/dbraw/zinc/45/94/89/1074459489.db2.gz GIZGDZDQMUKXIW-MCIONIFRSA-N 0 0 430.479 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@@H](O)[C@@H](CO)C2)o1 ZINC001451612912 1074459634 /nfs/dbraw/zinc/45/96/34/1074459634.db2.gz GIZGDZDQMUKXIW-MGPQQGTHSA-N 0 0 430.479 -0.189 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC[C@H](O)[C@H](CO)C2)o1 ZINC001451612916 1074459604 /nfs/dbraw/zinc/45/96/04/1074459604.db2.gz GIZGDZDQMUKXIW-MJBXVCDLSA-N 0 0 430.479 -0.189 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CC[C@H](O)[C@H](CO)C3)CS(=O)(=O)[C@@H]2C1 ZINC001451621718 1074459505 /nfs/dbraw/zinc/45/95/05/1074459505.db2.gz NHVPOOCXBYQJOU-DGADGQDISA-N 0 0 432.539 -0.142 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CC[C@H](O)[C@@H](CO)C3)CS(=O)(=O)[C@@H]2C1 ZINC001451621727 1074459584 /nfs/dbraw/zinc/45/95/84/1074459584.db2.gz NHVPOOCXBYQJOU-DGXTUMSLSA-N 0 0 432.539 -0.142 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CC[C@H](O)[C@H](CO)C1)CS2(=O)=O ZINC001451621734 1074459688 /nfs/dbraw/zinc/45/96/88/1074459688.db2.gz NHVPOOCXBYQJOU-LEOABGAYSA-N 0 0 432.539 -0.142 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CC[C@H](O)[C@@H](CO)C1)CS2(=O)=O ZINC001451621743 1074459519 /nfs/dbraw/zinc/45/95/19/1074459519.db2.gz NHVPOOCXBYQJOU-SUJAAXHWSA-N 0 0 432.539 -0.142 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@H](O)[C@@H](CO)C1 ZINC001451623294 1074459693 /nfs/dbraw/zinc/45/96/93/1074459693.db2.gz OHYXDMKJJCPBKB-BMFZPTHFSA-N 0 0 428.507 -0.570 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001451623307 1074459589 /nfs/dbraw/zinc/45/95/89/1074459589.db2.gz OHYXDMKJJCPBKB-FVQBIDKESA-N 0 0 428.507 -0.570 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@H](O)[C@H](CO)C1 ZINC001451623314 1074459645 /nfs/dbraw/zinc/45/96/45/1074459645.db2.gz OHYXDMKJJCPBKB-IMJJTQAJSA-N 0 0 428.507 -0.570 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC[C@@H](O)[C@H](CO)C1 ZINC001451623319 1074459627 /nfs/dbraw/zinc/45/96/27/1074459627.db2.gz OHYXDMKJJCPBKB-NUEKZKHPSA-N 0 0 428.507 -0.570 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)N2CC3(C2)CN(C)C(=O)O3)cc1C ZINC001451684527 1074460026 /nfs/dbraw/zinc/46/00/26/1074460026.db2.gz YTLCPUAWPONCLV-HNNXBMFYSA-N 0 0 438.506 -0.121 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)N2CC3(C2)CN(C)C(=O)O3)cc1C ZINC001451684621 1074460104 /nfs/dbraw/zinc/46/01/04/1074460104.db2.gz YTLCPUAWPONCLV-OAHLLOKOSA-N 0 0 438.506 -0.121 20 0 IBADRN Cn1cc(C[C@@H](CO)NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)cn1 ZINC001451794960 1074460157 /nfs/dbraw/zinc/46/01/57/1074460157.db2.gz JFFSVEYXXGFJKA-AWEZNQCLSA-N 0 0 428.536 -0.235 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)Cc2ccc(S(=O)(=O)N3CCOCC3)s2)cn1 ZINC001451794967 1074460006 /nfs/dbraw/zinc/46/00/06/1074460006.db2.gz JFFSVEYXXGFJKA-CQSZACIVSA-N 0 0 428.536 -0.235 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)cn1 ZINC001451796208 1074460078 /nfs/dbraw/zinc/46/00/78/1074460078.db2.gz LOMZFDAGYRMXIC-CRAIPNDOSA-N 0 0 426.477 -0.011 20 0 IBADRN Cn1cc(C[C@@H](CO)NC(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)cn1 ZINC001451796215 1074460019 /nfs/dbraw/zinc/46/00/19/1074460019.db2.gz LOMZFDAGYRMXIC-MAUKXSAKSA-N 0 0 426.477 -0.011 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)cn1 ZINC001451796219 1074460187 /nfs/dbraw/zinc/46/01/87/1074460187.db2.gz LOMZFDAGYRMXIC-QAPCUYQASA-N 0 0 426.477 -0.011 20 0 IBADRN Cn1cc(C[C@@H](CO)NC(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)cn1 ZINC001451796222 1074460112 /nfs/dbraw/zinc/46/01/12/1074460112.db2.gz LOMZFDAGYRMXIC-YJBOKZPZSA-N 0 0 426.477 -0.011 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(Cc3cn[nH]c3)CC2)c1 ZINC001451798794 1074460035 /nfs/dbraw/zinc/46/00/35/1074460035.db2.gz HXXDPDDVVRCINS-UHFFFAOYSA-N 0 0 436.538 -0.054 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001451806326 1074460178 /nfs/dbraw/zinc/46/01/78/1074460178.db2.gz ITIAIMGJNJOSKV-INIZCTEOSA-N 0 0 439.490 -0.162 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)CCNS(=O)(=O)c1ccc(C(C)=O)cc1 ZINC001451806336 1074460066 /nfs/dbraw/zinc/46/00/66/1074460066.db2.gz ITIAIMGJNJOSKV-MRXNPFEDSA-N 0 0 439.490 -0.162 20 0 IBADRN CC1(C)CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@H](CO)O1 ZINC001451806495 1074460083 /nfs/dbraw/zinc/46/00/83/1074460083.db2.gz HWSWZHHJGYRJMV-GDBMZVCRSA-N 0 0 448.563 -0.234 20 0 IBADRN CC1(C)CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@@H](CO)O1 ZINC001451806502 1074460853 /nfs/dbraw/zinc/46/08/53/1074460853.db2.gz HWSWZHHJGYRJMV-GOEBONIOSA-N 0 0 448.563 -0.234 20 0 IBADRN CC1(C)CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@@H](CO)O1 ZINC001451806508 1074460871 /nfs/dbraw/zinc/46/08/71/1074460871.db2.gz HWSWZHHJGYRJMV-HOCLYGCPSA-N 0 0 448.563 -0.234 20 0 IBADRN CC1(C)CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C[C@H](CO)O1 ZINC001451806517 1074460836 /nfs/dbraw/zinc/46/08/36/1074460836.db2.gz HWSWZHHJGYRJMV-ZBFHGGJFSA-N 0 0 448.563 -0.234 20 0 IBADRN CC(C)(C)OC(=O)NCC1(O)CCN(C(=O)CN2CCN(S(C)(=O)=O)CC2)CC1 ZINC001451808913 1074460847 /nfs/dbraw/zinc/46/08/47/1074460847.db2.gz KXDQNEYNYRNZOJ-UHFFFAOYSA-N 0 0 434.559 -0.558 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC3(C2)CNC(=O)O3)o1 ZINC001451859285 1074460802 /nfs/dbraw/zinc/46/08/02/1074460802.db2.gz NKEHJOSVTQMHTB-LLVKDONJSA-N 0 0 427.435 -0.070 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC3(C2)CNC(=O)O3)o1 ZINC001451859286 1074460782 /nfs/dbraw/zinc/46/07/82/1074460782.db2.gz NKEHJOSVTQMHTB-NSHDSACASA-N 0 0 427.435 -0.070 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC3(C2)CNC(=O)O3)c1OC ZINC001451862968 1074460861 /nfs/dbraw/zinc/46/08/61/1074460861.db2.gz UWRSLJNSBYGCPV-UHFFFAOYSA-N 0 0 441.462 -0.341 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CC2(C1)CNC(=O)O2 ZINC001451863009 1074460810 /nfs/dbraw/zinc/46/08/10/1074460810.db2.gz VEYGHOOKMAUCDL-CYBMUJFWSA-N 0 0 425.463 -0.451 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC2(C1)CNC(=O)O2 ZINC001451863010 1074460841 /nfs/dbraw/zinc/46/08/41/1074460841.db2.gz VEYGHOOKMAUCDL-ZDUSSCGKSA-N 0 0 425.463 -0.451 20 0 IBADRN CCC(=O)N1CCN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001451888119 1074460818 /nfs/dbraw/zinc/46/08/18/1074460818.db2.gz YWNVIGRRLOEVGA-HNNXBMFYSA-N 0 0 431.536 -0.194 20 0 IBADRN CCC(=O)N1CCN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001451888122 1074460892 /nfs/dbraw/zinc/46/08/92/1074460892.db2.gz YWNVIGRRLOEVGA-OAHLLOKOSA-N 0 0 431.536 -0.194 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)cn1 ZINC001451963292 1074460793 /nfs/dbraw/zinc/46/07/93/1074460793.db2.gz BUOVYEZPLDKKTR-UHFFFAOYSA-N 0 0 438.531 -0.111 20 0 IBADRN Cc1cc(C(=O)N2CCC(NC(=O)N3CCOCC3)CC2)nc2c1c(=O)n(C)c(=O)n2C ZINC001451966537 1074460804 /nfs/dbraw/zinc/46/08/04/1074460804.db2.gz BQCYJXGYWPPYAW-UHFFFAOYSA-N 0 0 444.492 -0.413 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)C1 ZINC001451969037 1074460888 /nfs/dbraw/zinc/46/08/88/1074460888.db2.gz RMTSKXSFJDUGCB-KRWDZBQOSA-N 0 0 444.579 -0.551 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)C1 ZINC001451969043 1074460866 /nfs/dbraw/zinc/46/08/66/1074460866.db2.gz RMTSKXSFJDUGCB-QGZVFWFLSA-N 0 0 444.579 -0.551 20 0 IBADRN CN1CC[C@@H](CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001451971225 1074461506 /nfs/dbraw/zinc/46/15/06/1074461506.db2.gz MMZMFDZASMFXBM-GDBMZVCRSA-N 0 0 445.563 -0.247 20 0 IBADRN CN1CC[C@H](CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001451971230 1074461358 /nfs/dbraw/zinc/46/13/58/1074461358.db2.gz MMZMFDZASMFXBM-GOEBONIOSA-N 0 0 445.563 -0.247 20 0 IBADRN CN1CC[C@H](CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001451971237 1074461304 /nfs/dbraw/zinc/46/13/04/1074461304.db2.gz MMZMFDZASMFXBM-HOCLYGCPSA-N 0 0 445.563 -0.247 20 0 IBADRN CN1CC[C@@H](CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001451971242 1074461382 /nfs/dbraw/zinc/46/13/82/1074461382.db2.gz MMZMFDZASMFXBM-ZBFHGGJFSA-N 0 0 445.563 -0.247 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCC(NC(=O)N4CCOCC4)CC3)CC2=O)cn1 ZINC001451971283 1074461417 /nfs/dbraw/zinc/46/14/17/1074461417.db2.gz MTMZAPONSXSTQU-INIZCTEOSA-N 0 0 448.524 -0.085 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCC(NC(=O)N4CCOCC4)CC3)CC2=O)cn1 ZINC001451971337 1074461350 /nfs/dbraw/zinc/46/13/50/1074461350.db2.gz MTMZAPONSXSTQU-MRXNPFEDSA-N 0 0 448.524 -0.085 20 0 IBADRN CN(C)C(=O)Cn1cc(C(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)nn1 ZINC001451974517 1074461317 /nfs/dbraw/zinc/46/13/17/1074461317.db2.gz NSOUVDNUXIOQET-UHFFFAOYSA-N 0 0 434.522 -0.473 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC(NC(=O)N3CCOCC3)CC2)cc1 ZINC001451976316 1074461365 /nfs/dbraw/zinc/46/13/65/1074461365.db2.gz YPBKNSDFUWNJKF-UHFFFAOYSA-N 0 0 438.506 -0.408 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)NCC(=O)N=S(C)(C)=O)cc2)CC1 ZINC001451976459 1074461404 /nfs/dbraw/zinc/46/14/04/1074461404.db2.gz FNUNUYBZHRCZQS-UHFFFAOYSA-N 0 0 444.535 -0.477 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)NCc1ccc(CN2CCS(=O)(=O)CC2)cc1 ZINC001451978714 1074461291 /nfs/dbraw/zinc/46/12/91/1074461291.db2.gz VXXPMLZURBXJLD-UHFFFAOYSA-N 0 0 438.550 -0.434 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NCC(=O)N=S(C)(C)=O)C1 ZINC001451983050 1074461333 /nfs/dbraw/zinc/46/13/33/1074461333.db2.gz QQEGGEOSVIFZPY-BDJLRTHQSA-N 0 0 437.540 -0.362 20 0 IBADRN CN1C(=O)NCC12CCN(C(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)CC2 ZINC001452030643 1074461428 /nfs/dbraw/zinc/46/14/28/1074461428.db2.gz NFCANYBZAGFJHP-ZBFHGGJFSA-N 0 0 440.497 -0.034 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001452031015 1074461263 /nfs/dbraw/zinc/46/12/63/1074461263.db2.gz RZNXAEKSIFCFPP-LSDHHAIUSA-N 0 0 444.558 -0.023 20 0 IBADRN CN1C(=O)NCC12CCN(C(=O)[C@@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC001452035649 1074461512 /nfs/dbraw/zinc/46/15/12/1074461512.db2.gz UMYUIAPYEGEETR-CYBMUJFWSA-N 0 0 435.506 -0.297 20 0 IBADRN CN1C(=O)NCC12CCN(C(=O)[C@H]1CC(=O)N(c3cccc(S(N)(=O)=O)c3)C1)CC2 ZINC001452035654 1074461444 /nfs/dbraw/zinc/46/14/44/1074461444.db2.gz UMYUIAPYEGEETR-ZDUSSCGKSA-N 0 0 435.506 -0.297 20 0 IBADRN CNC(=O)NCCNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001452073374 1074461390 /nfs/dbraw/zinc/46/13/90/1074461390.db2.gz XFUBJZKAYRWAPY-UHFFFAOYSA-N 0 0 426.495 -0.096 20 0 IBADRN COc1ccc(C(=O)N2CCC(OC)(OC)[C@@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001452106614 1074461489 /nfs/dbraw/zinc/46/14/89/1074461489.db2.gz AYIONJNRLCCKRY-KRWDZBQOSA-N 0 0 444.506 -0.088 20 0 IBADRN COc1ccc(C(=O)N2CCC(OC)(OC)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001452106626 1074461458 /nfs/dbraw/zinc/46/14/58/1074461458.db2.gz AYIONJNRLCCKRY-QGZVFWFLSA-N 0 0 444.506 -0.088 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(OC)(OC)[C@@H](O)C2)c1 ZINC001452117856 1074461473 /nfs/dbraw/zinc/46/14/73/1074461473.db2.gz NMAYVTYCFKFZPJ-HNNXBMFYSA-N 0 0 431.511 -0.539 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCC(OC)(OC)[C@H](O)C2)c1 ZINC001452117857 1074461988 /nfs/dbraw/zinc/46/19/88/1074461988.db2.gz NMAYVTYCFKFZPJ-OAHLLOKOSA-N 0 0 431.511 -0.539 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@H](C(=O)NCc2ccccc2)C1 ZINC001452144972 1074461879 /nfs/dbraw/zinc/46/18/79/1074461879.db2.gz AYZPPFUTBLNPKS-KRWDZBQOSA-N 0 0 438.550 -0.015 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC[C@@H](C(=O)NCc2ccccc2)C1 ZINC001452144981 1074461982 /nfs/dbraw/zinc/46/19/82/1074461982.db2.gz AYZPPFUTBLNPKS-QGZVFWFLSA-N 0 0 438.550 -0.015 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)cc1 ZINC001452168341 1074461882 /nfs/dbraw/zinc/46/18/82/1074461882.db2.gz WWLNVLZYEBLECU-UHFFFAOYSA-N 0 0 447.517 -0.244 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@H]3CCCCN3C(=O)C(F)(F)F)c2c(=O)n(C)c1=O ZINC001452184287 1074461973 /nfs/dbraw/zinc/46/19/73/1074461973.db2.gz DCXHIMGTTVGCLS-LLVKDONJSA-N 0 0 444.414 -0.117 20 0 IBADRN Cn1c2ncn(CCC(=O)NC[C@@H]3CCCCN3C(=O)C(F)(F)F)c2c(=O)n(C)c1=O ZINC001452184291 1074461961 /nfs/dbraw/zinc/46/19/61/1074461961.db2.gz DCXHIMGTTVGCLS-NSHDSACASA-N 0 0 444.414 -0.117 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1cn(C)cn1)Cc1ccc(OC)c(F)c1 ZINC001452232377 1074461997 /nfs/dbraw/zinc/46/19/97/1074461997.db2.gz JUPDKIBOSOJWFQ-CYBMUJFWSA-N 0 0 441.485 -0.433 20 0 IBADRN CNC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1cn(C)cn1)Cc1ccc(OC)c(F)c1 ZINC001452232380 1074461927 /nfs/dbraw/zinc/46/19/27/1074461927.db2.gz JUPDKIBOSOJWFQ-ZDUSSCGKSA-N 0 0 441.485 -0.433 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001452248805 1074461891 /nfs/dbraw/zinc/46/18/91/1074461891.db2.gz DHYJZXODFPSBPZ-KRWDZBQOSA-N 0 0 445.519 -0.661 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001452248816 1074461993 /nfs/dbraw/zinc/46/19/93/1074461993.db2.gz DHYJZXODFPSBPZ-QGZVFWFLSA-N 0 0 445.519 -0.661 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001452251748 1074461978 /nfs/dbraw/zinc/46/19/78/1074461978.db2.gz HLVRRDVMJWVQDV-KRWDZBQOSA-N 0 0 430.504 -0.416 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001452251770 1074461905 /nfs/dbraw/zinc/46/19/05/1074461905.db2.gz HLVRRDVMJWVQDV-QGZVFWFLSA-N 0 0 430.504 -0.416 20 0 IBADRN CNC(=O)[C@H](CNC(=O)CNS(=O)(=O)c1cn(C)cn1)Cc1ccc(F)cc1C ZINC001452251909 1074461937 /nfs/dbraw/zinc/46/19/37/1074461937.db2.gz DKZWTNKBLAYSNL-AWEZNQCLSA-N 0 0 425.486 -0.133 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)CNS(=O)(=O)c1cn(C)cn1)Cc1ccc(F)cc1C ZINC001452251913 1074461941 /nfs/dbraw/zinc/46/19/41/1074461941.db2.gz DKZWTNKBLAYSNL-CQSZACIVSA-N 0 0 425.486 -0.133 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001452256005 1074462723 /nfs/dbraw/zinc/46/27/23/1074462723.db2.gz MQIQABIXXKTGAK-GOSISDBHSA-N 0 0 444.531 -0.026 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001452256012 1074462646 /nfs/dbraw/zinc/46/26/46/1074462646.db2.gz MQIQABIXXKTGAK-SFHVURJKSA-N 0 0 444.531 -0.026 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001452262977 1074462698 /nfs/dbraw/zinc/46/26/98/1074462698.db2.gz ZSDJMZQVFMANRT-KBXCAEBGSA-N 0 0 443.909 -0.270 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001452262978 1074462734 /nfs/dbraw/zinc/46/27/34/1074462734.db2.gz ZSDJMZQVFMANRT-KDOFPFPSSA-N 0 0 443.909 -0.270 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001452262979 1074462610 /nfs/dbraw/zinc/46/26/10/1074462610.db2.gz ZSDJMZQVFMANRT-KSSFIOAISA-N 0 0 443.909 -0.270 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001452262980 1074462642 /nfs/dbraw/zinc/46/26/42/1074462642.db2.gz ZSDJMZQVFMANRT-RDTXWAMCSA-N 0 0 443.909 -0.270 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCC3N=NC(=O)N3C)ccc2F)CC1 ZINC001452472812 1074462635 /nfs/dbraw/zinc/46/26/35/1074462635.db2.gz QDKIWQJOVBAVDQ-UHFFFAOYSA-N 0 0 440.457 -0.557 20 0 IBADRN COc1ccc(CC(=O)N[C@]2(C(N)=O)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001452486203 1074462685 /nfs/dbraw/zinc/46/26/85/1074462685.db2.gz CVINOGCAOFVPRI-GOSISDBHSA-N 0 0 427.479 -0.981 20 0 IBADRN COc1ccc(CC(=O)N[C@@]2(C(N)=O)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001452486207 1074462671 /nfs/dbraw/zinc/46/26/71/1074462671.db2.gz CVINOGCAOFVPRI-SFHVURJKSA-N 0 0 427.479 -0.981 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)N[C@@]2(C(N)=O)CCOC2)c(=O)n(-c2ccccc2)n1C ZINC001452486265 1074462712 /nfs/dbraw/zinc/46/27/12/1074462712.db2.gz DFFNOZGCDHXQGW-FQEVSTJZSA-N 0 0 447.517 -0.083 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)N[C@]2(C(N)=O)CCOC2)c(=O)n(-c2ccccc2)n1C ZINC001452486272 1074462718 /nfs/dbraw/zinc/46/27/18/1074462718.db2.gz DFFNOZGCDHXQGW-HXUWFJFHSA-N 0 0 447.517 -0.083 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC2(CS(C)(=O)=O)COC2)cc1OC ZINC001452492226 1074462705 /nfs/dbraw/zinc/46/27/05/1074462705.db2.gz DKUZSEBGFAWWAH-UHFFFAOYSA-N 0 0 436.508 -0.698 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N[C@]2(C(N)=O)CCOC2)C1=O ZINC001452492928 1074462691 /nfs/dbraw/zinc/46/26/91/1074462691.db2.gz GREXDOPHDIJNDM-DLBZAZTESA-N 0 0 439.266 -0.023 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N[C@]2(C(N)=O)CCOC2)C1=O ZINC001452492932 1074462693 /nfs/dbraw/zinc/46/26/93/1074462693.db2.gz GREXDOPHDIJNDM-IAGOWNOFSA-N 0 0 439.266 -0.023 20 0 IBADRN C[C@@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N[C@@]2(C(N)=O)CCOC2)C1=O ZINC001452492936 1074462663 /nfs/dbraw/zinc/46/26/63/1074462663.db2.gz GREXDOPHDIJNDM-IRXDYDNUSA-N 0 0 439.266 -0.023 20 0 IBADRN C[C@]1(c2ccc(Br)cc2)NC(=O)N(CC(=O)N[C@@]2(C(N)=O)CCOC2)C1=O ZINC001452492940 1074462624 /nfs/dbraw/zinc/46/26/24/1074462624.db2.gz GREXDOPHDIJNDM-SJORKVTESA-N 0 0 439.266 -0.023 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@@]3(C(N)=O)CCOC3)CC2)cc1OC ZINC001452494995 1074463231 /nfs/dbraw/zinc/46/32/31/1074463231.db2.gz SRKLDNAVPFVWDL-IBGZPJMESA-N 0 0 441.506 -0.135 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N[C@]3(C(N)=O)CCOC3)CC2)cc1OC ZINC001452495003 1074463314 /nfs/dbraw/zinc/46/33/14/1074463314.db2.gz SRKLDNAVPFVWDL-LJQANCHMSA-N 0 0 441.506 -0.135 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@]3(C(N)=O)CCOC3)ccc2F)CC1 ZINC001452495844 1074463330 /nfs/dbraw/zinc/46/33/30/1074463330.db2.gz OBSUMUHZMJHDJT-GOSISDBHSA-N 0 0 442.469 -0.947 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@]3(C(N)=O)CCOC3)ccc2F)CC1 ZINC001452495846 1074463246 /nfs/dbraw/zinc/46/32/46/1074463246.db2.gz OBSUMUHZMJHDJT-SFHVURJKSA-N 0 0 442.469 -0.947 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)CNS(=O)(=O)c2cccc(Br)c2)COC1 ZINC001452498488 1074463308 /nfs/dbraw/zinc/46/33/08/1074463308.db2.gz TVIHDVQCBKCSEZ-UHFFFAOYSA-N 0 0 441.325 -0.343 20 0 IBADRN O=C(NC[C@@H]1CCCN(C(=O)C(F)(F)F)C1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001452515326 1074463253 /nfs/dbraw/zinc/46/32/53/1074463253.db2.gz OYYWGRYPBBLEIE-AVGNSLFASA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NC[C@@H]1CCCN(C(=O)C(F)(F)F)C1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001452515330 1074463320 /nfs/dbraw/zinc/46/33/20/1074463320.db2.gz OYYWGRYPBBLEIE-RWMBFGLXSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NC[C@@H]1CCCN(C(=O)C(F)(F)F)C1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001452515334 1074463288 /nfs/dbraw/zinc/46/32/88/1074463288.db2.gz OYYWGRYPBBLEIE-XQQFMLRXSA-N 0 0 439.456 -0.061 20 0 IBADRN O=C(NC[C@@H]1CCCN(C(=O)C(F)(F)F)C1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001452515338 1074463335 /nfs/dbraw/zinc/46/33/35/1074463335.db2.gz OYYWGRYPBBLEIE-YNEHKIRRSA-N 0 0 439.456 -0.061 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCN(c4nc[nH]n4)CC3)CC2)cc1 ZINC001452539464 1074463193 /nfs/dbraw/zinc/46/31/93/1074463193.db2.gz JHIYLRMBIGKXBO-UHFFFAOYSA-N 0 0 433.538 -0.232 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCN(c3nc[nH]n3)CC2)c1 ZINC001452541376 1074463114 /nfs/dbraw/zinc/46/31/14/1074463114.db2.gz QTRLZBIFXLGNOY-UHFFFAOYSA-N 0 0 449.537 -0.086 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCN(c4nc[nH]n4)CC3)cc2)CC1 ZINC001452541626 1074463225 /nfs/dbraw/zinc/46/32/25/1074463225.db2.gz LVLCPVNUZUKGOP-UHFFFAOYSA-N 0 0 447.521 -0.380 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NCCN(C)OC)CC2)cc1 ZINC001452630587 1074463294 /nfs/dbraw/zinc/46/32/94/1074463294.db2.gz YGFFQAZFLGDTAH-UHFFFAOYSA-N 0 0 442.538 -0.082 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001452663274 1074463134 /nfs/dbraw/zinc/46/31/34/1074463134.db2.gz UWPBHGWGGZXGLJ-AWEZNQCLSA-N 0 0 428.902 -0.544 20 0 IBADRN Cn1cnnc1N1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001452663284 1074463790 /nfs/dbraw/zinc/46/37/90/1074463790.db2.gz UWPBHGWGGZXGLJ-CQSZACIVSA-N 0 0 428.902 -0.544 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCN(c3nncn3C)CC2)C1=O ZINC001452663545 1074463779 /nfs/dbraw/zinc/46/37/79/1074463779.db2.gz VYTGYTXQOIWVOY-FQEVSTJZSA-N 0 0 427.465 -0.061 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCN(c3nncn3C)CC2)C1=O ZINC001452663547 1074463692 /nfs/dbraw/zinc/46/36/92/1074463692.db2.gz VYTGYTXQOIWVOY-HXUWFJFHSA-N 0 0 427.465 -0.061 20 0 IBADRN Cc1nnc(N2CCN(C(=O)c3ccc(S(=O)(=O)CC(=O)N(C)C)cc3)CC2)n1C ZINC001452685920 1074463774 /nfs/dbraw/zinc/46/37/74/1074463774.db2.gz NSJZRWXNDRBEKM-UHFFFAOYSA-N 0 0 434.522 -0.052 20 0 IBADRN COCCOCCN1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC001452685986 1074463695 /nfs/dbraw/zinc/46/36/95/1074463695.db2.gz AJIRETGGCQRGKU-UHFFFAOYSA-N 0 0 430.505 -0.135 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001452707782 1074463794 /nfs/dbraw/zinc/46/37/94/1074463794.db2.gz BRDADOOHFZLEDF-KBPBESRZSA-N 0 0 443.522 -0.966 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001452707788 1074463719 /nfs/dbraw/zinc/46/37/19/1074463719.db2.gz BRDADOOHFZLEDF-KGLIPLIRSA-N 0 0 443.522 -0.966 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001452707794 1074463807 /nfs/dbraw/zinc/46/38/07/1074463807.db2.gz BRDADOOHFZLEDF-UONOGXRCSA-N 0 0 443.522 -0.966 20 0 IBADRN CC(C)(C)OC(=O)C(=O)N1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)CC1 ZINC001452707798 1074463803 /nfs/dbraw/zinc/46/38/03/1074463803.db2.gz BRDADOOHFZLEDF-ZIAGYGMSSA-N 0 0 443.522 -0.966 20 0 IBADRN Cc1nc(N2CCN(C(=O)[C@@H]3C[C@@H](O)CN3S(=O)(=O)c3ccc(F)cc3)CC2)n[nH]1 ZINC001452718758 1074463766 /nfs/dbraw/zinc/46/37/66/1074463766.db2.gz OVYBVGLWINFPOC-ZBFHGGJFSA-N 0 0 438.485 -0.275 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c2ccc(F)cc2O1 ZINC001452726809 1074463757 /nfs/dbraw/zinc/46/37/57/1074463757.db2.gz UWZMLLGTKCRZPX-AWEZNQCLSA-N 0 0 425.394 -0.039 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)c2ccc(F)cc2O1 ZINC001452726928 1074463798 /nfs/dbraw/zinc/46/37/98/1074463798.db2.gz UWZMLLGTKCRZPX-CQSZACIVSA-N 0 0 425.394 -0.039 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCc2cc(=O)n3ccccc3n2)s1 ZINC001452740090 1074463738 /nfs/dbraw/zinc/46/37/38/1074463738.db2.gz NLUAJNPNXCRFFB-UHFFFAOYSA-N 0 0 449.514 -0.013 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001452760617 1074463668 /nfs/dbraw/zinc/46/36/68/1074463668.db2.gz CPMFRFMNYCALFE-KBPBESRZSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001452760633 1074463783 /nfs/dbraw/zinc/46/37/83/1074463783.db2.gz CPMFRFMNYCALFE-KGLIPLIRSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001452760650 1074463752 /nfs/dbraw/zinc/46/37/52/1074463752.db2.gz CPMFRFMNYCALFE-UONOGXRCSA-N 0 0 432.543 -0.100 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1=O ZINC001452760664 1074463786 /nfs/dbraw/zinc/46/37/86/1074463786.db2.gz CPMFRFMNYCALFE-ZIAGYGMSSA-N 0 0 432.543 -0.100 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C[C@H](C)O1 ZINC001452795306 1074463708 /nfs/dbraw/zinc/46/37/08/1074463708.db2.gz FNTQZCHDDSFJPV-LRDDRELGSA-N 0 0 427.479 -0.427 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C[C@H](C)O1 ZINC001452796781 1074463727 /nfs/dbraw/zinc/46/37/27/1074463727.db2.gz FNZMYUIOYFWCCU-FZMZJTMJSA-N 0 0 434.492 -0.843 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C[C@H](C)O1 ZINC001452799295 1074464347 /nfs/dbraw/zinc/46/43/47/1074464347.db2.gz YRFHIAGSSQYSKK-LRDDRELGSA-N 0 0 428.463 -0.085 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCC[C@]1(O)CCOC1 ZINC001452836099 1074464334 /nfs/dbraw/zinc/46/43/34/1074464334.db2.gz OWVJAXXUEKOVAD-BEFAXECRSA-N 0 0 428.507 -0.114 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCC[C@@]1(O)CCOC1 ZINC001452836110 1074464358 /nfs/dbraw/zinc/46/43/58/1074464358.db2.gz OWVJAXXUEKOVAD-DNVCBOLYSA-N 0 0 428.507 -0.114 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC[C@@]1(O)CCOC1 ZINC001452836120 1074464344 /nfs/dbraw/zinc/46/43/44/1074464344.db2.gz OWVJAXXUEKOVAD-HNAYVOBHSA-N 0 0 428.507 -0.114 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC[C@]1(O)CCOC1 ZINC001452836134 1074464353 /nfs/dbraw/zinc/46/43/53/1074464353.db2.gz OWVJAXXUEKOVAD-KXBFYZLASA-N 0 0 428.507 -0.114 20 0 IBADRN CCON(C)C(=O)CNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001452837086 1074464371 /nfs/dbraw/zinc/46/43/71/1074464371.db2.gz OLJJINXWBSLDSU-UHFFFAOYSA-N 0 0 426.495 -0.321 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCC[C@]1(O)CCOC1 ZINC001452841166 1074464256 /nfs/dbraw/zinc/46/42/56/1074464256.db2.gz VLBZFGWFKNCLKL-FQEVSTJZSA-N 0 0 439.534 -0.043 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)NCC[C@@]1(O)CCOC1 ZINC001452841177 1074464316 /nfs/dbraw/zinc/46/43/16/1074464316.db2.gz VLBZFGWFKNCLKL-HXUWFJFHSA-N 0 0 439.534 -0.043 20 0 IBADRN COC[C@H]1C[C@@H](O)CN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001452855937 1074464244 /nfs/dbraw/zinc/46/42/44/1074464244.db2.gz NUNZVYMAOIEBFA-HZPDHXFCSA-N 0 0 443.497 -0.100 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001452861550 1074464196 /nfs/dbraw/zinc/46/41/96/1074464196.db2.gz KZRPLLJEUDOFOO-ZCDTZLGTSA-N 0 0 427.454 -0.094 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCc2cc(S(N)(=O)=O)ccc2F)[C@H]1c1ccnn1C ZINC001452866695 1074464097 /nfs/dbraw/zinc/46/40/97/1074464097.db2.gz NYYUEPDGXPIUGM-SJORKVTESA-N 0 0 439.469 -0.189 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CC(N4CC[C@@H](O)C4)C3)c2)CC1 ZINC001452870437 1074464270 /nfs/dbraw/zinc/46/42/70/1074464270.db2.gz SRGSBEQLWGQBPP-GOSISDBHSA-N 0 0 436.534 -0.570 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCN(Cc3nc[nH]n3)CC2)c1 ZINC001452881098 1074464379 /nfs/dbraw/zinc/46/43/79/1074464379.db2.gz BEMZPIZNZFABDA-UHFFFAOYSA-N 0 0 435.510 -0.753 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(Cc3nc[nH]n3)CC2)C1 ZINC001452889636 1074464755 /nfs/dbraw/zinc/46/47/55/1074464755.db2.gz VCYIGLPHFOROAI-HNNXBMFYSA-N 0 0 436.542 -0.110 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(Cc3nc[nH]n3)CC2)C1 ZINC001452889704 1074464734 /nfs/dbraw/zinc/46/47/34/1074464734.db2.gz VCYIGLPHFOROAI-OAHLLOKOSA-N 0 0 436.542 -0.110 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCN1CCCC1=O ZINC001452908554 1074464784 /nfs/dbraw/zinc/46/47/84/1074464784.db2.gz BRDIKCCJCDKAAE-HNNXBMFYSA-N 0 0 425.507 -0.033 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCCN1CCCC1=O ZINC001452908556 1074464820 /nfs/dbraw/zinc/46/48/20/1074464820.db2.gz BRDIKCCJCDKAAE-OAHLLOKOSA-N 0 0 425.507 -0.033 20 0 IBADRN CC1=C(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)S(=O)(=O)CCO1 ZINC001452933481 1074464830 /nfs/dbraw/zinc/46/48/30/1074464830.db2.gz IFCUKZXCHAWYGY-UHFFFAOYSA-N 0 0 429.520 -0.158 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1c1ccncn1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001452937862 1074464746 /nfs/dbraw/zinc/46/47/46/1074464746.db2.gz BLIBFCDBHFGBSJ-MSOLQXFVSA-N 0 0 446.533 -0.405 20 0 IBADRN O=C([C@H]1C[C@@H](O)CN1c1ccncn1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001452937878 1074464809 /nfs/dbraw/zinc/46/48/09/1074464809.db2.gz BLIBFCDBHFGBSJ-QZTJIDSGSA-N 0 0 446.533 -0.405 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1c1ccncn1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001452937890 1074464807 /nfs/dbraw/zinc/46/48/07/1074464807.db2.gz BLIBFCDBHFGBSJ-ROUUACIJSA-N 0 0 446.533 -0.405 20 0 IBADRN O=C([C@H]1C[C@H](O)CN1c1ccncn1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001452937905 1074464716 /nfs/dbraw/zinc/46/47/16/1074464716.db2.gz BLIBFCDBHFGBSJ-ZWKOTPCHSA-N 0 0 446.533 -0.405 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)N3CCN(S(=O)(=O)NCc4ccccc4)CC3)CC2=O)cn1 ZINC001452942023 1074464792 /nfs/dbraw/zinc/46/47/92/1074464792.db2.gz NJTPOJGVPDXZER-KRWDZBQOSA-N 0 0 446.533 -0.048 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)N3CCN(S(=O)(=O)NCc4ccccc4)CC3)CC2=O)cn1 ZINC001452942027 1074464805 /nfs/dbraw/zinc/46/48/05/1074464805.db2.gz NJTPOJGVPDXZER-QGZVFWFLSA-N 0 0 446.533 -0.048 20 0 IBADRN COCc1n[nH]c(CNC(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)n1 ZINC001452953426 1074464694 /nfs/dbraw/zinc/46/46/94/1074464694.db2.gz JNUYJJFFWCFUSU-UHFFFAOYSA-N 0 0 425.467 -0.089 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N1CCN(S(=O)(=O)N(C)C)CC1 ZINC001452957731 1074464823 /nfs/dbraw/zinc/46/48/23/1074464823.db2.gz VAHPMVGFCPMKJU-UHFFFAOYSA-N 0 0 427.268 -0.113 20 0 IBADRN C[C@H]1CC[C@@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CS1(=O)=O ZINC001452960086 1074464799 /nfs/dbraw/zinc/46/47/99/1074464799.db2.gz HLMRYLQEVYQBJU-GXTWGEPZSA-N 0 0 431.536 -0.251 20 0 IBADRN C[C@H]1CC[C@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CS1(=O)=O ZINC001452960090 1074465349 /nfs/dbraw/zinc/46/53/49/1074465349.db2.gz HLMRYLQEVYQBJU-JSGCOSHPSA-N 0 0 431.536 -0.251 20 0 IBADRN C[C@@H]1CC[C@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CS1(=O)=O ZINC001452960094 1074465448 /nfs/dbraw/zinc/46/54/48/1074465448.db2.gz HLMRYLQEVYQBJU-OCCSQVGLSA-N 0 0 431.536 -0.251 20 0 IBADRN C[C@@H]1CC[C@@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CS1(=O)=O ZINC001452960099 1074465305 /nfs/dbraw/zinc/46/53/05/1074465305.db2.gz HLMRYLQEVYQBJU-TZMCWYRMSA-N 0 0 431.536 -0.251 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001452960310 1074465335 /nfs/dbraw/zinc/46/53/35/1074465335.db2.gz ZRXQXAVKLBMBSH-DOTOQJQBSA-N 0 0 442.513 -0.719 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001452960312 1074465409 /nfs/dbraw/zinc/46/54/09/1074465409.db2.gz ZRXQXAVKLBMBSH-NVXWUHKLSA-N 0 0 442.513 -0.719 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001452960316 1074465342 /nfs/dbraw/zinc/46/53/42/1074465342.db2.gz ZRXQXAVKLBMBSH-RDJZCZTQSA-N 0 0 442.513 -0.719 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)CC1 ZINC001452960318 1074465325 /nfs/dbraw/zinc/46/53/25/1074465325.db2.gz ZRXQXAVKLBMBSH-WBVHZDCISA-N 0 0 442.513 -0.719 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H]2CC[C@H](C)S(=O)(=O)C2)c1 ZINC001452967021 1074465421 /nfs/dbraw/zinc/46/54/21/1074465421.db2.gz VBCCMTMNHZQMSQ-KBPBESRZSA-N 0 0 433.552 -0.039 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H]2CC[C@@H](C)S(=O)(=O)C2)c1 ZINC001452967024 1074465440 /nfs/dbraw/zinc/46/54/40/1074465440.db2.gz VBCCMTMNHZQMSQ-KGLIPLIRSA-N 0 0 433.552 -0.039 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H]2CC[C@H](C)S(=O)(=O)C2)c1 ZINC001452967026 1074465382 /nfs/dbraw/zinc/46/53/82/1074465382.db2.gz VBCCMTMNHZQMSQ-UONOGXRCSA-N 0 0 433.552 -0.039 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H]2CC[C@@H](C)S(=O)(=O)C2)c1 ZINC001452967030 1074465405 /nfs/dbraw/zinc/46/54/05/1074465405.db2.gz VBCCMTMNHZQMSQ-ZIAGYGMSSA-N 0 0 433.552 -0.039 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H]3NC(=O)CC[C@H]3C2)s1 ZINC001452990149 1074465359 /nfs/dbraw/zinc/46/53/59/1074465359.db2.gz JDNLGKGMIRZMQF-GXTWGEPZSA-N 0 0 428.536 -0.210 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H]3NC(=O)CC[C@H]3C2)s1 ZINC001452990154 1074465809 /nfs/dbraw/zinc/46/58/09/1074465809.db2.gz JDNLGKGMIRZMQF-JSGCOSHPSA-N 0 0 428.536 -0.210 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@@H]3NC(=O)CC[C@@H]3C2)s1 ZINC001452990158 1074465771 /nfs/dbraw/zinc/46/57/71/1074465771.db2.gz JDNLGKGMIRZMQF-OCCSQVGLSA-N 0 0 428.536 -0.210 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC[C@H]3NC(=O)CC[C@@H]3C2)s1 ZINC001452990161 1074465791 /nfs/dbraw/zinc/46/57/91/1074465791.db2.gz JDNLGKGMIRZMQF-TZMCWYRMSA-N 0 0 428.536 -0.210 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(O)(CO)CC2)c1 ZINC001453000525 1074465660 /nfs/dbraw/zinc/46/56/60/1074465660.db2.gz ZXTUOSJNLPKYMA-UHFFFAOYSA-N 0 0 427.523 -0.207 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)CN1CCNC(=O)C1 ZINC001453018078 1074465795 /nfs/dbraw/zinc/46/57/95/1074465795.db2.gz DTQBANQHDBCWNP-BXUZGUMPSA-N 0 0 436.431 -0.202 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)CN1CCNC(=O)C1 ZINC001453018101 1074465814 /nfs/dbraw/zinc/46/58/14/1074465814.db2.gz DTQBANQHDBCWNP-FZMZJTMJSA-N 0 0 436.431 -0.202 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)CN1CCNC(=O)C1 ZINC001453018112 1074465642 /nfs/dbraw/zinc/46/56/42/1074465642.db2.gz DTQBANQHDBCWNP-RISCZKNCSA-N 0 0 436.431 -0.202 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)CN1CCNC(=O)C1 ZINC001453018127 1074465688 /nfs/dbraw/zinc/46/56/88/1074465688.db2.gz DTQBANQHDBCWNP-SMDDNHRTSA-N 0 0 436.431 -0.202 20 0 IBADRN CN1CCn2ncc(C(=O)N3C[C@H]4COC[C@@]4(C(=O)OC(C)(C)C)C3)c2S1(=O)=O ZINC001453071599 1074465735 /nfs/dbraw/zinc/46/57/35/1074465735.db2.gz IDFRJHUWDMMKHD-SGTLLEGYSA-N 0 0 426.495 -0.053 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@@]1(OCCO)CCOC1 ZINC001453099942 1074465724 /nfs/dbraw/zinc/46/57/24/1074465724.db2.gz DHCUBEGOGHQDLJ-IBGZPJMESA-N 0 0 444.506 -0.200 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@]1(OCCO)CCOC1 ZINC001453099945 1074465766 /nfs/dbraw/zinc/46/57/66/1074465766.db2.gz DHCUBEGOGHQDLJ-LJQANCHMSA-N 0 0 444.506 -0.200 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001453103346 1074465783 /nfs/dbraw/zinc/46/57/83/1074465783.db2.gz CCZYQMNXBBKOKF-GHMZBOCLSA-N 0 0 430.426 -0.088 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001453103348 1074465761 /nfs/dbraw/zinc/46/57/61/1074465761.db2.gz CCZYQMNXBBKOKF-MNOVXSKESA-N 0 0 430.426 -0.088 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001453103349 1074465673 /nfs/dbraw/zinc/46/56/73/1074465673.db2.gz CCZYQMNXBBKOKF-QWRGUYRKSA-N 0 0 430.426 -0.088 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001453103351 1074466427 /nfs/dbraw/zinc/46/64/27/1074466427.db2.gz CCZYQMNXBBKOKF-WDEREUQCSA-N 0 0 430.426 -0.088 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC001453109433 1074466338 /nfs/dbraw/zinc/46/63/38/1074466338.db2.gz KWIKWYSXEVGDRJ-BFHYXJOUSA-N 0 0 434.540 -0.645 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC001453109455 1074466378 /nfs/dbraw/zinc/46/63/78/1074466378.db2.gz KWIKWYSXEVGDRJ-HZSPNIEDSA-N 0 0 434.540 -0.645 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)[C@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC001453109463 1074466401 /nfs/dbraw/zinc/46/64/01/1074466401.db2.gz KWIKWYSXEVGDRJ-MELADBBJSA-N 0 0 434.540 -0.645 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)[C@@H]1CCCN(S(=O)(=O)c2c(C)n[nH]c2C)C1 ZINC001453109471 1074466421 /nfs/dbraw/zinc/46/64/21/1074466421.db2.gz KWIKWYSXEVGDRJ-MGPQQGTHSA-N 0 0 434.540 -0.645 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CCNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC001453111711 1074466310 /nfs/dbraw/zinc/46/63/10/1074466310.db2.gz PSYXHIQAMGIMJS-GUTXKFCHSA-N 0 0 435.543 -0.030 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CCNC(=O)[C@H](NC(=O)OC(C)(C)C)C(C)C ZINC001453111719 1074466313 /nfs/dbraw/zinc/46/63/13/1074466313.db2.gz PSYXHIQAMGIMJS-GZBFAFLISA-N 0 0 435.543 -0.030 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CCNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC001453111725 1074466295 /nfs/dbraw/zinc/46/62/95/1074466295.db2.gz PSYXHIQAMGIMJS-NFAWXSAZSA-N 0 0 435.543 -0.030 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CCNC(=O)[C@H](NC(=O)OC(C)(C)C)C(C)C ZINC001453111733 1074466399 /nfs/dbraw/zinc/46/63/99/1074466399.db2.gz PSYXHIQAMGIMJS-UMVBOHGHSA-N 0 0 435.543 -0.030 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCN(C(=O)C(OC)OC)CC2)CC1 ZINC001453156398 1074466402 /nfs/dbraw/zinc/46/64/02/1074466402.db2.gz DLCMWXCBIMZUTH-UHFFFAOYSA-N 0 0 429.514 -0.386 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001453156407 1074466424 /nfs/dbraw/zinc/46/64/24/1074466424.db2.gz DPUZEXNLKQGAGH-UHFFFAOYSA-N 0 0 434.497 -0.805 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC001453159631 1074466409 /nfs/dbraw/zinc/46/64/09/1074466409.db2.gz QGADKOGPBBMIMC-UHFFFAOYSA-N 0 0 446.551 -0.345 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC001453162209 1074466397 /nfs/dbraw/zinc/46/63/97/1074466397.db2.gz WOIZYUFHHODJGX-UHFFFAOYSA-N 0 0 430.461 -0.652 20 0 IBADRN COC[C@]1(C(=O)N2CCN(C(=O)C(OC)OC)CC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001453162212 1074466417 /nfs/dbraw/zinc/46/64/17/1074466417.db2.gz WORNUZLJQLOKBL-FQEVSTJZSA-N 0 0 445.513 -0.071 20 0 IBADRN COC[C@@]1(C(=O)N2CCN(C(=O)C(OC)OC)CC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001453162220 1074466358 /nfs/dbraw/zinc/46/63/58/1074466358.db2.gz WORNUZLJQLOKBL-HXUWFJFHSA-N 0 0 445.513 -0.071 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)Nc2cccc(CN3CCCS3(=O)=O)c2)c1 ZINC001453209257 1074466390 /nfs/dbraw/zinc/46/63/90/1074466390.db2.gz FUWIEZPFFJDISL-UHFFFAOYSA-N 0 0 427.508 -0.127 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NCCS(=O)(=O)C(F)(F)F)c1 ZINC001453253807 1074466366 /nfs/dbraw/zinc/46/63/66/1074466366.db2.gz SLVGHTMMGRFFCG-UHFFFAOYSA-N 0 0 445.441 -0.283 20 0 IBADRN CNS(=O)(=O)NCC(=O)Nc1cc(Br)ccc1N1CCN(C)C(=O)C1 ZINC001453288658 1074466888 /nfs/dbraw/zinc/46/68/88/1074466888.db2.gz PUVBNTKKLGKOQT-UHFFFAOYSA-N 0 0 434.316 -0.280 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@@H]3C(=O)N(Cc4ccccc4)C(=O)[C@@H]3C2)c1 ZINC001453370432 1074466958 /nfs/dbraw/zinc/46/69/58/1074466958.db2.gz PSCIYOHAEHYLPV-GASCZTMLSA-N 0 0 431.474 -0.658 20 0 IBADRN CCN1CCN(CC(=O)NC[C@H]2CC(=O)N(C(C)(C)C)[C@@H]2c2cnn(C)c2)C(=O)C1=O ZINC001453387680 1074466919 /nfs/dbraw/zinc/46/69/19/1074466919.db2.gz BCSWUMHIIJOOCL-KDOFPFPSSA-N 0 0 432.525 -0.085 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCC(n3nncc3I)CC2)C1 ZINC001453426869 1074466904 /nfs/dbraw/zinc/46/69/04/1074466904.db2.gz ANNVXEGZRWAHDN-UHFFFAOYSA-N 0 0 439.279 -0.062 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CCC[C@H]3C(N)=O)ccc2F)CC1 ZINC001453427849 1074466948 /nfs/dbraw/zinc/46/69/48/1074466948.db2.gz BFPYCLLRFDNYRC-HNNXBMFYSA-N 0 0 426.470 -0.232 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CCN1S(C)(=O)=O ZINC001453430542 1074467030 /nfs/dbraw/zinc/46/70/30/1074467030.db2.gz AGXROFBXADDICW-CYBMUJFWSA-N 0 0 446.551 -0.724 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@H](O)[C@H]3CCCO3)ccc2F)CC1 ZINC001453431612 1074466862 /nfs/dbraw/zinc/46/68/62/1074466862.db2.gz JKATZBLZQQAJFB-DLBZAZTESA-N 0 0 443.497 -0.052 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@H](O)[C@H]3CCCO3)ccc2F)CC1 ZINC001453431616 1074466899 /nfs/dbraw/zinc/46/68/99/1074466899.db2.gz JKATZBLZQQAJFB-IAGOWNOFSA-N 0 0 443.497 -0.052 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@H](O)[C@@H]3CCCO3)ccc2F)CC1 ZINC001453431617 1074466912 /nfs/dbraw/zinc/46/69/12/1074466912.db2.gz JKATZBLZQQAJFB-IRXDYDNUSA-N 0 0 443.497 -0.052 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NC[C@@H](O)[C@@H]3CCCO3)ccc2F)CC1 ZINC001453431630 1074467004 /nfs/dbraw/zinc/46/70/04/1074467004.db2.gz JKATZBLZQQAJFB-SJORKVTESA-N 0 0 443.497 -0.052 20 0 IBADRN CC(=O)N[C@@H](CC(=O)N1CCC(n2nncc2I)CC1)C(N)=O ZINC001453431945 1074466990 /nfs/dbraw/zinc/46/69/90/1074466990.db2.gz RTUBFQONXJTGQA-JTQLQIEISA-N 0 0 434.238 -0.574 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCC(n2nncc2I)CC1 ZINC001453432162 1074466968 /nfs/dbraw/zinc/46/69/68/1074466968.db2.gz UZGXCXMYJOAKBL-UHFFFAOYSA-N 0 0 428.256 -0.900 20 0 IBADRN NC(=O)[C@@H]1C[C@@H](O)CN1C(=O)C1CCN(c2ccc3nnc(C(F)(F)F)n3n2)CC1 ZINC001453432869 1074467407 /nfs/dbraw/zinc/46/74/07/1074467407.db2.gz CXKXRXVDAPOMLG-MNOVXSKESA-N 0 0 427.387 -0.193 20 0 IBADRN CN(CC(=O)N1CCC(n2nncc2I)CC1)S(C)(=O)=O ZINC001453432956 1074467465 /nfs/dbraw/zinc/46/74/65/1074467465.db2.gz VIUQWTPWWNINQN-UHFFFAOYSA-N 0 0 427.268 -0.062 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@@H](O)[C@H]1CCCO1 ZINC001453440022 1074467444 /nfs/dbraw/zinc/46/74/44/1074467444.db2.gz YLCWOWVCZNMBEZ-ARFHVFGLSA-N 0 0 434.536 -0.576 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@H](O)[C@H]1CCCO1 ZINC001453440408 1074467427 /nfs/dbraw/zinc/46/74/27/1074467427.db2.gz YLCWOWVCZNMBEZ-HRCADAONSA-N 0 0 434.536 -0.576 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@H](O)[C@@H]1CCCO1 ZINC001453440430 1074467414 /nfs/dbraw/zinc/46/74/14/1074467414.db2.gz YLCWOWVCZNMBEZ-JYJNAYRXSA-N 0 0 434.536 -0.576 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC[C@@H](O)[C@@H]1CCCO1 ZINC001453440455 1074467575 /nfs/dbraw/zinc/46/75/75/1074467575.db2.gz YLCWOWVCZNMBEZ-XHSDSOJGSA-N 0 0 434.536 -0.576 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N3CCN(S(C)(=O)=O)[C@H](C)C3)CC2)cn1C ZINC001453446219 1074467567 /nfs/dbraw/zinc/46/75/67/1074467567.db2.gz PJMQDMJGGKICOC-CYBMUJFWSA-N 0 0 447.583 -0.378 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)cc1 ZINC001453447938 1074467398 /nfs/dbraw/zinc/46/73/98/1074467398.db2.gz WVRDPVWUYZRGIP-CYBMUJFWSA-N 0 0 447.535 -0.366 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCn2ncc(Br)c2C1 ZINC001453481624 1074467541 /nfs/dbraw/zinc/46/75/41/1074467541.db2.gz YVUVRBPARJFQED-UHFFFAOYSA-N 0 0 436.332 -0.178 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3C[C@H](C(N)=O)C3)ccc2F)CC1 ZINC001453518193 1074467517 /nfs/dbraw/zinc/46/75/17/1074467517.db2.gz PRROIRREAAZRQI-HDJSIYSDSA-N 0 0 426.470 -0.328 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)C1 ZINC001453535756 1074467553 /nfs/dbraw/zinc/46/75/53/1074467553.db2.gz GCFQCOQZHKICOC-BJJXKVORSA-N 0 0 442.490 -0.088 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)C1 ZINC001453535760 1074467386 /nfs/dbraw/zinc/46/73/86/1074467386.db2.gz GCFQCOQZHKICOC-JGGQBBKZSA-N 0 0 442.490 -0.088 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)C1 ZINC001453535763 1074467511 /nfs/dbraw/zinc/46/75/11/1074467511.db2.gz GCFQCOQZHKICOC-XNRPHZJLSA-N 0 0 442.490 -0.088 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)C1 ZINC001453535766 1074467431 /nfs/dbraw/zinc/46/74/31/1074467431.db2.gz GCFQCOQZHKICOC-XPKDYRNWSA-N 0 0 442.490 -0.088 20 0 IBADRN Cn1c2ncn(CC(=O)N3CC(O)(c4ccc(Br)cc4)C3)c2c(=O)n(C)c1=O ZINC001453537738 1074467436 /nfs/dbraw/zinc/46/74/36/1074467436.db2.gz XZGXYSZLAWBHLB-UHFFFAOYSA-N 0 0 448.277 -0.074 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1 ZINC001453546374 1074467528 /nfs/dbraw/zinc/46/75/28/1074467528.db2.gz XEMGTIXWAOKFMD-PSASIEDQSA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1 ZINC001453546378 1074467449 /nfs/dbraw/zinc/46/74/49/1074467449.db2.gz XEMGTIXWAOKFMD-SCZZXKLOSA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@H]1CC[C@H](C(=O)OC)CN1C(=O)Cn1cc(Br)c(=O)[nH]c1=O ZINC001453546381 1074467558 /nfs/dbraw/zinc/46/75/58/1074467558.db2.gz XEMGTIXWAOKFMD-WCBMZHEXSA-N 0 0 432.227 -0.335 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)OC)N(C(=O)Cn2cc(Br)c(=O)[nH]c2=O)C1 ZINC001453546383 1074467581 /nfs/dbraw/zinc/46/75/81/1074467581.db2.gz XEMGTIXWAOKFMD-WPRPVWTQSA-N 0 0 432.227 -0.335 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1 ZINC001453559786 1074467856 /nfs/dbraw/zinc/46/78/56/1074467856.db2.gz ABDBTWVHHQZETG-UHFFFAOYSA-N 0 0 429.520 -0.196 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001453559841 1074467952 /nfs/dbraw/zinc/46/79/52/1074467952.db2.gz AYWATQCBQWWYEJ-HNNXBMFYSA-N 0 0 442.925 -0.050 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001453559842 1074467841 /nfs/dbraw/zinc/46/78/41/1074467841.db2.gz AYWATQCBQWWYEJ-OAHLLOKOSA-N 0 0 442.925 -0.050 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)C2CC2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC001453563242 1074468008 /nfs/dbraw/zinc/46/80/08/1074468008.db2.gz GOZXFUDLLVMTAJ-UHFFFAOYSA-N 0 0 426.520 -0.296 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)C2CC2)CC1)N1CCN(c2ncccn2)CC1 ZINC001453566024 1074467799 /nfs/dbraw/zinc/46/77/99/1074467799.db2.gz KARLFCQXVOGVGX-UHFFFAOYSA-N 0 0 436.538 -0.458 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CCO1 ZINC001453567710 1074467936 /nfs/dbraw/zinc/46/79/36/1074467936.db2.gz HOTRTTZBNASSES-CVEARBPZSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CCO1 ZINC001453567713 1074467921 /nfs/dbraw/zinc/46/79/21/1074467921.db2.gz HOTRTTZBNASSES-HOTGVXAUSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)NC[C@H]3CCCO3)cc2)CCO1 ZINC001453567716 1074467835 /nfs/dbraw/zinc/46/78/35/1074467835.db2.gz HOTRTTZBNASSES-HZPDHXFCSA-N 0 0 440.522 -0.086 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)NC[C@@H]3CCCO3)cc2)CCO1 ZINC001453567719 1074467973 /nfs/dbraw/zinc/46/79/73/1074467973.db2.gz HOTRTTZBNASSES-JKSUJKDBSA-N 0 0 440.522 -0.086 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CN(C)C3=NS(=O)(=O)c4ccccc43)C[C@H]21 ZINC001453567786 1074467822 /nfs/dbraw/zinc/46/78/22/1074467822.db2.gz ICPCDJVWDOYYNU-GUTXKFCHSA-N 0 0 427.504 -0.662 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CCO1 ZINC001453569050 1074467869 /nfs/dbraw/zinc/46/78/69/1074467869.db2.gz LYWKWWUXRZVDME-GFCCVEGCSA-N 0 0 449.327 -0.116 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)CNS(=O)(=O)c2cccc(Br)c2)CCO1 ZINC001453569051 1074467943 /nfs/dbraw/zinc/46/79/43/1074467943.db2.gz LYWKWWUXRZVDME-LBPRGKRZSA-N 0 0 449.327 -0.116 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3ccc(S(=O)(=O)N(C)CCO)cc3)C[C@H]21 ZINC001453569065 1074467979 /nfs/dbraw/zinc/46/79/79/1074467979.db2.gz MVTOZEVAAFXISY-XHSDSOJGSA-N 0 0 432.520 -0.817 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc(OC)c1OC ZINC001453569833 1074467879 /nfs/dbraw/zinc/46/78/79/1074467879.db2.gz PKVXDDBWQAOZDW-MAZHCROVSA-N 0 0 442.490 -0.287 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3ccc(S(=O)(=O)NC[C@@H](C)O)cc3)C[C@H]21 ZINC001453570495 1074467998 /nfs/dbraw/zinc/46/79/98/1074467998.db2.gz UOWLERDEUTUWKE-CAPXZKIUSA-N 0 0 432.520 -0.770 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3ccc(S(=O)(=O)NC[C@H](C)O)cc3)C[C@H]21 ZINC001453570509 1074467909 /nfs/dbraw/zinc/46/79/09/1074467909.db2.gz UOWLERDEUTUWKE-KSYCFECVSA-N 0 0 432.520 -0.770 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC001453571144 1074467960 /nfs/dbraw/zinc/46/79/60/1074467960.db2.gz ZCOXXSGANMZCAL-AWEZNQCLSA-N 0 0 444.485 -0.383 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CCO1 ZINC001453571147 1074467989 /nfs/dbraw/zinc/46/79/89/1074467989.db2.gz ZCOXXSGANMZCAL-CQSZACIVSA-N 0 0 444.485 -0.383 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)C3CC3)CC2)cc1OC ZINC001453571665 1074467812 /nfs/dbraw/zinc/46/78/12/1074467812.db2.gz YRGXQGMOOBTQPX-UHFFFAOYSA-N 0 0 447.535 -0.382 20 0 IBADRN COc1ccc(CCN2C[C@@H](C(=O)N3CC(NS(C)(=O)=O)C3)CC2=O)cc1OC ZINC001453571905 1074468450 /nfs/dbraw/zinc/46/84/50/1074468450.db2.gz DFNWKODCZWQNKI-AWEZNQCLSA-N 0 0 425.507 -0.145 20 0 IBADRN COc1ccc(CCN2C[C@H](C(=O)N3CC(NS(C)(=O)=O)C3)CC2=O)cc1OC ZINC001453571907 1074468443 /nfs/dbraw/zinc/46/84/43/1074468443.db2.gz DFNWKODCZWQNKI-CQSZACIVSA-N 0 0 425.507 -0.145 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CC(NS(C)(=O)=O)C2)c1 ZINC001453573267 1074468366 /nfs/dbraw/zinc/46/83/66/1074468366.db2.gz GYSNLNGUTLWUMZ-UHFFFAOYSA-N 0 0 446.551 -0.793 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CC(NS(C)(=O)=O)C3)CC2)c1 ZINC001453573497 1074468459 /nfs/dbraw/zinc/46/84/59/1074468459.db2.gz HDKRQPYNARFOLW-UHFFFAOYSA-N 0 0 430.552 -0.793 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CCO1 ZINC001453575050 1074468412 /nfs/dbraw/zinc/46/84/12/1074468412.db2.gz FOQUJHXJVXFRQK-FMKPAKJESA-N 0 0 428.511 -0.821 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)CCO1 ZINC001453575099 1074468353 /nfs/dbraw/zinc/46/83/53/1074468353.db2.gz FOQUJHXJVXFRQK-IIAWOOMASA-N 0 0 428.511 -0.821 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CCO1 ZINC001453575101 1074468398 /nfs/dbraw/zinc/46/83/98/1074468398.db2.gz FOQUJHXJVXFRQK-LZWOXQAQSA-N 0 0 428.511 -0.821 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)CCO1 ZINC001453575103 1074468401 /nfs/dbraw/zinc/46/84/01/1074468401.db2.gz FOQUJHXJVXFRQK-SQWLQELKSA-N 0 0 428.511 -0.821 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001453575443 1074468395 /nfs/dbraw/zinc/46/83/95/1074468395.db2.gz MFZMVGYOHKCGRJ-JSGCOSHPSA-N 0 0 437.927 -0.776 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001453575444 1074468348 /nfs/dbraw/zinc/46/83/48/1074468348.db2.gz MFZMVGYOHKCGRJ-OCCSQVGLSA-N 0 0 437.927 -0.776 20 0 IBADRN CS(=O)(=O)NC1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001453576061 1074468436 /nfs/dbraw/zinc/46/84/36/1074468436.db2.gz MZKLKJNQDWWCFE-UHFFFAOYSA-N 0 0 447.535 -0.334 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)CCO1 ZINC001453576376 1074468380 /nfs/dbraw/zinc/46/83/80/1074468380.db2.gz IXZUHASLGWCHJD-CABCVRRESA-N 0 0 441.529 -0.094 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)CCO1 ZINC001453576381 1074468376 /nfs/dbraw/zinc/46/83/76/1074468376.db2.gz IXZUHASLGWCHJD-GJZGRUSLSA-N 0 0 441.529 -0.094 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)CCO1 ZINC001453576383 1074468359 /nfs/dbraw/zinc/46/83/59/1074468359.db2.gz IXZUHASLGWCHJD-HUUCEWRRSA-N 0 0 441.529 -0.094 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)CCO1 ZINC001453576384 1074468370 /nfs/dbraw/zinc/46/83/70/1074468370.db2.gz IXZUHASLGWCHJD-LSDHHAIUSA-N 0 0 441.529 -0.094 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCO1 ZINC001453580133 1074468448 /nfs/dbraw/zinc/46/84/48/1074468448.db2.gz SOSMUEBXTQCTQG-PBHICJAKSA-N 0 0 444.492 -0.307 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCO1 ZINC001453580135 1074468455 /nfs/dbraw/zinc/46/84/55/1074468455.db2.gz SOSMUEBXTQCTQG-RHSMWYFYSA-N 0 0 444.492 -0.307 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCO1 ZINC001453580138 1074468407 /nfs/dbraw/zinc/46/84/07/1074468407.db2.gz SOSMUEBXTQCTQG-WMLDXEAASA-N 0 0 444.492 -0.307 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)CCO1 ZINC001453580140 1074468386 /nfs/dbraw/zinc/46/83/86/1074468386.db2.gz SOSMUEBXTQCTQG-YOEHRIQHSA-N 0 0 444.492 -0.307 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC001453591080 1074468418 /nfs/dbraw/zinc/46/84/18/1074468418.db2.gz AGXCCWHYSJUBEF-HSZRJFAPSA-N 0 0 442.516 -0.210 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)Cn1c(=O)ccn(Cc2ccccc2)c1=O ZINC001453591086 1074468842 /nfs/dbraw/zinc/46/88/42/1074468842.db2.gz AGXCCWHYSJUBEF-QHCPKHFHSA-N 0 0 442.516 -0.210 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NC[C@]2(CO)COCC3(CCC3)N2C)cc1 ZINC001453591188 1074469109 /nfs/dbraw/zinc/46/91/09/1074469109.db2.gz AZYGLFWGKLZWFA-FQEVSTJZSA-N 0 0 441.550 -0.043 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)cc1 ZINC001453591190 1074469008 /nfs/dbraw/zinc/46/90/08/1074469008.db2.gz AZYGLFWGKLZWFA-HXUWFJFHSA-N 0 0 441.550 -0.043 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)NC[C@@]3(CO)COCC4(CCC4)N3C)CC2=O)cn1 ZINC001453594007 1074468991 /nfs/dbraw/zinc/46/89/91/1074468991.db2.gz GBWKYJARCIDKMM-HRAATJIYSA-N 0 0 435.525 -0.386 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@]3(CO)COCC4(CCC4)N3C)CC2=O)cn1 ZINC001453594013 1074468935 /nfs/dbraw/zinc/46/89/35/1074468935.db2.gz GBWKYJARCIDKMM-IERDGZPVSA-N 0 0 435.525 -0.386 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC[C@@]3(CO)COCC4(CCC4)N3C)CC2=O)cn1 ZINC001453594018 1074469060 /nfs/dbraw/zinc/46/90/60/1074469060.db2.gz GBWKYJARCIDKMM-IIBYNOLFSA-N 0 0 435.525 -0.386 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)NC[C@]3(CO)COCC4(CCC4)N3C)CC2=O)cn1 ZINC001453594024 1074469080 /nfs/dbraw/zinc/46/90/80/1074469080.db2.gz GBWKYJARCIDKMM-KKSFZXQISA-N 0 0 435.525 -0.386 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)[C@@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001453600176 1074469095 /nfs/dbraw/zinc/46/90/95/1074469095.db2.gz SYEQYDGMVLSXAA-HRAATJIYSA-N 0 0 433.509 -0.091 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)[C@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001453600182 1074468797 /nfs/dbraw/zinc/46/87/97/1074468797.db2.gz SYEQYDGMVLSXAA-IERDGZPVSA-N 0 0 433.509 -0.091 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)[C@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001453600189 1074468965 /nfs/dbraw/zinc/46/89/65/1074468965.db2.gz SYEQYDGMVLSXAA-IIBYNOLFSA-N 0 0 433.509 -0.091 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)[C@@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001453600196 1074469046 /nfs/dbraw/zinc/46/90/46/1074469046.db2.gz SYEQYDGMVLSXAA-KKSFZXQISA-N 0 0 433.509 -0.091 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NN2CCS(=O)(=O)CC2)cc1OCC ZINC001453630432 1074468771 /nfs/dbraw/zinc/46/87/71/1074468771.db2.gz AFXXMKXZWRXELT-UHFFFAOYSA-N 0 0 435.524 -0.476 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cccc(C(=O)NN3CCS(=O)(=O)CC3)c2)C[C@H](C)O1 ZINC001453634541 1074468868 /nfs/dbraw/zinc/46/88/68/1074468868.db2.gz GHIWXUWLQGPRCW-KBPBESRZSA-N 0 0 431.536 -0.140 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NN3CCS(=O)(=O)CC3)c2)C[C@H](C)O1 ZINC001453634545 1074468981 /nfs/dbraw/zinc/46/89/81/1074468981.db2.gz GHIWXUWLQGPRCW-OKILXGFUSA-N 0 0 431.536 -0.140 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)NN3CCS(=O)(=O)CC3)c2)C[C@@H](C)O1 ZINC001453634549 1074468878 /nfs/dbraw/zinc/46/88/78/1074468878.db2.gz GHIWXUWLQGPRCW-ZIAGYGMSSA-N 0 0 431.536 -0.140 20 0 IBADRN CN(CCOCCNC(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1)C(=O)OC(C)(C)C ZINC001453636803 1074468887 /nfs/dbraw/zinc/46/88/87/1074468887.db2.gz WPPMHGAKCJPFMC-BZUAXINKSA-N 0 0 439.513 -0.090 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC001453637027 1074469074 /nfs/dbraw/zinc/46/90/74/1074469074.db2.gz FGEPWHPSTPERAL-GOSISDBHSA-N 0 0 427.479 -0.425 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)C1 ZINC001453637037 1074469306 /nfs/dbraw/zinc/46/93/06/1074469306.db2.gz FGEPWHPSTPERAL-SFHVURJKSA-N 0 0 427.479 -0.425 20 0 IBADRN O=C(NN1CCS(=O)(=O)CC1)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001453639005 1074469384 /nfs/dbraw/zinc/46/93/84/1074469384.db2.gz NTKFNPNNFXWRHH-CYBMUJFWSA-N 0 0 443.503 -0.615 20 0 IBADRN O=C(NN1CCS(=O)(=O)CC1)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001453639007 1074469354 /nfs/dbraw/zinc/46/93/54/1074469354.db2.gz NTKFNPNNFXWRHH-ZDUSSCGKSA-N 0 0 443.503 -0.615 20 0 IBADRN O=C(NN1CCS(=O)(=O)CC1)c1ccc(I)cc1-n1cnnn1 ZINC001453639173 1074469423 /nfs/dbraw/zinc/46/94/23/1074469423.db2.gz PGTJGCLLRQSUAC-UHFFFAOYSA-N 0 0 448.246 -0.358 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)NN2CCS(=O)(=O)CC2)c1 ZINC001453640507 1074469379 /nfs/dbraw/zinc/46/93/79/1074469379.db2.gz SCNCGPDEWPRMCQ-UHFFFAOYSA-N 0 0 426.314 -0.268 20 0 IBADRN COc1cc(N2C[C@H](C(=O)NN3CCS(=O)(=O)CC3)CC2=O)cc(OC)c1OC ZINC001453642397 1074469364 /nfs/dbraw/zinc/46/93/64/1074469364.db2.gz ZSTYKWIZMXRTBV-GFCCVEGCSA-N 0 0 427.479 -0.173 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)NN3CCS(=O)(=O)CC3)CC2=O)cc(OC)c1OC ZINC001453642402 1074469327 /nfs/dbraw/zinc/46/93/27/1074469327.db2.gz ZSTYKWIZMXRTBV-LBPRGKRZSA-N 0 0 427.479 -0.173 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001453645244 1074469284 /nfs/dbraw/zinc/46/92/84/1074469284.db2.gz WOBUYMHLIWMWSE-QIIPPGSGSA-N 0 0 430.454 -0.260 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C1 ZINC001453645246 1074469432 /nfs/dbraw/zinc/46/94/32/1074469432.db2.gz WOBUYMHLIWMWSE-XUWXXGDYSA-N 0 0 430.454 -0.260 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CN3C(=O)c4ccccc4S3(=O)=O)CC2)CCOC1 ZINC001453684330 1074469417 /nfs/dbraw/zinc/46/94/17/1074469417.db2.gz GCZDDUDYKGLCMT-IBGZPJMESA-N 0 0 437.474 -0.693 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CN3C(=O)c4ccccc4S3(=O)=O)CC2)CCOC1 ZINC001453684335 1074469298 /nfs/dbraw/zinc/46/92/98/1074469298.db2.gz GCZDDUDYKGLCMT-LJQANCHMSA-N 0 0 437.474 -0.693 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)c3nc4n(n3)CCCN4)CC2)CC1 ZINC001453686460 1074469369 /nfs/dbraw/zinc/46/93/69/1074469369.db2.gz LZRDDNSMVWSDGG-UHFFFAOYSA-N 0 0 448.528 -0.218 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)Cc3ccc(S(=O)(=O)CCO)cc3)CC2)CCOC1 ZINC001453686606 1074469374 /nfs/dbraw/zinc/46/93/74/1074469374.db2.gz JFGMGSJOCJNOJD-FQEVSTJZSA-N 0 0 440.518 -0.529 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)Cc3ccc(S(=O)(=O)CCO)cc3)CC2)CCOC1 ZINC001453686609 1074469276 /nfs/dbraw/zinc/46/92/76/1074469276.db2.gz JFGMGSJOCJNOJD-HXUWFJFHSA-N 0 0 440.518 -0.529 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CNS(=O)(=O)c3ccc(C)cc3)CC2)CCOC1 ZINC001453686644 1074469361 /nfs/dbraw/zinc/46/93/61/1074469361.db2.gz JLFASLBPCBEWIC-IBGZPJMESA-N 0 0 425.507 -0.250 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CNS(=O)(=O)c3ccc(C)cc3)CC2)CCOC1 ZINC001453686646 1074469262 /nfs/dbraw/zinc/46/92/62/1074469262.db2.gz JLFASLBPCBEWIC-LJQANCHMSA-N 0 0 425.507 -0.250 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CS(=O)(=O)NC3CCC(C)CC3)CC2)CCOC1 ZINC001453688512 1074469340 /nfs/dbraw/zinc/46/93/40/1074469340.db2.gz LNGYWYHMGQEQFF-LADRWXRNSA-N 0 0 431.555 -0.039 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CS(=O)(=O)NC3CCC(C)CC3)CC2)CCOC1 ZINC001453688513 1074469429 /nfs/dbraw/zinc/46/94/29/1074469429.db2.gz LNGYWYHMGQEQFF-RJYAGPCLSA-N 0 0 431.555 -0.039 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CCOC(=O)CNC(=O)C(C)(C)C)CC2)CCOC1 ZINC001453689289 1074469389 /nfs/dbraw/zinc/46/93/89/1074469389.db2.gz SXZSLFMIDHLCAH-FQEVSTJZSA-N 0 0 427.498 -0.442 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CCOC(=O)CNC(=O)C(C)(C)C)CC2)CCOC1 ZINC001453689292 1074470038 /nfs/dbraw/zinc/47/00/38/1074470038.db2.gz SXZSLFMIDHLCAH-HXUWFJFHSA-N 0 0 427.498 -0.442 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN([C@@]2(C(=O)OC)CCOC2)CC1 ZINC001453692082 1074469994 /nfs/dbraw/zinc/46/99/94/1074469994.db2.gz PGTWJHLBJXLPDA-PBHICJAKSA-N 0 0 437.584 -0.476 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN([C@]2(C(=O)OC)CCOC2)CC1 ZINC001453692085 1074470079 /nfs/dbraw/zinc/47/00/79/1074470079.db2.gz PGTWJHLBJXLPDA-RHSMWYFYSA-N 0 0 437.584 -0.476 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN([C@]2(C(=O)OC)CCOC2)CC1 ZINC001453692088 1074470082 /nfs/dbraw/zinc/47/00/82/1074470082.db2.gz PGTWJHLBJXLPDA-WMLDXEAASA-N 0 0 437.584 -0.476 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN([C@@]2(C(=O)OC)CCOC2)CC1 ZINC001453692091 1074470056 /nfs/dbraw/zinc/47/00/56/1074470056.db2.gz PGTWJHLBJXLPDA-YOEHRIQHSA-N 0 0 437.584 -0.476 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)c1 ZINC001453694587 1074470042 /nfs/dbraw/zinc/47/00/42/1074470042.db2.gz RXEXXXGMKUAUIU-GOSISDBHSA-N 0 0 444.510 -0.971 20 0 IBADRN COCCNS(=O)(=O)c1c[nH]c(C(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)c1 ZINC001453694589 1074470067 /nfs/dbraw/zinc/47/00/67/1074470067.db2.gz RXEXXXGMKUAUIU-SFHVURJKSA-N 0 0 444.510 -0.971 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC001453733687 1074470059 /nfs/dbraw/zinc/47/00/59/1074470059.db2.gz GCMHWLAOLNMLCH-UHFFFAOYSA-N 0 0 432.403 -0.026 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@H](O)C[C@@]2(C)CO)c1 ZINC001453739212 1074470031 /nfs/dbraw/zinc/47/00/31/1074470031.db2.gz ATVAUOLPIHRXKE-BEFAXECRSA-N 0 0 427.523 -0.209 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@H](O)C[C@]2(C)CO)c1 ZINC001453739215 1074470051 /nfs/dbraw/zinc/47/00/51/1074470051.db2.gz ATVAUOLPIHRXKE-DNVCBOLYSA-N 0 0 427.523 -0.209 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@@H](O)C[C@]2(C)CO)c1 ZINC001453739218 1074470053 /nfs/dbraw/zinc/47/00/53/1074470053.db2.gz ATVAUOLPIHRXKE-HNAYVOBHSA-N 0 0 427.523 -0.209 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@@H](O)C[C@@]2(C)CO)c1 ZINC001453739221 1074470049 /nfs/dbraw/zinc/47/00/49/1074470049.db2.gz ATVAUOLPIHRXKE-KXBFYZLASA-N 0 0 427.523 -0.209 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCO[C@H](Cn3ccnn3)C2)cc1 ZINC001453742196 1074470005 /nfs/dbraw/zinc/47/00/05/1074470005.db2.gz HBPOEHCTQXLFHF-INIZCTEOSA-N 0 0 436.494 -0.215 20 0 IBADRN CCc1c(C(=O)NCC(=O)N(C)OCC(F)(F)F)cnc2c1c(=O)n(C)c(=O)n2C ZINC001453742288 1074470084 /nfs/dbraw/zinc/47/00/84/1074470084.db2.gz ZPJVAPUXIJLMGD-UHFFFAOYSA-N 0 0 431.371 -0.123 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCO[C@@H](Cn3ccnn3)C2)cc1 ZINC001453742290 1074470064 /nfs/dbraw/zinc/47/00/64/1074470064.db2.gz HBPOEHCTQXLFHF-MRXNPFEDSA-N 0 0 436.494 -0.215 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1nc(C)ncc1Br ZINC001453744464 1074470023 /nfs/dbraw/zinc/47/00/23/1074470023.db2.gz RPYLEIUBOXYPIP-WPRPVWTQSA-N 0 0 426.227 -0.145 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCc1ccc(S(C)(=O)=O)cc1 ZINC001453744553 1074469983 /nfs/dbraw/zinc/46/99/83/1074469983.db2.gz SIZBINPRGMFYNN-ZFWWWQNUSA-N 0 0 437.474 -0.283 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)Cn1ccc(Br)cc1=O ZINC001453744712 1074470014 /nfs/dbraw/zinc/47/00/14/1074470014.db2.gz TTZYUNIRNMNRHG-QWRGUYRKSA-N 0 0 441.238 -0.695 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCO[C@H](Cn2ccnn2)C1 ZINC001453744992 1074470477 /nfs/dbraw/zinc/47/04/77/1074470477.db2.gz KCDUCEJXPGAVNI-KRWDZBQOSA-N 0 0 435.506 -0.035 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCO[C@@H](Cn2ccnn2)C1 ZINC001453744999 1074470413 /nfs/dbraw/zinc/47/04/13/1074470413.db2.gz KCDUCEJXPGAVNI-QGZVFWFLSA-N 0 0 435.506 -0.035 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)Cn1nc(-c2ccccc2)ccc1=O ZINC001453745206 1074470533 /nfs/dbraw/zinc/47/05/33/1074470533.db2.gz AOPKHEZAVKAXGS-HOCLYGCPSA-N 0 0 439.428 -0.395 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(OC)c(S(C)(=O)=O)c1 ZINC001453745254 1074470566 /nfs/dbraw/zinc/47/05/66/1074470566.db2.gz VWAAZTOKTSBISC-RYUDHWBXSA-N 0 0 439.446 -0.593 20 0 IBADRN CCc1ccc(S(C)(=O)=O)cc1C(=O)N1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)OC ZINC001453746497 1074470552 /nfs/dbraw/zinc/47/05/52/1074470552.db2.gz FKDXVLSCDSAONW-WFASDCNBSA-N 0 0 437.474 -0.040 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1cc(N2CCNC2=O)ccc1F ZINC001453746794 1074470496 /nfs/dbraw/zinc/47/04/96/1074470496.db2.gz GJMXEMOPQIFDEP-FZMZJTMJSA-N 0 0 433.396 -0.337 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(=O)n(Cc2ccccc2)n1 ZINC001453747936 1074470485 /nfs/dbraw/zinc/47/04/85/1074470485.db2.gz JAMLRYASELIMNH-HOCLYGCPSA-N 0 0 439.428 -0.401 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CN1C(=O)c2ccc(C)cc2C1=O ZINC001453748427 1074470579 /nfs/dbraw/zinc/47/05/79/1074470579.db2.gz JNFWYKORUXFSIC-FZMZJTMJSA-N 0 0 428.401 -0.715 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(Cn2ccccc2=O)o1 ZINC001453748679 1074470572 /nfs/dbraw/zinc/47/05/72/1074470572.db2.gz KHNNBXZAGHNMCS-JSGCOSHPSA-N 0 0 428.401 -0.203 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CN1c2ccccc2O[C@H](C)C1=O ZINC001453750489 1074470502 /nfs/dbraw/zinc/47/05/02/1074470502.db2.gz QGMDIAYIMWUZMO-DYEKYZERSA-N 0 0 430.417 -0.505 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CN1c2ccccc2O[C@@H](C)C1=O ZINC001453750497 1074470540 /nfs/dbraw/zinc/47/05/40/1074470540.db2.gz QGMDIAYIMWUZMO-OBJOEFQTSA-N 0 0 430.417 -0.505 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)C(=O)c1cnn(-c2ccccc2)c1 ZINC001453751714 1074470443 /nfs/dbraw/zinc/47/04/43/1074470443.db2.gz SYCDLFSQGOBFTK-GJZGRUSLSA-N 0 0 425.401 -0.251 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)C1=NN(c2ccccc2)[C@@H](C(C)=O)C1 ZINC001453753989 1074470546 /nfs/dbraw/zinc/47/05/46/1074470546.db2.gz YTZYHNNXRVKFFY-UAGQMJEPSA-N 0 0 441.444 -0.095 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)C1=NN(c2ccccc2)[C@H](C(C)=O)C1 ZINC001453754008 1074470458 /nfs/dbraw/zinc/47/04/58/1074470458.db2.gz YTZYHNNXRVKFFY-XIRDDKMYSA-N 0 0 441.444 -0.095 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(=O)n(-c2ccccc2)n1 ZINC001453754072 1074470561 /nfs/dbraw/zinc/47/05/61/1074470561.db2.gz YWJGNEWRMDKXTN-ZFWWWQNUSA-N 0 0 425.401 -0.460 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)C[C@@H](NC(C)=O)c1ccc(OC)cc1 ZINC001453754518 1074470452 /nfs/dbraw/zinc/47/04/52/1074470452.db2.gz ZYICUVDITFMQMN-UAGQMJEPSA-N 0 0 446.460 -0.043 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)C[C@H](NC(C)=O)c1ccc(OC)cc1 ZINC001453754519 1074470587 /nfs/dbraw/zinc/47/05/87/1074470587.db2.gz ZYICUVDITFMQMN-XIRDDKMYSA-N 0 0 446.460 -0.043 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](O)C[C@@]3(C)CO)cc2)CC1 ZINC001453755152 1074470425 /nfs/dbraw/zinc/47/04/25/1074470425.db2.gz VVWWAOUCPGMLSP-APWZRJJASA-N 0 0 425.507 -0.503 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@@H](O)C[C@@]3(C)CO)cc2)CC1 ZINC001453755155 1074470436 /nfs/dbraw/zinc/47/04/36/1074470436.db2.gz VVWWAOUCPGMLSP-LPHOPBHVSA-N 0 0 425.507 -0.503 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@@H](O)C[C@]3(C)CO)cc2)CC1 ZINC001453755158 1074471064 /nfs/dbraw/zinc/47/10/64/1074471064.db2.gz VVWWAOUCPGMLSP-QFBILLFUSA-N 0 0 425.507 -0.503 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](O)C[C@]3(C)CO)cc2)CC1 ZINC001453755162 1074471011 /nfs/dbraw/zinc/47/10/11/1074471011.db2.gz VVWWAOUCPGMLSP-VQIMIIECSA-N 0 0 425.507 -0.503 20 0 IBADRN COC1(OC)CCOC[C@H]1NC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001453770725 1074471082 /nfs/dbraw/zinc/47/10/82/1074471082.db2.gz SRMGIRZDXFVHSJ-CYBMUJFWSA-N 0 0 435.524 -0.443 20 0 IBADRN COC1(OC)CCOC[C@@H]1NC(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001453770727 1074471068 /nfs/dbraw/zinc/47/10/68/1074471068.db2.gz SRMGIRZDXFVHSJ-ZDUSSCGKSA-N 0 0 435.524 -0.443 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)Nc3n[nH]cc3I)[C@@H](O)C(=O)N2C)cn1 ZINC001453772860 1074471029 /nfs/dbraw/zinc/47/10/29/1074471029.db2.gz LPHGSACZBRBPRM-OPRDCNLKSA-N 0 0 430.206 -0.123 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCN2CCC3(C2)OCCO3)c1 ZINC001453781497 1074471013 /nfs/dbraw/zinc/47/10/13/1074471013.db2.gz FACVTQVWFDGVHA-UHFFFAOYSA-N 0 0 440.522 -0.651 20 0 IBADRN Cc1cc(O)cc(=O)n1CC(=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001453807151 1074471053 /nfs/dbraw/zinc/47/10/53/1074471053.db2.gz AWAYNUBKFYHLJL-UHFFFAOYSA-N 0 0 434.518 -0.015 20 0 IBADRN O=C(C1CC2(C1)CS(=O)(=O)C2)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001453809619 1074471059 /nfs/dbraw/zinc/47/10/59/1074471059.db2.gz HCJMQKSEOPNDJL-UHFFFAOYSA-N 0 0 441.575 -0.066 20 0 IBADRN O=C(Cn1nc2ccccn2c1=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001453810848 1074471004 /nfs/dbraw/zinc/47/10/04/1074471004.db2.gz IDVYRMWYBLCPSL-UHFFFAOYSA-N 0 0 444.517 -0.381 20 0 IBADRN O=C(CN1CCCCC(=O)C1=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001453817782 1074471074 /nfs/dbraw/zinc/47/10/74/1074471074.db2.gz VNCBGJLASWWOTD-UHFFFAOYSA-N 0 0 436.534 -0.309 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(c3nccnn3)CC2)cc1 ZINC001453979896 1074471085 /nfs/dbraw/zinc/47/10/85/1074471085.db2.gz OHPQFLVMFANAHH-UHFFFAOYSA-N 0 0 433.494 -0.201 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(c4ccc(F)cc4C(N)=O)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001454010981 1074471043 /nfs/dbraw/zinc/47/10/43/1074471043.db2.gz MGOAEKVBGRWJFM-KZNAEPCWSA-N 0 0 444.467 -0.503 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CCNC1=O ZINC001454040584 1074471024 /nfs/dbraw/zinc/47/10/24/1074471024.db2.gz GZPRROBWXNDPPB-QWHCGFSZSA-N 0 0 437.927 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)N[C@H]1CCNC1=O ZINC001454040588 1074471079 /nfs/dbraw/zinc/47/10/79/1074471079.db2.gz GZPRROBWXNDPPB-STQMWFEESA-N 0 0 437.927 -0.574 20 0 IBADRN CN=[S@](C)(=O)CCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001454110084 1074470997 /nfs/dbraw/zinc/47/09/97/1074470997.db2.gz IKCCMZKXFWQCOT-HHHXNRCGSA-N 0 0 430.552 -0.003 20 0 IBADRN CN=[S@@](C)(=O)CCNC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001454110088 1074471687 /nfs/dbraw/zinc/47/16/87/1074471687.db2.gz IKCCMZKXFWQCOT-MHZLTWQESA-N 0 0 430.552 -0.003 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@@H]2CN(C)CCN2Cc2ccccc2)cn1C ZINC001454113423 1074471621 /nfs/dbraw/zinc/47/16/21/1074471621.db2.gz FYEVMLBJNZPCJL-GOSISDBHSA-N 0 0 434.566 -0.061 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)NC[C@H]2CN(C)CCN2Cc2ccccc2)cn1C ZINC001454113436 1074471535 /nfs/dbraw/zinc/47/15/35/1074471535.db2.gz FYEVMLBJNZPCJL-SFHVURJKSA-N 0 0 434.566 -0.061 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCC(CO)(CO)C1 ZINC001454146143 1074471563 /nfs/dbraw/zinc/47/15/63/1074471563.db2.gz UFPWGJQOYLAUOB-INIZCTEOSA-N 0 0 428.507 -0.081 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCC(CO)(CO)C1 ZINC001454146144 1074471594 /nfs/dbraw/zinc/47/15/94/1074471594.db2.gz UFPWGJQOYLAUOB-MRXNPFEDSA-N 0 0 428.507 -0.081 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(CO)(CO)C2)c1 ZINC001454146208 1074471656 /nfs/dbraw/zinc/47/16/56/1074471656.db2.gz WUUOKBOLGKVHNN-UHFFFAOYSA-N 0 0 427.523 -0.350 20 0 IBADRN COc1ccc(CC(=O)N2CCC(CO)(CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001454146865 1074471454 /nfs/dbraw/zinc/47/14/54/1074471454.db2.gz ZIYDIOZVSMCOFJ-UHFFFAOYSA-N 0 0 428.507 -0.538 20 0 IBADRN CN1CC(=O)N(CC(=O)N2CCN(C(=O)N3CCN(Cc4ccccc4)CC3)CC2)C1=O ZINC001454156110 1074471471 /nfs/dbraw/zinc/47/14/71/1074471471.db2.gz BKQPFHASPHDNQT-UHFFFAOYSA-N 0 0 442.520 -0.038 20 0 IBADRN CN1CCN(CC(=O)N2CCN(C(=O)N3CCN(Cc4ccccc4)CC3)CC2)CC1=O ZINC001454157462 1074471670 /nfs/dbraw/zinc/47/16/70/1074471670.db2.gz FIOQZOIEMXSAOD-UHFFFAOYSA-N 0 0 442.564 -0.158 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)N1CCN(C(=O)N2CCN(Cc3ccccc3)CC2)CC1 ZINC001454158578 1074471495 /nfs/dbraw/zinc/47/14/95/1074471495.db2.gz JASUEJCPAIKZBI-UHFFFAOYSA-N 0 0 437.566 -0.040 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)[C@@H]2CCCN(C(=O)N3CCc4sccc4C3)C2)C[C@H]1C(N)=O ZINC001454168087 1074471424 /nfs/dbraw/zinc/47/14/24/1074471424.db2.gz ROLSRAKFIDUGCH-KFWWJZLASA-N 0 0 433.534 -0.017 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)[C@@H]2CCCN(C(=O)N3CCc4sccc4C3)C2)C[C@H]1C(N)=O ZINC001454168089 1074471526 /nfs/dbraw/zinc/47/15/26/1074471526.db2.gz ROLSRAKFIDUGCH-RBSFLKMASA-N 0 0 433.534 -0.017 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)[C@H]2CCCN(C(=O)N3CCc4sccc4C3)C2)C[C@H]1C(N)=O ZINC001454168091 1074471665 /nfs/dbraw/zinc/47/16/65/1074471665.db2.gz ROLSRAKFIDUGCH-RRFJBIMHSA-N 0 0 433.534 -0.017 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)[C@H]2CCCN(C(=O)N3CCc4sccc4C3)C2)C[C@H]1C(N)=O ZINC001454168093 1074471708 /nfs/dbraw/zinc/47/17/08/1074471708.db2.gz ROLSRAKFIDUGCH-SOUVJXGZSA-N 0 0 433.534 -0.017 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H](CO)c1cncn1C ZINC001454170885 1074471601 /nfs/dbraw/zinc/47/16/01/1074471601.db2.gz RYLGKLCHAACDAE-CABCVRRESA-N 0 0 438.506 -0.188 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H](CO)c1cncn1C ZINC001454170971 1074471508 /nfs/dbraw/zinc/47/15/08/1074471508.db2.gz RYLGKLCHAACDAE-GJZGRUSLSA-N 0 0 438.506 -0.188 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@H](CO)c1cncn1C ZINC001454170978 1074471438 /nfs/dbraw/zinc/47/14/38/1074471438.db2.gz RYLGKLCHAACDAE-HUUCEWRRSA-N 0 0 438.506 -0.188 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@H](CO)c1cncn1C ZINC001454170987 1074471611 /nfs/dbraw/zinc/47/16/11/1074471611.db2.gz RYLGKLCHAACDAE-LSDHHAIUSA-N 0 0 438.506 -0.188 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCC(N(C(=O)C(F)(F)F)c2cccnc2)CC1 ZINC001454188731 1074471486 /nfs/dbraw/zinc/47/14/86/1074471486.db2.gz GKZCSHUXOJMCKE-ZDUSSCGKSA-N 0 0 448.423 -0.119 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NC2=NCC(=O)N2C)c1OC ZINC001454205805 1074471695 /nfs/dbraw/zinc/47/16/95/1074471695.db2.gz LCXZYFXTQDHUAN-UHFFFAOYSA-N 0 0 426.451 -0.718 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)NC3=NCC(=O)N3C)CC2)o1 ZINC001454208924 1074472052 /nfs/dbraw/zinc/47/20/52/1074472052.db2.gz GLGWIHHSONNJJQ-UHFFFAOYSA-N 0 0 426.451 -0.199 20 0 IBADRN COCCCn1nc(C(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)ccc1=O ZINC001454209176 1074472109 /nfs/dbraw/zinc/47/21/09/1074472109.db2.gz TXUSGBSWVKGOQP-UHFFFAOYSA-N 0 0 435.506 -0.110 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@@H]2CC[C@H](CN3CCOCC3)O2)CC1 ZINC001454210775 1074472037 /nfs/dbraw/zinc/47/20/37/1074472037.db2.gz YMOTXZLYKDFESJ-AEFFLSMTSA-N 0 0 438.550 -0.138 20 0 IBADRN COCCNC(=O)CSc1ccccc1C(=O)NC1CCN(S(N)(=O)=O)CC1 ZINC001454217257 1074472044 /nfs/dbraw/zinc/47/20/44/1074472044.db2.gz FBEURKSVRJUMHD-UHFFFAOYSA-N 0 0 430.552 -0.061 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)NCC(=O)NC2CCN(S(N)(=O)=O)CC2)c1C ZINC001454219115 1074472061 /nfs/dbraw/zinc/47/20/61/1074472061.db2.gz HRHDCCLBFZFGML-UHFFFAOYSA-N 0 0 432.568 -0.017 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN(C)C[C@H]2CO)cc1 ZINC001454220058 1074472092 /nfs/dbraw/zinc/47/20/92/1074472092.db2.gz ILBRDJCBPAMQJB-KRWDZBQOSA-N 0 0 429.539 -0.281 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CCN(C)C[C@@H]2CO)cc1 ZINC001454220063 1074472102 /nfs/dbraw/zinc/47/21/02/1074472102.db2.gz ILBRDJCBPAMQJB-QGZVFWFLSA-N 0 0 429.539 -0.281 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)CCc2ccc(N3CCCS3(=O)=O)cc2)CC1 ZINC001454226094 1074472119 /nfs/dbraw/zinc/47/21/19/1074472119.db2.gz RKVYXNNNEIXOPE-UHFFFAOYSA-N 0 0 430.552 -0.057 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)CN2C(=O)COc3cc(Br)ccc32)CC1 ZINC001454226666 1074472033 /nfs/dbraw/zinc/47/20/33/1074472033.db2.gz WLTMVBMIVVYKJV-UHFFFAOYSA-N 0 0 447.311 -0.041 20 0 IBADRN COc1ccc(C(=O)NOCCN2CCCC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001454240911 1074472114 /nfs/dbraw/zinc/47/21/14/1074472114.db2.gz VERRXPJOTVFBDU-UHFFFAOYSA-N 0 0 427.479 0.000 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(C(=O)NCCO)CC1 ZINC001454262545 1074472066 /nfs/dbraw/zinc/47/20/66/1074472066.db2.gz KACDGPJXHUEMJC-UHFFFAOYSA-N 0 0 439.534 -0.023 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@H](O)[C@H](C)O)CC2)c1 ZINC001454270321 1074472086 /nfs/dbraw/zinc/47/20/86/1074472086.db2.gz UXDNDJOQASCXIV-DLBZAZTESA-N 0 0 441.550 -0.226 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@H](O)[C@@H](C)O)CC2)c1 ZINC001454270329 1074472099 /nfs/dbraw/zinc/47/20/99/1074472099.db2.gz UXDNDJOQASCXIV-IAGOWNOFSA-N 0 0 441.550 -0.226 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@H](O)[C@H](C)O)CC2)c1 ZINC001454270330 1074472082 /nfs/dbraw/zinc/47/20/82/1074472082.db2.gz UXDNDJOQASCXIV-IRXDYDNUSA-N 0 0 441.550 -0.226 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@H](O)[C@@H](C)O)CC2)c1 ZINC001454270333 1074472122 /nfs/dbraw/zinc/47/21/22/1074472122.db2.gz UXDNDJOQASCXIV-SJORKVTESA-N 0 0 441.550 -0.226 20 0 IBADRN O=C(Nn1ccc(=O)[nH]c1=O)C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001454275344 1074472069 /nfs/dbraw/zinc/47/20/69/1074472069.db2.gz XJMOCMMWMDNQNM-UHFFFAOYSA-N 0 0 436.446 -0.109 20 0 IBADRN CCN1CCN(CC(=O)N2CCNC(=O)CC23CN(C(=O)OC(C)(C)C)C3)C(=O)C1=O ZINC001454278657 1074472048 /nfs/dbraw/zinc/47/20/48/1074472048.db2.gz HDWHWECCILBMOM-UHFFFAOYSA-N 0 0 437.497 -0.985 20 0 IBADRN Cn1cc(/C=C\C(=O)N2CCNC(=O)CC23CN(C(=O)OC(C)(C)C)C3)c(=O)n(C)c1=O ZINC001454279964 1074472610 /nfs/dbraw/zinc/47/26/10/1074472610.db2.gz TTYKOZMSGAZXIC-SREVYHEPSA-N 0 0 447.492 -0.565 20 0 IBADRN Cn1cc(/C=C/C(=O)N2CCNC(=O)CC23CN(C(=O)OC(C)(C)C)C3)c(=O)n(C)c1=O ZINC001454279966 1074472670 /nfs/dbraw/zinc/47/26/70/1074472670.db2.gz TTYKOZMSGAZXIC-VOTSOKGWSA-N 0 0 447.492 -0.565 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)NCC34COCCN3CCOC4)C2=O)cc1 ZINC001454284080 1074472646 /nfs/dbraw/zinc/47/26/46/1074472646.db2.gz CYRMDWLOTDEOLP-FQEVSTJZSA-N 0 0 432.477 -0.320 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCC34COCCN3CCOC4)C2=O)cc1 ZINC001454284081 1074472683 /nfs/dbraw/zinc/47/26/83/1074472683.db2.gz CYRMDWLOTDEOLP-HXUWFJFHSA-N 0 0 432.477 -0.320 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCNC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001454284134 1074472729 /nfs/dbraw/zinc/47/27/29/1074472729.db2.gz GKXUYXKDGNMZRS-UHFFFAOYSA-N 0 0 441.510 -0.141 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NCC23COCCN2CCOC3)cc1 ZINC001454284747 1074472631 /nfs/dbraw/zinc/47/26/31/1074472631.db2.gz HRGCMVQAKANESP-UHFFFAOYSA-N 0 0 425.507 -0.225 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NCC23COCCN2CCOC3)cc1Br ZINC001454284773 1074472723 /nfs/dbraw/zinc/47/27/23/1074472723.db2.gz IDXILWANXMZSAZ-UHFFFAOYSA-N 0 0 434.312 -0.073 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC2CC(CO)(CO)C2)c1 ZINC001454284941 1074472716 /nfs/dbraw/zinc/47/27/16/1074472716.db2.gz PBJASHNDVFHHGE-UHFFFAOYSA-N 0 0 427.523 -0.304 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCC23COCCN2CCOC3)[C@H](C)O)cc1 ZINC001454286007 1074472625 /nfs/dbraw/zinc/47/26/25/1074472625.db2.gz POKZTXQNZNJROD-DOTOQJQBSA-N 0 0 427.523 -0.760 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)NCC23COCCN2CCOC3)[C@@H](C)O)cc1 ZINC001454286009 1074472588 /nfs/dbraw/zinc/47/25/88/1074472588.db2.gz POKZTXQNZNJROD-NVXWUHKLSA-N 0 0 427.523 -0.760 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC23COCCN2CCOC3)[C@H](C)O)cc1 ZINC001454286012 1074472642 /nfs/dbraw/zinc/47/26/42/1074472642.db2.gz POKZTXQNZNJROD-RDJZCZTQSA-N 0 0 427.523 -0.760 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)NCC23COCCN2CCOC3)[C@@H](C)O)cc1 ZINC001454286014 1074472665 /nfs/dbraw/zinc/47/26/65/1074472665.db2.gz POKZTXQNZNJROD-WBVHZDCISA-N 0 0 427.523 -0.760 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@@H](CN2CCOCC2)C1 ZINC001454287592 1074472531 /nfs/dbraw/zinc/47/25/31/1074472531.db2.gz DZKZFWQLDDZVIE-DZKIICNBSA-N 0 0 433.552 -0.665 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@H](CN2CCOCC2)C1 ZINC001454287596 1074472675 /nfs/dbraw/zinc/47/26/75/1074472675.db2.gz DZKZFWQLDDZVIE-LZWOXQAQSA-N 0 0 433.552 -0.665 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@@H](CN2CCOCC2)C1 ZINC001454287599 1074472556 /nfs/dbraw/zinc/47/25/56/1074472556.db2.gz DZKZFWQLDDZVIE-OFQRWUPVSA-N 0 0 433.552 -0.665 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@H](CN2CCOCC2)C1 ZINC001454287601 1074472598 /nfs/dbraw/zinc/47/25/98/1074472598.db2.gz DZKZFWQLDDZVIE-SQWLQELKSA-N 0 0 433.552 -0.665 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCO[C@@H](CN3CCOCC3)C2)C(=O)C1 ZINC001454288267 1074472572 /nfs/dbraw/zinc/47/25/72/1074472572.db2.gz JCZXODDURLVHBH-INIZCTEOSA-N 0 0 426.514 -0.375 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CC(=O)N2CCO[C@H](CN3CCOCC3)C2)C(=O)C1 ZINC001454288269 1074472984 /nfs/dbraw/zinc/47/29/84/1074472984.db2.gz JCZXODDURLVHBH-MRXNPFEDSA-N 0 0 426.514 -0.375 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CCO1 ZINC001454291955 1074473191 /nfs/dbraw/zinc/47/31/91/1074473191.db2.gz AMJFYAYRIRNJHH-VBKZILBWSA-N 0 0 441.456 -0.103 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CCO1 ZINC001454291958 1074473024 /nfs/dbraw/zinc/47/30/24/1074473024.db2.gz AMJFYAYRIRNJHH-XOBRGWDASA-N 0 0 441.456 -0.103 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)N1CCN(C)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001454293847 1074473101 /nfs/dbraw/zinc/47/31/01/1074473101.db2.gz NSFWXRPMKZISTI-HNNXBMFYSA-N 0 0 425.530 -0.313 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)N1CCN(C)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001454293849 1074473015 /nfs/dbraw/zinc/47/30/15/1074473015.db2.gz NSFWXRPMKZISTI-OAHLLOKOSA-N 0 0 425.530 -0.313 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001454295511 1074473066 /nfs/dbraw/zinc/47/30/66/1074473066.db2.gz WHNXPCBTWMBGJX-BRWVUGGUSA-N 0 0 442.582 -0.672 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001454295515 1074473139 /nfs/dbraw/zinc/47/31/39/1074473139.db2.gz WHNXPCBTWMBGJX-GVDBMIGSSA-N 0 0 442.582 -0.672 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001454295518 1074473179 /nfs/dbraw/zinc/47/31/79/1074473179.db2.gz WHNXPCBTWMBGJX-IXDOHACOSA-N 0 0 442.582 -0.672 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001454295523 1074473125 /nfs/dbraw/zinc/47/31/25/1074473125.db2.gz WHNXPCBTWMBGJX-YESZJQIVSA-N 0 0 442.582 -0.672 20 0 IBADRN CON(C)C(=O)[C@H](C)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001454342762 1074473197 /nfs/dbraw/zinc/47/31/97/1074473197.db2.gz WEPJEYLMCLWXNM-ZDUSSCGKSA-N 0 0 426.495 -0.323 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H](C)C(=O)N(C)OC)c1OC ZINC001454360713 1074473154 /nfs/dbraw/zinc/47/31/54/1074473154.db2.gz VSEJMYZUEMHMJD-LBPRGKRZSA-N 0 0 445.494 -0.137 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)NC2CCN(CC(N)=O)CC2)C1=O ZINC001454370654 1074473046 /nfs/dbraw/zinc/47/30/46/1074473046.db2.gz KDIYXGIJCZIULU-HNNXBMFYSA-N 0 0 425.530 -0.184 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)NC2CCN(CC(N)=O)CC2)C1=O ZINC001454370658 1074473114 /nfs/dbraw/zinc/47/31/14/1074473114.db2.gz KDIYXGIJCZIULU-OAHLLOKOSA-N 0 0 425.530 -0.184 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccc(C)cc1)C(=O)N1CC(NS(N)(=O)=O)C1 ZINC001454372407 1074473008 /nfs/dbraw/zinc/47/30/08/1074473008.db2.gz GLHIMYMIWZFMNW-AWEZNQCLSA-N 0 0 436.581 -0.601 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccc(C)cc1)C(=O)N1CC(NS(N)(=O)=O)C1 ZINC001454372410 1074473769 /nfs/dbraw/zinc/47/37/69/1074473769.db2.gz GLHIMYMIWZFMNW-CQSZACIVSA-N 0 0 436.581 -0.601 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)c2cccc(S(=O)(=O)NCc3cccs3)c2)C1 ZINC001454373900 1074473713 /nfs/dbraw/zinc/47/37/13/1074473713.db2.gz IYGMHIQUIMGOLK-UHFFFAOYSA-N 0 0 430.533 -0.156 20 0 IBADRN COc1cc2c(cc1OC)CN(C(=O)CCC(=O)N1CC(NS(N)(=O)=O)C1)CC2 ZINC001454377661 1074473772 /nfs/dbraw/zinc/47/37/72/1074473772.db2.gz QZRQVWPJHNHROK-UHFFFAOYSA-N 0 0 426.495 -0.627 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)c2ccc(S(=O)(=O)NCCc3cccs3)cc2)C1 ZINC001454379085 1074473657 /nfs/dbraw/zinc/47/36/57/1074473657.db2.gz TZKYUESDBORQRB-UHFFFAOYSA-N 0 0 444.560 -0.113 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)N3CC(O)(C(N)=O)C3)ccc2Cl)C[C@@H](C)O1 ZINC001454394584 1074473663 /nfs/dbraw/zinc/47/36/63/1074473663.db2.gz YLLKMBKDSZMAQZ-GHMZBOCLSA-N 0 0 431.898 -0.190 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)N3CC(O)(C(N)=O)C3)ccc2Cl)C[C@H](C)O1 ZINC001454394594 1074473680 /nfs/dbraw/zinc/47/36/80/1074473680.db2.gz YLLKMBKDSZMAQZ-PHIMTYICSA-N 0 0 431.898 -0.190 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2cc(C(=O)N3CC(O)(C(N)=O)C3)ccc2Cl)C[C@H](C)O1 ZINC001454394610 1074473795 /nfs/dbraw/zinc/47/37/95/1074473795.db2.gz YLLKMBKDSZMAQZ-QWRGUYRKSA-N 0 0 431.898 -0.190 20 0 IBADRN NC(=O)[C@@H](F)C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001454402209 1074473819 /nfs/dbraw/zinc/47/38/19/1074473819.db2.gz RTRIZGXCLUIKKA-INIZCTEOSA-N 0 0 426.470 -0.517 20 0 IBADRN NC(=O)[C@H](F)C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001454402213 1074473789 /nfs/dbraw/zinc/47/37/89/1074473789.db2.gz RTRIZGXCLUIKKA-MRXNPFEDSA-N 0 0 426.470 -0.517 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC([C@H](F)C(N)=O)CC2)c1 ZINC001454402877 1074473780 /nfs/dbraw/zinc/47/37/80/1074473780.db2.gz UUNQPHCULJLHKY-INIZCTEOSA-N 0 0 428.486 -0.271 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC([C@@H](F)C(N)=O)CC2)c1 ZINC001454402880 1074473701 /nfs/dbraw/zinc/47/37/01/1074473701.db2.gz UUNQPHCULJLHKY-MRXNPFEDSA-N 0 0 428.486 -0.271 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)(n2cccn2)CC1 ZINC001454428576 1074473671 /nfs/dbraw/zinc/47/36/71/1074473671.db2.gz BKBFMIQLNOZFSC-ZIAGYGMSSA-N 0 0 428.511 -0.117 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1O)c1ccc(I)cc1-n1cnnn1 ZINC001454433143 1074473693 /nfs/dbraw/zinc/47/36/93/1074473693.db2.gz MHMMRTVZQWFJBF-MWLCHTKSSA-N 0 0 449.230 -0.845 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)OC(C)(C)C ZINC001454435601 1074473760 /nfs/dbraw/zinc/47/37/60/1074473760.db2.gz DDENBNVHMOXZTC-DLBZAZTESA-N 0 0 442.557 -0.147 20 0 IBADRN CN(C[C@H](O)CN(C)C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)OC(C)(C)C ZINC001454435612 1074473741 /nfs/dbraw/zinc/47/37/41/1074473741.db2.gz DDENBNVHMOXZTC-IAGOWNOFSA-N 0 0 442.557 -0.147 20 0 IBADRN CN(C[C@@H](O)CN(C)C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)OC(C)(C)C ZINC001454435621 1074473802 /nfs/dbraw/zinc/47/38/02/1074473802.db2.gz DDENBNVHMOXZTC-IRXDYDNUSA-N 0 0 442.557 -0.147 20 0 IBADRN CN(C[C@@H](O)CN(C)C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)C(=O)OC(C)(C)C ZINC001454435662 1074473726 /nfs/dbraw/zinc/47/37/26/1074473726.db2.gz DDENBNVHMOXZTC-SJORKVTESA-N 0 0 442.557 -0.147 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001454437588 1074473684 /nfs/dbraw/zinc/47/36/84/1074473684.db2.gz GZPZKYSQJXNSMO-KRWDZBQOSA-N 0 0 434.541 -0.057 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001454437590 1074473798 /nfs/dbraw/zinc/47/37/98/1074473798.db2.gz GZPZKYSQJXNSMO-QGZVFWFLSA-N 0 0 434.541 -0.057 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1O)[C@@H]1CCCN1C(=O)Nc1ccc2c(c1)OCCO2 ZINC001454440295 1074474378 /nfs/dbraw/zinc/47/43/78/1074474378.db2.gz AUDAJHVWQFDXDU-HZSPNIEDSA-N 0 0 425.463 -0.272 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1O)[C@@H]1CCCN1S(=O)(=O)c1ccc(Cl)s1 ZINC001454440315 1074474371 /nfs/dbraw/zinc/47/43/71/1074474371.db2.gz BXCOVDMFYRHHNF-KXUCPTDWSA-N 0 0 428.941 -0.171 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1O)[C@H]1CCCN1S(=O)(=O)c1ccc(Cl)s1 ZINC001454440317 1074474345 /nfs/dbraw/zinc/47/43/45/1074474345.db2.gz BXCOVDMFYRHHNF-OPRDCNLKSA-N 0 0 428.941 -0.171 20 0 IBADRN O=C(CCn1cnc2ccc(Br)cc2c1=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001454441419 1074474353 /nfs/dbraw/zinc/47/43/53/1074474353.db2.gz LLHKBZOTSYPFOD-CHWSQXEVSA-N 0 0 430.280 -0.177 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001454441651 1074474396 /nfs/dbraw/zinc/47/43/96/1074474396.db2.gz OQKKCKFWARETGZ-ZIAGYGMSSA-N 0 0 427.504 -0.878 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O)N1C(=O)c2ccc(Br)cc2C1=O ZINC001454442459 1074474389 /nfs/dbraw/zinc/47/43/89/1074474389.db2.gz QLSBYKBGYQSYOQ-NZXMKCKXSA-N 0 0 431.264 -0.292 20 0 IBADRN C[C@@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O)N1C(=O)c2ccc(Br)cc2C1=O ZINC001454442476 1074474399 /nfs/dbraw/zinc/47/43/99/1074474399.db2.gz QLSBYKBGYQSYOQ-UPZJHPNMSA-N 0 0 431.264 -0.292 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001454443019 1074474303 /nfs/dbraw/zinc/47/43/03/1074474303.db2.gz YMANWUJGKJSPEE-XXILOJSOSA-N 0 0 430.426 -0.354 20 0 IBADRN O=C(CS(=O)(=O)Cc1cccc(Br)c1)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001454443115 1074474295 /nfs/dbraw/zinc/47/42/95/1074474295.db2.gz YNFNHZLFYQNPHC-VXGBXAGGSA-N 0 0 426.310 -0.362 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)cn1C ZINC001454444235 1074474322 /nfs/dbraw/zinc/47/43/22/1074474322.db2.gz AXFMROSYZNDQFO-HNNXBMFYSA-N 0 0 447.583 -0.882 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)cn1C ZINC001454444237 1074474339 /nfs/dbraw/zinc/47/43/39/1074474339.db2.gz AXFMROSYZNDQFO-OAHLLOKOSA-N 0 0 447.583 -0.882 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N(C)C3CS(=O)(=O)C3)cc2)CC1 ZINC001454445483 1074474368 /nfs/dbraw/zinc/47/43/68/1074474368.db2.gz OYEPIKLZMNZMAB-UHFFFAOYSA-N 0 0 429.520 -0.592 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3COCCC3=O)ccc2F)CC1 ZINC001454445530 1074474361 /nfs/dbraw/zinc/47/43/61/1074474361.db2.gz QCUWFFCHSSMZDU-HNNXBMFYSA-N 0 0 427.454 -0.234 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3COCCC3=O)ccc2F)CC1 ZINC001454445531 1074474288 /nfs/dbraw/zinc/47/42/88/1074474288.db2.gz QCUWFFCHSSMZDU-OAHLLOKOSA-N 0 0 427.454 -0.234 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)=NN1c1ccccc1 ZINC001454446980 1074474376 /nfs/dbraw/zinc/47/43/76/1074474376.db2.gz PNANKMXDAWKAFG-AEFFLSMTSA-N 0 0 433.534 -0.172 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)=NN1c1ccccc1 ZINC001454446987 1074474393 /nfs/dbraw/zinc/47/43/93/1074474393.db2.gz PNANKMXDAWKAFG-FUHWJXTLSA-N 0 0 433.534 -0.172 20 0 IBADRN NC(=O)[C@H]1CC(C(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)=NN1c1ccccc1 ZINC001454446992 1074474384 /nfs/dbraw/zinc/47/43/84/1074474384.db2.gz PNANKMXDAWKAFG-SJLPKXTDSA-N 0 0 433.534 -0.172 20 0 IBADRN NC(=O)[C@@H]1CC(C(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)=NN1c1ccccc1 ZINC001454447063 1074474334 /nfs/dbraw/zinc/47/43/34/1074474334.db2.gz PNANKMXDAWKAFG-WMZOPIPTSA-N 0 0 433.534 -0.172 20 0 IBADRN CN(CC(=O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1)S(=O)(=O)c1cccnc1 ZINC001454447321 1074474318 /nfs/dbraw/zinc/47/43/18/1074474318.db2.gz MFBQLKBTMDVASN-INIZCTEOSA-N 0 0 430.552 -0.577 20 0 IBADRN CN(CC(=O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1)S(=O)(=O)c1cccnc1 ZINC001454447326 1074474308 /nfs/dbraw/zinc/47/43/08/1074474308.db2.gz MFBQLKBTMDVASN-MRXNPFEDSA-N 0 0 430.552 -0.577 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)[C@H]1c1cccnc1 ZINC001454447998 1074474838 /nfs/dbraw/zinc/47/48/38/1074474838.db2.gz OSTCICYTDPVBGB-QRQLOZEOSA-N 0 0 436.534 -0.299 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)[C@H]1c1cccnc1 ZINC001454448004 1074474859 /nfs/dbraw/zinc/47/48/59/1074474859.db2.gz OSTCICYTDPVBGB-UHOSZYNNSA-N 0 0 436.534 -0.299 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001454448722 1074474808 /nfs/dbraw/zinc/47/48/08/1074474808.db2.gz QFJQZEKJEBYGMF-AWEZNQCLSA-N 0 0 430.552 -0.732 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001454448723 1074474901 /nfs/dbraw/zinc/47/49/01/1074474901.db2.gz QFJQZEKJEBYGMF-CQSZACIVSA-N 0 0 430.552 -0.732 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)C1 ZINC001454455304 1074474773 /nfs/dbraw/zinc/47/47/73/1074474773.db2.gz KSVQBZWAYVMEOD-KBPBESRZSA-N 0 0 433.552 -0.253 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)C1 ZINC001454455305 1074474829 /nfs/dbraw/zinc/47/48/29/1074474829.db2.gz KSVQBZWAYVMEOD-KGLIPLIRSA-N 0 0 433.552 -0.253 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)NC[C@H](C)O)cc2)C1 ZINC001454455306 1074474720 /nfs/dbraw/zinc/47/47/20/1074474720.db2.gz KSVQBZWAYVMEOD-UONOGXRCSA-N 0 0 433.552 -0.253 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)NC[C@@H](C)O)cc2)C1 ZINC001454455307 1074474801 /nfs/dbraw/zinc/47/48/01/1074474801.db2.gz KSVQBZWAYVMEOD-ZIAGYGMSSA-N 0 0 433.552 -0.253 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)C1 ZINC001454457497 1074474895 /nfs/dbraw/zinc/47/48/95/1074474895.db2.gz BENZWMTXOXAREA-AWEZNQCLSA-N 0 0 447.558 -0.644 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)C1 ZINC001454457498 1074474865 /nfs/dbraw/zinc/47/48/65/1074474865.db2.gz BENZWMTXOXAREA-CQSZACIVSA-N 0 0 447.558 -0.644 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)CC1 ZINC001454458940 1074474848 /nfs/dbraw/zinc/47/48/48/1074474848.db2.gz FIAAYMWPXQSBCI-UHFFFAOYSA-N 0 0 448.571 -0.966 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2ccc(S(N)(=O)=O)c(Br)c2)C1 ZINC001454461767 1074474884 /nfs/dbraw/zinc/47/48/84/1074474884.db2.gz INYQSXYCQXWIGL-UHFFFAOYSA-N 0 0 441.329 -0.683 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001454462220 1074474879 /nfs/dbraw/zinc/47/48/79/1074474879.db2.gz MTKCPMIKGJFPDD-OCCSQVGLSA-N 0 0 435.524 -0.707 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001454462221 1074474762 /nfs/dbraw/zinc/47/47/62/1074474762.db2.gz MTKCPMIKGJFPDD-TZMCWYRMSA-N 0 0 435.524 -0.707 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(C(=O)N1CCOC[C@H]1CNS(C)(=O)=O)=NO2 ZINC001454464549 1074474739 /nfs/dbraw/zinc/47/47/39/1074474739.db2.gz OVNVBFQQQRAIBZ-GFCCVEGCSA-N 0 0 432.499 -0.471 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(C(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)=NO2 ZINC001454464551 1074474780 /nfs/dbraw/zinc/47/47/80/1074474780.db2.gz OVNVBFQQQRAIBZ-LBPRGKRZSA-N 0 0 432.499 -0.471 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)CC1 ZINC001454464602 1074474819 /nfs/dbraw/zinc/47/48/19/1074474819.db2.gz PVXREDSFLRYUTK-HNNXBMFYSA-N 0 0 434.559 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)CC1 ZINC001454464605 1074474751 /nfs/dbraw/zinc/47/47/51/1074474751.db2.gz PVXREDSFLRYUTK-OAHLLOKOSA-N 0 0 434.559 -0.294 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CCO[C@@H](CC(N)=O)C1)CS2(=O)=O ZINC001454464652 1074475288 /nfs/dbraw/zinc/47/52/88/1074475288.db2.gz FQYUZCKYBAZKJY-BARDWOONSA-N 0 0 445.538 -0.241 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CCO[C@H](CC(N)=O)C3)CS(=O)(=O)[C@@H]2C1 ZINC001454464655 1074475348 /nfs/dbraw/zinc/47/53/48/1074475348.db2.gz FQYUZCKYBAZKJY-KBUPBQIOSA-N 0 0 445.538 -0.241 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CCO[C@@H](CC(N)=O)C3)CS(=O)(=O)[C@@H]2C1 ZINC001454464656 1074475316 /nfs/dbraw/zinc/47/53/16/1074475316.db2.gz FQYUZCKYBAZKJY-LXTVHRRPSA-N 0 0 445.538 -0.241 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CCO[C@H](CC(N)=O)C1)CS2(=O)=O ZINC001454464659 1074475274 /nfs/dbraw/zinc/47/52/74/1074475274.db2.gz FQYUZCKYBAZKJY-TUVASFSCSA-N 0 0 445.538 -0.241 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1C ZINC001454466076 1074475341 /nfs/dbraw/zinc/47/53/41/1074475341.db2.gz RSHMLLZJYQOKIR-HNNXBMFYSA-N 0 0 433.552 -0.252 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1C ZINC001454466084 1074475345 /nfs/dbraw/zinc/47/53/45/1074475345.db2.gz RSHMLLZJYQOKIR-OAHLLOKOSA-N 0 0 433.552 -0.252 20 0 IBADRN COc1ccc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC001454466135 1074475335 /nfs/dbraw/zinc/47/53/35/1074475335.db2.gz RYPHLFDNENRVHY-AWEZNQCLSA-N 0 0 447.535 -0.474 20 0 IBADRN COc1ccc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1S(=O)(=O)NC1CC1 ZINC001454466141 1074475304 /nfs/dbraw/zinc/47/53/04/1074475304.db2.gz RYPHLFDNENRVHY-CQSZACIVSA-N 0 0 447.535 -0.474 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001454466484 1074475300 /nfs/dbraw/zinc/47/53/00/1074475300.db2.gz MILGGTDLOFUGBE-CORIIIEPSA-N 0 0 431.536 -0.328 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001454466486 1074475352 /nfs/dbraw/zinc/47/53/52/1074475352.db2.gz MILGGTDLOFUGBE-LNSITVRQSA-N 0 0 431.536 -0.328 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001454466490 1074475294 /nfs/dbraw/zinc/47/52/94/1074475294.db2.gz MILGGTDLOFUGBE-NJZAAPMLSA-N 0 0 431.536 -0.328 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001454466494 1074475290 /nfs/dbraw/zinc/47/52/90/1074475290.db2.gz MILGGTDLOFUGBE-WHOFXGATSA-N 0 0 431.536 -0.328 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)s1 ZINC001454466758 1074475330 /nfs/dbraw/zinc/47/53/30/1074475330.db2.gz UMCFEGJBDCDOKF-SECBINFHSA-N 0 0 431.945 -0.544 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CNS(=O)(=O)c1ccc(Cl)s1 ZINC001454466759 1074475323 /nfs/dbraw/zinc/47/53/23/1074475323.db2.gz UMCFEGJBDCDOKF-VIFPVBQESA-N 0 0 431.945 -0.544 20 0 IBADRN NC(=O)C[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CCO1 ZINC001454466833 1074475307 /nfs/dbraw/zinc/47/53/07/1074475307.db2.gz HWGHHBSWDXKQGL-MRVPVSSYSA-N 0 0 426.314 -0.108 20 0 IBADRN NC(=O)C[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)CCO1 ZINC001454466850 1074475311 /nfs/dbraw/zinc/47/53/11/1074475311.db2.gz HWGHHBSWDXKQGL-QMMMGPOBSA-N 0 0 426.314 -0.108 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCO[C@@H](CC(N)=O)C3)c2)CC1 ZINC001454466866 1074475298 /nfs/dbraw/zinc/47/52/98/1074475298.db2.gz HWRZNIQPQGMTJR-INIZCTEOSA-N 0 0 438.506 -0.744 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3CCO[C@H](CC(N)=O)C3)c2)CC1 ZINC001454466886 1074475302 /nfs/dbraw/zinc/47/53/02/1074475302.db2.gz HWRZNIQPQGMTJR-MRXNPFEDSA-N 0 0 438.506 -0.744 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N[C@@H]1CCN(C2CCOCC2)C1=O ZINC001454469039 1074475339 /nfs/dbraw/zinc/47/53/39/1074475339.db2.gz QQFBEKRPQLBPGA-GFCCVEGCSA-N 0 0 427.479 -0.139 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N[C@H]1CCN(C2CCOCC2)C1=O ZINC001454469053 1074475319 /nfs/dbraw/zinc/47/53/19/1074475319.db2.gz QQFBEKRPQLBPGA-LBPRGKRZSA-N 0 0 427.479 -0.139 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001454470167 1074475884 /nfs/dbraw/zinc/47/58/84/1074475884.db2.gz VPJJXPQAXGZAMV-CVEARBPZSA-N 0 0 445.925 -0.125 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001454470178 1074475987 /nfs/dbraw/zinc/47/59/87/1074475987.db2.gz VPJJXPQAXGZAMV-HOTGVXAUSA-N 0 0 445.925 -0.125 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001454470188 1074475890 /nfs/dbraw/zinc/47/58/90/1074475890.db2.gz VPJJXPQAXGZAMV-HZPDHXFCSA-N 0 0 445.925 -0.125 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001454470198 1074475977 /nfs/dbraw/zinc/47/59/77/1074475977.db2.gz VPJJXPQAXGZAMV-JKSUJKDBSA-N 0 0 445.925 -0.125 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2c(F)ccc(S(=O)(=O)NC3CC3)c2F)C1 ZINC001454479403 1074475833 /nfs/dbraw/zinc/47/58/33/1074475833.db2.gz YZVIHFSZSDBHNC-UHFFFAOYSA-N 0 0 438.478 -0.374 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@@H]3CN(Cc4ccncc4)CCO3)[C@@H](O)C(=O)N2C)cn1 ZINC001454496086 1074475972 /nfs/dbraw/zinc/47/59/72/1074475972.db2.gz LLLCZYVDEPKKCW-NCXUSEDFSA-N 0 0 428.493 -0.678 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC[C@H]3CN(Cc4ccncc4)CCO3)[C@@H](O)C(=O)N2C)cn1 ZINC001454496090 1074475946 /nfs/dbraw/zinc/47/59/46/1074475946.db2.gz LLLCZYVDEPKKCW-WJFTUGDTSA-N 0 0 428.493 -0.678 20 0 IBADRN CN(CC(=O)Nc1ccc2c(c1)OCCO2)C(=O)c1cnn2c1S(=O)(=O)N(C)CC2 ZINC001454500201 1074475981 /nfs/dbraw/zinc/47/59/81/1074475981.db2.gz RYCANXVCNJKARO-UHFFFAOYSA-N 0 0 435.462 -0.001 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN[C@H](c3cnn(C)c3)C2)c1 ZINC001454502845 1074475966 /nfs/dbraw/zinc/47/59/66/1074475966.db2.gz SZLQWPWOSIYHKH-KRWDZBQOSA-N 0 0 436.538 -0.215 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN[C@@H](c3cnn(C)c3)C2)c1 ZINC001454502848 1074475873 /nfs/dbraw/zinc/47/58/73/1074475873.db2.gz SZLQWPWOSIYHKH-QGZVFWFLSA-N 0 0 436.538 -0.215 20 0 IBADRN CNS(=O)(=O)CC(=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001454552531 1074475906 /nfs/dbraw/zinc/47/59/06/1074475906.db2.gz GKLGNBDETFPAHC-UHFFFAOYSA-N 0 0 425.252 -0.721 20 0 IBADRN NS(=O)(=O)CCCC(=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001454552927 1074475950 /nfs/dbraw/zinc/47/59/50/1074475950.db2.gz IURWHPRWSYKCGU-UHFFFAOYSA-N 0 0 439.279 -0.202 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)NCCN1CC[C@@H](O)C1 ZINC001454580676 1074475808 /nfs/dbraw/zinc/47/58/08/1074475808.db2.gz VYFHWYWWDWQNGN-IAGOWNOFSA-N 0 0 427.523 -0.101 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)NCCN1CC[C@@H](O)C1 ZINC001454580678 1074476316 /nfs/dbraw/zinc/47/63/16/1074476316.db2.gz VYFHWYWWDWQNGN-SJORKVTESA-N 0 0 427.523 -0.101 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2cccc(C(C)=O)c2)CC1 ZINC001454603797 1074476350 /nfs/dbraw/zinc/47/63/50/1074476350.db2.gz MVRXJRQFDGUQAD-UHFFFAOYSA-N 0 0 438.506 -0.636 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC001454606438 1074476251 /nfs/dbraw/zinc/47/62/51/1074476251.db2.gz YTKFYFNNJVOQQT-UHFFFAOYSA-N 0 0 438.506 -0.636 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN2C(=O)N[C@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC001454606485 1074476228 /nfs/dbraw/zinc/47/62/28/1074476228.db2.gz ZWFKSHFPTAQJMH-JOCHJYFZSA-N 0 0 443.504 -0.041 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN2C(=O)N[C@@](C)(c3ccc(C)cc3)C2=O)CC1 ZINC001454606487 1074476214 /nfs/dbraw/zinc/47/62/14/1074476214.db2.gz ZWFKSHFPTAQJMH-QFIPXVFZSA-N 0 0 443.504 -0.041 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2cc(C3CC3)nc3c2c(=O)[nH]c(=O)n3C)CC1 ZINC001454608484 1074476280 /nfs/dbraw/zinc/47/62/80/1074476280.db2.gz LEDIABPLSNFNQI-UHFFFAOYSA-N 0 0 442.476 -0.278 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CS(=O)(=O)c2ccc(C(C)=O)c(F)c2)CC1 ZINC001454609908 1074476377 /nfs/dbraw/zinc/47/63/77/1074476377.db2.gz QUGPYUFLKNRIFM-UHFFFAOYSA-N 0 0 441.481 -0.001 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001454610361 1074476360 /nfs/dbraw/zinc/47/63/60/1074476360.db2.gz SZMOJYYXCCAHRI-HNNXBMFYSA-N 0 0 439.513 -0.739 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)CC1 ZINC001454610363 1074476305 /nfs/dbraw/zinc/47/63/05/1074476305.db2.gz SZMOJYYXCCAHRI-OAHLLOKOSA-N 0 0 439.513 -0.739 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)N3CCC(NS(N)(=O)=O)CC3)cc12 ZINC001454610790 1074476398 /nfs/dbraw/zinc/47/63/98/1074476398.db2.gz BDDXLPWRDPBFDS-JTQLQIEISA-N 0 0 428.540 -0.154 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)N3CCC(NS(N)(=O)=O)CC3)cc12 ZINC001454610791 1074476257 /nfs/dbraw/zinc/47/62/57/1074476257.db2.gz BDDXLPWRDPBFDS-SNVBAGLBSA-N 0 0 428.540 -0.154 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)Cn2nc(Br)c(Br)n2)CC1 ZINC001454610929 1074476403 /nfs/dbraw/zinc/47/64/03/1074476403.db2.gz CKJVRVHNLUYMLN-UHFFFAOYSA-N 0 0 446.125 -0.413 20 0 IBADRN COc1cc(OC)cc(N2C[C@H](C(=O)N3CCC(NS(N)(=O)=O)CC3)CC2=O)c1 ZINC001454612725 1074476267 /nfs/dbraw/zinc/47/62/67/1074476267.db2.gz OHTMAFCADVPLHP-GFCCVEGCSA-N 0 0 426.495 -0.159 20 0 IBADRN COc1cc(OC)cc(N2C[C@@H](C(=O)N3CCC(NS(N)(=O)=O)CC3)CC2=O)c1 ZINC001454612730 1074476384 /nfs/dbraw/zinc/47/63/84/1074476384.db2.gz OHTMAFCADVPLHP-LBPRGKRZSA-N 0 0 426.495 -0.159 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC001454616682 1074476289 /nfs/dbraw/zinc/47/62/89/1074476289.db2.gz KFNNSQMEZNLITF-GFCCVEGCSA-N 0 0 438.911 -0.193 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)CNS(=O)(=O)c1ccc(Cl)cc1 ZINC001454616684 1074476299 /nfs/dbraw/zinc/47/62/99/1074476299.db2.gz KFNNSQMEZNLITF-LBPRGKRZSA-N 0 0 438.911 -0.193 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)OC)ccc1OC ZINC001454617682 1074476365 /nfs/dbraw/zinc/47/63/65/1074476365.db2.gz NBKXXYWABCKRJH-GFCCVEGCSA-N 0 0 434.492 -0.594 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)OC)ccc1OC ZINC001454617683 1074476861 /nfs/dbraw/zinc/47/68/61/1074476861.db2.gz NBKXXYWABCKRJH-LBPRGKRZSA-N 0 0 434.492 -0.594 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)OC)c1 ZINC001454618873 1074476836 /nfs/dbraw/zinc/47/68/36/1074476836.db2.gz KOKGAYRESCXZKB-AWEZNQCLSA-N 0 0 448.519 -0.586 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)OC)c1 ZINC001454618876 1074476855 /nfs/dbraw/zinc/47/68/55/1074476855.db2.gz KOKGAYRESCXZKB-CQSZACIVSA-N 0 0 448.519 -0.586 20 0 IBADRN C[C@]12CN(C(=O)c3ccc(S(=O)(=O)N4CCC(O)CC4)cc3)C[C@@]1(C)C(=O)NC2=O ZINC001454632048 1074476840 /nfs/dbraw/zinc/47/68/40/1074476840.db2.gz CWSMKMIDQNXBSM-BGYRXZFFSA-N 0 0 435.502 -0.043 20 0 IBADRN C[C@@]12CN(C(=O)c3ccc(S(=O)(=O)N4CCC(O)CC4)cc3)C[C@@]1(C)C(=O)NC2=O ZINC001454632053 1074476813 /nfs/dbraw/zinc/47/68/13/1074476813.db2.gz CWSMKMIDQNXBSM-PMACEKPBSA-N 0 0 435.502 -0.043 20 0 IBADRN C[C@]12CN(C(=O)c3ccc(S(=O)(=O)N4CCC(O)CC4)cc3)C[C@]1(C)C(=O)NC2=O ZINC001454632056 1074476869 /nfs/dbraw/zinc/47/68/69/1074476869.db2.gz CWSMKMIDQNXBSM-WOJBJXKFSA-N 0 0 435.502 -0.043 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3C[C@]4(C)C(=O)NC(=O)[C@]4(C)C3)cc2S1(=O)=O ZINC001454636222 1074476843 /nfs/dbraw/zinc/47/68/43/1074476843.db2.gz NVDITXMFFCIEDM-KDURUIRLSA-N 0 0 435.458 -0.398 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3C[C@@]4(C)C(=O)NC(=O)[C@]4(C)C3)cc2S1(=O)=O ZINC001454636259 1074476903 /nfs/dbraw/zinc/47/69/03/1074476903.db2.gz NVDITXMFFCIEDM-OALUTQOASA-N 0 0 435.458 -0.398 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3C[C@]4(C)C(=O)NC(=O)[C@@]4(C)C3)cc2S1(=O)=O ZINC001454636262 1074476823 /nfs/dbraw/zinc/47/68/23/1074476823.db2.gz NVDITXMFFCIEDM-RTBURBONSA-N 0 0 435.458 -0.398 20 0 IBADRN C[C@]12CN(C(=O)c3ccc(F)c(S(=O)(=O)N4CCOCC4)c3)C[C@@]1(C)C(=O)NC2=O ZINC001454637802 1074476879 /nfs/dbraw/zinc/47/68/79/1074476879.db2.gz QDOCGIBLURLKLC-KDURUIRLSA-N 0 0 439.465 -0.029 20 0 IBADRN C[C@@]12CN(C(=O)c3ccc(F)c(S(=O)(=O)N4CCOCC4)c3)C[C@@]1(C)C(=O)NC2=O ZINC001454637805 1074476906 /nfs/dbraw/zinc/47/69/06/1074476906.db2.gz QDOCGIBLURLKLC-OALUTQOASA-N 0 0 439.465 -0.029 20 0 IBADRN C[C@]12CN(C(=O)c3ccc(F)c(S(=O)(=O)N4CCOCC4)c3)C[C@]1(C)C(=O)NC2=O ZINC001454637808 1074476901 /nfs/dbraw/zinc/47/69/01/1074476901.db2.gz QDOCGIBLURLKLC-RTBURBONSA-N 0 0 439.465 -0.029 20 0 IBADRN C[C@]12CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C[C@@]1(C)C(=O)NC2=O ZINC001454639267 1074476871 /nfs/dbraw/zinc/47/68/71/1074476871.db2.gz RVURTXYPNVNORI-AFAVFJNCSA-N 0 0 429.882 -0.510 20 0 IBADRN C[C@]12CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C[C@]1(C)C(=O)NC2=O ZINC001454639481 1074476802 /nfs/dbraw/zinc/47/68/02/1074476802.db2.gz RVURTXYPNVNORI-CSMYWGQOSA-N 0 0 429.882 -0.510 20 0 IBADRN C[C@]12CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C[C@]1(C)C(=O)NC2=O ZINC001454639486 1074476858 /nfs/dbraw/zinc/47/68/58/1074476858.db2.gz RVURTXYPNVNORI-JCURWCKSSA-N 0 0 429.882 -0.510 20 0 IBADRN C[C@]12CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C[C@@]1(C)C(=O)NC2=O ZINC001454639491 1074476831 /nfs/dbraw/zinc/47/68/31/1074476831.db2.gz RVURTXYPNVNORI-JLZZUVOBSA-N 0 0 429.882 -0.510 20 0 IBADRN COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001454640827 1074477457 /nfs/dbraw/zinc/47/74/57/1074477457.db2.gz UJIKZRMGYYBHHM-AUUYWEPGSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001454640829 1074477388 /nfs/dbraw/zinc/47/73/88/1074477388.db2.gz UJIKZRMGYYBHHM-IFXJQAMLSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001454640832 1074477426 /nfs/dbraw/zinc/47/74/26/1074477426.db2.gz UJIKZRMGYYBHHM-KUHUBIRLSA-N 0 0 441.506 -0.154 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001454640834 1074477433 /nfs/dbraw/zinc/47/74/33/1074477433.db2.gz UJIKZRMGYYBHHM-LIRRHRJNSA-N 0 0 441.506 -0.154 20 0 IBADRN C[C@]12CN(C(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)C[C@@]1(C)C(=O)NC2=O ZINC001454643120 1074477298 /nfs/dbraw/zinc/47/72/98/1074477298.db2.gz XJSAPRWDZCRNGR-KDURUIRLSA-N 0 0 436.490 -0.705 20 0 IBADRN C[C@@]12CN(C(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)C[C@@]1(C)C(=O)NC2=O ZINC001454643121 1074477323 /nfs/dbraw/zinc/47/73/23/1074477323.db2.gz XJSAPRWDZCRNGR-OALUTQOASA-N 0 0 436.490 -0.705 20 0 IBADRN C[C@]12CN(C(=O)c3cc(S(N)(=O)=O)ccc3N3CCOCC3)C[C@]1(C)C(=O)NC2=O ZINC001454643122 1074477438 /nfs/dbraw/zinc/47/74/38/1074477438.db2.gz XJSAPRWDZCRNGR-RTBURBONSA-N 0 0 436.490 -0.705 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC001454643296 1074477286 /nfs/dbraw/zinc/47/72/86/1074477286.db2.gz YSMRGIXWVDTXKJ-CFZJUORYSA-N 0 0 439.490 -0.134 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC001454643298 1074477344 /nfs/dbraw/zinc/47/73/44/1074477344.db2.gz YSMRGIXWVDTXKJ-PJFSTRORSA-N 0 0 439.490 -0.134 20 0 IBADRN COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC001454643300 1074477379 /nfs/dbraw/zinc/47/73/79/1074477379.db2.gz YSMRGIXWVDTXKJ-TVZGQMOCSA-N 0 0 439.490 -0.134 20 0 IBADRN COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC001454643303 1074477311 /nfs/dbraw/zinc/47/73/11/1074477311.db2.gz YSMRGIXWVDTXKJ-UHYGZKCKSA-N 0 0 439.490 -0.134 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC(O)(c3cccc(Br)c3)C1)c(=O)n2C ZINC001454645222 1074477416 /nfs/dbraw/zinc/47/74/16/1074477416.db2.gz DTYYQDCTJCOQCG-UHFFFAOYSA-N 0 0 448.277 -0.074 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001454669182 1074477399 /nfs/dbraw/zinc/47/73/99/1074477399.db2.gz OBICNBLIDVVMLC-INIZCTEOSA-N 0 0 427.523 -0.607 20 0 IBADRN COc1ccc(CC(=O)N2CCN(C)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001454669184 1074477351 /nfs/dbraw/zinc/47/73/51/1074477351.db2.gz OBICNBLIDVVMLC-MRXNPFEDSA-N 0 0 427.523 -0.607 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H](CO)[C@@H](O)c3cccnc3)CC2)cc1 ZINC001454670212 1074477443 /nfs/dbraw/zinc/47/74/43/1074477443.db2.gz OMEKYVHBTTZWGV-CTNGQTDRSA-N 0 0 448.545 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](CO)[C@@H](O)c3cccnc3)CC2)cc1 ZINC001454670341 1074477338 /nfs/dbraw/zinc/47/73/38/1074477338.db2.gz OMEKYVHBTTZWGV-FPOVZHCZSA-N 0 0 448.545 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@@H](CO)[C@H](O)c3cccnc3)CC2)cc1 ZINC001454670345 1074477328 /nfs/dbraw/zinc/47/73/28/1074477328.db2.gz OMEKYVHBTTZWGV-PZJWPPBQSA-N 0 0 448.545 -0.093 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N[C@H](CO)[C@H](O)c3cccnc3)CC2)cc1 ZINC001454670353 1074477449 /nfs/dbraw/zinc/47/74/49/1074477449.db2.gz OMEKYVHBTTZWGV-TZIWHRDSSA-N 0 0 448.545 -0.093 20 0 IBADRN COCc1ncc2c(n1)CCN(C(=O)c1ccc(S(=O)(=O)N3CCNC(=O)C3)cc1)C2 ZINC001454689424 1074477900 /nfs/dbraw/zinc/47/79/00/1074477900.db2.gz BCOWFFJYZSIPSE-UHFFFAOYSA-N 0 0 445.501 -0.058 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC001454723150 1074477930 /nfs/dbraw/zinc/47/79/30/1074477930.db2.gz FPQHZDJODBXADD-UHFFFAOYSA-N 0 0 445.567 -0.188 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001454724410 1074477910 /nfs/dbraw/zinc/47/79/10/1074477910.db2.gz KGYKOMYQNNZXMV-UHFFFAOYSA-N 0 0 446.551 -0.792 20 0 IBADRN CCc1ccc(C(=O)NCCCS(=O)(=O)NC)cc1S(=O)(=O)N1CCOCC1 ZINC001454726764 1074477782 /nfs/dbraw/zinc/47/77/82/1074477782.db2.gz WSVCWLNCTDKSFC-UHFFFAOYSA-N 0 0 433.552 -0.061 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001454727987 1074477919 /nfs/dbraw/zinc/47/79/19/1074477919.db2.gz ZZJAFGBUFADAAF-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@H]2COCCN2C)c1 ZINC001454736302 1074477875 /nfs/dbraw/zinc/47/78/75/1074477875.db2.gz OBVOMQKTYJGKMI-INIZCTEOSA-N 0 0 426.539 -0.106 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC[C@@H]2COCCN2C)c1 ZINC001454736307 1074477790 /nfs/dbraw/zinc/47/77/90/1074477790.db2.gz OBVOMQKTYJGKMI-MRXNPFEDSA-N 0 0 426.539 -0.106 20 0 IBADRN C[C@@H]1CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CCS1(=O)=O ZINC001454751521 1074477841 /nfs/dbraw/zinc/47/78/41/1074477841.db2.gz USYQJQHJRDFRFF-PBFPGSCMSA-N 0 0 436.552 -0.086 20 0 IBADRN CC(C)(CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)CS(N)(=O)=O ZINC001454770706 1074477802 /nfs/dbraw/zinc/47/78/02/1074477802.db2.gz OWNKRCFSHVBDAO-UHFFFAOYSA-N 0 0 437.515 -0.109 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@]1(CO)COCCN1 ZINC001454772072 1074477924 /nfs/dbraw/zinc/47/79/24/1074477924.db2.gz AYKZYJOJHYSSAQ-AWEZNQCLSA-N 0 0 427.401 -0.669 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@@]1(CO)COCCN1 ZINC001454772075 1074477826 /nfs/dbraw/zinc/47/78/26/1074477826.db2.gz AYKZYJOJHYSSAQ-CQSZACIVSA-N 0 0 427.401 -0.669 20 0 IBADRN COc1ccc(C(=O)NC[C@]2(CO)COCCN2)cc1S(=O)(=O)NC1CCCC1 ZINC001454774341 1074477853 /nfs/dbraw/zinc/47/78/53/1074477853.db2.gz DOFYVECMQRWNPF-IBGZPJMESA-N 0 0 427.523 -0.003 20 0 IBADRN COc1ccc(C(=O)NC[C@@]2(CO)COCCN2)cc1S(=O)(=O)NC1CCCC1 ZINC001454774355 1074477745 /nfs/dbraw/zinc/47/77/45/1074477745.db2.gz DOFYVECMQRWNPF-LJQANCHMSA-N 0 0 427.523 -0.003 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCc3ccc(CN4CCNC4=O)cc3)[C@@H](O)C(=O)N2C)cn1 ZINC001454779009 1074477889 /nfs/dbraw/zinc/47/78/89/1074477889.db2.gz UTPQURSYXSEPQW-KZNAEPCWSA-N 0 0 426.477 -0.248 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)NC[C@@]1(CO)COCCN1 ZINC001454780136 1074477865 /nfs/dbraw/zinc/47/78/65/1074477865.db2.gz MVVCZVQDTIBAMP-CRAIPNDOSA-N 0 0 447.941 -0.660 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)NC[C@@]1(CO)COCCN1 ZINC001454780137 1074477880 /nfs/dbraw/zinc/47/78/80/1074477880.db2.gz MVVCZVQDTIBAMP-MAUKXSAKSA-N 0 0 447.941 -0.660 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccc(Cl)cc1)C(=O)NC[C@]1(CO)COCCN1 ZINC001454780143 1074477938 /nfs/dbraw/zinc/47/79/38/1074477938.db2.gz MVVCZVQDTIBAMP-QAPCUYQASA-N 0 0 447.941 -0.660 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccc(Cl)cc1)C(=O)NC[C@]1(CO)COCCN1 ZINC001454780148 1074477812 /nfs/dbraw/zinc/47/78/12/1074477812.db2.gz MVVCZVQDTIBAMP-YJBOKZPZSA-N 0 0 447.941 -0.660 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)NC[C@]1(CO)COCCN1 ZINC001454783079 1074478329 /nfs/dbraw/zinc/47/83/29/1074478329.db2.gz RPADTGZOGQWUGL-HNNXBMFYSA-N 0 0 433.246 -0.512 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)NC[C@@]1(CO)COCCN1 ZINC001454783081 1074478280 /nfs/dbraw/zinc/47/82/80/1074478280.db2.gz RPADTGZOGQWUGL-OAHLLOKOSA-N 0 0 433.246 -0.512 20 0 IBADRN O=C(NC[C@@]1(CO)COCCN1)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001454783104 1074478302 /nfs/dbraw/zinc/47/83/02/1074478302.db2.gz RUCLCBAZZMHCJT-AUUYWEPGSA-N 0 0 439.490 -0.909 20 0 IBADRN O=C(NC[C@@]1(CO)COCCN1)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001454783113 1074478413 /nfs/dbraw/zinc/47/84/13/1074478413.db2.gz RUCLCBAZZMHCJT-IFXJQAMLSA-N 0 0 439.490 -0.909 20 0 IBADRN O=C(NC[C@]1(CO)COCCN1)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001454783118 1074478350 /nfs/dbraw/zinc/47/83/50/1074478350.db2.gz RUCLCBAZZMHCJT-KUHUBIRLSA-N 0 0 439.490 -0.909 20 0 IBADRN O=C(NC[C@]1(CO)COCCN1)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001454783126 1074478397 /nfs/dbraw/zinc/47/83/97/1074478397.db2.gz RUCLCBAZZMHCJT-LIRRHRJNSA-N 0 0 439.490 -0.909 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001454784304 1074478340 /nfs/dbraw/zinc/47/83/40/1074478340.db2.gz RDORVNBXGLQJFS-KGLIPLIRSA-N 0 0 437.312 -0.059 20 0 IBADRN O=C(CCN1C(=O)c2ccc(Br)cc2C1=O)NC[C@]1(CO)COCCN1 ZINC001454786854 1074478372 /nfs/dbraw/zinc/47/83/72/1074478372.db2.gz WPUIWRFRTNOYGQ-KRWDZBQOSA-N 0 0 426.267 -0.098 20 0 IBADRN O=C(CCN1C(=O)c2ccc(Br)cc2C1=O)NC[C@@]1(CO)COCCN1 ZINC001454786858 1074478313 /nfs/dbraw/zinc/47/83/13/1074478313.db2.gz WPUIWRFRTNOYGQ-QGZVFWFLSA-N 0 0 426.267 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@]2(CO)COCCN2)(S(C)(=O)=O)CC1 ZINC001454786867 1074478363 /nfs/dbraw/zinc/47/83/63/1074478363.db2.gz XBJMZVSCJRAUGB-KRWDZBQOSA-N 0 0 435.543 -0.732 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NC[C@@]2(CO)COCCN2)(S(C)(=O)=O)CC1 ZINC001454786871 1074478428 /nfs/dbraw/zinc/47/84/28/1074478428.db2.gz XBJMZVSCJRAUGB-QGZVFWFLSA-N 0 0 435.543 -0.732 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@@H]2CS(=O)(=O)C[C@@H]2C(F)(F)F)CC1 ZINC001454792551 1074478324 /nfs/dbraw/zinc/47/83/24/1074478324.db2.gz DQHWBPGQQYOEJQ-NEPJUHHUSA-N 0 0 435.490 -0.060 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H]2CS(=O)(=O)C[C@H]2C(F)(F)F)CC1 ZINC001454792587 1074478389 /nfs/dbraw/zinc/47/83/89/1074478389.db2.gz DQHWBPGQQYOEJQ-NWDGAFQWSA-N 0 0 435.490 -0.060 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H]2CS(=O)(=O)C[C@@H]2C(F)(F)F)CC1 ZINC001454792596 1074478384 /nfs/dbraw/zinc/47/83/84/1074478384.db2.gz DQHWBPGQQYOEJQ-RYUDHWBXSA-N 0 0 435.490 -0.060 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@@H]2CS(=O)(=O)C[C@H]2C(F)(F)F)CC1 ZINC001454792601 1074478292 /nfs/dbraw/zinc/47/82/92/1074478292.db2.gz DQHWBPGQQYOEJQ-VXGBXAGGSA-N 0 0 435.490 -0.060 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)CC1 ZINC001454800863 1074478268 /nfs/dbraw/zinc/47/82/68/1074478268.db2.gz ZQGAJJAVRJZBLW-KRWDZBQOSA-N 0 0 444.529 -0.424 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3cccc(Br)c3)C[C@H]2C1=O ZINC001454814202 1074478440 /nfs/dbraw/zinc/47/84/40/1074478440.db2.gz FDONVHLOHRPZEF-TXEJJXNPSA-N 0 0 430.280 -0.199 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc(Br)cc3)C[C@H]2C1=O ZINC001454815785 1074478751 /nfs/dbraw/zinc/47/87/51/1074478751.db2.gz GVSOHOSJVNUJRJ-TXEJJXNPSA-N 0 0 430.280 -0.199 20 0 IBADRN COC(=O)[C@]12C[C@H]1C[C@H](NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)C2 ZINC001454842825 1074478839 /nfs/dbraw/zinc/47/88/39/1074478839.db2.gz NDGJPZPHXQBCKI-PEBVRCNWSA-N 0 0 430.504 -0.174 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001454847902 1074478863 /nfs/dbraw/zinc/47/88/63/1074478863.db2.gz DBMFHELWQYVTIB-IBGZPJMESA-N 0 0 441.506 -0.502 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001454847907 1074478788 /nfs/dbraw/zinc/47/87/88/1074478788.db2.gz DBMFHELWQYVTIB-LJQANCHMSA-N 0 0 441.506 -0.502 20 0 IBADRN COc1ccc(C(=O)NC[C@@]23COCCN2C(=O)COC3)cc1S(=O)(=O)NC1CC1 ZINC001454851721 1074478782 /nfs/dbraw/zinc/47/87/82/1074478782.db2.gz HWCQROGKPQYSJC-IBGZPJMESA-N 0 0 439.490 -0.507 20 0 IBADRN COc1ccc(C(=O)NC[C@]23COCCN2C(=O)COC3)cc1S(=O)(=O)NC1CC1 ZINC001454851727 1074478834 /nfs/dbraw/zinc/47/88/34/1074478834.db2.gz HWCQROGKPQYSJC-LJQANCHMSA-N 0 0 439.490 -0.507 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001454866194 1074478772 /nfs/dbraw/zinc/47/87/72/1074478772.db2.gz FEXOLLVVWQOCPE-QGDIXAPHSA-N 0 0 446.522 -0.038 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001454942587 1074478859 /nfs/dbraw/zinc/47/88/59/1074478859.db2.gz IZRJNZUWTFGTOY-BBRMVZONSA-N 0 0 433.870 -0.683 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001454942596 1074478846 /nfs/dbraw/zinc/47/88/46/1074478846.db2.gz IZRJNZUWTFGTOY-CJNGLKHVSA-N 0 0 433.870 -0.683 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001454942604 1074478812 /nfs/dbraw/zinc/47/88/12/1074478812.db2.gz IZRJNZUWTFGTOY-CZUORRHYSA-N 0 0 433.870 -0.683 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001454942613 1074478755 /nfs/dbraw/zinc/47/87/55/1074478755.db2.gz IZRJNZUWTFGTOY-XJKSGUPXSA-N 0 0 433.870 -0.683 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@@]23COC(=O)N2CCOC3)cc1OC ZINC001454945139 1074478852 /nfs/dbraw/zinc/47/88/52/1074478852.db2.gz KUDNRKFNHGVKIR-GOSISDBHSA-N 0 0 443.478 -0.290 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)NC[C@]23COC(=O)N2CCOC3)cc1OC ZINC001454945144 1074478880 /nfs/dbraw/zinc/47/88/80/1074478880.db2.gz KUDNRKFNHGVKIR-SFHVURJKSA-N 0 0 443.478 -0.290 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)NC[C@@]12COC(=O)N1CCOC2 ZINC001454953865 1074478797 /nfs/dbraw/zinc/47/87/97/1074478797.db2.gz XFQRIYALXCKCGY-IFXJQAMLSA-N 0 0 428.486 -0.011 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)NC[C@]12COC(=O)N1CCOC2 ZINC001454953879 1074478807 /nfs/dbraw/zinc/47/88/07/1074478807.db2.gz XFQRIYALXCKCGY-LIRRHRJNSA-N 0 0 428.486 -0.011 20 0 IBADRN CCOC(=O)[C@]1(C)CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC001454968643 1074478824 /nfs/dbraw/zinc/47/88/24/1074478824.db2.gz DOEJIGQXSKYXLQ-IBGZPJMESA-N 0 0 439.490 -0.399 20 0 IBADRN CCOC(=O)[C@@]1(C)CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCO1 ZINC001454968644 1074478817 /nfs/dbraw/zinc/47/88/17/1074478817.db2.gz DOEJIGQXSKYXLQ-LJQANCHMSA-N 0 0 439.490 -0.399 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1C(=O)CCc1nc2cc(S(=O)(=O)N(C)C)ccc2n1C ZINC001454969656 1074478870 /nfs/dbraw/zinc/47/88/70/1074478870.db2.gz AJMZQSOHOUADDL-MLGOLLRUSA-N 0 0 438.506 -0.109 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCc3ccc(CN4C(=O)CNC4=O)cc3)cnc2n(C)c1=O ZINC001454971531 1074478884 /nfs/dbraw/zinc/47/88/84/1074478884.db2.gz KREGUJACAZMIQI-UHFFFAOYSA-N 0 0 436.428 -0.386 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2C[C@H](O)C[C@@H]2C(=O)OC)cc1OCC ZINC001454971705 1074478829 /nfs/dbraw/zinc/47/88/29/1074478829.db2.gz JFNCRMMDRJFAKS-TZMCWYRMSA-N 0 0 430.479 -0.103 20 0 IBADRN CCOC(=O)[C@@]1(C)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CCO1 ZINC001454974063 1074479439 /nfs/dbraw/zinc/47/94/39/1074479439.db2.gz ROFIXMZWPXHFPL-GOSISDBHSA-N 0 0 429.495 -0.160 20 0 IBADRN CCOC(=O)[C@]1(C)CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)CCO1 ZINC001454974066 1074479530 /nfs/dbraw/zinc/47/95/30/1074479530.db2.gz ROFIXMZWPXHFPL-SFHVURJKSA-N 0 0 429.495 -0.160 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)C[C@@H]2C(=O)OC)cc1 ZINC001454974193 1074479547 /nfs/dbraw/zinc/47/95/47/1074479547.db2.gz QSIZBZXMKWMKPB-NVXWUHKLSA-N 0 0 444.506 -0.282 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC001454978500 1074479516 /nfs/dbraw/zinc/47/95/16/1074479516.db2.gz WTGIOYTUMCJYAN-UHFFFAOYSA-N 0 0 429.458 -0.148 20 0 IBADRN NC(=O)C1(NC(=O)c2nscc2I)CCS(=O)(=O)CC1 ZINC001454992937 1074479552 /nfs/dbraw/zinc/47/95/52/1074479552.db2.gz WQJDHUIZXIMJDN-UHFFFAOYSA-N 0 0 429.261 -0.090 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)N3C[C@H](CO)[C@H](CO)C3)CS(=O)(=O)[C@@H]2C1 ZINC001454998312 1074479525 /nfs/dbraw/zinc/47/95/25/1074479525.db2.gz FYCKCPJRXBLWRP-AALSBFMBSA-N 0 0 432.539 -0.284 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3C[C@H](CO)[C@H](CO)C3)CS(=O)(=O)[C@@H]2C1 ZINC001454998313 1074479562 /nfs/dbraw/zinc/47/95/62/1074479562.db2.gz FYCKCPJRXBLWRP-IBEHDNSVSA-N 0 0 432.539 -0.284 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1C[C@H](CO)[C@H](CO)C1)CS2(=O)=O ZINC001454998314 1074479409 /nfs/dbraw/zinc/47/94/09/1074479409.db2.gz FYCKCPJRXBLWRP-LJIZCISZSA-N 0 0 432.539 -0.284 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)N1C[C@H](CO)[C@H](CO)C1)CS2(=O)=O ZINC001454998316 1074479393 /nfs/dbraw/zinc/47/93/93/1074479393.db2.gz FYCKCPJRXBLWRP-RBZJEDDUSA-N 0 0 432.539 -0.284 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3COCC[C@H]3O)ccc2F)CC1 ZINC001455011285 1074479422 /nfs/dbraw/zinc/47/94/22/1074479422.db2.gz YWVUXHOYACBETI-HZPDHXFCSA-N 0 0 429.470 -0.442 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001455043865 1074479496 /nfs/dbraw/zinc/47/94/96/1074479496.db2.gz SMZANEZMHWBVLG-AWEZNQCLSA-N 0 0 435.543 -0.219 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001455043871 1074479377 /nfs/dbraw/zinc/47/93/77/1074479377.db2.gz SMZANEZMHWBVLG-CQSZACIVSA-N 0 0 435.543 -0.219 20 0 IBADRN CCn1c(CCC(=O)N2CC(C(N)=O)C2)nc2cc(S(=O)(=O)N3CCOCC3)ccc21 ZINC001455044928 1074479483 /nfs/dbraw/zinc/47/94/83/1074479483.db2.gz UOTBEZIMHIGQFN-UHFFFAOYSA-N 0 0 449.533 -0.047 20 0 IBADRN Cn1cc(CNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)c(=O)[nH]c1=O ZINC001455046893 1074479454 /nfs/dbraw/zinc/47/94/54/1074479454.db2.gz KBFMQBOIDZJESX-UHFFFAOYSA-N 0 0 436.490 -0.244 20 0 IBADRN Cn1cc(CNC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c(=O)[nH]c1=O ZINC001455051160 1074479470 /nfs/dbraw/zinc/47/94/70/1074479470.db2.gz SZQFMKRLNUAEHA-UHFFFAOYSA-N 0 0 431.474 -0.037 20 0 IBADRN COC(=O)[C@@H](CCC1OCCO1)NC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001455058657 1074479504 /nfs/dbraw/zinc/47/95/04/1074479504.db2.gz WDEXKWJAKYJTLT-CYBMUJFWSA-N 0 0 425.463 -0.122 20 0 IBADRN COC(=O)[C@H](CCC1OCCO1)NC(=O)CN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001455058659 1074479550 /nfs/dbraw/zinc/47/95/50/1074479550.db2.gz WDEXKWJAKYJTLT-ZDUSSCGKSA-N 0 0 425.463 -0.122 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CC(c2ncc(Br)cn2)C1 ZINC001455061888 1074479536 /nfs/dbraw/zinc/47/95/36/1074479536.db2.gz XXKNNJDYPLAHMI-UHFFFAOYSA-N 0 0 448.343 -0.001 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001455062184 1074480065 /nfs/dbraw/zinc/48/00/65/1074480065.db2.gz GDMRCMCSWNDZAP-HNNXBMFYSA-N 0 0 427.479 -0.097 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)C1CCN(S(=O)(=O)c2cccnc2)CC1 ZINC001455062189 1074479836 /nfs/dbraw/zinc/47/98/36/1074479836.db2.gz GDMRCMCSWNDZAP-OAHLLOKOSA-N 0 0 427.479 -0.097 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001455063202 1074479878 /nfs/dbraw/zinc/47/98/78/1074479878.db2.gz PHQJMPCQWXUWBM-CHWSQXEVSA-N 0 0 429.470 -0.077 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001455063205 1074480078 /nfs/dbraw/zinc/48/00/78/1074480078.db2.gz PHQJMPCQWXUWBM-OLZOCXBDSA-N 0 0 429.470 -0.077 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001455063207 1074479964 /nfs/dbraw/zinc/47/99/64/1074479964.db2.gz PHQJMPCQWXUWBM-QWHCGFSZSA-N 0 0 429.470 -0.077 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001455063209 1074480071 /nfs/dbraw/zinc/48/00/71/1074480071.db2.gz PHQJMPCQWXUWBM-STQMWFEESA-N 0 0 429.470 -0.077 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1 ZINC001455067701 1074479934 /nfs/dbraw/zinc/47/99/34/1074479934.db2.gz KTPDIJHYQNUSQI-HNNXBMFYSA-N 0 0 437.518 -0.348 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1 ZINC001455067707 1074479919 /nfs/dbraw/zinc/47/99/19/1074479919.db2.gz KTPDIJHYQNUSQI-OAHLLOKOSA-N 0 0 437.518 -0.348 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1OC ZINC001455068630 1074479895 /nfs/dbraw/zinc/47/98/95/1074479895.db2.gz NLSDSKTUVVZFSS-CYBMUJFWSA-N 0 0 441.506 -0.528 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1OC ZINC001455068633 1074479911 /nfs/dbraw/zinc/47/99/11/1074479911.db2.gz NLSDSKTUVVZFSS-ZDUSSCGKSA-N 0 0 441.506 -0.528 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCc1nc2c(c(=O)[nH]1)COCC2 ZINC001455075264 1074480046 /nfs/dbraw/zinc/48/00/46/1074480046.db2.gz QENIUFWEEQKPKW-UHFFFAOYSA-N 0 0 437.478 -0.456 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001455079900 1074479869 /nfs/dbraw/zinc/47/98/69/1074479869.db2.gz SRPCRINMBDBOQH-KBPBESRZSA-N 0 0 427.502 -0.389 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001455079903 1074479818 /nfs/dbraw/zinc/47/98/18/1074479818.db2.gz SRPCRINMBDBOQH-KGLIPLIRSA-N 0 0 427.502 -0.389 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001455079905 1074479939 /nfs/dbraw/zinc/47/99/39/1074479939.db2.gz SRPCRINMBDBOQH-UONOGXRCSA-N 0 0 427.502 -0.389 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001455079908 1074479973 /nfs/dbraw/zinc/47/99/73/1074479973.db2.gz SRPCRINMBDBOQH-ZIAGYGMSSA-N 0 0 427.502 -0.389 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCN(CCc2cnccn2)CC1 ZINC001455080754 1074480025 /nfs/dbraw/zinc/48/00/25/1074480025.db2.gz RBNKVHXCCKDUQU-FQEVSTJZSA-N 0 0 430.553 -0.123 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)N1CCN(CCc2cnccn2)CC1 ZINC001455080758 1074480003 /nfs/dbraw/zinc/48/00/03/1074480003.db2.gz RBNKVHXCCKDUQU-HXUWFJFHSA-N 0 0 430.553 -0.123 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CC(O)(C(=O)OC)C1 ZINC001455083862 1074479979 /nfs/dbraw/zinc/47/99/79/1074479979.db2.gz KMPIKHKKMIOLBZ-UHFFFAOYSA-N 0 0 441.506 -0.619 20 0 IBADRN COC(=O)C1(O)CN(C(=O)CCNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)C1 ZINC001455084529 1074480483 /nfs/dbraw/zinc/48/04/83/1074480483.db2.gz MKFUSQGTIJRDCN-UHFFFAOYSA-N 0 0 426.369 0.000 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)cc1OC ZINC001455094484 1074480498 /nfs/dbraw/zinc/48/04/98/1074480498.db2.gz OZIQMVCVQUDHHE-CRAIPNDOSA-N 0 0 441.506 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)cc1OC ZINC001455094486 1074480491 /nfs/dbraw/zinc/48/04/91/1074480491.db2.gz OZIQMVCVQUDHHE-MAUKXSAKSA-N 0 0 441.506 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)cc1OC ZINC001455094487 1074480507 /nfs/dbraw/zinc/48/05/07/1074480507.db2.gz OZIQMVCVQUDHHE-QAPCUYQASA-N 0 0 441.506 -0.122 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)cc1OC ZINC001455094489 1074480466 /nfs/dbraw/zinc/48/04/66/1074480466.db2.gz OZIQMVCVQUDHHE-YJBOKZPZSA-N 0 0 441.506 -0.122 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)c1 ZINC001455097909 1074480420 /nfs/dbraw/zinc/48/04/20/1074480420.db2.gz ZIYSZTXFOSTRRP-DOTOQJQBSA-N 0 0 438.506 -0.827 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)c1 ZINC001455097913 1074480503 /nfs/dbraw/zinc/48/05/03/1074480503.db2.gz ZIYSZTXFOSTRRP-NVXWUHKLSA-N 0 0 438.506 -0.827 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)c1 ZINC001455097918 1074480447 /nfs/dbraw/zinc/48/04/47/1074480447.db2.gz ZIYSZTXFOSTRRP-RDJZCZTQSA-N 0 0 438.506 -0.827 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)c1 ZINC001455097922 1074480412 /nfs/dbraw/zinc/48/04/12/1074480412.db2.gz ZIYSZTXFOSTRRP-WBVHZDCISA-N 0 0 438.506 -0.827 20 0 IBADRN Cn1c2nc(C(=O)N3CCc4c(cccc4S(N)(=O)=O)C3)ccc2c(=O)n(C)c1=O ZINC001455104634 1074480539 /nfs/dbraw/zinc/48/05/39/1074480539.db2.gz ZPPXTNQCJWFOCP-UHFFFAOYSA-N 0 0 429.458 -0.522 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CN2CCOCC2=O)C1 ZINC001455112701 1074480571 /nfs/dbraw/zinc/48/05/71/1074480571.db2.gz ZFHUZZNTRCHRSR-KFWWJZLASA-N 0 0 438.481 -0.114 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CN2CCOCC2=O)C1 ZINC001455112704 1074480512 /nfs/dbraw/zinc/48/05/12/1074480512.db2.gz ZFHUZZNTRCHRSR-ZNMIVQPWSA-N 0 0 438.481 -0.114 20 0 IBADRN CCn1cc(CNC(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)c(=O)[nH]c1=O ZINC001455127731 1074481573 /nfs/dbraw/zinc/48/15/73/1074481573.db2.gz GHLNSAWAWMLVEO-UHFFFAOYSA-N 0 0 427.508 -0.036 20 0 IBADRN COCCn1cc(CNC(=O)c2cccc(S(=O)(=O)N(C)OC)c2)c(=O)[nH]c1=O ZINC001455129390 1074481561 /nfs/dbraw/zinc/48/15/61/1074481561.db2.gz WFFUTNZHRJLASD-UHFFFAOYSA-N 0 0 426.451 -0.293 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)N1CCN(Cc2ccno2)CC1 ZINC001455129776 1074481523 /nfs/dbraw/zinc/48/15/23/1074481523.db2.gz KDOHLJKESHGBRR-UHFFFAOYSA-N 0 0 433.490 -0.247 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CC(O)(c3ccnn3C)C2)s1 ZINC001455135375 1074481948 /nfs/dbraw/zinc/48/19/48/1074481948.db2.gz AQZDRLMIJDKDGE-UHFFFAOYSA-N 0 0 427.508 -0.874 20 0 IBADRN COCCn1cc(CNC(=O)[C@@H]2CN(C(=O)OC(C)(C)C)CCN2C)c(=O)[nH]c1=O ZINC001455138369 1074482024 /nfs/dbraw/zinc/48/20/24/1074482024.db2.gz KTCFZUGFFOZQFO-AWEZNQCLSA-N 0 0 425.486 -0.237 20 0 IBADRN COCCn1cc(CNC(=O)[C@H]2CN(C(=O)OC(C)(C)C)CCN2C)c(=O)[nH]c1=O ZINC001455138371 1074482084 /nfs/dbraw/zinc/48/20/84/1074482084.db2.gz KTCFZUGFFOZQFO-CQSZACIVSA-N 0 0 425.486 -0.237 20 0 IBADRN COC(=O)[C@@]1(NC(=O)CCc2nc3cc(S(=O)(=O)N(C)C)ccc3n2C)C[C@H](O)C1 ZINC001455140726 1074482008 /nfs/dbraw/zinc/48/20/08/1074482008.db2.gz PHXJCIGXKPVOMW-HHCCDPJTSA-N 0 0 438.506 -0.061 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CC(O)(c4cnnn4C)C3)CC2)cc1 ZINC001455147607 1074481916 /nfs/dbraw/zinc/48/19/16/1074481916.db2.gz GJMMUTAFODFNPB-UHFFFAOYSA-N 0 0 434.522 -0.840 20 0 IBADRN Cn1nncc1C1(O)CN(C(=O)CCNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001455148322 1074481962 /nfs/dbraw/zinc/48/19/62/1074481962.db2.gz JIDWWZNPEADSIW-UHFFFAOYSA-N 0 0 444.311 -0.024 20 0 IBADRN Cn1ccnc1C1(O)CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001455149049 1074481991 /nfs/dbraw/zinc/48/19/91/1074481991.db2.gz FBRIVRXPOQHQCE-HIFRSBDPSA-N 0 0 440.909 -0.073 20 0 IBADRN Cn1ccnc1C1(O)CN(C(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001455149050 1074481879 /nfs/dbraw/zinc/48/18/79/1074481879.db2.gz FBRIVRXPOQHQCE-ZFWWWQNUSA-N 0 0 440.909 -0.073 20 0 IBADRN Cn1ccnc1C1(O)CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1 ZINC001455155834 1074482069 /nfs/dbraw/zinc/48/20/69/1074482069.db2.gz WRKMILPOAWZODW-FZKQIMNGSA-N 0 0 440.522 -0.268 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCC[C@@H](n2nncc2I)C1 ZINC001455161288 1074481976 /nfs/dbraw/zinc/48/19/76/1074481976.db2.gz VAXKTPRUTUSIAC-SECBINFHSA-N 0 0 427.268 -0.015 20 0 IBADRN CCS(=O)(=O)NCC(=O)N1CCC[C@H](n2nncc2I)C1 ZINC001455161289 1074481893 /nfs/dbraw/zinc/48/18/93/1074481893.db2.gz VAXKTPRUTUSIAC-VIFPVBQESA-N 0 0 427.268 -0.015 20 0 IBADRN Cc1c(C(=O)N2CC(O)(c3cnnn3C)C2)cc(Br)cc1S(N)(=O)=O ZINC001455162579 1074481995 /nfs/dbraw/zinc/48/19/95/1074481995.db2.gz FSRMRKMEIBFIPF-UHFFFAOYSA-N 0 0 430.284 -0.123 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)N2CC(O)(c3cnnn3C)C2)cc1 ZINC001455164067 1074482111 /nfs/dbraw/zinc/48/21/11/1074482111.db2.gz LWYKLYKUNMCJDY-DOTOQJQBSA-N 0 0 449.533 -0.208 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CC(O)(c3cnnn3C)C2)cc1 ZINC001455164071 1074481985 /nfs/dbraw/zinc/48/19/85/1074481985.db2.gz LWYKLYKUNMCJDY-NVXWUHKLSA-N 0 0 449.533 -0.208 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC(O)(c3cnnn3C)C2)cc1 ZINC001455164074 1074481907 /nfs/dbraw/zinc/48/19/07/1074481907.db2.gz LWYKLYKUNMCJDY-RDJZCZTQSA-N 0 0 449.533 -0.208 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC(O)(c3cnnn3C)C2)cc1 ZINC001455164078 1074482146 /nfs/dbraw/zinc/48/21/46/1074482146.db2.gz LWYKLYKUNMCJDY-WBVHZDCISA-N 0 0 449.533 -0.208 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC[C@@H](n2nncc2I)C1 ZINC001455168854 1074482658 /nfs/dbraw/zinc/48/26/58/1074482658.db2.gz AYZOAJWNCZZWQM-SECBINFHSA-N 0 0 442.283 -0.558 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCC[C@H](n2nncc2I)C1 ZINC001455168884 1074482664 /nfs/dbraw/zinc/48/26/64/1074482664.db2.gz AYZOAJWNCZZWQM-VIFPVBQESA-N 0 0 442.283 -0.558 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCC[C@@H](n3nncc3I)C2)C1=O ZINC001455168903 1074482564 /nfs/dbraw/zinc/48/25/64/1074482564.db2.gz BCYOUBXIZOBTNX-SECBINFHSA-N 0 0 432.222 -0.060 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCC[C@H](n3nncc3I)C2)C1=O ZINC001455168958 1074482643 /nfs/dbraw/zinc/48/26/43/1074482643.db2.gz BCYOUBXIZOBTNX-VIFPVBQESA-N 0 0 432.222 -0.060 20 0 IBADRN NS(=O)(=O)C1(CNC(=O)CNS(=O)(=O)c2ccc(Br)cc2)CC1 ZINC001455175236 1074482673 /nfs/dbraw/zinc/48/26/73/1074482673.db2.gz HUMMOELPKLZLCH-UHFFFAOYSA-N 0 0 426.314 -0.335 20 0 IBADRN NS(=O)(=O)C1(CNC(=O)CNC(=O)c2ccccc2I)CC1 ZINC001455176495 1074482557 /nfs/dbraw/zinc/48/25/57/1074482557.db2.gz LJMYRYUXOCFDRL-UHFFFAOYSA-N 0 0 437.259 -0.042 20 0 IBADRN NS(=O)(=O)C1(CNC(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)CC1 ZINC001455177148 1074482667 /nfs/dbraw/zinc/48/26/67/1074482667.db2.gz OGEAEVHFHDRENI-UHFFFAOYSA-N 0 0 426.520 -0.215 20 0 IBADRN COC(=O)[C@@]1(O)CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001455186357 1074482622 /nfs/dbraw/zinc/48/26/22/1074482622.db2.gz FFRMREVZNQRDEX-GFCCVEGCSA-N 0 0 427.298 -0.075 20 0 IBADRN COC(=O)[C@]1(O)CCN(C(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001455186359 1074482677 /nfs/dbraw/zinc/48/26/77/1074482677.db2.gz FFRMREVZNQRDEX-LBPRGKRZSA-N 0 0 427.298 -0.075 20 0 IBADRN COC(=O)[C@@]1(O)CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001455187170 1074482630 /nfs/dbraw/zinc/48/26/30/1074482630.db2.gz IBSHWRLMZZEXGA-GOSISDBHSA-N 0 0 428.463 -0.534 20 0 IBADRN COC(=O)[C@]1(O)CCN(C(=O)c2ccc(OC)c(S(=O)(=O)N3CCOCC3)c2)C1 ZINC001455187183 1074482596 /nfs/dbraw/zinc/48/25/96/1074482596.db2.gz IBSHWRLMZZEXGA-SFHVURJKSA-N 0 0 428.463 -0.534 20 0 IBADRN COC(=O)[C@]1(O)CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC001455189950 1074482661 /nfs/dbraw/zinc/48/26/61/1074482661.db2.gz NPSVUZJDJWHOKI-IBGZPJMESA-N 0 0 425.507 -0.562 20 0 IBADRN COC(=O)[C@@]1(O)CCN(C(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)C1 ZINC001455189953 1074482605 /nfs/dbraw/zinc/48/26/05/1074482605.db2.gz NPSVUZJDJWHOKI-LJQANCHMSA-N 0 0 425.507 -0.562 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N2CCC(=O)NCC23COC3)C1 ZINC001455208326 1074482654 /nfs/dbraw/zinc/48/26/54/1074482654.db2.gz MTKWJXMKVOWDTR-CYBMUJFWSA-N 0 0 431.511 -0.472 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N2CCC(=O)NCC23COC3)C1 ZINC001455208330 1074482635 /nfs/dbraw/zinc/48/26/35/1074482635.db2.gz MTKWJXMKVOWDTR-ZDUSSCGKSA-N 0 0 431.511 -0.472 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@@H]2CC(=O)N(CC3CC3)C2)c1 ZINC001455217683 1074483266 /nfs/dbraw/zinc/48/32/66/1074483266.db2.gz XKMUPHDMFFMMBJ-LLVKDONJSA-N 0 0 428.898 -0.156 20 0 IBADRN NC(=O)CNS(=O)(=O)c1ccc(Cl)c(C(=O)N[C@H]2CC(=O)N(CC3CC3)C2)c1 ZINC001455217684 1074483181 /nfs/dbraw/zinc/48/31/81/1074483181.db2.gz XKMUPHDMFFMMBJ-NSHDSACASA-N 0 0 428.898 -0.156 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)NCc1nnc2n1CCNC2=O ZINC001455247022 1074483361 /nfs/dbraw/zinc/48/33/61/1074483361.db2.gz FNXIIAWFPPXGPP-UHFFFAOYSA-N 0 0 432.384 -0.365 20 0 IBADRN O=C(Cn1nc(Br)c(Br)n1)NCc1nnc2n1CCNC2=O ZINC001455247684 1074483312 /nfs/dbraw/zinc/48/33/12/1074483312.db2.gz BVVMIIFFJWTLSZ-UHFFFAOYSA-N 0 0 434.052 -0.546 20 0 IBADRN CSCC[C@H](NC(=O)COc1ccccc1)C(=O)NCc1nnc2n1CCNC2=O ZINC001455248995 1074483275 /nfs/dbraw/zinc/48/32/75/1074483275.db2.gz QXOHWKHCDLHQRV-AWEZNQCLSA-N 0 0 432.506 -0.045 20 0 IBADRN CSCC[C@@H](NC(=O)COc1ccccc1)C(=O)NCc1nnc2n1CCNC2=O ZINC001455249001 1074483303 /nfs/dbraw/zinc/48/33/03/1074483303.db2.gz QXOHWKHCDLHQRV-CQSZACIVSA-N 0 0 432.506 -0.045 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NCc1nnc2n1CCNC2=O ZINC001455250414 1074483342 /nfs/dbraw/zinc/48/33/42/1074483342.db2.gz YZVTZOZLVOGQLD-UHFFFAOYSA-N 0 0 443.283 -0.621 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N(CC(N)=O)C2CCOCC2)C1 ZINC001455254949 1074483140 /nfs/dbraw/zinc/48/31/40/1074483140.db2.gz XQPRBWZGHWXPLL-AWEZNQCLSA-N 0 0 427.527 -0.080 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N(CC(N)=O)C2CCOCC2)C1 ZINC001455254958 1074483297 /nfs/dbraw/zinc/48/32/97/1074483297.db2.gz XQPRBWZGHWXPLL-CQSZACIVSA-N 0 0 427.527 -0.080 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)CNC(=O)c3ncccc3O)CC2)cc1 ZINC001455256719 1074483228 /nfs/dbraw/zinc/48/32/28/1074483228.db2.gz GQJHUVHDDSAHSY-UHFFFAOYSA-N 0 0 433.490 -0.226 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)N2CC(O)(c3ncnn3C)C2)cc1 ZINC001455273780 1074483130 /nfs/dbraw/zinc/48/31/30/1074483130.db2.gz JWFXLVVDOQJFCW-DOTOQJQBSA-N 0 0 449.533 -0.208 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CC(O)(c3ncnn3C)C2)cc1 ZINC001455273784 1074483171 /nfs/dbraw/zinc/48/31/71/1074483171.db2.gz JWFXLVVDOQJFCW-NVXWUHKLSA-N 0 0 449.533 -0.208 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC(O)(c3ncnn3C)C2)cc1 ZINC001455273789 1074483094 /nfs/dbraw/zinc/48/30/94/1074483094.db2.gz JWFXLVVDOQJFCW-RDJZCZTQSA-N 0 0 449.533 -0.208 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC(O)(c3ncnn3C)C2)cc1 ZINC001455273792 1074483291 /nfs/dbraw/zinc/48/32/91/1074483291.db2.gz JWFXLVVDOQJFCW-WBVHZDCISA-N 0 0 449.533 -0.208 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001455274219 1074483370 /nfs/dbraw/zinc/48/33/70/1074483370.db2.gz MFVCYKWYFDZLQL-UHFFFAOYSA-N 0 0 435.506 -0.501 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)CNS(=O)(=O)c2ccc(OC(F)(F)F)cc2)C1 ZINC001455275364 1074483353 /nfs/dbraw/zinc/48/33/53/1074483353.db2.gz PFHJQYPPFINCCJ-UHFFFAOYSA-N 0 0 435.384 -0.278 20 0 IBADRN COC(=O)[C@@H]1[C@H](CNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1(F)F ZINC001455279849 1074483157 /nfs/dbraw/zinc/48/31/57/1074483157.db2.gz AZGZPUONRPCVKB-JSGCOSHPSA-N 0 0 433.433 -0.163 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001455295959 1074483595 /nfs/dbraw/zinc/48/35/95/1074483595.db2.gz PVKVJUIJRCNVDP-UHFFFAOYSA-N 0 0 432.462 -0.289 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001455321394 1074483533 /nfs/dbraw/zinc/48/35/33/1074483533.db2.gz HWQNZAIEVQOEAF-SFHVURJKSA-N 0 0 448.549 -0.502 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)N[C@@H](CO)CC1OCCO1 ZINC001455322528 1074483509 /nfs/dbraw/zinc/48/35/09/1074483509.db2.gz FBGBEJYWAOYQAB-CYBMUJFWSA-N 0 0 430.479 -0.244 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCO2)N[C@H](CO)CC1OCCO1 ZINC001455322529 1074483752 /nfs/dbraw/zinc/48/37/52/1074483752.db2.gz FBGBEJYWAOYQAB-ZDUSSCGKSA-N 0 0 430.479 -0.244 20 0 IBADRN O=C(N[C@H]1Cc2cnccc2NC1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001455336698 1074483525 /nfs/dbraw/zinc/48/35/25/1074483525.db2.gz DFSAXNOKEWMUKB-INIZCTEOSA-N 0 0 429.458 -0.505 20 0 IBADRN O=C(N[C@@H]1Cc2cnccc2NC1=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001455336703 1074483691 /nfs/dbraw/zinc/48/36/91/1074483691.db2.gz DFSAXNOKEWMUKB-MRXNPFEDSA-N 0 0 429.458 -0.505 20 0 IBADRN CC(C)(CNS(N)(=O)=O)NC(=O)[C@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC001455360640 1074483744 /nfs/dbraw/zinc/48/37/44/1074483744.db2.gz LNVMAHGJCDVYIA-HNNXBMFYSA-N 0 0 432.568 -0.084 20 0 IBADRN CC(C)(CNS(N)(=O)=O)NC(=O)[C@@H]1CCCN(S(=O)(=O)Cc2ccccc2)C1 ZINC001455360642 1074483642 /nfs/dbraw/zinc/48/36/42/1074483642.db2.gz LNVMAHGJCDVYIA-OAHLLOKOSA-N 0 0 432.568 -0.084 20 0 IBADRN CC(C)(CNS(N)(=O)=O)NC(=O)CN1c2ccc(Br)cc2OCC1=O ZINC001455362691 1074483564 /nfs/dbraw/zinc/48/35/64/1074483564.db2.gz SMIHIOKOIHCUED-UHFFFAOYSA-N 0 0 435.300 -0.138 20 0 IBADRN COc1c(F)cc(CC(=O)NCCN(C)CCS(C)(=O)=O)cc1S(N)(=O)=O ZINC001455363781 1074483735 /nfs/dbraw/zinc/48/37/35/1074483735.db2.gz BWINXYGMTRVEEM-UHFFFAOYSA-N 0 0 425.504 -0.883 20 0 IBADRN CN(CCNC(=O)CN1C(=O)N[C@](C)(c2ccc(F)cc2)C1=O)CCS(C)(=O)=O ZINC001455364878 1074483721 /nfs/dbraw/zinc/48/37/21/1074483721.db2.gz HXOBONWWLCFDDU-GOSISDBHSA-N 0 0 428.486 -0.315 20 0 IBADRN CN(CCNC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)cc2)C1=O)CCS(C)(=O)=O ZINC001455364885 1074483731 /nfs/dbraw/zinc/48/37/31/1074483731.db2.gz HXOBONWWLCFDDU-SFHVURJKSA-N 0 0 428.486 -0.315 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)NCCN(C)CCS(C)(=O)=O)cc2S1(=O)=O ZINC001455365576 1074483670 /nfs/dbraw/zinc/48/36/70/1074483670.db2.gz LPMAHQCPMGCQSE-UHFFFAOYSA-N 0 0 431.536 -0.054 20 0 IBADRN CN(CCNC(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1)CCS(C)(=O)=O ZINC001455367502 1074483761 /nfs/dbraw/zinc/48/37/61/1074483761.db2.gz VCIVNWCRTWOLAA-UHFFFAOYSA-N 0 0 431.580 -0.377 20 0 IBADRN Cn1nccc1C1(O)CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001455369833 1074483579 /nfs/dbraw/zinc/48/35/79/1074483579.db2.gz FQGWLYCUCSRNMY-INIZCTEOSA-N 0 0 431.468 -0.028 20 0 IBADRN Cn1nccc1C1(O)CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001455369836 1074483686 /nfs/dbraw/zinc/48/36/86/1074483686.db2.gz FQGWLYCUCSRNMY-MRXNPFEDSA-N 0 0 431.468 -0.028 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NCc3nnc4n3CCN(C)C4=O)C2=O)cc1 ZINC001455372154 1074483709 /nfs/dbraw/zinc/48/37/09/1074483709.db2.gz ANDRBQKCJRBACL-FQEVSTJZSA-N 0 0 425.449 -0.244 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCc3nnc4n3CCN(C)C4=O)C2=O)cc1 ZINC001455372173 1074484277 /nfs/dbraw/zinc/48/42/77/1074484277.db2.gz ANDRBQKCJRBACL-HXUWFJFHSA-N 0 0 425.449 -0.244 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(O)(c3ncnn3C)CC2)[C@@H](C)O)cc1 ZINC001455372629 1074484329 /nfs/dbraw/zinc/48/43/29/1074484329.db2.gz DBYGNQLRPVPNCV-GDBMZVCRSA-N 0 0 437.522 -0.339 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C(=O)N2CCC(O)(c3ncnn3C)CC2)[C@H](C)O)cc1 ZINC001455372633 1074484273 /nfs/dbraw/zinc/48/42/73/1074484273.db2.gz DBYGNQLRPVPNCV-GOEBONIOSA-N 0 0 437.522 -0.339 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(O)(c3ncnn3C)CC2)[C@H](C)O)cc1 ZINC001455372642 1074484331 /nfs/dbraw/zinc/48/43/31/1074484331.db2.gz DBYGNQLRPVPNCV-HOCLYGCPSA-N 0 0 437.522 -0.339 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCC(O)(c3ncnn3C)CC2)[C@@H](C)O)cc1 ZINC001455372644 1074484296 /nfs/dbraw/zinc/48/42/96/1074484296.db2.gz DBYGNQLRPVPNCV-ZBFHGGJFSA-N 0 0 437.522 -0.339 20 0 IBADRN Cn1ccnc1C1(O)CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC001455373327 1074484294 /nfs/dbraw/zinc/48/42/94/1074484294.db2.gz UXGYZYYSGUKOPP-AWEZNQCLSA-N 0 0 447.517 -0.069 20 0 IBADRN Cn1ccnc1C1(O)CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)CC1 ZINC001455373330 1074484286 /nfs/dbraw/zinc/48/42/86/1074484286.db2.gz UXGYZYYSGUKOPP-CQSZACIVSA-N 0 0 447.517 -0.069 20 0 IBADRN CN1CCn2c(CNC(=O)c3ccc(S(=O)(=O)N4CCOCC4)cc3)nnc2C1=O ZINC001455374662 1074484319 /nfs/dbraw/zinc/48/43/19/1074484319.db2.gz MUVLCISZCOGBAA-UHFFFAOYSA-N 0 0 434.478 -0.685 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NC[C@]2(C(=O)OC)C[C@@H]2S(C)(=O)=O)n(C)c1 ZINC001455376120 1074484301 /nfs/dbraw/zinc/48/43/01/1074484301.db2.gz GKSVQRMOSPDBQO-WMLDXEAASA-N 0 0 449.551 -0.238 20 0 IBADRN CN1CCn2c(CCNC(=O)CCCNS(=O)(=O)c3ccc(F)cc3)nnc2C1=O ZINC001455376850 1074484315 /nfs/dbraw/zinc/48/43/15/1074484315.db2.gz OBCUQNPGSKQZBO-UHFFFAOYSA-N 0 0 438.485 -0.080 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001455377289 1074484291 /nfs/dbraw/zinc/48/42/91/1074484291.db2.gz BKVJTQCWFQDTTD-UHFFFAOYSA-N 0 0 436.494 -0.821 20 0 IBADRN CN1CCn2c(CCNC(=O)CC[S@](=O)c3ccc4c(c3)OCCO4)nnc2C1=O ZINC001455377735 1074484297 /nfs/dbraw/zinc/48/42/97/1074484297.db2.gz BILZOXWHKZTYTG-PMERELPUSA-N 0 0 433.490 -0.009 20 0 IBADRN CN1CCn2c(CCNC(=O)CC[S@@](=O)c3ccc4c(c3)OCCO4)nnc2C1=O ZINC001455377747 1074484283 /nfs/dbraw/zinc/48/42/83/1074484283.db2.gz BILZOXWHKZTYTG-SSEXGKCCSA-N 0 0 433.490 -0.009 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CCC(=O)N2CC(=O)Nc3ccccc32)C[C@@H]1S(C)(=O)=O ZINC001455377797 1074484289 /nfs/dbraw/zinc/48/42/89/1074484289.db2.gz KMXODPCCASRCRO-IFXJQAMLSA-N 0 0 437.474 -0.156 20 0 IBADRN CN(CC(=O)NCc1nnc2n1CCN(C)C2=O)S(=O)(=O)c1ccc(Cl)cc1 ZINC001455378022 1074484288 /nfs/dbraw/zinc/48/42/88/1074484288.db2.gz WCIAOBROCMFDQH-UHFFFAOYSA-N 0 0 426.886 -0.046 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)NCCc1nnc3n1CCN(C)C3=O)C2=O ZINC001455378050 1074484280 /nfs/dbraw/zinc/48/42/80/1074484280.db2.gz CXMDOECIIYHLIO-CHWSQXEVSA-N 0 0 431.497 -0.231 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)NCCc1nnc3n1CCN(C)C3=O)C2=O ZINC001455378092 1074484311 /nfs/dbraw/zinc/48/43/11/1074484311.db2.gz CXMDOECIIYHLIO-NXCRSVRDSA-N 0 0 431.497 -0.231 20 0 IBADRN C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)NCCc1nnc3n1CCN(C)C3=O)C2=O ZINC001455378102 1074484335 /nfs/dbraw/zinc/48/43/35/1074484335.db2.gz CXMDOECIIYHLIO-STQMWFEESA-N 0 0 431.497 -0.231 20 0 IBADRN Cn1nc(I)cc1C(=O)NCCc1nnc2n1CCN(C)C2=O ZINC001455378473 1074484816 /nfs/dbraw/zinc/48/48/16/1074484816.db2.gz GALSMQGZEBCUOG-UHFFFAOYSA-N 0 0 429.222 -0.326 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CCOc2ccc(S(C)(=O)=O)cc2)C[C@@H]1S(C)(=O)=O ZINC001455378673 1074484842 /nfs/dbraw/zinc/48/48/42/1074484842.db2.gz NGNTZZYTGQWTLO-WMLDXEAASA-N 0 0 433.504 -0.049 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001455379919 1074484822 /nfs/dbraw/zinc/48/48/22/1074484822.db2.gz JWEQESQWLVQXHN-UHFFFAOYSA-N 0 0 435.506 -0.042 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2ccc(CCNS(C)(=O)=O)s2)C[C@@H]1S(C)(=O)=O ZINC001455381006 1074484904 /nfs/dbraw/zinc/48/49/04/1074484904.db2.gz UUIXLULXYYWJAV-SWLSCSKDSA-N 0 0 438.549 -0.454 20 0 IBADRN CN1CCn2c(CCNC(=O)[C@@H](O)[C@](O)(c3ccccc3)C(F)(F)F)nnc2C1=O ZINC001455381168 1074484744 /nfs/dbraw/zinc/48/47/44/1074484744.db2.gz JGMANQPQWWNACK-CXAGYDPISA-N 0 0 427.383 -0.167 20 0 IBADRN CN1CCn2c(CCNC(=O)[C@@H](O)[C@@](O)(c3ccccc3)C(F)(F)F)nnc2C1=O ZINC001455381192 1074484865 /nfs/dbraw/zinc/48/48/65/1074484865.db2.gz JGMANQPQWWNACK-DYVFJYSZSA-N 0 0 427.383 -0.167 20 0 IBADRN CN1CCn2c(CCNC(=O)[C@H](O)[C@@](O)(c3ccccc3)C(F)(F)F)nnc2C1=O ZINC001455381205 1074484913 /nfs/dbraw/zinc/48/49/13/1074484913.db2.gz JGMANQPQWWNACK-GUYCJALGSA-N 0 0 427.383 -0.167 20 0 IBADRN CN1CCn2c(CCNC(=O)[C@H](O)[C@](O)(c3ccccc3)C(F)(F)F)nnc2C1=O ZINC001455381217 1074484893 /nfs/dbraw/zinc/48/48/93/1074484893.db2.gz JGMANQPQWWNACK-SUMWQHHRSA-N 0 0 427.383 -0.167 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CCNS(=O)(=O)c2ccccc2F)C[C@@H]1S(C)(=O)=O ZINC001455382409 1074484880 /nfs/dbraw/zinc/48/48/80/1074484880.db2.gz WKPSFYCCKHIMMW-XJKSGUPXSA-N 0 0 436.483 -0.413 20 0 IBADRN CN1CCn2c(CNC(=O)c3cccc(S(=O)(=O)NC[C@@H]4CCCO4)c3)nnc2C1=O ZINC001455382908 1074484764 /nfs/dbraw/zinc/48/47/64/1074484764.db2.gz MEBLWXKZNFGEID-AWEZNQCLSA-N 0 0 448.505 -0.249 20 0 IBADRN CN1CCn2c(CNC(=O)c3cccc(S(=O)(=O)NC[C@H]4CCCO4)c3)nnc2C1=O ZINC001455382913 1074484890 /nfs/dbraw/zinc/48/48/90/1074484890.db2.gz MEBLWXKZNFGEID-CQSZACIVSA-N 0 0 448.505 -0.249 20 0 IBADRN CN1CCn2c(CNC(=O)CNS(=O)(=O)c3ccccc3C(F)(F)F)nnc2C1=O ZINC001455383045 1074484874 /nfs/dbraw/zinc/48/48/74/1074484874.db2.gz MRCHCQLCSDTCBV-UHFFFAOYSA-N 0 0 446.411 -0.023 20 0 IBADRN CN1CCn2c(CNC(=O)CCC(=O)N3CCN(c4ccccn4)CC3)nnc2C1=O ZINC001455383093 1074484850 /nfs/dbraw/zinc/48/48/50/1074484850.db2.gz MYJIUUBNAYWNCF-UHFFFAOYSA-N 0 0 426.481 -0.496 20 0 IBADRN CN1CCn2c(CNC(=O)c3ccc(S(=O)(=O)N4CCC(O)CC4)cc3)nnc2C1=O ZINC001455383725 1074484779 /nfs/dbraw/zinc/48/47/79/1074484779.db2.gz PAZZMXCDABFEOF-UHFFFAOYSA-N 0 0 448.505 -0.561 20 0 IBADRN CN1CCn2c(CNC(=O)[C@@H]3CS[C@@H](Cc4ccccc4F)C(=O)N3)nnc2C1=O ZINC001455386089 1074484804 /nfs/dbraw/zinc/48/48/04/1074484804.db2.gz WETTXUDRRKQKDF-KBPBESRZSA-N 0 0 432.481 -0.038 20 0 IBADRN CN1CCn2c(CNC(=O)[C@H]3CS[C@@H](Cc4ccccc4F)C(=O)N3)nnc2C1=O ZINC001455386092 1074484835 /nfs/dbraw/zinc/48/48/35/1074484835.db2.gz WETTXUDRRKQKDF-KGLIPLIRSA-N 0 0 432.481 -0.038 20 0 IBADRN CN1CCn2c(CNC(=O)[C@@H]3CS[C@H](Cc4ccccc4F)C(=O)N3)nnc2C1=O ZINC001455386100 1074484790 /nfs/dbraw/zinc/48/47/90/1074484790.db2.gz WETTXUDRRKQKDF-UONOGXRCSA-N 0 0 432.481 -0.038 20 0 IBADRN CN1CCn2c(CNC(=O)[C@H]3CS[C@H](Cc4ccccc4F)C(=O)N3)nnc2C1=O ZINC001455386103 1074484919 /nfs/dbraw/zinc/48/49/19/1074484919.db2.gz WETTXUDRRKQKDF-ZIAGYGMSSA-N 0 0 432.481 -0.038 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2nn(C)cc2I)C[C@@H]1S(C)(=O)=O ZINC001455387044 1074484808 /nfs/dbraw/zinc/48/48/08/1074484808.db2.gz OXVUMFDJNBKAQH-QPUJVOFHSA-N 0 0 441.247 -0.269 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CO[C@H](CNC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)C2)CC1 ZINC001455395527 1074485314 /nfs/dbraw/zinc/48/53/14/1074485314.db2.gz HRABSMZQXFWEKZ-KBPBESRZSA-N 0 0 445.538 -0.178 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CO[C@@H](CNC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)C2)CC1 ZINC001455395528 1074485228 /nfs/dbraw/zinc/48/52/28/1074485228.db2.gz HRABSMZQXFWEKZ-KGLIPLIRSA-N 0 0 445.538 -0.178 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3CCOC[C@H]3O)CC2)cc1 ZINC001455434947 1074485333 /nfs/dbraw/zinc/48/53/33/1074485333.db2.gz BQGDKDPLTYBIHS-QZTJIDSGSA-N 0 0 439.534 -0.126 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC(=O)N2)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001455438872 1074485295 /nfs/dbraw/zinc/48/52/95/1074485295.db2.gz LHUUUOUCLGRGSY-CYBMUJFWSA-N 0 0 436.490 -0.468 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC(=O)N2)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001455438875 1074485370 /nfs/dbraw/zinc/48/53/70/1074485370.db2.gz LHUUUOUCLGRGSY-ZDUSSCGKSA-N 0 0 436.490 -0.468 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001455440308 1074485281 /nfs/dbraw/zinc/48/52/81/1074485281.db2.gz DVIJAYBNOGZOFF-UHFFFAOYSA-N 0 0 428.486 -0.453 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)c1cccc(NN2C(=O)[C@H]3CC=CC[C@@H]3C2=O)c1 ZINC001455441591 1074485311 /nfs/dbraw/zinc/48/53/11/1074485311.db2.gz NGFJGNXKGJQWLR-HOTGVXAUSA-N 0 0 427.461 -0.101 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)c1cccc(NN2C(=O)[C@@H]3CC=CC[C@H]3C2=O)c1 ZINC001455441593 1074485254 /nfs/dbraw/zinc/48/52/54/1074485254.db2.gz NGFJGNXKGJQWLR-HZPDHXFCSA-N 0 0 427.461 -0.101 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)c1cccc(NN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)c1 ZINC001455441595 1074485322 /nfs/dbraw/zinc/48/53/22/1074485322.db2.gz NGFJGNXKGJQWLR-IYBDPMFKSA-N 0 0 427.461 -0.101 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CN(C)S(=O)(=O)c1ccc(Br)cc1 ZINC001455441619 1074485342 /nfs/dbraw/zinc/48/53/42/1074485342.db2.gz NQCDDRWYEOEVKP-UHFFFAOYSA-N 0 0 449.327 -0.610 20 0 IBADRN Cn1nnnc1C1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001455450744 1074485358 /nfs/dbraw/zinc/48/53/58/1074485358.db2.gz ADOQRSXSACAUML-UHFFFAOYSA-N 0 0 433.494 -0.650 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC[C@H](CNS(C)(=O)=O)C2)cc1OC ZINC001455495829 1074485275 /nfs/dbraw/zinc/48/52/75/1074485275.db2.gz LQZQMUARGCGJAX-CYBMUJFWSA-N 0 0 449.551 -0.230 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)cc1OC ZINC001455495832 1074485290 /nfs/dbraw/zinc/48/52/90/1074485290.db2.gz LQZQMUARGCGJAX-ZDUSSCGKSA-N 0 0 449.551 -0.230 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001455496685 1074485241 /nfs/dbraw/zinc/48/52/41/1074485241.db2.gz QZPKXVXINXCLMU-BXUZGUMPSA-N 0 0 439.943 -0.623 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001455496686 1074485307 /nfs/dbraw/zinc/48/53/07/1074485307.db2.gz QZPKXVXINXCLMU-FZMZJTMJSA-N 0 0 439.943 -0.623 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001455496688 1074485388 /nfs/dbraw/zinc/48/53/88/1074485388.db2.gz QZPKXVXINXCLMU-RISCZKNCSA-N 0 0 439.943 -0.623 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001455496691 1074485969 /nfs/dbraw/zinc/48/59/69/1074485969.db2.gz QZPKXVXINXCLMU-SMDDNHRTSA-N 0 0 439.943 -0.623 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001455497381 1074485856 /nfs/dbraw/zinc/48/58/56/1074485856.db2.gz QSYGPEJIWVRFFX-CXUGXGMPSA-N 0 0 446.522 -0.157 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001455497386 1074485879 /nfs/dbraw/zinc/48/58/79/1074485879.db2.gz QSYGPEJIWVRFFX-LBEHMCEESA-N 0 0 446.522 -0.157 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001455497391 1074485889 /nfs/dbraw/zinc/48/58/89/1074485889.db2.gz QSYGPEJIWVRFFX-SHFYGJNESA-N 0 0 446.522 -0.157 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001455497397 1074485862 /nfs/dbraw/zinc/48/58/62/1074485862.db2.gz QSYGPEJIWVRFFX-SMKXDYDZSA-N 0 0 446.522 -0.157 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001455498853 1074485959 /nfs/dbraw/zinc/48/59/59/1074485959.db2.gz YFJDACMVFITJQL-CYBMUJFWSA-N 0 0 447.535 -0.476 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001455498857 1074485929 /nfs/dbraw/zinc/48/59/29/1074485929.db2.gz YFJDACMVFITJQL-ZDUSSCGKSA-N 0 0 447.535 -0.476 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCC(CO)(CO)CC1 ZINC001455532653 1074485962 /nfs/dbraw/zinc/48/59/62/1074485962.db2.gz RZFOOKDTRWJJEH-INIZCTEOSA-N 0 0 442.534 -0.178 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCC(CO)(CO)CC1 ZINC001455532654 1074485978 /nfs/dbraw/zinc/48/59/78/1074485978.db2.gz RZFOOKDTRWJJEH-MRXNPFEDSA-N 0 0 442.534 -0.178 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)C1(O)CN(C(=O)OC(C)(C)C)C1 ZINC001455565258 1074485894 /nfs/dbraw/zinc/48/58/94/1074485894.db2.gz SVVDNZQCUCVLOI-UHFFFAOYSA-N 0 0 434.493 -0.141 20 0 IBADRN C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)NCC(=O)NCC(=O)NCc1ccccc1 ZINC001455569543 1074485973 /nfs/dbraw/zinc/48/59/73/1074485973.db2.gz LUOFRHCVXXXYOZ-HSZRJFAPSA-N 0 0 438.484 -0.058 20 0 IBADRN C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)NCC(=O)NCC(=O)NCc1ccccc1 ZINC001455569548 1074485920 /nfs/dbraw/zinc/48/59/20/1074485920.db2.gz LUOFRHCVXXXYOZ-QHCPKHFHSA-N 0 0 438.484 -0.058 20 0 IBADRN O=C(CNC(=O)CNC(=O)c1ccc2c(c1)OCO2)NCC(=O)NCc1ccccc1 ZINC001455571718 1074485843 /nfs/dbraw/zinc/48/58/43/1074485843.db2.gz SYWDTVIBWXDFIH-UHFFFAOYSA-N 0 0 426.429 -0.306 20 0 IBADRN CN1CCN(CC(=O)NCC[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1=O ZINC001455596303 1074485940 /nfs/dbraw/zinc/48/59/40/1074485940.db2.gz GKTSYHUDWFXGFV-AWEZNQCLSA-N 0 0 432.543 -0.699 20 0 IBADRN CN1CCN(CC(=O)NCC[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1=O ZINC001455596307 1074485903 /nfs/dbraw/zinc/48/59/03/1074485903.db2.gz GKTSYHUDWFXGFV-CQSZACIVSA-N 0 0 432.543 -0.699 20 0 IBADRN CCS(=O)(=O)NCC(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001455596310 1074485868 /nfs/dbraw/zinc/48/58/68/1074485868.db2.gz GMGDZFXPJUEBIU-GFCCVEGCSA-N 0 0 427.545 -0.534 20 0 IBADRN CCS(=O)(=O)NCC(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001455596315 1074485885 /nfs/dbraw/zinc/48/58/85/1074485885.db2.gz GMGDZFXPJUEBIU-LBPRGKRZSA-N 0 0 427.545 -0.534 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001455598251 1074485955 /nfs/dbraw/zinc/48/59/55/1074485955.db2.gz MYWRTEXNJLLFND-GFCCVEGCSA-N 0 0 427.545 -0.582 20 0 IBADRN CN(C)S(=O)(=O)CC(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001455598258 1074485908 /nfs/dbraw/zinc/48/59/08/1074485908.db2.gz MYWRTEXNJLLFND-LBPRGKRZSA-N 0 0 427.545 -0.582 20 0 IBADRN CCN1CCN(CC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)C(=O)C1=O ZINC001455638250 1074486477 /nfs/dbraw/zinc/48/64/77/1074486477.db2.gz ATUXGRWJIWLYKK-GOSISDBHSA-N 0 0 429.521 -0.821 20 0 IBADRN CCN1CCN(CC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)C(=O)C1=O ZINC001455638254 1074486310 /nfs/dbraw/zinc/48/63/10/1074486310.db2.gz ATUXGRWJIWLYKK-SFHVURJKSA-N 0 0 429.521 -0.821 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)C1=O ZINC001455639007 1074486438 /nfs/dbraw/zinc/48/64/38/1074486438.db2.gz RINZNHMRMAUAEP-MOPGFXCFSA-N 0 0 444.532 -0.101 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)C1=O ZINC001455639008 1074486528 /nfs/dbraw/zinc/48/65/28/1074486528.db2.gz RINZNHMRMAUAEP-OALUTQOASA-N 0 0 444.532 -0.101 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)C1=O ZINC001455639010 1074486451 /nfs/dbraw/zinc/48/64/51/1074486451.db2.gz RINZNHMRMAUAEP-RBUKOAKNSA-N 0 0 444.532 -0.101 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)C1=O ZINC001455639012 1074486355 /nfs/dbraw/zinc/48/63/55/1074486355.db2.gz RINZNHMRMAUAEP-RTBURBONSA-N 0 0 444.532 -0.101 20 0 IBADRN CN(CC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCN(C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001455639762 1074486425 /nfs/dbraw/zinc/48/64/25/1074486425.db2.gz HVIDJSJCQHHXHR-MOPGFXCFSA-N 0 0 436.578 -0.393 20 0 IBADRN CN(CC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCN(C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001455639767 1074486502 /nfs/dbraw/zinc/48/65/02/1074486502.db2.gz HVIDJSJCQHHXHR-OALUTQOASA-N 0 0 436.578 -0.393 20 0 IBADRN CN(CC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCN(C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001455639771 1074486540 /nfs/dbraw/zinc/48/65/40/1074486540.db2.gz HVIDJSJCQHHXHR-RBUKOAKNSA-N 0 0 436.578 -0.393 20 0 IBADRN CN(CC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCN(C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001455639775 1074486390 /nfs/dbraw/zinc/48/63/90/1074486390.db2.gz HVIDJSJCQHHXHR-RTBURBONSA-N 0 0 436.578 -0.393 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)NCCN1Cc2ccccc2OCC1=O ZINC001455641622 1074486403 /nfs/dbraw/zinc/48/64/03/1074486403.db2.gz BIPQKBZJRPZYHZ-UHFFFAOYSA-N 0 0 440.522 -0.642 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N[C@H]1C(=O)NCC12CCOCC2 ZINC001455649648 1074486417 /nfs/dbraw/zinc/48/64/17/1074486417.db2.gz CNLVBWKIMZRZBM-KRWDZBQOSA-N 0 0 439.490 -0.462 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N[C@@H]1C(=O)NCC12CCOCC2 ZINC001455649653 1074486328 /nfs/dbraw/zinc/48/63/28/1074486328.db2.gz CNLVBWKIMZRZBM-QGZVFWFLSA-N 0 0 439.490 -0.462 20 0 IBADRN O=C(N[C@H]1C(=O)NCC12CCOCC2)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001455650928 1074486489 /nfs/dbraw/zinc/48/64/89/1074486489.db2.gz HNKHFWSBKKGUKR-AWEZNQCLSA-N 0 0 428.536 -0.223 20 0 IBADRN O=C(N[C@@H]1C(=O)NCC12CCOCC2)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001455650930 1074486557 /nfs/dbraw/zinc/48/65/57/1074486557.db2.gz HNKHFWSBKKGUKR-CQSZACIVSA-N 0 0 428.536 -0.223 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@H]2C(=O)NCC23CCOCC3)c1 ZINC001455656139 1074486550 /nfs/dbraw/zinc/48/65/50/1074486550.db2.gz XSOYMFUHXGXSMZ-KRWDZBQOSA-N 0 0 440.522 -0.710 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N[C@@H]2C(=O)NCC23CCOCC3)c1 ZINC001455656145 1074486459 /nfs/dbraw/zinc/48/64/59/1074486459.db2.gz XSOYMFUHXGXSMZ-QGZVFWFLSA-N 0 0 440.522 -0.710 20 0 IBADRN CN1CC(N2CCN(C(=O)C[C@H]3CN(C(=O)OC(C)(C)C)CCS3(=O)=O)CC2)C1 ZINC001455666985 1074486338 /nfs/dbraw/zinc/48/63/38/1074486338.db2.gz PVHNOIKEGXASMN-INIZCTEOSA-N 0 0 430.571 -0.131 20 0 IBADRN CN1CC(N2CCN(C(=O)C[C@@H]3CN(C(=O)OC(C)(C)C)CCS3(=O)=O)CC2)C1 ZINC001455666995 1074486516 /nfs/dbraw/zinc/48/65/16/1074486516.db2.gz PVHNOIKEGXASMN-MRXNPFEDSA-N 0 0 430.571 -0.131 20 0 IBADRN CCOc1cccnc1N1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)CC1 ZINC001455683315 1074486369 /nfs/dbraw/zinc/48/63/69/1074486369.db2.gz XDGOCUPOSZPDMR-UHFFFAOYSA-N 0 0 441.554 -0.037 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001455684669 1074487108 /nfs/dbraw/zinc/48/71/08/1074487108.db2.gz HAOCBUFMVLDXQK-GFCCVEGCSA-N 0 0 429.520 -0.979 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)N1CC2(C1)C[C@H](O)CNC2=O ZINC001455684671 1074487068 /nfs/dbraw/zinc/48/70/68/1074487068.db2.gz HAOCBUFMVLDXQK-LBPRGKRZSA-N 0 0 429.520 -0.979 20 0 IBADRN O=C([C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001455685261 1074487024 /nfs/dbraw/zinc/48/70/24/1074487024.db2.gz JEVMFHLBNWXZDJ-DZGCQCFKSA-N 0 0 425.482 -0.064 20 0 IBADRN O=C([C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1)N1CC2(C1)C[C@H](O)CNC2=O ZINC001455685267 1074487054 /nfs/dbraw/zinc/48/70/54/1074487054.db2.gz JEVMFHLBNWXZDJ-HIFRSBDPSA-N 0 0 425.482 -0.064 20 0 IBADRN O=C([C@@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001455685274 1074487098 /nfs/dbraw/zinc/48/70/98/1074487098.db2.gz JEVMFHLBNWXZDJ-UKRRQHHQSA-N 0 0 425.482 -0.064 20 0 IBADRN O=C([C@H]1CCCN(S(=O)(=O)c2ccc(F)cc2)C1)N1CC2(C1)C[C@H](O)CNC2=O ZINC001455685279 1074487045 /nfs/dbraw/zinc/48/70/45/1074487045.db2.gz JEVMFHLBNWXZDJ-ZFWWWQNUSA-N 0 0 425.482 -0.064 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001455688872 1074486987 /nfs/dbraw/zinc/48/69/87/1074486987.db2.gz RLVKSHJSGCJLGA-GFCCVEGCSA-N 0 0 443.909 -0.316 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl)N1CC2(C1)C[C@H](O)CNC2=O ZINC001455688883 1074487062 /nfs/dbraw/zinc/48/70/62/1074487062.db2.gz RLVKSHJSGCJLGA-LBPRGKRZSA-N 0 0 443.909 -0.316 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccccc2F)CC1)N1CC2(C1)C[C@H](O)CNC2=O ZINC001455690004 1074487039 /nfs/dbraw/zinc/48/70/39/1074487039.db2.gz UCPTVJHFIDLAPF-AWEZNQCLSA-N 0 0 425.482 -0.064 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccccc2F)CC1)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001455690008 1074487105 /nfs/dbraw/zinc/48/71/05/1074487105.db2.gz UCPTVJHFIDLAPF-CQSZACIVSA-N 0 0 425.482 -0.064 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC2(C1)C[C@@H](O)CNC2=O)NC(=O)OCc1ccccc1 ZINC001455690671 1074487002 /nfs/dbraw/zinc/48/70/02/1074487002.db2.gz VHGGCWVKYBZADH-CVEARBPZSA-N 0 0 433.461 -0.056 20 0 IBADRN COC(=O)[C@H](CCC(=O)N1CC2(C1)C[C@H](O)CNC2=O)NC(=O)OCc1ccccc1 ZINC001455690672 1074487034 /nfs/dbraw/zinc/48/70/34/1074487034.db2.gz VHGGCWVKYBZADH-HOTGVXAUSA-N 0 0 433.461 -0.056 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N2CC3(C2)C[C@@H](O)CNC3=O)C1 ZINC001455690676 1074487056 /nfs/dbraw/zinc/48/70/56/1074487056.db2.gz VHXXKOKKOHCRPE-CHWSQXEVSA-N 0 0 431.511 -0.880 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N2CC3(C2)C[C@@H](O)CNC3=O)C1 ZINC001455690678 1074487115 /nfs/dbraw/zinc/48/71/15/1074487115.db2.gz VHXXKOKKOHCRPE-OLZOCXBDSA-N 0 0 431.511 -0.880 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N2CC3(C2)C[C@H](O)CNC3=O)C1 ZINC001455690681 1074487079 /nfs/dbraw/zinc/48/70/79/1074487079.db2.gz VHXXKOKKOHCRPE-QWHCGFSZSA-N 0 0 431.511 -0.880 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N2CC3(C2)C[C@H](O)CNC3=O)C1 ZINC001455690684 1074486997 /nfs/dbraw/zinc/48/69/97/1074486997.db2.gz VHXXKOKKOHCRPE-STQMWFEESA-N 0 0 431.511 -0.880 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCCS(=O)(=O)C[C@H]1c1cnn(C)c1 ZINC001455697128 1074486980 /nfs/dbraw/zinc/48/69/80/1074486980.db2.gz BTCGNTFPBRXRNG-HNNXBMFYSA-N 0 0 436.556 -0.547 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)N1CCCS(=O)(=O)C[C@@H]1c1cnn(C)c1 ZINC001455697129 1074487007 /nfs/dbraw/zinc/48/70/07/1074487007.db2.gz BTCGNTFPBRXRNG-OAHLLOKOSA-N 0 0 436.556 -0.547 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2C(=O)Cc2ccc(S(N)(=O)=O)cc2)cn1 ZINC001455697530 1074487621 /nfs/dbraw/zinc/48/76/21/1074487621.db2.gz DEEOPKHEYFCOIX-INIZCTEOSA-N 0 0 426.520 -0.002 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2C(=O)Cc2ccc(S(N)(=O)=O)cc2)cn1 ZINC001455697535 1074487743 /nfs/dbraw/zinc/48/77/43/1074487743.db2.gz DEEOPKHEYFCOIX-MRXNPFEDSA-N 0 0 426.520 -0.002 20 0 IBADRN CN(C)C(=O)CN1CCCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001455700194 1074487564 /nfs/dbraw/zinc/48/75/64/1074487564.db2.gz JYRLOVFYVVZHEM-UHFFFAOYSA-N 0 0 431.541 -0.472 20 0 IBADRN CN1CCn2ncc(C(=O)NCC3(S(=O)(=O)NC(C)(C)C)CCC3)c2S1(=O)=O ZINC001455704145 1074487650 /nfs/dbraw/zinc/48/76/50/1074487650.db2.gz BHKGXZNRWHUGAO-UHFFFAOYSA-N 0 0 433.556 -0.112 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O)[C@@H](C)O ZINC001455706515 1074487717 /nfs/dbraw/zinc/48/77/17/1074487717.db2.gz FSYWQINVZFZWND-GMXABZIVSA-N 0 0 426.447 -0.338 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O)[C@@H](C)O ZINC001455706524 1074487543 /nfs/dbraw/zinc/48/75/43/1074487543.db2.gz FSYWQINVZFZWND-HCKVZZMMSA-N 0 0 426.447 -0.338 20 0 IBADRN COC(=O)C1CC2(C1)CN(C(=O)CN1C(=O)C(=O)N(C)C1=O)C[C@H]2C(=O)OC(C)(C)C ZINC001455709332 1074487664 /nfs/dbraw/zinc/48/76/64/1074487664.db2.gz JPFVQSHOMBVNQF-BIAXGUISSA-N 0 0 437.449 -0.224 20 0 IBADRN COC(=O)C1CC2(C1)CN(C(=O)CN1C(=O)C(=O)N(C)C1=O)C[C@@H]2C(=O)OC(C)(C)C ZINC001455709346 1074487603 /nfs/dbraw/zinc/48/76/03/1074487603.db2.gz JPFVQSHOMBVNQF-MQRPBKCYSA-N 0 0 437.449 -0.224 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001455751149 1074487613 /nfs/dbraw/zinc/48/76/13/1074487613.db2.gz DFPSTYRMGMOJCL-UHFFFAOYSA-N 0 0 448.505 -0.343 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)[C@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001455754319 1074487641 /nfs/dbraw/zinc/48/76/41/1074487641.db2.gz DVTNLAROOOFMSA-GFCCVEGCSA-N 0 0 435.485 -0.600 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)Cc2nnc3n2CCN(C)C3=O)cc1 ZINC001455754335 1074487696 /nfs/dbraw/zinc/48/76/96/1074487696.db2.gz OFFMGBLYJPJBBB-LBPRGKRZSA-N 0 0 436.494 -0.302 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)[C@@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001455754340 1074487633 /nfs/dbraw/zinc/48/76/33/1074487633.db2.gz DVTNLAROOOFMSA-LBPRGKRZSA-N 0 0 435.485 -0.600 20 0 IBADRN C[C@H](C(=O)N(C)Cc1nnc2n1CCN(C)C2=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001455754967 1074487708 /nfs/dbraw/zinc/48/77/08/1074487708.db2.gz HJTXCGXPJCMCTQ-CYBMUJFWSA-N 0 0 449.512 -0.210 20 0 IBADRN C[C@@H](C(=O)N(C)Cc1nnc2n1CCN(C)C2=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001455754970 1074487681 /nfs/dbraw/zinc/48/76/81/1074487681.db2.gz HJTXCGXPJCMCTQ-ZDUSSCGKSA-N 0 0 449.512 -0.210 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N(C)Cc2nnc3n2CCNC3=O)cc1 ZINC001455755935 1074487673 /nfs/dbraw/zinc/48/76/73/1074487673.db2.gz KAAQXVUWKKIIFE-UHFFFAOYSA-N 0 0 436.494 -0.253 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)N(C)Cc2nnc3n2CCN(C)C3=O)cc1 ZINC001455756751 1074487554 /nfs/dbraw/zinc/48/75/54/1074487554.db2.gz MNWWPXKGYHKWQD-GFCCVEGCSA-N 0 0 436.494 -0.705 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)N(C)Cc2nnc3n2CCN(C)C3=O)cc1 ZINC001455756759 1074487703 /nfs/dbraw/zinc/48/77/03/1074487703.db2.gz MNWWPXKGYHKWQD-LBPRGKRZSA-N 0 0 436.494 -0.705 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)[C@@](C)(O)Cn1cc(C(F)(F)F)ccc1=O ZINC001455757494 1074488167 /nfs/dbraw/zinc/48/81/67/1074488167.db2.gz PBTZMEOGEVZVGG-KRWDZBQOSA-N 0 0 442.398 -0.046 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)[C@](C)(O)Cn1cc(C(F)(F)F)ccc1=O ZINC001455757495 1074488261 /nfs/dbraw/zinc/48/82/61/1074488261.db2.gz PBTZMEOGEVZVGG-QGZVFWFLSA-N 0 0 442.398 -0.046 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001455758314 1074488267 /nfs/dbraw/zinc/48/82/67/1074488267.db2.gz UBEGQCJZRXOBOE-AWEZNQCLSA-N 0 0 448.505 -0.249 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001455758316 1074488108 /nfs/dbraw/zinc/48/81/08/1074488108.db2.gz UBEGQCJZRXOBOE-CQSZACIVSA-N 0 0 448.505 -0.249 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@@H](NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)C2)n1 ZINC001455760707 1074488119 /nfs/dbraw/zinc/48/81/19/1074488119.db2.gz SAZMNMPZKSDULD-DLBZAZTESA-N 0 0 432.525 -0.244 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@@H](NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)C2)n1 ZINC001455760755 1074488274 /nfs/dbraw/zinc/48/82/74/1074488274.db2.gz SAZMNMPZKSDULD-IAGOWNOFSA-N 0 0 432.525 -0.244 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)C2)n1 ZINC001455760763 1074488232 /nfs/dbraw/zinc/48/82/32/1074488232.db2.gz SAZMNMPZKSDULD-IRXDYDNUSA-N 0 0 432.525 -0.244 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)C2)n1 ZINC001455760770 1074488193 /nfs/dbraw/zinc/48/81/93/1074488193.db2.gz SAZMNMPZKSDULD-SJORKVTESA-N 0 0 432.525 -0.244 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)c1c(F)ccc(S(=O)(=O)NC2CC2)c1F ZINC001455762518 1074488177 /nfs/dbraw/zinc/48/81/77/1074488177.db2.gz CRPPBNLIZBXHPD-UHFFFAOYSA-N 0 0 440.432 -0.287 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)NCCc2nnc3n2CCNC3=O)cc1OCC ZINC001455763450 1074488134 /nfs/dbraw/zinc/48/81/34/1074488134.db2.gz FWZRRUSXGXXWNI-UHFFFAOYSA-N 0 0 430.465 -0.092 20 0 IBADRN COCCNC(=O)CSc1ccccc1C(=O)NCCc1nnc2n1CCNC2=O ZINC001455764119 1074488248 /nfs/dbraw/zinc/48/82/48/1074488248.db2.gz IKCIKTZBENNTPW-UHFFFAOYSA-N 0 0 432.506 -0.151 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)[C@@H]1COCCN1C(=O)OCc1ccccc1 ZINC001455772120 1074488210 /nfs/dbraw/zinc/48/82/10/1074488210.db2.gz DJQJPIXGANGTHR-HNNXBMFYSA-N 0 0 428.449 -0.282 20 0 IBADRN O=C(NCCc1nnc2n1CCNC2=O)[C@H]1COCCN1C(=O)OCc1ccccc1 ZINC001455772124 1074488158 /nfs/dbraw/zinc/48/81/58/1074488158.db2.gz DJQJPIXGANGTHR-OAHLLOKOSA-N 0 0 428.449 -0.282 20 0 IBADRN CCOC(=O)[C@H](COC)NC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001455773872 1074488144 /nfs/dbraw/zinc/48/81/44/1074488144.db2.gz SXNVREZCNAJLRA-FHSNZYRGSA-N 0 0 434.511 -0.038 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@@H]1C(=O)NCCc1nnc2n1CCNC2=O ZINC001455774019 1074488224 /nfs/dbraw/zinc/48/82/24/1074488224.db2.gz KCTGFDVTANGCRG-DZGCQCFKSA-N 0 0 430.440 -0.976 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@H]1C(=O)NCCc1nnc2n1CCNC2=O ZINC001455774022 1074488216 /nfs/dbraw/zinc/48/82/16/1074488216.db2.gz KCTGFDVTANGCRG-HIFRSBDPSA-N 0 0 430.440 -0.976 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)NCCc1nnc2n1CCNC2=O ZINC001455774027 1074488099 /nfs/dbraw/zinc/48/80/99/1074488099.db2.gz KCTGFDVTANGCRG-UKRRQHHQSA-N 0 0 430.440 -0.976 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@H]1C(=O)NCCc1nnc2n1CCNC2=O ZINC001455774030 1074488151 /nfs/dbraw/zinc/48/81/51/1074488151.db2.gz KCTGFDVTANGCRG-ZFWWWQNUSA-N 0 0 430.440 -0.976 20 0 IBADRN CN(C(=O)[C@H](CCC(N)=O)NC(=O)OCc1ccccc1)N1CCS(=O)(=O)CC1 ZINC001455777302 1074488308 /nfs/dbraw/zinc/48/83/08/1074488308.db2.gz BIWLYVGEJWGFRM-HNNXBMFYSA-N 0 0 426.495 -0.349 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N(C)N2CCS(=O)(=O)CC2)CC1 ZINC001455778220 1074488295 /nfs/dbraw/zinc/48/82/95/1074488295.db2.gz DZHSJXRPJBRMRL-UHFFFAOYSA-N 0 0 434.540 -0.204 20 0 IBADRN CN(CCCC(=O)N(C)N1CCS(=O)(=O)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC001455779860 1074488880 /nfs/dbraw/zinc/48/88/80/1074488880.db2.gz KGLDCXLPSUKOQX-UHFFFAOYSA-N 0 0 428.536 -0.049 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N(C)N2CCS(=O)(=O)CC2)cc1 ZINC001455781329 1074488704 /nfs/dbraw/zinc/48/87/04/1074488704.db2.gz NHADSOYRRKXXIE-UHFFFAOYSA-N 0 0 432.524 -0.631 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCS(=O)(=O)CC1 ZINC001455782699 1074488832 /nfs/dbraw/zinc/48/88/32/1074488832.db2.gz PEIAFKRPSCTJIG-UHFFFAOYSA-N 0 0 433.508 -0.770 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1ccc(Br)s1)N1CCS(=O)(=O)CC1 ZINC001455782848 1074488760 /nfs/dbraw/zinc/48/87/60/1074488760.db2.gz PMUILKZHVRMTLU-UHFFFAOYSA-N 0 0 446.370 -0.107 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)N3CCS(=O)(=O)CC3)CC2)cc1 ZINC001455784741 1074488863 /nfs/dbraw/zinc/48/88/63/1074488863.db2.gz UNAZWCZPTLPWGZ-UHFFFAOYSA-N 0 0 444.579 -0.595 20 0 IBADRN COC(=O)N1CC(C)(NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001455812494 1074488653 /nfs/dbraw/zinc/48/86/53/1074488653.db2.gz CXXNNUMIPABHJK-AWEZNQCLSA-N 0 0 447.535 -0.275 20 0 IBADRN COC(=O)N1CC(C)(NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001455812495 1074488694 /nfs/dbraw/zinc/48/86/94/1074488694.db2.gz CXXNNUMIPABHJK-CQSZACIVSA-N 0 0 447.535 -0.275 20 0 IBADRN COC(=O)c1cnc(CNC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)s1 ZINC001455854020 1074488842 /nfs/dbraw/zinc/48/88/42/1074488842.db2.gz YHPPHIPMKXUEJM-UHFFFAOYSA-N 0 0 438.487 -0.020 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)N1CCN(c2nc(C)cc(=O)[nH]2)CC1 ZINC001455866318 1074488907 /nfs/dbraw/zinc/48/89/07/1074488907.db2.gz LZGAFYMHFMHIKB-CYBMUJFWSA-N 0 0 437.526 -0.143 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN(c2nc(C)cc(=O)[nH]2)CC1 ZINC001455866334 1074488815 /nfs/dbraw/zinc/48/88/15/1074488815.db2.gz LZGAFYMHFMHIKB-ZDUSSCGKSA-N 0 0 437.526 -0.143 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1)[C@@H]1COC[C@H]1O ZINC001455895141 1074488669 /nfs/dbraw/zinc/48/86/69/1074488669.db2.gz OLJMJROYBSUEEY-BKDUDQBXSA-N 0 0 446.547 -0.402 20 0 IBADRN CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1)[C@@H]1COC[C@H]1O ZINC001455895142 1074488903 /nfs/dbraw/zinc/48/89/03/1074488903.db2.gz OLJMJROYBSUEEY-MAEFMKOSSA-N 0 0 446.547 -0.402 20 0 IBADRN CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1)[C@@H]1COC[C@H]1O ZINC001455895144 1074488741 /nfs/dbraw/zinc/48/87/41/1074488741.db2.gz OLJMJROYBSUEEY-OUIQCJFTSA-N 0 0 446.547 -0.402 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1)[C@@H]1COC[C@H]1O ZINC001455895146 1074488750 /nfs/dbraw/zinc/48/87/50/1074488750.db2.gz OLJMJROYBSUEEY-UYDKOKGTSA-N 0 0 446.547 -0.402 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc1 ZINC001455899353 1074488802 /nfs/dbraw/zinc/48/88/02/1074488802.db2.gz MWHGBPXVKTVNPF-LBPRGKRZSA-N 0 0 425.554 -0.165 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC001455900973 1074488784 /nfs/dbraw/zinc/48/87/84/1074488784.db2.gz RFLISAULYVSZSV-CYBMUJFWSA-N 0 0 445.519 -0.016 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC001455901013 1074488876 /nfs/dbraw/zinc/48/88/76/1074488876.db2.gz RFLISAULYVSZSV-ZDUSSCGKSA-N 0 0 445.519 -0.016 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@@H](C)N(C(=O)[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001455914638 1074488719 /nfs/dbraw/zinc/48/87/19/1074488719.db2.gz DZJOCANEBILMKB-CVEARBPZSA-N 0 0 433.509 -0.029 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@H](C)N(C(=O)[C@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001455914640 1074488891 /nfs/dbraw/zinc/48/88/91/1074488891.db2.gz DZJOCANEBILMKB-HOTGVXAUSA-N 0 0 433.509 -0.029 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@@H](C)N(C(=O)[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001455914642 1074489331 /nfs/dbraw/zinc/48/93/31/1074489331.db2.gz DZJOCANEBILMKB-HZPDHXFCSA-N 0 0 433.509 -0.029 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@H](C)N(C(=O)[C@@H]1CC(=O)N(CCN3CCOCC3)C1)C2 ZINC001455914644 1074489343 /nfs/dbraw/zinc/48/93/43/1074489343.db2.gz DZJOCANEBILMKB-JKSUJKDBSA-N 0 0 433.509 -0.029 20 0 IBADRN O=C(NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001455916187 1074489245 /nfs/dbraw/zinc/48/92/45/1074489245.db2.gz OHSNDCYJXCYRKA-GFCCVEGCSA-N 0 0 447.414 -0.692 20 0 IBADRN O=C(NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001455916189 1074489165 /nfs/dbraw/zinc/48/91/65/1074489165.db2.gz OHSNDCYJXCYRKA-LBPRGKRZSA-N 0 0 447.414 -0.692 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC(O)(COCCO)CC1 ZINC001455923458 1074489191 /nfs/dbraw/zinc/48/91/91/1074489191.db2.gz FHFGGVJOVRNVEJ-HNNXBMFYSA-N 0 0 436.914 -0.658 20 0 IBADRN O=C([C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCC(O)(COCCO)CC1 ZINC001455923518 1074489319 /nfs/dbraw/zinc/48/93/19/1074489319.db2.gz FHFGGVJOVRNVEJ-OAHLLOKOSA-N 0 0 436.914 -0.658 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCOCC1)N1CCC(O)(COCCO)CC1 ZINC001455927756 1074489178 /nfs/dbraw/zinc/48/91/78/1074489178.db2.gz ARHAHINNZMSGOR-UHFFFAOYSA-N 0 0 428.507 -0.317 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2C)c1 ZINC001455929519 1074489271 /nfs/dbraw/zinc/48/92/71/1074489271.db2.gz FGQPBEILKGATAE-CJNGLKHVSA-N 0 0 445.563 -0.004 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(O)(COCCO)CC2)c1 ZINC001455934480 1074489323 /nfs/dbraw/zinc/48/93/23/1074489323.db2.gz PKTHRRRTAYDKDG-UHFFFAOYSA-N 0 0 443.522 -0.971 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCC(O)(COCCO)CC2)cc1OC ZINC001455934660 1074489291 /nfs/dbraw/zinc/48/92/91/1074489291.db2.gz QVSUYEWKLPZKRU-UHFFFAOYSA-N 0 0 432.495 -0.656 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCC(O)(COCCO)CC2)C1 ZINC001455935552 1074489354 /nfs/dbraw/zinc/48/93/54/1074489354.db2.gz VETPCYVFLPFHJM-INIZCTEOSA-N 0 0 444.554 -0.210 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCC(O)(COCCO)CC2)C1 ZINC001455935562 1074489237 /nfs/dbraw/zinc/48/92/37/1074489237.db2.gz VETPCYVFLPFHJM-MRXNPFEDSA-N 0 0 444.554 -0.210 20 0 IBADRN CO[C@]1(CNC(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CCS(=O)(=O)C1 ZINC001455963938 1074489338 /nfs/dbraw/zinc/48/93/38/1074489338.db2.gz OOYGGVXHPBEMJU-CABCVRRESA-N 0 0 426.413 -0.062 20 0 IBADRN CO[C@]1(CNC(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CCS(=O)(=O)C1 ZINC001455963946 1074489224 /nfs/dbraw/zinc/48/92/24/1074489224.db2.gz OOYGGVXHPBEMJU-GJZGRUSLSA-N 0 0 426.413 -0.062 20 0 IBADRN CO[C@@]1(CNC(=O)[C@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CCS(=O)(=O)C1 ZINC001455963949 1074489261 /nfs/dbraw/zinc/48/92/61/1074489261.db2.gz OOYGGVXHPBEMJU-HUUCEWRRSA-N 0 0 426.413 -0.062 20 0 IBADRN CO[C@@]1(CNC(=O)[C@@](C)(O)Cn2cc(C(F)(F)F)ccc2=O)CCS(=O)(=O)C1 ZINC001455963956 1074489737 /nfs/dbraw/zinc/48/97/37/1074489737.db2.gz OOYGGVXHPBEMJU-LSDHHAIUSA-N 0 0 426.413 -0.062 20 0 IBADRN Cn1nc2c(c1C(=O)N1CSC[C@@H]1C(=O)N1CCSCC1)CS(=O)(=O)CC2 ZINC001455978506 1074489900 /nfs/dbraw/zinc/48/99/00/1074489900.db2.gz LEAZMIZDSLNMMK-CYBMUJFWSA-N 0 0 430.577 -0.019 20 0 IBADRN Cn1nc2c(c1C(=O)N1CSC[C@H]1C(=O)N1CCSCC1)CS(=O)(=O)CC2 ZINC001455978512 1074489972 /nfs/dbraw/zinc/48/99/72/1074489972.db2.gz LEAZMIZDSLNMMK-ZDUSSCGKSA-N 0 0 430.577 -0.019 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H]2C[C@@H](O)[C@@H](O)C2)c1 ZINC001455987901 1074489839 /nfs/dbraw/zinc/48/98/39/1074489839.db2.gz LZSMAAJXRDUHBZ-CFWWUVRLSA-N 0 0 437.312 -0.012 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@H]2C[C@@H](O)[C@@H](O)C2)c1 ZINC001455987916 1074489813 /nfs/dbraw/zinc/48/98/13/1074489813.db2.gz LZSMAAJXRDUHBZ-KFKUMKOWSA-N 0 0 437.312 -0.012 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCS(=O)(=O)C[C@H]1CCO ZINC001456025945 1074489966 /nfs/dbraw/zinc/48/99/66/1074489966.db2.gz LBTZECMUONUXCK-CYBMUJFWSA-N 0 0 448.519 -0.866 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001456025949 1074489744 /nfs/dbraw/zinc/48/97/44/1074489744.db2.gz LBTZECMUONUXCK-ZDUSSCGKSA-N 0 0 448.519 -0.866 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCS(=O)(=O)C[C@H]1CCO ZINC001456026424 1074489923 /nfs/dbraw/zinc/48/99/23/1074489923.db2.gz RREICXXKKBWIJU-GFCCVEGCSA-N 0 0 437.565 -0.626 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001456026425 1074489990 /nfs/dbraw/zinc/48/99/90/1074489990.db2.gz RREICXXKKBWIJU-LBPRGKRZSA-N 0 0 437.565 -0.626 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)N1CCS(=O)(=O)C[C@H]1CCO ZINC001456027745 1074489792 /nfs/dbraw/zinc/48/97/92/1074489792.db2.gz QDNZLTGDTWWTAE-GFCCVEGCSA-N 0 0 444.453 -0.008 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001456027750 1074489829 /nfs/dbraw/zinc/48/98/29/1074489829.db2.gz QDNZLTGDTWWTAE-LBPRGKRZSA-N 0 0 444.453 -0.008 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001456028517 1074489776 /nfs/dbraw/zinc/48/97/76/1074489776.db2.gz XFTDRZNBKLLALV-INIZCTEOSA-N 0 0 439.515 -0.074 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N=c2cc[nH]cc2)cc1)N1CCS(=O)(=O)C[C@H]1CCO ZINC001456028518 1074489985 /nfs/dbraw/zinc/48/99/85/1074489985.db2.gz XFTDRZNBKLLALV-MRXNPFEDSA-N 0 0 439.515 -0.074 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)cc1 ZINC001456028880 1074489945 /nfs/dbraw/zinc/48/99/45/1074489945.db2.gz UNCCFIJOVNTALB-AWEZNQCLSA-N 0 0 434.536 -0.238 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)C[C@H]2CCO)cc1 ZINC001456028883 1074489760 /nfs/dbraw/zinc/48/97/60/1074489760.db2.gz UNCCFIJOVNTALB-CQSZACIVSA-N 0 0 434.536 -0.238 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CC1 ZINC001456035625 1074489995 /nfs/dbraw/zinc/48/99/95/1074489995.db2.gz GHXCMHINOMATPT-UHFFFAOYSA-N 0 0 429.568 -0.354 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC001456037227 1074489856 /nfs/dbraw/zinc/48/98/56/1074489856.db2.gz LEQBVQFVXIPCPX-INIZCTEOSA-N 0 0 440.570 -0.292 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H]2CCCN(S(=O)(=O)c3c(C)n[nH]c3C)C2)CC1 ZINC001456037228 1074489951 /nfs/dbraw/zinc/48/99/51/1074489951.db2.gz LEQBVQFVXIPCPX-MRXNPFEDSA-N 0 0 440.570 -0.292 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001456037952 1074490384 /nfs/dbraw/zinc/49/03/84/1074490384.db2.gz SBNMMTOVSXNMNJ-INIZCTEOSA-N 0 0 429.477 -0.803 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H](CCC(N)=O)N2C(=O)c3ccccc3C2=O)CC1 ZINC001456037955 1074490339 /nfs/dbraw/zinc/49/03/39/1074490339.db2.gz SBNMMTOVSXNMNJ-MRXNPFEDSA-N 0 0 429.477 -0.803 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)CC1 ZINC001456038608 1074490291 /nfs/dbraw/zinc/49/02/91/1074490291.db2.gz CVTPPPKTTLIOGI-KRWDZBQOSA-N 0 0 427.546 -0.068 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccc3OC)C2=O)CC1 ZINC001456038658 1074490440 /nfs/dbraw/zinc/49/04/40/1074490440.db2.gz FMZBGWLRUHUGFX-NRFANRHFSA-N 0 0 431.493 -0.258 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)CN2C(=O)N[C@](C)(c3ccccc3OC)C2=O)CC1 ZINC001456038660 1074490313 /nfs/dbraw/zinc/49/03/13/1074490313.db2.gz FMZBGWLRUHUGFX-OAQYLSRUSA-N 0 0 431.493 -0.258 20 0 IBADRN CCS(=O)(=O)c1ccc(N2CCC(NC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cc1 ZINC001456074482 1074490410 /nfs/dbraw/zinc/49/04/10/1074490410.db2.gz LGTVYIRJDCWACH-INIZCTEOSA-N 0 0 443.547 -0.522 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)O1 ZINC001456130604 1074490433 /nfs/dbraw/zinc/49/04/33/1074490433.db2.gz MGUPKUMXKPELCN-BHYGNILZSA-N 0 0 426.491 -0.019 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@H]2COCCN2C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)O1 ZINC001456130610 1074490457 /nfs/dbraw/zinc/49/04/57/1074490457.db2.gz MGUPKUMXKPELCN-UAGQMJEPSA-N 0 0 426.491 -0.019 20 0 IBADRN COC[C@@H]1CC[C@H]([C@H]2COCCN2C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)O1 ZINC001456130612 1074490360 /nfs/dbraw/zinc/49/03/60/1074490360.db2.gz MGUPKUMXKPELCN-USXIJHARSA-N 0 0 426.491 -0.019 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@@H]2COCCN2C(=O)c2ccc(S(=O)(=O)CC(N)=O)cc2)O1 ZINC001456130615 1074490422 /nfs/dbraw/zinc/49/04/22/1074490422.db2.gz MGUPKUMXKPELCN-XIRDDKMYSA-N 0 0 426.491 -0.019 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC(NC(=O)[C@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n1 ZINC001456160132 1074490207 /nfs/dbraw/zinc/49/02/07/1074490207.db2.gz YIDIWBRBKNWDKC-INIZCTEOSA-N 0 0 432.525 -0.244 20 0 IBADRN Cc1cc(=O)[nH]c(N2CCC(NC(=O)[C@@H]3CC(=O)N(CCN4CCOCC4)C3)CC2)n1 ZINC001456160133 1074490465 /nfs/dbraw/zinc/49/04/65/1074490465.db2.gz YIDIWBRBKNWDKC-MRXNPFEDSA-N 0 0 432.525 -0.244 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)ccc1OC(F)F ZINC001456164519 1074490287 /nfs/dbraw/zinc/49/02/87/1074490287.db2.gz PHSCYXKMTBFSDS-LLVKDONJSA-N 0 0 434.421 -0.613 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)ccc1OC(F)F ZINC001456164523 1074490191 /nfs/dbraw/zinc/49/01/91/1074490191.db2.gz PHSCYXKMTBFSDS-NSHDSACASA-N 0 0 434.421 -0.613 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456166514 1074490251 /nfs/dbraw/zinc/49/02/51/1074490251.db2.gz ZFAGDXHWNNPHMD-LLVKDONJSA-N 0 0 442.441 -0.656 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456166517 1074490276 /nfs/dbraw/zinc/49/02/76/1074490276.db2.gz ZFAGDXHWNNPHMD-NSHDSACASA-N 0 0 442.441 -0.656 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)Nc1cnn(C)c1I ZINC001456168494 1074490375 /nfs/dbraw/zinc/49/03/75/1074490375.db2.gz BNVAWPUNHGINFC-UHFFFAOYSA-N 0 0 437.219 -0.168 20 0 IBADRN Cn1ncc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)c1I ZINC001456168809 1074490232 /nfs/dbraw/zinc/49/02/32/1074490232.db2.gz DCUWVYDVMQMGOZ-UHFFFAOYSA-N 0 0 427.268 -0.460 20 0 IBADRN CC(C)Oc1ccc(NS(=O)(=O)CC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1 ZINC001456168875 1074490334 /nfs/dbraw/zinc/49/03/34/1074490334.db2.gz CWXMUPDZAWJIFC-AWEZNQCLSA-N 0 0 432.524 -0.424 20 0 IBADRN CC(C)Oc1ccc(NS(=O)(=O)CC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1 ZINC001456168878 1074490266 /nfs/dbraw/zinc/49/02/66/1074490266.db2.gz CWXMUPDZAWJIFC-CQSZACIVSA-N 0 0 432.524 -0.424 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)CC1 ZINC001456170179 1074490962 /nfs/dbraw/zinc/49/09/62/1074490962.db2.gz GJHHFITZPBZQQS-AWEZNQCLSA-N 0 0 447.539 -0.947 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)CC1 ZINC001456170182 1074490981 /nfs/dbraw/zinc/49/09/81/1074490981.db2.gz GJHHFITZPBZQQS-CQSZACIVSA-N 0 0 447.539 -0.947 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)ccc1Br ZINC001456171272 1074490992 /nfs/dbraw/zinc/49/09/92/1074490992.db2.gz HKZDMGKFVLRGKD-JTQLQIEISA-N 0 0 438.325 -0.173 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)ccc1Br ZINC001456171275 1074491017 /nfs/dbraw/zinc/49/10/17/1074491017.db2.gz HKZDMGKFVLRGKD-SNVBAGLBSA-N 0 0 438.325 -0.173 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCCCC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456174778 1074491028 /nfs/dbraw/zinc/49/10/28/1074491028.db2.gz OMTOQPAYZLLXLX-AWEZNQCLSA-N 0 0 428.536 -0.164 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCCCC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456174780 1074490998 /nfs/dbraw/zinc/49/09/98/1074490998.db2.gz OMTOQPAYZLLXLX-CQSZACIVSA-N 0 0 428.536 -0.164 20 0 IBADRN COc1cc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)ccc1OCC(=O)NC(C)C ZINC001456175182 1074490968 /nfs/dbraw/zinc/49/09/68/1074490968.db2.gz QCYWJUXXFLOPQN-AWEZNQCLSA-N 0 0 426.495 -0.427 20 0 IBADRN COc1cc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)ccc1OCC(=O)NC(C)C ZINC001456175189 1074490976 /nfs/dbraw/zinc/49/09/76/1074490976.db2.gz QCYWJUXXFLOPQN-CQSZACIVSA-N 0 0 426.495 -0.427 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCSC)C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456176346 1074491011 /nfs/dbraw/zinc/49/10/11/1074491011.db2.gz SLGYIOGKGXFPAU-DZGCQCFKSA-N 0 0 442.563 -0.093 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCSC)C(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456176349 1074491008 /nfs/dbraw/zinc/49/10/08/1074491008.db2.gz SLGYIOGKGXFPAU-HIFRSBDPSA-N 0 0 442.563 -0.093 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCSC)C(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456176352 1074490987 /nfs/dbraw/zinc/49/09/87/1074490987.db2.gz SLGYIOGKGXFPAU-UKRRQHHQSA-N 0 0 442.563 -0.093 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCSC)C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456176355 1074491014 /nfs/dbraw/zinc/49/10/14/1074491014.db2.gz SLGYIOGKGXFPAU-ZFWWWQNUSA-N 0 0 442.563 -0.093 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2CCn3ncc(I)c3C2)c1 ZINC001456177237 1074491400 /nfs/dbraw/zinc/49/14/00/1074491400.db2.gz HWVCEIJXTHJEOZ-UHFFFAOYSA-N 0 0 436.235 -0.626 20 0 IBADRN O=C([C@H]1CCCCN1S(=O)(=O)c1cccs1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456177648 1074491387 /nfs/dbraw/zinc/49/13/87/1074491387.db2.gz TVLHZYMGZITUDC-CHWSQXEVSA-N 0 0 434.565 -0.348 20 0 IBADRN O=C([C@@H]1CCCCN1S(=O)(=O)c1cccs1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456177651 1074491456 /nfs/dbraw/zinc/49/14/56/1074491456.db2.gz TVLHZYMGZITUDC-OLZOCXBDSA-N 0 0 434.565 -0.348 20 0 IBADRN O=C([C@H]1CCCCN1S(=O)(=O)c1cccs1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456177655 1074491353 /nfs/dbraw/zinc/49/13/53/1074491353.db2.gz TVLHZYMGZITUDC-QWHCGFSZSA-N 0 0 434.565 -0.348 20 0 IBADRN O=C([C@@H]1CCCCN1S(=O)(=O)c1cccs1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456177659 1074491378 /nfs/dbraw/zinc/49/13/78/1074491378.db2.gz TVLHZYMGZITUDC-STQMWFEESA-N 0 0 434.565 -0.348 20 0 IBADRN COCC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456177817 1074491393 /nfs/dbraw/zinc/49/13/93/1074491393.db2.gz VALWXFYAUOLCHS-CVEARBPZSA-N 0 0 426.495 -0.321 20 0 IBADRN COCC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456177819 1074491451 /nfs/dbraw/zinc/49/14/51/1074491451.db2.gz VALWXFYAUOLCHS-HOTGVXAUSA-N 0 0 426.495 -0.321 20 0 IBADRN COCC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456177822 1074491463 /nfs/dbraw/zinc/49/14/63/1074491463.db2.gz VALWXFYAUOLCHS-HZPDHXFCSA-N 0 0 426.495 -0.321 20 0 IBADRN COCC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456177825 1074491475 /nfs/dbraw/zinc/49/14/75/1074491475.db2.gz VALWXFYAUOLCHS-JKSUJKDBSA-N 0 0 426.495 -0.321 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001456178812 1074491470 /nfs/dbraw/zinc/49/14/70/1074491470.db2.gz XQQODIZWCVVADD-KRWDZBQOSA-N 0 0 437.522 -0.698 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001456178813 1074491288 /nfs/dbraw/zinc/49/12/88/1074491288.db2.gz XQQODIZWCVVADD-QGZVFWFLSA-N 0 0 437.522 -0.698 20 0 IBADRN C[C@H]1C[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC001456215208 1074491366 /nfs/dbraw/zinc/49/13/66/1074491366.db2.gz WFPAOVFAJOSAHT-GXTWGEPZSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC001456215214 1074491313 /nfs/dbraw/zinc/49/13/13/1074491313.db2.gz WFPAOVFAJOSAHT-JSGCOSHPSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC001456215218 1074491345 /nfs/dbraw/zinc/49/13/45/1074491345.db2.gz WFPAOVFAJOSAHT-OCCSQVGLSA-N 0 0 429.520 -0.497 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CCS1(=O)=O ZINC001456215221 1074491418 /nfs/dbraw/zinc/49/14/18/1074491418.db2.gz WFPAOVFAJOSAHT-TZMCWYRMSA-N 0 0 429.520 -0.497 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456232214 1074491892 /nfs/dbraw/zinc/49/18/92/1074491892.db2.gz FUJVAOROPOJJTA-FQEVSTJZSA-N 0 0 439.534 -0.649 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1)N1CCN2CCOC[C@]2(CO)C1 ZINC001456232217 1074491935 /nfs/dbraw/zinc/49/19/35/1074491935.db2.gz FUJVAOROPOJJTA-HXUWFJFHSA-N 0 0 439.534 -0.649 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001456232278 1074491907 /nfs/dbraw/zinc/49/19/07/1074491907.db2.gz GCRWPEIHGNINJK-HRAATJIYSA-N 0 0 440.541 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456232280 1074492002 /nfs/dbraw/zinc/49/20/02/1074492002.db2.gz GCRWPEIHGNINJK-IERDGZPVSA-N 0 0 440.541 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCCC(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001456232283 1074491855 /nfs/dbraw/zinc/49/18/55/1074491855.db2.gz GCRWPEIHGNINJK-IIBYNOLFSA-N 0 0 440.541 -0.202 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCCC(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456232285 1074491982 /nfs/dbraw/zinc/49/19/82/1074491982.db2.gz GCRWPEIHGNINJK-KKSFZXQISA-N 0 0 440.541 -0.202 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2C1=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001456232309 1074491928 /nfs/dbraw/zinc/49/19/28/1074491928.db2.gz GIGPMWVAWWKYGO-GOSISDBHSA-N 0 0 438.278 -0.049 20 0 IBADRN O=C(CN1C(=O)c2ccc(Br)cc2C1=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456232312 1074491976 /nfs/dbraw/zinc/49/19/76/1074491976.db2.gz GIGPMWVAWWKYGO-SFHVURJKSA-N 0 0 438.278 -0.049 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456232337 1074491882 /nfs/dbraw/zinc/49/18/82/1074491882.db2.gz GMBGAKGQJKWFCC-JXFKEZNVSA-N 0 0 428.530 -0.443 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001456232340 1074491835 /nfs/dbraw/zinc/49/18/35/1074491835.db2.gz GMBGAKGQJKWFCC-OXJNMPFZSA-N 0 0 428.530 -0.443 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN3CCOC[C@]3(CO)C2)sc1Br ZINC001456234322 1074491944 /nfs/dbraw/zinc/49/19/44/1074491944.db2.gz MOWUMBZECPSQMP-CYBMUJFWSA-N 0 0 440.341 -0.323 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN3CCOC[C@@]3(CO)C2)sc1Br ZINC001456234327 1074491915 /nfs/dbraw/zinc/49/19/15/1074491915.db2.gz MOWUMBZECPSQMP-ZDUSSCGKSA-N 0 0 440.341 -0.323 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCOCC1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456235552 1074491821 /nfs/dbraw/zinc/49/18/21/1074491821.db2.gz QYFYCMPENDSOTN-IBGZPJMESA-N 0 0 425.507 -0.773 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCOCC1)N1CCN2CCOC[C@]2(CO)C1 ZINC001456235553 1074491898 /nfs/dbraw/zinc/49/18/98/1074491898.db2.gz QYFYCMPENDSOTN-LJQANCHMSA-N 0 0 425.507 -0.773 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001456237183 1074492009 /nfs/dbraw/zinc/49/20/09/1074492009.db2.gz YRXVKRHMVSTWQS-KBXCAEBGSA-N 0 0 435.543 -0.780 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456237190 1074492004 /nfs/dbraw/zinc/49/20/04/1074492004.db2.gz YRXVKRHMVSTWQS-KSSFIOAISA-N 0 0 435.543 -0.780 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456238433 1074492341 /nfs/dbraw/zinc/49/23/41/1074492341.db2.gz CUZJOFURBQUGQG-INIZCTEOSA-N 0 0 437.440 -0.111 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(C(F)(F)F)c1)N1CCN2CCOC[C@]2(CO)C1 ZINC001456238440 1074492421 /nfs/dbraw/zinc/49/24/21/1074492421.db2.gz CUZJOFURBQUGQG-MRXNPFEDSA-N 0 0 437.440 -0.111 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1 ZINC001456252371 1074492464 /nfs/dbraw/zinc/49/24/64/1074492464.db2.gz QCZMYDGNEKCIGP-IBGZPJMESA-N 0 0 440.522 -0.781 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCN3CCOC[C@]3(CO)C2)cc1 ZINC001456252382 1074492447 /nfs/dbraw/zinc/49/24/47/1074492447.db2.gz QCZMYDGNEKCIGP-LJQANCHMSA-N 0 0 440.522 -0.781 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCCC2)s1)N1CCN2CCOC[C@]2(CO)C1 ZINC001456252555 1074492436 /nfs/dbraw/zinc/49/24/36/1074492436.db2.gz REFFKOBFUAFCQP-GOSISDBHSA-N 0 0 429.564 -0.019 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCCC2)s1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001456252565 1074492469 /nfs/dbraw/zinc/49/24/69/1074492469.db2.gz REFFKOBFUAFCQP-SFHVURJKSA-N 0 0 429.564 -0.019 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)C2(CS(C)(=O)=O)COC2)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001456286429 1074492426 /nfs/dbraw/zinc/49/24/26/1074492426.db2.gz DQZFQVQSKPKXJR-CYBMUJFWSA-N 0 0 446.522 -0.084 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)C2(CS(C)(=O)=O)COC2)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001456286444 1074492386 /nfs/dbraw/zinc/49/23/86/1074492386.db2.gz DQZFQVQSKPKXJR-ZDUSSCGKSA-N 0 0 446.522 -0.084 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CN2C(=O)C(=O)N(C)C2=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001456292175 1074492357 /nfs/dbraw/zinc/49/23/57/1074492357.db2.gz OLSOYLYSOLKBTF-LLVKDONJSA-N 0 0 438.437 -0.725 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CN2C(=O)C(=O)N(C)C2=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001456292176 1074492431 /nfs/dbraw/zinc/49/24/31/1074492431.db2.gz OLSOYLYSOLKBTF-NSHDSACASA-N 0 0 438.437 -0.725 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCO[C@@H]2COCC[C@@H]21 ZINC001456299152 1074492257 /nfs/dbraw/zinc/49/22/57/1074492257.db2.gz KRPHTGPCOPUVMK-IXDOHACOSA-N 0 0 446.547 -0.216 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCO[C@@H]2COCC[C@@H]21 ZINC001456299160 1074492398 /nfs/dbraw/zinc/49/23/98/1074492398.db2.gz KRPHTGPCOPUVMK-YESZJQIVSA-N 0 0 446.547 -0.216 20 0 IBADRN COC(=O)[C@@H](Cc1c[nH]cn1)NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001456308911 1074492477 /nfs/dbraw/zinc/49/24/77/1074492477.db2.gz UDSCXNYETRPZIK-CQSZACIVSA-N 0 0 442.519 -0.065 20 0 IBADRN O=C([C@@H]1CSCC(=O)N1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001456326056 1074492942 /nfs/dbraw/zinc/49/29/42/1074492942.db2.gz SJLXNIIPUCBWSX-ZDUSSCGKSA-N 0 0 440.503 -0.918 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)C1 ZINC001456327285 1074492932 /nfs/dbraw/zinc/49/29/32/1074492932.db2.gz VDYHTEHGJMOAMX-OLZOCXBDSA-N 0 0 436.490 -0.248 20 0 IBADRN COC(=O)c1ccc2c(c1)CC[C@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)C(=O)N2 ZINC001456384757 1074492881 /nfs/dbraw/zinc/49/28/81/1074492881.db2.gz IXVAWBYJMLQUKG-INIZCTEOSA-N 0 0 438.506 -0.580 20 0 IBADRN COC(=O)c1ccc2c(c1)CC[C@@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)C(=O)N2 ZINC001456384826 1074492916 /nfs/dbraw/zinc/49/29/16/1074492916.db2.gz IXVAWBYJMLQUKG-MRXNPFEDSA-N 0 0 438.506 -0.580 20 0 IBADRN COC(=O)[C@@H](Cn1cncn1)NC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001456399287 1074492951 /nfs/dbraw/zinc/49/29/51/1074492951.db2.gz SQHHODGSPPCOAG-LLVKDONJSA-N 0 0 435.384 -0.067 20 0 IBADRN COC(=O)[C@H](Cn1cncn1)NC(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001456399288 1074492949 /nfs/dbraw/zinc/49/29/49/1074492949.db2.gz SQHHODGSPPCOAG-NSHDSACASA-N 0 0 435.384 -0.067 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)[C@@H]3CCCS3(=O)=O)cc2)CC1 ZINC001456406404 1074492954 /nfs/dbraw/zinc/49/29/54/1074492954.db2.gz KPTUSZLDDQIWAE-HNNXBMFYSA-N 0 0 430.504 -0.113 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OC(=O)[C@H]3CCCS3(=O)=O)cc2)CC1 ZINC001456406410 1074492937 /nfs/dbraw/zinc/49/29/37/1074492937.db2.gz KPTUSZLDDQIWAE-OAHLLOKOSA-N 0 0 430.504 -0.113 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@@H]3CC[C@@H](O)[C@@H](O)C3)ccc2F)CC1 ZINC001456415385 1074492935 /nfs/dbraw/zinc/49/29/35/1074492935.db2.gz ROYQIEXTSVGHGL-OIISXLGYSA-N 0 0 443.497 -0.317 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N[C@H]3CC[C@@H](O)[C@@H](O)C3)ccc2F)CC1 ZINC001456415388 1074492907 /nfs/dbraw/zinc/49/29/07/1074492907.db2.gz ROYQIEXTSVGHGL-UAGQMJEPSA-N 0 0 443.497 -0.317 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N[C@H]3CCN(c4cc(=O)n(C)c(=O)[nH]4)C3)c2C1 ZINC001456429861 1074492939 /nfs/dbraw/zinc/49/29/39/1074492939.db2.gz GOFWXWDTIRNMET-KBPBESRZSA-N 0 0 429.481 -0.610 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N[C@H]3CCN(c4cc(=O)n(C)c(=O)[nH]4)C3)c2C1 ZINC001456429864 1074492947 /nfs/dbraw/zinc/49/29/47/1074492947.db2.gz GOFWXWDTIRNMET-KGLIPLIRSA-N 0 0 429.481 -0.610 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N[C@@H]3CCN(c4cc(=O)n(C)c(=O)[nH]4)C3)c2C1 ZINC001456429867 1074492894 /nfs/dbraw/zinc/49/28/94/1074492894.db2.gz GOFWXWDTIRNMET-UONOGXRCSA-N 0 0 429.481 -0.610 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N[C@@H]3CCN(c4cc(=O)n(C)c(=O)[nH]4)C3)c2C1 ZINC001456429870 1074492924 /nfs/dbraw/zinc/49/29/24/1074492924.db2.gz GOFWXWDTIRNMET-ZIAGYGMSSA-N 0 0 429.481 -0.610 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CNC(=O)N3CCc4ccccc4C3)C2)[nH]c1=O ZINC001456432696 1074492902 /nfs/dbraw/zinc/49/29/02/1074492902.db2.gz LYBBTRMVYNFQJV-INIZCTEOSA-N 0 0 426.477 -0.051 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)CNC(=O)N3CCc4ccccc4C3)C2)[nH]c1=O ZINC001456432700 1074493583 /nfs/dbraw/zinc/49/35/83/1074493583.db2.gz LYBBTRMVYNFQJV-MRXNPFEDSA-N 0 0 426.477 -0.051 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001456436215 1074493441 /nfs/dbraw/zinc/49/34/41/1074493441.db2.gz ULYNCHPVOLFAPP-LLVKDONJSA-N 0 0 425.467 -0.354 20 0 IBADRN Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)N[C@H]1CCN(c2cc(=O)n(C)c(=O)[nH]2)C1 ZINC001456436218 1074493520 /nfs/dbraw/zinc/49/35/20/1074493520.db2.gz ULYNCHPVOLFAPP-NSHDSACASA-N 0 0 425.467 -0.354 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001456436806 1074493565 /nfs/dbraw/zinc/49/35/65/1074493565.db2.gz WXKDHWKGHKZLMY-AWEZNQCLSA-N 0 0 435.506 -0.278 20 0 IBADRN CCNS(=O)(=O)c1ccc(CC(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001456436807 1074493523 /nfs/dbraw/zinc/49/35/23/1074493523.db2.gz WXKDHWKGHKZLMY-CQSZACIVSA-N 0 0 435.506 -0.278 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)c3ccc(N4NC(=O)CCC4=O)cc3)C2)[nH]c1=O ZINC001456437731 1074493553 /nfs/dbraw/zinc/49/35/53/1074493553.db2.gz ZPDXVCFXCJLCNQ-CYBMUJFWSA-N 0 0 426.433 -0.347 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)c3ccc(N4NC(=O)CCC4=O)cc3)C2)[nH]c1=O ZINC001456437737 1074493580 /nfs/dbraw/zinc/49/35/80/1074493580.db2.gz ZPDXVCFXCJLCNQ-ZDUSSCGKSA-N 0 0 426.433 -0.347 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@@H]1C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001456443532 1074493574 /nfs/dbraw/zinc/49/35/74/1074493574.db2.gz NSRZFNSQZVXQKO-DOTOQJQBSA-N 0 0 427.498 -0.128 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001456443535 1074493504 /nfs/dbraw/zinc/49/35/04/1074493504.db2.gz NSRZFNSQZVXQKO-NVXWUHKLSA-N 0 0 427.498 -0.128 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@H]1C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001456443537 1074493570 /nfs/dbraw/zinc/49/35/70/1074493570.db2.gz NSRZFNSQZVXQKO-RDJZCZTQSA-N 0 0 427.498 -0.128 20 0 IBADRN O=C(Cc1ccccc1F)N1C[C@H](O)C[C@H]1C(=O)NCCS(=O)(=O)N1CCCC1 ZINC001456443541 1074493478 /nfs/dbraw/zinc/49/34/78/1074493478.db2.gz NSRZFNSQZVXQKO-WBVHZDCISA-N 0 0 427.498 -0.128 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001456471231 1074493465 /nfs/dbraw/zinc/49/34/65/1074493465.db2.gz RNYRKBXHHUTSRM-UHFFFAOYSA-N 0 0 433.552 -0.004 20 0 IBADRN COc1cc(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)ccc1S(=O)(=O)N1CCCC1 ZINC001456483488 1074493588 /nfs/dbraw/zinc/49/35/88/1074493588.db2.gz DVDMEADKUFVRAB-LBPRGKRZSA-N 0 0 431.492 -0.669 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)cc1 ZINC001456486080 1074493393 /nfs/dbraw/zinc/49/33/93/1074493393.db2.gz IYGKUFLCYSWECV-HNNXBMFYSA-N 0 0 447.535 -0.415 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)cc1 ZINC001456486084 1074493546 /nfs/dbraw/zinc/49/35/46/1074493546.db2.gz IYGKUFLCYSWECV-OAHLLOKOSA-N 0 0 447.535 -0.415 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001456486182 1074493497 /nfs/dbraw/zinc/49/34/97/1074493497.db2.gz JSJCNYLITJFOHA-KBPBESRZSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001456486185 1074493456 /nfs/dbraw/zinc/49/34/56/1074493456.db2.gz JSJCNYLITJFOHA-KGLIPLIRSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001456486188 1074493425 /nfs/dbraw/zinc/49/34/25/1074493425.db2.gz JSJCNYLITJFOHA-UONOGXRCSA-N 0 0 433.527 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001456486192 1074493560 /nfs/dbraw/zinc/49/35/60/1074493560.db2.gz JSJCNYLITJFOHA-ZIAGYGMSSA-N 0 0 433.527 -0.226 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001456494142 1074493533 /nfs/dbraw/zinc/49/35/33/1074493533.db2.gz WOERHPIEXVXHFD-DOMZBBRYSA-N 0 0 426.413 -0.110 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001456494146 1074493871 /nfs/dbraw/zinc/49/38/71/1074493871.db2.gz WOERHPIEXVXHFD-IUODEOHRSA-N 0 0 426.413 -0.110 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001456494148 1074493962 /nfs/dbraw/zinc/49/39/62/1074493962.db2.gz WOERHPIEXVXHFD-SWLSCSKDSA-N 0 0 426.413 -0.110 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001456494152 1074493955 /nfs/dbraw/zinc/49/39/55/1074493955.db2.gz WOERHPIEXVXHFD-WFASDCNBSA-N 0 0 426.413 -0.110 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@H]1CCN(c3cc(=O)n(C)c(=O)[nH]3)C1)C2=O ZINC001456500269 1074493968 /nfs/dbraw/zinc/49/39/68/1074493968.db2.gz OQLDHRCXWVMNKH-BTTAGBNVSA-N 0 0 432.481 -0.319 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(CC(=O)N[C@@H]1CCN(c3cc(=O)n(C)c(=O)[nH]3)C1)C2=O ZINC001456500272 1074493826 /nfs/dbraw/zinc/49/38/26/1074493826.db2.gz OQLDHRCXWVMNKH-DAIAYTDJSA-N 0 0 432.481 -0.319 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NCCOCCS(C)(=O)=O)CC2)cn1C ZINC001456506246 1074493948 /nfs/dbraw/zinc/49/39/48/1074493948.db2.gz AMKPNSKUEGJESM-UHFFFAOYSA-N 0 0 436.556 -0.693 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)CCNS(=O)(=O)c3ccccc3F)C2)[nH]c1=O ZINC001456507005 1074493731 /nfs/dbraw/zinc/49/37/31/1074493731.db2.gz XVGYSKGRHUIFKB-GFCCVEGCSA-N 0 0 439.469 -0.312 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CCNS(=O)(=O)c3ccccc3F)C2)[nH]c1=O ZINC001456507020 1074493899 /nfs/dbraw/zinc/49/38/99/1074493899.db2.gz XVGYSKGRHUIFKB-LBPRGKRZSA-N 0 0 439.469 -0.312 20 0 IBADRN C[C@@H]1CN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C[C@H]1CS(N)(=O)=O ZINC001456508453 1074493771 /nfs/dbraw/zinc/49/37/71/1074493771.db2.gz OKSQEFKHPMIWBU-PBHICJAKSA-N 0 0 429.564 -0.086 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N1CC(NC2COC2)C1 ZINC001456509496 1074493782 /nfs/dbraw/zinc/49/37/82/1074493782.db2.gz DDXJEYBFUGNXGL-UHFFFAOYSA-N 0 0 436.534 -0.501 20 0 IBADRN COc1ccc(CC(=O)N2CC(NC3COC3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001456510771 1074493926 /nfs/dbraw/zinc/49/39/26/1074493926.db2.gz GMNJGWKTBUUOOB-UHFFFAOYSA-N 0 0 425.507 -0.542 20 0 IBADRN C[C@]1(CC(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)CCS(=O)(=O)N1 ZINC001456513846 1074493978 /nfs/dbraw/zinc/49/39/78/1074493978.db2.gz KLUSVWCBZGQXSG-GOSISDBHSA-N 0 0 443.547 -0.154 20 0 IBADRN C[C@@]1(CC(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)CCS(=O)(=O)N1 ZINC001456513856 1074494006 /nfs/dbraw/zinc/49/40/06/1074494006.db2.gz KLUSVWCBZGQXSG-SFHVURJKSA-N 0 0 443.547 -0.154 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)s1 ZINC001456519008 1074493848 /nfs/dbraw/zinc/49/38/48/1074493848.db2.gz SAHUBTLTWSECOF-TXEJJXNPSA-N 0 0 435.549 -0.835 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)N3CC(NC4COC4)C3)CS(=O)(=O)[C@@H]2C1 ZINC001456527658 1074493909 /nfs/dbraw/zinc/49/39/09/1074493909.db2.gz CSHYKZDCZIARKH-APHBMKBZSA-N 0 0 429.539 -0.144 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CC(NC4COC4)C3)CS(=O)(=O)[C@@H]2C1 ZINC001456527674 1074493747 /nfs/dbraw/zinc/49/37/47/1074493747.db2.gz CSHYKZDCZIARKH-DAXOMENPSA-N 0 0 429.539 -0.144 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)N1CC(NC3COC3)C1)CS2(=O)=O ZINC001456527696 1074493860 /nfs/dbraw/zinc/49/38/60/1074493860.db2.gz CSHYKZDCZIARKH-MAZHCROVSA-N 0 0 429.539 -0.144 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CC(NC3COC3)C1)CS2(=O)=O ZINC001456527712 1074493807 /nfs/dbraw/zinc/49/38/07/1074493807.db2.gz CSHYKZDCZIARKH-WQVCFCJDSA-N 0 0 429.539 -0.144 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)C1=O ZINC001456542305 1074493878 /nfs/dbraw/zinc/49/38/78/1074493878.db2.gz MESSUSHSYJBFKM-IIAWOOMASA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1=O ZINC001456542310 1074494521 /nfs/dbraw/zinc/49/45/21/1074494521.db2.gz MESSUSHSYJBFKM-IJEWVQPXSA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)C1=O ZINC001456542317 1074494476 /nfs/dbraw/zinc/49/44/76/1074494476.db2.gz MESSUSHSYJBFKM-LZWOXQAQSA-N 0 0 435.481 -0.131 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)C1=O ZINC001456542322 1074494517 /nfs/dbraw/zinc/49/45/17/1074494517.db2.gz MESSUSHSYJBFKM-OFQRWUPVSA-N 0 0 435.481 -0.131 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC(=O)c1cnn(C)c1 ZINC001456576019 1074494524 /nfs/dbraw/zinc/49/45/24/1074494524.db2.gz GNPMAFQOCSUDPP-HNNXBMFYSA-N 0 0 436.490 -0.039 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCC(=O)c1cnn(C)c1 ZINC001456576026 1074494449 /nfs/dbraw/zinc/49/44/49/1074494449.db2.gz GNPMAFQOCSUDPP-OAHLLOKOSA-N 0 0 436.490 -0.039 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)NC3CCN(S(=O)(=O)C4CCOCC4)CC3)CC2=O)cn1 ZINC001456586978 1074494499 /nfs/dbraw/zinc/49/44/99/1074494499.db2.gz ANPPFPANPIZPAL-AWEZNQCLSA-N 0 0 439.538 -0.138 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)NC3CCN(S(=O)(=O)C4CCOCC4)CC3)CC2=O)cn1 ZINC001456586994 1074494522 /nfs/dbraw/zinc/49/45/22/1074494522.db2.gz ANPPFPANPIZPAL-CQSZACIVSA-N 0 0 439.538 -0.138 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)NC[C@H](O)CS(C)(=O)=O)cc1 ZINC001456612359 1074494488 /nfs/dbraw/zinc/49/44/88/1074494488.db2.gz PKYNFRTVKBLGLF-DOTOQJQBSA-N 0 0 446.547 -0.046 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)NC[C@@H](O)CS(C)(=O)=O)cc1 ZINC001456612367 1074494511 /nfs/dbraw/zinc/49/45/11/1074494511.db2.gz PKYNFRTVKBLGLF-NVXWUHKLSA-N 0 0 446.547 -0.046 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2C(=O)NC[C@H](O)CS(C)(=O)=O)cc1 ZINC001456612372 1074494480 /nfs/dbraw/zinc/49/44/80/1074494480.db2.gz PKYNFRTVKBLGLF-RDJZCZTQSA-N 0 0 446.547 -0.046 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2C(=O)NC[C@@H](O)CS(C)(=O)=O)cc1 ZINC001456612375 1074494493 /nfs/dbraw/zinc/49/44/93/1074494493.db2.gz PKYNFRTVKBLGLF-WBVHZDCISA-N 0 0 446.547 -0.046 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC001456619009 1074494504 /nfs/dbraw/zinc/49/45/04/1074494504.db2.gz RUZPGYABRVGBFB-DZGCQCFKSA-N 0 0 431.536 -0.106 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC001456619013 1074494518 /nfs/dbraw/zinc/49/45/18/1074494518.db2.gz RUZPGYABRVGBFB-HIFRSBDPSA-N 0 0 431.536 -0.106 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@H]3CCCO3)c2)C1 ZINC001456619019 1074494457 /nfs/dbraw/zinc/49/44/57/1074494457.db2.gz RUZPGYABRVGBFB-UKRRQHHQSA-N 0 0 431.536 -0.106 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)c2cccc(S(=O)(=O)NC[C@@H]3CCCO3)c2)C1 ZINC001456619022 1074495147 /nfs/dbraw/zinc/49/51/47/1074495147.db2.gz RUZPGYABRVGBFB-ZFWWWQNUSA-N 0 0 431.536 -0.106 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2CCC(CO)CC2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001456653955 1074495154 /nfs/dbraw/zinc/49/51/54/1074495154.db2.gz HXIPHPKKHHEAEX-CVEARBPZSA-N 0 0 431.555 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CN2C(=O)NC(C)(C)C2=O)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001456654473 1074495091 /nfs/dbraw/zinc/49/50/91/1074495091.db2.gz JPMRSEFDRULVPN-NEPJUHHUSA-N 0 0 444.510 -0.438 20 0 IBADRN C[C@H](C(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21)N1CCN(C)CC1 ZINC001456658254 1074494921 /nfs/dbraw/zinc/49/49/21/1074494921.db2.gz TVPRROJDHKFUCE-OAGGEKHMSA-N 0 0 430.571 -0.133 20 0 IBADRN C[C@@H](C(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21)N1CCN(C)CC1 ZINC001456658258 1074495060 /nfs/dbraw/zinc/49/50/60/1074495060.db2.gz TVPRROJDHKFUCE-XHSDSOJGSA-N 0 0 430.571 -0.133 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@H](O)CO)CC2)cc1 ZINC001456722016 1074495080 /nfs/dbraw/zinc/49/50/80/1074495080.db2.gz PQFNKTANUIMTTH-QGZVFWFLSA-N 0 0 441.550 -0.108 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)CC1 ZINC001456726830 1074494910 /nfs/dbraw/zinc/49/49/10/1074494910.db2.gz FMNIEIVVVJSCMT-LFDOHDQPSA-N 0 0 437.566 -0.040 20 0 IBADRN Cn1ccnc1CN1CCC(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(N)=O)C3)C2)CC1 ZINC001456726847 1074495125 /nfs/dbraw/zinc/49/51/25/1074495125.db2.gz FMNIEIVVVJSCMT-NGEICVOHSA-N 0 0 437.566 -0.040 20 0 IBADRN NC(=O)C1CC2(C1)C[C@@H](NC(=O)[C@@H]1CC[C@H](CN3CCOCC3)O1)CCS2(=O)=O ZINC001456727183 1074495065 /nfs/dbraw/zinc/49/50/65/1074495065.db2.gz KRRURZICANFYGV-CEBGKUIJSA-N 0 0 429.539 -0.806 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)[C@@H]1CC[C@H](CN3CCOCC3)O1)CCS2(=O)=O ZINC001456727188 1074494887 /nfs/dbraw/zinc/49/48/87/1074494887.db2.gz KRRURZICANFYGV-ZRUZRRJWSA-N 0 0 429.539 -0.806 20 0 IBADRN NC(=O)C1CC2(C1)C[C@@H](NC(=O)CCN1CCN(c3ccccn3)CC1)CCS2(=O)=O ZINC001456729707 1074495132 /nfs/dbraw/zinc/49/51/32/1074495132.db2.gz ILLVUDQKLPNKGV-BATSVXPKSA-N 0 0 449.577 -0.079 20 0 IBADRN NC(=O)C1CC2(C1)C[C@H](NC(=O)CCN1CCN(c3ccccn3)CC1)CCS2(=O)=O ZINC001456729774 1074494949 /nfs/dbraw/zinc/49/49/49/1074494949.db2.gz ILLVUDQKLPNKGV-BQIYRTECSA-N 0 0 449.577 -0.079 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1c(C)[nH]nc1I ZINC001456744123 1074495002 /nfs/dbraw/zinc/49/50/02/1074495002.db2.gz HWASVSMVAGYWNE-SSDOTTSWSA-N 0 0 427.220 -0.265 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1c(C)[nH]nc1I ZINC001456744125 1074494984 /nfs/dbraw/zinc/49/49/84/1074494984.db2.gz HWASVSMVAGYWNE-ZETCQYMHSA-N 0 0 427.220 -0.265 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1=O ZINC001456763079 1074494856 /nfs/dbraw/zinc/49/48/56/1074494856.db2.gz SETGRPZPPLHZAB-HNNXBMFYSA-N 0 0 441.510 -0.899 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1=O ZINC001456763087 1074495117 /nfs/dbraw/zinc/49/51/17/1074495117.db2.gz SETGRPZPPLHZAB-OAHLLOKOSA-N 0 0 441.510 -0.899 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CC3CCN(S(C)(=O)=O)CC3)CC2)cn1 ZINC001456763094 1074494939 /nfs/dbraw/zinc/49/49/39/1074494939.db2.gz SEZGQOSFZIBLJL-UHFFFAOYSA-N 0 0 447.583 -0.249 20 0 IBADRN CCOC(=O)CC1(NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)COC1 ZINC001456770526 1074495109 /nfs/dbraw/zinc/49/51/09/1074495109.db2.gz ODYFZKUWWCEHDW-UHFFFAOYSA-N 0 0 427.479 -0.495 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001456796696 1074495590 /nfs/dbraw/zinc/49/55/90/1074495590.db2.gz APNLQMSVBLLAAP-GFCCVEGCSA-N 0 0 448.295 -0.430 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001456796708 1074495579 /nfs/dbraw/zinc/49/55/79/1074495579.db2.gz APNLQMSVBLLAAP-LBPRGKRZSA-N 0 0 448.295 -0.430 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)cc1 ZINC001456796726 1074495455 /nfs/dbraw/zinc/49/54/55/1074495455.db2.gz HWZFSKPSMYAGMH-SUMWQHHRSA-N 0 0 429.520 -0.184 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)s1 ZINC001456799025 1074495567 /nfs/dbraw/zinc/49/55/67/1074495567.db2.gz NZUQZFQJXFXQCR-BLLLJJGKSA-N 0 0 449.576 -0.444 20 0 IBADRN C[C@]12CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)C[C@H]1CS(=O)(=O)C2 ZINC001456802712 1074495493 /nfs/dbraw/zinc/49/54/93/1074495493.db2.gz SLRAAMXUNYTHJP-ZBQZNYHESA-N 0 0 425.482 -0.379 20 0 IBADRN C[C@]12CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)C[C@H]1CS(=O)(=O)C2 ZINC001456802713 1074495524 /nfs/dbraw/zinc/49/55/24/1074495524.db2.gz SLRAAMXUNYTHJP-ZUEVXXBESA-N 0 0 425.482 -0.379 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC001456803503 1074495531 /nfs/dbraw/zinc/49/55/31/1074495531.db2.gz VUXBJGVCDAQZAH-HNNXBMFYSA-N 0 0 425.463 -0.600 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CCNS(=O)(=O)c1cccc(C(C)=O)c1 ZINC001456803506 1074495481 /nfs/dbraw/zinc/49/54/81/1074495481.db2.gz VUXBJGVCDAQZAH-OAHLLOKOSA-N 0 0 425.463 -0.600 20 0 IBADRN CN(CC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001456829345 1074495510 /nfs/dbraw/zinc/49/55/10/1074495510.db2.gz TYZYFSADZDELEU-KBPBESRZSA-N 0 0 444.554 -0.558 20 0 IBADRN CN(CC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001456829362 1074495519 /nfs/dbraw/zinc/49/55/19/1074495519.db2.gz TYZYFSADZDELEU-KGLIPLIRSA-N 0 0 444.554 -0.558 20 0 IBADRN CN(CC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001456829373 1074495537 /nfs/dbraw/zinc/49/55/37/1074495537.db2.gz TYZYFSADZDELEU-UONOGXRCSA-N 0 0 444.554 -0.558 20 0 IBADRN CN(CC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001456829386 1074495447 /nfs/dbraw/zinc/49/54/47/1074495447.db2.gz TYZYFSADZDELEU-ZIAGYGMSSA-N 0 0 444.554 -0.558 20 0 IBADRN O=C(NCCc1ccc(S(=O)(=O)N2CCCCC2)s1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001456897519 1074495542 /nfs/dbraw/zinc/49/55/42/1074495542.db2.gz RMMRQZQYWBFNGC-ZDUSSCGKSA-N 0 0 449.576 -0.505 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)C1 ZINC001456952772 1074495418 /nfs/dbraw/zinc/49/54/18/1074495418.db2.gz IVUBLLTVAVIENN-IUSZMWJPSA-N 0 0 430.461 -0.055 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)CN2C(=O)N[C@@]3(CCc4ccccc43)C2=O)[C@@H](CO)C1 ZINC001456952773 1074495583 /nfs/dbraw/zinc/49/55/83/1074495583.db2.gz IVUBLLTVAVIENN-IVZHQMGZSA-N 0 0 430.461 -0.055 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)C1 ZINC001456952774 1074495471 /nfs/dbraw/zinc/49/54/71/1074495471.db2.gz IVUBLLTVAVIENN-NVAPDCRFSA-N 0 0 430.461 -0.055 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)CN2C(=O)N[C@]3(CCc4ccccc43)C2=O)[C@@H](CO)C1 ZINC001456952775 1074495506 /nfs/dbraw/zinc/49/55/06/1074495506.db2.gz IVUBLLTVAVIENN-ZJTDPSCFSA-N 0 0 430.461 -0.055 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)[C@@H](CO)C1 ZINC001456961060 1074495466 /nfs/dbraw/zinc/49/54/66/1074495466.db2.gz WOWZJKANXLUQHQ-CVEARBPZSA-N 0 0 434.497 -0.529 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001456961064 1074495431 /nfs/dbraw/zinc/49/54/31/1074495431.db2.gz WOWZJKANXLUQHQ-HOTGVXAUSA-N 0 0 434.497 -0.529 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001456961068 1074495918 /nfs/dbraw/zinc/49/59/18/1074495918.db2.gz WOWZJKANXLUQHQ-HZPDHXFCSA-N 0 0 434.497 -0.529 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@H](NC(=O)CCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001456961071 1074495908 /nfs/dbraw/zinc/49/59/08/1074495908.db2.gz WOWZJKANXLUQHQ-JKSUJKDBSA-N 0 0 434.497 -0.529 20 0 IBADRN CN(c1cccc(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1)S(C)(=O)=O ZINC001456978310 1074496042 /nfs/dbraw/zinc/49/60/42/1074496042.db2.gz JHFWJGRJLKKPCR-GDBMZVCRSA-N 0 0 443.547 -0.266 20 0 IBADRN CN(c1cccc(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)c1)S(C)(=O)=O ZINC001456978313 1074496053 /nfs/dbraw/zinc/49/60/53/1074496053.db2.gz JHFWJGRJLKKPCR-GOEBONIOSA-N 0 0 443.547 -0.266 20 0 IBADRN CN(c1cccc(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1)S(C)(=O)=O ZINC001456978317 1074495900 /nfs/dbraw/zinc/49/59/00/1074495900.db2.gz JHFWJGRJLKKPCR-HOCLYGCPSA-N 0 0 443.547 -0.266 20 0 IBADRN CN(c1cccc(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)c1)S(C)(=O)=O ZINC001456978320 1074495812 /nfs/dbraw/zinc/49/58/12/1074495812.db2.gz JHFWJGRJLKKPCR-ZBFHGGJFSA-N 0 0 443.547 -0.266 20 0 IBADRN CN(c1cccc(CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)c1)S(C)(=O)=O ZINC001456978463 1074495927 /nfs/dbraw/zinc/49/59/27/1074495927.db2.gz ATZCVFVXSIDBOX-BRWVUGGUSA-N 0 0 435.506 -0.378 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N[C@H](CO)c1ccccc1I ZINC001456993877 1074496049 /nfs/dbraw/zinc/49/60/49/1074496049.db2.gz YMZNWQJJXBNRAR-LLVKDONJSA-N 0 0 427.264 -0.163 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N[C@@H](CO)c1ccccc1I ZINC001456993888 1074495975 /nfs/dbraw/zinc/49/59/75/1074495975.db2.gz YMZNWQJJXBNRAR-NSHDSACASA-N 0 0 427.264 -0.163 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)NCc2cccc(NS(=O)(=O)C3CC3)c2)c1 ZINC001456996317 1074496027 /nfs/dbraw/zinc/49/60/27/1074496027.db2.gz SWKGYJKXZZTBIO-UHFFFAOYSA-N 0 0 427.508 -0.081 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CNS(=O)(=O)c1cc(C)ccc1C)C2 ZINC001457021720 1074495843 /nfs/dbraw/zinc/49/58/43/1074495843.db2.gz BKUNZPDMMHDTGH-HNNXBMFYSA-N 0 0 434.522 -0.019 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CNS(=O)(=O)c1cc(C)ccc1C)C2 ZINC001457021727 1074495932 /nfs/dbraw/zinc/49/59/32/1074495932.db2.gz BKUNZPDMMHDTGH-OAHLLOKOSA-N 0 0 434.522 -0.019 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CSc1ccc(S(N)(=O)=O)cc1)C2 ZINC001457026638 1074495885 /nfs/dbraw/zinc/49/58/85/1074495885.db2.gz FEZXETLVXOBKJL-AWEZNQCLSA-N 0 0 438.535 -0.175 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CSc1ccc(S(N)(=O)=O)cc1)C2 ZINC001457026649 1074496011 /nfs/dbraw/zinc/49/60/11/1074496011.db2.gz FEZXETLVXOBKJL-CQSZACIVSA-N 0 0 438.535 -0.175 20 0 IBADRN Cn1nnnc1CCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001457030793 1074495867 /nfs/dbraw/zinc/49/58/67/1074495867.db2.gz ABUJLPLEUINEOI-UHFFFAOYSA-N 0 0 449.537 -0.428 20 0 IBADRN Cn1cc(N2C[C@@H](C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)CCC2=O)cn1 ZINC001457109466 1074495940 /nfs/dbraw/zinc/49/59/40/1074495940.db2.gz JPIWIWVZJWQTIQ-AWEZNQCLSA-N 0 0 436.494 -0.175 20 0 IBADRN Cn1cc(N2C[C@H](C(=O)N3CCN(S(=O)(=O)Cc4ccon4)CC3)CCC2=O)cn1 ZINC001457109470 1074496017 /nfs/dbraw/zinc/49/60/17/1074496017.db2.gz JPIWIWVZJWQTIQ-CQSZACIVSA-N 0 0 436.494 -0.175 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N[C@@H]1CCc2ncc(Br)n2C1 ZINC001457114749 1074496426 /nfs/dbraw/zinc/49/64/26/1074496426.db2.gz KJZNQUMIQTZWFU-MRVPVSSYSA-N 0 0 430.284 -0.055 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N[C@H]1CCc2ncc(Br)n2C1 ZINC001457114753 1074496511 /nfs/dbraw/zinc/49/65/11/1074496511.db2.gz KJZNQUMIQTZWFU-QMMMGPOBSA-N 0 0 430.284 -0.055 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2C[C@H](C(=O)OC)[C@H](C(=O)OC)C2)c1 ZINC001457147642 1074496407 /nfs/dbraw/zinc/49/64/07/1074496407.db2.gz RXBRKVGGAFMIIK-GASCZTMLSA-N 0 0 428.463 -0.355 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2C[C@H](C(=O)OC)[C@@H](C(=O)OC)C2)c1 ZINC001457147645 1074496494 /nfs/dbraw/zinc/49/64/94/1074496494.db2.gz RXBRKVGGAFMIIK-GJZGRUSLSA-N 0 0 428.463 -0.355 20 0 IBADRN COCCNS(=O)(=O)c1cccc(C(=O)N2C[C@@H](C(=O)OC)[C@H](C(=O)OC)C2)c1 ZINC001457147647 1074496467 /nfs/dbraw/zinc/49/64/67/1074496467.db2.gz RXBRKVGGAFMIIK-HUUCEWRRSA-N 0 0 428.463 -0.355 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(C3CN(C(=O)OC(C)(C)C)C3)CC2)CC1 ZINC001457169500 1074496438 /nfs/dbraw/zinc/49/64/38/1074496438.db2.gz GKZUJQQIQLRADF-UHFFFAOYSA-N 0 0 445.586 -0.074 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2CCN(C(=O)Cn3cc(S(N)(=O)=O)cn3)CC2)C1 ZINC001457169697 1074496448 /nfs/dbraw/zinc/49/64/48/1074496448.db2.gz HSFIOUYFHHJFBC-UHFFFAOYSA-N 0 0 428.515 -0.706 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001457173650 1074496522 /nfs/dbraw/zinc/49/65/22/1074496522.db2.gz QDZUUYJZJLAPHV-KRWDZBQOSA-N 0 0 437.541 -0.123 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001457173659 1074496417 /nfs/dbraw/zinc/49/64/17/1074496417.db2.gz QDZUUYJZJLAPHV-QGZVFWFLSA-N 0 0 437.541 -0.123 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001457175449 1074496387 /nfs/dbraw/zinc/49/63/87/1074496387.db2.gz VKITVDLPDKLVIO-UHFFFAOYSA-N 0 0 434.559 -0.294 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](O)CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001457182889 1074496519 /nfs/dbraw/zinc/49/65/19/1074496519.db2.gz VATLDGMFFDHEEI-INIZCTEOSA-N 0 0 435.506 -0.379 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](O)CNC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001457182897 1074496499 /nfs/dbraw/zinc/49/64/99/1074496499.db2.gz VATLDGMFFDHEEI-MRXNPFEDSA-N 0 0 435.506 -0.379 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC(CO)C1 ZINC001457183591 1074496402 /nfs/dbraw/zinc/49/64/02/1074496402.db2.gz PBKMANJMYGUMSC-UHFFFAOYSA-N 0 0 430.454 -0.110 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](O)CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001457184009 1074496506 /nfs/dbraw/zinc/49/65/06/1074496506.db2.gz YZHTYSRLCJZIGW-INIZCTEOSA-N 0 0 435.506 -0.379 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](O)CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001457184012 1074496455 /nfs/dbraw/zinc/49/64/55/1074496455.db2.gz YZHTYSRLCJZIGW-MRXNPFEDSA-N 0 0 435.506 -0.379 20 0 IBADRN COC(=O)C1(NC(=O)c2cc(S(=O)(=O)N3CCOCC3)ccc2F)CC(CO)C1 ZINC001457184779 1074496470 /nfs/dbraw/zinc/49/64/70/1074496470.db2.gz TWUKOWMVHZJIGZ-UHFFFAOYSA-N 0 0 430.454 -0.110 20 0 IBADRN Cn1cc([C@H]2CCCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)nn1 ZINC001457187696 1074496397 /nfs/dbraw/zinc/49/63/97/1074496397.db2.gz UBPLIAXKQFAYQD-HNNXBMFYSA-N 0 0 432.506 -0.045 20 0 IBADRN Cn1cc([C@@H]2CCCN(C(=O)c3ccc(S(=O)(=O)N4CCNC(=O)C4)cc3)C2)nn1 ZINC001457187700 1074496351 /nfs/dbraw/zinc/49/63/51/1074496351.db2.gz UBPLIAXKQFAYQD-OAHLLOKOSA-N 0 0 432.506 -0.045 20 0 IBADRN CCN1CCN(CC(=O)N2C[C@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)C(=O)C1=O ZINC001457216499 1074497029 /nfs/dbraw/zinc/49/70/29/1074497029.db2.gz CCFKPSUMANHIRO-ABAIWWIYSA-N 0 0 425.429 -0.355 20 0 IBADRN CCN1CCN(CC(=O)N2C[C@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)C(=O)C1=O ZINC001457216501 1074497052 /nfs/dbraw/zinc/49/70/52/1074497052.db2.gz CCFKPSUMANHIRO-IAQYHMDHSA-N 0 0 425.429 -0.355 20 0 IBADRN CCN1CCN(CC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)C(=O)C1=O ZINC001457216513 1074496993 /nfs/dbraw/zinc/49/69/93/1074496993.db2.gz CCFKPSUMANHIRO-NHYWBVRUSA-N 0 0 425.429 -0.355 20 0 IBADRN CCN1CCN(CC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)C(=O)C1=O ZINC001457216515 1074497007 /nfs/dbraw/zinc/49/70/07/1074497007.db2.gz CCFKPSUMANHIRO-XHDPSFHLSA-N 0 0 425.429 -0.355 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)c1 ZINC001457227942 1074497047 /nfs/dbraw/zinc/49/70/47/1074497047.db2.gz PRGBOUOZFZWIBN-GWCFXTLKSA-N 0 0 444.457 -0.334 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)c1 ZINC001457227961 1074497083 /nfs/dbraw/zinc/49/70/83/1074497083.db2.gz PRGBOUOZFZWIBN-GXFFZTMASA-N 0 0 444.457 -0.334 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)c1 ZINC001457227975 1074497076 /nfs/dbraw/zinc/49/70/76/1074497076.db2.gz PRGBOUOZFZWIBN-MFKMUULPSA-N 0 0 444.457 -0.334 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N2C[C@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)c1 ZINC001457227991 1074497021 /nfs/dbraw/zinc/49/70/21/1074497021.db2.gz PRGBOUOZFZWIBN-ZWNOBZJWSA-N 0 0 444.457 -0.334 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001457229023 1074497032 /nfs/dbraw/zinc/49/70/32/1074497032.db2.gz SLDCIGQYEPIBKJ-UHFFFAOYSA-N 0 0 442.519 -0.353 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCS(=O)(=O)CCO1 ZINC001457259603 1074497070 /nfs/dbraw/zinc/49/70/70/1074497070.db2.gz PHQXXRKYRPIFPX-JSGCOSHPSA-N 0 0 438.911 -0.348 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCS(=O)(=O)CCO1 ZINC001457259604 1074497080 /nfs/dbraw/zinc/49/70/80/1074497080.db2.gz PHQXXRKYRPIFPX-OCCSQVGLSA-N 0 0 438.911 -0.348 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(Br)c1)N1CCS(=O)(=O)CCO1 ZINC001457260871 1074496947 /nfs/dbraw/zinc/49/69/47/1074496947.db2.gz QECNOMYHLBXTSA-UHFFFAOYSA-N 0 0 427.298 -0.084 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@H](CO)[C@@H](CCO)C2)c1 ZINC001457274282 1074497038 /nfs/dbraw/zinc/49/70/38/1074497038.db2.gz XCDUMNVGUIHKRF-DLBZAZTESA-N 0 0 441.550 -0.104 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@H](CO)[C@H](CCO)C2)c1 ZINC001457274288 1074496956 /nfs/dbraw/zinc/49/69/56/1074496956.db2.gz XCDUMNVGUIHKRF-IAGOWNOFSA-N 0 0 441.550 -0.104 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@H](CCO)[C@H](CO)C2)c1 ZINC001457274294 1074497042 /nfs/dbraw/zinc/49/70/42/1074497042.db2.gz XCDUMNVGUIHKRF-IRXDYDNUSA-N 0 0 441.550 -0.104 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@@H](CO)[C@H](CCO)C2)c1 ZINC001457274300 1074497011 /nfs/dbraw/zinc/49/70/11/1074497011.db2.gz XCDUMNVGUIHKRF-SJORKVTESA-N 0 0 441.550 -0.104 20 0 IBADRN COC[C@]1(C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)CN(C(=O)OC(C)(C)C)CCO1 ZINC001457294507 1074496983 /nfs/dbraw/zinc/49/69/83/1074496983.db2.gz CSIFVTPSTOEGGZ-DQLDELGASA-N 0 0 443.541 -0.019 20 0 IBADRN COC[C@@]1(C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)CN(C(=O)OC(C)(C)C)CCO1 ZINC001457294513 1074496967 /nfs/dbraw/zinc/49/69/67/1074496967.db2.gz CSIFVTPSTOEGGZ-ZLGUVYLKSA-N 0 0 443.541 -0.019 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)cc1 ZINC001457296837 1074497055 /nfs/dbraw/zinc/49/70/55/1074497055.db2.gz FGLYHLQWPUWCGI-QFBILLFUSA-N 0 0 427.523 -0.745 20 0 IBADRN O=C(NC[C@@]1(CO)COC[C@@H]2CCCN21)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC001457301574 1074497693 /nfs/dbraw/zinc/49/76/93/1074497693.db2.gz PPPBQYPJOGBIOE-OXJNMPFZSA-N 0 0 439.534 -0.337 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)NCC(=O)N1CCN(C)CC1 ZINC001457316984 1074497727 /nfs/dbraw/zinc/49/77/27/1074497727.db2.gz DGVGDIBDVKCEGF-KRWDZBQOSA-N 0 0 427.546 -0.068 20 0 IBADRN CC(C)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)NCC(=O)N1CCN(C)CC1 ZINC001457316986 1074497755 /nfs/dbraw/zinc/49/77/55/1074497755.db2.gz DGVGDIBDVKCEGF-QGZVFWFLSA-N 0 0 427.546 -0.068 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)NCC(=O)N2CCN(C)CC2)C1=O ZINC001457318676 1074497741 /nfs/dbraw/zinc/49/77/41/1074497741.db2.gz JODAXQABBVAOML-NRFANRHFSA-N 0 0 431.493 -0.339 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)NCC(=O)N2CCN(C)CC2)C1=O ZINC001457318682 1074497667 /nfs/dbraw/zinc/49/76/67/1074497667.db2.gz JODAXQABBVAOML-OAQYLSRUSA-N 0 0 431.493 -0.339 20 0 IBADRN CN1CCN(C(=O)CNC(=O)c2sccc2S(=O)(=O)N2CCC(CO)CC2)CC1 ZINC001457320005 1074497536 /nfs/dbraw/zinc/49/75/36/1074497536.db2.gz KGKJUHLXUZPCDP-UHFFFAOYSA-N 0 0 444.579 -0.355 20 0 IBADRN CN1CCN(C(=O)CNC(=O)c2cc(S(N)(=O)=O)c(Br)s2)CC1 ZINC001457320055 1074497498 /nfs/dbraw/zinc/49/74/98/1074497498.db2.gz LAFWKJVRIAPVGH-UHFFFAOYSA-N 0 0 425.330 -0.338 20 0 IBADRN CN1CCN(C(=O)CNC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001457320074 1074497523 /nfs/dbraw/zinc/49/75/23/1074497523.db2.gz LNZPAUNBNWFLOS-UHFFFAOYSA-N 0 0 426.495 -0.984 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)NCC(=O)N2CCN(C)CC2)cc1 ZINC001457322056 1074497590 /nfs/dbraw/zinc/49/75/90/1074497590.db2.gz RXQGICOWRDPKPK-UHFFFAOYSA-N 0 0 425.511 -0.796 20 0 IBADRN COC(=O)[C@]1(CNC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCOC1 ZINC001457346646 1074497561 /nfs/dbraw/zinc/49/75/61/1074497561.db2.gz HPHDZLDPAZPEGP-INIZCTEOSA-N 0 0 433.508 -0.642 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CNS(=O)(=O)c2ccc(CNC(C)=O)s2)CCOC1 ZINC001457346648 1074497550 /nfs/dbraw/zinc/49/75/50/1074497550.db2.gz HPHDZLDPAZPEGP-MRXNPFEDSA-N 0 0 433.508 -0.642 20 0 IBADRN COC(=O)[C@]1(CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCOC1 ZINC001457347120 1074497718 /nfs/dbraw/zinc/49/77/18/1074497718.db2.gz JBGQKLWJOYTDPJ-CUYVQJCZSA-N 0 0 430.523 -0.208 20 0 IBADRN COC(=O)[C@]1(CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)CCOC1 ZINC001457347122 1074497654 /nfs/dbraw/zinc/49/76/54/1074497654.db2.gz JBGQKLWJOYTDPJ-GPINWOSQSA-N 0 0 430.523 -0.208 20 0 IBADRN COC(=O)[C@]1(CNC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCOC1 ZINC001457347124 1074497683 /nfs/dbraw/zinc/49/76/83/1074497683.db2.gz JBGQKLWJOYTDPJ-HRLGVUPISA-N 0 0 430.523 -0.208 20 0 IBADRN COC(=O)[C@]1(CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)CCOC1 ZINC001457347126 1074497775 /nfs/dbraw/zinc/49/77/75/1074497775.db2.gz JBGQKLWJOYTDPJ-YGTYGHESSA-N 0 0 430.523 -0.208 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCOC1 ZINC001457349845 1074497767 /nfs/dbraw/zinc/49/77/67/1074497767.db2.gz NOVNLKBWIIJXQH-QIIPPGSGSA-N 0 0 430.454 -0.355 20 0 IBADRN COC(=O)[C@]1(CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CCOC1 ZINC001457349846 1074497606 /nfs/dbraw/zinc/49/76/06/1074497606.db2.gz NOVNLKBWIIJXQH-XUWXXGDYSA-N 0 0 430.454 -0.355 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001457373840 1074497621 /nfs/dbraw/zinc/49/76/21/1074497621.db2.gz FCGYAGURHWQSHL-HNNXBMFYSA-N 0 0 445.469 -0.668 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@@H](CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001457404487 1074498355 /nfs/dbraw/zinc/49/83/55/1074498355.db2.gz LESXMTMSNBGLBO-BYNSBNAKSA-N 0 0 445.538 -0.634 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@@H](CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001457404489 1074498266 /nfs/dbraw/zinc/49/82/66/1074498266.db2.gz LESXMTMSNBGLBO-CBBWQLFWSA-N 0 0 445.538 -0.634 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@@H](CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001457404491 1074498353 /nfs/dbraw/zinc/49/83/53/1074498353.db2.gz LESXMTMSNBGLBO-LXTVHRRPSA-N 0 0 445.538 -0.634 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H](O)[C@@H](CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001457404493 1074498323 /nfs/dbraw/zinc/49/83/23/1074498323.db2.gz LESXMTMSNBGLBO-ZQDZILKHSA-N 0 0 445.538 -0.634 20 0 IBADRN CN1CCn2nc(C(=O)NC[C@H]3CN(C(=O)OC(C)(C)C)C[C@H]3O)cc2S1(=O)=O ZINC001457404988 1074498360 /nfs/dbraw/zinc/49/83/60/1074498360.db2.gz MJLZBZDXOXJYGA-WCQYABFASA-N 0 0 429.499 -0.525 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)CCNS(C)(=O)=O)CC1 ZINC001457406371 1074498335 /nfs/dbraw/zinc/49/83/35/1074498335.db2.gz CCHPIAJIJYIDDH-AWEZNQCLSA-N 0 0 432.543 -0.004 20 0 IBADRN Cn1nc2c(c1C(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1O)CS(=O)(=O)CC2 ZINC001457406425 1074498256 /nfs/dbraw/zinc/49/82/56/1074498256.db2.gz POFJECFGBUZPTH-SMDDNHRTSA-N 0 0 428.511 -0.151 20 0 IBADRN C[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001457409405 1074498273 /nfs/dbraw/zinc/49/82/73/1074498273.db2.gz UEMKWLFPGBQXNG-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN C[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001457409412 1074498347 /nfs/dbraw/zinc/49/83/47/1074498347.db2.gz UEMKWLFPGBQXNG-KGLIPLIRSA-N 0 0 432.543 -0.006 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCC[C@H]2C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1=O ZINC001457412504 1074498330 /nfs/dbraw/zinc/49/83/30/1074498330.db2.gz JIFBXFCKKZOBGG-AWEZNQCLSA-N 0 0 437.497 -0.049 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCO[C@]3(CCN(C(=O)OC(C)(C)C)C3)C2)cn1 ZINC001457440233 1074498346 /nfs/dbraw/zinc/49/83/46/1074498346.db2.gz BSYZDSJBGSMEHZ-GOSISDBHSA-N 0 0 443.526 -0.063 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCO[C@@]3(CCN(C(=O)OC(C)(C)C)C3)C2)cn1 ZINC001457440249 1074498340 /nfs/dbraw/zinc/49/83/40/1074498340.db2.gz BSYZDSJBGSMEHZ-SFHVURJKSA-N 0 0 443.526 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)NCCN3CCNC3=S)CS(=O)(=O)[C@@H]2C1 ZINC001457450131 1074498293 /nfs/dbraw/zinc/49/82/93/1074498293.db2.gz OKMDFRMZXOVKMS-BFHYXJOUSA-N 0 0 446.595 -0.037 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)NCCN1CCNC1=S)CS2(=O)=O ZINC001457450134 1074498299 /nfs/dbraw/zinc/49/82/99/1074498299.db2.gz OKMDFRMZXOVKMS-MCIONIFRSA-N 0 0 446.595 -0.037 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)NCCN3CCNC3=S)CS(=O)(=O)[C@@H]2C1 ZINC001457450140 1074498377 /nfs/dbraw/zinc/49/83/77/1074498377.db2.gz OKMDFRMZXOVKMS-MGPQQGTHSA-N 0 0 446.595 -0.037 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)NCCN1CCNC1=S)CS2(=O)=O ZINC001457450142 1074498285 /nfs/dbraw/zinc/49/82/85/1074498285.db2.gz OKMDFRMZXOVKMS-MJBXVCDLSA-N 0 0 446.595 -0.037 20 0 IBADRN Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001457543689 1074498325 /nfs/dbraw/zinc/49/83/25/1074498325.db2.gz VCLJTVUCOZLZEG-UHFFFAOYSA-N 0 0 428.493 -0.164 20 0 IBADRN CC(C)(C)OC(=O)NC1CC(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC001457594351 1074498865 /nfs/dbraw/zinc/49/88/65/1074498865.db2.gz DRBARGADGGIKCE-CKUJCDMFSA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)NC1CC(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC001457594364 1074498883 /nfs/dbraw/zinc/49/88/83/1074498883.db2.gz DRBARGADGGIKCE-FJBKBRRZSA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)NC1CC(CC(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC001457594377 1074498980 /nfs/dbraw/zinc/49/89/80/1074498980.db2.gz DRBARGADGGIKCE-QDIHITRGSA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)NC1CC(CC(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC001457594390 1074498994 /nfs/dbraw/zinc/49/89/94/1074498994.db2.gz DRBARGADGGIKCE-SSHXOBKSSA-N 0 0 431.555 -0.018 20 0 IBADRN Cc1cc(C(F)(F)F)n2nc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@H]4O)CC3)cc2n1 ZINC001457594900 1074498966 /nfs/dbraw/zinc/49/89/66/1074498966.db2.gz GNTWYAGIXJTYKJ-CHWSQXEVSA-N 0 0 447.439 -0.028 20 0 IBADRN Cc1cc(C(F)(F)F)n2nc(C(=O)N3CCN([C@@H]4CS(=O)(=O)C[C@@H]4O)CC3)cc2n1 ZINC001457594905 1074498932 /nfs/dbraw/zinc/49/89/32/1074498932.db2.gz GNTWYAGIXJTYKJ-OLZOCXBDSA-N 0 0 447.439 -0.028 20 0 IBADRN Cc1cc(C(F)(F)F)n2nc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@H]4O)CC3)cc2n1 ZINC001457594909 1074498915 /nfs/dbraw/zinc/49/89/15/1074498915.db2.gz GNTWYAGIXJTYKJ-QWHCGFSZSA-N 0 0 447.439 -0.028 20 0 IBADRN Cc1cc(C(F)(F)F)n2nc(C(=O)N3CCN([C@H]4CS(=O)(=O)C[C@@H]4O)CC3)cc2n1 ZINC001457594916 1074499006 /nfs/dbraw/zinc/49/90/06/1074499006.db2.gz GNTWYAGIXJTYKJ-STQMWFEESA-N 0 0 447.439 -0.028 20 0 IBADRN O=C(c1cc(Cl)ccc1-n1cncn1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001457597226 1074498969 /nfs/dbraw/zinc/49/89/69/1074498969.db2.gz JZDXRZNBKLRVED-CVEARBPZSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cc(Cl)ccc1-n1cncn1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001457597231 1074498921 /nfs/dbraw/zinc/49/89/21/1074498921.db2.gz JZDXRZNBKLRVED-HOTGVXAUSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cc(Cl)ccc1-n1cncn1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001457597235 1074498986 /nfs/dbraw/zinc/49/89/86/1074498986.db2.gz JZDXRZNBKLRVED-HZPDHXFCSA-N 0 0 425.898 -0.164 20 0 IBADRN O=C(c1cc(Cl)ccc1-n1cncn1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001457597241 1074498928 /nfs/dbraw/zinc/49/89/28/1074498928.db2.gz JZDXRZNBKLRVED-JKSUJKDBSA-N 0 0 425.898 -0.164 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1=O ZINC001457598424 1074498908 /nfs/dbraw/zinc/49/89/08/1074498908.db2.gz KFZSUOLWPFWYRO-CHWSQXEVSA-N 0 0 434.312 -0.937 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1=O ZINC001457598471 1074498937 /nfs/dbraw/zinc/49/89/37/1074498937.db2.gz KFZSUOLWPFWYRO-OLZOCXBDSA-N 0 0 434.312 -0.937 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1=O ZINC001457598473 1074498974 /nfs/dbraw/zinc/49/89/74/1074498974.db2.gz KFZSUOLWPFWYRO-QWHCGFSZSA-N 0 0 434.312 -0.937 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1=O ZINC001457598511 1074498988 /nfs/dbraw/zinc/49/89/88/1074498988.db2.gz KFZSUOLWPFWYRO-STQMWFEESA-N 0 0 434.312 -0.937 20 0 IBADRN O=C(c1ccc(S(=O)(=O)CC2CC2)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001457599236 1074498954 /nfs/dbraw/zinc/49/89/54/1074498954.db2.gz MKNXAABSOOOVNU-MSOLQXFVSA-N 0 0 442.559 -0.214 20 0 IBADRN O=C(c1ccc(S(=O)(=O)CC2CC2)cc1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001457599242 1074499000 /nfs/dbraw/zinc/49/90/00/1074499000.db2.gz MKNXAABSOOOVNU-QZTJIDSGSA-N 0 0 442.559 -0.214 20 0 IBADRN O=C(c1ccc(S(=O)(=O)CC2CC2)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001457599245 1074499268 /nfs/dbraw/zinc/49/92/68/1074499268.db2.gz MKNXAABSOOOVNU-ROUUACIJSA-N 0 0 442.559 -0.214 20 0 IBADRN O=C(c1ccc(S(=O)(=O)CC2CC2)cc1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001457599247 1074499303 /nfs/dbraw/zinc/49/93/03/1074499303.db2.gz MKNXAABSOOOVNU-ZWKOTPCHSA-N 0 0 442.559 -0.214 20 0 IBADRN O=C(Cn1cnc(-c2ccccc2)cc1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001457600175 1074499295 /nfs/dbraw/zinc/49/92/95/1074499295.db2.gz NVDJUSKTWZAOQI-MSOLQXFVSA-N 0 0 432.502 -0.788 20 0 IBADRN O=C(Cn1cnc(-c2ccccc2)cc1=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001457600182 1074499335 /nfs/dbraw/zinc/49/93/35/1074499335.db2.gz NVDJUSKTWZAOQI-QZTJIDSGSA-N 0 0 432.502 -0.788 20 0 IBADRN O=C(Cn1cnc(-c2ccccc2)cc1=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001457600192 1074499317 /nfs/dbraw/zinc/49/93/17/1074499317.db2.gz NVDJUSKTWZAOQI-ROUUACIJSA-N 0 0 432.502 -0.788 20 0 IBADRN O=C(Cn1cnc(-c2ccccc2)cc1=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001457600198 1074499233 /nfs/dbraw/zinc/49/92/33/1074499233.db2.gz NVDJUSKTWZAOQI-ZWKOTPCHSA-N 0 0 432.502 -0.788 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CC=C(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC001457602611 1074499348 /nfs/dbraw/zinc/49/93/48/1074499348.db2.gz SHXUXIQPPNBGFO-BZUAXINKSA-N 0 0 429.539 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CC=C(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC001457602639 1074499357 /nfs/dbraw/zinc/49/93/57/1074499357.db2.gz SHXUXIQPPNBGFO-OAGGEKHMSA-N 0 0 429.539 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CC=C(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC001457602644 1074499284 /nfs/dbraw/zinc/49/92/84/1074499284.db2.gz SHXUXIQPPNBGFO-OWCLPIDISA-N 0 0 429.539 -0.098 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1CC=C(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC001457602651 1074499289 /nfs/dbraw/zinc/49/92/89/1074499289.db2.gz SHXUXIQPPNBGFO-PMPSAXMXSA-N 0 0 429.539 -0.098 20 0 IBADRN O=C(CCNC(=O)c1cc2ccccc2[nH]1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001457603219 1074499300 /nfs/dbraw/zinc/49/93/00/1074499300.db2.gz VBYJAHONJAVBNA-MSOLQXFVSA-N 0 0 434.518 -0.410 20 0 IBADRN O=C(CCNC(=O)c1cc2ccccc2[nH]1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001457603225 1074499322 /nfs/dbraw/zinc/49/93/22/1074499322.db2.gz VBYJAHONJAVBNA-QZTJIDSGSA-N 0 0 434.518 -0.410 20 0 IBADRN O=C(CCNC(=O)c1cc2ccccc2[nH]1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001457603228 1074499331 /nfs/dbraw/zinc/49/93/31/1074499331.db2.gz VBYJAHONJAVBNA-ROUUACIJSA-N 0 0 434.518 -0.410 20 0 IBADRN O=C(CCNC(=O)c1cc2ccccc2[nH]1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001457603235 1074499254 /nfs/dbraw/zinc/49/92/54/1074499254.db2.gz VBYJAHONJAVBNA-ZWKOTPCHSA-N 0 0 434.518 -0.410 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC001457643940 1074499265 /nfs/dbraw/zinc/49/92/65/1074499265.db2.gz LBNCZOJVCSFEAK-GFCCVEGCSA-N 0 0 438.510 -0.469 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)CCC(=O)Nc2ccc3c(n2)n(C)c(=O)n3C)C1 ZINC001457643944 1074499243 /nfs/dbraw/zinc/49/92/43/1074499243.db2.gz LBNCZOJVCSFEAK-LBPRGKRZSA-N 0 0 438.510 -0.469 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NC3CCN(S(C)(=O)=O)CC3)nc2n(C)c1=O ZINC001457656602 1074499785 /nfs/dbraw/zinc/49/97/85/1074499785.db2.gz ZFGXJMCHUHIDFP-UHFFFAOYSA-N 0 0 438.510 -0.469 20 0 IBADRN CN1CCN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CC1=O ZINC001457663307 1074499713 /nfs/dbraw/zinc/49/97/13/1074499713.db2.gz XYPGXZCSNSEGGL-UHFFFAOYSA-N 0 0 444.535 -0.965 20 0 IBADRN Cn1ncc(I)c1C(=O)N1CCN(CCS(N)(=O)=O)CC1 ZINC001457672727 1074499647 /nfs/dbraw/zinc/49/96/47/1074499647.db2.gz NRTGVSCRDNNNEA-UHFFFAOYSA-N 0 0 427.268 -0.929 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3OCCO[C@@H]3C(F)(F)F)CC2)cn1C ZINC001457678110 1074499727 /nfs/dbraw/zinc/49/97/27/1074499727.db2.gz SRSWTRWOKICMKB-OLZOCXBDSA-N 0 0 426.417 -0.092 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H](C3)S4(=O)=O)CC2)cn1C ZINC001457679425 1074499812 /nfs/dbraw/zinc/49/98/12/1074499812.db2.gz XNTMOYHNCBEBHR-QKDCVEJESA-N 0 0 430.552 -0.083 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)CCN1C(=O)CCNC1=S ZINC001457707610 1074499772 /nfs/dbraw/zinc/49/97/72/1074499772.db2.gz OEUHNTQDDJSJMS-UHFFFAOYSA-N 0 0 428.536 -0.588 20 0 IBADRN CN(C[C@H](O)C1CC1)C(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001457738503 1074499685 /nfs/dbraw/zinc/49/96/85/1074499685.db2.gz QEUPNJCGNSFCGX-KRWDZBQOSA-N 0 0 445.563 -0.036 20 0 IBADRN CN(C[C@@H](O)C1CC1)C(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001457738505 1074499606 /nfs/dbraw/zinc/49/96/06/1074499606.db2.gz QEUPNJCGNSFCGX-QGZVFWFLSA-N 0 0 445.563 -0.036 20 0 IBADRN CC[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C[C@H]1O ZINC001457746217 1074499840 /nfs/dbraw/zinc/49/98/40/1074499840.db2.gz RFIBRUJQFLDBKZ-CXAGYDPISA-N 0 0 445.563 -0.036 20 0 IBADRN CC[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C[C@@H]1O ZINC001457746230 1074499705 /nfs/dbraw/zinc/49/97/05/1074499705.db2.gz RFIBRUJQFLDBKZ-DYVFJYSZSA-N 0 0 445.563 -0.036 20 0 IBADRN CC[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C[C@@H]1O ZINC001457746239 1074499806 /nfs/dbraw/zinc/49/98/06/1074499806.db2.gz RFIBRUJQFLDBKZ-GUYCJALGSA-N 0 0 445.563 -0.036 20 0 IBADRN CC[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C[C@H]1O ZINC001457746252 1074499692 /nfs/dbraw/zinc/49/96/92/1074499692.db2.gz RFIBRUJQFLDBKZ-SUMWQHHRSA-N 0 0 445.563 -0.036 20 0 IBADRN CC(C)(O)C1CN(C(=O)C2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC001457747652 1074499830 /nfs/dbraw/zinc/49/98/30/1074499830.db2.gz ZGTHNRYUJALPCT-UHFFFAOYSA-N 0 0 445.563 -0.036 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NCCNS(=O)(=O)N(C)C ZINC001457805541 1074499825 /nfs/dbraw/zinc/49/98/25/1074499825.db2.gz SFZIHEQJDISADM-JTQLQIEISA-N 0 0 446.473 -0.116 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NCCNS(=O)(=O)N(C)C ZINC001457805545 1074499743 /nfs/dbraw/zinc/49/97/43/1074499743.db2.gz SFZIHEQJDISADM-SNVBAGLBSA-N 0 0 446.473 -0.116 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCNC(=O)OCC(F)(F)F)CC1 ZINC001457807454 1074500139 /nfs/dbraw/zinc/50/01/39/1074500139.db2.gz SKMDBMLTZGFUQN-UHFFFAOYSA-N 0 0 438.450 -0.218 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@@H]2CCN(C(N)=O)C2)c1 ZINC001457808443 1074500251 /nfs/dbraw/zinc/50/02/51/1074500251.db2.gz UWIGIIRKGQNGJD-AWEZNQCLSA-N 0 0 439.538 -0.096 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NC[C@H]2CCN(C(N)=O)C2)c1 ZINC001457808447 1074500260 /nfs/dbraw/zinc/50/02/60/1074500260.db2.gz UWIGIIRKGQNGJD-CQSZACIVSA-N 0 0 439.538 -0.096 20 0 IBADRN CON(C)C(=O)CNC(=O)c1cc(=O)[nH]c2ccc(S(=O)(=O)N3CCOCC3)cc21 ZINC001457858248 1074500279 /nfs/dbraw/zinc/50/02/79/1074500279.db2.gz AKKDWPCNGBXZOD-UHFFFAOYSA-N 0 0 438.462 -0.289 20 0 IBADRN C[C@@H](O)[C@@H](CO)NC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001457860219 1074500230 /nfs/dbraw/zinc/50/02/30/1074500230.db2.gz WXSKOSZLWXNPPC-CZUORRHYSA-N 0 0 447.941 -0.189 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC(N3CC[C@@H](O)C3)C2)cc1 ZINC001457931106 1074500115 /nfs/dbraw/zinc/50/01/15/1074500115.db2.gz WKSULYXNXTZTCH-QGZVFWFLSA-N 0 0 438.550 -0.276 20 0 IBADRN O=C(CNC(=O)c1ccccn1)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001457936818 1074500191 /nfs/dbraw/zinc/50/01/91/1074500191.db2.gz VIQDEOJSGXJCCF-UHFFFAOYSA-N 0 0 431.518 -0.066 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2C[C@@H](CO)[C@H](CO)C2)c1 ZINC001457951017 1074500184 /nfs/dbraw/zinc/50/01/84/1074500184.db2.gz LFNSPUOFLARCMB-GJZGRUSLSA-N 0 0 427.523 -0.554 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N[C@H]3CCN(C4CCOCC4)C3=O)nc2n(C)c1=O ZINC001457963383 1074500202 /nfs/dbraw/zinc/50/02/02/1074500202.db2.gz BFVVJRMQJBRITD-AWEZNQCLSA-N 0 0 444.492 -0.113 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)N[C@@H]3CCN(C4CCOCC4)C3=O)nc2n(C)c1=O ZINC001457963386 1074500246 /nfs/dbraw/zinc/50/02/46/1074500246.db2.gz BFVVJRMQJBRITD-CQSZACIVSA-N 0 0 444.492 -0.113 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001457968258 1074500272 /nfs/dbraw/zinc/50/02/72/1074500272.db2.gz AWICOCWODNBPLC-GOSISDBHSA-N 0 0 427.479 -0.892 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001457968264 1074500303 /nfs/dbraw/zinc/50/03/03/1074500303.db2.gz AWICOCWODNBPLC-SFHVURJKSA-N 0 0 427.479 -0.892 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)C[C@](O)(c1nccn1C)C(F)(F)F ZINC001457969389 1074500264 /nfs/dbraw/zinc/50/02/64/1074500264.db2.gz HMODGZRIQUKUKI-HZMBPMFUSA-N 0 0 427.401 -0.251 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)C[C@@](O)(c1nccn1C)C(F)(F)F ZINC001457969391 1074500297 /nfs/dbraw/zinc/50/02/97/1074500297.db2.gz HMODGZRIQUKUKI-IINYFYTJSA-N 0 0 427.401 -0.251 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)C[C@@](O)(c1nccn1C)C(F)(F)F ZINC001457969395 1074500161 /nfs/dbraw/zinc/50/01/61/1074500161.db2.gz HMODGZRIQUKUKI-QMTHXVAHSA-N 0 0 427.401 -0.251 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)C[C@](O)(c1nccn1C)C(F)(F)F ZINC001457969398 1074500285 /nfs/dbraw/zinc/50/02/85/1074500285.db2.gz HMODGZRIQUKUKI-YGRLFVJLSA-N 0 0 427.401 -0.251 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCC2(S(N)(=O)=O)CC2)c1 ZINC001457983958 1074500148 /nfs/dbraw/zinc/50/01/48/1074500148.db2.gz JJCJYIWWXLIRMB-UHFFFAOYSA-N 0 0 446.551 -0.676 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001457989450 1074500167 /nfs/dbraw/zinc/50/01/67/1074500167.db2.gz ALDHGUJQIZZHMB-AWEZNQCLSA-N 0 0 427.527 -0.342 20 0 IBADRN Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC001457989456 1074500217 /nfs/dbraw/zinc/50/02/17/1074500217.db2.gz ALDHGUJQIZZHMB-CQSZACIVSA-N 0 0 427.527 -0.342 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001458020282 1074500889 /nfs/dbraw/zinc/50/08/89/1074500889.db2.gz AFDCZYPVGOTORF-GXSJLCMTSA-N 0 0 432.442 -0.106 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NC[C@H](O)CS(C)(=O)=O ZINC001458020297 1074500691 /nfs/dbraw/zinc/50/06/91/1074500691.db2.gz AFDCZYPVGOTORF-KOLCDFICSA-N 0 0 432.442 -0.106 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001458020310 1074500675 /nfs/dbraw/zinc/50/06/75/1074500675.db2.gz AFDCZYPVGOTORF-MWLCHTKSSA-N 0 0 432.442 -0.106 20 0 IBADRN C[C@H](NS(=O)(=O)c1cccc(C(F)(F)F)c1)C(=O)NC[C@H](O)CS(C)(=O)=O ZINC001458020322 1074500868 /nfs/dbraw/zinc/50/08/68/1074500868.db2.gz AFDCZYPVGOTORF-ONGXEEELSA-N 0 0 432.442 -0.106 20 0 IBADRN COCc1nccc(N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1 ZINC001458084759 1074500859 /nfs/dbraw/zinc/50/08/59/1074500859.db2.gz NVWBPJWTAJWUQR-UHFFFAOYSA-N 0 0 427.508 -0.219 20 0 IBADRN Nc1nc(N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nc(C(F)(F)C(F)(F)F)n1 ZINC001458115112 1074500842 /nfs/dbraw/zinc/50/08/42/1074500842.db2.gz KWSNQOYCCIDFSE-UHFFFAOYSA-N 0 0 437.329 -0.095 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1)Nc1ccccc1Cl ZINC001458172165 1074500873 /nfs/dbraw/zinc/50/08/73/1074500873.db2.gz MERNGYIEBPYBLB-AWEZNQCLSA-N 0 0 428.898 -0.664 20 0 IBADRN CC[C@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCNC1=O ZINC001458346735 1074500749 /nfs/dbraw/zinc/50/07/49/1074500749.db2.gz DEZILUKUDGSPIR-KRWDZBQOSA-N 0 0 447.535 -0.177 20 0 IBADRN CC[C@@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCNC1=O ZINC001458346737 1074500661 /nfs/dbraw/zinc/50/06/61/1074500661.db2.gz DEZILUKUDGSPIR-QGZVFWFLSA-N 0 0 447.535 -0.177 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1)Nc1ccc(Cl)cc1 ZINC001458524658 1074500646 /nfs/dbraw/zinc/50/06/46/1074500646.db2.gz CVOUOVIFJVUUJD-AWEZNQCLSA-N 0 0 428.898 -0.664 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(c2ccc(S(C)(=O)=O)cc2S(C)(=O)=O)CC1 ZINC001458527982 1074500736 /nfs/dbraw/zinc/50/07/36/1074500736.db2.gz KFELAKVQEKOTFI-UHFFFAOYSA-N 0 0 431.536 -0.332 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC001458808718 1074501279 /nfs/dbraw/zinc/50/12/79/1074501279.db2.gz KKQHMGKINMUQSX-CABCVRRESA-N 0 0 431.511 -0.949 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC001458808727 1074501312 /nfs/dbraw/zinc/50/13/12/1074501312.db2.gz KKQHMGKINMUQSX-GJZGRUSLSA-N 0 0 431.511 -0.949 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC001458808734 1074501179 /nfs/dbraw/zinc/50/11/79/1074501179.db2.gz KKQHMGKINMUQSX-HUUCEWRRSA-N 0 0 431.511 -0.949 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1=O ZINC001458808742 1074501292 /nfs/dbraw/zinc/50/12/92/1074501292.db2.gz KKQHMGKINMUQSX-LSDHHAIUSA-N 0 0 431.511 -0.949 20 0 IBADRN Cc1cc(Br)cn2cc(CCNC(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)nc12 ZINC001458819340 1074501155 /nfs/dbraw/zinc/50/11/55/1074501155.db2.gz ZQAAJSMAIHBKGI-LBPRGKRZSA-N 0 0 429.296 -0.023 20 0 IBADRN CS(=O)(=O)CC[C@@H]1NC(=O)N(CC(=O)C2CCN(C(=O)C(F)(F)F)CC2)C1=O ZINC001458876941 1074501318 /nfs/dbraw/zinc/50/13/18/1074501318.db2.gz KUDXQJUUSYKUSL-JTQLQIEISA-N 0 0 427.401 -0.289 20 0 IBADRN CS(=O)(=O)CC[C@H]1NC(=O)N(CC(=O)C2CCN(C(=O)C(F)(F)F)CC2)C1=O ZINC001458876951 1074501327 /nfs/dbraw/zinc/50/13/27/1074501327.db2.gz KUDXQJUUSYKUSL-SNVBAGLBSA-N 0 0 427.401 -0.289 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2C(=O)N[C@@H](CCS(C)(=O)=O)C2=O)CC1 ZINC001458878808 1074501194 /nfs/dbraw/zinc/50/11/94/1074501194.db2.gz PEWNPMDAULSNDV-AWEZNQCLSA-N 0 0 430.527 -0.553 20 0 IBADRN CC(C)N(C)C(=O)C1CCN(C(=O)CN2C(=O)N[C@H](CCS(C)(=O)=O)C2=O)CC1 ZINC001458878814 1074501299 /nfs/dbraw/zinc/50/12/99/1074501299.db2.gz PEWNPMDAULSNDV-CQSZACIVSA-N 0 0 430.527 -0.553 20 0 IBADRN CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001458933427 1074501287 /nfs/dbraw/zinc/50/12/87/1074501287.db2.gz RQDBDHNKNWJPQI-GOSISDBHSA-N 0 0 444.513 -0.106 20 0 IBADRN CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001458933440 1074501262 /nfs/dbraw/zinc/50/12/62/1074501262.db2.gz RQDBDHNKNWJPQI-SFHVURJKSA-N 0 0 444.513 -0.106 20 0 IBADRN Cc1cc(F)cc2c1S(=O)(=O)N(CC(=O)NC1CCN(S(C)(=O)=O)CC1)C2=O ZINC001459072012 1074501677 /nfs/dbraw/zinc/50/16/77/1074501677.db2.gz MXIPCPLDOHJQEN-UHFFFAOYSA-N 0 0 433.483 -0.181 20 0 IBADRN CS(=O)(=O)c1ccc(=O)n(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)c1 ZINC001459092037 1074501800 /nfs/dbraw/zinc/50/18/00/1074501800.db2.gz IUEPXNGAUBBRQK-UHFFFAOYSA-N 0 0 439.515 -0.215 20 0 IBADRN O=C(Cn1cnc2c(Cl)nccc2c1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001459109301 1074501624 /nfs/dbraw/zinc/50/16/24/1074501624.db2.gz DAGZHWFLLNLIAV-GFCCVEGCSA-N 0 0 425.898 -0.224 20 0 IBADRN O=C(Cn1cnc2c(Cl)nccc2c1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001459109308 1074501668 /nfs/dbraw/zinc/50/16/68/1074501668.db2.gz DAGZHWFLLNLIAV-LBPRGKRZSA-N 0 0 425.898 -0.224 20 0 IBADRN CN1c2ccccc2S(=O)(=O)N(CC(=O)NC2CCN(S(C)(=O)=O)CC2)C1=O ZINC001459112687 1074501823 /nfs/dbraw/zinc/50/18/23/1074501823.db2.gz OPOULCGMUUAEKQ-UHFFFAOYSA-N 0 0 430.508 -0.213 20 0 IBADRN C[C@H](c1ccc(N2CCCS2(=O)=O)cc1)N(C)C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001459149802 1074501792 /nfs/dbraw/zinc/50/17/92/1074501792.db2.gz PVFHEVYQXFBCDV-DOMZBBRYSA-N 0 0 429.520 -0.341 20 0 IBADRN C[C@@H](c1ccc(N2CCCS2(=O)=O)cc1)N(C)C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001459149804 1074501845 /nfs/dbraw/zinc/50/18/45/1074501845.db2.gz PVFHEVYQXFBCDV-WFASDCNBSA-N 0 0 429.520 -0.341 20 0 IBADRN O=C(Cn1cnc2c(c1=O)COCC2)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001459153527 1074501644 /nfs/dbraw/zinc/50/16/44/1074501644.db2.gz KOCTUMQPNYGRSY-UHFFFAOYSA-N 0 0 448.501 -0.347 20 0 IBADRN NC(=O)c1nnn(CC(=O)N2CCN(CC(=O)Nc3ccccc3C(F)(F)F)CC2)n1 ZINC001459197110 1074501707 /nfs/dbraw/zinc/50/17/07/1074501707.db2.gz QKDSJQPXZAVVIK-UHFFFAOYSA-N 0 0 440.386 -0.426 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)COC3=O)CC2)cc1OC ZINC001459212553 1074501612 /nfs/dbraw/zinc/50/16/12/1074501612.db2.gz GJXACGBXACOXCP-UHFFFAOYSA-N 0 0 427.435 -0.485 20 0 IBADRN CCOC(=O)N1CCN(C(=O)Cn2c(Br)nc3c2c(=O)[nH]c(=O)n3C)CC1 ZINC001459229717 1074501784 /nfs/dbraw/zinc/50/17/84/1074501784.db2.gz PIRRGBIUDRFOGL-UHFFFAOYSA-N 0 0 443.258 -0.101 20 0 IBADRN Cn1c2nc(Br)n(CC(=O)NC(=O)NCC(F)(F)F)c2c(=O)[nH]c1=O ZINC001459232348 1074501775 /nfs/dbraw/zinc/50/17/75/1074501775.db2.gz XEZFYBSHROKERQ-UHFFFAOYSA-N 0 0 427.137 -0.014 20 0 IBADRN CS(=O)(=O)c1ncnn1CC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001459242209 1074501835 /nfs/dbraw/zinc/50/18/35/1074501835.db2.gz MGJJKCPHCOBRQN-UHFFFAOYSA-N 0 0 427.508 -0.644 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3ncnc3S(C)(=O)=O)CC2)cc1C ZINC001459247651 1074501743 /nfs/dbraw/zinc/50/17/43/1074501743.db2.gz WTZCEQUISVENNN-UHFFFAOYSA-N 0 0 441.535 -0.168 20 0 IBADRN CN(CCCNC(=O)Cn1nnn(-c2ccc(Br)cc2)c1=O)S(C)(=O)=O ZINC001459262000 1074501814 /nfs/dbraw/zinc/50/18/14/1074501814.db2.gz KNWYIIJWYHNFEY-UHFFFAOYSA-N 0 0 447.315 -0.411 20 0 IBADRN CS(=O)(=O)c1cnn(CC(=O)N2CCN(C(=O)[C@@H]3COc4ccccc4O3)CC2)c1 ZINC001459283996 1074501753 /nfs/dbraw/zinc/50/17/53/1074501753.db2.gz BQMJSUXRCWQCDZ-KRWDZBQOSA-N 0 0 434.474 -0.203 20 0 IBADRN CS(=O)(=O)c1cnn(CC(=O)N2CCN(C(=O)[C@H]3COc4ccccc4O3)CC2)c1 ZINC001459284003 1074502166 /nfs/dbraw/zinc/50/21/66/1074502166.db2.gz BQMJSUXRCWQCDZ-QGZVFWFLSA-N 0 0 434.474 -0.203 20 0 IBADRN CS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)c1 ZINC001459287471 1074502269 /nfs/dbraw/zinc/50/22/69/1074502269.db2.gz NYQYMPUJNYJQDD-UHFFFAOYSA-N 0 0 430.483 -0.041 20 0 IBADRN COc1cc(S(N)(=O)=O)ccc1OCC(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001459289094 1074502277 /nfs/dbraw/zinc/50/22/77/1074502277.db2.gz FXYSQZJGDZPPAJ-CYBMUJFWSA-N 0 0 447.535 -0.947 20 0 IBADRN COc1cc(S(N)(=O)=O)ccc1OCC(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001459289104 1074502257 /nfs/dbraw/zinc/50/22/57/1074502257.db2.gz FXYSQZJGDZPPAJ-ZDUSSCGKSA-N 0 0 447.535 -0.947 20 0 IBADRN COc1cc(S(N)(=O)=O)ccc1OCC(=O)N1CCN(CC(=O)NC(C)(C)C)CC1 ZINC001459289898 1074502315 /nfs/dbraw/zinc/50/23/15/1074502315.db2.gz IKIRITLCTIKIGU-UHFFFAOYSA-N 0 0 442.538 -0.220 20 0 IBADRN CO[C@@]1(C(=O)OCc2ccccc2S(=O)(=O)N2CCOCC2)CCS(=O)(=O)C1 ZINC001459451772 1074502298 /nfs/dbraw/zinc/50/22/98/1074502298.db2.gz ILKUZEXPYHZRDW-KRWDZBQOSA-N 0 0 433.504 -0.046 20 0 IBADRN CO[C@]1(C(=O)OCc2ccccc2S(=O)(=O)N2CCOCC2)CCS(=O)(=O)C1 ZINC001459451780 1074502245 /nfs/dbraw/zinc/50/22/45/1074502245.db2.gz ILKUZEXPYHZRDW-QGZVFWFLSA-N 0 0 433.504 -0.046 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN([C@@H]2CN(C(=O)OCc3ccccc3)C[C@H]2O)CC1 ZINC001459468053 1074502200 /nfs/dbraw/zinc/50/22/00/1074502200.db2.gz TVQFYVPZTFDHRK-QZTJIDSGSA-N 0 0 444.488 -0.339 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN([C@H]2CN(C(=O)OCc3ccccc3)C[C@H]2O)CC1 ZINC001459468056 1074502336 /nfs/dbraw/zinc/50/23/36/1074502336.db2.gz TVQFYVPZTFDHRK-ZWKOTPCHSA-N 0 0 444.488 -0.339 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)N(CC(=O)N(C)C)CC(F)(F)F ZINC001459524817 1074502291 /nfs/dbraw/zinc/50/22/91/1074502291.db2.gz FVQACLDTKCEVMX-UHFFFAOYSA-N 0 0 426.436 -0.727 20 0 IBADRN CCOC(=O)[C@@](C)(NC(=O)C1(OC)CS(=O)(=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001459582566 1074502302 /nfs/dbraw/zinc/50/23/02/1074502302.db2.gz JRJGOXCHAXULPB-INIZCTEOSA-N 0 0 433.504 -0.202 20 0 IBADRN CCOC(=O)[C@](C)(NC(=O)C1(OC)CS(=O)(=O)C1)c1ccc(S(C)(=O)=O)cc1 ZINC001459582568 1074502310 /nfs/dbraw/zinc/50/23/10/1074502310.db2.gz JRJGOXCHAXULPB-MRXNPFEDSA-N 0 0 433.504 -0.202 20 0 IBADRN COC(=O)CCN1CCC[C@H](N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1=O ZINC001459662410 1074502230 /nfs/dbraw/zinc/50/22/30/1074502230.db2.gz GIZOJFAQZRCHKY-HNNXBMFYSA-N 0 0 427.527 -0.411 20 0 IBADRN COC(=O)CCN1CCC[C@@H](N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)C1=O ZINC001459662412 1074502331 /nfs/dbraw/zinc/50/23/31/1074502331.db2.gz GIZOJFAQZRCHKY-OAHLLOKOSA-N 0 0 427.527 -0.411 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@H]3CCN(CC(=O)OC(C)(C)C)C3=O)CC2)cn1C ZINC001459662413 1074502173 /nfs/dbraw/zinc/50/21/73/1074502173.db2.gz GLBPNSQJDKSHGI-HNNXBMFYSA-N 0 0 441.554 -0.023 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN([C@@H]3CCN(CC(=O)OC(C)(C)C)C3=O)CC2)cn1C ZINC001459662416 1074502284 /nfs/dbraw/zinc/50/22/84/1074502284.db2.gz GLBPNSQJDKSHGI-OAHLLOKOSA-N 0 0 441.554 -0.023 20 0 IBADRN O=C(CN1CCN([C@H]2CCN(C3CCCCC3)C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001459702491 1074502344 /nfs/dbraw/zinc/50/23/44/1074502344.db2.gz PHCZCRXVAFFZOY-AEFFLSMTSA-N 0 0 426.583 -0.159 20 0 IBADRN O=C(CN1CCN([C@@H]2CCN(C3CCCCC3)C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001459702495 1074502235 /nfs/dbraw/zinc/50/22/35/1074502235.db2.gz PHCZCRXVAFFZOY-FUHWJXTLSA-N 0 0 426.583 -0.159 20 0 IBADRN O=C(CN1CCN([C@@H]2CCN(C3CCCCC3)C2=O)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001459702497 1074502325 /nfs/dbraw/zinc/50/23/25/1074502325.db2.gz PHCZCRXVAFFZOY-SJLPKXTDSA-N 0 0 426.583 -0.159 20 0 IBADRN O=C(CN1CCN([C@H]2CCN(C3CCCCC3)C2=O)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001459702500 1074502697 /nfs/dbraw/zinc/50/26/97/1074502697.db2.gz PHCZCRXVAFFZOY-WMZOPIPTSA-N 0 0 426.583 -0.159 20 0 IBADRN C[C@@H]1COCCN1CCN1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001459743260 1074502853 /nfs/dbraw/zinc/50/28/53/1074502853.db2.gz SFKQWVGUJMMXDQ-GOSISDBHSA-N 0 0 439.582 -0.055 20 0 IBADRN C[C@H]1COCCN1CCN1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001459743265 1074502796 /nfs/dbraw/zinc/50/27/96/1074502796.db2.gz SFKQWVGUJMMXDQ-SFHVURJKSA-N 0 0 439.582 -0.055 20 0 IBADRN CCCn1nccc1NC(=O)CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001459745556 1074502741 /nfs/dbraw/zinc/50/27/41/1074502741.db2.gz AGQRKSUTEZRLDQ-AWEZNQCLSA-N 0 0 433.556 -0.634 20 0 IBADRN CCCn1nccc1NC(=O)CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001459745563 1074502883 /nfs/dbraw/zinc/50/28/83/1074502883.db2.gz AGQRKSUTEZRLDQ-CQSZACIVSA-N 0 0 433.556 -0.634 20 0 IBADRN O=S(=O)(CCN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1CCCCC1 ZINC001459746426 1074502702 /nfs/dbraw/zinc/50/27/02/1074502702.db2.gz CXVQTLJZSUCSSG-INIZCTEOSA-N 0 0 442.625 -0.132 20 0 IBADRN O=S(=O)(CCN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1CCCCC1 ZINC001459746429 1074502780 /nfs/dbraw/zinc/50/27/80/1074502780.db2.gz CXVQTLJZSUCSSG-MRXNPFEDSA-N 0 0 442.625 -0.132 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(Cl)nc1 ZINC001459746456 1074502725 /nfs/dbraw/zinc/50/27/25/1074502725.db2.gz DKJJCTIZFTYHHN-CYBMUJFWSA-N 0 0 436.943 -0.192 20 0 IBADRN O=C(CN1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)Nc1ccc(Cl)nc1 ZINC001459746460 1074502731 /nfs/dbraw/zinc/50/27/31/1074502731.db2.gz DKJJCTIZFTYHHN-ZDUSSCGKSA-N 0 0 436.943 -0.192 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC001459759291 1074503257 /nfs/dbraw/zinc/50/32/57/1074503257.db2.gz DHYHNFUVCRKHEO-GJZGRUSLSA-N 0 0 425.573 -0.443 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)[C@H]2CCCN(S(C)(=O)=O)C2)C1 ZINC001459759292 1074503313 /nfs/dbraw/zinc/50/33/13/1074503313.db2.gz DHYHNFUVCRKHEO-LSDHHAIUSA-N 0 0 425.573 -0.443 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)CN2CCCC3(CC3)S2(=O)=O)C1 ZINC001459763036 1074503224 /nfs/dbraw/zinc/50/32/24/1074503224.db2.gz XJMAVMUIXMIPNU-HNNXBMFYSA-N 0 0 437.584 -0.156 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)CN2CCCC3(CC3)S2(=O)=O)C1 ZINC001459763037 1074503246 /nfs/dbraw/zinc/50/32/46/1074503246.db2.gz XJMAVMUIXMIPNU-OAHLLOKOSA-N 0 0 437.584 -0.156 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NCC2(S(C)(=O)=O)CC2)C1 ZINC001459783968 1074503354 /nfs/dbraw/zinc/50/33/54/1074503354.db2.gz ZLUOHBHXTCLPNH-SJKOYZFVSA-N 0 0 436.552 -0.039 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)[C@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001459853353 1074503376 /nfs/dbraw/zinc/50/33/76/1074503376.db2.gz UNPBJLJYLBMHKH-INIZCTEOSA-N 0 0 425.573 -0.299 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)[C@@]2(OC)CCS(=O)(=O)C2)CC1 ZINC001459853355 1074503270 /nfs/dbraw/zinc/50/32/70/1074503270.db2.gz UNPBJLJYLBMHKH-MRXNPFEDSA-N 0 0 425.573 -0.299 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)[C@H]1c1ccnn1C ZINC001459853927 1074503207 /nfs/dbraw/zinc/50/32/07/1074503207.db2.gz CLNVUZLAUVOKCN-MOPGFXCFSA-N 0 0 442.476 -0.096 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(CC4(O)CCS(=O)(=O)CC4)CC3)C2=O)n(C)n1 ZINC001459937825 1074503342 /nfs/dbraw/zinc/50/33/42/1074503342.db2.gz UFELWDZVNURPRV-KRWDZBQOSA-N 0 0 439.582 -0.219 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(CC4(O)CCS(=O)(=O)CC4)CC3)C2=O)n(C)n1 ZINC001459937832 1074503236 /nfs/dbraw/zinc/50/32/36/1074503236.db2.gz UFELWDZVNURPRV-QGZVFWFLSA-N 0 0 439.582 -0.219 20 0 IBADRN COCCNC(=O)[C@@H](C)OC(=O)c1ccc(NC(=O)C2(OC)CS(=O)(=O)C2)cc1 ZINC001459941693 1074503348 /nfs/dbraw/zinc/50/33/48/1074503348.db2.gz MKAJWQFYDGQFMW-GFCCVEGCSA-N 0 0 428.463 -0.253 20 0 IBADRN COCCNC(=O)[C@H](C)OC(=O)c1ccc(NC(=O)C2(OC)CS(=O)(=O)C2)cc1 ZINC001459941727 1074503335 /nfs/dbraw/zinc/50/33/35/1074503335.db2.gz MKAJWQFYDGQFMW-LBPRGKRZSA-N 0 0 428.463 -0.253 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1c(I)cnn1C)C2 ZINC001459951057 1074503279 /nfs/dbraw/zinc/50/32/79/1074503279.db2.gz RSBHNUNEIFYDFR-UHFFFAOYSA-N 0 0 445.265 -0.513 20 0 IBADRN CC(C)(C)OC(=O)NC[C@H]1CN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CCO1 ZINC001459961189 1074503320 /nfs/dbraw/zinc/50/33/20/1074503320.db2.gz BUQFBXHPTBTLAB-HNNXBMFYSA-N 0 0 434.559 -0.248 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1CN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CCO1 ZINC001459961196 1074503303 /nfs/dbraw/zinc/50/33/03/1074503303.db2.gz BUQFBXHPTBTLAB-OAHLLOKOSA-N 0 0 434.559 -0.248 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)c1cn(C[C@H]3CNC(=O)O3)nn1)C2 ZINC001459999754 1074503327 /nfs/dbraw/zinc/50/33/27/1074503327.db2.gz XXILLVSFXFHRHU-CYBMUJFWSA-N 0 0 434.478 -0.165 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)c1cn(C[C@@H]3CNC(=O)O3)nn1)C2 ZINC001459999789 1074503842 /nfs/dbraw/zinc/50/38/42/1074503842.db2.gz XXILLVSFXFHRHU-ZDUSSCGKSA-N 0 0 434.478 -0.165 20 0 IBADRN NC(=O)c1ncn(CC(=O)NCC(=O)Nc2ccc(I)cc2)n1 ZINC001460011374 1074503701 /nfs/dbraw/zinc/50/37/01/1074503701.db2.gz MEUXABGAGMWUEC-UHFFFAOYSA-N 0 0 428.190 -0.264 20 0 IBADRN O=C(OCc1ccccc1)N1CCN(S(=O)(=O)CCN2CCOC[C@H]2CO)CC1 ZINC001460045406 1074503756 /nfs/dbraw/zinc/50/37/56/1074503756.db2.gz DDWNCRCZMNHHOX-GOSISDBHSA-N 0 0 427.523 -0.036 20 0 IBADRN O=C(c1cn(C[C@H]2CNC(=O)O2)nn1)N1CCn2ncc(I)c21 ZINC001460064095 1074503698 /nfs/dbraw/zinc/50/36/98/1074503698.db2.gz YONJUQSJRJTEHJ-SSDOTTSWSA-N 0 0 429.178 -0.152 20 0 IBADRN O=C(c1cn(C[C@@H]2CNC(=O)O2)nn1)N1CCn2ncc(I)c21 ZINC001460064097 1074503745 /nfs/dbraw/zinc/50/37/45/1074503745.db2.gz YONJUQSJRJTEHJ-ZETCQYMHSA-N 0 0 429.178 -0.152 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@H]3C1)c(=O)n2C ZINC001460079907 1074503821 /nfs/dbraw/zinc/50/38/21/1074503821.db2.gz YCSFIGCZCKDCMZ-GHMZBOCLSA-N 0 0 442.398 -0.697 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C1)c(=O)n2C ZINC001460079911 1074503845 /nfs/dbraw/zinc/50/38/45/1074503845.db2.gz YCSFIGCZCKDCMZ-MNOVXSKESA-N 0 0 442.398 -0.697 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC[C@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C1)c(=O)n2C ZINC001460079915 1074503787 /nfs/dbraw/zinc/50/37/87/1074503787.db2.gz YCSFIGCZCKDCMZ-QWRGUYRKSA-N 0 0 442.398 -0.697 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)N1CC[C@H]3CN(C(=O)C(F)(F)F)C[C@H]3C1)c(=O)n2C ZINC001460079922 1074503692 /nfs/dbraw/zinc/50/36/92/1074503692.db2.gz YCSFIGCZCKDCMZ-WDEREUQCSA-N 0 0 442.398 -0.697 20 0 IBADRN CON1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)CC1 ZINC001460094790 1074503792 /nfs/dbraw/zinc/50/37/92/1074503792.db2.gz LGTLOWWYDZUBOM-UHFFFAOYSA-N 0 0 440.522 -0.530 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCN(S(=O)(=O)NC(C)C)CC2)c1 ZINC001460095097 1074503765 /nfs/dbraw/zinc/50/37/65/1074503765.db2.gz AMGJUPNXXDNOGW-UHFFFAOYSA-N 0 0 441.554 -0.048 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC3(C(=O)OC)CCOCC3)cc2S1(=O)=O ZINC001460096122 1074503704 /nfs/dbraw/zinc/50/37/04/1074503704.db2.gz VJHBMKBPCUPDSA-UHFFFAOYSA-N 0 0 426.447 -0.071 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001460096986 1074503719 /nfs/dbraw/zinc/50/37/19/1074503719.db2.gz GQFCGRJVTSTALM-GMTAPVOTSA-N 0 0 431.415 -0.420 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001460096988 1074503770 /nfs/dbraw/zinc/50/37/70/1074503770.db2.gz GQFCGRJVTSTALM-MXWKQRLJSA-N 0 0 431.415 -0.420 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001460096990 1074503802 /nfs/dbraw/zinc/50/38/02/1074503802.db2.gz GQFCGRJVTSTALM-OUAUKWLOSA-N 0 0 431.415 -0.420 20 0 IBADRN C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001460096992 1074503708 /nfs/dbraw/zinc/50/37/08/1074503708.db2.gz GQFCGRJVTSTALM-VWYCJHECSA-N 0 0 431.415 -0.420 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC001460097088 1074503749 /nfs/dbraw/zinc/50/37/49/1074503749.db2.gz FYEDFDLPMUCCPP-UHFFFAOYSA-N 0 0 445.567 -0.917 20 0 IBADRN NC(=O)C1(O)CCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCCCC2)CC1 ZINC001460099560 1074503826 /nfs/dbraw/zinc/50/38/26/1074503826.db2.gz LDFMWXOBOLGOGF-UHFFFAOYSA-N 0 0 438.550 -0.210 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)NC(=O)Nc2ccc3c(c2)OCCO3)CC1 ZINC001460101934 1074504172 /nfs/dbraw/zinc/50/41/72/1074504172.db2.gz UCRLCAJLTBUPPD-UHFFFAOYSA-N 0 0 441.510 -0.034 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C[C@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC001460103973 1074504198 /nfs/dbraw/zinc/50/41/98/1074504198.db2.gz YGTZIIVDDGCYDT-INIZCTEOSA-N 0 0 425.511 -0.275 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C[C@@H](O)Cn2c(=O)onc2-c2ccccc2)CC1 ZINC001460103977 1074504251 /nfs/dbraw/zinc/50/42/51/1074504251.db2.gz YGTZIIVDDGCYDT-MRXNPFEDSA-N 0 0 425.511 -0.275 20 0 IBADRN CN(C)C(=O)c1ccc(NC(=O)CN2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cc1 ZINC001460104861 1074504182 /nfs/dbraw/zinc/50/41/82/1074504182.db2.gz HTVAFDFCAWLMEV-UHFFFAOYSA-N 0 0 445.567 -0.083 20 0 IBADRN COC(=O)C1(NCC(=O)Nc2cccc(S(=O)(=O)N3CCOCC3)c2)CCOCC1 ZINC001460105420 1074504277 /nfs/dbraw/zinc/50/42/77/1074504277.db2.gz CAPFYGMEGNDAOX-UHFFFAOYSA-N 0 0 441.506 -0.042 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(CC(=O)NCCN2CCc3ccccc32)CC1 ZINC001460106814 1074504099 /nfs/dbraw/zinc/50/40/99/1074504099.db2.gz QNKLUHPUMJDQFL-UHFFFAOYSA-N 0 0 443.595 -0.245 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001460112080 1074504267 /nfs/dbraw/zinc/50/42/67/1074504267.db2.gz FBECBAZOZGGVSK-HHHXNRCGSA-N 0 0 437.584 -0.697 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CC1 ZINC001460112094 1074504112 /nfs/dbraw/zinc/50/41/12/1074504112.db2.gz FBECBAZOZGGVSK-MHZLTWQESA-N 0 0 437.584 -0.697 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001460120644 1074504312 /nfs/dbraw/zinc/50/43/12/1074504312.db2.gz OGRGQAKNYVDUON-CXAGYDPISA-N 0 0 431.536 -0.421 20 0 IBADRN O=C(C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@]2(CCS(=O)(=O)C2)C1 ZINC001460120730 1074504322 /nfs/dbraw/zinc/50/43/22/1074504322.db2.gz OGRGQAKNYVDUON-DYVFJYSZSA-N 0 0 431.536 -0.421 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@]2(CCS(=O)(=O)C2)C1 ZINC001460120733 1074504212 /nfs/dbraw/zinc/50/42/12/1074504212.db2.gz OGRGQAKNYVDUON-GUYCJALGSA-N 0 0 431.536 -0.421 20 0 IBADRN O=C(C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)N1CCC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001460120736 1074504190 /nfs/dbraw/zinc/50/41/90/1074504190.db2.gz OGRGQAKNYVDUON-SUMWQHHRSA-N 0 0 431.536 -0.421 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N[C@@H](C)C3)CC2)cc1OC ZINC001460141414 1074504139 /nfs/dbraw/zinc/50/41/39/1074504139.db2.gz NGZWLJZCPLMJJW-AWEZNQCLSA-N 0 0 440.522 -0.643 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CC(=O)N[C@H](C)C3)CC2)cc1OC ZINC001460141417 1074504288 /nfs/dbraw/zinc/50/42/88/1074504288.db2.gz NGZWLJZCPLMJJW-CQSZACIVSA-N 0 0 440.522 -0.643 20 0 IBADRN C[C@H](C(=O)N1CCN(c2ccccn2)CC1)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001460152362 1074504738 /nfs/dbraw/zinc/50/47/38/1074504738.db2.gz RTBHXRBVULXOPR-OAHLLOKOSA-N 0 0 429.568 -0.138 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@H]1CCC(=O)N(C)C1 ZINC001460159573 1074504695 /nfs/dbraw/zinc/50/46/95/1074504695.db2.gz SZJUAVRGZIOGRJ-KRWDZBQOSA-N 0 0 438.550 -0.124 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)[C@@H]1CCC(=O)N(C)C1 ZINC001460159586 1074504647 /nfs/dbraw/zinc/50/46/47/1074504647.db2.gz SZJUAVRGZIOGRJ-QGZVFWFLSA-N 0 0 438.550 -0.124 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)CC(=O)N1CCN(c2cnccn2)CC1 ZINC001460168231 1074504783 /nfs/dbraw/zinc/50/47/83/1074504783.db2.gz HAKGJOFBULOZFI-UHFFFAOYSA-N 0 0 447.565 -0.159 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1)CC(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC001460175054 1074504831 /nfs/dbraw/zinc/50/48/31/1074504831.db2.gz ZILZORHOASKSMN-UHFFFAOYSA-N 0 0 448.567 -0.815 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)N2CCC(C(=O)N3CCC[C@H](C)C3)CC2)CC1 ZINC001460179811 1074504860 /nfs/dbraw/zinc/50/48/60/1074504860.db2.gz ZFRRVWLYNORISV-INIZCTEOSA-N 0 0 429.587 -0.435 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)N2CCC(C(=O)N3CCC[C@@H](C)C3)CC2)CC1 ZINC001460179817 1074504688 /nfs/dbraw/zinc/50/46/88/1074504688.db2.gz ZFRRVWLYNORISV-MRXNPFEDSA-N 0 0 429.587 -0.435 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCc1nn(C)cc1I ZINC001460187466 1074504713 /nfs/dbraw/zinc/50/47/13/1074504713.db2.gz ADMWWELVJZOLMB-GFCCVEGCSA-N 0 0 433.250 -0.232 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1nn(C)cc1I ZINC001460187467 1074504667 /nfs/dbraw/zinc/50/46/67/1074504667.db2.gz ADMWWELVJZOLMB-LBPRGKRZSA-N 0 0 433.250 -0.232 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)CN1C(=O)N[C@](C)(c2ccccc2OC)C1=O ZINC001460191390 1074504814 /nfs/dbraw/zinc/50/48/14/1074504814.db2.gz BEABDLLGCNUOTK-KPZWWZAWSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)CN1C(=O)N[C@](C)(c2ccccc2OC)C1=O ZINC001460191396 1074504872 /nfs/dbraw/zinc/50/48/72/1074504872.db2.gz BEABDLLGCNUOTK-KZULUSFZSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)CN1C(=O)N[C@@](C)(c2ccccc2OC)C1=O ZINC001460191409 1074504868 /nfs/dbraw/zinc/50/48/68/1074504868.db2.gz BEABDLLGCNUOTK-SGTLLEGYSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)CN1C(=O)N[C@@](C)(c2ccccc2OC)C1=O ZINC001460191421 1074504677 /nfs/dbraw/zinc/50/46/77/1074504677.db2.gz BEABDLLGCNUOTK-XIKOKIGWSA-N 0 0 426.495 -0.094 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001460192102 1074504856 /nfs/dbraw/zinc/50/48/56/1074504856.db2.gz CXHXSUQHEKBJAC-CYBMUJFWSA-N 0 0 437.515 -0.096 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001460192105 1074504822 /nfs/dbraw/zinc/50/48/22/1074504822.db2.gz CXHXSUQHEKBJAC-ZDUSSCGKSA-N 0 0 437.515 -0.096 20 0 IBADRN O=C(CN1CC[C@H]2OCC(=O)N[C@@H]2C1)NCCN1C(=O)S/C(=C\c2cccnc2)C1=O ZINC001460209581 1074504718 /nfs/dbraw/zinc/50/47/18/1074504718.db2.gz UDISQCUNVXVDOJ-JVPZLNSYSA-N 0 0 445.501 -0.177 20 0 IBADRN O=C(CN1CC[C@H]2OCC(=O)N[C@@H]2C1)NCCN1C(=O)S/C(=C/c2cccnc2)C1=O ZINC001460209583 1074504763 /nfs/dbraw/zinc/50/47/63/1074504763.db2.gz UDISQCUNVXVDOJ-SDGZPOHHSA-N 0 0 445.501 -0.177 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3NC(=O)C[C@H]3C)CC2)c1 ZINC001460218939 1074505454 /nfs/dbraw/zinc/50/54/54/1074505454.db2.gz UTLKMBQKQSQEEV-CYBMUJFWSA-N 0 0 426.495 -0.338 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3NC(=O)C[C@@H]3C)CC2)c1 ZINC001460218940 1074505416 /nfs/dbraw/zinc/50/54/16/1074505416.db2.gz UTLKMBQKQSQEEV-ZDUSSCGKSA-N 0 0 426.495 -0.338 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)[C@@H](CO)C3)cc2)C[C@@H](C)O1 ZINC001460219932 1074505214 /nfs/dbraw/zinc/50/52/14/1074505214.db2.gz DTJKAYOUMBMWAG-ADAWSYLGSA-N 0 0 427.523 -0.292 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)[C@H](CO)C3)cc2)C[C@@H](C)O1 ZINC001460219948 1074505191 /nfs/dbraw/zinc/50/51/91/1074505191.db2.gz DTJKAYOUMBMWAG-BSXFFOKHSA-N 0 0 427.523 -0.292 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)[C@@H](CO)C3)cc2)C[C@H](C)O1 ZINC001460219950 1074505242 /nfs/dbraw/zinc/50/52/42/1074505242.db2.gz DTJKAYOUMBMWAG-FSZRXZPDSA-N 0 0 427.523 -0.292 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NC(=O)CN3C[C@H](O)[C@H](CO)C3)cc2)C[C@H](C)O1 ZINC001460219952 1074505282 /nfs/dbraw/zinc/50/52/82/1074505282.db2.gz DTJKAYOUMBMWAG-KRXQYRFLSA-N 0 0 427.523 -0.292 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2C[C@H](O)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460221690 1074505297 /nfs/dbraw/zinc/50/52/97/1074505297.db2.gz SKFHGIZMSSISLM-UXLLHSPISA-N 0 0 427.523 -0.372 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2C[C@@H](O)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460221691 1074505344 /nfs/dbraw/zinc/50/53/44/1074505344.db2.gz SKFHGIZMSSISLM-YQQAZPJKSA-N 0 0 427.523 -0.372 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2C[C@H](CO)[C@H](O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460221692 1074505361 /nfs/dbraw/zinc/50/53/61/1074505361.db2.gz SKFHGIZMSSISLM-ZMSDIMECSA-N 0 0 427.523 -0.372 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2C[C@H](O)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460221693 1074505170 /nfs/dbraw/zinc/50/51/70/1074505170.db2.gz SKFHGIZMSSISLM-ZOBUZTSGSA-N 0 0 427.523 -0.372 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2c3ccccc3NC(=O)C[C@@H]2C(F)(F)F)CC1=O ZINC001460222082 1074505446 /nfs/dbraw/zinc/50/54/46/1074505446.db2.gz CHWAWXAAPLWWPL-CYBMUJFWSA-N 0 0 427.383 -0.078 20 0 IBADRN NC(=O)CN1CCN(CC(=O)N2c3ccccc3NC(=O)C[C@H]2C(F)(F)F)CC1=O ZINC001460222086 1074505159 /nfs/dbraw/zinc/50/51/59/1074505159.db2.gz CHWAWXAAPLWWPL-ZDUSSCGKSA-N 0 0 427.383 -0.078 20 0 IBADRN NC(=O)CN1CCN(CCC(=O)Nc2ccc(S(=O)(=O)N3CCCC3)cc2)CC1=O ZINC001460224627 1074505132 /nfs/dbraw/zinc/50/51/32/1074505132.db2.gz XAHDBPSLXKXVCC-UHFFFAOYSA-N 0 0 437.522 -0.571 20 0 IBADRN COCC(COC)N1CCN(CC(=O)N2CCc3cc(S(N)(=O)=O)ccc32)CC1 ZINC001460237068 1074505780 /nfs/dbraw/zinc/50/57/80/1074505780.db2.gz HSNOIKNASAWXDJ-UHFFFAOYSA-N 0 0 426.539 -0.498 20 0 IBADRN COCC(COC)N1CCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)CC1 ZINC001460241013 1074505917 /nfs/dbraw/zinc/50/59/17/1074505917.db2.gz ZQUXVFZYKVPEQA-UHFFFAOYSA-N 0 0 425.530 -0.203 20 0 IBADRN NC(=O)C[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001460252857 1074505832 /nfs/dbraw/zinc/50/58/32/1074505832.db2.gz NYFQUWUGSCGPCY-HNNXBMFYSA-N 0 0 444.941 -0.251 20 0 IBADRN NC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001460252914 1074505822 /nfs/dbraw/zinc/50/58/22/1074505822.db2.gz NYFQUWUGSCGPCY-OAHLLOKOSA-N 0 0 444.941 -0.251 20 0 IBADRN CC1(C)CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@@H](CO)O1 ZINC001460264620 1074505874 /nfs/dbraw/zinc/50/58/74/1074505874.db2.gz FEYOYYOSTAQBGB-KRWDZBQOSA-N 0 0 441.550 -0.205 20 0 IBADRN CC1(C)CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](CO)O1 ZINC001460264623 1074505957 /nfs/dbraw/zinc/50/59/57/1074505957.db2.gz FEYOYYOSTAQBGB-QGZVFWFLSA-N 0 0 441.550 -0.205 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC001460271523 1074505840 /nfs/dbraw/zinc/50/58/40/1074505840.db2.gz TXXIOEDAANBAPM-HNNXBMFYSA-N 0 0 426.495 -0.371 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1 ZINC001460271525 1074505887 /nfs/dbraw/zinc/50/58/87/1074505887.db2.gz TXXIOEDAANBAPM-OAHLLOKOSA-N 0 0 426.495 -0.371 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CC(=O)N1CCN(C(=O)C2CC2)CC1)C[C@H](O)CO ZINC001460274014 1074505800 /nfs/dbraw/zinc/50/58/00/1074505800.db2.gz DCAGPMHCZGWQOU-INIZCTEOSA-N 0 0 428.530 -0.753 20 0 IBADRN CC(C)(C)OC(=O)NCCN(CC(=O)N1CCN(C(=O)C2CC2)CC1)C[C@@H](O)CO ZINC001460274021 1074505851 /nfs/dbraw/zinc/50/58/51/1074505851.db2.gz DCAGPMHCZGWQOU-MRXNPFEDSA-N 0 0 428.530 -0.753 20 0 IBADRN NS(=O)(=O)c1ccc(NC(=O)CN2CCC(NC(=O)N3CCOCC3)CC2)cc1 ZINC001460279820 1074506005 /nfs/dbraw/zinc/50/60/05/1074506005.db2.gz RRZUYSITGDOOKD-UHFFFAOYSA-N 0 0 425.511 -0.221 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCO[C@@]3(CCS(=O)(=O)C3)C2)c1 ZINC001460296101 1074505883 /nfs/dbraw/zinc/50/58/83/1074505883.db2.gz FKFGVUOIZNIKHW-HNNXBMFYSA-N 0 0 437.927 -0.185 20 0 IBADRN NS(=O)(=O)c1ccc(Cl)c(NC(=O)CN2CCO[C@]3(CCS(=O)(=O)C3)C2)c1 ZINC001460296105 1074505770 /nfs/dbraw/zinc/50/57/70/1074505770.db2.gz FKFGVUOIZNIKHW-OAHLLOKOSA-N 0 0 437.927 -0.185 20 0 IBADRN O=C(CCN1CCO[C@@]2(CCS(=O)(=O)C2)C1)NN1C(=O)NC2(CCCCC2)C1=O ZINC001460296281 1074505709 /nfs/dbraw/zinc/50/57/09/1074505709.db2.gz GBZMABZXSRBCRA-KRWDZBQOSA-N 0 0 428.511 -0.448 20 0 IBADRN O=C(CCN1CCO[C@]2(CCS(=O)(=O)C2)C1)NN1C(=O)NC2(CCCCC2)C1=O ZINC001460296286 1074506259 /nfs/dbraw/zinc/50/62/59/1074506259.db2.gz GBZMABZXSRBCRA-QGZVFWFLSA-N 0 0 428.511 -0.448 20 0 IBADRN O=C(CN1C[C@@H]2C[C@H]1C[S@@]2=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001460326828 1074506433 /nfs/dbraw/zinc/50/64/33/1074506433.db2.gz AAVBAAKKWVXKTN-MPEQGZPJSA-N 0 0 427.548 -0.471 20 0 IBADRN O=C(CN1C[C@@H]2C[C@H]1C[S@]2=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001460326841 1074506342 /nfs/dbraw/zinc/50/63/42/1074506342.db2.gz AAVBAAKKWVXKTN-IHULAWBXSA-N 0 0 427.548 -0.471 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN(c3nc[nH]n3)CC2)C1=O ZINC001460328972 1074506351 /nfs/dbraw/zinc/50/63/51/1074506351.db2.gz WOVGHWBRFKBUBE-FQEVSTJZSA-N 0 0 426.481 -0.099 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCN(c3nc[nH]n3)CC2)C1=O ZINC001460328974 1074506404 /nfs/dbraw/zinc/50/64/04/1074506404.db2.gz WOVGHWBRFKBUBE-HXUWFJFHSA-N 0 0 426.481 -0.099 20 0 IBADRN C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)N1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001460333558 1074506449 /nfs/dbraw/zinc/50/64/49/1074506449.db2.gz PTAVABWAMKLFDZ-ARFHVFGLSA-N 0 0 438.550 -0.079 20 0 IBADRN C[C@@H]1C(=O)N[C@@H](C)[C@H](C)N1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001460333563 1074506411 /nfs/dbraw/zinc/50/64/11/1074506411.db2.gz PTAVABWAMKLFDZ-HRCADAONSA-N 0 0 438.550 -0.079 20 0 IBADRN C[C@@H]1NC(=O)[C@H](C)N(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)[C@H]1C ZINC001460333566 1074506291 /nfs/dbraw/zinc/50/62/91/1074506291.db2.gz PTAVABWAMKLFDZ-JYJNAYRXSA-N 0 0 438.550 -0.079 20 0 IBADRN C[C@@H]1[C@H](C)NC(=O)[C@H](C)N1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001460333569 1074506457 /nfs/dbraw/zinc/50/64/57/1074506457.db2.gz PTAVABWAMKLFDZ-XHSDSOJGSA-N 0 0 438.550 -0.079 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)C[C@H]1COCCO1 ZINC001460366645 1074506320 /nfs/dbraw/zinc/50/63/20/1074506320.db2.gz RKPWUSFCLISADD-KRWDZBQOSA-N 0 0 427.523 -0.329 20 0 IBADRN CN(CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1)C[C@@H]1COCCO1 ZINC001460366683 1074506359 /nfs/dbraw/zinc/50/63/59/1074506359.db2.gz RKPWUSFCLISADD-QGZVFWFLSA-N 0 0 427.523 -0.329 20 0 IBADRN NC(=O)[C@@H]1C[C@@H](O)CN1CC(=O)N1CCc2cc(S(=O)(=O)N3CCCCC3)ccc21 ZINC001460416350 1074507072 /nfs/dbraw/zinc/50/70/72/1074507072.db2.gz FQHHLEHAVTVUAB-QAPCUYQASA-N 0 0 436.534 -0.329 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(S(C)(=O)=O)[C@H](C)C2)cc1S(=O)(=O)N(C)C ZINC001460420110 1074506850 /nfs/dbraw/zinc/50/68/50/1074506850.db2.gz YTKRZUJIKFURNI-CYBMUJFWSA-N 0 0 448.567 -0.150 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)C[C@@H](C)O1 ZINC001460424812 1074507093 /nfs/dbraw/zinc/50/70/93/1074507093.db2.gz SZVAXLMLUMPBNR-ZBFHGGJFSA-N 0 0 443.497 -0.079 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CCC(CO)(CO)C3)CC2)c1 ZINC001460426043 1074506897 /nfs/dbraw/zinc/50/68/97/1074506897.db2.gz AVABZFFVWIDXDO-UHFFFAOYSA-N 0 0 439.534 -0.601 20 0 IBADRN O=C(CN1CCC(CO)(CO)C1)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001460426135 1074507098 /nfs/dbraw/zinc/50/70/98/1074507098.db2.gz CFANSTSREGCMNL-UHFFFAOYSA-N 0 0 427.523 -1.000 20 0 IBADRN O=C(CN1CCC(CO)(CO)C1)N1CCN(S(=O)(=O)c2ccc(F)c(Cl)c2)CC1 ZINC001460433117 1074506863 /nfs/dbraw/zinc/50/68/63/1074506863.db2.gz MMZPPALVGJOBLH-UHFFFAOYSA-N 0 0 449.932 -0.011 20 0 IBADRN COC(=O)[C@H]1Cc2c(Br)cccc2CN1C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001460433887 1074507048 /nfs/dbraw/zinc/50/70/48/1074507048.db2.gz AOKHPHGKIGWHMJ-QWHCGFSZSA-N 0 0 445.291 -0.211 20 0 IBADRN COC(=O)[C@@H]1Cc2c(Br)cccc2CN1C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001460433911 1074506987 /nfs/dbraw/zinc/50/69/87/1074506987.db2.gz AOKHPHGKIGWHMJ-STQMWFEESA-N 0 0 445.291 -0.211 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2c3ccccc3NC(=O)C[C@H]2C(F)(F)F)C[C@H]1C(N)=O ZINC001460435391 1074507054 /nfs/dbraw/zinc/50/70/54/1074507054.db2.gz QQJNBWWRABYUOL-BREBYQMCSA-N 0 0 427.383 -0.189 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2c3ccccc3NC(=O)C[C@H]2C(F)(F)F)C[C@H]1C(N)=O ZINC001460435392 1074507116 /nfs/dbraw/zinc/50/71/16/1074507116.db2.gz QQJNBWWRABYUOL-CWSCBRNRSA-N 0 0 427.383 -0.189 20 0 IBADRN NC(=O)[C@H]1CN(CC(=O)N2c3ccccc3NC(=O)C[C@@H]2C(F)(F)F)C[C@H]1C(N)=O ZINC001460435393 1074507144 /nfs/dbraw/zinc/50/71/44/1074507144.db2.gz QQJNBWWRABYUOL-GBIKHYSHSA-N 0 0 427.383 -0.189 20 0 IBADRN NC(=O)[C@@H]1CN(CC(=O)N2c3ccccc3NC(=O)C[C@@H]2C(F)(F)F)C[C@H]1C(N)=O ZINC001460435394 1074506967 /nfs/dbraw/zinc/50/69/67/1074506967.db2.gz QQJNBWWRABYUOL-GIPNMCIBSA-N 0 0 427.383 -0.189 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN([C@@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)C[C@H]21 ZINC001460448691 1074506994 /nfs/dbraw/zinc/50/69/94/1074506994.db2.gz JZSDFRKIYFUUOD-SJWGOKEGSA-N 0 0 445.563 -0.875 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN([C@H](C)C(=O)NCCc3ccc(S(N)(=O)=O)cc3)C[C@H]21 ZINC001460448701 1074507066 /nfs/dbraw/zinc/50/70/66/1074507066.db2.gz JZSDFRKIYFUUOD-ZFVVBOAOSA-N 0 0 445.563 -0.875 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(CC(=O)NCC(=O)N[C@@H](C)c3ccc(F)cc3)C[C@H]21 ZINC001460451036 1074507085 /nfs/dbraw/zinc/50/70/85/1074507085.db2.gz NVQYXFZGUXWHKX-SJWGOKEGSA-N 0 0 427.498 -0.137 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(CC(=O)NCC(=O)N[C@H](C)c3ccc(F)cc3)C[C@H]21 ZINC001460451123 1074507039 /nfs/dbraw/zinc/50/70/39/1074507039.db2.gz NVQYXFZGUXWHKX-ZFVVBOAOSA-N 0 0 427.498 -0.137 20 0 IBADRN CC1CCC2(CC1)NC(=O)N(NC(=O)CN1CCN(S(=O)(=O)C3CC3)CC1)C2=O ZINC001460451757 1074507556 /nfs/dbraw/zinc/50/75/56/1074507556.db2.gz QOCJHTZCSYGDCM-UHFFFAOYSA-N 0 0 427.527 -0.372 20 0 IBADRN CNC(=O)NC[C@H]1CN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC001460451927 1074507498 /nfs/dbraw/zinc/50/74/98/1074507498.db2.gz QYNQUPBDKXKBED-AWEZNQCLSA-N 0 0 443.526 -0.486 20 0 IBADRN CNC(=O)NC[C@@H]1CN(CC(=O)Nc2ccc(OC)c(S(=O)(=O)N(C)C)c2)CCO1 ZINC001460451933 1074507588 /nfs/dbraw/zinc/50/75/88/1074507588.db2.gz QYNQUPBDKXKBED-CQSZACIVSA-N 0 0 443.526 -0.486 20 0 IBADRN CNC(=O)NC[C@H]1CN(CC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)CCO1 ZINC001460457219 1074507467 /nfs/dbraw/zinc/50/74/67/1074507467.db2.gz PVFWQDGIFXBXJW-IBGZPJMESA-N 0 0 431.537 -0.105 20 0 IBADRN CNC(=O)NC[C@@H]1CN(CC(=O)N2CCN(Cc3ccc4c(c3)CCO4)CC2)CCO1 ZINC001460457226 1074507563 /nfs/dbraw/zinc/50/75/63/1074507563.db2.gz PVFWQDGIFXBXJW-LJQANCHMSA-N 0 0 431.537 -0.105 20 0 IBADRN COC(=O)N(C)CCN(C)CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001460460078 1074507426 /nfs/dbraw/zinc/50/74/26/1074507426.db2.gz KVXIMIRTNIIOFW-UHFFFAOYSA-N 0 0 442.538 -0.046 20 0 IBADRN CC(C)(C)OC(=O)NC[C@]1(CO)COCCN1CC(=O)N1CCC2(CC1)OCCO2 ZINC001460460295 1074507613 /nfs/dbraw/zinc/50/76/13/1074507613.db2.gz SEFXMCLKYVPEDG-IBGZPJMESA-N 0 0 429.514 -0.060 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@]1(CO)COCCN1CC(=O)N1CCC2(CC1)OCCO2 ZINC001460460308 1074507548 /nfs/dbraw/zinc/50/75/48/1074507548.db2.gz SEFXMCLKYVPEDG-LJQANCHMSA-N 0 0 429.514 -0.060 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(CC(=O)N(CC(=O)N(C)C)CC(F)(F)F)C1 ZINC001460460417 1074507455 /nfs/dbraw/zinc/50/74/55/1074507455.db2.gz SLYYFDMZRVDJIF-NEPJUHHUSA-N 0 0 425.404 -0.108 20 0 IBADRN COC(=O)[C@H]1CC[C@H](C(=O)OC)CN1CC(=O)N(CC(=O)N(C)C)CC(F)(F)F ZINC001460460420 1074507463 /nfs/dbraw/zinc/50/74/63/1074507463.db2.gz SLYYFDMZRVDJIF-NWDGAFQWSA-N 0 0 425.404 -0.108 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)OC)N(CC(=O)N(CC(=O)N(C)C)CC(F)(F)F)C1 ZINC001460460424 1074507415 /nfs/dbraw/zinc/50/74/15/1074507415.db2.gz SLYYFDMZRVDJIF-RYUDHWBXSA-N 0 0 425.404 -0.108 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(CC(=O)N(CC(=O)N(C)C)CC(F)(F)F)C1 ZINC001460460429 1074507503 /nfs/dbraw/zinc/50/75/03/1074507503.db2.gz SLYYFDMZRVDJIF-VXGBXAGGSA-N 0 0 425.404 -0.108 20 0 IBADRN COC(=O)[C@]1(N2CCN(CC(=O)NCc3ccc(S(C)(=O)=O)cc3)CC2)CCOC1 ZINC001460462370 1074507609 /nfs/dbraw/zinc/50/76/09/1074507609.db2.gz KOFVLDPPUNCOBC-FQEVSTJZSA-N 0 0 439.534 -0.344 20 0 IBADRN COC(=O)[C@@]1(N2CCN(CC(=O)NCc3ccc(S(C)(=O)=O)cc3)CC2)CCOC1 ZINC001460462377 1074507527 /nfs/dbraw/zinc/50/75/27/1074507527.db2.gz KOFVLDPPUNCOBC-HXUWFJFHSA-N 0 0 439.534 -0.344 20 0 IBADRN O=C(CNC(CO)(CO)C(F)(F)F)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001460466160 1074507447 /nfs/dbraw/zinc/50/74/47/1074507447.db2.gz JDTPSGHPFIKYLW-UHFFFAOYSA-N 0 0 443.419 -0.466 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCc3sc(S(N)(=O)=O)cc3C2)CC1 ZINC001460467904 1074507540 /nfs/dbraw/zinc/50/75/40/1074507540.db2.gz BIJHNIRVMNLAOW-UHFFFAOYSA-N 0 0 436.581 -0.706 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)C[C@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC001460475441 1074508100 /nfs/dbraw/zinc/50/81/00/1074508100.db2.gz UCLKOCLJJIYZTH-INIZCTEOSA-N 0 0 442.538 -0.737 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)C[C@@H]2CO)cc1S(=O)(=O)N1CCOCC1 ZINC001460475456 1074508000 /nfs/dbraw/zinc/50/80/00/1074508000.db2.gz UCLKOCLJJIYZTH-MRXNPFEDSA-N 0 0 442.538 -0.737 20 0 IBADRN Cn1c(=O)c2cc(C(=O)NCCn3cc(Br)ccc3=O)cnc2n(C)c1=O ZINC001460486761 1074507884 /nfs/dbraw/zinc/50/78/84/1074507884.db2.gz UUFRLYPCJFCXIS-UHFFFAOYSA-N 0 0 434.250 -0.014 20 0 IBADRN CN(CCCNC(=O)CN1CCN(c2ccc(F)cc2C(N)=O)CC1)S(C)(=O)=O ZINC001460507636 1074508083 /nfs/dbraw/zinc/50/80/83/1074508083.db2.gz PEYPWYIFHSJDLG-UHFFFAOYSA-N 0 0 429.518 -0.556 20 0 IBADRN COC(=O)CCN1CC[C@@H](N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)C1=O ZINC001460509632 1074507981 /nfs/dbraw/zinc/50/79/81/1074507981.db2.gz BUAUUJYWZOHZLS-GOSISDBHSA-N 0 0 438.550 -0.254 20 0 IBADRN COC(=O)CCN1CC[C@H](N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)C1=O ZINC001460509657 1074507823 /nfs/dbraw/zinc/50/78/23/1074507823.db2.gz BUAUUJYWZOHZLS-SFHVURJKSA-N 0 0 438.550 -0.254 20 0 IBADRN O=S(=O)(NCCN1CCN(CC2(O)CCS(=O)(=O)CC2)CC1)c1ccccc1 ZINC001460519068 1074507992 /nfs/dbraw/zinc/50/79/92/1074507992.db2.gz WJRMHSPHLSRHJF-UHFFFAOYSA-N 0 0 431.580 -0.478 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1CCC(=O)NCc1ccc(OC)cc1OC ZINC001460531770 1074507952 /nfs/dbraw/zinc/50/79/52/1074507952.db2.gz KIGNYRUIGWFAQR-HOCLYGCPSA-N 0 0 448.476 -0.122 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N(C)CC(=O)N1CCN(C)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001460547007 1074507911 /nfs/dbraw/zinc/50/79/11/1074507911.db2.gz WKCLZHVRCXYTPF-CABCVRRESA-N 0 0 425.555 -0.395 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N(C)CC(=O)N1CCN(C)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001460547010 1074507917 /nfs/dbraw/zinc/50/79/17/1074507917.db2.gz WKCLZHVRCXYTPF-GJZGRUSLSA-N 0 0 425.555 -0.395 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N(C)CC(=O)N1CCN(C)CC1)c1ccc(S(N)(=O)=O)cc1 ZINC001460547011 1074507838 /nfs/dbraw/zinc/50/78/38/1074507838.db2.gz WKCLZHVRCXYTPF-HUUCEWRRSA-N 0 0 425.555 -0.395 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N(C)CC(=O)N1CCN(C)CC1 ZINC001460547013 1074507929 /nfs/dbraw/zinc/50/79/29/1074507929.db2.gz WKCLZHVRCXYTPF-LSDHHAIUSA-N 0 0 425.555 -0.395 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1CC(=O)N1CCC(C(=O)N2CCCCCC2)CC1 ZINC001460563963 1074508122 /nfs/dbraw/zinc/50/81/22/1074508122.db2.gz IOZWWMDJTXFUNZ-GOSISDBHSA-N 0 0 444.598 -0.122 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1CC(=O)N1CCC(C(=O)N2CCCCCC2)CC1 ZINC001460563964 1074508019 /nfs/dbraw/zinc/50/80/19/1074508019.db2.gz IOZWWMDJTXFUNZ-SFHVURJKSA-N 0 0 444.598 -0.122 20 0 IBADRN COc1cc(CNC(=O)CN2CCOC[C@@H]2CNS(C)(=O)=O)cc(OC)c1OC ZINC001460567581 1074508073 /nfs/dbraw/zinc/50/80/73/1074508073.db2.gz RXXUEDGWDVOTEZ-AWEZNQCLSA-N 0 0 431.511 -0.421 20 0 IBADRN COc1cc(CNC(=O)CN2CCOC[C@H]2CNS(C)(=O)=O)cc(OC)c1OC ZINC001460567584 1074508507 /nfs/dbraw/zinc/50/85/07/1074508507.db2.gz RXXUEDGWDVOTEZ-CQSZACIVSA-N 0 0 431.511 -0.421 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1([C@@H](O)CN2CCOC[C@@H]2CNS(C)(=O)=O)CCC1 ZINC001460570080 1074508449 /nfs/dbraw/zinc/50/84/49/1074508449.db2.gz WQIPCFQGZZBJSK-KBPBESRZSA-N 0 0 427.589 -0.762 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1([C@@H](O)CN2CCOC[C@H]2CNS(C)(=O)=O)CCC1 ZINC001460570095 1074508727 /nfs/dbraw/zinc/50/87/27/1074508727.db2.gz WQIPCFQGZZBJSK-KGLIPLIRSA-N 0 0 427.589 -0.762 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1([C@H](O)CN2CCOC[C@@H]2CNS(C)(=O)=O)CCC1 ZINC001460570100 1074508469 /nfs/dbraw/zinc/50/84/69/1074508469.db2.gz WQIPCFQGZZBJSK-UONOGXRCSA-N 0 0 427.589 -0.762 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1([C@H](O)CN2CCOC[C@H]2CNS(C)(=O)=O)CCC1 ZINC001460570107 1074508704 /nfs/dbraw/zinc/50/87/04/1074508704.db2.gz WQIPCFQGZZBJSK-ZIAGYGMSSA-N 0 0 427.589 -0.762 20 0 IBADRN Cc1ccc(NC(=O)CN2CCOC[C@@H]2CNS(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC001460570171 1074508575 /nfs/dbraw/zinc/50/85/75/1074508575.db2.gz WWWLICRRKLQLEU-HNNXBMFYSA-N 0 0 448.567 -0.566 20 0 IBADRN Cc1ccc(NC(=O)CN2CCOC[C@H]2CNS(C)(=O)=O)cc1S(=O)(=O)N(C)C ZINC001460570177 1074508437 /nfs/dbraw/zinc/50/84/37/1074508437.db2.gz WWWLICRRKLQLEU-OAHLLOKOSA-N 0 0 448.567 -0.566 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2CCOC[C@@H]2CNS(C)(=O)=O)CC1 ZINC001460571370 1074508671 /nfs/dbraw/zinc/50/86/71/1074508671.db2.gz ZKNCFWCBGUOUAA-KRWDZBQOSA-N 0 0 432.587 -0.363 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CN2CCOC[C@H]2CNS(C)(=O)=O)CC1 ZINC001460571382 1074508683 /nfs/dbraw/zinc/50/86/83/1074508683.db2.gz ZKNCFWCBGUOUAA-QGZVFWFLSA-N 0 0 432.587 -0.363 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC001460574530 1074508457 /nfs/dbraw/zinc/50/84/57/1074508457.db2.gz WULSNOKMCJJXCK-FVRSWCFKSA-N 0 0 429.543 -0.221 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(CC(=O)NN2C(=O)NC3(CCC(C)CC3)C2=O)C1 ZINC001460574549 1074508533 /nfs/dbraw/zinc/50/85/33/1074508533.db2.gz WULSNOKMCJJXCK-TXKKKEFDSA-N 0 0 429.543 -0.221 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2CCN(C)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460586274 1074508689 /nfs/dbraw/zinc/50/86/89/1074508689.db2.gz BUOQXRXKEHPADS-AEFFLSMTSA-N 0 0 440.566 -0.049 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2CCN(C)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460586279 1074508514 /nfs/dbraw/zinc/50/85/14/1074508514.db2.gz BUOQXRXKEHPADS-FUHWJXTLSA-N 0 0 440.566 -0.049 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2CCN(C)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460586282 1074508708 /nfs/dbraw/zinc/50/87/08/1074508708.db2.gz BUOQXRXKEHPADS-SJLPKXTDSA-N 0 0 440.566 -0.049 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2CCN(C)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460586287 1074508478 /nfs/dbraw/zinc/50/84/78/1074508478.db2.gz BUOQXRXKEHPADS-WMZOPIPTSA-N 0 0 440.566 -0.049 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460588086 1074508584 /nfs/dbraw/zinc/50/85/84/1074508584.db2.gz GBIOARARUCPCBX-INIZCTEOSA-N 0 0 442.538 -0.737 20 0 IBADRN COc1ccc(NC(=O)CN2CCN(C)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001460588095 1074508627 /nfs/dbraw/zinc/50/86/27/1074508627.db2.gz GBIOARARUCPCBX-MRXNPFEDSA-N 0 0 442.538 -0.737 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)C[C@H]1CO ZINC001460591670 1074508646 /nfs/dbraw/zinc/50/86/46/1074508646.db2.gz KYZQHYRUORLAGP-IBGZPJMESA-N 0 0 436.578 -0.384 20 0 IBADRN CN1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)CCC4)CC2)C[C@@H]1CO ZINC001460591674 1074509130 /nfs/dbraw/zinc/50/91/30/1074509130.db2.gz KYZQHYRUORLAGP-LJQANCHMSA-N 0 0 436.578 -0.384 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC001460602324 1074509154 /nfs/dbraw/zinc/50/91/54/1074509154.db2.gz VYOMHHBOLALMKQ-JTQLQIEISA-N 0 0 429.520 -0.064 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1CC(=O)Nc1sc2c(c1C(N)=O)CCC2 ZINC001460602342 1074509061 /nfs/dbraw/zinc/50/90/61/1074509061.db2.gz VYOMHHBOLALMKQ-SNVBAGLBSA-N 0 0 429.520 -0.064 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3C[C@@]4(C)C(=O)NC(=O)[C@]4(C)C3)C2=O)cc1 ZINC001460603191 1074508922 /nfs/dbraw/zinc/50/89/22/1074508922.db2.gz BEIYEWVKMPGGLF-ACRUOGEOSA-N 0 0 443.460 -0.522 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3C[C@]4(C)C(=O)NC(=O)[C@]4(C)C3)C2=O)cc1 ZINC001460603228 1074509038 /nfs/dbraw/zinc/50/90/38/1074509038.db2.gz BEIYEWVKMPGGLF-HBMCJLEFSA-N 0 0 443.460 -0.522 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3C[C@]4(C)C(=O)NC(=O)[C@@]4(C)C3)C2=O)cc1 ZINC001460603232 1074509088 /nfs/dbraw/zinc/50/90/88/1074509088.db2.gz BEIYEWVKMPGGLF-NJYVYQBISA-N 0 0 443.460 -0.522 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3C[C@]4(C)C(=O)NC(=O)[C@]4(C)C3)C2=O)cc1 ZINC001460603237 1074509111 /nfs/dbraw/zinc/50/91/11/1074509111.db2.gz BEIYEWVKMPGGLF-QHAWAJNXSA-N 0 0 443.460 -0.522 20 0 IBADRN COC(=O)c1cc(NC(=O)CN2CCCN(C(=O)CNC(C)=O)CC2)cc(C(=O)OC)c1 ZINC001460605693 1074509076 /nfs/dbraw/zinc/50/90/76/1074509076.db2.gz NUOLOFCCWDNLEU-UHFFFAOYSA-N 0 0 448.476 -0.131 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC001460607682 1074509223 /nfs/dbraw/zinc/50/92/23/1074509223.db2.gz LHEASCRYUZXFIU-KRWDZBQOSA-N 0 0 448.549 -0.379 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2CCCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)cn1 ZINC001460607727 1074508996 /nfs/dbraw/zinc/50/89/96/1074508996.db2.gz LHEASCRYUZXFIU-QGZVFWFLSA-N 0 0 448.549 -0.379 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@@H](O)C1)Nc1ccc(Cl)c(S(=O)(=O)N2CCOCC2)c1 ZINC001460629713 1074509102 /nfs/dbraw/zinc/50/91/02/1074509102.db2.gz AUQWPWOOVRPFGO-CABCVRRESA-N 0 0 433.914 -0.273 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)CCCC3)CC1 ZINC001460631866 1074509185 /nfs/dbraw/zinc/50/91/85/1074509185.db2.gz YEKUUPVMYOWATF-UXHICEINSA-N 0 0 437.562 -0.174 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)c2cn(C[C@H]3CNC(=O)O3)nn2)CC1 ZINC001460643365 1074509206 /nfs/dbraw/zinc/50/92/06/1074509206.db2.gz VJSVVQJWWMLAHT-GXTWGEPZSA-N 0 0 428.515 -0.091 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)c2cn(C[C@@H]3CNC(=O)O3)nn2)CC1 ZINC001460643372 1074509161 /nfs/dbraw/zinc/50/91/61/1074509161.db2.gz VJSVVQJWWMLAHT-JSGCOSHPSA-N 0 0 428.515 -0.091 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)c2cn(C[C@@H]3CNC(=O)O3)nn2)CC1 ZINC001460643373 1074509068 /nfs/dbraw/zinc/50/90/68/1074509068.db2.gz VJSVVQJWWMLAHT-OCCSQVGLSA-N 0 0 428.515 -0.091 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)c2cn(C[C@H]3CNC(=O)O3)nn2)CC1 ZINC001460643374 1074509025 /nfs/dbraw/zinc/50/90/25/1074509025.db2.gz VJSVVQJWWMLAHT-TZMCWYRMSA-N 0 0 428.515 -0.091 20 0 IBADRN CC(C)CCNC(=O)C1CCN(C(=O)CNC2(C(N)=O)CCS(=O)(=O)CC2)CC1 ZINC001460660988 1074509168 /nfs/dbraw/zinc/50/91/68/1074509168.db2.gz MOTUKCNPBIAGOE-UHFFFAOYSA-N 0 0 430.571 -0.590 20 0 IBADRN O=C(CN1CCC[C@@H]2OCCNC(=O)[C@H]21)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001460667352 1074509684 /nfs/dbraw/zinc/50/96/84/1074509684.db2.gz AIPJLHDHSQIMNS-HKUYNNGSSA-N 0 0 436.534 -0.501 20 0 IBADRN O=C(CN1CCC[C@H]2OCCNC(=O)[C@@H]21)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001460667356 1074509738 /nfs/dbraw/zinc/50/97/38/1074509738.db2.gz AIPJLHDHSQIMNS-IEBWSBKVSA-N 0 0 436.534 -0.501 20 0 IBADRN O=C(CN1CCC[C@H]2OCCNC(=O)[C@H]21)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001460667360 1074509579 /nfs/dbraw/zinc/50/95/79/1074509579.db2.gz AIPJLHDHSQIMNS-MJGOQNOKSA-N 0 0 436.534 -0.501 20 0 IBADRN O=C(CN1CCC[C@@H]2OCCNC(=O)[C@@H]21)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001460667364 1074509783 /nfs/dbraw/zinc/50/97/83/1074509783.db2.gz AIPJLHDHSQIMNS-PKOBYXMFSA-N 0 0 436.534 -0.501 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCOC[C@H]2CNC(=O)NC)C1=O ZINC001460669918 1074509767 /nfs/dbraw/zinc/50/97/67/1074509767.db2.gz JPABKEMFSLATNN-FOIQADDNSA-N 0 0 432.481 -0.495 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCOC[C@@H]2CNC(=O)NC)C1=O ZINC001460669920 1074509761 /nfs/dbraw/zinc/50/97/61/1074509761.db2.gz JPABKEMFSLATNN-MGPUTAFESA-N 0 0 432.481 -0.495 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCOC[C@H]2CNC(=O)NC)C1=O ZINC001460669922 1074509732 /nfs/dbraw/zinc/50/97/32/1074509732.db2.gz JPABKEMFSLATNN-QRWLVFNGSA-N 0 0 432.481 -0.495 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCOC[C@@H]2CNC(=O)NC)C1=O ZINC001460670132 1074509743 /nfs/dbraw/zinc/50/97/43/1074509743.db2.gz JPABKEMFSLATNN-YWZLYKJASA-N 0 0 432.481 -0.495 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)CN2CCc3c(cccc3S(N)(=O)=O)C2)CC1 ZINC001460677700 1074509773 /nfs/dbraw/zinc/50/97/73/1074509773.db2.gz LCGQSGINWZZZMR-UHFFFAOYSA-N 0 0 430.552 -0.768 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC(=O)NCC23COC3)C1=O ZINC001460684207 1074509650 /nfs/dbraw/zinc/50/96/50/1074509650.db2.gz DFRHQDPZLRUUKL-FQEVSTJZSA-N 0 0 429.477 -0.448 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN2CCC(=O)NCC23COC3)C1=O ZINC001460684210 1074509727 /nfs/dbraw/zinc/50/97/27/1074509727.db2.gz DFRHQDPZLRUUKL-HXUWFJFHSA-N 0 0 429.477 -0.448 20 0 IBADRN COc1ccc(NC(=O)CN2CCC(OC)(OC)[C@@H](O)C2)cc1S(=O)(=O)N(C)C ZINC001460685704 1074509689 /nfs/dbraw/zinc/50/96/89/1074509689.db2.gz KMPUMOGTNBXZNX-INIZCTEOSA-N 0 0 431.511 -0.060 20 0 IBADRN COc1ccc(NC(=O)CN2CCC(OC)(OC)[C@H](O)C2)cc1S(=O)(=O)N(C)C ZINC001460685705 1074509591 /nfs/dbraw/zinc/50/95/91/1074509591.db2.gz KMPUMOGTNBXZNX-MRXNPFEDSA-N 0 0 431.511 -0.060 20 0 IBADRN O=C(CN1CC[C@@H](c2nn[nH]n2)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001460692295 1074509598 /nfs/dbraw/zinc/50/95/98/1074509598.db2.gz JKRJPDQJFOVVQZ-GFCCVEGCSA-N 0 0 441.464 -0.200 20 0 IBADRN O=C(CN1CC[C@H](c2nn[nH]n2)C1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001460692335 1074509626 /nfs/dbraw/zinc/50/96/26/1074509626.db2.gz JKRJPDQJFOVVQZ-LBPRGKRZSA-N 0 0 441.464 -0.200 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@H](c4nn[nH]n4)C3)CC2)c1 ZINC001460694518 1074509659 /nfs/dbraw/zinc/50/96/59/1074509659.db2.gz MWZKJZIAUFPNJJ-INIZCTEOSA-N 0 0 447.521 -0.275 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCN(C(=O)CN3CC[C@@H](c4nn[nH]n4)C3)CC2)c1 ZINC001460694521 1074509539 /nfs/dbraw/zinc/50/95/39/1074509539.db2.gz MWZKJZIAUFPNJJ-MRXNPFEDSA-N 0 0 447.521 -0.275 20 0 IBADRN Cc1nc(N2CCN(CC(=O)N3CCN(S(=O)(=O)c4ccccc4)CC3)CC2)n[nH]1 ZINC001460694715 1074509751 /nfs/dbraw/zinc/50/97/51/1074509751.db2.gz HOQHEYWYYQITJT-UHFFFAOYSA-N 0 0 433.538 -0.232 20 0 IBADRN Cc1nc(N2CCN(CCS(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)CC2)n[nH]1 ZINC001460696335 1074509695 /nfs/dbraw/zinc/50/96/95/1074509695.db2.gz MMNZWVFFIRDYCM-UHFFFAOYSA-N 0 0 442.567 -0.041 20 0 IBADRN COC(=O)[C@@]1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C1 ZINC001460697900 1074509704 /nfs/dbraw/zinc/50/97/04/1074509704.db2.gz YXYXAMQSEGMZTD-GOSISDBHSA-N 0 0 445.925 -0.217 20 0 IBADRN COC(=O)[C@]1(O)CCN(CC(=O)N2CCN(S(=O)(=O)c3ccc(Cl)cc3)CC2)C1 ZINC001460697901 1074510083 /nfs/dbraw/zinc/51/00/83/1074510083.db2.gz YXYXAMQSEGMZTD-SFHVURJKSA-N 0 0 445.925 -0.217 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001460703756 1074510284 /nfs/dbraw/zinc/51/02/84/1074510284.db2.gz GDZWTNMIDAWWSP-QZTJIDSGSA-N 0 0 437.518 -0.244 20 0 IBADRN C[C@@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN(CC(N)=O)C2CCOCC2)C1=O ZINC001460710936 1074510169 /nfs/dbraw/zinc/51/01/69/1074510169.db2.gz SHFKNSPGQSWBPS-NRFANRHFSA-N 0 0 431.493 -0.073 20 0 IBADRN C[C@]1(CCc2ccccc2)NC(=O)N(NC(=O)CN(CC(N)=O)C2CCOCC2)C1=O ZINC001460710937 1074510155 /nfs/dbraw/zinc/51/01/55/1074510155.db2.gz SHFKNSPGQSWBPS-OAQYLSRUSA-N 0 0 431.493 -0.073 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)Cc1nnc2c(=O)[nH]ccn12 ZINC001460718873 1074510317 /nfs/dbraw/zinc/51/03/17/1074510317.db2.gz INGLTYLAHPFODI-UHFFFAOYSA-N 0 0 439.476 -0.362 20 0 IBADRN CNC(=O)CN(CC(=O)NC)CC(=O)N1CCC(NC(=O)CCc2ccccc2)CC1 ZINC001460723264 1074510175 /nfs/dbraw/zinc/51/01/75/1074510175.db2.gz ZHMOHCUPPDMSJT-UHFFFAOYSA-N 0 0 431.537 -0.480 20 0 IBADRN Cn1nnnc1C1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)CC1 ZINC001460727267 1074510110 /nfs/dbraw/zinc/51/01/10/1074510110.db2.gz OEZWEEDTXIVJRW-UHFFFAOYSA-N 0 0 433.538 -0.077 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC001460736043 1074510101 /nfs/dbraw/zinc/51/01/01/1074510101.db2.gz ZVPWCHQUGNKSIF-UHFFFAOYSA-N 0 0 435.525 -0.512 20 0 IBADRN COCCNC(=O)C1(NC(=O)C2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CC1 ZINC001460736418 1074510162 /nfs/dbraw/zinc/51/01/62/1074510162.db2.gz JONJOCDGDLDESN-UHFFFAOYSA-N 0 0 427.527 -0.459 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001460739392 1074510244 /nfs/dbraw/zinc/51/02/44/1074510244.db2.gz ASFSMRXCUZPQEA-INIZCTEOSA-N 0 0 444.579 -0.609 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C1 ZINC001460739393 1074510294 /nfs/dbraw/zinc/51/02/94/1074510294.db2.gz ASFSMRXCUZPQEA-MRXNPFEDSA-N 0 0 444.579 -0.609 20 0 IBADRN C[S@](=N)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC001460740286 1074510187 /nfs/dbraw/zinc/51/01/87/1074510187.db2.gz CPWZUXNWRUXKPA-MUUNZHRXSA-N 0 0 443.595 -0.130 20 0 IBADRN C[S@@](=N)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)CC1 ZINC001460740307 1074510276 /nfs/dbraw/zinc/51/02/76/1074510276.db2.gz CPWZUXNWRUXKPA-NDEPHWFRSA-N 0 0 443.595 -0.130 20 0 IBADRN O=C(NCC1(N2CCOCC2)CCOCC1)c1ccc(S(=O)(=O)N2CCOCC2)o1 ZINC001460758651 1074510206 /nfs/dbraw/zinc/51/02/06/1074510206.db2.gz QLTLLISNGNXIOI-UHFFFAOYSA-N 0 0 443.522 -0.088 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)NCC3(N4CCOCC4)CCOCC3)CC2=O)cn1 ZINC001460759628 1074510219 /nfs/dbraw/zinc/51/02/19/1074510219.db2.gz IBGODKNBJMQFOH-KRWDZBQOSA-N 0 0 435.525 -0.120 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NCC3(N4CCOCC4)CCOCC3)CC2=O)cn1 ZINC001460759633 1074510129 /nfs/dbraw/zinc/51/01/29/1074510129.db2.gz IBGODKNBJMQFOH-QGZVFWFLSA-N 0 0 435.525 -0.120 20 0 IBADRN CN(CC(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)Cc1nnc2n1CCN(C)C2=O ZINC001460772901 1074510197 /nfs/dbraw/zinc/51/01/97/1074510197.db2.gz HADCBLFTAGODMW-UHFFFAOYSA-N 0 0 435.510 -0.316 20 0 IBADRN COc1ccc(C)cc1NC(=O)CNC(=O)CN(C)Cc1nnc2n1CCN(C)C2=O ZINC001460774018 1074510583 /nfs/dbraw/zinc/51/05/83/1074510583.db2.gz MIJWMZCMYXVXPJ-UHFFFAOYSA-N 0 0 429.481 -0.133 20 0 IBADRN C[C@@H](C(=O)NCc1ccc(CN2CCOCC2)cc1)N(C)Cc1nnc2n1CCNC2=O ZINC001460775434 1074510600 /nfs/dbraw/zinc/51/06/00/1074510600.db2.gz SOTYWUOGJFJCNK-INIZCTEOSA-N 0 0 441.536 -0.010 20 0 IBADRN C[C@H](C(=O)NCc1ccc(CN2CCOCC2)cc1)N(C)Cc1nnc2n1CCNC2=O ZINC001460775607 1074510649 /nfs/dbraw/zinc/51/06/49/1074510649.db2.gz SOTYWUOGJFJCNK-MRXNPFEDSA-N 0 0 441.536 -0.010 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3CCS(=O)(=O)C[C@H]3CCO)CC2)cc1 ZINC001460810933 1074510629 /nfs/dbraw/zinc/51/06/29/1074510629.db2.gz GLIVNBXBOGIRHF-GOSISDBHSA-N 0 0 425.551 -0.179 20 0 IBADRN COc1ccc(CN2CCN(C(=O)CN3CCS(=O)(=O)C[C@@H]3CCO)CC2)cc1 ZINC001460810935 1074510622 /nfs/dbraw/zinc/51/06/22/1074510622.db2.gz GLIVNBXBOGIRHF-SFHVURJKSA-N 0 0 425.551 -0.179 20 0 IBADRN O=C(CN1CCS(=O)(=O)C[C@@H]1CCO)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001460811692 1074510709 /nfs/dbraw/zinc/51/07/09/1074510709.db2.gz KMNMMIRKABEFCA-INIZCTEOSA-N 0 0 445.563 -0.999 20 0 IBADRN O=C(CN1CCS(=O)(=O)C[C@H]1CCO)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001460811703 1074510635 /nfs/dbraw/zinc/51/06/35/1074510635.db2.gz KMNMMIRKABEFCA-MRXNPFEDSA-N 0 0 445.563 -0.999 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCS(=O)(=O)C[C@H]2CCO)C1=O ZINC001460818402 1074510678 /nfs/dbraw/zinc/51/06/78/1074510678.db2.gz YMIDMLKGDIQYMQ-BEFAXECRSA-N 0 0 438.506 -0.644 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCS(=O)(=O)C[C@H]2CCO)C1=O ZINC001460818427 1074510573 /nfs/dbraw/zinc/51/05/73/1074510573.db2.gz YMIDMLKGDIQYMQ-DNVCBOLYSA-N 0 0 438.506 -0.644 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCS(=O)(=O)C[C@@H]2CCO)C1=O ZINC001460818430 1074510591 /nfs/dbraw/zinc/51/05/91/1074510591.db2.gz YMIDMLKGDIQYMQ-HNAYVOBHSA-N 0 0 438.506 -0.644 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2CCS(=O)(=O)C[C@@H]2CCO)C1=O ZINC001460818434 1074510665 /nfs/dbraw/zinc/51/06/65/1074510665.db2.gz YMIDMLKGDIQYMQ-KXBFYZLASA-N 0 0 438.506 -0.644 20 0 IBADRN COC[C@@H]1CC[C@H]([C@H]2COCCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)O1 ZINC001460820412 1074510684 /nfs/dbraw/zinc/51/06/84/1074510684.db2.gz MXBFVFCJIAHONZ-QXAKKESOSA-N 0 0 441.550 -0.113 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@H]2COCCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)O1 ZINC001460820414 1074510551 /nfs/dbraw/zinc/51/05/51/1074510551.db2.gz MXBFVFCJIAHONZ-UHOSZYNNSA-N 0 0 441.550 -0.113 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@@H]2COCCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)O1 ZINC001460820421 1074510671 /nfs/dbraw/zinc/51/06/71/1074510671.db2.gz MXBFVFCJIAHONZ-WDSOQIARSA-N 0 0 441.550 -0.113 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2CC(=O)NCCc2ccc(S(N)(=O)=O)cc2)O1 ZINC001460820426 1074510691 /nfs/dbraw/zinc/51/06/91/1074510691.db2.gz MXBFVFCJIAHONZ-YTQUADARSA-N 0 0 441.550 -0.113 20 0 IBADRN COC[C@H]1COCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001460822359 1074510698 /nfs/dbraw/zinc/51/06/98/1074510698.db2.gz SKMNRTYRVZDJLK-KRWDZBQOSA-N 0 0 427.523 -0.329 20 0 IBADRN COC[C@@H]1COCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001460822360 1074510723 /nfs/dbraw/zinc/51/07/23/1074510723.db2.gz SKMNRTYRVZDJLK-QGZVFWFLSA-N 0 0 427.523 -0.329 20 0 IBADRN Cc1ccccc1C(=O)NC1CCN(C(=O)CN2CCN3[C@@H](CNS3(=O)=O)C2)CC1 ZINC001460829068 1074510718 /nfs/dbraw/zinc/51/07/18/1074510718.db2.gz HBWFIJNHZOYYPN-KRWDZBQOSA-N 0 0 435.550 -0.450 20 0 IBADRN Cc1ccccc1C(=O)NC1CCN(C(=O)CN2CCN3[C@H](CNS3(=O)=O)C2)CC1 ZINC001460829095 1074510562 /nfs/dbraw/zinc/51/05/62/1074510562.db2.gz HBWFIJNHZOYYPN-QGZVFWFLSA-N 0 0 435.550 -0.450 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)CN1CCCC2(CC2)S1(=O)=O ZINC001460844428 1074511071 /nfs/dbraw/zinc/51/10/71/1074511071.db2.gz GAWOEPYSACZJQO-CYBMUJFWSA-N 0 0 436.552 -0.088 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)CN1CCCC2(CC2)S1(=O)=O ZINC001460844437 1074511105 /nfs/dbraw/zinc/51/11/05/1074511105.db2.gz GAWOEPYSACZJQO-ZDUSSCGKSA-N 0 0 436.552 -0.088 20 0 IBADRN COc1ccc(NC(=O)CN2CCN3CCOC[C@@]3(CO)C2)cc1S(=O)(=O)N(C)C ZINC001460845427 1074511256 /nfs/dbraw/zinc/51/12/56/1074511256.db2.gz BNACIRVPFIUUPV-IBGZPJMESA-N 0 0 442.538 -0.737 20 0 IBADRN COc1ccc(NC(=O)CN2CCN3CCOC[C@]3(CO)C2)cc1S(=O)(=O)N(C)C ZINC001460845428 1074511232 /nfs/dbraw/zinc/51/12/32/1074511232.db2.gz BNACIRVPFIUUPV-LJQANCHMSA-N 0 0 442.538 -0.737 20 0 IBADRN O=C(CN1C[C@@H]2CS(=O)(=O)C[C@@H]2C1)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001460861723 1074511110 /nfs/dbraw/zinc/51/11/10/1074511110.db2.gz CHVLQXURHKTDSS-HDICACEKSA-N 0 0 441.575 -0.363 20 0 IBADRN Cn1c2[nH]c(CN3CCC(c4ccc(S(N)(=O)=O)cc4)CC3)nc2c(=O)n(C)c1=O ZINC001460862093 1074511220 /nfs/dbraw/zinc/51/12/20/1074511220.db2.gz YMTLBIKNUQFKQT-UHFFFAOYSA-N 0 0 432.506 -0.013 20 0 IBADRN O=C(CN1C[C@@H]2CS(=O)(=O)C[C@@H]2C1)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001460863959 1074511241 /nfs/dbraw/zinc/51/12/41/1074511241.db2.gz MMIHARHZCIDIFQ-GASCZTMLSA-N 0 0 443.547 -0.378 20 0 IBADRN O=C(CN1C[C@@H]2CS(=O)(=O)C[C@@H]2C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001460865485 1074511140 /nfs/dbraw/zinc/51/11/40/1074511140.db2.gz WOOAJRRVWUSWEM-GASCZTMLSA-N 0 0 443.547 -0.378 20 0 IBADRN NC(=O)C12CCC(CC1)N2CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001460867820 1074511099 /nfs/dbraw/zinc/51/10/99/1074511099.db2.gz CAOQLHBDMFPSJQ-UHFFFAOYSA-N 0 0 436.534 -0.194 20 0 IBADRN COCC(COC)N1CCN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)o2)CC1 ZINC001460879034 1074511040 /nfs/dbraw/zinc/51/10/40/1074511040.db2.gz LXPWPWALQAJYPG-UHFFFAOYSA-N 0 0 431.511 -0.280 20 0 IBADRN COCC(COC)N1CCN(C(=O)Cn2c(=O)ccn(Cc3ccccc3)c2=O)CC1 ZINC001460882362 1074511179 /nfs/dbraw/zinc/51/11/79/1074511179.db2.gz WSSHVBAZEKVXDY-UHFFFAOYSA-N 0 0 430.505 -0.136 20 0 IBADRN C[C@@H](NC(=O)CN1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21)C(=O)N(C)C ZINC001460890657 1074511252 /nfs/dbraw/zinc/51/12/52/1074511252.db2.gz WSOGJSOPJILMFK-MCIONIFRSA-N 0 0 432.543 -0.702 20 0 IBADRN C[C@H](NC(=O)CN1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21)C(=O)N(C)C ZINC001460890659 1074511084 /nfs/dbraw/zinc/51/10/84/1074511084.db2.gz WSOGJSOPJILMFK-MJBXVCDLSA-N 0 0 432.543 -0.702 20 0 IBADRN C[C@H](NCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1)c1nnnn1C ZINC001460898812 1074511193 /nfs/dbraw/zinc/51/11/93/1074511193.db2.gz DUZJXSIMDMKREV-AWEZNQCLSA-N 0 0 433.538 -0.118 20 0 IBADRN C[C@@H](NCC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1)c1nnnn1C ZINC001460898821 1074511213 /nfs/dbraw/zinc/51/12/13/1074511213.db2.gz DUZJXSIMDMKREV-CQSZACIVSA-N 0 0 433.538 -0.118 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(CCC(=O)N1CCN(c3ccccn3)CC1)C2 ZINC001460925411 1074511565 /nfs/dbraw/zinc/51/15/65/1074511565.db2.gz LIOHWBNSOBSRTH-KRWDZBQOSA-N 0 0 426.525 -0.007 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(CCC(=O)N1CCN(c3ccccn3)CC1)C2 ZINC001460925416 1074511698 /nfs/dbraw/zinc/51/16/98/1074511698.db2.gz LIOHWBNSOBSRTH-QGZVFWFLSA-N 0 0 426.525 -0.007 20 0 IBADRN CS(=O)(=O)c1ccccc1CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001460925512 1074511731 /nfs/dbraw/zinc/51/17/31/1074511731.db2.gz ANSRKDBQRGIVCS-CYBMUJFWSA-N 0 0 427.504 -0.128 20 0 IBADRN CS(=O)(=O)c1ccccc1CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001460925516 1074511751 /nfs/dbraw/zinc/51/17/51/1074511751.db2.gz ANSRKDBQRGIVCS-ZDUSSCGKSA-N 0 0 427.504 -0.128 20 0 IBADRN C[C@@](Cn1cccn1)(NCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)C(N)=O ZINC001460926223 1074511760 /nfs/dbraw/zinc/51/17/60/1074511760.db2.gz OWGQBUSXZADMGB-FQEVSTJZSA-N 0 0 448.549 -0.609 20 0 IBADRN C[C@](Cn1cccn1)(NCC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1)C(N)=O ZINC001460926225 1074511544 /nfs/dbraw/zinc/51/15/44/1074511544.db2.gz OWGQBUSXZADMGB-HXUWFJFHSA-N 0 0 448.549 -0.609 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2C[C@H](C(=O)OC)[C@@H](C(=O)OC)C2)ccc1C ZINC001460935628 1074511623 /nfs/dbraw/zinc/51/16/23/1074511623.db2.gz KOARAIWXSXBRSL-KBPBESRZSA-N 0 0 427.479 -0.264 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2C[C@H](C(=O)OC)[C@H](C(=O)OC)C2)ccc1C ZINC001460935631 1074511600 /nfs/dbraw/zinc/51/16/00/1074511600.db2.gz KOARAIWXSXBRSL-OKILXGFUSA-N 0 0 427.479 -0.264 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN2C[C@@H](C(=O)OC)[C@H](C(=O)OC)C2)ccc1C ZINC001460935633 1074511715 /nfs/dbraw/zinc/51/17/15/1074511715.db2.gz KOARAIWXSXBRSL-ZIAGYGMSSA-N 0 0 427.479 -0.264 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C[C@H]1C(=O)OC ZINC001460938860 1074511777 /nfs/dbraw/zinc/51/17/77/1074511777.db2.gz XUUMZBDGAGQCRM-GASCZTMLSA-N 0 0 427.479 -0.231 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C[C@@H]1C(=O)OC ZINC001460938867 1074511437 /nfs/dbraw/zinc/51/14/37/1074511437.db2.gz XUUMZBDGAGQCRM-GJZGRUSLSA-N 0 0 427.479 -0.231 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)Nc2cccc(S(=O)(=O)N(C)C)c2)C[C@H]1C(=O)OC ZINC001460938873 1074511738 /nfs/dbraw/zinc/51/17/38/1074511738.db2.gz XUUMZBDGAGQCRM-HUUCEWRRSA-N 0 0 427.479 -0.231 20 0 IBADRN CC(C)N(CCCNC(=O)CN1CCOC2(CCS(=O)(=O)CC2)C1)S(C)(=O)=O ZINC001460957132 1074511592 /nfs/dbraw/zinc/51/15/92/1074511592.db2.gz DQANDZQGZQAEIL-UHFFFAOYSA-N 0 0 439.600 -0.558 20 0 IBADRN O=C(CN1C[C@@H](CO)[C@H](CCO)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001460962012 1074511641 /nfs/dbraw/zinc/51/16/41/1074511641.db2.gz DJAZVCCSTZKLAZ-CVEARBPZSA-N 0 0 427.523 -0.431 20 0 IBADRN O=C(CN1C[C@H](CCO)[C@H](CO)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001460962017 1074511459 /nfs/dbraw/zinc/51/14/59/1074511459.db2.gz DJAZVCCSTZKLAZ-HOTGVXAUSA-N 0 0 427.523 -0.431 20 0 IBADRN O=C(CN1C[C@H](CO)[C@H](CCO)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001460962021 1074511663 /nfs/dbraw/zinc/51/16/63/1074511663.db2.gz DJAZVCCSTZKLAZ-HZPDHXFCSA-N 0 0 427.523 -0.431 20 0 IBADRN O=C(CN1C[C@H](CO)[C@@H](CCO)C1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001460962026 1074511491 /nfs/dbraw/zinc/51/14/91/1074511491.db2.gz DJAZVCCSTZKLAZ-JKSUJKDBSA-N 0 0 427.523 -0.431 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCS(=O)(=O)CCO1 ZINC001460963813 1074511584 /nfs/dbraw/zinc/51/15/84/1074511584.db2.gz JTSFGUJSRTUNJV-UHFFFAOYSA-N 0 0 426.538 -0.627 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1=O ZINC001461069430 1074512186 /nfs/dbraw/zinc/51/21/86/1074512186.db2.gz OTMHGEUBNKZEOL-FTLABTOESA-N 0 0 429.495 -0.468 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1=O ZINC001461069433 1074512306 /nfs/dbraw/zinc/51/23/06/1074512306.db2.gz OTMHGEUBNKZEOL-SEDUGSJDSA-N 0 0 429.495 -0.468 20 0 IBADRN Cn1nc2c(c1C(=O)NC[C@@H]1CS(=O)(=O)c3ccccc3O1)CS(=O)(=O)CC2 ZINC001461102465 1074512142 /nfs/dbraw/zinc/51/21/42/1074512142.db2.gz WKDATJXBDVLVTB-LLVKDONJSA-N 0 0 425.488 -0.144 20 0 IBADRN Cn1nc2c(c1C(=O)NC[C@H]1CS(=O)(=O)c3ccccc3O1)CS(=O)(=O)CC2 ZINC001461102466 1074512272 /nfs/dbraw/zinc/51/22/72/1074512272.db2.gz WKDATJXBDVLVTB-NSHDSACASA-N 0 0 425.488 -0.144 20 0 IBADRN C[C@@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(N2CCNC2=O)cc1 ZINC001461107603 1074512058 /nfs/dbraw/zinc/51/20/58/1074512058.db2.gz VZWOEYCDOKWVHE-GFCCVEGCSA-N 0 0 425.449 -0.159 20 0 IBADRN C[C@H](NC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)c1ccc(N2CCNC2=O)cc1 ZINC001461107610 1074512136 /nfs/dbraw/zinc/51/21/36/1074512136.db2.gz VZWOEYCDOKWVHE-LBPRGKRZSA-N 0 0 425.449 -0.159 20 0 IBADRN Cc1cc(C(=O)N[C@@H](CC(C)C)C(=O)N2CCOCC2)nc2c1c(=O)n(C)c(=O)n2C ZINC001461143083 1074512195 /nfs/dbraw/zinc/51/21/95/1074512195.db2.gz YDBCOXOVSZYNOL-HNNXBMFYSA-N 0 0 431.493 -0.056 20 0 IBADRN CNC(=O)[C@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1ccc(F)cc1C ZINC001461145899 1074512072 /nfs/dbraw/zinc/51/20/72/1074512072.db2.gz CQXJCPNMVLSCJJ-AWEZNQCLSA-N 0 0 444.467 -0.398 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)Cn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1ccc(F)cc1C ZINC001461145917 1074512229 /nfs/dbraw/zinc/51/22/29/1074512229.db2.gz CQXJCPNMVLSCJJ-CQSZACIVSA-N 0 0 444.467 -0.398 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N(C)CCNC(C)=O)c1OC ZINC001461168253 1074512154 /nfs/dbraw/zinc/51/21/54/1074512154.db2.gz WSCZPVQOWLRUTF-UHFFFAOYSA-N 0 0 429.495 -0.067 20 0 IBADRN COC(=O)[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cccnc1 ZINC001461302526 1074512235 /nfs/dbraw/zinc/51/22/35/1074512235.db2.gz UUXYNFXEPKQYER-KRWDZBQOSA-N 0 0 432.458 -0.154 20 0 IBADRN COC(=O)[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)c1cccnc1 ZINC001461302533 1074512125 /nfs/dbraw/zinc/51/21/25/1074512125.db2.gz UUXYNFXEPKQYER-QGZVFWFLSA-N 0 0 432.458 -0.154 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@H]3CCN(S(C)(=O)=O)C3)CC2)cn1C ZINC001461305814 1074512036 /nfs/dbraw/zinc/51/20/36/1074512036.db2.gz ONSCYAHYJSVGNA-AWEZNQCLSA-N 0 0 433.556 -0.721 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cn1C ZINC001461305825 1074512619 /nfs/dbraw/zinc/51/26/19/1074512619.db2.gz ONSCYAHYJSVGNA-CQSZACIVSA-N 0 0 433.556 -0.721 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@@H]1CCN(S(C)(=O)=O)C1)c2=O ZINC001461305971 1074512609 /nfs/dbraw/zinc/51/26/09/1074512609.db2.gz PXTGRXSJPGKLFP-MRVPVSSYSA-N 0 0 433.288 -0.957 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@H]1CCN(S(C)(=O)=O)C1)c2=O ZINC001461305976 1074512680 /nfs/dbraw/zinc/51/26/80/1074512680.db2.gz PXTGRXSJPGKLFP-QMMMGPOBSA-N 0 0 433.288 -0.957 20 0 IBADRN CC[C@@H](Sc1nc(CC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cs1)C(N)=O ZINC001461393990 1074512685 /nfs/dbraw/zinc/51/26/85/1074512685.db2.gz CXZSJPZXDBNONB-GFCCVEGCSA-N 0 0 435.597 -0.008 20 0 IBADRN CC[C@H](Sc1nc(CC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cs1)C(N)=O ZINC001461393995 1074512548 /nfs/dbraw/zinc/51/25/48/1074512548.db2.gz CXZSJPZXDBNONB-LBPRGKRZSA-N 0 0 435.597 -0.008 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCS(=O)(=O)CC(F)(F)F)[C@H]1c1nccn1C ZINC001461396757 1074512641 /nfs/dbraw/zinc/51/26/41/1074512641.db2.gz JGDLKLOVRKGCOK-RYUDHWBXSA-N 0 0 426.417 -0.198 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cc(Br)ccc2-n2cnnn2)CC1 ZINC001461397252 1074512558 /nfs/dbraw/zinc/51/25/58/1074512558.db2.gz NJMOFDZEXQMELE-UHFFFAOYSA-N 0 0 444.315 -0.011 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1NC(=O)CS(=O)(=O)N1CCN(c2cccc(C)c2)CC1 ZINC001461448886 1074512576 /nfs/dbraw/zinc/51/25/76/1074512576.db2.gz PNLGYPABGGQCBK-FUHWJXTLSA-N 0 0 425.507 -0.106 20 0 IBADRN CN(C)C(=O)[C@@](C)(NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(F)(F)F ZINC001461451455 1074512539 /nfs/dbraw/zinc/51/25/39/1074512539.db2.gz NVPKJGQSYNPGJV-LKFCYVNXSA-N 0 0 426.417 -0.323 20 0 IBADRN CN(C)C(=O)[C@](C)(NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(F)(F)F ZINC001461451459 1074512568 /nfs/dbraw/zinc/51/25/68/1074512568.db2.gz NVPKJGQSYNPGJV-OTYXRUKQSA-N 0 0 426.417 -0.323 20 0 IBADRN CN(C)C(=O)[C@](C)(NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(F)(F)F ZINC001461451464 1074512675 /nfs/dbraw/zinc/51/26/75/1074512675.db2.gz NVPKJGQSYNPGJV-XPTSAGLGSA-N 0 0 426.417 -0.323 20 0 IBADRN CN(C)C(=O)[C@@](C)(NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(F)(F)F ZINC001461451467 1074512493 /nfs/dbraw/zinc/51/24/93/1074512493.db2.gz NVPKJGQSYNPGJV-YMTOWFKASA-N 0 0 426.417 -0.323 20 0 IBADRN CS(=O)(=O)CC1(NC(=O)c2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)COC1 ZINC001461465550 1074512665 /nfs/dbraw/zinc/51/26/65/1074512665.db2.gz ZVHSFVJABHPSQX-UHFFFAOYSA-N 0 0 436.483 -0.610 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001461471111 1074512529 /nfs/dbraw/zinc/51/25/29/1074512529.db2.gz BNTYKETTXDMISQ-CHWSQXEVSA-N 0 0 438.549 -0.760 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001461471113 1074512514 /nfs/dbraw/zinc/51/25/14/1074512514.db2.gz BNTYKETTXDMISQ-OLZOCXBDSA-N 0 0 438.549 -0.760 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001461471115 1074512588 /nfs/dbraw/zinc/51/25/88/1074512588.db2.gz BNTYKETTXDMISQ-QWHCGFSZSA-N 0 0 438.549 -0.760 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)Cc1ccc(S(=O)(=O)N2CCOCC2)s1 ZINC001461471117 1074512690 /nfs/dbraw/zinc/51/26/90/1074512690.db2.gz BNTYKETTXDMISQ-STQMWFEESA-N 0 0 438.549 -0.760 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC3(CS(C)(=O)=O)COC3)CC2)cn1C ZINC001461472752 1074512651 /nfs/dbraw/zinc/51/26/51/1074512651.db2.gz JODJBGGONXIKET-UHFFFAOYSA-N 0 0 434.540 -0.941 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC3(CS(C)(=O)=O)COC3)CC2)c1 ZINC001461473795 1074513137 /nfs/dbraw/zinc/51/31/37/1074513137.db2.gz LICGYVRLGKGAKE-UHFFFAOYSA-N 0 0 445.563 -0.623 20 0 IBADRN CCc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)cc1S(=O)(=O)N1CCOCC1 ZINC001461480438 1074513005 /nfs/dbraw/zinc/51/30/05/1074513005.db2.gz WMCOTSPRIBJUMC-CVEARBPZSA-N 0 0 446.547 -0.188 20 0 IBADRN CCc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)cc1S(=O)(=O)N1CCOCC1 ZINC001461480440 1074513146 /nfs/dbraw/zinc/51/31/46/1074513146.db2.gz WMCOTSPRIBJUMC-HOTGVXAUSA-N 0 0 446.547 -0.188 20 0 IBADRN CCc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)cc1S(=O)(=O)N1CCOCC1 ZINC001461480443 1074513184 /nfs/dbraw/zinc/51/31/84/1074513184.db2.gz WMCOTSPRIBJUMC-HZPDHXFCSA-N 0 0 446.547 -0.188 20 0 IBADRN CCc1ccc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)cc1S(=O)(=O)N1CCOCC1 ZINC001461480446 1074513157 /nfs/dbraw/zinc/51/31/57/1074513157.db2.gz WMCOTSPRIBJUMC-JKSUJKDBSA-N 0 0 446.547 -0.188 20 0 IBADRN COC[C@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CCOCC1 ZINC001461523409 1074513127 /nfs/dbraw/zinc/51/31/27/1074513127.db2.gz NDJDBTYGVBQBEP-KRWDZBQOSA-N 0 0 425.507 -0.022 20 0 IBADRN COC[C@@H](NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1)C1CCOCC1 ZINC001461523411 1074513190 /nfs/dbraw/zinc/51/31/90/1074513190.db2.gz NDJDBTYGVBQBEP-QGZVFWFLSA-N 0 0 425.507 -0.022 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3c(I)cnn3C)C[C@H]21 ZINC001461640558 1074513196 /nfs/dbraw/zinc/51/31/96/1074513196.db2.gz KNENVNWTXZLDDC-SFGNSQDASA-N 0 0 425.248 -0.091 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3cccc(CN4CCS(=O)(=O)CC4)c3)C[C@H]21 ZINC001461644063 1074513023 /nfs/dbraw/zinc/51/30/23/1074513023.db2.gz UJTJBJSQFNVEHS-KSZLIROESA-N 0 0 442.559 -0.199 20 0 IBADRN COc1cc(CNC2CN(S(=O)(=O)N(C)C)C2)ccc1OCC(=O)N1CCOCC1 ZINC001461670737 1074513169 /nfs/dbraw/zinc/51/31/69/1074513169.db2.gz WZBBPSWCAFRVEX-UHFFFAOYSA-N 0 0 442.538 -0.487 20 0 IBADRN Cn1c(C(=O)N2CCN(c3ccc(F)cc3C(N)=O)CC2)cc2c1n(C)c(=O)n(C)c2=O ZINC001461785983 1074512973 /nfs/dbraw/zinc/51/29/73/1074512973.db2.gz NYKHMPTYYBDTPB-UHFFFAOYSA-N 0 0 442.451 -0.224 20 0 IBADRN CCOC(=O)c1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)[nH]c1C ZINC001461874457 1074513539 /nfs/dbraw/zinc/51/35/39/1074513539.db2.gz PWLPQQRUIPAOKQ-HNNXBMFYSA-N 0 0 426.539 -0.079 20 0 IBADRN CCOC(=O)c1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)[nH]c1C ZINC001461874637 1074513547 /nfs/dbraw/zinc/51/35/47/1074513547.db2.gz PWLPQQRUIPAOKQ-OAHLLOKOSA-N 0 0 426.539 -0.079 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](n2nncc2CN2CCN3C(=O)C(=O)NC[C@H]3C2)C1 ZINC001461889205 1074513440 /nfs/dbraw/zinc/51/34/40/1074513440.db2.gz OTNHHBAZOANNOH-CABCVRRESA-N 0 0 433.513 -0.007 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](n2nncc2CN2CCN3C(=O)C(=O)NC[C@H]3C2)C1 ZINC001461889207 1074513477 /nfs/dbraw/zinc/51/34/77/1074513477.db2.gz OTNHHBAZOANNOH-GJZGRUSLSA-N 0 0 433.513 -0.007 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H](n2nncc2CN2CCN3C(=O)C(=O)NC[C@@H]3C2)C1 ZINC001461889208 1074513566 /nfs/dbraw/zinc/51/35/66/1074513566.db2.gz OTNHHBAZOANNOH-HUUCEWRRSA-N 0 0 433.513 -0.007 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H](n2nncc2CN2CCN3C(=O)C(=O)NC[C@@H]3C2)C1 ZINC001461889210 1074513561 /nfs/dbraw/zinc/51/35/61/1074513561.db2.gz OTNHHBAZOANNOH-LSDHHAIUSA-N 0 0 433.513 -0.007 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3ccc(S(N)(=O)=O)s3)CC2)C[C@H](C)O1 ZINC001461892402 1074513523 /nfs/dbraw/zinc/51/35/23/1074513523.db2.gz PZXJBBBTCJXRKZ-BETUJISGSA-N 0 0 438.597 -0.133 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3ccc(S(N)(=O)=O)s3)CC2)C[C@@H](C)O1 ZINC001461892403 1074513490 /nfs/dbraw/zinc/51/34/90/1074513490.db2.gz PZXJBBBTCJXRKZ-CHWSQXEVSA-N 0 0 438.597 -0.133 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cc3ccc(S(N)(=O)=O)s3)CC2)C[C@H](C)O1 ZINC001461892404 1074513555 /nfs/dbraw/zinc/51/35/55/1074513555.db2.gz PZXJBBBTCJXRKZ-STQMWFEESA-N 0 0 438.597 -0.133 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3ccc(S(N)(=O)=O)cc3)CC2)C[C@H](C)O1 ZINC001461892489 1074513454 /nfs/dbraw/zinc/51/34/54/1074513454.db2.gz SSZJFGJWQLBLHE-GASCZTMLSA-N 0 0 432.568 -0.194 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(Cc3ccc(S(N)(=O)=O)cc3)CC2)C[C@H](C)O1 ZINC001461892490 1074513530 /nfs/dbraw/zinc/51/35/30/1074513530.db2.gz SSZJFGJWQLBLHE-GJZGRUSLSA-N 0 0 432.568 -0.194 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(Cc3ccc(S(N)(=O)=O)cc3)CC2)C[C@@H](C)O1 ZINC001461892592 1074513600 /nfs/dbraw/zinc/51/36/00/1074513600.db2.gz SSZJFGJWQLBLHE-HUUCEWRRSA-N 0 0 432.568 -0.194 20 0 IBADRN CCOC(=O)c1cccc(CN2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001461899460 1074513482 /nfs/dbraw/zinc/51/34/82/1074513482.db2.gz PARFDBVHDRUAPN-HNNXBMFYSA-N 0 0 431.536 -0.107 20 0 IBADRN CCOC(=O)c1cccc(CN2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001461899461 1074513497 /nfs/dbraw/zinc/51/34/97/1074513497.db2.gz PARFDBVHDRUAPN-OAHLLOKOSA-N 0 0 431.536 -0.107 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)n(C)c2)C1 ZINC001461951484 1074513506 /nfs/dbraw/zinc/51/35/06/1074513506.db2.gz BMMNTMSUXLVJIJ-CYBMUJFWSA-N 0 0 447.583 -0.334 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)n(C)c2)C1 ZINC001461951500 1074513597 /nfs/dbraw/zinc/51/35/97/1074513597.db2.gz BMMNTMSUXLVJIJ-ZDUSSCGKSA-N 0 0 447.583 -0.334 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@H]2C(=O)NC2CN(S(=O)(=O)N(C)C)C2)c(OC)c1 ZINC001461951770 1074513467 /nfs/dbraw/zinc/51/34/67/1074513467.db2.gz DBCSRDYIKZBWHZ-INIZCTEOSA-N 0 0 440.522 -0.085 20 0 IBADRN COc1ccc(C(=O)N2CCC[C@@H]2C(=O)NC2CN(S(=O)(=O)N(C)C)C2)c(OC)c1 ZINC001461951775 1074513516 /nfs/dbraw/zinc/51/35/16/1074513516.db2.gz DBCSRDYIKZBWHZ-MRXNPFEDSA-N 0 0 440.522 -0.085 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)ccc1OC ZINC001461951801 1074513945 /nfs/dbraw/zinc/51/39/45/1074513945.db2.gz DFMFLIMJTNMNLU-UHFFFAOYSA-N 0 0 448.567 -0.054 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Cc2ccc(S(=O)(=O)N3CCCC3)s2)C1 ZINC001461952801 1074513924 /nfs/dbraw/zinc/51/39/24/1074513924.db2.gz JLJHMRDRESFWAW-UHFFFAOYSA-N 0 0 436.581 -0.318 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)ccc1Cl ZINC001461952943 1074514017 /nfs/dbraw/zinc/51/40/17/1074514017.db2.gz KEUFHUIERACHOC-UHFFFAOYSA-N 0 0 440.931 -0.258 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001461953856 1074513967 /nfs/dbraw/zinc/51/39/67/1074513967.db2.gz AFONMMQFBSBFLB-DZGCQCFKSA-N 0 0 426.495 -0.952 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001461953926 1074513914 /nfs/dbraw/zinc/51/39/14/1074513914.db2.gz AFONMMQFBSBFLB-HIFRSBDPSA-N 0 0 426.495 -0.952 20 0 IBADRN O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001461953934 1074514046 /nfs/dbraw/zinc/51/40/46/1074514046.db2.gz AFONMMQFBSBFLB-UKRRQHHQSA-N 0 0 426.495 -0.952 20 0 IBADRN O=C(N[C@H]1CCN(C2CCOCC2)C1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001461953943 1074513937 /nfs/dbraw/zinc/51/39/37/1074513937.db2.gz AFONMMQFBSBFLB-ZFWWWQNUSA-N 0 0 426.495 -0.952 20 0 IBADRN COc1ccc(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1S(=O)(=O)NC(C)C ZINC001461954217 1074514030 /nfs/dbraw/zinc/51/40/30/1074514030.db2.gz MKVDLMJTPBCLJE-UHFFFAOYSA-N 0 0 434.540 -0.398 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)C1 ZINC001461957374 1074513867 /nfs/dbraw/zinc/51/38/67/1074513867.db2.gz UTQIYHYYPIEBKD-UHFFFAOYSA-N 0 0 439.556 -0.870 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CCOC3)C1 ZINC001461957451 1074513957 /nfs/dbraw/zinc/51/39/57/1074513957.db2.gz VDNSGQJKTYYNPT-SCLBCKFNSA-N 0 0 432.543 -0.133 20 0 IBADRN Cn1cc(I)c(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)n1 ZINC001461959693 1074514003 /nfs/dbraw/zinc/51/40/03/1074514003.db2.gz BTFDVLJNIYYVQX-MRVPVSSYSA-N 0 0 428.252 -0.585 20 0 IBADRN Cn1cc(I)c(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)n1 ZINC001461959702 1074513991 /nfs/dbraw/zinc/51/39/91/1074513991.db2.gz BTFDVLJNIYYVQX-QMMMGPOBSA-N 0 0 428.252 -0.585 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)CN1Cc2c(cnn2-c2ccccc2)C1=O ZINC001461959832 1074514024 /nfs/dbraw/zinc/51/40/24/1074514024.db2.gz DREMSTFEVQKIOZ-HNNXBMFYSA-N 0 0 433.490 -0.395 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)CN1Cc2c(cnn2-c2ccccc2)C1=O ZINC001461959833 1074513973 /nfs/dbraw/zinc/51/39/73/1074513973.db2.gz DREMSTFEVQKIOZ-OAHLLOKOSA-N 0 0 433.490 -0.395 20 0 IBADRN CC[C@H](Sc1nc(CC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cs1)C(N)=O ZINC001461960331 1074513984 /nfs/dbraw/zinc/51/39/84/1074513984.db2.gz GIINIUHZGSRSRG-NEPJUHHUSA-N 0 0 436.581 -0.182 20 0 IBADRN CC[C@@H](Sc1nc(CC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cs1)C(N)=O ZINC001461960369 1074513949 /nfs/dbraw/zinc/51/39/49/1074513949.db2.gz GIINIUHZGSRSRG-NWDGAFQWSA-N 0 0 436.581 -0.182 20 0 IBADRN CC[C@H](Sc1nc(CC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cs1)C(N)=O ZINC001461960374 1074514039 /nfs/dbraw/zinc/51/40/39/1074514039.db2.gz GIINIUHZGSRSRG-RYUDHWBXSA-N 0 0 436.581 -0.182 20 0 IBADRN CC[C@@H](Sc1nc(CC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cs1)C(N)=O ZINC001461960379 1074513903 /nfs/dbraw/zinc/51/39/03/1074513903.db2.gz GIINIUHZGSRSRG-VXGBXAGGSA-N 0 0 436.581 -0.182 20 0 IBADRN CC(C)(C)OC(=O)Cn1cccc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1=O ZINC001461960626 1074514011 /nfs/dbraw/zinc/51/40/11/1074514011.db2.gz HUJOOEBYJOHYBA-CYBMUJFWSA-N 0 0 429.495 -0.420 20 0 IBADRN CC(C)(C)OC(=O)Cn1cccc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1=O ZINC001461960632 1074513888 /nfs/dbraw/zinc/51/38/88/1074513888.db2.gz HUJOOEBYJOHYBA-ZDUSSCGKSA-N 0 0 429.495 -0.420 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)C2=O ZINC001461960742 1074514297 /nfs/dbraw/zinc/51/42/97/1074514297.db2.gz IRKMUWFUKQGEEN-AHXJXLJNSA-N 0 0 430.527 -0.490 20 0 IBADRN C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)C2=O ZINC001461960754 1074514269 /nfs/dbraw/zinc/51/42/69/1074514269.db2.gz IRKMUWFUKQGEEN-KYZVSKTDSA-N 0 0 430.527 -0.490 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)C2=O ZINC001461960768 1074514429 /nfs/dbraw/zinc/51/44/29/1074514429.db2.gz IRKMUWFUKQGEEN-MCIONIFRSA-N 0 0 430.527 -0.490 20 0 IBADRN C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)C2=O ZINC001461960783 1074514359 /nfs/dbraw/zinc/51/43/59/1074514359.db2.gz IRKMUWFUKQGEEN-MGPQQGTHSA-N 0 0 430.527 -0.490 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)CC2=O)c(OC)c1 ZINC001461961218 1074514411 /nfs/dbraw/zinc/51/44/11/1074514411.db2.gz FGZMORLFGSEWAY-KBPBESRZSA-N 0 0 441.506 -0.167 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)CC2=O)c(OC)c1 ZINC001461961219 1074514370 /nfs/dbraw/zinc/51/43/70/1074514370.db2.gz FGZMORLFGSEWAY-KGLIPLIRSA-N 0 0 441.506 -0.167 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)CC2=O)c(OC)c1 ZINC001461961221 1074514307 /nfs/dbraw/zinc/51/43/07/1074514307.db2.gz FGZMORLFGSEWAY-UONOGXRCSA-N 0 0 441.506 -0.167 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)CC2=O)c(OC)c1 ZINC001461961223 1074514423 /nfs/dbraw/zinc/51/44/23/1074514423.db2.gz FGZMORLFGSEWAY-ZIAGYGMSSA-N 0 0 441.506 -0.167 20 0 IBADRN COc1ccc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1S(=O)(=O)NC(C)C ZINC001461961487 1074514260 /nfs/dbraw/zinc/51/42/60/1074514260.db2.gz FSVAQCPLQNZWPJ-AWEZNQCLSA-N 0 0 449.551 -0.228 20 0 IBADRN COc1ccc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1S(=O)(=O)NC(C)C ZINC001461961490 1074514328 /nfs/dbraw/zinc/51/43/28/1074514328.db2.gz FSVAQCPLQNZWPJ-CQSZACIVSA-N 0 0 449.551 -0.228 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)C1=O ZINC001461963936 1074514382 /nfs/dbraw/zinc/51/43/82/1074514382.db2.gz IWSLVNIWBYYGHO-KBXCAEBGSA-N 0 0 442.469 -0.631 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)C1=O ZINC001461963943 1074514282 /nfs/dbraw/zinc/51/42/82/1074514282.db2.gz IWSLVNIWBYYGHO-KDOFPFPSSA-N 0 0 442.469 -0.631 20 0 IBADRN C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)C1=O ZINC001461963946 1074514321 /nfs/dbraw/zinc/51/43/21/1074514321.db2.gz IWSLVNIWBYYGHO-KSSFIOAISA-N 0 0 442.469 -0.631 20 0 IBADRN C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)C1=O ZINC001461963948 1074514295 /nfs/dbraw/zinc/51/42/95/1074514295.db2.gz IWSLVNIWBYYGHO-RDTXWAMCSA-N 0 0 442.469 -0.631 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)C2=O ZINC001461964364 1074514391 /nfs/dbraw/zinc/51/43/91/1074514391.db2.gz KMFPPPMCWHLRSZ-ACJLOTCBSA-N 0 0 430.527 -0.346 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)C2=O ZINC001461964380 1074514418 /nfs/dbraw/zinc/51/44/18/1074514418.db2.gz KMFPPPMCWHLRSZ-FZKQIMNGSA-N 0 0 430.527 -0.346 20 0 IBADRN CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)C2=O ZINC001461964387 1074514341 /nfs/dbraw/zinc/51/43/41/1074514341.db2.gz KMFPPPMCWHLRSZ-SCLBCKFNSA-N 0 0 430.527 -0.346 20 0 IBADRN CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)C2=O ZINC001461964394 1074514243 /nfs/dbraw/zinc/51/42/43/1074514243.db2.gz KMFPPPMCWHLRSZ-UGSOOPFHSA-N 0 0 430.527 -0.346 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC001461964444 1074514349 /nfs/dbraw/zinc/51/43/49/1074514349.db2.gz KULYPUJLIBSUCV-CYBMUJFWSA-N 0 0 443.503 -0.616 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC001461964455 1074514401 /nfs/dbraw/zinc/51/44/01/1074514401.db2.gz KULYPUJLIBSUCV-ZDUSSCGKSA-N 0 0 443.503 -0.616 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)C2)c1 ZINC001461965329 1074514774 /nfs/dbraw/zinc/51/47/74/1074514774.db2.gz VTIXQWNJMOXGRK-PXAZEXFGSA-N 0 0 427.479 -0.027 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@H](C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)C2)c1 ZINC001461965333 1074514741 /nfs/dbraw/zinc/51/47/41/1074514741.db2.gz VTIXQWNJMOXGRK-SJCJKPOMSA-N 0 0 427.479 -0.027 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)N3CCOC[C@H]3CNS(C)(=O)=O)C2)c1 ZINC001461965336 1074514839 /nfs/dbraw/zinc/51/48/39/1074514839.db2.gz VTIXQWNJMOXGRK-SJKOYZFVSA-N 0 0 427.479 -0.027 20 0 IBADRN COc1ccc(OC)c(C2=NO[C@@H](C(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)C2)c1 ZINC001461965339 1074514832 /nfs/dbraw/zinc/51/48/32/1074514832.db2.gz VTIXQWNJMOXGRK-YVEFUNNKSA-N 0 0 427.479 -0.027 20 0 IBADRN Cc1oc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC001461965810 1074514747 /nfs/dbraw/zinc/51/47/47/1074514747.db2.gz LRCCNTGZJIOFHV-CYBMUJFWSA-N 0 0 435.524 -0.237 20 0 IBADRN Cc1oc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1S(=O)(=O)N1CCCC1 ZINC001461965811 1074514723 /nfs/dbraw/zinc/51/47/23/1074514723.db2.gz LRCCNTGZJIOFHV-ZDUSSCGKSA-N 0 0 435.524 -0.237 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001461966386 1074514781 /nfs/dbraw/zinc/51/47/81/1074514781.db2.gz NKTJZECNJBJGNC-AWEZNQCLSA-N 0 0 448.567 -0.410 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001461966396 1074514790 /nfs/dbraw/zinc/51/47/90/1074514790.db2.gz NKTJZECNJBJGNC-CQSZACIVSA-N 0 0 448.567 -0.410 20 0 IBADRN COc1cc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)ccc1OCC(=O)NC(C)C ZINC001461967249 1074514822 /nfs/dbraw/zinc/51/48/22/1074514822.db2.gz OWDJIYILXTUQEL-HNNXBMFYSA-N 0 0 443.522 -0.011 20 0 IBADRN COc1cc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)ccc1OCC(=O)NC(C)C ZINC001461967261 1074514845 /nfs/dbraw/zinc/51/48/45/1074514845.db2.gz OWDJIYILXTUQEL-OAHLLOKOSA-N 0 0 443.522 -0.011 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)s1 ZINC001461968427 1074514763 /nfs/dbraw/zinc/51/47/63/1074514763.db2.gz UGASJMWAQJBCJD-LLVKDONJSA-N 0 0 425.554 -0.770 20 0 IBADRN CS(=O)(=O)NCCc1ccc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)s1 ZINC001461968455 1074514732 /nfs/dbraw/zinc/51/47/32/1074514732.db2.gz UGASJMWAQJBCJD-NSHDSACASA-N 0 0 425.554 -0.770 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC001461969813 1074514768 /nfs/dbraw/zinc/51/47/68/1074514768.db2.gz ZXYZLILAHLRNSV-KRWDZBQOSA-N 0 0 445.563 -0.693 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)c1cccc(CN2CCS(=O)(=O)CC2)c1 ZINC001461969822 1074514826 /nfs/dbraw/zinc/51/48/26/1074514826.db2.gz ZXYZLILAHLRNSV-QGZVFWFLSA-N 0 0 445.563 -0.693 20 0 IBADRN CN(C)c1ncc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)n1C ZINC001462023172 1074514795 /nfs/dbraw/zinc/51/47/95/1074514795.db2.gz AZMFNKUHCOAGFJ-UHFFFAOYSA-N 0 0 442.567 -0.360 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(Cc3cnn4c3CCC4)CC2)cc1 ZINC001462027606 1074514712 /nfs/dbraw/zinc/51/47/12/1074514712.db2.gz WFGBQYPSICKGOE-UHFFFAOYSA-N 0 0 425.536 -0.017 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H]3CCS(=O)(=O)[C@@H]3C)c2)CC1 ZINC001462058909 1074514755 /nfs/dbraw/zinc/51/47/55/1074514755.db2.gz DTZBCTDFYOVZGD-CXAGYDPISA-N 0 0 443.547 -0.155 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)C1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001462061142 1074514694 /nfs/dbraw/zinc/51/46/94/1074514694.db2.gz COSLWUOKGLAUEP-UHFFFAOYSA-N 0 0 443.526 -0.685 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1C ZINC001462064133 1074514810 /nfs/dbraw/zinc/51/48/10/1074514810.db2.gz LURSABVUFQEEFA-UHFFFAOYSA-N 0 0 441.506 -0.185 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001462067516 1074514703 /nfs/dbraw/zinc/51/47/03/1074514703.db2.gz WNLDBPVUTSZZAM-UHFFFAOYSA-N 0 0 427.479 -0.493 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC001462078699 1074515181 /nfs/dbraw/zinc/51/51/81/1074515181.db2.gz WVHYMYDKZALGOF-JLTOFOAXSA-N 0 0 449.485 -0.431 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O ZINC001462078700 1074515173 /nfs/dbraw/zinc/51/51/73/1074515173.db2.gz WVHYMYDKZALGOF-VBKZILBWSA-N 0 0 449.485 -0.431 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC001462078701 1074515308 /nfs/dbraw/zinc/51/53/08/1074515308.db2.gz WVHYMYDKZALGOF-VLIAUNLRSA-N 0 0 449.485 -0.431 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)CN1C(=O)N[C@]2(CCc3ccccc32)C1=O ZINC001462078702 1074515268 /nfs/dbraw/zinc/51/52/68/1074515268.db2.gz WVHYMYDKZALGOF-XOBRGWDASA-N 0 0 449.485 -0.431 20 0 IBADRN CCc1ncc(CN2CCC(N3CCS(=O)(=O)CC3)CC2)n1S(=O)(=O)N(C)C ZINC001462107579 1074515199 /nfs/dbraw/zinc/51/51/99/1074515199.db2.gz OTWWBXJXYOXNFA-UHFFFAOYSA-N 0 0 433.600 -0.205 20 0 IBADRN NS(=O)(=O)c1ccc(CN2CCN(C(=O)Cn3cnnn3)CC2)cc1Br ZINC001462212340 1074515315 /nfs/dbraw/zinc/51/53/15/1074515315.db2.gz YRYYHANOPNGCKW-UHFFFAOYSA-N 0 0 444.315 -0.573 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3C[C@@H]4C(=O)N(C)C(=O)[C@@H]4C3)c2)CC1 ZINC001462222101 1074515206 /nfs/dbraw/zinc/51/52/06/1074515206.db2.gz LSYZOVQEFHUXCX-CALCHBBNSA-N 0 0 448.501 -0.774 20 0 IBADRN O=C(CCc1ccc(N2CCCS2(=O)=O)cc1)NC[C@@]12COCCN1C(=O)COC2 ZINC001462232802 1074515277 /nfs/dbraw/zinc/51/52/77/1074515277.db2.gz KJXYQXHXZAOKIM-FQEVSTJZSA-N 0 0 437.518 -0.097 20 0 IBADRN O=C(CCc1ccc(N2CCCS2(=O)=O)cc1)NC[C@]12COCCN1C(=O)COC2 ZINC001462232817 1074515304 /nfs/dbraw/zinc/51/53/04/1074515304.db2.gz KJXYQXHXZAOKIM-HXUWFJFHSA-N 0 0 437.518 -0.097 20 0 IBADRN CC[C@@H](Sc1nc(CC(=O)NC[C@@]23COCCN2C(=O)COC3)cs1)C(N)=O ZINC001462235491 1074515223 /nfs/dbraw/zinc/51/52/23/1074515223.db2.gz GLXQQYUKUVYVIS-PXAZEXFGSA-N 0 0 428.536 -0.214 20 0 IBADRN CC[C@H](Sc1nc(CC(=O)NC[C@@]23COCCN2C(=O)COC3)cs1)C(N)=O ZINC001462235496 1074515124 /nfs/dbraw/zinc/51/51/24/1074515124.db2.gz GLXQQYUKUVYVIS-SJCJKPOMSA-N 0 0 428.536 -0.214 20 0 IBADRN CC[C@@H](Sc1nc(CC(=O)NC[C@]23COCCN2C(=O)COC3)cs1)C(N)=O ZINC001462235501 1074515143 /nfs/dbraw/zinc/51/51/43/1074515143.db2.gz GLXQQYUKUVYVIS-SJKOYZFVSA-N 0 0 428.536 -0.214 20 0 IBADRN CC[C@H](Sc1nc(CC(=O)NC[C@]23COCCN2C(=O)COC3)cs1)C(N)=O ZINC001462235507 1074515262 /nfs/dbraw/zinc/51/52/62/1074515262.db2.gz GLXQQYUKUVYVIS-YVEFUNNKSA-N 0 0 428.536 -0.214 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@]23COCCN2C(=O)COC3)C1=O ZINC001462240031 1074515274 /nfs/dbraw/zinc/51/52/74/1074515274.db2.gz NFOOXEKXATYIQI-LEWJYISDSA-N 0 0 446.460 -0.794 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@]23COCCN2C(=O)COC3)C1=O ZINC001462240041 1074515154 /nfs/dbraw/zinc/51/51/54/1074515154.db2.gz NFOOXEKXATYIQI-NHCUHLMSSA-N 0 0 446.460 -0.794 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)NC[C@@]23COCCN2C(=O)COC3)C1=O ZINC001462240047 1074515189 /nfs/dbraw/zinc/51/51/89/1074515189.db2.gz NFOOXEKXATYIQI-RTWAWAEBSA-N 0 0 446.460 -0.794 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)NC[C@@]23COCCN2C(=O)COC3)C1=O ZINC001462240052 1074515221 /nfs/dbraw/zinc/51/52/21/1074515221.db2.gz NFOOXEKXATYIQI-SFTDATJTSA-N 0 0 446.460 -0.794 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001462240992 1074515257 /nfs/dbraw/zinc/51/52/57/1074515257.db2.gz OZXWJVLSKNRPOU-FXAWDEMLSA-N 0 0 437.518 -0.108 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001462240997 1074515166 /nfs/dbraw/zinc/51/51/66/1074515166.db2.gz OZXWJVLSKNRPOU-PXNSSMCTSA-N 0 0 437.518 -0.108 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)c1cccc(C(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001462243093 1074515699 /nfs/dbraw/zinc/51/56/99/1074515699.db2.gz UBPUJMXZEZWLAG-KRWDZBQOSA-N 0 0 445.519 -0.540 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)c1cccc(C(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001462243104 1074515778 /nfs/dbraw/zinc/51/57/78/1074515778.db2.gz UBPUJMXZEZWLAG-QGZVFWFLSA-N 0 0 445.519 -0.540 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@]23COC(=O)N2CCOC3)c1 ZINC001462295552 1074515618 /nfs/dbraw/zinc/51/56/18/1074515618.db2.gz MFUZZMVKCPDOSK-GOSISDBHSA-N 0 0 442.494 -0.784 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@]23COC(=O)N2CCOC3)c1 ZINC001462295554 1074515627 /nfs/dbraw/zinc/51/56/27/1074515627.db2.gz MFUZZMVKCPDOSK-SFHVURJKSA-N 0 0 442.494 -0.784 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@@]34COC(=O)N3CCOC4)cc2S1(=O)=O ZINC001462297094 1074515786 /nfs/dbraw/zinc/51/57/86/1074515786.db2.gz ONCKBQKAJCMBMJ-GOSISDBHSA-N 0 0 439.446 -0.572 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC[C@]34COC(=O)N3CCOC4)cc2S1(=O)=O ZINC001462297259 1074515595 /nfs/dbraw/zinc/51/55/95/1074515595.db2.gz ONCKBQKAJCMBMJ-SFHVURJKSA-N 0 0 439.446 -0.572 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001462298943 1074515748 /nfs/dbraw/zinc/51/57/48/1074515748.db2.gz SAYFVRCWJWWATK-GOSISDBHSA-N 0 0 443.453 -0.202 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1 ZINC001462298951 1074515742 /nfs/dbraw/zinc/51/57/42/1074515742.db2.gz SAYFVRCWJWWATK-SFHVURJKSA-N 0 0 443.453 -0.202 20 0 IBADRN NC(=O)C1(NC(=O)c2csc(I)n2)CCS(=O)(=O)CC1 ZINC001462319788 1074515694 /nfs/dbraw/zinc/51/56/94/1074515694.db2.gz RDQWUAPZRJHQFY-UHFFFAOYSA-N 0 0 429.261 -0.090 20 0 IBADRN COCCC1(NC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001462371220 1074515631 /nfs/dbraw/zinc/51/56/31/1074515631.db2.gz HRNABYXNPBBUOZ-ZDUSSCGKSA-N 0 0 433.527 -0.178 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCn2c(Cc3cccc(F)c3F)nnc2C1 ZINC001462435818 1074515687 /nfs/dbraw/zinc/51/56/87/1074515687.db2.gz HUJYOOYRGGOXGU-LBPRGKRZSA-N 0 0 425.417 -0.598 20 0 IBADRN CON(C)S(=O)(=O)c1cc(C(=O)NCc2nnc3n2CCNC3=O)ccc1Cl ZINC001462496296 1074515644 /nfs/dbraw/zinc/51/56/44/1074515644.db2.gz PTUVGOUHOKPOED-UHFFFAOYSA-N 0 0 428.858 -0.213 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001462499363 1074515772 /nfs/dbraw/zinc/51/57/72/1074515772.db2.gz CJXKYOHTIHEOGA-UHFFFAOYSA-N 0 0 436.469 -0.122 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)C3(S(C)(=O)=O)CCOCC3)CC2)cc1 ZINC001462499456 1074515674 /nfs/dbraw/zinc/51/56/74/1074515674.db2.gz FVUZJNRWXNKYOU-UHFFFAOYSA-N 0 0 445.563 -0.163 20 0 IBADRN Cc1c(C(=O)NCC2(S(=O)(=O)NC(C)(C)C)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001462504980 1074515658 /nfs/dbraw/zinc/51/56/58/1074515658.db2.gz IEURSBIAJPTSDN-UHFFFAOYSA-N 0 0 437.522 -0.079 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N2CC(=O)NCC23COC3)cc1 ZINC001462588402 1074515729 /nfs/dbraw/zinc/51/57/29/1074515729.db2.gz OPZOFHSDPLGESY-UHFFFAOYSA-N 0 0 441.506 -0.689 20 0 IBADRN O=C(NCC1C[C@H]2CC[C@@H](C1)S2(=O)=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001462600319 1074515758 /nfs/dbraw/zinc/51/57/58/1074515758.db2.gz DKKAKEAZDUITMZ-CPIKKWJDSA-N 0 0 431.536 -0.376 20 0 IBADRN O=C(NCC1C[C@H]2CC[C@@H](C1)S2(=O)=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001462600321 1074516140 /nfs/dbraw/zinc/51/61/40/1074516140.db2.gz DKKAKEAZDUITMZ-PBNFFQIGSA-N 0 0 431.536 -0.376 20 0 IBADRN C[C@]12CN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C[C@@]1(C)CS(=O)(=O)C2 ZINC001462628373 1074516041 /nfs/dbraw/zinc/51/60/41/1074516041.db2.gz PURPDNLALKWCTN-AFAVFJNCSA-N 0 0 431.536 -0.565 20 0 IBADRN C[C@]12CN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C[C@@]1(C)CS(=O)(=O)C2 ZINC001462628378 1074516166 /nfs/dbraw/zinc/51/61/66/1074516166.db2.gz PURPDNLALKWCTN-JLZZUVOBSA-N 0 0 431.536 -0.565 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001462638043 1074516123 /nfs/dbraw/zinc/51/61/23/1074516123.db2.gz ZRBSDFDJTXQPGC-CYBMUJFWSA-N 0 0 442.542 -0.153 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001462638045 1074516174 /nfs/dbraw/zinc/51/61/74/1074516174.db2.gz ZRBSDFDJTXQPGC-ZDUSSCGKSA-N 0 0 442.542 -0.153 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@H]1C(=O)NCc1cn(C2CN(C(=O)OC(C)(C)C)C2)nn1 ZINC001462666115 1074516190 /nfs/dbraw/zinc/51/61/90/1074516190.db2.gz VMMJCRZDAANKCA-INIZCTEOSA-N 0 0 449.512 -0.187 20 0 IBADRN CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)NCc1cn(C2CN(C(=O)OC(C)(C)C)C2)nn1 ZINC001462666136 1074516197 /nfs/dbraw/zinc/51/61/97/1074516197.db2.gz VMMJCRZDAANKCA-MRXNPFEDSA-N 0 0 449.512 -0.187 20 0 IBADRN CS(=O)(=O)c1ccccc1CCNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001462686387 1074516147 /nfs/dbraw/zinc/51/61/47/1074516147.db2.gz MNOAGZIHSAFCFZ-AWEZNQCLSA-N 0 0 441.531 -0.086 20 0 IBADRN CS(=O)(=O)c1ccccc1CCNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001462686538 1074516108 /nfs/dbraw/zinc/51/61/08/1074516108.db2.gz MNOAGZIHSAFCFZ-CQSZACIVSA-N 0 0 441.531 -0.086 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(C)c1Br ZINC001462697378 1074516230 /nfs/dbraw/zinc/51/62/30/1074516230.db2.gz XMOOGTVWLTUUIP-SECBINFHSA-N 0 0 443.345 -0.504 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(C)c1Br ZINC001462697380 1074516056 /nfs/dbraw/zinc/51/60/56/1074516056.db2.gz XMOOGTVWLTUUIP-VIFPVBQESA-N 0 0 443.345 -0.504 20 0 IBADRN O=C(CNC(=O)CSc1nnnn1CC(F)(F)F)NCC(=O)NCc1ccccc1 ZINC001462697458 1074516181 /nfs/dbraw/zinc/51/61/81/1074516181.db2.gz GTVCXDVPVCUKHJ-UHFFFAOYSA-N 0 0 445.427 -0.124 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)cn1 ZINC001462699707 1074516098 /nfs/dbraw/zinc/51/60/98/1074516098.db2.gz SHEUUEZHJWTKDD-UHFFFAOYSA-N 0 0 433.490 -0.506 20 0 IBADRN Cn1c2sc(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)cc2c(=O)n(C)c1=O ZINC001462700554 1074516089 /nfs/dbraw/zinc/51/60/89/1074516089.db2.gz QGVPBTHVEQPRGD-UHFFFAOYSA-N 0 0 443.485 -0.539 20 0 IBADRN O=S(=O)(c1cccc2nsnc21)N1CCN(S(=O)(=O)[C@@H]2COC[C@H]2O)CC1 ZINC001462710542 1074516201 /nfs/dbraw/zinc/51/62/01/1074516201.db2.gz VNDCSRSAOIJRQM-DGCLKSJQSA-N 0 0 434.521 -0.913 20 0 IBADRN CCc1ccccc1NC(=O)CN1CCN(S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001462736920 1074516153 /nfs/dbraw/zinc/51/61/53/1074516153.db2.gz YRFQIFAXQZMCJS-UHFFFAOYSA-N 0 0 435.506 -0.007 20 0 IBADRN CN1CCO[C@H](CS(=O)(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001462737733 1074516627 /nfs/dbraw/zinc/51/66/27/1074516627.db2.gz DCEJOHANKYLZNF-INIZCTEOSA-N 0 0 433.552 -0.543 20 0 IBADRN CN1CCO[C@@H](CS(=O)(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001462737734 1074516602 /nfs/dbraw/zinc/51/66/02/1074516602.db2.gz DCEJOHANKYLZNF-MRXNPFEDSA-N 0 0 433.552 -0.543 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCC(C(=O)Nc3ccc(S(N)(=O)=O)cc3)CC2)CC1 ZINC001462742654 1074516540 /nfs/dbraw/zinc/51/65/40/1074516540.db2.gz OWSRPTWIUJRUDK-UHFFFAOYSA-N 0 0 445.567 -0.523 20 0 IBADRN CCN1C[C@@H](S(=O)(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001462742797 1074516592 /nfs/dbraw/zinc/51/65/92/1074516592.db2.gz VMLQNUNJKZLFBV-INIZCTEOSA-N 0 0 431.536 -0.252 20 0 IBADRN CCN1C[C@H](S(=O)(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1=O ZINC001462742798 1074516525 /nfs/dbraw/zinc/51/65/25/1074516525.db2.gz VMLQNUNJKZLFBV-MRXNPFEDSA-N 0 0 431.536 -0.252 20 0 IBADRN CCNC(=O)N1CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001462744312 1074516498 /nfs/dbraw/zinc/51/64/98/1074516498.db2.gz CTKLEDOTVNLOSZ-UHFFFAOYSA-N 0 0 438.506 -0.024 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC001462744872 1074516596 /nfs/dbraw/zinc/51/65/96/1074516596.db2.gz HCEKNQUPVNZDPN-INIZCTEOSA-N 0 0 446.570 -0.152 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)C1 ZINC001462744874 1074516533 /nfs/dbraw/zinc/51/65/33/1074516533.db2.gz HCEKNQUPVNZDPN-MRXNPFEDSA-N 0 0 446.570 -0.152 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001462745435 1074516617 /nfs/dbraw/zinc/51/66/17/1074516617.db2.gz HLXJYQLJCHVUNU-CYBMUJFWSA-N 0 0 427.545 -0.471 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001462745440 1074516611 /nfs/dbraw/zinc/51/66/11/1074516611.db2.gz HLXJYQLJCHVUNU-ZDUSSCGKSA-N 0 0 427.545 -0.471 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CS(=O)(=O)C2)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001462746573 1074516587 /nfs/dbraw/zinc/51/65/87/1074516587.db2.gz UJCPABAVMHAZKQ-HNNXBMFYSA-N 0 0 434.561 -0.392 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CS(=O)(=O)C2)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001462746574 1074516552 /nfs/dbraw/zinc/51/65/52/1074516552.db2.gz UJCPABAVMHAZKQ-OAHLLOKOSA-N 0 0 434.561 -0.392 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)cc1)NC1CC1 ZINC001462746735 1074516622 /nfs/dbraw/zinc/51/66/22/1074516622.db2.gz NJCDBNPTLBVQIO-UHFFFAOYSA-N 0 0 436.534 -0.071 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCN(CC(=O)NC3CC3)CC2)CC1 ZINC001462748803 1074516575 /nfs/dbraw/zinc/51/65/75/1074516575.db2.gz TYLPGYMIAOFMBO-UHFFFAOYSA-N 0 0 431.559 -0.320 20 0 IBADRN COC(=O)[C@@H](F)CNC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001462753814 1074516558 /nfs/dbraw/zinc/51/65/58/1074516558.db2.gz CYNYEQZEMCHKMO-AWEZNQCLSA-N 0 0 433.433 -0.081 20 0 IBADRN COC1CCN(S(=O)(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001462756233 1074516569 /nfs/dbraw/zinc/51/65/69/1074516569.db2.gz DCMDIJSCXLTHRQ-INIZCTEOSA-N 0 0 439.600 -0.447 20 0 IBADRN COC1CCN(S(=O)(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001462756245 1074516513 /nfs/dbraw/zinc/51/65/13/1074516513.db2.gz DCMDIJSCXLTHRQ-MRXNPFEDSA-N 0 0 439.600 -0.447 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)C1 ZINC001462767223 1074516486 /nfs/dbraw/zinc/51/64/86/1074516486.db2.gz RRHFMIUSORULCA-MNOVXSKESA-N 0 0 425.454 -0.092 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)C1 ZINC001462767227 1074516508 /nfs/dbraw/zinc/51/65/08/1074516508.db2.gz RRHFMIUSORULCA-QWRGUYRKSA-N 0 0 425.454 -0.092 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCCN(S(=O)(=O)CCN2CCOC2=O)CC1 ZINC001462771484 1074516973 /nfs/dbraw/zinc/51/69/73/1074516973.db2.gz ASUDQNBOZZCZNO-UHFFFAOYSA-N 0 0 436.512 -0.230 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c(Br)n1 ZINC001462779773 1074517062 /nfs/dbraw/zinc/51/70/62/1074517062.db2.gz BDRWZVRVGBTEBT-UHFFFAOYSA-N 0 0 436.332 -0.652 20 0 IBADRN O=S(=O)(c1c[nH]cn1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001462780809 1074516911 /nfs/dbraw/zinc/51/69/11/1074516911.db2.gz DYSPSMGPQXBHNB-UHFFFAOYSA-N 0 0 442.523 -0.664 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCN(C(=O)CN4CCOCC4)CC3)cc2sc1=O ZINC001462780854 1074516889 /nfs/dbraw/zinc/51/68/89/1074516889.db2.gz FBRNTOBNBXQRHM-UHFFFAOYSA-N 0 0 440.547 -0.235 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)CC1 ZINC001462780989 1074516906 /nfs/dbraw/zinc/51/69/06/1074516906.db2.gz ZRFVLYXGTWSOGI-LLVKDONJSA-N 0 0 435.490 -0.074 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)CC1 ZINC001462781012 1074516955 /nfs/dbraw/zinc/51/69/55/1074516955.db2.gz ZRFVLYXGTWSOGI-NSHDSACASA-N 0 0 435.490 -0.074 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001462783374 1074516934 /nfs/dbraw/zinc/51/69/34/1074516934.db2.gz PABQFIBVKIBCRZ-INIZCTEOSA-N 0 0 446.570 -0.486 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)C[C@@H]2COc3ccccc3O2)CC1 ZINC001462784148 1074517000 /nfs/dbraw/zinc/51/70/00/1074517000.db2.gz SQKPGUMSPZXUAP-INIZCTEOSA-N 0 0 425.507 -0.367 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(S(=O)(=O)CCN3CCOC3=O)CC2)cc1 ZINC001462784498 1074516983 /nfs/dbraw/zinc/51/69/83/1074516983.db2.gz QDSYHDLKBQAWMS-UHFFFAOYSA-N 0 0 445.519 -0.023 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(S(=O)(=O)C[C@H]2COc3ccccc3O2)CC1 ZINC001462784551 1074517008 /nfs/dbraw/zinc/51/70/08/1074517008.db2.gz SQKPGUMSPZXUAP-MRXNPFEDSA-N 0 0 425.507 -0.367 20 0 IBADRN COC(=O)c1cc(OC)ccc1S(=O)(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001462784930 1074517052 /nfs/dbraw/zinc/51/70/52/1074517052.db2.gz WNYSVHVYVZUMDB-UHFFFAOYSA-N 0 0 441.506 -0.353 20 0 IBADRN CO[C@@H](C)CS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001462784964 1074516993 /nfs/dbraw/zinc/51/69/93/1074516993.db2.gz XFIVUBNJBASKEN-HNNXBMFYSA-N 0 0 448.567 -0.411 20 0 IBADRN CO[C@H](C)CS(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001462784969 1074517074 /nfs/dbraw/zinc/51/70/74/1074517074.db2.gz XFIVUBNJBASKEN-OAHLLOKOSA-N 0 0 448.567 -0.411 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001462787816 1074516941 /nfs/dbraw/zinc/51/69/41/1074516941.db2.gz HBBYNIXYGHUFOM-UHFFFAOYSA-N 0 0 431.536 -0.139 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCNS(=O)(=O)Cc2ccccc2)[C@H]1c1nccn1C ZINC001462789851 1074517037 /nfs/dbraw/zinc/51/70/37/1074517037.db2.gz FDDAPTOQMDHCFT-ROUUACIJSA-N 0 0 449.533 -0.056 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)NCC2(S(=O)(=O)N(C)C)CC2)cc1 ZINC001462789941 1074517105 /nfs/dbraw/zinc/51/71/05/1074517105.db2.gz MEVLKIWDTNIZQD-UHFFFAOYSA-N 0 0 446.551 -0.194 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC001462799736 1074517444 /nfs/dbraw/zinc/51/74/44/1074517444.db2.gz VZJSRIMYSXQDDN-UHFFFAOYSA-N 0 0 433.508 -0.428 20 0 IBADRN CS(=O)(=O)NCCCNS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001462801259 1074517575 /nfs/dbraw/zinc/51/75/75/1074517575.db2.gz IRKKHZHGUFPGKM-UHFFFAOYSA-N 0 0 432.293 -0.095 20 0 IBADRN Cn1ccn2c(CCNC(=O)CN3C(=O)N[C@@](C)(c4ccc(F)cc4)C3=O)nnc2c1=O ZINC001462801617 1074517488 /nfs/dbraw/zinc/51/74/88/1074517488.db2.gz HMUZKLMAUKAJKU-FQEVSTJZSA-N 0 0 441.423 -0.307 20 0 IBADRN Cn1ccn2c(CCNC(=O)CN3C(=O)N[C@](C)(c4ccc(F)cc4)C3=O)nnc2c1=O ZINC001462801628 1074517568 /nfs/dbraw/zinc/51/75/68/1074517568.db2.gz HMUZKLMAUKAJKU-HXUWFJFHSA-N 0 0 441.423 -0.307 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCN1CCNC1=O ZINC001462802385 1074517426 /nfs/dbraw/zinc/51/74/26/1074517426.db2.gz JNXINIHPNCGLQG-UHFFFAOYSA-N 0 0 439.538 -0.224 20 0 IBADRN CCNC(=O)CN1CCN(S(=O)(=O)c2cnn3cc(Br)cnc23)CC1 ZINC001462802654 1074517553 /nfs/dbraw/zinc/51/75/53/1074517553.db2.gz NGCNCZGEAWJREG-UHFFFAOYSA-N 0 0 431.316 -0.066 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCN2CCNC2=O)o1 ZINC001462804922 1074517546 /nfs/dbraw/zinc/51/75/46/1074517546.db2.gz QSVKXJHDOGHTAK-GFCCVEGCSA-N 0 0 428.467 -0.249 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCN2CCNC2=O)o1 ZINC001462804927 1074517496 /nfs/dbraw/zinc/51/74/96/1074517496.db2.gz QSVKXJHDOGHTAK-LBPRGKRZSA-N 0 0 428.467 -0.249 20 0 IBADRN COc1ccc(CC(=O)NCCN2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001462805143 1074517512 /nfs/dbraw/zinc/51/75/12/1074517512.db2.gz SMOBGSAOJXAZPC-UHFFFAOYSA-N 0 0 426.495 -0.600 20 0 IBADRN Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NCCc3nnc4c(=O)n(C)ccn34)C2=O)cc1 ZINC001462807499 1074517481 /nfs/dbraw/zinc/51/74/81/1074517481.db2.gz ZRNWYPSJSPAKDM-NRFANRHFSA-N 0 0 437.460 -0.138 20 0 IBADRN Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCCc3nnc4c(=O)n(C)ccn34)C2=O)cc1 ZINC001462807530 1074517504 /nfs/dbraw/zinc/51/75/04/1074517504.db2.gz ZRNWYPSJSPAKDM-OAQYLSRUSA-N 0 0 437.460 -0.138 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]nc3Cl)CC2)C[C@@H](C)O1 ZINC001462808842 1074517561 /nfs/dbraw/zinc/51/75/61/1074517561.db2.gz XQYFETDNKJCSND-GHMZBOCLSA-N 0 0 427.936 -0.277 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]nc3Cl)CC2)C[C@H](C)O1 ZINC001462808845 1074517600 /nfs/dbraw/zinc/51/76/00/1074517600.db2.gz XQYFETDNKJCSND-PHIMTYICSA-N 0 0 427.936 -0.277 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]nc3Cl)CC2)C[C@H](C)O1 ZINC001462808848 1074517518 /nfs/dbraw/zinc/51/75/18/1074517518.db2.gz XQYFETDNKJCSND-QWRGUYRKSA-N 0 0 427.936 -0.277 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3C(C)(C)C3(F)F)CC2)CC1 ZINC001462812030 1074517530 /nfs/dbraw/zinc/51/75/30/1074517530.db2.gz AZKOHRVGSNWKNZ-CYBMUJFWSA-N 0 0 430.543 -0.283 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3C(C)(C)C3(F)F)CC2)CC1 ZINC001462812031 1074517436 /nfs/dbraw/zinc/51/74/36/1074517436.db2.gz AZKOHRVGSNWKNZ-ZDUSSCGKSA-N 0 0 430.543 -0.283 20 0 IBADRN COc1ccc(F)cc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001462812216 1074517588 /nfs/dbraw/zinc/51/75/88/1074517588.db2.gz CDSKQDBQNIKHQR-UHFFFAOYSA-N 0 0 436.531 -0.367 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCCS(=O)(=O)NC2CC2)C1 ZINC001462813418 1074517939 /nfs/dbraw/zinc/51/79/39/1074517939.db2.gz CDLKJZQPRDLZPA-CYBMUJFWSA-N 0 0 427.545 -0.377 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCCS(=O)(=O)NC2CC2)C1 ZINC001462813426 1074517901 /nfs/dbraw/zinc/51/79/01/1074517901.db2.gz CDLKJZQPRDLZPA-ZDUSSCGKSA-N 0 0 427.545 -0.377 20 0 IBADRN COc1cc(CS(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)sn1 ZINC001462814928 1074517989 /nfs/dbraw/zinc/51/79/89/1074517989.db2.gz JXDQEKSOAJXLDJ-UHFFFAOYSA-N 0 0 439.585 -0.909 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)c3cccc4c3OCC4)CC2)CC1 ZINC001462815179 1074517889 /nfs/dbraw/zinc/51/78/89/1074517889.db2.gz KJOHLPYEDCGWRK-UHFFFAOYSA-N 0 0 430.552 -0.580 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CCCC3(F)F)CC2)CC1 ZINC001462819399 1074518078 /nfs/dbraw/zinc/51/80/78/1074518078.db2.gz VJZJLXGINZVJTN-AWEZNQCLSA-N 0 0 430.543 -0.139 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CCCC3(F)F)CC2)CC1 ZINC001462819403 1074517978 /nfs/dbraw/zinc/51/79/78/1074517978.db2.gz VJZJLXGINZVJTN-CQSZACIVSA-N 0 0 430.543 -0.139 20 0 IBADRN CON(C)S(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc1 ZINC001462821611 1074518058 /nfs/dbraw/zinc/51/80/58/1074518058.db2.gz BGYBPIKMBNDIPS-UHFFFAOYSA-N 0 0 427.526 -0.344 20 0 IBADRN CON(C)S(=O)(=O)c1cccc(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)c1 ZINC001462821917 1074518084 /nfs/dbraw/zinc/51/80/84/1074518084.db2.gz BZPRKCBEGWYNMH-UHFFFAOYSA-N 0 0 427.526 -0.344 20 0 IBADRN CCC(=O)N1CCc2ccc(S(=O)(=O)NCCC(=O)N[C@H]3CCS(=O)(=O)C3)cc21 ZINC001462831329 1074518004 /nfs/dbraw/zinc/51/80/04/1074518004.db2.gz IPAFRMKFJDRSJX-AWEZNQCLSA-N 0 0 443.547 -0.043 20 0 IBADRN CCC(=O)N1CCc2ccc(S(=O)(=O)NCCC(=O)N[C@@H]3CCS(=O)(=O)C3)cc21 ZINC001462831350 1074517949 /nfs/dbraw/zinc/51/79/49/1074517949.db2.gz IPAFRMKFJDRSJX-CQSZACIVSA-N 0 0 443.547 -0.043 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1F ZINC001462832585 1074518046 /nfs/dbraw/zinc/51/80/46/1074518046.db2.gz LGPYOIILVLEGEV-JTQLQIEISA-N 0 0 440.446 -0.277 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1F ZINC001462832622 1074518011 /nfs/dbraw/zinc/51/80/11/1074518011.db2.gz LGPYOIILVLEGEV-SNVBAGLBSA-N 0 0 440.446 -0.277 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3cnn4c3OCCC4)CC2)cc1 ZINC001462832692 1074518068 /nfs/dbraw/zinc/51/80/68/1074518068.db2.gz AMLMVHAIAIREEC-UHFFFAOYSA-N 0 0 427.508 -0.176 20 0 IBADRN CCOC(=O)c1ccc(CS(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1 ZINC001462832915 1074517912 /nfs/dbraw/zinc/51/79/12/1074517912.db2.gz BRKVYMWLCUKEAI-HNNXBMFYSA-N 0 0 432.520 -0.072 20 0 IBADRN CCOC(=O)c1ccc(CS(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001462833092 1074517933 /nfs/dbraw/zinc/51/79/33/1074517933.db2.gz BRKVYMWLCUKEAI-OAHLLOKOSA-N 0 0 432.520 -0.072 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CS(C)(=O)=O)cc1 ZINC001462835557 1074517922 /nfs/dbraw/zinc/51/79/22/1074517922.db2.gz JSIKPIKOMIQMFC-CYBMUJFWSA-N 0 0 438.549 -0.845 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc(CS(C)(=O)=O)cc1 ZINC001462835782 1074517956 /nfs/dbraw/zinc/51/79/56/1074517956.db2.gz JSIKPIKOMIQMFC-ZDUSSCGKSA-N 0 0 438.549 -0.845 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1S(=O)(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001462836913 1074518455 /nfs/dbraw/zinc/51/84/55/1074518455.db2.gz OWLIADFIFZIKOA-CYBMUJFWSA-N 0 0 447.535 -0.679 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1S(=O)(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001462836922 1074518418 /nfs/dbraw/zinc/51/84/18/1074518418.db2.gz OWLIADFIFZIKOA-ZDUSSCGKSA-N 0 0 447.535 -0.679 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(C)c1Br ZINC001462839448 1074518490 /nfs/dbraw/zinc/51/84/90/1074518490.db2.gz XVRCEQUSIKAXRR-MRVPVSSYSA-N 0 0 429.318 -0.894 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cnn(C)c1Br ZINC001462839453 1074518478 /nfs/dbraw/zinc/51/84/78/1074518478.db2.gz XVRCEQUSIKAXRR-QMMMGPOBSA-N 0 0 429.318 -0.894 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(S(=O)(=O)[C@H]3CC(=O)N(C4CC4)C3)CC2)CC1 ZINC001462841368 1074518493 /nfs/dbraw/zinc/51/84/93/1074518493.db2.gz AYACCVYNCQAZJA-INIZCTEOSA-N 0 0 426.539 -0.485 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(S(=O)(=O)[C@@H]3CC(=O)N(C4CC4)C3)CC2)CC1 ZINC001462841449 1074518485 /nfs/dbraw/zinc/51/84/85/1074518485.db2.gz AYACCVYNCQAZJA-MRXNPFEDSA-N 0 0 426.539 -0.485 20 0 IBADRN COCCn1cc(S(=O)(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)cn1 ZINC001462841772 1074518509 /nfs/dbraw/zinc/51/85/09/1074518509.db2.gz BROFGRQFQDNGLD-UHFFFAOYSA-N 0 0 427.527 -0.346 20 0 IBADRN COCC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001462846958 1074518472 /nfs/dbraw/zinc/51/84/72/1074518472.db2.gz QKABMEXORZJIIA-UHFFFAOYSA-N 0 0 442.279 -0.060 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC001462851065 1074518434 /nfs/dbraw/zinc/51/84/34/1074518434.db2.gz DCGUJBWJVIRQCC-UHFFFAOYSA-N 0 0 444.579 -0.586 20 0 IBADRN CN1CCN(C(=O)CCNS(=O)(=O)c2cnn3cc(Br)cnc23)CC1 ZINC001462854083 1074518388 /nfs/dbraw/zinc/51/83/88/1074518388.db2.gz KZUOXNFLGRBOQH-UHFFFAOYSA-N 0 0 431.316 -0.066 20 0 IBADRN CO[C@H](CS(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H]1CCOC1 ZINC001462854830 1074518441 /nfs/dbraw/zinc/51/84/41/1074518441.db2.gz RAFXJDUOFDXJQP-DLBZAZTESA-N 0 0 425.507 -0.271 20 0 IBADRN CO[C@H](CS(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCOC1 ZINC001462854834 1074518503 /nfs/dbraw/zinc/51/85/03/1074518503.db2.gz RAFXJDUOFDXJQP-IAGOWNOFSA-N 0 0 425.507 -0.271 20 0 IBADRN CO[C@@H](CS(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@H]1CCOC1 ZINC001462854839 1074518413 /nfs/dbraw/zinc/51/84/13/1074518413.db2.gz RAFXJDUOFDXJQP-IRXDYDNUSA-N 0 0 425.507 -0.271 20 0 IBADRN CO[C@@H](CS(=O)(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCOC1 ZINC001462854843 1074518382 /nfs/dbraw/zinc/51/83/82/1074518382.db2.gz RAFXJDUOFDXJQP-SJORKVTESA-N 0 0 425.507 -0.271 20 0 IBADRN C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001462855024 1074518497 /nfs/dbraw/zinc/51/84/97/1074518497.db2.gz ZOJJXXGJWGRHBQ-CJNGLKHVSA-N 0 0 445.563 -0.064 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC001462856229 1074518875 /nfs/dbraw/zinc/51/88/75/1074518875.db2.gz YGBPCRDXGKHXJP-UHFFFAOYSA-N 0 0 444.579 -0.408 20 0 IBADRN O=S(=O)(CCOCC1CCC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001462857541 1074518866 /nfs/dbraw/zinc/51/88/66/1074518866.db2.gz CNWHEYYNLGDFTM-HNNXBMFYSA-N 0 0 444.597 -0.733 20 0 IBADRN O=S(=O)(CCOCC1CCC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001462857543 1074518849 /nfs/dbraw/zinc/51/88/49/1074518849.db2.gz CNWHEYYNLGDFTM-OAHLLOKOSA-N 0 0 444.597 -0.733 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@@]2(OC)CCS(=O)(=O)C2)c1 ZINC001462861021 1074518942 /nfs/dbraw/zinc/51/89/42/1074518942.db2.gz GBZOYPHUMAJNJH-KRWDZBQOSA-N 0 0 449.551 -0.801 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC[C@]2(OC)CCS(=O)(=O)C2)c1 ZINC001462861034 1074518964 /nfs/dbraw/zinc/51/89/64/1074518964.db2.gz GBZOYPHUMAJNJH-QGZVFWFLSA-N 0 0 449.551 -0.801 20 0 IBADRN C[C@@H]1C[C@@H](CS(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCO1 ZINC001462861597 1074518951 /nfs/dbraw/zinc/51/89/51/1074518951.db2.gz PRQWVZLLNVGXQB-ILXRZTDVSA-N 0 0 444.597 -0.734 20 0 IBADRN CO[C@]1(CNC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CCS(=O)(=O)C1 ZINC001462861730 1074518977 /nfs/dbraw/zinc/51/89/77/1074518977.db2.gz HLCWGJXJHXFWRP-KRWDZBQOSA-N 0 0 432.520 -0.359 20 0 IBADRN C[C@@H]1C[C@H](CS(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CCO1 ZINC001462861734 1074518920 /nfs/dbraw/zinc/51/89/20/1074518920.db2.gz PRQWVZLLNVGXQB-KFWWJZLASA-N 0 0 444.597 -0.734 20 0 IBADRN C[C@@H]1C[C@@H](CS(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCO1 ZINC001462861747 1074518885 /nfs/dbraw/zinc/51/88/85/1074518885.db2.gz PRQWVZLLNVGXQB-QLFBSQMISA-N 0 0 444.597 -0.734 20 0 IBADRN C[C@@H]1C[C@H](CS(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CCO1 ZINC001462861759 1074518960 /nfs/dbraw/zinc/51/89/60/1074518960.db2.gz PRQWVZLLNVGXQB-RBSFLKMASA-N 0 0 444.597 -0.734 20 0 IBADRN CO[C@@]1(CNC(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CCS(=O)(=O)C1 ZINC001462861769 1074518982 /nfs/dbraw/zinc/51/89/82/1074518982.db2.gz HLCWGJXJHXFWRP-QGZVFWFLSA-N 0 0 432.520 -0.359 20 0 IBADRN O=S(=O)(CC1CC(F)(F)C1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001462862381 1074518908 /nfs/dbraw/zinc/51/89/08/1074518908.db2.gz RZZVEDLMNUYMQM-GFCCVEGCSA-N 0 0 436.524 -0.504 20 0 IBADRN O=S(=O)(CC1CC(F)(F)C1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001462862386 1074518948 /nfs/dbraw/zinc/51/89/48/1074518948.db2.gz RZZVEDLMNUYMQM-LBPRGKRZSA-N 0 0 436.524 -0.504 20 0 IBADRN Cn1ncc(S(=O)(=O)NCC(=O)NCC(F)(F)F)c1I ZINC001462862769 1074518970 /nfs/dbraw/zinc/51/89/70/1074518970.db2.gz WHFIGVLARWTMBE-UHFFFAOYSA-N 0 0 426.158 -0.019 20 0 IBADRN CC(C)(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001462862895 1074518899 /nfs/dbraw/zinc/51/88/99/1074518899.db2.gz VYQYQAHSKRVXJW-CYBMUJFWSA-N 0 0 432.586 -0.734 20 0 IBADRN CC(C)(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001462862907 1074518928 /nfs/dbraw/zinc/51/89/28/1074518928.db2.gz VYQYQAHSKRVXJW-ZDUSSCGKSA-N 0 0 432.586 -0.734 20 0 IBADRN CO[C@]1(CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS(=O)(=O)C1 ZINC001462863798 1074519368 /nfs/dbraw/zinc/51/93/68/1074519368.db2.gz NEHLETLERDYHQJ-KRWDZBQOSA-N 0 0 432.520 -0.359 20 0 IBADRN CO[C@@]1(CNC(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCS(=O)(=O)C1 ZINC001462863800 1074519312 /nfs/dbraw/zinc/51/93/12/1074519312.db2.gz NEHLETLERDYHQJ-QGZVFWFLSA-N 0 0 432.520 -0.359 20 0 IBADRN CO[C@]1(CNC(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)CCS(=O)(=O)C1 ZINC001462866116 1074519375 /nfs/dbraw/zinc/51/93/75/1074519375.db2.gz WYFALRYPIDVZFM-INIZCTEOSA-N 0 0 439.552 -0.157 20 0 IBADRN CO[C@@]1(CNC(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)CCS(=O)(=O)C1 ZINC001462866119 1074519287 /nfs/dbraw/zinc/51/92/87/1074519287.db2.gz WYFALRYPIDVZFM-MRXNPFEDSA-N 0 0 439.552 -0.157 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2ccc3[nH]c(=O)sc3c2)CC1 ZINC001462867098 1074519428 /nfs/dbraw/zinc/51/94/28/1074519428.db2.gz GXECGKYXFCVXLO-UHFFFAOYSA-N 0 0 438.487 -0.016 20 0 IBADRN Cc1c(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)coc1C(=O)N(C)C ZINC001462871814 1074519382 /nfs/dbraw/zinc/51/93/82/1074519382.db2.gz OQDHVZFMRDXKQI-UHFFFAOYSA-N 0 0 440.478 -0.728 20 0 IBADRN COc1cccc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c1OC ZINC001462877933 1074519338 /nfs/dbraw/zinc/51/93/38/1074519338.db2.gz YSVBJQGMGRSAMV-UHFFFAOYSA-N 0 0 425.463 -0.314 20 0 IBADRN CC1(C)COc2c(S(=O)(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)cnn2C1 ZINC001462878100 1074519361 /nfs/dbraw/zinc/51/93/61/1074519361.db2.gz ZXOOAOPOCFPUJR-UHFFFAOYSA-N 0 0 439.494 -0.716 20 0 IBADRN CC[C@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccccc1OCC(=O)N(C)C ZINC001462879433 1074519391 /nfs/dbraw/zinc/51/93/91/1074519391.db2.gz SBKAQSDJIYBMGZ-AWEZNQCLSA-N 0 0 438.506 -0.019 20 0 IBADRN CC[C@@H](NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccccc1OCC(=O)N(C)C ZINC001462879440 1074519415 /nfs/dbraw/zinc/51/94/15/1074519415.db2.gz SBKAQSDJIYBMGZ-CQSZACIVSA-N 0 0 438.506 -0.019 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNS(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC001462881222 1074519256 /nfs/dbraw/zinc/51/92/56/1074519256.db2.gz QJGQPENEIQTCRU-AWEZNQCLSA-N 0 0 430.527 -0.252 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNS(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC001462881267 1074519407 /nfs/dbraw/zinc/51/94/07/1074519407.db2.gz QJGQPENEIQTCRU-CQSZACIVSA-N 0 0 430.527 -0.252 20 0 IBADRN COCC(COC)S(=O)(=O)N1CCN(S(=O)(=O)c2c[nH]c3ncccc23)CC1 ZINC001462884087 1074519345 /nfs/dbraw/zinc/51/93/45/1074519345.db2.gz AINZBVRKWGXRQD-UHFFFAOYSA-N 0 0 432.524 -0.140 20 0 IBADRN Cn1ncc(S(=O)(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)c1I ZINC001462897789 1074519324 /nfs/dbraw/zinc/51/93/24/1074519324.db2.gz PVBOXKRAZYIPDW-MRVPVSSYSA-N 0 0 448.308 -0.273 20 0 IBADRN Cn1ncc(S(=O)(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)c1I ZINC001462898263 1074519265 /nfs/dbraw/zinc/51/92/65/1074519265.db2.gz PVBOXKRAZYIPDW-QMMMGPOBSA-N 0 0 448.308 -0.273 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC001462901205 1074519295 /nfs/dbraw/zinc/51/92/95/1074519295.db2.gz NILRDPZDOYFOON-UHFFFAOYSA-N 0 0 439.581 -0.396 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCCN(S(=O)(=O)[C@@H]3COC[C@H]3O)C2)CC1 ZINC001462902326 1074519354 /nfs/dbraw/zinc/51/93/54/1074519354.db2.gz OSQMZGVTNQTLFX-ARFHVFGLSA-N 0 0 447.554 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN(S(=O)(=O)[C@@H]3COC[C@H]3O)C2)CC1 ZINC001462902328 1074519304 /nfs/dbraw/zinc/51/93/04/1074519304.db2.gz OSQMZGVTNQTLFX-BZUAXINKSA-N 0 0 447.554 -0.133 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CS(=O)(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001462909841 1074519933 /nfs/dbraw/zinc/51/99/33/1074519933.db2.gz FVQOVUNKQWYGGY-UHFFFAOYSA-N 0 0 440.588 -0.650 20 0 IBADRN COC(=O)Cc1cc(OC)ccc1S(=O)(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001462911196 1074519751 /nfs/dbraw/zinc/51/97/51/1074519751.db2.gz KHIYLHLAMCMJSD-UHFFFAOYSA-N 0 0 449.551 -0.734 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)N=[S@](C)(=O)c2ccccc2F)CC1 ZINC001462912248 1074519674 /nfs/dbraw/zinc/51/96/74/1074519674.db2.gz OBMQFXWFIXNBDD-RUZDIDTESA-N 0 0 442.560 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)N=[S@@](C)(=O)c2ccccc2F)CC1 ZINC001462912275 1074519657 /nfs/dbraw/zinc/51/96/57/1074519657.db2.gz OBMQFXWFIXNBDD-VWLOTQADSA-N 0 0 442.560 -0.306 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CC3)CC1 ZINC001462912397 1074519607 /nfs/dbraw/zinc/51/96/07/1074519607.db2.gz OOLITJVIZMYYFD-UHFFFAOYSA-N 0 0 442.563 -0.623 20 0 IBADRN CN1CC[C@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC001462928562 1074519716 /nfs/dbraw/zinc/51/97/16/1074519716.db2.gz VPNGMTUFIKVOBW-INIZCTEOSA-N 0 0 438.550 -0.022 20 0 IBADRN CN1CC[C@@H]1CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1N1CCOCC1 ZINC001462928566 1074519790 /nfs/dbraw/zinc/51/97/90/1074519790.db2.gz VPNGMTUFIKVOBW-MRXNPFEDSA-N 0 0 438.550 -0.022 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)NCCS(=O)(=O)N1CCOCC1)c1ccccc1 ZINC001462943587 1074519849 /nfs/dbraw/zinc/51/98/49/1074519849.db2.gz CDPLYFTWAQTXSO-AREMUKBSSA-N 0 0 440.569 -0.514 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)NCCS(=O)(=O)N1CCOCC1)c1ccccc1 ZINC001462943622 1074519695 /nfs/dbraw/zinc/51/96/95/1074519695.db2.gz CDPLYFTWAQTXSO-SANMLTNESA-N 0 0 440.569 -0.514 20 0 IBADRN CS(=O)(=O)c1cccc(CS(=O)(=O)NCCS(=O)(=O)N2CCOCC2)c1 ZINC001462947121 1074519619 /nfs/dbraw/zinc/51/96/19/1074519619.db2.gz MDLBDDXCUFMUAE-UHFFFAOYSA-N 0 0 426.538 -0.829 20 0 IBADRN Cn1ncc(S(=O)(=O)NCCN2CCCS2(=O)=O)c1I ZINC001462951331 1074519764 /nfs/dbraw/zinc/51/97/64/1074519764.db2.gz VEYMVOXOVMPMAB-UHFFFAOYSA-N 0 0 434.281 -0.662 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCC(=O)N2CCN(c3ccccc3)CC2)C1 ZINC001462959266 1074519632 /nfs/dbraw/zinc/51/96/32/1074519632.db2.gz ONPJDUAOMZXSSY-UHFFFAOYSA-N 0 0 430.552 -0.854 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(C2CCCC2)n1 ZINC001462970094 1074519595 /nfs/dbraw/zinc/51/95/95/1074519595.db2.gz KQTSLAHCANIXJD-CABCVRRESA-N 0 0 432.568 -0.146 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c(C2CCCC2)n1 ZINC001462970110 1074519646 /nfs/dbraw/zinc/51/96/46/1074519646.db2.gz KQTSLAHCANIXJD-GJZGRUSLSA-N 0 0 432.568 -0.146 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(C2CCCC2)n1 ZINC001462970114 1074519819 /nfs/dbraw/zinc/51/98/19/1074519819.db2.gz KQTSLAHCANIXJD-HUUCEWRRSA-N 0 0 432.568 -0.146 20 0 IBADRN Cn1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c(C2CCCC2)n1 ZINC001462970118 1074519870 /nfs/dbraw/zinc/51/98/70/1074519870.db2.gz KQTSLAHCANIXJD-LSDHHAIUSA-N 0 0 432.568 -0.146 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)C1 ZINC001462986923 1074520357 /nfs/dbraw/zinc/52/03/57/1074520357.db2.gz IMFILTFSBLBRMJ-GFCCVEGCSA-N 0 0 430.483 -0.073 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)C1 ZINC001462986941 1074520197 /nfs/dbraw/zinc/52/01/97/1074520197.db2.gz IMFILTFSBLBRMJ-LBPRGKRZSA-N 0 0 430.483 -0.073 20 0 IBADRN Cc1ccccc1CC(=O)N1CCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)CC1 ZINC001462999801 1074520224 /nfs/dbraw/zinc/52/02/24/1074520224.db2.gz BEVTWCMGNKXWEJ-UHFFFAOYSA-N 0 0 429.564 -0.097 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNS(=O)(=O)c3nncn3C)cc2)CC1 ZINC001463005822 1074520146 /nfs/dbraw/zinc/52/01/46/1074520146.db2.gz GJLMMAAUHJBICI-UHFFFAOYSA-N 0 0 436.538 -0.121 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)CCN2CCOC2=O)CC1 ZINC001463005918 1074520311 /nfs/dbraw/zinc/52/03/11/1074520311.db2.gz ZJJPCUXJDDRMRV-UHFFFAOYSA-N 0 0 432.524 -0.501 20 0 IBADRN COC1(CS(=O)(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCOCC1 ZINC001463007455 1074520349 /nfs/dbraw/zinc/52/03/49/1074520349.db2.gz IZNSYHUELTUDPA-UHFFFAOYSA-N 0 0 427.527 -0.370 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001463007640 1074520320 /nfs/dbraw/zinc/52/03/20/1074520320.db2.gz UIRCWRXFGKQXKQ-GFCCVEGCSA-N 0 0 426.538 -0.073 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(OS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001463007642 1074520121 /nfs/dbraw/zinc/52/01/21/1074520121.db2.gz UIRCWRXFGKQXKQ-LBPRGKRZSA-N 0 0 426.538 -0.073 20 0 IBADRN O=C(CCNS(=O)(=O)CCOC1CCOCC1)N1CCN(c2ncccn2)CC1 ZINC001463011970 1074520302 /nfs/dbraw/zinc/52/03/02/1074520302.db2.gz VYGVDXJQCDUPPV-UHFFFAOYSA-N 0 0 427.527 -0.370 20 0 IBADRN O=C(CCNS(=O)(=O)C1CCS(=O)(=O)CC1)N1CCN(c2ncccn2)CC1 ZINC001463012017 1074520251 /nfs/dbraw/zinc/52/02/51/1074520251.db2.gz WKGGXXMVUHKITA-UHFFFAOYSA-N 0 0 431.540 -0.988 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)CC1 ZINC001463014984 1074520735 /nfs/dbraw/zinc/52/07/35/1074520735.db2.gz FVIZIPSRMHUGAI-UHFFFAOYSA-N 0 0 427.527 -0.513 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCC(C)(C)NS(C)(=O)=O)C1 ZINC001463034595 1074520683 /nfs/dbraw/zinc/52/06/83/1074520683.db2.gz WZEANRXMCSVPGR-GFCCVEGCSA-N 0 0 429.561 -0.131 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCC(C)(C)NS(C)(=O)=O)C1 ZINC001463034598 1074520767 /nfs/dbraw/zinc/52/07/67/1074520767.db2.gz WZEANRXMCSVPGR-LBPRGKRZSA-N 0 0 429.561 -0.131 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001463035613 1074520759 /nfs/dbraw/zinc/52/07/59/1074520759.db2.gz AZADPJALIOUSJZ-HNNXBMFYSA-N 0 0 426.447 -0.497 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001463035642 1074520656 /nfs/dbraw/zinc/52/06/56/1074520656.db2.gz AZADPJALIOUSJZ-OAHLLOKOSA-N 0 0 426.447 -0.497 20 0 IBADRN Cn1cc(S(=O)(=O)NCCS(=O)(=O)N2CCSCC2)c(Br)n1 ZINC001463044632 1074520607 /nfs/dbraw/zinc/52/06/07/1074520607.db2.gz UDUHIJSUQFHTHG-UHFFFAOYSA-N 0 0 433.375 -0.161 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N(C)CCNS(C)(=O)=O ZINC001463066498 1074520776 /nfs/dbraw/zinc/52/07/76/1074520776.db2.gz HPSGOMBKZLFTDX-UHFFFAOYSA-N 0 0 442.317 -0.330 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)CCCCS(N)(=O)=O)CC2 ZINC001463073074 1074520714 /nfs/dbraw/zinc/52/07/14/1074520714.db2.gz ICKWYOOWTDFDPN-UHFFFAOYSA-N 0 0 425.554 -0.302 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC001463076696 1074520704 /nfs/dbraw/zinc/52/07/04/1074520704.db2.gz OWZDLBPAXRGYLC-UHFFFAOYSA-N 0 0 438.616 -0.478 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(S(=O)(=O)c2cc(C(N)=O)c(Br)cc2F)C1 ZINC001463077055 1074520678 /nfs/dbraw/zinc/52/06/78/1074520678.db2.gz BYCLQJAPCGYAIM-SSDOTTSWSA-N 0 0 444.304 -0.001 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(S(=O)(=O)c2cc(C(N)=O)c(Br)cc2F)C1 ZINC001463077059 1074520627 /nfs/dbraw/zinc/52/06/27/1074520627.db2.gz BYCLQJAPCGYAIM-ZETCQYMHSA-N 0 0 444.304 -0.001 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001463080625 1074520742 /nfs/dbraw/zinc/52/07/42/1074520742.db2.gz ZRISLJDOVDWVMV-UHFFFAOYSA-N 0 0 445.507 -0.641 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(CC(=O)N3CCCc4ccccc43)CC2)c(=O)[nH]c1=O ZINC001463080858 1074520726 /nfs/dbraw/zinc/52/07/26/1074520726.db2.gz QPONHVKJRUJECC-UHFFFAOYSA-N 0 0 447.517 -0.228 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(S(=O)(=O)c2cc(C(N)=O)c(F)cc2Br)C1 ZINC001463081256 1074521057 /nfs/dbraw/zinc/52/10/57/1074521057.db2.gz SKGXXQQOJDIRLI-SSDOTTSWSA-N 0 0 444.304 -0.001 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(S(=O)(=O)c2cc(C(N)=O)c(F)cc2Br)C1 ZINC001463081258 1074521033 /nfs/dbraw/zinc/52/10/33/1074521033.db2.gz SKGXXQQOJDIRLI-ZETCQYMHSA-N 0 0 444.304 -0.001 20 0 IBADRN COCC(COC)S(=O)(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001463081307 1074520949 /nfs/dbraw/zinc/52/09/49/1074520949.db2.gz SYPWGIWYWYOCTG-UHFFFAOYSA-N 0 0 435.568 -0.297 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)NCCN1CCN(C(=O)C2CCCCC2)CC1 ZINC001463081339 1074521040 /nfs/dbraw/zinc/52/10/40/1074521040.db2.gz UKMDCJBTBSCLOI-UHFFFAOYSA-N 0 0 438.616 -0.301 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001463083664 1074520992 /nfs/dbraw/zinc/52/09/92/1074520992.db2.gz ALFWGJXJMJITBX-DOMZBBRYSA-N 0 0 426.447 -0.763 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)c2ccc(OS(=O)(=O)N=S(C)(C)=O)cc2)CC1 ZINC001463094320 1074521080 /nfs/dbraw/zinc/52/10/80/1074521080.db2.gz KRAJWFQGSAQYGF-UHFFFAOYSA-N 0 0 439.537 -0.245 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001463098892 1074521065 /nfs/dbraw/zinc/52/10/65/1074521065.db2.gz UJHLOXINCADJDD-GFCCVEGCSA-N 0 0 438.549 -0.345 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001463098898 1074521104 /nfs/dbraw/zinc/52/11/04/1074521104.db2.gz UJHLOXINCADJDD-LBPRGKRZSA-N 0 0 438.549 -0.345 20 0 IBADRN CCOC(=O)C(=O)N1CCN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001463106540 1074521047 /nfs/dbraw/zinc/52/10/47/1074521047.db2.gz GBQPYUCSBPJALW-UHFFFAOYSA-N 0 0 434.515 -0.509 20 0 IBADRN CN(CC(=O)N1CCOCC1)S(=O)(=O)c1cnn(C)c1I ZINC001463111599 1074520975 /nfs/dbraw/zinc/52/09/75/1074520975.db2.gz IYSYTGGHYDJNNI-UHFFFAOYSA-N 0 0 428.252 -0.496 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCn3c(Cc4ccccc4)nnc3C2)C1 ZINC001463112497 1074521016 /nfs/dbraw/zinc/52/10/16/1074521016.db2.gz ZXGFVZUDURORFQ-UHFFFAOYSA-N 0 0 425.536 -0.094 20 0 IBADRN O=S(=O)(C[C@@H]1CCCS(=O)(=O)C1)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC001463114090 1074520966 /nfs/dbraw/zinc/52/09/66/1074520966.db2.gz VVRVGOGCKYHCAL-GFCCVEGCSA-N 0 0 438.540 -0.005 20 0 IBADRN O=S(=O)(C[C@H]1CCCS(=O)(=O)C1)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC001463114092 1074521095 /nfs/dbraw/zinc/52/10/95/1074521095.db2.gz VVRVGOGCKYHCAL-LBPRGKRZSA-N 0 0 438.540 -0.005 20 0 IBADRN O=S(=O)(NC1CCN(S(=O)(=O)C2CCOCC2)CC1)c1cnn2c1OCCC2 ZINC001463127135 1074520941 /nfs/dbraw/zinc/52/09/41/1074520941.db2.gz GTEGGFGCVLTZPG-UHFFFAOYSA-N 0 0 434.540 -0.083 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001463128685 1074521023 /nfs/dbraw/zinc/52/10/23/1074521023.db2.gz JIHABTIUMZDBAN-DEOSSOPVSA-N 0 0 432.590 -0.632 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001463128688 1074521089 /nfs/dbraw/zinc/52/10/89/1074521089.db2.gz JIHABTIUMZDBAN-XMMPIXPASA-N 0 0 432.590 -0.632 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)c1 ZINC001463136883 1074520982 /nfs/dbraw/zinc/52/09/82/1074520982.db2.gz MYNTVZFQCPTQGN-INIZCTEOSA-N 0 0 431.536 -0.206 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNS(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)c1 ZINC001463136896 1074521072 /nfs/dbraw/zinc/52/10/72/1074521072.db2.gz MYNTVZFQCPTQGN-MRXNPFEDSA-N 0 0 431.536 -0.206 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)n(C)c1 ZINC001463146857 1074520957 /nfs/dbraw/zinc/52/09/57/1074520957.db2.gz JBFXCGKVRLFILD-UHFFFAOYSA-N 0 0 445.519 -0.097 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NC[C@@H](O)CN2CCCC2=O)c(Br)cc1F ZINC001463152016 1074521001 /nfs/dbraw/zinc/52/10/01/1074521001.db2.gz CSYMHNARNADJKA-MRVPVSSYSA-N 0 0 438.275 -0.051 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NC[C@H](O)CN2CCCC2=O)c(Br)cc1F ZINC001463152017 1074521464 /nfs/dbraw/zinc/52/14/64/1074521464.db2.gz CSYMHNARNADJKA-QMMMGPOBSA-N 0 0 438.275 -0.051 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCCCC(=O)N1CCN(c2ccccc2)CC1 ZINC001463153589 1074521454 /nfs/dbraw/zinc/52/14/54/1074521454.db2.gz GJKJINFOORRFHT-UHFFFAOYSA-N 0 0 446.595 -0.074 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)NC[C@H](O)CN1CCCC1=O ZINC001463155841 1074521416 /nfs/dbraw/zinc/52/14/16/1074521416.db2.gz SALKIQIYOFLJPZ-JTQLQIEISA-N 0 0 448.299 -0.238 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)NC[C@@H](O)CN1CCCC1=O ZINC001463155845 1074521395 /nfs/dbraw/zinc/52/13/95/1074521395.db2.gz SALKIQIYOFLJPZ-SNVBAGLBSA-N 0 0 448.299 -0.238 20 0 IBADRN COC1CCN(S(=O)(=O)N2CCN(c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC001463162940 1074521556 /nfs/dbraw/zinc/52/15/56/1074521556.db2.gz YSQOTSLVRFBHED-UHFFFAOYSA-N 0 0 426.543 -0.209 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@H](CS(N)(=O)=O)C1 ZINC001463171252 1074521580 /nfs/dbraw/zinc/52/15/80/1074521580.db2.gz HJYRPRJTNYTJDO-AWEZNQCLSA-N 0 0 431.536 -0.233 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CC[C@@H](CS(N)(=O)=O)C1 ZINC001463171254 1074521869 /nfs/dbraw/zinc/52/18/69/1074521869.db2.gz HJYRPRJTNYTJDO-CQSZACIVSA-N 0 0 431.536 -0.233 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC001463171369 1074521920 /nfs/dbraw/zinc/52/19/20/1074521920.db2.gz HYISSCCWILVGJZ-CYBMUJFWSA-N 0 0 440.547 -0.016 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)C2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)C1 ZINC001463171377 1074521798 /nfs/dbraw/zinc/52/17/98/1074521798.db2.gz HYISSCCWILVGJZ-ZDUSSCGKSA-N 0 0 440.547 -0.016 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc3c(c2)N(C(=O)C2CC2)CC3)CC1 ZINC001463172068 1074521913 /nfs/dbraw/zinc/52/19/13/1074521913.db2.gz GWSGOJZWGPGMJS-UHFFFAOYSA-N 0 0 442.563 -0.420 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@H](NC(=O)c3ccccc3)C2)CC1 ZINC001463172109 1074521435 /nfs/dbraw/zinc/52/14/35/1074521435.db2.gz HQGUGRSZSAZICP-INIZCTEOSA-N 0 0 430.552 -0.297 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@@H](NC(=O)c3ccccc3)C2)CC1 ZINC001463172118 1074521325 /nfs/dbraw/zinc/52/13/25/1074521325.db2.gz HQGUGRSZSAZICP-MRXNPFEDSA-N 0 0 430.552 -0.297 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccc(Br)nc2F)CC1 ZINC001463174466 1074521811 /nfs/dbraw/zinc/52/18/11/1074521811.db2.gz KXMTXYFPBRXUCN-UHFFFAOYSA-N 0 0 431.309 -0.422 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2ccnn2CCc2ccccc2)CC1 ZINC001463178857 1074521860 /nfs/dbraw/zinc/52/18/60/1074521860.db2.gz UCRJBXIZYVBUQV-UHFFFAOYSA-N 0 0 427.552 -0.279 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)S(=O)(=O)CCS(=O)(=O)N(C)C)cc1 ZINC001463192117 1074521855 /nfs/dbraw/zinc/52/18/55/1074521855.db2.gz GAMACVTWWWYUCY-UHFFFAOYSA-N 0 0 427.570 -0.574 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)S(=O)(=O)CC2CN(S(C)(=O)=O)C2)cc1 ZINC001463193572 1074521905 /nfs/dbraw/zinc/52/19/05/1074521905.db2.gz KRTOMYCMMQVMMT-UHFFFAOYSA-N 0 0 439.581 -0.574 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3C[C@H]4CS(=O)(=O)C[C@@]4(C)C3)cc2S1(=O)=O ZINC001463209264 1074521929 /nfs/dbraw/zinc/52/19/29/1074521929.db2.gz MPMAOQSUCFKKSJ-SCLBCKFNSA-N 0 0 442.515 -0.016 20 0 IBADRN CO[C@@H](CS(=O)(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C1CCOCC1 ZINC001463212321 1074521817 /nfs/dbraw/zinc/52/18/17/1074521817.db2.gz DYDSQUDUGDVCTA-INIZCTEOSA-N 0 0 437.540 -0.107 20 0 IBADRN CO[C@H](CS(=O)(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1)C1CCOCC1 ZINC001463212323 1074521891 /nfs/dbraw/zinc/52/18/91/1074521891.db2.gz DYDSQUDUGDVCTA-MRXNPFEDSA-N 0 0 437.540 -0.107 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)CC2 ZINC001463215152 1074521845 /nfs/dbraw/zinc/52/18/45/1074521845.db2.gz MXIOCLLHZVKJRA-GFCCVEGCSA-N 0 0 437.565 -0.432 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)CC2 ZINC001463215153 1074521831 /nfs/dbraw/zinc/52/18/31/1074521831.db2.gz MXIOCLLHZVKJRA-LBPRGKRZSA-N 0 0 437.565 -0.432 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001463221022 1074521837 /nfs/dbraw/zinc/52/18/37/1074521837.db2.gz ANEZAFLFKBYFFW-HNNXBMFYSA-N 0 0 446.613 -0.487 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001463221028 1074521847 /nfs/dbraw/zinc/52/18/47/1074521847.db2.gz ANEZAFLFKBYFFW-OAHLLOKOSA-N 0 0 446.613 -0.487 20 0 IBADRN Cn1cc(N2CCN(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)CC2=O)cn1 ZINC001463222796 1074521898 /nfs/dbraw/zinc/52/18/98/1074521898.db2.gz BCPBPAMZWBFSFN-UHFFFAOYSA-N 0 0 428.515 -0.134 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)n1 ZINC001463239390 1074521883 /nfs/dbraw/zinc/52/18/83/1074521883.db2.gz QZFSUPDTPYDZTE-UHFFFAOYSA-N 0 0 449.555 -0.325 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001463239929 1074521876 /nfs/dbraw/zinc/52/18/76/1074521876.db2.gz JGAOQLLSBPWTTQ-HNNXBMFYSA-N 0 0 439.490 -0.528 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001463239932 1074521802 /nfs/dbraw/zinc/52/18/02/1074521802.db2.gz JGAOQLLSBPWTTQ-OAHLLOKOSA-N 0 0 439.490 -0.528 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC001463243516 1074521823 /nfs/dbraw/zinc/52/18/23/1074521823.db2.gz QAOCMAUOSQGCSV-GFCCVEGCSA-N 0 0 438.234 -0.113 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)CN1C(=O)c2ccc(Br)cc2C1=O ZINC001463243523 1074522163 /nfs/dbraw/zinc/52/21/63/1074522163.db2.gz QAOCMAUOSQGCSV-LBPRGKRZSA-N 0 0 438.234 -0.113 20 0 IBADRN O=C1CCc2cc(S(=O)(=O)NCCNS(=O)(=O)Cc3ccon3)cc3c2N1CC3 ZINC001463244280 1074522134 /nfs/dbraw/zinc/52/21/34/1074522134.db2.gz YULOLFWVCITPLY-UHFFFAOYSA-N 0 0 440.503 -0.092 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)C1=O ZINC001463266159 1074522333 /nfs/dbraw/zinc/52/23/33/1074522333.db2.gz GYDGJMGGIBFQGZ-DAXHEOSHSA-N 0 0 429.495 -0.278 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)C1=O ZINC001463266161 1074522157 /nfs/dbraw/zinc/52/21/57/1074522157.db2.gz GYDGJMGGIBFQGZ-GVOIJCABSA-N 0 0 429.495 -0.278 20 0 IBADRN CC[C@@]1(C)NC(=O)N(CC(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)C1=O ZINC001463266165 1074522215 /nfs/dbraw/zinc/52/22/15/1074522215.db2.gz GYDGJMGGIBFQGZ-RCZPMSHISA-N 0 0 429.495 -0.278 20 0 IBADRN CC[C@]1(C)NC(=O)N(CC(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)C1=O ZINC001463266170 1074522143 /nfs/dbraw/zinc/52/21/43/1074522143.db2.gz GYDGJMGGIBFQGZ-UAUQXFEBSA-N 0 0 429.495 -0.278 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NS(=O)(=O)CCS(=O)(=O)N(C)C)C1=O ZINC001463266681 1074522222 /nfs/dbraw/zinc/52/22/22/1074522222.db2.gz FHDJNQVFQXWLBQ-LLVKDONJSA-N 0 0 439.537 -0.549 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NS(=O)(=O)CCS(=O)(=O)N(C)C)C1=O ZINC001463266684 1074522300 /nfs/dbraw/zinc/52/23/00/1074522300.db2.gz FHDJNQVFQXWLBQ-NSHDSACASA-N 0 0 439.537 -0.549 20 0 IBADRN COC(=O)[C@](C)(Cn1cccn1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001463283356 1074522291 /nfs/dbraw/zinc/52/22/91/1074522291.db2.gz YXZBVRKGPCQYNV-IBGZPJMESA-N 0 0 449.489 -0.635 20 0 IBADRN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001463283377 1074522341 /nfs/dbraw/zinc/52/23/41/1074522341.db2.gz YXZBVRKGPCQYNV-LJQANCHMSA-N 0 0 449.489 -0.635 20 0 IBADRN COCCO[C@]12CCC[C@@]1(NC(=O)C1=NN([C@H]3CCS(=O)(=O)C3)C(=O)CC1)CCO2 ZINC001463289099 1074522235 /nfs/dbraw/zinc/52/22/35/1074522235.db2.gz ZXGAVSGCDUGGIA-GDIGMMSISA-N 0 0 443.522 -0.030 20 0 IBADRN COCCO[C@]12CCC[C@@]1(NC(=O)C1=NN([C@@H]3CCS(=O)(=O)C3)C(=O)CC1)CCO2 ZINC001463289104 1074522182 /nfs/dbraw/zinc/52/21/82/1074522182.db2.gz ZXGAVSGCDUGGIA-NIKGAXFTSA-N 0 0 443.522 -0.030 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@H](O)COc2ccccc2Br)c(=O)[nH]c1=O ZINC001463292545 1074522316 /nfs/dbraw/zinc/52/23/16/1074522316.db2.gz YCDQCWDCRBJIGX-SECBINFHSA-N 0 0 434.268 -0.033 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@H](O)COc2ccccc2Br)c(=O)[nH]c1=O ZINC001463292550 1074522267 /nfs/dbraw/zinc/52/22/67/1074522267.db2.gz YCDQCWDCRBJIGX-VIFPVBQESA-N 0 0 434.268 -0.033 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001463297899 1074522245 /nfs/dbraw/zinc/52/22/45/1074522245.db2.gz CXEFLVLSXSJGJS-JTQLQIEISA-N 0 0 443.283 -0.868 20 0 IBADRN Cc1nn2cc(Br)cnc2c1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001463297908 1074522261 /nfs/dbraw/zinc/52/22/61/1074522261.db2.gz CXEFLVLSXSJGJS-SNVBAGLBSA-N 0 0 443.283 -0.868 20 0 IBADRN COC1(CS(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)CCOCC1 ZINC001463298222 1074522171 /nfs/dbraw/zinc/52/21/71/1074522171.db2.gz UQUZCMCJLMGDLB-UHFFFAOYSA-N 0 0 436.556 -0.440 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N3CCN4C(=O)C(=O)NC[C@@H]4C3)c(Cl)cc21 ZINC001463298491 1074522201 /nfs/dbraw/zinc/52/22/01/1074522201.db2.gz HDQRXMWSAQGGPN-GFCCVEGCSA-N 0 0 426.882 -0.420 20 0 IBADRN CC(=O)N1CCc2cc(S(=O)(=O)N3CCN4C(=O)C(=O)NC[C@H]4C3)c(Cl)cc21 ZINC001463298497 1074522253 /nfs/dbraw/zinc/52/22/53/1074522253.db2.gz HDQRXMWSAQGGPN-LBPRGKRZSA-N 0 0 426.882 -0.420 20 0 IBADRN C[S@@](=O)CCN1CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001463300128 1074522348 /nfs/dbraw/zinc/52/23/48/1074522348.db2.gz BCCANIHNYZCRNP-KUNJGFBQSA-N 0 0 439.600 -0.052 20 0 IBADRN C[S@](=O)CCN1CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001463300132 1074522696 /nfs/dbraw/zinc/52/26/96/1074522696.db2.gz BCCANIHNYZCRNP-QZXCRCNTSA-N 0 0 439.600 -0.052 20 0 IBADRN C[S@](=O)CCN1CCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001463300135 1074522663 /nfs/dbraw/zinc/52/26/63/1074522663.db2.gz BCCANIHNYZCRNP-RXAIFQJESA-N 0 0 439.600 -0.052 20 0 IBADRN C[S@@](=O)CCN1CCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001463300138 1074522676 /nfs/dbraw/zinc/52/26/76/1074522676.db2.gz BCCANIHNYZCRNP-YVORESIASA-N 0 0 439.600 -0.052 20 0 IBADRN C[S@@](=O)CCN1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001463300946 1074522656 /nfs/dbraw/zinc/52/26/56/1074522656.db2.gz DMUJEEOTSPGODE-HHHXNRCGSA-N 0 0 429.564 -0.156 20 0 IBADRN C[S@](=O)CCN1CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CC1 ZINC001463300951 1074522629 /nfs/dbraw/zinc/52/26/29/1074522629.db2.gz DMUJEEOTSPGODE-MHZLTWQESA-N 0 0 429.564 -0.156 20 0 IBADRN COc1cc(F)c(Br)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001463301520 1074522685 /nfs/dbraw/zinc/52/26/85/1074522685.db2.gz SXAMRZZAKYDNJF-MRVPVSSYSA-N 0 0 436.259 -0.072 20 0 IBADRN COc1cc(F)c(Br)cc1S(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001463301522 1074522623 /nfs/dbraw/zinc/52/26/23/1074522623.db2.gz SXAMRZZAKYDNJF-QMMMGPOBSA-N 0 0 436.259 -0.072 20 0 IBADRN CCOC1(CS(=O)(=O)N2CCN(S(=O)(=O)CCCOC)CC2)CCOCC1 ZINC001463306350 1074522637 /nfs/dbraw/zinc/52/26/37/1074522637.db2.gz JZSOMJJUDLSWQL-UHFFFAOYSA-N 0 0 428.573 -0.114 20 0 IBADRN C[C@@](Cn1cccn1)(NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(N)=O ZINC001463335084 1074522643 /nfs/dbraw/zinc/52/26/43/1074522643.db2.gz MLKCGFZNXOQZHH-FQEVSTJZSA-N 0 0 449.533 -0.103 20 0 IBADRN C[C@](Cn1cccn1)(NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)C(N)=O ZINC001463335086 1074522673 /nfs/dbraw/zinc/52/26/73/1074522673.db2.gz MLKCGFZNXOQZHH-HXUWFJFHSA-N 0 0 449.533 -0.103 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC001463346701 1074522703 /nfs/dbraw/zinc/52/27/03/1074522703.db2.gz FZCPRYDQOHUARD-MRVPVSSYSA-N 0 0 440.301 -0.448 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC001463346718 1074522720 /nfs/dbraw/zinc/52/27/20/1074522720.db2.gz FZCPRYDQOHUARD-QMMMGPOBSA-N 0 0 440.301 -0.448 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC001463348835 1074522660 /nfs/dbraw/zinc/52/26/60/1074522660.db2.gz LDXBOEOECUMCKA-ARFHVFGLSA-N 0 0 447.535 -0.285 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC001463348839 1074522714 /nfs/dbraw/zinc/52/27/14/1074522714.db2.gz LDXBOEOECUMCKA-BZUAXINKSA-N 0 0 447.535 -0.285 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CC[C@@H](S(N)(=O)=O)C1 ZINC001463348844 1074522709 /nfs/dbraw/zinc/52/27/09/1074522709.db2.gz LDXBOEOECUMCKA-OAGGEKHMSA-N 0 0 447.535 -0.285 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CC[C@H](S(N)(=O)=O)C1 ZINC001463348849 1074522650 /nfs/dbraw/zinc/52/26/50/1074522650.db2.gz LDXBOEOECUMCKA-XHSDSOJGSA-N 0 0 447.535 -0.285 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCO[C@@H](CN3C(=O)c4ccccc4C3=O)C2)c(=O)[nH]c1=O ZINC001463355747 1074522667 /nfs/dbraw/zinc/52/26/67/1074522667.db2.gz CXIRZCVGAPOPQV-LLVKDONJSA-N 0 0 434.430 -0.828 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCO[C@H](CN3C(=O)c4ccccc4C3=O)C2)c(=O)[nH]c1=O ZINC001463355752 1074522692 /nfs/dbraw/zinc/52/26/92/1074522692.db2.gz CXIRZCVGAPOPQV-NSHDSACASA-N 0 0 434.430 -0.828 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC[C@H]1CCN(S(C)(=O)=O)C1)C(=O)NCCC2 ZINC001463362047 1074522611 /nfs/dbraw/zinc/52/26/11/1074522611.db2.gz ZSTOZSYTVYHZRQ-GFCCVEGCSA-N 0 0 431.536 -0.069 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1)C(=O)NCCC2 ZINC001463362051 1074522680 /nfs/dbraw/zinc/52/26/80/1074522680.db2.gz ZSTOZSYTVYHZRQ-LBPRGKRZSA-N 0 0 431.536 -0.069 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)NCCO3)CC1 ZINC001463376255 1074523119 /nfs/dbraw/zinc/52/31/19/1074523119.db2.gz OKTGKEPMPWDVCQ-UHFFFAOYSA-N 0 0 439.490 -0.111 20 0 IBADRN COc1cccc(OCCN2CCN(S(=O)(=O)CCS(=O)(=O)N(C)C)CC2)c1 ZINC001463379466 1074522954 /nfs/dbraw/zinc/52/29/54/1074522954.db2.gz ZUWVJBDWYQMQBE-UHFFFAOYSA-N 0 0 435.568 -0.087 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C[C@H]1C(=O)OC ZINC001463386619 1074522929 /nfs/dbraw/zinc/52/29/29/1074522929.db2.gz DTKRVZFJBZEZGE-BETUJISGSA-N 0 0 427.454 -0.514 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C[C@H]1C(=O)OC ZINC001463386620 1074523064 /nfs/dbraw/zinc/52/30/64/1074523064.db2.gz DTKRVZFJBZEZGE-CHWSQXEVSA-N 0 0 427.454 -0.514 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2=O)C[C@@H]1C(=O)OC ZINC001463386623 1074522978 /nfs/dbraw/zinc/52/29/78/1074522978.db2.gz DTKRVZFJBZEZGE-STQMWFEESA-N 0 0 427.454 -0.514 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C[C@H]1C(=O)OC ZINC001463392526 1074523011 /nfs/dbraw/zinc/52/30/11/1074523011.db2.gz LPFJJYUPDOUREH-CALCHBBNSA-N 0 0 438.502 -0.049 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C[C@H]1C(=O)OC ZINC001463392602 1074522960 /nfs/dbraw/zinc/52/29/60/1074522960.db2.gz LPFJJYUPDOUREH-IAGOWNOFSA-N 0 0 438.502 -0.049 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)C[C@@H]1C(=O)OC ZINC001463392604 1074523073 /nfs/dbraw/zinc/52/30/73/1074523073.db2.gz LPFJJYUPDOUREH-IRXDYDNUSA-N 0 0 438.502 -0.049 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(C(F)(F)F)nn1C ZINC001463422566 1074523048 /nfs/dbraw/zinc/52/30/48/1074523048.db2.gz MKPFFVYMYCQPFV-SECBINFHSA-N 0 0 428.389 -0.492 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(C(F)(F)F)nn1C ZINC001463422592 1074523004 /nfs/dbraw/zinc/52/30/04/1074523004.db2.gz MKPFFVYMYCQPFV-VIFPVBQESA-N 0 0 428.389 -0.492 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CS(=O)(=O)C2)N1CCC(O)(CN2CCOCC2)CC1 ZINC001463422921 1074522967 /nfs/dbraw/zinc/52/29/67/1074522967.db2.gz OUUPSWARCYGTNP-UHFFFAOYSA-N 0 0 430.548 -0.037 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1S(=O)(=O)Cc1cccc(S(C)(=O)=O)c1 ZINC001463424558 1074523080 /nfs/dbraw/zinc/52/30/80/1074523080.db2.gz YTPLKJKOQAVMBF-HNNXBMFYSA-N 0 0 448.519 -0.700 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1S(=O)(=O)Cc1cccc(S(C)(=O)=O)c1 ZINC001463424560 1074522949 /nfs/dbraw/zinc/52/29/49/1074522949.db2.gz YTPLKJKOQAVMBF-OAHLLOKOSA-N 0 0 448.519 -0.700 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CO)[C@@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001463424763 1074523056 /nfs/dbraw/zinc/52/30/56/1074523056.db2.gz ANVQVXMYXHFBBZ-GDBMZVCRSA-N 0 0 434.536 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CO)[C@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001463424769 1074523019 /nfs/dbraw/zinc/52/30/19/1074523019.db2.gz ANVQVXMYXHFBBZ-GOEBONIOSA-N 0 0 434.536 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CO)[C@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001463424773 1074523091 /nfs/dbraw/zinc/52/30/91/1074523091.db2.gz ANVQVXMYXHFBBZ-HOCLYGCPSA-N 0 0 434.536 -0.308 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CO)[C@@H]2CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001463424777 1074522939 /nfs/dbraw/zinc/52/29/39/1074522939.db2.gz ANVQVXMYXHFBBZ-ZBFHGGJFSA-N 0 0 434.536 -0.308 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)o1)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001463432511 1074523103 /nfs/dbraw/zinc/52/31/03/1074523103.db2.gz RZGXXFDOFLHKBM-UHFFFAOYSA-N 0 0 448.519 -0.280 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCS(=O)(=O)CCO1 ZINC001463439031 1074523330 /nfs/dbraw/zinc/52/33/30/1074523330.db2.gz NKECXIUCWVKGGU-UHFFFAOYSA-N 0 0 432.520 -0.171 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCS(=O)(=O)CCO2)C1 ZINC001463439919 1074523415 /nfs/dbraw/zinc/52/34/15/1074523415.db2.gz ORCJMJHVXGKKNK-MLGOLLRUSA-N 0 0 438.524 -0.543 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CCS(=O)(=O)CCO3)CC2)c1 ZINC001463440061 1074523447 /nfs/dbraw/zinc/52/34/47/1074523447.db2.gz XGPIINXGWRLKHR-UHFFFAOYSA-N 0 0 431.536 -0.365 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2cc(C(N)=O)c(F)cc2Br)CC1 ZINC001463446684 1074523315 /nfs/dbraw/zinc/52/33/15/1074523315.db2.gz MHESYKCVHJOYHF-MRVPVSSYSA-N 0 0 438.275 -0.099 20 0 IBADRN C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2cc(C(N)=O)c(F)cc2Br)CC1 ZINC001463446687 1074523455 /nfs/dbraw/zinc/52/34/55/1074523455.db2.gz MHESYKCVHJOYHF-QMMMGPOBSA-N 0 0 438.275 -0.099 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001463447928 1074523423 /nfs/dbraw/zinc/52/34/23/1074523423.db2.gz VOZFULHOQUQXRD-MRVPVSSYSA-N 0 0 428.252 -0.762 20 0 IBADRN C[C@H](O)C(=O)N1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001463447929 1074523460 /nfs/dbraw/zinc/52/34/60/1074523460.db2.gz VOZFULHOQUQXRD-QMMMGPOBSA-N 0 0 428.252 -0.762 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NS(=O)(=O)N=[S@](C)(=O)N(C)C)C2)cc1 ZINC001463455183 1074523399 /nfs/dbraw/zinc/52/33/99/1074523399.db2.gz KXNBJPGMEDIDQV-DEOSSOPVSA-N 0 0 426.542 -0.523 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NS(=O)(=O)N=[S@@](C)(=O)N(C)C)C2)cc1 ZINC001463455185 1074523482 /nfs/dbraw/zinc/52/34/82/1074523482.db2.gz KXNBJPGMEDIDQV-XMMPIXPASA-N 0 0 426.542 -0.523 20 0 IBADRN CN1C(=O)CN(NS(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)C1=O ZINC001463495940 1074523431 /nfs/dbraw/zinc/52/34/31/1074523431.db2.gz DYQBVPNPLPVSST-AWEZNQCLSA-N 0 0 426.451 -0.248 20 0 IBADRN CN1C(=O)CN(NS(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)C1=O ZINC001463495968 1074523493 /nfs/dbraw/zinc/52/34/93/1074523493.db2.gz DYQBVPNPLPVSST-CQSZACIVSA-N 0 0 426.451 -0.248 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)C2=O)n(C)n1 ZINC001463506603 1074523365 /nfs/dbraw/zinc/52/33/65/1074523365.db2.gz URWMBIXQHCDWHT-AWEZNQCLSA-N 0 0 432.572 -0.186 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)C2=O)n(C)n1 ZINC001463506618 1074523440 /nfs/dbraw/zinc/52/34/40/1074523440.db2.gz URWMBIXQHCDWHT-CQSZACIVSA-N 0 0 432.572 -0.186 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)NCCC(=O)N2CCOCC2)C1 ZINC001463535108 1074523383 /nfs/dbraw/zinc/52/33/83/1074523383.db2.gz LFNSMFOBRCPVDL-AUUYWEPGSA-N 0 0 445.538 -0.367 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NCCC(=O)N2CCOCC2)C1 ZINC001463535285 1074523478 /nfs/dbraw/zinc/52/34/78/1074523478.db2.gz LTUJQNNWTOKONW-AWEZNQCLSA-N 0 0 433.527 -0.224 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NCCC(=O)N2CCOCC2)C1 ZINC001463535289 1074523356 /nfs/dbraw/zinc/52/33/56/1074523356.db2.gz LTUJQNNWTOKONW-CQSZACIVSA-N 0 0 433.527 -0.224 20 0 IBADRN O=C(c1cnn(C[C@@H](O)CO)c1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001463547778 1074523390 /nfs/dbraw/zinc/52/33/90/1074523390.db2.gz USYICRANJYOFPX-CYBMUJFWSA-N 0 0 430.433 -0.339 20 0 IBADRN O=C(c1cnn(C[C@H](O)CO)c1)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001463547786 1074523339 /nfs/dbraw/zinc/52/33/39/1074523339.db2.gz USYICRANJYOFPX-ZDUSSCGKSA-N 0 0 430.433 -0.339 20 0 IBADRN NC(=O)N[C@H](CO)C(=O)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC001463550682 1074523466 /nfs/dbraw/zinc/52/34/66/1074523466.db2.gz FFQNAAOOTOPEGX-GFCCVEGCSA-N 0 0 435.300 -0.689 20 0 IBADRN NC(=O)N[C@@H](CO)C(=O)N1CCN(S(=O)(=O)c2cccc(Br)c2)CC1 ZINC001463550683 1074523721 /nfs/dbraw/zinc/52/37/21/1074523721.db2.gz FFQNAAOOTOPEGX-LBPRGKRZSA-N 0 0 435.300 -0.689 20 0 IBADRN CS(=O)(=O)N1CC(CNS(=O)(=O)c2cc(C(N)=O)c(F)cc2Br)C1 ZINC001463571124 1074523814 /nfs/dbraw/zinc/52/38/14/1074523814.db2.gz WYHVCXTWRCRTHR-UHFFFAOYSA-N 0 0 444.304 -0.143 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CC3)CCS(=O)(=O)CC1 ZINC001463586351 1074523766 /nfs/dbraw/zinc/52/37/66/1074523766.db2.gz BPHYIFUKEKEZMQ-UHFFFAOYSA-N 0 0 442.515 -0.079 20 0 IBADRN COC(=O)C1(NS(=O)(=O)N2CCC[C@H]2C(=O)OC(C)(C)C)CCS(=O)(=O)CC1 ZINC001463587500 1074523916 /nfs/dbraw/zinc/52/39/16/1074523916.db2.gz JYQMRKAQWBFKLV-LBPRGKRZSA-N 0 0 440.540 -0.253 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)C1 ZINC001463590487 1074523830 /nfs/dbraw/zinc/52/38/30/1074523830.db2.gz OWYQQFICDFKVST-HNNXBMFYSA-N 0 0 431.536 -0.523 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)C1 ZINC001463590511 1074523904 /nfs/dbraw/zinc/52/39/04/1074523904.db2.gz OWYQQFICDFKVST-OAHLLOKOSA-N 0 0 431.536 -0.523 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N(CC(F)F)C2CCN(S(C)(=O)=O)CC2)n[nH]1 ZINC001463596604 1074523730 /nfs/dbraw/zinc/52/37/30/1074523730.db2.gz LABKWDQSSCGAJR-UHFFFAOYSA-N 0 0 430.455 -0.124 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001463610497 1074523910 /nfs/dbraw/zinc/52/39/10/1074523910.db2.gz WCJURLLKBZXCMY-UHFFFAOYSA-N 0 0 441.576 -0.698 20 0 IBADRN CNS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC001463611505 1074523865 /nfs/dbraw/zinc/52/38/65/1074523865.db2.gz ZTVIZMNCPDUHKG-UHFFFAOYSA-N 0 0 446.551 -0.449 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)[C@H]1CC(=O)N(C2CC2)C1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001463632064 1074523877 /nfs/dbraw/zinc/52/38/77/1074523877.db2.gz JHQFUICPCAXBHP-KBXCAEBGSA-N 0 0 438.554 -0.110 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001463632121 1074523894 /nfs/dbraw/zinc/52/38/94/1074523894.db2.gz JHQFUICPCAXBHP-KDOFPFPSSA-N 0 0 438.554 -0.110 20 0 IBADRN CC(C)[C@H](NS(=O)(=O)[C@H]1CC(=O)N(C2CC2)C1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001463632123 1074523757 /nfs/dbraw/zinc/52/37/57/1074523757.db2.gz JHQFUICPCAXBHP-KSSFIOAISA-N 0 0 438.554 -0.110 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)[C@@H]1CC(=O)N(C2CC2)C1)C(=O)N(C)Cc1nnc2n1CCC2 ZINC001463632126 1074523900 /nfs/dbraw/zinc/52/39/00/1074523900.db2.gz JHQFUICPCAXBHP-RDTXWAMCSA-N 0 0 438.554 -0.110 20 0 IBADRN CN(CCNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001463634128 1074523856 /nfs/dbraw/zinc/52/38/56/1074523856.db2.gz IPEPNVSXTZTFJS-UHFFFAOYSA-N 0 0 443.544 -0.743 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)ccn2)C1 ZINC001463634973 1074523775 /nfs/dbraw/zinc/52/37/75/1074523775.db2.gz SMNJFBNZGKJHNX-AWEZNQCLSA-N 0 0 435.524 -0.071 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)ccn2)C1 ZINC001463634976 1074523709 /nfs/dbraw/zinc/52/37/09/1074523709.db2.gz SMNJFBNZGKJHNX-CQSZACIVSA-N 0 0 435.524 -0.071 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(S(=O)(=O)CCC(C)(C)S(C)(=O)=O)C1 ZINC001463638844 1074523788 /nfs/dbraw/zinc/52/37/88/1074523788.db2.gz ZTZZOXHXKBGIPX-AWEZNQCLSA-N 0 0 448.629 -0.098 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(S(=O)(=O)CCC(C)(C)S(C)(=O)=O)C1 ZINC001463638887 1074524124 /nfs/dbraw/zinc/52/41/24/1074524124.db2.gz ZTZZOXHXKBGIPX-CQSZACIVSA-N 0 0 448.629 -0.098 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)NCCNS(=O)(=O)N(C)C ZINC001463661971 1074524113 /nfs/dbraw/zinc/52/41/13/1074524113.db2.gz HEKFDTLMBNEZPC-UHFFFAOYSA-N 0 0 442.947 -0.275 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1ccc(I)cc1 ZINC001463661975 1074524295 /nfs/dbraw/zinc/52/42/95/1074524295.db2.gz HFWUZKYTLOPFQP-UHFFFAOYSA-N 0 0 433.293 -0.035 20 0 IBADRN CCOC(=O)C1(S(C)(=O)=O)CCN(S(=O)(=O)N2CCC3(CC2)OCCO3)CC1 ZINC001463663733 1074524218 /nfs/dbraw/zinc/52/42/18/1074524218.db2.gz HEHXIPDGIOHAQL-UHFFFAOYSA-N 0 0 440.540 -0.488 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCCNS(=O)(=O)N(C)C)c(Br)o1 ZINC001463666327 1074524264 /nfs/dbraw/zinc/52/42/64/1074524264.db2.gz ODOKMNYZQPFMGS-UHFFFAOYSA-N 0 0 434.290 -0.497 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)CC(C)(C)CN1C(=O)c2ccccc2C1=O ZINC001463666813 1074524314 /nfs/dbraw/zinc/52/43/14/1074524314.db2.gz RVQOPUCICVLGSI-UHFFFAOYSA-N 0 0 446.551 -0.376 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001463667790 1074524134 /nfs/dbraw/zinc/52/41/34/1074524134.db2.gz VLKWSELLXSYCOY-UHFFFAOYSA-N 0 0 447.308 -0.639 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C[C@@H]3CC(=O)NC3=O)CC2)cc1 ZINC001463673671 1074524327 /nfs/dbraw/zinc/52/43/27/1074524327.db2.gz GRUMDRJPVURNGT-AWEZNQCLSA-N 0 0 437.474 -0.251 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)C[C@H]3CC(=O)NC3=O)CC2)cc1 ZINC001463673709 1074524335 /nfs/dbraw/zinc/52/43/35/1074524335.db2.gz GRUMDRJPVURNGT-CQSZACIVSA-N 0 0 437.474 -0.251 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCS(=O)(=O)N3)CC2)cc1 ZINC001463674619 1074524206 /nfs/dbraw/zinc/52/42/06/1074524206.db2.gz KCUJNPRPDMHOCR-HNNXBMFYSA-N 0 0 445.519 -0.612 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)[C@H]3CCS(=O)(=O)N3)CC2)cc1 ZINC001463674631 1074524244 /nfs/dbraw/zinc/52/42/44/1074524244.db2.gz KCUJNPRPDMHOCR-OAHLLOKOSA-N 0 0 445.519 -0.612 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCc2c(cccc2S(=O)(=O)N(C)C)C1 ZINC001463685771 1074524181 /nfs/dbraw/zinc/52/41/81/1074524181.db2.gz CNIBLLGWMHTJRL-UHFFFAOYSA-N 0 0 439.581 -0.484 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NS(=O)(=O)c1ccc2c(c1)C(=O)NCCO2)C(F)(F)F ZINC001463691081 1074524171 /nfs/dbraw/zinc/52/41/71/1074524171.db2.gz SAHGDFNBUZVEBT-GFCCVEGCSA-N 0 0 445.441 -0.091 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NS(=O)(=O)c1ccc2c(c1)C(=O)NCCO2)C(F)(F)F ZINC001463691083 1074524156 /nfs/dbraw/zinc/52/41/56/1074524156.db2.gz SAHGDFNBUZVEBT-LBPRGKRZSA-N 0 0 445.441 -0.091 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc3c(cc2OC)CCCNC3=O)CC1 ZINC001463698946 1074524252 /nfs/dbraw/zinc/52/42/52/1074524252.db2.gz OFMNTURUHXOCTQ-UHFFFAOYSA-N 0 0 432.524 -0.858 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CCC(O)(C(N)=O)CC1 ZINC001463699051 1074524195 /nfs/dbraw/zinc/52/41/95/1074524195.db2.gz PLXAGJPZHFLMCY-CVEARBPZSA-N 0 0 441.506 -0.336 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CCC(O)(C(N)=O)CC1 ZINC001463699418 1074524235 /nfs/dbraw/zinc/52/42/35/1074524235.db2.gz PLXAGJPZHFLMCY-HOTGVXAUSA-N 0 0 441.506 -0.336 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CCC(O)(C(N)=O)CC1 ZINC001463699423 1074524227 /nfs/dbraw/zinc/52/42/27/1074524227.db2.gz PLXAGJPZHFLMCY-HZPDHXFCSA-N 0 0 441.506 -0.336 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CCC(O)(C(N)=O)CC1 ZINC001463699428 1074524303 /nfs/dbraw/zinc/52/43/03/1074524303.db2.gz PLXAGJPZHFLMCY-JKSUJKDBSA-N 0 0 441.506 -0.336 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)CC1 ZINC001463699805 1074524288 /nfs/dbraw/zinc/52/42/88/1074524288.db2.gz LYAQOKYCKYBJHT-UHFFFAOYSA-N 0 0 426.557 -0.186 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(Cl)c(S(C)(=O)=O)c2)CC1 ZINC001463701037 1074524537 /nfs/dbraw/zinc/52/45/37/1074524537.db2.gz TVKGMAIDGHKWNJ-UHFFFAOYSA-N 0 0 431.945 -0.486 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)C1=O ZINC001463701139 1074524668 /nfs/dbraw/zinc/52/46/68/1074524668.db2.gz UPVYOUUVPANPNM-CABCVRRESA-N 0 0 425.463 -0.299 20 0 IBADRN CN1C(=O)C[C@H](NS(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)C1=O ZINC001463701143 1074524625 /nfs/dbraw/zinc/52/46/25/1074524625.db2.gz UPVYOUUVPANPNM-GJZGRUSLSA-N 0 0 425.463 -0.299 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)C1=O ZINC001463701144 1074524727 /nfs/dbraw/zinc/52/47/27/1074524727.db2.gz UPVYOUUVPANPNM-HUUCEWRRSA-N 0 0 425.463 -0.299 20 0 IBADRN CN1C(=O)C[C@@H](NS(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)C1=O ZINC001463701145 1074524598 /nfs/dbraw/zinc/52/45/98/1074524598.db2.gz UPVYOUUVPANPNM-LSDHHAIUSA-N 0 0 425.463 -0.299 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NCC3(O)CCS(=O)(=O)CC3)cc2CC1 ZINC001463702530 1074524616 /nfs/dbraw/zinc/52/46/16/1074524616.db2.gz UREAWBHFZTZWDA-UHFFFAOYSA-N 0 0 430.548 -0.148 20 0 IBADRN CCC[C@H](C(=O)OCC)S(=O)(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001463702944 1074524562 /nfs/dbraw/zinc/52/45/62/1074524562.db2.gz GCSPFDCCSUFRKW-GFCCVEGCSA-N 0 0 433.574 -0.362 20 0 IBADRN CCC[C@@H](C(=O)OCC)S(=O)(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001463702945 1074524642 /nfs/dbraw/zinc/52/46/42/1074524642.db2.gz GCSPFDCCSUFRKW-LBPRGKRZSA-N 0 0 433.574 -0.362 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)C1 ZINC001463703575 1074524712 /nfs/dbraw/zinc/52/47/12/1074524712.db2.gz YJDJSPVDGTXFEU-CYBMUJFWSA-N 0 0 442.556 -0.519 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)C1 ZINC001463703576 1074524758 /nfs/dbraw/zinc/52/47/58/1074524758.db2.gz YJDJSPVDGTXFEU-ZDUSSCGKSA-N 0 0 442.556 -0.519 20 0 IBADRN Cn1cnc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)ccc21 ZINC001463704508 1074524744 /nfs/dbraw/zinc/52/47/44/1074524744.db2.gz KQJYIMJBAGOQOA-UHFFFAOYSA-N 0 0 435.553 -0.148 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1 ZINC001463705258 1074524572 /nfs/dbraw/zinc/52/45/72/1074524572.db2.gz OBKPYEZYASHDFL-NEPJUHHUSA-N 0 0 431.558 -0.752 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cc1OC ZINC001463706648 1074524766 /nfs/dbraw/zinc/52/47/66/1074524766.db2.gz SDOWXXJLPUBCKU-UHFFFAOYSA-N 0 0 441.553 -0.018 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(S(=O)(=O)c2cnc3n2CCCC3)CC1 ZINC001463707266 1074524588 /nfs/dbraw/zinc/52/45/88/1074524588.db2.gz TXZIPXGHHSBQCU-UHFFFAOYSA-N 0 0 425.558 -0.502 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cc1 ZINC001463708795 1074524691 /nfs/dbraw/zinc/52/46/91/1074524691.db2.gz WUZWXLRNHVVDRS-UHFFFAOYSA-N 0 0 438.553 -0.076 20 0 IBADRN COc1cccc(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1OC ZINC001463710104 1074524683 /nfs/dbraw/zinc/52/46/83/1074524683.db2.gz YNDSWGUCRJRJDS-UHFFFAOYSA-N 0 0 441.553 -0.018 20 0 IBADRN CCn1nc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1C ZINC001463710125 1074524548 /nfs/dbraw/zinc/52/45/48/1074524548.db2.gz YSZYXCTVRQPVMN-UHFFFAOYSA-N 0 0 427.574 -0.201 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001463715362 1074524651 /nfs/dbraw/zinc/52/46/51/1074524651.db2.gz ZPHHEGTXMAUQIP-INIZCTEOSA-N 0 0 446.957 -0.352 20 0 IBADRN CC(C)NC(=O)CN1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001463715363 1074524753 /nfs/dbraw/zinc/52/47/53/1074524753.db2.gz ZPHHEGTXMAUQIP-MRXNPFEDSA-N 0 0 446.957 -0.352 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)CC1 ZINC001463716993 1074525080 /nfs/dbraw/zinc/52/50/80/1074525080.db2.gz LXBVNQHOXZIZMS-UHFFFAOYSA-N 0 0 444.579 -0.261 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001463719641 1074525055 /nfs/dbraw/zinc/52/50/55/1074525055.db2.gz FPZYHDUHLUBCFI-KRWDZBQOSA-N 0 0 430.504 -0.468 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCCCS(=O)(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001463719651 1074525101 /nfs/dbraw/zinc/52/51/01/1074525101.db2.gz FPZYHDUHLUBCFI-QGZVFWFLSA-N 0 0 430.504 -0.468 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)c2cccc(S(=O)(=O)N=S(C)(C)=O)c2)CC1 ZINC001463719804 1074525112 /nfs/dbraw/zinc/52/51/12/1074525112.db2.gz YQKQMMWJOLFRIO-UHFFFAOYSA-N 0 0 430.552 -0.051 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001463720326 1074525002 /nfs/dbraw/zinc/52/50/02/1074525002.db2.gz ITTRFHFKCSDNHF-HNNXBMFYSA-N 0 0 440.927 -0.122 20 0 IBADRN COc1c(C(=O)N(C)C)cc(Cl)cc1S(=O)(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001463720336 1074525070 /nfs/dbraw/zinc/52/50/70/1074525070.db2.gz ITTRFHFKCSDNHF-OAHLLOKOSA-N 0 0 440.927 -0.122 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)OCC)([S@@](C)=O)CC2)CC1 ZINC001463720348 1074525176 /nfs/dbraw/zinc/52/51/76/1074525176.db2.gz CGQSIMFTJMVHDF-HHHXNRCGSA-N 0 0 439.556 -0.219 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(C(=O)OCC)([S@](C)=O)CC2)CC1 ZINC001463720378 1074525124 /nfs/dbraw/zinc/52/51/24/1074525124.db2.gz CGQSIMFTJMVHDF-MHZLTWQESA-N 0 0 439.556 -0.219 20 0 IBADRN CN1C[C@@H](C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001463720588 1074525043 /nfs/dbraw/zinc/52/50/43/1074525043.db2.gz ISMFORKPERDDRV-CABCVRRESA-N 0 0 444.554 -0.605 20 0 IBADRN CN1C[C@@H](C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001463720660 1074525020 /nfs/dbraw/zinc/52/50/20/1074525020.db2.gz ISMFORKPERDDRV-GJZGRUSLSA-N 0 0 444.554 -0.605 20 0 IBADRN CN1C[C@H](C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001463720664 1074525092 /nfs/dbraw/zinc/52/50/92/1074525092.db2.gz ISMFORKPERDDRV-HUUCEWRRSA-N 0 0 444.554 -0.605 20 0 IBADRN CN1C[C@H](C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001463720669 1074525147 /nfs/dbraw/zinc/52/51/47/1074525147.db2.gz ISMFORKPERDDRV-LSDHHAIUSA-N 0 0 444.554 -0.605 20 0 IBADRN Cn1cc(I)c(CNS(=O)(=O)c2cn(C)c(=O)[nH]c2=O)n1 ZINC001463720835 1074525157 /nfs/dbraw/zinc/52/51/57/1074525157.db2.gz BCIMKFSCMZENMU-UHFFFAOYSA-N 0 0 425.208 -0.698 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001463725690 1074525064 /nfs/dbraw/zinc/52/50/64/1074525064.db2.gz WAESCADOPNVCQH-CVEARBPZSA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@@H](C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001463725701 1074524991 /nfs/dbraw/zinc/52/49/91/1074524991.db2.gz WAESCADOPNVCQH-HOTGVXAUSA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001463725703 1074525011 /nfs/dbraw/zinc/52/50/11/1074525011.db2.gz WAESCADOPNVCQH-HZPDHXFCSA-N 0 0 443.566 -0.102 20 0 IBADRN CC(C)(C)OC(=O)CN1CCC[C@H](C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)C1=O ZINC001463725705 1074525186 /nfs/dbraw/zinc/52/51/86/1074525186.db2.gz WAESCADOPNVCQH-JKSUJKDBSA-N 0 0 443.566 -0.102 20 0 IBADRN COc1cc(N)c(C(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc1S(C)(=O)=O ZINC001463727066 1074525195 /nfs/dbraw/zinc/52/51/95/1074525195.db2.gz YMFDXOUNESEYRP-GFCCVEGCSA-N 0 0 431.536 -0.374 20 0 IBADRN COc1cc(N)c(C(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc1S(C)(=O)=O ZINC001463727069 1074525136 /nfs/dbraw/zinc/52/51/36/1074525136.db2.gz YMFDXOUNESEYRP-LBPRGKRZSA-N 0 0 431.536 -0.374 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)C2CCOCC2)c1 ZINC001463730651 1074525028 /nfs/dbraw/zinc/52/50/28/1074525028.db2.gz FCOOFRNVQHUBEA-UHFFFAOYSA-N 0 0 440.565 -0.191 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)C2CCOCC2)c1 ZINC001463731874 1074525518 /nfs/dbraw/zinc/52/55/18/1074525518.db2.gz LKXSHFHIRSHCBJ-UHFFFAOYSA-N 0 0 434.536 -0.065 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)NCCS(=O)(=O)C2CCOCC2)cc1C ZINC001463733084 1074525418 /nfs/dbraw/zinc/52/54/18/1074525418.db2.gz QJAWBAJWPFVXPN-UHFFFAOYSA-N 0 0 440.565 -0.225 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)cn1C ZINC001463733242 1074525360 /nfs/dbraw/zinc/52/53/60/1074525360.db2.gz WHLHHGGAUJDHBI-AWEZNQCLSA-N 0 0 435.572 -0.382 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)cn1C ZINC001463733243 1074525344 /nfs/dbraw/zinc/52/53/44/1074525344.db2.gz WHLHHGGAUJDHBI-CQSZACIVSA-N 0 0 435.572 -0.382 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001463737231 1074525499 /nfs/dbraw/zinc/52/54/99/1074525499.db2.gz KYZMOEWELAMRLN-FZKCQIBNSA-N 0 0 437.474 -0.443 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001463737242 1074525402 /nfs/dbraw/zinc/52/54/02/1074525402.db2.gz KYZMOEWELAMRLN-QKPAOTATSA-N 0 0 437.474 -0.443 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001463737244 1074525393 /nfs/dbraw/zinc/52/53/93/1074525393.db2.gz KYZMOEWELAMRLN-QXSJWSMHSA-N 0 0 437.474 -0.443 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001463737246 1074525375 /nfs/dbraw/zinc/52/53/75/1074525375.db2.gz KYZMOEWELAMRLN-XUWVNRHRSA-N 0 0 437.474 -0.443 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2F)CC1 ZINC001463742710 1074525367 /nfs/dbraw/zinc/52/53/67/1074525367.db2.gz KSQUHLCVOSBVTE-UHFFFAOYSA-N 0 0 426.467 -0.806 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C3=CCS(=O)(=O)C3)CC2)c1 ZINC001463745435 1074525477 /nfs/dbraw/zinc/52/54/77/1074525477.db2.gz QAKMAECRQQGEKA-UHFFFAOYSA-N 0 0 430.504 -0.109 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C[C@H]3CC(=O)NC3=O)CC2)c1 ZINC001463749362 1074525508 /nfs/dbraw/zinc/52/55/08/1074525508.db2.gz TUGRSDFYEUNRGD-GFCCVEGCSA-N 0 0 425.463 -0.411 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)C[C@@H]3CC(=O)NC3=O)CC2)c1 ZINC001463749364 1074525410 /nfs/dbraw/zinc/52/54/10/1074525410.db2.gz TUGRSDFYEUNRGD-LBPRGKRZSA-N 0 0 425.463 -0.411 20 0 IBADRN COC(=O)COc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cc1 ZINC001463752184 1074525446 /nfs/dbraw/zinc/52/54/46/1074525446.db2.gz VDICOTQNBQAXQF-UHFFFAOYSA-N 0 0 435.524 -0.213 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@H]2C(=O)OC(C)(C)C)CC1 ZINC001463752186 1074525493 /nfs/dbraw/zinc/52/54/93/1074525493.db2.gz VECBVYRZMPJTLS-AWEZNQCLSA-N 0 0 440.588 -0.102 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(S(=O)(=O)c2cccc(-c3nnnn3C)c2)CC1 ZINC001463752192 1074525437 /nfs/dbraw/zinc/52/54/37/1074525437.db2.gz VFWLJGXDAHBFFV-UHFFFAOYSA-N 0 0 429.528 -0.574 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)NC(C)C)CC1 ZINC001463753114 1074525466 /nfs/dbraw/zinc/52/54/66/1074525466.db2.gz ZKIMQTRLLRCTED-UHFFFAOYSA-N 0 0 440.569 -0.856 20 0 IBADRN CN([C@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001463754856 1074525352 /nfs/dbraw/zinc/52/53/52/1074525352.db2.gz HTWHXDYODDCZIK-AWEZNQCLSA-N 0 0 431.536 -0.002 20 0 IBADRN CN([C@@H]1CCN(S(C)(=O)=O)C1)S(=O)(=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001463754858 1074525429 /nfs/dbraw/zinc/52/54/29/1074525429.db2.gz HTWHXDYODDCZIK-CQSZACIVSA-N 0 0 431.536 -0.002 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N(C)[C@@H]2CCN(S(C)(=O)=O)C2)cc1C(=O)OC ZINC001463754955 1074525382 /nfs/dbraw/zinc/52/53/82/1074525382.db2.gz JPOFJFKTLLSCHH-LLVKDONJSA-N 0 0 434.492 -0.086 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N(C)[C@H]2CCN(S(C)(=O)=O)C2)cc1C(=O)OC ZINC001463754957 1074525805 /nfs/dbraw/zinc/52/58/05/1074525805.db2.gz JPOFJFKTLLSCHH-NSHDSACASA-N 0 0 434.492 -0.086 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2nc(N(C)C)ncc2Br)CC1 ZINC001463754990 1074525833 /nfs/dbraw/zinc/52/58/33/1074525833.db2.gz FMMLTTSVNRRZLF-UHFFFAOYSA-N 0 0 429.319 -0.175 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)c2nn(C)cc2I)CC1 ZINC001463755553 1074525898 /nfs/dbraw/zinc/52/58/98/1074525898.db2.gz JLEOSTKWRVPYKB-UHFFFAOYSA-N 0 0 435.266 -0.455 20 0 IBADRN CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)NCCOC)CC1 ZINC001463755805 1074525842 /nfs/dbraw/zinc/52/58/42/1074525842.db2.gz NKWZBCNMBUKYJM-HNNXBMFYSA-N 0 0 430.502 -0.650 20 0 IBADRN CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)NCCOC)CC1 ZINC001463755807 1074525873 /nfs/dbraw/zinc/52/58/73/1074525873.db2.gz NKWZBCNMBUKYJM-OAHLLOKOSA-N 0 0 430.502 -0.650 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Cc2csc(S[C@H](C)C(N)=O)n2)CC1 ZINC001463756968 1074525823 /nfs/dbraw/zinc/52/58/23/1074525823.db2.gz UCQYGJQNSLHVBB-GFCCVEGCSA-N 0 0 429.568 -0.442 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Cc2csc(S[C@@H](C)C(N)=O)n2)CC1 ZINC001463756971 1074525882 /nfs/dbraw/zinc/52/58/82/1074525882.db2.gz UCQYGJQNSLHVBB-LBPRGKRZSA-N 0 0 429.568 -0.442 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CCO3)CC1 ZINC001463757584 1074525770 /nfs/dbraw/zinc/52/57/70/1074525770.db2.gz YFPHGBRSOREPGR-HRAATJIYSA-N 0 0 440.541 -0.081 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001463757587 1074525797 /nfs/dbraw/zinc/52/57/97/1074525797.db2.gz YFVNWFWSLBKHCJ-UHFFFAOYSA-N 0 0 427.546 -0.554 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)cc1 ZINC001463759983 1074525761 /nfs/dbraw/zinc/52/57/61/1074525761.db2.gz CJDHWDFZWACFQN-UHFFFAOYSA-N 0 0 437.565 -0.203 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)ccc1OC ZINC001463760233 1074525926 /nfs/dbraw/zinc/52/59/26/1074525926.db2.gz CYRWUYFPYBHZJC-UHFFFAOYSA-N 0 0 440.521 -0.086 20 0 IBADRN CC1(C)COc2c(S(=O)(=O)N3CCS(=O)(=NS(C)(=O)=O)CC3)cnn2C1 ZINC001463765479 1074525812 /nfs/dbraw/zinc/52/58/12/1074525812.db2.gz MZJWMJSFBUXIQP-UHFFFAOYSA-N 0 0 426.542 -0.266 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(S(=O)(=O)CCCCS(N)(=O)=O)CCO2 ZINC001463785718 1074525889 /nfs/dbraw/zinc/52/58/89/1074525889.db2.gz NPBGFBTUTQNPGN-UHFFFAOYSA-N 0 0 427.545 -0.293 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)N(C)CCN(C)c1ccc(S(N)(=O)=O)cc1 ZINC001463786155 1074525777 /nfs/dbraw/zinc/52/57/77/1074525777.db2.gz NOKJOSMOBLLBMS-INIZCTEOSA-N 0 0 448.567 -0.026 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)N(C)CCN(C)c1ccc(S(N)(=O)=O)cc1 ZINC001463786158 1074525935 /nfs/dbraw/zinc/52/59/35/1074525935.db2.gz NOKJOSMOBLLBMS-MRXNPFEDSA-N 0 0 448.567 -0.026 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001463786515 1074525919 /nfs/dbraw/zinc/52/59/19/1074525919.db2.gz RQUGYRACZSBPTI-CYBMUJFWSA-N 0 0 441.572 -0.034 20 0 IBADRN CNS(=O)(=O)C1CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001463786516 1074525786 /nfs/dbraw/zinc/52/57/86/1074525786.db2.gz RQUGYRACZSBPTI-ZDUSSCGKSA-N 0 0 441.572 -0.034 20 0 IBADRN CN(CCN(C)S(=O)(=O)CCCN1CCOCC1)c1ccc(S(N)(=O)=O)cc1 ZINC001463787334 1074525866 /nfs/dbraw/zinc/52/58/66/1074525866.db2.gz RFDMRWXCVXQWSS-UHFFFAOYSA-N 0 0 434.584 -0.246 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001463794552 1074525852 /nfs/dbraw/zinc/52/58/52/1074525852.db2.gz KBXHENCATLRIGP-CYBMUJFWSA-N 0 0 439.556 -0.486 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001463795211 1074526294 /nfs/dbraw/zinc/52/62/94/1074526294.db2.gz KBXHENCATLRIGP-ZDUSSCGKSA-N 0 0 439.556 -0.486 20 0 IBADRN CCOc1ccc(NC(=O)C2CN(S(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001463805109 1074526311 /nfs/dbraw/zinc/52/63/11/1074526311.db2.gz ATWDNFCHBUTJFJ-UHFFFAOYSA-N 0 0 447.535 -0.064 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001463808886 1074526289 /nfs/dbraw/zinc/52/62/89/1074526289.db2.gz PEECGWHGNOHVGR-KRWDZBQOSA-N 0 0 434.541 -0.105 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)[C@@H]2CC(=O)N(c3cnn(CCOC)c3)C2)CC1 ZINC001463808924 1074526227 /nfs/dbraw/zinc/52/62/27/1074526227.db2.gz PEECGWHGNOHVGR-QGZVFWFLSA-N 0 0 434.541 -0.105 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001463816385 1074526216 /nfs/dbraw/zinc/52/62/16/1074526216.db2.gz ATFZBCXQPVWTMT-UHFFFAOYSA-N 0 0 428.492 -0.180 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001463816846 1074526257 /nfs/dbraw/zinc/52/62/57/1074526257.db2.gz DTBUYUWDSVOZTH-ZDUSSCGKSA-N 0 0 449.555 -0.233 20 0 IBADRN O=C(NC1CC1)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC001463817907 1074526275 /nfs/dbraw/zinc/52/62/75/1074526275.db2.gz LWXIBJCXXVFGLS-UHFFFAOYSA-N 0 0 439.519 -0.003 20 0 IBADRN Cc1c(S(=O)(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)coc1C(=O)N(C)C ZINC001463818927 1074526245 /nfs/dbraw/zinc/52/62/45/1074526245.db2.gz NXKPFEUEGYAULJ-UHFFFAOYSA-N 0 0 431.496 -0.292 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCC[C@H](CNS(=O)(=O)C3CC3)C2)C1 ZINC001463822860 1074526210 /nfs/dbraw/zinc/52/62/10/1074526210.db2.gz YSHHRALEFXAIQX-GFCCVEGCSA-N 0 0 429.586 -0.999 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCC[C@@H](CNS(=O)(=O)C3CC3)C2)C1 ZINC001463822863 1074526251 /nfs/dbraw/zinc/52/62/51/1074526251.db2.gz YSHHRALEFXAIQX-LBPRGKRZSA-N 0 0 429.586 -0.999 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001463833317 1074526270 /nfs/dbraw/zinc/52/62/70/1074526270.db2.gz ATMLTJUFSLNEBK-NXEZZACHSA-N 0 0 446.283 -0.222 20 0 IBADRN CN(CCS(=O)(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1)S(=O)(=O)c1ccc(F)cc1 ZINC001463837427 1074526238 /nfs/dbraw/zinc/52/62/38/1074526238.db2.gz QNPWSIYNYAMDIB-HUUCEWRRSA-N 0 0 435.499 -0.635 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)c(OC)c1 ZINC001463838745 1074526327 /nfs/dbraw/zinc/52/63/27/1074526327.db2.gz XDVACVRQAJGZDJ-CHWSQXEVSA-N 0 0 433.508 -0.265 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@]2(C)CCC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC001463845395 1074526305 /nfs/dbraw/zinc/52/63/05/1074526305.db2.gz JAFBAEVPJIBEFZ-KRWDZBQOSA-N 0 0 431.536 -0.037 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@]2(C)CCC(=O)N2)cc1S(=O)(=O)N1CCOCC1 ZINC001463845411 1074526174 /nfs/dbraw/zinc/52/61/74/1074526174.db2.gz JAFBAEVPJIBEFZ-QGZVFWFLSA-N 0 0 431.536 -0.037 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNS(=O)(=O)CCS(=O)(=O)N(C)C)c1 ZINC001463847575 1074526263 /nfs/dbraw/zinc/52/62/63/1074526263.db2.gz SYQFZMNZFBBUFN-UHFFFAOYSA-N 0 0 427.570 -0.316 20 0 IBADRN Cn1cnnc1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001463856329 1074526186 /nfs/dbraw/zinc/52/61/86/1074526186.db2.gz PBLYZIKSKDIXSZ-UHFFFAOYSA-N 0 0 429.524 -0.253 20 0 IBADRN NC(=O)CN1CCN(S(=O)(=O)Cc2cc3c(cc2Br)OCO3)CC1=O ZINC001463856984 1074526318 /nfs/dbraw/zinc/52/63/18/1074526318.db2.gz CLTGNGWVIFUQLI-UHFFFAOYSA-N 0 0 434.268 -0.363 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CNc2ccc(S(N)(=O)=O)cc2F)CC1 ZINC001463857776 1074526644 /nfs/dbraw/zinc/52/66/44/1074526644.db2.gz DVWFERIJLGHBLH-GFCCVEGCSA-N 0 0 427.502 -0.304 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CNc2ccc(S(N)(=O)=O)cc2F)CC1 ZINC001463857783 1074526694 /nfs/dbraw/zinc/52/66/94/1074526694.db2.gz DVWFERIJLGHBLH-LBPRGKRZSA-N 0 0 427.502 -0.304 20 0 IBADRN Cn1c(=O)c2cc(NC(=O)[C@H](CO)NC(=O)Cc3ccc(F)cc3)cnc2n(C)c1=O ZINC001463860467 1074526726 /nfs/dbraw/zinc/52/67/26/1074526726.db2.gz BWAGGTVCSIDIRD-HNNXBMFYSA-N 0 0 429.408 -0.570 20 0 IBADRN Cn1cc(C(=O)N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)[nH]c1=O ZINC001463860822 1074526625 /nfs/dbraw/zinc/52/66/25/1074526625.db2.gz BFGDBLATZXEGMG-UHFFFAOYSA-N 0 0 436.494 -0.496 20 0 IBADRN CNC(=O)CCCC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001463862097 1074526702 /nfs/dbraw/zinc/52/67/02/1074526702.db2.gz HRQDNCBQLSTAFH-UHFFFAOYSA-N 0 0 439.538 -0.333 20 0 IBADRN O=CN1CCC[C@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001463863210 1074526569 /nfs/dbraw/zinc/52/65/69/1074526569.db2.gz MQDQPHRFXWAGHU-KRWDZBQOSA-N 0 0 437.522 -0.628 20 0 IBADRN O=CN1CCC[C@@H]1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001463863226 1074526686 /nfs/dbraw/zinc/52/66/86/1074526686.db2.gz MQDQPHRFXWAGHU-QGZVFWFLSA-N 0 0 437.522 -0.628 20 0 IBADRN O=C(CC1OCCO1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001463863601 1074526545 /nfs/dbraw/zinc/52/65/45/1074526545.db2.gz NUGLGKJEBGWMNK-UHFFFAOYSA-N 0 0 426.495 -0.486 20 0 IBADRN O=C(N1CCN(S(=O)(=O)c2cc3c(cc2Cl)NC(=O)CO3)CC1)C1(O)COC1 ZINC001463863672 1074526590 /nfs/dbraw/zinc/52/65/90/1074526590.db2.gz AVNLBBILACOPBU-UHFFFAOYSA-N 0 0 431.854 -0.735 20 0 IBADRN NC(=O)c1ccc(C(=O)N2CCN(c3ccc(S(=O)(=O)N4CCOCC4)cn3)CC2)o1 ZINC001463865020 1074526653 /nfs/dbraw/zinc/52/66/53/1074526653.db2.gz YHWMDDTWYQGNEX-UHFFFAOYSA-N 0 0 449.489 -0.243 20 0 IBADRN Cc1[nH]c(=O)[nH]c1C(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001463865107 1074526560 /nfs/dbraw/zinc/52/65/60/1074526560.db2.gz STNMQXBNFFDHEA-UHFFFAOYSA-N 0 0 436.494 -0.198 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCO[C@@H]([C@@H](C)O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001463866031 1074526598 /nfs/dbraw/zinc/52/65/98/1074526598.db2.gz SJUKQDWKNCLXAH-GDBMZVCRSA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)[C@H](CO)NC(=O)Cc3ccc(F)cc3)CC2)no1 ZINC001463866048 1074526638 /nfs/dbraw/zinc/52/66/38/1074526638.db2.gz YKXHCGAPWJOMHZ-KRWDZBQOSA-N 0 0 447.467 -0.075 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCO[C@@H]([C@H](C)O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001463866073 1074526619 /nfs/dbraw/zinc/52/66/19/1074526619.db2.gz SJUKQDWKNCLXAH-GOEBONIOSA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCO[C@H]([C@H](C)O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001463866079 1074526579 /nfs/dbraw/zinc/52/65/79/1074526579.db2.gz SJUKQDWKNCLXAH-HOCLYGCPSA-N 0 0 434.536 -0.214 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCO[C@H]([C@@H](C)O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001463866086 1074526671 /nfs/dbraw/zinc/52/66/71/1074526671.db2.gz SJUKQDWKNCLXAH-ZBFHGGJFSA-N 0 0 434.536 -0.214 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001463870092 1074526677 /nfs/dbraw/zinc/52/66/77/1074526677.db2.gz CYYZZDMVTJGVKI-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)[C@@H]2C1 ZINC001463876653 1074526947 /nfs/dbraw/zinc/52/69/47/1074526947.db2.gz NQDOGPMPEZVIPW-UONOGXRCSA-N 0 0 438.572 -0.250 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)c1cc2n(n1)CCN(C)S2(=O)=O ZINC001463877363 1074527027 /nfs/dbraw/zinc/52/70/27/1074527027.db2.gz WBCAQQOFLWCYOD-UHFFFAOYSA-N 0 0 427.508 -0.303 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)C[C@H]2C(F)(F)F)CC1 ZINC001463878644 1074527080 /nfs/dbraw/zinc/52/70/80/1074527080.db2.gz CVHAHCAJKHMJAD-CHWSQXEVSA-N 0 0 427.445 -0.788 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@@H]2CS(=O)(=O)C[C@@H]2C(F)(F)F)CC1 ZINC001463878651 1074526984 /nfs/dbraw/zinc/52/69/84/1074526984.db2.gz CVHAHCAJKHMJAD-OLZOCXBDSA-N 0 0 427.445 -0.788 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H]2CS(=O)(=O)C[C@H]2C(F)(F)F)CC1 ZINC001463878656 1074526957 /nfs/dbraw/zinc/52/69/57/1074526957.db2.gz CVHAHCAJKHMJAD-QWHCGFSZSA-N 0 0 427.445 -0.788 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)[C@H]2CS(=O)(=O)C[C@@H]2C(F)(F)F)CC1 ZINC001463878661 1074527036 /nfs/dbraw/zinc/52/70/36/1074527036.db2.gz CVHAHCAJKHMJAD-STQMWFEESA-N 0 0 427.445 -0.788 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(CC(=O)Nc4ccccc4)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001463879812 1074527051 /nfs/dbraw/zinc/52/70/51/1074527051.db2.gz JTSAOGAEHGPLFS-VAMGGRTRSA-N 0 0 440.504 -0.307 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(CC(=O)Nc4ccccc4)CC3)cc2S1(=O)=O ZINC001463879854 1074526969 /nfs/dbraw/zinc/52/69/69/1074526969.db2.gz JZWLRQULGRFTMM-UHFFFAOYSA-N 0 0 432.506 -0.086 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCN([C@H](C(N)=O)c4ccccc4)CC3)c2S1(=O)=O ZINC001463887008 1074527043 /nfs/dbraw/zinc/52/70/43/1074527043.db2.gz PEMLIFLVKWISRB-INIZCTEOSA-N 0 0 432.506 -0.498 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCN([C@@H](C(N)=O)c4ccccc4)CC3)c2S1(=O)=O ZINC001463887013 1074527093 /nfs/dbraw/zinc/52/70/93/1074527093.db2.gz PEMLIFLVKWISRB-MRXNPFEDSA-N 0 0 432.506 -0.498 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCC4(CCC4)S(=O)(=O)CC3)cnc21 ZINC001463896551 1074527098 /nfs/dbraw/zinc/52/70/98/1074527098.db2.gz SQTMHXKCTVCTBF-UHFFFAOYSA-N 0 0 428.492 -0.234 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC001463900121 1074527001 /nfs/dbraw/zinc/52/70/01/1074527001.db2.gz HGLXHKKIQKCIDU-INIZCTEOSA-N 0 0 425.507 -0.116 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)C1 ZINC001463900123 1074527105 /nfs/dbraw/zinc/52/71/05/1074527105.db2.gz HGLXHKKIQKCIDU-MRXNPFEDSA-N 0 0 425.507 -0.116 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC3(C2)CN(C)C(=O)O3)cc1S(=O)(=O)N1CCOCC1 ZINC001463907888 1074527087 /nfs/dbraw/zinc/52/70/87/1074527087.db2.gz NBKYFJSOJYTYMT-UHFFFAOYSA-N 0 0 445.519 -0.159 20 0 IBADRN CS(C)(=O)=NC(=O)CNS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001463918369 1074527066 /nfs/dbraw/zinc/52/70/66/1074527066.db2.gz MUEIOBZSMHJTEJ-UHFFFAOYSA-N 0 0 431.492 -0.527 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001463924603 1074526991 /nfs/dbraw/zinc/52/69/91/1074526991.db2.gz MEMRRZVAPVMESH-CYBMUJFWSA-N 0 0 434.515 -0.463 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001463924612 1074526975 /nfs/dbraw/zinc/52/69/75/1074526975.db2.gz MEMRRZVAPVMESH-ZDUSSCGKSA-N 0 0 434.515 -0.463 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC3(C2)CNC(=O)O3)cc1S(=O)(=O)N1CCOCC1 ZINC001463928226 1074527059 /nfs/dbraw/zinc/52/70/59/1074527059.db2.gz GXHOBSCSRVLZCK-UHFFFAOYSA-N 0 0 431.492 -0.501 20 0 IBADRN Cn1ncc2c1ncnc2N1CCN(C(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)CC1 ZINC001463929329 1074527378 /nfs/dbraw/zinc/52/73/78/1074527378.db2.gz DLSKBVNSSLQZLJ-KRWDZBQOSA-N 0 0 441.467 -0.129 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)CNS(=O)(=O)N(C)C)CC2)cc1 ZINC001463942765 1074527491 /nfs/dbraw/zinc/52/74/91/1074527491.db2.gz OTUCFRVERCNOMA-UHFFFAOYSA-N 0 0 432.524 -0.882 20 0 IBADRN CC(C)[C@H](NC(N)=O)C(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001463943857 1074527358 /nfs/dbraw/zinc/52/73/58/1074527358.db2.gz XKNVWKLHVSDYAJ-INIZCTEOSA-N 0 0 426.495 -0.016 20 0 IBADRN O=C(CS(=O)(=O)C1CC1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001463944110 1074527421 /nfs/dbraw/zinc/52/74/21/1074527421.db2.gz YPPVZUOABLHRNE-UHFFFAOYSA-N 0 0 430.504 -0.132 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001463945628 1074527451 /nfs/dbraw/zinc/52/74/51/1074527451.db2.gz MDDGTOREDWYPKW-GFCCVEGCSA-N 0 0 426.538 -0.578 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001463945632 1074527485 /nfs/dbraw/zinc/52/74/85/1074527485.db2.gz MDDGTOREDWYPKW-LBPRGKRZSA-N 0 0 426.538 -0.578 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)N3CCOCC3)CC2)[C@H]1c1ccnn1C ZINC001463945883 1074527480 /nfs/dbraw/zinc/52/74/80/1074527480.db2.gz FVSITUBFDXCAOU-MSOLQXFVSA-N 0 0 434.497 -0.695 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001463949505 1074527414 /nfs/dbraw/zinc/52/74/14/1074527414.db2.gz OYLOQXMCLNPRPA-DZGCQCFKSA-N 0 0 446.551 -0.628 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001463949570 1074527408 /nfs/dbraw/zinc/52/74/08/1074527408.db2.gz OYLOQXMCLNPRPA-HIFRSBDPSA-N 0 0 446.551 -0.628 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001463949578 1074527474 /nfs/dbraw/zinc/52/74/74/1074527474.db2.gz OYLOQXMCLNPRPA-UKRRQHHQSA-N 0 0 446.551 -0.628 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001463949585 1074527504 /nfs/dbraw/zinc/52/75/04/1074527504.db2.gz OYLOQXMCLNPRPA-ZFWWWQNUSA-N 0 0 446.551 -0.628 20 0 IBADRN CN1C[C@@H](C(=O)N2CCN(C(=O)N3CCOCC3)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001463950854 1074527499 /nfs/dbraw/zinc/52/74/99/1074527499.db2.gz SGCVEDBJAVFVEK-HNNXBMFYSA-N 0 0 439.513 -0.340 20 0 IBADRN CN1C[C@H](C(=O)N2CCN(C(=O)N3CCOCC3)CC2)N(C(=O)OC(C)(C)C)CC1=O ZINC001463950874 1074527369 /nfs/dbraw/zinc/52/73/69/1074527369.db2.gz SGCVEDBJAVFVEK-OAHLLOKOSA-N 0 0 439.513 -0.340 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCN(S(=O)(=O)c2cccc(Cl)c2)CC1 ZINC001463953789 1074527467 /nfs/dbraw/zinc/52/74/67/1074527467.db2.gz SQHAXWMVQVRNOY-GFCCVEGCSA-N 0 0 441.901 -0.636 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CC1(NC(=O)OC(C)(C)C)COC1 ZINC001463954680 1074527433 /nfs/dbraw/zinc/52/74/33/1074527433.db2.gz DUWHQNUVTPRHMV-CYBMUJFWSA-N 0 0 433.527 -0.178 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CC1(NC(=O)OC(C)(C)C)COC1 ZINC001463954685 1074527495 /nfs/dbraw/zinc/52/74/95/1074527495.db2.gz DUWHQNUVTPRHMV-ZDUSSCGKSA-N 0 0 433.527 -0.178 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(C)(=O)=O)ncc1Cl ZINC001463956867 1074527443 /nfs/dbraw/zinc/52/74/43/1074527443.db2.gz WCYWTJVYZRERDQ-JTQLQIEISA-N 0 0 437.927 -0.096 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(S(C)(=O)=O)ncc1Cl ZINC001463956868 1074527398 /nfs/dbraw/zinc/52/73/98/1074527398.db2.gz WCYWTJVYZRERDQ-SNVBAGLBSA-N 0 0 437.927 -0.096 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)CCSc1ncnc2[nH]cnc21 ZINC001463957154 1074527950 /nfs/dbraw/zinc/52/79/50/1074527950.db2.gz ZOLVPMGPBMCQOO-LLVKDONJSA-N 0 0 426.524 -0.013 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)CCSc1ncnc2[nH]cnc21 ZINC001463957162 1074527927 /nfs/dbraw/zinc/52/79/27/1074527927.db2.gz ZOLVPMGPBMCQOO-NSHDSACASA-N 0 0 426.524 -0.013 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001463958783 1074527779 /nfs/dbraw/zinc/52/77/79/1074527779.db2.gz IKSHIWWVNDDQAT-AWEZNQCLSA-N 0 0 439.943 -0.527 20 0 IBADRN CS(=O)(=O)N1CCCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001463958785 1074527887 /nfs/dbraw/zinc/52/78/87/1074527887.db2.gz IKSHIWWVNDDQAT-CQSZACIVSA-N 0 0 439.943 -0.527 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001463960182 1074527784 /nfs/dbraw/zinc/52/77/84/1074527784.db2.gz OBNZUJOHMOTBKU-HNNXBMFYSA-N 0 0 439.533 -0.323 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001463960185 1074527706 /nfs/dbraw/zinc/52/77/06/1074527706.db2.gz OBNZUJOHMOTBKU-OAHLLOKOSA-N 0 0 439.533 -0.323 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)CC1 ZINC001463976308 1074527855 /nfs/dbraw/zinc/52/78/55/1074527855.db2.gz HYIRJPAPGVRIOX-UHFFFAOYSA-N 0 0 438.550 -0.228 20 0 IBADRN COCCN(C[C@H](O)C(F)(F)F)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC001463980015 1074527877 /nfs/dbraw/zinc/52/78/77/1074527877.db2.gz YDLFQXAENDZHIP-JTQLQIEISA-N 0 0 426.373 -0.406 20 0 IBADRN COCCN(C[C@@H](O)C(F)(F)F)S(=O)(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C ZINC001463980016 1074527956 /nfs/dbraw/zinc/52/79/56/1074527956.db2.gz YDLFQXAENDZHIP-SNVBAGLBSA-N 0 0 426.373 -0.406 20 0 IBADRN Cn1cc(C[C@H](CO)CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)cn1 ZINC001463982491 1074527819 /nfs/dbraw/zinc/52/78/19/1074527819.db2.gz FSLBXJZRHWXDAO-AWEZNQCLSA-N 0 0 428.536 -0.010 20 0 IBADRN Cn1cc(C[C@@H](CO)CNS(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)cn1 ZINC001463982493 1074527934 /nfs/dbraw/zinc/52/79/34/1074527934.db2.gz FSLBXJZRHWXDAO-CQSZACIVSA-N 0 0 428.536 -0.010 20 0 IBADRN CCN(CC)C(=O)CN1CCC(NS(=O)(=O)c2cccc(S(N)(=O)=O)c2)CC1 ZINC001463982611 1074527837 /nfs/dbraw/zinc/52/78/37/1074527837.db2.gz HNUCMSWHBYTICS-UHFFFAOYSA-N 0 0 432.568 -0.055 20 0 IBADRN Cn1cc(C[C@H](CO)CNS(=O)(=O)c2cnn(C)c2I)cn1 ZINC001463984740 1074527740 /nfs/dbraw/zinc/52/77/40/1074527740.db2.gz SEFPHWXOYJJMMV-JTQLQIEISA-N 0 0 439.279 -0.112 20 0 IBADRN Cn1cc(C[C@@H](CO)CNS(=O)(=O)c2cnn(C)c2I)cn1 ZINC001463984741 1074527760 /nfs/dbraw/zinc/52/77/60/1074527760.db2.gz SEFPHWXOYJJMMV-SNVBAGLBSA-N 0 0 439.279 -0.112 20 0 IBADRN CCN(CC)C(=O)CN1CCC(NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001463984810 1074527793 /nfs/dbraw/zinc/52/77/93/1074527793.db2.gz UPNUHSGJVWDAPM-HNNXBMFYSA-N 0 0 438.616 -0.480 20 0 IBADRN CCN(CC)C(=O)CN1CCC(NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001463984820 1074527910 /nfs/dbraw/zinc/52/79/10/1074527910.db2.gz UPNUHSGJVWDAPM-OAHLLOKOSA-N 0 0 438.616 -0.480 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(c2nnc(C)n2C)CC1 ZINC001463985581 1074527829 /nfs/dbraw/zinc/52/78/29/1074527829.db2.gz FENQCFRGXHSGLO-UHFFFAOYSA-N 0 0 428.540 -0.067 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(c3nnc(C)n3C)CC2)cc1C ZINC001463987675 1074527898 /nfs/dbraw/zinc/52/78/98/1074527898.db2.gz OWWGLHRNFREYPW-UHFFFAOYSA-N 0 0 428.540 -0.149 20 0 IBADRN Cn1cnnc1N1CCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC001463988704 1074527943 /nfs/dbraw/zinc/52/79/43/1074527943.db2.gz WRIJMFREUDQLSG-UHFFFAOYSA-N 0 0 426.524 -0.233 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CCC3)CC1 ZINC001463993934 1074528263 /nfs/dbraw/zinc/52/82/63/1074528263.db2.gz CWKPIYTUGDRYNG-UHFFFAOYSA-N 0 0 444.579 -0.254 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)[C@H]1CCC[C@@H](OC)C1 ZINC001463998984 1074528251 /nfs/dbraw/zinc/52/82/51/1074528251.db2.gz XDZNLVZFENXCIQ-BFHYXJOUSA-N 0 0 427.545 -0.855 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)[C@@H]1CCC[C@H](OC)C1 ZINC001463998985 1074528191 /nfs/dbraw/zinc/52/81/91/1074528191.db2.gz XDZNLVZFENXCIQ-HZSPNIEDSA-N 0 0 427.545 -0.855 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)[C@H]1CCC[C@H](OC)C1 ZINC001463998986 1074528229 /nfs/dbraw/zinc/52/82/29/1074528229.db2.gz XDZNLVZFENXCIQ-MELADBBJSA-N 0 0 427.545 -0.855 20 0 IBADRN COC(=O)[C@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)[C@@H]1CCC[C@@H](OC)C1 ZINC001463998987 1074528208 /nfs/dbraw/zinc/52/82/08/1074528208.db2.gz XDZNLVZFENXCIQ-MGPQQGTHSA-N 0 0 427.545 -0.855 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001464002363 1074528363 /nfs/dbraw/zinc/52/83/63/1074528363.db2.gz LPBRPBUEMGDYNT-CHWSQXEVSA-N 0 0 427.545 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N[C@@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001464002365 1074528302 /nfs/dbraw/zinc/52/83/02/1074528302.db2.gz LPBRPBUEMGDYNT-OLZOCXBDSA-N 0 0 427.545 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001464002381 1074528220 /nfs/dbraw/zinc/52/82/20/1074528220.db2.gz LPBRPBUEMGDYNT-QWHCGFSZSA-N 0 0 427.545 -0.424 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N[C@H]2CCN(S(C)(=O)=O)C2)C1 ZINC001464002391 1074528341 /nfs/dbraw/zinc/52/83/41/1074528341.db2.gz LPBRPBUEMGDYNT-STQMWFEESA-N 0 0 427.545 -0.424 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001464007787 1074528274 /nfs/dbraw/zinc/52/82/74/1074528274.db2.gz YQAFCYGLWJWNRE-CYBMUJFWSA-N 0 0 445.519 -0.748 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001464007790 1074528244 /nfs/dbraw/zinc/52/82/44/1074528244.db2.gz YQAFCYGLWJWNRE-ZDUSSCGKSA-N 0 0 445.519 -0.748 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2CCN(Cc3nc[nH]n3)CC2)s1 ZINC001464014989 1074528348 /nfs/dbraw/zinc/52/83/48/1074528348.db2.gz JQUQYCOZPXUBRM-UHFFFAOYSA-N 0 0 448.596 -0.146 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CC3)CC1 ZINC001464023235 1074528288 /nfs/dbraw/zinc/52/82/88/1074528288.db2.gz CSUWIHBXQRLCIB-UHFFFAOYSA-N 0 0 428.536 -0.365 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)CC1 ZINC001464025774 1074528294 /nfs/dbraw/zinc/52/82/94/1074528294.db2.gz IWYGMJBISCWFCG-UHFFFAOYSA-N 0 0 446.551 -0.543 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCC(NS(C)(=O)=O)CC1 ZINC001464031933 1074528653 /nfs/dbraw/zinc/52/86/53/1074528653.db2.gz KAWXXEDQIXVLJL-ZDUSSCGKSA-N 0 0 441.572 -0.145 20 0 IBADRN NC(=O)C1(NS(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)CCOCC1 ZINC001464036030 1074528626 /nfs/dbraw/zinc/52/86/26/1074528626.db2.gz MYMKLJMKLLDJAZ-INIZCTEOSA-N 0 0 441.506 -0.022 20 0 IBADRN NC(=O)C1(NS(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)CCOCC1 ZINC001464036037 1074528749 /nfs/dbraw/zinc/52/87/49/1074528749.db2.gz MYMKLJMKLLDJAZ-MRXNPFEDSA-N 0 0 441.506 -0.022 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1NS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001464040915 1074528771 /nfs/dbraw/zinc/52/87/71/1074528771.db2.gz DDFQROMAUAPLMI-LSDHHAIUSA-N 0 0 426.447 -0.451 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C[C@@H]2O ZINC001464043587 1074528640 /nfs/dbraw/zinc/52/86/40/1074528640.db2.gz YTSFEXVVPPEIHB-AWEZNQCLSA-N 0 0 443.522 -0.087 20 0 IBADRN COc1ccc(OC)c2c1CN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)C[C@H]2O ZINC001464043589 1074528688 /nfs/dbraw/zinc/52/86/88/1074528688.db2.gz YTSFEXVVPPEIHB-CQSZACIVSA-N 0 0 443.522 -0.087 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001464048049 1074528555 /nfs/dbraw/zinc/52/85/55/1074528555.db2.gz BQYJEBPWWZBVSP-NXEZZACHSA-N 0 0 445.288 -0.223 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001464048052 1074528570 /nfs/dbraw/zinc/52/85/70/1074528570.db2.gz BQYJEBPWWZBVSP-UWVGGRQHSA-N 0 0 445.288 -0.223 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001464048053 1074528609 /nfs/dbraw/zinc/52/86/09/1074528609.db2.gz BQYJEBPWWZBVSP-VHSXEESVSA-N 0 0 445.288 -0.223 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001464048055 1074528663 /nfs/dbraw/zinc/52/86/63/1074528663.db2.gz BQYJEBPWWZBVSP-ZJUUUORDSA-N 0 0 445.288 -0.223 20 0 IBADRN O=S(=O)(NC[C@@]1(OCCO)CCOC1)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001464049661 1074528727 /nfs/dbraw/zinc/52/87/27/1074528727.db2.gz JQLDWJRZSDGVTK-KRWDZBQOSA-N 0 0 434.536 -0.083 20 0 IBADRN O=S(=O)(NC[C@]1(OCCO)CCOC1)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001464049664 1074528681 /nfs/dbraw/zinc/52/86/81/1074528681.db2.gz JQLDWJRZSDGVTK-QGZVFWFLSA-N 0 0 434.536 -0.083 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC001464050518 1074528715 /nfs/dbraw/zinc/52/87/15/1074528715.db2.gz NENKBCPXNGOZPR-NEPJUHHUSA-N 0 0 431.941 -0.025 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC001464050528 1074528779 /nfs/dbraw/zinc/52/87/79/1074528779.db2.gz NENKBCPXNGOZPR-NWDGAFQWSA-N 0 0 431.941 -0.025 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC001464050537 1074528762 /nfs/dbraw/zinc/52/87/62/1074528762.db2.gz NENKBCPXNGOZPR-RYUDHWBXSA-N 0 0 431.941 -0.025 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1cc(Cl)ccc1CS(C)(=O)=O ZINC001464050547 1074528756 /nfs/dbraw/zinc/52/87/56/1074528756.db2.gz NENKBCPXNGOZPR-VXGBXAGGSA-N 0 0 431.941 -0.025 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H]1COC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001464052175 1074528704 /nfs/dbraw/zinc/52/87/04/1074528704.db2.gz AHYXNDGTUWGKMY-GFCCVEGCSA-N 0 0 427.545 -0.377 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H]1COC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001464052179 1074528699 /nfs/dbraw/zinc/52/86/99/1074528699.db2.gz AHYXNDGTUWGKMY-LBPRGKRZSA-N 0 0 427.545 -0.377 20 0 IBADRN Cn1ncc(S(=O)(=O)NC[C@]2(OCCO)CCOC2)c1I ZINC001464053750 1074528735 /nfs/dbraw/zinc/52/87/35/1074528735.db2.gz WFPPNGSRALIOMY-LLVKDONJSA-N 0 0 431.252 -0.529 20 0 IBADRN Cn1ncc(S(=O)(=O)NC[C@@]2(OCCO)CCOC2)c1I ZINC001464053755 1074528590 /nfs/dbraw/zinc/52/85/90/1074528590.db2.gz WFPPNGSRALIOMY-NSHDSACASA-N 0 0 431.252 -0.529 20 0 IBADRN CC(C)(C)OC(=O)[C@@]12COC[C@@H]1CN(S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)C2 ZINC001464054030 1074529121 /nfs/dbraw/zinc/52/91/21/1074529121.db2.gz OJEQRDPKMZRBSN-KEYYUXOJSA-N 0 0 438.568 -0.112 20 0 IBADRN CC(C)(C)OC(=O)[C@@]12COC[C@@H]1CN(S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)C2 ZINC001464054032 1074529100 /nfs/dbraw/zinc/52/91/00/1074529100.db2.gz OJEQRDPKMZRBSN-ZQIUZPCESA-N 0 0 438.568 -0.112 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001464054825 1074529067 /nfs/dbraw/zinc/52/90/67/1074529067.db2.gz YJWVVHIYPUQMNW-BDAKNGLRSA-N 0 0 425.286 -0.418 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001464054828 1074529159 /nfs/dbraw/zinc/52/91/59/1074529159.db2.gz YJWVVHIYPUQMNW-DTWKUNHWSA-N 0 0 425.286 -0.418 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001464054830 1074529017 /nfs/dbraw/zinc/52/90/17/1074529017.db2.gz YJWVVHIYPUQMNW-IUCAKERBSA-N 0 0 425.286 -0.418 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001464054832 1074529108 /nfs/dbraw/zinc/52/91/08/1074529108.db2.gz YJWVVHIYPUQMNW-RKDXNWHRSA-N 0 0 425.286 -0.418 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@@H]2CCN(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001464055848 1074528993 /nfs/dbraw/zinc/52/89/93/1074528993.db2.gz DXLMSVZSEQXHQO-AWEZNQCLSA-N 0 0 446.551 -0.305 20 0 IBADRN Cc1ccc(S(=O)(=O)NC[C@H]2CCN(C(N)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001464055873 1074529082 /nfs/dbraw/zinc/52/90/82/1074529082.db2.gz DXLMSVZSEQXHQO-CQSZACIVSA-N 0 0 446.551 -0.305 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N(CCN2CCOCC2)[C@H]2CCSC2)C1 ZINC001464056919 1074529091 /nfs/dbraw/zinc/52/90/91/1074529091.db2.gz ODMLXHSDZGFCKV-CVEARBPZSA-N 0 0 441.641 -0.263 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N(CCN2CCOCC2)[C@H]2CCSC2)C1 ZINC001464056928 1074529129 /nfs/dbraw/zinc/52/91/29/1074529129.db2.gz ODMLXHSDZGFCKV-HOTGVXAUSA-N 0 0 441.641 -0.263 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N(CCN2CCOCC2)[C@@H]2CCSC2)C1 ZINC001464056930 1074529137 /nfs/dbraw/zinc/52/91/37/1074529137.db2.gz ODMLXHSDZGFCKV-HZPDHXFCSA-N 0 0 441.641 -0.263 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N(CCN2CCOCC2)[C@@H]2CCSC2)C1 ZINC001464056931 1074529168 /nfs/dbraw/zinc/52/91/68/1074529168.db2.gz ODMLXHSDZGFCKV-JKSUJKDBSA-N 0 0 441.641 -0.263 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N2C[C@@H]3C(=O)N(Cc4ccccc4)C(=O)[C@@H]3C2)cn1 ZINC001464070437 1074529005 /nfs/dbraw/zinc/52/90/05/1074529005.db2.gz CYHBNORBIJWGSK-IYBDPMFKSA-N 0 0 432.458 -0.138 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CCN1S(C)(=O)=O ZINC001464078233 1074528972 /nfs/dbraw/zinc/52/89/72/1074528972.db2.gz ABCOLWRSFWANQA-SNVBAGLBSA-N 0 0 446.473 -0.028 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cnn(C)c2I)CCN1S(C)(=O)=O ZINC001464079150 1074528980 /nfs/dbraw/zinc/52/89/80/1074528980.db2.gz DZIVABUKCBOLBB-MRVPVSSYSA-N 0 0 448.308 -0.321 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C[C@@H](C)O1 ZINC001464079452 1074529174 /nfs/dbraw/zinc/52/91/74/1074529174.db2.gz GDTHQBSPWMMRQN-CJNGLKHVSA-N 0 0 440.474 -0.109 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC001464083605 1074529144 /nfs/dbraw/zinc/52/91/44/1074529144.db2.gz QNNCAIWERJOZJH-LLVKDONJSA-N 0 0 426.538 -0.247 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCC1(NC(=O)OC(C)(C)C)CCOCC1 ZINC001464087867 1074529203 /nfs/dbraw/zinc/52/92/03/1074529203.db2.gz NWDSGPQWLWRTPA-UHFFFAOYSA-N 0 0 429.561 -0.129 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)Cc2cn(C)nn2)cc1S(=O)(=O)N1CCOCC1 ZINC001464088241 1074529395 /nfs/dbraw/zinc/52/93/95/1074529395.db2.gz GGYVESINKOZCTH-UHFFFAOYSA-N 0 0 429.524 -0.035 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C3CC3)CC2)CC1 ZINC001464088584 1074529562 /nfs/dbraw/zinc/52/95/62/1074529562.db2.gz LCDMUAFHZMXMFZ-UHFFFAOYSA-N 0 0 438.572 -0.106 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)N1CCN(S(=O)(=O)C3CC3)CC1)OCC(=O)N2 ZINC001464088684 1074529442 /nfs/dbraw/zinc/52/94/42/1074529442.db2.gz LKXBZCNMMQBWOP-UHFFFAOYSA-N 0 0 431.492 -0.175 20 0 IBADRN CC(C)(C)OC(=O)NC1(CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCOCC1 ZINC001464089231 1074529368 /nfs/dbraw/zinc/52/93/68/1074529368.db2.gz WWLSCTZJMYXOAB-UHFFFAOYSA-N 0 0 441.572 -0.129 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001464090502 1074529479 /nfs/dbraw/zinc/52/94/79/1074529479.db2.gz ZOAIKTNRZKUTJJ-UHFFFAOYSA-N 0 0 437.565 -0.217 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC001464090530 1074529455 /nfs/dbraw/zinc/52/94/55/1074529455.db2.gz AKEUJIBTTBHXHF-LNSITVRQSA-N 0 0 439.533 -0.469 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(S(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)C[C@H]21 ZINC001464092575 1074529497 /nfs/dbraw/zinc/52/94/97/1074529497.db2.gz LYVFWSWTPMQDAE-ZOWXZIJZSA-N 0 0 438.549 -0.631 20 0 IBADRN CS(=O)(=O)NC1CN(S(=O)(=O)c2cc(C(N)=O)c(Br)cc2F)C1 ZINC001464093351 1074529516 /nfs/dbraw/zinc/52/95/16/1074529516.db2.gz OPKWUPRBZUNFNS-UHFFFAOYSA-N 0 0 430.277 -0.391 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC001464094588 1074529405 /nfs/dbraw/zinc/52/94/05/1074529405.db2.gz WLDFFLMADPTZLU-HNNXBMFYSA-N 0 0 426.495 -0.523 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC001464094594 1074529508 /nfs/dbraw/zinc/52/95/08/1074529508.db2.gz WLDFFLMADPTZLU-OAHLLOKOSA-N 0 0 426.495 -0.523 20 0 IBADRN COC(=O)c1ccn(CCCC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC001464098155 1074529592 /nfs/dbraw/zinc/52/95/92/1074529592.db2.gz APNQWOABPPDQPZ-UHFFFAOYSA-N 0 0 442.542 -0.914 20 0 IBADRN CCOC(=O)c1cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)[nH]c1C ZINC001464098226 1074529463 /nfs/dbraw/zinc/52/94/63/1074529463.db2.gz BPMKXSUAKLXZAU-UHFFFAOYSA-N 0 0 427.527 -0.250 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc([C@H]4CCC(=O)N4)cc3)CC2)CC1 ZINC001464098265 1074529384 /nfs/dbraw/zinc/52/93/84/1074529384.db2.gz BZVAOIRNYGPJSV-GOSISDBHSA-N 0 0 435.550 -0.112 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc([C@@H]4CCC(=O)N4)cc3)CC2)CC1 ZINC001464098270 1074529488 /nfs/dbraw/zinc/52/94/88/1074529488.db2.gz BZVAOIRNYGPJSV-SFHVURJKSA-N 0 0 435.550 -0.112 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3ccc(Cc4nnc[nH]4)cc3)CC2)CC1 ZINC001464098549 1074529526 /nfs/dbraw/zinc/52/95/26/1074529526.db2.gz FNDVCIIDKOUINE-UHFFFAOYSA-N 0 0 433.538 -0.355 20 0 IBADRN COC(=O)c1cc(F)cc(C(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)c1 ZINC001464099960 1074529545 /nfs/dbraw/zinc/52/95/45/1074529545.db2.gz GUKJDJIDJCIQDC-UHFFFAOYSA-N 0 0 428.486 -0.138 20 0 IBADRN Cc1nc2cc(C(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)ccc2c(=O)[nH]1 ZINC001464100108 1074529418 /nfs/dbraw/zinc/52/94/18/1074529418.db2.gz HNAAPWDWEVRTTK-UHFFFAOYSA-N 0 0 434.522 -0.106 20 0 IBADRN Cn1nc(-c2cccnc2)cc1C(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001464100309 1074529572 /nfs/dbraw/zinc/52/95/72/1074529572.db2.gz ILDOOFHVJRKJBX-UHFFFAOYSA-N 0 0 433.538 -0.268 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnn(Cc4ccccc4)c3N)CC2)CC1 ZINC001464101622 1074529434 /nfs/dbraw/zinc/52/94/34/1074529434.db2.gz OQRCADWBXLPFRJ-UHFFFAOYSA-N 0 0 447.565 -0.236 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3csc(-c4ncc[nH]4)n3)CC2)CC1 ZINC001464102403 1074529581 /nfs/dbraw/zinc/52/95/81/1074529581.db2.gz RXOFVUVJPOXMAR-UHFFFAOYSA-N 0 0 425.540 -0.217 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCc3sc(S(N)(=O)=O)cc3C2)CC1 ZINC001464108541 1074529930 /nfs/dbraw/zinc/52/99/30/1074529930.db2.gz CKESUUBHUBWBMU-UHFFFAOYSA-N 0 0 438.553 -0.228 20 0 IBADRN Cn1nncc1S(=O)(=O)N1CCN(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001464111621 1074529914 /nfs/dbraw/zinc/52/99/14/1074529914.db2.gz GFQOSULGCLMLAL-UHFFFAOYSA-N 0 0 443.530 -0.206 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@H]1CCC2(CN(C(=O)OC(C)(C)C)C2)O1 ZINC001464111789 1074529831 /nfs/dbraw/zinc/52/98/31/1074529831.db2.gz BSMLDADWIHXFLW-CYBMUJFWSA-N 0 0 441.572 -0.034 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@@H]1CCC2(CN(C(=O)OC(C)(C)C)C2)O1 ZINC001464111792 1074529922 /nfs/dbraw/zinc/52/99/22/1074529922.db2.gz BSMLDADWIHXFLW-ZDUSSCGKSA-N 0 0 441.572 -0.034 20 0 IBADRN CN(CCOCCNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C(=O)OC(C)(C)C ZINC001464112884 1074529981 /nfs/dbraw/zinc/52/99/81/1074529981.db2.gz FFTRQSIIHNEAOT-UHFFFAOYSA-N 0 0 444.576 -0.719 20 0 IBADRN NS(=O)(=O)N1CCC(NS(=O)(=O)c2cccc(C(=O)NCC(F)(F)F)c2)CC1 ZINC001464113604 1074529793 /nfs/dbraw/zinc/52/97/93/1074529793.db2.gz CCNSBINUQSWIFX-UHFFFAOYSA-N 0 0 444.457 -0.075 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)C3CN(C(=O)OC(C)(C)C)C3)CC2)CCOC1 ZINC001464115000 1074529847 /nfs/dbraw/zinc/52/98/47/1074529847.db2.gz GJPSTHLCVGBXJA-GOSISDBHSA-N 0 0 433.527 -0.115 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)C3CN(C(=O)OC(C)(C)C)C3)CC2)CCOC1 ZINC001464115009 1074529824 /nfs/dbraw/zinc/52/98/24/1074529824.db2.gz GJPSTHLCVGBXJA-SFHVURJKSA-N 0 0 433.527 -0.115 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNS(=O)(=O)c1ccc2c(c1)C(=O)NCCO2 ZINC001464116895 1074529839 /nfs/dbraw/zinc/52/98/39/1074529839.db2.gz SMRYVRDKEVNGEC-IBGZPJMESA-N 0 0 425.507 -0.297 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNS(=O)(=O)c1ccc2c(c1)C(=O)NCCO2 ZINC001464116899 1074529802 /nfs/dbraw/zinc/52/98/02/1074529802.db2.gz SMRYVRDKEVNGEC-LJQANCHMSA-N 0 0 425.507 -0.297 20 0 IBADRN NS(=O)(=O)N1CCC(NS(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CCC3)CC1 ZINC001464117554 1074529939 /nfs/dbraw/zinc/52/99/39/1074529939.db2.gz QLDXBEBDHRKMSR-UHFFFAOYSA-N 0 0 428.536 -0.142 20 0 IBADRN C/C(=C/C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)CN1CCOCC1 ZINC001464118168 1074530000 /nfs/dbraw/zinc/53/00/00/1074530000.db2.gz AOTZSXRWFZPYCH-GQCSXXPBSA-N 0 0 430.571 -0.237 20 0 IBADRN C/C(=C\C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1)CN1CCOCC1 ZINC001464118169 1074529987 /nfs/dbraw/zinc/52/99/87/1074529987.db2.gz AOTZSXRWFZPYCH-IOSWFWLXSA-N 0 0 430.571 -0.237 20 0 IBADRN C/C(=C/C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)CN1CCOCC1 ZINC001464118170 1074529972 /nfs/dbraw/zinc/52/99/72/1074529972.db2.gz AOTZSXRWFZPYCH-KZLRIVJYSA-N 0 0 430.571 -0.237 20 0 IBADRN C/C(=C\C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1)CN1CCOCC1 ZINC001464118171 1074529945 /nfs/dbraw/zinc/52/99/45/1074529945.db2.gz AOTZSXRWFZPYCH-VMPFUQBJSA-N 0 0 430.571 -0.237 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)C[C@H]1C ZINC001464118306 1074529854 /nfs/dbraw/zinc/52/98/54/1074529854.db2.gz BWXVBZXAYPSLHB-IBEHDNSVSA-N 0 0 433.527 -0.549 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)C[C@H]1C ZINC001464118524 1074530170 /nfs/dbraw/zinc/53/01/70/1074530170.db2.gz BWXVBZXAYPSLHB-LYYZXLFJSA-N 0 0 433.527 -0.549 20 0 IBADRN COC(=O)[C@@H]1O[C@@H](C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)C[C@H]1C ZINC001464118528 1074530203 /nfs/dbraw/zinc/53/02/03/1074530203.db2.gz BWXVBZXAYPSLHB-OXGONZEZSA-N 0 0 433.527 -0.549 20 0 IBADRN CC1(c2nnc(-c3cccnc3)o2)CN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001464120490 1074530237 /nfs/dbraw/zinc/53/02/37/1074530237.db2.gz GALPQTVEUWHGQR-UHFFFAOYSA-N 0 0 442.523 -0.473 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3C(=O)C3CC3)CC2)C[C@@H](C)O1 ZINC001464121888 1074530225 /nfs/dbraw/zinc/53/02/25/1074530225.db2.gz KCCPEYDVWKGXPP-INMHGKMJSA-N 0 0 428.555 -0.114 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3C(=O)C3CC3)CC2)C[C@H](C)O1 ZINC001464121890 1074530209 /nfs/dbraw/zinc/53/02/09/1074530209.db2.gz KCCPEYDVWKGXPP-UXLLHSPISA-N 0 0 428.555 -0.114 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)[C@@H]3CCCN3C(=O)C3CC3)CC2)C[C@H](C)O1 ZINC001464121891 1074530231 /nfs/dbraw/zinc/53/02/31/1074530231.db2.gz KCCPEYDVWKGXPP-ZOBUZTSGSA-N 0 0 428.555 -0.114 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)CONC(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC001464121900 1074530251 /nfs/dbraw/zinc/53/02/51/1074530251.db2.gz KCWLRWSSCYABFL-KBPBESRZSA-N 0 0 436.531 -0.059 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CONC(=O)OC(C)(C)C)CC2)C[C@H](C)O1 ZINC001464121903 1074530195 /nfs/dbraw/zinc/53/01/95/1074530195.db2.gz KCWLRWSSCYABFL-OKILXGFUSA-N 0 0 436.531 -0.059 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)CONC(=O)OC(C)(C)C)CC2)C[C@@H](C)O1 ZINC001464121905 1074530245 /nfs/dbraw/zinc/53/02/45/1074530245.db2.gz KCWLRWSSCYABFL-ZIAGYGMSSA-N 0 0 436.531 -0.059 20 0 IBADRN Cc1cn2nc(C(=O)N3CCN(S(=O)(=O)N4C[C@H](C)O[C@@H](C)C4)CC3)cc2c(=O)[nH]1 ZINC001464123891 1074530160 /nfs/dbraw/zinc/53/01/60/1074530160.db2.gz MNKHINIJEKIPRZ-KBPBESRZSA-N 0 0 438.510 -0.557 20 0 IBADRN Cc1cn2nc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@@H](C)C4)CC3)cc2c(=O)[nH]1 ZINC001464123892 1074530175 /nfs/dbraw/zinc/53/01/75/1074530175.db2.gz MNKHINIJEKIPRZ-OKILXGFUSA-N 0 0 438.510 -0.557 20 0 IBADRN Cc1cn2nc(C(=O)N3CCN(S(=O)(=O)N4C[C@@H](C)O[C@H](C)C4)CC3)cc2c(=O)[nH]1 ZINC001464123893 1074530182 /nfs/dbraw/zinc/53/01/82/1074530182.db2.gz MNKHINIJEKIPRZ-ZIAGYGMSSA-N 0 0 438.510 -0.557 20 0 IBADRN COc1cc(OC)nc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)n1 ZINC001464125095 1074530154 /nfs/dbraw/zinc/53/01/54/1074530154.db2.gz OOYRCNQUVMGFGD-BETUJISGSA-N 0 0 429.499 -0.394 20 0 IBADRN COc1cc(OC)nc(C(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)n1 ZINC001464125103 1074530131 /nfs/dbraw/zinc/53/01/31/1074530131.db2.gz OOYRCNQUVMGFGD-CHWSQXEVSA-N 0 0 429.499 -0.394 20 0 IBADRN COc1cc(OC)nc(C(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)n1 ZINC001464125109 1074530188 /nfs/dbraw/zinc/53/01/88/1074530188.db2.gz OOYRCNQUVMGFGD-STQMWFEESA-N 0 0 429.499 -0.394 20 0 IBADRN CO[C@H](C(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001464126550 1074530137 /nfs/dbraw/zinc/53/01/37/1074530137.db2.gz SOXHHZSPCIYTIM-KFWWJZLASA-N 0 0 435.543 -0.159 20 0 IBADRN CO[C@H](C(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001464126554 1074530115 /nfs/dbraw/zinc/53/01/15/1074530115.db2.gz SOXHHZSPCIYTIM-KKUMJFAQSA-N 0 0 435.543 -0.159 20 0 IBADRN CO[C@@H](C(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001464126555 1074530145 /nfs/dbraw/zinc/53/01/45/1074530145.db2.gz SOXHHZSPCIYTIM-QLFBSQMISA-N 0 0 435.543 -0.159 20 0 IBADRN CO[C@H](C(=O)OC(C)(C)C)C(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001464126557 1074530217 /nfs/dbraw/zinc/53/02/17/1074530217.db2.gz SOXHHZSPCIYTIM-ZNMIVQPWSA-N 0 0 435.543 -0.159 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccnc3OCCO)CC2)C[C@H](C)O1 ZINC001464127453 1074530124 /nfs/dbraw/zinc/53/01/24/1074530124.db2.gz UFOBPWOYFODFPS-GASCZTMLSA-N 0 0 428.511 -0.436 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccnc3OCCO)CC2)C[C@H](C)O1 ZINC001464127466 1074530471 /nfs/dbraw/zinc/53/04/71/1074530471.db2.gz UFOBPWOYFODFPS-GJZGRUSLSA-N 0 0 428.511 -0.436 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)c3cccnc3OCCO)CC2)C[C@@H](C)O1 ZINC001464127468 1074530452 /nfs/dbraw/zinc/53/04/52/1074530452.db2.gz UFOBPWOYFODFPS-HUUCEWRRSA-N 0 0 428.511 -0.436 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCO[C@@H](c3ccc(S(C)(=O)=O)cc3)C2)c(=O)[nH]c1=O ZINC001464141810 1074530427 /nfs/dbraw/zinc/53/04/27/1074530427.db2.gz DZSJJWVUAZZEHC-CYBMUJFWSA-N 0 0 429.476 -0.348 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCO[C@H](c3ccc(S(C)(=O)=O)cc3)C2)c(=O)[nH]c1=O ZINC001464141939 1074530526 /nfs/dbraw/zinc/53/05/26/1074530526.db2.gz DZSJJWVUAZZEHC-ZDUSSCGKSA-N 0 0 429.476 -0.348 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c3ccccc3F)CC2)CC1 ZINC001464158372 1074530577 /nfs/dbraw/zinc/53/05/77/1074530577.db2.gz MVQZDKAGSOWDCI-GOSISDBHSA-N 0 0 447.511 -0.188 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)[C@H](CC(N)=O)NC(=O)c3ccccc3F)CC2)CC1 ZINC001464158375 1074530534 /nfs/dbraw/zinc/53/05/34/1074530534.db2.gz MVQZDKAGSOWDCI-SFHVURJKSA-N 0 0 447.511 -0.188 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001464159400 1074530495 /nfs/dbraw/zinc/53/04/95/1074530495.db2.gz PKYUAJGGBZTMBD-BXUZGUMPSA-N 0 0 439.581 -0.294 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001464159401 1074530443 /nfs/dbraw/zinc/53/04/43/1074530443.db2.gz PKYUAJGGBZTMBD-FZMZJTMJSA-N 0 0 439.581 -0.294 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001464159403 1074530479 /nfs/dbraw/zinc/53/04/79/1074530479.db2.gz PKYUAJGGBZTMBD-RISCZKNCSA-N 0 0 439.581 -0.294 20 0 IBADRN CCS(=O)(=O)NC1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001464159405 1074530434 /nfs/dbraw/zinc/53/04/34/1074530434.db2.gz PKYUAJGGBZTMBD-SMDDNHRTSA-N 0 0 439.581 -0.294 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cccc(OC)c1OC ZINC001464163607 1074530567 /nfs/dbraw/zinc/53/05/67/1074530567.db2.gz CBSDMJBSCWBBGR-QWRGUYRKSA-N 0 0 427.435 -0.440 20 0 IBADRN CCOC(=O)c1cccc(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001464163668 1074530421 /nfs/dbraw/zinc/53/04/21/1074530421.db2.gz CKZXLCKPFMSXNE-JSGCOSHPSA-N 0 0 439.446 -0.280 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CCO1 ZINC001464163851 1074530488 /nfs/dbraw/zinc/53/04/88/1074530488.db2.gz ATBOEZFFNPMTSM-INIZCTEOSA-N 0 0 444.572 -0.343 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(S(=O)(=O)CCCS(=O)(=O)N(C)C)CCO1 ZINC001464163853 1074530461 /nfs/dbraw/zinc/53/04/61/1074530461.db2.gz ATBOEZFFNPMTSM-MRXNPFEDSA-N 0 0 444.572 -0.343 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cccc2c1CCN(C)C2 ZINC001464164480 1074530627 /nfs/dbraw/zinc/53/06/27/1074530627.db2.gz CZIMKPDCMPYMET-ZFWWWQNUSA-N 0 0 436.490 -0.469 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cnc(OC)c(Cl)c1 ZINC001464165969 1074530516 /nfs/dbraw/zinc/53/05/16/1074530516.db2.gz JKHSJOAXIIFOTJ-KWQFWETISA-N 0 0 432.842 -0.400 20 0 IBADRN COC(=O)c1ccc(CS(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)cc1 ZINC001464166110 1074530506 /nfs/dbraw/zinc/53/05/06/1074530506.db2.gz JXBGUEBOKLDPOO-KBPBESRZSA-N 0 0 439.446 -0.529 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1ccc(C(C)=O)c(F)c1 ZINC001464167018 1074530803 /nfs/dbraw/zinc/53/08/03/1074530803.db2.gz LQDSGBSCKAGEES-HZMBPMFUSA-N 0 0 427.410 -0.115 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)Cc1ccc(-n2cccn2)cc1 ZINC001464167795 1074530786 /nfs/dbraw/zinc/53/07/86/1074530786.db2.gz NUMUZLZCPGDYOW-HOTGVXAUSA-N 0 0 447.473 -0.130 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1ccc(C(F)(F)F)nc1 ZINC001464167967 1074530797 /nfs/dbraw/zinc/53/07/97/1074530797.db2.gz PLQCGHHVHFXLSP-WPRPVWTQSA-N 0 0 436.368 -0.043 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1c2c(nn1C)CCCC2 ZINC001464168032 1074530862 /nfs/dbraw/zinc/53/08/62/1074530862.db2.gz PVELBBRHVYCKLT-GWCFXTLKSA-N 0 0 425.467 -0.845 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cc(OC)c(OC)cc1C ZINC001464168754 1074530809 /nfs/dbraw/zinc/53/08/09/1074530809.db2.gz ROYHIBMJHSQQIV-RYUDHWBXSA-N 0 0 441.462 -0.131 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)C[C@H](Cc1ccccc1)OC ZINC001464168795 1074530781 /nfs/dbraw/zinc/53/07/81/1074530781.db2.gz SEZFDTJASVVCAE-JYJNAYRXSA-N 0 0 439.490 -0.258 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)C[C@@H](Cc1ccccc1)OC ZINC001464168804 1074530858 /nfs/dbraw/zinc/53/08/58/1074530858.db2.gz SEZFDTJASVVCAE-XHSDSOJGSA-N 0 0 439.490 -0.258 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)C1CCC(C(=O)OC)CC1 ZINC001464168903 1074530839 /nfs/dbraw/zinc/53/08/39/1074530839.db2.gz UEQMCKBSUAFQKZ-MVKMKZAISA-N 0 0 431.467 -0.784 20 0 IBADRN COC(=O)c1cccc(CS(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001464169203 1074530819 /nfs/dbraw/zinc/53/08/19/1074530819.db2.gz VHUPNYVMOPKUKQ-KBPBESRZSA-N 0 0 439.446 -0.529 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cc(F)c(OC)c(F)c1 ZINC001464169729 1074530888 /nfs/dbraw/zinc/53/08/88/1074530888.db2.gz WJLQVCQXAPYYIF-UFBFGSQYSA-N 0 0 433.389 -0.170 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)cc1 ZINC001464169745 1074530826 /nfs/dbraw/zinc/53/08/26/1074530826.db2.gz WWOQVLJTMWTJJI-JSGCOSHPSA-N 0 0 439.446 -0.280 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@]1(C)CN(C(=O)OC(C)(C)C)CCO1 ZINC001464171800 1074530791 /nfs/dbraw/zinc/53/07/91/1074530791.db2.gz CCKSKUMZZPOXFZ-ACJLOTCBSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@]1(C)CN(C(=O)OC(C)(C)C)CCO1 ZINC001464171811 1074530794 /nfs/dbraw/zinc/53/07/94/1074530794.db2.gz CCKSKUMZZPOXFZ-FZKQIMNGSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@]1(C)CN(C(=O)OC(C)(C)C)CCO1 ZINC001464171823 1074530852 /nfs/dbraw/zinc/53/08/52/1074530852.db2.gz CCKSKUMZZPOXFZ-SCLBCKFNSA-N 0 0 433.527 -0.226 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@]1(C)CN(C(=O)OC(C)(C)C)CCO1 ZINC001464171835 1074530833 /nfs/dbraw/zinc/53/08/33/1074530833.db2.gz CCKSKUMZZPOXFZ-UGSOOPFHSA-N 0 0 433.527 -0.226 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N(C)CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001464174438 1074530813 /nfs/dbraw/zinc/53/08/13/1074530813.db2.gz HNSWITVIKOBNFV-MRVPVSSYSA-N 0 0 440.263 -0.302 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)N(C)CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001464174442 1074530885 /nfs/dbraw/zinc/53/08/85/1074530885.db2.gz HNSWITVIKOBNFV-QMMMGPOBSA-N 0 0 440.263 -0.302 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN(CC(=O)OC(C)(C)C)C1=O ZINC001464175700 1074530846 /nfs/dbraw/zinc/53/08/46/1074530846.db2.gz JPZWCRUKSGWPKR-KBPBESRZSA-N 0 0 445.538 -0.672 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@@H]1CCCN(CC(=O)OC(C)(C)C)C1=O ZINC001464175704 1074530879 /nfs/dbraw/zinc/53/08/79/1074530879.db2.gz JPZWCRUKSGWPKR-KGLIPLIRSA-N 0 0 445.538 -0.672 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCCN(CC(=O)OC(C)(C)C)C1=O ZINC001464175708 1074530872 /nfs/dbraw/zinc/53/08/72/1074530872.db2.gz JPZWCRUKSGWPKR-UONOGXRCSA-N 0 0 445.538 -0.672 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[C@H]1CCCN(CC(=O)OC(C)(C)C)C1=O ZINC001464175712 1074531203 /nfs/dbraw/zinc/53/12/03/1074531203.db2.gz JPZWCRUKSGWPKR-ZIAGYGMSSA-N 0 0 445.538 -0.672 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)C1 ZINC001464178528 1074531238 /nfs/dbraw/zinc/53/12/38/1074531238.db2.gz AHSVQDDFBOMGOZ-LLVKDONJSA-N 0 0 433.508 -0.024 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2cc3c(cc2OC)NC(=O)CO3)C1 ZINC001464178531 1074531197 /nfs/dbraw/zinc/53/11/97/1074531197.db2.gz AHSVQDDFBOMGOZ-NSHDSACASA-N 0 0 433.508 -0.024 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)C1 ZINC001464179706 1074531191 /nfs/dbraw/zinc/53/11/91/1074531191.db2.gz DESZNLOYQJDSQJ-GFCCVEGCSA-N 0 0 425.554 -0.455 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)cc2)C1 ZINC001464179707 1074531151 /nfs/dbraw/zinc/53/11/51/1074531151.db2.gz DESZNLOYQJDSQJ-LBPRGKRZSA-N 0 0 425.554 -0.455 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC001464179721 1074531137 /nfs/dbraw/zinc/53/11/37/1074531137.db2.gz DQBYOJZXFQUPLA-AWEZNQCLSA-N 0 0 439.581 -0.444 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)C1 ZINC001464179723 1074531169 /nfs/dbraw/zinc/53/11/69/1074531169.db2.gz DQBYOJZXFQUPLA-CQSZACIVSA-N 0 0 439.581 -0.444 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2cccc(NC(=O)C(F)(F)F)c2)C1 ZINC001464181818 1074531123 /nfs/dbraw/zinc/53/11/23/1074531123.db2.gz NQJLLQFGVOGGRV-UHFFFAOYSA-N 0 0 430.430 -0.044 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2ccc(F)c(C(=O)N3CCCC3)c2)C1 ZINC001464182091 1074531219 /nfs/dbraw/zinc/53/12/19/1074531219.db2.gz POABHCYEJUZPTA-UHFFFAOYSA-N 0 0 434.515 -0.169 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2cc(Cl)ccc2CS(C)(=O)=O)C1 ZINC001464182585 1074531163 /nfs/dbraw/zinc/53/11/63/1074531163.db2.gz QWJYCORPBPASDW-UHFFFAOYSA-N 0 0 445.972 -0.347 20 0 IBADRN CNS(=O)(=O)C[C@@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)C1 ZINC001464182762 1074531179 /nfs/dbraw/zinc/53/11/79/1074531179.db2.gz SZAJQKJSRKILKP-CYBMUJFWSA-N 0 0 439.581 -0.147 20 0 IBADRN CNS(=O)(=O)C[C@H]1CCCN(S(=O)(=O)c2ccc(S(=O)(=O)NC)c(C)c2)C1 ZINC001464182764 1074531172 /nfs/dbraw/zinc/53/11/72/1074531172.db2.gz SZAJQKJSRKILKP-ZDUSSCGKSA-N 0 0 439.581 -0.147 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001464184687 1074531131 /nfs/dbraw/zinc/53/11/31/1074531131.db2.gz IOSQAVFIHLWBDP-CYBMUJFWSA-N 0 0 426.538 -0.830 20 0 IBADRN CS(=O)(=O)Cc1ccc(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001464184688 1074531209 /nfs/dbraw/zinc/53/12/09/1074531209.db2.gz IOSQAVFIHLWBDP-ZDUSSCGKSA-N 0 0 426.538 -0.830 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CS(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)C1 ZINC001464184727 1074531184 /nfs/dbraw/zinc/53/11/84/1074531184.db2.gz JHQUDBMYQUWRIN-CYBMUJFWSA-N 0 0 427.545 -0.567 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CS(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)C1 ZINC001464184732 1074531234 /nfs/dbraw/zinc/53/12/34/1074531234.db2.gz JHQUDBMYQUWRIN-ZDUSSCGKSA-N 0 0 427.545 -0.567 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001464184949 1074531213 /nfs/dbraw/zinc/53/12/13/1074531213.db2.gz JYSQYEURIVEXGV-AWEZNQCLSA-N 0 0 436.508 -0.442 20 0 IBADRN COCc1cc(C(=O)OC)cc(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001464184955 1074531157 /nfs/dbraw/zinc/53/11/57/1074531157.db2.gz JYSQYEURIVEXGV-CQSZACIVSA-N 0 0 436.508 -0.442 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)ccc2O1 ZINC001464185058 1074531144 /nfs/dbraw/zinc/53/11/44/1074531144.db2.gz KNGJUAQLZNJAIW-BBRMVZONSA-N 0 0 448.519 -0.508 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCOC[C@H]3CNS(C)(=O)=O)ccc2O1 ZINC001464185067 1074531228 /nfs/dbraw/zinc/53/12/28/1074531228.db2.gz KNGJUAQLZNJAIW-CJNGLKHVSA-N 0 0 448.519 -0.508 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCOC[C@H]3CNS(C)(=O)=O)ccc2O1 ZINC001464185079 1074531502 /nfs/dbraw/zinc/53/15/02/1074531502.db2.gz KNGJUAQLZNJAIW-CZUORRHYSA-N 0 0 448.519 -0.508 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)ccc2O1 ZINC001464185086 1074531477 /nfs/dbraw/zinc/53/14/77/1074531477.db2.gz KNGJUAQLZNJAIW-XJKSGUPXSA-N 0 0 448.519 -0.508 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)CC1 ZINC001464186763 1074531489 /nfs/dbraw/zinc/53/14/89/1074531489.db2.gz QCIODTGAGVIUAX-AWEZNQCLSA-N 0 0 441.572 -0.465 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)CC1 ZINC001464186765 1074531521 /nfs/dbraw/zinc/53/15/21/1074531521.db2.gz QCIODTGAGVIUAX-CQSZACIVSA-N 0 0 441.572 -0.465 20 0 IBADRN Cn1nc(I)cc1C(=O)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001464187503 1074531492 /nfs/dbraw/zinc/53/14/92/1074531492.db2.gz HXQLCLFMHLUPIO-MRVPVSSYSA-N 0 0 440.263 -0.552 20 0 IBADRN Cn1nc(I)cc1C(=O)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001464187504 1074531488 /nfs/dbraw/zinc/53/14/88/1074531488.db2.gz HXQLCLFMHLUPIO-QMMMGPOBSA-N 0 0 440.263 -0.552 20 0 IBADRN Cn1ncc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c1I ZINC001464187508 1074531483 /nfs/dbraw/zinc/53/14/83/1074531483.db2.gz IBKQEIIGKGMMRD-MRVPVSSYSA-N 0 0 440.263 -0.552 20 0 IBADRN Cn1ncc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c1I ZINC001464187509 1074531516 /nfs/dbraw/zinc/53/15/16/1074531516.db2.gz IBKQEIIGKGMMRD-QMMMGPOBSA-N 0 0 440.263 -0.552 20 0 IBADRN O=C(CCNC(=O)c1cc(Br)cn2ncnc12)N[C@H]1CCS(=O)(=O)C1 ZINC001464187545 1074531527 /nfs/dbraw/zinc/53/15/27/1074531527.db2.gz ITJXAOHIUUIXKH-JTQLQIEISA-N 0 0 430.284 -0.085 20 0 IBADRN O=C(CCNC(=O)c1cc(Br)cn2ncnc12)N[C@@H]1CCS(=O)(=O)C1 ZINC001464187548 1074531518 /nfs/dbraw/zinc/53/15/18/1074531518.db2.gz ITJXAOHIUUIXKH-SNVBAGLBSA-N 0 0 430.284 -0.085 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)[C@@H]2CCCN(C(=O)OC(C)(C)C)C2)C1 ZINC001464188584 1074531507 /nfs/dbraw/zinc/53/15/07/1074531507.db2.gz QGEYSIMSWYRWEQ-CYBMUJFWSA-N 0 0 426.561 -0.204 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)[C@H]2CCCN(C(=O)OC(C)(C)C)C2)C1 ZINC001464188587 1074531524 /nfs/dbraw/zinc/53/15/24/1074531524.db2.gz QGEYSIMSWYRWEQ-ZDUSSCGKSA-N 0 0 426.561 -0.204 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21)NCCC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001464188771 1074531534 /nfs/dbraw/zinc/53/15/34/1074531534.db2.gz GDBVYXLVRICIDH-LMOYCYGVSA-N 0 0 432.568 -0.478 20 0 IBADRN O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21)NCCC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001464188773 1074531530 /nfs/dbraw/zinc/53/15/30/1074531530.db2.gz GDBVYXLVRICIDH-WFGGJUAMSA-N 0 0 432.568 -0.478 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2ccc3c(c2)N(C(=O)C2CC2)CC3)C1 ZINC001464189226 1074531485 /nfs/dbraw/zinc/53/14/85/1074531485.db2.gz UJEBLMNXJZEBRH-UHFFFAOYSA-N 0 0 428.536 -0.245 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c1=O ZINC001464190966 1074531480 /nfs/dbraw/zinc/53/14/80/1074531480.db2.gz AWHOXKGPCRTBMC-UHFFFAOYSA-N 0 0 431.515 -0.676 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)NCCCn2c(=O)ccn(C)c2=O)ccc1OC ZINC001464191919 1074531510 /nfs/dbraw/zinc/53/15/10/1074531510.db2.gz FOFGCMKHNUBCGS-UHFFFAOYSA-N 0 0 438.506 -0.050 20 0 IBADRN Cn1cc(I)c(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)n1 ZINC001464191969 1074531472 /nfs/dbraw/zinc/53/14/72/1074531472.db2.gz ZMTHUVYPFKLGBZ-MRVPVSSYSA-N 0 0 440.263 -0.552 20 0 IBADRN Cn1cc(I)c(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)n1 ZINC001464191970 1074531513 /nfs/dbraw/zinc/53/15/13/1074531513.db2.gz ZMTHUVYPFKLGBZ-QMMMGPOBSA-N 0 0 440.263 -0.552 20 0 IBADRN COC(=O)C[C@@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001464193064 1074531495 /nfs/dbraw/zinc/53/14/95/1074531495.db2.gz IJMWBBUZCPKONF-SECBINFHSA-N 0 0 434.414 -0.271 20 0 IBADRN COC(=O)C[C@H](O)CNS(=O)(=O)c1ccc(S(=O)(=O)NCC(F)(F)F)cc1 ZINC001464193068 1074531797 /nfs/dbraw/zinc/53/17/97/1074531797.db2.gz IJMWBBUZCPKONF-VIFPVBQESA-N 0 0 434.414 -0.271 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC001464193883 1074531724 /nfs/dbraw/zinc/53/17/24/1074531724.db2.gz GIBYEHYCCRHKCZ-RYUDHWBXSA-N 0 0 425.463 -0.342 20 0 IBADRN Cn1cc(S(=O)(=O)NCC23COCCN2CCOC3)cc1C(=O)NCC(F)(F)F ZINC001464197400 1074531779 /nfs/dbraw/zinc/53/17/79/1074531779.db2.gz VOVMGMCTNXXMAB-UHFFFAOYSA-N 0 0 440.444 -0.303 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)c1cccnc1OCCO ZINC001464198015 1074531700 /nfs/dbraw/zinc/53/17/00/1074531700.db2.gz MOTXYJPLTQRYCM-UHFFFAOYSA-N 0 0 435.506 -0.271 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)c1=O ZINC001464198286 1074531818 /nfs/dbraw/zinc/53/18/18/1074531818.db2.gz WBGMDCSWEAPLLH-UHFFFAOYSA-N 0 0 442.519 -0.644 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001464198420 1074531727 /nfs/dbraw/zinc/53/17/27/1074531727.db2.gz XTLBFHQBIRMZRM-IUCAKERBSA-N 0 0 442.416 -0.338 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001464198455 1074531781 /nfs/dbraw/zinc/53/17/81/1074531781.db2.gz XYVIRNXBZSEMHH-STQMWFEESA-N 0 0 427.479 -0.497 20 0 IBADRN CNS(=O)(=O)CCCNS(=O)(=O)c1c(OC)nn2cc(Br)cnc12 ZINC001464203746 1074531758 /nfs/dbraw/zinc/53/17/58/1074531758.db2.gz JGASIZBLNLHIQH-UHFFFAOYSA-N 0 0 442.317 -0.282 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001464203882 1074531773 /nfs/dbraw/zinc/53/17/73/1074531773.db2.gz SSQYIDNOQZWSPO-STQMWFEESA-N 0 0 427.479 -0.007 20 0 IBADRN O=S(=O)([C@@H]1COC[C@H]1O)N1CCN(c2ncc(I)cn2)CC1 ZINC001464204893 1074531786 /nfs/dbraw/zinc/53/17/86/1074531786.db2.gz GEVQOKQPOVIKCZ-GHMZBOCLSA-N 0 0 440.263 -0.707 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC001464212986 1074531753 /nfs/dbraw/zinc/53/17/53/1074531753.db2.gz BJOTUQSMTPGVCK-UHFFFAOYSA-N 0 0 446.551 -0.704 20 0 IBADRN COCC(=O)NC1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001464214524 1074531827 /nfs/dbraw/zinc/53/18/27/1074531827.db2.gz KSMNXZGIXJBLNF-UHFFFAOYSA-N 0 0 446.547 -0.128 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)C[C@@H]2CCC3(CCOCC3)CO2)CC1 ZINC001464214679 1074531832 /nfs/dbraw/zinc/53/18/32/1074531832.db2.gz HVFLADHAXSPQDJ-KRWDZBQOSA-N 0 0 431.555 -0.038 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)C[C@H]2CCC3(CCOCC3)CO2)CC1 ZINC001464214695 1074531806 /nfs/dbraw/zinc/53/18/06/1074531806.db2.gz HVFLADHAXSPQDJ-QGZVFWFLSA-N 0 0 431.555 -0.038 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)CS(=O)(=O)C3)CC1 ZINC001464218004 1074531792 /nfs/dbraw/zinc/53/17/92/1074531792.db2.gz SHSCASCMIKRAJG-UHFFFAOYSA-N 0 0 429.520 -0.526 20 0 IBADRN COC(=O)c1cc(OC)c(S(=O)(=O)N2CCCN(C(=O)CNC(C)=O)CC2)s1 ZINC001464218144 1074531770 /nfs/dbraw/zinc/53/17/70/1074531770.db2.gz UNQFZHLWTWIXHA-UHFFFAOYSA-N 0 0 433.508 -0.098 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)c1cc2c(cc1OC)CCCNC2=O ZINC001464222639 1074531812 /nfs/dbraw/zinc/53/18/12/1074531812.db2.gz CJKLRICQGPJNBH-UHFFFAOYSA-N 0 0 427.479 -0.281 20 0 IBADRN NS(=O)(=O)NC1CCN(S(=O)(=O)C2CN(C(=O)c3cccc(Cl)c3)C2)CC1 ZINC001464223781 1074531765 /nfs/dbraw/zinc/53/17/65/1074531765.db2.gz GTHXYOYPKLVVGX-UHFFFAOYSA-N 0 0 436.943 -0.248 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)CCN2C(C)=O ZINC001464225730 1074531735 /nfs/dbraw/zinc/53/17/35/1074531735.db2.gz DKRKBHNPJBRHDH-AWEZNQCLSA-N 0 0 430.504 -0.054 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)CCN2C(C)=O ZINC001464225741 1074532162 /nfs/dbraw/zinc/53/21/62/1074532162.db2.gz DKRKBHNPJBRHDH-CQSZACIVSA-N 0 0 430.504 -0.054 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2 ZINC001464229969 1074532068 /nfs/dbraw/zinc/53/20/68/1074532068.db2.gz PGZLXSBYLLPFCN-AWEZNQCLSA-N 0 0 442.515 -0.127 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c3c(c1)CCCN3C(=O)C2 ZINC001464230022 1074532154 /nfs/dbraw/zinc/53/21/54/1074532154.db2.gz PGZLXSBYLLPFCN-CQSZACIVSA-N 0 0 442.515 -0.127 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001464232332 1074532077 /nfs/dbraw/zinc/53/20/77/1074532077.db2.gz UWTJIKFKEKPYBY-UHFFFAOYSA-N 0 0 427.479 -0.004 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001464234540 1074532095 /nfs/dbraw/zinc/53/20/95/1074532095.db2.gz ZKCGCFQHQWEFGY-KBPBESRZSA-N 0 0 441.572 -0.177 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(CCNC(C)=O)cc1 ZINC001464234541 1074532178 /nfs/dbraw/zinc/53/21/78/1074532178.db2.gz YAFRGRTVIMJODH-HNNXBMFYSA-N 0 0 432.520 -0.284 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001464234542 1074532114 /nfs/dbraw/zinc/53/21/14/1074532114.db2.gz ZKCGCFQHQWEFGY-KGLIPLIRSA-N 0 0 441.572 -0.177 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNS(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001464234544 1074532131 /nfs/dbraw/zinc/53/21/31/1074532131.db2.gz ZKCGCFQHQWEFGY-UONOGXRCSA-N 0 0 441.572 -0.177 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc(CCNC(C)=O)cc1 ZINC001464234545 1074532108 /nfs/dbraw/zinc/53/21/08/1074532108.db2.gz YAFRGRTVIMJODH-OAHLLOKOSA-N 0 0 432.520 -0.284 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNS(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001464234547 1074532182 /nfs/dbraw/zinc/53/21/82/1074532182.db2.gz ZKCGCFQHQWEFGY-ZIAGYGMSSA-N 0 0 441.572 -0.177 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)N3CCC(NS(N)(=O)=O)CC3)cc2CC1 ZINC001464234556 1074532057 /nfs/dbraw/zinc/53/20/57/1074532057.db2.gz ZLIVULDEVCYQMZ-UHFFFAOYSA-N 0 0 430.552 -0.420 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)NCc2ccccc2OCC(=O)NC[C@@H]2CCCO2)C1 ZINC001464236275 1074532144 /nfs/dbraw/zinc/53/21/44/1074532144.db2.gz KXIQCRXSHWUNFX-INIZCTEOSA-N 0 0 425.507 -0.132 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)NCc2ccccc2OCC(=O)NC[C@H]2CCCO2)C1 ZINC001464236286 1074532137 /nfs/dbraw/zinc/53/21/37/1074532137.db2.gz KXIQCRXSHWUNFX-MRXNPFEDSA-N 0 0 425.507 -0.132 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC001464248064 1074532174 /nfs/dbraw/zinc/53/21/74/1074532174.db2.gz FWQGBPCJJADVPB-KBPBESRZSA-N 0 0 432.460 -0.512 20 0 IBADRN C[C@H](C(=O)Nc1cccc(F)c1)N1CCN(C(=O)[C@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001464248072 1074532124 /nfs/dbraw/zinc/53/21/24/1074532124.db2.gz FWQGBPCJJADVPB-UONOGXRCSA-N 0 0 432.460 -0.512 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCOC(=O)N2)CC1 ZINC001464264058 1074532168 /nfs/dbraw/zinc/53/21/68/1074532168.db2.gz ADUNDBISEWZFIY-AWEZNQCLSA-N 0 0 445.519 -0.270 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCOC(=O)N2)CC1 ZINC001464264287 1074532117 /nfs/dbraw/zinc/53/21/17/1074532117.db2.gz ADUNDBISEWZFIY-CQSZACIVSA-N 0 0 445.519 -0.270 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CCCN2C=O)CC1 ZINC001464265135 1074532098 /nfs/dbraw/zinc/53/20/98/1074532098.db2.gz BKVJDQDSCSWHDL-INIZCTEOSA-N 0 0 443.547 -0.148 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CCCN2C=O)CC1 ZINC001464265179 1074532149 /nfs/dbraw/zinc/53/21/49/1074532149.db2.gz BKVJDQDSCSWHDL-MRXNPFEDSA-N 0 0 443.547 -0.148 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@]2(C)CCNC2=O)CC1 ZINC001464265464 1074532083 /nfs/dbraw/zinc/53/20/83/1074532083.db2.gz CLNGYKXQTNTUSL-GOSISDBHSA-N 0 0 443.547 -0.242 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001464265492 1074532348 /nfs/dbraw/zinc/53/23/48/1074532348.db2.gz CLNGYKXQTNTUSL-SFHVURJKSA-N 0 0 443.547 -0.242 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC001464266126 1074532447 /nfs/dbraw/zinc/53/24/47/1074532447.db2.gz APWCNBVDGBDWCJ-HUUCEWRRSA-N 0 0 438.549 -0.703 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001464268084 1074532380 /nfs/dbraw/zinc/53/23/80/1074532380.db2.gz AGBQKXOHVNHXTG-AWEZNQCLSA-N 0 0 439.581 -0.662 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)cc1 ZINC001464268103 1074532341 /nfs/dbraw/zinc/53/23/41/1074532341.db2.gz AGBQKXOHVNHXTG-CQSZACIVSA-N 0 0 439.581 -0.662 20 0 IBADRN COC(=O)c1ccc(Br)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c1 ZINC001464268548 1074532425 /nfs/dbraw/zinc/53/24/25/1074532425.db2.gz DZROSGILCAAENS-NXEZZACHSA-N 0 0 428.282 -0.328 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N3CCN(C[C@@H]4CCCS4(=O)=O)CC3)cc21 ZINC001464272501 1074532331 /nfs/dbraw/zinc/53/23/31/1074532331.db2.gz NYYKPOBBXCCKDA-HNNXBMFYSA-N 0 0 443.547 -0.308 20 0 IBADRN O=C1NCCOc2ccc(S(=O)(=O)N3CCN(C[C@H]4CCCS4(=O)=O)CC3)cc21 ZINC001464272510 1074532375 /nfs/dbraw/zinc/53/23/75/1074532375.db2.gz NYYKPOBBXCCKDA-OAHLLOKOSA-N 0 0 443.547 -0.308 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@@H]2CN(C)C(=O)N2)CC1 ZINC001464273078 1074532311 /nfs/dbraw/zinc/53/23/11/1074532311.db2.gz OPKURLPTQMFNES-AWEZNQCLSA-N 0 0 444.535 -0.745 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)[C@H]2CN(C)C(=O)N2)CC1 ZINC001464273083 1074532323 /nfs/dbraw/zinc/53/23/23/1074532323.db2.gz OPKURLPTQMFNES-CQSZACIVSA-N 0 0 444.535 -0.745 20 0 IBADRN CC(C)(C)C(=O)NCC(=O)OCCC(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001464275568 1074532369 /nfs/dbraw/zinc/53/23/69/1074532369.db2.gz XUVJTEYGZCCKQG-UHFFFAOYSA-N 0 0 446.504 -0.030 20 0 IBADRN CN(C[C@H](O)CN(C)S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC001464275706 1074532393 /nfs/dbraw/zinc/53/23/93/1074532393.db2.gz WQABRAQMBCQLNF-KBPBESRZSA-N 0 0 443.588 -0.243 20 0 IBADRN CN(C[C@H](O)CN(C)S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC001464275710 1074532363 /nfs/dbraw/zinc/53/23/63/1074532363.db2.gz WQABRAQMBCQLNF-KGLIPLIRSA-N 0 0 443.588 -0.243 20 0 IBADRN CN(C[C@@H](O)CN(C)S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC001464275714 1074532407 /nfs/dbraw/zinc/53/24/07/1074532407.db2.gz WQABRAQMBCQLNF-UONOGXRCSA-N 0 0 443.588 -0.243 20 0 IBADRN CN(C[C@@H](O)CN(C)S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC001464275718 1074532413 /nfs/dbraw/zinc/53/24/13/1074532413.db2.gz WQABRAQMBCQLNF-ZIAGYGMSSA-N 0 0 443.588 -0.243 20 0 IBADRN CS(=O)(=O)N1CCC(CC(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001464276051 1074532433 /nfs/dbraw/zinc/53/24/33/1074532433.db2.gz YWPUIBNDAVNCTG-UHFFFAOYSA-N 0 0 436.534 -0.064 20 0 IBADRN CN1CCn2nc(C(=O)NCc3ccc(C(=O)N4CCNC(=O)C4)cc3)cc2S1(=O)=O ZINC001464276078 1074532354 /nfs/dbraw/zinc/53/23/54/1074532354.db2.gz ZATUVLJNYSWZTI-UHFFFAOYSA-N 0 0 446.489 -0.981 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)Cn2nccc2N)CC1 ZINC001464277158 1074532754 /nfs/dbraw/zinc/53/27/54/1074532754.db2.gz VKLBTKDHQULJQE-UHFFFAOYSA-N 0 0 441.535 -0.290 20 0 IBADRN CC(C)CNS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)cc1 ZINC001464282128 1074532732 /nfs/dbraw/zinc/53/27/32/1074532732.db2.gz BSCZWLGLOCABKL-GASCZTMLSA-N 0 0 429.520 -0.144 20 0 IBADRN CN1C(=O)[C@H]2CN(S(=O)(=O)CCOCCN3C(=O)c4ccccc4C3=O)C[C@H]2C1=O ZINC001464284456 1074532774 /nfs/dbraw/zinc/53/27/74/1074532774.db2.gz KIRPPYNJCXBCPT-GASCZTMLSA-N 0 0 435.458 -0.824 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)s1 ZINC001464286353 1074532830 /nfs/dbraw/zinc/53/28/30/1074532830.db2.gz ULFPHOPQXWASFL-TXEJJXNPSA-N 0 0 435.549 -0.535 20 0 IBADRN O=S(=O)(N[C@H](CO)CN1CCOCC1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001464286506 1074532836 /nfs/dbraw/zinc/53/28/36/1074532836.db2.gz POTONBSLVAOIET-HNNXBMFYSA-N 0 0 433.552 -0.558 20 0 IBADRN O=S(=O)(N[C@@H](CO)CN1CCOCC1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001464286508 1074532719 /nfs/dbraw/zinc/53/27/19/1074532719.db2.gz POTONBSLVAOIET-OAHLLOKOSA-N 0 0 433.552 -0.558 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001464289221 1074532876 /nfs/dbraw/zinc/53/28/76/1074532876.db2.gz HRSNTHAWDJNGPE-KRWDZBQOSA-N 0 0 434.515 -0.999 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001464289245 1074532859 /nfs/dbraw/zinc/53/28/59/1074532859.db2.gz HRSNTHAWDJNGPE-QGZVFWFLSA-N 0 0 434.515 -0.999 20 0 IBADRN Cc1ccc(CN2CCO[C@H](CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)cc1 ZINC001464292692 1074532852 /nfs/dbraw/zinc/53/28/52/1074532852.db2.gz FUGWFFXKDLEZPD-GOSISDBHSA-N 0 0 446.595 -0.393 20 0 IBADRN Cc1ccc(CN2CCO[C@@H](CNS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)cc1 ZINC001464292693 1074532845 /nfs/dbraw/zinc/53/28/45/1074532845.db2.gz FUGWFFXKDLEZPD-SFHVURJKSA-N 0 0 446.595 -0.393 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001464292851 1074532870 /nfs/dbraw/zinc/53/28/70/1074532870.db2.gz NEEJUHBEDPEDSA-FXAWDEMLSA-N 0 0 437.518 -0.162 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@H](S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001464292854 1074532703 /nfs/dbraw/zinc/53/27/03/1074532703.db2.gz NEEJUHBEDPEDSA-PXNSSMCTSA-N 0 0 437.518 -0.162 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@@H](S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001464292857 1074532783 /nfs/dbraw/zinc/53/27/83/1074532783.db2.gz NEEJUHBEDPEDSA-XLIONFOSSA-N 0 0 437.518 -0.162 20 0 IBADRN O=C(c1ccccc1)N1CCC[C@@H](S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001464292863 1074532789 /nfs/dbraw/zinc/53/27/89/1074532789.db2.gz NEEJUHBEDPEDSA-YLJYHZDGSA-N 0 0 437.518 -0.162 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@]12COCCN1C(=O)COC2)OCc1ccccc1 ZINC001464296844 1074532800 /nfs/dbraw/zinc/53/28/00/1074532800.db2.gz TZWGRSBVKDCLMU-GOSISDBHSA-N 0 0 427.479 -0.540 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@]12COCCN1C(=O)COC2)OCc1ccccc1 ZINC001464296856 1074532865 /nfs/dbraw/zinc/53/28/65/1074532865.db2.gz TZWGRSBVKDCLMU-SFHVURJKSA-N 0 0 427.479 -0.540 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(S(=O)(=O)CCOC2CCOCC2)CC1 ZINC001464297732 1074532745 /nfs/dbraw/zinc/53/27/45/1074532745.db2.gz UHEPUGBFUKFPEL-UHFFFAOYSA-N 0 0 433.552 -0.019 20 0 IBADRN O=S(=O)(NC1CC1)c1ccccc1S(=O)(=O)N1CCO[C@@H](Cn2ccnn2)C1 ZINC001464298881 1074532979 /nfs/dbraw/zinc/53/29/79/1074532979.db2.gz WHERKMZDAWYEAQ-AWEZNQCLSA-N 0 0 427.508 -0.192 20 0 IBADRN O=S(=O)(NC1CC1)c1ccccc1S(=O)(=O)N1CCO[C@H](Cn2ccnn2)C1 ZINC001464298887 1074533024 /nfs/dbraw/zinc/53/30/24/1074533024.db2.gz WHERKMZDAWYEAQ-CQSZACIVSA-N 0 0 427.508 -0.192 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001464300616 1074533006 /nfs/dbraw/zinc/53/30/06/1074533006.db2.gz YHRLDZWORDBEPR-KRWDZBQOSA-N 0 0 431.898 -0.052 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)c(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001464300649 1074533083 /nfs/dbraw/zinc/53/30/83/1074533083.db2.gz YHRLDZWORDBEPR-QGZVFWFLSA-N 0 0 431.898 -0.052 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCc1ccc(CN2CCS(=O)CC2)cc1 ZINC001464303842 1074533030 /nfs/dbraw/zinc/53/30/30/1074533030.db2.gz PMKMORFPTJCMIE-UHFFFAOYSA-N 0 0 437.609 -0.438 20 0 IBADRN Cc1nn(CCS(=O)(=O)NCc2ccc(CN3CCS(=O)CC3)cc2)c(=O)o1 ZINC001464306453 1074533069 /nfs/dbraw/zinc/53/30/69/1074533069.db2.gz XLVRMSUJGAXVEB-UHFFFAOYSA-N 0 0 428.536 -0.171 20 0 IBADRN CCO[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001464315952 1074533131 /nfs/dbraw/zinc/53/31/31/1074533131.db2.gz BIUDVAGHQXJXIV-CVEARBPZSA-N 0 0 438.568 -0.521 20 0 IBADRN CCO[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001464315954 1074533124 /nfs/dbraw/zinc/53/31/24/1074533124.db2.gz BIUDVAGHQXJXIV-HOTGVXAUSA-N 0 0 438.568 -0.521 20 0 IBADRN CCO[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001464315955 1074533060 /nfs/dbraw/zinc/53/30/60/1074533060.db2.gz BIUDVAGHQXJXIV-HZPDHXFCSA-N 0 0 438.568 -0.521 20 0 IBADRN CCO[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1)C1CCOCC1 ZINC001464315957 1074533013 /nfs/dbraw/zinc/53/30/13/1074533013.db2.gz BIUDVAGHQXJXIV-JKSUJKDBSA-N 0 0 438.568 -0.521 20 0 IBADRN O=C(CCc1nnc(C(F)F)o1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464316022 1074533090 /nfs/dbraw/zinc/53/30/90/1074533090.db2.gz CIAAZHCZOOSORK-JTQLQIEISA-N 0 0 442.466 -0.399 20 0 IBADRN O=C(CCc1nnc(C(F)F)o1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464316024 1074533112 /nfs/dbraw/zinc/53/31/12/1074533112.db2.gz CIAAZHCZOOSORK-SNVBAGLBSA-N 0 0 442.466 -0.399 20 0 IBADRN O=C([C@@H]1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464316029 1074533098 /nfs/dbraw/zinc/53/30/98/1074533098.db2.gz CMOGCVCSHKZLEM-DZGCQCFKSA-N 0 0 441.531 -0.227 20 0 IBADRN O=C([C@H]1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464316034 1074533105 /nfs/dbraw/zinc/53/31/05/1074533105.db2.gz CMOGCVCSHKZLEM-HIFRSBDPSA-N 0 0 441.531 -0.227 20 0 IBADRN O=C([C@@H]1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464316035 1074532993 /nfs/dbraw/zinc/53/29/93/1074532993.db2.gz CMOGCVCSHKZLEM-UKRRQHHQSA-N 0 0 441.531 -0.227 20 0 IBADRN O=C([C@H]1CC(=O)Nc2ccccc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464316037 1074532985 /nfs/dbraw/zinc/53/29/85/1074532985.db2.gz CMOGCVCSHKZLEM-ZFWWWQNUSA-N 0 0 441.531 -0.227 20 0 IBADRN O=C([C@@H]1CCO[C@H]1c1ccncc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464316724 1074533051 /nfs/dbraw/zinc/53/30/51/1074533051.db2.gz FLELUQGMXYTSTN-BBWFWOEESA-N 0 0 443.547 -0.180 20 0 IBADRN O=C([C@@H]1CCO[C@H]1c1ccncc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464316728 1074532971 /nfs/dbraw/zinc/53/29/71/1074532971.db2.gz FLELUQGMXYTSTN-ZACQAIPSSA-N 0 0 443.547 -0.180 20 0 IBADRN O=C(COc1ccc(CO)cc1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464316834 1074533370 /nfs/dbraw/zinc/53/33/70/1074533370.db2.gz HFBPSTLOPKPFMF-INIZCTEOSA-N 0 0 432.520 -0.781 20 0 IBADRN O=C(COc1ccc(CO)cc1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464316836 1074533328 /nfs/dbraw/zinc/53/33/28/1074533328.db2.gz HFBPSTLOPKPFMF-MRXNPFEDSA-N 0 0 432.520 -0.781 20 0 IBADRN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464317223 1074533334 /nfs/dbraw/zinc/53/33/34/1074533334.db2.gz HSIOXKSEEURVMF-DVOMOZLQSA-N 0 0 430.552 -0.263 20 0 IBADRN C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464317652 1074533385 /nfs/dbraw/zinc/53/33/85/1074533385.db2.gz HSIOXKSEEURVMF-IOASZLSFSA-N 0 0 430.552 -0.263 20 0 IBADRN C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464317656 1074533423 /nfs/dbraw/zinc/53/34/23/1074533423.db2.gz HSIOXKSEEURVMF-WWGRRREGSA-N 0 0 430.552 -0.263 20 0 IBADRN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464317662 1074533403 /nfs/dbraw/zinc/53/34/03/1074533403.db2.gz HSIOXKSEEURVMF-XJKCOSOUSA-N 0 0 430.552 -0.263 20 0 IBADRN O=C(c1c[nH]nc1[C@H]1CCCO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464317853 1074533484 /nfs/dbraw/zinc/53/34/84/1074533484.db2.gz IFUVSIVTANHPCO-GXTWGEPZSA-N 0 0 432.524 -0.464 20 0 IBADRN O=C(c1c[nH]nc1[C@@H]1CCCO1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464317854 1074533349 /nfs/dbraw/zinc/53/33/49/1074533349.db2.gz IFUVSIVTANHPCO-JSGCOSHPSA-N 0 0 432.524 -0.464 20 0 IBADRN O=C(c1c[nH]nc1[C@@H]1CCCO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464317856 1074533499 /nfs/dbraw/zinc/53/34/99/1074533499.db2.gz IFUVSIVTANHPCO-OCCSQVGLSA-N 0 0 432.524 -0.464 20 0 IBADRN O=C(c1c[nH]nc1[C@H]1CCCO1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464317858 1074533491 /nfs/dbraw/zinc/53/34/91/1074533491.db2.gz IFUVSIVTANHPCO-TZMCWYRMSA-N 0 0 432.524 -0.464 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O ZINC001464318435 1074533445 /nfs/dbraw/zinc/53/34/45/1074533445.db2.gz ALFWGJXJMJITBX-IUODEOHRSA-N 0 0 426.447 -0.763 20 0 IBADRN O=C(CN1CSCCSC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464318465 1074533377 /nfs/dbraw/zinc/53/33/77/1074533377.db2.gz KIRZFKJYHABSOU-CYBMUJFWSA-N 0 0 443.638 -0.656 20 0 IBADRN O=C(CN1CSCCSC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464318467 1074533413 /nfs/dbraw/zinc/53/34/13/1074533413.db2.gz KIRZFKJYHABSOU-ZDUSSCGKSA-N 0 0 443.638 -0.656 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c2c1CCCC2 ZINC001464319646 1074533450 /nfs/dbraw/zinc/53/34/50/1074533450.db2.gz NHXHCNWCDRQYTR-CYBMUJFWSA-N 0 0 430.552 -0.426 20 0 IBADRN Cn1nc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c2c1CCCC2 ZINC001464319682 1074533358 /nfs/dbraw/zinc/53/33/58/1074533358.db2.gz NHXHCNWCDRQYTR-ZDUSSCGKSA-N 0 0 430.552 -0.426 20 0 IBADRN O=C(c1ccnn1CC(F)(F)F)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464319882 1074533435 /nfs/dbraw/zinc/53/34/35/1074533435.db2.gz OHVKLJGPSAVHHO-LLVKDONJSA-N 0 0 444.457 -0.280 20 0 IBADRN O=C(c1ccnn1CC(F)(F)F)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464319887 1074533466 /nfs/dbraw/zinc/53/34/66/1074533466.db2.gz OHVKLJGPSAVHHO-NSHDSACASA-N 0 0 444.457 -0.280 20 0 IBADRN O=C(Cn1ncc2cccnc21)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464320848 1074533458 /nfs/dbraw/zinc/53/34/58/1074533458.db2.gz RFEAVGAYZMECJK-AWEZNQCLSA-N 0 0 427.508 -0.908 20 0 IBADRN O=C(Cn1ncc2cccnc21)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464320855 1074533475 /nfs/dbraw/zinc/53/34/75/1074533475.db2.gz RFEAVGAYZMECJK-CQSZACIVSA-N 0 0 427.508 -0.908 20 0 IBADRN COc1ccc(C=O)cc1C(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464321930 1074533394 /nfs/dbraw/zinc/53/33/94/1074533394.db2.gz UCKJMBMQHDXURY-AWEZNQCLSA-N 0 0 430.504 -0.218 20 0 IBADRN COc1ccc(C=O)cc1C(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464321943 1074533793 /nfs/dbraw/zinc/53/37/93/1074533793.db2.gz UCKJMBMQHDXURY-CQSZACIVSA-N 0 0 430.504 -0.218 20 0 IBADRN O=C(c1cnc(NC2CC2)s1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464322066 1074533718 /nfs/dbraw/zinc/53/37/18/1074533718.db2.gz VGGOBDZQIFABNO-GFCCVEGCSA-N 0 0 434.565 -0.008 20 0 IBADRN O=C(c1cnc(NC2CC2)s1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464322067 1074533783 /nfs/dbraw/zinc/53/37/83/1074533783.db2.gz VGGOBDZQIFABNO-LBPRGKRZSA-N 0 0 434.565 -0.008 20 0 IBADRN COCCCn1cc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001464322078 1074533760 /nfs/dbraw/zinc/53/37/60/1074533760.db2.gz VOBDMUAOCYQTDN-HNNXBMFYSA-N 0 0 434.540 -0.806 20 0 IBADRN COCCCn1cc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)cn1 ZINC001464322082 1074533743 /nfs/dbraw/zinc/53/37/43/1074533743.db2.gz VOBDMUAOCYQTDN-OAHLLOKOSA-N 0 0 434.540 -0.806 20 0 IBADRN Cc1cn2c(n1)C[C@H](C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001464322652 1074533748 /nfs/dbraw/zinc/53/37/48/1074533748.db2.gz VXQDCOYOGVSKLW-CABCVRRESA-N 0 0 430.552 -0.585 20 0 IBADRN Cc1cn2c(n1)C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001464322721 1074533714 /nfs/dbraw/zinc/53/37/14/1074533714.db2.gz VXQDCOYOGVSKLW-GJZGRUSLSA-N 0 0 430.552 -0.585 20 0 IBADRN Cc1cn2c(n1)C[C@H](C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001464322726 1074533739 /nfs/dbraw/zinc/53/37/39/1074533739.db2.gz VXQDCOYOGVSKLW-HUUCEWRRSA-N 0 0 430.552 -0.585 20 0 IBADRN Cc1cn2c(n1)C[C@@H](C(=O)N1CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC1)CC2 ZINC001464322730 1074533755 /nfs/dbraw/zinc/53/37/55/1074533755.db2.gz VXQDCOYOGVSKLW-LSDHHAIUSA-N 0 0 430.552 -0.585 20 0 IBADRN C[C@H]1CCc2nc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cn2C1 ZINC001464322779 1074533799 /nfs/dbraw/zinc/53/37/99/1074533799.db2.gz WCMZBTGHUUBRQN-KBPBESRZSA-N 0 0 430.552 -0.260 20 0 IBADRN C[C@@H]1CCc2nc(C(=O)N3CCN(S(=O)(=O)[C@H]4CCS(=O)(=O)C4)CC3)cn2C1 ZINC001464322823 1074533711 /nfs/dbraw/zinc/53/37/11/1074533711.db2.gz WCMZBTGHUUBRQN-KGLIPLIRSA-N 0 0 430.552 -0.260 20 0 IBADRN C[C@H]1CCc2nc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cn2C1 ZINC001464322826 1074533806 /nfs/dbraw/zinc/53/38/06/1074533806.db2.gz WCMZBTGHUUBRQN-UONOGXRCSA-N 0 0 430.552 -0.260 20 0 IBADRN C[C@@H]1CCc2nc(C(=O)N3CCN(S(=O)(=O)[C@@H]4CCS(=O)(=O)C4)CC3)cn2C1 ZINC001464322832 1074533771 /nfs/dbraw/zinc/53/37/71/1074533771.db2.gz WCMZBTGHUUBRQN-ZIAGYGMSSA-N 0 0 430.552 -0.260 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(N)c1F ZINC001464323088 1074533775 /nfs/dbraw/zinc/53/37/75/1074533775.db2.gz WUTAVFZGKSKSQE-LLVKDONJSA-N 0 0 435.499 -0.309 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(N)c1F ZINC001464323101 1074533789 /nfs/dbraw/zinc/53/37/89/1074533789.db2.gz WUTAVFZGKSKSQE-NSHDSACASA-N 0 0 435.499 -0.309 20 0 IBADRN Cn1nnc(C(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC001464323169 1074533726 /nfs/dbraw/zinc/53/37/26/1074533726.db2.gz YCKAEYJAMUDGOU-SECBINFHSA-N 0 0 445.445 -0.891 20 0 IBADRN Cn1nnc(C(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1C(F)(F)F ZINC001464323170 1074533704 /nfs/dbraw/zinc/53/37/04/1074533704.db2.gz YCKAEYJAMUDGOU-VIFPVBQESA-N 0 0 445.445 -0.891 20 0 IBADRN O=C(c1ccc2c(n1)OCCO2)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001464323407 1074533765 /nfs/dbraw/zinc/53/37/65/1074533765.db2.gz ZIBOJHBZHMKKHR-GFCCVEGCSA-N 0 0 431.492 -0.873 20 0 IBADRN O=C(c1ccc2c(n1)OCCO2)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001464323408 1074533721 /nfs/dbraw/zinc/53/37/21/1074533721.db2.gz ZIBOJHBZHMKKHR-LBPRGKRZSA-N 0 0 431.492 -0.873 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)NCc1cccc(NC(=O)CCN2CCOCC2)c1 ZINC001464323479 1074533730 /nfs/dbraw/zinc/53/37/30/1074533730.db2.gz TZLJJQNEZMDTKK-UHFFFAOYSA-N 0 0 441.554 -0.298 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1 ZINC001464325513 1074533932 /nfs/dbraw/zinc/53/39/32/1074533932.db2.gz BGCLECSXHSKDAL-UHFFFAOYSA-N 0 0 438.481 -0.822 20 0 IBADRN CCS(=O)(=O)c1ccccc1CC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001464327550 1074534008 /nfs/dbraw/zinc/53/40/08/1074534008.db2.gz FHHVFTWQKOTQLN-UHFFFAOYSA-N 0 0 435.502 -0.158 20 0 IBADRN COc1cccc(-n2cc(C(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)nn2)c1 ZINC001464330060 1074533978 /nfs/dbraw/zinc/53/39/78/1074533978.db2.gz JNUBFDNFLKYDOY-UHFFFAOYSA-N 0 0 426.433 -0.291 20 0 IBADRN CS(=O)(=O)c1cc(C(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(Cl)cn1 ZINC001464332447 1074533969 /nfs/dbraw/zinc/53/39/69/1074533969.db2.gz OBTHMUOHNYUGJQ-UHFFFAOYSA-N 0 0 442.881 -0.428 20 0 IBADRN Cc1sc(S(N)(=O)=O)cc1C(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001464337471 1074534062 /nfs/dbraw/zinc/53/40/62/1074534062.db2.gz ZRVMCIOJOGPUIZ-UHFFFAOYSA-N 0 0 428.492 -0.863 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1OC ZINC001464338346 1074534052 /nfs/dbraw/zinc/53/40/52/1074534052.db2.gz NIZUCPDHNGDMFV-LLVKDONJSA-N 0 0 431.467 -0.191 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1ccc(OC)c(C(=O)OC)c1OC ZINC001464338380 1074534003 /nfs/dbraw/zinc/53/40/03/1074534003.db2.gz NIZUCPDHNGDMFV-NSHDSACASA-N 0 0 431.467 -0.191 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN(CCc3cnccn3)CC2)c1 ZINC001464338502 1074534046 /nfs/dbraw/zinc/53/40/46/1074534046.db2.gz OCAHYKNWNBVJGE-UHFFFAOYSA-N 0 0 425.536 -0.066 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001464338594 1074533996 /nfs/dbraw/zinc/53/39/96/1074533996.db2.gz OIJZEIVUVSFZGJ-CYBMUJFWSA-N 0 0 432.524 -0.554 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001464338602 1074533954 /nfs/dbraw/zinc/53/39/54/1074533954.db2.gz OIJZEIVUVSFZGJ-ZDUSSCGKSA-N 0 0 432.524 -0.554 20 0 IBADRN Cn1ncc(I)c1CNS(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001464338973 1074534015 /nfs/dbraw/zinc/53/40/15/1074534015.db2.gz KXFKGBDDEMDKEA-UHFFFAOYSA-N 0 0 425.208 -0.698 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001464341509 1074533940 /nfs/dbraw/zinc/53/39/40/1074533940.db2.gz SKYPFLMXKDZCOG-JTQLQIEISA-N 0 0 433.288 -0.190 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001464341512 1074533964 /nfs/dbraw/zinc/53/39/64/1074533964.db2.gz SKYPFLMXKDZCOG-SNVBAGLBSA-N 0 0 433.288 -0.190 20 0 IBADRN COCCN(CCOC)S(=O)(=O)NCc1c(I)cnn1C ZINC001464344155 1074534029 /nfs/dbraw/zinc/53/40/29/1074534029.db2.gz IEKBNYYDTBAXLV-UHFFFAOYSA-N 0 0 432.284 -0.046 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)c1I ZINC001464344550 1074533946 /nfs/dbraw/zinc/53/39/46/1074533946.db2.gz IRCICZAOIAQBBY-PSASIEDQSA-N 0 0 440.263 -0.307 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)c1I ZINC001464344566 1074533975 /nfs/dbraw/zinc/53/39/75/1074533975.db2.gz IRCICZAOIAQBBY-SCZZXKLOSA-N 0 0 440.263 -0.307 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)c1I ZINC001464344587 1074533960 /nfs/dbraw/zinc/53/39/60/1074533960.db2.gz IRCICZAOIAQBBY-WCBMZHEXSA-N 0 0 440.263 -0.307 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)c1I ZINC001464344599 1074534023 /nfs/dbraw/zinc/53/40/23/1074534023.db2.gz IRCICZAOIAQBBY-WPRPVWTQSA-N 0 0 440.263 -0.307 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@H](O)C(=O)OCc2ccccc2)c1 ZINC001464345021 1074534037 /nfs/dbraw/zinc/53/40/37/1074534037.db2.gz ACXDFSQDYABTGC-INIZCTEOSA-N 0 0 428.488 -0.023 20 0 IBADRN COC(=O)C1(O)CN(S(=O)(=O)c2ccc(S(=O)(=O)NCC(F)(F)F)cc2)C1 ZINC001464346231 1074533985 /nfs/dbraw/zinc/53/39/85/1074533985.db2.gz MPVAFQDDIRYPMH-UHFFFAOYSA-N 0 0 432.398 -0.564 20 0 IBADRN Cc1ccc([C@H](CNC(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)N2CCOCC2)cc1 ZINC001464353134 1074534417 /nfs/dbraw/zinc/53/44/17/1074534417.db2.gz DFGGEPSQCNPCDS-KRWDZBQOSA-N 0 0 429.477 -0.064 20 0 IBADRN Cc1ccc([C@@H](CNC(=O)CCn2cc(C(N)=O)c(=O)[nH]c2=O)N2CCOCC2)cc1 ZINC001464353145 1074534566 /nfs/dbraw/zinc/53/45/66/1074534566.db2.gz DFGGEPSQCNPCDS-QGZVFWFLSA-N 0 0 429.477 -0.064 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)N1CC(n2cc(CNC(=O)OC(C)(C)C)nn2)C1 ZINC001464355883 1074534402 /nfs/dbraw/zinc/53/44/02/1074534402.db2.gz PWNIXWUDNXXBOG-HHHXNRCGSA-N 0 0 437.548 -0.021 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)N1CC(n2cc(CNC(=O)OC(C)(C)C)nn2)C1 ZINC001464355889 1074534546 /nfs/dbraw/zinc/53/45/46/1074534546.db2.gz PWNIXWUDNXXBOG-MHZLTWQESA-N 0 0 437.548 -0.021 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CCC(=O)NCC23COC3)cc1 ZINC001464366477 1074534490 /nfs/dbraw/zinc/53/44/90/1074534490.db2.gz DSVWHCANSHIKBL-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@H]2CCCN(CCN3CCOCC3)C2)cc1C(N)=O ZINC001464366701 1074534374 /nfs/dbraw/zinc/53/43/74/1074534374.db2.gz ZYSCGVSPBJTWPE-HNNXBMFYSA-N 0 0 426.539 -0.131 20 0 IBADRN COc1ccc(S(=O)(=O)N[C@@H]2CCCN(CCN3CCOCC3)C2)cc1C(N)=O ZINC001464366702 1074534575 /nfs/dbraw/zinc/53/45/75/1074534575.db2.gz ZYSCGVSPBJTWPE-OAHLLOKOSA-N 0 0 426.539 -0.131 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)N2CCC(C(N)=O)(N3CCCCC3)CC2)CC1 ZINC001464371174 1074534551 /nfs/dbraw/zinc/53/45/51/1074534551.db2.gz DJGMSBAKJNUAAP-UHFFFAOYSA-N 0 0 431.559 -0.189 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(I)s2)CC1 ZINC001464373040 1074535037 /nfs/dbraw/zinc/53/50/37/1074535037.db2.gz PCAIDGIVONDCDQ-UHFFFAOYSA-N 0 0 437.306 -0.138 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@H]2CCCN(C(=O)CCNC(=O)CO)C2)CC1 ZINC001464373692 1074535158 /nfs/dbraw/zinc/53/51/58/1074535158.db2.gz ITHMRQBUNWVEJQ-HNNXBMFYSA-N 0 0 426.514 -0.197 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN(C(=O)CCNC(=O)CO)C2)CC1 ZINC001464373702 1074535016 /nfs/dbraw/zinc/53/50/16/1074535016.db2.gz ITHMRQBUNWVEJQ-OAHLLOKOSA-N 0 0 426.514 -0.197 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001464379809 1074534933 /nfs/dbraw/zinc/53/49/33/1074534933.db2.gz IUMGYMQLNMSASN-HNNXBMFYSA-N 0 0 429.543 -0.030 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001464379849 1074535058 /nfs/dbraw/zinc/53/50/58/1074535058.db2.gz IUMGYMQLNMSASN-OAHLLOKOSA-N 0 0 429.543 -0.030 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)c1cnn2c1S(=O)(=O)N(C)CC2)C(F)(F)F ZINC001464382203 1074535048 /nfs/dbraw/zinc/53/50/48/1074535048.db2.gz HSTUARMBFYUZEE-AWEZNQCLSA-N 0 0 436.416 -0.179 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)c1cnn2c1S(=O)(=O)N(C)CC2)C(F)(F)F ZINC001464382334 1074535112 /nfs/dbraw/zinc/53/51/12/1074535112.db2.gz HSTUARMBFYUZEE-CQSZACIVSA-N 0 0 436.416 -0.179 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)c3cnn(CCOC)c3)CC2)cc1 ZINC001464382980 1074535121 /nfs/dbraw/zinc/53/51/21/1074535121.db2.gz MZLTUCUNLGWQIM-UHFFFAOYSA-N 0 0 443.551 -0.052 20 0 IBADRN Cn1ncnc1C1(O)CN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)C1 ZINC001464383814 1074534968 /nfs/dbraw/zinc/53/49/68/1074534968.db2.gz BDYWYDHHDGMWGR-UHFFFAOYSA-N 0 0 427.508 -0.508 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N(CC(N)=O)C2CCOCC2)s1 ZINC001464385302 1074535027 /nfs/dbraw/zinc/53/50/27/1074535027.db2.gz QSAMPYHEURWYOO-UHFFFAOYSA-N 0 0 439.581 -0.115 20 0 IBADRN NC(=O)CN(C1CCOCC1)S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001464386386 1074534851 /nfs/dbraw/zinc/53/48/51/1074534851.db2.gz UJWHELUYPCSEHU-UHFFFAOYSA-N 0 0 447.535 -0.638 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N(C)Cc2nnc3c(=O)[nH]ccn23)c1 ZINC001464392470 1074534922 /nfs/dbraw/zinc/53/49/22/1074534922.db2.gz AHWHNBFMIJNDAF-UHFFFAOYSA-N 0 0 426.480 -0.511 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N(C)Cc1nnc2c(=O)[nH]ccn12 ZINC001464393922 1074534900 /nfs/dbraw/zinc/53/49/00/1074534900.db2.gz LRYAQSWISTZLCO-UHFFFAOYSA-N 0 0 426.480 -0.511 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC(N3CCNC3=O)CC2)c1 ZINC001464397085 1074535090 /nfs/dbraw/zinc/53/50/90/1074535090.db2.gz LIXJGFDGCIVMGN-UHFFFAOYSA-N 0 0 444.535 -0.615 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NCC1N=NC(=O)N1C ZINC001464406895 1074534870 /nfs/dbraw/zinc/53/48/70/1074534870.db2.gz AMIGSDYSPRREKI-KBPBESRZSA-N 0 0 425.467 -0.024 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NCC1N=NC(=O)N1C ZINC001464406905 1074534977 /nfs/dbraw/zinc/53/49/77/1074534977.db2.gz AMIGSDYSPRREKI-KGLIPLIRSA-N 0 0 425.467 -0.024 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)NCC1N=NC(=O)N1C ZINC001464406916 1074534999 /nfs/dbraw/zinc/53/49/99/1074534999.db2.gz AMIGSDYSPRREKI-UONOGXRCSA-N 0 0 425.467 -0.024 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)NCC1N=NC(=O)N1C ZINC001464406925 1074535135 /nfs/dbraw/zinc/53/51/35/1074535135.db2.gz AMIGSDYSPRREKI-ZIAGYGMSSA-N 0 0 425.467 -0.024 20 0 IBADRN CN(CCNS(=O)(=O)c1cc2c(cc1Cl)NC(=O)CO2)CCS(C)(=O)=O ZINC001464408620 1074534909 /nfs/dbraw/zinc/53/49/09/1074534909.db2.gz DBEMUGFQNYDCRA-UHFFFAOYSA-N 0 0 425.916 -0.074 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N2CCC(O)(c3ncnn3C)CC2)c1 ZINC001464410899 1074535484 /nfs/dbraw/zinc/53/54/84/1074535484.db2.gz LKIVLDBMPHWEEY-UHFFFAOYSA-N 0 0 429.524 -0.262 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCCN(C)CCS(C)(=O)=O)ccc2O1 ZINC001464411286 1074535438 /nfs/dbraw/zinc/53/54/38/1074535438.db2.gz LEGUKFGLMUHUOQ-INIZCTEOSA-N 0 0 434.536 -0.192 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCCN(C)CCS(C)(=O)=O)ccc2O1 ZINC001464411300 1074535502 /nfs/dbraw/zinc/53/55/02/1074535502.db2.gz LEGUKFGLMUHUOQ-MRXNPFEDSA-N 0 0 434.536 -0.192 20 0 IBADRN CN(CCNS(=O)(=O)c1ccc(Cl)c(S(C)(=O)=O)c1)CCS(C)(=O)=O ZINC001464412035 1074535489 /nfs/dbraw/zinc/53/54/89/1074535489.db2.gz OHBVGNKZRHNCCN-UHFFFAOYSA-N 0 0 432.973 -0.002 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)N1CC(=O)NCC12CN(C(=O)OC(C)(C)C)C2 ZINC001464412733 1074535441 /nfs/dbraw/zinc/53/54/41/1074535441.db2.gz DTMIWTIVWMNJNX-AREMUKBSSA-N 0 0 425.533 -0.773 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)N1CC(=O)NCC12CN(C(=O)OC(C)(C)C)C2 ZINC001464412736 1074535448 /nfs/dbraw/zinc/53/54/48/1074535448.db2.gz DTMIWTIVWMNJNX-SANMLTNESA-N 0 0 425.533 -0.773 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CC(=O)NCC23CN(C(=O)OC(C)(C)C)C3)cn1C ZINC001464414411 1074535473 /nfs/dbraw/zinc/53/54/73/1074535473.db2.gz KKNRDDJHVJYKJR-UHFFFAOYSA-N 0 0 441.510 -0.505 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)NC[C@]2(C(=O)OC)C[C@@H]2S(C)(=O)=O)cc1F ZINC001464414489 1074535432 /nfs/dbraw/zinc/53/54/32/1074535432.db2.gz UBSOFHFEUSCXLY-XJKSGUPXSA-N 0 0 437.467 -0.204 20 0 IBADRN COC1(c2cnnn2C)CN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC001464415731 1074535497 /nfs/dbraw/zinc/53/54/97/1074535497.db2.gz DEEFIADFHBNUKP-UHFFFAOYSA-N 0 0 427.508 -0.198 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC(=O)NCC23CN(C(=O)OC(C)(C)C)C3)n(C)c1 ZINC001464416071 1074535467 /nfs/dbraw/zinc/53/54/67/1074535467.db2.gz QSKSPOLTGYFPQA-UHFFFAOYSA-N 0 0 442.494 -0.078 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2S(=O)(=O)[C@@H]1CC(=O)N(C2CC2)C1 ZINC001464416755 1074535449 /nfs/dbraw/zinc/53/54/49/1074535449.db2.gz UCZMQKBFZCWPQL-CYBMUJFWSA-N 0 0 428.511 -0.499 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2S(=O)(=O)[C@H]1CC(=O)N(C2CC2)C1 ZINC001464416773 1074535452 /nfs/dbraw/zinc/53/54/52/1074535452.db2.gz UCZMQKBFZCWPQL-ZDUSSCGKSA-N 0 0 428.511 -0.499 20 0 IBADRN COC1(c2cnnn2C)CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)C1 ZINC001464421750 1074535492 /nfs/dbraw/zinc/53/54/92/1074535492.db2.gz UTFRQPPNPRDZLE-UHFFFAOYSA-N 0 0 436.416 -0.008 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001464425087 1074535446 /nfs/dbraw/zinc/53/54/46/1074535446.db2.gz ATUIVBLPEYBTKL-HNNXBMFYSA-N 0 0 445.563 -0.014 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001464425094 1074535462 /nfs/dbraw/zinc/53/54/62/1074535462.db2.gz ATUIVBLPEYBTKL-OAHLLOKOSA-N 0 0 445.563 -0.014 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3CC(=O)NCC34COC4)cc2n(C)c1=O ZINC001464425852 1074535424 /nfs/dbraw/zinc/53/54/24/1074535424.db2.gz DDFTUFABCHCPJT-UHFFFAOYSA-N 0 0 445.295 -0.471 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CC[C@H](CNS(C)(=O)=O)C2)c1I ZINC001464437049 1074535495 /nfs/dbraw/zinc/53/54/95/1074535495.db2.gz GTUZZHIOOGWGMN-MRVPVSSYSA-N 0 0 448.308 -0.416 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)c1I ZINC001464437391 1074535464 /nfs/dbraw/zinc/53/54/64/1074535464.db2.gz GTUZZHIOOGWGMN-QMMMGPOBSA-N 0 0 448.308 -0.416 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1 ZINC001464441870 1074535477 /nfs/dbraw/zinc/53/54/77/1074535477.db2.gz RTTIQIPHHPTVIS-JTQLQIEISA-N 0 0 429.517 -0.243 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(S(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)C1 ZINC001464441871 1074535825 /nfs/dbraw/zinc/53/58/25/1074535825.db2.gz RTTIQIPHHPTVIS-SNVBAGLBSA-N 0 0 429.517 -0.243 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC001464443739 1074535922 /nfs/dbraw/zinc/53/59/22/1074535922.db2.gz YHFYDUYAHWLROW-GFCCVEGCSA-N 0 0 437.565 -0.313 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C1 ZINC001464443740 1074535799 /nfs/dbraw/zinc/53/57/99/1074535799.db2.gz YHFYDUYAHWLROW-LBPRGKRZSA-N 0 0 437.565 -0.313 20 0 IBADRN COC(=O)CN1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001464451118 1074535750 /nfs/dbraw/zinc/53/57/50/1074535750.db2.gz LRKQMVMAXIHHJJ-UHFFFAOYSA-N 0 0 428.252 -0.496 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)cc1 ZINC001464452558 1074535858 /nfs/dbraw/zinc/53/58/58/1074535858.db2.gz RAQAXUBLXDEOCN-UHFFFAOYSA-N 0 0 447.579 -0.105 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)c(OC)c1 ZINC001464453747 1074535685 /nfs/dbraw/zinc/53/56/85/1074535685.db2.gz WQRJTEQVUQGTMZ-UHFFFAOYSA-N 0 0 448.567 -0.149 20 0 IBADRN CN(C)c1ncc(Br)c(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)n1 ZINC001464458620 1074535840 /nfs/dbraw/zinc/53/58/40/1074535840.db2.gz CXXQHNCMJKPRLR-UHFFFAOYSA-N 0 0 435.348 -0.388 20 0 IBADRN Cn1cc(C(=O)NC[C@H]2CCCN2S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cn1 ZINC001464461588 1074535765 /nfs/dbraw/zinc/53/57/65/1074535765.db2.gz WNECCHMHERGEDU-CYBMUJFWSA-N 0 0 427.508 -0.198 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)cn1 ZINC001464461590 1074535851 /nfs/dbraw/zinc/53/58/51/1074535851.db2.gz WNECCHMHERGEDU-ZDUSSCGKSA-N 0 0 427.508 -0.198 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@H]2CCC[C@H](O)C2)C1 ZINC001464462208 1074535819 /nfs/dbraw/zinc/53/58/19/1074535819.db2.gz LZSKTPMUUDYEKQ-ILXRZTDVSA-N 0 0 425.573 -0.613 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCC[C@H](O)C2)C1 ZINC001464462221 1074535912 /nfs/dbraw/zinc/53/59/12/1074535912.db2.gz LZSKTPMUUDYEKQ-KFWWJZLASA-N 0 0 425.573 -0.613 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@H]2CCC[C@@H](O)C2)C1 ZINC001464462225 1074535907 /nfs/dbraw/zinc/53/59/07/1074535907.db2.gz LZSKTPMUUDYEKQ-QLFBSQMISA-N 0 0 425.573 -0.613 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC[C@@H](C(=O)N[C@@H]2CCC[C@@H](O)C2)C1 ZINC001464462230 1074535776 /nfs/dbraw/zinc/53/57/76/1074535776.db2.gz LZSKTPMUUDYEKQ-RBSFLKMASA-N 0 0 425.573 -0.613 20 0 IBADRN CN1CCN(Cc2ccc(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)s2)CC1 ZINC001464464528 1074535787 /nfs/dbraw/zinc/53/57/87/1074535787.db2.gz OHFTUVPHJOUBAR-UHFFFAOYSA-N 0 0 429.612 -0.198 20 0 IBADRN NC(=O)CN(Cc1ccc(OCc2ccccc2)cc1)C(=O)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001464467240 1074535876 /nfs/dbraw/zinc/53/58/76/1074535876.db2.gz SISORLPETGUSMM-SFHVURJKSA-N 0 0 445.497 -0.007 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)C2(CC(=O)N3CCOCC3)CCCC2)CC1 ZINC001464468195 1074535902 /nfs/dbraw/zinc/53/59/02/1074535902.db2.gz FRFXDHHVKXGCDE-UHFFFAOYSA-N 0 0 430.571 -0.511 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(I)cn2)CC1 ZINC001464468321 1074535726 /nfs/dbraw/zinc/53/57/26/1074535726.db2.gz XAMJRXDKUUNRLZ-UHFFFAOYSA-N 0 0 438.291 -0.007 20 0 IBADRN Cc1[nH]nc(I)c1C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001464468949 1074535738 /nfs/dbraw/zinc/53/57/38/1074535738.db2.gz ZOTKHLLKRMAVNY-UHFFFAOYSA-N 0 0 441.295 -0.370 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NCc1ccc(CS(=O)(=O)N(C)C)cc1 ZINC001464473574 1074535812 /nfs/dbraw/zinc/53/58/12/1074535812.db2.gz CDSWDCDMKVGQGG-UHFFFAOYSA-N 0 0 427.570 -0.611 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)cc1 ZINC001464473635 1074535714 /nfs/dbraw/zinc/53/57/14/1074535714.db2.gz CJBKCKRFQVGONA-UHFFFAOYSA-N 0 0 439.581 -0.611 20 0 IBADRN CCS(=O)(=O)c1ccccc1CCNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001464476450 1074536225 /nfs/dbraw/zinc/53/62/25/1074536225.db2.gz OFPISAZYFYDMHB-UHFFFAOYSA-N 0 0 439.581 -0.566 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNS(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC001464477274 1074536353 /nfs/dbraw/zinc/53/63/53/1074536353.db2.gz QZSBGNSRJIFWER-INIZCTEOSA-N 0 0 435.506 -0.102 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNS(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC001464477294 1074536235 /nfs/dbraw/zinc/53/62/35/1074536235.db2.gz QZSBGNSRJIFWER-MRXNPFEDSA-N 0 0 435.506 -0.102 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@@]1(C)CN(C(=O)OC(C)(C)C)CCO1 ZINC001464480041 1074536173 /nfs/dbraw/zinc/53/61/73/1074536173.db2.gz YYVXROBXTVFBSH-HNNXBMFYSA-N 0 0 429.561 -0.129 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NC[C@]1(C)CN(C(=O)OC(C)(C)C)CCO1 ZINC001464480044 1074536313 /nfs/dbraw/zinc/53/63/13/1074536313.db2.gz YYVXROBXTVFBSH-OAHLLOKOSA-N 0 0 429.561 -0.129 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCCN1CCC(NC(=O)OC(C)(C)C)CC1 ZINC001464485073 1074536259 /nfs/dbraw/zinc/53/62/59/1074536259.db2.gz JHQPZORWCJVGSJ-UHFFFAOYSA-N 0 0 442.604 -0.166 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNS(=O)(=O)[C@@H]2COC[C@H]2O)C1 ZINC001464486024 1074536381 /nfs/dbraw/zinc/53/63/81/1074536381.db2.gz JNOHYFAYGGACAN-JHJVBQTASA-N 0 0 428.529 -0.910 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNS(=O)(=O)[C@@H]2COC[C@H]2O)C1 ZINC001464486025 1074536372 /nfs/dbraw/zinc/53/63/72/1074536372.db2.gz JNOHYFAYGGACAN-YNEHKIRRSA-N 0 0 428.529 -0.910 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N[C@H]2C(=O)NCC23CCOCC3)C1 ZINC001464492403 1074536332 /nfs/dbraw/zinc/53/63/32/1074536332.db2.gz JIRXHNWWBNFDOT-KBPBESRZSA-N 0 0 433.527 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N[C@H]2C(=O)NCC23CCOCC3)C1 ZINC001464492407 1074536292 /nfs/dbraw/zinc/53/62/92/1074536292.db2.gz JIRXHNWWBNFDOT-KGLIPLIRSA-N 0 0 433.527 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N[C@@H]2C(=O)NCC23CCOCC3)C1 ZINC001464492413 1074536184 /nfs/dbraw/zinc/53/61/84/1074536184.db2.gz JIRXHNWWBNFDOT-UONOGXRCSA-N 0 0 433.527 -0.163 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N[C@@H]2C(=O)NCC23CCOCC3)C1 ZINC001464492422 1074536124 /nfs/dbraw/zinc/53/61/24/1074536124.db2.gz JIRXHNWWBNFDOT-ZIAGYGMSSA-N 0 0 433.527 -0.163 20 0 IBADRN CCOC(=O)CN(CC(N)=O)C(=O)c1ccc2c(c1)SC1=NS(=O)(=O)CCN21 ZINC001464494384 1074536249 /nfs/dbraw/zinc/53/62/49/1074536249.db2.gz OOWSKEDGACZFHW-UHFFFAOYSA-N 0 0 426.476 -0.211 20 0 IBADRN Cn1nccc1[C@]1(O)C[C@H]2CC[C@@H](C1)N2S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001464502057 1074536281 /nfs/dbraw/zinc/53/62/81/1074536281.db2.gz YLOZVVWNBCUAOW-AIANPOQGSA-N 0 0 432.568 -0.154 20 0 IBADRN Cn1nccc1[C@]1(O)C[C@H]2CC[C@@H](C1)N2S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001464502073 1074536206 /nfs/dbraw/zinc/53/62/06/1074536206.db2.gz YLOZVVWNBCUAOW-LJIGWXMPSA-N 0 0 432.568 -0.154 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CCN(CCCOCC(F)(F)F)CC2)c(=O)[nH]c1=O ZINC001464505576 1074536391 /nfs/dbraw/zinc/53/63/91/1074536391.db2.gz XTRSPQCLOFYEER-UHFFFAOYSA-N 0 0 435.403 -0.449 20 0 IBADRN CN1CCn2ncc(C(=O)N(C[C@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)c2S1(=O)=O ZINC001464509641 1074536713 /nfs/dbraw/zinc/53/67/13/1074536713.db2.gz ZYLPFZXXBSKWDL-CHWSQXEVSA-N 0 0 432.524 -0.675 20 0 IBADRN CN1CCn2ncc(C(=O)N(C[C@@H]3CCCO3)[C@@H]3CCS(=O)(=O)C3)c2S1(=O)=O ZINC001464509643 1074536728 /nfs/dbraw/zinc/53/67/28/1074536728.db2.gz ZYLPFZXXBSKWDL-OLZOCXBDSA-N 0 0 432.524 -0.675 20 0 IBADRN CN1CCn2ncc(C(=O)N(C[C@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)c2S1(=O)=O ZINC001464509646 1074536630 /nfs/dbraw/zinc/53/66/30/1074536630.db2.gz ZYLPFZXXBSKWDL-QWHCGFSZSA-N 0 0 432.524 -0.675 20 0 IBADRN CN1CCn2ncc(C(=O)N(C[C@@H]3CCCO3)[C@H]3CCS(=O)(=O)C3)c2S1(=O)=O ZINC001464509650 1074536641 /nfs/dbraw/zinc/53/66/41/1074536641.db2.gz ZYLPFZXXBSKWDL-STQMWFEESA-N 0 0 432.524 -0.675 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)NCCn2ccc(=O)[nH]c2=O)cc1 ZINC001464510799 1074536650 /nfs/dbraw/zinc/53/66/50/1074536650.db2.gz FKZWDQXRAQCDGH-UHFFFAOYSA-N 0 0 430.508 -0.042 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC3(CC2)CNC(=O)c2ccccc2O3)c(=O)n(C)c1=O ZINC001464513382 1074536545 /nfs/dbraw/zinc/53/65/45/1074536545.db2.gz MXKXXCNPKAHFLY-UHFFFAOYSA-N 0 0 434.474 -0.570 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N(C)Cc2nnc3n2CCNC3=O)ccc1OC ZINC001464513653 1074536618 /nfs/dbraw/zinc/53/66/18/1074536618.db2.gz AVTABZIPEQKJBR-UHFFFAOYSA-N 0 0 436.494 -0.123 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)N(C)Cc2nnc3n2CCNC3=O)c1 ZINC001464514514 1074536753 /nfs/dbraw/zinc/53/67/53/1074536753.db2.gz CVWDYKNXULLHGI-UHFFFAOYSA-N 0 0 427.508 -0.137 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCN(Cc4nccn4C(F)F)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001464516872 1074536760 /nfs/dbraw/zinc/53/67/60/1074536760.db2.gz YHFFZNHAAANPOS-BZUAXINKSA-N 0 0 437.451 -0.154 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)S(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001464517116 1074536597 /nfs/dbraw/zinc/53/65/97/1074536597.db2.gz NONNFWFYEIWSFM-UHFFFAOYSA-N 0 0 434.478 -0.685 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)S(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC001464517610 1074536659 /nfs/dbraw/zinc/53/66/59/1074536659.db2.gz QVOATGCILHPXRY-UHFFFAOYSA-N 0 0 438.897 -0.039 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1 ZINC001464517713 1074536766 /nfs/dbraw/zinc/53/67/66/1074536766.db2.gz DVOSNBQDKSNDNV-CYBMUJFWSA-N 0 0 433.552 -0.158 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCC(N(C)S(C)(=O)=O)CC2)cc1 ZINC001464517714 1074536722 /nfs/dbraw/zinc/53/67/22/1074536722.db2.gz DVOSNBQDKSNDNV-ZDUSSCGKSA-N 0 0 433.552 -0.158 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCN(C)CC2)cc1 ZINC001464525813 1074536579 /nfs/dbraw/zinc/53/65/79/1074536579.db2.gz IYDMZKKPRMBYGT-UHFFFAOYSA-N 0 0 432.568 -0.432 20 0 IBADRN Cc1nn(CCS(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)c(=O)o1 ZINC001464527955 1074536670 /nfs/dbraw/zinc/53/66/70/1074536670.db2.gz FUNMFQRUDRWQSQ-KRWDZBQOSA-N 0 0 437.522 -0.551 20 0 IBADRN Cc1nn(CCS(=O)(=O)N[C@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)c(=O)o1 ZINC001464527962 1074536608 /nfs/dbraw/zinc/53/66/08/1074536608.db2.gz FUNMFQRUDRWQSQ-QGZVFWFLSA-N 0 0 437.522 -0.551 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N[C@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)cn1 ZINC001464532128 1074536558 /nfs/dbraw/zinc/53/65/58/1074536558.db2.gz RIMMATZTEYXLOZ-GOSISDBHSA-N 0 0 449.533 -0.280 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)cn1 ZINC001464532130 1074536685 /nfs/dbraw/zinc/53/66/85/1074536685.db2.gz RIMMATZTEYXLOZ-SFHVURJKSA-N 0 0 449.533 -0.280 20 0 IBADRN CN1CCN(C(=O)CNS(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)CC1 ZINC001464533432 1074536733 /nfs/dbraw/zinc/53/67/33/1074536733.db2.gz VLSZOGISJXRNTB-UHFFFAOYSA-N 0 0 430.552 -0.477 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)C(=O)c3cc(S(N)(=O)=O)cn3C)CC2)c1 ZINC001464534043 1074536702 /nfs/dbraw/zinc/53/67/02/1074536702.db2.gz AXCYNEOKUMPSNU-UHFFFAOYSA-N 0 0 448.501 -0.223 20 0 IBADRN CN(C)C(=O)CN1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CC1 ZINC001464535122 1074537032 /nfs/dbraw/zinc/53/70/32/1074537032.db2.gz JLGYRGLYRRERLU-UHFFFAOYSA-N 0 0 444.579 -0.088 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCCN(CC(=O)N(C)C)CC2)cc1C ZINC001464535556 1074537220 /nfs/dbraw/zinc/53/72/20/1074537220.db2.gz MXSHNPCCXHSENF-UHFFFAOYSA-N 0 0 432.568 -0.312 20 0 IBADRN O=C1NC[C@H](O)CC12CN(S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)C2 ZINC001464536909 1074537154 /nfs/dbraw/zinc/53/71/54/1074537154.db2.gz DQVSUPRAHFWCBI-CYBMUJFWSA-N 0 0 429.520 -0.657 20 0 IBADRN O=C1NC[C@@H](O)CC12CN(S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1)C2 ZINC001464536913 1074537062 /nfs/dbraw/zinc/53/70/62/1074537062.db2.gz DQVSUPRAHFWCBI-ZDUSSCGKSA-N 0 0 429.520 -0.657 20 0 IBADRN CN1CCn2ncc(C(=O)N3CSC[C@@H]3C(=O)N3CCSCC3)c2S1(=O)=O ZINC001464538375 1074537179 /nfs/dbraw/zinc/53/71/79/1074537179.db2.gz GNGFOQHWVNCGHR-GFCCVEGCSA-N 0 0 431.565 -0.392 20 0 IBADRN CN1CCn2ncc(C(=O)N3CSC[C@H]3C(=O)N3CCSCC3)c2S1(=O)=O ZINC001464538393 1074537088 /nfs/dbraw/zinc/53/70/88/1074537088.db2.gz GNGFOQHWVNCGHR-LBPRGKRZSA-N 0 0 431.565 -0.392 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)NCCN3CCNC3=O)cc2n(C)c1=O ZINC001464539265 1074537119 /nfs/dbraw/zinc/53/71/19/1074537119.db2.gz CFRYHVGZNSINMD-UHFFFAOYSA-N 0 0 432.300 -0.057 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CC[C@@]2(C1)CN(C(=O)OC(C)(C)C)CCO2 ZINC001464546439 1074537074 /nfs/dbraw/zinc/53/70/74/1074537074.db2.gz VJZHNBKBBNAURI-INIZCTEOSA-N 0 0 441.572 -0.033 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CC[C@]2(C1)CN(C(=O)OC(C)(C)C)CCO2 ZINC001464546445 1074537237 /nfs/dbraw/zinc/53/72/37/1074537237.db2.gz VJZHNBKBBNAURI-MRXNPFEDSA-N 0 0 441.572 -0.033 20 0 IBADRN O=C1NC[C@@H](O)CC12CN(S(=O)(=O)c1cccc(S(=O)(=O)N3CCCCC3)c1)C2 ZINC001464547070 1074537002 /nfs/dbraw/zinc/53/70/02/1074537002.db2.gz IIJFWRQQQUUERW-AWEZNQCLSA-N 0 0 443.547 -0.267 20 0 IBADRN O=C1NC[C@H](O)CC12CN(S(=O)(=O)c1cccc(S(=O)(=O)N3CCCCC3)c1)C2 ZINC001464547072 1074537129 /nfs/dbraw/zinc/53/71/29/1074537129.db2.gz IIJFWRQQQUUERW-CQSZACIVSA-N 0 0 443.547 -0.267 20 0 IBADRN O=C1NC[C@H](O)CC12CN(S(=O)(=O)c1cccc(S(=O)(=O)N3CCCC3)c1)C2 ZINC001464547222 1074537137 /nfs/dbraw/zinc/53/71/37/1074537137.db2.gz JHOYNKWSQNUAHJ-CYBMUJFWSA-N 0 0 429.520 -0.657 20 0 IBADRN O=C1NC[C@@H](O)CC12CN(S(=O)(=O)c1cccc(S(=O)(=O)N3CCCC3)c1)C2 ZINC001464547233 1074537210 /nfs/dbraw/zinc/53/72/10/1074537210.db2.gz JHOYNKWSQNUAHJ-ZDUSSCGKSA-N 0 0 429.520 -0.657 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NC[C@]2(CO)COCCN2C)cc1 ZINC001464548532 1074537049 /nfs/dbraw/zinc/53/70/49/1074537049.db2.gz FQPBPVYMJOUVBD-KRWDZBQOSA-N 0 0 436.552 -0.529 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NC[C@@]2(CO)COCCN2C)cc1 ZINC001464548549 1074537167 /nfs/dbraw/zinc/53/71/67/1074537167.db2.gz FQPBPVYMJOUVBD-QGZVFWFLSA-N 0 0 436.552 -0.529 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCCS(=O)(=O)C[C@H]2c2cnn(C)c2)CC1 ZINC001464550516 1074537248 /nfs/dbraw/zinc/53/72/48/1074537248.db2.gz KYSKIGYHSDQRBC-HNNXBMFYSA-N 0 0 434.540 -0.289 20 0 IBADRN COC(=O)C1CCN(S(=O)(=O)N2CCCS(=O)(=O)C[C@@H]2c2cnn(C)c2)CC1 ZINC001464550520 1074536987 /nfs/dbraw/zinc/53/69/87/1074536987.db2.gz KYSKIGYHSDQRBC-OAHLLOKOSA-N 0 0 434.540 -0.289 20 0 IBADRN CN(C)S(=O)(=O)C1(CNS(=O)(=O)c2ccc(NS(C)(=O)=O)cc2F)CC1 ZINC001464554369 1074537190 /nfs/dbraw/zinc/53/71/90/1074537190.db2.gz YUQFPOSMSFMGLC-UHFFFAOYSA-N 0 0 429.517 -0.101 20 0 IBADRN COC1(C(=O)N2CCC[C@@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)CS(=O)(=O)C1 ZINC001464555265 1074537017 /nfs/dbraw/zinc/53/70/17/1074537017.db2.gz DIYLSPAVCURKSS-CYBMUJFWSA-N 0 0 433.527 -0.321 20 0 IBADRN COC1(C(=O)N2CCC[C@H](C(=O)NCCNC(=O)OC(C)(C)C)C2)CS(=O)(=O)C1 ZINC001464555277 1074537561 /nfs/dbraw/zinc/53/75/61/1074537561.db2.gz DIYLSPAVCURKSS-ZDUSSCGKSA-N 0 0 433.527 -0.321 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@]2(CO)COCCN2C)cc1F ZINC001464557736 1074537596 /nfs/dbraw/zinc/53/75/96/1074537596.db2.gz YNEJYUFQUQNUQO-HNNXBMFYSA-N 0 0 425.504 -0.441 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@]2(CO)COCCN2C)cc1F ZINC001464557751 1074537586 /nfs/dbraw/zinc/53/75/86/1074537586.db2.gz YNEJYUFQUQNUQO-OAHLLOKOSA-N 0 0 425.504 -0.441 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc1F ZINC001464565361 1074537604 /nfs/dbraw/zinc/53/76/04/1074537604.db2.gz CKKMTILNGBCLRW-UHFFFAOYSA-N 0 0 430.501 -0.123 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@@H](C)N(S(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C2 ZINC001464565388 1074537592 /nfs/dbraw/zinc/53/75/92/1074537592.db2.gz AMTUELBGDGIXIO-GFCCVEGCSA-N 0 0 435.528 -0.914 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@H](C)N(S(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C2 ZINC001464565389 1074537541 /nfs/dbraw/zinc/53/75/41/1074537541.db2.gz AMTUELBGDGIXIO-LBPRGKRZSA-N 0 0 435.528 -0.914 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@@H](C)N(S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)C2 ZINC001464569940 1074537553 /nfs/dbraw/zinc/53/75/53/1074537553.db2.gz VFWXONIJLDPFTI-CHWSQXEVSA-N 0 0 434.540 -0.125 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@@H](C)N(S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)C2 ZINC001464569943 1074537558 /nfs/dbraw/zinc/53/75/58/1074537558.db2.gz VFWXONIJLDPFTI-OLZOCXBDSA-N 0 0 434.540 -0.125 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@H](C)N(S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1)C2 ZINC001464569945 1074537589 /nfs/dbraw/zinc/53/75/89/1074537589.db2.gz VFWXONIJLDPFTI-QWHCGFSZSA-N 0 0 434.540 -0.125 20 0 IBADRN CCOC(=O)c1cc2n(n1)C[C@H](C)N(S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1)C2 ZINC001464569948 1074537583 /nfs/dbraw/zinc/53/75/83/1074537583.db2.gz VFWXONIJLDPFTI-STQMWFEESA-N 0 0 434.540 -0.125 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001464570039 1074537578 /nfs/dbraw/zinc/53/75/78/1074537578.db2.gz NVOPGJAUNRUCTD-UHFFFAOYSA-N 0 0 437.565 -0.341 20 0 IBADRN CO[C@]1(CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CCS(=O)(=O)C1 ZINC001464580036 1074537622 /nfs/dbraw/zinc/53/76/22/1074537622.db2.gz AJBWPKKEWGCHFA-HNNXBMFYSA-N 0 0 438.549 -0.391 20 0 IBADRN CO[C@@]1(CNS(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CCS(=O)(=O)C1 ZINC001464580038 1074537617 /nfs/dbraw/zinc/53/76/17/1074537617.db2.gz AJBWPKKEWGCHFA-OAHLLOKOSA-N 0 0 438.549 -0.391 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc2c(c1)CCN2C(=O)[C@H](C)NC(=O)Cn1cnnn1 ZINC001464585009 1074537630 /nfs/dbraw/zinc/53/76/30/1074537630.db2.gz IYOBAAGSTPIWFR-ZDUSSCGKSA-N 0 0 435.510 -0.202 20 0 IBADRN CN1CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)C[C@@H]1CO ZINC001464588414 1074537548 /nfs/dbraw/zinc/53/75/48/1074537548.db2.gz YYLANJCIPYWQHR-MSOLQXFVSA-N 0 0 427.523 -0.038 20 0 IBADRN CN1CCN(S(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)C[C@@H]1CO ZINC001464588427 1074537609 /nfs/dbraw/zinc/53/76/09/1074537609.db2.gz YYLANJCIPYWQHR-QZTJIDSGSA-N 0 0 427.523 -0.038 20 0 IBADRN CN1CCN(S(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)C[C@H]1CO ZINC001464588445 1074537600 /nfs/dbraw/zinc/53/76/00/1074537600.db2.gz YYLANJCIPYWQHR-ROUUACIJSA-N 0 0 427.523 -0.038 20 0 IBADRN CN1CCN(S(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)C[C@H]1CO ZINC001464588459 1074537928 /nfs/dbraw/zinc/53/79/28/1074537928.db2.gz YYLANJCIPYWQHR-ZWKOTPCHSA-N 0 0 427.523 -0.038 20 0 IBADRN Cn1ncc(CNC(=O)OC(C)(C)C)c1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001464588854 1074537851 /nfs/dbraw/zinc/53/78/51/1074537851.db2.gz JONMLHJYXYWCRC-UHFFFAOYSA-N 0 0 431.515 -0.163 20 0 IBADRN Cc1c(Cl)c(C(=O)NCCS(=O)(=O)N2CCOCC2)sc1S(N)(=O)=O ZINC001464590489 1074537810 /nfs/dbraw/zinc/53/78/10/1074537810.db2.gz LUOMQFWGXTWOSG-UHFFFAOYSA-N 0 0 431.945 -0.251 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCCN2CCC3(C2)OCCO3)C1 ZINC001464598218 1074537949 /nfs/dbraw/zinc/53/79/49/1074537949.db2.gz WBMOZLLHTFQGFM-HNNXBMFYSA-N 0 0 435.543 -0.010 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCCN2CCC3(C2)OCCO3)C1 ZINC001464598224 1074537883 /nfs/dbraw/zinc/53/78/83/1074537883.db2.gz WBMOZLLHTFQGFM-OAHLLOKOSA-N 0 0 435.543 -0.010 20 0 IBADRN NC(=O)c1cn(CCC(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)c(=O)[nH]c1=O ZINC001464600686 1074537868 /nfs/dbraw/zinc/53/78/68/1074537868.db2.gz XDPXDSQPAUQUGC-UHFFFAOYSA-N 0 0 431.424 -0.510 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCC2(CC(=O)N2)CC1 ZINC001464604170 1074537835 /nfs/dbraw/zinc/53/78/35/1074537835.db2.gz KQRQCYKTDRXIAN-HNNXBMFYSA-N 0 0 443.547 -0.351 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCC2(CC(=O)N2)CC1 ZINC001464604177 1074537772 /nfs/dbraw/zinc/53/77/72/1074537772.db2.gz KQRQCYKTDRXIAN-OAHLLOKOSA-N 0 0 443.547 -0.351 20 0 IBADRN O=S(=O)(c1ccc(I)s1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001464620270 1074537961 /nfs/dbraw/zinc/53/79/61/1074537961.db2.gz CLSHECMTSBKWMY-SSDOTTSWSA-N 0 0 449.317 -0.124 20 0 IBADRN O=S(=O)(c1ccc(I)s1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001464620271 1074537979 /nfs/dbraw/zinc/53/79/79/1074537979.db2.gz CLSHECMTSBKWMY-ZETCQYMHSA-N 0 0 449.317 -0.124 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCn3ncc(I)c3C2)c(=O)[nH]c1=O ZINC001464621080 1074537756 /nfs/dbraw/zinc/53/77/56/1074537756.db2.gz ZIQQHTYHAROPSC-UHFFFAOYSA-N 0 0 437.219 -0.509 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)ccc1Cl ZINC001464625921 1074537824 /nfs/dbraw/zinc/53/78/24/1074537824.db2.gz QOXGKLRKTMXVMA-SECBINFHSA-N 0 0 429.929 -0.734 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)ccc1Cl ZINC001464625940 1074537908 /nfs/dbraw/zinc/53/79/08/1074537908.db2.gz QOXGKLRKTMXVMA-VIFPVBQESA-N 0 0 429.929 -0.734 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)N1CCN2[C@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001464627397 1074537897 /nfs/dbraw/zinc/53/78/97/1074537897.db2.gz RVHSVJZGMGIEON-SECBINFHSA-N 0 0 428.414 -0.290 20 0 IBADRN O=C(Nc1ccccc1S(=O)(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001464627405 1074537970 /nfs/dbraw/zinc/53/79/70/1074537970.db2.gz RVHSVJZGMGIEON-VIFPVBQESA-N 0 0 428.414 -0.290 20 0 IBADRN O=S(=O)(c1ccccc1S(=O)(=O)N1CCN2[C@H](CNS2(=O)=O)C1)C(F)F ZINC001464627700 1074537844 /nfs/dbraw/zinc/53/78/44/1074537844.db2.gz UYVVGLWGYZIWKT-SECBINFHSA-N 0 0 431.464 -0.794 20 0 IBADRN O=S(=O)(c1ccccc1S(=O)(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)C(F)F ZINC001464627704 1074537785 /nfs/dbraw/zinc/53/77/85/1074537785.db2.gz UYVVGLWGYZIWKT-VIFPVBQESA-N 0 0 431.464 -0.794 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c(Cl)s1 ZINC001464629531 1074538428 /nfs/dbraw/zinc/53/84/28/1074538428.db2.gz YVTIBPIWXNTFMQ-SSDOTTSWSA-N 0 0 435.958 -0.672 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c(Cl)s1 ZINC001464629552 1074538447 /nfs/dbraw/zinc/53/84/47/1074538447.db2.gz YVTIBPIWXNTFMQ-ZETCQYMHSA-N 0 0 435.958 -0.672 20 0 IBADRN CN(C)C(=O)[C@H]1CSCN1C(=O)c1cc(S(=O)(=O)NCC(N)=O)ccc1Cl ZINC001464629622 1074538439 /nfs/dbraw/zinc/53/84/39/1074538439.db2.gz QXIVSBLXLHMZOB-GFCCVEGCSA-N 0 0 434.927 -0.293 20 0 IBADRN CN(C)C(=O)[C@@H]1CSCN1C(=O)c1cc(S(=O)(=O)NCC(N)=O)ccc1Cl ZINC001464629626 1074538513 /nfs/dbraw/zinc/53/85/13/1074538513.db2.gz QXIVSBLXLHMZOB-LBPRGKRZSA-N 0 0 434.927 -0.293 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)CC1 ZINC001464629856 1074538483 /nfs/dbraw/zinc/53/84/83/1074538483.db2.gz VWDFDBULFZKJAZ-UHFFFAOYSA-N 0 0 426.543 -0.435 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC001464641130 1074538294 /nfs/dbraw/zinc/53/82/94/1074538294.db2.gz PVTWCOGSHCALAA-HNNXBMFYSA-N 0 0 429.561 -0.441 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@]1(O)CCN(C(=O)OC(C)(C)C)C1 ZINC001464641136 1074538407 /nfs/dbraw/zinc/53/84/07/1074538407.db2.gz PVTWCOGSHCALAA-OAHLLOKOSA-N 0 0 429.561 -0.441 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)c2cn(C[C@@H]3CNC(=O)O3)nn2)CC1 ZINC001464648590 1074538390 /nfs/dbraw/zinc/53/83/90/1074538390.db2.gz LOPXLNDJVBSTHL-INIZCTEOSA-N 0 0 427.465 -0.015 20 0 IBADRN CC(=O)N1CCN(c2ccccc2CNC(=O)c2cn(C[C@H]3CNC(=O)O3)nn2)CC1 ZINC001464648609 1074538458 /nfs/dbraw/zinc/53/84/58/1074538458.db2.gz LOPXLNDJVBSTHL-MRXNPFEDSA-N 0 0 427.465 -0.015 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)NCCS(=O)(=O)N2CCCC2)c1 ZINC001464654540 1074538500 /nfs/dbraw/zinc/53/85/00/1074538500.db2.gz NPTNDDYIBQQPON-UHFFFAOYSA-N 0 0 434.492 -0.036 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NCCS(=O)(=O)N2CCCC2)CC1 ZINC001464655891 1074538335 /nfs/dbraw/zinc/53/83/35/1074538335.db2.gz YZHHNOSPXBRIEO-UHFFFAOYSA-N 0 0 426.561 -0.201 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCC(=O)Nc3ccc(-n4cccn4)cc3)[C@@H](O)C(=O)N2C)cn1 ZINC001464658402 1074538536 /nfs/dbraw/zinc/53/85/36/1074538536.db2.gz QGMKTLWUTFLSEO-GUDVDZBRSA-N 0 0 437.460 -0.149 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001464665368 1074538379 /nfs/dbraw/zinc/53/83/79/1074538379.db2.gz MGIKQBJZWLDZTD-DOTOQJQBSA-N 0 0 447.579 -0.011 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001464665374 1074538549 /nfs/dbraw/zinc/53/85/49/1074538549.db2.gz MGIKQBJZWLDZTD-NVXWUHKLSA-N 0 0 447.579 -0.011 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001464665379 1074538524 /nfs/dbraw/zinc/53/85/24/1074538524.db2.gz MGIKQBJZWLDZTD-RDJZCZTQSA-N 0 0 447.579 -0.011 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001464665383 1074538346 /nfs/dbraw/zinc/53/83/46/1074538346.db2.gz MGIKQBJZWLDZTD-WBVHZDCISA-N 0 0 447.579 -0.011 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)n1 ZINC001464671255 1074538476 /nfs/dbraw/zinc/53/84/76/1074538476.db2.gz IPPOUOCTIZCCLN-CYBMUJFWSA-N 0 0 429.886 -0.097 20 0 IBADRN CN(C)C(=O)Cn1ccc(NC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)n1 ZINC001464671256 1074538369 /nfs/dbraw/zinc/53/83/69/1074538369.db2.gz IPPOUOCTIZCCLN-ZDUSSCGKSA-N 0 0 429.886 -0.097 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NCC(=O)N1CCN(c2cccc(Cl)c2)CC1 ZINC001464675430 1074538559 /nfs/dbraw/zinc/53/85/59/1074538559.db2.gz NUGSNZVLHDPCNJ-ZDUSSCGKSA-N 0 0 434.888 -0.704 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CCO1 ZINC001464681670 1074538416 /nfs/dbraw/zinc/53/84/16/1074538416.db2.gz DQQOZMBXTFIAHJ-CYBMUJFWSA-N 0 0 426.538 -0.960 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CCO1 ZINC001464681696 1074538878 /nfs/dbraw/zinc/53/88/78/1074538878.db2.gz DQQOZMBXTFIAHJ-ZDUSSCGKSA-N 0 0 426.538 -0.960 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(OCc3ncnn3C)cc2)C[C@H]1CS(N)(=O)=O ZINC001464683931 1074538913 /nfs/dbraw/zinc/53/89/13/1074538913.db2.gz KTJSRZGRKMHJRE-OLZOCXBDSA-N 0 0 429.524 -0.061 20 0 IBADRN O=S(=O)(NC1CC1)c1cccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)c1 ZINC001464684861 1074538964 /nfs/dbraw/zinc/53/89/64/1074538964.db2.gz QTDXZNIFUSOSOJ-KRWDZBQOSA-N 0 0 431.536 -0.805 20 0 IBADRN O=S(=O)(NC1CC1)c1cccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)c1 ZINC001464684864 1074538742 /nfs/dbraw/zinc/53/87/42/1074538742.db2.gz QTDXZNIFUSOSOJ-QGZVFWFLSA-N 0 0 431.536 -0.805 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001464685646 1074538884 /nfs/dbraw/zinc/53/88/84/1074538884.db2.gz LEMJPHHRVQFZBE-CYBMUJFWSA-N 0 0 430.504 -0.252 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(S(=O)(=O)CCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001464685667 1074538782 /nfs/dbraw/zinc/53/87/82/1074538782.db2.gz LEMJPHHRVQFZBE-ZDUSSCGKSA-N 0 0 430.504 -0.252 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)c1 ZINC001464685732 1074538774 /nfs/dbraw/zinc/53/87/74/1074538774.db2.gz TUYUUIIMVZDWAK-GOSISDBHSA-N 0 0 447.579 -0.215 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)c1 ZINC001464685826 1074538896 /nfs/dbraw/zinc/53/88/96/1074538896.db2.gz TUYUUIIMVZDWAK-SFHVURJKSA-N 0 0 447.579 -0.215 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CCO1 ZINC001464687371 1074538749 /nfs/dbraw/zinc/53/87/49/1074538749.db2.gz ONLQXQJNYCZDLD-LLVKDONJSA-N 0 0 426.900 -0.362 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(S(=O)(=O)c2cc(Cl)ccc2OCC(N)=O)CCO1 ZINC001464687372 1074538766 /nfs/dbraw/zinc/53/87/66/1074538766.db2.gz ONLQXQJNYCZDLD-NSHDSACASA-N 0 0 426.900 -0.362 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC(=O)c2cnn(C)c2)cc1S(=O)(=O)N1CCOCC1 ZINC001464689820 1074538942 /nfs/dbraw/zinc/53/89/42/1074538942.db2.gz AJGAQNWMHJOBKU-UHFFFAOYSA-N 0 0 442.519 -0.089 20 0 IBADRN O=C1c2ccccc2C(=O)N1CCOCCS(=O)(=O)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001464692368 1074538954 /nfs/dbraw/zinc/53/89/54/1074538954.db2.gz DASSNDJHAPKBGU-OKILXGFUSA-N 0 0 442.515 -0.395 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001464692817 1074538793 /nfs/dbraw/zinc/53/87/93/1074538793.db2.gz ZBGAXDIZCGGQAV-LLVKDONJSA-N 0 0 427.522 -0.467 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001464692818 1074538866 /nfs/dbraw/zinc/53/88/66/1074538866.db2.gz ZBGAXDIZCGGQAV-NSHDSACASA-N 0 0 427.522 -0.467 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC[C@@H](O)CS(C)(=O)=O)c(Br)c1 ZINC001464694779 1074538834 /nfs/dbraw/zinc/53/88/34/1074538834.db2.gz KCDDDAVLKFUMSZ-SECBINFHSA-N 0 0 430.298 -0.081 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC[C@H](O)CS(C)(=O)=O)c(Br)c1 ZINC001464694782 1074538855 /nfs/dbraw/zinc/53/88/55/1074538855.db2.gz KCDDDAVLKFUMSZ-VIFPVBQESA-N 0 0 430.298 -0.081 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNS(=O)(=O)c1cc2c(cc1Br)OCCO2 ZINC001464695002 1074538846 /nfs/dbraw/zinc/53/88/46/1074538846.db2.gz KISWDONSKXJGIY-MRVPVSSYSA-N 0 0 430.298 -0.096 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNS(=O)(=O)c1cc2c(cc1Br)OCCO2 ZINC001464695012 1074538802 /nfs/dbraw/zinc/53/88/02/1074538802.db2.gz KISWDONSKXJGIY-QMMMGPOBSA-N 0 0 430.298 -0.096 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@H](CC(N)=O)NC(=O)CC(C)C)CC1 ZINC001464703498 1074539432 /nfs/dbraw/zinc/53/94/32/1074539432.db2.gz CMXBEZWUPXOBMT-HNNXBMFYSA-N 0 0 426.514 -0.347 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@@H](CC(N)=O)NC(=O)CC(C)C)CC1 ZINC001464703504 1074539235 /nfs/dbraw/zinc/53/92/35/1074539235.db2.gz CMXBEZWUPXOBMT-OAHLLOKOSA-N 0 0 426.514 -0.347 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CC1 ZINC001464704990 1074539367 /nfs/dbraw/zinc/53/93/67/1074539367.db2.gz GVBGIBRHPXARBK-HNNXBMFYSA-N 0 0 438.456 -0.429 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC3(CC2)C[C@@H](O)CNC3=O)cc1C ZINC001464710576 1074539337 /nfs/dbraw/zinc/53/93/37/1074539337.db2.gz MHWUTLQOHXJYFC-CYBMUJFWSA-N 0 0 431.536 -0.445 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCC3(CC2)C[C@H](O)CNC3=O)cc1C ZINC001464710600 1074539393 /nfs/dbraw/zinc/53/93/93/1074539393.db2.gz MHWUTLQOHXJYFC-ZDUSSCGKSA-N 0 0 431.536 -0.445 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cc(F)cc(N2CCOCC2)c1 ZINC001464716485 1074539377 /nfs/dbraw/zinc/53/93/77/1074539377.db2.gz FHYOEXJUITYCJR-MSOLQXFVSA-N 0 0 427.498 -0.110 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cc(F)cc(N2CCOCC2)c1 ZINC001464716489 1074539224 /nfs/dbraw/zinc/53/92/24/1074539224.db2.gz FHYOEXJUITYCJR-QZTJIDSGSA-N 0 0 427.498 -0.110 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cc(F)cc(N2CCOCC2)c1 ZINC001464716499 1074539326 /nfs/dbraw/zinc/53/93/26/1074539326.db2.gz FHYOEXJUITYCJR-ROUUACIJSA-N 0 0 427.498 -0.110 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cc(F)cc(N2CCOCC2)c1 ZINC001464716504 1074539308 /nfs/dbraw/zinc/53/93/08/1074539308.db2.gz FHYOEXJUITYCJR-ZWKOTPCHSA-N 0 0 427.498 -0.110 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001464722396 1074539314 /nfs/dbraw/zinc/53/93/14/1074539314.db2.gz DSELSECFCOXMOW-FZKCQIBNSA-N 0 0 449.570 -0.536 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001464722401 1074539294 /nfs/dbraw/zinc/53/92/94/1074539294.db2.gz DSELSECFCOXMOW-JJXSEGSLSA-N 0 0 449.570 -0.536 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001464722405 1074539275 /nfs/dbraw/zinc/53/92/75/1074539275.db2.gz DSELSECFCOXMOW-XUWVNRHRSA-N 0 0 449.570 -0.536 20 0 IBADRN C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001464722410 1074539403 /nfs/dbraw/zinc/53/94/03/1074539403.db2.gz DSELSECFCOXMOW-ZJIFWQFVSA-N 0 0 449.570 -0.536 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001464727349 1074539246 /nfs/dbraw/zinc/53/92/46/1074539246.db2.gz NHIRZPKYXRJTOK-DLBZAZTESA-N 0 0 428.532 -0.150 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001464727433 1074539287 /nfs/dbraw/zinc/53/92/87/1074539287.db2.gz NHIRZPKYXRJTOK-IAGOWNOFSA-N 0 0 428.532 -0.150 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001464727437 1074539385 /nfs/dbraw/zinc/53/93/85/1074539385.db2.gz NHIRZPKYXRJTOK-IRXDYDNUSA-N 0 0 428.532 -0.150 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001464727443 1074539408 /nfs/dbraw/zinc/53/94/08/1074539408.db2.gz NHIRZPKYXRJTOK-SJORKVTESA-N 0 0 428.532 -0.150 20 0 IBADRN O=C(CNC(=O)c1cn(C[C@@H]2CNC(=O)O2)nn1)N1CCN(Cc2ccccc2)CC1 ZINC001464727807 1074539260 /nfs/dbraw/zinc/53/92/60/1074539260.db2.gz DQCZVSDDPRTPHN-INIZCTEOSA-N 0 0 427.465 -0.539 20 0 IBADRN O=C(CNC(=O)c1cn(C[C@H]2CNC(=O)O2)nn1)N1CCN(Cc2ccccc2)CC1 ZINC001464727852 1074539348 /nfs/dbraw/zinc/53/93/48/1074539348.db2.gz DQCZVSDDPRTPHN-MRXNPFEDSA-N 0 0 427.465 -0.539 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c(cc1Cl)NC(=O)CO2 ZINC001464728507 1074539418 /nfs/dbraw/zinc/53/94/18/1074539418.db2.gz POHWAZWIPKSDAP-JTQLQIEISA-N 0 0 438.867 -0.368 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc2c(cc1Cl)NC(=O)CO2 ZINC001464728509 1074539765 /nfs/dbraw/zinc/53/97/65/1074539765.db2.gz POHWAZWIPKSDAP-SNVBAGLBSA-N 0 0 438.867 -0.368 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)CCCN2C(C)=O ZINC001464728522 1074539681 /nfs/dbraw/zinc/53/96/81/1074539681.db2.gz PVEXFKUTDCPTQH-INIZCTEOSA-N 0 0 430.504 -0.054 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)CCCN2C(C)=O ZINC001464728526 1074539823 /nfs/dbraw/zinc/53/98/23/1074539823.db2.gz PVEXFKUTDCPTQH-MRXNPFEDSA-N 0 0 430.504 -0.054 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CC(=O)N(C)C[C@H]2C(=O)OC)ccc1OC ZINC001464729775 1074539726 /nfs/dbraw/zinc/53/97/26/1074539726.db2.gz JTDRLWZIDALKAV-AWEZNQCLSA-N 0 0 427.479 -0.274 20 0 IBADRN CCC(=O)NCc1cc(S(=O)(=O)N2CC(=O)N(C)C[C@@H]2C(=O)OC)ccc1OC ZINC001464729781 1074539704 /nfs/dbraw/zinc/53/97/04/1074539704.db2.gz JTDRLWZIDALKAV-CQSZACIVSA-N 0 0 427.479 -0.274 20 0 IBADRN CCOc1cccc(N2CCC(NS(=O)(=O)N3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC001464729958 1074539716 /nfs/dbraw/zinc/53/97/16/1074539716.db2.gz RAILUJPXJAVYDF-UHFFFAOYSA-N 0 0 447.583 -0.139 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccccc1S(=O)(=O)C(F)(F)F ZINC001464730655 1074539782 /nfs/dbraw/zinc/53/97/82/1074539782.db2.gz ONBPREDRGUSLON-SECBINFHSA-N 0 0 444.409 -0.016 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccccc1S(=O)(=O)C(F)(F)F ZINC001464730656 1074539732 /nfs/dbraw/zinc/53/97/32/1074539732.db2.gz ONBPREDRGUSLON-VIFPVBQESA-N 0 0 444.409 -0.016 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001464730687 1074539689 /nfs/dbraw/zinc/53/96/89/1074539689.db2.gz ORMBQMPWOXDTGZ-HNNXBMFYSA-N 0 0 425.463 -0.347 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)C1CN(C(=O)OCc2ccccc2)C1 ZINC001464730690 1074539772 /nfs/dbraw/zinc/53/97/72/1074539772.db2.gz ORMBQMPWOXDTGZ-OAHLLOKOSA-N 0 0 425.463 -0.347 20 0 IBADRN CN1CCn2nc(C(=O)NCC(=O)N3CCN(Cc4ccccc4)CC3)cc2S1(=O)=O ZINC001464732338 1074539623 /nfs/dbraw/zinc/53/96/23/1074539623.db2.gz XUORYOHSKGCKRM-UHFFFAOYSA-N 0 0 446.533 -0.409 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC001464733566 1074539698 /nfs/dbraw/zinc/53/96/98/1074539698.db2.gz WPZZEWXRKPOBEP-AWEZNQCLSA-N 0 0 429.882 -0.190 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)C1CN(C(=O)c2cccc(Cl)c2)C1 ZINC001464733571 1074539669 /nfs/dbraw/zinc/53/96/69/1074539669.db2.gz WPZZEWXRKPOBEP-CQSZACIVSA-N 0 0 429.882 -0.190 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)c1cnn(C)c1I ZINC001464733802 1074539832 /nfs/dbraw/zinc/53/98/32/1074539832.db2.gz YHUIKLFUKLLDDH-SSDOTTSWSA-N 0 0 442.235 -0.971 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)c1cnn(C)c1I ZINC001464733804 1074539741 /nfs/dbraw/zinc/53/97/41/1074539741.db2.gz YHUIKLFUKLLDDH-ZETCQYMHSA-N 0 0 442.235 -0.971 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1 ZINC001464738801 1074539792 /nfs/dbraw/zinc/53/97/92/1074539792.db2.gz DZJGPANQFDSSMI-GFCCVEGCSA-N 0 0 427.508 -0.010 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N[C@H]1CCN(c2cc(=O)[nH]cn2)C1 ZINC001464738832 1074539650 /nfs/dbraw/zinc/53/96/50/1074539650.db2.gz DZJGPANQFDSSMI-LBPRGKRZSA-N 0 0 427.508 -0.010 20 0 IBADRN CC(=O)N1CCN(CCOc2ccc(CCNC(=O)Cn3cnc(C(N)=O)n3)cc2)CC1 ZINC001464745393 1074540161 /nfs/dbraw/zinc/54/01/61/1074540161.db2.gz HBADRNRDXKJKLA-UHFFFAOYSA-N 0 0 443.508 -0.721 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NCCCNC(=O)c1ccc(Br)cc1 ZINC001464745873 1074540245 /nfs/dbraw/zinc/54/02/45/1074540245.db2.gz KYKBZBHDAZSCIG-LLVKDONJSA-N 0 0 438.286 -0.123 20 0 IBADRN CS(=O)(=O)CC1(C(=O)Nc2ccc(C(=O)NCCN3CCOCC3)cc2)COC1 ZINC001464754653 1074540146 /nfs/dbraw/zinc/54/01/46/1074540146.db2.gz NPUUPCGILLOKBJ-UHFFFAOYSA-N 0 0 425.507 -0.252 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)Nc1ccc(C(=O)NCCN2CCOCC2)cc1 ZINC001464755517 1074540253 /nfs/dbraw/zinc/54/02/53/1074540253.db2.gz VLFCFEHZWQFAFD-UHFFFAOYSA-N 0 0 427.527 -0.575 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)C1CN(C(=O)c3ccccc3)C1)C2 ZINC001464778702 1074540237 /nfs/dbraw/zinc/54/02/37/1074540237.db2.gz MJWJREIFSMAYGG-INIZCTEOSA-N 0 0 432.506 -0.287 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)C1CN(C(=O)c3ccccc3)C1)C2 ZINC001464778746 1074540192 /nfs/dbraw/zinc/54/01/92/1074540192.db2.gz MJWJREIFSMAYGG-MRXNPFEDSA-N 0 0 432.506 -0.287 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2Cc3nnc(C)n3C[C@H]2C(=O)N(C)C)CC1 ZINC001464781082 1074540229 /nfs/dbraw/zinc/54/02/29/1074540229.db2.gz SDHYQLAIVIJDHP-AWEZNQCLSA-N 0 0 428.515 -0.621 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2Cc3nnc(C)n3C[C@@H]2C(=O)N(C)C)CC1 ZINC001464781106 1074540140 /nfs/dbraw/zinc/54/01/40/1074540140.db2.gz SDHYQLAIVIJDHP-CQSZACIVSA-N 0 0 428.515 -0.621 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)N3CCS(=O)(=O)CC3)CS(=O)(=O)[C@@H]2C1 ZINC001464781443 1074540131 /nfs/dbraw/zinc/54/01/31/1074540131.db2.gz PYGGVISGRDHGLD-BFHYXJOUSA-N 0 0 436.552 -0.086 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)N1CCS(=O)(=O)CC1)CS2(=O)=O ZINC001464781446 1074540282 /nfs/dbraw/zinc/54/02/82/1074540282.db2.gz PYGGVISGRDHGLD-MCIONIFRSA-N 0 0 436.552 -0.086 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)N3CCS(=O)(=O)CC3)CS(=O)(=O)[C@@H]2C1 ZINC001464781448 1074540289 /nfs/dbraw/zinc/54/02/89/1074540289.db2.gz PYGGVISGRDHGLD-MGPQQGTHSA-N 0 0 436.552 -0.086 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)N1CCS(=O)(=O)CC1)CS2(=O)=O ZINC001464781449 1074540223 /nfs/dbraw/zinc/54/02/23/1074540223.db2.gz PYGGVISGRDHGLD-MJBXVCDLSA-N 0 0 436.552 -0.086 20 0 IBADRN COC(=O)c1ccc2c(c1)OCCN(S(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C2 ZINC001464782048 1074540275 /nfs/dbraw/zinc/54/02/75/1074540275.db2.gz LPQJPWDHFQMJFN-UHFFFAOYSA-N 0 0 433.508 -0.510 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)C[C@@H]1COC ZINC001464785775 1074540217 /nfs/dbraw/zinc/54/02/17/1074540217.db2.gz APEWRUXRWONHMF-CYBMUJFWSA-N 0 0 429.561 -0.177 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCN(C(=O)OC(C)(C)C)C[C@H]1COC ZINC001464785780 1074540154 /nfs/dbraw/zinc/54/01/54/1074540154.db2.gz APEWRUXRWONHMF-ZDUSSCGKSA-N 0 0 429.561 -0.177 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2cnn(C)c2I)CC(CO)C1 ZINC001464800254 1074540686 /nfs/dbraw/zinc/54/06/86/1074540686.db2.gz FXFZQFUGBGDXRC-UHFFFAOYSA-N 0 0 429.236 -0.383 20 0 IBADRN CC(C)(C)OC(=O)N1CCC2(CN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)C1 ZINC001464802351 1074540652 /nfs/dbraw/zinc/54/06/52/1074540652.db2.gz HSTMGQQMPJKEOS-UHFFFAOYSA-N 0 0 438.572 -0.249 20 0 IBADRN C[C@@H](NC(=O)c1cn(CCO)nc1C1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC001464806760 1074540751 /nfs/dbraw/zinc/54/07/51/1074540751.db2.gz BKUJFDCBGJFTAJ-CYBMUJFWSA-N 0 0 428.511 -0.855 20 0 IBADRN C[C@H](NC(=O)c1cn(CCO)nc1C1CCOCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC001464806763 1074540774 /nfs/dbraw/zinc/54/07/74/1074540774.db2.gz BKUJFDCBGJFTAJ-ZDUSSCGKSA-N 0 0 428.511 -0.855 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)NCCN2CCS(=O)(=O)CC2)C1=O ZINC001464807193 1074540767 /nfs/dbraw/zinc/54/07/67/1074540767.db2.gz KFSKOGMGLNQMOS-HNNXBMFYSA-N 0 0 446.570 -0.404 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)NCCN2CCS(=O)(=O)CC2)C1=O ZINC001464807692 1074540535 /nfs/dbraw/zinc/54/05/35/1074540535.db2.gz KFSKOGMGLNQMOS-OAHLLOKOSA-N 0 0 446.570 -0.404 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C[C@H]1C(=O)OC ZINC001464813613 1074540781 /nfs/dbraw/zinc/54/07/81/1074540781.db2.gz SDGIHHJQSKUBEA-GASCZTMLSA-N 0 0 446.503 -0.290 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C[C@@H]1C(=O)OC ZINC001464813620 1074540761 /nfs/dbraw/zinc/54/07/61/1074540761.db2.gz SDGIHHJQSKUBEA-GJZGRUSLSA-N 0 0 446.503 -0.290 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C[C@H]1C(=O)OC ZINC001464813626 1074540595 /nfs/dbraw/zinc/54/05/95/1074540595.db2.gz SDGIHHJQSKUBEA-HUUCEWRRSA-N 0 0 446.503 -0.290 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2ccccc2S(=O)(=O)C(F)(F)F)CC1 ZINC001464815567 1074540664 /nfs/dbraw/zinc/54/06/64/1074540664.db2.gz DZKUTCMBYXUONX-UHFFFAOYSA-N 0 0 432.384 -0.049 20 0 IBADRN C[C@@H](NC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CCO2)C(=O)N1CCS(=O)(=O)CC1 ZINC001464815634 1074540638 /nfs/dbraw/zinc/54/06/38/1074540638.db2.gz RYRZXZLPZPOCEK-BIENJYKASA-N 0 0 445.538 -0.226 20 0 IBADRN C[C@H](NC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CCO2)C(=O)N1CCS(=O)(=O)CC1 ZINC001464815635 1074540581 /nfs/dbraw/zinc/54/05/81/1074540581.db2.gz RYRZXZLPZPOCEK-CKFHNAJUSA-N 0 0 445.538 -0.226 20 0 IBADRN C[C@@H](NC(=O)CNC(=O)c1cnc(Cl)c(Cl)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC001464816757 1074540675 /nfs/dbraw/zinc/54/06/75/1074540675.db2.gz WDKSDOJPLHUPFY-SECBINFHSA-N 0 0 437.305 -0.120 20 0 IBADRN C[C@H](NC(=O)CNC(=O)c1cnc(Cl)c(Cl)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC001464816758 1074540708 /nfs/dbraw/zinc/54/07/08/1074540708.db2.gz WDKSDOJPLHUPFY-VIFPVBQESA-N 0 0 437.305 -0.120 20 0 IBADRN C[C@@H](NC(=O)Cc1ccccc1S(=O)(=O)C(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC001464817584 1074541085 /nfs/dbraw/zinc/54/10/85/1074541085.db2.gz WHNAWLYMBAQFSW-LLVKDONJSA-N 0 0 438.474 -0.013 20 0 IBADRN C[C@H](NC(=O)Cc1ccccc1S(=O)(=O)C(F)F)C(=O)N1CCS(=O)(=O)CC1 ZINC001464817587 1074541136 /nfs/dbraw/zinc/54/11/36/1074541136.db2.gz WHNAWLYMBAQFSW-NSHDSACASA-N 0 0 438.474 -0.013 20 0 IBADRN COCCn1cc(CNS(=O)(=O)c2ccc(OC)c(C(=O)N(C)C)c2)c(=O)[nH]c1=O ZINC001464818992 1074541235 /nfs/dbraw/zinc/54/12/35/1074541235.db2.gz KUESFIWYZIYTHC-UHFFFAOYSA-N 0 0 440.478 -0.216 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@H](CS(=O)(=O)N2CC3(C2)NC(=O)NC3=O)C1 ZINC001464821116 1074541028 /nfs/dbraw/zinc/54/10/28/1074541028.db2.gz YGEYGXOMYVIWGJ-AWEZNQCLSA-N 0 0 438.462 -0.752 20 0 IBADRN O=C(OCc1ccccc1)N1CCO[C@@H](CS(=O)(=O)N2CC3(C2)NC(=O)NC3=O)C1 ZINC001464821130 1074541110 /nfs/dbraw/zinc/54/11/10/1074541110.db2.gz YGEYGXOMYVIWGJ-CQSZACIVSA-N 0 0 438.462 -0.752 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)c2nscc2I)CC1 ZINC001464822279 1074541120 /nfs/dbraw/zinc/54/11/20/1074541120.db2.gz SAIHEQOOUDANNN-UHFFFAOYSA-N 0 0 433.235 -0.281 20 0 IBADRN NC(=O)CN(CC(N)=O)C(=O)c1cnn(-c2ccccc2)c1I ZINC001464824877 1074541169 /nfs/dbraw/zinc/54/11/69/1074541169.db2.gz GUFCZDLZRCASNV-UHFFFAOYSA-N 0 0 427.202 -0.110 20 0 IBADRN Cn1c2ccc(S(=O)(=O)N3CCOC4(CCS(=O)(=O)CC4)C3)cc2n(C)c1=O ZINC001464829742 1074541020 /nfs/dbraw/zinc/54/10/20/1074541020.db2.gz TUYPHMYGHMWPEU-UHFFFAOYSA-N 0 0 429.520 -0.155 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H](CO)[C@H](CCO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001464832272 1074541146 /nfs/dbraw/zinc/54/11/46/1074541146.db2.gz AURALFNMSOZPRK-CVEARBPZSA-N 0 0 448.563 -0.373 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](CCO)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001464832306 1074541208 /nfs/dbraw/zinc/54/12/08/1074541208.db2.gz AURALFNMSOZPRK-HOTGVXAUSA-N 0 0 448.563 -0.373 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](CO)[C@H](CCO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001464832309 1074541192 /nfs/dbraw/zinc/54/11/92/1074541192.db2.gz AURALFNMSOZPRK-HZPDHXFCSA-N 0 0 448.563 -0.373 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@H](CO)[C@@H](CCO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001464832312 1074541199 /nfs/dbraw/zinc/54/11/99/1074541199.db2.gz AURALFNMSOZPRK-JKSUJKDBSA-N 0 0 448.563 -0.373 20 0 IBADRN CN(CCS(=O)(=O)N1CCS(=O)(=O)CCO1)S(=O)(=O)c1ccc(F)cc1 ZINC001464834131 1074541181 /nfs/dbraw/zinc/54/11/81/1074541181.db2.gz GSZXZKZOGWAZTR-UHFFFAOYSA-N 0 0 430.501 -0.562 20 0 IBADRN COc1cc([C@@H](C)NS(=O)(=O)CC2CN(S(C)(=O)=O)C2)ccc1S(C)(=O)=O ZINC001464834421 1074541156 /nfs/dbraw/zinc/54/11/56/1074541156.db2.gz AJUJKLKQKSLZMA-LLVKDONJSA-N 0 0 440.565 -0.030 20 0 IBADRN COc1cc([C@H](C)NS(=O)(=O)CC2CN(S(C)(=O)=O)C2)ccc1S(C)(=O)=O ZINC001464834426 1074541006 /nfs/dbraw/zinc/54/10/06/1074541006.db2.gz AJUJKLKQKSLZMA-NSHDSACASA-N 0 0 440.565 -0.030 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CCS(=O)(=O)CCO2)c(OC)c1 ZINC001464835475 1074541041 /nfs/dbraw/zinc/54/10/41/1074541041.db2.gz JNZXJDWTPIOAQC-UHFFFAOYSA-N 0 0 428.510 -0.193 20 0 IBADRN C[C@@]1(C(=O)NCC(=O)NCCN2CCOCC2)C[C@H](O)CN1C(=O)OCc1ccccc1 ZINC001464836145 1074541096 /nfs/dbraw/zinc/54/10/96/1074541096.db2.gz MDQIUGWFEGGDRY-AVRDEDQJSA-N 0 0 448.520 -0.287 20 0 IBADRN C[C@@]1(C(=O)NCC(=O)NCCN2CCOCC2)C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC001464836151 1074541072 /nfs/dbraw/zinc/54/10/72/1074541072.db2.gz MDQIUGWFEGGDRY-GCJKJVERSA-N 0 0 448.520 -0.287 20 0 IBADRN C[C@]1(C(=O)NCC(=O)NCCN2CCOCC2)C[C@H](O)CN1C(=O)OCc1ccccc1 ZINC001464836154 1074541616 /nfs/dbraw/zinc/54/16/16/1074541616.db2.gz MDQIUGWFEGGDRY-PGRDOPGGSA-N 0 0 448.520 -0.287 20 0 IBADRN C[C@]1(C(=O)NCC(=O)NCCN2CCOCC2)C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC001464836157 1074541643 /nfs/dbraw/zinc/54/16/43/1074541643.db2.gz MDQIUGWFEGGDRY-XMSQKQJNSA-N 0 0 448.520 -0.287 20 0 IBADRN CC(C)(C)OC(=O)NC1CCN(CC(=O)NCC(=O)NCCN2CCOCC2)CC1 ZINC001464837512 1074541724 /nfs/dbraw/zinc/54/17/24/1074541724.db2.gz PMCBFLDMZICKEU-UHFFFAOYSA-N 0 0 427.546 -0.460 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)c1sccc1S(=O)(=O)N1CCC(CO)CC1 ZINC001464841775 1074541606 /nfs/dbraw/zinc/54/16/06/1074541606.db2.gz BDFDLJIPIPEHBS-UHFFFAOYSA-N 0 0 439.581 -0.190 20 0 IBADRN CC1=C(C)C(=O)N(CCC(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)C1=O ZINC001464843409 1074541735 /nfs/dbraw/zinc/54/17/35/1074541735.db2.gz HNUCOHVJWZLIEN-UHFFFAOYSA-N 0 0 433.553 -0.222 20 0 IBADRN CN(C(=O)[C@@H]1COCCN1CC(F)F)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001464843431 1074541693 /nfs/dbraw/zinc/54/16/93/1074541693.db2.gz HSCKFJXDRGJQRT-KRWDZBQOSA-N 0 0 431.528 -0.351 20 0 IBADRN CN(C(=O)[C@H]1COCCN1CC(F)F)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001464843433 1074541652 /nfs/dbraw/zinc/54/16/52/1074541652.db2.gz HSCKFJXDRGJQRT-QGZVFWFLSA-N 0 0 431.528 -0.351 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001464843704 1074541709 /nfs/dbraw/zinc/54/17/09/1074541709.db2.gz IGEZMIAPNMAAKZ-GOSISDBHSA-N 0 0 431.603 -0.743 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N(C)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001464843708 1074541792 /nfs/dbraw/zinc/54/17/92/1074541792.db2.gz IGEZMIAPNMAAKZ-SFHVURJKSA-N 0 0 431.603 -0.743 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)[C@@H]3CCCN(S(N)(=O)=O)C3)CC2)CC1 ZINC001464844193 1074541559 /nfs/dbraw/zinc/54/15/59/1074541559.db2.gz SNSAACQQDKSNRH-AQFXKWCLSA-N 0 0 429.587 -0.259 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)[C@H]3CCCN(S(N)(=O)=O)C3)CC2)CC1 ZINC001464844200 1074541749 /nfs/dbraw/zinc/54/17/49/1074541749.db2.gz SNSAACQQDKSNRH-CGZBRXJRSA-N 0 0 429.587 -0.259 20 0 IBADRN CN(C(=O)CCCCCS(N)(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001464846604 1074541778 /nfs/dbraw/zinc/54/17/78/1074541778.db2.gz SCFNEMHVTNHUFD-UHFFFAOYSA-N 0 0 431.603 -0.468 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCCS(=O)(=O)NCC2CCC2)[C@H]1c1nccn1C ZINC001464846926 1074541774 /nfs/dbraw/zinc/54/17/74/1074541774.db2.gz UGUPOAXMZKLEEU-HOTGVXAUSA-N 0 0 427.527 -0.456 20 0 IBADRN CN(C(=O)CN1CCCCS1(=O)=O)C1CCN(CC(=O)N2CCN(C)CC2)CC1 ZINC001464847975 1074541753 /nfs/dbraw/zinc/54/17/53/1074541753.db2.gz YOAZVPRZZQETLF-UHFFFAOYSA-N 0 0 429.587 -0.891 20 0 IBADRN CC1=C(C(=O)N(C)C2CCN(CC(=O)N3CCN(C)CC3)CC2)S(=O)(=O)CCO1 ZINC001464848222 1074541511 /nfs/dbraw/zinc/54/15/11/1074541511.db2.gz ZNGIJHVHBMGATE-UHFFFAOYSA-N 0 0 428.555 -0.640 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC001464855553 1074541575 /nfs/dbraw/zinc/54/15/75/1074541575.db2.gz AACUZIDQQICCBY-UHFFFAOYSA-N 0 0 434.424 -0.179 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CCO1 ZINC001464861594 1074542099 /nfs/dbraw/zinc/54/20/99/1074542099.db2.gz JNMNHXVQHYTGRH-FHSNZYRGSA-N 0 0 432.495 -0.332 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CCO1 ZINC001464861615 1074541996 /nfs/dbraw/zinc/54/19/96/1074541996.db2.gz JNMNHXVQHYTGRH-SNUQEOBHSA-N 0 0 432.495 -0.332 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)C(=O)CNc1ccc(S(N)(=O)=O)cc1F ZINC001464862489 1074542011 /nfs/dbraw/zinc/54/20/11/1074542011.db2.gz WCMVTJDNVYEWTD-UHFFFAOYSA-N 0 0 432.474 -0.781 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC001464864852 1074542090 /nfs/dbraw/zinc/54/20/90/1074542090.db2.gz YHUPSJOAPFAKSJ-INIZCTEOSA-N 0 0 428.463 -0.083 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)CCO1 ZINC001464864854 1074541979 /nfs/dbraw/zinc/54/19/79/1074541979.db2.gz YHUPSJOAPFAKSJ-MRXNPFEDSA-N 0 0 428.463 -0.083 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](CNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)[C@H](O)C1 ZINC001464872687 1074542116 /nfs/dbraw/zinc/54/21/16/1074542116.db2.gz MNLRGEHSBFFISV-HZSPNIEDSA-N 0 0 441.572 -0.585 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](CNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)[C@H](O)C1 ZINC001464872699 1074542175 /nfs/dbraw/zinc/54/21/75/1074542175.db2.gz MNLRGEHSBFFISV-MELADBBJSA-N 0 0 441.572 -0.585 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC001464872951 1074542182 /nfs/dbraw/zinc/54/21/82/1074542182.db2.gz CMVAYDXNRIDHHS-GFCCVEGCSA-N 0 0 434.492 -0.290 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NS(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC001464872958 1074542024 /nfs/dbraw/zinc/54/20/24/1074542024.db2.gz CMVAYDXNRIDHHS-LBPRGKRZSA-N 0 0 434.492 -0.290 20 0 IBADRN COCCN(CC(=O)N(C)C)C(=O)c1ccccc1S(=O)(=O)CCS(C)(=O)=O ZINC001464873921 1074541970 /nfs/dbraw/zinc/54/19/70/1074541970.db2.gz WDTLAQHNJPPVFD-UHFFFAOYSA-N 0 0 434.536 -0.318 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(Cc4ccc(C(N)=O)cc4)CC3)cc2S1(=O)=O ZINC001464875168 1074542165 /nfs/dbraw/zinc/54/21/65/1074542165.db2.gz UOAWDVASZZPQRS-UHFFFAOYSA-N 0 0 432.506 -0.426 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NCc2ccc(F)nc2Br)CC1 ZINC001464876075 1074542053 /nfs/dbraw/zinc/54/20/53/1074542053.db2.gz CMWJIYMBXCNNQI-UHFFFAOYSA-N 0 0 431.309 -0.105 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCO[C@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001464876552 1074542070 /nfs/dbraw/zinc/54/20/70/1074542070.db2.gz WIWNEJZFKOKBBQ-INIZCTEOSA-N 0 0 441.572 -0.033 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCO[C@@]2(CCN(C(=O)OC(C)(C)C)C2)C1 ZINC001464876553 1074542106 /nfs/dbraw/zinc/54/21/06/1074542106.db2.gz WIWNEJZFKOKBBQ-MRXNPFEDSA-N 0 0 441.572 -0.033 20 0 IBADRN O=S(=O)(NCCN1CCNC1=S)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001464877276 1074542124 /nfs/dbraw/zinc/54/21/24/1074542124.db2.gz YDUBLBOHSHATLG-UHFFFAOYSA-N 0 0 434.565 -0.824 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001464877763 1074542080 /nfs/dbraw/zinc/54/20/80/1074542080.db2.gz WMKIVCGAGIKGLX-AWEZNQCLSA-N 0 0 434.492 -0.191 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NS(=O)(=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001464877768 1074542133 /nfs/dbraw/zinc/54/21/33/1074542133.db2.gz WMKIVCGAGIKGLX-CQSZACIVSA-N 0 0 434.492 -0.191 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC001464878744 1074542037 /nfs/dbraw/zinc/54/20/37/1074542037.db2.gz ZITVEYUOAARGIR-LLVKDONJSA-N 0 0 426.444 -0.220 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F ZINC001464878747 1074541949 /nfs/dbraw/zinc/54/19/49/1074541949.db2.gz ZITVEYUOAARGIR-NSHDSACASA-N 0 0 426.444 -0.220 20 0 IBADRN CN1CCN(C(=O)CCNC(=O)c2cccc(S(=O)(=O)N=S(C)(C)=O)c2)CC1 ZINC001464892764 1074542611 /nfs/dbraw/zinc/54/26/11/1074542611.db2.gz KDYDVCUHLMROAW-UHFFFAOYSA-N 0 0 430.552 -0.003 20 0 IBADRN Cn1nc(C2CC2)nc1CC(=O)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001464898939 1074542514 /nfs/dbraw/zinc/54/25/14/1074542514.db2.gz CTNOUOSSCXCLRF-INIZCTEOSA-N 0 0 425.555 -0.027 20 0 IBADRN Cn1nc(C2CC2)nc1CC(=O)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001464898942 1074542634 /nfs/dbraw/zinc/54/26/34/1074542634.db2.gz CTNOUOSSCXCLRF-MRXNPFEDSA-N 0 0 425.555 -0.027 20 0 IBADRN Cc1cc(C)n(CC(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)c(=O)n1 ZINC001464900210 1074542535 /nfs/dbraw/zinc/54/25/35/1074542535.db2.gz IVFVUVSUDYBMSY-KRWDZBQOSA-N 0 0 426.539 -0.402 20 0 IBADRN Cc1cc(C)n(CC(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)c(=O)n1 ZINC001464900215 1074542653 /nfs/dbraw/zinc/54/26/53/1074542653.db2.gz IVFVUVSUDYBMSY-QGZVFWFLSA-N 0 0 426.539 -0.402 20 0 IBADRN O=C([C@H]1CCc2nncn2CC1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001464901820 1074542544 /nfs/dbraw/zinc/54/25/44/1074542544.db2.gz OZEBIXQVFCRCAQ-DLBZAZTESA-N 0 0 425.555 -0.031 20 0 IBADRN O=C([C@@H]1CCc2nncn2CC1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001464901832 1074542681 /nfs/dbraw/zinc/54/26/81/1074542681.db2.gz OZEBIXQVFCRCAQ-IAGOWNOFSA-N 0 0 425.555 -0.031 20 0 IBADRN O=C([C@H]1CCc2nncn2CC1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001464901845 1074542641 /nfs/dbraw/zinc/54/26/41/1074542641.db2.gz OZEBIXQVFCRCAQ-IRXDYDNUSA-N 0 0 425.555 -0.031 20 0 IBADRN O=C([C@@H]1CCc2nncn2CC1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001464901956 1074542590 /nfs/dbraw/zinc/54/25/90/1074542590.db2.gz OZEBIXQVFCRCAQ-SJORKVTESA-N 0 0 425.555 -0.031 20 0 IBADRN O=C([C@@H]1CCC2N=NC(=O)N2C1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001464902225 1074542505 /nfs/dbraw/zinc/54/25/05/1074542505.db2.gz PWEPZRYXHVCBTA-CABCVRRESA-N 0 0 427.527 -0.716 20 0 IBADRN O=C([C@H]1CCC2N=NC(=O)N2C1)N(CCCN1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001464902231 1074542684 /nfs/dbraw/zinc/54/26/84/1074542684.db2.gz PWEPZRYXHVCBTA-GJZGRUSLSA-N 0 0 427.527 -0.716 20 0 IBADRN O=C([C@@H]1CCC2N=NC(=O)N2C1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001464902236 1074542689 /nfs/dbraw/zinc/54/26/89/1074542689.db2.gz PWEPZRYXHVCBTA-HUUCEWRRSA-N 0 0 427.527 -0.716 20 0 IBADRN O=C([C@H]1CCC2N=NC(=O)N2C1)N(CCCN1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001464902242 1074542647 /nfs/dbraw/zinc/54/26/47/1074542647.db2.gz PWEPZRYXHVCBTA-LSDHHAIUSA-N 0 0 427.527 -0.716 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N(CCCN2CCOCC2)[C@H]2CCS(=O)(=O)C2)cn1 ZINC001464903917 1074542626 /nfs/dbraw/zinc/54/26/26/1074542626.db2.gz YCYGVSUHUUSQQW-INIZCTEOSA-N 0 0 445.563 -0.163 20 0 IBADRN CS(=O)(=O)c1ccc(C(=O)N(CCCN2CCOCC2)[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001464903918 1074542596 /nfs/dbraw/zinc/54/25/96/1074542596.db2.gz YCYGVSUHUUSQQW-MRXNPFEDSA-N 0 0 445.563 -0.163 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(C(=O)N3CCN(C)CC3)CC2)cc1OC ZINC001464906136 1074542662 /nfs/dbraw/zinc/54/26/62/1074542662.db2.gz HQTOIZKQLWSQNE-UHFFFAOYSA-N 0 0 433.509 -0.055 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2=O)cn1 ZINC001464906935 1074543159 /nfs/dbraw/zinc/54/31/59/1074543159.db2.gz VIGHSYCRWMGGDW-HNNXBMFYSA-N 0 0 441.554 -0.492 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)NC3CCN(CCS(C)(=O)=O)CC3)CC2=O)cn1 ZINC001464906938 1074542947 /nfs/dbraw/zinc/54/29/47/1074542947.db2.gz VIGHSYCRWMGGDW-OAHLLOKOSA-N 0 0 441.554 -0.492 20 0 IBADRN CN1CCN(C(=O)N2CCN(C(=O)CCOC(=O)CNC(=O)C(C)(C)C)CC2)CC1 ZINC001464908996 1074543076 /nfs/dbraw/zinc/54/30/76/1074543076.db2.gz OEONGVZGYPBJFS-UHFFFAOYSA-N 0 0 425.530 -0.406 20 0 IBADRN CC(=O)NC[C@H]1CCn2ncc(C(=O)N3CCN(C(=O)N4CCN(C)CC4)CC3)c2C1 ZINC001464913846 1074543033 /nfs/dbraw/zinc/54/30/33/1074543033.db2.gz ZKGVAOJLLOOJEE-KRWDZBQOSA-N 0 0 431.541 -0.293 20 0 IBADRN CC(=O)NC[C@@H]1CCn2ncc(C(=O)N3CCN(C(=O)N4CCN(C)CC4)CC3)c2C1 ZINC001464913853 1074543139 /nfs/dbraw/zinc/54/31/39/1074543139.db2.gz ZKGVAOJLLOOJEE-QGZVFWFLSA-N 0 0 431.541 -0.293 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)C2(CNC(=O)OC(C)(C)C)CC(O)C2)CC1 ZINC001464927355 1074542939 /nfs/dbraw/zinc/54/29/39/1074542939.db2.gz AXRRSPGCCOKNTB-UHFFFAOYSA-N 0 0 426.514 -0.151 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCC[C@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC001464929612 1074543023 /nfs/dbraw/zinc/54/30/23/1074543023.db2.gz CVPKRCZNSIAYRJ-JSGCOSHPSA-N 0 0 433.483 -0.992 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)CC(=O)N1)N1CCC[C@@H](NS(=O)(=O)c2cccc(F)c2)C1 ZINC001464929619 1074543132 /nfs/dbraw/zinc/54/31/32/1074543132.db2.gz CVPKRCZNSIAYRJ-OCCSQVGLSA-N 0 0 433.483 -0.992 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2cnnn2C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001464930312 1074542908 /nfs/dbraw/zinc/54/29/08/1074542908.db2.gz OBEGECNYHHMVEW-UHFFFAOYSA-N 0 0 449.512 -0.120 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)c2nn(C)cc2I)CC1 ZINC001464931195 1074542969 /nfs/dbraw/zinc/54/29/69/1074542969.db2.gz SUWVUTGYZKRHAD-UHFFFAOYSA-N 0 0 433.250 -0.165 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Cc2csc([C@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001464931328 1074542915 /nfs/dbraw/zinc/54/29/15/1074542915.db2.gz UDFJYIPARXQZBD-AWEZNQCLSA-N 0 0 442.563 -0.215 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Cc2csc([C@@H]3CCS(=O)(=O)C3)n2)CC1 ZINC001464931331 1074543114 /nfs/dbraw/zinc/54/31/14/1074543114.db2.gz UDFJYIPARXQZBD-CQSZACIVSA-N 0 0 442.563 -0.215 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Cc2csc(S[C@H](C)C(N)=O)n2)CC1 ZINC001464931423 1074542998 /nfs/dbraw/zinc/54/29/98/1074542998.db2.gz UQLBMADNZWOXLD-LLVKDONJSA-N 0 0 427.552 -0.152 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)Cc2csc(S[C@@H](C)C(N)=O)n2)CC1 ZINC001464931424 1074542988 /nfs/dbraw/zinc/54/29/88/1074542988.db2.gz UQLBMADNZWOXLD-NSHDSACASA-N 0 0 427.552 -0.152 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@@H]2CN(C(=O)OC(C)(C)C)CCN2C)CC1 ZINC001464933331 1074543121 /nfs/dbraw/zinc/54/31/21/1074543121.db2.gz ZTLXYYCJGAGTMG-INIZCTEOSA-N 0 0 425.530 -0.266 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)[C@H]2CN(C(=O)OC(C)(C)C)CCN2C)CC1 ZINC001464933334 1074542978 /nfs/dbraw/zinc/54/29/78/1074542978.db2.gz ZTLXYYCJGAGTMG-MRXNPFEDSA-N 0 0 425.530 -0.266 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)c2ccc(S(C)(=O)=O)cc2N)CC1 ZINC001464935684 1074543578 /nfs/dbraw/zinc/54/35/78/1074543578.db2.gz HACWKQITDPARCG-AWEZNQCLSA-N 0 0 426.539 -0.029 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)c2ccc(S(C)(=O)=O)cc2N)CC1 ZINC001464935689 1074543665 /nfs/dbraw/zinc/54/36/65/1074543665.db2.gz HACWKQITDPARCG-CQSZACIVSA-N 0 0 426.539 -0.029 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)C3CN(C)CC[N@H+](C)C3)ncnc1-2 ZINC001464937695 1074543531 /nfs/dbraw/zinc/54/35/31/1074543531.db2.gz OIQTXYJISPYUDZ-UHFFFAOYSA-N 0 0 425.335 -0.479 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CS(=O)(=O)C[C@H]2C(F)(F)F)CC1 ZINC001464937980 1074543607 /nfs/dbraw/zinc/54/36/07/1074543607.db2.gz LAECYMDIXQDYLM-BFHYXJOUSA-N 0 0 443.488 -0.105 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H]2CS(=O)(=O)C[C@@H]2C(F)(F)F)CC1 ZINC001464937989 1074543482 /nfs/dbraw/zinc/54/34/82/1074543482.db2.gz LAECYMDIXQDYLM-IHRRRGAJSA-N 0 0 443.488 -0.105 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@H]2CS(=O)(=O)C[C@H]2C(F)(F)F)CC1 ZINC001464938000 1074543616 /nfs/dbraw/zinc/54/36/16/1074543616.db2.gz LAECYMDIXQDYLM-MELADBBJSA-N 0 0 443.488 -0.105 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)[C@@H]2CS(=O)(=O)C[C@@H]2C(F)(F)F)CC1 ZINC001464938008 1074543625 /nfs/dbraw/zinc/54/36/25/1074543625.db2.gz LAECYMDIXQDYLM-MJBXVCDLSA-N 0 0 443.488 -0.105 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)C(C)(C)NC(=O)C(C)(C)NC(C)=O)CC1 ZINC001464940460 1074543568 /nfs/dbraw/zinc/54/35/68/1074543568.db2.gz SVOZTHFJTZSGMF-HNNXBMFYSA-N 0 0 441.573 -0.519 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)C(C)(C)NC(=O)C(C)(C)NC(C)=O)CC1 ZINC001464940473 1074543513 /nfs/dbraw/zinc/54/35/13/1074543513.db2.gz SVOZTHFJTZSGMF-OAHLLOKOSA-N 0 0 441.573 -0.519 20 0 IBADRN COc1ccc(C(=O)NCCN2CCN(C(=O)Cn3nc4n(c3=O)CCCC4)CC2)cc1 ZINC001464945805 1074543414 /nfs/dbraw/zinc/54/34/14/1074543414.db2.gz JERVNYUTIDGCKE-UHFFFAOYSA-N 0 0 442.520 -0.036 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)[C@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001464951575 1074543400 /nfs/dbraw/zinc/54/34/00/1074543400.db2.gz IGVGVNFHKMFZKQ-DLBZAZTESA-N 0 0 425.493 -0.171 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)[C@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001464951582 1074543504 /nfs/dbraw/zinc/54/35/04/1074543504.db2.gz IGVGVNFHKMFZKQ-IAGOWNOFSA-N 0 0 425.493 -0.171 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)[C@@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001464951588 1074543560 /nfs/dbraw/zinc/54/35/60/1074543560.db2.gz IGVGVNFHKMFZKQ-IRXDYDNUSA-N 0 0 425.493 -0.171 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNC(=O)[C@@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001464951598 1074543547 /nfs/dbraw/zinc/54/35/47/1074543547.db2.gz IGVGVNFHKMFZKQ-SJORKVTESA-N 0 0 425.493 -0.171 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001464953310 1074543439 /nfs/dbraw/zinc/54/34/39/1074543439.db2.gz OUZJXZRYMCSXHA-HNNXBMFYSA-N 0 0 447.565 -0.105 20 0 IBADRN O=C(CCN1C(=O)CCNC1=S)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001464953315 1074543421 /nfs/dbraw/zinc/54/34/21/1074543421.db2.gz OUZJXZRYMCSXHA-OAHLLOKOSA-N 0 0 447.565 -0.105 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCC(CC(=O)N3CCN(C)CC3)CC1)CS(=O)(=O)CC2 ZINC001464960031 1074544040 /nfs/dbraw/zinc/54/40/40/1074544040.db2.gz VSSWTQUHMMOLOI-UHFFFAOYSA-N 0 0 437.566 -0.093 20 0 IBADRN COCCN(C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC001464963254 1074543962 /nfs/dbraw/zinc/54/39/62/1074543962.db2.gz TWFJJJJCOQJNSH-DOMZBBRYSA-N 0 0 429.470 -0.538 20 0 IBADRN COCCN(C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1F)[C@@H]1CCS(=O)(=O)C1 ZINC001464963260 1074543912 /nfs/dbraw/zinc/54/39/12/1074543912.db2.gz TWFJJJJCOQJNSH-IUODEOHRSA-N 0 0 429.470 -0.538 20 0 IBADRN COCCN(C(=O)[C@@H](CC(N)=O)NC(=O)c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC001464963267 1074543981 /nfs/dbraw/zinc/54/39/81/1074543981.db2.gz TWFJJJJCOQJNSH-SWLSCSKDSA-N 0 0 429.470 -0.538 20 0 IBADRN COCCN(C(=O)[C@H](CC(N)=O)NC(=O)c1ccccc1F)[C@H]1CCS(=O)(=O)C1 ZINC001464963273 1074543953 /nfs/dbraw/zinc/54/39/53/1074543953.db2.gz TWFJJJJCOQJNSH-WFASDCNBSA-N 0 0 429.470 -0.538 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)Nc2ccc(S(=O)(=O)N(C)OC)cc2)CC1 ZINC001464966546 1074544007 /nfs/dbraw/zinc/54/40/07/1074544007.db2.gz NGPUDBFMOHUPLY-UHFFFAOYSA-N 0 0 435.528 -0.081 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(NC(=O)CN3CCOCC3)cc2)CC1 ZINC001464974537 1074544017 /nfs/dbraw/zinc/54/40/17/1074544017.db2.gz ZMCKENVEYPPYCH-UHFFFAOYSA-N 0 0 440.526 -0.429 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)NCCNS(C)(=O)=O)CS(=O)(=O)[C@@H]2C1 ZINC001464979790 1074543898 /nfs/dbraw/zinc/54/38/98/1074543898.db2.gz LIKXYPHHGAETSY-JHJVBQTASA-N 0 0 439.556 -0.678 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)NCCNS(C)(=O)=O)CS2(=O)=O ZINC001464979795 1074543940 /nfs/dbraw/zinc/54/39/40/1074543940.db2.gz LIKXYPHHGAETSY-UPJWGTAASA-N 0 0 439.556 -0.678 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)NCCNS(C)(=O)=O)CS2(=O)=O ZINC001464979800 1074543922 /nfs/dbraw/zinc/54/39/22/1074543922.db2.gz LIKXYPHHGAETSY-XQQFMLRXSA-N 0 0 439.556 -0.678 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)NCCNS(C)(=O)=O)CS(=O)(=O)[C@@H]2C1 ZINC001464979806 1074544060 /nfs/dbraw/zinc/54/40/60/1074544060.db2.gz LIKXYPHHGAETSY-YNEHKIRRSA-N 0 0 439.556 -0.678 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@@H](C2N=NC(=S)N2C)C1 ZINC001464979832 1074543946 /nfs/dbraw/zinc/54/39/46/1074543946.db2.gz LSFINYIDKJRTEC-GFCCVEGCSA-N 0 0 432.572 -0.356 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCC[C@H](C2N=NC(=S)N2C)C1 ZINC001464979835 1074543907 /nfs/dbraw/zinc/54/39/07/1074543907.db2.gz LSFINYIDKJRTEC-LBPRGKRZSA-N 0 0 432.572 -0.356 20 0 IBADRN COc1ccc(NC(=O)NCCN2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001464990734 1074543992 /nfs/dbraw/zinc/54/39/92/1074543992.db2.gz PMPYUZXUOXBGCA-UHFFFAOYSA-N 0 0 427.483 -0.137 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC001464999559 1074544025 /nfs/dbraw/zinc/54/40/25/1074544025.db2.gz SVOSBWOHBSNKQV-HNNXBMFYSA-N 0 0 433.575 -0.087 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC001464999561 1074544033 /nfs/dbraw/zinc/54/40/33/1074544033.db2.gz SVOSBWOHBSNKQV-OAHLLOKOSA-N 0 0 433.575 -0.087 20 0 IBADRN CCN(C1CCN(C(=O)c2nc(S(N)(=O)=O)c3ccccn32)CC1)S(C)(=O)=O ZINC001465012333 1074543928 /nfs/dbraw/zinc/54/39/28/1074543928.db2.gz NVHYKNOLHQMRNE-UHFFFAOYSA-N 0 0 429.524 -0.132 20 0 IBADRN NC(=O)CN1CCN(C(=O)Nc2ccc(N3CCOCC3)cc2N2CCOCC2)CC1=O ZINC001465016932 1074544442 /nfs/dbraw/zinc/54/44/42/1074544442.db2.gz AWOGRBCXBUYWAU-UHFFFAOYSA-N 0 0 446.508 -0.479 20 0 IBADRN O=C(Nc1ccc(N2CCOCC2)cc1N1CCOCC1)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001465024396 1074544388 /nfs/dbraw/zinc/54/43/88/1074544388.db2.gz SJIGBFSTAONDTC-SJLPKXTDSA-N 0 0 440.522 -0.361 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)Nc1ccc(N2CCOCC2)cc1N1CCOCC1 ZINC001465025715 1074544329 /nfs/dbraw/zinc/54/43/29/1074544329.db2.gz WKLRQMXHOGTUTQ-UHFFFAOYSA-N 0 0 435.481 -0.230 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001465033647 1074544374 /nfs/dbraw/zinc/54/43/74/1074544374.db2.gz VJPIKKHTRKXFLW-LLVKDONJSA-N 0 0 430.449 -0.558 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N(C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001465033653 1074544400 /nfs/dbraw/zinc/54/44/00/1074544400.db2.gz VJPIKKHTRKXFLW-NSHDSACASA-N 0 0 430.449 -0.558 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@@H](NS(C)(=O)=O)C1 ZINC001465052881 1074544536 /nfs/dbraw/zinc/54/45/36/1074544536.db2.gz FWXCHXKAIJSVOW-NEPJUHHUSA-N 0 0 427.545 -0.536 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@H](NS(C)(=O)=O)C1 ZINC001465052882 1074544510 /nfs/dbraw/zinc/54/45/10/1074544510.db2.gz FWXCHXKAIJSVOW-RYUDHWBXSA-N 0 0 427.545 -0.536 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001465053284 1074544490 /nfs/dbraw/zinc/54/44/90/1074544490.db2.gz PORGBCXTUQUAFH-AAEUAGOBSA-N 0 0 425.916 -0.871 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001465053285 1074544318 /nfs/dbraw/zinc/54/43/18/1074544318.db2.gz PORGBCXTUQUAFH-DGCLKSJQSA-N 0 0 425.916 -0.871 20 0 IBADRN CS(=O)(=O)N[C@H]1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001465053286 1074544503 /nfs/dbraw/zinc/54/45/03/1074544503.db2.gz PORGBCXTUQUAFH-WCQYABFASA-N 0 0 425.916 -0.871 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001465053287 1074544472 /nfs/dbraw/zinc/54/44/72/1074544472.db2.gz PORGBCXTUQUAFH-YPMHNXCESA-N 0 0 425.916 -0.871 20 0 IBADRN Cc1cc(NC(=O)NC[C@]23COCCN2C(=O)COC3)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001465066361 1074544494 /nfs/dbraw/zinc/54/44/94/1074544494.db2.gz MWVMUPZDEPOSBO-CXAGYDPISA-N 0 0 427.483 -0.700 20 0 IBADRN Cc1cc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)n([C@@H]2CCS(=O)(=O)C2)n1 ZINC001465066362 1074544453 /nfs/dbraw/zinc/54/44/53/1074544453.db2.gz MWVMUPZDEPOSBO-DYVFJYSZSA-N 0 0 427.483 -0.700 20 0 IBADRN Cc1cc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001465066363 1074544482 /nfs/dbraw/zinc/54/44/82/1074544482.db2.gz MWVMUPZDEPOSBO-GUYCJALGSA-N 0 0 427.483 -0.700 20 0 IBADRN Cc1cc(NC(=O)NC[C@]23COCCN2C(=O)COC3)n([C@H]2CCS(=O)(=O)C2)n1 ZINC001465066364 1074544363 /nfs/dbraw/zinc/54/43/63/1074544363.db2.gz MWVMUPZDEPOSBO-SUMWQHHRSA-N 0 0 427.483 -0.700 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001465084895 1074544527 /nfs/dbraw/zinc/54/45/27/1074544527.db2.gz YOGAKMXHBIBBAL-KBPBESRZSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001465084896 1074544305 /nfs/dbraw/zinc/54/43/05/1074544305.db2.gz YOGAKMXHBIBBAL-KGLIPLIRSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001465084897 1074544461 /nfs/dbraw/zinc/54/44/61/1074544461.db2.gz YOGAKMXHBIBBAL-UONOGXRCSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001465084898 1074544963 /nfs/dbraw/zinc/54/49/63/1074544963.db2.gz YOGAKMXHBIBBAL-ZIAGYGMSSA-N 0 0 439.943 -0.481 20 0 IBADRN CNS(=O)(=O)NCC(=O)N1CCN(C(=O)COc2ccc(Br)cc2)CC1 ZINC001465085892 1074544876 /nfs/dbraw/zinc/54/48/76/1074544876.db2.gz NJVIPXPKSXETQS-UHFFFAOYSA-N 0 0 449.327 -0.448 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(C[C@H](O)CO)c2)CC1)N1CCCc2ccccc21 ZINC001465086161 1074544837 /nfs/dbraw/zinc/54/48/37/1074544837.db2.gz YCCLJZCPBBOGSU-IBGZPJMESA-N 0 0 427.505 -0.027 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(C[C@@H](O)CO)c2)CC1)N1CCCc2ccccc21 ZINC001465086162 1074544903 /nfs/dbraw/zinc/54/49/03/1074544903.db2.gz YCCLJZCPBBOGSU-LJQANCHMSA-N 0 0 427.505 -0.027 20 0 IBADRN Cn1cc([C@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC001465094445 1074544994 /nfs/dbraw/zinc/54/49/94/1074544994.db2.gz GVUNVCAENZBOFS-KRWDZBQOSA-N 0 0 435.462 -0.683 20 0 IBADRN Cn1cc([C@@H](O)C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cn1 ZINC001465094446 1074544831 /nfs/dbraw/zinc/54/48/31/1074544831.db2.gz GVUNVCAENZBOFS-QGZVFWFLSA-N 0 0 435.462 -0.683 20 0 IBADRN Cn1cnc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)cc1=O ZINC001465094486 1074544821 /nfs/dbraw/zinc/54/48/21/1074544821.db2.gz IJWKTAZQLCXQGY-UHFFFAOYSA-N 0 0 433.446 -0.742 20 0 IBADRN O=C(c1cnn(CCO)c1)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001465094802 1074544925 /nfs/dbraw/zinc/54/49/25/1074544925.db2.gz WSSKMKFFPWHVGK-UHFFFAOYSA-N 0 0 435.462 -0.647 20 0 IBADRN COCn1ccc(C(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC001465094811 1074544869 /nfs/dbraw/zinc/54/48/69/1074544869.db2.gz XEHLOCNJIHJIID-UHFFFAOYSA-N 0 0 435.462 -0.035 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCN(C)[C@@H](C)C2)c(=O)[nH]c1=O ZINC001465103015 1074544910 /nfs/dbraw/zinc/54/49/10/1074544910.db2.gz YCSLLMQJGBZJMR-AWEZNQCLSA-N 0 0 438.529 -0.137 20 0 IBADRN CCCn1c(N)c(N(CCOC)C(=O)CCC(=O)N2CCN(C)[C@H](C)C2)c(=O)[nH]c1=O ZINC001465103016 1074544883 /nfs/dbraw/zinc/54/48/83/1074544883.db2.gz YCSLLMQJGBZJMR-CQSZACIVSA-N 0 0 438.529 -0.137 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCC3CCN(C(=O)C(F)(F)F)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001465129505 1074544978 /nfs/dbraw/zinc/54/49/78/1074544978.db2.gz PZDOPGIBHZGXQW-MGPQQGTHSA-N 0 0 431.415 -0.173 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(F)cc1)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC001465150195 1074544846 /nfs/dbraw/zinc/54/48/46/1074544846.db2.gz YXWIIXGATTTWHP-UHFFFAOYSA-N 0 0 425.442 -0.202 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC001465170158 1074544953 /nfs/dbraw/zinc/54/49/53/1074544953.db2.gz GOBAYUUTHZZMGE-UHFFFAOYSA-N 0 0 439.542 -0.570 20 0 IBADRN CC(C)[C@@H](NS(=O)(=O)C1CC1)C(=O)N1CCN(CC(=O)Nc2nncs2)CC1 ZINC001465170782 1074544933 /nfs/dbraw/zinc/54/49/33/1074544933.db2.gz XFUDPDQDTJUOAQ-CQSZACIVSA-N 0 0 430.556 -0.273 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(F)cc1)NCCS(=O)(=O)NC[C@@H]1CCCCO1 ZINC001465196783 1074544865 /nfs/dbraw/zinc/54/48/65/1074544865.db2.gz CYMFKUYCLSOEBV-AWEZNQCLSA-N 0 0 437.515 -0.291 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(F)cc1)NCCS(=O)(=O)NC[C@H]1CCCCO1 ZINC001465196784 1074544852 /nfs/dbraw/zinc/54/48/52/1074544852.db2.gz CYMFKUYCLSOEBV-CQSZACIVSA-N 0 0 437.515 -0.291 20 0 IBADRN O=C(N[C@@H](CO)C(=O)NCCS(=O)(=O)NC[C@H]1CCCCO1)c1ccc(F)cc1 ZINC001465197401 1074544985 /nfs/dbraw/zinc/54/49/85/1074544985.db2.gz RPCDEKBGKVMAQY-CVEARBPZSA-N 0 0 431.486 -0.479 20 0 IBADRN O=C(N[C@@H](CO)C(=O)NCCS(=O)(=O)NC[C@@H]1CCCCO1)c1ccc(F)cc1 ZINC001465197402 1074544969 /nfs/dbraw/zinc/54/49/69/1074544969.db2.gz RPCDEKBGKVMAQY-HOTGVXAUSA-N 0 0 431.486 -0.479 20 0 IBADRN CN(CC(=O)N1CCOCC1)C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001465200468 1074544944 /nfs/dbraw/zinc/54/49/44/1074544944.db2.gz IEQMGDLVWNWYBU-UHFFFAOYSA-N 0 0 441.506 -0.167 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(CC(=O)N3CCOCC3)cc2)CC1 ZINC001465216548 1074545362 /nfs/dbraw/zinc/54/53/62/1074545362.db2.gz AGNIVZXUBRADOU-UHFFFAOYSA-N 0 0 425.511 -0.298 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)cc1 ZINC001465217038 1074545354 /nfs/dbraw/zinc/54/53/54/1074545354.db2.gz RJHLJCDPMVOLAP-HNNXBMFYSA-N 0 0 427.479 -0.204 20 0 IBADRN COC(=O)CNC(=O)Cc1ccc(NC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)cc1 ZINC001465217039 1074545235 /nfs/dbraw/zinc/54/52/35/1074545235.db2.gz RJHLJCDPMVOLAP-OAHLLOKOSA-N 0 0 427.479 -0.204 20 0 IBADRN CS(=O)(=O)CCOCCNC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001465232997 1074545259 /nfs/dbraw/zinc/54/52/59/1074545259.db2.gz XIDYOBYDNZSEIL-UHFFFAOYSA-N 0 0 434.536 -0.377 20 0 IBADRN CS(=O)(=O)CC1(C(=O)N2CCN(C(=O)c3cccc(S(C)(=O)=O)c3)CC2)COC1 ZINC001465236918 1074545251 /nfs/dbraw/zinc/54/52/51/1074545251.db2.gz FDLLRBSWHKOKNC-UHFFFAOYSA-N 0 0 444.531 -0.564 20 0 IBADRN CS(=O)(=O)c1cccc(C(=O)N2CCN(C(=O)c3cn([C@@H]4CC[C@H]4O)nn3)CC2)c1 ZINC001465236988 1074545343 /nfs/dbraw/zinc/54/53/43/1074545343.db2.gz HHJGPCWLMYHETG-IAGOWNOFSA-N 0 0 433.490 -0.024 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)[C@@H]3CN(C)C(=O)N3)CC2)cc1OC ZINC001465299184 1074545684 /nfs/dbraw/zinc/54/56/84/1074545684.db2.gz FLOCVDXXOKWOME-AWEZNQCLSA-N 0 0 426.495 -0.003 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)[C@H]3CN(C)C(=O)N3)CC2)cc1OC ZINC001465299185 1074545690 /nfs/dbraw/zinc/54/56/90/1074545690.db2.gz FLOCVDXXOKWOME-CQSZACIVSA-N 0 0 426.495 -0.003 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N[C@@H](Cc1ccccc1)CN1CCOCC1 ZINC001465307763 1074545677 /nfs/dbraw/zinc/54/56/77/1074545677.db2.gz LIXCDWQNGRGSGW-INIZCTEOSA-N 0 0 434.518 -0.085 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N[C@H](Cc1ccccc1)CN1CCOCC1 ZINC001465307764 1074545658 /nfs/dbraw/zinc/54/56/58/1074545658.db2.gz LIXCDWQNGRGSGW-MRXNPFEDSA-N 0 0 434.518 -0.085 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2=O)cn1 ZINC001465314751 1074545711 /nfs/dbraw/zinc/54/57/11/1074545711.db2.gz UQYJUNSYCYXBEA-CABCVRRESA-N 0 0 441.897 -0.366 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2=O)cn1 ZINC001465314754 1074545634 /nfs/dbraw/zinc/54/56/34/1074545634.db2.gz UQYJUNSYCYXBEA-GJZGRUSLSA-N 0 0 441.897 -0.366 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2=O)cn1 ZINC001465314756 1074545700 /nfs/dbraw/zinc/54/57/00/1074545700.db2.gz UQYJUNSYCYXBEA-HUUCEWRRSA-N 0 0 441.897 -0.366 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)C2=O)cn1 ZINC001465314757 1074545647 /nfs/dbraw/zinc/54/56/47/1074545647.db2.gz UQYJUNSYCYXBEA-LSDHHAIUSA-N 0 0 441.897 -0.366 20 0 IBADRN CN1CCN(C(=O)CNC(=O)Nc2ccc(F)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001465317677 1074545667 /nfs/dbraw/zinc/54/56/67/1074545667.db2.gz LNIZLEAMWZLUGY-UHFFFAOYSA-N 0 0 443.501 -0.258 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)CC1 ZINC001465321093 1074545720 /nfs/dbraw/zinc/54/57/20/1074545720.db2.gz HXNFCKNIRINFPV-PGGUUEOZSA-N 0 0 439.600 -0.163 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)CC1 ZINC001465321094 1074545640 /nfs/dbraw/zinc/54/56/40/1074545640.db2.gz HXNFCKNIRINFPV-PWFNWSNSSA-N 0 0 439.600 -0.163 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001465321654 1074545777 /nfs/dbraw/zinc/54/57/77/1074545777.db2.gz SZDFVGCLUXEKBU-HAWMADMCSA-N 0 0 437.971 -0.498 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001465321655 1074545747 /nfs/dbraw/zinc/54/57/47/1074545747.db2.gz SZDFVGCLUXEKBU-PVPMGCCUSA-N 0 0 437.971 -0.498 20 0 IBADRN C[S@@](=O)CCN1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001465321656 1074545651 /nfs/dbraw/zinc/54/56/51/1074545651.db2.gz SZDFVGCLUXEKBU-QWXGXRTQSA-N 0 0 437.971 -0.498 20 0 IBADRN C[S@](=O)CCN1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001465321657 1074545740 /nfs/dbraw/zinc/54/57/40/1074545740.db2.gz SZDFVGCLUXEKBU-WZQKQDCOSA-N 0 0 437.971 -0.498 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001465321696 1074545695 /nfs/dbraw/zinc/54/56/95/1074545695.db2.gz VJMRZEPGGFBQFU-CHWSQXEVSA-N 0 0 442.968 -0.075 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001465321697 1074545735 /nfs/dbraw/zinc/54/57/35/1074545735.db2.gz VJMRZEPGGFBQFU-OLZOCXBDSA-N 0 0 442.968 -0.075 20 0 IBADRN CS(=O)(=O)[C@@H]1CSCCN1C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001465321698 1074545708 /nfs/dbraw/zinc/54/57/08/1074545708.db2.gz VJMRZEPGGFBQFU-QWHCGFSZSA-N 0 0 442.968 -0.075 20 0 IBADRN CS(=O)(=O)[C@H]1CSCCN1C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001465321699 1074545728 /nfs/dbraw/zinc/54/57/28/1074545728.db2.gz VJMRZEPGGFBQFU-STQMWFEESA-N 0 0 442.968 -0.075 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CCC(=O)N3CCC(O)CC3)CC2)cn1 ZINC001465346663 1074546106 /nfs/dbraw/zinc/54/61/06/1074546106.db2.gz BFMYKOYNCDNHJC-UHFFFAOYSA-N 0 0 427.527 -0.547 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)CCN3C(=O)CCNC3=S)CC2)cn1 ZINC001465346821 1074546146 /nfs/dbraw/zinc/54/61/46/1074546146.db2.gz HATBEBHZCFPUJU-UHFFFAOYSA-N 0 0 428.540 -0.814 20 0 IBADRN CO[C@@]1(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)CCS(=O)(=O)C1 ZINC001465350672 1074546092 /nfs/dbraw/zinc/54/60/92/1074546092.db2.gz KDTVNCGYLUSRRS-IBGZPJMESA-N 0 0 431.555 -0.733 20 0 IBADRN CO[C@]1(C(=O)N2CCC(C(=O)NCCCN3CCOCC3)CC2)CCS(=O)(=O)C1 ZINC001465350673 1074546114 /nfs/dbraw/zinc/54/61/14/1074546114.db2.gz KDTVNCGYLUSRRS-LJQANCHMSA-N 0 0 431.555 -0.733 20 0 IBADRN Cn1cc(C2=NO[C@H](C(=O)N3CCC(C(=O)NCCCN4CCOCC4)CC3)C2)cn1 ZINC001465350791 1074546165 /nfs/dbraw/zinc/54/61/65/1074546165.db2.gz OSTPNDTUCMEJGB-IBGZPJMESA-N 0 0 432.525 -0.010 20 0 IBADRN Cn1cc(C2=NO[C@@H](C(=O)N3CCC(C(=O)NCCCN4CCOCC4)CC3)C2)cn1 ZINC001465350792 1074546290 /nfs/dbraw/zinc/54/62/90/1074546290.db2.gz OSTPNDTUCMEJGB-LJQANCHMSA-N 0 0 432.525 -0.010 20 0 IBADRN CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001465371831 1074546125 /nfs/dbraw/zinc/54/61/25/1074546125.db2.gz UQWYVTNALQLSJO-KRWDZBQOSA-N 0 0 426.543 -0.464 20 0 IBADRN CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001465371832 1074546217 /nfs/dbraw/zinc/54/62/17/1074546217.db2.gz UQWYVTNALQLSJO-QGZVFWFLSA-N 0 0 426.543 -0.464 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N[C@H](CO)CC3OCCO3)c2)CC1 ZINC001465373917 1074546190 /nfs/dbraw/zinc/54/61/90/1074546190.db2.gz GKZVYDTUHIDAOQ-HNNXBMFYSA-N 0 0 428.511 -0.132 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N[C@@H](CO)CC3OCCO3)c2)CC1 ZINC001465373918 1074546155 /nfs/dbraw/zinc/54/61/55/1074546155.db2.gz GKZVYDTUHIDAOQ-OAHLLOKOSA-N 0 0 428.511 -0.132 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)Nc1cccc(S(=O)(=O)N2CCN(C)CC2)c1 ZINC001465373973 1074546180 /nfs/dbraw/zinc/54/61/80/1074546180.db2.gz HZBXNIIDGWDERX-UHFFFAOYSA-N 0 0 427.483 -0.967 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)Nc3cccc(S(=O)(=O)N4CCN(C)CC4)c3)C[C@H]2C1=O ZINC001465374337 1074546263 /nfs/dbraw/zinc/54/62/63/1074546263.db2.gz VQUFBXKKDFQLHN-IYBDPMFKSA-N 0 0 435.506 -0.299 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)Nc2cccc(N3CCS(=O)(=O)CC3)c2)CC1 ZINC001465375827 1074546279 /nfs/dbraw/zinc/54/62/79/1074546279.db2.gz NCCRTILELVTBSD-UHFFFAOYSA-N 0 0 445.567 -0.029 20 0 IBADRN NS(=O)(=O)c1ccc(OCCC(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC001465378587 1074546200 /nfs/dbraw/zinc/54/62/00/1074546200.db2.gz XFZSMEHCGRVEGW-HNNXBMFYSA-N 0 0 440.522 -0.848 20 0 IBADRN NS(=O)(=O)c1ccc(OCCC(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)cc1 ZINC001465378588 1074546240 /nfs/dbraw/zinc/54/62/40/1074546240.db2.gz XFZSMEHCGRVEGW-OAHLLOKOSA-N 0 0 440.522 -0.848 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnn2CC(F)(F)F)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465379044 1074546085 /nfs/dbraw/zinc/54/60/85/1074546085.db2.gz DHFZFXRSSNUZPC-GFCCVEGCSA-N 0 0 437.444 -0.494 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnn2CC(F)(F)F)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465379045 1074546256 /nfs/dbraw/zinc/54/62/56/1074546256.db2.gz DHFZFXRSSNUZPC-LBPRGKRZSA-N 0 0 437.444 -0.494 20 0 IBADRN COC(=O)c1oc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC001465379069 1074546527 /nfs/dbraw/zinc/54/65/27/1074546527.db2.gz FGRFWMAKAFPHSD-CYBMUJFWSA-N 0 0 427.479 -0.564 20 0 IBADRN COC(=O)c1oc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1C ZINC001465379070 1074546552 /nfs/dbraw/zinc/54/65/52/1074546552.db2.gz FGRFWMAKAFPHSD-ZDUSSCGKSA-N 0 0 427.479 -0.564 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1C[C@@H]1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001465379116 1074546591 /nfs/dbraw/zinc/54/65/91/1074546591.db2.gz GZFRQMPLCQUSGL-QLFBSQMISA-N 0 0 444.554 -0.653 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H]1C[C@@H]1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001465379117 1074546623 /nfs/dbraw/zinc/54/66/23/1074546623.db2.gz GZFRQMPLCQUSGL-SOUVJXGZSA-N 0 0 444.554 -0.653 20 0 IBADRN COC(=O)Cc1sccc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001465379166 1074546601 /nfs/dbraw/zinc/54/66/01/1074546601.db2.gz INADRSYHGBCGBR-CYBMUJFWSA-N 0 0 443.547 -0.475 20 0 IBADRN COC(=O)Cc1sccc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001465379167 1074546630 /nfs/dbraw/zinc/54/66/30/1074546630.db2.gz INADRSYHGBCGBR-ZDUSSCGKSA-N 0 0 443.547 -0.475 20 0 IBADRN Cn1nc(CC(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c2ccccc21 ZINC001465379178 1074546641 /nfs/dbraw/zinc/54/66/41/1074546641.db2.gz IVNIRXXBPLFCGQ-HNNXBMFYSA-N 0 0 433.534 -0.437 20 0 IBADRN Cn1nc(CC(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c2ccccc21 ZINC001465379179 1074546539 /nfs/dbraw/zinc/54/65/39/1074546539.db2.gz IVNIRXXBPLFCGQ-OAHLLOKOSA-N 0 0 433.534 -0.437 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1OCC[C@H]1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001465379180 1074546531 /nfs/dbraw/zinc/54/65/31/1074546531.db2.gz IWJOCWBAWKEMFJ-BBWFWOEESA-N 0 0 440.541 -0.035 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001465379181 1074546542 /nfs/dbraw/zinc/54/65/42/1074546542.db2.gz IWJOCWBAWKEMFJ-IKGGRYGDSA-N 0 0 440.541 -0.035 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001465379182 1074546555 /nfs/dbraw/zinc/54/65/55/1074546555.db2.gz IWJOCWBAWKEMFJ-ULQDDVLXSA-N 0 0 440.541 -0.035 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001465379183 1074546565 /nfs/dbraw/zinc/54/65/65/1074546565.db2.gz IWJOCWBAWKEMFJ-ZACQAIPSSA-N 0 0 440.541 -0.035 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N[C@@H]3CCCC[C@H]32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465379247 1074546582 /nfs/dbraw/zinc/54/65/82/1074546582.db2.gz KYIMGMXGWMDOKF-MWDXBVQZSA-N 0 0 440.566 -0.871 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N[C@@H]3CCCC[C@@H]32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465379248 1074546596 /nfs/dbraw/zinc/54/65/96/1074546596.db2.gz KYIMGMXGWMDOKF-QBPKDAKJSA-N 0 0 440.566 -0.871 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N[C@@H]3CCCC[C@@H]32)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465379249 1074546611 /nfs/dbraw/zinc/54/66/11/1074546611.db2.gz KYIMGMXGWMDOKF-YLFCFFPRSA-N 0 0 440.566 -0.871 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CC(=O)N[C@@H]3CCCC[C@H]32)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465379250 1074546536 /nfs/dbraw/zinc/54/65/36/1074546536.db2.gz KYIMGMXGWMDOKF-YYIAUSFCSA-N 0 0 440.566 -0.871 20 0 IBADRN CC(C)C(=O)N1CCC(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001465379609 1074546549 /nfs/dbraw/zinc/54/65/49/1074546549.db2.gz PPNHEUHZBJHFMZ-KRWDZBQOSA-N 0 0 442.582 -0.672 20 0 IBADRN CC(C)C(=O)N1CCC(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CC1 ZINC001465379610 1074546577 /nfs/dbraw/zinc/54/65/77/1074546577.db2.gz PPNHEUHZBJHFMZ-QGZVFWFLSA-N 0 0 442.582 -0.672 20 0 IBADRN Cn1nc(Cl)c(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1Cl ZINC001465379626 1074546573 /nfs/dbraw/zinc/54/65/73/1074546573.db2.gz QICXTYGJSYHXFP-JTQLQIEISA-N 0 0 438.337 -0.212 20 0 IBADRN Cn1nc(Cl)c(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1Cl ZINC001465379627 1074546586 /nfs/dbraw/zinc/54/65/86/1074546586.db2.gz QICXTYGJSYHXFP-SNVBAGLBSA-N 0 0 438.337 -0.212 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(Cn3ccnn3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465379760 1074547069 /nfs/dbraw/zinc/54/70/69/1074547069.db2.gz RFHYLVVWTLYBKT-GOSISDBHSA-N 0 0 446.533 -0.613 20 0 IBADRN O=C(CN1CCN(C(=O)c2cccc(Cn3ccnn3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465379761 1074547004 /nfs/dbraw/zinc/54/70/04/1074547004.db2.gz RFHYLVVWTLYBKT-SFHVURJKSA-N 0 0 446.533 -0.613 20 0 IBADRN CCOC(=O)C1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC001465379769 1074546977 /nfs/dbraw/zinc/54/69/77/1074546977.db2.gz RKPNLHFSXYCKQL-HNNXBMFYSA-N 0 0 429.539 -0.443 20 0 IBADRN CCOC(=O)C1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)CCCC1 ZINC001465379770 1074547062 /nfs/dbraw/zinc/54/70/62/1074547062.db2.gz RKPNLHFSXYCKQL-OAHLLOKOSA-N 0 0 429.539 -0.443 20 0 IBADRN Cc1oc(S(C)(=O)=O)cc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001465379775 1074547179 /nfs/dbraw/zinc/54/71/79/1074547179.db2.gz RPMSQYRADKIYHD-CYBMUJFWSA-N 0 0 447.535 -0.947 20 0 IBADRN Cc1oc(S(C)(=O)=O)cc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001465379776 1074546999 /nfs/dbraw/zinc/54/69/99/1074546999.db2.gz RPMSQYRADKIYHD-ZDUSSCGKSA-N 0 0 447.535 -0.947 20 0 IBADRN Cn1ccc(-c2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)[nH]n2)c1 ZINC001465380045 1074547081 /nfs/dbraw/zinc/54/70/81/1074547081.db2.gz UODNHYCETDNTTQ-HNNXBMFYSA-N 0 0 434.522 -0.524 20 0 IBADRN Cn1ccc(-c2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)[nH]n2)c1 ZINC001465380048 1074547023 /nfs/dbraw/zinc/54/70/23/1074547023.db2.gz UODNHYCETDNTTQ-OAHLLOKOSA-N 0 0 434.522 -0.524 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(-c3ccncc3)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465380453 1074547109 /nfs/dbraw/zinc/54/71/09/1074547109.db2.gz ZVJYAZYENNOOAR-INIZCTEOSA-N 0 0 432.506 -0.672 20 0 IBADRN O=C(CN1CCN(C(=O)c2cnn(-c3ccncc3)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465380454 1074547144 /nfs/dbraw/zinc/54/71/44/1074547144.db2.gz ZVJYAZYENNOOAR-MRXNPFEDSA-N 0 0 432.506 -0.672 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC001465380819 1074547013 /nfs/dbraw/zinc/54/70/13/1074547013.db2.gz DDJIUUMEHYHJFV-DOTOQJQBSA-N 0 0 443.566 -0.198 20 0 IBADRN CC(C)(C)OC(=O)[C@@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC001465380820 1074547161 /nfs/dbraw/zinc/54/71/61/1074547161.db2.gz DDJIUUMEHYHJFV-NVXWUHKLSA-N 0 0 443.566 -0.198 20 0 IBADRN CC(C)(C)OC(=O)[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC001465380821 1074547055 /nfs/dbraw/zinc/54/70/55/1074547055.db2.gz DDJIUUMEHYHJFV-RDJZCZTQSA-N 0 0 443.566 -0.198 20 0 IBADRN CC(C)(C)OC(=O)[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C1CC1 ZINC001465380822 1074546987 /nfs/dbraw/zinc/54/69/87/1074546987.db2.gz DDJIUUMEHYHJFV-WBVHZDCISA-N 0 0 443.566 -0.198 20 0 IBADRN COc1cccn2ncc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)c12 ZINC001465380868 1074547099 /nfs/dbraw/zinc/54/70/99/1074547099.db2.gz FFFHGQMQORPHFG-AWEZNQCLSA-N 0 0 435.506 -0.596 20 0 IBADRN COc1cccn2ncc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)c12 ZINC001465380869 1074547074 /nfs/dbraw/zinc/54/70/74/1074547074.db2.gz FFFHGQMQORPHFG-CQSZACIVSA-N 0 0 435.506 -0.596 20 0 IBADRN CNC(=O)Nc1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001465380870 1074547191 /nfs/dbraw/zinc/54/71/91/1074547191.db2.gz FJLSSHAWILTYFQ-INIZCTEOSA-N 0 0 437.522 -0.501 20 0 IBADRN CNC(=O)Nc1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001465380871 1074547171 /nfs/dbraw/zinc/54/71/71/1074547171.db2.gz FJLSSHAWILTYFQ-MRXNPFEDSA-N 0 0 437.522 -0.501 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)N1Cc2ccccc2C1=O ZINC001465381042 1074547536 /nfs/dbraw/zinc/54/75/36/1074547536.db2.gz HCASFXZLPQOIIL-NVXWUHKLSA-N 0 0 448.545 -0.522 20 0 IBADRN C[C@H](C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)N1Cc2ccccc2C1=O ZINC001465381046 1074547545 /nfs/dbraw/zinc/54/75/45/1074547545.db2.gz HCASFXZLPQOIIL-WBVHZDCISA-N 0 0 448.545 -0.522 20 0 IBADRN C[C@@]1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)OCCc2ccccc21 ZINC001465381120 1074547467 /nfs/dbraw/zinc/54/74/67/1074547467.db2.gz HZWFXERJQBURNX-DYESRHJHSA-N 0 0 435.546 -0.078 20 0 IBADRN C[C@@]1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)OCCc2ccccc21 ZINC001465381124 1074547517 /nfs/dbraw/zinc/54/75/17/1074547517.db2.gz HZWFXERJQBURNX-LAUBAEHRSA-N 0 0 435.546 -0.078 20 0 IBADRN C[C@]1(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)OCCc2ccccc21 ZINC001465381125 1074547485 /nfs/dbraw/zinc/54/74/85/1074547485.db2.gz HZWFXERJQBURNX-UTKZUKDTSA-N 0 0 435.546 -0.078 20 0 IBADRN C[C@]1(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)OCCc2ccccc21 ZINC001465381126 1074547447 /nfs/dbraw/zinc/54/74/47/1074547447.db2.gz HZWFXERJQBURNX-UWJYYQICSA-N 0 0 435.546 -0.078 20 0 IBADRN CCc1nc2c(nccc2C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)[nH]1 ZINC001465381135 1074547417 /nfs/dbraw/zinc/54/74/17/1074547417.db2.gz IDLHYJGFEOXBGZ-CYBMUJFWSA-N 0 0 434.522 -0.419 20 0 IBADRN CCc1nc2c(nccc2C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)[nH]1 ZINC001465381137 1074547379 /nfs/dbraw/zinc/54/73/79/1074547379.db2.gz IDLHYJGFEOXBGZ-ZDUSSCGKSA-N 0 0 434.522 -0.419 20 0 IBADRN CNC(=O)Nc1cccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001465381145 1074547397 /nfs/dbraw/zinc/54/73/97/1074547397.db2.gz IFOMSGNUFHTIOL-INIZCTEOSA-N 0 0 437.522 -0.501 20 0 IBADRN CNC(=O)Nc1cccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001465381146 1074547426 /nfs/dbraw/zinc/54/74/26/1074547426.db2.gz IFOMSGNUFHTIOL-MRXNPFEDSA-N 0 0 437.522 -0.501 20 0 IBADRN Cn1c(CO)nc2cc(C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC001465381169 1074547456 /nfs/dbraw/zinc/54/74/56/1074547456.db2.gz IJBFSWGLHBTUBR-HNNXBMFYSA-N 0 0 449.533 -0.873 20 0 IBADRN Cn1c(CO)nc2cc(C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)ccc21 ZINC001465381171 1074547510 /nfs/dbraw/zinc/54/75/10/1074547510.db2.gz IJBFSWGLHBTUBR-OAHLLOKOSA-N 0 0 449.533 -0.873 20 0 IBADRN CP(C)(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001465381336 1074547435 /nfs/dbraw/zinc/54/74/35/1074547435.db2.gz JRXGDJPTBCLWRY-INIZCTEOSA-N 0 0 441.490 -0.004 20 0 IBADRN CP(C)(=O)c1ccc(C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001465381337 1074547400 /nfs/dbraw/zinc/54/74/00/1074547400.db2.gz JRXGDJPTBCLWRY-MRXNPFEDSA-N 0 0 441.490 -0.004 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(OC(F)F)nc2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465381502 1074547493 /nfs/dbraw/zinc/54/74/93/1074547493.db2.gz KYJPRTQJWQGRJC-CYBMUJFWSA-N 0 0 432.449 -0.256 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc(OC(F)F)nc2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465381503 1074547553 /nfs/dbraw/zinc/54/75/53/1074547553.db2.gz KYJPRTQJWQGRJC-ZDUSSCGKSA-N 0 0 432.449 -0.256 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(OC(F)F)c2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465381504 1074547475 /nfs/dbraw/zinc/54/74/75/1074547475.db2.gz KZQWYQILVUDGPP-CYBMUJFWSA-N 0 0 432.449 -0.256 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccnc(OC(F)F)c2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465381505 1074547389 /nfs/dbraw/zinc/54/73/89/1074547389.db2.gz KZQWYQILVUDGPP-ZDUSSCGKSA-N 0 0 432.449 -0.256 20 0 IBADRN Cc1ccc(C2=NO[C@H](C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)C2)cc1 ZINC001465381825 1074547497 /nfs/dbraw/zinc/54/74/97/1074547497.db2.gz OJCRAEWYDCVTSQ-HKUYNNGSSA-N 0 0 448.545 -0.064 20 0 IBADRN Cc1ccc(C2=NO[C@@H](C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)C2)cc1 ZINC001465381826 1074547524 /nfs/dbraw/zinc/54/75/24/1074547524.db2.gz OJCRAEWYDCVTSQ-IEBWSBKVSA-N 0 0 448.545 -0.064 20 0 IBADRN Cc1ccc(C2=NO[C@H](C(=O)N3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)C2)cc1 ZINC001465381827 1074547834 /nfs/dbraw/zinc/54/78/34/1074547834.db2.gz OJCRAEWYDCVTSQ-MJGOQNOKSA-N 0 0 448.545 -0.064 20 0 IBADRN Cc1ccc(C2=NO[C@@H](C(=O)N3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)C2)cc1 ZINC001465381828 1074547957 /nfs/dbraw/zinc/54/79/57/1074547957.db2.gz OJCRAEWYDCVTSQ-PKOBYXMFSA-N 0 0 448.545 -0.064 20 0 IBADRN Cc1nn(CC(F)F)cc1C(=O)N1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001465381880 1074547982 /nfs/dbraw/zinc/54/79/82/1074547982.db2.gz PSIXHMGKISCMCK-CYBMUJFWSA-N 0 0 433.481 -0.482 20 0 IBADRN Cc1nn(CC(F)F)cc1C(=O)N1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1 ZINC001465381882 1074547991 /nfs/dbraw/zinc/54/79/91/1074547991.db2.gz PSIXHMGKISCMCK-ZDUSSCGKSA-N 0 0 433.481 -0.482 20 0 IBADRN CO[C@]12CCC[C@@]1(C(=O)N1CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC1)CCO2 ZINC001465382088 1074547813 /nfs/dbraw/zinc/54/78/13/1074547813.db2.gz SCTOGCNUJAZRBM-MNEFBYGVSA-N 0 0 429.539 -0.633 20 0 IBADRN CO[C@]12CCC[C@@]1(C(=O)N1CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC1)CCO2 ZINC001465382089 1074547975 /nfs/dbraw/zinc/54/79/75/1074547975.db2.gz SCTOGCNUJAZRBM-SNRMKQJTSA-N 0 0 429.539 -0.633 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001465382118 1074547947 /nfs/dbraw/zinc/54/79/47/1074547947.db2.gz SZHQOSWXMFPLRB-ARFHVFGLSA-N 0 0 429.539 -0.587 20 0 IBADRN CCOC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)C1 ZINC001465382119 1074547968 /nfs/dbraw/zinc/54/79/68/1074547968.db2.gz SZHQOSWXMFPLRB-XHSDSOJGSA-N 0 0 429.539 -0.587 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)COC(=O)N3)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465382186 1074547883 /nfs/dbraw/zinc/54/78/83/1074547883.db2.gz VMLHWYXNMWBYSP-HNNXBMFYSA-N 0 0 436.490 -0.190 20 0 IBADRN O=C(CN1CCN(C(=O)c2ccc3c(c2)COC(=O)N3)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465382187 1074547863 /nfs/dbraw/zinc/54/78/63/1074547863.db2.gz VMLHWYXNMWBYSP-OAHLLOKOSA-N 0 0 436.490 -0.190 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN(CC(F)F)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465382219 1074547998 /nfs/dbraw/zinc/54/79/98/1074547998.db2.gz WLQYGUMUHKDYAJ-CABCVRRESA-N 0 0 436.525 -0.589 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN(CC(F)F)C2)CC1)N[C@H]1CCS(=O)(=O)C1 ZINC001465382220 1074547826 /nfs/dbraw/zinc/54/78/26/1074547826.db2.gz WLQYGUMUHKDYAJ-GJZGRUSLSA-N 0 0 436.525 -0.589 20 0 IBADRN O=C(CN1CCN(C(=O)[C@@H]2CCCN(CC(F)F)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465382221 1074547872 /nfs/dbraw/zinc/54/78/72/1074547872.db2.gz WLQYGUMUHKDYAJ-HUUCEWRRSA-N 0 0 436.525 -0.589 20 0 IBADRN O=C(CN1CCN(C(=O)[C@H]2CCCN(CC(F)F)C2)CC1)N[C@@H]1CCS(=O)(=O)C1 ZINC001465382222 1074547792 /nfs/dbraw/zinc/54/77/92/1074547792.db2.gz WLQYGUMUHKDYAJ-LSDHHAIUSA-N 0 0 436.525 -0.589 20 0 IBADRN NC(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CCN(CCn2cncn2)CC1 ZINC001465396489 1074547849 /nfs/dbraw/zinc/54/78/49/1074547849.db2.gz HQVNCNZXCGQUJK-SFHVURJKSA-N 0 0 443.508 -0.017 20 0 IBADRN C[C@@H](C(=O)N1CCN(CCn2cncn2)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001465398000 1074547892 /nfs/dbraw/zinc/54/78/92/1074547892.db2.gz GXFPWEXWZOVWEF-INIZCTEOSA-N 0 0 435.529 -0.110 20 0 IBADRN C[C@H](C(=O)N1CCN(CCn2cncn2)CC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001465398001 1074547911 /nfs/dbraw/zinc/54/79/11/1074547911.db2.gz GXFPWEXWZOVWEF-MRXNPFEDSA-N 0 0 435.529 -0.110 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001465414000 1074547932 /nfs/dbraw/zinc/54/79/32/1074547932.db2.gz BKEPLNMNVPRWIQ-AWEZNQCLSA-N 0 0 432.930 -0.613 20 0 IBADRN CC(C)(C(N)=O)N1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001465414001 1074548006 /nfs/dbraw/zinc/54/80/06/1074548006.db2.gz BKEPLNMNVPRWIQ-CQSZACIVSA-N 0 0 432.930 -0.613 20 0 IBADRN CN1CCC(O)(CNC(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CC1 ZINC001465431108 1074548387 /nfs/dbraw/zinc/54/83/87/1074548387.db2.gz XCIIOWVVCVKRCJ-AUUYWEPGSA-N 0 0 431.555 -0.159 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CC[C@@H]3C(N)=O)C2)CC1 ZINC001465434924 1074548410 /nfs/dbraw/zinc/54/84/10/1074548410.db2.gz JRQFSWIZCSQMNH-KBPBESRZSA-N 0 0 430.527 -0.409 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CC[C@H]3C(N)=O)C2)CC1 ZINC001465434925 1074548355 /nfs/dbraw/zinc/54/83/55/1074548355.db2.gz JRQFSWIZCSQMNH-KGLIPLIRSA-N 0 0 430.527 -0.409 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@H]3CC[C@@H]3C(N)=O)C2)CC1 ZINC001465434926 1074548312 /nfs/dbraw/zinc/54/83/12/1074548312.db2.gz JRQFSWIZCSQMNH-UONOGXRCSA-N 0 0 430.527 -0.409 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@@H]3CC[C@H]3C(N)=O)C2)CC1 ZINC001465434927 1074548421 /nfs/dbraw/zinc/54/84/21/1074548421.db2.gz JRQFSWIZCSQMNH-ZIAGYGMSSA-N 0 0 430.527 -0.409 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)[C@H]1c1ccnn1C ZINC001465435100 1074548400 /nfs/dbraw/zinc/54/84/00/1074548400.db2.gz PNOCHSNCAUPDFO-GQIGUUNPSA-N 0 0 432.481 -0.455 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCC([C@]3(C)NC(=O)NC3=O)CC2)[C@H]1c1ccnn1C ZINC001465435101 1074548287 /nfs/dbraw/zinc/54/82/87/1074548287.db2.gz PNOCHSNCAUPDFO-GUXCAODWSA-N 0 0 432.481 -0.455 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)[C@H]3CC[C@@H](C(N)=O)C3)C2)CC1 ZINC001465435138 1074548378 /nfs/dbraw/zinc/54/83/78/1074548378.db2.gz QLHWNJBJBKUADD-KGLIPLIRSA-N 0 0 444.554 -0.019 20 0 IBADRN CN1C[C@H](O)C[C@]1(C)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001465435151 1074548429 /nfs/dbraw/zinc/54/84/29/1074548429.db2.gz RCAUPEDVJYSEFN-AUUYWEPGSA-N 0 0 446.570 -0.465 20 0 IBADRN CN1C[C@@H](O)C[C@]1(C)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001465435152 1074548296 /nfs/dbraw/zinc/54/82/96/1074548296.db2.gz RCAUPEDVJYSEFN-IFXJQAMLSA-N 0 0 446.570 -0.465 20 0 IBADRN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001465435153 1074548325 /nfs/dbraw/zinc/54/83/25/1074548325.db2.gz RCAUPEDVJYSEFN-KUHUBIRLSA-N 0 0 446.570 -0.465 20 0 IBADRN CN1C[C@@H](O)C[C@@]1(C)C(=O)N1CC(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001465435154 1074548361 /nfs/dbraw/zinc/54/83/61/1074548361.db2.gz RCAUPEDVJYSEFN-LIRRHRJNSA-N 0 0 446.570 -0.465 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)Cn3nccc3N)C2)CC1 ZINC001465435360 1074548308 /nfs/dbraw/zinc/54/83/08/1074548308.db2.gz ZPKWTSAAWPYMLB-UHFFFAOYSA-N 0 0 428.515 -0.441 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)[C@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)C2=O)n1 ZINC001465438878 1074548414 /nfs/dbraw/zinc/54/84/14/1074548414.db2.gz WBDDBVWRCFQYDV-CVEARBPZSA-N 0 0 444.467 -0.226 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)[C@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)C2=O)n1 ZINC001465438879 1074548350 /nfs/dbraw/zinc/54/83/50/1074548350.db2.gz WBDDBVWRCFQYDV-HOTGVXAUSA-N 0 0 444.467 -0.226 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)[C@@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)C2=O)n1 ZINC001465438880 1074548405 /nfs/dbraw/zinc/54/84/05/1074548405.db2.gz WBDDBVWRCFQYDV-HZPDHXFCSA-N 0 0 444.467 -0.226 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)[C@@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)C2=O)n1 ZINC001465438881 1074548319 /nfs/dbraw/zinc/54/83/19/1074548319.db2.gz WBDDBVWRCFQYDV-JKSUJKDBSA-N 0 0 444.467 -0.226 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001465447693 1074548339 /nfs/dbraw/zinc/54/83/39/1074548339.db2.gz PRFYJRHOHJKSMJ-GOSISDBHSA-N 0 0 427.479 -0.161 20 0 IBADRN COc1ccc(C(=O)N2CCOCC2)cc1NC(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001465447694 1074548358 /nfs/dbraw/zinc/54/83/58/1074548358.db2.gz PRFYJRHOHJKSMJ-SFHVURJKSA-N 0 0 427.479 -0.161 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1=O ZINC001465480230 1074548719 /nfs/dbraw/zinc/54/87/19/1074548719.db2.gz MPMIWYCUMUTOIQ-BFVZDQMLSA-N 0 0 428.898 -0.157 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@H](NC(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1=O ZINC001465480231 1074548819 /nfs/dbraw/zinc/54/88/19/1074548819.db2.gz MPMIWYCUMUTOIQ-GPCCPHFNSA-N 0 0 428.898 -0.157 20 0 IBADRN C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1=O ZINC001465480232 1074548842 /nfs/dbraw/zinc/54/88/42/1074548842.db2.gz MPMIWYCUMUTOIQ-ISTVAULSSA-N 0 0 428.898 -0.157 20 0 IBADRN C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1=O ZINC001465480233 1074548778 /nfs/dbraw/zinc/54/87/78/1074548778.db2.gz MPMIWYCUMUTOIQ-RULNRJAQSA-N 0 0 428.898 -0.157 20 0 IBADRN Cn1nc2c(cc1=O)CN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)CC2 ZINC001465519697 1074548708 /nfs/dbraw/zinc/54/87/08/1074548708.db2.gz LKJHZMOJUZNCMY-AWEZNQCLSA-N 0 0 428.511 -0.007 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(F)c(N3CCCS3(=O)=O)c2)CC1 ZINC001465553152 1074548850 /nfs/dbraw/zinc/54/88/50/1074548850.db2.gz RHFHSKBFTTYGQA-UHFFFAOYSA-N 0 0 435.503 -0.021 20 0 IBADRN CCO[C@@H](C)c1nc(CN2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cs1 ZINC001465558210 1074548690 /nfs/dbraw/zinc/54/86/90/1074548690.db2.gz YLCVLTYQASDJFC-JSGCOSHPSA-N 0 0 430.552 -0.202 20 0 IBADRN CCO[C@H](C)c1nc(CN2CCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cs1 ZINC001465558211 1074548760 /nfs/dbraw/zinc/54/87/60/1074548760.db2.gz YLCVLTYQASDJFC-OCCSQVGLSA-N 0 0 430.552 -0.202 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCCCN3C(=O)COc4ccccc43)[C@@H](O)C(=O)N2C)cn1 ZINC001465567528 1074548900 /nfs/dbraw/zinc/54/89/00/1074548900.db2.gz FOBOMHBUHXYSQI-GUDVDZBRSA-N 0 0 427.461 -0.158 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)[C@H](CO)NC(=O)c3cccc(F)n3)cn2)C[C@@H](C)O1 ZINC001465568037 1074548911 /nfs/dbraw/zinc/54/89/11/1074548911.db2.gz RDAHTJDFHLKNCK-IOASZLSFSA-N 0 0 448.455 -0.218 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)[C@H](CO)NC(=O)c3cccc(F)n3)cn2)C[C@H](C)O1 ZINC001465568038 1074548733 /nfs/dbraw/zinc/54/87/33/1074548733.db2.gz RDAHTJDFHLKNCK-XEZPLFJOSA-N 0 0 448.455 -0.218 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)[C@H](CO)NC(=O)c3cccc(F)n3)cn2)C[C@H](C)O1 ZINC001465568039 1074548768 /nfs/dbraw/zinc/54/87/68/1074548768.db2.gz RDAHTJDFHLKNCK-ZENOOKHLSA-N 0 0 448.455 -0.218 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001465593624 1074549292 /nfs/dbraw/zinc/54/92/92/1074549292.db2.gz YZBAYKXOXLXQQQ-CVEARBPZSA-N 0 0 427.527 -0.685 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001465593625 1074549267 /nfs/dbraw/zinc/54/92/67/1074549267.db2.gz YZBAYKXOXLXQQQ-HOTGVXAUSA-N 0 0 427.527 -0.685 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001465593626 1074549206 /nfs/dbraw/zinc/54/92/06/1074549206.db2.gz YZBAYKXOXLXQQQ-HZPDHXFCSA-N 0 0 427.527 -0.685 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)[C@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001465593627 1074549287 /nfs/dbraw/zinc/54/92/87/1074549287.db2.gz YZBAYKXOXLXQQQ-JKSUJKDBSA-N 0 0 427.527 -0.685 20 0 IBADRN C[C@@H]1[C@H](NC(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CCS1(=O)=O ZINC001465599163 1074549197 /nfs/dbraw/zinc/54/91/97/1074549197.db2.gz MDFFVBCNOMEBCY-TZMCWYRMSA-N 0 0 431.540 -0.140 20 0 IBADRN C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)Nc1ccc(N2CCN(S(C)(=O)=O)CC2)nc1 ZINC001465600509 1074549321 /nfs/dbraw/zinc/54/93/21/1074549321.db2.gz FWRQGLWUILWCKL-OCCSQVGLSA-N 0 0 431.540 -0.282 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)c1c[nH]nc1I ZINC001465617039 1074549258 /nfs/dbraw/zinc/54/92/58/1074549258.db2.gz AKLXBWITQJJSHX-UHFFFAOYSA-N 0 0 425.208 -0.148 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C1CN(S(C)(=O)=O)C1 ZINC001465621090 1074549215 /nfs/dbraw/zinc/54/92/15/1074549215.db2.gz XAPRRSLEINJWHY-UHFFFAOYSA-N 0 0 447.535 -0.064 20 0 IBADRN CO[C@@H](C)c1nc(CN2CCCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cs1 ZINC001465627703 1074549282 /nfs/dbraw/zinc/54/92/82/1074549282.db2.gz KOAJYKSHDWRTDY-JSGCOSHPSA-N 0 0 430.552 -0.202 20 0 IBADRN CO[C@H](C)c1nc(CN2CCCN(C(=O)[C@@H]3CS(=O)(=O)CC(=O)N3)CC2)cs1 ZINC001465627704 1074549308 /nfs/dbraw/zinc/54/93/08/1074549308.db2.gz KOAJYKSHDWRTDY-OCCSQVGLSA-N 0 0 430.552 -0.202 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)C1 ZINC001465658469 1074549313 /nfs/dbraw/zinc/54/93/13/1074549313.db2.gz NGWGDVRZRWMHDG-UHFFFAOYSA-N 0 0 432.520 -0.566 20 0 IBADRN CN(C)C(=O)COC1CN(C(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001465658540 1074549225 /nfs/dbraw/zinc/54/92/25/1074549225.db2.gz PGAHQWNRVFNTMO-UHFFFAOYSA-N 0 0 441.506 -0.168 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)NC[C@]23COC(=O)N2CCOC3)cc1 ZINC001465665684 1074549248 /nfs/dbraw/zinc/54/92/48/1074549248.db2.gz ODSMXPRYXMWTPL-KRWDZBQOSA-N 0 0 428.467 -0.046 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)NC[C@@]23COC(=O)N2CCOC3)cc1 ZINC001465665685 1074549186 /nfs/dbraw/zinc/54/91/86/1074549186.db2.gz ODSMXPRYXMWTPL-QGZVFWFLSA-N 0 0 428.467 -0.046 20 0 IBADRN O=C(Cn1ccc(NC(=O)N2CCC(C(=O)N3CCOCC3)CC2)n1)N1CCOCC1 ZINC001465685570 1074549172 /nfs/dbraw/zinc/54/91/72/1074549172.db2.gz HYDFSLZPZUFEIG-UHFFFAOYSA-N 0 0 434.497 -0.155 20 0 IBADRN CS(C)(=O)=NC(=O)[C@@H]1CCCN1C(=O)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC001465686241 1074549239 /nfs/dbraw/zinc/54/92/39/1074549239.db2.gz APGNGFXZNVUZNR-ZDUSSCGKSA-N 0 0 426.499 -0.008 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)Nc2ccn(CC(=O)N3CCOCC3)n2)CC1 ZINC001465686254 1074549274 /nfs/dbraw/zinc/54/92/74/1074549274.db2.gz ASTUIGQIUIWZSU-UHFFFAOYSA-N 0 0 443.530 -0.866 20 0 IBADRN O=C(Cn1ccc(NC(=O)NCCS(=O)(=O)CC(F)(F)F)n1)N1CCOCC1 ZINC001465686629 1074549303 /nfs/dbraw/zinc/54/93/03/1074549303.db2.gz JORVVWLWWWTEBX-UHFFFAOYSA-N 0 0 427.405 -0.160 20 0 IBADRN COc1ccc(NC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1S(=O)(=O)NC1CC1 ZINC001465694726 1074549298 /nfs/dbraw/zinc/54/92/98/1074549298.db2.gz UXTHCUNDQIJGJF-UHFFFAOYSA-N 0 0 447.539 -0.252 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001465702050 1074549330 /nfs/dbraw/zinc/54/93/30/1074549330.db2.gz DJNAGAHKGUZJLC-HNNXBMFYSA-N 0 0 447.579 -0.341 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001465702051 1074549507 /nfs/dbraw/zinc/54/95/07/1074549507.db2.gz DJNAGAHKGUZJLC-OAHLLOKOSA-N 0 0 447.579 -0.341 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C ZINC001465703202 1074549635 /nfs/dbraw/zinc/54/96/35/1074549635.db2.gz YQQINSSFUAEIDX-CABCVRRESA-N 0 0 437.559 -0.534 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C ZINC001465703203 1074549556 /nfs/dbraw/zinc/54/95/56/1074549556.db2.gz YQQINSSFUAEIDX-GJZGRUSLSA-N 0 0 437.559 -0.534 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CCN2C1=O ZINC001465708684 1074549617 /nfs/dbraw/zinc/54/96/17/1074549617.db2.gz AYGQGCFUPUUDLT-CABCVRRESA-N 0 0 433.440 -0.777 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CCN2C1=O ZINC001465708685 1074549659 /nfs/dbraw/zinc/54/96/59/1074549659.db2.gz AYGQGCFUPUUDLT-GJZGRUSLSA-N 0 0 433.440 -0.777 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CCN2C1=O ZINC001465708686 1074549602 /nfs/dbraw/zinc/54/96/02/1074549602.db2.gz AYGQGCFUPUUDLT-HUUCEWRRSA-N 0 0 433.440 -0.777 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc3cccc(F)c3)CCN2C1=O ZINC001465708687 1074549494 /nfs/dbraw/zinc/54/94/94/1074549494.db2.gz AYGQGCFUPUUDLT-LSDHHAIUSA-N 0 0 433.440 -0.777 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cnn(-c3ccccc3S(C)(=O)=O)c2)CC1 ZINC001465713162 1074549581 /nfs/dbraw/zinc/54/95/81/1074549581.db2.gz YWZDIACQHXFAEZ-UHFFFAOYSA-N 0 0 442.523 -0.111 20 0 IBADRN CN(C)C(=O)Cn1cc(NC(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)cn1 ZINC001465720478 1074549641 /nfs/dbraw/zinc/54/96/41/1074549641.db2.gz JAUZGRACWPRDLZ-UHFFFAOYSA-N 0 0 429.481 -0.132 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)C1=O ZINC001465726263 1074549631 /nfs/dbraw/zinc/54/96/31/1074549631.db2.gz ODTSJNWKUHOXSU-CMPLNLGQSA-N 0 0 430.508 -0.328 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)[C@H]2CCCN(S(N)(=O)=O)C2)C1=O ZINC001465726264 1074549574 /nfs/dbraw/zinc/54/95/74/1074549574.db2.gz ODTSJNWKUHOXSU-JQWIXIFHSA-N 0 0 430.508 -0.328 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)C1=O ZINC001465726265 1074549614 /nfs/dbraw/zinc/54/96/14/1074549614.db2.gz ODTSJNWKUHOXSU-PWSUYJOCSA-N 0 0 430.508 -0.328 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)C1=O ZINC001465726266 1074549544 /nfs/dbraw/zinc/54/95/44/1074549544.db2.gz ODTSJNWKUHOXSU-ZYHUDNBSSA-N 0 0 430.508 -0.328 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001465736091 1074549527 /nfs/dbraw/zinc/54/95/27/1074549527.db2.gz CSMPNGLNUVOBQL-PBHICJAKSA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)C[C@@H](C)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001465736092 1074549623 /nfs/dbraw/zinc/54/96/23/1074549623.db2.gz CSMPNGLNUVOBQL-RHSMWYFYSA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001465736093 1074549566 /nfs/dbraw/zinc/54/95/66/1074549566.db2.gz CSMPNGLNUVOBQL-WMLDXEAASA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)C[C@H](C)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001465736094 1074549647 /nfs/dbraw/zinc/54/96/47/1074549647.db2.gz CSMPNGLNUVOBQL-YOEHRIQHSA-N 0 0 436.484 -0.176 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC(S(C)(=O)=O)CC2)s1 ZINC001465738958 1074549654 /nfs/dbraw/zinc/54/96/54/1074549654.db2.gz CSYFREUAZWCFJU-UHFFFAOYSA-N 0 0 437.565 -0.302 20 0 IBADRN CS(=O)(=O)C1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001465739009 1074549608 /nfs/dbraw/zinc/54/96/08/1074549608.db2.gz FPQQQXFMWCRIBO-UHFFFAOYSA-N 0 0 431.536 -0.180 20 0 IBADRN CCOc1ccc(S(=O)(=O)N(C)C)cc1NC(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001465739941 1074549593 /nfs/dbraw/zinc/54/95/93/1074549593.db2.gz QGLLPNDJHINCNF-UHFFFAOYSA-N 0 0 449.555 -0.052 20 0 IBADRN O=C(Cn1cc(NC(=O)N2CCN(CCc3cnccn3)CC2)cn1)N1CCOCC1 ZINC001465752977 1074549957 /nfs/dbraw/zinc/54/99/57/1074549957.db2.gz MPQQPHLBWTYZMM-UHFFFAOYSA-N 0 0 428.497 -0.076 20 0 IBADRN CCOC(=O)[C@@H](C)NC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)NC)CC2)cc1 ZINC001465768014 1074549866 /nfs/dbraw/zinc/54/98/66/1074549866.db2.gz HFZMNHJOKKBBSS-CYBMUJFWSA-N 0 0 441.510 -0.018 20 0 IBADRN CCOC(=O)[C@H](C)NC(=O)c1ccc(NC(=O)N2CCN(S(=O)(=O)NC)CC2)cc1 ZINC001465768015 1074549896 /nfs/dbraw/zinc/54/98/96/1074549896.db2.gz HFZMNHJOKKBBSS-ZDUSSCGKSA-N 0 0 441.510 -0.018 20 0 IBADRN O=C(COc1ccccc1NC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)N1CCOCC1 ZINC001465791452 1074550004 /nfs/dbraw/zinc/55/00/04/1074550004.db2.gz GLHBFYRLWIOPPW-AWEZNQCLSA-N 0 0 439.494 -0.710 20 0 IBADRN O=C(COc1ccccc1NC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)N1CCOCC1 ZINC001465791456 1074549929 /nfs/dbraw/zinc/54/99/29/1074549929.db2.gz GLHBFYRLWIOPPW-CQSZACIVSA-N 0 0 439.494 -0.710 20 0 IBADRN CN1CCn2ncc(C(=O)NCc3c(F)cccc3NS(C)(=O)=O)c2S1(=O)=O ZINC001465794431 1074550028 /nfs/dbraw/zinc/55/00/28/1074550028.db2.gz HIWIRWXARUBZIE-UHFFFAOYSA-N 0 0 431.471 -0.042 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@@H](CS(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC001465804629 1074549948 /nfs/dbraw/zinc/54/99/48/1074549948.db2.gz RRLLIOQHYFREOO-HZSPNIEDSA-N 0 0 428.515 -0.339 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@H](CS(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC001465804630 1074549920 /nfs/dbraw/zinc/54/99/20/1074549920.db2.gz RRLLIOQHYFREOO-MCIONIFRSA-N 0 0 428.515 -0.339 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@@H](CS(N)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC001465804631 1074550014 /nfs/dbraw/zinc/55/00/14/1074550014.db2.gz RRLLIOQHYFREOO-MGPQQGTHSA-N 0 0 428.515 -0.339 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@H](CS(N)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC001465804632 1074549987 /nfs/dbraw/zinc/54/99/87/1074549987.db2.gz RRLLIOQHYFREOO-MJBXVCDLSA-N 0 0 428.515 -0.339 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@H](C)C3)c2)CCO1 ZINC001465804713 1074550058 /nfs/dbraw/zinc/55/00/58/1074550058.db2.gz TYTCQXJQPACVRV-IIAWOOMASA-N 0 0 437.501 -0.319 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CCO1 ZINC001465804714 1074550022 /nfs/dbraw/zinc/55/00/22/1074550022.db2.gz TYTCQXJQPACVRV-IJEWVQPXSA-N 0 0 437.501 -0.319 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)Nc2cnn(CC(=O)N3C[C@@H](C)O[C@@H](C)C3)c2)CCO1 ZINC001465804715 1074549907 /nfs/dbraw/zinc/54/99/07/1074549907.db2.gz TYTCQXJQPACVRV-LZWOXQAQSA-N 0 0 437.501 -0.319 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)Nc2cnn(CC(=O)N3C[C@H](C)O[C@@H](C)C3)c2)CCO1 ZINC001465804716 1074549940 /nfs/dbraw/zinc/54/99/40/1074549940.db2.gz TYTCQXJQPACVRV-OFQRWUPVSA-N 0 0 437.501 -0.319 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@@H](CNS(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC001465804881 1074549978 /nfs/dbraw/zinc/54/99/78/1074549978.db2.gz XZPGDOOYYOGLFN-KFWWJZLASA-N 0 0 442.542 -0.078 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@H](CNS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC001465804882 1074550050 /nfs/dbraw/zinc/55/00/50/1074550050.db2.gz XZPGDOOYYOGLFN-QLFBSQMISA-N 0 0 442.542 -0.078 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@H](CNS(C)(=O)=O)C3)cn2)C[C@@H](C)O1 ZINC001465804883 1074549878 /nfs/dbraw/zinc/54/98/78/1074549878.db2.gz XZPGDOOYYOGLFN-RBSFLKMASA-N 0 0 442.542 -0.078 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CC[C@@H](CNS(C)(=O)=O)C3)cn2)C[C@H](C)O1 ZINC001465804884 1074549885 /nfs/dbraw/zinc/54/98/85/1074549885.db2.gz XZPGDOOYYOGLFN-ZNMIVQPWSA-N 0 0 442.542 -0.078 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H](NC(=O)C3CCCC3)[C@@H](C)O)CC2)cn1C ZINC001465827147 1074549996 /nfs/dbraw/zinc/54/99/96/1074549996.db2.gz ANYKCGPOVONNPJ-CXAGYDPISA-N 0 0 441.554 -0.383 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H](NC(=O)C3CCCC3)[C@@H](C)O)CC2)cn1C ZINC001465827148 1074549852 /nfs/dbraw/zinc/54/98/52/1074549852.db2.gz ANYKCGPOVONNPJ-DYVFJYSZSA-N 0 0 441.554 -0.383 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H](NC(=O)C3CCCC3)[C@H](C)O)CC2)cn1C ZINC001465827149 1074550334 /nfs/dbraw/zinc/55/03/34/1074550334.db2.gz ANYKCGPOVONNPJ-GUYCJALGSA-N 0 0 441.554 -0.383 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@H](NC(=O)C3CCCC3)[C@H](C)O)CC2)cn1C ZINC001465827150 1074550290 /nfs/dbraw/zinc/55/02/90/1074550290.db2.gz ANYKCGPOVONNPJ-SUMWQHHRSA-N 0 0 441.554 -0.383 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)CC2)cn1C ZINC001465827326 1074550253 /nfs/dbraw/zinc/55/02/53/1074550253.db2.gz ILJUTGRWKMJNHF-ZDUSSCGKSA-N 0 0 431.515 -0.553 20 0 IBADRN COCCOCCc1nc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)c[nH]1 ZINC001465827380 1074550388 /nfs/dbraw/zinc/55/03/88/1074550388.db2.gz KCEUJKODZZNMOR-UHFFFAOYSA-N 0 0 440.526 -0.196 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Nc2cc(C3CC3)nn2[C@@H]2CCS(=O)(=O)C2)C1 ZINC001465831803 1074550418 /nfs/dbraw/zinc/55/04/18/1074550418.db2.gz PNZOHDFGGWYIQZ-CYBMUJFWSA-N 0 0 446.555 -0.268 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Nc2cc(C3CC3)nn2[C@H]2CCS(=O)(=O)C2)C1 ZINC001465831805 1074550429 /nfs/dbraw/zinc/55/04/29/1074550429.db2.gz PNZOHDFGGWYIQZ-ZDUSSCGKSA-N 0 0 446.555 -0.268 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001465833209 1074550258 /nfs/dbraw/zinc/55/02/58/1074550258.db2.gz VLZRJGFJRMUNPD-CRAIPNDOSA-N 0 0 447.511 -0.045 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001465833210 1074550382 /nfs/dbraw/zinc/55/03/82/1074550382.db2.gz VLZRJGFJRMUNPD-MAUKXSAKSA-N 0 0 447.511 -0.045 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001465833211 1074550376 /nfs/dbraw/zinc/55/03/76/1074550376.db2.gz VLZRJGFJRMUNPD-QAPCUYQASA-N 0 0 447.511 -0.045 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2F)CC1 ZINC001465833212 1074550359 /nfs/dbraw/zinc/55/03/59/1074550359.db2.gz VLZRJGFJRMUNPD-YJBOKZPZSA-N 0 0 447.511 -0.045 20 0 IBADRN O=C(NCCn1cnnn1)c1ccc(Br)c(S(=O)(=O)N2CCOCC2)c1 ZINC001465849234 1074550349 /nfs/dbraw/zinc/55/03/49/1074550349.db2.gz SVHJMYLPGSDNBF-UHFFFAOYSA-N 0 0 445.299 -0.114 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)NCCn3cnnn3)ccc2F)CC1 ZINC001465849305 1074550311 /nfs/dbraw/zinc/55/03/11/1074550311.db2.gz VXNFXAHBDCUAJX-UHFFFAOYSA-N 0 0 425.446 -0.905 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CC[C@@H](OCCN3CCOCC3)C2)[C@H]1c1nccn1C ZINC001465853076 1074550265 /nfs/dbraw/zinc/55/02/65/1074550265.db2.gz WSLICYFYYICDGX-NEWSRXKRSA-N 0 0 435.525 -0.342 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CC[C@H](OCCN3CCOCC3)C2)[C@H]1c1nccn1C ZINC001465853077 1074550277 /nfs/dbraw/zinc/55/02/77/1074550277.db2.gz WSLICYFYYICDGX-WDSOQIARSA-N 0 0 435.525 -0.342 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc(I)cc1)[C@@H]1CC(=O)NC(=O)N1 ZINC001465913809 1074550409 /nfs/dbraw/zinc/55/04/09/1074550409.db2.gz VGPVYVFJMVDEPF-KOLCDFICSA-N 0 0 433.202 -0.255 20 0 IBADRN O=C(NC[C@H](O)COc1ccc(I)cc1)[C@@H]1CC(=O)NC(=O)N1 ZINC001465913810 1074550366 /nfs/dbraw/zinc/55/03/66/1074550366.db2.gz VGPVYVFJMVDEPF-ONGXEEELSA-N 0 0 433.202 -0.255 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)c2cc(S(N)(=O)=O)cc(F)c2C)CC1 ZINC001465925942 1074550816 /nfs/dbraw/zinc/55/08/16/1074550816.db2.gz AOPKJWNRLDLDKE-UHFFFAOYSA-N 0 0 437.515 -0.094 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N(C)C)c(OC)c2)CC1 ZINC001465938383 1074550789 /nfs/dbraw/zinc/55/07/89/1074550789.db2.gz BTCYNWHOOWDYFZ-UHFFFAOYSA-N 0 0 435.528 -0.441 20 0 IBADRN CON(C)C(=O)CNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001465959386 1074550739 /nfs/dbraw/zinc/55/07/39/1074550739.db2.gz IPXBTWBQYJSPSN-UHFFFAOYSA-N 0 0 429.499 -0.085 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001465960479 1074550837 /nfs/dbraw/zinc/55/08/37/1074550837.db2.gz WPNAQGQCJZPWOQ-SJORKVTESA-N 0 0 428.511 -0.267 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2CCOC[C@H]2CO)c1 ZINC001465960535 1074550824 /nfs/dbraw/zinc/55/08/24/1074550824.db2.gz YESOOGUDIFJKCE-CQSZACIVSA-N 0 0 428.511 -0.002 20 0 IBADRN Cn1cc(C(=O)NC[C@@H](O)CN2CCCC2=O)c(CN2C(=O)c3ccccc3C2=O)n1 ZINC001465972891 1074550808 /nfs/dbraw/zinc/55/08/08/1074550808.db2.gz OHCKHSWZSWEHGS-CYBMUJFWSA-N 0 0 425.445 -0.071 20 0 IBADRN Cn1cc(C(=O)NC[C@H](O)CN2CCCC2=O)c(CN2C(=O)c3ccccc3C2=O)n1 ZINC001465972892 1074550798 /nfs/dbraw/zinc/55/07/98/1074550798.db2.gz OHCKHSWZSWEHGS-ZDUSSCGKSA-N 0 0 425.445 -0.071 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2c(C)nn([C@@H]3CCS(=O)(=O)C3)c2C)CC1 ZINC001466041350 1074550852 /nfs/dbraw/zinc/55/08/52/1074550852.db2.gz IITZRTWFKCABEM-CYBMUJFWSA-N 0 0 434.544 -0.527 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2c(C)nn([C@H]3CCS(=O)(=O)C3)c2C)CC1 ZINC001466041355 1074550778 /nfs/dbraw/zinc/55/07/78/1074550778.db2.gz IITZRTWFKCABEM-ZDUSSCGKSA-N 0 0 434.544 -0.527 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCN(S(=O)(=O)C3CC3)CC2)c1=O ZINC001466057640 1074550710 /nfs/dbraw/zinc/55/07/10/1074550710.db2.gz WGEQQWLVGHAZRX-UHFFFAOYSA-N 0 0 432.524 -0.465 20 0 IBADRN COCC(COC)N1CCN(C(=O)Nc2cccn(CCS(C)(=O)=O)c2=O)CC1 ZINC001466058552 1074550761 /nfs/dbraw/zinc/55/07/61/1074550761.db2.gz VCKRHNDBERMYHO-UHFFFAOYSA-N 0 0 430.527 -0.296 20 0 IBADRN CCOC(=O)Cn1cccc(NC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)c1=O ZINC001466064940 1074550747 /nfs/dbraw/zinc/55/07/47/1074550747.db2.gz GAOULXCSZYWABL-UHFFFAOYSA-N 0 0 429.499 -0.196 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccncc2I)CC1 ZINC001466071397 1074550755 /nfs/dbraw/zinc/55/07/55/1074550755.db2.gz OFWWOZRMIKRRDD-UHFFFAOYSA-N 0 0 425.252 -0.278 20 0 IBADRN CC(=O)N1CC[C@@H](CN(C)C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001466082460 1074550843 /nfs/dbraw/zinc/55/08/43/1074550843.db2.gz KNNJKORDEXMKCI-AWEZNQCLSA-N 0 0 431.536 -0.305 20 0 IBADRN CC(=O)N1CC[C@H](CN(C)C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001466082461 1074550734 /nfs/dbraw/zinc/55/07/34/1074550734.db2.gz KNNJKORDEXMKCI-CQSZACIVSA-N 0 0 431.536 -0.305 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CNC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001466084417 1074550721 /nfs/dbraw/zinc/55/07/21/1074550721.db2.gz IMFYUDOCEFUXKQ-AWEZNQCLSA-N 0 0 445.563 -0.157 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001466084418 1074551242 /nfs/dbraw/zinc/55/12/42/1074551242.db2.gz IMFYUDOCEFUXKQ-CQSZACIVSA-N 0 0 445.563 -0.157 20 0 IBADRN NS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001466122667 1074551285 /nfs/dbraw/zinc/55/12/85/1074551285.db2.gz ZQJIELXWRASFKT-INIZCTEOSA-N 0 0 431.536 -0.275 20 0 IBADRN NS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)N3CCC(O)CC3)cc2)C1 ZINC001466122668 1074551343 /nfs/dbraw/zinc/55/13/43/1074551343.db2.gz ZQJIELXWRASFKT-MRXNPFEDSA-N 0 0 431.536 -0.275 20 0 IBADRN COC(=O)C1(O)CCN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CC1 ZINC001466123418 1074551214 /nfs/dbraw/zinc/55/12/14/1074551214.db2.gz AJYVTENKBNMPHV-FZKQIMNGSA-N 0 0 446.522 -0.205 20 0 IBADRN CC(C)N(CCS(C)(=O)=O)C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001466126016 1074551248 /nfs/dbraw/zinc/55/12/48/1074551248.db2.gz LNRUQYITWMNKHP-UHFFFAOYSA-N 0 0 440.565 -0.350 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccc(N3CCCC3=O)cn1)C2 ZINC001466145329 1074551207 /nfs/dbraw/zinc/55/12/07/1074551207.db2.gz LZLRVJNSFPPHRV-UHFFFAOYSA-N 0 0 428.453 -0.298 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N(C)CC(=O)Nc1ccc(N2CCOCC2)cc1 ZINC001466157130 1074551190 /nfs/dbraw/zinc/55/11/90/1074551190.db2.gz OSWTYZLZRDRSLP-UHFFFAOYSA-N 0 0 427.527 -0.342 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001466176517 1074551337 /nfs/dbraw/zinc/55/13/37/1074551337.db2.gz RNDMVRAFDCPBOP-AWEZNQCLSA-N 0 0 435.524 -0.111 20 0 IBADRN COCCS(=O)(=O)c1ccc(NC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001466176518 1074551173 /nfs/dbraw/zinc/55/11/73/1074551173.db2.gz RNDMVRAFDCPBOP-CQSZACIVSA-N 0 0 435.524 -0.111 20 0 IBADRN CC(C)CC(=O)N[C@@H](CC(N)=O)C(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001466184030 1074551180 /nfs/dbraw/zinc/55/11/80/1074551180.db2.gz VTLMELGCPDBMIV-PBHICJAKSA-N 0 0 444.488 -0.084 20 0 IBADRN CC(C)CC(=O)N[C@H](CC(N)=O)C(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001466184035 1074551198 /nfs/dbraw/zinc/55/11/98/1074551198.db2.gz VTLMELGCPDBMIV-RHSMWYFYSA-N 0 0 444.488 -0.084 20 0 IBADRN CC(C)CC(=O)N[C@H](CC(N)=O)C(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001466184038 1074551307 /nfs/dbraw/zinc/55/13/07/1074551307.db2.gz VTLMELGCPDBMIV-WMLDXEAASA-N 0 0 444.488 -0.084 20 0 IBADRN CC(C)CC(=O)N[C@@H](CC(N)=O)C(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001466184040 1074551294 /nfs/dbraw/zinc/55/12/94/1074551294.db2.gz VTLMELGCPDBMIV-YOEHRIQHSA-N 0 0 444.488 -0.084 20 0 IBADRN C[C@@H](C(=O)N(CCO)CCN1CCOCC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001466187889 1074551223 /nfs/dbraw/zinc/55/12/23/1074551223.db2.gz SLUPIIYXJSEBIR-INIZCTEOSA-N 0 0 428.530 -0.393 20 0 IBADRN C[C@H](C(=O)N(CCO)CCN1CCOCC1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001466187896 1074551299 /nfs/dbraw/zinc/55/12/99/1074551299.db2.gz SLUPIIYXJSEBIR-MRXNPFEDSA-N 0 0 428.530 -0.393 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N(CCO)CCN2CCOCC2)C1=O ZINC001466188236 1074551315 /nfs/dbraw/zinc/55/13/15/1074551315.db2.gz YAXZUYGULXDHIH-KRWDZBQOSA-N 0 0 442.557 -0.097 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N(CCO)CCN2CCOCC2)C1=O ZINC001466188238 1074551261 /nfs/dbraw/zinc/55/12/61/1074551261.db2.gz YAXZUYGULXDHIH-QGZVFWFLSA-N 0 0 442.557 -0.097 20 0 IBADRN CN(CCNC(=O)[C@H](CO)NC(=O)c1cccc(F)n1)S(=O)(=O)c1cccs1 ZINC001466195570 1074551854 /nfs/dbraw/zinc/55/18/54/1074551854.db2.gz FJYROVJODYNZNY-LBPRGKRZSA-N 0 0 430.483 -0.190 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC001466220112 1074551717 /nfs/dbraw/zinc/55/17/17/1074551717.db2.gz TUUJLAHZYIEKEI-UHFFFAOYSA-N 0 0 434.540 -0.009 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC(n3ccnn3)CC2)c1 ZINC001466249888 1074551803 /nfs/dbraw/zinc/55/18/03/1074551803.db2.gz WTAZWFWYARSIGT-UHFFFAOYSA-N 0 0 427.508 -0.176 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)NCCN3CCN(S(C)(=O)=O)CC3)ccc21 ZINC001466251953 1074551656 /nfs/dbraw/zinc/55/16/56/1074551656.db2.gz ZTQOTCHYZOFIDS-UHFFFAOYSA-N 0 0 439.538 -0.079 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001466255238 1074551813 /nfs/dbraw/zinc/55/18/13/1074551813.db2.gz OHZJIPRABNEQSR-INIZCTEOSA-N 0 0 447.531 -0.109 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001466255239 1074551832 /nfs/dbraw/zinc/55/18/32/1074551832.db2.gz OHZJIPRABNEQSR-MRXNPFEDSA-N 0 0 447.531 -0.109 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N1CCN(Cc2nc[nH]n2)CC1 ZINC001466297197 1074551580 /nfs/dbraw/zinc/55/15/80/1074551580.db2.gz CZHKUBOVHRCQFS-UHFFFAOYSA-N 0 0 436.498 -0.430 20 0 IBADRN C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCN1S(C)(=O)=O ZINC001466297951 1074551755 /nfs/dbraw/zinc/55/17/55/1074551755.db2.gz PJAYNUGRTLQOSC-CYBMUJFWSA-N 0 0 447.539 -0.400 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC001466298445 1074551702 /nfs/dbraw/zinc/55/17/02/1074551702.db2.gz UXJRABYVAHKOJM-CYBMUJFWSA-N 0 0 448.523 -0.620 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CCO1 ZINC001466298449 1074551824 /nfs/dbraw/zinc/55/18/24/1074551824.db2.gz UXJRABYVAHKOJM-ZDUSSCGKSA-N 0 0 448.523 -0.620 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)C3=NN(CCO)C(=O)CC3)CC2)cc1 ZINC001466300281 1074551643 /nfs/dbraw/zinc/55/16/43/1074551643.db2.gz QIEZONBNCUERSL-UHFFFAOYSA-N 0 0 438.506 -0.111 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)c3cnn(C[C@H](O)CO)c3)CC2)cc1 ZINC001466300371 1074551842 /nfs/dbraw/zinc/55/18/42/1074551842.db2.gz UJWJUMNMMALJCW-INIZCTEOSA-N 0 0 438.506 -0.218 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCCN(C(=O)c3cnn(C[C@@H](O)CO)c3)CC2)cc1 ZINC001466300372 1074551692 /nfs/dbraw/zinc/55/16/92/1074551692.db2.gz UJWJUMNMMALJCW-MRXNPFEDSA-N 0 0 438.506 -0.218 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)NC2CCN([C@@H]3CCOC3=O)CC2)cc1 ZINC001466305701 1074551742 /nfs/dbraw/zinc/55/17/42/1074551742.db2.gz UPSBHLBCLHBJTB-CXAGYDPISA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@@H](O)CNS(=O)(=O)c1ccc(C(=O)NC2CCN([C@H]3CCOC3=O)CC2)cc1 ZINC001466305705 1074551767 /nfs/dbraw/zinc/55/17/67/1074551767.db2.gz UPSBHLBCLHBJTB-DYVFJYSZSA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)NC2CCN([C@H]3CCOC3=O)CC2)cc1 ZINC001466305706 1074551608 /nfs/dbraw/zinc/55/16/08/1074551608.db2.gz UPSBHLBCLHBJTB-GUYCJALGSA-N 0 0 425.507 -0.145 20 0 IBADRN C[C@H](O)CNS(=O)(=O)c1ccc(C(=O)NC2CCN([C@@H]3CCOC3=O)CC2)cc1 ZINC001466305709 1074551787 /nfs/dbraw/zinc/55/17/87/1074551787.db2.gz UPSBHLBCLHBJTB-SUMWQHHRSA-N 0 0 425.507 -0.145 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)CN(C)S(=O)(=O)N(C)C)CC1 ZINC001466311913 1074552236 /nfs/dbraw/zinc/55/22/36/1074552236.db2.gz JEKVOFICZZXGBT-UHFFFAOYSA-N 0 0 427.527 -0.484 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCCN(C(=O)CNC(C)=O)CC2)c1 ZINC001466342872 1074552166 /nfs/dbraw/zinc/55/21/66/1074552166.db2.gz MLIYLWOEQCYSRX-UHFFFAOYSA-N 0 0 432.481 -0.635 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CCCC1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001466344139 1074552273 /nfs/dbraw/zinc/55/22/73/1074552273.db2.gz FCMSPGJTXZNWHI-BHYGNILZSA-N 0 0 431.555 -0.305 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CCCC1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001466344142 1074552284 /nfs/dbraw/zinc/55/22/84/1074552284.db2.gz FCMSPGJTXZNWHI-UAGQMJEPSA-N 0 0 431.555 -0.305 20 0 IBADRN C[C@H](O)[C@@H](NC(=O)C1CCCC1)C(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001466344144 1074552159 /nfs/dbraw/zinc/55/21/59/1074552159.db2.gz FCMSPGJTXZNWHI-USXIJHARSA-N 0 0 431.555 -0.305 20 0 IBADRN C[C@H](O)[C@H](NC(=O)C1CCCC1)C(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001466344145 1074552140 /nfs/dbraw/zinc/55/21/40/1074552140.db2.gz FCMSPGJTXZNWHI-XIRDDKMYSA-N 0 0 431.555 -0.305 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2CCC[C@@H]2C(=O)NCC(=O)OC)n1 ZINC001466370576 1074552303 /nfs/dbraw/zinc/55/23/03/1074552303.db2.gz DWRWFPYCJIBUQL-CYBMUJFWSA-N 0 0 429.499 -0.088 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2CCC[C@H]2C(=O)NCC(=O)OC)n1 ZINC001466370583 1074552194 /nfs/dbraw/zinc/55/21/94/1074552194.db2.gz DWRWFPYCJIBUQL-ZDUSSCGKSA-N 0 0 429.499 -0.088 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)n1 ZINC001466371091 1074552312 /nfs/dbraw/zinc/55/23/12/1074552312.db2.gz QMHAUUOZDHUUNS-MJBXVCDLSA-N 0 0 434.540 -0.098 20 0 IBADRN COCCNS(=O)(=O)c1cc(NC(=O)NCCN2CCNC2=S)ccc1OC ZINC001466400424 1074552251 /nfs/dbraw/zinc/55/22/51/1074552251.db2.gz PIXWMAHTNWHXCK-UHFFFAOYSA-N 0 0 431.540 -0.069 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001466404048 1074552152 /nfs/dbraw/zinc/55/21/52/1074552152.db2.gz ADYWAKVHIVIXEY-CRAIPNDOSA-N 0 0 431.532 -0.152 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001466404049 1074552262 /nfs/dbraw/zinc/55/22/62/1074552262.db2.gz ADYWAKVHIVIXEY-MAUKXSAKSA-N 0 0 431.532 -0.152 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001466404050 1074552105 /nfs/dbraw/zinc/55/21/05/1074552105.db2.gz ADYWAKVHIVIXEY-QAPCUYQASA-N 0 0 431.532 -0.152 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001466404051 1074552180 /nfs/dbraw/zinc/55/21/80/1074552180.db2.gz ADYWAKVHIVIXEY-YJBOKZPZSA-N 0 0 431.532 -0.152 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001466405437 1074552294 /nfs/dbraw/zinc/55/22/94/1074552294.db2.gz NNVHILJNRXPTBB-DDUZABMNSA-N 0 0 446.547 -0.188 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001466405440 1074552186 /nfs/dbraw/zinc/55/21/86/1074552186.db2.gz NNVHILJNRXPTBB-QIIPPGSGSA-N 0 0 446.547 -0.188 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001466405443 1074552121 /nfs/dbraw/zinc/55/21/21/1074552121.db2.gz NNVHILJNRXPTBB-SIIHOXLZSA-N 0 0 446.547 -0.188 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1 ZINC001466405445 1074552224 /nfs/dbraw/zinc/55/22/24/1074552224.db2.gz NNVHILJNRXPTBB-XUWXXGDYSA-N 0 0 446.547 -0.188 20 0 IBADRN CN1C[C@H](NC(=O)CCCCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C(=O)N1 ZINC001466409344 1074552665 /nfs/dbraw/zinc/55/26/65/1074552665.db2.gz CCEIIEMPLDSYMG-AWEZNQCLSA-N 0 0 426.495 -0.242 20 0 IBADRN CN1C[C@@H](NC(=O)CCCCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C(=O)N1 ZINC001466409347 1074552706 /nfs/dbraw/zinc/55/27/06/1074552706.db2.gz CCEIIEMPLDSYMG-CQSZACIVSA-N 0 0 426.495 -0.242 20 0 IBADRN CN1C[C@H](NC(=O)c2cccc(S(=O)(=O)NCc3ccc4c(c3)OCO4)c2)C(=O)N1 ZINC001466409762 1074552617 /nfs/dbraw/zinc/55/26/17/1074552617.db2.gz JAINHTGZDRQEDN-HNNXBMFYSA-N 0 0 432.458 -0.031 20 0 IBADRN CN1C[C@@H](NC(=O)c2cccc(S(=O)(=O)NCc3ccc4c(c3)OCO4)c2)C(=O)N1 ZINC001466409769 1074552717 /nfs/dbraw/zinc/55/27/17/1074552717.db2.gz JAINHTGZDRQEDN-OAHLLOKOSA-N 0 0 432.458 -0.031 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N[C@H]3CN(C)NC3=O)c2)CC1 ZINC001466410373 1074552738 /nfs/dbraw/zinc/55/27/38/1074552738.db2.gz PMTNYRAUSFPJIM-HNNXBMFYSA-N 0 0 429.930 -0.259 20 0 IBADRN CCN1CCN(S(=O)(=O)c2ccc(Cl)c(C(=O)N[C@@H]3CN(C)NC3=O)c2)CC1 ZINC001466410376 1074552644 /nfs/dbraw/zinc/55/26/44/1074552644.db2.gz PMTNYRAUSFPJIM-OAHLLOKOSA-N 0 0 429.930 -0.259 20 0 IBADRN CN1C[C@@H](NC(=O)c2ccc(Br)c(S(=O)(=O)N3CCOCC3)c2)C(=O)N1 ZINC001466410576 1074552754 /nfs/dbraw/zinc/55/27/54/1074552754.db2.gz QXLCSSTZOYTSIW-GFCCVEGCSA-N 0 0 447.311 -0.455 20 0 IBADRN CN1C[C@H](NC(=O)c2ccc(Br)c(S(=O)(=O)N3CCOCC3)c2)C(=O)N1 ZINC001466410580 1074552711 /nfs/dbraw/zinc/55/27/11/1074552711.db2.gz QXLCSSTZOYTSIW-LBPRGKRZSA-N 0 0 447.311 -0.455 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cc(S(=O)(=O)N3CCCC3)ccn2)CC1 ZINC001466415343 1074552606 /nfs/dbraw/zinc/55/26/06/1074552606.db2.gz VIPNNMBYFAOIPJ-UHFFFAOYSA-N 0 0 432.528 -0.520 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC001466415951 1074552634 /nfs/dbraw/zinc/55/26/34/1074552634.db2.gz UAPTVZKARIDXIW-GOSISDBHSA-N 0 0 439.494 -0.385 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)Nc1cc(S(=O)(=O)N2CCCC2)ccn1 ZINC001466415955 1074552658 /nfs/dbraw/zinc/55/26/58/1074552658.db2.gz UAPTVZKARIDXIW-SFHVURJKSA-N 0 0 439.494 -0.385 20 0 IBADRN C[C@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCNC1=O ZINC001466448296 1074552593 /nfs/dbraw/zinc/55/25/93/1074552593.db2.gz QQTLVGFMCSFQFO-INIZCTEOSA-N 0 0 433.508 -0.567 20 0 IBADRN C[C@@]1(C(=O)NCCOc2ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc2)CCNC1=O ZINC001466448297 1074552673 /nfs/dbraw/zinc/55/26/73/1074552673.db2.gz QQTLVGFMCSFQFO-MRXNPFEDSA-N 0 0 433.508 -0.567 20 0 IBADRN CCc1nn(C)c(OC)c1CNC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001466455843 1074552746 /nfs/dbraw/zinc/55/27/46/1074552746.db2.gz JBMRLCMVWTYXLJ-UHFFFAOYSA-N 0 0 444.535 -0.011 20 0 IBADRN CC(C)CS(=O)(=O)N1CCC(NC(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CC1 ZINC001466456069 1074552690 /nfs/dbraw/zinc/55/26/90/1074552690.db2.gz QQJXAZCBUXEWBI-HNNXBMFYSA-N 0 0 430.502 -0.122 20 0 IBADRN CN(CC(N)=O)C(=O)c1cccc(NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1 ZINC001466458994 1074552680 /nfs/dbraw/zinc/55/26/80/1074552680.db2.gz HLWOLTJSBBTYQY-UHFFFAOYSA-N 0 0 446.508 -0.748 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCC(=O)N[C@@H](CC(C)C)C(=O)OC)[C@H]1c1nccn1C ZINC001466479078 1074552723 /nfs/dbraw/zinc/55/27/23/1074552723.db2.gz JOJLJEUVRVZUHG-JQFCIGGWSA-N 0 0 437.497 -0.471 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC001466492553 1074552626 /nfs/dbraw/zinc/55/26/26/1074552626.db2.gz CLEGFDZWLNVNOA-KBPBESRZSA-N 0 0 432.543 -0.006 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC001466492554 1074552732 /nfs/dbraw/zinc/55/27/32/1074552732.db2.gz CLEGFDZWLNVNOA-KGLIPLIRSA-N 0 0 432.543 -0.006 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC001466492555 1074552699 /nfs/dbraw/zinc/55/26/99/1074552699.db2.gz CLEGFDZWLNVNOA-UONOGXRCSA-N 0 0 432.543 -0.006 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](C)N2CCN(C(=O)OC(C)(C)C)CC2=O)C1 ZINC001466492556 1074553139 /nfs/dbraw/zinc/55/31/39/1074553139.db2.gz CLEGFDZWLNVNOA-ZIAGYGMSSA-N 0 0 432.543 -0.006 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)C1 ZINC001466492920 1074553080 /nfs/dbraw/zinc/55/30/80/1074553080.db2.gz GKMNRSYOTHWDNB-DMDPSCGWSA-N 0 0 425.554 -0.684 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)C1 ZINC001466492921 1074553100 /nfs/dbraw/zinc/55/31/00/1074553100.db2.gz GKMNRSYOTHWDNB-LOWVWBTDSA-N 0 0 425.554 -0.684 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)C1 ZINC001466492922 1074553118 /nfs/dbraw/zinc/55/31/18/1074553118.db2.gz GKMNRSYOTHWDNB-NQBHXWOUSA-N 0 0 425.554 -0.684 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)C1 ZINC001466492923 1074553022 /nfs/dbraw/zinc/55/30/22/1074553022.db2.gz GKMNRSYOTHWDNB-WZRBSPASSA-N 0 0 425.554 -0.684 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)C1 ZINC001466493938 1074553151 /nfs/dbraw/zinc/55/31/51/1074553151.db2.gz VPJBWSGKGBRGOI-OLZOCXBDSA-N 0 0 441.572 -0.145 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)C1 ZINC001466493939 1074553095 /nfs/dbraw/zinc/55/30/95/1074553095.db2.gz VPJBWSGKGBRGOI-STQMWFEESA-N 0 0 441.572 -0.145 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC001466501710 1074553104 /nfs/dbraw/zinc/55/31/04/1074553104.db2.gz FZIRSQQPFLRRJF-QWRGUYRKSA-N 0 0 448.464 -0.188 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F ZINC001466501711 1074553155 /nfs/dbraw/zinc/55/31/55/1074553155.db2.gz FZIRSQQPFLRRJF-WDEREUQCSA-N 0 0 448.464 -0.188 20 0 IBADRN COCC(COC)N1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC001466501848 1074553036 /nfs/dbraw/zinc/55/30/36/1074553036.db2.gz GUGZYKIFRYBVRS-CYBMUJFWSA-N 0 0 434.481 -0.203 20 0 IBADRN COCC(COC)N1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC001466501849 1074553058 /nfs/dbraw/zinc/55/30/58/1074553058.db2.gz GUGZYKIFRYBVRS-ZDUSSCGKSA-N 0 0 434.481 -0.203 20 0 IBADRN CN(C)S(=O)(=O)C[C@H](NC(=O)Nc1ccn(CCN2CC[NH+]=C2[O-])n1)C(F)(F)F ZINC001466502310 1074553009 /nfs/dbraw/zinc/55/30/09/1074553009.db2.gz MZSYPKSKUUGGNR-JTQLQIEISA-N 0 0 441.436 -0.148 20 0 IBADRN CN(C)S(=O)(=O)C[C@@H](NC(=O)Nc1ccn(CCN2CC[NH+]=C2[O-])n1)C(F)(F)F ZINC001466502311 1074553016 /nfs/dbraw/zinc/55/30/16/1074553016.db2.gz MZSYPKSKUUGGNR-SNVBAGLBSA-N 0 0 441.436 -0.148 20 0 IBADRN CON(C)C[C@@H](O)Cn1cc(NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1 ZINC001466502535 1074553133 /nfs/dbraw/zinc/55/31/33/1074553133.db2.gz QVTWMZXBKJBZKL-NEPJUHHUSA-N 0 0 446.452 -0.319 20 0 IBADRN CON(C)C[C@H](O)Cn1cc(NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1 ZINC001466502536 1074552997 /nfs/dbraw/zinc/55/29/97/1074552997.db2.gz QVTWMZXBKJBZKL-NWDGAFQWSA-N 0 0 446.452 -0.319 20 0 IBADRN CON(C)C[C@H](O)Cn1cc(NC(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1 ZINC001466502537 1074553052 /nfs/dbraw/zinc/55/30/52/1074553052.db2.gz QVTWMZXBKJBZKL-RYUDHWBXSA-N 0 0 446.452 -0.319 20 0 IBADRN CON(C)C[C@@H](O)Cn1cc(NC(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)cn1 ZINC001466502538 1074553087 /nfs/dbraw/zinc/55/30/87/1074553087.db2.gz QVTWMZXBKJBZKL-VXGBXAGGSA-N 0 0 446.452 -0.319 20 0 IBADRN Cc1cc(C)n(CC(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)c(=O)n1 ZINC001466525285 1074553125 /nfs/dbraw/zinc/55/31/25/1074553125.db2.gz CTPHXHTYXPWMST-UHFFFAOYSA-N 0 0 434.474 -0.179 20 0 IBADRN CC1=C(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)S(=O)(=O)CCO1 ZINC001466525325 1074553111 /nfs/dbraw/zinc/55/31/11/1074553111.db2.gz DXPWDUWIWRFTAX-UHFFFAOYSA-N 0 0 444.487 -0.377 20 0 IBADRN CN(C)[C@@H](C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)c1cncn1C ZINC001466526266 1074553143 /nfs/dbraw/zinc/55/31/43/1074553143.db2.gz YLGPYRYMMDVQOL-GOSISDBHSA-N 0 0 435.506 -0.017 20 0 IBADRN CN(C)[C@H](C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)c1cncn1C ZINC001466526267 1074553493 /nfs/dbraw/zinc/55/34/93/1074553493.db2.gz YLGPYRYMMDVQOL-SFHVURJKSA-N 0 0 435.506 -0.017 20 0 IBADRN C[C@@H](O)C(=O)N1CCN(C(=O)c2cn(C)nc2CN2C(=O)c3ccccc3C2=O)CC1 ZINC001466596670 1074553551 /nfs/dbraw/zinc/55/35/51/1074553551.db2.gz FBCDZRBDRJKTEV-CYBMUJFWSA-N 0 0 425.445 -0.119 20 0 IBADRN C[C@H](O)C(=O)N1CCN(C(=O)c2cn(C)nc2CN2C(=O)c3ccccc3C2=O)CC1 ZINC001466596671 1074553584 /nfs/dbraw/zinc/55/35/84/1074553584.db2.gz FBCDZRBDRJKTEV-ZDUSSCGKSA-N 0 0 425.445 -0.119 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCNC(=O)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001466599115 1074553592 /nfs/dbraw/zinc/55/35/92/1074553592.db2.gz LQWPDCUULBHSPO-QMTHXVAHSA-N 0 0 425.371 -0.104 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCNC(=O)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001466599116 1074553572 /nfs/dbraw/zinc/55/35/72/1074553572.db2.gz LQWPDCUULBHSPO-YGRLFVJLSA-N 0 0 425.371 -0.104 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NCCC(=O)N2CCCCC2)c1 ZINC001466640540 1074553544 /nfs/dbraw/zinc/55/35/44/1074553544.db2.gz JIAJHEVTEKPFJT-UHFFFAOYSA-N 0 0 431.536 -0.113 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)C1 ZINC001466646264 1074553517 /nfs/dbraw/zinc/55/35/17/1074553517.db2.gz XBPIXYHGVXKVQA-AWEZNQCLSA-N 0 0 427.502 -0.176 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)Nc3ccccc3F)CC2)C1 ZINC001466646265 1074553533 /nfs/dbraw/zinc/55/35/33/1074553533.db2.gz XBPIXYHGVXKVQA-CQSZACIVSA-N 0 0 427.502 -0.176 20 0 IBADRN Cc1nn(C)c(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1Br ZINC001466654748 1074553437 /nfs/dbraw/zinc/55/34/37/1074553437.db2.gz AMBPJWUXOFTYDW-UHFFFAOYSA-N 0 0 441.290 -0.015 20 0 IBADRN Cc1ccn2ncnc2c1NC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001466674648 1074553608 /nfs/dbraw/zinc/55/36/08/1074553608.db2.gz DLZCZOOZOSYQQY-CYBMUJFWSA-N 0 0 442.523 -0.296 20 0 IBADRN Cc1ccn2ncnc2c1NC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001466674649 1074553482 /nfs/dbraw/zinc/55/34/82/1074553482.db2.gz DLZCZOOZOSYQQY-ZDUSSCGKSA-N 0 0 442.523 -0.296 20 0 IBADRN CN1CCn2ncc(C(=O)NCc3ccc(N4CCCS4(=O)=O)cc3)c2S1(=O)=O ZINC001466689389 1074553525 /nfs/dbraw/zinc/55/35/25/1074553525.db2.gz AEJYARDOTUGBRM-UHFFFAOYSA-N 0 0 439.519 -0.013 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NCc3cccc(N4CCCS4(=O)=O)c3)[C@@H](O)C(=O)N2C)cn1 ZINC001466713790 1074553455 /nfs/dbraw/zinc/55/34/55/1074553455.db2.gz YSFBCWGMNUUIQM-KZNAEPCWSA-N 0 0 447.517 -0.233 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Nc2nc3c(s2)CS(=O)(=O)CC3)CC1 ZINC001466831077 1074554069 /nfs/dbraw/zinc/55/40/69/1074554069.db2.gz PWZFXHSLIXBQHK-UHFFFAOYSA-N 0 0 429.524 -0.184 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NC[C@@H](CO)Cc1ccc(Br)cc1 ZINC001466844705 1074553911 /nfs/dbraw/zinc/55/39/11/1074553911.db2.gz TYCQJFFGZAVMLV-AAEUAGOBSA-N 0 0 425.287 -0.092 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NC[C@H](CO)Cc1ccc(Br)cc1 ZINC001466844706 1074553937 /nfs/dbraw/zinc/55/39/37/1074553937.db2.gz TYCQJFFGZAVMLV-WCQYABFASA-N 0 0 425.287 -0.092 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NC[C@@H](CO)Cc1cccc(Br)c1 ZINC001466847176 1074554019 /nfs/dbraw/zinc/55/40/19/1074554019.db2.gz ZOIRLOWCLPUDTM-AAEUAGOBSA-N 0 0 425.287 -0.092 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NC[C@H](CO)Cc1cccc(Br)c1 ZINC001466847177 1074554086 /nfs/dbraw/zinc/55/40/86/1074554086.db2.gz ZOIRLOWCLPUDTM-WCQYABFASA-N 0 0 425.287 -0.092 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001466851286 1074553983 /nfs/dbraw/zinc/55/39/83/1074553983.db2.gz DGHVMSRVQASVDX-CYBMUJFWSA-N 0 0 435.547 -0.597 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001466851287 1074554035 /nfs/dbraw/zinc/55/40/35/1074554035.db2.gz DGHVMSRVQASVDX-ZDUSSCGKSA-N 0 0 435.547 -0.597 20 0 IBADRN O=C(Nc1cc(N2CCOCC2)c(Br)cn1)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001466852408 1074554128 /nfs/dbraw/zinc/55/41/28/1074554128.db2.gz IHNYGJPCQGZOTK-ZYHUDNBSSA-N 0 0 435.300 -0.040 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)[C@@H]3CCCN3C(=O)CNC(C)=O)CC2)c1N ZINC001466859844 1074553922 /nfs/dbraw/zinc/55/39/22/1074553922.db2.gz SBZCMBBTPOMSRJ-HNNXBMFYSA-N 0 0 434.497 -0.067 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)[C@H]3CCCN3C(=O)CNC(C)=O)CC2)c1N ZINC001466859845 1074554056 /nfs/dbraw/zinc/55/40/56/1074554056.db2.gz SBZCMBBTPOMSRJ-OAHLLOKOSA-N 0 0 434.497 -0.067 20 0 IBADRN CCn1cccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC001466864615 1074553949 /nfs/dbraw/zinc/55/39/49/1074553949.db2.gz YFHPUCVHKWALHJ-CYBMUJFWSA-N 0 0 432.524 -0.465 20 0 IBADRN CCn1cccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1=O ZINC001466864616 1074553975 /nfs/dbraw/zinc/55/39/75/1074553975.db2.gz YFHPUCVHKWALHJ-ZDUSSCGKSA-N 0 0 432.524 -0.465 20 0 IBADRN O=C(Cn1ccc(Br)cc1=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001466864837 1074554138 /nfs/dbraw/zinc/55/41/38/1074554138.db2.gz CDFZFPNXTZBPPJ-UHFFFAOYSA-N 0 0 430.284 -0.133 20 0 IBADRN CNS(=O)(=O)c1ccc(CC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)cc1 ZINC001466865025 1074554113 /nfs/dbraw/zinc/55/41/13/1074554113.db2.gz GFBWDMRIMWYCLA-UHFFFAOYSA-N 0 0 427.508 -0.607 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001466865075 1074554044 /nfs/dbraw/zinc/55/40/44/1074554044.db2.gz ITXRFWNMPFYZBD-GFCCVEGCSA-N 0 0 439.585 -0.696 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001466865076 1074554491 /nfs/dbraw/zinc/55/44/91/1074554491.db2.gz ITXRFWNMPFYZBD-LBPRGKRZSA-N 0 0 439.585 -0.696 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC001466867066 1074554441 /nfs/dbraw/zinc/55/44/41/1074554441.db2.gz VCALJGPUFUTONB-UHFFFAOYSA-N 0 0 441.535 -0.162 20 0 IBADRN CCNS(=O)(=O)c1cccc(C(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)c1 ZINC001466867432 1074554510 /nfs/dbraw/zinc/55/45/10/1074554510.db2.gz ZGGDPVWDBKXSBU-UHFFFAOYSA-N 0 0 427.508 -0.145 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1cccc(S(=O)(=O)C(F)F)c1)C2 ZINC001466905948 1074554434 /nfs/dbraw/zinc/55/44/34/1074554434.db2.gz BAENUXXSHJELOE-UHFFFAOYSA-N 0 0 443.432 -0.215 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1ccc(C(=O)N3CCOCC3)cc1)C2 ZINC001466906242 1074554409 /nfs/dbraw/zinc/55/44/09/1074554409.db2.gz GKFGMGZAQZRBCN-UHFFFAOYSA-N 0 0 442.476 -0.739 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)c1nn3c(c1Br)CCC3)C2 ZINC001466906404 1074554532 /nfs/dbraw/zinc/55/45/32/1074554532.db2.gz IHEJLTSLYZDEDO-UHFFFAOYSA-N 0 0 438.286 -0.306 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@]1(N(C)C)COc3ccccc3C1)C2 ZINC001466906414 1074554427 /nfs/dbraw/zinc/55/44/27/1074554427.db2.gz ILGFJRXWGIBPQH-NRFANRHFSA-N 0 0 428.493 -0.589 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@]1(N(C)C)COc3ccccc3C1)C2 ZINC001466906421 1074554388 /nfs/dbraw/zinc/55/43/88/1074554388.db2.gz ILGFJRXWGIBPQH-OAQYLSRUSA-N 0 0 428.493 -0.589 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@]1(c3ccccc3)CCC(=O)NC1)C2 ZINC001466906513 1074554381 /nfs/dbraw/zinc/55/43/81/1074554381.db2.gz JMMJWZUHPNRNDA-NRFANRHFSA-N 0 0 426.477 -0.677 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@]1(c3ccccc3)CCC(=O)NC1)C2 ZINC001466906517 1074554540 /nfs/dbraw/zinc/55/45/40/1074554540.db2.gz JMMJWZUHPNRNDA-OAQYLSRUSA-N 0 0 426.477 -0.677 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCN(Cc3ccccc3)C1=O)C2 ZINC001466906639 1074554415 /nfs/dbraw/zinc/55/44/15/1074554415.db2.gz LTJUEXJHQQKSLN-INIZCTEOSA-N 0 0 426.477 -0.476 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCN(Cc3ccccc3)C1=O)C2 ZINC001466906644 1074554404 /nfs/dbraw/zinc/55/44/04/1074554404.db2.gz LTJUEXJHQQKSLN-MRXNPFEDSA-N 0 0 426.477 -0.476 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1[C@H]3C[C@H]3CN1C(=O)OC(C)(C)C)C2 ZINC001466906756 1074554461 /nfs/dbraw/zinc/55/44/61/1074554461.db2.gz OKGUVHPPHLMIHJ-HEHGZKQESA-N 0 0 434.497 -0.269 20 0 IBADRN CCn1ncc(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1Br ZINC001466906772 1074554941 /nfs/dbraw/zinc/55/49/41/1074554941.db2.gz OZQDFAQCUMSEMN-UHFFFAOYSA-N 0 0 426.275 -0.232 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CCN(C(=O)c3ccccc3)C1)C2 ZINC001466907123 1074554905 /nfs/dbraw/zinc/55/49/05/1074554905.db2.gz VTLDLFHOHNOSFD-INIZCTEOSA-N 0 0 426.477 -0.363 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@@H]1CCN(C(=O)c3ccccc3)C1)C2 ZINC001466907124 1074554897 /nfs/dbraw/zinc/55/48/97/1074554897.db2.gz VTLDLFHOHNOSFD-MRXNPFEDSA-N 0 0 426.477 -0.363 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cccc(F)c1)C2 ZINC001466907146 1074554934 /nfs/dbraw/zinc/55/49/34/1074554934.db2.gz WVNAYHWIXISSMS-HNAYVOBHSA-N 0 0 444.467 -0.166 20 0 IBADRN COC(=O)[C@@]1(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@H]1c1ccccc1 ZINC001466907282 1074554851 /nfs/dbraw/zinc/55/48/51/1074554851.db2.gz XYENXQAUKLJVNM-BTYIYWSLSA-N 0 0 427.461 -0.178 20 0 IBADRN COC(=O)[C@]1(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@@H]1c1ccccc1 ZINC001466907283 1074554814 /nfs/dbraw/zinc/55/48/14/1074554814.db2.gz XYENXQAUKLJVNM-QVKFZJNVSA-N 0 0 427.461 -0.178 20 0 IBADRN COC(=O)[C@@]1(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@@H]1c1ccccc1 ZINC001466907285 1074554859 /nfs/dbraw/zinc/55/48/59/1074554859.db2.gz XYENXQAUKLJVNM-VFNWGFHPSA-N 0 0 427.461 -0.178 20 0 IBADRN COC(=O)[C@]1(C(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)C[C@H]1c1ccccc1 ZINC001466907287 1074555002 /nfs/dbraw/zinc/55/50/02/1074555002.db2.gz XYENXQAUKLJVNM-YCRPNKLZSA-N 0 0 427.461 -0.178 20 0 IBADRN Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC001466938767 1074554838 /nfs/dbraw/zinc/55/48/38/1074554838.db2.gz BVPLIWMRUNCGQL-GOSISDBHSA-N 0 0 445.505 -0.337 20 0 IBADRN Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1C(=O)CNS(=O)(=O)c1cn(C)c(C)n1 ZINC001466938768 1074554914 /nfs/dbraw/zinc/55/49/14/1074554914.db2.gz BVPLIWMRUNCGQL-SFHVURJKSA-N 0 0 445.505 -0.337 20 0 IBADRN COCc1noc(CN2CCN(C(=O)[C@H](CO)NC(=O)Cc3ccc(F)cc3)CC2)n1 ZINC001466947929 1074554923 /nfs/dbraw/zinc/55/49/23/1074554923.db2.gz YKDKZHQJWZXBKS-INIZCTEOSA-N 0 0 435.456 -0.281 20 0 IBADRN Cn1cc(I)c(CNC(=O)Nc2ccc(=O)n(CC(N)=O)c2)n1 ZINC001467008930 1074554952 /nfs/dbraw/zinc/55/49/52/1074554952.db2.gz FWYZASLWIKEHOW-UHFFFAOYSA-N 0 0 430.206 -0.007 20 0 IBADRN CCN(C(C)=O)c1ccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cn1 ZINC001467028632 1074554993 /nfs/dbraw/zinc/55/49/93/1074554993.db2.gz POAAQVQULLDLKJ-UHFFFAOYSA-N 0 0 430.469 -0.052 20 0 IBADRN O=C(Nc1cccc(CN2CCCS2(=O)=O)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001467028991 1074554823 /nfs/dbraw/zinc/55/48/23/1074554823.db2.gz UZHOBIQCVZGSST-HNNXBMFYSA-N 0 0 429.524 -0.412 20 0 IBADRN O=C(Nc1cccc(CN2CCCS2(=O)=O)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001467028999 1074555023 /nfs/dbraw/zinc/55/50/23/1074555023.db2.gz UZHOBIQCVZGSST-OAHLLOKOSA-N 0 0 429.524 -0.412 20 0 IBADRN COCc1nccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001467042413 1074554977 /nfs/dbraw/zinc/55/49/77/1074554977.db2.gz OVWTXCMCPSHFHC-GFCCVEGCSA-N 0 0 433.512 -0.711 20 0 IBADRN COCc1nccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)n1 ZINC001467042416 1074554987 /nfs/dbraw/zinc/55/49/87/1074554987.db2.gz OVWTXCMCPSHFHC-LBPRGKRZSA-N 0 0 433.512 -0.711 20 0 IBADRN COC(=O)C(C)(C)n1ccc(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)n1 ZINC001467045667 1074554963 /nfs/dbraw/zinc/55/49/63/1074554963.db2.gz AUTKIVNBQIKZEQ-UHFFFAOYSA-N 0 0 434.453 -0.384 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC001467105285 1074555010 /nfs/dbraw/zinc/55/50/10/1074555010.db2.gz WIJRXVSIWBNEJS-UHFFFAOYSA-N 0 0 435.528 -0.775 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)NC[C@]23COC(=O)N2CCOC3)c1 ZINC001467106023 1074555447 /nfs/dbraw/zinc/55/54/47/1074555447.db2.gz XPUCZNZAJYCYDO-KRWDZBQOSA-N 0 0 428.467 -0.046 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)NC[C@@]23COC(=O)N2CCOC3)c1 ZINC001467106025 1074555364 /nfs/dbraw/zinc/55/53/64/1074555364.db2.gz XPUCZNZAJYCYDO-QGZVFWFLSA-N 0 0 428.467 -0.046 20 0 IBADRN CNC(=O)CN1CCC(NC(=O)Nc2cccc(S(=O)(=O)NCCOC)c2)CC1 ZINC001467106418 1074555417 /nfs/dbraw/zinc/55/54/17/1074555417.db2.gz ULBGFYHABUAAGD-UHFFFAOYSA-N 0 0 427.527 -0.057 20 0 IBADRN NC(=O)CN1CCN(C(=O)Nc2ccc3c(c2Br)S(=O)(=O)CC3)CC1=O ZINC001467112249 1074555449 /nfs/dbraw/zinc/55/54/49/1074555449.db2.gz WFHHBWGXZYNHSH-UHFFFAOYSA-N 0 0 445.295 -0.060 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)Nc1cnc(N2C[C@H]3CC[C@@H](C2)O3)nc1 ZINC001467198770 1074555443 /nfs/dbraw/zinc/55/54/43/1074555443.db2.gz IPZLHRQNCMKUBO-GASCZTMLSA-N 0 0 426.499 -0.372 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2cnc(N3C[C@H]4CC[C@@H](C3)O4)nc2)CC1 ZINC001467205721 1074555347 /nfs/dbraw/zinc/55/53/47/1074555347.db2.gz ZDSBVKFANSDGPT-CALCHBBNSA-N 0 0 433.513 -0.244 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)Nc2cnc(N3C[C@H]4CC[C@@H](C3)O4)nc2)CC1 ZINC001467205869 1074555413 /nfs/dbraw/zinc/55/54/13/1074555413.db2.gz ZTIOWBRCZXAPQU-IYBDPMFKSA-N 0 0 439.542 -0.457 20 0 IBADRN COc1ccnc(OC)c1NC(=O)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001467212531 1074555435 /nfs/dbraw/zinc/55/54/35/1074555435.db2.gz RYVKZLSCKJBVTL-GFCCVEGCSA-N 0 0 448.523 -0.235 20 0 IBADRN COc1ccnc(OC)c1NC(=O)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001467212533 1074555392 /nfs/dbraw/zinc/55/53/92/1074555392.db2.gz RYVKZLSCKJBVTL-LBPRGKRZSA-N 0 0 448.523 -0.235 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC1(O)CCOCC1 ZINC001467231561 1074555433 /nfs/dbraw/zinc/55/54/33/1074555433.db2.gz YEZFOZPHNTXJLV-HNNXBMFYSA-N 0 0 434.536 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC1(O)CCOCC1 ZINC001467231562 1074555384 /nfs/dbraw/zinc/55/53/84/1074555384.db2.gz YEZFOZPHNTXJLV-OAHLLOKOSA-N 0 0 434.536 -0.574 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC(=O)N2)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001467233429 1074555437 /nfs/dbraw/zinc/55/54/37/1074555437.db2.gz CBSQVSKLXPAXJK-INIZCTEOSA-N 0 0 429.476 -0.166 20 0 IBADRN O=C(Nc1ccc2c(c1)S(=O)(=O)CC(=O)N2)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001467233430 1074555407 /nfs/dbraw/zinc/55/54/07/1074555407.db2.gz CBSQVSKLXPAXJK-MRXNPFEDSA-N 0 0 429.476 -0.166 20 0 IBADRN COC1(C(=O)N2CCC[C@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)CS(=O)(=O)C1 ZINC001467267019 1074555370 /nfs/dbraw/zinc/55/53/70/1074555370.db2.gz LKVQJPVIXUHKNK-AWEZNQCLSA-N 0 0 445.538 -0.130 20 0 IBADRN COC1(C(=O)N2CCC[C@@H](N3CCN(C(=O)OC(C)(C)C)CC3=O)C2)CS(=O)(=O)C1 ZINC001467267021 1074555440 /nfs/dbraw/zinc/55/54/40/1074555440.db2.gz LKVQJPVIXUHKNK-CQSZACIVSA-N 0 0 445.538 -0.130 20 0 IBADRN COC(=O)CC1(NC(=O)CNS(=O)(=O)c2ccccc2F)CCS(=O)(=O)CC1 ZINC001467273258 1074555422 /nfs/dbraw/zinc/55/54/22/1074555422.db2.gz AEMIDUQRIPKTPC-UHFFFAOYSA-N 0 0 436.483 -0.269 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001467316417 1074555445 /nfs/dbraw/zinc/55/54/45/1074555445.db2.gz IVYWAVAKGXKDJG-INIZCTEOSA-N 0 0 436.484 -0.176 20 0 IBADRN COC(=O)C(C)(C)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001467316418 1074555400 /nfs/dbraw/zinc/55/54/00/1074555400.db2.gz IVYWAVAKGXKDJG-MRXNPFEDSA-N 0 0 436.484 -0.176 20 0 IBADRN Cn1c2cc(NC(=O)N3CCN(C(=O)CN4CCOCC4)CC3)ccc2c(=O)n(C)c1=O ZINC001467318539 1074555725 /nfs/dbraw/zinc/55/57/25/1074555725.db2.gz FERHPXAPVXKATB-UHFFFAOYSA-N 0 0 444.492 -0.755 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N(C)[C@@H](C)c1cccc(N2CCCS2(=O)=O)c1 ZINC001467331287 1074555815 /nfs/dbraw/zinc/55/58/15/1074555815.db2.gz FMEBZWCVJUWQQN-KGLIPLIRSA-N 0 0 435.510 -0.063 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N(C)[C@H](C)c1cccc(N2CCCS2(=O)=O)c1 ZINC001467331288 1074555792 /nfs/dbraw/zinc/55/57/92/1074555792.db2.gz FMEBZWCVJUWQQN-ZIAGYGMSSA-N 0 0 435.510 -0.063 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)Nc3ccn(CCN4CC[NH+]=C4[O-])n3)CC2)CC1 ZINC001467337625 1074555841 /nfs/dbraw/zinc/55/58/41/1074555841.db2.gz JMMANLOZRVSKAU-UHFFFAOYSA-N 0 0 432.529 -0.074 20 0 IBADRN Cn1ncc(NC(=O)NC[C@]23COCCN2C(=O)COC3)c1I ZINC001467347195 1074555716 /nfs/dbraw/zinc/55/57/16/1074555716.db2.gz QEQLHLFQGRTAPQ-CYBMUJFWSA-N 0 0 435.222 -0.226 20 0 IBADRN Cn1ncc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)c1I ZINC001467347197 1074555859 /nfs/dbraw/zinc/55/58/59/1074555859.db2.gz QEQLHLFQGRTAPQ-ZDUSSCGKSA-N 0 0 435.222 -0.226 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)NCC2CN(S(C)(=O)=O)C2)C1=O ZINC001467360742 1074555635 /nfs/dbraw/zinc/55/56/35/1074555635.db2.gz DCMIQKKKUMQVJV-AWEZNQCLSA-N 0 0 432.543 -0.243 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)NCC2CN(S(C)(=O)=O)C2)C1=O ZINC001467360743 1074555879 /nfs/dbraw/zinc/55/58/79/1074555879.db2.gz DCMIQKKKUMQVJV-CQSZACIVSA-N 0 0 432.543 -0.243 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@H]2[C@@H]1C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC001467360755 1074555806 /nfs/dbraw/zinc/55/58/06/1074555806.db2.gz DQSHBEMMYCQVDX-GWNIPJSYSA-N 0 0 437.440 -0.020 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC001467360756 1074555659 /nfs/dbraw/zinc/55/56/59/1074555659.db2.gz DQSHBEMMYCQVDX-IXOXFDKPSA-N 0 0 437.440 -0.020 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@H]2[C@H]1C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC001467360757 1074555685 /nfs/dbraw/zinc/55/56/85/1074555685.db2.gz DQSHBEMMYCQVDX-LPTSXCQYSA-N 0 0 437.440 -0.020 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC001467360758 1074555691 /nfs/dbraw/zinc/55/56/91/1074555691.db2.gz DQSHBEMMYCQVDX-MGAJPHDKSA-N 0 0 437.440 -0.020 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC001467362420 1074555783 /nfs/dbraw/zinc/55/57/83/1074555783.db2.gz XXEDBPCBIVBBMZ-CYBMUJFWSA-N 0 0 439.556 -0.582 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NCC2CN(S(C)(=O)=O)C2)C1 ZINC001467362421 1074555701 /nfs/dbraw/zinc/55/57/01/1074555701.db2.gz XXEDBPCBIVBBMZ-ZDUSSCGKSA-N 0 0 439.556 -0.582 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)[C@H]1C[C@H](O)CN1c1ccncn1 ZINC001467382687 1074555668 /nfs/dbraw/zinc/55/56/68/1074555668.db2.gz ISCXSVCJASZZHV-GXTWGEPZSA-N 0 0 427.433 -0.211 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)[C@@H]1C[C@H](O)CN1c1ccncn1 ZINC001467382688 1074555866 /nfs/dbraw/zinc/55/58/66/1074555866.db2.gz ISCXSVCJASZZHV-JSGCOSHPSA-N 0 0 427.433 -0.211 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)[C@@H]1C[C@@H](O)CN1c1ccncn1 ZINC001467382689 1074555741 /nfs/dbraw/zinc/55/57/41/1074555741.db2.gz ISCXSVCJASZZHV-OCCSQVGLSA-N 0 0 427.433 -0.211 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)[C@H]1C[C@@H](O)CN1c1ccncn1 ZINC001467382690 1074555764 /nfs/dbraw/zinc/55/57/64/1074555764.db2.gz ISCXSVCJASZZHV-TZMCWYRMSA-N 0 0 427.433 -0.211 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@H]3CCC[C@@H]3CNS(C)(=O)=O)CC2=O)cn1 ZINC001467382813 1074555754 /nfs/dbraw/zinc/55/57/54/1074555754.db2.gz IBYDBWCBDHLPNG-FMKPAKJESA-N 0 0 427.527 -0.284 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@@H]3CCC[C@@H]3CNS(C)(=O)=O)CC2=O)cn1 ZINC001467382814 1074556321 /nfs/dbraw/zinc/55/63/21/1074556321.db2.gz IBYDBWCBDHLPNG-IIAWOOMASA-N 0 0 427.527 -0.284 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@H]3CCC[C@H]3CNS(C)(=O)=O)CC2=O)cn1 ZINC001467382815 1074556240 /nfs/dbraw/zinc/55/62/40/1074556240.db2.gz IBYDBWCBDHLPNG-LZWOXQAQSA-N 0 0 427.527 -0.284 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N[C@@H]3CCC[C@H]3CNS(C)(=O)=O)CC2=O)cn1 ZINC001467382817 1074556279 /nfs/dbraw/zinc/55/62/79/1074556279.db2.gz IBYDBWCBDHLPNG-SQWLQELKSA-N 0 0 427.527 -0.284 20 0 IBADRN CS(=O)(=O)CC1(C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)COC1 ZINC001467383665 1074556300 /nfs/dbraw/zinc/55/63/00/1074556300.db2.gz WUBUTALYNAITSX-UHFFFAOYSA-N 0 0 428.891 -0.065 20 0 IBADRN COCCn1cc(CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)cn1 ZINC001467385554 1074556310 /nfs/dbraw/zinc/55/63/10/1074556310.db2.gz YEKGUWKXWBSIHN-UHFFFAOYSA-N 0 0 430.508 -0.472 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@@H](CO)CN3CCOCC3)CC2)c1 ZINC001467388508 1074556212 /nfs/dbraw/zinc/55/62/12/1074556212.db2.gz KWWPEIQMMOGGNW-GOSISDBHSA-N 0 0 440.566 -0.744 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@H](CO)CN3CCOCC3)CC2)c1 ZINC001467388510 1074556337 /nfs/dbraw/zinc/55/63/37/1074556337.db2.gz KWWPEIQMMOGGNW-SFHVURJKSA-N 0 0 440.566 -0.744 20 0 IBADRN O=CNc1cccc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001467439817 1074556332 /nfs/dbraw/zinc/55/63/32/1074556332.db2.gz HNQLUZOWJRELLG-HNNXBMFYSA-N 0 0 430.508 -0.079 20 0 IBADRN O=CNc1cccc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c1 ZINC001467439820 1074556326 /nfs/dbraw/zinc/55/63/26/1074556326.db2.gz HNQLUZOWJRELLG-OAHLLOKOSA-N 0 0 430.508 -0.079 20 0 IBADRN Cc1cc(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)ccc1S(=O)(=O)N(C)C ZINC001467442917 1074556292 /nfs/dbraw/zinc/55/62/92/1074556292.db2.gz JAVGVJXYYGDIJC-UHFFFAOYSA-N 0 0 447.583 -0.056 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC(c2nc(=N)n(C)[nH]2)CC1 ZINC001467472553 1074556189 /nfs/dbraw/zinc/55/61/89/1074556189.db2.gz JBZFSGBEVVHIDC-UHFFFAOYSA-N 0 0 437.526 -0.393 20 0 IBADRN CN1C(=O)C[C@@H](NC(=O)c2ccc(I)cc2-n2cnnn2)C1=O ZINC001467485697 1074556286 /nfs/dbraw/zinc/55/62/86/1074556286.db2.gz PVMYCVWTBVAFBV-SECBINFHSA-N 0 0 426.174 -0.246 20 0 IBADRN CN1C(=O)C[C@H](NC(=O)c2ccc(I)cc2-n2cnnn2)C1=O ZINC001467485700 1074556166 /nfs/dbraw/zinc/55/61/66/1074556166.db2.gz PVMYCVWTBVAFBV-VIFPVBQESA-N 0 0 426.174 -0.246 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1[nH]ncc1I ZINC001467492134 1074556226 /nfs/dbraw/zinc/55/62/26/1074556226.db2.gz GSPJTOXYPLNIOP-SECBINFHSA-N 0 0 436.206 -0.465 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1[nH]ncc1I ZINC001467492136 1074556342 /nfs/dbraw/zinc/55/63/42/1074556342.db2.gz GSPJTOXYPLNIOP-VIFPVBQESA-N 0 0 436.206 -0.465 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cccc(NS(=O)(=O)CC)c1 ZINC001467492506 1074556175 /nfs/dbraw/zinc/55/61/75/1074556175.db2.gz LWFJYXLQTACRSF-HNNXBMFYSA-N 0 0 427.479 -0.032 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cccc(NS(=O)(=O)CC)c1 ZINC001467492507 1074556319 /nfs/dbraw/zinc/55/63/19/1074556319.db2.gz LWFJYXLQTACRSF-OAHLLOKOSA-N 0 0 427.479 -0.032 20 0 IBADRN Cn1nc2c(c1C(=O)N1CCC(S(=O)(=O)N3CCCC3)CC1)CS(=O)(=O)CC2 ZINC001467493956 1074556499 /nfs/dbraw/zinc/55/64/99/1074556499.db2.gz WUNZZQCMSZWFKM-UHFFFAOYSA-N 0 0 430.552 -0.079 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(OCC(=O)NC)c(OC)c1 ZINC001467494475 1074556530 /nfs/dbraw/zinc/55/65/30/1074556530.db2.gz CRPLZPCWAUPRPO-AWEZNQCLSA-N 0 0 437.449 -0.660 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(OCC(=O)NC)c(OC)c1 ZINC001467494478 1074556575 /nfs/dbraw/zinc/55/65/75/1074556575.db2.gz CRPLZPCWAUPRPO-CQSZACIVSA-N 0 0 437.449 -0.660 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC001467494642 1074556607 /nfs/dbraw/zinc/55/66/07/1074556607.db2.gz DEYUPVMFIDOIHE-AWEZNQCLSA-N 0 0 445.469 -0.404 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(F)c(S(=O)(=O)N(C)C)c1 ZINC001467494649 1074556551 /nfs/dbraw/zinc/55/65/51/1074556551.db2.gz DEYUPVMFIDOIHE-CQSZACIVSA-N 0 0 445.469 -0.404 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cc(S(C)(=O)=O)ncc1Cl ZINC001467495014 1074556656 /nfs/dbraw/zinc/55/66/56/1074556656.db2.gz HYPSVIYJDBSRRX-GFCCVEGCSA-N 0 0 433.870 -0.341 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cc(S(C)(=O)=O)ncc1Cl ZINC001467495015 1074556488 /nfs/dbraw/zinc/55/64/88/1074556488.db2.gz HYPSVIYJDBSRRX-LBPRGKRZSA-N 0 0 433.870 -0.341 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cn1ccc(Br)cc1=O ZINC001467495544 1074556601 /nfs/dbraw/zinc/55/66/01/1074556601.db2.gz PIOOWBOLWCUDDH-GFCCVEGCSA-N 0 0 430.255 -0.483 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cn1ccc(Br)cc1=O ZINC001467495545 1074556645 /nfs/dbraw/zinc/55/66/45/1074556645.db2.gz PIOOWBOLWCUDDH-LBPRGKRZSA-N 0 0 430.255 -0.483 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)CNS(=O)(=O)c1cccc(F)c1 ZINC001467495752 1074556540 /nfs/dbraw/zinc/55/65/40/1074556540.db2.gz SLDNMJWIQVTQHF-AWEZNQCLSA-N 0 0 431.442 -0.989 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)CNS(=O)(=O)c1cccc(F)c1 ZINC001467495757 1074556614 /nfs/dbraw/zinc/55/66/14/1074556614.db2.gz SLDNMJWIQVTQHF-CQSZACIVSA-N 0 0 431.442 -0.989 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001467495850 1074556583 /nfs/dbraw/zinc/55/65/83/1074556583.db2.gz VRNXWJIOSTXFEQ-KBPBESRZSA-N 0 0 440.474 -0.482 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)C[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001467495851 1074556651 /nfs/dbraw/zinc/55/66/51/1074556651.db2.gz VRNXWJIOSTXFEQ-KGLIPLIRSA-N 0 0 440.474 -0.482 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001467495852 1074556518 /nfs/dbraw/zinc/55/65/18/1074556518.db2.gz VRNXWJIOSTXFEQ-UONOGXRCSA-N 0 0 440.474 -0.482 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)C[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001467495853 1074556507 /nfs/dbraw/zinc/55/65/07/1074556507.db2.gz VRNXWJIOSTXFEQ-ZIAGYGMSSA-N 0 0 440.474 -0.482 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H](C[C@@H]1COCCO1)C(F)(F)F ZINC001467495871 1074556544 /nfs/dbraw/zinc/55/65/44/1074556544.db2.gz WJYUBIHXYULMRM-AGIUHOORSA-N 0 0 426.388 -0.123 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@H](C[C@H]1COCCO1)C(F)(F)F ZINC001467495872 1074556566 /nfs/dbraw/zinc/55/65/66/1074556566.db2.gz WJYUBIHXYULMRM-AVGNSLFASA-N 0 0 426.388 -0.123 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H](C[C@@H]1COCCO1)C(F)(F)F ZINC001467495873 1074556593 /nfs/dbraw/zinc/55/65/93/1074556593.db2.gz WJYUBIHXYULMRM-UPJWGTAASA-N 0 0 426.388 -0.123 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H](C[C@H]1COCCO1)C(F)(F)F ZINC001467495874 1074556523 /nfs/dbraw/zinc/55/65/23/1074556523.db2.gz WJYUBIHXYULMRM-XQQFMLRXSA-N 0 0 426.388 -0.123 20 0 IBADRN CCOC(=O)c1cn(CC)nc1NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001467513475 1074557109 /nfs/dbraw/zinc/55/71/09/1074557109.db2.gz VXPLHJBWELQPSA-UHFFFAOYSA-N 0 0 434.453 -0.095 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N(C)Cc1cccc(N2C[C@H](C)CS2(=O)=O)c1 ZINC001467536187 1074556981 /nfs/dbraw/zinc/55/69/81/1074556981.db2.gz ZQKIYBCJUAIFBO-UONOGXRCSA-N 0 0 435.510 -0.378 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N(C)Cc1cccc(N2C[C@@H](C)CS2(=O)=O)c1 ZINC001467536188 1074557033 /nfs/dbraw/zinc/55/70/33/1074557033.db2.gz ZQKIYBCJUAIFBO-ZIAGYGMSSA-N 0 0 435.510 -0.378 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)NCc1ccc(S(C)(=O)=O)c(C(F)(F)F)c1 ZINC001467571832 1074557091 /nfs/dbraw/zinc/55/70/91/1074557091.db2.gz WRHLRSMEICPCTF-SECBINFHSA-N 0 0 434.400 -0.084 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N2CCn3c(nnc3-c3cncc(Br)c3)C2)C1=O ZINC001467598034 1074557100 /nfs/dbraw/zinc/55/71/00/1074557100.db2.gz MMBWXXYVSUBBNX-UHFFFAOYSA-N 0 0 448.237 -0.135 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)NC2(CO)COC(C)(C)OC2)c1 ZINC001467628961 1074557145 /nfs/dbraw/zinc/55/71/45/1074557145.db2.gz KPJMKUJRXSTMPJ-UHFFFAOYSA-N 0 0 429.495 -0.703 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC3(CO)COC(C)(C)OC3)cc2S1(=O)=O ZINC001467629640 1074557159 /nfs/dbraw/zinc/55/71/59/1074557159.db2.gz QOYNRISLXSGUQU-UHFFFAOYSA-N 0 0 428.463 -0.279 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(c3ncc[nH]3)CC2)c1 ZINC001467632996 1074557019 /nfs/dbraw/zinc/55/70/19/1074557019.db2.gz WWUSZVZZWITUFU-UHFFFAOYSA-N 0 0 427.508 -0.560 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC001467636338 1074557231 /nfs/dbraw/zinc/55/72/31/1074557231.db2.gz BEGVRTULBSWMCA-UHFFFAOYSA-N 0 0 428.534 -0.261 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@H](Cn2ccnn2)C1 ZINC001467645486 1074557133 /nfs/dbraw/zinc/55/71/33/1074557133.db2.gz AVVMTZZHIZRGSB-KRWDZBQOSA-N 0 0 448.553 -0.143 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@@H](Cn2ccnn2)C1 ZINC001467645488 1074556958 /nfs/dbraw/zinc/55/69/58/1074556958.db2.gz AVVMTZZHIZRGSB-QGZVFWFLSA-N 0 0 448.553 -0.143 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001467646088 1074557165 /nfs/dbraw/zinc/55/71/65/1074557165.db2.gz FJGFQROIGQQVKO-CQSZACIVSA-N 0 0 428.515 -0.759 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@H](O)C(F)(F)C1 ZINC001467646467 1074557193 /nfs/dbraw/zinc/55/71/93/1074557193.db2.gz HFURNSHCSKTTED-AWEZNQCLSA-N 0 0 433.481 -0.055 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CC[C@@H](O)C(F)(F)C1 ZINC001467646468 1074557118 /nfs/dbraw/zinc/55/71/18/1074557118.db2.gz HFURNSHCSKTTED-CQSZACIVSA-N 0 0 433.481 -0.055 20 0 IBADRN CCO[C@@H]1COC[C@H]1NC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001467646469 1074557073 /nfs/dbraw/zinc/55/70/73/1074557073.db2.gz HHDOMBWNFFQAGF-HZPDHXFCSA-N 0 0 427.527 -0.364 20 0 IBADRN C[C@@H](O)[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001467646470 1074557713 /nfs/dbraw/zinc/55/77/13/1074557713.db2.gz HHMGZRRYTZJBFE-CVEARBPZSA-N 0 0 427.527 -0.675 20 0 IBADRN C[C@H](O)[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001467646471 1074557641 /nfs/dbraw/zinc/55/76/41/1074557641.db2.gz HHMGZRRYTZJBFE-HOTGVXAUSA-N 0 0 427.527 -0.675 20 0 IBADRN C[C@@H](O)[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001467646472 1074557512 /nfs/dbraw/zinc/55/75/12/1074557512.db2.gz HHMGZRRYTZJBFE-HZPDHXFCSA-N 0 0 427.527 -0.675 20 0 IBADRN C[C@H](O)[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001467646473 1074557556 /nfs/dbraw/zinc/55/75/56/1074557556.db2.gz HHMGZRRYTZJBFE-JKSUJKDBSA-N 0 0 427.527 -0.675 20 0 IBADRN COC[C@H]1C[C@@H](O)CN1C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001467646507 1074557741 /nfs/dbraw/zinc/55/77/41/1074557741.db2.gz IEAMXKUFARZBGP-HZPDHXFCSA-N 0 0 427.527 -0.675 20 0 IBADRN CO[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@](C)(CO)C1 ZINC001467646552 1074557609 /nfs/dbraw/zinc/55/76/09/1074557609.db2.gz JVYOLBFJDZOOAI-APWZRJJASA-N 0 0 441.554 -0.285 20 0 IBADRN CO[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@](C)(CO)C1 ZINC001467646553 1074557542 /nfs/dbraw/zinc/55/75/42/1074557542.db2.gz JVYOLBFJDZOOAI-LPHOPBHVSA-N 0 0 441.554 -0.285 20 0 IBADRN CO[C@@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@@](C)(CO)C1 ZINC001467646554 1074557591 /nfs/dbraw/zinc/55/75/91/1074557591.db2.gz JVYOLBFJDZOOAI-QFBILLFUSA-N 0 0 441.554 -0.285 20 0 IBADRN CO[C@H]1CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)[C@@](C)(CO)C1 ZINC001467646555 1074557624 /nfs/dbraw/zinc/55/76/24/1074557624.db2.gz JVYOLBFJDZOOAI-VQIMIIECSA-N 0 0 441.554 -0.285 20 0 IBADRN CCN1CCC[C@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC001467646707 1074557705 /nfs/dbraw/zinc/55/77/05/1074557705.db2.gz KJZXWFQQVWYUPE-INIZCTEOSA-N 0 0 438.554 -0.157 20 0 IBADRN CCN1CCC[C@@H](NC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C1=O ZINC001467646710 1074557673 /nfs/dbraw/zinc/55/76/73/1074557673.db2.gz KJZXWFQQVWYUPE-MRXNPFEDSA-N 0 0 438.554 -0.157 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](O)[C@@H]1CCCO1 ZINC001467646973 1074557781 /nfs/dbraw/zinc/55/77/81/1074557781.db2.gz NLTBLYAXUGADMC-CVEARBPZSA-N 0 0 427.527 -0.628 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](O)[C@@H]1CCCO1 ZINC001467646974 1074557729 /nfs/dbraw/zinc/55/77/29/1074557729.db2.gz NLTBLYAXUGADMC-HOTGVXAUSA-N 0 0 427.527 -0.628 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@@H](O)[C@H]1CCCO1 ZINC001467646975 1074557655 /nfs/dbraw/zinc/55/76/55/1074557655.db2.gz NLTBLYAXUGADMC-HZPDHXFCSA-N 0 0 427.527 -0.628 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)NC[C@H](O)[C@H]1CCCO1 ZINC001467646977 1074557755 /nfs/dbraw/zinc/55/77/55/1074557755.db2.gz NLTBLYAXUGADMC-JKSUJKDBSA-N 0 0 427.527 -0.628 20 0 IBADRN CCON(C)C(=O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001467647027 1074557691 /nfs/dbraw/zinc/55/76/91/1074557691.db2.gz PUILSNOFCQEDHE-UHFFFAOYSA-N 0 0 428.515 -0.758 20 0 IBADRN CCN(CCC(=O)NC)C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001467647055 1074557572 /nfs/dbraw/zinc/55/75/72/1074557572.db2.gz QKIUFZVXFYWPFR-UHFFFAOYSA-N 0 0 426.543 -0.299 20 0 IBADRN CC1(C)CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@@H](CO)O1 ZINC001467647290 1074557527 /nfs/dbraw/zinc/55/75/27/1074557527.db2.gz SAJHOTIFXISIHU-INIZCTEOSA-N 0 0 441.554 -0.285 20 0 IBADRN CC1(C)CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)C[C@H](CO)O1 ZINC001467647292 1074557768 /nfs/dbraw/zinc/55/77/68/1074557768.db2.gz SAJHOTIFXISIHU-MRXNPFEDSA-N 0 0 441.554 -0.285 20 0 IBADRN O=C(NCCS(=O)(=O)C1CC1)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001467647344 1074557793 /nfs/dbraw/zinc/55/77/93/1074557793.db2.gz UIANSXWLYXMUTK-UHFFFAOYSA-N 0 0 445.567 -0.590 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1CCN2C(=O)N=NC2C1 ZINC001467647788 1074557998 /nfs/dbraw/zinc/55/79/98/1074557998.db2.gz XBAYVOFRVHWIQI-UHFFFAOYSA-N 0 0 436.498 -0.944 20 0 IBADRN CCOC1CC(CNC(=O)c2cnn3c2S(=O)(=O)N(C)CC3)(N2CCOCC2)C1 ZINC001467650008 1074558186 /nfs/dbraw/zinc/55/81/86/1074558186.db2.gz ASGNPADQTAGFPU-UHFFFAOYSA-N 0 0 427.527 -0.483 20 0 IBADRN CCOC1CC(CNC(=O)C(=O)c2cc(S(N)(=O)=O)cn2C)(N2CCOCC2)C1 ZINC001467650017 1074558037 /nfs/dbraw/zinc/55/80/37/1074558037.db2.gz AYZSBUNMDSUYFJ-UHFFFAOYSA-N 0 0 428.511 -0.759 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)C1 ZINC001467652108 1074558020 /nfs/dbraw/zinc/55/80/20/1074558020.db2.gz OBNOAZPVFIGIQW-BFYDXBDKSA-N 0 0 430.523 -0.168 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)C1 ZINC001467652110 1074558165 /nfs/dbraw/zinc/55/81/65/1074558165.db2.gz OBNOAZPVFIGIQW-HLLBOEOZSA-N 0 0 430.523 -0.168 20 0 IBADRN COCCO[C@@H]1C[C@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)C1 ZINC001467652112 1074558151 /nfs/dbraw/zinc/55/81/51/1074558151.db2.gz OBNOAZPVFIGIQW-YQQAZPJKSA-N 0 0 430.523 -0.168 20 0 IBADRN COCCO[C@@H]1C[C@@H](CO)CN(C(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)C1 ZINC001467652113 1074558076 /nfs/dbraw/zinc/55/80/76/1074558076.db2.gz OBNOAZPVFIGIQW-ZMSDIMECSA-N 0 0 430.523 -0.168 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC001467653877 1074558048 /nfs/dbraw/zinc/55/80/48/1074558048.db2.gz UWMMURCVYYFBKU-GUDVDZBRSA-N 0 0 429.477 -0.606 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CN1C(=O)N[C@@](C)(c2ccc(F)c(Cl)c2)C1=O ZINC001467662788 1074558094 /nfs/dbraw/zinc/55/80/94/1074558094.db2.gz HNHHJYUIIIAWDB-KRWDZBQOSA-N 0 0 428.804 -0.349 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CN1C(=O)N[C@](C)(c2ccc(F)c(Cl)c2)C1=O ZINC001467662789 1074558120 /nfs/dbraw/zinc/55/81/20/1074558120.db2.gz HNHHJYUIIIAWDB-QGZVFWFLSA-N 0 0 428.804 -0.349 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CNC(=O)Cn1ccc2ccc(Br)cc21 ZINC001467663406 1074558202 /nfs/dbraw/zinc/55/82/02/1074558202.db2.gz LHGZSVWILRKJQD-UHFFFAOYSA-N 0 0 439.266 -0.075 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccc(Br)s1 ZINC001467664685 1074558057 /nfs/dbraw/zinc/55/80/57/1074558057.db2.gz SLFKHDAQMVDIPS-UHFFFAOYSA-N 0 0 428.286 -0.806 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cn1 ZINC001467669219 1074558170 /nfs/dbraw/zinc/55/81/70/1074558170.db2.gz WLGMUAYPEJCQDS-FCJAZMSBSA-N 0 0 433.494 -0.157 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cn1 ZINC001467669238 1074558158 /nfs/dbraw/zinc/55/81/58/1074558158.db2.gz WLGMUAYPEJCQDS-GTMAHKLRSA-N 0 0 433.494 -0.157 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cn1 ZINC001467669247 1074558178 /nfs/dbraw/zinc/55/81/78/1074558178.db2.gz WLGMUAYPEJCQDS-IDPPAEMMSA-N 0 0 433.494 -0.157 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)CCc2nc3c([nH]2)n(C)c(=O)[nH]c3=O)cn1 ZINC001467669253 1074558127 /nfs/dbraw/zinc/55/81/27/1074558127.db2.gz WLGMUAYPEJCQDS-SSUCQYSQSA-N 0 0 433.494 -0.157 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC001467670971 1074558108 /nfs/dbraw/zinc/55/81/08/1074558108.db2.gz BIYYHPIQIJRVSN-HNNXBMFYSA-N 0 0 438.506 -0.145 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@H]2CCN(c3ccc4c(c3)OCCO4)C2=O)CC1 ZINC001467670972 1074558793 /nfs/dbraw/zinc/55/87/93/1074558793.db2.gz BIYYHPIQIJRVSN-OAHLLOKOSA-N 0 0 438.506 -0.145 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)c2cnnn2C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001467671268 1074558679 /nfs/dbraw/zinc/55/86/79/1074558679.db2.gz GLTVAXZPZHIKKL-UHFFFAOYSA-N 0 0 443.530 -0.272 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)[C@]23COC[C@H]2CN(C(=O)OC(C)(C)C)C3)CC1 ZINC001467673527 1074558645 /nfs/dbraw/zinc/55/86/45/1074558645.db2.gz ZIMMHGUDHCGLGN-FZKQIMNGSA-N 0 0 432.543 -0.085 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001467677320 1074558737 /nfs/dbraw/zinc/55/87/37/1074558737.db2.gz FQMBGSRMBJQSMJ-UHFFFAOYSA-N 0 0 447.579 -0.062 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)c2cn(C)c3c(cnn3C)c2=O)C1 ZINC001467708004 1074558799 /nfs/dbraw/zinc/55/87/99/1074558799.db2.gz TVKNRVBWYHRKJX-CYBMUJFWSA-N 0 0 425.511 -0.215 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)c2cn(C)c3c(cnn3C)c2=O)C1 ZINC001467708006 1074558632 /nfs/dbraw/zinc/55/86/32/1074558632.db2.gz TVKNRVBWYHRKJX-ZDUSSCGKSA-N 0 0 425.511 -0.215 20 0 IBADRN CC(=O)N1CCC[C@@H](CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001467718281 1074558515 /nfs/dbraw/zinc/55/85/15/1074558515.db2.gz LDYFIHFPSCPZJN-AWEZNQCLSA-N 0 0 431.536 -0.257 20 0 IBADRN CC(=O)N1CCC[C@H](CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)C1 ZINC001467718288 1074558595 /nfs/dbraw/zinc/55/85/95/1074558595.db2.gz LDYFIHFPSCPZJN-CQSZACIVSA-N 0 0 431.536 -0.257 20 0 IBADRN CNS(=O)(=O)c1cccc(CNC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)c1 ZINC001467724219 1074558496 /nfs/dbraw/zinc/55/84/96/1074558496.db2.gz ICDFIZRFIYJALQ-UHFFFAOYSA-N 0 0 433.556 -0.335 20 0 IBADRN C[C@H](CC(=O)NCCCn1c(=O)ccn(C)c1=O)NS(=O)(=O)c1cccnc1Cl ZINC001467729033 1074558723 /nfs/dbraw/zinc/55/87/23/1074558723.db2.gz XODSDBWUVCDWFQ-GFCCVEGCSA-N 0 0 443.913 -0.141 20 0 IBADRN C[C@@H](CC(=O)NCCCn1c(=O)ccn(C)c1=O)NS(=O)(=O)c1cccnc1Cl ZINC001467729035 1074558784 /nfs/dbraw/zinc/55/87/84/1074558784.db2.gz XODSDBWUVCDWFQ-LBPRGKRZSA-N 0 0 443.913 -0.141 20 0 IBADRN Cn1ccc(=O)n(CCCNC(=O)CCCNS(=O)(=O)c2ccc(F)cc2)c1=O ZINC001467729107 1074558536 /nfs/dbraw/zinc/55/85/36/1074558536.db2.gz ZNORNUFZOWRHIW-UHFFFAOYSA-N 0 0 426.470 -0.049 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001467757088 1074558764 /nfs/dbraw/zinc/55/87/64/1074558764.db2.gz UFEUNFQDMPNYBU-HNNXBMFYSA-N 0 0 446.551 -0.459 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001467757089 1074558778 /nfs/dbraw/zinc/55/87/78/1074558778.db2.gz UFEUNFQDMPNYBU-OAHLLOKOSA-N 0 0 446.551 -0.459 20 0 IBADRN Cc1c(NC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cccc1N1C(=O)CNC1=O ZINC001467772086 1074558582 /nfs/dbraw/zinc/55/85/82/1074558582.db2.gz PBVUIAXIPGYLSX-BRWVUGGUSA-N 0 0 426.433 -0.086 20 0 IBADRN CCS(=O)(=O)N(C)CCCNC(=O)NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O ZINC001467803560 1074558554 /nfs/dbraw/zinc/55/85/54/1074558554.db2.gz PBNXKNHJJCAEPF-UHFFFAOYSA-N 0 0 429.421 -0.018 20 0 IBADRN CN1CCn2ncc(C(=O)NCc3ccc(S(=O)(=O)CCO)cc3)c2S1(=O)=O ZINC001467806595 1074558621 /nfs/dbraw/zinc/55/86/21/1074558621.db2.gz YKNGEVFHOUMOIZ-UHFFFAOYSA-N 0 0 428.492 -0.787 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cc1 ZINC001467809855 1074558660 /nfs/dbraw/zinc/55/86/60/1074558660.db2.gz PVGMHCFNZQDTJE-UHFFFAOYSA-N 0 0 447.583 -0.157 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC001467811266 1074559016 /nfs/dbraw/zinc/55/90/16/1074559016.db2.gz IXAJIZWFCJTJBE-CABCVRRESA-N 0 0 430.548 -0.214 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC001467811268 1074559204 /nfs/dbraw/zinc/55/92/04/1074559204.db2.gz IXAJIZWFCJTJBE-GJZGRUSLSA-N 0 0 430.548 -0.214 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC001467811269 1074558947 /nfs/dbraw/zinc/55/89/47/1074558947.db2.gz IXAJIZWFCJTJBE-HUUCEWRRSA-N 0 0 430.548 -0.214 20 0 IBADRN CS(=O)(=O)CCS(=O)(=O)c1ccccc1C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC001467811270 1074559052 /nfs/dbraw/zinc/55/90/52/1074559052.db2.gz IXAJIZWFCJTJBE-LSDHHAIUSA-N 0 0 430.548 -0.214 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)NCCCn2cccnc2=O)s1 ZINC001467811827 1074559182 /nfs/dbraw/zinc/55/91/82/1074559182.db2.gz ODKHYCLDEQMMKH-UHFFFAOYSA-N 0 0 427.508 -0.574 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2C[C@H](C(=O)OC)[C@H](C(=O)OC)C2)cc1 ZINC001467818366 1074559008 /nfs/dbraw/zinc/55/90/08/1074559008.db2.gz HHBMXLCGYZFDQI-GASCZTMLSA-N 0 0 427.479 -0.161 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2C[C@H](C(=O)OC)[C@@H](C(=O)OC)C2)cc1 ZINC001467818367 1074559082 /nfs/dbraw/zinc/55/90/82/1074559082.db2.gz HHBMXLCGYZFDQI-GJZGRUSLSA-N 0 0 427.479 -0.161 20 0 IBADRN CNS(=O)(=O)Cc1ccc(CNC(=O)N2C[C@@H](C(=O)OC)[C@H](C(=O)OC)C2)cc1 ZINC001467818368 1074559071 /nfs/dbraw/zinc/55/90/71/1074559071.db2.gz HHBMXLCGYZFDQI-HUUCEWRRSA-N 0 0 427.479 -0.161 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)NC[C@]12COCCN1C(=O)COC2)C(F)(F)F ZINC001467835836 1074559191 /nfs/dbraw/zinc/55/91/91/1074559191.db2.gz DBSZMPQLTJTPKC-CVEARBPZSA-N 0 0 435.403 -0.513 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNC(=O)NC[C@@]12COCCN1C(=O)COC2)C(F)(F)F ZINC001467835839 1074559130 /nfs/dbraw/zinc/55/91/30/1074559130.db2.gz DBSZMPQLTJTPKC-HOTGVXAUSA-N 0 0 435.403 -0.513 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)NC[C@]12COCCN1C(=O)COC2)C(F)(F)F ZINC001467835842 1074559137 /nfs/dbraw/zinc/55/91/37/1074559137.db2.gz DBSZMPQLTJTPKC-HZPDHXFCSA-N 0 0 435.403 -0.513 20 0 IBADRN Cn1ccnc1[C@](O)(CCNC(=O)NC[C@@]12COCCN1C(=O)COC2)C(F)(F)F ZINC001467835845 1074558997 /nfs/dbraw/zinc/55/89/97/1074558997.db2.gz DBSZMPQLTJTPKC-JKSUJKDBSA-N 0 0 435.403 -0.513 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC001467837743 1074559198 /nfs/dbraw/zinc/55/91/98/1074559198.db2.gz WTUKYNMWWIOYAQ-KRWDZBQOSA-N 0 0 448.446 -0.060 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)CC1 ZINC001467837745 1074559095 /nfs/dbraw/zinc/55/90/95/1074559095.db2.gz WTUKYNMWWIOYAQ-QGZVFWFLSA-N 0 0 448.446 -0.060 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)CN(C)S(=O)(=O)N(C)C ZINC001467837933 1074559168 /nfs/dbraw/zinc/55/91/68/1074559168.db2.gz VXEVXAQWWMJESM-CYBMUJFWSA-N 0 0 436.531 -0.264 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCCN1C(=O)CN(C)S(=O)(=O)N(C)C ZINC001467837935 1074559631 /nfs/dbraw/zinc/55/96/31/1074559631.db2.gz VXEVXAQWWMJESM-ZDUSSCGKSA-N 0 0 436.531 -0.264 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CCCN(C)C1=NS(=O)(=O)c2ccccc21 ZINC001467843778 1074559470 /nfs/dbraw/zinc/55/94/70/1074559470.db2.gz HBAMJJJHBJMXKA-UHFFFAOYSA-N 0 0 431.540 -0.640 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)CNS(=O)(=O)c1ccc(Br)cc1 ZINC001467843835 1074559654 /nfs/dbraw/zinc/55/96/54/1074559654.db2.gz HZQZKDIAFRINPQ-UHFFFAOYSA-N 0 0 443.345 -0.760 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@@](F)(C(=O)NCCOCCS(N)(=O)=O)C1 ZINC001467851649 1074559665 /nfs/dbraw/zinc/55/96/65/1074559665.db2.gz JBUXQINHAMOIBF-INIZCTEOSA-N 0 0 425.479 -0.617 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(COC2)[C@](F)(C(=O)NCCOCCS(N)(=O)=O)C1 ZINC001467851655 1074559553 /nfs/dbraw/zinc/55/95/53/1074559553.db2.gz JBUXQINHAMOIBF-MRXNPFEDSA-N 0 0 425.479 -0.617 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC001467851808 1074559392 /nfs/dbraw/zinc/55/93/92/1074559392.db2.gz LAEOZOVZZQMHOW-UHFFFAOYSA-N 0 0 428.511 -0.638 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001467852092 1074559565 /nfs/dbraw/zinc/55/95/65/1074559565.db2.gz FFZBAZGYJHQDHS-UHFFFAOYSA-N 0 0 448.590 -0.279 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)CC1 ZINC001467853001 1074559640 /nfs/dbraw/zinc/55/96/40/1074559640.db2.gz PQVVRSRLDGJXTL-HNNXBMFYSA-N 0 0 446.574 -0.527 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCCNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)CC1 ZINC001467853004 1074559518 /nfs/dbraw/zinc/55/95/18/1074559518.db2.gz PQVVRSRLDGJXTL-OAHLLOKOSA-N 0 0 446.574 -0.527 20 0 IBADRN CCN(CC)C(=O)CN1CCC(NC(=O)c2cc3n(n2)CCN(C)S3(=O)=O)CC1 ZINC001467854812 1074559537 /nfs/dbraw/zinc/55/95/37/1074559537.db2.gz SVERLTVTHGRHNZ-UHFFFAOYSA-N 0 0 426.543 -0.420 20 0 IBADRN COc1cc(CNC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)ccc1OCC(=O)N(C)C ZINC001467857748 1074559606 /nfs/dbraw/zinc/55/96/06/1074559606.db2.gz PPOXFVXACSVJPR-HNNXBMFYSA-N 0 0 443.522 -0.147 20 0 IBADRN COc1cc(CNC(=O)N2CCS(=O)(=O)C[C@H]2CCO)ccc1OCC(=O)N(C)C ZINC001467857749 1074559600 /nfs/dbraw/zinc/55/96/00/1074559600.db2.gz PPOXFVXACSVJPR-OAHLLOKOSA-N 0 0 443.522 -0.147 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC001467859342 1074559684 /nfs/dbraw/zinc/55/96/84/1074559684.db2.gz IQVPUCZHHJEIDK-CYBMUJFWSA-N 0 0 432.374 -0.039 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC001467859345 1074559455 /nfs/dbraw/zinc/55/94/55/1074559455.db2.gz IQVPUCZHHJEIDK-ZDUSSCGKSA-N 0 0 432.374 -0.039 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)O[C@H]3CNOC3)CC2)cc1 ZINC001467887816 1074560017 /nfs/dbraw/zinc/56/00/17/1074560017.db2.gz AQGKAENIOQNRQJ-HNNXBMFYSA-N 0 0 427.479 -0.245 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CCc3c(nc(C)n3CCO)C2)[C@H]1c1nccn1C ZINC001467891547 1074559939 /nfs/dbraw/zinc/55/99/39/1074559939.db2.gz NJBHKBDXLOZFCV-CCKFTAQKSA-N 0 0 430.509 -0.121 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@H]2CCc3c(nc(C)n3CCO)C2)[C@H]1c1nccn1C ZINC001467891551 1074559977 /nfs/dbraw/zinc/55/99/77/1074559977.db2.gz NJBHKBDXLOZFCV-JVPBZIDWSA-N 0 0 430.509 -0.121 20 0 IBADRN COc1c[nH]c(C(=O)NC[C@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)cc1=O ZINC001467900613 1074560117 /nfs/dbraw/zinc/56/01/17/1074560117.db2.gz HJTVQGCWZOMPQY-AWEZNQCLSA-N 0 0 428.493 -0.040 20 0 IBADRN COc1c[nH]c(C(=O)NC[C@@H]2CCn3ncc(C(=O)N4CCN(C)CC4)c3C2)cc1=O ZINC001467900632 1074560147 /nfs/dbraw/zinc/56/01/47/1074560147.db2.gz HJTVQGCWZOMPQY-CQSZACIVSA-N 0 0 428.493 -0.040 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)CC2 ZINC001467901086 1074559990 /nfs/dbraw/zinc/55/99/90/1074559990.db2.gz MEDBXHYZDIFVGH-KBPBESRZSA-N 0 0 426.543 -0.385 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)CC2 ZINC001467901088 1074559966 /nfs/dbraw/zinc/55/99/66/1074559966.db2.gz MEDBXHYZDIFVGH-KGLIPLIRSA-N 0 0 426.543 -0.385 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@@H](CNC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)CC2 ZINC001467901090 1074560108 /nfs/dbraw/zinc/56/01/08/1074560108.db2.gz MEDBXHYZDIFVGH-UONOGXRCSA-N 0 0 426.543 -0.385 20 0 IBADRN CC(C)NC(=O)c1cnn2c1C[C@H](CNC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)CC2 ZINC001467901093 1074560010 /nfs/dbraw/zinc/56/00/10/1074560010.db2.gz MEDBXHYZDIFVGH-ZIAGYGMSSA-N 0 0 426.543 -0.385 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@@]2(C)CCCS2(=O)=O)CC3)CC1 ZINC001467901431 1074559924 /nfs/dbraw/zinc/55/99/24/1074559924.db2.gz PVBSCWAQMKSNAD-FOIQADDNSA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@@]2(C)CCCS2(=O)=O)CC3)CC1 ZINC001467901432 1074560042 /nfs/dbraw/zinc/56/00/42/1074560042.db2.gz PVBSCWAQMKSNAD-MGPUTAFESA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@H](CNC(=O)[C@]2(C)CCCS2(=O)=O)CC3)CC1 ZINC001467901433 1074560030 /nfs/dbraw/zinc/56/00/30/1074560030.db2.gz PVBSCWAQMKSNAD-QRWLVFNGSA-N 0 0 437.566 -0.083 20 0 IBADRN CN1CCN(C(=O)c2cnn3c2C[C@@H](CNC(=O)[C@]2(C)CCCS2(=O)=O)CC3)CC1 ZINC001467901434 1074559955 /nfs/dbraw/zinc/55/99/55/1074559955.db2.gz PVBSCWAQMKSNAD-YWZLYKJASA-N 0 0 437.566 -0.083 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2cccc(NS(C)(=O)=O)c2)CC1 ZINC001467910447 1074559997 /nfs/dbraw/zinc/55/99/97/1074559997.db2.gz LSUFPTSEKZTVFA-UHFFFAOYSA-N 0 0 425.511 -0.062 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCc3ccc(S(=O)(=O)N(C)C)cc3)C[C@H]21 ZINC001467917515 1074559914 /nfs/dbraw/zinc/55/99/14/1074559914.db2.gz RXKGNUPVKXNTHE-XHSDSOJGSA-N 0 0 431.536 -0.110 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)cc1 ZINC001467925952 1074560598 /nfs/dbraw/zinc/56/05/98/1074560598.db2.gz QPSMSNRHRUFREM-CQSZACIVSA-N 0 0 448.567 -0.213 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCC2(NS(C)(=O)=O)CCCCC2)CC1 ZINC001467940002 1074560628 /nfs/dbraw/zinc/56/06/28/1074560628.db2.gz OCSXIUSXMMNUQF-UHFFFAOYSA-N 0 0 425.577 -0.534 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCCCN3CCN(c4ncccn4)CC3)C[C@H]21 ZINC001467951855 1074560575 /nfs/dbraw/zinc/56/05/75/1074560575.db2.gz CZEQIFJWHQHOJG-BBWFWOEESA-N 0 0 438.554 -0.558 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC001467952184 1074560638 /nfs/dbraw/zinc/56/06/38/1074560638.db2.gz HGYIBVCTAVRBQI-JOCHJYFZSA-N 0 0 447.584 -0.096 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)NCCCN1CCN(c2ncccn2)CC1 ZINC001467952188 1074560683 /nfs/dbraw/zinc/56/06/83/1074560683.db2.gz HGYIBVCTAVRBQI-QFIPXVFZSA-N 0 0 447.584 -0.096 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001467953088 1074560668 /nfs/dbraw/zinc/56/06/68/1074560668.db2.gz POQDTKKKBOUKNP-KRWDZBQOSA-N 0 0 431.541 -0.339 20 0 IBADRN O=C(NCCCN1CCN(c2ncccn2)CC1)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001467953089 1074560611 /nfs/dbraw/zinc/56/06/11/1074560611.db2.gz POQDTKKKBOUKNP-QGZVFWFLSA-N 0 0 431.541 -0.339 20 0 IBADRN CNS(=O)(=O)c1cccc2c1CCN(C(=O)[C@H](CO)NC(=O)c1cccc(F)n1)C2 ZINC001467965387 1074560516 /nfs/dbraw/zinc/56/05/16/1074560516.db2.gz ZYIFTYPCICROKW-HNNXBMFYSA-N 0 0 436.465 -0.196 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCc1ccccc1CN1CCOCC1 ZINC001467969192 1074560500 /nfs/dbraw/zinc/56/05/00/1074560500.db2.gz UQQZDRPQYHTTOH-GOSISDBHSA-N 0 0 426.539 -0.022 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCc1ccccc1CN1CCOCC1 ZINC001467969193 1074560588 /nfs/dbraw/zinc/56/05/88/1074560588.db2.gz UQQZDRPQYHTTOH-SFHVURJKSA-N 0 0 426.539 -0.022 20 0 IBADRN COC[C@@]1(C(=O)NCC2(O)CCS(=O)(=O)CC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001467987257 1074560494 /nfs/dbraw/zinc/56/04/94/1074560494.db2.gz CFMJNOMHJBZWDD-GOSISDBHSA-N 0 0 436.527 -0.305 20 0 IBADRN COC[C@]1(C(=O)NCC2(O)CCS(=O)(=O)CC2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001467987262 1074560529 /nfs/dbraw/zinc/56/05/29/1074560529.db2.gz CFMJNOMHJBZWDD-SFHVURJKSA-N 0 0 436.527 -0.305 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CS(=O)(=O)c2cccc(Br)c2)CC1 ZINC001467987336 1074560414 /nfs/dbraw/zinc/56/04/14/1074560414.db2.gz DFBMGKYUHMIQJL-UHFFFAOYSA-N 0 0 440.341 -0.169 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H](CCOC)NC(=O)OCc2ccccc2)CC1 ZINC001467988282 1074561026 /nfs/dbraw/zinc/56/10/26/1074561026.db2.gz PSJUELKWCAEJQK-INIZCTEOSA-N 0 0 428.511 -0.074 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H](CCOC)NC(=O)OCc2ccccc2)CC1 ZINC001467988283 1074561009 /nfs/dbraw/zinc/56/10/09/1074561009.db2.gz PSJUELKWCAEJQK-MRXNPFEDSA-N 0 0 428.511 -0.074 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)c2cn(CCCNC(=O)OC(C)(C)C)nn2)CC1 ZINC001467988316 1074561062 /nfs/dbraw/zinc/56/10/62/1074561062.db2.gz RDWCCSIQKJAAMI-UHFFFAOYSA-N 0 0 431.519 -0.585 20 0 IBADRN COc1ccc(S(=O)(=O)CCC(=O)NCC2(O)CCS(=O)(=O)CC2)cc1OC ZINC001467988357 1074561003 /nfs/dbraw/zinc/56/10/03/1074561003.db2.gz SZSJDCOXFPCSGS-UHFFFAOYSA-N 0 0 435.520 -0.077 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC001467988370 1074561046 /nfs/dbraw/zinc/56/10/46/1074561046.db2.gz TYIUJDMKEMDRPD-CYBMUJFWSA-N 0 0 433.531 -0.890 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CC1 ZINC001467988371 1074561040 /nfs/dbraw/zinc/56/10/40/1074561040.db2.gz TYIUJDMKEMDRPD-ZDUSSCGKSA-N 0 0 433.531 -0.890 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@H]2CCCCN2S(=O)(=O)c2cccs2)CC1 ZINC001467988506 1074561056 /nfs/dbraw/zinc/56/10/56/1074561056.db2.gz ZFHSQGMQXPPNGV-CYBMUJFWSA-N 0 0 436.581 -0.100 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCCN2S(=O)(=O)c2cccs2)CC1 ZINC001467988507 1074561051 /nfs/dbraw/zinc/56/10/51/1074561051.db2.gz ZFHSQGMQXPPNGV-ZDUSSCGKSA-N 0 0 436.581 -0.100 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)C2=NO[C@@]3(CCN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001467988508 1074561021 /nfs/dbraw/zinc/56/10/21/1074561021.db2.gz ZFIHZNVHDZTONJ-KRWDZBQOSA-N 0 0 431.515 -0.249 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)C2=NO[C@]3(CCN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001467988509 1074560967 /nfs/dbraw/zinc/56/09/67/1074560967.db2.gz ZFIHZNVHDZTONJ-QGZVFWFLSA-N 0 0 431.515 -0.249 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCC([C@@H]2OCC[C@H]2NC(=O)C(F)(F)F)CC1 ZINC001468006326 1074560994 /nfs/dbraw/zinc/56/09/94/1074560994.db2.gz BJGFVIPSFHWTGQ-QKCSRTOESA-N 0 0 447.418 -0.748 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)CC1 ZINC001468016565 1074561035 /nfs/dbraw/zinc/56/10/35/1074561035.db2.gz JAEGPTUVLUXSLW-CHWSQXEVSA-N 0 0 426.461 -0.567 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)CC1 ZINC001468016567 1074561068 /nfs/dbraw/zinc/56/10/68/1074561068.db2.gz JAEGPTUVLUXSLW-OLZOCXBDSA-N 0 0 426.461 -0.567 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)CC1 ZINC001468016568 1074561030 /nfs/dbraw/zinc/56/10/30/1074561030.db2.gz JAEGPTUVLUXSLW-QWHCGFSZSA-N 0 0 426.461 -0.567 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)CC1 ZINC001468016569 1074561014 /nfs/dbraw/zinc/56/10/14/1074561014.db2.gz JAEGPTUVLUXSLW-STQMWFEESA-N 0 0 426.461 -0.567 20 0 IBADRN Cc1ccc2c(c1)C(=O)N(CC(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)C2=O ZINC001468025960 1074560962 /nfs/dbraw/zinc/56/09/62/1074560962.db2.gz GIUYQYAMNLHWDX-UHFFFAOYSA-N 0 0 442.519 -0.292 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)c2cc(N3CCOCC3)ccn2)CC1 ZINC001468025967 1074560987 /nfs/dbraw/zinc/56/09/87/1074560987.db2.gz GRXSPWRLRXTWMG-UHFFFAOYSA-N 0 0 431.540 -0.352 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCN2C(=O)[C@H]3CCCC[C@@H]3C2=O)CC1 ZINC001468025998 1074560955 /nfs/dbraw/zinc/56/09/55/1074560955.db2.gz HLOPDFBPCZOEOH-KBPBESRZSA-N 0 0 448.567 -0.332 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCN2C(=O)[C@@H]3CCCC[C@@H]3C2=O)CC1 ZINC001468026000 1074561072 /nfs/dbraw/zinc/56/10/72/1074561072.db2.gz HLOPDFBPCZOEOH-OKILXGFUSA-N 0 0 448.567 -0.332 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCN2C(=O)[C@@H]3CCCC[C@H]3C2=O)CC1 ZINC001468026004 1074561355 /nfs/dbraw/zinc/56/13/55/1074561355.db2.gz HLOPDFBPCZOEOH-ZIAGYGMSSA-N 0 0 448.567 -0.332 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CN2C(=O)COc3ccccc32)CC1 ZINC001468026974 1074561404 /nfs/dbraw/zinc/56/14/04/1074561404.db2.gz KMAXECTZSGQMAU-UHFFFAOYSA-N 0 0 430.508 -0.471 20 0 IBADRN CC(=O)N1C[C@@H](C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)Oc2ccccc21 ZINC001468027020 1074561422 /nfs/dbraw/zinc/56/14/22/1074561422.db2.gz LGJHJPWUVODDHU-INIZCTEOSA-N 0 0 444.535 -0.083 20 0 IBADRN CC(=O)N1C[C@H](C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)Oc2ccccc21 ZINC001468027024 1074561477 /nfs/dbraw/zinc/56/14/77/1074561477.db2.gz LGJHJPWUVODDHU-MRXNPFEDSA-N 0 0 444.535 -0.083 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CSCC(=O)N2CCCCC2)CC1 ZINC001468027590 1074561360 /nfs/dbraw/zinc/56/13/60/1074561360.db2.gz OSVKGVHQOUSPAT-UHFFFAOYSA-N 0 0 440.613 -0.151 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)[C@]2(c3ccccc3)CNC(=O)C2)CC1 ZINC001468027959 1074561418 /nfs/dbraw/zinc/56/14/18/1074561418.db2.gz CVJUDCDUEOZJRZ-KRWDZBQOSA-N 0 0 428.536 -0.439 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)[C@@]2(c3ccccc3)CNC(=O)C2)CC1 ZINC001468027962 1074561427 /nfs/dbraw/zinc/56/14/27/1074561427.db2.gz CVJUDCDUEOZJRZ-QGZVFWFLSA-N 0 0 428.536 -0.439 20 0 IBADRN Cc1nc2ncnn2c(C)c1CCC(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001468028508 1074561329 /nfs/dbraw/zinc/56/13/29/1074561329.db2.gz SRVPMUVNKACYPP-UHFFFAOYSA-N 0 0 443.555 -0.210 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)C1 ZINC001468028526 1074561472 /nfs/dbraw/zinc/56/14/72/1074561472.db2.gz GXHFGAGNKMOGON-UHFFFAOYSA-N 0 0 440.544 -0.915 20 0 IBADRN Cn1nc(Br)cc1C(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001468028955 1074561413 /nfs/dbraw/zinc/56/14/13/1074561413.db2.gz KFILOSZXRRVPNC-UHFFFAOYSA-N 0 0 428.334 -0.087 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)C2CCC(S(C)(=O)=O)CC2)CC1 ZINC001468028980 1074561454 /nfs/dbraw/zinc/56/14/54/1074561454.db2.gz KUTDSHDHNQGYCO-UHFFFAOYSA-N 0 0 429.586 -0.294 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CCCNC(=O)c2cccnc2)CC1 ZINC001468029355 1074561463 /nfs/dbraw/zinc/56/14/63/1074561463.db2.gz PELZCGNQWUVRSO-UHFFFAOYSA-N 0 0 431.540 -0.292 20 0 IBADRN Cc1nnnn1-c1ccc(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cc1 ZINC001468029515 1074561449 /nfs/dbraw/zinc/56/14/49/1074561449.db2.gz QTYGSAVVUMQUOW-UHFFFAOYSA-N 0 0 427.512 -0.299 20 0 IBADRN Cn1cc(Br)c(C(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)n1 ZINC001468029516 1074561365 /nfs/dbraw/zinc/56/13/65/1074561365.db2.gz QWDQEAYYAMSQPW-UHFFFAOYSA-N 0 0 428.334 -0.087 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)Cc2ccc(-n3cnnn3)cc2)CC1 ZINC001468029796 1074561396 /nfs/dbraw/zinc/56/13/96/1074561396.db2.gz UOHIAWTZILEOLO-UHFFFAOYSA-N 0 0 427.512 -0.679 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(C(=O)CNC(=O)c2ccc(F)cc2F)CC1 ZINC001468029843 1074561441 /nfs/dbraw/zinc/56/14/41/1074561441.db2.gz WVCKFTKGJTYRPA-UHFFFAOYSA-N 0 0 438.478 -0.189 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cn1 ZINC001468032659 1074561434 /nfs/dbraw/zinc/56/14/34/1074561434.db2.gz LEZMDWLUNXQQPH-BPUTZDHNSA-N 0 0 437.522 -0.824 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cn1 ZINC001468032660 1074561385 /nfs/dbraw/zinc/56/13/85/1074561385.db2.gz LEZMDWLUNXQQPH-CWRNSKLLSA-N 0 0 437.522 -0.824 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)cn1 ZINC001468032661 1074561744 /nfs/dbraw/zinc/56/17/44/1074561744.db2.gz LEZMDWLUNXQQPH-KBMXLJTQSA-N 0 0 437.522 -0.824 20 0 IBADRN Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)cn1 ZINC001468032662 1074561802 /nfs/dbraw/zinc/56/18/02/1074561802.db2.gz LEZMDWLUNXQQPH-VNQPRFMTSA-N 0 0 437.522 -0.824 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC001468035125 1074561808 /nfs/dbraw/zinc/56/18/08/1074561808.db2.gz CRBXSOLHULTQOS-UHFFFAOYSA-N 0 0 444.558 -0.189 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC001468040581 1074561844 /nfs/dbraw/zinc/56/18/44/1074561844.db2.gz RGOOQJCIJYYTCA-UHFFFAOYSA-N 0 0 439.538 -0.516 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]2O)[C@H]1c1nccn1C ZINC001468049385 1074561863 /nfs/dbraw/zinc/56/18/63/1074561863.db2.gz DSJQMJVHXPPNEI-VDERGJSUSA-N 0 0 437.497 -0.195 20 0 IBADRN COC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001468051862 1074561750 /nfs/dbraw/zinc/56/17/50/1074561750.db2.gz PCSDCBGTTPGBGT-UHFFFAOYSA-N 0 0 426.495 -0.228 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCS(=O)(=O)[C@@H]2CCC[C@H]21 ZINC001468073645 1074562202 /nfs/dbraw/zinc/56/22/02/1074562202.db2.gz VUVYGWWWLMOUSP-GDBMZVCRSA-N 0 0 431.536 -0.162 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCS(=O)(=O)[C@@H]2CCC[C@@H]21 ZINC001468073646 1074562139 /nfs/dbraw/zinc/56/21/39/1074562139.db2.gz VUVYGWWWLMOUSP-GOEBONIOSA-N 0 0 431.536 -0.162 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCS(=O)(=O)[C@H]2CCC[C@@H]21 ZINC001468073647 1074562197 /nfs/dbraw/zinc/56/21/97/1074562197.db2.gz VUVYGWWWLMOUSP-HOCLYGCPSA-N 0 0 431.536 -0.162 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCS(=O)(=O)[C@H]2CCC[C@H]21 ZINC001468073649 1074562230 /nfs/dbraw/zinc/56/22/30/1074562230.db2.gz VUVYGWWWLMOUSP-ZBFHGGJFSA-N 0 0 431.536 -0.162 20 0 IBADRN COC(=O)C1(NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCOCC1 ZINC001468078744 1074562177 /nfs/dbraw/zinc/56/21/77/1074562177.db2.gz CAJWDFDKEGNCFT-UHFFFAOYSA-N 0 0 425.463 -0.741 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NC[C@]2(O)CCS(=O)(=O)C2)C1=O ZINC001468109606 1074562162 /nfs/dbraw/zinc/56/21/62/1074562162.db2.gz QSGALUQKMLDOJI-DLBZAZTESA-N 0 0 447.872 -0.088 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NC[C@]2(O)CCS(=O)(=O)C2)C1=O ZINC001468109610 1074562119 /nfs/dbraw/zinc/56/21/19/1074562119.db2.gz QSGALUQKMLDOJI-IAGOWNOFSA-N 0 0 447.872 -0.088 20 0 IBADRN C[C@@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NC[C@@]2(O)CCS(=O)(=O)C2)C1=O ZINC001468109613 1074562218 /nfs/dbraw/zinc/56/22/18/1074562218.db2.gz QSGALUQKMLDOJI-IRXDYDNUSA-N 0 0 447.872 -0.088 20 0 IBADRN C[C@]1(c2ccc(F)c(Cl)c2)NC(=O)N(CC(=O)NC[C@@]2(O)CCS(=O)(=O)C2)C1=O ZINC001468109615 1074562151 /nfs/dbraw/zinc/56/21/51/1074562151.db2.gz QSGALUQKMLDOJI-SJORKVTESA-N 0 0 447.872 -0.088 20 0 IBADRN C[S@@](=O)C1(C(=O)NC[C@]2(O)CCS(=O)(=O)C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001468109906 1074562192 /nfs/dbraw/zinc/56/21/92/1074562192.db2.gz VWQOQQRHDFOGMB-CHAGWJKLSA-N 0 0 438.568 -0.200 20 0 IBADRN C[S@](=O)C1(C(=O)NC[C@]2(O)CCS(=O)(=O)C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001468109908 1074562172 /nfs/dbraw/zinc/56/21/72/1074562172.db2.gz VWQOQQRHDFOGMB-JWIGPWBQSA-N 0 0 438.568 -0.200 20 0 IBADRN C[S@](=O)C1(C(=O)NC[C@@]2(O)CCS(=O)(=O)C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001468109909 1074562207 /nfs/dbraw/zinc/56/22/07/1074562207.db2.gz VWQOQQRHDFOGMB-OQRWROFFSA-N 0 0 438.568 -0.200 20 0 IBADRN C[S@@](=O)C1(C(=O)NC[C@@]2(O)CCS(=O)(=O)C2)CCN(C(=O)OC(C)(C)C)CC1 ZINC001468109911 1074562157 /nfs/dbraw/zinc/56/21/57/1074562157.db2.gz VWQOQQRHDFOGMB-RKOGDMNLSA-N 0 0 438.568 -0.200 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001468110808 1074562108 /nfs/dbraw/zinc/56/21/08/1074562108.db2.gz WKNBHQSSUXNPNR-IBGZPJMESA-N 0 0 425.507 -0.393 20 0 IBADRN O=C(CN1CCN(C(=O)OCc2ccccc2)CC1)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001468110810 1074562213 /nfs/dbraw/zinc/56/22/13/1074562213.db2.gz WKNBHQSSUXNPNR-LJQANCHMSA-N 0 0 425.507 -0.393 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCc2cccc(OCC(=O)N(C)C)c2)CC1 ZINC001468111614 1074562664 /nfs/dbraw/zinc/56/26/64/1074562664.db2.gz DIIDMLFRPZSBNN-UHFFFAOYSA-N 0 0 427.527 -0.119 20 0 IBADRN CN(C)C(=O)COc1cccc(CNC(=O)NCCc2nnc3c(=O)n(C)ccn23)c1 ZINC001468113583 1074562513 /nfs/dbraw/zinc/56/25/13/1074562513.db2.gz SMFSVXSUCKQXHC-UHFFFAOYSA-N 0 0 427.465 -0.063 20 0 IBADRN O=C(NCCN1C[C@H]2CC[C@@H](C1)O2)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001468119057 1074562682 /nfs/dbraw/zinc/56/26/82/1074562682.db2.gz HVEWPXHGPGLLIH-CBZIJGRNSA-N 0 0 427.498 -0.071 20 0 IBADRN O=C(COc1ccc(CCNC(=O)NC[C@@]2(O)CCS(=O)(=O)C2)cc1)NC1CC1 ZINC001468140546 1074562577 /nfs/dbraw/zinc/56/25/77/1074562577.db2.gz XBXMMTREGQASBR-IBGZPJMESA-N 0 0 425.507 -0.265 20 0 IBADRN O=C(COc1ccc(CCNC(=O)NC[C@]2(O)CCS(=O)(=O)C2)cc1)NC1CC1 ZINC001468140547 1074562558 /nfs/dbraw/zinc/56/25/58/1074562558.db2.gz XBXMMTREGQASBR-LJQANCHMSA-N 0 0 425.507 -0.265 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001468151129 1074562638 /nfs/dbraw/zinc/56/26/38/1074562638.db2.gz GKXPFSWBIYUGDW-AWEZNQCLSA-N 0 0 442.517 -0.595 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001468151175 1074562705 /nfs/dbraw/zinc/56/27/05/1074562705.db2.gz GKXPFSWBIYUGDW-CQSZACIVSA-N 0 0 442.517 -0.595 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NCCS(=O)(=O)N2CCCC2)CC1 ZINC001468151379 1074562495 /nfs/dbraw/zinc/56/24/95/1074562495.db2.gz HUXJPXQASBQRSF-UHFFFAOYSA-N 0 0 447.558 -0.210 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC[C@@]2(OCCO)CCOC2)CC1 ZINC001468153100 1074562656 /nfs/dbraw/zinc/56/26/56/1074562656.db2.gz VIFLJOATFUFRSV-IBGZPJMESA-N 0 0 430.502 -0.467 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)NC[C@]2(OCCO)CCOC2)CC1 ZINC001468153102 1074562567 /nfs/dbraw/zinc/56/25/67/1074562567.db2.gz VIFLJOATFUFRSV-LJQANCHMSA-N 0 0 430.502 -0.467 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001468174365 1074562673 /nfs/dbraw/zinc/56/26/73/1074562673.db2.gz XVOWTYXJLMNPCM-LLVKDONJSA-N 0 0 439.581 -0.049 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)c1sccc1S(=O)(=O)N1CCC(O)CC1 ZINC001468174366 1074562590 /nfs/dbraw/zinc/56/25/90/1074562590.db2.gz XVOWTYXJLMNPCM-NSHDSACASA-N 0 0 439.581 -0.049 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@H](C)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC001468181077 1074563009 /nfs/dbraw/zinc/56/30/09/1074563009.db2.gz QCFWLTGLBGKGPG-GFCCVEGCSA-N 0 0 433.556 -0.117 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@@H](C)c2ccc(S(=O)(=O)NC)cc2)CC1 ZINC001468181078 1074563039 /nfs/dbraw/zinc/56/30/39/1074563039.db2.gz QCFWLTGLBGKGPG-LBPRGKRZSA-N 0 0 433.556 -0.117 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@H](N2CCOCC2)C1 ZINC001468181197 1074563090 /nfs/dbraw/zinc/56/30/90/1074563090.db2.gz IHFLPRAUNSZGHC-KRWDZBQOSA-N 0 0 436.534 -0.256 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CCC[C@@H](N2CCOCC2)C1 ZINC001468181198 1074563066 /nfs/dbraw/zinc/56/30/66/1074563066.db2.gz IHFLPRAUNSZGHC-QGZVFWFLSA-N 0 0 436.534 -0.256 20 0 IBADRN CN(C[C@@H](O)CNC(=O)OC(C)(C)C)C(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC001468183936 1074562997 /nfs/dbraw/zinc/56/29/97/1074562997.db2.gz QNMFGHVWRYUMNC-GBJTYRQASA-N 0 0 425.486 -0.746 20 0 IBADRN CN(C[C@H](O)CNC(=O)OC(C)(C)C)C(=O)[C@H]1[C@@H](O)C(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC001468183937 1074563014 /nfs/dbraw/zinc/56/30/14/1074563014.db2.gz QNMFGHVWRYUMNC-KBUPBQIOSA-N 0 0 425.486 -0.746 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001468188154 1074563026 /nfs/dbraw/zinc/56/30/26/1074563026.db2.gz SOTRGJADNITNRY-GXTWGEPZSA-N 0 0 434.540 -0.385 20 0 IBADRN CNS(=O)(=O)c1ccc([C@H](C)NC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001468188155 1074563054 /nfs/dbraw/zinc/56/30/54/1074563054.db2.gz SOTRGJADNITNRY-JSGCOSHPSA-N 0 0 434.540 -0.385 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001468188156 1074563078 /nfs/dbraw/zinc/56/30/78/1074563078.db2.gz SOTRGJADNITNRY-OCCSQVGLSA-N 0 0 434.540 -0.385 20 0 IBADRN CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001468188157 1074563043 /nfs/dbraw/zinc/56/30/43/1074563043.db2.gz SOTRGJADNITNRY-TZMCWYRMSA-N 0 0 434.540 -0.385 20 0 IBADRN CCOC(=O)C1(C(=O)c2ccccc2)CCN(C(=O)[C@@H]2CS(=O)(=O)CC(=O)N2)CC1 ZINC001468207498 1074562989 /nfs/dbraw/zinc/56/29/89/1074562989.db2.gz IAWVZJAFZKNVGZ-HNNXBMFYSA-N 0 0 436.486 -0.046 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)NCCS(=O)(=O)C1CCOCC1 ZINC001468208673 1074563002 /nfs/dbraw/zinc/56/30/02/1074563002.db2.gz FMTZLDQEVSLFFU-KRWDZBQOSA-N 0 0 431.555 -0.590 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@H]2CCCO2)CC1)NCCS(=O)(=O)C1CCOCC1 ZINC001468208674 1074563056 /nfs/dbraw/zinc/56/30/56/1074563056.db2.gz FMTZLDQEVSLFFU-QGZVFWFLSA-N 0 0 431.555 -0.590 20 0 IBADRN CS(=O)(=O)N1CCC[C@H](NC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)C1 ZINC001468210872 1074563046 /nfs/dbraw/zinc/56/30/46/1074563046.db2.gz IGVKPOGBQOGSOH-INIZCTEOSA-N 0 0 443.570 -0.444 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H](NC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)C1 ZINC001468210873 1074563082 /nfs/dbraw/zinc/56/30/82/1074563082.db2.gz IGVKPOGBQOGSOH-MRXNPFEDSA-N 0 0 443.570 -0.444 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCS(=O)(=O)C1CCOCC1 ZINC001468211296 1074563086 /nfs/dbraw/zinc/56/30/86/1074563086.db2.gz UOCHDTAZSOCLKZ-GFCCVEGCSA-N 0 0 436.556 -0.586 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCS(=O)(=O)C1CCOCC1 ZINC001468211298 1074563061 /nfs/dbraw/zinc/56/30/61/1074563061.db2.gz UOCHDTAZSOCLKZ-LBPRGKRZSA-N 0 0 436.556 -0.586 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)C2CCOCC2)cc1 ZINC001468211667 1074562983 /nfs/dbraw/zinc/56/29/83/1074562983.db2.gz IIMJNJKFPLASNQ-UHFFFAOYSA-N 0 0 434.536 -0.377 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)C1CCCC1 ZINC001468213824 1074563516 /nfs/dbraw/zinc/56/35/16/1074563516.db2.gz ZSWSGWRAECRMLF-CABCVRRESA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)C1CCCC1 ZINC001468213840 1074563322 /nfs/dbraw/zinc/56/33/22/1074563322.db2.gz ZSWSGWRAECRMLF-GJZGRUSLSA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N[C@@H]1CCCN(S(C)(=O)=O)C1)C1CCCC1 ZINC001468213842 1074563314 /nfs/dbraw/zinc/56/33/14/1074563314.db2.gz ZSWSGWRAECRMLF-HUUCEWRRSA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N[C@H]1CCCN(S(C)(=O)=O)C1)C1CCCC1 ZINC001468213844 1074563478 /nfs/dbraw/zinc/56/34/78/1074563478.db2.gz ZSWSGWRAECRMLF-LSDHHAIUSA-N 0 0 439.604 -0.336 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)N2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)(S(C)(=O)=O)CC1 ZINC001468246837 1074563373 /nfs/dbraw/zinc/56/33/73/1074563373.db2.gz IGALBGDITRFHFD-TXEJJXNPSA-N 0 0 429.495 -0.468 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001468248460 1074563484 /nfs/dbraw/zinc/56/34/84/1074563484.db2.gz YDHFGELVIKZYIB-MBNYWOFBSA-N 0 0 441.893 -0.396 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)c1ccccc1Cl)C(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001468248466 1074563412 /nfs/dbraw/zinc/56/34/12/1074563412.db2.gz YDHFGELVIKZYIB-SCRDCRAPSA-N 0 0 441.893 -0.396 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NC[C@@]2(N3CCOCC3)CCSC2)CC1 ZINC001468262119 1074563425 /nfs/dbraw/zinc/56/34/25/1074563425.db2.gz ZNGQVBFFOCUCFT-IBGZPJMESA-N 0 0 427.571 -0.426 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NC[C@]2(N3CCOCC3)CCSC2)CC1 ZINC001468262120 1074563442 /nfs/dbraw/zinc/56/34/42/1074563442.db2.gz ZNGQVBFFOCUCFT-LJQANCHMSA-N 0 0 427.571 -0.426 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCN(c4ncns4)CC3)CC2=O)c1 ZINC001468263459 1074563397 /nfs/dbraw/zinc/56/33/97/1074563397.db2.gz LAWIYNQUJKKVEU-GFCCVEGCSA-N 0 0 436.519 -0.113 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCN(c4ncns4)CC3)CC2=O)c1 ZINC001468263461 1074563471 /nfs/dbraw/zinc/56/34/71/1074563471.db2.gz LAWIYNQUJKKVEU-LBPRGKRZSA-N 0 0 436.519 -0.113 20 0 IBADRN CN(C)C(=O)NC1CCN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)CC1 ZINC001468264628 1074563500 /nfs/dbraw/zinc/56/35/00/1074563500.db2.gz UKCSBFHPIKAESC-UHFFFAOYSA-N 0 0 437.522 -0.317 20 0 IBADRN COc1ccc(C(=O)N2CC(C3CS(=O)(=O)C3)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001468269843 1074563388 /nfs/dbraw/zinc/56/33/88/1074563388.db2.gz VVDCOMSZAPYZPA-UHFFFAOYSA-N 0 0 444.531 -0.167 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1CC(C2CS(=O)(=O)C2)C1 ZINC001468271000 1074563356 /nfs/dbraw/zinc/56/33/56/1074563356.db2.gz BTVGICQFVYSZHA-ZBFHGGJFSA-N 0 0 432.495 -0.547 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CC(C3CS(=O)(=O)C3)C2)C1 ZINC001468271001 1074563408 /nfs/dbraw/zinc/56/34/08/1074563408.db2.gz BUBXFAIGCQBDCY-RDTXWAMCSA-N 0 0 448.563 -0.229 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CC(C2CS(=O)(=O)C2)C1 ZINC001468271939 1074563463 /nfs/dbraw/zinc/56/34/63/1074563463.db2.gz QEIWDCONYPDQNJ-UHFFFAOYSA-N 0 0 430.504 -0.371 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)NC[C@]12COCCN1C(=O)COC2 ZINC001468285387 1074563525 /nfs/dbraw/zinc/56/35/25/1074563525.db2.gz AAJYLEXNHNZMMK-JOCHJYFZSA-N 0 0 448.520 -0.175 20 0 IBADRN O=C(NCc1cccc(OCCN2CCOCC2)c1)NC[C@@]12COCCN1C(=O)COC2 ZINC001468285388 1074564010 /nfs/dbraw/zinc/56/40/10/1074564010.db2.gz AAJYLEXNHNZMMK-QFIPXVFZSA-N 0 0 448.520 -0.175 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCc1cccc(C(=O)NC[C@@H]2CCCO2)c1 ZINC001468305797 1074563969 /nfs/dbraw/zinc/56/39/69/1074563969.db2.gz IUJMGWQSPCIDIF-INIZCTEOSA-N 0 0 427.527 -0.209 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCc1cccc(C(=O)NC[C@H]2CCCO2)c1 ZINC001468305798 1074563957 /nfs/dbraw/zinc/56/39/57/1074563957.db2.gz IUJMGWQSPCIDIF-MRXNPFEDSA-N 0 0 427.527 -0.209 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)NCCNC(=O)C2CC2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001468307221 1074563929 /nfs/dbraw/zinc/56/39/29/1074563929.db2.gz FSXKIPYPSORRRR-KGLIPLIRSA-N 0 0 430.527 -0.060 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001468310674 1074563988 /nfs/dbraw/zinc/56/39/88/1074563988.db2.gz WTVJNSBHSLKLGO-KRWDZBQOSA-N 0 0 439.538 -0.559 20 0 IBADRN O=C(NCc1ccccc1OCCN1CCOCC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001468310684 1074563975 /nfs/dbraw/zinc/56/39/75/1074563975.db2.gz WTVJNSBHSLKLGO-QGZVFWFLSA-N 0 0 439.538 -0.559 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001468312614 1074563862 /nfs/dbraw/zinc/56/38/62/1074563862.db2.gz PQZCICYFHJKUQF-CYBMUJFWSA-N 0 0 447.558 -0.166 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001468312615 1074563964 /nfs/dbraw/zinc/56/39/64/1074563964.db2.gz PQZCICYFHJKUQF-ZDUSSCGKSA-N 0 0 447.558 -0.166 20 0 IBADRN CO[C@@H]1COCC[C@H]1CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001468353909 1074564007 /nfs/dbraw/zinc/56/40/07/1074564007.db2.gz YMUIQOGANDBTPE-BHYGNILZSA-N 0 0 448.563 -0.064 20 0 IBADRN CO[C@@H]1COCC[C@@H]1CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001468353917 1074563950 /nfs/dbraw/zinc/56/39/50/1074563950.db2.gz YMUIQOGANDBTPE-DJIMGWMZSA-N 0 0 448.563 -0.064 20 0 IBADRN CO[C@@H]1COCC[C@@H]1CNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001468353919 1074563847 /nfs/dbraw/zinc/56/38/47/1074563847.db2.gz YMUIQOGANDBTPE-HYVNUMGLSA-N 0 0 448.563 -0.064 20 0 IBADRN CO[C@@H]1COCC[C@H]1CNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001468353921 1074563871 /nfs/dbraw/zinc/56/38/71/1074563871.db2.gz YMUIQOGANDBTPE-USXIJHARSA-N 0 0 448.563 -0.064 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)NCCS(=O)(=O)N2CCOCC2)cc1 ZINC001468355112 1074563939 /nfs/dbraw/zinc/56/39/39/1074563939.db2.gz GFMUKFPKAVXYBT-UHFFFAOYSA-N 0 0 448.567 -0.461 20 0 IBADRN COc1ccc(N2C[C@@H](NC(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)cc1 ZINC001468355463 1074564290 /nfs/dbraw/zinc/56/42/90/1074564290.db2.gz JAAFYLFESOEFDF-AWEZNQCLSA-N 0 0 426.495 -0.238 20 0 IBADRN COc1ccc(N2C[C@H](NC(=O)NCCS(=O)(=O)N3CCOCC3)CC2=O)cc1 ZINC001468355464 1074564465 /nfs/dbraw/zinc/56/44/65/1074564465.db2.gz JAAFYLFESOEFDF-CQSZACIVSA-N 0 0 426.495 -0.238 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)NCCS(=O)(=O)N2CCOCC2)CC1 ZINC001468356242 1074564351 /nfs/dbraw/zinc/56/43/51/1074564351.db2.gz RLMOSEPHRKZDJG-UHFFFAOYSA-N 0 0 426.561 -0.802 20 0 IBADRN COC(=O)C1(C2(O)CCN(C(=O)NCCS(=O)(=O)N3CCOCC3)CC2)CCC1 ZINC001468356514 1074564371 /nfs/dbraw/zinc/56/43/71/1074564371.db2.gz STXTVQTZFXVAHI-UHFFFAOYSA-N 0 0 433.527 -0.472 20 0 IBADRN NC(=O)CN1CCN(C(=O)NCC2CCN(S(=O)(=O)c3ccccc3)CC2)CC1=O ZINC001468358406 1074564413 /nfs/dbraw/zinc/56/44/13/1074564413.db2.gz RQQZDCXOIDUASL-UHFFFAOYSA-N 0 0 437.522 -0.574 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CC1 ZINC001468362546 1074564313 /nfs/dbraw/zinc/56/43/13/1074564313.db2.gz CGDTYRXFGFJNBI-UHFFFAOYSA-N 0 0 448.524 -0.407 20 0 IBADRN CCn1cc(CNC(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)c(=O)[nH]c1=O ZINC001468363251 1074564275 /nfs/dbraw/zinc/56/42/75/1074564275.db2.gz LKMHOVDKIZLZND-UHFFFAOYSA-N 0 0 429.481 -0.098 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001468363732 1074564520 /nfs/dbraw/zinc/56/45/20/1074564520.db2.gz QAEKKRPZLNPXEO-INIZCTEOSA-N 0 0 438.554 -0.299 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)C1 ZINC001468363733 1074564299 /nfs/dbraw/zinc/56/42/99/1074564299.db2.gz QAEKKRPZLNPXEO-MRXNPFEDSA-N 0 0 438.554 -0.299 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)CC1 ZINC001468368616 1074564431 /nfs/dbraw/zinc/56/44/31/1074564431.db2.gz GKUBWMFHPCSUMP-AWEZNQCLSA-N 0 0 432.547 -0.917 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)CC1 ZINC001468368617 1074564443 /nfs/dbraw/zinc/56/44/43/1074564443.db2.gz GKUBWMFHPCSUMP-CQSZACIVSA-N 0 0 432.547 -0.917 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCCN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001468369568 1074564450 /nfs/dbraw/zinc/56/44/50/1074564450.db2.gz RDNJDWPVROBTNB-UHFFFAOYSA-N 0 0 448.590 -0.233 20 0 IBADRN NC(=O)CC[C@@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)N1CCOCCN1 ZINC001468398186 1074564990 /nfs/dbraw/zinc/56/49/90/1074564990.db2.gz BBPSYTAYRFECQT-GFCCVEGCSA-N 0 0 438.428 -0.019 20 0 IBADRN NC(=O)CC[C@H](NS(=O)(=O)c1ccccc1C(F)(F)F)C(=O)N1CCOCCN1 ZINC001468398187 1074564827 /nfs/dbraw/zinc/56/48/27/1074564827.db2.gz BBPSYTAYRFECQT-LBPRGKRZSA-N 0 0 438.428 -0.019 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccccc2)CC1 ZINC001468400023 1074564901 /nfs/dbraw/zinc/56/49/01/1074564901.db2.gz KRCSCBFLNTVFKY-UHFFFAOYSA-N 0 0 433.556 -0.465 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(C(=O)C2=NN(c3ccc(F)cc3)C(=O)CC2=O)CC1 ZINC001468404165 1074564797 /nfs/dbraw/zinc/56/47/97/1074564797.db2.gz AUIHKGFWNUJQMS-UHFFFAOYSA-N 0 0 444.466 -0.243 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001468404813 1074564932 /nfs/dbraw/zinc/56/49/32/1074564932.db2.gz ISBDVNAJIGIOFC-CYBMUJFWSA-N 0 0 438.572 -0.193 20 0 IBADRN CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001468404814 1074564958 /nfs/dbraw/zinc/56/49/58/1074564958.db2.gz ISBDVNAJIGIOFC-ZDUSSCGKSA-N 0 0 438.572 -0.193 20 0 IBADRN COCC1(O)CN(C(=O)c2ccc(F)c(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC001468405103 1074564978 /nfs/dbraw/zinc/56/49/78/1074564978.db2.gz IGKZNZHALBUXRN-UHFFFAOYSA-N 0 0 429.470 -0.488 20 0 IBADRN COCC1(O)CN(C(=O)CCC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3)CC2)C1 ZINC001468405170 1074565002 /nfs/dbraw/zinc/56/50/02/1074565002.db2.gz JAQHWRYSEWGBQU-UHFFFAOYSA-N 0 0 443.497 -0.342 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)ccc1F ZINC001468405192 1074564792 /nfs/dbraw/zinc/56/47/92/1074564792.db2.gz LFTGMBZFTMENBH-UHFFFAOYSA-N 0 0 441.528 -0.041 20 0 IBADRN Cn1ncc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)c1I ZINC001468405222 1074564783 /nfs/dbraw/zinc/56/47/83/1074564783.db2.gz LXJIFYYPGZMLCO-UHFFFAOYSA-N 0 0 446.292 -0.092 20 0 IBADRN COc1cc(OC)cc(C(=O)NCC(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)c1 ZINC001468406041 1074564997 /nfs/dbraw/zinc/56/49/97/1074564997.db2.gz TXTKVUSGIXUSQU-UHFFFAOYSA-N 0 0 433.508 -0.297 20 0 IBADRN CC(C)(C)C(=O)NCC(=O)OCCC(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001468406223 1074564839 /nfs/dbraw/zinc/56/48/39/1074564839.db2.gz VSLDCDMSTGHHPQ-UHFFFAOYSA-N 0 0 425.529 -0.648 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCS(=O)(=NS(C)(=O)=O)CC3)CC2=O)cn1 ZINC001468406329 1074565011 /nfs/dbraw/zinc/56/50/11/1074565011.db2.gz WVJTUEQFSNLRIV-CYBMUJFWSA-N 0 0 447.539 -0.848 20 0 IBADRN COCCn1cc(N2C[C@@H](C(=O)N3CCS(=O)(=NS(C)(=O)=O)CC3)CC2=O)cn1 ZINC001468406330 1074564876 /nfs/dbraw/zinc/56/48/76/1074564876.db2.gz WVJTUEQFSNLRIV-ZDUSSCGKSA-N 0 0 447.539 -0.848 20 0 IBADRN COC(=O)[C@H](Cc1ccncc1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001468408560 1074564889 /nfs/dbraw/zinc/56/48/89/1074564889.db2.gz IWZYQYRANBSEJA-KRWDZBQOSA-N 0 0 446.485 -0.284 20 0 IBADRN COC(=O)[C@@H](Cc1ccncc1)NC(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001468408562 1074564817 /nfs/dbraw/zinc/56/48/17/1074564817.db2.gz IWZYQYRANBSEJA-QGZVFWFLSA-N 0 0 446.485 -0.284 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)Nc3cccc(CN4CCNC(=O)C4)c3)[C@@H](O)C(=O)N2C)cn1 ZINC001468412803 1074564945 /nfs/dbraw/zinc/56/49/45/1074564945.db2.gz DTCHENJIMMKLSL-GUDVDZBRSA-N 0 0 426.477 -0.519 20 0 IBADRN CCS(=O)(=O)NCCNC(=O)N1CCn2ncc(I)c2C1 ZINC001468454162 1074564854 /nfs/dbraw/zinc/56/48/54/1074564854.db2.gz HKNBNEALBCBVSX-UHFFFAOYSA-N 0 0 427.268 -0.048 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@@H]2CCCN(CC(F)(F)F)C2)c[nH]1 ZINC001468462489 1074565416 /nfs/dbraw/zinc/56/54/16/1074565416.db2.gz DOUUYNTWLJRCHB-JTQLQIEISA-N 0 0 425.433 -0.218 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)NCC(=O)NC[C@H]2CCCN(CC(F)(F)F)C2)c[nH]1 ZINC001468462506 1074565356 /nfs/dbraw/zinc/56/53/56/1074565356.db2.gz DOUUYNTWLJRCHB-SNVBAGLBSA-N 0 0 425.433 -0.218 20 0 IBADRN COC(=O)c1ccc(CNC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1OC ZINC001468485107 1074565448 /nfs/dbraw/zinc/56/54/48/1074565448.db2.gz BVOBRTZXQPDOLN-UHFFFAOYSA-N 0 0 428.511 -0.142 20 0 IBADRN CS(=O)(=O)N1CCN(CCNC(=O)NCc2ccc(CN3CCNC3=O)cc2)CC1 ZINC001468485779 1074565301 /nfs/dbraw/zinc/56/53/01/1074565301.db2.gz NUQWZKRHKYXPEQ-UHFFFAOYSA-N 0 0 438.554 -0.412 20 0 IBADRN COC(=O)[C@H]1C[C@@H](C(F)(F)F)CN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)C1 ZINC001468485916 1074565432 /nfs/dbraw/zinc/56/54/32/1074565432.db2.gz PKMYVKKTKJTZQV-QWHCGFSZSA-N 0 0 444.476 -0.053 20 0 IBADRN CCO/C=C/[C@](O)(CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC001468486130 1074565378 /nfs/dbraw/zinc/56/53/78/1074565378.db2.gz SRZUFYVWKFVXPW-BJTBTHHMSA-N 0 0 432.465 -0.294 20 0 IBADRN CCO/C=C\[C@@](O)(CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC001468486131 1074565339 /nfs/dbraw/zinc/56/53/39/1074565339.db2.gz SRZUFYVWKFVXPW-CNRJSHIUSA-N 0 0 432.465 -0.294 20 0 IBADRN CCO/C=C/[C@@](O)(CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC001468486132 1074565485 /nfs/dbraw/zinc/56/54/85/1074565485.db2.gz SRZUFYVWKFVXPW-MCRQLQJRSA-N 0 0 432.465 -0.294 20 0 IBADRN CCO/C=C\[C@](O)(CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(F)(F)F ZINC001468486133 1074565348 /nfs/dbraw/zinc/56/53/48/1074565348.db2.gz SRZUFYVWKFVXPW-YIEQYZEGSA-N 0 0 432.465 -0.294 20 0 IBADRN C[C@H]1CN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CCN1C(=O)c1cscn1 ZINC001468486330 1074565373 /nfs/dbraw/zinc/56/53/73/1074565373.db2.gz VZLRTWRKQQWRRR-AWEZNQCLSA-N 0 0 444.583 -0.424 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001468495577 1074565318 /nfs/dbraw/zinc/56/53/18/1074565318.db2.gz HMLPXWFXPBKYSZ-AWEZNQCLSA-N 0 0 441.326 -0.092 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001468495579 1074565471 /nfs/dbraw/zinc/56/54/71/1074565471.db2.gz HMLPXWFXPBKYSZ-CQSZACIVSA-N 0 0 441.326 -0.092 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2cccc([C@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001468499231 1074565388 /nfs/dbraw/zinc/56/53/88/1074565388.db2.gz AAYQZXGDXVXYMB-NRFANRHFSA-N 0 0 444.492 -0.379 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2cccc([C@@]3(C)NC(=O)NC3=O)c2)CC1 ZINC001468499240 1074565457 /nfs/dbraw/zinc/56/54/57/1074565457.db2.gz AAYQZXGDXVXYMB-OAQYLSRUSA-N 0 0 444.492 -0.379 20 0 IBADRN C[C@@]1(c2cccc(CNC(=O)NC[C@@]34COCCN3C(=O)COC4)c2)NC(=O)NC1=O ZINC001468501523 1074565441 /nfs/dbraw/zinc/56/54/41/1074565441.db2.gz CJHFYJDTNBOLIC-PMACEKPBSA-N 0 0 431.449 -0.832 20 0 IBADRN C[C@]1(c2cccc(CNC(=O)NC[C@@]34COCCN3C(=O)COC4)c2)NC(=O)NC1=O ZINC001468501528 1074565423 /nfs/dbraw/zinc/56/54/23/1074565423.db2.gz CJHFYJDTNBOLIC-UXHICEINSA-N 0 0 431.449 -0.832 20 0 IBADRN C[C@@]1(c2cccc(CNC(=O)NC[C@]34COCCN3C(=O)COC4)c2)NC(=O)NC1=O ZINC001468501530 1074565463 /nfs/dbraw/zinc/56/54/63/1074565463.db2.gz CJHFYJDTNBOLIC-VQTJNVASSA-N 0 0 431.449 -0.832 20 0 IBADRN C[C@]1(c2cccc(CNC(=O)NC[C@]34COCCN3C(=O)COC4)c2)NC(=O)NC1=O ZINC001468501620 1074565491 /nfs/dbraw/zinc/56/54/91/1074565491.db2.gz CJHFYJDTNBOLIC-WOJBJXKFSA-N 0 0 431.449 -0.832 20 0 IBADRN COCCN(C)CCNC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001468512597 1074565274 /nfs/dbraw/zinc/56/52/74/1074565274.db2.gz NVKBCTDDFPOZBR-CABCVRRESA-N 0 0 434.559 -0.008 20 0 IBADRN O=C(NCCNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1cccc(Br)c1 ZINC001468513657 1074565725 /nfs/dbraw/zinc/56/57/25/1074565725.db2.gz YBCHOWCJIHELMA-CYBMUJFWSA-N 0 0 446.327 -0.277 20 0 IBADRN O=C(NCCNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1cccc(Br)c1 ZINC001468513658 1074565913 /nfs/dbraw/zinc/56/59/13/1074565913.db2.gz YBCHOWCJIHELMA-ZDUSSCGKSA-N 0 0 446.327 -0.277 20 0 IBADRN COc1ccccc1CC(=O)N1CCC(NC(=O)NCCNS(=O)(=O)N(C)C)CC1 ZINC001468539677 1074565928 /nfs/dbraw/zinc/56/59/28/1074565928.db2.gz XDXYTXCMHSRVEV-UHFFFAOYSA-N 0 0 441.554 -0.076 20 0 IBADRN COC(=O)c1cc(C2CN(C(=O)NCCC(=O)N3CCN(c4ncccn4)CC3)C2)[nH]n1 ZINC001468543875 1074565839 /nfs/dbraw/zinc/56/58/39/1074565839.db2.gz KCQIRGLVSUQNJG-UHFFFAOYSA-N 0 0 442.480 -0.166 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001468545603 1074565830 /nfs/dbraw/zinc/56/58/30/1074565830.db2.gz YCEMYURWGGWNTA-HNNXBMFYSA-N 0 0 439.542 -0.904 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)C1 ZINC001468545604 1074565751 /nfs/dbraw/zinc/56/57/51/1074565751.db2.gz YCEMYURWGGWNTA-OAHLLOKOSA-N 0 0 439.542 -0.904 20 0 IBADRN CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)NCCc2nnc3c(=O)n(C)ccn23)c1 ZINC001468575085 1074565773 /nfs/dbraw/zinc/56/57/73/1074565773.db2.gz NQGGTLQSUTVEJF-GFCCVEGCSA-N 0 0 433.494 -0.061 20 0 IBADRN CNS(=O)(=O)c1cccc([C@H](C)NC(=O)NCCc2nnc3c(=O)n(C)ccn23)c1 ZINC001468575086 1074565736 /nfs/dbraw/zinc/56/57/36/1074565736.db2.gz NQGGTLQSUTVEJF-LBPRGKRZSA-N 0 0 433.494 -0.061 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@H](C)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC001468577566 1074565879 /nfs/dbraw/zinc/56/58/79/1074565879.db2.gz ZUBOKCAKHKHRGN-GFCCVEGCSA-N 0 0 433.556 -0.117 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@@H](C)c2cccc(S(=O)(=O)NC)c2)CC1 ZINC001468577567 1074565852 /nfs/dbraw/zinc/56/58/52/1074565852.db2.gz ZUBOKCAKHKHRGN-LBPRGKRZSA-N 0 0 433.556 -0.117 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NC2CCN(C(=O)c3cn[nH]c3)CC2)[C@H]1c1nccn1C ZINC001468605581 1074566192 /nfs/dbraw/zinc/56/61/92/1074566192.db2.gz JJWGRFWUXKNWMV-IRXDYDNUSA-N 0 0 429.481 -0.147 20 0 IBADRN CN(CCN(C)C(=O)CN1CCS(=O)(=O)CC1)CCN1C(=O)c2ccccc2C1=O ZINC001468607969 1074566298 /nfs/dbraw/zinc/56/62/98/1074566298.db2.gz MZXURHWRAIHFPN-UHFFFAOYSA-N 0 0 436.534 -0.597 20 0 IBADRN CO[C@@]1(C(=O)N(C)CCN(C)CCN2C(=O)c3ccccc3C2=O)CCS(=O)(=O)C1 ZINC001468607996 1074566204 /nfs/dbraw/zinc/56/62/04/1074566204.db2.gz NVQBHILDTSVHDU-FQEVSTJZSA-N 0 0 437.518 -0.124 20 0 IBADRN CO[C@]1(C(=O)N(C)CCN(C)CCN2C(=O)c3ccccc3C2=O)CCS(=O)(=O)C1 ZINC001468607997 1074566414 /nfs/dbraw/zinc/56/64/14/1074566414.db2.gz NVQBHILDTSVHDU-HXUWFJFHSA-N 0 0 437.518 -0.124 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N[C@@H](CO)CN2CCCC2)C1 ZINC001468608042 1074566359 /nfs/dbraw/zinc/56/63/59/1074566359.db2.gz PCMLESDTUJXFOY-SPYBWZPUSA-N 0 0 431.555 -0.159 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N[C@H](CO)CN2CCCC2)C1 ZINC001468608043 1074566267 /nfs/dbraw/zinc/56/62/67/1074566267.db2.gz PCMLESDTUJXFOY-ZRGWGRIASA-N 0 0 431.555 -0.159 20 0 IBADRN CNC(=O)c1cc(O[C@H]2CCCN(C(=O)c3cc4n(n3)CCN(C)S4(=O)=O)C2)ccn1 ZINC001468621727 1074566326 /nfs/dbraw/zinc/56/63/26/1074566326.db2.gz HLEAUVDZEPVZFA-AWEZNQCLSA-N 0 0 448.505 -0.045 20 0 IBADRN CNC(=O)c1cc(O[C@@H]2CCCN(C(=O)c3cc4n(n3)CCN(C)S4(=O)=O)C2)ccn1 ZINC001468621728 1074566291 /nfs/dbraw/zinc/56/62/91/1074566291.db2.gz HLEAUVDZEPVZFA-CQSZACIVSA-N 0 0 448.505 -0.045 20 0 IBADRN CNC(=O)[C@H](CCSC)NC(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001468631767 1074566404 /nfs/dbraw/zinc/56/64/04/1074566404.db2.gz WVFQUQXUSROGND-ZDUSSCGKSA-N 0 0 437.565 -0.648 20 0 IBADRN CS(=O)(=O)CCN1CCC(NC(=O)N2CCC(N3CCCS3(=O)=O)CC2)CC1 ZINC001468635922 1074566226 /nfs/dbraw/zinc/56/62/26/1074566226.db2.gz HNMLTKXLPJXRGH-UHFFFAOYSA-N 0 0 436.600 -0.295 20 0 IBADRN COCCN(C(=O)NC1CCN(CCS(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001468636448 1074566422 /nfs/dbraw/zinc/56/64/22/1074566422.db2.gz PCUUPOYQPBABOW-HNNXBMFYSA-N 0 0 425.573 -0.660 20 0 IBADRN COCCN(C(=O)NC1CCN(CCS(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001468636453 1074566338 /nfs/dbraw/zinc/56/63/38/1074566338.db2.gz PCUUPOYQPBABOW-OAHLLOKOSA-N 0 0 425.573 -0.660 20 0 IBADRN CS(=O)(=O)NCCNC(=O)N1CCC([C@@H]2OCC[C@H]2NC(=O)C(F)(F)F)CC1 ZINC001468641098 1074566344 /nfs/dbraw/zinc/56/63/44/1074566344.db2.gz XQENHMCERSWHNI-NEPJUHHUSA-N 0 0 430.449 -0.207 20 0 IBADRN O=C(NCCN1CCNC1=S)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001468663502 1074566895 /nfs/dbraw/zinc/56/68/95/1074566895.db2.gz IOQGIAZTCBQTOE-HNNXBMFYSA-N 0 0 434.570 -0.229 20 0 IBADRN O=C(NCCN1CCNC1=S)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001468663503 1074566958 /nfs/dbraw/zinc/56/69/58/1074566958.db2.gz IOQGIAZTCBQTOE-OAHLLOKOSA-N 0 0 434.570 -0.229 20 0 IBADRN CNC(=O)NC1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC001468665389 1074566828 /nfs/dbraw/zinc/56/68/28/1074566828.db2.gz CLESTFDGLPNAIN-UHFFFAOYSA-N 0 0 427.527 -0.098 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCN([S@@](C)(=N)=O)CC1 ZINC001468665688 1074566773 /nfs/dbraw/zinc/56/67/73/1074566773.db2.gz FAIXTXHDHWBWCB-HHHXNRCGSA-N 0 0 433.556 -0.282 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCN([S@](C)(=N)=O)CC1 ZINC001468665693 1074566912 /nfs/dbraw/zinc/56/69/12/1074566912.db2.gz FAIXTXHDHWBWCB-MHZLTWQESA-N 0 0 433.556 -0.282 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CCC(c2nnnn2C)CC1 ZINC001468666309 1074566780 /nfs/dbraw/zinc/56/67/80/1074566780.db2.gz JDFAXYKNQUKISK-UHFFFAOYSA-N 0 0 437.526 -0.088 20 0 IBADRN COCc1nnc2n1CCN(C(=O)NCc1ccccc1S(=O)(=O)N(C)CCO)C2 ZINC001468668625 1074566738 /nfs/dbraw/zinc/56/67/38/1074566738.db2.gz UNODAVKPYZSTBF-UHFFFAOYSA-N 0 0 438.510 -0.237 20 0 IBADRN CONC(=O)C1CCN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CC1 ZINC001468668638 1074566972 /nfs/dbraw/zinc/56/69/72/1074566972.db2.gz UUIGVUWJCFZCHC-UHFFFAOYSA-N 0 0 428.511 -0.101 20 0 IBADRN Cc1ccc(S(=O)(=O)N=S2(=O)CCN(C(=O)CCn3ncn(C)c3=O)CC2)cc1 ZINC001468670962 1074567418 /nfs/dbraw/zinc/56/74/18/1074567418.db2.gz QAGVHMLDBUGONN-UHFFFAOYSA-N 0 0 441.535 -0.021 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCCN2C(=O)CNC2=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001468719410 1074567504 /nfs/dbraw/zinc/56/75/04/1074567504.db2.gz CTZGWCPPWUOMBA-GFCCVEGCSA-N 0 0 439.469 -0.410 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCCN2C(=O)CNC2=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001468719411 1074567396 /nfs/dbraw/zinc/56/73/96/1074567396.db2.gz CTZGWCPPWUOMBA-LBPRGKRZSA-N 0 0 439.469 -0.410 20 0 IBADRN O=C(c1sccc1S(=O)(=O)N1CCC(CO)CC1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001468749446 1074567746 /nfs/dbraw/zinc/56/77/46/1074567746.db2.gz HXSGDKPWTSUGPG-ZIAGYGMSSA-N 0 0 443.547 -0.129 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)[C@H]1CCCC[C@H]1C(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001468749480 1074567961 /nfs/dbraw/zinc/56/79/61/1074567961.db2.gz JBLAKVOPOMUQKU-AALSBFMBSA-N 0 0 427.523 -0.788 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@H]1C(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001468749487 1074567983 /nfs/dbraw/zinc/56/79/83/1074567983.db2.gz JBLAKVOPOMUQKU-OXGONZEZSA-N 0 0 427.523 -0.788 20 0 IBADRN O=C(N[C@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@H]1C(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001468749488 1074568009 /nfs/dbraw/zinc/56/80/09/1074568009.db2.gz JBLAKVOPOMUQKU-UYJHQMFVSA-N 0 0 427.523 -0.788 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@H]1CCCC[C@H]1C(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001468749489 1074567977 /nfs/dbraw/zinc/56/79/77/1074567977.db2.gz JBLAKVOPOMUQKU-YIDVYQOGSA-N 0 0 427.523 -0.788 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CC[C@@H](O)[C@H](CO)C2)CC1 ZINC001468751768 1074568014 /nfs/dbraw/zinc/56/80/14/1074568014.db2.gz HNXGETPFZOCPHH-DLBZAZTESA-N 0 0 426.539 -0.493 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CC[C@@H](O)[C@@H](CO)C2)CC1 ZINC001468751770 1074568020 /nfs/dbraw/zinc/56/80/20/1074568020.db2.gz HNXGETPFZOCPHH-IAGOWNOFSA-N 0 0 426.539 -0.493 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CC[C@H](O)[C@H](CO)C2)CC1 ZINC001468751772 1074567868 /nfs/dbraw/zinc/56/78/68/1074567868.db2.gz HNXGETPFZOCPHH-IRXDYDNUSA-N 0 0 426.539 -0.493 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CC[C@H](O)[C@@H](CO)C2)CC1 ZINC001468751774 1074567954 /nfs/dbraw/zinc/56/79/54/1074567954.db2.gz HNXGETPFZOCPHH-SJORKVTESA-N 0 0 426.539 -0.493 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCC(CO)(CO)CC2)CC1 ZINC001468754658 1074568027 /nfs/dbraw/zinc/56/80/27/1074568027.db2.gz JWRXZDRQZPXBKF-UHFFFAOYSA-N 0 0 440.566 -0.101 20 0 IBADRN C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001468755102 1074567970 /nfs/dbraw/zinc/56/79/70/1074567970.db2.gz QLVQKQALWHGLGX-ZBFHGGJFSA-N 0 0 444.579 -0.145 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001468769615 1074568416 /nfs/dbraw/zinc/56/84/16/1074568416.db2.gz FPMPMOPFBUNSMG-VXGBXAGGSA-N 0 0 428.433 -0.153 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC001468771270 1074568486 /nfs/dbraw/zinc/56/84/86/1074568486.db2.gz IKMRXOFKZRCYTL-LLVKDONJSA-N 0 0 436.478 -0.119 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)NCC2CCN(S(=O)(=O)C(F)(F)F)CC2)C1 ZINC001468771273 1074568439 /nfs/dbraw/zinc/56/84/39/1074568439.db2.gz IKMRXOFKZRCYTL-NSHDSACASA-N 0 0 436.478 -0.119 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001468771504 1074568480 /nfs/dbraw/zinc/56/84/80/1074568480.db2.gz KSRKEDRMOPIOBW-LLVKDONJSA-N 0 0 449.477 -0.908 20 0 IBADRN O=C(NCC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001468771505 1074568459 /nfs/dbraw/zinc/56/84/59/1074568459.db2.gz KSRKEDRMOPIOBW-NSHDSACASA-N 0 0 449.477 -0.908 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)c1ccc2c(c1)NC(=O)CNC2=O ZINC001468775847 1074568469 /nfs/dbraw/zinc/56/84/69/1074568469.db2.gz KTHXHEOUWPOAKA-HZMBPMFUSA-N 0 0 434.474 -0.049 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)c1ccc2c(c1)NC(=O)CNC2=O ZINC001468775848 1074568401 /nfs/dbraw/zinc/56/84/01/1074568401.db2.gz KTHXHEOUWPOAKA-IINYFYTJSA-N 0 0 434.474 -0.049 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)c1ccc2c(c1)NC(=O)CNC2=O ZINC001468775849 1074568473 /nfs/dbraw/zinc/56/84/73/1074568473.db2.gz KTHXHEOUWPOAKA-QMTHXVAHSA-N 0 0 434.474 -0.049 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)c1ccc2c(c1)NC(=O)CNC2=O ZINC001468775850 1074568492 /nfs/dbraw/zinc/56/84/92/1074568492.db2.gz KTHXHEOUWPOAKA-YGRLFVJLSA-N 0 0 434.474 -0.049 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC001468776239 1074568391 /nfs/dbraw/zinc/56/83/91/1074568391.db2.gz PBTWJMFATKZGIR-AAEUAGOBSA-N 0 0 442.519 -0.547 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@H](C)N1C(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC001468776240 1074568434 /nfs/dbraw/zinc/56/84/34/1074568434.db2.gz PBTWJMFATKZGIR-DGCLKSJQSA-N 0 0 442.519 -0.547 20 0 IBADRN COC(=O)CCNC(=O)[C@H]1CS[C@@H](C)N1C(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC001468776241 1074568429 /nfs/dbraw/zinc/56/84/29/1074568429.db2.gz PBTWJMFATKZGIR-WCQYABFASA-N 0 0 442.519 -0.547 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)C1=CN2CCS(=O)(=O)N=C2C=C1 ZINC001468776242 1074568410 /nfs/dbraw/zinc/56/84/10/1074568410.db2.gz PBTWJMFATKZGIR-YPMHNXCESA-N 0 0 442.519 -0.547 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)C2=O)cc1 ZINC001468810491 1074568454 /nfs/dbraw/zinc/56/84/54/1074568454.db2.gz MCRBZQLWZGBXAW-DOTOQJQBSA-N 0 0 440.522 -0.244 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)C2=O)cc1 ZINC001468810493 1074568384 /nfs/dbraw/zinc/56/83/84/1074568384.db2.gz MCRBZQLWZGBXAW-NVXWUHKLSA-N 0 0 440.522 -0.244 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)C2=O)cc1 ZINC001468810495 1074568374 /nfs/dbraw/zinc/56/83/74/1074568374.db2.gz MCRBZQLWZGBXAW-RDJZCZTQSA-N 0 0 440.522 -0.244 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)C2=O)cc1 ZINC001468810497 1074568802 /nfs/dbraw/zinc/56/88/02/1074568802.db2.gz MCRBZQLWZGBXAW-WBVHZDCISA-N 0 0 440.522 -0.244 20 0 IBADRN O=C(NC[C@@H](O)Cn1ccnn1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001468820692 1074568780 /nfs/dbraw/zinc/56/87/80/1074568780.db2.gz LIYAGDMFRBZOTG-GUTXKFCHSA-N 0 0 429.886 -0.767 20 0 IBADRN O=C(NC[C@H](O)Cn1ccnn1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001468820695 1074568794 /nfs/dbraw/zinc/56/87/94/1074568794.db2.gz LIYAGDMFRBZOTG-IPYPFGDCSA-N 0 0 429.886 -0.767 20 0 IBADRN O=C(NC[C@@H](O)Cn1ccnn1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001468820696 1074568789 /nfs/dbraw/zinc/56/87/89/1074568789.db2.gz LIYAGDMFRBZOTG-NFAWXSAZSA-N 0 0 429.886 -0.767 20 0 IBADRN O=C(NC[C@H](O)Cn1ccnn1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001468820697 1074568915 /nfs/dbraw/zinc/56/89/15/1074568915.db2.gz LIYAGDMFRBZOTG-YDHLFZDLSA-N 0 0 429.886 -0.767 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)NCC2(N3CCOCC3)CCOCC2)cc1 ZINC001468834444 1074568806 /nfs/dbraw/zinc/56/88/06/1074568806.db2.gz AWYZKSZRBVGZFH-INIZCTEOSA-N 0 0 441.550 -0.043 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)NCC2(N3CCOCC3)CCOCC2)cc1 ZINC001468834450 1074568956 /nfs/dbraw/zinc/56/89/56/1074568956.db2.gz AWYZKSZRBVGZFH-MRXNPFEDSA-N 0 0 441.550 -0.043 20 0 IBADRN O=C(Cn1cc(Br)c(=O)[nH]c1=O)NCC1(N2CCOCC2)CCOCC1 ZINC001468836205 1074568767 /nfs/dbraw/zinc/56/87/67/1074568767.db2.gz YWAYTTQQNGDJSZ-UHFFFAOYSA-N 0 0 431.287 -0.291 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)c2cc3n(n2)CCN(C)S3(=O)=O)c1 ZINC001468844179 1074568947 /nfs/dbraw/zinc/56/89/47/1074568947.db2.gz KFEAUNLKRKOSFF-UHFFFAOYSA-N 0 0 427.508 -0.255 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)c2cnn3c2S(=O)(=O)N(C)CC3)c1 ZINC001468844470 1074568938 /nfs/dbraw/zinc/56/89/38/1074568938.db2.gz SCNRATXNJJOCGF-UHFFFAOYSA-N 0 0 427.508 -0.255 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC001468847632 1074568930 /nfs/dbraw/zinc/56/89/30/1074568930.db2.gz KLDHRGPTEHHROQ-GFCCVEGCSA-N 0 0 448.514 -0.790 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCC1CCN(S(=O)(=O)C(F)F)CC1 ZINC001468847639 1074568924 /nfs/dbraw/zinc/56/89/24/1074568924.db2.gz KLDHRGPTEHHROQ-LBPRGKRZSA-N 0 0 448.514 -0.790 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001468849296 1074568908 /nfs/dbraw/zinc/56/89/08/1074568908.db2.gz JDBNJNOJSWYOIA-DLBZAZTESA-N 0 0 444.531 -0.264 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001468849297 1074568827 /nfs/dbraw/zinc/56/88/27/1074568827.db2.gz JDBNJNOJSWYOIA-IAGOWNOFSA-N 0 0 444.531 -0.264 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001468849298 1074568756 /nfs/dbraw/zinc/56/87/56/1074568756.db2.gz JDBNJNOJSWYOIA-IRXDYDNUSA-N 0 0 444.531 -0.264 20 0 IBADRN O=C(c1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001468849299 1074568820 /nfs/dbraw/zinc/56/88/20/1074568820.db2.gz JDBNJNOJSWYOIA-SJORKVTESA-N 0 0 444.531 -0.264 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001468851120 1074569291 /nfs/dbraw/zinc/56/92/91/1074569291.db2.gz FCHCOYKRARCICO-CABCVRRESA-N 0 0 449.576 -0.220 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001468851124 1074569307 /nfs/dbraw/zinc/56/93/07/1074569307.db2.gz FCHCOYKRARCICO-GJZGRUSLSA-N 0 0 449.576 -0.220 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001468851127 1074569243 /nfs/dbraw/zinc/56/92/43/1074569243.db2.gz FCHCOYKRARCICO-HUUCEWRRSA-N 0 0 449.576 -0.220 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001468851129 1074569296 /nfs/dbraw/zinc/56/92/96/1074569296.db2.gz FCHCOYKRARCICO-LSDHHAIUSA-N 0 0 449.576 -0.220 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)cc1 ZINC001468852058 1074569256 /nfs/dbraw/zinc/56/92/56/1074569256.db2.gz GONMKMGTTWDROZ-DAXOMENPSA-N 0 0 432.520 -0.626 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)cc1 ZINC001468852068 1074569312 /nfs/dbraw/zinc/56/93/12/1074569312.db2.gz GONMKMGTTWDROZ-KCXAZCMYSA-N 0 0 432.520 -0.626 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)cc1 ZINC001468852072 1074569357 /nfs/dbraw/zinc/56/93/57/1074569357.db2.gz GONMKMGTTWDROZ-UHOFOFEASA-N 0 0 432.520 -0.626 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)cc1 ZINC001468852074 1074569303 /nfs/dbraw/zinc/56/93/03/1074569303.db2.gz GONMKMGTTWDROZ-WQVCFCJDSA-N 0 0 432.520 -0.626 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)c1 ZINC001468852924 1074569345 /nfs/dbraw/zinc/56/93/45/1074569345.db2.gz PZRHBRCGJBLCPN-DLBZAZTESA-N 0 0 444.531 -0.028 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)c1 ZINC001468852926 1074569321 /nfs/dbraw/zinc/56/93/21/1074569321.db2.gz PZRHBRCGJBLCPN-IAGOWNOFSA-N 0 0 444.531 -0.028 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)c1 ZINC001468852928 1074569315 /nfs/dbraw/zinc/56/93/15/1074569315.db2.gz PZRHBRCGJBLCPN-IRXDYDNUSA-N 0 0 444.531 -0.028 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)c1 ZINC001468852931 1074569246 /nfs/dbraw/zinc/56/92/46/1074569246.db2.gz PZRHBRCGJBLCPN-SJORKVTESA-N 0 0 444.531 -0.028 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001468853488 1074569284 /nfs/dbraw/zinc/56/92/84/1074569284.db2.gz LXSFNNBAXFHIAD-IKGGRYGDSA-N 0 0 429.539 -0.886 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001468853489 1074569275 /nfs/dbraw/zinc/56/92/75/1074569275.db2.gz LXSFNNBAXFHIAD-IXDOHACOSA-N 0 0 429.539 -0.886 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001468853490 1074569230 /nfs/dbraw/zinc/56/92/30/1074569230.db2.gz LXSFNNBAXFHIAD-ULQDDVLXSA-N 0 0 429.539 -0.886 20 0 IBADRN O=C(CCN1CCN(C(=O)[C@@H]2CCCO2)CC1)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001468853491 1074569351 /nfs/dbraw/zinc/56/93/51/1074569351.db2.gz LXSFNNBAXFHIAD-YESZJQIVSA-N 0 0 429.539 -0.886 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCS(=O)(=O)[C@H]4COCC[C@H]43)CC2=O)cn1 ZINC001468853532 1074569263 /nfs/dbraw/zinc/56/92/63/1074569263.db2.gz MLWVZTBXBARAHD-BMFZPTHFSA-N 0 0 426.495 -0.703 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCS(=O)(=O)[C@@H]4COCC[C@H]43)CC2=O)cn1 ZINC001468853533 1074569270 /nfs/dbraw/zinc/56/92/70/1074569270.db2.gz MLWVZTBXBARAHD-FVQBIDKESA-N 0 0 426.495 -0.703 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCS(=O)(=O)[C@H]4COCC[C@@H]43)CC2=O)cn1 ZINC001468853534 1074569326 /nfs/dbraw/zinc/56/93/26/1074569326.db2.gz MLWVZTBXBARAHD-KBMXLJTQSA-N 0 0 426.495 -0.703 20 0 IBADRN COCCn1cc(N2C[C@H](C(=O)N3CCS(=O)(=O)[C@@H]4COCC[C@@H]43)CC2=O)cn1 ZINC001468853537 1074569745 /nfs/dbraw/zinc/56/97/45/1074569745.db2.gz MLWVZTBXBARAHD-VNQPRFMTSA-N 0 0 426.495 -0.703 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)NCC1(N2CCOCC2)CCOCC1 ZINC001468857439 1074569631 /nfs/dbraw/zinc/56/96/31/1074569631.db2.gz IEIASQZAAKKDQN-KRWDZBQOSA-N 0 0 448.586 -0.345 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)NCC1(N2CCOCC2)CCOCC1 ZINC001468857440 1074569755 /nfs/dbraw/zinc/56/97/55/1074569755.db2.gz IEIASQZAAKKDQN-QGZVFWFLSA-N 0 0 448.586 -0.345 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2C[C@H](CO)[C@H](O)C2)CC1 ZINC001468869741 1074569736 /nfs/dbraw/zinc/56/97/36/1074569736.db2.gz ZTOVWKIREBTOIY-GDBMZVCRSA-N 0 0 426.491 -0.315 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2C[C@@H](O)[C@H](CO)C2)CC1 ZINC001468869744 1074569702 /nfs/dbraw/zinc/56/97/02/1074569702.db2.gz ZTOVWKIREBTOIY-GOEBONIOSA-N 0 0 426.491 -0.315 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2C[C@H](O)[C@H](CO)C2)CC1 ZINC001468869746 1074569668 /nfs/dbraw/zinc/56/96/68/1074569668.db2.gz ZTOVWKIREBTOIY-HOCLYGCPSA-N 0 0 426.491 -0.315 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)N2C[C@H](O)[C@@H](CO)C2)CC1 ZINC001468869748 1074569679 /nfs/dbraw/zinc/56/96/79/1074569679.db2.gz ZTOVWKIREBTOIY-ZBFHGGJFSA-N 0 0 426.491 -0.315 20 0 IBADRN O=C(NCCn1cccn1)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001468872146 1074569573 /nfs/dbraw/zinc/56/95/73/1074569573.db2.gz GMRYNKYRITVGAJ-UHFFFAOYSA-N 0 0 449.537 -0.169 20 0 IBADRN Cc1nc2nc(C(F)(F)F)nn2c(C)c1CCC(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001468880826 1074569659 /nfs/dbraw/zinc/56/96/59/1074569659.db2.gz HFVXPZWDJWQDBR-UHFFFAOYSA-N 0 0 427.387 -0.151 20 0 IBADRN NC(=O)CN1CCN(C(=O)c2ccc3ncc(I)n3c2)CC1=O ZINC001468880985 1074569588 /nfs/dbraw/zinc/56/95/88/1074569588.db2.gz JFFCXIHFFJSOOH-UHFFFAOYSA-N 0 0 427.202 -0.291 20 0 IBADRN NC(=O)CN1CCN(C(=O)CCNS(=O)(=O)c2ccc(Br)cc2)CC1=O ZINC001468881398 1074569598 /nfs/dbraw/zinc/56/95/98/1074569598.db2.gz LHMWWYYIOGJKPA-UHFFFAOYSA-N 0 0 447.311 -0.726 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)[C@@](C)(C(=O)N2CCN(CC(N)=O)C(=O)C2)C1 ZINC001468881709 1074569545 /nfs/dbraw/zinc/56/95/45/1074569545.db2.gz MMPNRAUOBKBJKV-HRAATJIYSA-N 0 0 432.477 -0.041 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)[C@](C)(C(=O)N2CCN(CC(N)=O)C(=O)C2)C1 ZINC001468881712 1074569646 /nfs/dbraw/zinc/56/96/46/1074569646.db2.gz MMPNRAUOBKBJKV-IERDGZPVSA-N 0 0 432.477 -0.041 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)[C@@](C)(C(=O)N2CCN(CC(N)=O)C(=O)C2)C1 ZINC001468881714 1074569715 /nfs/dbraw/zinc/56/97/15/1074569715.db2.gz MMPNRAUOBKBJKV-IIBYNOLFSA-N 0 0 432.477 -0.041 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)[C@](C)(C(=O)N2CCN(CC(N)=O)C(=O)C2)C1 ZINC001468881716 1074569561 /nfs/dbraw/zinc/56/95/61/1074569561.db2.gz MMPNRAUOBKBJKV-KKSFZXQISA-N 0 0 432.477 -0.041 20 0 IBADRN CCN(CC)S(=O)(=O)c1cc(C(=O)N2CCN(CC(N)=O)C(=O)C2)ccc1OC ZINC001468881989 1074569623 /nfs/dbraw/zinc/56/96/23/1074569623.db2.gz POETUFHIHAQYNS-UHFFFAOYSA-N 0 0 426.495 -0.505 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(CC(N)=O)C(=O)C3)cc2)C[C@H](C)O1 ZINC001468882180 1074569653 /nfs/dbraw/zinc/56/96/53/1074569653.db2.gz SRMGPJGXXHXLQH-KBPBESRZSA-N 0 0 438.506 -0.746 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(CC(N)=O)C(=O)C3)cc2)C[C@H](C)O1 ZINC001468882185 1074569726 /nfs/dbraw/zinc/56/97/26/1074569726.db2.gz SRMGPJGXXHXLQH-OKILXGFUSA-N 0 0 438.506 -0.746 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CCN(CC(N)=O)C(=O)C3)cc2)C[C@@H](C)O1 ZINC001468882190 1074569614 /nfs/dbraw/zinc/56/96/14/1074569614.db2.gz SRMGPJGXXHXLQH-ZIAGYGMSSA-N 0 0 438.506 -0.746 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cc1OC ZINC001468891922 1074570109 /nfs/dbraw/zinc/57/01/09/1074570109.db2.gz AEGUXMGJUWKZAT-UHFFFAOYSA-N 0 0 428.511 -0.179 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@H](C(N)=O)C2)CC1 ZINC001468892014 1074570086 /nfs/dbraw/zinc/57/00/86/1074570086.db2.gz BTKUQZUWLJQOOD-INIZCTEOSA-N 0 0 440.501 -0.367 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)C2=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C2)CC1 ZINC001468892017 1074570028 /nfs/dbraw/zinc/57/00/28/1074570028.db2.gz BTKUQZUWLJQOOD-MRXNPFEDSA-N 0 0 440.501 -0.367 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)c1 ZINC001468892297 1074570116 /nfs/dbraw/zinc/57/01/16/1074570116.db2.gz HSHVJFWMLWQEKB-UHFFFAOYSA-N 0 0 446.551 -0.446 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCN(S(=O)(=O)NC(C)C)CC1 ZINC001468892603 1074570036 /nfs/dbraw/zinc/57/00/36/1074570036.db2.gz MLAVORGCEBDVQX-CYBMUJFWSA-N 0 0 430.618 -0.566 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCN(S(=O)(=O)NC(C)C)CC1 ZINC001468892605 1074570065 /nfs/dbraw/zinc/57/00/65/1074570065.db2.gz MLAVORGCEBDVQX-ZDUSSCGKSA-N 0 0 430.618 -0.566 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@@](C)(c3ccccc3)C2=O)CC1 ZINC001468892632 1074570137 /nfs/dbraw/zinc/57/01/37/1074570137.db2.gz NFEJOORANCXXHL-IBGZPJMESA-N 0 0 437.522 -0.159 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)CN2C(=O)N[C@](C)(c3ccccc3)C2=O)CC1 ZINC001468892636 1074570004 /nfs/dbraw/zinc/57/00/04/1074570004.db2.gz NFEJOORANCXXHL-LJQANCHMSA-N 0 0 437.522 -0.159 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)[C@@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001468893036 1074570044 /nfs/dbraw/zinc/57/00/44/1074570044.db2.gz RRAZNKDJMQIYKZ-HNNXBMFYSA-N 0 0 440.526 -0.436 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)[C@H]2CCCCN2C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001468893039 1074570073 /nfs/dbraw/zinc/57/00/73/1074570073.db2.gz RRAZNKDJMQIYKZ-OAHLLOKOSA-N 0 0 440.526 -0.436 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)CC1 ZINC001468893200 1074570021 /nfs/dbraw/zinc/57/00/21/1074570021.db2.gz WJVGYCFEOIRLFM-UHFFFAOYSA-N 0 0 446.551 -0.618 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)c2cnn(CC(=O)NC3CCCC3)c2)CC1 ZINC001468893265 1074570052 /nfs/dbraw/zinc/57/00/52/1074570052.db2.gz YZSFGCZMFJZIDT-UHFFFAOYSA-N 0 0 426.543 -0.058 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C1CCCC1 ZINC001468895983 1074570080 /nfs/dbraw/zinc/57/00/80/1074570080.db2.gz DCBRQRJDEVXOFI-HNNXBMFYSA-N 0 0 449.599 -0.040 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)c1cc(S(=O)(=O)N(C)C)cn1C)C1CCCC1 ZINC001468895984 1074570102 /nfs/dbraw/zinc/57/01/02/1074570102.db2.gz DCBRQRJDEVXOFI-OAHLLOKOSA-N 0 0 449.599 -0.040 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)[C@H](CO)NC(=O)c1ccc(F)cc1)C1CCCC1 ZINC001468896381 1074570060 /nfs/dbraw/zinc/57/00/60/1074570060.db2.gz HKFLPGILWNRHNN-IRXDYDNUSA-N 0 0 444.529 -0.013 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)[C@H](CO)NC(=O)c1ccc(F)cc1)C1CCCC1 ZINC001468896390 1074570130 /nfs/dbraw/zinc/57/01/30/1074570130.db2.gz HKFLPGILWNRHNN-SJORKVTESA-N 0 0 444.529 -0.013 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)[C@H]1C[C@H](O)CN1c1ccncn1)C1CCCC1 ZINC001468898094 1074570516 /nfs/dbraw/zinc/57/05/16/1074570516.db2.gz TXQBCLWAEDBRMS-ARFHVFGLSA-N 0 0 426.543 -0.513 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)[C@H]1C[C@@H](O)CN1c1ccncn1)C1CCCC1 ZINC001468898098 1074570459 /nfs/dbraw/zinc/57/04/59/1074570459.db2.gz TXQBCLWAEDBRMS-BZUAXINKSA-N 0 0 426.543 -0.513 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)[C@@H]1C[C@@H](O)CN1c1ccncn1)C1CCCC1 ZINC001468898100 1074570520 /nfs/dbraw/zinc/57/05/20/1074570520.db2.gz TXQBCLWAEDBRMS-OAGGEKHMSA-N 0 0 426.543 -0.513 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)[C@@H]1C[C@H](O)CN1c1ccncn1)C1CCCC1 ZINC001468898102 1074570452 /nfs/dbraw/zinc/57/04/52/1074570452.db2.gz TXQBCLWAEDBRMS-XHSDSOJGSA-N 0 0 426.543 -0.513 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)C[C@H](C)O1 ZINC001468916815 1074570473 /nfs/dbraw/zinc/57/04/73/1074570473.db2.gz BUGWLEMXKIBTHE-BBRMVZONSA-N 0 0 433.527 -0.069 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2C(=O)N2CC(S(N)(=O)=O)C2)cc1 ZINC001468917022 1074570409 /nfs/dbraw/zinc/57/04/09/1074570409.db2.gz KWKBPMHAVNAQTM-INIZCTEOSA-N 0 0 429.520 -0.068 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)N2CC(S(N)(=O)=O)C2)cc1 ZINC001468917023 1074570467 /nfs/dbraw/zinc/57/04/67/1074570467.db2.gz KWKBPMHAVNAQTM-MRXNPFEDSA-N 0 0 429.520 -0.068 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001468917101 1074570424 /nfs/dbraw/zinc/57/04/24/1074570424.db2.gz HAFNNCZZYCDJDY-UHFFFAOYSA-N 0 0 440.588 -0.413 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)N2CC(S(N)(=O)=O)C2)ccc1Br ZINC001468917599 1074570524 /nfs/dbraw/zinc/57/05/24/1074570524.db2.gz WVXUXUUAJWHSQW-UHFFFAOYSA-N 0 0 426.314 -0.188 20 0 IBADRN O=C(NCCCn1cc(CO)nn1)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001468917722 1074570497 /nfs/dbraw/zinc/57/04/97/1074570497.db2.gz PSONAEYBXQQMOC-UHFFFAOYSA-N 0 0 430.531 -0.567 20 0 IBADRN CN(C)C(=O)N[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001468920307 1074570530 /nfs/dbraw/zinc/57/05/30/1074570530.db2.gz LQIZIJIRVIKSRS-KBPBESRZSA-N 0 0 437.522 -0.051 20 0 IBADRN CN(C)C(=O)N[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001468920319 1074570394 /nfs/dbraw/zinc/57/03/94/1074570394.db2.gz LQIZIJIRVIKSRS-KGLIPLIRSA-N 0 0 437.522 -0.051 20 0 IBADRN CN(C)C(=O)N[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001468920321 1074570504 /nfs/dbraw/zinc/57/05/04/1074570504.db2.gz LQIZIJIRVIKSRS-UONOGXRCSA-N 0 0 437.522 -0.051 20 0 IBADRN CN(C)C(=O)N[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001468920324 1074570405 /nfs/dbraw/zinc/57/04/05/1074570405.db2.gz LQIZIJIRVIKSRS-ZIAGYGMSSA-N 0 0 437.522 -0.051 20 0 IBADRN NS(=O)(=O)C1CN(C(=O)c2ccc(I)cc2-n2cnnn2)C1 ZINC001468922388 1074570510 /nfs/dbraw/zinc/57/05/10/1074570510.db2.gz YEWONRHVRTWXFA-UHFFFAOYSA-N 0 0 434.219 -0.620 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1F)C(=O)CCCN1C(=O)CN(C)C1=O ZINC001468934212 1074570478 /nfs/dbraw/zinc/57/04/78/1074570478.db2.gz WRHLZLCESFUQFS-UHFFFAOYSA-N 0 0 430.458 -0.016 20 0 IBADRN C[C@H](O)[C@@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001468936576 1074570490 /nfs/dbraw/zinc/57/04/90/1074570490.db2.gz BPUREFCENLKSAR-BPUTZDHNSA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@H](O)[C@H]1CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001468936577 1074570938 /nfs/dbraw/zinc/57/09/38/1074570938.db2.gz BPUREFCENLKSAR-CWRNSKLLSA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@H](O)[C@@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001468936578 1074570903 /nfs/dbraw/zinc/57/09/03/1074570903.db2.gz BPUREFCENLKSAR-IMJJTQAJSA-N 0 0 434.536 -0.624 20 0 IBADRN C[C@H](O)[C@H]1CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CCO1 ZINC001468936579 1074570934 /nfs/dbraw/zinc/57/09/34/1074570934.db2.gz BPUREFCENLKSAR-NUEKZKHPSA-N 0 0 434.536 -0.624 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(N2CCNC(=O)C2)ccn1 ZINC001468939095 1074570811 /nfs/dbraw/zinc/57/08/11/1074570811.db2.gz MUJGKYJRIDXFSD-HNNXBMFYSA-N 0 0 438.506 -0.401 20 0 IBADRN CC(C)(C)OC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(N2CCNC(=O)C2)ccn1 ZINC001468939096 1074570943 /nfs/dbraw/zinc/57/09/43/1074570943.db2.gz MUJGKYJRIDXFSD-OAHLLOKOSA-N 0 0 438.506 -0.401 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC(C)(C)C)c1 ZINC001468939465 1074570960 /nfs/dbraw/zinc/57/09/60/1074570960.db2.gz OQMQNMGFMIWKQF-CYBMUJFWSA-N 0 0 435.524 -0.154 20 0 IBADRN CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC(C)(C)C)c1 ZINC001468939468 1074570862 /nfs/dbraw/zinc/57/08/62/1074570862.db2.gz OQMQNMGFMIWKQF-ZDUSSCGKSA-N 0 0 435.524 -0.154 20 0 IBADRN COC(=O)C1([C@]2(O)CCCN(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)C2)CCC1 ZINC001468946582 1074570929 /nfs/dbraw/zinc/57/09/29/1074570929.db2.gz MDAOSLBNZFZFCF-NRFANRHFSA-N 0 0 438.525 -0.053 20 0 IBADRN COC(=O)C1([C@@]2(O)CCCN(C(=O)NCCC(=O)N3CCN(C(C)=O)CC3)C2)CCC1 ZINC001468946583 1074570852 /nfs/dbraw/zinc/57/08/52/1074570852.db2.gz MDAOSLBNZFZFCF-OAQYLSRUSA-N 0 0 438.525 -0.053 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)NC(C)(CO)CO)CC2)cc1OC ZINC001468946671 1074570964 /nfs/dbraw/zinc/57/09/64/1074570964.db2.gz NVRSYPOCWACUPX-UHFFFAOYSA-N 0 0 431.511 -0.101 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N2CCN(c3ccc(F)cc3C(N)=O)CC2)CC1 ZINC001468947984 1074570868 /nfs/dbraw/zinc/57/08/68/1074570868.db2.gz YOTWXCOUWJHSDL-UHFFFAOYSA-N 0 0 448.499 -0.163 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)N2CCN(c3nncn3C)CC2)c1 ZINC001468951103 1074570842 /nfs/dbraw/zinc/57/08/42/1074570842.db2.gz QZQGTOPSPCJIJS-UHFFFAOYSA-N 0 0 437.526 -0.228 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3C[C@@H]4CS(=O)(=O)C[C@@H]4C3)CC2)cn1 ZINC001468970552 1074570833 /nfs/dbraw/zinc/57/08/33/1074570833.db2.gz CNLOXZUOJZPSKY-BETUJISGSA-N 0 0 431.540 -0.741 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCO[C@H](CS(C)(=O)=O)C3)CC2)cn1 ZINC001468971431 1074570925 /nfs/dbraw/zinc/57/09/25/1074570925.db2.gz JBKGHPXHQWKTSI-AWEZNQCLSA-N 0 0 449.555 -0.972 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCO[C@@H](CS(C)(=O)=O)C3)CC2)cn1 ZINC001468971432 1074570879 /nfs/dbraw/zinc/57/08/79/1074570879.db2.gz JBKGHPXHQWKTSI-CQSZACIVSA-N 0 0 449.555 -0.972 20 0 IBADRN Cc1c(C(=O)N2CCC(N3CCCS3(=O)=O)CC2)cnc2c1c(=O)n(C)c(=O)n2C ZINC001468972229 1074570821 /nfs/dbraw/zinc/57/08/21/1074570821.db2.gz QOPDXXSOZNEMBV-UHFFFAOYSA-N 0 0 435.506 -0.419 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)CCN1S(C)(=O)=O ZINC001468972258 1074570956 /nfs/dbraw/zinc/57/09/56/1074570956.db2.gz NLGHCDBVIZPCNU-CYBMUJFWSA-N 0 0 448.571 -0.752 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1CCNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001468973217 1074570946 /nfs/dbraw/zinc/57/09/46/1074570946.db2.gz SCVNPHAOWYQNGB-CABCVRRESA-N 0 0 428.559 -0.018 20 0 IBADRN C[C@H]1CN(CCNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)[C@@H](C)CO1 ZINC001468973221 1074570909 /nfs/dbraw/zinc/57/09/09/1074570909.db2.gz SCVNPHAOWYQNGB-GJZGRUSLSA-N 0 0 428.559 -0.018 20 0 IBADRN C[C@@H]1CN(CCNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)[C@H](C)CO1 ZINC001468973224 1074570886 /nfs/dbraw/zinc/57/08/86/1074570886.db2.gz SCVNPHAOWYQNGB-HUUCEWRRSA-N 0 0 428.559 -0.018 20 0 IBADRN C[C@@H]1CN(CCNC(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)[C@@H](C)CO1 ZINC001468973226 1074571348 /nfs/dbraw/zinc/57/13/48/1074571348.db2.gz SCVNPHAOWYQNGB-LSDHHAIUSA-N 0 0 428.559 -0.018 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC[C@H](N4CCOC4=O)C3)CC2)cn1 ZINC001468973782 1074571448 /nfs/dbraw/zinc/57/14/48/1074571448.db2.gz VBZKVDMGGRFVLL-AWEZNQCLSA-N 0 0 426.499 -0.191 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CC[C@@H](N4CCOC4=O)C3)CC2)cn1 ZINC001468973787 1074571470 /nfs/dbraw/zinc/57/14/70/1074571470.db2.gz VBZKVDMGGRFVLL-CQSZACIVSA-N 0 0 426.499 -0.191 20 0 IBADRN Cc1nn(C)c(C)c1[C@@H](O)CNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001468973855 1074571431 /nfs/dbraw/zinc/57/14/31/1074571431.db2.gz VSYBGBCXEVQXCG-INIZCTEOSA-N 0 0 439.542 -0.044 20 0 IBADRN Cc1nn(C)c(C)c1[C@H](O)CNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001468973858 1074571244 /nfs/dbraw/zinc/57/12/44/1074571244.db2.gz VSYBGBCXEVQXCG-MRXNPFEDSA-N 0 0 439.542 -0.044 20 0 IBADRN NC(=O)CS(=O)(=O)c1ccc(C(=O)N2CCC(N3CCCS3(=O)=O)CC2)cc1 ZINC001468974040 1074571421 /nfs/dbraw/zinc/57/14/21/1074571421.db2.gz YRGVXHYVCUDLCQ-UHFFFAOYSA-N 0 0 429.520 -0.414 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NCCS(=O)(=O)N3CCCC3)CC2)cn1 ZINC001468974716 1074571236 /nfs/dbraw/zinc/57/12/36/1074571236.db2.gz YVTNIFGFEZJDLZ-UHFFFAOYSA-N 0 0 448.571 -0.702 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001468986498 1074571260 /nfs/dbraw/zinc/57/12/60/1074571260.db2.gz QLYQKRDKNAEWCQ-KRWDZBQOSA-N 0 0 449.957 -0.215 20 0 IBADRN COCC(COC)N1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001468986511 1074571438 /nfs/dbraw/zinc/57/14/38/1074571438.db2.gz QLYQKRDKNAEWCQ-QGZVFWFLSA-N 0 0 449.957 -0.215 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1c[nH]c(C(N)=O)c1)C1CCN(CC(F)(F)F)CC1 ZINC001468998893 1074571216 /nfs/dbraw/zinc/57/12/16/1074571216.db2.gz IQIJSCXFIJSFSI-UHFFFAOYSA-N 0 0 425.433 -0.123 20 0 IBADRN CNC(=O)NC1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001469000948 1074571387 /nfs/dbraw/zinc/57/13/87/1074571387.db2.gz BEQASJMJEFVDFN-UHFFFAOYSA-N 0 0 425.511 -0.296 20 0 IBADRN CNC(=O)NC1CCN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001469003651 1074571334 /nfs/dbraw/zinc/57/13/34/1074571334.db2.gz QWSJGQWOGFBZIX-ZBFHGGJFSA-N 0 0 428.486 -0.130 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@H]3CNC(=O)C3)CC2)cc1 ZINC001469018247 1074571464 /nfs/dbraw/zinc/57/14/64/1074571464.db2.gz CDVVLUMAVCYWJT-INIZCTEOSA-N 0 0 436.534 -0.140 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@H]3CNC(=O)C3)CC2)cc1 ZINC001469018252 1074571459 /nfs/dbraw/zinc/57/14/59/1074571459.db2.gz CDVVLUMAVCYWJT-MRXNPFEDSA-N 0 0 436.534 -0.140 20 0 IBADRN CS(=O)(=O)CC[C@H](NC(=O)OCc1ccccc1)C(=O)N1CC(S(C)(=O)=O)C1 ZINC001469019111 1074571476 /nfs/dbraw/zinc/57/14/76/1074571476.db2.gz JGNDWSCHPVNZQU-HNNXBMFYSA-N 0 0 432.520 -0.029 20 0 IBADRN CS(=O)(=O)CC[C@@H](NC(=O)OCc1ccccc1)C(=O)N1CC(S(C)(=O)=O)C1 ZINC001469019114 1074571845 /nfs/dbraw/zinc/57/18/45/1074571845.db2.gz JGNDWSCHPVNZQU-OAHLLOKOSA-N 0 0 432.520 -0.029 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)N2CC(S(C)(=O)=O)C2)o1 ZINC001469019192 1074571850 /nfs/dbraw/zinc/57/18/50/1074571850.db2.gz MAYPSCTYMPIKSM-GFCCVEGCSA-N 0 0 434.492 -0.135 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)N2CC(S(C)(=O)=O)C2)o1 ZINC001469019193 1074571901 /nfs/dbraw/zinc/57/19/01/1074571901.db2.gz MAYPSCTYMPIKSM-LBPRGKRZSA-N 0 0 434.492 -0.135 20 0 IBADRN Cn1ccc(N2CCC[C@H](NC(=O)NCC(=O)NCC(=O)NCc3ccccc3)C2=O)n1 ZINC001469025226 1074571811 /nfs/dbraw/zinc/57/18/11/1074571811.db2.gz GVSNPDTVOFTSAN-INIZCTEOSA-N 0 0 441.492 -0.353 20 0 IBADRN Cn1ccc(N2CCC[C@@H](NC(=O)NCC(=O)NCC(=O)NCc3ccccc3)C2=O)n1 ZINC001469025228 1074571858 /nfs/dbraw/zinc/57/18/58/1074571858.db2.gz GVSNPDTVOFTSAN-MRXNPFEDSA-N 0 0 441.492 -0.353 20 0 IBADRN CCOC(=O)COc1ccc(CCNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1 ZINC001469049992 1074571829 /nfs/dbraw/zinc/57/18/29/1074571829.db2.gz DIDJNKPJHBQMDS-HNNXBMFYSA-N 0 0 426.495 -0.285 20 0 IBADRN CCOC(=O)COc1ccc(CCNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1 ZINC001469049993 1074571740 /nfs/dbraw/zinc/57/17/40/1074571740.db2.gz DIDJNKPJHBQMDS-OAHLLOKOSA-N 0 0 426.495 -0.285 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)C1 ZINC001469086927 1074571775 /nfs/dbraw/zinc/57/17/75/1074571775.db2.gz AIZYANCLYNUZKZ-KBPBESRZSA-N 0 0 435.543 -0.122 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)C1 ZINC001469086928 1074571924 /nfs/dbraw/zinc/57/19/24/1074571924.db2.gz AIZYANCLYNUZKZ-KGLIPLIRSA-N 0 0 435.543 -0.122 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)C2=O)n(C)n1 ZINC001469093498 1074571802 /nfs/dbraw/zinc/57/18/02/1074571802.db2.gz QHKHSLKMSVYHBS-DEYYWGMASA-N 0 0 425.511 -0.131 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)C2=O)n(C)n1 ZINC001469093503 1074571864 /nfs/dbraw/zinc/57/18/64/1074571864.db2.gz QHKHSLKMSVYHBS-GLJUWKHASA-N 0 0 425.511 -0.131 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)C2=O)n(C)n1 ZINC001469093506 1074571930 /nfs/dbraw/zinc/57/19/30/1074571930.db2.gz QHKHSLKMSVYHBS-QWQRMKEZSA-N 0 0 425.511 -0.131 20 0 IBADRN Cc1cc(N2CC[C@H](NC(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)C2=O)n(C)n1 ZINC001469093507 1074571785 /nfs/dbraw/zinc/57/17/85/1074571785.db2.gz QHKHSLKMSVYHBS-SUNYJGFJSA-N 0 0 425.511 -0.131 20 0 IBADRN COc1ccc(C(=O)N2CCOC[C@@H]2CC(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001469097710 1074571871 /nfs/dbraw/zinc/57/18/71/1074571871.db2.gz QEIJTMRDNCSHNR-AWEZNQCLSA-N 0 0 427.479 -0.568 20 0 IBADRN COc1ccc(C(=O)N2CCOC[C@H]2CC(N)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001469097712 1074572282 /nfs/dbraw/zinc/57/22/82/1074572282.db2.gz QEIJTMRDNCSHNR-CQSZACIVSA-N 0 0 427.479 -0.568 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)NCC(=O)NCC(=O)NCc2ccccc2)C(=O)N1c1cnn(C)c1 ZINC001469102623 1074572365 /nfs/dbraw/zinc/57/23/65/1074572365.db2.gz IUIPDDOJTZJGDA-PBHICJAKSA-N 0 0 441.492 -0.354 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)NCC(=O)NCC(=O)NCc2ccccc2)C(=O)N1c1cnn(C)c1 ZINC001469102626 1074572305 /nfs/dbraw/zinc/57/23/05/1074572305.db2.gz IUIPDDOJTZJGDA-RHSMWYFYSA-N 0 0 441.492 -0.354 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)NCC(=O)NCC(=O)NCc2ccccc2)C(=O)N1c1cnn(C)c1 ZINC001469102633 1074572264 /nfs/dbraw/zinc/57/22/64/1074572264.db2.gz IUIPDDOJTZJGDA-WMLDXEAASA-N 0 0 441.492 -0.354 20 0 IBADRN C[C@H]1C[C@H](NC(=O)NCC(=O)NCC(=O)NCc2ccccc2)C(=O)N1c1cnn(C)c1 ZINC001469102635 1074572324 /nfs/dbraw/zinc/57/23/24/1074572324.db2.gz IUIPDDOJTZJGDA-YOEHRIQHSA-N 0 0 441.492 -0.354 20 0 IBADRN O=C(N[C@H]1CCc2nnnn2CC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001469121603 1074572274 /nfs/dbraw/zinc/57/22/74/1074572274.db2.gz APFPWJKUHAHPSH-GUTXKFCHSA-N 0 0 440.913 -0.028 20 0 IBADRN O=C(N[C@@H]1CCc2nnnn2CC1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001469121605 1074572251 /nfs/dbraw/zinc/57/22/51/1074572251.db2.gz APFPWJKUHAHPSH-IPYPFGDCSA-N 0 0 440.913 -0.028 20 0 IBADRN O=C(N[C@@H]1CCc2nnnn2CC1)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001469121608 1074572336 /nfs/dbraw/zinc/57/23/36/1074572336.db2.gz APFPWJKUHAHPSH-NFAWXSAZSA-N 0 0 440.913 -0.028 20 0 IBADRN O=C(N[C@H]1CCc2nnnn2CC1)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1 ZINC001469121610 1074572377 /nfs/dbraw/zinc/57/23/77/1074572377.db2.gz APFPWJKUHAHPSH-YDHLFZDLSA-N 0 0 440.913 -0.028 20 0 IBADRN COc1ccc(C(=O)N2CC3(C2)CN(C)C(=O)O3)cc1S(=O)(=O)N1CCOCC1 ZINC001469124717 1074572197 /nfs/dbraw/zinc/57/21/97/1074572197.db2.gz NKKFQWFNJANQEV-UHFFFAOYSA-N 0 0 425.463 -0.007 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(C(=O)C2CC2)C1 ZINC001469134259 1074572230 /nfs/dbraw/zinc/57/22/30/1074572230.db2.gz NAKIXYOMUNIBKF-INIZCTEOSA-N 0 0 443.547 -0.194 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN(C(=O)C2CC2)C1 ZINC001469134261 1074572239 /nfs/dbraw/zinc/57/22/39/1074572239.db2.gz NAKIXYOMUNIBKF-MRXNPFEDSA-N 0 0 443.547 -0.194 20 0 IBADRN CS(=O)(=O)Cc1ccc(F)cc1CNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001469144524 1074572370 /nfs/dbraw/zinc/57/23/70/1074572370.db2.gz QGTSSXUUTLUNSB-HNNXBMFYSA-N 0 0 437.515 -0.170 20 0 IBADRN CS(=O)(=O)Cc1ccc(F)cc1CNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001469144529 1074572314 /nfs/dbraw/zinc/57/23/14/1074572314.db2.gz QGTSSXUUTLUNSB-OAHLLOKOSA-N 0 0 437.515 -0.170 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)N3CCN4[C@H](CNS4(=O)=O)C3)C2)c1 ZINC001469145287 1074572287 /nfs/dbraw/zinc/57/22/87/1074572287.db2.gz FOWRECAZTBPQBY-DZGCQCFKSA-N 0 0 425.511 -0.174 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)C2)c1 ZINC001469145289 1074572298 /nfs/dbraw/zinc/57/22/98/1074572298.db2.gz FOWRECAZTBPQBY-HIFRSBDPSA-N 0 0 425.511 -0.174 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)N3CCN4[C@H](CNS4(=O)=O)C3)C2)c1 ZINC001469145290 1074572330 /nfs/dbraw/zinc/57/23/30/1074572330.db2.gz FOWRECAZTBPQBY-UKRRQHHQSA-N 0 0 425.511 -0.174 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)C2)c1 ZINC001469145291 1074572212 /nfs/dbraw/zinc/57/22/12/1074572212.db2.gz FOWRECAZTBPQBY-ZFWWWQNUSA-N 0 0 425.511 -0.174 20 0 IBADRN CS(=O)(=O)Cc1ccc(F)cc1CNC(=O)N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001469145576 1074572341 /nfs/dbraw/zinc/57/23/41/1074572341.db2.gz WCSVNJWEWNMYAK-UHFFFAOYSA-N 0 0 439.473 -0.589 20 0 IBADRN CCCN1C[C@@H](CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1=O ZINC001469150300 1074572705 /nfs/dbraw/zinc/57/27/05/1074572705.db2.gz BCZVHKAZXJTYIP-CYBMUJFWSA-N 0 0 431.536 -0.257 20 0 IBADRN CCCN1C[C@H](CNC(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1=O ZINC001469150302 1074572883 /nfs/dbraw/zinc/57/28/83/1074572883.db2.gz BCZVHKAZXJTYIP-ZDUSSCGKSA-N 0 0 431.536 -0.257 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)N1CCC(C(=O)N2CCOCC2)CC1 ZINC001469155463 1074572874 /nfs/dbraw/zinc/57/28/74/1074572874.db2.gz LEQVVLQDCACSNZ-UHFFFAOYSA-N 0 0 429.499 -0.626 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccon1)NCC1(N2CCOCC2)CCOCC1 ZINC001469155783 1074572802 /nfs/dbraw/zinc/57/28/02/1074572802.db2.gz WFDBTQYXAJNKQS-UHFFFAOYSA-N 0 0 431.515 -0.725 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC001469173159 1074572787 /nfs/dbraw/zinc/57/27/87/1074572787.db2.gz LNJYRNKEXASLFN-CXAGYDPISA-N 0 0 430.531 -0.723 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC001469173161 1074572620 /nfs/dbraw/zinc/57/26/20/1074572620.db2.gz LNJYRNKEXASLFN-DYVFJYSZSA-N 0 0 430.531 -0.723 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCC[C@]23NC(=O)N(C)C3=O)CC1 ZINC001469173164 1074572852 /nfs/dbraw/zinc/57/28/52/1074572852.db2.gz LNJYRNKEXASLFN-GUYCJALGSA-N 0 0 430.531 -0.723 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCC[C@@]23NC(=O)N(C)C3=O)CC1 ZINC001469173166 1074572864 /nfs/dbraw/zinc/57/28/64/1074572864.db2.gz LNJYRNKEXASLFN-SUMWQHHRSA-N 0 0 430.531 -0.723 20 0 IBADRN Cn1cc(C[C@@H](CO)NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)cn1 ZINC001469176514 1074572844 /nfs/dbraw/zinc/57/28/44/1074572844.db2.gz HJLLLIUDDHNAFM-HNNXBMFYSA-N 0 0 438.506 -0.030 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)cn1 ZINC001469176521 1074572772 /nfs/dbraw/zinc/57/27/72/1074572772.db2.gz HJLLLIUDDHNAFM-OAHLLOKOSA-N 0 0 438.506 -0.030 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001469178049 1074572857 /nfs/dbraw/zinc/57/28/57/1074572857.db2.gz USWPHSWVDHVOOW-QBPKDAKJSA-N 0 0 426.539 -0.451 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC001469178052 1074572764 /nfs/dbraw/zinc/57/27/64/1074572764.db2.gz USWPHSWVDHVOOW-VVLHAWIVSA-N 0 0 426.539 -0.451 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)cn1 ZINC001469178054 1074572718 /nfs/dbraw/zinc/57/27/18/1074572718.db2.gz USWPHSWVDHVOOW-WCXIOVBPSA-N 0 0 426.539 -0.451 20 0 IBADRN Cn1cc(C[C@H](CO)NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)cn1 ZINC001469178057 1074572640 /nfs/dbraw/zinc/57/26/40/1074572640.db2.gz USWPHSWVDHVOOW-YLFCFFPRSA-N 0 0 426.539 -0.451 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC001469186685 1074572725 /nfs/dbraw/zinc/57/27/25/1074572725.db2.gz OWBMKWPDBXIGDF-INIZCTEOSA-N 0 0 431.493 -0.209 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)CCC(=O)N1CCN(c2ccccn2)CC1 ZINC001469186687 1074572597 /nfs/dbraw/zinc/57/25/97/1074572597.db2.gz OWBMKWPDBXIGDF-MRXNPFEDSA-N 0 0 431.493 -0.209 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC001469187019 1074573296 /nfs/dbraw/zinc/57/32/96/1074573296.db2.gz WLGVJTPCCDQVIO-GFCCVEGCSA-N 0 0 448.295 -0.010 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1cc(S(N)(=O)=O)ccc1Br ZINC001469187020 1074573245 /nfs/dbraw/zinc/57/32/45/1074573245.db2.gz WLGVJTPCCDQVIO-LBPRGKRZSA-N 0 0 448.295 -0.010 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)N3CC4(C3)CNC(=O)O4)ccc2F)CC1 ZINC001469204683 1074573259 /nfs/dbraw/zinc/57/32/59/1074573259.db2.gz MERGLZATIGZDNC-UHFFFAOYSA-N 0 0 440.453 -0.387 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1=O ZINC001469216412 1074573279 /nfs/dbraw/zinc/57/32/79/1074573279.db2.gz HNJNKILUAQQLEL-CMPLNLGQSA-N 0 0 443.507 -0.816 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1=O ZINC001469216423 1074573185 /nfs/dbraw/zinc/57/31/85/1074573185.db2.gz HNJNKILUAQQLEL-JQWIXIFHSA-N 0 0 443.507 -0.816 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@H](NC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1=O ZINC001469216425 1074573236 /nfs/dbraw/zinc/57/32/36/1074573236.db2.gz HNJNKILUAQQLEL-PWSUYJOCSA-N 0 0 443.507 -0.816 20 0 IBADRN COC(=O)c1ccsc1N1CC[C@@H](NC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1=O ZINC001469216426 1074573210 /nfs/dbraw/zinc/57/32/10/1074573210.db2.gz HNJNKILUAQQLEL-ZYHUDNBSSA-N 0 0 443.507 -0.816 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)NC[C@]3(O)CCS(=O)(=O)C3)C2=O)c1 ZINC001469235801 1074573199 /nfs/dbraw/zinc/57/31/99/1074573199.db2.gz GMSVPVRTPABOLP-CRAIPNDOSA-N 0 0 427.479 -0.342 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)NC[C@]3(O)CCS(=O)(=O)C3)C2=O)c1 ZINC001469235802 1074573223 /nfs/dbraw/zinc/57/32/23/1074573223.db2.gz GMSVPVRTPABOLP-MAUKXSAKSA-N 0 0 427.479 -0.342 20 0 IBADRN COc1cc(OC)cc(N2CC[C@@H](NC(=O)NC[C@@]3(O)CCS(=O)(=O)C3)C2=O)c1 ZINC001469235804 1074573266 /nfs/dbraw/zinc/57/32/66/1074573266.db2.gz GMSVPVRTPABOLP-QAPCUYQASA-N 0 0 427.479 -0.342 20 0 IBADRN COc1cc(OC)cc(N2CC[C@H](NC(=O)NC[C@@]3(O)CCS(=O)(=O)C3)C2=O)c1 ZINC001469235806 1074573291 /nfs/dbraw/zinc/57/32/91/1074573291.db2.gz GMSVPVRTPABOLP-YJBOKZPZSA-N 0 0 427.479 -0.342 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@H]2CCC(=O)N(C)C2=O)c1 ZINC001469239082 1074573273 /nfs/dbraw/zinc/57/32/73/1074573273.db2.gz BYYDCXTUVBSRKK-HNNXBMFYSA-N 0 0 438.506 -0.290 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N[C@@H]2CCC(=O)N(C)C2=O)c1 ZINC001469239084 1074573229 /nfs/dbraw/zinc/57/32/29/1074573229.db2.gz BYYDCXTUVBSRKK-OAHLLOKOSA-N 0 0 438.506 -0.290 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCC(=O)N(C)C1=O ZINC001469239118 1074573270 /nfs/dbraw/zinc/57/32/70/1074573270.db2.gz CFWBEUCPQYTWRE-KBPBESRZSA-N 0 0 439.490 -0.508 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@H]1CCC(=O)N(C)C1=O ZINC001469239120 1074573218 /nfs/dbraw/zinc/57/32/18/1074573218.db2.gz CFWBEUCPQYTWRE-KGLIPLIRSA-N 0 0 439.490 -0.508 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCC(=O)N(C)C1=O ZINC001469239122 1074573285 /nfs/dbraw/zinc/57/32/85/1074573285.db2.gz CFWBEUCPQYTWRE-UONOGXRCSA-N 0 0 439.490 -0.508 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N[C@@H]1CCC(=O)N(C)C1=O ZINC001469239124 1074573241 /nfs/dbraw/zinc/57/32/41/1074573241.db2.gz CFWBEUCPQYTWRE-ZIAGYGMSSA-N 0 0 439.490 -0.508 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1=O ZINC001469239373 1074573281 /nfs/dbraw/zinc/57/32/81/1074573281.db2.gz DRSSPHGRQHFYSB-CYBMUJFWSA-N 0 0 425.463 -0.220 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)CCCNS(=O)(=O)c2ccc3c(c2)OCCO3)C1=O ZINC001469239374 1074573178 /nfs/dbraw/zinc/57/31/78/1074573178.db2.gz DRSSPHGRQHFYSB-ZDUSSCGKSA-N 0 0 425.463 -0.220 20 0 IBADRN CCc1cc(N2CCC(NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)CC2)ncn1 ZINC001469239868 1074573674 /nfs/dbraw/zinc/57/36/74/1074573674.db2.gz DBGQCMRFFMKGGW-INIZCTEOSA-N 0 0 426.543 -0.033 20 0 IBADRN CCc1cc(N2CCC(NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)CC2)ncn1 ZINC001469239869 1074573756 /nfs/dbraw/zinc/57/37/56/1074573756.db2.gz DBGQCMRFFMKGGW-MRXNPFEDSA-N 0 0 426.543 -0.033 20 0 IBADRN O=C(NCc1ccc(CN2CCS(=O)(=O)CC2)cc1)[C@@H]1C[C@@H](O)CN1c1ccncn1 ZINC001469258115 1074573648 /nfs/dbraw/zinc/57/36/48/1074573648.db2.gz BNBJIFOAWPZEIR-MOPGFXCFSA-N 0 0 445.545 -0.037 20 0 IBADRN O=C(NCc1ccc(CN2CCS(=O)(=O)CC2)cc1)[C@@H]1C[C@H](O)CN1c1ccncn1 ZINC001469258122 1074573654 /nfs/dbraw/zinc/57/36/54/1074573654.db2.gz BNBJIFOAWPZEIR-OALUTQOASA-N 0 0 445.545 -0.037 20 0 IBADRN O=C(NCc1ccc(CN2CCS(=O)(=O)CC2)cc1)[C@H]1C[C@H](O)CN1c1ccncn1 ZINC001469258127 1074573696 /nfs/dbraw/zinc/57/36/96/1074573696.db2.gz BNBJIFOAWPZEIR-RBUKOAKNSA-N 0 0 445.545 -0.037 20 0 IBADRN O=C(NCc1ccc(CN2CCS(=O)(=O)CC2)cc1)[C@H]1C[C@@H](O)CN1c1ccncn1 ZINC001469258130 1074573616 /nfs/dbraw/zinc/57/36/16/1074573616.db2.gz BNBJIFOAWPZEIR-RTBURBONSA-N 0 0 445.545 -0.037 20 0 IBADRN COc1ccc(C(=O)NCC(=O)N=S(C)(C)=O)cc1S(=O)(=O)N1CCOCC1 ZINC001469261115 1074573738 /nfs/dbraw/zinc/57/37/38/1074573738.db2.gz GGVAIILXHOEYQH-UHFFFAOYSA-N 0 0 433.508 -0.300 20 0 IBADRN CS(C)(=O)=NC(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001469262181 1074573663 /nfs/dbraw/zinc/57/36/63/1074573663.db2.gz OSAODXGHLKGWKD-GFCCVEGCSA-N 0 0 443.503 -0.006 20 0 IBADRN CS(C)(=O)=NC(=O)CNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001469262182 1074573633 /nfs/dbraw/zinc/57/36/33/1074573633.db2.gz OSAODXGHLKGWKD-LBPRGKRZSA-N 0 0 443.503 -0.006 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001469281299 1074573686 /nfs/dbraw/zinc/57/36/86/1074573686.db2.gz IXKZLQTWUAQCMM-IUCAKERBSA-N 0 0 432.421 -0.334 20 0 IBADRN CN(C)S(=O)(=O)NCC(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001469281499 1074573714 /nfs/dbraw/zinc/57/37/14/1074573714.db2.gz GKKSZXQAGWHSNW-UONOGXRCSA-N 0 0 430.531 -0.365 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001469282424 1074573712 /nfs/dbraw/zinc/57/37/12/1074573712.db2.gz QEDOSSQKZGIPKH-GFCCVEGCSA-N 0 0 442.460 -0.027 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C(F)(F)F)CC1)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001469282425 1074573702 /nfs/dbraw/zinc/57/37/02/1074573702.db2.gz QEDOSSQKZGIPKH-LBPRGKRZSA-N 0 0 442.460 -0.027 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCNC(=O)NC ZINC001469302301 1074573765 /nfs/dbraw/zinc/57/37/65/1074573765.db2.gz CBQIWEXNWBDHIO-UHFFFAOYSA-N 0 0 427.527 -0.320 20 0 IBADRN CNC(=O)NCCNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001469304395 1074573728 /nfs/dbraw/zinc/57/37/28/1074573728.db2.gz ZBBXSHQHYVWKEJ-UHFFFAOYSA-N 0 0 443.501 -0.516 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)C1 ZINC001469333872 1074573760 /nfs/dbraw/zinc/57/37/60/1074573760.db2.gz QUHFEWAZYVPDJA-HNNXBMFYSA-N 0 0 426.495 -0.013 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)C1 ZINC001469333873 1074573602 /nfs/dbraw/zinc/57/36/02/1074573602.db2.gz QUHFEWAZYVPDJA-OAHLLOKOSA-N 0 0 426.495 -0.013 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CC[C@H]3OCC(=O)N[C@@H]3C2)cc1 ZINC001469333884 1074573722 /nfs/dbraw/zinc/57/37/22/1074573722.db2.gz RCFJGLTXLKJOOT-HZPDHXFCSA-N 0 0 439.490 -0.427 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)O1 ZINC001469339788 1074573586 /nfs/dbraw/zinc/57/35/86/1074573586.db2.gz XNBUOOMFFOAZCE-CVEARBPZSA-N 0 0 425.511 -0.619 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)O1 ZINC001469339789 1074573994 /nfs/dbraw/zinc/57/39/94/1074573994.db2.gz XNBUOOMFFOAZCE-HOTGVXAUSA-N 0 0 425.511 -0.619 20 0 IBADRN NC(=O)[C@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)O1 ZINC001469339790 1074574195 /nfs/dbraw/zinc/57/41/95/1074574195.db2.gz XNBUOOMFFOAZCE-HZPDHXFCSA-N 0 0 425.511 -0.619 20 0 IBADRN NC(=O)[C@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)O1 ZINC001469339791 1074574040 /nfs/dbraw/zinc/57/40/40/1074574040.db2.gz XNBUOOMFFOAZCE-JKSUJKDBSA-N 0 0 425.511 -0.619 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)NC3CCN(C(=O)c4ccncc4)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001469359479 1074573977 /nfs/dbraw/zinc/57/39/77/1074573977.db2.gz XEZJXQRGLKZGIX-KZNAEPCWSA-N 0 0 426.477 -0.274 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccncc2)CC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001469360245 1074574209 /nfs/dbraw/zinc/57/42/09/1074574209.db2.gz DFSSAZMXONWWAM-DOTOQJQBSA-N 0 0 434.518 -0.162 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccncc2)CC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001469360246 1074574048 /nfs/dbraw/zinc/57/40/48/1074574048.db2.gz DFSSAZMXONWWAM-NVXWUHKLSA-N 0 0 434.518 -0.162 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccncc2)CC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001469360247 1074574202 /nfs/dbraw/zinc/57/42/02/1074574202.db2.gz DFSSAZMXONWWAM-RDJZCZTQSA-N 0 0 434.518 -0.162 20 0 IBADRN O=C(NC1CCN(C(=O)c2ccncc2)CC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001469360248 1074574085 /nfs/dbraw/zinc/57/40/85/1074574085.db2.gz DFSSAZMXONWWAM-WBVHZDCISA-N 0 0 434.518 -0.162 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(c3ccc(C(N)=O)cn3)CC2)[C@H]1c1nccn1C ZINC001469360417 1074574034 /nfs/dbraw/zinc/57/40/34/1074574034.db2.gz PIJKOHDNSNKUDK-ROUUACIJSA-N 0 0 441.492 -0.449 20 0 IBADRN CN(CC(=O)N1CCN(c2ccc(C(N)=O)cn2)CC1)C1=NS(=O)(=O)c2ccccc21 ZINC001469360767 1074574064 /nfs/dbraw/zinc/57/40/64/1074574064.db2.gz SGDASSFLZWLTCR-UHFFFAOYSA-N 0 0 442.501 -0.090 20 0 IBADRN NC(=O)c1ccc(N2CCN(C(=O)[C@H](CO)NC(=O)Cc3ccc(F)cc3)CC2)nc1 ZINC001469360774 1074574122 /nfs/dbraw/zinc/57/41/22/1074574122.db2.gz SGYBHFQYUCVREH-KRWDZBQOSA-N 0 0 429.452 -0.312 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CC[C@H](Nc2ncccn2)C1 ZINC001469407236 1074574019 /nfs/dbraw/zinc/57/40/19/1074574019.db2.gz YYISVADXFRWWCW-HNNXBMFYSA-N 0 0 430.490 -0.076 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N1CC[C@@H](Nc2ncccn2)C1 ZINC001469407237 1074574075 /nfs/dbraw/zinc/57/40/75/1074574075.db2.gz YYISVADXFRWWCW-OAHLLOKOSA-N 0 0 430.490 -0.076 20 0 IBADRN C[C@H](CNC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21)S(C)(=O)=O ZINC001469409131 1074574059 /nfs/dbraw/zinc/57/40/59/1074574059.db2.gz UFJAEOHIDZNXKK-UPJWGTAASA-N 0 0 439.556 -0.153 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21)S(C)(=O)=O ZINC001469409132 1074574003 /nfs/dbraw/zinc/57/40/03/1074574003.db2.gz UFJAEOHIDZNXKK-XQQFMLRXSA-N 0 0 439.556 -0.153 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001469410062 1074574153 /nfs/dbraw/zinc/57/41/53/1074574153.db2.gz FJVCUIMYSWMHNY-KRWDZBQOSA-N 0 0 425.488 -0.088 20 0 IBADRN NS(=O)(=O)c1cccc2c1ccnc2C(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001469410066 1074574472 /nfs/dbraw/zinc/57/44/72/1074574472.db2.gz FJVCUIMYSWMHNY-QGZVFWFLSA-N 0 0 425.488 -0.088 20 0 IBADRN COCCN1[C@H](C)CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C[C@@H]1C ZINC001469410126 1074574554 /nfs/dbraw/zinc/57/45/54/1074574554.db2.gz HHBURYGGKNKGEF-IYBDPMFKSA-N 0 0 438.550 -0.012 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001469410703 1074574481 /nfs/dbraw/zinc/57/44/81/1074574481.db2.gz MEIWEFZBUGOLGS-BEFAXECRSA-N 0 0 441.481 -0.856 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001469410704 1074574622 /nfs/dbraw/zinc/57/46/22/1074574622.db2.gz MEIWEFZBUGOLGS-DNVCBOLYSA-N 0 0 441.481 -0.856 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001469410705 1074574464 /nfs/dbraw/zinc/57/44/64/1074574464.db2.gz MEIWEFZBUGOLGS-HNAYVOBHSA-N 0 0 441.481 -0.856 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001469410706 1074574443 /nfs/dbraw/zinc/57/44/43/1074574443.db2.gz MEIWEFZBUGOLGS-KXBFYZLASA-N 0 0 441.481 -0.856 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001469411004 1074574540 /nfs/dbraw/zinc/57/45/40/1074574540.db2.gz OTIVVZRJUGNELR-INIZCTEOSA-N 0 0 428.492 -0.743 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001469411005 1074574596 /nfs/dbraw/zinc/57/45/96/1074574596.db2.gz OTIVVZRJUGNELR-MRXNPFEDSA-N 0 0 428.492 -0.743 20 0 IBADRN O=C(CCC(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCN(c2ccccn2)CC1 ZINC001469412105 1074574605 /nfs/dbraw/zinc/57/46/05/1074574605.db2.gz VUJMSCZTQJNUAB-FQEVSTJZSA-N 0 0 436.534 -0.074 20 0 IBADRN O=C(CCC(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCN(c2ccccn2)CC1 ZINC001469412108 1074574520 /nfs/dbraw/zinc/57/45/20/1074574520.db2.gz VUJMSCZTQJNUAB-HXUWFJFHSA-N 0 0 436.534 -0.074 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)NCC(=O)N2CCCO2)c1OC ZINC001469454278 1074574490 /nfs/dbraw/zinc/57/44/90/1074574490.db2.gz OJTXPXXWAMJCIY-UHFFFAOYSA-N 0 0 443.478 -0.382 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCC(=O)N1CCCO1 ZINC001469455227 1074574547 /nfs/dbraw/zinc/57/45/47/1074574547.db2.gz ZDMSUYBNBGNBDH-UHFFFAOYSA-N 0 0 440.522 -0.085 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c(C)s1 ZINC001469456969 1074574590 /nfs/dbraw/zinc/57/45/90/1074574590.db2.gz MYKUMWYRBJOECL-GFCCVEGCSA-N 0 0 437.569 -0.813 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c(C)s1 ZINC001469456974 1074574500 /nfs/dbraw/zinc/57/45/00/1074574500.db2.gz MYKUMWYRBJOECL-LBPRGKRZSA-N 0 0 437.569 -0.813 20 0 IBADRN CN(Cc1nnc2c(=O)[nH]ccn12)C(=O)NCCN(C)S(=O)(=O)c1cccs1 ZINC001469459192 1074574508 /nfs/dbraw/zinc/57/45/08/1074574508.db2.gz AUONQGAKPRDMMJ-UHFFFAOYSA-N 0 0 425.496 -0.059 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC001469477233 1074574572 /nfs/dbraw/zinc/57/45/72/1074574572.db2.gz HAPDBKPBLOHVIE-UHFFFAOYSA-N 0 0 425.558 -0.874 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC001469480826 1074574452 /nfs/dbraw/zinc/57/44/52/1074574452.db2.gz ZSFOHGMTEDHLQU-UHFFFAOYSA-N 0 0 439.585 -0.437 20 0 IBADRN Cn1ccnc1[C@H](NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(C)(C)CO ZINC001469484047 1074574582 /nfs/dbraw/zinc/57/45/82/1074574582.db2.gz JTLGMYVMDSQFSO-DOMZBBRYSA-N 0 0 425.511 -0.239 20 0 IBADRN Cn1ccnc1[C@@H](NC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)C(C)(C)CO ZINC001469484048 1074575132 /nfs/dbraw/zinc/57/51/32/1074575132.db2.gz JTLGMYVMDSQFSO-IUODEOHRSA-N 0 0 425.511 -0.239 20 0 IBADRN Cn1ccnc1[C@@H](NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(C)(C)CO ZINC001469484049 1074575026 /nfs/dbraw/zinc/57/50/26/1074575026.db2.gz JTLGMYVMDSQFSO-SWLSCSKDSA-N 0 0 425.511 -0.239 20 0 IBADRN Cn1ccnc1[C@H](NC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)C(C)(C)CO ZINC001469484050 1074575147 /nfs/dbraw/zinc/57/51/47/1074575147.db2.gz JTLGMYVMDSQFSO-WFASDCNBSA-N 0 0 425.511 -0.239 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)NN2C(=O)c3ccc(Br)cc3C2=O)cn1 ZINC001469488787 1074575064 /nfs/dbraw/zinc/57/50/64/1074575064.db2.gz VQIAEGXDDSRUIR-UHFFFAOYSA-N 0 0 442.251 -0.212 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N3[C@H](CO)CC[C@H]3CO)c2)CC1 ZINC001469497594 1074575126 /nfs/dbraw/zinc/57/51/26/1074575126.db2.gz LVNMMXRDYNMFLD-IRXDYDNUSA-N 0 0 425.507 -0.503 20 0 IBADRN Cc1nc(CNC(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)sc1C(N)=O ZINC001469501582 1074575161 /nfs/dbraw/zinc/57/51/61/1074575161.db2.gz DVBNEFKYCSVOMM-UHFFFAOYSA-N 0 0 427.508 -0.160 20 0 IBADRN Cc1[nH]nc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)c1I ZINC001469552145 1074575105 /nfs/dbraw/zinc/57/51/05/1074575105.db2.gz AGGXGJMOUUKFOZ-UHFFFAOYSA-N 0 0 427.268 -0.162 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN(c2nc[nH]n2)CC1 ZINC001469574262 1074575193 /nfs/dbraw/zinc/57/51/93/1074575193.db2.gz QFPGUOZGLFWKRD-HIFRSBDPSA-N 0 0 440.913 -0.069 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1CCN(c2nc[nH]n2)CC1 ZINC001469574263 1074575117 /nfs/dbraw/zinc/57/51/17/1074575117.db2.gz QFPGUOZGLFWKRD-ZFWWWQNUSA-N 0 0 440.913 -0.069 20 0 IBADRN NS(=O)(=O)c1ccc2c(c1)oc(=O)n2CC(=O)N1CCN(CC(F)(F)CO)CC1 ZINC001469594725 1074575034 /nfs/dbraw/zinc/57/50/34/1074575034.db2.gz AIDKTDSDVYPQHY-UHFFFAOYSA-N 0 0 434.421 -0.986 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCN(CC(F)(F)CO)CC1 ZINC001469595141 1074575095 /nfs/dbraw/zinc/57/50/95/1074575095.db2.gz HFDDYDFNXWJJPC-UHFFFAOYSA-N 0 0 449.476 -0.102 20 0 IBADRN NC(=O)CC[C@@H](C(=O)N1CCN(CC(F)(F)CO)CC1)N1C(=O)c2ccccc2C1=O ZINC001469596654 1074575149 /nfs/dbraw/zinc/57/51/49/1074575149.db2.gz VWGUYBYCKIIJLV-HNNXBMFYSA-N 0 0 438.431 -0.312 20 0 IBADRN NC(=O)CC[C@H](C(=O)N1CCN(CC(F)(F)CO)CC1)N1C(=O)c2ccccc2C1=O ZINC001469596655 1074574971 /nfs/dbraw/zinc/57/49/71/1074574971.db2.gz VWGUYBYCKIIJLV-OAHLLOKOSA-N 0 0 438.431 -0.312 20 0 IBADRN O=C(NCCN=S1(=O)CCCC1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001469624075 1074575172 /nfs/dbraw/zinc/57/51/72/1074575172.db2.gz CLEYKDGPIFSOFI-UHFFFAOYSA-N 0 0 428.536 -0.201 20 0 IBADRN COCCOCCN1CCN(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)CC1 ZINC001469673829 1074575043 /nfs/dbraw/zinc/57/50/43/1074575043.db2.gz JUXNFNNXPHKOKV-IBGZPJMESA-N 0 0 426.558 -0.493 20 0 IBADRN COCCOCCN1CCN(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)CC1 ZINC001469673830 1074575138 /nfs/dbraw/zinc/57/51/38/1074575138.db2.gz JUXNFNNXPHKOKV-LJQANCHMSA-N 0 0 426.558 -0.493 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCC(=O)N2CCN(c3nnc(C)n3C)CC2)cc1 ZINC001469681888 1074575436 /nfs/dbraw/zinc/57/54/36/1074575436.db2.gz QFKKPDKVHCMCTQ-UHFFFAOYSA-N 0 0 435.510 -0.291 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCc2cccc(N3CCCS3(=O)=O)c2)CC1 ZINC001469694808 1074575564 /nfs/dbraw/zinc/57/55/64/1074575564.db2.gz VCWUJOJAFQDIRZ-UHFFFAOYSA-N 0 0 445.567 -0.046 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)N1CCN(C(=O)C(=O)OC(C)(C)C)CC1 ZINC001469698196 1074575363 /nfs/dbraw/zinc/57/53/63/1074575363.db2.gz HKUKYOPNTXGPBH-UHFFFAOYSA-N 0 0 426.514 -0.192 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)C(=O)OC(C)(C)C)CC2)[C@H]1c1ccnn1C ZINC001469698833 1074575493 /nfs/dbraw/zinc/57/54/93/1074575493.db2.gz JYUFEJOKVKFYDP-SJORKVTESA-N 0 0 449.508 -0.279 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(C(=O)C(=O)OC(C)(C)C)CC3)cc2S1(=O)=O ZINC001469698921 1074575384 /nfs/dbraw/zinc/57/53/84/1074575384.db2.gz LNLZIAHAVBFMSR-UHFFFAOYSA-N 0 0 427.483 -0.857 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)cc1)NC[C@@]12COCCN1C(=O)COC2 ZINC001469699089 1074575489 /nfs/dbraw/zinc/57/54/89/1074575489.db2.gz UEKHCNBRBDQECW-IBGZPJMESA-N 0 0 438.506 -0.347 20 0 IBADRN O=C(NCc1ccc(N2CCCS2(=O)=O)cc1)NC[C@]12COCCN1C(=O)COC2 ZINC001469699090 1074575541 /nfs/dbraw/zinc/57/55/41/1074575541.db2.gz UEKHCNBRBDQECW-LJQANCHMSA-N 0 0 438.506 -0.347 20 0 IBADRN CC(C)(C)OC(=O)NCC(=O)NCC(=O)N1CCN(C(=O)C(=O)OC(C)(C)C)CC1 ZINC001469699307 1074575413 /nfs/dbraw/zinc/57/54/13/1074575413.db2.gz OZIMZQCHWFYKCG-UHFFFAOYSA-N 0 0 428.486 -0.360 20 0 IBADRN CN1C(=O)CO[C@H](C(=O)N2CCN(C(=O)C(=O)OC(C)(C)C)CC2)[C@H]1c1cccnc1 ZINC001469700281 1074575375 /nfs/dbraw/zinc/57/53/75/1074575375.db2.gz VEAJECVFUPPVIU-SJORKVTESA-N 0 0 432.477 -0.008 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)C(=O)OC(C)(C)C)CC1 ZINC001469700524 1074575553 /nfs/dbraw/zinc/57/55/53/1074575553.db2.gz YKTTVYWNFZORTJ-GFCCVEGCSA-N 0 0 429.499 -0.901 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)C(=O)OC(C)(C)C)CC1 ZINC001469700525 1074575354 /nfs/dbraw/zinc/57/53/54/1074575354.db2.gz YKTTVYWNFZORTJ-LBPRGKRZSA-N 0 0 429.499 -0.901 20 0 IBADRN Cc1nc(N2CCN(C(=O)CNC(=O)c3cccc(S(=O)(=O)N(C)C)c3)CC2)n[nH]1 ZINC001469705067 1074575342 /nfs/dbraw/zinc/57/53/42/1074575342.db2.gz VNVKFDLXRIOQMU-UHFFFAOYSA-N 0 0 435.510 -0.558 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCN(c4n[nH]c(C)n4)CC3)cc2S1(=O)=O ZINC001469706880 1074575424 /nfs/dbraw/zinc/57/54/24/1074575424.db2.gz YXIMEDHEVBFSSO-UHFFFAOYSA-N 0 0 434.478 -0.134 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC001469738492 1074575503 /nfs/dbraw/zinc/57/55/03/1074575503.db2.gz ZFRAWFYCWMNRPS-UHFFFAOYSA-N 0 0 448.571 -0.513 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NC[C@]2(CO)COCC3(CCC3)N2C)CC1 ZINC001469739815 1074575457 /nfs/dbraw/zinc/57/54/57/1074575457.db2.gz ZSEPEPLLRLZMEA-IBGZPJMESA-N 0 0 432.587 -0.037 20 0 IBADRN CCS(=O)(=O)N1CCC(CNC(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)CC1 ZINC001469739817 1074575517 /nfs/dbraw/zinc/57/55/17/1074575517.db2.gz ZSEPEPLLRLZMEA-LJQANCHMSA-N 0 0 432.587 -0.037 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001469749378 1074575400 /nfs/dbraw/zinc/57/54/00/1074575400.db2.gz CKXYETHKWLPPLD-BYNSBNAKSA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)[C@@H]2CCCC[C@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001469749379 1074576013 /nfs/dbraw/zinc/57/60/13/1074576013.db2.gz CKXYETHKWLPPLD-GBJTYRQASA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@H]2CCS(=O)(=O)C2)C1 ZINC001469749380 1074575891 /nfs/dbraw/zinc/57/58/91/1074575891.db2.gz CKXYETHKWLPPLD-XQLPTFJDSA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)N[C@@H]2CCS(=O)(=O)C2)C1 ZINC001469749381 1074576002 /nfs/dbraw/zinc/57/60/02/1074576002.db2.gz CKXYETHKWLPPLD-YJNKXOJESA-N 0 0 435.568 -0.754 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001469749433 1074575996 /nfs/dbraw/zinc/57/59/96/1074575996.db2.gz DHUDWYHABJKODG-AAEUAGOBSA-N 0 0 425.916 -0.871 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001469749435 1074575950 /nfs/dbraw/zinc/57/59/50/1074575950.db2.gz DHUDWYHABJKODG-DGCLKSJQSA-N 0 0 425.916 -0.871 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001469749436 1074575960 /nfs/dbraw/zinc/57/59/60/1074575960.db2.gz DHUDWYHABJKODG-WCQYABFASA-N 0 0 425.916 -0.871 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001469749438 1074575851 /nfs/dbraw/zinc/57/58/51/1074575851.db2.gz DHUDWYHABJKODG-YPMHNXCESA-N 0 0 425.916 -0.871 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)C1 ZINC001469749472 1074575877 /nfs/dbraw/zinc/57/58/77/1074575877.db2.gz DQCPDCCNJBNFLG-LLVKDONJSA-N 0 0 437.565 -0.343 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)c2sccc2S(=O)(=O)N2CCC(O)CC2)C1 ZINC001469749475 1074575944 /nfs/dbraw/zinc/57/59/44/1074575944.db2.gz DQCPDCCNJBNFLG-NSHDSACASA-N 0 0 437.565 -0.343 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001469749509 1074575923 /nfs/dbraw/zinc/57/59/23/1074575923.db2.gz DYAZPTKRVMYROD-MRVPVSSYSA-N 0 0 446.370 -0.061 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)CNS(=O)(=O)c2ccc(Br)s2)C1 ZINC001469749511 1074575858 /nfs/dbraw/zinc/57/58/58/1074575858.db2.gz DYAZPTKRVMYROD-QMMMGPOBSA-N 0 0 446.370 -0.061 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001469752274 1074575905 /nfs/dbraw/zinc/57/59/05/1074575905.db2.gz VCLZOTKMFYNLIS-GFCCVEGCSA-N 0 0 433.508 -0.724 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)C1 ZINC001469752276 1074576020 /nfs/dbraw/zinc/57/60/20/1074576020.db2.gz VCLZOTKMFYNLIS-LBPRGKRZSA-N 0 0 433.508 -0.724 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)Cn2nc(Br)c(Br)n2)C1 ZINC001469752868 1074575882 /nfs/dbraw/zinc/57/58/82/1074575882.db2.gz XBZSQMPHRGWOQR-LURJTMIESA-N 0 0 431.110 -0.047 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)Cn2nc(Br)c(Br)n2)C1 ZINC001469752872 1074575900 /nfs/dbraw/zinc/57/59/00/1074575900.db2.gz XBZSQMPHRGWOQR-ZCFIWIBFSA-N 0 0 431.110 -0.047 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)N3CCN(S(=O)(=O)NC)CC3)C2)nc1 ZINC001469763783 1074575968 /nfs/dbraw/zinc/57/59/68/1074575968.db2.gz FQQNFSYTULFBRK-UHFFFAOYSA-N 0 0 426.499 -0.762 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)CC1 ZINC001469766242 1074575939 /nfs/dbraw/zinc/57/59/39/1074575939.db2.gz BZMMBHIHJZJHKD-AWEZNQCLSA-N 0 0 425.573 -0.230 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NC(=O)N2CCS(=O)(=O)C[C@H]2CCO)CC1 ZINC001469766245 1074575838 /nfs/dbraw/zinc/57/58/38/1074575838.db2.gz BZMMBHIHJZJHKD-CQSZACIVSA-N 0 0 425.573 -0.230 20 0 IBADRN COC[C@@H]1C[C@@H](O)CN1C(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001469773631 1074576263 /nfs/dbraw/zinc/57/62/63/1074576263.db2.gz IGPIVSWMHPSFOO-DLBZAZTESA-N 0 0 425.507 -0.239 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(C(=O)NCCNS(=O)(=O)NC(C)C)CCO1 ZINC001469774358 1074576307 /nfs/dbraw/zinc/57/63/07/1074576307.db2.gz DKUNONWELQDGQA-KRWDZBQOSA-N 0 0 438.547 -0.413 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(C(=O)NCCNS(=O)(=O)NC(C)C)CCO1 ZINC001469774359 1074576362 /nfs/dbraw/zinc/57/63/62/1074576362.db2.gz DKUNONWELQDGQA-QGZVFWFLSA-N 0 0 438.547 -0.413 20 0 IBADRN COC[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001469775980 1074576297 /nfs/dbraw/zinc/57/62/97/1074576297.db2.gz NUNZVYMAOIEBFA-JKSUJKDBSA-N 0 0 443.497 -0.100 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)c2cccc(S(=O)(=O)N3CCNC(=O)C3)c2)C[C@H](C)O1 ZINC001469778141 1074576324 /nfs/dbraw/zinc/57/63/24/1074576324.db2.gz CLDZBRWCAICHDY-WFASDCNBSA-N 0 0 425.463 -0.790 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@H](C)O[C@H](C(=O)OC)C2)c1 ZINC001469778204 1074576259 /nfs/dbraw/zinc/57/62/59/1074576259.db2.gz GDCGJNDWHWKSQI-ZFWWWQNUSA-N 0 0 429.495 -0.332 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(F)cc2)C[C@H](C)O1 ZINC001469778711 1074576253 /nfs/dbraw/zinc/57/62/53/1074576253.db2.gz VHQMVTICKVBZSK-YOENINGUSA-N 0 0 430.454 -0.262 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC[C@@]1(O)CCOC1 ZINC001469796244 1074576273 /nfs/dbraw/zinc/57/62/73/1074576273.db2.gz HTLWMNWZDKWRBJ-DOTOQJQBSA-N 0 0 434.536 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC[C@@]1(O)CCOC1 ZINC001469796252 1074576392 /nfs/dbraw/zinc/57/63/92/1074576392.db2.gz HTLWMNWZDKWRBJ-NVXWUHKLSA-N 0 0 434.536 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)NCC[C@]1(O)CCOC1 ZINC001469796255 1074576375 /nfs/dbraw/zinc/57/63/75/1074576375.db2.gz HTLWMNWZDKWRBJ-RDJZCZTQSA-N 0 0 434.536 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NCC[C@]1(O)CCOC1 ZINC001469796257 1074576344 /nfs/dbraw/zinc/57/63/44/1074576344.db2.gz HTLWMNWZDKWRBJ-WBVHZDCISA-N 0 0 434.536 -0.574 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(N2CC[C@@H](O)C2)C1 ZINC001469812094 1074576290 /nfs/dbraw/zinc/57/62/90/1074576290.db2.gz LNTBTQSNKMIEBQ-NVXWUHKLSA-N 0 0 445.563 -0.954 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CC(N2CC[C@@H](O)C2)C1 ZINC001469812099 1074576238 /nfs/dbraw/zinc/57/62/38/1074576238.db2.gz LNTBTQSNKMIEBQ-WBVHZDCISA-N 0 0 445.563 -0.954 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1 ZINC001469813406 1074576355 /nfs/dbraw/zinc/57/63/55/1074576355.db2.gz CRBLUPPWYMSCBG-FGBSKUFISA-N 0 0 446.522 -0.350 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1 ZINC001469813413 1074576793 /nfs/dbraw/zinc/57/67/93/1074576793.db2.gz CRBLUPPWYMSCBG-QGDIXAPHSA-N 0 0 446.522 -0.350 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1 ZINC001469813417 1074576778 /nfs/dbraw/zinc/57/67/78/1074576778.db2.gz CRBLUPPWYMSCBG-SSHHRWTQSA-N 0 0 446.522 -0.350 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)C1 ZINC001469813419 1074576879 /nfs/dbraw/zinc/57/68/79/1074576879.db2.gz CRBLUPPWYMSCBG-VYGYDYRJSA-N 0 0 446.522 -0.350 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CC[C@H]3COC(=O)N[C@@H]3C2)c1 ZINC001469814128 1074576677 /nfs/dbraw/zinc/57/66/77/1074576677.db2.gz ITTRCWHEZZBMJD-DZGCQCFKSA-N 0 0 426.495 -0.164 20 0 IBADRN CCOC(=O)c1csc(CCNC(=O)N2CCN(CC(=O)NCCOC)CC2)n1 ZINC001469825743 1074576823 /nfs/dbraw/zinc/57/68/23/1074576823.db2.gz ZXBUXQCBTRBOBR-UHFFFAOYSA-N 0 0 427.527 -0.048 20 0 IBADRN CC(=O)N[C@](C)(C(=O)N1CCN(Cc2nc[nH]n2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001469827001 1074576696 /nfs/dbraw/zinc/57/66/96/1074576696.db2.gz DSCBWPBOOBVZOS-IBGZPJMESA-N 0 0 434.522 -0.096 20 0 IBADRN CC(=O)N[C@@](C)(C(=O)N1CCN(Cc2nc[nH]n2)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001469827002 1074576766 /nfs/dbraw/zinc/57/67/66/1074576766.db2.gz DSCBWPBOOBVZOS-LJQANCHMSA-N 0 0 434.522 -0.096 20 0 IBADRN O=C([C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCN(Cc2nc[nH]n2)CC1 ZINC001469829415 1074576836 /nfs/dbraw/zinc/57/68/36/1074576836.db2.gz YUDHJAWJAQQDIH-AWEZNQCLSA-N 0 0 428.902 -0.558 20 0 IBADRN O=C([C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1)N1CCN(Cc2nc[nH]n2)CC1 ZINC001469829416 1074576733 /nfs/dbraw/zinc/57/67/33/1074576733.db2.gz YUDHJAWJAQQDIH-CQSZACIVSA-N 0 0 428.902 -0.558 20 0 IBADRN CN(C)C(=O)N1CCN(C(=O)CNS(=O)(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001469835799 1074576807 /nfs/dbraw/zinc/57/68/07/1074576807.db2.gz MOFJDKAPWPZHNQ-UHFFFAOYSA-N 0 0 432.524 -0.806 20 0 IBADRN O=C(NCCN1C(=O)COc2ccccc21)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001469851425 1074576686 /nfs/dbraw/zinc/57/66/86/1074576686.db2.gz SRYNWRFKONXMHU-UHFFFAOYSA-N 0 0 434.478 -0.149 20 0 IBADRN COCc1nnc2n1CCN(C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1)C2 ZINC001469862594 1074576757 /nfs/dbraw/zinc/57/67/57/1074576757.db2.gz RBDLJVHQBAINFT-UHFFFAOYSA-N 0 0 436.494 -0.553 20 0 IBADRN C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C1=O ZINC001469869325 1074576713 /nfs/dbraw/zinc/57/67/13/1074576713.db2.gz JYRXPASNORBOIF-FQEVSTJZSA-N 0 0 449.533 -0.114 20 0 IBADRN C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C1=O ZINC001469869326 1074576749 /nfs/dbraw/zinc/57/67/49/1074576749.db2.gz JYRXPASNORBOIF-HXUWFJFHSA-N 0 0 449.533 -0.114 20 0 IBADRN CNS(=O)(=O)c1cc(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)co1 ZINC001469869648 1074576851 /nfs/dbraw/zinc/57/68/51/1074576851.db2.gz LJIUDAWDDPQDAT-UHFFFAOYSA-N 0 0 442.519 -0.020 20 0 IBADRN NS(=O)(=O)N1CCC(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)CC1 ZINC001469869676 1074576723 /nfs/dbraw/zinc/57/67/23/1074576723.db2.gz MURSODNHTIMBIB-UHFFFAOYSA-N 0 0 445.567 -0.919 20 0 IBADRN O=C(Cn1nnc2ccccc2c1=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001469870288 1074576868 /nfs/dbraw/zinc/57/68/68/1074576868.db2.gz WKPWOBROPRNZAX-UHFFFAOYSA-N 0 0 442.501 -0.030 20 0 IBADRN CS(=O)(=O)C1(C(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)CCOCC1 ZINC001469871812 1074576800 /nfs/dbraw/zinc/57/68/00/1074576800.db2.gz YQNCVSCBEUINQM-UHFFFAOYSA-N 0 0 445.563 -0.241 20 0 IBADRN C[C@](O)(Cn1ccccc1=O)C(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001469871857 1074576783 /nfs/dbraw/zinc/57/67/83/1074576783.db2.gz ZLSNGHWMCDUXJA-FQEVSTJZSA-N 0 0 434.518 -0.222 20 0 IBADRN C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001469871860 1074576815 /nfs/dbraw/zinc/57/68/15/1074576815.db2.gz ZLSNGHWMCDUXJA-HXUWFJFHSA-N 0 0 434.518 -0.222 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC[C@H](C)S(=O)(=O)C2)s1 ZINC001469880661 1074577175 /nfs/dbraw/zinc/57/71/75/1074577175.db2.gz RBOZZMVORMSVBC-CMPLNLGQSA-N 0 0 437.565 -0.256 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CC[C@H](C)S(=O)(=O)C2)s1 ZINC001469880662 1074577238 /nfs/dbraw/zinc/57/72/38/1074577238.db2.gz RBOZZMVORMSVBC-JQWIXIFHSA-N 0 0 437.565 -0.256 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CC[C@@H](C)S(=O)(=O)C2)s1 ZINC001469880663 1074577146 /nfs/dbraw/zinc/57/71/46/1074577146.db2.gz RBOZZMVORMSVBC-PWSUYJOCSA-N 0 0 437.565 -0.256 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC[C@@H](C)S(=O)(=O)C2)s1 ZINC001469880664 1074577202 /nfs/dbraw/zinc/57/72/02/1074577202.db2.gz RBOZZMVORMSVBC-ZYHUDNBSSA-N 0 0 437.565 -0.256 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)c2cn(CCNC(=O)OC(C)(C)C)nn2)CC1 ZINC001469884275 1074577211 /nfs/dbraw/zinc/57/72/11/1074577211.db2.gz DTWZTCTYQNAKQT-UHFFFAOYSA-N 0 0 431.519 -0.633 20 0 IBADRN COc1cc2nc(C(=O)N3CCN(S(=O)(=O)N(C)C)CC3)c(=O)n(C)c2cc1OC ZINC001469885622 1074577264 /nfs/dbraw/zinc/57/72/64/1074577264.db2.gz LNTNRZHJPHVEOD-UHFFFAOYSA-N 0 0 439.494 -0.485 20 0 IBADRN Cc1n[nH]c(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c1I ZINC001469886827 1074577180 /nfs/dbraw/zinc/57/71/80/1074577180.db2.gz TXMNYGUFIUVFDI-UHFFFAOYSA-N 0 0 427.268 -0.113 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@H](CCC(N)=O)NC(=O)CCC2CCCC2)CC1 ZINC001469887138 1074577115 /nfs/dbraw/zinc/57/71/15/1074577115.db2.gz UEOFAGOUGRXKEK-INIZCTEOSA-N 0 0 445.586 -0.342 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)[C@@H](CCC(N)=O)NC(=O)CCC2CCCC2)CC1 ZINC001469887141 1074577258 /nfs/dbraw/zinc/57/72/58/1074577258.db2.gz UEOFAGOUGRXKEK-MRXNPFEDSA-N 0 0 445.586 -0.342 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCCS(=O)(=O)CC(F)(F)F ZINC001469896304 1074577164 /nfs/dbraw/zinc/57/71/64/1074577164.db2.gz BQJITRFGVJTADD-SECBINFHSA-N 0 0 434.462 -0.203 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCCS(=O)(=O)CC(F)(F)F ZINC001469896307 1074577206 /nfs/dbraw/zinc/57/72/06/1074577206.db2.gz BQJITRFGVJTADD-VIFPVBQESA-N 0 0 434.462 -0.203 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)C1 ZINC001469907892 1074577137 /nfs/dbraw/zinc/57/71/37/1074577137.db2.gz FJLRPESFEYFUFO-UHFFFAOYSA-N 0 0 445.567 -0.760 20 0 IBADRN CNC(=O)[C@H](CNC(=O)NCC1CN(S(C)(=O)=O)C1)Cc1ccc(OC)c(F)c1 ZINC001469909030 1074577154 /nfs/dbraw/zinc/57/71/54/1074577154.db2.gz ROSCQMJJONQGKQ-AWEZNQCLSA-N 0 0 430.502 -0.070 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)NCC1CN(S(C)(=O)=O)C1)Cc1ccc(OC)c(F)c1 ZINC001469909031 1074577225 /nfs/dbraw/zinc/57/72/25/1074577225.db2.gz ROSCQMJJONQGKQ-CQSZACIVSA-N 0 0 430.502 -0.070 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NC1CC(NS(C)(=O)=O)C1 ZINC001469910592 1074577232 /nfs/dbraw/zinc/57/72/32/1074577232.db2.gz JBDFEJHOXLSTRB-UHFFFAOYSA-N 0 0 427.268 -0.096 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)NC1CC(NS(C)(=O)=O)C1)C1CCCC1 ZINC001469912015 1074577623 /nfs/dbraw/zinc/57/76/23/1074577623.db2.gz SULGRDRGMZGRSB-JXQTWKCFSA-N 0 0 425.577 -0.679 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)NC1CC(NS(C)(=O)=O)C1)C1CCCC1 ZINC001469912018 1074577484 /nfs/dbraw/zinc/57/74/84/1074577484.db2.gz SULGRDRGMZGRSB-RUXDESIVSA-N 0 0 425.577 -0.679 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC001469914540 1074577497 /nfs/dbraw/zinc/57/74/97/1074577497.db2.gz YMQWJGZSBQDOBV-UHFFFAOYSA-N 0 0 445.926 -0.547 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CCC(O)(CO)CC3)cc2)CC1 ZINC001469921351 1074577634 /nfs/dbraw/zinc/57/76/34/1074577634.db2.gz QIBJUFRQBIWAQR-UHFFFAOYSA-N 0 0 425.507 -0.501 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@@H](C)CCNS(=O)(=O)c2ccccc2)CC1 ZINC001469937560 1074577630 /nfs/dbraw/zinc/57/76/30/1074577630.db2.gz TWNMNUMKHYATDS-AWEZNQCLSA-N 0 0 447.583 -0.029 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@H](C)CCNS(=O)(=O)c2ccccc2)CC1 ZINC001469937561 1074577581 /nfs/dbraw/zinc/57/75/81/1074577581.db2.gz TWNMNUMKHYATDS-CQSZACIVSA-N 0 0 447.583 -0.029 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)N[C@@H](C)CCNS(=O)(=O)c2ccccc2)CC1 ZINC001469938549 1074577521 /nfs/dbraw/zinc/57/75/21/1074577521.db2.gz XOJPYHBAFPMWQC-AWEZNQCLSA-N 0 0 433.556 -0.465 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)N[C@H](C)CCNS(=O)(=O)c2ccccc2)CC1 ZINC001469938550 1074577555 /nfs/dbraw/zinc/57/75/55/1074577555.db2.gz XOJPYHBAFPMWQC-CQSZACIVSA-N 0 0 433.556 -0.465 20 0 IBADRN Cn1cc(/C=C\C(=O)N2NC(=O)C[C@]23CCN(C(=O)OC(C)(C)C)C3)c(=O)n(C)c1=O ZINC001469940958 1074577572 /nfs/dbraw/zinc/57/75/72/1074577572.db2.gz YLYGUWQIBDIEGV-HCZHMGAMSA-N 0 0 433.465 -0.260 20 0 IBADRN Cn1cc(/C=C\C(=O)N2NC(=O)C[C@@]23CCN(C(=O)OC(C)(C)C)C3)c(=O)n(C)c1=O ZINC001469940959 1074577589 /nfs/dbraw/zinc/57/75/89/1074577589.db2.gz YLYGUWQIBDIEGV-PXDRNWIDSA-N 0 0 433.465 -0.260 20 0 IBADRN Cn1cc(/C=C/C(=O)N2NC(=O)C[C@@]23CCN(C(=O)OC(C)(C)C)C3)c(=O)n(C)c1=O ZINC001469940960 1074577510 /nfs/dbraw/zinc/57/75/10/1074577510.db2.gz YLYGUWQIBDIEGV-ROUKRRSUSA-N 0 0 433.465 -0.260 20 0 IBADRN Cn1cc(/C=C/C(=O)N2NC(=O)C[C@]23CCN(C(=O)OC(C)(C)C)C3)c(=O)n(C)c1=O ZINC001469940961 1074577677 /nfs/dbraw/zinc/57/76/77/1074577677.db2.gz YLYGUWQIBDIEGV-YJJPMGAVSA-N 0 0 433.465 -0.260 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC001469947164 1074577542 /nfs/dbraw/zinc/57/75/42/1074577542.db2.gz AVSYISNBHOSCQM-INIZCTEOSA-N 0 0 439.538 -0.106 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)N[C@@H]2CCN(C(=O)COc3ccccc3)C2)CC1 ZINC001469947165 1074577595 /nfs/dbraw/zinc/57/75/95/1074577595.db2.gz AVSYISNBHOSCQM-MRXNPFEDSA-N 0 0 439.538 -0.106 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC001469947658 1074577529 /nfs/dbraw/zinc/57/75/29/1074577529.db2.gz GNNPCRDHFVTVDM-CVEARBPZSA-N 0 0 440.522 -0.374 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC001469947659 1074578044 /nfs/dbraw/zinc/57/80/44/1074578044.db2.gz GNNPCRDHFVTVDM-HOTGVXAUSA-N 0 0 440.522 -0.374 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)N[C@@H]1CCN(C(=O)COc2ccccc2)C1 ZINC001469947660 1074578134 /nfs/dbraw/zinc/57/81/34/1074578134.db2.gz GNNPCRDHFVTVDM-HZPDHXFCSA-N 0 0 440.522 -0.374 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)N[C@H]1CCN(C(=O)COc2ccccc2)C1 ZINC001469947661 1074578037 /nfs/dbraw/zinc/57/80/37/1074578037.db2.gz GNNPCRDHFVTVDM-JKSUJKDBSA-N 0 0 440.522 -0.374 20 0 IBADRN C[C@@H]1CN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CCN1S(C)(=O)=O ZINC001469951902 1074578068 /nfs/dbraw/zinc/57/80/68/1074578068.db2.gz OHMNDWYOMOVMTJ-LLVKDONJSA-N 0 0 440.494 -0.082 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001469965745 1074577955 /nfs/dbraw/zinc/57/79/55/1074577955.db2.gz WHVMEZJXFUEUNX-VOJFVSQTSA-N 0 0 427.479 -0.328 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC/C=C\CO)CC2)cc1 ZINC001469972333 1074578058 /nfs/dbraw/zinc/57/80/58/1074578058.db2.gz PKLWLHIWGRRVSB-IHWYPQMZSA-N 0 0 425.507 -0.027 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC/C=C/CO)CC2)cc1 ZINC001469972334 1074578005 /nfs/dbraw/zinc/57/80/05/1074578005.db2.gz PKLWLHIWGRRVSB-NSCUHMNNSA-N 0 0 425.507 -0.027 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@]3(OCCO)CCOC3)CC2)cn1C ZINC001469972697 1074578129 /nfs/dbraw/zinc/57/81/29/1074578129.db2.gz BLVIZZGZPWFRPP-GOSISDBHSA-N 0 0 430.527 -0.587 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)NC[C@@]3(OCCO)CCOC3)CC2)cn1C ZINC001469972698 1074578107 /nfs/dbraw/zinc/57/81/07/1074578107.db2.gz BLVIZZGZPWFRPP-SFHVURJKSA-N 0 0 430.527 -0.587 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@@]3(OCCO)CCOC3)CC2)c1 ZINC001469973003 1074578015 /nfs/dbraw/zinc/57/80/15/1074578015.db2.gz GHYJHBHDNUVISM-FQEVSTJZSA-N 0 0 441.550 -0.269 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NC[C@]3(OCCO)CCOC3)CC2)c1 ZINC001469973004 1074578082 /nfs/dbraw/zinc/57/80/82/1074578082.db2.gz GHYJHBHDNUVISM-HXUWFJFHSA-N 0 0 441.550 -0.269 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001469973511 1074578029 /nfs/dbraw/zinc/57/80/29/1074578029.db2.gz OFLODZSUOJVVHM-CYJAXWMASA-N 0 0 432.539 -0.620 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001469973512 1074578122 /nfs/dbraw/zinc/57/81/22/1074578122.db2.gz OFLODZSUOJVVHM-OAFZBRQQSA-N 0 0 432.539 -0.620 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001469973513 1074578023 /nfs/dbraw/zinc/57/80/23/1074578023.db2.gz OFLODZSUOJVVHM-WFXMFSGNSA-N 0 0 432.539 -0.620 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001469973514 1074577973 /nfs/dbraw/zinc/57/79/73/1074577973.db2.gz OFLODZSUOJVVHM-YKTARERQSA-N 0 0 432.539 -0.620 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@]2(OCCO)CCOC2)CC1 ZINC001469973838 1074577992 /nfs/dbraw/zinc/57/79/92/1074577992.db2.gz PKYWLKWONUIIEI-GOSISDBHSA-N 0 0 431.511 -0.024 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)NC[C@@]2(OCCO)CCOC2)CC1 ZINC001469973842 1074578050 /nfs/dbraw/zinc/57/80/50/1074578050.db2.gz PKYWLKWONUIIEI-SFHVURJKSA-N 0 0 431.511 -0.024 20 0 IBADRN Cc1ccc(C(=O)NC[C@@]2(OCCO)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001469974180 1074578117 /nfs/dbraw/zinc/57/81/17/1074578117.db2.gz RFFYOEHADWKIDX-IBGZPJMESA-N 0 0 428.507 -0.086 20 0 IBADRN Cc1ccc(C(=O)NC[C@]2(OCCO)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001469974185 1074577984 /nfs/dbraw/zinc/57/79/84/1074577984.db2.gz RFFYOEHADWKIDX-LJQANCHMSA-N 0 0 428.507 -0.086 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@]1(OCCO)CCOC1 ZINC001469974767 1074578454 /nfs/dbraw/zinc/57/84/54/1074578454.db2.gz WSKSZXKWMYPSPH-GOSISDBHSA-N 0 0 430.479 -0.590 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)NC[C@@]1(OCCO)CCOC1 ZINC001469974774 1074578400 /nfs/dbraw/zinc/57/84/00/1074578400.db2.gz WSKSZXKWMYPSPH-SFHVURJKSA-N 0 0 430.479 -0.590 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC001469976719 1074578427 /nfs/dbraw/zinc/57/84/27/1074578427.db2.gz CNYUZSQXELWPHD-NXEZZACHSA-N 0 0 427.298 -0.362 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC001469976722 1074578443 /nfs/dbraw/zinc/57/84/43/1074578443.db2.gz CNYUZSQXELWPHD-UWVGGRQHSA-N 0 0 427.298 -0.362 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC001469976723 1074578396 /nfs/dbraw/zinc/57/83/96/1074578396.db2.gz CNYUZSQXELWPHD-VHSXEESVSA-N 0 0 427.298 -0.362 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc(S(N)(=O)=O)c(Br)c1 ZINC001469976725 1074578488 /nfs/dbraw/zinc/57/84/88/1074578488.db2.gz CNYUZSQXELWPHD-ZJUUUORDSA-N 0 0 427.298 -0.362 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)[C@H]1[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@H]2C=C[C@H]1C ZINC001469976961 1074578448 /nfs/dbraw/zinc/57/84/48/1074578448.db2.gz GRPMHVZSRVMJDJ-KISRQWFGSA-N 0 0 438.424 -0.100 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)[C@H]1[C@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2C=C[C@H]1C ZINC001469976963 1074578389 /nfs/dbraw/zinc/57/83/89/1074578389.db2.gz GRPMHVZSRVMJDJ-LYUGOTMTSA-N 0 0 438.424 -0.100 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)[C@H]1[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2C=C[C@H]1C ZINC001469976965 1074578434 /nfs/dbraw/zinc/57/84/34/1074578434.db2.gz GRPMHVZSRVMJDJ-OJEZURLMSA-N 0 0 438.424 -0.100 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)[C@H]1[C@H]2C(=O)N(CC(F)(F)F)C(=O)[C@H]2C=C[C@H]1C ZINC001469976967 1074578520 /nfs/dbraw/zinc/57/85/20/1074578520.db2.gz GRPMHVZSRVMJDJ-YERPJTIDSA-N 0 0 438.424 -0.100 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC001469977639 1074578406 /nfs/dbraw/zinc/57/84/06/1074578406.db2.gz NTVKMKMBMQZWHM-DLBZAZTESA-N 0 0 425.507 -0.131 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC001469977640 1074578423 /nfs/dbraw/zinc/57/84/23/1074578423.db2.gz NTVKMKMBMQZWHM-IAGOWNOFSA-N 0 0 425.507 -0.131 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC001469977641 1074578460 /nfs/dbraw/zinc/57/84/60/1074578460.db2.gz NTVKMKMBMQZWHM-IRXDYDNUSA-N 0 0 425.507 -0.131 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)CN1CCN(C(=O)OCc2ccccc2)CC1 ZINC001469977642 1074578413 /nfs/dbraw/zinc/57/84/13/1074578413.db2.gz NTVKMKMBMQZWHM-SJORKVTESA-N 0 0 425.507 -0.131 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC001469990619 1074578477 /nfs/dbraw/zinc/57/84/77/1074578477.db2.gz BVZCNRCFPMEZLL-UHFFFAOYSA-N 0 0 435.449 -0.077 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N[C@H](C)CO)cc2)CC1 ZINC001469990668 1074578919 /nfs/dbraw/zinc/57/89/19/1074578919.db2.gz CWBSNHCFGFORTI-CYBMUJFWSA-N 0 0 429.495 -0.751 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)N[C@@H](C)CO)cc2)CC1 ZINC001469990672 1074578958 /nfs/dbraw/zinc/57/89/58/1074578958.db2.gz CWBSNHCFGFORTI-ZDUSSCGKSA-N 0 0 429.495 -0.751 20 0 IBADRN COc1ccc(S(N)(=O)=O)c(OC)c1C(=O)N1CCN(C(=O)C(OC)OC)CC1 ZINC001469991197 1074578838 /nfs/dbraw/zinc/57/88/38/1074578838.db2.gz ICXILSSRCSKHHG-UHFFFAOYSA-N 0 0 431.467 -0.746 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCN(C(=O)C(OC)OC)CC2)cc1S(N)(=O)=O ZINC001469992155 1074579000 /nfs/dbraw/zinc/57/90/00/1074579000.db2.gz QWJQUUFBJXCKDZ-UHFFFAOYSA-N 0 0 433.458 -0.686 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)[C@@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)CC1 ZINC001469992421 1074578834 /nfs/dbraw/zinc/57/88/34/1074578834.db2.gz VHJOJVDFQANBJH-AWEZNQCLSA-N 0 0 427.498 -0.144 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)[C@H]2CCCN(CC(=O)OC(C)(C)C)C2=O)CC1 ZINC001469992423 1074578786 /nfs/dbraw/zinc/57/87/86/1074578786.db2.gz VHJOJVDFQANBJH-CQSZACIVSA-N 0 0 427.498 -0.144 20 0 IBADRN C[C@@H]1CO[C@@H](C)CN1CCNC(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001470003118 1074578963 /nfs/dbraw/zinc/57/89/63/1074578963.db2.gz VAQWRBANJUJNNV-CABCVRRESA-N 0 0 426.539 -0.108 20 0 IBADRN C[C@H]1CN(CCNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)[C@@H](C)CO1 ZINC001470003119 1074578888 /nfs/dbraw/zinc/57/88/88/1074578888.db2.gz VAQWRBANJUJNNV-GJZGRUSLSA-N 0 0 426.539 -0.108 20 0 IBADRN C[C@@H]1CN(CCNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)[C@H](C)CO1 ZINC001470003120 1074578935 /nfs/dbraw/zinc/57/89/35/1074578935.db2.gz VAQWRBANJUJNNV-HUUCEWRRSA-N 0 0 426.539 -0.108 20 0 IBADRN C[C@@H]1CN(CCNC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)[C@@H](C)CO1 ZINC001470003121 1074578864 /nfs/dbraw/zinc/57/88/64/1074578864.db2.gz VAQWRBANJUJNNV-LSDHHAIUSA-N 0 0 426.539 -0.108 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)Nc2cccc(CN3CCCS3(=O)=O)c2)cn1 ZINC001470021508 1074578849 /nfs/dbraw/zinc/57/88/49/1074578849.db2.gz XYOSNDRTZBZONY-UHFFFAOYSA-N 0 0 427.508 -0.127 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@@H](Cn3ccnn3)C2)c1 ZINC001470026028 1074578993 /nfs/dbraw/zinc/57/89/93/1074578993.db2.gz JPVNAARMVJLJJW-CYBMUJFWSA-N 0 0 427.508 -0.491 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@H](Cn3ccnn3)C2)c1 ZINC001470026032 1074578926 /nfs/dbraw/zinc/57/89/26/1074578926.db2.gz JPVNAARMVJLJJW-ZDUSSCGKSA-N 0 0 427.508 -0.491 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H]1COC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001470031184 1074578813 /nfs/dbraw/zinc/57/88/13/1074578813.db2.gz UFVNLSZTCXKUPA-CHWSQXEVSA-N 0 0 443.526 -0.019 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1COC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001470031186 1074578955 /nfs/dbraw/zinc/57/89/55/1074578955.db2.gz UFVNLSZTCXKUPA-OLZOCXBDSA-N 0 0 443.526 -0.019 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@H]1COC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001470031188 1074578826 /nfs/dbraw/zinc/57/88/26/1074578826.db2.gz UFVNLSZTCXKUPA-QWHCGFSZSA-N 0 0 443.526 -0.019 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N[C@@H]1COC2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001470031190 1074578979 /nfs/dbraw/zinc/57/89/79/1074578979.db2.gz UFVNLSZTCXKUPA-STQMWFEESA-N 0 0 443.526 -0.019 20 0 IBADRN O=C(NCc1nnc2n1CCOC2)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001470031320 1074579226 /nfs/dbraw/zinc/57/92/26/1074579226.db2.gz INLQBDIRUVXLMM-UHFFFAOYSA-N 0 0 435.510 -0.330 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)C[C@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)CO2 ZINC001470031560 1074579189 /nfs/dbraw/zinc/57/91/89/1074579189.db2.gz ZMGYLXDXJKSJTJ-AWEZNQCLSA-N 0 0 432.543 -0.542 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)C[C@@H](NC(=O)CN1CCN(S(C)(=O)=O)CC1)CO2 ZINC001470031561 1074579291 /nfs/dbraw/zinc/57/92/91/1074579291.db2.gz ZMGYLXDXJKSJTJ-CQSZACIVSA-N 0 0 432.543 -0.542 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCC(=O)N(C)CC1 ZINC001470042832 1074579197 /nfs/dbraw/zinc/57/91/97/1074579197.db2.gz SHESTNRBDZLYBX-HNNXBMFYSA-N 0 0 425.507 -0.081 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCC(=O)N(C)CC1 ZINC001470042837 1074579175 /nfs/dbraw/zinc/57/91/75/1074579175.db2.gz SHESTNRBDZLYBX-OAHLLOKOSA-N 0 0 425.507 -0.081 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)N(CCOCCO)C1CCC1 ZINC001470057877 1074579300 /nfs/dbraw/zinc/57/93/00/1074579300.db2.gz USBABGIPKJEQNG-UHFFFAOYSA-N 0 0 425.507 -0.189 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)CC1 ZINC001470061464 1074579217 /nfs/dbraw/zinc/57/92/17/1074579217.db2.gz LKLIGNDSSJACDD-INIZCTEOSA-N 0 0 436.484 -0.135 20 0 IBADRN NC(=O)C1(O)CCN(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)N2CCOCC2)CC1 ZINC001470061465 1074579205 /nfs/dbraw/zinc/57/92/05/1074579205.db2.gz LKLIGNDSSJACDD-MRXNPFEDSA-N 0 0 436.484 -0.135 20 0 IBADRN COC[C@@]1(C(=O)OC)CCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC001470087193 1074579280 /nfs/dbraw/zinc/57/92/80/1074579280.db2.gz IBHMNMWYWJYIOH-BFUOFWGJSA-N 0 0 439.490 -0.133 20 0 IBADRN COC[C@]1(C(=O)OC)CCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC001470087195 1074579319 /nfs/dbraw/zinc/57/93/19/1074579319.db2.gz IBHMNMWYWJYIOH-DJJJIMSYSA-N 0 0 439.490 -0.133 20 0 IBADRN COC[C@@]1(C(=O)OC)CCCN1C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC001470087196 1074579248 /nfs/dbraw/zinc/57/92/48/1074579248.db2.gz IBHMNMWYWJYIOH-ORAYPTAESA-N 0 0 439.490 -0.133 20 0 IBADRN COC[C@]1(C(=O)OC)CCCN1C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC001470087427 1074579181 /nfs/dbraw/zinc/57/91/81/1074579181.db2.gz IBHMNMWYWJYIOH-YJYMSZOUSA-N 0 0 439.490 -0.133 20 0 IBADRN O=C(CNC(=O)NC[C@H](N1CCOCC1)C(F)(F)F)NCC(=O)NCc1ccccc1 ZINC001470087492 1074579270 /nfs/dbraw/zinc/57/92/70/1074579270.db2.gz LVUDFDUIACKRJA-HNNXBMFYSA-N 0 0 445.442 -0.019 20 0 IBADRN O=C(CNC(=O)NC[C@@H](N1CCOCC1)C(F)(F)F)NCC(=O)NCc1ccccc1 ZINC001470087498 1074579348 /nfs/dbraw/zinc/57/93/48/1074579348.db2.gz LVUDFDUIACKRJA-OAHLLOKOSA-N 0 0 445.442 -0.019 20 0 IBADRN CNC(=O)COc1cccc(CNC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)c1 ZINC001470087633 1074579240 /nfs/dbraw/zinc/57/92/40/1074579240.db2.gz PCVKPIIXJOWKOY-UHFFFAOYSA-N 0 0 427.527 -0.119 20 0 IBADRN Cc1cc(Cl)ccc1S(=O)(=O)NCCNC(=O)NCCNS(=O)(=O)N(C)C ZINC001470089918 1074579257 /nfs/dbraw/zinc/57/92/57/1074579257.db2.gz ANEMOMUZBHWWTQ-UHFFFAOYSA-N 0 0 441.963 -0.378 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C2)cn1C ZINC001470091675 1074579229 /nfs/dbraw/zinc/57/92/29/1074579229.db2.gz POLTVFNZGANPBB-NXEZZACHSA-N 0 0 432.446 -0.559 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCN(S(C)(=O)=O)CC3)C2)cc1 ZINC001470093905 1074579333 /nfs/dbraw/zinc/57/93/33/1074579333.db2.gz MFQDRPMKQILYGY-UHFFFAOYSA-N 0 0 432.524 -0.645 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)CCO1 ZINC001470094246 1074579312 /nfs/dbraw/zinc/57/93/12/1074579312.db2.gz KSOCVHRZBUEXTG-HNNXBMFYSA-N 0 0 441.510 -0.593 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)CCO1 ZINC001470094254 1074579327 /nfs/dbraw/zinc/57/93/27/1074579327.db2.gz KSOCVHRZBUEXTG-OAHLLOKOSA-N 0 0 441.510 -0.593 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001470097791 1074579724 /nfs/dbraw/zinc/57/97/24/1074579724.db2.gz XZPIIJXFFYNUFP-GXTWGEPZSA-N 0 0 427.479 -0.367 20 0 IBADRN COC(=O)[C@H]1C[C@H](O)CN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001470097793 1074579752 /nfs/dbraw/zinc/57/97/52/1074579752.db2.gz XZPIIJXFFYNUFP-JSGCOSHPSA-N 0 0 427.479 -0.367 20 0 IBADRN COC(=O)[C@@H]1C[C@H](O)CN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001470097794 1074579652 /nfs/dbraw/zinc/57/96/52/1074579652.db2.gz XZPIIJXFFYNUFP-OCCSQVGLSA-N 0 0 427.479 -0.367 20 0 IBADRN COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)C1 ZINC001470097795 1074579690 /nfs/dbraw/zinc/57/96/90/1074579690.db2.gz XZPIIJXFFYNUFP-TZMCWYRMSA-N 0 0 427.479 -0.367 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NC[C@H](O)CS(C)(=O)=O)C2=O)c(OC)c1 ZINC001470101981 1074579720 /nfs/dbraw/zinc/57/97/20/1074579720.db2.gz GCSWLBROTLOTLN-DZGCQCFKSA-N 0 0 429.495 -0.491 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NC[C@@H](O)CS(C)(=O)=O)C2=O)c(OC)c1 ZINC001470101983 1074579746 /nfs/dbraw/zinc/57/97/46/1074579746.db2.gz GCSWLBROTLOTLN-HIFRSBDPSA-N 0 0 429.495 -0.491 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NC[C@@H](O)CS(C)(=O)=O)C2=O)c(OC)c1 ZINC001470101985 1074579676 /nfs/dbraw/zinc/57/96/76/1074579676.db2.gz GCSWLBROTLOTLN-UKRRQHHQSA-N 0 0 429.495 -0.491 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NC[C@H](O)CS(C)(=O)=O)C2=O)c(OC)c1 ZINC001470101987 1074579748 /nfs/dbraw/zinc/57/97/48/1074579748.db2.gz GCSWLBROTLOTLN-ZFWWWQNUSA-N 0 0 429.495 -0.491 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CCN1S(C)(=O)=O ZINC001470123448 1074579713 /nfs/dbraw/zinc/57/97/13/1074579713.db2.gz MUXVQMLWHGUEEW-CQSZACIVSA-N 0 0 431.536 -0.187 20 0 IBADRN C[C@@H]1CN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCN1S(C)(=O)=O ZINC001470124213 1074579684 /nfs/dbraw/zinc/57/96/84/1074579684.db2.gz RDFHMMYLWBPXAL-CYBMUJFWSA-N 0 0 446.551 -0.841 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)C1 ZINC001470124269 1074579743 /nfs/dbraw/zinc/57/97/43/1074579743.db2.gz RPJIGCZAOKWDSX-DOMZBBRYSA-N 0 0 447.583 -0.080 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)C1 ZINC001470124275 1074579709 /nfs/dbraw/zinc/57/97/09/1074579709.db2.gz RPJIGCZAOKWDSX-IUODEOHRSA-N 0 0 447.583 -0.080 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)CCN1S(C)(=O)=O ZINC001470126680 1074579705 /nfs/dbraw/zinc/57/97/05/1074579705.db2.gz SNJYKEAVNHTNTG-GFCCVEGCSA-N 0 0 436.581 -0.142 20 0 IBADRN CCn1c(CCC(=O)N2C[C@H](O)C[C@H]2C(N)=O)nc2cc(S(=O)(=O)N(C)C)ccc21 ZINC001470128293 1074579639 /nfs/dbraw/zinc/57/96/39/1074579639.db2.gz JHJRDZLPMCGFHI-WBMJQRKESA-N 0 0 437.522 -0.314 20 0 IBADRN CN1C(=O)CN(CC(=O)N2CCC(n3nncc3I)CC2)C1=O ZINC001470128910 1074579693 /nfs/dbraw/zinc/57/96/93/1074579693.db2.gz MRFJWLYXLBUCPH-UHFFFAOYSA-N 0 0 432.222 -0.060 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@H](O)C[C@H]3C(N)=O)CC2)cc1OC ZINC001470129197 1074579661 /nfs/dbraw/zinc/57/96/61/1074579661.db2.gz RMHYPOOERZCDGJ-HIFRSBDPSA-N 0 0 441.506 -0.448 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCC(n2nncc2I)CC1 ZINC001470129303 1074579740 /nfs/dbraw/zinc/57/97/40/1074579740.db2.gz SXVGPSPQWCBKAZ-NQBHXWOUSA-N 0 0 434.234 -0.541 20 0 IBADRN NC(=O)c1ncn(CC(=O)N2CCC(n3nncc3I)CC2)n1 ZINC001470129653 1074579699 /nfs/dbraw/zinc/57/96/99/1074579699.db2.gz XKBPEDFRIYRNOU-UHFFFAOYSA-N 0 0 430.210 -0.563 20 0 IBADRN Cn1cc(I)c(CNC(=O)N(CCO)CCN2CCOCC2)n1 ZINC001470147854 1074579668 /nfs/dbraw/zinc/57/96/68/1074579668.db2.gz QXZCGCNMEFKUIM-UHFFFAOYSA-N 0 0 437.282 -0.139 20 0 IBADRN CCNC(=O)CN1CCN(C(=O)NCc2nn(C)cc2I)CC1 ZINC001470148691 1074580068 /nfs/dbraw/zinc/58/00/68/1074580068.db2.gz WPQXVWSYNVKBID-UHFFFAOYSA-N 0 0 434.282 -0.012 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1)NN1CCOC1=O ZINC001470151239 1074580057 /nfs/dbraw/zinc/58/00/57/1074580057.db2.gz OQRSTCFBIIAVGO-UHFFFAOYSA-N 0 0 428.442 -0.078 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3nc(-c4ccccn4)n[nH]3)CC2)CC1 ZINC001470157841 1074579929 /nfs/dbraw/zinc/57/99/29/1074579929.db2.gz TYOVUSSKXHGSRP-UHFFFAOYSA-N 0 0 449.541 -0.814 20 0 IBADRN CN(Cc1cn(C)nn1)C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001470167647 1074580122 /nfs/dbraw/zinc/58/01/22/1074580122.db2.gz MRKAVPNEWUFHOT-HNNXBMFYSA-N 0 0 429.524 -0.445 20 0 IBADRN CN(Cc1cn(C)nn1)C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1 ZINC001470167648 1074579919 /nfs/dbraw/zinc/57/99/19/1074579919.db2.gz MRKAVPNEWUFHOT-OAHLLOKOSA-N 0 0 429.524 -0.445 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC001470179427 1074579995 /nfs/dbraw/zinc/57/99/95/1074579995.db2.gz FTOJZIKMNDBBNZ-BRWVUGGUSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC001470179428 1074580040 /nfs/dbraw/zinc/58/00/40/1074580040.db2.gz FTOJZIKMNDBBNZ-IKGGRYGDSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)CCN2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC001470179429 1074579875 /nfs/dbraw/zinc/57/98/75/1074579875.db2.gz FTOJZIKMNDBBNZ-IXDOHACOSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)CCN2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC001470179430 1074579963 /nfs/dbraw/zinc/57/99/63/1074579963.db2.gz FTOJZIKMNDBBNZ-ZACQAIPSSA-N 0 0 439.509 -0.347 20 0 IBADRN COC(=O)[C@H]1CC[C@H](C(=O)OC)CN1C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2 ZINC001470181349 1074580031 /nfs/dbraw/zinc/58/00/31/1074580031.db2.gz QVEYHNZODNMEKA-BLLLJJGKSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)OC)N(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC001470181350 1074579943 /nfs/dbraw/zinc/57/99/43/1074579943.db2.gz QVEYHNZODNMEKA-LRDDRELGSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC001470181351 1074580081 /nfs/dbraw/zinc/58/00/81/1074580081.db2.gz QVEYHNZODNMEKA-MLGOLLRUSA-N 0 0 440.474 -0.052 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C1 ZINC001470181352 1074580098 /nfs/dbraw/zinc/58/00/98/1074580098.db2.gz QVEYHNZODNMEKA-WBMJQRKESA-N 0 0 440.474 -0.052 20 0 IBADRN CC(C)(C)OC(=O)NC[C@@]1(CO)COCCN1C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001470185096 1074580016 /nfs/dbraw/zinc/58/00/16/1074580016.db2.gz NELMTNNYGZEPGX-GOSISDBHSA-N 0 0 435.543 -0.227 20 0 IBADRN CC(C)(C)OC(=O)NC[C@]1(CO)COCCN1C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001470185097 1074580107 /nfs/dbraw/zinc/58/01/07/1074580107.db2.gz NELMTNNYGZEPGX-SFHVURJKSA-N 0 0 435.543 -0.227 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(=O)N1c2ccccc2C[C@H]1C ZINC001470190832 1074579985 /nfs/dbraw/zinc/57/99/85/1074579985.db2.gz IYULJSIDVQFAGN-CABCVRRESA-N 0 0 426.481 -0.107 20 0 IBADRN C[C@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(=O)N1c2ccccc2C[C@@H]1C ZINC001470190836 1074580089 /nfs/dbraw/zinc/58/00/89/1074580089.db2.gz IYULJSIDVQFAGN-GJZGRUSLSA-N 0 0 426.481 -0.107 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(=O)N1c2ccccc2C[C@H]1C ZINC001470190838 1074579904 /nfs/dbraw/zinc/57/99/04/1074579904.db2.gz IYULJSIDVQFAGN-HUUCEWRRSA-N 0 0 426.481 -0.107 20 0 IBADRN C[C@@H](NC(=O)N1CCN(C(=O)Cn2cnnn2)CC1)C(=O)N1c2ccccc2C[C@@H]1C ZINC001470190839 1074579955 /nfs/dbraw/zinc/57/99/55/1074579955.db2.gz IYULJSIDVQFAGN-LSDHHAIUSA-N 0 0 426.481 -0.107 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001470193365 1074580552 /nfs/dbraw/zinc/58/05/52/1074580552.db2.gz LWBSNRHOJCKTFP-UHFFFAOYSA-N 0 0 427.508 -0.522 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCN(S(=O)(=O)C4CC4)CC3)ccc21 ZINC001470194471 1074580625 /nfs/dbraw/zinc/58/06/25/1074580625.db2.gz ULSPCTYLUHPJCR-UHFFFAOYSA-N 0 0 434.518 -0.038 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CNC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C)C[C@H]21 ZINC001470202201 1074580574 /nfs/dbraw/zinc/58/05/74/1074580574.db2.gz BMJSTDIZJYZHKH-FQLMCAECSA-N 0 0 447.554 -0.078 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc(NC(C)=O)cc3)C[C@H]21 ZINC001470202672 1074580517 /nfs/dbraw/zinc/58/05/17/1074580517.db2.gz LZZYUAPGPSIAFP-XHSDSOJGSA-N 0 0 445.519 -0.806 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)CCNS(=O)(=O)c2cccc(C(C)=O)c2)CCO1 ZINC001470202676 1074580615 /nfs/dbraw/zinc/58/06/15/1074580615.db2.gz MBPJQASZIQRSDK-HNNXBMFYSA-N 0 0 426.495 -0.286 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)CCNS(=O)(=O)c2cccc(C(C)=O)c2)CCO1 ZINC001470202677 1074580423 /nfs/dbraw/zinc/58/04/23/1074580423.db2.gz MBPJQASZIQRSDK-OAHLLOKOSA-N 0 0 426.495 -0.286 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3c[nH]c4ncccc34)C[C@H]21 ZINC001470202865 1074580507 /nfs/dbraw/zinc/58/05/07/1074580507.db2.gz PGTQVAFPEKZUQS-SCRDCRAPSA-N 0 0 428.492 -0.888 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CCO1 ZINC001470202883 1074580497 /nfs/dbraw/zinc/58/04/97/1074580497.db2.gz QCILTZQZFOGRQF-HNNXBMFYSA-N 0 0 442.542 -0.416 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CCO1 ZINC001470202884 1074580406 /nfs/dbraw/zinc/58/04/06/1074580406.db2.gz QCILTZQZFOGRQF-OAHLLOKOSA-N 0 0 442.542 -0.416 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc(Cl)s3)C[C@H]21 ZINC001470203092 1074580436 /nfs/dbraw/zinc/58/04/36/1074580436.db2.gz VELNGHUQNMMDNU-AEJSXWLSSA-N 0 0 428.941 -0.050 20 0 IBADRN COc1c(F)cc(CC(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc1S(N)(=O)=O ZINC001470203098 1074580562 /nfs/dbraw/zinc/58/05/62/1074580562.db2.gz VUQZNXCBTCSWFP-SUHUHFCYSA-N 0 0 436.483 -0.705 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCO1 ZINC001470204176 1074580540 /nfs/dbraw/zinc/58/05/40/1074580540.db2.gz IIXFBTLGRJSAFH-BEFAXECRSA-N 0 0 440.522 -0.402 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCO1 ZINC001470204177 1074580523 /nfs/dbraw/zinc/58/05/23/1074580523.db2.gz IIXFBTLGRJSAFH-DNVCBOLYSA-N 0 0 440.522 -0.402 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCO1 ZINC001470204178 1074580591 /nfs/dbraw/zinc/58/05/91/1074580591.db2.gz IIXFBTLGRJSAFH-HNAYVOBHSA-N 0 0 440.522 -0.402 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CCO1 ZINC001470204179 1074580454 /nfs/dbraw/zinc/58/04/54/1074580454.db2.gz IIXFBTLGRJSAFH-KXBFYZLASA-N 0 0 440.522 -0.402 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)CCO1 ZINC001470204450 1074580584 /nfs/dbraw/zinc/58/05/84/1074580584.db2.gz NNROJPAAWXGLTE-CYBMUJFWSA-N 0 0 433.527 -0.321 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)C2(C(=O)OC(C)(C)C)CCS(=O)(=O)CC2)CCO1 ZINC001470204451 1074580486 /nfs/dbraw/zinc/58/04/86/1074580486.db2.gz NNROJPAAWXGLTE-ZDUSSCGKSA-N 0 0 433.527 -0.321 20 0 IBADRN COc1ccc(C(=O)N2CC(NS(C)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001470207747 1074581052 /nfs/dbraw/zinc/58/10/52/1074581052.db2.gz JWWNJJBKIOZDQP-UHFFFAOYSA-N 0 0 433.508 -0.910 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)N2CC(NS(C)(=O)=O)C2)cc1 ZINC001470208041 1074580830 /nfs/dbraw/zinc/58/08/30/1074580830.db2.gz OGYJYQAECWKUNW-DOTOQJQBSA-N 0 0 445.563 -0.306 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2CC(NS(C)(=O)=O)C2)cc1 ZINC001470208042 1074581110 /nfs/dbraw/zinc/58/11/10/1074581110.db2.gz OGYJYQAECWKUNW-NVXWUHKLSA-N 0 0 445.563 -0.306 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2CC(NS(C)(=O)=O)C2)cc1 ZINC001470208043 1074581116 /nfs/dbraw/zinc/58/11/16/1074581116.db2.gz OGYJYQAECWKUNW-RDJZCZTQSA-N 0 0 445.563 -0.306 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2CC(NS(C)(=O)=O)C2)cc1 ZINC001470208044 1074581039 /nfs/dbraw/zinc/58/10/39/1074581039.db2.gz OGYJYQAECWKUNW-WBVHZDCISA-N 0 0 445.563 -0.306 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(NS(C)(=O)=O)C3)cc2)C[C@H](C)O1 ZINC001470208176 1074581094 /nfs/dbraw/zinc/58/10/94/1074581094.db2.gz REBWGTADVYKCQT-BETUJISGSA-N 0 0 431.536 -0.142 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(NS(C)(=O)=O)C3)cc2)C[C@@H](C)O1 ZINC001470208181 1074580942 /nfs/dbraw/zinc/58/09/42/1074580942.db2.gz REBWGTADVYKCQT-CHWSQXEVSA-N 0 0 431.536 -0.142 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)N3CC(NS(C)(=O)=O)C3)cc2)C[C@H](C)O1 ZINC001470208184 1074581103 /nfs/dbraw/zinc/58/11/03/1074581103.db2.gz REBWGTADVYKCQT-STQMWFEESA-N 0 0 431.536 -0.142 20 0 IBADRN CN(C)S(=O)(=O)c1ccc2c(c1)nc(CCC(=O)N1CC(NS(C)(=O)=O)C1)n2C ZINC001470208501 1074581058 /nfs/dbraw/zinc/58/10/58/1074581058.db2.gz SWUDETBHRYIJEW-UHFFFAOYSA-N 0 0 443.551 -0.484 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001470220150 1074580990 /nfs/dbraw/zinc/58/09/90/1074580990.db2.gz BQBADALEXOKGML-INIZCTEOSA-N 0 0 447.583 -0.324 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001470220162 1074580924 /nfs/dbraw/zinc/58/09/24/1074580924.db2.gz BQBADALEXOKGML-MRXNPFEDSA-N 0 0 447.583 -0.324 20 0 IBADRN CN1C[C@@H](C(=O)NC[C@]2(CO)COCC3(CCC3)N2C)N(C(=O)OC(C)(C)C)CC1=O ZINC001470224736 1074580964 /nfs/dbraw/zinc/58/09/64/1074580964.db2.gz DAKHAWMQOMWDFN-BTYIYWSLSA-N 0 0 440.541 -0.204 20 0 IBADRN CN1C[C@H](C(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)N(C(=O)OC(C)(C)C)CC1=O ZINC001470224738 1074580882 /nfs/dbraw/zinc/58/08/82/1074580882.db2.gz DAKHAWMQOMWDFN-QVKFZJNVSA-N 0 0 440.541 -0.204 20 0 IBADRN CN1C[C@H](C(=O)NC[C@]2(CO)COCC3(CCC3)N2C)N(C(=O)OC(C)(C)C)CC1=O ZINC001470224740 1074580844 /nfs/dbraw/zinc/58/08/44/1074580844.db2.gz DAKHAWMQOMWDFN-VFNWGFHPSA-N 0 0 440.541 -0.204 20 0 IBADRN CN1C[C@@H](C(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)N(C(=O)OC(C)(C)C)CC1=O ZINC001470224742 1074581002 /nfs/dbraw/zinc/58/10/02/1074581002.db2.gz DAKHAWMQOMWDFN-YCRPNKLZSA-N 0 0 440.541 -0.204 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N[C@@H]1CCCC[C@H]1NS(C)(=O)=O ZINC001470225740 1074580952 /nfs/dbraw/zinc/58/09/52/1074580952.db2.gz NFICNJOXOPUYPH-HUUCEWRRSA-N 0 0 448.567 -0.364 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@]2(CO)COCC3(CCC3)N2C)cc1 ZINC001470226070 1074580858 /nfs/dbraw/zinc/58/08/58/1074580858.db2.gz OZVXCDRVYNUELO-FQEVSTJZSA-N 0 0 439.534 -0.101 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCC(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)cc1 ZINC001470226071 1074581069 /nfs/dbraw/zinc/58/10/69/1074581069.db2.gz OZVXCDRVYNUELO-HXUWFJFHSA-N 0 0 439.534 -0.101 20 0 IBADRN CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)CCC(=O)N1CCN(c2ncccn2)CC1 ZINC001470226732 1074581028 /nfs/dbraw/zinc/58/10/28/1074581028.db2.gz JWGYONGTGFFVCB-HZPDHXFCSA-N 0 0 438.554 -0.118 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001470233926 1074581517 /nfs/dbraw/zinc/58/15/17/1074581517.db2.gz XYMGNMVBRFZQHI-UHFFFAOYSA-N 0 0 425.511 -0.394 20 0 IBADRN O=C(NCCNS(=O)(=O)Cc1ccccc1)NCCS(=O)(=O)C1CCOCC1 ZINC001470237038 1074581424 /nfs/dbraw/zinc/58/14/24/1074581424.db2.gz SNTWZNOVWFTWDH-UHFFFAOYSA-N 0 0 433.552 -0.001 20 0 IBADRN Cn1c2ncn(CC(=O)NN3Cc4ccc(Br)cc4C3=O)c2c(=O)n(C)c1=O ZINC001470237946 1074581399 /nfs/dbraw/zinc/58/13/99/1074581399.db2.gz ZXBJFKOCXVVKRW-UHFFFAOYSA-N 0 0 447.249 -0.117 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(C(=O)NN2CCS(=O)(=O)CC2)(S(C)(=O)=O)CC1 ZINC001470238899 1074581417 /nfs/dbraw/zinc/58/14/17/1074581417.db2.gz IJBHNVTVKAUWGT-UHFFFAOYSA-N 0 0 439.556 -0.438 20 0 IBADRN COc1ccc(CC(=O)NN2CCS(=O)(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001470239282 1074581377 /nfs/dbraw/zinc/58/13/77/1074581377.db2.gz LMUSQKRNESQGTJ-UHFFFAOYSA-N 0 0 447.535 -0.980 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)NN3CCS(=O)(=O)CC3)CC2)c1 ZINC001470239307 1074581392 /nfs/dbraw/zinc/58/13/92/1074581392.db2.gz MNBHBSAHRZVGSM-UHFFFAOYSA-N 0 0 430.552 -0.792 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)NN2CCS(=O)(=O)CC2)cc1 ZINC001470240217 1074581456 /nfs/dbraw/zinc/58/14/56/1074581456.db2.gz WQDGPQPTYAOJFK-UHFFFAOYSA-N 0 0 449.551 -0.655 20 0 IBADRN C[C@H]1CN(S(=O)(=O)c2ccc(C(=O)NN3CCS(=O)(=O)CC3)cc2)C[C@H](C)O1 ZINC001470240231 1074581526 /nfs/dbraw/zinc/58/15/26/1074581526.db2.gz XKJMYVULWUVIMM-KBPBESRZSA-N 0 0 431.536 -0.140 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NN3CCS(=O)(=O)CC3)cc2)C[C@H](C)O1 ZINC001470240232 1074581562 /nfs/dbraw/zinc/58/15/62/1074581562.db2.gz XKJMYVULWUVIMM-OKILXGFUSA-N 0 0 431.536 -0.140 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)NN3CCS(=O)(=O)CC3)cc2)C[C@@H](C)O1 ZINC001470240233 1074581474 /nfs/dbraw/zinc/58/14/74/1074581474.db2.gz XKJMYVULWUVIMM-ZIAGYGMSSA-N 0 0 431.536 -0.140 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001470241551 1074581533 /nfs/dbraw/zinc/58/15/33/1074581533.db2.gz GTCOVBNHIQAQFS-GOSISDBHSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)C1 ZINC001470241554 1074581367 /nfs/dbraw/zinc/58/13/67/1074581367.db2.gz GTCOVBNHIQAQFS-SFHVURJKSA-N 0 0 425.463 -0.789 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001470242585 1074581465 /nfs/dbraw/zinc/58/14/65/1074581465.db2.gz ULDDVIDCRRIIPW-KPZWWZAWSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001470242593 1074581543 /nfs/dbraw/zinc/58/15/43/1074581543.db2.gz ULDDVIDCRRIIPW-KZULUSFZSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)[C@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001470242595 1074581552 /nfs/dbraw/zinc/58/15/52/1074581552.db2.gz ULDDVIDCRRIIPW-SGTLLEGYSA-N 0 0 425.463 -0.523 20 0 IBADRN COC(=O)[C@]1(OC)CCN(C(=O)[C@@H]2CC(=O)N(c3cccc(S(N)(=O)=O)c3)C2)C1 ZINC001470242597 1074581354 /nfs/dbraw/zinc/58/13/54/1074581354.db2.gz ULDDVIDCRRIIPW-XIKOKIGWSA-N 0 0 425.463 -0.523 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCCN(S(=O)(=O)c2ccccc2)CC1 ZINC001470248613 1074581484 /nfs/dbraw/zinc/58/14/84/1074581484.db2.gz GPCFXAFMTJMLEL-UHFFFAOYSA-N 0 0 433.556 -0.511 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC001470249028 1074581828 /nfs/dbraw/zinc/58/18/28/1074581828.db2.gz HYIWMWMVQCAODE-UHFFFAOYSA-N 0 0 431.540 -0.831 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)c1C ZINC001470249954 1074581784 /nfs/dbraw/zinc/58/17/84/1074581784.db2.gz OTBXRPYLDJVZNR-UHFFFAOYSA-N 0 0 440.570 -0.035 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC001470250838 1074581869 /nfs/dbraw/zinc/58/18/69/1074581869.db2.gz RZNFZSOSBLRCTB-UHFFFAOYSA-N 0 0 430.506 -0.513 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001470251385 1074581816 /nfs/dbraw/zinc/58/18/16/1074581816.db2.gz XHKITBUKLLMHGZ-KRWDZBQOSA-N 0 0 438.554 -0.485 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001470251388 1074581849 /nfs/dbraw/zinc/58/18/49/1074581849.db2.gz XHKITBUKLLMHGZ-QGZVFWFLSA-N 0 0 438.554 -0.485 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)C(F)(F)F)C1 ZINC001470253181 1074581877 /nfs/dbraw/zinc/58/18/77/1074581877.db2.gz QEYADANDASGTRT-CYDGBPFRSA-N 0 0 441.476 -0.177 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)C(F)(F)F ZINC001470253191 1074581834 /nfs/dbraw/zinc/58/18/34/1074581834.db2.gz QEYADANDASGTRT-LOWDOPEQSA-N 0 0 441.476 -0.177 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)C(F)(F)F ZINC001470253195 1074581896 /nfs/dbraw/zinc/58/18/96/1074581896.db2.gz QEYADANDASGTRT-RNJOBUHISA-N 0 0 441.476 -0.177 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)C(F)(F)F ZINC001470253198 1074581794 /nfs/dbraw/zinc/58/17/94/1074581794.db2.gz QEYADANDASGTRT-RVMXOQNASA-N 0 0 441.476 -0.177 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N[C@H]1Cc2cc(Br)cnc2NC1=O ZINC001470253672 1074581863 /nfs/dbraw/zinc/58/18/63/1074581863.db2.gz UQEJVQMXYFVPJO-JTQLQIEISA-N 0 0 435.304 -0.598 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N[C@@H]1Cc2cc(Br)cnc2NC1=O ZINC001470253678 1074581805 /nfs/dbraw/zinc/58/18/05/1074581805.db2.gz UQEJVQMXYFVPJO-SNVBAGLBSA-N 0 0 435.304 -0.598 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3cc(S(=O)(=O)NC(C)C)c[nH]3)CC2)CCOC1 ZINC001470263973 1074581841 /nfs/dbraw/zinc/58/18/41/1074581841.db2.gz BASDYXRJRSVXNP-GOSISDBHSA-N 0 0 428.511 -0.209 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3cc(S(=O)(=O)NC(C)C)c[nH]3)CC2)CCOC1 ZINC001470263978 1074581923 /nfs/dbraw/zinc/58/19/23/1074581923.db2.gz BASDYXRJRSVXNP-SFHVURJKSA-N 0 0 428.511 -0.209 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CN(C)S(=O)(=O)c3ccccc3)CC2)CCOC1 ZINC001470263987 1074581883 /nfs/dbraw/zinc/58/18/83/1074581883.db2.gz BFWWYHBHGVSCEX-IBGZPJMESA-N 0 0 425.507 -0.217 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CN(C)S(=O)(=O)c3ccccc3)CC2)CCOC1 ZINC001470263989 1074581915 /nfs/dbraw/zinc/58/19/15/1074581915.db2.gz BFWWYHBHGVSCEX-LJQANCHMSA-N 0 0 425.507 -0.217 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3cccc([C@]4(C)NC(=O)NC4=O)c3)CC2)CCOC1 ZINC001470264414 1074581857 /nfs/dbraw/zinc/58/18/57/1074581857.db2.gz DMZDRNCNVJWZLB-LEWJYISDSA-N 0 0 430.461 -0.169 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3cccc([C@@]4(C)NC(=O)NC4=O)c3)CC2)CCOC1 ZINC001470264416 1074581758 /nfs/dbraw/zinc/58/17/58/1074581758.db2.gz DMZDRNCNVJWZLB-NHCUHLMSSA-N 0 0 430.461 -0.169 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3cccc([C@@]4(C)NC(=O)NC4=O)c3)CC2)CCOC1 ZINC001470264418 1074581890 /nfs/dbraw/zinc/58/18/90/1074581890.db2.gz DMZDRNCNVJWZLB-RTWAWAEBSA-N 0 0 430.461 -0.169 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3cccc([C@]4(C)NC(=O)NC4=O)c3)CC2)CCOC1 ZINC001470264421 1074581774 /nfs/dbraw/zinc/58/17/74/1074581774.db2.gz DMZDRNCNVJWZLB-SFTDATJTSA-N 0 0 430.461 -0.169 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccccc3F)CC2)CCOC1 ZINC001470264678 1074582180 /nfs/dbraw/zinc/58/21/80/1074582180.db2.gz FQNGMIDAHKQMTR-IFXJQAMLSA-N 0 0 443.497 -0.031 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@H](C)NS(=O)(=O)c3ccccc3F)CC2)CCOC1 ZINC001470264679 1074582266 /nfs/dbraw/zinc/58/22/66/1074582266.db2.gz FQNGMIDAHKQMTR-LIRRHRJNSA-N 0 0 443.497 -0.031 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CCn3[nH]c(=O)c4ccccc4c3=O)CC2)CCOC1 ZINC001470265022 1074582281 /nfs/dbraw/zinc/58/22/81/1074582281.db2.gz JZARHOLWEUHPJC-NRFANRHFSA-N 0 0 430.461 -0.032 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CCn3[nH]c(=O)c4ccccc4c3=O)CC2)CCOC1 ZINC001470265023 1074582153 /nfs/dbraw/zinc/58/21/53/1074582153.db2.gz JZARHOLWEUHPJC-OAQYLSRUSA-N 0 0 430.461 -0.032 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)c3ccc(N4NC(=O)CCC4=O)cc3)CC2)CCOC1 ZINC001470265205 1074582229 /nfs/dbraw/zinc/58/22/29/1074582229.db2.gz LPDLYKAHABFJID-NRFANRHFSA-N 0 0 430.461 -0.066 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)c3ccc(N4NC(=O)CCC4=O)cc3)CC2)CCOC1 ZINC001470265206 1074582193 /nfs/dbraw/zinc/58/21/93/1074582193.db2.gz LPDLYKAHABFJID-OAQYLSRUSA-N 0 0 430.461 -0.066 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)CN(C)S(=O)(=O)c3cccnc3)CC2)CCOC1 ZINC001470265753 1074582238 /nfs/dbraw/zinc/58/22/38/1074582238.db2.gz PFWJDFDADHRSSF-GOSISDBHSA-N 0 0 426.495 -0.822 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)CN(C)S(=O)(=O)c3cccnc3)CC2)CCOC1 ZINC001470265759 1074582163 /nfs/dbraw/zinc/58/21/63/1074582163.db2.gz PFWJDFDADHRSSF-SFHVURJKSA-N 0 0 426.495 -0.822 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(C(=O)C[C@@H]3NC(=O)NC3=O)CC2)CC1 ZINC001470267110 1074582109 /nfs/dbraw/zinc/58/21/09/1074582109.db2.gz MUSBMUMUHGZKIA-ZDUSSCGKSA-N 0 0 438.485 -0.599 20 0 IBADRN C[C@@]1(CO)C[C@H](O)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001470302976 1074582275 /nfs/dbraw/zinc/58/22/75/1074582275.db2.gz IWAUJGSHOOCEAL-JXFKEZNVSA-N 0 0 439.534 -0.356 20 0 IBADRN C[C@]1(CO)C[C@H](O)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001470302978 1074582221 /nfs/dbraw/zinc/58/22/21/1074582221.db2.gz IWAUJGSHOOCEAL-OXJNMPFZSA-N 0 0 439.534 -0.356 20 0 IBADRN C[C@]1(CO)C[C@@H](O)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001470302980 1074582326 /nfs/dbraw/zinc/58/23/26/1074582326.db2.gz IWAUJGSHOOCEAL-OXQOHEQNSA-N 0 0 439.534 -0.356 20 0 IBADRN C[C@@]1(CO)C[C@@H](O)CN1C(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001470302982 1074582121 /nfs/dbraw/zinc/58/21/21/1074582121.db2.gz IWAUJGSHOOCEAL-UZLBHIALSA-N 0 0 439.534 -0.356 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCO[C@H](Cn3ccnn3)C2)C1 ZINC001470303274 1074582316 /nfs/dbraw/zinc/58/23/16/1074582316.db2.gz KBACMAXFTFJNPK-CVEARBPZSA-N 0 0 437.526 -0.054 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCO[C@H](Cn3ccnn3)C2)C1 ZINC001470303278 1074582139 /nfs/dbraw/zinc/58/21/39/1074582139.db2.gz KBACMAXFTFJNPK-HOTGVXAUSA-N 0 0 437.526 -0.054 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCO[C@@H](Cn3ccnn3)C2)C1 ZINC001470303280 1074582304 /nfs/dbraw/zinc/58/23/04/1074582304.db2.gz KBACMAXFTFJNPK-HZPDHXFCSA-N 0 0 437.526 -0.054 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCO[C@@H](Cn3ccnn3)C2)C1 ZINC001470303281 1074582246 /nfs/dbraw/zinc/58/22/46/1074582246.db2.gz KBACMAXFTFJNPK-JKSUJKDBSA-N 0 0 437.526 -0.054 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCO[C@H](Cn4ccnn4)C3)C2=O)cc1 ZINC001470305050 1074582254 /nfs/dbraw/zinc/58/22/54/1074582254.db2.gz XBJZAGKRBISXOW-JXFKEZNVSA-N 0 0 428.449 -0.019 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCO[C@H](Cn4ccnn4)C3)C2=O)cc1 ZINC001470305051 1074582662 /nfs/dbraw/zinc/58/26/62/1074582662.db2.gz XBJZAGKRBISXOW-OXJNMPFZSA-N 0 0 428.449 -0.019 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N3CCO[C@@H](Cn4ccnn4)C3)C2=O)cc1 ZINC001470305052 1074582645 /nfs/dbraw/zinc/58/26/45/1074582645.db2.gz XBJZAGKRBISXOW-OXQOHEQNSA-N 0 0 428.449 -0.019 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N3CCO[C@@H](Cn4ccnn4)C3)C2=O)cc1 ZINC001470305053 1074582532 /nfs/dbraw/zinc/58/25/32/1074582532.db2.gz XBJZAGKRBISXOW-UZLBHIALSA-N 0 0 428.449 -0.019 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc2c(c1)NC(=O)CCN2C ZINC001470308326 1074582606 /nfs/dbraw/zinc/58/26/06/1074582606.db2.gz LMOALMQOZQJEMP-WFASDCNBSA-N 0 0 429.433 -0.227 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCn1cc(Br)cn1 ZINC001470308850 1074582619 /nfs/dbraw/zinc/58/26/19/1074582619.db2.gz OFJHHDSFZRPMRO-QWRGUYRKSA-N 0 0 428.243 -0.270 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)CCc1nc2ccccc2oc1=O ZINC001470308876 1074582613 /nfs/dbraw/zinc/58/26/13/1074582613.db2.gz ORMHTHHPSLLDOZ-FZMZJTMJSA-N 0 0 428.401 -0.185 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)COCCNC(=O)c1ccccc1 ZINC001470310718 1074582566 /nfs/dbraw/zinc/58/25/66/1074582566.db2.gz BAKORMOQRSAXMQ-GJZGRUSLSA-N 0 0 432.433 -0.873 20 0 IBADRN CCn1c2ccccc2n(CC(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1=O ZINC001470310849 1074582674 /nfs/dbraw/zinc/58/26/74/1074582674.db2.gz ZIGMXENGTRHIIZ-WFASDCNBSA-N 0 0 429.433 -0.483 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1cc(F)cc(N2CCOCC2)c1 ZINC001470311121 1074582547 /nfs/dbraw/zinc/58/25/47/1074582547.db2.gz DNGFWUHPZBMVBP-HOTGVXAUSA-N 0 0 434.424 -0.030 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1cc(Br)c[nH]c1=O ZINC001470311633 1074582592 /nfs/dbraw/zinc/58/25/92/1074582592.db2.gz IMCRPTDMOIXAQC-WPRPVWTQSA-N 0 0 427.211 -0.142 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ccc(F)c(S(C)(=O)=O)c1 ZINC001470312553 1074582557 /nfs/dbraw/zinc/58/25/57/1074582557.db2.gz PVIFMFOFOHVLOZ-JQWIXIFHSA-N 0 0 427.410 -0.463 20 0 IBADRN CCn1c(Br)ncc1C(=O)N1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)OC ZINC001470312642 1074582699 /nfs/dbraw/zinc/58/26/99/1074582699.db2.gz RINXBKVULJNNNK-IUCAKERBSA-N 0 0 428.243 -0.027 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)Cn1cnc(-c2ccccc2)cc1=O ZINC001470313061 1074582599 /nfs/dbraw/zinc/58/25/99/1074582599.db2.gz VZIJLOBMTGIUCL-HOCLYGCPSA-N 0 0 439.428 -0.395 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC001470313212 1074582625 /nfs/dbraw/zinc/58/26/25/1074582625.db2.gz XRDSZXXZJKSVNL-JYJNAYRXSA-N 0 0 428.445 -0.157 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC001470313213 1074582690 /nfs/dbraw/zinc/58/26/90/1074582690.db2.gz XRDSZXXZJKSVNL-PMPSAXMXSA-N 0 0 428.445 -0.157 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ncn(-c3ccccc3)n2)CC1 ZINC001470318934 1074582682 /nfs/dbraw/zinc/58/26/82/1074582682.db2.gz AZZBEQKGDVGARB-UHFFFAOYSA-N 0 0 425.449 -0.230 20 0 IBADRN NS(=O)(=O)c1ccc(OCCC(=O)NCCN2CCN(c3ncccn3)CC2)cc1 ZINC001470326547 1074582632 /nfs/dbraw/zinc/58/26/32/1074582632.db2.gz BAGWJYKETHFVOI-UHFFFAOYSA-N 0 0 434.522 -0.169 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC001470327386 1074582927 /nfs/dbraw/zinc/58/29/27/1074582927.db2.gz KAGTWGSQRFOSMP-KRWDZBQOSA-N 0 0 443.483 -0.472 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1F)C(=O)NCCN1CCN(c2ncccn2)CC1 ZINC001470327389 1074582910 /nfs/dbraw/zinc/58/29/10/1074582910.db2.gz KAGTWGSQRFOSMP-QGZVFWFLSA-N 0 0 443.483 -0.472 20 0 IBADRN NS(=O)(=O)CCCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001470329094 1074582940 /nfs/dbraw/zinc/58/29/40/1074582940.db2.gz SPIRAKDOGOFLEC-UHFFFAOYSA-N 0 0 427.531 -0.787 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCCCCS(N)(=O)=O)CC2)cc1 ZINC001470329175 1074583098 /nfs/dbraw/zinc/58/30/98/1074583098.db2.gz UNNKHMAEHGDEMS-UHFFFAOYSA-N 0 0 434.540 -0.220 20 0 IBADRN Cn1cnc2c1c(=O)n(CC(=O)Nc1n[nH]cc1I)c(=O)n2C ZINC001470333194 1074582973 /nfs/dbraw/zinc/58/29/73/1074582973.db2.gz VNEAQTSGGDGKTQ-UHFFFAOYSA-N 0 0 429.178 -0.600 20 0 IBADRN O=C(NCCN1CCC2(C1)OCCO2)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001470335823 1074582984 /nfs/dbraw/zinc/58/29/84/1074582984.db2.gz PEIGKUQGDNAYBR-UHFFFAOYSA-N 0 0 425.507 -0.114 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)NCCN3CCC4(C3)OCCO4)CC2=O)c1 ZINC001470338416 1074582870 /nfs/dbraw/zinc/58/28/70/1074582870.db2.gz JTHXXOUATUCUOZ-AWEZNQCLSA-N 0 0 438.506 -0.748 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)NCCN3CCC4(C3)OCCO4)CC2=O)c1 ZINC001470338417 1074583017 /nfs/dbraw/zinc/58/30/17/1074583017.db2.gz JTHXXOUATUCUOZ-CQSZACIVSA-N 0 0 438.506 -0.748 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NCCN2CCC3(C2)OCCO3)C1 ZINC001470338429 1074582964 /nfs/dbraw/zinc/58/29/64/1074582964.db2.gz KCAQSXLQFTXQTF-HNNXBMFYSA-N 0 0 447.554 -0.024 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NCCN2CCC3(C2)OCCO3)C1 ZINC001470338430 1074583064 /nfs/dbraw/zinc/58/30/64/1074583064.db2.gz KCAQSXLQFTXQTF-OAHLLOKOSA-N 0 0 447.554 -0.024 20 0 IBADRN COCCS(=O)(=O)CC(=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001470344516 1074583035 /nfs/dbraw/zinc/58/30/35/1074583035.db2.gz PXGYFCRBJBAERS-UHFFFAOYSA-N 0 0 433.552 -0.830 20 0 IBADRN COCCN1C[C@@H](C(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)CC1=O ZINC001470344988 1074583008 /nfs/dbraw/zinc/58/30/08/1074583008.db2.gz TYEXRMZFHMJUOH-KRWDZBQOSA-N 0 0 438.550 -0.396 20 0 IBADRN COCCN1C[C@H](C(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)CC1=O ZINC001470344996 1074583092 /nfs/dbraw/zinc/58/30/92/1074583092.db2.gz TYEXRMZFHMJUOH-QGZVFWFLSA-N 0 0 438.550 -0.396 20 0 IBADRN CC[C@H](O)Cn1cc(C(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)nn1 ZINC001470346920 1074582900 /nfs/dbraw/zinc/58/29/00/1074582900.db2.gz BRLMXHCUVGOVOM-INIZCTEOSA-N 0 0 436.538 -0.215 20 0 IBADRN CC[C@@H](O)Cn1cc(C(=O)N2CCN(CCNS(=O)(=O)c3ccccc3)CC2)nn1 ZINC001470346921 1074583074 /nfs/dbraw/zinc/58/30/74/1074583074.db2.gz BRLMXHCUVGOVOM-MRXNPFEDSA-N 0 0 436.538 -0.215 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001470347021 1074583085 /nfs/dbraw/zinc/58/30/85/1074583085.db2.gz QOBLXCDXOISMQB-UOIKSKOESA-N 0 0 449.508 -0.146 20 0 IBADRN O=C(c1cn(C(F)(F)CO)nn1)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001470347492 1074582934 /nfs/dbraw/zinc/58/29/34/1074582934.db2.gz LGGKNPGLZXKDMF-UHFFFAOYSA-N 0 0 444.464 -0.444 20 0 IBADRN CC(C)C(=O)N[C@@H](CO)C(=O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001470347634 1074582892 /nfs/dbraw/zinc/58/28/92/1074582892.db2.gz QDNVWEAXFAVNHH-KRWDZBQOSA-N 0 0 426.539 -0.758 20 0 IBADRN O=C(CNC(=O)c1ncccc1O)N1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001470347658 1074583043 /nfs/dbraw/zinc/58/30/43/1074583043.db2.gz QWMVPYQVIGJNGI-UHFFFAOYSA-N 0 0 447.517 -0.360 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCCn2cc(Br)ccc2=O)CC1 ZINC001470349016 1074582860 /nfs/dbraw/zinc/58/28/60/1074582860.db2.gz AMPCOYJBAAEJQZ-UHFFFAOYSA-N 0 0 439.274 -0.849 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001470349386 1074583367 /nfs/dbraw/zinc/58/33/67/1074583367.db2.gz GUJYPUKCLXLVEL-INIZCTEOSA-N 0 0 429.271 -0.462 20 0 IBADRN O=C(NCCn1cc(Br)ccc1=O)NC[C@]12COCCN1C(=O)COC2 ZINC001470349390 1074583469 /nfs/dbraw/zinc/58/34/69/1074583469.db2.gz GUJYPUKCLXLVEL-MRXNPFEDSA-N 0 0 429.271 -0.462 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCN(C(=O)c2cccn2C)CC1 ZINC001470406975 1074583384 /nfs/dbraw/zinc/58/33/84/1074583384.db2.gz QXMAFFAIKPBHEC-UHFFFAOYSA-N 0 0 427.527 -0.856 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)CC1 ZINC001470407041 1074583491 /nfs/dbraw/zinc/58/34/91/1074583491.db2.gz SAFRGIYGTDJTKN-GUDVDZBRSA-N 0 0 441.488 -0.097 20 0 IBADRN C[C@@H](O)CN(C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@H](C)CO ZINC001470437127 1074583435 /nfs/dbraw/zinc/58/34/35/1074583435.db2.gz CHALAMVUULOKOS-CHWSQXEVSA-N 0 0 429.495 -0.396 20 0 IBADRN C[C@H](CO)N(C[C@H](C)O)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001470437128 1074583474 /nfs/dbraw/zinc/58/34/74/1074583474.db2.gz CHALAMVUULOKOS-OLZOCXBDSA-N 0 0 429.495 -0.396 20 0 IBADRN C[C@@H](O)CN(C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@@H](C)CO ZINC001470437129 1074583463 /nfs/dbraw/zinc/58/34/63/1074583463.db2.gz CHALAMVUULOKOS-QWHCGFSZSA-N 0 0 429.495 -0.396 20 0 IBADRN C[C@H](O)CN(C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)[C@@H](C)CO ZINC001470437130 1074583409 /nfs/dbraw/zinc/58/34/09/1074583409.db2.gz CHALAMVUULOKOS-STQMWFEESA-N 0 0 429.495 -0.396 20 0 IBADRN CS(=O)(=O)CCCCNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001470437258 1074583508 /nfs/dbraw/zinc/58/35/08/1074583508.db2.gz CRUPTKDQTHJDBF-UHFFFAOYSA-N 0 0 447.535 -0.045 20 0 IBADRN C[C@H](CCS(C)(=O)=O)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001470437594 1074583419 /nfs/dbraw/zinc/58/34/19/1074583419.db2.gz FPYMDYNFFQDJHK-GFCCVEGCSA-N 0 0 447.535 -0.047 20 0 IBADRN C[C@@H](CCS(C)(=O)=O)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001470437595 1074583443 /nfs/dbraw/zinc/58/34/43/1074583443.db2.gz FPYMDYNFFQDJHK-LBPRGKRZSA-N 0 0 447.535 -0.047 20 0 IBADRN CCN(CCOCCO)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001470437684 1074583500 /nfs/dbraw/zinc/58/35/00/1074583500.db2.gz HBGULWWCKFRJQI-UHFFFAOYSA-N 0 0 429.495 -0.129 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001470437927 1074583448 /nfs/dbraw/zinc/58/34/48/1074583448.db2.gz IUJDXLMPOBHWPS-LLVKDONJSA-N 0 0 428.467 -0.462 20 0 IBADRN COCCN1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1 ZINC001470437950 1074583336 /nfs/dbraw/zinc/58/33/36/1074583336.db2.gz IYRPZCZRHKUYDJ-UHFFFAOYSA-N 0 0 440.522 -0.196 20 0 IBADRN C[C@@H](NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)C(=O)NCCF ZINC001470438806 1074583377 /nfs/dbraw/zinc/58/33/77/1074583377.db2.gz OZRBUFCFUBKLBV-LLVKDONJSA-N 0 0 430.458 -0.396 20 0 IBADRN C[C@H](NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)C(=O)NCCF ZINC001470438807 1074583428 /nfs/dbraw/zinc/58/34/28/1074583428.db2.gz OZRBUFCFUBKLBV-NSHDSACASA-N 0 0 430.458 -0.396 20 0 IBADRN O=C(NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1)N1CCCS(=O)(=O)CC1 ZINC001470439439 1074583357 /nfs/dbraw/zinc/58/33/57/1074583357.db2.gz UZALXKHBWASUKD-UHFFFAOYSA-N 0 0 445.519 -0.339 20 0 IBADRN CO[C@@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)C[C@@H]1OC ZINC001470439840 1074583483 /nfs/dbraw/zinc/58/34/83/1074583483.db2.gz YDVILWKKZXWEJQ-CALCHBBNSA-N 0 0 427.479 -0.114 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)NCCOCCS(N)(=O)=O)CC2)CC1 ZINC001470440445 1074583394 /nfs/dbraw/zinc/58/33/94/1074583394.db2.gz BBUZRHWXOQEYMD-UHFFFAOYSA-N 0 0 433.575 -0.733 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCCN(C(=O)Cc2cccc(F)c2)CC1 ZINC001470440565 1074583455 /nfs/dbraw/zinc/58/34/55/1074583455.db2.gz COXUFEJJUGIHHG-UHFFFAOYSA-N 0 0 430.502 -0.083 20 0 IBADRN Cc1cccc(NC(=O)CN2CCN(C(=O)NCCOCCS(N)(=O)=O)CC2)c1C ZINC001470440831 1074583818 /nfs/dbraw/zinc/58/38/18/1074583818.db2.gz GEUXXFVKHASTCL-UHFFFAOYSA-N 0 0 441.554 -0.126 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)NCCOCCS(N)(=O)=O)CC2)cc1C ZINC001470440857 1074583848 /nfs/dbraw/zinc/58/38/48/1074583848.db2.gz GTBWLHDKNMYRMX-UHFFFAOYSA-N 0 0 448.567 -0.376 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)NCCOCCS(N)(=O)=O)CC2)CC1 ZINC001470441236 1074583827 /nfs/dbraw/zinc/58/38/27/1074583827.db2.gz LKSXUHYMELCZLN-UHFFFAOYSA-N 0 0 433.575 -0.686 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)NCCOCCS(N)(=O)=O ZINC001470441256 1074583802 /nfs/dbraw/zinc/58/38/02/1074583802.db2.gz MJJYQXXQEYAJJP-UHFFFAOYSA-N 0 0 437.563 -0.514 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC001470441760 1074583698 /nfs/dbraw/zinc/58/36/98/1074583698.db2.gz WFJGVIKDABJMMV-UHFFFAOYSA-N 0 0 439.538 -0.792 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCOCC2)ccc1F)N1CCN(c2nccnn2)CC1 ZINC001470443088 1074583709 /nfs/dbraw/zinc/58/37/09/1074583709.db2.gz UYKUIQMIFOJUMX-UHFFFAOYSA-N 0 0 436.469 -0.006 20 0 IBADRN O=C(c1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)N1CCN(c2nccnn2)CC1 ZINC001470443109 1074583719 /nfs/dbraw/zinc/58/37/19/1074583719.db2.gz VDKIXNSZHGNEAM-UHFFFAOYSA-N 0 0 436.469 -0.006 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN(c3nccnn3)CC2)CC1 ZINC001470443322 1074583738 /nfs/dbraw/zinc/58/37/38/1074583738.db2.gz XXXBPLSLEVENOU-UHFFFAOYSA-N 0 0 434.526 -0.039 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)N1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC001470454022 1074583810 /nfs/dbraw/zinc/58/38/10/1074583810.db2.gz WVLMCFOLYNRDPH-AWEZNQCLSA-N 0 0 427.575 -0.002 20 0 IBADRN CCN1C(=S)N=NC1CCNC(=O)N1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC001470454023 1074583854 /nfs/dbraw/zinc/58/38/54/1074583854.db2.gz WVLMCFOLYNRDPH-CQSZACIVSA-N 0 0 427.575 -0.002 20 0 IBADRN CNS(=O)(=O)N1CCC(C(=O)N2CCN(c3ccc(F)cc3C(N)=O)CC2)CC1 ZINC001470454641 1074583771 /nfs/dbraw/zinc/58/37/71/1074583771.db2.gz WEENSHRHVIGHGP-UHFFFAOYSA-N 0 0 427.502 -0.251 20 0 IBADRN CS(=O)(=O)c1ccc([C@@H]2CN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CCO2)cc1 ZINC001470457015 1074583861 /nfs/dbraw/zinc/58/38/61/1074583861.db2.gz SDSRBAGBDUBHJM-KRWDZBQOSA-N 0 0 445.563 -0.433 20 0 IBADRN CS(=O)(=O)c1ccc([C@H]2CN(C(=O)CN3CCN(S(C)(=O)=O)CC3)CCO2)cc1 ZINC001470457016 1074583679 /nfs/dbraw/zinc/58/36/79/1074583679.db2.gz SDSRBAGBDUBHJM-QGZVFWFLSA-N 0 0 445.563 -0.433 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)c1 ZINC001470462716 1074583839 /nfs/dbraw/zinc/58/38/39/1074583839.db2.gz JZRQFHHRJGWBSL-UHFFFAOYSA-N 0 0 433.556 -0.337 20 0 IBADRN CC(C)(CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@@H]1COCC12OCCO2 ZINC001470474845 1074583690 /nfs/dbraw/zinc/58/36/90/1074583690.db2.gz WRXVPMBFRWXMTI-KRWDZBQOSA-N 0 0 440.541 -0.412 20 0 IBADRN CC(C)(CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1)[C@H]1COCC12OCCO2 ZINC001470474847 1074583761 /nfs/dbraw/zinc/58/37/61/1074583761.db2.gz WRXVPMBFRWXMTI-QGZVFWFLSA-N 0 0 440.541 -0.412 20 0 IBADRN CC(C)(CNC(=O)NCCS(=O)(=O)N=S(C)(C)=O)[C@H]1COCC12OCCO2 ZINC001470476642 1074583727 /nfs/dbraw/zinc/58/37/27/1074583727.db2.gz SNCXAOZSSUJGEQ-GFCCVEGCSA-N 0 0 427.545 -0.241 20 0 IBADRN CC(C)(CNC(=O)NCCS(=O)(=O)N=S(C)(C)=O)[C@@H]1COCC12OCCO2 ZINC001470476646 1074583868 /nfs/dbraw/zinc/58/38/68/1074583868.db2.gz SNCXAOZSSUJGEQ-LBPRGKRZSA-N 0 0 427.545 -0.241 20 0 IBADRN NC(=O)c1cn(CCCCNC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)nn1 ZINC001470487822 1074583788 /nfs/dbraw/zinc/58/37/88/1074583788.db2.gz BQXHJUGXISLDQW-UHFFFAOYSA-N 0 0 434.545 -0.113 20 0 IBADRN O=C(CCCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1C[C@@H](CO)[C@H](CO)C1 ZINC001470488264 1074584163 /nfs/dbraw/zinc/58/41/63/1074584163.db2.gz LUCLCWFWIZOGRX-GJZGRUSLSA-N 0 0 428.507 -0.034 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3C[C@@H](CO)[C@H](CO)C3)CC2)o1 ZINC001470488439 1074584173 /nfs/dbraw/zinc/58/41/73/1074584173.db2.gz QWWQEICVVLMWKV-GJZGRUSLSA-N 0 0 444.506 -0.084 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)N2C[C@@H](CO)[C@H](CO)C2)cc1 ZINC001470489661 1074584046 /nfs/dbraw/zinc/58/40/46/1074584046.db2.gz TXYFERGHCACNCH-BMFAXAFESA-N 0 0 426.535 -0.007 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@H]2C(=O)N2C[C@@H](CO)[C@H](CO)C2)cc1 ZINC001470489664 1074584034 /nfs/dbraw/zinc/58/40/34/1074584034.db2.gz TXYFERGHCACNCH-DWRORGKVSA-N 0 0 426.535 -0.007 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)N2C[C@@H](CO)[C@H](CO)C2)cc1 ZINC001470489666 1074584231 /nfs/dbraw/zinc/58/42/31/1074584231.db2.gz TXYFERGHCACNCH-IMBTUZDBSA-N 0 0 426.535 -0.007 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@@H](O)C[C@@H]2C(=O)N2C[C@@H](CO)[C@H](CO)C2)cc1 ZINC001470489668 1074584064 /nfs/dbraw/zinc/58/40/64/1074584064.db2.gz TXYFERGHCACNCH-LSTDLKDCSA-N 0 0 426.535 -0.007 20 0 IBADRN CCS(=O)(=O)CCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001470509572 1074584073 /nfs/dbraw/zinc/58/40/73/1074584073.db2.gz MRXLPZCDQQKMLC-UHFFFAOYSA-N 0 0 426.543 -0.030 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)N1CCC(CO)(CO)C1 ZINC001470548735 1074584155 /nfs/dbraw/zinc/58/41/55/1074584155.db2.gz TVOVLYRDIFNGMH-UHFFFAOYSA-N 0 0 441.550 -0.162 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)c2ccc3ncc(I)n3c2)C[C@H]1C(N)=O ZINC001470562170 1074584055 /nfs/dbraw/zinc/58/40/55/1074584055.db2.gz MRAQZSNOMCRTRD-DTORHVGOSA-N 0 0 427.202 -0.402 20 0 IBADRN NC(=O)[C@H]1CN(C(=O)c2ccc3ncc(I)n3c2)C[C@@H]1C(N)=O ZINC001470562171 1074584141 /nfs/dbraw/zinc/58/41/41/1074584141.db2.gz MRAQZSNOMCRTRD-IUCAKERBSA-N 0 0 427.202 -0.402 20 0 IBADRN NC(=O)[C@@H]1CN(C(=O)c2ccc3ncc(I)n3c2)C[C@H]1C(N)=O ZINC001470562172 1074584180 /nfs/dbraw/zinc/58/41/80/1074584180.db2.gz MRAQZSNOMCRTRD-RKDXNWHRSA-N 0 0 427.202 -0.402 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H](CO)c3cncn3C)c2)CC1 ZINC001470569780 1074584198 /nfs/dbraw/zinc/58/41/98/1074584198.db2.gz KRFYLZRQOUCPSH-KRWDZBQOSA-N 0 0 435.506 -0.264 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H](CO)c3cncn3C)c2)CC1 ZINC001470569783 1074584556 /nfs/dbraw/zinc/58/45/56/1074584556.db2.gz KRFYLZRQOUCPSH-QGZVFWFLSA-N 0 0 435.506 -0.264 20 0 IBADRN CS(=O)(=O)N1CC(C(=O)N2CCN(C(=O)N3CCN(Cc4ccccc4)CC3)CC2)C1 ZINC001470570507 1074584488 /nfs/dbraw/zinc/58/44/88/1074584488.db2.gz DVPFELUJOWBJCQ-UHFFFAOYSA-N 0 0 449.577 -0.040 20 0 IBADRN CCCN1C[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC001470571727 1074584521 /nfs/dbraw/zinc/58/45/21/1074584521.db2.gz SNUCBCPRKARAEM-BBWFWOEESA-N 0 0 445.586 -0.074 20 0 IBADRN CCCN1C[C@@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC001470571729 1074584585 /nfs/dbraw/zinc/58/45/85/1074584585.db2.gz SNUCBCPRKARAEM-IXDOHACOSA-N 0 0 445.586 -0.074 20 0 IBADRN CCCN1C[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC001470571731 1074584513 /nfs/dbraw/zinc/58/45/13/1074584513.db2.gz SNUCBCPRKARAEM-ULQDDVLXSA-N 0 0 445.586 -0.074 20 0 IBADRN CCCN1C[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1=O ZINC001470571733 1074584612 /nfs/dbraw/zinc/58/46/12/1074584612.db2.gz SNUCBCPRKARAEM-ZACQAIPSSA-N 0 0 445.586 -0.074 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCC(c2nccc(N3CCOCC3)n2)CC1 ZINC001470575408 1074584621 /nfs/dbraw/zinc/58/46/21/1074584621.db2.gz FWNJEENUYUWUOV-UHFFFAOYSA-N 0 0 441.554 -0.025 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)N2CCN(C(=O)OC(C)(C)C)[C@H]3CS(=O)(=O)C[C@H]32)C1=O ZINC001470591092 1074584505 /nfs/dbraw/zinc/58/45/05/1074584505.db2.gz JHFVNYAVCJAYFK-UPJWGTAASA-N 0 0 444.510 -0.438 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)N2CCN(C(=O)OC(C)(C)C)[C@H]3CS(=O)(=O)C[C@H]32)C1=O ZINC001470591094 1074584479 /nfs/dbraw/zinc/58/44/79/1074584479.db2.gz JHFVNYAVCJAYFK-XQQFMLRXSA-N 0 0 444.510 -0.438 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)CN2CCCC3(CC3)S2(=O)=O)CC1 ZINC001470593836 1074584577 /nfs/dbraw/zinc/58/45/77/1074584577.db2.gz OKYGTGMTZWMGRP-UHFFFAOYSA-N 0 0 442.563 -0.059 20 0 IBADRN NS(=O)(=O)c1ccccc1N1CCN(C(=O)c2ccnc(N3CCOCC3)n2)CC1 ZINC001470594663 1074584543 /nfs/dbraw/zinc/58/45/43/1074584543.db2.gz YPFVLRXBCLNXMY-UHFFFAOYSA-N 0 0 432.506 -0.077 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)NC[C@@]12COCCN1C(=O)COC2 ZINC001470596693 1074584532 /nfs/dbraw/zinc/58/45/32/1074584532.db2.gz FUZJKZLEYJGJMA-ACJLOTCBSA-N 0 0 425.463 -0.852 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)NC[C@]12COCCN1C(=O)COC2 ZINC001470596695 1074584607 /nfs/dbraw/zinc/58/46/07/1074584607.db2.gz FUZJKZLEYJGJMA-FZKQIMNGSA-N 0 0 425.463 -0.852 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)c2ccccc2O1)NC[C@]12COCCN1C(=O)COC2 ZINC001470596696 1074584602 /nfs/dbraw/zinc/58/46/02/1074584602.db2.gz FUZJKZLEYJGJMA-SCLBCKFNSA-N 0 0 425.463 -0.852 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)c2ccccc2O1)NC[C@@]12COCCN1C(=O)COC2 ZINC001470596697 1074584574 /nfs/dbraw/zinc/58/45/74/1074584574.db2.gz FUZJKZLEYJGJMA-UGSOOPFHSA-N 0 0 425.463 -0.852 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N(CCO)CCOCCO ZINC001470600297 1074584591 /nfs/dbraw/zinc/58/45/91/1074584591.db2.gz JQIURWBFYZQTQM-HOCLYGCPSA-N 0 0 436.914 -0.706 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N(CCO)CCOCCO ZINC001470600298 1074584862 /nfs/dbraw/zinc/58/48/62/1074584862.db2.gz JQIURWBFYZQTQM-ZBFHGGJFSA-N 0 0 436.914 -0.706 20 0 IBADRN O=C(c1cc(I)ccc1-n1cnnn1)N(CCO)CCOCCO ZINC001470601446 1074584849 /nfs/dbraw/zinc/58/48/49/1074584849.db2.gz XCXBQKDRYZRSQS-UHFFFAOYSA-N 0 0 447.233 -0.290 20 0 IBADRN CN1CCN(C(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]23)[C@H](CO)C1 ZINC001470602023 1074584889 /nfs/dbraw/zinc/58/48/89/1074584889.db2.gz OVJKAJBJIDOJSF-FXUDXRNXSA-N 0 0 431.555 -0.209 20 0 IBADRN CN1CCN(C(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]23)[C@@H](CO)C1 ZINC001470602024 1074584936 /nfs/dbraw/zinc/58/49/36/1074584936.db2.gz OVJKAJBJIDOJSF-KLHDSHLOSA-N 0 0 431.555 -0.209 20 0 IBADRN CN1CCN(C(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@@H]23)[C@@H](CO)C1 ZINC001470602025 1074584911 /nfs/dbraw/zinc/58/49/11/1074584911.db2.gz OVJKAJBJIDOJSF-LVQVYYBASA-N 0 0 431.555 -0.209 20 0 IBADRN CN1CCN(C(=O)C[C@@H]2CS(=O)(=O)[C@@H]3CN(C(=O)OC(C)(C)C)C[C@H]23)[C@H](CO)C1 ZINC001470602026 1074584927 /nfs/dbraw/zinc/58/49/27/1074584927.db2.gz OVJKAJBJIDOJSF-QKPAOTATSA-N 0 0 431.555 -0.209 20 0 IBADRN CSC[C@H](C(=O)NC1CCN(S(N)(=O)=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001470604567 1074584944 /nfs/dbraw/zinc/58/49/44/1074584944.db2.gz UASTZZAWURXWPN-HZSPNIEDSA-N 0 0 432.568 -0.323 20 0 IBADRN CSC[C@H](C(=O)NC1CCN(S(N)(=O)=O)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC001470604572 1074584883 /nfs/dbraw/zinc/58/48/83/1074584883.db2.gz UASTZZAWURXWPN-MELADBBJSA-N 0 0 432.568 -0.323 20 0 IBADRN CSC[C@H](C(=O)NC1CCN(S(N)(=O)=O)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC001470604575 1074584879 /nfs/dbraw/zinc/58/48/79/1074584879.db2.gz UASTZZAWURXWPN-MGPQQGTHSA-N 0 0 432.568 -0.323 20 0 IBADRN CSC[C@@H](C(=O)NC1CCN(S(N)(=O)=O)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC001470604579 1074584854 /nfs/dbraw/zinc/58/48/54/1074584854.db2.gz UASTZZAWURXWPN-MJBXVCDLSA-N 0 0 432.568 -0.323 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)CCc2ccc(S(=O)(=O)NC3CC3)cc2)CC1 ZINC001470607225 1074584919 /nfs/dbraw/zinc/58/49/19/1074584919.db2.gz YZYSCEQWBIMQSG-UHFFFAOYSA-N 0 0 430.552 -0.156 20 0 IBADRN NS(=O)(=O)N1CCC(NC(=O)c2ccc(Br)cc2-n2cnnn2)CC1 ZINC001470608538 1074584898 /nfs/dbraw/zinc/58/48/98/1074584898.db2.gz HYBKZMWFUKMSAW-UHFFFAOYSA-N 0 0 430.288 -0.178 20 0 IBADRN CC(C)C[C@H](NC(=O)NCCN1CCN(S(C)(=O)=O)CC1)C(=O)N1CCOCC1 ZINC001470612175 1074584873 /nfs/dbraw/zinc/58/48/73/1074584873.db2.gz CTSRURXSNDASIG-INIZCTEOSA-N 0 0 433.575 -0.864 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(C(C)(C)C)C3=O)CC2)CC1 ZINC001470620775 1074584867 /nfs/dbraw/zinc/58/48/67/1074584867.db2.gz DLQSHXUCVCTYDT-HNNXBMFYSA-N 0 0 430.575 -0.795 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(C(C)(C)C)C3=O)CC2)CC1 ZINC001470620782 1074584951 /nfs/dbraw/zinc/58/49/51/1074584951.db2.gz DLQSHXUCVCTYDT-OAHLLOKOSA-N 0 0 430.575 -0.795 20 0 IBADRN CNC(=O)[C@H](CNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)Cc1ccc(F)cc1C ZINC001470621819 1074584817 /nfs/dbraw/zinc/58/48/17/1074584817.db2.gz HJXNFTWIQAKVQO-DOTOQJQBSA-N 0 0 444.529 -0.002 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)Cc1ccc(F)cc1C ZINC001470621841 1074584904 /nfs/dbraw/zinc/58/49/04/1074584904.db2.gz HJXNFTWIQAKVQO-NVXWUHKLSA-N 0 0 444.529 -0.002 20 0 IBADRN CNC(=O)[C@H](CNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)Cc1ccc(F)cc1C ZINC001470621845 1074584827 /nfs/dbraw/zinc/58/48/27/1074584827.db2.gz HJXNFTWIQAKVQO-RDJZCZTQSA-N 0 0 444.529 -0.002 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)Cc1ccc(F)cc1C ZINC001470621849 1074584822 /nfs/dbraw/zinc/58/48/22/1074584822.db2.gz HJXNFTWIQAKVQO-WBVHZDCISA-N 0 0 444.529 -0.002 20 0 IBADRN CO[C@@H]1COC[C@H]1NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001470629298 1074585204 /nfs/dbraw/zinc/58/52/04/1074585204.db2.gz HIOGYBDBHMJFOD-GDBMZVCRSA-N 0 0 440.478 -0.553 20 0 IBADRN COC(=O)Cc1ccccc1CNC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001470635222 1074585325 /nfs/dbraw/zinc/58/53/25/1074585325.db2.gz VAZSYAGWFZTVTM-UHFFFAOYSA-N 0 0 430.465 -0.426 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1CCC(C(=O)NCCO)CC1 ZINC001470642978 1074585150 /nfs/dbraw/zinc/58/51/50/1074585150.db2.gz FLPBSKOGLIICLP-UHFFFAOYSA-N 0 0 441.506 -0.527 20 0 IBADRN C[C@H](O)[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001470644915 1074585295 /nfs/dbraw/zinc/58/52/95/1074585295.db2.gz VDQWTDSLCJNYIO-BBRMVZONSA-N 0 0 431.486 -0.703 20 0 IBADRN C[C@@H](O)[C@@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001470644918 1074585267 /nfs/dbraw/zinc/58/52/67/1074585267.db2.gz VDQWTDSLCJNYIO-CJNGLKHVSA-N 0 0 431.486 -0.703 20 0 IBADRN C[C@@H](O)[C@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001470644919 1074585163 /nfs/dbraw/zinc/58/51/63/1074585163.db2.gz VDQWTDSLCJNYIO-CZUORRHYSA-N 0 0 431.486 -0.703 20 0 IBADRN C[C@H](O)[C@H](O)CNC(=O)CCC(=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001470644920 1074585222 /nfs/dbraw/zinc/58/52/22/1074585222.db2.gz VDQWTDSLCJNYIO-XJKSGUPXSA-N 0 0 431.486 -0.703 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N3CCC(C(=O)NCCO)CC3)cc2S1(=O)=O ZINC001470645623 1074585253 /nfs/dbraw/zinc/58/52/53/1074585253.db2.gz ZGJGTFOUURWTQQ-UHFFFAOYSA-N 0 0 439.490 -0.562 20 0 IBADRN COC(=O)CN1CCN(C(=O)[C@@]23CN(C(=O)OC(C)(C)C)C[C@@H]2CS(=O)(=O)C3)CC1 ZINC001470661302 1074585194 /nfs/dbraw/zinc/58/51/94/1074585194.db2.gz QROQIIDDOGGJJE-AUUYWEPGSA-N 0 0 445.538 -0.415 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCN(c2cnn(C)c2)C(=O)C1)C1CCCC1 ZINC001470664857 1074585288 /nfs/dbraw/zinc/58/52/88/1074585288.db2.gz AEUDGRMNDXHTFU-INIZCTEOSA-N 0 0 441.558 -0.267 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCN(c2cnn(C)c2)C(=O)C1)C1CCCC1 ZINC001470664858 1074585319 /nfs/dbraw/zinc/58/53/19/1074585319.db2.gz AEUDGRMNDXHTFU-MRXNPFEDSA-N 0 0 441.558 -0.267 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)C1CCCC1 ZINC001470664948 1074585280 /nfs/dbraw/zinc/58/52/80/1074585280.db2.gz BBLFIUXJSXEIKH-DLBZAZTESA-N 0 0 445.586 -0.026 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCN(C(=O)[C@H]2CCCO2)CC1)C1CCCC1 ZINC001470664951 1074585273 /nfs/dbraw/zinc/58/52/73/1074585273.db2.gz BBLFIUXJSXEIKH-IAGOWNOFSA-N 0 0 445.586 -0.026 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)C1CCCC1 ZINC001470664954 1074585303 /nfs/dbraw/zinc/58/53/03/1074585303.db2.gz BBLFIUXJSXEIKH-IRXDYDNUSA-N 0 0 445.586 -0.026 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1)C1CCCC1 ZINC001470664957 1074585246 /nfs/dbraw/zinc/58/52/46/1074585246.db2.gz BBLFIUXJSXEIKH-SJORKVTESA-N 0 0 445.586 -0.026 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001470666105 1074585230 /nfs/dbraw/zinc/58/52/30/1074585230.db2.gz KPOAHDDSMXJTEM-BFHYXJOUSA-N 0 0 426.561 -0.948 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001470666106 1074585218 /nfs/dbraw/zinc/58/52/18/1074585218.db2.gz KPOAHDDSMXJTEM-HZSPNIEDSA-N 0 0 426.561 -0.948 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NC[C@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001470666107 1074585238 /nfs/dbraw/zinc/58/52/38/1074585238.db2.gz KPOAHDDSMXJTEM-MELADBBJSA-N 0 0 426.561 -0.948 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C1CCCC1 ZINC001470666108 1074585311 /nfs/dbraw/zinc/58/53/11/1074585311.db2.gz KPOAHDDSMXJTEM-MGPQQGTHSA-N 0 0 426.561 -0.948 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)NCC1(S(=O)(=O)N(C)C)CC1)C1CCCC1 ZINC001470667104 1074585513 /nfs/dbraw/zinc/58/55/13/1074585513.db2.gz PAHMBJRLAXCIOM-AWEZNQCLSA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)NCC1(S(=O)(=O)N(C)C)CC1)C1CCCC1 ZINC001470667107 1074585695 /nfs/dbraw/zinc/58/56/95/1074585695.db2.gz PAHMBJRLAXCIOM-CQSZACIVSA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCN(c2nc(N)ns2)CC1)C1CCCC1 ZINC001470667734 1074585719 /nfs/dbraw/zinc/58/57/19/1074585719.db2.gz SIBVORXZVMXMHT-CYBMUJFWSA-N 0 0 446.603 -0.093 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCN(c2nc(N)ns2)CC1)C1CCCC1 ZINC001470667736 1074585569 /nfs/dbraw/zinc/58/55/69/1074585569.db2.gz SIBVORXZVMXMHT-ZDUSSCGKSA-N 0 0 446.603 -0.093 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCN(c2nncn2C)CC1)C1CCCC1 ZINC001470667815 1074585707 /nfs/dbraw/zinc/58/57/07/1074585707.db2.gz STTANOPKTIVYIN-HNNXBMFYSA-N 0 0 428.563 -0.399 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCN(c2nncn2C)CC1)C1CCCC1 ZINC001470667817 1074585670 /nfs/dbraw/zinc/58/56/70/1074585670.db2.gz STTANOPKTIVYIN-OAHLLOKOSA-N 0 0 428.563 -0.399 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)C[C@H]1C(=O)OC ZINC001470667951 1074585702 /nfs/dbraw/zinc/58/57/02/1074585702.db2.gz BTKQOGSSYLFSKJ-KFWWJZLASA-N 0 0 448.542 -0.455 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)C[C@H]1C(=O)OC ZINC001470667953 1074585525 /nfs/dbraw/zinc/58/55/25/1074585525.db2.gz BTKQOGSSYLFSKJ-QLFBSQMISA-N 0 0 448.542 -0.455 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)C[C@H]1C(=O)OC ZINC001470667954 1074585577 /nfs/dbraw/zinc/58/55/77/1074585577.db2.gz BTKQOGSSYLFSKJ-RBSFLKMASA-N 0 0 448.542 -0.455 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)C[C@H]1C(=O)OC ZINC001470667956 1074585713 /nfs/dbraw/zinc/58/57/13/1074585713.db2.gz BTKQOGSSYLFSKJ-ZNMIVQPWSA-N 0 0 448.542 -0.455 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC(=O)NCCN2C(=O)CCN1CCCS1(=O)=O ZINC001470670312 1074585660 /nfs/dbraw/zinc/58/56/60/1074585660.db2.gz VQSWUSURNXXQBG-UHFFFAOYSA-N 0 0 430.527 -0.250 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1CCNC(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001470670434 1074585611 /nfs/dbraw/zinc/58/56/11/1074585611.db2.gz YEAYRNDAAWYUEZ-UHFFFAOYSA-N 0 0 433.531 -0.937 20 0 IBADRN O=C(NCC12COCCN1CCOC2)c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1 ZINC001470674232 1074585690 /nfs/dbraw/zinc/58/56/90/1074585690.db2.gz NCCAEENAHJKPRS-KRWDZBQOSA-N 0 0 439.534 -0.025 20 0 IBADRN O=C(NCC12COCCN1CCOC2)c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1 ZINC001470674233 1074585622 /nfs/dbraw/zinc/58/56/22/1074585622.db2.gz NCCAEENAHJKPRS-QGZVFWFLSA-N 0 0 439.534 -0.025 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NCC23COCCN2CCOC3)c1 ZINC001470674453 1074585557 /nfs/dbraw/zinc/58/55/57/1074585557.db2.gz OZIGJXLAUUCCLH-UHFFFAOYSA-N 0 0 442.538 -0.904 20 0 IBADRN O=C(NCC12COCCN1CCOC2)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001470674836 1074586040 /nfs/dbraw/zinc/58/60/40/1074586040.db2.gz VACKMBYYQGXFRH-BBWFWOEESA-N 0 0 443.566 -0.687 20 0 IBADRN O=C(NCC12COCCN1CCOC2)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001470674837 1074586062 /nfs/dbraw/zinc/58/60/62/1074586062.db2.gz VACKMBYYQGXFRH-BRWVUGGUSA-N 0 0 443.566 -0.687 20 0 IBADRN O=C(NCC12COCCN1CCOC2)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001470674838 1074585879 /nfs/dbraw/zinc/58/58/79/1074585879.db2.gz VACKMBYYQGXFRH-GVDBMIGSSA-N 0 0 443.566 -0.687 20 0 IBADRN O=C(NCC12COCCN1CCOC2)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001470674839 1074586018 /nfs/dbraw/zinc/58/60/18/1074586018.db2.gz VACKMBYYQGXFRH-ZACQAIPSSA-N 0 0 443.566 -0.687 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCOCC2)cc1C(=O)NCC12COCCN1CCOC2 ZINC001470674846 1074586013 /nfs/dbraw/zinc/58/60/13/1074586013.db2.gz VELKUPOYMXRSIN-UHFFFAOYSA-N 0 0 439.534 -0.153 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCC23COCCN2CCOC3)cc1OC ZINC001470675065 1074586027 /nfs/dbraw/zinc/58/60/27/1074586027.db2.gz VYIYVIYOLBRGOJ-UHFFFAOYSA-N 0 0 429.495 -0.801 20 0 IBADRN C[C@@H](C(=O)NCC12COCCN1CCOC2)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001470676163 1074586058 /nfs/dbraw/zinc/58/60/58/1074586058.db2.gz DRHOPROFKDZNJC-HNNXBMFYSA-N 0 0 426.514 -0.328 20 0 IBADRN C[C@H](C(=O)NCC12COCCN1CCOC2)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001470676170 1074585923 /nfs/dbraw/zinc/58/59/23/1074585923.db2.gz DRHOPROFKDZNJC-OAHLLOKOSA-N 0 0 426.514 -0.328 20 0 IBADRN Cn1c(=O)ccc2c1CCC[C@@H]2NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001470681150 1074586033 /nfs/dbraw/zinc/58/60/33/1074586033.db2.gz NWBKIHKFFJLHNF-HNNXBMFYSA-N 0 0 429.477 -0.235 20 0 IBADRN Cn1c(=O)ccc2c1CCC[C@H]2NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001470681151 1074585894 /nfs/dbraw/zinc/58/58/94/1074585894.db2.gz NWBKIHKFFJLHNF-OAHLLOKOSA-N 0 0 429.477 -0.235 20 0 IBADRN CN1CCn2ncc(C(=O)N[C@@H]3CCCC[C@H]3NS(=O)(=O)C3CC3)c2S1(=O)=O ZINC001470681303 1074585955 /nfs/dbraw/zinc/58/59/55/1074585955.db2.gz FXFAPAGLPORAKQ-ZIAGYGMSSA-N 0 0 431.540 -0.360 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N[C@H]1CCN(S(C)(=O)=O)C1 ZINC001470684428 1074585886 /nfs/dbraw/zinc/58/58/86/1074585886.db2.gz CXBDZPMJXCKJNU-HNNXBMFYSA-N 0 0 425.511 -0.906 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001470684447 1074585846 /nfs/dbraw/zinc/58/58/46/1074585846.db2.gz CXBDZPMJXCKJNU-OAHLLOKOSA-N 0 0 425.511 -0.906 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCO[C@@H](CN3CCOCC3)C2)cc1S(N)(=O)=O ZINC001470684809 1074585906 /nfs/dbraw/zinc/58/59/06/1074585906.db2.gz DQVDPZPFYDPPTK-AWEZNQCLSA-N 0 0 431.486 -0.416 20 0 IBADRN COc1c(F)cc(CC(=O)N2CCO[C@H](CN3CCOCC3)C2)cc1S(N)(=O)=O ZINC001470684814 1074585963 /nfs/dbraw/zinc/58/59/63/1074585963.db2.gz DQVDPZPFYDPPTK-CQSZACIVSA-N 0 0 431.486 -0.416 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)N1CCO[C@H](CN2CCOCC2)C1 ZINC001470685324 1074585998 /nfs/dbraw/zinc/58/59/98/1074585998.db2.gz JDCAMZBGSZPOBV-PBHICJAKSA-N 0 0 438.912 -0.127 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1CCO[C@H](CN2CCOCC2)C1 ZINC001470685326 1074585936 /nfs/dbraw/zinc/58/59/36/1074585936.db2.gz JDCAMZBGSZPOBV-RHSMWYFYSA-N 0 0 438.912 -0.127 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)N1CCO[C@@H](CN2CCOCC2)C1 ZINC001470685327 1074585982 /nfs/dbraw/zinc/58/59/82/1074585982.db2.gz JDCAMZBGSZPOBV-WMLDXEAASA-N 0 0 438.912 -0.127 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)N1CCO[C@@H](CN2CCOCC2)C1 ZINC001470685328 1074586282 /nfs/dbraw/zinc/58/62/82/1074586282.db2.gz JDCAMZBGSZPOBV-YOEHRIQHSA-N 0 0 438.912 -0.127 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC001470685435 1074586333 /nfs/dbraw/zinc/58/63/33/1074586333.db2.gz ICTGQZGBOREIOI-CYBMUJFWSA-N 0 0 431.540 -0.801 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)N2CCN(c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC001470685436 1074586373 /nfs/dbraw/zinc/58/63/73/1074586373.db2.gz ICTGQZGBOREIOI-ZDUSSCGKSA-N 0 0 431.540 -0.801 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC001470686100 1074586393 /nfs/dbraw/zinc/58/63/93/1074586393.db2.gz KYJBAABGYJAXOO-KBPBESRZSA-N 0 0 426.495 -0.356 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)NC[C@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC001470686102 1074586295 /nfs/dbraw/zinc/58/62/95/1074586295.db2.gz KYJBAABGYJAXOO-KGLIPLIRSA-N 0 0 426.495 -0.356 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC001470686104 1074586303 /nfs/dbraw/zinc/58/63/03/1074586303.db2.gz KYJBAABGYJAXOO-UONOGXRCSA-N 0 0 426.495 -0.356 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)NC[C@@H](O)COc2ccc3c(c2)CCC(=O)N3)C1 ZINC001470686106 1074586245 /nfs/dbraw/zinc/58/62/45/1074586245.db2.gz KYJBAABGYJAXOO-ZIAGYGMSSA-N 0 0 426.495 -0.356 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](CN3CCOCC3)C2)cc1 ZINC001470687316 1074586317 /nfs/dbraw/zinc/58/63/17/1074586317.db2.gz XZSASXFPFRITKD-KRWDZBQOSA-N 0 0 439.534 -0.278 20 0 IBADRN CN(C)C(=O)CS(=O)(=O)c1ccc(C(=O)N2CCO[C@H](CN3CCOCC3)C2)cc1 ZINC001470687597 1074586366 /nfs/dbraw/zinc/58/63/66/1074586366.db2.gz XZSASXFPFRITKD-QGZVFWFLSA-N 0 0 439.534 -0.278 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cs1 ZINC001470688227 1074586310 /nfs/dbraw/zinc/58/63/10/1074586310.db2.gz SABPCELTUIUOQZ-GFCCVEGCSA-N 0 0 437.569 -0.499 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(S(C)(=O)=O)C3)CC2)cs1 ZINC001470688238 1074586381 /nfs/dbraw/zinc/58/63/81/1074586381.db2.gz SABPCELTUIUOQZ-LBPRGKRZSA-N 0 0 437.569 -0.499 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(S(C)(=O)=O)C3)CC2)cc1 ZINC001470688570 1074586411 /nfs/dbraw/zinc/58/64/11/1074586411.db2.gz TUCHBEQKHFVCTK-AWEZNQCLSA-N 0 0 446.551 -0.255 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)CC2)cc1 ZINC001470688573 1074586270 /nfs/dbraw/zinc/58/62/70/1074586270.db2.gz TUCHBEQKHFVCTK-CQSZACIVSA-N 0 0 446.551 -0.255 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1ccccc1S(=O)(=O)CCS(C)(=O)=O ZINC001470692916 1074586399 /nfs/dbraw/zinc/58/63/99/1074586399.db2.gz DWLXRHRUNITPBL-UHFFFAOYSA-N 0 0 445.563 -0.649 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)C(=O)c1cccc(S(=O)(=O)N=S(C)(C)=O)c1 ZINC001470694572 1074586342 /nfs/dbraw/zinc/58/63/42/1074586342.db2.gz UVJRFHIMZGMBTO-UHFFFAOYSA-N 0 0 430.552 -0.051 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(C(=O)[C@@H](CC(N)=O)NC(=O)CC(C)C)CCO1 ZINC001470697563 1074586388 /nfs/dbraw/zinc/58/63/88/1074586388.db2.gz DKOLJXUKNPAPGA-JLTOFOAXSA-N 0 0 429.514 -0.022 20 0 IBADRN COC[C@@]1(C(=O)OC(C)(C)C)CN(C(=O)[C@H](CC(N)=O)NC(=O)CC(C)C)CCO1 ZINC001470697565 1074586421 /nfs/dbraw/zinc/58/64/21/1074586421.db2.gz DKOLJXUKNPAPGA-VBKZILBWSA-N 0 0 429.514 -0.022 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(C(=O)[C@@H](CC(N)=O)NC(=O)CC(C)C)CCO1 ZINC001470697567 1074586259 /nfs/dbraw/zinc/58/62/59/1074586259.db2.gz DKOLJXUKNPAPGA-VLIAUNLRSA-N 0 0 429.514 -0.022 20 0 IBADRN COC[C@]1(C(=O)OC(C)(C)C)CN(C(=O)[C@H](CC(N)=O)NC(=O)CC(C)C)CCO1 ZINC001470697569 1074586708 /nfs/dbraw/zinc/58/67/08/1074586708.db2.gz DKOLJXUKNPAPGA-XOBRGWDASA-N 0 0 429.514 -0.022 20 0 IBADRN O=C(NCC[C@]1(O)CCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001470700688 1074586738 /nfs/dbraw/zinc/58/67/38/1074586738.db2.gz GWUTWBJGCYPBDK-IBGZPJMESA-N 0 0 438.506 -0.261 20 0 IBADRN O=C(NCC[C@@]1(O)CCOC1)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001470700696 1074586686 /nfs/dbraw/zinc/58/66/86/1074586686.db2.gz GWUTWBJGCYPBDK-LJQANCHMSA-N 0 0 438.506 -0.261 20 0 IBADRN CCON(C)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)cs2)CC1 ZINC001470704240 1074586726 /nfs/dbraw/zinc/58/67/26/1074586726.db2.gz HNUGQASEGCPZHI-UHFFFAOYSA-N 0 0 448.523 -0.040 20 0 IBADRN CCN1C[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC001470720855 1074586746 /nfs/dbraw/zinc/58/67/46/1074586746.db2.gz NSIVRJXFHCKPJQ-JYJNAYRXSA-N 0 0 431.559 -0.464 20 0 IBADRN CCN1C[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)CC1=O ZINC001470720858 1074586628 /nfs/dbraw/zinc/58/66/28/1074586628.db2.gz NSIVRJXFHCKPJQ-OAGGEKHMSA-N 0 0 431.559 -0.464 20 0 IBADRN CCN1C[C@@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC001470720861 1074586759 /nfs/dbraw/zinc/58/67/59/1074586759.db2.gz NSIVRJXFHCKPJQ-OWCLPIDISA-N 0 0 431.559 -0.464 20 0 IBADRN CCN1C[C@H](CNC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)CC1=O ZINC001470720863 1074586603 /nfs/dbraw/zinc/58/66/03/1074586603.db2.gz NSIVRJXFHCKPJQ-XHSDSOJGSA-N 0 0 431.559 -0.464 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CC(=O)NC2CCN(CC(N)=O)CC2)C1 ZINC001470755190 1074587042 /nfs/dbraw/zinc/58/70/42/1074587042.db2.gz KUIRWINSHUPLEG-AWEZNQCLSA-N 0 0 432.543 -0.524 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CC(=O)NC2CCN(CC(N)=O)CC2)C1 ZINC001470755192 1074587053 /nfs/dbraw/zinc/58/70/53/1074587053.db2.gz KUIRWINSHUPLEG-CQSZACIVSA-N 0 0 432.543 -0.524 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)C2CCN(S(=O)(=O)/C=C/c3ccccc3)CC2)C1 ZINC001470760030 1074587075 /nfs/dbraw/zinc/58/70/75/1074587075.db2.gz CIUZWBHFJYLGEX-DHZHZOJOSA-N 0 0 428.536 -0.297 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)C2CCN(S(=O)(=O)/C=C\c3ccccc3)CC2)C1 ZINC001470760032 1074587012 /nfs/dbraw/zinc/58/70/12/1074587012.db2.gz CIUZWBHFJYLGEX-FLIBITNWSA-N 0 0 428.536 -0.297 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001470761697 1074587132 /nfs/dbraw/zinc/58/71/32/1074587132.db2.gz APCSAHYVWUMXSJ-KBPBESRZSA-N 0 0 442.519 -0.725 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001470761704 1074587082 /nfs/dbraw/zinc/58/70/82/1074587082.db2.gz APCSAHYVWUMXSJ-KGLIPLIRSA-N 0 0 442.519 -0.725 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001470761709 1074586969 /nfs/dbraw/zinc/58/69/69/1074586969.db2.gz APCSAHYVWUMXSJ-UONOGXRCSA-N 0 0 442.519 -0.725 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001470761713 1074586926 /nfs/dbraw/zinc/58/69/26/1074586926.db2.gz APCSAHYVWUMXSJ-ZIAGYGMSSA-N 0 0 442.519 -0.725 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC001470761725 1074587106 /nfs/dbraw/zinc/58/71/06/1074587106.db2.gz ARVIJHGQHKSGES-CVEARBPZSA-N 0 0 428.486 -0.097 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC001470761728 1074587089 /nfs/dbraw/zinc/58/70/89/1074587089.db2.gz ARVIJHGQHKSGES-HOTGVXAUSA-N 0 0 428.486 -0.097 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC001470761730 1074587033 /nfs/dbraw/zinc/58/70/33/1074587033.db2.gz ARVIJHGQHKSGES-HZPDHXFCSA-N 0 0 428.486 -0.097 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC001470761734 1074586896 /nfs/dbraw/zinc/58/68/96/1074586896.db2.gz ARVIJHGQHKSGES-JKSUJKDBSA-N 0 0 428.486 -0.097 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC001470761912 1074587098 /nfs/dbraw/zinc/58/70/98/1074587098.db2.gz CGAJKHYOPXRSQQ-BACDZXNISA-N 0 0 430.571 -0.180 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC001470761914 1074587023 /nfs/dbraw/zinc/58/70/23/1074587023.db2.gz CGAJKHYOPXRSQQ-BHUNQDJPSA-N 0 0 430.571 -0.180 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC001470761916 1074586941 /nfs/dbraw/zinc/58/69/41/1074586941.db2.gz CGAJKHYOPXRSQQ-GQGLESIBSA-N 0 0 430.571 -0.180 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CC(=O)NC2CCC(C)CC2)CC1 ZINC001470761918 1074587122 /nfs/dbraw/zinc/58/71/22/1074587122.db2.gz CGAJKHYOPXRSQQ-SJJHQCBESA-N 0 0 430.571 -0.180 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001470762768 1074587068 /nfs/dbraw/zinc/58/70/68/1074587068.db2.gz HEYVPHODDGUBAS-CVEARBPZSA-N 0 0 446.551 -0.840 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001470762770 1074587060 /nfs/dbraw/zinc/58/70/60/1074587060.db2.gz HEYVPHODDGUBAS-HOTGVXAUSA-N 0 0 446.551 -0.840 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001470762772 1074586984 /nfs/dbraw/zinc/58/69/84/1074586984.db2.gz HEYVPHODDGUBAS-HZPDHXFCSA-N 0 0 446.551 -0.840 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001470762773 1074587113 /nfs/dbraw/zinc/58/71/13/1074587113.db2.gz HEYVPHODDGUBAS-JKSUJKDBSA-N 0 0 446.551 -0.840 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCC(C(=O)N3CC(NS(N)(=O)=O)C3)CC2)cc1 ZINC001470763495 1074587404 /nfs/dbraw/zinc/58/74/04/1074587404.db2.gz MPXSQIYIQYBMAD-UHFFFAOYSA-N 0 0 446.551 -0.510 20 0 IBADRN C[C@H](C(=O)N1CC(NS(N)(=O)=O)C1)N1C(=O)c2ccc(Br)cc2C1=O ZINC001470763529 1074587492 /nfs/dbraw/zinc/58/74/92/1074587492.db2.gz NSOJKGBEPNFHFN-SSDOTTSWSA-N 0 0 431.268 -0.563 20 0 IBADRN C[C@@H](C(=O)N1CC(NS(N)(=O)=O)C1)N1C(=O)c2ccc(Br)cc2C1=O ZINC001470763530 1074587464 /nfs/dbraw/zinc/58/74/64/1074587464.db2.gz NSOJKGBEPNFHFN-ZETCQYMHSA-N 0 0 431.268 -0.563 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001470763604 1074587388 /nfs/dbraw/zinc/58/73/88/1074587388.db2.gz LLRINXHOZHCYKS-FPMFFAJLSA-N 0 0 446.526 -0.682 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001470763605 1074587335 /nfs/dbraw/zinc/58/73/35/1074587335.db2.gz LLRINXHOZHCYKS-IACUBPJLSA-N 0 0 446.526 -0.682 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001470763607 1074587428 /nfs/dbraw/zinc/58/74/28/1074587428.db2.gz LLRINXHOZHCYKS-KWCYVHTRSA-N 0 0 446.526 -0.682 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001470763609 1074587482 /nfs/dbraw/zinc/58/74/82/1074587482.db2.gz LLRINXHOZHCYKS-MRVWCRGKSA-N 0 0 446.526 -0.682 20 0 IBADRN NS(=O)(=O)NC1CN(C(=O)c2sccc2S(=O)(=O)NCc2cccs2)C1 ZINC001470764661 1074587502 /nfs/dbraw/zinc/58/75/02/1074587502.db2.gz VNBOOBGFAIZKSK-UHFFFAOYSA-N 0 0 436.562 -0.094 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001470765473 1074587442 /nfs/dbraw/zinc/58/74/42/1074587442.db2.gz YNQBPILHSGTIRK-DLBZAZTESA-N 0 0 442.513 -0.305 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001470765475 1074587435 /nfs/dbraw/zinc/58/74/35/1074587435.db2.gz YNQBPILHSGTIRK-IAGOWNOFSA-N 0 0 442.513 -0.305 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001470765477 1074587411 /nfs/dbraw/zinc/58/74/11/1074587411.db2.gz YNQBPILHSGTIRK-IRXDYDNUSA-N 0 0 442.513 -0.305 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(CCNC(=O)c2ccccc2F)CC1 ZINC001470765478 1074587321 /nfs/dbraw/zinc/58/73/21/1074587321.db2.gz YNQBPILHSGTIRK-SJORKVTESA-N 0 0 442.513 -0.305 20 0 IBADRN O=C(NCCNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1ccc(Br)cn1 ZINC001470780049 1074587395 /nfs/dbraw/zinc/58/73/95/1074587395.db2.gz CIBUBIFYTXCTKJ-LLVKDONJSA-N 0 0 447.315 -0.882 20 0 IBADRN O=C(NCCNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1ccc(Br)cn1 ZINC001470780050 1074587473 /nfs/dbraw/zinc/58/74/73/1074587473.db2.gz CIBUBIFYTXCTKJ-NSHDSACASA-N 0 0 447.315 -0.882 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001470781256 1074587348 /nfs/dbraw/zinc/58/73/48/1074587348.db2.gz JFXNHXKCDPLIJZ-AWEZNQCLSA-N 0 0 444.330 -0.092 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCCNC(=O)c1ccc(Br)cn1 ZINC001470781257 1074587449 /nfs/dbraw/zinc/58/74/49/1074587449.db2.gz JFXNHXKCDPLIJZ-CQSZACIVSA-N 0 0 444.330 -0.092 20 0 IBADRN COC[C@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C1CCOCC1 ZINC001470782667 1074587299 /nfs/dbraw/zinc/58/72/99/1074587299.db2.gz PGAIHJFKLOZGFK-KRWDZBQOSA-N 0 0 433.575 -0.753 20 0 IBADRN COC[C@@H](NC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C1CCOCC1 ZINC001470782674 1074587369 /nfs/dbraw/zinc/58/73/69/1074587369.db2.gz PGAIHJFKLOZGFK-QGZVFWFLSA-N 0 0 433.575 -0.753 20 0 IBADRN CS(=O)(=O)N1CCN(C(=O)NCCNC(=O)c2ccc(Br)cn2)CC1 ZINC001470783592 1074587746 /nfs/dbraw/zinc/58/77/46/1074587746.db2.gz QPYNQTLJHMKRFW-UHFFFAOYSA-N 0 0 434.316 -0.139 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC([C@H](F)C(N)=O)CC2)s1 ZINC001470783716 1074587448 /nfs/dbraw/zinc/58/74/48/1074587448.db2.gz NZKNQJDJKVHWNZ-HNNXBMFYSA-N 0 0 434.515 -0.276 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCC([C@@H](F)C(N)=O)CC2)s1 ZINC001470783720 1074587419 /nfs/dbraw/zinc/58/74/19/1074587419.db2.gz NZKNQJDJKVHWNZ-OAHLLOKOSA-N 0 0 434.515 -0.276 20 0 IBADRN CN(CCCNC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1)S(C)(=O)=O ZINC001470784566 1074587765 /nfs/dbraw/zinc/58/77/65/1074587765.db2.gz RPRYINGFYKRKHB-UHFFFAOYSA-N 0 0 431.559 -0.587 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc1 ZINC001470805384 1074587818 /nfs/dbraw/zinc/58/78/18/1074587818.db2.gz CBEAWTMYXHIJAZ-BRWVUGGUSA-N 0 0 444.531 -0.294 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc1 ZINC001470805401 1074587811 /nfs/dbraw/zinc/58/78/11/1074587811.db2.gz CBEAWTMYXHIJAZ-IXDOHACOSA-N 0 0 444.531 -0.294 20 0 IBADRN COc1ccc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc1S(=O)(=O)NC1CCCC1 ZINC001470805721 1074587845 /nfs/dbraw/zinc/58/78/45/1074587845.db2.gz JNEUVAZEVHWYTI-ZIAGYGMSSA-N 0 0 432.520 -0.196 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001470805970 1074587714 /nfs/dbraw/zinc/58/77/14/1074587714.db2.gz ZCOQIQNXGVNLGB-PBHICJAKSA-N 0 0 446.595 -0.164 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@@H](C)O)CC1 ZINC001470805971 1074587704 /nfs/dbraw/zinc/58/77/04/1074587704.db2.gz ZCOQIQNXGVNLGB-RHSMWYFYSA-N 0 0 446.595 -0.164 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001470805972 1074587726 /nfs/dbraw/zinc/58/77/26/1074587726.db2.gz ZCOQIQNXGVNLGB-WMLDXEAASA-N 0 0 446.595 -0.164 20 0 IBADRN CCCCNC(=O)CN1CCN(C(=O)[C@@H](NS(=O)(=O)c2cccs2)[C@H](C)O)CC1 ZINC001470805973 1074587853 /nfs/dbraw/zinc/58/78/53/1074587853.db2.gz ZCOQIQNXGVNLGB-YOEHRIQHSA-N 0 0 446.595 -0.164 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001470806275 1074587826 /nfs/dbraw/zinc/58/78/26/1074587826.db2.gz YYADLUKZVUYTEK-KPSASMGESA-N 0 0 448.588 -0.028 20 0 IBADRN CSCC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001470806276 1074587675 /nfs/dbraw/zinc/58/76/75/1074587675.db2.gz YYADLUKZVUYTEK-LWZNZOFQSA-N 0 0 448.588 -0.028 20 0 IBADRN CSCC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001470806277 1074587755 /nfs/dbraw/zinc/58/77/55/1074587755.db2.gz YYADLUKZVUYTEK-XVODRVOUSA-N 0 0 448.588 -0.028 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001470806278 1074587804 /nfs/dbraw/zinc/58/78/04/1074587804.db2.gz YYADLUKZVUYTEK-ZAHOQXQASA-N 0 0 448.588 -0.028 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)N[C@H]2CCN(c3ccc4nncn4n3)C2)CC1 ZINC001470814778 1074587794 /nfs/dbraw/zinc/58/77/94/1074587794.db2.gz UWLVYLJZNCRVEL-INIZCTEOSA-N 0 0 429.529 -0.101 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)N[C@@H]2CCN(c3ccc4nncn4n3)C2)CC1 ZINC001470814782 1074587839 /nfs/dbraw/zinc/58/78/39/1074587839.db2.gz UWLVYLJZNCRVEL-MRXNPFEDSA-N 0 0 429.529 -0.101 20 0 IBADRN COCCN(CCOC)S(=O)(=O)c1ccc(C(=O)N(C)C2CS(=O)(=O)C2)cc1 ZINC001470816828 1074587785 /nfs/dbraw/zinc/58/77/85/1074587785.db2.gz GKPFSYDWHBPJBO-UHFFFAOYSA-N 0 0 434.536 -0.161 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001470817554 1074587776 /nfs/dbraw/zinc/58/77/76/1074587776.db2.gz ZZTODBJUGOMUFP-INIZCTEOSA-N 0 0 433.527 -0.175 20 0 IBADRN O=C(CNS(=O)(=O)c1cccc(F)c1)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001470817556 1074587690 /nfs/dbraw/zinc/58/76/90/1074587690.db2.gz ZZTODBJUGOMUFP-MRXNPFEDSA-N 0 0 433.527 -0.175 20 0 IBADRN CN(C(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C1CS(=O)(=O)C1 ZINC001470818732 1074588090 /nfs/dbraw/zinc/58/80/90/1074588090.db2.gz FVPCZLRZGMZUOF-AWEZNQCLSA-N 0 0 438.549 -0.976 20 0 IBADRN CN(C(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c1ccccc1)C1CS(=O)(=O)C1 ZINC001470818733 1074588044 /nfs/dbraw/zinc/58/80/44/1074588044.db2.gz FVPCZLRZGMZUOF-CQSZACIVSA-N 0 0 438.549 -0.976 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(C[C@@H]3CCCS3(=O)=O)CC2)oc1Cl ZINC001470819485 1074588210 /nfs/dbraw/zinc/58/82/10/1074588210.db2.gz WKBMRRQNJNMAMK-JTQLQIEISA-N 0 0 425.916 -0.085 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCN(C[C@H]3CCCS3(=O)=O)CC2)oc1Cl ZINC001470819486 1074588190 /nfs/dbraw/zinc/58/81/90/1074588190.db2.gz WKBMRRQNJNMAMK-SNVBAGLBSA-N 0 0 425.916 -0.085 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)N[C@H]3C[C@H](C(N)=O)C3)CC2)c1 ZINC001470820292 1074588178 /nfs/dbraw/zinc/58/81/78/1074588178.db2.gz MXQZMZGGXOTKQT-JOCQHMNTSA-N 0 0 426.495 -0.016 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)CN2CCN(S(C)(=O)=O)CC2)c1 ZINC001470835317 1074588237 /nfs/dbraw/zinc/58/82/37/1074588237.db2.gz QKIIBQPCPDIISC-UHFFFAOYSA-N 0 0 434.540 -0.873 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC2CN(S(=O)(=O)N(C)C)C2)C1 ZINC001470836619 1074588221 /nfs/dbraw/zinc/58/82/21/1074588221.db2.gz NWBWXPNOTLPMTO-CYBMUJFWSA-N 0 0 448.571 -0.966 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC2CN(S(=O)(=O)N(C)C)C2)C1 ZINC001470836634 1074588068 /nfs/dbraw/zinc/58/80/68/1074588068.db2.gz NWBWXPNOTLPMTO-ZDUSSCGKSA-N 0 0 448.571 -0.966 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)CCCC3)C1 ZINC001470836944 1074588169 /nfs/dbraw/zinc/58/81/69/1074588169.db2.gz VCKCFJIXZVXXNI-UHFFFAOYSA-N 0 0 444.579 -0.159 20 0 IBADRN CCOc1ccc(C(=O)NCC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1OCC ZINC001470837194 1074588079 /nfs/dbraw/zinc/58/80/79/1074588079.db2.gz YTLBORLVAPGDQR-UHFFFAOYSA-N 0 0 428.511 -0.179 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cn(C3CN(C(=O)OC(C)(C)C)C3)nn2)C1 ZINC001470841664 1074588245 /nfs/dbraw/zinc/58/82/45/1074588245.db2.gz DAZDAHLRNNLDPA-UHFFFAOYSA-N 0 0 429.503 -0.710 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001470842775 1074588200 /nfs/dbraw/zinc/58/82/00/1074588200.db2.gz QTQZJWCLDVXARR-CYBMUJFWSA-N 0 0 426.495 -0.323 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@H](C)C(=O)N(C)OC)c1OC ZINC001470843431 1074588058 /nfs/dbraw/zinc/58/80/58/1074588058.db2.gz VSEJMYZUEMHMJD-GFCCVEGCSA-N 0 0 445.494 -0.137 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)[C@@H]2C[C@@H](O)CN2C(=O)OCc2ccccc2)C1 ZINC001470844653 1074588158 /nfs/dbraw/zinc/58/81/58/1074588158.db2.gz NOZJQKMDNSMZSG-CVEARBPZSA-N 0 0 426.495 -0.635 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cc(S(N)(=O)=O)c(Br)s2)C1 ZINC001470844698 1074588009 /nfs/dbraw/zinc/58/80/09/1074588009.db2.gz OFWCXHFJKHRPCB-UHFFFAOYSA-N 0 0 447.358 -0.622 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)c2cn(CCCCNC(=O)OC(C)(C)C)nn2)C1 ZINC001470844700 1074588034 /nfs/dbraw/zinc/58/80/34/1074588034.db2.gz OICDYTWTGXTFIX-UHFFFAOYSA-N 0 0 445.546 -0.197 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)NCCN1CC[C@H](O)C1 ZINC001470844809 1074588132 /nfs/dbraw/zinc/58/81/32/1074588132.db2.gz QFRHYXXDGVFZMO-GOEBONIOSA-N 0 0 427.523 -0.589 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCCN1CC[C@H](O)C1 ZINC001470844810 1074588100 /nfs/dbraw/zinc/58/81/00/1074588100.db2.gz QFRHYXXDGVFZMO-HOCLYGCPSA-N 0 0 427.523 -0.589 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)C1 ZINC001470845122 1074588019 /nfs/dbraw/zinc/58/80/19/1074588019.db2.gz QYLCYSDIKJPURG-CYBMUJFWSA-N 0 0 433.531 -0.891 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)C1 ZINC001470845123 1074588229 /nfs/dbraw/zinc/58/82/29/1074588229.db2.gz QYLCYSDIKJPURG-ZDUSSCGKSA-N 0 0 433.531 -0.891 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NC[C@@H]2CCC3(CN(C(=O)OC(C)(C)C)C3)O2)C1 ZINC001470846528 1074588514 /nfs/dbraw/zinc/58/85/14/1074588514.db2.gz JPYLPFLGAHILAG-AWEZNQCLSA-N 0 0 447.558 -0.055 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NC[C@H]2CCC3(CN(C(=O)OC(C)(C)C)C3)O2)C1 ZINC001470846531 1074588432 /nfs/dbraw/zinc/58/84/32/1074588432.db2.gz JPYLPFLGAHILAG-CQSZACIVSA-N 0 0 447.558 -0.055 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCO[C@@H](CC(N)=O)C2)C1 ZINC001470849673 1074588651 /nfs/dbraw/zinc/58/86/51/1074588651.db2.gz KQALTGBYIYCEHN-FHSNZYRGSA-N 0 0 431.511 -0.629 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2CS(=O)(=O)C[C@]2(C(=O)N2CCO[C@H](CC(N)=O)C2)C1 ZINC001470849674 1074588504 /nfs/dbraw/zinc/58/85/04/1074588504.db2.gz KQALTGBYIYCEHN-SNUQEOBHSA-N 0 0 431.511 -0.629 20 0 IBADRN NC(=O)C[C@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)CCO1 ZINC001470849740 1074588589 /nfs/dbraw/zinc/58/85/89/1074588589.db2.gz MVXJRRCAROKWHT-KBPBESRZSA-N 0 0 437.474 -0.274 20 0 IBADRN NC(=O)C[C@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)CCO1 ZINC001470849741 1074588525 /nfs/dbraw/zinc/58/85/25/1074588525.db2.gz MVXJRRCAROKWHT-KGLIPLIRSA-N 0 0 437.474 -0.274 20 0 IBADRN NC(=O)C[C@@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@@H]2CCCO2)C3=O)CCO1 ZINC001470849742 1074588417 /nfs/dbraw/zinc/58/84/17/1074588417.db2.gz MVXJRRCAROKWHT-UONOGXRCSA-N 0 0 437.474 -0.274 20 0 IBADRN NC(=O)C[C@@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)N(C[C@H]2CCCO2)C3=O)CCO1 ZINC001470849743 1074588462 /nfs/dbraw/zinc/58/84/62/1074588462.db2.gz MVXJRRCAROKWHT-ZIAGYGMSSA-N 0 0 437.474 -0.274 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001470851237 1074588627 /nfs/dbraw/zinc/58/86/27/1074588627.db2.gz DWMIIDBIQUSZQC-HNNXBMFYSA-N 0 0 447.535 -0.666 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001470851238 1074588559 /nfs/dbraw/zinc/58/85/59/1074588559.db2.gz DWMIIDBIQUSZQC-OAHLLOKOSA-N 0 0 447.535 -0.666 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)CC1 ZINC001470851676 1074588482 /nfs/dbraw/zinc/58/84/82/1074588482.db2.gz HAKMGJIKOIXQNA-GPANFISMSA-N 0 0 435.543 -0.899 20 0 IBADRN COCCOCC(=O)NC1CCC(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)CC1 ZINC001470851678 1074588494 /nfs/dbraw/zinc/58/84/94/1074588494.db2.gz HAKMGJIKOIXQNA-UYSNPLJNSA-N 0 0 435.543 -0.899 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC001470851720 1074588531 /nfs/dbraw/zinc/58/85/31/1074588531.db2.gz HPAHGRODUOTJPR-CYBMUJFWSA-N 0 0 426.426 -0.736 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)C1=NN(c2ccc(F)cc2)C(=O)CC1=O ZINC001470851723 1074588599 /nfs/dbraw/zinc/58/85/99/1074588599.db2.gz HPAHGRODUOTJPR-ZDUSSCGKSA-N 0 0 426.426 -0.736 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3COc4cc(F)ccc4C3)CC2)CC1 ZINC001470851803 1074588579 /nfs/dbraw/zinc/58/85/79/1074588579.db2.gz CATHKXYFUITZAF-KRWDZBQOSA-N 0 0 441.529 -0.051 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3COc4cc(F)ccc4C3)CC2)CC1 ZINC001470851806 1074588635 /nfs/dbraw/zinc/58/86/35/1074588635.db2.gz CATHKXYFUITZAF-QGZVFWFLSA-N 0 0 441.529 -0.051 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)c1cccc(S(=O)(=O)NCC2CC2)c1 ZINC001470853791 1074588470 /nfs/dbraw/zinc/58/84/70/1074588470.db2.gz TWYMTIJEFXMSAC-HNNXBMFYSA-N 0 0 431.536 -0.235 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)c1cccc(S(=O)(=O)NCC2CC2)c1 ZINC001470853793 1074588570 /nfs/dbraw/zinc/58/85/70/1074588570.db2.gz TWYMTIJEFXMSAC-OAHLLOKOSA-N 0 0 431.536 -0.235 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)NCC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1C ZINC001470854277 1074588448 /nfs/dbraw/zinc/58/84/48/1074588448.db2.gz UVYLFDCHMKPGCY-INIZCTEOSA-N 0 0 447.579 -0.025 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)NCC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1C ZINC001470854279 1074588642 /nfs/dbraw/zinc/58/86/42/1074588642.db2.gz UVYLFDCHMKPGCY-MRXNPFEDSA-N 0 0 447.579 -0.025 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001470860948 1074588949 /nfs/dbraw/zinc/58/89/49/1074588949.db2.gz QWFJXWDILMWMOB-UHFFFAOYSA-N 0 0 443.404 -0.216 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001470861406 1074588887 /nfs/dbraw/zinc/58/88/87/1074588887.db2.gz WPCCEQINUHQBPE-UHFFFAOYSA-N 0 0 428.393 -0.622 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CCN2)cn1 ZINC001470881895 1074588915 /nfs/dbraw/zinc/58/89/15/1074588915.db2.gz VHAWHHHVXNAULT-CVEARBPZSA-N 0 0 427.914 -0.114 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CCN2)cn1 ZINC001470881897 1074588814 /nfs/dbraw/zinc/58/88/14/1074588814.db2.gz VHAWHHHVXNAULT-HOTGVXAUSA-N 0 0 427.914 -0.114 20 0 IBADRN Cn1cc([C@H]2CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CCN2)cn1 ZINC001470881899 1074588959 /nfs/dbraw/zinc/58/89/59/1074588959.db2.gz VHAWHHHVXNAULT-HZPDHXFCSA-N 0 0 427.914 -0.114 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@@H](CO)NS(=O)(=O)c3ccc(Cl)cc3)CCN2)cn1 ZINC001470881901 1074588853 /nfs/dbraw/zinc/58/88/53/1074588853.db2.gz VHAWHHHVXNAULT-JKSUJKDBSA-N 0 0 427.914 -0.114 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)NCCCN1CCCS1(=O)=O ZINC001470902418 1074588879 /nfs/dbraw/zinc/58/88/79/1074588879.db2.gz JFPMDOAMTURVKN-AWEZNQCLSA-N 0 0 430.914 -0.144 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)NCCCN1CCCS1(=O)=O ZINC001470902425 1074588827 /nfs/dbraw/zinc/58/88/27/1074588827.db2.gz JFPMDOAMTURVKN-CQSZACIVSA-N 0 0 430.914 -0.144 20 0 IBADRN CS(=O)(=O)NCCC(=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001470933115 1074588843 /nfs/dbraw/zinc/58/88/43/1074588843.db2.gz CRJANGGAXZTYGD-UHFFFAOYSA-N 0 0 439.279 -0.331 20 0 IBADRN O=C([C@@H]1CC(=O)NC(=O)N1)N1CCN(c2ncc(I)cn2)CC1 ZINC001470933499 1074588803 /nfs/dbraw/zinc/58/88/03/1074588803.db2.gz FLAGZZZISXKLPS-VIFPVBQESA-N 0 0 430.206 -0.672 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCN(c2ncc(I)cn2)CC1 ZINC001470933926 1074588871 /nfs/dbraw/zinc/58/88/71/1074588871.db2.gz IZTRNYZTSCNVQE-UHFFFAOYSA-N 0 0 430.250 -0.838 20 0 IBADRN CN(CC(=O)N1CCN(c2ncc(I)cn2)CC1)S(C)(=O)=O ZINC001470934761 1074588864 /nfs/dbraw/zinc/58/88/64/1074588864.db2.gz LMYGWBPKQWBFFG-UHFFFAOYSA-N 0 0 439.279 -0.379 20 0 IBADRN O=C(C[C@H]1CC(=O)NC1=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001470935213 1074588835 /nfs/dbraw/zinc/58/88/35/1074588835.db2.gz NTXPNIMGJMQEKE-SECBINFHSA-N 0 0 429.218 -0.217 20 0 IBADRN O=C(C[C@@H]1CC(=O)NC1=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001470935222 1074588896 /nfs/dbraw/zinc/58/88/96/1074588896.db2.gz NTXPNIMGJMQEKE-VIFPVBQESA-N 0 0 429.218 -0.217 20 0 IBADRN O=C([C@@H]1CSCC(=O)N1)N1CCN(c2ncc(I)cn2)CC1 ZINC001470937520 1074589356 /nfs/dbraw/zinc/58/93/56/1074589356.db2.gz ORCFUAKSSUPDGW-JTQLQIEISA-N 0 0 433.275 -0.039 20 0 IBADRN CCOc1ccc(S(=O)(=O)N2CCN(C)CC2)cc1C(=O)NCCOCC(=O)OC ZINC001470939201 1074589335 /nfs/dbraw/zinc/58/93/35/1074589335.db2.gz VCLHYRXUNOZERM-UHFFFAOYSA-N 0 0 443.522 -0.059 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)[C@H]1CCCCN(C)C1=O ZINC001470953983 1074589347 /nfs/dbraw/zinc/58/93/47/1074589347.db2.gz OQNMKHWYWYXINZ-HNNXBMFYSA-N 0 0 431.536 -0.162 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1cccc(S(C)(=O)=O)c1)[C@@H]1CCCCN(C)C1=O ZINC001470953984 1074589323 /nfs/dbraw/zinc/58/93/23/1074589323.db2.gz OQNMKHWYWYXINZ-OAHLLOKOSA-N 0 0 431.536 -0.162 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCC[C@H](N(CCO)C(=O)OC(C)(C)C)C2)C1 ZINC001470963452 1074589189 /nfs/dbraw/zinc/58/91/89/1074589189.db2.gz BDKDFPUOGHIGJA-HNNXBMFYSA-N 0 0 449.574 -0.120 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCC[C@@H](N(CCO)C(=O)OC(C)(C)C)C2)C1 ZINC001470963453 1074589414 /nfs/dbraw/zinc/58/94/14/1074589414.db2.gz BDKDFPUOGHIGJA-OAHLLOKOSA-N 0 0 449.574 -0.120 20 0 IBADRN Cc1ccccc1CN1CCO[C@H](CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)C1 ZINC001470963825 1074589291 /nfs/dbraw/zinc/58/92/91/1074589291.db2.gz CPBGGEKEYRHTNC-GOSISDBHSA-N 0 0 425.555 -0.014 20 0 IBADRN Cc1ccccc1CN1CCO[C@@H](CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)C1 ZINC001470963866 1074589248 /nfs/dbraw/zinc/58/92/48/1074589248.db2.gz CPBGGEKEYRHTNC-SFHVURJKSA-N 0 0 425.555 -0.014 20 0 IBADRN CCOC(=O)c1csc(N2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)n1 ZINC001470964713 1074589278 /nfs/dbraw/zinc/58/92/78/1074589278.db2.gz HKYFNARHCBDBOY-UHFFFAOYSA-N 0 0 446.555 -0.358 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C(=O)Cc3ccccc3F)CC2)C1 ZINC001470964721 1074589442 /nfs/dbraw/zinc/58/94/42/1074589442.db2.gz HNTYXQOFSPYQNB-UHFFFAOYSA-N 0 0 427.502 -0.287 20 0 IBADRN COc1cccc(OCCN2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)c1 ZINC001470964994 1074589389 /nfs/dbraw/zinc/58/93/89/1074589389.db2.gz JYFFLDKTKWPIER-UHFFFAOYSA-N 0 0 441.554 -0.108 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001470965080 1074589405 /nfs/dbraw/zinc/58/94/05/1074589405.db2.gz NFPYQIZJOSSIPQ-CYBMUJFWSA-N 0 0 442.494 -0.118 20 0 IBADRN COc1ccccc1C(=O)N1CCN(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)CC1 ZINC001470965431 1074589238 /nfs/dbraw/zinc/58/92/38/1074589238.db2.gz SWOCXJXBWIPUKO-UHFFFAOYSA-N 0 0 425.511 -0.347 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC001470965522 1074589469 /nfs/dbraw/zinc/58/94/69/1074589469.db2.gz WDVNICYDPIFHKJ-UHFFFAOYSA-N 0 0 445.567 -0.666 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C)C[C@@H]2CNC(=O)OC(C)(C)C)C1 ZINC001470965774 1074589422 /nfs/dbraw/zinc/58/94/22/1074589422.db2.gz YEUKMXRTLSPWPT-AWEZNQCLSA-N 0 0 434.563 -0.673 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C)C[C@H]2CNC(=O)OC(C)(C)C)C1 ZINC001470965777 1074589449 /nfs/dbraw/zinc/58/94/49/1074589449.db2.gz YEUKMXRTLSPWPT-CQSZACIVSA-N 0 0 434.563 -0.673 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(Cc3nc4ccccc4c(=O)[nH]3)CC2)C1 ZINC001470965827 1074589722 /nfs/dbraw/zinc/58/97/22/1074589722.db2.gz YSFBKYJLUJXVOS-UHFFFAOYSA-N 0 0 449.537 -0.347 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N(O)CCCN2C(=O)c3ccccc3C2=O)C1 ZINC001470965895 1074589840 /nfs/dbraw/zinc/58/98/40/1074589840.db2.gz YYWJLISWIMKLCL-UHFFFAOYSA-N 0 0 425.467 -0.436 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCC[C@](CO)(NC(=O)OC(C)(C)C)C2)C1 ZINC001470965939 1074589823 /nfs/dbraw/zinc/58/98/23/1074589823.db2.gz ZZBHJKXLWBEYPL-KRWDZBQOSA-N 0 0 435.547 -0.462 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCC[C@@](CO)(NC(=O)OC(C)(C)C)C2)C1 ZINC001470965940 1074589848 /nfs/dbraw/zinc/58/98/48/1074589848.db2.gz ZZBHJKXLWBEYPL-QGZVFWFLSA-N 0 0 435.547 -0.462 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(C4CCC4)C3=O)CC2)C[C@@H](C)O1 ZINC001470968938 1074589742 /nfs/dbraw/zinc/58/97/42/1074589742.db2.gz MSEFWGGRFNWOAK-BFYDXBDKSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCN(C4CCC4)C3=O)CC2)C[C@H](C)O1 ZINC001470968939 1074589680 /nfs/dbraw/zinc/58/96/80/1074589680.db2.gz MSEFWGGRFNWOAK-HLLBOEOZSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(C4CCC4)C3=O)CC2)C[C@@H](C)O1 ZINC001470968940 1074589782 /nfs/dbraw/zinc/58/97/82/1074589782.db2.gz MSEFWGGRFNWOAK-INMHGKMJSA-N 0 0 443.570 -0.179 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCN(C4CCC4)C3=O)CC2)C[C@H](C)O1 ZINC001470968941 1074589690 /nfs/dbraw/zinc/58/96/90/1074589690.db2.gz MSEFWGGRFNWOAK-UXLLHSPISA-N 0 0 443.570 -0.179 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC001470982495 1074589701 /nfs/dbraw/zinc/58/97/01/1074589701.db2.gz HTDZAPBGETWHQG-STQMWFEESA-N 0 0 434.449 -0.051 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N(C)CCNS(=O)(=O)c1ccc(C)cc1 ZINC001470984075 1074589731 /nfs/dbraw/zinc/58/97/31/1074589731.db2.gz ZDJQAEHRSALTEC-KBPBESRZSA-N 0 0 428.511 -0.019 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)C[C@@]2(O)CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001470993623 1074589831 /nfs/dbraw/zinc/58/98/31/1074589831.db2.gz GPPDFLIAGNXYRM-FQEVSTJZSA-N 0 0 426.514 -0.055 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)C[C@]2(O)CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001470993624 1074589854 /nfs/dbraw/zinc/58/98/54/1074589854.db2.gz GPPDFLIAGNXYRM-HXUWFJFHSA-N 0 0 426.514 -0.055 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2cnc3c(c2)c(=O)[nH]c(=O)n3C2CC2)CC1 ZINC001470993655 1074589774 /nfs/dbraw/zinc/58/97/74/1074589774.db2.gz HVHAZROUAQIDAI-UHFFFAOYSA-N 0 0 428.449 -0.357 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001470994069 1074589711 /nfs/dbraw/zinc/58/97/11/1074589711.db2.gz LBKJCFGYEHVVGM-UHFFFAOYSA-N 0 0 426.495 -0.700 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CN2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001470994181 1074589766 /nfs/dbraw/zinc/58/97/66/1074589766.db2.gz LRYIAAIMKRYDTP-UHFFFAOYSA-N 0 0 425.530 -0.264 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Cc2c(C)nc(-c3ccncc3)[nH]c2=O)CC1 ZINC001470994716 1074589807 /nfs/dbraw/zinc/58/98/07/1074589807.db2.gz RDLJNMBSLRBFDZ-UHFFFAOYSA-N 0 0 426.477 -0.120 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)c2ccc(F)c(S(=O)(=O)N(C)C)c2)CC1 ZINC001470994928 1074589649 /nfs/dbraw/zinc/58/96/49/1074589649.db2.gz SXBYXMULPBLLQE-UHFFFAOYSA-N 0 0 428.486 -0.113 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)CNS(=O)(=O)c2ccc(Cl)s2)CC1 ZINC001470995692 1074590131 /nfs/dbraw/zinc/59/01/31/1074590131.db2.gz ZZJUCMUQKFEQMP-UHFFFAOYSA-N 0 0 436.943 -0.123 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCc2cnc(Br)cn2)CC1 ZINC001470999113 1074590102 /nfs/dbraw/zinc/59/01/02/1074590102.db2.gz QWAVFVWTVJQREM-UHFFFAOYSA-N 0 0 427.303 -0.075 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)c2cccc(CN3CCS(=O)(=O)CC3)c2)CC1 ZINC001470999251 1074590037 /nfs/dbraw/zinc/59/00/37/1074590037.db2.gz BOKPXTMAILFERQ-UHFFFAOYSA-N 0 0 430.552 -0.685 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)CNS(=O)(=O)c2cccc(C(F)(F)F)c2)CC1 ZINC001470999263 1074590027 /nfs/dbraw/zinc/59/00/27/1074590027.db2.gz BZWVDRLBQQVFDK-UHFFFAOYSA-N 0 0 444.457 -0.232 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)Cn2cnc3ccc(Br)cc3c2=O)CC1 ZINC001470999664 1074590044 /nfs/dbraw/zinc/59/00/44/1074590044.db2.gz HSTZOYZIRQPBRG-UHFFFAOYSA-N 0 0 444.311 -0.057 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCC(NS(N)(=O)=O)CC3)n(C)c2)C1 ZINC001470999931 1074590094 /nfs/dbraw/zinc/59/00/94/1074590094.db2.gz MSLZGSWMBMMKBI-CYBMUJFWSA-N 0 0 447.583 -0.157 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCC(NS(N)(=O)=O)CC3)n(C)c2)C1 ZINC001470999932 1074589997 /nfs/dbraw/zinc/58/99/97/1074589997.db2.gz MSLZGSWMBMMKBI-ZDUSSCGKSA-N 0 0 447.583 -0.157 20 0 IBADRN Cc1nn(C)c(C)c1C(=O)NC1(C(=O)N2CCC(NS(N)(=O)=O)CC2)CCCC1 ZINC001471000412 1074590071 /nfs/dbraw/zinc/59/00/71/1074590071.db2.gz SSQPZBIRVCXDJV-UHFFFAOYSA-N 0 0 426.543 -0.136 20 0 IBADRN COc1ccc(N2C[C@H](C(=O)N3CCC(NS(N)(=O)=O)CC3)CC2=O)c(OC)c1 ZINC001471000434 1074590171 /nfs/dbraw/zinc/59/01/71/1074590171.db2.gz SZOPGNSXJPXYTI-GFCCVEGCSA-N 0 0 426.495 -0.159 20 0 IBADRN COc1ccc(N2C[C@@H](C(=O)N3CCC(NS(N)(=O)=O)CC3)CC2=O)c(OC)c1 ZINC001471000436 1074590162 /nfs/dbraw/zinc/59/01/62/1074590162.db2.gz SZOPGNSXJPXYTI-LBPRGKRZSA-N 0 0 426.495 -0.159 20 0 IBADRN NS(=O)(=O)NC1CCN(C(=O)CCNS(=O)(=O)c2ccc(F)c(F)c2)CC1 ZINC001471000706 1074590111 /nfs/dbraw/zinc/59/01/11/1074590111.db2.gz XUBQUKLMPWMCPT-UHFFFAOYSA-N 0 0 426.467 -0.583 20 0 IBADRN COC(=O)COCCNC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001471000860 1074589986 /nfs/dbraw/zinc/58/99/86/1074589986.db2.gz BDUKVJRXOBEJRA-OLZOCXBDSA-N 0 0 435.499 -0.396 20 0 IBADRN COC(=O)COCCNC(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC001471002868 1074590007 /nfs/dbraw/zinc/59/00/07/1074590007.db2.gz SKLQBXGYNJCKDA-INIZCTEOSA-N 0 0 436.513 -0.259 20 0 IBADRN COC(=O)COCCNC(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1 ZINC001471002869 1074590054 /nfs/dbraw/zinc/59/00/54/1074590054.db2.gz SKLQBXGYNJCKDA-MRXNPFEDSA-N 0 0 436.513 -0.259 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H](CO)NC(=O)OCc1ccccc1 ZINC001471010953 1074590086 /nfs/dbraw/zinc/59/00/86/1074590086.db2.gz CLZUFESNGIPMBJ-CABCVRRESA-N 0 0 428.463 -0.538 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)[C@H](CO)NC(=O)OCc1ccccc1 ZINC001471010954 1074590062 /nfs/dbraw/zinc/59/00/62/1074590062.db2.gz CLZUFESNGIPMBJ-GJZGRUSLSA-N 0 0 428.463 -0.538 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H](CO)NC(=O)OCc1ccccc1 ZINC001471010955 1074590079 /nfs/dbraw/zinc/59/00/79/1074590079.db2.gz CLZUFESNGIPMBJ-HUUCEWRRSA-N 0 0 428.463 -0.538 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H](CO)NC(=O)OCc1ccccc1 ZINC001471010956 1074590016 /nfs/dbraw/zinc/59/00/16/1074590016.db2.gz CLZUFESNGIPMBJ-LSDHHAIUSA-N 0 0 428.463 -0.538 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1F ZINC001471014565 1074590151 /nfs/dbraw/zinc/59/01/51/1074590151.db2.gz WAKOURYEBDVGOK-LLVKDONJSA-N 0 0 436.483 -0.122 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(S(=O)(=O)N(C)C)ccc1F ZINC001471014566 1074590121 /nfs/dbraw/zinc/59/01/21/1074590121.db2.gz WAKOURYEBDVGOK-NSHDSACASA-N 0 0 436.483 -0.122 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001471022212 1074590348 /nfs/dbraw/zinc/59/03/48/1074590348.db2.gz XOGAGJWNXVTTAA-UHFFFAOYSA-N 0 0 434.540 -0.554 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1CCN(c2ccc(NS(C)(=O)=O)cc2)CC1 ZINC001471022874 1074590450 /nfs/dbraw/zinc/59/04/50/1074590450.db2.gz CSEGCPQAWXBVDO-UHFFFAOYSA-N 0 0 433.556 -0.171 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001471027271 1074590386 /nfs/dbraw/zinc/59/03/86/1074590386.db2.gz UALAMDNYBGPDGJ-AUUYWEPGSA-N 0 0 428.486 -0.451 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001471027273 1074590479 /nfs/dbraw/zinc/59/04/79/1074590479.db2.gz UALAMDNYBGPDGJ-IFXJQAMLSA-N 0 0 428.486 -0.451 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001471027274 1074590438 /nfs/dbraw/zinc/59/04/38/1074590438.db2.gz UALAMDNYBGPDGJ-KUHUBIRLSA-N 0 0 428.486 -0.451 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001471027276 1074590335 /nfs/dbraw/zinc/59/03/35/1074590335.db2.gz UALAMDNYBGPDGJ-LIRRHRJNSA-N 0 0 428.486 -0.451 20 0 IBADRN C[C@]12CN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)C[C@@]1(C)C(=O)NC2=O ZINC001471028196 1074590395 /nfs/dbraw/zinc/59/03/95/1074590395.db2.gz ADOYMSXUDYXTQF-CALCHBBNSA-N 0 0 427.504 -0.106 20 0 IBADRN C[C@]12CN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)C[C@]1(C)C(=O)NC2=O ZINC001471028197 1074590361 /nfs/dbraw/zinc/59/03/61/1074590361.db2.gz ADOYMSXUDYXTQF-IAGOWNOFSA-N 0 0 427.504 -0.106 20 0 IBADRN C[C@@]12CN(C(=O)c3sccc3S(=O)(=O)N3CCOCC3)C[C@@]1(C)C(=O)NC2=O ZINC001471028198 1074590508 /nfs/dbraw/zinc/59/05/08/1074590508.db2.gz ADOYMSXUDYXTQF-IRXDYDNUSA-N 0 0 427.504 -0.106 20 0 IBADRN C[C@]12CN(C(=O)Cc3ccc(S(=O)(=O)N4CCOCC4)s3)C[C@@]1(C)C(=O)NC2=O ZINC001471028643 1074590325 /nfs/dbraw/zinc/59/03/25/1074590325.db2.gz CRSWCKJODWDGFI-HDICACEKSA-N 0 0 441.531 -0.177 20 0 IBADRN C[C@]12CN(C(=O)Cc3ccc(S(=O)(=O)N4CCOCC4)s3)C[C@]1(C)C(=O)NC2=O ZINC001471028644 1074590414 /nfs/dbraw/zinc/59/04/14/1074590414.db2.gz CRSWCKJODWDGFI-QZTJIDSGSA-N 0 0 441.531 -0.177 20 0 IBADRN C[C@@]12CN(C(=O)Cc3ccc(S(=O)(=O)N4CCOCC4)s3)C[C@@]1(C)C(=O)NC2=O ZINC001471028645 1074590402 /nfs/dbraw/zinc/59/04/02/1074590402.db2.gz CRSWCKJODWDGFI-ROUUACIJSA-N 0 0 441.531 -0.177 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCC(CC(=O)N2CCN(C)CC2)CC1 ZINC001471029409 1074590461 /nfs/dbraw/zinc/59/04/61/1074590461.db2.gz BGZCALMAYCHFEH-UHFFFAOYSA-N 0 0 425.530 -0.359 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001471030053 1074590515 /nfs/dbraw/zinc/59/05/15/1074590515.db2.gz KNGUIAVPMHNVND-UHFFFAOYSA-N 0 0 440.522 -0.087 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(CC(=O)NC2CCCCC2)CC1 ZINC001471030392 1074590311 /nfs/dbraw/zinc/59/03/11/1074590311.db2.gz PLYSXIPXXWUQJY-UHFFFAOYSA-N 0 0 425.530 -0.168 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC001471030770 1074590523 /nfs/dbraw/zinc/59/05/23/1074590523.db2.gz WRCCGIVMRGEUBJ-INIZCTEOSA-N 0 0 425.530 -0.216 20 0 IBADRN COC(=O)CCNC(=O)CCNC(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC001471030771 1074590375 /nfs/dbraw/zinc/59/03/75/1074590375.db2.gz WRCCGIVMRGEUBJ-MRXNPFEDSA-N 0 0 425.530 -0.216 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)c1 ZINC001471032132 1074590488 /nfs/dbraw/zinc/59/04/88/1074590488.db2.gz WVMMPQPPYNNPOP-KDURUIRLSA-N 0 0 438.506 -0.610 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)c1 ZINC001471032137 1074590498 /nfs/dbraw/zinc/59/04/98/1074590498.db2.gz WVMMPQPPYNNPOP-OALUTQOASA-N 0 0 438.506 -0.610 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)c1 ZINC001471032138 1074590425 /nfs/dbraw/zinc/59/04/25/1074590425.db2.gz WVMMPQPPYNNPOP-RTBURBONSA-N 0 0 438.506 -0.610 20 0 IBADRN Cn1ncc(Br)c1CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001471053706 1074590711 /nfs/dbraw/zinc/59/07/11/1074590711.db2.gz PSUWGJFYQSGJAG-UHFFFAOYSA-N 0 0 429.319 -0.131 20 0 IBADRN O=C(N[C@H](CO)[C@@H](O)c1ccccn1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001471072495 1074590718 /nfs/dbraw/zinc/59/07/18/1074590718.db2.gz RPNBESIMMVRKJY-CVEARBPZSA-N 0 0 426.520 -0.029 20 0 IBADRN O=C(N[C@@H](CO)[C@@H](O)c1ccccn1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001471072507 1074590804 /nfs/dbraw/zinc/59/08/04/1074590804.db2.gz RPNBESIMMVRKJY-HOTGVXAUSA-N 0 0 426.520 -0.029 20 0 IBADRN O=C(N[C@H](CO)[C@H](O)c1ccccn1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001471072510 1074590696 /nfs/dbraw/zinc/59/06/96/1074590696.db2.gz RPNBESIMMVRKJY-HZPDHXFCSA-N 0 0 426.520 -0.029 20 0 IBADRN O=C(N[C@@H](CO)[C@H](O)c1ccccn1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC001471072513 1074590748 /nfs/dbraw/zinc/59/07/48/1074590748.db2.gz RPNBESIMMVRKJY-JKSUJKDBSA-N 0 0 426.520 -0.029 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H](O)c1cccnc1 ZINC001471076676 1074590680 /nfs/dbraw/zinc/59/06/80/1074590680.db2.gz VHXWOBFYSMCWES-BHIYHBOVSA-N 0 0 434.493 -0.656 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H](O)c1cccnc1 ZINC001471076679 1074590822 /nfs/dbraw/zinc/59/08/22/1074590822.db2.gz VHXWOBFYSMCWES-QRQLOZEOSA-N 0 0 434.493 -0.656 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@H](O)c1cccnc1 ZINC001471076681 1074590762 /nfs/dbraw/zinc/59/07/62/1074590762.db2.gz VHXWOBFYSMCWES-QXAKKESOSA-N 0 0 434.493 -0.656 20 0 IBADRN CCOC(=O)[C@H](NC(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1)[C@@H](O)c1cccnc1 ZINC001471076683 1074590726 /nfs/dbraw/zinc/59/07/26/1074590726.db2.gz VHXWOBFYSMCWES-UHOSZYNNSA-N 0 0 434.493 -0.656 20 0 IBADRN CN1CCOC[C@@H]1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001471078745 1074590850 /nfs/dbraw/zinc/59/08/50/1074590850.db2.gz UKUMZAIDZOWKRF-HNNXBMFYSA-N 0 0 440.522 -0.196 20 0 IBADRN CN1CCOC[C@H]1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001471078746 1074590838 /nfs/dbraw/zinc/59/08/38/1074590838.db2.gz UKUMZAIDZOWKRF-OAHLLOKOSA-N 0 0 440.522 -0.196 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCc2ccc(CN3CCNC3=O)cc2)CC1 ZINC001471088202 1074590704 /nfs/dbraw/zinc/59/07/04/1074590704.db2.gz IPIHGXWEPBZXSJ-UHFFFAOYSA-N 0 0 432.525 -0.198 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@H]3CC[C@@H](C2)[S@@]3=O)c1 ZINC001471090714 1074590779 /nfs/dbraw/zinc/59/07/79/1074590779.db2.gz VOQJCFOLGSTJNZ-UXGGDIESSA-N 0 0 434.561 -0.120 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CC[C@H]3CC[C@@H](C2)[S@]3=O)c1 ZINC001471090717 1074590743 /nfs/dbraw/zinc/59/07/43/1074590743.db2.gz VOQJCFOLGSTJNZ-OCDDVZBJSA-N 0 0 434.561 -0.120 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2CCN(S(=O)(=O)N(C)C)CC2)cc1 ZINC001471091678 1074590796 /nfs/dbraw/zinc/59/07/96/1074590796.db2.gz PFMTVJUHABYREN-UHFFFAOYSA-N 0 0 433.556 -0.729 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2CCN(C(=O)C(OC)OC)CC2)cc1 ZINC001471091692 1074590735 /nfs/dbraw/zinc/59/07/35/1074590735.db2.gz PTAFASRXIVJPKU-UHFFFAOYSA-N 0 0 428.511 -0.390 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001471097859 1074590857 /nfs/dbraw/zinc/59/08/57/1074590857.db2.gz BCLOESKJFCWEEE-LAUBAEHRSA-N 0 0 429.477 -0.248 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]c2ccccc12)NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001471097865 1074590784 /nfs/dbraw/zinc/59/07/84/1074590784.db2.gz BCLOESKJFCWEEE-UWJYYQICSA-N 0 0 429.477 -0.248 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2C[C@](C)(CO)[C@](C)(CO)C2)cc1 ZINC001471097882 1074590812 /nfs/dbraw/zinc/59/08/12/1074590812.db2.gz CXXLHTNEJHFORJ-KDURUIRLSA-N 0 0 428.507 -0.019 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)NC[C@@]13COCCN1C(=O)COC3)CCO2 ZINC001471097964 1074591201 /nfs/dbraw/zinc/59/12/01/1074591201.db2.gz CNZYSQIHXILYFB-IBGZPJMESA-N 0 0 440.497 -0.355 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)NC[C@]13COCCN1C(=O)COC3)CCO2 ZINC001471097965 1074591034 /nfs/dbraw/zinc/59/10/34/1074591034.db2.gz CNZYSQIHXILYFB-LJQANCHMSA-N 0 0 440.497 -0.355 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)NC[C@@]23COCCN2C(=O)COC3)CCC1 ZINC001471098721 1074591175 /nfs/dbraw/zinc/59/11/75/1074591175.db2.gz GRBYCCOVJRLEJE-KRWDZBQOSA-N 0 0 432.543 -0.446 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)NC[C@]23COCCN2C(=O)COC3)CCC1 ZINC001471098722 1074591092 /nfs/dbraw/zinc/59/10/92/1074591092.db2.gz GRBYCCOVJRLEJE-QGZVFWFLSA-N 0 0 432.543 -0.446 20 0 IBADRN CC(C)(C)OC(=O)COCCOCCNC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001471098752 1074591103 /nfs/dbraw/zinc/59/11/03/1074591103.db2.gz HILHZZCNWVYDGG-IBGZPJMESA-N 0 0 431.486 -0.712 20 0 IBADRN CC(C)(C)OC(=O)COCCOCCNC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001471098753 1074591064 /nfs/dbraw/zinc/59/10/64/1074591064.db2.gz HILHZZCNWVYDGG-LJQANCHMSA-N 0 0 431.486 -0.712 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001471098866 1074591073 /nfs/dbraw/zinc/59/10/73/1074591073.db2.gz ITMGHVRHWBBYPU-KRWDZBQOSA-N 0 0 430.458 -0.376 20 0 IBADRN CS(=O)(=O)Nc1cccc(F)c1CNC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001471098872 1074591121 /nfs/dbraw/zinc/59/11/21/1074591121.db2.gz ITMGHVRHWBBYPU-QGZVFWFLSA-N 0 0 430.458 -0.376 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)NC[C@@]34COCCN3C(=O)COC4)CC2)nc1 ZINC001471099863 1074591209 /nfs/dbraw/zinc/59/12/09/1074591209.db2.gz LUDQXXKRPGJTJD-NRFANRHFSA-N 0 0 447.492 -0.282 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)NC[C@]34COCCN3C(=O)COC4)CC2)nc1 ZINC001471099865 1074591021 /nfs/dbraw/zinc/59/10/21/1074591021.db2.gz LUDQXXKRPGJTJD-OAQYLSRUSA-N 0 0 447.492 -0.282 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)NC[C@]34COCCN3C(=O)COC4)CC2)c1 ZINC001471100568 1074591184 /nfs/dbraw/zinc/59/11/84/1074591184.db2.gz PDTYCQQIVGKUNB-JOCHJYFZSA-N 0 0 446.504 -0.281 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)NC[C@@]34COCCN3C(=O)COC4)CC2)c1 ZINC001471100569 1074591040 /nfs/dbraw/zinc/59/10/40/1074591040.db2.gz PDTYCQQIVGKUNB-QFIPXVFZSA-N 0 0 446.504 -0.281 20 0 IBADRN CC(C)(C)OC(=O)NCC1(NC(=O)NC[C@@]23COCCN2C(=O)COC3)CCOCC1 ZINC001471100732 1074591166 /nfs/dbraw/zinc/59/11/66/1074591166.db2.gz RPACRKYUEZNGSF-FQEVSTJZSA-N 0 0 442.513 -0.013 20 0 IBADRN CC(C)(C)OC(=O)NCC1(NC(=O)NC[C@]23COCCN2C(=O)COC3)CCOCC1 ZINC001471100734 1074591194 /nfs/dbraw/zinc/59/11/94/1074591194.db2.gz RPACRKYUEZNGSF-HXUWFJFHSA-N 0 0 442.513 -0.013 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1)NCc1ccccc1 ZINC001471101498 1074591155 /nfs/dbraw/zinc/59/11/55/1074591155.db2.gz YRTCWRVGFRLIPR-JOCHJYFZSA-N 0 0 445.520 -0.742 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1)NCc1ccccc1 ZINC001471101499 1074591137 /nfs/dbraw/zinc/59/11/37/1074591137.db2.gz YRTCWRVGFRLIPR-QFIPXVFZSA-N 0 0 445.520 -0.742 20 0 IBADRN CO[C@H]1C[C@H]2CN(C(=O)C(=O)c3cc(S(N)(=O)=O)cn3C)Cc3cccnc3N2C1 ZINC001471121085 1074591112 /nfs/dbraw/zinc/59/11/12/1074591112.db2.gz CJMITGRJFUOZPK-KBPBESRZSA-N 0 0 433.490 -0.114 20 0 IBADRN CO[C@H]1C[C@@H]2CN(C(=O)C(=O)c3cc(S(N)(=O)=O)cn3C)Cc3cccnc3N2C1 ZINC001471121090 1074591053 /nfs/dbraw/zinc/59/10/53/1074591053.db2.gz CJMITGRJFUOZPK-KGLIPLIRSA-N 0 0 433.490 -0.114 20 0 IBADRN CO[C@@H]1C[C@H]2CN(C(=O)C(=O)c3cc(S(N)(=O)=O)cn3C)Cc3cccnc3N2C1 ZINC001471121093 1074591219 /nfs/dbraw/zinc/59/12/19/1074591219.db2.gz CJMITGRJFUOZPK-UONOGXRCSA-N 0 0 433.490 -0.114 20 0 IBADRN CO[C@@H]1C[C@@H]2CN(C(=O)C(=O)c3cc(S(N)(=O)=O)cn3C)Cc3cccnc3N2C1 ZINC001471121095 1074591147 /nfs/dbraw/zinc/59/11/47/1074591147.db2.gz CJMITGRJFUOZPK-ZIAGYGMSSA-N 0 0 433.490 -0.114 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@]23COC(=O)N2CCOC3)C1 ZINC001471131645 1074591541 /nfs/dbraw/zinc/59/15/41/1074591541.db2.gz HHNWJLFSUNSJJL-PBHICJAKSA-N 0 0 434.515 -0.710 20 0 IBADRN COCCN(C)S(=O)(=O)[C@@H]1CCCN(C(=O)NC[C@@]23COC(=O)N2CCOC3)C1 ZINC001471131649 1074591401 /nfs/dbraw/zinc/59/14/01/1074591401.db2.gz HHNWJLFSUNSJJL-RHSMWYFYSA-N 0 0 434.515 -0.710 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@@]23COC(=O)N2CCOC3)C1 ZINC001471131650 1074591495 /nfs/dbraw/zinc/59/14/95/1074591495.db2.gz HHNWJLFSUNSJJL-WMLDXEAASA-N 0 0 434.515 -0.710 20 0 IBADRN COCCN(C)S(=O)(=O)[C@H]1CCCN(C(=O)NC[C@]23COC(=O)N2CCOC3)C1 ZINC001471131652 1074591521 /nfs/dbraw/zinc/59/15/21/1074591521.db2.gz HHNWJLFSUNSJJL-YOEHRIQHSA-N 0 0 434.515 -0.710 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001471132874 1074591435 /nfs/dbraw/zinc/59/14/35/1074591435.db2.gz NRGFIPWVEDKITM-INIZCTEOSA-N 0 0 429.455 -0.947 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001471132899 1074591502 /nfs/dbraw/zinc/59/15/02/1074591502.db2.gz NRGFIPWVEDKITM-MRXNPFEDSA-N 0 0 429.455 -0.947 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001471133223 1074591475 /nfs/dbraw/zinc/59/14/75/1074591475.db2.gz PDJPOKMDHOQSJA-PBHICJAKSA-N 0 0 432.499 -0.956 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001471133239 1074591442 /nfs/dbraw/zinc/59/14/42/1074591442.db2.gz PDJPOKMDHOQSJA-RHSMWYFYSA-N 0 0 432.499 -0.956 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001471133240 1074591425 /nfs/dbraw/zinc/59/14/25/1074591425.db2.gz PDJPOKMDHOQSJA-WMLDXEAASA-N 0 0 432.499 -0.956 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001471133242 1074591418 /nfs/dbraw/zinc/59/14/18/1074591418.db2.gz PDJPOKMDHOQSJA-YOEHRIQHSA-N 0 0 432.499 -0.956 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)NC[C@@]12COC(=O)N1CCOC2 ZINC001471134503 1074591545 /nfs/dbraw/zinc/59/15/45/1074591545.db2.gz UHUKXRXCHQPPCL-GOSISDBHSA-N 0 0 441.510 -0.367 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)NC[C@]12COC(=O)N1CCOC2 ZINC001471134509 1074591382 /nfs/dbraw/zinc/59/13/82/1074591382.db2.gz UHUKXRXCHQPPCL-SFHVURJKSA-N 0 0 441.510 -0.367 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@H]1CCCO1)C2=O ZINC001471137790 1074591468 /nfs/dbraw/zinc/59/14/68/1074591468.db2.gz HNIJPUZZJUSFNE-CYBMUJFWSA-N 0 0 445.519 -0.321 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)c1ccc2c(c1)S(=O)(=O)N(C[C@@H]1CCCO1)C2=O ZINC001471137797 1074591409 /nfs/dbraw/zinc/59/14/09/1074591409.db2.gz HNIJPUZZJUSFNE-ZDUSSCGKSA-N 0 0 445.519 -0.321 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)C1(S(C)(=O)=O)CCN(C(=O)OC(C)(C)C)CC1 ZINC001471137841 1074591489 /nfs/dbraw/zinc/59/14/89/1074591489.db2.gz IETXZIFRGPNGSS-UHFFFAOYSA-N 0 0 441.572 -0.144 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)CN1CCN(S(=O)(=O)c2ccc(C)cc2)CC1 ZINC001471139686 1074591393 /nfs/dbraw/zinc/59/13/93/1074591393.db2.gz SUQAXONFUXWJSK-UHFFFAOYSA-N 0 0 432.568 -0.643 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)Cc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001471139811 1074591482 /nfs/dbraw/zinc/59/14/82/1074591482.db2.gz UCAUUQRFSMDFRM-UHFFFAOYSA-N 0 0 449.551 -0.686 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2nc3c(c(=O)[nH]2)COCC3)CC1 ZINC001471147684 1074591529 /nfs/dbraw/zinc/59/15/29/1074591529.db2.gz FNLNICGZZMRYTH-UHFFFAOYSA-N 0 0 429.499 -0.552 20 0 IBADRN O=C(NCc1nc2c(c(=O)[nH]1)COCC2)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001471150349 1074591508 /nfs/dbraw/zinc/59/15/08/1074591508.db2.gz XAECCQRUDKWVBS-CYBMUJFWSA-N 0 0 441.510 -0.409 20 0 IBADRN O=C(NCc1nc2c(c(=O)[nH]1)COCC2)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001471150350 1074591453 /nfs/dbraw/zinc/59/14/53/1074591453.db2.gz XAECCQRUDKWVBS-ZDUSSCGKSA-N 0 0 441.510 -0.409 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)NCC3CSC3)CC2)cc1 ZINC001471154475 1074591936 /nfs/dbraw/zinc/59/19/36/1074591936.db2.gz YVWNLSHGPQOHGA-UHFFFAOYSA-N 0 0 434.565 -0.287 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NCc2c(I)cnn2C)CC1 ZINC001471162020 1074591745 /nfs/dbraw/zinc/59/17/45/1074591745.db2.gz GXBJCJDSGDZHHG-UHFFFAOYSA-N 0 0 434.282 -0.060 20 0 IBADRN C[C@H](C(=O)NC[C@@]1(CO)COCCN1)N1C(=O)c2ccc(Br)cc2C1=O ZINC001471177427 1074591924 /nfs/dbraw/zinc/59/19/24/1074591924.db2.gz CNFIYKKHZYHKTG-BMLIUANNSA-N 0 0 426.267 -0.099 20 0 IBADRN C[C@@H](C(=O)NC[C@]1(CO)COCCN1)N1C(=O)c2ccc(Br)cc2C1=O ZINC001471177428 1074591902 /nfs/dbraw/zinc/59/19/02/1074591902.db2.gz CNFIYKKHZYHKTG-BTDLBPIBSA-N 0 0 426.267 -0.099 20 0 IBADRN C[C@@H](C(=O)NC[C@@]1(CO)COCCN1)N1C(=O)c2ccc(Br)cc2C1=O ZINC001471177429 1074591914 /nfs/dbraw/zinc/59/19/14/1074591914.db2.gz CNFIYKKHZYHKTG-DYZYQPBXSA-N 0 0 426.267 -0.099 20 0 IBADRN C[C@H](C(=O)NC[C@]1(CO)COCCN1)N1C(=O)c2ccc(Br)cc2C1=O ZINC001471177430 1074591814 /nfs/dbraw/zinc/59/18/14/1074591814.db2.gz CNFIYKKHZYHKTG-QGHHPUGFSA-N 0 0 426.267 -0.099 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2C(=O)NC[C@@]2(CO)COCCN2)cc1 ZINC001471177804 1074591877 /nfs/dbraw/zinc/59/18/77/1074591877.db2.gz ISDYBVSIQUYFKH-AZUAARDMSA-N 0 0 439.534 -0.101 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@H]2C(=O)NC[C@]2(CO)COCCN2)cc1 ZINC001471177805 1074591968 /nfs/dbraw/zinc/59/19/68/1074591968.db2.gz ISDYBVSIQUYFKH-ICSRJNTNSA-N 0 0 439.534 -0.101 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)NC[C@]2(CO)COCCN2)cc1 ZINC001471177806 1074591758 /nfs/dbraw/zinc/59/17/58/1074591758.db2.gz ISDYBVSIQUYFKH-QUCCMNQESA-N 0 0 439.534 -0.101 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)N2CCCC[C@@H]2C(=O)NC[C@@]2(CO)COCCN2)cc1 ZINC001471177807 1074592006 /nfs/dbraw/zinc/59/20/06/1074592006.db2.gz ISDYBVSIQUYFKH-UYAOXDASSA-N 0 0 439.534 -0.101 20 0 IBADRN COc1ccccc1C(=O)N[C@H](CCS(C)(=O)=O)C(=O)N1CCS(=O)(=O)[C@@H](C)C1 ZINC001471178229 1074591998 /nfs/dbraw/zinc/59/19/98/1074591998.db2.gz DJNBZXURQWMRRQ-DZGCQCFKSA-N 0 0 446.547 -0.126 20 0 IBADRN COc1ccccc1C(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CCS(=O)(=O)[C@@H](C)C1 ZINC001471178230 1074591779 /nfs/dbraw/zinc/59/17/79/1074591779.db2.gz DJNBZXURQWMRRQ-ZFWWWQNUSA-N 0 0 446.547 -0.126 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@]1(CO)COCCN1 ZINC001471179804 1074591954 /nfs/dbraw/zinc/59/19/54/1074591954.db2.gz ZRNQVCALTOKRAF-HNNXBMFYSA-N 0 0 441.428 -0.279 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)NC[C@@]1(CO)COCCN1 ZINC001471179805 1074591850 /nfs/dbraw/zinc/59/18/50/1074591850.db2.gz ZRNQVCALTOKRAF-OAHLLOKOSA-N 0 0 441.428 -0.279 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1)N1CC[C@@H](O)[C@@H](O)C1 ZINC001471180846 1074591944 /nfs/dbraw/zinc/59/19/44/1074591944.db2.gz ACBAWDLUSPPZIU-CVEARBPZSA-N 0 0 426.491 -0.187 20 0 IBADRN COC[C@H](C)NC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001471183109 1074592343 /nfs/dbraw/zinc/59/23/43/1074592343.db2.gz WSMTZTRLFVIJRY-HNNXBMFYSA-N 0 0 427.527 -0.031 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCC[C@H](S(=O)(=O)NC4CCCC4)C3)nnc2c1=O ZINC001471183151 1074592319 /nfs/dbraw/zinc/59/23/19/1074592319.db2.gz AQQTXBRYUXPDFP-AWEZNQCLSA-N 0 0 437.526 -0.036 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCC[C@@H](S(=O)(=O)NC4CCCC4)C3)nnc2c1=O ZINC001471183153 1074592252 /nfs/dbraw/zinc/59/22/52/1074592252.db2.gz AQQTXBRYUXPDFP-CQSZACIVSA-N 0 0 437.526 -0.036 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCN(S(C)(=O)=O)c4ccccc4C3)nnc2c1=O ZINC001471183174 1074592242 /nfs/dbraw/zinc/59/22/42/1074592242.db2.gz BICGCBKSZIJZTG-UHFFFAOYSA-N 0 0 431.478 -0.081 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCN(S(=O)(=O)Cc4ccccc4)CC3)nnc2c1=O ZINC001471184102 1074592518 /nfs/dbraw/zinc/59/25/18/1074592518.db2.gz MGGBQNWCHCAPSX-UHFFFAOYSA-N 0 0 445.505 -0.215 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCc2ccc(N3CCNC3=O)cc2)CC1 ZINC001471184370 1074592454 /nfs/dbraw/zinc/59/24/54/1074592454.db2.gz QSDJWWGFPJMLAB-UHFFFAOYSA-N 0 0 430.509 -0.098 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(C(=O)NCc1nnc3c(=O)n(C)ccn13)C2 ZINC001471184569 1074592261 /nfs/dbraw/zinc/59/22/61/1074592261.db2.gz ONHXOWFSHBHSKY-UHFFFAOYSA-N 0 0 445.505 -0.054 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCN(c4ccc(F)cc4C(N)=O)CC3)nnc2c1=O ZINC001471185268 1074592214 /nfs/dbraw/zinc/59/22/14/1074592214.db2.gz VEBCCJJWIBPOCF-UHFFFAOYSA-N 0 0 428.428 -0.302 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)NCc2nnc3c(=O)n(C)ccn23)cc1 ZINC001471185651 1074592199 /nfs/dbraw/zinc/59/21/99/1074592199.db2.gz YUTNZULVZSBREO-UHFFFAOYSA-N 0 0 433.494 -0.181 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CC[C@@H]4CN(C(=O)C(F)(F)F)C[C@H]4C3)nnc2c1=O ZINC001471185676 1074592223 /nfs/dbraw/zinc/59/22/23/1074592223.db2.gz ZJXVFDHTYRMOLF-GHMZBOCLSA-N 0 0 427.387 -0.020 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CC[C@@H]4CN(C(=O)C(F)(F)F)C[C@@H]4C3)nnc2c1=O ZINC001471185679 1074592232 /nfs/dbraw/zinc/59/22/32/1074592232.db2.gz ZJXVFDHTYRMOLF-MNOVXSKESA-N 0 0 427.387 -0.020 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CC[C@H]4CN(C(=O)C(F)(F)F)C[C@@H]4C3)nnc2c1=O ZINC001471185681 1074592717 /nfs/dbraw/zinc/59/27/17/1074592717.db2.gz ZJXVFDHTYRMOLF-QWRGUYRKSA-N 0 0 427.387 -0.020 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CC[C@H]4CN(C(=O)C(F)(F)F)C[C@H]4C3)nnc2c1=O ZINC001471185683 1074592668 /nfs/dbraw/zinc/59/26/68/1074592668.db2.gz ZJXVFDHTYRMOLF-WDEREUQCSA-N 0 0 427.387 -0.020 20 0 IBADRN COC(=O)c1cc(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)n(C)c1C ZINC001471187813 1074592757 /nfs/dbraw/zinc/59/27/57/1074592757.db2.gz GPMCOEWTEIVFMW-UHFFFAOYSA-N 0 0 433.465 -0.377 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)c2cnc3c(c2C)c(=O)n(C)c(=O)n3C)cc1 ZINC001471193492 1074592748 /nfs/dbraw/zinc/59/27/48/1074592748.db2.gz ZSMJVRSQLHSYHM-UHFFFAOYSA-N 0 0 445.501 -0.179 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@@H](O)[C@@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001471193575 1074592744 /nfs/dbraw/zinc/59/27/44/1074592744.db2.gz GFOOSJRKILXYEI-CVEARBPZSA-N 0 0 425.511 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@@H](O)[C@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001471193576 1074592728 /nfs/dbraw/zinc/59/27/28/1074592728.db2.gz GFOOSJRKILXYEI-HOTGVXAUSA-N 0 0 425.511 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H](O)[C@@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001471193577 1074592763 /nfs/dbraw/zinc/59/27/63/1074592763.db2.gz GFOOSJRKILXYEI-HZPDHXFCSA-N 0 0 425.511 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H](O)[C@H](O)c2nc3ccccc3[nH]2)CC1 ZINC001471193578 1074592753 /nfs/dbraw/zinc/59/27/53/1074592753.db2.gz GFOOSJRKILXYEI-JKSUJKDBSA-N 0 0 425.511 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)[C@H](CO)NC(=O)c2cccc(F)n2)CC1 ZINC001471193623 1074592761 /nfs/dbraw/zinc/59/27/61/1074592761.db2.gz IFZDRACTBCUYKM-AWEZNQCLSA-N 0 0 431.490 -0.958 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)cc1 ZINC001471194859 1074592767 /nfs/dbraw/zinc/59/27/67/1074592767.db2.gz ZYGCSKGXXKEDOO-BRWVUGGUSA-N 0 0 435.506 -0.823 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCc2cn(C3CC3)c(=O)[nH]c2=O)CC1 ZINC001471197312 1074592772 /nfs/dbraw/zinc/59/27/72/1074592772.db2.gz HOOSZLJCAYAUME-UHFFFAOYSA-N 0 0 443.526 -0.134 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCc2cn(C3CC3)c(=O)[nH]c2=O)CC1 ZINC001471198127 1074592711 /nfs/dbraw/zinc/59/27/11/1074592711.db2.gz QFBUUDDSDGPMQE-AWEZNQCLSA-N 0 0 436.513 -0.348 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCc2cn(C3CC3)c(=O)[nH]c2=O)CC1 ZINC001471198128 1074592692 /nfs/dbraw/zinc/59/26/92/1074592692.db2.gz QFBUUDDSDGPMQE-CQSZACIVSA-N 0 0 436.513 -0.348 20 0 IBADRN O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001471198523 1074592707 /nfs/dbraw/zinc/59/27/07/1074592707.db2.gz XKEUURKLQSPZDG-HNNXBMFYSA-N 0 0 441.510 -0.380 20 0 IBADRN O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001471198524 1074592676 /nfs/dbraw/zinc/59/26/76/1074592676.db2.gz XKEUURKLQSPZDG-OAHLLOKOSA-N 0 0 441.510 -0.380 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCC2(S(=O)(=O)NC(C)(C)C)CC2)CC1 ZINC001471198808 1074592686 /nfs/dbraw/zinc/59/26/86/1074592686.db2.gz AATKEEWCJYCGBY-UHFFFAOYSA-N 0 0 425.577 -0.535 20 0 IBADRN Cn1ccn2c(CCNC(=O)NCC3(S(=O)(=O)NC(C)(C)C)CC3)nnc2c1=O ZINC001471199966 1074592680 /nfs/dbraw/zinc/59/26/80/1074592680.db2.gz JXOFDUMGPBTPRJ-UHFFFAOYSA-N 0 0 425.515 -0.480 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)[C@@]34CN(C(=O)OC(C)(C)C)C[C@@H]3CS(=O)(=O)C4)C[C@H]2C1=O ZINC001471200810 1074592721 /nfs/dbraw/zinc/59/27/21/1074592721.db2.gz GPCPKQRLMPOUST-SNCMPBNLSA-N 0 0 441.506 -0.659 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)N[C@@H]3CCS(=O)(=O)C3)C[C@H]2C1=O ZINC001471202171 1074592912 /nfs/dbraw/zinc/59/29/12/1074592912.db2.gz UHZMORMXEPLHAI-KHMAMNHCSA-N 0 0 425.507 -0.831 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)[C@@H]3CCCC[C@H]3C(=O)N[C@@H]3CCS(=O)(=O)C3)C[C@H]2C1=O ZINC001471202172 1074593012 /nfs/dbraw/zinc/59/30/12/1074593012.db2.gz UHZMORMXEPLHAI-RYPNDVFKSA-N 0 0 425.507 -0.831 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)N[C@H]3CCS(=O)(=O)C3)C[C@H]2C1=O ZINC001471202173 1074593069 /nfs/dbraw/zinc/59/30/69/1074593069.db2.gz UHZMORMXEPLHAI-SBJFKYEJSA-N 0 0 425.507 -0.831 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)[C@@H]3CCCC[C@H]3C(=O)N[C@H]3CCS(=O)(=O)C3)C[C@H]2C1=O ZINC001471202174 1074593042 /nfs/dbraw/zinc/59/30/42/1074593042.db2.gz UHZMORMXEPLHAI-VYDRJRHOSA-N 0 0 425.507 -0.831 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)CNS(=O)(=O)c3ccc(Br)s3)C[C@H]2C1=O ZINC001471202187 1074593128 /nfs/dbraw/zinc/59/31/28/1074593128.db2.gz UUZSHALNCLZJRG-OCAPTIKFSA-N 0 0 436.309 -0.138 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)cc1OC ZINC001471202225 1074593106 /nfs/dbraw/zinc/59/31/06/1074593106.db2.gz WHLZCRIYVXDOKR-BETUJISGSA-N 0 0 425.463 -0.555 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)c3sccc3S(=O)(=O)N3CCC(O)CC3)C[C@H]2C1=O ZINC001471202232 1074592925 /nfs/dbraw/zinc/59/29/25/1074592925.db2.gz WVOAGPVYUXZANN-TXEJJXNPSA-N 0 0 427.504 -0.420 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)c1 ZINC001471203372 1074593055 /nfs/dbraw/zinc/59/30/55/1074593055.db2.gz COHFMLFAKZYQGS-UHFFFAOYSA-N 0 0 447.521 -0.146 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)N1CCN(S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001471204126 1074592943 /nfs/dbraw/zinc/59/29/43/1074592943.db2.gz LRZCEGJOFQMAAX-UHFFFAOYSA-N 0 0 437.457 -0.623 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@H]2CCN(C(=O)NCc3nnc4n3CCNC4=O)C2)CC1 ZINC001471204636 1074592896 /nfs/dbraw/zinc/59/28/96/1074592896.db2.gz RRXGZLOBJMYQRH-AWEZNQCLSA-N 0 0 448.528 -0.142 20 0 IBADRN CC(C)(C)OC(=O)N1CCN([C@@H]2CCN(C(=O)NCc3nnc4n3CCNC4=O)C2)CC1 ZINC001471204637 1074592953 /nfs/dbraw/zinc/59/29/53/1074592953.db2.gz RRXGZLOBJMYQRH-CQSZACIVSA-N 0 0 448.528 -0.142 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@]2(C1)CN(C(=O)NCc1nnc3n1CCNC3=O)CCO2 ZINC001471204859 1074592982 /nfs/dbraw/zinc/59/29/82/1074592982.db2.gz WHRBADXRDVSWHM-IBGZPJMESA-N 0 0 435.485 -0.057 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@]2(C1)CN(C(=O)NCc1nnc3n1CCNC3=O)CCO2 ZINC001471204860 1074592972 /nfs/dbraw/zinc/59/29/72/1074592972.db2.gz WHRBADXRDVSWHM-LJQANCHMSA-N 0 0 435.485 -0.057 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)c(OC)c1 ZINC001471204976 1074592932 /nfs/dbraw/zinc/59/29/32/1074592932.db2.gz WUFAGVRJPMRTPG-UHFFFAOYSA-N 0 0 443.464 -0.294 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NC[C@@]34COCCN3C(=O)COC4)cc(C(C)C)nc21 ZINC001471223148 1074593117 /nfs/dbraw/zinc/59/31/17/1074593117.db2.gz BUIIODJWJMEGLT-NRFANRHFSA-N 0 0 445.476 -0.002 20 0 IBADRN CCn1c(=O)[nH]c(=O)c2c(C(=O)NC[C@]34COCCN3C(=O)COC4)cc(C(C)C)nc21 ZINC001471223160 1074593026 /nfs/dbraw/zinc/59/30/26/1074593026.db2.gz BUIIODJWJMEGLT-OAQYLSRUSA-N 0 0 445.476 -0.002 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)NC[C@@]34COCCN3C(=O)COC4)cc12 ZINC001471226013 1074593092 /nfs/dbraw/zinc/59/30/92/1074593092.db2.gz GWZFXBAMVYTZPC-BLVKFPJESA-N 0 0 435.506 -0.194 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)NC[C@@]34COCCN3C(=O)COC4)cc12 ZINC001471226017 1074593002 /nfs/dbraw/zinc/59/30/02/1074593002.db2.gz GWZFXBAMVYTZPC-BUXKBTBVSA-N 0 0 435.506 -0.194 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)NC[C@]34COCCN3C(=O)COC4)cc12 ZINC001471226019 1074593079 /nfs/dbraw/zinc/59/30/79/1074593079.db2.gz GWZFXBAMVYTZPC-CWTRNNRKSA-N 0 0 435.506 -0.194 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)NC[C@]34COCCN3C(=O)COC4)cc12 ZINC001471226021 1074593536 /nfs/dbraw/zinc/59/35/36/1074593536.db2.gz GWZFXBAMVYTZPC-HXPMCKFVSA-N 0 0 435.506 -0.194 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001471227365 1074593385 /nfs/dbraw/zinc/59/33/85/1074593385.db2.gz HULKEFIHJHKWHE-BFUOFWGJSA-N 0 0 429.470 -0.423 20 0 IBADRN COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001471227367 1074593372 /nfs/dbraw/zinc/59/33/72/1074593372.db2.gz HULKEFIHJHKWHE-YJYMSZOUSA-N 0 0 429.470 -0.423 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)c1ccc(NCCN2CCOCC2)c(F)c1 ZINC001471228356 1074593423 /nfs/dbraw/zinc/59/34/23/1074593423.db2.gz KGRJQCDPGFAGEC-NRFANRHFSA-N 0 0 436.484 -0.073 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)c1ccc(NCCN2CCOCC2)c(F)c1 ZINC001471228357 1074593453 /nfs/dbraw/zinc/59/34/53/1074593453.db2.gz KGRJQCDPGFAGEC-OAQYLSRUSA-N 0 0 436.484 -0.073 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(O)(CC(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001471231273 1074593525 /nfs/dbraw/zinc/59/35/25/1074593525.db2.gz QIFYTFZKZVIWCI-IBGZPJMESA-N 0 0 427.498 -0.118 20 0 IBADRN CC(C)(C)OC(=O)N1CCC(O)(CC(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001471231276 1074593361 /nfs/dbraw/zinc/59/33/61/1074593361.db2.gz QIFYTFZKZVIWCI-LJQANCHMSA-N 0 0 427.498 -0.118 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCCOC(F)(F)C(F)(F)F)C2 ZINC001471239894 1074593392 /nfs/dbraw/zinc/59/33/92/1074593392.db2.gz YPJBZZLDCSNBGB-UHFFFAOYSA-N 0 0 430.334 -0.170 20 0 IBADRN O=C(CN1CCOCC1)N1CCN(C(=O)NCC2C[C@H]3CC[C@@H](C2)S3(=O)=O)CC1 ZINC001471245825 1074593380 /nfs/dbraw/zinc/59/33/80/1074593380.db2.gz OFRVDAQKGBTJOT-ALOPSCKCSA-N 0 0 428.555 -0.472 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NC[C@@]23COC(=O)N2CCOC3)cc1 ZINC001471264818 1074593514 /nfs/dbraw/zinc/59/35/14/1074593514.db2.gz BBPHJDLZQTVYHN-GOSISDBHSA-N 0 0 425.463 -0.105 20 0 IBADRN CC(=O)c1ccc(S(=O)(=O)NCCC(=O)NC[C@]23COC(=O)N2CCOC3)cc1 ZINC001471264827 1074593353 /nfs/dbraw/zinc/59/33/53/1074593353.db2.gz BBPHJDLZQTVYHN-SFHVURJKSA-N 0 0 425.463 -0.105 20 0 IBADRN O=C(NCC[C@@H]1CNC(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001471266603 1074593437 /nfs/dbraw/zinc/59/34/37/1074593437.db2.gz KBVJQHQWZNNUQT-AWEZNQCLSA-N 0 0 438.506 0.000 20 0 IBADRN O=C(NCC[C@H]1CNC(=O)C1)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001471266604 1074593444 /nfs/dbraw/zinc/59/34/44/1074593444.db2.gz KBVJQHQWZNNUQT-CQSZACIVSA-N 0 0 438.506 0.000 20 0 IBADRN O=C(NC[C@@]12COC(=O)N1CCOC2)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001471267905 1074593330 /nfs/dbraw/zinc/59/33/30/1074593330.db2.gz XGIWBZXGEWHMIT-QIIPPGSGSA-N 0 0 443.453 -0.713 20 0 IBADRN O=C(NC[C@]12COC(=O)N1CCOC2)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001471267913 1074593399 /nfs/dbraw/zinc/59/33/99/1074593399.db2.gz XGIWBZXGEWHMIT-XUWXXGDYSA-N 0 0 443.453 -0.713 20 0 IBADRN CN1CCn2nc(C(=O)NCc3ccc(CN4C(=O)CNC4=O)cc3)cc2S1(=O)=O ZINC001471270646 1074593416 /nfs/dbraw/zinc/59/34/16/1074593416.db2.gz CCVXPPWVTZHJKS-UHFFFAOYSA-N 0 0 432.462 -0.501 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@H]1CCN1C(=O)OC(C)(C)C)C2 ZINC001471275397 1074593408 /nfs/dbraw/zinc/59/34/08/1074593408.db2.gz KXZXAJXWCCZGDF-CYBMUJFWSA-N 0 0 437.501 -0.332 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@@H]1CCN1C(=O)OC(C)(C)C)C2 ZINC001471275399 1074593345 /nfs/dbraw/zinc/59/33/45/1074593345.db2.gz KXZXAJXWCCZGDF-ZDUSSCGKSA-N 0 0 437.501 -0.332 20 0 IBADRN CC(C)(C)OC(=O)N1CC(n2cc(CNC(=O)N3CCN(S(C)(=O)=O)CC3)nn2)C1 ZINC001471277127 1074593786 /nfs/dbraw/zinc/59/37/86/1074593786.db2.gz WJARDMZOMDQVPM-UHFFFAOYSA-N 0 0 443.530 -0.143 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@H]1CCCN1CC(F)(F)F)C2 ZINC001471282224 1074593729 /nfs/dbraw/zinc/59/37/29/1074593729.db2.gz PKZJINGIMUDVKW-GFCCVEGCSA-N 0 0 433.435 -0.315 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NC[C@@H]1CCCN1CC(F)(F)F)C2 ZINC001471282225 1074593826 /nfs/dbraw/zinc/59/38/26/1074593826.db2.gz PKZJINGIMUDVKW-LBPRGKRZSA-N 0 0 433.435 -0.315 20 0 IBADRN Cn1nc(C(F)(F)F)cc1CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001471286993 1074593773 /nfs/dbraw/zinc/59/37/73/1074593773.db2.gz FYKGNGZQWWVPFG-UHFFFAOYSA-N 0 0 430.387 -0.058 20 0 IBADRN C[C@@H](C(=O)NC1(C(N)=O)CCS(=O)(=O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC001471296749 1074593742 /nfs/dbraw/zinc/59/37/42/1074593742.db2.gz FXQDENQUOJMXKX-AWEZNQCLSA-N 0 0 426.514 -0.115 20 0 IBADRN C[C@H](C(=O)NC1(C(N)=O)CCS(=O)(=O)CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC001471296751 1074593781 /nfs/dbraw/zinc/59/37/81/1074593781.db2.gz FXQDENQUOJMXKX-CQSZACIVSA-N 0 0 426.514 -0.115 20 0 IBADRN NC(=O)C1(NC(=O)CNC(=O)c2cccc(Br)c2)CCS(=O)(=O)CC1 ZINC001471296976 1074593802 /nfs/dbraw/zinc/59/38/02/1074593802.db2.gz JHZFRBODAFJBIM-UHFFFAOYSA-N 0 0 432.296 -0.272 20 0 IBADRN O=C(CNC(=O)N1CCN(CC(F)(F)F)C(=O)C1)NCC(=O)NCc1ccccc1 ZINC001471303177 1074593839 /nfs/dbraw/zinc/59/38/39/1074593839.db2.gz AWLWWGUOIOOAMA-UHFFFAOYSA-N 0 0 429.399 -0.165 20 0 IBADRN CNS(=O)(=O)[C@H]1CCCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)C1 ZINC001471303870 1074593816 /nfs/dbraw/zinc/59/38/16/1074593816.db2.gz IEHPTCWNMCZRET-HNNXBMFYSA-N 0 0 425.511 -0.858 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)C1 ZINC001471303872 1074593735 /nfs/dbraw/zinc/59/37/35/1074593735.db2.gz IEHPTCWNMCZRET-OAHLLOKOSA-N 0 0 425.511 -0.858 20 0 IBADRN CC(=O)c1cn(C2CN(C(=O)NCC(=O)NCC(=O)N(C)Cc3ccccc3)C2)nn1 ZINC001471305284 1074593851 /nfs/dbraw/zinc/59/38/51/1074593851.db2.gz JRFSHLVNQFEFGG-UHFFFAOYSA-N 0 0 427.465 -0.178 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCC(c2nnnn2C)CC1 ZINC001471305420 1074593857 /nfs/dbraw/zinc/59/38/57/1074593857.db2.gz LRFSBAQVZUWDDP-UHFFFAOYSA-N 0 0 428.497 -0.126 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001471305980 1074593754 /nfs/dbraw/zinc/59/37/54/1074593754.db2.gz SGQWPGGETAARPN-INIZCTEOSA-N 0 0 439.538 -0.564 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001471305986 1074593821 /nfs/dbraw/zinc/59/38/21/1074593821.db2.gz SGQWPGGETAARPN-MRXNPFEDSA-N 0 0 439.538 -0.564 20 0 IBADRN CC1(C)OC[C@@H]([C@@H]2CN(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)CCO2)O1 ZINC001471307676 1074593809 /nfs/dbraw/zinc/59/38/09/1074593809.db2.gz BHONWJADIFDEMV-IRXDYDNUSA-N 0 0 434.493 -0.019 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCc3cn(C(C)(C)C)c(=O)[nH]c3=O)CC2)CCOC1 ZINC001471313955 1074593795 /nfs/dbraw/zinc/59/37/95/1074593795.db2.gz ZTVDIUKXOIJIHH-FQEVSTJZSA-N 0 0 437.497 -0.137 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCc3cn(C(C)(C)C)c(=O)[nH]c3=O)CC2)CCOC1 ZINC001471313956 1074593833 /nfs/dbraw/zinc/59/38/33/1074593833.db2.gz ZTVDIUKXOIJIHH-HXUWFJFHSA-N 0 0 437.497 -0.137 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@H](CO)[C@H](CO)C2)c1 ZINC001471319355 1074593766 /nfs/dbraw/zinc/59/37/66/1074593766.db2.gz HLKAFRIBFUDYBC-IYBDPMFKSA-N 0 0 427.523 -0.494 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCCN2Cc3ccccc3OCC2=O)CC1 ZINC001471321057 1074593760 /nfs/dbraw/zinc/59/37/60/1074593760.db2.gz ASROGQUCBBHJNV-UHFFFAOYSA-N 0 0 425.511 -0.365 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@@H]3COCC[C@H]3O)CC2)cc1 ZINC001471324889 1074593747 /nfs/dbraw/zinc/59/37/47/1074593747.db2.gz NCFLXIPCVWAUBO-QZTJIDSGSA-N 0 0 439.534 -0.126 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H]1COCC[C@H]1O ZINC001471325119 1074594144 /nfs/dbraw/zinc/59/41/44/1074594144.db2.gz RXHGHFLCBSSQCC-IAGOWNOFSA-N 0 0 425.507 -0.434 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@H](CO)Cc1c[nH]cn1 ZINC001471334279 1074594164 /nfs/dbraw/zinc/59/41/64/1074594164.db2.gz SEKJYKYAGNCNMQ-KRWDZBQOSA-N 0 0 449.533 -0.257 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)CCC1 ZINC001471335343 1074594156 /nfs/dbraw/zinc/59/41/56/1074594156.db2.gz GDPLNMOIXTZJHU-CYBMUJFWSA-N 0 0 440.588 -0.413 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)CCC1 ZINC001471335346 1074594129 /nfs/dbraw/zinc/59/41/29/1074594129.db2.gz GDPLNMOIXTZJHU-ZDUSSCGKSA-N 0 0 440.588 -0.413 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001471360684 1074594121 /nfs/dbraw/zinc/59/41/21/1074594121.db2.gz ZTFVQLZMBYSQTG-AWEZNQCLSA-N 0 0 435.543 -0.219 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001471360687 1074594255 /nfs/dbraw/zinc/59/42/55/1074594255.db2.gz ZTFVQLZMBYSQTG-CQSZACIVSA-N 0 0 435.543 -0.219 20 0 IBADRN O=C(NCCN1CCNC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001471363960 1074594093 /nfs/dbraw/zinc/59/40/93/1074594093.db2.gz UNFNKEHUOPDAJW-UHFFFAOYSA-N 0 0 439.494 -0.501 20 0 IBADRN Cn1cc(CNC(=O)CNS(=O)(=O)c2ccc(Br)cc2)c(=O)[nH]c1=O ZINC001471364839 1074594222 /nfs/dbraw/zinc/59/42/22/1074594222.db2.gz BIONMARHPXTIJN-UHFFFAOYSA-N 0 0 431.268 -0.157 20 0 IBADRN Cn1ccn2c(CCNC(=O)N3CCC(S(=O)(=O)N4CCCC4)CC3)nnc2c1=O ZINC001471365555 1074594202 /nfs/dbraw/zinc/59/42/02/1074594202.db2.gz ATPLSYUIFIQIAI-UHFFFAOYSA-N 0 0 437.526 -0.430 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCCNS(=O)(=O)Cc1ccccc1 ZINC001471366225 1074594211 /nfs/dbraw/zinc/59/42/11/1074594211.db2.gz MWMBERBLDJNTQQ-HNNXBMFYSA-N 0 0 434.540 -0.934 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCCNS(=O)(=O)Cc1ccccc1 ZINC001471366226 1074594284 /nfs/dbraw/zinc/59/42/84/1074594284.db2.gz MWMBERBLDJNTQQ-OAHLLOKOSA-N 0 0 434.540 -0.934 20 0 IBADRN Cn1ccn2c(CCNC(=O)N3CCN(C(=O)c4ccccc4O)CC3)nnc2c1=O ZINC001471366728 1074594232 /nfs/dbraw/zinc/59/42/32/1074594232.db2.gz INAQNTZMNGVKIT-UHFFFAOYSA-N 0 0 425.449 -0.156 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(C(=O)NCCc2nnc3c(=O)n(C)ccn23)CC1 ZINC001471367392 1074594263 /nfs/dbraw/zinc/59/42/63/1074594263.db2.gz OFSVFLKZKRDZKD-UHFFFAOYSA-N 0 0 425.515 -0.574 20 0 IBADRN Cn1ccn2c(CCNC(=O)N3CCN([C@H](C(N)=O)c4ccccc4)CC3)nnc2c1=O ZINC001471368016 1074594110 /nfs/dbraw/zinc/59/41/10/1074594110.db2.gz SXEIVAJOIRJKDQ-KRWDZBQOSA-N 0 0 438.492 -0.476 20 0 IBADRN Cn1ccn2c(CCNC(=O)N3CCN([C@@H](C(N)=O)c4ccccc4)CC3)nnc2c1=O ZINC001471368017 1074594137 /nfs/dbraw/zinc/59/41/37/1074594137.db2.gz SXEIVAJOIRJKDQ-QGZVFWFLSA-N 0 0 438.492 -0.476 20 0 IBADRN COC(=O)[C@@H](CCC1OCCO1)NC(=O)[C@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001471375363 1074594102 /nfs/dbraw/zinc/59/41/02/1074594102.db2.gz GQOQKVHNXJIFCZ-CHWSQXEVSA-N 0 0 429.470 -0.125 20 0 IBADRN COC(=O)[C@@H](CCC1OCCO1)NC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001471375364 1074594292 /nfs/dbraw/zinc/59/42/92/1074594292.db2.gz GQOQKVHNXJIFCZ-OLZOCXBDSA-N 0 0 429.470 -0.125 20 0 IBADRN COC(=O)[C@H](CCC1OCCO1)NC(=O)[C@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001471375365 1074594274 /nfs/dbraw/zinc/59/42/74/1074594274.db2.gz GQOQKVHNXJIFCZ-QWHCGFSZSA-N 0 0 429.470 -0.125 20 0 IBADRN COC(=O)[C@H](CCC1OCCO1)NC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C ZINC001471375366 1074594073 /nfs/dbraw/zinc/59/40/73/1074594073.db2.gz GQOQKVHNXJIFCZ-STQMWFEESA-N 0 0 429.470 -0.125 20 0 IBADRN COCCCN(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001471377244 1074594085 /nfs/dbraw/zinc/59/40/85/1074594085.db2.gz AHQJAJAESLSCKU-GFCCVEGCSA-N 0 0 433.574 -0.721 20 0 IBADRN COCCCN(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)[C@H]1CCS(=O)(=O)C1 ZINC001471377245 1074594598 /nfs/dbraw/zinc/59/45/98/1074594598.db2.gz AHQJAJAESLSCKU-LBPRGKRZSA-N 0 0 433.574 -0.721 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)CC1 ZINC001471377304 1074594550 /nfs/dbraw/zinc/59/45/50/1074594550.db2.gz AUSUJWYLOWEIRH-UHFFFAOYSA-N 0 0 425.577 -0.761 20 0 IBADRN CS(=O)(=O)[C@@H]1CN(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)C[C@H]1C(F)(F)F ZINC001471377365 1074594507 /nfs/dbraw/zinc/59/45/07/1074594507.db2.gz CKBYSLGXSBUGTH-RKDXNWHRSA-N 0 0 443.491 -0.339 20 0 IBADRN CCN(C1CCN(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)CC1)S(C)(=O)=O ZINC001471377681 1074594645 /nfs/dbraw/zinc/59/46/45/1074594645.db2.gz DMMFSXPYEYNJDL-UHFFFAOYSA-N 0 0 432.590 -0.501 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471382280 1074594591 /nfs/dbraw/zinc/59/45/91/1074594591.db2.gz JPRXYBUVQWLDFD-CHWSQXEVSA-N 0 0 429.470 -0.125 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)[C@@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471382282 1074594519 /nfs/dbraw/zinc/59/45/19/1074594519.db2.gz JPRXYBUVQWLDFD-OLZOCXBDSA-N 0 0 429.470 -0.125 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471382284 1074594635 /nfs/dbraw/zinc/59/46/35/1074594635.db2.gz JPRXYBUVQWLDFD-QWHCGFSZSA-N 0 0 429.470 -0.125 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)[C@H](C)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471382286 1074594585 /nfs/dbraw/zinc/59/45/85/1074594585.db2.gz JPRXYBUVQWLDFD-STQMWFEESA-N 0 0 429.470 -0.125 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001471382344 1074594621 /nfs/dbraw/zinc/59/46/21/1074594621.db2.gz GHVCVGSFGVPIHI-HNNXBMFYSA-N 0 0 428.463 -0.258 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NC(=O)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001471382352 1074594665 /nfs/dbraw/zinc/59/46/65/1074594665.db2.gz GHVCVGSFGVPIHI-OAHLLOKOSA-N 0 0 428.463 -0.258 20 0 IBADRN C[C@@H]1[C@H](C)OC[C@]12CC[C@H](CNC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)O2 ZINC001471383477 1074594628 /nfs/dbraw/zinc/59/46/28/1074594628.db2.gz BOVLNOJOUSSFQU-IGMJJTELSA-N 0 0 436.513 -0.369 20 0 IBADRN C[C@@H]1[C@H](C)OC[C@]12CC[C@@H](CNC(=O)N1CCn3c(nn(CC(=O)N(C)C)c3=O)C1)O2 ZINC001471383478 1074594527 /nfs/dbraw/zinc/59/45/27/1074594527.db2.gz BOVLNOJOUSSFQU-OHNQJVKOSA-N 0 0 436.513 -0.369 20 0 IBADRN C[C@@H]1OC[C@]2(CC[C@H](CNC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)O2)[C@H]1C ZINC001471383479 1074594606 /nfs/dbraw/zinc/59/46/06/1074594606.db2.gz BOVLNOJOUSSFQU-UJKGCTCZSA-N 0 0 436.513 -0.369 20 0 IBADRN C[C@@H]1OC[C@]2(CC[C@@H](CNC(=O)N3CCn4c(nn(CC(=O)N(C)C)c4=O)C3)O2)[C@H]1C ZINC001471383480 1074594541 /nfs/dbraw/zinc/59/45/41/1074594541.db2.gz BOVLNOJOUSSFQU-VPCSNGCSSA-N 0 0 436.513 -0.369 20 0 IBADRN C[C@@H]1[C@H](C)OC[C@]12CC[C@H](CNC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)O2 ZINC001471385762 1074594611 /nfs/dbraw/zinc/59/46/11/1074594611.db2.gz ONCBFYPYSGZKJO-DXEZIKHYSA-N 0 0 436.509 -0.038 20 0 IBADRN C[C@@H]1[C@H](C)OC[C@]12CC[C@@H](CNC(=O)N1CCN(C(=O)CN3C(=O)CCC3=O)CC1)O2 ZINC001471385763 1074594672 /nfs/dbraw/zinc/59/46/72/1074594672.db2.gz ONCBFYPYSGZKJO-FAPUVCABSA-N 0 0 436.509 -0.038 20 0 IBADRN C[C@@H]1OC[C@]2(CC[C@H](CNC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)O2)[C@H]1C ZINC001471385764 1074594557 /nfs/dbraw/zinc/59/45/57/1074594557.db2.gz ONCBFYPYSGZKJO-GXYOHSSGSA-N 0 0 436.509 -0.038 20 0 IBADRN C[C@@H]1OC[C@]2(CC[C@@H](CNC(=O)N3CCN(C(=O)CN4C(=O)CCC4=O)CC3)O2)[C@H]1C ZINC001471385765 1074594500 /nfs/dbraw/zinc/59/45/00/1074594500.db2.gz ONCBFYPYSGZKJO-VCAMNPENSA-N 0 0 436.509 -0.038 20 0 IBADRN O=C(CN1CCN(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1)NC1CC1 ZINC001471388876 1074594969 /nfs/dbraw/zinc/59/49/69/1074594969.db2.gz XAXOLZLNFPYORD-UHFFFAOYSA-N 0 0 432.403 -0.383 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCC4(CC3)C[C@H](O)CNC4=O)ccc21 ZINC001471388982 1074594819 /nfs/dbraw/zinc/59/48/19/1074594819.db2.gz ABOFZNYLGJHEJB-KRWDZBQOSA-N 0 0 428.489 -0.185 20 0 IBADRN CC(=O)NCC(=O)N1CCc2cc(C(=O)N3CCC4(CC3)C[C@@H](O)CNC4=O)ccc21 ZINC001471388983 1074594944 /nfs/dbraw/zinc/59/49/44/1074594944.db2.gz ABOFZNYLGJHEJB-QGZVFWFLSA-N 0 0 428.489 -0.185 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001471389096 1074594977 /nfs/dbraw/zinc/59/49/77/1074594977.db2.gz CZASXWKXCQOVRA-INIZCTEOSA-N 0 0 436.534 -0.028 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2cccnc2)CC1)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001471389097 1074594901 /nfs/dbraw/zinc/59/49/01/1074594901.db2.gz CZASXWKXCQOVRA-MRXNPFEDSA-N 0 0 436.534 -0.028 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001471389184 1074594927 /nfs/dbraw/zinc/59/49/27/1074594927.db2.gz GMOOPJTWWWFPQO-CABCVRRESA-N 0 0 438.525 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001471389185 1074594828 /nfs/dbraw/zinc/59/48/28/1074594828.db2.gz GMOOPJTWWWFPQO-GJZGRUSLSA-N 0 0 438.525 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001471389186 1074594809 /nfs/dbraw/zinc/59/48/09/1074594809.db2.gz GMOOPJTWWWFPQO-HUUCEWRRSA-N 0 0 438.525 -0.008 20 0 IBADRN CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001471389187 1074594843 /nfs/dbraw/zinc/59/48/43/1074594843.db2.gz GMOOPJTWWWFPQO-LSDHHAIUSA-N 0 0 438.525 -0.008 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCOCC1)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001471390181 1074594891 /nfs/dbraw/zinc/59/48/91/1074594891.db2.gz OTSLCGCODNWGIR-HNNXBMFYSA-N 0 0 437.518 -0.189 20 0 IBADRN O=C(c1ccccc1S(=O)(=O)N1CCOCC1)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001471390182 1074594857 /nfs/dbraw/zinc/59/48/57/1074594857.db2.gz OTSLCGCODNWGIR-OAHLLOKOSA-N 0 0 437.518 -0.189 20 0 IBADRN C[C@H](C(=O)N1CCC2(CC1)C[C@H](O)CNC2=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471390381 1074594952 /nfs/dbraw/zinc/59/49/52/1074594952.db2.gz QOFFGXHBQPFCDQ-CABCVRRESA-N 0 0 438.525 -0.056 20 0 IBADRN C[C@@H](C(=O)N1CCC2(CC1)C[C@H](O)CNC2=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471390572 1074594880 /nfs/dbraw/zinc/59/48/80/1074594880.db2.gz QOFFGXHBQPFCDQ-GJZGRUSLSA-N 0 0 438.525 -0.056 20 0 IBADRN C[C@H](C(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471390573 1074594921 /nfs/dbraw/zinc/59/49/21/1074594921.db2.gz QOFFGXHBQPFCDQ-HUUCEWRRSA-N 0 0 438.525 -0.056 20 0 IBADRN C[C@@H](C(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471390575 1074594964 /nfs/dbraw/zinc/59/49/64/1074594964.db2.gz QOFFGXHBQPFCDQ-LSDHHAIUSA-N 0 0 438.525 -0.056 20 0 IBADRN CO[C@]1(CNC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CCS(=O)(=O)C1 ZINC001471399511 1074594937 /nfs/dbraw/zinc/59/49/37/1074594937.db2.gz DYAFCCXBVKHQND-IBGZPJMESA-N 0 0 430.571 -0.080 20 0 IBADRN CO[C@@]1(CNC(=O)N2CCN(CC(=O)N3CCCCCC3)CC2)CCS(=O)(=O)C1 ZINC001471399512 1074594867 /nfs/dbraw/zinc/59/48/67/1074594867.db2.gz DYAFCCXBVKHQND-LJQANCHMSA-N 0 0 430.571 -0.080 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001471408226 1074594835 /nfs/dbraw/zinc/59/48/35/1074594835.db2.gz NGRQPTMOMZIAMW-FQEVSTJZSA-N 0 0 430.509 -0.221 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCN(CCc2cnccn2)CC1 ZINC001471408227 1074595231 /nfs/dbraw/zinc/59/52/31/1074595231.db2.gz NGRQPTMOMZIAMW-HXUWFJFHSA-N 0 0 430.509 -0.221 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)N2CCN(CCc3cnccn3)CC2)[C@H]1c1nccn1C ZINC001471409041 1074595341 /nfs/dbraw/zinc/59/53/41/1074595341.db2.gz WHPVSRVHGABZNT-OALUTQOASA-N 0 0 427.509 -0.115 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC001471409163 1074595241 /nfs/dbraw/zinc/59/52/41/1074595241.db2.gz BORHGJXDYHHLAW-CYBMUJFWSA-N 0 0 440.478 -0.753 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)c1ccc2c(c1)S(=O)(=O)N(CCOC)C2=O ZINC001471409165 1074595271 /nfs/dbraw/zinc/59/52/71/1074595271.db2.gz BORHGJXDYHHLAW-ZDUSSCGKSA-N 0 0 440.478 -0.753 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001471409711 1074595261 /nfs/dbraw/zinc/59/52/61/1074595261.db2.gz DXVJLMXSDBGAMD-GXTWGEPZSA-N 0 0 434.902 -0.864 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001471409715 1074595330 /nfs/dbraw/zinc/59/53/30/1074595330.db2.gz DXVJLMXSDBGAMD-JSGCOSHPSA-N 0 0 434.902 -0.864 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001471409717 1074595192 /nfs/dbraw/zinc/59/51/92/1074595192.db2.gz DXVJLMXSDBGAMD-OCCSQVGLSA-N 0 0 434.902 -0.864 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001471409718 1074595337 /nfs/dbraw/zinc/59/53/37/1074595337.db2.gz DXVJLMXSDBGAMD-TZMCWYRMSA-N 0 0 434.902 -0.864 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1F)C(=O)NCCN1CCC2(C1)OCCO2 ZINC001471409843 1074595318 /nfs/dbraw/zinc/59/53/18/1074595318.db2.gz ODJGWEUSCQFWEF-UHFFFAOYSA-N 0 0 446.501 -0.058 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001471409957 1074595213 /nfs/dbraw/zinc/59/52/13/1074595213.db2.gz ITVWXCWOKWRJPL-KBPBESRZSA-N 0 0 427.502 -0.342 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)CNC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC001471409958 1074595287 /nfs/dbraw/zinc/59/52/87/1074595287.db2.gz ITVWXCWOKWRJPL-KGLIPLIRSA-N 0 0 427.502 -0.342 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001471409959 1074595301 /nfs/dbraw/zinc/59/53/01/1074595301.db2.gz ITVWXCWOKWRJPL-UONOGXRCSA-N 0 0 427.502 -0.342 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)CNC(=O)[C@H]1CCCN1C(=O)OC(C)(C)C ZINC001471410055 1074595279 /nfs/dbraw/zinc/59/52/79/1074595279.db2.gz ITVWXCWOKWRJPL-ZIAGYGMSSA-N 0 0 427.502 -0.342 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NCCN2CCC3(C2)OCCO3)c1 ZINC001471410429 1074595151 /nfs/dbraw/zinc/59/51/51/1074595151.db2.gz XXQZICMHQYGLDK-UHFFFAOYSA-N 0 0 442.538 -0.141 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC001471410447 1074595220 /nfs/dbraw/zinc/59/52/20/1074595220.db2.gz PODKJVCVNJHMOD-HNNXBMFYSA-N 0 0 443.526 -0.151 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)C1CCN(S(=O)(=O)c2c(C)noc2C)CC1 ZINC001471410448 1074595181 /nfs/dbraw/zinc/59/51/81/1074595181.db2.gz PODKJVCVNJHMOD-OAHLLOKOSA-N 0 0 443.526 -0.151 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001471410579 1074595293 /nfs/dbraw/zinc/59/52/93/1074595293.db2.gz SFUXLSWXODRAHE-KBPBESRZSA-N 0 0 448.542 -0.433 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001471410580 1074595252 /nfs/dbraw/zinc/59/52/52/1074595252.db2.gz SFUXLSWXODRAHE-KGLIPLIRSA-N 0 0 448.542 -0.433 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001471410581 1074595311 /nfs/dbraw/zinc/59/53/11/1074595311.db2.gz SFUXLSWXODRAHE-UONOGXRCSA-N 0 0 448.542 -0.433 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001471410582 1074595201 /nfs/dbraw/zinc/59/52/01/1074595201.db2.gz SFUXLSWXODRAHE-ZIAGYGMSSA-N 0 0 448.542 -0.433 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)cc1 ZINC001471419952 1074595599 /nfs/dbraw/zinc/59/55/99/1074595599.db2.gz SEORIOCWRVZBNX-DOTOQJQBSA-N 0 0 439.490 -0.352 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)cc1 ZINC001471419953 1074595573 /nfs/dbraw/zinc/59/55/73/1074595573.db2.gz SEORIOCWRVZBNX-NVXWUHKLSA-N 0 0 439.490 -0.352 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)cc1 ZINC001471419954 1074595500 /nfs/dbraw/zinc/59/55/00/1074595500.db2.gz SEORIOCWRVZBNX-RDJZCZTQSA-N 0 0 439.490 -0.352 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)cc1 ZINC001471419955 1074595537 /nfs/dbraw/zinc/59/55/37/1074595537.db2.gz SEORIOCWRVZBNX-WBVHZDCISA-N 0 0 439.490 -0.352 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)[C@@H]2CC(=O)NC(=O)N2)C1 ZINC001471434103 1074595562 /nfs/dbraw/zinc/59/55/62/1074595562.db2.gz KSISLCMBVJZFGL-RVMXOQNASA-N 0 0 437.453 -0.375 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)[C@@H]2CC(=O)NC(=O)N2)C1 ZINC001471434108 1074595549 /nfs/dbraw/zinc/59/55/49/1074595549.db2.gz KSISLCMBVJZFGL-XQHKEYJVSA-N 0 0 437.453 -0.375 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(=O)CN2C(=O)CNC2=O)C1 ZINC001471434693 1074595608 /nfs/dbraw/zinc/59/56/08/1074595608.db2.gz MKUYSSFVPUAFAQ-UPJWGTAASA-N 0 0 437.453 -0.421 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(=O)CN2C(=O)CNC2=O)C1 ZINC001471434695 1074595583 /nfs/dbraw/zinc/59/55/83/1074595583.db2.gz MKUYSSFVPUAFAQ-XQQFMLRXSA-N 0 0 437.453 -0.421 20 0 IBADRN C[C@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[n-]2)CC1 ZINC001471447629 1074595520 /nfs/dbraw/zinc/59/55/20/1074595520.db2.gz HUQDKQLNKLKKGQ-CYBMUJFWSA-N 0 0 427.531 -0.813 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)[NH+]1CCN(C(=O)NCc2nnc(CS(C)(=O)=O)[n-]2)CC1 ZINC001471447630 1074595941 /nfs/dbraw/zinc/59/59/41/1074595941.db2.gz HUQDKQLNKLKKGQ-ZDUSSCGKSA-N 0 0 427.531 -0.813 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(C(=O)NCCS(=O)(=O)N2CCCC2)CC1 ZINC001471449793 1074595826 /nfs/dbraw/zinc/59/58/26/1074595826.db2.gz UZVSSIKKDLHQHO-UHFFFAOYSA-N 0 0 440.588 -0.506 20 0 IBADRN Cn1nncc1C1(O)CN(C(=O)CNC(=O)c2ccccc2I)C1 ZINC001471494489 1074596259 /nfs/dbraw/zinc/59/62/59/1074596259.db2.gz KWZUUHHYICHOAZ-UHFFFAOYSA-N 0 0 441.229 -0.121 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3CC(O)(c4cnnn4C)C3)cc2)CC1 ZINC001471495275 1074596276 /nfs/dbraw/zinc/59/62/76/1074596276.db2.gz UGTDXTAEGMJPII-UHFFFAOYSA-N 0 0 448.505 -0.989 20 0 IBADRN COCCNS(=O)(=O)c1ccc(Cl)c(C(=O)N2CC(O)(c3cnnn3C)C2)c1 ZINC001471495457 1074596169 /nfs/dbraw/zinc/59/61/69/1074596169.db2.gz VNYXPOAPOIOWLY-UHFFFAOYSA-N 0 0 429.886 -0.263 20 0 IBADRN CCc1ccc(C(=O)N2CC(O)(c3cnnn3C)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001471495584 1074596177 /nfs/dbraw/zinc/59/61/77/1074596177.db2.gz XJJHYIVBZSAFFO-UHFFFAOYSA-N 0 0 435.506 -0.258 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCC[C@H](n2nncc2I)C1 ZINC001471496126 1074596201 /nfs/dbraw/zinc/59/62/01/1074596201.db2.gz YHVCUVRTUZUNLM-JTQLQIEISA-N 0 0 434.238 -0.702 20 0 IBADRN CC(=O)NCC(=O)NCC(=O)N1CCC[C@@H](n2nncc2I)C1 ZINC001471496127 1074596273 /nfs/dbraw/zinc/59/62/73/1074596273.db2.gz YHVCUVRTUZUNLM-SNVBAGLBSA-N 0 0 434.238 -0.702 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCC[C@@H](n2nncc2I)C1 ZINC001471497739 1074596248 /nfs/dbraw/zinc/59/62/48/1074596248.db2.gz OHVOMUZWXPZOGS-PRULPYPASA-N 0 0 434.234 -0.541 20 0 IBADRN O=C(C1=C[C@@H](O)[C@@H](O)[C@H](O)C1)N1CCC[C@H](n2nncc2I)C1 ZINC001471497746 1074596290 /nfs/dbraw/zinc/59/62/90/1074596290.db2.gz OHVOMUZWXPZOGS-SBFPOUOMSA-N 0 0 434.234 -0.541 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H](NC(=O)N2CCN(C(=O)CN3CCOCC3)CC2)CO1 ZINC001471498545 1074596266 /nfs/dbraw/zinc/59/62/66/1074596266.db2.gz GZGMQINMPYAJEE-HNNXBMFYSA-N 0 0 427.502 -0.277 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@H]3CCNC(=O)[C@@H]32)c1 ZINC001471509478 1074596282 /nfs/dbraw/zinc/59/62/82/1074596282.db2.gz IICZAXMKBVTPOY-BLLLJJGKSA-N 0 0 429.520 -0.505 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@H]3CCNC(=O)[C@H]32)c1 ZINC001471509479 1074596193 /nfs/dbraw/zinc/59/61/93/1074596193.db2.gz IICZAXMKBVTPOY-LRDDRELGSA-N 0 0 429.520 -0.505 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]3CCNC(=O)[C@@H]32)c1 ZINC001471509480 1074596254 /nfs/dbraw/zinc/59/62/54/1074596254.db2.gz IICZAXMKBVTPOY-MLGOLLRUSA-N 0 0 429.520 -0.505 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC[C@@H]3CCNC(=O)[C@H]32)c1 ZINC001471509481 1074596299 /nfs/dbraw/zinc/59/62/99/1074596299.db2.gz IICZAXMKBVTPOY-WBMJQRKESA-N 0 0 429.520 -0.505 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)C1 ZINC001471517785 1074596220 /nfs/dbraw/zinc/59/62/20/1074596220.db2.gz INRUWVHSCYAKKZ-GXTWGEPZSA-N 0 0 445.542 -0.460 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)C1 ZINC001471517788 1074596210 /nfs/dbraw/zinc/59/62/10/1074596210.db2.gz INRUWVHSCYAKKZ-JSGCOSHPSA-N 0 0 445.542 -0.460 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)C1 ZINC001471517789 1074596243 /nfs/dbraw/zinc/59/62/43/1074596243.db2.gz INRUWVHSCYAKKZ-OCCSQVGLSA-N 0 0 445.542 -0.460 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)C1 ZINC001471517790 1074596228 /nfs/dbraw/zinc/59/62/28/1074596228.db2.gz INRUWVHSCYAKKZ-TZMCWYRMSA-N 0 0 445.542 -0.460 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001471517874 1074596236 /nfs/dbraw/zinc/59/62/36/1074596236.db2.gz JSCOWYRGIANLTQ-GFCCVEGCSA-N 0 0 433.531 -0.603 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001471517875 1074596307 /nfs/dbraw/zinc/59/63/07/1074596307.db2.gz JSCOWYRGIANLTQ-LBPRGKRZSA-N 0 0 433.531 -0.603 20 0 IBADRN CCc1ccc(C(=O)NCC2(S(N)(=O)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001471518124 1074596185 /nfs/dbraw/zinc/59/61/85/1074596185.db2.gz XRMZWJZOWNYEFI-UHFFFAOYSA-N 0 0 431.536 -0.179 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)NC[C@]3(OCCO)CCOC3)CC2=O)C1 ZINC001471519172 1074596562 /nfs/dbraw/zinc/59/65/62/1074596562.db2.gz AKKCMBVDVYNQSV-JLTOFOAXSA-N 0 0 442.513 -0.326 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)NC[C@]3(OCCO)CCOC3)CC2=O)C1 ZINC001471519173 1074596644 /nfs/dbraw/zinc/59/66/44/1074596644.db2.gz AKKCMBVDVYNQSV-VBKZILBWSA-N 0 0 442.513 -0.326 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)NC[C@@]3(OCCO)CCOC3)CC2=O)C1 ZINC001471519174 1074596632 /nfs/dbraw/zinc/59/66/32/1074596632.db2.gz AKKCMBVDVYNQSV-VLIAUNLRSA-N 0 0 442.513 -0.326 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)NC[C@@]3(OCCO)CCOC3)CC2=O)C1 ZINC001471519175 1074596585 /nfs/dbraw/zinc/59/65/85/1074596585.db2.gz AKKCMBVDVYNQSV-XOBRGWDASA-N 0 0 442.513 -0.326 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2=O)C1 ZINC001471520006 1074596658 /nfs/dbraw/zinc/59/66/58/1074596658.db2.gz HUNJLAODPDOGLN-AAEUAGOBSA-N 0 0 436.469 -0.848 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2=O)C1 ZINC001471520007 1074596625 /nfs/dbraw/zinc/59/66/25/1074596625.db2.gz HUNJLAODPDOGLN-DGCLKSJQSA-N 0 0 436.469 -0.848 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)CC2=O)C1 ZINC001471520008 1074596663 /nfs/dbraw/zinc/59/66/63/1074596663.db2.gz HUNJLAODPDOGLN-WCQYABFASA-N 0 0 436.469 -0.848 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)CC2=O)C1 ZINC001471520009 1074596636 /nfs/dbraw/zinc/59/66/36/1074596636.db2.gz HUNJLAODPDOGLN-YPMHNXCESA-N 0 0 436.469 -0.848 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@@H](NC(=O)N(CCO)CCOCCO)CC2=O)C1 ZINC001471522681 1074596572 /nfs/dbraw/zinc/59/65/72/1074596572.db2.gz YBWMDPDMUDWKJK-AWEZNQCLSA-N 0 0 430.502 -0.781 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2C[C@H](NC(=O)N(CCO)CCOCCO)CC2=O)C1 ZINC001471522682 1074596603 /nfs/dbraw/zinc/59/66/03/1074596603.db2.gz YBWMDPDMUDWKJK-CQSZACIVSA-N 0 0 430.502 -0.781 20 0 IBADRN COC(=O)[C@]1(O)CCN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC001471525759 1074596591 /nfs/dbraw/zinc/59/65/91/1074596591.db2.gz FNYLUYPFRZZFIU-IBGZPJMESA-N 0 0 439.490 -0.711 20 0 IBADRN COC(=O)[C@@]1(O)CCN(C(=O)c2cccc(S(=O)(=O)N3CCN(C(C)=O)CC3)c2)C1 ZINC001471525760 1074596640 /nfs/dbraw/zinc/59/66/40/1074596640.db2.gz FNYLUYPFRZZFIU-LJQANCHMSA-N 0 0 439.490 -0.711 20 0 IBADRN COC(=O)c1cc2n(n1)CCC[C@H]2NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001471528797 1074596553 /nfs/dbraw/zinc/59/65/53/1074596553.db2.gz FTVNOIXPEPTQCN-CYBMUJFWSA-N 0 0 446.464 -0.493 20 0 IBADRN COC(=O)c1cc2n(n1)CCC[C@@H]2NC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001471528801 1074596649 /nfs/dbraw/zinc/59/66/49/1074596649.db2.gz FTVNOIXPEPTQCN-ZDUSSCGKSA-N 0 0 446.464 -0.493 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1CCN([S@](C)(=N)=O)CC1)CCS2(=O)=O ZINC001471538104 1074596544 /nfs/dbraw/zinc/59/65/44/1074596544.db2.gz GQPJWHVFLQMVSB-ADVKSPLESA-N 0 0 436.556 -0.196 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1CCN([S@](C)(=N)=O)CC1)CCS2(=O)=O ZINC001471538105 1074596654 /nfs/dbraw/zinc/59/66/54/1074596654.db2.gz GQPJWHVFLQMVSB-PVBYUSJMSA-N 0 0 436.556 -0.196 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1CCN([S@@](C)(=N)=O)CC1)CCS2(=O)=O ZINC001471538107 1074596579 /nfs/dbraw/zinc/59/65/79/1074596579.db2.gz GQPJWHVFLQMVSB-VIJLKPTQSA-N 0 0 436.556 -0.196 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1CCN([S@@](C)(=N)=O)CC1)CCS2(=O)=O ZINC001471538110 1074596668 /nfs/dbraw/zinc/59/66/68/1074596668.db2.gz GQPJWHVFLQMVSB-YUPBSRTBSA-N 0 0 436.556 -0.196 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001471538430 1074596897 /nfs/dbraw/zinc/59/68/97/1074596897.db2.gz JEERXFSUJOUCOO-LPRCSBTHSA-N 0 0 446.522 -0.159 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001471538431 1074596947 /nfs/dbraw/zinc/59/69/47/1074596947.db2.gz JEERXFSUJOUCOO-QXGUJMOYSA-N 0 0 446.522 -0.159 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001471538432 1074596841 /nfs/dbraw/zinc/59/68/41/1074596841.db2.gz JEERXFSUJOUCOO-UARSRXHTSA-N 0 0 446.522 -0.159 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1 ZINC001471538433 1074596956 /nfs/dbraw/zinc/59/69/56/1074596956.db2.gz JEERXFSUJOUCOO-YYHKSVKZSA-N 0 0 446.522 -0.159 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N[C@@H]1CCc3c(nnn3C)C1)CCS2(=O)=O ZINC001471538792 1074596962 /nfs/dbraw/zinc/59/69/62/1074596962.db2.gz OHBWAPVEEVFZBZ-DVAPBTGTSA-N 0 0 425.511 -0.129 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N[C@H]1CCc3c(nnn3C)C1)CCS2(=O)=O ZINC001471538793 1074596939 /nfs/dbraw/zinc/59/69/39/1074596939.db2.gz OHBWAPVEEVFZBZ-MWDZPIOTSA-N 0 0 425.511 -0.129 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N[C@H]1CCc3c(nnn3C)C1)CCS2(=O)=O ZINC001471538794 1074596930 /nfs/dbraw/zinc/59/69/30/1074596930.db2.gz OHBWAPVEEVFZBZ-NKXNIHSHSA-N 0 0 425.511 -0.129 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N[C@@H]1CCc3c(nnn3C)C1)CCS2(=O)=O ZINC001471538795 1074596853 /nfs/dbraw/zinc/59/68/53/1074596853.db2.gz OHBWAPVEEVFZBZ-YMOZUKEZSA-N 0 0 425.511 -0.129 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)N1CCN(C(=O)N(C)C)CC1)CCS2(=O)=O ZINC001471538964 1074597000 /nfs/dbraw/zinc/59/70/00/1074597000.db2.gz QPAFMZBRIXTEFQ-FVRSWCFKSA-N 0 0 430.527 -0.106 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)N1CCN(C(=O)N(C)C)CC1)CCS2(=O)=O ZINC001471538965 1074596888 /nfs/dbraw/zinc/59/68/88/1074596888.db2.gz QPAFMZBRIXTEFQ-TXKKKEFDSA-N 0 0 430.527 -0.106 20 0 IBADRN COCCN1CCN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1=O ZINC001471538989 1074596996 /nfs/dbraw/zinc/59/69/96/1074596996.db2.gz RLTFJXSRBFXHRP-FVRSWCFKSA-N 0 0 431.511 -0.614 20 0 IBADRN COCCN1CCN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CC1=O ZINC001471538990 1074596825 /nfs/dbraw/zinc/59/68/25/1074596825.db2.gz RLTFJXSRBFXHRP-TXKKKEFDSA-N 0 0 431.511 -0.614 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CCO1 ZINC001471539340 1074596970 /nfs/dbraw/zinc/59/69/70/1074596970.db2.gz XCEIUVCMZZDZPV-HPGRBHQUSA-N 0 0 432.495 -0.141 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CCO1 ZINC001471539342 1074596846 /nfs/dbraw/zinc/59/68/46/1074596846.db2.gz XCEIUVCMZZDZPV-NTXUUIFSSA-N 0 0 432.495 -0.141 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)N[C@@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CCO1 ZINC001471539344 1074596835 /nfs/dbraw/zinc/59/68/35/1074596835.db2.gz XCEIUVCMZZDZPV-OOWFEOPPSA-N 0 0 432.495 -0.141 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)N[C@H]2CCS(=O)(=O)C3(CC(C(=O)OC)C3)C2)CCO1 ZINC001471539345 1074596861 /nfs/dbraw/zinc/59/68/61/1074596861.db2.gz XCEIUVCMZZDZPV-RYTBJUFESA-N 0 0 432.495 -0.141 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CC(=O)N(CC3CC3)C2)s1 ZINC001471542670 1074596881 /nfs/dbraw/zinc/59/68/81/1074596881.db2.gz KIYGNWZAWMQZNE-CYBMUJFWSA-N 0 0 428.536 -0.210 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CC(=O)N(CC3CC3)C2)s1 ZINC001471542673 1074596870 /nfs/dbraw/zinc/59/68/70/1074596870.db2.gz KIYGNWZAWMQZNE-ZDUSSCGKSA-N 0 0 428.536 -0.210 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)N3CCC(=O)NCC34COC4)CC2)cc1 ZINC001471547402 1074597369 /nfs/dbraw/zinc/59/73/69/1074597369.db2.gz HMNPBKBBGVAOQW-UHFFFAOYSA-N 0 0 436.534 -0.581 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2CCC(=O)NCC23COC3)cc1OCC ZINC001471547795 1074597324 /nfs/dbraw/zinc/59/73/24/1074597324.db2.gz KPRDZHVMISLKSN-UHFFFAOYSA-N 0 0 441.506 -0.120 20 0 IBADRN CN(c1cccc(CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c1)S(C)(=O)=O ZINC001471553166 1074597242 /nfs/dbraw/zinc/59/72/42/1074597242.db2.gz CUDAPTRMLSARQD-UHFFFAOYSA-N 0 0 436.498 -0.877 20 0 IBADRN COc1nn(C)cc1CNC(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001471559805 1074597396 /nfs/dbraw/zinc/59/73/96/1074597396.db2.gz HMPWFWSJVUOGNY-KBPBESRZSA-N 0 0 430.531 -0.390 20 0 IBADRN COc1nn(C)cc1CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001471559806 1074597345 /nfs/dbraw/zinc/59/73/45/1074597345.db2.gz HMPWFWSJVUOGNY-OKILXGFUSA-N 0 0 430.531 -0.390 20 0 IBADRN COc1nn(C)cc1CNC(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001471559807 1074597410 /nfs/dbraw/zinc/59/74/10/1074597410.db2.gz HMPWFWSJVUOGNY-ZIAGYGMSSA-N 0 0 430.531 -0.390 20 0 IBADRN COC(=O)[C@@H]1CC(F)(F)CN1C(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001471575755 1074597226 /nfs/dbraw/zinc/59/72/26/1074597226.db2.gz NDKOQCPUAFLRDF-ZDUSSCGKSA-N 0 0 431.417 -0.170 20 0 IBADRN NC(=O)CN(C(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)C1CCOCC1 ZINC001471593632 1074597393 /nfs/dbraw/zinc/59/73/93/1074597393.db2.gz BTBCWHYIJKOAPF-UHFFFAOYSA-N 0 0 427.479 -0.381 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)C3(CS(C)(=O)=O)COC3)CC2)cc1 ZINC001471594574 1074597316 /nfs/dbraw/zinc/59/73/16/1074597316.db2.gz GLHPZZGDBXFTJF-UHFFFAOYSA-N 0 0 431.536 -0.696 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCC(C(=O)N(CC(N)=O)C3CCOCC3)CC2)cn1C ZINC001471594925 1074597417 /nfs/dbraw/zinc/59/74/17/1074597417.db2.gz NLDAAACDVYTKMO-UHFFFAOYSA-N 0 0 427.527 -0.378 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(C(=O)c3cn(C(F)(F)CO)nn3)CC2)cc1 ZINC001471595431 1074597403 /nfs/dbraw/zinc/59/74/03/1074597403.db2.gz OFDCTMDJEMRQPN-UHFFFAOYSA-N 0 0 430.437 -0.310 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)N[C@@H]1CCc2ncc(Br)n2C1 ZINC001471596149 1074597653 /nfs/dbraw/zinc/59/76/53/1074597653.db2.gz XOUWRAYNAAZODW-GHMZBOCLSA-N 0 0 436.332 -0.080 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)N[C@@H]1CCc2ncc(Br)n2C1 ZINC001471596156 1074597686 /nfs/dbraw/zinc/59/76/86/1074597686.db2.gz XOUWRAYNAAZODW-MNOVXSKESA-N 0 0 436.332 -0.080 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)N[C@H]1CCc2ncc(Br)n2C1 ZINC001471596157 1074597662 /nfs/dbraw/zinc/59/76/62/1074597662.db2.gz XOUWRAYNAAZODW-QWRGUYRKSA-N 0 0 436.332 -0.080 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)N[C@H]1CCc2ncc(Br)n2C1 ZINC001471596159 1074597797 /nfs/dbraw/zinc/59/77/97/1074597797.db2.gz XOUWRAYNAAZODW-WDEREUQCSA-N 0 0 436.332 -0.080 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)CCNS(=O)(=O)c2cccc(Br)c2)C1 ZINC001471608004 1074597756 /nfs/dbraw/zinc/59/77/56/1074597756.db2.gz ACQHTEHUGSXXDS-UHFFFAOYSA-N 0 0 444.311 -0.024 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)CCNS(=O)(=O)c2ccc(Br)cc2)C1 ZINC001471609175 1074597744 /nfs/dbraw/zinc/59/77/44/1074597744.db2.gz OTOHEFVVVKALJU-UHFFFAOYSA-N 0 0 444.311 -0.024 20 0 IBADRN COc1ccc(C)cc1[C@]1(C)NC(=O)N(CC(=O)N2CC(O)(c3ncnn3C)C2)C1=O ZINC001471609429 1074597718 /nfs/dbraw/zinc/59/77/18/1074597718.db2.gz UEINTSMSACPPPD-IBGZPJMESA-N 0 0 428.449 -0.371 20 0 IBADRN COc1ccc(C)cc1[C@@]1(C)NC(=O)N(CC(=O)N2CC(O)(c3ncnn3C)C2)C1=O ZINC001471609430 1074597692 /nfs/dbraw/zinc/59/76/92/1074597692.db2.gz UEINTSMSACPPPD-LJQANCHMSA-N 0 0 428.449 -0.371 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3C[C@@H]4CC[C@H]3O4)CC2)cc1 ZINC001471615574 1074597707 /nfs/dbraw/zinc/59/77/07/1074597707.db2.gz HUSQRJPGUZXBMG-APHBMKBZSA-N 0 0 444.535 -0.330 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1)Oc1ccccc1 ZINC001471620919 1074597763 /nfs/dbraw/zinc/59/77/63/1074597763.db2.gz IOYRLJHVFFEAMD-CZUORRHYSA-N 0 0 437.474 -0.111 20 0 IBADRN COC(=O)[C@@H](CNC(=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1)Oc1ccccc1 ZINC001471620920 1074597677 /nfs/dbraw/zinc/59/76/77/1074597677.db2.gz IOYRLJHVFFEAMD-XJKSGUPXSA-N 0 0 437.474 -0.111 20 0 IBADRN CCn1cc(CNC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c(=O)[nH]c1=O ZINC001471622040 1074597644 /nfs/dbraw/zinc/59/76/44/1074597644.db2.gz DTKWFSZBZOEBGB-UHFFFAOYSA-N 0 0 446.489 -0.055 20 0 IBADRN CC(C)n1cc(CNC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)c(=O)[nH]c1=O ZINC001471622527 1074597622 /nfs/dbraw/zinc/59/76/22/1074597622.db2.gz IIXKVWDWGHUDHL-HNNXBMFYSA-N 0 0 443.526 -0.134 20 0 IBADRN CC(C)n1cc(CNC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)c(=O)[nH]c1=O ZINC001471622528 1074597670 /nfs/dbraw/zinc/59/76/70/1074597670.db2.gz IIXKVWDWGHUDHL-OAHLLOKOSA-N 0 0 443.526 -0.134 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(C3=NS(=O)(=O)c4ccccc43)CC2)c(=O)[nH]c1=O ZINC001471623077 1074597752 /nfs/dbraw/zinc/59/77/52/1074597752.db2.gz QDFUDZLTAIERLA-UHFFFAOYSA-N 0 0 432.462 -0.538 20 0 IBADRN Cn1cc(CNC(=O)N2CCN(S(=O)(=O)c3ccc(F)cc3F)CC2)c(=O)[nH]c1=O ZINC001471623702 1074597635 /nfs/dbraw/zinc/59/76/35/1074597635.db2.gz YPQMTGXNSZYUES-UHFFFAOYSA-N 0 0 443.432 -0.020 20 0 IBADRN O=C(NCCc1ccc(O)c(CO)c1)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001471643300 1074598137 /nfs/dbraw/zinc/59/81/37/1074598137.db2.gz LKZFXBGPCBMCLW-UHFFFAOYSA-N 0 0 433.486 -0.023 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001471646229 1074598078 /nfs/dbraw/zinc/59/80/78/1074598078.db2.gz DQWZSKGZQKACAA-SFHVURJKSA-N 0 0 449.533 -0.163 20 0 IBADRN CNC(=O)[C@H](Cc1c[nH]cn1)NC(=O)Cn1cnc2c(c(Br)nn2C)c1=O ZINC001471647306 1074598046 /nfs/dbraw/zinc/59/80/46/1074598046.db2.gz PDXGNIRZTZLLFH-VIFPVBQESA-N 0 0 437.258 -0.911 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@H](CO)CC3OCCO3)CC2)c1 ZINC001471651750 1074597978 /nfs/dbraw/zinc/59/79/78/1074597978.db2.gz PRXCSNSGIMMSMN-INIZCTEOSA-N 0 0 427.523 -0.313 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N[C@@H](CO)CC3OCCO3)CC2)c1 ZINC001471651751 1074598164 /nfs/dbraw/zinc/59/81/64/1074598164.db2.gz PRXCSNSGIMMSMN-MRXNPFEDSA-N 0 0 427.523 -0.313 20 0 IBADRN CC(C)(CNS(N)(=O)=O)NC(=O)C1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001471665975 1074598147 /nfs/dbraw/zinc/59/81/47/1074598147.db2.gz HSVGLYZWVKQBEX-UHFFFAOYSA-N 0 0 436.531 -0.086 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NCCN(C)CCS(C)(=O)=O)cc2S1(=O)=O ZINC001471667714 1074598127 /nfs/dbraw/zinc/59/81/27/1074598127.db2.gz CLWUFOSLXAEQFQ-UHFFFAOYSA-N 0 0 447.535 -0.816 20 0 IBADRN CN(CCNC(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)CCS(C)(=O)=O ZINC001471667874 1074598180 /nfs/dbraw/zinc/59/81/80/1074598180.db2.gz GOOASKYXYPVHJI-HNNXBMFYSA-N 0 0 425.507 -0.097 20 0 IBADRN CN(CCNC(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)CCS(C)(=O)=O ZINC001471667875 1074598117 /nfs/dbraw/zinc/59/81/17/1074598117.db2.gz GOOASKYXYPVHJI-OAHLLOKOSA-N 0 0 425.507 -0.097 20 0 IBADRN CN(CCNC(=O)CNS(=O)(=O)c1ccc2c(c1)OCCCO2)CCS(C)(=O)=O ZINC001471667889 1074598156 /nfs/dbraw/zinc/59/81/56/1074598156.db2.gz GXHZSCBATAUBID-UHFFFAOYSA-N 0 0 449.551 -0.781 20 0 IBADRN CN(CCNC(=O)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1)CCS(C)(=O)=O ZINC001471668720 1074598172 /nfs/dbraw/zinc/59/81/72/1074598172.db2.gz UJTGXEQZBXFMHO-SJORKVTESA-N 0 0 427.523 -0.149 20 0 IBADRN Cn1nccc1C1(O)CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001471669576 1074597988 /nfs/dbraw/zinc/59/79/88/1074597988.db2.gz VDGBOMCZMSPFFJ-UHFFFAOYSA-N 0 0 447.517 -0.336 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCC(O)(c3ccnn3C)CC2)c1 ZINC001471669653 1074598005 /nfs/dbraw/zinc/59/80/05/1074598005.db2.gz YJGLOCBSQRJJHZ-UHFFFAOYSA-N 0 0 449.533 -0.090 20 0 IBADRN Cn1ncnc1C1(O)CCN(C(=O)c2cccc(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001471669661 1074597998 /nfs/dbraw/zinc/59/79/98/1074597998.db2.gz YRSWWANCPFHQKB-UHFFFAOYSA-N 0 0 435.506 -0.040 20 0 IBADRN Cn1ncnc1C1(O)CCN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001471669736 1074598039 /nfs/dbraw/zinc/59/80/39/1074598039.db2.gz CHCNXIYFNGOFMM-UHFFFAOYSA-N 0 0 448.505 -0.941 20 0 IBADRN CN1CCn2c(CNC(=O)CN3C(=O)N[C@@](C)(c4ccc(F)cc4)C3=O)nnc2C1=O ZINC001471669752 1074598031 /nfs/dbraw/zinc/59/80/31/1074598031.db2.gz CWLBHNBCLCNMQQ-IBGZPJMESA-N 0 0 429.412 -0.414 20 0 IBADRN CN1CCn2c(CNC(=O)CN3C(=O)N[C@](C)(c4ccc(F)cc4)C3=O)nnc2C1=O ZINC001471669753 1074598108 /nfs/dbraw/zinc/59/81/08/1074598108.db2.gz CWLBHNBCLCNMQQ-LJQANCHMSA-N 0 0 429.412 -0.414 20 0 IBADRN Cn1ncnc1C1(O)CCN(C(=O)c2ccccc2S(=O)(=O)N2CCOCC2)CC1 ZINC001471670220 1074597958 /nfs/dbraw/zinc/59/79/58/1074597958.db2.gz WZBVTOKALCGYEC-UHFFFAOYSA-N 0 0 435.506 -0.040 20 0 IBADRN C[C@@H](CC(=O)NCc1nnc2n1CCN(C)C2=O)NS(=O)(=O)c1cccnc1Cl ZINC001471670409 1074598516 /nfs/dbraw/zinc/59/85/16/1074598516.db2.gz FWXPTIPLEVVKBE-JTQLQIEISA-N 0 0 441.901 -0.215 20 0 IBADRN C[C@H](CC(=O)NCc1nnc2n1CCN(C)C2=O)NS(=O)(=O)c1cccnc1Cl ZINC001471670410 1074598356 /nfs/dbraw/zinc/59/83/56/1074598356.db2.gz FWXPTIPLEVVKBE-SNVBAGLBSA-N 0 0 441.901 -0.215 20 0 IBADRN CCCCS(=O)(=O)N1CCC(C(=O)NCCc2nnc3n2CCN(C)C3=O)CC1 ZINC001471670896 1074598368 /nfs/dbraw/zinc/59/83/68/1074598368.db2.gz DWSYLWUEIMEVGS-UHFFFAOYSA-N 0 0 426.543 -0.136 20 0 IBADRN CN1CCn2c(CCNC(=O)C3(O)CN(C(=O)OCc4ccccc4)C3)nnc2C1=O ZINC001471671334 1074598503 /nfs/dbraw/zinc/59/85/03/1074598503.db2.gz NVHDCZNRVJENMR-UHFFFAOYSA-N 0 0 428.449 -0.594 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NCCc1nnc2n1CCN(C)C2=O ZINC001471671539 1074598377 /nfs/dbraw/zinc/59/83/77/1074598377.db2.gz SGTJIRRRVFPJHE-UHFFFAOYSA-N 0 0 434.522 -0.329 20 0 IBADRN Cn1ncc(C(=O)NCCc2nnc3n2CCN(C)C3=O)c1I ZINC001471671565 1074598532 /nfs/dbraw/zinc/59/85/32/1074598532.db2.gz UIRUNTCZAWYIEV-UHFFFAOYSA-N 0 0 429.222 -0.326 20 0 IBADRN CN1CCn2c(CCNC(=O)[C@H]3CCCN3S(=O)(=O)c3ccccc3)nnc2C1=O ZINC001471671617 1074598580 /nfs/dbraw/zinc/59/85/80/1074598580.db2.gz WARPATVNIUQPJX-OAHLLOKOSA-N 0 0 432.506 -0.124 20 0 IBADRN CN1CCn2c(CCNC(=O)c3cccc(S(=O)(=O)N4CCOCC4)c3)nnc2C1=O ZINC001471671684 1074598428 /nfs/dbraw/zinc/59/84/28/1074598428.db2.gz XPILLBYIMFZRCN-UHFFFAOYSA-N 0 0 448.505 -0.643 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2cccc(S(=O)(=O)NC3CC3)c2)C[C@@H]1S(C)(=O)=O ZINC001471672769 1074598410 /nfs/dbraw/zinc/59/84/10/1074598410.db2.gz HFPHLNVBMCRTCK-WMLDXEAASA-N 0 0 430.504 -0.167 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)CNS(=O)(=O)c2ccc(C)c(C)c2)C[C@@H]1S(C)(=O)=O ZINC001471673157 1074598543 /nfs/dbraw/zinc/59/85/43/1074598543.db2.gz YALDHPMWCVBNQH-WMLDXEAASA-N 0 0 432.520 -0.326 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2c(I)cnn2C)C[C@@H]1S(C)(=O)=O ZINC001471673374 1074598470 /nfs/dbraw/zinc/59/84/70/1074598470.db2.gz HARLAHUAAQTSEU-QPUJVOFHSA-N 0 0 441.247 -0.269 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)Cc2ccc(S(=O)(=O)N(C)C)cc2)C[C@@H]1S(C)(=O)=O ZINC001471673375 1074598571 /nfs/dbraw/zinc/59/85/71/1074598571.db2.gz HBHSNFCBRVYXHS-WMLDXEAASA-N 0 0 432.520 -0.428 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2cnn3c2C[C@H](CNC(C)=O)CC3)C[C@@H]1S(C)(=O)=O ZINC001471673517 1074598560 /nfs/dbraw/zinc/59/85/60/1074598560.db2.gz KXNSBYNFYBMGCR-HNJNHCNJSA-N 0 0 426.495 -0.712 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2cnn3c2C[C@@H](CNC(C)=O)CC3)C[C@@H]1S(C)(=O)=O ZINC001471673524 1074598437 /nfs/dbraw/zinc/59/84/37/1074598437.db2.gz KXNSBYNFYBMGCR-XLWVJDPXSA-N 0 0 426.495 -0.712 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@H](C)NS(=O)(=O)c2ccccc2F)C[C@@H]1S(C)(=O)=O ZINC001471673854 1074598391 /nfs/dbraw/zinc/59/83/91/1074598391.db2.gz SUFDPNZNIDRUKB-YNZJMPHRSA-N 0 0 436.483 -0.415 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)c2cc(S(=O)(=O)N(C)C)ccc2F)C[C@@H]1S(C)(=O)=O ZINC001471673905 1074598494 /nfs/dbraw/zinc/59/84/94/1074598494.db2.gz UDBDBOYCHSPHAN-XJKSGUPXSA-N 0 0 436.483 -0.218 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@@H](C)[C@H](O)CN(C)C(=O)OC(C)(C)C)C[C@@H]1S(C)(=O)=O ZINC001471674406 1074598553 /nfs/dbraw/zinc/59/85/53/1074598553.db2.gz CWKLDVUHQYASTG-CVXDAYKESA-N 0 0 436.527 -0.057 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@H](C)[C@@H](O)CN(C)C(=O)OC(C)(C)C)C[C@@H]1S(C)(=O)=O ZINC001471674407 1074598482 /nfs/dbraw/zinc/59/84/82/1074598482.db2.gz CWKLDVUHQYASTG-OVSNFEJUSA-N 0 0 436.527 -0.057 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@@H](C)[C@@H](O)CN(C)C(=O)OC(C)(C)C)C[C@@H]1S(C)(=O)=O ZINC001471674408 1074598827 /nfs/dbraw/zinc/59/88/27/1074598827.db2.gz CWKLDVUHQYASTG-PAKFGRKTSA-N 0 0 436.527 -0.057 20 0 IBADRN COC(=O)[C@@]1(CNC(=O)[C@H](C)[C@H](O)CN(C)C(=O)OC(C)(C)C)C[C@@H]1S(C)(=O)=O ZINC001471674409 1074598784 /nfs/dbraw/zinc/59/87/84/1074598784.db2.gz CWKLDVUHQYASTG-ZIVKBKJYSA-N 0 0 436.527 -0.057 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C1 ZINC001471676122 1074598808 /nfs/dbraw/zinc/59/88/08/1074598808.db2.gz ABWPKRPOESKKHF-UHFFFAOYSA-N 0 0 426.524 -0.122 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001471676383 1074598776 /nfs/dbraw/zinc/59/87/76/1074598776.db2.gz MBFVDDPYRGZZDN-CYBMUJFWSA-N 0 0 429.886 -0.508 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)C1 ZINC001471676384 1074598907 /nfs/dbraw/zinc/59/89/07/1074598907.db2.gz MBFVDDPYRGZZDN-ZDUSSCGKSA-N 0 0 429.886 -0.508 20 0 IBADRN COC1(c2cnnn2C)CN(C(=O)CS(=O)(=O)N2CCN(c3cccc(C)c3)CC2)C1 ZINC001471677728 1074598880 /nfs/dbraw/zinc/59/88/80/1074598880.db2.gz YVGONNALCXPQPW-UHFFFAOYSA-N 0 0 448.549 -0.041 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2nc1CCC(=O)N(C)CCS(N)(=O)=O ZINC001471680337 1074598846 /nfs/dbraw/zinc/59/88/46/1074598846.db2.gz AOSVYAQXCXYBKY-UHFFFAOYSA-N 0 0 445.567 -0.014 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)c1cn(C2CCN(C(=O)OC(C)(C)C)CC2)nn1 ZINC001471702925 1074598891 /nfs/dbraw/zinc/59/88/91/1074598891.db2.gz BHIWKOMGKQDLDV-UHFFFAOYSA-N 0 0 437.501 -0.216 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)C1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001471704845 1074598837 /nfs/dbraw/zinc/59/88/37/1074598837.db2.gz VZKUBDQSMTYUJR-UHFFFAOYSA-N 0 0 435.506 -0.832 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)CN1C(=O)COc2cc(Br)ccc21 ZINC001471704876 1074598822 /nfs/dbraw/zinc/59/88/22/1074598822.db2.gz WYDMSHXDWRKXED-UHFFFAOYSA-N 0 0 427.255 -0.505 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)c1ccc2c(c1)S(=O)(=O)c1ccccc1C2=O ZINC001471704915 1074598790 /nfs/dbraw/zinc/59/87/90/1074598790.db2.gz XYQDNBDEPOUFQI-UHFFFAOYSA-N 0 0 429.454 -0.002 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)[C@@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC001471704916 1074598858 /nfs/dbraw/zinc/59/88/58/1074598858.db2.gz YABXPWMCMJTYIA-GFCCVEGCSA-N 0 0 436.465 -0.614 20 0 IBADRN CNC(=O)CN(CC(=O)NC)C(=O)[C@H]1CC(=O)N(c2cc(OC)c(OC)c(OC)c2)C1 ZINC001471704917 1074598898 /nfs/dbraw/zinc/59/88/98/1074598898.db2.gz YABXPWMCMJTYIA-LBPRGKRZSA-N 0 0 436.465 -0.614 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC12COC2 ZINC001471719974 1074598872 /nfs/dbraw/zinc/59/88/72/1074598872.db2.gz QDQJPSPOSGGARP-INIZCTEOSA-N 0 0 431.493 -0.057 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC12COC2 ZINC001471719977 1074598833 /nfs/dbraw/zinc/59/88/33/1074598833.db2.gz QDQJPSPOSGGARP-MRXNPFEDSA-N 0 0 431.493 -0.057 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC12COC2 ZINC001471720499 1074598866 /nfs/dbraw/zinc/59/88/66/1074598866.db2.gz XVYJFHSVCAGZKX-CVEARBPZSA-N 0 0 435.452 -0.234 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC12COC2 ZINC001471720501 1074598804 /nfs/dbraw/zinc/59/88/04/1074598804.db2.gz XVYJFHSVCAGZKX-HOTGVXAUSA-N 0 0 435.452 -0.234 20 0 IBADRN CCOC(=O)[C@H]1CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC12COC2 ZINC001471720503 1074599142 /nfs/dbraw/zinc/59/91/42/1074599142.db2.gz XVYJFHSVCAGZKX-HZPDHXFCSA-N 0 0 435.452 -0.234 20 0 IBADRN CCOC(=O)[C@@H]1CN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC12COC2 ZINC001471720506 1074599186 /nfs/dbraw/zinc/59/91/86/1074599186.db2.gz XVYJFHSVCAGZKX-JKSUJKDBSA-N 0 0 435.452 -0.234 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCNC(=O)CC23CCC3)c1 ZINC001471725571 1074599172 /nfs/dbraw/zinc/59/91/72/1074599172.db2.gz VWQKSJHQFWOBIE-UHFFFAOYSA-N 0 0 429.520 -0.360 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@H](CNS(C)(=O)=O)C1 ZINC001471728038 1074599278 /nfs/dbraw/zinc/59/92/78/1074599278.db2.gz GGXPXACTSZYPEH-OLZOCXBDSA-N 0 0 441.572 -0.288 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)N1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001471728043 1074599256 /nfs/dbraw/zinc/59/92/56/1074599256.db2.gz GGXPXACTSZYPEH-STQMWFEESA-N 0 0 441.572 -0.288 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC001471731221 1074599220 /nfs/dbraw/zinc/59/92/20/1074599220.db2.gz ZTIDSAZMORRENA-GFCCVEGCSA-N 0 0 437.565 -0.220 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)c2sccc2S(=O)(=O)N2CCOCC2)C1 ZINC001471731222 1074599135 /nfs/dbraw/zinc/59/91/35/1074599135.db2.gz ZTIDSAZMORRENA-LBPRGKRZSA-N 0 0 437.565 -0.220 20 0 IBADRN COc1ccc(C(=O)N2CCC(CO)(CO)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001471742222 1074599228 /nfs/dbraw/zinc/59/92/28/1074599228.db2.gz HSNIBQGRVACQGS-UHFFFAOYSA-N 0 0 428.507 -0.077 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N[C@@H]3CCC[C@@H](O)C3)C2)cn1C ZINC001471744724 1074599245 /nfs/dbraw/zinc/59/92/45/1074599245.db2.gz DSPZBZWAOIWBOE-BZUAXINKSA-N 0 0 441.554 -0.335 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N[C@@H]3CCC[C@H](O)C3)C2)cn1C ZINC001471744729 1074599159 /nfs/dbraw/zinc/59/91/59/1074599159.db2.gz DSPZBZWAOIWBOE-OAGGEKHMSA-N 0 0 441.554 -0.335 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N[C@H]3CCC[C@@H](O)C3)C2)cn1C ZINC001471744731 1074599180 /nfs/dbraw/zinc/59/91/80/1074599180.db2.gz DSPZBZWAOIWBOE-OWCLPIDISA-N 0 0 441.554 -0.335 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCC[C@@H](C(=O)N[C@H]3CCC[C@H](O)C3)C2)cn1C ZINC001471744733 1074599266 /nfs/dbraw/zinc/59/92/66/1074599266.db2.gz DSPZBZWAOIWBOE-PMPSAXMXSA-N 0 0 441.554 -0.335 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)CNC(=O)Cc1ccc(O)cc1 ZINC001471761118 1074599194 /nfs/dbraw/zinc/59/91/94/1074599194.db2.gz DRSLGJORKKZAPV-UHFFFAOYSA-N 0 0 426.473 -0.058 20 0 IBADRN CC(C)(CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)CS(C)(=O)=O ZINC001471761394 1074599123 /nfs/dbraw/zinc/59/91/23/1074599123.db2.gz GOGBEPHLUGULQN-UHFFFAOYSA-N 0 0 431.536 -0.392 20 0 IBADRN CNS(=O)(=O)c1ccc(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)cn1 ZINC001471761443 1074599167 /nfs/dbraw/zinc/59/91/67/1074599167.db2.gz JQUIJFANLQCDHO-UHFFFAOYSA-N 0 0 433.490 -0.506 20 0 IBADRN C[C@@H](C(=O)N(C)CC(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1)n1cccn1 ZINC001471761618 1074599206 /nfs/dbraw/zinc/59/92/06/1074599206.db2.gz MSOQJEHFOJIGOS-INIZCTEOSA-N 0 0 428.493 -0.207 20 0 IBADRN C[C@H](C(=O)N(C)CC(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1)n1cccn1 ZINC001471761619 1074599213 /nfs/dbraw/zinc/59/92/13/1074599213.db2.gz MSOQJEHFOJIGOS-MRXNPFEDSA-N 0 0 428.493 -0.207 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)c1ccc2c(c1)NC(=O)CNC2=O ZINC001471761955 1074599286 /nfs/dbraw/zinc/59/92/86/1074599286.db2.gz TZMWUORGLIPFKJ-UHFFFAOYSA-N 0 0 437.456 -0.127 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)CNS(=O)(=O)c1ccccc1 ZINC001471762154 1074599151 /nfs/dbraw/zinc/59/91/51/1074599151.db2.gz XFIILZQASCKFDF-UHFFFAOYSA-N 0 0 432.502 -0.144 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001471762200 1074599200 /nfs/dbraw/zinc/59/92/00/1074599200.db2.gz ZECNCQUGFPRZSC-IBGZPJMESA-N 0 0 445.501 -0.393 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001471762201 1074599562 /nfs/dbraw/zinc/59/95/62/1074599562.db2.gz ZECNCQUGFPRZSC-LJQANCHMSA-N 0 0 445.501 -0.393 20 0 IBADRN O=C(CNC(=O)[C@H](CO)NC(=O)c1ccc(F)cc1)NCC(=O)NCc1ccccc1 ZINC001471762498 1074599586 /nfs/dbraw/zinc/59/95/86/1074599586.db2.gz DBUIWRGLJNWTSS-KRWDZBQOSA-N 0 0 430.436 -0.535 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NCC[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001471777067 1074599569 /nfs/dbraw/zinc/59/95/69/1074599569.db2.gz HTPDCLLGILGVQH-HNNXBMFYSA-N 0 0 448.586 -0.017 20 0 IBADRN CC(C)NC(=O)CN(C)CC(=O)NCC[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001471777069 1074599567 /nfs/dbraw/zinc/59/95/67/1074599567.db2.gz HTPDCLLGILGVQH-OAHLLOKOSA-N 0 0 448.586 -0.017 20 0 IBADRN Cc1c(NC(=O)CSCC(=O)N2CC(CO)(CO)C2)c(=O)n(-c2ccccc2)n1C ZINC001471784546 1074599574 /nfs/dbraw/zinc/59/95/74/1074599574.db2.gz ADMMINVHOPOWSG-UHFFFAOYSA-N 0 0 434.518 -0.031 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CC[C@@]3(C2)CN(C(=O)OC(C)(C)C)CCO3)cn1 ZINC001471788544 1074599591 /nfs/dbraw/zinc/59/95/91/1074599591.db2.gz GUAGYUZJZXNRNQ-GOSISDBHSA-N 0 0 443.526 -0.063 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CC[C@]3(C2)CN(C(=O)OC(C)(C)C)CCO3)cn1 ZINC001471788545 1074599539 /nfs/dbraw/zinc/59/95/39/1074599539.db2.gz GUAGYUZJZXNRNQ-SFHVURJKSA-N 0 0 443.526 -0.063 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)c1 ZINC001471796421 1074599578 /nfs/dbraw/zinc/59/95/78/1074599578.db2.gz CSBBWALHVAFJPV-KRWDZBQOSA-N 0 0 448.549 -0.800 20 0 IBADRN Cn1cnc(S(=O)(=O)NCC(=O)N[C@H](Cc2ccccc2)C(=O)N2CCN(C)CC2)c1 ZINC001471796422 1074599557 /nfs/dbraw/zinc/59/95/57/1074599557.db2.gz CSBBWALHVAFJPV-QGZVFWFLSA-N 0 0 448.549 -0.800 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001471798065 1074599512 /nfs/dbraw/zinc/59/95/12/1074599512.db2.gz HMMSXKNGMVZLMN-GOSISDBHSA-N 0 0 430.505 -0.296 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001471798066 1074599528 /nfs/dbraw/zinc/59/95/28/1074599528.db2.gz HMMSXKNGMVZLMN-SFHVURJKSA-N 0 0 430.505 -0.296 20 0 IBADRN Cc1nc(S(=O)(=O)NCCC(=O)NCCN2Cc3ccccc3OCC2=O)cn1C ZINC001471798222 1074599584 /nfs/dbraw/zinc/59/95/84/1074599584.db2.gz KQNKEWLTGSFURP-UHFFFAOYSA-N 0 0 435.506 -0.066 20 0 IBADRN CN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)c2nc3ncc(CCO)cn3n2)CC1 ZINC001471799448 1074599550 /nfs/dbraw/zinc/59/95/50/1074599550.db2.gz MBALCAPARGJVGB-GOSISDBHSA-N 0 0 437.504 -0.226 20 0 IBADRN CN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)c2nc3ncc(CCO)cn3n2)CC1 ZINC001471799449 1074599544 /nfs/dbraw/zinc/59/95/44/1074599544.db2.gz MBALCAPARGJVGB-SFHVURJKSA-N 0 0 437.504 -0.226 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@H]2C(=O)NCC23CCOCC3)cc1OC ZINC001471801756 1074599536 /nfs/dbraw/zinc/59/95/36/1074599536.db2.gz CCPGOHHFKTYVBU-KRWDZBQOSA-N 0 0 441.506 -0.216 20 0 IBADRN COc1ccc(S(=O)(=O)NCCC(=O)N[C@@H]2C(=O)NCC23CCOCC3)cc1OC ZINC001471801758 1074599504 /nfs/dbraw/zinc/59/95/04/1074599504.db2.gz CCPGOHHFKTYVBU-QGZVFWFLSA-N 0 0 441.506 -0.216 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N[C@H]2C(=O)NCC23CCOCC3)cc1 ZINC001471801963 1074599524 /nfs/dbraw/zinc/59/95/24/1074599524.db2.gz FUROTZDZQNOOJJ-INIZCTEOSA-N 0 0 443.547 -0.143 20 0 IBADRN NS(=O)(=O)c1ccc(OCCSCC(=O)N[C@@H]2C(=O)NCC23CCOCC3)cc1 ZINC001471801964 1074599571 /nfs/dbraw/zinc/59/95/71/1074599571.db2.gz FUROTZDZQNOOJJ-MRXNPFEDSA-N 0 0 443.547 -0.143 20 0 IBADRN O=C(N[C@H]1C(=O)NCC12CCOCC2)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001471802171 1074599564 /nfs/dbraw/zinc/59/95/64/1074599564.db2.gz JKUCFKJZMROZKE-KRWDZBQOSA-N 0 0 437.518 -0.143 20 0 IBADRN O=C(N[C@@H]1C(=O)NCC12CCOCC2)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001471802172 1074599576 /nfs/dbraw/zinc/59/95/76/1074599576.db2.gz JKUCFKJZMROZKE-QGZVFWFLSA-N 0 0 437.518 -0.143 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@@H]1C(=O)NCC13CCOCC3)c2=O ZINC001471802245 1074599813 /nfs/dbraw/zinc/59/98/13/1074599813.db2.gz LLVCBTAGXNUJDN-LLVKDONJSA-N 0 0 439.270 -0.696 20 0 IBADRN Cn1nc(Br)c2c1ncn(CC(=O)N[C@H]1C(=O)NCC13CCOCC3)c2=O ZINC001471802246 1074599874 /nfs/dbraw/zinc/59/98/74/1074599874.db2.gz LLVCBTAGXNUJDN-NSHDSACASA-N 0 0 439.270 -0.696 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@H]3C(=O)NCC34CCOCC4)cc2S1(=O)=O ZINC001471802401 1074599866 /nfs/dbraw/zinc/59/98/66/1074599866.db2.gz QVJZDWPWCSEMDN-HNNXBMFYSA-N 0 0 437.474 -0.498 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)N[C@@H]3C(=O)NCC34CCOCC4)cc2S1(=O)=O ZINC001471802402 1074599842 /nfs/dbraw/zinc/59/98/42/1074599842.db2.gz QVJZDWPWCSEMDN-OAHLLOKOSA-N 0 0 437.474 -0.498 20 0 IBADRN CNC(=O)N[C@H]1CCCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001471812947 1074599850 /nfs/dbraw/zinc/59/98/50/1074599850.db2.gz VGAYOBCDZDOCGY-AWEZNQCLSA-N 0 0 425.511 -0.413 20 0 IBADRN CNC(=O)N[C@@H]1CCCN(C(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)C1 ZINC001471812948 1074599869 /nfs/dbraw/zinc/59/98/69/1074599869.db2.gz VGAYOBCDZDOCGY-CQSZACIVSA-N 0 0 425.511 -0.413 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CC3(C2)C[C@H](O)CNC3=O)CC1 ZINC001471821819 1074599916 /nfs/dbraw/zinc/59/99/16/1074599916.db2.gz CCQIXTSKOFOFBQ-AWEZNQCLSA-N 0 0 426.495 -0.598 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCC(C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)CC1 ZINC001471821820 1074599898 /nfs/dbraw/zinc/59/98/98/1074599898.db2.gz CCQIXTSKOFOFBQ-CQSZACIVSA-N 0 0 426.495 -0.598 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC4(C3)C[C@H](O)CNC4=O)c2)C[C@@H](C)O1 ZINC001471822950 1074599945 /nfs/dbraw/zinc/59/99/45/1074599945.db2.gz LCJDFWRYFZGDOE-FMKPAKJESA-N 0 0 437.518 -0.193 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC4(C3)C[C@@H](O)CNC4=O)c2)C[C@@H](C)O1 ZINC001471822953 1074599794 /nfs/dbraw/zinc/59/97/94/1074599794.db2.gz LCJDFWRYFZGDOE-IIAWOOMASA-N 0 0 437.518 -0.193 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC4(C3)C[C@@H](O)CNC4=O)c2)C[C@H](C)O1 ZINC001471822956 1074599821 /nfs/dbraw/zinc/59/98/21/1074599821.db2.gz LCJDFWRYFZGDOE-IJEWVQPXSA-N 0 0 437.518 -0.193 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)N3CC4(C3)C[C@H](O)CNC4=O)c2)C[C@H](C)O1 ZINC001471822959 1074599889 /nfs/dbraw/zinc/59/98/89/1074599889.db2.gz LCJDFWRYFZGDOE-LZWOXQAQSA-N 0 0 437.518 -0.193 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CC4(C3)C[C@H](O)CNC4=O)CC2)c1 ZINC001471823221 1074599858 /nfs/dbraw/zinc/59/98/58/1074599858.db2.gz NHARNEVHGMSGQF-KRWDZBQOSA-N 0 0 436.534 -0.844 20 0 IBADRN Cc1cccc(N2CCN(S(=O)(=O)CC(=O)N3CC4(C3)C[C@@H](O)CNC4=O)CC2)c1 ZINC001471823227 1074599934 /nfs/dbraw/zinc/59/99/34/1074599934.db2.gz NHARNEVHGMSGQF-QGZVFWFLSA-N 0 0 436.534 -0.844 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC3(C2)C[C@H](O)CNC3=O)C1 ZINC001471823288 1074599805 /nfs/dbraw/zinc/59/98/05/1074599805.db2.gz ODCBNWDXILUVBX-KBPBESRZSA-N 0 0 425.511 -0.863 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC3(C2)C[C@H](O)CNC3=O)C1 ZINC001471823291 1074599836 /nfs/dbraw/zinc/59/98/36/1074599836.db2.gz ODCBNWDXILUVBX-KGLIPLIRSA-N 0 0 425.511 -0.863 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)C1 ZINC001471823293 1074599908 /nfs/dbraw/zinc/59/99/08/1074599908.db2.gz ODCBNWDXILUVBX-UONOGXRCSA-N 0 0 425.511 -0.863 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)C1 ZINC001471823295 1074599882 /nfs/dbraw/zinc/59/98/82/1074599882.db2.gz ODCBNWDXILUVBX-ZIAGYGMSSA-N 0 0 425.511 -0.863 20 0 IBADRN Cc1c(C(=O)N2CC3(C2)C[C@H](O)CNC3=O)cc(Br)cc1S(N)(=O)=O ZINC001471823306 1074599829 /nfs/dbraw/zinc/59/98/29/1074599829.db2.gz OJHAIVRMHGIHQQ-JTQLQIEISA-N 0 0 432.296 -0.272 20 0 IBADRN Cc1c(C(=O)N2CC3(C2)C[C@@H](O)CNC3=O)cc(Br)cc1S(N)(=O)=O ZINC001471823308 1074599929 /nfs/dbraw/zinc/59/99/29/1074599929.db2.gz OJHAIVRMHGIHQQ-SNVBAGLBSA-N 0 0 432.296 -0.272 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2C(=O)CCNS(=O)(=O)c2cccnc2)cn1 ZINC001471824471 1074600504 /nfs/dbraw/zinc/60/05/04/1074600504.db2.gz FGAXYSKCPXHSEQ-INIZCTEOSA-N 0 0 441.535 -0.128 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2C(=O)CCNS(=O)(=O)c2cccnc2)cn1 ZINC001471824472 1074600474 /nfs/dbraw/zinc/60/04/74/1074600474.db2.gz FGAXYSKCPXHSEQ-MRXNPFEDSA-N 0 0 441.535 -0.128 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)cn1 ZINC001471826410 1074600513 /nfs/dbraw/zinc/60/05/13/1074600513.db2.gz PCUKMYTYBBRSDC-GDBMZVCRSA-N 0 0 439.519 -0.162 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2C(=O)[C@@H]2Nc3ccccc3S(=O)(=O)N2)cn1 ZINC001471826411 1074600510 /nfs/dbraw/zinc/60/05/10/1074600510.db2.gz PCUKMYTYBBRSDC-GOEBONIOSA-N 0 0 439.519 -0.162 20 0 IBADRN Cn1cc([C@@H]2CS(=O)(=O)CCCN2C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)cn1 ZINC001471826412 1074600449 /nfs/dbraw/zinc/60/04/49/1074600449.db2.gz PCUKMYTYBBRSDC-HOCLYGCPSA-N 0 0 439.519 -0.162 20 0 IBADRN Cn1cc([C@H]2CS(=O)(=O)CCCN2C(=O)[C@H]2Nc3ccccc3S(=O)(=O)N2)cn1 ZINC001471826413 1074600517 /nfs/dbraw/zinc/60/05/17/1074600517.db2.gz PCUKMYTYBBRSDC-ZBFHGGJFSA-N 0 0 439.519 -0.162 20 0 IBADRN CN(CC(=O)N1CCCS(=O)(=O)C[C@H]1c1cnn(C)c1)S(=O)(=O)c1cccnc1 ZINC001471826742 1074600420 /nfs/dbraw/zinc/60/04/20/1074600420.db2.gz RABPLRKRAFYZRU-INIZCTEOSA-N 0 0 441.535 -0.176 20 0 IBADRN CN(CC(=O)N1CCCS(=O)(=O)C[C@@H]1c1cnn(C)c1)S(=O)(=O)c1cccnc1 ZINC001471826743 1074600407 /nfs/dbraw/zinc/60/04/07/1074600407.db2.gz RABPLRKRAFYZRU-MRXNPFEDSA-N 0 0 441.535 -0.176 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC001471832058 1074600398 /nfs/dbraw/zinc/60/03/98/1074600398.db2.gz BEMSWTNDMMCADM-HONMWMINSA-N 0 0 434.898 -0.088 20 0 IBADRN COC(=O)[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1)[C@@H](C)O ZINC001471832059 1074600455 /nfs/dbraw/zinc/60/04/55/1074600455.db2.gz BEMSWTNDMMCADM-SWHYSGLUSA-N 0 0 434.898 -0.088 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCOCC2)s1)NCCn1ccc(=O)[nH]c1=O ZINC001471840830 1074600491 /nfs/dbraw/zinc/60/04/91/1074600491.db2.gz JECPOXWSEMXMAG-UHFFFAOYSA-N 0 0 428.492 -0.610 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCCn3ccc(=O)[nH]c3=O)CC2)cc1 ZINC001471841738 1074600500 /nfs/dbraw/zinc/60/05/00/1074600500.db2.gz NLUGSKWSOXYGDQ-UHFFFAOYSA-N 0 0 435.506 -0.620 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)NCCn1ccc(=O)[nH]c1=O ZINC001471842170 1074600428 /nfs/dbraw/zinc/60/04/28/1074600428.db2.gz ZOLQIUOIQPCQEQ-UHFFFAOYSA-N 0 0 431.268 -0.194 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)CNS(=O)(=O)c1ccccc1C(F)(F)F ZINC001471857136 1074600524 /nfs/dbraw/zinc/60/05/24/1074600524.db2.gz KEMLXUHTQXDXTO-UHFFFAOYSA-N 0 0 446.411 -0.023 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(CC(=O)N(C)Cc3nnc4n3CCNC4=O)C2=O)cc1 ZINC001471858020 1074600506 /nfs/dbraw/zinc/60/05/06/1074600506.db2.gz VNWHAYATLHZXKJ-FQEVSTJZSA-N 0 0 441.448 -0.544 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(CC(=O)N(C)Cc3nnc4n3CCNC4=O)C2=O)cc1 ZINC001471858023 1074600521 /nfs/dbraw/zinc/60/05/21/1074600521.db2.gz VNWHAYATLHZXKJ-HXUWFJFHSA-N 0 0 441.448 -0.544 20 0 IBADRN CC(C)N1C(=O)c2ccc(C(=O)N(C)Cc3nnc4n3CCNC4=O)cc2S1(=O)=O ZINC001471858202 1074600481 /nfs/dbraw/zinc/60/04/81/1074600481.db2.gz XCRYYUWLSYNRFD-UHFFFAOYSA-N 0 0 432.462 -0.153 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC001471858749 1074600440 /nfs/dbraw/zinc/60/04/40/1074600440.db2.gz UYLFMAJGFSKBLZ-UHFFFAOYSA-N 0 0 448.505 -0.343 20 0 IBADRN CN(Cc1nnc2n1CCN(C)C2=O)C(=O)CN1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471858817 1074600931 /nfs/dbraw/zinc/60/09/31/1074600931.db2.gz WQXHDCJJBMWWEP-UHFFFAOYSA-N 0 0 435.485 -0.599 20 0 IBADRN CN(Cc1nnc2n1CCNC2=O)C(=O)Cn1nc(Br)c(Br)n1 ZINC001471858929 1074600923 /nfs/dbraw/zinc/60/09/23/1074600923.db2.gz ACBSVCRQEHGZAJ-UHFFFAOYSA-N 0 0 448.079 -0.203 20 0 IBADRN C[C@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)NCCc1nnc2n1CCNC2=O ZINC001471861383 1074600935 /nfs/dbraw/zinc/60/09/35/1074600935.db2.gz AUQUCXGWOFFAKN-NRFANRHFSA-N 0 0 426.477 -0.244 20 0 IBADRN C[C@@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)NCCc1nnc2n1CCNC2=O ZINC001471861393 1074600915 /nfs/dbraw/zinc/60/09/15/1074600915.db2.gz AUQUCXGWOFFAKN-OAQYLSRUSA-N 0 0 426.477 -0.244 20 0 IBADRN O=C(CN1C(=O)COc2cc(Br)ccc21)NCCc1nnc2n1CCNC2=O ZINC001471861446 1074600946 /nfs/dbraw/zinc/60/09/46/1074600946.db2.gz BKBAJZYBBACLHW-UHFFFAOYSA-N 0 0 449.265 -0.132 20 0 IBADRN O=C(COc1ccccc1)N1CCC[C@H](C(=O)NCCc2nnc3n2CCNC3=O)C1 ZINC001471861855 1074600899 /nfs/dbraw/zinc/60/08/99/1074600899.db2.gz JNFRLTYCUKEMPD-HNNXBMFYSA-N 0 0 426.477 -0.002 20 0 IBADRN O=C(COc1ccccc1)N1CCC[C@@H](C(=O)NCCc2nnc3n2CCNC3=O)C1 ZINC001471861857 1074600941 /nfs/dbraw/zinc/60/09/41/1074600941.db2.gz JNFRLTYCUKEMPD-OAHLLOKOSA-N 0 0 426.477 -0.002 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)N2CCCC2)s1)NCCc1nnc2n1CCNC2=O ZINC001471862974 1074600992 /nfs/dbraw/zinc/60/09/92/1074600992.db2.gz WEGBBGYEJKHDBJ-UHFFFAOYSA-N 0 0 438.535 -0.231 20 0 IBADRN O=C(CNS(=O)(=O)c1ccccc1C(F)(F)F)NCCc1nnc2n1CCNC2=O ZINC001471863144 1074600976 /nfs/dbraw/zinc/60/09/76/1074600976.db2.gz YNEAIRPCJFMARL-UHFFFAOYSA-N 0 0 446.411 -0.323 20 0 IBADRN O=C(CCc1ccc(N2CCCS2(=O)=O)cc1)NCCc1nnc2n1CCNC2=O ZINC001471864870 1074600966 /nfs/dbraw/zinc/60/09/66/1074600966.db2.gz VWERWHCVTQPCFM-UHFFFAOYSA-N 0 0 432.506 -0.147 20 0 IBADRN CCOC(=O)[C@H](COC)NC(=O)c1cccc(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001471865744 1074600890 /nfs/dbraw/zinc/60/08/90/1074600890.db2.gz BQPLREOQWLJERP-KRWDZBQOSA-N 0 0 441.506 -0.153 20 0 IBADRN CCOC(=O)[C@H](CSC)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001471866571 1074600905 /nfs/dbraw/zinc/60/09/05/1074600905.db2.gz QROHHKAXJPKRAG-AWEZNQCLSA-N 0 0 429.520 -0.168 20 0 IBADRN CCOC(=O)[C@@H](CSC)NC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1 ZINC001471866572 1074600953 /nfs/dbraw/zinc/60/09/53/1074600953.db2.gz QROHHKAXJPKRAG-CQSZACIVSA-N 0 0 429.520 -0.168 20 0 IBADRN CN(C(=O)CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCS(=O)(=O)CC1 ZINC001471869282 1074600999 /nfs/dbraw/zinc/60/09/99/1074600999.db2.gz AWSDWNWPPYXPNZ-UHFFFAOYSA-N 0 0 445.563 -0.256 20 0 IBADRN CN(C(=O)CNS(=O)(=O)c1ccc(OC(F)(F)F)cc1)N1CCS(=O)(=O)CC1 ZINC001471870050 1074600859 /nfs/dbraw/zinc/60/08/59/1074600859.db2.gz PLDRCMCFRDYAOK-UHFFFAOYSA-N 0 0 445.441 -0.033 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCC1(S(=O)(=O)N(C)C)CC1 ZINC001471901133 1074600961 /nfs/dbraw/zinc/60/09/61/1074600961.db2.gz UTMKHNWDNFCYBO-CMPLNLGQSA-N 0 0 425.554 -0.684 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCC1(S(=O)(=O)N(C)C)CC1 ZINC001471901135 1074600987 /nfs/dbraw/zinc/60/09/87/1074600987.db2.gz UTMKHNWDNFCYBO-JQWIXIFHSA-N 0 0 425.554 -0.684 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCC1(S(=O)(=O)N(C)C)CC1 ZINC001471901137 1074600870 /nfs/dbraw/zinc/60/08/70/1074600870.db2.gz UTMKHNWDNFCYBO-PWSUYJOCSA-N 0 0 425.554 -0.684 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCC1(S(=O)(=O)N(C)C)CC1 ZINC001471901139 1074600848 /nfs/dbraw/zinc/60/08/48/1074600848.db2.gz UTMKHNWDNFCYBO-ZYHUDNBSSA-N 0 0 425.554 -0.684 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NCC2(S(=O)(=O)N(C)C)CC2)cc1OC ZINC001471901141 1074601590 /nfs/dbraw/zinc/60/15/90/1074601590.db2.gz UVNGNNQIAZIETF-UHFFFAOYSA-N 0 0 435.524 -0.478 20 0 IBADRN COC(=O)[C@H]1CSCCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001471901253 1074601557 /nfs/dbraw/zinc/60/15/57/1074601557.db2.gz WVTQVSLRBZFYFU-GFCCVEGCSA-N 0 0 435.549 -0.221 20 0 IBADRN COC(=O)[C@@H]1CSCCN1C(=O)CNS(=O)(=O)c1ccc(CNC(C)=O)s1 ZINC001471901254 1074601413 /nfs/dbraw/zinc/60/14/13/1074601413.db2.gz WVTQVSLRBZFYFU-LBPRGKRZSA-N 0 0 435.549 -0.221 20 0 IBADRN CNC(=O)C1(NC(=O)CCNS(=O)(=O)c2ccc(OC)c(OC)c2)CCOCC1 ZINC001471903464 1074601497 /nfs/dbraw/zinc/60/14/97/1074601497.db2.gz SHMDMQFWEFKNKY-UHFFFAOYSA-N 0 0 429.495 -0.216 20 0 IBADRN Cn1ccn2c(CCNC(=O)[C@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)nnc2c1=O ZINC001471910092 1074601421 /nfs/dbraw/zinc/60/14/21/1074601421.db2.gz HSERCLVEEYWVRN-GDBMZVCRSA-N 0 0 442.451 -0.570 20 0 IBADRN Cn1ccn2c(CCNC(=O)[C@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)nnc2c1=O ZINC001471910093 1074601474 /nfs/dbraw/zinc/60/14/74/1074601474.db2.gz HSERCLVEEYWVRN-GOEBONIOSA-N 0 0 442.451 -0.570 20 0 IBADRN Cn1ccn2c(CCNC(=O)[C@@H]3C[C@H](O)CN3C(=O)Cc3ccccc3F)nnc2c1=O ZINC001471910094 1074601565 /nfs/dbraw/zinc/60/15/65/1074601565.db2.gz HSERCLVEEYWVRN-HOCLYGCPSA-N 0 0 442.451 -0.570 20 0 IBADRN Cn1ccn2c(CCNC(=O)[C@@H]3C[C@@H](O)CN3C(=O)Cc3ccccc3F)nnc2c1=O ZINC001471910095 1074601517 /nfs/dbraw/zinc/60/15/17/1074601517.db2.gz HSERCLVEEYWVRN-ZBFHGGJFSA-N 0 0 442.451 -0.570 20 0 IBADRN CN1C[C@H](CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001471912992 1074601480 /nfs/dbraw/zinc/60/14/80/1074601480.db2.gz FNOHNNXNYKZCPA-GDBMZVCRSA-N 0 0 445.563 -0.247 20 0 IBADRN CN1C[C@@H](CCNC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001471912993 1074601510 /nfs/dbraw/zinc/60/15/10/1074601510.db2.gz FNOHNNXNYKZCPA-GOEBONIOSA-N 0 0 445.563 -0.247 20 0 IBADRN CN1C[C@@H](CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001471912994 1074601504 /nfs/dbraw/zinc/60/15/04/1074601504.db2.gz FNOHNNXNYKZCPA-HOCLYGCPSA-N 0 0 445.563 -0.247 20 0 IBADRN CN1C[C@H](CCNC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)CC1=O ZINC001471912995 1074601543 /nfs/dbraw/zinc/60/15/43/1074601543.db2.gz FNOHNNXNYKZCPA-ZBFHGGJFSA-N 0 0 445.563 -0.247 20 0 IBADRN CCNS(=O)(=O)c1ccc(F)c(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)c1 ZINC001471922410 1074601531 /nfs/dbraw/zinc/60/15/31/1074601531.db2.gz JGOVLJAEGSMYNO-UHFFFAOYSA-N 0 0 429.517 -0.089 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)CCNS(=O)(=O)c1ccccc1F ZINC001471922425 1074601583 /nfs/dbraw/zinc/60/15/83/1074601583.db2.gz JZOCMCANJLGRAB-UHFFFAOYSA-N 0 0 429.517 -0.332 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21 ZINC001471922427 1074601447 /nfs/dbraw/zinc/60/14/47/1074601447.db2.gz KBBPZWZDVHBDAH-GVXVVHGQSA-N 0 0 426.586 -0.114 20 0 IBADRN C[C@]1([C@@H]2CCCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2)COC(=O)N1 ZINC001471923213 1074601523 /nfs/dbraw/zinc/60/15/23/1074601523.db2.gz FVMCXRGYSQUHTO-FHSNZYRGSA-N 0 0 426.495 -0.111 20 0 IBADRN C[C@]1([C@@H]2CCCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2)COC(=O)N1 ZINC001471923214 1074601550 /nfs/dbraw/zinc/60/15/50/1074601550.db2.gz FVMCXRGYSQUHTO-SNUQEOBHSA-N 0 0 426.495 -0.111 20 0 IBADRN C[C@]1([C@H]2CCCN(C(=O)C3=NN([C@@H]4CCS(=O)(=O)C4)C(=O)CC3)C2)COC(=O)N1 ZINC001471923215 1074601457 /nfs/dbraw/zinc/60/14/57/1074601457.db2.gz FVMCXRGYSQUHTO-VEVIJQCQSA-N 0 0 426.495 -0.111 20 0 IBADRN C[C@]1([C@H]2CCCN(C(=O)C3=NN([C@H]4CCS(=O)(=O)C4)C(=O)CC3)C2)COC(=O)N1 ZINC001471923216 1074602068 /nfs/dbraw/zinc/60/20/68/1074602068.db2.gz FVMCXRGYSQUHTO-ZJNRKIDTSA-N 0 0 426.495 -0.111 20 0 IBADRN CC(C)OCCS(=O)(=O)NCC(=O)NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O ZINC001471931517 1074602092 /nfs/dbraw/zinc/60/20/92/1074602092.db2.gz MJHOCVQJUPWUQW-UHFFFAOYSA-N 0 0 430.405 -0.528 20 0 IBADRN CN(CC(=O)NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)S(=O)(=O)c1cccnc1 ZINC001471931840 1074602046 /nfs/dbraw/zinc/60/20/46/1074602046.db2.gz SRTDWYJTKKDWMC-UHFFFAOYSA-N 0 0 435.384 -0.157 20 0 IBADRN O=C(Cc1ccc(F)cc1)N[C@@H](CO)C(=O)NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O ZINC001471933517 1074602041 /nfs/dbraw/zinc/60/20/41/1074602041.db2.gz VXQKPQDZACJWNA-ZDUSSCGKSA-N 0 0 446.357 -0.014 20 0 IBADRN COc1cc(C(=O)NCC(=O)N2CCC(O)(COCCO)CC2)cc(OC)c1OC ZINC001471935333 1074602054 /nfs/dbraw/zinc/60/20/54/1074602054.db2.gz IOZXMZSDFLXPQS-UHFFFAOYSA-N 0 0 426.466 -0.195 20 0 IBADRN O=C(c1ccc(S(=O)(=O)N2CCOCC2)cc1)N1CCC(O)(COCCO)CC1 ZINC001471935367 1074602044 /nfs/dbraw/zinc/60/20/44/1074602044.db2.gz KGYVOQAKNZGWHT-UHFFFAOYSA-N 0 0 428.507 -0.317 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)NCCC(=O)N2CCC(O)(COCCO)CC2)c1 ZINC001471935562 1074602057 /nfs/dbraw/zinc/60/20/57/1074602057.db2.gz VDAUITLRZBJJPH-UHFFFAOYSA-N 0 0 428.507 -0.080 20 0 IBADRN CO[C@@H]1CCCN(NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001471939348 1074602072 /nfs/dbraw/zinc/60/20/72/1074602072.db2.gz GJDJSYXSBMHPEE-GDBMZVCRSA-N 0 0 433.552 -0.090 20 0 IBADRN CO[C@H]1CCCN(NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001471939349 1074602048 /nfs/dbraw/zinc/60/20/48/1074602048.db2.gz GJDJSYXSBMHPEE-GOEBONIOSA-N 0 0 433.552 -0.090 20 0 IBADRN CO[C@H]1CCCN(NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001471939350 1074602087 /nfs/dbraw/zinc/60/20/87/1074602087.db2.gz GJDJSYXSBMHPEE-HOCLYGCPSA-N 0 0 433.552 -0.090 20 0 IBADRN CO[C@@H]1CCCN(NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1 ZINC001471939351 1074602105 /nfs/dbraw/zinc/60/21/05/1074602105.db2.gz GJDJSYXSBMHPEE-ZBFHGGJFSA-N 0 0 433.552 -0.090 20 0 IBADRN CCN1CCN(CC(=O)Nc2ccc(S(=O)(=O)N=S(C)(C)=O)cc2)C(=O)C1=O ZINC001471942683 1074602061 /nfs/dbraw/zinc/60/20/61/1074602061.db2.gz XOUBDHJVNYOGSS-UHFFFAOYSA-N 0 0 430.508 -0.268 20 0 IBADRN CO[C@]1(CNC(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CCS(=O)(=O)C1 ZINC001471946133 1074602064 /nfs/dbraw/zinc/60/20/64/1074602064.db2.gz LEKVYFPHZZHSDO-ACJLOTCBSA-N 0 0 433.527 -0.178 20 0 IBADRN CO[C@@]1(CNC(=O)CNC(=O)[C@H]2CCCN2C(=O)OC(C)(C)C)CCS(=O)(=O)C1 ZINC001471946134 1074602066 /nfs/dbraw/zinc/60/20/66/1074602066.db2.gz LEKVYFPHZZHSDO-FZKQIMNGSA-N 0 0 433.527 -0.178 20 0 IBADRN CO[C@@]1(CNC(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CCS(=O)(=O)C1 ZINC001471946135 1074602038 /nfs/dbraw/zinc/60/20/38/1074602038.db2.gz LEKVYFPHZZHSDO-SCLBCKFNSA-N 0 0 433.527 -0.178 20 0 IBADRN CO[C@]1(CNC(=O)CNC(=O)[C@@H]2CCCN2C(=O)OC(C)(C)C)CCS(=O)(=O)C1 ZINC001471946136 1074602055 /nfs/dbraw/zinc/60/20/55/1074602055.db2.gz LEKVYFPHZZHSDO-UGSOOPFHSA-N 0 0 433.527 -0.178 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N[C@@H]2C[C@@H](O)[C@@H](O)C2)c1OC ZINC001471950387 1074602075 /nfs/dbraw/zinc/60/20/75/1074602075.db2.gz BUVKVOLSOUYHOK-UWEBFBLFSA-N 0 0 430.479 -0.661 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H]1C[C@@H](O)[C@@H](O)C1 ZINC001471950447 1074602051 /nfs/dbraw/zinc/60/20/51/1074602051.db2.gz HNWHJSXBEOJTSP-XGBSXSJOSA-N 0 0 425.507 -0.700 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@H]3CC[C@@H](O)[C@@H](O)CC3)c2)CC1 ZINC001471953979 1074602100 /nfs/dbraw/zinc/60/21/00/1074602100.db2.gz RGHXJNOAEAFGKH-GGPHIMKMSA-N 0 0 439.534 -0.066 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2cccc(C(=O)N[C@@H]3CC[C@@H](O)[C@@H](O)CC3)c2)CC1 ZINC001471953980 1074602080 /nfs/dbraw/zinc/60/20/80/1074602080.db2.gz RGHXJNOAEAFGKH-LUPIEILUSA-N 0 0 439.534 -0.066 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC001471957852 1074602640 /nfs/dbraw/zinc/60/26/40/1074602640.db2.gz CMVRWQGUZUICNJ-GXTWGEPZSA-N 0 0 434.536 -0.060 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC001471957853 1074602551 /nfs/dbraw/zinc/60/25/51/1074602551.db2.gz CMVRWQGUZUICNJ-JSGCOSHPSA-N 0 0 434.536 -0.060 20 0 IBADRN C[C@@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC001471957854 1074602561 /nfs/dbraw/zinc/60/25/61/1074602561.db2.gz CMVRWQGUZUICNJ-OCCSQVGLSA-N 0 0 434.536 -0.060 20 0 IBADRN C[C@@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)NCC1(O)CCC2(CC1)OCCO2 ZINC001471957855 1074602600 /nfs/dbraw/zinc/60/26/00/1074602600.db2.gz CMVRWQGUZUICNJ-TZMCWYRMSA-N 0 0 434.536 -0.060 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCS(=O)(=O)C[C@H]1CCO ZINC001471971311 1074602594 /nfs/dbraw/zinc/60/25/94/1074602594.db2.gz ADETXOBXKAYCGP-CYBMUJFWSA-N 0 0 433.504 -0.370 20 0 IBADRN O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001471971312 1074602576 /nfs/dbraw/zinc/60/25/76/1074602576.db2.gz ADETXOBXKAYCGP-ZDUSSCGKSA-N 0 0 433.504 -0.370 20 0 IBADRN C[C@@H](C(=O)N1CCS(=O)(=O)C[C@@H]1CCO)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471971685 1074602637 /nfs/dbraw/zinc/60/26/37/1074602637.db2.gz BXKOFMCJOIKLTG-KBPBESRZSA-N 0 0 433.527 -0.538 20 0 IBADRN C[C@H](C(=O)N1CCS(=O)(=O)C[C@@H]1CCO)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471971686 1074602650 /nfs/dbraw/zinc/60/26/50/1074602650.db2.gz BXKOFMCJOIKLTG-KGLIPLIRSA-N 0 0 433.527 -0.538 20 0 IBADRN C[C@@H](C(=O)N1CCS(=O)(=O)C[C@H]1CCO)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471971687 1074602647 /nfs/dbraw/zinc/60/26/47/1074602647.db2.gz BXKOFMCJOIKLTG-UONOGXRCSA-N 0 0 433.527 -0.538 20 0 IBADRN C[C@H](C(=O)N1CCS(=O)(=O)C[C@H]1CCO)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC001471971688 1074602568 /nfs/dbraw/zinc/60/25/68/1074602568.db2.gz BXKOFMCJOIKLTG-ZIAGYGMSSA-N 0 0 433.527 -0.538 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCS(=O)(=O)C[C@H]2CCO)C1=O ZINC001471972798 1074602630 /nfs/dbraw/zinc/60/26/30/1074602630.db2.gz OHWDFGZIQAPUPU-BFUOFWGJSA-N 0 0 439.490 -0.530 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)C1=O ZINC001471972803 1074602543 /nfs/dbraw/zinc/60/25/43/1074602543.db2.gz OHWDFGZIQAPUPU-DJJJIMSYSA-N 0 0 439.490 -0.530 20 0 IBADRN COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)C1=O ZINC001471972805 1074602587 /nfs/dbraw/zinc/60/25/87/1074602587.db2.gz OHWDFGZIQAPUPU-ORAYPTAESA-N 0 0 439.490 -0.530 20 0 IBADRN COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)N2CCS(=O)(=O)C[C@H]2CCO)C1=O ZINC001471972807 1074602616 /nfs/dbraw/zinc/60/26/16/1074602616.db2.gz OHWDFGZIQAPUPU-YJYMSZOUSA-N 0 0 439.490 -0.530 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCS(=O)(=O)C[C@H]2CCO)sc1Br ZINC001471973022 1074602605 /nfs/dbraw/zinc/60/26/05/1074602605.db2.gz PKEGWILQJLLDKL-SSDOTTSWSA-N 0 0 447.354 -0.220 20 0 IBADRN NS(=O)(=O)c1cc(C(=O)N2CCS(=O)(=O)C[C@@H]2CCO)sc1Br ZINC001471973023 1074602522 /nfs/dbraw/zinc/60/25/22/1074602522.db2.gz PKEGWILQJLLDKL-ZETCQYMHSA-N 0 0 447.354 -0.220 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N2CCS(=O)(=O)C[C@H]2CCO)C1=O ZINC001471973028 1074602624 /nfs/dbraw/zinc/60/26/24/1074602624.db2.gz PNFIGNPNYOGMIW-CABCVRRESA-N 0 0 447.554 -0.242 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N2CCS(=O)(=O)C[C@@H]2CCO)C1=O ZINC001471973029 1074602610 /nfs/dbraw/zinc/60/26/10/1074602610.db2.gz PNFIGNPNYOGMIW-GJZGRUSLSA-N 0 0 447.554 -0.242 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N2CCS(=O)(=O)C[C@H]2CCO)C1=O ZINC001471973030 1074602534 /nfs/dbraw/zinc/60/25/34/1074602534.db2.gz PNFIGNPNYOGMIW-HUUCEWRRSA-N 0 0 447.554 -0.242 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2CCO)C1=O ZINC001471973031 1074602642 /nfs/dbraw/zinc/60/26/42/1074602642.db2.gz PNFIGNPNYOGMIW-LSDHHAIUSA-N 0 0 447.554 -0.242 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001471976142 1074603008 /nfs/dbraw/zinc/60/30/08/1074603008.db2.gz FHNPGSYEELDWHQ-UHFFFAOYSA-N 0 0 449.551 -0.040 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NC(=O)[C@@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001471976717 1074603057 /nfs/dbraw/zinc/60/30/57/1074603057.db2.gz ULKYTNWGQZMCTN-HNNXBMFYSA-N 0 0 437.522 -0.020 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NC(=O)[C@H]1CN(Cc2nc3ccccc3c(=O)[nH]2)CCO1 ZINC001471976718 1074603094 /nfs/dbraw/zinc/60/30/94/1074603094.db2.gz ULKYTNWGQZMCTN-OAHLLOKOSA-N 0 0 437.522 -0.020 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001471978059 1074603017 /nfs/dbraw/zinc/60/30/17/1074603017.db2.gz KWAFVBFCOLZMCJ-HNNXBMFYSA-N 0 0 432.930 -0.741 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001471978060 1074603099 /nfs/dbraw/zinc/60/30/99/1074603099.db2.gz KWAFVBFCOLZMCJ-OAHLLOKOSA-N 0 0 432.930 -0.741 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)c2ccc(C)c(S(=O)(=O)N3CCOCC3)c2)CC1 ZINC001471978083 1074603109 /nfs/dbraw/zinc/60/31/09/1074603109.db2.gz AESWDHBBKUGOHN-UHFFFAOYSA-N 0 0 438.550 -0.090 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)C2CCN(S(=O)(=O)c3c(C)n[nH]c3C)CC2)CC1 ZINC001471978141 1074603074 /nfs/dbraw/zinc/60/30/74/1074603074.db2.gz CQPZHJXXINZLQK-UHFFFAOYSA-N 0 0 440.570 -0.292 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)CC1 ZINC001471978672 1074603001 /nfs/dbraw/zinc/60/30/01/1074603001.db2.gz XIQNNDAPVHCYPB-AWEZNQCLSA-N 0 0 434.559 -0.405 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001471978701 1074603003 /nfs/dbraw/zinc/60/30/03/1074603003.db2.gz XVYGKXOEDKKFTJ-FQEVSTJZSA-N 0 0 438.550 -0.278 20 0 IBADRN CNC(=O)CN1CCCN(C(=O)[C@](C)(NC(C)=O)c2ccc(S(C)(=O)=O)cc2)CC1 ZINC001471978706 1074603085 /nfs/dbraw/zinc/60/30/85/1074603085.db2.gz XVYGKXOEDKKFTJ-HXUWFJFHSA-N 0 0 438.550 -0.278 20 0 IBADRN CCN1CCC[C@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001471990202 1074603036 /nfs/dbraw/zinc/60/30/36/1074603036.db2.gz FMUICYRIVNRLNS-CVEARBPZSA-N 0 0 445.563 -0.105 20 0 IBADRN CCN1CCC[C@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001471990203 1074603065 /nfs/dbraw/zinc/60/30/65/1074603065.db2.gz FMUICYRIVNRLNS-HOTGVXAUSA-N 0 0 445.563 -0.105 20 0 IBADRN CCN1CCC[C@@H](NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001471990204 1074603063 /nfs/dbraw/zinc/60/30/63/1074603063.db2.gz FMUICYRIVNRLNS-HZPDHXFCSA-N 0 0 445.563 -0.105 20 0 IBADRN CCN1CCC[C@@H](NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)c2ccccc2)C1=O ZINC001471990205 1074603069 /nfs/dbraw/zinc/60/30/69/1074603069.db2.gz FMUICYRIVNRLNS-JKSUJKDBSA-N 0 0 445.563 -0.105 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)Nc2ccn(CCN3CC[NH+]=C3[O-])n2)cc1 ZINC001471997377 1074603025 /nfs/dbraw/zinc/60/30/25/1074603025.db2.gz DGZATNONLXNKLY-UHFFFAOYSA-N 0 0 436.494 -0.227 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(c3cccc4[nH]c(=O)[nH]c43)CC2)cn1C ZINC001471999774 1074603050 /nfs/dbraw/zinc/60/30/50/1074603050.db2.gz AZJRFIWDDOKHCT-UHFFFAOYSA-N 0 0 433.494 -0.062 20 0 IBADRN C[C@@H]1CS(=O)(=O)CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001472002821 1074603071 /nfs/dbraw/zinc/60/30/71/1074603071.db2.gz CAXHDLDCJMUQBP-CYBMUJFWSA-N 0 0 431.536 -0.298 20 0 IBADRN C[C@H]1CS(=O)(=O)CCCN1C(=O)CNC(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001472002822 1074603011 /nfs/dbraw/zinc/60/30/11/1074603011.db2.gz CAXHDLDCJMUQBP-ZDUSSCGKSA-N 0 0 431.536 -0.298 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)[C@@H]([C@@H]2CCCO2)C1 ZINC001472003265 1074603032 /nfs/dbraw/zinc/60/30/32/1074603032.db2.gz HTNIKPLDDKFCEW-HHARLNAUSA-N 0 0 442.534 -0.019 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)[C@H]([C@@H]2CCCO2)C1 ZINC001472003269 1074603626 /nfs/dbraw/zinc/60/36/26/1074603626.db2.gz HTNIKPLDDKFCEW-MTSZKFMLSA-N 0 0 442.534 -0.019 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)[C@H]([C@H]2CCCO2)C1 ZINC001472003272 1074603544 /nfs/dbraw/zinc/60/35/44/1074603544.db2.gz HTNIKPLDDKFCEW-OVYGPGRDSA-N 0 0 442.534 -0.019 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)[C@@H]([C@H]2CCCO2)C1 ZINC001472003627 1074603581 /nfs/dbraw/zinc/60/35/81/1074603581.db2.gz HTNIKPLDDKFCEW-WRQOLXDDSA-N 0 0 442.534 -0.019 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCCS(=O)(=O)C[C@H]2C)s1 ZINC001472005420 1074603623 /nfs/dbraw/zinc/60/36/23/1074603623.db2.gz ZLQHLRYVNHBXLH-LLVKDONJSA-N 0 0 437.565 -0.302 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N2CCCS(=O)(=O)C[C@@H]2C)s1 ZINC001472005425 1074603590 /nfs/dbraw/zinc/60/35/90/1074603590.db2.gz ZLQHLRYVNHBXLH-NSHDSACASA-N 0 0 437.565 -0.302 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)[C@@H]([C@H]2CCCO2)C1 ZINC001472006380 1074603552 /nfs/dbraw/zinc/60/35/52/1074603552.db2.gz SWPZHELKXQHKSP-BZUAXINKSA-N 0 0 447.554 -0.220 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)[C@@H]([C@@H]2CCCO2)C1 ZINC001472006381 1074603488 /nfs/dbraw/zinc/60/34/88/1074603488.db2.gz SWPZHELKXQHKSP-OAGGEKHMSA-N 0 0 447.554 -0.220 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)[C@H]([C@H]2CCCO2)C1 ZINC001472006382 1074603617 /nfs/dbraw/zinc/60/36/17/1074603617.db2.gz SWPZHELKXQHKSP-OWCLPIDISA-N 0 0 447.554 -0.220 20 0 IBADRN COC(=O)[C@@H]1CCN(C(=O)CCS(=O)(=O)NCC(=O)NC(C)C)[C@H]([C@@H]2CCCO2)C1 ZINC001472006383 1074603604 /nfs/dbraw/zinc/60/36/04/1074603604.db2.gz SWPZHELKXQHKSP-PMPSAXMXSA-N 0 0 447.554 -0.220 20 0 IBADRN COCCNC(=O)CSc1ccccc1C(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001472029571 1074603574 /nfs/dbraw/zinc/60/35/74/1074603574.db2.gz CMXLHIDKSDSOQH-CYBMUJFWSA-N 0 0 428.536 -0.484 20 0 IBADRN COCCNC(=O)CSc1ccccc1C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001472029572 1074603557 /nfs/dbraw/zinc/60/35/57/1074603557.db2.gz CMXLHIDKSDSOQH-ZDUSSCGKSA-N 0 0 428.536 -0.484 20 0 IBADRN COc1ccc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC001472030106 1074603511 /nfs/dbraw/zinc/60/35/11/1074603511.db2.gz MQGXFAJJNGPCHB-AWEZNQCLSA-N 0 0 444.535 -0.546 20 0 IBADRN COc1ccc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1S(=O)(=O)N1CCCC1 ZINC001472030108 1074603632 /nfs/dbraw/zinc/60/36/32/1074603632.db2.gz MQGXFAJJNGPCHB-CQSZACIVSA-N 0 0 444.535 -0.546 20 0 IBADRN CN1CCn2nc(C(=O)N3CCC(S(=O)(=O)N4CCCCC4)CC3)cc2S1(=O)=O ZINC001472030123 1074603538 /nfs/dbraw/zinc/60/35/38/1074603538.db2.gz MTBLTHHRJCVHKL-UHFFFAOYSA-N 0 0 445.567 -0.063 20 0 IBADRN CC(C)(C)OC(=O)NCCCCn1cc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)nn1 ZINC001472030903 1074603596 /nfs/dbraw/zinc/60/35/96/1074603596.db2.gz AACSJRAIVBQNOF-CYBMUJFWSA-N 0 0 443.530 -0.443 20 0 IBADRN CC(C)(C)OC(=O)NCCCCn1cc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)nn1 ZINC001472030904 1074603636 /nfs/dbraw/zinc/60/36/36/1074603636.db2.gz AACSJRAIVBQNOF-ZDUSSCGKSA-N 0 0 443.530 -0.443 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC2CCCC2)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001472030977 1074603524 /nfs/dbraw/zinc/60/35/24/1074603524.db2.gz BRPRCOBYRFLBLO-HNNXBMFYSA-N 0 0 428.536 -0.118 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC2CCCC2)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001472030978 1074603568 /nfs/dbraw/zinc/60/35/68/1074603568.db2.gz BRPRCOBYRFLBLO-OAHLLOKOSA-N 0 0 428.536 -0.118 20 0 IBADRN O=C(c1cc(S(=O)(=O)Nc2ccccc2F)c[nH]1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001472031150 1074603609 /nfs/dbraw/zinc/60/36/09/1074603609.db2.gz DRHYRTOVVQOUPY-LLVKDONJSA-N 0 0 443.482 -0.071 20 0 IBADRN O=C(c1cc(S(=O)(=O)Nc2ccccc2F)c[nH]1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001472031151 1074603496 /nfs/dbraw/zinc/60/34/96/1074603496.db2.gz DRHYRTOVVQOUPY-NSHDSACASA-N 0 0 443.482 -0.071 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001472031428 1074604078 /nfs/dbraw/zinc/60/40/78/1074604078.db2.gz HULFJLLSXMZJFN-MRVPVSSYSA-N 0 0 439.313 -0.929 20 0 IBADRN NS(=O)(=O)c1ccc(Br)c(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001472031430 1074604069 /nfs/dbraw/zinc/60/40/69/1074604069.db2.gz HULFJLLSXMZJFN-QMMMGPOBSA-N 0 0 439.313 -0.929 20 0 IBADRN Nc1c(I)cc(F)cc1C(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001472031551 1074604082 /nfs/dbraw/zinc/60/40/82/1074604082.db2.gz IGCQKORLDUHYDJ-MRVPVSSYSA-N 0 0 440.238 -0.013 20 0 IBADRN Nc1c(I)cc(F)cc1C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001472031554 1074604098 /nfs/dbraw/zinc/60/40/98/1074604098.db2.gz IGCQKORLDUHYDJ-QMMMGPOBSA-N 0 0 440.238 -0.013 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C(C)C)cc1 ZINC001472031666 1074604057 /nfs/dbraw/zinc/60/40/57/1074604057.db2.gz JJSMMLCLEOTGEA-HOCLYGCPSA-N 0 0 430.552 -0.341 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C(C)C)cc1 ZINC001472031668 1074604111 /nfs/dbraw/zinc/60/41/11/1074604111.db2.gz JJSMMLCLEOTGEA-ZBFHGGJFSA-N 0 0 430.552 -0.341 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1cnn(C)c1 ZINC001472032099 1074604060 /nfs/dbraw/zinc/60/40/60/1074604060.db2.gz NMPXIJNVNTZEEE-KBPBESRZSA-N 0 0 428.515 -0.311 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@H](C(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1cnn(C)c1 ZINC001472032105 1074604067 /nfs/dbraw/zinc/60/40/67/1074604067.db2.gz NMPXIJNVNTZEEE-KGLIPLIRSA-N 0 0 428.515 -0.311 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H](C(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1cnn(C)c1 ZINC001472032107 1074604049 /nfs/dbraw/zinc/60/40/49/1074604049.db2.gz NMPXIJNVNTZEEE-UONOGXRCSA-N 0 0 428.515 -0.311 20 0 IBADRN CN(C(=O)OC(C)(C)C)[C@@H](C(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1cnn(C)c1 ZINC001472032110 1074604120 /nfs/dbraw/zinc/60/41/20/1074604120.db2.gz NMPXIJNVNTZEEE-ZIAGYGMSSA-N 0 0 428.515 -0.311 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccccc2)CC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001472032377 1074604052 /nfs/dbraw/zinc/60/40/52/1074604052.db2.gz PGWYYMWAGQMEJZ-HNNXBMFYSA-N 0 0 428.536 -0.552 20 0 IBADRN O=C(C1CCN(S(=O)(=O)c2ccccc2)CC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001472032378 1074604107 /nfs/dbraw/zinc/60/41/07/1074604107.db2.gz PGWYYMWAGQMEJZ-OAHLLOKOSA-N 0 0 428.536 -0.552 20 0 IBADRN CC(C)(C)OC(=O)N1CC(n2nncc2C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)C1 ZINC001472032646 1074604088 /nfs/dbraw/zinc/60/40/88/1074604088.db2.gz SKRQSHJVTNWJDD-LLVKDONJSA-N 0 0 427.487 -0.956 20 0 IBADRN CC(C)(C)OC(=O)N1CC(n2nncc2C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)C1 ZINC001472032647 1074604094 /nfs/dbraw/zinc/60/40/94/1074604094.db2.gz SKRQSHJVTNWJDD-NSHDSACASA-N 0 0 427.487 -0.956 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(C(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001472032916 1074604045 /nfs/dbraw/zinc/60/40/45/1074604045.db2.gz UZPNBYDATKLIHU-HNNXBMFYSA-N 0 0 430.552 -0.121 20 0 IBADRN CC(C)(C)NS(=O)(=O)Cc1cccc(C(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001472032917 1074604074 /nfs/dbraw/zinc/60/40/74/1074604074.db2.gz UZPNBYDATKLIHU-OAHLLOKOSA-N 0 0 430.552 -0.121 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)N3CCN4[C@H](CNS4(=O)=O)C3)cc12 ZINC001472033151 1074604064 /nfs/dbraw/zinc/60/40/64/1074604064.db2.gz WUMRVBVRAACVCC-GHMZBOCLSA-N 0 0 426.524 -0.578 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@H](C)C(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)cc12 ZINC001472033154 1074604041 /nfs/dbraw/zinc/60/40/41/1074604041.db2.gz WUMRVBVRAACVCC-MNOVXSKESA-N 0 0 426.524 -0.578 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)N3CCN4[C@@H](CNS4(=O)=O)C3)cc12 ZINC001472033156 1074604501 /nfs/dbraw/zinc/60/45/01/1074604501.db2.gz WUMRVBVRAACVCC-QWRGUYRKSA-N 0 0 426.524 -0.578 20 0 IBADRN Cc1nn(C)c2sc(C(=O)N[C@@H](C)C(=O)N3CCN4[C@H](CNS4(=O)=O)C3)cc12 ZINC001472033159 1074604610 /nfs/dbraw/zinc/60/46/10/1074604610.db2.gz WUMRVBVRAACVCC-WDEREUQCSA-N 0 0 426.524 -0.578 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N1CCn2ncc(I)c2C1 ZINC001472033774 1074604512 /nfs/dbraw/zinc/60/45/12/1074604512.db2.gz VWWXHKGZUMEFKH-UHFFFAOYSA-N 0 0 442.279 -0.214 20 0 IBADRN C[C@H]1C[C@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS1(=O)=O ZINC001472043521 1074604554 /nfs/dbraw/zinc/60/45/54/1074604554.db2.gz BIAFRBYGVGATCM-GXTWGEPZSA-N 0 0 431.536 -0.251 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS1(=O)=O ZINC001472043536 1074604584 /nfs/dbraw/zinc/60/45/84/1074604584.db2.gz BIAFRBYGVGATCM-JSGCOSHPSA-N 0 0 431.536 -0.251 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS1(=O)=O ZINC001472043537 1074604559 /nfs/dbraw/zinc/60/45/59/1074604559.db2.gz BIAFRBYGVGATCM-OCCSQVGLSA-N 0 0 431.536 -0.251 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)CNC(=O)c2cccc(S(=O)(=O)N(C)C)c2)CCS1(=O)=O ZINC001472043539 1074604547 /nfs/dbraw/zinc/60/45/47/1074604547.db2.gz BIAFRBYGVGATCM-TZMCWYRMSA-N 0 0 431.536 -0.251 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCS(=O)(=O)[C@@H](C)C2)s1 ZINC001472045393 1074604561 /nfs/dbraw/zinc/60/45/61/1074604561.db2.gz NESYKCMXINZRPH-CMPLNLGQSA-N 0 0 437.565 -0.256 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCS(=O)(=O)[C@@H](C)C2)s1 ZINC001472045394 1074604525 /nfs/dbraw/zinc/60/45/25/1074604525.db2.gz NESYKCMXINZRPH-JQWIXIFHSA-N 0 0 437.565 -0.256 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2CCS(=O)(=O)[C@H](C)C2)s1 ZINC001472045395 1074604592 /nfs/dbraw/zinc/60/45/92/1074604592.db2.gz NESYKCMXINZRPH-PWSUYJOCSA-N 0 0 437.565 -0.256 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2CCS(=O)(=O)[C@H](C)C2)s1 ZINC001472045396 1074604603 /nfs/dbraw/zinc/60/46/03/1074604603.db2.gz NESYKCMXINZRPH-ZYHUDNBSSA-N 0 0 437.565 -0.256 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N[C@@H]2CC3(CN(C(=O)OC(C)(C)C)C3)n3ccnc32)C1=O ZINC001472058856 1074604568 /nfs/dbraw/zinc/60/45/68/1074604568.db2.gz YMJOZNNLYGMWCB-LLVKDONJSA-N 0 0 432.437 -0.189 20 0 IBADRN CN1C(=O)C(=O)N(CC(=O)N[C@H]2CC3(CN(C(=O)OC(C)(C)C)C3)n3ccnc32)C1=O ZINC001472058857 1074604607 /nfs/dbraw/zinc/60/46/07/1074604607.db2.gz YMJOZNNLYGMWCB-NSHDSACASA-N 0 0 432.437 -0.189 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)N1CCN2CCOC[C@]2(CO)C1 ZINC001472061503 1074604613 /nfs/dbraw/zinc/60/46/13/1074604613.db2.gz MZJDPLVKVVNCIT-FXAWDEMLSA-N 0 0 439.534 -0.337 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@@H]2CCCO2)c1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001472061505 1074604517 /nfs/dbraw/zinc/60/45/17/1074604517.db2.gz MZJDPLVKVVNCIT-PXNSSMCTSA-N 0 0 439.534 -0.337 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001472061507 1074605006 /nfs/dbraw/zinc/60/50/06/1074605006.db2.gz MZJDPLVKVVNCIT-XLIONFOSSA-N 0 0 439.534 -0.337 20 0 IBADRN O=C(c1cccc(S(=O)(=O)NC[C@H]2CCCO2)c1)N1CCN2CCOC[C@]2(CO)C1 ZINC001472061509 1074604953 /nfs/dbraw/zinc/60/49/53/1074604953.db2.gz MZJDPLVKVVNCIT-YLJYHZDGSA-N 0 0 439.534 -0.337 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001472061524 1074604991 /nfs/dbraw/zinc/60/49/91/1074604991.db2.gz NIROIJWPRDHETP-HKUYNNGSSA-N 0 0 443.591 -0.008 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001472061525 1074604944 /nfs/dbraw/zinc/60/49/44/1074604944.db2.gz NIROIJWPRDHETP-IEBWSBKVSA-N 0 0 443.591 -0.008 20 0 IBADRN CSCC[C@@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001472061526 1074605016 /nfs/dbraw/zinc/60/50/16/1074605016.db2.gz NIROIJWPRDHETP-MJGOQNOKSA-N 0 0 443.591 -0.008 20 0 IBADRN CSCC[C@H](NS(=O)(=O)c1ccccc1)C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001472061527 1074604899 /nfs/dbraw/zinc/60/48/99/1074604899.db2.gz NIROIJWPRDHETP-PKOBYXMFSA-N 0 0 443.591 -0.008 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN3CCOC[C@@]3(CO)C2)C1 ZINC001472061753 1074604915 /nfs/dbraw/zinc/60/49/15/1074604915.db2.gz PEHLKYBGJHESOX-APWZRJJASA-N 0 0 441.554 -0.667 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN3CCOC[C@@]3(CO)C2)C1 ZINC001472061757 1074604948 /nfs/dbraw/zinc/60/49/48/1074604948.db2.gz PEHLKYBGJHESOX-LPHOPBHVSA-N 0 0 441.554 -0.667 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)N2CCN3CCOC[C@]3(CO)C2)C1 ZINC001472061759 1074604920 /nfs/dbraw/zinc/60/49/20/1074604920.db2.gz PEHLKYBGJHESOX-QFBILLFUSA-N 0 0 441.554 -0.667 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)N2CCN3CCOC[C@]3(CO)C2)C1 ZINC001472061762 1074604963 /nfs/dbraw/zinc/60/49/63/1074604963.db2.gz PEHLKYBGJHESOX-VQIMIIECSA-N 0 0 441.554 -0.667 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN3CCOC[C@@]3(CO)C2)cc1 ZINC001472061824 1074604986 /nfs/dbraw/zinc/60/49/86/1074604986.db2.gz QKAKBWLCVBFSMF-IBGZPJMESA-N 0 0 440.522 -0.829 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)N(C)CC(=O)N2CCN3CCOC[C@]3(CO)C2)cc1 ZINC001472061825 1074604999 /nfs/dbraw/zinc/60/49/99/1074604999.db2.gz QKAKBWLCVBFSMF-LJQANCHMSA-N 0 0 440.522 -0.829 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N2CCN3CCOC[C@]3(CO)C2)C1=O ZINC001472062252 1074604928 /nfs/dbraw/zinc/60/49/28/1074604928.db2.gz BCPCJLLXYCIESQ-HRAATJIYSA-N 0 0 440.541 -0.345 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N2CCN3CCOC[C@@]3(CO)C2)C1=O ZINC001472062253 1074604907 /nfs/dbraw/zinc/60/49/07/1074604907.db2.gz BCPCJLLXYCIESQ-IERDGZPVSA-N 0 0 440.541 -0.345 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@@H](C(=O)N2CCN3CCOC[C@]3(CO)C2)C1=O ZINC001472062254 1074604977 /nfs/dbraw/zinc/60/49/77/1074604977.db2.gz BCPCJLLXYCIESQ-IIBYNOLFSA-N 0 0 440.541 -0.345 20 0 IBADRN CC(C)(C)OC(=O)NCCN1CCC[C@H](C(=O)N2CCN3CCOC[C@@]3(CO)C2)C1=O ZINC001472062255 1074604968 /nfs/dbraw/zinc/60/49/68/1074604968.db2.gz BCPCJLLXYCIESQ-KKSFZXQISA-N 0 0 440.541 -0.345 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN3CCOC[C@@]3(CO)C2)CC1 ZINC001472062515 1074604936 /nfs/dbraw/zinc/60/49/36/1074604936.db2.gz KRNWPPJCUXEAHA-IBGZPJMESA-N 0 0 441.554 -0.667 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2CCN3CCOC[C@]3(CO)C2)CC1 ZINC001472062516 1074605010 /nfs/dbraw/zinc/60/50/10/1074605010.db2.gz KRNWPPJCUXEAHA-LJQANCHMSA-N 0 0 441.554 -0.667 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@@H]1C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001472062552 1074604959 /nfs/dbraw/zinc/60/49/59/1074604959.db2.gz MBQFUPBGRAFRGM-PDOICOKGSA-N 0 0 443.566 -0.998 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@H]1C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001472062553 1074604995 /nfs/dbraw/zinc/60/49/95/1074604995.db2.gz MBQFUPBGRAFRGM-VIPLHTEESA-N 0 0 443.566 -0.998 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@H]1C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001472062554 1074605471 /nfs/dbraw/zinc/60/54/71/1074605471.db2.gz MBQFUPBGRAFRGM-WOCWXWTJSA-N 0 0 443.566 -0.998 20 0 IBADRN O=C(N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCCC[C@@H]1C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001472062555 1074605532 /nfs/dbraw/zinc/60/55/32/1074605532.db2.gz MBQFUPBGRAFRGM-WWNBULGVSA-N 0 0 443.566 -0.998 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)N2CCN3CCOC[C@]3(CO)C2)CCS(=O)(=O)CC1 ZINC001472062599 1074605512 /nfs/dbraw/zinc/60/55/12/1074605512.db2.gz NNVMXDFAKGQYLT-GOSISDBHSA-N 0 0 432.539 -0.571 20 0 IBADRN CC(C)(C)OC(=O)C1(C(=O)N2CCN3CCOC[C@@]3(CO)C2)CCS(=O)(=O)CC1 ZINC001472062600 1074605455 /nfs/dbraw/zinc/60/54/55/1074605455.db2.gz NNVMXDFAKGQYLT-SFHVURJKSA-N 0 0 432.539 -0.571 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCN4CCOC[C@@]4(CO)C3)n(C)c2)C1 ZINC001472062667 1074605529 /nfs/dbraw/zinc/60/55/29/1074605529.db2.gz QAIKDQGRXGNNDJ-JXFKEZNVSA-N 0 0 440.566 -0.035 20 0 IBADRN C[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCN4CCOC[C@]4(CO)C3)n(C)c2)C1 ZINC001472062668 1074605478 /nfs/dbraw/zinc/60/54/78/1074605478.db2.gz QAIKDQGRXGNNDJ-OXJNMPFZSA-N 0 0 440.566 -0.035 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCN4CCOC[C@]4(CO)C3)n(C)c2)C1 ZINC001472062669 1074605451 /nfs/dbraw/zinc/60/54/51/1074605451.db2.gz QAIKDQGRXGNNDJ-OXQOHEQNSA-N 0 0 440.566 -0.035 20 0 IBADRN C[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)N3CCN4CCOC[C@@]4(CO)C3)n(C)c2)C1 ZINC001472062670 1074605520 /nfs/dbraw/zinc/60/55/20/1074605520.db2.gz QAIKDQGRXGNNDJ-UZLBHIALSA-N 0 0 440.566 -0.035 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001472062719 1074605446 /nfs/dbraw/zinc/60/54/46/1074605446.db2.gz SGVVHPXFDHCFIG-KRWDZBQOSA-N 0 0 430.552 -0.729 20 0 IBADRN O=C(c1cc(S(=O)(=O)N2CCSCC2)c[nH]1)N1CCN2CCOC[C@]2(CO)C1 ZINC001472062720 1074605475 /nfs/dbraw/zinc/60/54/75/1074605475.db2.gz SGVVHPXFDHCFIG-QGZVFWFLSA-N 0 0 430.552 -0.729 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N3CCN4CCOC[C@]4(CO)C3)CC2=O)cc(OC)c1OC ZINC001472062810 1074605525 /nfs/dbraw/zinc/60/55/25/1074605525.db2.gz VQTCMEGERLZKRM-IVZQSRNASA-N 0 0 449.504 -0.029 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N3CCN4CCOC[C@@]4(CO)C3)CC2=O)cc(OC)c1OC ZINC001472062811 1074605506 /nfs/dbraw/zinc/60/55/06/1074605506.db2.gz VQTCMEGERLZKRM-NYHFZMIOSA-N 0 0 449.504 -0.029 20 0 IBADRN COc1cc(N2C[C@@H](C(=O)N3CCN4CCOC[C@]4(CO)C3)CC2=O)cc(OC)c1OC ZINC001472062812 1074605484 /nfs/dbraw/zinc/60/54/84/1074605484.db2.gz VQTCMEGERLZKRM-OYHNWAKOSA-N 0 0 449.504 -0.029 20 0 IBADRN COc1cc(N2C[C@H](C(=O)N3CCN4CCOC[C@@]4(CO)C3)CC2=O)cc(OC)c1OC ZINC001472062813 1074605508 /nfs/dbraw/zinc/60/55/08/1074605508.db2.gz VQTCMEGERLZKRM-QRQCRPRQSA-N 0 0 449.504 -0.029 20 0 IBADRN CN(CCCC(=O)N1CCN2CCOC[C@@]2(CO)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001472062825 1074605457 /nfs/dbraw/zinc/60/54/57/1074605457.db2.gz WDSCVHXBQJEZPC-FQEVSTJZSA-N 0 0 436.534 -0.247 20 0 IBADRN CN(CCCC(=O)N1CCN2CCOC[C@]2(CO)C1)C1=NS(=O)(=O)c2ccccc21 ZINC001472062826 1074605493 /nfs/dbraw/zinc/60/54/93/1074605493.db2.gz WDSCVHXBQJEZPC-HXUWFJFHSA-N 0 0 436.534 -0.247 20 0 IBADRN Cc1c(C(=O)N2CCN3CCOC[C@@]3(CO)C2)cc(Br)cc1S(N)(=O)=O ZINC001472062843 1074605489 /nfs/dbraw/zinc/60/54/89/1074605489.db2.gz WNZVNKXMWHSBJY-INIZCTEOSA-N 0 0 448.339 -0.076 20 0 IBADRN Cc1c(C(=O)N2CCN3CCOC[C@]3(CO)C2)cc(Br)cc1S(N)(=O)=O ZINC001472062844 1074605516 /nfs/dbraw/zinc/60/55/16/1074605516.db2.gz WNZVNKXMWHSBJY-MRXNPFEDSA-N 0 0 448.339 -0.076 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CN2CCCS2(=O)=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001472077645 1074605780 /nfs/dbraw/zinc/60/57/80/1074605780.db2.gz IFWHDQTXMVGIMK-CYBMUJFWSA-N 0 0 431.511 -0.110 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CN2CCCS2(=O)=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC001472077646 1074605771 /nfs/dbraw/zinc/60/57/71/1074605771.db2.gz IFWHDQTXMVGIMK-ZDUSSCGKSA-N 0 0 431.511 -0.110 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001472092597 1074605798 /nfs/dbraw/zinc/60/57/98/1074605798.db2.gz GAGRDQMCIFVOFA-KSMMKXTCSA-N 0 0 445.538 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001472092598 1074605888 /nfs/dbraw/zinc/60/58/88/1074605888.db2.gz GAGRDQMCIFVOFA-LPMFXHHGSA-N 0 0 445.538 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001472092599 1074605804 /nfs/dbraw/zinc/60/58/04/1074605804.db2.gz GAGRDQMCIFVOFA-NJSLBKSFSA-N 0 0 445.538 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001472092600 1074605897 /nfs/dbraw/zinc/60/58/97/1074605897.db2.gz GAGRDQMCIFVOFA-TYILLQQXSA-N 0 0 445.538 -0.490 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](O)(CNC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C1 ZINC001472093846 1074605825 /nfs/dbraw/zinc/60/58/25/1074605825.db2.gz ZCIHKWJNXCCZGF-GOSISDBHSA-N 0 0 426.495 -0.028 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](O)(CNC(=O)C2=CN3CCS(=O)(=O)N=C3C=C2)C1 ZINC001472093847 1074605817 /nfs/dbraw/zinc/60/58/17/1074605817.db2.gz ZCIHKWJNXCCZGF-SFHVURJKSA-N 0 0 426.495 -0.028 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@@H](CO)c1ncc[nH]1 ZINC001472106095 1074341379 /nfs/dbraw/zinc/34/13/79/1074341379.db2.gz YHWKMKMSVBMMOS-INIZCTEOSA-N 0 0 435.506 -0.127 20 0 IBADRN O=C(CCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1)N[C@H](CO)c1ncc[nH]1 ZINC001472106096 1074341487 /nfs/dbraw/zinc/34/14/87/1074341487.db2.gz YHWKMKMSVBMMOS-MRXNPFEDSA-N 0 0 435.506 -0.127 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@H]1CCc2cc(C(=O)OC)ccc2NC1=O ZINC001472107341 1074605830 /nfs/dbraw/zinc/60/58/30/1074605830.db2.gz SQWNTIXKAZSONG-HNNXBMFYSA-N 0 0 427.479 -0.201 20 0 IBADRN CCOCCS(=O)(=O)NCC(=O)N[C@@H]1CCc2cc(C(=O)OC)ccc2NC1=O ZINC001472107342 1074605879 /nfs/dbraw/zinc/60/58/79/1074605879.db2.gz SQWNTIXKAZSONG-OAHLLOKOSA-N 0 0 427.479 -0.201 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@H](Cn2cncn2)C(=O)OC)c1 ZINC001472117422 1074605894 /nfs/dbraw/zinc/60/58/94/1074605894.db2.gz SKBNAJLREQFQCK-GFCCVEGCSA-N 0 0 446.283 -0.080 20 0 IBADRN CNS(=O)(=O)c1ccc(Br)c(C(=O)N[C@@H](Cn2cncn2)C(=O)OC)c1 ZINC001472117424 1074605858 /nfs/dbraw/zinc/60/58/58/1074605858.db2.gz SKBNAJLREQFQCK-LBPRGKRZSA-N 0 0 446.283 -0.080 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001472120487 1074605810 /nfs/dbraw/zinc/60/58/10/1074605810.db2.gz FJONTLMIQRXYTI-AWEZNQCLSA-N 0 0 435.506 -0.326 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(CC(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001472120491 1074605786 /nfs/dbraw/zinc/60/57/86/1074605786.db2.gz FJONTLMIQRXYTI-CQSZACIVSA-N 0 0 435.506 -0.326 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001472121057 1074605839 /nfs/dbraw/zinc/60/58/39/1074605839.db2.gz DYMTXFABYGCWMW-AWEZNQCLSA-N 0 0 435.506 -0.278 20 0 IBADRN CNS(=O)(=O)c1ccc(CCC(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001472121059 1074605884 /nfs/dbraw/zinc/60/58/84/1074605884.db2.gz DYMTXFABYGCWMW-CQSZACIVSA-N 0 0 435.506 -0.278 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CN3C(=O)N[C@](C)(c4ccccc4)C3=O)C2)[nH]c1=O ZINC001472121328 1074605850 /nfs/dbraw/zinc/60/58/50/1074605850.db2.gz HXDAXIVPGZCQNQ-LHSJRXKWSA-N 0 0 440.460 -0.352 20 0 IBADRN Cn1c(=O)cc(N2CC[C@H](NC(=O)CN3C(=O)N[C@@](C)(c4ccccc4)C3=O)C2)[nH]c1=O ZINC001472121329 1074605873 /nfs/dbraw/zinc/60/58/73/1074605873.db2.gz HXDAXIVPGZCQNQ-QKKBWIMNSA-N 0 0 440.460 -0.352 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)CN3C(=O)N[C@](C)(c4ccccc4)C3=O)C2)[nH]c1=O ZINC001472121330 1074606305 /nfs/dbraw/zinc/60/63/05/1074606305.db2.gz HXDAXIVPGZCQNQ-SPLOXXLWSA-N 0 0 440.460 -0.352 20 0 IBADRN Cn1c(=O)cc(N2CC[C@@H](NC(=O)CN3C(=O)N[C@@](C)(c4ccccc4)C3=O)C2)[nH]c1=O ZINC001472121331 1074606316 /nfs/dbraw/zinc/60/63/16/1074606316.db2.gz HXDAXIVPGZCQNQ-SZNDQCEHSA-N 0 0 440.460 -0.352 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1OC ZINC001472121527 1074606320 /nfs/dbraw/zinc/60/63/20/1074606320.db2.gz KKJGMNLTYMIQDR-CYBMUJFWSA-N 0 0 431.449 -0.372 20 0 IBADRN CNC(=O)COc1ccc(C(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1OC ZINC001472121528 1074606323 /nfs/dbraw/zinc/60/63/23/1074606323.db2.gz KKJGMNLTYMIQDR-ZDUSSCGKSA-N 0 0 431.449 -0.372 20 0 IBADRN Cn1ncc(C(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)c1I ZINC001472123206 1074606329 /nfs/dbraw/zinc/60/63/29/1074606329.db2.gz WOHJPYHHQJJXQZ-MRVPVSSYSA-N 0 0 444.233 -0.167 20 0 IBADRN Cn1ncc(C(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)c1I ZINC001472123207 1074606332 /nfs/dbraw/zinc/60/63/32/1074606332.db2.gz WOHJPYHHQJJXQZ-QMMMGPOBSA-N 0 0 444.233 -0.167 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001472123228 1074606326 /nfs/dbraw/zinc/60/63/26/1074606326.db2.gz WXMUEFBKAMWDJJ-HNNXBMFYSA-N 0 0 435.506 -0.066 20 0 IBADRN CCS(=O)(=O)NCc1ccc(C(=O)N[C@@H]2CCN(c3cc(=O)n(C)c(=O)[nH]3)C2)cc1 ZINC001472123229 1074606321 /nfs/dbraw/zinc/60/63/21/1074606321.db2.gz WXMUEFBKAMWDJJ-OAHLLOKOSA-N 0 0 435.506 -0.066 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCCS(=O)(=O)C[C@H]2c2ccccc2)CC1 ZINC001472137969 1074606336 /nfs/dbraw/zinc/60/63/36/1074606336.db2.gz FEJJBCNRXDIALP-KRWDZBQOSA-N 0 0 429.564 -0.048 20 0 IBADRN CS(=O)(=O)N1CCN(CC(=O)N2CCCS(=O)(=O)C[C@@H]2c2ccccc2)CC1 ZINC001472137973 1074606324 /nfs/dbraw/zinc/60/63/24/1074606324.db2.gz FEJJBCNRXDIALP-QGZVFWFLSA-N 0 0 429.564 -0.048 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@@H](C(=O)N3CCC(CN4CCNC4=O)CC3)CC2=O)c1 ZINC001472146618 1074606330 /nfs/dbraw/zinc/60/63/30/1074606330.db2.gz WNMXDEQHFFAIFM-HNNXBMFYSA-N 0 0 449.533 -0.049 20 0 IBADRN NS(=O)(=O)c1cccc(N2C[C@H](C(=O)N3CCC(CN4CCNC4=O)CC3)CC2=O)c1 ZINC001472146619 1074606327 /nfs/dbraw/zinc/60/63/27/1074606327.db2.gz WNMXDEQHFFAIFM-OAHLLOKOSA-N 0 0 449.533 -0.049 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001472152248 1074606315 /nfs/dbraw/zinc/60/63/15/1074606315.db2.gz KXIKGMNNDVSIKO-DMDPSCGWSA-N 0 0 426.538 -0.952 20 0 IBADRN C[C@H](O)[C@@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001472152250 1074606303 /nfs/dbraw/zinc/60/63/03/1074606303.db2.gz KXIKGMNNDVSIKO-GMXVVIOVSA-N 0 0 426.538 -0.952 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001472152251 1074606334 /nfs/dbraw/zinc/60/63/34/1074606334.db2.gz KXIKGMNNDVSIKO-GVXVVHGQSA-N 0 0 426.538 -0.952 20 0 IBADRN C[C@H](O)[C@H](NS(=O)(=O)c1cccs1)C(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001472152253 1074606325 /nfs/dbraw/zinc/60/63/25/1074606325.db2.gz KXIKGMNNDVSIKO-LOWVWBTDSA-N 0 0 426.538 -0.952 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)cc1OC ZINC001472152779 1074606863 /nfs/dbraw/zinc/60/68/63/1074606863.db2.gz UXUCLKVQJJHOGW-GFCCVEGCSA-N 0 0 436.508 -0.746 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)cc1OC ZINC001472152780 1074606873 /nfs/dbraw/zinc/60/68/73/1074606873.db2.gz UXUCLKVQJJHOGW-LBPRGKRZSA-N 0 0 436.508 -0.746 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)c1 ZINC001472153161 1074606856 /nfs/dbraw/zinc/60/68/56/1074606856.db2.gz GLLLCQGLLXXLSG-KGLIPLIRSA-N 0 0 448.567 -0.738 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCOCC3)cn2C)C[C@H]1CS(N)(=O)=O ZINC001472153373 1074606879 /nfs/dbraw/zinc/60/68/79/1074606879.db2.gz OMUPNNFCKFTCNC-OLZOCXBDSA-N 0 0 434.540 -0.957 20 0 IBADRN C[C@@H]1CN(C(=O)c2cc(S(=O)(=O)N3CCSCC3)c[nH]2)C[C@H]1CS(N)(=O)=O ZINC001472153483 1074606886 /nfs/dbraw/zinc/60/68/86/1074606886.db2.gz TWWQUDFKCPVZMO-NEPJUHHUSA-N 0 0 436.581 -0.251 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cnc3c(c(Br)nn3C)c2=O)C[C@H]1CS(N)(=O)=O ZINC001472154826 1074606910 /nfs/dbraw/zinc/60/69/10/1074606910.db2.gz GYHYEOGVVWYUHM-BDAKNGLRSA-N 0 0 447.315 -0.725 20 0 IBADRN COc1cc(S(=O)(=O)N2CCOCC2)cc(C(=O)N2CC(NC3COC3)C2)c1OC ZINC001472157811 1074606776 /nfs/dbraw/zinc/60/67/76/1074606776.db2.gz BLZXAGHXMVWERO-UHFFFAOYSA-N 0 0 441.506 -0.463 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)NC1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001472158587 1074606916 /nfs/dbraw/zinc/60/69/16/1074606916.db2.gz BFDXWBXJPIZXCZ-UYTSQGDYSA-N 0 0 425.482 -0.047 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)NC1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001472158588 1074606815 /nfs/dbraw/zinc/60/68/15/1074606815.db2.gz BFDXWBXJPIZXCZ-WTXIUTQJSA-N 0 0 425.482 -0.047 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC(C(=O)N2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)CC1 ZINC001472159983 1074606899 /nfs/dbraw/zinc/60/68/99/1074606899.db2.gz XVEXETHCYFMZSJ-GASCZTMLSA-N 0 0 430.552 -0.070 20 0 IBADRN O=C([C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001472160077 1074606800 /nfs/dbraw/zinc/60/68/00/1074606800.db2.gz ZSTGQKZAKGRDMQ-FIRUKDTASA-N 0 0 432.495 -0.547 20 0 IBADRN CC1(C)C[C@@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC001472170201 1074606868 /nfs/dbraw/zinc/60/68/68/1074606868.db2.gz KDHSQKAGICDFNM-AWEZNQCLSA-N 0 0 443.547 -0.107 20 0 IBADRN CC1(C)C[C@H](NC(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCS1(=O)=O ZINC001472170202 1074606820 /nfs/dbraw/zinc/60/68/20/1074606820.db2.gz KDHSQKAGICDFNM-CQSZACIVSA-N 0 0 443.547 -0.107 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCC3(CNC(=O)O3)CC2)c1 ZINC001472171165 1074606829 /nfs/dbraw/zinc/60/68/29/1074606829.db2.gz LDOWLYHFJJOJFH-UHFFFAOYSA-N 0 0 431.492 -0.531 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@H](O)CS(C)(=O)=O)CC2)cc1 ZINC001472184555 1074606807 /nfs/dbraw/zinc/60/68/07/1074606807.db2.gz LDEVJYSTPRHXMA-AWEZNQCLSA-N 0 0 434.536 -0.382 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(C(=O)NC[C@@H](O)CS(C)(=O)=O)CC2)cc1 ZINC001472184556 1074606906 /nfs/dbraw/zinc/60/69/06/1074606906.db2.gz LDEVJYSTPRHXMA-CQSZACIVSA-N 0 0 434.536 -0.382 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001472185150 1074606835 /nfs/dbraw/zinc/60/68/35/1074606835.db2.gz UCJSYNKTQDMCSX-LLVKDONJSA-N 0 0 440.927 -0.504 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001472185151 1074606840 /nfs/dbraw/zinc/60/68/40/1074606840.db2.gz UCJSYNKTQDMCSX-NSHDSACASA-N 0 0 440.927 -0.504 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNC(=O)CCN1C(=O)c2ccc(Br)cc2C1=O ZINC001472185289 1074607123 /nfs/dbraw/zinc/60/71/23/1074607123.db2.gz WDWUAEARHKATPA-JTQLQIEISA-N 0 0 433.280 -0.043 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNC(=O)CCN1C(=O)c2ccc(Br)cc2C1=O ZINC001472185293 1074607167 /nfs/dbraw/zinc/60/71/67/1074607167.db2.gz WDWUAEARHKATPA-SNVBAGLBSA-N 0 0 433.280 -0.043 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC001472185907 1074607176 /nfs/dbraw/zinc/60/71/76/1074607176.db2.gz DGSJEXGEFGFVAE-AWEZNQCLSA-N 0 0 430.914 -0.192 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)c2ccccc2Cl)CC1 ZINC001472185908 1074607202 /nfs/dbraw/zinc/60/72/02/1074607202.db2.gz DGSJEXGEFGFVAE-CQSZACIVSA-N 0 0 430.914 -0.192 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)NC[C@H](O)CS(C)(=O)=O ZINC001472185925 1074607105 /nfs/dbraw/zinc/60/71/05/1074607105.db2.gz PPYAIQTXFZPBQH-DGORSVRFSA-N 0 0 426.413 -0.506 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@H]1C(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001472185927 1074607157 /nfs/dbraw/zinc/60/71/57/1074607157.db2.gz PPYAIQTXFZPBQH-GCHJQGSQSA-N 0 0 426.413 -0.506 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)NC[C@H](O)CS(C)(=O)=O ZINC001472185928 1074607110 /nfs/dbraw/zinc/60/71/10/1074607110.db2.gz PPYAIQTXFZPBQH-IIRVCBMXSA-N 0 0 426.413 -0.506 20 0 IBADRN C[C@@H]1C=C[C@@H]2C(=O)N(CC(F)(F)F)C(=O)[C@@H]2[C@@H]1C(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001472185930 1074607152 /nfs/dbraw/zinc/60/71/52/1074607152.db2.gz PPYAIQTXFZPBQH-ZIQFBCGOSA-N 0 0 426.413 -0.506 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001472186716 1074607195 /nfs/dbraw/zinc/60/71/95/1074607195.db2.gz SLDCYGUQEOQFNH-HNNXBMFYSA-N 0 0 428.486 -0.778 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)[C@@H](CC(N)=O)NC(=O)Cc2cccc(F)c2)CC1 ZINC001472186718 1074607093 /nfs/dbraw/zinc/60/70/93/1074607093.db2.gz SLDCYGUQEOQFNH-OAHLLOKOSA-N 0 0 428.486 -0.778 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001472192328 1074607182 /nfs/dbraw/zinc/60/71/82/1074607182.db2.gz NRKKZTUMWQZSLQ-BBRMVZONSA-N 0 0 435.506 -0.327 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)[C@@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001472192329 1074607147 /nfs/dbraw/zinc/60/71/47/1074607147.db2.gz NRKKZTUMWQZSLQ-CJNGLKHVSA-N 0 0 435.506 -0.327 20 0 IBADRN NS(=O)(=O)C[C@@H]1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001472192330 1074607214 /nfs/dbraw/zinc/60/72/14/1074607214.db2.gz NRKKZTUMWQZSLQ-CZUORRHYSA-N 0 0 435.506 -0.327 20 0 IBADRN NS(=O)(=O)C[C@H]1CCN(C(=O)[C@H]2CN(Cc3nc4ccccc4c(=O)[nH]3)CCO2)C1 ZINC001472192331 1074607115 /nfs/dbraw/zinc/60/71/15/1074607115.db2.gz NRKKZTUMWQZSLQ-XJKSGUPXSA-N 0 0 435.506 -0.327 20 0 IBADRN CCOC(=O)CC1(NC(=O)Cn2cc(S(=O)(=O)N(CC)CC)ccc2=O)COC1 ZINC001472232480 1074343518 /nfs/dbraw/zinc/34/35/18/1074343518.db2.gz LLROTUINXKLPDZ-UHFFFAOYSA-N 0 0 429.495 -0.283 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NC(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)C1 ZINC001472292302 1074608015 /nfs/dbraw/zinc/60/80/15/1074608015.db2.gz HLPHNALDVBPTLH-XEZPLFJOSA-N 0 0 429.495 -0.073 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c2ccc(OC)cc2)[C@@H](CO)C1 ZINC001472292303 1074607979 /nfs/dbraw/zinc/60/79/79/1074607979.db2.gz HLPHNALDVBPTLH-ZENOOKHLSA-N 0 0 429.495 -0.073 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CCNS(=O)(=O)c1ccccc1F)C2 ZINC001472310348 1074607925 /nfs/dbraw/zinc/60/79/25/1074607925.db2.gz AMNVCLJKLUEYCF-AWEZNQCLSA-N 0 0 438.485 -0.107 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CCNS(=O)(=O)c1ccccc1F)C2 ZINC001472310352 1074608021 /nfs/dbraw/zinc/60/80/21/1074608021.db2.gz AMNVCLJKLUEYCF-CQSZACIVSA-N 0 0 438.485 -0.107 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)C1=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C1)C2 ZINC001472310520 1074607890 /nfs/dbraw/zinc/60/78/90/1074607890.db2.gz GAFYZRJCBANAQY-CVEARBPZSA-N 0 0 442.455 -0.355 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)C1=NN(c3ccc(F)cc3)[C@H](C(N)=O)C1)C2 ZINC001472310521 1074608000 /nfs/dbraw/zinc/60/80/00/1074608000.db2.gz GAFYZRJCBANAQY-HOTGVXAUSA-N 0 0 442.455 -0.355 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)C1=NN(c3ccc(F)cc3)[C@@H](C(N)=O)C1)C2 ZINC001472310522 1074608006 /nfs/dbraw/zinc/60/80/06/1074608006.db2.gz GAFYZRJCBANAQY-HZPDHXFCSA-N 0 0 442.455 -0.355 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)C1=NN(c3ccc(F)cc3)[C@H](C(N)=O)C1)C2 ZINC001472310523 1074607921 /nfs/dbraw/zinc/60/79/21/1074607921.db2.gz GAFYZRJCBANAQY-JKSUJKDBSA-N 0 0 442.455 -0.355 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)[C@H](C)NS(=O)(=O)c1ccccc1F)C2 ZINC001472310611 1074607933 /nfs/dbraw/zinc/60/79/33/1074607933.db2.gz IITMWJFDOUZSSS-FZMZJTMJSA-N 0 0 438.485 -0.108 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)[C@H](C)NS(=O)(=O)c1ccccc1F)C2 ZINC001472310612 1074608037 /nfs/dbraw/zinc/60/80/37/1074608037.db2.gz IITMWJFDOUZSSS-SMDDNHRTSA-N 0 0 438.485 -0.108 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)Cn1cc(Br)c(=O)[nH]c1=O)C2 ZINC001472310744 1074608029 /nfs/dbraw/zinc/60/80/29/1074608029.db2.gz LJSAFHRULCHTJO-JTQLQIEISA-N 0 0 440.258 -0.889 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)Cn1cc(Br)c(=O)[nH]c1=O)C2 ZINC001472310745 1074607915 /nfs/dbraw/zinc/60/79/15/1074607915.db2.gz LJSAFHRULCHTJO-SNVBAGLBSA-N 0 0 440.258 -0.889 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CCN1CCN(C(=O)[C@H]3CCCO3)CC1)C2 ZINC001472310766 1074607940 /nfs/dbraw/zinc/60/79/40/1074607940.db2.gz MDLYINQFHUQNNR-DLBZAZTESA-N 0 0 447.540 -0.901 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CCN1CCN(C(=O)[C@H]3CCCO3)CC1)C2 ZINC001472310767 1074607896 /nfs/dbraw/zinc/60/78/96/1074607896.db2.gz MDLYINQFHUQNNR-IAGOWNOFSA-N 0 0 447.540 -0.901 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)CCN1CCN(C(=O)[C@@H]3CCCO3)CC1)C2 ZINC001472310768 1074607968 /nfs/dbraw/zinc/60/79/68/1074607968.db2.gz MDLYINQFHUQNNR-IRXDYDNUSA-N 0 0 447.540 -0.901 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)CCN1CCN(C(=O)[C@@H]3CCCO3)CC1)C2 ZINC001472310769 1074607955 /nfs/dbraw/zinc/60/79/55/1074607955.db2.gz MDLYINQFHUQNNR-SJORKVTESA-N 0 0 447.540 -0.901 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)C[C@@H]1NC(=O)c3ccccc3NC1=O)C2 ZINC001472317239 1074607907 /nfs/dbraw/zinc/60/79/07/1074607907.db2.gz AXRCMNHZBTYIHY-GJZGRUSLSA-N 0 0 425.449 -0.474 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)C[C@@H]1NC(=O)c3ccccc3NC1=O)C2 ZINC001472317240 1074607964 /nfs/dbraw/zinc/60/79/64/1074607964.db2.gz AXRCMNHZBTYIHY-LSDHHAIUSA-N 0 0 425.449 -0.474 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)Cc1ccc(S(=O)(=O)CCO)cc1)C2 ZINC001472320967 1074608478 /nfs/dbraw/zinc/60/84/78/1074608478.db2.gz WJCBGVOMPPNCPI-INIZCTEOSA-N 0 0 435.506 -0.606 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)Cc1ccc(S(=O)(=O)CCO)cc1)C2 ZINC001472320968 1074608450 /nfs/dbraw/zinc/60/84/50/1074608450.db2.gz WJCBGVOMPPNCPI-MRXNPFEDSA-N 0 0 435.506 -0.606 20 0 IBADRN Cc1nccc(N[C@@H]2COCC[C@@H]2NC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)n1 ZINC001472332711 1074608442 /nfs/dbraw/zinc/60/84/42/1074608442.db2.gz KTFXYZIALCIGME-FXUDXRNXSA-N 0 0 437.522 -0.494 20 0 IBADRN Cc1nccc(N[C@@H]2COCC[C@@H]2NC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)n1 ZINC001472332712 1074608469 /nfs/dbraw/zinc/60/84/69/1074608469.db2.gz KTFXYZIALCIGME-LVQVYYBASA-N 0 0 437.522 -0.494 20 0 IBADRN Cc1nccc(N[C@@H]2COCC[C@@H]2NC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)n1 ZINC001472332713 1074608485 /nfs/dbraw/zinc/60/84/85/1074608485.db2.gz KTFXYZIALCIGME-XUWVNRHRSA-N 0 0 437.522 -0.494 20 0 IBADRN Cc1nccc(N[C@@H]2COCC[C@@H]2NC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)n1 ZINC001472332714 1074608453 /nfs/dbraw/zinc/60/84/53/1074608453.db2.gz KTFXYZIALCIGME-YHUYYLMFSA-N 0 0 437.522 -0.494 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC001472348657 1074608481 /nfs/dbraw/zinc/60/84/81/1074608481.db2.gz AEBBRKKWTXOCJO-FRRDWIJNSA-N 0 0 427.454 -0.515 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC001472348658 1074608431 /nfs/dbraw/zinc/60/84/31/1074608431.db2.gz AEBBRKKWTXOCJO-JHJVBQTASA-N 0 0 427.454 -0.515 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC001472348659 1074608422 /nfs/dbraw/zinc/60/84/22/1074608422.db2.gz AEBBRKKWTXOCJO-UPJWGTAASA-N 0 0 427.454 -0.515 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@@H]2CN(C)C(=O)CN2C(=O)OC(C)(C)C)C[C@H]1C(=O)OC ZINC001472348660 1074608489 /nfs/dbraw/zinc/60/84/89/1074608489.db2.gz AEBBRKKWTXOCJO-XQQFMLRXSA-N 0 0 427.454 -0.515 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C[C@H]1C(=O)OC ZINC001472349723 1074608438 /nfs/dbraw/zinc/60/84/38/1074608438.db2.gz LAYIIHHHXMJCPS-ARLBYUKCSA-N 0 0 442.490 -0.557 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C[C@H]1C(=O)OC ZINC001472349724 1074608496 /nfs/dbraw/zinc/60/84/96/1074608496.db2.gz LAYIIHHHXMJCPS-DMRZNYOFSA-N 0 0 442.490 -0.557 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@@H](C)O)C[C@H]1C(=O)OC ZINC001472349725 1074608458 /nfs/dbraw/zinc/60/84/58/1074608458.db2.gz LAYIIHHHXMJCPS-DTZQCDIJSA-N 0 0 442.490 -0.557 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)[C@H](NS(=O)(=O)c2ccc(C)cc2)[C@H](C)O)C[C@H]1C(=O)OC ZINC001472349726 1074608475 /nfs/dbraw/zinc/60/84/75/1074608475.db2.gz LAYIIHHHXMJCPS-LCGIIJARSA-N 0 0 442.490 -0.557 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C[C@H]1C(=O)OC ZINC001472350345 1074608492 /nfs/dbraw/zinc/60/84/92/1074608492.db2.gz VUGILTYNYSDBGM-GASCZTMLSA-N 0 0 426.447 -0.584 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C[C@@H]1C(=O)OC ZINC001472350346 1074608434 /nfs/dbraw/zinc/60/84/34/1074608434.db2.gz VUGILTYNYSDBGM-GJZGRUSLSA-N 0 0 426.447 -0.584 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)CNS(=O)(=O)c2ccc3c(c2)COC3)C[C@H]1C(=O)OC ZINC001472350347 1074608490 /nfs/dbraw/zinc/60/84/90/1074608490.db2.gz VUGILTYNYSDBGM-HUUCEWRRSA-N 0 0 426.447 -0.584 20 0 IBADRN O=C(c1cc(S(=O)(=O)NC2CC2)ccc1Br)N1CC2(C1)NC(=O)NC2=O ZINC001472358350 1074608466 /nfs/dbraw/zinc/60/84/66/1074608466.db2.gz SAKZGMNZPNBPCT-UHFFFAOYSA-N 0 0 443.279 -0.076 20 0 IBADRN CC(=O)c1cccc(S(=O)(=O)N2CCC(C(=O)N3CC4(C3)NC(=O)NC4=O)CC2)c1 ZINC001472358504 1074608428 /nfs/dbraw/zinc/60/84/28/1074608428.db2.gz YJJXNDITVUFDME-UHFFFAOYSA-N 0 0 434.474 -0.290 20 0 IBADRN CC(C)(C)OC(=O)N1CC(N2CCN(C(=O)C3CCN(S(N)(=O)=O)CC3)CC2)C1 ZINC001472358681 1074608461 /nfs/dbraw/zinc/60/84/61/1074608461.db2.gz HSIFBRBYUROSGM-UHFFFAOYSA-N 0 0 431.559 -0.335 20 0 IBADRN CC(=O)NCCNC(=O)CCC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001472358726 1074608941 /nfs/dbraw/zinc/60/89/41/1074608941.db2.gz JLPMRIIEMJDPRP-UHFFFAOYSA-N 0 0 425.530 -0.218 20 0 IBADRN COCCCS(=O)(=O)NCC(=O)N1CCN(C2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001472358924 1074608927 /nfs/dbraw/zinc/60/89/27/1074608927.db2.gz RKOIMJBERYHNQN-UHFFFAOYSA-N 0 0 434.559 -0.294 20 0 IBADRN COCCN1C(=O)c2ccc(C(=O)NC3(C(=O)OC)CC(CO)C3)cc2S1(=O)=O ZINC001472362105 1074608969 /nfs/dbraw/zinc/60/89/69/1074608969.db2.gz UPEXQGXKNXQEIU-UHFFFAOYSA-N 0 0 426.447 -0.479 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)NC2(C(=O)OC)CC(CO)C2)c1 ZINC001472362380 1074608861 /nfs/dbraw/zinc/60/88/61/1074608861.db2.gz ZNVDIKVYCMPLIT-UHFFFAOYSA-N 0 0 429.495 -0.691 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC001472363780 1074608871 /nfs/dbraw/zinc/60/88/71/1074608871.db2.gz BOWCLBDSKYYQFP-GOSISDBHSA-N 0 0 441.536 -0.129 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)c2cn(CC(=O)N(C)C)nn2)CC1 ZINC001472363781 1074608957 /nfs/dbraw/zinc/60/89/57/1074608957.db2.gz BOWCLBDSKYYQFP-SFHVURJKSA-N 0 0 441.536 -0.129 20 0 IBADRN CCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)[C@@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001472363898 1074608885 /nfs/dbraw/zinc/60/88/85/1074608885.db2.gz FMIHIXLPOAWRFH-AEFFLSMTSA-N 0 0 442.524 -0.931 20 0 IBADRN CCN1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)[C@@H](C)NC(=O)Cn2cnnn2)CC1 ZINC001472363899 1074608932 /nfs/dbraw/zinc/60/89/32/1074608932.db2.gz FMIHIXLPOAWRFH-SJLPKXTDSA-N 0 0 442.524 -0.931 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2C[C@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)c1 ZINC001472381930 1074608867 /nfs/dbraw/zinc/60/88/67/1074608867.db2.gz DOSQZJRZZIVMMI-BXKDBHETSA-N 0 0 430.430 -0.501 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)c1 ZINC001472381931 1074608912 /nfs/dbraw/zinc/60/89/12/1074608912.db2.gz DOSQZJRZZIVMMI-CABZTGNLSA-N 0 0 430.430 -0.501 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2C[C@@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)c1 ZINC001472381932 1074608965 /nfs/dbraw/zinc/60/89/65/1074608965.db2.gz DOSQZJRZZIVMMI-JOYOIKCWSA-N 0 0 430.430 -0.501 20 0 IBADRN NS(=O)(=O)c1cnn(CC(=O)N2C[C@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)c1 ZINC001472381933 1074608905 /nfs/dbraw/zinc/60/89/05/1074608905.db2.gz DOSQZJRZZIVMMI-SKDRFNHKSA-N 0 0 430.430 -0.501 20 0 IBADRN NS(=O)(=O)c1ccc(OCCC(=O)N2CCOC3(CCS(=O)(=O)CC3)C2)cc1 ZINC001472383196 1074608896 /nfs/dbraw/zinc/60/88/96/1074608896.db2.gz FDEPETZBZVZXKE-UHFFFAOYSA-N 0 0 432.520 -0.091 20 0 IBADRN CCS(=O)(=O)N[C@H](CCSC)C(=O)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001472383656 1074608909 /nfs/dbraw/zinc/60/89/09/1074608909.db2.gz OTOFPJRGBIIXSK-CYBMUJFWSA-N 0 0 428.598 -0.146 20 0 IBADRN CCS(=O)(=O)N[C@@H](CCSC)C(=O)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001472383657 1074608900 /nfs/dbraw/zinc/60/89/00/1074608900.db2.gz OTOFPJRGBIIXSK-ZDUSSCGKSA-N 0 0 428.598 -0.146 20 0 IBADRN Cn1nccc1CN1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2N2CCOCC2)CC1 ZINC001472387354 1074608919 /nfs/dbraw/zinc/60/89/19/1074608919.db2.gz OUCZJXRBPKOSQD-UHFFFAOYSA-N 0 0 448.549 -0.138 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1C[C@H](CCO)[C@H](CO)C1 ZINC001472393365 1074608880 /nfs/dbraw/zinc/60/88/80/1074608880.db2.gz IKOMFUXJLGZPEE-HPZZRFSDSA-N 0 0 432.926 -0.087 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1C[C@H](CO)[C@H](CCO)C1 ZINC001472393366 1074608924 /nfs/dbraw/zinc/60/89/24/1074608924.db2.gz IKOMFUXJLGZPEE-LGWLGOQQSA-N 0 0 432.926 -0.087 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001472393367 1074608951 /nfs/dbraw/zinc/60/89/51/1074608951.db2.gz IKOMFUXJLGZPEE-RMRKRWHISA-N 0 0 432.926 -0.087 20 0 IBADRN O=C([C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(Cl)cc1)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001472393368 1074608945 /nfs/dbraw/zinc/60/89/45/1074608945.db2.gz IKOMFUXJLGZPEE-YXPYIKCWSA-N 0 0 432.926 -0.087 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)cc1)N1CCS(=O)(=O)CCO1 ZINC001472396543 1074609316 /nfs/dbraw/zinc/60/93/16/1074609316.db2.gz DIYNXNAXDQBHCM-UHFFFAOYSA-N 0 0 427.298 -0.084 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc(Br)s1)N1CCS(=O)(=O)CCO1 ZINC001472396608 1074609309 /nfs/dbraw/zinc/60/93/09/1074609309.db2.gz HLDUYHFTFCGFJD-UHFFFAOYSA-N 0 0 433.327 -0.023 20 0 IBADRN C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001472408733 1074609351 /nfs/dbraw/zinc/60/93/51/1074609351.db2.gz AEHYDSNQUXOLMZ-BMGDILEWSA-N 0 0 433.427 -0.040 20 0 IBADRN C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001472408734 1074609408 /nfs/dbraw/zinc/60/94/08/1074609408.db2.gz AEHYDSNQUXOLMZ-JCGIZDLHSA-N 0 0 433.427 -0.040 20 0 IBADRN CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001472409076 1074609331 /nfs/dbraw/zinc/60/93/31/1074609331.db2.gz NLXFYSQZFUHJIL-MGPUTAFESA-N 0 0 436.534 -0.077 20 0 IBADRN O=C(NC[C@@]1(CO)COC[C@@H]2CCCN21)c1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001472409110 1074609286 /nfs/dbraw/zinc/60/92/86/1074609286.db2.gz OTIWLFMBRXCKCN-FXAWDEMLSA-N 0 0 439.534 -0.337 20 0 IBADRN O=C(NC[C@@]1(CO)COC[C@@H]2CCCN21)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001472409376 1074609375 /nfs/dbraw/zinc/60/93/75/1074609375.db2.gz UHZXAKKPIONZFD-FXAWDEMLSA-N 0 0 439.534 -0.337 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)C[C@@H]2CO)cn1C ZINC001472410740 1074609277 /nfs/dbraw/zinc/60/92/77/1074609277.db2.gz FZQQPKBHLOWACL-CYBMUJFWSA-N 0 0 431.515 -0.553 20 0 IBADRN Cc1nc(S(=O)(=O)NCC(=O)N2CCN(C(=O)OC(C)(C)C)C[C@H]2CO)cn1C ZINC001472410741 1074609283 /nfs/dbraw/zinc/60/92/83/1074609283.db2.gz FZQQPKBHLOWACL-ZDUSSCGKSA-N 0 0 431.515 -0.553 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)OC(C)(C)C)C[C@@H]1CO ZINC001472412678 1074609305 /nfs/dbraw/zinc/60/93/05/1074609305.db2.gz WWIDUMSEWZIKKO-CHWSQXEVSA-N 0 0 431.515 -0.473 20 0 IBADRN C[C@@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)OC(C)(C)C)C[C@H]1CO ZINC001472412679 1074609298 /nfs/dbraw/zinc/60/92/98/1074609298.db2.gz WWIDUMSEWZIKKO-OLZOCXBDSA-N 0 0 431.515 -0.473 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)OC(C)(C)C)C[C@@H]1CO ZINC001472412680 1074609828 /nfs/dbraw/zinc/60/98/28/1074609828.db2.gz WWIDUMSEWZIKKO-QWHCGFSZSA-N 0 0 431.515 -0.473 20 0 IBADRN C[C@H](NS(=O)(=O)c1cnn(C)c1)C(=O)N1CCN(C(=O)OC(C)(C)C)C[C@H]1CO ZINC001472412681 1074609875 /nfs/dbraw/zinc/60/98/75/1074609875.db2.gz WWIDUMSEWZIKKO-STQMWFEESA-N 0 0 431.515 -0.473 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)NCC(=O)NCC(=O)N2CCN(C)CC2)cc1 ZINC001472415977 1074609879 /nfs/dbraw/zinc/60/98/79/1074609879.db2.gz AJWPGXVUIVAQHM-UHFFFAOYSA-N 0 0 426.495 -0.968 20 0 IBADRN CN1CCN(C(=O)CNC(=O)[C@@H]2C[C@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001472416096 1074609834 /nfs/dbraw/zinc/60/98/34/1074609834.db2.gz FSBYRXXOEOVZDK-HOCLYGCPSA-N 0 0 444.941 -0.646 20 0 IBADRN CN1CCN(C(=O)CNC(=O)[C@@H]2C[C@@H](O)CN2S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001472416097 1074609862 /nfs/dbraw/zinc/60/98/62/1074609862.db2.gz FSBYRXXOEOVZDK-ZBFHGGJFSA-N 0 0 444.941 -0.646 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001472433042 1074609850 /nfs/dbraw/zinc/60/98/50/1074609850.db2.gz IDEWSTZSLWYLSE-KBPBESRZSA-N 0 0 434.898 -0.087 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)[C@@H](CCS(C)(=O)=O)NC(=O)c1ccccc1Cl ZINC001472433043 1074609809 /nfs/dbraw/zinc/60/98/09/1074609809.db2.gz IDEWSTZSLWYLSE-KGLIPLIRSA-N 0 0 434.898 -0.087 20 0 IBADRN COC(=O)[C@@H](O)CCNC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001472433379 1074609817 /nfs/dbraw/zinc/60/98/17/1074609817.db2.gz WUARRHNIAIGRGE-INIZCTEOSA-N 0 0 427.479 -0.807 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)[C@@H]2CCCN2C(=O)C2CN(S(C)(=O)=O)C2)CC1 ZINC001472456427 1074609844 /nfs/dbraw/zinc/60/98/44/1074609844.db2.gz VFCOYVVRNNXGOK-HNNXBMFYSA-N 0 0 444.554 -0.052 20 0 IBADRN O=C(CCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NCCN1CCNC1=S ZINC001472465973 1074609799 /nfs/dbraw/zinc/60/97/99/1074609799.db2.gz UHSGGLHNCADLRI-UHFFFAOYSA-N 0 0 426.564 -0.054 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]2C(=O)NCCN2CCNC2=S)o1 ZINC001472466272 1074609790 /nfs/dbraw/zinc/60/97/90/1074609790.db2.gz YPCPITVGNRHFBL-GFCCVEGCSA-N 0 0 444.535 -0.084 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]2C(=O)NCCN2CCNC2=S)o1 ZINC001472466273 1074609853 /nfs/dbraw/zinc/60/98/53/1074609853.db2.gz YPCPITVGNRHFBL-LBPRGKRZSA-N 0 0 444.535 -0.084 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCC(O)CC3)CC2)cc1 ZINC001472471995 1074609764 /nfs/dbraw/zinc/60/97/64/1074609764.db2.gz FOLNUQSBAPGVRG-UHFFFAOYSA-N 0 0 431.536 -0.282 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)c3cnc4ccnn4c3C(F)F)CC2)CC1 ZINC001472487259 1074609823 /nfs/dbraw/zinc/60/98/23/1074609823.db2.gz KKSYZWKYZFJQKN-UHFFFAOYSA-N 0 0 443.480 -0.083 20 0 IBADRN CC(=O)N1CCN(CCNC(=O)[C@@H](CCC(N)=O)NC(=O)OCc2ccccc2)CC1 ZINC001472488224 1074609856 /nfs/dbraw/zinc/60/98/56/1074609856.db2.gz BWUGWTPNZDVDGP-GOSISDBHSA-N 0 0 433.509 -0.173 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)Cn3nc4n(c3=O)CCCCC4)CC2)CC1 ZINC001472490736 1074609886 /nfs/dbraw/zinc/60/98/86/1074609886.db2.gz QYJIXTFOTXWECL-UHFFFAOYSA-N 0 0 432.525 -0.266 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)c3cc(N4CCOCC4)ncn3)CC2)CC1 ZINC001472492540 1074609891 /nfs/dbraw/zinc/60/98/91/1074609891.db2.gz QGDBGYBKJKSSJU-UHFFFAOYSA-N 0 0 430.509 -0.111 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@](C)(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC001472497355 1074609868 /nfs/dbraw/zinc/60/98/68/1074609868.db2.gz JEKAILUXXQUDGE-CLCXKQKWSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@](C)(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC001472497356 1074609895 /nfs/dbraw/zinc/60/98/95/1074609895.db2.gz JEKAILUXXQUDGE-DOXZYTNZSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@](C)(C(=O)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)C1 ZINC001472497357 1074610307 /nfs/dbraw/zinc/61/03/07/1074610307.db2.gz JEKAILUXXQUDGE-KHYOSLBOSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@](C)(C(=O)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)C1 ZINC001472497358 1074610296 /nfs/dbraw/zinc/61/02/96/1074610296.db2.gz JEKAILUXXQUDGE-VCBZYWHSSA-N 0 0 447.554 -0.686 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H]2[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001472497444 1074610311 /nfs/dbraw/zinc/61/03/11/1074610311.db2.gz KXDIVZMDQZQQJU-AALSBFMBSA-N 0 0 429.539 -0.456 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H]2[C@@H]1C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001472497445 1074610302 /nfs/dbraw/zinc/61/03/02/1074610302.db2.gz KXDIVZMDQZQQJU-ARKGTOAJSA-N 0 0 429.539 -0.456 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H]2[C@@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001472497446 1074610200 /nfs/dbraw/zinc/61/02/00/1074610200.db2.gz KXDIVZMDQZQQJU-RFBLXINOSA-N 0 0 429.539 -0.456 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H]2[C@@H]1C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001472497447 1074610254 /nfs/dbraw/zinc/61/02/54/1074610254.db2.gz KXDIVZMDQZQQJU-UVPYHEFZSA-N 0 0 429.539 -0.456 20 0 IBADRN O=C(CCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1c(F)cccc1F ZINC001472497481 1074610232 /nfs/dbraw/zinc/61/02/32/1074610232.db2.gz LLUGRBPAKKHSSO-CABCVRRESA-N 0 0 431.461 -0.014 20 0 IBADRN O=C(CCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)Nc1c(F)cccc1F ZINC001472497482 1074610258 /nfs/dbraw/zinc/61/02/58/1074610258.db2.gz LLUGRBPAKKHSSO-GJZGRUSLSA-N 0 0 431.461 -0.014 20 0 IBADRN O=C(CCC(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1c(F)cccc1F ZINC001472497483 1074610247 /nfs/dbraw/zinc/61/02/47/1074610247.db2.gz LLUGRBPAKKHSSO-HUUCEWRRSA-N 0 0 431.461 -0.014 20 0 IBADRN O=C(CCC(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)Nc1c(F)cccc1F ZINC001472497484 1074610294 /nfs/dbraw/zinc/61/02/94/1074610294.db2.gz LLUGRBPAKKHSSO-LSDHHAIUSA-N 0 0 431.461 -0.014 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1)C1CCC1 ZINC001472497520 1074610287 /nfs/dbraw/zinc/61/02/87/1074610287.db2.gz MRYCMZTVQWUWOT-JYJNAYRXSA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1)C1CCC1 ZINC001472497521 1074610320 /nfs/dbraw/zinc/61/03/20/1074610320.db2.gz MRYCMZTVQWUWOT-OAGGEKHMSA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1)C1CCC1 ZINC001472497522 1074610278 /nfs/dbraw/zinc/61/02/78/1074610278.db2.gz MRYCMZTVQWUWOT-PMPSAXMXSA-N 0 0 431.555 -0.018 20 0 IBADRN CC(C)(C)OC(=O)N[C@H](C(=O)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1)C1CCC1 ZINC001472497523 1074610267 /nfs/dbraw/zinc/61/02/67/1074610267.db2.gz MRYCMZTVQWUWOT-XHSDSOJGSA-N 0 0 431.555 -0.018 20 0 IBADRN O=C(COc1ccc(Br)cn1)N1CCN([C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001472498419 1074610316 /nfs/dbraw/zinc/61/03/16/1074610316.db2.gz XOZWWFADBADUNH-CHWSQXEVSA-N 0 0 434.312 -0.475 20 0 IBADRN O=C(COc1ccc(Br)cn1)N1CCN([C@@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001472498445 1074610240 /nfs/dbraw/zinc/61/02/40/1074610240.db2.gz XOZWWFADBADUNH-OLZOCXBDSA-N 0 0 434.312 -0.475 20 0 IBADRN O=C(COc1ccc(Br)cn1)N1CCN([C@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001472498447 1074610205 /nfs/dbraw/zinc/61/02/05/1074610205.db2.gz XOZWWFADBADUNH-QWHCGFSZSA-N 0 0 434.312 -0.475 20 0 IBADRN O=C(COc1ccc(Br)cn1)N1CCN([C@H]2CS(=O)(=O)C[C@@H]2O)CC1 ZINC001472498449 1074610273 /nfs/dbraw/zinc/61/02/73/1074610273.db2.gz XOZWWFADBADUNH-STQMWFEESA-N 0 0 434.312 -0.475 20 0 IBADRN Cc1nc2nc(N)nn2c(C)c1CCC(=O)N1CCN(C(=O)N2CCN(C)CC2)CC1 ZINC001472506810 1074610701 /nfs/dbraw/zinc/61/07/01/1074610701.db2.gz ZIGYGSYQSPKFNC-UHFFFAOYSA-N 0 0 429.529 -0.232 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2CC(=O)N(CCc3ccc(F)cc3)C2)CC1 ZINC001472520181 1074610771 /nfs/dbraw/zinc/61/07/71/1074610771.db2.gz MGBWDIYQDMUJSM-INIZCTEOSA-N 0 0 426.514 -0.351 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2CC(=O)N(CCc3ccc(F)cc3)C2)CC1 ZINC001472520182 1074610821 /nfs/dbraw/zinc/61/08/21/1074610821.db2.gz MGBWDIYQDMUJSM-MRXNPFEDSA-N 0 0 426.514 -0.351 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@@H]2COCCN2C(=O)OCc2ccccc2)CC1 ZINC001472520208 1074610667 /nfs/dbraw/zinc/61/06/67/1074610667.db2.gz NCAYUDYSTWHZBO-KRWDZBQOSA-N 0 0 440.522 -0.543 20 0 IBADRN NS(=O)(=O)CCN1CCN(C(=O)[C@H]2COCCN2C(=O)OCc2ccccc2)CC1 ZINC001472520209 1074610766 /nfs/dbraw/zinc/61/07/66/1074610766.db2.gz NCAYUDYSTWHZBO-QGZVFWFLSA-N 0 0 440.522 -0.543 20 0 IBADRN COC(=O)c1cccn(CC(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)c1=O ZINC001472529366 1074610851 /nfs/dbraw/zinc/61/08/51/1074610851.db2.gz XKFYSVQJMYTJQW-UHFFFAOYSA-N 0 0 437.474 -0.436 20 0 IBADRN CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)NCCCN1CCNC(=O)C1 ZINC001472532413 1074610692 /nfs/dbraw/zinc/61/06/92/1074610692.db2.gz MYVAMGUAOQPPRI-KRWDZBQOSA-N 0 0 427.546 -0.020 20 0 IBADRN CC(C)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCCC(=O)NCCCN1CCNC(=O)C1 ZINC001472532414 1074610813 /nfs/dbraw/zinc/61/08/13/1074610813.db2.gz MYVAMGUAOQPPRI-QGZVFWFLSA-N 0 0 427.546 -0.020 20 0 IBADRN COC(=O)c1ccc(N2CCN(C(=O)C(C)(C)NC(=O)C(C)(C)NC(C)=O)CC2)nn1 ZINC001472534078 1074610780 /nfs/dbraw/zinc/61/07/80/1074610780.db2.gz FYNLGZQOHQUIBE-UHFFFAOYSA-N 0 0 434.497 -0.279 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CC(O)(C4CC4)C3)CC2)cc1 ZINC001472544570 1074610715 /nfs/dbraw/zinc/61/07/15/1074610715.db2.gz BIAQHZLBFRZQNI-UHFFFAOYSA-N 0 0 443.547 -0.282 20 0 IBADRN CO[C@@H]1CN(C(=O)c2cc(=O)[nH]c3ccc(S(=O)(=O)N4CCOCC4)cc32)C[C@H]1O ZINC001472562386 1074610751 /nfs/dbraw/zinc/61/07/51/1074610751.db2.gz DYVZHOGHVBFMPM-IAGOWNOFSA-N 0 0 437.474 -0.207 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)[C@H](CCS(C)(=O)=O)NC(=O)OC(C)(C)C)CC1 ZINC001472572005 1074610639 /nfs/dbraw/zinc/61/06/39/1074610639.db2.gz KXNRBMQQNXEBDV-AWEZNQCLSA-N 0 0 434.559 -0.405 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(C(=O)CCC(=O)N[C@H](CO)[C@@H](C)O)CC2)c1 ZINC001472576743 1074610737 /nfs/dbraw/zinc/61/07/37/1074610737.db2.gz BFFVVNYPNMKAMS-IAGOWNOFSA-N 0 0 441.550 -0.226 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H]2CCc3nnnn3CC2)cc1 ZINC001472579399 1074610827 /nfs/dbraw/zinc/61/08/27/1074610827.db2.gz KXODIYILDGETFI-HNNXBMFYSA-N 0 0 449.537 -0.045 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H]2CCc3nnnn3CC2)cc1 ZINC001472579401 1074610723 /nfs/dbraw/zinc/61/07/23/1074610723.db2.gz KXODIYILDGETFI-OAHLLOKOSA-N 0 0 449.537 -0.045 20 0 IBADRN CON(C)CCNC(=O)C1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1 ZINC001472588820 1074610684 /nfs/dbraw/zinc/61/06/84/1074610684.db2.gz KJCIRVHEEPCPJK-UHFFFAOYSA-N 0 0 434.540 -0.656 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CC(NS(C)(=O)=O)C2)cc1 ZINC001472599400 1074610803 /nfs/dbraw/zinc/61/08/03/1074610803.db2.gz CBQYVBCBYJPUGI-UHFFFAOYSA-N 0 0 446.551 -0.793 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@@H]3CC=CC[C@@H]3C(=O)N3CCOCC3)CC2)CCOC1 ZINC001472599863 1074611367 /nfs/dbraw/zinc/61/13/67/1074611367.db2.gz LPFOTMJCNJEKQM-FGSXEWAUSA-N 0 0 435.521 -0.096 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@@H]3CC=CC[C@H]3C(=O)N3CCOCC3)CC2)CCOC1 ZINC001472599866 1074611362 /nfs/dbraw/zinc/61/13/62/1074611362.db2.gz LPFOTMJCNJEKQM-HMFYCAOWSA-N 0 0 435.521 -0.096 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@H]3CC=CC[C@@H]3C(=O)N3CCOCC3)CC2)CCOC1 ZINC001472599867 1074611354 /nfs/dbraw/zinc/61/13/54/1074611354.db2.gz LPFOTMJCNJEKQM-SPEDKVCISA-N 0 0 435.521 -0.096 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)[C@H]3CC=CC[C@H]3C(=O)N3CCOCC3)CC2)CCOC1 ZINC001472599870 1074611248 /nfs/dbraw/zinc/61/12/48/1074611248.db2.gz LPFOTMJCNJEKQM-SVMVAKDDSA-N 0 0 435.521 -0.096 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nc(N)nc(C(F)(F)F)n3)CC2)C[C@H](C)O1 ZINC001472655817 1074611276 /nfs/dbraw/zinc/61/12/76/1074611276.db2.gz ZLYQSLMMSFNMPW-AOOOYVTPSA-N 0 0 425.437 -0.051 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(c3nc(N)nc(C(F)(F)F)n3)CC2)C[C@@H](C)O1 ZINC001472655820 1074611346 /nfs/dbraw/zinc/61/13/46/1074611346.db2.gz ZLYQSLMMSFNMPW-NXEZZACHSA-N 0 0 425.437 -0.051 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(c3nc(N)nc(C(F)(F)F)n3)CC2)C[C@H](C)O1 ZINC001472655821 1074611223 /nfs/dbraw/zinc/61/12/23/1074611223.db2.gz ZLYQSLMMSFNMPW-UWVGGRQHSA-N 0 0 425.437 -0.051 20 0 IBADRN Cc1ncc(I)c(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1 ZINC001472758558 1074346029 /nfs/dbraw/zinc/34/60/29/1074346029.db2.gz WKXJHKRAABTLOA-UHFFFAOYSA-N 0 0 443.249 -0.144 20 0 IBADRN CS(=O)(=O)N1CCC(NC(=O)Cn2cc(S(=O)(=O)N3CCCC3)ccc2=O)CC1 ZINC001472920626 1074611318 /nfs/dbraw/zinc/61/13/18/1074611318.db2.gz RHVGBJSGMULECL-UHFFFAOYSA-N 0 0 446.551 -0.827 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CC(=O)[C@H]2CCS(=O)(=O)C2)C1=O ZINC001472979607 1074611210 /nfs/dbraw/zinc/61/12/10/1074611210.db2.gz QEFKHKCUQIJESP-APPDUMDISA-N 0 0 428.488 -0.139 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CC(=O)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC001472979609 1074611306 /nfs/dbraw/zinc/61/13/06/1074611306.db2.gz QEFKHKCUQIJESP-DIFFPNOSSA-N 0 0 428.488 -0.139 20 0 IBADRN C[C@@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CC(=O)[C@H]2CCS(=O)(=O)C2)C1=O ZINC001472979611 1074611144 /nfs/dbraw/zinc/61/11/44/1074611144.db2.gz QEFKHKCUQIJESP-GTNSWQLSSA-N 0 0 428.488 -0.139 20 0 IBADRN C[C@]1(c2ccc(S(C)(=O)=O)cc2)NC(=O)N(CC(=O)[C@@H]2CCS(=O)(=O)C2)C1=O ZINC001472979613 1074611241 /nfs/dbraw/zinc/61/12/41/1074611241.db2.gz QEFKHKCUQIJESP-PIGZYNQJSA-N 0 0 428.488 -0.139 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)Cn2nc(C(N)=O)ccc2=O)CC1 ZINC001472984253 1074350186 /nfs/dbraw/zinc/35/01/86/1074350186.db2.gz HMXWLFVOXRCUKW-UHFFFAOYSA-N 0 0 426.477 -0.258 20 0 IBADRN O=C1NC2(CCS(=O)(=O)CC2)C(=O)N1Cc1nc2ncc(Br)cn2n1 ZINC001472988742 1074611792 /nfs/dbraw/zinc/61/17/92/1074611792.db2.gz LPYUPVRZEJZAJO-UHFFFAOYSA-N 0 0 429.256 -0.114 20 0 IBADRN O=C(Cn1c(=O)oc2cc(S(=O)(=O)CCO)ccc21)NCCCN1CCCC1=O ZINC001473021287 1074611803 /nfs/dbraw/zinc/61/18/03/1074611803.db2.gz ACWJVLPPRFJUCA-UHFFFAOYSA-N 0 0 425.463 -0.511 20 0 IBADRN CCN(CC(=O)NC(C)C)C(=O)Cn1c(=O)oc2cc(S(=O)(=O)CCO)ccc21 ZINC001473021303 1074611721 /nfs/dbraw/zinc/61/17/21/1074611721.db2.gz AONKVUXNLVXQBI-UHFFFAOYSA-N 0 0 427.479 -0.266 20 0 IBADRN O=C(CN1C(=O)c2nccnc2C1=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001473031425 1074611879 /nfs/dbraw/zinc/61/18/79/1074611879.db2.gz XFAJXYBIQQXGON-UHFFFAOYSA-N 0 0 431.430 -0.268 20 0 IBADRN CC(C)(CNC(=O)Cn1cc(I)c(=O)[nH]c1=O)N1CCOCC1 ZINC001473031565 1074611934 /nfs/dbraw/zinc/61/19/34/1074611934.db2.gz FUFKVOJJGUSOHE-UHFFFAOYSA-N 0 0 436.250 -0.220 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)CN3C(=O)N[C@@H](CCS(N)(=O)=O)C3=O)CC2)c1 ZINC001473035134 1074611906 /nfs/dbraw/zinc/61/19/06/1074611906.db2.gz ILTUCFPZDXCKRG-INIZCTEOSA-N 0 0 437.522 -0.762 20 0 IBADRN Cc1cccc(CN2CCN(C(=O)CN3C(=O)N[C@H](CCS(N)(=O)=O)C3=O)CC2)c1 ZINC001473035135 1074611823 /nfs/dbraw/zinc/61/18/23/1074611823.db2.gz ILTUCFPZDXCKRG-MRXNPFEDSA-N 0 0 437.522 -0.762 20 0 IBADRN CC(C)(C)NC(=O)CN1CCN(C(=O)Cn2nc(C(F)F)c(S(C)(=O)=O)n2)CC1 ZINC001473038245 1074611680 /nfs/dbraw/zinc/61/16/80/1074611680.db2.gz GGAIMHFTIZDZNY-UHFFFAOYSA-N 0 0 436.485 -0.322 20 0 IBADRN CN(C)C(=O)CSCC(=O)NC(=O)c1cc(S(=O)(=O)N2CCOCC2)cn1C ZINC001473045591 1074351735 /nfs/dbraw/zinc/35/17/35/1074351735.db2.gz MWNOWZCJGLRKJB-UHFFFAOYSA-N 0 0 432.524 -0.876 20 0 IBADRN O=C(Cn1nnc2c(Cl)cccc2c1=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001473048711 1074611924 /nfs/dbraw/zinc/61/19/24/1074611924.db2.gz YGAQQEOAPVGHKO-GFCCVEGCSA-N 0 0 425.898 -0.224 20 0 IBADRN O=C(Cn1nnc2c(Cl)cccc2c1=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001473048712 1074611866 /nfs/dbraw/zinc/61/18/66/1074611866.db2.gz YGAQQEOAPVGHKO-LBPRGKRZSA-N 0 0 425.898 -0.224 20 0 IBADRN O=C(Cn1cnc2c(c1=O)COCC2)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001473055273 1074611709 /nfs/dbraw/zinc/61/17/09/1074611709.db2.gz VBPOSKZJZQIGLT-UHFFFAOYSA-N 0 0 448.501 -0.347 20 0 IBADRN CN(C)S(=O)(=O)c1cc(NC(=O)CN2C(=O)NC3(CC(O)C3)C2=O)ccc1Cl ZINC001473075992 1074611668 /nfs/dbraw/zinc/61/16/68/1074611668.db2.gz FQFMNKNPRZMZJX-UHFFFAOYSA-N 0 0 430.870 -0.026 20 0 IBADRN O=C(CN1C(=O)NC2(CC(O)C2)C1=O)N1CCN(S(=O)(=O)c2cccc(F)c2)CC1 ZINC001473076695 1074611689 /nfs/dbraw/zinc/61/16/89/1074611689.db2.gz PSCOBRYJWCGZIW-UHFFFAOYSA-N 0 0 440.453 -0.896 20 0 IBADRN CN(C)C(=O)CN(CC(F)(F)F)C(=O)Cn1cnc2ccc(S(N)(=O)=O)cc2c1=O ZINC001473087465 1074612302 /nfs/dbraw/zinc/61/23/02/1074612302.db2.gz CQTCEPLWXNMVJO-UHFFFAOYSA-N 0 0 449.411 -0.477 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)Cn3cc(S(C)(=O)=O)cn3)CC2)cc1 ZINC001473093341 1074612377 /nfs/dbraw/zinc/61/23/77/1074612377.db2.gz LSHHOUUSLVKMHU-UHFFFAOYSA-N 0 0 442.519 -0.172 20 0 IBADRN CS(=O)(=O)c1cnn(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)c1 ZINC001473093751 1074612457 /nfs/dbraw/zinc/61/24/57/1074612457.db2.gz SMFHBINEFLYYDO-UHFFFAOYSA-N 0 0 430.483 -0.041 20 0 IBADRN O=C(CN1C(=O)c2cccc(Br)c2S1(=O)=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001473098169 1074612293 /nfs/dbraw/zinc/61/22/93/1074612293.db2.gz VDUDIJNVDQTZDR-MRVPVSSYSA-N 0 0 437.293 -0.103 20 0 IBADRN O=C(CN1C(=O)c2cccc(Br)c2S1(=O)=O)N[C@H]1CCS(=O)(=O)C1 ZINC001473098170 1074612356 /nfs/dbraw/zinc/61/23/56/1074612356.db2.gz VDUDIJNVDQTZDR-QMMMGPOBSA-N 0 0 437.293 -0.103 20 0 IBADRN CCOC(=O)[C@H]1CCCN(C(=O)CN(C)CC(=O)NC2CCN(S(C)(=O)=O)CC2)C1 ZINC001473136632 1074612441 /nfs/dbraw/zinc/61/24/41/1074612441.db2.gz CUROGLGZHRUJBB-HNNXBMFYSA-N 0 0 446.570 -0.740 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)CC(=O)NC2CCN(S(C)(=O)=O)CC2)C1 ZINC001473136637 1074612390 /nfs/dbraw/zinc/61/23/90/1074612390.db2.gz CUROGLGZHRUJBB-OAHLLOKOSA-N 0 0 446.570 -0.740 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(CCC(=O)NC(=O)NCC(F)(F)F)CC1 ZINC001473154265 1074612432 /nfs/dbraw/zinc/61/24/32/1074612432.db2.gz TWUKJWJYFBDMRR-CYBMUJFWSA-N 0 0 425.452 -0.077 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(CCC(=O)NC(=O)NCC(F)(F)F)CC1 ZINC001473154271 1074612266 /nfs/dbraw/zinc/61/22/66/1074612266.db2.gz TWUKJWJYFBDMRR-ZDUSSCGKSA-N 0 0 425.452 -0.077 20 0 IBADRN CN(C)[S@](C)(=O)=NC(=O)CN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001473174106 1074612426 /nfs/dbraw/zinc/61/24/26/1074612426.db2.gz UKUKVKZCLCNCLW-MUUNZHRXSA-N 0 0 430.552 -0.051 20 0 IBADRN CN(C)[S@@](C)(=O)=NC(=O)CN1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001473174107 1074612342 /nfs/dbraw/zinc/61/23/42/1074612342.db2.gz UKUKVKZCLCNCLW-NDEPHWFRSA-N 0 0 430.552 -0.051 20 0 IBADRN COC(=O)CN(CCN1CCOCC1)CC(=O)NCc1ccc(S(C)(=O)=O)cc1 ZINC001473175569 1074612401 /nfs/dbraw/zinc/61/24/01/1074612401.db2.gz MYJADMVNCYKUDJ-UHFFFAOYSA-N 0 0 427.523 -0.487 20 0 IBADRN CNS(=O)(=O)c1ccc2c(c1)N(CC(=O)NC1CCN(S(C)(=O)=O)CC1)CC2 ZINC001473227785 1074612446 /nfs/dbraw/zinc/61/24/46/1074612446.db2.gz LOFWMSAINMIHJP-UHFFFAOYSA-N 0 0 430.552 -0.503 20 0 IBADRN C[C@H](CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)S(C)(=O)=O ZINC001473232510 1074612413 /nfs/dbraw/zinc/61/24/13/1074612413.db2.gz JYLKGBWBPJIALN-GFCCVEGCSA-N 0 0 425.554 -0.927 20 0 IBADRN C[C@@H](CN1CCN(S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)CC1)S(C)(=O)=O ZINC001473232511 1074612286 /nfs/dbraw/zinc/61/22/86/1074612286.db2.gz JYLKGBWBPJIALN-LBPRGKRZSA-N 0 0 425.554 -0.927 20 0 IBADRN CN(C[C@H](O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC001473238542 1074612330 /nfs/dbraw/zinc/61/23/30/1074612330.db2.gz BGJZXHZAECUCTK-CVEARBPZSA-N 0 0 448.586 -0.865 20 0 IBADRN CN(C[C@H](O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC001473238543 1074612420 /nfs/dbraw/zinc/61/24/20/1074612420.db2.gz BGJZXHZAECUCTK-HOTGVXAUSA-N 0 0 448.586 -0.865 20 0 IBADRN CN(C[C@@H](O)CN1CCN(CC(=O)N[C@@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC001473238544 1074612885 /nfs/dbraw/zinc/61/28/85/1074612885.db2.gz BGJZXHZAECUCTK-HZPDHXFCSA-N 0 0 448.586 -0.865 20 0 IBADRN CN(C[C@@H](O)CN1CCN(CC(=O)N[C@H]2CCS(=O)(=O)C2)CC1)C(=O)OC(C)(C)C ZINC001473238545 1074612899 /nfs/dbraw/zinc/61/28/99/1074612899.db2.gz BGJZXHZAECUCTK-JKSUJKDBSA-N 0 0 448.586 -0.865 20 0 IBADRN CCS(=O)(=O)c1ccc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001473239005 1074613012 /nfs/dbraw/zinc/61/30/12/1074613012.db2.gz IPSMDPRGOQVPKI-KRWDZBQOSA-N 0 0 443.591 -0.099 20 0 IBADRN CCS(=O)(=O)c1ccc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)cc1 ZINC001473239010 1074612961 /nfs/dbraw/zinc/61/29/61/1074612961.db2.gz IPSMDPRGOQVPKI-QGZVFWFLSA-N 0 0 443.591 -0.099 20 0 IBADRN COC(=O)c1ccc2[nH]c(CN3CCN(CC(=O)N[C@H]4CCS(=O)(=O)C4)CC3)nc2c1 ZINC001473239561 1074613016 /nfs/dbraw/zinc/61/30/16/1074613016.db2.gz OAAXSNSXIGGZGC-HNNXBMFYSA-N 0 0 449.533 -0.230 20 0 IBADRN COC(=O)c1ccc2[nH]c(CN3CCN(CC(=O)N[C@@H]4CCS(=O)(=O)C4)CC3)nc2c1 ZINC001473239566 1074612906 /nfs/dbraw/zinc/61/29/06/1074612906.db2.gz OAAXSNSXIGGZGC-OAHLLOKOSA-N 0 0 449.533 -0.230 20 0 IBADRN NC(=O)c1ccc(S(=O)(=O)N2CCN(CCn3cnc(Br)n3)CC2)o1 ZINC001473254005 1074612916 /nfs/dbraw/zinc/61/29/16/1074612916.db2.gz BSSYTRMXONRGAE-UHFFFAOYSA-N 0 0 433.288 -0.261 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001473256465 1074612834 /nfs/dbraw/zinc/61/28/34/1074612834.db2.gz YSFGJSBFAOGISF-BRWVUGGUSA-N 0 0 425.507 -0.397 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001473256472 1074612990 /nfs/dbraw/zinc/61/29/90/1074612990.db2.gz YSFGJSBFAOGISF-GVDBMIGSSA-N 0 0 425.507 -0.397 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001473256474 1074612875 /nfs/dbraw/zinc/61/28/75/1074612875.db2.gz YSFGJSBFAOGISF-IXDOHACOSA-N 0 0 425.507 -0.397 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001473256475 1074612969 /nfs/dbraw/zinc/61/29/69/1074612969.db2.gz YSFGJSBFAOGISF-YESZJQIVSA-N 0 0 425.507 -0.397 20 0 IBADRN COC(=O)CN(CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O)CC(=O)OC ZINC001473256506 1074612986 /nfs/dbraw/zinc/61/29/86/1074612986.db2.gz VAVUSIPENCEPEB-FQEVSTJZSA-N 0 0 434.449 -0.391 20 0 IBADRN COC(=O)CN(CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O)CC(=O)OC ZINC001473256507 1074612844 /nfs/dbraw/zinc/61/28/44/1074612844.db2.gz VAVUSIPENCEPEB-HXUWFJFHSA-N 0 0 434.449 -0.391 20 0 IBADRN CN(C[C@@H](O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)CC(F)(F)F ZINC001473256571 1074613007 /nfs/dbraw/zinc/61/30/07/1074613007.db2.gz ZVVXDLCCUUJGKM-LLVKDONJSA-N 0 0 428.433 -0.460 20 0 IBADRN CN(C[C@H](O)CN1CCN(S(=O)(=O)c2ccc(C(N)=O)o2)CC1)CC(F)(F)F ZINC001473256585 1074612972 /nfs/dbraw/zinc/61/29/72/1074612972.db2.gz ZVVXDLCCUUJGKM-NSHDSACASA-N 0 0 428.433 -0.460 20 0 IBADRN CCS(=O)(=O)CCN1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001473262623 1074612946 /nfs/dbraw/zinc/61/29/46/1074612946.db2.gz BTBWQNKLDPDDLU-UHFFFAOYSA-N 0 0 432.568 -0.341 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN(CCOc2ccc3c(c2)OCO3)CC1 ZINC001473267389 1074613431 /nfs/dbraw/zinc/61/34/31/1074613431.db2.gz UMQGSAJGMQQPPY-HNNXBMFYSA-N 0 0 432.520 -0.071 20 0 IBADRN O=S(=O)([C@@H]1CCS(=O)(=O)C1)N1CCN(CCOc2ccc3c(c2)OCO3)CC1 ZINC001473267390 1074613395 /nfs/dbraw/zinc/61/33/95/1074613395.db2.gz UMQGSAJGMQQPPY-OAHLLOKOSA-N 0 0 432.520 -0.071 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(CC(=O)N(CC(=O)N(C)C)CC(F)(F)F)CC1 ZINC001473292326 1074613340 /nfs/dbraw/zinc/61/33/40/1074613340.db2.gz WEPQQLJMNPKJJF-UHFFFAOYSA-N 0 0 438.447 -0.143 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(CN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001473296670 1074613464 /nfs/dbraw/zinc/61/34/64/1074613464.db2.gz CAGBMRCXKBKAPJ-MSOLQXFVSA-N 0 0 429.564 -0.597 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(CN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001473296673 1074613368 /nfs/dbraw/zinc/61/33/68/1074613368.db2.gz CAGBMRCXKBKAPJ-QZTJIDSGSA-N 0 0 429.564 -0.597 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(CN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1 ZINC001473296675 1074613351 /nfs/dbraw/zinc/61/33/51/1074613351.db2.gz CAGBMRCXKBKAPJ-ROUUACIJSA-N 0 0 429.564 -0.597 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(CN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1 ZINC001473296678 1074613458 /nfs/dbraw/zinc/61/34/58/1074613458.db2.gz CAGBMRCXKBKAPJ-ZWKOTPCHSA-N 0 0 429.564 -0.597 20 0 IBADRN NC(=O)c1ccc(NC(=O)CCN2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1Cl ZINC001473297012 1074613427 /nfs/dbraw/zinc/61/34/27/1074613427.db2.gz FHLJKRPFBPBGFB-CVEARBPZSA-N 0 0 444.941 -0.457 20 0 IBADRN NC(=O)c1ccc(NC(=O)CCN2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)cc1Cl ZINC001473297015 1074613383 /nfs/dbraw/zinc/61/33/83/1074613383.db2.gz FHLJKRPFBPBGFB-HOTGVXAUSA-N 0 0 444.941 -0.457 20 0 IBADRN NC(=O)c1ccc(NC(=O)CCN2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1Cl ZINC001473297016 1074613389 /nfs/dbraw/zinc/61/33/89/1074613389.db2.gz FHLJKRPFBPBGFB-HZPDHXFCSA-N 0 0 444.941 -0.457 20 0 IBADRN NC(=O)c1ccc(NC(=O)CCN2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)cc1Cl ZINC001473297017 1074613358 /nfs/dbraw/zinc/61/33/58/1074613358.db2.gz FHLJKRPFBPBGFB-JKSUJKDBSA-N 0 0 444.941 -0.457 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1C ZINC001473297661 1074613448 /nfs/dbraw/zinc/61/34/48/1074613448.db2.gz MQAKBRHZYIPKRE-KKUMJFAQSA-N 0 0 427.523 -0.235 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@H]3O)CC2)c1C ZINC001473297662 1074613420 /nfs/dbraw/zinc/61/34/20/1074613420.db2.gz MQAKBRHZYIPKRE-RRFJBIMHSA-N 0 0 427.523 -0.235 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N2CCN([C@H]3CS(=O)(=O)C[C@H]3O)CC2)c1C ZINC001473297663 1074613365 /nfs/dbraw/zinc/61/33/65/1074613365.db2.gz MQAKBRHZYIPKRE-SOUVJXGZSA-N 0 0 427.523 -0.235 20 0 IBADRN COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N2CCN([C@@H]3CS(=O)(=O)C[C@@H]3O)CC2)c1C ZINC001473297664 1074613469 /nfs/dbraw/zinc/61/34/69/1074613469.db2.gz MQAKBRHZYIPKRE-ZNMIVQPWSA-N 0 0 427.523 -0.235 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1CC(=O)NCc1ccccc1Cn1cccn1 ZINC001473342926 1074613404 /nfs/dbraw/zinc/61/34/04/1074613404.db2.gz HLNKNHQITWFKCK-IBGZPJMESA-N 0 0 443.504 -0.072 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1CC(=O)NCc1ccccc1Cn1cccn1 ZINC001473342932 1074613372 /nfs/dbraw/zinc/61/33/72/1074613372.db2.gz HLNKNHQITWFKCK-LJQANCHMSA-N 0 0 443.504 -0.072 20 0 IBADRN CN(C)C(=O)CN(CC(F)(F)F)C(=O)CN1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001473343556 1074613410 /nfs/dbraw/zinc/61/34/10/1074613410.db2.gz OROWOHZVQKPVBG-UHFFFAOYSA-N 0 0 442.504 -0.340 20 0 IBADRN CCOC(=O)C1CCC(O)(C[C@@H](O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC001473378479 1074613334 /nfs/dbraw/zinc/61/33/34/1074613334.db2.gz HELFPVTUXIPDAR-KOHRHEQBSA-N 0 0 444.554 -0.049 20 0 IBADRN CCOC(=O)C1CCC(O)(C[C@H](O)CN2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC001473378480 1074613354 /nfs/dbraw/zinc/61/33/54/1074613354.db2.gz HELFPVTUXIPDAR-WUJZJPHMSA-N 0 0 444.554 -0.049 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CC(S(=O)(=O)N3CCc4ccccc43)C2)cn1 ZINC001473398857 1074613924 /nfs/dbraw/zinc/61/39/24/1074613924.db2.gz HQMDMCYAODJBSW-UHFFFAOYSA-N 0 0 425.536 -0.225 20 0 IBADRN COC(=O)[C@@H](NCC(=O)NC1CCN(S(C)(=O)=O)CC1)c1ccc(OC)c(O)c1 ZINC001473399854 1074614007 /nfs/dbraw/zinc/61/40/07/1074614007.db2.gz DHTRZGAYNRSFOL-KRWDZBQOSA-N 0 0 429.495 -0.255 20 0 IBADRN COC(=O)[C@H](NCC(=O)NC1CCN(S(C)(=O)=O)CC1)c1ccc(OC)c(O)c1 ZINC001473399855 1074613996 /nfs/dbraw/zinc/61/39/96/1074613996.db2.gz DHTRZGAYNRSFOL-QGZVFWFLSA-N 0 0 429.495 -0.255 20 0 IBADRN COC(=O)C1(NCC(=O)N(CC(=O)N(C)C)CC(F)(F)F)CCS(=O)(=O)CC1 ZINC001473414848 1074613906 /nfs/dbraw/zinc/61/39/06/1074613906.db2.gz ICKCIVWHTYVNOE-UHFFFAOYSA-N 0 0 431.433 -0.825 20 0 IBADRN CCOC(=O)c1n[nH]c(C2CCN(CC(=O)NC3CCN(S(C)(=O)=O)CC3)CC2)n1 ZINC001473434200 1074613982 /nfs/dbraw/zinc/61/39/82/1074613982.db2.gz PNGHZUZPKCPRQN-UHFFFAOYSA-N 0 0 442.542 -0.299 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CCC(=O)NN2C(=O)NC3(CCCCC3)C2=O)CC1 ZINC001473469305 1074613964 /nfs/dbraw/zinc/61/39/64/1074613964.db2.gz RQMRPIZVUHCGGE-UHFFFAOYSA-N 0 0 444.558 -0.477 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(CC(=O)NCC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC001473470116 1074613974 /nfs/dbraw/zinc/61/39/74/1074613974.db2.gz ZAYDRADYNXZNCJ-UHFFFAOYSA-N 0 0 433.481 -0.120 20 0 IBADRN CCS(=O)(=O)C1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC001473471193 1074614032 /nfs/dbraw/zinc/61/40/32/1074614032.db2.gz ADSBGCUUNLWXRV-UHFFFAOYSA-N 0 0 445.563 -0.557 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(CCC(=O)NCC(=O)c2ccccc2)CC1 ZINC001473472015 1074613954 /nfs/dbraw/zinc/61/39/54/1074613954.db2.gz LMMCXGQRKJAONM-UHFFFAOYSA-N 0 0 430.552 -0.034 20 0 IBADRN COc1ccc(NC(=O)NC(=O)CN2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)cc1 ZINC001473472095 1074614003 /nfs/dbraw/zinc/61/40/03/1074614003.db2.gz LZMFPMUCIUQZRK-UHFFFAOYSA-N 0 0 447.539 -0.067 20 0 IBADRN COC(=O)C1(NC(=O)CN2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CCCCC1 ZINC001473472664 1074613875 /nfs/dbraw/zinc/61/38/75/1074613875.db2.gz TVLKYNWEVZGQHM-UHFFFAOYSA-N 0 0 438.572 -0.432 20 0 IBADRN CN(C[C@@H](O)CN1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)C(=O)OC(C)(C)C ZINC001473472813 1074614043 /nfs/dbraw/zinc/61/40/43/1074614043.db2.gz VSIWISXWJLHBSF-CYBMUJFWSA-N 0 0 428.577 -0.196 20 0 IBADRN CN(C[C@H](O)CN1CCN(S(=O)(=O)N=S(C)(C)=O)CC1)C(=O)OC(C)(C)C ZINC001473472820 1074613899 /nfs/dbraw/zinc/61/38/99/1074613899.db2.gz VSIWISXWJLHBSF-ZDUSSCGKSA-N 0 0 428.577 -0.196 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)N1CCN(CC(=O)NC2CCC3(CC2)OCCO3)CC1 ZINC001473473054 1074614038 /nfs/dbraw/zinc/61/40/38/1074614038.db2.gz XHLNDPZUJXNKCA-UHFFFAOYSA-N 0 0 438.572 -0.622 20 0 IBADRN CCS(=O)(=O)C1CN(CC(=O)NCc2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001473473130 1074613885 /nfs/dbraw/zinc/61/38/85/1074613885.db2.gz YIIWFEXEPPOANQ-UHFFFAOYSA-N 0 0 445.563 -0.557 20 0 IBADRN NC(=O)[C@H]1CCCN1CC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001473473390 1074614045 /nfs/dbraw/zinc/61/40/45/1074614045.db2.gz JIRPHPFIEKYNDT-OAHLLOKOSA-N 0 0 438.506 -0.760 20 0 IBADRN CO[C@@H]1CN(CC(=O)Nc2cc(S(=O)(=O)N3CCOCC3)ccc2Cl)C[C@H]1O ZINC001473474872 1074614466 /nfs/dbraw/zinc/61/44/66/1074614466.db2.gz YNBVHWNTWBAJCM-HZPDHXFCSA-N 0 0 433.914 -0.009 20 0 IBADRN CO[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3cccc(C(C)=O)c3)CC2)C[C@H]1O ZINC001473476232 1074614449 /nfs/dbraw/zinc/61/44/49/1074614449.db2.gz GQLCWXDEFZVVTM-QZTJIDSGSA-N 0 0 425.507 -0.586 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)C[NH2+]C(CO)CO ZINC001473487180 1074614574 /nfs/dbraw/zinc/61/45/74/1074614574.db2.gz CQSQXHNDZYAHKX-UHFFFAOYSA-N 0 0 431.511 -0.624 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCS(=O)(=NS(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001473500479 1074614568 /nfs/dbraw/zinc/61/45/68/1074614568.db2.gz BFCCXGGHQMUCBJ-KBPBESRZSA-N 0 0 443.613 -0.456 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCS(=O)(=NS(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001473500480 1074614441 /nfs/dbraw/zinc/61/44/41/1074614441.db2.gz BFCCXGGHQMUCBJ-KGLIPLIRSA-N 0 0 443.613 -0.456 20 0 IBADRN CCCN(C(=O)[C@H](C)N1CCS(=O)(=NS(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001473500481 1074614473 /nfs/dbraw/zinc/61/44/73/1074614473.db2.gz BFCCXGGHQMUCBJ-UONOGXRCSA-N 0 0 443.613 -0.456 20 0 IBADRN CCCN(C(=O)[C@@H](C)N1CCS(=O)(=NS(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001473500482 1074614517 /nfs/dbraw/zinc/61/45/17/1074614517.db2.gz BFCCXGGHQMUCBJ-ZIAGYGMSSA-N 0 0 443.613 -0.456 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(CC(=O)NCCOc2ccc3c(c2)OCO3)CC1 ZINC001473500626 1074614492 /nfs/dbraw/zinc/61/44/92/1074614492.db2.gz HJMYWXFQZVBNBU-UHFFFAOYSA-N 0 0 433.508 -0.347 20 0 IBADRN CN1C(=O)c2ccc(NC(=O)CN3CCS(=O)(=NS(C)(=O)=O)CC3)cc2C1=O ZINC001473500637 1074614380 /nfs/dbraw/zinc/61/43/80/1074614380.db2.gz HWIGYIRRWVVXSN-UHFFFAOYSA-N 0 0 428.492 -0.406 20 0 IBADRN CNS(=O)(=O)N1CCN(CC(=O)Nc2cc(-n3nnnc3C3CC3)ccc2F)CC1 ZINC001473516753 1074614597 /nfs/dbraw/zinc/61/45/97/1074614597.db2.gz PHMUABREJBLGCJ-UHFFFAOYSA-N 0 0 438.489 -0.301 20 0 IBADRN C[C@H]1CN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)CCN1C(=O)c1cscn1 ZINC001473528885 1074614505 /nfs/dbraw/zinc/61/45/05/1074614505.db2.gz JJQOZESMCNBOGA-ZDUSSCGKSA-N 0 0 429.568 -0.170 20 0 IBADRN COC(=O)[C@](C)(CO)NCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001473531798 1074614558 /nfs/dbraw/zinc/61/45/58/1074614558.db2.gz BTRZENKCPKSTNU-KRWDZBQOSA-N 0 0 435.449 -0.689 20 0 IBADRN COC(=O)[C@@](C)(CO)NCC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001473531799 1074614960 /nfs/dbraw/zinc/61/49/60/1074614960.db2.gz BTRZENKCPKSTNU-QGZVFWFLSA-N 0 0 435.449 -0.689 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3NC(=O)C[C@H]3C)CC2)cc1OC ZINC001473532548 1074614949 /nfs/dbraw/zinc/61/49/49/1074614949.db2.gz AHJZNCVCTNAPOM-CYBMUJFWSA-N 0 0 426.495 -0.338 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CN3NC(=O)C[C@@H]3C)CC2)cc1OC ZINC001473532549 1074614955 /nfs/dbraw/zinc/61/49/55/1074614955.db2.gz AHJZNCVCTNAPOM-ZDUSSCGKSA-N 0 0 426.495 -0.338 20 0 IBADRN COC(=O)[C@](C)(CO)NCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001473533089 1074615024 /nfs/dbraw/zinc/61/50/24/1074615024.db2.gz SVHDCWQRQOQXOP-KRWDZBQOSA-N 0 0 449.913 -0.187 20 0 IBADRN COC(=O)[C@@](C)(CO)NCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001473533092 1074614983 /nfs/dbraw/zinc/61/49/83/1074614983.db2.gz SVHDCWQRQOQXOP-QGZVFWFLSA-N 0 0 449.913 -0.187 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCS(=O)(=O)[C@H]3COCC[C@H]32)c1 ZINC001473536751 1074614965 /nfs/dbraw/zinc/61/49/65/1074614965.db2.gz KQTRNXGNTMXZRC-CVEARBPZSA-N 0 0 431.536 -0.237 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCS(=O)(=O)[C@H]3COCC[C@@H]32)c1 ZINC001473536752 1074614980 /nfs/dbraw/zinc/61/49/80/1074614980.db2.gz KQTRNXGNTMXZRC-HOTGVXAUSA-N 0 0 431.536 -0.237 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCS(=O)(=O)[C@@H]3COCC[C@H]32)c1 ZINC001473536753 1074614901 /nfs/dbraw/zinc/61/49/01/1074614901.db2.gz KQTRNXGNTMXZRC-HZPDHXFCSA-N 0 0 431.536 -0.237 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(NC(=O)CN2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)c1 ZINC001473536754 1074615020 /nfs/dbraw/zinc/61/50/20/1074615020.db2.gz KQTRNXGNTMXZRC-JKSUJKDBSA-N 0 0 431.536 -0.237 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)c1 ZINC001473537383 1074614941 /nfs/dbraw/zinc/61/49/41/1074614941.db2.gz YKIWOZNSKWVMJA-DLBZAZTESA-N 0 0 425.507 -0.020 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCS(=O)(=O)[C@@H]3COCC[C@H]32)c1 ZINC001473537384 1074614997 /nfs/dbraw/zinc/61/49/97/1074614997.db2.gz YKIWOZNSKWVMJA-IAGOWNOFSA-N 0 0 425.507 -0.020 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCS(=O)(=O)[C@H]3COCC[C@@H]32)c1 ZINC001473537385 1074614988 /nfs/dbraw/zinc/61/49/88/1074614988.db2.gz YKIWOZNSKWVMJA-IRXDYDNUSA-N 0 0 425.507 -0.020 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2CCS(=O)(=O)[C@H]3COCC[C@H]32)c1 ZINC001473537386 1074614991 /nfs/dbraw/zinc/61/49/91/1074614991.db2.gz YKIWOZNSKWVMJA-SJORKVTESA-N 0 0 425.507 -0.020 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@H](C)N3CC(S(N)(=O)=O)C3)CC2)cc1 ZINC001473540973 1074615028 /nfs/dbraw/zinc/61/50/28/1074615028.db2.gz HPPAGZORGMWZTQ-AWEZNQCLSA-N 0 0 430.552 -0.811 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)[C@@H](C)N3CC(S(N)(=O)=O)C3)CC2)cc1 ZINC001473540974 1074615008 /nfs/dbraw/zinc/61/50/08/1074615008.db2.gz HPPAGZORGMWZTQ-CQSZACIVSA-N 0 0 430.552 -0.811 20 0 IBADRN Cc1ccc(NC(=O)[C@@H](C)N2CC(S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001473540996 1074614972 /nfs/dbraw/zinc/61/49/72/1074614972.db2.gz IWYSJFPVCGKTGT-CYBMUJFWSA-N 0 0 446.551 -0.684 20 0 IBADRN Cc1ccc(NC(=O)[C@H](C)N2CC(S(N)(=O)=O)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001473540997 1074615589 /nfs/dbraw/zinc/61/55/89/1074615589.db2.gz IWYSJFPVCGKTGT-ZDUSSCGKSA-N 0 0 446.551 -0.684 20 0 IBADRN CC(=O)N1CCC(NC(=O)CN(C)CCOc2ccc(S(N)(=O)=O)cc2F)CC1 ZINC001473542602 1074615557 /nfs/dbraw/zinc/61/55/57/1074615557.db2.gz WNHWMLNVSKQMDX-UHFFFAOYSA-N 0 0 430.502 -0.089 20 0 IBADRN C[C@H](O)[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001473543252 1074615548 /nfs/dbraw/zinc/61/55/48/1074615548.db2.gz JZDQMVSFUHYYLF-DOTOQJQBSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H](O)[C@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001473543253 1074615597 /nfs/dbraw/zinc/61/55/97/1074615597.db2.gz JZDQMVSFUHYYLF-NVXWUHKLSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@H](O)[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001473543265 1074615554 /nfs/dbraw/zinc/61/55/54/1074615554.db2.gz JZDQMVSFUHYYLF-RDJZCZTQSA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@@H](O)[C@@H]1CN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)CCO1 ZINC001473543268 1074615582 /nfs/dbraw/zinc/61/55/82/1074615582.db2.gz JZDQMVSFUHYYLF-WBVHZDCISA-N 0 0 427.523 -0.595 20 0 IBADRN C[C@H](O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCO1 ZINC001473543349 1074615603 /nfs/dbraw/zinc/61/56/03/1074615603.db2.gz KNIWNDPKJNQKAV-BBRMVZONSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@@H](O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCO1 ZINC001473543378 1074615586 /nfs/dbraw/zinc/61/55/86/1074615586.db2.gz KNIWNDPKJNQKAV-CJNGLKHVSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@@H](O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCO1 ZINC001473543379 1074615552 /nfs/dbraw/zinc/61/55/52/1074615552.db2.gz KNIWNDPKJNQKAV-CZUORRHYSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@H](O)[C@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCO1 ZINC001473543380 1074615516 /nfs/dbraw/zinc/61/55/16/1074615516.db2.gz KNIWNDPKJNQKAV-XJKSGUPXSA-N 0 0 433.477 -0.121 20 0 IBADRN C[C@H]1CN(CCO)CCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001473545770 1074615477 /nfs/dbraw/zinc/61/54/77/1074615477.db2.gz RGZIUSBOLKDTFP-INIZCTEOSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@@H]1CN(CCO)CCN1CC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001473545771 1074615504 /nfs/dbraw/zinc/61/55/04/1074615504.db2.gz RGZIUSBOLKDTFP-MRXNPFEDSA-N 0 0 426.539 -0.356 20 0 IBADRN C[C@H]1CN(CCO)CCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001473546465 1074615520 /nfs/dbraw/zinc/61/55/20/1074615520.db2.gz IOSCLGYSMGRBRX-KRWDZBQOSA-N 0 0 440.566 -0.678 20 0 IBADRN C[C@@H]1CN(CCO)CCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001473546466 1074615607 /nfs/dbraw/zinc/61/56/07/1074615607.db2.gz IOSCLGYSMGRBRX-QGZVFWFLSA-N 0 0 440.566 -0.678 20 0 IBADRN NC(=O)C[C@@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001473558431 1074615592 /nfs/dbraw/zinc/61/55/92/1074615592.db2.gz UDDSWBIXIKVORK-CYBMUJFWSA-N 0 0 446.476 -0.626 20 0 IBADRN NC(=O)C[C@H]1COCCN1CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001473558432 1074615606 /nfs/dbraw/zinc/61/56/06/1074615606.db2.gz UDDSWBIXIKVORK-ZDUSSCGKSA-N 0 0 446.476 -0.626 20 0 IBADRN CN(C)C(=O)CN(CC(F)(F)F)C(=O)CN1CCC(NC(=O)N2CCOCC2)CC1 ZINC001473575500 1074616188 /nfs/dbraw/zinc/61/61/88/1074616188.db2.gz YSAVZQKXPOEWBP-UHFFFAOYSA-N 0 0 437.463 -0.028 20 0 IBADRN CC1(C(N)=O)CN(CC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)OCCO4)CC2)C1 ZINC001473585204 1074615826 /nfs/dbraw/zinc/61/58/26/1074615826.db2.gz IKCCCVMEENAULF-UHFFFAOYSA-N 0 0 438.506 -0.902 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CC(C)(C(N)=O)C3)CC2)c1 ZINC001473585334 1074615983 /nfs/dbraw/zinc/61/59/83/1074615983.db2.gz QISQPQDGGFWTPZ-UHFFFAOYSA-N 0 0 440.522 -0.656 20 0 IBADRN NC(=O)[C@]1(NCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCOC1 ZINC001473587396 1074616040 /nfs/dbraw/zinc/61/60/40/1074616040.db2.gz LINSZOVOZUXRCI-KRWDZBQOSA-N 0 0 432.449 -0.968 20 0 IBADRN NC(=O)[C@@]1(NCC(=O)N2CCN(S(=O)(=O)c3c(F)cccc3F)CC2)CCOC1 ZINC001473587400 1074616138 /nfs/dbraw/zinc/61/61/38/1074616138.db2.gz LINSZOVOZUXRCI-QGZVFWFLSA-N 0 0 432.449 -0.968 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(CC(F)(F)CO)CC1 ZINC001473597138 1074356088 /nfs/dbraw/zinc/35/60/88/1074356088.db2.gz IYKGXNXBMRVPMK-AWEZNQCLSA-N 0 0 434.509 -0.374 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CCN(CC(F)(F)CO)CC1 ZINC001473597139 1074356123 /nfs/dbraw/zinc/35/61/23/1074356123.db2.gz IYKGXNXBMRVPMK-CQSZACIVSA-N 0 0 434.509 -0.374 20 0 IBADRN O=C(CN1CCN(c2nc[nH]n2)CC1)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001473597472 1074356053 /nfs/dbraw/zinc/35/60/53/1074356053.db2.gz LRZYSVRTKPCVKR-UHFFFAOYSA-N 0 0 437.501 -0.401 20 0 IBADRN O=C(CN1C[C@@H]2C[C@H]1C[S@@]2=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001473604636 1074616162 /nfs/dbraw/zinc/61/61/62/1074616162.db2.gz SXIFDXINYJBUSU-MPEQGZPJSA-N 0 0 427.548 -0.471 20 0 IBADRN O=C(CN1C[C@@H]2C[C@H]1C[S@]2=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001473604637 1074615966 /nfs/dbraw/zinc/61/59/66/1074615966.db2.gz SXIFDXINYJBUSU-IHULAWBXSA-N 0 0 427.548 -0.471 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3)CC2)C[C@H](C)O1 ZINC001473607028 1074356039 /nfs/dbraw/zinc/35/60/39/1074356039.db2.gz COSKLXMBLHDNFH-RDJZCZTQSA-N 0 0 425.507 -0.218 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cc2)C[C@H](C)O1 ZINC001473607640 1074616155 /nfs/dbraw/zinc/61/61/55/1074616155.db2.gz ZFIWSHLJTRZPIR-YOEHRIQHSA-N 0 0 441.506 -0.092 20 0 IBADRN COC[C@H]1C[C@@H](O)CN1CC(=O)Nc1ccc(OC)c(S(=O)(=O)N2CCOCC2)c1 ZINC001473611441 1074615900 /nfs/dbraw/zinc/61/59/00/1074615900.db2.gz APJIEKKIZDDRIZ-HZPDHXFCSA-N 0 0 443.522 -0.264 20 0 IBADRN CC(=O)N[C@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)NCc3ccccc3)CC2)C1 ZINC001473618750 1074615886 /nfs/dbraw/zinc/61/58/86/1074615886.db2.gz LPJMJXYHMPPVMF-IBGZPJMESA-N 0 0 437.566 -0.234 20 0 IBADRN CC(=O)N[C@@H]1CCCN(C(=O)CN2CCN(S(=O)(=O)NCc3ccccc3)CC2)C1 ZINC001473618751 1074616495 /nfs/dbraw/zinc/61/64/95/1074616495.db2.gz LPJMJXYHMPPVMF-LJQANCHMSA-N 0 0 437.566 -0.234 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN3CCC(O)(CO)CC3)CC2)cc1C ZINC001473625932 1074616486 /nfs/dbraw/zinc/61/64/86/1074616486.db2.gz HDEJPJVINDSXDI-UHFFFAOYSA-N 0 0 425.551 -0.044 20 0 IBADRN Cn1c(N)c(C(=O)CN[C@@H]2Cc3ccccc3N(C(=O)C(F)(F)F)C2)c(=O)n(C)c1=O ZINC001473626351 1074616515 /nfs/dbraw/zinc/61/65/15/1074616515.db2.gz JUJRFEHQKOIDIB-LLVKDONJSA-N 0 0 439.394 -0.041 20 0 IBADRN Cn1c(N)c(C(=O)CN[C@H]2Cc3ccccc3N(C(=O)C(F)(F)F)C2)c(=O)n(C)c1=O ZINC001473626365 1074616464 /nfs/dbraw/zinc/61/64/64/1074616464.db2.gz JUJRFEHQKOIDIB-NSHDSACASA-N 0 0 439.394 -0.041 20 0 IBADRN O=C(CN1CCC(O)(CO)CC1)N1CCN(S(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001473627287 1074616510 /nfs/dbraw/zinc/61/65/10/1074616510.db2.gz WDERNNOKYAHXNW-UHFFFAOYSA-N 0 0 431.942 -0.008 20 0 IBADRN CO[C@@]1(CO)CCCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC001473628497 1074616529 /nfs/dbraw/zinc/61/65/29/1074616529.db2.gz ROPIIBXPAIYWEE-FQEVSTJZSA-N 0 0 441.550 -0.203 20 0 IBADRN CO[C@]1(CO)CCCN(CC(=O)NCc2ccccc2S(=O)(=O)N2CCOCC2)C1 ZINC001473628498 1074616553 /nfs/dbraw/zinc/61/65/53/1074616553.db2.gz ROPIIBXPAIYWEE-HXUWFJFHSA-N 0 0 441.550 -0.203 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC001473642733 1074616526 /nfs/dbraw/zinc/61/65/26/1074616526.db2.gz HWNQQLWDBJUMPV-HZSPNIEDSA-N 0 0 432.568 -0.135 20 0 IBADRN C[C@@H](NC(=O)[C@H](C)N1CCN(S(C)(=O)=O)[C@H](C)C1)c1ccc(S(N)(=O)=O)cc1 ZINC001473642734 1074616477 /nfs/dbraw/zinc/61/64/77/1074616477.db2.gz HWNQQLWDBJUMPV-MCIONIFRSA-N 0 0 432.568 -0.135 20 0 IBADRN C[C@@H](NC(=O)[C@@H](C)N1CCN(S(C)(=O)=O)[C@H](C)C1)c1ccc(S(N)(=O)=O)cc1 ZINC001473642735 1074616506 /nfs/dbraw/zinc/61/65/06/1074616506.db2.gz HWNQQLWDBJUMPV-MGPQQGTHSA-N 0 0 432.568 -0.135 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN(S(C)(=O)=O)[C@H](C)C1)c1ccc(S(N)(=O)=O)cc1 ZINC001473642736 1074616500 /nfs/dbraw/zinc/61/65/00/1074616500.db2.gz HWNQQLWDBJUMPV-RDBSUJKOSA-N 0 0 432.568 -0.135 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC001473643527 1074616503 /nfs/dbraw/zinc/61/65/03/1074616503.db2.gz VRXAXHVWWXEXRK-CHWSQXEVSA-N 0 0 430.552 -0.423 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC001473643528 1074616523 /nfs/dbraw/zinc/61/65/23/1074616523.db2.gz VRXAXHVWWXEXRK-QWHCGFSZSA-N 0 0 430.552 -0.423 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(CC(=O)Nc3cccc(S(=O)(=O)N(C)C)c3)C[C@H]21 ZINC001473650624 1074616548 /nfs/dbraw/zinc/61/65/48/1074616548.db2.gz BDGYWDWJTSQPBT-XHSDSOJGSA-N 0 0 431.536 -0.381 20 0 IBADRN COc1cccc(NC(=O)CN(C)C(=O)CN2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)c1 ZINC001473650640 1074616544 /nfs/dbraw/zinc/61/65/44/1074616544.db2.gz BNGAKGJLOIRECT-BBWFWOEESA-N 0 0 425.507 -0.164 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN([C@@H](C)C(=O)N[C@H](C)c3ccc(S(N)(=O)=O)cc3)C[C@H]21 ZINC001473650968 1074616459 /nfs/dbraw/zinc/61/64/59/1074616459.db2.gz JWRPAVRLOAFBRB-FXSNYSBCSA-N 0 0 445.563 -0.357 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN([C@H](C)C(=O)N[C@@H](C)c3ccc(S(N)(=O)=O)cc3)C[C@H]21 ZINC001473650969 1074616450 /nfs/dbraw/zinc/61/64/50/1074616450.db2.gz JWRPAVRLOAFBRB-GMKCAIKYSA-N 0 0 445.563 -0.357 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN([C@H](C)C(=O)N[C@H](C)c3ccc(S(N)(=O)=O)cc3)C[C@H]21 ZINC001473650970 1074617096 /nfs/dbraw/zinc/61/70/96/1074617096.db2.gz JWRPAVRLOAFBRB-VLMXHWEHSA-N 0 0 445.563 -0.357 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN([C@@H](C)C(=O)N[C@@H](C)c3ccc(S(N)(=O)=O)cc3)C[C@H]21 ZINC001473650971 1074617076 /nfs/dbraw/zinc/61/70/76/1074617076.db2.gz JWRPAVRLOAFBRB-XBJNOIPFSA-N 0 0 445.563 -0.357 20 0 IBADRN CNC(=O)NC[C@@H]1CN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC001473652851 1074617018 /nfs/dbraw/zinc/61/70/18/1074617018.db2.gz YXCWMHSMXYCTRY-GOSISDBHSA-N 0 0 433.509 -0.595 20 0 IBADRN CNC(=O)NC[C@H]1CN(CC(=O)NCc2ccc(C(=O)N3CCOCC3)cc2)CCO1 ZINC001473652852 1074617081 /nfs/dbraw/zinc/61/70/81/1074617081.db2.gz YXCWMHSMXYCTRY-SFHVURJKSA-N 0 0 433.509 -0.595 20 0 IBADRN COC(=O)[C@]1(N2CCN(CC(=O)Nc3ccc4c(c3)C(=O)N(C)C4=O)CC2)CCOC1 ZINC001473657335 1074617022 /nfs/dbraw/zinc/61/70/22/1074617022.db2.gz FVIKKWDSARSASM-NRFANRHFSA-N 0 0 430.461 -0.199 20 0 IBADRN COC(=O)[C@@]1(N2CCN(CC(=O)Nc3ccc4c(c3)C(=O)N(C)C4=O)CC2)CCOC1 ZINC001473657336 1074617093 /nfs/dbraw/zinc/61/70/93/1074617093.db2.gz FVIKKWDSARSASM-OAQYLSRUSA-N 0 0 430.461 -0.199 20 0 IBADRN O=C(CN1CCO[C@H](Cn2ccnn2)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001473659903 1074617092 /nfs/dbraw/zinc/61/70/92/1074617092.db2.gz LGUWJASGQUBNQW-KRWDZBQOSA-N 0 0 434.522 -0.488 20 0 IBADRN O=C(CN1CCO[C@@H](Cn2ccnn2)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001473659904 1074617000 /nfs/dbraw/zinc/61/70/00/1074617000.db2.gz LGUWJASGQUBNQW-QGZVFWFLSA-N 0 0 434.522 -0.488 20 0 IBADRN CN(C)[S@](C)(=O)=NC(=O)CN1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001473672511 1074617015 /nfs/dbraw/zinc/61/70/15/1074617015.db2.gz ZDKKEOBEDDYICL-HHHXNRCGSA-N 0 0 431.584 -0.317 20 0 IBADRN CN(C)[S@@](C)(=O)=NC(=O)CN1CCN(CCNS(=O)(=O)c2ccccc2)CC1 ZINC001473672513 1074617020 /nfs/dbraw/zinc/61/70/20/1074617020.db2.gz ZDKKEOBEDDYICL-MHZLTWQESA-N 0 0 431.584 -0.317 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC001473681277 1074616982 /nfs/dbraw/zinc/61/69/82/1074616982.db2.gz JBCWMGTVGAQPBF-VBQJREDUSA-N 0 0 446.460 -0.521 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC001473681278 1074617060 /nfs/dbraw/zinc/61/70/60/1074617060.db2.gz JBCWMGTVGAQPBF-ZQIUZPCESA-N 0 0 446.460 -0.521 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1Cc1c(I)cnn1C ZINC001473681492 1074617028 /nfs/dbraw/zinc/61/70/28/1074617028.db2.gz MSYVUIXYSJQFIY-WPRPVWTQSA-N 0 0 447.233 -0.308 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)CC(=O)N1CCN(C)CC1 ZINC001473682665 1074617007 /nfs/dbraw/zinc/61/70/07/1074617007.db2.gz GSZNKXADWOSEAS-UHFFFAOYSA-N 0 0 431.537 -0.519 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1CC(=O)N(CC(=O)N(C)C)CC(F)(F)F ZINC001473708138 1074617536 /nfs/dbraw/zinc/61/75/36/1074617536.db2.gz YDWDHODJCSPXTK-NSHDSACASA-N 0 0 431.433 -0.872 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)CN(C)C3CS(=O)(=O)C3)CC2)cc1C ZINC001473720091 1074617542 /nfs/dbraw/zinc/61/75/42/1074617542.db2.gz DKCCDKNKRAWIMW-UHFFFAOYSA-N 0 0 429.564 -0.135 20 0 IBADRN CN(CC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1)C1CS(=O)(=O)C1 ZINC001473720414 1074617442 /nfs/dbraw/zinc/61/74/42/1074617442.db2.gz NGOASMZFJGZTHJ-UHFFFAOYSA-N 0 0 437.490 -0.474 20 0 IBADRN O=C(CN1CC[C@@H](O)[C@@H](O)C1)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001473721936 1074617436 /nfs/dbraw/zinc/61/74/36/1074617436.db2.gz HGUSUHUQKCRGBL-CVEARBPZSA-N 0 0 433.914 -0.273 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC001473742272 1074617517 /nfs/dbraw/zinc/61/75/17/1074617517.db2.gz NXFFEFSCARPMSN-HRAATJIYSA-N 0 0 446.508 -0.409 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC001473742275 1074617605 /nfs/dbraw/zinc/61/76/05/1074617605.db2.gz NXFFEFSCARPMSN-IERDGZPVSA-N 0 0 446.508 -0.409 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1CC(=O)NN1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC001473742277 1074617613 /nfs/dbraw/zinc/61/76/13/1074617613.db2.gz NXFFEFSCARPMSN-IIBYNOLFSA-N 0 0 446.508 -0.409 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1CC(=O)NN1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC001473742279 1074617577 /nfs/dbraw/zinc/61/75/77/1074617577.db2.gz NXFFEFSCARPMSN-KKSFZXQISA-N 0 0 446.508 -0.409 20 0 IBADRN COC(=O)[C@]1(O)CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC001473746704 1074617567 /nfs/dbraw/zinc/61/75/67/1074617567.db2.gz IXVRNTDFNZRBPN-IBGZPJMESA-N 0 0 425.507 -0.730 20 0 IBADRN COC(=O)[C@@]1(O)CCN(CC(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)C1 ZINC001473746717 1074617448 /nfs/dbraw/zinc/61/74/48/1074617448.db2.gz IXVRNTDFNZRBPN-LJQANCHMSA-N 0 0 425.507 -0.730 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CC=C[C@H]3CO)CC2)c1 ZINC001473747247 1074617591 /nfs/dbraw/zinc/61/75/91/1074617591.db2.gz NFYHPIYCHJDPKE-HNNXBMFYSA-N 0 0 425.507 -0.231 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)CN3CC=C[C@@H]3CO)CC2)c1 ZINC001473747255 1074618085 /nfs/dbraw/zinc/61/80/85/1074618085.db2.gz NFYHPIYCHJDPKE-OAHLLOKOSA-N 0 0 425.507 -0.231 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCC(=O)NCC12COC2 ZINC001473753035 1074618078 /nfs/dbraw/zinc/61/80/78/1074618078.db2.gz YHFSQAOLZUAXNV-UHFFFAOYSA-N 0 0 431.536 -0.699 20 0 IBADRN O=C(CN1CCC(=O)NCC12COC2)N1CCN(S(=O)(=O)c2ccccc2F)CC1 ZINC001473753038 1074617963 /nfs/dbraw/zinc/61/79/63/1074617963.db2.gz YMDYHNGKDMSPSP-UHFFFAOYSA-N 0 0 440.497 -0.751 20 0 IBADRN NC(=O)CN(CC(=O)Nc1ccc(S(=O)(=O)N2CCOCC2)cc1)C1CCOCC1 ZINC001473758062 1074618138 /nfs/dbraw/zinc/61/81/38/1074618138.db2.gz FWJYTMDVNYITRQ-UHFFFAOYSA-N 0 0 440.522 -0.388 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN(CC(N)=O)C1CCOCC1 ZINC001473758449 1074617998 /nfs/dbraw/zinc/61/79/98/1074617998.db2.gz MAAFAESFZOUTEZ-UHFFFAOYSA-N 0 0 433.552 -0.323 20 0 IBADRN CNS(=O)(=O)c1ccc(N2CCN(CC(=O)NC3CCN(C(C)=O)CC3)CC2)cc1 ZINC001473758566 1074618013 /nfs/dbraw/zinc/61/80/13/1074618013.db2.gz NYHIHNOOSRSSGD-UHFFFAOYSA-N 0 0 437.566 -0.156 20 0 IBADRN NC(=O)CN(CC(=O)N1CCN(S(=O)(=O)c2ccccc2F)CC1)C1CCOCC1 ZINC001473759002 1074617941 /nfs/dbraw/zinc/61/79/41/1074617941.db2.gz VYAAECRIBPBUQA-UHFFFAOYSA-N 0 0 442.513 -0.375 20 0 IBADRN C[S@](=N)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC001473776325 1074618042 /nfs/dbraw/zinc/61/80/42/1074618042.db2.gz FTBWEOBJBZJFMZ-MUUNZHRXSA-N 0 0 447.558 -0.132 20 0 IBADRN C[S@@](=N)(=O)N1CCN(CC(=O)N2CCN(S(=O)(=O)c3ccccc3F)CC2)CC1 ZINC001473776326 1074618110 /nfs/dbraw/zinc/61/81/10/1074618110.db2.gz FTBWEOBJBZJFMZ-NDEPHWFRSA-N 0 0 447.558 -0.132 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CC[C@H](CNS(C)(=O)=O)C1 ZINC001473776758 1074618127 /nfs/dbraw/zinc/61/81/27/1074618127.db2.gz RFMJWSQFIOWYRR-DZGCQCFKSA-N 0 0 432.568 -0.748 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001473776759 1074618115 /nfs/dbraw/zinc/61/81/15/1074618115.db2.gz RFMJWSQFIOWYRR-HIFRSBDPSA-N 0 0 432.568 -0.748 20 0 IBADRN C[C@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CC[C@H](CNS(C)(=O)=O)C1 ZINC001473776760 1074617979 /nfs/dbraw/zinc/61/79/79/1074617979.db2.gz RFMJWSQFIOWYRR-UKRRQHHQSA-N 0 0 432.568 -0.748 20 0 IBADRN C[C@@H](C(=O)NCCc1ccc(S(N)(=O)=O)cc1)N1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001473776761 1074618028 /nfs/dbraw/zinc/61/80/28/1074618028.db2.gz RFMJWSQFIOWYRR-ZFWWWQNUSA-N 0 0 432.568 -0.748 20 0 IBADRN CN(CC(=O)NCc1ccc(C(=O)N2CCOCC2)cc1)Cc1nnc2n1CCNC2=O ZINC001473787806 1074618058 /nfs/dbraw/zinc/61/80/58/1074618058.db2.gz AFGTZCQJNPTAJJ-UHFFFAOYSA-N 0 0 441.492 -0.758 20 0 IBADRN CNS(=O)(=O)c1cc(NC(=O)CN(C)Cc2nnc3n2CCN(C)C3=O)ccc1C ZINC001473787822 1074618067 /nfs/dbraw/zinc/61/80/67/1074618067.db2.gz BPYNVWXCEBUPIQ-UHFFFAOYSA-N 0 0 435.510 -0.349 20 0 IBADRN C[C@@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)Cc1nnc2n1CCN(C)C2=O ZINC001473788687 1074618074 /nfs/dbraw/zinc/61/80/74/1074618074.db2.gz PLAYCPQOZKVARX-GFCCVEGCSA-N 0 0 447.521 -0.579 20 0 IBADRN C[C@H]1Cc2cc(S(N)(=O)=O)ccc2N1C(=O)CN(C)Cc1nnc2n1CCN(C)C2=O ZINC001473788689 1074617970 /nfs/dbraw/zinc/61/79/70/1074617970.db2.gz PLAYCPQOZKVARX-LBPRGKRZSA-N 0 0 447.521 -0.579 20 0 IBADRN Cc1ccc(NC(=O)CN(C)Cc2nnc3n2CCN(C)C3=O)cc1S(=O)(=O)N(C)C ZINC001473788867 1074618583 /nfs/dbraw/zinc/61/85/83/1074618583.db2.gz SLGKIMWLASANJW-UHFFFAOYSA-N 0 0 449.537 -0.007 20 0 IBADRN CNC(=O)N[C@H]1CCCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C1 ZINC001473793956 1074618551 /nfs/dbraw/zinc/61/85/51/1074618551.db2.gz LMOYJKQWVVPHEY-HNNXBMFYSA-N 0 0 428.493 -0.246 20 0 IBADRN CNC(=O)N[C@@H]1CCCN(CC(=O)c2c(N)n(Cc3ccccc3)c(=O)n(C)c2=O)C1 ZINC001473793958 1074618416 /nfs/dbraw/zinc/61/84/16/1074618416.db2.gz LMOYJKQWVVPHEY-OAHLLOKOSA-N 0 0 428.493 -0.246 20 0 IBADRN CNC(=O)CN1CCCN(CC(=O)NN2C(=O)N[C@@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC001473811808 1074618491 /nfs/dbraw/zinc/61/84/91/1074618491.db2.gz BCZDPCPLWFRRIM-NRFANRHFSA-N 0 0 446.508 -0.753 20 0 IBADRN CNC(=O)CN1CCCN(CC(=O)NN2C(=O)N[C@](C)(c3ccc(OC)cc3)C2=O)CC1 ZINC001473811809 1074618539 /nfs/dbraw/zinc/61/85/39/1074618539.db2.gz BCZDPCPLWFRRIM-OAQYLSRUSA-N 0 0 446.508 -0.753 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2nc1CN1CCN2[C@H](CNS2(=O)=O)C1 ZINC001473821067 1074618557 /nfs/dbraw/zinc/61/85/57/1074618557.db2.gz KIVWXPKAOTVBCD-CYBMUJFWSA-N 0 0 442.567 -0.359 20 0 IBADRN CCn1c2ccc(S(=O)(=O)N(C)C)cc2nc1CN1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001473821068 1074618532 /nfs/dbraw/zinc/61/85/32/1074618532.db2.gz KIVWXPKAOTVBCD-ZDUSSCGKSA-N 0 0 442.567 -0.359 20 0 IBADRN CC[C@@H]1C(=O)NCCCN1CC(=O)NCc1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001473822079 1074356656 /nfs/dbraw/zinc/35/66/56/1074356656.db2.gz GIZCGZJPQIJOLS-GOSISDBHSA-N 0 0 438.550 -0.076 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3[C@@H](CNS3(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC001473822365 1074618437 /nfs/dbraw/zinc/61/84/37/1074618437.db2.gz VYYMCOWZZLIBLR-AWEZNQCLSA-N 0 0 431.540 -0.982 20 0 IBADRN Cc1ccc(NC(=O)CN2CCN3[C@H](CNS3(=O)=O)C2)cc1S(=O)(=O)N(C)C ZINC001473822371 1074618580 /nfs/dbraw/zinc/61/85/80/1074618580.db2.gz VYYMCOWZZLIBLR-CQSZACIVSA-N 0 0 431.540 -0.982 20 0 IBADRN CC[C@@H]1C(=O)NCCCN1CC(=O)NCc1ccccc1S(=O)(=O)N1CCOCC1 ZINC001473823369 1074356489 /nfs/dbraw/zinc/35/64/89/1074356489.db2.gz UNQOSQQFFATQML-QGZVFWFLSA-N 0 0 438.550 -0.076 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN[C@H]3C(=O)NCC34CCOCC4)C2=O)cc1 ZINC001473830922 1074618521 /nfs/dbraw/zinc/61/85/21/1074618521.db2.gz RSJAUIATHZJDKI-JXFKEZNVSA-N 0 0 445.476 -0.622 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN[C@H]3C(=O)NCC34CCOCC4)C2=O)cc1 ZINC001473830923 1074618459 /nfs/dbraw/zinc/61/84/59/1074618459.db2.gz RSJAUIATHZJDKI-OXJNMPFZSA-N 0 0 445.476 -0.622 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN[C@@H]3C(=O)NCC34CCOCC4)C2=O)cc1 ZINC001473830924 1074618427 /nfs/dbraw/zinc/61/84/27/1074618427.db2.gz RSJAUIATHZJDKI-OXQOHEQNSA-N 0 0 445.476 -0.622 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN[C@@H]3C(=O)NCC34CCOCC4)C2=O)cc1 ZINC001473830925 1074618404 /nfs/dbraw/zinc/61/84/04/1074618404.db2.gz RSJAUIATHZJDKI-UZLBHIALSA-N 0 0 445.476 -0.622 20 0 IBADRN Cc1cc(N2CCN(C(=O)CN3CCN4CCOC[C@]4(CO)C3)CC2)nc(C(C)C)n1 ZINC001473831568 1074618929 /nfs/dbraw/zinc/61/89/29/1074618929.db2.gz ADBGLBJODHKUHO-JOCHJYFZSA-N 0 0 432.569 -0.064 20 0 IBADRN Cc1cc(N2CCN(C(=O)CN3CCN4CCOC[C@@]4(CO)C3)CC2)nc(C(C)C)n1 ZINC001473831569 1074619018 /nfs/dbraw/zinc/61/90/18/1074619018.db2.gz ADBGLBJODHKUHO-QFIPXVFZSA-N 0 0 432.569 -0.064 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN2CCOC[C@@]2(CO)C1)c1ccc(S(N)(=O)=O)cc1 ZINC001473832666 1074618967 /nfs/dbraw/zinc/61/89/67/1074618967.db2.gz NFBDSTKFVGFVSF-DOXZYTNZSA-N 0 0 426.539 -0.721 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001473832667 1074618976 /nfs/dbraw/zinc/61/89/76/1074618976.db2.gz NFBDSTKFVGFVSF-KHYOSLBOSA-N 0 0 426.539 -0.721 20 0 IBADRN C[C@H](C(=O)N[C@@H](C)c1ccc(S(N)(=O)=O)cc1)N1CCN2CCOC[C@]2(CO)C1 ZINC001473832668 1074618987 /nfs/dbraw/zinc/61/89/87/1074618987.db2.gz NFBDSTKFVGFVSF-QMTMVMCOSA-N 0 0 426.539 -0.721 20 0 IBADRN C[C@H](NC(=O)[C@H](C)N1CCN2CCOC[C@]2(CO)C1)c1ccc(S(N)(=O)=O)cc1 ZINC001473832669 1074618955 /nfs/dbraw/zinc/61/89/55/1074618955.db2.gz NFBDSTKFVGFVSF-YZVOILCLSA-N 0 0 426.539 -0.721 20 0 IBADRN O=C(CNC(=O)CN1CCN2CCOC[C@]2(CO)C1)Nc1ccccc1Br ZINC001473832816 1074619021 /nfs/dbraw/zinc/61/90/21/1074619021.db2.gz OILGWPGAUOZOMQ-GOSISDBHSA-N 0 0 441.326 -0.117 20 0 IBADRN O=C(CNC(=O)CN1CCN2CCOC[C@@]2(CO)C1)Nc1ccccc1Br ZINC001473832817 1074618943 /nfs/dbraw/zinc/61/89/43/1074618943.db2.gz OILGWPGAUOZOMQ-SFHVURJKSA-N 0 0 441.326 -0.117 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN2CCOC[C@]2(CO)C1 ZINC001473833045 1074618911 /nfs/dbraw/zinc/61/89/11/1074618911.db2.gz RLQJYRIXVKLCJK-GOSISDBHSA-N 0 0 447.579 -0.911 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)CCN1CCN2CCOC[C@@]2(CO)C1 ZINC001473833046 1074618998 /nfs/dbraw/zinc/61/89/98/1074618998.db2.gz RLQJYRIXVKLCJK-SFHVURJKSA-N 0 0 447.579 -0.911 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001473833767 1074618936 /nfs/dbraw/zinc/61/89/36/1074618936.db2.gz YJYFUGBFUAQJCY-BEFAXECRSA-N 0 0 426.539 -0.357 20 0 IBADRN C[C@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN2CCOC[C@]2(CO)C1 ZINC001473833768 1074619014 /nfs/dbraw/zinc/61/90/14/1074619014.db2.gz YJYFUGBFUAQJCY-DNVCBOLYSA-N 0 0 426.539 -0.357 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN2CCOC[C@]2(CO)C1 ZINC001473833769 1074618995 /nfs/dbraw/zinc/61/89/95/1074618995.db2.gz YJYFUGBFUAQJCY-HNAYVOBHSA-N 0 0 426.539 -0.357 20 0 IBADRN C[C@@H](C(=O)Nc1cccc(S(=O)(=O)N(C)C)c1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001473833770 1074619010 /nfs/dbraw/zinc/61/90/10/1074619010.db2.gz YJYFUGBFUAQJCY-KXBFYZLASA-N 0 0 426.539 -0.357 20 0 IBADRN CC(C)(C)OC(=O)N[C@@]1(CO)CCCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)C1 ZINC001473849221 1074618894 /nfs/dbraw/zinc/61/88/94/1074618894.db2.gz YPQYBRISKJEIJK-IBGZPJMESA-N 0 0 448.586 -0.122 20 0 IBADRN CC(C)(C)OC(=O)N[C@]1(CO)CCCN(CC(=O)NC2CCN(S(C)(=O)=O)CC2)C1 ZINC001473849222 1074619001 /nfs/dbraw/zinc/61/90/01/1074619001.db2.gz YPQYBRISKJEIJK-LJQANCHMSA-N 0 0 448.586 -0.122 20 0 IBADRN CCOC(=O)CN(C)C(=O)CN1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001473853702 1074618916 /nfs/dbraw/zinc/61/89/16/1074618916.db2.gz HTTNVWGANRKMKV-KGLIPLIRSA-N 0 0 433.527 -0.274 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1CC(=O)NCC(=O)Nc1ccccc1Br ZINC001473858417 1074619024 /nfs/dbraw/zinc/61/90/24/1074619024.db2.gz MQAFLIKHMRIHTR-CYBMUJFWSA-N 0 0 441.282 -0.181 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1CC(=O)NCC(=O)Nc1ccccc1Br ZINC001473858418 1074619537 /nfs/dbraw/zinc/61/95/37/1074619537.db2.gz MQAFLIKHMRIHTR-ZDUSSCGKSA-N 0 0 441.282 -0.181 20 0 IBADRN COC(=O)[C@](C)(Cn1cccn1)NCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001473867854 1074619417 /nfs/dbraw/zinc/61/94/17/1074619417.db2.gz YOIRHVNRPUWSQR-FQEVSTJZSA-N 0 0 449.533 -0.063 20 0 IBADRN COC(=O)[C@@](C)(Cn1cccn1)NCC(=O)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001473867855 1074619465 /nfs/dbraw/zinc/61/94/65/1074619465.db2.gz YOIRHVNRPUWSQR-HXUWFJFHSA-N 0 0 449.533 -0.063 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C2 ZINC001473872046 1074619529 /nfs/dbraw/zinc/61/95/29/1074619529.db2.gz FIGSZRNMEUQLCZ-HNNXBMFYSA-N 0 0 443.574 -0.965 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(CC(=O)NCCCN(C(C)C)S(C)(=O)=O)C2 ZINC001473872047 1074619431 /nfs/dbraw/zinc/61/94/31/1074619431.db2.gz FIGSZRNMEUQLCZ-OAHLLOKOSA-N 0 0 443.574 -0.965 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C[C@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1)C2 ZINC001473872230 1074619498 /nfs/dbraw/zinc/61/94/98/1074619498.db2.gz KLRPEDKAPQADRD-CABCVRRESA-N 0 0 442.586 -0.140 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C[C@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1)C2 ZINC001473872231 1074619511 /nfs/dbraw/zinc/61/95/11/1074619511.db2.gz KLRPEDKAPQADRD-GJZGRUSLSA-N 0 0 442.586 -0.140 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C[C@@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1)C2 ZINC001473872232 1074619435 /nfs/dbraw/zinc/61/94/35/1074619435.db2.gz KLRPEDKAPQADRD-HUUCEWRRSA-N 0 0 442.586 -0.140 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C[C@@H](O)C1(S(=O)(=O)NC(C)(C)C)CCC1)C2 ZINC001473872233 1074619496 /nfs/dbraw/zinc/61/94/96/1074619496.db2.gz KLRPEDKAPQADRD-LSDHHAIUSA-N 0 0 442.586 -0.140 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2C[C@H](C(=O)OC)[C@H](C(=O)OC)C2)C1=O ZINC001473878881 1074619520 /nfs/dbraw/zinc/61/95/20/1074619520.db2.gz CCTSCZHSXQEDLQ-LRAJWGHMSA-N 0 0 446.460 -0.231 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2C[C@H](C(=O)OC)[C@@H](C(=O)OC)C2)C1=O ZINC001473878884 1074619515 /nfs/dbraw/zinc/61/95/15/1074619515.db2.gz CCTSCZHSXQEDLQ-VFCRVFHLSA-N 0 0 446.460 -0.231 20 0 IBADRN CC[C@]1(c2ccccc2)NC(=O)N(NC(=O)CN2C[C@@H](C(=O)OC)[C@H](C(=O)OC)C2)C1=O ZINC001473878886 1074619534 /nfs/dbraw/zinc/61/95/34/1074619534.db2.gz CCTSCZHSXQEDLQ-VTJXTGGHSA-N 0 0 446.460 -0.231 20 0 IBADRN CC[C@@]1(c2ccccc2)NC(=O)N(NC(=O)CN2C[C@H](C(=O)OC)[C@H](C(=O)OC)C2)C1=O ZINC001473878888 1074619438 /nfs/dbraw/zinc/61/94/38/1074619438.db2.gz CCTSCZHSXQEDLQ-ZSDSOXJFSA-N 0 0 446.460 -0.231 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)C[C@H]1C(=O)OC ZINC001473879167 1074619445 /nfs/dbraw/zinc/61/94/45/1074619445.db2.gz JJWUWKLKEFNMCS-DFBGVHRSSA-N 0 0 439.490 -0.494 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@H]2C)C[C@H]1C(=O)OC ZINC001473879169 1074619480 /nfs/dbraw/zinc/61/94/80/1074619480.db2.gz JJWUWKLKEFNMCS-KCPJHIHWSA-N 0 0 439.490 -0.494 20 0 IBADRN COC(=O)[C@@H]1CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)C[C@H]1C(=O)OC ZINC001473879170 1074619486 /nfs/dbraw/zinc/61/94/86/1074619486.db2.gz JJWUWKLKEFNMCS-NILFDRSVSA-N 0 0 439.490 -0.494 20 0 IBADRN COC(=O)[C@H]1CN(CC(=O)N2c3ccc(S(N)(=O)=O)cc3C[C@@H]2C)C[C@H]1C(=O)OC ZINC001473879172 1074619468 /nfs/dbraw/zinc/61/94/68/1074619468.db2.gz JJWUWKLKEFNMCS-TUKIKUTGSA-N 0 0 439.490 -0.494 20 0 IBADRN Cn1c2[nH]c(CN3C[C@@H]4CCCS(=O)(=O)[C@]4(C(F)(F)F)C3)nc2c(=O)n(C)c1=O ZINC001473888613 1074619459 /nfs/dbraw/zinc/61/94/59/1074619459.db2.gz NUYXRZILQCSYTB-BJOHPYRUSA-N 0 0 435.428 -0.098 20 0 IBADRN Cn1c2[nH]c(CN3C[C@H]4CCCS(=O)(=O)[C@@]4(C(F)(F)F)C3)nc2c(=O)n(C)c1=O ZINC001473888645 1074619524 /nfs/dbraw/zinc/61/95/24/1074619524.db2.gz NUYXRZILQCSYTB-PSLIRLAXSA-N 0 0 435.428 -0.098 20 0 IBADRN Cn1c2[nH]c(CN3C[C@H]4CCCS(=O)(=O)[C@]4(C(F)(F)F)C3)nc2c(=O)n(C)c1=O ZINC001473888649 1074619504 /nfs/dbraw/zinc/61/95/04/1074619504.db2.gz NUYXRZILQCSYTB-RFAUZJTJSA-N 0 0 435.428 -0.098 20 0 IBADRN Cn1c2[nH]c(CN3C[C@@H]4CCCS(=O)(=O)[C@@]4(C(F)(F)F)C3)nc2c(=O)n(C)c1=O ZINC001473888652 1074619956 /nfs/dbraw/zinc/61/99/56/1074619956.db2.gz NUYXRZILQCSYTB-VFZGTOFNSA-N 0 0 435.428 -0.098 20 0 IBADRN COc1ccc([C@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)CCO3)C2=O)cc1 ZINC001473894989 1074619873 /nfs/dbraw/zinc/61/98/73/1074619873.db2.gz WSMPHLKNCQCTCB-KRWDZBQOSA-N 0 0 426.451 -0.845 20 0 IBADRN COc1ccc([C@@]2(C)NC(=O)N(NC(=O)CN3CCS(=O)(=O)CCO3)C2=O)cc1 ZINC001473894990 1074619931 /nfs/dbraw/zinc/61/99/31/1074619931.db2.gz WSMPHLKNCQCTCB-QGZVFWFLSA-N 0 0 426.451 -0.845 20 0 IBADRN NS(=O)(=O)c1ccc(CNCCS(=O)(=O)N2CCN(c3ccccn3)CC2)o1 ZINC001473936337 1074619961 /nfs/dbraw/zinc/61/99/61/1074619961.db2.gz RHKRFVCALOJYBE-UHFFFAOYSA-N 0 0 429.524 -0.436 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N[C@@H]3CCS(=O)(=O)C3)CC2)c(C2CCOCC2)n1 ZINC001474324440 1074619859 /nfs/dbraw/zinc/61/98/59/1074619859.db2.gz UUVSMTFTYPRBGZ-GOSISDBHSA-N 0 0 439.582 -0.265 20 0 IBADRN Cn1cc(CN2CCN(CC(=O)N[C@H]3CCS(=O)(=O)C3)CC2)c(C2CCOCC2)n1 ZINC001474324441 1074619949 /nfs/dbraw/zinc/61/99/49/1074619949.db2.gz UUVSMTFTYPRBGZ-SFHVURJKSA-N 0 0 439.582 -0.265 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN([C@H]2CCC3(C[C@@H]2F)OCCO3)CC1 ZINC001474342237 1074619934 /nfs/dbraw/zinc/61/99/34/1074619934.db2.gz RUEONHBJYPVPJH-KKUMJFAQSA-N 0 0 426.532 -0.245 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN([C@@H]2CCC3(C[C@H]2F)OCCO3)CC1 ZINC001474342238 1074619843 /nfs/dbraw/zinc/61/98/43/1074619843.db2.gz RUEONHBJYPVPJH-RRFJBIMHSA-N 0 0 426.532 -0.245 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN([C@@H]2CCC3(C[C@@H]2F)OCCO3)CC1 ZINC001474342239 1074619897 /nfs/dbraw/zinc/61/98/97/1074619897.db2.gz RUEONHBJYPVPJH-SOUVJXGZSA-N 0 0 426.532 -0.245 20 0 IBADRN O=S(=O)([C@H]1CCS(=O)(=O)C1)N1CCN([C@H]2CCC3(C[C@H]2F)OCCO3)CC1 ZINC001474342240 1074619939 /nfs/dbraw/zinc/61/99/39/1074619939.db2.gz RUEONHBJYPVPJH-ZNMIVQPWSA-N 0 0 426.532 -0.245 20 0 IBADRN NS(=O)(=O)c1ccc(CN2CCN(C(=O)CN3C(=O)CCC3=O)CC2)cc1Cl ZINC001474363997 1074619942 /nfs/dbraw/zinc/61/99/42/1074619942.db2.gz WLEKOHWSAXAVAF-UHFFFAOYSA-N 0 0 428.898 -0.219 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1cn(C)nc1I ZINC001474382500 1074619952 /nfs/dbraw/zinc/61/99/52/1074619952.db2.gz LOKZLUZFGVPVQJ-JTQLQIEISA-N 0 0 426.280 -0.240 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1cn(C)nc1I ZINC001474382501 1074619891 /nfs/dbraw/zinc/61/98/91/1074619891.db2.gz LOKZLUZFGVPVQJ-SNVBAGLBSA-N 0 0 426.280 -0.240 20 0 IBADRN COCc1cc(CN2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)no1 ZINC001474407074 1074619851 /nfs/dbraw/zinc/61/98/51/1074619851.db2.gz LBOXCQJFXZOGDC-UHFFFAOYSA-N 0 0 430.508 -0.025 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN(C)CCN(C)c1ccc(S(N)(=O)=O)cc1 ZINC001474546326 1074619913 /nfs/dbraw/zinc/61/99/13/1074619913.db2.gz NERAHRFVAUZMDM-UHFFFAOYSA-N 0 0 430.556 -0.247 20 0 IBADRN CN(C)S(=O)(=O)n1ccnc1CN(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001474575789 1074363293 /nfs/dbraw/zinc/36/32/93/1074363293.db2.gz OKFAULJWJYHALS-UHFFFAOYSA-N 0 0 435.503 -0.165 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1Cc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC001474646232 1074619867 /nfs/dbraw/zinc/61/98/67/1074619867.db2.gz BSFDJHVKWUIQEX-JQWIXIFHSA-N 0 0 430.870 -0.345 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(C(F)(F)F)nn1C ZINC001474767820 1074619907 /nfs/dbraw/zinc/61/99/07/1074619907.db2.gz FENSNPIQUYNVSV-SECBINFHSA-N 0 0 432.446 -0.247 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1cc(C(F)(F)F)nn1C ZINC001474767821 1074619882 /nfs/dbraw/zinc/61/98/82/1074619882.db2.gz FENSNPIQUYNVSV-VIFPVBQESA-N 0 0 432.446 -0.247 20 0 IBADRN CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)sc(=O)n2C ZINC001474767875 1074619887 /nfs/dbraw/zinc/61/98/87/1074619887.db2.gz HBBOIVLYZIREAL-LLVKDONJSA-N 0 0 447.560 -0.086 20 0 IBADRN CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)sc(=O)n2C ZINC001474767876 1074620423 /nfs/dbraw/zinc/62/04/23/1074620423.db2.gz HBBOIVLYZIREAL-NSHDSACASA-N 0 0 447.560 -0.086 20 0 IBADRN Cc1ccc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)c1 ZINC001474770550 1074620438 /nfs/dbraw/zinc/62/04/38/1074620438.db2.gz KVTUOPVZEXETQE-UHFFFAOYSA-N 0 0 442.519 -0.202 20 0 IBADRN COCCNC(=O)CNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001474770805 1074620450 /nfs/dbraw/zinc/62/04/50/1074620450.db2.gz QBJITIJIXUDYCI-INIZCTEOSA-N 0 0 429.495 -0.294 20 0 IBADRN COCCNC(=O)CNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001474770806 1074620459 /nfs/dbraw/zinc/62/04/59/1074620459.db2.gz QBJITIJIXUDYCI-MRXNPFEDSA-N 0 0 429.495 -0.294 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001474783477 1074620452 /nfs/dbraw/zinc/62/04/52/1074620452.db2.gz ISUWIOFXOIRFSR-UHFFFAOYSA-N 0 0 439.581 -0.645 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(S(=O)(=O)Cc3ccccc3)CC2)c(=O)[nH]c1=O ZINC001474783836 1074620439 /nfs/dbraw/zinc/62/04/39/1074620439.db2.gz ZIVOYCDIYWLWDD-UHFFFAOYSA-N 0 0 428.492 -0.678 20 0 IBADRN COCCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001474788622 1074620461 /nfs/dbraw/zinc/62/04/61/1074620461.db2.gz PEEFZGZAFKVFDH-KBPBESRZSA-N 0 0 432.586 -0.877 20 0 IBADRN COCCCN([C@H]1CCS(=O)(=O)C1)S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001474788623 1074620446 /nfs/dbraw/zinc/62/04/46/1074620446.db2.gz PEEFZGZAFKVFDH-KGLIPLIRSA-N 0 0 432.586 -0.877 20 0 IBADRN COCCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001474788624 1074620428 /nfs/dbraw/zinc/62/04/28/1074620428.db2.gz PEEFZGZAFKVFDH-UONOGXRCSA-N 0 0 432.586 -0.877 20 0 IBADRN COCCCN([C@@H]1CCS(=O)(=O)C1)S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001474788625 1074620464 /nfs/dbraw/zinc/62/04/64/1074620464.db2.gz PEEFZGZAFKVFDH-ZIAGYGMSSA-N 0 0 432.586 -0.877 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001474789336 1074620457 /nfs/dbraw/zinc/62/04/57/1074620457.db2.gz ITDAWSRDDFSQCC-CHWSQXEVSA-N 0 0 435.499 -0.684 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001474789337 1074620425 /nfs/dbraw/zinc/62/04/25/1074620425.db2.gz ITDAWSRDDFSQCC-OLZOCXBDSA-N 0 0 435.499 -0.684 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001474789338 1074620443 /nfs/dbraw/zinc/62/04/43/1074620443.db2.gz ITDAWSRDDFSQCC-QWHCGFSZSA-N 0 0 435.499 -0.684 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001474789339 1074620455 /nfs/dbraw/zinc/62/04/55/1074620455.db2.gz ITDAWSRDDFSQCC-STQMWFEESA-N 0 0 435.499 -0.684 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCN(CC(=O)N(C)C)CC2)cc1C(=O)OC ZINC001474789825 1074620442 /nfs/dbraw/zinc/62/04/42/1074620442.db2.gz BRAVQOWZUXAVSG-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN COC(=O)C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cnn(C)c1I ZINC001474789920 1074620429 /nfs/dbraw/zinc/62/04/29/1074620429.db2.gz YJWZVKXGOKCVFT-SSDOTTSWSA-N 0 0 442.235 -0.923 20 0 IBADRN COC(=O)C[C@H]1C(=O)NCCN1S(=O)(=O)c1cnn(C)c1I ZINC001474789921 1074620466 /nfs/dbraw/zinc/62/04/66/1074620466.db2.gz YJWZVKXGOKCVFT-ZETCQYMHSA-N 0 0 442.235 -0.923 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001474790090 1074620448 /nfs/dbraw/zinc/62/04/48/1074620448.db2.gz KVMKPRYRKLHRQH-CHWSQXEVSA-N 0 0 439.581 -0.062 20 0 IBADRN C[C@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001474790091 1074620431 /nfs/dbraw/zinc/62/04/31/1074620431.db2.gz KVMKPRYRKLHRQH-OLZOCXBDSA-N 0 0 439.581 -0.062 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001474790092 1074620436 /nfs/dbraw/zinc/62/04/36/1074620436.db2.gz KVMKPRYRKLHRQH-QWHCGFSZSA-N 0 0 439.581 -0.062 20 0 IBADRN C[C@@H](c1ccc(S(N)(=O)=O)cc1)N(C)S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001474790093 1074620828 /nfs/dbraw/zinc/62/08/28/1074620828.db2.gz KVMKPRYRKLHRQH-STQMWFEESA-N 0 0 439.581 -0.062 20 0 IBADRN CN(C)C(=O)CN1CCN(S(=O)(=O)c2cnn3cc(Br)cnc23)CC1 ZINC001474790555 1074620855 /nfs/dbraw/zinc/62/08/55/1074620855.db2.gz UWAGKFDHQMLVRD-UHFFFAOYSA-N 0 0 431.316 -0.114 20 0 IBADRN COC(=O)c1cc(OC)ccc1S(=O)(=O)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001474791184 1074620813 /nfs/dbraw/zinc/62/08/13/1074620813.db2.gz FDTJSQQNEFAHJS-CYBMUJFWSA-N 0 0 432.520 -0.025 20 0 IBADRN COC(=O)c1cc(OC)ccc1S(=O)(=O)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001474791186 1074620865 /nfs/dbraw/zinc/62/08/65/1074620865.db2.gz FDTJSQQNEFAHJS-ZDUSSCGKSA-N 0 0 432.520 -0.025 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)C(F)(F)F)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001474792142 1074620794 /nfs/dbraw/zinc/62/07/94/1074620794.db2.gz RLHOWYCHSYBGCL-LLVKDONJSA-N 0 0 442.503 -0.554 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)C(F)(F)F)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001474792144 1074620843 /nfs/dbraw/zinc/62/08/43/1074620843.db2.gz RLHOWYCHSYBGCL-NSHDSACASA-N 0 0 442.503 -0.554 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN([C@H]3CCS(=O)(=O)C3)CC2)c(Br)n1 ZINC001474792334 1074620889 /nfs/dbraw/zinc/62/08/89/1074620889.db2.gz UFCKVDVSWHBUBO-JTQLQIEISA-N 0 0 427.346 -0.324 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)c(Br)n1 ZINC001474792335 1074620809 /nfs/dbraw/zinc/62/08/09/1074620809.db2.gz UFCKVDVSWHBUBO-SNVBAGLBSA-N 0 0 427.346 -0.324 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)C1 ZINC001474795275 1074620880 /nfs/dbraw/zinc/62/08/80/1074620880.db2.gz OMWOJFTWOGKANR-KBPBESRZSA-N 0 0 427.527 -0.703 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)C1 ZINC001474795276 1074620886 /nfs/dbraw/zinc/62/08/86/1074620886.db2.gz OMWOJFTWOGKANR-OKILXGFUSA-N 0 0 427.527 -0.703 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)C1 ZINC001474795277 1074620875 /nfs/dbraw/zinc/62/08/75/1074620875.db2.gz OMWOJFTWOGKANR-ZIAGYGMSSA-N 0 0 427.527 -0.703 20 0 IBADRN C[C@H]1C[C@H](C)CN(C(=O)CN2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)C1 ZINC001474795344 1074620900 /nfs/dbraw/zinc/62/09/00/1074620900.db2.gz PQLHUUZLEYBEGN-HOTGVXAUSA-N 0 0 438.616 -0.493 20 0 IBADRN C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)C1 ZINC001474795346 1074620862 /nfs/dbraw/zinc/62/08/62/1074620862.db2.gz PQLHUUZLEYBEGN-HZPDHXFCSA-N 0 0 438.616 -0.493 20 0 IBADRN C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)C1 ZINC001474795347 1074620868 /nfs/dbraw/zinc/62/08/68/1074620868.db2.gz PQLHUUZLEYBEGN-IYBDPMFKSA-N 0 0 438.616 -0.493 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNS(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001474807104 1074620850 /nfs/dbraw/zinc/62/08/50/1074620850.db2.gz MZWWSBBQQAFBBA-UHFFFAOYSA-N 0 0 425.554 -0.752 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NC2CCN(CC(=O)Nc3ccccc3)CC2)C1 ZINC001474810549 1074620838 /nfs/dbraw/zinc/62/08/38/1074620838.db2.gz CGJYJWUEPZXFGW-UHFFFAOYSA-N 0 0 444.579 -0.100 20 0 IBADRN COC[C@H](C)S(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001474810635 1074620822 /nfs/dbraw/zinc/62/08/22/1074620822.db2.gz GXFRGCRHXRZLKZ-HNNXBMFYSA-N 0 0 448.567 -0.411 20 0 IBADRN COC[C@@H](C)S(=O)(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001474810636 1074620894 /nfs/dbraw/zinc/62/08/94/1074620894.db2.gz GXFRGCRHXRZLKZ-OAHLLOKOSA-N 0 0 448.567 -0.411 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(CC(=O)NCc3ccccc3)CC2)C1 ZINC001474810810 1074620834 /nfs/dbraw/zinc/62/08/34/1074620834.db2.gz NISFWKURGWRATA-UHFFFAOYSA-N 0 0 444.579 -0.858 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c1C(F)(F)F ZINC001474811117 1074620803 /nfs/dbraw/zinc/62/08/03/1074620803.db2.gz VRLBKKZTTOGHQB-UHFFFAOYSA-N 0 0 425.433 -0.396 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CCN(CC(=O)NCc2ccccc2)CC1 ZINC001474811281 1074621328 /nfs/dbraw/zinc/62/13/28/1074621328.db2.gz XMWXUJZVHHNVTH-UHFFFAOYSA-N 0 0 432.568 -0.681 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)cc1OC ZINC001474812098 1074621280 /nfs/dbraw/zinc/62/12/80/1074621280.db2.gz LPGAHNREIIPBIO-UHFFFAOYSA-N 0 0 438.462 -0.350 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)cc1 ZINC001474814469 1074621332 /nfs/dbraw/zinc/62/13/32/1074621332.db2.gz IUKCARJQJRBWLV-UHFFFAOYSA-N 0 0 425.554 -0.916 20 0 IBADRN COc1cccc(C(=O)N2CCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)CC2)c1 ZINC001474819677 1074621308 /nfs/dbraw/zinc/62/13/08/1074621308.db2.gz NPSMSKYTLJHYDL-UHFFFAOYSA-N 0 0 431.536 -0.326 20 0 IBADRN COc1nscc1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC001474820217 1074621241 /nfs/dbraw/zinc/62/12/41/1074621241.db2.gz UTYOEADPOUEARA-RYUDHWBXSA-N 0 0 440.569 -0.188 20 0 IBADRN COc1nscc1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC001474820218 1074621248 /nfs/dbraw/zinc/62/12/48/1074621248.db2.gz UTYOEADPOUEARA-TXEJJXNPSA-N 0 0 440.569 -0.188 20 0 IBADRN COc1nscc1S(=O)(=O)N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC001474820219 1074621275 /nfs/dbraw/zinc/62/12/75/1074621275.db2.gz UTYOEADPOUEARA-VXGBXAGGSA-N 0 0 440.569 -0.188 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc4n3CCCC4)CC2)C[C@H](C)O1 ZINC001474820509 1074621337 /nfs/dbraw/zinc/62/13/37/1074621337.db2.gz ZFSSNMKFXOTTQD-GASCZTMLSA-N 0 0 447.583 -0.120 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc4n3CCCC4)CC2)C[C@H](C)O1 ZINC001474820514 1074621260 /nfs/dbraw/zinc/62/12/60/1074621260.db2.gz ZFSSNMKFXOTTQD-GJZGRUSLSA-N 0 0 447.583 -0.120 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(S(=O)(=O)c3cnc4n3CCCC4)CC2)C[C@@H](C)O1 ZINC001474820515 1074621318 /nfs/dbraw/zinc/62/13/18/1074621318.db2.gz ZFSSNMKFXOTTQD-HUUCEWRRSA-N 0 0 447.583 -0.120 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NC2CCN(S(C)(=O)=O)CC2)cc1C(=O)OC ZINC001474821541 1074621223 /nfs/dbraw/zinc/62/12/23/1074621223.db2.gz HKCCAMOJTQEGHN-UHFFFAOYSA-N 0 0 434.492 -0.038 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(S(=O)(=O)CC3CN(S(C)(=O)=O)C3)CC2)nc1 ZINC001474821591 1074621290 /nfs/dbraw/zinc/62/12/90/1074621290.db2.gz JHIRCZDKMLWIGP-UHFFFAOYSA-N 0 0 446.551 -0.399 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CC[C@H](C4CC4)O3)CC2)CC1 ZINC001474824304 1074621298 /nfs/dbraw/zinc/62/12/98/1074621298.db2.gz DQZSJHFEEQZOOK-DLBZAZTESA-N 0 0 436.600 -0.616 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CC[C@H](C4CC4)O3)CC2)CC1 ZINC001474824305 1074621254 /nfs/dbraw/zinc/62/12/54/1074621254.db2.gz DQZSJHFEEQZOOK-IAGOWNOFSA-N 0 0 436.600 -0.616 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@@H]3CC[C@@H](C4CC4)O3)CC2)CC1 ZINC001474824306 1074621340 /nfs/dbraw/zinc/62/13/40/1074621340.db2.gz DQZSJHFEEQZOOK-IRXDYDNUSA-N 0 0 436.600 -0.616 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)C[C@H]3CC[C@@H](C4CC4)O3)CC2)CC1 ZINC001474824307 1074621304 /nfs/dbraw/zinc/62/13/04/1074621304.db2.gz DQZSJHFEEQZOOK-SJORKVTESA-N 0 0 436.600 -0.616 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3C[C@H]3c3ccccc3)CC2)CC1 ZINC001474824664 1074621322 /nfs/dbraw/zinc/62/13/22/1074621322.db2.gz IZOSNRBLUOUUQV-ZWKOTPCHSA-N 0 0 428.580 -0.018 20 0 IBADRN CC(C)Oc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)cn1 ZINC001474824859 1074621742 /nfs/dbraw/zinc/62/17/42/1074621742.db2.gz LRFMNSOIEPYFEH-UHFFFAOYSA-N 0 0 447.583 -0.333 20 0 IBADRN CO[C@@H](CC(C)C)CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001474825314 1074621601 /nfs/dbraw/zinc/62/16/01/1074621601.db2.gz QOZJVSUHXYWRTP-INIZCTEOSA-N 0 0 426.605 -0.513 20 0 IBADRN CO[C@H](CC(C)C)CS(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001474825315 1074621731 /nfs/dbraw/zinc/62/17/31/1074621731.db2.gz QOZJVSUHXYWRTP-MRXNPFEDSA-N 0 0 426.605 -0.513 20 0 IBADRN COc1c(F)cccc1S(=O)(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1 ZINC001474825888 1074621677 /nfs/dbraw/zinc/62/16/77/1074621677.db2.gz YXKTYPPQUSQZAR-UHFFFAOYSA-N 0 0 436.531 -0.367 20 0 IBADRN CN1CCN(C(=O)c2ccc(CNS(=O)(=O)CC3CN(S(C)(=O)=O)C3)cc2)CC1 ZINC001474834121 1074621627 /nfs/dbraw/zinc/62/16/27/1074621627.db2.gz VZHGUAMRMFQEGD-UHFFFAOYSA-N 0 0 444.579 -0.615 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N(C)CC(=O)N[C@@H]2CCS(=O)(=O)C2)cc1F ZINC001474834493 1074621661 /nfs/dbraw/zinc/62/16/61/1074621661.db2.gz DGLNEGWGECNHEG-GFCCVEGCSA-N 0 0 436.483 -0.535 20 0 IBADRN COC(=O)Cc1ccc(S(=O)(=O)N(C)CC(=O)N[C@H]2CCS(=O)(=O)C2)cc1F ZINC001474834494 1074621724 /nfs/dbraw/zinc/62/17/24/1074621724.db2.gz DGLNEGWGECNHEG-LBPRGKRZSA-N 0 0 436.483 -0.535 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1ccc(-n2cccn2)cc1 ZINC001474834653 1074621714 /nfs/dbraw/zinc/62/17/14/1074621714.db2.gz GSIQAYVSXNQCCA-HNNXBMFYSA-N 0 0 426.520 -0.063 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)Cc1ccc(-n2cccn2)cc1 ZINC001474834654 1074621612 /nfs/dbraw/zinc/62/16/12/1074621612.db2.gz GSIQAYVSXNQCCA-OAHLLOKOSA-N 0 0 426.520 -0.063 20 0 IBADRN CO[C@@H](CS(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)[C@@H]1CCOC1 ZINC001474834655 1074621639 /nfs/dbraw/zinc/62/16/39/1074621639.db2.gz GTIFCJXROKKLKW-PBHICJAKSA-N 0 0 433.552 -0.163 20 0 IBADRN CO[C@H](CS(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)[C@@H]1CCOC1 ZINC001474834656 1074621582 /nfs/dbraw/zinc/62/15/82/1074621582.db2.gz GTIFCJXROKKLKW-RHSMWYFYSA-N 0 0 433.552 -0.163 20 0 IBADRN CO[C@H](CS(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)[C@H]1CCOC1 ZINC001474834657 1074621592 /nfs/dbraw/zinc/62/15/92/1074621592.db2.gz GTIFCJXROKKLKW-WMLDXEAASA-N 0 0 433.552 -0.163 20 0 IBADRN CO[C@@H](CS(=O)(=O)N1CCN(c2ccc(S(N)(=O)=O)cc2)CC1)[C@H]1CCOC1 ZINC001474834658 1074621621 /nfs/dbraw/zinc/62/16/21/1074621621.db2.gz GTIFCJXROKKLKW-YOEHRIQHSA-N 0 0 433.552 -0.163 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(S(=O)(=O)CCOC3CCOCC3)CC2)cc1 ZINC001474835239 1074621705 /nfs/dbraw/zinc/62/17/05/1074621705.db2.gz NJJOYEVLODFQSV-UHFFFAOYSA-N 0 0 433.552 -0.019 20 0 IBADRN CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)sc(=O)n2C ZINC001474835655 1074621586 /nfs/dbraw/zinc/62/15/86/1074621586.db2.gz SARODIVMSUUVNW-JTQLQIEISA-N 0 0 433.533 -0.476 20 0 IBADRN CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc2c(c1)sc(=O)n2C ZINC001474835658 1074621646 /nfs/dbraw/zinc/62/16/46/1074621646.db2.gz SARODIVMSUUVNW-SNVBAGLBSA-N 0 0 433.533 -0.476 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC001474835931 1074621698 /nfs/dbraw/zinc/62/16/98/1074621698.db2.gz TZJWNGZPPLCJKS-UHFFFAOYSA-N 0 0 437.515 -0.430 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc2c1OC(F)(F)O2)N[C@@H]1CCS(=O)(=O)C1 ZINC001474836924 1074622184 /nfs/dbraw/zinc/62/21/84/1074622184.db2.gz USOTWIWCKPKLKO-SECBINFHSA-N 0 0 426.419 -0.020 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc2c1OC(F)(F)O2)N[C@H]1CCS(=O)(=O)C1 ZINC001474836925 1074622238 /nfs/dbraw/zinc/62/22/38/1074622238.db2.gz USOTWIWCKPKLKO-VIFPVBQESA-N 0 0 426.419 -0.020 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)N1CCCOCC1)N1CCN(c2ccccn2)CC1 ZINC001474837102 1074622198 /nfs/dbraw/zinc/62/21/98/1074622198.db2.gz BOQVOVAZSAUUCV-UHFFFAOYSA-N 0 0 433.556 -0.910 20 0 IBADRN COc1cc(CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)ccc1OCC(=O)N(C)C ZINC001474841352 1074622252 /nfs/dbraw/zinc/62/22/52/1074622252.db2.gz QUVIEOYQNHKNLK-UHFFFAOYSA-N 0 0 449.551 -0.527 20 0 IBADRN COC(=O)c1ncccc1S(=O)(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001474843335 1074622167 /nfs/dbraw/zinc/62/21/67/1074622167.db2.gz COSSRDSKHLAWPK-UHFFFAOYSA-N 0 0 438.506 -0.007 20 0 IBADRN COC1(CS(=O)(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)CCOCC1 ZINC001474843899 1074622230 /nfs/dbraw/zinc/62/22/30/1074622230.db2.gz RDRLEKQURMFUJI-UHFFFAOYSA-N 0 0 431.555 -0.052 20 0 IBADRN COCC(=O)N1CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001474844042 1074622263 /nfs/dbraw/zinc/62/22/63/1074622263.db2.gz JJOZSQVLLWYMEW-UHFFFAOYSA-N 0 0 439.490 -0.580 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)n(C)c1 ZINC001474844152 1074622243 /nfs/dbraw/zinc/62/22/43/1074622243.db2.gz XHYCYAGGSZZGCJ-UHFFFAOYSA-N 0 0 440.522 -0.064 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)NCCC(=O)N2CCN(C)CC2)cc1C(=O)OC ZINC001474847440 1074622268 /nfs/dbraw/zinc/62/22/68/1074622268.db2.gz GICXYWXYNNZEFN-UHFFFAOYSA-N 0 0 427.479 -0.298 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)n(C)c1 ZINC001474848423 1074622189 /nfs/dbraw/zinc/62/21/89/1074622189.db2.gz UYWFQERGXQYCQU-UHFFFAOYSA-N 0 0 434.474 -0.138 20 0 IBADRN CN(C)C(=O)COc1cccc(CNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001474849972 1074622259 /nfs/dbraw/zinc/62/22/59/1074622259.db2.gz YIDOGJPBPMGTFJ-HNNXBMFYSA-N 0 0 433.552 -0.146 20 0 IBADRN CN(C)C(=O)COc1cccc(CNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)c1 ZINC001474849975 1074622246 /nfs/dbraw/zinc/62/22/46/1074622246.db2.gz YIDOGJPBPMGTFJ-OAHLLOKOSA-N 0 0 433.552 -0.146 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(CCNS(=O)(=O)CCCCS(N)(=O)=O)CC1 ZINC001474850916 1074622171 /nfs/dbraw/zinc/62/21/71/1074622171.db2.gz OLGUMHRLPNGCSD-UHFFFAOYSA-N 0 0 428.577 -0.473 20 0 IBADRN Cc1oc(C(N)=O)cc1S(=O)(=O)N1CCC(C(=O)NCCCN2CCOCC2)CC1 ZINC001474851997 1074622160 /nfs/dbraw/zinc/62/21/60/1074622160.db2.gz ONIYLCBQNWXUGR-UHFFFAOYSA-N 0 0 442.538 -0.074 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)sn1 ZINC001474853744 1074622227 /nfs/dbraw/zinc/62/22/27/1074622227.db2.gz GRTCYWFDDAZSDM-LLVKDONJSA-N 0 0 429.567 -0.725 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)sn1 ZINC001474853748 1074622234 /nfs/dbraw/zinc/62/22/34/1074622234.db2.gz GRTCYWFDDAZSDM-NSHDSACASA-N 0 0 429.567 -0.725 20 0 IBADRN C[C@@]1(CS(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1(F)F ZINC001474854041 1074622177 /nfs/dbraw/zinc/62/21/77/1074622177.db2.gz OWVSHTDNBRTBCR-NEPJUHHUSA-N 0 0 436.524 -0.504 20 0 IBADRN C[C@]1(CS(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1(F)F ZINC001474854042 1074622192 /nfs/dbraw/zinc/62/21/92/1074622192.db2.gz OWVSHTDNBRTBCR-NWDGAFQWSA-N 0 0 436.524 -0.504 20 0 IBADRN C[C@@]1(CS(=O)(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)CC1(F)F ZINC001474854043 1074622754 /nfs/dbraw/zinc/62/27/54/1074622754.db2.gz OWVSHTDNBRTBCR-RYUDHWBXSA-N 0 0 436.524 -0.504 20 0 IBADRN C[C@]1(CS(=O)(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)CC1(F)F ZINC001474854044 1074622727 /nfs/dbraw/zinc/62/27/27/1074622727.db2.gz OWVSHTDNBRTBCR-VXGBXAGGSA-N 0 0 436.524 -0.504 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(S(=O)(=O)c2nc[nH]c2Br)CC1 ZINC001474855818 1074622784 /nfs/dbraw/zinc/62/27/84/1074622784.db2.gz BMMHVUKKMFBWAO-UHFFFAOYSA-N 0 0 434.272 -0.846 20 0 IBADRN Cn1nc(C(F)(F)F)cc1S(=O)(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001474855884 1074622795 /nfs/dbraw/zinc/62/27/95/1074622795.db2.gz CQRFBMUCNRTEOD-UHFFFAOYSA-N 0 0 437.400 -0.579 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)c(C(C)(C)C)n1 ZINC001474856511 1074622689 /nfs/dbraw/zinc/62/26/89/1074622689.db2.gz NHGQYRYTVDULNQ-UHFFFAOYSA-N 0 0 425.511 -0.301 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)c(OC)c1 ZINC001474865309 1074622721 /nfs/dbraw/zinc/62/27/21/1074622721.db2.gz LUMXODGJSOZGRF-UHFFFAOYSA-N 0 0 449.551 -0.140 20 0 IBADRN COc1ccc(C(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)c(OC)c1 ZINC001474865318 1074622746 /nfs/dbraw/zinc/62/27/46/1074622746.db2.gz MBRROTNVDKBYRD-UHFFFAOYSA-N 0 0 438.462 -0.350 20 0 IBADRN CS(=O)(=O)N1CCN(CCNS(=O)(=O)c2cccc3c2OC(F)(F)O3)CC1 ZINC001474878735 1074622806 /nfs/dbraw/zinc/62/28/06/1074622806.db2.gz ZAOSACZFNIUTEN-UHFFFAOYSA-N 0 0 427.451 -0.136 20 0 IBADRN CCOC(=O)c1ccc(N2CCCN(S(=O)(=O)CCS(=O)(=O)N(C)C)CC2)nc1 ZINC001474880007 1074622731 /nfs/dbraw/zinc/62/27/31/1074622731.db2.gz WYEKAGBYRGODFX-UHFFFAOYSA-N 0 0 448.567 -0.008 20 0 IBADRN CCOC(=O)CN(CC(N)=O)S(=O)(=O)c1c(C)nn2cc(Br)cnc12 ZINC001474881533 1074622695 /nfs/dbraw/zinc/62/26/95/1074622695.db2.gz NJSLFVNYWDGBQJ-UHFFFAOYSA-N 0 0 434.272 -0.161 20 0 IBADRN Cc1cc(S(=O)(=O)N2CCN(S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)CC2)c(C)s1 ZINC001474887390 1074622664 /nfs/dbraw/zinc/62/26/64/1074622664.db2.gz GCAOFGAQAVYTEP-UHFFFAOYSA-N 0 0 448.548 -0.141 20 0 IBADRN NC(=O)c1cccnc1N1CCN(S(=O)(=O)c2ccc3c(c2)C(=O)NCCO3)CC1 ZINC001474889525 1074622768 /nfs/dbraw/zinc/62/27/68/1074622768.db2.gz VWLQIIKJRGPJNU-UHFFFAOYSA-N 0 0 431.474 -0.186 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC001474900050 1074622699 /nfs/dbraw/zinc/62/26/99/1074622699.db2.gz QLMXCZXBJURFFQ-CYBMUJFWSA-N 0 0 425.554 -0.834 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NCCNS(=O)(=O)c2ccccc2)C1 ZINC001474900052 1074622670 /nfs/dbraw/zinc/62/26/70/1074622670.db2.gz QLMXCZXBJURFFQ-ZDUSSCGKSA-N 0 0 425.554 -0.834 20 0 IBADRN CCS(=O)(=O)NCCCNS(=O)(=O)c1cnn(C)c1I ZINC001474900585 1074622648 /nfs/dbraw/zinc/62/26/48/1074622648.db2.gz DRMRJYUIQXLYAL-UHFFFAOYSA-N 0 0 436.297 -0.368 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc2c(c1)OC(F)(F)O2 ZINC001474902487 1074622740 /nfs/dbraw/zinc/62/27/40/1074622740.db2.gz AZYKOFUCLWHVJC-NEPJUHHUSA-N 0 0 440.446 -0.216 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc2c(c1)OC(F)(F)O2 ZINC001474902488 1074622801 /nfs/dbraw/zinc/62/28/01/1074622801.db2.gz AZYKOFUCLWHVJC-NWDGAFQWSA-N 0 0 440.446 -0.216 20 0 IBADRN O=S(=O)(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc2c(c1)OC(F)(F)O2 ZINC001474902489 1074622776 /nfs/dbraw/zinc/62/27/76/1074622776.db2.gz AZYKOFUCLWHVJC-RYUDHWBXSA-N 0 0 440.446 -0.216 20 0 IBADRN O=S(=O)(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc2c(c1)OC(F)(F)O2 ZINC001474902490 1074623201 /nfs/dbraw/zinc/62/32/01/1074623201.db2.gz AZYKOFUCLWHVJC-VXGBXAGGSA-N 0 0 440.446 -0.216 20 0 IBADRN C[C@]1(c2cccc(CNS(=O)(=O)C[C@@H]3CCCS(=O)(=O)C3)c2)NC(=O)NC1=O ZINC001474916813 1074623212 /nfs/dbraw/zinc/62/32/12/1074623212.db2.gz ZMYFEVKTEIRKHV-CXAGYDPISA-N 0 0 429.520 -0.015 20 0 IBADRN C[C@@]1(c2cccc(CNS(=O)(=O)C[C@@H]3CCCS(=O)(=O)C3)c2)NC(=O)NC1=O ZINC001474916814 1074623145 /nfs/dbraw/zinc/62/31/45/1074623145.db2.gz ZMYFEVKTEIRKHV-DYVFJYSZSA-N 0 0 429.520 -0.015 20 0 IBADRN C[C@@]1(c2cccc(CNS(=O)(=O)C[C@H]3CCCS(=O)(=O)C3)c2)NC(=O)NC1=O ZINC001474916815 1074623163 /nfs/dbraw/zinc/62/31/63/1074623163.db2.gz ZMYFEVKTEIRKHV-GUYCJALGSA-N 0 0 429.520 -0.015 20 0 IBADRN C[C@]1(c2cccc(CNS(=O)(=O)C[C@H]3CCCS(=O)(=O)C3)c2)NC(=O)NC1=O ZINC001474916816 1074623216 /nfs/dbraw/zinc/62/32/16/1074623216.db2.gz ZMYFEVKTEIRKHV-SUMWQHHRSA-N 0 0 429.520 -0.015 20 0 IBADRN C[C@]1(c2ccc(CNS(=O)(=O)C[C@@H]3CCCS(=O)(=O)C3)cc2)NC(=O)NC1=O ZINC001474918989 1074623172 /nfs/dbraw/zinc/62/31/72/1074623172.db2.gz VXDHYHISEDCRPC-CXAGYDPISA-N 0 0 429.520 -0.015 20 0 IBADRN C[C@@]1(c2ccc(CNS(=O)(=O)C[C@@H]3CCCS(=O)(=O)C3)cc2)NC(=O)NC1=O ZINC001474918990 1074623122 /nfs/dbraw/zinc/62/31/22/1074623122.db2.gz VXDHYHISEDCRPC-DYVFJYSZSA-N 0 0 429.520 -0.015 20 0 IBADRN C[C@@]1(c2ccc(CNS(=O)(=O)C[C@H]3CCCS(=O)(=O)C3)cc2)NC(=O)NC1=O ZINC001474918991 1074623158 /nfs/dbraw/zinc/62/31/58/1074623158.db2.gz VXDHYHISEDCRPC-GUYCJALGSA-N 0 0 429.520 -0.015 20 0 IBADRN C[C@]1(c2ccc(CNS(=O)(=O)C[C@H]3CCCS(=O)(=O)C3)cc2)NC(=O)NC1=O ZINC001474918992 1074623226 /nfs/dbraw/zinc/62/32/26/1074623226.db2.gz VXDHYHISEDCRPC-SUMWQHHRSA-N 0 0 429.520 -0.015 20 0 IBADRN O=C(CCNS(=O)(=O)c1nc[nH]c1Br)N1CCN(c2ncccn2)CC1 ZINC001474922493 1074623205 /nfs/dbraw/zinc/62/32/05/1074623205.db2.gz BCIFAEWCTGULNP-UHFFFAOYSA-N 0 0 444.315 -0.021 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(S(=O)(=O)CCCCS(N)(=O)=O)CC2)cc1 ZINC001474923035 1074623190 /nfs/dbraw/zinc/62/31/90/1074623190.db2.gz IGXJFZWVSIGRHJ-UHFFFAOYSA-N 0 0 433.552 -0.083 20 0 IBADRN O=C(CCNS(=O)(=O)C[C@H]1CCCS(=O)(=O)C1)N1CCN(c2ncccn2)CC1 ZINC001474923475 1074623165 /nfs/dbraw/zinc/62/31/65/1074623165.db2.gz PHNYROHXGABGNX-HNNXBMFYSA-N 0 0 445.567 -0.741 20 0 IBADRN O=C(CCNS(=O)(=O)C[C@@H]1CCCS(=O)(=O)C1)N1CCN(c2ncccn2)CC1 ZINC001474923476 1074623114 /nfs/dbraw/zinc/62/31/14/1074623114.db2.gz PHNYROHXGABGNX-OAHLLOKOSA-N 0 0 445.567 -0.741 20 0 IBADRN Cn1cc(S(=O)(=O)NC2CCN(CCS(C)(=O)=O)CC2)c(Br)n1 ZINC001474938462 1074623178 /nfs/dbraw/zinc/62/31/78/1074623178.db2.gz ALWALGMUFZOZFH-UHFFFAOYSA-N 0 0 429.362 -0.030 20 0 IBADRN Cn1ncc(S(=O)(=O)NCC(C)(C)NS(C)(=O)=O)c1I ZINC001474939413 1074623129 /nfs/dbraw/zinc/62/31/29/1074623129.db2.gz RASUMBUKRBVDEN-UHFFFAOYSA-N 0 0 436.297 -0.369 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@@H](NS(=O)(=O)c3cccc(F)c3)C2)c(=O)[nH]c1=O ZINC001474943073 1074623221 /nfs/dbraw/zinc/62/32/21/1074623221.db2.gz PPCIJSKVRFSPON-GFCCVEGCSA-N 0 0 446.482 -0.243 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC[C@H](NS(=O)(=O)c3cccc(F)c3)C2)c(=O)[nH]c1=O ZINC001474943074 1074623610 /nfs/dbraw/zinc/62/36/10/1074623610.db2.gz PPCIJSKVRFSPON-LBPRGKRZSA-N 0 0 446.482 -0.243 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC001474943191 1074623547 /nfs/dbraw/zinc/62/35/47/1074623547.db2.gz SEBBMORRCFNPHT-AWEZNQCLSA-N 0 0 445.542 -0.258 20 0 IBADRN CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)N1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC001474943195 1074623686 /nfs/dbraw/zinc/62/36/86/1074623686.db2.gz SEBBMORRCFNPHT-CQSZACIVSA-N 0 0 445.542 -0.258 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCCCCN2CCN(c3ncccn3)CC2)C1 ZINC001474943622 1074623709 /nfs/dbraw/zinc/62/37/09/1074623709.db2.gz ADPGLLFASACFHP-UHFFFAOYSA-N 0 0 446.599 -0.810 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccc2c(c1)CS(=O)(=O)C2)N1CCSCC1 ZINC001474943857 1074623770 /nfs/dbraw/zinc/62/37/70/1074623770.db2.gz KLGMRLDTITYKAL-UHFFFAOYSA-N 0 0 440.590 -0.228 20 0 IBADRN CN1CCN(S(=O)(=O)N[C@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC001474944557 1074623722 /nfs/dbraw/zinc/62/37/22/1074623722.db2.gz LMBFBGMEWJGFOV-INIZCTEOSA-N 0 0 425.559 -0.636 20 0 IBADRN CN1CCN(S(=O)(=O)N[C@@H]2CCCN(c3cc(N4CCOCC4)ncn3)C2)CC1 ZINC001474944558 1074623761 /nfs/dbraw/zinc/62/37/61/1074623761.db2.gz LMBFBGMEWJGFOV-MRXNPFEDSA-N 0 0 425.559 -0.636 20 0 IBADRN O=S(=O)(N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCCOCC1 ZINC001474944738 1074623558 /nfs/dbraw/zinc/62/35/58/1074623558.db2.gz NKPDDORZBHXUAD-INIZCTEOSA-N 0 0 426.543 -0.161 20 0 IBADRN O=S(=O)(N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1)N1CCCOCC1 ZINC001474944741 1074623626 /nfs/dbraw/zinc/62/36/26/1074623626.db2.gz NKPDDORZBHXUAD-MRXNPFEDSA-N 0 0 426.543 -0.161 20 0 IBADRN NC(=O)C1CCN(c2ncccc2CNS(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC001474944796 1074623619 /nfs/dbraw/zinc/62/36/19/1074623619.db2.gz DLDXQGVHMUEJMA-UHFFFAOYSA-N 0 0 430.552 -0.220 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)NCCCN1C(=O)CNC1=O ZINC001474952680 1074249640 /nfs/dbraw/zinc/24/96/40/1074249640.db2.gz JIKFWPZNZIVFDM-UHFFFAOYSA-N 0 0 447.271 -0.279 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCN(CCNC(=O)c3ccccc3F)CC2)c(=O)[nH]c1=O ZINC001474955764 1074623690 /nfs/dbraw/zinc/62/36/90/1074623690.db2.gz XUQSTFCTJCEVKM-UHFFFAOYSA-N 0 0 439.469 -0.639 20 0 IBADRN Cn1cc(S(=O)(=O)NCCN2CCN(C(=O)C3CCCCC3)CC2)c(=O)[nH]c1=O ZINC001474957623 1074623667 /nfs/dbraw/zinc/62/36/67/1074623667.db2.gz GHRSCMSWFMEFQB-UHFFFAOYSA-N 0 0 427.527 -0.511 20 0 IBADRN Cn1ccc(S(=O)(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)n1 ZINC001474964710 1074623777 /nfs/dbraw/zinc/62/37/77/1074623777.db2.gz QBHJRYGJEYRKPJ-UHFFFAOYSA-N 0 0 441.491 -0.554 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(N(CC(F)(F)F)S(C)(=O)=O)CC2)c(=O)[nH]c1=O ZINC001474975207 1074623506 /nfs/dbraw/zinc/62/35/06/1074623506.db2.gz IXFPSIPQPFVEQQ-UHFFFAOYSA-N 0 0 448.445 -0.537 20 0 IBADRN CN1C(=O)Cc2cc(S(=O)(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)ccc21 ZINC001474975330 1074623741 /nfs/dbraw/zinc/62/37/41/1074623741.db2.gz IKHNVWXQYDNPOZ-AWEZNQCLSA-N 0 0 431.536 -0.028 20 0 IBADRN CN1C(=O)Cc2cc(S(=O)(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)ccc21 ZINC001474975331 1074624068 /nfs/dbraw/zinc/62/40/68/1074624068.db2.gz IKHNVWXQYDNPOZ-CQSZACIVSA-N 0 0 431.536 -0.028 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)NCC2CCN(S(=O)(=O)C(F)F)CC2)C1 ZINC001474975398 1074623967 /nfs/dbraw/zinc/62/39/67/1074623967.db2.gz LUVNCGUFSWNAJC-UHFFFAOYSA-N 0 0 439.528 -0.938 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1nc[nH]c1Br)NC[C@H]1CCCCO1 ZINC001474976428 1074623984 /nfs/dbraw/zinc/62/39/84/1074623984.db2.gz UXVYFMOANZZTNU-SECBINFHSA-N 0 0 431.334 -0.061 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1nc[nH]c1Br)NC[C@@H]1CCCCO1 ZINC001474976562 1074624100 /nfs/dbraw/zinc/62/41/00/1074624100.db2.gz UXVYFMOANZZTNU-VIFPVBQESA-N 0 0 431.334 -0.061 20 0 IBADRN CN(C1CCOCC1)S(=O)(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001474987335 1074624075 /nfs/dbraw/zinc/62/40/75/1074624075.db2.gz OUSBRZHXIALACI-UHFFFAOYSA-N 0 0 425.573 -0.095 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)C1 ZINC001474990456 1074624037 /nfs/dbraw/zinc/62/40/37/1074624037.db2.gz SWTBLQLHUMBGQH-AWEZNQCLSA-N 0 0 430.552 -0.481 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCN(c3ccc(C(N)=O)cc3)CC2)C1 ZINC001474990465 1074624046 /nfs/dbraw/zinc/62/40/46/1074624046.db2.gz SWTBLQLHUMBGQH-CQSZACIVSA-N 0 0 430.552 -0.481 20 0 IBADRN CC(=O)NC[C@@H]1CN(S(=O)(=O)c2cnn(C)c2I)CCO1 ZINC001474992070 1074624098 /nfs/dbraw/zinc/62/40/98/1074624098.db2.gz LZRHTYWQMMRPAC-SECBINFHSA-N 0 0 428.252 -0.450 20 0 IBADRN CC(=O)NC[C@H]1CN(S(=O)(=O)c2cnn(C)c2I)CCO1 ZINC001474992071 1074624029 /nfs/dbraw/zinc/62/40/29/1074624029.db2.gz LZRHTYWQMMRPAC-VIFPVBQESA-N 0 0 428.252 -0.450 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNS(=O)(=O)C1CCS(=O)(=O)CC1 ZINC001474994445 1074624001 /nfs/dbraw/zinc/62/40/01/1074624001.db2.gz ATWHJZCGFRNHRB-UHFFFAOYSA-N 0 0 440.565 -0.704 20 0 IBADRN COC1(CS(=O)(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)CCOCC1 ZINC001474996043 1074623954 /nfs/dbraw/zinc/62/39/54/1074623954.db2.gz PFHSBALTIQHQPA-UHFFFAOYSA-N 0 0 436.552 -0.086 20 0 IBADRN Cn1ccnc1[C@](O)(CCNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)C(F)(F)F ZINC001475006255 1074624015 /nfs/dbraw/zinc/62/40/15/1074624015.db2.gz XKMBECPFNWRNFR-GFCCVEGCSA-N 0 0 434.462 -0.629 20 0 IBADRN Cn1ccnc1[C@@](O)(CCNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)C(F)(F)F ZINC001475006258 1074624117 /nfs/dbraw/zinc/62/41/17/1074624117.db2.gz XKMBECPFNWRNFR-LBPRGKRZSA-N 0 0 434.462 -0.629 20 0 IBADRN CCC(=O)N1CCc2ccc(S(=O)(=O)N3CCN(CCS(N)(=O)=O)CC3)cc21 ZINC001475012657 1074624108 /nfs/dbraw/zinc/62/41/08/1074624108.db2.gz BHBDWJSSQZVOID-UHFFFAOYSA-N 0 0 430.552 -0.420 20 0 IBADRN NS(=O)(=O)CCN1CCN(S(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CC3)CC1 ZINC001475013141 1074255194 /nfs/dbraw/zinc/25/51/94/1074255194.db2.gz JLGJKFHHIOIPCD-UHFFFAOYSA-N 0 0 428.536 -0.883 20 0 IBADRN COc1cc(OC)c(S(=O)(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1Cl ZINC001475013277 1074255180 /nfs/dbraw/zinc/25/51/80/1074255180.db2.gz MFLRSTHSWZPYNA-UHFFFAOYSA-N 0 0 427.932 -0.048 20 0 IBADRN CCNS(=O)(=O)c1ccc2c(c1)N(S(=O)(=O)CC1CN(S(C)(=O)=O)C1)CC2 ZINC001475036337 1074624087 /nfs/dbraw/zinc/62/40/87/1074624087.db2.gz YFSZBIYOMORQAG-UHFFFAOYSA-N 0 0 437.565 -0.432 20 0 IBADRN CC(C)OCCS(=O)(=O)N1CCN(S(=O)(=O)CCOC2CCOCC2)CC1 ZINC001475042024 1074623973 /nfs/dbraw/zinc/62/39/73/1074623973.db2.gz PBUSFFJDGIZJME-UHFFFAOYSA-N 0 0 428.573 -0.116 20 0 IBADRN COC(=O)c1ncccc1S(=O)(=O)N1CCN(S(=O)(=O)CCOC(C)C)CC1 ZINC001475042210 1074624135 /nfs/dbraw/zinc/62/41/35/1074624135.db2.gz WKHPEWYSYUUZMN-UHFFFAOYSA-N 0 0 435.524 -0.071 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCC(OCC(N)=O)CC2)c1I ZINC001475056349 1074624053 /nfs/dbraw/zinc/62/40/53/1074624053.db2.gz SGGVGXVJFSVASQ-UHFFFAOYSA-N 0 0 428.252 -0.320 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001475062352 1074624128 /nfs/dbraw/zinc/62/41/28/1074624128.db2.gz OQABISZTHWPMMU-CVEARBPZSA-N 0 0 437.559 -0.423 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001475062353 1074624121 /nfs/dbraw/zinc/62/41/21/1074624121.db2.gz OQABISZTHWPMMU-HOTGVXAUSA-N 0 0 437.559 -0.423 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001475062354 1074624685 /nfs/dbraw/zinc/62/46/85/1074624685.db2.gz OQABISZTHWPMMU-HZPDHXFCSA-N 0 0 437.559 -0.423 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001475062355 1074624725 /nfs/dbraw/zinc/62/47/25/1074624725.db2.gz OQABISZTHWPMMU-JKSUJKDBSA-N 0 0 437.559 -0.423 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001475062671 1074624730 /nfs/dbraw/zinc/62/47/30/1074624730.db2.gz QODOIPNHYJRERY-INIZCTEOSA-N 0 0 427.523 -0.342 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)S(=O)(=O)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001475062684 1074624594 /nfs/dbraw/zinc/62/45/94/1074624594.db2.gz QODOIPNHYJRERY-MRXNPFEDSA-N 0 0 427.523 -0.342 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncncc34)CC2)cn1C ZINC001475078172 1074624746 /nfs/dbraw/zinc/62/47/46/1074624746.db2.gz ZDRBGDNPXQTEQG-UHFFFAOYSA-N 0 0 425.496 -0.353 20 0 IBADRN COc1cccc(Br)c1CS(=O)(=O)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001475082427 1074624656 /nfs/dbraw/zinc/62/46/56/1074624656.db2.gz MPHXJWGVIRXIFK-JTQLQIEISA-N 0 0 432.296 -0.070 20 0 IBADRN COc1cccc(Br)c1CS(=O)(=O)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001475082428 1074624643 /nfs/dbraw/zinc/62/46/43/1074624643.db2.gz MPHXJWGVIRXIFK-SNVBAGLBSA-N 0 0 432.296 -0.070 20 0 IBADRN COCCCS(=O)(=O)N1CCN(S(=O)(=O)c2cnn3c2OCC(C)(C)C3)CC1 ZINC001475085347 1074624691 /nfs/dbraw/zinc/62/46/91/1074624691.db2.gz NMRLTRLGUXWUOP-UHFFFAOYSA-N 0 0 436.556 -0.026 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNS(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)O1 ZINC001475090470 1074624679 /nfs/dbraw/zinc/62/46/79/1074624679.db2.gz QNKUYNXMAZCUHS-BBWFWOEESA-N 0 0 441.506 -0.024 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNS(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)O1 ZINC001475090471 1074624735 /nfs/dbraw/zinc/62/47/35/1074624735.db2.gz QNKUYNXMAZCUHS-IKGGRYGDSA-N 0 0 441.506 -0.024 20 0 IBADRN NC(=O)[C@@H]1CC[C@@H](CNS(=O)(=O)C[C@@H]2CN(C(=O)OCc3ccccc3)CCO2)O1 ZINC001475090472 1074624738 /nfs/dbraw/zinc/62/47/38/1074624738.db2.gz QNKUYNXMAZCUHS-ULQDDVLXSA-N 0 0 441.506 -0.024 20 0 IBADRN NC(=O)[C@@H]1CC[C@H](CNS(=O)(=O)C[C@H]2CN(C(=O)OCc3ccccc3)CCO2)O1 ZINC001475090473 1074624630 /nfs/dbraw/zinc/62/46/30/1074624630.db2.gz QNKUYNXMAZCUHS-ZACQAIPSSA-N 0 0 441.506 -0.024 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC001475091406 1074260645 /nfs/dbraw/zinc/26/06/45/1074260645.db2.gz XDEGCBQVVOREEM-HZMVEIRTSA-N 0 0 441.506 -0.025 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC001475091412 1074260677 /nfs/dbraw/zinc/26/06/77/1074260677.db2.gz XDEGCBQVVOREEM-NXOAAHMSSA-N 0 0 441.506 -0.025 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC001475091416 1074260529 /nfs/dbraw/zinc/26/05/29/1074260529.db2.gz XDEGCBQVVOREEM-TWMKSMIVSA-N 0 0 441.506 -0.025 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC001475091426 1074260616 /nfs/dbraw/zinc/26/06/16/1074260616.db2.gz XDEGCBQVVOREEM-VQHPVUNQSA-N 0 0 441.506 -0.025 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC2CCN([C@H]3CCOC3=O)CC2)c1 ZINC001475102302 1074624707 /nfs/dbraw/zinc/62/47/07/1074624707.db2.gz FURACMCJQKKSHT-INIZCTEOSA-N 0 0 431.536 -0.005 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC2CCN([C@@H]3CCOC3=O)CC2)c1 ZINC001475102303 1074624741 /nfs/dbraw/zinc/62/47/41/1074624741.db2.gz FURACMCJQKKSHT-MRXNPFEDSA-N 0 0 431.536 -0.005 20 0 IBADRN COC(=O)C1(O)CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001475108035 1074624604 /nfs/dbraw/zinc/62/46/04/1074624604.db2.gz VXKGJBHPMTXDNJ-UHFFFAOYSA-N 0 0 440.474 -0.371 20 0 IBADRN COCCN1CCN(S(=O)(=O)c2c(OC)nn3cc(Br)cnc23)CC1=O ZINC001475112880 1074624580 /nfs/dbraw/zinc/62/45/80/1074624580.db2.gz MHBSNBIQTLWTKY-UHFFFAOYSA-N 0 0 448.299 -0.020 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)NC[C@@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC001475115578 1074624720 /nfs/dbraw/zinc/62/47/20/1074624720.db2.gz RFNOWMBOVUTSNZ-DLBZAZTESA-N 0 0 444.579 -0.427 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)NC[C@H]1CN(C(=O)c2ccccc2)[C@H]2CCN1C2 ZINC001475115584 1074624667 /nfs/dbraw/zinc/62/46/67/1074624667.db2.gz RFNOWMBOVUTSNZ-IRXDYDNUSA-N 0 0 444.579 -0.427 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NCCNS(=O)(=O)c2cccs2)C1 ZINC001475117577 1074624618 /nfs/dbraw/zinc/62/46/18/1074624618.db2.gz JKYRGWNQOQSLBJ-LLVKDONJSA-N 0 0 431.583 -0.773 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NCCNS(=O)(=O)c2cccs2)C1 ZINC001475117578 1074624663 /nfs/dbraw/zinc/62/46/63/1074624663.db2.gz JKYRGWNQOQSLBJ-NSHDSACASA-N 0 0 431.583 -0.773 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CC[C@H](S(=O)(=O)NC)C2)cc1 ZINC001475121914 1074625137 /nfs/dbraw/zinc/62/51/37/1074625137.db2.gz LMVBYUYIAJTFTK-HNNXBMFYSA-N 0 0 439.581 -0.172 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CC[C@@H](S(=O)(=O)NC)C2)cc1 ZINC001475121915 1074625123 /nfs/dbraw/zinc/62/51/23/1074625123.db2.gz LMVBYUYIAJTFTK-OAHLLOKOSA-N 0 0 439.581 -0.172 20 0 IBADRN COC(=O)[C@H](CNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)Cc1ccccc1OC ZINC001475122960 1074625049 /nfs/dbraw/zinc/62/50/49/1074625049.db2.gz WOOKTQGVSZMSAA-HNNXBMFYSA-N 0 0 434.536 -0.162 20 0 IBADRN COC(=O)[C@@H](CNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)Cc1ccccc1OC ZINC001475122961 1074625107 /nfs/dbraw/zinc/62/51/07/1074625107.db2.gz WOOKTQGVSZMSAA-OAHLLOKOSA-N 0 0 434.536 -0.162 20 0 IBADRN O=S(=O)(c1ccc(F)c(Cl)c1)N1CCN(S(=O)(=O)[C@@H]2COC[C@H]2O)CC1 ZINC001475127239 1074625200 /nfs/dbraw/zinc/62/52/00/1074625200.db2.gz UNMRBFSYGWEZMP-ZIAGYGMSSA-N 0 0 428.891 -0.125 20 0 IBADRN Cc1nn2cccnc2c1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001475130222 1074625115 /nfs/dbraw/zinc/62/51/15/1074625115.db2.gz ORRNRDVYJRPVBW-AWEZNQCLSA-N 0 0 429.524 -0.147 20 0 IBADRN Cc1nn2cccnc2c1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001475130223 1074625004 /nfs/dbraw/zinc/62/50/04/1074625004.db2.gz ORRNRDVYJRPVBW-CQSZACIVSA-N 0 0 429.524 -0.147 20 0 IBADRN COC(=O)c1ncccc1S(=O)(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001475130385 1074624989 /nfs/dbraw/zinc/62/49/89/1074624989.db2.gz RFGNQFGMYBUNQU-CYBMUJFWSA-N 0 0 433.508 -0.317 20 0 IBADRN COC(=O)c1ncccc1S(=O)(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001475130386 1074625157 /nfs/dbraw/zinc/62/51/57/1074625157.db2.gz RFGNQFGMYBUNQU-ZDUSSCGKSA-N 0 0 433.508 -0.317 20 0 IBADRN COCC[C@H](NS(=O)(=O)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1OC)C(N)=O ZINC001475134832 1074625166 /nfs/dbraw/zinc/62/51/66/1074625166.db2.gz KZLCSRMMBCXNAX-ARFHVFGLSA-N 0 0 429.495 -0.168 20 0 IBADRN COCC[C@H](NS(=O)(=O)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1OC)C(N)=O ZINC001475134833 1074625100 /nfs/dbraw/zinc/62/51/00/1074625100.db2.gz KZLCSRMMBCXNAX-HRCADAONSA-N 0 0 429.495 -0.168 20 0 IBADRN COCC[C@H](NS(=O)(=O)[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1OC)C(N)=O ZINC001475134834 1074625174 /nfs/dbraw/zinc/62/51/74/1074625174.db2.gz KZLCSRMMBCXNAX-JYJNAYRXSA-N 0 0 429.495 -0.168 20 0 IBADRN COCC[C@H](NS(=O)(=O)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1OC)C(N)=O ZINC001475134835 1074625084 /nfs/dbraw/zinc/62/50/84/1074625084.db2.gz KZLCSRMMBCXNAX-XHSDSOJGSA-N 0 0 429.495 -0.168 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)C1 ZINC001475140113 1074625218 /nfs/dbraw/zinc/62/52/18/1074625218.db2.gz KDNHQRHEOKOQBU-AWEZNQCLSA-N 0 0 431.536 -0.239 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCN(C(=O)c3ccc(O)cc3)CC2)C1 ZINC001475140114 1074624975 /nfs/dbraw/zinc/62/49/75/1074624975.db2.gz KDNHQRHEOKOQBU-CQSZACIVSA-N 0 0 431.536 -0.239 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(S(=O)(=O)c2cnn(C)c2I)C1 ZINC001475141496 1074625014 /nfs/dbraw/zinc/62/50/14/1074625014.db2.gz JJYGPEIKBRMFLB-MRVPVSSYSA-N 0 0 448.308 -0.273 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(S(=O)(=O)c2cnn(C)c2I)C1 ZINC001475141497 1074625076 /nfs/dbraw/zinc/62/50/76/1074625076.db2.gz JJYGPEIKBRMFLB-QMMMGPOBSA-N 0 0 448.308 -0.273 20 0 IBADRN O=C(CNS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O)N1CCOCC1 ZINC001475149698 1074625144 /nfs/dbraw/zinc/62/51/44/1074625144.db2.gz YJUFPBUTYYWSFJ-UHFFFAOYSA-N 0 0 425.463 -0.923 20 0 IBADRN O=S(=O)(CCCS(=O)(=O)C(F)(F)F)N1CCC(O)(CN2CCOCC2)CC1 ZINC001475150147 1074625027 /nfs/dbraw/zinc/62/50/27/1074625027.db2.gz QHPKAUOOMMYXOF-UHFFFAOYSA-N 0 0 438.490 -0.200 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNS(=O)(=O)c1ccc2c(c1)C(=O)NCCO2 ZINC001475150466 1074625672 /nfs/dbraw/zinc/62/56/72/1074625672.db2.gz CYHJSNQZOWTVDK-AWEZNQCLSA-N 0 0 427.479 -0.209 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC001475151007 1074625701 /nfs/dbraw/zinc/62/57/01/1074625701.db2.gz VFPWWQNSTMNXMU-CHWSQXEVSA-N 0 0 427.545 -0.295 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC001475151008 1074625710 /nfs/dbraw/zinc/62/57/10/1074625710.db2.gz VFPWWQNSTMNXMU-OLZOCXBDSA-N 0 0 427.545 -0.295 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC001475151009 1074625632 /nfs/dbraw/zinc/62/56/32/1074625632.db2.gz VFPWWQNSTMNXMU-QWHCGFSZSA-N 0 0 427.545 -0.295 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC001475151010 1074625668 /nfs/dbraw/zinc/62/56/68/1074625668.db2.gz VFPWWQNSTMNXMU-STQMWFEESA-N 0 0 427.545 -0.295 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)S(=O)(=O)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001475159870 1074625736 /nfs/dbraw/zinc/62/57/36/1074625736.db2.gz AMTNSBQWPRJBIY-CYBMUJFWSA-N 0 0 429.520 -0.174 20 0 IBADRN CN(CCN1C(=O)c2ccccc2C1=O)S(=O)(=O)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001475159871 1074625721 /nfs/dbraw/zinc/62/57/21/1074625721.db2.gz AMTNSBQWPRJBIY-ZDUSSCGKSA-N 0 0 429.520 -0.174 20 0 IBADRN COC1CCN(S(=O)(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1 ZINC001475161702 1074625740 /nfs/dbraw/zinc/62/57/40/1074625740.db2.gz QHEKBQSAKLFQRE-UHFFFAOYSA-N 0 0 447.535 -0.224 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC001475166088 1074625661 /nfs/dbraw/zinc/62/56/61/1074625661.db2.gz WUDWHAJIIRJKHI-UHFFFAOYSA-N 0 0 436.531 -0.220 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCN(S(C)(=O)=O)c2ccccc2C1 ZINC001475167741 1074625623 /nfs/dbraw/zinc/62/56/23/1074625623.db2.gz HYUYIAOOKHVPSF-UHFFFAOYSA-N 0 0 425.554 -0.511 20 0 IBADRN CNC(=O)[C@@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001475183366 1074275146 /nfs/dbraw/zinc/27/51/46/1074275146.db2.gz AUWZXMVBUJQACN-HNNXBMFYSA-N 0 0 425.463 -0.924 20 0 IBADRN CNC(=O)[C@H]1CN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCO1 ZINC001475183369 1074275163 /nfs/dbraw/zinc/27/51/63/1074275163.db2.gz AUWZXMVBUJQACN-OAHLLOKOSA-N 0 0 425.463 -0.924 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)NCCN1CCNC(=O)C1 ZINC001475185015 1074625677 /nfs/dbraw/zinc/62/56/77/1074625677.db2.gz MMBFTGKXMXLUGB-UHFFFAOYSA-N 0 0 433.288 -0.790 20 0 IBADRN COC[C@@H](CS(=O)(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1)OC ZINC001475187721 1074625694 /nfs/dbraw/zinc/62/56/94/1074625694.db2.gz SZOFLXWSBFHVOM-DLBZAZTESA-N 0 0 443.570 -0.167 20 0 IBADRN COC[C@H](CS(=O)(=O)N1CCN([C@@H]2CCCN(c3cc(C)nn3C)C2=O)CC1)OC ZINC001475187722 1074625716 /nfs/dbraw/zinc/62/57/16/1074625716.db2.gz SZOFLXWSBFHVOM-IAGOWNOFSA-N 0 0 443.570 -0.167 20 0 IBADRN COC[C@@H](CS(=O)(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1)OC ZINC001475187723 1074625651 /nfs/dbraw/zinc/62/56/51/1074625651.db2.gz SZOFLXWSBFHVOM-IRXDYDNUSA-N 0 0 443.570 -0.167 20 0 IBADRN COC[C@H](CS(=O)(=O)N1CCN([C@H]2CCCN(c3cc(C)nn3C)C2=O)CC1)OC ZINC001475187724 1074625659 /nfs/dbraw/zinc/62/56/59/1074625659.db2.gz SZOFLXWSBFHVOM-SJORKVTESA-N 0 0 443.570 -0.167 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001475188574 1074625604 /nfs/dbraw/zinc/62/56/04/1074625604.db2.gz IIYPPGIRIBWRLJ-CYBMUJFWSA-N 0 0 445.629 -0.220 20 0 IBADRN CC(C)(C)S(=O)(=O)N1CCC(NS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001475188575 1074625705 /nfs/dbraw/zinc/62/57/05/1074625705.db2.gz IIYPPGIRIBWRLJ-ZDUSSCGKSA-N 0 0 445.629 -0.220 20 0 IBADRN Cn1cc(S(=O)(=O)NC2(C(N)=O)CN(C(=O)OC(C)(C)C)C2)c(Br)n1 ZINC001475193808 1074625613 /nfs/dbraw/zinc/62/56/13/1074625613.db2.gz VDHFTCNUQAQYOZ-UHFFFAOYSA-N 0 0 438.304 -0.064 20 0 IBADRN COC(=O)[C@@H]1[C@H](O)CCCN1S(=O)(=O)c1cnn(C)c1I ZINC001475197076 1074625692 /nfs/dbraw/zinc/62/56/92/1074625692.db2.gz IFPAQAIHOKQCIF-APPZFPTMSA-N 0 0 429.236 -0.288 20 0 IBADRN COC(=O)[C@@H]1[C@@H](O)CCCN1S(=O)(=O)c1cnn(C)c1I ZINC001475197077 1074625642 /nfs/dbraw/zinc/62/56/42/1074625642.db2.gz IFPAQAIHOKQCIF-CBAPKCEASA-N 0 0 429.236 -0.288 20 0 IBADRN COC(=O)[C@H]1[C@@H](O)CCCN1S(=O)(=O)c1cnn(C)c1I ZINC001475197078 1074626196 /nfs/dbraw/zinc/62/61/96/1074626196.db2.gz IFPAQAIHOKQCIF-IONNQARKSA-N 0 0 429.236 -0.288 20 0 IBADRN COC(=O)[C@H]1[C@H](O)CCCN1S(=O)(=O)c1cnn(C)c1I ZINC001475197079 1074626185 /nfs/dbraw/zinc/62/61/85/1074626185.db2.gz IFPAQAIHOKQCIF-VXNVDRBHSA-N 0 0 429.236 -0.288 20 0 IBADRN CO[C@@H](Cc1ccccc1)CS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001475199197 1074626271 /nfs/dbraw/zinc/62/62/71/1074626271.db2.gz CZHNULAIDQWYGM-INIZCTEOSA-N 0 0 437.522 -0.464 20 0 IBADRN CO[C@H](Cc1ccccc1)CS(=O)(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001475199198 1074626188 /nfs/dbraw/zinc/62/61/88/1074626188.db2.gz CZHNULAIDQWYGM-MRXNPFEDSA-N 0 0 437.522 -0.464 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CCN(c3nnc(C(F)(F)F)s3)CC2)C1 ZINC001475208761 1074626155 /nfs/dbraw/zinc/62/61/55/1074626155.db2.gz OUFOSKJZFQJGAX-UHFFFAOYSA-N 0 0 449.502 -0.100 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NCc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC001475225620 1074626251 /nfs/dbraw/zinc/62/62/51/1074626251.db2.gz SRUIYZDASJVKNP-INIZCTEOSA-N 0 0 445.563 -0.140 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NCc2cccc(C(=O)N3CCOCC3)c2)C1 ZINC001475225622 1074626202 /nfs/dbraw/zinc/62/62/02/1074626202.db2.gz SRUIYZDASJVKNP-MRXNPFEDSA-N 0 0 445.563 -0.140 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)NCC2CN(S(C)(=O)=O)C2)cc1 ZINC001475225875 1074626228 /nfs/dbraw/zinc/62/62/28/1074626228.db2.gz YAINLPZLTFCHAZ-UHFFFAOYSA-N 0 0 439.581 -0.314 20 0 IBADRN CS(=O)(=O)N1CCC(N(CC(F)F)S(=O)(=O)C2CCS(=O)(=O)CC2)CC1 ZINC001475233480 1074626255 /nfs/dbraw/zinc/62/62/55/1074626255.db2.gz KUBMKULXSFDXLT-UHFFFAOYSA-N 0 0 438.540 -0.116 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NCCS(=O)(=O)CCc2ccccc2)C1 ZINC001475234280 1074626212 /nfs/dbraw/zinc/62/62/12/1074626212.db2.gz AUKRTPSUAFQCOB-INIZCTEOSA-N 0 0 438.593 -0.155 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NCCS(=O)(=O)CCc2ccccc2)C1 ZINC001475234281 1074626284 /nfs/dbraw/zinc/62/62/84/1074626284.db2.gz AUKRTPSUAFQCOB-MRXNPFEDSA-N 0 0 438.593 -0.155 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc(CCNC(C)=O)s2)CCS(=O)(=O)CC1 ZINC001475234651 1074626143 /nfs/dbraw/zinc/62/61/43/1074626143.db2.gz KJRWHEDZBLCLMS-UHFFFAOYSA-N 0 0 438.549 -0.175 20 0 IBADRN C[C@H](CCNS(=O)(=O)c1ccccc1)NS(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001475237038 1074626179 /nfs/dbraw/zinc/62/61/79/1074626179.db2.gz IOVMRHWQAJFLJM-CYBMUJFWSA-N 0 0 439.581 -0.446 20 0 IBADRN C[C@@H](CCNS(=O)(=O)c1ccccc1)NS(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001475237039 1074626117 /nfs/dbraw/zinc/62/61/17/1074626117.db2.gz IOVMRHWQAJFLJM-ZDUSSCGKSA-N 0 0 439.581 -0.446 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)C1 ZINC001475238850 1074626231 /nfs/dbraw/zinc/62/62/31/1074626231.db2.gz ZXANVHZPLQCGDV-AWEZNQCLSA-N 0 0 443.613 -0.512 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCC(S(=O)(=O)N3CCCC3)CC2)C1 ZINC001475238851 1074626219 /nfs/dbraw/zinc/62/62/19/1074626219.db2.gz ZXANVHZPLQCGDV-CQSZACIVSA-N 0 0 443.613 -0.512 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)C[C@H]1CCN(C(=O)OCc2ccccc2)C1 ZINC001475241609 1074626167 /nfs/dbraw/zinc/62/61/67/1074626167.db2.gz BTYRPTOLWJPQCI-HNNXBMFYSA-N 0 0 427.479 -0.146 20 0 IBADRN COC(=O)CNC(=O)CNS(=O)(=O)C[C@@H]1CCN(C(=O)OCc2ccccc2)C1 ZINC001475241611 1074626637 /nfs/dbraw/zinc/62/66/37/1074626637.db2.gz BTYRPTOLWJPQCI-OAHLLOKOSA-N 0 0 427.479 -0.146 20 0 IBADRN CN(C)S(=O)(=O)NCCNS(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001475277346 1074626591 /nfs/dbraw/zinc/62/65/91/1074626591.db2.gz HFPANGUGGIMVJY-UHFFFAOYSA-N 0 0 447.308 -0.639 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)NCCNS(=O)(=O)N(C)C)c1Br ZINC001475277528 1074626729 /nfs/dbraw/zinc/62/67/29/1074626729.db2.gz JIYPPPZUZJHBTK-UHFFFAOYSA-N 0 0 444.329 -0.090 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCC[C@H]2COC(=O)N2CCCC2)CC1 ZINC001475279452 1074626661 /nfs/dbraw/zinc/62/66/61/1074626661.db2.gz KUBVMUHPFYWDPP-HNNXBMFYSA-N 0 0 438.572 -0.105 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)N2CCCC[C@@H]2COC(=O)N2CCCC2)CC1 ZINC001475279453 1074626739 /nfs/dbraw/zinc/62/67/39/1074626739.db2.gz KUBVMUHPFYWDPP-OAHLLOKOSA-N 0 0 438.572 -0.105 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC001475281291 1074626698 /nfs/dbraw/zinc/62/66/98/1074626698.db2.gz RRZQEWBBNWDNJU-UHFFFAOYSA-N 0 0 431.515 -0.106 20 0 IBADRN CN(C[C@@H]1CCCN(S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1)S(C)(=O)=O ZINC001475285483 1074626735 /nfs/dbraw/zinc/62/67/35/1074626735.db2.gz HDGPDCNZIJLXJF-KBPBESRZSA-N 0 0 431.602 -0.799 20 0 IBADRN CN(C[C@H]1CCCN(S(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)C1)S(C)(=O)=O ZINC001475285485 1074626719 /nfs/dbraw/zinc/62/67/19/1074626719.db2.gz HDGPDCNZIJLXJF-KGLIPLIRSA-N 0 0 431.602 -0.799 20 0 IBADRN CN(C[C@@H]1CCCN(S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1)S(C)(=O)=O ZINC001475285487 1074626695 /nfs/dbraw/zinc/62/66/95/1074626695.db2.gz HDGPDCNZIJLXJF-UONOGXRCSA-N 0 0 431.602 -0.799 20 0 IBADRN CN(C[C@H]1CCCN(S(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)C1)S(C)(=O)=O ZINC001475285488 1074626629 /nfs/dbraw/zinc/62/66/29/1074626629.db2.gz HDGPDCNZIJLXJF-ZIAGYGMSSA-N 0 0 431.602 -0.799 20 0 IBADRN CN(C)S(=O)(=O)c1cccc2c1CCN(S(=O)(=O)CCCCS(N)(=O)=O)C2 ZINC001475290539 1074626686 /nfs/dbraw/zinc/62/66/86/1074626686.db2.gz XQNCGWARFMKAMP-UHFFFAOYSA-N 0 0 439.581 -0.307 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cnn3cc(Br)cnc23)CC1 ZINC001475291421 1074626676 /nfs/dbraw/zinc/62/66/76/1074626676.db2.gz NDOPGDOAXURKTM-UHFFFAOYSA-N 0 0 439.317 -0.738 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)c(F)cc2OC)CC1 ZINC001475291480 1074626689 /nfs/dbraw/zinc/62/66/89/1074626689.db2.gz PPVSPUCJHOQSKV-UHFFFAOYSA-N 0 0 425.460 -0.609 20 0 IBADRN CNS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(F)c(C(=O)N3CCCC3)c2)CC1 ZINC001475291746 1074626725 /nfs/dbraw/zinc/62/67/25/1074626725.db2.gz ZLNVOTDIGNIRQV-UHFFFAOYSA-N 0 0 434.515 -0.168 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CCCO1 ZINC001475292625 1074626642 /nfs/dbraw/zinc/62/66/42/1074626642.db2.gz IUAWUJIWVZXREA-CYBMUJFWSA-N 0 0 429.561 -0.177 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CCCO1 ZINC001475292626 1074626614 /nfs/dbraw/zinc/62/66/14/1074626614.db2.gz IUAWUJIWVZXREA-ZDUSSCGKSA-N 0 0 429.561 -0.177 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)c(OC)cc1F ZINC001475294132 1074626667 /nfs/dbraw/zinc/62/66/67/1074626667.db2.gz QGUQPGKVLGNDKL-UHFFFAOYSA-N 0 0 425.456 -0.161 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)NCC2(O)CCS(=O)(=O)CC2)cc1)NC1CC1 ZINC001475294742 1074626714 /nfs/dbraw/zinc/62/67/14/1074626714.db2.gz UJYZGEXJGZRAMW-UHFFFAOYSA-N 0 0 432.520 -0.438 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NCC1(O)CCS(=O)(=O)CC1)C(=O)NCCC2 ZINC001475294923 1074626706 /nfs/dbraw/zinc/62/67/06/1074626706.db2.gz WMHGRPBXIFGJCW-UHFFFAOYSA-N 0 0 432.520 -0.411 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)C1 ZINC001475295983 1074626646 /nfs/dbraw/zinc/62/66/46/1074626646.db2.gz DJPVPENXZNRFDT-NEPJUHHUSA-N 0 0 433.474 -0.450 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)C1 ZINC001475295984 1074627189 /nfs/dbraw/zinc/62/71/89/1074627189.db2.gz DJPVPENXZNRFDT-NWDGAFQWSA-N 0 0 433.474 -0.450 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)C1 ZINC001475295985 1074627205 /nfs/dbraw/zinc/62/72/05/1074627205.db2.gz DJPVPENXZNRFDT-RYUDHWBXSA-N 0 0 433.474 -0.450 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)C1 ZINC001475295986 1074627094 /nfs/dbraw/zinc/62/70/94/1074627094.db2.gz DJPVPENXZNRFDT-VXGBXAGGSA-N 0 0 433.474 -0.450 20 0 IBADRN COc1ccc(Cl)cc1[C@H](O)CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001475296245 1074627193 /nfs/dbraw/zinc/62/71/93/1074627193.db2.gz HQWOLVPQRXVFBG-CYBMUJFWSA-N 0 0 427.932 -0.206 20 0 IBADRN COc1ccc(Cl)cc1[C@@H](O)CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001475296246 1074627153 /nfs/dbraw/zinc/62/71/53/1074627153.db2.gz HQWOLVPQRXVFBG-ZDUSSCGKSA-N 0 0 427.932 -0.206 20 0 IBADRN COCn1nc(C)c(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1C ZINC001475298269 1074627143 /nfs/dbraw/zinc/62/71/43/1074627143.db2.gz CMWBYBSSIZIOCT-UHFFFAOYSA-N 0 0 443.573 -0.617 20 0 IBADRN Cn1nnc2cc(S(=O)(=O)N3CCN(S(=O)(=O)N=S(C)(C)=O)CC3)ccc21 ZINC001475298409 1074627186 /nfs/dbraw/zinc/62/71/86/1074627186.db2.gz FPBPNCXFRQHUII-UHFFFAOYSA-N 0 0 436.541 -0.753 20 0 IBADRN COC(=O)C1(CS(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CCC1 ZINC001475298843 1074627112 /nfs/dbraw/zinc/62/71/12/1074627112.db2.gz SMNVNWOJBOOCOM-UHFFFAOYSA-N 0 0 431.558 -0.751 20 0 IBADRN CCOC(=O)CC1(S(=O)(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)CC1 ZINC001475299052 1074627178 /nfs/dbraw/zinc/62/71/78/1074627178.db2.gz WHTUJWNGYRKPAO-UHFFFAOYSA-N 0 0 431.558 -0.608 20 0 IBADRN COC(=O)c1sccc1S(=O)(=O)N1CCN(S(=O)(=O)N=S(C)(C)=O)CC1 ZINC001475299177 1074627122 /nfs/dbraw/zinc/62/71/22/1074627122.db2.gz WUZDBDJQFDJVPT-UHFFFAOYSA-N 0 0 445.566 -0.187 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)N2CCCC[C@H]2CNS(N)(=O)=O)CC1 ZINC001475299221 1074627194 /nfs/dbraw/zinc/62/71/94/1074627194.db2.gz YTEUXEBFKSDDQC-AWEZNQCLSA-N 0 0 440.588 -0.068 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)N2CCCC[C@@H]2CNS(N)(=O)=O)CC1 ZINC001475299222 1074627137 /nfs/dbraw/zinc/62/71/37/1074627137.db2.gz YTEUXEBFKSDDQC-CQSZACIVSA-N 0 0 440.588 -0.068 20 0 IBADRN COC(=O)C1(NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CCOCC1 ZINC001475299948 1074627099 /nfs/dbraw/zinc/62/70/99/1074627099.db2.gz PUMXDFUXNLCBFT-UHFFFAOYSA-N 0 0 440.474 -0.059 20 0 IBADRN O=S(=O)(NC[C@@]1(O)CCS(=O)(=O)C1)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001475303120 1074627182 /nfs/dbraw/zinc/62/71/82/1074627182.db2.gz UPUBQEPYYACXBP-HNNXBMFYSA-N 0 0 438.549 -0.701 20 0 IBADRN O=S(=O)(NC[C@]1(O)CCS(=O)(=O)C1)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001475303121 1074627174 /nfs/dbraw/zinc/62/71/74/1074627174.db2.gz UPUBQEPYYACXBP-OAHLLOKOSA-N 0 0 438.549 -0.701 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCC(O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001475305508 1074627159 /nfs/dbraw/zinc/62/71/59/1074627159.db2.gz ZBZIUVKRVBSKGX-UHFFFAOYSA-N 0 0 447.579 -0.249 20 0 IBADRN Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)N3CCCC[C@H]3C(=O)NCC(F)F)cnc21 ZINC001475307206 1074627118 /nfs/dbraw/zinc/62/71/18/1074627118.db2.gz UWXOJFHBZMUZTG-NSHDSACASA-N 0 0 431.421 -0.041 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CC(C3CS(=O)(=O)C3)C2)c1I ZINC001475311015 1074627198 /nfs/dbraw/zinc/62/71/98/1074627198.db2.gz OZEJVHTWFICHCA-UHFFFAOYSA-N 0 0 431.277 -0.310 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)N2CCCc3c(OCC(N)=O)cccc32)C1 ZINC001475317258 1074289760 /nfs/dbraw/zinc/28/97/60/1074289760.db2.gz OQIAVRHAFRUQJB-CYBMUJFWSA-N 0 0 431.536 -0.085 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)N2CCCc3c(OCC(N)=O)cccc32)C1 ZINC001475317259 1074290248 /nfs/dbraw/zinc/29/02/48/1074290248.db2.gz OQIAVRHAFRUQJB-ZDUSSCGKSA-N 0 0 431.536 -0.085 20 0 IBADRN CCOC(=O)C1CCN(S(=O)(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)CC1 ZINC001475320656 1074627130 /nfs/dbraw/zinc/62/71/30/1074627130.db2.gz LOKGBRPMKIXJLT-UHFFFAOYSA-N 0 0 426.561 -0.633 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)c1 ZINC001475320696 1074627147 /nfs/dbraw/zinc/62/71/47/1074627147.db2.gz LYRDJYHUAAKJOY-UHFFFAOYSA-N 0 0 448.567 -0.388 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)s1 ZINC001475320993 1074627671 /nfs/dbraw/zinc/62/76/71/1074627671.db2.gz OPKAXXLOSQMOTQ-UHFFFAOYSA-N 0 0 438.597 -0.024 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(S(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1 ZINC001475321611 1074627598 /nfs/dbraw/zinc/62/75/98/1074627598.db2.gz WYQAASYMAGRLOJ-UHFFFAOYSA-N 0 0 430.552 -0.299 20 0 IBADRN CS(=O)(=O)N=S1(=O)CCN(S(=O)(=O)C2CN(C(=O)c3ccccc3)C2)CC1 ZINC001475332250 1074627629 /nfs/dbraw/zinc/62/76/29/1074627629.db2.gz DLUATCRCRIXHRG-UHFFFAOYSA-N 0 0 435.549 -0.416 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCCN1S(=O)(=O)N1CCS(=O)(=NS(C)(=O)=O)CC1 ZINC001475333256 1074294629 /nfs/dbraw/zinc/29/46/29/1074294629.db2.gz QPQXABZMAOIZLM-LBPRGKRZSA-N 0 0 445.585 -0.220 20 0 IBADRN CN(CCN(C)S(=O)(=O)CCCS(C)(=O)=O)c1ccc(S(N)(=O)=O)cc1 ZINC001475336829 1074627575 /nfs/dbraw/zinc/62/75/75/1074627575.db2.gz DUZLDPBTAOABTB-UHFFFAOYSA-N 0 0 427.570 -0.534 20 0 IBADRN CC1(C)C(=O)N(Cc2ccccc2)CCN1S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001475338397 1074627660 /nfs/dbraw/zinc/62/76/60/1074627660.db2.gz RLVOPIDUDKZFKZ-UHFFFAOYSA-N 0 0 444.579 -0.069 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](CS(=O)(=O)NCCn2cc(Br)ccc2=O)C1 ZINC001475339820 1074627540 /nfs/dbraw/zinc/62/75/40/1074627540.db2.gz HYKISARONVWABP-LLVKDONJSA-N 0 0 442.357 -0.188 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CS(=O)(=O)NCCn2cc(Br)ccc2=O)C1 ZINC001475339821 1074627624 /nfs/dbraw/zinc/62/76/24/1074627624.db2.gz HYKISARONVWABP-NSHDSACASA-N 0 0 442.357 -0.188 20 0 IBADRN O=S(=O)(c1cc[nH]n1)N1CCN(S(=O)(=O)c2nc[nH]c2Br)CC1 ZINC001475364025 1074627558 /nfs/dbraw/zinc/62/75/58/1074627558.db2.gz JUUNYFWFHBZSEJ-UHFFFAOYSA-N 0 0 425.290 -0.410 20 0 IBADRN COC(=O)c1cc(OC)ccc1S(=O)(=O)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001475364204 1074627588 /nfs/dbraw/zinc/62/75/88/1074627588.db2.gz MNCZLHAURACXSU-UHFFFAOYSA-N 0 0 444.491 -0.100 20 0 IBADRN Cn1ncc(S(=O)(=O)NCCCn2cc(CO)nn2)c1I ZINC001475366498 1074627512 /nfs/dbraw/zinc/62/75/12/1074627512.db2.gz ZIQHEBOWAZGUCY-UHFFFAOYSA-N 0 0 426.240 -0.523 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)C1 ZINC001475369385 1074627655 /nfs/dbraw/zinc/62/76/55/1074627655.db2.gz AVNDWXNKDCHFLG-CYBMUJFWSA-N 0 0 427.545 -0.567 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)C1 ZINC001475369386 1074627645 /nfs/dbraw/zinc/62/76/45/1074627645.db2.gz AVNDWXNKDCHFLG-ZDUSSCGKSA-N 0 0 427.545 -0.567 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001475369880 1074627501 /nfs/dbraw/zinc/62/75/01/1074627501.db2.gz MDLYKCQZJMYNMS-CYBMUJFWSA-N 0 0 429.561 -0.177 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)NC[C@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001475369882 1074627611 /nfs/dbraw/zinc/62/76/11/1074627611.db2.gz MDLYKCQZJMYNMS-ZDUSSCGKSA-N 0 0 429.561 -0.177 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)NCC1(N2CCOCC2)CCOCC1 ZINC001475372804 1074627639 /nfs/dbraw/zinc/62/76/39/1074627639.db2.gz UOSMDLFFXNQUIF-UHFFFAOYSA-N 0 0 433.552 -0.246 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)c1 ZINC001475375340 1074627650 /nfs/dbraw/zinc/62/76/50/1074627650.db2.gz GAJNWZUTXGHZTO-CVEARBPZSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)c1 ZINC001475375341 1074627634 /nfs/dbraw/zinc/62/76/34/1074627634.db2.gz GAJNWZUTXGHZTO-HOTGVXAUSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)c1 ZINC001475375342 1074627667 /nfs/dbraw/zinc/62/76/67/1074627667.db2.gz GAJNWZUTXGHZTO-HZPDHXFCSA-N 0 0 432.520 -0.361 20 0 IBADRN COCCNC(=O)c1cccc(S(=O)(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)c1 ZINC001475375343 1074627617 /nfs/dbraw/zinc/62/76/17/1074627617.db2.gz GAJNWZUTXGHZTO-JKSUJKDBSA-N 0 0 432.520 -0.361 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N(CCO)CCOCCO)c(Br)cc1F ZINC001475377485 1074628065 /nfs/dbraw/zinc/62/80/65/1074628065.db2.gz ZMCXQUGKEZKYPA-UHFFFAOYSA-N 0 0 429.264 -0.321 20 0 IBADRN CCOC(=O)c1ccc(S(=O)(=O)N2CCN(CC(N)=O)C(=O)C2)c(Br)c1 ZINC001475379525 1074628015 /nfs/dbraw/zinc/62/80/15/1074628015.db2.gz KMQBDUHALMIZIV-UHFFFAOYSA-N 0 0 448.295 -0.056 20 0 IBADRN CC(C)(C)C(=O)NCCc1ccc(S(=O)(=O)N2CCN(CC(N)=O)C(=O)C2)s1 ZINC001475379716 1074628050 /nfs/dbraw/zinc/62/80/50/1074628050.db2.gz NTLJTTBSIVJONK-UHFFFAOYSA-N 0 0 430.552 -0.229 20 0 IBADRN NC(=O)CN1CCN(S(=O)(=O)c2ccc(S(=O)(=O)C(F)(F)F)cc2)CC1=O ZINC001475379720 1074628090 /nfs/dbraw/zinc/62/80/90/1074628090.db2.gz NVPHSMGZUMZBNH-UHFFFAOYSA-N 0 0 429.398 -0.702 20 0 IBADRN COC(=O)Cc1cc(Br)ccc1S(=O)(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001475379763 1074298376 /nfs/dbraw/zinc/29/83/76/1074298376.db2.gz PBBZGEHKWDPFGS-UHFFFAOYSA-N 0 0 448.295 -0.517 20 0 IBADRN NC(=O)CN1CCN(S(=O)(=O)C[C@@H]2CCCN2C(=O)OCc2ccccc2)CC1=O ZINC001475379783 1074298361 /nfs/dbraw/zinc/29/83/61/1074298361.db2.gz PNRUUHSVJFOZBE-INIZCTEOSA-N 0 0 438.506 -0.253 20 0 IBADRN NC(=O)CN1CCN(S(=O)(=O)C[C@H]2CCCN2C(=O)OCc2ccccc2)CC1=O ZINC001475379784 1074298396 /nfs/dbraw/zinc/29/83/96/1074298396.db2.gz PNRUUHSVJFOZBE-MRXNPFEDSA-N 0 0 438.506 -0.253 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CC(S(N)(=O)=O)C1 ZINC001475379998 1074628027 /nfs/dbraw/zinc/62/80/27/1074628027.db2.gz BQTUFJOJLVUHTP-CABCVRRESA-N 0 0 433.508 -0.675 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1CC(S(N)(=O)=O)C1 ZINC001475380000 1074628074 /nfs/dbraw/zinc/62/80/74/1074628074.db2.gz BQTUFJOJLVUHTP-GJZGRUSLSA-N 0 0 433.508 -0.675 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CC(S(N)(=O)=O)C1 ZINC001475380001 1074628153 /nfs/dbraw/zinc/62/81/53/1074628153.db2.gz BQTUFJOJLVUHTP-HUUCEWRRSA-N 0 0 433.508 -0.675 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1CC(S(N)(=O)=O)C1 ZINC001475380002 1074628127 /nfs/dbraw/zinc/62/81/27/1074628127.db2.gz BQTUFJOJLVUHTP-LSDHHAIUSA-N 0 0 433.508 -0.675 20 0 IBADRN NS(=O)(=O)C1CN(S(=O)(=O)c2ccc(CCCNC(=O)C(F)(F)F)cc2)C1 ZINC001475380495 1074628131 /nfs/dbraw/zinc/62/81/31/1074628131.db2.gz OIALLOKBLTYZHI-UHFFFAOYSA-N 0 0 429.442 -0.041 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1F)S(=O)(=O)CCCN1CCOCC1 ZINC001475383338 1074628055 /nfs/dbraw/zinc/62/80/55/1074628055.db2.gz UELDVJLKYVNHQN-UHFFFAOYSA-N 0 0 439.531 -0.164 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)OC(C)(C)C)cn1C ZINC001475383564 1074628149 /nfs/dbraw/zinc/62/81/49/1074628149.db2.gz YDXCUZYNNZNLJX-CYBMUJFWSA-N 0 0 435.524 -0.486 20 0 IBADRN CNC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C(=O)OC(C)(C)C)cn1C ZINC001475383565 1074628094 /nfs/dbraw/zinc/62/80/94/1074628094.db2.gz YDXCUZYNNZNLJX-ZDUSSCGKSA-N 0 0 435.524 -0.486 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@@H](O)c2ccccc2Br)CC1 ZINC001475386127 1074628142 /nfs/dbraw/zinc/62/81/42/1074628142.db2.gz BZODZDLUTOFSLE-CYBMUJFWSA-N 0 0 442.357 -0.106 20 0 IBADRN CS(=O)(=O)N1CCN(S(=O)(=O)NC[C@H](O)c2ccccc2Br)CC1 ZINC001475386132 1074628172 /nfs/dbraw/zinc/62/81/72/1074628172.db2.gz BZODZDLUTOFSLE-ZDUSSCGKSA-N 0 0 442.357 -0.106 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@@H](O)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001475400498 1074628086 /nfs/dbraw/zinc/62/80/86/1074628086.db2.gz DQGGOVCGGOHEHW-GDBMZVCRSA-N 0 0 434.536 -0.620 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@@H](O)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001475400499 1074628001 /nfs/dbraw/zinc/62/80/01/1074628001.db2.gz DQGGOVCGGOHEHW-GOEBONIOSA-N 0 0 434.536 -0.620 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@H](O)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001475400500 1074628080 /nfs/dbraw/zinc/62/80/80/1074628080.db2.gz DQGGOVCGGOHEHW-HOCLYGCPSA-N 0 0 434.536 -0.620 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC[C@H](O)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001475400501 1074628042 /nfs/dbraw/zinc/62/80/42/1074628042.db2.gz DQGGOVCGGOHEHW-ZBFHGGJFSA-N 0 0 434.536 -0.620 20 0 IBADRN COC(=O)[C@H]1CN(C)CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC001475400864 1074628107 /nfs/dbraw/zinc/62/81/07/1074628107.db2.gz JQCRNVXOQIMKQV-AWEZNQCLSA-N 0 0 431.536 -0.048 20 0 IBADRN COC(=O)[C@@H]1CN(C)CCN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C1 ZINC001475400865 1074628582 /nfs/dbraw/zinc/62/85/82/1074628582.db2.gz JQCRNVXOQIMKQV-CQSZACIVSA-N 0 0 431.536 -0.048 20 0 IBADRN CN(CCS(=O)(=O)N[C@@H]1CCc2nnnn2CC1)S(=O)(=O)c1ccc(F)cc1 ZINC001475403643 1074628625 /nfs/dbraw/zinc/62/86/25/1074628625.db2.gz HZPVDYICGLIFNR-CYBMUJFWSA-N 0 0 432.503 -0.243 20 0 IBADRN CN(CCS(=O)(=O)N[C@H]1CCc2nnnn2CC1)S(=O)(=O)c1ccc(F)cc1 ZINC001475403644 1074628604 /nfs/dbraw/zinc/62/86/04/1074628604.db2.gz HZPVDYICGLIFNR-ZDUSSCGKSA-N 0 0 432.503 -0.243 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC2(CC(N)=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001475410558 1074300603 /nfs/dbraw/zinc/30/06/03/1074300603.db2.gz MYNBQURRUSUUFS-UHFFFAOYSA-N 0 0 431.536 -0.050 20 0 IBADRN CC(C)[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)ONC(=O)OC(C)(C)C ZINC001475410562 1074300647 /nfs/dbraw/zinc/30/06/47/1074300647.db2.gz NDUKCBVBACSGDE-CYBMUJFWSA-N 0 0 444.576 -0.121 20 0 IBADRN CC(C)[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)ONC(=O)OC(C)(C)C ZINC001475410563 1074300675 /nfs/dbraw/zinc/30/06/75/1074300675.db2.gz NDUKCBVBACSGDE-ZDUSSCGKSA-N 0 0 444.576 -0.121 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H]2CCNC(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001475410750 1074301002 /nfs/dbraw/zinc/30/10/02/1074301002.db2.gz PCSGTYVODFATED-AWEZNQCLSA-N 0 0 431.536 -0.037 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H]2CCNC(=O)CC2)cc1S(=O)(=O)N1CCOCC1 ZINC001475410751 1074300997 /nfs/dbraw/zinc/30/09/97/1074300997.db2.gz PCSGTYVODFATED-CQSZACIVSA-N 0 0 431.536 -0.037 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001475411562 1074628488 /nfs/dbraw/zinc/62/84/88/1074628488.db2.gz FXRYIIJZGSNOAP-JTQLQIEISA-N 0 0 446.283 -0.066 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001475411596 1074628598 /nfs/dbraw/zinc/62/85/98/1074628598.db2.gz FXRYIIJZGSNOAP-SNVBAGLBSA-N 0 0 446.283 -0.066 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)CCNC(=O)OCc1ccccc1 ZINC001475412205 1074628593 /nfs/dbraw/zinc/62/85/93/1074628593.db2.gz OOGRSAVPYIHMDC-HNNXBMFYSA-N 0 0 427.479 -0.004 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)CCNC(=O)OCc1ccccc1 ZINC001475412206 1074628575 /nfs/dbraw/zinc/62/85/75/1074628575.db2.gz OOGRSAVPYIHMDC-OAHLLOKOSA-N 0 0 427.479 -0.004 20 0 IBADRN Cn1cc(S(=O)(=O)N2c3ccccc3C[C@@H]2CNC(=O)C(F)(F)F)c(=O)n(C)c1=O ZINC001475412515 1074300968 /nfs/dbraw/zinc/30/09/68/1074300968.db2.gz PLASOXTXFZTNKR-LLVKDONJSA-N 0 0 446.407 -0.118 20 0 IBADRN Cn1cc(S(=O)(=O)N2c3ccccc3C[C@H]2CNC(=O)C(F)(F)F)c(=O)n(C)c1=O ZINC001475412516 1074301104 /nfs/dbraw/zinc/30/11/04/1074301104.db2.gz PLASOXTXFZTNKR-NSHDSACASA-N 0 0 446.407 -0.118 20 0 IBADRN COC(=O)CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1cc2c(cc1OC)CCCNC2=O ZINC001475412619 1074628514 /nfs/dbraw/zinc/62/85/14/1074628514.db2.gz UHSVCODPMMAIOT-AWEZNQCLSA-N 0 0 439.490 -0.187 20 0 IBADRN COC(=O)CNC(=O)[C@H]1CCCN1S(=O)(=O)c1cc2c(cc1OC)CCCNC2=O ZINC001475412620 1074628620 /nfs/dbraw/zinc/62/86/20/1074628620.db2.gz UHSVCODPMMAIOT-CQSZACIVSA-N 0 0 439.490 -0.187 20 0 IBADRN CN1C(=O)CC[C@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC001475418210 1074628653 /nfs/dbraw/zinc/62/86/53/1074628653.db2.gz ATQGLFMFDZAZLB-AWEZNQCLSA-N 0 0 431.492 -0.867 20 0 IBADRN CN1C(=O)CC[C@@H](NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1=O ZINC001475418211 1074628549 /nfs/dbraw/zinc/62/85/49/1074628549.db2.gz ATQGLFMFDZAZLB-CQSZACIVSA-N 0 0 431.492 -0.867 20 0 IBADRN CNC(=O)[C@H](CNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)Cc1ccc(F)cc1C ZINC001475429934 1074628569 /nfs/dbraw/zinc/62/85/69/1074628569.db2.gz DXTXRDCSNGHXNU-HNNXBMFYSA-N 0 0 435.543 -0.150 20 0 IBADRN CNC(=O)[C@@H](CNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)Cc1ccc(F)cc1C ZINC001475429935 1074628498 /nfs/dbraw/zinc/62/84/98/1074628498.db2.gz DXTXRDCSNGHXNU-OAHLLOKOSA-N 0 0 435.543 -0.150 20 0 IBADRN CNC(=O)[C@@H](CNS(=O)(=O)CCn1nc(C)oc1=O)Cc1ccc(OC)c(F)c1 ZINC001475430715 1074628522 /nfs/dbraw/zinc/62/85/22/1074628522.db2.gz BKXWMIKRXHTSBA-CYBMUJFWSA-N 0 0 430.458 -0.183 20 0 IBADRN CNC(=O)[C@H](CNS(=O)(=O)CCn1nc(C)oc1=O)Cc1ccc(OC)c(F)c1 ZINC001475430719 1074628639 /nfs/dbraw/zinc/62/86/39/1074628639.db2.gz BKXWMIKRXHTSBA-ZDUSSCGKSA-N 0 0 430.458 -0.183 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)cc1)NC1CC1 ZINC001475431673 1074629137 /nfs/dbraw/zinc/62/91/37/1074629137.db2.gz FEWKKNRQDSTBFE-GOSISDBHSA-N 0 0 444.531 -0.078 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)cc1)NC1CC1 ZINC001475431676 1074629108 /nfs/dbraw/zinc/62/91/08/1074629108.db2.gz FEWKKNRQDSTBFE-SFHVURJKSA-N 0 0 444.531 -0.078 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)ccc1F ZINC001475432394 1074629157 /nfs/dbraw/zinc/62/91/57/1074629157.db2.gz RMLBBEYEJVHGKR-AWEZNQCLSA-N 0 0 427.497 -0.193 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)ccc1F ZINC001475432397 1074629147 /nfs/dbraw/zinc/62/91/47/1074629147.db2.gz RMLBBEYEJVHGKR-CQSZACIVSA-N 0 0 427.497 -0.193 20 0 IBADRN O=C1O[C@@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1C1CC1 ZINC001475435082 1074629075 /nfs/dbraw/zinc/62/90/75/1074629075.db2.gz DTETVPNVWWZDEL-AWEZNQCLSA-N 0 0 445.519 -0.031 20 0 IBADRN O=C1O[C@H](CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CN1C1CC1 ZINC001475435083 1074629113 /nfs/dbraw/zinc/62/91/13/1074629113.db2.gz DTETVPNVWWZDEL-CQSZACIVSA-N 0 0 445.519 -0.031 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC1CCN([C@H]3CCN(C)C3=O)CC1)OCC(=O)N2 ZINC001475435199 1074629124 /nfs/dbraw/zinc/62/91/24/1074629124.db2.gz KGZKNELYYRYFTH-AWEZNQCLSA-N 0 0 438.506 -0.001 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC1CCN([C@@H]3CCN(C)C3=O)CC1)OCC(=O)N2 ZINC001475435202 1074629140 /nfs/dbraw/zinc/62/91/40/1074629140.db2.gz KGZKNELYYRYFTH-CQSZACIVSA-N 0 0 438.506 -0.001 20 0 IBADRN Cn1cnnc1N1CCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC001475442845 1074629047 /nfs/dbraw/zinc/62/90/47/1074629047.db2.gz DALZBBWLTNJYNP-UHFFFAOYSA-N 0 0 426.524 -0.233 20 0 IBADRN CCN(CC)C(=O)CN1CCC(NS(=O)(=O)c2ccc3[nH]c(=O)c(=O)[nH]c3c2)CC1 ZINC001475445499 1074629060 /nfs/dbraw/zinc/62/90/60/1074629060.db2.gz XZRQYTACSYKOEQ-UHFFFAOYSA-N 0 0 437.522 -0.173 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)c3ccccc3S(=O)(=O)N(C)C)CC2)n1C ZINC001475447913 1074629119 /nfs/dbraw/zinc/62/91/19/1074629119.db2.gz QVMYVZWVLXIAGU-UHFFFAOYSA-N 0 0 428.540 -0.115 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H]3C[C@H]2C[S@]3=O)cc1S(=O)(=O)N1CCOCC1 ZINC001475449025 1074629033 /nfs/dbraw/zinc/62/90/33/1074629033.db2.gz BBYGUZMIPKARBZ-KXTXEXGHSA-N 0 0 434.561 -0.090 20 0 IBADRN Cc1ccc(S(=O)(=O)N2C[C@@H]3C[C@H]2C[S@@]3=O)cc1S(=O)(=O)N1CCOCC1 ZINC001475449026 1074629088 /nfs/dbraw/zinc/62/90/88/1074629088.db2.gz BBYGUZMIPKARBZ-DZWULXIXSA-N 0 0 434.561 -0.090 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC[C@]2(O)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001475458840 1074629008 /nfs/dbraw/zinc/62/90/08/1074629008.db2.gz MIHFLRQSXBUDON-KRWDZBQOSA-N 0 0 434.536 -0.164 20 0 IBADRN Cc1ccc(S(=O)(=O)NCC[C@@]2(O)CCOC2)cc1S(=O)(=O)N1CCOCC1 ZINC001475458843 1074629151 /nfs/dbraw/zinc/62/91/51/1074629151.db2.gz MIHFLRQSXBUDON-QGZVFWFLSA-N 0 0 434.536 -0.164 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2CCN(Cc3nc[nH]n3)CC2)C1 ZINC001475462523 1074629092 /nfs/dbraw/zinc/62/90/92/1074629092.db2.gz JSRCRPALTBTNQL-AWEZNQCLSA-N 0 0 430.531 -0.112 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2CCN(Cc3nc[nH]n3)CC2)C1 ZINC001475462526 1074629132 /nfs/dbraw/zinc/62/91/32/1074629132.db2.gz JSRCRPALTBTNQL-CQSZACIVSA-N 0 0 430.531 -0.112 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(S(=O)(=O)NCc3ccccc3)CC2)on1 ZINC001475466762 1074629507 /nfs/dbraw/zinc/62/95/07/1074629507.db2.gz MIIRWGGJSSHIEP-UHFFFAOYSA-N 0 0 444.491 -0.198 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)C[C@@H]2CCC3(CCOCC3)CO2)CC1 ZINC001475469575 1074629619 /nfs/dbraw/zinc/62/96/19/1074629619.db2.gz FBFBZXXWQFBPJV-HNNXBMFYSA-N 0 0 425.573 -0.284 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)C[C@H]2CCC3(CCOCC3)CO2)CC1 ZINC001475469576 1074629601 /nfs/dbraw/zinc/62/96/01/1074629601.db2.gz FBFBZXXWQFBPJV-OAHLLOKOSA-N 0 0 425.573 -0.284 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)N2CCC[C@H]2C(=O)OC(C)(C)C)CC1 ZINC001475469635 1074629642 /nfs/dbraw/zinc/62/96/42/1074629642.db2.gz GURVATBXKJRIDZ-ZDUSSCGKSA-N 0 0 426.561 -0.539 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](N3CCOC3=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC001475469847 1074629529 /nfs/dbraw/zinc/62/95/29/1074629529.db2.gz HCJHLBPMGYXBDN-ADLMAVQZSA-N 0 0 438.462 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](N3CCOC3=O)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC001475469853 1074629590 /nfs/dbraw/zinc/62/95/90/1074629590.db2.gz HCJHLBPMGYXBDN-BBATYDOGSA-N 0 0 438.462 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@H](N3CCOC3=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC001475469855 1074629648 /nfs/dbraw/zinc/62/96/48/1074629648.db2.gz HCJHLBPMGYXBDN-VOJFVSQTSA-N 0 0 438.462 -0.035 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC[C@@H](N3CCOC3=O)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC001475469857 1074629548 /nfs/dbraw/zinc/62/95/48/1074629548.db2.gz HCJHLBPMGYXBDN-ZMZPIMSZSA-N 0 0 438.462 -0.035 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(S(=O)(=O)CC2CN(C(=O)OC(C)(C)C)C2)CC1 ZINC001475469992 1074629654 /nfs/dbraw/zinc/62/96/54/1074629654.db2.gz LZQDZNVGIIRSJQ-UHFFFAOYSA-N 0 0 426.561 -0.393 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@](CO)(OC)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC001475474743 1074629596 /nfs/dbraw/zinc/62/95/96/1074629596.db2.gz HUSOFSGCVDZGAA-MSOLQXFVSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@](CO)(OC)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC001475474744 1074629571 /nfs/dbraw/zinc/62/95/71/1074629571.db2.gz HUSOFSGCVDZGAA-QZTJIDSGSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@](CO)(OC)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC001475474745 1074629671 /nfs/dbraw/zinc/62/96/71/1074629671.db2.gz HUSOFSGCVDZGAA-ROUUACIJSA-N 0 0 427.479 -0.088 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC[C@@](CO)(OC)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC001475474746 1074629636 /nfs/dbraw/zinc/62/96/36/1074629636.db2.gz HUSOFSGCVDZGAA-ZWKOTPCHSA-N 0 0 427.479 -0.088 20 0 IBADRN CO[C@@]1(CO)CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001475475499 1074629584 /nfs/dbraw/zinc/62/95/84/1074629584.db2.gz SLYRUHDNSRJAII-KRWDZBQOSA-N 0 0 434.536 -0.131 20 0 IBADRN CO[C@]1(CO)CCCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)C1 ZINC001475475501 1074629562 /nfs/dbraw/zinc/62/95/62/1074629562.db2.gz SLYRUHDNSRJAII-QGZVFWFLSA-N 0 0 434.536 -0.131 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(CCN1CCOCC1)[C@H]1CCSC1 ZINC001475478877 1074630013 /nfs/dbraw/zinc/63/00/13/1074630013.db2.gz DBLBEYRAADWGSU-HNNXBMFYSA-N 0 0 429.630 -0.263 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N(CCN1CCOCC1)[C@@H]1CCSC1 ZINC001475478878 1074629995 /nfs/dbraw/zinc/62/99/95/1074629995.db2.gz DBLBEYRAADWGSU-OAHLLOKOSA-N 0 0 429.630 -0.263 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)c(Br)o1 ZINC001475481716 1074630119 /nfs/dbraw/zinc/63/01/19/1074630119.db2.gz JPJSOGCEVQHJLE-HTRCEHHLSA-N 0 0 432.270 -0.081 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)c(Br)o1 ZINC001475481717 1074630090 /nfs/dbraw/zinc/63/00/90/1074630090.db2.gz JPJSOGCEVQHJLE-POYBYMJQSA-N 0 0 432.270 -0.081 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)c(Br)o1 ZINC001475481718 1074630039 /nfs/dbraw/zinc/63/00/39/1074630039.db2.gz JPJSOGCEVQHJLE-SVRRBLITSA-N 0 0 432.270 -0.081 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)c(Br)o1 ZINC001475481719 1074630047 /nfs/dbraw/zinc/63/00/47/1074630047.db2.gz JPJSOGCEVQHJLE-XPUUQOCRSA-N 0 0 432.270 -0.081 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001475481791 1074630133 /nfs/dbraw/zinc/63/01/33/1074630133.db2.gz LLHDJDYYTWPSAJ-CABCVRRESA-N 0 0 438.549 -0.439 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001475481792 1074629974 /nfs/dbraw/zinc/62/99/74/1074629974.db2.gz LLHDJDYYTWPSAJ-GJZGRUSLSA-N 0 0 438.549 -0.439 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001475481793 1074630031 /nfs/dbraw/zinc/63/00/31/1074630031.db2.gz LLHDJDYYTWPSAJ-HUUCEWRRSA-N 0 0 438.549 -0.439 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NS(=O)(=O)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001475481794 1074629982 /nfs/dbraw/zinc/62/99/82/1074629982.db2.gz LLHDJDYYTWPSAJ-LSDHHAIUSA-N 0 0 438.549 -0.439 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@@]2(OCCO)CCOC2)cc1C(=O)NCC(F)(F)F ZINC001475481924 1074630055 /nfs/dbraw/zinc/63/00/55/1074630055.db2.gz PAOURXMTORWYAQ-AWEZNQCLSA-N 0 0 429.417 -0.237 20 0 IBADRN Cn1cc(S(=O)(=O)NC[C@]2(OCCO)CCOC2)cc1C(=O)NCC(F)(F)F ZINC001475481925 1074630129 /nfs/dbraw/zinc/63/01/29/1074630129.db2.gz PAOURXMTORWYAQ-CQSZACIVSA-N 0 0 429.417 -0.237 20 0 IBADRN CN(C)CCN(CC(=O)N(C)C)S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC001475483550 1074630139 /nfs/dbraw/zinc/63/01/39/1074630139.db2.gz WDXYGMAJCLWIHO-UHFFFAOYSA-N 0 0 432.568 -0.232 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1C[C@@H]2C(=O)N(Cc3ccccc3)C(=O)[C@@H]2C1 ZINC001475490251 1074629987 /nfs/dbraw/zinc/62/99/87/1074629987.db2.gz DAFDNXFWEZZQHW-GASCZTMLSA-N 0 0 429.520 -0.628 20 0 IBADRN COCCN(CCOC)S(=O)(=O)N1C[C@@H]2C(=O)N(Cc3ccccc3)C(=O)[C@@H]2C1 ZINC001475490597 1074630616 /nfs/dbraw/zinc/63/06/16/1074630616.db2.gz QBNNNPOFQIMBQZ-CALCHBBNSA-N 0 0 425.507 -0.057 20 0 IBADRN O=S(=O)(NCC(F)(F)F)c1ccc(S(=O)(=O)N2CCC(CO)(CO)C2)cc1 ZINC001475492540 1074630588 /nfs/dbraw/zinc/63/05/88/1074630588.db2.gz UZCUOBKBMUJARV-UHFFFAOYSA-N 0 0 432.442 -0.107 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CCN1S(C)(=O)=O ZINC001475494600 1074630627 /nfs/dbraw/zinc/63/06/27/1074630627.db2.gz DKEYWCFVTWJPCP-KGLIPLIRSA-N 0 0 441.572 -0.082 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CCN1S(C)(=O)=O ZINC001475494602 1074630686 /nfs/dbraw/zinc/63/06/86/1074630686.db2.gz DKEYWCFVTWJPCP-ZIAGYGMSSA-N 0 0 441.572 -0.082 20 0 IBADRN COC(=O)c1cc(C(=O)OC)cc(S(=O)(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)c1 ZINC001475494717 1074630634 /nfs/dbraw/zinc/63/06/34/1074630634.db2.gz HFKIHCQTFUJVST-LLVKDONJSA-N 0 0 434.492 -0.086 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)CCN1S(C)(=O)=O ZINC001475494921 1074630605 /nfs/dbraw/zinc/63/06/05/1074630605.db2.gz IPGDKKRGISWDIZ-CYBMUJFWSA-N 0 0 437.565 -0.119 20 0 IBADRN O=S(=O)([C@@H]1COC[C@H]1O)N1CCC(n2nncc2I)CC1 ZINC001475498106 1074630645 /nfs/dbraw/zinc/63/06/45/1074630645.db2.gz YPOIWISEDXHYCL-NXEZZACHSA-N 0 0 428.252 -0.391 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001475504375 1074630682 /nfs/dbraw/zinc/63/06/82/1074630682.db2.gz WTSDDBWFGOCCOJ-UHFFFAOYSA-N 0 0 437.565 -0.265 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(S(=O)(=O)CCNS(=O)(=O)c3ccccc3)C[C@H]21 ZINC001475504466 1074630574 /nfs/dbraw/zinc/63/05/74/1074630574.db2.gz BEFKGUJZOIMAIG-ZNMIVQPWSA-N 0 0 438.549 -0.962 20 0 IBADRN COc1ccc(CNC(C)=O)cc1S(=O)(=O)N1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC001475504505 1074630597 /nfs/dbraw/zinc/63/05/97/1074630597.db2.gz CQUVIGQMZWCQJC-LXZKKBNFSA-N 0 0 432.520 -0.236 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CCO1 ZINC001475504515 1074630550 /nfs/dbraw/zinc/63/05/50/1074630550.db2.gz CXUVZDRAIFJMRU-JTQLQIEISA-N 0 0 441.432 -0.364 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)CCO1 ZINC001475504516 1074630661 /nfs/dbraw/zinc/63/06/61/1074630661.db2.gz CXUVZDRAIFJMRU-SNVBAGLBSA-N 0 0 441.432 -0.364 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CCO1 ZINC001475504538 1074630666 /nfs/dbraw/zinc/63/06/66/1074630666.db2.gz FCFLUTGVKBPRSJ-KBPBESRZSA-N 0 0 436.531 -0.418 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CCO1 ZINC001475504539 1074630581 /nfs/dbraw/zinc/63/05/81/1074630581.db2.gz FCFLUTGVKBPRSJ-KGLIPLIRSA-N 0 0 436.531 -0.418 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CCO1 ZINC001475504540 1074630679 /nfs/dbraw/zinc/63/06/79/1074630679.db2.gz FCFLUTGVKBPRSJ-UONOGXRCSA-N 0 0 436.531 -0.418 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CCO1 ZINC001475504541 1074630655 /nfs/dbraw/zinc/63/06/55/1074630655.db2.gz FCFLUTGVKBPRSJ-ZIAGYGMSSA-N 0 0 436.531 -0.418 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CC(NS(C)(=O)=O)C2)cc1 ZINC001475504543 1074630638 /nfs/dbraw/zinc/63/06/38/1074630638.db2.gz FFINTFKBHSHWGK-UHFFFAOYSA-N 0 0 426.538 -0.578 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2C[C@H]3[C@@H](C2)[C@H](OC)CS3(=O)=O)cc1C ZINC001475504716 1074630612 /nfs/dbraw/zinc/63/06/12/1074630612.db2.gz MPLZZJFGWBQRJA-GUTXKFCHSA-N 0 0 438.549 -0.664 20 0 IBADRN CNC(=O)NC[C@@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CCO1 ZINC001475504732 1074630622 /nfs/dbraw/zinc/63/06/22/1074630622.db2.gz NBXLVDJSPIPQJQ-CYBMUJFWSA-N 0 0 432.524 -0.554 20 0 IBADRN CNC(=O)NC[C@H]1CN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CCO1 ZINC001475504733 1074630672 /nfs/dbraw/zinc/63/06/72/1074630672.db2.gz NBXLVDJSPIPQJQ-ZDUSSCGKSA-N 0 0 432.524 -0.554 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(NS(C)(=O)=O)C2)cc1F ZINC001475504755 1074631143 /nfs/dbraw/zinc/63/11/43/1074631143.db2.gz OFNLQLCDZAXESJ-UHFFFAOYSA-N 0 0 429.517 -0.101 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCO[C@@H](CNC(=O)NC)C2)cc1 ZINC001475504875 1074631129 /nfs/dbraw/zinc/63/11/29/1074631129.db2.gz VRBKIKGQAWWSKJ-HNNXBMFYSA-N 0 0 448.567 -0.166 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCO[C@H](CNC(=O)NC)C2)cc1 ZINC001475504876 1074631047 /nfs/dbraw/zinc/63/10/47/1074631047.db2.gz VRBKIKGQAWWSKJ-OAHLLOKOSA-N 0 0 448.567 -0.166 20 0 IBADRN CN(CCOCCNS(=O)(=O)CC1CN(S(C)(=O)=O)C1)C(=O)OC(C)(C)C ZINC001475509247 1074631107 /nfs/dbraw/zinc/63/11/07/1074631107.db2.gz HXMBCBAWKBGGEJ-UHFFFAOYSA-N 0 0 429.561 -0.319 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(S(=O)(=O)c2cnn(C)c2I)C1 ZINC001475509370 1074631113 /nfs/dbraw/zinc/63/11/13/1074631113.db2.gz JVBMYWBMRGXVPB-LLVKDONJSA-N 0 0 429.236 -0.023 20 0 IBADRN COC(=O)[C@]1(OC)CCN(S(=O)(=O)c2cnn(C)c2I)C1 ZINC001475509371 1074631101 /nfs/dbraw/zinc/63/11/01/1074631101.db2.gz JVBMYWBMRGXVPB-NSHDSACASA-N 0 0 429.236 -0.023 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)c1 ZINC001475512226 1074631096 /nfs/dbraw/zinc/63/10/96/1074631096.db2.gz FDAAPMVYYISPHZ-KRWDZBQOSA-N 0 0 447.535 -0.767 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)c1 ZINC001475512227 1074631090 /nfs/dbraw/zinc/63/10/90/1074631090.db2.gz FDAAPMVYYISPHZ-QGZVFWFLSA-N 0 0 447.535 -0.767 20 0 IBADRN CNC(=O)c1ccc(CS(=O)(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)cc1 ZINC001475512490 1074631116 /nfs/dbraw/zinc/63/11/16/1074631116.db2.gz OPZGNEUDVNCQKF-IBGZPJMESA-N 0 0 425.507 -0.174 20 0 IBADRN CNC(=O)c1ccc(CS(=O)(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)cc1 ZINC001475512491 1074631057 /nfs/dbraw/zinc/63/10/57/1074631057.db2.gz OPZGNEUDVNCQKF-LJQANCHMSA-N 0 0 425.507 -0.174 20 0 IBADRN Cn1ncc(S(=O)(=O)N2CCN(c3nccnn3)CC2)c1I ZINC001475526525 1074631072 /nfs/dbraw/zinc/63/10/72/1074631072.db2.gz HJWAIYOQEXHRRR-UHFFFAOYSA-N 0 0 435.251 -0.279 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1ccc(OC(C)C)nc1 ZINC001475538811 1074631086 /nfs/dbraw/zinc/63/10/86/1074631086.db2.gz CCOCGRZNTJUEBB-AAEUAGOBSA-N 0 0 426.451 -0.275 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)ccc1F ZINC001475538834 1074631044 /nfs/dbraw/zinc/63/10/44/1074631044.db2.gz CYYCJEPXEPDAOQ-ZANVPECISA-N 0 0 443.409 -0.531 20 0 IBADRN COC(=O)Cc1cccc(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001475539295 1074631133 /nfs/dbraw/zinc/63/11/33/1074631133.db2.gz JUIJKBSDUMZWMD-JSGCOSHPSA-N 0 0 439.446 -0.742 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001475539474 1074631021 /nfs/dbraw/zinc/63/10/21/1074631021.db2.gz MUPXHXBDAQNEMW-AAEUAGOBSA-N 0 0 443.409 -0.531 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)C[C@@H]1CCCC2(CCC2)O1 ZINC001475539790 1074631040 /nfs/dbraw/zinc/63/10/40/1074631040.db2.gz QLOWAFBCBAHLJB-IHRRRGAJSA-N 0 0 429.495 -0.024 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)C[C@H]1CCCC2(CCC2)O1 ZINC001475539791 1074631051 /nfs/dbraw/zinc/63/10/51/1074631051.db2.gz QLOWAFBCBAHLJB-MJBXVCDLSA-N 0 0 429.495 -0.024 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cnn(CC(F)(F)F)c1 ZINC001475540095 1074631120 /nfs/dbraw/zinc/63/11/20/1074631120.db2.gz SGIZIEDPAWFTEE-WPRPVWTQSA-N 0 0 439.372 -0.698 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC001475540190 1074631081 /nfs/dbraw/zinc/63/10/81/1074631081.db2.gz UGCOECRFMOYZRJ-YUMQZZPRSA-N 0 0 439.372 -0.705 20 0 IBADRN COC(=O)c1ccc(F)c(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001475540586 1074631065 /nfs/dbraw/zinc/63/10/65/1074631065.db2.gz WZAHUIKXQQZAFC-JQWIXIFHSA-N 0 0 443.409 -0.531 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)C2CN(C(=O)c3cccc(Cl)c3)C2)C1 ZINC001475547910 1074631471 /nfs/dbraw/zinc/63/14/71/1074631471.db2.gz SMVFPJZPURWKHD-UHFFFAOYSA-N 0 0 436.943 -0.426 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)NC2CN(S(=O)(=O)N(C)C)C2)c1 ZINC001475547999 1074631509 /nfs/dbraw/zinc/63/15/09/1074631509.db2.gz SYYLNJLVERSSPX-UHFFFAOYSA-N 0 0 425.554 -0.524 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2cc(Br)cc(C(N)=O)c2)C1 ZINC001475548023 1074631518 /nfs/dbraw/zinc/63/15/18/1074631518.db2.gz TZJFOAGEJYDPTF-UHFFFAOYSA-N 0 0 441.329 -0.683 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1 ZINC001475548132 1074631526 /nfs/dbraw/zinc/63/15/26/1074631526.db2.gz YLCRWSPCYSMEGN-UHFFFAOYSA-N 0 0 440.569 -0.856 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1Cl ZINC001475549187 1074631497 /nfs/dbraw/zinc/63/14/97/1074631497.db2.gz CVNFNHLWVHNDJI-JTQLQIEISA-N 0 0 446.956 -0.318 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1Cl ZINC001475549188 1074631531 /nfs/dbraw/zinc/63/15/31/1074631531.db2.gz CVNFNHLWVHNDJI-SNVBAGLBSA-N 0 0 446.956 -0.318 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1F ZINC001475549396 1074631501 /nfs/dbraw/zinc/63/15/01/1074631501.db2.gz FOTNAHRVTWTKQQ-JTQLQIEISA-N 0 0 428.435 -0.310 20 0 IBADRN COC(=O)c1cc(F)cc(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1F ZINC001475549397 1074631462 /nfs/dbraw/zinc/63/14/62/1074631462.db2.gz FOTNAHRVTWTKQQ-SNVBAGLBSA-N 0 0 428.435 -0.310 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)c(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001475549473 1074631533 /nfs/dbraw/zinc/63/15/33/1074631533.db2.gz ISFDETKFZBACLB-GFCCVEGCSA-N 0 0 439.943 -0.020 20 0 IBADRN CN(C)C(=O)c1ccc(Cl)c(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001475549474 1074631483 /nfs/dbraw/zinc/63/14/83/1074631483.db2.gz ISFDETKFZBACLB-LBPRGKRZSA-N 0 0 439.943 -0.020 20 0 IBADRN O=S(=O)(NC1CC(N2CCOCC2)C1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001475549777 1074631513 /nfs/dbraw/zinc/63/15/13/1074631513.db2.gz RKYZFUWTMFACEE-UHFFFAOYSA-N 0 0 445.563 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)CC1 ZINC001475550125 1074631468 /nfs/dbraw/zinc/63/14/68/1074631468.db2.gz SJJKHWGSSRAJQR-CYBMUJFWSA-N 0 0 442.560 -0.966 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)CC1 ZINC001475550126 1074631540 /nfs/dbraw/zinc/63/15/40/1074631540.db2.gz SJJKHWGSSRAJQR-ZDUSSCGKSA-N 0 0 442.560 -0.966 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c1 ZINC001475550231 1074631525 /nfs/dbraw/zinc/63/15/25/1074631525.db2.gz WRJKEFXQTDYEDU-CYBMUJFWSA-N 0 0 440.565 -0.354 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c1 ZINC001475550232 1074631521 /nfs/dbraw/zinc/63/15/21/1074631521.db2.gz WRJKEFXQTDYEDU-ZDUSSCGKSA-N 0 0 440.565 -0.354 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)CCNC(=O)OCc1ccccc1 ZINC001475550235 1074631537 /nfs/dbraw/zinc/63/15/37/1074631537.db2.gz WSLCZQIQXLLUHD-HNNXBMFYSA-N 0 0 435.524 -0.507 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)CCNC(=O)OCc1ccccc1 ZINC001475550236 1074631504 /nfs/dbraw/zinc/63/15/04/1074631504.db2.gz WSLCZQIQXLLUHD-OAHLLOKOSA-N 0 0 435.524 -0.507 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC(N3CCOCC3)C2)cc1[C@@]1(C)NC(=O)NC1=O ZINC001475550343 1074631494 /nfs/dbraw/zinc/63/14/94/1074631494.db2.gz AYAJGXPARWYBGS-PNQRNNEWSA-N 0 0 438.506 -0.109 20 0 IBADRN COc1ccc(S(=O)(=O)NC2CC(N3CCOCC3)C2)cc1[C@]1(C)NC(=O)NC1=O ZINC001475550344 1074631457 /nfs/dbraw/zinc/63/14/57/1074631457.db2.gz AYAJGXPARWYBGS-RMTLACHYSA-N 0 0 438.506 -0.109 20 0 IBADRN COC(=O)c1c[nH]nc1S(=O)(=O)NCc1ccc(CN2CCS(=O)(=O)CC2)cc1 ZINC001475550427 1074631477 /nfs/dbraw/zinc/63/14/77/1074631477.db2.gz DVDTURCEWSDKFP-UHFFFAOYSA-N 0 0 442.519 -0.095 20 0 IBADRN O=S(=O)(NCC12COCCN1CCOC2)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC001475550449 1074631965 /nfs/dbraw/zinc/63/19/65/1074631965.db2.gz FKTKMBOTLIEPLY-UHFFFAOYSA-N 0 0 431.536 -0.493 20 0 IBADRN O=S(=O)(NCC12COCCN1CCOC2)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001475550490 1074631976 /nfs/dbraw/zinc/63/19/76/1074631976.db2.gz GXNKSVDLHHTMSQ-UHFFFAOYSA-N 0 0 431.536 -0.493 20 0 IBADRN CS(=O)(=O)CCCS(=O)(=O)NCc1ccc(CN2CCS(=O)(=O)CC2)cc1 ZINC001475550575 1074631953 /nfs/dbraw/zinc/63/19/53/1074631953.db2.gz JYCRLPUHUKICAC-UHFFFAOYSA-N 0 0 438.593 -0.229 20 0 IBADRN O=S(=O)(NCC12COCCN1CCOC2)c1ccccc1S(=O)(=O)NC1CC1 ZINC001475550694 1074631943 /nfs/dbraw/zinc/63/19/43/1074631943.db2.gz NYNSLZVMWFSDIO-UHFFFAOYSA-N 0 0 431.536 -0.493 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2ccc(C(=O)N3CCOCC3)cc2)c1=O ZINC001475550767 1074631956 /nfs/dbraw/zinc/63/19/56/1074631956.db2.gz QGJYZUTXOJBICS-UHFFFAOYSA-N 0 0 436.490 -0.612 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2ccc(Cl)c(S(C)(=O)=O)c2)c1=O ZINC001475550785 1074631970 /nfs/dbraw/zinc/63/19/70/1074631970.db2.gz QSPAOARBVQVEFK-UHFFFAOYSA-N 0 0 435.911 -0.028 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)C(F)(F)F)C1 ZINC001475553496 1074631920 /nfs/dbraw/zinc/63/19/20/1074631920.db2.gz ZVISFPWLCFDPHW-CYDGBPFRSA-N 0 0 447.501 -0.061 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)C(F)(F)F ZINC001475553500 1074631925 /nfs/dbraw/zinc/63/19/25/1074631925.db2.gz ZVISFPWLCFDPHW-LOWDOPEQSA-N 0 0 447.501 -0.061 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)C(F)(F)F ZINC001475553502 1074631947 /nfs/dbraw/zinc/63/19/47/1074631947.db2.gz ZVISFPWLCFDPHW-RNJOBUHISA-N 0 0 447.501 -0.061 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)C(F)(F)F ZINC001475553506 1074631950 /nfs/dbraw/zinc/63/19/50/1074631950.db2.gz ZVISFPWLCFDPHW-RVMXOQNASA-N 0 0 447.501 -0.061 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)NCCCN2CCCS2(=O)=O)CC1 ZINC001475555860 1074631913 /nfs/dbraw/zinc/63/19/13/1074631913.db2.gz GSRQKZFBHKRDCT-UHFFFAOYSA-N 0 0 426.561 -0.201 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCCCN2CCCS2(=O)=O)C1 ZINC001475556314 1074631968 /nfs/dbraw/zinc/63/19/68/1074631968.db2.gz RVIIFZYDUYXRGL-AWEZNQCLSA-N 0 0 441.572 -0.033 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCCCN2CCCS2(=O)=O)C1 ZINC001475556315 1074631900 /nfs/dbraw/zinc/63/19/00/1074631900.db2.gz RVIIFZYDUYXRGL-CQSZACIVSA-N 0 0 441.572 -0.033 20 0 IBADRN CNS(=O)(=O)CCCNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001475560120 1074631935 /nfs/dbraw/zinc/63/19/35/1074631935.db2.gz AICOGRUDRGXXLT-INIZCTEOSA-N 0 0 449.551 -0.117 20 0 IBADRN CNS(=O)(=O)CCCNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001475560121 1074631929 /nfs/dbraw/zinc/63/19/29/1074631929.db2.gz AICOGRUDRGXXLT-MRXNPFEDSA-N 0 0 449.551 -0.117 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2nc(C)n(C)c2Br)CC1 ZINC001475562469 1074631940 /nfs/dbraw/zinc/63/19/40/1074631940.db2.gz AYTJWGLYRGDFJF-UHFFFAOYSA-N 0 0 436.332 -0.150 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2cc(S(C)(=O)=O)ccc2C)CC1 ZINC001475562549 1074631907 /nfs/dbraw/zinc/63/19/07/1074631907.db2.gz FXPOSXRRHXNSFZ-UHFFFAOYSA-N 0 0 431.536 -0.242 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccc3c(c2)sc(=O)n3C)CC1 ZINC001475562556 1074631973 /nfs/dbraw/zinc/63/19/73/1074631973.db2.gz GEMLWMBYCLVJLW-UHFFFAOYSA-N 0 0 426.520 -0.041 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccccc2S(=O)(=O)N(C)C)CC1 ZINC001475562558 1074631964 /nfs/dbraw/zinc/63/19/64/1074631964.db2.gz GFQPDAZIRRGEGP-UHFFFAOYSA-N 0 0 446.551 -0.704 20 0 IBADRN COc1ccc(C(=O)N(C)C)cc1S(=O)(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001475562646 1074631960 /nfs/dbraw/zinc/63/19/60/1074631960.db2.gz KZEVHCMHIRSSRX-UHFFFAOYSA-N 0 0 440.522 -0.244 20 0 IBADRN COC(=O)c1ccc(OC)c(S(=O)(=O)N2CCCN(C(=O)CNC(C)=O)CC2)c1 ZINC001475562710 1074632218 /nfs/dbraw/zinc/63/22/18/1074632218.db2.gz OBHITXCESUXVED-UHFFFAOYSA-N 0 0 427.479 -0.159 20 0 IBADRN COC(=O)Cc1cc(OC)ccc1S(=O)(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001475562763 1074632291 /nfs/dbraw/zinc/63/22/91/1074632291.db2.gz QKZFIEHGGWTVRW-UHFFFAOYSA-N 0 0 441.506 -0.230 20 0 IBADRN COC(=O)c1nc(Cl)ccc1S(=O)(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001475562795 1074632315 /nfs/dbraw/zinc/63/23/15/1074632315.db2.gz SLJPJTBCKJKPER-UHFFFAOYSA-N 0 0 432.886 -0.119 20 0 IBADRN CCOC(=O)C[C@H](C(F)(F)F)S(=O)(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001475562844 1074632264 /nfs/dbraw/zinc/63/22/64/1074632264.db2.gz VALANUHIRQZZSC-GFCCVEGCSA-N 0 0 431.433 -0.129 20 0 IBADRN CCOC(=O)C[C@@H](C(F)(F)F)S(=O)(=O)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC001475562845 1074632327 /nfs/dbraw/zinc/63/23/27/1074632327.db2.gz VALANUHIRQZZSC-LBPRGKRZSA-N 0 0 431.433 -0.129 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCCN(C(=O)CNC(C)=O)CC2)c(OC)cc1F ZINC001475562911 1074632209 /nfs/dbraw/zinc/63/22/09/1074632209.db2.gz ZRSSYSVWFBYHEZ-UHFFFAOYSA-N 0 0 445.469 -0.020 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)c1cnn2cc(Br)cnc12 ZINC001475563461 1074632279 /nfs/dbraw/zinc/63/22/79/1074632279.db2.gz BGGQBSLFTLOYIL-UHFFFAOYSA-N 0 0 434.272 -0.161 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)NCCC(=O)NCCC(=O)OC)ccc2O1 ZINC001475564047 1074632276 /nfs/dbraw/zinc/63/22/76/1074632276.db2.gz KXKCMGOYKWARRC-HNNXBMFYSA-N 0 0 428.463 -0.099 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)NCCC(=O)NCCC(=O)OC)ccc2O1 ZINC001475564048 1074632241 /nfs/dbraw/zinc/63/22/41/1074632241.db2.gz KXKCMGOYKWARRC-OAHLLOKOSA-N 0 0 428.463 -0.099 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC001475565547 1074632236 /nfs/dbraw/zinc/63/22/36/1074632236.db2.gz BWESOZMPGABVLM-JTQLQIEISA-N 0 0 430.460 -0.737 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC001475565548 1074632228 /nfs/dbraw/zinc/63/22/28/1074632228.db2.gz BWESOZMPGABVLM-SNVBAGLBSA-N 0 0 430.460 -0.737 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001475565838 1074632198 /nfs/dbraw/zinc/63/21/98/1074632198.db2.gz NNNUCEHYCMGDLG-LLVKDONJSA-N 0 0 425.506 -0.559 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cccc(S(C)(=O)=O)c1 ZINC001475565839 1074632335 /nfs/dbraw/zinc/63/23/35/1074632335.db2.gz NNNUCEHYCMGDLG-NSHDSACASA-N 0 0 425.506 -0.559 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1nc(C)n(C)c1Br ZINC001475565950 1074632252 /nfs/dbraw/zinc/63/22/52/1074632252.db2.gz RVJXDYDDOSKFGR-SECBINFHSA-N 0 0 444.329 -0.158 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1nc(C)n(C)c1Br ZINC001475565951 1074632322 /nfs/dbraw/zinc/63/23/22/1074632322.db2.gz RVJXDYDDOSKFGR-VIFPVBQESA-N 0 0 444.329 -0.158 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC001475565966 1074632345 /nfs/dbraw/zinc/63/23/45/1074632345.db2.gz SGPCIRLBQBJXCB-AWEZNQCLSA-N 0 0 430.504 -0.497 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC001475565967 1074632307 /nfs/dbraw/zinc/63/23/07/1074632307.db2.gz SGPCIRLBQBJXCB-CQSZACIVSA-N 0 0 430.504 -0.497 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(CNC(C)=O)ccc1OC ZINC001475566082 1074632340 /nfs/dbraw/zinc/63/23/40/1074632340.db2.gz WXAYEYLZIRNMFR-AWEZNQCLSA-N 0 0 448.519 -0.318 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(CNC(C)=O)ccc1OC ZINC001475566083 1074632348 /nfs/dbraw/zinc/63/23/48/1074632348.db2.gz WXAYEYLZIRNMFR-CQSZACIVSA-N 0 0 448.519 -0.318 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)C(=O)NCCO2 ZINC001475567572 1074632298 /nfs/dbraw/zinc/63/22/98/1074632298.db2.gz OUCBKLWSXIMETA-LLVKDONJSA-N 0 0 432.476 -0.840 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1ccc2c(c1)C(=O)NCCO2 ZINC001475567573 1074632839 /nfs/dbraw/zinc/63/28/39/1074632839.db2.gz OUCBKLWSXIMETA-NSHDSACASA-N 0 0 432.476 -0.840 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2C[C@@]3(C)C(=O)NC(=O)[C@]3(C)C2)C1 ZINC001475569853 1074632799 /nfs/dbraw/zinc/63/27/99/1074632799.db2.gz HFLPEZFCDQEBJI-IGNZVWTISA-N 0 0 431.511 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@@]3(C)C2)C1 ZINC001475569854 1074632802 /nfs/dbraw/zinc/63/28/02/1074632802.db2.gz HFLPEZFCDQEBJI-JBBXEZCESA-N 0 0 431.511 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)C1 ZINC001475569855 1074632869 /nfs/dbraw/zinc/63/28/69/1074632869.db2.gz HFLPEZFCDQEBJI-PJSAGSTRSA-N 0 0 431.511 -0.063 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)N2C[C@]3(C)C(=O)NC(=O)[C@]3(C)C2)C1 ZINC001475569856 1074632771 /nfs/dbraw/zinc/63/27/71/1074632771.db2.gz HFLPEZFCDQEBJI-UYHISHBKSA-N 0 0 431.511 -0.063 20 0 IBADRN CC1(C)CC(=O)NCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001475582265 1074632816 /nfs/dbraw/zinc/63/28/16/1074632816.db2.gz DKILWTSGSAMRDN-UHFFFAOYSA-N 0 0 431.536 -0.003 20 0 IBADRN COC(=O)c1cc(Br)cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c1 ZINC001475585288 1074632853 /nfs/dbraw/zinc/63/28/53/1074632853.db2.gz LXCDXQXQQRXAGR-GHMZBOCLSA-N 0 0 428.282 -0.328 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N[C@@H](CO)CN2CCOCC2)c(F)cc1Br ZINC001475589106 1074632857 /nfs/dbraw/zinc/63/28/57/1074632857.db2.gz BEWUFPOIOHKKEJ-SECBINFHSA-N 0 0 440.291 -0.342 20 0 IBADRN NC(=O)c1cc(S(=O)(=O)N[C@H](CO)CN2CCOCC2)c(F)cc1Br ZINC001475589107 1074632803 /nfs/dbraw/zinc/63/28/03/1074632803.db2.gz BEWUFPOIOHKKEJ-VIFPVBQESA-N 0 0 440.291 -0.342 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N[C@H](CO)CN2CCOCC2)cc1 ZINC001475589325 1074632866 /nfs/dbraw/zinc/63/28/66/1074632866.db2.gz FTJFNROSUSBNSI-HNNXBMFYSA-N 0 0 435.568 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N[C@@H](CO)CN2CCOCC2)cc1 ZINC001475589326 1074632863 /nfs/dbraw/zinc/63/28/63/1074632863.db2.gz FTJFNROSUSBNSI-OAHLLOKOSA-N 0 0 435.568 -0.312 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@H](CO)CN2CCOCC2)c(OC)c1 ZINC001475590049 1074303695 /nfs/dbraw/zinc/30/36/95/1074303695.db2.gz RUWITJKIXLDYEG-AWEZNQCLSA-N 0 0 437.540 -0.572 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)N[C@@H](CO)CN2CCOCC2)c(OC)c1 ZINC001475590052 1074303717 /nfs/dbraw/zinc/30/37/17/1074303717.db2.gz RUWITJKIXLDYEG-CQSZACIVSA-N 0 0 437.540 -0.572 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)cc1F ZINC001475592798 1074633276 /nfs/dbraw/zinc/63/32/76/1074633276.db2.gz ANTXALBUPSAMOH-HNNXBMFYSA-N 0 0 437.471 -0.897 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)cc1F ZINC001475592799 1074633219 /nfs/dbraw/zinc/63/32/19/1074633219.db2.gz ANTXALBUPSAMOH-OAHLLOKOSA-N 0 0 437.471 -0.897 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001475594014 1074633296 /nfs/dbraw/zinc/63/32/96/1074633296.db2.gz PXATZVRRNYSJRE-KRWDZBQOSA-N 0 0 432.520 -0.387 20 0 IBADRN Cc1cc(S(C)(=O)=O)c(C)c(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001475594015 1074633192 /nfs/dbraw/zinc/63/31/92/1074633192.db2.gz PXATZVRRNYSJRE-QGZVFWFLSA-N 0 0 432.520 -0.387 20 0 IBADRN O=C1COC[C@]2(CNS(=O)(=O)c3ccc(Cl)c(-c4nn[nH]n4)c3)COCCN12 ZINC001475594032 1074633138 /nfs/dbraw/zinc/63/31/38/1074633138.db2.gz QQHGLHWZMZNKQG-HNNXBMFYSA-N 0 0 428.858 -0.574 20 0 IBADRN O=C1COC[C@@]2(CNS(=O)(=O)c3ccc(Cl)c(-c4nn[nH]n4)c3)COCCN12 ZINC001475594033 1074633244 /nfs/dbraw/zinc/63/32/44/1074633244.db2.gz QQHGLHWZMZNKQG-OAHLLOKOSA-N 0 0 428.858 -0.574 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNS(=O)(=O)CCN(C)S(=O)(=O)c1ccc(F)cc1 ZINC001475594086 1074633201 /nfs/dbraw/zinc/63/32/01/1074633201.db2.gz ONYNEAIGLZEEGQ-IUODEOHRSA-N 0 0 438.499 -0.056 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001475594208 1074633304 /nfs/dbraw/zinc/63/33/04/1074633304.db2.gz SRRYBILUKRZPMA-GOSISDBHSA-N 0 0 433.527 -0.498 20 0 IBADRN CC(C)(C)OC(=O)C1CCN(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001475594209 1074633233 /nfs/dbraw/zinc/63/32/33/1074633233.db2.gz SRRYBILUKRZPMA-SFHVURJKSA-N 0 0 433.527 -0.498 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)s1 ZINC001475594613 1074633159 /nfs/dbraw/zinc/63/31/59/1074633159.db2.gz ZZFURRYZMYYGSE-INIZCTEOSA-N 0 0 433.508 -0.447 20 0 IBADRN COC(=O)NCCc1ccc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)s1 ZINC001475594614 1074633264 /nfs/dbraw/zinc/63/32/64/1074633264.db2.gz ZZFURRYZMYYGSE-MRXNPFEDSA-N 0 0 433.508 -0.447 20 0 IBADRN Cc1ccccc1CN1CCO[C@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001475597138 1074633185 /nfs/dbraw/zinc/63/31/85/1074633185.db2.gz IRXKCCLYCDBVMS-GOSISDBHSA-N 0 0 446.595 -0.393 20 0 IBADRN Cc1ccccc1CN1CCO[C@@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001475597149 1074633214 /nfs/dbraw/zinc/63/32/14/1074633214.db2.gz IRXKCCLYCDBVMS-SFHVURJKSA-N 0 0 446.595 -0.393 20 0 IBADRN O=C1OC[C@]2(CNS(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)COCCN12 ZINC001475600847 1074633743 /nfs/dbraw/zinc/63/37/43/1074633743.db2.gz IOUDGXBAMQMZMG-KRWDZBQOSA-N 0 0 445.519 -0.029 20 0 IBADRN O=C1OC[C@@]2(CNS(=O)(=O)c3ccc(S(=O)(=O)N4CCCC4)cc3)COCCN12 ZINC001475600848 1074633740 /nfs/dbraw/zinc/63/37/40/1074633740.db2.gz IOUDGXBAMQMZMG-QGZVFWFLSA-N 0 0 445.519 -0.029 20 0 IBADRN O=C1OC[C@]2(CNS(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)COCCN12 ZINC001475600849 1074633726 /nfs/dbraw/zinc/63/37/26/1074633726.db2.gz IPHIUMMKVFEJSD-INIZCTEOSA-N 0 0 431.492 -0.373 20 0 IBADRN O=C1OC[C@@]2(CNS(=O)(=O)c3ccc(S(=O)(=O)NC4CC4)cc3)COCCN12 ZINC001475600850 1074633711 /nfs/dbraw/zinc/63/37/11/1074633711.db2.gz IPHIUMMKVFEJSD-MRXNPFEDSA-N 0 0 431.492 -0.373 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NS(=O)(=O)CC2CN(S(C)(=O)=O)C2)[C@H](O)C1 ZINC001475604946 1074633775 /nfs/dbraw/zinc/63/37/75/1074633775.db2.gz QRPBSXNVWJNBFN-CHWSQXEVSA-N 0 0 427.545 -0.833 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](NS(=O)(=O)CC2CN(S(C)(=O)=O)C2)[C@@H](O)C1 ZINC001475604947 1074633760 /nfs/dbraw/zinc/63/37/60/1074633760.db2.gz QRPBSXNVWJNBFN-OLZOCXBDSA-N 0 0 427.545 -0.833 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NS(=O)(=O)CC2CN(S(C)(=O)=O)C2)[C@H](O)C1 ZINC001475604948 1074633765 /nfs/dbraw/zinc/63/37/65/1074633765.db2.gz QRPBSXNVWJNBFN-QWHCGFSZSA-N 0 0 427.545 -0.833 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](NS(=O)(=O)CC2CN(S(C)(=O)=O)C2)[C@@H](O)C1 ZINC001475604949 1074633764 /nfs/dbraw/zinc/63/37/64/1074633764.db2.gz QRPBSXNVWJNBFN-STQMWFEESA-N 0 0 427.545 -0.833 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1C[C@H](CO)[C@H](CO)C1 ZINC001475606117 1074633770 /nfs/dbraw/zinc/63/37/70/1074633770.db2.gz JSNNERRTSWIITL-MHORFTMASA-N 0 0 428.507 -0.115 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@@H]1S(=O)(=O)N1C[C@H](CO)[C@H](CO)C1 ZINC001475606120 1074633719 /nfs/dbraw/zinc/63/37/19/1074633719.db2.gz JSNNERRTSWIITL-XDNAFOTISA-N 0 0 428.507 -0.115 20 0 IBADRN CO[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1C[C@H](CO)[C@H](CO)C1 ZINC001475606122 1074633701 /nfs/dbraw/zinc/63/37/01/1074633701.db2.gz JSNNERRTSWIITL-XMTFNYHQSA-N 0 0 428.507 -0.115 20 0 IBADRN CO[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1S(=O)(=O)N1C[C@H](CO)[C@H](CO)C1 ZINC001475606124 1074633757 /nfs/dbraw/zinc/63/37/57/1074633757.db2.gz JSNNERRTSWIITL-XWTMOSNGSA-N 0 0 428.507 -0.115 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC001475609427 1074633756 /nfs/dbraw/zinc/63/37/56/1074633756.db2.gz DBBSUQCQQCQXLO-CYBMUJFWSA-N 0 0 432.524 -0.554 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1ccccc1S(=O)(=O)NC1CC1 ZINC001475609428 1074633751 /nfs/dbraw/zinc/63/37/51/1074633751.db2.gz DBBSUQCQQCQXLO-ZDUSSCGKSA-N 0 0 432.524 -0.554 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001475609490 1074633768 /nfs/dbraw/zinc/63/37/68/1074633768.db2.gz GVVSQNXUIOICJL-AWEZNQCLSA-N 0 0 446.551 -0.210 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001475609491 1074633755 /nfs/dbraw/zinc/63/37/55/1074633755.db2.gz GVVSQNXUIOICJL-CQSZACIVSA-N 0 0 446.551 -0.210 20 0 IBADRN COC(=O)Cn1cc(S(=O)(=O)NCc2c(I)cnn2C)cn1 ZINC001475609833 1074633722 /nfs/dbraw/zinc/63/37/22/1074633722.db2.gz AKYXPRUOGVPWSM-UHFFFAOYSA-N 0 0 439.235 -0.127 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]3OCCNC(=O)[C@H]32)cc1C(=O)OC ZINC001475611084 1074633746 /nfs/dbraw/zinc/63/37/46/1074633746.db2.gz KSHSDPZFNSJOBA-CABCVRRESA-N 0 0 426.447 -0.072 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]3OCCNC(=O)[C@H]32)cc1C(=O)OC ZINC001475611088 1074634094 /nfs/dbraw/zinc/63/40/94/1074634094.db2.gz KSHSDPZFNSJOBA-GJZGRUSLSA-N 0 0 426.447 -0.072 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC[C@H]3OCCNC(=O)[C@@H]32)cc1C(=O)OC ZINC001475611090 1074634074 /nfs/dbraw/zinc/63/40/74/1074634074.db2.gz KSHSDPZFNSJOBA-HUUCEWRRSA-N 0 0 426.447 -0.072 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2CCC[C@@H]3OCCNC(=O)[C@@H]32)cc1C(=O)OC ZINC001475611093 1074634257 /nfs/dbraw/zinc/63/42/57/1074634257.db2.gz KSHSDPZFNSJOBA-LSDHHAIUSA-N 0 0 426.447 -0.072 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CC[C@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001475619492 1074634192 /nfs/dbraw/zinc/63/41/92/1074634192.db2.gz VVZFEXMQIPBGIA-GOSISDBHSA-N 0 0 445.563 -0.241 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CC[C@@]2(C1)CN(Cc1ccccc1)C(=O)CO2 ZINC001475619493 1074634116 /nfs/dbraw/zinc/63/41/16/1074634116.db2.gz VVZFEXMQIPBGIA-SFHVURJKSA-N 0 0 445.563 -0.241 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N[C@H]2CCCN(CCN3CCOCC3)C2)cc1 ZINC001475620340 1074634144 /nfs/dbraw/zinc/63/41/44/1074634144.db2.gz GYNVZGPWDVUKQR-HNNXBMFYSA-N 0 0 432.568 -0.591 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N[C@@H]2CCCN(CCN3CCOCC3)C2)cc1 ZINC001475620341 1074634234 /nfs/dbraw/zinc/63/42/34/1074634234.db2.gz GYNVZGPWDVUKQR-OAHLLOKOSA-N 0 0 432.568 -0.591 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CCC(=O)NCC23COC3)cc1 ZINC001475621960 1074634107 /nfs/dbraw/zinc/63/41/07/1074634107.db2.gz GEERQRWXUZLXTK-UHFFFAOYSA-N 0 0 431.536 -0.204 20 0 IBADRN NS(=O)(=O)N1CCN(S(=O)(=O)c2cnn3cc(Br)cnc23)CC1 ZINC001475623821 1074634247 /nfs/dbraw/zinc/63/42/47/1074634247.db2.gz CMVJANPMRZQDPZ-UHFFFAOYSA-N 0 0 425.290 -0.998 20 0 IBADRN Cn1ncnc1C1(O)CN(S(=O)(=O)c2cccc(S(=O)(=O)N3CCCC3)c2)C1 ZINC001475630346 1074634218 /nfs/dbraw/zinc/63/42/18/1074634218.db2.gz AAAPNOMUDNLJRJ-UHFFFAOYSA-N 0 0 427.508 -0.508 20 0 IBADRN CN(CCS(=O)(=O)N1CC(O)(c2ncnn2C)C1)S(=O)(=O)c1ccc(F)cc1 ZINC001475630585 1074634135 /nfs/dbraw/zinc/63/41/35/1074634135.db2.gz LTBOSLPFJVSZDI-UHFFFAOYSA-N 0 0 433.487 -0.892 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(S(=O)(=O)N2CC(O)(c3ncnn3C)C2)cc1 ZINC001475630637 1074634202 /nfs/dbraw/zinc/63/42/02/1074634202.db2.gz OCYFXUTZBBDVAL-UHFFFAOYSA-N 0 0 429.524 -0.262 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)NCc1nnc2c(=O)n(C)ccn12)c1ccccc1 ZINC001475634720 1074634627 /nfs/dbraw/zinc/63/46/27/1074634627.db2.gz JWBXDOUCSVLJII-HHHXNRCGSA-N 0 0 425.496 -0.234 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)NCc1nnc2c(=O)n(C)ccn12)c1ccccc1 ZINC001475634721 1074634597 /nfs/dbraw/zinc/63/45/97/1074634597.db2.gz JWBXDOUCSVLJII-MHZLTWQESA-N 0 0 425.496 -0.234 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1S(=O)(=O)NCCN(C)CCS(C)(=O)=O ZINC001475636375 1074634568 /nfs/dbraw/zinc/63/45/68/1074634568.db2.gz JAHCDJYRTDQEBT-UHFFFAOYSA-N 0 0 427.570 -0.808 20 0 IBADRN Cn1ncnc1C1(O)CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC001475637152 1074304866 /nfs/dbraw/zinc/30/48/66/1074304866.db2.gz QQXPHVBIZHZYPM-UHFFFAOYSA-N 0 0 429.524 -0.593 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2cc3c(cc2F)NC(=O)CC3)C[C@@H]1S(C)(=O)=O ZINC001475637206 1074304848 /nfs/dbraw/zinc/30/48/48/1074304848.db2.gz SUFGRNQLANVIRI-XJKSGUPXSA-N 0 0 434.467 -0.035 20 0 IBADRN CN(CCS(N)(=O)=O)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCCC2)c1 ZINC001475637810 1074634631 /nfs/dbraw/zinc/63/46/31/1074634631.db2.gz IOCHMPUKDHSSIQ-UHFFFAOYSA-N 0 0 425.554 -0.230 20 0 IBADRN COC1(c2cnnn2C)CN(S(=O)(=O)c2ccc(S(=O)(=O)NC3CC3)cc2)C1 ZINC001475637896 1074634637 /nfs/dbraw/zinc/63/46/37/1074634637.db2.gz KKEOZNUXGCXLQZ-UHFFFAOYSA-N 0 0 427.508 -0.198 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)CC2CN(C(=O)OC(C)(C)C)C2)C[C@@H]1S(C)(=O)=O ZINC001475637926 1074634572 /nfs/dbraw/zinc/63/45/72/1074634572.db2.gz LBCKISWPTJTBCG-BLLLJJGKSA-N 0 0 440.540 -0.251 20 0 IBADRN COC(=O)c1ccc(OC)c2c1CCN(S(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C2 ZINC001475638393 1074634643 /nfs/dbraw/zinc/63/46/43/1074634643.db2.gz SAALNEKRAHCRMD-UHFFFAOYSA-N 0 0 447.535 -0.338 20 0 IBADRN CN(CCS(N)(=O)=O)S(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001475638681 1074634636 /nfs/dbraw/zinc/63/46/36/1074634636.db2.gz XJDKDQXTHKJDLW-HNNXBMFYSA-N 0 0 435.524 -0.426 20 0 IBADRN CN(CCS(N)(=O)=O)S(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001475638682 1074634584 /nfs/dbraw/zinc/63/45/84/1074634584.db2.gz XJDKDQXTHKJDLW-OAHLLOKOSA-N 0 0 435.524 -0.426 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CNC(=O)CN2S(=O)(=O)N1CCC2(CC1)OCCO2 ZINC001475639588 1074634607 /nfs/dbraw/zinc/63/46/07/1074634607.db2.gz ZWLVEWUGPXTVCT-UHFFFAOYSA-N 0 0 446.526 -0.509 20 0 IBADRN CONC(=O)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001475644706 1074634641 /nfs/dbraw/zinc/63/46/41/1074634641.db2.gz RPULCVLHQFOKLK-UHFFFAOYSA-N 0 0 447.535 -0.214 20 0 IBADRN CN(CCS(=O)(=O)N1CCN([S@@](C)(=N)=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC001475647813 1074634638 /nfs/dbraw/zinc/63/46/38/1074634638.db2.gz HVVCTLHOKGYGHX-RUZDIDTESA-N 0 0 442.560 -0.015 20 0 IBADRN CN(CCS(=O)(=O)N1CCN([S@](C)(=N)=O)CC1)S(=O)(=O)c1ccc(F)cc1 ZINC001475647822 1074634646 /nfs/dbraw/zinc/63/46/46/1074634646.db2.gz HVVCTLHOKGYGHX-VWLOTQADSA-N 0 0 442.560 -0.015 20 0 IBADRN C[S@](=N)(=O)N1CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001475649352 1074634561 /nfs/dbraw/zinc/63/45/61/1074634561.db2.gz SOVJDZPLFISJGI-MUUNZHRXSA-N 0 0 444.535 -0.162 20 0 IBADRN C[S@@](=N)(=O)N1CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001475649372 1074634592 /nfs/dbraw/zinc/63/45/92/1074634592.db2.gz SOVJDZPLFISJGI-NDEPHWFRSA-N 0 0 444.535 -0.162 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@H](CNS(C)(=O)=O)C2)cc1 ZINC001475650295 1074634615 /nfs/dbraw/zinc/63/46/15/1074634615.db2.gz YZPNXWPYCZQBER-CYBMUJFWSA-N 0 0 439.581 -0.065 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)cc1 ZINC001475650296 1074634634 /nfs/dbraw/zinc/63/46/34/1074634634.db2.gz YZPNXWPYCZQBER-ZDUSSCGKSA-N 0 0 439.581 -0.065 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N(C)CC(=O)N2CCN(C)CC2)cc1C(=O)OC ZINC001475650811 1074634618 /nfs/dbraw/zinc/63/46/18/1074634618.db2.gz ATQRLTAFIZVRNP-UHFFFAOYSA-N 0 0 427.479 -0.346 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)S(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001475651040 1074635194 /nfs/dbraw/zinc/63/51/94/1074635194.db2.gz HDMSQSLLZDZCED-UHFFFAOYSA-N 0 0 439.460 -0.288 20 0 IBADRN CN(CC(=O)N1CCN(C)CC1)S(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001475651060 1074635150 /nfs/dbraw/zinc/63/51/50/1074635150.db2.gz HPRCHURUCVKQCC-UHFFFAOYSA-N 0 0 444.579 -0.134 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@H]1CNC(=O)c1cnn(C)c1 ZINC001475652511 1074635169 /nfs/dbraw/zinc/63/51/69/1074635169.db2.gz HDJLYZWZCAPRTN-AWEZNQCLSA-N 0 0 441.535 -0.089 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCC[C@@H]1CNC(=O)c1cnn(C)c1 ZINC001475652513 1074635142 /nfs/dbraw/zinc/63/51/42/1074635142.db2.gz HDJLYZWZCAPRTN-CQSZACIVSA-N 0 0 441.535 -0.089 20 0 IBADRN Cc1nc(N2CCN(S(=O)(=O)c3cnn(C)c3I)CC2)n[nH]1 ZINC001475652519 1074635230 /nfs/dbraw/zinc/63/52/30/1074635230.db2.gz HJJASDCUOKZXCB-UHFFFAOYSA-N 0 0 437.267 -0.038 20 0 IBADRN Cn1ncnc1CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001475652535 1074635187 /nfs/dbraw/zinc/63/51/87/1074635187.db2.gz HOFJURIMOJZUDN-UHFFFAOYSA-N 0 0 429.524 -0.253 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN(CC(F)(F)CO)CC1 ZINC001475653780 1074635033 /nfs/dbraw/zinc/63/50/33/1074635033.db2.gz YNMNNZPFMOERNG-UHFFFAOYSA-N 0 0 427.495 -0.081 20 0 IBADRN Cn1cnnc1[C@H](CO)NS(=O)(=O)c1ccc(S(C)(=O)=O)cc1Br ZINC001475656044 1074635125 /nfs/dbraw/zinc/63/51/25/1074635125.db2.gz PHTSDUUICFFEMI-JTQLQIEISA-N 0 0 439.313 -0.007 20 0 IBADRN Cn1cnnc1[C@@H](CO)NS(=O)(=O)c1ccc(S(C)(=O)=O)cc1Br ZINC001475656045 1074635201 /nfs/dbraw/zinc/63/52/01/1074635201.db2.gz PHTSDUUICFFEMI-SNVBAGLBSA-N 0 0 439.313 -0.007 20 0 IBADRN CCOC(=O)[C@@H]1CCCN1S(=O)(=O)NCC(=O)NCC(=O)NCc1ccccc1 ZINC001475662224 1074635235 /nfs/dbraw/zinc/63/52/35/1074635235.db2.gz GRGBYJSUSDCZKS-HNNXBMFYSA-N 0 0 426.495 -0.719 20 0 IBADRN CCOC(=O)[C@H]1CCCN1S(=O)(=O)NCC(=O)NCC(=O)NCc1ccccc1 ZINC001475662225 1074635079 /nfs/dbraw/zinc/63/50/79/1074635079.db2.gz GRGBYJSUSDCZKS-OAHLLOKOSA-N 0 0 426.495 -0.719 20 0 IBADRN CN1c2ccc(S(=O)(=O)NCC(=O)NCC(=O)NCc3ccccc3)cc2CC1=O ZINC001475662283 1074635224 /nfs/dbraw/zinc/63/52/24/1074635224.db2.gz JIOFOBMXUQBEAZ-UHFFFAOYSA-N 0 0 430.486 -0.084 20 0 IBADRN O=C(CNC(=O)CNS(=O)(=O)c1cnnn1-c1ccccc1)NCc1ccccc1 ZINC001475662552 1074635118 /nfs/dbraw/zinc/63/51/18/1074635118.db2.gz WBJUKUIYUGLBEA-UHFFFAOYSA-N 0 0 428.474 -0.022 20 0 IBADRN COC(=O)[C@H](Cc1cc2ccccc2o1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001475665250 1074635238 /nfs/dbraw/zinc/63/52/38/1074635238.db2.gz PPCHRLHAAJZSEI-HNNXBMFYSA-N 0 0 445.519 -0.072 20 0 IBADRN COC(=O)[C@@H](Cc1cc2ccccc2o1)NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC001475665251 1074635214 /nfs/dbraw/zinc/63/52/14/1074635214.db2.gz PPCHRLHAAJZSEI-OAHLLOKOSA-N 0 0 445.519 -0.072 20 0 IBADRN CC(C)(C)NS(=O)(=O)C1(CNS(=O)(=O)CC2CN(S(C)(=O)=O)C2)CCC1 ZINC001475668502 1074635057 /nfs/dbraw/zinc/63/50/57/1074635057.db2.gz XRVOZMXSAAHNQR-UHFFFAOYSA-N 0 0 431.602 -0.562 20 0 IBADRN Cn1ncc(S(=O)(=O)NCCn2ccc(=O)[nH]c2=O)c1I ZINC001475676253 1074635173 /nfs/dbraw/zinc/63/51/73/1074635173.db2.gz WWDCAPBGOVVASS-UHFFFAOYSA-N 0 0 425.208 -0.735 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)N(C)Cc2nnc3n2CCNC3=O)c1 ZINC001475678670 1074635534 /nfs/dbraw/zinc/63/55/34/1074635534.db2.gz QSDLMAVNRXOTQR-UHFFFAOYSA-N 0 0 428.496 -0.908 20 0 IBADRN CN1CCN(C(=O)CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCCC3)cc2)CC1 ZINC001475679821 1074635556 /nfs/dbraw/zinc/63/55/56/1074635556.db2.gz AEIXUXGLNLJFJZ-UHFFFAOYSA-N 0 0 444.579 -0.087 20 0 IBADRN Cn1cc(S(=O)(=O)NCC(=O)N2CCN(C)CC2)cc1C(=O)NCC(F)(F)F ZINC001475680358 1074635564 /nfs/dbraw/zinc/63/55/64/1074635564.db2.gz JDNOLGRIZJOFRG-UHFFFAOYSA-N 0 0 425.433 -0.631 20 0 IBADRN CN1CCN(C(=O)CNS(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)CC1 ZINC001475681469 1074306888 /nfs/dbraw/zinc/30/68/88/1074306888.db2.gz KUBTWUIWXMBMHG-UHFFFAOYSA-N 0 0 447.311 -0.168 20 0 IBADRN COC(=O)/C=C/c1cc(OC)c(OC)c(S(=O)(=O)NCC(=O)N2CCN(C)CC2)c1 ZINC001475681960 1074635506 /nfs/dbraw/zinc/63/55/06/1074635506.db2.gz RATHMZRJJIIZQF-AATRIKPKSA-N 0 0 441.506 -0.058 20 0 IBADRN COC(=O)/C=C\c1cc(OC)c(OC)c(S(=O)(=O)NCC(=O)N2CCN(C)CC2)c1 ZINC001475681961 1074635573 /nfs/dbraw/zinc/63/55/73/1074635573.db2.gz RATHMZRJJIIZQF-WAYWQWQTSA-N 0 0 441.506 -0.058 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC[C@@]3(C2)CN(C(=O)OC(C)(C)C)CCO3)c(=O)[nH]c1=O ZINC001475682842 1074307310 /nfs/dbraw/zinc/30/73/10/1074307310.db2.gz XYUCMNXFTBAMMI-KRWDZBQOSA-N 0 0 430.483 -0.114 20 0 IBADRN Cn1cc(S(=O)(=O)N2CC[C@]3(C2)CN(C(=O)OC(C)(C)C)CCO3)c(=O)[nH]c1=O ZINC001475682843 1074307609 /nfs/dbraw/zinc/30/76/09/1074307609.db2.gz XYUCMNXFTBAMMI-QGZVFWFLSA-N 0 0 430.483 -0.114 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCN(C)CC1 ZINC001475684010 1074635474 /nfs/dbraw/zinc/63/54/74/1074635474.db2.gz UONXJKONKGIOMO-KRWDZBQOSA-N 0 0 446.595 -0.770 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N[C@H](Cc1ccccc1)C(=O)N1CCN(C)CC1 ZINC001475684012 1074635497 /nfs/dbraw/zinc/63/54/97/1074635497.db2.gz UONXJKONKGIOMO-QGZVFWFLSA-N 0 0 446.595 -0.770 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCCN(CC(=O)N(C)C)CC2)cn1C ZINC001475685637 1074635541 /nfs/dbraw/zinc/63/55/41/1074635541.db2.gz HFPGJAKGPHZISG-UHFFFAOYSA-N 0 0 428.511 -0.445 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CC3(C2)C[C@H](O)CNC3=O)cc1 ZINC001475685822 1074635610 /nfs/dbraw/zinc/63/56/10/1074635610.db2.gz PQEBZPAUTODWPZ-AWEZNQCLSA-N 0 0 431.536 -0.613 20 0 IBADRN CCCS(=O)(=O)NCc1ccc(S(=O)(=O)N2CC3(C2)C[C@@H](O)CNC3=O)cc1 ZINC001475685823 1074635590 /nfs/dbraw/zinc/63/55/90/1074635590.db2.gz PQEBZPAUTODWPZ-CQSZACIVSA-N 0 0 431.536 -0.613 20 0 IBADRN CN(C)S(=O)(=O)C1(CNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC001475686656 1074635594 /nfs/dbraw/zinc/63/55/94/1074635594.db2.gz BUEMJRFWQIEXBG-UHFFFAOYSA-N 0 0 437.565 -0.170 20 0 IBADRN CN(C)S(=O)(=O)C1(CNS(=O)(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001475686806 1074635487 /nfs/dbraw/zinc/63/54/87/1074635487.db2.gz HIEQOAVLANOBIM-CYBMUJFWSA-N 0 0 441.572 -0.034 20 0 IBADRN CN(C)S(=O)(=O)C1(CNS(=O)(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001475686807 1074635550 /nfs/dbraw/zinc/63/55/50/1074635550.db2.gz HIEQOAVLANOBIM-ZDUSSCGKSA-N 0 0 441.572 -0.034 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@]2(CO)COCCN2C)cc1F ZINC001475689810 1074635526 /nfs/dbraw/zinc/63/55/26/1074635526.db2.gz DUVOUHQSXCSFDD-INIZCTEOSA-N 0 0 439.531 -0.053 20 0 IBADRN CC(C)S(=O)(=O)Nc1ccc(S(=O)(=O)NC[C@@]2(CO)COCCN2C)cc1F ZINC001475689811 1074635579 /nfs/dbraw/zinc/63/55/79/1074635579.db2.gz DUVOUHQSXCSFDD-MRXNPFEDSA-N 0 0 439.531 -0.053 20 0 IBADRN CN1CCOC[C@@]1(CO)CNS(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001475690012 1074635515 /nfs/dbraw/zinc/63/55/15/1074635515.db2.gz KFHWJQXLFRNVPJ-AWEZNQCLSA-N 0 0 440.291 -0.342 20 0 IBADRN CN1CCOC[C@]1(CO)CNS(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001475690013 1074635599 /nfs/dbraw/zinc/63/55/99/1074635599.db2.gz KFHWJQXLFRNVPJ-CQSZACIVSA-N 0 0 440.291 -0.342 20 0 IBADRN CN(CCS(=O)(=O)NC[C@]1(CO)COCCN1C)S(=O)(=O)c1ccc(F)cc1 ZINC001475690030 1074635607 /nfs/dbraw/zinc/63/56/07/1074635607.db2.gz KRMFTGKNFOZKGH-INIZCTEOSA-N 0 0 439.531 -0.941 20 0 IBADRN CN(CCS(=O)(=O)NC[C@@]1(CO)COCCN1C)S(=O)(=O)c1ccc(F)cc1 ZINC001475690031 1074635529 /nfs/dbraw/zinc/63/55/29/1074635529.db2.gz KRMFTGKNFOZKGH-MRXNPFEDSA-N 0 0 439.531 -0.941 20 0 IBADRN CN1CCOC[C@@]1(CO)CNS(=O)(=O)c1ccc(C(=O)NCC(F)(F)F)cc1 ZINC001475690112 1074635582 /nfs/dbraw/zinc/63/55/82/1074635582.db2.gz NSNFMOIXMKXUIN-HNNXBMFYSA-N 0 0 425.429 -0.050 20 0 IBADRN CN1CCOC[C@]1(CO)CNS(=O)(=O)c1ccc(C(=O)NCC(F)(F)F)cc1 ZINC001475690113 1074636020 /nfs/dbraw/zinc/63/60/20/1074636020.db2.gz NSNFMOIXMKXUIN-OAHLLOKOSA-N 0 0 425.429 -0.050 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@]2(CO)COCCN2C)c1 ZINC001475690310 1074636109 /nfs/dbraw/zinc/63/61/09/1074636109.db2.gz VEIBHVGZPHDGIF-KRWDZBQOSA-N 0 0 435.568 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@]2(CO)COCCN2C)c1 ZINC001475690311 1074636051 /nfs/dbraw/zinc/63/60/51/1074636051.db2.gz VEIBHVGZPHDGIF-QGZVFWFLSA-N 0 0 435.568 -0.312 20 0 IBADRN CN1CCOC[C@@]1(CO)CNS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001475690315 1074636094 /nfs/dbraw/zinc/63/60/94/1074636094.db2.gz VJHKMCPXBXHDSP-AWEZNQCLSA-N 0 0 440.291 -0.342 20 0 IBADRN CN1CCOC[C@]1(CO)CNS(=O)(=O)c1cc(C(N)=O)c(Br)cc1F ZINC001475690316 1074636083 /nfs/dbraw/zinc/63/60/83/1074636083.db2.gz VJHKMCPXBXHDSP-CQSZACIVSA-N 0 0 440.291 -0.342 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN2CCNC2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001475690944 1074636115 /nfs/dbraw/zinc/63/61/15/1074636115.db2.gz JOEDUTPLUZJRDE-UHFFFAOYSA-N 0 0 432.524 -0.681 20 0 IBADRN Cn1c2ccc(S(=O)(=O)NCCS(=O)(=O)N=S(C)(C)=O)cc2sc1=O ZINC001475694521 1074636090 /nfs/dbraw/zinc/63/60/90/1074636090.db2.gz JGYXEIHPJAGHNC-UHFFFAOYSA-N 0 0 427.551 -0.064 20 0 IBADRN CC(C)(C)OC(=O)N1CC(CS(=O)(=O)NCCS(=O)(=O)N=S(C)(C)=O)C1 ZINC001475695205 1074636078 /nfs/dbraw/zinc/63/60/78/1074636078.db2.gz XAWNBSYHQAJMMT-UHFFFAOYSA-N 0 0 433.574 -0.170 20 0 IBADRN O=C(COc1ccc(S(=O)(=O)N2CCC(O)(COCCO)CC2)cc1)NC1CC1 ZINC001475697694 1074636111 /nfs/dbraw/zinc/63/61/11/1074636111.db2.gz PBZBSVPBYSKWHR-UHFFFAOYSA-N 0 0 428.507 -0.132 20 0 IBADRN CO[C@]1(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CCS(=O)(=O)C1 ZINC001475699729 1074636075 /nfs/dbraw/zinc/63/60/75/1074636075.db2.gz QCLJMVCVHZXLEU-AWEZNQCLSA-N 0 0 426.538 -0.912 20 0 IBADRN CO[C@@]1(CNS(=O)(=O)CCNS(=O)(=O)c2ccccc2)CCS(=O)(=O)C1 ZINC001475699730 1074636037 /nfs/dbraw/zinc/63/60/37/1074636037.db2.gz QCLJMVCVHZXLEU-CQSZACIVSA-N 0 0 426.538 -0.912 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C)[C@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001475701926 1074636026 /nfs/dbraw/zinc/63/60/26/1074636026.db2.gz NOYYCZWXOFPMRB-HNNXBMFYSA-N 0 0 433.552 -0.687 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C)[C@@H](CO)C2)cc1S(=O)(=O)N1CCOCC1 ZINC001475701927 1074636103 /nfs/dbraw/zinc/63/61/03/1074636103.db2.gz NOYYCZWXOFPMRB-OAHLLOKOSA-N 0 0 433.552 -0.687 20 0 IBADRN COC(OC)C(=O)N1CCN(S(=O)(=O)c2cnn3cc(Br)cnc23)CC1 ZINC001475704100 1074636065 /nfs/dbraw/zinc/63/60/65/1074636065.db2.gz BJBANBXXWFLPHL-UHFFFAOYSA-N 0 0 448.299 -0.056 20 0 IBADRN COC(=O)Cc1cc(OC)ccc1S(=O)(=O)N1CCN(C(=O)C(OC)OC)CC1 ZINC001475705135 1074636106 /nfs/dbraw/zinc/63/61/06/1074636106.db2.gz KHHBWQRJXILMOJ-UHFFFAOYSA-N 0 0 430.479 -0.138 20 0 IBADRN CNC(=O)CN1CCCN(S(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)CC1 ZINC001475705243 1074636043 /nfs/dbraw/zinc/63/60/43/1074636043.db2.gz OJBKNXBCLDGUAR-UHFFFAOYSA-N 0 0 430.552 -0.430 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCCN(CC(=O)NC)CC3)ccc2O1 ZINC001475705312 1074636100 /nfs/dbraw/zinc/63/61/00/1074636100.db2.gz PMQUZYQMGVGPNT-KRWDZBQOSA-N 0 0 425.507 -0.004 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCCN(CC(=O)NC)CC3)ccc2O1 ZINC001475705313 1074636070 /nfs/dbraw/zinc/63/60/70/1074636070.db2.gz PMQUZYQMGVGPNT-QGZVFWFLSA-N 0 0 425.507 -0.004 20 0 IBADRN COC(OC)C(=O)N1CCN(S(=O)(=O)CCNS(=O)(=O)c2ccccc2)CC1 ZINC001475706037 1074636055 /nfs/dbraw/zinc/63/60/55/1074636055.db2.gz UALABGUIYDAPNW-UHFFFAOYSA-N 0 0 435.524 -0.942 20 0 IBADRN Cn1ncc(S(=O)(=O)NCCN2CCC3(C2)OCCO3)c1I ZINC001475706237 1074636060 /nfs/dbraw/zinc/63/60/60/1074636060.db2.gz CQGQGSJURDWJRR-UHFFFAOYSA-N 0 0 442.279 -0.248 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NCCN2CCC3(C2)OCCO3)cc1)N1CCOCC1 ZINC001475706261 1074636531 /nfs/dbraw/zinc/63/65/31/1074636531.db2.gz DNQBGZIGHMLEDM-UHFFFAOYSA-N 0 0 425.507 -0.114 20 0 IBADRN O=S(=O)(NCCN1CCC2(C1)OCCO2)c1ccccc1S(=O)(=O)NC1CC1 ZINC001475706337 1074636374 /nfs/dbraw/zinc/63/63/74/1074636374.db2.gz HFZVQKYXROUYFF-UHFFFAOYSA-N 0 0 431.536 -0.146 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NS(=O)(=O)c1cccc(S(=O)(=O)NC2CC2)c1 ZINC001475706680 1074636427 /nfs/dbraw/zinc/63/64/27/1074636427.db2.gz GNQRYNVHTFMDAW-UHFFFAOYSA-N 0 0 425.554 -0.267 20 0 IBADRN C[C@@H](NS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O)[C@H]1CN(C)CCN1C ZINC001475706967 1074636402 /nfs/dbraw/zinc/63/64/02/1074636402.db2.gz UOEXFHZZTIVWPY-CRAIPNDOSA-N 0 0 438.550 -0.147 20 0 IBADRN C[C@H](NS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O)[C@H]1CN(C)CCN1C ZINC001475706968 1074636440 /nfs/dbraw/zinc/63/64/40/1074636440.db2.gz UOEXFHZZTIVWPY-MAUKXSAKSA-N 0 0 438.550 -0.147 20 0 IBADRN C[C@@H](NS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O)[C@@H]1CN(C)CCN1C ZINC001475706970 1074636475 /nfs/dbraw/zinc/63/64/75/1074636475.db2.gz UOEXFHZZTIVWPY-QAPCUYQASA-N 0 0 438.550 -0.147 20 0 IBADRN C[C@H](NS(=O)(=O)CCOCCN1C(=O)c2ccccc2C1=O)[C@@H]1CN(C)CCN1C ZINC001475706971 1074636492 /nfs/dbraw/zinc/63/64/92/1074636492.db2.gz UOEXFHZZTIVWPY-YJBOKZPZSA-N 0 0 438.550 -0.147 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N(C)C1CS(=O)(=O)C1 ZINC001475707592 1074636352 /nfs/dbraw/zinc/63/63/52/1074636352.db2.gz DKPPRGAPAZHZNX-UHFFFAOYSA-N 0 0 425.286 -0.082 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NC(C)(C)CNS(C)(=O)=O)C1 ZINC001475707723 1074636508 /nfs/dbraw/zinc/63/65/08/1074636508.db2.gz WZDFNGANAXDVRS-GFCCVEGCSA-N 0 0 429.561 -0.131 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NC(C)(C)CNS(C)(=O)=O)C1 ZINC001475707725 1074636384 /nfs/dbraw/zinc/63/63/84/1074636384.db2.gz WZDFNGANAXDVRS-LBPRGKRZSA-N 0 0 429.561 -0.131 20 0 IBADRN CC[C@H]1C(=O)NCCCN1S(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001475713129 1074636519 /nfs/dbraw/zinc/63/65/19/1074636519.db2.gz COAZQQHEPGYAFY-INIZCTEOSA-N 0 0 431.536 -0.003 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(S(=O)(=O)N3CCCCC3)CC2)c(=O)n(C)c1=O ZINC001475715958 1074636334 /nfs/dbraw/zinc/63/63/34/1074636334.db2.gz CCJNPYUSZAGPOE-UHFFFAOYSA-N 0 0 434.540 -0.947 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)c1 ZINC001475716100 1074636347 /nfs/dbraw/zinc/63/63/47/1074636347.db2.gz IMLNMAJTADRLKD-AWEZNQCLSA-N 0 0 433.508 -0.467 20 0 IBADRN CCOC(=O)c1cc(COC)cc(S(=O)(=O)N2CCN3[C@H](CNS3(=O)=O)C2)c1 ZINC001475716101 1074636420 /nfs/dbraw/zinc/63/64/20/1074636420.db2.gz IMLNMAJTADRLKD-CQSZACIVSA-N 0 0 433.508 -0.467 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1CCn2ncc(I)c2C1 ZINC001475717348 1074308881 /nfs/dbraw/zinc/30/88/81/1074308881.db2.gz OQCCCWJQOSSHJM-UHFFFAOYSA-N 0 0 448.308 -0.298 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@@]2(O)CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001475722221 1074636390 /nfs/dbraw/zinc/63/63/90/1074636390.db2.gz SWGLCRTVHCWYDO-KRWDZBQOSA-N 0 0 436.531 -0.033 20 0 IBADRN CCOC(=O)N1CCN(S(=O)(=O)NC[C@]2(O)CCN(C(=O)OC(C)(C)C)C2)CC1 ZINC001475722224 1074636433 /nfs/dbraw/zinc/63/64/33/1074636433.db2.gz SWGLCRTVHCWYDO-QGZVFWFLSA-N 0 0 436.531 -0.033 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@@H]3COCC[C@@H]32)cc1[C@@]1(C)NC(=O)NC1=O ZINC001475727424 1074636453 /nfs/dbraw/zinc/63/64/53/1074636453.db2.gz XDDITRLUNGYRDD-JCKWVBRZSA-N 0 0 425.463 -0.072 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCO[C@@H]3COCC[C@@H]32)cc1[C@]1(C)NC(=O)NC1=O ZINC001475727426 1074636874 /nfs/dbraw/zinc/63/68/74/1074636874.db2.gz XDDITRLUNGYRDD-JOQOYGCGSA-N 0 0 425.463 -0.072 20 0 IBADRN Cn1cnc(CCNS(=O)(=O)C[C@H]2CCN(S(C)(=O)=O)C2)c1Br ZINC001475727784 1074636889 /nfs/dbraw/zinc/63/68/89/1074636889.db2.gz XQKMLFXDYKXTCT-JTQLQIEISA-N 0 0 429.362 -0.074 20 0 IBADRN Cn1cnc(CCNS(=O)(=O)C[C@@H]2CCN(S(C)(=O)=O)C2)c1Br ZINC001475727785 1074636840 /nfs/dbraw/zinc/63/68/40/1074636840.db2.gz XQKMLFXDYKXTCT-SNVBAGLBSA-N 0 0 429.362 -0.074 20 0 IBADRN CCNS(=O)(=O)c1ccccc1S(=O)(=O)NCCS(=O)(=O)N1CCCC1 ZINC001475728061 1074636878 /nfs/dbraw/zinc/63/68/78/1074636878.db2.gz NSYAJPBACXFIJR-UHFFFAOYSA-N 0 0 425.554 -0.311 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NCCS(=O)(=O)N2CCCC2)c1 ZINC001475728132 1074636902 /nfs/dbraw/zinc/63/69/02/1074636902.db2.gz RYSCPNLGAFFMFV-UHFFFAOYSA-N 0 0 425.554 -0.359 20 0 IBADRN CS(=O)(=O)Nc1ccc(S(=O)(=O)NCCS(=O)(=O)N2CCCC2)cc1F ZINC001475728200 1074636882 /nfs/dbraw/zinc/63/68/82/1074636882.db2.gz VSTBYSLVGWAZLC-UHFFFAOYSA-N 0 0 429.517 -0.099 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NS(=O)(=O)CCCS(=O)(=O)N(C)C ZINC001475730023 1074636900 /nfs/dbraw/zinc/63/69/00/1074636900.db2.gz OCJFWEHXNXEERQ-CHWSQXEVSA-N 0 0 429.561 -0.178 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN3CCOC[C@]3(CO)C2)c(Cl)s1 ZINC001475732088 1074636896 /nfs/dbraw/zinc/63/68/96/1074636896.db2.gz JNBIIRMHKPQXIR-CYBMUJFWSA-N 0 0 430.957 -0.127 20 0 IBADRN CS(=O)(=O)c1cc(S(=O)(=O)N2CCN3CCOC[C@@]3(CO)C2)c(Cl)s1 ZINC001475732092 1074636853 /nfs/dbraw/zinc/63/68/53/1074636853.db2.gz JNBIIRMHKPQXIR-ZDUSSCGKSA-N 0 0 430.957 -0.127 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)cc1 ZINC001475736955 1074636863 /nfs/dbraw/zinc/63/68/63/1074636863.db2.gz GKFMKDRJWFLTPD-CYBMUJFWSA-N 0 0 440.565 -0.191 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)N2CCO[C@H](CS(C)(=O)=O)C2)cc1 ZINC001475736960 1074636867 /nfs/dbraw/zinc/63/68/67/1074636867.db2.gz GKFMKDRJWFLTPD-ZDUSSCGKSA-N 0 0 440.565 -0.191 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2cc(C(=O)NCC(F)(F)F)n(C)c2)C[C@H]1CS(N)(=O)=O ZINC001475737137 1074636847 /nfs/dbraw/zinc/63/68/47/1074636847.db2.gz DFJIMGZVRHLRMC-ZJUUUORDSA-N 0 0 446.473 -0.138 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)C[C@H]1CS(N)(=O)=O ZINC001475737637 1074636906 /nfs/dbraw/zinc/63/69/06/1074636906.db2.gz ZMRJDQISZNRJDK-OLZOCXBDSA-N 0 0 431.536 -0.111 20 0 IBADRN COC(=O)c1cccc2c1OCCN(S(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C2 ZINC001475740859 1074636898 /nfs/dbraw/zinc/63/68/98/1074636898.db2.gz AFJXBZZBELROFU-UHFFFAOYSA-N 0 0 433.508 -0.510 20 0 IBADRN COC(=O)c1cnc([C@@H]2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)s1 ZINC001475743385 1074636892 /nfs/dbraw/zinc/63/68/92/1074636892.db2.gz WLPNSLDQSRMNGV-LLVKDONJSA-N 0 0 438.553 -0.459 20 0 IBADRN COC(=O)c1cnc([C@H]2CCN(S(=O)(=O)N3CCN(S(C)(=O)=O)CC3)C2)s1 ZINC001475743387 1074636903 /nfs/dbraw/zinc/63/69/03/1074636903.db2.gz WLPNSLDQSRMNGV-NSHDSACASA-N 0 0 438.553 -0.459 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001475745076 1074636870 /nfs/dbraw/zinc/63/68/70/1074636870.db2.gz JRNURKKKEIRCEF-GFCCVEGCSA-N 0 0 426.538 -0.845 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001475745077 1074636877 /nfs/dbraw/zinc/63/68/77/1074636877.db2.gz JRNURKKKEIRCEF-LBPRGKRZSA-N 0 0 426.538 -0.845 20 0 IBADRN CS(=O)(=O)C[C@H](O)CNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC001475745383 1074637473 /nfs/dbraw/zinc/63/74/73/1074637473.db2.gz NQGBLRDZTDATLW-SSDOTTSWSA-N 0 0 443.297 -0.536 20 0 IBADRN CS(=O)(=O)C[C@@H](O)CNS(=O)(=O)c1cc2c(cc1Br)NC(=O)CO2 ZINC001475745384 1074637416 /nfs/dbraw/zinc/63/74/16/1074637416.db2.gz NQGBLRDZTDATLW-ZETCQYMHSA-N 0 0 443.297 -0.536 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)OC)c(C(=O)OC)c2)CC1 ZINC001475745489 1074637468 /nfs/dbraw/zinc/63/74/68/1074637468.db2.gz OTAUAQAZJALSDU-UHFFFAOYSA-N 0 0 434.492 -0.084 20 0 IBADRN CCS(=O)(=O)N1CCN(S(=O)(=O)c2cnn(C)c2I)CC1 ZINC001475746234 1074637484 /nfs/dbraw/zinc/63/74/84/1074637484.db2.gz WYPPTOCOJRWVEM-UHFFFAOYSA-N 0 0 448.308 -0.319 20 0 IBADRN C[C@H](C(=O)N(C)C)S(=O)(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001475748102 1074637462 /nfs/dbraw/zinc/63/74/62/1074637462.db2.gz GFDOAZQAPFNZNA-FRRDWIJNSA-N 0 0 439.556 -0.489 20 0 IBADRN C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001475748109 1074637478 /nfs/dbraw/zinc/63/74/78/1074637478.db2.gz GFDOAZQAPFNZNA-RWMBFGLXSA-N 0 0 439.556 -0.489 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)CCS(C)(=O)=O)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001475748626 1074637410 /nfs/dbraw/zinc/63/74/10/1074637410.db2.gz YAPBNZZUKWEELR-NWDGAFQWSA-N 0 0 446.569 -0.921 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)N2CCCOCC2)[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001475748699 1074637382 /nfs/dbraw/zinc/63/73/82/1074637382.db2.gz ZZMCOQMLMQZERQ-UONOGXRCSA-N 0 0 439.556 -0.328 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)N1CCC[C@H]1C(=O)OC(C)(C)C ZINC001475754969 1074637343 /nfs/dbraw/zinc/63/73/43/1074637343.db2.gz NWEYYLVDXLVZHU-NWDGAFQWSA-N 0 0 426.513 -0.691 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)N1CCC[C@H]1C(=O)OC(C)(C)C ZINC001475754970 1074637370 /nfs/dbraw/zinc/63/73/70/1074637370.db2.gz NWEYYLVDXLVZHU-RYUDHWBXSA-N 0 0 426.513 -0.691 20 0 IBADRN COC(=O)[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(C(=O)N(C)C)ccc1OC ZINC001475754994 1074637490 /nfs/dbraw/zinc/63/74/90/1074637490.db2.gz OQDPPNIOTUWKFC-GFCCVEGCSA-N 0 0 434.492 -0.642 20 0 IBADRN COC(=O)[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(C(=O)N(C)C)ccc1OC ZINC001475754995 1074637399 /nfs/dbraw/zinc/63/73/99/1074637399.db2.gz OQDPPNIOTUWKFC-LBPRGKRZSA-N 0 0 434.492 -0.642 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001475755968 1074637450 /nfs/dbraw/zinc/63/74/50/1074637450.db2.gz IQHSVGJZORONEC-AWEZNQCLSA-N 0 0 431.492 -0.868 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001475755972 1074637442 /nfs/dbraw/zinc/63/74/42/1074637442.db2.gz IQHSVGJZORONEC-CQSZACIVSA-N 0 0 431.492 -0.868 20 0 IBADRN COC(=O)[C@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001475756125 1074637494 /nfs/dbraw/zinc/63/74/94/1074637494.db2.gz KZQCUBCTRLJZOB-CYBMUJFWSA-N 0 0 445.519 -0.525 20 0 IBADRN COC(=O)[C@@H]1CN(C)C(=O)CN1S(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001475756126 1074637458 /nfs/dbraw/zinc/63/74/58/1074637458.db2.gz KZQCUBCTRLJZOB-ZDUSSCGKSA-N 0 0 445.519 -0.525 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CC(=O)N(C)C[C@H]3C(=O)OC)ccc2O1 ZINC001475756403 1074637424 /nfs/dbraw/zinc/63/74/24/1074637424.db2.gz QUWIQLZIFUGJCO-DZGCQCFKSA-N 0 0 426.447 -0.443 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CC(=O)N(C)C[C@@H]3C(=O)OC)ccc2O1 ZINC001475756404 1074637763 /nfs/dbraw/zinc/63/77/63/1074637763.db2.gz QUWIQLZIFUGJCO-HIFRSBDPSA-N 0 0 426.447 -0.443 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CC(=O)N(C)C[C@@H]3C(=O)OC)ccc2O1 ZINC001475756405 1074637683 /nfs/dbraw/zinc/63/76/83/1074637683.db2.gz QUWIQLZIFUGJCO-UKRRQHHQSA-N 0 0 426.447 -0.443 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CC(=O)N(C)C[C@H]3C(=O)OC)ccc2O1 ZINC001475756406 1074637751 /nfs/dbraw/zinc/63/77/51/1074637751.db2.gz QUWIQLZIFUGJCO-ZFWWWQNUSA-N 0 0 426.447 -0.443 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001475757650 1074637715 /nfs/dbraw/zinc/63/77/15/1074637715.db2.gz GFSCJZOCQCDNMU-GFCCVEGCSA-N 0 0 434.515 -0.464 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001475757651 1074637722 /nfs/dbraw/zinc/63/77/22/1074637722.db2.gz GFSCJZOCQCDNMU-LBPRGKRZSA-N 0 0 434.515 -0.464 20 0 IBADRN CCN1C[C@H](S(=O)(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1=O ZINC001475758731 1074637823 /nfs/dbraw/zinc/63/78/23/1074637823.db2.gz YNCQGQQEQNSQEH-GXTWGEPZSA-N 0 0 430.527 -0.253 20 0 IBADRN CCN1C[C@@H](S(=O)(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1=O ZINC001475758737 1074637780 /nfs/dbraw/zinc/63/77/80/1074637780.db2.gz YNCQGQQEQNSQEH-JSGCOSHPSA-N 0 0 430.527 -0.253 20 0 IBADRN CCN1C[C@@H](S(=O)(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1=O ZINC001475758740 1074637796 /nfs/dbraw/zinc/63/77/96/1074637796.db2.gz YNCQGQQEQNSQEH-OCCSQVGLSA-N 0 0 430.527 -0.253 20 0 IBADRN CCN1C[C@H](S(=O)(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1=O ZINC001475758742 1074637689 /nfs/dbraw/zinc/63/76/89/1074637689.db2.gz YNCQGQQEQNSQEH-TZMCWYRMSA-N 0 0 430.527 -0.253 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NS(=O)(=O)C[C@H](OC)[C@H]1CCOC1)CCS2(=O)=O ZINC001475762592 1074637741 /nfs/dbraw/zinc/63/77/41/1074637741.db2.gz JYYIBZPNASVYTK-FWPNWONVSA-N 0 0 439.552 -0.144 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NS(=O)(=O)C[C@@H](OC)[C@H]1CCOC1)CCS2(=O)=O ZINC001475762593 1074637733 /nfs/dbraw/zinc/63/77/33/1074637733.db2.gz JYYIBZPNASVYTK-GXPIHNFMSA-N 0 0 439.552 -0.144 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NS(=O)(=O)C[C@H](OC)[C@@H]1CCOC1)CCS2(=O)=O ZINC001475762594 1074637754 /nfs/dbraw/zinc/63/77/54/1074637754.db2.gz JYYIBZPNASVYTK-QVCPJDEESA-N 0 0 439.552 -0.144 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NS(=O)(=O)C[C@@H](OC)[C@@H]1CCOC1)CCS2(=O)=O ZINC001475762595 1074637786 /nfs/dbraw/zinc/63/77/86/1074637786.db2.gz JYYIBZPNASVYTK-RJZXRHAOSA-N 0 0 439.552 -0.144 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N[C@H]1CCS(=O)(=O)C2(CC(C(=O)OC)C2)C1 ZINC001475762680 1074637816 /nfs/dbraw/zinc/63/78/16/1074637816.db2.gz MYRHPKYFMVFKOY-AVERBVTBSA-N 0 0 426.513 -0.785 20 0 IBADRN COC(=O)CCN(C)S(=O)(=O)N[C@@H]1CCS(=O)(=O)C2(CC(C(=O)OC)C2)C1 ZINC001475762681 1074637829 /nfs/dbraw/zinc/63/78/29/1074637829.db2.gz MYRHPKYFMVFKOY-MQYJIDSJSA-N 0 0 426.513 -0.785 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NS(=O)(=O)N=S1(=O)CCCC1)CCS2(=O)=O ZINC001475762743 1074637803 /nfs/dbraw/zinc/63/78/03/1074637803.db2.gz PJOAVQICUNNTJC-LXVYMNJGSA-N 0 0 428.554 -0.018 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NS(=O)(=O)N=S1(=O)CCCC1)CCS2(=O)=O ZINC001475762753 1074637647 /nfs/dbraw/zinc/63/76/47/1074637647.db2.gz PJOAVQICUNNTJC-MBHSVXNSSA-N 0 0 428.554 -0.018 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N[C@H]1Cc2cc(Br)cnc2NC1=O ZINC001475762965 1074637768 /nfs/dbraw/zinc/63/77/68/1074637768.db2.gz AWZWAKYMYHYCKM-JTQLQIEISA-N 0 0 441.329 -0.482 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N[C@@H]1Cc2cc(Br)cnc2NC1=O ZINC001475762968 1074637671 /nfs/dbraw/zinc/63/76/71/1074637671.db2.gz AWZWAKYMYHYCKM-SNVBAGLBSA-N 0 0 441.329 -0.482 20 0 IBADRN CCOC(=O)[C@H]1CN(S(=O)(=O)c2cnn(C)c2I)CCO1 ZINC001475765132 1074637701 /nfs/dbraw/zinc/63/77/01/1074637701.db2.gz SPVHSQMHBPLBHZ-MRVPVSSYSA-N 0 0 429.236 -0.023 20 0 IBADRN CCOC(=O)[C@@H]1CN(S(=O)(=O)c2cnn(C)c2I)CCO1 ZINC001475765133 1074638244 /nfs/dbraw/zinc/63/82/44/1074638244.db2.gz SPVHSQMHBPLBHZ-QMMMGPOBSA-N 0 0 429.236 -0.023 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@@H](CS(=O)(=O)NCCCn2ccc(=O)[nH]c2=O)C1 ZINC001475765320 1074638251 /nfs/dbraw/zinc/63/82/51/1074638251.db2.gz VQFRMXHIFJHJNW-CYBMUJFWSA-N 0 0 432.499 -0.106 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CS(=O)(=O)NCCCn2ccc(=O)[nH]c2=O)C1 ZINC001475765321 1074638285 /nfs/dbraw/zinc/63/82/85/1074638285.db2.gz VQFRMXHIFJHJNW-ZDUSSCGKSA-N 0 0 432.499 -0.106 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NS(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)C1 ZINC001475766802 1074638270 /nfs/dbraw/zinc/63/82/70/1074638270.db2.gz NODNZVRHMVGMQD-AAEUAGOBSA-N 0 0 436.508 -0.174 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NS(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)C1 ZINC001475766803 1074638267 /nfs/dbraw/zinc/63/82/67/1074638267.db2.gz NODNZVRHMVGMQD-DGCLKSJQSA-N 0 0 436.508 -0.174 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@H](NS(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)C1 ZINC001475766804 1074638220 /nfs/dbraw/zinc/63/82/20/1074638220.db2.gz NODNZVRHMVGMQD-WCQYABFASA-N 0 0 436.508 -0.174 20 0 IBADRN CCOC(=O)N1C[C@H](NS(=O)(=O)c2cc(S(C)(=O)=O)ccc2OC)[C@@H](CO)C1 ZINC001475766805 1074638247 /nfs/dbraw/zinc/63/82/47/1074638247.db2.gz NODNZVRHMVGMQD-YPMHNXCESA-N 0 0 436.508 -0.174 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001475769431 1074638302 /nfs/dbraw/zinc/63/83/02/1074638302.db2.gz YYYUDWOBDVMKBO-KBPBESRZSA-N 0 0 436.531 -0.177 20 0 IBADRN CCOC(=O)N1C[C@H](NS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)[C@@H](CO)C1 ZINC001475769432 1074638227 /nfs/dbraw/zinc/63/82/27/1074638227.db2.gz YYYUDWOBDVMKBO-KGLIPLIRSA-N 0 0 436.531 -0.177 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@H](NS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001475769433 1074638255 /nfs/dbraw/zinc/63/82/55/1074638255.db2.gz YYYUDWOBDVMKBO-UONOGXRCSA-N 0 0 436.531 -0.177 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NS(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)C1 ZINC001475769434 1074638281 /nfs/dbraw/zinc/63/82/81/1074638281.db2.gz YYYUDWOBDVMKBO-ZIAGYGMSSA-N 0 0 436.531 -0.177 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2Cc3nnc(C)n3C[C@H]2C(=O)N(C)C)s1 ZINC001475772675 1074638263 /nfs/dbraw/zinc/63/82/63/1074638263.db2.gz KMGHZELYBXBNFZ-AWEZNQCLSA-N 0 0 440.551 -0.012 20 0 IBADRN CC(=O)NCCc1ccc(S(=O)(=O)N2Cc3nnc(C)n3C[C@@H]2C(=O)N(C)C)s1 ZINC001475772676 1074638234 /nfs/dbraw/zinc/63/82/34/1074638234.db2.gz KMGHZELYBXBNFZ-CQSZACIVSA-N 0 0 440.551 -0.012 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2Cc3nnc(C)n3C[C@H]2C(=O)N(C)C)C1 ZINC001475773419 1074638290 /nfs/dbraw/zinc/63/82/90/1074638290.db2.gz WBQMORQMWQRCRN-KBPBESRZSA-N 0 0 428.515 -0.621 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2Cc3nnc(C)n3C[C@H]2C(=O)N(C)C)C1 ZINC001475773420 1074638299 /nfs/dbraw/zinc/63/82/99/1074638299.db2.gz WBQMORQMWQRCRN-KGLIPLIRSA-N 0 0 428.515 -0.621 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2Cc3nnc(C)n3C[C@@H]2C(=O)N(C)C)C1 ZINC001475773421 1074638258 /nfs/dbraw/zinc/63/82/58/1074638258.db2.gz WBQMORQMWQRCRN-UONOGXRCSA-N 0 0 428.515 -0.621 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2Cc3nnc(C)n3C[C@@H]2C(=O)N(C)C)C1 ZINC001475773422 1074638292 /nfs/dbraw/zinc/63/82/92/1074638292.db2.gz WBQMORQMWQRCRN-ZIAGYGMSSA-N 0 0 428.515 -0.621 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)c1cnn(C)c1Br)C2 ZINC001475773658 1074638238 /nfs/dbraw/zinc/63/82/38/1074638238.db2.gz BCBCDWUZXRQGCF-SECBINFHSA-N 0 0 432.304 -0.256 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)c1cnn(C)c1Br)C2 ZINC001475773659 1074638296 /nfs/dbraw/zinc/63/82/96/1074638296.db2.gz BCBCDWUZXRQGCF-VIFPVBQESA-N 0 0 432.304 -0.256 20 0 IBADRN Cc1nnc2n1C[C@H](C(=O)N(C)C)N(S(=O)(=O)C1CN(C(=O)OC(C)(C)C)C1)C2 ZINC001475774026 1074638274 /nfs/dbraw/zinc/63/82/74/1074638274.db2.gz OQKZMQDYCPKWEP-CYBMUJFWSA-N 0 0 428.515 -0.192 20 0 IBADRN Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(S(=O)(=O)C1CN(C(=O)OC(C)(C)C)C1)C2 ZINC001475774027 1074638646 /nfs/dbraw/zinc/63/86/46/1074638646.db2.gz OQKZMQDYCPKWEP-ZDUSSCGKSA-N 0 0 428.515 -0.192 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC001475774755 1074638712 /nfs/dbraw/zinc/63/87/12/1074638712.db2.gz PMHQYNIPPIXXOI-RUZDIDTESA-N 0 0 445.633 -0.905 20 0 IBADRN CN(C)[S@@](C)(=O)=NCC1CCN(S(=O)(=O)N2CCN(S(C)(=O)=O)CC2)CC1 ZINC001475774756 1074638716 /nfs/dbraw/zinc/63/87/16/1074638716.db2.gz PMHQYNIPPIXXOI-VWLOTQADSA-N 0 0 445.633 -0.905 20 0 IBADRN CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1[C@@H](NS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)C2 ZINC001475776487 1074638659 /nfs/dbraw/zinc/63/86/59/1074638659.db2.gz PGQVWGGUJBLHKG-RDBSUJKOSA-N 0 0 438.572 -0.062 20 0 IBADRN CS(=O)(=O)N1CC(CS(=O)(=O)N2C[C@H](O)C[C@H]2c2nnc(-c3ccccc3)[nH]2)C1 ZINC001475778205 1074638695 /nfs/dbraw/zinc/63/86/95/1074638695.db2.gz IEXYWUKPYLLYSU-CABCVRRESA-N 0 0 441.535 -0.199 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)C[C@@H]1C(=O)OC ZINC001475779693 1074638687 /nfs/dbraw/zinc/63/86/87/1074638687.db2.gz CNJNAXIJDLLCOJ-HOTGVXAUSA-N 0 0 440.474 -0.073 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)C[C@H]1C(=O)OC ZINC001475779696 1074638730 /nfs/dbraw/zinc/63/87/30/1074638730.db2.gz CNJNAXIJDLLCOJ-HZPDHXFCSA-N 0 0 440.474 -0.073 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(OCC(=O)NC3CC3)cc2)C[C@H]1C(=O)OC ZINC001475779699 1074638692 /nfs/dbraw/zinc/63/86/92/1074638692.db2.gz CNJNAXIJDLLCOJ-IYBDPMFKSA-N 0 0 440.474 -0.073 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C[C@H]1C(=O)OC ZINC001475780689 1074638724 /nfs/dbraw/zinc/63/87/24/1074638724.db2.gz KSOSHHSXQYVALQ-DTORHVGOSA-N 0 0 425.403 -0.465 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C[C@@H]1C(=O)OC ZINC001475780693 1074638667 /nfs/dbraw/zinc/63/86/67/1074638667.db2.gz KSOSHHSXQYVALQ-IUCAKERBSA-N 0 0 425.403 -0.465 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)CCCS(=O)(=O)C(F)(F)F)C[C@H]1C(=O)OC ZINC001475780696 1074638673 /nfs/dbraw/zinc/63/86/73/1074638673.db2.gz KSOSHHSXQYVALQ-RKDXNWHRSA-N 0 0 425.403 -0.465 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C[C@H]1C(=O)OC ZINC001475781104 1074312277 /nfs/dbraw/zinc/31/22/77/1074312277.db2.gz OXTMTDBWMBTXNG-GASCZTMLSA-N 0 0 446.503 -0.191 20 0 IBADRN COC(=O)[C@H]1CN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C[C@@H]1C(=O)OC ZINC001475781114 1074312337 /nfs/dbraw/zinc/31/23/37/1074312337.db2.gz OXTMTDBWMBTXNG-GJZGRUSLSA-N 0 0 446.503 -0.191 20 0 IBADRN COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(N3CCCS3(=O)=O)cc2)C[C@H]1C(=O)OC ZINC001475781118 1074312199 /nfs/dbraw/zinc/31/21/99/1074312199.db2.gz OXTMTDBWMBTXNG-HUUCEWRRSA-N 0 0 446.503 -0.191 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CC3(C2)NC(=O)NC3=O)cc1S(=O)(=O)N1CCCCC1 ZINC001475782942 1074638652 /nfs/dbraw/zinc/63/86/52/1074638652.db2.gz RZTYZUBURRAZRP-UHFFFAOYSA-N 0 0 442.519 -0.248 20 0 IBADRN COC(=O)C1(NS(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC(CO)C1 ZINC001475784346 1074313229 /nfs/dbraw/zinc/31/32/29/1074313229.db2.gz LDRRSACKJGYHFP-UHFFFAOYSA-N 0 0 448.519 -0.700 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2C[C@@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)c(=O)o1 ZINC001475791565 1074638706 /nfs/dbraw/zinc/63/87/06/1074638706.db2.gz BSZLRTAEXJRJRK-CMPLNLGQSA-N 0 0 433.430 -0.084 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2C[C@@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)c(=O)o1 ZINC001475791575 1074638707 /nfs/dbraw/zinc/63/87/07/1074638707.db2.gz BSZLRTAEXJRJRK-JQWIXIFHSA-N 0 0 433.430 -0.084 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2C[C@H]3CCCS(=O)(=O)[C@@]3(C(F)(F)F)C2)c(=O)o1 ZINC001475791577 1074638684 /nfs/dbraw/zinc/63/86/84/1074638684.db2.gz BSZLRTAEXJRJRK-PWSUYJOCSA-N 0 0 433.430 -0.084 20 0 IBADRN Cc1nn(CCS(=O)(=O)N2C[C@H]3CCCS(=O)(=O)[C@]3(C(F)(F)F)C2)c(=O)o1 ZINC001475791579 1074638720 /nfs/dbraw/zinc/63/87/20/1074638720.db2.gz BSZLRTAEXJRJRK-ZYHUDNBSSA-N 0 0 433.430 -0.084 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCOC3(CCS(=O)(=O)CC3)C2)cc1 ZINC001475791620 1074638676 /nfs/dbraw/zinc/63/86/76/1074638676.db2.gz QJSWYTYZPYOEFG-UHFFFAOYSA-N 0 0 438.549 -0.437 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1C[C@H]2CCCS(=O)(=O)[C@]2(C(F)(F)F)C1 ZINC001475793182 1074638744 /nfs/dbraw/zinc/63/87/44/1074638744.db2.gz MCJGHKXTTRBHCP-GHMZBOCLSA-N 0 0 442.503 -0.174 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1C[C@H]2CCCS(=O)(=O)[C@@]2(C(F)(F)F)C1 ZINC001475793186 1074638750 /nfs/dbraw/zinc/63/87/50/1074638750.db2.gz MCJGHKXTTRBHCP-MNOVXSKESA-N 0 0 442.503 -0.174 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1C[C@@H]2CCCS(=O)(=O)[C@@]2(C(F)(F)F)C1 ZINC001475793188 1074638734 /nfs/dbraw/zinc/63/87/34/1074638734.db2.gz MCJGHKXTTRBHCP-QWRGUYRKSA-N 0 0 442.503 -0.174 20 0 IBADRN NS(=O)(=O)CCCCS(=O)(=O)N1C[C@@H]2CCCS(=O)(=O)[C@]2(C(F)(F)F)C1 ZINC001475793190 1074639259 /nfs/dbraw/zinc/63/92/59/1074639259.db2.gz MCJGHKXTTRBHCP-WDEREUQCSA-N 0 0 442.503 -0.174 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCS(=O)(=O)CCO2)cc1 ZINC001475795355 1074639158 /nfs/dbraw/zinc/63/91/58/1074639158.db2.gz XNJBQZKGIAEAHA-UHFFFAOYSA-N 0 0 427.522 -0.149 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)OCCN2S(=O)(=O)CC1CN(S(C)(=O)=O)C1 ZINC001475798641 1074639179 /nfs/dbraw/zinc/63/91/79/1074639179.db2.gz VPCSXJQYABJHNZ-UHFFFAOYSA-N 0 0 425.529 -0.513 20 0 IBADRN Cn1cc(CNS(=O)(=O)c2ccc(S(=O)(=O)N3CCCC3)cc2)c(=O)[nH]c1=O ZINC001475806478 1074639230 /nfs/dbraw/zinc/63/92/30/1074639230.db2.gz HEDOAPPBJWAVJN-UHFFFAOYSA-N 0 0 428.492 -0.251 20 0 IBADRN Cn1ncc(S(=O)(=O)NCc2cn(C)c(=O)[nH]c2=O)c1I ZINC001475807369 1074639201 /nfs/dbraw/zinc/63/92/01/1074639201.db2.gz ZWFRMYXYIAGEJN-UHFFFAOYSA-N 0 0 425.208 -0.698 20 0 IBADRN COC(=O)[C@H](CC1OCCO1)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001475811579 1074639214 /nfs/dbraw/zinc/63/92/14/1074639214.db2.gz FQABUGXFBLIMEL-AWEZNQCLSA-N 0 0 434.492 -0.290 20 0 IBADRN COC(=O)[C@@H](CC1OCCO1)NS(=O)(=O)c1ccc(S(=O)(=O)NC2CC2)cc1 ZINC001475811580 1074639236 /nfs/dbraw/zinc/63/92/36/1074639236.db2.gz FQABUGXFBLIMEL-CQSZACIVSA-N 0 0 434.492 -0.290 20 0 IBADRN O=C(Nc1cccc(S(=O)(=O)N2CCOCC2)c1)N1CCC2(CC1)NC(=O)NC2=O ZINC001475817918 1074639263 /nfs/dbraw/zinc/63/92/63/1074639263.db2.gz QVKUOPWAKVOJRH-UHFFFAOYSA-N 0 0 437.478 -0.087 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001475819574 1074639239 /nfs/dbraw/zinc/63/92/39/1074639239.db2.gz NJWUAMMBVQGNQP-GOSISDBHSA-N 0 0 428.511 -0.478 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001475819575 1074639243 /nfs/dbraw/zinc/63/92/43/1074639243.db2.gz NJWUAMMBVQGNQP-SFHVURJKSA-N 0 0 428.511 -0.478 20 0 IBADRN O=C(NC[C@]1(OCCO)CCOC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001475819684 1074639272 /nfs/dbraw/zinc/63/92/72/1074639272.db2.gz QWKIFYXYBKPWDJ-GOSISDBHSA-N 0 0 429.495 -0.003 20 0 IBADRN O=C(NC[C@@]1(OCCO)CCOC1)Nc1cccc(S(=O)(=O)N2CCOCC2)c1 ZINC001475819685 1074639194 /nfs/dbraw/zinc/63/91/94/1074639194.db2.gz QWKIFYXYBKPWDJ-SFHVURJKSA-N 0 0 429.495 -0.003 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001475837153 1074639247 /nfs/dbraw/zinc/63/92/47/1074639247.db2.gz BRDSIXNOMIPMTF-UHFFFAOYSA-N 0 0 426.499 -0.836 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)N1CCN(S(N)(=O)=O)CC1 ZINC001475837439 1074639223 /nfs/dbraw/zinc/63/92/23/1074639223.db2.gz FIMLXMXSBFBHGD-UHFFFAOYSA-N 0 0 434.544 -0.644 20 0 IBADRN CO[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC001475837825 1074639276 /nfs/dbraw/zinc/63/92/76/1074639276.db2.gz AXUSAFYJVNOMCC-DZGCQCFKSA-N 0 0 433.508 -0.011 20 0 IBADRN CO[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC001475837826 1074639282 /nfs/dbraw/zinc/63/92/82/1074639282.db2.gz AXUSAFYJVNOMCC-HIFRSBDPSA-N 0 0 433.508 -0.011 20 0 IBADRN CO[C@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC001475837827 1074639190 /nfs/dbraw/zinc/63/91/90/1074639190.db2.gz AXUSAFYJVNOMCC-UKRRQHHQSA-N 0 0 433.508 -0.011 20 0 IBADRN CO[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N[C@H]3CCS(=O)(=O)C3)cc2)CCO1 ZINC001475837828 1074639186 /nfs/dbraw/zinc/63/91/86/1074639186.db2.gz AXUSAFYJVNOMCC-ZFWWWQNUSA-N 0 0 433.508 -0.011 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001475838675 1074639170 /nfs/dbraw/zinc/63/91/70/1074639170.db2.gz VCRKNEAERHEBEG-GFCCVEGCSA-N 0 0 446.555 -0.631 20 0 IBADRN CN(C)c1ccc(S(=O)(=O)N(C)C)cc1NC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001475838676 1074639741 /nfs/dbraw/zinc/63/97/41/1074639741.db2.gz VCRKNEAERHEBEG-LBPRGKRZSA-N 0 0 446.555 -0.631 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001475845595 1074639680 /nfs/dbraw/zinc/63/96/80/1074639680.db2.gz RCQKITKNECTWIM-NRFANRHFSA-N 0 0 447.492 -0.293 20 0 IBADRN O=C(CN1CCOCC1)Nc1ccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001475845599 1074639710 /nfs/dbraw/zinc/63/97/10/1074639710.db2.gz RCQKITKNECTWIM-OAQYLSRUSA-N 0 0 447.492 -0.293 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC001475851027 1074639675 /nfs/dbraw/zinc/63/96/75/1074639675.db2.gz JCIVBDXTOOIBHT-AWEZNQCLSA-N 0 0 426.495 -0.059 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)Nc1ccccc1C(=O)N1CCOCC1 ZINC001475851028 1074639709 /nfs/dbraw/zinc/63/97/09/1074639709.db2.gz JCIVBDXTOOIBHT-CQSZACIVSA-N 0 0 426.495 -0.059 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Nc2cc(C)nn2[C@H]2CCS(=O)(=O)C2)CC1 ZINC001475885494 1074639699 /nfs/dbraw/zinc/63/96/99/1074639699.db2.gz IFPMLIGXZYRBTN-HNNXBMFYSA-N 0 0 440.526 -0.247 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Nc2cc(C)nn2[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001475885497 1074639744 /nfs/dbraw/zinc/63/97/44/1074639744.db2.gz IFPMLIGXZYRBTN-OAHLLOKOSA-N 0 0 440.526 -0.247 20 0 IBADRN COC(=O)Cc1csc(NC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)n1 ZINC001475894109 1074639687 /nfs/dbraw/zinc/63/96/87/1074639687.db2.gz ZYFCPKZZLNNROW-UHFFFAOYSA-N 0 0 439.541 -0.020 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N[C@@H]1CCC(=O)N(C)C1=O ZINC001475947954 1074639660 /nfs/dbraw/zinc/63/96/60/1074639660.db2.gz WLOWUPPWDAIRLU-CYBMUJFWSA-N 0 0 440.478 -0.015 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)N[C@H]1CCC(=O)N(C)C1=O ZINC001475947955 1074639726 /nfs/dbraw/zinc/63/97/26/1074639726.db2.gz WLOWUPPWDAIRLU-ZDUSSCGKSA-N 0 0 440.478 -0.015 20 0 IBADRN O=C(Cc1ccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1)N1CCOCC1 ZINC001475953039 1074639708 /nfs/dbraw/zinc/63/97/08/1074639708.db2.gz FKBGVGWGUXKNEF-NRFANRHFSA-N 0 0 432.477 -0.163 20 0 IBADRN O=C(Cc1ccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)cc1)N1CCOCC1 ZINC001475953043 1074639671 /nfs/dbraw/zinc/63/96/71/1074639671.db2.gz FKBGVGWGUXKNEF-OAQYLSRUSA-N 0 0 432.477 -0.163 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC001475953180 1074639715 /nfs/dbraw/zinc/63/97/15/1074639715.db2.gz JGMAXIXTTYLDIE-KRWDZBQOSA-N 0 0 440.522 -0.130 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)Nc1ccc(CC(=O)N2CCOCC2)cc1 ZINC001475953181 1074639683 /nfs/dbraw/zinc/63/96/83/1074639683.db2.gz JGMAXIXTTYLDIE-QGZVFWFLSA-N 0 0 440.522 -0.130 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)Nc2ccccc2CN2C(=O)CNC2=O)CC1 ZINC001475988795 1074639705 /nfs/dbraw/zinc/63/97/05/1074639705.db2.gz AIWXVUABIAZYOS-UHFFFAOYSA-N 0 0 430.465 -0.059 20 0 IBADRN O=C(Nc1ccc(F)c(S(=O)(=O)N2CCOCC2)c1)NN1CCS(=O)(=O)CC1 ZINC001475999406 1074639654 /nfs/dbraw/zinc/63/96/54/1074639654.db2.gz FURFVNGIDGRGPJ-UHFFFAOYSA-N 0 0 436.487 -0.387 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N[C@H]3CCN(S(C)(=O)=O)C3)c2)CC1 ZINC001476029100 1074640232 /nfs/dbraw/zinc/64/02/32/1074640232.db2.gz BPVZLVJLYAIWDG-HNNXBMFYSA-N 0 0 445.567 -0.222 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)c2)CC1 ZINC001476029101 1074640285 /nfs/dbraw/zinc/64/02/85/1074640285.db2.gz BPVZLVJLYAIWDG-OAHLLOKOSA-N 0 0 445.567 -0.222 20 0 IBADRN CN1CCN(S(=O)(=O)c2cccc(NC(=O)N3CC(NS(C)(=O)=O)C3)c2)CC1 ZINC001476029281 1074640353 /nfs/dbraw/zinc/64/03/53/1074640353.db2.gz FLTZQDXXHUSAPO-UHFFFAOYSA-N 0 0 431.540 -0.612 20 0 IBADRN COC(=O)[C@@]1(O)CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC001476030069 1074640356 /nfs/dbraw/zinc/64/03/56/1074640356.db2.gz SBEFQNPFVOFSLC-GOSISDBHSA-N 0 0 426.495 -0.236 20 0 IBADRN COC(=O)[C@]1(O)CCN(C(=O)Nc2cccc(S(=O)(=O)N3CCN(C)CC3)c2)C1 ZINC001476030070 1074640315 /nfs/dbraw/zinc/64/03/15/1074640315.db2.gz SBEFQNPFVOFSLC-SFHVURJKSA-N 0 0 426.495 -0.236 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)Nc1cccc(CN2CCC[C@@H]2C(N)=O)c1 ZINC001476048889 1074640288 /nfs/dbraw/zinc/64/02/88/1074640288.db2.gz OWHBIIUIENVCOZ-DLBZAZTESA-N 0 0 439.538 -0.082 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)Nc1cccc(CN2CCC[C@@H]2C(N)=O)c1 ZINC001476048890 1074640268 /nfs/dbraw/zinc/64/02/68/1074640268.db2.gz OWHBIIUIENVCOZ-IAGOWNOFSA-N 0 0 439.538 -0.082 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)Nc1cccc(CN2CCC[C@H]2C(N)=O)c1 ZINC001476048891 1074640295 /nfs/dbraw/zinc/64/02/95/1074640295.db2.gz OWHBIIUIENVCOZ-IRXDYDNUSA-N 0 0 439.538 -0.082 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)Nc1cccc(CN2CCC[C@H]2C(N)=O)c1 ZINC001476048892 1074640347 /nfs/dbraw/zinc/64/03/47/1074640347.db2.gz OWHBIIUIENVCOZ-SJORKVTESA-N 0 0 439.538 -0.082 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)NC[C@H](O)CS(C)(=O)=O ZINC001476059676 1074640319 /nfs/dbraw/zinc/64/03/19/1074640319.db2.gz PGYNHWPDSSHMOT-AWEZNQCLSA-N 0 0 428.511 -0.390 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001476059677 1074640277 /nfs/dbraw/zinc/64/02/77/1074640277.db2.gz PGYNHWPDSSHMOT-CQSZACIVSA-N 0 0 428.511 -0.390 20 0 IBADRN COc1ccc(C(=O)N2CCN(C)CC2)cc1NC(=O)N1CC(O)(c2ncnn2C)C1 ZINC001476059951 1074640354 /nfs/dbraw/zinc/64/03/54/1074640354.db2.gz VSXBVWOPKLOOOF-UHFFFAOYSA-N 0 0 429.481 -0.053 20 0 IBADRN CN1CCCN(S(=O)(=O)c2cccc(NC(=O)N3C[C@@H]4C(=O)NC(=O)[C@@H]4C3)c2)CC1 ZINC001476105988 1074640330 /nfs/dbraw/zinc/64/03/30/1074640330.db2.gz MKFIWEMTSLLINU-IYBDPMFKSA-N 0 0 435.506 -0.251 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)Nc2cccc(S(=O)(=O)N3CCCN(C)CC3)c2)C1 ZINC001476106543 1074640255 /nfs/dbraw/zinc/64/02/55/1074640255.db2.gz WDHAXPQVRHKSAB-UHFFFAOYSA-N 0 0 449.537 -0.123 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)N2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC001476109460 1074640308 /nfs/dbraw/zinc/64/03/08/1074640308.db2.gz GUFJXVJTJBLDQT-CALCHBBNSA-N 0 0 428.493 -0.367 20 0 IBADRN CN(C(=O)CN1CCN(C)CC1)c1ccc(NC(=O)NN2CCS(=O)(=O)CC2)cc1 ZINC001476109742 1074640338 /nfs/dbraw/zinc/64/03/38/1074640338.db2.gz LEICWQPGRHTGST-UHFFFAOYSA-N 0 0 438.554 -0.336 20 0 IBADRN COC(=O)CNC(=O)CNC(=O)Nc1ccc(N(C)C(=O)CN2CCN(C)CC2)cc1 ZINC001476109761 1074640302 /nfs/dbraw/zinc/64/03/02/1074640302.db2.gz LTCSSMUEHJRHBV-UHFFFAOYSA-N 0 0 434.497 -0.693 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C[C@@H](C)O1 ZINC001476133356 1074640324 /nfs/dbraw/zinc/64/03/24/1074640324.db2.gz FWDXMVYJCPHZEK-HIFRSBDPSA-N 0 0 441.510 -0.043 20 0 IBADRN CCS(=O)(=O)C1CN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC001476133386 1074640875 /nfs/dbraw/zinc/64/08/75/1074640875.db2.gz HCDBBMWCBHYRCM-UHFFFAOYSA-N 0 0 431.540 -0.186 20 0 IBADRN C[C@H](O)CN1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)CC1 ZINC001476133534 1074640781 /nfs/dbraw/zinc/64/07/81/1074640781.db2.gz KXQQYSAFYAUBJS-HNNXBMFYSA-N 0 0 426.543 -0.307 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N[C@H](CO)CC3OCCO3)cn2)CC1 ZINC001476134004 1074640803 /nfs/dbraw/zinc/64/08/03/1074640803.db2.gz QADKECGDFNGCGN-AWEZNQCLSA-N 0 0 429.499 -0.591 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N[C@@H](CO)CC3OCCO3)cn2)CC1 ZINC001476134005 1074640870 /nfs/dbraw/zinc/64/08/70/1074640870.db2.gz QADKECGDFNGCGN-CQSZACIVSA-N 0 0 429.499 -0.591 20 0 IBADRN CNC(=O)N[C@H]1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC001476134277 1074640862 /nfs/dbraw/zinc/64/08/62/1074640862.db2.gz VGTVMAIUPODTOD-AWEZNQCLSA-N 0 0 425.515 -0.302 20 0 IBADRN CNC(=O)N[C@@H]1CCN(C(=O)Nc2ccc(N3CCN(S(C)(=O)=O)CC3)nc2)C1 ZINC001476134278 1074640817 /nfs/dbraw/zinc/64/08/17/1074640817.db2.gz VGTVMAIUPODTOD-CQSZACIVSA-N 0 0 425.515 -0.302 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)Nc3ccc(N4CCN(S(C)(=O)=O)CC4)nc3)C[C@H]2C1=O ZINC001476134350 1074640793 /nfs/dbraw/zinc/64/07/93/1074640793.db2.gz XEJVPUFUPRDFSH-OKILXGFUSA-N 0 0 436.494 -0.758 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@]3(OCCO)CCOC3)cn2)CC1 ZINC001476134641 1074640857 /nfs/dbraw/zinc/64/08/57/1074640857.db2.gz ZKAWJEYYHBJDDT-GOSISDBHSA-N 0 0 443.526 -0.547 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)NC[C@@]3(OCCO)CCOC3)cn2)CC1 ZINC001476134661 1074640927 /nfs/dbraw/zinc/64/09/27/1074640927.db2.gz ZKAWJEYYHBJDDT-SFHVURJKSA-N 0 0 443.526 -0.547 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3C[C@@H](CO)[C@H](CCO)C3)cn2)CC1 ZINC001476135660 1074640749 /nfs/dbraw/zinc/64/07/49/1074640749.db2.gz CYIAELKLPBSRQM-CABCVRRESA-N 0 0 427.527 -0.382 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3C[C@H](CCO)[C@H](CO)C3)cn2)CC1 ZINC001476135661 1074640825 /nfs/dbraw/zinc/64/08/25/1074640825.db2.gz CYIAELKLPBSRQM-GJZGRUSLSA-N 0 0 427.527 -0.382 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3C[C@H](CO)[C@H](CCO)C3)cn2)CC1 ZINC001476135662 1074640739 /nfs/dbraw/zinc/64/07/39/1074640739.db2.gz CYIAELKLPBSRQM-HUUCEWRRSA-N 0 0 427.527 -0.382 20 0 IBADRN CS(=O)(=O)N1CCN(c2ccc(NC(=O)N3C[C@H](CO)[C@@H](CCO)C3)cn2)CC1 ZINC001476135663 1074640891 /nfs/dbraw/zinc/64/08/91/1074640891.db2.gz CYIAELKLPBSRQM-LSDHHAIUSA-N 0 0 427.527 -0.382 20 0 IBADRN COC(=O)CN1CCN(c2ccc(NC(=O)N[C@@H]3CS(=O)(=O)C[C@H]3O)cc2)CC1 ZINC001476139793 1074640807 /nfs/dbraw/zinc/64/08/07/1074640807.db2.gz RJRIKVSYWRCDJA-HZPDHXFCSA-N 0 0 426.495 -0.739 20 0 IBADRN CN(C)C(=O)Cn1cnc(NC(=O)NC2CCN(S(=O)(=O)C3CCOCC3)CC2)n1 ZINC001476155294 1074640754 /nfs/dbraw/zinc/64/07/54/1074640754.db2.gz VOLIMZLQOWPLEX-UHFFFAOYSA-N 0 0 443.530 -0.539 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)cc1 ZINC001476170081 1074640831 /nfs/dbraw/zinc/64/08/31/1074640831.db2.gz UKXRZQJTIDRYOB-AWEZNQCLSA-N 0 0 435.524 -0.111 20 0 IBADRN COCCNS(=O)(=O)c1ccc(NC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)cc1 ZINC001476170082 1074640851 /nfs/dbraw/zinc/64/08/51/1074640851.db2.gz UKXRZQJTIDRYOB-CQSZACIVSA-N 0 0 435.524 -0.111 20 0 IBADRN O=C(Cn1ccc(NC(=O)Nc2ccn(CCN3CC[NH+]=C3[O-])n2)n1)N1CCOCC1 ZINC001476179799 1074640913 /nfs/dbraw/zinc/64/09/13/1074640913.db2.gz NTTXQOZSNBPQBS-UHFFFAOYSA-N 0 0 431.457 -0.392 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)Nc3cnn(CC(=O)N4CCOCC4)c3)C[C@H]21 ZINC001476209840 1074640766 /nfs/dbraw/zinc/64/07/66/1074640766.db2.gz SRFKIAPQPJMYPH-ZNMIVQPWSA-N 0 0 427.483 -0.982 20 0 IBADRN O=C(Cn1cc(NC(=O)NCCS(=O)(=O)CC(F)(F)F)cn1)N1CCOCC1 ZINC001476210112 1074641355 /nfs/dbraw/zinc/64/13/55/1074641355.db2.gz ZHEJEZFMNHBJLB-UHFFFAOYSA-N 0 0 427.405 -0.160 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC(C(=O)NCCO)CC3)cn2)C[C@H](C)O1 ZINC001476235613 1074641331 /nfs/dbraw/zinc/64/13/31/1074641331.db2.gz LYZWQVKJESTEOC-GASCZTMLSA-N 0 0 436.513 -0.129 20 0 IBADRN C[C@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC(C(=O)NCCO)CC3)cn2)C[C@H](C)O1 ZINC001476235616 1074641358 /nfs/dbraw/zinc/64/13/58/1074641358.db2.gz LYZWQVKJESTEOC-GJZGRUSLSA-N 0 0 436.513 -0.129 20 0 IBADRN C[C@@H]1CN(C(=O)Cn2cc(NC(=O)N3CCC(C(=O)NCCO)CC3)cn2)C[C@@H](C)O1 ZINC001476235619 1074641321 /nfs/dbraw/zinc/64/13/21/1074641321.db2.gz LYZWQVKJESTEOC-HUUCEWRRSA-N 0 0 436.513 -0.129 20 0 IBADRN COCCNC(=O)Cn1cc(NC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)cn1 ZINC001476237514 1074641264 /nfs/dbraw/zinc/64/12/64/1074641264.db2.gz LZIINZJZSUOROA-UHFFFAOYSA-N 0 0 431.519 -0.962 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@H](CO)[C@H](O)C2)c1 ZINC001476309567 1074641275 /nfs/dbraw/zinc/64/12/75/1074641275.db2.gz VFXZZURNIDXRFM-CXAGYDPISA-N 0 0 428.511 -0.410 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@H](O)[C@@H](CO)C2)c1 ZINC001476309571 1074641282 /nfs/dbraw/zinc/64/12/82/1074641282.db2.gz VFXZZURNIDXRFM-DYVFJYSZSA-N 0 0 428.511 -0.410 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@H](O)[C@H](CO)C2)c1 ZINC001476309572 1074641387 /nfs/dbraw/zinc/64/13/87/1074641387.db2.gz VFXZZURNIDXRFM-GUYCJALGSA-N 0 0 428.511 -0.410 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(NC(=O)N2C[C@@H](O)[C@H](CO)C2)c1 ZINC001476309574 1074641361 /nfs/dbraw/zinc/64/13/61/1074641361.db2.gz VFXZZURNIDXRFM-SUMWQHHRSA-N 0 0 428.511 -0.410 20 0 IBADRN Cn1cnn(-c2ccc(NC(=O)N3CCS(=O)(=NS(C)(=O)=O)CC3)cc2)c1=O ZINC001476340191 1074641365 /nfs/dbraw/zinc/64/13/65/1074641365.db2.gz HGMKPFPNSPSNDC-UHFFFAOYSA-N 0 0 428.496 -0.154 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)Nc2ccc(F)c(S(=O)(=O)NC3CC3)c2)C1 ZINC001476348260 1074641298 /nfs/dbraw/zinc/64/12/98/1074641298.db2.gz LTFZAHLLMKPRAS-UHFFFAOYSA-N 0 0 435.503 -0.122 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC001476350253 1074641314 /nfs/dbraw/zinc/64/13/14/1074641314.db2.gz QHWMJMWZPSFEON-KBPBESRZSA-N 0 0 434.540 -0.127 20 0 IBADRN Cc1nn([C@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC001476350254 1074641376 /nfs/dbraw/zinc/64/13/76/1074641376.db2.gz QHWMJMWZPSFEON-KGLIPLIRSA-N 0 0 434.540 -0.127 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)N(CCO)[C@H]1CCS(=O)(=O)C1 ZINC001476350255 1074641287 /nfs/dbraw/zinc/64/12/87/1074641287.db2.gz QHWMJMWZPSFEON-UONOGXRCSA-N 0 0 434.540 -0.127 20 0 IBADRN Cc1nn([C@@H]2CCS(=O)(=O)C2)c(C)c1NC(=O)N(CCO)[C@@H]1CCS(=O)(=O)C1 ZINC001476350256 1074641379 /nfs/dbraw/zinc/64/13/79/1074641379.db2.gz QHWMJMWZPSFEON-ZIAGYGMSSA-N 0 0 434.540 -0.127 20 0 IBADRN CS(=O)(=O)CCn1cccc(NC(=O)N2CCC(C(=O)NCCCO)CC2)c1=O ZINC001476356513 1074641374 /nfs/dbraw/zinc/64/13/74/1074641374.db2.gz MTGHOQBJEXUXII-UHFFFAOYSA-N 0 0 428.511 -0.365 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)Nc1ccncc1I ZINC001476361915 1074641383 /nfs/dbraw/zinc/64/13/83/1074641383.db2.gz FIMOGWXWXFCSPE-SECBINFHSA-N 0 0 440.263 -0.110 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)Nc1ccncc1I ZINC001476361916 1074641345 /nfs/dbraw/zinc/64/13/45/1074641345.db2.gz FIMOGWXWXFCSPE-VIFPVBQESA-N 0 0 440.263 -0.110 20 0 IBADRN CN(C)C(=O)c1ccnc(NC(=O)N2CCN(S(=O)(=O)N=S(C)(C)=O)CC2)c1 ZINC001476400592 1074641960 /nfs/dbraw/zinc/64/19/60/1074641960.db2.gz YXQNAWMEDDBURT-UHFFFAOYSA-N 0 0 432.528 -0.095 20 0 IBADRN COc1cc(N2CCS(=O)(=O)CC2)ccc1NC(=O)NCC(=O)N1CCN(C)CC1 ZINC001476436352 1074641879 /nfs/dbraw/zinc/64/18/79/1074641879.db2.gz OLUVRUUVKDOPET-UHFFFAOYSA-N 0 0 439.538 -0.175 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001476474207 1074641875 /nfs/dbraw/zinc/64/18/75/1074641875.db2.gz XRDWTTHXNCCPOK-BETUJISGSA-N 0 0 430.508 -0.389 20 0 IBADRN C[S@](=N)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001476474212 1074641821 /nfs/dbraw/zinc/64/18/21/1074641821.db2.gz XUZQYALIENSIOW-HHHXNRCGSA-N 0 0 432.528 -0.156 20 0 IBADRN C[S@@](=N)(=O)N1CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001476474213 1074641919 /nfs/dbraw/zinc/64/19/19/1074641919.db2.gz XUZQYALIENSIOW-MHZLTWQESA-N 0 0 432.528 -0.156 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCCC1)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001476474332 1074641786 /nfs/dbraw/zinc/64/17/86/1074641786.db2.gz YUWRMTPKZVRHJG-UHFFFAOYSA-N 0 0 447.539 -0.350 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCC[C@@H](CS(=O)(=O)NC)C2)c1 ZINC001476496491 1074641932 /nfs/dbraw/zinc/64/19/32/1074641932.db2.gz GRVSWXKCSWUIMA-CYBMUJFWSA-N 0 0 425.511 -0.045 20 0 IBADRN CNC(=O)CNC(=O)c1cccc(NC(=O)N2CCC[C@H](CS(=O)(=O)NC)C2)c1 ZINC001476496492 1074641924 /nfs/dbraw/zinc/64/19/24/1074641924.db2.gz GRVSWXKCSWUIMA-ZDUSSCGKSA-N 0 0 425.511 -0.045 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001476533186 1074641917 /nfs/dbraw/zinc/64/19/17/1074641917.db2.gz RQRQTFAXABRQRY-GFCCVEGCSA-N 0 0 430.512 -0.768 20 0 IBADRN O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccn1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001476533187 1074641894 /nfs/dbraw/zinc/64/18/94/1074641894.db2.gz RQRQTFAXABRQRY-LBPRGKRZSA-N 0 0 430.512 -0.768 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)Nc2cccc(C(=O)N(C)CC(N)=O)c2)CC1 ZINC001476553756 1074641948 /nfs/dbraw/zinc/64/19/48/1074641948.db2.gz FVSQIGCNVMNUDU-UHFFFAOYSA-N 0 0 426.499 -0.800 20 0 IBADRN COCCOCCN1CCN(C(=O)N[C@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC001476572471 1074641899 /nfs/dbraw/zinc/64/18/99/1074641899.db2.gz CYZDDXPSRBMMKF-CYBMUJFWSA-N 0 0 434.481 -0.201 20 0 IBADRN COCCOCCN1CCN(C(=O)N[C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)CC1 ZINC001476572472 1074641801 /nfs/dbraw/zinc/64/18/01/1074641801.db2.gz CYZDDXPSRBMMKF-ZDUSSCGKSA-N 0 0 434.481 -0.201 20 0 IBADRN CN1CCN(c2ncc(Br)cc2NC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)CC1 ZINC001476606687 1074642327 /nfs/dbraw/zinc/64/23/27/1074642327.db2.gz CHAONUKTXSEQMG-CHWSQXEVSA-N 0 0 448.343 -0.125 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2cccc(O[C@H]3CC(=O)N3)c2)CC1 ZINC001476736024 1074642249 /nfs/dbraw/zinc/64/22/49/1074642249.db2.gz BOPTWEMYHNUYDG-INIZCTEOSA-N 0 0 429.433 -0.264 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)Nc2cccc(O[C@@H]3CC(=O)N3)c2)CC1 ZINC001476736025 1074642356 /nfs/dbraw/zinc/64/23/56/1074642356.db2.gz BOPTWEMYHNUYDG-MRXNPFEDSA-N 0 0 429.433 -0.264 20 0 IBADRN Cc1c(NC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)cccc1S(=O)(=O)N(C)C ZINC001476738869 1074642391 /nfs/dbraw/zinc/64/23/91/1074642391.db2.gz WMCGPFSRMPVQKK-UHFFFAOYSA-N 0 0 436.498 -0.392 20 0 IBADRN Cc1cccc(NC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)c1S(C)(=O)=O ZINC001476745432 1074642209 /nfs/dbraw/zinc/64/22/09/1074642209.db2.gz LRMBSGFKHJCXHH-UHFFFAOYSA-N 0 0 436.494 -0.107 20 0 IBADRN CN1C(=O)N[C@](C)(c2ccc(NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cc2)C1=O ZINC001476801373 1074642320 /nfs/dbraw/zinc/64/23/20/1074642320.db2.gz PDDMJFNNBGEVIA-KBXCAEBGSA-N 0 0 439.494 -0.135 20 0 IBADRN CN1C(=O)N[C@@](C)(c2ccc(NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cc2)C1=O ZINC001476801374 1074642362 /nfs/dbraw/zinc/64/23/62/1074642362.db2.gz PDDMJFNNBGEVIA-KDOFPFPSSA-N 0 0 439.494 -0.135 20 0 IBADRN CN1C(=O)N[C@@](C)(c2ccc(NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)cc2)C1=O ZINC001476801375 1074642299 /nfs/dbraw/zinc/64/22/99/1074642299.db2.gz PDDMJFNNBGEVIA-KSSFIOAISA-N 0 0 439.494 -0.135 20 0 IBADRN CN1C(=O)N[C@](C)(c2ccc(NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)cc2)C1=O ZINC001476801376 1074642237 /nfs/dbraw/zinc/64/22/37/1074642237.db2.gz PDDMJFNNBGEVIA-RDTXWAMCSA-N 0 0 439.494 -0.135 20 0 IBADRN CCc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)NCCNS(C)(=O)=O ZINC001476832429 1074642306 /nfs/dbraw/zinc/64/23/06/1074642306.db2.gz CVJFWKXPMKBLSS-UHFFFAOYSA-N 0 0 434.540 -0.059 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)Nc1cccc(CN2CCCS2(=O)=O)c1 ZINC001476855484 1074642291 /nfs/dbraw/zinc/64/22/91/1074642291.db2.gz GQQVUYSWBQMGLV-IBGZPJMESA-N 0 0 438.506 -0.029 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)Nc1cccc(CN2CCCS2(=O)=O)c1 ZINC001476855493 1074642269 /nfs/dbraw/zinc/64/22/69/1074642269.db2.gz GQQVUYSWBQMGLV-LJQANCHMSA-N 0 0 438.506 -0.029 20 0 IBADRN CS(=O)(=O)CCOc1ccc(NC(=O)N2CCN(CCS(N)(=O)=O)CC2)cc1 ZINC001476860211 1074642311 /nfs/dbraw/zinc/64/23/11/1074642311.db2.gz VYJMTNATBJKVKU-UHFFFAOYSA-N 0 0 434.540 -0.452 20 0 IBADRN CS(=O)(=O)CCOc1ccc(NC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001476860867 1074642228 /nfs/dbraw/zinc/64/22/28/1074642228.db2.gz TUXPTKCAAZNWJS-AWEZNQCLSA-N 0 0 435.524 -0.108 20 0 IBADRN CS(=O)(=O)CCOc1ccc(NC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001476860873 1074642285 /nfs/dbraw/zinc/64/22/85/1074642285.db2.gz TUXPTKCAAZNWJS-CQSZACIVSA-N 0 0 435.524 -0.108 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)[C@@H]3CCS(=O)(=O)C3)CC2)c(N(C)C)nn1 ZINC001476865010 1074642216 /nfs/dbraw/zinc/64/22/16/1074642216.db2.gz SEWOQFSCBPDYAG-CYBMUJFWSA-N 0 0 446.555 -0.483 20 0 IBADRN Cc1cc(NC(=O)N2CCN(S(=O)(=O)[C@H]3CCS(=O)(=O)C3)CC2)c(N(C)C)nn1 ZINC001476865011 1074642850 /nfs/dbraw/zinc/64/28/50/1074642850.db2.gz SEWOQFSCBPDYAG-ZDUSSCGKSA-N 0 0 446.555 -0.483 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccc3c1CCN(C)C3=O)C2 ZINC001476880376 1074642884 /nfs/dbraw/zinc/64/28/84/1074642884.db2.gz RSIGDDPTSGISGA-UHFFFAOYSA-N 0 0 427.465 -0.191 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001476934033 1074642932 /nfs/dbraw/zinc/64/29/32/1074642932.db2.gz FHOLWCDAFGHNTK-GOSISDBHSA-N 0 0 442.494 -0.639 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001476934035 1074642854 /nfs/dbraw/zinc/64/28/54/1074642854.db2.gz FHOLWCDAFGHNTK-SFHVURJKSA-N 0 0 442.494 -0.639 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N(C)C[C@H](O)CN2CCOCC2)c1 ZINC001476934481 1074642942 /nfs/dbraw/zinc/64/29/42/1074642942.db2.gz PBFBZNAYMJLARQ-INIZCTEOSA-N 0 0 430.527 -0.232 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N(C)C[C@@H](O)CN2CCOCC2)c1 ZINC001476934484 1074642912 /nfs/dbraw/zinc/64/29/12/1074642912.db2.gz PBFBZNAYMJLARQ-MRXNPFEDSA-N 0 0 430.527 -0.232 20 0 IBADRN COCCNS(=O)(=O)c1cccc(NC(=O)N2CCN(C(=O)C(OC)OC)CC2)c1 ZINC001476934856 1074642949 /nfs/dbraw/zinc/64/29/49/1074642949.db2.gz VORVQUBVFUUDDZ-UHFFFAOYSA-N 0 0 444.510 -0.094 20 0 IBADRN CNC(=O)COc1cc(C(=O)OC)ccc1NC(=O)N1CCN(CC(=O)N(C)C)CC1 ZINC001476970214 1074642952 /nfs/dbraw/zinc/64/29/52/1074642952.db2.gz ZDAPPBUQWYOOFE-UHFFFAOYSA-N 0 0 435.481 -0.164 20 0 IBADRN Cc1ncc(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@@H](C)C3)CC2)cc1C(N)=O ZINC001476980984 1074642962 /nfs/dbraw/zinc/64/29/62/1074642962.db2.gz RBULWCOVWWQSMW-BETUJISGSA-N 0 0 440.526 -0.008 20 0 IBADRN Cc1ncc(NC(=O)N2CCN(S(=O)(=O)N3C[C@@H](C)O[C@H](C)C3)CC2)cc1C(N)=O ZINC001476980985 1074642833 /nfs/dbraw/zinc/64/28/33/1074642833.db2.gz RBULWCOVWWQSMW-CHWSQXEVSA-N 0 0 440.526 -0.008 20 0 IBADRN Cc1ncc(NC(=O)N2CCN(S(=O)(=O)N3C[C@H](C)O[C@@H](C)C3)CC2)cc1C(N)=O ZINC001476980986 1074642860 /nfs/dbraw/zinc/64/28/60/1074642860.db2.gz RBULWCOVWWQSMW-STQMWFEESA-N 0 0 440.526 -0.008 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)nn1 ZINC001477038708 1074642842 /nfs/dbraw/zinc/64/28/42/1074642842.db2.gz KQOLBPUHZLNCPG-GFCCVEGCSA-N 0 0 433.512 -0.462 20 0 IBADRN CS(=O)(=O)c1ccc(NC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)nn1 ZINC001477038709 1074642892 /nfs/dbraw/zinc/64/28/92/1074642892.db2.gz KQOLBPUHZLNCPG-LBPRGKRZSA-N 0 0 433.512 -0.462 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc3c(c2)S(=O)(=O)CC(=O)N3)CC1 ZINC001477063040 1074642865 /nfs/dbraw/zinc/64/28/65/1074642865.db2.gz UZUODDYAOVVVJX-UHFFFAOYSA-N 0 0 439.494 -0.676 20 0 IBADRN Cn1c2cc(NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)ccc2c(=O)n(C)c1=O ZINC001477105628 1074642905 /nfs/dbraw/zinc/64/29/05/1074642905.db2.gz KNWJCWUQFRPEKV-GFCCVEGCSA-N 0 0 425.467 -0.981 20 0 IBADRN Cn1c2cc(NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)ccc2c(=O)n(C)c1=O ZINC001477105636 1074642936 /nfs/dbraw/zinc/64/29/36/1074642936.db2.gz KNWJCWUQFRPEKV-LBPRGKRZSA-N 0 0 425.467 -0.981 20 0 IBADRN COC(=O)c1c(NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)nc2n1CCC2 ZINC001477106276 1074642897 /nfs/dbraw/zinc/64/28/97/1074642897.db2.gz WLYDGJFTVPPGDX-UHFFFAOYSA-N 0 0 432.437 -0.559 20 0 IBADRN O=C(Nc1ccn(CCN2CC[NH+]=C2[O-])n1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC001477113910 1074642873 /nfs/dbraw/zinc/64/28/73/1074642873.db2.gz WKLBPNJZTMOIOP-UHFFFAOYSA-N 0 0 425.515 -0.014 20 0 IBADRN O=C(Nc1ccnn1[C@H]1CCOC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001477124630 1074642919 /nfs/dbraw/zinc/64/29/19/1074642919.db2.gz ZONAIQWGDGFEQP-KBPBESRZSA-N 0 0 447.539 -0.489 20 0 IBADRN O=C(Nc1ccnn1[C@@H]1CCOC1)N1CCN(S(=O)(=O)[C@H]2CCS(=O)(=O)C2)CC1 ZINC001477124631 1074642927 /nfs/dbraw/zinc/64/29/27/1074642927.db2.gz ZONAIQWGDGFEQP-KGLIPLIRSA-N 0 0 447.539 -0.489 20 0 IBADRN O=C(Nc1ccnn1[C@H]1CCOC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001477124632 1074643362 /nfs/dbraw/zinc/64/33/62/1074643362.db2.gz ZONAIQWGDGFEQP-UONOGXRCSA-N 0 0 447.539 -0.489 20 0 IBADRN O=C(Nc1ccnn1[C@@H]1CCOC1)N1CCN(S(=O)(=O)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001477124633 1074643427 /nfs/dbraw/zinc/64/34/27/1074643427.db2.gz ZONAIQWGDGFEQP-ZIAGYGMSSA-N 0 0 447.539 -0.489 20 0 IBADRN Cn1cc(Br)c(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cc1=O ZINC001477171171 1074643374 /nfs/dbraw/zinc/64/33/74/1074643374.db2.gz ZECMVABFSYZSPH-UHFFFAOYSA-N 0 0 436.332 -0.154 20 0 IBADRN COCC(=O)N1CCCc2ccc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)cc21 ZINC001477182249 1074643416 /nfs/dbraw/zinc/64/34/16/1074643416.db2.gz URTQAYNNUGMUBQ-UHFFFAOYSA-N 0 0 442.480 -0.025 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)Nc1cnc2c(c1)CNC2=O ZINC001477201001 1074643437 /nfs/dbraw/zinc/64/34/37/1074643437.db2.gz QJWMCPLDKUKWMH-UHFFFAOYSA-N 0 0 445.505 -0.007 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1cccc(N3C(=O)CCC3=O)c1)C2 ZINC001477209791 1074643452 /nfs/dbraw/zinc/64/34/52/1074643452.db2.gz CJRMDFXOUJGJKA-UHFFFAOYSA-N 0 0 441.448 -0.166 20 0 IBADRN COc1ccc([C@H](CNC(=O)N2CCN(CC(N)=O)C(=O)C2)N2CCOCC2)cc1OC ZINC001477242356 1074643391 /nfs/dbraw/zinc/64/33/91/1074643391.db2.gz HLLUOZHDSOVEBC-INIZCTEOSA-N 0 0 449.508 -0.584 20 0 IBADRN COc1ccc([C@@H](CNC(=O)N2CCN(CC(N)=O)C(=O)C2)N2CCOCC2)cc1OC ZINC001477242361 1074643469 /nfs/dbraw/zinc/64/34/69/1074643469.db2.gz HLLUOZHDSOVEBC-MRXNPFEDSA-N 0 0 449.508 -0.584 20 0 IBADRN COc1ccc([C@H](CNC(=O)NC[C@@H](O)CS(C)(=O)=O)N2CCOCC2)cc1OC ZINC001477243490 1074643382 /nfs/dbraw/zinc/64/33/82/1074643382.db2.gz REZMWISRTWXRKS-CVEARBPZSA-N 0 0 445.538 -0.218 20 0 IBADRN COc1ccc([C@H](CNC(=O)NC[C@H](O)CS(C)(=O)=O)N2CCOCC2)cc1OC ZINC001477243491 1074643411 /nfs/dbraw/zinc/64/34/11/1074643411.db2.gz REZMWISRTWXRKS-HOTGVXAUSA-N 0 0 445.538 -0.218 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NC[C@@H](O)CS(C)(=O)=O)N2CCOCC2)cc1OC ZINC001477243493 1074643433 /nfs/dbraw/zinc/64/34/33/1074643433.db2.gz REZMWISRTWXRKS-HZPDHXFCSA-N 0 0 445.538 -0.218 20 0 IBADRN COc1ccc([C@@H](CNC(=O)NC[C@H](O)CS(C)(=O)=O)N2CCOCC2)cc1OC ZINC001477243495 1074643400 /nfs/dbraw/zinc/64/34/00/1074643400.db2.gz REZMWISRTWXRKS-JKSUJKDBSA-N 0 0 445.538 -0.218 20 0 IBADRN O=C(NC[C@H](c1ccc(F)cc1)N1CCOCC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001477244139 1074643345 /nfs/dbraw/zinc/64/33/45/1074643345.db2.gz RAVUEJMGPPGMOU-DLBZAZTESA-N 0 0 427.502 -0.257 20 0 IBADRN O=C(NC[C@H](c1ccc(F)cc1)N1CCOCC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001477244140 1074643465 /nfs/dbraw/zinc/64/34/65/1074643465.db2.gz RAVUEJMGPPGMOU-IAGOWNOFSA-N 0 0 427.502 -0.257 20 0 IBADRN O=C(NC[C@@H](c1ccc(F)cc1)N1CCOCC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001477244141 1074643330 /nfs/dbraw/zinc/64/33/30/1074643330.db2.gz RAVUEJMGPPGMOU-IRXDYDNUSA-N 0 0 427.502 -0.257 20 0 IBADRN O=C(NC[C@@H](c1ccc(F)cc1)N1CCOCC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001477244142 1074643407 /nfs/dbraw/zinc/64/34/07/1074643407.db2.gz RAVUEJMGPPGMOU-SJORKVTESA-N 0 0 427.502 -0.257 20 0 IBADRN COc1ccc([C@H](CNC(=O)N2CC3(C2)NC(=O)NC3=O)N2CCOCC2)cc1OC ZINC001477244312 1074643349 /nfs/dbraw/zinc/64/33/49/1074643349.db2.gz TZVONLRLQZSECB-AWEZNQCLSA-N 0 0 433.465 -0.320 20 0 IBADRN COc1ccc([C@@H](CNC(=O)N2CC3(C2)NC(=O)NC3=O)N2CCOCC2)cc1OC ZINC001477244313 1074643368 /nfs/dbraw/zinc/64/33/68/1074643368.db2.gz TZVONLRLQZSECB-CQSZACIVSA-N 0 0 433.465 -0.320 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NC[C@@H](c2ccc(F)cc2)N2CCOCC2)C1 ZINC001477244360 1074643460 /nfs/dbraw/zinc/64/34/60/1074643460.db2.gz YXNKBYHJPBLSQM-KRWDZBQOSA-N 0 0 429.518 -0.011 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NC[C@H](c2ccc(F)cc2)N2CCOCC2)C1 ZINC001477244361 1074643355 /nfs/dbraw/zinc/64/33/55/1074643355.db2.gz YXNKBYHJPBLSQM-QGZVFWFLSA-N 0 0 429.518 -0.011 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC001477268638 1074643943 /nfs/dbraw/zinc/64/39/43/1074643943.db2.gz QMBUVXYMAOCNEU-IBGZPJMESA-N 0 0 426.539 -0.209 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)NCCc1ccc(S(N)(=O)=O)cc1 ZINC001477268639 1074643858 /nfs/dbraw/zinc/64/38/58/1074643858.db2.gz QMBUVXYMAOCNEU-LJQANCHMSA-N 0 0 426.539 -0.209 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC001477271967 1074643959 /nfs/dbraw/zinc/64/39/59/1074643959.db2.gz FPACSYFQBIXSCU-GOSISDBHSA-N 0 0 425.555 -0.232 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCCN1CCN(c2ccccc2)CC1 ZINC001477271968 1074643931 /nfs/dbraw/zinc/64/39/31/1074643931.db2.gz FPACSYFQBIXSCU-SFHVURJKSA-N 0 0 425.555 -0.232 20 0 IBADRN NC(=O)C12CCC(CC1)N2C(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001477274851 1074643878 /nfs/dbraw/zinc/64/38/78/1074643878.db2.gz BKJGSYJOPYKGPL-UHFFFAOYSA-N 0 0 436.538 -0.275 20 0 IBADRN CCN(OC)C(=O)CNC(=O)NCCS(=O)(=O)N1CCN(c2ccccn2)CC1 ZINC001477274865 1074643903 /nfs/dbraw/zinc/64/39/03/1074643903.db2.gz BQVBBULDTVJIST-UHFFFAOYSA-N 0 0 428.515 -0.758 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN(S(=O)(=O)NC(C)C)CC2)CC1 ZINC001477274875 1074643783 /nfs/dbraw/zinc/64/37/83/1074643783.db2.gz CCOZSCUNQGNLOT-UHFFFAOYSA-N 0 0 432.591 -0.453 20 0 IBADRN Cn1ncc(CCCNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC001477274909 1074643917 /nfs/dbraw/zinc/64/39/17/1074643917.db2.gz DDXKLUHXORDZNT-UHFFFAOYSA-N 0 0 436.542 -0.406 20 0 IBADRN COC[C@]1(C)CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001477275118 1074643770 /nfs/dbraw/zinc/64/37/70/1074643770.db2.gz KNINZEDSKYLWFS-IBGZPJMESA-N 0 0 441.554 -0.020 20 0 IBADRN COC[C@@]1(C)CN(C(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001477275119 1074643818 /nfs/dbraw/zinc/64/38/18/1074643818.db2.gz KNINZEDSKYLWFS-LJQANCHMSA-N 0 0 441.554 -0.020 20 0 IBADRN Cn1cnc(CCCNC(=O)NCCS(=O)(=O)N2CCN(c3ccccn3)CC2)n1 ZINC001477275157 1074643988 /nfs/dbraw/zinc/64/39/88/1074643988.db2.gz MCUBMPGOBDHVCK-UHFFFAOYSA-N 0 0 436.542 -0.406 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001477275426 1074643889 /nfs/dbraw/zinc/64/38/89/1074643889.db2.gz SMTIGQNAGUOEHS-NRFANRHFSA-N 0 0 439.557 -0.364 20 0 IBADRN CCCNC(=O)CN1CCC(NC(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001477275432 1074643967 /nfs/dbraw/zinc/64/39/67/1074643967.db2.gz SMTIGQNAGUOEHS-OAQYLSRUSA-N 0 0 439.557 -0.364 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@@H]2C[C@H]1C[S@]2=O ZINC001477275512 1074643843 /nfs/dbraw/zinc/64/38/43/1074643843.db2.gz VKNHQUBHRFNNKK-LSXCKUSFSA-N 0 0 427.552 -0.552 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCN(c2ccccn2)CC1)N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC001477275513 1074643827 /nfs/dbraw/zinc/64/38/27/1074643827.db2.gz VKNHQUBHRFNNKK-LKLRLBKWSA-N 0 0 427.552 -0.552 20 0 IBADRN C[C@H](NC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001477320057 1074643854 /nfs/dbraw/zinc/64/38/54/1074643854.db2.gz SFZWUVOAUXTHMV-BBRMVZONSA-N 0 0 437.522 -0.051 20 0 IBADRN C[C@@H](NC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001477320058 1074643814 /nfs/dbraw/zinc/64/38/14/1074643814.db2.gz SFZWUVOAUXTHMV-CJNGLKHVSA-N 0 0 437.522 -0.051 20 0 IBADRN C[C@@H](NC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001477320059 1074643759 /nfs/dbraw/zinc/64/37/59/1074643759.db2.gz SFZWUVOAUXTHMV-CZUORRHYSA-N 0 0 437.522 -0.051 20 0 IBADRN C[C@H](NC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)c1ccc(OCC(=O)NC2CC2)cc1 ZINC001477320060 1074644401 /nfs/dbraw/zinc/64/44/01/1074644401.db2.gz SFZWUVOAUXTHMV-XJKSGUPXSA-N 0 0 437.522 -0.051 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@@]1(OCCO)CCOC1 ZINC001477335814 1074644421 /nfs/dbraw/zinc/64/44/21/1074644421.db2.gz FHDVIMIUCCUHRI-IBGZPJMESA-N 0 0 443.522 -0.325 20 0 IBADRN O=C(NCc1ccc(S(=O)(=O)N2CCOCC2)cc1)NC[C@]1(OCCO)CCOC1 ZINC001477335815 1074644427 /nfs/dbraw/zinc/64/44/27/1074644427.db2.gz FHDVIMIUCCUHRI-LJQANCHMSA-N 0 0 443.522 -0.325 20 0 IBADRN CN1C(=O)[C@H]2CN(C(=O)NCc3ccc(S(=O)(=O)N4CCOCC4)cc3)C[C@H]2C1=O ZINC001477336025 1074644331 /nfs/dbraw/zinc/64/43/31/1074644331.db2.gz HRGYRRFFYSIRBJ-IYBDPMFKSA-N 0 0 436.490 -0.536 20 0 IBADRN Cn1nnnc1CCCNC(=O)NCc1ccccc1CS(=O)(=O)N1CCOCC1 ZINC001477340361 1074644340 /nfs/dbraw/zinc/64/43/40/1074644340.db2.gz DDXSIGHULUTPMB-UHFFFAOYSA-N 0 0 437.526 -0.196 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1C[C@H](CCO)[C@H](CO)C1 ZINC001477341376 1074644358 /nfs/dbraw/zinc/64/43/58/1074644358.db2.gz PDNKYNGBWOYZMK-HKUYNNGSSA-N 0 0 441.550 -0.019 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1C[C@H](CO)[C@H](CCO)C1 ZINC001477341377 1074644390 /nfs/dbraw/zinc/64/43/90/1074644390.db2.gz PDNKYNGBWOYZMK-IEBWSBKVSA-N 0 0 441.550 -0.019 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001477341378 1074644416 /nfs/dbraw/zinc/64/44/16/1074644416.db2.gz PDNKYNGBWOYZMK-MJGOQNOKSA-N 0 0 441.550 -0.019 20 0 IBADRN O=C(NCc1ccccc1CS(=O)(=O)N1CCOCC1)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001477341379 1074644394 /nfs/dbraw/zinc/64/43/94/1074644394.db2.gz PDNKYNGBWOYZMK-PKOBYXMFSA-N 0 0 441.550 -0.019 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001477362986 1074644409 /nfs/dbraw/zinc/64/44/09/1074644409.db2.gz NJZKDXJOORLTLX-AWEZNQCLSA-N 0 0 434.540 -0.767 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001477362987 1074644404 /nfs/dbraw/zinc/64/44/04/1074644404.db2.gz NJZKDXJOORLTLX-CQSZACIVSA-N 0 0 434.540 -0.767 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCCNS(=O)(=O)c2ccc(C)cc2)CC1 ZINC001477363006 1074644375 /nfs/dbraw/zinc/64/43/75/1074644375.db2.gz ODFURAGNMYVALK-UHFFFAOYSA-N 0 0 439.538 -0.347 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCc2ccc(CS(=O)(=O)NC(C)C)cc2)CC1 ZINC001477369246 1074644314 /nfs/dbraw/zinc/64/43/14/1074644314.db2.gz XGCYJQJXQSJGRH-UHFFFAOYSA-N 0 0 447.583 -0.194 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)s1 ZINC001477370652 1074644302 /nfs/dbraw/zinc/64/43/02/1074644302.db2.gz SMDFRYGZYHAUIA-JTQLQIEISA-N 0 0 426.542 -0.884 20 0 IBADRN CNS(=O)(=O)c1ccc(CNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)s1 ZINC001477370656 1074644365 /nfs/dbraw/zinc/64/43/65/1074644365.db2.gz SMDFRYGZYHAUIA-SNVBAGLBSA-N 0 0 426.542 -0.884 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)NC[C@@H](O)CS(C)(=O)=O)s1 ZINC001477372525 1074644322 /nfs/dbraw/zinc/64/43/22/1074644322.db2.gz GXAZXYTUACASFR-LLVKDONJSA-N 0 0 427.570 -0.017 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CNC(=O)NC[C@H](O)CS(C)(=O)=O)s1 ZINC001477372526 1074644412 /nfs/dbraw/zinc/64/44/12/1074644412.db2.gz GXAZXYTUACASFR-NSHDSACASA-N 0 0 427.570 -0.017 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCC[C@@](O)(c2nccn2C)C(F)(F)F)C1 ZINC001477377780 1074644916 /nfs/dbraw/zinc/64/49/16/1074644916.db2.gz QCXDKMDVQVEMRB-CYBMUJFWSA-N 0 0 428.437 -0.650 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCC[C@](O)(c2nccn2C)C(F)(F)F)C1 ZINC001477377781 1074644988 /nfs/dbraw/zinc/64/49/88/1074644988.db2.gz QCXDKMDVQVEMRB-ZDUSSCGKSA-N 0 0 428.437 -0.650 20 0 IBADRN COc1cc(CNC(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)ccc1OCC(=O)N(C)C ZINC001477387501 1074645071 /nfs/dbraw/zinc/64/50/71/1074645071.db2.gz PWOZHXHVDRBCFR-BBRMVZONSA-N 0 0 429.495 -0.227 20 0 IBADRN COc1cc(CNC(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)ccc1OCC(=O)N(C)C ZINC001477387502 1074645039 /nfs/dbraw/zinc/64/50/39/1074645039.db2.gz PWOZHXHVDRBCFR-CJNGLKHVSA-N 0 0 429.495 -0.227 20 0 IBADRN COc1cc(CNC(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)ccc1OCC(=O)N(C)C ZINC001477387503 1074644895 /nfs/dbraw/zinc/64/48/95/1074644895.db2.gz PWOZHXHVDRBCFR-CZUORRHYSA-N 0 0 429.495 -0.227 20 0 IBADRN COc1cc(CNC(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)ccc1OCC(=O)N(C)C ZINC001477387504 1074644972 /nfs/dbraw/zinc/64/49/72/1074644972.db2.gz PWOZHXHVDRBCFR-XJKSGUPXSA-N 0 0 429.495 -0.227 20 0 IBADRN Cn1nnnc1C1CCN(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)CC1 ZINC001477398222 1074645049 /nfs/dbraw/zinc/64/50/49/1074645049.db2.gz GDJVNRZDKADQHJ-UHFFFAOYSA-N 0 0 426.481 -0.129 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCc2ccc(C(=O)N3CCN(C)CC3)cc2)C1 ZINC001477398322 1074645029 /nfs/dbraw/zinc/64/50/29/1074645029.db2.gz NDYBMOVNNOQMAH-UHFFFAOYSA-N 0 0 438.554 -0.636 20 0 IBADRN O=C(NCc1ccc(N2CCOCC2)nc1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001477407840 1074645060 /nfs/dbraw/zinc/64/50/60/1074645060.db2.gz MFCSIMQJQKJFPQ-UHFFFAOYSA-N 0 0 435.510 -0.143 20 0 IBADRN CN(C)S(=O)(=O)c1ccccc1CNC(=O)NCCc1nnc2c(=O)n(C)ccn12 ZINC001477411889 1074645044 /nfs/dbraw/zinc/64/50/44/1074645044.db2.gz SJSNSYMNOMYJNE-UHFFFAOYSA-N 0 0 433.494 -0.280 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2ccc(S(=O)(=O)N(C)C)cc2)CC1 ZINC001477419850 1074645074 /nfs/dbraw/zinc/64/50/74/1074645074.db2.gz ZGIDJZQFVJPFDG-UHFFFAOYSA-N 0 0 439.538 -0.183 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CCO1 ZINC001477437033 1074644954 /nfs/dbraw/zinc/64/49/54/1074644954.db2.gz XGBFWBDLECUONB-INIZCTEOSA-N 0 0 426.543 -0.556 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)NCCCN2CCN(c3ncccn3)CC2)CCO1 ZINC001477437034 1074645017 /nfs/dbraw/zinc/64/50/17/1074645017.db2.gz XGBFWBDLECUONB-MRXNPFEDSA-N 0 0 426.543 -0.556 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCCCN3C(=O)NC4(CCCC4)C3=O)C[C@H]21 ZINC001477481192 1074644979 /nfs/dbraw/zinc/64/49/79/1074644979.db2.gz GCWVWFRZXGZCSW-MJBXVCDLSA-N 0 0 428.511 -0.306 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCCCN2C(=O)NC3(CCCC3)C2=O)CC1 ZINC001477481884 1074645067 /nfs/dbraw/zinc/64/50/67/1074645067.db2.gz SPXXXCLCDFHAHW-UHFFFAOYSA-N 0 0 425.486 -0.286 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)NC1CCN(S(C)(=O)=O)CC1 ZINC001477484163 1074645009 /nfs/dbraw/zinc/64/50/09/1074645009.db2.gz MUKDAMODNLRPMJ-UHFFFAOYSA-N 0 0 447.583 -0.164 20 0 IBADRN C[C@@H](NC(=O)NC[C@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001477540338 1074645528 /nfs/dbraw/zinc/64/55/28/1074645528.db2.gz JNAALAWLQLJGBH-AUUYWEPGSA-N 0 0 440.522 -0.075 20 0 IBADRN C[C@H](NC(=O)NC[C@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001477540339 1074645338 /nfs/dbraw/zinc/64/53/38/1074645338.db2.gz JNAALAWLQLJGBH-IFXJQAMLSA-N 0 0 440.522 -0.075 20 0 IBADRN C[C@@H](NC(=O)NC[C@@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001477540340 1074645221 /nfs/dbraw/zinc/64/52/21/1074645221.db2.gz JNAALAWLQLJGBH-KUHUBIRLSA-N 0 0 440.522 -0.075 20 0 IBADRN C[C@H](NC(=O)NC[C@@]12COCCN1C(=O)COC2)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001477540341 1074645440 /nfs/dbraw/zinc/64/54/40/1074645440.db2.gz JNAALAWLQLJGBH-LIRRHRJNSA-N 0 0 440.522 -0.075 20 0 IBADRN CCN(CCCNC(=O)NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)S(C)(=O)=O ZINC001477545681 1074645327 /nfs/dbraw/zinc/64/53/27/1074645327.db2.gz YVIDVHVAHAPXLS-UHFFFAOYSA-N 0 0 429.421 -0.018 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001477548379 1074645344 /nfs/dbraw/zinc/64/53/44/1074645344.db2.gz FCTCBUPRRZKUBG-IBGZPJMESA-N 0 0 429.518 -0.942 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)NCC(=O)N1CCN(C(=O)OC(C)(C)C)CC1 ZINC001477548380 1074645433 /nfs/dbraw/zinc/64/54/33/1074645433.db2.gz FCTCBUPRRZKUBG-LJQANCHMSA-N 0 0 429.518 -0.942 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)NC[C@@]1(N2CCOCC2)CCSC1 ZINC001477606937 1074645460 /nfs/dbraw/zinc/64/54/60/1074645460.db2.gz FJHIOUNPSNMVJH-AWEZNQCLSA-N 0 0 428.602 -0.449 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)NC[C@]1(N2CCOCC2)CCSC1 ZINC001477606938 1074645366 /nfs/dbraw/zinc/64/53/66/1074645366.db2.gz FJHIOUNPSNMVJH-CQSZACIVSA-N 0 0 428.602 -0.449 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCc2cccc(OCCN3CCOCC3)c2)C1 ZINC001477622084 1074645412 /nfs/dbraw/zinc/64/54/12/1074645412.db2.gz XSLAFLACETYXSZ-UHFFFAOYSA-N 0 0 441.554 -0.313 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCc1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC001477623008 1074645447 /nfs/dbraw/zinc/64/54/47/1074645447.db2.gz JQICRXYQASCPTK-UHFFFAOYSA-N 0 0 433.556 -0.334 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCc2ccccc2OCCN2CCOCC2)CC1 ZINC001477630089 1074645474 /nfs/dbraw/zinc/64/54/74/1074645474.db2.gz KVAFRXDNBQBSDR-UHFFFAOYSA-N 0 0 441.554 -0.311 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001477633087 1074645495 /nfs/dbraw/zinc/64/54/95/1074645495.db2.gz WXGJZPJARLLTPH-UHFFFAOYSA-N 0 0 447.583 -0.324 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001477656828 1074646112 /nfs/dbraw/zinc/64/61/12/1074646112.db2.gz BOJIAWBTVMBHHP-CHWSQXEVSA-N 0 0 442.460 -0.299 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001477656837 1074646037 /nfs/dbraw/zinc/64/60/37/1074646037.db2.gz BOJIAWBTVMBHHP-OLZOCXBDSA-N 0 0 442.460 -0.299 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001477656839 1074646041 /nfs/dbraw/zinc/64/60/41/1074646041.db2.gz BOJIAWBTVMBHHP-QWHCGFSZSA-N 0 0 442.460 -0.299 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)N1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001477656841 1074646066 /nfs/dbraw/zinc/64/60/66/1074646066.db2.gz BOJIAWBTVMBHHP-STQMWFEESA-N 0 0 442.460 -0.299 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)NCC1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001477657141 1074646050 /nfs/dbraw/zinc/64/60/50/1074646050.db2.gz UUEPRCXJCONEBQ-UHFFFAOYSA-N 0 0 447.521 -0.078 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NC[C@H]1CN(Cc2ccncc2)CCO1 ZINC001477657295 1074646069 /nfs/dbraw/zinc/64/60/69/1074646069.db2.gz FLUJAGWJIMZDDE-KRWDZBQOSA-N 0 0 427.527 -0.756 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCOCC1)NC[C@@H]1CN(Cc2ccncc2)CCO1 ZINC001477657298 1074646086 /nfs/dbraw/zinc/64/60/86/1074646086.db2.gz FLUJAGWJIMZDDE-QGZVFWFLSA-N 0 0 427.527 -0.756 20 0 IBADRN CCc1noc(C[C@@]2(O)CCCN(C(=O)NCCS(=O)(=O)N3CCOCC3)C2)n1 ZINC001477657767 1074646044 /nfs/dbraw/zinc/64/60/44/1074646044.db2.gz KCOLVYOIIHLRFB-KRWDZBQOSA-N 0 0 431.515 -0.627 20 0 IBADRN CCc1noc(C[C@]2(O)CCCN(C(=O)NCCS(=O)(=O)N3CCOCC3)C2)n1 ZINC001477657768 1074646103 /nfs/dbraw/zinc/64/61/03/1074646103.db2.gz KCOLVYOIIHLRFB-QGZVFWFLSA-N 0 0 431.515 -0.627 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCCC(=O)N1CCN(c2ccccc2)CC1 ZINC001477659098 1074646115 /nfs/dbraw/zinc/64/61/15/1074646115.db2.gz MMCHQHOKJQTJKI-UHFFFAOYSA-N 0 0 426.543 -0.580 20 0 IBADRN CS(=O)(=O)C[C@@H]1CN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001477659762 1074646093 /nfs/dbraw/zinc/64/60/93/1074646093.db2.gz BOLFCKDCUCSMBP-INIZCTEOSA-N 0 0 439.538 -0.425 20 0 IBADRN CS(=O)(=O)C[C@H]1CN(C(=O)NCCC(=O)N2CCN(c3ccccn3)CC2)CCO1 ZINC001477659767 1074646080 /nfs/dbraw/zinc/64/60/80/1074646080.db2.gz BOLFCKDCUCSMBP-MRXNPFEDSA-N 0 0 439.538 -0.425 20 0 IBADRN O=C(CCNC(=O)N1CCN(c2nccnn2)CC1)N1CCN(c2ccccn2)CC1 ZINC001477660145 1074646089 /nfs/dbraw/zinc/64/60/89/1074646089.db2.gz JZTYYKYKCFFDRL-UHFFFAOYSA-N 0 0 425.497 -0.163 20 0 IBADRN CC(C)(CNS(C)(=O)=O)NC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC001477660988 1074646061 /nfs/dbraw/zinc/64/60/61/1074646061.db2.gz SIUHXVKUFCSNQY-UHFFFAOYSA-N 0 0 426.543 -0.253 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001477663235 1074646059 /nfs/dbraw/zinc/64/60/59/1074646059.db2.gz SPCHCOZZIAJQTK-HNNXBMFYSA-N 0 0 428.534 -0.122 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NCCN1CCN(C(=O)OC(C)(C)C)CC1 ZINC001477663238 1074646678 /nfs/dbraw/zinc/64/66/78/1074646678.db2.gz SPCHCOZZIAJQTK-OAHLLOKOSA-N 0 0 428.534 -0.122 20 0 IBADRN Cn1ccn2c(CNC(=O)NCCNS(=O)(=O)c3cccc(Cl)c3)nnc2c1=O ZINC001477686961 1074646662 /nfs/dbraw/zinc/64/66/62/1074646662.db2.gz DTMDNAZOWLTHLA-UHFFFAOYSA-N 0 0 439.885 -0.141 20 0 IBADRN CN(C)C(=O)[C@H]1CCCN1CCCNC(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001477710175 1074646551 /nfs/dbraw/zinc/64/65/51/1074646551.db2.gz ACRNHFMXUDPPEB-CYBMUJFWSA-N 0 0 425.577 -0.714 20 0 IBADRN CN(C)C(=O)[C@@H]1CCCN1CCCNC(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001477710177 1074646592 /nfs/dbraw/zinc/64/65/92/1074646592.db2.gz ACRNHFMXUDPPEB-ZDUSSCGKSA-N 0 0 425.577 -0.714 20 0 IBADRN COC(=O)c1cc2n(n1)CCC[C@H]2NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001477723886 1074646623 /nfs/dbraw/zinc/64/66/23/1074646623.db2.gz CSHXEGVDXRTIIQ-CYBMUJFWSA-N 0 0 428.515 -0.619 20 0 IBADRN COC(=O)c1cc2n(n1)CCC[C@@H]2NC(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001477723887 1074646644 /nfs/dbraw/zinc/64/66/44/1074646644.db2.gz CSHXEGVDXRTIIQ-ZDUSSCGKSA-N 0 0 428.515 -0.619 20 0 IBADRN CCN1C(=O)N=NC1C1CCN(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)CC1 ZINC001477723999 1074646686 /nfs/dbraw/zinc/64/66/86/1074646686.db2.gz HFNGZONOGGHTEG-UHFFFAOYSA-N 0 0 429.547 -0.530 20 0 IBADRN COCCN(CCC(=O)OC(C)(C)C)C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001477724061 1074646697 /nfs/dbraw/zinc/64/66/97/1074646697.db2.gz JJDOTYGGRNBIDT-UHFFFAOYSA-N 0 0 436.575 -0.047 20 0 IBADRN CC(C)(CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1)[C@@H]1COCC12OCCO2 ZINC001477724122 1074646534 /nfs/dbraw/zinc/64/65/34/1074646534.db2.gz KRFGIXZCRUIUOE-HNNXBMFYSA-N 0 0 434.559 -0.722 20 0 IBADRN CC(C)(CNC(=O)NCCN1CCN(S(C)(=O)=O)CC1)[C@H]1COCC12OCCO2 ZINC001477724123 1074646650 /nfs/dbraw/zinc/64/66/50/1074646650.db2.gz KRFGIXZCRUIUOE-OAHLLOKOSA-N 0 0 434.559 -0.722 20 0 IBADRN COC(=O)c1cccc2c1OCCN(C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2 ZINC001477724271 1074646636 /nfs/dbraw/zinc/64/66/36/1074646636.db2.gz PQSYUZGCBDEIPB-UHFFFAOYSA-N 0 0 440.522 -0.046 20 0 IBADRN COC(=O)C1([C@]2(O)CCCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)CCC1 ZINC001477724326 1074646615 /nfs/dbraw/zinc/64/66/15/1074646615.db2.gz RQPWLLODQMUSAJ-IBGZPJMESA-N 0 0 446.570 -0.557 20 0 IBADRN COC(=O)C1([C@@]2(O)CCCN(C(=O)NCCN3CCN(S(C)(=O)=O)CC3)C2)CCC1 ZINC001477724327 1074646587 /nfs/dbraw/zinc/64/65/87/1074646587.db2.gz RQPWLLODQMUSAJ-LJQANCHMSA-N 0 0 446.570 -0.557 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)NCCN1CCN(S(C)(=O)=O)CC1 ZINC001477724479 1074646693 /nfs/dbraw/zinc/64/66/93/1074646693.db2.gz XSBNFIGSRRFQJB-GJZGRUSLSA-N 0 0 431.559 -0.033 20 0 IBADRN COC(=O)c1ccc2c(c1)OCCN(C(=O)NCCN1CCN(S(C)(=O)=O)CC1)C2 ZINC001477724598 1074646656 /nfs/dbraw/zinc/64/66/56/1074646656.db2.gz XXHLICVAOGEJRM-UHFFFAOYSA-N 0 0 440.522 -0.046 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCC(=O)N3CCN(c4ccccc4)CC3)C[C@H]21 ZINC001477725529 1074646607 /nfs/dbraw/zinc/64/66/07/1074646607.db2.gz HZOHZCPTOOQXIO-KSZLIROESA-N 0 0 436.534 -0.211 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCC(=O)N2CCN(c3ccccc3)CC2)CC1 ZINC001477725680 1074646516 /nfs/dbraw/zinc/64/65/16/1074646516.db2.gz MSKQMGLIOZUKDU-UHFFFAOYSA-N 0 0 438.554 -0.437 20 0 IBADRN NC(=O)CN1CCN(C(=O)NCC(=O)N2CCN(c3ccc(Cl)cc3)CC2)CC1=O ZINC001477733199 1074646672 /nfs/dbraw/zinc/64/66/72/1074646672.db2.gz HQRDVMGVVVLPPU-UHFFFAOYSA-N 0 0 436.900 -0.672 20 0 IBADRN O=C(CNC(=O)NC[C@]1(O)CCS(=O)(=O)C1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001477733535 1074646581 /nfs/dbraw/zinc/64/65/81/1074646581.db2.gz MOBWMOIHNNJLOK-GOSISDBHSA-N 0 0 444.941 -0.163 20 0 IBADRN O=C(CNC(=O)NC[C@@]1(O)CCS(=O)(=O)C1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001477733536 1074646571 /nfs/dbraw/zinc/64/65/71/1074646571.db2.gz MOBWMOIHNNJLOK-SFHVURJKSA-N 0 0 444.941 -0.163 20 0 IBADRN CCOC(=O)[C@]1(C)CN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC001477753637 1074647002 /nfs/dbraw/zinc/64/70/02/1074647002.db2.gz PRJNVVLKRUVSQF-FQEVSTJZSA-N 0 0 434.497 -0.121 20 0 IBADRN CCOC(=O)[C@@]1(C)CN(C(=O)NCCC(=O)N2CCN(c3ncccn3)CC2)CCO1 ZINC001477753638 1074647169 /nfs/dbraw/zinc/64/71/69/1074647169.db2.gz PRJNVVLKRUVSQF-HXUWFJFHSA-N 0 0 434.497 -0.121 20 0 IBADRN C[C@@H](NC(=O)NC1CN(S(=O)(=O)N(C)C)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001477767503 1074647086 /nfs/dbraw/zinc/64/70/86/1074647086.db2.gz MTQRMZZBXDEYCJ-GFCCVEGCSA-N 0 0 433.556 -0.212 20 0 IBADRN C[C@H](NC(=O)NC1CN(S(=O)(=O)N(C)C)C1)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001477767504 1074647053 /nfs/dbraw/zinc/64/70/53/1074647053.db2.gz MTQRMZZBXDEYCJ-LBPRGKRZSA-N 0 0 433.556 -0.212 20 0 IBADRN CN(C)C(=O)CN1CCC(NC(=O)N2CCN(c3nc(N)nc(C4CC4)n3)CC2)CC1 ZINC001477789170 1074647033 /nfs/dbraw/zinc/64/70/33/1074647033.db2.gz UHGGNHMWPUFKCB-UHFFFAOYSA-N 0 0 431.545 -0.285 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001477792392 1074647164 /nfs/dbraw/zinc/64/71/64/1074647164.db2.gz GHONGPRSTIZSDA-GOSISDBHSA-N 0 0 427.479 -0.604 20 0 IBADRN CS(=O)(=O)c1ccc(OCCNC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001477792393 1074647093 /nfs/dbraw/zinc/64/70/93/1074647093.db2.gz GHONGPRSTIZSDA-SFHVURJKSA-N 0 0 427.479 -0.604 20 0 IBADRN COCCCN(C(=O)NC1CCN(CCS(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001477798703 1074647045 /nfs/dbraw/zinc/64/70/45/1074647045.db2.gz IXYZWGZWQYBVDR-INIZCTEOSA-N 0 0 439.600 -0.269 20 0 IBADRN COCCCN(C(=O)NC1CCN(CCS(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001477798704 1074647060 /nfs/dbraw/zinc/64/70/60/1074647060.db2.gz IXYZWGZWQYBVDR-MRXNPFEDSA-N 0 0 439.600 -0.269 20 0 IBADRN Cn1[n-]c(Br)c2c(=NCCNC(=O)N3CC[N@@H+]4C[C@H](O)C[C@H]4C3)ncnc1-2 ZINC001477805375 1074647012 /nfs/dbraw/zinc/64/70/12/1074647012.db2.gz JWGLRLFBNVTRBR-WDEREUQCSA-N 0 0 439.318 -0.628 20 0 IBADRN O=C(NCCS(=O)(=O)CC(F)(F)F)NCCS(=O)(=O)N1CCSCC1 ZINC001477806204 1074647661 /nfs/dbraw/zinc/64/76/61/1074647661.db2.gz IADOCCINBLGPLN-UHFFFAOYSA-N 0 0 427.492 -0.359 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCC[C@]2(CCS(=O)(=O)C2)C1 ZINC001477806267 1074647718 /nfs/dbraw/zinc/64/77/18/1074647718.db2.gz JRTVXQSOLUPSPR-HNNXBMFYSA-N 0 0 425.598 -0.025 20 0 IBADRN O=C(NCCS(=O)(=O)N1CCSCC1)N1CCC[C@@]2(CCS(=O)(=O)C2)C1 ZINC001477806268 1074647674 /nfs/dbraw/zinc/64/76/74/1074647674.db2.gz JRTVXQSOLUPSPR-OAHLLOKOSA-N 0 0 425.598 -0.025 20 0 IBADRN COCCOC1(CNC(=O)NCCS(=O)(=O)N2CCSCC2)CCOCC1 ZINC001477806341 1074647747 /nfs/dbraw/zinc/64/77/47/1074647747.db2.gz KTLPKBKZEDXSHU-UHFFFAOYSA-N 0 0 425.573 -0.124 20 0 IBADRN COC[C@@H]1CC[C@H]([C@H]2COCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)O1 ZINC001477806544 1074647741 /nfs/dbraw/zinc/64/77/41/1074647741.db2.gz NHWQJIPOAYJJBR-ARFHVFGLSA-N 0 0 437.584 -0.031 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)O1 ZINC001477806548 1074647770 /nfs/dbraw/zinc/64/77/70/1074647770.db2.gz NHWQJIPOAYJJBR-HRCADAONSA-N 0 0 437.584 -0.031 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@@H]2COCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)O1 ZINC001477806550 1074647667 /nfs/dbraw/zinc/64/76/67/1074647667.db2.gz NHWQJIPOAYJJBR-JYJNAYRXSA-N 0 0 437.584 -0.031 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@H]2COCCN2C(=O)NCCS(=O)(=O)N2CCSCC2)O1 ZINC001477806552 1074647713 /nfs/dbraw/zinc/64/77/13/1074647713.db2.gz NHWQJIPOAYJJBR-XHSDSOJGSA-N 0 0 437.584 -0.031 20 0 IBADRN C[C@@H]1CN(CCO)CCN1C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001477813744 1074647697 /nfs/dbraw/zinc/64/76/97/1074647697.db2.gz BXHWHQPTYTVWRX-MSOLQXFVSA-N 0 0 433.557 -0.010 20 0 IBADRN C[C@@H]1CN(CCO)CCN1C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001477813745 1074647723 /nfs/dbraw/zinc/64/77/23/1074647723.db2.gz BXHWHQPTYTVWRX-QZTJIDSGSA-N 0 0 433.557 -0.010 20 0 IBADRN C[C@H]1CN(CCO)CCN1C(=O)N[C@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001477813746 1074647786 /nfs/dbraw/zinc/64/77/86/1074647786.db2.gz BXHWHQPTYTVWRX-ROUUACIJSA-N 0 0 433.557 -0.010 20 0 IBADRN C[C@H]1CN(CCO)CCN1C(=O)N[C@@H]1CCCN(c2cc(N3CCOCC3)ncn2)C1 ZINC001477813747 1074647759 /nfs/dbraw/zinc/64/77/59/1074647759.db2.gz BXHWHQPTYTVWRX-ZWKOTPCHSA-N 0 0 433.557 -0.010 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(CCNC(=O)N2CC3(C2)NC(=O)NC3=O)s1 ZINC001477814356 1074647690 /nfs/dbraw/zinc/64/76/90/1074647690.db2.gz QIEAUPPLXRVUNS-UHFFFAOYSA-N 0 0 429.524 -0.076 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CC[C@@H](CNS(C)(=O)=O)C1 ZINC001477816432 1074648291 /nfs/dbraw/zinc/64/82/91/1074648291.db2.gz TYGFLEKHBGVZSC-AWEZNQCLSA-N 0 0 448.567 -0.620 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccccc1CNC(=O)N1CC[C@H](CNS(C)(=O)=O)C1 ZINC001477816455 1074648244 /nfs/dbraw/zinc/64/82/44/1074648244.db2.gz TYGFLEKHBGVZSC-CQSZACIVSA-N 0 0 448.567 -0.620 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCc2ccccc2S(=O)(=O)N(C)CCO)C[C@H](C)O1 ZINC001477816502 1074648231 /nfs/dbraw/zinc/64/82/31/1074648231.db2.gz VQIFLUMHFWNTNA-ZFWWWQNUSA-N 0 0 429.495 -0.229 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)NCCN3C(=O)CNC3=O)C[C@H]2NC(=O)OC(C)(C)C)cn1 ZINC001477844006 1074648278 /nfs/dbraw/zinc/64/82/78/1074648278.db2.gz VDWHLWCSMQAUFI-UONOGXRCSA-N 0 0 435.485 -0.026 20 0 IBADRN CCC[C@@H](O)[C@H](CO)NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001477859708 1074648241 /nfs/dbraw/zinc/64/82/41/1074648241.db2.gz HIFXOJJLJZPYIB-DLBZAZTESA-N 0 0 428.555 -0.056 20 0 IBADRN CCC[C@@H](O)[C@@H](CO)NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001477859709 1074648199 /nfs/dbraw/zinc/64/81/99/1074648199.db2.gz HIFXOJJLJZPYIB-IAGOWNOFSA-N 0 0 428.555 -0.056 20 0 IBADRN CCC[C@H](O)[C@H](CO)NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001477859710 1074648315 /nfs/dbraw/zinc/64/83/15/1074648315.db2.gz HIFXOJJLJZPYIB-IRXDYDNUSA-N 0 0 428.555 -0.056 20 0 IBADRN CCC[C@H](O)[C@@H](CO)NC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001477859711 1074648338 /nfs/dbraw/zinc/64/83/38/1074648338.db2.gz HIFXOJJLJZPYIB-SJORKVTESA-N 0 0 428.555 -0.056 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCC(O)(C(N)=O)CC2)CC1 ZINC001477861061 1074648320 /nfs/dbraw/zinc/64/83/20/1074648320.db2.gz DWVJTLWUDSDQAC-UHFFFAOYSA-N 0 0 439.538 -0.856 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)CC1 ZINC001477861679 1074648689 /nfs/dbraw/zinc/64/86/89/1074648689.db2.gz ZKYARLGKFBSLRZ-GASCZTMLSA-N 0 0 435.506 -0.963 20 0 IBADRN CN(C)c1n[nH]c(CNC(=O)NCc2ccccc2S(=O)(=O)N2CCN(C)CC2)n1 ZINC001477862754 1074648754 /nfs/dbraw/zinc/64/87/54/1074648754.db2.gz RKZQZOIKALVFGA-UHFFFAOYSA-N 0 0 436.542 -0.194 20 0 IBADRN CN1CCN(S(=O)(=O)c2ccccc2CNC(=O)N2CCS(=O)(=O)CCO2)CC1 ZINC001477863319 1074648802 /nfs/dbraw/zinc/64/88/02/1074648802.db2.gz XAWWWGHFFQSQHG-UHFFFAOYSA-N 0 0 446.551 -0.506 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NC[C@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001477896170 1074648711 /nfs/dbraw/zinc/64/87/11/1074648711.db2.gz HVLRQKHIBWVSDX-DYESRHJHSA-N 0 0 432.477 -0.277 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NC[C@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001477896171 1074648653 /nfs/dbraw/zinc/64/86/53/1074648653.db2.gz HVLRQKHIBWVSDX-LAUBAEHRSA-N 0 0 432.477 -0.277 20 0 IBADRN COc1ccc(CN2CC[C@@H](NC(=O)NC[C@@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001477896172 1074648706 /nfs/dbraw/zinc/64/87/06/1074648706.db2.gz HVLRQKHIBWVSDX-UTKZUKDTSA-N 0 0 432.477 -0.277 20 0 IBADRN COc1ccc(CN2CC[C@H](NC(=O)NC[C@@]34COCCN3C(=O)COC4)C2=O)cc1 ZINC001477896173 1074648743 /nfs/dbraw/zinc/64/87/43/1074648743.db2.gz HVLRQKHIBWVSDX-UWJYYQICSA-N 0 0 432.477 -0.277 20 0 IBADRN Cn1ccn2c(CCNC(=O)NCC3CCN(S(=O)(=O)C(F)F)CC3)nnc2c1=O ZINC001477917005 1074648715 /nfs/dbraw/zinc/64/87/15/1074648715.db2.gz PBKGPSXEPJIGIC-UHFFFAOYSA-N 0 0 447.468 -0.466 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001477919261 1074648701 /nfs/dbraw/zinc/64/87/01/1074648701.db2.gz BOHDPNLQSOKFHA-GDBMZVCRSA-N 0 0 439.556 -0.926 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001477919262 1074648748 /nfs/dbraw/zinc/64/87/48/1074648748.db2.gz BOHDPNLQSOKFHA-GOEBONIOSA-N 0 0 439.556 -0.926 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@@H]1CCCCO1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001477919263 1074648798 /nfs/dbraw/zinc/64/87/98/1074648798.db2.gz BOHDPNLQSOKFHA-HOCLYGCPSA-N 0 0 439.556 -0.926 20 0 IBADRN O=C(NCCS(=O)(=O)NC[C@H]1CCCCO1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001477919264 1074648663 /nfs/dbraw/zinc/64/86/63/1074648663.db2.gz BOHDPNLQSOKFHA-ZBFHGGJFSA-N 0 0 439.556 -0.926 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC001477919411 1074648736 /nfs/dbraw/zinc/64/87/36/1074648736.db2.gz FWWRKVUBBNIGGV-KBPBESRZSA-N 0 0 426.561 -0.944 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@@H]2CCCCO2)C1 ZINC001477919412 1074648672 /nfs/dbraw/zinc/64/86/72/1074648672.db2.gz FWWRKVUBBNIGGV-KGLIPLIRSA-N 0 0 426.561 -0.944 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC001477919413 1074648642 /nfs/dbraw/zinc/64/86/42/1074648642.db2.gz FWWRKVUBBNIGGV-UONOGXRCSA-N 0 0 426.561 -0.944 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCN(C(=O)NCCS(=O)(=O)NC[C@H]2CCCCO2)C1 ZINC001477919414 1074648731 /nfs/dbraw/zinc/64/87/31/1074648731.db2.gz FWWRKVUBBNIGGV-ZIAGYGMSSA-N 0 0 426.561 -0.944 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2)C1 ZINC001477920319 1074648697 /nfs/dbraw/zinc/64/86/97/1074648697.db2.gz HDRCCALXRZANLH-CXAGYDPISA-N 0 0 432.499 -0.422 20 0 IBADRN COC(=O)C1=NO[C@@]2(CCN(C(=O)NCCS(=O)(=O)NC[C@H]3CCCCO3)C2)C1 ZINC001477920320 1074648678 /nfs/dbraw/zinc/64/86/78/1074648678.db2.gz HDRCCALXRZANLH-DYVFJYSZSA-N 0 0 432.499 -0.422 20 0 IBADRN COC(=O)C1=NO[C@@]2(CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2)C1 ZINC001477920321 1074649233 /nfs/dbraw/zinc/64/92/33/1074649233.db2.gz HDRCCALXRZANLH-GUYCJALGSA-N 0 0 432.499 -0.422 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)NCCS(=O)(=O)NC[C@@H]3CCCCO3)C2)C1 ZINC001477920322 1074649187 /nfs/dbraw/zinc/64/91/87/1074649187.db2.gz HDRCCALXRZANLH-SUMWQHHRSA-N 0 0 432.499 -0.422 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NCCOCCS(C)(=O)=O ZINC001477934642 1074649211 /nfs/dbraw/zinc/64/92/11/1074649211.db2.gz XRCUNIWOYCUVEX-UHFFFAOYSA-N 0 0 430.268 -0.115 20 0 IBADRN COCc1nnc2n1CCN(C(=O)NC1CCN(S(=O)(=O)C3CCOCC3)CC1)C2 ZINC001477953150 1074649181 /nfs/dbraw/zinc/64/91/81/1074649181.db2.gz YFVRAQHQDFBYIG-UHFFFAOYSA-N 0 0 442.542 -0.077 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]2O)CC1 ZINC001477968856 1074649213 /nfs/dbraw/zinc/64/92/13/1074649213.db2.gz CZWCRVNEBLICAY-CVEARBPZSA-N 0 0 441.529 -0.263 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@H]2CCN(C(=O)OC(C)(C)C)C[C@@H]2O)CC1 ZINC001477968867 1074649219 /nfs/dbraw/zinc/64/92/19/1074649219.db2.gz CZWCRVNEBLICAY-HOTGVXAUSA-N 0 0 441.529 -0.263 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H]2CCN(C(=O)OC(C)(C)C)C[C@H]2O)CC1 ZINC001477968870 1074649185 /nfs/dbraw/zinc/64/91/85/1074649185.db2.gz CZWCRVNEBLICAY-HZPDHXFCSA-N 0 0 441.529 -0.263 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@H]2CCN(C(=O)OC(C)(C)C)C[C@H]2O)CC1 ZINC001477968874 1074649234 /nfs/dbraw/zinc/64/92/34/1074649234.db2.gz CZWCRVNEBLICAY-JKSUJKDBSA-N 0 0 441.529 -0.263 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@H]2CCN(c3ccc4nncn4n3)C2)CC1 ZINC001477970050 1074649288 /nfs/dbraw/zinc/64/92/88/1074649288.db2.gz LLRYIXDPKFHBCJ-HNNXBMFYSA-N 0 0 429.485 -0.917 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)N[C@@H]2CCN(c3ccc4nncn4n3)C2)CC1 ZINC001477970051 1074649189 /nfs/dbraw/zinc/64/91/89/1074649189.db2.gz LLRYIXDPKFHBCJ-OAHLLOKOSA-N 0 0 429.485 -0.917 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCC(NC(=O)N[C@@H]3COC[C@@H]3O)CC2)cc1OC ZINC001477970969 1074649237 /nfs/dbraw/zinc/64/92/37/1074649237.db2.gz RYLMGVHXXDKESU-CABCVRRESA-N 0 0 429.495 -0.084 20 0 IBADRN CC(=O)N1CCN(C(=O)CCNC(=O)NCc2cccc(N(C)S(C)(=O)=O)c2)CC1 ZINC001477970973 1074649239 /nfs/dbraw/zinc/64/92/39/1074649239.db2.gz SBZYOIQSHIKINV-UHFFFAOYSA-N 0 0 439.538 -0.038 20 0 IBADRN Cn1cc(N2CC[C@H](NC(=O)NCC(=O)NCC(=O)NCc3ccccc3)C2=O)cn1 ZINC001477972857 1074649914 /nfs/dbraw/zinc/64/99/14/1074649914.db2.gz BPISNPWADNEZCW-INIZCTEOSA-N 0 0 427.465 -0.743 20 0 IBADRN Cn1cc(N2CC[C@@H](NC(=O)NCC(=O)NCC(=O)NCc3ccccc3)C2=O)cn1 ZINC001477972861 1074649929 /nfs/dbraw/zinc/64/99/29/1074649929.db2.gz BPISNPWADNEZCW-MRXNPFEDSA-N 0 0 427.465 -0.743 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCS(=O)(=O)C[C@H]1CCO ZINC001477972957 1074649842 /nfs/dbraw/zinc/64/98/42/1074649842.db2.gz JIGXVLLSYKYHQF-DLBZAZTESA-N 0 0 425.555 -0.217 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCS(=O)(=O)C[C@H]1CCO ZINC001477972958 1074649940 /nfs/dbraw/zinc/64/99/40/1074649940.db2.gz JIGXVLLSYKYHQF-IAGOWNOFSA-N 0 0 425.555 -0.217 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001477972959 1074649819 /nfs/dbraw/zinc/64/98/19/1074649819.db2.gz JIGXVLLSYKYHQF-IRXDYDNUSA-N 0 0 425.555 -0.217 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001477972960 1074649881 /nfs/dbraw/zinc/64/98/81/1074649881.db2.gz JIGXVLLSYKYHQF-SJORKVTESA-N 0 0 425.555 -0.217 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@@]23COC(=O)N2CCOC3)c1 ZINC001477973258 1074649835 /nfs/dbraw/zinc/64/98/35/1074649835.db2.gz VPNFBJPNJRYRMP-GOSISDBHSA-N 0 0 442.494 -0.368 20 0 IBADRN COCCNS(=O)(=O)c1cccc(CNC(=O)NC[C@]23COC(=O)N2CCOC3)c1 ZINC001477973262 1074649878 /nfs/dbraw/zinc/64/98/78/1074649878.db2.gz VPNFBJPNJRYRMP-SFHVURJKSA-N 0 0 442.494 -0.368 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001477973493 1074649933 /nfs/dbraw/zinc/64/99/33/1074649933.db2.gz NTFRYLSBGNHRHV-DLBZAZTESA-N 0 0 440.570 -0.448 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001477973494 1074649900 /nfs/dbraw/zinc/64/99/00/1074649900.db2.gz NTFRYLSBGNHRHV-IAGOWNOFSA-N 0 0 440.570 -0.448 20 0 IBADRN C[C@@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001477973495 1074649942 /nfs/dbraw/zinc/64/99/42/1074649942.db2.gz NTFRYLSBGNHRHV-IRXDYDNUSA-N 0 0 440.570 -0.448 20 0 IBADRN C[C@H](CN1CCN(c2ccccn2)CC1)NC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001477973496 1074649945 /nfs/dbraw/zinc/64/99/45/1074649945.db2.gz NTFRYLSBGNHRHV-SJORKVTESA-N 0 0 440.570 -0.448 20 0 IBADRN CC[C@H]1COCCN1CCNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001477982914 1074649950 /nfs/dbraw/zinc/64/99/50/1074649950.db2.gz NTDRTAQJARSDBE-INIZCTEOSA-N 0 0 428.559 -0.017 20 0 IBADRN CC[C@@H]1COCCN1CCNC(=O)NC1CCN(S(=O)(=O)c2cnn(C)c2)CC1 ZINC001477982918 1074649851 /nfs/dbraw/zinc/64/98/51/1074649851.db2.gz NTDRTAQJARSDBE-MRXNPFEDSA-N 0 0 428.559 -0.017 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@]34COC(=O)N3CCOC4)CC2)cn1 ZINC001477983246 1074649909 /nfs/dbraw/zinc/64/99/09/1074649909.db2.gz OKCJNUSHHINCDY-KRWDZBQOSA-N 0 0 442.498 -0.906 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)NC[C@@]34COC(=O)N3CCOC4)CC2)cn1 ZINC001477983250 1074649872 /nfs/dbraw/zinc/64/98/72/1074649872.db2.gz OKCJNUSHHINCDY-QGZVFWFLSA-N 0 0 442.498 -0.906 20 0 IBADRN CN(C)C(=O)N(C)[C@H]1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC001477983708 1074649931 /nfs/dbraw/zinc/64/99/31/1074649931.db2.gz RPTRAUAAIYNBKB-HNNXBMFYSA-N 0 0 441.558 -0.030 20 0 IBADRN CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)NC2CCN(S(=O)(=O)c3cnn(C)c3)CC2)C1 ZINC001477983709 1074649921 /nfs/dbraw/zinc/64/99/21/1074649921.db2.gz RPTRAUAAIYNBKB-OAHLLOKOSA-N 0 0 441.558 -0.030 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCC(O)(COCCO)CC3)CC2)cn1 ZINC001477984094 1074649947 /nfs/dbraw/zinc/64/99/47/1074649947.db2.gz UDRJAKRXGWFUIF-UHFFFAOYSA-N 0 0 445.542 -0.881 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN([C@H]4CCOC4)CC3)CC2)cn1 ZINC001477984608 1074650124 /nfs/dbraw/zinc/65/01/24/1074650124.db2.gz WSVXWRUOWRLLDL-INIZCTEOSA-N 0 0 426.543 -0.311 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCC(NC(=O)N3CCN([C@@H]4CCOC4)CC3)CC2)cn1 ZINC001477984617 1074650260 /nfs/dbraw/zinc/65/02/60/1074650260.db2.gz WSVXWRUOWRLLDL-MRXNPFEDSA-N 0 0 426.543 -0.311 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)N[C@@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC001478055645 1074650282 /nfs/dbraw/zinc/65/02/82/1074650282.db2.gz UWXOVPAYQHKLEH-DZGCQCFKSA-N 0 0 427.531 -0.516 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)N[C@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC001478055646 1074650240 /nfs/dbraw/zinc/65/02/40/1074650240.db2.gz UWXOVPAYQHKLEH-HIFRSBDPSA-N 0 0 427.531 -0.516 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)N[C@@H]2C[C@@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC001478055647 1074650213 /nfs/dbraw/zinc/65/02/13/1074650213.db2.gz UWXOVPAYQHKLEH-UKRRQHHQSA-N 0 0 427.531 -0.516 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)N[C@H]2C[C@H](C)N(c3cnn(C)c3)C2=O)CC1 ZINC001478055648 1074650151 /nfs/dbraw/zinc/65/01/51/1074650151.db2.gz UWXOVPAYQHKLEH-ZFWWWQNUSA-N 0 0 427.531 -0.516 20 0 IBADRN COC[C@@H]1CC[C@H]([C@H]2COCCN2C(=O)NCCNS(=O)(=O)Cc2ccon2)O1 ZINC001478081492 1074650140 /nfs/dbraw/zinc/65/01/40/1074650140.db2.gz HWKRCWUEYDDEOX-ARFHVFGLSA-N 0 0 432.499 -0.302 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)NCCNS(=O)(=O)Cc2ccon2)O1 ZINC001478081493 1074650218 /nfs/dbraw/zinc/65/02/18/1074650218.db2.gz HWKRCWUEYDDEOX-HRCADAONSA-N 0 0 432.499 -0.302 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@@H]2COCCN2C(=O)NCCNS(=O)(=O)Cc2ccon2)O1 ZINC001478081494 1074650275 /nfs/dbraw/zinc/65/02/75/1074650275.db2.gz HWKRCWUEYDDEOX-JYJNAYRXSA-N 0 0 432.499 -0.302 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@H]2COCCN2C(=O)NCCNS(=O)(=O)Cc2ccon2)O1 ZINC001478081495 1074650279 /nfs/dbraw/zinc/65/02/79/1074650279.db2.gz HWKRCWUEYDDEOX-XHSDSOJGSA-N 0 0 432.499 -0.302 20 0 IBADRN CC[C@H](NC(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1)C(=O)N1CCOCC1 ZINC001478087140 1074650288 /nfs/dbraw/zinc/65/02/88/1074650288.db2.gz NJALGPWUMWIPPS-KRWDZBQOSA-N 0 0 433.509 -0.302 20 0 IBADRN CC[C@@H](NC(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1)C(=O)N1CCOCC1 ZINC001478087141 1074650247 /nfs/dbraw/zinc/65/02/47/1074650247.db2.gz NJALGPWUMWIPPS-QGZVFWFLSA-N 0 0 433.509 -0.302 20 0 IBADRN Cn1ccn2c(CNC(=O)NC3CCN(S(=O)(=O)C(F)(F)F)CC3)nnc2c1=O ZINC001478152464 1074650190 /nfs/dbraw/zinc/65/01/90/1074650190.db2.gz FQAZSFCLSYKYFB-UHFFFAOYSA-N 0 0 437.404 -0.459 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001478152471 1074650270 /nfs/dbraw/zinc/65/02/70/1074650270.db2.gz FTKBZPFGCKWZIL-LLVKDONJSA-N 0 0 431.437 -0.360 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NC1CCN(S(=O)(=O)C(F)(F)F)CC1 ZINC001478152475 1074650294 /nfs/dbraw/zinc/65/02/94/1074650294.db2.gz FTKBZPFGCKWZIL-NSHDSACASA-N 0 0 431.437 -0.360 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NC3CCN(S(=O)(=O)C(F)(F)F)CC3)C[C@H]21 ZINC001478152851 1074650302 /nfs/dbraw/zinc/65/03/02/1074650302.db2.gz UREHOJBKRULQQV-TUAOUCFPSA-N 0 0 449.473 -0.246 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(CCO)C[C@@H]2C)cc1 ZINC001478186398 1074650163 /nfs/dbraw/zinc/65/01/63/1074650163.db2.gz IXAYSNGDVFDATM-HNNXBMFYSA-N 0 0 427.523 -0.018 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCN(CCO)C[C@H]2C)cc1 ZINC001478186401 1074650200 /nfs/dbraw/zinc/65/02/00/1074650200.db2.gz IXAYSNGDVFDATM-OAHLLOKOSA-N 0 0 427.523 -0.018 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)NC[C@H]1CCCN1S(C)(=O)=O)C1CCCC1 ZINC001478219757 1074650236 /nfs/dbraw/zinc/65/02/36/1074650236.db2.gz JKZQQDBQLRUORX-CABCVRRESA-N 0 0 439.604 -0.336 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)NC[C@H]1CCCN1S(C)(=O)=O)C1CCCC1 ZINC001478219760 1074650222 /nfs/dbraw/zinc/65/02/22/1074650222.db2.gz JKZQQDBQLRUORX-HUUCEWRRSA-N 0 0 439.604 -0.336 20 0 IBADRN CN(CCNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O)S(=O)(=O)c1cccs1 ZINC001478252694 1074650841 /nfs/dbraw/zinc/65/08/41/1074650841.db2.gz KLIQCUXSLFAEBA-GFCCVEGCSA-N 0 0 440.569 -0.672 20 0 IBADRN CN(CCNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O)S(=O)(=O)c1cccs1 ZINC001478252695 1074650826 /nfs/dbraw/zinc/65/08/26/1074650826.db2.gz KLIQCUXSLFAEBA-LBPRGKRZSA-N 0 0 440.569 -0.672 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001478256860 1074650837 /nfs/dbraw/zinc/65/08/37/1074650837.db2.gz AYJCXKSIFDZBBM-UHFFFAOYSA-N 0 0 431.540 -0.431 20 0 IBADRN COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)NCCNS(=O)(=O)c2cccnc2)O1 ZINC001478256902 1074650824 /nfs/dbraw/zinc/65/08/24/1074650824.db2.gz BWLFDKATEWAPCT-BHYGNILZSA-N 0 0 428.511 -0.036 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@H]2COCCN2C(=O)NCCNS(=O)(=O)c2cccnc2)O1 ZINC001478256903 1074650839 /nfs/dbraw/zinc/65/08/39/1074650839.db2.gz BWLFDKATEWAPCT-UAGQMJEPSA-N 0 0 428.511 -0.036 20 0 IBADRN COC[C@@H]1CC[C@H]([C@H]2COCCN2C(=O)NCCNS(=O)(=O)c2cccnc2)O1 ZINC001478256904 1074650835 /nfs/dbraw/zinc/65/08/35/1074650835.db2.gz BWLFDKATEWAPCT-USXIJHARSA-N 0 0 428.511 -0.036 20 0 IBADRN COC[C@@H]1CC[C@@H]([C@@H]2COCCN2C(=O)NCCNS(=O)(=O)c2cccnc2)O1 ZINC001478256905 1074650827 /nfs/dbraw/zinc/65/08/27/1074650827.db2.gz BWLFDKATEWAPCT-XIRDDKMYSA-N 0 0 428.511 -0.036 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)NC(=O)NC1=O ZINC001478303286 1074650823 /nfs/dbraw/zinc/65/08/23/1074650823.db2.gz JWKNLGYYNHPPDD-JGTCGTHISA-N 0 0 428.511 -0.115 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)NC(=O)NC1=O ZINC001478303287 1074650842 /nfs/dbraw/zinc/65/08/42/1074650842.db2.gz JWKNLGYYNHPPDD-OBQMCUGOSA-N 0 0 428.511 -0.115 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)NC(=O)NC1=O ZINC001478303288 1074650831 /nfs/dbraw/zinc/65/08/31/1074650831.db2.gz JWKNLGYYNHPPDD-RPLLJCPNSA-N 0 0 428.511 -0.115 20 0 IBADRN C[C@]1(C[C@@H]2CCCN2C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)NC(=O)NC1=O ZINC001478303289 1074650838 /nfs/dbraw/zinc/65/08/38/1074650838.db2.gz JWKNLGYYNHPPDD-UHIXZJCNSA-N 0 0 428.511 -0.115 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NC3CCN(S(=O)(=O)CC(C)C)CC3)C[C@H]21 ZINC001478310005 1074650834 /nfs/dbraw/zinc/65/08/34/1074650834.db2.gz PIDJCHBIWQRAMO-XHSDSOJGSA-N 0 0 437.584 -0.110 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC001478381526 1074650825 /nfs/dbraw/zinc/65/08/25/1074650825.db2.gz JWVHFKVAHITQCX-INIZCTEOSA-N 0 0 446.551 -0.314 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)NCc1cccc(N2CCCS2(=O)=O)c1 ZINC001478381527 1074650829 /nfs/dbraw/zinc/65/08/29/1074650829.db2.gz JWVHFKVAHITQCX-MRXNPFEDSA-N 0 0 446.551 -0.314 20 0 IBADRN Cn1ccn2c(CNC(=O)NCc3cccc(N4CCCS4(=O)=O)c3)nnc2c1=O ZINC001478383293 1074650828 /nfs/dbraw/zinc/65/08/28/1074650828.db2.gz ZSLJQBPBHDOSBS-UHFFFAOYSA-N 0 0 431.478 -0.033 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)CC1 ZINC001478422597 1074651345 /nfs/dbraw/zinc/65/13/45/1074651345.db2.gz HAAGLHOVMOBBCI-UHFFFAOYSA-N 0 0 425.577 -0.630 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NC2CCN(S(=O)(=O)C(C)(C)C)CC2)C1 ZINC001478422759 1074651304 /nfs/dbraw/zinc/65/13/04/1074651304.db2.gz ISISXSTUZAWAHY-UHFFFAOYSA-N 0 0 425.577 -0.631 20 0 IBADRN Cn1ccn2c(CCNC(=O)NC3CCN(S(=O)(=O)C(C)(C)C)CC3)nnc2c1=O ZINC001478423875 1074651420 /nfs/dbraw/zinc/65/14/20/1074651420.db2.gz UVLXVPGCQHIMOL-UHFFFAOYSA-N 0 0 439.542 -0.138 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)NC[C@]3(OC)CCS(=O)(=O)C3)C2)nc1 ZINC001478423936 1074651360 /nfs/dbraw/zinc/65/13/60/1074651360.db2.gz RYNWZXUGXHBBPJ-GOSISDBHSA-N 0 0 426.495 -0.050 20 0 IBADRN CCOC(=O)c1ccc(N2CC(NC(=O)NC[C@@]3(OC)CCS(=O)(=O)C3)C2)nc1 ZINC001478423937 1074651415 /nfs/dbraw/zinc/65/14/15/1074651415.db2.gz RYNWZXUGXHBBPJ-SFHVURJKSA-N 0 0 426.495 -0.050 20 0 IBADRN CC(C)NS(=O)(=O)NCCNC(=O)N1CCC(S(=O)(=O)N2CCCCC2)CC1 ZINC001478425377 1074651461 /nfs/dbraw/zinc/65/14/61/1074651461.db2.gz HPJZPTJNQYXEQW-UHFFFAOYSA-N 0 0 439.604 -0.192 20 0 IBADRN COCCO[C@H]1COCC[C@H]1NC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001478462555 1074651355 /nfs/dbraw/zinc/65/13/55/1074651355.db2.gz RCFRNCURLIUBAR-MSOLQXFVSA-N 0 0 440.541 -0.048 20 0 IBADRN COCCO[C@@H]1COCC[C@H]1NC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001478462557 1074651435 /nfs/dbraw/zinc/65/14/35/1074651435.db2.gz RCFRNCURLIUBAR-QZTJIDSGSA-N 0 0 440.541 -0.048 20 0 IBADRN COCCO[C@H]1COCC[C@@H]1NC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001478462559 1074651350 /nfs/dbraw/zinc/65/13/50/1074651350.db2.gz RCFRNCURLIUBAR-ROUUACIJSA-N 0 0 440.541 -0.048 20 0 IBADRN COCCO[C@@H]1COCC[C@@H]1NC(=O)N1CCC(C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001478462560 1074651316 /nfs/dbraw/zinc/65/13/16/1074651316.db2.gz RCFRNCURLIUBAR-ZWKOTPCHSA-N 0 0 440.541 -0.048 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCc2ccc(N3CCCS3(=O)=O)c(F)c2)C1 ZINC001478488454 1074651404 /nfs/dbraw/zinc/65/14/04/1074651404.db2.gz BFWAQXFTTYYEDH-UHFFFAOYSA-N 0 0 449.530 -0.345 20 0 IBADRN CCOC(=O)c1ncn(C2CCN(C(=O)NCC3CN(S(C)(=O)=O)C3)CC2)c1N ZINC001478497436 1074651439 /nfs/dbraw/zinc/65/14/39/1074651439.db2.gz FAHACBIHJBVSSC-UHFFFAOYSA-N 0 0 428.515 -0.120 20 0 IBADRN Cn1cc(I)c(CNC(=O)NCC2CN(S(C)(=O)=O)C2)n1 ZINC001478497872 1074651370 /nfs/dbraw/zinc/65/13/70/1074651370.db2.gz OLTXRSACUFICIH-UHFFFAOYSA-N 0 0 427.268 -0.285 20 0 IBADRN CS(=O)(=O)N1CC(CNC(=O)NCCNC(=O)c2ccc(Br)cn2)C1 ZINC001478498030 1074651427 /nfs/dbraw/zinc/65/14/27/1074651427.db2.gz PVGYYCBLQKKEOO-UHFFFAOYSA-N 0 0 434.316 -0.236 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)NC1CC(NS(C)(=O)=O)C1 ZINC001478502832 1074651379 /nfs/dbraw/zinc/65/13/79/1074651379.db2.gz MJQFZYSHQBWEOL-UHFFFAOYSA-N 0 0 425.511 -0.859 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC001478503210 1074651393 /nfs/dbraw/zinc/65/13/93/1074651393.db2.gz GQORUTWITLRRLU-NEPJUHHUSA-N 0 0 443.906 -0.131 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC001478503211 1074651278 /nfs/dbraw/zinc/65/12/78/1074651278.db2.gz GQORUTWITLRRLU-NWDGAFQWSA-N 0 0 443.906 -0.131 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC001478503214 1074651446 /nfs/dbraw/zinc/65/14/46/1074651446.db2.gz GQORUTWITLRRLU-RYUDHWBXSA-N 0 0 443.906 -0.131 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCNS(=O)(=O)c1ccc(Cl)cc1F ZINC001478503216 1074651454 /nfs/dbraw/zinc/65/14/54/1074651454.db2.gz GQORUTWITLRRLU-VXGBXAGGSA-N 0 0 443.906 -0.131 20 0 IBADRN Cn1ncnc1C1(O)CN(C(=O)NCCNS(=O)(=O)c2ccc(Cl)cc2F)C1 ZINC001478504255 1074651328 /nfs/dbraw/zinc/65/13/28/1074651328.db2.gz GCVMIPBLCHXOMD-UHFFFAOYSA-N 0 0 432.865 -0.201 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)C1 ZINC001478520937 1074651861 /nfs/dbraw/zinc/65/18/61/1074651861.db2.gz NUNOXZAUXIJBCX-CVEARBPZSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@H](NC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)C1 ZINC001478520938 1074651794 /nfs/dbraw/zinc/65/17/94/1074651794.db2.gz NUNOXZAUXIJBCX-HOTGVXAUSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@@H](NC(=O)N2CCS(=O)(=O)C[C@H]2CCO)C1 ZINC001478520939 1074651938 /nfs/dbraw/zinc/65/19/38/1074651938.db2.gz NUNOXZAUXIJBCX-HZPDHXFCSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(COc1ccccc1)N1CC[C@H](NC(=O)N2CCS(=O)(=O)C[C@H]2CCO)C1 ZINC001478520940 1074651808 /nfs/dbraw/zinc/65/18/08/1074651808.db2.gz NUNOXZAUXIJBCX-JKSUJKDBSA-N 0 0 425.507 -0.143 20 0 IBADRN O=C(NCCO)C1CCN(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)CC1 ZINC001478524026 1074651784 /nfs/dbraw/zinc/65/17/84/1074651784.db2.gz JEFQOABHXKQNKW-UHFFFAOYSA-N 0 0 434.465 -0.227 20 0 IBADRN CC[C@H](CNS(C)(=O)=O)NC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001478524199 1074651813 /nfs/dbraw/zinc/65/18/13/1074651813.db2.gz LTHQQLVSRGXGTM-LLVKDONJSA-N 0 0 428.483 -0.130 20 0 IBADRN CC[C@@H](CNS(C)(=O)=O)NC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001478524200 1074651769 /nfs/dbraw/zinc/65/17/69/1074651769.db2.gz LTHQQLVSRGXGTM-NSHDSACASA-N 0 0 428.483 -0.130 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001478524503 1074651901 /nfs/dbraw/zinc/65/19/01/1074651901.db2.gz SHJVPHYCUQJJPN-NEPJUHHUSA-N 0 0 427.451 -0.646 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001478524505 1074651877 /nfs/dbraw/zinc/65/18/77/1074651877.db2.gz SHJVPHYCUQJJPN-NWDGAFQWSA-N 0 0 427.451 -0.646 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001478524507 1074651845 /nfs/dbraw/zinc/65/18/45/1074651845.db2.gz SHJVPHYCUQJJPN-RYUDHWBXSA-N 0 0 427.451 -0.646 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)NCCNS(=O)(=O)c1ccc(F)cc1F ZINC001478524508 1074651886 /nfs/dbraw/zinc/65/18/86/1074651886.db2.gz SHJVPHYCUQJJPN-VXGBXAGGSA-N 0 0 427.451 -0.646 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001478524627 1074651779 /nfs/dbraw/zinc/65/17/79/1074651779.db2.gz TYYFEVAXGIXESU-CYBMUJFWSA-N 0 0 446.476 -0.084 20 0 IBADRN O=C(NCCNS(=O)(=O)c1ccc(F)cc1F)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001478524628 1074651789 /nfs/dbraw/zinc/65/17/89/1074651789.db2.gz TYYFEVAXGIXESU-ZDUSSCGKSA-N 0 0 446.476 -0.084 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC001478524938 1074651853 /nfs/dbraw/zinc/65/18/53/1074651853.db2.gz XXQKWLGLKGBCLH-UHFFFAOYSA-N 0 0 441.482 -0.967 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCN(C)S(=O)(=O)c2ccc(F)cc2)CC1 ZINC001478531898 1074651775 /nfs/dbraw/zinc/65/17/75/1074651775.db2.gz IVEYNRPGQFKDAT-UHFFFAOYSA-N 0 0 437.519 -0.762 20 0 IBADRN CN(CCNC(=O)NC[C@]12COCCN1C(=O)COC2)S(=O)(=O)c1ccc(F)cc1 ZINC001478532255 1074651894 /nfs/dbraw/zinc/65/18/94/1074651894.db2.gz OCEXIDOYPDPPCV-GOSISDBHSA-N 0 0 444.485 -0.627 20 0 IBADRN CN(CCNC(=O)NC[C@@]12COCCN1C(=O)COC2)S(=O)(=O)c1ccc(F)cc1 ZINC001478532341 1074651838 /nfs/dbraw/zinc/65/18/38/1074651838.db2.gz OCEXIDOYPDPPCV-SFHVURJKSA-N 0 0 444.485 -0.627 20 0 IBADRN CS(=O)(=O)c1ccc(CNC(=O)N2CCN(CC(N)=O)C(=O)C2)cc1C(F)(F)F ZINC001478541096 1074651799 /nfs/dbraw/zinc/65/17/99/1074651799.db2.gz PJNZJHXQPYJBCM-UHFFFAOYSA-N 0 0 436.412 -0.052 20 0 IBADRN CCOC1CC(CNC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)(N2CCOCC2)C1 ZINC001478559734 1074651867 /nfs/dbraw/zinc/65/18/67/1074651867.db2.gz XCYVTIZQTLPASP-KVZIAJEVSA-N 0 0 431.555 -0.145 20 0 IBADRN CCOC1CC(CNC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)(N2CCOCC2)C1 ZINC001478559735 1074652422 /nfs/dbraw/zinc/65/24/22/1074652422.db2.gz XCYVTIZQTLPASP-NKELODKYSA-N 0 0 431.555 -0.145 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCc3ccc(S(N)(=O)=O)c(C)c3)CC2)CCOC1 ZINC001478562359 1074652426 /nfs/dbraw/zinc/65/24/26/1074652426.db2.gz VVWFRUYNIPSHHF-IBGZPJMESA-N 0 0 440.522 -0.198 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCc3ccc(S(N)(=O)=O)c(C)c3)CC2)CCOC1 ZINC001478562360 1074652371 /nfs/dbraw/zinc/65/23/71/1074652371.db2.gz VVWFRUYNIPSHHF-LJQANCHMSA-N 0 0 440.522 -0.198 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)s1 ZINC001478597847 1074652407 /nfs/dbraw/zinc/65/24/07/1074652407.db2.gz DZQIKCWBJQDBOL-GMTAPVOTSA-N 0 0 431.544 -0.393 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@@H](C)NC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)s1 ZINC001478597848 1074652411 /nfs/dbraw/zinc/65/24/11/1074652411.db2.gz DZQIKCWBJQDBOL-MXWKQRLJSA-N 0 0 431.544 -0.393 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)s1 ZINC001478597849 1074652384 /nfs/dbraw/zinc/65/23/84/1074652384.db2.gz DZQIKCWBJQDBOL-OUAUKWLOSA-N 0 0 431.544 -0.393 20 0 IBADRN CCc1nnc(NC(=O)[C@H](C)[C@H](C)NC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)s1 ZINC001478597850 1074652390 /nfs/dbraw/zinc/65/23/90/1074652390.db2.gz DZQIKCWBJQDBOL-VWYCJHECSA-N 0 0 431.544 -0.393 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCS(=O)(=O)C[C@@H]3CCO)C2)cc1 ZINC001478598412 1074652361 /nfs/dbraw/zinc/65/23/61/1074652361.db2.gz AGUFLWRPWVUTOS-AWEZNQCLSA-N 0 0 447.535 -0.741 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCS(=O)(=O)C[C@H]3CCO)C2)cc1 ZINC001478598413 1074652419 /nfs/dbraw/zinc/65/24/19/1074652419.db2.gz AGUFLWRPWVUTOS-CQSZACIVSA-N 0 0 447.535 -0.741 20 0 IBADRN CCS(=O)(=O)N1CCN(C(=O)NC2CN(S(=O)(=O)c3ccc(OC)cc3)C2)CC1 ZINC001478598634 1074652400 /nfs/dbraw/zinc/65/24/00/1074652400.db2.gz CNRJAFQYTWMUOV-UHFFFAOYSA-N 0 0 446.551 -0.255 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@]3(OCCO)CCOC3)C2)cc1 ZINC001478599896 1074652391 /nfs/dbraw/zinc/65/23/91/1074652391.db2.gz NILRWEHRSJDRPS-GOSISDBHSA-N 0 0 429.495 -0.465 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)NC[C@@]3(OCCO)CCOC3)C2)cc1 ZINC001478599903 1074652404 /nfs/dbraw/zinc/65/24/04/1074652404.db2.gz NILRWEHRSJDRPS-SFHVURJKSA-N 0 0 429.495 -0.465 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC001478601028 1074652415 /nfs/dbraw/zinc/65/24/15/1074652415.db2.gz VDTNDODPRISXKH-AWEZNQCLSA-N 0 0 441.510 -0.593 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NC1CN(S(=O)(=O)c2ccc(OC)cc2)C1 ZINC001478601031 1074652387 /nfs/dbraw/zinc/65/23/87/1074652387.db2.gz VDTNDODPRISXKH-CQSZACIVSA-N 0 0 441.510 -0.593 20 0 IBADRN COc1ccc(S(=O)(=O)N2CC(NC(=O)N3CCC(O)(COCCO)CC3)C2)cc1 ZINC001478601051 1074652333 /nfs/dbraw/zinc/65/23/33/1074652333.db2.gz VHNFZZBZVPKMRT-UHFFFAOYSA-N 0 0 443.522 -0.387 20 0 IBADRN O=C(NC1CCN(C(=O)c2cc(Cl)c[nH]2)CC1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001478605251 1074652379 /nfs/dbraw/zinc/65/23/79/1074652379.db2.gz IDGPPBGBBLLXCW-CYBMUJFWSA-N 0 0 430.918 -0.184 20 0 IBADRN O=C(NC1CCN(C(=O)c2cc(Cl)c[nH]2)CC1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001478605253 1074652396 /nfs/dbraw/zinc/65/23/96/1074652396.db2.gz IDGPPBGBBLLXCW-ZDUSSCGKSA-N 0 0 430.918 -0.184 20 0 IBADRN CCOC(=O)C1([S@](C)=O)CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC001478607496 1074652880 /nfs/dbraw/zinc/65/28/80/1074652880.db2.gz PULIJICFPXVKQI-KLZNLFMMSA-N 0 0 428.511 -0.007 20 0 IBADRN CCOC(=O)C1([S@@](C)=O)CCN(C(=O)NC2CCC3(CC2)NC(=O)NC3=O)CC1 ZINC001478607497 1074652863 /nfs/dbraw/zinc/65/28/63/1074652863.db2.gz PULIJICFPXVKQI-XMPBKTEBSA-N 0 0 428.511 -0.007 20 0 IBADRN CC(C)(C)OC(=O)N1CCCO[C@H](CNC(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001478628393 1074652842 /nfs/dbraw/zinc/65/28/42/1074652842.db2.gz YAPZLLQQSJDPGB-FOIQADDNSA-N 0 0 442.513 -0.061 20 0 IBADRN CC(C)(C)OC(=O)N1CCCO[C@@H](CNC(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001478628394 1074652840 /nfs/dbraw/zinc/65/28/40/1074652840.db2.gz YAPZLLQQSJDPGB-MGPUTAFESA-N 0 0 442.513 -0.061 20 0 IBADRN CC(C)(C)OC(=O)N1CCCO[C@H](CNC(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001478628395 1074652779 /nfs/dbraw/zinc/65/27/79/1074652779.db2.gz YAPZLLQQSJDPGB-QRWLVFNGSA-N 0 0 442.513 -0.061 20 0 IBADRN CC(C)(C)OC(=O)N1CCCO[C@@H](CNC(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001478628396 1074652824 /nfs/dbraw/zinc/65/28/24/1074652824.db2.gz YAPZLLQQSJDPGB-YWZLYKJASA-N 0 0 442.513 -0.061 20 0 IBADRN Cn1cc(I)c(CNC(=O)NCC2(O)CCS(=O)(=O)CC2)n1 ZINC001478628510 1074652844 /nfs/dbraw/zinc/65/28/44/1074652844.db2.gz FCELAGNGGYSXQQ-UHFFFAOYSA-N 0 0 442.279 -0.236 20 0 IBADRN Cn1cc(I)c(CNC(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)n1 ZINC001478628745 1074652759 /nfs/dbraw/zinc/65/27/59/1074652759.db2.gz MFUBPZWTRPGEHB-SECBINFHSA-N 0 0 442.279 -0.020 20 0 IBADRN Cn1cc(I)c(CNC(=O)N2CCO[C@H](CS(C)(=O)=O)C2)n1 ZINC001478628746 1074652788 /nfs/dbraw/zinc/65/27/88/1074652788.db2.gz MFUBPZWTRPGEHB-VIFPVBQESA-N 0 0 442.279 -0.020 20 0 IBADRN CN(C[C@@H](O)CN1CCOCC1)C(=O)NCc1nn(C)cc1I ZINC001478628953 1074652874 /nfs/dbraw/zinc/65/28/74/1074652874.db2.gz SFGHQQIYGJEPTD-LLVKDONJSA-N 0 0 437.282 -0.141 20 0 IBADRN CN(C[C@H](O)CN1CCOCC1)C(=O)NCc1nn(C)cc1I ZINC001478628954 1074652805 /nfs/dbraw/zinc/65/28/05/1074652805.db2.gz SFGHQQIYGJEPTD-NSHDSACASA-N 0 0 437.282 -0.141 20 0 IBADRN CNC(=O)NC[C@@H]1CN(C(=O)NCc2nn(C)cc2I)CCO1 ZINC001478629088 1074652792 /nfs/dbraw/zinc/65/27/92/1074652792.db2.gz WZWDCKICISLDJA-SECBINFHSA-N 0 0 436.254 -0.136 20 0 IBADRN CNC(=O)NC[C@H]1CN(C(=O)NCc2nn(C)cc2I)CCO1 ZINC001478629089 1074652741 /nfs/dbraw/zinc/65/27/41/1074652741.db2.gz WZWDCKICISLDJA-VIFPVBQESA-N 0 0 436.254 -0.136 20 0 IBADRN COC(=O)NCCNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001478633611 1074652798 /nfs/dbraw/zinc/65/27/98/1074652798.db2.gz QCJJZVVHBGPRDY-UHFFFAOYSA-N 0 0 425.467 -0.447 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1cccc(S(C)(=O)=O)c1)C2 ZINC001478636014 1074652816 /nfs/dbraw/zinc/65/28/16/1074652816.db2.gz ONIQBFBCYNBBGE-UHFFFAOYSA-N 0 0 436.494 -0.738 20 0 IBADRN CCC1(CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)COC1 ZINC001478656943 1074652868 /nfs/dbraw/zinc/65/28/68/1074652868.db2.gz YJZFAWHHXAHCMN-UHFFFAOYSA-N 0 0 446.551 -0.224 20 0 IBADRN Cn1cc(Br)c(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)n1 ZINC001478671612 1074652833 /nfs/dbraw/zinc/65/28/33/1074652833.db2.gz NWUBCPXDLWTVMD-UHFFFAOYSA-N 0 0 441.286 -0.315 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1nn(C)cc1Br)C2 ZINC001478672631 1074653339 /nfs/dbraw/zinc/65/33/39/1074653339.db2.gz WJGBNAJYDGORLG-UHFFFAOYSA-N 0 0 441.290 -0.646 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCCS(=O)(=O)C3CCOCC3)CC2)CCOC1 ZINC001478673384 1074653285 /nfs/dbraw/zinc/65/32/85/1074653285.db2.gz QPPNAILECAEZOF-GOSISDBHSA-N 0 0 433.527 -0.761 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCCS(=O)(=O)C3CCOCC3)CC2)CCOC1 ZINC001478673386 1074653291 /nfs/dbraw/zinc/65/32/91/1074653291.db2.gz QPPNAILECAEZOF-SFHVURJKSA-N 0 0 433.527 -0.761 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCCS(=O)(=O)C3CCOCC3)CC2)no1 ZINC001478674146 1074653274 /nfs/dbraw/zinc/65/32/74/1074653274.db2.gz KMGTUQQUOWQEEQ-UHFFFAOYSA-N 0 0 443.526 -0.157 20 0 IBADRN COCCOC1(CNC(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)CCOCC1 ZINC001478674206 1074653255 /nfs/dbraw/zinc/65/32/55/1074653255.db2.gz NHUBGQMKBITKKP-FQEVSTJZSA-N 0 0 429.514 -0.142 20 0 IBADRN COCCOC1(CNC(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)CCOCC1 ZINC001478674208 1074653281 /nfs/dbraw/zinc/65/32/81/1074653281.db2.gz NHUBGQMKBITKKP-HXUWFJFHSA-N 0 0 429.514 -0.142 20 0 IBADRN COCCCNC(=O)[C@H](C)N1CCN(C(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001478675418 1074653324 /nfs/dbraw/zinc/65/33/24/1074653324.db2.gz UWAJGKNZZNWAIG-INIZCTEOSA-N 0 0 448.586 -0.551 20 0 IBADRN COCCCNC(=O)[C@@H](C)N1CCN(C(=O)NCCS(=O)(=O)C2CCOCC2)CC1 ZINC001478675419 1074653309 /nfs/dbraw/zinc/65/33/09/1074653309.db2.gz UWAJGKNZZNWAIG-MRXNPFEDSA-N 0 0 448.586 -0.551 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC001478682059 1074653366 /nfs/dbraw/zinc/65/33/66/1074653366.db2.gz FZSOSGPXOLKKSD-UHFFFAOYSA-N 0 0 428.511 -0.090 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2cccc(S(=O)(=O)N(C)C)c2)CC1 ZINC001478682577 1074653376 /nfs/dbraw/zinc/65/33/76/1074653376.db2.gz JZRAKLINQIJASA-UHFFFAOYSA-N 0 0 439.538 -0.183 20 0 IBADRN COc1ccccc1NC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC1 ZINC001478682692 1074653266 /nfs/dbraw/zinc/65/32/66/1074653266.db2.gz DMEDMDZGBSEKOI-UHFFFAOYSA-N 0 0 442.542 -0.643 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001478682701 1074653316 /nfs/dbraw/zinc/65/33/16/1074653316.db2.gz DZGVWJZIJJGHBX-UHFFFAOYSA-N 0 0 433.556 -0.760 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCO[C@@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001478683283 1074653302 /nfs/dbraw/zinc/65/33/02/1074653302.db2.gz JIFNFJVWKCPYSH-CYBMUJFWSA-N 0 0 439.494 -0.911 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N1CCO[C@H](CN2C(=O)c3ccccc3C2=O)C1 ZINC001478683289 1074653295 /nfs/dbraw/zinc/65/32/95/1074653295.db2.gz JIFNFJVWKCPYSH-ZDUSSCGKSA-N 0 0 439.494 -0.911 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)NCc1c(I)cnn1C ZINC001478683510 1074653334 /nfs/dbraw/zinc/65/33/34/1074653334.db2.gz NYWSIVCMCMTCEF-UHFFFAOYSA-N 0 0 430.272 -0.780 20 0 IBADRN Cc1cccc(C)c1NC(=O)CN1CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC1 ZINC001478683562 1074653222 /nfs/dbraw/zinc/65/32/22/1074653222.db2.gz LWWUDCWFQXPQEX-UHFFFAOYSA-N 0 0 440.570 -0.035 20 0 IBADRN CCOC(=O)c1ccc(N2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)nc1 ZINC001478683983 1074653349 /nfs/dbraw/zinc/65/33/49/1074653349.db2.gz PDIOGXPPGXINSM-UHFFFAOYSA-N 0 0 428.515 -0.514 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N[C@@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC001478684403 1074653805 /nfs/dbraw/zinc/65/38/05/1074653805.db2.gz XNHMZXSLFRJBLW-INIZCTEOSA-N 0 0 427.527 -0.848 20 0 IBADRN CN(C)S(=O)(=O)NCCNC(=O)N[C@H](Cc1ccccc1)C(=O)N1CCOCC1 ZINC001478684404 1074653674 /nfs/dbraw/zinc/65/36/74/1074653674.db2.gz XNHMZXSLFRJBLW-MRXNPFEDSA-N 0 0 427.527 -0.848 20 0 IBADRN COc1cc(CN2CCN(C(=O)NCCNS(=O)(=O)N(C)C)CC2)cc(OC)c1 ZINC001478684475 1074653727 /nfs/dbraw/zinc/65/37/27/1074653727.db2.gz ZEXQAHUGPALCOH-UHFFFAOYSA-N 0 0 429.543 -0.073 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCc2cnc3c(Cl)cnn3c2)CC1 ZINC001478697356 1074653752 /nfs/dbraw/zinc/65/37/52/1074653752.db2.gz ZCSHFVOPQUGZRD-UHFFFAOYSA-N 0 0 447.883 -0.072 20 0 IBADRN CN(C)S(=O)(=O)CCNC(=O)N(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC001478745225 1074653741 /nfs/dbraw/zinc/65/37/41/1074653741.db2.gz ZQHIXCOWPWQMFL-UHFFFAOYSA-N 0 0 426.492 -0.615 20 0 IBADRN CCC[C@H](O)[C@@H](CO)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478779415 1074653715 /nfs/dbraw/zinc/65/37/15/1074653715.db2.gz ACDOKFWQEHXZMC-CABCVRRESA-N 0 0 429.495 -0.348 20 0 IBADRN CCC[C@H](O)[C@H](CO)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478779416 1074653683 /nfs/dbraw/zinc/65/36/83/1074653683.db2.gz ACDOKFWQEHXZMC-GJZGRUSLSA-N 0 0 429.495 -0.348 20 0 IBADRN CCC[C@@H](O)[C@@H](CO)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478779417 1074653733 /nfs/dbraw/zinc/65/37/33/1074653733.db2.gz ACDOKFWQEHXZMC-HUUCEWRRSA-N 0 0 429.495 -0.348 20 0 IBADRN CCC[C@@H](O)[C@H](CO)NC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478779418 1074653691 /nfs/dbraw/zinc/65/36/91/1074653691.db2.gz ACDOKFWQEHXZMC-LSDHHAIUSA-N 0 0 429.495 -0.348 20 0 IBADRN COCCN1CN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CC1=O ZINC001478779437 1074653706 /nfs/dbraw/zinc/65/37/06/1074653706.db2.gz ASHPVOBHZMAVJU-UHFFFAOYSA-N 0 0 440.478 -0.712 20 0 IBADRN C[C@@H]1CN(C(=O)NC2CN(S(=O)(=O)c3ccc4c(c3)OCCO4)C2)CCS1(=O)=O ZINC001478779773 1074653658 /nfs/dbraw/zinc/65/36/58/1074653658.db2.gz HEXDTZCIQKEYMT-GFCCVEGCSA-N 0 0 445.519 -0.341 20 0 IBADRN O=C(NCC[C@@]1(O)CCOC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478779848 1074653784 /nfs/dbraw/zinc/65/37/84/1074653784.db2.gz HWNSTAATVZIPCI-GOSISDBHSA-N 0 0 427.479 -0.329 20 0 IBADRN O=C(NCC[C@]1(O)CCOC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478779851 1074653809 /nfs/dbraw/zinc/65/38/09/1074653809.db2.gz HWNSTAATVZIPCI-SFHVURJKSA-N 0 0 427.479 -0.329 20 0 IBADRN C[C@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)O1 ZINC001478780323 1074653711 /nfs/dbraw/zinc/65/37/11/1074653711.db2.gz GRVRFVXTUBHQPZ-KBPBESRZSA-N 0 0 446.551 -0.083 20 0 IBADRN C[C@@H]1CC[C@@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)O1 ZINC001478780325 1074653790 /nfs/dbraw/zinc/65/37/90/1074653790.db2.gz GRVRFVXTUBHQPZ-KGLIPLIRSA-N 0 0 446.551 -0.083 20 0 IBADRN C[C@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)O1 ZINC001478780326 1074653730 /nfs/dbraw/zinc/65/37/30/1074653730.db2.gz GRVRFVXTUBHQPZ-UONOGXRCSA-N 0 0 446.551 -0.083 20 0 IBADRN C[C@@H]1CC[C@H](CNC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)O1 ZINC001478780327 1074653698 /nfs/dbraw/zinc/65/36/98/1074653698.db2.gz GRVRFVXTUBHQPZ-ZIAGYGMSSA-N 0 0 446.551 -0.083 20 0 IBADRN CCON(CC)C(=O)CNC(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478780500 1074653717 /nfs/dbraw/zinc/65/37/17/1074653717.db2.gz LAPOFEKAMYXRGF-UHFFFAOYSA-N 0 0 442.494 -0.070 20 0 IBADRN O=C(N[C@@H](CO)C1CCOCC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478780930 1074653796 /nfs/dbraw/zinc/65/37/96/1074653796.db2.gz OHBRNFGJJCQNCC-INIZCTEOSA-N 0 0 441.506 -0.083 20 0 IBADRN O=C(N[C@H](CO)C1CCOCC1)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478780932 1074654211 /nfs/dbraw/zinc/65/42/11/1074654211.db2.gz OHBRNFGJJCQNCC-MRXNPFEDSA-N 0 0 441.506 -0.083 20 0 IBADRN CC(C)[C@H]1C(=O)NCCN1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478781327 1074654198 /nfs/dbraw/zinc/65/41/98/1074654198.db2.gz RJPJZWSFYDLCON-KRWDZBQOSA-N 0 0 438.506 -0.003 20 0 IBADRN CC(C)[C@@H]1C(=O)NCCN1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478781328 1074654190 /nfs/dbraw/zinc/65/41/90/1074654190.db2.gz RJPJZWSFYDLCON-QGZVFWFLSA-N 0 0 438.506 -0.003 20 0 IBADRN C[C@H]1CN(CCO)CCN1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478781434 1074654265 /nfs/dbraw/zinc/65/42/65/1074654265.db2.gz SOOXDMNLLJZDQD-AWEZNQCLSA-N 0 0 440.522 -0.461 20 0 IBADRN C[C@@H]1CN(CCO)CCN1C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478781442 1074654172 /nfs/dbraw/zinc/65/41/72/1074654172.db2.gz SOOXDMNLLJZDQD-CQSZACIVSA-N 0 0 440.522 -0.461 20 0 IBADRN CCS(=O)(=O)CCN(C)C(=O)NC1CN(S(=O)(=O)c2ccc3c(c2)OCCO3)C1 ZINC001478781673 1074654203 /nfs/dbraw/zinc/65/42/03/1074654203.db2.gz WCGQZHGPHJUJFZ-UHFFFAOYSA-N 0 0 447.535 -0.093 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001478781693 1074654239 /nfs/dbraw/zinc/65/42/39/1074654239.db2.gz WHTDXULXMCTMDU-INIZCTEOSA-N 0 0 443.545 -0.288 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)CC1 ZINC001478781694 1074654226 /nfs/dbraw/zinc/65/42/26/1074654226.db2.gz WHTDXULXMCTMDU-MRXNPFEDSA-N 0 0 443.545 -0.288 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001478782165 1074654252 /nfs/dbraw/zinc/65/42/52/1074654252.db2.gz DEWVYRHTRZNAJX-UHFFFAOYSA-N 0 0 434.540 -0.851 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCN(CC(=O)Nc2ccccc2Cl)CC1 ZINC001478782434 1074654257 /nfs/dbraw/zinc/65/42/57/1074654257.db2.gz OOLFRKLHMJLHOA-UHFFFAOYSA-N 0 0 447.945 -0.089 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001478782465 1074654168 /nfs/dbraw/zinc/65/41/68/1074654168.db2.gz QDTBJKJNXCKYHH-KRWDZBQOSA-N 0 0 439.538 -0.576 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC001478782466 1074654248 /nfs/dbraw/zinc/65/42/48/1074654248.db2.gz QDTBJKJNXCKYHH-QGZVFWFLSA-N 0 0 439.538 -0.576 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)NCCOCCS(N)(=O)=O)CC2=O)cc1 ZINC001478782510 1074654155 /nfs/dbraw/zinc/65/41/55/1074654155.db2.gz RMPJYBHWNFJLKG-UHFFFAOYSA-N 0 0 428.511 -0.256 20 0 IBADRN NS(=O)(=O)CCOCCNC(=O)N1CC(S(=O)(=O)N2CCc3ccccc32)C1 ZINC001478782532 1074654243 /nfs/dbraw/zinc/65/42/43/1074654243.db2.gz SLEYOPXDGZAHFV-UHFFFAOYSA-N 0 0 432.524 -0.922 20 0 IBADRN O=C(NC[C@H]1CCCNC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001478783073 1074654260 /nfs/dbraw/zinc/65/42/60/1074654260.db2.gz CDRLSXQHILNPEU-CYBMUJFWSA-N 0 0 435.506 -0.277 20 0 IBADRN O=C(NC[C@@H]1CCCNC1=O)N1CCN(S(=O)(=O)c2ccc3c(c2)CC(=O)N3)CC1 ZINC001478783075 1074654233 /nfs/dbraw/zinc/65/42/33/1074654233.db2.gz CDRLSXQHILNPEU-ZDUSSCGKSA-N 0 0 435.506 -0.277 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)NCC2(N3CCOCC3)CCOCC2)CC1 ZINC001478789922 1074654274 /nfs/dbraw/zinc/65/42/74/1074654274.db2.gz STZMXGAEUJYCDY-UHFFFAOYSA-N 0 0 432.525 -0.107 20 0 IBADRN C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)NCCCCn2cc(C(N)=O)nn2)CC1 ZINC001478805007 1074654216 /nfs/dbraw/zinc/65/42/16/1074654216.db2.gz BZQOJFJEAJTZPH-INIZCTEOSA-N 0 0 434.545 -0.115 20 0 IBADRN C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)NCCCCn2cc(C(N)=O)nn2)CC1 ZINC001478805008 1074654283 /nfs/dbraw/zinc/65/42/83/1074654283.db2.gz BZQOJFJEAJTZPH-MRXNPFEDSA-N 0 0 434.545 -0.115 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NC[C@H]3CNC(=O)C3)CC2)c1 ZINC001478818056 1074654836 /nfs/dbraw/zinc/65/48/36/1074654836.db2.gz FAKUGCMFMNPZOB-LLVKDONJSA-N 0 0 430.508 -0.313 20 0 IBADRN COC(=O)c1csc(S(=O)(=O)N2CCN(C(=O)NC[C@@H]3CNC(=O)C3)CC2)c1 ZINC001478818057 1074654820 /nfs/dbraw/zinc/65/48/20/1074654820.db2.gz FAKUGCMFMNPZOB-NSHDSACASA-N 0 0 430.508 -0.313 20 0 IBADRN O=C(NCCS(=O)(=O)C1CC1)N1CCN(S(=O)(=O)NCc2ccccc2)CC1 ZINC001478821962 1074654722 /nfs/dbraw/zinc/65/47/22/1074654722.db2.gz CYNMMCJHOIVZNQ-UHFFFAOYSA-N 0 0 430.552 -0.075 20 0 IBADRN CCCN1C[C@H](CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1=O ZINC001478849408 1074654786 /nfs/dbraw/zinc/65/47/86/1074654786.db2.gz CTOYLCCTIHPRDO-INIZCTEOSA-N 0 0 430.575 -0.936 20 0 IBADRN CCCN1C[C@@H](CNC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)CC1=O ZINC001478849409 1074654756 /nfs/dbraw/zinc/65/47/56/1074654756.db2.gz CTOYLCCTIHPRDO-MRXNPFEDSA-N 0 0 430.575 -0.936 20 0 IBADRN CS(=O)(=O)CCCCNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1 ZINC001478855637 1074654777 /nfs/dbraw/zinc/65/47/77/1074654777.db2.gz SSCCAZGYJZOMMD-UHFFFAOYSA-N 0 0 426.543 -0.030 20 0 IBADRN CN1C(=O)CC[C@@H](NC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1=O ZINC001478856309 1074654745 /nfs/dbraw/zinc/65/47/45/1074654745.db2.gz FRXKMHBAZITEFB-CYBMUJFWSA-N 0 0 434.478 -0.274 20 0 IBADRN CN1C(=O)CC[C@H](NC(=O)N2CCN(S(=O)(=O)c3c[nH]c4ncccc34)CC2)C1=O ZINC001478856310 1074654769 /nfs/dbraw/zinc/65/47/69/1074654769.db2.gz FRXKMHBAZITEFB-ZDUSSCGKSA-N 0 0 434.478 -0.274 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NC[C@@H]2CS(=O)(=O)c3ccccc3O2)C[C@H]1C(=O)OC ZINC001478860689 1074654739 /nfs/dbraw/zinc/65/47/39/1074654739.db2.gz CNKFSLHYDRUWGS-JHJVBQTASA-N 0 0 426.447 -0.175 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NC[C@H]2CS(=O)(=O)c3ccccc3O2)C[C@H]1C(=O)OC ZINC001478860692 1074654831 /nfs/dbraw/zinc/65/48/31/1074654831.db2.gz CNKFSLHYDRUWGS-RWMBFGLXSA-N 0 0 426.447 -0.175 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NC[C@@H]2CS(=O)(=O)c3ccccc3O2)C[C@H]1C(=O)OC ZINC001478860693 1074654813 /nfs/dbraw/zinc/65/48/13/1074654813.db2.gz CNKFSLHYDRUWGS-UPJWGTAASA-N 0 0 426.447 -0.175 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NC[C@H]2CS(=O)(=O)c3ccccc3O2)C[C@H]1C(=O)OC ZINC001478860695 1074654670 /nfs/dbraw/zinc/65/46/70/1074654670.db2.gz CNKFSLHYDRUWGS-YNEHKIRRSA-N 0 0 426.447 -0.175 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001478861841 1074655184 /nfs/dbraw/zinc/65/51/84/1074655184.db2.gz WLOAAIJNXOKGAD-CXAGYDPISA-N 0 0 430.504 -0.180 20 0 IBADRN O=C(NC[C@@H]1CS(=O)(=O)c2ccccc2O1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001478861843 1074655174 /nfs/dbraw/zinc/65/51/74/1074655174.db2.gz WLOAAIJNXOKGAD-DYVFJYSZSA-N 0 0 430.504 -0.180 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)c2ccccc2O1)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001478861845 1074655150 /nfs/dbraw/zinc/65/51/50/1074655150.db2.gz WLOAAIJNXOKGAD-GUYCJALGSA-N 0 0 430.504 -0.180 20 0 IBADRN O=C(NC[C@H]1CS(=O)(=O)c2ccccc2O1)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001478861847 1074655219 /nfs/dbraw/zinc/65/52/19/1074655219.db2.gz WLOAAIJNXOKGAD-SUMWQHHRSA-N 0 0 430.504 -0.180 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NC[C@@H]2CS(=O)(=O)c3ccccc3O2)CC1 ZINC001478862065 1074655162 /nfs/dbraw/zinc/65/51/62/1074655162.db2.gz ZLSNGUKFJVGDKT-CYBMUJFWSA-N 0 0 427.479 -0.306 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NC[C@H]2CS(=O)(=O)c3ccccc3O2)CC1 ZINC001478862067 1074655214 /nfs/dbraw/zinc/65/52/14/1074655214.db2.gz ZLSNGUKFJVGDKT-ZDUSSCGKSA-N 0 0 427.479 -0.306 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCO[C@@H](c3cccnc3)C1)C2 ZINC001478863190 1074655125 /nfs/dbraw/zinc/65/51/25/1074655125.db2.gz LWNPNZJZVUFLKF-HZPDHXFCSA-N 0 0 429.481 -0.027 20 0 IBADRN CNC(=O)[C@H](CNC(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1OC)Cc1ccc(OC)c(F)c1 ZINC001478873839 1074655194 /nfs/dbraw/zinc/65/51/94/1074655194.db2.gz FDXFJTVFEHGVEI-BJJXKVORSA-N 0 0 431.486 -0.150 20 0 IBADRN CNC(=O)[C@H](CNC(=O)N[C@H]1CS(=O)(=O)C[C@H]1OC)Cc1ccc(OC)c(F)c1 ZINC001478873937 1074655226 /nfs/dbraw/zinc/65/52/26/1074655226.db2.gz FDXFJTVFEHGVEI-DUVNUKRYSA-N 0 0 431.486 -0.150 20 0 IBADRN CNC(=O)[C@H](CNC(=O)N[C@@H]1CS(=O)(=O)C[C@H]1OC)Cc1ccc(OC)c(F)c1 ZINC001478873940 1074655179 /nfs/dbraw/zinc/65/51/79/1074655179.db2.gz FDXFJTVFEHGVEI-JGGQBBKZSA-N 0 0 431.486 -0.150 20 0 IBADRN CNC(=O)[C@H](CNC(=O)N[C@H]1CS(=O)(=O)C[C@@H]1OC)Cc1ccc(OC)c(F)c1 ZINC001478873942 1074655094 /nfs/dbraw/zinc/65/50/94/1074655094.db2.gz FDXFJTVFEHGVEI-NOLJZWGESA-N 0 0 431.486 -0.150 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)NC[C@@]1(CO)COCCN1C)Cc1ccc(OC)c(F)c1 ZINC001478874112 1074655168 /nfs/dbraw/zinc/65/51/68/1074655168.db2.gz CCPZUWZKLGFRHB-FOIQADDNSA-N 0 0 426.489 -0.269 20 0 IBADRN CNC(=O)[C@H](CNC(=O)NC[C@@]1(CO)COCCN1C)Cc1ccc(OC)c(F)c1 ZINC001478874115 1074655156 /nfs/dbraw/zinc/65/51/56/1074655156.db2.gz CCPZUWZKLGFRHB-MGPUTAFESA-N 0 0 426.489 -0.269 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)NC[C@]1(CO)COCCN1C)Cc1ccc(OC)c(F)c1 ZINC001478874116 1074655105 /nfs/dbraw/zinc/65/51/05/1074655105.db2.gz CCPZUWZKLGFRHB-QRWLVFNGSA-N 0 0 426.489 -0.269 20 0 IBADRN CNC(=O)[C@H](CNC(=O)NC[C@]1(CO)COCCN1C)Cc1ccc(OC)c(F)c1 ZINC001478874117 1074655136 /nfs/dbraw/zinc/65/51/36/1074655136.db2.gz CCPZUWZKLGFRHB-YWZLYKJASA-N 0 0 426.489 -0.269 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)NC1CN(S(=O)(=O)N(C)C)C1)Cc1ccc(OC)c(F)c1 ZINC001478875133 1074655189 /nfs/dbraw/zinc/65/51/89/1074655189.db2.gz IMXQNOAOCNMWCV-CYBMUJFWSA-N 0 0 445.517 -0.471 20 0 IBADRN CNC(=O)[C@H](CNC(=O)NC1CN(S(=O)(=O)N(C)C)C1)Cc1ccc(OC)c(F)c1 ZINC001478875136 1074655141 /nfs/dbraw/zinc/65/51/41/1074655141.db2.gz IMXQNOAOCNMWCV-ZDUSSCGKSA-N 0 0 445.517 -0.471 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)NCc1nnc2c(=O)n(C)ccn12)Cc1ccc(OC)c(F)c1 ZINC001478876081 1074655208 /nfs/dbraw/zinc/65/52/08/1074655208.db2.gz NXXLZZHWKFNLDJ-CYBMUJFWSA-N 0 0 445.455 -0.020 20 0 IBADRN CNC(=O)[C@H](CNC(=O)NCc1nnc2c(=O)n(C)ccn12)Cc1ccc(OC)c(F)c1 ZINC001478876082 1074655201 /nfs/dbraw/zinc/65/52/01/1074655201.db2.gz NXXLZZHWKFNLDJ-ZDUSSCGKSA-N 0 0 445.455 -0.020 20 0 IBADRN CNC(=O)[C@H](CNC(=O)NC[C@]12COCCN1C(=O)COC2)Cc1ccc(F)cc1C ZINC001478877432 1074655115 /nfs/dbraw/zinc/65/51/15/1074655115.db2.gz WCOQSKVKGZEXBK-HRAATJIYSA-N 0 0 436.484 -0.034 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)NC[C@@]12COCCN1C(=O)COC2)Cc1ccc(F)cc1C ZINC001478877439 1074655759 /nfs/dbraw/zinc/65/57/59/1074655759.db2.gz WCOQSKVKGZEXBK-IERDGZPVSA-N 0 0 436.484 -0.034 20 0 IBADRN CNC(=O)[C@@H](CNC(=O)NC[C@]12COCCN1C(=O)COC2)Cc1ccc(F)cc1C ZINC001478877440 1074655739 /nfs/dbraw/zinc/65/57/39/1074655739.db2.gz WCOQSKVKGZEXBK-IIBYNOLFSA-N 0 0 436.484 -0.034 20 0 IBADRN CNC(=O)[C@H](CNC(=O)NC[C@@]12COCCN1C(=O)COC2)Cc1ccc(F)cc1C ZINC001478877441 1074655757 /nfs/dbraw/zinc/65/57/57/1074655757.db2.gz WCOQSKVKGZEXBK-KKSFZXQISA-N 0 0 436.484 -0.034 20 0 IBADRN C[C@@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)OC(C)(C)C ZINC001478879720 1074655716 /nfs/dbraw/zinc/65/57/16/1074655716.db2.gz PTWGOHPAHUUFSE-HNNXBMFYSA-N 0 0 433.575 -0.216 20 0 IBADRN C[C@H](CNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(=O)OC(C)(C)C ZINC001478879722 1074655764 /nfs/dbraw/zinc/65/57/64/1074655764.db2.gz PTWGOHPAHUUFSE-OAHLLOKOSA-N 0 0 433.575 -0.216 20 0 IBADRN Cc1cc(N2CCC[C@H](N3CCN(C(=O)NCCCS(N)(=O)=O)CC3)C2=O)n(C)n1 ZINC001478890732 1074655755 /nfs/dbraw/zinc/65/57/55/1074655755.db2.gz ACLWEMJBEDAZBN-HNNXBMFYSA-N 0 0 441.558 -0.770 20 0 IBADRN Cc1cc(N2CCC[C@@H](N3CCN(C(=O)NCCCS(N)(=O)=O)CC3)C2=O)n(C)n1 ZINC001478890733 1074655761 /nfs/dbraw/zinc/65/57/61/1074655761.db2.gz ACLWEMJBEDAZBN-OAHLLOKOSA-N 0 0 441.558 -0.770 20 0 IBADRN NS(=O)(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2c(F)cccc2F)CC1 ZINC001478892537 1074655734 /nfs/dbraw/zinc/65/57/34/1074655734.db2.gz ZGRBSMINWBCWFT-UHFFFAOYSA-N 0 0 426.467 -0.341 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCC(C(=O)NCCCO)CC1)C1CCCC1 ZINC001478899891 1074655744 /nfs/dbraw/zinc/65/57/44/1074655744.db2.gz ICZMLMNHCKKINF-KRWDZBQOSA-N 0 0 447.602 -0.139 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCC(C(=O)NCCCO)CC1)C1CCCC1 ZINC001478899892 1074655747 /nfs/dbraw/zinc/65/57/47/1074655747.db2.gz ICZMLMNHCKKINF-QGZVFWFLSA-N 0 0 447.602 -0.139 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)NCCS(=O)(=O)N1CCCC1)C1CCCC1 ZINC001478900826 1074655748 /nfs/dbraw/zinc/65/57/48/1074655748.db2.gz VILVDPSGCWKTBW-HNNXBMFYSA-N 0 0 439.604 -0.334 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)NCCS(=O)(=O)N1CCCC1)C1CCCC1 ZINC001478900836 1074655762 /nfs/dbraw/zinc/65/57/62/1074655762.db2.gz VILVDPSGCWKTBW-OAHLLOKOSA-N 0 0 439.604 -0.334 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001478901556 1074655750 /nfs/dbraw/zinc/65/57/50/1074655750.db2.gz UCGCASXJAJCWJA-INIZCTEOSA-N 0 0 432.591 -0.643 20 0 IBADRN CN(C)C(=O)CN1CCN(C(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)CC1 ZINC001478901557 1074655754 /nfs/dbraw/zinc/65/57/54/1074655754.db2.gz UCGCASXJAJCWJA-MRXNPFEDSA-N 0 0 432.591 -0.643 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O)C1CCCC1 ZINC001478901775 1074655737 /nfs/dbraw/zinc/65/57/37/1074655737.db2.gz VPOLACAFOHVHDX-CVEARBPZSA-N 0 0 445.586 -0.386 20 0 IBADRN CN(C)S(=O)(=O)N[C@@H](CNC(=O)N1CCC2(CC1)C[C@H](O)CNC2=O)C1CCCC1 ZINC001478901776 1074655725 /nfs/dbraw/zinc/65/57/25/1074655725.db2.gz VPOLACAFOHVHDX-HOTGVXAUSA-N 0 0 445.586 -0.386 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O)C1CCCC1 ZINC001478901777 1074655752 /nfs/dbraw/zinc/65/57/52/1074655752.db2.gz VPOLACAFOHVHDX-HZPDHXFCSA-N 0 0 445.586 -0.386 20 0 IBADRN CN(C)S(=O)(=O)N[C@H](CNC(=O)N1CCC2(CC1)C[C@H](O)CNC2=O)C1CCCC1 ZINC001478901778 1074655740 /nfs/dbraw/zinc/65/57/40/1074655740.db2.gz VPOLACAFOHVHDX-JKSUJKDBSA-N 0 0 445.586 -0.386 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3cc(=O)n4ccccc4n3)CC2)CC1 ZINC001478905860 1074655729 /nfs/dbraw/zinc/65/57/29/1074655729.db2.gz FWCPMBAMHWJKLY-UHFFFAOYSA-N 0 0 449.537 -0.986 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2cc(=O)n3ccccc3n2)CC1 ZINC001478906418 1074656265 /nfs/dbraw/zinc/65/62/65/1074656265.db2.gz JBXGOLYXLNZUHE-UHFFFAOYSA-N 0 0 426.433 -0.803 20 0 IBADRN CS(=O)(=O)N1CC[C@H](NC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)C1 ZINC001478910749 1074656239 /nfs/dbraw/zinc/65/62/39/1074656239.db2.gz BSXCSTANWRPFOV-HNNXBMFYSA-N 0 0 429.543 -0.834 20 0 IBADRN CS(=O)(=O)N1CC[C@@H](NC(=O)N2CCC(C(=O)N3CCC(C(N)=O)CC3)CC2)C1 ZINC001478910753 1074656341 /nfs/dbraw/zinc/65/63/41/1074656341.db2.gz BSXCSTANWRPFOV-OAHLLOKOSA-N 0 0 429.543 -0.834 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(C(=O)N[C@@H]3C[C@H]4CC[C@@H]3O4)CC2)cc1 ZINC001478915787 1074656165 /nfs/dbraw/zinc/65/61/65/1074656165.db2.gz HUSQRJPGUZXBMG-WQVCFCJDSA-N 0 0 444.535 -0.330 20 0 IBADRN C[C@@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CO1 ZINC001478916466 1074656116 /nfs/dbraw/zinc/65/61/16/1074656116.db2.gz XENUAPWMZXSUMP-CHWSQXEVSA-N 0 0 432.524 -0.473 20 0 IBADRN C[C@@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CO1 ZINC001478916467 1074656131 /nfs/dbraw/zinc/65/61/31/1074656131.db2.gz XENUAPWMZXSUMP-OLZOCXBDSA-N 0 0 432.524 -0.473 20 0 IBADRN C[C@H]1C[C@@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CO1 ZINC001478916468 1074656348 /nfs/dbraw/zinc/65/63/48/1074656348.db2.gz XENUAPWMZXSUMP-QWHCGFSZSA-N 0 0 432.524 -0.473 20 0 IBADRN C[C@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)CO1 ZINC001478916469 1074656206 /nfs/dbraw/zinc/65/62/06/1074656206.db2.gz XENUAPWMZXSUMP-STQMWFEESA-N 0 0 432.524 -0.473 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)N[C@@H]1CCO[C@H](c3ccncc3)C1)C2 ZINC001478916586 1074656231 /nfs/dbraw/zinc/65/62/31/1074656231.db2.gz VLIMWQBUQMLQGX-CVEARBPZSA-N 0 0 429.481 -0.027 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NCc3cc(S(N)(=O)=O)ccc3F)CC2)CCOC1 ZINC001478923266 1074656212 /nfs/dbraw/zinc/65/62/12/1074656212.db2.gz GELWWHMMSROZMS-GOSISDBHSA-N 0 0 444.485 -0.368 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NCc3cc(S(N)(=O)=O)ccc3F)CC2)CCOC1 ZINC001478923267 1074656321 /nfs/dbraw/zinc/65/63/21/1074656321.db2.gz GELWWHMMSROZMS-SFHVURJKSA-N 0 0 444.485 -0.368 20 0 IBADRN CO[C@H](C)CNC(=O)N1CCN(c2ccc(S(=O)(=O)N3CCOCC3)cn2)CC1 ZINC001478943451 1074656178 /nfs/dbraw/zinc/65/61/78/1074656178.db2.gz YRUJKKGIZWSFSP-OAHLLOKOSA-N 0 0 427.527 -0.031 20 0 IBADRN COc1ccc(N2C[C@@H](NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)CC2=O)cc1 ZINC001478947402 1074656255 /nfs/dbraw/zinc/65/62/55/1074656255.db2.gz BFWMKHNDNBWRPK-DZGCQCFKSA-N 0 0 426.495 -0.240 20 0 IBADRN COc1ccc(N2C[C@H](NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)CC2=O)cc1 ZINC001478947406 1074656190 /nfs/dbraw/zinc/65/61/90/1074656190.db2.gz BFWMKHNDNBWRPK-HIFRSBDPSA-N 0 0 426.495 -0.240 20 0 IBADRN COc1ccc(N2C[C@H](NC(=O)N3CCOC[C@H]3CNS(C)(=O)=O)CC2=O)cc1 ZINC001478947408 1074656710 /nfs/dbraw/zinc/65/67/10/1074656710.db2.gz BFWMKHNDNBWRPK-UKRRQHHQSA-N 0 0 426.495 -0.240 20 0 IBADRN COc1ccc(N2C[C@@H](NC(=O)N3CCOC[C@@H]3CNS(C)(=O)=O)CC2=O)cc1 ZINC001478947410 1074656720 /nfs/dbraw/zinc/65/67/20/1074656720.db2.gz BFWMKHNDNBWRPK-ZFWWWQNUSA-N 0 0 426.495 -0.240 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2OC)CC1 ZINC001478950283 1074656680 /nfs/dbraw/zinc/65/66/80/1074656680.db2.gz BSQIACIJIYDFKD-DZGCQCFKSA-N 0 0 446.551 -0.762 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@@H]2CS(=O)(=O)C[C@@H]2OC)CC1 ZINC001478950284 1074656707 /nfs/dbraw/zinc/65/67/07/1074656707.db2.gz BSQIACIJIYDFKD-HIFRSBDPSA-N 0 0 446.551 -0.762 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2OC)CC1 ZINC001478950285 1074656715 /nfs/dbraw/zinc/65/67/15/1074656715.db2.gz BSQIACIJIYDFKD-UKRRQHHQSA-N 0 0 446.551 -0.762 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)N[C@H]2CS(=O)(=O)C[C@@H]2OC)CC1 ZINC001478950286 1074656711 /nfs/dbraw/zinc/65/67/11/1074656711.db2.gz BSQIACIJIYDFKD-ZFWWWQNUSA-N 0 0 446.551 -0.762 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC001478950573 1074656683 /nfs/dbraw/zinc/65/66/83/1074656683.db2.gz HNIUIIITLNNTSR-CVEARBPZSA-N 0 0 435.506 -0.025 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC001478950574 1074656678 /nfs/dbraw/zinc/65/66/78/1074656678.db2.gz HNIUIIITLNNTSR-HOTGVXAUSA-N 0 0 435.506 -0.025 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC001478950575 1074656688 /nfs/dbraw/zinc/65/66/88/1074656688.db2.gz HNIUIIITLNNTSR-HZPDHXFCSA-N 0 0 435.506 -0.025 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC001478950576 1074656681 /nfs/dbraw/zinc/65/66/81/1074656681.db2.gz HNIUIIITLNNTSR-JKSUJKDBSA-N 0 0 435.506 -0.025 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001478950810 1074656690 /nfs/dbraw/zinc/65/66/90/1074656690.db2.gz LMMZMQCRVJWDGM-CVEARBPZSA-N 0 0 431.536 -0.344 20 0 IBADRN CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001478950811 1074656700 /nfs/dbraw/zinc/65/67/00/1074656700.db2.gz LMMZMQCRVJWDGM-HOTGVXAUSA-N 0 0 431.536 -0.344 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001478950812 1074656714 /nfs/dbraw/zinc/65/67/14/1074656714.db2.gz LMMZMQCRVJWDGM-HZPDHXFCSA-N 0 0 431.536 -0.344 20 0 IBADRN CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N1CCN(S(=O)(=O)Cc2ccccc2)CC1 ZINC001478950813 1074656686 /nfs/dbraw/zinc/65/66/86/1074656686.db2.gz LMMZMQCRVJWDGM-JKSUJKDBSA-N 0 0 431.536 -0.344 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)N[C@@H]3CS(=O)(=O)C[C@H]3OC)CC2)c1OC ZINC001478950854 1074656702 /nfs/dbraw/zinc/65/67/02/1074656702.db2.gz MDBZIUBOQATBFO-GDBMZVCRSA-N 0 0 441.506 -0.017 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)N[C@H]3CS(=O)(=O)C[C@H]3OC)CC2)c1OC ZINC001478950855 1074656718 /nfs/dbraw/zinc/65/67/18/1074656718.db2.gz MDBZIUBOQATBFO-GOEBONIOSA-N 0 0 441.506 -0.017 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)N[C@H]3CS(=O)(=O)C[C@@H]3OC)CC2)c1OC ZINC001478950856 1074656692 /nfs/dbraw/zinc/65/66/92/1074656692.db2.gz MDBZIUBOQATBFO-HOCLYGCPSA-N 0 0 441.506 -0.017 20 0 IBADRN COc1cccc(C(=O)N2CCN(C(=O)N[C@@H]3CS(=O)(=O)C[C@@H]3OC)CC2)c1OC ZINC001478950857 1074656698 /nfs/dbraw/zinc/65/66/98/1074656698.db2.gz MDBZIUBOQATBFO-ZBFHGGJFSA-N 0 0 441.506 -0.017 20 0 IBADRN CN1c2ccccc2C[C@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC001478955394 1074656705 /nfs/dbraw/zinc/65/67/05/1074656705.db2.gz JEBRNUJLSQLOKQ-HNNXBMFYSA-N 0 0 427.461 -0.423 20 0 IBADRN CN1c2ccccc2C[C@@H](NC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)C1=O ZINC001478955395 1074656696 /nfs/dbraw/zinc/65/66/96/1074656696.db2.gz JEBRNUJLSQLOKQ-OAHLLOKOSA-N 0 0 427.461 -0.423 20 0 IBADRN O=C(NCCNC(=O)N1CC[C@H]2OCC(=O)N[C@@H]2C1)c1ccc(Br)cn1 ZINC001478959111 1074657433 /nfs/dbraw/zinc/65/74/33/1074657433.db2.gz QCJXGRYUONOBFP-CHWSQXEVSA-N 0 0 426.271 -0.127 20 0 IBADRN COC(=O)C1CC2(C1)C[C@H](NC(=O)NCCCN(C)S(C)(=O)=O)CCS2(=O)=O ZINC001478962221 1074657453 /nfs/dbraw/zinc/65/74/53/1074657453.db2.gz QHHWJJTZEWKGKN-MFOWVQHXSA-N 0 0 439.556 -0.534 20 0 IBADRN COC(=O)C1CC2(C1)C[C@@H](NC(=O)NCCCN(C)S(C)(=O)=O)CCS2(=O)=O ZINC001478962222 1074657449 /nfs/dbraw/zinc/65/74/49/1074657449.db2.gz QHHWJJTZEWKGKN-UYJPIKCFSA-N 0 0 439.556 -0.534 20 0 IBADRN CN(CCOc1ccc(S(N)(=O)=O)cc1F)C(=O)NCCCN(C)S(C)(=O)=O ZINC001478962486 1074657301 /nfs/dbraw/zinc/65/73/01/1074657301.db2.gz RYNFPWLVXXLFLA-UHFFFAOYSA-N 0 0 440.519 -0.225 20 0 IBADRN CC(=O)N(C)c1ccc(CNC(=O)N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)cc1 ZINC001478990509 1074657444 /nfs/dbraw/zinc/65/74/44/1074657444.db2.gz AXSFDBCXKJUYFC-UHFFFAOYSA-N 0 0 429.481 -0.159 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(C(N)=O)cs3)CC2)C[C@H](C)O1 ZINC001478992072 1074657418 /nfs/dbraw/zinc/65/74/18/1074657418.db2.gz OTDOLBICRFTISK-RYUDHWBXSA-N 0 0 446.555 -0.577 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(C(N)=O)cs3)CC2)C[C@H](C)O1 ZINC001478992073 1074657312 /nfs/dbraw/zinc/65/73/12/1074657312.db2.gz OTDOLBICRFTISK-TXEJJXNPSA-N 0 0 446.555 -0.577 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NCc3nc(C(N)=O)cs3)CC2)C[C@@H](C)O1 ZINC001478992074 1074657454 /nfs/dbraw/zinc/65/74/54/1074657454.db2.gz OTDOLBICRFTISK-VXGBXAGGSA-N 0 0 446.555 -0.577 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001479004062 1074657410 /nfs/dbraw/zinc/65/74/10/1074657410.db2.gz GAWNLHRFPLQOAX-UHFFFAOYSA-N 0 0 425.408 -0.875 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCN2C[C@@H]3CCCCN3C2=O)CC1 ZINC001479023068 1074657448 /nfs/dbraw/zinc/65/74/48/1074657448.db2.gz VQQOIODAQCTLSS-HNNXBMFYSA-N 0 0 434.497 -0.721 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCCN2C[C@H]3CCCCN3C2=O)CC1 ZINC001479023069 1074657403 /nfs/dbraw/zinc/65/74/03/1074657403.db2.gz VQQOIODAQCTLSS-OAHLLOKOSA-N 0 0 434.497 -0.721 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@H](CNC(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001479049003 1074657347 /nfs/dbraw/zinc/65/73/47/1074657347.db2.gz UWIUXAMIRMMONJ-DENIHFKCSA-N 0 0 431.537 -0.270 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@H](CNC(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001479049005 1074657385 /nfs/dbraw/zinc/65/73/85/1074657385.db2.gz UWIUXAMIRMMONJ-KNQAVFIVSA-N 0 0 431.537 -0.270 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@@H](CNC(=O)NC[C@]23COCCN2C(=O)COC3)C1 ZINC001479049006 1074657901 /nfs/dbraw/zinc/65/79/01/1074657901.db2.gz UWIUXAMIRMMONJ-SIKLNZKXSA-N 0 0 431.537 -0.270 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@@H](CNC(=O)NC[C@@]23COCCN2C(=O)COC3)C1 ZINC001479049007 1074657847 /nfs/dbraw/zinc/65/78/47/1074657847.db2.gz UWIUXAMIRMMONJ-UGKGYDQZSA-N 0 0 431.537 -0.270 20 0 IBADRN CON(C)C(=O)[C@H](C)NC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001479059339 1074657690 /nfs/dbraw/zinc/65/76/90/1074657690.db2.gz FJGSLTHSBFNYHC-ZDUSSCGKSA-N 0 0 426.495 -0.034 20 0 IBADRN CO[C@H]1C[C@H](NC(=O)N2CCN(S(=O)(=O)c3ccc(S(N)(=O)=O)cc3)CC2)C1 ZINC001479061020 1074657789 /nfs/dbraw/zinc/65/77/89/1074657789.db2.gz PBYXPRZTTBDLPK-JOCQHMNTSA-N 0 0 432.524 -0.473 20 0 IBADRN CCN1CCN(C(=O)CC2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)CC1 ZINC001479062701 1074657877 /nfs/dbraw/zinc/65/78/77/1074657877.db2.gz BFIZWTJQUKASEG-UHFFFAOYSA-N 0 0 444.602 -0.547 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(CC(=O)NC3CCCCC3)CC2)C1 ZINC001479062866 1074657778 /nfs/dbraw/zinc/65/77/78/1074657778.db2.gz GVCRKZNNLLTBDZ-UHFFFAOYSA-N 0 0 430.575 -0.747 20 0 IBADRN COc1cc([C@@H](C)NC(=O)NC2CN(S(=O)(=O)N(C)C)C2)ccc1S(C)(=O)=O ZINC001479062883 1074657772 /nfs/dbraw/zinc/65/77/72/1074657772.db2.gz HEPUEGKIHVZBIK-LLVKDONJSA-N 0 0 434.540 -0.051 20 0 IBADRN COc1cc([C@H](C)NC(=O)NC2CN(S(=O)(=O)N(C)C)C2)ccc1S(C)(=O)=O ZINC001479062884 1074657766 /nfs/dbraw/zinc/65/77/66/1074657766.db2.gz HEPUEGKIHVZBIK-NSHDSACASA-N 0 0 434.540 -0.051 20 0 IBADRN COc1ccc(C[C@](C)(CO)NC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1OC ZINC001479062913 1074657841 /nfs/dbraw/zinc/65/78/41/1074657841.db2.gz IEGVUOPQQNIVHK-GOSISDBHSA-N 0 0 430.527 -0.213 20 0 IBADRN COc1ccc(C[C@@](C)(CO)NC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc1OC ZINC001479062914 1074657827 /nfs/dbraw/zinc/65/78/27/1074657827.db2.gz IEGVUOPQQNIVHK-SFHVURJKSA-N 0 0 430.527 -0.213 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCC(CNC(=O)c3cnn(C)c3)CC2)C1 ZINC001479062920 1074657819 /nfs/dbraw/zinc/65/78/19/1074657819.db2.gz IHVNCTCQBYMQJN-UHFFFAOYSA-N 0 0 427.531 -0.938 20 0 IBADRN C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)CC1 ZINC001479063013 1074657733 /nfs/dbraw/zinc/65/77/33/1074657733.db2.gz LJUPPXNIKBFAEB-AWEZNQCLSA-N 0 0 430.575 -0.749 20 0 IBADRN C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)CC1 ZINC001479063014 1074657763 /nfs/dbraw/zinc/65/77/63/1074657763.db2.gz LJUPPXNIKBFAEB-CQSZACIVSA-N 0 0 430.575 -0.749 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(Cc3ccc4c(c3)OCCO4)CC2)C1 ZINC001479063441 1074657719 /nfs/dbraw/zinc/65/77/19/1074657719.db2.gz TWXCFQUUAFNVTL-UHFFFAOYSA-N 0 0 439.538 -0.224 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NCc2cccc(NS(=O)(=O)C3CC3)c2)C1 ZINC001479063812 1074657834 /nfs/dbraw/zinc/65/78/34/1074657834.db2.gz WDBPWHOBMLTBCS-UHFFFAOYSA-N 0 0 431.540 -0.120 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(S(C)(=O)=O)c3ccccc3C2)C1 ZINC001479063988 1074657892 /nfs/dbraw/zinc/65/78/92/1074657892.db2.gz YHHGNEMJVIQDGZ-UHFFFAOYSA-N 0 0 431.540 -0.532 20 0 IBADRN Cc1noc([C@@H]2OCC[C@@H]2NC(=O)N2CCN(S(=O)(=O)N3CCN(C)CC3)CC2)n1 ZINC001479065961 1074657932 /nfs/dbraw/zinc/65/79/32/1074657932.db2.gz RRYUCTCWOOOYPT-LSDHHAIUSA-N 0 0 443.530 -0.973 20 0 IBADRN CN(CCN(C)c1ccc(S(N)(=O)=O)cc1)C(=O)NCCCN1CCCS1(=O)=O ZINC001479075719 1074657805 /nfs/dbraw/zinc/65/78/05/1074657805.db2.gz OHZXXOXHGGWBME-UHFFFAOYSA-N 0 0 447.583 -0.163 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NCC(=O)N=S(C)(C)=O)CC1 ZINC001479081317 1074658521 /nfs/dbraw/zinc/65/85/21/1074658521.db2.gz BLRODPKYQNZUBH-UHFFFAOYSA-N 0 0 425.577 -0.065 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)NC1CCN(S(=O)(=O)C2CCOCC2)CC1 ZINC001479090731 1074658508 /nfs/dbraw/zinc/65/85/08/1074658508.db2.gz GOUNDOBZSCHMKY-UHFFFAOYSA-N 0 0 426.561 -0.802 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1C[C@H](C(=O)OC)C2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001479091622 1074658505 /nfs/dbraw/zinc/65/85/05/1074658505.db2.gz FKWUPAKYWMPEPX-CYBMUJFWSA-N 0 0 448.542 -0.023 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1C[C@@H](C(=O)OC)C2(CN(C(=O)OC(C)(C)C)C2)C1 ZINC001479091628 1074658403 /nfs/dbraw/zinc/65/84/03/1074658403.db2.gz FKWUPAKYWMPEPX-ZDUSSCGKSA-N 0 0 448.542 -0.023 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001479095709 1074658410 /nfs/dbraw/zinc/65/84/10/1074658410.db2.gz OXRSTGQTJAUVHN-KBPBESRZSA-N 0 0 436.531 -0.418 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNC(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001479095711 1074658501 /nfs/dbraw/zinc/65/85/01/1074658501.db2.gz OXRSTGQTJAUVHN-KGLIPLIRSA-N 0 0 436.531 -0.418 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@@H]1CNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001479095712 1074658461 /nfs/dbraw/zinc/65/84/61/1074658461.db2.gz OXRSTGQTJAUVHN-UONOGXRCSA-N 0 0 436.531 -0.418 20 0 IBADRN CC(C)(C)OC(=O)N1CCOC[C@H]1CNC(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001479095714 1074658491 /nfs/dbraw/zinc/65/84/91/1074658491.db2.gz OXRSTGQTJAUVHN-ZIAGYGMSSA-N 0 0 436.531 -0.418 20 0 IBADRN CC(C)(CNC(=O)N1CCN(CC(=O)NCc2ccccc2)CC1)CS(N)(=O)=O ZINC001479124644 1074658472 /nfs/dbraw/zinc/65/84/72/1074658472.db2.gz QHLBYWBRMOEDQC-UHFFFAOYSA-N 0 0 425.555 -0.055 20 0 IBADRN CC(C)(CNC(=O)N1CCN(c2cc(N3CCOCC3)ncn2)CC1)CS(N)(=O)=O ZINC001479125145 1074658421 /nfs/dbraw/zinc/65/84/21/1074658421.db2.gz OQPIZLJQMZDNLP-UHFFFAOYSA-N 0 0 441.558 -0.541 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)NCCc2ccc(S(=O)(=O)NC)cc2)C1 ZINC001479129253 1074658464 /nfs/dbraw/zinc/65/84/64/1074658464.db2.gz RIEOBWRKXVINKP-GDBMZVCRSA-N 0 0 428.511 -0.114 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@H](NC(=O)NCCc2ccc(S(=O)(=O)NC)cc2)C1 ZINC001479129254 1074658453 /nfs/dbraw/zinc/65/84/53/1074658453.db2.gz RIEOBWRKXVINKP-GOEBONIOSA-N 0 0 428.511 -0.114 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NC(=O)NCCc2ccc(S(=O)(=O)NC)cc2)C1 ZINC001479129255 1074658513 /nfs/dbraw/zinc/65/85/13/1074658513.db2.gz RIEOBWRKXVINKP-HOCLYGCPSA-N 0 0 428.511 -0.114 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)NCCc2ccc(S(=O)(=O)NC)cc2)[C@@H](CO)C1 ZINC001479129256 1074658440 /nfs/dbraw/zinc/65/84/40/1074658440.db2.gz RIEOBWRKXVINKP-ZBFHGGJFSA-N 0 0 428.511 -0.114 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2C[C@H](C(=O)OC)[C@H](C(=O)OC)C2)cc1 ZINC001479129288 1074658448 /nfs/dbraw/zinc/65/84/48/1074658448.db2.gz SDJVKXRMNUJPOG-GASCZTMLSA-N 0 0 427.479 -0.259 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2C[C@H](C(=O)OC)[C@@H](C(=O)OC)C2)cc1 ZINC001479129289 1074658486 /nfs/dbraw/zinc/65/84/86/1074658486.db2.gz SDJVKXRMNUJPOG-GJZGRUSLSA-N 0 0 427.479 -0.259 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2C[C@@H](C(=O)OC)[C@H](C(=O)OC)C2)cc1 ZINC001479129290 1074658481 /nfs/dbraw/zinc/65/84/81/1074658481.db2.gz SDJVKXRMNUJPOG-HUUCEWRRSA-N 0 0 427.479 -0.259 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)NC[C@@]23COCCN2C(=O)COC3)cn1 ZINC001479131436 1074658417 /nfs/dbraw/zinc/65/84/17/1074658417.db2.gz DSRFMXMGFHNXPA-CYWPZFBISA-N 0 0 425.511 -0.654 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)NC[C@@]23COCCN2C(=O)COC3)cn1 ZINC001479131437 1074659029 /nfs/dbraw/zinc/65/90/29/1074659029.db2.gz DSRFMXMGFHNXPA-OTQAAAIFSA-N 0 0 425.511 -0.654 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)NC[C@]23COCCN2C(=O)COC3)cn1 ZINC001479131438 1074658981 /nfs/dbraw/zinc/65/89/81/1074658981.db2.gz DSRFMXMGFHNXPA-UZXAJXEFSA-N 0 0 425.511 -0.654 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)NC[C@]23COCCN2C(=O)COC3)cn1 ZINC001479131439 1074658949 /nfs/dbraw/zinc/65/89/49/1074658949.db2.gz DSRFMXMGFHNXPA-WHOVFKFCSA-N 0 0 425.511 -0.654 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001479131957 1074658954 /nfs/dbraw/zinc/65/89/54/1074658954.db2.gz LQLYDFJSJSEFCS-LAUBAEHRSA-N 0 0 434.493 -0.713 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001479131961 1074659025 /nfs/dbraw/zinc/65/90/25/1074659025.db2.gz LQLYDFJSJSEFCS-UWJYYQICSA-N 0 0 434.493 -0.713 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001479132688 1074658972 /nfs/dbraw/zinc/65/89/72/1074658972.db2.gz RYOJOKWOMLHUFM-IBGZPJMESA-N 0 0 440.522 -0.457 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCN(C)C(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001479132689 1074658961 /nfs/dbraw/zinc/65/89/61/1074658961.db2.gz RYOJOKWOMLHUFM-LJQANCHMSA-N 0 0 440.522 -0.457 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N(CCCN1CCOCC1)Cc1ccncc1 ZINC001479132707 1074658966 /nfs/dbraw/zinc/65/89/66/1074658966.db2.gz SLKXPISBBVICGC-JOCHJYFZSA-N 0 0 447.536 -0.057 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)N(CCCN1CCOCC1)Cc1ccncc1 ZINC001479132708 1074659009 /nfs/dbraw/zinc/65/90/09/1074659009.db2.gz SLKXPISBBVICGC-QFIPXVFZSA-N 0 0 447.536 -0.057 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)NC[C@@]12COCCN1C(=O)COC2 ZINC001479133341 1074658946 /nfs/dbraw/zinc/65/89/46/1074658946.db2.gz YIZLJYOBNZWLOG-BTYIYWSLSA-N 0 0 448.476 -0.762 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)NC[C@]12COCCN1C(=O)COC2 ZINC001479133342 1074658935 /nfs/dbraw/zinc/65/89/35/1074658935.db2.gz YIZLJYOBNZWLOG-QVKFZJNVSA-N 0 0 448.476 -0.762 20 0 IBADRN O=C(NC[C@@H](O)COc1ccc2c(c1)CCC(=O)N2)NC[C@@]12COCCN1C(=O)COC2 ZINC001479133343 1074658941 /nfs/dbraw/zinc/65/89/41/1074658941.db2.gz YIZLJYOBNZWLOG-VFNWGFHPSA-N 0 0 448.476 -0.762 20 0 IBADRN O=C(NC[C@H](O)COc1ccc2c(c1)CCC(=O)N2)NC[C@]12COCCN1C(=O)COC2 ZINC001479133344 1074659018 /nfs/dbraw/zinc/65/90/18/1074659018.db2.gz YIZLJYOBNZWLOG-YCRPNKLZSA-N 0 0 448.476 -0.762 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NC[C@@]34COC(=O)N3CCOC4)CC2)cc1 ZINC001479149806 1074659033 /nfs/dbraw/zinc/65/90/33/1074659033.db2.gz VQVWLPYYTRUEDV-GOSISDBHSA-N 0 0 439.494 -0.613 20 0 IBADRN NS(=O)(=O)c1ccc(N2CCN(C(=O)NC[C@]34COC(=O)N3CCOC4)CC2)cc1 ZINC001479149830 1074658986 /nfs/dbraw/zinc/65/89/86/1074658986.db2.gz VQVWLPYYTRUEDV-SFHVURJKSA-N 0 0 439.494 -0.613 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NC[C@@]23COC(=O)N2CCOC3)CC1 ZINC001479150527 1074659002 /nfs/dbraw/zinc/65/90/02/1074659002.db2.gz XSXPJLISTJYAFW-GOSISDBHSA-N 0 0 447.558 -0.098 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)NC[C@]23COC(=O)N2CCOC3)CC1 ZINC001479150528 1074658976 /nfs/dbraw/zinc/65/89/76/1074658976.db2.gz XSXPJLISTJYAFW-SFHVURJKSA-N 0 0 447.558 -0.098 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001479153484 1074658904 /nfs/dbraw/zinc/65/89/04/1074658904.db2.gz RAHGAFSLXVNTJB-INIZCTEOSA-N 0 0 429.477 -0.089 20 0 IBADRN O=C(NCc1ccc(CN2C(=O)CNC2=O)cc1)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001479153509 1074659559 /nfs/dbraw/zinc/65/95/59/1074659559.db2.gz RAHGAFSLXVNTJB-MRXNPFEDSA-N 0 0 429.477 -0.089 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCc2ccc(CN3C(=O)CNC3=O)cc2)CC1 ZINC001479154267 1074659466 /nfs/dbraw/zinc/65/94/66/1074659466.db2.gz ZYSXESPWANBWMH-UHFFFAOYSA-N 0 0 444.492 -0.382 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001479156471 1074659562 /nfs/dbraw/zinc/65/95/62/1074659562.db2.gz JWKJDEJZMFEBIS-FOIQADDNSA-N 0 0 428.530 -0.299 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001479156472 1074659553 /nfs/dbraw/zinc/65/95/53/1074659553.db2.gz JWKJDEJZMFEBIS-MGPUTAFESA-N 0 0 428.530 -0.299 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)N[C@@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001479156473 1074659521 /nfs/dbraw/zinc/65/95/21/1074659521.db2.gz JWKJDEJZMFEBIS-QRWLVFNGSA-N 0 0 428.530 -0.299 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)N[C@H]1CCCCN(CC(=O)OC(C)(C)C)C1=O ZINC001479156474 1074659454 /nfs/dbraw/zinc/65/94/54/1074659454.db2.gz JWKJDEJZMFEBIS-YWZLYKJASA-N 0 0 428.530 -0.299 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)N[C@@H]3COC(=O)C3)C2)CC1 ZINC001479161465 1074659444 /nfs/dbraw/zinc/65/94/44/1074659444.db2.gz OIDMTVJPWZREPL-LBPRGKRZSA-N 0 0 432.499 -0.422 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NC[C@]1(O)CCS(=O)(=O)C1 ZINC001479165015 1074659566 /nfs/dbraw/zinc/65/95/66/1074659566.db2.gz AEJRJDZDXPQEEU-LLVKDONJSA-N 0 0 428.252 -0.627 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NC[C@@]1(O)CCS(=O)(=O)C1 ZINC001479165017 1074659556 /nfs/dbraw/zinc/65/95/56/1074659556.db2.gz AEJRJDZDXPQEEU-NSHDSACASA-N 0 0 428.252 -0.627 20 0 IBADRN Cn1ncc(I)c1CNC(=O)N1CCS(=O)(=O)C[C@H]1CCO ZINC001479165425 1074659518 /nfs/dbraw/zinc/65/95/18/1074659518.db2.gz DAZNDFGFYNGNQB-SECBINFHSA-N 0 0 442.279 -0.284 20 0 IBADRN Cn1ncc(I)c1CNC(=O)N1CCS(=O)(=O)C[C@@H]1CCO ZINC001479165427 1074659525 /nfs/dbraw/zinc/65/95/25/1074659525.db2.gz DAZNDFGFYNGNQB-VIFPVBQESA-N 0 0 442.279 -0.284 20 0 IBADRN Cn1ncc(I)c1CNC(=O)NCC1(O)CCS(=O)(=O)CC1 ZINC001479166481 1074659481 /nfs/dbraw/zinc/65/94/81/1074659481.db2.gz KVKFAGKGCVCZQF-UHFFFAOYSA-N 0 0 442.279 -0.236 20 0 IBADRN COCCN(C(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001479177953 1074659460 /nfs/dbraw/zinc/65/94/60/1074659460.db2.gz NKNNELQGGPQXOQ-MSOLQXFVSA-N 0 0 432.587 -0.372 20 0 IBADRN COCCN(C(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001479177954 1074659533 /nfs/dbraw/zinc/65/95/33/1074659533.db2.gz NKNNELQGGPQXOQ-QZTJIDSGSA-N 0 0 432.587 -0.372 20 0 IBADRN COCCN(C(=O)N[C@H]1CCCN(CCN2CCOCC2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001479177956 1074659498 /nfs/dbraw/zinc/65/94/98/1074659498.db2.gz NKNNELQGGPQXOQ-ROUUACIJSA-N 0 0 432.587 -0.372 20 0 IBADRN COCCN(C(=O)N[C@H]1CCCN(CCN2CCOCC2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001479177957 1074659527 /nfs/dbraw/zinc/65/95/27/1074659527.db2.gz NKNNELQGGPQXOQ-ZWKOTPCHSA-N 0 0 432.587 -0.372 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)NCc1ccc(N3CCNC3=O)cc1)C2 ZINC001479178806 1074659989 /nfs/dbraw/zinc/65/99/89/1074659989.db2.gz AOFODLOIZXADTG-UHFFFAOYSA-N 0 0 442.480 -0.612 20 0 IBADRN CC1CCC(NC(=O)CN2CCN(C(=O)NCc3nnc4c(=O)n(C)ccn34)CC2)CC1 ZINC001479180482 1074660147 /nfs/dbraw/zinc/66/01/47/1074660147.db2.gz CRMDELULOMFFND-UHFFFAOYSA-N 0 0 444.540 -0.050 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCN(S(=O)(=O)c4ccc(F)cc4)CC3)nnc2c1=O ZINC001479180515 1074660019 /nfs/dbraw/zinc/66/00/19/1074660019.db2.gz FAXPJBBHIAAFBB-UHFFFAOYSA-N 0 0 449.468 -0.217 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCC[C@@H](C(=O)N[C@H]4CCC[C@H](O)C4)C3)nnc2c1=O ZINC001479180584 1074660181 /nfs/dbraw/zinc/66/01/81/1074660181.db2.gz GXTHFXYQXQRDTM-ILXRZTDVSA-N 0 0 431.497 -0.231 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCC[C@@H](C(=O)N[C@@H]4CCC[C@H](O)C4)C3)nnc2c1=O ZINC001479180585 1074660171 /nfs/dbraw/zinc/66/01/71/1074660171.db2.gz GXTHFXYQXQRDTM-KFWWJZLASA-N 0 0 431.497 -0.231 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCC[C@@H](C(=O)N[C@H]4CCC[C@@H](O)C4)C3)nnc2c1=O ZINC001479180586 1074660117 /nfs/dbraw/zinc/66/01/17/1074660117.db2.gz GXTHFXYQXQRDTM-QLFBSQMISA-N 0 0 431.497 -0.231 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCC[C@@H](C(=O)N[C@@H]4CCC[C@@H](O)C4)C3)nnc2c1=O ZINC001479180587 1074660155 /nfs/dbraw/zinc/66/01/55/1074660155.db2.gz GXTHFXYQXQRDTM-RBSFLKMASA-N 0 0 431.497 -0.231 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)cc1C ZINC001479190779 1074660639 /nfs/dbraw/zinc/66/06/39/1074660639.db2.gz FOGWESHAYGAPML-UHFFFAOYSA-N 0 0 447.521 -0.146 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@H](N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)C1 ZINC001479190960 1074660573 /nfs/dbraw/zinc/66/05/73/1074660573.db2.gz IMJFFFVBXKLOQS-AWEZNQCLSA-N 0 0 448.528 -0.142 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@H](N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)C1 ZINC001479190961 1074660532 /nfs/dbraw/zinc/66/05/32/1074660532.db2.gz IMJFFFVBXKLOQS-CQSZACIVSA-N 0 0 448.528 -0.142 20 0 IBADRN CN(CCN1CCN(C(=O)OC(C)(C)C)CC1)C(=O)NCc1nnc2n1CCNC2=O ZINC001479191607 1074660599 /nfs/dbraw/zinc/66/05/99/1074660599.db2.gz RANGORCZYRPMLU-UHFFFAOYSA-N 0 0 436.517 -0.284 20 0 IBADRN COc1cccc(CC(=O)N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)c1 ZINC001479191812 1074660462 /nfs/dbraw/zinc/66/04/62/1074660462.db2.gz VJOVOGNTGVVDDT-UHFFFAOYSA-N 0 0 427.465 -0.373 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCc3nnc4n3CCNC4=O)CC2)cc1 ZINC001479191833 1074660606 /nfs/dbraw/zinc/66/06/06/1074660606.db2.gz VXDDTZQPRBEVTC-UHFFFAOYSA-N 0 0 449.493 -0.754 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(S(=O)(=O)C2CN(C(=O)N[C@H]3CCOC3=O)C2)CC1 ZINC001479202861 1074660489 /nfs/dbraw/zinc/66/04/89/1074660489.db2.gz PLWUHNJOSYBXMN-ZDUSSCGKSA-N 0 0 432.499 -0.422 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCC2C[C@H]3CC[C@@H](C2)S3(=O)=O)CC1 ZINC001479219303 1074660647 /nfs/dbraw/zinc/66/06/47/1074660647.db2.gz XXSVOSFTXQNMOX-GOOCMWNKSA-N 0 0 440.522 -0.655 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCCN3CCc4ccccc43)CC2)CC1 ZINC001479262453 1074660516 /nfs/dbraw/zinc/66/05/16/1074660516.db2.gz ZDXHIPKYNZVDAN-UHFFFAOYSA-N 0 0 436.582 -0.132 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCC2(CC1)C[C@H](O)CNC2=O ZINC001479278486 1074660566 /nfs/dbraw/zinc/66/05/66/1074660566.db2.gz ATNCNCNAPUZWTQ-KRWDZBQOSA-N 0 0 445.520 -0.566 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCC2(CC1)C[C@@H](O)CNC2=O ZINC001479278494 1074660453 /nfs/dbraw/zinc/66/04/53/1074660453.db2.gz ATNCNCNAPUZWTQ-QGZVFWFLSA-N 0 0 445.520 -0.566 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCN(c2nncn2C)CC1 ZINC001479279074 1074660630 /nfs/dbraw/zinc/66/06/30/1074660630.db2.gz INJCPERKQUULGV-UHFFFAOYSA-N 0 0 428.497 -0.579 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N[C@H]1CCN(c2cc(=O)[nH]cn2)C1 ZINC001479279485 1074660505 /nfs/dbraw/zinc/66/05/05/1074660505.db2.gz QGYOWZADOVLGJR-INIZCTEOSA-N 0 0 441.492 -0.165 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1 ZINC001479279486 1074660473 /nfs/dbraw/zinc/66/04/73/1074660473.db2.gz QGYOWZADOVLGJR-MRXNPFEDSA-N 0 0 441.492 -0.165 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCN(C(C)(C)C(N)=O)CC1 ZINC001479279646 1074660552 /nfs/dbraw/zinc/66/05/52/1074660552.db2.gz SSXVBXVRVHXLBD-UHFFFAOYSA-N 0 0 432.525 -0.648 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc2ccccc2)CC1 ZINC001479279702 1074660558 /nfs/dbraw/zinc/66/05/58/1074660558.db2.gz VJXIBPFTBMLPGF-UHFFFAOYSA-N 0 0 449.508 -0.766 20 0 IBADRN Cn1cccc1C(=O)N1CCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC1 ZINC001479281793 1074660613 /nfs/dbraw/zinc/66/06/13/1074660613.db2.gz KTFCPMMYZIJWAT-UHFFFAOYSA-N 0 0 440.504 -0.075 20 0 IBADRN COc1ccnc(N2CCN(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)CC2)n1 ZINC001479282486 1074660623 /nfs/dbraw/zinc/66/06/23/1074660623.db2.gz CZNRBISEHOICCY-UHFFFAOYSA-N 0 0 441.492 -0.251 20 0 IBADRN CCN(CC)C(=O)CN1CCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC1 ZINC001479282497 1074660586 /nfs/dbraw/zinc/66/05/86/1074660586.db2.gz DFRYTFZFPVQUAN-UHFFFAOYSA-N 0 0 446.552 -0.385 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)N2CCN3[C@@H](CNS3(=O)=O)C2)cc1 ZINC001479283907 1074661110 /nfs/dbraw/zinc/66/11/10/1074661110.db2.gz CMYPMASQDKEOJM-HNNXBMFYSA-N 0 0 431.540 -0.878 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)N2CCN3[C@H](CNS3(=O)=O)C2)cc1 ZINC001479283946 1074661133 /nfs/dbraw/zinc/66/11/33/1074661133.db2.gz CMYPMASQDKEOJM-OAHLLOKOSA-N 0 0 431.540 -0.878 20 0 IBADRN O=C(CNC(=O)N1CCN(C(=O)N2CCOCC2)CC1)NCC(=O)NCc1ccccc1 ZINC001479284416 1074661139 /nfs/dbraw/zinc/66/11/39/1074661139.db2.gz SYRXLVSMILSDFK-UHFFFAOYSA-N 0 0 446.508 -0.802 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2cn(C(C)(C)C)c(=O)[nH]c2=O)CC1 ZINC001479285878 1074661210 /nfs/dbraw/zinc/66/12/10/1074661210.db2.gz DAWFCBLDSVXQAO-UHFFFAOYSA-N 0 0 445.542 -0.103 20 0 IBADRN COCCN1CN(C(=O)NCC[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1=O ZINC001479290484 1074661145 /nfs/dbraw/zinc/66/11/45/1074661145.db2.gz AJPKIBOABWXWOX-AWEZNQCLSA-N 0 0 448.542 -0.132 20 0 IBADRN COCCN1CN(C(=O)NCC[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1=O ZINC001479290491 1074661200 /nfs/dbraw/zinc/66/12/00/1074661200.db2.gz AJPKIBOABWXWOX-CQSZACIVSA-N 0 0 448.542 -0.132 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)N2CC(N3CC[C@@H](O)C3)C2)C1 ZINC001479290994 1074661118 /nfs/dbraw/zinc/66/11/18/1074661118.db2.gz IFDZQBYUSYNMBW-CVEARBPZSA-N 0 0 446.570 -0.129 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)N2CC(N3CC[C@@H](O)C3)C2)C1 ZINC001479290995 1074661075 /nfs/dbraw/zinc/66/10/75/1074661075.db2.gz IFDZQBYUSYNMBW-HZPDHXFCSA-N 0 0 446.570 -0.129 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)N2CC(S(C)(=O)=O)C2)C1 ZINC001479291363 1074661090 /nfs/dbraw/zinc/66/10/90/1074661090.db2.gz OIXKJSOBWXWIQI-GFCCVEGCSA-N 0 0 439.556 -0.151 20 0 IBADRN CC(C)(C)OC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)N2CC(S(C)(=O)=O)C2)C1 ZINC001479291365 1074661085 /nfs/dbraw/zinc/66/10/85/1074661085.db2.gz OIXKJSOBWXWIQI-LBPRGKRZSA-N 0 0 439.556 -0.151 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCCN2Cc3ccccc3OCC2=O)CC1 ZINC001479292701 1074661163 /nfs/dbraw/zinc/66/11/63/1074661163.db2.gz APKUWDPTDGMCIL-UHFFFAOYSA-N 0 0 433.509 -0.503 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCCN2Cc3ccccc3OCC2=O)CC1 ZINC001479294762 1074661224 /nfs/dbraw/zinc/66/12/24/1074661224.db2.gz SCXFGWVYEKOKFM-UHFFFAOYSA-N 0 0 431.493 -0.212 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCc3nc4c(s3)CCC4)CC2)CC1 ZINC001479316121 1074661204 /nfs/dbraw/zinc/66/12/04/1074661204.db2.gz BPRLHTZUIUCZPM-UHFFFAOYSA-N 0 0 428.584 -0.049 20 0 IBADRN CN(C)S(=O)(=O)C1(CNC(=O)N(C)CCOc2ccc(S(N)(=O)=O)cc2)CC1 ZINC001479333271 1074661153 /nfs/dbraw/zinc/66/11/53/1074661153.db2.gz UCZOZKCIULFLCP-UHFFFAOYSA-N 0 0 434.540 -0.222 20 0 IBADRN COc1ccccc1CCNC(=O)CNC(=O)NCCc1nnc2c(=O)n(C)ccn12 ZINC001479343084 1074661125 /nfs/dbraw/zinc/66/11/25/1074661125.db2.gz XKVIXNAJESQYOL-UHFFFAOYSA-N 0 0 427.465 -0.363 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)NCCc1nnc2c(=O)n(C)ccn12 ZINC001479343152 1074661229 /nfs/dbraw/zinc/66/12/29/1074661229.db2.gz YSESLJUCPKERJV-INIZCTEOSA-N 0 0 441.492 -0.356 20 0 IBADRN Cn1ccn2c(CCNC(=O)NCCNS(=O)(=O)Cc3ccccc3)nnc2c1=O ZINC001479343975 1074661762 /nfs/dbraw/zinc/66/17/62/1074661762.db2.gz KAIAUBNVPJYXGY-UHFFFAOYSA-N 0 0 433.494 -0.611 20 0 IBADRN CC(C)NS(=O)(=O)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC001479344249 1074661603 /nfs/dbraw/zinc/66/16/03/1074661603.db2.gz LURCIFDSNWDIEY-UHFFFAOYSA-N 0 0 447.583 -0.324 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)NCCNS(=O)(=O)Cc3ccccc3)C[C@H]21 ZINC001479346093 1074661554 /nfs/dbraw/zinc/66/15/54/1074661554.db2.gz UYQPZBZLUOUBMN-XHSDSOJGSA-N 0 0 431.536 -0.441 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)C[C@H]1C(=O)OC ZINC001479347701 1074661686 /nfs/dbraw/zinc/66/16/86/1074661686.db2.gz XRFJNCRVKNHWJK-GASCZTMLSA-N 0 0 427.479 -0.290 20 0 IBADRN COC(=O)[C@H]1CN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)C[C@@H]1C(=O)OC ZINC001479347705 1074661568 /nfs/dbraw/zinc/66/15/68/1074661568.db2.gz XRFJNCRVKNHWJK-GJZGRUSLSA-N 0 0 427.479 -0.290 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)C[C@H]1C(=O)OC ZINC001479347710 1074661537 /nfs/dbraw/zinc/66/15/37/1074661537.db2.gz XRFJNCRVKNHWJK-HUUCEWRRSA-N 0 0 427.479 -0.290 20 0 IBADRN CCOC(=O)N1C[C@H](NC(=O)NCCNS(=O)(=O)Cc2ccccc2)[C@@H](CO)C1 ZINC001479347768 1074661723 /nfs/dbraw/zinc/66/17/23/1074661723.db2.gz XYENPIMVEZLVMF-CVEARBPZSA-N 0 0 428.511 -0.146 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@@H](NC(=O)NCCNS(=O)(=O)Cc2ccccc2)C1 ZINC001479347783 1074661544 /nfs/dbraw/zinc/66/15/44/1074661544.db2.gz XYENPIMVEZLVMF-HOTGVXAUSA-N 0 0 428.511 -0.146 20 0 IBADRN CCOC(=O)N1C[C@H](CO)[C@H](NC(=O)NCCNS(=O)(=O)Cc2ccccc2)C1 ZINC001479347788 1074661738 /nfs/dbraw/zinc/66/17/38/1074661738.db2.gz XYENPIMVEZLVMF-HZPDHXFCSA-N 0 0 428.511 -0.146 20 0 IBADRN CCOC(=O)N1C[C@@H](CO)[C@H](NC(=O)NCCNS(=O)(=O)Cc2ccccc2)C1 ZINC001479347793 1074661745 /nfs/dbraw/zinc/66/17/45/1074661745.db2.gz XYENPIMVEZLVMF-JKSUJKDBSA-N 0 0 428.511 -0.146 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCCNS(=O)(=O)Cc2ccccc2)CC1 ZINC001479347855 1074661510 /nfs/dbraw/zinc/66/15/10/1074661510.db2.gz YVMFBJSVXZCLLT-UHFFFAOYSA-N 0 0 428.511 -0.421 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)NC[C@@H](O)CN1CCc2ccccc2C1 ZINC001479356939 1074661615 /nfs/dbraw/zinc/66/16/15/1074661615.db2.gz FMZWWQZWBXHYPX-MRXNPFEDSA-N 0 0 432.568 -0.238 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001479357321 1074661641 /nfs/dbraw/zinc/66/16/41/1074661641.db2.gz LLGOGYPWNQZMLJ-UHFFFAOYSA-N 0 0 431.558 -0.967 20 0 IBADRN CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cn1 ZINC001479357878 1074661525 /nfs/dbraw/zinc/66/15/25/1074661525.db2.gz SGYPSUJJBXTCSF-CANCMXDXSA-N 0 0 439.585 -0.225 20 0 IBADRN CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cn1 ZINC001479357879 1074661753 /nfs/dbraw/zinc/66/17/53/1074661753.db2.gz SGYPSUJJBXTCSF-SHZVTRLKSA-N 0 0 439.585 -0.225 20 0 IBADRN CCn1cc([C@H]2C[S@](=O)CCN2C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cn1 ZINC001479357880 1074661696 /nfs/dbraw/zinc/66/16/96/1074661696.db2.gz SGYPSUJJBXTCSF-YMXBGEKHSA-N 0 0 439.585 -0.225 20 0 IBADRN CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)NCCS(=O)(=O)N=S(C)(C)=O)cn1 ZINC001479357881 1074661595 /nfs/dbraw/zinc/66/15/95/1074661595.db2.gz SGYPSUJJBXTCSF-ZJWNCGCPSA-N 0 0 439.585 -0.225 20 0 IBADRN C[C@@H]1C[C@@]2(CC[C@@H](CNC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)O2)CO1 ZINC001479362337 1074661665 /nfs/dbraw/zinc/66/16/65/1074661665.db2.gz SQJFBEYIYAJUPO-AOIWGVFYSA-N 0 0 445.586 -0.468 20 0 IBADRN C[C@@H]1C[C@@]2(CC[C@H](CNC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)O2)CO1 ZINC001479362338 1074661705 /nfs/dbraw/zinc/66/17/05/1074661705.db2.gz SQJFBEYIYAJUPO-LMMKCTJWSA-N 0 0 445.586 -0.468 20 0 IBADRN C[C@@H]1C[C@]2(CC[C@H](CNC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)O2)CO1 ZINC001479362339 1074661573 /nfs/dbraw/zinc/66/15/73/1074661573.db2.gz SQJFBEYIYAJUPO-ZHALLVOQSA-N 0 0 445.586 -0.468 20 0 IBADRN C[C@@H]1C[C@]2(CC[C@@H](CNC(=O)N3CCN(S(=O)(=O)N4CCN(C)CC4)CC3)O2)CO1 ZINC001479362340 1074662189 /nfs/dbraw/zinc/66/21/89/1074662189.db2.gz SQJFBEYIYAJUPO-ZIFCJYIRSA-N 0 0 445.586 -0.468 20 0 IBADRN CCN1C(=O)[C@@H]2CN(C(=O)NCc3cn(CC(F)(F)F)c(=O)[nH]c3=O)CCN2C1=O ZINC001479364965 1074662181 /nfs/dbraw/zinc/66/21/81/1074662181.db2.gz NHMPWFGEDHSTBS-JTQLQIEISA-N 0 0 432.359 -0.311 20 0 IBADRN CCN1C(=O)[C@H]2CN(C(=O)NCc3cn(CC(F)(F)F)c(=O)[nH]c3=O)CCN2C1=O ZINC001479364971 1074662065 /nfs/dbraw/zinc/66/20/65/1074662065.db2.gz NHMPWFGEDHSTBS-SNVBAGLBSA-N 0 0 432.359 -0.311 20 0 IBADRN O=C(NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001479365455 1074662112 /nfs/dbraw/zinc/66/21/12/1074662112.db2.gz OULWHOFECQAYMV-AWEZNQCLSA-N 0 0 440.400 -0.390 20 0 IBADRN O=C(NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001479365457 1074662071 /nfs/dbraw/zinc/66/20/71/1074662071.db2.gz OULWHOFECQAYMV-CQSZACIVSA-N 0 0 440.400 -0.390 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCc2cn(CC(F)(F)F)c(=O)[nH]c2=O)CC1 ZINC001479365937 1074662214 /nfs/dbraw/zinc/66/22/14/1074662214.db2.gz RYRKZGATUXLNBA-UHFFFAOYSA-N 0 0 437.375 -0.516 20 0 IBADRN O=C(NCc1cn(CC(F)(F)F)c(=O)[nH]c1=O)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001479366395 1074662039 /nfs/dbraw/zinc/66/20/39/1074662039.db2.gz YLQXCDFORWOVSK-UHFFFAOYSA-N 0 0 439.416 -0.169 20 0 IBADRN CO[C@]1(CNC(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)CCS(=O)(=O)C1 ZINC001479381717 1074662227 /nfs/dbraw/zinc/66/22/27/1074662227.db2.gz QESALZFDHYAMIA-KRWDZBQOSA-N 0 0 429.499 -0.548 20 0 IBADRN CO[C@@]1(CNC(=O)N2CCN(CC(=O)Nc3cc(C)on3)CC2)CCS(=O)(=O)C1 ZINC001479381718 1074662292 /nfs/dbraw/zinc/66/22/92/1074662292.db2.gz QESALZFDHYAMIA-QGZVFWFLSA-N 0 0 429.499 -0.548 20 0 IBADRN O=C(NCCN1CCC2(C1)OCCO2)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001479391270 1074662013 /nfs/dbraw/zinc/66/20/13/1074662013.db2.gz BUXCAYNCXHNPEC-INIZCTEOSA-N 0 0 432.543 -0.729 20 0 IBADRN O=C(NCCN1CCC2(C1)OCCO2)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001479391272 1074662026 /nfs/dbraw/zinc/66/20/26/1074662026.db2.gz BUXCAYNCXHNPEC-MRXNPFEDSA-N 0 0 432.543 -0.729 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NCCN2CCC3(C2)OCCO3)CC1 ZINC001479391419 1074662075 /nfs/dbraw/zinc/66/20/75/1074662075.db2.gz FDRNEMQVSYLKHF-UHFFFAOYSA-N 0 0 443.526 -0.244 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCN3CCC4(C3)OCCO4)CC2)cn1C ZINC001479391710 1074662119 /nfs/dbraw/zinc/66/21/19/1074662119.db2.gz HNLBHHDSDAEHRH-UHFFFAOYSA-N 0 0 442.542 -0.807 20 0 IBADRN O=C(CCNC(=O)NCCN1CCC2(C1)OCCO2)N1CCN(c2ncccn2)CC1 ZINC001479392682 1074662241 /nfs/dbraw/zinc/66/22/41/1074662241.db2.gz UJXVJVVWKZRFNC-UHFFFAOYSA-N 0 0 433.513 -0.737 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)N2CCC(C(=O)NCC(F)(F)F)CC2)n1 ZINC001479428263 1074662263 /nfs/dbraw/zinc/66/22/63/1074662263.db2.gz LYVYJHMWVMOBME-UHFFFAOYSA-N 0 0 426.421 -0.051 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)n1 ZINC001479428627 1074662048 /nfs/dbraw/zinc/66/20/48/1074662048.db2.gz OVSMFLLAMSVYIC-NXEZZACHSA-N 0 0 438.432 -0.099 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)n1 ZINC001479428746 1074662202 /nfs/dbraw/zinc/66/22/02/1074662202.db2.gz OVSMFLLAMSVYIC-UWVGGRQHSA-N 0 0 438.432 -0.099 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)N2CC[C@H]3CN(C(=O)C(F)(F)F)C[C@H]3C2)n1 ZINC001479428748 1074662093 /nfs/dbraw/zinc/66/20/93/1074662093.db2.gz OVSMFLLAMSVYIC-VHSXEESVSA-N 0 0 438.432 -0.099 20 0 IBADRN CS(=O)(=O)Cc1n[nH]c(CNC(=O)N2CC[C@@H]3CN(C(=O)C(F)(F)F)C[C@@H]3C2)n1 ZINC001479428750 1074662733 /nfs/dbraw/zinc/66/27/33/1074662733.db2.gz OVSMFLLAMSVYIC-ZJUUUORDSA-N 0 0 438.432 -0.099 20 0 IBADRN Cc1cc(NC(=O)CN2CCN(C(=O)NCCS(=O)(=O)N3CCCC3)CC2)no1 ZINC001479430012 1074662743 /nfs/dbraw/zinc/66/27/43/1074662743.db2.gz IBJQWDGCAQEEBS-UHFFFAOYSA-N 0 0 428.515 -0.326 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NCCS(=O)(=O)N3CCCC3)CC2)CC1 ZINC001479430314 1074662751 /nfs/dbraw/zinc/66/27/51/1074662751.db2.gz OUUVDDHNUMVICJ-UHFFFAOYSA-N 0 0 443.570 -0.443 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCS(=O)(=O)N3CCCC3)CC2)cn1C ZINC001479430387 1074662802 /nfs/dbraw/zinc/66/28/02/1074662802.db2.gz QXRHMJDVUYLLKN-UHFFFAOYSA-N 0 0 448.571 -0.830 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CCO1 ZINC001479497445 1074662669 /nfs/dbraw/zinc/66/26/69/1074662669.db2.gz BWULDKMQKVDPJP-GDBMZVCRSA-N 0 0 439.513 -0.247 20 0 IBADRN CC(=O)NC[C@@H]1CN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CCO1 ZINC001479497448 1074662786 /nfs/dbraw/zinc/66/27/86/1074662786.db2.gz BWULDKMQKVDPJP-GOEBONIOSA-N 0 0 439.513 -0.247 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CCO1 ZINC001479497449 1074662709 /nfs/dbraw/zinc/66/27/09/1074662709.db2.gz BWULDKMQKVDPJP-HOCLYGCPSA-N 0 0 439.513 -0.247 20 0 IBADRN CC(=O)NC[C@H]1CN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CCO1 ZINC001479497450 1074662812 /nfs/dbraw/zinc/66/28/12/1074662812.db2.gz BWULDKMQKVDPJP-ZBFHGGJFSA-N 0 0 439.513 -0.247 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001479498656 1074662764 /nfs/dbraw/zinc/66/27/64/1074662764.db2.gz FLYDFXPZXYKQPM-JLTOFOAXSA-N 0 0 441.529 -0.801 20 0 IBADRN CN1CCOC[C@]1(CO)CNC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001479498664 1074662778 /nfs/dbraw/zinc/66/27/78/1074662778.db2.gz FLYDFXPZXYKQPM-VBKZILBWSA-N 0 0 441.529 -0.801 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001479498665 1074662717 /nfs/dbraw/zinc/66/27/17/1074662717.db2.gz FLYDFXPZXYKQPM-VLIAUNLRSA-N 0 0 441.529 -0.801 20 0 IBADRN CN1CCOC[C@@]1(CO)CNC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)OC(C)(C)C)C2)C1 ZINC001479498667 1074662699 /nfs/dbraw/zinc/66/26/99/1074662699.db2.gz FLYDFXPZXYKQPM-XOBRGWDASA-N 0 0 441.529 -0.801 20 0 IBADRN COCC(=O)N1CCN(C(=O)N[C@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001479499242 1074662790 /nfs/dbraw/zinc/66/27/90/1074662790.db2.gz URIRCWVDCUYTCY-AWEZNQCLSA-N 0 0 439.513 -0.293 20 0 IBADRN COCC(=O)N1CCN(C(=O)N[C@@H]2CC(=O)N(C3CN(C(=O)OC(C)(C)C)C3)C2)CC1 ZINC001479499243 1074662756 /nfs/dbraw/zinc/66/27/56/1074662756.db2.gz URIRCWVDCUYTCY-CQSZACIVSA-N 0 0 439.513 -0.293 20 0 IBADRN O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)NCc2ncc(Br)cn2)CC1 ZINC001479500601 1074662814 /nfs/dbraw/zinc/66/28/14/1074662814.db2.gz XUFKQMWRFCTPTP-UHFFFAOYSA-N 0 0 439.270 -0.258 20 0 IBADRN O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001479510448 1074662797 /nfs/dbraw/zinc/66/27/97/1074662797.db2.gz VVKZQHSKGLEZNC-CABCVRRESA-N 0 0 440.526 -0.403 20 0 IBADRN O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001479510449 1074662805 /nfs/dbraw/zinc/66/28/05/1074662805.db2.gz VVKZQHSKGLEZNC-GJZGRUSLSA-N 0 0 440.526 -0.403 20 0 IBADRN O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001479510452 1074662680 /nfs/dbraw/zinc/66/26/80/1074662680.db2.gz VVKZQHSKGLEZNC-HUUCEWRRSA-N 0 0 440.526 -0.403 20 0 IBADRN O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001479510454 1074662771 /nfs/dbraw/zinc/66/27/71/1074662771.db2.gz VVKZQHSKGLEZNC-LSDHHAIUSA-N 0 0 440.526 -0.403 20 0 IBADRN O=C(NCCCn1ccc(=O)[nH]c1=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001479532647 1074662724 /nfs/dbraw/zinc/66/27/24/1074662724.db2.gz SYNKKCHFHIFSEH-AWEZNQCLSA-N 0 0 429.499 -0.825 20 0 IBADRN O=C(NCCCn1ccc(=O)[nH]c1=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001479532650 1074663274 /nfs/dbraw/zinc/66/32/74/1074663274.db2.gz SYNKKCHFHIFSEH-CQSZACIVSA-N 0 0 429.499 -0.825 20 0 IBADRN O=C(NCCCn1ccc(=O)[nH]c1=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001479532689 1074663324 /nfs/dbraw/zinc/66/33/24/1074663324.db2.gz UBSQOMGCSVYWAL-UHFFFAOYSA-N 0 0 446.489 -0.185 20 0 IBADRN Cc1nc(S(=O)(=O)N2CCN(C(=O)NCCCn3ccc(=O)[nH]c3=O)CC2)cs1 ZINC001479533854 1074663397 /nfs/dbraw/zinc/66/33/97/1074663397.db2.gz ZUULCWQSSKODJT-UHFFFAOYSA-N 0 0 442.523 -0.180 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCOC34CCOCC4)CC2)CC1 ZINC001479538424 1074663417 /nfs/dbraw/zinc/66/34/17/1074663417.db2.gz LVNRQBFXBGTWFV-INIZCTEOSA-N 0 0 431.559 -0.856 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCOC34CCOCC4)CC2)CC1 ZINC001479538425 1074663358 /nfs/dbraw/zinc/66/33/58/1074663358.db2.gz LVNRQBFXBGTWFV-MRXNPFEDSA-N 0 0 431.559 -0.856 20 0 IBADRN CC(C)(C)OC(=O)COCCOCCNC(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001479545333 1074663353 /nfs/dbraw/zinc/66/33/53/1074663353.db2.gz ROUWWVJKYGCDES-GOSISDBHSA-N 0 0 436.527 -0.040 20 0 IBADRN CC(C)(C)OC(=O)COCCOCCNC(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001479545335 1074663347 /nfs/dbraw/zinc/66/33/47/1074663347.db2.gz ROUWWVJKYGCDES-SFHVURJKSA-N 0 0 436.527 -0.040 20 0 IBADRN CN(C)S(=O)(=O)N1CCN(C(=O)NCCOCCOCC(=O)OC(C)(C)C)CC1 ZINC001479545702 1074663315 /nfs/dbraw/zinc/66/33/15/1074663315.db2.gz WIDAFVKTRBGMBR-UHFFFAOYSA-N 0 0 438.547 -0.505 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)NCc3cn(C)c(=O)[nH]c3=O)CC2)cc1 ZINC001479616667 1074663340 /nfs/dbraw/zinc/66/33/40/1074663340.db2.gz XFUYVBWOVKJBGB-UHFFFAOYSA-N 0 0 437.478 -0.289 20 0 IBADRN CN(C)S(=O)(=O)N(C)CC(=O)N1C[C@@H]2CCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H]2C1 ZINC001489259507 1074415997 /nfs/dbraw/zinc/41/59/97/1074415997.db2.gz SHUSWRBHZIRFSA-CQZDKXCPSA-N 0 0 426.539 -0.793 20 0 IBADRN Cn1cc([C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@H]2NC(=O)CCn2cncn2)cn1 ZINC001489913459 1074415942 /nfs/dbraw/zinc/41/59/42/1074415942.db2.gz KZHLVECXROEIGL-XWEXYQFDSA-N 0 0 425.493 -0.064 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1CC[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@@H]2C1 ZINC001490044517 1074415953 /nfs/dbraw/zinc/41/59/53/1074415953.db2.gz NBPCVGZCOUALLD-DDVYYSQMSA-N 0 0 425.551 -0.002 20 0 IBADRN CCS(=O)(=O)N(C)CC(=O)N1C[C@@H]2CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C[C@]2(C)C1 ZINC001490232316 1074416502 /nfs/dbraw/zinc/41/65/02/1074416502.db2.gz GAKYTPSHWPLZQH-RRBDSEFYSA-N 0 0 425.551 -0.002 20 0 IBADRN Cc1ccc(Cn2c(CN3CCCC3=O)nnc2N2CCS(=O)(=O)CC2)c(=O)n1C ZINC001492200681 1073847899 /nfs/dbraw/zinc/84/78/99/1073847899.db2.gz FYMZWQUPWDTWKJ-UHFFFAOYSA-N 0 0 434.522 -0.309 20 0 IBADRN Cc1ccc(OCc2nnc(N3CCNC(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)cc1 ZINC001492391708 1073847931 /nfs/dbraw/zinc/84/79/31/1073847931.db2.gz UWJORAYWYGAOGA-IBGZPJMESA-N 0 0 435.506 -0.349 20 0 IBADRN Cc1ccc(OCc2nnc(N3CCNC(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)cc1 ZINC001492391742 1073847976 /nfs/dbraw/zinc/84/79/76/1073847976.db2.gz UWJORAYWYGAOGA-LJQANCHMSA-N 0 0 435.506 -0.349 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCn2c(C)nnc2N(C)CCNS(C)(=O)=O)s1 ZINC001492437443 1073847774 /nfs/dbraw/zinc/84/77/74/1073847774.db2.gz ANVMULVLXATSKL-UHFFFAOYSA-N 0 0 436.585 -0.080 20 0 IBADRN Cc1cccc(C(=O)NCCn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)C2)c1 ZINC001492478091 1073847875 /nfs/dbraw/zinc/84/78/75/1073847875.db2.gz CRZSGJBFYWWLHK-INIZCTEOSA-N 0 0 446.533 -0.145 20 0 IBADRN Cc1cccc(C(=O)NCCn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)C2)c1 ZINC001492478094 1073847950 /nfs/dbraw/zinc/84/79/50/1073847950.db2.gz CRZSGJBFYWWLHK-MRXNPFEDSA-N 0 0 446.533 -0.145 20 0 IBADRN Cc1cccc(-c2nnc(N3CCC(OCC(N)=O)CC3)n2CC(=O)N2CCOCC2)n1 ZINC001492511099 1074294019 /nfs/dbraw/zinc/29/40/19/1074294019.db2.gz NBMRHLSIHJKOMY-UHFFFAOYSA-N 0 0 443.508 -0.022 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN(C)C(=O)[C@H]3C)n2C[C@]2(O)CCS(=O)(=O)C2)n1 ZINC001492517728 1074298692 /nfs/dbraw/zinc/29/86/92/1074298692.db2.gz CWLXLUDOPYYYKL-AUUYWEPGSA-N 0 0 434.522 -0.135 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2C[C@]2(O)CCS(=O)(=O)C2)n1 ZINC001492517729 1074299195 /nfs/dbraw/zinc/29/91/95/1074299195.db2.gz CWLXLUDOPYYYKL-IFXJQAMLSA-N 0 0 434.522 -0.135 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN(C)C(=O)[C@H]3C)n2C[C@@]2(O)CCS(=O)(=O)C2)n1 ZINC001492517730 1074299206 /nfs/dbraw/zinc/29/92/06/1074299206.db2.gz CWLXLUDOPYYYKL-KUHUBIRLSA-N 0 0 434.522 -0.135 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2C[C@@]2(O)CCS(=O)(=O)C2)n1 ZINC001492517731 1074299281 /nfs/dbraw/zinc/29/92/81/1074299281.db2.gz CWLXLUDOPYYYKL-LIRRHRJNSA-N 0 0 434.522 -0.135 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCSCCCO)n1 ZINC001492519860 1074299628 /nfs/dbraw/zinc/29/96/28/1074299628.db2.gz YPNSBJJOIAZFNX-HNNXBMFYSA-N 0 0 445.549 -0.089 20 0 IBADRN Cc1cccc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCSCCCO)n1 ZINC001492519861 1074299670 /nfs/dbraw/zinc/29/96/70/1074299670.db2.gz YPNSBJJOIAZFNX-OAHLLOKOSA-N 0 0 445.549 -0.089 20 0 IBADRN Cc1cccc(-c2nnc(N3CCS(=O)(=O)CC3)n2CCC[C@@H]2NC(=O)NC2=O)n1 ZINC001492521945 1074300617 /nfs/dbraw/zinc/30/06/17/1074300617.db2.gz YZYMUFMNZOTWQL-AWEZNQCLSA-N 0 0 433.494 -0.128 20 0 IBADRN Cc1cccc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)c1 ZINC001492533563 1074315459 /nfs/dbraw/zinc/31/54/59/1074315459.db2.gz WRDNQFOLQKBEGU-INIZCTEOSA-N 0 0 425.493 -0.080 20 0 IBADRN Cc1cccc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)c1 ZINC001492533584 1074316250 /nfs/dbraw/zinc/31/62/50/1074316250.db2.gz WRDNQFOLQKBEGU-MRXNPFEDSA-N 0 0 425.493 -0.080 20 0 IBADRN Cc1cccnc1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001492605443 1074405879 /nfs/dbraw/zinc/40/58/79/1074405879.db2.gz BXEWGDNUWZINLI-INIZCTEOSA-N 0 0 449.537 -0.359 20 0 IBADRN Cc1cccnc1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001492605444 1074405819 /nfs/dbraw/zinc/40/58/19/1074405819.db2.gz BXEWGDNUWZINLI-MRXNPFEDSA-N 0 0 449.537 -0.359 20 0 IBADRN Cc1cnn(CCn2c([C@H](C)NS(C)(=O)=O)nnc2N(C)CCN2CCOCC2)c1 ZINC001492623537 1074405833 /nfs/dbraw/zinc/40/58/33/1074405833.db2.gz GSTKCEQDVLAPCC-INIZCTEOSA-N 0 0 440.574 -0.138 20 0 IBADRN Cc1cnn(CCn2c([C@@H](C)NS(C)(=O)=O)nnc2N(C)CCN2CCOCC2)c1 ZINC001492623538 1074405873 /nfs/dbraw/zinc/40/58/73/1074405873.db2.gz GSTKCEQDVLAPCC-MRXNPFEDSA-N 0 0 440.574 -0.138 20 0 IBADRN Cc1nc(Cc2nnc(N(C)CCS(C)(=O)=O)n2CC(=O)N2CCOCC2)cs1 ZINC001492669109 1074406400 /nfs/dbraw/zinc/40/64/00/1074406400.db2.gz JOGXTNKNFXQNSB-UHFFFAOYSA-N 0 0 442.567 -0.027 20 0 IBADRN Cc1nc(Cc2nnc(N(C)CCNS(C)(=O)=O)n2C[C@H]2CCC(=O)N2)cs1 ZINC001492669265 1074406301 /nfs/dbraw/zinc/40/63/01/1074406301.db2.gz NYZNRRFWGDHREY-GFCCVEGCSA-N 0 0 427.556 -0.102 20 0 IBADRN Cc1nc(Cc2nnc(N3CCC(C(N)=O)CC3)n2CCNS(C)(=O)=O)cs1 ZINC001492672969 1074406291 /nfs/dbraw/zinc/40/62/91/1074406291.db2.gz IBCDSEOJUWZSIG-UHFFFAOYSA-N 0 0 427.556 -0.115 20 0 IBADRN Cc1nc(Cc2nnc(N3CCN4C(=O)CC[C@H]4C3)n2CCNS(C)(=O)=O)cs1 ZINC001492681760 1074406314 /nfs/dbraw/zinc/40/63/14/1074406314.db2.gz GOVUQZSGJYCGAT-AWEZNQCLSA-N 0 0 439.567 -0.006 20 0 IBADRN Cc1nc(Cc2nnc(N3CCN4C(=O)CC[C@@H]4C3)n2CCNS(C)(=O)=O)cs1 ZINC001492681761 1074406359 /nfs/dbraw/zinc/40/63/59/1074406359.db2.gz GOVUQZSGJYCGAT-CQSZACIVSA-N 0 0 439.567 -0.006 20 0 IBADRN Cc1nc(Cc2nnc(N3CCNC(=O)CC3)n2CCS(=O)(=O)N(C)C)cs1 ZINC001492681918 1074406277 /nfs/dbraw/zinc/40/62/77/1074406277.db2.gz KYXQVYBLZFXCRD-UHFFFAOYSA-N 0 0 427.556 -0.148 20 0 IBADRN Cc1nc(Cc2nnc(N3CCNC(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)cs1 ZINC001492682042 1074406465 /nfs/dbraw/zinc/40/64/65/1074406465.db2.gz NQYHHZLHXSXKEQ-INIZCTEOSA-N 0 0 426.524 -0.880 20 0 IBADRN Cc1nc(Cc2nnc(N3CCNC(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)cs1 ZINC001492682043 1074406324 /nfs/dbraw/zinc/40/63/24/1074406324.db2.gz NQYHHZLHXSXKEQ-MRXNPFEDSA-N 0 0 426.524 -0.880 20 0 IBADRN Cc1nc(Cc2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CCS(C)(=O)=O)cs1 ZINC001492682284 1074406517 /nfs/dbraw/zinc/40/65/17/1074406517.db2.gz UDFGOWOKPBOSSW-CYBMUJFWSA-N 0 0 425.540 -0.108 20 0 IBADRN Cc1nc(Cc2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CCS(C)(=O)=O)cs1 ZINC001492682285 1074406410 /nfs/dbraw/zinc/40/64/10/1074406410.db2.gz UDFGOWOKPBOSSW-ZDUSSCGKSA-N 0 0 425.540 -0.108 20 0 IBADRN Cc1nc(Cc2nnc(N3CCNC(=O)C3)n2CCN2CCCS2(=O)=O)cs1 ZINC001492682510 1074406490 /nfs/dbraw/zinc/40/64/90/1074406490.db2.gz ZUQBDKVBOSKEAJ-UHFFFAOYSA-N 0 0 425.540 -0.394 20 0 IBADRN Cc1nc(Cc2nnc(N3CCS(=O)(=O)CC3)n2CC(=O)N2CCOCC2)cs1 ZINC001492682708 1074406385 /nfs/dbraw/zinc/40/63/85/1074406385.db2.gz DWKYGOFCVXKXGW-UHFFFAOYSA-N 0 0 440.551 -0.273 20 0 IBADRN Cc1nc(CCn2c(C(=O)N3CCCC3)nnc2N(C)CC(=O)N2CCOCC2)n[nH]1 ZINC001492684672 1074406500 /nfs/dbraw/zinc/40/65/00/1074406500.db2.gz GUBAFJXRMNCYEB-UHFFFAOYSA-N 0 0 431.501 -0.522 20 0 IBADRN Cc1nc(CCn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)n[nH]1 ZINC001492690306 1074406367 /nfs/dbraw/zinc/40/63/67/1074406367.db2.gz KOHXGYJQYMCUFY-CYBMUJFWSA-N 0 0 426.503 -0.883 20 0 IBADRN Cc1nc(CCn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCOCC2)n[nH]1 ZINC001492690310 1074406341 /nfs/dbraw/zinc/40/63/41/1074406341.db2.gz KOHXGYJQYMCUFY-ZDUSSCGKSA-N 0 0 426.503 -0.883 20 0 IBADRN Cc1nc(CCn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)CCN2CCOCC2)n[nH]1 ZINC001492690487 1074406426 /nfs/dbraw/zinc/40/64/26/1074406426.db2.gz MRIJFZVHGMXYRY-HNNXBMFYSA-N 0 0 438.558 -0.382 20 0 IBADRN Cc1nc(CCn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)CCN2CCOCC2)n[nH]1 ZINC001492690490 1074406473 /nfs/dbraw/zinc/40/64/73/1074406473.db2.gz MRIJFZVHGMXYRY-OAHLLOKOSA-N 0 0 438.558 -0.382 20 0 IBADRN Cc1ncc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)s1 ZINC001492699380 1074406259 /nfs/dbraw/zinc/40/62/59/1074406259.db2.gz GSXVCBATIQMCDH-GFCCVEGCSA-N 0 0 432.510 -0.623 20 0 IBADRN Cc1ncc(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)s1 ZINC001492699381 1074406332 /nfs/dbraw/zinc/40/63/32/1074406332.db2.gz GSXVCBATIQMCDH-LBPRGKRZSA-N 0 0 432.510 -0.623 20 0 IBADRN Cc1ncc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N(C)CCNS(C)(=O)=O)s1 ZINC001492701247 1074406451 /nfs/dbraw/zinc/40/64/51/1074406451.db2.gz PHRBXPRDCCDRIZ-GFCCVEGCSA-N 0 0 448.596 -0.021 20 0 IBADRN Cc1ncc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N(C)CCNS(C)(=O)=O)s1 ZINC001492701248 1074406969 /nfs/dbraw/zinc/40/69/69/1074406969.db2.gz PHRBXPRDCCDRIZ-LBPRGKRZSA-N 0 0 448.596 -0.021 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001492709482 1074406902 /nfs/dbraw/zinc/40/69/02/1074406902.db2.gz KKKPMZZHBWPZNJ-AEGPPILISA-N 0 0 436.542 -0.192 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001492709483 1074406974 /nfs/dbraw/zinc/40/69/74/1074406974.db2.gz KKKPMZZHBWPZNJ-CFVMTHIKSA-N 0 0 436.542 -0.192 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001492709484 1074406841 /nfs/dbraw/zinc/40/68/41/1074406841.db2.gz KKKPMZZHBWPZNJ-NWANDNLSSA-N 0 0 436.542 -0.192 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001492709485 1074406962 /nfs/dbraw/zinc/40/69/62/1074406962.db2.gz KKKPMZZHBWPZNJ-QEJZJMRPSA-N 0 0 436.542 -0.192 20 0 IBADRN Cc1nccn1CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001492709650 1074406861 /nfs/dbraw/zinc/40/68/61/1074406861.db2.gz PIJBLJQABYOXJP-ACJLOTCBSA-N 0 0 436.542 -0.048 20 0 IBADRN Cc1nccn1CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001492709651 1074406875 /nfs/dbraw/zinc/40/68/75/1074406875.db2.gz PIJBLJQABYOXJP-FZKQIMNGSA-N 0 0 436.542 -0.048 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001492709652 1074406950 /nfs/dbraw/zinc/40/69/50/1074406950.db2.gz PIJBLJQABYOXJP-SCLBCKFNSA-N 0 0 436.542 -0.048 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001492709653 1074406819 /nfs/dbraw/zinc/40/68/19/1074406819.db2.gz PIJBLJQABYOXJP-UGSOOPFHSA-N 0 0 436.542 -0.048 20 0 IBADRN Cc1nccn1CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@H]2C1 ZINC001492709763 1074406978 /nfs/dbraw/zinc/40/69/78/1074406978.db2.gz TXSOTONCKXFCHK-GDBMZVCRSA-N 0 0 438.558 -0.386 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@H]2C1 ZINC001492709764 1074407019 /nfs/dbraw/zinc/40/70/19/1074407019.db2.gz TXSOTONCKXFCHK-GOEBONIOSA-N 0 0 438.558 -0.386 20 0 IBADRN Cc1nccn1CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001492709765 1074406929 /nfs/dbraw/zinc/40/69/29/1074406929.db2.gz TXSOTONCKXFCHK-HOCLYGCPSA-N 0 0 438.558 -0.386 20 0 IBADRN Cc1nccn1CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001492709766 1074406998 /nfs/dbraw/zinc/40/69/98/1074406998.db2.gz TXSOTONCKXFCHK-ZBFHGGJFSA-N 0 0 438.558 -0.386 20 0 IBADRN Cc1nccnc1CCn1c(C(=O)NC(C)C)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001492712770 1074406891 /nfs/dbraw/zinc/40/68/91/1074406891.db2.gz NQFDCHOXJZSOAM-UHFFFAOYSA-N 0 0 436.542 -0.161 20 0 IBADRN Cc1nccnc1N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CC2)CC1 ZINC001492715729 1074406856 /nfs/dbraw/zinc/40/68/56/1074406856.db2.gz JAHLUUDPHWQLTR-AWEZNQCLSA-N 0 0 436.542 -0.162 20 0 IBADRN Cc1nccnc1N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CC2)CC1 ZINC001492715730 1074406804 /nfs/dbraw/zinc/40/68/04/1074406804.db2.gz JAHLUUDPHWQLTR-CQSZACIVSA-N 0 0 436.542 -0.162 20 0 IBADRN Cc1nccnc1N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCOC2)CC1 ZINC001492715853 1074406921 /nfs/dbraw/zinc/40/69/21/1074406921.db2.gz NQXMIKXVMFIRDA-FQEVSTJZSA-N 0 0 430.513 -0.007 20 0 IBADRN Cc1nccnc1N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCOC2)CC1 ZINC001492715854 1074407039 /nfs/dbraw/zinc/40/70/39/1074407039.db2.gz NQXMIKXVMFIRDA-HXUWFJFHSA-N 0 0 430.513 -0.007 20 0 IBADRN Cc1nccnc1N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001492716814 1074406830 /nfs/dbraw/zinc/40/68/30/1074406830.db2.gz YQLFCLGGILVFRK-HNNXBMFYSA-N 0 0 448.553 -0.307 20 0 IBADRN Cc1nccnc1N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001492716815 1074406913 /nfs/dbraw/zinc/40/69/13/1074406913.db2.gz YQLFCLGGILVFRK-OAHLLOKOSA-N 0 0 448.553 -0.307 20 0 IBADRN Cc1nn(C)c(C)c1CN(C)c1nnc(CN2CCCC2=O)n1CCNS(C)(=O)=O ZINC001492719055 1074407189 /nfs/dbraw/zinc/40/71/89/1074407189.db2.gz YAVWCXLXPRBJPJ-UHFFFAOYSA-N 0 0 438.558 -0.064 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)NC[C@@H]3C2)n(C)n1 ZINC001492723988 1074407313 /nfs/dbraw/zinc/40/73/13/1074407313.db2.gz HSKDFCGMFBRZMK-GXTWGEPZSA-N 0 0 437.530 -0.808 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)NC[C@H]3C2)n(C)n1 ZINC001492723989 1074407356 /nfs/dbraw/zinc/40/73/56/1074407356.db2.gz HSKDFCGMFBRZMK-JSGCOSHPSA-N 0 0 437.530 -0.808 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)NC[C@H]3C2)n(C)n1 ZINC001492723990 1074407391 /nfs/dbraw/zinc/40/73/91/1074407391.db2.gz HSKDFCGMFBRZMK-OCCSQVGLSA-N 0 0 437.530 -0.808 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)NC[C@@H]3C2)n(C)n1 ZINC001492723991 1074407347 /nfs/dbraw/zinc/40/73/47/1074407347.db2.gz HSKDFCGMFBRZMK-TZMCWYRMSA-N 0 0 437.530 -0.808 20 0 IBADRN Cc1cc(Cn2c(C(=O)NC(C)C)nnc2N2CCN(CC(=O)N(C)C)CC2)n(C)n1 ZINC001492724074 1074407335 /nfs/dbraw/zinc/40/73/35/1074407335.db2.gz KQEYJDIZZUCAQL-UHFFFAOYSA-N 0 0 431.545 -0.283 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC[C@@H](S(C)(=O)=O)C2)n(C)n1 ZINC001492724325 1074407272 /nfs/dbraw/zinc/40/72/72/1074407272.db2.gz QZIQVVKNUHGYLK-GXTWGEPZSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CC[C@H](S(C)(=O)=O)C2)n(C)n1 ZINC001492724328 1074407181 /nfs/dbraw/zinc/40/71/81/1074407181.db2.gz QZIQVVKNUHGYLK-JSGCOSHPSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC[C@H](S(C)(=O)=O)C2)n(C)n1 ZINC001492724329 1074407327 /nfs/dbraw/zinc/40/73/27/1074407327.db2.gz QZIQVVKNUHGYLK-OCCSQVGLSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CC[C@@H](S(C)(=O)=O)C2)n(C)n1 ZINC001492724331 1074407146 /nfs/dbraw/zinc/40/71/46/1074407146.db2.gz QZIQVVKNUHGYLK-TZMCWYRMSA-N 0 0 445.571 -0.398 20 0 IBADRN Cc1cc(Cn2c(CN3CCCC3=O)nnc2N2CCC(OCC(N)=O)CC2)n(C)n1 ZINC001492725548 1074407372 /nfs/dbraw/zinc/40/73/72/1074407372.db2.gz KITAANAEFPKXBH-UHFFFAOYSA-N 0 0 430.513 -0.039 20 0 IBADRN Cc1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCO[C@H](C)C2)n(C)n1 ZINC001492726412 1074407250 /nfs/dbraw/zinc/40/72/50/1074407250.db2.gz NCKQBKBUOFXKJF-GDBMZVCRSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCO[C@@H](C)C2)n(C)n1 ZINC001492726413 1074407383 /nfs/dbraw/zinc/40/73/83/1074407383.db2.gz NCKQBKBUOFXKJF-GOEBONIOSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCO[C@@H](C)C2)n(C)n1 ZINC001492726414 1074407364 /nfs/dbraw/zinc/40/73/64/1074407364.db2.gz NCKQBKBUOFXKJF-HOCLYGCPSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCO[C@H](C)C2)n(C)n1 ZINC001492726415 1074407284 /nfs/dbraw/zinc/40/72/84/1074407284.db2.gz NCKQBKBUOFXKJF-ZBFHGGJFSA-N 0 0 439.542 -0.074 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CC(=O)N3CCOCC3)n2C[C@]2(C)CN(C)C2=O)n1 ZINC001492734551 1074407163 /nfs/dbraw/zinc/40/71/63/1074407163.db2.gz QXWXYVHMAJODSL-NRFANRHFSA-N 0 0 444.540 -0.087 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CC(=O)N3CCOCC3)n2C[C@@]2(C)CN(C)C2=O)n1 ZINC001492734552 1074407242 /nfs/dbraw/zinc/40/72/42/1074407242.db2.gz QXWXYVHMAJODSL-OAQYLSRUSA-N 0 0 444.540 -0.087 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCNS(C)(=O)=O)n2C[C@]2(O)CCO[C@@H]2C)n1 ZINC001492739607 1074407213 /nfs/dbraw/zinc/40/72/13/1074407213.db2.gz ONGNRUICLQRJJH-CRAIPNDOSA-N 0 0 441.558 -0.335 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCNS(C)(=O)=O)n2C[C@]2(O)CCO[C@H]2C)n1 ZINC001492739608 1074407301 /nfs/dbraw/zinc/40/73/01/1074407301.db2.gz ONGNRUICLQRJJH-MAUKXSAKSA-N 0 0 441.558 -0.335 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@]2(O)CCO[C@@H]2C)n1 ZINC001492739609 1074407233 /nfs/dbraw/zinc/40/72/33/1074407233.db2.gz ONGNRUICLQRJJH-QAPCUYQASA-N 0 0 441.558 -0.335 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@]2(O)CCO[C@H]2C)n1 ZINC001492739610 1074407870 /nfs/dbraw/zinc/40/78/70/1074407870.db2.gz ONGNRUICLQRJJH-YJBOKZPZSA-N 0 0 441.558 -0.335 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N(C)CCS(C)(=O)=O)n2CCS(=O)(=O)N(C)C)n1 ZINC001492740185 1074407919 /nfs/dbraw/zinc/40/79/19/1074407919.db2.gz KTIOASBQGZOROY-UHFFFAOYSA-N 0 0 447.587 -0.488 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC(=O)N(C)C[C@@H]3C)n2CC(=O)N2CCOCC2)n1 ZINC001492740623 1074407993 /nfs/dbraw/zinc/40/79/93/1074407993.db2.gz BTGJZTHTFBAROP-INIZCTEOSA-N 0 0 430.513 -0.335 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC(=O)N(C)C[C@H]3C)n2CC(=O)N2CCOCC2)n1 ZINC001492740624 1074407966 /nfs/dbraw/zinc/40/79/66/1074407966.db2.gz BTGJZTHTFBAROP-MRXNPFEDSA-N 0 0 430.513 -0.335 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC[C@]4(CCC(=O)N4)C3)n2CCNS(C)(=O)=O)n1 ZINC001492747512 1074407930 /nfs/dbraw/zinc/40/79/30/1074407930.db2.gz CNAGDJPHVRXDCG-GOSISDBHSA-N 0 0 436.542 -0.452 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CC[C@@]4(CCC(=O)N4)C3)n2CCNS(C)(=O)=O)n1 ZINC001492747513 1074407975 /nfs/dbraw/zinc/40/79/75/1074407975.db2.gz CNAGDJPHVRXDCG-SFHVURJKSA-N 0 0 436.542 -0.452 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN(CC(=O)N(C)C)CC3)n2C[C@H]2CCC(=O)N2)n1 ZINC001492753175 1074407849 /nfs/dbraw/zinc/40/78/49/1074407849.db2.gz OPZRQFRJGRXSFJ-QGZVFWFLSA-N 0 0 443.556 -0.371 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCNC(=O)CC3)n2C[C@]2(O)CCS(=O)(=O)C2)n1 ZINC001492753560 1074407830 /nfs/dbraw/zinc/40/78/30/1074407830.db2.gz BTWOPDNUMQWBGM-GOSISDBHSA-N 0 0 437.526 -0.984 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCNC(=O)CC3)n2C[C@@]2(O)CCS(=O)(=O)C2)n1 ZINC001492753561 1074407878 /nfs/dbraw/zinc/40/78/78/1074407878.db2.gz BTWOPDNUMQWBGM-SFHVURJKSA-N 0 0 437.526 -0.984 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCNC(=O)CC3)n2CCOCCS(C)(=O)=O)n1 ZINC001492753670 1074407944 /nfs/dbraw/zinc/40/79/44/1074407944.db2.gz FAFGMGXJSZFYNT-UHFFFAOYSA-N 0 0 439.542 -0.473 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)CC[C@H]4C3)n2CCNS(C)(=O)=O)n1 ZINC001492753926 1074407839 /nfs/dbraw/zinc/40/78/39/1074407839.db2.gz LMINHPCNODJHLF-HNNXBMFYSA-N 0 0 436.542 -0.500 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCN4C(=O)CC[C@@H]4C3)n2CCNS(C)(=O)=O)n1 ZINC001492753927 1074407952 /nfs/dbraw/zinc/40/79/52/1074407952.db2.gz LMINHPCNODJHLF-OAHLLOKOSA-N 0 0 436.542 -0.500 20 0 IBADRN Cc1cc(C)n(Cc2nnc(N3CCS(=O)(=O)CC3)n2CC(=O)N2CCOCC2)n1 ZINC001492755259 1074407887 /nfs/dbraw/zinc/40/78/87/1074407887.db2.gz UMUVSBIWRITJQR-UHFFFAOYSA-N 0 0 437.526 -0.767 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)C)C2 ZINC001492762697 1074407855 /nfs/dbraw/zinc/40/78/55/1074407855.db2.gz BIWCJUZNNVDGCS-GFCCVEGCSA-N 0 0 439.546 -0.277 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@H](C)NS(C)(=O)=O)n1CCC(=O)NC(C)C)C2 ZINC001492762698 1074407882 /nfs/dbraw/zinc/40/78/82/1074407882.db2.gz BIWCJUZNNVDGCS-LBPRGKRZSA-N 0 0 439.546 -0.277 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@@H](C)NS(C)(=O)=O)n1CC(=O)NCC1CC1)C2 ZINC001492763007 1074407957 /nfs/dbraw/zinc/40/79/57/1074407957.db2.gz ORNKWWQPCRBFOU-LLVKDONJSA-N 0 0 437.530 -0.665 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@H](C)NS(C)(=O)=O)n1CC(=O)NCC1CC1)C2 ZINC001492763008 1074407895 /nfs/dbraw/zinc/40/78/95/1074407895.db2.gz ORNKWWQPCRBFOU-NSHDSACASA-N 0 0 437.530 -0.665 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCC(=O)N(C)C)C2 ZINC001492763098 1074408490 /nfs/dbraw/zinc/40/84/90/1074408490.db2.gz RFGWEESGMCVJPP-GFCCVEGCSA-N 0 0 439.546 -0.323 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@H](C)NS(C)(=O)=O)n1CCCC(=O)N(C)C)C2 ZINC001492763099 1074408461 /nfs/dbraw/zinc/40/84/61/1074408461.db2.gz RFGWEESGMCVJPP-LBPRGKRZSA-N 0 0 439.546 -0.323 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@@H]3CCS(=O)(=O)C3)n1CC(=O)NCC(F)F)C2 ZINC001492763793 1074408637 /nfs/dbraw/zinc/40/86/37/1074408637.db2.gz OBWIYSQJCXYVOA-LLVKDONJSA-N 0 0 444.468 -0.518 20 0 IBADRN Cc1nc2n(n1)CCN(c1nnc([C@H]3CCS(=O)(=O)C3)n1CC(=O)NCC(F)F)C2 ZINC001492763794 1074408467 /nfs/dbraw/zinc/40/84/67/1074408467.db2.gz OBWIYSQJCXYVOA-NSHDSACASA-N 0 0 444.468 -0.518 20 0 IBADRN Cc1nnc(CCn2c(C(=O)NC(C)C)nnc2N2CCN(S(C)(=O)=O)CC2)s1 ZINC001492767791 1074408445 /nfs/dbraw/zinc/40/84/45/1074408445.db2.gz DACLCOJVPOKFKX-UHFFFAOYSA-N 0 0 442.571 -0.099 20 0 IBADRN Cc1nnc(CCn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCN(C)C(=O)C2)s1 ZINC001492769232 1074408420 /nfs/dbraw/zinc/40/84/20/1074408420.db2.gz XGSSTVUAQVEVDB-GFCCVEGCSA-N 0 0 425.540 -0.139 20 0 IBADRN Cc1nnc(CCn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCN(C)C(=O)C2)s1 ZINC001492769233 1074408475 /nfs/dbraw/zinc/40/84/75/1074408475.db2.gz XGSSTVUAQVEVDB-LBPRGKRZSA-N 0 0 425.540 -0.139 20 0 IBADRN Cc1nnc(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)s1 ZINC001492769369 1074408479 /nfs/dbraw/zinc/40/84/79/1074408479.db2.gz BNTANJBXHBEDOX-AWEZNQCLSA-N 0 0 447.569 -0.158 20 0 IBADRN Cc1nnc(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCN(CC(=O)N(C)C)CC2)s1 ZINC001492769370 1074408630 /nfs/dbraw/zinc/40/86/30/1074408630.db2.gz BNTANJBXHBEDOX-CQSZACIVSA-N 0 0 447.569 -0.158 20 0 IBADRN Cc1nnc(CCn2c(CN3CCCC3=O)nnc2N(C)CCNS(C)(=O)=O)s1 ZINC001492769667 1074408644 /nfs/dbraw/zinc/40/86/44/1074408644.db2.gz GHHTXXUZQYAMAL-UHFFFAOYSA-N 0 0 442.571 -0.211 20 0 IBADRN Cc1nnc(CCn2c([C@H]3CCC(=O)N3)nnc2N(C)CCNS(C)(=O)=O)s1 ZINC001492770764 1074408498 /nfs/dbraw/zinc/40/84/98/1074408498.db2.gz YVLYZXUFCHQMCU-LLVKDONJSA-N 0 0 428.544 -0.383 20 0 IBADRN Cc1nnc(CCn2c([C@@H]3CCC(=O)N3)nnc2N(C)CCNS(C)(=O)=O)s1 ZINC001492770765 1074408510 /nfs/dbraw/zinc/40/85/10/1074408510.db2.gz YVLYZXUFCHQMCU-NSHDSACASA-N 0 0 428.544 -0.383 20 0 IBADRN Cc1nnc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)[C@@H](C)C2)s1 ZINC001492772205 1074408434 /nfs/dbraw/zinc/40/84/34/1074408434.db2.gz RYCARRJJDPOKTJ-CMPLNLGQSA-N 0 0 440.555 -0.092 20 0 IBADRN Cc1nnc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)[C@@H](C)C2)s1 ZINC001492772206 1074408649 /nfs/dbraw/zinc/40/86/49/1074408649.db2.gz RYCARRJJDPOKTJ-JQWIXIFHSA-N 0 0 440.555 -0.092 20 0 IBADRN Cc1nnc(N(C)CC(=O)N2CCOCC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001492778236 1074408558 /nfs/dbraw/zinc/40/85/58/1074408558.db2.gz RYIFOJLNPXUQJK-UHFFFAOYSA-N 0 0 432.572 -0.740 20 0 IBADRN Cc1nnc(N2CCC(CNC(=O)c3cnn(C)c3)CC2)n1CC(=O)N1CCOCC1 ZINC001492805735 1074408599 /nfs/dbraw/zinc/40/85/99/1074408599.db2.gz SOTZYYRNGZDBHC-UHFFFAOYSA-N 0 0 430.513 -0.175 20 0 IBADRN Cc1nnc(N2CCC(OCC(N)=O)CC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001492821198 1074408543 /nfs/dbraw/zinc/40/85/43/1074408543.db2.gz PPTBERNINFJNLK-UHFFFAOYSA-N 0 0 432.572 -0.564 20 0 IBADRN Cc1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001492853207 1074408578 /nfs/dbraw/zinc/40/85/78/1074408578.db2.gz ZLJYXHWXYIYIDF-AWEZNQCLSA-N 0 0 435.572 -0.708 20 0 IBADRN Cc1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001492853210 1074409168 /nfs/dbraw/zinc/40/91/68/1074409168.db2.gz ZLJYXHWXYIYIDF-CQSZACIVSA-N 0 0 435.572 -0.708 20 0 IBADRN Cc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1CC(=O)NCC1CC1 ZINC001492859010 1074409267 /nfs/dbraw/zinc/40/92/67/1074409267.db2.gz FISGIVXZZLQLES-INIZCTEOSA-N 0 0 426.543 -0.256 20 0 IBADRN Cc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1CC(=O)NCC1CC1 ZINC001492859011 1074409083 /nfs/dbraw/zinc/40/90/83/1074409083.db2.gz FISGIVXZZLQLES-MRXNPFEDSA-N 0 0 426.543 -0.256 20 0 IBADRN Cc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1CCN1CCOC[C@H]1C ZINC001492859062 1074409173 /nfs/dbraw/zinc/40/91/73/1074409173.db2.gz GDIOBEWYYADAAJ-AEFFLSMTSA-N 0 0 442.586 -0.062 20 0 IBADRN Cc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1CCN1CCOC[C@@H]1C ZINC001492859063 1074409059 /nfs/dbraw/zinc/40/90/59/1074409059.db2.gz GDIOBEWYYADAAJ-FUHWJXTLSA-N 0 0 442.586 -0.062 20 0 IBADRN Cc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1CCN1CCOC[C@H]1C ZINC001492859064 1074409035 /nfs/dbraw/zinc/40/90/35/1074409035.db2.gz GDIOBEWYYADAAJ-SJLPKXTDSA-N 0 0 442.586 -0.062 20 0 IBADRN Cc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1CCN1CCOC[C@@H]1C ZINC001492859065 1074409140 /nfs/dbraw/zinc/40/91/40/1074409140.db2.gz GDIOBEWYYADAAJ-WMZOPIPTSA-N 0 0 442.586 -0.062 20 0 IBADRN Cc1nnc(N2CCCN(CC(=O)N3CCCC3)CC2)n1CCOCCS(C)(=O)=O ZINC001492876167 1074409102 /nfs/dbraw/zinc/40/91/02/1074409102.db2.gz MEELXSFKCSJWOB-UHFFFAOYSA-N 0 0 442.586 -0.218 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCNC(=O)C(C)(C)C ZINC001492883885 1074409004 /nfs/dbraw/zinc/40/90/04/1074409004.db2.gz FTUJGMWVAWCWEK-UHFFFAOYSA-N 0 0 435.529 -0.744 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC1(CS(C)(=O)=O)CC1 ZINC001492884274 1074409051 /nfs/dbraw/zinc/40/90/51/1074409051.db2.gz IBCSYKVOGKZJLL-UHFFFAOYSA-N 0 0 426.543 -0.415 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCN1CCOCC1(C)C ZINC001492884333 1074409022 /nfs/dbraw/zinc/40/90/22/1074409022.db2.gz IUHNGRMYVFRAFZ-UHFFFAOYSA-N 0 0 433.557 -0.032 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CC1(S(C)(=O)=O)CCC1 ZINC001492884415 1074409206 /nfs/dbraw/zinc/40/92/06/1074409206.db2.gz JMNBYEZCWGIRGV-UHFFFAOYSA-N 0 0 438.554 -0.175 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001492884818 1074409256 /nfs/dbraw/zinc/40/92/56/1074409256.db2.gz NELHWPOKAGCCHM-INIZCTEOSA-N 0 0 438.554 -0.318 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001492884819 1074409216 /nfs/dbraw/zinc/40/92/16/1074409216.db2.gz NELHWPOKAGCCHM-MRXNPFEDSA-N 0 0 438.554 -0.318 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCC(=O)N1CCCCC1 ZINC001492884838 1074409016 /nfs/dbraw/zinc/40/90/16/1074409016.db2.gz NWRMQVSVXMHVTL-UHFFFAOYSA-N 0 0 447.540 -0.503 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1ccc(C)n(C)c1=O ZINC001492885132 1074409287 /nfs/dbraw/zinc/40/92/87/1074409287.db2.gz OQAGHWOOTCKGLP-UHFFFAOYSA-N 0 0 443.508 -0.851 20 0 IBADRN Cc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CC1(CS(C)(=O)=O)CC1 ZINC001492885232 1074409272 /nfs/dbraw/zinc/40/92/72/1074409272.db2.gz PLZDATNKPRVTTA-UHFFFAOYSA-N 0 0 438.554 -0.318 20 0 IBADRN Cc1nnc(N2CCN(c3cccnn3)CC2)n1Cc1ccc(C(=O)NCC(N)=O)cc1 ZINC001492908156 1074409866 /nfs/dbraw/zinc/40/98/66/1074409866.db2.gz ITKHKANIECHRDG-UHFFFAOYSA-N 0 0 435.492 -0.033 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1Cc1ccc(C(=O)NCC(N)=O)cc1 ZINC001492914887 1074409810 /nfs/dbraw/zinc/40/98/10/1074409810.db2.gz AJELGXOIBSXRDS-UHFFFAOYSA-N 0 0 442.524 -0.940 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCc1ccc(S(N)(=O)=O)cc1 ZINC001492915055 1074409971 /nfs/dbraw/zinc/40/99/71/1074409971.db2.gz BPJRPRMAVKYVSK-UHFFFAOYSA-N 0 0 435.554 -0.313 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001492916468 1074409859 /nfs/dbraw/zinc/40/98/59/1074409859.db2.gz OZHUKQCWMGMKSO-QGZVFWFLSA-N 0 0 439.586 -0.245 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1CCOCCS(C)(=O)=O ZINC001492916490 1074409796 /nfs/dbraw/zinc/40/97/96/1074409796.db2.gz PQXCZGPYRNFMQE-UHFFFAOYSA-N 0 0 428.559 -0.608 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCc4ccccc43)CC2)n1CCNS(C)(=O)=O ZINC001492916738 1074409933 /nfs/dbraw/zinc/40/99/33/1074409933.db2.gz SUOFRHHUHHCLLB-UHFFFAOYSA-N 0 0 447.565 -0.153 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1CCN1CCCS1(=O)=O ZINC001492916866 1074409880 /nfs/dbraw/zinc/40/98/80/1074409880.db2.gz VIVYUXHSQRCVOH-UHFFFAOYSA-N 0 0 439.586 -0.243 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCC[C@@H]3C)CC2)n1CCNS(C)(=O)=O ZINC001492918182 1074409966 /nfs/dbraw/zinc/40/99/66/1074409966.db2.gz AWIQOASWCMZOQM-HNNXBMFYSA-N 0 0 427.575 -0.341 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)N3CCCC[C@H]3C)CC2)n1CCNS(C)(=O)=O ZINC001492918191 1074409901 /nfs/dbraw/zinc/40/99/01/1074409901.db2.gz AWIQOASWCMZOQM-OAHLLOKOSA-N 0 0 427.575 -0.341 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)NC3CCCCC3)CC2)n1CCNS(C)(=O)=O ZINC001492918716 1074409947 /nfs/dbraw/zinc/40/99/47/1074409947.db2.gz GQEJIFCJEXHCEQ-UHFFFAOYSA-N 0 0 427.575 -0.293 20 0 IBADRN Cc1nnc(N2CCN(CC(=O)NCc3ccccc3)CC2)n1CC(=O)N1CCOCC1 ZINC001492919292 1074409975 /nfs/dbraw/zinc/40/99/75/1074409975.db2.gz OVXOOIDITPPKDD-UHFFFAOYSA-N 0 0 441.536 -0.116 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCS(=O)(=O)Cc1ccccc1 ZINC001492931870 1074409773 /nfs/dbraw/zinc/40/97/73/1074409773.db2.gz HVNJIMUEEUHWNA-KRWDZBQOSA-N 0 0 446.533 -0.261 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCS(=O)(=O)Cc1ccccc1 ZINC001492931871 1074409783 /nfs/dbraw/zinc/40/97/83/1074409783.db2.gz HVNJIMUEEUHWNA-QGZVFWFLSA-N 0 0 446.533 -0.261 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC[C@H](N1CCOCC1)C(F)(F)F ZINC001492932018 1074409853 /nfs/dbraw/zinc/40/98/53/1074409853.db2.gz MDZZKVXKJHLAOF-KBPBESRZSA-N 0 0 445.446 -0.613 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC[C@H](N1CCOCC1)C(F)(F)F ZINC001492932019 1074410481 /nfs/dbraw/zinc/41/04/81/1074410481.db2.gz MDZZKVXKJHLAOF-KGLIPLIRSA-N 0 0 445.446 -0.613 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC[C@@H](N1CCOCC1)C(F)(F)F ZINC001492932020 1074410514 /nfs/dbraw/zinc/41/05/14/1074410514.db2.gz MDZZKVXKJHLAOF-UONOGXRCSA-N 0 0 445.446 -0.613 20 0 IBADRN Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC[C@@H](N1CCOCC1)C(F)(F)F ZINC001492932021 1074410539 /nfs/dbraw/zinc/41/05/39/1074410539.db2.gz MDZZKVXKJHLAOF-ZIAGYGMSSA-N 0 0 445.446 -0.613 20 0 IBADRN Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@@]1(O)CCCC1(C)C ZINC001492932219 1074410519 /nfs/dbraw/zinc/41/05/19/1074410519.db2.gz OIWWCJZLGIFJOM-FQEVSTJZSA-N 0 0 432.529 -0.006 20 0 IBADRN Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@]1(O)CCCC1(C)C ZINC001492932220 1074410466 /nfs/dbraw/zinc/41/04/66/1074410466.db2.gz OIWWCJZLGIFJOM-HXUWFJFHSA-N 0 0 432.529 -0.006 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1CC1(O)CCOCC1 ZINC001492932222 1074410460 /nfs/dbraw/zinc/41/04/60/1074410460.db2.gz OKNVCFAWQZMBBO-UHFFFAOYSA-N 0 0 426.499 -0.232 20 0 IBADRN Cc1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCc1ccc(S(N)(=O)=O)cc1 ZINC001492932412 1074410471 /nfs/dbraw/zinc/41/04/71/1074410471.db2.gz QNLYIYQEJGMUCU-UHFFFAOYSA-N 0 0 428.540 -0.442 20 0 IBADRN Cc1nnc(N2CCN(S(=O)(=O)Cc3ccccc3)CC2)n1CCNS(C)(=O)=O ZINC001492932810 1074410543 /nfs/dbraw/zinc/41/05/43/1074410543.db2.gz SLEQMHKIERTOLG-UHFFFAOYSA-N 0 0 442.567 -0.212 20 0 IBADRN Cc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CCN(C)CC(F)(F)F ZINC001492934263 1074410442 /nfs/dbraw/zinc/41/04/42/1074410442.db2.gz ZAZKBOVUVKYTCC-UHFFFAOYSA-N 0 0 445.450 -0.453 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)N(C)C[C@@H]3C2)on1 ZINC001493129738 1074663286 /nfs/dbraw/zinc/66/32/86/1074663286.db2.gz CLMXMVGJHTZTNJ-CHWSQXEVSA-N 0 0 438.514 -0.211 20 0 IBADRN Cc1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)N(C)C[C@H]3C2)on1 ZINC001493129741 1074663294 /nfs/dbraw/zinc/66/32/94/1074663294.db2.gz CLMXMVGJHTZTNJ-OLZOCXBDSA-N 0 0 438.514 -0.211 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)N(C)C[C@@H]3C2)on1 ZINC001493129742 1074663213 /nfs/dbraw/zinc/66/32/13/1074663213.db2.gz CLMXMVGJHTZTNJ-QWHCGFSZSA-N 0 0 438.514 -0.211 20 0 IBADRN Cc1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)N(C)C[C@H]3C2)on1 ZINC001493129743 1074663407 /nfs/dbraw/zinc/66/34/07/1074663407.db2.gz CLMXMVGJHTZTNJ-STQMWFEESA-N 0 0 438.514 -0.211 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(C(=O)N2CCCC2)n1CCCn1cc(CO)nn1 ZINC001493165517 1074663244 /nfs/dbraw/zinc/66/32/44/1074663244.db2.gz LKFHDPICVYANPG-AWEZNQCLSA-N 0 0 431.501 -0.645 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(C(=O)N2CCCC2)n1CCCn1cc(CO)nn1 ZINC001493165518 1074663332 /nfs/dbraw/zinc/66/33/32/1074663332.db2.gz LKFHDPICVYANPG-CQSZACIVSA-N 0 0 431.501 -0.645 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCOC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001493182226 1074663422 /nfs/dbraw/zinc/66/34/22/1074663422.db2.gz CNSACRDVGSNASE-ILXRZTDVSA-N 0 0 426.543 -0.270 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCOC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001493182227 1074663376 /nfs/dbraw/zinc/66/33/76/1074663376.db2.gz CNSACRDVGSNASE-KFWWJZLASA-N 0 0 426.543 -0.270 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCOC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001493182228 1074663411 /nfs/dbraw/zinc/66/34/11/1074663411.db2.gz CNSACRDVGSNASE-QLFBSQMISA-N 0 0 426.543 -0.270 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCOC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001493182229 1074663389 /nfs/dbraw/zinc/66/33/89/1074663389.db2.gz CNSACRDVGSNASE-RBSFLKMASA-N 0 0 426.543 -0.270 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(Cc2ccncc2)n1CCN1CCCS1(=O)=O ZINC001493182606 1074663772 /nfs/dbraw/zinc/66/37/72/1074663772.db2.gz FEYZLBXVPURYRO-HNNXBMFYSA-N 0 0 433.538 -0.034 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(Cc2ccncc2)n1CCN1CCCS1(=O)=O ZINC001493182615 1074663718 /nfs/dbraw/zinc/66/37/18/1074663718.db2.gz FEYZLBXVPURYRO-OAHLLOKOSA-N 0 0 433.538 -0.034 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(Cc2cccnc2)n1CCOCCS(C)(=O)=O ZINC001493182927 1074663691 /nfs/dbraw/zinc/66/36/91/1074663691.db2.gz GIKNZGGGLQAMCL-HNNXBMFYSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(Cc2cccnc2)n1CCOCCS(C)(=O)=O ZINC001493182928 1074663651 /nfs/dbraw/zinc/66/36/51/1074663651.db2.gz GIKNZGGGLQAMCL-OAHLLOKOSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001493184706 1074663764 /nfs/dbraw/zinc/66/37/64/1074663764.db2.gz NLEBRMMVWDQCIW-KBPBESRZSA-N 0 0 442.542 -0.686 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001493184729 1074663670 /nfs/dbraw/zinc/66/36/70/1074663670.db2.gz NLEBRMMVWDQCIW-KGLIPLIRSA-N 0 0 442.542 -0.686 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001493184730 1074663747 /nfs/dbraw/zinc/66/37/47/1074663747.db2.gz NLEBRMMVWDQCIW-UONOGXRCSA-N 0 0 442.542 -0.686 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001493184731 1074663741 /nfs/dbraw/zinc/66/37/41/1074663741.db2.gz NLEBRMMVWDQCIW-ZIAGYGMSSA-N 0 0 442.542 -0.686 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC[C@H]1COCCO1 ZINC001493187383 1074663732 /nfs/dbraw/zinc/66/37/32/1074663732.db2.gz WGLQDVVAMYSXRN-ILXRZTDVSA-N 0 0 427.527 -0.347 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC[C@H]1COCCO1 ZINC001493187399 1074663728 /nfs/dbraw/zinc/66/37/28/1074663728.db2.gz WGLQDVVAMYSXRN-KFWWJZLASA-N 0 0 427.527 -0.347 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC[C@@H]1COCCO1 ZINC001493187402 1074663711 /nfs/dbraw/zinc/66/37/11/1074663711.db2.gz WGLQDVVAMYSXRN-QLFBSQMISA-N 0 0 427.527 -0.347 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC[C@@H]1COCCO1 ZINC001493187405 1074663757 /nfs/dbraw/zinc/66/37/57/1074663757.db2.gz WGLQDVVAMYSXRN-RBSFLKMASA-N 0 0 427.527 -0.347 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001493187435 1074663704 /nfs/dbraw/zinc/66/37/04/1074663704.db2.gz WRRBJQOAWJNYGC-CHWSQXEVSA-N 0 0 445.567 -0.576 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001493187440 1074663790 /nfs/dbraw/zinc/66/37/90/1074663790.db2.gz WRRBJQOAWJNYGC-OLZOCXBDSA-N 0 0 445.567 -0.576 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001493187441 1074663697 /nfs/dbraw/zinc/66/36/97/1074663697.db2.gz WRRBJQOAWJNYGC-QWHCGFSZSA-N 0 0 445.567 -0.576 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001493187442 1074663753 /nfs/dbraw/zinc/66/37/53/1074663753.db2.gz WRRBJQOAWJNYGC-STQMWFEESA-N 0 0 445.567 -0.576 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccoc1 ZINC001493187472 1074663637 /nfs/dbraw/zinc/66/36/37/1074663637.db2.gz XDTQLCHAHAKRBF-DZGCQCFKSA-N 0 0 438.510 -0.081 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccoc1 ZINC001493187473 1074663682 /nfs/dbraw/zinc/66/36/82/1074663682.db2.gz XDTQLCHAHAKRBF-HIFRSBDPSA-N 0 0 438.510 -0.081 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccoc1 ZINC001493187474 1074663786 /nfs/dbraw/zinc/66/37/86/1074663786.db2.gz XDTQLCHAHAKRBF-UKRRQHHQSA-N 0 0 438.510 -0.081 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccoc1 ZINC001493187475 1074663779 /nfs/dbraw/zinc/66/37/79/1074663779.db2.gz XDTQLCHAHAKRBF-ZFWWWQNUSA-N 0 0 438.510 -0.081 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(CN2CCCC2=O)n1CCCn1cc(CO)nn1 ZINC001493191926 1074664348 /nfs/dbraw/zinc/66/43/48/1074664348.db2.gz INYUAZVWZVXCAS-AWEZNQCLSA-N 0 0 431.501 -0.759 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(CN2CCCC2=O)n1CCCn1cc(CO)nn1 ZINC001493191932 1074664224 /nfs/dbraw/zinc/66/42/24/1074664224.db2.gz INYUAZVWZVXCAS-CQSZACIVSA-N 0 0 431.501 -0.759 20 0 IBADRN C[C@H]1C(=O)N(C)CCN1c1nnc(CN2CCCC2=O)n1CCS(=O)(=O)CC1CC1 ZINC001493192259 1074664247 /nfs/dbraw/zinc/66/42/47/1074664247.db2.gz KZKANASSKGEYDC-AWEZNQCLSA-N 0 0 438.554 -0.108 20 0 IBADRN C[C@@H]1C(=O)N(C)CCN1c1nnc(CN2CCCC2=O)n1CCS(=O)(=O)CC1CC1 ZINC001493192263 1074664324 /nfs/dbraw/zinc/66/43/24/1074664324.db2.gz KZKANASSKGEYDC-CQSZACIVSA-N 0 0 438.554 -0.108 20 0 IBADRN C[C@@H]1C[C@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001493353825 1074664330 /nfs/dbraw/zinc/66/43/30/1074664330.db2.gz FMYAAOJKYHLLOB-CBBWQLFWSA-N 0 0 426.543 -0.031 20 0 IBADRN C[C@@H]1C[C@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001493353832 1074664274 /nfs/dbraw/zinc/66/42/74/1074664274.db2.gz FMYAAOJKYHLLOB-QPSCCSFWSA-N 0 0 426.543 -0.031 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001493356537 1074664314 /nfs/dbraw/zinc/66/43/14/1074664314.db2.gz QIMDORGEVFWNRJ-BARDWOONSA-N 0 0 426.543 -0.066 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001493356539 1074664269 /nfs/dbraw/zinc/66/42/69/1074664269.db2.gz QIMDORGEVFWNRJ-KBUPBQIOSA-N 0 0 426.543 -0.066 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001493356540 1074664235 /nfs/dbraw/zinc/66/42/35/1074664235.db2.gz QIMDORGEVFWNRJ-LXTVHRRPSA-N 0 0 426.543 -0.066 20 0 IBADRN C[C@@H]1C[C@@H]1Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001493356542 1074664282 /nfs/dbraw/zinc/66/42/82/1074664282.db2.gz QIMDORGEVFWNRJ-TUVASFSCSA-N 0 0 426.543 -0.066 20 0 IBADRN C[C@@H]1CC[C@H](Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)C1 ZINC001493457522 1074664333 /nfs/dbraw/zinc/66/43/33/1074664333.db2.gz MVCYDDTUZBSOLE-ILXRZTDVSA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@@H]1CC[C@@H](Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)C1 ZINC001493457525 1074664354 /nfs/dbraw/zinc/66/43/54/1074664354.db2.gz MVCYDDTUZBSOLE-KFWWJZLASA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@@H]1CC[C@H](Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)C1 ZINC001493457529 1074664319 /nfs/dbraw/zinc/66/43/19/1074664319.db2.gz MVCYDDTUZBSOLE-QLFBSQMISA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@@H]1CC[C@@H](Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCNC(=O)C2)C1 ZINC001493457532 1074664214 /nfs/dbraw/zinc/66/42/14/1074664214.db2.gz MVCYDDTUZBSOLE-RBSFLKMASA-N 0 0 426.543 -0.017 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC(F)F)C1 ZINC001493973922 1074664255 /nfs/dbraw/zinc/66/42/55/1074664255.db2.gz MEOUKZULPCABCI-NEPJUHHUSA-N 0 0 436.485 -0.162 20 0 IBADRN C[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC(F)F)C1 ZINC001493973929 1074664344 /nfs/dbraw/zinc/66/43/44/1074664344.db2.gz MEOUKZULPCABCI-NWDGAFQWSA-N 0 0 436.485 -0.162 20 0 IBADRN C[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC(F)F)C1 ZINC001493973934 1074664351 /nfs/dbraw/zinc/66/43/51/1074664351.db2.gz MEOUKZULPCABCI-RYUDHWBXSA-N 0 0 436.485 -0.162 20 0 IBADRN C[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)NCC(F)F)C1 ZINC001493973938 1074664772 /nfs/dbraw/zinc/66/47/72/1074664772.db2.gz MEOUKZULPCABCI-VXGBXAGGSA-N 0 0 436.485 -0.162 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)N(C)C ZINC001494100411 1074664733 /nfs/dbraw/zinc/66/47/33/1074664733.db2.gz NRZMHMCHLMGXST-CYBMUJFWSA-N 0 0 427.531 -0.928 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)N(C)C ZINC001494100412 1074664822 /nfs/dbraw/zinc/66/48/22/1074664822.db2.gz NRZMHMCHLMGXST-ZDUSSCGKSA-N 0 0 427.531 -0.928 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(C(=O)N2CCCC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001494103423 1074664750 /nfs/dbraw/zinc/66/47/50/1074664750.db2.gz XTCBEKYAQSDHOR-OLZOCXBDSA-N 0 0 432.485 -0.831 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(C(=O)N2CCCC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001494103424 1074664877 /nfs/dbraw/zinc/66/48/77/1074664877.db2.gz XTCBEKYAQSDHOR-STQMWFEESA-N 0 0 432.485 -0.831 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCC(=O)N2)n1Cc1cccc(OCC(N)=O)c1 ZINC001494111933 1074664813 /nfs/dbraw/zinc/66/48/13/1074664813.db2.gz ADLNQPPXKPKGIN-BBRMVZONSA-N 0 0 441.492 -0.192 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCC(=O)N2)n1Cc1cccc(OCC(N)=O)c1 ZINC001494111971 1074664779 /nfs/dbraw/zinc/66/47/79/1074664779.db2.gz ADLNQPPXKPKGIN-CJNGLKHVSA-N 0 0 441.492 -0.192 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCC(=O)N2)n1Cc1cccc(OCC(N)=O)c1 ZINC001494111983 1074664703 /nfs/dbraw/zinc/66/47/03/1074664703.db2.gz ADLNQPPXKPKGIN-CZUORRHYSA-N 0 0 441.492 -0.192 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCC(=O)N2)n1Cc1cccc(OCC(N)=O)c1 ZINC001494111992 1074664802 /nfs/dbraw/zinc/66/48/02/1074664802.db2.gz ADLNQPPXKPKGIN-XJKSGUPXSA-N 0 0 441.492 -0.192 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CC(=O)N(C)C ZINC001494114073 1074664865 /nfs/dbraw/zinc/66/48/65/1074664865.db2.gz KXDZPUPNVDHFIN-CYBMUJFWSA-N 0 0 438.492 -0.053 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(-c2nn(C)c(=O)c3ccccc32)n1CC(=O)N(C)C ZINC001494114082 1074664858 /nfs/dbraw/zinc/66/48/58/1074664858.db2.gz KXDZPUPNVDHFIN-ZDUSSCGKSA-N 0 0 438.492 -0.053 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(Cc2ccccn2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001494118783 1074664724 /nfs/dbraw/zinc/66/47/24/1074664724.db2.gz DJBNPXXPLHNCEI-HIFRSBDPSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(Cc2ccccn2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001494118786 1074664808 /nfs/dbraw/zinc/66/48/08/1074664808.db2.gz DJBNPXXPLHNCEI-ZFWWWQNUSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001494119166 1074664880 /nfs/dbraw/zinc/66/48/80/1074664880.db2.gz GVXBTVBQCFEGOG-KBPBESRZSA-N 0 0 426.543 -0.285 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001494119170 1074664788 /nfs/dbraw/zinc/66/47/88/1074664788.db2.gz GVXBTVBQCFEGOG-KGLIPLIRSA-N 0 0 426.543 -0.285 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001494119181 1074664853 /nfs/dbraw/zinc/66/48/53/1074664853.db2.gz GVXBTVBQCFEGOG-UONOGXRCSA-N 0 0 426.543 -0.285 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001494119184 1074664798 /nfs/dbraw/zinc/66/47/98/1074664798.db2.gz GVXBTVBQCFEGOG-ZIAGYGMSSA-N 0 0 426.543 -0.285 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001494121280 1074664871 /nfs/dbraw/zinc/66/48/71/1074664871.db2.gz VLHGTMVHLZRXER-HZSPNIEDSA-N 0 0 438.554 -0.238 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001494121281 1074665321 /nfs/dbraw/zinc/66/53/21/1074665321.db2.gz VLHGTMVHLZRXER-MCIONIFRSA-N 0 0 438.554 -0.238 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001494121283 1074665307 /nfs/dbraw/zinc/66/53/07/1074665307.db2.gz VLHGTMVHLZRXER-MGPQQGTHSA-N 0 0 438.554 -0.238 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001494121284 1074665343 /nfs/dbraw/zinc/66/53/43/1074665343.db2.gz VLHGTMVHLZRXER-RDBSUJKOSA-N 0 0 438.554 -0.238 20 0 IBADRN C[C@@H]1CN(C)C(=O)CN1c1nnc(CN2CCCC2=O)n1CCC[C@@H]1NC(=O)NC1=O ZINC001494130566 1074665337 /nfs/dbraw/zinc/66/53/37/1074665337.db2.gz UMNWDSCORKPTJG-OLZOCXBDSA-N 0 0 432.485 -0.944 20 0 IBADRN C[C@H]1CN(C)C(=O)CN1c1nnc(CN2CCCC2=O)n1CCC[C@@H]1NC(=O)NC1=O ZINC001494130578 1074665289 /nfs/dbraw/zinc/66/52/89/1074665289.db2.gz UMNWDSCORKPTJG-STQMWFEESA-N 0 0 432.485 -0.944 20 0 IBADRN C[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2ccc(C(N)=O)nc2)CC(=O)N1C ZINC001494136050 1074665350 /nfs/dbraw/zinc/66/53/50/1074665350.db2.gz ITGJDFBPCMNIPD-CYBMUJFWSA-N 0 0 426.481 -0.277 20 0 IBADRN C[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2ccc(C(N)=O)nc2)CC(=O)N1C ZINC001494136051 1074665240 /nfs/dbraw/zinc/66/52/40/1074665240.db2.gz ITGJDFBPCMNIPD-ZDUSSCGKSA-N 0 0 426.481 -0.277 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001494194279 1074665266 /nfs/dbraw/zinc/66/52/66/1074665266.db2.gz UYLFAUQUZCMFCU-HZSPNIEDSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001494194280 1074665312 /nfs/dbraw/zinc/66/53/12/1074665312.db2.gz UYLFAUQUZCMFCU-MCIONIFRSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001494194281 1074665314 /nfs/dbraw/zinc/66/53/14/1074665314.db2.gz UYLFAUQUZCMFCU-MGPQQGTHSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)O[C@@H](C)C2)n1CC(=O)N1CCOCC1 ZINC001494194282 1074665200 /nfs/dbraw/zinc/66/52/00/1074665200.db2.gz UYLFAUQUZCMFCU-MJBXVCDLSA-N 0 0 430.531 -0.639 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)S[C@@H](C)C2)n1CCNS(C)(=O)=O ZINC001494198504 1074665212 /nfs/dbraw/zinc/66/52/12/1074665212.db2.gz DRLBWKFXQIDQOB-GRYCIOLGSA-N 0 0 440.617 -0.232 20 0 IBADRN C[C@@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)S[C@H](C)C2)n1CCNS(C)(=O)=O ZINC001494198505 1074665173 /nfs/dbraw/zinc/66/51/73/1074665173.db2.gz DRLBWKFXQIDQOB-IJLUTSLNSA-N 0 0 440.617 -0.232 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)S[C@@H](C)C2)n1CCNS(C)(=O)=O ZINC001494198506 1074665220 /nfs/dbraw/zinc/66/52/20/1074665220.db2.gz DRLBWKFXQIDQOB-TUAOUCFPSA-N 0 0 440.617 -0.232 20 0 IBADRN C[C@H](NS(C)(=O)=O)c1nnc(N2C[C@@H](C)S[C@H](C)C2)n1CCNS(C)(=O)=O ZINC001494198507 1074665260 /nfs/dbraw/zinc/66/52/60/1074665260.db2.gz DRLBWKFXQIDQOB-UTUOFQBUSA-N 0 0 440.617 -0.232 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C[C@]2(CCOC2)O1 ZINC001494318673 1074665227 /nfs/dbraw/zinc/66/52/27/1074665227.db2.gz NKTLKRKWEVBVFR-FMTVUPSXSA-N 0 0 428.440 -0.005 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C[C@@]2(CCOC2)O1 ZINC001494318674 1074665355 /nfs/dbraw/zinc/66/53/55/1074665355.db2.gz NKTLKRKWEVBVFR-FTLABTOESA-N 0 0 428.440 -0.005 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C[C@@]2(CCOC2)O1 ZINC001494318675 1074665316 /nfs/dbraw/zinc/66/53/16/1074665316.db2.gz NKTLKRKWEVBVFR-SEDUGSJDSA-N 0 0 428.440 -0.005 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC(F)F)C[C@]2(CCOC2)O1 ZINC001494318676 1074665329 /nfs/dbraw/zinc/66/53/29/1074665329.db2.gz NKTLKRKWEVBVFR-SOZUMNATSA-N 0 0 428.440 -0.005 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)N(C)C)C[C@]2(CCOC2)O1 ZINC001494321040 1074665614 /nfs/dbraw/zinc/66/56/14/1074665614.db2.gz IXTVTJOCQXLGPZ-GLJUWKHASA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)N(C)C)C[C@@]2(CCOC2)O1 ZINC001494321041 1074665619 /nfs/dbraw/zinc/66/56/19/1074665619.db2.gz IXTVTJOCQXLGPZ-HBUWYVDXSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)N(C)C)C[C@]2(CCOC2)O1 ZINC001494321042 1074665722 /nfs/dbraw/zinc/66/57/22/1074665722.db2.gz IXTVTJOCQXLGPZ-LBTNJELSSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)N(C)C)C[C@@]2(CCOC2)O1 ZINC001494321043 1074665624 /nfs/dbraw/zinc/66/56/24/1074665624.db2.gz IXTVTJOCQXLGPZ-QWQRMKEZSA-N 0 0 442.542 -0.495 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCCO1 ZINC001494340387 1074665715 /nfs/dbraw/zinc/66/57/15/1074665715.db2.gz BYFGKVKHAFKZBR-KBPBESRZSA-N 0 0 435.572 -0.313 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCCO1 ZINC001494340388 1074665660 /nfs/dbraw/zinc/66/56/60/1074665660.db2.gz BYFGKVKHAFKZBR-KGLIPLIRSA-N 0 0 435.572 -0.313 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCCO1 ZINC001494340390 1074665667 /nfs/dbraw/zinc/66/56/67/1074665667.db2.gz BYFGKVKHAFKZBR-UONOGXRCSA-N 0 0 435.572 -0.313 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)N(C)C)CCCO1 ZINC001494340392 1074665629 /nfs/dbraw/zinc/66/56/29/1074665629.db2.gz BYFGKVKHAFKZBR-ZIAGYGMSSA-N 0 0 435.572 -0.313 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCS(=O)(=O)C2)C[C@@H](C)O1 ZINC001494343711 1074665672 /nfs/dbraw/zinc/66/56/72/1074665672.db2.gz ITYGFQMPZFSEPY-VMUDFCTBSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)C[C@@H](C)O1 ZINC001494343712 1074665705 /nfs/dbraw/zinc/66/57/05/1074665705.db2.gz ITYGFQMPZFSEPY-WBOJAVRRSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cccc(C(N)=O)c2)CC(=O)N1C ZINC001494345420 1074665742 /nfs/dbraw/zinc/66/57/42/1074665742.db2.gz NHWCNKGHVGUTIE-BBRMVZONSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cccc(C(N)=O)c2)CC(=O)N1C ZINC001494345425 1074665734 /nfs/dbraw/zinc/66/57/34/1074665734.db2.gz NHWCNKGHVGUTIE-CJNGLKHVSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cccc(C(N)=O)c2)CC(=O)N1C ZINC001494345428 1074665699 /nfs/dbraw/zinc/66/56/99/1074665699.db2.gz NHWCNKGHVGUTIE-CZUORRHYSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cccc(C(N)=O)c2)CC(=O)N1C ZINC001494345430 1074665650 /nfs/dbraw/zinc/66/56/50/1074665650.db2.gz NHWCNKGHVGUTIE-XJKSGUPXSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001494348810 1074665709 /nfs/dbraw/zinc/66/57/09/1074665709.db2.gz UEXGPDAZHNCBQT-CABCVRRESA-N 0 0 427.527 -0.346 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001494348813 1074665728 /nfs/dbraw/zinc/66/57/28/1074665728.db2.gz UEXGPDAZHNCBQT-GJZGRUSLSA-N 0 0 427.527 -0.346 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001494348815 1074665646 /nfs/dbraw/zinc/66/56/46/1074665646.db2.gz UEXGPDAZHNCBQT-HUUCEWRRSA-N 0 0 427.527 -0.346 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001494348818 1074665635 /nfs/dbraw/zinc/66/56/35/1074665635.db2.gz UEXGPDAZHNCBQT-LSDHHAIUSA-N 0 0 427.527 -0.346 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001494350105 1074665695 /nfs/dbraw/zinc/66/56/95/1074665695.db2.gz XLWNAYLFKNKCOH-QLFBSQMISA-N 0 0 447.583 -0.171 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001494350110 1074665683 /nfs/dbraw/zinc/66/56/83/1074665683.db2.gz XLWNAYLFKNKCOH-RBSFLKMASA-N 0 0 447.583 -0.171 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001494350113 1074666232 /nfs/dbraw/zinc/66/62/32/1074666232.db2.gz XLWNAYLFKNKCOH-RRFJBIMHSA-N 0 0 447.583 -0.171 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001494350115 1074666257 /nfs/dbraw/zinc/66/62/57/1074666257.db2.gz XLWNAYLFKNKCOH-SOUVJXGZSA-N 0 0 447.583 -0.171 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001494350657 1074666240 /nfs/dbraw/zinc/66/62/40/1074666240.db2.gz YLYYUFUZSDWDIT-KBPBESRZSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001494350662 1074666260 /nfs/dbraw/zinc/66/62/60/1074666260.db2.gz YLYYUFUZSDWDIT-KGLIPLIRSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001494350667 1074666203 /nfs/dbraw/zinc/66/62/03/1074666203.db2.gz YLYYUFUZSDWDIT-UONOGXRCSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001494350668 1074666229 /nfs/dbraw/zinc/66/62/29/1074666229.db2.gz YLYYUFUZSDWDIT-ZIAGYGMSSA-N 0 0 448.567 -0.655 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccc(C(N)=O)cc2)CC(=O)N1C ZINC001494350756 1074666301 /nfs/dbraw/zinc/66/63/01/1074666301.db2.gz YPZSVBSLOYACCR-BBRMVZONSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ccc(C(N)=O)cc2)CC(=O)N1C ZINC001494350759 1074666293 /nfs/dbraw/zinc/66/62/93/1074666293.db2.gz YPZSVBSLOYACCR-CJNGLKHVSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccc(C(N)=O)cc2)CC(=O)N1C ZINC001494350761 1074666286 /nfs/dbraw/zinc/66/62/86/1074666286.db2.gz YPZSVBSLOYACCR-CZUORRHYSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ccc(C(N)=O)cc2)CC(=O)N1C ZINC001494350764 1074666211 /nfs/dbraw/zinc/66/62/11/1074666211.db2.gz YPZSVBSLOYACCR-XJKSGUPXSA-N 0 0 446.533 -0.006 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CCNS(C)(=O)=O)C[C@H]1N1CCOCC1 ZINC001494351214 1074666217 /nfs/dbraw/zinc/66/62/17/1074666217.db2.gz KPLMYWSHZXJGLF-BZUAXINKSA-N 0 0 428.559 -0.512 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CCNS(C)(=O)=O)C[C@@H]1N1CCOCC1 ZINC001494351215 1074666197 /nfs/dbraw/zinc/66/61/97/1074666197.db2.gz KPLMYWSHZXJGLF-OAGGEKHMSA-N 0 0 428.559 -0.512 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CCNS(C)(=O)=O)C[C@H]1N1CCOCC1 ZINC001494351216 1074666184 /nfs/dbraw/zinc/66/61/84/1074666184.db2.gz KPLMYWSHZXJGLF-OWCLPIDISA-N 0 0 428.559 -0.512 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CCNS(C)(=O)=O)C[C@@H]1N1CCOCC1 ZINC001494351217 1074666244 /nfs/dbraw/zinc/66/62/44/1074666244.db2.gz KPLMYWSHZXJGLF-PMPSAXMXSA-N 0 0 428.559 -0.512 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2CF)CC(=O)N1C ZINC001494361220 1074666309 /nfs/dbraw/zinc/66/63/09/1074666309.db2.gz CHMPGUSTLVJNNH-FQUUOJAGSA-N 0 0 430.506 -0.192 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2CF)CC(=O)N1C ZINC001494361225 1074666264 /nfs/dbraw/zinc/66/62/64/1074666264.db2.gz CHMPGUSTLVJNNH-IGQOVBAYSA-N 0 0 430.506 -0.192 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2CF)CC(=O)N1C ZINC001494361226 1074666253 /nfs/dbraw/zinc/66/62/53/1074666253.db2.gz CHMPGUSTLVJNNH-RQJABVFESA-N 0 0 430.506 -0.192 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2[C@@H]2C[C@H]2CF)CC(=O)N1C ZINC001494361228 1074666176 /nfs/dbraw/zinc/66/61/76/1074666176.db2.gz CHMPGUSTLVJNNH-XJFOESAGSA-N 0 0 430.506 -0.192 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C[C@H]1C ZINC001494361600 1074666279 /nfs/dbraw/zinc/66/62/79/1074666279.db2.gz CREJUZYJJNVZNF-BARDWOONSA-N 0 0 426.543 -0.018 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C[C@H]1C ZINC001494361602 1074666269 /nfs/dbraw/zinc/66/62/69/1074666269.db2.gz CREJUZYJJNVZNF-KBUPBQIOSA-N 0 0 426.543 -0.018 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C[C@H]1C ZINC001494361605 1074666585 /nfs/dbraw/zinc/66/65/85/1074666585.db2.gz CREJUZYJJNVZNF-LXTVHRRPSA-N 0 0 426.543 -0.018 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2CCC(=O)N2)C[C@H]1C ZINC001494361608 1074666641 /nfs/dbraw/zinc/66/66/41/1074666641.db2.gz CREJUZYJJNVZNF-TUVASFSCSA-N 0 0 426.543 -0.018 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCS(C)(=O)=O)C[C@H]1C ZINC001494361694 1074666687 /nfs/dbraw/zinc/66/66/87/1074666687.db2.gz DJIJQCPULQPCKX-HZSPNIEDSA-N 0 0 435.572 -0.252 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCS(C)(=O)=O)C[C@H]1C ZINC001494361695 1074666680 /nfs/dbraw/zinc/66/66/80/1074666680.db2.gz DJIJQCPULQPCKX-MCIONIFRSA-N 0 0 435.572 -0.252 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCS(C)(=O)=O)C[C@H]1C ZINC001494361696 1074666629 /nfs/dbraw/zinc/66/66/29/1074666629.db2.gz DJIJQCPULQPCKX-MGPQQGTHSA-N 0 0 435.572 -0.252 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCS(C)(=O)=O)C[C@H]1C ZINC001494361697 1074666638 /nfs/dbraw/zinc/66/66/38/1074666638.db2.gz DJIJQCPULQPCKX-MJBXVCDLSA-N 0 0 435.572 -0.252 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)CCCO1 ZINC001494362804 1074666665 /nfs/dbraw/zinc/66/66/65/1074666665.db2.gz IBMACUWOUWGCTN-CABCVRRESA-N 0 0 444.558 -0.296 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)CCCO1 ZINC001494362806 1074666612 /nfs/dbraw/zinc/66/66/12/1074666612.db2.gz IBMACUWOUWGCTN-GJZGRUSLSA-N 0 0 444.558 -0.296 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)CCCO1 ZINC001494362807 1074666575 /nfs/dbraw/zinc/66/65/75/1074666575.db2.gz IBMACUWOUWGCTN-HUUCEWRRSA-N 0 0 444.558 -0.296 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCC(=O)N(C)C)CCCO1 ZINC001494362809 1074666616 /nfs/dbraw/zinc/66/66/16/1074666616.db2.gz IBMACUWOUWGCTN-LSDHHAIUSA-N 0 0 444.558 -0.296 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C[C@@H](C)O1 ZINC001494363629 1074666621 /nfs/dbraw/zinc/66/66/21/1074666621.db2.gz KXMVZNDWZZEXPF-FPCVCCKLSA-N 0 0 445.542 -0.360 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@H]2COCCO2)C[C@@H](C)O1 ZINC001494363630 1074666661 /nfs/dbraw/zinc/66/66/61/1074666661.db2.gz KXMVZNDWZZEXPF-KLHDSHLOSA-N 0 0 445.542 -0.360 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2Cc2cnn(C)c2)CCO1 ZINC001494366288 1074666645 /nfs/dbraw/zinc/66/66/45/1074666645.db2.gz URDTXJUVNGUBLH-DZGCQCFKSA-N 0 0 425.515 -0.382 20 0 IBADRN C[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2Cc2cnn(C)c2)CCO1 ZINC001494366289 1074666630 /nfs/dbraw/zinc/66/66/30/1074666630.db2.gz URDTXJUVNGUBLH-HIFRSBDPSA-N 0 0 425.515 -0.382 20 0 IBADRN C[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2Cc2cnn(C)c2)CCO1 ZINC001494366290 1074666670 /nfs/dbraw/zinc/66/66/70/1074666670.db2.gz URDTXJUVNGUBLH-UKRRQHHQSA-N 0 0 425.515 -0.382 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2Cc2cnn(C)c2)CCO1 ZINC001494366291 1074666650 /nfs/dbraw/zinc/66/66/50/1074666650.db2.gz URDTXJUVNGUBLH-ZFWWWQNUSA-N 0 0 425.515 -0.382 20 0 IBADRN C[C@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCCO1 ZINC001494366949 1074666601 /nfs/dbraw/zinc/66/66/01/1074666601.db2.gz WTATWYIFGMFOIR-HRCADAONSA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@H]2COCCO2)CCCO1 ZINC001494366950 1074666656 /nfs/dbraw/zinc/66/66/56/1074666656.db2.gz WTATWYIFGMFOIR-JYJNAYRXSA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3ccccn3)n2CCC[C@@H]2NC(=O)NC2=O)CC(=O)N1C ZINC001494410543 1074666675 /nfs/dbraw/zinc/66/66/75/1074666675.db2.gz VOXVKGZSBGNWLN-HIFRSBDPSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccccn3)n2CCC[C@@H]2NC(=O)NC2=O)CC(=O)N1C ZINC001494410544 1074666590 /nfs/dbraw/zinc/66/65/90/1074666590.db2.gz VOXVKGZSBGNWLN-ZFWWWQNUSA-N 0 0 426.481 -0.081 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)CC(=O)N1C ZINC001494413008 1074667168 /nfs/dbraw/zinc/66/71/68/1074667168.db2.gz AVXUOQXHEPOHNR-AUUYWEPGSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccncc3)n2C[C@]2(O)CCS(=O)(=O)C2)CC(=O)N1C ZINC001494413009 1074667192 /nfs/dbraw/zinc/66/71/92/1074667192.db2.gz AVXUOQXHEPOHNR-IFXJQAMLSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC(=O)N1C ZINC001494413010 1074667213 /nfs/dbraw/zinc/66/72/13/1074667213.db2.gz AVXUOQXHEPOHNR-KUHUBIRLSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3ccncc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC(=O)N1C ZINC001494413011 1074667200 /nfs/dbraw/zinc/66/72/00/1074667200.db2.gz AVXUOQXHEPOHNR-LIRRHRJNSA-N 0 0 434.522 -0.520 20 0 IBADRN C[C@H]1CN(c2nnc(Cc3cccnc3)n2CCOCCS(C)(=O)=O)CC(=O)N1C ZINC001494414576 1074667193 /nfs/dbraw/zinc/66/71/93/1074667193.db2.gz JHMMYQJUAOFAOE-HNNXBMFYSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H]1CN(c2nnc(Cc3cccnc3)n2CCOCCS(C)(=O)=O)CC(=O)N1C ZINC001494414583 1074667181 /nfs/dbraw/zinc/66/71/81/1074667181.db2.gz JHMMYQJUAOFAOE-OAHLLOKOSA-N 0 0 436.538 -0.008 20 0 IBADRN C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)(F)F)CC(=O)N1C ZINC001494457021 1074667195 /nfs/dbraw/zinc/66/71/95/1074667195.db2.gz MVMVKGNPMWSLOC-LLVKDONJSA-N 0 0 431.419 -0.254 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)(F)F)CC(=O)N1C ZINC001494457025 1074667178 /nfs/dbraw/zinc/66/71/78/1074667178.db2.gz MVMVKGNPMWSLOC-NSHDSACASA-N 0 0 431.419 -0.254 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCS(=O)(=O)C2)CCCO1 ZINC001494462941 1074667205 /nfs/dbraw/zinc/66/72/05/1074667205.db2.gz AKJAMLXBLOTULP-KBXCAEBGSA-N 0 0 427.527 -0.435 20 0 IBADRN C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCS(=O)(=O)C2)CCCO1 ZINC001494462943 1074667170 /nfs/dbraw/zinc/66/71/70/1074667170.db2.gz AKJAMLXBLOTULP-KDOFPFPSSA-N 0 0 427.527 -0.435 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@@]2(O)CCS(=O)(=O)C2)CCCO1 ZINC001494462946 1074667198 /nfs/dbraw/zinc/66/71/98/1074667198.db2.gz AKJAMLXBLOTULP-KSSFIOAISA-N 0 0 427.527 -0.435 20 0 IBADRN C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2C[C@]2(O)CCS(=O)(=O)C2)CCCO1 ZINC001494462949 1074667164 /nfs/dbraw/zinc/66/71/64/1074667164.db2.gz AKJAMLXBLOTULP-RDTXWAMCSA-N 0 0 427.527 -0.435 20 0 IBADRN C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)CC(=O)N1C ZINC001494463975 1074667172 /nfs/dbraw/zinc/66/71/72/1074667172.db2.gz GMFQINCMVPITEK-CYBMUJFWSA-N 0 0 427.531 -0.993 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCCNS(C)(=O)=O)CC(=O)N1C ZINC001494463976 1074667187 /nfs/dbraw/zinc/66/71/87/1074667187.db2.gz GMFQINCMVPITEK-ZDUSSCGKSA-N 0 0 427.531 -0.993 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCNS(C)(=O)=O)C[C@@]2(CCOC2)O1 ZINC001494465581 1074667166 /nfs/dbraw/zinc/66/71/66/1074667166.db2.gz PSIUWLAWEDWEPW-KBXCAEBGSA-N 0 0 442.542 -0.666 20 0 IBADRN C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCNS(C)(=O)=O)C[C@]2(CCOC2)O1 ZINC001494465582 1074667162 /nfs/dbraw/zinc/66/71/62/1074667162.db2.gz PSIUWLAWEDWEPW-KDOFPFPSSA-N 0 0 442.542 -0.666 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCNS(C)(=O)=O)C[C@]2(CCOC2)O1 ZINC001494465583 1074667210 /nfs/dbraw/zinc/66/72/10/1074667210.db2.gz PSIUWLAWEDWEPW-KSSFIOAISA-N 0 0 442.542 -0.666 20 0 IBADRN C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCNS(C)(=O)=O)C[C@@]2(CCOC2)O1 ZINC001494465584 1074667190 /nfs/dbraw/zinc/66/71/90/1074667190.db2.gz PSIUWLAWEDWEPW-RDTXWAMCSA-N 0 0 442.542 -0.666 20 0 IBADRN C[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001494466236 1074667176 /nfs/dbraw/zinc/66/71/76/1074667176.db2.gz SXUZCXCHPUBONF-AWEZNQCLSA-N 0 0 427.527 -0.435 20 0 IBADRN C[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001494466238 1074667156 /nfs/dbraw/zinc/66/71/56/1074667156.db2.gz SXUZCXCHPUBONF-CQSZACIVSA-N 0 0 427.527 -0.435 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@@H]1COCCO1 ZINC001494579669 1074667699 /nfs/dbraw/zinc/66/76/99/1074667699.db2.gz MPVJOBJGYFSRHD-BZUAXINKSA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@@H]1COCCO1 ZINC001494579670 1074667689 /nfs/dbraw/zinc/66/76/89/1074667689.db2.gz MPVJOBJGYFSRHD-OAGGEKHMSA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@H]1COCCO1 ZINC001494579671 1074667780 /nfs/dbraw/zinc/66/77/80/1074667780.db2.gz MPVJOBJGYFSRHD-OWCLPIDISA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@H]1COCCO1 ZINC001494579672 1074667760 /nfs/dbraw/zinc/66/77/60/1074667760.db2.gz MPVJOBJGYFSRHD-PMPSAXMXSA-N 0 0 445.542 -0.358 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1COCCO1 ZINC001494582236 1074667766 /nfs/dbraw/zinc/66/77/66/1074667766.db2.gz ZHLNVIXHSKBIHH-ILXRZTDVSA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1COCCO1 ZINC001494582240 1074667732 /nfs/dbraw/zinc/66/77/32/1074667732.db2.gz ZHLNVIXHSKBIHH-KKUMJFAQSA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1COCCO1 ZINC001494582242 1074667752 /nfs/dbraw/zinc/66/77/52/1074667752.db2.gz ZHLNVIXHSKBIHH-QLFBSQMISA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@H]1COCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1COCCO1 ZINC001494582245 1074667782 /nfs/dbraw/zinc/66/77/82/1074667782.db2.gz ZHLNVIXHSKBIHH-SOUVJXGZSA-N 0 0 431.515 -0.749 20 0 IBADRN C[C@@H]1CSCCN1c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001494621456 1074668031 /nfs/dbraw/zinc/66/80/31/1074668031.db2.gz GKQWBSOHJFPZDD-CXAGYDPISA-N 0 0 429.568 -0.108 20 0 IBADRN C[C@@H]1CSCCN1c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001494621470 1074668070 /nfs/dbraw/zinc/66/80/70/1074668070.db2.gz GKQWBSOHJFPZDD-DYVFJYSZSA-N 0 0 429.568 -0.108 20 0 IBADRN C[C@H]1CSCCN1c1nnc(CN2CCCC2=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001494621475 1074668104 /nfs/dbraw/zinc/66/81/04/1074668104.db2.gz GKQWBSOHJFPZDD-GUYCJALGSA-N 0 0 429.568 -0.108 20 0 IBADRN C[C@H]1CSCCN1c1nnc(CN2CCCC2=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001494621478 1074668114 /nfs/dbraw/zinc/66/81/14/1074668114.db2.gz GKQWBSOHJFPZDD-SUMWQHHRSA-N 0 0 429.568 -0.108 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001494642327 1074668130 /nfs/dbraw/zinc/66/81/30/1074668130.db2.gz FEOCFXNSJHABRG-KBXCAEBGSA-N 0 0 442.542 -0.864 20 0 IBADRN C[C@H]1OCC[C@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001494642330 1074668125 /nfs/dbraw/zinc/66/81/25/1074668125.db2.gz FEOCFXNSJHABRG-KDOFPFPSSA-N 0 0 442.542 -0.864 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001494642331 1074668086 /nfs/dbraw/zinc/66/80/86/1074668086.db2.gz FEOCFXNSJHABRG-KSSFIOAISA-N 0 0 442.542 -0.864 20 0 IBADRN C[C@H]1OCC[C@@]1(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001494642332 1074668110 /nfs/dbraw/zinc/66/81/10/1074668110.db2.gz FEOCFXNSJHABRG-RDTXWAMCSA-N 0 0 442.542 -0.864 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001494661583 1074668074 /nfs/dbraw/zinc/66/80/74/1074668074.db2.gz QFYDSCFZNGJZKI-BXWFABGCSA-N 0 0 443.570 -0.512 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001494661584 1074668099 /nfs/dbraw/zinc/66/80/99/1074668099.db2.gz QFYDSCFZNGJZKI-FCEWJHQRSA-N 0 0 443.570 -0.512 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001494661585 1074668039 /nfs/dbraw/zinc/66/80/39/1074668039.db2.gz QFYDSCFZNGJZKI-FRQCXROJSA-N 0 0 443.570 -0.512 20 0 IBADRN C[C@@H]1OCC[C@@]1(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001494661586 1074668062 /nfs/dbraw/zinc/66/80/62/1074668062.db2.gz QFYDSCFZNGJZKI-TXPKVOOTSA-N 0 0 443.570 -0.512 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001494664432 1074668609 /nfs/dbraw/zinc/66/86/09/1074668609.db2.gz ZEWZYUALCJELPP-CFAJVAMVSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001494664433 1074668582 /nfs/dbraw/zinc/66/85/82/1074668582.db2.gz ZEWZYUALCJELPP-LOUJCGABSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001494664434 1074668638 /nfs/dbraw/zinc/66/86/38/1074668638.db2.gz ZEWZYUALCJELPP-WSMBLCCSSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@@H]1OCC[C@]1(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001494664435 1074668650 /nfs/dbraw/zinc/66/86/50/1074668650.db2.gz ZEWZYUALCJELPP-ZJOBFFGXSA-N 0 0 448.567 -0.657 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(c1nnc([C@H]3CCS(=O)(=O)C3)n1CCS(C)(=O)=O)CCO2 ZINC001494665188 1074668569 /nfs/dbraw/zinc/66/85/69/1074668569.db2.gz AWOIPWSURCTKBL-GRDNDAEWSA-N 0 0 448.567 -0.391 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(c1nnc([C@@H]3CCS(=O)(=O)C3)n1CCS(C)(=O)=O)CCO2 ZINC001494665189 1074668621 /nfs/dbraw/zinc/66/86/21/1074668621.db2.gz AWOIPWSURCTKBL-JJRVBVJISA-N 0 0 448.567 -0.391 20 0 IBADRN C[C@@H]1OCC[C@]12CN(c1nnc([C@@H]3CCS(=O)(=O)C3)n1CCS(C)(=O)=O)CCO2 ZINC001494665190 1074668612 /nfs/dbraw/zinc/66/86/12/1074668612.db2.gz AWOIPWSURCTKBL-VBQJREDUSA-N 0 0 448.567 -0.391 20 0 IBADRN C[C@@H]1OCC[C@]12CN(c1nnc([C@H]3CCS(=O)(=O)C3)n1CCS(C)(=O)=O)CCO2 ZINC001494665191 1074668654 /nfs/dbraw/zinc/66/86/54/1074668654.db2.gz AWOIPWSURCTKBL-ZQIUZPCESA-N 0 0 448.567 -0.391 20 0 IBADRN C[C@H]1OCC[C@@]12CN(c1nnc(-c3cnn(C)c3)n1CCNS(C)(=O)=O)CCO2 ZINC001494665576 1074668641 /nfs/dbraw/zinc/66/86/41/1074668641.db2.gz DZNDWMUSBCAMOH-CXAGYDPISA-N 0 0 425.515 -0.388 20 0 IBADRN C[C@H]1OCC[C@]12CN(c1nnc(-c3cnn(C)c3)n1CCNS(C)(=O)=O)CCO2 ZINC001494665577 1074668629 /nfs/dbraw/zinc/66/86/29/1074668629.db2.gz DZNDWMUSBCAMOH-DYVFJYSZSA-N 0 0 425.515 -0.388 20 0 IBADRN C[C@@H]1OCC[C@]12CN(c1nnc(-c3cnn(C)c3)n1CCNS(C)(=O)=O)CCO2 ZINC001494665578 1074668636 /nfs/dbraw/zinc/66/86/36/1074668636.db2.gz DZNDWMUSBCAMOH-GUYCJALGSA-N 0 0 425.515 -0.388 20 0 IBADRN C[C@@H]1OCC[C@@]12CN(c1nnc(-c3cnn(C)c3)n1CCNS(C)(=O)=O)CCO2 ZINC001494665579 1074668602 /nfs/dbraw/zinc/66/86/02/1074668602.db2.gz DZNDWMUSBCAMOH-SUMWQHHRSA-N 0 0 425.515 -0.388 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)C1 ZINC001494698195 1074668624 /nfs/dbraw/zinc/66/86/24/1074668624.db2.gz MUEPENXOXXJSIB-CHWSQXEVSA-N 0 0 439.546 -0.440 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)C1 ZINC001494698198 1074668616 /nfs/dbraw/zinc/66/86/16/1074668616.db2.gz MUEPENXOXXJSIB-OLZOCXBDSA-N 0 0 439.546 -0.440 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)C1 ZINC001494698199 1074668593 /nfs/dbraw/zinc/66/85/93/1074668593.db2.gz MUEPENXOXXJSIB-QWHCGFSZSA-N 0 0 439.546 -0.440 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)C1 ZINC001494698201 1074668633 /nfs/dbraw/zinc/66/86/33/1074668633.db2.gz MUEPENXOXXJSIB-STQMWFEESA-N 0 0 439.546 -0.440 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)C1 ZINC001494723651 1074668575 /nfs/dbraw/zinc/66/85/75/1074668575.db2.gz RJGRDTPRPMEHTR-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)C1 ZINC001494723652 1074668646 /nfs/dbraw/zinc/66/86/46/1074668646.db2.gz RJGRDTPRPMEHTR-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)C1 ZINC001494723653 1074668579 /nfs/dbraw/zinc/66/85/79/1074668579.db2.gz RJGRDTPRPMEHTR-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCOC)C1 ZINC001494723654 1074668563 /nfs/dbraw/zinc/66/85/63/1074668563.db2.gz RJGRDTPRPMEHTR-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN CCC(=O)N[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)C1 ZINC001494729041 1074669076 /nfs/dbraw/zinc/66/90/76/1074669076.db2.gz RQPZSUJNYDRQDL-INIZCTEOSA-N 0 0 447.540 -0.246 20 0 IBADRN CCC(=O)N[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)C1 ZINC001494729042 1074669081 /nfs/dbraw/zinc/66/90/81/1074669081.db2.gz RQPZSUJNYDRQDL-MRXNPFEDSA-N 0 0 447.540 -0.246 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001494772371 1074669071 /nfs/dbraw/zinc/66/90/71/1074669071.db2.gz KXRJXSPBSWQPGH-HUBLWGQQSA-N 0 0 425.577 -0.081 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001494772373 1074669057 /nfs/dbraw/zinc/66/90/57/1074669057.db2.gz KXRJXSPBSWQPGH-JMSVASOKSA-N 0 0 425.577 -0.081 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)[C@H](C)CS(C)(=O)=O ZINC001494772375 1074669036 /nfs/dbraw/zinc/66/90/36/1074669036.db2.gz KXRJXSPBSWQPGH-XUJVJEKNSA-N 0 0 425.577 -0.081 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)[C@@H](C)CS(C)(=O)=O ZINC001494772377 1074669027 /nfs/dbraw/zinc/66/90/27/1074669027.db2.gz KXRJXSPBSWQPGH-ZOWXZIJZSA-N 0 0 425.577 -0.081 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001494772852 1074669040 /nfs/dbraw/zinc/66/90/40/1074669040.db2.gz NMUYNBUQZNJCGQ-DOMZBBRYSA-N 0 0 438.576 -0.869 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001494772853 1074669093 /nfs/dbraw/zinc/66/90/93/1074669093.db2.gz NMUYNBUQZNJCGQ-IUODEOHRSA-N 0 0 438.576 -0.869 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001494772854 1074669063 /nfs/dbraw/zinc/66/90/63/1074669063.db2.gz NMUYNBUQZNJCGQ-SWLSCSKDSA-N 0 0 438.576 -0.869 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001494772855 1074669015 /nfs/dbraw/zinc/66/90/15/1074669015.db2.gz NMUYNBUQZNJCGQ-WFASDCNBSA-N 0 0 438.576 -0.869 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)COC)CC1 ZINC001494774119 1074669070 /nfs/dbraw/zinc/66/90/70/1074669070.db2.gz UWMFLWKQUITTSM-CXAGYDPISA-N 0 0 432.547 -0.656 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)COC)CC1 ZINC001494774125 1074669042 /nfs/dbraw/zinc/66/90/42/1074669042.db2.gz UWMFLWKQUITTSM-DYVFJYSZSA-N 0 0 432.547 -0.656 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)COC)CC1 ZINC001494774126 1074669032 /nfs/dbraw/zinc/66/90/32/1074669032.db2.gz UWMFLWKQUITTSM-GUYCJALGSA-N 0 0 432.547 -0.656 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)COC)CC1 ZINC001494774127 1074669045 /nfs/dbraw/zinc/66/90/45/1074669045.db2.gz UWMFLWKQUITTSM-SUMWQHHRSA-N 0 0 432.547 -0.656 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001494793955 1074669049 /nfs/dbraw/zinc/66/90/49/1074669049.db2.gz GUUMGTAHJCEWSI-JLTOFOAXSA-N 0 0 435.529 -0.437 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001494793956 1074669058 /nfs/dbraw/zinc/66/90/58/1074669058.db2.gz GUUMGTAHJCEWSI-VBKZILBWSA-N 0 0 435.529 -0.437 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001494793957 1074669067 /nfs/dbraw/zinc/66/90/67/1074669067.db2.gz GUUMGTAHJCEWSI-VLIAUNLRSA-N 0 0 435.529 -0.437 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001494793958 1074669086 /nfs/dbraw/zinc/66/90/86/1074669086.db2.gz GUUMGTAHJCEWSI-XOBRGWDASA-N 0 0 435.529 -0.437 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001494796986 1074669021 /nfs/dbraw/zinc/66/90/21/1074669021.db2.gz WHVHFVNEHIFVFM-FOIQADDNSA-N 0 0 435.529 -0.036 20 0 IBADRN CC[C@@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001494796989 1074669090 /nfs/dbraw/zinc/66/90/90/1074669090.db2.gz WHVHFVNEHIFVFM-MGPUTAFESA-N 0 0 435.529 -0.036 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001494796991 1074669706 /nfs/dbraw/zinc/66/97/06/1074669706.db2.gz WHVHFVNEHIFVFM-QRWLVFNGSA-N 0 0 435.529 -0.036 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001494796992 1074669676 /nfs/dbraw/zinc/66/96/76/1074669676.db2.gz WHVHFVNEHIFVFM-YWZLYKJASA-N 0 0 435.529 -0.036 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001494807543 1074669619 /nfs/dbraw/zinc/66/96/19/1074669619.db2.gz ZLRHXVKTINZZRP-DEYYWGMASA-N 0 0 444.558 -0.561 20 0 IBADRN CC[C@](C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001494807544 1074669568 /nfs/dbraw/zinc/66/95/68/1074669568.db2.gz ZLRHXVKTINZZRP-GLJUWKHASA-N 0 0 444.558 -0.561 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001494807548 1074669702 /nfs/dbraw/zinc/66/97/02/1074669702.db2.gz ZLRHXVKTINZZRP-IYOUNJFTSA-N 0 0 444.558 -0.561 20 0 IBADRN CC[C@](C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001494807551 1074669683 /nfs/dbraw/zinc/66/96/83/1074669683.db2.gz ZLRHXVKTINZZRP-LBTNJELSSA-N 0 0 444.558 -0.561 20 0 IBADRN CC[C@H](C)[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](C(=O)NC)C1)OC ZINC001494956115 1074669641 /nfs/dbraw/zinc/66/96/41/1074669641.db2.gz DGRZFVHSOZEAGO-AJNGGQMLSA-N 0 0 446.574 -0.099 20 0 IBADRN CC[C@H](C)[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](C(=O)NC)C1)OC ZINC001494956117 1074669646 /nfs/dbraw/zinc/66/96/46/1074669646.db2.gz DGRZFVHSOZEAGO-LJISPDSOSA-N 0 0 446.574 -0.099 20 0 IBADRN CC[C@H](C)[C@H](Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](C(=O)NC)C1)OC ZINC001494956119 1074669670 /nfs/dbraw/zinc/66/96/70/1074669670.db2.gz DGRZFVHSOZEAGO-XGUBFFRZSA-N 0 0 446.574 -0.099 20 0 IBADRN CC[C@H](C)[C@H](Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](C(=O)NC)C1)OC ZINC001494956122 1074669625 /nfs/dbraw/zinc/66/96/25/1074669625.db2.gz DGRZFVHSOZEAGO-ZQDZILKHSA-N 0 0 446.574 -0.099 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001495491891 1074669680 /nfs/dbraw/zinc/66/96/80/1074669680.db2.gz PYRDYERMSHYLMH-CXAGYDPISA-N 0 0 449.599 -0.141 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001495491892 1074669651 /nfs/dbraw/zinc/66/96/51/1074669651.db2.gz PYRDYERMSHYLMH-DYVFJYSZSA-N 0 0 449.599 -0.141 20 0 IBADRN CC[C@H](C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001495491893 1074669591 /nfs/dbraw/zinc/66/95/91/1074669591.db2.gz PYRDYERMSHYLMH-GUYCJALGSA-N 0 0 449.599 -0.141 20 0 IBADRN CC[C@H](C)c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001495491894 1074669613 /nfs/dbraw/zinc/66/96/13/1074669613.db2.gz PYRDYERMSHYLMH-SUMWQHHRSA-N 0 0 449.599 -0.141 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001495693095 1074669554 /nfs/dbraw/zinc/66/95/54/1074669554.db2.gz CVIWHXJMTMRPDD-AUUYWEPGSA-N 0 0 430.517 -0.395 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001495693096 1074669559 /nfs/dbraw/zinc/66/95/59/1074669559.db2.gz CVIWHXJMTMRPDD-IFXJQAMLSA-N 0 0 430.517 -0.395 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001495693097 1074669521 /nfs/dbraw/zinc/66/95/21/1074669521.db2.gz CVIWHXJMTMRPDD-KUHUBIRLSA-N 0 0 430.517 -0.395 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001495693098 1074669704 /nfs/dbraw/zinc/66/97/04/1074669704.db2.gz CVIWHXJMTMRPDD-LIRRHRJNSA-N 0 0 430.517 -0.395 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001495849591 1074669658 /nfs/dbraw/zinc/66/96/58/1074669658.db2.gz ILWFPBGSFXZHIV-AWEZNQCLSA-N 0 0 434.588 -0.091 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN(S(C)(=O)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001495849592 1074670017 /nfs/dbraw/zinc/67/00/17/1074670017.db2.gz ILWFPBGSFXZHIV-CQSZACIVSA-N 0 0 434.588 -0.091 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)NCc1ccco1 ZINC001495849631 1074670103 /nfs/dbraw/zinc/67/01/03/1074670103.db2.gz JALCOSYCPOOGFH-KBPBESRZSA-N 0 0 429.481 -0.152 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)NCc1ccco1 ZINC001495849632 1074670007 /nfs/dbraw/zinc/67/00/07/1074670007.db2.gz JALCOSYCPOOGFH-KGLIPLIRSA-N 0 0 429.481 -0.152 20 0 IBADRN CC[C@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)NCc1ccco1 ZINC001495849633 1074670099 /nfs/dbraw/zinc/67/00/99/1074670099.db2.gz JALCOSYCPOOGFH-UONOGXRCSA-N 0 0 429.481 -0.152 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)NCc1ccco1 ZINC001495849634 1074670130 /nfs/dbraw/zinc/67/01/30/1074670130.db2.gz JALCOSYCPOOGFH-ZIAGYGMSSA-N 0 0 429.481 -0.152 20 0 IBADRN CC[C@H](C)c1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CC(=O)NC(C)C ZINC001495852024 1074670081 /nfs/dbraw/zinc/67/00/81/1074670081.db2.gz YCIYHILGRHFFAK-AWEZNQCLSA-N 0 0 447.544 -0.217 20 0 IBADRN CC[C@@H](C)c1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CC(=O)NC(C)C ZINC001495852025 1074669975 /nfs/dbraw/zinc/66/99/75/1074669975.db2.gz YCIYHILGRHFFAK-CQSZACIVSA-N 0 0 447.544 -0.217 20 0 IBADRN CC[C@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001495986865 1074670107 /nfs/dbraw/zinc/67/01/07/1074670107.db2.gz UWDDATVWDMOWKE-HEHGZKQESA-N 0 0 437.588 -0.080 20 0 IBADRN CC[C@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001495986866 1074670087 /nfs/dbraw/zinc/67/00/87/1074670087.db2.gz UWDDATVWDMOWKE-WOSRLPQWSA-N 0 0 437.588 -0.080 20 0 IBADRN CC[C@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001495986867 1074670040 /nfs/dbraw/zinc/67/00/40/1074670040.db2.gz UWDDATVWDMOWKE-XEZPLFJOSA-N 0 0 437.588 -0.080 20 0 IBADRN CC[C@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001495986868 1074670024 /nfs/dbraw/zinc/67/00/24/1074670024.db2.gz UWDDATVWDMOWKE-ZENOOKHLSA-N 0 0 437.588 -0.080 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001496223319 1074670055 /nfs/dbraw/zinc/67/00/55/1074670055.db2.gz NMUCMVWXLJSITG-BFHYXJOUSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001496223323 1074670072 /nfs/dbraw/zinc/67/00/72/1074670072.db2.gz NMUCMVWXLJSITG-IHRRRGAJSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001496223324 1074670126 /nfs/dbraw/zinc/67/01/26/1074670126.db2.gz NMUCMVWXLJSITG-MELADBBJSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001496223325 1074670113 /nfs/dbraw/zinc/67/01/13/1074670113.db2.gz NMUCMVWXLJSITG-MJBXVCDLSA-N 0 0 443.574 -0.140 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001496268949 1074670092 /nfs/dbraw/zinc/67/00/92/1074670092.db2.gz IPLBEIXJAPDBMQ-KKUMJFAQSA-N 0 0 433.513 -0.766 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001496268950 1074670077 /nfs/dbraw/zinc/67/00/77/1074670077.db2.gz IPLBEIXJAPDBMQ-RRFJBIMHSA-N 0 0 433.513 -0.766 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001496268951 1074670047 /nfs/dbraw/zinc/67/00/47/1074670047.db2.gz IPLBEIXJAPDBMQ-SOUVJXGZSA-N 0 0 433.513 -0.766 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001496268952 1074669990 /nfs/dbraw/zinc/66/99/90/1074669990.db2.gz IPLBEIXJAPDBMQ-ZNMIVQPWSA-N 0 0 433.513 -0.766 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001496288148 1074670118 /nfs/dbraw/zinc/67/01/18/1074670118.db2.gz RFHOOEAMALFFPX-CABCVRRESA-N 0 0 432.529 -0.081 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001496288151 1074670623 /nfs/dbraw/zinc/67/06/23/1074670623.db2.gz RFHOOEAMALFFPX-GJZGRUSLSA-N 0 0 432.529 -0.081 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001496288156 1074670553 /nfs/dbraw/zinc/67/05/53/1074670553.db2.gz RFHOOEAMALFFPX-HUUCEWRRSA-N 0 0 432.529 -0.081 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001496288159 1074670638 /nfs/dbraw/zinc/67/06/38/1074670638.db2.gz RFHOOEAMALFFPX-LSDHHAIUSA-N 0 0 432.529 -0.081 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(C)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001496303184 1074670647 /nfs/dbraw/zinc/67/06/47/1074670647.db2.gz PPDRCAFTXRDQPL-DOTOQJQBSA-N 0 0 441.602 -0.095 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(C)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001496303185 1074670632 /nfs/dbraw/zinc/67/06/32/1074670632.db2.gz PPDRCAFTXRDQPL-NVXWUHKLSA-N 0 0 441.602 -0.095 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(C)n2C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001496303186 1074670581 /nfs/dbraw/zinc/67/05/81/1074670581.db2.gz PPDRCAFTXRDQPL-RDJZCZTQSA-N 0 0 441.602 -0.095 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(C)n2C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001496303187 1074670645 /nfs/dbraw/zinc/67/06/45/1074670645.db2.gz PPDRCAFTXRDQPL-WBVHZDCISA-N 0 0 441.602 -0.095 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCn2cncn2)CC1 ZINC001496318070 1074670651 /nfs/dbraw/zinc/67/06/51/1074670651.db2.gz USHIPXHBNAXGNN-CVEARBPZSA-N 0 0 444.544 -0.442 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCn2cncn2)CC1 ZINC001496318071 1074670558 /nfs/dbraw/zinc/67/05/58/1074670558.db2.gz USHIPXHBNAXGNN-HOTGVXAUSA-N 0 0 444.544 -0.442 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCn2cncn2)CC1 ZINC001496318072 1074670593 /nfs/dbraw/zinc/67/05/93/1074670593.db2.gz USHIPXHBNAXGNN-HZPDHXFCSA-N 0 0 444.544 -0.442 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCn2cncn2)CC1 ZINC001496318073 1074670641 /nfs/dbraw/zinc/67/06/41/1074670641.db2.gz USHIPXHBNAXGNN-JKSUJKDBSA-N 0 0 444.544 -0.442 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2Cc2ncn(C)n2)CC1 ZINC001496318142 1074670569 /nfs/dbraw/zinc/67/05/69/1074670569.db2.gz VUALMJFSJNRPOC-CVEARBPZSA-N 0 0 431.545 -0.005 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2Cc2ncn(C)n2)CC1 ZINC001496318144 1074670610 /nfs/dbraw/zinc/67/06/10/1074670610.db2.gz VUALMJFSJNRPOC-HOTGVXAUSA-N 0 0 431.545 -0.005 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2Cc2ncn(C)n2)CC1 ZINC001496318147 1074670575 /nfs/dbraw/zinc/67/05/75/1074670575.db2.gz VUALMJFSJNRPOC-HZPDHXFCSA-N 0 0 431.545 -0.005 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2Cc2ncn(C)n2)CC1 ZINC001496318149 1074670628 /nfs/dbraw/zinc/67/06/28/1074670628.db2.gz VUALMJFSJNRPOC-JKSUJKDBSA-N 0 0 431.545 -0.005 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(C3CC3)n2CCS(=O)(=O)NC)CC1 ZINC001496318612 1074670653 /nfs/dbraw/zinc/67/06/53/1074670653.db2.gz YSLYMPGTXGIIDP-AWEZNQCLSA-N 0 0 427.575 -0.259 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(C3CC3)n2CCS(=O)(=O)NC)CC1 ZINC001496318613 1074670659 /nfs/dbraw/zinc/67/06/59/1074670659.db2.gz YSLYMPGTXGIIDP-CQSZACIVSA-N 0 0 427.575 -0.259 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N(C)C)CC1 ZINC001496321614 1074670543 /nfs/dbraw/zinc/67/05/43/1074670543.db2.gz DTRWLBOJEOKEQS-INIZCTEOSA-N 0 0 448.572 -0.475 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N(C)C)CC1 ZINC001496321615 1074670619 /nfs/dbraw/zinc/67/06/19/1074670619.db2.gz DTRWLBOJEOKEQS-MRXNPFEDSA-N 0 0 448.572 -0.475 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1Cc1ncn(C)n1 ZINC001496708651 1074670599 /nfs/dbraw/zinc/67/05/99/1074670599.db2.gz QXJRXUZTOZRPIS-UHFFFAOYSA-N 0 0 433.517 -0.332 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC(N3CCNC3=O)CC2)n1Cc1nnn(C)n1 ZINC001496863724 1074671164 /nfs/dbraw/zinc/67/11/64/1074671164.db2.gz GLSMTGNGTBDHOC-UHFFFAOYSA-N 0 0 445.532 -0.238 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC(NC(C)=O)CC2)n1CCNS(C)(=O)=O ZINC001496864069 1074671184 /nfs/dbraw/zinc/67/11/84/1074671184.db2.gz IBKUGEJEYDPMEV-UHFFFAOYSA-N 0 0 443.574 -0.149 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC1(O)CC1 ZINC001496999009 1074671147 /nfs/dbraw/zinc/67/11/47/1074671147.db2.gz PBGJICAMTMCWED-UHFFFAOYSA-N 0 0 447.540 -0.351 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCCN(CC)C(=O)C2)n1CCS(=O)(=O)NC ZINC001497005993 1074671191 /nfs/dbraw/zinc/67/11/91/1074671191.db2.gz CVTLDSZMURYSAS-UHFFFAOYSA-N 0 0 443.574 -0.196 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@H]1COCCO1 ZINC001497008924 1074671086 /nfs/dbraw/zinc/67/10/86/1074671086.db2.gz CITNFCXZEKMWOD-INIZCTEOSA-N 0 0 438.529 -0.093 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)COC)CC2)n1C[C@@H]1COCCO1 ZINC001497008927 1074671122 /nfs/dbraw/zinc/67/11/22/1074671122.db2.gz CITNFCXZEKMWOD-MRXNPFEDSA-N 0 0 438.529 -0.093 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CC(=O)N(C)C ZINC001497039877 1074671037 /nfs/dbraw/zinc/67/10/37/1074671037.db2.gz LXPDHLSOXACQLT-UHFFFAOYSA-N 0 0 445.528 -0.129 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CCn1cncn1 ZINC001497050945 1074671134 /nfs/dbraw/zinc/67/11/34/1074671134.db2.gz ZGGJJKBVHRSPEV-UHFFFAOYSA-N 0 0 446.560 -0.302 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C3CC3)C(=O)C2)n1CC(=O)N1CCOCC1 ZINC001497057218 1074671077 /nfs/dbraw/zinc/67/10/77/1074671077.db2.gz RZGLMPMILNTADC-UHFFFAOYSA-N 0 0 447.540 -0.134 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccn(C)n1 ZINC001497072185 1074671051 /nfs/dbraw/zinc/67/10/51/1074671051.db2.gz URGHSDMWKHGHFL-HNNXBMFYSA-N 0 0 443.512 -0.875 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccn(C)n1 ZINC001497072190 1074671142 /nfs/dbraw/zinc/67/11/42/1074671142.db2.gz URGHSDMWKHGHFL-OAHLLOKOSA-N 0 0 443.512 -0.875 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1ccco1 ZINC001497072882 1074671098 /nfs/dbraw/zinc/67/10/98/1074671098.db2.gz YCVLVMFIQNKFGU-AWEZNQCLSA-N 0 0 429.481 -0.015 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1ccco1 ZINC001497072883 1074671199 /nfs/dbraw/zinc/67/11/99/1074671199.db2.gz YCVLVMFIQNKFGU-CQSZACIVSA-N 0 0 429.481 -0.015 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1CCCOC1 ZINC001497073396 1074671179 /nfs/dbraw/zinc/67/11/79/1074671179.db2.gz YWZFBGKOFZCFJP-GDBMZVCRSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@@H]1CCCOC1 ZINC001497073401 1074671116 /nfs/dbraw/zinc/67/11/16/1074671116.db2.gz YWZFBGKOFZCFJP-GOEBONIOSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@@H]1CCCOC1 ZINC001497073405 1074671130 /nfs/dbraw/zinc/67/11/30/1074671130.db2.gz YWZFBGKOFZCFJP-HOCLYGCPSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1CCCOC1 ZINC001497073408 1074671109 /nfs/dbraw/zinc/67/11/09/1074671109.db2.gz YWZFBGKOFZCFJP-ZBFHGGJFSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC(=O)N(C)C ZINC001497073575 1074671155 /nfs/dbraw/zinc/67/11/55/1074671155.db2.gz ZYYYRIDQOVEDBF-ZDUSSCGKSA-N 0 0 443.574 -0.245 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)CC[C@H]3C2)n1CCNS(C)(=O)=O ZINC001497073600 1074671016 /nfs/dbraw/zinc/67/10/16/1074671016.db2.gz DEMYXQZRZSWFCZ-AWEZNQCLSA-N 0 0 441.558 -0.443 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)CC[C@@H]3C2)n1CCNS(C)(=O)=O ZINC001497073601 1074671031 /nfs/dbraw/zinc/67/10/31/1074671031.db2.gz DEMYXQZRZSWFCZ-CQSZACIVSA-N 0 0 441.558 -0.443 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@H]3C2)n1Cc1ncn(C)n1 ZINC001497075451 1074671675 /nfs/dbraw/zinc/67/16/75/1074671675.db2.gz OHABZVBOGULQMN-AWEZNQCLSA-N 0 0 430.517 -0.071 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)N(C)C[C@@H]3C2)n1Cc1ncn(C)n1 ZINC001497075453 1074671615 /nfs/dbraw/zinc/67/16/15/1074671615.db2.gz OHABZVBOGULQMN-CQSZACIVSA-N 0 0 430.517 -0.071 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@@]1(O)CCO[C@@H]1C ZINC001497086096 1074671660 /nfs/dbraw/zinc/67/16/60/1074671660.db2.gz JKVXVZNBIVQIAA-AFBRZQFHSA-N 0 0 438.529 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@]1(O)CCO[C@@H]1C ZINC001497086097 1074671680 /nfs/dbraw/zinc/67/16/80/1074671680.db2.gz JKVXVZNBIVQIAA-IAMHBRQHSA-N 0 0 438.529 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@@]1(O)CCO[C@H]1C ZINC001497086098 1074671652 /nfs/dbraw/zinc/67/16/52/1074671652.db2.gz JKVXVZNBIVQIAA-KPHUOKFYSA-N 0 0 438.529 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@]1(O)CCO[C@H]1C ZINC001497086399 1074671654 /nfs/dbraw/zinc/67/16/54/1074671654.db2.gz JKVXVZNBIVQIAA-ZQGRQUNCSA-N 0 0 438.529 -0.312 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1Cc1nncn1CC ZINC001497086497 1074671673 /nfs/dbraw/zinc/67/16/73/1074671673.db2.gz LFCUIYZAJOVPGI-AWEZNQCLSA-N 0 0 433.517 -0.193 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1Cc1nncn1CC ZINC001497086498 1074671591 /nfs/dbraw/zinc/67/15/91/1074671591.db2.gz LFCUIYZAJOVPGI-CQSZACIVSA-N 0 0 433.517 -0.193 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1CCS(=O)(=O)CC ZINC001497087949 1074671634 /nfs/dbraw/zinc/67/16/34/1074671634.db2.gz YKAZSCBCMYUQSO-AWEZNQCLSA-N 0 0 444.558 -0.418 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1CCS(=O)(=O)CC ZINC001497087950 1074671656 /nfs/dbraw/zinc/67/16/56/1074671656.db2.gz YKAZSCBCMYUQSO-CQSZACIVSA-N 0 0 444.558 -0.418 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001497111573 1074671678 /nfs/dbraw/zinc/67/16/78/1074671678.db2.gz AMXCBCYAWNVNMB-GOSISDBHSA-N 0 0 426.543 -0.090 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001497111574 1074671662 /nfs/dbraw/zinc/67/16/62/1074671662.db2.gz AMXCBCYAWNVNMB-SFHVURJKSA-N 0 0 426.543 -0.090 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1CCS(=O)(=O)CC ZINC001497111931 1074671682 /nfs/dbraw/zinc/67/16/82/1074671682.db2.gz CFAAJZJKMQLLKJ-UHFFFAOYSA-N 0 0 435.572 -0.134 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2C[C@@H](CC(=O)OC)[C@H](O)C2)n1C[C@H]1COCCO1 ZINC001497134446 1074671667 /nfs/dbraw/zinc/67/16/67/1074671667.db2.gz SCHWVWZWDALTFF-VNQPRFMTSA-N 0 0 439.513 -0.028 20 0 IBADRN CC[C@@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)CC1)OC ZINC001497325297 1074672340 /nfs/dbraw/zinc/67/23/40/1074672340.db2.gz ARMKFSHGKVRKOU-KBPBESRZSA-N 0 0 435.572 -0.313 20 0 IBADRN CC[C@@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)CC1)OC ZINC001497325298 1074672158 /nfs/dbraw/zinc/67/21/58/1074672158.db2.gz ARMKFSHGKVRKOU-KGLIPLIRSA-N 0 0 435.572 -0.313 20 0 IBADRN CC[C@H](Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)CC1)OC ZINC001497325299 1074672313 /nfs/dbraw/zinc/67/23/13/1074672313.db2.gz ARMKFSHGKVRKOU-UONOGXRCSA-N 0 0 435.572 -0.313 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(S(C)(=O)=O)CC1)OC ZINC001497325300 1074672287 /nfs/dbraw/zinc/67/22/87/1074672287.db2.gz ARMKFSHGKVRKOU-ZIAGYGMSSA-N 0 0 435.572 -0.313 20 0 IBADRN CCC(O)(CC)Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001497413363 1074672349 /nfs/dbraw/zinc/67/23/49/1074672349.db2.gz NYZRONRBGMEKAQ-UHFFFAOYSA-N 0 0 449.556 -0.105 20 0 IBADRN CCC(O)(CC)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001497413380 1074672202 /nfs/dbraw/zinc/67/22/02/1074672202.db2.gz OAWNSOILOFRETI-CABCVRRESA-N 0 0 430.575 -0.040 20 0 IBADRN CCC(O)(CC)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001497413382 1074672318 /nfs/dbraw/zinc/67/23/18/1074672318.db2.gz OAWNSOILOFRETI-GJZGRUSLSA-N 0 0 430.575 -0.040 20 0 IBADRN CCC(O)(CC)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@H]2C1 ZINC001497413384 1074672244 /nfs/dbraw/zinc/67/22/44/1074672244.db2.gz OAWNSOILOFRETI-HUUCEWRRSA-N 0 0 430.575 -0.040 20 0 IBADRN CCC(O)(CC)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2CCOC[C@H]2C1 ZINC001497413387 1074672326 /nfs/dbraw/zinc/67/23/26/1074672326.db2.gz OAWNSOILOFRETI-LSDHHAIUSA-N 0 0 430.575 -0.040 20 0 IBADRN CCC(O)(CC)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001497449515 1074672335 /nfs/dbraw/zinc/67/23/35/1074672335.db2.gz DWXZLZUADIPWES-AWEZNQCLSA-N 0 0 444.558 -0.560 20 0 IBADRN CCC(O)(CC)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCN(C)C(=O)C1 ZINC001497449516 1074672261 /nfs/dbraw/zinc/67/22/61/1074672261.db2.gz DWXZLZUADIPWES-CQSZACIVSA-N 0 0 444.558 -0.560 20 0 IBADRN CCC(O)(CC)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001497457840 1074672271 /nfs/dbraw/zinc/67/22/71/1074672271.db2.gz IXSWVYWNGZDPRZ-INIZCTEOSA-N 0 0 427.509 -0.038 20 0 IBADRN CCC(O)(CC)Cn1c(Cc2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001497457841 1074672307 /nfs/dbraw/zinc/67/23/07/1074672307.db2.gz IXSWVYWNGZDPRZ-MRXNPFEDSA-N 0 0 427.509 -0.038 20 0 IBADRN CC[C@]1(C)CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)N(C)C)CCO1 ZINC001497635550 1074672291 /nfs/dbraw/zinc/67/22/91/1074672291.db2.gz UXEUDSLKVZOFQM-KBXCAEBGSA-N 0 0 444.558 -0.296 20 0 IBADRN CC[C@@]1(C)CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(=O)N(C)C)CCO1 ZINC001497635551 1074672280 /nfs/dbraw/zinc/67/22/80/1074672280.db2.gz UXEUDSLKVZOFQM-KDOFPFPSSA-N 0 0 444.558 -0.296 20 0 IBADRN CCc1nnc(N2C[C@@H](C)[C@@H](N3CCN(C(C)=O)CC3)C2)n1CCCNS(C)(=O)=O ZINC001498818591 1074672797 /nfs/dbraw/zinc/67/27/97/1074672797.db2.gz USDCPMVGWPGICV-WBVHZDCISA-N 0 0 441.602 -0.231 20 0 IBADRN CCc1nnc(N2C[C@H](N3CCOCC3)[C@@H](CC)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001498852127 1074672768 /nfs/dbraw/zinc/67/27/68/1074672768.db2.gz OLAHQYWJYMTXFC-BXWFABGCSA-N 0 0 427.571 -0.063 20 0 IBADRN CCc1nnc(N2C[C@H](CC)[C@H](N3CCOCC3)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001498852133 1074672778 /nfs/dbraw/zinc/67/27/78/1074672778.db2.gz OLAHQYWJYMTXFC-FCEWJHQRSA-N 0 0 427.571 -0.063 20 0 IBADRN CCc1nnc(N2C[C@H](CC)[C@H](N3CCOCC3)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001498852135 1074672710 /nfs/dbraw/zinc/67/27/10/1074672710.db2.gz OLAHQYWJYMTXFC-FRQCXROJSA-N 0 0 427.571 -0.063 20 0 IBADRN CCc1nnc(N2C[C@H](N3CCOCC3)[C@@H](CC)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001498852139 1074672718 /nfs/dbraw/zinc/67/27/18/1074672718.db2.gz OLAHQYWJYMTXFC-TXPKVOOTSA-N 0 0 427.571 -0.063 20 0 IBADRN CCc1nnc(N2CCC(C(=O)NCCOC)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001498896794 1074672753 /nfs/dbraw/zinc/67/27/53/1074672753.db2.gz XSYMIBPXFNUGED-UHFFFAOYSA-N 0 0 443.570 -0.241 20 0 IBADRN CCc1nnc(N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)n1CCN1CCCS1(=O)=O ZINC001498918961 1074672809 /nfs/dbraw/zinc/67/28/09/1074672809.db2.gz XDKMJXUKTNQLAZ-GOSISDBHSA-N 0 0 439.542 -0.310 20 0 IBADRN CCc1nnc(N2CCC([C@]3(C)NC(=O)NC3=O)CC2)n1CCN1CCCS1(=O)=O ZINC001498918962 1074672865 /nfs/dbraw/zinc/67/28/65/1074672865.db2.gz XDKMJXUKTNQLAZ-SFHVURJKSA-N 0 0 439.542 -0.310 20 0 IBADRN CCc1nnc(N2CCC([C@@H]3NC(=O)N(C)C3=O)CC2)n1CCNS(=O)(=O)CC ZINC001498931133 1074672746 /nfs/dbraw/zinc/67/27/46/1074672746.db2.gz WCQUSMAGODTQMJ-AWEZNQCLSA-N 0 0 427.531 -0.454 20 0 IBADRN CCc1nnc(N2CCC([C@H]3NC(=O)N(C)C3=O)CC2)n1CCNS(=O)(=O)CC ZINC001498931134 1074672840 /nfs/dbraw/zinc/67/28/40/1074672840.db2.gz WCQUSMAGODTQMJ-CQSZACIVSA-N 0 0 427.531 -0.454 20 0 IBADRN CCc1nnc(N2CCC(CNS(C)(=O)=O)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001498950766 1074672835 /nfs/dbraw/zinc/67/28/35/1074672835.db2.gz DZVMNDSWTDCUCB-HNNXBMFYSA-N 0 0 448.615 -0.112 20 0 IBADRN CCc1nnc(N2CCC(CNS(C)(=O)=O)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001498950775 1074672783 /nfs/dbraw/zinc/67/27/83/1074672783.db2.gz DZVMNDSWTDCUCB-OAHLLOKOSA-N 0 0 448.615 -0.112 20 0 IBADRN CCc1nnc(N2CCC(NC(=O)COC)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001498974931 1074672818 /nfs/dbraw/zinc/67/28/18/1074672818.db2.gz DOOUGOCBNNYAID-UHFFFAOYSA-N 0 0 429.543 -0.488 20 0 IBADRN CCc1nnc(N2CCC(NS(=O)(=O)CC)CC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001498979892 1074672844 /nfs/dbraw/zinc/67/28/44/1074672844.db2.gz CCSVYOYPWNBFTC-UHFFFAOYSA-N 0 0 449.599 -0.312 20 0 IBADRN CCc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CCN1CCCS1(=O)=O ZINC001499001596 1074672856 /nfs/dbraw/zinc/67/28/56/1074672856.db2.gz PJERHXVPGQZVOF-UHFFFAOYSA-N 0 0 425.515 -0.603 20 0 IBADRN CCc1nnc(N2CCC[C@@H](CN(C)S(C)(=O)=O)C2)n1CCS(=O)(=O)N(C)C ZINC001499045950 1074672829 /nfs/dbraw/zinc/67/28/29/1074672829.db2.gz GHBGAWSXMOSAAR-AWEZNQCLSA-N 0 0 436.604 -0.160 20 0 IBADRN CCc1nnc(N2CCC[C@H](CN(C)S(C)(=O)=O)C2)n1CCS(=O)(=O)N(C)C ZINC001499045951 1074672739 /nfs/dbraw/zinc/67/27/39/1074672739.db2.gz GHBGAWSXMOSAAR-CQSZACIVSA-N 0 0 436.604 -0.160 20 0 IBADRN CCc1nnc(N2CCC[C@@H](CNS(C)(=O)=O)C2)n1CCN1CCCS1(=O)=O ZINC001499053880 1074672772 /nfs/dbraw/zinc/67/27/72/1074672772.db2.gz QVQZSLJHASMQHL-AWEZNQCLSA-N 0 0 434.588 -0.358 20 0 IBADRN CCc1nnc(N2CCC[C@H](CNS(C)(=O)=O)C2)n1CCN1CCCS1(=O)=O ZINC001499053881 1074672807 /nfs/dbraw/zinc/67/28/07/1074672807.db2.gz QVQZSLJHASMQHL-CQSZACIVSA-N 0 0 434.588 -0.358 20 0 IBADRN CCc1nnc(N2CCC[C@H](n3cnc(C(N)=O)n3)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001499060252 1074672724 /nfs/dbraw/zinc/67/27/24/1074672724.db2.gz KEVCSHIDZUFGLP-INIZCTEOSA-N 0 0 444.544 -0.464 20 0 IBADRN CCc1nnc(N2CCC[C@@H](n3cnc(C(N)=O)n3)C2)n1CCN1CCN(C(C)=O)CC1 ZINC001499060253 1074673401 /nfs/dbraw/zinc/67/34/01/1074673401.db2.gz KEVCSHIDZUFGLP-MRXNPFEDSA-N 0 0 444.544 -0.464 20 0 IBADRN CCc1nnc(N2CCC[C@H](N3CCCS3(=O)=O)C2)n1CCOCCS(C)(=O)=O ZINC001499060864 1074673366 /nfs/dbraw/zinc/67/33/66/1074673366.db2.gz WMKCKKHKPBSQIZ-HNNXBMFYSA-N 0 0 449.599 -0.094 20 0 IBADRN CCc1nnc(N2CCC[C@@H](N3CCCS3(=O)=O)C2)n1CCOCCS(C)(=O)=O ZINC001499060865 1074673416 /nfs/dbraw/zinc/67/34/16/1074673416.db2.gz WMKCKKHKPBSQIZ-OAHLLOKOSA-N 0 0 449.599 -0.094 20 0 IBADRN CCc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1CCCNC(C)=O ZINC001499071786 1074673407 /nfs/dbraw/zinc/67/34/07/1074673407.db2.gz RWDMJZUFABTGSB-INIZCTEOSA-N 0 0 428.559 -0.003 20 0 IBADRN CCc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1CCCNC(C)=O ZINC001499071787 1074673376 /nfs/dbraw/zinc/67/33/76/1074673376.db2.gz RWDMJZUFABTGSB-MRXNPFEDSA-N 0 0 428.559 -0.003 20 0 IBADRN CCc1nnc(N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)n1C[C@@H]1COCCO1 ZINC001499071800 1074673419 /nfs/dbraw/zinc/67/34/19/1074673419.db2.gz RYUQOBXFJRPJPQ-CVEARBPZSA-N 0 0 429.543 -0.113 20 0 IBADRN CCc1nnc(N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)n1C[C@@H]1COCCO1 ZINC001499071801 1074673383 /nfs/dbraw/zinc/67/33/83/1074673383.db2.gz RYUQOBXFJRPJPQ-HZPDHXFCSA-N 0 0 429.543 -0.113 20 0 IBADRN CCc1nnc(N2CCCN(CC(=O)N3CCCC3)CC2)n1CCCNS(C)(=O)=O ZINC001499104827 1074673351 /nfs/dbraw/zinc/67/33/51/1074673351.db2.gz NXVZNLMDOADAIL-UHFFFAOYSA-N 0 0 441.602 -0.086 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCNC(=O)c1ccn[nH]1 ZINC001499112455 1074673424 /nfs/dbraw/zinc/67/34/24/1074673424.db2.gz BOEIKPAHAUPREN-UHFFFAOYSA-N 0 0 443.512 -0.735 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1Cc1cnn(C)c1C ZINC001499112586 1074673398 /nfs/dbraw/zinc/67/33/98/1074673398.db2.gz CJIPLSFMRJSBJO-UHFFFAOYSA-N 0 0 430.513 -0.562 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC(=O)NCC(F)(F)F ZINC001499112608 1074673345 /nfs/dbraw/zinc/67/33/45/1074673345.db2.gz CZRYARRANZIXNH-UHFFFAOYSA-N 0 0 431.419 -0.554 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCN1CCCS1(=O)=O ZINC001499112816 1074673363 /nfs/dbraw/zinc/67/33/63/1074673363.db2.gz DISGNRNTVSMJDK-UHFFFAOYSA-N 0 0 441.558 -0.951 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCNC(=O)C(C)(C)C ZINC001499112823 1074673324 /nfs/dbraw/zinc/67/33/24/1074673324.db2.gz DQBAIJYQAIZMAC-UHFFFAOYSA-N 0 0 449.556 -0.490 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1Cc1ccc(C(N)=O)cc1 ZINC001499113197 1074673385 /nfs/dbraw/zinc/67/33/85/1074673385.db2.gz JGGZSFAVAMHAMG-UHFFFAOYSA-N 0 0 425.493 -0.085 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1CCc1ccn(C)n1 ZINC001499113245 1074673431 /nfs/dbraw/zinc/67/34/31/1074673431.db2.gz KFVVLFOKSQCSTM-UHFFFAOYSA-N 0 0 430.513 -0.676 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001499113502 1074673390 /nfs/dbraw/zinc/67/33/90/1074673390.db2.gz MTQOBZZTOVVEBV-AWEZNQCLSA-N 0 0 446.512 -0.900 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC1(S(C)(=O)=O)CCC1 ZINC001499113555 1074673394 /nfs/dbraw/zinc/67/33/94/1074673394.db2.gz PJNHIWBQJNTBCN-UHFFFAOYSA-N 0 0 440.570 -0.019 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCS(=O)(=O)N(C)C ZINC001499113893 1074673429 /nfs/dbraw/zinc/67/34/29/1074673429.db2.gz RYZUFFIVJYMGQV-UHFFFAOYSA-N 0 0 441.558 -0.997 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CC1(C(N)=O)CCOCC1 ZINC001499113900 1074673413 /nfs/dbraw/zinc/67/34/13/1074673413.db2.gz SAWVTZBSXAZEJI-UHFFFAOYSA-N 0 0 435.529 -0.704 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCCn1cc(CO)nn1 ZINC001499113926 1074673370 /nfs/dbraw/zinc/67/33/70/1074673370.db2.gz SYGNADWIBHRTJS-UHFFFAOYSA-N 0 0 445.528 -0.714 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCCNS(=O)(=O)CC ZINC001499114049 1074673942 /nfs/dbraw/zinc/67/39/42/1074673942.db2.gz UUQULXOXZUHZLW-UHFFFAOYSA-N 0 0 443.574 -0.657 20 0 IBADRN CCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1Cc1cnn(CCOC)c1 ZINC001499124760 1074674066 /nfs/dbraw/zinc/67/40/66/1074674066.db2.gz LSANEJRBTGNSTN-UHFFFAOYSA-N 0 0 446.556 -0.288 20 0 IBADRN CCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CCCc1cnn(C)n1 ZINC001499125168 1074673921 /nfs/dbraw/zinc/67/39/21/1074673921.db2.gz TVUNYKFBXFUXIZ-UHFFFAOYSA-N 0 0 431.545 -0.417 20 0 IBADRN CCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CCS(=O)(=O)NC(C)C ZINC001499129089 1074673958 /nfs/dbraw/zinc/67/39/58/1074673958.db2.gz GPHOCTFUCHGGCP-UHFFFAOYSA-N 0 0 443.574 -0.258 20 0 IBADRN CCc1nnc(N2CCN(C(=O)NC)CC2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001499129137 1074674057 /nfs/dbraw/zinc/67/40/57/1074674057.db2.gz HZOYIHCSNGTWGK-UHFFFAOYSA-N 0 0 426.503 -0.591 20 0 IBADRN CCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001499129844 1074674052 /nfs/dbraw/zinc/67/40/52/1074674052.db2.gz VGQSOIDSZCZAGM-KRWDZBQOSA-N 0 0 449.556 -0.090 20 0 IBADRN CCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001499129845 1074674009 /nfs/dbraw/zinc/67/40/09/1074674009.db2.gz VGQSOIDSZCZAGM-QGZVFWFLSA-N 0 0 449.556 -0.090 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)NC3CC3)CC2)n1CCN1CCCS1(=O)=O ZINC001499135263 1074673814 /nfs/dbraw/zinc/67/38/14/1074673814.db2.gz DPMMANLHTXMABP-HNNXBMFYSA-N 0 0 439.586 -0.335 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)NC3CC3)CC2)n1CCN1CCCS1(=O)=O ZINC001499135265 1074674045 /nfs/dbraw/zinc/67/40/45/1074674045.db2.gz DPMMANLHTXMABP-OAHLLOKOSA-N 0 0 439.586 -0.335 20 0 IBADRN CCc1nnc(N2CCN([C@@H](C)C(=O)N3CCCC3)CC2)n1CCNS(=O)(=O)CC ZINC001499135523 1074673931 /nfs/dbraw/zinc/67/39/31/1074673931.db2.gz GTGOXDPFOABPKD-INIZCTEOSA-N 0 0 441.602 -0.087 20 0 IBADRN CCc1nnc(N2CCN([C@H](C)C(=O)N3CCCC3)CC2)n1CCNS(=O)(=O)CC ZINC001499135529 1074673896 /nfs/dbraw/zinc/67/38/96/1074673896.db2.gz GTGOXDPFOABPKD-MRXNPFEDSA-N 0 0 441.602 -0.087 20 0 IBADRN CCc1nnc(N2CCN(c3ccc(C(N)=O)cn3)CC2)n1CCCNS(C)(=O)=O ZINC001499150535 1074674018 /nfs/dbraw/zinc/67/40/18/1074674018.db2.gz MLUQLLGOIHSUCJ-UHFFFAOYSA-N 0 0 436.542 -0.400 20 0 IBADRN CCc1nnc(N2CCN(c3ccc(C(N)=O)cn3)CC2)n1CC(=O)N1CCOCC1 ZINC001499150810 1074673908 /nfs/dbraw/zinc/67/39/08/1074673908.db2.gz RYNATBPNNYHBFQ-UHFFFAOYSA-N 0 0 428.497 -0.480 20 0 IBADRN CCc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1CCN1CCCS1(=O)=O ZINC001499161837 1074673832 /nfs/dbraw/zinc/67/38/32/1074673832.db2.gz AIBYNBBTSVIXAI-UHFFFAOYSA-N 0 0 448.553 -0.304 20 0 IBADRN CCc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1CCC(=O)N1CCOCC1 ZINC001499162169 1074674392 /nfs/dbraw/zinc/67/43/92/1074674392.db2.gz ITZWUQISQWVUQL-UHFFFAOYSA-N 0 0 442.524 -0.090 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001499169808 1074674479 /nfs/dbraw/zinc/67/44/79/1074674479.db2.gz AYBUQCHKWHFXLT-UHFFFAOYSA-N 0 0 446.600 -0.251 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)N(CC)CC)CC2)n1CCNC(=O)c1ccn[nH]1 ZINC001499170023 1074674377 /nfs/dbraw/zinc/67/43/77/1074674377.db2.gz DKSMBUWTAUNXSV-UHFFFAOYSA-N 0 0 431.545 -0.016 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1CCNS(=O)(=O)CC ZINC001499170338 1074674506 /nfs/dbraw/zinc/67/45/06/1074674506.db2.gz PNJYQOMFFWNVES-UHFFFAOYSA-N 0 0 427.575 -0.476 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1CCC(=O)N1CCOCC1 ZINC001499170490 1074674326 /nfs/dbraw/zinc/67/43/26/1074674326.db2.gz VBNUXNAXOMNPHD-UHFFFAOYSA-N 0 0 433.557 -0.166 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)N3CCCCC3)CC2)n1CCCNS(C)(=O)=O ZINC001499172373 1074674442 /nfs/dbraw/zinc/67/44/42/1074674442.db2.gz FOJOTIQSKGQWCF-UHFFFAOYSA-N 0 0 441.602 -0.086 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC(C)C)CC2)n1CCN1CCN(C(C)=O)CC1 ZINC001499172865 1074674354 /nfs/dbraw/zinc/67/43/54/1074674354.db2.gz MMLVBBQBJUSYRQ-UHFFFAOYSA-N 0 0 434.589 -0.349 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)Nc3cc(C)on3)CC2)n1CC(=O)N1CCOCC1 ZINC001499173842 1074674453 /nfs/dbraw/zinc/67/44/53/1074674453.db2.gz ACIHLYUILIWZCA-UHFFFAOYSA-N 0 0 446.512 -0.244 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001499175240 1074674369 /nfs/dbraw/zinc/67/43/69/1074674369.db2.gz TUCJZIZGKNYOLF-INIZCTEOSA-N 0 0 433.557 -0.264 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001499175242 1074674385 /nfs/dbraw/zinc/67/43/85/1074674385.db2.gz TUCJZIZGKNYOLF-MRXNPFEDSA-N 0 0 433.557 -0.264 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1CC(=O)N(C)CC ZINC001499195451 1074674407 /nfs/dbraw/zinc/67/44/07/1074674407.db2.gz DYTUBLNCGOBWSW-UHFFFAOYSA-N 0 0 438.558 -0.128 20 0 IBADRN CCc1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CCCN(CC)S(C)(=O)=O ZINC001499197928 1074674470 /nfs/dbraw/zinc/67/44/70/1074674470.db2.gz ADQWWIVSBCEVTG-AWEZNQCLSA-N 0 0 436.604 -0.018 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1C[C@]1(O)CCOC1 ZINC001499198013 1074674493 /nfs/dbraw/zinc/67/44/93/1074674493.db2.gz BIFRBGWEMJTNRX-GOSISDBHSA-N 0 0 439.542 -0.455 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)c3cn(C)c(C)n3)CC2)n1C[C@@]1(O)CCOC1 ZINC001499198014 1074674345 /nfs/dbraw/zinc/67/43/45/1074674345.db2.gz BIFRBGWEMJTNRX-SFHVURJKSA-N 0 0 439.542 -0.455 20 0 IBADRN CCc1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001499198015 1074674336 /nfs/dbraw/zinc/67/43/36/1074674336.db2.gz BKWAJVOFDRJLSB-ZDUSSCGKSA-N 0 0 435.572 -0.750 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@H]1NC(=O)CC1(C)C ZINC001499198020 1074674463 /nfs/dbraw/zinc/67/44/63/1074674463.db2.gz BMVSRZMSOFVNTI-CYBMUJFWSA-N 0 0 445.528 -0.778 20 0 IBADRN CCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1C[C@@H]1NC(=O)CC1(C)C ZINC001499198021 1074674501 /nfs/dbraw/zinc/67/45/01/1074674501.db2.gz BMVSRZMSOFVNTI-ZDUSSCGKSA-N 0 0 445.528 -0.778 20 0 IBADRN CCc1nnc(N2CCN(S(=O)(=O)CCOC(C)C)CC2)n1Cc1ncn(C)n1 ZINC001499200250 1074674946 /nfs/dbraw/zinc/67/49/46/1074674946.db2.gz ZDHILPRQDZUEQY-UHFFFAOYSA-N 0 0 426.547 -0.106 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001499200771 1074674900 /nfs/dbraw/zinc/67/49/00/1074674900.db2.gz GGJDDCICTFSLGV-KBPBESRZSA-N 0 0 439.542 -0.405 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001499200772 1074674933 /nfs/dbraw/zinc/67/49/33/1074674933.db2.gz GGJDDCICTFSLGV-KGLIPLIRSA-N 0 0 439.542 -0.405 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001499200773 1074675022 /nfs/dbraw/zinc/67/50/22/1074675022.db2.gz GGJDDCICTFSLGV-UONOGXRCSA-N 0 0 439.542 -0.405 20 0 IBADRN CCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001499200774 1074675012 /nfs/dbraw/zinc/67/50/12/1074675012.db2.gz GGJDDCICTFSLGV-ZIAGYGMSSA-N 0 0 439.542 -0.405 20 0 IBADRN CCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCS(=O)(=O)Cc1ccccc1 ZINC001499201088 1074674977 /nfs/dbraw/zinc/67/49/77/1074674977.db2.gz JTCUWTWFBNMXCX-INIZCTEOSA-N 0 0 446.533 -0.398 20 0 IBADRN CCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCS(=O)(=O)Cc1ccccc1 ZINC001499201092 1074674895 /nfs/dbraw/zinc/67/48/95/1074674895.db2.gz JTCUWTWFBNMXCX-MRXNPFEDSA-N 0 0 446.533 -0.398 20 0 IBADRN CCc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCc1ccc(S(C)(=O)=O)cc1 ZINC001499201219 1074674961 /nfs/dbraw/zinc/67/49/61/1074674961.db2.gz KZYBVUGYSDAGKN-HNNXBMFYSA-N 0 0 446.533 -0.366 20 0 IBADRN CCc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCc1ccc(S(C)(=O)=O)cc1 ZINC001499201220 1074674937 /nfs/dbraw/zinc/67/49/37/1074674937.db2.gz KZYBVUGYSDAGKN-OAHLLOKOSA-N 0 0 446.533 -0.366 20 0 IBADRN CCc1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@@H]1CCC[C@@H]1N1CCCS1(=O)=O ZINC001499207727 1074674922 /nfs/dbraw/zinc/67/49/22/1074674922.db2.gz KMQAMSYIAIZTDD-JYJNAYRXSA-N 0 0 440.570 -0.004 20 0 IBADRN CCc1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@H]1CCC[C@H]1N1CCCS1(=O)=O ZINC001499207728 1074674903 /nfs/dbraw/zinc/67/49/03/1074674903.db2.gz KMQAMSYIAIZTDD-OAGGEKHMSA-N 0 0 440.570 -0.004 20 0 IBADRN CCc1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@H]1CCC[C@@H]1N1CCCS1(=O)=O ZINC001499207729 1074674986 /nfs/dbraw/zinc/67/49/86/1074674986.db2.gz KMQAMSYIAIZTDD-PMPSAXMXSA-N 0 0 440.570 -0.004 20 0 IBADRN CCc1nnc(N2CCO[C@H](C(=O)NC)C2)n1C[C@@H]1CCC[C@H]1N1CCCS1(=O)=O ZINC001499207730 1074675007 /nfs/dbraw/zinc/67/50/07/1074675007.db2.gz KMQAMSYIAIZTDD-XHSDSOJGSA-N 0 0 440.570 -0.004 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1Cc1ccc(C(N)=O)nc1 ZINC001499288596 1074674972 /nfs/dbraw/zinc/67/49/72/1074674972.db2.gz SOEMUDBDVOWLDW-HNNXBMFYSA-N 0 0 426.481 -0.229 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(C(=O)N2CCCC2)n1Cc1ccc(C(N)=O)nc1 ZINC001499288597 1074674913 /nfs/dbraw/zinc/67/49/13/1074674913.db2.gz SOEMUDBDVOWLDW-OAHLLOKOSA-N 0 0 426.481 -0.229 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1snnc1C ZINC001499291220 1074674924 /nfs/dbraw/zinc/67/49/24/1074674924.db2.gz DIJHJZVAPVZIPO-GHMZBOCLSA-N 0 0 428.544 -0.189 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1snnc1C ZINC001499291221 1074674955 /nfs/dbraw/zinc/67/49/55/1074674955.db2.gz DIJHJZVAPVZIPO-MNOVXSKESA-N 0 0 428.544 -0.189 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1snnc1C ZINC001499291222 1074674967 /nfs/dbraw/zinc/67/49/67/1074674967.db2.gz DIJHJZVAPVZIPO-QWRGUYRKSA-N 0 0 428.544 -0.189 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1snnc1C ZINC001499291223 1074674982 /nfs/dbraw/zinc/67/49/82/1074674982.db2.gz DIJHJZVAPVZIPO-WDEREUQCSA-N 0 0 428.544 -0.189 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCC(=O)N(C)C ZINC001499291971 1074675465 /nfs/dbraw/zinc/67/54/65/1074675465.db2.gz JAJCFRKXSPRJIE-CHWSQXEVSA-N 0 0 429.547 -0.529 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCC(=O)N(C)C ZINC001499291972 1074675473 /nfs/dbraw/zinc/67/54/73/1074675473.db2.gz JAJCFRKXSPRJIE-OLZOCXBDSA-N 0 0 429.547 -0.529 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCCC(=O)N(C)C ZINC001499291975 1074675479 /nfs/dbraw/zinc/67/54/79/1074675479.db2.gz JAJCFRKXSPRJIE-QWHCGFSZSA-N 0 0 429.547 -0.529 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCCC(=O)N(C)C ZINC001499291979 1074675539 /nfs/dbraw/zinc/67/55/39/1074675539.db2.gz JAJCFRKXSPRJIE-STQMWFEESA-N 0 0 429.547 -0.529 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCN1CCOCC1 ZINC001499292744 1074675513 /nfs/dbraw/zinc/67/55/13/1074675513.db2.gz NHEUQZUZECLEHF-CABCVRRESA-N 0 0 443.574 -0.675 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCCN1CCOCC1 ZINC001499292745 1074675483 /nfs/dbraw/zinc/67/54/83/1074675483.db2.gz NHEUQZUZECLEHF-GJZGRUSLSA-N 0 0 443.574 -0.675 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCCN1CCOCC1 ZINC001499292746 1074675529 /nfs/dbraw/zinc/67/55/29/1074675529.db2.gz NHEUQZUZECLEHF-HUUCEWRRSA-N 0 0 443.574 -0.675 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCCN1CCOCC1 ZINC001499292747 1074675498 /nfs/dbraw/zinc/67/54/98/1074675498.db2.gz NHEUQZUZECLEHF-LSDHHAIUSA-N 0 0 443.574 -0.675 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1nnc(C)s1 ZINC001499293331 1074675545 /nfs/dbraw/zinc/67/55/45/1074675545.db2.gz SNGAHMVRUAFQNL-GXSJLCMTSA-N 0 0 428.544 -0.189 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1nnc(C)s1 ZINC001499293333 1074675577 /nfs/dbraw/zinc/67/55/77/1074675577.db2.gz SNGAHMVRUAFQNL-KOLCDFICSA-N 0 0 428.544 -0.189 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1nnc(C)s1 ZINC001499293334 1074675447 /nfs/dbraw/zinc/67/54/47/1074675447.db2.gz SNGAHMVRUAFQNL-MWLCHTKSSA-N 0 0 428.544 -0.189 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1nnc(C)s1 ZINC001499293336 1074675552 /nfs/dbraw/zinc/67/55/52/1074675552.db2.gz SNGAHMVRUAFQNL-ONGXEEELSA-N 0 0 428.544 -0.189 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCNC(=O)C(C)C ZINC001499293472 1074675454 /nfs/dbraw/zinc/67/54/54/1074675454.db2.gz UFLMTICUMBFNGK-CHWSQXEVSA-N 0 0 429.547 -0.625 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1CCNC(=O)C(C)C ZINC001499293474 1074675565 /nfs/dbraw/zinc/67/55/65/1074675565.db2.gz UFLMTICUMBFNGK-OLZOCXBDSA-N 0 0 429.547 -0.625 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCNC(=O)C(C)C ZINC001499293480 1074675558 /nfs/dbraw/zinc/67/55/58/1074675558.db2.gz UFLMTICUMBFNGK-QWHCGFSZSA-N 0 0 429.547 -0.625 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1CCNC(=O)C(C)C ZINC001499293482 1074675506 /nfs/dbraw/zinc/67/55/06/1074675506.db2.gz UFLMTICUMBFNGK-STQMWFEESA-N 0 0 429.547 -0.625 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@]1(O)CCSC1 ZINC001499293584 1074675570 /nfs/dbraw/zinc/67/55/70/1074675570.db2.gz VHOWWEVSQVBZOE-HSMVNMDESA-N 0 0 432.572 -0.529 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@]1(O)CCSC1 ZINC001499293587 1074675460 /nfs/dbraw/zinc/67/54/60/1074675460.db2.gz VHOWWEVSQVBZOE-HWWQOWPSSA-N 0 0 432.572 -0.529 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@]1(O)CCSC1 ZINC001499293589 1074675581 /nfs/dbraw/zinc/67/55/81/1074675581.db2.gz VHOWWEVSQVBZOE-OZVIIMIRSA-N 0 0 432.572 -0.529 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@]1(O)CCSC1 ZINC001499293592 1074675432 /nfs/dbraw/zinc/67/54/32/1074675432.db2.gz VHOWWEVSQVBZOE-XHBSWPGZSA-N 0 0 432.572 -0.529 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(-c2ccc3c(c2)OCO3)n1CCS(=O)(=O)NC ZINC001499306680 1074675983 /nfs/dbraw/zinc/67/59/83/1074675983.db2.gz CNHKHVDANOMCLB-CYBMUJFWSA-N 0 0 436.494 -0.062 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(-c2ccc3c(c2)OCO3)n1CCS(=O)(=O)NC ZINC001499306681 1074676047 /nfs/dbraw/zinc/67/60/47/1074676047.db2.gz CNHKHVDANOMCLB-ZDUSSCGKSA-N 0 0 436.494 -0.062 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CCOC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001499314997 1074676011 /nfs/dbraw/zinc/67/60/11/1074676011.db2.gz NDXZOXCUYWGICR-KBPBESRZSA-N 0 0 427.527 -0.564 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CCOC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001499314998 1074676053 /nfs/dbraw/zinc/67/60/53/1074676053.db2.gz NDXZOXCUYWGICR-KGLIPLIRSA-N 0 0 427.527 -0.564 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCOC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001499314999 1074675998 /nfs/dbraw/zinc/67/59/98/1074675998.db2.gz NDXZOXCUYWGICR-UONOGXRCSA-N 0 0 427.527 -0.564 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCOC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001499315000 1074676013 /nfs/dbraw/zinc/67/60/13/1074676013.db2.gz NDXZOXCUYWGICR-ZIAGYGMSSA-N 0 0 427.527 -0.564 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1([S@](C)=O)CC1 ZINC001499315910 1074675992 /nfs/dbraw/zinc/67/59/92/1074675992.db2.gz RRUBEZBJFXONTE-BOABCZMQSA-N 0 0 429.568 -0.194 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1([S@@](C)=O)CC1 ZINC001499315912 1074676006 /nfs/dbraw/zinc/67/60/06/1074676006.db2.gz RRUBEZBJFXONTE-HWOJOUQTSA-N 0 0 429.568 -0.194 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1([S@](C)=O)CC1 ZINC001499315914 1074676042 /nfs/dbraw/zinc/67/60/42/1074676042.db2.gz RRUBEZBJFXONTE-MYXHWSLCSA-N 0 0 429.568 -0.194 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1([S@@](C)=O)CC1 ZINC001499315916 1074676036 /nfs/dbraw/zinc/67/60/36/1074676036.db2.gz RRUBEZBJFXONTE-NTFYDNOESA-N 0 0 429.568 -0.194 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCCOC ZINC001499316263 1074675968 /nfs/dbraw/zinc/67/59/68/1074675968.db2.gz UQIMJTVQPLUKLB-KBPBESRZSA-N 0 0 430.531 -0.638 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCCOC ZINC001499316275 1074676074 /nfs/dbraw/zinc/67/60/74/1074676074.db2.gz UQIMJTVQPLUKLB-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCCOC ZINC001499316277 1074676017 /nfs/dbraw/zinc/67/60/17/1074676017.db2.gz UQIMJTVQPLUKLB-UONOGXRCSA-N 0 0 430.531 -0.638 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCCOC ZINC001499316281 1074676084 /nfs/dbraw/zinc/67/60/84/1074676084.db2.gz UQIMJTVQPLUKLB-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOC1=O ZINC001499316626 1074676021 /nfs/dbraw/zinc/67/60/21/1074676021.db2.gz WETSFMCWRABIKF-KBPBESRZSA-N 0 0 440.526 -0.263 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOC1=O ZINC001499316630 1074676071 /nfs/dbraw/zinc/67/60/71/1074676071.db2.gz WETSFMCWRABIKF-KGLIPLIRSA-N 0 0 440.526 -0.263 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOC1=O ZINC001499316633 1074676487 /nfs/dbraw/zinc/67/64/87/1074676487.db2.gz WETSFMCWRABIKF-UONOGXRCSA-N 0 0 440.526 -0.263 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOC1=O ZINC001499316640 1074676528 /nfs/dbraw/zinc/67/65/28/1074676528.db2.gz WETSFMCWRABIKF-ZIAGYGMSSA-N 0 0 440.526 -0.263 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCS(=O)(=O)CC ZINC001499316886 1074676436 /nfs/dbraw/zinc/67/64/36/1074676436.db2.gz XOSKWNJYEHFFPZ-CHWSQXEVSA-N 0 0 433.556 -0.670 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCS(=O)(=O)CC ZINC001499316894 1074676558 /nfs/dbraw/zinc/67/65/58/1074676558.db2.gz XOSKWNJYEHFFPZ-OLZOCXBDSA-N 0 0 433.556 -0.670 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCS(=O)(=O)CC ZINC001499316896 1074676448 /nfs/dbraw/zinc/67/64/48/1074676448.db2.gz XOSKWNJYEHFFPZ-QWHCGFSZSA-N 0 0 433.556 -0.670 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2CCS(=O)(=O)C2)n1CCS(=O)(=O)CC ZINC001499316898 1074676555 /nfs/dbraw/zinc/67/65/55/1074676555.db2.gz XOSKWNJYEHFFPZ-STQMWFEESA-N 0 0 433.556 -0.670 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CCCNS(=O)(=O)CC ZINC001499319924 1074676475 /nfs/dbraw/zinc/67/64/75/1074676475.db2.gz BEPPTXKFOKIMAA-AWEZNQCLSA-N 0 0 441.558 -0.555 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CCCNS(=O)(=O)CC ZINC001499319927 1074676512 /nfs/dbraw/zinc/67/65/12/1074676512.db2.gz BEPPTXKFOKIMAA-CQSZACIVSA-N 0 0 441.558 -0.555 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CCS(=O)(=O)CC1CC1 ZINC001499320211 1074676552 /nfs/dbraw/zinc/67/65/52/1074676552.db2.gz DPOCSOWLHMZORF-HNNXBMFYSA-N 0 0 438.554 -0.060 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc(CN2CCCC2=O)n1CCS(=O)(=O)CC1CC1 ZINC001499320212 1074676538 /nfs/dbraw/zinc/67/65/38/1074676538.db2.gz DPOCSOWLHMZORF-OAHLLOKOSA-N 0 0 438.554 -0.060 20 0 IBADRN CC[C@H]1C(=O)NCCN1c1nnc([C@H]2OCC[C@H]2COC)n1CCC[C@@H]1NC(=O)NC1=O ZINC001499332686 1074676547 /nfs/dbraw/zinc/67/65/47/1074676547.db2.gz MYANPHWTJAXAMC-AJNGGQMLSA-N 0 0 449.512 -0.295 20 0 IBADRN CC[C@@H]1C(=O)NCCN1c1nnc([C@H]2OCC[C@H]2COC)n1CCC[C@@H]1NC(=O)NC1=O ZINC001499332687 1074676496 /nfs/dbraw/zinc/67/64/96/1074676496.db2.gz MYANPHWTJAXAMC-XQLPTFJDSA-N 0 0 449.512 -0.295 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001499658076 1074676445 /nfs/dbraw/zinc/67/64/45/1074676445.db2.gz CGBVCWPRQRQSHN-CHWSQXEVSA-N 0 0 438.576 -0.605 20 0 IBADRN CC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001499658077 1074676408 /nfs/dbraw/zinc/67/64/08/1074676408.db2.gz CGBVCWPRQRQSHN-OLZOCXBDSA-N 0 0 438.576 -0.605 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001499658078 1074676545 /nfs/dbraw/zinc/67/65/45/1074676545.db2.gz CGBVCWPRQRQSHN-QWHCGFSZSA-N 0 0 438.576 -0.605 20 0 IBADRN CC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCS(=O)(=O)N(C)C)CCO1 ZINC001499658079 1074676533 /nfs/dbraw/zinc/67/65/33/1074676533.db2.gz CGBVCWPRQRQSHN-STQMWFEESA-N 0 0 438.576 -0.605 20 0 IBADRN CC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001499727520 1074677019 /nfs/dbraw/zinc/67/70/19/1074677019.db2.gz GRFNHMDBMWUVGT-CABCVRRESA-N 0 0 447.583 -0.169 20 0 IBADRN CC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001499727524 1074677012 /nfs/dbraw/zinc/67/70/12/1074677012.db2.gz GRFNHMDBMWUVGT-GJZGRUSLSA-N 0 0 447.583 -0.169 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001499727526 1074677022 /nfs/dbraw/zinc/67/70/22/1074677022.db2.gz GRFNHMDBMWUVGT-HUUCEWRRSA-N 0 0 447.583 -0.169 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001499727528 1074676999 /nfs/dbraw/zinc/67/69/99/1074676999.db2.gz GRFNHMDBMWUVGT-LSDHHAIUSA-N 0 0 447.583 -0.169 20 0 IBADRN CC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)CCN1CCOC ZINC001499730187 1074676910 /nfs/dbraw/zinc/67/69/10/1074676910.db2.gz YMIJIROHVRBVGU-CVEARBPZSA-N 0 0 442.586 -0.137 20 0 IBADRN CC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)CCN1CCOC ZINC001499730188 1074677029 /nfs/dbraw/zinc/67/70/29/1074677029.db2.gz YMIJIROHVRBVGU-HOTGVXAUSA-N 0 0 442.586 -0.137 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)CCN1CCOC ZINC001499730189 1074676939 /nfs/dbraw/zinc/67/69/39/1074676939.db2.gz YMIJIROHVRBVGU-HZPDHXFCSA-N 0 0 442.586 -0.137 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)CCN1CCOC ZINC001499730190 1074677005 /nfs/dbraw/zinc/67/70/05/1074677005.db2.gz YMIJIROHVRBVGU-JKSUJKDBSA-N 0 0 442.586 -0.137 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(O)CCOC2)CCO1 ZINC001499753129 1074676883 /nfs/dbraw/zinc/67/68/83/1074676883.db2.gz RZWGZFIEZXHPEX-IIDMSEBBSA-N 0 0 445.542 -0.622 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(O)CCOC2)CCO1 ZINC001499753130 1074677040 /nfs/dbraw/zinc/67/70/40/1074677040.db2.gz RZWGZFIEZXHPEX-RKVPGOIHSA-N 0 0 445.542 -0.622 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@]2(O)CCOC2)CCO1 ZINC001499753131 1074676955 /nfs/dbraw/zinc/67/69/55/1074676955.db2.gz RZWGZFIEZXHPEX-RVKKMQEKSA-N 0 0 445.542 -0.622 20 0 IBADRN CC[C@@H]1CN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C[C@@]2(O)CCOC2)CCO1 ZINC001499753132 1074677044 /nfs/dbraw/zinc/67/70/44/1074677044.db2.gz RZWGZFIEZXHPEX-VKJFTORMSA-N 0 0 445.542 -0.622 20 0 IBADRN CC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001499775947 1074676894 /nfs/dbraw/zinc/67/68/94/1074676894.db2.gz LGCHNTLCZXAUOG-HNNXBMFYSA-N 0 0 441.554 -0.045 20 0 IBADRN CC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001499775948 1074676996 /nfs/dbraw/zinc/67/69/96/1074676996.db2.gz LGCHNTLCZXAUOG-OAHLLOKOSA-N 0 0 441.554 -0.045 20 0 IBADRN CC/C=C/Cn1c(C(=O)NC(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001499792535 1074677048 /nfs/dbraw/zinc/67/70/48/1074677048.db2.gz BVMYIDIHDOOHKT-BQYQJAHWSA-N 0 0 445.528 -0.151 20 0 IBADRN CC/C=C\Cn1c(C(=O)NC(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001499792536 1074677015 /nfs/dbraw/zinc/67/70/15/1074677015.db2.gz BVMYIDIHDOOHKT-FPLPWBNLSA-N 0 0 445.528 -0.151 20 0 IBADRN CC/C=C/Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001499792581 1074676980 /nfs/dbraw/zinc/67/69/80/1074676980.db2.gz CHGHABCAFYCCGB-AATRIKPKSA-N 0 0 447.540 -0.110 20 0 IBADRN CC/C=C\Cn1c(C(=O)NC(C)C)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001499792582 1074677025 /nfs/dbraw/zinc/67/70/25/1074677025.db2.gz CHGHABCAFYCCGB-WAYWQWQTSA-N 0 0 447.540 -0.110 20 0 IBADRN CC/C=C\Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001499851838 1074677475 /nfs/dbraw/zinc/67/74/75/1074677475.db2.gz XCOWGBTUYHYDMK-ARJAWSKDSA-N 0 0 428.501 -0.298 20 0 IBADRN CC/C=C/Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001499851847 1074677443 /nfs/dbraw/zinc/67/74/43/1074677443.db2.gz XCOWGBTUYHYDMK-ONEGZZNKSA-N 0 0 428.501 -0.298 20 0 IBADRN CCCC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001499884986 1074677406 /nfs/dbraw/zinc/67/74/06/1074677406.db2.gz PGWIIVNOSPOFBD-CVEARBPZSA-N 0 0 447.540 -0.027 20 0 IBADRN CCCC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001499884987 1074677463 /nfs/dbraw/zinc/67/74/63/1074677463.db2.gz PGWIIVNOSPOFBD-HOTGVXAUSA-N 0 0 447.540 -0.027 20 0 IBADRN CCCC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001499884988 1074677378 /nfs/dbraw/zinc/67/73/78/1074677378.db2.gz PGWIIVNOSPOFBD-HZPDHXFCSA-N 0 0 447.540 -0.027 20 0 IBADRN CCCC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N2CCOCC2)C1 ZINC001499884989 1074677498 /nfs/dbraw/zinc/67/74/98/1074677498.db2.gz PGWIIVNOSPOFBD-JKSUJKDBSA-N 0 0 447.540 -0.027 20 0 IBADRN CCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001499939403 1074677397 /nfs/dbraw/zinc/67/73/97/1074677397.db2.gz NPZRMFJMEOPGMN-AHIWAGSCSA-N 0 0 429.547 -0.347 20 0 IBADRN CCC[C@](C)(O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001499939405 1074677470 /nfs/dbraw/zinc/67/74/70/1074677470.db2.gz NPZRMFJMEOPGMN-DCGLDWPTSA-N 0 0 429.547 -0.347 20 0 IBADRN CCC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001499939407 1074677412 /nfs/dbraw/zinc/67/74/12/1074677412.db2.gz NPZRMFJMEOPGMN-IGCXYCKISA-N 0 0 429.547 -0.347 20 0 IBADRN CCC[C@](C)(O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001499939409 1074677480 /nfs/dbraw/zinc/67/74/80/1074677480.db2.gz NPZRMFJMEOPGMN-XNJGSVPQSA-N 0 0 429.547 -0.347 20 0 IBADRN CCC[C@@](C)(O)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001499966231 1074677505 /nfs/dbraw/zinc/67/75/05/1074677505.db2.gz UVAHTCLSFNXLHQ-HRAATJIYSA-N 0 0 427.509 -0.038 20 0 IBADRN CCC[C@](C)(O)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001499966232 1074677459 /nfs/dbraw/zinc/67/74/59/1074677459.db2.gz UVAHTCLSFNXLHQ-IERDGZPVSA-N 0 0 427.509 -0.038 20 0 IBADRN CCC[C@@](C)(O)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001499966233 1074677434 /nfs/dbraw/zinc/67/74/34/1074677434.db2.gz UVAHTCLSFNXLHQ-IIBYNOLFSA-N 0 0 427.509 -0.038 20 0 IBADRN CCC[C@](C)(O)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001499966234 1074677426 /nfs/dbraw/zinc/67/74/26/1074677426.db2.gz UVAHTCLSFNXLHQ-KKSFZXQISA-N 0 0 427.509 -0.038 20 0 IBADRN CCC[C@@H](C)C(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001499989379 1074677437 /nfs/dbraw/zinc/67/74/37/1074677437.db2.gz NXZQYERGTLCKLQ-CABCVRRESA-N 0 0 442.524 -0.027 20 0 IBADRN CCC[C@H](C)C(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001499989380 1074677493 /nfs/dbraw/zinc/67/74/93/1074677493.db2.gz NXZQYERGTLCKLQ-GJZGRUSLSA-N 0 0 442.524 -0.027 20 0 IBADRN CCC[C@@H](C)C(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001499989381 1074677486 /nfs/dbraw/zinc/67/74/86/1074677486.db2.gz NXZQYERGTLCKLQ-HUUCEWRRSA-N 0 0 442.524 -0.027 20 0 IBADRN CCC[C@H](C)C(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001499989382 1074677366 /nfs/dbraw/zinc/67/73/66/1074677366.db2.gz NXZQYERGTLCKLQ-LSDHHAIUSA-N 0 0 442.524 -0.027 20 0 IBADRN CCC[C@H](C)C(=O)NCCn1c(CC)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001499997194 1074677417 /nfs/dbraw/zinc/67/74/17/1074677417.db2.gz YTFLPCYDLKTSKU-HNNXBMFYSA-N 0 0 432.533 -0.272 20 0 IBADRN CCC[C@@H](C)C(=O)NCCn1c(CC)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001499997195 1074677452 /nfs/dbraw/zinc/67/74/52/1074677452.db2.gz YTFLPCYDLKTSKU-OAHLLOKOSA-N 0 0 432.533 -0.272 20 0 IBADRN CCC[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)CCO1 ZINC001500235746 1074677918 /nfs/dbraw/zinc/67/79/18/1074677918.db2.gz RXUCHJNYPJAWQX-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CCC[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)CCO1 ZINC001500235772 1074678029 /nfs/dbraw/zinc/67/80/29/1074678029.db2.gz RXUCHJNYPJAWQX-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CCC[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)CCO1 ZINC001500235775 1074677927 /nfs/dbraw/zinc/67/79/27/1074677927.db2.gz RXUCHJNYPJAWQX-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CCC[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)CCO1 ZINC001500235778 1074678011 /nfs/dbraw/zinc/67/80/11/1074678011.db2.gz RXUCHJNYPJAWQX-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN CCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001500490683 1074677913 /nfs/dbraw/zinc/67/79/13/1074677913.db2.gz QWSPXNHKUDRIJU-HNNXBMFYSA-N 0 0 444.558 -0.294 20 0 IBADRN CCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001500490684 1074677877 /nfs/dbraw/zinc/67/78/77/1074677877.db2.gz QWSPXNHKUDRIJU-OAHLLOKOSA-N 0 0 444.558 -0.294 20 0 IBADRN CCCCOCCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001500614287 1074677907 /nfs/dbraw/zinc/67/79/07/1074677907.db2.gz ITPXKBPMQAJXMX-INIZCTEOSA-N 0 0 447.540 -0.246 20 0 IBADRN CCCCOCCCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001500614288 1074677899 /nfs/dbraw/zinc/67/78/99/1074677899.db2.gz ITPXKBPMQAJXMX-MRXNPFEDSA-N 0 0 447.540 -0.246 20 0 IBADRN CCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001500877608 1074677937 /nfs/dbraw/zinc/67/79/37/1074677937.db2.gz SEYWADGTYNKBPY-GFCCVEGCSA-N 0 0 427.531 -0.775 20 0 IBADRN CCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001500877609 1074677948 /nfs/dbraw/zinc/67/79/48/1074677948.db2.gz SEYWADGTYNKBPY-LBPRGKRZSA-N 0 0 427.531 -0.775 20 0 IBADRN CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001500895635 1074678022 /nfs/dbraw/zinc/67/80/22/1074678022.db2.gz OVKCRVBMHWKUGT-HNNXBMFYSA-N 0 0 438.554 -0.139 20 0 IBADRN CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001500895636 1074678542 /nfs/dbraw/zinc/67/85/42/1074678542.db2.gz OVKCRVBMHWKUGT-OAHLLOKOSA-N 0 0 438.554 -0.139 20 0 IBADRN CCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001500896011 1074678547 /nfs/dbraw/zinc/67/85/47/1074678547.db2.gz XPHRHVPUOKYAQT-ILXRZTDVSA-N 0 0 426.543 -0.017 20 0 IBADRN CCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001500896012 1074678455 /nfs/dbraw/zinc/67/84/55/1074678455.db2.gz XPHRHVPUOKYAQT-QLFBSQMISA-N 0 0 426.543 -0.017 20 0 IBADRN CCCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)CC1=O ZINC001501009431 1074678461 /nfs/dbraw/zinc/67/84/61/1074678461.db2.gz MBVYPCFCRQZNAB-AWEZNQCLSA-N 0 0 439.546 -0.371 20 0 IBADRN CCCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)CC1=O ZINC001501009438 1074678489 /nfs/dbraw/zinc/67/84/89/1074678489.db2.gz MBVYPCFCRQZNAB-CQSZACIVSA-N 0 0 439.546 -0.371 20 0 IBADRN CCCN1CCCN(c2nnc(-c3cnn(C)c3)n2CC(=O)N2CCOCC2)CC1=O ZINC001501019683 1074678502 /nfs/dbraw/zinc/67/85/02/1074678502.db2.gz SKBKVFAPVKMNMW-UHFFFAOYSA-N 0 0 430.513 -0.014 20 0 IBADRN CCCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(C)(=O)=O)CC1=O ZINC001501024282 1074678533 /nfs/dbraw/zinc/67/85/33/1074678533.db2.gz DIJZJBSKNLDUKI-AWEZNQCLSA-N 0 0 447.583 -0.327 20 0 IBADRN CCCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(C)(=O)=O)CC1=O ZINC001501024283 1074678473 /nfs/dbraw/zinc/67/84/73/1074678473.db2.gz DIJZJBSKNLDUKI-CQSZACIVSA-N 0 0 447.583 -0.327 20 0 IBADRN CCCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)CC1=O ZINC001501024360 1074678512 /nfs/dbraw/zinc/67/85/12/1074678512.db2.gz FWSXUOYYUKBFPT-AWEZNQCLSA-N 0 0 436.542 -0.194 20 0 IBADRN CCCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncn(C)n2)CC1=O ZINC001501024362 1074678529 /nfs/dbraw/zinc/67/85/29/1074678529.db2.gz FWSXUOYYUKBFPT-CQSZACIVSA-N 0 0 436.542 -0.194 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)NC)C1 ZINC001501089384 1074678413 /nfs/dbraw/zinc/67/84/13/1074678413.db2.gz LINIBYJUDVHKQA-AWEZNQCLSA-N 0 0 443.574 -0.292 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)NC)C1 ZINC001501089388 1074678537 /nfs/dbraw/zinc/67/85/37/1074678537.db2.gz LINIBYJUDVHKQA-CQSZACIVSA-N 0 0 443.574 -0.292 20 0 IBADRN CCCNC(=O)[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC)C1 ZINC001501101433 1074678553 /nfs/dbraw/zinc/67/85/53/1074678553.db2.gz RSVHDPSLSMTGHL-AWEZNQCLSA-N 0 0 438.558 -0.030 20 0 IBADRN CCCNC(=O)[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC)C1 ZINC001501101434 1074678518 /nfs/dbraw/zinc/67/85/18/1074678518.db2.gz RSVHDPSLSMTGHL-CQSZACIVSA-N 0 0 438.558 -0.030 20 0 IBADRN CCCNC(=O)C1CCN(c2nnc(C(=O)N3CCCC3)n2Cc2nnn(C)n2)CC1 ZINC001501122085 1074678493 /nfs/dbraw/zinc/67/84/93/1074678493.db2.gz MNTUEOCONPFJJT-UHFFFAOYSA-N 0 0 430.517 -0.172 20 0 IBADRN CCCNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)CC1 ZINC001501126555 1074678932 /nfs/dbraw/zinc/67/89/32/1074678932.db2.gz NHHFQNQDLKGPGC-CYBMUJFWSA-N 0 0 443.574 -0.281 20 0 IBADRN CCCNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N(C)C)CC1 ZINC001501126556 1074678953 /nfs/dbraw/zinc/67/89/53/1074678953.db2.gz NHHFQNQDLKGPGC-ZDUSSCGKSA-N 0 0 443.574 -0.281 20 0 IBADRN CCCNC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001501145175 1074678902 /nfs/dbraw/zinc/67/89/02/1074678902.db2.gz PHHANWLWMOKDGR-UHFFFAOYSA-N 0 0 445.528 -0.081 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001501166659 1074678923 /nfs/dbraw/zinc/67/89/23/1074678923.db2.gz AFYZBSFVLSYHQN-KFWWJZLASA-N 0 0 438.554 -0.189 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001501166660 1074678947 /nfs/dbraw/zinc/67/89/47/1074678947.db2.gz AFYZBSFVLSYHQN-RBSFLKMASA-N 0 0 438.554 -0.189 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001501166661 1074678890 /nfs/dbraw/zinc/67/88/90/1074678890.db2.gz AFYZBSFVLSYHQN-RRFJBIMHSA-N 0 0 438.554 -0.189 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001501166662 1074678880 /nfs/dbraw/zinc/67/88/80/1074678880.db2.gz AFYZBSFVLSYHQN-ZNMIVQPWSA-N 0 0 438.554 -0.189 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001501167002 1074678869 /nfs/dbraw/zinc/67/88/69/1074678869.db2.gz GIQJNQONUHUPGV-AWEZNQCLSA-N 0 0 430.531 -0.636 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001501167003 1074678894 /nfs/dbraw/zinc/67/88/94/1074678894.db2.gz GIQJNQONUHUPGV-CQSZACIVSA-N 0 0 430.531 -0.636 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001501167043 1074678940 /nfs/dbraw/zinc/67/89/40/1074678940.db2.gz GSVUFXMVCIHZGE-KBPBESRZSA-N 0 0 440.526 -0.263 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001501167045 1074678885 /nfs/dbraw/zinc/67/88/85/1074678885.db2.gz GSVUFXMVCIHZGE-KGLIPLIRSA-N 0 0 440.526 -0.263 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001501167046 1074678943 /nfs/dbraw/zinc/67/89/43/1074678943.db2.gz GSVUFXMVCIHZGE-UONOGXRCSA-N 0 0 440.526 -0.263 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001501167047 1074678910 /nfs/dbraw/zinc/67/89/10/1074678910.db2.gz GSVUFXMVCIHZGE-ZIAGYGMSSA-N 0 0 440.526 -0.263 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(C)=O)CC1 ZINC001501167140 1074678908 /nfs/dbraw/zinc/67/89/08/1074678908.db2.gz HPDZRPWXUNEVTP-HNNXBMFYSA-N 0 0 426.543 -0.235 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(C)=O)CC1 ZINC001501167141 1074678898 /nfs/dbraw/zinc/67/88/98/1074678898.db2.gz HPDZRPWXUNEVTP-OAHLLOKOSA-N 0 0 426.543 -0.235 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](COC)C1 ZINC001501168197 1074678876 /nfs/dbraw/zinc/67/88/76/1074678876.db2.gz SEOBOEQYJRQGBH-CABCVRRESA-N 0 0 429.543 -0.052 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](COC)C1 ZINC001501168198 1074678921 /nfs/dbraw/zinc/67/89/21/1074678921.db2.gz SEOBOEQYJRQGBH-GJZGRUSLSA-N 0 0 429.543 -0.052 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](COC)C1 ZINC001501168199 1074678859 /nfs/dbraw/zinc/67/88/59/1074678859.db2.gz SEOBOEQYJRQGBH-HUUCEWRRSA-N 0 0 429.543 -0.052 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](COC)C1 ZINC001501168200 1074678915 /nfs/dbraw/zinc/67/89/15/1074678915.db2.gz SEOBOEQYJRQGBH-LSDHHAIUSA-N 0 0 429.543 -0.052 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NC)CC1 ZINC001501168657 1074678950 /nfs/dbraw/zinc/67/89/50/1074678950.db2.gz ZWPMWTBBIMJKTL-AWEZNQCLSA-N 0 0 441.558 -0.442 20 0 IBADRN CCCNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NC)CC1 ZINC001501168668 1074679432 /nfs/dbraw/zinc/67/94/32/1074679432.db2.gz ZWPMWTBBIMJKTL-CQSZACIVSA-N 0 0 441.558 -0.442 20 0 IBADRN CCCNC(=O)CCn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001501173314 1074679403 /nfs/dbraw/zinc/67/94/03/1074679403.db2.gz USGFHQKYKXXKAR-INIZCTEOSA-N 0 0 440.508 -0.673 20 0 IBADRN CCCNC(=O)CCn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001501173316 1074679356 /nfs/dbraw/zinc/67/93/56/1074679356.db2.gz USGFHQKYKXXKAR-MRXNPFEDSA-N 0 0 440.508 -0.673 20 0 IBADRN CCCNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001501175645 1074679375 /nfs/dbraw/zinc/67/93/75/1074679375.db2.gz DPITVDYOIHUICO-AWEZNQCLSA-N 0 0 426.543 -0.060 20 0 IBADRN CCCNC(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001501175646 1074679363 /nfs/dbraw/zinc/67/93/63/1074679363.db2.gz DPITVDYOIHUICO-CQSZACIVSA-N 0 0 426.543 -0.060 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001501180404 1074679428 /nfs/dbraw/zinc/67/94/28/1074679428.db2.gz DWWYEEBWSKTERU-UHFFFAOYSA-N 0 0 427.531 -0.878 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001501180971 1074679349 /nfs/dbraw/zinc/67/93/49/1074679349.db2.gz WLDLMDGNEYKCLK-UXLLHSPISA-N 0 0 438.529 -0.130 20 0 IBADRN CCCNC(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001501180972 1074679389 /nfs/dbraw/zinc/67/93/89/1074679389.db2.gz WLDLMDGNEYKCLK-ZOBUZTSGSA-N 0 0 438.529 -0.130 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(C(=O)NC)CC1 ZINC001501182795 1074679413 /nfs/dbraw/zinc/67/94/13/1074679413.db2.gz GTPLMQKUBFMDNJ-GFCCVEGCSA-N 0 0 429.547 -0.623 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(C(=O)NC)CC1 ZINC001501182796 1074679424 /nfs/dbraw/zinc/67/94/24/1074679424.db2.gz GTPLMQKUBFMDNJ-LBPRGKRZSA-N 0 0 429.547 -0.623 20 0 IBADRN CCCNC(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)(C)C ZINC001501182858 1074679409 /nfs/dbraw/zinc/67/94/09/1074679409.db2.gz HWGKMHRKKKDSHO-GFCCVEGCSA-N 0 0 431.563 -0.235 20 0 IBADRN CCCNC(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)(C)C ZINC001501182859 1074679378 /nfs/dbraw/zinc/67/93/78/1074679378.db2.gz HWGKMHRKKKDSHO-LBPRGKRZSA-N 0 0 431.563 -0.235 20 0 IBADRN CCCNC(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCN(C(=O)NC)CC1 ZINC001501183678 1074679384 /nfs/dbraw/zinc/67/93/84/1074679384.db2.gz ZZIOYUZQPYZOMY-UHFFFAOYSA-N 0 0 429.485 -0.087 20 0 IBADRN CCCNC(=O)Cn1c(-c2cccnc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001501197024 1074679398 /nfs/dbraw/zinc/67/93/98/1074679398.db2.gz WOBPGBFXIQKYEQ-UHFFFAOYSA-N 0 0 440.508 -0.207 20 0 IBADRN CCCNC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001501199070 1074679416 /nfs/dbraw/zinc/67/94/16/1074679416.db2.gz DNJQNNHMWGMFJR-INIZCTEOSA-N 0 0 443.512 -0.029 20 0 IBADRN CCCNC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001501199071 1074679406 /nfs/dbraw/zinc/67/94/06/1074679406.db2.gz DNJQNNHMWGMFJR-MRXNPFEDSA-N 0 0 443.512 -0.029 20 0 IBADRN CCCNC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001501199165 1074679367 /nfs/dbraw/zinc/67/93/67/1074679367.db2.gz HUSPSEOSKQWHAT-UHFFFAOYSA-N 0 0 445.528 -0.221 20 0 IBADRN CCCNC(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001501199178 1074679419 /nfs/dbraw/zinc/67/94/19/1074679419.db2.gz IDAKNRPURHUEQX-UHFFFAOYSA-N 0 0 431.545 -0.149 20 0 IBADRN CCCNC(=O)Cn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001501201151 1074679393 /nfs/dbraw/zinc/67/93/93/1074679393.db2.gz AGVKTZLXGIWOCN-UHFFFAOYSA-N 0 0 433.513 -0.811 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001501201363 1074679437 /nfs/dbraw/zinc/67/94/37/1074679437.db2.gz HHCBYAJNPVKDMU-HNNXBMFYSA-N 0 0 448.528 -0.670 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001501201364 1074679946 /nfs/dbraw/zinc/67/99/46/1074679946.db2.gz HHCBYAJNPVKDMU-OAHLLOKOSA-N 0 0 448.528 -0.670 20 0 IBADRN CCCNC(=O)Cn1c(C2CC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001501201612 1074679916 /nfs/dbraw/zinc/67/99/16/1074679916.db2.gz LZUISOMOBJTXRQ-UHFFFAOYSA-N 0 0 431.501 -0.852 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001501202113 1074679936 /nfs/dbraw/zinc/67/99/36/1074679936.db2.gz QXYZDCWRCXJKNV-AWEZNQCLSA-N 0 0 441.558 -0.479 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001501202116 1074679912 /nfs/dbraw/zinc/67/99/12/1074679912.db2.gz QXYZDCWRCXJKNV-CQSZACIVSA-N 0 0 441.558 -0.479 20 0 IBADRN CCCNC(=O)Cn1c(-c2noc3c2COCC3)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001501202118 1074679906 /nfs/dbraw/zinc/67/99/06/1074679906.db2.gz RABBYUBKSQUQGR-AWEZNQCLSA-N 0 0 433.469 -0.517 20 0 IBADRN CCCNC(=O)Cn1c(-c2noc3c2COCC3)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001501202127 1074679898 /nfs/dbraw/zinc/67/98/98/1074679898.db2.gz RABBYUBKSQUQGR-CQSZACIVSA-N 0 0 433.469 -0.517 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC001501202647 1074679932 /nfs/dbraw/zinc/67/99/32/1074679932.db2.gz XKJJDQVQWZJIAL-CHWSQXEVSA-N 0 0 432.485 -0.772 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC001501202648 1074679955 /nfs/dbraw/zinc/67/99/55/1074679955.db2.gz XKJJDQVQWZJIAL-OLZOCXBDSA-N 0 0 432.485 -0.772 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC001501202649 1074679927 /nfs/dbraw/zinc/67/99/27/1074679927.db2.gz XKJJDQVQWZJIAL-QWHCGFSZSA-N 0 0 432.485 -0.772 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC001501202650 1074679961 /nfs/dbraw/zinc/67/99/61/1074679961.db2.gz XKJJDQVQWZJIAL-STQMWFEESA-N 0 0 432.485 -0.772 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001501202723 1074679877 /nfs/dbraw/zinc/67/98/77/1074679877.db2.gz ZGNWEVIEQLPIHK-AWEZNQCLSA-N 0 0 441.558 -0.384 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001501202724 1074679957 /nfs/dbraw/zinc/67/99/57/1074679957.db2.gz ZGNWEVIEQLPIHK-CQSZACIVSA-N 0 0 441.558 -0.384 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCNC(=O)C(C)C ZINC001501203982 1074679921 /nfs/dbraw/zinc/67/99/21/1074679921.db2.gz JBNQLOWWLQJZBP-AWEZNQCLSA-N 0 0 428.559 -0.085 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCNC(=O)C(C)C ZINC001501203983 1074679953 /nfs/dbraw/zinc/67/99/53/1074679953.db2.gz JBNQLOWWLQJZBP-CQSZACIVSA-N 0 0 428.559 -0.085 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(=O)(=O)CC ZINC001501204211 1074679891 /nfs/dbraw/zinc/67/98/91/1074679891.db2.gz LJVWREMFESJSOS-KBPBESRZSA-N 0 0 449.599 -0.034 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(=O)(=O)CC ZINC001501204212 1074679862 /nfs/dbraw/zinc/67/98/62/1074679862.db2.gz LJVWREMFESJSOS-KGLIPLIRSA-N 0 0 449.599 -0.034 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@@H](C)CS(=O)(=O)CC ZINC001501204213 1074679964 /nfs/dbraw/zinc/67/99/64/1074679964.db2.gz LJVWREMFESJSOS-UONOGXRCSA-N 0 0 449.599 -0.034 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)[C@H](C)CS(=O)(=O)CC ZINC001501204214 1074679909 /nfs/dbraw/zinc/67/99/09/1074679909.db2.gz LJVWREMFESJSOS-ZIAGYGMSSA-N 0 0 449.599 -0.034 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001501204710 1074679940 /nfs/dbraw/zinc/67/99/40/1074679940.db2.gz RUVGJQMHWIOQEK-AWEZNQCLSA-N 0 0 438.554 -0.187 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001501204711 1074679949 /nfs/dbraw/zinc/67/99/49/1074679949.db2.gz RUVGJQMHWIOQEK-CQSZACIVSA-N 0 0 438.554 -0.187 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001501204849 1074680347 /nfs/dbraw/zinc/68/03/47/1074680347.db2.gz TVHPNKFECIBJJS-KRWDZBQOSA-N 0 0 449.556 -0.711 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001501204850 1074680306 /nfs/dbraw/zinc/68/03/06/1074680306.db2.gz TVHPNKFECIBJJS-QGZVFWFLSA-N 0 0 449.556 -0.711 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001501207074 1074680293 /nfs/dbraw/zinc/68/02/93/1074680293.db2.gz CTVKMWFLWYZKFG-ACJLOTCBSA-N 0 0 440.526 -0.263 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001501207075 1074680358 /nfs/dbraw/zinc/68/03/58/1074680358.db2.gz CTVKMWFLWYZKFG-FZKQIMNGSA-N 0 0 440.526 -0.263 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001501207076 1074680341 /nfs/dbraw/zinc/68/03/41/1074680341.db2.gz CTVKMWFLWYZKFG-SCLBCKFNSA-N 0 0 440.526 -0.263 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001501207077 1074680267 /nfs/dbraw/zinc/68/02/67/1074680267.db2.gz CTVKMWFLWYZKFG-UGSOOPFHSA-N 0 0 440.526 -0.263 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001501207087 1074680335 /nfs/dbraw/zinc/68/03/35/1074680335.db2.gz CXNRBNIBSJNJKA-CYBMUJFWSA-N 0 0 449.537 -0.637 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001501207088 1074680320 /nfs/dbraw/zinc/68/03/20/1074680320.db2.gz CXNRBNIBSJNJKA-ZDUSSCGKSA-N 0 0 449.537 -0.637 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001501207634 1074680237 /nfs/dbraw/zinc/68/02/37/1074680237.db2.gz JWGHYXISRMHGTA-HNNXBMFYSA-N 0 0 448.553 -0.177 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001501207635 1074680353 /nfs/dbraw/zinc/68/03/53/1074680353.db2.gz JWGHYXISRMHGTA-OAHLLOKOSA-N 0 0 448.553 -0.177 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)[C@H](C)C1 ZINC001501208030 1074680220 /nfs/dbraw/zinc/68/02/20/1074680220.db2.gz ORIBZDRWTDDPJL-CVEARBPZSA-N 0 0 442.586 -0.137 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)[C@@H](C)C1 ZINC001501208031 1074680260 /nfs/dbraw/zinc/68/02/60/1074680260.db2.gz ORIBZDRWTDDPJL-HOTGVXAUSA-N 0 0 442.586 -0.137 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)[C@H](C)C1 ZINC001501208032 1074680301 /nfs/dbraw/zinc/68/03/01/1074680301.db2.gz ORIBZDRWTDDPJL-HZPDHXFCSA-N 0 0 442.586 -0.137 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(CCOC)[C@@H](C)C1 ZINC001501208033 1074680279 /nfs/dbraw/zinc/68/02/79/1074680279.db2.gz ORIBZDRWTDDPJL-JKSUJKDBSA-N 0 0 442.586 -0.137 20 0 IBADRN CCCNC(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCOCC1 ZINC001501208189 1074680315 /nfs/dbraw/zinc/68/03/15/1074680315.db2.gz TVNFBNRCLHSRHY-AWEZNQCLSA-N 0 0 430.531 -0.636 20 0 IBADRN CCCNC(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCOCC1 ZINC001501208190 1074680232 /nfs/dbraw/zinc/68/02/32/1074680232.db2.gz TVNFBNRCLHSRHY-CQSZACIVSA-N 0 0 430.531 -0.636 20 0 IBADRN CCCNC(=O)Cn1c(Cn2nc(C)cc2C)nnc1N(C)CC(=O)N1CCOCC1 ZINC001501214859 1074680284 /nfs/dbraw/zinc/68/02/84/1074680284.db2.gz BRMCGGNVHIJVTQ-UHFFFAOYSA-N 0 0 432.529 -0.039 20 0 IBADRN CCCNC(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001501214984 1074680272 /nfs/dbraw/zinc/68/02/72/1074680272.db2.gz DKKZPKVZILPGMC-UHFFFAOYSA-N 0 0 446.512 -0.553 20 0 IBADRN CCCNC(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001501215819 1074680243 /nfs/dbraw/zinc/68/02/43/1074680243.db2.gz RCEQBVOODCCEOA-AWEZNQCLSA-N 0 0 426.543 -0.060 20 0 IBADRN CCCNC(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001501215820 1074680329 /nfs/dbraw/zinc/68/03/29/1074680329.db2.gz RCEQBVOODCCEOA-CQSZACIVSA-N 0 0 426.543 -0.060 20 0 IBADRN CCCNC(=O)Cn1c(COCC)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001501220116 1074680869 /nfs/dbraw/zinc/68/08/69/1074680869.db2.gz HYEZICCUWVDRNR-UHFFFAOYSA-N 0 0 435.529 -0.388 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001501388936 1074680934 /nfs/dbraw/zinc/68/09/34/1074680934.db2.gz BZHFUSNBYNIYLW-HNNXBMFYSA-N 0 0 448.572 -0.316 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001501388937 1074680927 /nfs/dbraw/zinc/68/09/27/1074680927.db2.gz BZHFUSNBYNIYLW-OAHLLOKOSA-N 0 0 448.572 -0.316 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCC(CNS(C)(=O)=O)CC1 ZINC001501389113 1074680943 /nfs/dbraw/zinc/68/09/43/1074680943.db2.gz IJYFZOXBUDSCNA-UHFFFAOYSA-N 0 0 443.574 -0.340 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001501389223 1074680888 /nfs/dbraw/zinc/68/08/88/1074680888.db2.gz MCJBNRQPAZQNBF-UHFFFAOYSA-N 0 0 444.496 -0.301 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001501389342 1074680946 /nfs/dbraw/zinc/68/09/46/1074680946.db2.gz PFFGHXMNLKFOHM-CYBMUJFWSA-N 0 0 430.469 -0.645 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001501389343 1074680895 /nfs/dbraw/zinc/68/08/95/1074680895.db2.gz PFFGHXMNLKFOHM-ZDUSSCGKSA-N 0 0 430.469 -0.645 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001501389364 1074680920 /nfs/dbraw/zinc/68/09/20/1074680920.db2.gz PTARNKQJGMUQFM-GFCCVEGCSA-N 0 0 427.531 -0.822 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C2CC2)C(=O)C1 ZINC001501389365 1074680905 /nfs/dbraw/zinc/68/09/05/1074680905.db2.gz PTARNKQJGMUQFM-LBPRGKRZSA-N 0 0 427.531 -0.822 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)OC)[C@@H](C)C1 ZINC001501389461 1074680901 /nfs/dbraw/zinc/68/09/01/1074680901.db2.gz SJDCFVSHDAINRT-QWHCGFSZSA-N 0 0 445.546 -0.357 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)OC)[C@@H](C)C1 ZINC001501389462 1074680937 /nfs/dbraw/zinc/68/09/37/1074680937.db2.gz SJDCFVSHDAINRT-STQMWFEESA-N 0 0 445.546 -0.357 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC001501389830 1074680940 /nfs/dbraw/zinc/68/09/40/1074680940.db2.gz XWMIVMRFAWMNIE-CYBMUJFWSA-N 0 0 434.501 -0.633 20 0 IBADRN CCN(C)C(=O)Cn1c(C(=O)NC(C)C)nnc1N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC001501389831 1074680910 /nfs/dbraw/zinc/68/09/10/1074680910.db2.gz XWMIVMRFAWMNIE-ZDUSSCGKSA-N 0 0 434.501 -0.633 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001501393102 1074680830 /nfs/dbraw/zinc/68/08/30/1074680830.db2.gz HZSSEFLODDWBED-HZSPNIEDSA-N 0 0 441.558 -0.576 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001501393103 1074680918 /nfs/dbraw/zinc/68/09/18/1074680918.db2.gz HZSSEFLODDWBED-MELADBBJSA-N 0 0 441.558 -0.576 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)OCCOC)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001501393147 1074680924 /nfs/dbraw/zinc/68/09/24/1074680924.db2.gz IMKGMGRKSFSUGG-GJZGRUSLSA-N 0 0 446.574 -0.050 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H](C)OCCOC)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001501393148 1074680878 /nfs/dbraw/zinc/68/08/78/1074680878.db2.gz IMKGMGRKSFSUGG-LSDHHAIUSA-N 0 0 446.574 -0.050 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@]2(CCO[C@H]2C)C1 ZINC001501393260 1074680848 /nfs/dbraw/zinc/68/08/48/1074680848.db2.gz LAQGIKZHYYHGLB-DEYYWGMASA-N 0 0 444.558 -0.249 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC001501393261 1074680882 /nfs/dbraw/zinc/68/08/82/1074680882.db2.gz LAQGIKZHYYHGLB-IYOUNJFTSA-N 0 0 444.558 -0.249 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@]2(CCO[C@@H]2C)C1 ZINC001501393262 1074681357 /nfs/dbraw/zinc/68/13/57/1074681357.db2.gz LAQGIKZHYYHGLB-PMUMKWKESA-N 0 0 444.558 -0.249 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@]2(CCO[C@H]2C)C1 ZINC001501393263 1074681318 /nfs/dbraw/zinc/68/13/18/1074681318.db2.gz LAQGIKZHYYHGLB-SUNYJGFJSA-N 0 0 444.558 -0.249 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cccc(OC)c2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001501398848 1074681291 /nfs/dbraw/zinc/68/12/91/1074681291.db2.gz ZXFAYZTUAVPGIY-HNNXBMFYSA-N 0 0 441.492 -0.421 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cccc(OC)c2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001501398849 1074681277 /nfs/dbraw/zinc/68/12/77/1074681277.db2.gz ZXFAYZTUAVPGIY-OAHLLOKOSA-N 0 0 441.492 -0.421 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2ccc(-n3cnnn3)cc2)nnc1N1CCS(=O)CC1 ZINC001501403836 1074681208 /nfs/dbraw/zinc/68/12/08/1074681208.db2.gz CFZJYVDKFRSWBC-UHFFFAOYSA-N 0 0 429.510 -0.032 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001501410182 1074681336 /nfs/dbraw/zinc/68/13/36/1074681336.db2.gz MNUVWJJWHAWTLB-UHFFFAOYSA-N 0 0 428.497 -0.321 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cccnc2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001501410495 1074681299 /nfs/dbraw/zinc/68/12/99/1074681299.db2.gz OWOQAPYDMQJZDH-UHFFFAOYSA-N 0 0 442.524 -0.008 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001501413404 1074681331 /nfs/dbraw/zinc/68/13/31/1074681331.db2.gz CIFUYCMNXDLEFJ-UHFFFAOYSA-N 0 0 441.496 -0.859 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC001501413517 1074681362 /nfs/dbraw/zinc/68/13/62/1074681362.db2.gz HCAWVJNFOSTJEY-AWEZNQCLSA-N 0 0 427.469 -0.314 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC001501413518 1074681243 /nfs/dbraw/zinc/68/12/43/1074681243.db2.gz HCAWVJNFOSTJEY-CQSZACIVSA-N 0 0 427.469 -0.314 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001501413827 1074681265 /nfs/dbraw/zinc/68/12/65/1074681265.db2.gz SDKMXTMWAYSNDW-UHFFFAOYSA-N 0 0 431.545 -0.197 20 0 IBADRN CCN(C)C(=O)Cn1c(-c2cnccn2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001501414017 1074681257 /nfs/dbraw/zinc/68/12/57/1074681257.db2.gz ZIZXGSZBTTZPQK-UHFFFAOYSA-N 0 0 443.512 -0.212 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001501416144 1074681269 /nfs/dbraw/zinc/68/12/69/1074681269.db2.gz CFZNRHJZCZRBGE-AWEZNQCLSA-N 0 0 429.485 -0.706 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001501416145 1074681230 /nfs/dbraw/zinc/68/12/30/1074681230.db2.gz CFZNRHJZCZRBGE-CQSZACIVSA-N 0 0 429.485 -0.706 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2ncc(F)cn2)CC1 ZINC001501416695 1074681311 /nfs/dbraw/zinc/68/13/11/1074681311.db2.gz SGJUTFRHGGFWJN-AWEZNQCLSA-N 0 0 431.476 -0.037 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2ncc(F)cn2)CC1 ZINC001501416696 1074681351 /nfs/dbraw/zinc/68/13/51/1074681351.db2.gz SGJUTFRHGGFWJN-CQSZACIVSA-N 0 0 431.476 -0.037 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2OC(=O)O[C@H]2C1 ZINC001501421168 1074681253 /nfs/dbraw/zinc/68/12/53/1074681253.db2.gz LBNCBGZPYWDOTC-AGIUHOORSA-N 0 0 427.483 -0.227 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2OC(=O)O[C@@H]2C1 ZINC001501421169 1074681225 /nfs/dbraw/zinc/68/12/25/1074681225.db2.gz LBNCBGZPYWDOTC-FRRDWIJNSA-N 0 0 427.483 -0.227 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H]2OC(=O)O[C@@H]2C1 ZINC001501421170 1074681323 /nfs/dbraw/zinc/68/13/23/1074681323.db2.gz LBNCBGZPYWDOTC-JHJVBQTASA-N 0 0 427.483 -0.227 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H]2OC(=O)O[C@H]2C1 ZINC001501421171 1074681185 /nfs/dbraw/zinc/68/11/85/1074681185.db2.gz LBNCBGZPYWDOTC-UPJWGTAASA-N 0 0 427.483 -0.227 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001501421175 1074681822 /nfs/dbraw/zinc/68/18/22/1074681822.db2.gz LDZVKNLLBZIGFJ-MCIONIFRSA-N 0 0 440.526 -0.407 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001501421176 1074681797 /nfs/dbraw/zinc/68/17/97/1074681797.db2.gz LDZVKNLLBZIGFJ-MJBXVCDLSA-N 0 0 440.526 -0.407 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCCOC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001501421682 1074681861 /nfs/dbraw/zinc/68/18/61/1074681861.db2.gz UWPVUWAGOSAIJD-INIZCTEOSA-N 0 0 449.556 -0.176 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCCOC2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001501421683 1074681802 /nfs/dbraw/zinc/68/18/02/1074681802.db2.gz UWPVUWAGOSAIJD-MRXNPFEDSA-N 0 0 449.556 -0.176 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCCN(C(C)=O)CC1 ZINC001501421920 1074681889 /nfs/dbraw/zinc/68/18/89/1074681889.db2.gz YQQSBZLFKCQTKH-HNNXBMFYSA-N 0 0 426.543 -0.283 20 0 IBADRN CCN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCCN(C(C)=O)CC1 ZINC001501421921 1074681877 /nfs/dbraw/zinc/68/18/77/1074681877.db2.gz YQQSBZLFKCQTKH-OAHLLOKOSA-N 0 0 426.543 -0.283 20 0 IBADRN CCN(C)C(=O)Cn1c(CC2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001501429381 1074681849 /nfs/dbraw/zinc/68/18/49/1074681849.db2.gz JDKNNJFWHOXTSG-UHFFFAOYSA-N 0 0 447.540 -0.784 20 0 IBADRN CCN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001501431799 1074681905 /nfs/dbraw/zinc/68/19/05/1074681905.db2.gz HEUAHZYOXVEDSV-UHFFFAOYSA-N 0 0 446.556 -0.721 20 0 IBADRN CCN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC(NC(=O)COC)CC1 ZINC001501432211 1074681816 /nfs/dbraw/zinc/68/18/16/1074681816.db2.gz MLUKMXFMMGFXJP-UHFFFAOYSA-N 0 0 435.529 -0.390 20 0 IBADRN CCN(C)C(=O)Cn1c(Nc2cnn(C)c2)nnc1[C@H]1CN(S(C)(=O)=O)CCO1 ZINC001501437384 1074681832 /nfs/dbraw/zinc/68/18/32/1074681832.db2.gz DQMPXKFZQNIUEE-CYBMUJFWSA-N 0 0 426.503 -0.434 20 0 IBADRN CCN(C)C(=O)Cn1c(Nc2cnn(C)c2)nnc1[C@@H]1CN(S(C)(=O)=O)CCO1 ZINC001501437385 1074681842 /nfs/dbraw/zinc/68/18/42/1074681842.db2.gz DQMPXKFZQNIUEE-ZDUSSCGKSA-N 0 0 426.503 -0.434 20 0 IBADRN CCN(C)C(=O)Cn1c([C@H]2OCC[C@H]2COC)nnc1N1CCC(OCC(N)=O)CC1 ZINC001501442444 1074681868 /nfs/dbraw/zinc/68/18/68/1074681868.db2.gz JXXIFBKXLUSRNO-KSSFIOAISA-N 0 0 438.529 -0.049 20 0 IBADRN CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C(N)=O)CCOCC1 ZINC001501471215 1074681912 /nfs/dbraw/zinc/68/19/12/1074681912.db2.gz CIVMHEKGTLPEPA-CYBMUJFWSA-N 0 0 430.531 -0.651 20 0 IBADRN CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C(N)=O)CCOCC1 ZINC001501471216 1074681789 /nfs/dbraw/zinc/68/17/89/1074681789.db2.gz CIVMHEKGTLPEPA-ZDUSSCGKSA-N 0 0 430.531 -0.651 20 0 IBADRN CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCNC(=O)c1ccn[nH]1 ZINC001501471419 1074681827 /nfs/dbraw/zinc/68/18/27/1074681827.db2.gz HNUFHBSDGSZMGK-CYBMUJFWSA-N 0 0 426.503 -0.780 20 0 IBADRN CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCNC(=O)c1ccn[nH]1 ZINC001501471420 1074681900 /nfs/dbraw/zinc/68/19/00/1074681900.db2.gz HNUFHBSDGSZMGK-ZDUSSCGKSA-N 0 0 426.503 -0.780 20 0 IBADRN CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCS(=O)(=O)CC1CC1 ZINC001501472443 1074682438 /nfs/dbraw/zinc/68/24/38/1074682438.db2.gz ZMAXLVLHYFAVMD-AWEZNQCLSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCS(=O)(=O)CC1CC1 ZINC001501472445 1074682387 /nfs/dbraw/zinc/68/23/87/1074682387.db2.gz ZMAXLVLHYFAVMD-CQSZACIVSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(c1nnc(-c2cnn(C)c2)n1CCS(=O)(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001501586944 1074682436 /nfs/dbraw/zinc/68/24/36/1074682436.db2.gz MMEHXWOARCXRTD-AWEZNQCLSA-N 0 0 445.571 -0.417 20 0 IBADRN CCN(c1nnc(-c2cnn(C)c2)n1CCS(=O)(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001501586945 1074682383 /nfs/dbraw/zinc/68/23/83/1074682383.db2.gz MMEHXWOARCXRTD-CQSZACIVSA-N 0 0 445.571 -0.417 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCN(C)CCOC)[C@@H]1CCS(=O)(=O)C1 ZINC001501598867 1074682394 /nfs/dbraw/zinc/68/23/94/1074682394.db2.gz ASUGUFMNODDALS-CABCVRRESA-N 0 0 428.559 -0.179 20 0 IBADRN CCN(c1nnc([C@@H]2CCC(=O)N2)n1CCN(C)CCOC)[C@H]1CCS(=O)(=O)C1 ZINC001501598868 1074682417 /nfs/dbraw/zinc/68/24/17/1074682417.db2.gz ASUGUFMNODDALS-GJZGRUSLSA-N 0 0 428.559 -0.179 20 0 IBADRN CCN(c1nnc([C@H]2CCC(=O)N2)n1CCN(C)CCOC)[C@@H]1CCS(=O)(=O)C1 ZINC001501598869 1074682411 /nfs/dbraw/zinc/68/24/11/1074682411.db2.gz ASUGUFMNODDALS-HUUCEWRRSA-N 0 0 428.559 -0.179 20 0 IBADRN CCN(c1nnc([C@H]2CCC(=O)N2)n1CCN(C)CCOC)[C@H]1CCS(=O)(=O)C1 ZINC001501598870 1074682440 /nfs/dbraw/zinc/68/24/40/1074682440.db2.gz ASUGUFMNODDALS-LSDHHAIUSA-N 0 0 428.559 -0.179 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001501613618 1074682408 /nfs/dbraw/zinc/68/24/08/1074682408.db2.gz ADWFVQCPCVFMHS-CHWSQXEVSA-N 0 0 433.556 -0.718 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001501613619 1074682439 /nfs/dbraw/zinc/68/24/39/1074682439.db2.gz ADWFVQCPCVFMHS-OLZOCXBDSA-N 0 0 433.556 -0.718 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001501613620 1074682403 /nfs/dbraw/zinc/68/24/03/1074682403.db2.gz ADWFVQCPCVFMHS-QWHCGFSZSA-N 0 0 433.556 -0.718 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001501613621 1074682444 /nfs/dbraw/zinc/68/24/44/1074682444.db2.gz ADWFVQCPCVFMHS-STQMWFEESA-N 0 0 433.556 -0.718 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CCC(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001501621016 1074682391 /nfs/dbraw/zinc/68/23/91/1074682391.db2.gz UNTGZBIXJVOTMG-KBPBESRZSA-N 0 0 447.583 -0.328 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCC(=O)N(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001501621017 1074682377 /nfs/dbraw/zinc/68/23/77/1074682377.db2.gz UNTGZBIXJVOTMG-KGLIPLIRSA-N 0 0 447.583 -0.328 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CCC(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001501621018 1074682450 /nfs/dbraw/zinc/68/24/50/1074682450.db2.gz UNTGZBIXJVOTMG-UONOGXRCSA-N 0 0 447.583 -0.328 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCC(=O)N(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001501621019 1074682431 /nfs/dbraw/zinc/68/24/31/1074682431.db2.gz UNTGZBIXJVOTMG-ZIAGYGMSSA-N 0 0 447.583 -0.328 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1)[C@@H]1CCS(=O)(=O)C1 ZINC001501621150 1074682973 /nfs/dbraw/zinc/68/29/73/1074682973.db2.gz WRMINLVSTWXPMM-BFHYXJOUSA-N 0 0 445.567 -0.528 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1)[C@H]1CCS(=O)(=O)C1 ZINC001501621151 1074682985 /nfs/dbraw/zinc/68/29/85/1074682985.db2.gz WRMINLVSTWXPMM-MCIONIFRSA-N 0 0 445.567 -0.528 20 0 IBADRN CCN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1)[C@@H]1CCS(=O)(=O)C1 ZINC001501621152 1074682979 /nfs/dbraw/zinc/68/29/79/1074682979.db2.gz WRMINLVSTWXPMM-MGPQQGTHSA-N 0 0 445.567 -0.528 20 0 IBADRN CCN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1)[C@H]1CCS(=O)(=O)C1 ZINC001501621153 1074682788 /nfs/dbraw/zinc/68/27/88/1074682788.db2.gz WRMINLVSTWXPMM-MJBXVCDLSA-N 0 0 445.567 -0.528 20 0 IBADRN CCN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCS(=O)(=O)NC)C1CC1 ZINC001501622778 1074682797 /nfs/dbraw/zinc/68/27/97/1074682797.db2.gz BZQJTZJRMYUMCH-CYBMUJFWSA-N 0 0 436.560 -0.851 20 0 IBADRN CCN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCS(=O)(=O)NC)C1CC1 ZINC001501622779 1074682856 /nfs/dbraw/zinc/68/28/56/1074682856.db2.gz BZQJTZJRMYUMCH-ZDUSSCGKSA-N 0 0 436.560 -0.851 20 0 IBADRN CCN(C1CCN(c2nnc(C3CC3)n2CCS(=O)(=O)NC)CC1)S(C)(=O)=O ZINC001501687468 1074682864 /nfs/dbraw/zinc/68/28/64/1074682864.db2.gz UYWHYVMRQBFOPY-UHFFFAOYSA-N 0 0 434.588 -0.045 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCC(=O)N2)CC1 ZINC001501778299 1074682961 /nfs/dbraw/zinc/68/29/61/1074682961.db2.gz IBXRTGRVYLFCMA-MRXNPFEDSA-N 0 0 448.572 -0.315 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCOC2)CC1 ZINC001501783961 1074682834 /nfs/dbraw/zinc/68/28/34/1074682834.db2.gz RWDHNHBRIMNJNQ-NRFANRHFSA-N 0 0 446.556 -0.180 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCOC2)CC1 ZINC001501783964 1074682939 /nfs/dbraw/zinc/68/29/39/1074682939.db2.gz RWDHNHBRIMNJNQ-OAQYLSRUSA-N 0 0 446.556 -0.180 20 0 IBADRN CCN(CC)C(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001501784293 1074682907 /nfs/dbraw/zinc/68/29/07/1074682907.db2.gz ZXYPSHBOQSXMOQ-QGZVFWFLSA-N 0 0 443.556 -0.052 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2nnn(C)n2)CC1 ZINC001501790945 1074682953 /nfs/dbraw/zinc/68/29/53/1074682953.db2.gz UGXVBMYAXFOWTB-CYBMUJFWSA-N 0 0 431.505 -0.615 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2nnn(C)n2)CC1 ZINC001501790946 1074682929 /nfs/dbraw/zinc/68/29/29/1074682929.db2.gz UGXVBMYAXFOWTB-ZDUSSCGKSA-N 0 0 431.505 -0.615 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)NC)CC1 ZINC001501791703 1074682898 /nfs/dbraw/zinc/68/28/98/1074682898.db2.gz RYGJGTMCDHGOJN-HNNXBMFYSA-N 0 0 443.574 -0.085 20 0 IBADRN CCN(CC)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)NC)CC1 ZINC001501791704 1074682881 /nfs/dbraw/zinc/68/28/81/1074682881.db2.gz RYGJGTMCDHGOJN-OAHLLOKOSA-N 0 0 443.574 -0.085 20 0 IBADRN CCN(CC)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001501873876 1074683389 /nfs/dbraw/zinc/68/33/89/1074683389.db2.gz PBLVRHHOXAMARV-KBPBESRZSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(CC)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001501873877 1074683335 /nfs/dbraw/zinc/68/33/35/1074683335.db2.gz PBLVRHHOXAMARV-KGLIPLIRSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(CC)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001501873878 1074683374 /nfs/dbraw/zinc/68/33/74/1074683374.db2.gz PBLVRHHOXAMARV-UONOGXRCSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(CC)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001501873879 1074683313 /nfs/dbraw/zinc/68/33/13/1074683313.db2.gz PBLVRHHOXAMARV-ZIAGYGMSSA-N 0 0 435.572 -0.108 20 0 IBADRN CCN(CC1CC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001502087947 1074684030 /nfs/dbraw/zinc/68/40/30/1074684030.db2.gz XJOQSJLLJDKWEW-PBHICJAKSA-N 0 0 432.568 -0.034 20 0 IBADRN CCN(CC1CC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001502087948 1074683983 /nfs/dbraw/zinc/68/39/83/1074683983.db2.gz XJOQSJLLJDKWEW-RHSMWYFYSA-N 0 0 432.568 -0.034 20 0 IBADRN CCN(CC1CC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001502087949 1074683949 /nfs/dbraw/zinc/68/39/49/1074683949.db2.gz XJOQSJLLJDKWEW-WMLDXEAASA-N 0 0 432.568 -0.034 20 0 IBADRN CCN(CC1CC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001502087950 1074684034 /nfs/dbraw/zinc/68/40/34/1074684034.db2.gz XJOQSJLLJDKWEW-YOEHRIQHSA-N 0 0 432.568 -0.034 20 0 IBADRN CCN(C[C@@H]1CCCO1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNS(C)(=O)=O ZINC001502133881 1074683991 /nfs/dbraw/zinc/68/39/91/1074683991.db2.gz LGKKZVUVGXSHOG-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CCN(C[C@@H]1CCCO1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNS(C)(=O)=O ZINC001502133882 1074684010 /nfs/dbraw/zinc/68/40/10/1074684010.db2.gz LGKKZVUVGXSHOG-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CCN(C[C@H]1CCCO1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNS(C)(=O)=O ZINC001502133883 1074683985 /nfs/dbraw/zinc/68/39/85/1074683985.db2.gz LGKKZVUVGXSHOG-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CCN(C[C@H]1CCCO1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNS(C)(=O)=O ZINC001502133884 1074684006 /nfs/dbraw/zinc/68/40/06/1074684006.db2.gz LGKKZVUVGXSHOG-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN CCN(CCCn1c([C@@H]2CCOC2)nnc1N1CCO[C@H](C(=O)NC)C1)S(C)(=O)=O ZINC001502183440 1074684016 /nfs/dbraw/zinc/68/40/16/1074684016.db2.gz UBMNXCXNTGYADS-CABCVRRESA-N 0 0 444.558 -0.595 20 0 IBADRN CCN(CCCn1c([C@H]2CCOC2)nnc1N1CCO[C@H](C(=O)NC)C1)S(C)(=O)=O ZINC001502183441 1074683976 /nfs/dbraw/zinc/68/39/76/1074683976.db2.gz UBMNXCXNTGYADS-GJZGRUSLSA-N 0 0 444.558 -0.595 20 0 IBADRN CCN(CCCn1c([C@@H]2CCOC2)nnc1N1CCO[C@@H](C(=O)NC)C1)S(C)(=O)=O ZINC001502183442 1074683980 /nfs/dbraw/zinc/68/39/80/1074683980.db2.gz UBMNXCXNTGYADS-HUUCEWRRSA-N 0 0 444.558 -0.595 20 0 IBADRN CCN(CCCn1c([C@H]2CCOC2)nnc1N1CCO[C@@H](C(=O)NC)C1)S(C)(=O)=O ZINC001502183443 1074684013 /nfs/dbraw/zinc/68/40/13/1074684013.db2.gz UBMNXCXNTGYADS-LSDHHAIUSA-N 0 0 444.558 -0.595 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CCS(=O)(=O)CC ZINC001502206631 1074684511 /nfs/dbraw/zinc/68/45/11/1074684511.db2.gz GDIDPTJYNBVVRH-UHFFFAOYSA-N 0 0 435.572 -0.180 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CC1(N2CCOCC2)CC1 ZINC001502210498 1074684490 /nfs/dbraw/zinc/68/44/90/1074684490.db2.gz PIXBDIGBTUOIBJ-HNNXBMFYSA-N 0 0 440.570 -0.035 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CC1(N2CCOCC2)CC1 ZINC001502210499 1074684537 /nfs/dbraw/zinc/68/45/37/1074684537.db2.gz PIXBDIGBTUOIBJ-OAHLLOKOSA-N 0 0 440.570 -0.035 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001502210860 1074684533 /nfs/dbraw/zinc/68/45/33/1074684533.db2.gz BDHBKUBPYXNZRB-AWEZNQCLSA-N 0 0 447.583 -0.423 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNC(=O)C1CC1 ZINC001502210861 1074684482 /nfs/dbraw/zinc/68/44/82/1074684482.db2.gz BDHBKUBPYXNZRB-CQSZACIVSA-N 0 0 447.583 -0.423 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1CCNC(=O)c1ccn[nH]1 ZINC001502210868 1074684527 /nfs/dbraw/zinc/68/45/27/1074684527.db2.gz BGHZQOSEAOUADL-CYBMUJFWSA-N 0 0 425.515 -0.194 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1CCNC(=O)c1ccn[nH]1 ZINC001502210869 1074684530 /nfs/dbraw/zinc/68/45/30/1074684530.db2.gz BGHZQOSEAOUADL-ZDUSSCGKSA-N 0 0 425.515 -0.194 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(C)(C)C(=O)NC ZINC001502210978 1074684493 /nfs/dbraw/zinc/68/44/93/1074684493.db2.gz DXDWISPVXKTOBS-CYBMUJFWSA-N 0 0 449.599 -0.177 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(C)(C)C(=O)NC ZINC001502210979 1074684387 /nfs/dbraw/zinc/68/43/87/1074684387.db2.gz DXDWISPVXKTOBS-ZDUSSCGKSA-N 0 0 449.599 -0.177 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC[S@@](=O)CC ZINC001502211192 1074684444 /nfs/dbraw/zinc/68/44/44/1074684444.db2.gz KDUZJPRALCMHHW-CANCMXDXSA-N 0 0 440.613 -0.180 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC[S@@](=O)CC ZINC001502211193 1074684478 /nfs/dbraw/zinc/68/44/78/1074684478.db2.gz KDUZJPRALCMHHW-SHZVTRLKSA-N 0 0 440.613 -0.180 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC[S@](=O)CC ZINC001502211194 1074684468 /nfs/dbraw/zinc/68/44/68/1074684468.db2.gz KDUZJPRALCMHHW-YMXBGEKHSA-N 0 0 440.613 -0.180 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC[S@](=O)CC ZINC001502211195 1074684474 /nfs/dbraw/zinc/68/44/74/1074684474.db2.gz KDUZJPRALCMHHW-ZJWNCGCPSA-N 0 0 440.613 -0.180 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001502211795 1074684465 /nfs/dbraw/zinc/68/44/65/1074684465.db2.gz XOOKOWLRVVZBFG-AWEZNQCLSA-N 0 0 449.599 -0.081 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)N(C)C ZINC001502211796 1074684431 /nfs/dbraw/zinc/68/44/31/1074684431.db2.gz XOOKOWLRVVZBFG-CQSZACIVSA-N 0 0 449.599 -0.081 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1CC(=O)N1CCOCC1 ZINC001502212805 1074684499 /nfs/dbraw/zinc/68/44/99/1074684499.db2.gz JNLWJACBNTXFFX-UHFFFAOYSA-N 0 0 442.542 -0.870 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@@]1(C)CN(C)C1=O ZINC001502212932 1074684952 /nfs/dbraw/zinc/68/49/52/1074684952.db2.gz OBXPMCNKPVJMLK-GOSISDBHSA-N 0 0 426.543 -0.250 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@]1(C)CN(C)C1=O ZINC001502212933 1074684925 /nfs/dbraw/zinc/68/49/25/1074684925.db2.gz OBXPMCNKPVJMLK-SFHVURJKSA-N 0 0 426.543 -0.250 20 0 IBADRN CCN(CCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1CC1(S(C)(=O)=O)CC1 ZINC001502213047 1074684944 /nfs/dbraw/zinc/68/49/44/1074684944.db2.gz SIPULXUNTGSFLF-UHFFFAOYSA-N 0 0 447.583 -0.151 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)N4CCCC4)n3CCCNC(C)=O)CCN2C1=O ZINC001502213474 1074684917 /nfs/dbraw/zinc/68/49/17/1074684917.db2.gz DZXZZGTZCPFUDH-HNNXBMFYSA-N 0 0 446.512 -0.487 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)N4CCCC4)n3CCCNC(C)=O)CCN2C1=O ZINC001502213475 1074684954 /nfs/dbraw/zinc/68/49/54/1074684954.db2.gz DZXZZGTZCPFUDH-OAHLLOKOSA-N 0 0 446.512 -0.487 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3CC(C)(C)C(=O)NC)CCN2C1=O ZINC001502213699 1074684908 /nfs/dbraw/zinc/68/49/08/1074684908.db2.gz JSKRQHFIHIIBCP-CYBMUJFWSA-N 0 0 448.528 -0.339 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CC(C)(C)C(=O)NC)CCN2C1=O ZINC001502213700 1074684883 /nfs/dbraw/zinc/68/48/83/1074684883.db2.gz JSKRQHFIHIIBCP-ZDUSSCGKSA-N 0 0 448.528 -0.339 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CC[C@@H]3COCCO3)CCN2C1=O ZINC001502213820 1074684982 /nfs/dbraw/zinc/68/49/82/1074684982.db2.gz MANQVDXINCKUOY-CABCVRRESA-N 0 0 449.512 -0.306 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CC[C@H]3COCCO3)CCN2C1=O ZINC001502213821 1074684980 /nfs/dbraw/zinc/68/49/80/1074684980.db2.gz MANQVDXINCKUOY-GJZGRUSLSA-N 0 0 449.512 -0.306 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3CC[C@@H]3COCCO3)CCN2C1=O ZINC001502213822 1074684965 /nfs/dbraw/zinc/68/49/65/1074684965.db2.gz MANQVDXINCKUOY-HUUCEWRRSA-N 0 0 449.512 -0.306 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3CC[C@H]3COCCO3)CCN2C1=O ZINC001502213823 1074684912 /nfs/dbraw/zinc/68/49/12/1074684912.db2.gz MANQVDXINCKUOY-LSDHHAIUSA-N 0 0 449.512 -0.306 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(C)C)n3CCCNS(C)(=O)=O)CCN2C1=O ZINC001502214089 1074684893 /nfs/dbraw/zinc/68/48/93/1074684893.db2.gz RWYVKWVTKNKLJT-CYBMUJFWSA-N 0 0 427.531 -0.187 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(C)C)n3CCCNS(C)(=O)=O)CCN2C1=O ZINC001502214090 1074684903 /nfs/dbraw/zinc/68/49/03/1074684903.db2.gz RWYVKWVTKNKLJT-ZDUSSCGKSA-N 0 0 427.531 -0.187 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(C)(C)C)n3CCS(=O)(=O)N(C)C)CCN2C1=O ZINC001502214154 1074684976 /nfs/dbraw/zinc/68/49/76/1074684976.db2.gz UEFYJNRZEAWGQG-CYBMUJFWSA-N 0 0 441.558 -0.060 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(C)(C)C)n3CCS(=O)(=O)N(C)C)CCN2C1=O ZINC001502214155 1074684930 /nfs/dbraw/zinc/68/49/30/1074684930.db2.gz UEFYJNRZEAWGQG-ZDUSSCGKSA-N 0 0 441.558 -0.060 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)N4CCCC4)n3CCC(=O)NC)CCN2C1=O ZINC001502214163 1074684971 /nfs/dbraw/zinc/68/49/71/1074684971.db2.gz UOJFIYXLTPMCAS-CYBMUJFWSA-N 0 0 432.485 -0.877 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)N4CCCC4)n3CCC(=O)NC)CCN2C1=O ZINC001502214164 1074684947 /nfs/dbraw/zinc/68/49/47/1074684947.db2.gz UOJFIYXLTPMCAS-ZDUSSCGKSA-N 0 0 432.485 -0.877 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CCOCCOC)CCN2C1=O ZINC001502214260 1074684968 /nfs/dbraw/zinc/68/49/68/1074684968.db2.gz WCFBXDPZCQQTQW-AWEZNQCLSA-N 0 0 437.501 -0.448 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3CCOCCOC)CCN2C1=O ZINC001502214261 1074684963 /nfs/dbraw/zinc/68/49/63/1074684963.db2.gz WCFBXDPZCQQTQW-CQSZACIVSA-N 0 0 437.501 -0.448 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(=O)NC(C)C)n3CCC(=O)N(C)C)CCN2C1=O ZINC001502214278 1074684960 /nfs/dbraw/zinc/68/49/60/1074684960.db2.gz WMNAZAWZPUOKEW-CYBMUJFWSA-N 0 0 434.501 -0.633 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(=O)NC(C)C)n3CCC(=O)N(C)C)CCN2C1=O ZINC001502214279 1074685430 /nfs/dbraw/zinc/68/54/30/1074685430.db2.gz WMNAZAWZPUOKEW-ZDUSSCGKSA-N 0 0 434.501 -0.633 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(C(C)C)n3CCOCCS(C)(=O)=O)CCN2C1=O ZINC001502214332 1074685438 /nfs/dbraw/zinc/68/54/38/1074685438.db2.gz XNFYLQDNBAWNQW-AWEZNQCLSA-N 0 0 442.542 -0.065 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(C(C)C)n3CCOCCS(C)(=O)=O)CCN2C1=O ZINC001502214333 1074685379 /nfs/dbraw/zinc/68/53/79/1074685379.db2.gz XNFYLQDNBAWNQW-CQSZACIVSA-N 0 0 442.542 -0.065 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnccn4)n3CCOCCCO)CCN2C1=O ZINC001502214712 1074685425 /nfs/dbraw/zinc/68/54/25/1074685425.db2.gz FIPLYHNTRQEHKY-HNNXBMFYSA-N 0 0 430.469 -0.393 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnccn4)n3CCOCCCO)CCN2C1=O ZINC001502214713 1074685435 /nfs/dbraw/zinc/68/54/35/1074685435.db2.gz FIPLYHNTRQEHKY-OAHLLOKOSA-N 0 0 430.469 -0.393 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnn(C)c4)n3CCC(=O)N(C)C)CCN2C1=O ZINC001502214728 1074685391 /nfs/dbraw/zinc/68/53/91/1074685391.db2.gz FOXCZUYKKMGQJO-AWEZNQCLSA-N 0 0 429.485 -0.370 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnn(C)c4)n3CCC(=O)N(C)C)CCN2C1=O ZINC001502214729 1074685443 /nfs/dbraw/zinc/68/54/43/1074685443.db2.gz FOXCZUYKKMGQJO-CQSZACIVSA-N 0 0 429.485 -0.370 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnn(C)c4)n3CC[S@](=O)CC)CCN2C1=O ZINC001502215184 1074685413 /nfs/dbraw/zinc/68/54/13/1074685413.db2.gz OPCPRLXANYHAIH-ILHIWHGASA-N 0 0 434.526 -0.080 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnn(C)c4)n3CC[S@@](=O)CC)CCN2C1=O ZINC001502215185 1074685446 /nfs/dbraw/zinc/68/54/46/1074685446.db2.gz OPCPRLXANYHAIH-KJEZJMDVSA-N 0 0 434.526 -0.080 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnn(C)c4)n3CC[S@](=O)CC)CCN2C1=O ZINC001502215186 1074685420 /nfs/dbraw/zinc/68/54/20/1074685420.db2.gz OPCPRLXANYHAIH-NGFNCXNUSA-N 0 0 434.526 -0.080 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnn(C)c4)n3CC[S@@](=O)CC)CCN2C1=O ZINC001502215187 1074685370 /nfs/dbraw/zinc/68/53/70/1074685370.db2.gz OPCPRLXANYHAIH-WYIRRWHOSA-N 0 0 434.526 -0.080 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCOCCCO)CCN2C1=O ZINC001502215220 1074685403 /nfs/dbraw/zinc/68/54/03/1074685403.db2.gz OVZHFJCGSDVFFX-KBPBESRZSA-N 0 0 435.485 -0.899 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCOCCCO)CCN2C1=O ZINC001502215221 1074685384 /nfs/dbraw/zinc/68/53/84/1074685384.db2.gz OVZHFJCGSDVFFX-KGLIPLIRSA-N 0 0 435.485 -0.899 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@@H]4CCC(=O)N4)n3CCOCCCO)CCN2C1=O ZINC001502215222 1074685461 /nfs/dbraw/zinc/68/54/61/1074685461.db2.gz OVZHFJCGSDVFFX-UONOGXRCSA-N 0 0 435.485 -0.899 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc([C@H]4CCC(=O)N4)n3CCOCCCO)CCN2C1=O ZINC001502215223 1074685449 /nfs/dbraw/zinc/68/54/49/1074685449.db2.gz OVZHFJCGSDVFFX-ZIAGYGMSSA-N 0 0 435.485 -0.899 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cccnc4)n3CCS(=O)(=O)NC)CCN2C1=O ZINC001502215442 1074685397 /nfs/dbraw/zinc/68/53/97/1074685397.db2.gz UMZRXPMXVCTNDF-AWEZNQCLSA-N 0 0 448.509 -0.638 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cccnc4)n3CCS(=O)(=O)NC)CCN2C1=O ZINC001502215443 1074685458 /nfs/dbraw/zinc/68/54/58/1074685458.db2.gz UMZRXPMXVCTNDF-CQSZACIVSA-N 0 0 448.509 -0.638 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(-c4cnccn4)n3CC(=O)NCC(F)F)CCN2C1=O ZINC001502215698 1074685456 /nfs/dbraw/zinc/68/54/56/1074685456.db2.gz ZEHHELGDCYCBMB-GFCCVEGCSA-N 0 0 449.422 -0.411 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(-c4cnccn4)n3CC(=O)NCC(F)F)CCN2C1=O ZINC001502215699 1074685415 /nfs/dbraw/zinc/68/54/15/1074685415.db2.gz ZEHHELGDCYCBMB-LBPRGKRZSA-N 0 0 449.422 -0.411 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(CN4CCCC4=O)n3CCC(=O)N(C)C)CCN2C1=O ZINC001502216161 1074685407 /nfs/dbraw/zinc/68/54/07/1074685407.db2.gz MXDIXFBBTNOWSP-AWEZNQCLSA-N 0 0 446.512 -0.649 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(CN4CCCC4=O)n3CCC(=O)N(C)C)CCN2C1=O ZINC001502216162 1074685766 /nfs/dbraw/zinc/68/57/66/1074685766.db2.gz MXDIXFBBTNOWSP-CQSZACIVSA-N 0 0 446.512 -0.649 20 0 IBADRN CCN1C(=O)[C@@H]2CN(c3nnc(CN4CCCC4=O)n3CCOCCCO)CCN2C1=O ZINC001502216551 1074685859 /nfs/dbraw/zinc/68/58/59/1074685859.db2.gz YJFQOARVSDXLRB-HNNXBMFYSA-N 0 0 449.512 -0.728 20 0 IBADRN CCN1C(=O)[C@H]2CN(c3nnc(CN4CCCC4=O)n3CCOCCCO)CCN2C1=O ZINC001502216552 1074685853 /nfs/dbraw/zinc/68/58/53/1074685853.db2.gz YJFQOARVSDXLRB-OAHLLOKOSA-N 0 0 449.512 -0.728 20 0 IBADRN CCn1cc(CN(C)c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCS(=O)(=O)C2)cn1 ZINC001502222805 1074685794 /nfs/dbraw/zinc/68/57/94/1074685794.db2.gz DMJHUIPMXHHGTN-KBXCAEBGSA-N 0 0 437.526 -0.368 20 0 IBADRN CCn1cc(CN(C)c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCS(=O)(=O)C2)cn1 ZINC001502222806 1074685877 /nfs/dbraw/zinc/68/58/77/1074685877.db2.gz DMJHUIPMXHHGTN-KDOFPFPSSA-N 0 0 437.526 -0.368 20 0 IBADRN CCn1cc(CN(C)c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCS(=O)(=O)C2)cn1 ZINC001502222807 1074685789 /nfs/dbraw/zinc/68/57/89/1074685789.db2.gz DMJHUIPMXHHGTN-KSSFIOAISA-N 0 0 437.526 -0.368 20 0 IBADRN CCn1cc(CN(C)c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCS(=O)(=O)C2)cn1 ZINC001502222808 1074685779 /nfs/dbraw/zinc/68/57/79/1074685779.db2.gz DMJHUIPMXHHGTN-RDTXWAMCSA-N 0 0 437.526 -0.368 20 0 IBADRN CCn1cc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)(=O)CC2)cn1 ZINC001502226900 1074685849 /nfs/dbraw/zinc/68/58/49/1074685849.db2.gz LVWCDNJCHVRSCD-GFCCVEGCSA-N 0 0 431.544 -0.612 20 0 IBADRN CCn1cc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCS(=O)(=O)CC2)cn1 ZINC001502226901 1074685834 /nfs/dbraw/zinc/68/58/34/1074685834.db2.gz LVWCDNJCHVRSCD-LBPRGKRZSA-N 0 0 431.544 -0.612 20 0 IBADRN CCn1cc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N(C)CCOC)cn1 ZINC001502229632 1074685732 /nfs/dbraw/zinc/68/57/32/1074685732.db2.gz KXYSHOYUAXYSMF-HNNXBMFYSA-N 0 0 427.531 -0.042 20 0 IBADRN CCn1cc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N(C)CCOC)cn1 ZINC001502229633 1074685866 /nfs/dbraw/zinc/68/58/66/1074685866.db2.gz KXYSHOYUAXYSMF-OAHLLOKOSA-N 0 0 427.531 -0.042 20 0 IBADRN CCn1cccc(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)CC2)c1=O ZINC001502233231 1074685883 /nfs/dbraw/zinc/68/58/83/1074685883.db2.gz SMAPWWVDXFWQAN-CYBMUJFWSA-N 0 0 437.526 -0.556 20 0 IBADRN CCn1cccc(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCNC(=O)CC2)c1=O ZINC001502233232 1074685813 /nfs/dbraw/zinc/68/58/13/1074685813.db2.gz SMAPWWVDXFWQAN-ZDUSSCGKSA-N 0 0 437.526 -0.556 20 0 IBADRN CCn1cccc(Cn2c(-c3cnn(C)c3)nnc2N2CCO[C@H](C(=O)NC)C2)c1=O ZINC001502234594 1074685826 /nfs/dbraw/zinc/68/58/26/1074685826.db2.gz MMXBXMDPBKHWEY-INIZCTEOSA-N 0 0 426.481 -0.140 20 0 IBADRN CCn1cccc(Cn2c(-c3cnn(C)c3)nnc2N2CCO[C@@H](C(=O)NC)C2)c1=O ZINC001502234595 1074685871 /nfs/dbraw/zinc/68/58/71/1074685871.db2.gz MMXBXMDPBKHWEY-MRXNPFEDSA-N 0 0 426.481 -0.140 20 0 IBADRN CCn1cccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N(C)CC(=O)N2CCOCC2)c1=O ZINC001502234941 1074685752 /nfs/dbraw/zinc/68/57/52/1074685752.db2.gz XOHUGOWKCWWBLC-INIZCTEOSA-N 0 0 443.508 -0.246 20 0 IBADRN CCn1cccc(Cn2c([C@H]3CCC(=O)N3)nnc2N(C)CC(=O)N2CCOCC2)c1=O ZINC001502234942 1074685773 /nfs/dbraw/zinc/68/57/73/1074685773.db2.gz XOHUGOWKCWWBLC-MRXNPFEDSA-N 0 0 443.508 -0.246 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001502238341 1074685808 /nfs/dbraw/zinc/68/58/08/1074685808.db2.gz XCWCGPSPLXLYFG-CHWSQXEVSA-N 0 0 439.546 -0.490 20 0 IBADRN CCn1cnnc1Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001502238342 1074685801 /nfs/dbraw/zinc/68/58/01/1074685801.db2.gz XCWCGPSPLXLYFG-OLZOCXBDSA-N 0 0 439.546 -0.490 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001502238343 1074685821 /nfs/dbraw/zinc/68/58/21/1074685821.db2.gz XCWCGPSPLXLYFG-QWHCGFSZSA-N 0 0 439.546 -0.490 20 0 IBADRN CCn1cnnc1Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001502238344 1074686338 /nfs/dbraw/zinc/68/63/38/1074686338.db2.gz XCWCGPSPLXLYFG-STQMWFEESA-N 0 0 439.546 -0.490 20 0 IBADRN CCn1cnnc1Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001502240559 1074686404 /nfs/dbraw/zinc/68/64/04/1074686404.db2.gz OXPSXLYPSMTWHJ-OAHLLOKOSA-N 0 0 444.544 -0.465 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)NC(C)C)n2CCC(=O)N2CCOCC2)CC1=O ZINC001502300240 1074686394 /nfs/dbraw/zinc/68/63/94/1074686394.db2.gz BCLCSGFBQSHKSC-UHFFFAOYSA-N 0 0 435.529 -0.276 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)NC(C)C)n2CCN2CCCS2(=O)=O)CC1=O ZINC001502300336 1074686854 /nfs/dbraw/zinc/68/68/54/1074686854.db2.gz CVBURASEJFTVNX-UHFFFAOYSA-N 0 0 441.558 -0.490 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001502300620 1074686845 /nfs/dbraw/zinc/68/68/45/1074686845.db2.gz KBGLJPNFRXPGRD-GOSISDBHSA-N 0 0 442.542 -0.976 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001502300621 1074686895 /nfs/dbraw/zinc/68/68/95/1074686895.db2.gz KBGLJPNFRXPGRD-SFHVURJKSA-N 0 0 442.542 -0.976 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)NC(C)C)n2CCNS(=O)(=O)CC)CC1=O ZINC001502301075 1074686842 /nfs/dbraw/zinc/68/68/42/1074686842.db2.gz VXXZTVNSBGVQIP-UHFFFAOYSA-N 0 0 429.547 -0.586 20 0 IBADRN CCN1CCCN(c2nnc(C(=O)NC(C)C)n2Cc2nnnn2CC2CC2)CC1=O ZINC001502301194 1074686935 /nfs/dbraw/zinc/68/69/35/1074686935.db2.gz ZFJLMCCPKBHUII-UHFFFAOYSA-N 0 0 430.517 -0.080 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)CC1=O ZINC001502301317 1074686851 /nfs/dbraw/zinc/68/68/51/1074686851.db2.gz CTFNFVTZNUPVTG-CFJPQMKISA-N 0 0 446.599 -0.142 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2([S@@](C)=O)CC2)CC1=O ZINC001502301318 1074686861 /nfs/dbraw/zinc/68/68/61/1074686861.db2.gz CTFNFVTZNUPVTG-DBDZUICISA-N 0 0 446.599 -0.142 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)CC1=O ZINC001502301319 1074686835 /nfs/dbraw/zinc/68/68/35/1074686835.db2.gz CTFNFVTZNUPVTG-OIJAAMDRSA-N 0 0 446.599 -0.142 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2([S@](C)=O)CC2)CC1=O ZINC001502301320 1074686901 /nfs/dbraw/zinc/68/69/01/1074686901.db2.gz CTFNFVTZNUPVTG-QYSRSOONSA-N 0 0 446.599 -0.142 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCn2cncn2)CC1=O ZINC001502301395 1074686917 /nfs/dbraw/zinc/68/69/17/1074686917.db2.gz FQZRUBXFQDTDRN-CYBMUJFWSA-N 0 0 425.519 -0.761 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCn2cncn2)CC1=O ZINC001502301396 1074686817 /nfs/dbraw/zinc/68/68/17/1074686817.db2.gz FQZRUBXFQDTDRN-ZDUSSCGKSA-N 0 0 425.519 -0.761 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)CC1=O ZINC001502301592 1074686865 /nfs/dbraw/zinc/68/68/65/1074686865.db2.gz LOOJGHJHRBKHTG-ACJLOTCBSA-N 0 0 441.558 -0.575 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)CC1=O ZINC001502301593 1074686939 /nfs/dbraw/zinc/68/69/39/1074686939.db2.gz LOOJGHJHRBKHTG-FZKQIMNGSA-N 0 0 441.558 -0.575 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)CC1=O ZINC001502301594 1074686923 /nfs/dbraw/zinc/68/69/23/1074686923.db2.gz LOOJGHJHRBKHTG-SCLBCKFNSA-N 0 0 441.558 -0.575 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)CC1=O ZINC001502301595 1074686906 /nfs/dbraw/zinc/68/69/06/1074686906.db2.gz LOOJGHJHRBKHTG-UGSOOPFHSA-N 0 0 441.558 -0.575 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CC1=O ZINC001502301676 1074686880 /nfs/dbraw/zinc/68/68/80/1074686880.db2.gz NICJYNPDBUPSBC-AWEZNQCLSA-N 0 0 443.574 -0.185 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCC(=O)N(C)C)CC1=O ZINC001502301677 1074687361 /nfs/dbraw/zinc/68/73/61/1074687361.db2.gz NICJYNPDBUPSBC-CQSZACIVSA-N 0 0 443.574 -0.185 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)CC1=O ZINC001502301783 1074687339 /nfs/dbraw/zinc/68/73/39/1074687339.db2.gz QCEXDDCLUFQITH-CYBMUJFWSA-N 0 0 441.558 -0.527 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCNC(=O)C2CC2)CC1=O ZINC001502301784 1074687271 /nfs/dbraw/zinc/68/72/71/1074687271.db2.gz QCEXDDCLUFQITH-ZDUSSCGKSA-N 0 0 441.558 -0.527 20 0 IBADRN CCN1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)CC1=O ZINC001502301870 1074687299 /nfs/dbraw/zinc/68/72/99/1074687299.db2.gz RUMKDRPINQFIGT-CYBMUJFWSA-N 0 0 441.558 -0.527 20 0 IBADRN CCN1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NCC2CC2)CC1=O ZINC001502301871 1074687343 /nfs/dbraw/zinc/68/73/43/1074687343.db2.gz RUMKDRPINQFIGT-ZDUSSCGKSA-N 0 0 441.558 -0.527 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CC2(C(N)=O)CCOCC2)CC1=O ZINC001502304992 1074687368 /nfs/dbraw/zinc/68/73/68/1074687368.db2.gz HAEUXBLYMJVSPE-AWEZNQCLSA-N 0 0 433.513 -0.430 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CC2(C(N)=O)CCOCC2)CC1=O ZINC001502304993 1074687327 /nfs/dbraw/zinc/68/73/27/1074687327.db2.gz HAEUXBLYMJVSPE-CQSZACIVSA-N 0 0 433.513 -0.430 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2CCN2CCCS2(=O)=O)CC1=O ZINC001502305400 1074687302 /nfs/dbraw/zinc/68/73/02/1074687302.db2.gz RXZZVHFWNXMATH-AWEZNQCLSA-N 0 0 439.542 -0.677 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCC(=O)N3)n2CCN2CCCS2(=O)=O)CC1=O ZINC001502305401 1074687320 /nfs/dbraw/zinc/68/73/20/1074687320.db2.gz RXZZVHFWNXMATH-CQSZACIVSA-N 0 0 439.542 -0.677 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1=O ZINC001502305648 1074687330 /nfs/dbraw/zinc/68/73/30/1074687330.db2.gz AFJQMXKHQGKUND-HRCADAONSA-N 0 0 441.554 -0.221 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CC[C@H](CO)O2)CC1=O ZINC001502305649 1074687365 /nfs/dbraw/zinc/68/73/65/1074687365.db2.gz AFJQMXKHQGKUND-OWCLPIDISA-N 0 0 441.554 -0.221 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)CC1=O ZINC001502306417 1074687322 /nfs/dbraw/zinc/68/73/22/1074687322.db2.gz WWAOVLLCAPQUFG-HNNXBMFYSA-N 0 0 426.543 -0.235 20 0 IBADRN CCN1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)CC1=O ZINC001502306418 1074687336 /nfs/dbraw/zinc/68/73/36/1074687336.db2.gz WWAOVLLCAPQUFG-OAHLLOKOSA-N 0 0 426.543 -0.235 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCCO3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001502308921 1074687358 /nfs/dbraw/zinc/68/73/58/1074687358.db2.gz OKPVUJDRTHYDQK-KDOFPFPSSA-N 0 0 427.527 -0.262 20 0 IBADRN CCN1CCCN(c2nnc([C@H]3CCCO3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1=O ZINC001502308922 1074687292 /nfs/dbraw/zinc/68/72/92/1074687292.db2.gz OKPVUJDRTHYDQK-RDTXWAMCSA-N 0 0 427.527 -0.262 20 0 IBADRN CCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(S(C)(=O)=O)CC2)C[C@@H]1C ZINC001502319219 1074687948 /nfs/dbraw/zinc/68/79/48/1074687948.db2.gz MROFUJKWRXEAIO-KBPBESRZSA-N 0 0 448.615 -0.004 20 0 IBADRN CCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(S(C)(=O)=O)CC2)C[C@H]1C ZINC001502319220 1074687868 /nfs/dbraw/zinc/68/78/68/1074687868.db2.gz MROFUJKWRXEAIO-KGLIPLIRSA-N 0 0 448.615 -0.004 20 0 IBADRN CCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(S(C)(=O)=O)CC2)C[C@@H]1C ZINC001502319221 1074687919 /nfs/dbraw/zinc/68/79/19/1074687919.db2.gz MROFUJKWRXEAIO-UONOGXRCSA-N 0 0 448.615 -0.004 20 0 IBADRN CCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(S(C)(=O)=O)CC2)C[C@H]1C ZINC001502319222 1074687929 /nfs/dbraw/zinc/68/79/29/1074687929.db2.gz MROFUJKWRXEAIO-ZIAGYGMSSA-N 0 0 448.615 -0.004 20 0 IBADRN CCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)C[C@@H]1C ZINC001502327359 1074687938 /nfs/dbraw/zinc/68/79/38/1074687938.db2.gz MRHMBEQICORKKH-KBPBESRZSA-N 0 0 434.588 -0.740 20 0 IBADRN CCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)C[C@H]1C ZINC001502327360 1074688017 /nfs/dbraw/zinc/68/80/17/1074688017.db2.gz MRHMBEQICORKKH-KGLIPLIRSA-N 0 0 434.588 -0.740 20 0 IBADRN CCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)C[C@@H]1C ZINC001502327361 1074687988 /nfs/dbraw/zinc/68/79/88/1074687988.db2.gz MRHMBEQICORKKH-UONOGXRCSA-N 0 0 434.588 -0.740 20 0 IBADRN CCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)C[C@H]1C ZINC001502327362 1074688009 /nfs/dbraw/zinc/68/80/09/1074688009.db2.gz MRHMBEQICORKKH-ZIAGYGMSSA-N 0 0 434.588 -0.740 20 0 IBADRN CCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C[C@H]1C ZINC001502327703 1074687959 /nfs/dbraw/zinc/68/79/59/1074687959.db2.gz SEJVMKKWJJMIGD-CABCVRRESA-N 0 0 448.615 -0.350 20 0 IBADRN CCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C[C@@H]1C ZINC001502327704 1074687902 /nfs/dbraw/zinc/68/79/02/1074687902.db2.gz SEJVMKKWJJMIGD-GJZGRUSLSA-N 0 0 448.615 -0.350 20 0 IBADRN CCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C[C@H]1C ZINC001502327705 1074688043 /nfs/dbraw/zinc/68/80/43/1074688043.db2.gz SEJVMKKWJJMIGD-HUUCEWRRSA-N 0 0 448.615 -0.350 20 0 IBADRN CCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNS(C)(=O)=O)C[C@@H]1C ZINC001502327706 1074688059 /nfs/dbraw/zinc/68/80/59/1074688059.db2.gz SEJVMKKWJJMIGD-LSDHHAIUSA-N 0 0 448.615 -0.350 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(C(C)C)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001502368068 1074688400 /nfs/dbraw/zinc/68/84/00/1074688400.db2.gz AGPONBXNMDMOAV-UHFFFAOYSA-N 0 0 432.533 -0.101 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)(=O)CC1 ZINC001502368195 1074688385 /nfs/dbraw/zinc/68/83/85/1074688385.db2.gz DYSSIGIFWWEKIH-UHFFFAOYSA-N 0 0 426.543 -0.089 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001502370570 1074688539 /nfs/dbraw/zinc/68/85/39/1074688539.db2.gz MSXHGILHMXCXDL-CHWSQXEVSA-N 0 0 443.574 -0.283 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001502370571 1074688618 /nfs/dbraw/zinc/68/86/18/1074688618.db2.gz MSXHGILHMXCXDL-OLZOCXBDSA-N 0 0 443.574 -0.283 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001502370572 1074688470 /nfs/dbraw/zinc/68/84/70/1074688470.db2.gz MSXHGILHMXCXDL-QWHCGFSZSA-N 0 0 443.574 -0.283 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001502370573 1074688411 /nfs/dbraw/zinc/68/84/11/1074688411.db2.gz MSXHGILHMXCXDL-STQMWFEESA-N 0 0 443.574 -0.283 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001502377986 1074689017 /nfs/dbraw/zinc/68/90/17/1074689017.db2.gz PRQXOXZBCFTOAO-HNNXBMFYSA-N 0 0 440.508 -0.351 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001502377990 1074689098 /nfs/dbraw/zinc/68/90/98/1074689098.db2.gz PRQXOXZBCFTOAO-OAHLLOKOSA-N 0 0 440.508 -0.351 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(-c2cnn(C)c2)nnc1N(C)CCNS(C)(=O)=O ZINC001502378139 1074689066 /nfs/dbraw/zinc/68/90/66/1074689066.db2.gz UIANZPVGADYILP-UHFFFAOYSA-N 0 0 426.547 -0.174 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)COC)CC1 ZINC001502382439 1074689030 /nfs/dbraw/zinc/68/90/30/1074689030.db2.gz WRZZHYKYGSCTHH-AWEZNQCLSA-N 0 0 435.529 -0.314 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)COC)CC1 ZINC001502382440 1074689041 /nfs/dbraw/zinc/68/90/41/1074689041.db2.gz WRZZHYKYGSCTHH-CQSZACIVSA-N 0 0 435.529 -0.314 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001502384015 1074688987 /nfs/dbraw/zinc/68/89/87/1074688987.db2.gz FHTZLPYLEUCKAE-AWEZNQCLSA-N 0 0 444.558 -0.390 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOCC1 ZINC001502384016 1074689111 /nfs/dbraw/zinc/68/91/11/1074689111.db2.gz FHTZLPYLEUCKAE-CQSZACIVSA-N 0 0 444.558 -0.390 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001502389641 1074689108 /nfs/dbraw/zinc/68/91/08/1074689108.db2.gz KKQPZRGGIXGCHI-AWEZNQCLSA-N 0 0 435.529 -0.486 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001502389642 1074689083 /nfs/dbraw/zinc/68/90/83/1074689083.db2.gz KKQPZRGGIXGCHI-CQSZACIVSA-N 0 0 435.529 -0.486 20 0 IBADRN CCNC(=O)C(C)(C)Cn1c(COCC)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001502392209 1074689045 /nfs/dbraw/zinc/68/90/45/1074689045.db2.gz YIROCNFYTUEKKY-UHFFFAOYSA-N 0 0 449.556 -0.096 20 0 IBADRN CCNC(=O)C1(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)NC[C@H]3C2)CCC1 ZINC001502393490 1074688999 /nfs/dbraw/zinc/68/89/99/1074688999.db2.gz LYUABAJHKOMCDY-AWEZNQCLSA-N 0 0 432.529 -0.064 20 0 IBADRN CCNC(=O)C1(Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)NC[C@@H]3C2)CCC1 ZINC001502393491 1074689078 /nfs/dbraw/zinc/68/90/78/1074689078.db2.gz LYUABAJHKOMCDY-CQSZACIVSA-N 0 0 432.529 -0.064 20 0 IBADRN CCNC(=O)C1(Cn2c(C)nnc2N2CCN(C(=O)C(=O)N3CCOCC3)CC2)CCC1 ZINC001502395399 1074689073 /nfs/dbraw/zinc/68/90/73/1074689073.db2.gz BZMWLOGUAPHSCJ-UHFFFAOYSA-N 0 0 447.540 -0.600 20 0 IBADRN CCNC(=O)C1(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2C[C@H]3OCO[C@H]3C2)CCC1 ZINC001502396082 1074689059 /nfs/dbraw/zinc/68/90/59/1074689059.db2.gz PKYJCJZNCHFJQZ-MCIONIFRSA-N 0 0 442.542 -0.244 20 0 IBADRN CCNC(=O)C1(Cn2c(CN3CCCC3=O)nnc2N2CCS(=O)(=O)CC2)CCC1 ZINC001502407859 1074688994 /nfs/dbraw/zinc/68/89/94/1074688994.db2.gz RISBIKWCSPKECK-UHFFFAOYSA-N 0 0 438.554 -0.058 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2cnn(CC)c2)CC1 ZINC001502420805 1074689090 /nfs/dbraw/zinc/68/90/90/1074689090.db2.gz DAUPVLAWZLHQFY-UHFFFAOYSA-N 0 0 431.545 -0.061 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2nnc3n2CCC3)CC1 ZINC001502420944 1074689006 /nfs/dbraw/zinc/68/90/06/1074689006.db2.gz IIQLSRJIMJLJPM-UHFFFAOYSA-N 0 0 444.544 -0.740 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CCNC(=O)C2CC2)CC1 ZINC001502420956 1074689103 /nfs/dbraw/zinc/68/91/03/1074689103.db2.gz IQSUSSHWRQMLGH-UHFFFAOYSA-N 0 0 434.545 -0.799 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2CCc2ccn(C)n2)CC1 ZINC001502421101 1074689024 /nfs/dbraw/zinc/68/90/24/1074689024.db2.gz MUBZQDMIAFVQRB-UHFFFAOYSA-N 0 0 431.545 -0.349 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(CC)CC)n2CC(=O)N(C)C)CC1 ZINC001502421128 1074689754 /nfs/dbraw/zinc/68/97/54/1074689754.db2.gz NTLMBDFLTOWZNS-UHFFFAOYSA-N 0 0 436.561 -0.457 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CCn2cc(C)cn2)CC1 ZINC001502421252 1074689740 /nfs/dbraw/zinc/68/97/40/1074689740.db2.gz RXMOTSXGCYFTMN-UHFFFAOYSA-N 0 0 443.556 -0.023 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C(=O)NC(C)C)n2Cc2conc2COC)CC1 ZINC001502421451 1074689744 /nfs/dbraw/zinc/68/97/44/1074689744.db2.gz ZSLFBAVBXLJCCA-UHFFFAOYSA-N 0 0 448.528 -0.143 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccoc2)CC1 ZINC001502422793 1074689687 /nfs/dbraw/zinc/68/96/87/1074689687.db2.gz LINSSUOATOALPB-AWEZNQCLSA-N 0 0 439.542 -0.212 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccoc2)CC1 ZINC001502422794 1074689714 /nfs/dbraw/zinc/68/97/14/1074689714.db2.gz LINSSUOATOALPB-CQSZACIVSA-N 0 0 439.542 -0.212 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H](C)SC)CC1 ZINC001502422948 1074689758 /nfs/dbraw/zinc/68/97/58/1074689758.db2.gz PPCBCMKJDXJZJE-KBPBESRZSA-N 0 0 447.631 -0.102 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H](C)SC)CC1 ZINC001502422949 1074689705 /nfs/dbraw/zinc/68/97/05/1074689705.db2.gz PPCBCMKJDXJZJE-KGLIPLIRSA-N 0 0 447.631 -0.102 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H](C)SC)CC1 ZINC001502422950 1074689708 /nfs/dbraw/zinc/68/97/08/1074689708.db2.gz PPCBCMKJDXJZJE-UONOGXRCSA-N 0 0 447.631 -0.102 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H](C)SC)CC1 ZINC001502422951 1074689716 /nfs/dbraw/zinc/68/97/16/1074689716.db2.gz PPCBCMKJDXJZJE-ZIAGYGMSSA-N 0 0 447.631 -0.102 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)CC)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502423083 1074689699 /nfs/dbraw/zinc/68/96/99/1074689699.db2.gz UBALDIIUYUNLQS-BEFAXECRSA-N 0 0 442.586 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)CC)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502423084 1074689698 /nfs/dbraw/zinc/68/96/98/1074689698.db2.gz UBALDIIUYUNLQS-DNVCBOLYSA-N 0 0 442.586 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)CC)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502423085 1074689752 /nfs/dbraw/zinc/68/97/52/1074689752.db2.gz UBALDIIUYUNLQS-HNAYVOBHSA-N 0 0 442.586 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)CC)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502423086 1074689701 /nfs/dbraw/zinc/68/97/01/1074689701.db2.gz UBALDIIUYUNLQS-KXBFYZLASA-N 0 0 442.586 -0.401 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CC1 ZINC001502423218 1074689694 /nfs/dbraw/zinc/68/96/94/1074689694.db2.gz WZDZPLBAPPSFLA-AWEZNQCLSA-N 0 0 445.590 -0.693 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(C)(C)O)CC1 ZINC001502423219 1074689756 /nfs/dbraw/zinc/68/97/56/1074689756.db2.gz WZDZPLBAPPSFLA-CQSZACIVSA-N 0 0 445.590 -0.693 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3ccccn3)n2CCS(=O)(=O)NC)CC1 ZINC001502428944 1074689725 /nfs/dbraw/zinc/68/97/25/1074689725.db2.gz MXJKYRJVFUOYRC-UHFFFAOYSA-N 0 0 436.542 -0.853 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@]2(O)CCO[C@@H]2C)CC1 ZINC001502428990 1074689719 /nfs/dbraw/zinc/68/97/19/1074689719.db2.gz OQHHVZRUHBWHDC-FOIQADDNSA-N 0 0 430.513 -0.467 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@]2(O)CCO[C@H]2C)CC1 ZINC001502428991 1074689751 /nfs/dbraw/zinc/68/97/51/1074689751.db2.gz OQHHVZRUHBWHDC-MGPUTAFESA-N 0 0 430.513 -0.467 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(O)CCO[C@@H]2C)CC1 ZINC001502428992 1074690215 /nfs/dbraw/zinc/69/02/15/1074690215.db2.gz OQHHVZRUHBWHDC-QRWLVFNGSA-N 0 0 430.513 -0.467 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(O)CCO[C@H]2C)CC1 ZINC001502428993 1074690162 /nfs/dbraw/zinc/69/01/62/1074690162.db2.gz OQHHVZRUHBWHDC-YWZLYKJASA-N 0 0 430.513 -0.467 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCCN2CCOCC2)CC1 ZINC001502429087 1074690245 /nfs/dbraw/zinc/69/02/45/1074690245.db2.gz PXTATHRGPZOQDC-UHFFFAOYSA-N 0 0 445.572 -0.341 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CCCC(=O)NC2CC2)CC1 ZINC001502429236 1074690220 /nfs/dbraw/zinc/69/02/20/1074690220.db2.gz VHYWRXUOPKANQG-UHFFFAOYSA-N 0 0 443.556 -0.005 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CC2(O)CCOCC2)CC1 ZINC001502429263 1074690258 /nfs/dbraw/zinc/69/02/58/1074690258.db2.gz WBYJLJBRYNCDIK-UHFFFAOYSA-N 0 0 432.529 -0.522 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cccnc3)n2CC(=O)N2CCOCC2)CC1 ZINC001502429274 1074690264 /nfs/dbraw/zinc/69/02/64/1074690264.db2.gz WIISKAPAFAFWLQ-UHFFFAOYSA-N 0 0 442.524 -0.543 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cccnc3)n2CCNS(C)(=O)=O)CC1 ZINC001502429317 1074690144 /nfs/dbraw/zinc/69/01/44/1074690144.db2.gz YGZSUYYVWKMQII-UHFFFAOYSA-N 0 0 436.542 -0.853 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(-c3cnn(C)c3)n2CC2(O)CC(OCC)C2)CC1 ZINC001502429346 1074690228 /nfs/dbraw/zinc/69/02/28/1074690228.db2.gz ZKSPIKQYOUQWGA-UHFFFAOYSA-N 0 0 446.556 -0.133 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CCCS(C)(=O)=O)CC1 ZINC001502429664 1074690110 /nfs/dbraw/zinc/69/01/10/1074690110.db2.gz DHNYCPKAUVLMQA-HNNXBMFYSA-N 0 0 428.559 -0.525 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CCCS(C)(=O)=O)CC1 ZINC001502429665 1074690179 /nfs/dbraw/zinc/69/01/79/1074690179.db2.gz DHNYCPKAUVLMQA-OAHLLOKOSA-N 0 0 428.559 -0.525 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(C3CCC3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001502429770 1074690154 /nfs/dbraw/zinc/69/01/54/1074690154.db2.gz GVZQLTBZRCLDJF-UHFFFAOYSA-N 0 0 429.529 -0.043 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCc2nccnc2C)CC1 ZINC001502429841 1074690238 /nfs/dbraw/zinc/69/02/38/1074690238.db2.gz IUTBUIQZTOTBBK-KRWDZBQOSA-N 0 0 441.540 -0.172 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCc2nccnc2C)CC1 ZINC001502429842 1074690135 /nfs/dbraw/zinc/69/01/35/1074690135.db2.gz IUTBUIQZTOTBBK-QGZVFWFLSA-N 0 0 441.540 -0.172 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNC(=O)C(C)C)CC1 ZINC001502430124 1074690086 /nfs/dbraw/zinc/69/00/86/1074690086.db2.gz OTIGVLXEBOXOBM-INIZCTEOSA-N 0 0 448.572 -0.350 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNC(=O)C(C)C)CC1 ZINC001502430125 1074690186 /nfs/dbraw/zinc/69/01/86/1074690186.db2.gz OTIGVLXEBOXOBM-MRXNPFEDSA-N 0 0 448.572 -0.350 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CCCN2CCOCC2)CC1 ZINC001502430204 1074690202 /nfs/dbraw/zinc/69/02/02/1074690202.db2.gz RBIIHMCQMAJPBC-GOSISDBHSA-N 0 0 435.573 -0.238 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CCCN2CCOCC2)CC1 ZINC001502430205 1074690122 /nfs/dbraw/zinc/69/01/22/1074690122.db2.gz RBIIHMCQMAJPBC-SFHVURJKSA-N 0 0 435.573 -0.238 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NCC2CC2)CC1 ZINC001502430273 1074690166 /nfs/dbraw/zinc/69/01/66/1074690166.db2.gz TWPAUMZSRABHHJ-HNNXBMFYSA-N 0 0 432.529 -0.987 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NCC2CC2)CC1 ZINC001502430274 1074690682 /nfs/dbraw/zinc/69/06/82/1074690682.db2.gz TWPAUMZSRABHHJ-OAHLLOKOSA-N 0 0 432.529 -0.987 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)NC(C)C)CC1 ZINC001502430468 1074690777 /nfs/dbraw/zinc/69/07/77/1074690777.db2.gz YMZYENQKJNSBNM-HNNXBMFYSA-N 0 0 434.545 -0.598 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)NC(C)C)CC1 ZINC001502430469 1074690675 /nfs/dbraw/zinc/69/06/75/1074690675.db2.gz YMZYENQKJNSBNM-OAHLLOKOSA-N 0 0 434.545 -0.598 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H](CC)OC)CC1 ZINC001502430493 1074690741 /nfs/dbraw/zinc/69/07/41/1074690741.db2.gz ZFNUMZNPAOTERG-CVEARBPZSA-N 0 0 442.586 -0.137 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H](CC)OC)CC1 ZINC001502430494 1074690779 /nfs/dbraw/zinc/69/07/79/1074690779.db2.gz ZFNUMZNPAOTERG-HOTGVXAUSA-N 0 0 442.586 -0.137 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H](CC)OC)CC1 ZINC001502430495 1074690702 /nfs/dbraw/zinc/69/07/02/1074690702.db2.gz ZFNUMZNPAOTERG-HZPDHXFCSA-N 0 0 442.586 -0.137 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H](CC)OC)CC1 ZINC001502430496 1074690789 /nfs/dbraw/zinc/69/07/89/1074690789.db2.gz ZFNUMZNPAOTERG-JKSUJKDBSA-N 0 0 442.586 -0.137 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CC(C)C)n2CCC(=O)N2CCOCC2)CC1 ZINC001502432612 1074690772 /nfs/dbraw/zinc/69/07/72/1074690772.db2.gz QSEJRVIKKZMGBZ-UHFFFAOYSA-N 0 0 435.573 -0.016 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)CC1 ZINC001502432788 1074690686 /nfs/dbraw/zinc/69/06/86/1074690686.db2.gz WNTGHCVXVOVTPT-HNNXBMFYSA-N 0 0 441.558 -0.796 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2C2CC2)CC1 ZINC001502432789 1074690706 /nfs/dbraw/zinc/69/07/06/1074690706.db2.gz WNTGHCVXVOVTPT-OAHLLOKOSA-N 0 0 441.558 -0.796 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cc3ccccn3)n2C[C@@]2(O)CCOC2)CC1 ZINC001502432792 1074690758 /nfs/dbraw/zinc/69/07/58/1074690758.db2.gz WOUDWQAWUBMMGO-NRFANRHFSA-N 0 0 429.525 -0.327 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cc3ccccn3)n2C[C@]2(O)CCOC2)CC1 ZINC001502432793 1074690781 /nfs/dbraw/zinc/69/07/81/1074690781.db2.gz WOUDWQAWUBMMGO-OAQYLSRUSA-N 0 0 429.525 -0.327 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CCc2n[nH]c(C)n2)CC1 ZINC001502434718 1074690711 /nfs/dbraw/zinc/69/07/11/1074690711.db2.gz JNFALCMLSIMDIU-UHFFFAOYSA-N 0 0 444.544 -0.672 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cc3ccncc3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001502434856 1074690762 /nfs/dbraw/zinc/69/07/62/1074690762.db2.gz ONQPBNZMCYQBFT-QGZVFWFLSA-N 0 0 426.525 -0.199 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CCCC2)CC1 ZINC001502435010 1074690768 /nfs/dbraw/zinc/69/07/68/1074690768.db2.gz UJZFZRVTPQHOGI-UHFFFAOYSA-N 0 0 433.557 -0.036 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CC[C@H](CO)O2)CC1 ZINC001502435022 1074690695 /nfs/dbraw/zinc/69/06/95/1074690695.db2.gz VAPGSZMXAGHBLY-DLBZAZTESA-N 0 0 449.556 -0.802 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(Cc3ccccn3)n2CCS(=O)(=O)CC)CC1 ZINC001502435052 1074690754 /nfs/dbraw/zinc/69/07/54/1074690754.db2.gz WBPZDUSEJGSNOU-UHFFFAOYSA-N 0 0 449.581 -0.043 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(CN3CCCC3=O)n2CC(C)(C)C(=O)NC)CC1 ZINC001502435103 1074691161 /nfs/dbraw/zinc/69/11/61/1074691161.db2.gz XWLWDXCPODXPMR-UHFFFAOYSA-N 0 0 448.572 -0.569 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001502435397 1074691151 /nfs/dbraw/zinc/69/11/51/1074691151.db2.gz AGMNDDZCDGTYCH-HZPDHXFCSA-N 0 0 440.570 -0.178 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001502435398 1074691250 /nfs/dbraw/zinc/69/12/50/1074691250.db2.gz AGMNDDZCDGTYCH-JKSUJKDBSA-N 0 0 440.570 -0.178 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(COCC)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001502435488 1074691265 /nfs/dbraw/zinc/69/12/65/1074691265.db2.gz DGTWBQPADKYLMC-UHFFFAOYSA-N 0 0 428.559 -0.350 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CC(=O)N2CCOCC2)CC1 ZINC001502435508 1074691230 /nfs/dbraw/zinc/69/12/30/1074691230.db2.gz FAGAPIGAJLPTMJ-UHFFFAOYSA-N 0 0 436.517 -0.873 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc(COCC)n2CCN2CCCS2(=O)=O)CC1 ZINC001502435799 1074691191 /nfs/dbraw/zinc/69/11/91/1074691191.db2.gz KCNOBKYSOIXYFD-UHFFFAOYSA-N 0 0 443.574 -0.892 20 0 IBADRN CCNC(=O)CN1CCN(c2nnc([C@H]3OCC[C@H]3COC)n2CC(=O)N(C)C)CC1 ZINC001502436550 1074691240 /nfs/dbraw/zinc/69/12/40/1074691240.db2.gz XGQWOQHKKJYJQW-YJBOKZPZSA-N 0 0 437.545 -0.652 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(C)(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502438381 1074691199 /nfs/dbraw/zinc/69/11/99/1074691199.db2.gz NQHHKEUFKJLEHN-GOSISDBHSA-N 0 0 428.559 -0.023 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(C(C)(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502438382 1074691304 /nfs/dbraw/zinc/69/13/04/1074691304.db2.gz NQHHKEUFKJLEHN-SFHVURJKSA-N 0 0 428.559 -0.023 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001502440886 1074691210 /nfs/dbraw/zinc/69/12/10/1074691210.db2.gz FOPUPPWLZYVERT-KBPBESRZSA-N 0 0 445.546 -0.845 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2COCCO2)CC1 ZINC001502440887 1074691224 /nfs/dbraw/zinc/69/12/24/1074691224.db2.gz FOPUPPWLZYVERT-KGLIPLIRSA-N 0 0 445.546 -0.845 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(-c3ccccn3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502446957 1074691256 /nfs/dbraw/zinc/69/12/56/1074691256.db2.gz HIUMQLOCWOOWAS-IBGZPJMESA-N 0 0 449.537 -0.259 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(-c3ccccn3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502446958 1074691298 /nfs/dbraw/zinc/69/12/98/1074691298.db2.gz HIUMQLOCWOOWAS-LJQANCHMSA-N 0 0 449.537 -0.259 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(-c3noc4c3COCC4)n2CC(=O)N(C)C)CC1 ZINC001502448939 1074691290 /nfs/dbraw/zinc/69/12/90/1074691290.db2.gz ALGSIDMEFRPGFV-UHFFFAOYSA-N 0 0 432.485 -0.055 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001502449191 1074691280 /nfs/dbraw/zinc/69/12/80/1074691280.db2.gz LGILAPCWORTSHS-AWEZNQCLSA-N 0 0 436.542 -0.035 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001502449192 1074691167 /nfs/dbraw/zinc/69/11/67/1074691167.db2.gz LGILAPCWORTSHS-CQSZACIVSA-N 0 0 436.542 -0.035 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCNS(C)(=O)=O)CC1 ZINC001502449612 1074691268 /nfs/dbraw/zinc/69/12/68/1074691268.db2.gz ZWFMMJHHOQFHEL-UHFFFAOYSA-N 0 0 425.519 -0.921 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001502451667 1074691179 /nfs/dbraw/zinc/69/11/79/1074691179.db2.gz FKWJIKIVNHVCEY-AWEZNQCLSA-N 0 0 429.547 -0.475 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001502451673 1074691286 /nfs/dbraw/zinc/69/12/86/1074691286.db2.gz FKWJIKIVNHVCEY-CQSZACIVSA-N 0 0 429.547 -0.475 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001502452082 1074691628 /nfs/dbraw/zinc/69/16/28/1074691628.db2.gz OIQWNPPRFKYMKS-CYBMUJFWSA-N 0 0 427.531 -0.880 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)CC1 ZINC001502452083 1074691544 /nfs/dbraw/zinc/69/15/44/1074691544.db2.gz OIQWNPPRFKYMKS-ZDUSSCGKSA-N 0 0 427.531 -0.880 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502452103 1074691685 /nfs/dbraw/zinc/69/16/85/1074691685.db2.gz OWDDYLYLXLMRFC-KBXCAEBGSA-N 0 0 442.542 -0.817 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502452104 1074691632 /nfs/dbraw/zinc/69/16/32/1074691632.db2.gz OWDDYLYLXLMRFC-KDOFPFPSSA-N 0 0 442.542 -0.817 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502452105 1074691646 /nfs/dbraw/zinc/69/16/46/1074691646.db2.gz OWDDYLYLXLMRFC-KSSFIOAISA-N 0 0 442.542 -0.817 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502452106 1074691637 /nfs/dbraw/zinc/69/16/37/1074691637.db2.gz OWDDYLYLXLMRFC-RDTXWAMCSA-N 0 0 442.542 -0.817 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2)CC1 ZINC001502454495 1074691641 /nfs/dbraw/zinc/69/16/41/1074691641.db2.gz KPGFKVVYYLSMJL-HNNXBMFYSA-N 0 0 436.542 -0.187 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2)CC1 ZINC001502454496 1074691578 /nfs/dbraw/zinc/69/15/78/1074691578.db2.gz KPGFKVVYYLSMJL-OAHLLOKOSA-N 0 0 436.542 -0.187 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@@H]2C)CC1 ZINC001502458726 1074691650 /nfs/dbraw/zinc/69/16/50/1074691650.db2.gz GKWYACUFQSITFC-KRWDZBQOSA-N 0 0 448.572 -0.027 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCN2CCOC[C@H]2C)CC1 ZINC001502458729 1074691604 /nfs/dbraw/zinc/69/16/04/1074691604.db2.gz GKWYACUFQSITFC-QGZVFWFLSA-N 0 0 448.572 -0.027 20 0 IBADRN CCNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)CC)CC1 ZINC001502459071 1074691598 /nfs/dbraw/zinc/69/15/98/1074691598.db2.gz MVVFVEZRWLLIHN-UHFFFAOYSA-N 0 0 441.558 -0.313 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2CCn2cncn2)C1 ZINC001502463003 1074691694 /nfs/dbraw/zinc/69/16/94/1074691694.db2.gz CXZGMAZDUUSPEJ-CYBMUJFWSA-N 0 0 425.519 -0.774 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2CCn2cncn2)C1 ZINC001502463006 1074691691 /nfs/dbraw/zinc/69/16/91/1074691691.db2.gz CXZGMAZDUUSPEJ-ZDUSSCGKSA-N 0 0 425.519 -0.774 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2CCNC(=O)C2CC2)C1 ZINC001502463139 1074691681 /nfs/dbraw/zinc/69/16/81/1074691681.db2.gz FLXQEVCFDORUEU-AWEZNQCLSA-N 0 0 441.558 -0.540 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2CCNC(=O)C2CC2)C1 ZINC001502463142 1074691670 /nfs/dbraw/zinc/69/16/70/1074691670.db2.gz FLXQEVCFDORUEU-CQSZACIVSA-N 0 0 441.558 -0.540 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)N(C)C)C1 ZINC001502463576 1074691589 /nfs/dbraw/zinc/69/15/89/1074691589.db2.gz PDNQAQIACDZHOF-AWEZNQCLSA-N 0 0 441.558 -0.490 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)N(C)C)C1 ZINC001502463577 1074691678 /nfs/dbraw/zinc/69/16/78/1074691678.db2.gz PDNQAQIACDZHOF-CQSZACIVSA-N 0 0 441.558 -0.490 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCCO3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502463824 1074692224 /nfs/dbraw/zinc/69/22/24/1074692224.db2.gz WUXPPKOFUMEOHR-KDOFPFPSSA-N 0 0 442.542 -0.469 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCCO3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001502463825 1074692170 /nfs/dbraw/zinc/69/21/70/1074692170.db2.gz WUXPPKOFUMEOHR-RDTXWAMCSA-N 0 0 442.542 -0.469 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(C)COCCO2)C1 ZINC001502463835 1074692219 /nfs/dbraw/zinc/69/22/19/1074692219.db2.gz XFRFLDUWOBDQTE-KBXCAEBGSA-N 0 0 444.558 -0.260 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(C)COCCO2)C1 ZINC001502463836 1074692210 /nfs/dbraw/zinc/69/22/10/1074692210.db2.gz XFRFLDUWOBDQTE-KDOFPFPSSA-N 0 0 444.558 -0.260 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(C)COCCO2)C1 ZINC001502463837 1074692250 /nfs/dbraw/zinc/69/22/50/1074692250.db2.gz XFRFLDUWOBDQTE-KSSFIOAISA-N 0 0 444.558 -0.260 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(C)COCCO2)C1 ZINC001502463838 1074692241 /nfs/dbraw/zinc/69/22/41/1074692241.db2.gz XFRFLDUWOBDQTE-RDTXWAMCSA-N 0 0 444.558 -0.260 20 0 IBADRN CCNC(=O)N1CCN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)N(C)C)CC1 ZINC001502463918 1074692156 /nfs/dbraw/zinc/69/21/56/1074692156.db2.gz ZECNMYSLLZDUOT-CQSZACIVSA-N 0 0 429.547 -0.128 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H](C)OC)C1 ZINC001502466343 1074692229 /nfs/dbraw/zinc/69/22/29/1074692229.db2.gz PQNKANSSYCSUSO-FRRDWIJNSA-N 0 0 438.576 -0.559 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H](C)OC)C1 ZINC001502466344 1074692067 /nfs/dbraw/zinc/69/20/67/1074692067.db2.gz PQNKANSSYCSUSO-JHJVBQTASA-N 0 0 438.576 -0.559 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H](C)OC)C1 ZINC001502466345 1074692235 /nfs/dbraw/zinc/69/22/35/1074692235.db2.gz PQNKANSSYCSUSO-RWMBFGLXSA-N 0 0 438.576 -0.559 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H](C)OC)C1 ZINC001502466346 1074692202 /nfs/dbraw/zinc/69/22/02/1074692202.db2.gz PQNKANSSYCSUSO-YNEHKIRRSA-N 0 0 438.576 -0.559 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cccnc3)n2CCS(C)(=O)=O)C1 ZINC001502471581 1074692262 /nfs/dbraw/zinc/69/22/62/1074692262.db2.gz CVMVROARFPEUEG-AWEZNQCLSA-N 0 0 428.540 -0.097 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cccnc3)n2CCS(C)(=O)=O)C1 ZINC001502471582 1074692274 /nfs/dbraw/zinc/69/22/74/1074692274.db2.gz CVMVROARFPEUEG-CQSZACIVSA-N 0 0 428.540 -0.097 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cccnc3)n2CC(=O)N2CCOCC2)C1 ZINC001502472079 1074692125 /nfs/dbraw/zinc/69/21/25/1074692125.db2.gz NCBONEZFRDIKQJ-INIZCTEOSA-N 0 0 449.537 -0.283 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cccnc3)n2CC(=O)N2CCOCC2)C1 ZINC001502472080 1074692255 /nfs/dbraw/zinc/69/22/55/1074692255.db2.gz NCBONEZFRDIKQJ-MRXNPFEDSA-N 0 0 449.537 -0.283 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3ccccn3)n2CCNS(C)(=O)=O)C1 ZINC001502472257 1074692081 /nfs/dbraw/zinc/69/20/81/1074692081.db2.gz PZNLIQRJPGONKO-CYBMUJFWSA-N 0 0 443.555 -0.593 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3ccccn3)n2CCNS(C)(=O)=O)C1 ZINC001502472258 1074692194 /nfs/dbraw/zinc/69/21/94/1074692194.db2.gz PZNLIQRJPGONKO-ZDUSSCGKSA-N 0 0 443.555 -0.593 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C3CCC3)n2CCS(=O)(=O)N(C)C)C1 ZINC001502474157 1074692139 /nfs/dbraw/zinc/69/21/39/1074692139.db2.gz AQMNHNZTJDMLBV-AWEZNQCLSA-N 0 0 434.588 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C3CCC3)n2CCS(=O)(=O)N(C)C)C1 ZINC001502474158 1074692184 /nfs/dbraw/zinc/69/21/84/1074692184.db2.gz AQMNHNZTJDMLBV-CQSZACIVSA-N 0 0 434.588 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(C3CC3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001502474499 1074692536 /nfs/dbraw/zinc/69/25/36/1074692536.db2.gz HXLBWRCSBVWUOW-AWEZNQCLSA-N 0 0 447.583 -0.387 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(C3CC3)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001502474500 1074692573 /nfs/dbraw/zinc/69/25/73/1074692573.db2.gz HXLBWRCSBVWUOW-CQSZACIVSA-N 0 0 447.583 -0.387 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2COCCO2)C1 ZINC001502474677 1074692531 /nfs/dbraw/zinc/69/25/31/1074692531.db2.gz LAJZFBUUJPIKOS-CABCVRRESA-N 0 0 425.515 -0.388 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2COCCO2)C1 ZINC001502474678 1074692583 /nfs/dbraw/zinc/69/25/83/1074692583.db2.gz LAJZFBUUJPIKOS-HUUCEWRRSA-N 0 0 425.515 -0.388 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2C[C@]2(O)CCO[C@@H]2C)C1 ZINC001502475169 1074692564 /nfs/dbraw/zinc/69/25/64/1074692564.db2.gz TYPABAPNBUNBLW-HBUWYVDXSA-N 0 0 437.526 -0.207 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(O)CCO[C@H]2C)C1 ZINC001502475170 1074692587 /nfs/dbraw/zinc/69/25/87/1074692587.db2.gz TYPABAPNBUNBLW-IYOUNJFTSA-N 0 0 437.526 -0.207 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2C[C@@]2(O)CCO[C@@H]2C)C1 ZINC001502475171 1074692542 /nfs/dbraw/zinc/69/25/42/1074692542.db2.gz TYPABAPNBUNBLW-LBTNJELSSA-N 0 0 437.526 -0.207 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(-c3cnccn3)n2C[C@]2(O)CCO[C@H]2C)C1 ZINC001502475172 1074692546 /nfs/dbraw/zinc/69/25/46/1074692546.db2.gz TYPABAPNBUNBLW-PMUMKWKESA-N 0 0 437.526 -0.207 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCC(=O)N(C)C)C1 ZINC001502475406 1074692578 /nfs/dbraw/zinc/69/25/78/1074692578.db2.gz XUDWDFHOGUOCIU-KBPBESRZSA-N 0 0 441.558 -0.384 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCC(=O)N(C)C)C1 ZINC001502475407 1074692576 /nfs/dbraw/zinc/69/25/76/1074692576.db2.gz XUDWDFHOGUOCIU-KGLIPLIRSA-N 0 0 441.558 -0.384 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCC(=O)N(C)C)C1 ZINC001502475408 1074692606 /nfs/dbraw/zinc/69/26/06/1074692606.db2.gz XUDWDFHOGUOCIU-UONOGXRCSA-N 0 0 441.558 -0.384 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCC(=O)N(C)C)C1 ZINC001502475409 1074692551 /nfs/dbraw/zinc/69/25/51/1074692551.db2.gz XUDWDFHOGUOCIU-ZIAGYGMSSA-N 0 0 441.558 -0.384 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)C1 ZINC001502476645 1074692567 /nfs/dbraw/zinc/69/25/67/1074692567.db2.gz DIYNYTCWWMQMIS-CHWSQXEVSA-N 0 0 435.572 -0.531 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)C1 ZINC001502476646 1074692601 /nfs/dbraw/zinc/69/26/01/1074692601.db2.gz DIYNYTCWWMQMIS-OLZOCXBDSA-N 0 0 435.572 -0.531 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)C1 ZINC001502476647 1074692596 /nfs/dbraw/zinc/69/25/96/1074692596.db2.gz DIYNYTCWWMQMIS-QWHCGFSZSA-N 0 0 435.572 -0.531 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)O)C1 ZINC001502476648 1074692591 /nfs/dbraw/zinc/69/25/91/1074692591.db2.gz DIYNYTCWWMQMIS-STQMWFEESA-N 0 0 435.572 -0.531 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CC)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001502476751 1074692513 /nfs/dbraw/zinc/69/25/13/1074692513.db2.gz FQCGEILDDINYIZ-CYBMUJFWSA-N 0 0 435.572 -0.702 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CC)n2CC2(O)CCS(=O)(=O)CC2)C1 ZINC001502476752 1074693123 /nfs/dbraw/zinc/69/31/23/1074693123.db2.gz FQCGEILDDINYIZ-ZDUSSCGKSA-N 0 0 435.572 -0.702 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC)C1 ZINC001502476925 1074693120 /nfs/dbraw/zinc/69/31/20/1074693120.db2.gz HZKZFTLYXYFNEC-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC)C1 ZINC001502476926 1074693085 /nfs/dbraw/zinc/69/30/85/1074693085.db2.gz HZKZFTLYXYFNEC-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCOC)C1 ZINC001502476927 1074693130 /nfs/dbraw/zinc/69/31/30/1074693130.db2.gz HZKZFTLYXYFNEC-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCOC)C1 ZINC001502476928 1074693126 /nfs/dbraw/zinc/69/31/26/1074693126.db2.gz HZKZFTLYXYFNEC-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)N2CCOCC2)C1 ZINC001502477224 1074693091 /nfs/dbraw/zinc/69/30/91/1074693091.db2.gz KSODISACSISWSM-CABCVRRESA-N 0 0 442.542 -0.841 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)N2CCOCC2)C1 ZINC001502477227 1074693124 /nfs/dbraw/zinc/69/31/24/1074693124.db2.gz KSODISACSISWSM-GJZGRUSLSA-N 0 0 442.542 -0.841 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@@H]3CCOC3)n2CC(=O)N2CCOCC2)C1 ZINC001502477229 1074693137 /nfs/dbraw/zinc/69/31/37/1074693137.db2.gz KSODISACSISWSM-HUUCEWRRSA-N 0 0 442.542 -0.841 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCOC3)n2CC(=O)N2CCOCC2)C1 ZINC001502477232 1074693153 /nfs/dbraw/zinc/69/31/53/1074693153.db2.gz KSODISACSISWSM-LSDHHAIUSA-N 0 0 442.542 -0.841 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@H](F)COC)C1 ZINC001502481996 1074693132 /nfs/dbraw/zinc/69/31/32/1074693132.db2.gz ALKLMYRLHSUWKL-KBPBESRZSA-N 0 0 432.522 -0.097 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H](F)COC)C1 ZINC001502481997 1074693141 /nfs/dbraw/zinc/69/31/41/1074693141.db2.gz ALKLMYRLHSUWKL-KGLIPLIRSA-N 0 0 432.522 -0.097 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@H](F)COC)C1 ZINC001502481998 1074693146 /nfs/dbraw/zinc/69/31/46/1074693146.db2.gz ALKLMYRLHSUWKL-UONOGXRCSA-N 0 0 432.522 -0.097 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H](F)COC)C1 ZINC001502481999 1074693155 /nfs/dbraw/zinc/69/31/55/1074693155.db2.gz ALKLMYRLHSUWKL-ZIAGYGMSSA-N 0 0 432.522 -0.097 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)NC)C1 ZINC001502482156 1074693128 /nfs/dbraw/zinc/69/31/28/1074693128.db2.gz DMJVMVWLVHUGBH-CHWSQXEVSA-N 0 0 436.560 -0.803 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc([C@H]3CCCO3)n2CCS(=O)(=O)NC)C1 ZINC001502482157 1074693102 /nfs/dbraw/zinc/69/31/02/1074693102.db2.gz DMJVMVWLVHUGBH-QWHCGFSZSA-N 0 0 436.560 -0.803 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCOC2)C1 ZINC001502482285 1074693136 /nfs/dbraw/zinc/69/31/36/1074693136.db2.gz HFUOQTVFCAHETF-CABCVRRESA-N 0 0 426.543 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CCOC2)C1 ZINC001502482286 1074693544 /nfs/dbraw/zinc/69/35/44/1074693544.db2.gz HFUOQTVFCAHETF-GJZGRUSLSA-N 0 0 426.543 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@H]2CCOC2)C1 ZINC001502482287 1074693427 /nfs/dbraw/zinc/69/34/27/1074693427.db2.gz HFUOQTVFCAHETF-HUUCEWRRSA-N 0 0 426.543 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2C[C@@H]2CCOC2)C1 ZINC001502482288 1074693383 /nfs/dbraw/zinc/69/33/83/1074693383.db2.gz HFUOQTVFCAHETF-LSDHHAIUSA-N 0 0 426.543 -0.045 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CC[S@](=O)CC)C1 ZINC001502483032 1074693522 /nfs/dbraw/zinc/69/35/22/1074693522.db2.gz NRMBTDZENFSOJX-GMYLUUGSSA-N 0 0 446.599 -0.313 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2CC[S@](=O)CC)C1 ZINC001502483036 1074693442 /nfs/dbraw/zinc/69/34/42/1074693442.db2.gz NRMBTDZENFSOJX-HJFSHJIFSA-N 0 0 446.599 -0.313 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2CC[S@@](=O)CC)C1 ZINC001502483038 1074693344 /nfs/dbraw/zinc/69/33/44/1074693344.db2.gz NRMBTDZENFSOJX-POXGOYDTSA-N 0 0 446.599 -0.313 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CC[S@@](=O)CC)C1 ZINC001502483040 1074693530 /nfs/dbraw/zinc/69/35/30/1074693530.db2.gz NRMBTDZENFSOJX-SUMNFNSASA-N 0 0 446.599 -0.313 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CCC2)C1 ZINC001502483594 1074693481 /nfs/dbraw/zinc/69/34/81/1074693481.db2.gz QYCGOWLNWLBKOB-AWEZNQCLSA-N 0 0 426.543 -0.167 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CC2(O)CCC2)C1 ZINC001502483595 1074693554 /nfs/dbraw/zinc/69/35/54/1074693554.db2.gz QYCGOWLNWLBKOB-CQSZACIVSA-N 0 0 426.543 -0.167 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2CCCNC(C)=O)C1 ZINC001502483879 1074693474 /nfs/dbraw/zinc/69/34/74/1074693474.db2.gz XZECHSWMWXGNIY-HNNXBMFYSA-N 0 0 441.558 -0.555 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2CCCNC(C)=O)C1 ZINC001502483880 1074693392 /nfs/dbraw/zinc/69/33/92/1074693392.db2.gz XZECHSWMWXGNIY-OAHLLOKOSA-N 0 0 441.558 -0.555 20 0 IBADRN CCNS(=O)(=O)[C@H]1CCN(c2nnc(CN3CCCC3=O)n2Cc2cnnn2C)C1 ZINC001502483956 1074693432 /nfs/dbraw/zinc/69/34/32/1074693432.db2.gz ZZAKJJLSPCVDKE-AWEZNQCLSA-N 0 0 437.530 -0.905 20 0 IBADRN CCNS(=O)(=O)[C@@H]1CCN(c2nnc(CN3CCCC3=O)n2Cc2cnnn2C)C1 ZINC001502483957 1074693363 /nfs/dbraw/zinc/69/33/63/1074693363.db2.gz ZZAKJJLSPCVDKE-CQSZACIVSA-N 0 0 437.530 -0.905 20 0 IBADRN CCOC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)NC)CC1 ZINC001502491050 1074693459 /nfs/dbraw/zinc/69/34/59/1074693459.db2.gz FNBBWUTVKPIMRC-UHFFFAOYSA-N 0 0 431.519 -0.756 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N(C)CC)CC1 ZINC001502491855 1074693536 /nfs/dbraw/zinc/69/35/36/1074693536.db2.gz IHRIGTFSYQYHNM-CYBMUJFWSA-N 0 0 445.546 -0.355 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N(C)CC)CC1 ZINC001502491856 1074693449 /nfs/dbraw/zinc/69/34/49/1074693449.db2.gz IHRIGTFSYQYHNM-ZDUSSCGKSA-N 0 0 445.546 -0.355 20 0 IBADRN CCOC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC)CC1 ZINC001502498985 1074693495 /nfs/dbraw/zinc/69/34/95/1074693495.db2.gz RIMWKBLRYXOGFL-UHFFFAOYSA-N 0 0 426.503 -0.494 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2CCS(=O)(=O)NC)CC1 ZINC001502500248 1074693420 /nfs/dbraw/zinc/69/34/20/1074693420.db2.gz FEMHBOPFELONFI-AWEZNQCLSA-N 0 0 430.531 0.000 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2CCS(=O)(=O)NC)CC1 ZINC001502500249 1074693404 /nfs/dbraw/zinc/69/34/04/1074693404.db2.gz FEMHBOPFELONFI-CQSZACIVSA-N 0 0 430.531 0.000 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CC1 ZINC001502500352 1074693506 /nfs/dbraw/zinc/69/35/06/1074693506.db2.gz HAKYNSJYRXLFIK-AWEZNQCLSA-N 0 0 442.542 -0.017 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NC(C)C)CC1 ZINC001502500353 1074693900 /nfs/dbraw/zinc/69/39/00/1074693900.db2.gz HAKYNSJYRXLFIK-CQSZACIVSA-N 0 0 442.542 -0.017 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CC1 ZINC001502502136 1074693903 /nfs/dbraw/zinc/69/39/03/1074693903.db2.gz CLZCPPILAMTLOP-KBXCAEBGSA-N 0 0 443.526 -0.390 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CC1 ZINC001502502137 1074693961 /nfs/dbraw/zinc/69/39/61/1074693961.db2.gz CLZCPPILAMTLOP-KDOFPFPSSA-N 0 0 443.526 -0.390 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(O)CCOC2)CC1 ZINC001502502138 1074693909 /nfs/dbraw/zinc/69/39/09/1074693909.db2.gz CLZCPPILAMTLOP-KSSFIOAISA-N 0 0 443.526 -0.390 20 0 IBADRN CCOC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(O)CCOC2)CC1 ZINC001502502139 1074693928 /nfs/dbraw/zinc/69/39/28/1074693928.db2.gz CLZCPPILAMTLOP-RDTXWAMCSA-N 0 0 443.526 -0.390 20 0 IBADRN CCOC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)N2CCOCC2)CC1 ZINC001502505548 1074693913 /nfs/dbraw/zinc/69/39/13/1074693913.db2.gz DWBFJXMWOFCPKE-UHFFFAOYSA-N 0 0 449.512 -0.462 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C1 ZINC001502530698 1074693933 /nfs/dbraw/zinc/69/39/33/1074693933.db2.gz JAEXSSNNAQVVQD-KBPBESRZSA-N 0 0 442.542 -0.017 20 0 IBADRN CCOC(=O)N[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C1 ZINC001502530699 1074693969 /nfs/dbraw/zinc/69/39/69/1074693969.db2.gz JAEXSSNNAQVVQD-KGLIPLIRSA-N 0 0 442.542 -0.017 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C1 ZINC001502530700 1074694011 /nfs/dbraw/zinc/69/40/11/1074694011.db2.gz JAEXSSNNAQVVQD-UONOGXRCSA-N 0 0 442.542 -0.017 20 0 IBADRN CCOC(=O)N[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)N(C)C)C1 ZINC001502530701 1074694015 /nfs/dbraw/zinc/69/40/15/1074694015.db2.gz JAEXSSNNAQVVQD-ZIAGYGMSSA-N 0 0 442.542 -0.017 20 0 IBADRN CCOC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001502536796 1074694000 /nfs/dbraw/zinc/69/40/00/1074694000.db2.gz JSNQMLRUUGPQHL-CHWSQXEVSA-N 0 0 432.547 -0.345 20 0 IBADRN CCOC(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001502536797 1074693972 /nfs/dbraw/zinc/69/39/72/1074693972.db2.gz JSNQMLRUUGPQHL-OLZOCXBDSA-N 0 0 432.547 -0.345 20 0 IBADRN CCOC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](C(=O)NC)C1 ZINC001502536798 1074693975 /nfs/dbraw/zinc/69/39/75/1074693975.db2.gz JSNQMLRUUGPQHL-QWHCGFSZSA-N 0 0 432.547 -0.345 20 0 IBADRN CCOC(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](C(=O)NC)C1 ZINC001502536799 1074693920 /nfs/dbraw/zinc/69/39/20/1074693920.db2.gz JSNQMLRUUGPQHL-STQMWFEESA-N 0 0 432.547 -0.345 20 0 IBADRN CCOC(C)(C)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCC(=O)NC ZINC001502553845 1074693980 /nfs/dbraw/zinc/69/39/80/1074693980.db2.gz JDVQSFPKNCSWFU-AWEZNQCLSA-N 0 0 446.574 -0.002 20 0 IBADRN CCOC(C)(C)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)CCC(=O)NC ZINC001502553846 1074693950 /nfs/dbraw/zinc/69/39/50/1074693950.db2.gz JDVQSFPKNCSWFU-CQSZACIVSA-N 0 0 446.574 -0.002 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CC(=O)N3CCOCC3)n2CC[S@@](=O)CC)CCOC1 ZINC001502625711 1074694004 /nfs/dbraw/zinc/69/40/04/1074694004.db2.gz YMPYGTRMYANVOY-ADSBAMQRSA-N 0 0 443.570 -0.006 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CC(=O)N3CCOCC3)n2CC[S@](=O)CC)CCOC1 ZINC001502625712 1074693994 /nfs/dbraw/zinc/69/39/94/1074693994.db2.gz YMPYGTRMYANVOY-HZAQMHFWSA-N 0 0 443.570 -0.006 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CC(=O)N3CCOCC3)n2CC[S@@](=O)CC)CCOC1 ZINC001502625713 1074694007 /nfs/dbraw/zinc/69/40/07/1074694007.db2.gz YMPYGTRMYANVOY-QTEAWJPNSA-N 0 0 443.570 -0.006 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CC(=O)N3CCOCC3)n2CC[S@](=O)CC)CCOC1 ZINC001502625714 1074693984 /nfs/dbraw/zinc/69/39/84/1074693984.db2.gz YMPYGTRMYANVOY-WWOZWPLTSA-N 0 0 443.570 -0.006 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)Cc3cnccn3)n2CCS(=O)(=O)NC)CCOC1 ZINC001502642975 1074694452 /nfs/dbraw/zinc/69/44/52/1074694452.db2.gz HQKXPRRRQZNXKN-KRWDZBQOSA-N 0 0 425.515 -0.094 20 0 IBADRN CCO[C@]1(c2nnc(N(C)Cc3cnccn3)n2CCS(=O)(=O)NC)CCOC1 ZINC001502642976 1074694536 /nfs/dbraw/zinc/69/45/36/1074694536.db2.gz HQKXPRRRQZNXKN-QGZVFWFLSA-N 0 0 425.515 -0.094 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCCC(=O)NC)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502651565 1074694492 /nfs/dbraw/zinc/69/44/92/1074694492.db2.gz ICEBJVQOXIRLKF-FQEVSTJZSA-N 0 0 438.529 -0.249 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCCC(=O)NC)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502651566 1074694499 /nfs/dbraw/zinc/69/44/99/1074694499.db2.gz ICEBJVQOXIRLKF-HXUWFJFHSA-N 0 0 438.529 -0.249 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)CCOC1 ZINC001502654733 1074694479 /nfs/dbraw/zinc/69/44/79/1074694479.db2.gz FYOLHVOBZASMOD-DAYGRLMNSA-N 0 0 432.543 -0.049 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@H]2CC[C@H](CO)O2)CCOC1 ZINC001502654734 1074694534 /nfs/dbraw/zinc/69/45/34/1074694534.db2.gz FYOLHVOBZASMOD-HDMKZQKVSA-N 0 0 432.543 -0.049 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@]2(O)CCSC2)CCOC1 ZINC001502655201 1074694486 /nfs/dbraw/zinc/69/44/86/1074694486.db2.gz PDYVFCNUWFNMMB-DLBZAZTESA-N 0 0 449.599 -0.216 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@]2(O)CCSC2)CCOC1 ZINC001502655202 1074694529 /nfs/dbraw/zinc/69/45/29/1074694529.db2.gz PDYVFCNUWFNMMB-IAGOWNOFSA-N 0 0 449.599 -0.216 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@@]2(O)CCSC2)CCOC1 ZINC001502655203 1074694491 /nfs/dbraw/zinc/69/44/91/1074694491.db2.gz PDYVFCNUWFNMMB-IRXDYDNUSA-N 0 0 449.599 -0.216 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCNS(C)(=O)=O)n2C[C@]2(O)CCSC2)CCOC1 ZINC001502655204 1074694518 /nfs/dbraw/zinc/69/45/18/1074694518.db2.gz PDYVFCNUWFNMMB-SJORKVTESA-N 0 0 449.599 -0.216 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCOC)n2CC2(O)CCS(=O)(=O)CC2)CCOC1 ZINC001502655211 1074694531 /nfs/dbraw/zinc/69/45/31/1074694531.db2.gz PIJUZWMWYNIQNY-GOSISDBHSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCOC)n2CC2(O)CCS(=O)(=O)CC2)CCOC1 ZINC001502655212 1074694522 /nfs/dbraw/zinc/69/45/22/1074694522.db2.gz PIJUZWMWYNIQNY-SFHVURJKSA-N 0 0 432.543 -0.048 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)CCOC1 ZINC001502655347 1074694516 /nfs/dbraw/zinc/69/45/16/1074694516.db2.gz SCOMDTXBJYPDIN-MSOLQXFVSA-N 0 0 429.543 -0.111 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@@]2(C)CN(C)C2=O)CCOC1 ZINC001502655348 1074694463 /nfs/dbraw/zinc/69/44/63/1074694463.db2.gz SCOMDTXBJYPDIN-QZTJIDSGSA-N 0 0 429.543 -0.111 20 0 IBADRN CCO[C@@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)CCOC1 ZINC001502655349 1074694525 /nfs/dbraw/zinc/69/45/25/1074694525.db2.gz SCOMDTXBJYPDIN-ROUUACIJSA-N 0 0 429.543 -0.111 20 0 IBADRN CCO[C@]1(c2nnc(N(C)CCS(C)(=O)=O)n2C[C@]2(C)CN(C)C2=O)CCOC1 ZINC001502655350 1074694539 /nfs/dbraw/zinc/69/45/39/1074694539.db2.gz SCOMDTXBJYPDIN-ZWKOTPCHSA-N 0 0 429.543 -0.111 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001502661065 1074694521 /nfs/dbraw/zinc/69/45/21/1074694521.db2.gz YKHALRSNEJAUEH-KBXCAEBGSA-N 0 0 444.558 -0.512 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001502661066 1074694501 /nfs/dbraw/zinc/69/45/01/1074694501.db2.gz YKHALRSNEJAUEH-KDOFPFPSSA-N 0 0 444.558 -0.512 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)[C@@H](C)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001502661067 1074694510 /nfs/dbraw/zinc/69/45/10/1074694510.db2.gz YKHALRSNEJAUEH-KSSFIOAISA-N 0 0 444.558 -0.512 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)[C@H](C)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001502661068 1074694514 /nfs/dbraw/zinc/69/45/14/1074694514.db2.gz YKHALRSNEJAUEH-RDTXWAMCSA-N 0 0 444.558 -0.512 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)C[C@H]3C)n2CCNS(C)(=O)=O)CCOC1 ZINC001502661723 1074694932 /nfs/dbraw/zinc/69/49/32/1074694932.db2.gz FEGJRYQBVOFTMN-CXAGYDPISA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)C[C@H]3C)n2CCNS(C)(=O)=O)CCOC1 ZINC001502661724 1074694884 /nfs/dbraw/zinc/69/48/84/1074694884.db2.gz FEGJRYQBVOFTMN-DYVFJYSZSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)C[C@@H]3C)n2CCNS(C)(=O)=O)CCOC1 ZINC001502661725 1074694914 /nfs/dbraw/zinc/69/49/14/1074694914.db2.gz FEGJRYQBVOFTMN-GUYCJALGSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)C[C@@H]3C)n2CCNS(C)(=O)=O)CCOC1 ZINC001502661726 1074694868 /nfs/dbraw/zinc/69/48/68/1074694868.db2.gz FEGJRYQBVOFTMN-SUMWQHHRSA-N 0 0 430.531 -0.854 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(C)(OC)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502662289 1074694854 /nfs/dbraw/zinc/69/48/54/1074694854.db2.gz NBTJRSHTFLJMOZ-MSOLQXFVSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(C)(OC)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502662290 1074694953 /nfs/dbraw/zinc/69/49/53/1074694953.db2.gz NBTJRSHTFLJMOZ-QZTJIDSGSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(C)(OC)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502662291 1074694859 /nfs/dbraw/zinc/69/48/59/1074694859.db2.gz NBTJRSHTFLJMOZ-ROUUACIJSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(C)(OC)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502662292 1074694848 /nfs/dbraw/zinc/69/48/48/1074694848.db2.gz NBTJRSHTFLJMOZ-ZWKOTPCHSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)C[C@@H]3C)n2CCCNS(C)(=O)=O)CCOC1 ZINC001502663111 1074694922 /nfs/dbraw/zinc/69/49/22/1074694922.db2.gz ZJCPYNZXXXNDEG-KBXCAEBGSA-N 0 0 444.558 -0.464 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)C[C@H]3C)n2CCCNS(C)(=O)=O)CCOC1 ZINC001502663112 1074694939 /nfs/dbraw/zinc/69/49/39/1074694939.db2.gz ZJCPYNZXXXNDEG-KDOFPFPSSA-N 0 0 444.558 -0.464 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC(=O)N(C)C[C@@H]3C)n2CCCNS(C)(=O)=O)CCOC1 ZINC001502663113 1074694860 /nfs/dbraw/zinc/69/48/60/1074694860.db2.gz ZJCPYNZXXXNDEG-KSSFIOAISA-N 0 0 444.558 -0.464 20 0 IBADRN CCO[C@]1(c2nnc(N3CC(=O)N(C)C[C@H]3C)n2CCCNS(C)(=O)=O)CCOC1 ZINC001502663114 1074694897 /nfs/dbraw/zinc/69/48/97/1074694897.db2.gz ZJCPYNZXXXNDEG-RDTXWAMCSA-N 0 0 444.558 -0.464 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](C(=O)OC)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001502670217 1074694876 /nfs/dbraw/zinc/69/48/76/1074694876.db2.gz GXLFXWUQDOKHQJ-CXAGYDPISA-N 0 0 431.515 -0.521 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@H](C(=O)OC)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001502670218 1074694925 /nfs/dbraw/zinc/69/49/25/1074694925.db2.gz GXLFXWUQDOKHQJ-DYVFJYSZSA-N 0 0 431.515 -0.521 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@H](C(=O)OC)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001502670219 1074694902 /nfs/dbraw/zinc/69/49/02/1074694902.db2.gz GXLFXWUQDOKHQJ-GUYCJALGSA-N 0 0 431.515 -0.521 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](C(=O)OC)C3)n2CCS(=O)(=O)NC)CCOC1 ZINC001502670220 1074694928 /nfs/dbraw/zinc/69/49/28/1074694928.db2.gz GXLFXWUQDOKHQJ-SUMWQHHRSA-N 0 0 431.515 -0.521 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@](C)(C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001502671650 1074694889 /nfs/dbraw/zinc/69/48/89/1074694889.db2.gz ALTZEVZMCFQWRK-MSOLQXFVSA-N 0 0 444.558 -0.477 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@](C)(C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001502671651 1074694908 /nfs/dbraw/zinc/69/49/08/1074694908.db2.gz ALTZEVZMCFQWRK-QZTJIDSGSA-N 0 0 444.558 -0.477 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@](C)(C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001502671652 1074694957 /nfs/dbraw/zinc/69/49/57/1074694957.db2.gz ALTZEVZMCFQWRK-ROUUACIJSA-N 0 0 444.558 -0.477 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@](C)(C(N)=O)C3)n2CCS(=O)(=O)N(C)C)CCOC1 ZINC001502671653 1074694947 /nfs/dbraw/zinc/69/49/47/1074694947.db2.gz ALTZEVZMCFQWRK-ZWKOTPCHSA-N 0 0 444.558 -0.477 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@](C)(C(=O)NC)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001502672908 1074695445 /nfs/dbraw/zinc/69/54/45/1074695445.db2.gz URBUCHRBOBIGLE-MSOLQXFVSA-N 0 0 444.558 -0.558 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@](C)(C(=O)NC)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001502672909 1074695443 /nfs/dbraw/zinc/69/54/43/1074695443.db2.gz URBUCHRBOBIGLE-QZTJIDSGSA-N 0 0 444.558 -0.558 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@](C)(C(=O)NC)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001502672910 1074695406 /nfs/dbraw/zinc/69/54/06/1074695406.db2.gz URBUCHRBOBIGLE-ROUUACIJSA-N 0 0 444.558 -0.558 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@](C)(C(=O)NC)C3)n2CCNS(C)(=O)=O)CCOC1 ZINC001502672911 1074695440 /nfs/dbraw/zinc/69/54/40/1074695440.db2.gz URBUCHRBOBIGLE-ZWKOTPCHSA-N 0 0 444.558 -0.558 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2CCN2CCCS2(=O)=O)CCOC1 ZINC001502672940 1074695404 /nfs/dbraw/zinc/69/54/04/1074695404.db2.gz VLCIJKMVVDMJBM-KBXCAEBGSA-N 0 0 442.542 -0.723 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2CCN2CCCS2(=O)=O)CCOC1 ZINC001502672941 1074695433 /nfs/dbraw/zinc/69/54/33/1074695433.db2.gz VLCIJKMVVDMJBM-KDOFPFPSSA-N 0 0 442.542 -0.723 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@H](C(N)=O)C3)n2CCN2CCCS2(=O)=O)CCOC1 ZINC001502672942 1074695366 /nfs/dbraw/zinc/69/53/66/1074695366.db2.gz VLCIJKMVVDMJBM-KSSFIOAISA-N 0 0 442.542 -0.723 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](C(N)=O)C3)n2CCN2CCCS2(=O)=O)CCOC1 ZINC001502672943 1074695370 /nfs/dbraw/zinc/69/53/70/1074695370.db2.gz VLCIJKMVVDMJBM-RDTXWAMCSA-N 0 0 442.542 -0.723 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC(OCC(N)=O)CC3)n2CC(=O)NC(C)C)CCOC1 ZINC001502685534 1074695451 /nfs/dbraw/zinc/69/54/51/1074695451.db2.gz XVCIDNMSOXBNKC-FQEVSTJZSA-N 0 0 438.529 -0.075 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC(OCC(N)=O)CC3)n2CC(=O)NC(C)C)CCOC1 ZINC001502685535 1074695412 /nfs/dbraw/zinc/69/54/12/1074695412.db2.gz XVCIDNMSOXBNKC-HXUWFJFHSA-N 0 0 438.529 -0.075 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@H](C(=O)NC)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001502689483 1074695358 /nfs/dbraw/zinc/69/53/58/1074695358.db2.gz ZKJRJHXOGCQAGJ-KBXCAEBGSA-N 0 0 429.543 -0.063 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@@H](C(=O)NC)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001502689484 1074695422 /nfs/dbraw/zinc/69/54/22/1074695422.db2.gz ZKJRJHXOGCQAGJ-KDOFPFPSSA-N 0 0 429.543 -0.063 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCC[C@H](C(=O)NC)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001502689485 1074695377 /nfs/dbraw/zinc/69/53/77/1074695377.db2.gz ZKJRJHXOGCQAGJ-KSSFIOAISA-N 0 0 429.543 -0.063 20 0 IBADRN CCO[C@]1(c2nnc(N3CCC[C@@H](C(=O)NC)C3)n2CCS(C)(=O)=O)CCOC1 ZINC001502689486 1074695419 /nfs/dbraw/zinc/69/54/19/1074695419.db2.gz ZKJRJHXOGCQAGJ-RDTXWAMCSA-N 0 0 429.543 -0.063 20 0 IBADRN CCO[C@]1(c2nnc(N3CCCN(S(C)(=O)=O)CC3)n2CC(=O)N(C)C)CCOC1 ZINC001502707897 1074695427 /nfs/dbraw/zinc/69/54/27/1074695427.db2.gz KDCFBKYHEHWLHX-GOSISDBHSA-N 0 0 444.558 -0.510 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCCN(S(C)(=O)=O)CC3)n2CC(=O)N(C)C)CCOC1 ZINC001502707898 1074695453 /nfs/dbraw/zinc/69/54/53/1074695453.db2.gz KDCFBKYHEHWLHX-SFHVURJKSA-N 0 0 444.558 -0.510 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)C(=O)NC(C)C)CC3)n2CCOC)CCOC1 ZINC001502711286 1074695396 /nfs/dbraw/zinc/69/53/96/1074695396.db2.gz LROHQOYPPLAGRD-FQEVSTJZSA-N 0 0 438.529 -0.250 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)C(=O)NC(C)C)CC3)n2CCOC)CCOC1 ZINC001502711287 1074695436 /nfs/dbraw/zinc/69/54/36/1074695436.db2.gz LROHQOYPPLAGRD-HXUWFJFHSA-N 0 0 438.529 -0.250 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2C[C@]2(O)CCO[C@@H]2C)CCOC1 ZINC001502712812 1074695391 /nfs/dbraw/zinc/69/53/91/1074695391.db2.gz WCFNNKNVOLQASN-CDHQVMDDSA-N 0 0 438.529 -0.069 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2C[C@]2(O)CCO[C@H]2C)CCOC1 ZINC001502712813 1074695381 /nfs/dbraw/zinc/69/53/81/1074695381.db2.gz WCFNNKNVOLQASN-CWFSZBLJSA-N 0 0 438.529 -0.069 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2C[C@@]2(O)CCO[C@H]2C)CCOC1 ZINC001502712814 1074695897 /nfs/dbraw/zinc/69/58/97/1074695897.db2.gz WCFNNKNVOLQASN-RYGJVYDSSA-N 0 0 438.529 -0.069 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2C[C@@]2(O)CCO[C@@H]2C)CCOC1 ZINC001502712815 1074695825 /nfs/dbraw/zinc/69/58/25/1074695825.db2.gz WCFNNKNVOLQASN-UIAACRFSSA-N 0 0 438.529 -0.069 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2CC(=O)NCC2CC2)CCOC1 ZINC001502713384 1074695905 /nfs/dbraw/zinc/69/59/05/1074695905.db2.gz ZJYVCMISHXCDDL-FQEVSTJZSA-N 0 0 435.529 -0.082 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(=O)NC)CC3)n2CC(=O)NCC2CC2)CCOC1 ZINC001502713385 1074695830 /nfs/dbraw/zinc/69/58/30/1074695830.db2.gz ZJYVCMISHXCDDL-HXUWFJFHSA-N 0 0 435.529 -0.082 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C(C)=O)CC3)n2CC(=O)NCC(F)F)CCOC1 ZINC001502714304 1074695858 /nfs/dbraw/zinc/69/58/58/1074695858.db2.gz KVDCYSICZQHNOU-GOSISDBHSA-N 0 0 430.456 -0.020 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C(C)=O)CC3)n2CC(=O)NCC(F)F)CCOC1 ZINC001502714305 1074695909 /nfs/dbraw/zinc/69/59/09/1074695909.db2.gz KVDCYSICZQHNOU-SFHVURJKSA-N 0 0 430.456 -0.020 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502717499 1074695873 /nfs/dbraw/zinc/69/58/73/1074695873.db2.gz GKOWYGFWLQWQDD-FOIQADDNSA-N 0 0 436.513 -0.544 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502717500 1074695785 /nfs/dbraw/zinc/69/57/85/1074695785.db2.gz GKOWYGFWLQWQDD-MGPUTAFESA-N 0 0 436.513 -0.544 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)[C@H]3C)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502717501 1074695913 /nfs/dbraw/zinc/69/59/13/1074695913.db2.gz GKOWYGFWLQWQDD-QRWLVFNGSA-N 0 0 436.513 -0.544 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(C)C(=O)[C@@H]3C)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502717502 1074695817 /nfs/dbraw/zinc/69/58/17/1074695817.db2.gz GKOWYGFWLQWQDD-YWZLYKJASA-N 0 0 436.513 -0.544 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CC[S@@](=O)CC)CCOC1 ZINC001502724640 1074695886 /nfs/dbraw/zinc/69/58/86/1074695886.db2.gz CIYUWYVIHRLHKL-FXTJPDDYSA-N 0 0 426.543 -0.087 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)NC[C@H]4C3)n2CC[S@](=O)CC)CCOC1 ZINC001502724641 1074695850 /nfs/dbraw/zinc/69/58/50/1074695850.db2.gz CIYUWYVIHRLHKL-JQINBAJDSA-N 0 0 426.543 -0.087 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CC[S@](=O)CC)CCOC1 ZINC001502724642 1074695891 /nfs/dbraw/zinc/69/58/91/1074695891.db2.gz CIYUWYVIHRLHKL-PORMQRKHSA-N 0 0 426.543 -0.087 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)NC[C@@H]4C3)n2CC[S@@](=O)CC)CCOC1 ZINC001502724643 1074695880 /nfs/dbraw/zinc/69/58/80/1074695880.db2.gz CIYUWYVIHRLHKL-QAZIMZJUSA-N 0 0 426.543 -0.087 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@](C)(O)CC)CCOC1 ZINC001502724896 1074695869 /nfs/dbraw/zinc/69/58/69/1074695869.db2.gz GAUZFSJGDKWRMB-JSNMRZPZSA-N 0 0 436.513 -0.762 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@@](C)(O)CC)CCOC1 ZINC001502724899 1074695902 /nfs/dbraw/zinc/69/59/02/1074695902.db2.gz GAUZFSJGDKWRMB-PNHOKKKMSA-N 0 0 436.513 -0.762 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@](C)(O)CC)CCOC1 ZINC001502724900 1074695809 /nfs/dbraw/zinc/69/58/09/1074695809.db2.gz GAUZFSJGDKWRMB-VHKYSDTDSA-N 0 0 436.513 -0.762 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@@](C)(O)CC)CCOC1 ZINC001502724901 1074695844 /nfs/dbraw/zinc/69/58/44/1074695844.db2.gz GAUZFSJGDKWRMB-VOBQZIQPSA-N 0 0 436.513 -0.762 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)OC[C@@H]4C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001502725118 1074695804 /nfs/dbraw/zinc/69/58/04/1074695804.db2.gz HHJROBJDQSMIMI-KPZWWZAWSA-N 0 0 444.439 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)OC[C@H]4C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001502725123 1074695794 /nfs/dbraw/zinc/69/57/94/1074695794.db2.gz HHJROBJDQSMIMI-KZULUSFZSA-N 0 0 444.439 -0.048 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)OC[C@@H]4C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001502725126 1074696385 /nfs/dbraw/zinc/69/63/85/1074696385.db2.gz HHJROBJDQSMIMI-SGTLLEGYSA-N 0 0 444.439 -0.048 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN4C(=O)OC[C@H]4C3)n2CC(=O)NCC(F)F)CCOC1 ZINC001502725128 1074696395 /nfs/dbraw/zinc/69/63/95/1074696395.db2.gz HHJROBJDQSMIMI-XIKOKIGWSA-N 0 0 444.439 -0.048 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@H]2COCCO2)CCOC1 ZINC001502726099 1074696368 /nfs/dbraw/zinc/69/63/68/1074696368.db2.gz PGIYWDBXBBJRCZ-MAUKXSAKSA-N 0 0 445.542 -0.573 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@H]2COCCO2)CCOC1 ZINC001502726100 1074696373 /nfs/dbraw/zinc/69/63/73/1074696373.db2.gz PGIYWDBXBBJRCZ-YJBOKZPZSA-N 0 0 445.542 -0.573 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(S(C)(=O)=O)[C@@H](C)C3)n2CCC(=O)NC)CCOC1 ZINC001502726119 1074696346 /nfs/dbraw/zinc/69/63/46/1074696346.db2.gz PPDLVSJXCJKCSG-KBXCAEBGSA-N 0 0 444.558 -0.464 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(S(C)(=O)=O)[C@@H](C)C3)n2CCC(=O)NC)CCOC1 ZINC001502726120 1074696359 /nfs/dbraw/zinc/69/63/59/1074696359.db2.gz PPDLVSJXCJKCSG-KSSFIOAISA-N 0 0 444.558 -0.464 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(S(C)(=O)=O)[C@@H](C)C3)n2CC2(O)CC2)CCOC1 ZINC001502726189 1074696352 /nfs/dbraw/zinc/69/63/52/1074696352.db2.gz QRDYJDGNBGQUSE-KBXCAEBGSA-N 0 0 429.543 -0.075 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(S(C)(=O)=O)[C@@H](C)C3)n2CC2(O)CC2)CCOC1 ZINC001502726190 1074696376 /nfs/dbraw/zinc/69/63/76/1074696376.db2.gz QRDYJDGNBGQUSE-KSSFIOAISA-N 0 0 429.543 -0.075 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCN(CCOC)C(=O)C3)n2C[C@H]2COCCO2)CCOC1 ZINC001502726491 1074696355 /nfs/dbraw/zinc/69/63/55/1074696355.db2.gz WOYPFCUWZLPYNY-JXFKEZNVSA-N 0 0 439.513 -0.359 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN(CCOC)C(=O)C3)n2C[C@H]2COCCO2)CCOC1 ZINC001502726492 1074696366 /nfs/dbraw/zinc/69/63/66/1074696366.db2.gz WOYPFCUWZLPYNY-OXJNMPFZSA-N 0 0 439.513 -0.359 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@H](CC)OC)CCOC1 ZINC001502726677 1074696406 /nfs/dbraw/zinc/69/64/06/1074696406.db2.gz ZPOCQOUDZHPZID-AUSJPIAWSA-N 0 0 436.513 -0.498 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2C[C@@H](CC)OC)CCOC1 ZINC001502726678 1074696388 /nfs/dbraw/zinc/69/63/88/1074696388.db2.gz ZPOCQOUDZHPZID-BXTJHSDWSA-N 0 0 436.513 -0.498 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@H](CC)OC)CCOC1 ZINC001502726679 1074696410 /nfs/dbraw/zinc/69/64/10/1074696410.db2.gz ZPOCQOUDZHPZID-QEEYODRMSA-N 0 0 436.513 -0.498 20 0 IBADRN CCO[C@]1(c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2C[C@@H](CC)OC)CCOC1 ZINC001502726680 1074696381 /nfs/dbraw/zinc/69/63/81/1074696381.db2.gz ZPOCQOUDZHPZID-STXHMFSFSA-N 0 0 436.513 -0.498 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)C3)n2CCN2CCN(C(C)=O)CC2)CCOC1 ZINC001502727901 1074696334 /nfs/dbraw/zinc/69/63/34/1074696334.db2.gz DKVUSZQEHMXEEN-FQEVSTJZSA-N 0 0 435.529 -0.970 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)C3)n2CCN2CCN(C(C)=O)CC2)CCOC1 ZINC001502727902 1074696400 /nfs/dbraw/zinc/69/64/00/1074696400.db2.gz DKVUSZQEHMXEEN-HXUWFJFHSA-N 0 0 435.529 -0.970 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2CCNC(=O)C2CC2)CCOC1 ZINC001502728075 1074696389 /nfs/dbraw/zinc/69/63/89/1074696389.db2.gz FQMIRURGZMKSKW-FOIQADDNSA-N 0 0 436.513 -0.592 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2CCNC(=O)C2CC2)CCOC1 ZINC001502728076 1074696378 /nfs/dbraw/zinc/69/63/78/1074696378.db2.gz FQMIRURGZMKSKW-MGPUTAFESA-N 0 0 436.513 -0.592 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2CCNC(=O)C2CC2)CCOC1 ZINC001502728077 1074696362 /nfs/dbraw/zinc/69/63/62/1074696362.db2.gz FQMIRURGZMKSKW-QRWLVFNGSA-N 0 0 436.513 -0.592 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2CCNC(=O)C2CC2)CCOC1 ZINC001502728078 1074696393 /nfs/dbraw/zinc/69/63/93/1074696393.db2.gz FQMIRURGZMKSKW-YWZLYKJASA-N 0 0 436.513 -0.592 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2CC2(O)CCOCC2)CCOC1 ZINC001502729981 1074696898 /nfs/dbraw/zinc/69/68/98/1074696898.db2.gz UWESXWBPEJKHLF-FOIQADDNSA-N 0 0 439.513 -0.577 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2CC2(O)CCOCC2)CCOC1 ZINC001502729982 1074696845 /nfs/dbraw/zinc/69/68/45/1074696845.db2.gz UWESXWBPEJKHLF-MGPUTAFESA-N 0 0 439.513 -0.577 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2CC2(O)CCOCC2)CCOC1 ZINC001502729983 1074696896 /nfs/dbraw/zinc/69/68/96/1074696896.db2.gz UWESXWBPEJKHLF-QRWLVFNGSA-N 0 0 439.513 -0.577 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2CC2(O)CCOCC2)CCOC1 ZINC001502729984 1074696904 /nfs/dbraw/zinc/69/69/04/1074696904.db2.gz UWESXWBPEJKHLF-YWZLYKJASA-N 0 0 439.513 -0.577 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2CC[S@@](=O)CC)CCOC1 ZINC001502729991 1074696885 /nfs/dbraw/zinc/69/68/85/1074696885.db2.gz VACPMJRTJDIRBV-FXTJPDDYSA-N 0 0 429.543 -0.350 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C(=O)NC)C3)n2CC[S@](=O)CC)CCOC1 ZINC001502729992 1074696882 /nfs/dbraw/zinc/69/68/82/1074696882.db2.gz VACPMJRTJDIRBV-JQINBAJDSA-N 0 0 429.543 -0.350 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2CC[S@](=O)CC)CCOC1 ZINC001502729993 1074696863 /nfs/dbraw/zinc/69/68/63/1074696863.db2.gz VACPMJRTJDIRBV-PORMQRKHSA-N 0 0 429.543 -0.350 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C(=O)NC)C3)n2CC[S@@](=O)CC)CCOC1 ZINC001502729994 1074696872 /nfs/dbraw/zinc/69/68/72/1074696872.db2.gz VACPMJRTJDIRBV-QAZIMZJUSA-N 0 0 429.543 -0.350 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)C3)n2C[C@@H]2CCCS(=O)(=O)C2)CCOC1 ZINC001502730067 1074696887 /nfs/dbraw/zinc/69/68/87/1074696887.db2.gz WAULVRCKXBEQMC-KBXCAEBGSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)C3)n2C[C@H]2CCCS(=O)(=O)C2)CCOC1 ZINC001502730068 1074696875 /nfs/dbraw/zinc/69/68/75/1074696875.db2.gz WAULVRCKXBEQMC-KDOFPFPSSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCNC(=O)C3)n2C[C@@H]2CCCS(=O)(=O)C2)CCOC1 ZINC001502730069 1074696909 /nfs/dbraw/zinc/69/69/09/1074696909.db2.gz WAULVRCKXBEQMC-KSSFIOAISA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@]1(c2nnc(N3CCNC(=O)C3)n2C[C@H]2CCCS(=O)(=O)C2)CCOC1 ZINC001502730070 1074696869 /nfs/dbraw/zinc/69/68/69/1074696869.db2.gz WAULVRCKXBEQMC-RDTXWAMCSA-N 0 0 427.527 -0.309 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502733650 1074696910 /nfs/dbraw/zinc/69/69/10/1074696910.db2.gz WHVZWHVLKGBJPZ-BMGDILEWSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502733651 1074696858 /nfs/dbraw/zinc/69/68/58/1074696858.db2.gz WHVZWHVLKGBJPZ-FHLIZLRMSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@@H](C)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502733652 1074696878 /nfs/dbraw/zinc/69/68/78/1074696878.db2.gz WHVZWHVLKGBJPZ-JCGIZDLHSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CCO[C@H](C)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502733653 1074696834 /nfs/dbraw/zinc/69/68/34/1074696834.db2.gz WHVZWHVLKGBJPZ-ZTFGCOKTSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CCS(=O)CC3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502740583 1074696852 /nfs/dbraw/zinc/69/68/52/1074696852.db2.gz UBRZXBCMGDQRIE-GOSISDBHSA-N 0 0 427.527 -0.642 20 0 IBADRN CCO[C@@]1(c2nnc(N3CCS(=O)CC3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502740584 1074696907 /nfs/dbraw/zinc/69/69/07/1074696907.db2.gz UBRZXBCMGDQRIE-SFHVURJKSA-N 0 0 427.527 -0.642 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](OC)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502744178 1074696892 /nfs/dbraw/zinc/69/68/92/1074696892.db2.gz YVIHIRVONNVMPB-FHLIZLRMSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@H](OC)C3)n2C[C@@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502744179 1074696902 /nfs/dbraw/zinc/69/69/02/1074696902.db2.gz YVIHIRVONNVMPB-JLSDUUJJSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@@]1(c2nnc(N3CC[C@@H](OC)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502744180 1074697302 /nfs/dbraw/zinc/69/73/02/1074697302.db2.gz YVIHIRVONNVMPB-OLMNPRSZSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3CC[C@@H](OC)C3)n2C[C@]2(O)CCS(=O)(=O)C2)CCOC1 ZINC001502744181 1074697328 /nfs/dbraw/zinc/69/73/28/1074697328.db2.gz YVIHIRVONNVMPB-ZTFGCOKTSA-N 0 0 430.527 -0.295 20 0 IBADRN CCO[C@]1(c2nnc(N3C[C@@H](OC)[C@@H](OC)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502746348 1074697351 /nfs/dbraw/zinc/69/73/51/1074697351.db2.gz KJRBGJXAITZJQN-GQIGUUNPSA-N 0 0 439.513 -0.361 20 0 IBADRN CCO[C@@]1(c2nnc(N3C[C@@H](OC)[C@@H](OC)C3)n2CC(=O)N2CCOCC2)CCOC1 ZINC001502746349 1074697280 /nfs/dbraw/zinc/69/72/80/1074697280.db2.gz KJRBGJXAITZJQN-YRNRMSPPSA-N 0 0 439.513 -0.361 20 0 IBADRN CCOC1CC(O)(Cn2c(C)nnc2N2CCN(C(=O)C(=O)N3CCOCC3)CC2)C1 ZINC001502958377 1074697340 /nfs/dbraw/zinc/69/73/40/1074697340.db2.gz GIXRGJPEWVMRJQ-UHFFFAOYSA-N 0 0 436.513 -0.976 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)C1 ZINC001502962754 1074697315 /nfs/dbraw/zinc/69/73/15/1074697315.db2.gz FSFRCBFIYGZNCA-VNSJNIRKSA-N 0 0 427.527 -0.564 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCNC(=O)CC2)C1 ZINC001502962756 1074697274 /nfs/dbraw/zinc/69/72/74/1074697274.db2.gz FSFRCBFIYGZNCA-WRSVWVKZSA-N 0 0 427.527 -0.564 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2C[C@@H](OC)[C@@H](OC)C2)C1 ZINC001502963071 1074697306 /nfs/dbraw/zinc/69/73/06/1074697306.db2.gz HMOOPBIQSVVFIW-CKGQUOQHSA-N 0 0 444.554 -0.040 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2C[C@@H](OC)[C@@H](OC)C2)C1 ZINC001502963076 1074697336 /nfs/dbraw/zinc/69/73/36/1074697336.db2.gz HMOOPBIQSVVFIW-YUKWLFIZSA-N 0 0 444.554 -0.040 20 0 IBADRN CCOC1CC(O)(Cn2c(CN3CCCC3=O)nnc2N(C)[C@@H]2CCS(=O)(=O)C2)C1 ZINC001502963363 1074697267 /nfs/dbraw/zinc/69/72/67/1074697267.db2.gz RQSMKUDUFGJCJT-TZGCNFNXSA-N 0 0 441.554 -0.046 20 0 IBADRN CCOC1CC(O)(Cn2c(CN3CCCC3=O)nnc2N(C)[C@H]2CCS(=O)(=O)C2)C1 ZINC001502963369 1074697254 /nfs/dbraw/zinc/69/72/54/1074697254.db2.gz RQSMKUDUFGJCJT-XVTSOASTSA-N 0 0 441.554 -0.046 20 0 IBADRN CCOC1CC(O)(Cn2c(CN3CCCC3=O)nnc2N2CC[C@H](S(C)(=O)=O)C2)C1 ZINC001502963981 1074697262 /nfs/dbraw/zinc/69/72/62/1074697262.db2.gz VSKKXHPJWOQPCC-HVEKOLDVSA-N 0 0 441.554 -0.046 20 0 IBADRN CCOC1CC(O)(Cn2c(CN3CCCC3=O)nnc2N2CC[C@@H](S(C)(=O)=O)C2)C1 ZINC001502963984 1074697271 /nfs/dbraw/zinc/69/72/71/1074697271.db2.gz VSKKXHPJWOQPCC-XAUUPUKJSA-N 0 0 441.554 -0.046 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC(=O)N(C)C[C@@H]2C)C1 ZINC001502965836 1074697326 /nfs/dbraw/zinc/69/73/26/1074697326.db2.gz ZSSWSCCFIPQXLH-ICBLQISZSA-N 0 0 441.554 -0.223 20 0 IBADRN CCOC1CC(O)(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CC(=O)N(C)C[C@H]2C)C1 ZINC001502965837 1074697347 /nfs/dbraw/zinc/69/73/47/1074697347.db2.gz ZSSWSCCFIPQXLH-IUGDKWEMSA-N 0 0 441.554 -0.223 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC(=O)N(C)C[C@@H]2C)C1 ZINC001502965838 1074697332 /nfs/dbraw/zinc/69/73/32/1074697332.db2.gz ZSSWSCCFIPQXLH-NRUSHDPLSA-N 0 0 441.554 -0.223 20 0 IBADRN CCOC1CC(O)(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CC(=O)N(C)C[C@H]2C)C1 ZINC001502965839 1074697285 /nfs/dbraw/zinc/69/72/85/1074697285.db2.gz ZSSWSCCFIPQXLH-PBQKYUHESA-N 0 0 441.554 -0.223 20 0 IBADRN CCO[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)C1 ZINC001502974650 1074697803 /nfs/dbraw/zinc/69/78/03/1074697803.db2.gz FQFFOTDXMSUDQR-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CCO[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)C1 ZINC001502974656 1074697799 /nfs/dbraw/zinc/69/77/99/1074697799.db2.gz FQFFOTDXMSUDQR-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CCO[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)C1 ZINC001502974664 1074697833 /nfs/dbraw/zinc/69/78/33/1074697833.db2.gz FQFFOTDXMSUDQR-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CCO[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCS(=O)(=O)NC)C1 ZINC001502974672 1074697839 /nfs/dbraw/zinc/69/78/39/1074697839.db2.gz FQFFOTDXMSUDQR-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN CCOCc1nnc(N(C)[C@H](C)[C@H](C)S(C)(=O)=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001502997765 1074697826 /nfs/dbraw/zinc/69/78/26/1074697826.db2.gz MPYFLPWPKMRMPJ-DVOMOZLQSA-N 0 0 438.572 -0.378 20 0 IBADRN CCOCc1nnc(N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001502997772 1074697783 /nfs/dbraw/zinc/69/77/83/1074697783.db2.gz MPYFLPWPKMRMPJ-IOASZLSFSA-N 0 0 438.572 -0.378 20 0 IBADRN CCOCc1nnc(N(C)[C@H](C)[C@H](C)S(C)(=O)=O)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001502997774 1074697767 /nfs/dbraw/zinc/69/77/67/1074697767.db2.gz MPYFLPWPKMRMPJ-WWGRRREGSA-N 0 0 438.572 -0.378 20 0 IBADRN CCOCc1nnc(N(C)[C@H](C)[C@@H](C)S(C)(=O)=O)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001502997776 1074697780 /nfs/dbraw/zinc/69/77/80/1074697780.db2.gz MPYFLPWPKMRMPJ-XJKCOSOUSA-N 0 0 438.572 -0.378 20 0 IBADRN CCOCc1nnc(N(C)C2CCN(S(C)(=O)=O)CC2)n1CCS(=O)(=O)NC ZINC001503007169 1074697748 /nfs/dbraw/zinc/69/77/48/1074697748.db2.gz LXCVDEDOABDJTD-UHFFFAOYSA-N 0 0 438.576 -0.776 20 0 IBADRN CCOCc1nnc(N(C)CC(=O)N2CCOCC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001503007982 1074697793 /nfs/dbraw/zinc/69/77/93/1074697793.db2.gz GMJQLJQEIBTALO-UHFFFAOYSA-N 0 0 445.542 -0.951 20 0 IBADRN CCOCc1nnc(N(C)[C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001503010411 1074697836 /nfs/dbraw/zinc/69/78/36/1074697836.db2.gz XQWLEVDBDYWRFD-KGLIPLIRSA-N 0 0 435.572 -0.138 20 0 IBADRN CCOCc1nnc(N(C)[C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001503010412 1074697759 /nfs/dbraw/zinc/69/77/59/1074697759.db2.gz XQWLEVDBDYWRFD-ZIAGYGMSSA-N 0 0 435.572 -0.138 20 0 IBADRN CCOCc1nnc(N(C)CCNC(=O)C(C)C)n1CC1(O)CCS(=O)(=O)CC1 ZINC001503021237 1074697829 /nfs/dbraw/zinc/69/78/29/1074697829.db2.gz YFOBNFVPGGKEEL-UHFFFAOYSA-N 0 0 431.559 -0.037 20 0 IBADRN CCOCc1nnc(N(CC)[C@@H]2CCS(=O)(=O)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001503023603 1074697806 /nfs/dbraw/zinc/69/78/06/1074697806.db2.gz JHJQABXBZPHYEN-OLZOCXBDSA-N 0 0 428.515 -0.184 20 0 IBADRN CCOCc1nnc(N(CC)[C@H]2CCS(=O)(=O)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001503023615 1074697789 /nfs/dbraw/zinc/69/77/89/1074697789.db2.gz JHJQABXBZPHYEN-STQMWFEESA-N 0 0 428.515 -0.184 20 0 IBADRN CCOCc1nnc(N(CC)CCS(C)(=O)=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001503023967 1074697816 /nfs/dbraw/zinc/69/78/16/1074697816.db2.gz IYWGBEUKMOZDCR-UHFFFAOYSA-N 0 0 438.572 -0.375 20 0 IBADRN CCOCc1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001503027035 1074697813 /nfs/dbraw/zinc/69/78/13/1074697813.db2.gz RXELTYZAFMTJOS-CYBMUJFWSA-N 0 0 441.514 -0.411 20 0 IBADRN CCOCc1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCNS(=O)(=O)Cc1ccon1 ZINC001503027036 1074697808 /nfs/dbraw/zinc/69/78/08/1074697808.db2.gz RXELTYZAFMTJOS-ZDUSSCGKSA-N 0 0 441.514 -0.411 20 0 IBADRN CCOCc1nnc(N(C)C[C@H]2CCN(C(C)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503034485 1074697822 /nfs/dbraw/zinc/69/78/22/1074697822.db2.gz KILZSFKSBLHRPX-CRAIPNDOSA-N 0 0 429.543 -0.331 20 0 IBADRN CCOCc1nnc(N(C)C[C@@H]2CCN(C(C)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503034486 1074698362 /nfs/dbraw/zinc/69/83/62/1074698362.db2.gz KILZSFKSBLHRPX-MAUKXSAKSA-N 0 0 429.543 -0.331 20 0 IBADRN CCOCc1nnc(N(C)C[C@H]2CCN(C(C)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503034487 1074698341 /nfs/dbraw/zinc/69/83/41/1074698341.db2.gz KILZSFKSBLHRPX-QAPCUYQASA-N 0 0 429.543 -0.331 20 0 IBADRN CCOCc1nnc(N(C)C[C@@H]2CCN(C(C)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503034488 1074698350 /nfs/dbraw/zinc/69/83/50/1074698350.db2.gz KILZSFKSBLHRPX-YJBOKZPZSA-N 0 0 429.543 -0.331 20 0 IBADRN CCOCc1nnc(N2CCC(C(=O)NCCOC)CC2)n1CC(=O)N1CCOCC1 ZINC001503044645 1074698344 /nfs/dbraw/zinc/69/83/44/1074698344.db2.gz XQRUMGHYMBWCIL-UHFFFAOYSA-N 0 0 438.529 -0.348 20 0 IBADRN CCOCc1nnc(N2CCC(S(C)(=O)=O)CC2)n1CCNC(=O)c1ccn[nH]1 ZINC001503064324 1074698401 /nfs/dbraw/zinc/69/84/01/1074698401.db2.gz ANJCMINNHSNHMN-UHFFFAOYSA-N 0 0 425.515 -0.019 20 0 IBADRN CCOCc1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CC(=O)N1CCOCC1 ZINC001503065078 1074698321 /nfs/dbraw/zinc/69/83/21/1074698321.db2.gz HIOYOGZBAMKWCM-UHFFFAOYSA-N 0 0 430.531 -0.809 20 0 IBADRN CCOCc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CCCS(C)(=O)=O ZINC001503066870 1074698353 /nfs/dbraw/zinc/69/83/53/1074698353.db2.gz OMFUIOUGHBBLFL-UHFFFAOYSA-N 0 0 428.515 -0.230 20 0 IBADRN CCOCc1nnc(N2CCC3(CC2)NC(=O)N(C)C3=O)n1CC(=O)NCC(F)F ZINC001503068986 1074698389 /nfs/dbraw/zinc/69/83/89/1074698389.db2.gz YSFBVJPBMNYWIG-UHFFFAOYSA-N 0 0 429.428 -0.284 20 0 IBADRN CCOCc1nnc(N2CCc3nn(C)c(=O)cc3C2)n1CC1(C(N)=O)CCOCC1 ZINC001503073741 1074698397 /nfs/dbraw/zinc/69/83/97/1074698397.db2.gz WCCNILOOCIMKIK-UHFFFAOYSA-N 0 0 431.497 -0.247 20 0 IBADRN CCOCc1nnc(N2CCC[C@H](CNC(C)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503079681 1074698417 /nfs/dbraw/zinc/69/84/17/1074698417.db2.gz WGOZVOSENPAFHX-CRAIPNDOSA-N 0 0 429.543 -0.283 20 0 IBADRN CCOCc1nnc(N2CCC[C@@H](CNC(C)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503079682 1074698386 /nfs/dbraw/zinc/69/83/86/1074698386.db2.gz WGOZVOSENPAFHX-MAUKXSAKSA-N 0 0 429.543 -0.283 20 0 IBADRN CCOCc1nnc(N2CCC[C@H](CNC(C)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503079683 1074698415 /nfs/dbraw/zinc/69/84/15/1074698415.db2.gz WGOZVOSENPAFHX-QAPCUYQASA-N 0 0 429.543 -0.283 20 0 IBADRN CCOCc1nnc(N2CCC[C@@H](CNC(C)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503079684 1074698379 /nfs/dbraw/zinc/69/83/79/1074698379.db2.gz WGOZVOSENPAFHX-YJBOKZPZSA-N 0 0 429.543 -0.283 20 0 IBADRN CCOCc1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503085817 1074698952 /nfs/dbraw/zinc/69/89/52/1074698952.db2.gz AGVVUVADQILVNC-BBRMVZONSA-N 0 0 436.556 -0.622 20 0 IBADRN CCOCc1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503085818 1074698311 /nfs/dbraw/zinc/69/83/11/1074698311.db2.gz AGVVUVADQILVNC-CJNGLKHVSA-N 0 0 436.556 -0.622 20 0 IBADRN CCOCc1nnc(N2CCC[C@@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503085819 1074698903 /nfs/dbraw/zinc/69/89/03/1074698903.db2.gz AGVVUVADQILVNC-CZUORRHYSA-N 0 0 436.556 -0.622 20 0 IBADRN CCOCc1nnc(N2CCC[C@H](S(C)(=O)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503085820 1074698851 /nfs/dbraw/zinc/69/88/51/1074698851.db2.gz AGVVUVADQILVNC-XJKSGUPXSA-N 0 0 436.556 -0.622 20 0 IBADRN CCOCc1nnc(N2CCCN(S(C)(=O)=O)CC2)n1CCCNS(C)(=O)=O ZINC001503095892 1074698940 /nfs/dbraw/zinc/69/89/40/1074698940.db2.gz KDTYREMNETZEQJ-UHFFFAOYSA-N 0 0 438.576 -0.774 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1CCNC(=O)C1CC1 ZINC001503097599 1074698907 /nfs/dbraw/zinc/69/89/07/1074698907.db2.gz AQUDGTAIUXZTOV-UHFFFAOYSA-N 0 0 447.540 -0.388 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1Cc1nnc(C)s1 ZINC001503097703 1074698966 /nfs/dbraw/zinc/69/89/66/1074698966.db2.gz COCRAVMHCCGGNH-UHFFFAOYSA-N 0 0 434.526 -0.050 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)c3ccccn3)CC2)n1CCS(=O)(=O)NC ZINC001503097916 1074698979 /nfs/dbraw/zinc/69/89/79/1074698979.db2.gz JGSYOWXDXLYTFI-UHFFFAOYSA-N 0 0 437.526 -0.279 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC(=O)NCC(F)F ZINC001503097993 1074698927 /nfs/dbraw/zinc/69/89/27/1074698927.db2.gz GMJAWEAFJITEML-UHFFFAOYSA-N 0 0 443.455 -0.877 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCCC(=O)N(C)C ZINC001503098106 1074698970 /nfs/dbraw/zinc/69/89/70/1074698970.db2.gz IIRBXEJKFJBAGR-UHFFFAOYSA-N 0 0 435.529 -0.390 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1Cc1cnn(C)c1 ZINC001503098312 1074698975 /nfs/dbraw/zinc/69/89/75/1074698975.db2.gz CLJZGKRWUXFCSL-UHFFFAOYSA-N 0 0 432.529 -0.423 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1Cc1cnn(C)c1C ZINC001503098425 1074698894 /nfs/dbraw/zinc/69/88/94/1074698894.db2.gz IUERFMWOCFKAJI-UHFFFAOYSA-N 0 0 430.513 -0.168 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)COC)CC2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001503098798 1074698957 /nfs/dbraw/zinc/69/89/57/1074698957.db2.gz GMGOCPHNXBETNY-HNNXBMFYSA-N 0 0 429.543 -0.066 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)COC)CC2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001503098799 1074698935 /nfs/dbraw/zinc/69/89/35/1074698935.db2.gz GMGOCPHNXBETNY-OAHLLOKOSA-N 0 0 429.543 -0.066 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@H]1COCCO1 ZINC001503099221 1074698913 /nfs/dbraw/zinc/69/89/13/1074698913.db2.gz OOBVIHBLYQVOBT-INIZCTEOSA-N 0 0 436.513 -0.499 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1C[C@@H]1COCCO1 ZINC001503099234 1074698983 /nfs/dbraw/zinc/69/89/83/1074698983.db2.gz OOBVIHBLYQVOBT-MRXNPFEDSA-N 0 0 436.513 -0.499 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@@](C)(O)CCOC ZINC001503099645 1074698961 /nfs/dbraw/zinc/69/89/61/1074698961.db2.gz RMGDSGMMQYHJDV-FQEVSTJZSA-N 0 0 438.529 -0.471 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1C[C@](C)(O)CCOC ZINC001503099649 1074698857 /nfs/dbraw/zinc/69/88/57/1074698857.db2.gz RMGDSGMMQYHJDV-HXUWFJFHSA-N 0 0 438.529 -0.471 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCc1n[nH]c(C)n1 ZINC001503099687 1074699588 /nfs/dbraw/zinc/69/95/88/1074699588.db2.gz SBICRXUWIKSPDR-UHFFFAOYSA-N 0 0 431.501 -0.589 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)n1Cc1nncn1C ZINC001503099968 1074699513 /nfs/dbraw/zinc/69/95/13/1074699513.db2.gz WFORPNGIKANWMV-UHFFFAOYSA-N 0 0 431.501 -0.738 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)C(=O)NC(C)C)CC2)n1CCNC(=O)C1CC1 ZINC001503099983 1074699427 /nfs/dbraw/zinc/69/94/27/1074699427.db2.gz WWJVLKMFVXUUFW-UHFFFAOYSA-N 0 0 435.529 -0.486 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@]1(C)CN(C)C1=O ZINC001503100067 1074699608 /nfs/dbraw/zinc/69/96/08/1074699608.db2.gz UKBZPPKWEBSHQP-NRFANRHFSA-N 0 0 449.556 -0.726 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1C[C@@]1(C)CN(C)C1=O ZINC001503100068 1074699553 /nfs/dbraw/zinc/69/95/53/1074699553.db2.gz UKBZPPKWEBSHQP-OAQYLSRUSA-N 0 0 449.556 -0.726 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)CN3CCOCC3)CC2)n1CCc1ccn(C)n1 ZINC001503100129 1074699610 /nfs/dbraw/zinc/69/96/10/1074699610.db2.gz VSMPQYBDVBZJDF-UHFFFAOYSA-N 0 0 446.556 -0.228 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)N3CCOCC3)CC2)n1CCS(C)(=O)=O ZINC001503100376 1074699562 /nfs/dbraw/zinc/69/95/62/1074699562.db2.gz CGRRAQZAXOSZJW-UHFFFAOYSA-N 0 0 430.531 -0.567 20 0 IBADRN CCOCc1nnc(N2CCN(C(=O)N(CC)CC)CC2)n1CCS(=O)(=O)NC ZINC001503100677 1074699533 /nfs/dbraw/zinc/69/95/33/1074699533.db2.gz JIJQEWMKSUUWHZ-UHFFFAOYSA-N 0 0 431.563 -0.052 20 0 IBADRN CCOCc1nnc(N2CCN(C)C(=O)[C@H]2C)n1CCNS(=O)(=O)Cc1ccon1 ZINC001503102943 1074699582 /nfs/dbraw/zinc/69/95/82/1074699582.db2.gz LLQMYGZHTSPGMW-CYBMUJFWSA-N 0 0 441.514 -0.411 20 0 IBADRN CCOCc1nnc(N2CCN(C)C(=O)[C@@H]2C)n1CCNS(=O)(=O)Cc1ccon1 ZINC001503102944 1074699600 /nfs/dbraw/zinc/69/96/00/1074699600.db2.gz LLQMYGZHTSPGMW-ZDUSSCGKSA-N 0 0 441.514 -0.411 20 0 IBADRN CCOCc1nnc(N2CCN(c3ccc(C(N)=O)cn3)CC2)n1CCS(C)(=O)=O ZINC001503103821 1074699616 /nfs/dbraw/zinc/69/96/16/1074699616.db2.gz JHPBBBWDDPODLN-UHFFFAOYSA-N 0 0 437.526 -0.320 20 0 IBADRN CCOCc1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CC(=O)N1CCOCC1 ZINC001503105429 1074699568 /nfs/dbraw/zinc/69/95/68/1074699568.db2.gz JKVVTWJPZDPSGP-UHFFFAOYSA-N 0 0 432.485 -0.740 20 0 IBADRN CCOCc1nnc(N2CCN(c3cnn(C)c3)C(=O)C2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001503106301 1074699451 /nfs/dbraw/zinc/69/94/51/1074699451.db2.gz SISRIHRCGJYWMF-AWEZNQCLSA-N 0 0 445.484 -0.611 20 0 IBADRN CCOCc1nnc(N2CCN(C(C)=O)CC2)n1CCS(=O)(=O)N1CCSCC1 ZINC001503107945 1074699410 /nfs/dbraw/zinc/69/94/10/1074699410.db2.gz RNJDIWAUASVOSS-UHFFFAOYSA-N 0 0 446.599 -0.138 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC(=O)NCC(F)(F)F ZINC001503109929 1074699545 /nfs/dbraw/zinc/69/95/45/1074699545.db2.gz QQNMGWQDBBOFTI-UHFFFAOYSA-N 0 0 435.451 -0.297 20 0 IBADRN CCOCc1nnc(N2CCN(c3ncccc3C(N)=O)CC2)n1C[C@H]1CCC(=O)N1 ZINC001503110248 1074699519 /nfs/dbraw/zinc/69/95/19/1074699519.db2.gz ZCQIJDGIESLIOB-CQSZACIVSA-N 0 0 428.497 -0.086 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CCC(=O)N1CCOCC1 ZINC001503111767 1074699927 /nfs/dbraw/zinc/69/99/27/1074699927.db2.gz NSVVZOWIPJJFQR-UHFFFAOYSA-N 0 0 449.556 -0.536 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N3CCCC3)CC2)n1CCS(C)(=O)=O ZINC001503112000 1074699828 /nfs/dbraw/zinc/69/98/28/1074699828.db2.gz MZMYXHWCQQBNLY-UHFFFAOYSA-N 0 0 428.559 -0.396 20 0 IBADRN CCOCc1nnc(N2CCN(CC(=O)N(C)C)CC2)n1CC1(S(C)(=O)=O)CCC1 ZINC001503112715 1074699887 /nfs/dbraw/zinc/69/98/87/1074699887.db2.gz RNMVWIQMIGZWGV-UHFFFAOYSA-N 0 0 442.586 -0.008 20 0 IBADRN CCOCc1nnc(N2CCN(Cc3cc(C)on3)CC2)n1CCS(=O)(=O)NC ZINC001503114118 1074699942 /nfs/dbraw/zinc/69/99/42/1074699942.db2.gz UQUWAUVINHOITN-UHFFFAOYSA-N 0 0 427.531 -0.018 20 0 IBADRN CCOCc1nnc(N2CCN(CCOC)C(=O)C2)n1CCN1CCCS1(=O)=O ZINC001503115071 1074699898 /nfs/dbraw/zinc/69/98/98/1074699898.db2.gz GBXUISHHHPQVAS-UHFFFAOYSA-N 0 0 430.531 -0.855 20 0 IBADRN CCOCc1nnc(N2CCN(CCOC)C(=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001503115572 1074699974 /nfs/dbraw/zinc/69/99/74/1074699974.db2.gz UQLCJYFKJAOFJZ-OAHLLOKOSA-N 0 0 444.558 -0.466 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)OC[C@]3(C)C2)n1CCOCCS(C)(=O)=O ZINC001503115926 1074699773 /nfs/dbraw/zinc/69/97/73/1074699773.db2.gz DXZRHYWBMPAOHP-KRWDZBQOSA-N 0 0 431.515 -0.093 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)OC[C@@]3(C)C2)n1CCOCCS(C)(=O)=O ZINC001503115929 1074699835 /nfs/dbraw/zinc/69/98/35/1074699835.db2.gz DXZRHYWBMPAOHP-QGZVFWFLSA-N 0 0 431.515 -0.093 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CCCN(C)S(=O)(=O)CC ZINC001503116622 1074699985 /nfs/dbraw/zinc/69/99/85/1074699985.db2.gz HXFZGBGWVIIGFA-AWEZNQCLSA-N 0 0 429.547 -0.300 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CCCN(C)S(=O)(=O)CC ZINC001503116637 1074699951 /nfs/dbraw/zinc/69/99/51/1074699951.db2.gz HXFZGBGWVIIGFA-CQSZACIVSA-N 0 0 429.547 -0.300 20 0 IBADRN CCOCc1nnc(N2CCn3c(nn(CC(=O)N(C)C)c3=O)C2)n1CC(F)(F)F ZINC001503116642 1074699857 /nfs/dbraw/zinc/69/98/57/1074699857.db2.gz IGSUJDKVAATZHR-UHFFFAOYSA-N 0 0 432.407 -0.157 20 0 IBADRN CCOCc1nnc(N2CCN(S(=O)(=O)Cc3ccon3)CC2)n1CC1(O)CC1 ZINC001503116748 1074699914 /nfs/dbraw/zinc/69/99/14/1074699914.db2.gz JKEUWMZKAWDINY-UHFFFAOYSA-N 0 0 426.499 -0.021 20 0 IBADRN CCOCc1nnc(N2CCN(S(C)(=O)=O)[C@@H](C)C2)n1CCS(=O)(=O)N(C)C ZINC001503119035 1074699812 /nfs/dbraw/zinc/69/98/12/1074699812.db2.gz ZCKDOCQWZHIYRV-ZDUSSCGKSA-N 0 0 438.576 -0.824 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)n1CC(=O)N1CCOCC1 ZINC001503119340 1074699763 /nfs/dbraw/zinc/69/97/63/1074699763.db2.gz VZKRDMPVNLBQFM-AWEZNQCLSA-N 0 0 435.485 -0.854 20 0 IBADRN CCOCc1nnc(N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001503119343 1074700300 /nfs/dbraw/zinc/70/03/00/1074700300.db2.gz VZKRDMPVNLBQFM-CQSZACIVSA-N 0 0 435.485 -0.854 20 0 IBADRN CCOCc1nnc(N2C[C@@H](CC(=O)OC)[C@H](O)C2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001503132190 1074700280 /nfs/dbraw/zinc/70/02/80/1074700280.db2.gz KYTYJXXMEHZVNK-RBSFLKMASA-N 0 0 430.527 0.000 20 0 IBADRN CCOCc1nnc(N2C[C@@H](CC(=O)OC)[C@H](O)C2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001503132191 1074700339 /nfs/dbraw/zinc/70/03/39/1074700339.db2.gz KYTYJXXMEHZVNK-RRFJBIMHSA-N 0 0 430.527 0.000 20 0 IBADRN CCOCc1nnc(N2C[C@@H](CC(=O)OC)[C@H](O)C2)n1CC1(CS(C)(=O)=O)CC1 ZINC001503132649 1074700204 /nfs/dbraw/zinc/70/02/04/1074700204.db2.gz SISNZYHGTZIZLV-ZIAGYGMSSA-N 0 0 430.527 0.000 20 0 IBADRN CCOC[C@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)C1 ZINC001503149604 1074700310 /nfs/dbraw/zinc/70/03/10/1074700310.db2.gz VLLJWBCOIINYFV-CABCVRRESA-N 0 0 444.558 -0.390 20 0 IBADRN CCOC[C@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)C1 ZINC001503149606 1074700222 /nfs/dbraw/zinc/70/02/22/1074700222.db2.gz VLLJWBCOIINYFV-GJZGRUSLSA-N 0 0 444.558 -0.390 20 0 IBADRN CCOC[C@@H]1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)C1 ZINC001503149607 1074700171 /nfs/dbraw/zinc/70/01/71/1074700171.db2.gz VLLJWBCOIINYFV-HUUCEWRRSA-N 0 0 444.558 -0.390 20 0 IBADRN CCOC[C@@H]1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)N2CCOCC2)C1 ZINC001503149609 1074700229 /nfs/dbraw/zinc/70/02/29/1074700229.db2.gz VLLJWBCOIINYFV-LSDHHAIUSA-N 0 0 444.558 -0.390 20 0 IBADRN CCOC[C@@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001503157401 1074700238 /nfs/dbraw/zinc/70/02/38/1074700238.db2.gz OSBYRKYLUNGQAG-CABCVRRESA-N 0 0 429.543 -0.001 20 0 IBADRN CCOC[C@H]1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001503157402 1074700194 /nfs/dbraw/zinc/70/01/94/1074700194.db2.gz OSBYRKYLUNGQAG-GJZGRUSLSA-N 0 0 429.543 -0.001 20 0 IBADRN CCOC[C@@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001503157403 1074700162 /nfs/dbraw/zinc/70/01/62/1074700162.db2.gz OSBYRKYLUNGQAG-HUUCEWRRSA-N 0 0 429.543 -0.001 20 0 IBADRN CCOC[C@H]1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)C1 ZINC001503157404 1074700213 /nfs/dbraw/zinc/70/02/13/1074700213.db2.gz OSBYRKYLUNGQAG-LSDHHAIUSA-N 0 0 429.543 -0.001 20 0 IBADRN CCOCCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001503173276 1074700272 /nfs/dbraw/zinc/70/02/72/1074700272.db2.gz HWYRLNZOFWGMSE-INIZCTEOSA-N 0 0 447.540 -0.027 20 0 IBADRN CCOCCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001503173279 1074700253 /nfs/dbraw/zinc/70/02/53/1074700253.db2.gz HWYRLNZOFWGMSE-MRXNPFEDSA-N 0 0 447.540 -0.027 20 0 IBADRN CCOCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001503180933 1074700320 /nfs/dbraw/zinc/70/03/20/1074700320.db2.gz HSPKHVDEJBGMSA-HNNXBMFYSA-N 0 0 429.543 -0.098 20 0 IBADRN CCOCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001503180934 1074700290 /nfs/dbraw/zinc/70/02/90/1074700290.db2.gz HSPKHVDEJBGMSA-OAHLLOKOSA-N 0 0 429.543 -0.098 20 0 IBADRN CCOCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001503181498 1074700184 /nfs/dbraw/zinc/70/01/84/1074700184.db2.gz KTCDHNDCLMGVEX-AWEZNQCLSA-N 0 0 435.572 -0.394 20 0 IBADRN CCOCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1 ZINC001503181500 1074700244 /nfs/dbraw/zinc/70/02/44/1074700244.db2.gz KTCDHNDCLMGVEX-CQSZACIVSA-N 0 0 435.572 -0.394 20 0 IBADRN CCOCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@](C)(C(N)=O)C1 ZINC001503181876 1074700263 /nfs/dbraw/zinc/70/02/63/1074700263.db2.gz QRGYDFDTUOBCQN-KBXCAEBGSA-N 0 0 444.558 -0.261 20 0 IBADRN CCOCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@](C)(C(N)=O)C1 ZINC001503181877 1074700654 /nfs/dbraw/zinc/70/06/54/1074700654.db2.gz QRGYDFDTUOBCQN-KDOFPFPSSA-N 0 0 444.558 -0.261 20 0 IBADRN CCOCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@](C)(C(N)=O)C1 ZINC001503181878 1074700576 /nfs/dbraw/zinc/70/05/76/1074700576.db2.gz QRGYDFDTUOBCQN-KSSFIOAISA-N 0 0 444.558 -0.261 20 0 IBADRN CCOCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@](C)(C(N)=O)C1 ZINC001503181879 1074700528 /nfs/dbraw/zinc/70/05/28/1074700528.db2.gz QRGYDFDTUOBCQN-RDTXWAMCSA-N 0 0 444.558 -0.261 20 0 IBADRN CCOCCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001503182244 1074700545 /nfs/dbraw/zinc/70/05/45/1074700545.db2.gz ZRJFZPRVHWCRAB-AWEZNQCLSA-N 0 0 430.531 -0.636 20 0 IBADRN CCOCCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCNC(=O)CC1 ZINC001503182245 1074700614 /nfs/dbraw/zinc/70/06/14/1074700614.db2.gz ZRJFZPRVHWCRAB-CQSZACIVSA-N 0 0 430.531 -0.636 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1([S@@](C)=O)CC1 ZINC001503191230 1074700664 /nfs/dbraw/zinc/70/06/64/1074700664.db2.gz CMNYIAHFDNCECB-GMYLUUGSSA-N 0 0 449.599 -0.005 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1([S@@](C)=O)CC1 ZINC001503191231 1074700682 /nfs/dbraw/zinc/70/06/82/1074700682.db2.gz CMNYIAHFDNCECB-HJFSHJIFSA-N 0 0 449.599 -0.005 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1([S@](C)=O)CC1 ZINC001503191232 1074700690 /nfs/dbraw/zinc/70/06/90/1074700690.db2.gz CMNYIAHFDNCECB-POXGOYDTSA-N 0 0 449.599 -0.005 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1([S@](C)=O)CC1 ZINC001503191233 1074700606 /nfs/dbraw/zinc/70/06/06/1074700606.db2.gz CMNYIAHFDNCECB-SUMNFNSASA-N 0 0 449.599 -0.005 20 0 IBADRN CCOCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NC(C)C ZINC001503191347 1074700674 /nfs/dbraw/zinc/70/06/74/1074700674.db2.gz DKVFJTJIHPBPPA-AWEZNQCLSA-N 0 0 432.547 -0.392 20 0 IBADRN CCOCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)NC(C)C ZINC001503191348 1074700534 /nfs/dbraw/zinc/70/05/34/1074700534.db2.gz DKVFJTJIHPBPPA-CQSZACIVSA-N 0 0 432.547 -0.392 20 0 IBADRN CCOCCn1c([C@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001503212311 1074700552 /nfs/dbraw/zinc/70/05/52/1074700552.db2.gz RKGLZTBXHLWGDU-INIZCTEOSA-N 0 0 436.513 -0.674 20 0 IBADRN CCOCCn1c([C@@H]2CCOC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001503212319 1074700700 /nfs/dbraw/zinc/70/07/00/1074700700.db2.gz RKGLZTBXHLWGDU-MRXNPFEDSA-N 0 0 436.513 -0.674 20 0 IBADRN CCOCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(NC(C)=O)CC1 ZINC001503212367 1074700622 /nfs/dbraw/zinc/70/06/22/1074700622.db2.gz RUQDMCRUUYYCQD-INIZCTEOSA-N 0 0 444.558 -0.248 20 0 IBADRN CCOCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCC(NC(C)=O)CC1 ZINC001503212368 1074700630 /nfs/dbraw/zinc/70/06/30/1074700630.db2.gz RUQDMCRUUYYCQD-MRXNPFEDSA-N 0 0 444.558 -0.248 20 0 IBADRN CCOCCn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001503213485 1074700597 /nfs/dbraw/zinc/70/05/97/1074700597.db2.gz XREWWQMCKUHOEO-UHFFFAOYSA-N 0 0 435.529 -0.390 20 0 IBADRN CCOCCN1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1 ZINC001503217645 1074701009 /nfs/dbraw/zinc/70/10/09/1074701009.db2.gz RXRROFQESDSNFL-HZPDHXFCSA-N 0 0 446.574 -0.538 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H]2COCCO2)CC1 ZINC001503217646 1074701029 /nfs/dbraw/zinc/70/10/29/1074701029.db2.gz RXRROFQESDSNFL-JKSUJKDBSA-N 0 0 446.574 -0.538 20 0 IBADRN CCOCCN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001503219131 1074701044 /nfs/dbraw/zinc/70/10/44/1074701044.db2.gz BYBSWMGLDKOFRX-HNNXBMFYSA-N 0 0 445.528 -0.459 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001503219136 1074700937 /nfs/dbraw/zinc/70/09/37/1074700937.db2.gz BYBSWMGLDKOFRX-OAHLLOKOSA-N 0 0 445.528 -0.459 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)CC1 ZINC001503222751 1074700999 /nfs/dbraw/zinc/70/09/99/1074700999.db2.gz JDCUUIOCUYNPDM-KRWDZBQOSA-N 0 0 442.586 -0.135 20 0 IBADRN CCOCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)CC1 ZINC001503222752 1074700990 /nfs/dbraw/zinc/70/09/90/1074700990.db2.gz JDCUUIOCUYNPDM-QGZVFWFLSA-N 0 0 442.586 -0.135 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)CC1 ZINC001503223379 1074700952 /nfs/dbraw/zinc/70/09/52/1074700952.db2.gz LXZQCQZKKMZURS-HNNXBMFYSA-N 0 0 428.559 -0.525 20 0 IBADRN CCOCCN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)NC)CC1 ZINC001503223381 1074700842 /nfs/dbraw/zinc/70/08/42/1074700842.db2.gz LXZQCQZKKMZURS-OAHLLOKOSA-N 0 0 428.559 -0.525 20 0 IBADRN CCOCCN1CCN(c2nnc(COCC)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001503224815 1074700898 /nfs/dbraw/zinc/70/08/98/1074700898.db2.gz DLAWXTUNMTUJCZ-UHFFFAOYSA-N 0 0 445.586 -0.087 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H]3CCCO3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503226322 1074700881 /nfs/dbraw/zinc/70/08/81/1074700881.db2.gz VRRQDUNGTUUHJH-APWZRJJASA-N 0 0 443.570 -0.162 20 0 IBADRN CCOCCN1CCN(c2nnc([C@H]3CCCO3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503226325 1074700981 /nfs/dbraw/zinc/70/09/81/1074700981.db2.gz VRRQDUNGTUUHJH-VQIMIIECSA-N 0 0 443.570 -0.162 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CC2)CC1 ZINC001503230379 1074700862 /nfs/dbraw/zinc/70/08/62/1074700862.db2.gz DEWNYOLEKRJBBB-GFCCVEGCSA-N 0 0 435.572 -0.183 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CC2)CC1 ZINC001503230380 1074700972 /nfs/dbraw/zinc/70/09/72/1074700972.db2.gz DEWNYOLEKRJBBB-LBPRGKRZSA-N 0 0 435.572 -0.183 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCOC2)CC1 ZINC001503231776 1074700872 /nfs/dbraw/zinc/70/08/72/1074700872.db2.gz VAIQYKGJBINUIM-GOSISDBHSA-N 0 0 429.543 -0.029 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCOC2)CC1 ZINC001503231778 1074700890 /nfs/dbraw/zinc/70/08/90/1074700890.db2.gz VAIQYKGJBINUIM-SFHVURJKSA-N 0 0 429.543 -0.029 20 0 IBADRN CCS(=O)(=O)C1CCN(c2nnc(CN3CCCC3=O)n2Cc2ncn(C)n2)CC1 ZINC001503235474 1074700920 /nfs/dbraw/zinc/70/09/20/1074700920.db2.gz FTXWJGCZHWZXOP-UHFFFAOYSA-N 0 0 436.542 -0.019 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C ZINC001503242963 1074700961 /nfs/dbraw/zinc/70/09/61/1074700961.db2.gz HUWGMTRGCWKQNS-CHWSQXEVSA-N 0 0 435.572 -0.472 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C ZINC001503242967 1074701060 /nfs/dbraw/zinc/70/10/60/1074701060.db2.gz HUWGMTRGCWKQNS-OLZOCXBDSA-N 0 0 435.572 -0.472 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C ZINC001503242969 1074700852 /nfs/dbraw/zinc/70/08/52/1074700852.db2.gz HUWGMTRGCWKQNS-QWHCGFSZSA-N 0 0 435.572 -0.472 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C ZINC001503242971 1074701065 /nfs/dbraw/zinc/70/10/65/1074701065.db2.gz HUWGMTRGCWKQNS-STQMWFEESA-N 0 0 435.572 -0.472 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1CC1(O)CCOCC1 ZINC001503243194 1074701176 /nfs/dbraw/zinc/70/11/76/1074701176.db2.gz DZFJNPKEEZNWDQ-UHFFFAOYSA-N 0 0 429.543 -0.074 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001503244853 1074701299 /nfs/dbraw/zinc/70/12/99/1074701299.db2.gz ODZYIBCPQXCILS-KBPBESRZSA-N 0 0 437.588 -0.067 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001503244854 1074701188 /nfs/dbraw/zinc/70/11/88/1074701188.db2.gz ODZYIBCPQXCILS-KGLIPLIRSA-N 0 0 437.588 -0.067 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001503244855 1074701365 /nfs/dbraw/zinc/70/13/65/1074701365.db2.gz ODZYIBCPQXCILS-UONOGXRCSA-N 0 0 437.588 -0.067 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@@H]2CCOC2)n1CCS(=O)(=O)N(C)C ZINC001503244856 1074701219 /nfs/dbraw/zinc/70/12/19/1074701219.db2.gz ODZYIBCPQXCILS-ZIAGYGMSSA-N 0 0 437.588 -0.067 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1CCNC(=O)C1CC1 ZINC001503244994 1074701291 /nfs/dbraw/zinc/70/12/91/1074701291.db2.gz QRFINFHGXWQFRV-UHFFFAOYSA-N 0 0 426.543 -0.089 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@H]2CCCOC2)n1CCNS(C)(=O)=O ZINC001503246488 1074701227 /nfs/dbraw/zinc/70/12/27/1074701227.db2.gz UACUIZAUDGDLBK-KBPBESRZSA-N 0 0 437.588 -0.019 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@H]2CCCOC2)n1CCNS(C)(=O)=O ZINC001503246490 1074701327 /nfs/dbraw/zinc/70/13/27/1074701327.db2.gz UACUIZAUDGDLBK-KGLIPLIRSA-N 0 0 437.588 -0.019 20 0 IBADRN CCS(=O)(=O)C[C@H](C)N(C)c1nnc([C@@H]2CCCOC2)n1CCNS(C)(=O)=O ZINC001503246491 1074701259 /nfs/dbraw/zinc/70/12/59/1074701259.db2.gz UACUIZAUDGDLBK-UONOGXRCSA-N 0 0 437.588 -0.019 20 0 IBADRN CCS(=O)(=O)C[C@@H](C)N(C)c1nnc([C@@H]2CCCOC2)n1CCNS(C)(=O)=O ZINC001503246492 1074701199 /nfs/dbraw/zinc/70/11/99/1074701199.db2.gz UACUIZAUDGDLBK-ZIAGYGMSSA-N 0 0 437.588 -0.019 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCC(=O)N2)n1CC1CCS(=O)(=O)CC1 ZINC001503250447 1074701280 /nfs/dbraw/zinc/70/12/80/1074701280.db2.gz UCHUGIBEGMABTQ-AWEZNQCLSA-N 0 0 447.583 -0.075 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCC(=O)N2)n1CC1CCS(=O)(=O)CC1 ZINC001503250448 1074701270 /nfs/dbraw/zinc/70/12/70/1074701270.db2.gz UCHUGIBEGMABTQ-CQSZACIVSA-N 0 0 447.583 -0.075 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(CN2CCCC2=O)n1CCN1CCOC[C@@H]1C ZINC001503250750 1074701348 /nfs/dbraw/zinc/70/13/48/1074701348.db2.gz GFIQPSBSIIKZIX-INIZCTEOSA-N 0 0 442.586 -0.008 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc(CN2CCCC2=O)n1CCN1CCOC[C@H]1C ZINC001503250752 1074701247 /nfs/dbraw/zinc/70/12/47/1074701247.db2.gz GFIQPSBSIIKZIX-MRXNPFEDSA-N 0 0 442.586 -0.008 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001503250928 1074701238 /nfs/dbraw/zinc/70/12/38/1074701238.db2.gz WLMQXCAEIOSOKY-KBPBESRZSA-N 0 0 447.583 -0.075 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001503250931 1074701357 /nfs/dbraw/zinc/70/13/57/1074701357.db2.gz WLMQXCAEIOSOKY-KGLIPLIRSA-N 0 0 447.583 -0.075 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001503250932 1074701209 /nfs/dbraw/zinc/70/12/09/1074701209.db2.gz WLMQXCAEIOSOKY-UONOGXRCSA-N 0 0 447.583 -0.075 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001503250935 1074701317 /nfs/dbraw/zinc/70/13/17/1074701317.db2.gz WLMQXCAEIOSOKY-ZIAGYGMSSA-N 0 0 447.583 -0.075 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@H](C(=O)NC)C1 ZINC001503251899 1074701338 /nfs/dbraw/zinc/70/13/38/1074701338.db2.gz KXXKQKUOCMSPCA-AWEZNQCLSA-N 0 0 426.543 -0.089 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC[C@@H](C(=O)NC)C1 ZINC001503251902 1074701306 /nfs/dbraw/zinc/70/13/06/1074701306.db2.gz KXXKQKUOCMSPCA-CQSZACIVSA-N 0 0 426.543 -0.089 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC(S(C)(=O)=O)CC1 ZINC001503251918 1074701520 /nfs/dbraw/zinc/70/15/20/1074701520.db2.gz LMDWJJMMZGFYEB-UHFFFAOYSA-N 0 0 447.583 -0.038 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@@H]2CCOC2)n1CCNC(=O)c1ccn[nH]1 ZINC001503252636 1074701650 /nfs/dbraw/zinc/70/16/50/1074701650.db2.gz OEDBBHUROJAYIW-CYBMUJFWSA-N 0 0 425.515 -0.194 20 0 IBADRN CCS(=O)(=O)CCN(C)c1nnc([C@H]2CCOC2)n1CCNC(=O)c1ccn[nH]1 ZINC001503252638 1074701549 /nfs/dbraw/zinc/70/15/49/1074701549.db2.gz OEDBBHUROJAYIW-ZDUSSCGKSA-N 0 0 425.515 -0.194 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001503253175 1074701539 /nfs/dbraw/zinc/70/15/39/1074701539.db2.gz UVSGQDRAAQNJRK-UHFFFAOYSA-N 0 0 447.583 -0.038 20 0 IBADRN CCS(=O)(=O)CCn1c(C(=O)Nc2ccccn2)nnc1N1CCS(=O)CC1 ZINC001503254628 1074701496 /nfs/dbraw/zinc/70/14/96/1074701496.db2.gz QACYPWDCKHNFQI-UHFFFAOYSA-N 0 0 426.524 -0.071 20 0 IBADRN CCS(=O)(=O)CCn1c(-c2ccc(C)o2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503255657 1074701621 /nfs/dbraw/zinc/70/16/21/1074701621.db2.gz ZRAYDYYOUVCOIV-CYBMUJFWSA-N 0 0 436.494 -0.572 20 0 IBADRN CCS(=O)(=O)CCn1c(-c2ccc(C)o2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503255663 1074701658 /nfs/dbraw/zinc/70/16/58/1074701658.db2.gz ZRAYDYYOUVCOIV-ZDUSSCGKSA-N 0 0 436.494 -0.572 20 0 IBADRN CCS(=O)(=O)CCn1c(-c2cccnc2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001503256043 1074701529 /nfs/dbraw/zinc/70/15/29/1074701529.db2.gz NDBSALMWGZZMAL-UHFFFAOYSA-N 0 0 435.554 -0.015 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001503256206 1074701607 /nfs/dbraw/zinc/70/16/07/1074701607.db2.gz CBJJTSOHELMABT-QWHCGFSZSA-N 0 0 448.571 -0.866 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001503256208 1074701569 /nfs/dbraw/zinc/70/15/69/1074701569.db2.gz CBJJTSOHELMABT-STQMWFEESA-N 0 0 448.571 -0.866 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001503256276 1074701594 /nfs/dbraw/zinc/70/15/94/1074701594.db2.gz DGCXZJBBOYJOLN-CYBMUJFWSA-N 0 0 433.556 -0.323 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N(CCS(C)(=O)=O)C1CC1 ZINC001503256277 1074701560 /nfs/dbraw/zinc/70/15/60/1074701560.db2.gz DGCXZJBBOYJOLN-ZDUSSCGKSA-N 0 0 433.556 -0.323 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2cccnn2)CC1 ZINC001503257343 1074701580 /nfs/dbraw/zinc/70/15/80/1074701580.db2.gz QZAQYOXOUIGZQT-AWEZNQCLSA-N 0 0 434.526 -0.220 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2cccnn2)CC1 ZINC001503257345 1074701667 /nfs/dbraw/zinc/70/16/67/1074701667.db2.gz QZAQYOXOUIGZQT-CQSZACIVSA-N 0 0 434.526 -0.220 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001503257879 1074701613 /nfs/dbraw/zinc/70/16/13/1074701613.db2.gz CHUJTLLDLWLJEZ-CXAGYDPISA-N 0 0 445.567 -0.526 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001503257884 1074701641 /nfs/dbraw/zinc/70/16/41/1074701641.db2.gz CHUJTLLDLWLJEZ-DYVFJYSZSA-N 0 0 445.567 -0.526 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@]2(CCC(=O)N2)C1 ZINC001503257887 1074701633 /nfs/dbraw/zinc/70/16/33/1074701633.db2.gz CHUJTLLDLWLJEZ-GUYCJALGSA-N 0 0 445.567 -0.526 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@]2(CCC(=O)N2)C1 ZINC001503257891 1074701629 /nfs/dbraw/zinc/70/16/29/1074701629.db2.gz CHUJTLLDLWLJEZ-SUMWQHHRSA-N 0 0 445.567 -0.526 20 0 IBADRN CCS(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001503259100 1074701508 /nfs/dbraw/zinc/70/15/08/1074701508.db2.gz WBKPNXVBIJRPDA-UHFFFAOYSA-N 0 0 436.538 -0.006 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H](C)C(=O)NC ZINC001503259337 1074701601 /nfs/dbraw/zinc/70/16/01/1074701601.db2.gz NQRZJCVNECCNOS-CHWSQXEVSA-N 0 0 435.572 -0.567 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@@H](C)C(=O)NC ZINC001503259339 1074701897 /nfs/dbraw/zinc/70/18/97/1074701897.db2.gz NQRZJCVNECCNOS-OLZOCXBDSA-N 0 0 435.572 -0.567 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H](C)C(=O)NC ZINC001503259340 1074701941 /nfs/dbraw/zinc/70/19/41/1074701941.db2.gz NQRZJCVNECCNOS-QWHCGFSZSA-N 0 0 435.572 -0.567 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)C[C@H](C)C(=O)NC ZINC001503259341 1074701986 /nfs/dbraw/zinc/70/19/86/1074701986.db2.gz NQRZJCVNECCNOS-STQMWFEESA-N 0 0 435.572 -0.567 20 0 IBADRN CCS(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NC)CC1 ZINC001503261309 1074701853 /nfs/dbraw/zinc/70/18/53/1074701853.db2.gz SWVJVGOYQMUDJH-CYBMUJFWSA-N 0 0 448.571 -0.924 20 0 IBADRN CCS(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C(=O)NC)CC1 ZINC001503261310 1074701912 /nfs/dbraw/zinc/70/19/12/1074701912.db2.gz SWVJVGOYQMUDJH-ZDUSSCGKSA-N 0 0 448.571 -0.924 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(c2nnc(C)n2CCN2CCCS2(=O)=O)CC1 ZINC001503261724 1074701891 /nfs/dbraw/zinc/70/18/91/1074701891.db2.gz CXPBQTFLBUAETB-UHFFFAOYSA-N 0 0 434.588 -0.128 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(c2nnc(C)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001503262844 1074701968 /nfs/dbraw/zinc/70/19/68/1074701968.db2.gz UYIJDWZOYBFBKN-UHFFFAOYSA-N 0 0 449.599 -0.223 20 0 IBADRN CCS(=O)(=O)N(C)C1CCN(c2nnc(C)n2CCOCCS(C)(=O)=O)CC1 ZINC001503263069 1074701996 /nfs/dbraw/zinc/70/19/96/1074701996.db2.gz ZFADOQWZRBSFBE-UHFFFAOYSA-N 0 0 437.588 -0.102 20 0 IBADRN CCS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)NC[C@H]2C1 ZINC001503263512 1074701934 /nfs/dbraw/zinc/70/19/34/1074701934.db2.gz FCGKLMUGECWTTG-HNNXBMFYSA-N 0 0 436.542 -0.212 20 0 IBADRN CCS(=O)(=O)CCn1c(Cn2nc(C)cc2C)nnc1N1CCN2C(=O)NC[C@@H]2C1 ZINC001503263513 1074701904 /nfs/dbraw/zinc/70/19/04/1074701904.db2.gz FCGKLMUGECWTTG-OAHLLOKOSA-N 0 0 436.542 -0.212 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCC2(COC(=O)N2)CC1 ZINC001503263631 1074701949 /nfs/dbraw/zinc/70/19/49/1074701949.db2.gz IRSNBHHBTLLIEV-UHFFFAOYSA-N 0 0 440.526 -0.086 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001503263944 1074701959 /nfs/dbraw/zinc/70/19/59/1074701959.db2.gz SPTHJOYJSLBKSM-ZIAGYGMSSA-N 0 0 443.526 -0.805 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001503263984 1074701980 /nfs/dbraw/zinc/70/19/80/1074701980.db2.gz VEKQLBCXUGSHCJ-GOSISDBHSA-N 0 0 440.526 -0.134 20 0 IBADRN CCS(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001503263985 1074701843 /nfs/dbraw/zinc/70/18/43/1074701843.db2.gz VEKQLBCXUGSHCJ-SFHVURJKSA-N 0 0 440.526 -0.134 20 0 IBADRN CCS(=O)(=O)CCn1c(CC2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503264119 1074701832 /nfs/dbraw/zinc/70/18/32/1074701832.db2.gz ZBVLDSQSRDGANZ-HNNXBMFYSA-N 0 0 438.554 -0.408 20 0 IBADRN CCS(=O)(=O)CCn1c(CC2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503264120 1074701920 /nfs/dbraw/zinc/70/19/20/1074701920.db2.gz ZBVLDSQSRDGANZ-OAHLLOKOSA-N 0 0 438.554 -0.408 20 0 IBADRN CCS(=O)(=O)N(C)CCCn1c(C)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001503265584 1074701862 /nfs/dbraw/zinc/70/18/62/1074701862.db2.gz WQYOEDWULKOARB-UHFFFAOYSA-N 0 0 443.574 -0.168 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C(=O)N3CCCC3)n2CC2(O)CC2)CC1 ZINC001503270368 1074701926 /nfs/dbraw/zinc/70/19/26/1074701926.db2.gz NYJBLFHJRJFUHT-UHFFFAOYSA-N 0 0 426.543 -0.053 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOC)CC1 ZINC001503270388 1074701872 /nfs/dbraw/zinc/70/18/72/1074701872.db2.gz ONHKOWJVHCJGLO-GFCCVEGCSA-N 0 0 438.576 -0.557 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOC)CC1 ZINC001503270389 1074701881 /nfs/dbraw/zinc/70/18/81/1074701881.db2.gz ONHKOWJVHCJGLO-LBPRGKRZSA-N 0 0 438.576 -0.557 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCOC2)CC1 ZINC001503270905 1074702207 /nfs/dbraw/zinc/70/22/07/1074702207.db2.gz VVPCIFKNMOECSY-GOSISDBHSA-N 0 0 444.558 -0.524 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCOC2)CC1 ZINC001503270907 1074702175 /nfs/dbraw/zinc/70/21/75/1074702175.db2.gz VVPCIFKNMOECSY-SFHVURJKSA-N 0 0 444.558 -0.524 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)NC(C)C)CC1 ZINC001503271171 1074702352 /nfs/dbraw/zinc/70/23/52/1074702352.db2.gz NOQWYDHLCZFNEM-AWEZNQCLSA-N 0 0 441.558 -0.338 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)NC(C)C)CC1 ZINC001503271172 1074702307 /nfs/dbraw/zinc/70/23/07/1074702307.db2.gz NOQWYDHLCZFNEM-CQSZACIVSA-N 0 0 441.558 -0.338 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCOC2)CC1 ZINC001503271603 1074702163 /nfs/dbraw/zinc/70/21/63/1074702163.db2.gz ZRWUNDGAIMOKHB-KBXCAEBGSA-N 0 0 442.542 -0.711 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCOC2)CC1 ZINC001503271604 1074702251 /nfs/dbraw/zinc/70/22/51/1074702251.db2.gz ZRWUNDGAIMOKHB-KDOFPFPSSA-N 0 0 442.542 -0.711 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCOC2)CC1 ZINC001503271605 1074702186 /nfs/dbraw/zinc/70/21/86/1074702186.db2.gz ZRWUNDGAIMOKHB-KSSFIOAISA-N 0 0 442.542 -0.711 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCOC2)CC1 ZINC001503271606 1074702293 /nfs/dbraw/zinc/70/22/93/1074702293.db2.gz ZRWUNDGAIMOKHB-RDTXWAMCSA-N 0 0 442.542 -0.711 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)(=O)CC1 ZINC001503272948 1074702129 /nfs/dbraw/zinc/70/21/29/1074702129.db2.gz FAFXHYSUOXLUQT-UHFFFAOYSA-N 0 0 448.571 -0.922 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C)nnc1N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001503272952 1074702155 /nfs/dbraw/zinc/70/21/55/1074702155.db2.gz FFOBNVQUIXMELF-AWEZNQCLSA-N 0 0 427.575 -0.295 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C)nnc1N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001503272953 1074702286 /nfs/dbraw/zinc/70/22/86/1074702286.db2.gz FFOBNVQUIXMELF-CQSZACIVSA-N 0 0 427.575 -0.295 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001503272967 1074702229 /nfs/dbraw/zinc/70/22/29/1074702229.db2.gz FLPKZNMXDYSFPI-CYBMUJFWSA-N 0 0 429.547 -0.587 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001503272968 1074702299 /nfs/dbraw/zinc/70/22/99/1074702299.db2.gz FLPKZNMXDYSFPI-ZDUSSCGKSA-N 0 0 429.547 -0.587 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2ccccn2)nnc1N1CCS(=O)(=O)CC1 ZINC001503274415 1074702241 /nfs/dbraw/zinc/70/22/41/1074702241.db2.gz ARUREQFUKBVJTI-UHFFFAOYSA-N 0 0 428.540 -0.096 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001503275440 1074702143 /nfs/dbraw/zinc/70/21/43/1074702143.db2.gz YIAQTKWTAVVLJR-OKILXGFUSA-N 0 0 428.515 -0.595 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001503275779 1074702217 /nfs/dbraw/zinc/70/22/17/1074702217.db2.gz XCUAGKXLZHEHIG-DZGCQCFKSA-N 0 0 426.543 -0.031 20 0 IBADRN CCS(=O)(=O)NC1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCC(=O)N2)CC1 ZINC001503275781 1074702196 /nfs/dbraw/zinc/70/21/96/1074702196.db2.gz XCUAGKXLZHEHIG-UKRRQHHQSA-N 0 0 426.543 -0.031 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2cnccn2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001503276224 1074702333 /nfs/dbraw/zinc/70/23/33/1074702333.db2.gz UURDGSOQIWHKCM-HNNXBMFYSA-N 0 0 436.542 -0.415 20 0 IBADRN CCS(=O)(=O)NCCCn1c(-c2cnccn2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001503276227 1074702263 /nfs/dbraw/zinc/70/22/63/1074702263.db2.gz UURDGSOQIWHKCM-OAHLLOKOSA-N 0 0 436.542 -0.415 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCC(C(=O)NC)CC1 ZINC001503276729 1074702691 /nfs/dbraw/zinc/70/26/91/1074702691.db2.gz CDYDEFACHJHNAY-UHFFFAOYSA-N 0 0 441.558 -0.584 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC[C@@H]1C ZINC001503276773 1074702491 /nfs/dbraw/zinc/70/24/91/1074702491.db2.gz GGBVHKDZOWXWQH-KBPBESRZSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCOC[C@H]1C ZINC001503276775 1074702502 /nfs/dbraw/zinc/70/25/02/1074702502.db2.gz GGBVHKDZOWXWQH-KGLIPLIRSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC[C@@H]1C ZINC001503276777 1074702642 /nfs/dbraw/zinc/70/26/42/1074702642.db2.gz GGBVHKDZOWXWQH-UONOGXRCSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCOC[C@H]1C ZINC001503276778 1074702674 /nfs/dbraw/zinc/70/26/74/1074702674.db2.gz GGBVHKDZOWXWQH-ZIAGYGMSSA-N 0 0 435.572 -0.265 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(C)C)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001503276897 1074702512 /nfs/dbraw/zinc/70/25/12/1074702512.db2.gz GISSFGFZRNWJLN-UHFFFAOYSA-N 0 0 427.531 -0.139 20 0 IBADRN CCS(=O)(=O)NCCn1c(C)nnc1N1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC001503277586 1074702583 /nfs/dbraw/zinc/70/25/83/1074702583.db2.gz LHGGURKJSBWKGQ-KRWDZBQOSA-N 0 0 435.554 -0.126 20 0 IBADRN CCS(=O)(=O)NCCn1c(C)nnc1N1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC001503277587 1074702683 /nfs/dbraw/zinc/70/26/83/1074702683.db2.gz LHGGURKJSBWKGQ-QGZVFWFLSA-N 0 0 435.554 -0.126 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCNC(=O)C1 ZINC001503277840 1074702732 /nfs/dbraw/zinc/70/27/32/1074702732.db2.gz REKHXHFLQRAJSX-ZFWWWQNUSA-N 0 0 430.531 -0.732 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001503277855 1074702624 /nfs/dbraw/zinc/70/26/24/1074702624.db2.gz NDSSTLDHJQHVNO-UHFFFAOYSA-N 0 0 443.574 -0.197 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(F)F)CC1 ZINC001503277905 1074702635 /nfs/dbraw/zinc/70/26/35/1074702635.db2.gz OKRBTQBQJQQJFS-UHFFFAOYSA-N 0 0 449.528 -0.160 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001503277914 1074702754 /nfs/dbraw/zinc/70/27/54/1074702754.db2.gz OPRJNOGQSRCHEM-CYBMUJFWSA-N 0 0 429.547 -0.587 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001503277915 1074702744 /nfs/dbraw/zinc/70/27/44/1074702744.db2.gz OPRJNOGQSRCHEM-ZDUSSCGKSA-N 0 0 429.547 -0.587 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001503278363 1074702702 /nfs/dbraw/zinc/70/27/02/1074702702.db2.gz SYALSBUCSNOQQP-CVEARBPZSA-N 0 0 428.559 -0.368 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001503278367 1074702791 /nfs/dbraw/zinc/70/27/91/1074702791.db2.gz SYALSBUCSNOQQP-HOTGVXAUSA-N 0 0 428.559 -0.368 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001503278370 1074702763 /nfs/dbraw/zinc/70/27/63/1074702763.db2.gz SYALSBUCSNOQQP-HZPDHXFCSA-N 0 0 428.559 -0.368 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCOC2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001503278381 1074702522 /nfs/dbraw/zinc/70/25/22/1074702522.db2.gz SYALSBUCSNOQQP-JKSUJKDBSA-N 0 0 428.559 -0.368 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCN(CCOC)CC1 ZINC001503278774 1074703150 /nfs/dbraw/zinc/70/31/50/1074703150.db2.gz VVLZRQJCWHNXIL-UHFFFAOYSA-N 0 0 443.574 -0.778 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCn2nc(C)nc2C1 ZINC001503278780 1074702986 /nfs/dbraw/zinc/70/29/86/1074702986.db2.gz WCORGVZJDRHPGI-UHFFFAOYSA-N 0 0 437.530 -0.626 20 0 IBADRN CCS(=O)(=O)NCCn1c(C(=O)NC(C)C)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001503278799 1074703036 /nfs/dbraw/zinc/70/30/36/1074703036.db2.gz WLLNNPZELFXEOM-UONOGXRCSA-N 0 0 441.558 -0.587 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001503279135 1074703106 /nfs/dbraw/zinc/70/31/06/1074703106.db2.gz AMYZYBNNOTXMAO-KBPBESRZSA-N 0 0 439.542 -0.630 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001503279144 1074703088 /nfs/dbraw/zinc/70/30/88/1074703088.db2.gz AMYZYBNNOTXMAO-KGLIPLIRSA-N 0 0 439.542 -0.630 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001503279147 1074703066 /nfs/dbraw/zinc/70/30/66/1074703066.db2.gz AMYZYBNNOTXMAO-UONOGXRCSA-N 0 0 439.542 -0.630 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001503279149 1074703167 /nfs/dbraw/zinc/70/31/67/1074703167.db2.gz AMYZYBNNOTXMAO-ZIAGYGMSSA-N 0 0 439.542 -0.630 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(C)=O)CC1 ZINC001503279402 1074703134 /nfs/dbraw/zinc/70/31/34/1074703134.db2.gz DWJBOUKWQJQGMK-AWEZNQCLSA-N 0 0 427.531 -0.773 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(C)=O)CC1 ZINC001503279403 1074703097 /nfs/dbraw/zinc/70/30/97/1074703097.db2.gz DWJBOUKWQJQGMK-CQSZACIVSA-N 0 0 427.531 -0.773 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC(NC(C)=O)CC1 ZINC001503280148 1074703014 /nfs/dbraw/zinc/70/30/14/1074703014.db2.gz PFKUEIRZUSRWMO-HNNXBMFYSA-N 0 0 441.558 -0.337 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC(NC(C)=O)CC1 ZINC001503280150 1074703078 /nfs/dbraw/zinc/70/30/78/1074703078.db2.gz PFKUEIRZUSRWMO-OAHLLOKOSA-N 0 0 441.558 -0.337 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001503280425 1074703143 /nfs/dbraw/zinc/70/31/43/1074703143.db2.gz TZXJTBHFVPAYEE-CABCVRRESA-N 0 0 441.558 -0.337 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001503280426 1074703057 /nfs/dbraw/zinc/70/30/57/1074703057.db2.gz TZXJTBHFVPAYEE-GJZGRUSLSA-N 0 0 441.558 -0.337 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](NC(C)=O)C1 ZINC001503280427 1074703175 /nfs/dbraw/zinc/70/31/75/1074703175.db2.gz TZXJTBHFVPAYEE-HUUCEWRRSA-N 0 0 441.558 -0.337 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](NC(C)=O)C1 ZINC001503280428 1074703115 /nfs/dbraw/zinc/70/31/15/1074703115.db2.gz TZXJTBHFVPAYEE-LSDHHAIUSA-N 0 0 441.558 -0.337 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001503280559 1074703045 /nfs/dbraw/zinc/70/30/45/1074703045.db2.gz UTMOOTGLXMZIIF-CHWSQXEVSA-N 0 0 427.531 -0.775 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)C[C@H]1C ZINC001503280560 1074703025 /nfs/dbraw/zinc/70/30/25/1074703025.db2.gz UTMOOTGLXMZIIF-OLZOCXBDSA-N 0 0 427.531 -0.775 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001503280561 1074703125 /nfs/dbraw/zinc/70/31/25/1074703125.db2.gz UTMOOTGLXMZIIF-QWHCGFSZSA-N 0 0 427.531 -0.775 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC(=O)N(C)C[C@@H]1C ZINC001503280562 1074702996 /nfs/dbraw/zinc/70/29/96/1074702996.db2.gz UTMOOTGLXMZIIF-STQMWFEESA-N 0 0 427.531 -0.775 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001503280630 1074703004 /nfs/dbraw/zinc/70/30/04/1074703004.db2.gz AJKHORVFXUYERD-GFCCVEGCSA-N 0 0 429.547 -0.481 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001503280634 1074703433 /nfs/dbraw/zinc/70/34/33/1074703433.db2.gz AJKHORVFXUYERD-LBPRGKRZSA-N 0 0 429.547 -0.481 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001503280844 1074703366 /nfs/dbraw/zinc/70/33/66/1074703366.db2.gz WPXYHLMZEYJAHO-KFWWJZLASA-N 0 0 426.543 -0.174 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001503280846 1074703334 /nfs/dbraw/zinc/70/33/34/1074703334.db2.gz WPXYHLMZEYJAHO-RBSFLKMASA-N 0 0 426.543 -0.174 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001503280847 1074703426 /nfs/dbraw/zinc/70/34/26/1074703426.db2.gz WPXYHLMZEYJAHO-RRFJBIMHSA-N 0 0 426.543 -0.174 20 0 IBADRN CCS(=O)(=O)NCCCn1c([C@@H]2CCOC2)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001503280848 1074703533 /nfs/dbraw/zinc/70/35/33/1074703533.db2.gz WPXYHLMZEYJAHO-ZNMIVQPWSA-N 0 0 426.543 -0.174 20 0 IBADRN CCS(=O)(=O)NCCCn1c(C2CC2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001503280926 1074703376 /nfs/dbraw/zinc/70/33/76/1074703376.db2.gz YWKTUIDVRHBEGD-UHFFFAOYSA-N 0 0 434.588 -0.043 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cccn2C)nnc1N(C)CC(=O)N1CCOCC1 ZINC001503281367 1074703441 /nfs/dbraw/zinc/70/34/41/1074703441.db2.gz DRXPMIIYMLCMNQ-UHFFFAOYSA-N 0 0 439.542 -0.482 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccc3c(c2)OCO3)nnc1N1CCN(C)C(=O)C1 ZINC001503281732 1074703486 /nfs/dbraw/zinc/70/34/86/1074703486.db2.gz FLHNMWQVHGEFKQ-UHFFFAOYSA-N 0 0 436.494 -0.109 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cccn2C)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001503281759 1074703524 /nfs/dbraw/zinc/70/35/24/1074703524.db2.gz HWVLXSDLCGNJHT-UKRRQHHQSA-N 0 0 440.526 -0.417 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001503282085 1074703494 /nfs/dbraw/zinc/70/34/94/1074703494.db2.gz LTYOWDRCFFFOKG-UHFFFAOYSA-N 0 0 431.544 -0.706 20 0 IBADRN CCS(=O)(=O)NCCn1c(C2CC2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001503282279 1074703388 /nfs/dbraw/zinc/70/33/88/1074703388.db2.gz PMSDYLFYKMPCPR-UHFFFAOYSA-N 0 0 439.586 -0.161 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccc[nH]2)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001503282342 1074703479 /nfs/dbraw/zinc/70/34/79/1074703479.db2.gz OPIGOYSNXWYHFY-UHFFFAOYSA-N 0 0 432.510 -0.131 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001503282350 1074703519 /nfs/dbraw/zinc/70/35/19/1074703519.db2.gz PDLZCIAVTLDYAU-CYBMUJFWSA-N 0 0 431.544 -0.759 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001503282380 1074703417 /nfs/dbraw/zinc/70/34/17/1074703417.db2.gz PDLZCIAVTLDYAU-ZDUSSCGKSA-N 0 0 431.544 -0.759 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001503282408 1074703326 /nfs/dbraw/zinc/70/33/26/1074703326.db2.gz PJMYQTCSWSLADF-CYBMUJFWSA-N 0 0 428.540 -0.097 20 0 IBADRN CCS(=O)(=O)NCCn1c(-c2ccccn2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001503282411 1074703455 /nfs/dbraw/zinc/70/34/55/1074703455.db2.gz PJMYQTCSWSLADF-ZDUSSCGKSA-N 0 0 428.540 -0.097 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@H](N2CCCC2=O)C1 ZINC001503282635 1074703833 /nfs/dbraw/zinc/70/38/33/1074703833.db2.gz SXVVLXCGPGQNOD-KBPBESRZSA-N 0 0 439.542 -0.630 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@H](N2CCCC2=O)C1 ZINC001503282636 1074703815 /nfs/dbraw/zinc/70/38/15/1074703815.db2.gz SXVVLXCGPGQNOD-KGLIPLIRSA-N 0 0 439.542 -0.630 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@H](N2CCCC2=O)C1 ZINC001503282638 1074704012 /nfs/dbraw/zinc/70/40/12/1074704012.db2.gz SXVVLXCGPGQNOD-UONOGXRCSA-N 0 0 439.542 -0.630 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@H](N2CCCC2=O)C1 ZINC001503282640 1074703841 /nfs/dbraw/zinc/70/38/41/1074703841.db2.gz SXVVLXCGPGQNOD-ZIAGYGMSSA-N 0 0 439.542 -0.630 20 0 IBADRN CCS(=O)(=O)NCCn1c(C2CC2)nnc1N(C)C1CCN(S(C)(=O)=O)CC1 ZINC001503282739 1074703881 /nfs/dbraw/zinc/70/38/81/1074703881.db2.gz UVMBBJLZPNANIC-UHFFFAOYSA-N 0 0 434.588 -0.045 20 0 IBADRN CCS(=O)(=O)NCCn1c(C2CC2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001503283159 1074703700 /nfs/dbraw/zinc/70/37/00/1074703700.db2.gz XVZWJFURYILSTG-UHFFFAOYSA-N 0 0 441.558 -0.331 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccccn2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001503283265 1074703981 /nfs/dbraw/zinc/70/39/81/1074703981.db2.gz DINMHYKEDWDKAH-HNNXBMFYSA-N 0 0 442.567 -0.174 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2ccccn2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001503283269 1074703913 /nfs/dbraw/zinc/70/39/13/1074703913.db2.gz DINMHYKEDWDKAH-OAHLLOKOSA-N 0 0 442.567 -0.174 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001503283980 1074703805 /nfs/dbraw/zinc/70/38/05/1074703805.db2.gz CWPBWLNXWBSQIU-AWEZNQCLSA-N 0 0 441.558 -0.285 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001503283982 1074703991 /nfs/dbraw/zinc/70/39/91/1074703991.db2.gz CWPBWLNXWBSQIU-CQSZACIVSA-N 0 0 441.558 -0.285 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001503283998 1074703891 /nfs/dbraw/zinc/70/38/91/1074703891.db2.gz DBWLUVHCFJFXKR-DLBZAZTESA-N 0 0 442.586 -0.120 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCOC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001503283999 1074703925 /nfs/dbraw/zinc/70/39/25/1074703925.db2.gz DBWLUVHCFJFXKR-IAGOWNOFSA-N 0 0 442.586 -0.120 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001503284000 1074703788 /nfs/dbraw/zinc/70/37/88/1074703788.db2.gz DBWLUVHCFJFXKR-IRXDYDNUSA-N 0 0 442.586 -0.120 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCOC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001503284001 1074703769 /nfs/dbraw/zinc/70/37/69/1074703769.db2.gz DBWLUVHCFJFXKR-SJORKVTESA-N 0 0 442.586 -0.120 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001503284051 1074704003 /nfs/dbraw/zinc/70/40/03/1074704003.db2.gz DXYLMUDTUJRMHS-CABCVRRESA-N 0 0 426.543 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001503284052 1074703824 /nfs/dbraw/zinc/70/38/24/1074703824.db2.gz DXYLMUDTUJRMHS-GJZGRUSLSA-N 0 0 426.543 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001503284053 1074704201 /nfs/dbraw/zinc/70/42/01/1074704201.db2.gz DXYLMUDTUJRMHS-HUUCEWRRSA-N 0 0 426.543 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCOC2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001503284054 1074704324 /nfs/dbraw/zinc/70/43/24/1074704324.db2.gz DXYLMUDTUJRMHS-LSDHHAIUSA-N 0 0 426.543 -0.078 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1CC[C@]2(CNC(=O)C2)C1 ZINC001503284152 1074704160 /nfs/dbraw/zinc/70/41/60/1074704160.db2.gz NBTJFPRAGKWGRD-IBGZPJMESA-N 0 0 433.538 -0.080 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1CC[C@@]2(CNC(=O)C2)C1 ZINC001503284153 1074704171 /nfs/dbraw/zinc/70/41/71/1074704171.db2.gz NBTJFPRAGKWGRD-LJQANCHMSA-N 0 0 433.538 -0.080 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001503284294 1074704233 /nfs/dbraw/zinc/70/42/33/1074704233.db2.gz OBJBVACVVWVTJQ-CVEARBPZSA-N 0 0 433.538 -0.082 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001503284295 1074704223 /nfs/dbraw/zinc/70/42/23/1074704223.db2.gz OBJBVACVVWVTJQ-HOTGVXAUSA-N 0 0 433.538 -0.082 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001503284296 1074704268 /nfs/dbraw/zinc/70/42/68/1074704268.db2.gz OBJBVACVVWVTJQ-HZPDHXFCSA-N 0 0 433.538 -0.082 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cccnc2)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001503284297 1074704278 /nfs/dbraw/zinc/70/42/78/1074704278.db2.gz OBJBVACVVWVTJQ-JKSUJKDBSA-N 0 0 433.538 -0.082 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cc2cn3ccccc3n2)nnc1N1CCNC(=O)CC1 ZINC001503284507 1074704245 /nfs/dbraw/zinc/70/42/45/1074704245.db2.gz SFMYBHYXSXOVQL-UHFFFAOYSA-N 0 0 446.537 -0.218 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C1CC1 ZINC001503284892 1074704335 /nfs/dbraw/zinc/70/43/35/1074704335.db2.gz NEQNGCHGZMBQCG-CYBMUJFWSA-N 0 0 436.560 -0.851 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C1CC1 ZINC001503284893 1074704363 /nfs/dbraw/zinc/70/43/63/1074704363.db2.gz NEQNGCHGZMBQCG-ZDUSSCGKSA-N 0 0 436.560 -0.851 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCCO2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001503285074 1074704354 /nfs/dbraw/zinc/70/43/54/1074704354.db2.gz PELDVQDXLULSGQ-HNNXBMFYSA-N 0 0 444.558 -0.246 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCCO2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001503285075 1074704313 /nfs/dbraw/zinc/70/43/13/1074704313.db2.gz PELDVQDXLULSGQ-OAHLLOKOSA-N 0 0 444.558 -0.246 20 0 IBADRN CC[S@](=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001503285252 1074704344 /nfs/dbraw/zinc/70/43/44/1074704344.db2.gz GGFHURNRAMQEPS-HOLBHBGLSA-N 0 0 426.543 -0.376 20 0 IBADRN CC[S@@](=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001503285253 1074704209 /nfs/dbraw/zinc/70/42/09/1074704209.db2.gz GGFHURNRAMQEPS-MHTXLSKPSA-N 0 0 426.543 -0.376 20 0 IBADRN CC[S@@](=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001503285254 1074704289 /nfs/dbraw/zinc/70/42/89/1074704289.db2.gz GGFHURNRAMQEPS-PEGYKEAPSA-N 0 0 426.543 -0.376 20 0 IBADRN CC[S@](=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001503285255 1074704301 /nfs/dbraw/zinc/70/43/01/1074704301.db2.gz GGFHURNRAMQEPS-WNNBVUDTSA-N 0 0 426.543 -0.376 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CCCO2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001503285463 1074704193 /nfs/dbraw/zinc/70/41/93/1074704193.db2.gz JBFVDEIXQXIDIT-CQSZACIVSA-N 0 0 430.531 -0.636 20 0 IBADRN CC[S@@](=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001503285694 1074704181 /nfs/dbraw/zinc/70/41/81/1074704181.db2.gz NHMGQIPIZXPTRX-HJFSHJIFSA-N 0 0 446.599 -0.247 20 0 IBADRN CC[S@](=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC001503285696 1074704562 /nfs/dbraw/zinc/70/45/62/1074704562.db2.gz NHMGQIPIZXPTRX-POXGOYDTSA-N 0 0 446.599 -0.247 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001503285707 1074704257 /nfs/dbraw/zinc/70/42/57/1074704257.db2.gz SVLRXMSBPIJWAD-KFWWJZLASA-N 0 0 426.543 -0.174 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001503285710 1074704659 /nfs/dbraw/zinc/70/46/59/1074704659.db2.gz SVLRXMSBPIJWAD-RBSFLKMASA-N 0 0 426.543 -0.174 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001503285712 1074704640 /nfs/dbraw/zinc/70/46/40/1074704640.db2.gz SVLRXMSBPIJWAD-RRFJBIMHSA-N 0 0 426.543 -0.174 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CCCOC2)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001503285715 1074704592 /nfs/dbraw/zinc/70/45/92/1074704592.db2.gz SVLRXMSBPIJWAD-ZNMIVQPWSA-N 0 0 426.543 -0.174 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCN(C(C)=O)C[C@@H]1C ZINC001503286444 1074704469 /nfs/dbraw/zinc/70/44/69/1074704469.db2.gz GLKZKZZXEVGKGD-AWEZNQCLSA-N 0 0 441.558 -0.603 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCN(C(C)=O)C[C@H]1C ZINC001503286445 1074704612 /nfs/dbraw/zinc/70/46/12/1074704612.db2.gz GLKZKZZXEVGKGD-CQSZACIVSA-N 0 0 441.558 -0.603 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(C)C(=O)[C@@H]1C ZINC001503286669 1074704666 /nfs/dbraw/zinc/70/46/66/1074704666.db2.gz JCSWAAUHWIWHAJ-HNNXBMFYSA-N 0 0 438.558 -0.254 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(C)C(=O)[C@H]1C ZINC001503286673 1074704491 /nfs/dbraw/zinc/70/44/91/1074704491.db2.gz JCSWAAUHWIWHAJ-OAHLLOKOSA-N 0 0 438.558 -0.254 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCC1 ZINC001503286764 1074704502 /nfs/dbraw/zinc/70/45/02/1074704502.db2.gz ZZSOPOVOAWKNRD-CYBMUJFWSA-N 0 0 436.560 -0.850 20 0 IBADRN CCS(=O)(=O)NCCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCC1 ZINC001503286765 1074704630 /nfs/dbraw/zinc/70/46/30/1074704630.db2.gz ZZSOPOVOAWKNRD-ZDUSSCGKSA-N 0 0 436.560 -0.850 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cn2nc(C)cc2C)nnc1N1CCO[C@H](COC)C1 ZINC001503286963 1074704477 /nfs/dbraw/zinc/70/44/77/1074704477.db2.gz NKKJNQFYJHCTTN-INIZCTEOSA-N 0 0 441.558 -0.069 20 0 IBADRN CCS(=O)(=O)NCCn1c(Cn2nc(C)cc2C)nnc1N1CCO[C@@H](COC)C1 ZINC001503286964 1074704582 /nfs/dbraw/zinc/70/45/82/1074704582.db2.gz NKKJNQFYJHCTTN-MRXNPFEDSA-N 0 0 441.558 -0.069 20 0 IBADRN CC[S@](=O)CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001503287634 1074704524 /nfs/dbraw/zinc/70/45/24/1074704524.db2.gz DQLBVVDNGPZQEQ-HKBQPEDESA-N 0 0 449.537 -0.011 20 0 IBADRN CC[S@@](=O)CCn1c(C(=O)Nc2ccccn2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001503287636 1074704514 /nfs/dbraw/zinc/70/45/14/1074704514.db2.gz DQLBVVDNGPZQEQ-WJOKGBTCSA-N 0 0 449.537 -0.011 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1C[C@@H](C)O[C@H](COC)C1 ZINC001503287795 1074704535 /nfs/dbraw/zinc/70/45/35/1074704535.db2.gz ZUBHDIBNDSRNOA-CABCVRRESA-N 0 0 444.558 -0.420 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1C[C@H](C)O[C@H](COC)C1 ZINC001503287797 1074704621 /nfs/dbraw/zinc/70/46/21/1074704621.db2.gz ZUBHDIBNDSRNOA-GJZGRUSLSA-N 0 0 444.558 -0.420 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1C[C@@H](C)O[C@@H](COC)C1 ZINC001503287799 1074704572 /nfs/dbraw/zinc/70/45/72/1074704572.db2.gz ZUBHDIBNDSRNOA-HUUCEWRRSA-N 0 0 444.558 -0.420 20 0 IBADRN CCS(=O)(=O)NCCn1c(CN2CCCC2=O)nnc1N1C[C@H](COC)O[C@@H](C)C1 ZINC001503287801 1074704602 /nfs/dbraw/zinc/70/46/02/1074704602.db2.gz ZUBHDIBNDSRNOA-LSDHHAIUSA-N 0 0 444.558 -0.420 20 0 IBADRN CC[S@@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001503288297 1074704548 /nfs/dbraw/zinc/70/45/48/1074704548.db2.gz IEBXYXKXRGUMFF-AANYUJDRSA-N 0 0 446.599 -0.286 20 0 IBADRN CC[S@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001503288298 1074704647 /nfs/dbraw/zinc/70/46/47/1074704647.db2.gz IEBXYXKXRGUMFF-HTJHRSGBSA-N 0 0 446.599 -0.286 20 0 IBADRN CC[S@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001503288299 1074704781 /nfs/dbraw/zinc/70/47/81/1074704781.db2.gz IEBXYXKXRGUMFF-KNVQJGTNSA-N 0 0 446.599 -0.286 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001503288300 1074704903 /nfs/dbraw/zinc/70/49/03/1074704903.db2.gz IEBXYXKXRGUMFF-KTJAZULCSA-N 0 0 446.599 -0.286 20 0 IBADRN CC[S@@](=O)CCn1c(C)nnc1N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001503289551 1074704865 /nfs/dbraw/zinc/70/48/65/1074704865.db2.gz RMTVBUXMKVVAMF-HHHXNRCGSA-N 0 0 429.572 -0.092 20 0 IBADRN CC[S@](=O)CCn1c(C)nnc1N1CCN(S(=O)(=O)c2cn(C)c(C)n2)CC1 ZINC001503289552 1074704924 /nfs/dbraw/zinc/70/49/24/1074704924.db2.gz RMTVBUXMKVVAMF-MHZLTWQESA-N 0 0 429.572 -0.092 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001503292303 1074704856 /nfs/dbraw/zinc/70/48/56/1074704856.db2.gz WVAMPDHHQZPDLP-GMYLUUGSSA-N 0 0 448.615 -0.039 20 0 IBADRN CC[S@@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001503292304 1074704912 /nfs/dbraw/zinc/70/49/12/1074704912.db2.gz WVAMPDHHQZPDLP-HJFSHJIFSA-N 0 0 448.615 -0.039 20 0 IBADRN CC[S@](=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001503292305 1074704839 /nfs/dbraw/zinc/70/48/39/1074704839.db2.gz WVAMPDHHQZPDLP-POXGOYDTSA-N 0 0 448.615 -0.039 20 0 IBADRN CC[S@](=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(CC(C)C)C(=O)C1 ZINC001503292306 1074704966 /nfs/dbraw/zinc/70/49/66/1074704966.db2.gz WVAMPDHHQZPDLP-SUMNFNSASA-N 0 0 448.615 -0.039 20 0 IBADRN CC[S@](=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001503293709 1074704826 /nfs/dbraw/zinc/70/48/26/1074704826.db2.gz IUZAHGHLGVYTOI-PMERELPUSA-N 0 0 434.570 -0.152 20 0 IBADRN CC[S@@](=O)CCn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001503293710 1074704935 /nfs/dbraw/zinc/70/49/35/1074704935.db2.gz IUZAHGHLGVYTOI-SSEXGKCCSA-N 0 0 434.570 -0.152 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001503294624 1074704803 /nfs/dbraw/zinc/70/48/03/1074704803.db2.gz AKMNWIGLGNLSNZ-JWISEFLISA-N 0 0 445.567 -0.117 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001503294625 1074704814 /nfs/dbraw/zinc/70/48/14/1074704814.db2.gz AKMNWIGLGNLSNZ-NCSLWSIVSA-N 0 0 445.567 -0.117 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001503294626 1074704880 /nfs/dbraw/zinc/70/48/80/1074704880.db2.gz AKMNWIGLGNLSNZ-NKFDBBMCSA-N 0 0 445.567 -0.117 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001503294627 1074704770 /nfs/dbraw/zinc/70/47/70/1074704770.db2.gz AKMNWIGLGNLSNZ-NRYZEBTLSA-N 0 0 445.567 -0.117 20 0 IBADRN CC[S@@](=O)CCn1c(-c2cccc(C)n2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503294714 1074704762 /nfs/dbraw/zinc/70/47/62/1074704762.db2.gz OSUSROFOXNZZKX-ILHIWHGASA-N 0 0 431.522 -0.436 20 0 IBADRN CC[S@](=O)CCn1c(-c2cccc(C)n2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503294715 1074704891 /nfs/dbraw/zinc/70/48/91/1074704891.db2.gz OSUSROFOXNZZKX-KJEZJMDVSA-N 0 0 431.522 -0.436 20 0 IBADRN CC[S@@](=O)CCn1c(-c2cccc(C)n2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503294716 1074704954 /nfs/dbraw/zinc/70/49/54/1074704954.db2.gz OSUSROFOXNZZKX-NGFNCXNUSA-N 0 0 431.522 -0.436 20 0 IBADRN CC[S@](=O)CCn1c(-c2cccc(C)n2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503294717 1074704754 /nfs/dbraw/zinc/70/47/54/1074704754.db2.gz OSUSROFOXNZZKX-WYIRRWHOSA-N 0 0 431.522 -0.436 20 0 IBADRN CC[S@@](=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC(C)(C)C1 ZINC001503297447 1074704791 /nfs/dbraw/zinc/70/47/91/1074704791.db2.gz OJHIFDOUEPOUEU-GMYLUUGSSA-N 0 0 449.599 -0.005 20 0 IBADRN CC[S@@](=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC(C)(C)C1 ZINC001503297448 1074704944 /nfs/dbraw/zinc/70/49/44/1074704944.db2.gz OJHIFDOUEPOUEU-HJFSHJIFSA-N 0 0 449.599 -0.005 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC(C)(C)C1 ZINC001503297549 1074705228 /nfs/dbraw/zinc/70/52/28/1074705228.db2.gz OJHIFDOUEPOUEU-POXGOYDTSA-N 0 0 449.599 -0.005 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCOC(C)(C)C1 ZINC001503297550 1074705323 /nfs/dbraw/zinc/70/53/23/1074705323.db2.gz OJHIFDOUEPOUEU-SUMNFNSASA-N 0 0 449.599 -0.005 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001503301053 1074705073 /nfs/dbraw/zinc/70/50/73/1074705073.db2.gz UIHCHKCJXYIURO-HXMUGFDJSA-N 0 0 438.554 -0.175 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001503301055 1074705055 /nfs/dbraw/zinc/70/50/55/1074705055.db2.gz UIHCHKCJXYIURO-IQYXRRSRSA-N 0 0 438.554 -0.175 20 0 IBADRN CC[S@](=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001503301057 1074705067 /nfs/dbraw/zinc/70/50/67/1074705067.db2.gz UIHCHKCJXYIURO-PWUJBNGKSA-N 0 0 438.554 -0.175 20 0 IBADRN CC[S@](=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001503301059 1074705180 /nfs/dbraw/zinc/70/51/80/1074705180.db2.gz UIHCHKCJXYIURO-WEHUNZAWSA-N 0 0 438.554 -0.175 20 0 IBADRN CC[S@](=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001503317598 1074705336 /nfs/dbraw/zinc/70/53/36/1074705336.db2.gz ZMBVKNRUBPXBMY-PMERELPUSA-N 0 0 432.514 -0.623 20 0 IBADRN CC[S@@](=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001503317612 1074705083 /nfs/dbraw/zinc/70/50/83/1074705083.db2.gz ZMBVKNRUBPXBMY-SSEXGKCCSA-N 0 0 432.514 -0.623 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001503362517 1074705166 /nfs/dbraw/zinc/70/51/66/1074705166.db2.gz FTGOWZGINMHMAS-CYBMUJFWSA-N 0 0 433.556 -0.717 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001503362518 1074705345 /nfs/dbraw/zinc/70/53/45/1074705345.db2.gz FTGOWZGINMHMAS-ZDUSSCGKSA-N 0 0 433.556 -0.717 20 0 IBADRN CN(C)C(=O)CCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001503363384 1074705355 /nfs/dbraw/zinc/70/53/55/1074705355.db2.gz ZQVJDYSYYXAAAY-KBPBESRZSA-N 0 0 426.543 -0.250 20 0 IBADRN CN(C)C(=O)CCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC[C@H](C(N)=O)C1 ZINC001503363385 1074705046 /nfs/dbraw/zinc/70/50/46/1074705046.db2.gz ZQVJDYSYYXAAAY-UONOGXRCSA-N 0 0 426.543 -0.250 20 0 IBADRN CN(C)C(=O)CCCn1c(CN2CCCC2=O)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001503363844 1074705109 /nfs/dbraw/zinc/70/51/09/1074705109.db2.gz IMZDOMQNXBBMFA-AWEZNQCLSA-N 0 0 426.543 -0.108 20 0 IBADRN CN(C)C(=O)CCCn1c(CN2CCCC2=O)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001503363846 1074705311 /nfs/dbraw/zinc/70/53/11/1074705311.db2.gz IMZDOMQNXBBMFA-CQSZACIVSA-N 0 0 426.543 -0.108 20 0 IBADRN CN(C)C(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001503365121 1074705117 /nfs/dbraw/zinc/70/51/17/1074705117.db2.gz FRNASPGNGCTBQB-UHFFFAOYSA-N 0 0 434.545 -0.797 20 0 IBADRN CN(C)C(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001503366030 1074705097 /nfs/dbraw/zinc/70/50/97/1074705097.db2.gz STNWWIWRRDRCCF-UHFFFAOYSA-N 0 0 441.558 -0.490 20 0 IBADRN CN(C)C(=O)CCn1c(CCc2ccccc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503367554 1074705585 /nfs/dbraw/zinc/70/55/85/1074705585.db2.gz PCUNPSCRUBOVLJ-KRWDZBQOSA-N 0 0 439.520 -0.311 20 0 IBADRN CN(C)C(=O)CCn1c(CCc2ccccc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503367557 1074705505 /nfs/dbraw/zinc/70/55/05/1074705505.db2.gz PCUNPSCRUBOVLJ-QGZVFWFLSA-N 0 0 439.520 -0.311 20 0 IBADRN CN(C)C(=O)CCn1c(CN2CCCC2=O)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001503367716 1074705539 /nfs/dbraw/zinc/70/55/39/1074705539.db2.gz PCYMCPWHRIOCOC-UHFFFAOYSA-N 0 0 441.558 -0.650 20 0 IBADRN CN(C)C(=O)CCn1c(CC2CC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001503367840 1074705528 /nfs/dbraw/zinc/70/55/28/1074705528.db2.gz RPABYWQFGCTFKK-UHFFFAOYSA-N 0 0 433.557 -0.310 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001503368210 1074705570 /nfs/dbraw/zinc/70/55/70/1074705570.db2.gz ORLDKPPWAQKSQL-CHWSQXEVSA-N 0 0 433.556 -0.718 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001503368211 1074705741 /nfs/dbraw/zinc/70/57/41/1074705741.db2.gz ORLDKPPWAQKSQL-OLZOCXBDSA-N 0 0 433.556 -0.718 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001503368212 1074705675 /nfs/dbraw/zinc/70/56/75/1074705675.db2.gz ORLDKPPWAQKSQL-QWHCGFSZSA-N 0 0 433.556 -0.718 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001503368213 1074705721 /nfs/dbraw/zinc/70/57/21/1074705721.db2.gz ORLDKPPWAQKSQL-STQMWFEESA-N 0 0 433.556 -0.718 20 0 IBADRN CN(C)C(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001503368713 1074705709 /nfs/dbraw/zinc/70/57/09/1074705709.db2.gz RUHLKLSMOPDGTP-MCIONIFRSA-N 0 0 440.526 -0.407 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001503368714 1074705731 /nfs/dbraw/zinc/70/57/31/1074705731.db2.gz RUHLKLSMOPDGTP-MJBXVCDLSA-N 0 0 440.526 -0.407 20 0 IBADRN CN(C)C(=O)CCn1c(-c2noc3c2COCC3)nnc1N(C)CCS(C)(=O)=O ZINC001503369826 1074705686 /nfs/dbraw/zinc/70/56/86/1074705686.db2.gz MQAUXMBUIAFWPA-UHFFFAOYSA-N 0 0 426.499 -0.035 20 0 IBADRN CN(C)C(=O)CCn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001503370482 1074705553 /nfs/dbraw/zinc/70/55/53/1074705553.db2.gz LFRHMIPOISQIIG-QGZVFWFLSA-N 0 0 449.556 -0.411 20 0 IBADRN CN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001503370575 1074705698 /nfs/dbraw/zinc/70/56/98/1074705698.db2.gz OGLSCSOKPMWSIU-UHFFFAOYSA-N 0 0 446.556 -0.653 20 0 IBADRN CN(C)C(=O)Cn1c(C(=O)N2CCCC2)nnc1N1CCC(N2CCCNC2=O)CC1 ZINC001503370603 1074705597 /nfs/dbraw/zinc/70/55/97/1074705597.db2.gz PTKIYNJTMRAAQI-UHFFFAOYSA-N 0 0 432.529 -0.014 20 0 IBADRN CN(C)C(=O)Cn1c(Cc2ccc(F)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503370844 1074705517 /nfs/dbraw/zinc/70/55/17/1074705517.db2.gz ADERBYLHOULMAD-HNNXBMFYSA-N 0 0 429.456 -0.757 20 0 IBADRN CN(C)C(=O)Cn1c(Cc2ccc(F)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503370848 1074705944 /nfs/dbraw/zinc/70/59/44/1074705944.db2.gz ADERBYLHOULMAD-OAHLLOKOSA-N 0 0 429.456 -0.757 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C[C@H]2CCOC2)CC1 ZINC001503371011 1074705960 /nfs/dbraw/zinc/70/59/60/1074705960.db2.gz BNCZPIXLPIFLFU-CVEARBPZSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C[C@@H]2CCOC2)CC1 ZINC001503371012 1074706001 /nfs/dbraw/zinc/70/60/01/1074706001.db2.gz BNCZPIXLPIFLFU-HOTGVXAUSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C[C@H]2CCOC2)CC1 ZINC001503371013 1074706111 /nfs/dbraw/zinc/70/61/11/1074706111.db2.gz BNCZPIXLPIFLFU-HZPDHXFCSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C[C@@H]2CCOC2)CC1 ZINC001503371014 1074705929 /nfs/dbraw/zinc/70/59/29/1074705929.db2.gz BNCZPIXLPIFLFU-JKSUJKDBSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001503371263 1074706021 /nfs/dbraw/zinc/70/60/21/1074706021.db2.gz CZDMQOAVKJNLMZ-PXAZEXFGSA-N 0 0 426.499 -0.701 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@]2(C)C1 ZINC001503371274 1074705952 /nfs/dbraw/zinc/70/59/52/1074705952.db2.gz CZDMQOAVKJNLMZ-SJCJKPOMSA-N 0 0 426.499 -0.701 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001503371278 1074705912 /nfs/dbraw/zinc/70/59/12/1074705912.db2.gz CZDMQOAVKJNLMZ-SJKOYZFVSA-N 0 0 426.499 -0.701 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@]2(C)C1 ZINC001503371282 1074706057 /nfs/dbraw/zinc/70/60/57/1074706057.db2.gz CZDMQOAVKJNLMZ-YVEFUNNKSA-N 0 0 426.499 -0.701 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001503371424 1074705889 /nfs/dbraw/zinc/70/58/89/1074705889.db2.gz FSGCFESOZRAXTE-CABCVRRESA-N 0 0 437.526 -0.630 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@H](Cn2cccn2)C1 ZINC001503371427 1074705992 /nfs/dbraw/zinc/70/59/92/1074705992.db2.gz FSGCFESOZRAXTE-GJZGRUSLSA-N 0 0 437.526 -0.630 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001503371429 1074705979 /nfs/dbraw/zinc/70/59/79/1074705979.db2.gz FSGCFESOZRAXTE-HUUCEWRRSA-N 0 0 437.526 -0.630 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@H](Cn2cccn2)C1 ZINC001503371430 1074706026 /nfs/dbraw/zinc/70/60/26/1074706026.db2.gz FSGCFESOZRAXTE-LSDHHAIUSA-N 0 0 437.526 -0.630 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccon1 ZINC001503371442 1074706080 /nfs/dbraw/zinc/70/60/80/1074706080.db2.gz FVAWHSROJPSODW-CYBMUJFWSA-N 0 0 427.487 -0.676 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)Cc1ccon1 ZINC001503371445 1074706068 /nfs/dbraw/zinc/70/60/68/1074706068.db2.gz FVAWHSROJPSODW-ZDUSSCGKSA-N 0 0 427.487 -0.676 20 0 IBADRN CN(C)C(=O)Cn1c(C2CCN(c3ncccn3)CC2)nnc1N1CCNC(=O)CC1 ZINC001503371505 1074705980 /nfs/dbraw/zinc/70/59/80/1074705980.db2.gz GFFWGENMHJDFEX-UHFFFAOYSA-N 0 0 427.513 -0.133 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001503372860 1074705899 /nfs/dbraw/zinc/70/58/99/1074705899.db2.gz RHKSWOWHKJOLKX-CVEARBPZSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001503372862 1074705919 /nfs/dbraw/zinc/70/59/19/1074705919.db2.gz RHKSWOWHKJOLKX-HOTGVXAUSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001503372864 1074706090 /nfs/dbraw/zinc/70/60/90/1074706090.db2.gz RHKSWOWHKJOLKX-HZPDHXFCSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001503372867 1074706033 /nfs/dbraw/zinc/70/60/33/1074706033.db2.gz RHKSWOWHKJOLKX-JKSUJKDBSA-N 0 0 440.570 -0.573 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCCN(C(=O)C2CC2)CC1 ZINC001503373072 1074706450 /nfs/dbraw/zinc/70/64/50/1074706450.db2.gz UBDMVMFUVGPTQI-HNNXBMFYSA-N 0 0 438.554 -0.283 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCCN(C(=O)C2CC2)CC1 ZINC001503373073 1074706284 /nfs/dbraw/zinc/70/62/84/1074706284.db2.gz UBDMVMFUVGPTQI-OAHLLOKOSA-N 0 0 438.554 -0.283 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@]2(CCOC2)C1 ZINC001503373115 1074706314 /nfs/dbraw/zinc/70/63/14/1074706314.db2.gz VMRGERPNDQFCMN-KBXCAEBGSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@]2(CCOC2)C1 ZINC001503373116 1074706439 /nfs/dbraw/zinc/70/64/39/1074706439.db2.gz VMRGERPNDQFCMN-KDOFPFPSSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@]2(CCOC2)C1 ZINC001503373117 1074706382 /nfs/dbraw/zinc/70/63/82/1074706382.db2.gz VMRGERPNDQFCMN-KSSFIOAISA-N 0 0 442.542 -0.684 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CC[C@@]2(CCOC2)C1 ZINC001503373118 1074706274 /nfs/dbraw/zinc/70/62/74/1074706274.db2.gz VMRGERPNDQFCMN-RDTXWAMCSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)c2ccccc2O)CC1 ZINC001503373957 1074706358 /nfs/dbraw/zinc/70/63/58/1074706358.db2.gz IRTVNCOPVSPMSY-HNNXBMFYSA-N 0 0 441.492 -0.015 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)c2ccccc2O)CC1 ZINC001503373959 1074706459 /nfs/dbraw/zinc/70/64/59/1074706459.db2.gz IRTVNCOPVSPMSY-OAHLLOKOSA-N 0 0 441.492 -0.015 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC001503374073 1074706294 /nfs/dbraw/zinc/70/62/94/1074706294.db2.gz JECNVHYOFWXMSE-GHMZBOCLSA-N 0 0 431.419 -0.178 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC001503374074 1074706346 /nfs/dbraw/zinc/70/63/46/1074706346.db2.gz JECNVHYOFWXMSE-MNOVXSKESA-N 0 0 431.419 -0.178 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC001503374075 1074706304 /nfs/dbraw/zinc/70/63/04/1074706304.db2.gz JECNVHYOFWXMSE-QWRGUYRKSA-N 0 0 431.419 -0.178 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC001503374076 1074706427 /nfs/dbraw/zinc/70/64/27/1074706427.db2.gz JECNVHYOFWXMSE-WDEREUQCSA-N 0 0 431.419 -0.178 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCCCO2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001503374104 1074706403 /nfs/dbraw/zinc/70/64/03/1074706403.db2.gz JZNASTOLTLJLPV-INIZCTEOSA-N 0 0 447.540 -0.121 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCCCO2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001503374110 1074706323 /nfs/dbraw/zinc/70/63/23/1074706323.db2.gz JZNASTOLTLJLPV-MRXNPFEDSA-N 0 0 447.540 -0.121 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001503374163 1074706394 /nfs/dbraw/zinc/70/63/94/1074706394.db2.gz KRXKHFPLMCEJII-DOMZBBRYSA-N 0 0 432.485 -0.916 20 0 IBADRN CN(C)C(=O)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001503374169 1074706333 /nfs/dbraw/zinc/70/63/33/1074706333.db2.gz KRXKHFPLMCEJII-IUODEOHRSA-N 0 0 432.485 -0.916 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001503374171 1074706260 /nfs/dbraw/zinc/70/62/60/1074706260.db2.gz KRXKHFPLMCEJII-SWLSCSKDSA-N 0 0 432.485 -0.916 20 0 IBADRN CN(C)C(=O)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001503374173 1074706480 /nfs/dbraw/zinc/70/64/80/1074706480.db2.gz KRXKHFPLMCEJII-WFASDCNBSA-N 0 0 432.485 -0.916 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CC[C@H]2COCCO2)CC1 ZINC001503374231 1074706490 /nfs/dbraw/zinc/70/64/90/1074706490.db2.gz OUPQSLPPCUTUOU-KRWDZBQOSA-N 0 0 449.556 -0.470 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C(=O)N3CCCC3)n2CC[C@@H]2COCCO2)CC1 ZINC001503374236 1074706415 /nfs/dbraw/zinc/70/64/15/1074706415.db2.gz OUPQSLPPCUTUOU-QGZVFWFLSA-N 0 0 449.556 -0.470 20 0 IBADRN CN(C)C(=O)Cn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)N2CCOCC2)CC1 ZINC001503374241 1074706652 /nfs/dbraw/zinc/70/66/52/1074706652.db2.gz LSMSUSPUBHHFQF-UHFFFAOYSA-N 0 0 431.501 -0.659 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC2(CC1)NC(=O)N(C)C2=O ZINC001503374675 1074706644 /nfs/dbraw/zinc/70/66/44/1074706644.db2.gz APPCIJFCOQQWOO-UHFFFAOYSA-N 0 0 432.485 -0.991 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)N2CCCC2)CC1 ZINC001503374769 1074706799 /nfs/dbraw/zinc/70/67/99/1074706799.db2.gz GENJPLCYVNFZID-UHFFFAOYSA-N 0 0 432.529 -0.174 20 0 IBADRN CN(C)C(=O)Cn1c(CC2CC2)nnc1N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC001503374962 1074706809 /nfs/dbraw/zinc/70/68/09/1074706809.db2.gz HJNIPBYJJLURED-UHFFFAOYSA-N 0 0 437.526 -0.041 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001503375228 1074706725 /nfs/dbraw/zinc/70/67/25/1074706725.db2.gz MOSVEYHUUMWBGN-GFCCVEGCSA-N 0 0 440.551 -0.019 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001503375232 1074706669 /nfs/dbraw/zinc/70/66/69/1074706669.db2.gz MOSVEYHUUMWBGN-LBPRGKRZSA-N 0 0 440.551 -0.019 20 0 IBADRN CN(C)C(=O)Cn1c(Cc2cn3ccccc3n2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001503375358 1074706763 /nfs/dbraw/zinc/70/67/63/1074706763.db2.gz PTMPEKFQKDFLCM-UHFFFAOYSA-N 0 0 440.508 -0.100 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCOC3)n2CC(=O)N2CCOCC2)CC1 ZINC001503375437 1074706619 /nfs/dbraw/zinc/70/66/19/1074706619.db2.gz BRWNCUJZVXHESA-KRWDZBQOSA-N 0 0 449.556 -0.759 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCCOC3)n2CC(=O)N2CCOCC2)CC1 ZINC001503375438 1074706774 /nfs/dbraw/zinc/70/67/74/1074706774.db2.gz BRWNCUJZVXHESA-QGZVFWFLSA-N 0 0 449.556 -0.759 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001503375497 1074706706 /nfs/dbraw/zinc/70/67/06/1074706706.db2.gz RFBZBTJMGAREDR-GFCCVEGCSA-N 0 0 440.551 -0.019 20 0 IBADRN CN(C)C(=O)Cn1c(CNC(=O)c2cccs2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001503375498 1074706637 /nfs/dbraw/zinc/70/66/37/1074706637.db2.gz RFBZBTJMGAREDR-LBPRGKRZSA-N 0 0 440.551 -0.019 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3ccccn3)n2C[C@@]2(O)CCOC2)CC1 ZINC001503375654 1074706680 /nfs/dbraw/zinc/70/66/80/1074706680.db2.gz FUKRNKWHSWHOMW-NRFANRHFSA-N 0 0 429.525 -0.375 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3ccccn3)n2C[C@]2(O)CCOC2)CC1 ZINC001503375655 1074706786 /nfs/dbraw/zinc/70/67/86/1074706786.db2.gz FUKRNKWHSWHOMW-OAQYLSRUSA-N 0 0 429.525 -0.375 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC([C@]2(C)NC(=O)NC2=O)CC1 ZINC001503375751 1074706627 /nfs/dbraw/zinc/70/66/27/1074706627.db2.gz WYTIDCXXHICKIH-FQEVSTJZSA-N 0 0 446.512 -0.697 20 0 IBADRN CN(C)C(=O)Cn1c(CN2CCCC2=O)nnc1N1CCC([C@@]2(C)NC(=O)NC2=O)CC1 ZINC001503375752 1074706748 /nfs/dbraw/zinc/70/67/48/1074706748.db2.gz WYTIDCXXHICKIH-HXUWFJFHSA-N 0 0 446.512 -0.697 20 0 IBADRN CN(C)C(=O)Cn1c(Cc2cn3ccccc3n2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001503375909 1074706714 /nfs/dbraw/zinc/70/67/14/1074706714.db2.gz ZHXXJJRRPIXBJS-UHFFFAOYSA-N 0 0 446.537 -0.314 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001503376001 1074706659 /nfs/dbraw/zinc/70/66/59/1074706659.db2.gz CPHHQAHZOVMQCV-HZPDHXFCSA-N 0 0 440.570 -0.226 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001503376002 1074706737 /nfs/dbraw/zinc/70/67/37/1074706737.db2.gz CPHHQAHZOVMQCV-JKSUJKDBSA-N 0 0 440.570 -0.226 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@@H]2NC(=O)CC2(C)C)CC1 ZINC001503376050 1074706697 /nfs/dbraw/zinc/70/66/97/1074706697.db2.gz KXGNXEZRBJCNQS-INIZCTEOSA-N 0 0 441.540 -0.140 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3cnccn3)n2C[C@H]2NC(=O)CC2(C)C)CC1 ZINC001503376051 1074706689 /nfs/dbraw/zinc/70/66/89/1074706689.db2.gz KXGNXEZRBJCNQS-MRXNPFEDSA-N 0 0 441.540 -0.140 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCOC3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001503376067 1074706916 /nfs/dbraw/zinc/70/69/16/1074706916.db2.gz LGACXXFHJZIQPH-HRAATJIYSA-N 0 0 433.557 -0.139 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001503376068 1074706947 /nfs/dbraw/zinc/70/69/47/1074706947.db2.gz LGACXXFHJZIQPH-IERDGZPVSA-N 0 0 433.557 -0.139 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@@]2(C)CN(C)C2=O)CC1 ZINC001503376069 1074707074 /nfs/dbraw/zinc/70/70/74/1074707074.db2.gz LGACXXFHJZIQPH-IIBYNOLFSA-N 0 0 433.557 -0.139 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCOC3)n2C[C@]2(C)CN(C)C2=O)CC1 ZINC001503376070 1074707007 /nfs/dbraw/zinc/70/70/07/1074707007.db2.gz LGACXXFHJZIQPH-KKSFZXQISA-N 0 0 433.557 -0.139 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001503376202 1074707044 /nfs/dbraw/zinc/70/70/44/1074707044.db2.gz HUHIAISUXPTORH-OAHLLOKOSA-N 0 0 440.570 -0.083 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C3CCC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503376647 1074707061 /nfs/dbraw/zinc/70/70/61/1074707061.db2.gz SNAUKEZLHNEYPW-IBGZPJMESA-N 0 0 440.570 -0.695 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(C3CCC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503376648 1074706986 /nfs/dbraw/zinc/70/69/86/1074706986.db2.gz SNAUKEZLHNEYPW-LJQANCHMSA-N 0 0 440.570 -0.695 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3ccncc3)n2C[C@@H]2COCCO2)CC1 ZINC001503376699 1074707036 /nfs/dbraw/zinc/70/70/36/1074707036.db2.gz QCWJJEWDBBRULP-GOSISDBHSA-N 0 0 429.525 -0.111 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCCO3)n2Cc2ccc(C(N)=O)nc2)CC1 ZINC001503376964 1074706905 /nfs/dbraw/zinc/70/69/05/1074706905.db2.gz VHPISWPXZBXUMA-QGZVFWFLSA-N 0 0 442.524 -0.118 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@H]3CCOC3)n2CCCN2CCOC2=O)CC1 ZINC001503377017 1074707068 /nfs/dbraw/zinc/70/70/68/1074707068.db2.gz WSALXYUQHVMKHA-INIZCTEOSA-N 0 0 435.529 -0.166 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc([C@@H]3CCOC3)n2CCCN2CCOC2=O)CC1 ZINC001503377020 1074707013 /nfs/dbraw/zinc/70/70/13/1074707013.db2.gz WSALXYUQHVMKHA-MRXNPFEDSA-N 0 0 435.529 -0.166 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(-c3ccc[nH]3)n2CCC[C@@H]2NC(=O)NC2=O)CC1 ZINC001503377118 1074707053 /nfs/dbraw/zinc/70/70/53/1074707053.db2.gz LMGOEGMOYDXTTQ-HNNXBMFYSA-N 0 0 443.512 -0.528 20 0 IBADRN CN(C)C(=O)CN1CCN(c2nnc(Cc3cccnc3)n2CCCS(C)(=O)=O)CC1 ZINC001503377276 1074707131 /nfs/dbraw/zinc/70/71/31/1074707131.db2.gz XZUFBWPSVBOKQH-UHFFFAOYSA-N 0 0 449.581 -0.091 20 0 IBADRN CN(C)c1ccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)cn1 ZINC001503395088 1074707309 /nfs/dbraw/zinc/70/73/09/1074707309.db2.gz ZTVJMFZBZGJASX-HNNXBMFYSA-N 0 0 448.553 -0.180 20 0 IBADRN CN(C)c1ccc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCN(S(C)(=O)=O)CC2)cn1 ZINC001503395090 1074707424 /nfs/dbraw/zinc/70/74/24/1074707424.db2.gz ZTVJMFZBZGJASX-OAHLLOKOSA-N 0 0 448.553 -0.180 20 0 IBADRN CN(C)c1cccnc1Cn1c([C@H]2CCC(=O)N2)nnc1N(C)CCNS(C)(=O)=O ZINC001503396232 1074707395 /nfs/dbraw/zinc/70/73/95/1074707395.db2.gz WIMUIPOZVAZUJT-CYBMUJFWSA-N 0 0 436.542 -0.276 20 0 IBADRN CN(C)c1cccnc1Cn1c([C@@H]2CCC(=O)N2)nnc1N(C)CCNS(C)(=O)=O ZINC001503396235 1074707297 /nfs/dbraw/zinc/70/72/97/1074707297.db2.gz WIMUIPOZVAZUJT-ZDUSSCGKSA-N 0 0 436.542 -0.276 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(-c2nnc(N3CCNC(=O)C3)n2CCn2cncn2)c1 ZINC001503405181 1074707685 /nfs/dbraw/zinc/70/76/85/1074707685.db2.gz GQQSQHSNLRSSOZ-UHFFFAOYSA-N 0 0 445.509 -0.577 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(-c2nnc(N3CCNC(=O)C3)n2Cc2cnn(C)c2)c1 ZINC001503405200 1074707803 /nfs/dbraw/zinc/70/78/03/1074707803.db2.gz HGBMIONWFJBVIE-UHFFFAOYSA-N 0 0 444.521 -0.087 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](S(C)(=O)=O)C1 ZINC001503405768 1074707721 /nfs/dbraw/zinc/70/77/21/1074707721.db2.gz OHLSOPQHOAEEDI-CYBMUJFWSA-N 0 0 448.571 -0.971 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](S(C)(=O)=O)C1 ZINC001503405769 1074707870 /nfs/dbraw/zinc/70/78/70/1074707870.db2.gz OHLSOPQHOAEEDI-ZDUSSCGKSA-N 0 0 448.571 -0.971 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cccn2C)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001503406202 1074707841 /nfs/dbraw/zinc/70/78/41/1074707841.db2.gz FAZLIEQRBNUNEL-UHFFFAOYSA-N 0 0 446.537 -0.169 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCC[C@@H](CC(N)=O)C1 ZINC001503406582 1074708215 /nfs/dbraw/zinc/70/82/15/1074708215.db2.gz GPDWCHGMHFEWMW-AWEZNQCLSA-N 0 0 441.558 -0.616 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CCC[C@H](CC(N)=O)C1 ZINC001503406589 1074708139 /nfs/dbraw/zinc/70/81/39/1074708139.db2.gz GPDWCHGMHFEWMW-CQSZACIVSA-N 0 0 441.558 -0.616 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001503406592 1074707774 /nfs/dbraw/zinc/70/77/74/1074707774.db2.gz ARXCXTRGXTUDEP-DLBZAZTESA-N 0 0 442.586 -0.168 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001503406595 1074707636 /nfs/dbraw/zinc/70/76/36/1074707636.db2.gz ARXCXTRGXTUDEP-IAGOWNOFSA-N 0 0 442.586 -0.168 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CC[C@@H](CN2CCOCC2)C1 ZINC001503406599 1074707663 /nfs/dbraw/zinc/70/76/63/1074707663.db2.gz ARXCXTRGXTUDEP-IRXDYDNUSA-N 0 0 442.586 -0.168 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CC[C@H](CN2CCOCC2)C1 ZINC001503406603 1074708175 /nfs/dbraw/zinc/70/81/75/1074708175.db2.gz ARXCXTRGXTUDEP-SJORKVTESA-N 0 0 442.586 -0.168 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001503406623 1074708130 /nfs/dbraw/zinc/70/81/30/1074708130.db2.gz NISJTIMHNCSWTI-UHFFFAOYSA-N 0 0 449.541 -0.380 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCN(c2cccnn2)CC1 ZINC001503406655 1074708111 /nfs/dbraw/zinc/70/81/11/1074708111.db2.gz BCLORUBUDQAWPJ-HNNXBMFYSA-N 0 0 436.542 -0.210 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCN(c2cccnn2)CC1 ZINC001503406661 1074708022 /nfs/dbraw/zinc/70/80/22/1074708022.db2.gz BCLORUBUDQAWPJ-OAHLLOKOSA-N 0 0 436.542 -0.210 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001503407119 1074708053 /nfs/dbraw/zinc/70/80/53/1074708053.db2.gz FCBDNKBHZLHUKJ-KKUMJFAQSA-N 0 0 442.542 -0.495 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001503407120 1074708032 /nfs/dbraw/zinc/70/80/32/1074708032.db2.gz FCBDNKBHZLHUKJ-RRFJBIMHSA-N 0 0 442.542 -0.495 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001503407121 1074708158 /nfs/dbraw/zinc/70/81/58/1074708158.db2.gz FCBDNKBHZLHUKJ-SOUVJXGZSA-N 0 0 442.542 -0.495 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H]([C@H]2CCCO2)C1 ZINC001503407122 1074708165 /nfs/dbraw/zinc/70/81/65/1074708165.db2.gz FCBDNKBHZLHUKJ-ZNMIVQPWSA-N 0 0 442.542 -0.495 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001503407306 1074708062 /nfs/dbraw/zinc/70/80/62/1074708062.db2.gz GAJZGRONJWHRHW-KBPBESRZSA-N 0 0 436.494 -0.036 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001503407309 1074708083 /nfs/dbraw/zinc/70/80/83/1074708083.db2.gz GAJZGRONJWHRHW-KGLIPLIRSA-N 0 0 436.494 -0.036 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@@H]1COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001503407311 1074708204 /nfs/dbraw/zinc/70/82/04/1074708204.db2.gz GAJZGRONJWHRHW-UONOGXRCSA-N 0 0 436.494 -0.036 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@@H]1COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001503407314 1074708121 /nfs/dbraw/zinc/70/81/21/1074708121.db2.gz GAJZGRONJWHRHW-ZIAGYGMSSA-N 0 0 436.494 -0.036 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccccn2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001503407422 1074708102 /nfs/dbraw/zinc/70/81/02/1074708102.db2.gz VIFVVLTZONQSFB-UHFFFAOYSA-N 0 0 443.555 -0.687 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CC2CC2)nnc1N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001503407696 1074708196 /nfs/dbraw/zinc/70/81/96/1074708196.db2.gz PIDNFTSSJCDTBL-INIZCTEOSA-N 0 0 440.570 -0.050 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CC2CC2)nnc1N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001503407699 1074708150 /nfs/dbraw/zinc/70/81/50/1074708150.db2.gz PIDNFTSSJCDTBL-MRXNPFEDSA-N 0 0 440.570 -0.050 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC[C@H](S(C)(=O)=O)C1 ZINC001503407718 1074708093 /nfs/dbraw/zinc/70/80/93/1074708093.db2.gz XCIYWEWLCMRQSO-AWEZNQCLSA-N 0 0 445.571 -0.417 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001503407728 1074708071 /nfs/dbraw/zinc/70/80/71/1074708071.db2.gz XCIYWEWLCMRQSO-CQSZACIVSA-N 0 0 445.571 -0.417 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2ccc3c(c2)OCO3)nnc1N1CCNC(=O)CC1 ZINC001503407806 1074708044 /nfs/dbraw/zinc/70/80/44/1074708044.db2.gz YJQWDQKFZKMNNA-UHFFFAOYSA-N 0 0 436.494 -0.109 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001503407893 1074708466 /nfs/dbraw/zinc/70/84/66/1074708466.db2.gz JMSQOSDDOHPBJB-AWEZNQCLSA-N 0 0 441.558 -0.333 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CCC(N2CCNC2=O)CC1 ZINC001503407894 1074708507 /nfs/dbraw/zinc/70/85/07/1074708507.db2.gz JMSQOSDDOHPBJB-CQSZACIVSA-N 0 0 441.558 -0.333 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCN2C(=O)CC[C@H]2C1 ZINC001503407918 1074708371 /nfs/dbraw/zinc/70/83/71/1074708371.db2.gz KAUSMWSBKBXSGA-INIZCTEOSA-N 0 0 433.538 -0.034 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccccn2)nnc1N1CCN2C(=O)CC[C@@H]2C1 ZINC001503407919 1074708534 /nfs/dbraw/zinc/70/85/34/1074708534.db2.gz KAUSMWSBKBXSGA-MRXNPFEDSA-N 0 0 433.538 -0.034 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001503408053 1074708455 /nfs/dbraw/zinc/70/84/55/1074708455.db2.gz LDSHLUCNIVKTBT-HNNXBMFYSA-N 0 0 435.510 -0.204 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001503408095 1074708543 /nfs/dbraw/zinc/70/85/43/1074708543.db2.gz LDSHLUCNIVKTBT-OAHLLOKOSA-N 0 0 435.510 -0.204 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@H]2OC(=O)O[C@@H]2C1 ZINC001503408228 1074708488 /nfs/dbraw/zinc/70/84/88/1074708488.db2.gz WNRBPBQGLJVQGD-CHWSQXEVSA-N 0 0 442.498 -0.594 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@H]2OC(=O)O[C@H]2C1 ZINC001503408234 1074708524 /nfs/dbraw/zinc/70/85/24/1074708524.db2.gz WNRBPBQGLJVQGD-OLZOCXBDSA-N 0 0 442.498 -0.594 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H]2OC(=O)O[C@@H]2C1 ZINC001503408236 1074708398 /nfs/dbraw/zinc/70/83/98/1074708398.db2.gz WNRBPBQGLJVQGD-QWHCGFSZSA-N 0 0 442.498 -0.594 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H]2OC(=O)O[C@H]2C1 ZINC001503408238 1074708514 /nfs/dbraw/zinc/70/85/14/1074708514.db2.gz WNRBPBQGLJVQGD-STQMWFEESA-N 0 0 442.498 -0.594 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001503408375 1074708425 /nfs/dbraw/zinc/70/84/25/1074708425.db2.gz LZXDKSUBZNXRKJ-KRWDZBQOSA-N 0 0 435.554 -0.324 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001503408381 1074708345 /nfs/dbraw/zinc/70/83/45/1074708345.db2.gz LZXDKSUBZNXRKJ-QGZVFWFLSA-N 0 0 435.554 -0.324 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@@]1(O)CCOC1)[C@@H]1CCS(=O)(=O)C1 ZINC001503409073 1074708552 /nfs/dbraw/zinc/70/85/52/1074708552.db2.gz UGNVMNNFELDYOT-ACJLOTCBSA-N 0 0 436.494 -0.300 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@]1(O)CCOC1)[C@@H]1CCS(=O)(=O)C1 ZINC001503409075 1074708572 /nfs/dbraw/zinc/70/85/72/1074708572.db2.gz UGNVMNNFELDYOT-FZKQIMNGSA-N 0 0 436.494 -0.300 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@]1(O)CCOC1)[C@H]1CCS(=O)(=O)C1 ZINC001503409077 1074708497 /nfs/dbraw/zinc/70/84/97/1074708497.db2.gz UGNVMNNFELDYOT-SCLBCKFNSA-N 0 0 436.494 -0.300 20 0 IBADRN CN(c1nnc(C(=O)Nc2ccccn2)n1C[C@@]1(O)CCOC1)[C@H]1CCS(=O)(=O)C1 ZINC001503409079 1074708389 /nfs/dbraw/zinc/70/83/89/1074708389.db2.gz UGNVMNNFELDYOT-UGSOOPFHSA-N 0 0 436.494 -0.300 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(C2CC2)nnc1N1CCN(CC(=O)N2CCCC2)CC1 ZINC001503409119 1074708476 /nfs/dbraw/zinc/70/84/76/1074708476.db2.gz QVZBBJOABCUWDP-UHFFFAOYSA-N 0 0 439.586 -0.209 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1C[C@]1(C)COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001503409368 1074708411 /nfs/dbraw/zinc/70/84/11/1074708411.db2.gz XBFOIWBRCDMBFJ-KBXCAEBGSA-N 0 0 427.527 -0.057 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1C[C@@]1(C)COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001503409372 1074708562 /nfs/dbraw/zinc/70/85/62/1074708562.db2.gz XBFOIWBRCDMBFJ-KDOFPFPSSA-N 0 0 427.527 -0.057 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1C[C@@]1(C)COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001503409374 1074708582 /nfs/dbraw/zinc/70/85/82/1074708582.db2.gz XBFOIWBRCDMBFJ-KSSFIOAISA-N 0 0 427.527 -0.057 20 0 IBADRN CN(c1nnc(C(=O)N2CCCC2)n1C[C@]1(C)COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001503409375 1074708825 /nfs/dbraw/zinc/70/88/25/1074708825.db2.gz XBFOIWBRCDMBFJ-RDTXWAMCSA-N 0 0 427.527 -0.057 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H]1c1nncn1C ZINC001503409777 1074708762 /nfs/dbraw/zinc/70/87/62/1074708762.db2.gz UJDCCFPCXIHDMF-CHWSQXEVSA-N 0 0 437.530 -0.409 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H]1c1nncn1C ZINC001503409779 1074708717 /nfs/dbraw/zinc/70/87/17/1074708717.db2.gz UJDCCFPCXIHDMF-OLZOCXBDSA-N 0 0 437.530 -0.409 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H]1c1nncn1C ZINC001503409781 1074708837 /nfs/dbraw/zinc/70/88/37/1074708837.db2.gz UJDCCFPCXIHDMF-QWHCGFSZSA-N 0 0 437.530 -0.409 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H]1c1nncn1C ZINC001503409783 1074708783 /nfs/dbraw/zinc/70/87/83/1074708783.db2.gz UJDCCFPCXIHDMF-STQMWFEESA-N 0 0 437.530 -0.409 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1C[C@H]2CCC(=O)N[C@H]2C1 ZINC001503410274 1074708794 /nfs/dbraw/zinc/70/87/94/1074708794.db2.gz WMBCUZQXUMBSDU-CVEARBPZSA-N 0 0 433.538 -0.130 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1C[C@@H]2NC(=O)CC[C@H]2C1 ZINC001503410275 1074708746 /nfs/dbraw/zinc/70/87/46/1074708746.db2.gz WMBCUZQXUMBSDU-HOTGVXAUSA-N 0 0 433.538 -0.130 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1C[C@H]2CCC(=O)N[C@@H]2C1 ZINC001503410276 1074708849 /nfs/dbraw/zinc/70/88/49/1074708849.db2.gz WMBCUZQXUMBSDU-HZPDHXFCSA-N 0 0 433.538 -0.130 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2ccncc2)nnc1N1C[C@@H]2CCC(=O)N[C@@H]2C1 ZINC001503410277 1074708860 /nfs/dbraw/zinc/70/88/60/1074708860.db2.gz WMBCUZQXUMBSDU-JKSUJKDBSA-N 0 0 433.538 -0.130 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cccn2C)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001503418261 1074708803 /nfs/dbraw/zinc/70/88/03/1074708803.db2.gz GXOFRNQDXVLDRU-CYBMUJFWSA-N 0 0 430.556 -0.202 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cccn2C)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001503418263 1074708868 /nfs/dbraw/zinc/70/88/68/1074708868.db2.gz GXOFRNQDXVLDRU-ZDUSSCGKSA-N 0 0 430.556 -0.202 20 0 IBADRN CN(c1nnc(-c2cccn2C)n1C[C@]1(O)CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001503418854 1074708728 /nfs/dbraw/zinc/70/87/28/1074708728.db2.gz UOQZREKXUBKQBU-CXAGYDPISA-N 0 0 443.551 -0.544 20 0 IBADRN CN(c1nnc(-c2cccn2C)n1C[C@@]1(O)CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001503418855 1074708755 /nfs/dbraw/zinc/70/87/55/1074708755.db2.gz UOQZREKXUBKQBU-DYVFJYSZSA-N 0 0 443.551 -0.544 20 0 IBADRN CN(c1nnc(-c2cccn2C)n1C[C@@]1(O)CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001503418856 1074708711 /nfs/dbraw/zinc/70/87/11/1074708711.db2.gz UOQZREKXUBKQBU-GUYCJALGSA-N 0 0 443.551 -0.544 20 0 IBADRN CN(c1nnc(-c2cccn2C)n1C[C@]1(O)CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001503418857 1074708814 /nfs/dbraw/zinc/70/88/14/1074708814.db2.gz UOQZREKXUBKQBU-SUMWQHHRSA-N 0 0 443.551 -0.544 20 0 IBADRN CN(c1nnc(-c2cnccn2)n1CCN1CCCS1(=O)=O)[C@@H]1CCS(=O)(=O)C1 ZINC001503419518 1074708879 /nfs/dbraw/zinc/70/88/79/1074708879.db2.gz DXTRDXLJAUNZBI-CYBMUJFWSA-N 0 0 441.539 -0.606 20 0 IBADRN CN(c1nnc(-c2cnccn2)n1CCN1CCCS1(=O)=O)[C@H]1CCS(=O)(=O)C1 ZINC001503419519 1074709132 /nfs/dbraw/zinc/70/91/32/1074709132.db2.gz DXTRDXLJAUNZBI-ZDUSSCGKSA-N 0 0 441.539 -0.606 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N(C)[C@@H]1CCS(=O)(=O)C1 ZINC001503419671 1074709244 /nfs/dbraw/zinc/70/92/44/1074709244.db2.gz AHOJIMRWXFHVGI-CYBMUJFWSA-N 0 0 431.544 -0.807 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N(C)[C@H]1CCS(=O)(=O)C1 ZINC001503419672 1074709121 /nfs/dbraw/zinc/70/91/21/1074709121.db2.gz AHOJIMRWXFHVGI-ZDUSSCGKSA-N 0 0 431.544 -0.807 20 0 IBADRN CN(c1nnc(-c2cnn(C)c2)n1CC1(S(C)(=O)=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001503421133 1074709061 /nfs/dbraw/zinc/70/90/61/1074709061.db2.gz WURPETQZWFRFRT-CYBMUJFWSA-N 0 0 428.540 -0.121 20 0 IBADRN CN(c1nnc(-c2cnn(C)c2)n1CC1(S(C)(=O)=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001503421134 1074709141 /nfs/dbraw/zinc/70/91/41/1074709141.db2.gz WURPETQZWFRFRT-ZDUSSCGKSA-N 0 0 428.540 -0.121 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCC1(O)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001503421493 1074709220 /nfs/dbraw/zinc/70/92/20/1074709220.db2.gz HLBRINKCEVTXIB-KBPBESRZSA-N 0 0 427.527 -0.216 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1CCC1(O)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001503421494 1074709112 /nfs/dbraw/zinc/70/91/12/1074709112.db2.gz HLBRINKCEVTXIB-KGLIPLIRSA-N 0 0 427.527 -0.216 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCC1(O)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001503421495 1074709196 /nfs/dbraw/zinc/70/91/96/1074709196.db2.gz HLBRINKCEVTXIB-UONOGXRCSA-N 0 0 427.527 -0.216 20 0 IBADRN CN(c1nnc([C@H]2CCC(=O)N2)n1CCC1(O)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001503421496 1074709166 /nfs/dbraw/zinc/70/91/66/1074709166.db2.gz HLBRINKCEVTXIB-ZIAGYGMSSA-N 0 0 427.527 -0.216 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001503421502 1074709087 /nfs/dbraw/zinc/70/90/87/1074709087.db2.gz HPQFWKCNWHUISF-AGIUHOORSA-N 0 0 431.540 -0.713 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCS(=O)(=O)C1)[C@H]1CCS(=O)(=O)C1 ZINC001503421503 1074709082 /nfs/dbraw/zinc/70/90/82/1074709082.db2.gz HPQFWKCNWHUISF-AVGNSLFASA-N 0 0 431.540 -0.713 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001503421504 1074709174 /nfs/dbraw/zinc/70/91/74/1074709174.db2.gz HPQFWKCNWHUISF-UPJWGTAASA-N 0 0 431.540 -0.713 20 0 IBADRN CN(c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCS(=O)(=O)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001503421505 1074709075 /nfs/dbraw/zinc/70/90/75/1074709075.db2.gz HPQFWKCNWHUISF-XQQFMLRXSA-N 0 0 431.540 -0.713 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(C)(C)O)C1CCN(S(C)(=O)=O)CC1 ZINC001503423417 1074709184 /nfs/dbraw/zinc/70/91/84/1074709184.db2.gz FTJYSHMXIQFZOO-CYBMUJFWSA-N 0 0 449.599 -0.189 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(C)(C)O)C1CCN(S(C)(=O)=O)CC1 ZINC001503423418 1074709105 /nfs/dbraw/zinc/70/91/05/1074709105.db2.gz FTJYSHMXIQFZOO-ZDUSSCGKSA-N 0 0 449.599 -0.189 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1CC1(O)CCS(=O)(=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001503423440 1074709232 /nfs/dbraw/zinc/70/92/32/1074709232.db2.gz GATJJJCRBDMWTP-KBPBESRZSA-N 0 0 448.567 -0.655 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1CC1(O)CCS(=O)(=O)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001503423442 1074709154 /nfs/dbraw/zinc/70/91/54/1074709154.db2.gz GATJJJCRBDMWTP-KGLIPLIRSA-N 0 0 448.567 -0.655 20 0 IBADRN CN(c1nnc([C@H]2CCOC2)n1CC1(O)CCS(=O)(=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001503423446 1074709068 /nfs/dbraw/zinc/70/90/68/1074709068.db2.gz GATJJJCRBDMWTP-UONOGXRCSA-N 0 0 448.567 -0.655 20 0 IBADRN CN(c1nnc([C@@H]2CCOC2)n1CC1(O)CCS(=O)(=O)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001503423449 1074709209 /nfs/dbraw/zinc/70/92/09/1074709209.db2.gz GATJJJCRBDMWTP-ZIAGYGMSSA-N 0 0 448.567 -0.655 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CCc1cn[nH]c1)[C@H]1CCS(=O)(=O)C1 ZINC001503425543 1074709094 /nfs/dbraw/zinc/70/90/94/1074709094.db2.gz NFVVFPKZJBUZQC-KBPBESRZSA-N 0 0 428.540 -0.231 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCc1cn[nH]c1)[C@H]1CCS(=O)(=O)C1 ZINC001503425546 1074709453 /nfs/dbraw/zinc/70/94/53/1074709453.db2.gz NFVVFPKZJBUZQC-KGLIPLIRSA-N 0 0 428.540 -0.231 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1CCc1cn[nH]c1)[C@@H]1CCS(=O)(=O)C1 ZINC001503425549 1074709510 /nfs/dbraw/zinc/70/95/10/1074709510.db2.gz NFVVFPKZJBUZQC-UONOGXRCSA-N 0 0 428.540 -0.231 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCc1cn[nH]c1)[C@@H]1CCS(=O)(=O)C1 ZINC001503425550 1074709502 /nfs/dbraw/zinc/70/95/02/1074709502.db2.gz NFVVFPKZJBUZQC-ZIAGYGMSSA-N 0 0 428.540 -0.231 20 0 IBADRN CN(c1nnc(Cc2ccccn2)n1CC(=O)N1CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001503425951 1074709425 /nfs/dbraw/zinc/70/94/25/1074709425.db2.gz NYVCNLAGSWRFHS-INIZCTEOSA-N 0 0 434.522 -0.254 20 0 IBADRN CN(c1nnc(Cc2ccccn2)n1CC(=O)N1CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001503425953 1074709399 /nfs/dbraw/zinc/70/93/99/1074709399.db2.gz NYVCNLAGSWRFHS-MRXNPFEDSA-N 0 0 434.522 -0.254 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccc(C(N)=O)nc1)C1CC1 ZINC001503426032 1074709534 /nfs/dbraw/zinc/70/95/34/1074709534.db2.gz PANSNJLDINQLIW-HNNXBMFYSA-N 0 0 435.510 -0.248 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccc(C(N)=O)nc1)C1CC1 ZINC001503426044 1074709421 /nfs/dbraw/zinc/70/94/21/1074709421.db2.gz PANSNJLDINQLIW-OAHLLOKOSA-N 0 0 435.510 -0.248 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001503426426 1074709411 /nfs/dbraw/zinc/70/94/11/1074709411.db2.gz PRIQZOZSDLNBIA-CKEIUWERSA-N 0 0 448.567 -0.391 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)COCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001503426432 1074709388 /nfs/dbraw/zinc/70/93/88/1074709388.db2.gz PRIQZOZSDLNBIA-CPUCHLNUSA-N 0 0 448.567 -0.391 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001503426437 1074709438 /nfs/dbraw/zinc/70/94/38/1074709438.db2.gz PRIQZOZSDLNBIA-JKIFEVAISA-N 0 0 448.567 -0.391 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)COCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001503426442 1074709460 /nfs/dbraw/zinc/70/94/60/1074709460.db2.gz PRIQZOZSDLNBIA-KEYYUXOJSA-N 0 0 448.567 -0.391 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)COCCO1)[C@H]1CCOC1 ZINC001503427531 1074709406 /nfs/dbraw/zinc/70/94/06/1074709406.db2.gz VKQCEXGIYMZFJR-MPGHIAIKSA-N 0 0 445.542 -0.358 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(C)COCCO1)[C@H]1CCOC1 ZINC001503427532 1074709475 /nfs/dbraw/zinc/70/94/75/1074709475.db2.gz VKQCEXGIYMZFJR-RLFYNMQTSA-N 0 0 445.542 -0.358 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(C)COCCO1)[C@@H]1CCOC1 ZINC001503427533 1074709519 /nfs/dbraw/zinc/70/95/19/1074709519.db2.gz VKQCEXGIYMZFJR-RVKKMQEKSA-N 0 0 445.542 -0.358 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(C)COCCO1)[C@@H]1CCOC1 ZINC001503427534 1074709468 /nfs/dbraw/zinc/70/94/68/1074709468.db2.gz VKQCEXGIYMZFJR-VKJFTORMSA-N 0 0 445.542 -0.358 20 0 IBADRN CN(c1nnc([C@H]2CCCOC2)n1CCNC(=O)c1ccn[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC001503428199 1074709524 /nfs/dbraw/zinc/70/95/24/1074709524.db2.gz SGNYSMWACVQYCS-KBPBESRZSA-N 0 0 437.526 -0.052 20 0 IBADRN CN(c1nnc([C@@H]2CCCOC2)n1CCNC(=O)c1ccn[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC001503428200 1074709685 /nfs/dbraw/zinc/70/96/85/1074709685.db2.gz SGNYSMWACVQYCS-KGLIPLIRSA-N 0 0 437.526 -0.052 20 0 IBADRN CN(c1nnc([C@H]2CCCOC2)n1CCNC(=O)c1ccn[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC001503428201 1074709891 /nfs/dbraw/zinc/70/98/91/1074709891.db2.gz SGNYSMWACVQYCS-UONOGXRCSA-N 0 0 437.526 -0.052 20 0 IBADRN CN(c1nnc([C@@H]2CCCOC2)n1CCNC(=O)c1ccn[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC001503428202 1074709744 /nfs/dbraw/zinc/70/97/44/1074709744.db2.gz SGNYSMWACVQYCS-ZIAGYGMSSA-N 0 0 437.526 -0.052 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1)[C@@H]1CCS(=O)(=O)C1 ZINC001503428322 1074709447 /nfs/dbraw/zinc/70/94/47/1074709447.db2.gz ZHJWVIVOVPSVPQ-APIJFGDWSA-N 0 0 448.567 -0.657 20 0 IBADRN CN(c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1)[C@H]1CCS(=O)(=O)C1 ZINC001503428340 1074709494 /nfs/dbraw/zinc/70/94/94/1074709494.db2.gz ZHJWVIVOVPSVPQ-CBBWQLFWSA-N 0 0 448.567 -0.657 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1)[C@@H]1CCS(=O)(=O)C1 ZINC001503428343 1074709483 /nfs/dbraw/zinc/70/94/83/1074709483.db2.gz ZHJWVIVOVPSVPQ-LJISPDSOSA-N 0 0 448.567 -0.657 20 0 IBADRN CN(c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC[C@H](CO)O1)[C@H]1CCS(=O)(=O)C1 ZINC001503428346 1074709486 /nfs/dbraw/zinc/70/94/86/1074709486.db2.gz ZHJWVIVOVPSVPQ-ZQDZILKHSA-N 0 0 448.567 -0.657 20 0 IBADRN CN(c1nnc(Cc2ccncc2)n1CCC[C@@H]1NC(=O)NC1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001503429851 1074709695 /nfs/dbraw/zinc/70/96/95/1074709695.db2.gz WPLCMOUTUKJKHV-CABCVRRESA-N 0 0 447.521 -0.125 20 0 IBADRN CN(c1nnc(Cc2ccncc2)n1CCC[C@@H]1NC(=O)NC1=O)[C@H]1CCS(=O)(=O)C1 ZINC001503429852 1074709901 /nfs/dbraw/zinc/70/99/01/1074709901.db2.gz WPLCMOUTUKJKHV-GJZGRUSLSA-N 0 0 447.521 -0.125 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCNS(C)(=O)=O)C1CCC1 ZINC001503432362 1074709800 /nfs/dbraw/zinc/70/98/00/1074709800.db2.gz OVDQNBMHIXIHCK-CYBMUJFWSA-N 0 0 436.560 -0.851 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCNS(C)(=O)=O)C1CCC1 ZINC001503432363 1074709756 /nfs/dbraw/zinc/70/97/56/1074709756.db2.gz OVDQNBMHIXIHCK-ZDUSSCGKSA-N 0 0 436.560 -0.851 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCCN1CCOCC1)C1CC1 ZINC001503432377 1074709857 /nfs/dbraw/zinc/70/98/57/1074709857.db2.gz PDPHBNHWESURRX-INIZCTEOSA-N 0 0 428.559 -0.068 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCCN1CCOCC1)C1CC1 ZINC001503432379 1074709821 /nfs/dbraw/zinc/70/98/21/1074709821.db2.gz PDPHBNHWESURRX-MRXNPFEDSA-N 0 0 428.559 -0.068 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@H]1COCCO1)[C@H]1CCOC1 ZINC001503432412 1074709878 /nfs/dbraw/zinc/70/98/78/1074709878.db2.gz PXYSYNCJHLFYJM-JYJNAYRXSA-N 0 0 445.542 -0.358 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@@H]1COCCO1)[C@@H]1CCOC1 ZINC001503432416 1074709720 /nfs/dbraw/zinc/70/97/20/1074709720.db2.gz PXYSYNCJHLFYJM-OAGGEKHMSA-N 0 0 445.542 -0.358 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@H]1COCCO1)[C@@H]1CCOC1 ZINC001503432419 1074709810 /nfs/dbraw/zinc/70/98/10/1074709810.db2.gz PXYSYNCJHLFYJM-PMPSAXMXSA-N 0 0 445.542 -0.358 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC[C@@H]1COCCO1)[C@H]1CCOC1 ZINC001503432422 1074709706 /nfs/dbraw/zinc/70/97/06/1074709706.db2.gz PXYSYNCJHLFYJM-XHSDSOJGSA-N 0 0 445.542 -0.358 20 0 IBADRN CN(c1nnc(CC2CC2)n1CCNS(C)(=O)=O)C1CCN(S(C)(=O)=O)CC1 ZINC001503432435 1074709789 /nfs/dbraw/zinc/70/97/89/1074709789.db2.gz OEVNCBFQZOTZDB-UHFFFAOYSA-N 0 0 434.588 -0.360 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCNC(=O)c1ccn[nH]1)C1CC1 ZINC001503432884 1074709767 /nfs/dbraw/zinc/70/97/67/1074709767.db2.gz QEQIBMXILIPICF-AWEZNQCLSA-N 0 0 438.514 -0.637 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCNC(=O)c1ccn[nH]1)C1CC1 ZINC001503432885 1074709778 /nfs/dbraw/zinc/70/97/78/1074709778.db2.gz QEQIBMXILIPICF-CQSZACIVSA-N 0 0 438.514 -0.637 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1COCCO1)[C@@H]1CCSC1 ZINC001503432953 1074709875 /nfs/dbraw/zinc/70/98/75/1074709875.db2.gz SDTJCFXSQRHMIU-ILXRZTDVSA-N 0 0 447.583 -0.032 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1COCCO1)[C@H]1CCSC1 ZINC001503432954 1074709733 /nfs/dbraw/zinc/70/97/33/1074709733.db2.gz SDTJCFXSQRHMIU-KKUMJFAQSA-N 0 0 447.583 -0.032 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCc1ccn(C)n1)[C@@H]1CCOC1 ZINC001503434096 1074709845 /nfs/dbraw/zinc/70/98/45/1074709845.db2.gz XOHNVYSFQSWVEN-CVEARBPZSA-N 0 0 439.542 -0.188 20 0 IBADRN CN(c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCc1ccn(C)n1)[C@H]1CCOC1 ZINC001503434098 1074709673 /nfs/dbraw/zinc/70/96/73/1074709673.db2.gz XOHNVYSFQSWVEN-HOTGVXAUSA-N 0 0 439.542 -0.188 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCc1ccn(C)n1)[C@@H]1CCOC1 ZINC001503434100 1074710069 /nfs/dbraw/zinc/71/00/69/1074710069.db2.gz XOHNVYSFQSWVEN-HZPDHXFCSA-N 0 0 439.542 -0.188 20 0 IBADRN CN(c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCc1ccn(C)n1)[C@H]1CCOC1 ZINC001503434103 1074710207 /nfs/dbraw/zinc/71/02/07/1074710207.db2.gz XOHNVYSFQSWVEN-JKSUJKDBSA-N 0 0 439.542 -0.188 20 0 IBADRN CN(C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2cnnn2C)CC1)S(C)(=O)=O ZINC001503435946 1074710111 /nfs/dbraw/zinc/71/01/11/1074710111.db2.gz BUFATHNGQURLHQ-AWEZNQCLSA-N 0 0 437.530 -0.734 20 0 IBADRN CN(C1CCN(c2nnc([C@H]3CCC(=O)N3)n2Cc2cnnn2C)CC1)S(C)(=O)=O ZINC001503435947 1074710080 /nfs/dbraw/zinc/71/00/80/1074710080.db2.gz BUFATHNGQURLHQ-CQSZACIVSA-N 0 0 437.530 -0.734 20 0 IBADRN CN(C1CCN(c2nnc(-c3cnn(C)c3)n2C[C@]2(O)CCOC2)CC1)S(C)(=O)=O ZINC001503436003 1074710059 /nfs/dbraw/zinc/71/00/59/1074710059.db2.gz DRMUATBBXWDBEZ-GOSISDBHSA-N 0 0 439.542 -0.310 20 0 IBADRN CN(C1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@]2(O)CCOC2)CC1)S(C)(=O)=O ZINC001503436005 1074710168 /nfs/dbraw/zinc/71/01/68/1074710168.db2.gz DRMUATBBXWDBEZ-SFHVURJKSA-N 0 0 439.542 -0.310 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(C(=O)N2CCCC2)n1CC1(C(N)=O)CCCC1 ZINC001503436548 1074710266 /nfs/dbraw/zinc/71/02/66/1074710266.db2.gz HZWDVBNNYFTCCD-UHFFFAOYSA-N 0 0 447.540 -0.145 20 0 IBADRN CN(C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1)S(C)(=O)=O ZINC001503436653 1074710187 /nfs/dbraw/zinc/71/01/87/1074710187.db2.gz MXRSVRRNVBQQAG-CABCVRRESA-N 0 0 442.542 -0.495 20 0 IBADRN CN(C1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2COCCO2)CC1)S(C)(=O)=O ZINC001503436654 1074710158 /nfs/dbraw/zinc/71/01/58/1074710158.db2.gz MXRSVRRNVBQQAG-HUUCEWRRSA-N 0 0 442.542 -0.495 20 0 IBADRN CN(C1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCC(=O)N2)CC1)S(C)(=O)=O ZINC001503436828 1074710196 /nfs/dbraw/zinc/71/01/96/1074710196.db2.gz OUKJZKUQTCFUMM-CQSZACIVSA-N 0 0 436.542 -0.183 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cccn2C)n1CCC[C@@H]1NC(=O)NC1=O ZINC001503437663 1074710129 /nfs/dbraw/zinc/71/01/29/1074710129.db2.gz CKUOKKQZJOUJOP-AWEZNQCLSA-N 0 0 444.496 -0.433 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1CCNC(=O)C(C)(C)C ZINC001503437740 1074710218 /nfs/dbraw/zinc/71/02/18/1074710218.db2.gz GKQYZGIOSVSASY-AWEZNQCLSA-N 0 0 435.529 -0.314 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1CCNC(=O)C(C)(C)C ZINC001503437741 1074710120 /nfs/dbraw/zinc/71/01/20/1074710120.db2.gz GKQYZGIOSVSASY-CQSZACIVSA-N 0 0 435.529 -0.314 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCC(=O)N2)n1CC(C)(C)N1CCOCC1 ZINC001503437952 1074710018 /nfs/dbraw/zinc/71/00/18/1074710018.db2.gz OWBHBTUBALDAEL-INIZCTEOSA-N 0 0 449.556 -0.365 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCC(=O)N2)n1CC(C)(C)N1CCOCC1 ZINC001503437953 1074710242 /nfs/dbraw/zinc/71/02/42/1074710242.db2.gz OWBHBTUBALDAEL-MRXNPFEDSA-N 0 0 449.556 -0.365 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cccnc2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001503437961 1074710230 /nfs/dbraw/zinc/71/02/30/1074710230.db2.gz PDCUDGOSXKYVAB-UHFFFAOYSA-N 0 0 437.526 -0.474 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnn(C)c2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001503438093 1074710046 /nfs/dbraw/zinc/71/00/46/1074710046.db2.gz TYJVFUKECBFZDP-AWEZNQCLSA-N 0 0 437.526 -0.592 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2cnn(C)c2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001503438095 1074710177 /nfs/dbraw/zinc/71/01/77/1074710177.db2.gz TYJVFUKECBFZDP-CQSZACIVSA-N 0 0 437.526 -0.592 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCO2)n1CCC[C@@H]1NC(=O)NC1=O ZINC001503438184 1074710520 /nfs/dbraw/zinc/71/05/20/1074710520.db2.gz DDPITGMLRKDNPF-UONOGXRCSA-N 0 0 435.485 -0.587 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(-c2ccccn2)n1CC1(C(N)=O)CCOCC1 ZINC001503438213 1074710488 /nfs/dbraw/zinc/71/04/88/1074710488.db2.gz UXGNEEZEYHIDBD-UHFFFAOYSA-N 0 0 443.508 -0.083 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(CN2CCCC2=O)n1CCCn1ccnn1 ZINC001503438601 1074710553 /nfs/dbraw/zinc/71/05/53/1074710553.db2.gz GGZZBOJVBBAFAW-UHFFFAOYSA-N 0 0 431.501 -0.623 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCO2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001503438615 1074710422 /nfs/dbraw/zinc/71/04/22/1074710422.db2.gz GPKJNIUVWAUUGC-HUUCEWRRSA-N 0 0 427.527 -0.141 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCO2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001503438664 1074710477 /nfs/dbraw/zinc/71/04/77/1074710477.db2.gz GPKJNIUVWAUUGC-LSDHHAIUSA-N 0 0 427.527 -0.141 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc(C(=O)N2CCCC2)n1CCCNS(C)(=O)=O ZINC001503438674 1074710511 /nfs/dbraw/zinc/71/05/11/1074710511.db2.gz GQKDEWNUQUQLTH-UHFFFAOYSA-N 0 0 443.574 -0.196 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCO2)n1CCN1CCCS1(=O)=O ZINC001503439498 1074710529 /nfs/dbraw/zinc/71/05/29/1074710529.db2.gz PCGZQCUWKJBDDG-OAHLLOKOSA-N 0 0 442.542 -0.540 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(CC2CCCC2)n1CCNS(C)(=O)=O ZINC001503439594 1074710499 /nfs/dbraw/zinc/71/04/99/1074710499.db2.gz QUVOWLHNIKRXFL-UHFFFAOYSA-N 0 0 428.559 -0.145 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCO2)n1Cc1ccc(C(N)=O)nc1 ZINC001503440132 1074710443 /nfs/dbraw/zinc/71/04/43/1074710443.db2.gz WXPFKZMLLQFTHT-MRXNPFEDSA-N 0 0 429.481 -0.033 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1ccn(C)n1 ZINC001503440281 1074710412 /nfs/dbraw/zinc/71/04/12/1074710412.db2.gz AURLPPIOLZNGEV-AWEZNQCLSA-N 0 0 437.526 -0.743 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1ccn(C)n1 ZINC001503440282 1074710432 /nfs/dbraw/zinc/71/04/32/1074710432.db2.gz AURLPPIOLZNGEV-CQSZACIVSA-N 0 0 437.526 -0.743 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(Cc2cccnc2)n1CC(=O)NCC(F)F ZINC001503440465 1074710381 /nfs/dbraw/zinc/71/03/81/1074710381.db2.gz IMLZRLBUNFVECT-UHFFFAOYSA-N 0 0 437.451 -0.060 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCCCO ZINC001503440566 1074710403 /nfs/dbraw/zinc/71/04/03/1074710403.db2.gz KJFXTJVVXPYSBP-HNNXBMFYSA-N 0 0 429.543 -0.362 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCCCO ZINC001503440567 1074710367 /nfs/dbraw/zinc/71/03/67/1074710367.db2.gz KJFXTJVVXPYSBP-OAHLLOKOSA-N 0 0 429.543 -0.362 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCCCO2)n1CCNS(C)(=O)=O ZINC001503440839 1074710455 /nfs/dbraw/zinc/71/04/55/1074710455.db2.gz OCMVQYKPECNZMR-AWEZNQCLSA-N 0 0 430.531 -0.636 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCCO2)n1CCNS(C)(=O)=O ZINC001503440847 1074710392 /nfs/dbraw/zinc/71/03/92/1074710392.db2.gz OCMVQYKPECNZMR-CQSZACIVSA-N 0 0 430.531 -0.636 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCc1cn[nH]c1 ZINC001503441286 1074710541 /nfs/dbraw/zinc/71/05/41/1074710541.db2.gz VEQPTZAKORNBEO-HNNXBMFYSA-N 0 0 437.526 -0.559 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCc1cn[nH]c1 ZINC001503441288 1074710563 /nfs/dbraw/zinc/71/05/63/1074710563.db2.gz VEQPTZAKORNBEO-OAHLLOKOSA-N 0 0 437.526 -0.559 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc(Cc2ccncc2)n1CC(=O)N1CCOCC1 ZINC001503441469 1074710465 /nfs/dbraw/zinc/71/04/65/1074710465.db2.gz WJGFQTSPPHGMNA-UHFFFAOYSA-N 0 0 443.508 -0.582 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@@H]2CCCOC2)n1CC(=O)NCC(F)F ZINC001503441520 1074710352 /nfs/dbraw/zinc/71/03/52/1074710352.db2.gz XTLDXBIFYUUKNX-CYBMUJFWSA-N 0 0 430.456 -0.152 20 0 IBADRN CN(CC(=O)N1CCOCC1)c1nnc([C@H]2CCCOC2)n1CC(=O)NCC(F)F ZINC001503441523 1074710872 /nfs/dbraw/zinc/71/08/72/1074710872.db2.gz XTLDXBIFYUUKNX-ZDUSSCGKSA-N 0 0 430.456 -0.152 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001503442895 1074710770 /nfs/dbraw/zinc/71/07/70/1074710770.db2.gz UJXOZSAIVRDDKX-GFCCVEGCSA-N 0 0 429.547 -0.529 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N(C)CC(=O)NC(C)(C)C ZINC001503442896 1074710846 /nfs/dbraw/zinc/71/08/46/1074710846.db2.gz UJXOZSAIVRDDKX-LBPRGKRZSA-N 0 0 429.547 -0.529 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCOC1 ZINC001503442964 1074710778 /nfs/dbraw/zinc/71/07/78/1074710778.db2.gz WFJWKLPAEKPMGZ-ACJLOTCBSA-N 0 0 429.543 -0.318 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCOC1 ZINC001503442965 1074710699 /nfs/dbraw/zinc/71/06/99/1074710699.db2.gz WFJWKLPAEKPMGZ-FZKQIMNGSA-N 0 0 429.543 -0.318 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(O)CCOC1 ZINC001503442966 1074710863 /nfs/dbraw/zinc/71/08/63/1074710863.db2.gz WFJWKLPAEKPMGZ-SCLBCKFNSA-N 0 0 429.543 -0.318 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(O)CCOC1 ZINC001503442967 1074710735 /nfs/dbraw/zinc/71/07/35/1074710735.db2.gz WFJWKLPAEKPMGZ-UGSOOPFHSA-N 0 0 429.543 -0.318 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1 ZINC001503442968 1074710828 /nfs/dbraw/zinc/71/08/28/1074710828.db2.gz WFNZUBCUCUKNQV-UONOGXRCSA-N 0 0 429.543 -0.054 20 0 IBADRN CN(CC(=O)NC(C)(C)C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1 ZINC001503442969 1074710685 /nfs/dbraw/zinc/71/06/85/1074710685.db2.gz WFNZUBCUCUKNQV-ZIAGYGMSSA-N 0 0 429.543 -0.054 20 0 IBADRN CN(c1nnc(CN2CCCC2=O)n1CC1(O)CCS(=O)(=O)CC1)[C@H]1CCOC1 ZINC001503452075 1074710801 /nfs/dbraw/zinc/71/08/01/1074710801.db2.gz YFQDBHALTSTSPT-AWEZNQCLSA-N 0 0 427.527 -0.435 20 0 IBADRN CN(c1nnc(CN2CCCC2=O)n1CC1(O)CCS(=O)(=O)CC1)[C@@H]1CCOC1 ZINC001503452077 1074710754 /nfs/dbraw/zinc/71/07/54/1074710754.db2.gz YFQDBHALTSTSPT-CQSZACIVSA-N 0 0 427.527 -0.435 20 0 IBADRN CN(Cc1ccc(C(N)=O)cc1)c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1 ZINC001503464533 1074710710 /nfs/dbraw/zinc/71/07/10/1074710710.db2.gz WHVYNOMEFOMEIA-INIZCTEOSA-N 0 0 441.492 -0.177 20 0 IBADRN CN(Cc1ccc(C(N)=O)cc1)c1nnc([C@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1 ZINC001503464536 1074710808 /nfs/dbraw/zinc/71/08/08/1074710808.db2.gz WHVYNOMEFOMEIA-MRXNPFEDSA-N 0 0 441.492 -0.177 20 0 IBADRN CN(Cc1cnn(C)c1)c1nnc(C(=O)Nc2ccccn2)n1CCNS(C)(=O)=O ZINC001503496914 1074710744 /nfs/dbraw/zinc/71/07/44/1074710744.db2.gz VTEQILAWRARGII-UHFFFAOYSA-N 0 0 433.498 -0.156 20 0 IBADRN CN(Cc1cnccn1)c1nnc(CN2CCCC2=O)n1CCN1CCCS1(=O)=O ZINC001503504296 1074710837 /nfs/dbraw/zinc/71/08/37/1074710837.db2.gz GLWURFAYYKDFIF-UHFFFAOYSA-N 0 0 434.526 -0.138 20 0 IBADRN CN(Cc1ncnn1CC(F)F)c1nnc([C@@H]2CCOC2)n1CCNS(C)(=O)=O ZINC001503518792 1074710725 /nfs/dbraw/zinc/71/07/25/1074710725.db2.gz FRYQLGRUQWKSCK-LLVKDONJSA-N 0 0 434.473 -0.176 20 0 IBADRN CN(Cc1ncnn1CC(F)F)c1nnc([C@H]2CCOC2)n1CCNS(C)(=O)=O ZINC001503518801 1074710786 /nfs/dbraw/zinc/71/07/86/1074710786.db2.gz FRYQLGRUQWKSCK-NSHDSACASA-N 0 0 434.473 -0.176 20 0 IBADRN CN(Cc1ncnn1CC(F)F)c1nnc(CN2CCCC2=O)n1CCS(C)(=O)=O ZINC001503518927 1074710854 /nfs/dbraw/zinc/71/08/54/1074710854.db2.gz HUFSMTGFVVEOON-UHFFFAOYSA-N 0 0 446.484 -0.062 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)Cc1ccon1 ZINC001503521045 1074710762 /nfs/dbraw/zinc/71/07/62/1074710762.db2.gz APHMZRIASMGTNB-GFCCVEGCSA-N 0 0 432.528 -0.304 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)Cc1ccon1 ZINC001503521047 1074710817 /nfs/dbraw/zinc/71/08/17/1074710817.db2.gz APHMZRIASMGTNB-LBPRGKRZSA-N 0 0 432.528 -0.304 20 0 IBADRN CN(CC1CC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001503528141 1074710986 /nfs/dbraw/zinc/71/09/86/1074710986.db2.gz IAPQOPMKDXBAFD-HNNXBMFYSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(CC1CC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(=O)N1CCOCC1 ZINC001503528143 1074711102 /nfs/dbraw/zinc/71/11/02/1074711102.db2.gz IAPQOPMKDXBAFD-OAHLLOKOSA-N 0 0 442.542 -0.684 20 0 IBADRN CN(CC1CC(F)C1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ncn(C)n1 ZINC001503535400 1074710961 /nfs/dbraw/zinc/71/09/61/1074710961.db2.gz AULCRMWMTUMRRB-JXQTWKCFSA-N 0 0 442.521 -0.028 20 0 IBADRN CN(CC1CC(F)C1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ncn(C)n1 ZINC001503535401 1074711131 /nfs/dbraw/zinc/71/11/31/1074711131.db2.gz AULCRMWMTUMRRB-RUXDESIVSA-N 0 0 442.521 -0.028 20 0 IBADRN CN(C[C@H]1CCOC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1 ZINC001503558858 1074711159 /nfs/dbraw/zinc/71/11/59/1074711159.db2.gz HZWLIAPPLGGEGW-GDBMZVCRSA-N 0 0 439.542 -0.135 20 0 IBADRN CN(C[C@@H]1CCOC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1 ZINC001503558867 1074711065 /nfs/dbraw/zinc/71/10/65/1074711065.db2.gz HZWLIAPPLGGEGW-GOEBONIOSA-N 0 0 439.542 -0.135 20 0 IBADRN CN(C[C@@H]1CCOC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1 ZINC001503558870 1074711140 /nfs/dbraw/zinc/71/11/40/1074711140.db2.gz HZWLIAPPLGGEGW-HOCLYGCPSA-N 0 0 439.542 -0.135 20 0 IBADRN CN(C[C@H]1CCOC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1cnn(C)c1 ZINC001503558878 1074711012 /nfs/dbraw/zinc/71/10/12/1074711012.db2.gz HZWLIAPPLGGEGW-ZBFHGGJFSA-N 0 0 439.542 -0.135 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N(C)CCc1cnccn1 ZINC001503579853 1074711086 /nfs/dbraw/zinc/71/10/86/1074711086.db2.gz LVBPRROXUWGDCV-UHFFFAOYSA-N 0 0 436.542 -0.239 20 0 IBADRN CN(CCC1CC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1 ZINC001503583210 1074711077 /nfs/dbraw/zinc/71/10/77/1074711077.db2.gz CRBWFYZSKFUQBM-CRAIPNDOSA-N 0 0 429.543 -0.001 20 0 IBADRN CN(CCC1CC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@]1(O)CCOC1 ZINC001503583211 1074711028 /nfs/dbraw/zinc/71/10/28/1074711028.db2.gz CRBWFYZSKFUQBM-MAUKXSAKSA-N 0 0 429.543 -0.001 20 0 IBADRN CN(CCC1CC1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1 ZINC001503583212 1074711054 /nfs/dbraw/zinc/71/10/54/1074711054.db2.gz CRBWFYZSKFUQBM-QAPCUYQASA-N 0 0 429.543 -0.001 20 0 IBADRN CN(CCC1CC1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@]1(O)CCOC1 ZINC001503583213 1074710948 /nfs/dbraw/zinc/71/09/48/1074710948.db2.gz CRBWFYZSKFUQBM-YJBOKZPZSA-N 0 0 429.543 -0.001 20 0 IBADRN CN(CCCCCO)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1 ZINC001503591951 1074710999 /nfs/dbraw/zinc/71/09/99/1074710999.db2.gz OEWLNQHPUCKLPV-HNNXBMFYSA-N 0 0 429.543 -0.362 20 0 IBADRN CN(CCCCCO)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N1CCOCC1 ZINC001503591952 1074711110 /nfs/dbraw/zinc/71/11/10/1074711110.db2.gz OEWLNQHPUCKLPV-OAHLLOKOSA-N 0 0 429.543 -0.362 20 0 IBADRN CN(CCCCCO)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCCS1(=O)=O ZINC001503592000 1074710973 /nfs/dbraw/zinc/71/09/73/1074710973.db2.gz PYNRMYRGULKMCN-HNNXBMFYSA-N 0 0 449.599 -0.186 20 0 IBADRN CN(CCCCCO)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCCS1(=O)=O ZINC001503592001 1074711119 /nfs/dbraw/zinc/71/11/19/1074711119.db2.gz PYNRMYRGULKMCN-OAHLLOKOSA-N 0 0 449.599 -0.186 20 0 IBADRN CN(CCCCCO)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1 ZINC001503592116 1074710932 /nfs/dbraw/zinc/71/09/32/1074710932.db2.gz UNTBGGCDPRNIQY-CVEARBPZSA-N 0 0 447.558 -0.375 20 0 IBADRN CN(CCCCCO)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1COCCO1 ZINC001503592117 1074711040 /nfs/dbraw/zinc/71/10/40/1074711040.db2.gz UNTBGGCDPRNIQY-HZPDHXFCSA-N 0 0 447.558 -0.375 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CC(=O)NCC1CC1 ZINC001503601269 1074711149 /nfs/dbraw/zinc/71/11/49/1074711149.db2.gz CABGGESPUWDIRU-UHFFFAOYSA-N 0 0 426.543 -0.089 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CC1(O)CCOCC1 ZINC001503602861 1074711463 /nfs/dbraw/zinc/71/14/63/1074711463.db2.gz VTVSHQXPRBHFRP-UHFFFAOYSA-N 0 0 429.543 -0.074 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(-c2cnn(C)c2)n1CC(=O)N1CCOCC1 ZINC001503603038 1074711349 /nfs/dbraw/zinc/71/13/49/1074711349.db2.gz CPVCFNIKRDMXJQ-UHFFFAOYSA-N 0 0 425.515 -0.592 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1 ZINC001503603075 1074711324 /nfs/dbraw/zinc/71/13/24/1074711324.db2.gz IOMGROWWYQNPJI-KBPBESRZSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1 ZINC001503603085 1074711447 /nfs/dbraw/zinc/71/14/47/1074711447.db2.gz IOMGROWWYQNPJI-KGLIPLIRSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1 ZINC001503603088 1074711301 /nfs/dbraw/zinc/71/13/01/1074711301.db2.gz IOMGROWWYQNPJI-UONOGXRCSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1 ZINC001503603091 1074711408 /nfs/dbraw/zinc/71/14/08/1074711408.db2.gz IOMGROWWYQNPJI-ZIAGYGMSSA-N 0 0 436.556 -0.534 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc(C(=O)N2CCCC2)n1CCCS(C)(=O)=O ZINC001503603376 1074711427 /nfs/dbraw/zinc/71/14/27/1074711427.db2.gz YOQCWOXMUFEANM-UHFFFAOYSA-N 0 0 435.572 -0.180 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001503603992 1074711376 /nfs/dbraw/zinc/71/13/76/1074711376.db2.gz PVVZDSHPFGBIQB-CHWSQXEVSA-N 0 0 433.556 -0.670 20 0 IBADRN CN(CCCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001503603995 1074711399 /nfs/dbraw/zinc/71/13/99/1074711399.db2.gz PVVZDSHPFGBIQB-QWHCGFSZSA-N 0 0 433.556 -0.670 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnccn2)nnc1N(C)CCCS(C)(=O)=O ZINC001503604343 1074711360 /nfs/dbraw/zinc/71/13/60/1074711360.db2.gz MASGWCUSNCRLSZ-UHFFFAOYSA-N 0 0 431.544 -0.503 20 0 IBADRN CN(CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)CC(F)(F)F ZINC001503611137 1074711476 /nfs/dbraw/zinc/71/14/76/1074711476.db2.gz LTCWUVUVNTYXAT-CHWSQXEVSA-N 0 0 445.446 -0.577 20 0 IBADRN CN(CCn1c([C@@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)CC(F)(F)F ZINC001503611140 1074711290 /nfs/dbraw/zinc/71/12/90/1074711290.db2.gz LTCWUVUVNTYXAT-OLZOCXBDSA-N 0 0 445.446 -0.577 20 0 IBADRN CN(CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)CC(F)(F)F ZINC001503611142 1074711313 /nfs/dbraw/zinc/71/13/13/1074711313.db2.gz LTCWUVUVNTYXAT-QWHCGFSZSA-N 0 0 445.446 -0.577 20 0 IBADRN CN(CCn1c([C@H]2CCOC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)CC(F)(F)F ZINC001503611144 1074711279 /nfs/dbraw/zinc/71/12/79/1074711279.db2.gz LTCWUVUVNTYXAT-STQMWFEESA-N 0 0 445.446 -0.577 20 0 IBADRN CN(CCn1cccn1)c1nnc(CN2CCCC2=O)n1CCC(=O)N1CCOCC1 ZINC001503616464 1074711389 /nfs/dbraw/zinc/71/13/89/1074711389.db2.gz MHXRPWJHPLOYQG-UHFFFAOYSA-N 0 0 430.513 -0.018 20 0 IBADRN CN(CCn1cccn1)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001503617399 1074711436 /nfs/dbraw/zinc/71/14/36/1074711436.db2.gz OJBBHPZOJMUXRJ-HNNXBMFYSA-N 0 0 439.542 -0.020 20 0 IBADRN CN(CCn1cccn1)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC1(C)COC1 ZINC001503617400 1074711487 /nfs/dbraw/zinc/71/14/87/1074711487.db2.gz OJBBHPZOJMUXRJ-OAHLLOKOSA-N 0 0 439.542 -0.020 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1 ZINC001503618138 1074711418 /nfs/dbraw/zinc/71/14/18/1074711418.db2.gz FHSSVCXQKDWPKF-CVEARBPZSA-N 0 0 429.543 -0.636 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1COCCO1 ZINC001503618146 1074711454 /nfs/dbraw/zinc/71/14/54/1074711454.db2.gz FHSSVCXQKDWPKF-HOTGVXAUSA-N 0 0 429.543 -0.636 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1 ZINC001503618150 1074711335 /nfs/dbraw/zinc/71/13/35/1074711335.db2.gz FHSSVCXQKDWPKF-HZPDHXFCSA-N 0 0 429.543 -0.636 20 0 IBADRN CN(CCN1CCOCC1)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1COCCO1 ZINC001503618153 1074711629 /nfs/dbraw/zinc/71/16/29/1074711629.db2.gz FHSSVCXQKDWPKF-JKSUJKDBSA-N 0 0 429.543 -0.636 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(C(=O)Nc2ccccn2)n1CCS(C)(=O)=O ZINC001503618456 1074711853 /nfs/dbraw/zinc/71/18/53/1074711853.db2.gz LCXZHINIAJRZKX-UHFFFAOYSA-N 0 0 437.526 -0.262 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(C(=O)N2CCCC2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001503619594 1074711670 /nfs/dbraw/zinc/71/16/70/1074711670.db2.gz ZLMHKANNXFPFJJ-INIZCTEOSA-N 0 0 440.570 -0.283 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(C(=O)N2CCCC2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001503619595 1074711649 /nfs/dbraw/zinc/71/16/49/1074711649.db2.gz ZLMHKANNXFPFJJ-MRXNPFEDSA-N 0 0 440.570 -0.283 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(Cc2ccccn2)n1CC(=O)N1CCOCC1 ZINC001503619654 1074711770 /nfs/dbraw/zinc/71/17/70/1074711770.db2.gz QTEJOWKGLVLSTL-UHFFFAOYSA-N 0 0 429.525 -0.109 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnn(C)c2)nnc1N(C)CCN1CCOCC1 ZINC001503620069 1074711807 /nfs/dbraw/zinc/71/18/07/1074711807.db2.gz OFHRBGGJVGWPMI-UHFFFAOYSA-N 0 0 426.547 -0.662 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001503621455 1074711609 /nfs/dbraw/zinc/71/16/09/1074711609.db2.gz DZUTUBIIJRPJMT-KBPBESRZSA-N 0 0 435.572 -0.408 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001503621458 1074711797 /nfs/dbraw/zinc/71/17/97/1074711797.db2.gz DZUTUBIIJRPJMT-KGLIPLIRSA-N 0 0 435.572 -0.408 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001503621462 1074711734 /nfs/dbraw/zinc/71/17/34/1074711734.db2.gz DZUTUBIIJRPJMT-UONOGXRCSA-N 0 0 435.572 -0.408 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001503621465 1074711758 /nfs/dbraw/zinc/71/17/58/1074711758.db2.gz DZUTUBIIJRPJMT-ZIAGYGMSSA-N 0 0 435.572 -0.408 20 0 IBADRN CN(CCN1CCOCC1)c1nnc(CN2CCCC2=O)n1CC1(C(N)=O)CCOCC1 ZINC001503621502 1074711681 /nfs/dbraw/zinc/71/16/81/1074711681.db2.gz POXSXCOJUHNBBP-UHFFFAOYSA-N 0 0 449.556 -0.549 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C(=O)Nc2ccccn2)n1CCc1ccn(C)n1 ZINC001503621778 1074711825 /nfs/dbraw/zinc/71/18/25/1074711825.db2.gz SXVRJZIKCPKZQR-UHFFFAOYSA-N 0 0 447.525 -0.113 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(Cc2cn3ccccc3n2)n1C[C@H]1CCC(=O)N1 ZINC001503621890 1074711639 /nfs/dbraw/zinc/71/16/39/1074711639.db2.gz IUTNLZUTNFGOKT-CQSZACIVSA-N 0 0 446.537 -0.219 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CC2CCCC2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503621933 1074711708 /nfs/dbraw/zinc/71/17/08/1074711708.db2.gz JKAJLJBKGQRRST-KRWDZBQOSA-N 0 0 449.599 -0.454 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CC2CCCC2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503621936 1074711720 /nfs/dbraw/zinc/71/17/20/1074711720.db2.gz JKAJLJBKGQRRST-QGZVFWFLSA-N 0 0 449.599 -0.454 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC=CCO1 ZINC001503622223 1074711658 /nfs/dbraw/zinc/71/16/58/1074711658.db2.gz KZFDIQFDPVBRKF-KBPBESRZSA-N 0 0 433.556 -0.489 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CC=CCO1 ZINC001503622236 1074711866 /nfs/dbraw/zinc/71/18/66/1074711866.db2.gz KZFDIQFDPVBRKF-KGLIPLIRSA-N 0 0 433.556 -0.489 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CC=CCO1 ZINC001503622239 1074711835 /nfs/dbraw/zinc/71/18/35/1074711835.db2.gz KZFDIQFDPVBRKF-UONOGXRCSA-N 0 0 433.556 -0.489 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CC=CCO1 ZINC001503622243 1074711746 /nfs/dbraw/zinc/71/17/46/1074711746.db2.gz KZFDIQFDPVBRKF-ZIAGYGMSSA-N 0 0 433.556 -0.489 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2ccccc2)n1CCN1CCCS1(=O)=O ZINC001503622272 1074711620 /nfs/dbraw/zinc/71/16/20/1074711620.db2.gz PAWUJGVLYQLJJE-UHFFFAOYSA-N 0 0 442.567 -0.034 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2ccccn2)n1CCC(=O)N1CCOCC1 ZINC001503622338 1074712212 /nfs/dbraw/zinc/71/22/12/1074712212.db2.gz QASIXGRBMYWDED-UHFFFAOYSA-N 0 0 437.526 -0.426 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCCOC1 ZINC001503622750 1074712183 /nfs/dbraw/zinc/71/21/83/1074712183.db2.gz QKTISQQXGPEIPJ-KBPBESRZSA-N 0 0 435.572 -0.408 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCOC1 ZINC001503622752 1074712223 /nfs/dbraw/zinc/71/22/23/1074712223.db2.gz QKTISQQXGPEIPJ-KGLIPLIRSA-N 0 0 435.572 -0.408 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@H]1CCCOC1 ZINC001503622754 1074712153 /nfs/dbraw/zinc/71/21/53/1074712153.db2.gz QKTISQQXGPEIPJ-UONOGXRCSA-N 0 0 435.572 -0.408 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCCOC1 ZINC001503622756 1074712202 /nfs/dbraw/zinc/71/22/02/1074712202.db2.gz QKTISQQXGPEIPJ-ZIAGYGMSSA-N 0 0 435.572 -0.408 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)c1nnc([C@@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1 ZINC001503622915 1074712012 /nfs/dbraw/zinc/71/20/12/1074712012.db2.gz OVIILYUOTOKDBL-INIZCTEOSA-N 0 0 449.556 -0.365 20 0 IBADRN CN(CCN1CCOC(C)(C)C1)c1nnc([C@H]2CCC(=O)N2)n1CC(=O)N1CCOCC1 ZINC001503622916 1074712041 /nfs/dbraw/zinc/71/20/41/1074712041.db2.gz OVIILYUOTOKDBL-MRXNPFEDSA-N 0 0 449.556 -0.365 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2cccn2C)n1CCN1CCCS1(=O)=O ZINC001503623000 1074712194 /nfs/dbraw/zinc/71/21/94/1074712194.db2.gz ZTAVYDCBLIBFGD-UHFFFAOYSA-N 0 0 445.571 -0.696 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(Cc2ccncc2)n1CC(=O)NCC(F)(F)F ZINC001503623070 1074712070 /nfs/dbraw/zinc/71/20/70/1074712070.db2.gz UHPLJDWLDXGXRE-UHFFFAOYSA-N 0 0 449.459 -0.072 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(Cc2cccnc2)n1Cc1nnc2n1CCC2 ZINC001503623129 1074712034 /nfs/dbraw/zinc/71/20/34/1074712034.db2.gz VOSLSLBNLHCAJV-UHFFFAOYSA-N 0 0 431.526 -0.165 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1cccc(O)c1 ZINC001503623174 1074712059 /nfs/dbraw/zinc/71/20/59/1074712059.db2.gz WWSLRAWJJWGDFH-AWEZNQCLSA-N 0 0 443.551 -0.081 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1cccc(O)c1 ZINC001503623176 1074712131 /nfs/dbraw/zinc/71/21/31/1074712131.db2.gz WWSLRAWJJWGDFH-CQSZACIVSA-N 0 0 443.551 -0.081 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CCn1cc(Cl)cn1 ZINC001503623290 1074712234 /nfs/dbraw/zinc/71/22/34/1074712234.db2.gz BQBGITSOWYVTPE-GFCCVEGCSA-N 0 0 430.922 -0.235 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CCn1cc(Cl)cn1 ZINC001503623292 1074712122 /nfs/dbraw/zinc/71/21/22/1074712122.db2.gz BQBGITSOWYVTPE-LBPRGKRZSA-N 0 0 430.922 -0.235 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C2CCC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001503623559 1074712112 /nfs/dbraw/zinc/71/21/12/1074712112.db2.gz CVQRPBRFDVDHTN-CQSZACIVSA-N 0 0 434.588 -0.045 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C2CCCC2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001503623582 1074712174 /nfs/dbraw/zinc/71/21/74/1074712174.db2.gz DLSGVBTYJSOGPK-UHFFFAOYSA-N 0 0 449.599 -0.139 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(-c2cnn(C)c2)n1CCCN1CCOCC1 ZINC001503623786 1074712096 /nfs/dbraw/zinc/71/20/96/1074712096.db2.gz HZWLBGAIXUOITK-UHFFFAOYSA-N 0 0 426.547 -0.614 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C2CCC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001503623793 1074712141 /nfs/dbraw/zinc/71/21/41/1074712141.db2.gz IEPMYHALIVEKEA-CYBMUJFWSA-N 0 0 434.588 -0.188 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C2CCC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001503623794 1074712081 /nfs/dbraw/zinc/71/20/81/1074712081.db2.gz IEPMYHALIVEKEA-ZDUSSCGKSA-N 0 0 434.588 -0.188 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cccnc2)nnc1N(C)CCNS(C)(=O)=O ZINC001503623844 1074712164 /nfs/dbraw/zinc/71/21/64/1074712164.db2.gz JODAXMFSLSJDOH-UHFFFAOYSA-N 0 0 431.544 -0.783 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(C2CCCC2)n1CCN1CCCS1(=O)=O ZINC001503624255 1074712479 /nfs/dbraw/zinc/71/24/79/1074712479.db2.gz RTKQRGHMWJWOHC-UHFFFAOYSA-N 0 0 434.588 -0.043 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CCN1CCOCC1(C)C ZINC001503624493 1074712361 /nfs/dbraw/zinc/71/23/61/1074712361.db2.gz XTSOTIBBGYUVCF-AWEZNQCLSA-N 0 0 443.574 -0.675 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CCN1CCOCC1(C)C ZINC001503624572 1074712584 /nfs/dbraw/zinc/71/25/84/1074712584.db2.gz XTSOTIBBGYUVCF-CQSZACIVSA-N 0 0 443.574 -0.675 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1CC1([S@@](C)=O)CC1 ZINC001503625023 1074712534 /nfs/dbraw/zinc/71/25/34/1074712534.db2.gz KXOKYTPTAFORMM-HHHXNRCGSA-N 0 0 432.572 -0.703 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1CC1([S@](C)=O)CC1 ZINC001503625024 1074712523 /nfs/dbraw/zinc/71/25/23/1074712523.db2.gz KXOKYTPTAFORMM-MHZLTWQESA-N 0 0 432.572 -0.703 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@H]1CC(F)(F)CO1 ZINC001503625687 1074712546 /nfs/dbraw/zinc/71/25/46/1074712546.db2.gz SKSYMSFTOJVDQM-GFCCVEGCSA-N 0 0 436.485 -0.190 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@@H]1CC(F)(F)CO1 ZINC001503625699 1074712503 /nfs/dbraw/zinc/71/25/03/1074712503.db2.gz SKSYMSFTOJVDQM-LBPRGKRZSA-N 0 0 436.485 -0.190 20 0 IBADRN CN(CCNS(C)(=O)=O)c1nnc([C@H]2CCCO2)n1CC(=O)NCC(F)(F)F ZINC001503625760 1074712489 /nfs/dbraw/zinc/71/24/89/1074712489.db2.gz UDZFMRXBEKXVJY-SNVBAGLBSA-N 0 0 428.437 -0.207 20 0 IBADRN CN(CCOC(C)(C)C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCNS(C)(=O)=O ZINC001503626506 1074712572 /nfs/dbraw/zinc/71/25/72/1074712572.db2.gz HNSMNGSHXSRNFA-CYBMUJFWSA-N 0 0 437.588 -0.019 20 0 IBADRN CN(CCOC(C)(C)C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCNS(C)(=O)=O ZINC001503626507 1074712393 /nfs/dbraw/zinc/71/23/93/1074712393.db2.gz HNSMNGSHXSRNFA-ZDUSSCGKSA-N 0 0 437.588 -0.019 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(-c2cnn(C)c2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001503633794 1074712511 /nfs/dbraw/zinc/71/25/11/1074712511.db2.gz MLMXTQJMARPYRM-CQSZACIVSA-N 0 0 445.571 -0.417 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001503636311 1074712560 /nfs/dbraw/zinc/71/25/60/1074712560.db2.gz PZVAASFQCOXXON-AWEZNQCLSA-N 0 0 435.572 -0.312 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCCOC2)n1CCN1CCCS1(=O)=O ZINC001503636312 1074712404 /nfs/dbraw/zinc/71/24/04/1074712404.db2.gz PZVAASFQCOXXON-CQSZACIVSA-N 0 0 435.572 -0.312 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCC(=O)N2)n1CC(C)(C)N1CCOCC1 ZINC001503636769 1074712458 /nfs/dbraw/zinc/71/24/58/1074712458.db2.gz XKSHPHOFCZRJEH-AWEZNQCLSA-N 0 0 428.559 -0.179 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCC(=O)N2)n1CC(C)(C)N1CCOCC1 ZINC001503636770 1074712447 /nfs/dbraw/zinc/71/24/47/1074712447.db2.gz XKSHPHOFCZRJEH-CQSZACIVSA-N 0 0 428.559 -0.179 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCOC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001503637327 1074712414 /nfs/dbraw/zinc/71/24/14/1074712414.db2.gz KLPGURWCDKJQSW-UONOGXRCSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCOC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001503637328 1074712469 /nfs/dbraw/zinc/71/24/69/1074712469.db2.gz KLPGURWCDKJQSW-ZIAGYGMSSA-N 0 0 435.572 -0.313 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001503637562 1074712352 /nfs/dbraw/zinc/71/23/52/1074712352.db2.gz ODQPYNSACJZLGS-CYBMUJFWSA-N 0 0 447.583 -0.280 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCC(=O)NC1CC1 ZINC001503637563 1074712424 /nfs/dbraw/zinc/71/24/24/1074712424.db2.gz ODQPYNSACJZLGS-ZDUSSCGKSA-N 0 0 447.583 -0.280 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(O)CCOCC1 ZINC001503637862 1074712911 /nfs/dbraw/zinc/71/29/11/1074712911.db2.gz VGEHERHIJBDMBL-CYBMUJFWSA-N 0 0 436.556 -0.798 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(O)CCOCC1 ZINC001503637864 1074712764 /nfs/dbraw/zinc/71/27/64/1074712764.db2.gz VGEHERHIJBDMBL-ZDUSSCGKSA-N 0 0 436.556 -0.798 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccoc1 ZINC001503638027 1074712757 /nfs/dbraw/zinc/71/27/57/1074712757.db2.gz YCESXVRZJXZTFO-AWEZNQCLSA-N 0 0 447.539 -0.267 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccoc1 ZINC001503638028 1074712839 /nfs/dbraw/zinc/71/28/39/1074712839.db2.gz YCESXVRZJXZTFO-CQSZACIVSA-N 0 0 447.539 -0.267 20 0 IBADRN CN(C[C@H]1CCCO1)c1nnc(CN2CCCC2=O)n1CC1(O)CCS(=O)(=O)CC1 ZINC001503640228 1074712848 /nfs/dbraw/zinc/71/28/48/1074712848.db2.gz MAXCJLPPBAVNBA-OAHLLOKOSA-N 0 0 441.554 -0.045 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1CCCN1CCC(O)CC1 ZINC001503640942 1074712773 /nfs/dbraw/zinc/71/27/73/1074712773.db2.gz VYGGPJVPPYWXRD-UHFFFAOYSA-N 0 0 442.586 -0.272 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCCCS1(=O)=O ZINC001503641351 1074712901 /nfs/dbraw/zinc/71/29/01/1074712901.db2.gz ZDFPEAGBDXLDHH-AWEZNQCLSA-N 0 0 447.583 -0.151 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc(CN2CCCC2=O)n1C[C@H]1CCCCS1(=O)=O ZINC001503641353 1074712857 /nfs/dbraw/zinc/71/28/57/1074712857.db2.gz ZDFPEAGBDXLDHH-CQSZACIVSA-N 0 0 447.583 -0.151 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@@H]2CCOC2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001503643028 1074712830 /nfs/dbraw/zinc/71/28/30/1074712830.db2.gz HKSNCCMXYITQLM-QLFBSQMISA-N 0 0 426.543 -0.270 20 0 IBADRN CN(C)S(=O)(=O)CCn1c([C@H]2CCOC2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001503643029 1074712882 /nfs/dbraw/zinc/71/28/82/1074712882.db2.gz HKSNCCMXYITQLM-SOUVJXGZSA-N 0 0 426.543 -0.270 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(-c2cnccn2)nnc1N1CC[C@@H]2[C@@H](CC(=O)N2C)C1 ZINC001503643225 1074712718 /nfs/dbraw/zinc/71/27/18/1074712718.db2.gz NJHADARQDBZCLC-DZGCQCFKSA-N 0 0 434.526 -0.317 20 0 IBADRN CN1C(=O)NC2(CCN(c3nnc(C4CCC4)n3CCNS(C)(=O)=O)CC2)C1=O ZINC001503646087 1074712922 /nfs/dbraw/zinc/71/29/22/1074712922.db2.gz OLMLCZHHAILGJB-UHFFFAOYSA-N 0 0 425.515 -0.385 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(C(N)=O)CC3)n2CCOCCS(C)(=O)=O)cn1 ZINC001503646490 1074712804 /nfs/dbraw/zinc/71/28/04/1074712804.db2.gz DHCCJPHEHOTFET-UHFFFAOYSA-N 0 0 425.515 -0.559 20 0 IBADRN Cn1cc(Br)cc1-c1nnc(N2CCNC(=O)C2)n1CCNS(C)(=O)=O ZINC001503646762 1074712743 /nfs/dbraw/zinc/71/27/43/1074712743.db2.gz YHGQOVJMQBRPPX-UHFFFAOYSA-N 0 0 446.331 -0.469 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(CNS(C)(=O)=O)CC3)n2C[C@H]2CCC(=O)N2)cn1 ZINC001503647967 1074712793 /nfs/dbraw/zinc/71/27/93/1074712793.db2.gz RPFPYZPZFRBIRV-OAHLLOKOSA-N 0 0 436.542 -0.277 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(CC(N)=O)CC3)n2CCN2CCCS2(=O)=O)cn1 ZINC001503648372 1074712870 /nfs/dbraw/zinc/71/28/70/1074712870.db2.gz ZPBNSRVJLBMFHO-UHFFFAOYSA-N 0 0 436.542 -0.194 20 0 IBADRN Cn1cc(-c2nnc(N3CCC(NS(C)(=O)=O)CC3)n2CC(=O)NCC(F)F)cn1 ZINC001503650308 1074712822 /nfs/dbraw/zinc/71/28/22/1074712822.db2.gz YISAHGARGLZTER-UHFFFAOYSA-N 0 0 446.484 -0.422 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@H](S(C)(=O)=O)C3)n2CC2(S(C)(=O)=O)CC2)cn1 ZINC001503650416 1074712893 /nfs/dbraw/zinc/71/28/93/1074712893.db2.gz ZZILAINXLSRXSI-CYBMUJFWSA-N 0 0 428.540 -0.121 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@H](S(C)(=O)=O)C3)n2CC2(S(C)(=O)=O)CC2)cn1 ZINC001503650417 1074712731 /nfs/dbraw/zinc/71/27/31/1074712731.db2.gz ZZILAINXLSRXSI-ZDUSSCGKSA-N 0 0 428.540 -0.121 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@]4(CCS(=O)(=O)C4)C3)n2CCNS(C)(=O)=O)cn1 ZINC001503650479 1074712814 /nfs/dbraw/zinc/71/28/14/1074712814.db2.gz MFDUTGSDCFCUFO-INIZCTEOSA-N 0 0 443.555 -0.757 20 0 IBADRN Cn1cc(-c2nnc(N3CC[C@@]4(CCS(=O)(=O)C4)C3)n2CCNS(C)(=O)=O)cn1 ZINC001503650482 1074713080 /nfs/dbraw/zinc/71/30/80/1074713080.db2.gz MFDUTGSDCFCUFO-MRXNPFEDSA-N 0 0 443.555 -0.757 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)C(=O)N4CCCC4)CC3)n2CC(C)(C)O)cn1 ZINC001503650761 1074713056 /nfs/dbraw/zinc/71/30/56/1074713056.db2.gz RGVDRMYVNIKMRT-UHFFFAOYSA-N 0 0 430.513 -0.280 20 0 IBADRN Cn1cc(-c2nnc(N3CCC[C@@H](CC(N)=O)C3)n2CCOCCS(C)(=O)=O)cn1 ZINC001503650764 1074713113 /nfs/dbraw/zinc/71/31/13/1074713113.db2.gz NXNGZHVHGUZCQD-AWEZNQCLSA-N 0 0 439.542 -0.168 20 0 IBADRN Cn1cc(-c2nnc(N3CCC[C@H](CC(N)=O)C3)n2CCOCCS(C)(=O)=O)cn1 ZINC001503650766 1074713103 /nfs/dbraw/zinc/71/31/03/1074713103.db2.gz NXNGZHVHGUZCQD-CQSZACIVSA-N 0 0 439.542 -0.168 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(C(=O)CN4CCOCC4)CC3)n2CCCCCO)cn1 ZINC001503650875 1074713202 /nfs/dbraw/zinc/71/32/02/1074713202.db2.gz RSKHETORVFYXCP-UHFFFAOYSA-N 0 0 446.556 -0.178 20 0 IBADRN Cn1cc(-c2nnc(N3CCCN(S(C)(=O)=O)CC3)n2CC2(O)CCOCC2)cn1 ZINC001503651171 1074713025 /nfs/dbraw/zinc/71/30/25/1074713025.db2.gz ASXOSLVCINUFGQ-UHFFFAOYSA-N 0 0 439.542 -0.308 20 0 IBADRN Cn1cc(-c2nnc(N3CCCN(S(C)(=O)=O)CC3)n2CCCS(C)(=O)=O)cn1 ZINC001503651295 1074713068 /nfs/dbraw/zinc/71/30/68/1074713068.db2.gz COKKJNUNMPGKIR-UHFFFAOYSA-N 0 0 445.571 -0.415 20 0 IBADRN Cn1cc(-c2nnc(N3CCCN(S(C)(=O)=O)CC3)n2C[C@H]2COCCO2)cn1 ZINC001503652142 1074713141 /nfs/dbraw/zinc/71/31/41/1074713141.db2.gz JISBBPCFZZCXDE-HNNXBMFYSA-N 0 0 425.515 -0.434 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@@H]4C3)n2CCCOC(F)(F)F)cn1 ZINC001503652703 1074713192 /nfs/dbraw/zinc/71/31/92/1074713192.db2.gz GRFDXXAVERPOBF-GFCCVEGCSA-N 0 0 442.402 -0.248 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)C(=O)NC[C@H]4C3)n2CCCOC(F)(F)F)cn1 ZINC001503652704 1074713235 /nfs/dbraw/zinc/71/32/35/1074713235.db2.gz GRFDXXAVERPOBF-LBPRGKRZSA-N 0 0 442.402 -0.248 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)OC[C@]4(C)C3)n2CCCNS(C)(=O)=O)cn1 ZINC001503652808 1074713182 /nfs/dbraw/zinc/71/31/82/1074713182.db2.gz HHZAWTVJZRHLBC-KRWDZBQOSA-N 0 0 438.514 -0.351 20 0 IBADRN Cn1cc(-c2nnc(N3CCN4C(=O)OC[C@@]4(C)C3)n2CCCNS(C)(=O)=O)cn1 ZINC001503652810 1074713090 /nfs/dbraw/zinc/71/30/90/1074713090.db2.gz HHZAWTVJZRHLBC-QGZVFWFLSA-N 0 0 438.514 -0.351 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@@]2(C)COCCO2)cn1 ZINC001503653075 1074713160 /nfs/dbraw/zinc/71/31/60/1074713160.db2.gz NRQHSZCOKWVHCT-KRWDZBQOSA-N 0 0 425.515 -0.434 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2C[C@]2(C)COCCO2)cn1 ZINC001503653077 1074713044 /nfs/dbraw/zinc/71/30/44/1074713044.db2.gz NRQHSZCOKWVHCT-QGZVFWFLSA-N 0 0 425.515 -0.434 20 0 IBADRN Cn1cc(-c2nnc(N3CCN(S(C)(=O)=O)CC3)n2CCNC(=O)C(C)(C)C)cn1 ZINC001503653246 1074713228 /nfs/dbraw/zinc/71/32/28/1074713228.db2.gz PJTLWNZAOONFPH-UHFFFAOYSA-N 0 0 438.558 -0.078 20 0 IBADRN Cn1cc(Cn2c([C@H]3CCS(=O)(=O)C3)nnc2N2CCC(OCC(N)=O)CC2)cn1 ZINC001503655477 1074713126 /nfs/dbraw/zinc/71/31/26/1074713126.db2.gz CIPSGYABYDGCIX-AWEZNQCLSA-N 0 0 437.526 -0.567 20 0 IBADRN Cn1cc(Cn2c([C@@H]3CCS(=O)(=O)C3)nnc2N2CCC(OCC(N)=O)CC2)cn1 ZINC001503655478 1074713150 /nfs/dbraw/zinc/71/31/50/1074713150.db2.gz CIPSGYABYDGCIX-CQSZACIVSA-N 0 0 437.526 -0.567 20 0 IBADRN Cn1cc(N2CCN(c3nnc([C@H]4CCC(=O)N4)n3CC(=O)NCC(F)F)CC2)cn1 ZINC001503657171 1074713221 /nfs/dbraw/zinc/71/32/21/1074713221.db2.gz FZWWAEUNHZEVLV-CYBMUJFWSA-N 0 0 437.455 -0.329 20 0 IBADRN Cn1cc(N2CCN(c3nnc([C@@H]4CCC(=O)N4)n3CC(=O)NCC(F)F)CC2)cn1 ZINC001503657176 1074713171 /nfs/dbraw/zinc/71/31/71/1074713171.db2.gz FZWWAEUNHZEVLV-ZDUSSCGKSA-N 0 0 437.455 -0.329 20 0 IBADRN Cn1cc(N2CCN(c3nnc(Cc4cccnc4)n3CCNS(C)(=O)=O)CC2)cn1 ZINC001503657212 1074713214 /nfs/dbraw/zinc/71/32/14/1074713214.db2.gz GXFBGXBRYGYKDC-UHFFFAOYSA-N 0 0 445.553 -0.127 20 0 IBADRN Cn1cc(N2CCN(c3nnc(-c4cccnc4)n3CCNS(C)(=O)=O)CC2=O)cn1 ZINC001503658060 1074713410 /nfs/dbraw/zinc/71/34/10/1074713410.db2.gz OILXMPSBTSMOKM-UHFFFAOYSA-N 0 0 445.509 -0.524 20 0 IBADRN Cn1cc(Cn2c(C(=O)N3CCCC3)nnc2N2CCC(NS(C)(=O)=O)CC2)cn1 ZINC001503659277 1074713405 /nfs/dbraw/zinc/71/34/05/1074713405.db2.gz ULDGXCMFTYCDHH-UHFFFAOYSA-N 0 0 436.542 -0.186 20 0 IBADRN Cn1ccc(Cn2c([C@@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCCOCC2)n1 ZINC001503661572 1074713443 /nfs/dbraw/zinc/71/34/43/1074713443.db2.gz PWIGBFDETWLDSG-HNNXBMFYSA-N 0 0 425.515 -0.381 20 0 IBADRN Cn1ccc(Cn2c([C@H]3CN(S(C)(=O)=O)CCO3)nnc2N2CCCOCC2)n1 ZINC001503661575 1074713522 /nfs/dbraw/zinc/71/35/22/1074713522.db2.gz PWIGBFDETWLDSG-OAHLLOKOSA-N 0 0 425.515 -0.381 20 0 IBADRN Cn1ccc(Cn2c(N3CCN(C(=O)C(=O)N4CCOCC4)CC3)nnc2C(C)(C)C)n1 ZINC001503661792 1074713385 /nfs/dbraw/zinc/71/33/85/1074713385.db2.gz XYURADCVHUUBFB-UHFFFAOYSA-N 0 0 444.540 -0.135 20 0 IBADRN Cn1ccc(CCn2c([C@@H]3CCC(=O)N3)nnc2N2CCC(NS(C)(=O)=O)CC2)n1 ZINC001503662863 1074713511 /nfs/dbraw/zinc/71/35/11/1074713511.db2.gz HAYBKZGTXCRSIL-HNNXBMFYSA-N 0 0 436.542 -0.277 20 0 IBADRN Cn1ccc(CCn2c([C@H]3CCC(=O)N3)nnc2N2CCC(NS(C)(=O)=O)CC2)n1 ZINC001503662869 1074713491 /nfs/dbraw/zinc/71/34/91/1074713491.db2.gz HAYBKZGTXCRSIL-OAHLLOKOSA-N 0 0 436.542 -0.277 20 0 IBADRN Cn1ccc(Nc2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)n1 ZINC001503663085 1074713503 /nfs/dbraw/zinc/71/35/03/1074713503.db2.gz LZWPPOZQTLJKJY-CHWSQXEVSA-N 0 0 443.555 -0.061 20 0 IBADRN Cn1ccc(Nc2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)n1 ZINC001503663088 1074713467 /nfs/dbraw/zinc/71/34/67/1074713467.db2.gz LZWPPOZQTLJKJY-OLZOCXBDSA-N 0 0 443.555 -0.061 20 0 IBADRN Cn1ccc(Nc2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCN(S(C)(=O)=O)C2)n1 ZINC001503663091 1074713423 /nfs/dbraw/zinc/71/34/23/1074713423.db2.gz LZWPPOZQTLJKJY-QWHCGFSZSA-N 0 0 443.555 -0.061 20 0 IBADRN Cn1ccc(Nc2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCN(S(C)(=O)=O)C2)n1 ZINC001503663094 1074713427 /nfs/dbraw/zinc/71/34/27/1074713427.db2.gz LZWPPOZQTLJKJY-STQMWFEESA-N 0 0 443.555 -0.061 20 0 IBADRN Cn1cccc1-c1nnc(N2CC[C@@H](CN3CCOCC3)C2)n1CCNS(C)(=O)=O ZINC001503666069 1074713450 /nfs/dbraw/zinc/71/34/50/1074713450.db2.gz WTCHEWIYDTYOEV-INIZCTEOSA-N 0 0 437.570 -0.009 20 0 IBADRN Cn1cccc1-c1nnc(N2CC[C@H](CN3CCOCC3)C2)n1CCNS(C)(=O)=O ZINC001503666153 1074713393 /nfs/dbraw/zinc/71/33/93/1074713393.db2.gz WTCHEWIYDTYOEV-MRXNPFEDSA-N 0 0 437.570 -0.009 20 0 IBADRN Cn1cccc1-c1nnc(N2CCC(NS(C)(=O)=O)CC2)n1CCNS(C)(=O)=O ZINC001503666945 1074713537 /nfs/dbraw/zinc/71/35/37/1074713537.db2.gz JTMDVAHCGHSYEK-UHFFFAOYSA-N 0 0 445.571 -0.649 20 0 IBADRN Cn1cccc1-c1nnc(N2CCC[C@H](CC(N)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503669525 1074713475 /nfs/dbraw/zinc/71/34/75/1074713475.db2.gz LNWBXGZZAFHEBY-AUUYWEPGSA-N 0 0 436.538 -0.075 20 0 IBADRN Cn1cccc1-c1nnc(N2CCC[C@@H](CC(N)=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503669527 1074713415 /nfs/dbraw/zinc/71/34/15/1074713415.db2.gz LNWBXGZZAFHEBY-IFXJQAMLSA-N 0 0 436.538 -0.075 20 0 IBADRN Cn1cccc1-c1nnc(N2CCC[C@H](CC(N)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503669529 1074713529 /nfs/dbraw/zinc/71/35/29/1074713529.db2.gz LNWBXGZZAFHEBY-KUHUBIRLSA-N 0 0 436.538 -0.075 20 0 IBADRN Cn1cccc1-c1nnc(N2CCC[C@@H](CC(N)=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503669531 1074713436 /nfs/dbraw/zinc/71/34/36/1074713436.db2.gz LNWBXGZZAFHEBY-LIRRHRJNSA-N 0 0 436.538 -0.075 20 0 IBADRN Cn1cccc1-c1nnc(N2CCN(c3cnccn3)CC2)n1CCNS(C)(=O)=O ZINC001503669603 1074713548 /nfs/dbraw/zinc/71/35/48/1074713548.db2.gz BZABQHUYJYPPCV-UHFFFAOYSA-N 0 0 431.526 -0.051 20 0 IBADRN Cn1cccc1-c1nnc(N2CCS(=O)(=O)CC2)n1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC001503670625 1074713485 /nfs/dbraw/zinc/71/34/85/1074713485.db2.gz ASNXPBUODZSPPD-AWEZNQCLSA-N 0 0 442.567 -0.200 20 0 IBADRN Cn1cccc1-c1nnc(N2CCS(=O)(=O)CC2)n1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001503670629 1074713873 /nfs/dbraw/zinc/71/38/73/1074713873.db2.gz ASNXPBUODZSPPD-CQSZACIVSA-N 0 0 442.567 -0.200 20 0 IBADRN Cn1cnc(Cn2c(C(=O)N3CCCC3)nnc2N2CCCN(S(C)(=O)=O)CC2)n1 ZINC001503670946 1074713842 /nfs/dbraw/zinc/71/38/42/1074713842.db2.gz HUBXMJDWVKSALO-UHFFFAOYSA-N 0 0 437.530 -0.837 20 0 IBADRN Cn1cnnc1Cn1c(Cc2ccncc2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001503671542 1074713748 /nfs/dbraw/zinc/71/37/48/1074713748.db2.gz AECQTXSDVWVFFJ-UHFFFAOYSA-N 0 0 431.526 -0.041 20 0 IBADRN Cn1cnc(Cn2c(Cc3ccncc3)nnc2N2CCC(NS(C)(=O)=O)CC2)n1 ZINC001503671943 1074713882 /nfs/dbraw/zinc/71/38/82/1074713882.db2.gz LDJFBAGUPRVGFF-UHFFFAOYSA-N 0 0 431.526 -0.041 20 0 IBADRN CN1C[C@H]2CN(c3nnc([C@H]4CCS(=O)(=O)C4)n3CCC(C)(C)O)CCN2C1=O ZINC001503672703 1074713819 /nfs/dbraw/zinc/71/38/19/1074713819.db2.gz BKGUYBXFJYOIJK-KBPBESRZSA-N 0 0 426.543 -0.103 20 0 IBADRN CN1C[C@H]2CN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3CCC(C)(C)O)CCN2C1=O ZINC001503672704 1074713802 /nfs/dbraw/zinc/71/38/02/1074713802.db2.gz BKGUYBXFJYOIJK-KGLIPLIRSA-N 0 0 426.543 -0.103 20 0 IBADRN CN1C[C@@H]2CN(c3nnc([C@H]4CCS(=O)(=O)C4)n3CCC(C)(C)O)CCN2C1=O ZINC001503672705 1074713791 /nfs/dbraw/zinc/71/37/91/1074713791.db2.gz BKGUYBXFJYOIJK-UONOGXRCSA-N 0 0 426.543 -0.103 20 0 IBADRN CN1C[C@@H]2CN(c3nnc([C@@H]4CCS(=O)(=O)C4)n3CCC(C)(C)O)CCN2C1=O ZINC001503672706 1074713739 /nfs/dbraw/zinc/71/37/39/1074713739.db2.gz BKGUYBXFJYOIJK-ZIAGYGMSSA-N 0 0 426.543 -0.103 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001503674998 1074713729 /nfs/dbraw/zinc/71/37/29/1074713729.db2.gz HIIFWWONYWRRRV-BLLLJJGKSA-N 0 0 433.556 -0.861 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001503674999 1074713853 /nfs/dbraw/zinc/71/38/53/1074713853.db2.gz HIIFWWONYWRRRV-LRDDRELGSA-N 0 0 433.556 -0.861 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001503675001 1074713783 /nfs/dbraw/zinc/71/37/83/1074713783.db2.gz HIIFWWONYWRRRV-MLGOLLRUSA-N 0 0 433.556 -0.861 20 0 IBADRN CN(CCS(C)(=O)=O)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001503675002 1074713763 /nfs/dbraw/zinc/71/37/63/1074713763.db2.gz HIIFWWONYWRRRV-WBMJQRKESA-N 0 0 433.556 -0.861 20 0 IBADRN CN1C[C@@](C)(Cn2c(CN3CCCC3=O)nnc2N(CCS(C)(=O)=O)C2CC2)C1=O ZINC001503676020 1074713757 /nfs/dbraw/zinc/71/37/57/1074713757.db2.gz WSENATFYGQYRQE-IBGZPJMESA-N 0 0 438.554 -0.108 20 0 IBADRN CN1C[C@](C)(Cn2c(CN3CCCC3=O)nnc2N(CCS(C)(=O)=O)C2CC2)C1=O ZINC001503676021 1074713862 /nfs/dbraw/zinc/71/38/62/1074713862.db2.gz WSENATFYGQYRQE-LJQANCHMSA-N 0 0 438.554 -0.108 20 0 IBADRN CN1C[C@@](C)(Cn2c(Cc3ccccn3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001503676142 1074713714 /nfs/dbraw/zinc/71/37/14/1074713714.db2.gz QBTZJYZBHMLFBH-IBGZPJMESA-N 0 0 433.538 -0.176 20 0 IBADRN CN1C[C@](C)(Cn2c(Cc3ccccn3)nnc2N2CCN(S(C)(=O)=O)CC2)C1=O ZINC001503676143 1074713812 /nfs/dbraw/zinc/71/38/12/1074713812.db2.gz QBTZJYZBHMLFBH-LJQANCHMSA-N 0 0 433.538 -0.176 20 0 IBADRN CN1C[C@@](C)(Cn2c(-c3cnccn3)nnc2N2CCC(CNS(C)(=O)=O)CC2)C1=O ZINC001503676706 1074713894 /nfs/dbraw/zinc/71/38/94/1074713894.db2.gz ZBHZDWSJXCNNDV-IBGZPJMESA-N 0 0 448.553 -0.021 20 0 IBADRN CN1C[C@](C)(Cn2c(-c3cnccn3)nnc2N2CCC(CNS(C)(=O)=O)CC2)C1=O ZINC001503676707 1074713722 /nfs/dbraw/zinc/71/37/22/1074713722.db2.gz ZBHZDWSJXCNNDV-LJQANCHMSA-N 0 0 448.553 -0.021 20 0 IBADRN CN1C[C@H]2CN(c3nnc([C@H]4CCCO4)n3CCCNS(C)(=O)=O)CCN2C1=O ZINC001503677331 1074713773 /nfs/dbraw/zinc/71/37/73/1074713773.db2.gz GRTXCFCDIJSRDG-UONOGXRCSA-N 0 0 427.531 -0.375 20 0 IBADRN CN1C[C@@H]2CN(c3nnc([C@H]4CCCO4)n3CCCNS(C)(=O)=O)CCN2C1=O ZINC001503677333 1074713830 /nfs/dbraw/zinc/71/38/30/1074713830.db2.gz GRTXCFCDIJSRDG-ZIAGYGMSSA-N 0 0 427.531 -0.375 20 0 IBADRN CN1C[C@@H]2CN(c3nnc([C@H]4CCCO4)n3CCOCCS(C)(=O)=O)CCN2C1=O ZINC001503679759 1074714105 /nfs/dbraw/zinc/71/41/05/1074714105.db2.gz SEWNOTJWINSOQM-HUUCEWRRSA-N 0 0 442.542 -0.253 20 0 IBADRN CN1C[C@H]2CN(c3nnc([C@H]4CCCO4)n3CCOCCS(C)(=O)=O)CCN2C1=O ZINC001503679770 1074714286 /nfs/dbraw/zinc/71/42/86/1074714286.db2.gz SEWNOTJWINSOQM-LSDHHAIUSA-N 0 0 442.542 -0.253 20 0 IBADRN CN1CCN(c2nnc(-c3ccccn3)n2CCNS(=O)(=O)Cc2ccon2)CC1=O ZINC001503680521 1074714227 /nfs/dbraw/zinc/71/42/27/1074714227.db2.gz APAJDJAAFVMCOM-UHFFFAOYSA-N 0 0 446.493 -0.274 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)N(C)C[C@H]2C1 ZINC001503680690 1074714410 /nfs/dbraw/zinc/71/44/10/1074714410.db2.gz YVDQHXDQYAZKAO-INIZCTEOSA-N 0 0 448.553 -0.289 20 0 IBADRN CN(C)S(=O)(=O)CCn1c(Cc2cccnc2)nnc1N1CCN2C(=O)N(C)C[C@@H]2C1 ZINC001503680691 1074714843 /nfs/dbraw/zinc/71/48/43/1074714843.db2.gz YVDQHXDQYAZKAO-MRXNPFEDSA-N 0 0 448.553 -0.289 20 0 IBADRN CN1CCN(c2nnc(Cc3ccncc3)n2CC2(O)CCS(=O)(=O)CC2)CC1=O ZINC001503681106 1074714691 /nfs/dbraw/zinc/71/46/91/1074714691.db2.gz BUUBRPBIXPWAMG-UHFFFAOYSA-N 0 0 434.522 -0.518 20 0 IBADRN CN1CCN(c2nnc(Cc3cn4ccccc4n3)n2CCCNS(C)(=O)=O)CC1=O ZINC001503682765 1074714640 /nfs/dbraw/zinc/71/46/40/1074714640.db2.gz WPJUWFDABNKOIS-UHFFFAOYSA-N 0 0 446.537 -0.266 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cccc(C(N)=O)c2)CC1=O ZINC001503684205 1074714803 /nfs/dbraw/zinc/71/48/03/1074714803.db2.gz FJZWHIQRCMDJLA-HNNXBMFYSA-N 0 0 432.506 -0.394 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cccc(C(N)=O)c2)CC1=O ZINC001503684206 1074714723 /nfs/dbraw/zinc/71/47/23/1074714723.db2.gz FJZWHIQRCMDJLA-OAHLLOKOSA-N 0 0 432.506 -0.394 20 0 IBADRN Cn1nc2c(cc1=O)CN(c1nnc(C(=O)N3CCCC3)n1CCS(C)(=O)=O)CC2 ZINC001503686109 1074714791 /nfs/dbraw/zinc/71/47/91/1074714791.db2.gz LDERMITWEDJXJI-UHFFFAOYSA-N 0 0 435.510 -0.785 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(F)(F)F)CC1=O ZINC001503686211 1074714872 /nfs/dbraw/zinc/71/48/72/1074714872.db2.gz RKIZANVXUXEVFD-JTQLQIEISA-N 0 0 426.421 -0.548 20 0 IBADRN CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(F)(F)F)CC1=O ZINC001503686212 1074714610 /nfs/dbraw/zinc/71/46/10/1074714610.db2.gz RKIZANVXUXEVFD-SNVBAGLBSA-N 0 0 426.421 -0.548 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CCCCCCO)CC1=O ZINC001503686282 1074714631 /nfs/dbraw/zinc/71/46/31/1074714631.db2.gz SOAZNGXKPZHBAH-HNNXBMFYSA-N 0 0 444.558 -0.558 20 0 IBADRN CN1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CCCCCCO)CC1=O ZINC001503686284 1074714702 /nfs/dbraw/zinc/71/47/02/1074714702.db2.gz SOAZNGXKPZHBAH-OAHLLOKOSA-N 0 0 444.558 -0.558 20 0 IBADRN CN1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncnn2C(C)(C)C)CC1=O ZINC001503686604 1074714712 /nfs/dbraw/zinc/71/47/12/1074714712.db2.gz WHEWSMTYZPSBSX-CYBMUJFWSA-N 0 0 436.542 -0.147 20 0 IBADRN CN1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncnn2C(C)(C)C)CC1=O ZINC001503686606 1074714761 /nfs/dbraw/zinc/71/47/61/1074714761.db2.gz WHEWSMTYZPSBSX-ZDUSSCGKSA-N 0 0 436.542 -0.147 20 0 IBADRN Cn1nc(-c2nnc(N3CCNC(=O)CC3)n2CCOCCCO)c2ccccc2c1=O ZINC001503686935 1074714621 /nfs/dbraw/zinc/71/46/21/1074714621.db2.gz XPYSFFAGZAKTFI-UHFFFAOYSA-N 0 0 441.492 -0.083 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C(=O)NC(C)C)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001503687470 1074715174 /nfs/dbraw/zinc/71/51/74/1074715174.db2.gz CIWDHXGIFXICFM-UHFFFAOYSA-N 0 0 443.574 -0.340 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)C ZINC001503687731 1074715203 /nfs/dbraw/zinc/71/52/03/1074715203.db2.gz HQKUSPSCIAUMBU-GFCCVEGCSA-N 0 0 431.563 -0.379 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N(C)CC(=O)NC(C)C ZINC001503687733 1074715185 /nfs/dbraw/zinc/71/51/85/1074715185.db2.gz HQKUSPSCIAUMBU-LBPRGKRZSA-N 0 0 431.563 -0.379 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001503687946 1074715061 /nfs/dbraw/zinc/71/50/61/1074715061.db2.gz INPVZUYOTQWPCT-NEPJUHHUSA-N 0 0 429.547 -0.673 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001503687947 1074715009 /nfs/dbraw/zinc/71/50/09/1074715009.db2.gz INPVZUYOTQWPCT-NWDGAFQWSA-N 0 0 429.547 -0.673 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001503687948 1074715164 /nfs/dbraw/zinc/71/51/64/1074715164.db2.gz INPVZUYOTQWPCT-RYUDHWBXSA-N 0 0 429.547 -0.673 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001503687949 1074715121 /nfs/dbraw/zinc/71/51/21/1074715121.db2.gz INPVZUYOTQWPCT-VXGBXAGGSA-N 0 0 429.547 -0.673 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001503688808 1074715214 /nfs/dbraw/zinc/71/52/14/1074715214.db2.gz SPSBGEIVVCUIBD-UHFFFAOYSA-N 0 0 441.558 -0.632 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C(=O)Nc2ccccn2)nnc1N1CCS(=O)(=O)CC1 ZINC001503688829 1074715136 /nfs/dbraw/zinc/71/51/36/1074715136.db2.gz SUTFXTYSXSOHJU-UHFFFAOYSA-N 0 0 435.510 -0.068 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001503689525 1074715088 /nfs/dbraw/zinc/71/50/88/1074715088.db2.gz YQPYVXFHJUKQIK-UHFFFAOYSA-N 0 0 448.572 -0.504 20 0 IBADRN Cn1nccc1C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001503689857 1074715154 /nfs/dbraw/zinc/71/51/54/1074715154.db2.gz XIXDRCIDXAMONI-AWEZNQCLSA-N 0 0 436.542 -0.104 20 0 IBADRN Cn1nccc1C1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001503689858 1074715073 /nfs/dbraw/zinc/71/50/73/1074715073.db2.gz XIXDRCIDXAMONI-CQSZACIVSA-N 0 0 436.542 -0.104 20 0 IBADRN Cn1nncc1Cn1c([C@H]2CCC(=O)N2)nnc1N(CCO)CCOCC(F)(F)F ZINC001503690589 1074715024 /nfs/dbraw/zinc/71/50/24/1074715024.db2.gz SVHURMDMYPMVDO-GFCCVEGCSA-N 0 0 432.407 -0.216 20 0 IBADRN Cn1nncc1Cn1c([C@@H]2CCC(=O)N2)nnc1N(CCO)CCOCC(F)(F)F ZINC001503690590 1074715050 /nfs/dbraw/zinc/71/50/50/1074715050.db2.gz SVHURMDMYPMVDO-LBPRGKRZSA-N 0 0 432.407 -0.216 20 0 IBADRN Cn1ncc(CCCn2c(CN3CCCC3=O)nnc2N2CC[C@H](S(C)(=O)=O)C2)n1 ZINC001503691205 1074715034 /nfs/dbraw/zinc/71/50/34/1074715034.db2.gz FNHQLLYWMQGGRU-HNNXBMFYSA-N 0 0 436.542 -0.215 20 0 IBADRN Cn1ncc(CCCn2c(CN3CCCC3=O)nnc2N2CC[C@@H](S(C)(=O)=O)C2)n1 ZINC001503691206 1074715220 /nfs/dbraw/zinc/71/52/20/1074715220.db2.gz FNHQLLYWMQGGRU-OAHLLOKOSA-N 0 0 436.542 -0.215 20 0 IBADRN Cn1nc2c(cc1=O)CN(c1nnc(Cc3cccnc3)n1CCNS(C)(=O)=O)CC2 ZINC001503692247 1074715099 /nfs/dbraw/zinc/71/50/99/1074715099.db2.gz PAASNRCICWRYEC-UHFFFAOYSA-N 0 0 444.521 -0.531 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001503693429 1074715192 /nfs/dbraw/zinc/71/51/92/1074715192.db2.gz LLZJOSDQJIUEQB-NEPJUHHUSA-N 0 0 443.530 -0.699 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001503693430 1074715231 /nfs/dbraw/zinc/71/52/31/1074715231.db2.gz LLZJOSDQJIUEQB-NWDGAFQWSA-N 0 0 443.530 -0.699 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001503693431 1074715144 /nfs/dbraw/zinc/71/51/44/1074715144.db2.gz LLZJOSDQJIUEQB-RYUDHWBXSA-N 0 0 443.530 -0.699 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001503693432 1074715401 /nfs/dbraw/zinc/71/54/01/1074715401.db2.gz LLZJOSDQJIUEQB-VXGBXAGGSA-N 0 0 443.530 -0.699 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](COC)C1 ZINC001503694159 1074715517 /nfs/dbraw/zinc/71/55/17/1074715517.db2.gz UCQQIGVIQYDGCS-CHWSQXEVSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](COC)C1 ZINC001503694160 1074715433 /nfs/dbraw/zinc/71/54/33/1074715433.db2.gz UCQQIGVIQYDGCS-OLZOCXBDSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H](COC)C1 ZINC001503694161 1074715441 /nfs/dbraw/zinc/71/54/41/1074715441.db2.gz UCQQIGVIQYDGCS-QWHCGFSZSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H](COC)C1 ZINC001503694162 1074715489 /nfs/dbraw/zinc/71/54/89/1074715489.db2.gz UCQQIGVIQYDGCS-STQMWFEESA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001503696321 1074715413 /nfs/dbraw/zinc/71/54/13/1074715413.db2.gz MCFFRQFDGWMCMB-HNNXBMFYSA-N 0 0 442.586 -0.279 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOCC1 ZINC001503696322 1074715508 /nfs/dbraw/zinc/71/55/08/1074715508.db2.gz MCFFRQFDGWMCMB-OAHLLOKOSA-N 0 0 442.586 -0.279 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001503696349 1074715575 /nfs/dbraw/zinc/71/55/75/1074715575.db2.gz MYZZEFTYSOIXIS-CABCVRRESA-N 0 0 440.570 -0.527 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@@H]2C1 ZINC001503696350 1074715468 /nfs/dbraw/zinc/71/54/68/1074715468.db2.gz MYZZEFTYSOIXIS-GJZGRUSLSA-N 0 0 440.570 -0.527 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001503696351 1074715481 /nfs/dbraw/zinc/71/54/81/1074715481.db2.gz MYZZEFTYSOIXIS-HUUCEWRRSA-N 0 0 440.570 -0.527 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2CCOC[C@H]2C1 ZINC001503696352 1074715548 /nfs/dbraw/zinc/71/55/48/1074715548.db2.gz MYZZEFTYSOIXIS-LSDHHAIUSA-N 0 0 440.570 -0.527 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCOC2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001503696548 1074715424 /nfs/dbraw/zinc/71/54/24/1074715424.db2.gz QZVUZJZMHRACTJ-HNNXBMFYSA-N 0 0 433.557 -0.045 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCOC2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001503696550 1074715537 /nfs/dbraw/zinc/71/55/37/1074715537.db2.gz QZVUZJZMHRACTJ-OAHLLOKOSA-N 0 0 433.557 -0.045 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001503697041 1074715494 /nfs/dbraw/zinc/71/54/94/1074715494.db2.gz YGYXIVNJADZSAX-CHWSQXEVSA-N 0 0 426.543 -0.381 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@H](C)C1 ZINC001503697045 1074715459 /nfs/dbraw/zinc/71/54/59/1074715459.db2.gz YGYXIVNJADZSAX-OLZOCXBDSA-N 0 0 426.543 -0.381 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001503697047 1074715447 /nfs/dbraw/zinc/71/54/47/1074715447.db2.gz YGYXIVNJADZSAX-QWHCGFSZSA-N 0 0 426.543 -0.381 20 0 IBADRN CNC(=O)C(C)(C)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC(=O)N(C)[C@@H](C)C1 ZINC001503697049 1074715871 /nfs/dbraw/zinc/71/58/71/1074715871.db2.gz YGYXIVNJADZSAX-STQMWFEESA-N 0 0 426.543 -0.381 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001503698175 1074715829 /nfs/dbraw/zinc/71/58/29/1074715829.db2.gz RQCPMUWBGUVFJG-UHFFFAOYSA-N 0 0 435.529 -0.532 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(CN2CCCC2=O)nnc1N1CCN(C(=O)COC)CC1 ZINC001503698603 1074715884 /nfs/dbraw/zinc/71/58/84/1074715884.db2.gz YYUJNIDEKXQFQF-UHFFFAOYSA-N 0 0 435.529 -0.532 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503701277 1074715861 /nfs/dbraw/zinc/71/58/61/1074715861.db2.gz NNUBSFRWPWTQDR-HNNXBMFYSA-N 0 0 440.508 -0.817 20 0 IBADRN CNC(=O)C(C)(C)Cn1c(Cc2ccncc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503701279 1074715846 /nfs/dbraw/zinc/71/58/46/1074715846.db2.gz NNUBSFRWPWTQDR-OAHLLOKOSA-N 0 0 440.508 -0.817 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(C(=O)N2CCCC2)n1CCC(=O)N1CCOCC1 ZINC001503704463 1074715780 /nfs/dbraw/zinc/71/57/80/1074715780.db2.gz RXYPUFTYVSMEKQ-HNNXBMFYSA-N 0 0 435.529 -0.419 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(C(=O)N2CCCC2)n1CCC(=O)N1CCOCC1 ZINC001503704469 1074715822 /nfs/dbraw/zinc/71/58/22/1074715822.db2.gz RXYPUFTYVSMEKQ-OAHLLOKOSA-N 0 0 435.529 -0.419 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1cnn(C)c1C ZINC001503704487 1074715907 /nfs/dbraw/zinc/71/59/07/1074715907.db2.gz LTRDFYWTZIOLGV-NEPJUHHUSA-N 0 0 426.547 -0.203 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1cnn(C)c1C ZINC001503704488 1074715786 /nfs/dbraw/zinc/71/57/86/1074715786.db2.gz LTRDFYWTZIOLGV-NWDGAFQWSA-N 0 0 426.547 -0.203 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1cnn(C)c1C ZINC001503704489 1074715793 /nfs/dbraw/zinc/71/57/93/1074715793.db2.gz LTRDFYWTZIOLGV-RYUDHWBXSA-N 0 0 426.547 -0.203 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1cnn(C)c1C ZINC001503704491 1074715768 /nfs/dbraw/zinc/71/57/68/1074715768.db2.gz LTRDFYWTZIOLGV-VXGBXAGGSA-N 0 0 426.547 -0.203 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@H]2CCC(=O)N2)n1CC1CCS(=O)(=O)CC1 ZINC001503714275 1074715851 /nfs/dbraw/zinc/71/58/51/1074715851.db2.gz DSZLBCSZXMLNBI-GXTWGEPZSA-N 0 0 426.543 -0.128 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1CC1CCS(=O)(=O)CC1 ZINC001503714276 1074715799 /nfs/dbraw/zinc/71/57/99/1074715799.db2.gz DSZLBCSZXMLNBI-JSGCOSHPSA-N 0 0 426.543 -0.128 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCC(=O)N2)n1CC1CCS(=O)(=O)CC1 ZINC001503714277 1074715813 /nfs/dbraw/zinc/71/58/13/1074715813.db2.gz DSZLBCSZXMLNBI-OCCSQVGLSA-N 0 0 426.543 -0.128 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCC(=O)N2)n1CC1CCS(=O)(=O)CC1 ZINC001503714278 1074715924 /nfs/dbraw/zinc/71/59/24/1074715924.db2.gz DSZLBCSZXMLNBI-TZMCWYRMSA-N 0 0 426.543 -0.128 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001503715704 1074715894 /nfs/dbraw/zinc/71/58/94/1074715894.db2.gz DMMSFWHUYKMWPH-FHSNZYRGSA-N 0 0 426.543 -0.523 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C)C1=O ZINC001503715706 1074715836 /nfs/dbraw/zinc/71/58/36/1074715836.db2.gz DMMSFWHUYKMWPH-SNUQEOBHSA-N 0 0 426.543 -0.523 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001503715708 1074715916 /nfs/dbraw/zinc/71/59/16/1074715916.db2.gz DMMSFWHUYKMWPH-VBHSOAQHSA-N 0 0 426.543 -0.523 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C)C1=O ZINC001503715710 1074715759 /nfs/dbraw/zinc/71/57/59/1074715759.db2.gz DMMSFWHUYKMWPH-VFVRVIDISA-N 0 0 426.543 -0.523 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCO1 ZINC001503716733 1074715933 /nfs/dbraw/zinc/71/59/33/1074715933.db2.gz HUXGXIWDDNGGBR-ILXRZTDVSA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCCO1 ZINC001503716738 1074716195 /nfs/dbraw/zinc/71/61/95/1074716195.db2.gz HUXGXIWDDNGGBR-QLFBSQMISA-N 0 0 444.558 -0.392 20 0 IBADRN CNC(=O)[C@@H](C)CN(C)c1nnc(CN2CCCC2=O)n1CC1(C(N)=O)CCOCC1 ZINC001503717896 1074716182 /nfs/dbraw/zinc/71/61/82/1074716182.db2.gz JUIPSBCSKNXGIF-AWEZNQCLSA-N 0 0 435.529 -0.499 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc(CN2CCCC2=O)n1CC1(C(N)=O)CCOCC1 ZINC001503717899 1074716152 /nfs/dbraw/zinc/71/61/52/1074716152.db2.gz JUIPSBCSKNXGIF-CQSZACIVSA-N 0 0 435.529 -0.499 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H](C)COC ZINC001503718762 1074716237 /nfs/dbraw/zinc/71/62/37/1074716237.db2.gz NIMIQGVULAKOHS-KFWWJZLASA-N 0 0 446.574 -0.288 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H](C)COC ZINC001503718763 1074716292 /nfs/dbraw/zinc/71/62/92/1074716292.db2.gz NIMIQGVULAKOHS-RBSFLKMASA-N 0 0 446.574 -0.288 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H](C)COC ZINC001503718765 1074716219 /nfs/dbraw/zinc/71/62/19/1074716219.db2.gz NIMIQGVULAKOHS-RRFJBIMHSA-N 0 0 446.574 -0.288 20 0 IBADRN CNC(=O)[C@H](C)CN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H](C)COC ZINC001503718767 1074716188 /nfs/dbraw/zinc/71/61/88/1074716188.db2.gz NIMIQGVULAKOHS-ZNMIVQPWSA-N 0 0 446.574 -0.288 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)C1 ZINC001503719279 1074716258 /nfs/dbraw/zinc/71/62/58/1074716258.db2.gz DBCJJEAGQNMIOP-KRWDZBQOSA-N 0 0 429.547 -0.730 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(C(=O)NC(C)C)n2CCS(=O)(=O)N(C)C)C1 ZINC001503719284 1074716140 /nfs/dbraw/zinc/71/61/40/1074716140.db2.gz DBCJJEAGQNMIOP-QGZVFWFLSA-N 0 0 429.547 -0.730 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001503722456 1074716214 /nfs/dbraw/zinc/71/62/14/1074716214.db2.gz FXTJTOBSFBOZOP-CXAGYDPISA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001503722460 1074716227 /nfs/dbraw/zinc/71/62/27/1074716227.db2.gz FXTJTOBSFBOZOP-DYVFJYSZSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001503722462 1074716175 /nfs/dbraw/zinc/71/61/75/1074716175.db2.gz FXTJTOBSFBOZOP-GUYCJALGSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001503722463 1074716200 /nfs/dbraw/zinc/71/62/00/1074716200.db2.gz FXTJTOBSFBOZOP-SUMWQHHRSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)C1 ZINC001503724432 1074716171 /nfs/dbraw/zinc/71/61/71/1074716171.db2.gz VRLZQHONERNYGL-ACJLOTCBSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)C1 ZINC001503724433 1074716268 /nfs/dbraw/zinc/71/62/68/1074716268.db2.gz VRLZQHONERNYGL-FZKQIMNGSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)C1 ZINC001503724434 1074716160 /nfs/dbraw/zinc/71/61/60/1074716160.db2.gz VRLZQHONERNYGL-SCLBCKFNSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)C1 ZINC001503724435 1074716244 /nfs/dbraw/zinc/71/62/44/1074716244.db2.gz VRLZQHONERNYGL-UGSOOPFHSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC(C)C)C1 ZINC001503726501 1074716204 /nfs/dbraw/zinc/71/62/04/1074716204.db2.gz MALCFLCYGBFUKK-GOSISDBHSA-N 0 0 438.558 -0.031 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC(C)C)C1 ZINC001503726506 1074716280 /nfs/dbraw/zinc/71/62/80/1074716280.db2.gz MALCFLCYGBFUKK-SFHVURJKSA-N 0 0 438.558 -0.031 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(-c3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001503727400 1074716209 /nfs/dbraw/zinc/71/62/09/1074716209.db2.gz RWUDTBRHJDLRID-MOPGFXCFSA-N 0 0 434.522 -0.148 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(-c3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)C1 ZINC001503727402 1074716588 /nfs/dbraw/zinc/71/65/88/1074716588.db2.gz RWUDTBRHJDLRID-OALUTQOASA-N 0 0 434.522 -0.148 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(-c3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001503727405 1074716607 /nfs/dbraw/zinc/71/66/07/1074716607.db2.gz RWUDTBRHJDLRID-RBUKOAKNSA-N 0 0 434.522 -0.148 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(-c3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)C1 ZINC001503727406 1074716643 /nfs/dbraw/zinc/71/66/43/1074716643.db2.gz RWUDTBRHJDLRID-RTBURBONSA-N 0 0 434.522 -0.148 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)c2ccn[nH]2)C1 ZINC001503728509 1074716526 /nfs/dbraw/zinc/71/65/26/1074716526.db2.gz ZPIXSZMDUVGYIK-IBGZPJMESA-N 0 0 426.485 -0.206 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)c2ccn[nH]2)C1 ZINC001503728510 1074716600 /nfs/dbraw/zinc/71/66/00/1074716600.db2.gz ZPIXSZMDUVGYIK-LJQANCHMSA-N 0 0 426.485 -0.206 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C1 ZINC001503730782 1074716634 /nfs/dbraw/zinc/71/66/34/1074716634.db2.gz IEMTWGJALZCYPG-QWQRMKEZSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)C1 ZINC001503730796 1074716582 /nfs/dbraw/zinc/71/65/82/1074716582.db2.gz IEMTWGJALZCYPG-SUNYJGFJSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)C1 ZINC001503731749 1074716568 /nfs/dbraw/zinc/71/65/68/1074716568.db2.gz KMXGRBFQMXDOHQ-KBXCAEBGSA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)C1 ZINC001503731757 1074716594 /nfs/dbraw/zinc/71/65/94/1074716594.db2.gz KMXGRBFQMXDOHQ-KDOFPFPSSA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)C1 ZINC001503731759 1074716575 /nfs/dbraw/zinc/71/65/75/1074716575.db2.gz KMXGRBFQMXDOHQ-KSSFIOAISA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC2(O)CCOCC2)C1 ZINC001503731760 1074716560 /nfs/dbraw/zinc/71/65/60/1074716560.db2.gz KMXGRBFQMXDOHQ-RDTXWAMCSA-N 0 0 441.554 -0.316 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CCCn2cc(CO)nn2)C1 ZINC001503734034 1074716543 /nfs/dbraw/zinc/71/65/43/1074716543.db2.gz LGYHIVMUTFFYJQ-FQEVSTJZSA-N 0 0 445.528 -0.463 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc(CN3CCCC3=O)n2CCCn2cc(CO)nn2)C1 ZINC001503734044 1074716553 /nfs/dbraw/zinc/71/65/53/1074716553.db2.gz LGYHIVMUTFFYJQ-HXUWFJFHSA-N 0 0 445.528 -0.463 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C1 ZINC001503736763 1074716627 /nfs/dbraw/zinc/71/66/27/1074716627.db2.gz XJLQPPSDHHWZKB-ACJLOTCBSA-N 0 0 444.558 -0.656 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C1 ZINC001503736764 1074716536 /nfs/dbraw/zinc/71/65/36/1074716536.db2.gz XJLQPPSDHHWZKB-FZKQIMNGSA-N 0 0 444.558 -0.656 20 0 IBADRN CNC(=O)[C@]1(C)CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C1 ZINC001503736765 1074716621 /nfs/dbraw/zinc/71/66/21/1074716621.db2.gz XJLQPPSDHHWZKB-SCLBCKFNSA-N 0 0 444.558 -0.656 20 0 IBADRN CNC(=O)[C@@]1(C)CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC(C)(C)O)C1 ZINC001503736766 1074716515 /nfs/dbraw/zinc/71/65/15/1074716515.db2.gz XJLQPPSDHHWZKB-UGSOOPFHSA-N 0 0 444.558 -0.656 20 0 IBADRN CNC(=O)c1ccc(CN(C)c2nnc([C@@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)cc1 ZINC001503738135 1074716648 /nfs/dbraw/zinc/71/66/48/1074716648.db2.gz KAWAEKXCANYHFL-HNNXBMFYSA-N 0 0 449.537 -0.226 20 0 IBADRN CNC(=O)c1ccc(CN(C)c2nnc([C@H]3CCC(=O)N3)n2CCS(=O)(=O)NC)cc1 ZINC001503738136 1074716614 /nfs/dbraw/zinc/71/66/14/1074716614.db2.gz KAWAEKXCANYHFL-OAHLLOKOSA-N 0 0 449.537 -0.226 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)NC)C1 ZINC001503742110 1074716501 /nfs/dbraw/zinc/71/65/01/1074716501.db2.gz BUDQRVXAKZRQPQ-CYBMUJFWSA-N 0 0 427.531 -0.974 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(C(=O)N3CCCC3)n2CCS(=O)(=O)NC)C1 ZINC001503742111 1074716954 /nfs/dbraw/zinc/71/69/54/1074716954.db2.gz BUDQRVXAKZRQPQ-ZDUSSCGKSA-N 0 0 427.531 -0.974 20 0 IBADRN CNC(=O)c1ccn([C@H]2CCCN(c3nnc(C)n3CCC[C@@H]3NC(=O)NC3=O)C2)n1 ZINC001503742493 1074716901 /nfs/dbraw/zinc/71/69/01/1074716901.db2.gz RSFYTEFGCGMXFT-KBPBESRZSA-N 0 0 429.485 -0.028 20 0 IBADRN CNC(=O)c1ccn([C@@H]2CCCN(c3nnc(C)n3CCC[C@@H]3NC(=O)NC3=O)C2)n1 ZINC001503742500 1074716944 /nfs/dbraw/zinc/71/69/44/1074716944.db2.gz RSFYTEFGCGMXFT-KGLIPLIRSA-N 0 0 429.485 -0.028 20 0 IBADRN CNC(=O)c1cccc(Cn2c([C@@H]3CCC(=O)N3)nnc2N2CCS(=O)(=O)CC2)c1 ZINC001503743759 1074716929 /nfs/dbraw/zinc/71/69/29/1074716929.db2.gz RCFYBUWPOTVDKU-HNNXBMFYSA-N 0 0 432.506 -0.128 20 0 IBADRN CNC(=O)c1cccc(Cn2c([C@H]3CCC(=O)N3)nnc2N2CCS(=O)(=O)CC2)c1 ZINC001503743760 1074716919 /nfs/dbraw/zinc/71/69/19/1074716919.db2.gz RCFYBUWPOTVDKU-OAHLLOKOSA-N 0 0 432.506 -0.128 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001503744621 1074716841 /nfs/dbraw/zinc/71/68/41/1074716841.db2.gz GVAKLIGVYWESLW-KBPBESRZSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001503744623 1074716852 /nfs/dbraw/zinc/71/68/52/1074716852.db2.gz GVAKLIGVYWESLW-KGLIPLIRSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001503744625 1074716892 /nfs/dbraw/zinc/71/68/92/1074716892.db2.gz GVAKLIGVYWESLW-UONOGXRCSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC2(O)CCOCC2)C1 ZINC001503744627 1074716818 /nfs/dbraw/zinc/71/68/18/1074716818.db2.gz GVAKLIGVYWESLW-ZIAGYGMSSA-N 0 0 444.558 -0.608 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(C(=O)Nc3ccccn3)n2CCS(C)(=O)=O)C1 ZINC001503744751 1074716862 /nfs/dbraw/zinc/71/68/62/1074716862.db2.gz HKYQNZCTIPMBRZ-CYBMUJFWSA-N 0 0 435.510 -0.068 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(C(=O)Nc3ccccn3)n2CCS(C)(=O)=O)C1 ZINC001503744756 1074716791 /nfs/dbraw/zinc/71/67/91/1074716791.db2.gz HKYQNZCTIPMBRZ-ZDUSSCGKSA-N 0 0 435.510 -0.068 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CC(=O)N(CCOC)C2)C1 ZINC001503747694 1074716909 /nfs/dbraw/zinc/71/69/09/1074716909.db2.gz PQCLESYGLBONDH-CVEARBPZSA-N 0 0 449.556 -0.126 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CC(=O)N(CCOC)C2)C1 ZINC001503747700 1074716880 /nfs/dbraw/zinc/71/68/80/1074716880.db2.gz PQCLESYGLBONDH-HOTGVXAUSA-N 0 0 449.556 -0.126 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CC(=O)N(CCOC)C2)C1 ZINC001503747702 1074716936 /nfs/dbraw/zinc/71/69/36/1074716936.db2.gz PQCLESYGLBONDH-HZPDHXFCSA-N 0 0 449.556 -0.126 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CC(=O)N(CCOC)C2)C1 ZINC001503747705 1074716983 /nfs/dbraw/zinc/71/69/83/1074716983.db2.gz PQCLESYGLBONDH-JKSUJKDBSA-N 0 0 449.556 -0.126 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)C1 ZINC001503748197 1074716872 /nfs/dbraw/zinc/71/68/72/1074716872.db2.gz RZSSHJRDBUFPPC-KBPBESRZSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)C1 ZINC001503748199 1074716830 /nfs/dbraw/zinc/71/68/30/1074716830.db2.gz RZSSHJRDBUFPPC-KGLIPLIRSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)C1 ZINC001503748202 1074716966 /nfs/dbraw/zinc/71/69/66/1074716966.db2.gz RZSSHJRDBUFPPC-UONOGXRCSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCC(=O)NC(C)C)C1 ZINC001503748205 1074716804 /nfs/dbraw/zinc/71/68/04/1074716804.db2.gz RZSSHJRDBUFPPC-ZIAGYGMSSA-N 0 0 443.574 -0.235 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CC2(C(N)=O)CCOCC2)C1 ZINC001503750525 1074716974 /nfs/dbraw/zinc/71/69/74/1074716974.db2.gz HDICFTYELFYVIN-AWEZNQCLSA-N 0 0 430.513 -0.077 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CC2(C(N)=O)CCOCC2)C1 ZINC001503750526 1074717465 /nfs/dbraw/zinc/71/74/65/1074717465.db2.gz HDICFTYELFYVIN-CQSZACIVSA-N 0 0 430.513 -0.077 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCC(=O)N2CCOCC2)C1 ZINC001503751345 1074717206 /nfs/dbraw/zinc/71/72/06/1074717206.db2.gz NWIUSMMKPWPOQV-HNNXBMFYSA-N 0 0 430.513 -0.110 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCC(=O)N2CCOCC2)C1 ZINC001503751346 1074717242 /nfs/dbraw/zinc/71/72/42/1074717242.db2.gz NWIUSMMKPWPOQV-OAHLLOKOSA-N 0 0 430.513 -0.110 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCN2CCCS2(=O)=O)C1 ZINC001503751535 1074717457 /nfs/dbraw/zinc/71/74/57/1074717457.db2.gz SKGQBICDKUYBCH-AWEZNQCLSA-N 0 0 436.542 -0.324 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(-c3cnn(C)c3)n2CCN2CCCS2(=O)=O)C1 ZINC001503751536 1074717183 /nfs/dbraw/zinc/71/71/83/1074717183.db2.gz SKGQBICDKUYBCH-CQSZACIVSA-N 0 0 436.542 -0.324 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)C1 ZINC001503752131 1074717431 /nfs/dbraw/zinc/71/74/31/1074717431.db2.gz AKQUOWRMHBBEEM-KBPBESRZSA-N 0 0 436.542 -0.188 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)C1 ZINC001503752133 1074717326 /nfs/dbraw/zinc/71/73/26/1074717326.db2.gz AKQUOWRMHBBEEM-KGLIPLIRSA-N 0 0 436.542 -0.188 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)C1 ZINC001503752135 1074717289 /nfs/dbraw/zinc/71/72/89/1074717289.db2.gz AKQUOWRMHBBEEM-UONOGXRCSA-N 0 0 436.542 -0.188 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCc2n[nH]c(C)n2)C1 ZINC001503752137 1074717372 /nfs/dbraw/zinc/71/73/72/1074717372.db2.gz AKQUOWRMHBBEEM-ZIAGYGMSSA-N 0 0 436.542 -0.188 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CC(=O)N(CCOC)C2)C1 ZINC001503753354 1074717362 /nfs/dbraw/zinc/71/73/62/1074717362.db2.gz MHVHUCGETMZQRO-ARFHVFGLSA-N 0 0 447.540 -0.314 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CC(=O)N(CCOC)C2)C1 ZINC001503753356 1074717253 /nfs/dbraw/zinc/71/72/53/1074717253.db2.gz MHVHUCGETMZQRO-BZUAXINKSA-N 0 0 447.540 -0.314 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CC(=O)N(CCOC)C2)C1 ZINC001503753358 1074717278 /nfs/dbraw/zinc/71/72/78/1074717278.db2.gz MHVHUCGETMZQRO-OAGGEKHMSA-N 0 0 447.540 -0.314 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CC(=O)N(CCOC)C2)C1 ZINC001503753362 1074717412 /nfs/dbraw/zinc/71/74/12/1074717412.db2.gz MHVHUCGETMZQRO-XHSDSOJGSA-N 0 0 447.540 -0.314 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001503754977 1074717421 /nfs/dbraw/zinc/71/74/21/1074717421.db2.gz SPSUSGFQAJAKCT-QLFBSQMISA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001503754980 1074717195 /nfs/dbraw/zinc/71/71/95/1074717195.db2.gz SPSUSGFQAJAKCT-RBSFLKMASA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001503754984 1074717402 /nfs/dbraw/zinc/71/74/02/1074717402.db2.gz SPSUSGFQAJAKCT-RRFJBIMHSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@H]2COCCO2)C1 ZINC001503754986 1074717163 /nfs/dbraw/zinc/71/71/63/1074717163.db2.gz SPSUSGFQAJAKCT-SOUVJXGZSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C1 ZINC001503756145 1074717231 /nfs/dbraw/zinc/71/72/31/1074717231.db2.gz YJDVLOSFWQSMDM-CABCVRRESA-N 0 0 438.554 -0.331 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C1 ZINC001503756147 1074717266 /nfs/dbraw/zinc/71/72/66/1074717266.db2.gz YJDVLOSFWQSMDM-GJZGRUSLSA-N 0 0 438.554 -0.331 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C1 ZINC001503756149 1074717218 /nfs/dbraw/zinc/71/72/18/1074717218.db2.gz YJDVLOSFWQSMDM-HUUCEWRRSA-N 0 0 438.554 -0.331 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(=O)NCC2CC2)C1 ZINC001503756152 1074717690 /nfs/dbraw/zinc/71/76/90/1074717690.db2.gz YJDVLOSFWQSMDM-LSDHHAIUSA-N 0 0 438.554 -0.331 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)C1 ZINC001503756210 1074717701 /nfs/dbraw/zinc/71/77/01/1074717701.db2.gz ZHPKJYFOIPEGHF-CABCVRRESA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)C1 ZINC001503756212 1074717648 /nfs/dbraw/zinc/71/76/48/1074717648.db2.gz ZHPKJYFOIPEGHF-GJZGRUSLSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)C1 ZINC001503756214 1074717814 /nfs/dbraw/zinc/71/78/14/1074717814.db2.gz ZHPKJYFOIPEGHF-HUUCEWRRSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCNC(C)=O)C1 ZINC001503756216 1074717820 /nfs/dbraw/zinc/71/78/20/1074717820.db2.gz ZHPKJYFOIPEGHF-LSDHHAIUSA-N 0 0 426.543 -0.331 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)F)C1 ZINC001503756479 1074717717 /nfs/dbraw/zinc/71/77/17/1074717717.db2.gz BCCRWKFLSGEYSZ-GFCCVEGCSA-N 0 0 427.456 -0.256 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)F)C1 ZINC001503756484 1074717680 /nfs/dbraw/zinc/71/76/80/1074717680.db2.gz BCCRWKFLSGEYSZ-LBPRGKRZSA-N 0 0 427.456 -0.256 20 0 IBADRN CNC(=O)C1CCN(c2nnc(C(=O)N3CCCC3)n2CCCS(C)(=O)=O)CC1 ZINC001503757365 1074717750 /nfs/dbraw/zinc/71/77/50/1074717750.db2.gz IYMFQSIBMDQNDX-UHFFFAOYSA-N 0 0 426.543 -0.089 20 0 IBADRN CNC(=O)C1CCN(c2nnc(C(=O)NC(C)C)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001503758931 1074717775 /nfs/dbraw/zinc/71/77/75/1074717775.db2.gz XKAVBFQTHVGXEQ-UHFFFAOYSA-N 0 0 448.572 -0.457 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001503759909 1074717795 /nfs/dbraw/zinc/71/77/95/1074717795.db2.gz IXVFRJPWJHRGMC-GFCCVEGCSA-N 0 0 429.547 -0.625 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC(=O)NC(C)C)CC1 ZINC001503759912 1074717707 /nfs/dbraw/zinc/71/77/07/1074717707.db2.gz IXVFRJPWJHRGMC-LBPRGKRZSA-N 0 0 429.547 -0.625 20 0 IBADRN CNC(=O)[C@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCCS(C)(=O)=O)C1 ZINC001503759928 1074717766 /nfs/dbraw/zinc/71/77/66/1074717766.db2.gz VZYLASFGMINUBO-AWEZNQCLSA-N 0 0 426.543 -0.202 20 0 IBADRN CNC(=O)[C@@H]1CCCN(c2nnc(CN3CCCC3=O)n2CCCS(C)(=O)=O)C1 ZINC001503759930 1074717657 /nfs/dbraw/zinc/71/76/57/1074717657.db2.gz VZYLASFGMINUBO-CQSZACIVSA-N 0 0 426.543 -0.202 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H](COC)OC)CC1 ZINC001503760408 1074717806 /nfs/dbraw/zinc/71/78/06/1074717806.db2.gz MAEPAFDEUPPFIZ-GXTWGEPZSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H](COC)OC)CC1 ZINC001503760410 1074717640 /nfs/dbraw/zinc/71/76/40/1074717640.db2.gz MAEPAFDEUPPFIZ-JSGCOSHPSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H](COC)OC)CC1 ZINC001503760412 1074717786 /nfs/dbraw/zinc/71/77/86/1074717786.db2.gz MAEPAFDEUPPFIZ-OCCSQVGLSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H](COC)OC)CC1 ZINC001503760414 1074717740 /nfs/dbraw/zinc/71/77/40/1074717740.db2.gz MAEPAFDEUPPFIZ-TZMCWYRMSA-N 0 0 432.547 -0.488 20 0 IBADRN CNC(=O)C1CCN(c2nnc(-c3ccc(-n4cnnn4)cc3)n2CC(=O)N(C)C)CC1 ZINC001503761336 1074717726 /nfs/dbraw/zinc/71/77/26/1074717726.db2.gz WFFLYAVSYXHIHQ-UHFFFAOYSA-N 0 0 438.496 -0.029 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)CC1 ZINC001503761590 1074717666 /nfs/dbraw/zinc/71/76/66/1074717666.db2.gz VKDKSPHFUDJFHD-LLVKDONJSA-N 0 0 425.519 -0.973 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2cnnn2C)CC1 ZINC001503761591 1074717670 /nfs/dbraw/zinc/71/76/70/1074717670.db2.gz VKDKSPHFUDJFHD-NSHDSACASA-N 0 0 425.519 -0.973 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)CC1 ZINC001503764421 1074718069 /nfs/dbraw/zinc/71/80/69/1074718069.db2.gz DIEAMTZCIXCBTR-AWEZNQCLSA-N 0 0 442.542 -0.747 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCOCCS(C)(=O)=O)CC1 ZINC001503764422 1074718029 /nfs/dbraw/zinc/71/80/29/1074718029.db2.gz DIEAMTZCIXCBTR-CQSZACIVSA-N 0 0 442.542 -0.747 20 0 IBADRN CNC(=O)C1CCN(c2nnc(-c3cnn(C)c3)n2CCNC(=O)c2ccn[nH]2)CC1 ZINC001503764804 1074718076 /nfs/dbraw/zinc/71/80/76/1074718076.db2.gz FRMXAKXOKPRKPY-UHFFFAOYSA-N 0 0 426.485 -0.206 20 0 IBADRN CNC(=O)C1CCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001503765276 1074718119 /nfs/dbraw/zinc/71/81/19/1074718119.db2.gz QLXYZBJYDVKYGL-UHFFFAOYSA-N 0 0 438.558 -0.031 20 0 IBADRN CNC(=O)C1CCN(c2nnc(-c3cnccn3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001503765769 1074718110 /nfs/dbraw/zinc/71/81/10/1074718110.db2.gz YVQLBWJMZZIWRL-AWEZNQCLSA-N 0 0 448.553 -0.021 20 0 IBADRN CNC(=O)C1CCN(c2nnc(-c3cnccn3)n2C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC001503765771 1074718023 /nfs/dbraw/zinc/71/80/23/1074718023.db2.gz YVQLBWJMZZIWRL-CQSZACIVSA-N 0 0 448.553 -0.021 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001503766537 1074718060 /nfs/dbraw/zinc/71/80/60/1074718060.db2.gz LBORAEIQGZSQCI-CABCVRRESA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2COCCO2)CC1 ZINC001503766538 1074718051 /nfs/dbraw/zinc/71/80/51/1074718051.db2.gz LBORAEIQGZSQCI-GJZGRUSLSA-N 0 0 427.527 -0.442 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CC1 ZINC001503766545 1074718099 /nfs/dbraw/zinc/71/80/99/1074718099.db2.gz LLDFPBRCTKNZRV-AWEZNQCLSA-N 0 0 442.542 -0.902 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CN(S(C)(=O)=O)CCO3)n2CC2(O)CC2)CC1 ZINC001503766546 1074718141 /nfs/dbraw/zinc/71/81/41/1074718141.db2.gz LLDFPBRCTKNZRV-CQSZACIVSA-N 0 0 442.542 -0.902 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@](C)(O)CCOC)CC1 ZINC001503766951 1074718011 /nfs/dbraw/zinc/71/80/11/1074718011.db2.gz QUIDMYFRXQNQIL-BEFAXECRSA-N 0 0 443.570 -0.070 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@](C)(O)CCOC)CC1 ZINC001503766953 1074718152 /nfs/dbraw/zinc/71/81/52/1074718152.db2.gz QUIDMYFRXQNQIL-DNVCBOLYSA-N 0 0 443.570 -0.070 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@](C)(O)CCOC)CC1 ZINC001503766955 1074718038 /nfs/dbraw/zinc/71/80/38/1074718038.db2.gz QUIDMYFRXQNQIL-HNAYVOBHSA-N 0 0 443.570 -0.070 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@](C)(O)CCOC)CC1 ZINC001503766957 1074718162 /nfs/dbraw/zinc/71/81/62/1074718162.db2.gz QUIDMYFRXQNQIL-KXBFYZLASA-N 0 0 443.570 -0.070 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC(C)(C)C(=O)NC)CC1 ZINC001503767295 1074718130 /nfs/dbraw/zinc/71/81/30/1074718130.db2.gz VKRPETCLCNTTRO-AWEZNQCLSA-N 0 0 440.570 -0.085 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC(C)(C)C(=O)NC)CC1 ZINC001503767296 1074718019 /nfs/dbraw/zinc/71/80/19/1074718019.db2.gz VKRPETCLCNTTRO-CQSZACIVSA-N 0 0 440.570 -0.085 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)CC1 ZINC001503767400 1074718045 /nfs/dbraw/zinc/71/80/45/1074718045.db2.gz XTBPCFIYYTXACE-AWEZNQCLSA-N 0 0 426.543 -0.379 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCC(=O)N(C)C)CC1 ZINC001503767401 1074718001 /nfs/dbraw/zinc/71/80/01/1074718001.db2.gz XTBPCFIYYTXACE-CQSZACIVSA-N 0 0 426.543 -0.379 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2cccc(C(N)=O)c2)CCO1 ZINC001503768253 1074718450 /nfs/dbraw/zinc/71/84/50/1074718450.db2.gz BXXNEPAJMMPZPW-INIZCTEOSA-N 0 0 441.492 -0.388 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2Cc2cccc(C(N)=O)c2)CCO1 ZINC001503768255 1074718429 /nfs/dbraw/zinc/71/84/29/1074718429.db2.gz BXXNEPAJMMPZPW-MRXNPFEDSA-N 0 0 441.492 -0.388 20 0 IBADRN CNC(=O)C1CCN(c2nnc(Cn3nc(C)cc3C)n2CC(=O)N2CCOCC2)CC1 ZINC001503768490 1074718457 /nfs/dbraw/zinc/71/84/57/1074718457.db2.gz DRCMZJRSCGJFIW-UHFFFAOYSA-N 0 0 444.540 -0.039 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCC3(C2)OCCO3)CCO1 ZINC001503768523 1074718402 /nfs/dbraw/zinc/71/84/02/1074718402.db2.gz FUBNVHFUECUMBM-CABCVRRESA-N 0 0 436.513 -0.089 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCC3(C2)OCCO3)CCO1 ZINC001503768525 1074718412 /nfs/dbraw/zinc/71/84/12/1074718412.db2.gz FUBNVHFUECUMBM-GJZGRUSLSA-N 0 0 436.513 -0.089 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCC3(C2)OCCO3)CCO1 ZINC001503768527 1074718444 /nfs/dbraw/zinc/71/84/44/1074718444.db2.gz FUBNVHFUECUMBM-HUUCEWRRSA-N 0 0 436.513 -0.089 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCC3(C2)OCCO3)CCO1 ZINC001503768529 1074718584 /nfs/dbraw/zinc/71/85/84/1074718584.db2.gz FUBNVHFUECUMBM-LSDHHAIUSA-N 0 0 436.513 -0.089 20 0 IBADRN CNC(=O)C1CCN(c2nnc(CN3CCCC3=O)n2CCS(=O)(=O)N(C)C)CC1 ZINC001503768848 1074718477 /nfs/dbraw/zinc/71/84/77/1074718477.db2.gz JCSFHXJXLVUWII-UHFFFAOYSA-N 0 0 441.558 -0.746 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCOC(C)(C)C2)CCO1 ZINC001503769324 1074718503 /nfs/dbraw/zinc/71/85/03/1074718503.db2.gz PAQCCMWHGXIBMT-INIZCTEOSA-N 0 0 449.556 -0.424 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)N3CCCC3)n2CCN2CCOC(C)(C)C2)CCO1 ZINC001503769327 1074718528 /nfs/dbraw/zinc/71/85/28/1074718528.db2.gz PAQCCMWHGXIBMT-MRXNPFEDSA-N 0 0 449.556 -0.424 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCCO3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503769383 1074718472 /nfs/dbraw/zinc/71/84/72/1074718472.db2.gz QHGVFLMIHHWTLU-KDOFPFPSSA-N 0 0 427.527 -0.358 20 0 IBADRN CNC(=O)C1CCN(c2nnc([C@H]3CCCO3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503769384 1074718417 /nfs/dbraw/zinc/71/84/17/1074718417.db2.gz QHGVFLMIHHWTLU-RDTXWAMCSA-N 0 0 427.527 -0.358 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2C[C@@H]2COCCO2)CCO1 ZINC001503770636 1074718437 /nfs/dbraw/zinc/71/84/37/1074718437.db2.gz LAWTWEFVFMFMJW-GQIGUUNPSA-N 0 0 439.513 -0.315 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2C[C@@H]2COCCO2)CCO1 ZINC001503770637 1074718394 /nfs/dbraw/zinc/71/83/94/1074718394.db2.gz LAWTWEFVFMFMJW-GUXCAODWSA-N 0 0 439.513 -0.315 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@]3(OC(C)C)CCOC3)n2C[C@@H]2COCCO2)CCO1 ZINC001503770638 1074718384 /nfs/dbraw/zinc/71/83/84/1074718384.db2.gz LAWTWEFVFMFMJW-JXXFODFXSA-N 0 0 439.513 -0.315 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@]3(OC(C)C)CCOC3)n2C[C@@H]2COCCO2)CCO1 ZINC001503770639 1074718466 /nfs/dbraw/zinc/71/84/66/1074718466.db2.gz LAWTWEFVFMFMJW-QINHECLXSA-N 0 0 439.513 -0.315 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)NC(C)C)n2CC(=O)NC2CCCCC2)CCO1 ZINC001503771121 1074718835 /nfs/dbraw/zinc/71/88/35/1074718835.db2.gz ZSAYFFKAJYHGRB-HNNXBMFYSA-N 0 0 435.529 -0.184 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)NC(C)C)n2CC(=O)NC2CCCCC2)CCO1 ZINC001503771122 1074718798 /nfs/dbraw/zinc/71/87/98/1074718798.db2.gz ZSAYFFKAJYHGRB-OAHLLOKOSA-N 0 0 435.529 -0.184 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3ccc4c(c3)OCO4)n2CCS(C)(=O)=O)CCO1 ZINC001503772906 1074718784 /nfs/dbraw/zinc/71/87/84/1074718784.db2.gz PPRBXFVYYRKOCC-HNNXBMFYSA-N 0 0 437.478 -0.330 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3ccc4c(c3)OCO4)n2CCS(C)(=O)=O)CCO1 ZINC001503772913 1074718854 /nfs/dbraw/zinc/71/88/54/1074718854.db2.gz PPRBXFVYYRKOCC-OAHLLOKOSA-N 0 0 437.478 -0.330 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)OCCOC)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001503773752 1074718720 /nfs/dbraw/zinc/71/87/20/1074718720.db2.gz DBBLZAMEBBELGB-CAOSSQGBSA-N 0 0 427.502 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)OCCOC)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001503773754 1074718816 /nfs/dbraw/zinc/71/88/16/1074718816.db2.gz DBBLZAMEBBELGB-JONQDZQNSA-N 0 0 427.502 -0.507 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)OCCOC)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001503773756 1074718848 /nfs/dbraw/zinc/71/88/48/1074718848.db2.gz DBBLZAMEBBELGB-QKPAOTATSA-N 0 0 427.502 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)OCCOC)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001503773757 1074718772 /nfs/dbraw/zinc/71/87/72/1074718772.db2.gz DBBLZAMEBBELGB-QXSJWSMHSA-N 0 0 427.502 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3noc4c3COCC4)n2CCCC(=O)N(C)C)CCO1 ZINC001503775091 1074718811 /nfs/dbraw/zinc/71/88/11/1074718811.db2.gz BEZPKOAWTKVEDM-HNNXBMFYSA-N 0 0 447.496 -0.175 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3noc4c3COCC4)n2CCCC(=O)N(C)C)CCO1 ZINC001503775094 1074718764 /nfs/dbraw/zinc/71/87/64/1074718764.db2.gz BEZPKOAWTKVEDM-OAHLLOKOSA-N 0 0 447.496 -0.175 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CCC(=O)N(C)C)CCO1 ZINC001503775156 1074718860 /nfs/dbraw/zinc/71/88/60/1074718860.db2.gz KWBJXKMXQFCEEZ-CYBMUJFWSA-N 0 0 430.469 -0.645 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C(=O)Nc3ccccn3)n2CCC(=O)N(C)C)CCO1 ZINC001503775157 1074718778 /nfs/dbraw/zinc/71/87/78/1074718778.db2.gz KWBJXKMXQFCEEZ-ZDUSSCGKSA-N 0 0 430.469 -0.645 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3cn4ccccc4n3)n2CCS(C)(=O)=O)CCO1 ZINC001503775183 1074718804 /nfs/dbraw/zinc/71/88/04/1074718804.db2.gz ABZAICZZIIJYNK-HNNXBMFYSA-N 0 0 447.521 -0.488 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3cn4ccccc4n3)n2CCS(C)(=O)=O)CCO1 ZINC001503775184 1074718828 /nfs/dbraw/zinc/71/88/28/1074718828.db2.gz ABZAICZZIIJYNK-OAHLLOKOSA-N 0 0 447.521 -0.488 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3cn4ccccc4n3)n2CCC(=O)N(C)C)CCO1 ZINC001503775507 1074718747 /nfs/dbraw/zinc/71/87/47/1074718747.db2.gz CMAPMFYHFAUTCO-INIZCTEOSA-N 0 0 440.508 -0.054 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3cn4ccccc4n3)n2CCC(=O)N(C)C)CCO1 ZINC001503775528 1074718792 /nfs/dbraw/zinc/71/87/92/1074718792.db2.gz CMAPMFYHFAUTCO-MRXNPFEDSA-N 0 0 440.508 -0.054 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2OCC[C@H]2C)CCO1 ZINC001503776052 1074718756 /nfs/dbraw/zinc/71/87/56/1074718756.db2.gz NSXFYAWESYJIHA-AAVRWANBSA-N 0 0 430.531 -0.736 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2OCC[C@H]2C)CCO1 ZINC001503776057 1074718841 /nfs/dbraw/zinc/71/88/41/1074718841.db2.gz NSXFYAWESYJIHA-RQJABVFESA-N 0 0 430.531 -0.736 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H]2OCC[C@H]2C)CCO1 ZINC001503776059 1074718736 /nfs/dbraw/zinc/71/87/36/1074718736.db2.gz NSXFYAWESYJIHA-SYQHCUMBSA-N 0 0 430.531 -0.736 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H]2OCC[C@H]2C)CCO1 ZINC001503776061 1074718822 /nfs/dbraw/zinc/71/88/22/1074718822.db2.gz NSXFYAWESYJIHA-XJFOESAGSA-N 0 0 430.531 -0.736 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2CCc2cccc(C(N)=O)c2)CCO1 ZINC001503777105 1074719095 /nfs/dbraw/zinc/71/90/95/1074719095.db2.gz KVDBYQGZEXVYDA-KRWDZBQOSA-N 0 0 438.492 -0.029 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cnn(C)c3)n2CCc2cccc(C(N)=O)c2)CCO1 ZINC001503777108 1074719077 /nfs/dbraw/zinc/71/90/77/1074719077.db2.gz KVDBYQGZEXVYDA-QGZVFWFLSA-N 0 0 438.492 -0.029 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001503777220 1074719182 /nfs/dbraw/zinc/71/91/82/1074719182.db2.gz GGKJANDECDBTSN-KFWWJZLASA-N 0 0 442.542 -0.843 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001503777224 1074719271 /nfs/dbraw/zinc/71/92/71/1074719271.db2.gz GGKJANDECDBTSN-RBSFLKMASA-N 0 0 442.542 -0.843 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001503777225 1074719247 /nfs/dbraw/zinc/71/92/47/1074719247.db2.gz GGKJANDECDBTSN-RRFJBIMHSA-N 0 0 442.542 -0.843 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)CCO1 ZINC001503777227 1074719155 /nfs/dbraw/zinc/71/91/55/1074719155.db2.gz GGKJANDECDBTSN-ZNMIVQPWSA-N 0 0 442.542 -0.843 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CCc3ccccc3)n2CCNS(C)(=O)=O)CCO1 ZINC001503777343 1074719086 /nfs/dbraw/zinc/71/90/86/1074719086.db2.gz MMGRNRRVBMVGHH-INIZCTEOSA-N 0 0 436.538 -0.436 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CCc3ccccc3)n2CCNS(C)(=O)=O)CCO1 ZINC001503777347 1074719169 /nfs/dbraw/zinc/71/91/69/1074719169.db2.gz MMGRNRRVBMVGHH-MRXNPFEDSA-N 0 0 436.538 -0.436 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C)n2CC(=O)N2CCN(c3ccccc3)CC2)CCO1 ZINC001503777441 1074719105 /nfs/dbraw/zinc/71/91/05/1074719105.db2.gz RCLPWKUNCAKLKS-GOSISDBHSA-N 0 0 427.509 -0.113 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C)n2CC(=O)N2CCN(c3ccccc3)CC2)CCO1 ZINC001503777445 1074719048 /nfs/dbraw/zinc/71/90/48/1074719048.db2.gz RCLPWKUNCAKLKS-SFHVURJKSA-N 0 0 427.509 -0.113 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccccn3)n2CCN2CCOC[C@H]2C)CCO1 ZINC001503777637 1074719654 /nfs/dbraw/zinc/71/96/54/1074719654.db2.gz GXJGXOQAPWVJOD-AEFFLSMTSA-N 0 0 429.525 -0.064 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccccn3)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001503777641 1074719698 /nfs/dbraw/zinc/71/96/98/1074719698.db2.gz GXJGXOQAPWVJOD-FUHWJXTLSA-N 0 0 429.525 -0.064 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cccnc3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001503777672 1074719612 /nfs/dbraw/zinc/71/96/12/1074719612.db2.gz MZUQATQZWHFHGX-GFCCVEGCSA-N 0 0 427.387 -0.030 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cccnc3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001503777673 1074719732 /nfs/dbraw/zinc/71/97/32/1074719732.db2.gz MZUQATQZWHFHGX-LBPRGKRZSA-N 0 0 427.387 -0.030 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3ccccn3)n2CCN2CCOC[C@H]2C)CCO1 ZINC001503777905 1074719540 /nfs/dbraw/zinc/71/95/40/1074719540.db2.gz GXJGXOQAPWVJOD-SJLPKXTDSA-N 0 0 429.525 -0.064 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3ccccn3)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001503777908 1074719585 /nfs/dbraw/zinc/71/95/85/1074719585.db2.gz GXJGXOQAPWVJOD-WMZOPIPTSA-N 0 0 429.525 -0.064 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3cccnc3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001503778032 1074719679 /nfs/dbraw/zinc/71/96/79/1074719679.db2.gz HYGRHMNOBVQZMH-CVEARBPZSA-N 0 0 434.522 -0.350 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(Cc3cccnc3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001503778043 1074719723 /nfs/dbraw/zinc/71/97/23/1074719723.db2.gz HYGRHMNOBVQZMH-HOTGVXAUSA-N 0 0 434.522 -0.350 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3cccnc3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001503778045 1074719506 /nfs/dbraw/zinc/71/95/06/1074719506.db2.gz HYGRHMNOBVQZMH-HZPDHXFCSA-N 0 0 434.522 -0.350 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(Cc3cccnc3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001503778050 1074719512 /nfs/dbraw/zinc/71/95/12/1074719512.db2.gz HYGRHMNOBVQZMH-JKSUJKDBSA-N 0 0 434.522 -0.350 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncccc2C)CCO1 ZINC001503778154 1074719499 /nfs/dbraw/zinc/71/94/99/1074719499.db2.gz JGSNXDRXISAWGK-GDBMZVCRSA-N 0 0 434.522 -0.117 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncccc2C)CCO1 ZINC001503778157 1074719572 /nfs/dbraw/zinc/71/95/72/1074719572.db2.gz JGSNXDRXISAWGK-GOEBONIOSA-N 0 0 434.522 -0.117 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2ncccc2C)CCO1 ZINC001503778160 1074719624 /nfs/dbraw/zinc/71/96/24/1074719624.db2.gz JGSNXDRXISAWGK-HOCLYGCPSA-N 0 0 434.522 -0.117 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2ncccc2C)CCO1 ZINC001503778163 1074719490 /nfs/dbraw/zinc/71/94/90/1074719490.db2.gz JGSNXDRXISAWGK-ZBFHGGJFSA-N 0 0 434.522 -0.117 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@](C)(O)C(C)C)CCO1 ZINC001503778231 1074719690 /nfs/dbraw/zinc/71/96/90/1074719690.db2.gz JNPNAPCTXHZOHS-DEYYWGMASA-N 0 0 429.543 -0.462 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@](C)(O)C(C)C)CCO1 ZINC001503778234 1074719635 /nfs/dbraw/zinc/71/96/35/1074719635.db2.gz JNPNAPCTXHZOHS-GLJUWKHASA-N 0 0 429.543 -0.462 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@](C)(O)C(C)C)CCO1 ZINC001503778237 1074719523 /nfs/dbraw/zinc/71/95/23/1074719523.db2.gz JNPNAPCTXHZOHS-QWQRMKEZSA-N 0 0 429.543 -0.462 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@](C)(O)C(C)C)CCO1 ZINC001503778239 1074719532 /nfs/dbraw/zinc/71/95/32/1074719532.db2.gz JNPNAPCTXHZOHS-SUNYJGFJSA-N 0 0 429.543 -0.462 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001503778666 1074719644 /nfs/dbraw/zinc/71/96/44/1074719644.db2.gz LHZNFSKMGFXFDA-CVEARBPZSA-N 0 0 436.513 -0.628 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001503778677 1074719470 /nfs/dbraw/zinc/71/94/70/1074719470.db2.gz LHZNFSKMGFXFDA-HOTGVXAUSA-N 0 0 436.513 -0.628 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001503778682 1074719992 /nfs/dbraw/zinc/71/99/92/1074719992.db2.gz LHZNFSKMGFXFDA-HZPDHXFCSA-N 0 0 436.513 -0.628 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001503778686 1074719930 /nfs/dbraw/zinc/71/99/30/1074719930.db2.gz LHZNFSKMGFXFDA-JKSUJKDBSA-N 0 0 436.513 -0.628 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@@H](OC)C2CC2)CCO1 ZINC001503778793 1074720068 /nfs/dbraw/zinc/72/00/68/1074720068.db2.gz VMWMFQYXXNVGKR-BNOWGMLFSA-N 0 0 430.531 -0.736 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@H](OC)C2CC2)CCO1 ZINC001503778797 1074720150 /nfs/dbraw/zinc/72/01/50/1074720150.db2.gz VMWMFQYXXNVGKR-UBHSHLNASA-N 0 0 430.531 -0.736 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2C[C@H](OC)C2CC2)CCO1 ZINC001503778800 1074720001 /nfs/dbraw/zinc/72/00/01/1074720001.db2.gz VMWMFQYXXNVGKR-XBFCOCLRSA-N 0 0 430.531 -0.736 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2C[C@@H](OC)C2CC2)CCO1 ZINC001503778804 1074719973 /nfs/dbraw/zinc/71/99/73/1074719973.db2.gz VMWMFQYXXNVGKR-YUTCNCBUSA-N 0 0 430.531 -0.736 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cccc(C)n3)n2CCCNS(C)(=O)=O)CCO1 ZINC001503779086 1074719937 /nfs/dbraw/zinc/71/99/37/1074719937.db2.gz TYFVMONQJURDEG-HNNXBMFYSA-N 0 0 437.526 -0.461 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cccc(C)n3)n2CCCNS(C)(=O)=O)CCO1 ZINC001503779090 1074720008 /nfs/dbraw/zinc/72/00/08/1074720008.db2.gz TYFVMONQJURDEG-OAHLLOKOSA-N 0 0 437.526 -0.461 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001503779112 1074719968 /nfs/dbraw/zinc/71/99/68/1074719968.db2.gz MTCOKWIAUYLHFO-CABCVRRESA-N 0 0 442.542 -0.841 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCOC3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001503779116 1074720060 /nfs/dbraw/zinc/72/00/60/1074720060.db2.gz MTCOKWIAUYLHFO-GJZGRUSLSA-N 0 0 442.542 -0.841 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCCOC3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001503779119 1074719945 /nfs/dbraw/zinc/71/99/45/1074719945.db2.gz MTCOKWIAUYLHFO-HUUCEWRRSA-N 0 0 442.542 -0.841 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCOC3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001503779122 1074720033 /nfs/dbraw/zinc/72/00/33/1074720033.db2.gz MTCOKWIAUYLHFO-LSDHHAIUSA-N 0 0 442.542 -0.841 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccc(O)cc2)CCO1 ZINC001503779289 1074720385 /nfs/dbraw/zinc/72/03/85/1074720385.db2.gz VSXURXCGVHRNRD-DOMZBBRYSA-N 0 0 438.510 -0.407 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccc(O)cc2)CCO1 ZINC001503779291 1074720459 /nfs/dbraw/zinc/72/04/59/1074720459.db2.gz VSXURXCGVHRNRD-IUODEOHRSA-N 0 0 438.510 -0.407 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccc(O)cc2)CCO1 ZINC001503779294 1074720374 /nfs/dbraw/zinc/72/03/74/1074720374.db2.gz VSXURXCGVHRNRD-SWLSCSKDSA-N 0 0 438.510 -0.407 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccc(O)cc2)CCO1 ZINC001503779296 1074720531 /nfs/dbraw/zinc/72/05/31/1074720531.db2.gz VSXURXCGVHRNRD-WFASDCNBSA-N 0 0 438.510 -0.407 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCO[C@H](C)C2)CCO1 ZINC001503779533 1074720577 /nfs/dbraw/zinc/72/05/77/1074720577.db2.gz NNMZWSRBIDMAHG-FPCVCCKLSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@H]2CCO[C@@H](C)C2)CCO1 ZINC001503779535 1074720526 /nfs/dbraw/zinc/72/05/26/1074720526.db2.gz NNMZWSRBIDMAHG-JJXSEGSLSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCO[C@@H](C)C2)CCO1 ZINC001503779540 1074720539 /nfs/dbraw/zinc/72/05/39/1074720539.db2.gz NNMZWSRBIDMAHG-JONQDZQNSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCO[C@H](C)C2)CCO1 ZINC001503779542 1074720500 /nfs/dbraw/zinc/72/05/00/1074720500.db2.gz NNMZWSRBIDMAHG-QXSJWSMHSA-N 0 0 441.554 -0.054 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3nn(C)c(=O)c4ccccc43)n2CC(C)(C)O)CCO1 ZINC001503779545 1074720407 /nfs/dbraw/zinc/72/04/07/1074720407.db2.gz VEXRYCYBIORUHG-HNNXBMFYSA-N 0 0 441.492 -0.086 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3nn(C)c(=O)c4ccccc43)n2CC(C)(C)O)CCO1 ZINC001503779551 1074720396 /nfs/dbraw/zinc/72/03/96/1074720396.db2.gz VEXRYCYBIORUHG-OAHLLOKOSA-N 0 0 441.492 -0.086 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccc(N(C)C)nc2)CCO1 ZINC001503779704 1074720561 /nfs/dbraw/zinc/72/05/61/1074720561.db2.gz WMFGLADOADUIDO-CABCVRRESA-N 0 0 428.497 -0.310 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccc(N(C)C)nc2)CCO1 ZINC001503779709 1074720481 /nfs/dbraw/zinc/72/04/81/1074720481.db2.gz WMFGLADOADUIDO-GJZGRUSLSA-N 0 0 428.497 -0.310 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2Cc2ccc(N(C)C)nc2)CCO1 ZINC001503779711 1074720463 /nfs/dbraw/zinc/72/04/63/1074720463.db2.gz WMFGLADOADUIDO-HUUCEWRRSA-N 0 0 428.497 -0.310 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2Cc2ccc(N(C)C)nc2)CCO1 ZINC001503779714 1074720509 /nfs/dbraw/zinc/72/05/09/1074720509.db2.gz WMFGLADOADUIDO-LSDHHAIUSA-N 0 0 428.497 -0.310 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(-c3cnn(C)c3)n2Cc2ccc(C)n(C)c2=O)CCO1 ZINC001503780190 1074720495 /nfs/dbraw/zinc/72/04/95/1074720495.db2.gz YBQFPHSJKYYXLM-INIZCTEOSA-N 0 0 426.481 -0.315 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(-c3cnn(C)c3)n2Cc2ccc(C)n(C)c2=O)CCO1 ZINC001503780193 1074720450 /nfs/dbraw/zinc/72/04/50/1074720450.db2.gz YBQFPHSJKYYXLM-MRXNPFEDSA-N 0 0 426.481 -0.315 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001503781719 1074720978 /nfs/dbraw/zinc/72/09/78/1074720978.db2.gz XCHFHHQUJOTFTM-KKUMJFAQSA-N 0 0 442.542 -0.985 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCN(S(C)(=O)=O)C2)CCO1 ZINC001503781720 1074720827 /nfs/dbraw/zinc/72/08/27/1074720827.db2.gz XCHFHHQUJOTFTM-ZNMIVQPWSA-N 0 0 442.542 -0.985 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(C3CCC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001503782246 1074720857 /nfs/dbraw/zinc/72/08/57/1074720857.db2.gz ZJTVZOVOWVUFQA-AWEZNQCLSA-N 0 0 427.527 -0.564 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(C3CCC3)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001503782248 1074720904 /nfs/dbraw/zinc/72/09/04/1074720904.db2.gz ZJTVZOVOWVUFQA-CQSZACIVSA-N 0 0 427.527 -0.564 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCn2cc(Cl)cn2)CCO1 ZINC001503782622 1074720731 /nfs/dbraw/zinc/72/07/31/1074720731.db2.gz MMDHCNFWVIBJRS-AWEZNQCLSA-N 0 0 436.904 -0.098 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCn2cc(Cl)cn2)CCO1 ZINC001503782623 1074720747 /nfs/dbraw/zinc/72/07/47/1074720747.db2.gz MMDHCNFWVIBJRS-CQSZACIVSA-N 0 0 436.904 -0.098 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CCC(=O)NC(C)C)CCO1 ZINC001503782877 1074720794 /nfs/dbraw/zinc/72/07/94/1074720794.db2.gz GMXYQXHZPNWOMX-UXLLHSPISA-N 0 0 438.529 -0.132 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CCC(=O)NC(C)C)CCO1 ZINC001503782879 1074720770 /nfs/dbraw/zinc/72/07/70/1074720770.db2.gz GMXYQXHZPNWOMX-ZOBUZTSGSA-N 0 0 438.529 -0.132 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2Cc2conc2COC)CCO1 ZINC001503783492 1074720756 /nfs/dbraw/zinc/72/07/56/1074720756.db2.gz PJESGLZDISJOQF-HNNXBMFYSA-N 0 0 433.469 -0.466 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2Cc2conc2COC)CCO1 ZINC001503783493 1074720895 /nfs/dbraw/zinc/72/08/95/1074720895.db2.gz PJESGLZDISJOQF-OAHLLOKOSA-N 0 0 433.469 -0.466 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001503783728 1074720816 /nfs/dbraw/zinc/72/08/16/1074720816.db2.gz LCKJPOJJPBEYAE-KSWRQPAISA-N 0 0 439.513 -0.507 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2C[C@@H]2CC[C@H](CO)O2)CCO1 ZINC001503783731 1074720922 /nfs/dbraw/zinc/72/09/22/1074720922.db2.gz LCKJPOJJPBEYAE-SIRPWMCASA-N 0 0 439.513 -0.507 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCSCCCO)CCO1 ZINC001503784470 1074721226 /nfs/dbraw/zinc/72/12/26/1074721226.db2.gz WBLVQTDCYAOIHD-AWEZNQCLSA-N 0 0 426.543 -0.533 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCSCCCO)CCO1 ZINC001503784500 1074721286 /nfs/dbraw/zinc/72/12/86/1074721286.db2.gz WBLVQTDCYAOIHD-CQSZACIVSA-N 0 0 426.543 -0.533 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)CC1 ZINC001503784760 1074721154 /nfs/dbraw/zinc/72/11/54/1074721154.db2.gz XKXJXVRCHYJKJS-GFCCVEGCSA-N 0 0 439.546 -0.582 20 0 IBADRN CNC(=O)CC1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ncn(C)n2)CC1 ZINC001503784761 1074721177 /nfs/dbraw/zinc/72/11/77/1074721177.db2.gz XKXJXVRCHYJKJS-LBPRGKRZSA-N 0 0 439.546 -0.582 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3CCCO3)n2Cc2cccc(OCC(N)=O)c2)CCO1 ZINC001503784927 1074721143 /nfs/dbraw/zinc/72/11/43/1074721143.db2.gz RMNCRKAMKXDGSM-IAGOWNOFSA-N 0 0 444.492 -0.007 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3CCCO3)n2Cc2cccc(OCC(N)=O)c2)CCO1 ZINC001503784929 1074721215 /nfs/dbraw/zinc/72/12/15/1074721215.db2.gz RMNCRKAMKXDGSM-SJORKVTESA-N 0 0 444.492 -0.007 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC(=O)NCC(F)F)CCO1 ZINC001503785087 1074721166 /nfs/dbraw/zinc/72/11/66/1074721166.db2.gz RYIGVMSAMBZEQD-HUBLWGQQSA-N 0 0 446.455 -0.665 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC(=O)NCC(F)F)CCO1 ZINC001503785088 1074721314 /nfs/dbraw/zinc/72/13/14/1074721314.db2.gz RYIGVMSAMBZEQD-ZOWXZIJZSA-N 0 0 446.455 -0.665 20 0 IBADRN CNC(=O)[C@@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC2(O)CCOCC2)CCO1 ZINC001503785100 1074721119 /nfs/dbraw/zinc/72/11/19/1074721119.db2.gz SKUFSCAYBLJSRL-JYJNAYRXSA-N 0 0 439.513 -0.505 20 0 IBADRN CNC(=O)[C@H]1CN(c2nnc([C@H]3OCC[C@H]3COC)n2CC2(O)CCOCC2)CCO1 ZINC001503785101 1074721109 /nfs/dbraw/zinc/72/11/09/1074721109.db2.gz SKUFSCAYBLJSRL-XHSDSOJGSA-N 0 0 439.513 -0.505 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(C(=O)N2CCCC2)n1CC1(C(N)=O)CCOCC1 ZINC001503788241 1074721098 /nfs/dbraw/zinc/72/10/98/1074721098.db2.gz BLBSWJPDKLRZNF-UHFFFAOYSA-N 0 0 435.529 -0.241 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(-c2ccc(-n3cnnn3)cc2)n1CC(=O)N(C)C ZINC001503791194 1074721129 /nfs/dbraw/zinc/72/11/29/1074721129.db2.gz PDIWIYWNRZWMKP-UHFFFAOYSA-N 0 0 426.485 -0.029 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(CN2CCCC2=O)n1Cc1ccc(C(N)=O)nc1 ZINC001503794124 1074721266 /nfs/dbraw/zinc/72/12/66/1074721266.db2.gz BDKSQPZXJXTJLU-UHFFFAOYSA-N 0 0 428.497 -0.095 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(Cn2nc(C)cc2C)n1CC(=O)N1CCOCC1 ZINC001503794357 1074721236 /nfs/dbraw/zinc/72/12/36/1074721236.db2.gz GQWSEOVBRIMIHB-UHFFFAOYSA-N 0 0 432.529 -0.039 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(Cc2ccncc2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001503795057 1074721276 /nfs/dbraw/zinc/72/12/76/1074721276.db2.gz BIVGYUBVPMPTTB-IBGZPJMESA-N 0 0 436.538 -0.224 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(Cc2ccncc2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001503795058 1074721306 /nfs/dbraw/zinc/72/13/06/1074721306.db2.gz BIVGYUBVPMPTTB-LJQANCHMSA-N 0 0 436.538 -0.224 20 0 IBADRN CNC(=O)CCCN(C)c1nnc(CN2CCCC2=O)n1Cc1cn(C2COC2)nn1 ZINC001503795542 1074721202 /nfs/dbraw/zinc/72/12/02/1074721202.db2.gz UTFJYQCCGWWUOF-UHFFFAOYSA-N 0 0 431.501 -0.426 20 0 IBADRN CNC(=O)CCN(C)c1nnc(C(=O)N2CCCC2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001503795676 1074721189 /nfs/dbraw/zinc/72/11/89/1074721189.db2.gz LDTHFRBEMXWTRI-CQSZACIVSA-N 0 0 441.558 -0.490 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001503796868 1074721247 /nfs/dbraw/zinc/72/12/47/1074721247.db2.gz JHABFQZEHAGPHJ-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCCO1 ZINC001503796869 1074721296 /nfs/dbraw/zinc/72/12/96/1074721296.db2.gz JHABFQZEHAGPHJ-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001503797155 1074721565 /nfs/dbraw/zinc/72/15/65/1074721565.db2.gz MMYIXNWFAHRZEQ-CYBMUJFWSA-N 0 0 447.583 -0.280 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC1(S(C)(=O)=O)CC1 ZINC001503797156 1074721490 /nfs/dbraw/zinc/72/14/90/1074721490.db2.gz MMYIXNWFAHRZEQ-ZDUSSCGKSA-N 0 0 447.583 -0.280 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1Cc1cccnc1OC ZINC001503797825 1074721474 /nfs/dbraw/zinc/72/14/74/1074721474.db2.gz HJWOFVRIPOOOBB-GFCCVEGCSA-N 0 0 425.515 -0.088 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1Cc1cccnc1OC ZINC001503797826 1074721641 /nfs/dbraw/zinc/72/16/41/1074721641.db2.gz HJWOFVRIPOOOBB-LBPRGKRZSA-N 0 0 425.515 -0.088 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001503798162 1074721594 /nfs/dbraw/zinc/72/15/94/1074721594.db2.gz ZCNSRGKTNVRXJZ-INIZCTEOSA-N 0 0 442.586 -0.135 20 0 IBADRN CNC(=O)CCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCCN1CCOCC1 ZINC001503798163 1074721615 /nfs/dbraw/zinc/72/16/15/1074721615.db2.gz ZCNSRGKTNVRXJZ-MRXNPFEDSA-N 0 0 442.586 -0.135 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CCNC(=O)C(C)(C)C ZINC001503798302 1074721468 /nfs/dbraw/zinc/72/14/68/1074721468.db2.gz NAZZQTPBRNMQBZ-GFCCVEGCSA-N 0 0 431.563 -0.377 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CCNC(=O)C(C)(C)C ZINC001503798303 1074721534 /nfs/dbraw/zinc/72/15/34/1074721534.db2.gz NAZZQTPBRNMQBZ-LBPRGKRZSA-N 0 0 431.563 -0.377 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@@H]1NC(=O)CC1(C)C ZINC001503798364 1074721556 /nfs/dbraw/zinc/72/15/56/1074721556.db2.gz OYBWGBSBKPTXIU-NEPJUHHUSA-N 0 0 429.547 -0.625 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@H]1NC(=O)CC1(C)C ZINC001503798365 1074721652 /nfs/dbraw/zinc/72/16/52/1074721652.db2.gz OYBWGBSBKPTXIU-NWDGAFQWSA-N 0 0 429.547 -0.625 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1C[C@@H]1NC(=O)CC1(C)C ZINC001503798366 1074721512 /nfs/dbraw/zinc/72/15/12/1074721512.db2.gz OYBWGBSBKPTXIU-RYUDHWBXSA-N 0 0 429.547 -0.625 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1C[C@H]1NC(=O)CC1(C)C ZINC001503798367 1074721574 /nfs/dbraw/zinc/72/15/74/1074721574.db2.gz OYBWGBSBKPTXIU-VXGBXAGGSA-N 0 0 429.547 -0.625 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC1CCN(C(C)=O)CC1 ZINC001503798569 1074721484 /nfs/dbraw/zinc/72/14/84/1074721484.db2.gz RWOAMSSLXVUEMR-CYBMUJFWSA-N 0 0 443.574 -0.281 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC1CCN(C(C)=O)CC1 ZINC001503798570 1074721605 /nfs/dbraw/zinc/72/16/05/1074721605.db2.gz RWOAMSSLXVUEMR-ZDUSSCGKSA-N 0 0 443.574 -0.281 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC(=O)NC1CCCCC1 ZINC001503798920 1074721504 /nfs/dbraw/zinc/72/15/04/1074721504.db2.gz WTQAYQVGPRZSGX-CYBMUJFWSA-N 0 0 443.574 -0.091 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC(=O)NC1CCCCC1 ZINC001503798921 1074721585 /nfs/dbraw/zinc/72/15/85/1074721585.db2.gz WTQAYQVGPRZSGX-ZDUSSCGKSA-N 0 0 443.574 -0.091 20 0 IBADRN CNC(=O)CCN(C)c1nnc(-c2csc(N3CCOCC3)n2)n1CC(=O)N(C)C ZINC001503803279 1074721627 /nfs/dbraw/zinc/72/16/27/1074721627.db2.gz SAGUTWZAERDJLX-UHFFFAOYSA-N 0 0 436.542 -0.101 20 0 IBADRN CNC(=O)CCN(C)c1nnc(Cc2ccccn2)n1CC1(O)CCS(=O)(=O)CC1 ZINC001503803974 1074721542 /nfs/dbraw/zinc/72/15/42/1074721542.db2.gz IDLNHHVBBHVUJD-UHFFFAOYSA-N 0 0 436.538 -0.224 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@](C)(O)C1CC1 ZINC001503804645 1074721664 /nfs/dbraw/zinc/72/16/64/1074721664.db2.gz DJAHSZHQZBZVGO-KBXCAEBGSA-N 0 0 444.558 -0.656 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@](C)(O)C1CC1 ZINC001503804647 1074721524 /nfs/dbraw/zinc/72/15/24/1074721524.db2.gz DJAHSZHQZBZVGO-KDOFPFPSSA-N 0 0 444.558 -0.656 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@](C)(O)C1CC1 ZINC001503804649 1074721981 /nfs/dbraw/zinc/72/19/81/1074721981.db2.gz DJAHSZHQZBZVGO-KSSFIOAISA-N 0 0 444.558 -0.656 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@](C)(O)C1CC1 ZINC001503804652 1074721952 /nfs/dbraw/zinc/72/19/52/1074721952.db2.gz DJAHSZHQZBZVGO-RDTXWAMCSA-N 0 0 444.558 -0.656 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)(F)F ZINC001503804742 1074721962 /nfs/dbraw/zinc/72/19/62/1074721962.db2.gz GAZDFCFDQUQEHX-JTQLQIEISA-N 0 0 440.448 -0.569 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)NCC(F)(F)F ZINC001503804743 1074721903 /nfs/dbraw/zinc/72/19/03/1074721903.db2.gz GAZDFCFDQUQEHX-SNVBAGLBSA-N 0 0 440.448 -0.569 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccoc1 ZINC001503804821 1074721854 /nfs/dbraw/zinc/72/18/54/1074721854.db2.gz GGJIAFXHWFGILL-AWEZNQCLSA-N 0 0 426.499 -0.175 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1Cc1ccoc1 ZINC001503804822 1074721874 /nfs/dbraw/zinc/72/18/74/1074721874.db2.gz GGJIAFXHWFGILL-CQSZACIVSA-N 0 0 426.499 -0.175 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CCCSC ZINC001503804996 1074721867 /nfs/dbraw/zinc/72/18/67/1074721867.db2.gz LBFJABVKFFLRHQ-CYBMUJFWSA-N 0 0 434.588 -0.064 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CCCSC ZINC001503804997 1074721888 /nfs/dbraw/zinc/72/18/88/1074721888.db2.gz LBFJABVKFFLRHQ-ZDUSSCGKSA-N 0 0 434.588 -0.064 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCOC1 ZINC001503805132 1074722002 /nfs/dbraw/zinc/72/20/02/1074722002.db2.gz ORAQJTQJATWQOL-KBPBESRZSA-N 0 0 430.531 -0.780 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCOC1 ZINC001503805133 1074721850 /nfs/dbraw/zinc/72/18/50/1074721850.db2.gz ORAQJTQJATWQOL-KGLIPLIRSA-N 0 0 430.531 -0.780 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@@H]1CCOC1 ZINC001503805134 1074721930 /nfs/dbraw/zinc/72/19/30/1074721930.db2.gz ORAQJTQJATWQOL-UONOGXRCSA-N 0 0 430.531 -0.780 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1C[C@H]1CCOC1 ZINC001503805135 1074721920 /nfs/dbraw/zinc/72/19/20/1074721920.db2.gz ORAQJTQJATWQOL-ZIAGYGMSSA-N 0 0 430.531 -0.780 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CCN1CCOC(C)(C)C1 ZINC001503805190 1074721971 /nfs/dbraw/zinc/72/19/71/1074721971.db2.gz QICRUBZXAUDOIN-HNNXBMFYSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CCN1CCOC(C)(C)C1 ZINC001503805191 1074722026 /nfs/dbraw/zinc/72/20/26/1074722026.db2.gz QICRUBZXAUDOIN-OAHLLOKOSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CNC(=O)c2cccs2)n1CC(=O)N1CCOCC1 ZINC001503805289 1074721942 /nfs/dbraw/zinc/72/19/42/1074721942.db2.gz CZPROWRQAWDUFJ-UHFFFAOYSA-N 0 0 449.537 -0.299 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1C[C@@H]1CCCS(=O)(=O)C1 ZINC001503805292 1074721991 /nfs/dbraw/zinc/72/19/91/1074721991.db2.gz DBMSEGRUJRLDAF-AWEZNQCLSA-N 0 0 426.543 -0.202 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1C[C@H]1CCCS(=O)(=O)C1 ZINC001503805293 1074721894 /nfs/dbraw/zinc/72/18/94/1074721894.db2.gz DBMSEGRUJRLDAF-CQSZACIVSA-N 0 0 426.543 -0.202 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CN(S(C)(=O)=O)CCO2)n1CC(C)(C)COC ZINC001503805329 1074722013 /nfs/dbraw/zinc/72/20/13/1074722013.db2.gz RQCHQYIMJXFBNW-AWEZNQCLSA-N 0 0 446.574 -0.144 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CN(S(C)(=O)=O)CCO2)n1CC(C)(C)COC ZINC001503805330 1074721911 /nfs/dbraw/zinc/72/19/11/1074721911.db2.gz RQCHQYIMJXFBNW-CQSZACIVSA-N 0 0 446.574 -0.144 20 0 IBADRN CNC(=O)CCN(C)c1nnc(Cn2nc(C)cc2C)n1CCN1CCCS1(=O)=O ZINC001503805593 1074721881 /nfs/dbraw/zinc/72/18/81/1074721881.db2.gz LPSYPIXETQGGPP-UHFFFAOYSA-N 0 0 438.558 -0.252 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1CC1CCS(=O)(=O)CC1 ZINC001503805603 1074722261 /nfs/dbraw/zinc/72/22/61/1074722261.db2.gz LWXJXXBXSAMDMZ-UHFFFAOYSA-N 0 0 426.543 -0.202 20 0 IBADRN CNC(=O)CCN(C)c1nnc(CN2CCCC2=O)n1CCN1CCN(C(C)=O)CC1 ZINC001503805608 1074722230 /nfs/dbraw/zinc/72/22/30/1074722230.db2.gz LXPKZIFRAWLVTF-UHFFFAOYSA-N 0 0 434.545 -0.863 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1Cc1ccc(C)n(C)c1=O ZINC001503805654 1074722199 /nfs/dbraw/zinc/72/21/99/1074722199.db2.gz VUDGUCVOTDWKNC-HNNXBMFYSA-N 0 0 436.538 -0.192 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1Cc1ccc(C)n(C)c1=O ZINC001503805655 1074722272 /nfs/dbraw/zinc/72/22/72/1074722272.db2.gz VUDGUCVOTDWKNC-OAHLLOKOSA-N 0 0 436.538 -0.192 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C2CC2)C1=O ZINC001503805925 1074722278 /nfs/dbraw/zinc/72/22/78/1074722278.db2.gz XIAKFTRGSACQJW-BFUOFWGJSA-N 0 0 438.554 -0.237 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C2CC2)C1=O ZINC001503805930 1074722241 /nfs/dbraw/zinc/72/22/41/1074722241.db2.gz XIAKFTRGSACQJW-DJJJIMSYSA-N 0 0 438.554 -0.237 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@@]1(C)CN(C2CC2)C1=O ZINC001503805933 1074722212 /nfs/dbraw/zinc/72/22/12/1074722212.db2.gz XIAKFTRGSACQJW-ORAYPTAESA-N 0 0 438.554 -0.237 20 0 IBADRN CNC(=O)CCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@]1(C)CN(C2CC2)C1=O ZINC001503805937 1074722237 /nfs/dbraw/zinc/72/22/37/1074722237.db2.gz XIAKFTRGSACQJW-YJYMSZOUSA-N 0 0 438.554 -0.237 20 0 IBADRN CNC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001503806514 1074722226 /nfs/dbraw/zinc/72/22/26/1074722226.db2.gz LHDQSFHYXNEEEQ-AWEZNQCLSA-N 0 0 441.558 -0.443 20 0 IBADRN CNC(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001503806515 1074722298 /nfs/dbraw/zinc/72/22/98/1074722298.db2.gz LHDQSFHYXNEEEQ-CQSZACIVSA-N 0 0 441.558 -0.443 20 0 IBADRN CNC(=O)CCN(C)c1nnc(Cn2nc(C)cc2C)n1CCC(=O)N1CCOCC1 ZINC001503806547 1074722181 /nfs/dbraw/zinc/72/21/81/1074722181.db2.gz YDGCUEXEBPCPQP-UHFFFAOYSA-N 0 0 432.529 -0.039 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001503808160 1074722255 /nfs/dbraw/zinc/72/22/55/1074722255.db2.gz DUYGOZJENGNGOZ-GFCCVEGCSA-N 0 0 429.547 -0.529 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(N(C)C(C)=O)CC1 ZINC001503808161 1074722217 /nfs/dbraw/zinc/72/22/17/1074722217.db2.gz DUYGOZJENGNGOZ-LBPRGKRZSA-N 0 0 429.547 -0.529 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCC(CNC(C)=O)CC1 ZINC001503808165 1074722191 /nfs/dbraw/zinc/72/21/91/1074722191.db2.gz DWIBEEUHSGTBIO-GFCCVEGCSA-N 0 0 429.547 -0.623 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCC(CNC(C)=O)CC1 ZINC001503808166 1074722285 /nfs/dbraw/zinc/72/22/85/1074722285.db2.gz DWIBEEUHSGTBIO-LBPRGKRZSA-N 0 0 429.547 -0.623 20 0 IBADRN CNC(=O)CCn1c(-c2ccc(C)o2)nnc1N1CCN(CC(=O)NCCOC)CC1 ZINC001503808186 1074722266 /nfs/dbraw/zinc/72/22/66/1074722266.db2.gz CZZZFFGAFJNUMT-UHFFFAOYSA-N 0 0 433.513 -0.133 20 0 IBADRN CNC(=O)CCn1c(-c2sc(N3CCOCC3)nc2C)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001503808274 1074722246 /nfs/dbraw/zinc/72/22/46/1074722246.db2.gz JVRIEOMJXPSXDU-CYBMUJFWSA-N 0 0 448.553 -0.006 20 0 IBADRN CNC(=O)CCn1c(-c2sc(N3CCOCC3)nc2C)nnc1N1CC[C@H](C(N)=O)C1 ZINC001503808278 1074722251 /nfs/dbraw/zinc/72/22/51/1074722251.db2.gz JVRIEOMJXPSXDU-ZDUSSCGKSA-N 0 0 448.553 -0.006 20 0 IBADRN CNC(=O)CCn1c(C)nnc1N1C[C@@H]2[C@@H](NC(=O)OC(C)(C)C)CS(=O)(=O)[C@@H]2C1 ZINC001503808314 1074722292 /nfs/dbraw/zinc/72/22/92/1074722292.db2.gz KORBVOYPEXKTPY-HZSPNIEDSA-N 0 0 442.542 -0.151 20 0 IBADRN CNC(=O)CCn1c(C)nnc1N1C[C@H]2[C@H](C1)S(=O)(=O)C[C@H]2NC(=O)OC(C)(C)C ZINC001503808322 1074722205 /nfs/dbraw/zinc/72/22/05/1074722205.db2.gz KORBVOYPEXKTPY-MCIONIFRSA-N 0 0 442.542 -0.151 20 0 IBADRN CNC(=O)CCn1c(C)nnc1N1C[C@@H]2[C@H](NC(=O)OC(C)(C)C)CS(=O)(=O)[C@@H]2C1 ZINC001503808323 1074722602 /nfs/dbraw/zinc/72/26/02/1074722602.db2.gz KORBVOYPEXKTPY-MGPQQGTHSA-N 0 0 442.542 -0.151 20 0 IBADRN CNC(=O)CCn1c(C)nnc1N1C[C@@H]2[C@@H](NC(=O)OC(C)(C)C)CS(=O)(=O)[C@H]2C1 ZINC001503808325 1074722614 /nfs/dbraw/zinc/72/26/14/1074722614.db2.gz KORBVOYPEXKTPY-RDBSUJKOSA-N 0 0 442.542 -0.151 20 0 IBADRN CNC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(c2ccccn2)CC1 ZINC001503809896 1074722534 /nfs/dbraw/zinc/72/25/34/1074722534.db2.gz OZMFRCVNVQSPSK-AWEZNQCLSA-N 0 0 436.542 -0.254 20 0 IBADRN CNC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(c2ccccn2)CC1 ZINC001503809899 1074722511 /nfs/dbraw/zinc/72/25/11/1074722511.db2.gz OZMFRCVNVQSPSK-CQSZACIVSA-N 0 0 436.542 -0.254 20 0 IBADRN CNC(=O)CCn1c(-c2cccc(S(C)(=O)=O)c2)nnc1N1CCN(C(=O)NC)CC1 ZINC001503810270 1074722485 /nfs/dbraw/zinc/72/24/85/1074722485.db2.gz MYUAOWIQGPVBQV-UHFFFAOYSA-N 0 0 449.537 -0.054 20 0 IBADRN CNC(=O)CCn1c(-c2ccc(OC)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503812088 1074722422 /nfs/dbraw/zinc/72/24/22/1074722422.db2.gz LQQULLLCVNGGNR-AWEZNQCLSA-N 0 0 427.465 -0.763 20 0 IBADRN CNC(=O)CCn1c(-c2ccc(OC)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503812089 1074722412 /nfs/dbraw/zinc/72/24/12/1074722412.db2.gz LQQULLLCVNGGNR-CQSZACIVSA-N 0 0 427.465 -0.763 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCCCO2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001503812644 1074722557 /nfs/dbraw/zinc/72/25/57/1074722557.db2.gz FFLXDOXTZPLTKN-INIZCTEOSA-N 0 0 447.540 -0.073 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCCCO2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001503812646 1074722634 /nfs/dbraw/zinc/72/26/34/1074722634.db2.gz FFLXDOXTZPLTKN-MRXNPFEDSA-N 0 0 447.540 -0.073 20 0 IBADRN CNC(=O)CCn1c(-c2cccn2C)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001503813152 1074722452 /nfs/dbraw/zinc/72/24/52/1074722452.db2.gz JDVXEGPFKTYMQC-UHFFFAOYSA-N 0 0 428.497 -0.653 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCCO1 ZINC001503813642 1074722498 /nfs/dbraw/zinc/72/24/98/1074722498.db2.gz CDRGVCRXXNGFGY-CABCVRRESA-N 0 0 444.558 -0.248 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCCCO1 ZINC001503813643 1074722592 /nfs/dbraw/zinc/72/25/92/1074722592.db2.gz CDRGVCRXXNGFGY-GJZGRUSLSA-N 0 0 444.558 -0.248 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCCO1 ZINC001503813644 1074722474 /nfs/dbraw/zinc/72/24/74/1074722474.db2.gz CDRGVCRXXNGFGY-HUUCEWRRSA-N 0 0 444.558 -0.248 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@@H]1CCCCO1 ZINC001503813645 1074722568 /nfs/dbraw/zinc/72/25/68/1074722568.db2.gz CDRGVCRXXNGFGY-LSDHHAIUSA-N 0 0 444.558 -0.248 20 0 IBADRN CNC(=O)CCn1c(-c2ccccn2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001503813650 1074722436 /nfs/dbraw/zinc/72/24/36/1074722436.db2.gz NXDVJTOKGZLYRN-UHFFFAOYSA-N 0 0 440.508 -0.253 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2ncccn2)CC1 ZINC001503813696 1074722580 /nfs/dbraw/zinc/72/25/80/1074722580.db2.gz CXOMPTKYGRRCIJ-AWEZNQCLSA-N 0 0 434.526 -0.567 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2ncccn2)CC1 ZINC001503813699 1074722624 /nfs/dbraw/zinc/72/26/24/1074722624.db2.gz CXOMPTKYGRRCIJ-CQSZACIVSA-N 0 0 434.526 -0.567 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS[C@H](C)C1 ZINC001503813818 1074722643 /nfs/dbraw/zinc/72/26/43/1074722643.db2.gz FEEZMTFGEAMKDP-CHWSQXEVSA-N 0 0 432.572 -0.311 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS[C@H](C)C1 ZINC001503813824 1074722398 /nfs/dbraw/zinc/72/23/98/1074722398.db2.gz FEEZMTFGEAMKDP-OLZOCXBDSA-N 0 0 432.572 -0.311 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS[C@@H](C)C1 ZINC001503813826 1074722465 /nfs/dbraw/zinc/72/24/65/1074722465.db2.gz FEEZMTFGEAMKDP-QWHCGFSZSA-N 0 0 432.572 -0.311 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCCO[C@H](C)C1 ZINC001503814517 1074722935 /nfs/dbraw/zinc/72/29/35/1074722935.db2.gz JVMCXOPSECHDMV-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001503814581 1074722977 /nfs/dbraw/zinc/72/29/77/1074722977.db2.gz USYUMOQQFZQYAQ-UHFFFAOYSA-N 0 0 430.513 -0.610 20 0 IBADRN CNC(=O)CCn1c(CC(C)(C)C)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001503814780 1074723021 /nfs/dbraw/zinc/72/30/21/1074723021.db2.gz MEXUUAGWHSWQOC-UHFFFAOYSA-N 0 0 447.544 -0.531 20 0 IBADRN CNC(=O)CCn1c(-c2cccc(C)n2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001503814962 1074722880 /nfs/dbraw/zinc/72/28/80/1074722880.db2.gz IJXFTYYVZKOGMG-UHFFFAOYSA-N 0 0 440.508 -0.288 20 0 IBADRN CNC(=O)CCn1c(-c2noc3c2COCC3)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001503814968 1074722989 /nfs/dbraw/zinc/72/29/89/1074722989.db2.gz IPSKCLTYDWDQPR-CYBMUJFWSA-N 0 0 433.469 -0.517 20 0 IBADRN CNC(=O)CCn1c(-c2noc3c2COCC3)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001503814969 1074723063 /nfs/dbraw/zinc/72/30/63/1074723063.db2.gz IPSKCLTYDWDQPR-ZDUSSCGKSA-N 0 0 433.469 -0.517 20 0 IBADRN CNC(=O)CCn1c(-c2csc(N3CCOCC3)n2)nnc1N1CCNC(=O)CC1 ZINC001503815288 1074722951 /nfs/dbraw/zinc/72/29/51/1074722951.db2.gz MNVBNZNCZAUFKQ-UHFFFAOYSA-N 0 0 434.526 -0.299 20 0 IBADRN CNC(=O)CCn1c(CC(C)(C)C)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001503815333 1074722834 /nfs/dbraw/zinc/72/28/34/1074722834.db2.gz QVAHRHIJNJOMRX-UHFFFAOYSA-N 0 0 435.573 -0.016 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC001503815439 1074723053 /nfs/dbraw/zinc/72/30/53/1074723053.db2.gz SZVBREPFJRZIKK-HBUWYVDXSA-N 0 0 427.527 -0.300 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC001503815441 1074723031 /nfs/dbraw/zinc/72/30/31/1074723031.db2.gz SZVBREPFJRZIKK-IYOUNJFTSA-N 0 0 427.527 -0.300 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC001503815443 1074722922 /nfs/dbraw/zinc/72/29/22/1074722922.db2.gz SZVBREPFJRZIKK-LBTNJELSSA-N 0 0 427.527 -0.300 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1C[C@H](C)O[C@]2(CCOC2)C1 ZINC001503815445 1074722843 /nfs/dbraw/zinc/72/28/43/1074722843.db2.gz SZVBREPFJRZIKK-PMUMKWKESA-N 0 0 427.527 -0.300 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCO1 ZINC001503815449 1074722910 /nfs/dbraw/zinc/72/29/10/1074722910.db2.gz TVTKPRBYFKPICU-KGLIPLIRSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N(C)C[C@H]1CCCO1 ZINC001503815451 1074723042 /nfs/dbraw/zinc/72/30/42/1074723042.db2.gz TVTKPRBYFKPICU-ZIAGYGMSSA-N 0 0 430.531 -0.638 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOC(C)(C)C1 ZINC001503815782 1074722824 /nfs/dbraw/zinc/72/28/24/1074722824.db2.gz YVXGFMXKPMTINI-HNNXBMFYSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCN1CCOC(C)(C)C1 ZINC001503815784 1074722813 /nfs/dbraw/zinc/72/28/13/1074722813.db2.gz YVXGFMXKPMTINI-OAHLLOKOSA-N 0 0 442.586 -0.137 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CCOCC2)CC1 ZINC001503815851 1074723000 /nfs/dbraw/zinc/72/30/00/1074723000.db2.gz ZXEQBVJILOORHF-HNNXBMFYSA-N 0 0 440.570 -0.383 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(C2CCOCC2)CC1 ZINC001503815853 1074722853 /nfs/dbraw/zinc/72/28/53/1074722853.db2.gz ZXEQBVJILOORHF-OAHLLOKOSA-N 0 0 440.570 -0.383 20 0 IBADRN CNC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC001503815863 1074722962 /nfs/dbraw/zinc/72/29/62/1074722962.db2.gz SOOLGXCYIXUAEV-INIZCTEOSA-N 0 0 440.508 -0.209 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC001503815865 1074722896 /nfs/dbraw/zinc/72/28/96/1074722896.db2.gz SOOLGXCYIXUAEV-MRXNPFEDSA-N 0 0 440.508 -0.209 20 0 IBADRN CNC(=O)CCn1c(Cc2cn3ccccc3n2)nnc1N1CCN(CCOC)C(=O)C1 ZINC001503816421 1074723302 /nfs/dbraw/zinc/72/33/02/1074723302.db2.gz AJNFOYPTBZORFA-UHFFFAOYSA-N 0 0 440.508 -0.052 20 0 IBADRN CNC(=O)CCn1c(Cc2ccncc2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001503816423 1074723325 /nfs/dbraw/zinc/72/33/25/1074723325.db2.gz IFDXCTAIWXJTKT-UHFFFAOYSA-N 0 0 426.525 -0.199 20 0 IBADRN CNC(=O)CCn1c(Cc2ccccc2F)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503816665 1074723286 /nfs/dbraw/zinc/72/32/86/1074723286.db2.gz KEWWZIIVSMZWFM-AWEZNQCLSA-N 0 0 429.456 -0.709 20 0 IBADRN CNC(=O)CCn1c(Cc2ccccc2F)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503816671 1074723251 /nfs/dbraw/zinc/72/32/51/1074723251.db2.gz KEWWZIIVSMZWFM-CQSZACIVSA-N 0 0 429.456 -0.709 20 0 IBADRN CNC(=O)CCn1c(Cc2ccsc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001503816963 1074723369 /nfs/dbraw/zinc/72/33/69/1074723369.db2.gz GQVWGYCVUUXWOR-UHFFFAOYSA-N 0 0 445.549 -0.006 20 0 IBADRN CNC(=O)CCn1c(Cc2cn3ccccc3n2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001503817682 1074723293 /nfs/dbraw/zinc/72/32/93/1074723293.db2.gz UKIGFDKCXFTVET-UHFFFAOYSA-N 0 0 440.508 -0.052 20 0 IBADRN CNC(=O)CCn1c(COc2ccc(C)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001503817973 1074723333 /nfs/dbraw/zinc/72/33/33/1074723333.db2.gz JXCPVTBGXVPAFQ-HNNXBMFYSA-N 0 0 441.492 -0.551 20 0 IBADRN CNC(=O)CCn1c(COc2ccc(C)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001503817989 1074723386 /nfs/dbraw/zinc/72/33/86/1074723386.db2.gz JXCPVTBGXVPAFQ-OAHLLOKOSA-N 0 0 441.492 -0.551 20 0 IBADRN CNC(=O)CCn1c(CC2CCCC2)nnc1N1CCN(C(=O)Cn2cnnn2)CC1 ZINC001503818534 1074723260 /nfs/dbraw/zinc/72/32/60/1074723260.db2.gz VLLPEXWKAIGSAC-UHFFFAOYSA-N 0 0 430.517 -0.518 20 0 IBADRN CNC(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1C[C@@H](CC(=O)OC)[C@H](O)C1 ZINC001503819719 1074723361 /nfs/dbraw/zinc/72/33/61/1074723361.db2.gz INORIJWVTGZUCH-CFAJVAMVSA-N 0 0 425.486 -0.502 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CC(=O)NCc2ccco2)CC1 ZINC001503819792 1074723377 /nfs/dbraw/zinc/72/33/77/1074723377.db2.gz KTIOCSVOWMEIFF-UHFFFAOYSA-N 0 0 444.496 -0.115 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2CCC(=O)N2CCOCC2)CC1 ZINC001503819809 1074723310 /nfs/dbraw/zinc/72/33/10/1074723310.db2.gz LIJWEZUPMVKUAI-UHFFFAOYSA-N 0 0 448.528 -0.776 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H](C)N2CCOCC2)CC1 ZINC001503819918 1074723281 /nfs/dbraw/zinc/72/32/81/1074723281.db2.gz MPFSLBVWNNIICC-INIZCTEOSA-N 0 0 434.545 -0.304 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H](C)N2CCOCC2)CC1 ZINC001503819922 1074723239 /nfs/dbraw/zinc/72/32/39/1074723239.db2.gz MPFSLBVWNNIICC-MRXNPFEDSA-N 0 0 434.545 -0.304 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001503819974 1074723268 /nfs/dbraw/zinc/72/32/68/1074723268.db2.gz NTFSBZNXXYHTEE-CYBMUJFWSA-N 0 0 427.531 -0.688 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001503819975 1074723273 /nfs/dbraw/zinc/72/32/73/1074723273.db2.gz NTFSBZNXXYHTEE-ZDUSSCGKSA-N 0 0 427.531 -0.688 20 0 IBADRN CNC(=O)CCn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001503819976 1074723317 /nfs/dbraw/zinc/72/33/17/1074723317.db2.gz NUGLGTVZCNFWCI-OAHLLOKOSA-N 0 0 433.513 -0.463 20 0 IBADRN CNC(=O)CCn1c([C@H]2OCC[C@H]2COC)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001503820288 1074723598 /nfs/dbraw/zinc/72/35/98/1074723598.db2.gz VXENTJXVYVGXLM-KXBFYZLASA-N 0 0 449.556 -0.461 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)NC(C)C)n2CCCCN2CCOCC2)CC1 ZINC001503820438 1074723616 /nfs/dbraw/zinc/72/36/16/1074723616.db2.gz XHXBSOQKBQPGMP-UHFFFAOYSA-N 0 0 436.561 -0.010 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@@H](C)CN2CCOCC2)CC1 ZINC001503820448 1074723675 /nfs/dbraw/zinc/72/36/75/1074723675.db2.gz XWCMQGWBXHNOJQ-KRWDZBQOSA-N 0 0 448.572 -0.056 20 0 IBADRN CNC(=O)N1CCN(c2nnc(C(=O)N3CCCC3)n2C[C@H](C)CN2CCOCC2)CC1 ZINC001503820449 1074723770 /nfs/dbraw/zinc/72/37/70/1074723770.db2.gz XWCMQGWBXHNOJQ-QGZVFWFLSA-N 0 0 448.572 -0.056 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@H]2COCCO2)CC1 ZINC001503820901 1074723667 /nfs/dbraw/zinc/72/36/67/1074723667.db2.gz AVWFLYCMKXTVRI-KBPBESRZSA-N 0 0 445.546 -0.845 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@H]2COCCO2)CC1 ZINC001503820907 1074723761 /nfs/dbraw/zinc/72/37/61/1074723761.db2.gz AVWFLYCMKXTVRI-KGLIPLIRSA-N 0 0 445.546 -0.845 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CC[C@@H]2COCCO2)CC1 ZINC001503820908 1074723803 /nfs/dbraw/zinc/72/38/03/1074723803.db2.gz AVWFLYCMKXTVRI-UONOGXRCSA-N 0 0 445.546 -0.845 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CC[C@@H]2COCCO2)CC1 ZINC001503820910 1074723608 /nfs/dbraw/zinc/72/36/08/1074723608.db2.gz AVWFLYCMKXTVRI-ZIAGYGMSSA-N 0 0 445.546 -0.845 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3ccc(C)o3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503821607 1074723792 /nfs/dbraw/zinc/72/37/92/1074723792.db2.gz DNBWMBNGNRDPFK-GOSISDBHSA-N 0 0 438.510 -0.142 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3ccc(C)o3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503821608 1074723831 /nfs/dbraw/zinc/72/38/31/1074723831.db2.gz DNBWMBNGNRDPFK-SFHVURJKSA-N 0 0 438.510 -0.142 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2snnc2C)CC1 ZINC001503821911 1074723708 /nfs/dbraw/zinc/72/37/08/1074723708.db2.gz JWNRHLJWEPRSFA-LLVKDONJSA-N 0 0 443.559 -0.442 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2snnc2C)CC1 ZINC001503821913 1074723587 /nfs/dbraw/zinc/72/35/87/1074723587.db2.gz JWNRHLJWEPRSFA-NSHDSACASA-N 0 0 443.559 -0.442 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCCn2cccn2)CC1 ZINC001503821959 1074724202 /nfs/dbraw/zinc/72/42/02/1074724202.db2.gz KPASTIHBTRZCFH-AWEZNQCLSA-N 0 0 439.546 -0.364 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCCn2cccn2)CC1 ZINC001503821960 1074724122 /nfs/dbraw/zinc/72/41/22/1074724122.db2.gz KPASTIHBTRZCFH-CQSZACIVSA-N 0 0 439.546 -0.364 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@]3(OC(C)C)CCOC3)n2C[C@@]2(O)CCOC2)CC1 ZINC001503822616 1074724069 /nfs/dbraw/zinc/72/40/69/1074724069.db2.gz YRZXHEBQLOLXKJ-PMACEKPBSA-N 0 0 438.529 -0.069 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@]3(OC(C)C)CCOC3)n2C[C@]2(O)CCOC2)CC1 ZINC001503822617 1074724161 /nfs/dbraw/zinc/72/41/61/1074724161.db2.gz YRZXHEBQLOLXKJ-UXHICEINSA-N 0 0 438.529 -0.069 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@]3(OC(C)C)CCOC3)n2C[C@@]2(O)CCOC2)CC1 ZINC001503822618 1074724279 /nfs/dbraw/zinc/72/42/79/1074724279.db2.gz YRZXHEBQLOLXKJ-VQTJNVASSA-N 0 0 438.529 -0.069 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@]3(OC(C)C)CCOC3)n2C[C@]2(O)CCOC2)CC1 ZINC001503822619 1074724151 /nfs/dbraw/zinc/72/41/51/1074724151.db2.gz YRZXHEBQLOLXKJ-WOJBJXKFSA-N 0 0 438.529 -0.069 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCOCC(F)F)CC1 ZINC001503822989 1074724082 /nfs/dbraw/zinc/72/40/82/1074724082.db2.gz RDXRLLPSFWBVII-LLVKDONJSA-N 0 0 439.489 -0.369 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCOCC(F)F)CC1 ZINC001503822990 1074724211 /nfs/dbraw/zinc/72/42/11/1074724211.db2.gz RDXRLLPSFWBVII-NSHDSACASA-N 0 0 439.489 -0.369 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2CCc2ccn(C)n2)CC1 ZINC001503823322 1074724142 /nfs/dbraw/zinc/72/41/42/1074724142.db2.gz VJZFUCFKROIATD-CYBMUJFWSA-N 0 0 439.546 -0.674 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2CCc2ccn(C)n2)CC1 ZINC001503823323 1074724132 /nfs/dbraw/zinc/72/41/32/1074724132.db2.gz VJZFUCFKROIATD-ZDUSSCGKSA-N 0 0 439.546 -0.674 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2ccn(C)n2)CC1 ZINC001503823777 1074724233 /nfs/dbraw/zinc/72/42/33/1074724233.db2.gz ZLGPXGMENNPHRE-GFCCVEGCSA-N 0 0 425.519 -0.869 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2ccn(C)n2)CC1 ZINC001503823780 1074724056 /nfs/dbraw/zinc/72/40/56/1074724056.db2.gz ZLGPXGMENNPHRE-LBPRGKRZSA-N 0 0 425.519 -0.869 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cnccn3)n2CC(=O)NCC(F)(F)F)CC1 ZINC001503824417 1074724110 /nfs/dbraw/zinc/72/41/10/1074724110.db2.gz PVBCTHPXNVUFAA-UHFFFAOYSA-N 0 0 427.391 -0.125 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC001503824479 1074724259 /nfs/dbraw/zinc/72/42/59/1074724259.db2.gz JBHJMAJDZXASQF-HUUCEWRRSA-N 0 0 441.558 -0.333 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC001503824480 1074724245 /nfs/dbraw/zinc/72/42/45/1074724245.db2.gz JBHJMAJDZXASQF-LSDHHAIUSA-N 0 0 441.558 -0.333 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@H]2COCCO2)CC1 ZINC001503824493 1074724189 /nfs/dbraw/zinc/72/41/89/1074724189.db2.gz JRXYNNTVBBPWGS-CABCVRRESA-N 0 0 442.542 -0.553 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@H]2COCCO2)CC1 ZINC001503824494 1074724220 /nfs/dbraw/zinc/72/42/20/1074724220.db2.gz JRXYNNTVBBPWGS-GJZGRUSLSA-N 0 0 442.542 -0.553 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CC[C@@H]2COCCO2)CC1 ZINC001503824495 1074724267 /nfs/dbraw/zinc/72/42/67/1074724267.db2.gz JRXYNNTVBBPWGS-HUUCEWRRSA-N 0 0 442.542 -0.553 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CC[C@@H]2COCCO2)CC1 ZINC001503824496 1074724547 /nfs/dbraw/zinc/72/45/47/1074724547.db2.gz JRXYNNTVBBPWGS-LSDHHAIUSA-N 0 0 442.542 -0.553 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCOCC(F)F)CC1 ZINC001503824502 1074724523 /nfs/dbraw/zinc/72/45/23/1074724523.db2.gz JVNMVHROXALRLC-GFCCVEGCSA-N 0 0 436.485 -0.077 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCOCC(F)F)CC1 ZINC001503824505 1074724530 /nfs/dbraw/zinc/72/45/30/1074724530.db2.gz JVNMVHROXALRLC-LBPRGKRZSA-N 0 0 436.485 -0.077 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)CC1 ZINC001503824524 1074724514 /nfs/dbraw/zinc/72/45/14/1074724514.db2.gz KCMXUZWGJLSEKK-AWEZNQCLSA-N 0 0 439.542 -0.832 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCNC(=O)C2CC2)CC1 ZINC001503824525 1074724554 /nfs/dbraw/zinc/72/45/54/1074724554.db2.gz KCMXUZWGJLSEKK-CQSZACIVSA-N 0 0 439.542 -0.832 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2CCS(=O)(=O)NC(C)C)CC1 ZINC001503824540 1074724550 /nfs/dbraw/zinc/72/45/50/1074724550.db2.gz REIBXWGBPYOSHW-UHFFFAOYSA-N 0 0 439.546 -0.532 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001503824608 1074724535 /nfs/dbraw/zinc/72/45/35/1074724535.db2.gz SRJXHYORPNAZCB-AWEZNQCLSA-N 0 0 436.542 -0.035 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cnn(C)c3)n2C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001503824609 1074724454 /nfs/dbraw/zinc/72/44/54/1074724454.db2.gz SRJXHYORPNAZCB-CQSZACIVSA-N 0 0 436.542 -0.035 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3ccc[nH]3)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001503824680 1074724435 /nfs/dbraw/zinc/72/44/35/1074724435.db2.gz WMSZTYCWEAHXER-UHFFFAOYSA-N 0 0 429.529 -0.101 20 0 IBADRN CNC(=O)N1CCN(c2nnc(-c3cccnc3)n2CCN2CCCS2(=O)=O)CC1 ZINC001503824877 1074724518 /nfs/dbraw/zinc/72/45/18/1074724518.db2.gz ZYBVTDXXSIGFOY-UHFFFAOYSA-N 0 0 434.526 -0.163 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)CC1 ZINC001503825001 1074724463 /nfs/dbraw/zinc/72/44/63/1074724463.db2.gz RQPBVLPJNSMLFO-AWEZNQCLSA-N 0 0 437.530 -0.677 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2CCCn2ccnn2)CC1 ZINC001503825002 1074724504 /nfs/dbraw/zinc/72/45/04/1074724504.db2.gz RQPBVLPJNSMLFO-CQSZACIVSA-N 0 0 437.530 -0.677 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@]2(OC)CCOC2)CC1 ZINC001503825066 1074724498 /nfs/dbraw/zinc/72/44/98/1074724498.db2.gz UPVIPPYHVYTLPR-KBXCAEBGSA-N 0 0 442.542 -0.553 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@@]2(OC)CCOC2)CC1 ZINC001503825067 1074724474 /nfs/dbraw/zinc/72/44/74/1074724474.db2.gz UPVIPPYHVYTLPR-KDOFPFPSSA-N 0 0 442.542 -0.553 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@@]2(OC)CCOC2)CC1 ZINC001503825068 1074724485 /nfs/dbraw/zinc/72/44/85/1074724485.db2.gz UPVIPPYHVYTLPR-KSSFIOAISA-N 0 0 442.542 -0.553 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@]2(OC)CCOC2)CC1 ZINC001503825069 1074724426 /nfs/dbraw/zinc/72/44/26/1074724426.db2.gz UPVIPPYHVYTLPR-RDTXWAMCSA-N 0 0 442.542 -0.553 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC(=O)NCC(F)F)CC1 ZINC001503825488 1074724527 /nfs/dbraw/zinc/72/45/27/1074724527.db2.gz CERKPBZQRPBUJT-UHFFFAOYSA-N 0 0 428.444 -0.757 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CNC(=O)c3cccs3)n2Cc2ncn(C)n2)CC1 ZINC001503825677 1074724492 /nfs/dbraw/zinc/72/44/92/1074724492.db2.gz GWIFZXLXORRDIJ-UHFFFAOYSA-N 0 0 444.525 -0.092 20 0 IBADRN CNC(=O)N1CCN(c2nnc(CN3CCCC3=O)n2CC2(S(C)(=O)=O)CC2)CC1 ZINC001503825913 1074724418 /nfs/dbraw/zinc/72/44/18/1074724418.db2.gz LNQWUTAQSKWGSR-UHFFFAOYSA-N 0 0 439.542 -0.561 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3cccnc3)n2CCCn2cc(CO)nn2)CC1 ZINC001503826273 1074724888 /nfs/dbraw/zinc/72/48/88/1074724888.db2.gz CIZMPKRNJNZPGK-UHFFFAOYSA-N 0 0 440.512 -0.101 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503826639 1074724876 /nfs/dbraw/zinc/72/48/76/1074724876.db2.gz UDQBCWXWHKJOQW-KBXCAEBGSA-N 0 0 442.542 -0.817 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503826640 1074724784 /nfs/dbraw/zinc/72/47/84/1074724784.db2.gz UDQBCWXWHKJOQW-KDOFPFPSSA-N 0 0 442.542 -0.817 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503826641 1074724901 /nfs/dbraw/zinc/72/49/01/1074724901.db2.gz UDQBCWXWHKJOQW-KSSFIOAISA-N 0 0 442.542 -0.817 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503826642 1074724831 /nfs/dbraw/zinc/72/48/31/1074724831.db2.gz UDQBCWXWHKJOQW-RDTXWAMCSA-N 0 0 442.542 -0.817 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCOC3)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001503826666 1074724704 /nfs/dbraw/zinc/72/47/04/1074724704.db2.gz UGZPWRNTQOKNGN-KRWDZBQOSA-N 0 0 434.545 -0.593 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2CCN2CCN(C(C)=O)CC2)CC1 ZINC001503826669 1074724854 /nfs/dbraw/zinc/72/48/54/1074724854.db2.gz UGZPWRNTQOKNGN-QGZVFWFLSA-N 0 0 434.545 -0.593 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3cccnc3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503828097 1074724724 /nfs/dbraw/zinc/72/47/24/1074724724.db2.gz UMRCFTFEHYLBHY-IBGZPJMESA-N 0 0 449.537 -0.725 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3cccnc3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001503828105 1074724842 /nfs/dbraw/zinc/72/48/42/1074724842.db2.gz UMRCFTFEHYLBHY-LJQANCHMSA-N 0 0 449.537 -0.725 20 0 IBADRN CNC(=O)N1CCN(c2nnc(Cc3ccccc3OC)n2Cc2nnn(C)n2)CC1 ZINC001503828132 1074724817 /nfs/dbraw/zinc/72/48/17/1074724817.db2.gz UUJFFXLGORWQRB-UHFFFAOYSA-N 0 0 426.485 -0.089 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CCC2(CNC(=O)C2)CC1 ZINC001503828240 1074724666 /nfs/dbraw/zinc/72/46/66/1074724666.db2.gz CHMSYBIXARIGBC-UHFFFAOYSA-N 0 0 439.542 -0.830 20 0 IBADRN CNC(=O)N1CCN(c2nnc([C@H]3CCCO3)n2CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001503828363 1074724674 /nfs/dbraw/zinc/72/46/74/1074724674.db2.gz OBWAUQWSIWEELM-CQSZACIVSA-N 0 0 442.542 -0.469 20 0 IBADRN CNS(=O)(=O)c1cccc(Cn2c(C(=O)NC(C)C)nnc2N2CCNC(=O)CC2)c1 ZINC001503828680 1074724772 /nfs/dbraw/zinc/72/47/72/1074724772.db2.gz CQNUGDPAHYDYBG-UHFFFAOYSA-N 0 0 449.537 -0.301 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@H](c2cnn(C)c2)C1 ZINC001503828783 1074724694 /nfs/dbraw/zinc/72/46/94/1074724694.db2.gz FXFUHIZVIZPFGL-AWEZNQCLSA-N 0 0 436.542 -0.209 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)N2CCCC2)nnc1N1CC[C@@H](c2cnn(C)c2)C1 ZINC001503828791 1074724684 /nfs/dbraw/zinc/72/46/84/1074724684.db2.gz FXFUHIZVIZPFGL-CQSZACIVSA-N 0 0 436.542 -0.209 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)Nc2ccccn2)nnc1N(C)Cc1cnn(C)c1 ZINC001503829420 1074724795 /nfs/dbraw/zinc/72/47/95/1074724795.db2.gz JIHAKPNDMIRSLE-UHFFFAOYSA-N 0 0 433.498 -0.156 20 0 IBADRN CNS(=O)(=O)CCn1c(C(C)C)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001503830073 1074724807 /nfs/dbraw/zinc/72/48/07/1074724807.db2.gz LXTKSUPFBAAPRQ-CYBMUJFWSA-N 0 0 427.531 -0.283 20 0 IBADRN CNS(=O)(=O)CCn1c(C(C)C)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001503830081 1074724747 /nfs/dbraw/zinc/72/47/47/1074724747.db2.gz LXTKSUPFBAAPRQ-ZDUSSCGKSA-N 0 0 427.531 -0.283 20 0 IBADRN CNS(=O)(=O)c1cccc(Cn2c(C)nnc2N2CCN(CC(=O)NC3CC3)CC2)c1 ZINC001503831041 1074724761 /nfs/dbraw/zinc/72/47/61/1074724761.db2.gz WOBMUAGDRIBUBH-UHFFFAOYSA-N 0 0 447.565 -0.056 20 0 IBADRN CNS(=O)(=O)CCn1c(C(=O)NC(C)C)nnc1N1CCN(CC(C)C)C(=O)[C@@H]1C ZINC001503831676 1074724736 /nfs/dbraw/zinc/72/47/36/1074724736.db2.gz WSGHAWGQWYMQCR-AWEZNQCLSA-N 0 0 443.574 -0.341 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)CC1 ZINC001545686025 1074486989 /nfs/dbraw/zinc/48/69/89/1074486989.db2.gz YCDROIQMYGUORX-CHWSQXEVSA-N 0 0 434.588 -0.238 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)CC1 ZINC001545686026 1074487123 /nfs/dbraw/zinc/48/71/23/1074487123.db2.gz YCDROIQMYGUORX-OLZOCXBDSA-N 0 0 434.588 -0.238 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)CC1 ZINC001545686027 1074487077 /nfs/dbraw/zinc/48/70/77/1074487077.db2.gz YCDROIQMYGUORX-QWHCGFSZSA-N 0 0 434.588 -0.238 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCS(=O)CC1 ZINC001545686028 1074487053 /nfs/dbraw/zinc/48/70/53/1074487053.db2.gz YCDROIQMYGUORX-STQMWFEESA-N 0 0 434.588 -0.238 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)CCCN2C(=O)CNC2=O)cc1 ZINC001545686643 1074487705 /nfs/dbraw/zinc/48/77/05/1074487705.db2.gz OWOAQWZKKZITCE-UHFFFAOYSA-N 0 0 425.463 -0.277 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001545694945 1074410489 /nfs/dbraw/zinc/41/04/89/1074410489.db2.gz ZJDWEIYGIFMGSP-GDBMZVCRSA-N 0 0 440.508 -0.208 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001545694946 1074410497 /nfs/dbraw/zinc/41/04/97/1074410497.db2.gz ZJDWEIYGIFMGSP-GOEBONIOSA-N 0 0 440.508 -0.208 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001545694947 1074410509 /nfs/dbraw/zinc/41/05/09/1074410509.db2.gz ZJDWEIYGIFMGSP-HOCLYGCPSA-N 0 0 440.508 -0.208 20 0 IBADRN CC[C@@H](C)NC(=O)CCn1c(-c2cccnc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001545694948 1074410430 /nfs/dbraw/zinc/41/04/30/1074410430.db2.gz ZJDWEIYGIFMGSP-ZBFHGGJFSA-N 0 0 440.508 -0.208 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001545696977 1074410450 /nfs/dbraw/zinc/41/04/50/1074410450.db2.gz YMGBXXRWJKFBMU-BPUTZDHNSA-N 0 0 435.529 -0.125 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@@H](CNC(C)=O)C1 ZINC001545696978 1074410548 /nfs/dbraw/zinc/41/05/48/1074410548.db2.gz YMGBXXRWJKFBMU-CWRNSKLLSA-N 0 0 435.529 -0.125 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@@H]2CCC(=O)N2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001545696979 1074410502 /nfs/dbraw/zinc/41/05/02/1074410502.db2.gz YMGBXXRWJKFBMU-IMJJTQAJSA-N 0 0 435.529 -0.125 20 0 IBADRN CC[C@H](C)NC(=O)CCn1c([C@H]2CCC(=O)N2)nnc1N1CCO[C@H](CNC(C)=O)C1 ZINC001545696980 1074410392 /nfs/dbraw/zinc/41/03/92/1074410392.db2.gz YMGBXXRWJKFBMU-NUEKZKHPSA-N 0 0 435.529 -0.125 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)Nc2ccc(CC(=O)NCCO)cc2)[C@H]1c1ccnn1C ZINC001545713917 1074410380 /nfs/dbraw/zinc/41/03/80/1074410380.db2.gz DNERDANWYDSYOK-UXHICEINSA-N 0 0 429.477 -0.002 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@]2(O)CCOC2)CC1 ZINC001545714556 1074410403 /nfs/dbraw/zinc/41/04/03/1074410403.db2.gz CNNGQDNAPWVDDW-CKJXQJPGSA-N 0 0 449.556 -0.583 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@]2(O)CCOC2)CC1 ZINC001545714557 1074410931 /nfs/dbraw/zinc/41/09/31/1074410931.db2.gz CNNGQDNAPWVDDW-GCKMJXCFSA-N 0 0 449.556 -0.583 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@]2(O)CCOC2)CC1 ZINC001545714558 1074411075 /nfs/dbraw/zinc/41/10/75/1074411075.db2.gz CNNGQDNAPWVDDW-MRUHUIDDSA-N 0 0 449.556 -0.583 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@]2(O)CCOC2)CC1 ZINC001545714559 1074411061 /nfs/dbraw/zinc/41/10/61/1074411061.db2.gz CNNGQDNAPWVDDW-QYWGDWMGSA-N 0 0 449.556 -0.583 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)NC)CC1 ZINC001545714836 1074410939 /nfs/dbraw/zinc/41/09/39/1074410939.db2.gz HVDVHSQZLLAJRD-CABCVRRESA-N 0 0 434.545 -0.598 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCC(=O)NC)CC1 ZINC001545714837 1074411041 /nfs/dbraw/zinc/41/10/41/1074411041.db2.gz HVDVHSQZLLAJRD-GJZGRUSLSA-N 0 0 434.545 -0.598 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)NC)CC1 ZINC001545714838 1074410974 /nfs/dbraw/zinc/41/09/74/1074410974.db2.gz HVDVHSQZLLAJRD-HUUCEWRRSA-N 0 0 434.545 -0.598 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCC(=O)NC)CC1 ZINC001545714839 1074410980 /nfs/dbraw/zinc/41/09/80/1074410980.db2.gz HVDVHSQZLLAJRD-LSDHHAIUSA-N 0 0 434.545 -0.598 20 0 IBADRN CC[C@H](C)NC(=O)CN1CCN(c2nnc(C3CC3)n2CCNS(C)(=O)=O)CC1 ZINC001545715130 1074411030 /nfs/dbraw/zinc/41/10/30/1074411030.db2.gz MWOMLBZCTAFMNS-AWEZNQCLSA-N 0 0 427.575 -0.259 20 0 IBADRN CC[C@@H](C)NC(=O)CN1CCN(c2nnc(C3CC3)n2CCNS(C)(=O)=O)CC1 ZINC001545715131 1074411037 /nfs/dbraw/zinc/41/10/37/1074411037.db2.gz MWOMLBZCTAFMNS-CQSZACIVSA-N 0 0 427.575 -0.259 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)Nc1ccncc1I ZINC001545757529 1074411052 /nfs/dbraw/zinc/41/10/52/1074411052.db2.gz ASTHVKZPWJUSNU-UHFFFAOYSA-N 0 0 434.215 -0.085 20 0 IBADRN CCC(CC)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)OC ZINC001545782319 1074411011 /nfs/dbraw/zinc/41/10/11/1074411011.db2.gz KHJAULYQISDSDX-KBPBESRZSA-N 0 0 433.513 -0.419 20 0 IBADRN CCC(CC)(Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)OC ZINC001545782320 1074410986 /nfs/dbraw/zinc/41/09/86/1074410986.db2.gz KHJAULYQISDSDX-KGLIPLIRSA-N 0 0 433.513 -0.419 20 0 IBADRN CCC(CC)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1)OC ZINC001545782321 1074410949 /nfs/dbraw/zinc/41/09/49/1074410949.db2.gz KHJAULYQISDSDX-UONOGXRCSA-N 0 0 433.513 -0.419 20 0 IBADRN CCC(CC)(Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1)OC ZINC001545782322 1074411082 /nfs/dbraw/zinc/41/10/82/1074411082.db2.gz KHJAULYQISDSDX-ZIAGYGMSSA-N 0 0 433.513 -0.419 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001545797392 1074411044 /nfs/dbraw/zinc/41/10/44/1074411044.db2.gz KNIVDMJKQLIRHY-FRFSOERESA-N 0 0 427.498 -0.272 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001545797393 1074411001 /nfs/dbraw/zinc/41/10/01/1074411001.db2.gz KNIVDMJKQLIRHY-KMFMINBZSA-N 0 0 427.498 -0.272 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@H]2C[C@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001545797394 1074411275 /nfs/dbraw/zinc/41/12/75/1074411275.db2.gz KNIVDMJKQLIRHY-UKPHBRMFSA-N 0 0 427.498 -0.272 20 0 IBADRN CS(=O)(=O)N1CC[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2C(=O)Cc2ccccc2F)C1 ZINC001545797395 1074411162 /nfs/dbraw/zinc/41/11/62/1074411162.db2.gz KNIVDMJKQLIRHY-UNEWFSDZSA-N 0 0 427.498 -0.272 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCNC(=O)[C@@H]1c1cccc(Br)c1 ZINC001545799326 1074411329 /nfs/dbraw/zinc/41/13/29/1074411329.db2.gz BWANFTFGCBYLEV-HZMBPMFUSA-N 0 0 436.270 -0.360 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)N1CCNC(=O)[C@H]1c1cccc(Br)c1 ZINC001545799327 1074411347 /nfs/dbraw/zinc/41/13/47/1074411347.db2.gz BWANFTFGCBYLEV-IINYFYTJSA-N 0 0 436.270 -0.360 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)NCCNC(=O)c2cccs2)c1 ZINC001545816738 1074411304 /nfs/dbraw/zinc/41/13/04/1074411304.db2.gz UCJFJFRAUZXKDO-UHFFFAOYSA-N 0 0 445.544 -0.024 20 0 IBADRN COC(=O)CN(C)CCNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001545828969 1074411234 /nfs/dbraw/zinc/41/12/34/1074411234.db2.gz KHJWLAMFJIWABH-ILXRZTDVSA-N 0 0 447.554 -0.122 20 0 IBADRN COC(=O)CN(C)CCNC(=O)C[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC001545828970 1074411366 /nfs/dbraw/zinc/41/13/66/1074411366.db2.gz KHJWLAMFJIWABH-KFWWJZLASA-N 0 0 447.554 -0.122 20 0 IBADRN COC(=O)CN(C)CCNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]12 ZINC001545828971 1074411145 /nfs/dbraw/zinc/41/11/45/1074411145.db2.gz KHJWLAMFJIWABH-QLFBSQMISA-N 0 0 447.554 -0.122 20 0 IBADRN COC(=O)CN(C)CCNC(=O)C[C@@H]1CS(=O)(=O)[C@@H]2CN(C(=O)OC(C)(C)C)C[C@H]12 ZINC001545828972 1074411266 /nfs/dbraw/zinc/41/12/66/1074411266.db2.gz KHJWLAMFJIWABH-RBSFLKMASA-N 0 0 447.554 -0.122 20 0 IBADRN COC(=O)CN(C)CCNC(=O)[C@@]12CN(C(=O)OC(C)(C)C)C[C@@H]1CS(=O)(=O)C2 ZINC001545829404 1074411368 /nfs/dbraw/zinc/41/13/68/1074411368.db2.gz WNRVXRUIVKJCBX-FZKQIMNGSA-N 0 0 433.527 -0.511 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)CC(=O)N2CCOCC2)n1CC(=O)N(C)CC ZINC001545832047 1074411218 /nfs/dbraw/zinc/41/12/18/1074411218.db2.gz FCSILNKCFQRTNG-UHFFFAOYSA-N 0 0 437.545 -0.030 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N(C)CCS(C)(=O)=O)n1CC(=O)N1CCOCC1 ZINC001545841856 1074411172 /nfs/dbraw/zinc/41/11/72/1074411172.db2.gz COKMOBIVGRLBJN-UHFFFAOYSA-N 0 0 444.558 -0.464 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC(=O)N(C)[C@H](C)C2)n1CCNS(=O)(=O)CC ZINC001545846805 1074411248 /nfs/dbraw/zinc/41/12/48/1074411248.db2.gz BUPWRVCHOATPDO-CYBMUJFWSA-N 0 0 443.574 -0.197 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC(=O)N(C)[C@@H](C)C2)n1CCNS(=O)(=O)CC ZINC001545846806 1074411375 /nfs/dbraw/zinc/41/13/75/1074411375.db2.gz BUPWRVCHOATPDO-ZDUSSCGKSA-N 0 0 443.574 -0.197 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2C[C@H]3CCC(=O)N[C@@H]3C2)n1CC(=O)N1CCOCC1 ZINC001545856585 1074411200 /nfs/dbraw/zinc/41/12/00/1074411200.db2.gz DFUUNPJIWNPKBO-GDBMZVCRSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2C[C@@H]3CCC(=O)N[C@@H]3C2)n1CC(=O)N1CCOCC1 ZINC001545856586 1074411360 /nfs/dbraw/zinc/41/13/60/1074411360.db2.gz DFUUNPJIWNPKBO-GOEBONIOSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2C[C@@H]3NC(=O)CC[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001545856587 1074411354 /nfs/dbraw/zinc/41/13/54/1074411354.db2.gz DFUUNPJIWNPKBO-HOCLYGCPSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2C[C@H]3CCC(=O)N[C@H]3C2)n1CC(=O)N1CCOCC1 ZINC001545856588 1074411994 /nfs/dbraw/zinc/41/19/94/1074411994.db2.gz DFUUNPJIWNPKBO-ZBFHGGJFSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC(C(N)=O)CC2)n1CC(=O)N1CCOCC1 ZINC001545864464 1074411977 /nfs/dbraw/zinc/41/19/77/1074411977.db2.gz SGXHKWFZLQXCCY-UHFFFAOYSA-N 0 0 435.529 -0.243 20 0 IBADRN COCCN1CCN(C(=O)c2cc(S(=O)(=O)NCC(N)=O)ccc2Cl)CC1=O ZINC001545873472 1074411786 /nfs/dbraw/zinc/41/17/86/1074411786.db2.gz PXRZFBZROPHRIZ-UHFFFAOYSA-N 0 0 432.886 -0.966 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)[C@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001545885729 1074411962 /nfs/dbraw/zinc/41/19/62/1074411962.db2.gz GWKLWUZJTZZEHH-BHYGNILZSA-N 0 0 428.507 -0.026 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001545885730 1074411968 /nfs/dbraw/zinc/41/19/68/1074411968.db2.gz GWKLWUZJTZZEHH-HYVNUMGLSA-N 0 0 428.507 -0.026 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001545885731 1074411953 /nfs/dbraw/zinc/41/19/53/1074411953.db2.gz GWKLWUZJTZZEHH-PVAVHDDUSA-N 0 0 428.507 -0.026 20 0 IBADRN CCOC(=O)[C@H](CO)NC(=O)[C@@H]1C[C@H](O)CN1S(=O)(=O)c1ccc(C(C)C)cc1 ZINC001545885732 1074412030 /nfs/dbraw/zinc/41/20/30/1074412030.db2.gz GWKLWUZJTZZEHH-XIRDDKMYSA-N 0 0 428.507 -0.026 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@@]3(CNC(=O)C3)C2)n1CCNS(C)(=O)=O ZINC001545886422 1074411889 /nfs/dbraw/zinc/41/18/89/1074411889.db2.gz AJCOCIHYUBDYJW-GOSISDBHSA-N 0 0 441.558 -0.538 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CC[C@]3(CNC(=O)C3)C2)n1CCNS(C)(=O)=O ZINC001545886423 1074411946 /nfs/dbraw/zinc/41/19/46/1074411946.db2.gz AJCOCIHYUBDYJW-SFHVURJKSA-N 0 0 441.558 -0.538 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC001545892944 1074412609 /nfs/dbraw/zinc/41/26/09/1074412609.db2.gz HLWDZSQRGYVZJL-BFYDXBDKSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC001545892945 1074412566 /nfs/dbraw/zinc/41/25/66/1074412566.db2.gz HLWDZSQRGYVZJL-HLLBOEOZSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1ccccc1F ZINC001545892946 1074412443 /nfs/dbraw/zinc/41/24/43/1074412443.db2.gz HLWDZSQRGYVZJL-INMHGKMJSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H](O)CN1C(=O)Cc1ccccc1F ZINC001545892947 1074412432 /nfs/dbraw/zinc/41/24/32/1074412432.db2.gz HLWDZSQRGYVZJL-VYDXJSESSA-N 0 0 427.498 -0.130 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@H](CC(N)=O)NC(=O)Cc1cccc(F)c1 ZINC001545893123 1074412418 /nfs/dbraw/zinc/41/24/18/1074412418.db2.gz NFZUSLRZRRGBIN-CABCVRRESA-N 0 0 428.486 -0.731 20 0 IBADRN CS(=O)(=O)N1CCC[C@@H]1CNC(=O)[C@@H](CC(N)=O)NC(=O)Cc1cccc(F)c1 ZINC001545893124 1074413119 /nfs/dbraw/zinc/41/31/19/1074413119.db2.gz NFZUSLRZRRGBIN-HUUCEWRRSA-N 0 0 428.486 -0.731 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC[C@H](NC(C)=O)C2)n1CCS(=O)(=O)NC ZINC001545900093 1074414373 /nfs/dbraw/zinc/41/43/73/1074414373.db2.gz GXLXCCYNRNLKIX-HNNXBMFYSA-N 0 0 443.574 -0.149 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCC[C@@H](NC(C)=O)C2)n1CCS(=O)(=O)NC ZINC001545900094 1074414433 /nfs/dbraw/zinc/41/44/33/1074414433.db2.gz GXLXCCYNRNLKIX-OAHLLOKOSA-N 0 0 443.574 -0.149 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1Cl)C(=O)N(CCO)CCN1CCOCC1 ZINC001545910259 1074416585 /nfs/dbraw/zinc/41/65/85/1074416585.db2.gz ODCJGGZJSALPMW-INIZCTEOSA-N 0 0 426.901 -0.533 20 0 IBADRN NC(=O)C[C@@H](NC(=O)c1ccccc1Cl)C(=O)N(CCO)CCN1CCOCC1 ZINC001545910260 1074416534 /nfs/dbraw/zinc/41/65/34/1074416534.db2.gz ODCJGGZJSALPMW-MRXNPFEDSA-N 0 0 426.901 -0.533 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CC(C)(C)O ZINC001545912270 1074416386 /nfs/dbraw/zinc/41/63/86/1074416386.db2.gz MHCGJZJXFRGFSW-UHFFFAOYSA-N 0 0 449.556 -0.105 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)COC)CC2)n1Cc1ncn(C)n1 ZINC001545913572 1074416456 /nfs/dbraw/zinc/41/64/56/1074416456.db2.gz HWENWHKQRWYUCN-UHFFFAOYSA-N 0 0 433.517 -0.332 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)NC)CC2)n1CCS(C)(=O)=O ZINC001545915319 1074417922 /nfs/dbraw/zinc/41/79/22/1074417922.db2.gz PRROODANWCEOHS-UHFFFAOYSA-N 0 0 429.547 -0.298 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(=O)OC)CC2)n1CCS(=O)(=O)NC ZINC001545915346 1074417959 /nfs/dbraw/zinc/41/79/59/1074417959.db2.gz QLQMUERNDVZPRN-UHFFFAOYSA-N 0 0 445.546 -0.366 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C(C)=O)CC2)n1CCNS(=O)(=O)CC ZINC001545915449 1074417955 /nfs/dbraw/zinc/41/79/55/1074417955.db2.gz UQENUVWMCPCFAE-UHFFFAOYSA-N 0 0 443.574 -0.196 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C)C(=O)C2)n1CCNS(=O)(=O)CC ZINC001545916419 1074417856 /nfs/dbraw/zinc/41/78/56/1074417856.db2.gz FTNOTMQDHFYFHB-UHFFFAOYSA-N 0 0 429.547 -0.586 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@H]1CC(=O)N(CCOC)C1 ZINC001545916445 1074417917 /nfs/dbraw/zinc/41/79/17/1074417917.db2.gz GLGQFKYBUWFGOG-HNNXBMFYSA-N 0 0 449.556 -0.030 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@@H]1CC(=O)N(CCOC)C1 ZINC001545916446 1074417865 /nfs/dbraw/zinc/41/78/65/1074417865.db2.gz GLGQFKYBUWFGOG-OAHLLOKOSA-N 0 0 449.556 -0.030 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C)C(=O)C2)n1CCN1CCCS1(=O)=O ZINC001545916460 1074417942 /nfs/dbraw/zinc/41/79/42/1074417942.db2.gz GYRPDBKZCFGPGX-UHFFFAOYSA-N 0 0 441.558 -0.490 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001545916798 1074418303 /nfs/dbraw/zinc/41/83/03/1074418303.db2.gz PALLQAZGBQUALX-GOSISDBHSA-N 0 0 442.542 -0.976 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN(C)C(=O)C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001545916799 1074418411 /nfs/dbraw/zinc/41/84/11/1074418411.db2.gz PALLQAZGBQUALX-SFHVURJKSA-N 0 0 442.542 -0.976 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCC1(O)CCC1 ZINC001545925052 1074420099 /nfs/dbraw/zinc/42/00/99/1074420099.db2.gz BAYRAGJAIBKIMO-HNNXBMFYSA-N 0 0 447.540 -0.351 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCC1(O)CCC1 ZINC001545925053 1074420126 /nfs/dbraw/zinc/42/01/26/1074420126.db2.gz BAYRAGJAIBKIMO-OAHLLOKOSA-N 0 0 447.540 -0.351 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(C)(C)OC ZINC001545925342 1074420183 /nfs/dbraw/zinc/42/01/83/1074420183.db2.gz JHOLLXZIAUHTKW-AWEZNQCLSA-N 0 0 435.529 -0.232 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(C)(C)OC ZINC001545925343 1074420121 /nfs/dbraw/zinc/42/01/21/1074420121.db2.gz JHOLLXZIAUHTKW-CQSZACIVSA-N 0 0 435.529 -0.232 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1C[C@H]1OCC[C@H]1C ZINC001545925629 1074420130 /nfs/dbraw/zinc/42/01/30/1074420130.db2.gz RIMYEGMUJRKEHF-FVQBIDKESA-N 0 0 447.540 -0.232 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1C[C@H]1OCC[C@H]1C ZINC001545925630 1074420168 /nfs/dbraw/zinc/42/01/68/1074420168.db2.gz RIMYEGMUJRKEHF-VNQPRFMTSA-N 0 0 447.540 -0.232 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CCCCOC ZINC001545925689 1074420134 /nfs/dbraw/zinc/42/01/34/1074420134.db2.gz SLMABYPDTNPNQB-HNNXBMFYSA-N 0 0 435.529 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CCCCOC ZINC001545925690 1074420186 /nfs/dbraw/zinc/42/01/86/1074420186.db2.gz SLMABYPDTNPNQB-OAHLLOKOSA-N 0 0 435.529 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC1CCOCC1 ZINC001545925793 1074420190 /nfs/dbraw/zinc/42/01/90/1074420190.db2.gz WKELLRLPUYJAAE-INIZCTEOSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC1CCOCC1 ZINC001545925794 1074420199 /nfs/dbraw/zinc/42/01/99/1074420199.db2.gz WKELLRLPUYJAAE-MRXNPFEDSA-N 0 0 447.540 -0.230 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCn3nc(C)nc3C2)n1CCS(=O)(=O)NC ZINC001545926623 1074420715 /nfs/dbraw/zinc/42/07/15/1074420715.db2.gz BUNDMPCKPPISMK-UHFFFAOYSA-N 0 0 439.546 -0.334 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)NC[C@@H]3C2)n1CC(=O)NCC(F)F ZINC001545926837 1074420711 /nfs/dbraw/zinc/42/07/11/1074420711.db2.gz HMXWJLLINWUPAG-GFCCVEGCSA-N 0 0 442.471 -0.208 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)NC[C@H]3C2)n1CC(=O)NCC(F)F ZINC001545926838 1074420667 /nfs/dbraw/zinc/42/06/67/1074420667.db2.gz HMXWJLLINWUPAG-LBPRGKRZSA-N 0 0 442.471 -0.208 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3CCOC[C@@H]3C2)n1CCS(C)(=O)=O ZINC001545926926 1074420659 /nfs/dbraw/zinc/42/06/59/1074420659.db2.gz KBXUUIQEDVNOQB-HNNXBMFYSA-N 0 0 428.559 -0.238 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3CCOC[C@H]3C2)n1CCS(C)(=O)=O ZINC001545926927 1074420686 /nfs/dbraw/zinc/42/06/86/1074420686.db2.gz KBXUUIQEDVNOQB-OAHLLOKOSA-N 0 0 428.559 -0.238 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)N(CC)C(=O)[C@@H]3C2)n1CC(=O)N(C)C ZINC001545927143 1074420699 /nfs/dbraw/zinc/42/06/99/1074420699.db2.gz PEHFSPLXVBGBDP-AWEZNQCLSA-N 0 0 448.528 -0.243 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCN3C(=O)N(CC)C(=O)[C@H]3C2)n1CC(=O)N(C)C ZINC001545927144 1074420630 /nfs/dbraw/zinc/42/06/30/1074420630.db2.gz PEHFSPLXVBGBDP-CQSZACIVSA-N 0 0 448.528 -0.243 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)C2)n1C[C@H]1CCCN1S(C)(=O)=O ZINC001545928786 1074421062 /nfs/dbraw/zinc/42/10/62/1074421062.db2.gz JUHOHLXEPXMUQY-CQSZACIVSA-N 0 0 441.558 -0.443 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1Cc1nnc2n1CCC2 ZINC001545928831 1074421082 /nfs/dbraw/zinc/42/10/82/1074421082.db2.gz KPMGDZLYIARFGN-AWEZNQCLSA-N 0 0 445.528 -0.266 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1Cc1nnc2n1CCC2 ZINC001545928832 1074420955 /nfs/dbraw/zinc/42/09/55/1074420955.db2.gz KPMGDZLYIARFGN-CQSZACIVSA-N 0 0 445.528 -0.266 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)CC2)n1CCNS(=O)(=O)CC ZINC001545928915 1074420875 /nfs/dbraw/zinc/42/08/75/1074420875.db2.gz LZEQMFUAKDADNR-UHFFFAOYSA-N 0 0 429.547 -0.538 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)CC2)n1Cc1cn(C2COC2)nn1 ZINC001545929199 1074420979 /nfs/dbraw/zinc/42/09/79/1074420979.db2.gz SNKYKIHWKXOJNP-UHFFFAOYSA-N 0 0 431.501 -0.266 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@H](C(=O)NC)C2)n1CCCc1cnn(C)n1 ZINC001545929339 1074420824 /nfs/dbraw/zinc/42/08/24/1074420824.db2.gz WRLPZQFTCBRXMD-INIZCTEOSA-N 0 0 447.544 -0.091 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCO[C@@H](C(=O)NC)C2)n1CCCc1cnn(C)n1 ZINC001545929340 1074421073 /nfs/dbraw/zinc/42/10/73/1074421073.db2.gz WRLPZQFTCBRXMD-MRXNPFEDSA-N 0 0 447.544 -0.091 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCNC(=O)CC2)n1CCN1CCCS1(=O)=O ZINC001545929464 1074421619 /nfs/dbraw/zinc/42/16/19/1074421619.db2.gz YSBQLPMZWDRGCT-UHFFFAOYSA-N 0 0 441.558 -0.442 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCOC[C@H]2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001545938238 1074424835 /nfs/dbraw/zinc/42/48/35/1074424835.db2.gz NOSHWRZHMIRMPH-ACJLOTCBSA-N 0 0 429.543 -0.029 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCOC[C@H]2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001545938239 1074424674 /nfs/dbraw/zinc/42/46/74/1074424674.db2.gz NOSHWRZHMIRMPH-FZKQIMNGSA-N 0 0 429.543 -0.029 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCOC[C@@H]2C)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001545938240 1074424631 /nfs/dbraw/zinc/42/46/31/1074424631.db2.gz NOSHWRZHMIRMPH-SCLBCKFNSA-N 0 0 429.543 -0.029 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCOC[C@@H]2C)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001545938241 1074424810 /nfs/dbraw/zinc/42/48/10/1074424810.db2.gz NOSHWRZHMIRMPH-UGSOOPFHSA-N 0 0 429.543 -0.029 20 0 IBADRN CCC(CC)NC(=O)c1nnc(N2CCS(=O)(=O)CC2)n1CC1(O)CCOCC1 ZINC001545938388 1074424552 /nfs/dbraw/zinc/42/45/52/1074424552.db2.gz SRDBMWMBPIKWMT-UHFFFAOYSA-N 0 0 429.543 -0.027 20 0 IBADRN CCOC(=O)CNC(=O)C1CCN(C(=O)[C@H](CO)NC(=O)Cc2ccc(F)cc2)CC1 ZINC001545985517 1074442123 /nfs/dbraw/zinc/44/21/23/1074442123.db2.gz DPBFDFRSPIUVIQ-KRWDZBQOSA-N 0 0 437.468 -0.237 20 0 IBADRN CC[C@@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1)OC ZINC001545992912 1074444145 /nfs/dbraw/zinc/44/41/45/1074444145.db2.gz PAPHIMFCGICQBS-KBPBESRZSA-N 0 0 435.572 -0.395 20 0 IBADRN CC[C@H](Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1)OC ZINC001545992913 1074444011 /nfs/dbraw/zinc/44/40/11/1074444011.db2.gz PAPHIMFCGICQBS-KGLIPLIRSA-N 0 0 435.572 -0.395 20 0 IBADRN CC[C@@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1)OC ZINC001545992914 1074443996 /nfs/dbraw/zinc/44/39/96/1074443996.db2.gz PAPHIMFCGICQBS-UONOGXRCSA-N 0 0 435.572 -0.395 20 0 IBADRN CC[C@H](Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1CCS(=O)CC1)OC ZINC001545992915 1074444139 /nfs/dbraw/zinc/44/41/39/1074444139.db2.gz PAPHIMFCGICQBS-ZIAGYGMSSA-N 0 0 435.572 -0.395 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001545993717 1074444195 /nfs/dbraw/zinc/44/41/95/1074444195.db2.gz BZWUNXALEYJCAD-GOSISDBHSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)CNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001545993718 1074444087 /nfs/dbraw/zinc/44/40/87/1074444087.db2.gz BZWUNXALEYJCAD-SFHVURJKSA-N 0 0 442.490 -0.101 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001545994191 1074444606 /nfs/dbraw/zinc/44/46/06/1074444606.db2.gz QWNKERQGUWDWNZ-CABCVRRESA-N 0 0 434.898 -0.248 20 0 IBADRN COC(=O)[C@@H](O)C1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001545994192 1074444714 /nfs/dbraw/zinc/44/47/14/1074444714.db2.gz QWNKERQGUWDWNZ-GJZGRUSLSA-N 0 0 434.898 -0.248 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001545994193 1074444722 /nfs/dbraw/zinc/44/47/22/1074444722.db2.gz QWNKERQGUWDWNZ-HUUCEWRRSA-N 0 0 434.898 -0.248 20 0 IBADRN COC(=O)[C@H](O)C1CCN(C(=O)[C@H](CO)NS(=O)(=O)c2ccc(Cl)cc2)CC1 ZINC001545994194 1074444717 /nfs/dbraw/zinc/44/47/17/1074444717.db2.gz QWNKERQGUWDWNZ-LSDHHAIUSA-N 0 0 434.898 -0.248 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)C1 ZINC001546005016 1074447095 /nfs/dbraw/zinc/44/70/95/1074447095.db2.gz RPEKMGUKKMFCMO-KBPBESRZSA-N 0 0 432.543 -0.100 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)C1 ZINC001546005017 1074447066 /nfs/dbraw/zinc/44/70/66/1074447066.db2.gz RPEKMGUKKMFCMO-KGLIPLIRSA-N 0 0 432.543 -0.100 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)[C@@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)C1 ZINC001546005018 1074447103 /nfs/dbraw/zinc/44/71/03/1074447103.db2.gz RPEKMGUKKMFCMO-UONOGXRCSA-N 0 0 432.543 -0.100 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)[C@@H]2CCCN(CCNC(=O)OC(C)(C)C)C2=O)C1 ZINC001546005019 1074447062 /nfs/dbraw/zinc/44/70/62/1074447062.db2.gz RPEKMGUKKMFCMO-ZIAGYGMSSA-N 0 0 432.543 -0.100 20 0 IBADRN CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)C1 ZINC001546005058 1074447098 /nfs/dbraw/zinc/44/70/98/1074447098.db2.gz SNRPFJQMKKDDHV-GFCCVEGCSA-N 0 0 438.549 -0.731 20 0 IBADRN CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)C1 ZINC001546005059 1074447053 /nfs/dbraw/zinc/44/70/53/1074447053.db2.gz SNRPFJQMKKDDHV-LBPRGKRZSA-N 0 0 438.549 -0.731 20 0 IBADRN CCNc1ccc(S(=O)(=O)N2CCOCC2)cc1NC(=O)CN1CC(=O)N(C)C1=O ZINC001546017808 1074453616 /nfs/dbraw/zinc/45/36/16/1074453616.db2.gz DQSGRHQYDFPBCT-UHFFFAOYSA-N 0 0 439.494 -0.028 20 0 IBADRN CCC(O)(CC)Cn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)NC)CC1 ZINC001546023152 1074454232 /nfs/dbraw/zinc/45/42/32/1074454232.db2.gz XPLURTPGDXFONM-CYBMUJFWSA-N 0 0 431.563 -0.099 20 0 IBADRN CCC(O)(CC)Cn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN(C(=O)NC)CC1 ZINC001546023153 1074454245 /nfs/dbraw/zinc/45/42/45/1074454245.db2.gz XPLURTPGDXFONM-ZDUSSCGKSA-N 0 0 431.563 -0.099 20 0 IBADRN CCC(O)(CC)Cn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001546033347 1074460073 /nfs/dbraw/zinc/46/00/73/1074460073.db2.gz VBLMQHXYNYTOKA-HNNXBMFYSA-N 0 0 449.556 -0.047 20 0 IBADRN CCC(O)(CC)Cn1c([C@H]2CCC(=O)N2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001546033348 1074460043 /nfs/dbraw/zinc/46/00/43/1074460043.db2.gz VBLMQHXYNYTOKA-OAHLLOKOSA-N 0 0 449.556 -0.047 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC001546034304 1074460857 /nfs/dbraw/zinc/46/08/57/1074460857.db2.gz SSHSHDYLWLHTOY-GDBMZVCRSA-N 0 0 443.957 -0.074 20 0 IBADRN NC(=O)[C@@H](c1ccc(Cl)cc1)N1CCN(C(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC001546034305 1074460814 /nfs/dbraw/zinc/46/08/14/1074460814.db2.gz SSHSHDYLWLHTOY-GOEBONIOSA-N 0 0 443.957 -0.074 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)[C@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC001546034306 1074460825 /nfs/dbraw/zinc/46/08/25/1074460825.db2.gz SSHSHDYLWLHTOY-HOCLYGCPSA-N 0 0 443.957 -0.074 20 0 IBADRN NC(=O)[C@H](c1ccc(Cl)cc1)N1CCN(C(=O)[C@@H]2CCCN(S(N)(=O)=O)C2)CC1 ZINC001546034307 1074460878 /nfs/dbraw/zinc/46/08/78/1074460878.db2.gz SSHSHDYLWLHTOY-ZBFHGGJFSA-N 0 0 443.957 -0.074 20 0 IBADRN CC[C@]1(C)CC[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)O1 ZINC001546045440 1074464726 /nfs/dbraw/zinc/46/47/26/1074464726.db2.gz OXUBFZJZOFJZQP-LRAJWGHMSA-N 0 0 447.540 -0.089 20 0 IBADRN CC[C@]1(C)CC[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)O1 ZINC001546045441 1074464779 /nfs/dbraw/zinc/46/47/79/1074464779.db2.gz OXUBFZJZOFJZQP-PDSXEYIOSA-N 0 0 447.540 -0.089 20 0 IBADRN CC[C@]1(C)CC[C@@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)O1 ZINC001546045442 1074464790 /nfs/dbraw/zinc/46/47/90/1074464790.db2.gz OXUBFZJZOFJZQP-VFCRVFHLSA-N 0 0 447.540 -0.089 20 0 IBADRN CC[C@]1(C)CC[C@H](Cn2c(C(=O)NC(C)C)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)O1 ZINC001546045443 1074464752 /nfs/dbraw/zinc/46/47/52/1074464752.db2.gz OXUBFZJZOFJZQP-VTJXTGGHSA-N 0 0 447.540 -0.089 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC1CCN([C@H]2CCOC2=O)CC1 ZINC001546047140 1074465823 /nfs/dbraw/zinc/46/58/23/1074465823.db2.gz RQXAXPJDCLPGGK-DOMZBBRYSA-N 0 0 427.527 -0.400 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NC1CCN([C@@H]2CCOC2=O)CC1 ZINC001546047141 1074465752 /nfs/dbraw/zinc/46/57/52/1074465752.db2.gz RQXAXPJDCLPGGK-IUODEOHRSA-N 0 0 427.527 -0.400 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC1CCN([C@@H]2CCOC2=O)CC1 ZINC001546047142 1074465616 /nfs/dbraw/zinc/46/56/16/1074465616.db2.gz RQXAXPJDCLPGGK-SWLSCSKDSA-N 0 0 427.527 -0.400 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NC1CCN([C@H]2CCOC2=O)CC1 ZINC001546047143 1074465712 /nfs/dbraw/zinc/46/57/12/1074465712.db2.gz RQXAXPJDCLPGGK-WFASDCNBSA-N 0 0 427.527 -0.400 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)NC1CCN([C@@H]2CCOC2=O)CC1 ZINC001546049866 1074467010 /nfs/dbraw/zinc/46/70/10/1074467010.db2.gz JQWUNMGHXIYHSJ-DLBZAZTESA-N 0 0 434.468 -0.376 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)NC1CCN([C@@H]2CCOC2=O)CC1 ZINC001546049867 1074466976 /nfs/dbraw/zinc/46/69/76/1074466976.db2.gz JQWUNMGHXIYHSJ-IAGOWNOFSA-N 0 0 434.468 -0.376 20 0 IBADRN NC(=O)C[C@H](NC(=O)Cc1cccc(F)c1)C(=O)NC1CCN([C@H]2CCOC2=O)CC1 ZINC001546049868 1074466937 /nfs/dbraw/zinc/46/69/37/1074466937.db2.gz JQWUNMGHXIYHSJ-IRXDYDNUSA-N 0 0 434.468 -0.376 20 0 IBADRN NC(=O)C[C@@H](NC(=O)Cc1cccc(F)c1)C(=O)NC1CCN([C@H]2CCOC2=O)CC1 ZINC001546049869 1074467020 /nfs/dbraw/zinc/46/70/20/1074467020.db2.gz JQWUNMGHXIYHSJ-SJORKVTESA-N 0 0 434.468 -0.376 20 0 IBADRN O=C(NC1CCN([C@H]2CCOC2=O)CC1)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001546050150 1074466983 /nfs/dbraw/zinc/46/69/83/1074466983.db2.gz PFTKRZIBEPTFGE-CVEARBPZSA-N 0 0 445.925 -0.125 20 0 IBADRN O=C(NC1CCN([C@H]2CCOC2=O)CC1)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001546050151 1074466875 /nfs/dbraw/zinc/46/68/75/1074466875.db2.gz PFTKRZIBEPTFGE-HOTGVXAUSA-N 0 0 445.925 -0.125 20 0 IBADRN O=C(NC1CCN([C@@H]2CCOC2=O)CC1)[C@@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001546050152 1074466996 /nfs/dbraw/zinc/46/69/96/1074466996.db2.gz PFTKRZIBEPTFGE-HZPDHXFCSA-N 0 0 445.925 -0.125 20 0 IBADRN O=C(NC1CCN([C@@H]2CCOC2=O)CC1)[C@H](CO)NS(=O)(=O)c1ccc(Cl)cc1 ZINC001546050153 1074466927 /nfs/dbraw/zinc/46/69/27/1074466927.db2.gz PFTKRZIBEPTFGE-JKSUJKDBSA-N 0 0 445.925 -0.125 20 0 IBADRN CC[C@]1(C)CN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001546081722 1074492927 /nfs/dbraw/zinc/49/29/27/1074492927.db2.gz VONZAZSWCIGIMC-MSOLQXFVSA-N 0 0 429.543 -0.029 20 0 IBADRN CC[C@]1(C)CN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001546081723 1074492917 /nfs/dbraw/zinc/49/29/17/1074492917.db2.gz VONZAZSWCIGIMC-QZTJIDSGSA-N 0 0 429.543 -0.029 20 0 IBADRN CC[C@@]1(C)CN(c2nnc(C(=O)NC(C)C)n2C[C@@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001546081724 1074492921 /nfs/dbraw/zinc/49/29/21/1074492921.db2.gz VONZAZSWCIGIMC-ROUUACIJSA-N 0 0 429.543 -0.029 20 0 IBADRN CC[C@@]1(C)CN(c2nnc(C(=O)NC(C)C)n2C[C@]2(O)CCS(=O)(=O)C2)CCO1 ZINC001546081725 1074492925 /nfs/dbraw/zinc/49/29/25/1074492925.db2.gz VONZAZSWCIGIMC-ZWKOTPCHSA-N 0 0 429.543 -0.029 20 0 IBADRN NS(=O)(=O)N1CCC[C@H](C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC001546090511 1074497570 /nfs/dbraw/zinc/49/75/70/1074497570.db2.gz ULSXWEAVNWEIEQ-AWEZNQCLSA-N 0 0 428.536 -0.495 20 0 IBADRN NS(=O)(=O)N1CCC[C@@H](C(=O)N2CC(S(=O)(=O)N3CCc4ccccc43)C2)C1 ZINC001546090512 1074498349 /nfs/dbraw/zinc/49/83/49/1074498349.db2.gz ULSXWEAVNWEIEQ-CQSZACIVSA-N 0 0 428.536 -0.495 20 0 IBADRN CCOC(=O)[C@H]1CCCN(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001546103029 1074500894 /nfs/dbraw/zinc/50/08/94/1074500894.db2.gz XAUFZFFTZXGKNR-DLBZAZTESA-N 0 0 431.555 -0.086 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(CC(=O)N2CCC[C@@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001546103030 1074500801 /nfs/dbraw/zinc/50/08/01/1074500801.db2.gz XAUFZFFTZXGKNR-IAGOWNOFSA-N 0 0 431.555 -0.086 20 0 IBADRN CCOC(=O)[C@H]1CCCN(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001546103031 1074500777 /nfs/dbraw/zinc/50/07/77/1074500777.db2.gz XAUFZFFTZXGKNR-IRXDYDNUSA-N 0 0 431.555 -0.086 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(CC(=O)N2CCC[C@H](S(=O)(=O)N3CCOCC3)C2)C1 ZINC001546103032 1074501214 /nfs/dbraw/zinc/50/12/14/1074501214.db2.gz XAUFZFFTZXGKNR-SJORKVTESA-N 0 0 431.555 -0.086 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001546103047 1074501232 /nfs/dbraw/zinc/50/12/32/1074501232.db2.gz XUKPBTHIGVZOMO-JHJVBQTASA-N 0 0 448.485 -0.138 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001546103048 1074501284 /nfs/dbraw/zinc/50/12/84/1074501284.db2.gz XUKPBTHIGVZOMO-UPJWGTAASA-N 0 0 448.485 -0.138 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001546103049 1074501303 /nfs/dbraw/zinc/50/13/03/1074501303.db2.gz XUKPBTHIGVZOMO-XQQFMLRXSA-N 0 0 448.485 -0.138 20 0 IBADRN O=C([C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001546103050 1074501331 /nfs/dbraw/zinc/50/13/31/1074501331.db2.gz XUKPBTHIGVZOMO-YNEHKIRRSA-N 0 0 448.485 -0.138 20 0 IBADRN CCC1(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)CC1 ZINC001546125886 1074512948 /nfs/dbraw/zinc/51/29/48/1074512948.db2.gz NBODFMMJIUKSEM-CHWSQXEVSA-N 0 0 439.542 -0.775 20 0 IBADRN CCC1(Cn2c([C@@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)CC1 ZINC001546125887 1074512960 /nfs/dbraw/zinc/51/29/60/1074512960.db2.gz NBODFMMJIUKSEM-OLZOCXBDSA-N 0 0 439.542 -0.775 20 0 IBADRN CCC1(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)C(=O)NC[C@@H]3C2)CC1 ZINC001546125888 1074513153 /nfs/dbraw/zinc/51/31/53/1074513153.db2.gz NBODFMMJIUKSEM-QWHCGFSZSA-N 0 0 439.542 -0.775 20 0 IBADRN CCC1(Cn2c([C@H](C)NS(C)(=O)=O)nnc2N2CCN3C(=O)C(=O)NC[C@H]3C2)CC1 ZINC001546125889 1074512993 /nfs/dbraw/zinc/51/29/93/1074512993.db2.gz NBODFMMJIUKSEM-STQMWFEESA-N 0 0 439.542 -0.775 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001546158023 1074553614 /nfs/dbraw/zinc/55/36/14/1074553614.db2.gz NJGCIZNTFMPRCT-CRAIPNDOSA-N 0 0 444.531 -0.152 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001546158024 1074553536 /nfs/dbraw/zinc/55/35/36/1074553536.db2.gz NJGCIZNTFMPRCT-MAUKXSAKSA-N 0 0 444.531 -0.152 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001546158025 1074553601 /nfs/dbraw/zinc/55/36/01/1074553601.db2.gz NJGCIZNTFMPRCT-QAPCUYQASA-N 0 0 444.531 -0.152 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001546158026 1074553471 /nfs/dbraw/zinc/55/34/71/1074553471.db2.gz NJGCIZNTFMPRCT-YJBOKZPZSA-N 0 0 444.531 -0.152 20 0 IBADRN CN1C[C@@H](NC(=O)CCn2c3ccc(S(=O)(=O)N4CCCC4)cc3oc2=O)C(=O)N1 ZINC001546164114 1074558134 /nfs/dbraw/zinc/55/81/34/1074558134.db2.gz ACWCGTUYNVGQTN-CYBMUJFWSA-N 0 0 437.478 -0.770 20 0 IBADRN CN1C[C@H](NC(=O)CCn2c3ccc(S(=O)(=O)N4CCCC4)cc3oc2=O)C(=O)N1 ZINC001546164115 1074558193 /nfs/dbraw/zinc/55/81/93/1074558193.db2.gz ACWCGTUYNVGQTN-ZDUSSCGKSA-N 0 0 437.478 -0.770 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NCC(=O)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001546182772 1074587737 /nfs/dbraw/zinc/58/77/37/1074587737.db2.gz JPKLEGFMRAVQLM-ZDUSSCGKSA-N 0 0 434.888 -0.704 20 0 IBADRN CCOC(=O)C1CCN(C(=O)CN(C)C(=O)CNC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC001546201646 1074619903 /nfs/dbraw/zinc/61/99/03/1074619903.db2.gz HLSXYYBGYKLDKU-UHFFFAOYSA-N 0 0 442.513 -0.113 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@H]2CCCNC2=O)cc1)S(C)(=O)=O ZINC001546210200 1074641337 /nfs/dbraw/zinc/64/13/37/1074641337.db2.gz MMMLYMIQAWSCKQ-AWEZNQCLSA-N 0 0 433.508 -0.567 20 0 IBADRN CS(=O)(=O)N(c1ccc(OCCNC(=O)[C@@H]2CCCNC2=O)cc1)S(C)(=O)=O ZINC001546210205 1074641815 /nfs/dbraw/zinc/64/18/15/1074641815.db2.gz MMMLYMIQAWSCKQ-CQSZACIVSA-N 0 0 433.508 -0.567 20 0 IBADRN C=CC(=O)N(C)CC(=O)NCCOc1ccc(N(S(C)(=O)=O)S(C)(=O)=O)cc1 ZINC001546210791 1074641828 /nfs/dbraw/zinc/64/18/28/1074641828.db2.gz UUODSCFKGGCVKK-UHFFFAOYSA-N 0 0 433.508 -0.448 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001546233109 1074664287 /nfs/dbraw/zinc/66/42/87/1074664287.db2.gz KJHMEEFYQUYKIW-UHFFFAOYSA-N 0 0 430.552 -0.732 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2C[C@H](O)C[C@H]2C(=O)OC)c1 ZINC001546238308 1074665277 /nfs/dbraw/zinc/66/52/77/1074665277.db2.gz IMTDPNWZHBEVKE-ZBFHGGJFSA-N 0 0 441.506 -0.418 20 0 IBADRN COCC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001546241306 1074667655 /nfs/dbraw/zinc/66/76/55/1074667655.db2.gz AJPOTUQUJKSRGD-KKUMJFAQSA-N 0 0 435.543 -0.470 20 0 IBADRN COCC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001546241307 1074667773 /nfs/dbraw/zinc/66/77/73/1074667773.db2.gz AJPOTUQUJKSRGD-RRFJBIMHSA-N 0 0 435.543 -0.470 20 0 IBADRN COCC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001546241308 1074667776 /nfs/dbraw/zinc/66/77/76/1074667776.db2.gz AJPOTUQUJKSRGD-SOUVJXGZSA-N 0 0 435.543 -0.470 20 0 IBADRN COCC[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001546241309 1074667743 /nfs/dbraw/zinc/66/77/43/1074667743.db2.gz AJPOTUQUJKSRGD-ZNMIVQPWSA-N 0 0 435.543 -0.470 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C1=NN(c2ccc(F)cc2)C(=O)CC1 ZINC001546241619 1074667706 /nfs/dbraw/zinc/66/77/06/1074667706.db2.gz JEVITIOHPJZZCY-DLBZAZTESA-N 0 0 438.481 -0.078 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C1=NN(c2ccc(F)cc2)C(=O)CC1 ZINC001546241620 1074667784 /nfs/dbraw/zinc/66/77/84/1074667784.db2.gz JEVITIOHPJZZCY-IAGOWNOFSA-N 0 0 438.481 -0.078 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C1=NN(c2ccc(F)cc2)C(=O)CC1 ZINC001546241621 1074667641 /nfs/dbraw/zinc/66/76/41/1074667641.db2.gz JEVITIOHPJZZCY-IRXDYDNUSA-N 0 0 438.481 -0.078 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C1=NN(c2ccc(F)cc2)C(=O)CC1 ZINC001546241622 1074667748 /nfs/dbraw/zinc/66/77/48/1074667748.db2.gz JEVITIOHPJZZCY-SJORKVTESA-N 0 0 438.481 -0.078 20 0 IBADRN CS(=O)(=O)C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccccc1 ZINC001546241850 1074668143 /nfs/dbraw/zinc/66/81/43/1074668143.db2.gz OIPQSDHRVOMZHS-BBWFWOEESA-N 0 0 430.548 -0.571 20 0 IBADRN CS(=O)(=O)C[C@H](C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccccc1 ZINC001546241852 1074668136 /nfs/dbraw/zinc/66/81/36/1074668136.db2.gz OIPQSDHRVOMZHS-GVDBMIGSSA-N 0 0 430.548 -0.571 20 0 IBADRN CS(=O)(=O)C[C@H](C(=O)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccccc1 ZINC001546241854 1074668149 /nfs/dbraw/zinc/66/81/49/1074668149.db2.gz OIPQSDHRVOMZHS-ULQDDVLXSA-N 0 0 430.548 -0.571 20 0 IBADRN CS(=O)(=O)C[C@H](C(=O)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccccc1 ZINC001546241856 1074668052 /nfs/dbraw/zinc/66/80/52/1074668052.db2.gz OIPQSDHRVOMZHS-YESZJQIVSA-N 0 0 430.548 -0.571 20 0 IBADRN O=C(COc1ccc(Br)cn1)N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001546241980 1074668058 /nfs/dbraw/zinc/66/80/58/1074668058.db2.gz PQJOUAKEAZOCFA-CHWSQXEVSA-N 0 0 434.312 -0.163 20 0 IBADRN O=C(COc1ccc(Br)cn1)N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001546241986 1074668120 /nfs/dbraw/zinc/66/81/20/1074668120.db2.gz PQJOUAKEAZOCFA-OLZOCXBDSA-N 0 0 434.312 -0.163 20 0 IBADRN O=C(COc1ccc(Br)cn1)N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC001546241987 1074668024 /nfs/dbraw/zinc/66/80/24/1074668024.db2.gz PQJOUAKEAZOCFA-QWHCGFSZSA-N 0 0 434.312 -0.163 20 0 IBADRN O=C(COc1ccc(Br)cn1)N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC001546241988 1074668080 /nfs/dbraw/zinc/66/80/80/1074668080.db2.gz PQJOUAKEAZOCFA-STQMWFEESA-N 0 0 434.312 -0.163 20 0 IBADRN O=C(CNC(=O)[C@H](CO)NC(=O)c1cccc(F)n1)N1CCN(Cc2ccccc2)CC1 ZINC001546248731 1074669688 /nfs/dbraw/zinc/66/96/88/1074669688.db2.gz KZVUOUHWUFFOFB-SFHVURJKSA-N 0 0 443.479 -0.228 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)Cn2cccc(C(=O)OC)c2=O)CC1 ZINC001546256033 1074671671 /nfs/dbraw/zinc/67/16/71/1074671671.db2.gz GMEVEFPBMYKMPA-UHFFFAOYSA-N 0 0 448.501 -0.108 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001546256446 1074671658 /nfs/dbraw/zinc/67/16/58/1074671658.db2.gz YOMCLSOOJADFJD-DOTOQJQBSA-N 0 0 446.533 -0.327 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001546256447 1074671600 /nfs/dbraw/zinc/67/16/00/1074671600.db2.gz YOMCLSOOJADFJD-NVXWUHKLSA-N 0 0 446.533 -0.327 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@@H]2C[C@H](O)CN2c2ccncn2)CC1 ZINC001546256448 1074671666 /nfs/dbraw/zinc/67/16/66/1074671666.db2.gz YOMCLSOOJADFJD-RDJZCZTQSA-N 0 0 446.533 -0.327 20 0 IBADRN CNS(=O)(=O)c1ccccc1N1CCN(C(=O)[C@@H]2C[C@@H](O)CN2c2ccncn2)CC1 ZINC001546256449 1074671637 /nfs/dbraw/zinc/67/16/37/1074671637.db2.gz YOMCLSOOJADFJD-WBVHZDCISA-N 0 0 446.533 -0.327 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001546257197 1074671640 /nfs/dbraw/zinc/67/16/40/1074671640.db2.gz YPJPJWMXIBTWTH-DLBZAZTESA-N 0 0 440.541 -0.480 20 0 IBADRN COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001546257198 1074672343 /nfs/dbraw/zinc/67/23/43/1074672343.db2.gz YPJPJWMXIBTWTH-IRXDYDNUSA-N 0 0 440.541 -0.480 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C[C@@H]1C ZINC001546259290 1074672230 /nfs/dbraw/zinc/67/22/30/1074672230.db2.gz NAWUSZWXPYGAKL-GXTWGEPZSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C[C@@H]1C ZINC001546259291 1074672133 /nfs/dbraw/zinc/67/21/33/1074672133.db2.gz NAWUSZWXPYGAKL-JSGCOSHPSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C[C@H]1C ZINC001546259292 1074672181 /nfs/dbraw/zinc/67/21/81/1074672181.db2.gz NAWUSZWXPYGAKL-OCCSQVGLSA-N 0 0 429.543 -0.200 20 0 IBADRN COC(=O)CCN1CCN(C(=O)[C@@H](C)NS(=O)(=O)c2c(C)nn(C)c2C)C[C@H]1C ZINC001546259293 1074672294 /nfs/dbraw/zinc/67/22/94/1074672294.db2.gz NAWUSZWXPYGAKL-TZMCWYRMSA-N 0 0 429.543 -0.200 20 0 IBADRN O=C(CNC(=O)c1ccc(I)cc1-n1cnnn1)N1CCOCC1 ZINC001546274245 1074677889 /nfs/dbraw/zinc/67/78/89/1074677889.db2.gz IRKIUDZBSFJPGW-UHFFFAOYSA-N 0 0 442.217 -0.145 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@H](CC(=O)NCC(=O)N1CCOCC1)CS2(=O)=O ZINC001546274508 1074677968 /nfs/dbraw/zinc/67/79/68/1074677968.db2.gz NSPMIWHVISYJCV-KFWWJZLASA-N 0 0 445.538 -0.368 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@H](CC(=O)NCC(=O)N3CCOCC3)CS(=O)(=O)[C@@H]2C1 ZINC001546274509 1074678006 /nfs/dbraw/zinc/67/80/06/1074678006.db2.gz NSPMIWHVISYJCV-RBSFLKMASA-N 0 0 445.538 -0.368 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@@H]2[C@@H](CC(=O)NCC(=O)N3CCOCC3)CS(=O)(=O)[C@@H]2C1 ZINC001546274510 1074677965 /nfs/dbraw/zinc/67/79/65/1074677965.db2.gz NSPMIWHVISYJCV-RRFJBIMHSA-N 0 0 445.538 -0.368 20 0 IBADRN CC(C)(C)OC(=O)N1C[C@H]2[C@H](C1)[C@@H](CC(=O)NCC(=O)N1CCOCC1)CS2(=O)=O ZINC001546274511 1074677931 /nfs/dbraw/zinc/67/79/31/1074677931.db2.gz NSPMIWHVISYJCV-ZNMIVQPWSA-N 0 0 445.538 -0.368 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NCCCNC(=O)c1ccc(Br)cc1 ZINC001546280894 1074700330 /nfs/dbraw/zinc/70/03/30/1074700330.db2.gz KYKBZBHDAZSCIG-NSHDSACASA-N 0 0 438.286 -0.123 20 0 IBADRN CCc1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCS(=O)(=O)C(C)(C)C ZINC001546519862 1074410524 /nfs/dbraw/zinc/41/05/24/1074410524.db2.gz GZYRTEIEIKQLBG-UHFFFAOYSA-N 0 0 440.570 -0.019 20 0 IBADRN CCc1nnc(N2CCN(c3ccc(C(N)=O)cn3)CC2)n1CCNS(=O)(=O)CC ZINC001546529169 1074551680 /nfs/dbraw/zinc/55/16/80/1074551680.db2.gz MMHMHBQUKVSXCE-UHFFFAOYSA-N 0 0 436.542 -0.400 20 0 IBADRN CCc1nnc(N2CCN(CC(=O)N(CC)CC)CC2)n1CCN1CCCS1(=O)=O ZINC001546534788 1073540878 /nfs/dbraw/zinc/54/08/78/1073540878.db2.gz OEWHVYTWAXAJOY-UHFFFAOYSA-N 0 0 441.602 -0.134 20 0 IBADRN CC(C)(C)OC(=O)N[C@@H](CCS(C)(=O)=O)C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC001625810734 1070827230 /nfs/dbraw/zinc/82/72/30/1070827230.db2.gz DXDHIKWUHXWQQR-LBPRGKRZSA-N 0 0 427.545 -0.678 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001625818040 1070829962 /nfs/dbraw/zinc/82/99/62/1070829962.db2.gz OIPDPPSKUKYAPG-UHFFFAOYSA-N 0 0 433.552 -0.110 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C[C@@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001625818377 1070829864 /nfs/dbraw/zinc/82/98/64/1070829864.db2.gz SRYICQQFYNHTPK-CYBMUJFWSA-N 0 0 441.572 -0.145 20 0 IBADRN CC(C)NS(=O)(=O)CCNC(=O)C[C@H]1CN(C(=O)OC(C)(C)C)CCS1(=O)=O ZINC001625818378 1070830102 /nfs/dbraw/zinc/83/01/02/1070830102.db2.gz SRYICQQFYNHTPK-ZDUSSCGKSA-N 0 0 441.572 -0.145 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)C1=NN([C@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001625829556 1070841037 /nfs/dbraw/zinc/84/10/37/1070841037.db2.gz AUTRQWWWHVUYPS-HNNXBMFYSA-N 0 0 448.501 -0.311 20 0 IBADRN O=C(NCCN1Cc2ccccc2OCC1=O)C1=NN([C@@H]2CCS(=O)(=O)C2)C(=O)CC1 ZINC001625829557 1070841208 /nfs/dbraw/zinc/84/12/08/1070841208.db2.gz AUTRQWWWHVUYPS-OAHLLOKOSA-N 0 0 448.501 -0.311 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2C[C@@H]3CCCCN3C2=O)s1 ZINC001625870363 1070979166 /nfs/dbraw/zinc/97/91/66/1070979166.db2.gz VISMTVZVNBTQMS-GXTWGEPZSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2C[C@@H]3CCCCN3C2=O)s1 ZINC001625870369 1070979117 /nfs/dbraw/zinc/97/91/17/1070979117.db2.gz VISMTVZVNBTQMS-JSGCOSHPSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@H]2C[C@H]3CCCCN3C2=O)s1 ZINC001625870370 1070979269 /nfs/dbraw/zinc/97/92/69/1070979269.db2.gz VISMTVZVNBTQMS-OCCSQVGLSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)NCc1ccc(S(=O)(=O)NCC(=O)N[C@@H]2C[C@H]3CCCCN3C2=O)s1 ZINC001625870371 1070980029 /nfs/dbraw/zinc/98/00/29/1070980029.db2.gz VISMTVZVNBTQMS-TZMCWYRMSA-N 0 0 428.536 -0.068 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N[C@H](CO)CN2CCOCC2)cc1 ZINC001625874194 1071061943 /nfs/dbraw/zinc/06/19/43/1071061943.db2.gz IMILYDQLJXFRGC-INIZCTEOSA-N 0 0 428.511 -0.877 20 0 IBADRN CC(=O)Nc1ccc(S(=O)(=O)NCCC(=O)N[C@@H](CO)CN2CCOCC2)cc1 ZINC001625874195 1071061737 /nfs/dbraw/zinc/06/17/37/1071061737.db2.gz IMILYDQLJXFRGC-MRXNPFEDSA-N 0 0 428.511 -0.877 20 0 IBADRN COCC(=O)N1CCN(C(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001625879106 1071165943 /nfs/dbraw/zinc/16/59/43/1071165943.db2.gz BYWAAXJRHCJPSQ-AWEZNQCLSA-N 0 0 433.527 -0.272 20 0 IBADRN COCC(=O)N1CCN(C(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001625879107 1071165957 /nfs/dbraw/zinc/16/59/57/1071165957.db2.gz BYWAAXJRHCJPSQ-CQSZACIVSA-N 0 0 433.527 -0.272 20 0 IBADRN CCN1C(=O)CO[C@H](C(=O)NCc2ccc(OCC(N)=O)c(OC)c2)[C@H]1c1nccn1C ZINC001625879783 1071228983 /nfs/dbraw/zinc/22/89/83/1071228983.db2.gz QZDKYCVTHSXGSM-OALUTQOASA-N 0 0 445.476 -0.102 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001625892560 1071342354 /nfs/dbraw/zinc/34/23/54/1071342354.db2.gz GWEACEJNLNXJJB-CHWSQXEVSA-N 0 0 431.511 -0.880 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001625892561 1071342298 /nfs/dbraw/zinc/34/22/98/1071342298.db2.gz GWEACEJNLNXJJB-OLZOCXBDSA-N 0 0 431.511 -0.880 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001625892562 1071342076 /nfs/dbraw/zinc/34/20/76/1071342076.db2.gz GWEACEJNLNXJJB-QWHCGFSZSA-N 0 0 431.511 -0.880 20 0 IBADRN CC(C)(C)OC(=O)N1CC(O)(CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001625892563 1071342147 /nfs/dbraw/zinc/34/21/47/1071342147.db2.gz GWEACEJNLNXJJB-STQMWFEESA-N 0 0 431.511 -0.880 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CN2CCC[C@H](C(=O)OC)C2=O)cc1 ZINC001625898994 1071371955 /nfs/dbraw/zinc/37/19/55/1071371955.db2.gz ANISIUYHXDPVKZ-INIZCTEOSA-N 0 0 441.506 -0.361 20 0 IBADRN COCCNS(=O)(=O)c1ccc(CNC(=O)CN2CCC[C@@H](C(=O)OC)C2=O)cc1 ZINC001625898995 1071372087 /nfs/dbraw/zinc/37/20/87/1071372087.db2.gz ANISIUYHXDPVKZ-MRXNPFEDSA-N 0 0 441.506 -0.361 20 0 IBADRN CN1C(=O)N[C@](C)(c2ccc(NC(=O)NCCS(=O)(=O)N3CCOCC3)cc2)C1=O ZINC001625911667 1071449903 /nfs/dbraw/zinc/44/99/03/1071449903.db2.gz BDZRYIQCVMENCA-GOSISDBHSA-N 0 0 439.494 -0.133 20 0 IBADRN CN1C(=O)N[C@@](C)(c2ccc(NC(=O)NCCS(=O)(=O)N3CCOCC3)cc2)C1=O ZINC001625911670 1071450185 /nfs/dbraw/zinc/45/01/85/1071450185.db2.gz BDZRYIQCVMENCA-SFHVURJKSA-N 0 0 439.494 -0.133 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc([C@]3(C)NC(=O)N(C)C3=O)cc2)CC1 ZINC001625913857 1071449991 /nfs/dbraw/zinc/44/99/91/1071449991.db2.gz VYTIXYRDMLQIJC-NRFANRHFSA-N 0 0 446.508 -0.005 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)Nc2ccc([C@@]3(C)NC(=O)N(C)C3=O)cc2)CC1 ZINC001625913858 1071450098 /nfs/dbraw/zinc/45/00/98/1071450098.db2.gz VYTIXYRDMLQIJC-OAQYLSRUSA-N 0 0 446.508 -0.005 20 0 IBADRN CS(=O)(=O)CC1(C(=O)NCCNS(=O)(=O)c2ccc(F)cc2Cl)COC1 ZINC001625928724 1072044304 /nfs/dbraw/zinc/04/43/04/1072044304.db2.gz RMFXQXPKVSUXMW-UHFFFAOYSA-N 0 0 428.891 -0.065 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC001625934059 1072445724 /nfs/dbraw/zinc/44/57/24/1072445724.db2.gz FWQGBPCJJADVPB-KGLIPLIRSA-N 0 0 432.460 -0.512 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCN([C@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC001625934060 1072445713 /nfs/dbraw/zinc/44/57/13/1072445713.db2.gz FWQGBPCJJADVPB-ZIAGYGMSSA-N 0 0 432.460 -0.512 20 0 IBADRN COc1ccc(CCC(=O)N2CCN(C(=O)[C@@H](C)NC(=O)Cn3cnnn3)CC2)cc1 ZINC001625936648 1072524898 /nfs/dbraw/zinc/52/48/98/1072524898.db2.gz ANLQPZSVVRLLEH-OAHLLOKOSA-N 0 0 429.481 -0.510 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NC[C@H]1COCO1 ZINC001625951385 1072781820 /nfs/dbraw/zinc/78/18/20/1072781820.db2.gz ZJRRRFKZUMSRSP-GXTWGEPZSA-N 0 0 440.927 -0.089 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NC[C@H]1COCO1 ZINC001625951386 1072781846 /nfs/dbraw/zinc/78/18/46/1072781846.db2.gz ZJRRRFKZUMSRSP-JSGCOSHPSA-N 0 0 440.927 -0.089 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NC[C@@H]1COCO1 ZINC001625951387 1072781861 /nfs/dbraw/zinc/78/18/61/1072781861.db2.gz ZJRRRFKZUMSRSP-OCCSQVGLSA-N 0 0 440.927 -0.089 20 0 IBADRN CS(=O)(=O)CC[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NC[C@@H]1COCO1 ZINC001625951388 1072781960 /nfs/dbraw/zinc/78/19/60/1072781960.db2.gz ZJRRRFKZUMSRSP-TZMCWYRMSA-N 0 0 440.927 -0.089 20 0 IBADRN CCN(CC(=O)Nc1ccc(N2CCOCC2)cc1)C(=O)CNS(=O)(=O)N(C)C ZINC001625954687 1072860522 /nfs/dbraw/zinc/86/05/22/1072860522.db2.gz DNFXNWQEDMAVOB-UHFFFAOYSA-N 0 0 427.527 -0.294 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC[C@H]1COCO1 ZINC001625954759 1072860755 /nfs/dbraw/zinc/86/07/55/1072860755.db2.gz FHTBDRDOBNTLOU-MOVUHUBBSA-N 0 0 432.520 -0.131 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC[C@@H]1COCO1 ZINC001625954760 1072860493 /nfs/dbraw/zinc/86/04/93/1072860493.db2.gz FHTBDRDOBNTLOU-SELBCVKXSA-N 0 0 432.520 -0.131 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C\c1ccccc1)C(=O)NC[C@H]1COCO1 ZINC001625954761 1072860554 /nfs/dbraw/zinc/86/05/54/1072860554.db2.gz FHTBDRDOBNTLOU-WDSKNZHCSA-N 0 0 432.520 -0.131 20 0 IBADRN CS(=O)(=O)CC[C@H](NS(=O)(=O)/C=C/c1ccccc1)C(=O)NC[C@@H]1COCO1 ZINC001625954762 1072860605 /nfs/dbraw/zinc/86/06/05/1072860605.db2.gz FHTBDRDOBNTLOU-ZHANPKHBSA-N 0 0 432.520 -0.131 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@H]3COCO3)CC2)cc1 ZINC001625955307 1072862161 /nfs/dbraw/zinc/86/21/61/1072862161.db2.gz SKBSJHKMQNHCRW-INIZCTEOSA-N 0 0 441.506 -0.203 20 0 IBADRN COc1ccc(S(=O)(=O)N2CCN(C(=O)CCC(=O)NC[C@@H]3COCO3)CC2)cc1 ZINC001625955408 1072862201 /nfs/dbraw/zinc/86/22/01/1072862201.db2.gz SKBSJHKMQNHCRW-MRXNPFEDSA-N 0 0 441.506 -0.203 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC001625958182 1072869151 /nfs/dbraw/zinc/86/91/51/1072869151.db2.gz YNWZNURPKMPMFS-GFCCVEGCSA-N 0 0 432.549 -0.668 20 0 IBADRN Cn1cc(S(N)(=O)=O)cc1C(=O)C(=O)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC001625958183 1072869161 /nfs/dbraw/zinc/86/91/61/1072869161.db2.gz YNWZNURPKMPMFS-LBPRGKRZSA-N 0 0 432.549 -0.668 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001625965023 1072873424 /nfs/dbraw/zinc/87/34/24/1072873424.db2.gz RGCYVTAOHRXWAR-GMXABZIVSA-N 0 0 442.519 -0.314 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@H](C)N1C(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001625965024 1072873070 /nfs/dbraw/zinc/87/30/70/1072873070.db2.gz RGCYVTAOHRXWAR-IFUGULHKSA-N 0 0 442.519 -0.314 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)[C@@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001625965025 1072873113 /nfs/dbraw/zinc/87/31/13/1072873113.db2.gz RGCYVTAOHRXWAR-ITDIGPHOSA-N 0 0 442.519 -0.314 20 0 IBADRN COC(=O)CCNC(=O)[C@@H]1CS[C@@H](C)N1C(=O)[C@H]1Nc2ccccc2S(=O)(=O)N1 ZINC001625965026 1072873167 /nfs/dbraw/zinc/87/31/67/1072873167.db2.gz RGCYVTAOHRXWAR-WBIUFABUSA-N 0 0 442.519 -0.314 20 0 IBADRN CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCN(C2=NS(=O)(=O)c3ccccc32)CC1 ZINC001625969778 1072875095 /nfs/dbraw/zinc/87/50/95/1072875095.db2.gz KHHYWZBDHOROBW-UHFFFAOYSA-N 0 0 437.503 -0.185 20 0 IBADRN O=C(NCCN1CCCS1(=O)=O)c1ccc(S(=O)(=O)N2CCC(O)CC2)cc1 ZINC001625971817 1072877678 /nfs/dbraw/zinc/87/76/78/1072877678.db2.gz KXUPJRUAYOMBQW-UHFFFAOYSA-N 0 0 431.536 -0.403 20 0 IBADRN C[C@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H]1C(=O)N=S(C)(C)=O ZINC001625972470 1072878267 /nfs/dbraw/zinc/87/82/67/1072878267.db2.gz OATMYYFHCMQCMB-SJCJKPOMSA-N 0 0 428.511 -0.153 20 0 IBADRN C[C@@](O)(CN1C(=O)NC2(CCCC2)C1=O)C(=O)N1CCC[C@H]1C(=O)N=S(C)(C)=O ZINC001625972471 1072878382 /nfs/dbraw/zinc/87/83/82/1072878382.db2.gz OATMYYFHCMQCMB-YVEFUNNKSA-N 0 0 428.511 -0.153 20 0 IBADRN Cn1cc(S(=O)(=O)N2CCOCC2)cc1C(=O)N1CCC[C@H]1C(=O)N=S(C)(C)=O ZINC001625972953 1072878292 /nfs/dbraw/zinc/87/82/92/1072878292.db2.gz ZYRBZTBEAWILBZ-AWEZNQCLSA-N 0 0 446.551 -0.095 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001625990909 1072995844 /nfs/dbraw/zinc/99/58/44/1072995844.db2.gz HWNDMJVEKRTYIV-UHFFFAOYSA-N 0 0 442.519 -0.016 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)/C=C/C(=O)N(C)C)CC1 ZINC001625991306 1072995721 /nfs/dbraw/zinc/99/57/21/1072995721.db2.gz OBDSRAWUYHGDQU-BQYQJAHWSA-N 0 0 443.547 -0.124 20 0 IBADRN Cc1ccc(S(C)(=O)=O)cc1S(=O)(=O)N1CCN(C(=O)/C=C\C(=O)N(C)C)CC1 ZINC001625991307 1072995779 /nfs/dbraw/zinc/99/57/79/1072995779.db2.gz OBDSRAWUYHGDQU-FPLPWBNLSA-N 0 0 443.547 -0.124 20 0 IBADRN CS(=O)(=O)c1cccc(S(=O)(=O)NCC(=O)N2CCN(CC(F)F)CC2)c1 ZINC001626002637 1072184278 /nfs/dbraw/zinc/18/42/78/1072184278.db2.gz QANCXMPLFOIFEO-UHFFFAOYSA-N 0 0 425.479 -0.222 20 0 IBADRN CC(=O)NC(C)(C)C(=O)NC(C)(C)C(=O)NCc1ccc(C(=O)N2CCNC(=O)C2)cc1 ZINC001626013203 1072636084 /nfs/dbraw/zinc/63/60/84/1072636084.db2.gz GXGWLFKNOCIVAI-UHFFFAOYSA-N 0 0 445.520 -0.316 20 0 IBADRN COCCCn1nc(C(=O)NCc2ccc(C(=O)N3CCNC(=O)C3)cc2)ccc1=O ZINC001626013318 1072636237 /nfs/dbraw/zinc/63/62/37/1072636237.db2.gz KYBPUGRQCGLJDW-UHFFFAOYSA-N 0 0 427.461 -0.218 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CNC(=O)[C@@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001626027298 1073080021 /nfs/dbraw/zinc/08/00/21/1073080021.db2.gz KMKBMDBQAWEVOR-QLFBSQMISA-N 0 0 445.538 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CNC(=O)[C@@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001626027299 1073080173 /nfs/dbraw/zinc/08/01/73/1073080173.db2.gz KMKBMDBQAWEVOR-RBSFLKMASA-N 0 0 445.538 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CNC(=O)[C@H]2CC(=O)N([C@@H]3CCS(=O)(=O)C3)C2)C1 ZINC001626027300 1073079950 /nfs/dbraw/zinc/07/99/50/1073079950.db2.gz KMKBMDBQAWEVOR-RRFJBIMHSA-N 0 0 445.538 -0.226 20 0 IBADRN CC(C)(C)OC(=O)N1CCO[C@H](CNC(=O)[C@H]2CC(=O)N([C@H]3CCS(=O)(=O)C3)C2)C1 ZINC001626027301 1073079997 /nfs/dbraw/zinc/07/99/97/1073079997.db2.gz KMKBMDBQAWEVOR-SOUVJXGZSA-N 0 0 445.538 -0.226 20 0 IBADRN CN1CCn2ncc(C(=O)NC[C@@H]3CN(C(=O)OC(C)(C)C)CCO3)c2S1(=O)=O ZINC001626027361 1073079967 /nfs/dbraw/zinc/07/99/67/1073079967.db2.gz MGPXRVIDDXFBDM-GFCCVEGCSA-N 0 0 429.499 -0.117 20 0 IBADRN CN1CCn2ncc(C(=O)NC[C@H]3CN(C(=O)OC(C)(C)C)CCO3)c2S1(=O)=O ZINC001626027362 1073080130 /nfs/dbraw/zinc/08/01/30/1073080130.db2.gz MGPXRVIDDXFBDM-LBPRGKRZSA-N 0 0 429.499 -0.117 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NCc1ccc(S(=O)(=O)N2CCC[C@@H](C)C2)cc1 ZINC001626040242 1073092542 /nfs/dbraw/zinc/09/25/42/1073092542.db2.gz GBLJYTCIXPNOBA-CABCVRRESA-N 0 0 449.537 -0.085 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NCc1ccc(S(=O)(=O)N2CCC[C@H](C)C2)cc1 ZINC001626040243 1073092507 /nfs/dbraw/zinc/09/25/07/1073092507.db2.gz GBLJYTCIXPNOBA-GJZGRUSLSA-N 0 0 449.537 -0.085 20 0 IBADRN COCCNC(=O)C1(NC(=O)CCNS(=O)(=O)c2ccc3c(c2)OCCCO3)CC1 ZINC001626041097 1073093411 /nfs/dbraw/zinc/09/34/11/1073093411.db2.gz VAQVPEQPKZCOSK-UHFFFAOYSA-N 0 0 441.506 -0.072 20 0 IBADRN COCCNC(=O)C1(NC(=O)CN2CCN(S(=O)(=O)c3ccc(C)cc3)CC2)CC1 ZINC001626041137 1073092726 /nfs/dbraw/zinc/09/27/26/1073092726.db2.gz VTLJTHLXYUATIN-UHFFFAOYSA-N 0 0 438.550 -0.287 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCSC[C@H]2C(=O)OC)c1 ZINC001626043054 1073094529 /nfs/dbraw/zinc/09/45/29/1073094529.db2.gz BICYZSFUAKOGFG-AWEZNQCLSA-N 0 0 431.536 -0.004 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(=O)n(CC(=O)N2CCSC[C@@H]2C(=O)OC)c1 ZINC001626043055 1073094691 /nfs/dbraw/zinc/09/46/91/1073094691.db2.gz BICYZSFUAKOGFG-CQSZACIVSA-N 0 0 431.536 -0.004 20 0 IBADRN COC(=O)[C@@H]1CSCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001626043506 1073095675 /nfs/dbraw/zinc/09/56/75/1073095675.db2.gz QFYDISZWOJTHDH-AWEZNQCLSA-N 0 0 427.504 -0.462 20 0 IBADRN COC(=O)[C@H]1CSCCN1C(=O)c1cccc(S(=O)(=O)N2CCNC(=O)C2)c1 ZINC001626043507 1073095482 /nfs/dbraw/zinc/09/54/82/1073095482.db2.gz QFYDISZWOJTHDH-CQSZACIVSA-N 0 0 427.504 -0.462 20 0 IBADRN CO[C@@H]1COC[C@@H]1NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC001626045313 1073099329 /nfs/dbraw/zinc/09/93/29/1073099329.db2.gz ITJLRHKONCKIJX-CPUCUTALSA-N 0 0 446.547 -0.090 20 0 IBADRN CO[C@@H]1COC[C@@H]1NC(=O)[C@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001626045318 1073099273 /nfs/dbraw/zinc/09/92/73/1073099273.db2.gz ITJLRHKONCKIJX-IBBFNQHFSA-N 0 0 446.547 -0.090 20 0 IBADRN CO[C@@H]1COC[C@@H]1NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C\c1ccccc1 ZINC001626045319 1073099285 /nfs/dbraw/zinc/09/92/85/1073099285.db2.gz ITJLRHKONCKIJX-SYIYGWMGSA-N 0 0 446.547 -0.090 20 0 IBADRN CO[C@@H]1COC[C@@H]1NC(=O)[C@@H](CCS(C)(=O)=O)NS(=O)(=O)/C=C/c1ccccc1 ZINC001626045320 1073099368 /nfs/dbraw/zinc/09/93/68/1073099368.db2.gz ITJLRHKONCKIJX-WMNGZJALSA-N 0 0 446.547 -0.090 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)NC[C@@H](O)Cn1ccnn1 ZINC001626063529 1073155538 /nfs/dbraw/zinc/15/55/38/1073155538.db2.gz JDHNOPXDTTXWAI-GFCCVEGCSA-N 0 0 432.300 -0.114 20 0 IBADRN O=C(CCNS(=O)(=O)c1cccc(Br)c1)NC[C@H](O)Cn1ccnn1 ZINC001626063531 1073155548 /nfs/dbraw/zinc/15/55/48/1073155548.db2.gz JDHNOPXDTTXWAI-LBPRGKRZSA-N 0 0 432.300 -0.114 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)NC[C@H](O)Cn1ccnn1 ZINC001626064219 1073161858 /nfs/dbraw/zinc/16/18/58/1073161858.db2.gz QSUDIQQDEDKPBQ-JTQLQIEISA-N 0 0 429.218 -0.210 20 0 IBADRN O=C(CNC(=O)c1ccccc1I)NC[C@@H](O)Cn1ccnn1 ZINC001626064220 1073162395 /nfs/dbraw/zinc/16/23/95/1073162395.db2.gz QSUDIQQDEDKPBQ-SNVBAGLBSA-N 0 0 429.218 -0.210 20 0 IBADRN O=C(NC[C@@H](O)Cn1ccnn1)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001626064637 1073164682 /nfs/dbraw/zinc/16/46/82/1073164682.db2.gz VBKCBEULLBROLW-GFCCVEGCSA-N 0 0 429.886 -0.257 20 0 IBADRN O=C(NC[C@H](O)Cn1ccnn1)c1cc(S(=O)(=O)N2CCOCC2)ccc1Cl ZINC001626064638 1073164721 /nfs/dbraw/zinc/16/47/21/1073164721.db2.gz VBKCBEULLBROLW-LBPRGKRZSA-N 0 0 429.886 -0.257 20 0 IBADRN CN1CCn2nc(C(=O)NCCNS(=O)(=O)Cc3ccccc3)cc2S1(=O)=O ZINC001626077426 1073218996 /nfs/dbraw/zinc/21/89/96/1073218996.db2.gz GCYBQQQYCYPXJW-UHFFFAOYSA-N 0 0 427.508 -0.633 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2n[nH]cc2[C@H]1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001626081334 1073227953 /nfs/dbraw/zinc/22/79/53/1073227953.db2.gz JLTDQXLENATVEH-AWEZNQCLSA-N 0 0 429.499 -0.020 20 0 IBADRN CC(C)(C)OC(=O)N1Cc2n[nH]cc2[C@@H]1C(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001626081344 1073228368 /nfs/dbraw/zinc/22/83/68/1073228368.db2.gz JLTDQXLENATVEH-CQSZACIVSA-N 0 0 429.499 -0.020 20 0 IBADRN O=C(CN1CCNC(=O)C1)N1CCCN(S(=O)(=O)c2ccc3c(c2)OCCO3)CC1 ZINC001626082042 1073231927 /nfs/dbraw/zinc/23/19/27/1073231927.db2.gz SSZDEMTZCAORKL-UHFFFAOYSA-N 0 0 438.506 -0.887 20 0 IBADRN Cc1nc(-c2ccncc2)[nH]c(=O)c1CCC(=O)NCCS(=O)(=O)N1CCOCC1 ZINC001626082373 1073232341 /nfs/dbraw/zinc/23/23/41/1073232341.db2.gz YSGZWIAGXKKRJH-UHFFFAOYSA-N 0 0 435.506 -0.149 20 0 IBADRN C[C@H](NC(=O)Cn1cnnn1)C(=O)NCCC(=O)N1CCN(c2ccc(F)cc2)CC1 ZINC001626082611 1073232685 /nfs/dbraw/zinc/23/26/85/1073232685.db2.gz YNLLUSVUXACWEW-AWEZNQCLSA-N 0 0 432.460 -0.828 20 0 IBADRN CN1CCn2ncc(C(=O)NCCC(=O)N3CCN(c4ccccn4)CC3)c2S1(=O)=O ZINC001626094092 1073257145 /nfs/dbraw/zinc/25/71/45/1073257145.db2.gz QWYJLAYKMJTMJY-UHFFFAOYSA-N 0 0 447.521 -0.619 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)NCCC(=O)N1CCN(c2ccccn2)CC1 ZINC001626094236 1073257177 /nfs/dbraw/zinc/25/71/77/1073257177.db2.gz WOYDCQWHSYHLFW-UHFFFAOYSA-N 0 0 446.533 -0.203 20 0 IBADRN CN1CCn2nc(C(=O)NCCC(=O)N3CCN(c4ccccc4)CC3)cc2S1(=O)=O ZINC001626094295 1073257173 /nfs/dbraw/zinc/25/71/73/1073257173.db2.gz YVUZAHCDDNQSBC-UHFFFAOYSA-N 0 0 446.533 -0.014 20 0 IBADRN CN(CCO)S(=O)(=O)c1ccc(C(=O)NCC2(N3CCOCC3)CCOCC2)cc1 ZINC001626101408 1073269443 /nfs/dbraw/zinc/26/94/43/1073269443.db2.gz PQTNBALMCRAMHX-UHFFFAOYSA-N 0 0 441.550 -0.089 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](C)C(=O)NCC1(N2CCOCC2)CCOCC1 ZINC001626101787 1073269429 /nfs/dbraw/zinc/26/94/29/1073269429.db2.gz SZXAYTQCENFLIC-HNNXBMFYSA-N 0 0 443.570 -0.299 20 0 IBADRN Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](C)C(=O)NCC1(N2CCOCC2)CCOCC1 ZINC001626101788 1073269404 /nfs/dbraw/zinc/26/94/04/1073269404.db2.gz SZXAYTQCENFLIC-OAHLLOKOSA-N 0 0 443.570 -0.299 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)[C@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC001626119646 1074467095 /nfs/dbraw/zinc/46/70/95/1074467095.db2.gz PPTMEWQPZULYBB-KRWDZBQOSA-N 0 0 438.550 -0.218 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c1 ZINC001626119647 1074466889 /nfs/dbraw/zinc/46/68/89/1074466889.db2.gz PPTMEWQPZULYBB-QGZVFWFLSA-N 0 0 438.550 -0.218 20 0 IBADRN CCNS(=O)(=O)c1cccc(CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)c1 ZINC001626123620 1074474008 /nfs/dbraw/zinc/47/40/08/1074474008.db2.gz GVXMIANGTRJWLK-BRWVUGGUSA-N 0 0 435.506 -0.475 20 0 IBADRN CC(C)NS(=O)(=O)c1cccc(CNC(=O)[C@H]2[C@@H](O)C(=O)N(C)[C@@H]2c2cnn(C)c2)c1 ZINC001626123647 1074474462 /nfs/dbraw/zinc/47/44/62/1074474462.db2.gz IEYQLYLHBFJTPS-KZNAEPCWSA-N 0 0 449.533 -0.087 20 0 IBADRN CN(CC(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21)C1=NS(=O)(=O)c2ccccc21 ZINC001626132752 1074475131 /nfs/dbraw/zinc/47/51/31/1074475131.db2.gz AWPXDDVSUPNCAX-DZGCQCFKSA-N 0 0 427.504 -0.518 20 0 IBADRN CN(CC(=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21)C1=NS(=O)(=O)c2ccccc21 ZINC001626132753 1074475119 /nfs/dbraw/zinc/47/51/19/1074475119.db2.gz AWPXDDVSUPNCAX-HIFRSBDPSA-N 0 0 427.504 -0.518 20 0 IBADRN CN(CC(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21)C1=NS(=O)(=O)c2ccccc21 ZINC001626132754 1074475156 /nfs/dbraw/zinc/47/51/56/1074475156.db2.gz AWPXDDVSUPNCAX-UKRRQHHQSA-N 0 0 427.504 -0.518 20 0 IBADRN CN(CC(=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21)C1=NS(=O)(=O)c2ccccc21 ZINC001626132755 1074475192 /nfs/dbraw/zinc/47/51/92/1074475192.db2.gz AWPXDDVSUPNCAX-ZFWWWQNUSA-N 0 0 427.504 -0.518 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001626134787 1074476662 /nfs/dbraw/zinc/47/66/62/1074476662.db2.gz NBYSBUWIMDRXID-DLBZAZTESA-N 0 0 433.486 -0.527 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001626134788 1074476673 /nfs/dbraw/zinc/47/66/73/1074476673.db2.gz NBYSBUWIMDRXID-IAGOWNOFSA-N 0 0 433.486 -0.527 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001626134789 1074476704 /nfs/dbraw/zinc/47/67/04/1074476704.db2.gz NBYSBUWIMDRXID-IRXDYDNUSA-N 0 0 433.486 -0.527 20 0 IBADRN O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001626134790 1074476706 /nfs/dbraw/zinc/47/67/06/1074476706.db2.gz NBYSBUWIMDRXID-SJORKVTESA-N 0 0 433.486 -0.527 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001626135295 1074477109 /nfs/dbraw/zinc/47/71/09/1074477109.db2.gz PRQCHIGYWAFMCB-CVEARBPZSA-N 0 0 430.504 -0.590 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001626135297 1074477087 /nfs/dbraw/zinc/47/70/87/1074477087.db2.gz PRQCHIGYWAFMCB-HOTGVXAUSA-N 0 0 430.504 -0.590 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001626135298 1074477041 /nfs/dbraw/zinc/47/70/41/1074477041.db2.gz PRQCHIGYWAFMCB-HZPDHXFCSA-N 0 0 430.504 -0.590 20 0 IBADRN O=C(CNS(=O)(=O)c1ccc2c(c1)COC2)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001626135300 1074477147 /nfs/dbraw/zinc/47/71/47/1074477147.db2.gz PRQCHIGYWAFMCB-JKSUJKDBSA-N 0 0 430.504 -0.590 20 0 IBADRN Nc1ccccc1S(=O)(=O)NCC(=O)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC001626138169 1074477153 /nfs/dbraw/zinc/47/71/53/1074477153.db2.gz VSARZSZWRZJRIF-UHFFFAOYSA-N 0 0 430.552 -0.036 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001626139831 1074477104 /nfs/dbraw/zinc/47/71/04/1074477104.db2.gz BLLLEFNZXITPSQ-KKUMJFAQSA-N 0 0 427.454 -0.786 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001626139832 1074477144 /nfs/dbraw/zinc/47/71/44/1074477144.db2.gz BLLLEFNZXITPSQ-RRFJBIMHSA-N 0 0 427.454 -0.786 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001626139833 1074477628 /nfs/dbraw/zinc/47/76/28/1074477628.db2.gz BLLLEFNZXITPSQ-SOUVJXGZSA-N 0 0 427.454 -0.786 20 0 IBADRN NC(=O)C[C@H](NC(=O)c1ccccc1F)C(=O)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001626139834 1074477611 /nfs/dbraw/zinc/47/76/11/1074477611.db2.gz BLLLEFNZXITPSQ-ZNMIVQPWSA-N 0 0 427.454 -0.786 20 0 IBADRN CN1C[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@@H]32)N(C(=O)OC(C)(C)C)CC1=O ZINC001626139864 1074477640 /nfs/dbraw/zinc/47/76/40/1074477640.db2.gz BVVCZIOADNXLAJ-BFHYXJOUSA-N 0 0 431.511 -0.521 20 0 IBADRN CN1C[C@H](C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@H]32)N(C(=O)OC(C)(C)C)CC1=O ZINC001626139865 1074477635 /nfs/dbraw/zinc/47/76/35/1074477635.db2.gz BVVCZIOADNXLAJ-MCIONIFRSA-N 0 0 431.511 -0.521 20 0 IBADRN CN1C[C@H](C(=O)N2CCS(=O)(=O)[C@@H]3COCC[C@H]32)N(C(=O)OC(C)(C)C)CC1=O ZINC001626139866 1074477609 /nfs/dbraw/zinc/47/76/09/1074477609.db2.gz BVVCZIOADNXLAJ-MGPQQGTHSA-N 0 0 431.511 -0.521 20 0 IBADRN CN1C[C@H](C(=O)N2CCS(=O)(=O)[C@H]3COCC[C@@H]32)N(C(=O)OC(C)(C)C)CC1=O ZINC001626139867 1074477618 /nfs/dbraw/zinc/47/76/18/1074477618.db2.gz BVVCZIOADNXLAJ-MJBXVCDLSA-N 0 0 431.511 -0.521 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCS(=O)(=O)[C@@H]2COCC[C@@H]21 ZINC001626141095 1074477655 /nfs/dbraw/zinc/47/76/55/1074477655.db2.gz SVINZHXBAHABON-GXTWGEPZSA-N 0 0 428.492 -0.744 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCS(=O)(=O)[C@H]2COCC[C@@H]21 ZINC001626141096 1074477648 /nfs/dbraw/zinc/47/76/48/1074477648.db2.gz SVINZHXBAHABON-JSGCOSHPSA-N 0 0 428.492 -0.744 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCS(=O)(=O)[C@H]2COCC[C@H]21 ZINC001626141097 1074477594 /nfs/dbraw/zinc/47/75/94/1074477594.db2.gz SVINZHXBAHABON-OCCSQVGLSA-N 0 0 428.492 -0.744 20 0 IBADRN O=C(CNS(=O)(=O)c1c[nH]c2ncccc12)N1CCS(=O)(=O)[C@@H]2COCC[C@H]21 ZINC001626141098 1074477614 /nfs/dbraw/zinc/47/76/14/1074477614.db2.gz SVINZHXBAHABON-TZMCWYRMSA-N 0 0 428.492 -0.744 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCS(=O)(=O)[C@@H]4COCC[C@@H]43)cc2S1(=O)=O ZINC001626141330 1074477652 /nfs/dbraw/zinc/47/76/52/1074477652.db2.gz WONACTYVEUOEMH-DZGCQCFKSA-N 0 0 428.488 -0.121 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCS(=O)(=O)[C@H]4COCC[C@H]43)cc2S1(=O)=O ZINC001626141331 1074477657 /nfs/dbraw/zinc/47/76/57/1074477657.db2.gz WONACTYVEUOEMH-HIFRSBDPSA-N 0 0 428.488 -0.121 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCS(=O)(=O)[C@@H]4COCC[C@H]43)cc2S1(=O)=O ZINC001626141332 1074477659 /nfs/dbraw/zinc/47/76/59/1074477659.db2.gz WONACTYVEUOEMH-UKRRQHHQSA-N 0 0 428.488 -0.121 20 0 IBADRN CCN1C(=O)c2ccc(C(=O)N3CCS(=O)(=O)[C@H]4COCC[C@@H]43)cc2S1(=O)=O ZINC001626141333 1074477625 /nfs/dbraw/zinc/47/76/25/1074477625.db2.gz WONACTYVEUOEMH-ZFWWWQNUSA-N 0 0 428.488 -0.121 20 0 IBADRN O=C(CNC(=O)[C@H](CO)NC(=O)c1cccc(F)n1)N1CCN(c2ccccc2)CC1 ZINC001626141403 1074477620 /nfs/dbraw/zinc/47/76/20/1074477620.db2.gz PIKRCOLTSDYSMO-KRWDZBQOSA-N 0 0 429.452 -0.224 20 0 IBADRN CCCN(CCC)S(=O)(=O)N1CCN(C(=O)Nc2ccc(=O)n(CC(N)=O)c2)CC1 ZINC001626141965 1074477650 /nfs/dbraw/zinc/47/76/50/1074477650.db2.gz BVYVTCFMSLFBAX-UHFFFAOYSA-N 0 0 442.542 -0.150 20 0 IBADRN Cn1cc([C@@H]2[C@@H](C(=O)N3CCC(S(=O)(=O)N4CCCC4)CC3)[C@@H](O)C(=O)N2C)cn1 ZINC001626143519 1074478017 /nfs/dbraw/zinc/47/80/17/1074478017.db2.gz HTJJMQZORWDXSQ-BRWVUGGUSA-N 0 0 439.538 -0.673 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1c[nH]nc1I ZINC001626147354 1072654189 /nfs/dbraw/zinc/65/41/89/1072654189.db2.gz BNYWLGWZXLPBRD-SECBINFHSA-N 0 0 436.206 -0.465 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1c[nH]nc1I ZINC001626147359 1072654104 /nfs/dbraw/zinc/65/41/04/1072654104.db2.gz BNYWLGWZXLPBRD-VIFPVBQESA-N 0 0 436.206 -0.465 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)Cc1cc[nH]c(=O)c1Br ZINC001626148126 1072654679 /nfs/dbraw/zinc/65/46/79/1072654679.db2.gz HRXHLGRPFABTNQ-LLVKDONJSA-N 0 0 430.255 -0.001 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)Cc1cc[nH]c(=O)c1Br ZINC001626148129 1072654688 /nfs/dbraw/zinc/65/46/88/1072654688.db2.gz HRXHLGRPFABTNQ-NSHDSACASA-N 0 0 430.255 -0.001 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1[nH]c(C)c(C(=O)OCCOC)c1C ZINC001626148508 1072654699 /nfs/dbraw/zinc/65/46/99/1072654699.db2.gz KZFVKEUXNJRXCR-AWEZNQCLSA-N 0 0 439.465 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1[nH]c(C)c(C(=O)OCCOC)c1C ZINC001626148511 1072654802 /nfs/dbraw/zinc/65/48/02/1072654802.db2.gz KZFVKEUXNJRXCR-CQSZACIVSA-N 0 0 439.465 -0.045 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001626148797 1072654684 /nfs/dbraw/zinc/65/46/84/1072654684.db2.gz NRDPVLLEPCWOMV-KBPBESRZSA-N 0 0 429.470 -0.471 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CCO1 ZINC001626148799 1072654781 /nfs/dbraw/zinc/65/47/81/1072654781.db2.gz NRDPVLLEPCWOMV-KGLIPLIRSA-N 0 0 429.470 -0.471 20 0 IBADRN CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC001626149635 1072654807 /nfs/dbraw/zinc/65/48/07/1072654807.db2.gz XPLIFDQNHOCYFV-CYBMUJFWSA-N 0 0 443.478 -0.416 20 0 IBADRN CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC001626149638 1072654715 /nfs/dbraw/zinc/65/47/15/1072654715.db2.gz XPLIFDQNHOCYFV-ZDUSSCGKSA-N 0 0 443.478 -0.416 20 0 IBADRN CCC[C@H](O)[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001626161382 1073044941 /nfs/dbraw/zinc/04/49/41/1073044941.db2.gz IQZOWQHKVHWLKZ-MSOLQXFVSA-N 0 0 427.523 -0.209 20 0 IBADRN CCC[C@@H](O)[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001626161383 1073044803 /nfs/dbraw/zinc/04/48/03/1073044803.db2.gz IQZOWQHKVHWLKZ-QZTJIDSGSA-N 0 0 427.523 -0.209 20 0 IBADRN CCC[C@H](O)[C@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001626161385 1073044824 /nfs/dbraw/zinc/04/48/24/1073044824.db2.gz IQZOWQHKVHWLKZ-ROUUACIJSA-N 0 0 427.523 -0.209 20 0 IBADRN CCC[C@@H](O)[C@H](CO)NC(=O)c1ccc(S(=O)(=O)N2CCN(C(C)=O)CC2)cc1 ZINC001626161386 1073044816 /nfs/dbraw/zinc/04/48/16/1073044816.db2.gz IQZOWQHKVHWLKZ-ZWKOTPCHSA-N 0 0 427.523 -0.209 20 0 IBADRN CCC[C@@H](O)[C@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001626162298 1073058476 /nfs/dbraw/zinc/05/84/76/1073058476.db2.gz WAVLVRFGBKCBIZ-DLBZAZTESA-N 0 0 445.513 -0.070 20 0 IBADRN CCC[C@@H](O)[C@@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001626162299 1073058267 /nfs/dbraw/zinc/05/82/67/1073058267.db2.gz WAVLVRFGBKCBIZ-IAGOWNOFSA-N 0 0 445.513 -0.070 20 0 IBADRN CCC[C@H](O)[C@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001626162300 1073058428 /nfs/dbraw/zinc/05/84/28/1073058428.db2.gz WAVLVRFGBKCBIZ-IRXDYDNUSA-N 0 0 445.513 -0.070 20 0 IBADRN CCC[C@H](O)[C@@H](CO)NC(=O)c1ccc(F)c(S(=O)(=O)N2CCN(C(C)=O)CC2)c1 ZINC001626162301 1073058340 /nfs/dbraw/zinc/05/83/40/1073058340.db2.gz WAVLVRFGBKCBIZ-SJORKVTESA-N 0 0 445.513 -0.070 20 0 IBADRN CN(C)S(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)ccc1F ZINC001626163288 1073060557 /nfs/dbraw/zinc/06/05/57/1073060557.db2.gz KKKBOMCIBRZCNX-UHFFFAOYSA-N 0 0 429.517 -0.137 20 0 IBADRN Cn1cc(I)c(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)n1 ZINC001626166196 1073062580 /nfs/dbraw/zinc/06/25/80/1073062580.db2.gz CWMHYGFGUYAKPC-UHFFFAOYSA-N 0 0 434.281 -0.188 20 0 IBADRN C[C@@H](NC(=O)Cn1cnnn1)C(=O)N1CCC(CN2C(=O)c3ccccc3C2=O)CC1 ZINC001626166663 1073063478 /nfs/dbraw/zinc/06/34/78/1073063478.db2.gz SRNVCDDEDCLQJC-CYBMUJFWSA-N 0 0 425.449 -0.287 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)C1=O ZINC001626239480 1074474507 /nfs/dbraw/zinc/47/45/07/1074474507.db2.gz RUBFIQYLMQLPLJ-CABCVRRESA-N 0 0 432.543 -0.531 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)C1=O ZINC001626239481 1074474547 /nfs/dbraw/zinc/47/45/47/1074474547.db2.gz RUBFIQYLMQLPLJ-GJZGRUSLSA-N 0 0 432.543 -0.531 20 0 IBADRN COC(=O)[C@@H]1CCCN(CC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)C1=O ZINC001626239482 1074474567 /nfs/dbraw/zinc/47/45/67/1074474567.db2.gz RUBFIQYLMQLPLJ-HUUCEWRRSA-N 0 0 432.543 -0.531 20 0 IBADRN COC(=O)[C@H]1CCCN(CC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)C1=O ZINC001626239483 1074474523 /nfs/dbraw/zinc/47/45/23/1074474523.db2.gz RUBFIQYLMQLPLJ-LSDHHAIUSA-N 0 0 432.543 -0.531 20 0 IBADRN O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1c[nH]nc1I ZINC001626573530 1071374472 /nfs/dbraw/zinc/37/44/72/1071374472.db2.gz FRONOOLONGVXCT-VHSXEESVSA-N 0 0 440.263 -0.758 20 0 IBADRN O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1c[nH]nc1I ZINC001626573531 1071374533 /nfs/dbraw/zinc/37/45/33/1071374533.db2.gz FRONOOLONGVXCT-ZJUUUORDSA-N 0 0 440.263 -0.758 20 0 IBADRN COc1cccc(Cn2cccc(NC(=O)CN3CCN(S(C)(=O)=O)CC3)c2=O)n1 ZINC001648802347 1070866425 /nfs/dbraw/zinc/86/64/25/1070866425.db2.gz SXEQYDXAIJLJFS-UHFFFAOYSA-N 0 0 435.506 -0.184 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)CCNS(=O)(=O)c1ccc2c(c1)OCCCO2 ZINC001648810295 1070884902 /nfs/dbraw/zinc/88/49/02/1070884902.db2.gz NTYAWJXSAKBRAG-UHFFFAOYSA-N 0 0 435.524 -0.428 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)CNS(=O)(=O)c1cccc(Br)c1 ZINC001648810600 1070884786 /nfs/dbraw/zinc/88/47/86/1070884786.db2.gz RORAHOOAEIKSJW-UHFFFAOYSA-N 0 0 428.330 -0.217 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)C[C@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001648822651 1070890134 /nfs/dbraw/zinc/89/01/34/1070890134.db2.gz PAOCJLMLRSOASZ-HNNXBMFYSA-N 0 0 446.570 -0.309 20 0 IBADRN CCN1CCN(C(=O)CNC(=O)C[C@@H]2CN(C(=O)OC(C)(C)C)CCS2(=O)=O)CC1 ZINC001648822652 1070890054 /nfs/dbraw/zinc/89/00/54/1070890054.db2.gz PAOCJLMLRSOASZ-OAHLLOKOSA-N 0 0 446.570 -0.309 20 0 IBADRN NS(=O)(=O)c1ccc(NCC(=O)N2CCOC3(CCS(=O)(=O)CC3)C2)c(F)c1 ZINC001648827204 1070889711 /nfs/dbraw/zinc/88/97/11/1070889711.db2.gz JXFLIBMXSKVVAN-UHFFFAOYSA-N 0 0 435.499 -0.309 20 0 IBADRN O=C(Cc1ccc(S(=O)(=O)CCO)cc1)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001648827205 1070890029 /nfs/dbraw/zinc/89/00/29/1070890029.db2.gz JXPXRAYHGLSQMK-UHFFFAOYSA-N 0 0 431.532 -0.199 20 0 IBADRN Cn1nccc1CN1CCN(C(=O)CCC(=O)N2CCN(c3ncccn3)CC2)CC1 ZINC001648842912 1070890582 /nfs/dbraw/zinc/89/05/82/1070890582.db2.gz FTVAQYUPNOGCCT-UHFFFAOYSA-N 0 0 426.525 -0.017 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@H]2COCCN2C)cc1C ZINC001648844394 1070890712 /nfs/dbraw/zinc/89/07/12/1070890712.db2.gz QTQAEEIYNGPACY-DOTOQJQBSA-N 0 0 426.539 -0.337 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@H](CCC(N)=O)C(=O)NC[C@@H]2COCCN2C)cc1C ZINC001648844395 1070890349 /nfs/dbraw/zinc/89/03/49/1070890349.db2.gz QTQAEEIYNGPACY-NVXWUHKLSA-N 0 0 426.539 -0.337 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@H]2COCCN2C)cc1C ZINC001648844396 1070890907 /nfs/dbraw/zinc/89/09/07/1070890907.db2.gz QTQAEEIYNGPACY-RDJZCZTQSA-N 0 0 426.539 -0.337 20 0 IBADRN Cc1ccc(S(=O)(=O)N[C@@H](CCC(N)=O)C(=O)NC[C@@H]2COCCN2C)cc1C ZINC001648844397 1070890658 /nfs/dbraw/zinc/89/06/58/1070890658.db2.gz QTQAEEIYNGPACY-WBVHZDCISA-N 0 0 426.539 -0.337 20 0 IBADRN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001648850360 1070891364 /nfs/dbraw/zinc/89/13/64/1070891364.db2.gz RBXCDZUEZVEVRB-HKUYNNGSSA-N 0 0 431.489 -0.001 20 0 IBADRN COC(=O)[C@H]1Cc2ccc(O)cc2CN1C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001648850361 1070891540 /nfs/dbraw/zinc/89/15/40/1070891540.db2.gz RBXCDZUEZVEVRB-IEBWSBKVSA-N 0 0 431.489 -0.001 20 0 IBADRN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001648850362 1070891480 /nfs/dbraw/zinc/89/14/80/1070891480.db2.gz RBXCDZUEZVEVRB-MJGOQNOKSA-N 0 0 431.489 -0.001 20 0 IBADRN COC(=O)[C@H]1Cc2ccc(O)cc2CN1C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC001648850363 1070891243 /nfs/dbraw/zinc/89/12/43/1070891243.db2.gz RBXCDZUEZVEVRB-PKOBYXMFSA-N 0 0 431.489 -0.001 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H]2CCC(=O)N(C)C2=O)cc1 ZINC001648854839 1070891164 /nfs/dbraw/zinc/89/11/64/1070891164.db2.gz JFLYUVFMURIVAB-HNNXBMFYSA-N 0 0 438.506 -0.290 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@@H]2CCC(=O)N(C)C2=O)cc1 ZINC001648854840 1070891615 /nfs/dbraw/zinc/89/16/15/1070891615.db2.gz JFLYUVFMURIVAB-OAHLLOKOSA-N 0 0 438.506 -0.290 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)NCCn2cnccc2=O)c1 ZINC001648858348 1070891948 /nfs/dbraw/zinc/89/19/48/1070891948.db2.gz VPWVSAYBIZWYPJ-UHFFFAOYSA-N 0 0 435.506 -0.240 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001648860161 1070892879 /nfs/dbraw/zinc/89/28/79/1070892879.db2.gz DMDPUTFTBNTSPH-CABCVRRESA-N 0 0 428.507 -0.034 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1C[C@H](CCO)[C@H](CO)C1 ZINC001648860162 1070892655 /nfs/dbraw/zinc/89/26/55/1070892655.db2.gz DMDPUTFTBNTSPH-GJZGRUSLSA-N 0 0 428.507 -0.034 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1C[C@H](CO)[C@H](CCO)C1 ZINC001648860163 1070892726 /nfs/dbraw/zinc/89/27/26/1070892726.db2.gz DMDPUTFTBNTSPH-HUUCEWRRSA-N 0 0 428.507 -0.034 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccc2c(c1)OCCCO2)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001648860164 1070893025 /nfs/dbraw/zinc/89/30/25/1070893025.db2.gz DMDPUTFTBNTSPH-LSDHHAIUSA-N 0 0 428.507 -0.034 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@@H](CO)[C@H](CCO)C3)cc2)CC1 ZINC001648862148 1070893119 /nfs/dbraw/zinc/89/31/19/1070893119.db2.gz YEGCALXCNPNOCN-MSOLQXFVSA-N 0 0 439.534 -0.398 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](CO)[C@H](CCO)C3)cc2)CC1 ZINC001648862149 1070892794 /nfs/dbraw/zinc/89/27/94/1070892794.db2.gz YEGCALXCNPNOCN-QZTJIDSGSA-N 0 0 439.534 -0.398 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](CCO)[C@H](CO)C3)cc2)CC1 ZINC001648862150 1070892675 /nfs/dbraw/zinc/89/26/75/1070892675.db2.gz YEGCALXCNPNOCN-ROUUACIJSA-N 0 0 439.534 -0.398 20 0 IBADRN CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)N3C[C@H](CO)[C@@H](CCO)C3)cc2)CC1 ZINC001648862151 1070892739 /nfs/dbraw/zinc/89/27/39/1070892739.db2.gz YEGCALXCNPNOCN-ZWKOTPCHSA-N 0 0 439.534 -0.398 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@@]2(CO)COCCN2)cc1 ZINC001648863176 1070892976 /nfs/dbraw/zinc/89/29/76/1070892976.db2.gz DZJWETAWKYBCBT-IMFGXOCKSA-N 0 0 441.550 -0.599 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@H]2C(=O)NC[C@]2(CO)COCCN2)cc1 ZINC001648863178 1070893187 /nfs/dbraw/zinc/89/31/87/1070893187.db2.gz DZJWETAWKYBCBT-KPFFTGBYSA-N 0 0 441.550 -0.599 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NC[C@]2(CO)COCCN2)cc1 ZINC001648863179 1070892751 /nfs/dbraw/zinc/89/27/51/1070892751.db2.gz DZJWETAWKYBCBT-POAQFYNOSA-N 0 0 441.550 -0.599 20 0 IBADRN CC(C)c1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)NC[C@@]2(CO)COCCN2)cc1 ZINC001648863180 1070892809 /nfs/dbraw/zinc/89/28/09/1070892809.db2.gz DZJWETAWKYBCBT-YVWKXTFCSA-N 0 0 441.550 -0.599 20 0 IBADRN CCc1ccc(C(=O)NC[C@]2(CO)COCCN2)cc1S(=O)(=O)N1CCOCC1 ZINC001648864176 1070892930 /nfs/dbraw/zinc/89/29/30/1070892930.db2.gz QCDZAMHEMPLPHB-IBGZPJMESA-N 0 0 427.523 -0.649 20 0 IBADRN CCc1ccc(C(=O)NC[C@@]2(CO)COCCN2)cc1S(=O)(=O)N1CCOCC1 ZINC001648864179 1070892841 /nfs/dbraw/zinc/89/28/41/1070892841.db2.gz QCDZAMHEMPLPHB-LJQANCHMSA-N 0 0 427.523 -0.649 20 0 IBADRN O=C(CCC(=O)N1CC[C@@H](O)[C@@H](O)C1)N1CCN(S(=O)(=O)c2ccccc2)CC1 ZINC001648870445 1070893615 /nfs/dbraw/zinc/89/36/15/1070893615.db2.gz UCNBOHWJANWMGC-SJORKVTESA-N 0 0 425.507 -0.746 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(C(=O)NCC(=O)N2CCS(=O)(=O)CCO2)c1 ZINC001648871303 1070893857 /nfs/dbraw/zinc/89/38/57/1070893857.db2.gz PIVGACIRZOWYBY-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)OCCN2C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001648872436 1070893752 /nfs/dbraw/zinc/89/37/52/1070893752.db2.gz ASOKCFIZPOCWOY-KBPBESRZSA-N 0 0 443.522 -0.172 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)OCCN2C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001648872437 1070893495 /nfs/dbraw/zinc/89/34/95/1070893495.db2.gz ASOKCFIZPOCWOY-KGLIPLIRSA-N 0 0 443.522 -0.172 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)OCCN2C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001648872438 1070893678 /nfs/dbraw/zinc/89/36/78/1070893678.db2.gz ASOKCFIZPOCWOY-UONOGXRCSA-N 0 0 443.522 -0.172 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)OCCN2C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001648872439 1070893732 /nfs/dbraw/zinc/89/37/32/1070893732.db2.gz ASOKCFIZPOCWOY-ZIAGYGMSSA-N 0 0 443.522 -0.172 20 0 IBADRN CN1CCn2ncc(C(=O)N3CCOC34CN(C(=O)OC(C)(C)C)C4)c2S1(=O)=O ZINC001648872685 1070893830 /nfs/dbraw/zinc/89/38/30/1070893830.db2.gz GSPFDYIFPBFNPN-UHFFFAOYSA-N 0 0 427.483 -0.063 20 0 IBADRN CC(C)NC(=O)CNS(=O)(=O)CCC(=O)N1CCOC12CN(C(=O)OC(C)(C)C)C2 ZINC001648873082 1070893586 /nfs/dbraw/zinc/89/35/86/1070893586.db2.gz QBHJCUQUYSUZAO-UHFFFAOYSA-N 0 0 448.542 -0.374 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)[C@H]1CCOC1 ZINC001648879251 1070893537 /nfs/dbraw/zinc/89/35/37/1070893537.db2.gz HBNWLVPFHQFUBX-BBRMVZONSA-N 0 0 441.506 -0.274 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)[C@@H]1CCOC1 ZINC001648879258 1070894662 /nfs/dbraw/zinc/89/46/62/1070894662.db2.gz HBNWLVPFHQFUBX-CJNGLKHVSA-N 0 0 441.506 -0.274 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)[C@@H]1CCOC1 ZINC001648879260 1070894458 /nfs/dbraw/zinc/89/44/58/1070894458.db2.gz HBNWLVPFHQFUBX-CZUORRHYSA-N 0 0 441.506 -0.274 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1cc(S(N)(=O)=O)ccc1N1CCOCC1)[C@H]1CCOC1 ZINC001648879262 1070894247 /nfs/dbraw/zinc/89/42/47/1070894247.db2.gz HBNWLVPFHQFUBX-XJKSGUPXSA-N 0 0 441.506 -0.274 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCOC1 ZINC001648881096 1070894630 /nfs/dbraw/zinc/89/46/30/1070894630.db2.gz YQBDEMQSXRBACO-GDBMZVCRSA-N 0 0 439.490 -0.637 20 0 IBADRN COC(=O)[C@H](CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@H]1CCOC1 ZINC001648881099 1070894544 /nfs/dbraw/zinc/89/45/44/1070894544.db2.gz YQBDEMQSXRBACO-GOEBONIOSA-N 0 0 439.490 -0.637 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@H]1CCOC1 ZINC001648881101 1070894123 /nfs/dbraw/zinc/89/41/23/1070894123.db2.gz YQBDEMQSXRBACO-HOCLYGCPSA-N 0 0 439.490 -0.637 20 0 IBADRN COC(=O)[C@@H](CNC(=O)c1ccc(S(=O)(=O)N2CCNC(=O)C2)cc1)[C@@H]1CCOC1 ZINC001648881103 1070894478 /nfs/dbraw/zinc/89/44/78/1070894478.db2.gz YQBDEMQSXRBACO-ZBFHGGJFSA-N 0 0 439.490 -0.637 20 0 IBADRN NS(=O)(=O)c1ccc(S(=O)(=O)N2CCC(C(=O)N3CCC[C@@H](O)C3)CC2)cc1 ZINC001648886480 1070894306 /nfs/dbraw/zinc/89/43/06/1070894306.db2.gz TYGQUNPWCDZNSI-CQSZACIVSA-N 0 0 431.536 -0.282 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)c2c3c(nn2C)CCS(=O)(=O)C3)cc1 ZINC001648887046 1070894276 /nfs/dbraw/zinc/89/42/76/1070894276.db2.gz AKCVJJHSGIXXHN-UHFFFAOYSA-N 0 0 426.520 -0.228 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cn(CC(=O)OC(C)(C)C)nn2)CC1 ZINC001648887094 1070894574 /nfs/dbraw/zinc/89/45/74/1070894574.db2.gz CWKPIXQYQBMPNG-UHFFFAOYSA-N 0 0 430.531 -0.036 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)c2cnn3c2S(=O)(=O)N(C)CC3)cc1 ZINC001648887261 1070894333 /nfs/dbraw/zinc/89/43/33/1070894333.db2.gz KBWDSVOVGUHPPK-UHFFFAOYSA-N 0 0 427.508 -0.602 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)CNS(=O)(=O)c2ccc(F)cc2)CC1 ZINC001648887648 1070894095 /nfs/dbraw/zinc/89/40/95/1070894095.db2.gz ZWSGKYMXXYCLDP-UHFFFAOYSA-N 0 0 436.531 -0.165 20 0 IBADRN CCOc1ccc(S(=O)(=O)NCC(=O)N2C[C@@H]3C(=O)N(C)C(=O)[C@@H]3C2)cc1OCC ZINC001648899423 1070894986 /nfs/dbraw/zinc/89/49/86/1070894986.db2.gz GPGHZSFJMWCXAX-OKILXGFUSA-N 0 0 439.490 -0.165 20 0 IBADRN CCON(C)C(=O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1N1CCOCC1 ZINC001648902682 1070895387 /nfs/dbraw/zinc/89/53/87/1070895387.db2.gz OQIPHWHBPBXZEC-UHFFFAOYSA-N 0 0 428.511 -0.087 20 0 IBADRN CN(C)S(=O)(=O)c1ccc(N2CCOCC2)c(C(=O)N2CCC(O)(CO)CC2)c1 ZINC001648907810 1070902931 /nfs/dbraw/zinc/90/29/31/1070902931.db2.gz KZKYKVFRANRFQZ-UHFFFAOYSA-N 0 0 427.523 -0.267 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)CCc2c(C)nc3c(C(N)=O)cnn3c2C)CC1 ZINC001648909549 1070932109 /nfs/dbraw/zinc/93/21/09/1070932109.db2.gz BLTGIPZFMDPSMK-UHFFFAOYSA-N 0 0 432.481 -0.333 20 0 IBADRN C[C@H](CO)NS(=O)(=O)c1ccc(C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)cc1 ZINC001648911925 1070975594 /nfs/dbraw/zinc/97/55/94/1070975594.db2.gz RFBXCLUSYVIPQV-SIXWZSSISA-N 0 0 427.523 -0.699 20 0 IBADRN C[C@@H](CO)NS(=O)(=O)c1ccc(C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)cc1 ZINC001648911926 1070976489 /nfs/dbraw/zinc/97/64/89/1070976489.db2.gz RFBXCLUSYVIPQV-URLQWDBASA-N 0 0 427.523 -0.699 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(C(=O)OC(C)(C)C)C[C@@H]3CO)cc2S1(=O)=O ZINC001648918790 1071143072 /nfs/dbraw/zinc/14/30/72/1071143072.db2.gz YYKBMEPQIGDWDU-GFCCVEGCSA-N 0 0 429.499 -0.429 20 0 IBADRN CN1CCn2nc(C(=O)N3CCN(C(=O)OC(C)(C)C)C[C@H]3CO)cc2S1(=O)=O ZINC001648918791 1071143297 /nfs/dbraw/zinc/14/32/97/1071143297.db2.gz YYKBMEPQIGDWDU-LBPRGKRZSA-N 0 0 429.499 -0.429 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NC[C@@]23COCCN2C(=O)COC3)cc1Br ZINC001648919471 1071148121 /nfs/dbraw/zinc/14/81/21/1071148121.db2.gz FRRXNYAVHHJSLO-HNNXBMFYSA-N 0 0 448.295 -0.546 20 0 IBADRN NS(=O)(=O)c1ccc(C(=O)NC[C@]23COCCN2C(=O)COC3)cc1Br ZINC001648919472 1071148115 /nfs/dbraw/zinc/14/81/15/1071148115.db2.gz FRRXNYAVHHJSLO-OAHLLOKOSA-N 0 0 448.295 -0.546 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001648919491 1071148018 /nfs/dbraw/zinc/14/80/18/1071148018.db2.gz FSHCYICDJAQWBU-IBGZPJMESA-N 0 0 425.507 -0.621 20 0 IBADRN CN(C)CCS(=O)(=O)c1ccccc1C(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001648919496 1071148127 /nfs/dbraw/zinc/14/81/27/1071148127.db2.gz FSHCYICDJAQWBU-LJQANCHMSA-N 0 0 425.507 -0.621 20 0 IBADRN CC(C)Oc1ccc(NS(=O)(=O)CC(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001648919746 1071150302 /nfs/dbraw/zinc/15/03/02/1071150302.db2.gz IIIVRSDMILAZQW-IBGZPJMESA-N 0 0 441.506 -0.041 20 0 IBADRN CC(C)Oc1ccc(NS(=O)(=O)CC(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001648919747 1071150335 /nfs/dbraw/zinc/15/03/35/1071150335.db2.gz IIIVRSDMILAZQW-LJQANCHMSA-N 0 0 441.506 -0.041 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)NCC(=O)NC[C@@]23COCCN2C(=O)COC3)c1C ZINC001648920086 1071166161 /nfs/dbraw/zinc/16/61/61/1071166161.db2.gz NUFJTBIFKSCISN-FQEVSTJZSA-N 0 0 439.534 -0.057 20 0 IBADRN Cc1cc(C)c(C)c(S(=O)(=O)NCC(=O)NC[C@]23COCCN2C(=O)COC3)c1C ZINC001648920087 1071166274 /nfs/dbraw/zinc/16/62/74/1071166274.db2.gz NUFJTBIFKSCISN-HXUWFJFHSA-N 0 0 439.534 -0.057 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1Cl)NC[C@@]12COCCN1C(=O)COC2 ZINC001648920893 1071244004 /nfs/dbraw/zinc/24/40/04/1071244004.db2.gz XVTWGKOSZGCCHL-KRWDZBQOSA-N 0 0 431.898 -0.248 20 0 IBADRN O=C(CCNS(=O)(=O)c1ccccc1Cl)NC[C@]12COCCN1C(=O)COC2 ZINC001648920906 1071244610 /nfs/dbraw/zinc/24/46/10/1071244610.db2.gz XVTWGKOSZGCCHL-QGZVFWFLSA-N 0 0 431.898 -0.248 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001648930615 1071452789 /nfs/dbraw/zinc/45/27/89/1071452789.db2.gz XNGWUECOTHBLNH-DGADGQDISA-N 0 0 430.523 -0.210 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001648930616 1071453003 /nfs/dbraw/zinc/45/30/03/1071453003.db2.gz XNGWUECOTHBLNH-DGXTUMSLSA-N 0 0 430.523 -0.210 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001648930617 1071453748 /nfs/dbraw/zinc/45/37/48/1071453748.db2.gz XNGWUECOTHBLNH-OXGONZEZSA-N 0 0 430.523 -0.210 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1CCCC[C@H]1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001648930618 1071453674 /nfs/dbraw/zinc/45/36/74/1071453674.db2.gz XNGWUECOTHBLNH-YIDVYQOGSA-N 0 0 430.523 -0.210 20 0 IBADRN CN1CCN(C(=O)CNC(=O)CCc2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001648931207 1071453720 /nfs/dbraw/zinc/45/37/20/1071453720.db2.gz GUMXVGQZPDTJIF-UHFFFAOYSA-N 0 0 438.550 -0.470 20 0 IBADRN COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1C[C@@H](O)CN1S(=O)(=O)c1ccc(F)cc1 ZINC001648932728 1071453861 /nfs/dbraw/zinc/45/38/61/1071453861.db2.gz QFQJYHZNQGMEMK-XABYNWHXSA-N 0 0 430.454 -0.356 20 0 IBADRN Cc1ccc(S(=O)(=O)N2CCN(CC(=O)NCC(=O)N3CCN(C)CC3)CC2)cc1 ZINC001648933949 1071454246 /nfs/dbraw/zinc/45/42/46/1071454246.db2.gz GDBNWBMPSOJDIJ-UHFFFAOYSA-N 0 0 437.566 -0.808 20 0 IBADRN CN1CCN(C(=O)CNC(=O)c2ccccc2S(=O)(=O)CCS(C)(=O)=O)CC1 ZINC001648933952 1071454623 /nfs/dbraw/zinc/45/46/23/1071454623.db2.gz GFGLOITZKTZUEE-UHFFFAOYSA-N 0 0 431.536 -0.991 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)NC1(C(=O)NCC(=O)N2CCN(C)CC2)CCCC1 ZINC001648934341 1071453684 /nfs/dbraw/zinc/45/36/84/1071453684.db2.gz SNJIZHKVDPKDCT-UHFFFAOYSA-N 0 0 427.527 -0.227 20 0 IBADRN Cn1c2ccc(NC(=O)CCC(=O)NCCCN3CCCS3(=O)=O)nc2n(C)c1=O ZINC001648958769 1072433450 /nfs/dbraw/zinc/43/34/50/1072433450.db2.gz JLQLPMBVLBKFTJ-UHFFFAOYSA-N 0 0 438.510 -0.468 20 0 IBADRN C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCN1c1cnccn1 ZINC001648973738 1072827051 /nfs/dbraw/zinc/82/70/51/1072827051.db2.gz UXYCYULZNUWWDZ-HNNXBMFYSA-N 0 0 444.517 -0.052 20 0 IBADRN C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)N3CCNC(=O)C3)cc2)CCN1c1cnccn1 ZINC001648973740 1072827596 /nfs/dbraw/zinc/82/75/96/1072827596.db2.gz UXYCYULZNUWWDZ-OAHLLOKOSA-N 0 0 444.517 -0.052 20 0 IBADRN COC(=O)c1ccccc1S(=O)(=O)N1CCC(C(=O)NCC[C@H](O)C(=O)OC)CC1 ZINC001649030517 1072730129 /nfs/dbraw/zinc/73/01/29/1072730129.db2.gz VAGZXZKVZJOXID-HNNXBMFYSA-N 0 0 442.490 -0.086 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)c3ccc(S(=O)(=O)N(C)CCO)cc3)C2)C1 ZINC001649043731 1073106254 /nfs/dbraw/zinc/10/62/54/1073106254.db2.gz ZXNJMBCADQAHKZ-GOSISDBHSA-N 0 0 425.463 -0.167 20 0 IBADRN COC(=O)C1=NO[C@@]2(CCN(C(=O)c3ccc(S(=O)(=O)N(C)CCO)cc3)C2)C1 ZINC001649043732 1073106323 /nfs/dbraw/zinc/10/63/23/1073106323.db2.gz ZXNJMBCADQAHKZ-SFHVURJKSA-N 0 0 425.463 -0.167 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NC[C@@]23COC(=O)N2CCOC3)c1 ZINC001649059228 1073108300 /nfs/dbraw/zinc/10/83/00/1073108300.db2.gz IOTDZVIOVREDSH-GOSISDBHSA-N 0 0 427.479 -0.129 20 0 IBADRN COCCNS(=O)(=O)c1ccc(C)c(C(=O)NC[C@]23COC(=O)N2CCOC3)c1 ZINC001649059229 1073108351 /nfs/dbraw/zinc/10/83/51/1073108351.db2.gz IOTDZVIOVREDSH-SFHVURJKSA-N 0 0 427.479 -0.129 20 0 IBADRN COC[C@]1(C(=O)NC[C@@]23COC(=O)N2CCOC3)CN(C(=O)OC(C)(C)C)CCO1 ZINC001649059342 1073108383 /nfs/dbraw/zinc/10/83/83/1073108383.db2.gz LTJVIUQXGJRJOA-MOPGFXCFSA-N 0 0 429.470 -0.024 20 0 IBADRN COC[C@]1(C(=O)NC[C@]23COC(=O)N2CCOC3)CN(C(=O)OC(C)(C)C)CCO1 ZINC001649059343 1073108379 /nfs/dbraw/zinc/10/83/79/1073108379.db2.gz LTJVIUQXGJRJOA-OALUTQOASA-N 0 0 429.470 -0.024 20 0 IBADRN COC[C@@]1(C(=O)NC[C@]23COC(=O)N2CCOC3)CN(C(=O)OC(C)(C)C)CCO1 ZINC001649059344 1073108316 /nfs/dbraw/zinc/10/83/16/1073108316.db2.gz LTJVIUQXGJRJOA-RBUKOAKNSA-N 0 0 429.470 -0.024 20 0 IBADRN COC[C@@]1(C(=O)NC[C@@]23COC(=O)N2CCOC3)CN(C(=O)OC(C)(C)C)CCO1 ZINC001649059345 1073109021 /nfs/dbraw/zinc/10/90/21/1073109021.db2.gz LTJVIUQXGJRJOA-RTBURBONSA-N 0 0 429.470 -0.024 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@]23COC(=O)N2CCOC3)cc1OC ZINC001649059365 1073109025 /nfs/dbraw/zinc/10/90/25/1073109025.db2.gz MQARZKQTBXSJBL-KRWDZBQOSA-N 0 0 429.451 -0.681 20 0 IBADRN COc1ccc(S(=O)(=O)NCC(=O)NC[C@@]23COC(=O)N2CCOC3)cc1OC ZINC001649059366 1073109041 /nfs/dbraw/zinc/10/90/41/1073109041.db2.gz MQARZKQTBXSJBL-QGZVFWFLSA-N 0 0 429.451 -0.681 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@@]23COC(=O)N2CCOC3)C1 ZINC001649059440 1073108982 /nfs/dbraw/zinc/10/89/82/1073108982.db2.gz PTUQWDITHHVGTM-KBXCAEBGSA-N 0 0 441.510 -0.235 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@]23COC(=O)N2CCOC3)C1 ZINC001649059441 1073108976 /nfs/dbraw/zinc/10/89/76/1073108976.db2.gz PTUQWDITHHVGTM-KDOFPFPSSA-N 0 0 441.510 -0.235 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@H](C(=O)NC[C@]23COC(=O)N2CCOC3)C1 ZINC001649059442 1073108969 /nfs/dbraw/zinc/10/89/69/1073108969.db2.gz PTUQWDITHHVGTM-KSSFIOAISA-N 0 0 441.510 -0.235 20 0 IBADRN Cc1n[nH]c(C)c1S(=O)(=O)N1CCC[C@@H](C(=O)NC[C@@]23COC(=O)N2CCOC3)C1 ZINC001649059443 1073108985 /nfs/dbraw/zinc/10/89/85/1073108985.db2.gz PTUQWDITHHVGTM-RDTXWAMCSA-N 0 0 441.510 -0.235 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N[C@H]2CS(=O)(=O)C[C@H]2C)cc1 ZINC001649065676 1073112636 /nfs/dbraw/zinc/11/26/36/1073112636.db2.gz UKINFUIENWEENW-CJNGLKHVSA-N 0 0 445.563 -0.004 20 0 IBADRN CN1CCn2ncc(C(=O)NCc3ccc(CN4C(=O)CNC4=O)cc3)c2S1(=O)=O ZINC001649086509 1073119161 /nfs/dbraw/zinc/11/91/61/1073119161.db2.gz NDYRVLPKHWAVAL-UHFFFAOYSA-N 0 0 432.462 -0.501 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1C(=O)CCCNS(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001649141211 1073983477 /nfs/dbraw/zinc/98/34/77/1073983477.db2.gz OUDKVLDEXBXPCI-TZMCWYRMSA-N 0 0 428.463 -0.349 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCS(=O)(=O)CCO2)cc1 ZINC001649142094 1073995357 /nfs/dbraw/zinc/99/53/57/1073995357.db2.gz QACQGFTYLIERGS-UHFFFAOYSA-N 0 0 447.535 -0.365 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2C[C@H](CO)[C@@H](CCO)C2)cc1 ZINC001649142483 1073998492 /nfs/dbraw/zinc/99/84/92/1073998492.db2.gz WWBNCMPGYYJROQ-DLBZAZTESA-N 0 0 441.550 -0.104 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2C[C@H](CO)[C@H](CCO)C2)cc1 ZINC001649142484 1073998508 /nfs/dbraw/zinc/99/85/08/1073998508.db2.gz WWBNCMPGYYJROQ-IAGOWNOFSA-N 0 0 441.550 -0.104 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2C[C@H](CCO)[C@H](CO)C2)cc1 ZINC001649142485 1073998439 /nfs/dbraw/zinc/99/84/39/1073998439.db2.gz WWBNCMPGYYJROQ-IRXDYDNUSA-N 0 0 441.550 -0.104 20 0 IBADRN CCN(CC)S(=O)(=O)c1ccc(C(=O)NCC(=O)N2C[C@@H](CO)[C@H](CCO)C2)cc1 ZINC001649142486 1073998478 /nfs/dbraw/zinc/99/84/78/1073998478.db2.gz WWBNCMPGYYJROQ-SJORKVTESA-N 0 0 441.550 -0.104 20 0 IBADRN CN1CCN(CC(=O)N2CCC[C@H]2C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1=O ZINC001649150993 1074056168 /nfs/dbraw/zinc/05/61/68/1074056168.db2.gz OZJYYADJCMNDEE-INIZCTEOSA-N 0 0 437.541 -0.169 20 0 IBADRN O=C(NC1CCN(S(=O)(=O)C2CC2)CC1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001670358073 1070793343 /nfs/dbraw/zinc/79/33/43/1070793343.db2.gz AVSVFQVIUMUWDP-UHFFFAOYSA-N 0 0 446.555 -0.618 20 0 IBADRN COC(=O)[C@]1(N2CCN(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)CC2)CCOC1 ZINC001670359064 1070809814 /nfs/dbraw/zinc/80/98/14/1070809814.db2.gz TYOPTFSODXBXJL-IBGZPJMESA-N 0 0 444.554 -0.398 20 0 IBADRN COC(=O)[C@@]1(N2CCN(C(=O)NC3CCN(S(=O)(=O)C4CC4)CC3)CC2)CCOC1 ZINC001670359065 1070810108 /nfs/dbraw/zinc/81/01/08/1070810108.db2.gz TYOPTFSODXBXJL-LJQANCHMSA-N 0 0 444.554 -0.398 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccccc2S(=O)(=O)NC2CC2)CCN1S(C)(=O)=O ZINC001670368433 1070855467 /nfs/dbraw/zinc/85/54/67/1070855467.db2.gz FDDUNIKJHNZIKU-GFCCVEGCSA-N 0 0 437.565 -0.218 20 0 IBADRN CNS(=O)(=O)c1ccc(S(=O)(=O)N2CCN(S(C)(=O)=O)[C@H](C)C2)cc1C ZINC001670368797 1070860318 /nfs/dbraw/zinc/86/03/18/1070860318.db2.gz RWBVJRJDLBHKJJ-GFCCVEGCSA-N 0 0 425.554 -0.442 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)c2ccc(NS(C)(=O)=O)c(F)c2)CCN1S(C)(=O)=O ZINC001670368849 1070860348 /nfs/dbraw/zinc/86/03/48/1070860348.db2.gz UQFRXMONSGKDQB-SNVBAGLBSA-N 0 0 429.517 -0.148 20 0 IBADRN Cn1cc(S(=O)(=O)NC2(CN3CCN(c4ccccc4)CC3)CC2)c(=O)n(C)c1=O ZINC001670373464 1070896098 /nfs/dbraw/zinc/89/60/98/1070896098.db2.gz SUUDYDCFBSPQEZ-UHFFFAOYSA-N 0 0 433.534 -0.283 20 0 IBADRN COC(=O)CS(=O)(=O)Cc1ccc(CNC(=O)N2CCS(=O)(=O)CCO2)cc1 ZINC001670382764 1070896016 /nfs/dbraw/zinc/89/60/16/1070896016.db2.gz HFJJAWBDGPLVIA-UHFFFAOYSA-N 0 0 434.492 -0.354 20 0 IBADRN COCCN1CN(C(=O)NCc2ccc(CS(=O)(=O)CC(=O)OC)cc2)CC1=O ZINC001670382850 1070895831 /nfs/dbraw/zinc/89/58/31/1070895831.db2.gz JLEHKCXDEHCUDQ-UHFFFAOYSA-N 0 0 427.479 -0.268 20 0 IBADRN COCCN(C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC001670385897 1070896439 /nfs/dbraw/zinc/89/64/39/1070896439.db2.gz YGZGYYITPUUQNH-CYBMUJFWSA-N 0 0 435.524 -0.440 20 0 IBADRN COCCN(C(=O)Nc1cccn(CCS(C)(=O)=O)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC001670385898 1070896830 /nfs/dbraw/zinc/89/68/30/1070896830.db2.gz YGZGYYITPUUQNH-ZDUSSCGKSA-N 0 0 435.524 -0.440 20 0 IBADRN CN(C)C(=O)Cn1nc2n(c1=O)CCN(C(=O)Nc1ccccc1CN1CCNC1=O)C2 ZINC001670395412 1070896801 /nfs/dbraw/zinc/89/68/01/1070896801.db2.gz TTYINVJPWMRFHA-UHFFFAOYSA-N 0 0 442.480 -0.294 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)NCC1(NC(=O)OC(C)(C)C)CCOCC1 ZINC001670398243 1070897645 /nfs/dbraw/zinc/89/76/45/1070897645.db2.gz LCXUXUPUIIEZIP-UHFFFAOYSA-N 0 0 429.561 -0.081 20 0 IBADRN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(S(=O)(=O)c2ccc3c(c2)C(=O)NCCO3)C1 ZINC001670414306 1070898042 /nfs/dbraw/zinc/89/80/42/1070898042.db2.gz XRYLKQFXAMPIIV-BXUZGUMPSA-N 0 0 426.447 -0.076 20 0 IBADRN COC(=O)[C@H]1CC[C@@H](C(=O)OC)N(S(=O)(=O)c2ccc3c(c2)C(=O)NCCO3)C1 ZINC001670414307 1070898131 /nfs/dbraw/zinc/89/81/31/1070898131.db2.gz XRYLKQFXAMPIIV-FZMZJTMJSA-N 0 0 426.447 -0.076 20 0 IBADRN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(S(=O)(=O)c2ccc3c(c2)C(=O)NCCO3)C1 ZINC001670414308 1070898778 /nfs/dbraw/zinc/89/87/78/1070898778.db2.gz XRYLKQFXAMPIIV-RISCZKNCSA-N 0 0 426.447 -0.076 20 0 IBADRN COC(=O)[C@H]1CC[C@H](C(=O)OC)CN1S(=O)(=O)c1ccc2c(c1)C(=O)NCCO2 ZINC001670414309 1070899019 /nfs/dbraw/zinc/89/90/19/1070899019.db2.gz XRYLKQFXAMPIIV-SMDDNHRTSA-N 0 0 426.447 -0.076 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCO[C@@H](CNC(=O)NC)C2)cc1 ZINC001670422328 1070900108 /nfs/dbraw/zinc/90/01/08/1070900108.db2.gz KYSDHMOQXZRCNR-HNNXBMFYSA-N 0 0 449.551 -0.181 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)N2CCO[C@H](CNC(=O)NC)C2)cc1 ZINC001670422329 1070900216 /nfs/dbraw/zinc/90/02/16/1070900216.db2.gz KYSDHMOQXZRCNR-OAHLLOKOSA-N 0 0 449.551 -0.181 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(S(=O)(=O)c3ccc(NS(C)(=O)=O)cc3F)C[C@H]21 ZINC001670422657 1070900029 /nfs/dbraw/zinc/90/00/29/1070900029.db2.gz LOAJSEBDWSRMRY-SUHUHFCYSA-N 0 0 442.512 -0.370 20 0 IBADRN CO[C@@H]1CS(=O)(=O)[C@H]2CN(S(=O)(=O)c3ccc(Cl)c(-c4nn[nH]n4)c3)C[C@H]21 ZINC001670423495 1070899980 /nfs/dbraw/zinc/89/99/80/1070899980.db2.gz WKEBWGIAZVAMBM-UHTWSYAYSA-N 0 0 433.899 -0.047 20 0 IBADRN CCCS(=O)(=O)Nc1ccc(S(=O)(=O)N2CC(NS(C)(=O)=O)C2)c(OC)c1 ZINC001670425967 1070900182 /nfs/dbraw/zinc/90/01/82/1070900182.db2.gz NNUBWMUNHBTLGE-UHFFFAOYSA-N 0 0 441.553 -0.231 20 0 IBADRN COc1nn2cc(Br)cnc2c1S(=O)(=O)N1CC(NS(C)(=O)=O)C1 ZINC001670426041 1070900864 /nfs/dbraw/zinc/90/08/64/1070900864.db2.gz QFERAZAXAGEQRB-UHFFFAOYSA-N 0 0 440.301 -0.578 20 0 IBADRN CS(=O)(=O)NC1CN(S(=O)(=O)c2cc3c(cc2Br)NC(=O)CO3)C1 ZINC001670426201 1070900703 /nfs/dbraw/zinc/90/07/03/1070900703.db2.gz WFSBJDDBZFYVAR-UHFFFAOYSA-N 0 0 440.297 -0.298 20 0 IBADRN CN(C1CCN(C(=O)OC(C)(C)C)CC1)S(=O)(=O)N1CC(NS(C)(=O)=O)C1 ZINC001670426261 1070900737 /nfs/dbraw/zinc/90/07/37/1070900737.db2.gz ZCEWIOKBJJPSLW-UHFFFAOYSA-N 0 0 426.561 -0.204 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)c1 ZINC001670436522 1070901313 /nfs/dbraw/zinc/90/13/13/1070901313.db2.gz BGVLDCBBNVUWGF-GOSISDBHSA-N 0 0 447.579 -0.169 20 0 IBADRN CN(C)S(=O)(=O)c1cccc(S(=O)(=O)NC[C@]2(CO)COCC3(CCC3)N2C)c1 ZINC001670436526 1070901612 /nfs/dbraw/zinc/90/16/12/1070901612.db2.gz BGVLDCBBNVUWGF-SFHVURJKSA-N 0 0 447.579 -0.169 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@]2(CO)COCC3(CCC3)N2C)c1 ZINC001670438213 1070902441 /nfs/dbraw/zinc/90/24/41/1070902441.db2.gz QDKVMABIQLDLDU-KRWDZBQOSA-N 0 0 433.552 -0.511 20 0 IBADRN CNS(=O)(=O)c1cccc(S(=O)(=O)NC[C@@]2(CO)COCC3(CCC3)N2C)c1 ZINC001670438217 1070902422 /nfs/dbraw/zinc/90/24/22/1070902422.db2.gz QDKVMABIQLDLDU-QGZVFWFLSA-N 0 0 433.552 -0.511 20 0 IBADRN Cn1ncc(S(=O)(=O)NCCCN2CCC[C@H]2C(N)=O)c1I ZINC001670453332 1070904279 /nfs/dbraw/zinc/90/42/79/1070904279.db2.gz BWEOPIMKBYYPTP-VIFPVBQESA-N 0 0 441.295 -0.357 20 0 IBADRN COC(=O)[C@]1(OC)CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001670454764 1070904480 /nfs/dbraw/zinc/90/44/80/1070904480.db2.gz MNCQSYPNTCPTAS-IBGZPJMESA-N 0 0 440.474 -0.107 20 0 IBADRN COC(=O)[C@@]1(OC)CCN(S(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)C1 ZINC001670454765 1070904263 /nfs/dbraw/zinc/90/42/63/1070904263.db2.gz MNCQSYPNTCPTAS-LJQANCHMSA-N 0 0 440.474 -0.107 20 0 IBADRN COCC(=O)N1CCc2cc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc21 ZINC001670464583 1070904162 /nfs/dbraw/zinc/90/41/62/1070904162.db2.gz USSSSPDQKLBWKA-UHFFFAOYSA-N 0 0 428.453 -0.415 20 0 IBADRN Cc1nc(NC(=O)N2CCN(S(=O)(=O)c3ccc4c(c3)NC(=O)CO4)CC2)nn1C ZINC001670470510 1070905800 /nfs/dbraw/zinc/90/58/00/1070905800.db2.gz WXFQVUATTMNCHM-UHFFFAOYSA-N 0 0 435.466 -0.007 20 0 IBADRN COC(=O)[C@]1(N2CCN(S(=O)(=O)C3CN(C(=O)C4CCCC4)C3)CC2)CCOC1 ZINC001670481590 1070907204 /nfs/dbraw/zinc/90/72/04/1070907204.db2.gz BGOSDSGRXWZJGR-IBGZPJMESA-N 0 0 429.539 -0.333 20 0 IBADRN COC(=O)[C@@]1(N2CCN(S(=O)(=O)C3CN(C(=O)C4CCCC4)C3)CC2)CCOC1 ZINC001670481591 1070907229 /nfs/dbraw/zinc/90/72/29/1070907229.db2.gz BGOSDSGRXWZJGR-LJQANCHMSA-N 0 0 429.539 -0.333 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001670482029 1070907241 /nfs/dbraw/zinc/90/72/41/1070907241.db2.gz KIYSMAOEKNNYRV-GOSISDBHSA-N 0 0 433.527 -0.113 20 0 IBADRN CCOC(=O)N1CCC(S(=O)(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)CC1 ZINC001670482030 1070907217 /nfs/dbraw/zinc/90/72/17/1070907217.db2.gz KIYSMAOEKNNYRV-SFHVURJKSA-N 0 0 433.527 -0.113 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)C1 ZINC001670482650 1070906936 /nfs/dbraw/zinc/90/69/36/1070906936.db2.gz VQNPJZZLVAZCMO-CRAIPNDOSA-N 0 0 433.527 -0.544 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN([C@]3(C(=O)OC)CCOC3)CC2)C1 ZINC001670482654 1070907021 /nfs/dbraw/zinc/90/70/21/1070907021.db2.gz VQNPJZZLVAZCMO-MAUKXSAKSA-N 0 0 433.527 -0.544 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)C1 ZINC001670482656 1070906975 /nfs/dbraw/zinc/90/69/75/1070906975.db2.gz VQNPJZZLVAZCMO-QAPCUYQASA-N 0 0 433.527 -0.544 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCN([C@@]3(C(=O)OC)CCOC3)CC2)C1 ZINC001670482657 1070907091 /nfs/dbraw/zinc/90/70/91/1070907091.db2.gz VQNPJZZLVAZCMO-YJBOKZPZSA-N 0 0 433.527 -0.544 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN([C@@]2(C(=O)OC)CCOC2)CC1 ZINC001670482758 1070907823 /nfs/dbraw/zinc/90/78/23/1070907823.db2.gz XJJBDYPUKLJKOL-KRWDZBQOSA-N 0 0 447.535 -0.767 20 0 IBADRN CNS(=O)(=O)c1ccccc1S(=O)(=O)N1CCN([C@]2(C(=O)OC)CCOC2)CC1 ZINC001670482761 1070907888 /nfs/dbraw/zinc/90/78/88/1070907888.db2.gz XJJBDYPUKLJKOL-QGZVFWFLSA-N 0 0 447.535 -0.767 20 0 IBADRN NS(=O)(=O)N1CCC(NS(=O)(=O)c2cnn3cc(Br)cnc23)CC1 ZINC001670482815 1070907629 /nfs/dbraw/zinc/90/76/29/1070907629.db2.gz YHEMMBFTIXMLPS-UHFFFAOYSA-N 0 0 439.317 -0.562 20 0 IBADRN CC(C)(C)OC(=O)N1CCN(C(=O)N2CCN(S(=O)(=O)[C@@H]3COC[C@H]3O)CC2)CC1 ZINC001670487394 1070907831 /nfs/dbraw/zinc/90/78/31/1070907831.db2.gz JDWKFWXKHIDLNM-HUUCEWRRSA-N 0 0 448.542 -0.634 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@](C)(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001670523726 1070910531 /nfs/dbraw/zinc/91/05/31/1070910531.db2.gz GLRMVOHCHGSKMD-HNNXBMFYSA-N 0 0 426.561 -0.203 20 0 IBADRN CC(C)(C)OC(=O)N1CC[C@@](C)(NS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001670523727 1070910409 /nfs/dbraw/zinc/91/04/09/1070910409.db2.gz GLRMVOHCHGSKMD-OAHLLOKOSA-N 0 0 426.561 -0.203 20 0 IBADRN Cn1cnc(NC(=O)N2CC(S(=O)(=O)N3CCN(C(=O)OC(C)(C)C)CC3)C2)n1 ZINC001670528515 1070911039 /nfs/dbraw/zinc/91/10/39/1070911039.db2.gz QUFNXDRPOOQSHI-UHFFFAOYSA-N 0 0 429.503 -0.086 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N[C@H]1C(=O)NCC12CCOCC2 ZINC001670608123 1070926292 /nfs/dbraw/zinc/92/62/92/1070926292.db2.gz OQOYRJJKRTZNTI-HNNXBMFYSA-N 0 0 439.494 -0.481 20 0 IBADRN O=C(Nc1ccc(S(=O)(=O)N2CCOCC2)cn1)N[C@@H]1C(=O)NCC12CCOCC2 ZINC001670608125 1070926306 /nfs/dbraw/zinc/92/63/06/1070926306.db2.gz OQOYRJJKRTZNTI-OAHLLOKOSA-N 0 0 439.494 -0.481 20 0 IBADRN O=C(NCCN1CCC2(C1)OCCO2)Nc1ccc(S(=O)(=O)N2CCOCC2)cn1 ZINC001670608264 1070926387 /nfs/dbraw/zinc/92/63/87/1070926387.db2.gz RRYGWHCMHJGZQX-UHFFFAOYSA-N 0 0 441.510 -0.327 20 0 IBADRN CCS(=O)(=O)N1CCC(NC(=O)N2CCN(S(=O)(=O)c3cc[nH]n3)CC2)CC1 ZINC001670621600 1070928374 /nfs/dbraw/zinc/92/83/74/1070928374.db2.gz XOEWQPWYMVXNBF-UHFFFAOYSA-N 0 0 434.544 -0.760 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001670647788 1070929740 /nfs/dbraw/zinc/92/97/40/1070929740.db2.gz NCTPYAQRPHRJIZ-GOSISDBHSA-N 0 0 445.611 -0.786 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@@H](CNS(=O)(=O)N2CCN(S(C)(=O)=O)CC2)C1 ZINC001670647789 1070929999 /nfs/dbraw/zinc/92/99/99/1070929999.db2.gz NCTPYAQRPHRJIZ-SFHVURJKSA-N 0 0 445.611 -0.786 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cccc2c1OCCCO2 ZINC001670659120 1070930519 /nfs/dbraw/zinc/93/05/19/1070930519.db2.gz APTAXTJCVHZCCO-RYUDHWBXSA-N 0 0 439.446 -0.296 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1ccc(Br)o1 ZINC001670659154 1070930592 /nfs/dbraw/zinc/93/05/92/1070930592.db2.gz CCYKZWDOGIARSK-YUMQZZPRSA-N 0 0 436.240 -0.102 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1ccc2c(c1)CC(=O)N2C ZINC001670659194 1070930711 /nfs/dbraw/zinc/93/07/11/1070930711.db2.gz DSMBNFGCAOLBAG-FZMZJTMJSA-N 0 0 436.446 -0.938 20 0 IBADRN CCS(=O)(=O)NCCS(=O)(=O)N1CCC(c2nccc(N3CCOCC3)n2)CC1 ZINC001670659451 1070930652 /nfs/dbraw/zinc/93/06/52/1070930652.db2.gz HJVXHSOLUQMIRK-UHFFFAOYSA-N 0 0 447.583 -0.238 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cc(C(F)(F)F)nn1C ZINC001670659557 1070931429 /nfs/dbraw/zinc/93/14/29/1070931429.db2.gz JHIGYSGSKRZBNK-YUMQZZPRSA-N 0 0 439.372 -0.705 20 0 IBADRN COC(=O)c1ccc(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)cc1F ZINC001670659840 1070930463 /nfs/dbraw/zinc/93/04/63/1070930463.db2.gz PRAHZIJSRRPTAS-ZANVPECISA-N 0 0 443.409 -0.531 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)CCc1ccc2c(c1)CCO2 ZINC001670659959 1070930796 /nfs/dbraw/zinc/93/07/96/1070930796.db2.gz QIAWIYISXDGXFN-GJZGRUSLSA-N 0 0 437.474 -0.339 20 0 IBADRN COCc1ccc(OC)c(S(=O)(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)OC)c1 ZINC001670660003 1070930446 /nfs/dbraw/zinc/93/04/46/1070930446.db2.gz SCMQDWGJGSVZDG-STQMWFEESA-N 0 0 441.462 -0.302 20 0 IBADRN COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1S(=O)(=O)c1cnn(Cc2ccccc2)c1 ZINC001670660127 1070930611 /nfs/dbraw/zinc/93/06/11/1070930611.db2.gz XDLGNUDKCOPWCF-HOCLYGCPSA-N 0 0 447.473 -0.212 20 0 IBADRN COC(=O)c1c(F)cccc1S(=O)(=O)N1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)OC ZINC001670660144 1070931281 /nfs/dbraw/zinc/93/12/81/1070931281.db2.gz XLRRKRZIYAJYSK-ONGXEEELSA-N 0 0 443.409 -0.531 20 0 IBADRN Cn1cc(-c2cc3c(nn2)CCN(S(=O)(=O)CC2CN(S(C)(=O)=O)C2)C3)cn1 ZINC001670669962 1070932190 /nfs/dbraw/zinc/93/21/90/1070932190.db2.gz VXIJYJOKVHDIEP-UHFFFAOYSA-N 0 0 426.524 -0.544 20 0 IBADRN CN(C)S(=O)(=O)CCCS(=O)(=O)N[C@@H]1CCCC[C@H]1NS(=O)(=O)C1CC1 ZINC001670680620 1070934796 /nfs/dbraw/zinc/93/47/96/1070934796.db2.gz UFXVZKWJNBRDHO-ZIAGYGMSSA-N 0 0 431.602 -0.420 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)N2CCC(C(=O)OC(C)(C)C)CC2)C1 ZINC001670722421 1070951057 /nfs/dbraw/zinc/95/10/57/1070951057.db2.gz ASIKDFKNROIZMY-UHFFFAOYSA-N 0 0 426.561 -0.635 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NC1CN(S(=O)(=O)N(C)C)C1)C(=O)NCCC2 ZINC001670722548 1070951150 /nfs/dbraw/zinc/95/11/50/1070951150.db2.gz CPUIYINMMKUGIT-UHFFFAOYSA-N 0 0 432.524 -0.860 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NS(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CCC3)C1 ZINC001670723694 1070950991 /nfs/dbraw/zinc/95/09/91/1070950991.db2.gz WZUREVSAXHSPFC-UHFFFAOYSA-N 0 0 428.536 -0.319 20 0 IBADRN CON(C)C(=O)[C@@H](C)NS(=O)(=O)c1ccc(C)c(S(=O)(=O)N2CCOCC2)c1 ZINC001670723714 1070951032 /nfs/dbraw/zinc/95/10/32/1070951032.db2.gz XROYMVUVPZRHMT-CYBMUJFWSA-N 0 0 435.524 -0.297 20 0 IBADRN COCc1ccc(C(=O)OC)cc1S(=O)(=O)N1CCOC[C@@H]1CNS(C)(=O)=O ZINC001670727566 1070951696 /nfs/dbraw/zinc/95/16/96/1070951696.db2.gz AYFQFNNKVHRVIJ-AWEZNQCLSA-N 0 0 436.508 -0.442 20 0 IBADRN COCc1ccc(C(=O)OC)cc1S(=O)(=O)N1CCOC[C@H]1CNS(C)(=O)=O ZINC001670727567 1070951851 /nfs/dbraw/zinc/95/18/51/1070951851.db2.gz AYFQFNNKVHRVIJ-CQSZACIVSA-N 0 0 436.508 -0.442 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)c1ccc(Br)nc1F ZINC001670727669 1070951864 /nfs/dbraw/zinc/95/18/64/1070951864.db2.gz CSCGLKKZGWEEBV-MRVPVSSYSA-N 0 0 432.293 -0.078 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)c1ccc(Br)nc1F ZINC001670727675 1070951761 /nfs/dbraw/zinc/95/17/61/1070951761.db2.gz CSCGLKKZGWEEBV-QMMMGPOBSA-N 0 0 432.293 -0.078 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC001670727878 1070951858 /nfs/dbraw/zinc/95/18/58/1070951858.db2.gz HIOAIWVJFZXHHQ-CYBMUJFWSA-N 0 0 445.519 -0.748 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)CCCN1C(=O)c2ccccc2C1=O ZINC001670727879 1070951796 /nfs/dbraw/zinc/95/17/96/1070951796.db2.gz HIOAIWVJFZXHHQ-ZDUSSCGKSA-N 0 0 445.519 -0.748 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1S(=O)(=O)Cc1cccc(S(C)(=O)=O)c1 ZINC001670727918 1070951741 /nfs/dbraw/zinc/95/17/41/1070951741.db2.gz ISWRBMOPBZYTMG-CYBMUJFWSA-N 0 0 426.538 -0.830 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1S(=O)(=O)Cc1cccc(S(C)(=O)=O)c1 ZINC001670727919 1070951686 /nfs/dbraw/zinc/95/16/86/1070951686.db2.gz ISWRBMOPBZYTMG-ZDUSSCGKSA-N 0 0 426.538 -0.830 20 0 IBADRN COc1cc2c(cc1S(=O)(=O)NCCCn1c(=O)ccn(C)c1=O)C(=O)NCCC2 ZINC001670735420 1071057916 /nfs/dbraw/zinc/05/79/16/1071057916.db2.gz CEPFPRSIQLQZBI-UHFFFAOYSA-N 0 0 436.490 -0.400 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)NCc2ccc(CN3CCS(=O)(=O)CC3)cc2)n[nH]1 ZINC001670735828 1071059201 /nfs/dbraw/zinc/05/92/01/1071059201.db2.gz QDRFULGNSXYYCQ-UHFFFAOYSA-N 0 0 442.519 -0.095 20 0 IBADRN Cn1ccc(=O)n(CCCNS(=O)(=O)c2cccc(S(=O)(=O)NC3CC3)c2)c1=O ZINC001670735873 1071059873 /nfs/dbraw/zinc/05/98/73/1071059873.db2.gz RGSFSRUTVVCDCR-UHFFFAOYSA-N 0 0 442.519 -0.644 20 0 IBADRN CCS(=O)(=O)Nc1ccc(S(=O)(=O)NCCCn2c(=O)ccn(C)c2=O)cc1F ZINC001670735989 1071059046 /nfs/dbraw/zinc/05/90/46/1071059046.db2.gz WLDKYCYKEUTZNB-UHFFFAOYSA-N 0 0 448.498 -0.184 20 0 IBADRN CN(C)[S@](=O)(=NS(=O)(=O)NCCCn1c(=O)ccn(C)c1=O)c1ccccc1 ZINC001670736018 1071059165 /nfs/dbraw/zinc/05/91/65/1071059165.db2.gz XJENCJBZJPCMJR-HHHXNRCGSA-N 0 0 429.524 -0.225 20 0 IBADRN CN(C)[S@@](=O)(=NS(=O)(=O)NCCCn1c(=O)ccn(C)c1=O)c1ccccc1 ZINC001670736019 1071060006 /nfs/dbraw/zinc/06/00/06/1071060006.db2.gz XJENCJBZJPCMJR-MHZLTWQESA-N 0 0 429.524 -0.225 20 0 IBADRN O=C(c1ccc(S(=O)(=O)NCC23COCCN2CCOC3)cc1)N1CCOCC1 ZINC001670740774 1071083522 /nfs/dbraw/zinc/08/35/22/1071083522.db2.gz YEVIUUQAKABHQD-UHFFFAOYSA-N 0 0 425.507 -0.462 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)NC[C@@]23COCCN2C(=O)COC3)c(C)s1 ZINC001670745175 1071091421 /nfs/dbraw/zinc/09/14/21/1071091421.db2.gz YINSAQCXRTVYMH-KRWDZBQOSA-N 0 0 446.551 -0.430 20 0 IBADRN Cc1cc(S(=O)(=O)NCCNC(=O)NC[C@]23COCCN2C(=O)COC3)c(C)s1 ZINC001670745176 1071091211 /nfs/dbraw/zinc/09/12/11/1071091211.db2.gz YINSAQCXRTVYMH-QGZVFWFLSA-N 0 0 446.551 -0.430 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCCN(C)S(=O)(=O)c2cccs2)CC1 ZINC001670752419 1071118702 /nfs/dbraw/zinc/11/87/02/1071118702.db2.gz BBHJKFJFPYKMQH-UHFFFAOYSA-N 0 0 445.567 -0.251 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NCCN(C)S(=O)(=O)c2cccs2)CC1 ZINC001670752712 1071119621 /nfs/dbraw/zinc/11/96/21/1071119621.db2.gz IPMDZVLHDKMHCJ-UHFFFAOYSA-N 0 0 425.558 -0.840 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NS(=O)(=O)c1ccccc1S(=O)(=O)N1CCCC1 ZINC001670759764 1071267673 /nfs/dbraw/zinc/26/76/73/1071267673.db2.gz PNOAPSPPZSLLQX-STQMWFEESA-N 0 0 447.535 -0.184 20 0 IBADRN COC(=O)C[C@@H](O)CNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001670763305 1071333136 /nfs/dbraw/zinc/33/31/36/1071333136.db2.gz UZSBUKXNRRTDED-CVEARBPZSA-N 0 0 430.479 -0.133 20 0 IBADRN COC(=O)C[C@H](O)CNS(=O)(=O)C[C@@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001670763311 1071333916 /nfs/dbraw/zinc/33/39/16/1071333916.db2.gz UZSBUKXNRRTDED-HOTGVXAUSA-N 0 0 430.479 -0.133 20 0 IBADRN COC(=O)C[C@@H](O)CNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001670763312 1071334075 /nfs/dbraw/zinc/33/40/75/1071334075.db2.gz UZSBUKXNRRTDED-HZPDHXFCSA-N 0 0 430.479 -0.133 20 0 IBADRN COC(=O)C[C@H](O)CNS(=O)(=O)C[C@H]1CN(C(=O)OCc2ccccc2)CCO1 ZINC001670763313 1071333783 /nfs/dbraw/zinc/33/37/83/1071333783.db2.gz UZSBUKXNRRTDED-JKSUJKDBSA-N 0 0 430.479 -0.133 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC001670770085 1071419806 /nfs/dbraw/zinc/41/98/06/1071419806.db2.gz GTIMRKCKVXWPGB-UHFFFAOYSA-N 0 0 425.558 -0.746 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC001670772122 1071423311 /nfs/dbraw/zinc/42/33/11/1071423311.db2.gz ZLDORFXUMKATAK-UHFFFAOYSA-N 0 0 431.540 -0.594 20 0 IBADRN Cn1cnnc1S(=O)(=O)N1CCN(c2ncc(I)cn2)CC1 ZINC001670779193 1071445777 /nfs/dbraw/zinc/44/57/77/1071445777.db2.gz SVCUYSPXTSDZJU-UHFFFAOYSA-N 0 0 435.251 -0.279 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001670779301 1071448046 /nfs/dbraw/zinc/44/80/46/1071448046.db2.gz ALMHVMXFIWMIOV-JKSUJKDBSA-N 0 0 432.506 -0.080 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@H]1CN(Cc2ccncc2)CCO1 ZINC001670780114 1071454472 /nfs/dbraw/zinc/45/44/72/1071454472.db2.gz OWTPLXWTRJPOSW-KRWDZBQOSA-N 0 0 434.522 -0.045 20 0 IBADRN O=C(NCCNS(=O)(=O)c1cccnc1)NC[C@@H]1CN(Cc2ccncc2)CCO1 ZINC001670780115 1071454332 /nfs/dbraw/zinc/45/43/32/1071454332.db2.gz OWTPLXWTRJPOSW-QGZVFWFLSA-N 0 0 434.522 -0.045 20 0 IBADRN CN1C2(CCC2)COC[C@]1(CO)CNC(=O)NCCNS(=O)(=O)c1cccnc1 ZINC001670780409 1071454653 /nfs/dbraw/zinc/45/46/53/1071454653.db2.gz UYWAVXANRRVHDN-GOSISDBHSA-N 0 0 427.527 -0.725 20 0 IBADRN CN1C2(CCC2)COC[C@@]1(CO)CNC(=O)NCCNS(=O)(=O)c1cccnc1 ZINC001670780410 1071454566 /nfs/dbraw/zinc/45/45/66/1071454566.db2.gz UYWAVXANRRVHDN-SFHVURJKSA-N 0 0 427.527 -0.725 20 0 IBADRN COC(OC)C(=O)N1CCN(C(=O)NCCNS(=O)(=O)c2ccc(C)s2)CC1 ZINC001670795405 1071549489 /nfs/dbraw/zinc/54/94/89/1071549489.db2.gz KCFNHXFMTSMEEL-UHFFFAOYSA-N 0 0 434.540 -0.192 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCO[C@@]3(CCS(=O)(=O)C3)C2)s1 ZINC001670795958 1071568298 /nfs/dbraw/zinc/56/82/98/1071568298.db2.gz TWDXBFGYKZZGCI-HNNXBMFYSA-N 0 0 437.565 -0.066 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCNC(=O)N2CCO[C@]3(CCS(=O)(=O)C3)C2)s1 ZINC001670795959 1071568497 /nfs/dbraw/zinc/56/84/97/1071568497.db2.gz TWDXBFGYKZZGCI-OAHLLOKOSA-N 0 0 437.565 -0.066 20 0 IBADRN CN(C)S(=O)(=O)CCS(=O)(=O)N1CCC(N(CCO)C(=O)OC(C)(C)C)CC1 ZINC001670802298 1072158762 /nfs/dbraw/zinc/15/87/62/1072158762.db2.gz SWIXATLKYCOUFJ-UHFFFAOYSA-N 0 0 443.588 -0.099 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)c2ccc(F)c(S(C)(=O)=O)c2)CC1 ZINC001670810173 1072292580 /nfs/dbraw/zinc/29/25/80/1072292580.db2.gz DLASAZTZUCMHGN-UHFFFAOYSA-N 0 0 435.499 -0.412 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(S(=O)(=O)CCNC(=O)OCc2ccccc2)CC1 ZINC001670810181 1072292652 /nfs/dbraw/zinc/29/26/52/1072292652.db2.gz DNDXQZARRKELJP-UHFFFAOYSA-N 0 0 440.522 -0.087 20 0 IBADRN CCOC(=O)C(=O)c1cc(S(=O)(=O)N2CCCN(C(=O)CNC(C)=O)CC2)cn1C ZINC001670811174 1072296862 /nfs/dbraw/zinc/29/68/62/1072296862.db2.gz ULPJXVDLHCNENG-UHFFFAOYSA-N 0 0 442.494 -0.870 20 0 IBADRN NS(=O)(=O)NC1CCN(S(=O)(=O)c2cc3c4c(c2)CCC(=O)N4CCC3)CC1 ZINC001670814948 1072321409 /nfs/dbraw/zinc/32/14/09/1072321409.db2.gz ARALTRGNONAIME-UHFFFAOYSA-N 0 0 428.536 -0.142 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001670815996 1072528961 /nfs/dbraw/zinc/52/89/61/1072528961.db2.gz IMFZXFYKXLLRDF-UHFFFAOYSA-N 0 0 447.535 -0.181 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)c1cnn(C)c1I ZINC001670816336 1072541015 /nfs/dbraw/zinc/54/10/15/1072541015.db2.gz NPOYADXFCVELOV-UHFFFAOYSA-N 0 0 444.251 -0.628 20 0 IBADRN NS(=O)(=O)NC1CCN(S(=O)(=O)c2ccc(C(=O)NCC(F)(F)F)cc2)CC1 ZINC001670816802 1072553384 /nfs/dbraw/zinc/55/33/84/1072553384.db2.gz WDYSCZVANNMIRR-UHFFFAOYSA-N 0 0 444.457 -0.075 20 0 IBADRN COC(=O)CCNC(=O)CCNS(=O)(=O)c1cc(C(=O)NCC(F)(F)F)n(C)c1 ZINC001670816818 1072553306 /nfs/dbraw/zinc/55/33/06/1072553306.db2.gz WLWVHJSFWYDDIQ-UHFFFAOYSA-N 0 0 442.416 -0.335 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)Nc2ccccc2CN2CCN(C(C)=O)CC2)CC1 ZINC001670818442 1072595640 /nfs/dbraw/zinc/59/56/40/1072595640.db2.gz WHAIGOYKNJTJJX-UHFFFAOYSA-N 0 0 438.554 -0.036 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)OC)C1 ZINC001670828708 1072877901 /nfs/dbraw/zinc/87/79/01/1072877901.db2.gz PUBXWZGQVXJCNV-CHWSQXEVSA-N 0 0 426.513 -0.832 20 0 IBADRN CCOC(=O)[C@@H]1CCCN(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)OC)C1 ZINC001670828709 1072877943 /nfs/dbraw/zinc/87/79/43/1072877943.db2.gz PUBXWZGQVXJCNV-OLZOCXBDSA-N 0 0 426.513 -0.832 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)OC)C1 ZINC001670828710 1072877731 /nfs/dbraw/zinc/87/77/31/1072877731.db2.gz PUBXWZGQVXJCNV-QWHCGFSZSA-N 0 0 426.513 -0.832 20 0 IBADRN CCOC(=O)[C@H]1CCCN(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)OC)C1 ZINC001670828711 1072877704 /nfs/dbraw/zinc/87/77/04/1072877704.db2.gz PUBXWZGQVXJCNV-STQMWFEESA-N 0 0 426.513 -0.832 20 0 IBADRN COC(=O)C[C@@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C ZINC001670829073 1072877915 /nfs/dbraw/zinc/87/79/15/1072877915.db2.gz WFBQWCWPBHOONA-GFCCVEGCSA-N 0 0 439.533 -0.251 20 0 IBADRN COC(=O)C[C@H]1CS(=O)(=O)CCN1S(=O)(=O)c1cc(S(C)(=O)=O)ccc1C ZINC001670829074 1072877954 /nfs/dbraw/zinc/87/79/54/1072877954.db2.gz WFBQWCWPBHOONA-LBPRGKRZSA-N 0 0 439.533 -0.251 20 0 IBADRN CCS(=O)(=O)CCn1cc(C)c(NC(=O)N2CCN(C(=O)C(OC)OC)CC2)n1 ZINC001670830827 1072885393 /nfs/dbraw/zinc/88/53/93/1072885393.db2.gz OFONJFKCPADENQ-UHFFFAOYSA-N 0 0 431.515 -0.079 20 0 IBADRN Cc1ccc(S(=O)(=O)NCCn2cnccc2=O)cc1S(=O)(=O)N1CCOCC1 ZINC001670840539 1072889511 /nfs/dbraw/zinc/88/95/11/1072889511.db2.gz CXHWUQNZOVMFPT-UHFFFAOYSA-N 0 0 442.519 -0.449 20 0 IBADRN Cc1c(NC(=O)NCCN2CCN(S(C)(=O)=O)CC2)cccc1S(=O)(=O)N(C)C ZINC001670886526 1072744041 /nfs/dbraw/zinc/74/40/41/1072744041.db2.gz AGOWVQOXLVBISI-UHFFFAOYSA-N 0 0 447.583 -0.056 20 0 IBADRN CCNS(=O)(=O)CCNC(=O)Nc1ccc(N2CCS(=O)(=O)CC2)cc1OC ZINC001670906265 1073107111 /nfs/dbraw/zinc/10/71/11/1073107111.db2.gz NXZXJAKZVJDPLB-UHFFFAOYSA-N 0 0 434.540 -0.009 20 0 IBADRN CNC(=O)[C@@H]1CN(C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)CCO1 ZINC001670906266 1073107200 /nfs/dbraw/zinc/10/72/00/1073107200.db2.gz OBOBJHUHOXJRJZ-INIZCTEOSA-N 0 0 426.495 -0.091 20 0 IBADRN CNC(=O)[C@H]1CN(C(=O)Nc2ccc(N3CCS(=O)(=O)CC3)cc2OC)CCO1 ZINC001670906267 1073107289 /nfs/dbraw/zinc/10/72/89/1073107289.db2.gz OBOBJHUHOXJRJZ-MRXNPFEDSA-N 0 0 426.495 -0.091 20 0 IBADRN NC(=O)CN1CCC(NS(=O)(=O)CCOCCN2C(=O)c3ccccc3C2=O)CC1 ZINC001670922710 1073120249 /nfs/dbraw/zinc/12/02/49/1073120249.db2.gz DGRAWRKYGIKQMZ-UHFFFAOYSA-N 0 0 438.506 -0.832 20 0 IBADRN CC(C)(CCS(=O)(=O)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1)S(C)(=O)=O ZINC001670927081 1073120274 /nfs/dbraw/zinc/12/02/74/1073120274.db2.gz DAZXKDVWMNIFLA-AWEZNQCLSA-N 0 0 430.614 -0.276 20 0 IBADRN CC(C)(CCS(=O)(=O)N1CCN(C[C@H]2CCCS2(=O)=O)CC1)S(C)(=O)=O ZINC001670927082 1073120482 /nfs/dbraw/zinc/12/04/82/1073120482.db2.gz DAZXKDVWMNIFLA-CQSZACIVSA-N 0 0 430.614 -0.276 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CS(=O)(=O)C2)N1CCN(C[C@@H]2CCCS2(=O)=O)CC1 ZINC001670927481 1073120238 /nfs/dbraw/zinc/12/02/38/1073120238.db2.gz LWNAPTATIOIAKG-KRWDZBQOSA-N 0 0 448.588 -0.002 20 0 IBADRN O=S(=O)(c1ccc2c(c1)CS(=O)(=O)C2)N1CCN(C[C@H]2CCCS2(=O)=O)CC1 ZINC001670927482 1073120477 /nfs/dbraw/zinc/12/04/77/1073120477.db2.gz LWNAPTATIOIAKG-QGZVFWFLSA-N 0 0 448.588 -0.002 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c(F)cc1Br ZINC001670932279 1073120424 /nfs/dbraw/zinc/12/04/24/1073120424.db2.gz KCLGEBOONDWXLE-NXEZZACHSA-N 0 0 446.272 -0.189 20 0 IBADRN O=C(OCc1ccccc1)N1CC[C@H](CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)C1 ZINC001670932286 1073120327 /nfs/dbraw/zinc/12/03/27/1073120327.db2.gz KLRGXQOBMINANH-ARFHVFGLSA-N 0 0 432.520 -0.278 20 0 IBADRN O=C(OCc1ccccc1)N1CC[C@@H](CS(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)C1 ZINC001670932287 1073120997 /nfs/dbraw/zinc/12/09/97/1073120997.db2.gz KLRGXQOBMINANH-BZUAXINKSA-N 0 0 432.520 -0.278 20 0 IBADRN COC(=O)c1cccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c1Br ZINC001670932665 1073120990 /nfs/dbraw/zinc/12/09/90/1073120990.db2.gz NPMHLVQGCCJDMB-RKDXNWHRSA-N 0 0 428.282 -0.328 20 0 IBADRN COC(=O)c1cc(F)c(Br)c(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)c1 ZINC001670932702 1073121091 /nfs/dbraw/zinc/12/10/91/1073121091.db2.gz ODJFHTPZVXENAM-RKDXNWHRSA-N 0 0 446.272 -0.189 20 0 IBADRN O=C(NCCCc1ccc(S(=O)(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc1)C(F)(F)F ZINC001670933729 1073121052 /nfs/dbraw/zinc/12/10/52/1073121052.db2.gz WZDZCYTYYOJZBA-CHWSQXEVSA-N 0 0 444.453 -0.266 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC001670938832 1073120963 /nfs/dbraw/zinc/12/09/63/1073120963.db2.gz GTSZXCAIUVYHGM-AWEZNQCLSA-N 0 0 431.540 -0.071 20 0 IBADRN CS(C)(=O)=NS(=O)(=O)CCNC(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC001670938833 1073120913 /nfs/dbraw/zinc/12/09/13/1073120913.db2.gz GTSZXCAIUVYHGM-CQSZACIVSA-N 0 0 431.540 -0.071 20 0 IBADRN NC(=O)CN1CCN(C(=O)Nc2cc(N3CCOCC3)c(Br)cn2)CC1=O ZINC001670941904 1073120953 /nfs/dbraw/zinc/12/09/53/1073120953.db2.gz JRKRKKPVULLVAT-UHFFFAOYSA-N 0 0 441.286 -0.158 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC001670943889 1073121031 /nfs/dbraw/zinc/12/10/31/1073121031.db2.gz BFCKGIULLNRMPI-CVEARBPZSA-N 0 0 425.511 -0.468 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC001670943890 1073120943 /nfs/dbraw/zinc/12/09/43/1073120943.db2.gz BFCKGIULLNRMPI-HOTGVXAUSA-N 0 0 425.511 -0.468 20 0 IBADRN CS(=O)(=O)NC[C@@H]1COCCN1C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC001670943891 1073120971 /nfs/dbraw/zinc/12/09/71/1073120971.db2.gz BFCKGIULLNRMPI-HZPDHXFCSA-N 0 0 425.511 -0.468 20 0 IBADRN CS(=O)(=O)NC[C@H]1COCCN1C(=O)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC001670943892 1073121108 /nfs/dbraw/zinc/12/11/08/1073121108.db2.gz BFCKGIULLNRMPI-JKSUJKDBSA-N 0 0 425.511 -0.468 20 0 IBADRN CN1C(=O)[C@H]2CN(S(=O)(=O)c3ccccc3S(=O)(=O)N3CCCC3)C[C@H]2C1=O ZINC001670954562 1073121413 /nfs/dbraw/zinc/12/14/13/1073121413.db2.gz BRNGCCINSTYZJJ-BETUJISGSA-N 0 0 427.504 -0.294 20 0 IBADRN O=S(=O)(N[C@@H](CO)CN1CCOCC1)c1ccc(S(=O)(=O)C(F)(F)F)cc1 ZINC001670964443 1073122152 /nfs/dbraw/zinc/12/21/52/1073122152.db2.gz BCMOVZFXGRWSOT-LLVKDONJSA-N 0 0 432.442 -0.049 20 0 IBADRN O=S(=O)(N[C@H](CO)CN1CCOCC1)c1ccc(S(=O)(=O)C(F)(F)F)cc1 ZINC001670964444 1073122188 /nfs/dbraw/zinc/12/21/88/1073122188.db2.gz BCMOVZFXGRWSOT-NSHDSACASA-N 0 0 432.442 -0.049 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N[C@H](CO)CN2CCOCC2)c1 ZINC001670968795 1073123218 /nfs/dbraw/zinc/12/32/18/1073123218.db2.gz CTONFNJZUSTAMQ-HNNXBMFYSA-N 0 0 435.568 -0.312 20 0 IBADRN CCN(CC)S(=O)(=O)c1cccc(S(=O)(=O)N[C@@H](CO)CN2CCOCC2)c1 ZINC001670968796 1073123286 /nfs/dbraw/zinc/12/32/86/1073123286.db2.gz CTONFNJZUSTAMQ-OAHLLOKOSA-N 0 0 435.568 -0.312 20 0 IBADRN O=C(NCC(F)(F)F)c1ccc(S(=O)(=O)N[C@@H](CO)CN2CCOCC2)cc1 ZINC001670969239 1073123316 /nfs/dbraw/zinc/12/33/16/1073123316.db2.gz IZYYNZXNXADBBW-CYBMUJFWSA-N 0 0 425.429 -0.050 20 0 IBADRN O=C(NCC(F)(F)F)c1ccc(S(=O)(=O)N[C@H](CO)CN2CCOCC2)cc1 ZINC001670969240 1073123202 /nfs/dbraw/zinc/12/32/02/1073123202.db2.gz IZYYNZXNXADBBW-ZDUSSCGKSA-N 0 0 425.429 -0.050 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CCN(c3ccccc3S(N)(=O)=O)CC2)n(C)c1 ZINC001670978829 1073123328 /nfs/dbraw/zinc/12/33/28/1073123328.db2.gz HGHKOTYOTLCQFO-UHFFFAOYSA-N 0 0 442.519 -0.030 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(S(=O)(=O)N2CCO[C@@H](Cn3ccnn3)C2)cc1 ZINC001670979105 1073123660 /nfs/dbraw/zinc/12/36/60/1073123660.db2.gz NJHDFOFYJPKQGJ-AWEZNQCLSA-N 0 0 427.508 -0.192 20 0 IBADRN O=S(=O)(NC1CC1)c1ccc(S(=O)(=O)N2CCO[C@H](Cn3ccnn3)C2)cc1 ZINC001670979106 1073123790 /nfs/dbraw/zinc/12/37/90/1073123790.db2.gz NJHDFOFYJPKQGJ-CQSZACIVSA-N 0 0 427.508 -0.192 20 0 IBADRN CN(C)[S@@](C)(=O)=NS(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC001670979327 1073123839 /nfs/dbraw/zinc/12/38/39/1073123839.db2.gz SEEYDGBXSWZFRU-DEOSSOPVSA-N 0 0 425.558 -0.725 20 0 IBADRN CN(C)[S@](C)(=O)=NS(=O)(=O)N1CCN(c2ccccc2S(N)(=O)=O)CC1 ZINC001670979328 1073123651 /nfs/dbraw/zinc/12/36/51/1073123651.db2.gz SEEYDGBXSWZFRU-XMMPIXPASA-N 0 0 425.558 -0.725 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)N[C@@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001670979746 1073123210 /nfs/dbraw/zinc/12/32/10/1073123210.db2.gz VUFFPYXZPNCUBQ-AUUYWEPGSA-N 0 0 437.522 -0.252 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)N[C@H]2CCO[C@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001670979747 1073123246 /nfs/dbraw/zinc/12/32/46/1073123246.db2.gz VUFFPYXZPNCUBQ-IFXJQAMLSA-N 0 0 437.522 -0.252 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)N[C@@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001670979748 1073123705 /nfs/dbraw/zinc/12/37/05/1073123705.db2.gz VUFFPYXZPNCUBQ-KUHUBIRLSA-N 0 0 437.522 -0.252 20 0 IBADRN NC(=O)c1cccnc1N1CCN(C(=O)N[C@H]2CCO[C@@]3(CCS(=O)(=O)C3)C2)CC1 ZINC001670979749 1073123731 /nfs/dbraw/zinc/12/37/31/1073123731.db2.gz VUFFPYXZPNCUBQ-LIRRHRJNSA-N 0 0 437.522 -0.252 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001670982934 1073123811 /nfs/dbraw/zinc/12/38/11/1073123811.db2.gz BZGIRHKAYCRLGE-INIZCTEOSA-N 0 0 434.492 -0.995 20 0 IBADRN COc1ccc(S(C)(=O)=O)cc1S(=O)(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001670982935 1073123737 /nfs/dbraw/zinc/12/37/37/1073123737.db2.gz BZGIRHKAYCRLGE-MRXNPFEDSA-N 0 0 434.492 -0.995 20 0 IBADRN O=C1COC[C@]2(CNS(=O)(=O)c3ccccc3S(=O)(=O)C(F)F)COCCN12 ZINC001670983215 1073123668 /nfs/dbraw/zinc/12/36/68/1073123668.db2.gz LBEJLKKSKILTRG-HNNXBMFYSA-N 0 0 440.446 -0.411 20 0 IBADRN O=C1COC[C@@]2(CNS(=O)(=O)c3ccccc3S(=O)(=O)C(F)F)COCCN12 ZINC001670983216 1073123744 /nfs/dbraw/zinc/12/37/44/1073123744.db2.gz LBEJLKKSKILTRG-OAHLLOKOSA-N 0 0 440.446 -0.411 20 0 IBADRN COCc1ccc(C(=O)OC)cc1S(=O)(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001670983432 1073123750 /nfs/dbraw/zinc/12/37/50/1073123750.db2.gz OUHVGNVNDSIDMN-GOSISDBHSA-N 0 0 428.463 -0.474 20 0 IBADRN COCc1ccc(C(=O)OC)cc1S(=O)(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001670983433 1073123801 /nfs/dbraw/zinc/12/38/01/1073123801.db2.gz OUHVGNVNDSIDMN-SFHVURJKSA-N 0 0 428.463 -0.474 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001670983448 1073123851 /nfs/dbraw/zinc/12/38/51/1073123851.db2.gz PAJDHDMHWQQMET-GOSISDBHSA-N 0 0 428.463 -0.222 20 0 IBADRN CCOc1ccc(C(=O)OC)cc1S(=O)(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001670983450 1073124286 /nfs/dbraw/zinc/12/42/86/1073124286.db2.gz PAJDHDMHWQQMET-SFHVURJKSA-N 0 0 428.463 -0.222 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001670983598 1073124334 /nfs/dbraw/zinc/12/43/34/1073124334.db2.gz DNGSSDXIXCRETC-MDBPOYHNSA-N 0 0 436.552 -0.242 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001670983599 1073124357 /nfs/dbraw/zinc/12/43/57/1073124357.db2.gz DNGSSDXIXCRETC-MUIFIZLQSA-N 0 0 436.552 -0.242 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001670983600 1073124330 /nfs/dbraw/zinc/12/43/30/1073124330.db2.gz DNGSSDXIXCRETC-SRABZTEZSA-N 0 0 436.552 -0.242 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001670983601 1073124348 /nfs/dbraw/zinc/12/43/48/1073124348.db2.gz DNGSSDXIXCRETC-YALNPMBYSA-N 0 0 436.552 -0.242 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001670983726 1073124297 /nfs/dbraw/zinc/12/42/97/1073124297.db2.gz QYNKYRQCWQYVBC-KRWDZBQOSA-N 0 0 432.520 -0.224 20 0 IBADRN CCCS(=O)(=O)c1ccc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001670983728 1073124353 /nfs/dbraw/zinc/12/43/53/1073124353.db2.gz QYNKYRQCWQYVBC-QGZVFWFLSA-N 0 0 432.520 -0.224 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001670983844 1073124247 /nfs/dbraw/zinc/12/42/47/1073124247.db2.gz HKMQYMJQPOHVEA-PBHICJAKSA-N 0 0 435.568 -0.068 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001670983845 1073124303 /nfs/dbraw/zinc/12/43/03/1073124303.db2.gz HKMQYMJQPOHVEA-RHSMWYFYSA-N 0 0 435.568 -0.068 20 0 IBADRN O=C(N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001670983846 1073124279 /nfs/dbraw/zinc/12/42/79/1073124279.db2.gz HKMQYMJQPOHVEA-WMLDXEAASA-N 0 0 435.568 -0.068 20 0 IBADRN O=C(N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001670983847 1073124289 /nfs/dbraw/zinc/12/42/89/1073124289.db2.gz HKMQYMJQPOHVEA-YOEHRIQHSA-N 0 0 435.568 -0.068 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NC[C@@]34COCCN3C(=O)COC4)cc2CC1 ZINC001670984009 1073124282 /nfs/dbraw/zinc/12/42/82/1073124282.db2.gz UGONZCFEEOHSGB-FQEVSTJZSA-N 0 0 437.518 -0.460 20 0 IBADRN CC(=O)N1CCc2ccc(S(=O)(=O)NC[C@]34COCCN3C(=O)COC4)cc2CC1 ZINC001670984010 1073124339 /nfs/dbraw/zinc/12/43/39/1073124339.db2.gz UGONZCFEEOHSGB-HXUWFJFHSA-N 0 0 437.518 -0.460 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@@]23COCCN2C(=O)COC3)cc1 ZINC001670984347 1073124325 /nfs/dbraw/zinc/12/43/25/1073124325.db2.gz XNZHGAYJDYYZCH-KRWDZBQOSA-N 0 0 447.535 -0.719 20 0 IBADRN CCCNS(=O)(=O)c1ccc(S(=O)(=O)NC[C@]23COCCN2C(=O)COC3)cc1 ZINC001670984348 1073124308 /nfs/dbraw/zinc/12/43/08/1073124308.db2.gz XNZHGAYJDYYZCH-QGZVFWFLSA-N 0 0 447.535 -0.719 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)N[C@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)CC2)CC1 ZINC001670985056 1073124239 /nfs/dbraw/zinc/12/42/39/1073124239.db2.gz RYQKLZYCUNLECO-FXAWDEMLSA-N 0 0 442.582 -0.082 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)N[C@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)CC2)CC1 ZINC001670985057 1073124260 /nfs/dbraw/zinc/12/42/60/1073124260.db2.gz RYQKLZYCUNLECO-PXNSSMCTSA-N 0 0 442.582 -0.082 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)N[C@@H]3CCO[C@@]4(CCS(=O)(=O)C4)C3)CC2)CC1 ZINC001670985058 1073124265 /nfs/dbraw/zinc/12/42/65/1073124265.db2.gz RYQKLZYCUNLECO-XLIONFOSSA-N 0 0 442.582 -0.082 20 0 IBADRN CN1CCN(C(=O)C2CCN(C(=O)N[C@@H]3CCO[C@]4(CCS(=O)(=O)C4)C3)CC2)CC1 ZINC001670985059 1073124315 /nfs/dbraw/zinc/12/43/15/1073124315.db2.gz RYQKLZYCUNLECO-YLJYHZDGSA-N 0 0 442.582 -0.082 20 0 IBADRN O=C(N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001670985938 1073124272 /nfs/dbraw/zinc/12/42/72/1073124272.db2.gz XARBPNKJWKBHDE-PBHICJAKSA-N 0 0 436.552 -0.288 20 0 IBADRN O=C(N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001670985939 1073124948 /nfs/dbraw/zinc/12/49/48/1073124948.db2.gz XARBPNKJWKBHDE-RHSMWYFYSA-N 0 0 436.552 -0.288 20 0 IBADRN O=C(N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001670985940 1073124718 /nfs/dbraw/zinc/12/47/18/1073124718.db2.gz XARBPNKJWKBHDE-WMLDXEAASA-N 0 0 436.552 -0.288 20 0 IBADRN O=C(N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1)N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001670985941 1073124896 /nfs/dbraw/zinc/12/48/96/1073124896.db2.gz XARBPNKJWKBHDE-YOEHRIQHSA-N 0 0 436.552 -0.288 20 0 IBADRN C[C@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001671005970 1073125483 /nfs/dbraw/zinc/12/54/83/1073125483.db2.gz ODZSRYJULIZMDA-CYBMUJFWSA-N 0 0 439.581 -0.395 20 0 IBADRN C[C@@H](CNS(=O)(=O)N1CCN(S(C)(=O)=O)CC1)c1ccc(S(C)(=O)=O)cc1 ZINC001671005971 1073125438 /nfs/dbraw/zinc/12/54/38/1073125438.db2.gz ODZSRYJULIZMDA-ZDUSSCGKSA-N 0 0 439.581 -0.395 20 0 IBADRN CNS(=O)(=O)NC1CCN(C(=O)NC2CCN(S(=O)(=O)CC(C)C)CC2)CC1 ZINC001671009353 1073125579 /nfs/dbraw/zinc/12/55/79/1073125579.db2.gz DJNYJMNMTOVPBJ-UHFFFAOYSA-N 0 0 439.604 -0.336 20 0 IBADRN COC(=O)[C@H](CCC1OCCO1)NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001671025216 1073126629 /nfs/dbraw/zinc/12/66/29/1073126629.db2.gz NPUZOMOPBMDOOZ-AWEZNQCLSA-N 0 0 436.508 -0.090 20 0 IBADRN COC(=O)[C@@H](CCC1OCCO1)NS(=O)(=O)c1cccc(S(=O)(=O)N(C)C)c1 ZINC001671025217 1073126598 /nfs/dbraw/zinc/12/65/98/1073126598.db2.gz NPUZOMOPBMDOOZ-CQSZACIVSA-N 0 0 436.508 -0.090 20 0 IBADRN COC(=O)[C@@H](CCC1OCCO1)NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC001671025373 1073126586 /nfs/dbraw/zinc/12/65/86/1073126586.db2.gz QDYKDLWTPHAXLH-GFCCVEGCSA-N 0 0 436.508 -0.090 20 0 IBADRN COC(=O)[C@H](CCC1OCCO1)NS(=O)(=O)c1ccccc1S(=O)(=O)N(C)C ZINC001671025374 1073126685 /nfs/dbraw/zinc/12/66/85/1073126685.db2.gz QDYKDLWTPHAXLH-LBPRGKRZSA-N 0 0 436.508 -0.090 20 0 IBADRN Cn1ncc(S(=O)(=O)NC[C@@]23COC(=O)N2CCOC3)c1I ZINC001671035948 1073126981 /nfs/dbraw/zinc/12/69/81/1073126981.db2.gz KPKCRXLKWGCGQS-LLVKDONJSA-N 0 0 442.235 -0.476 20 0 IBADRN Cn1ncc(S(=O)(=O)NC[C@]23COC(=O)N2CCOC3)c1I ZINC001671035949 1073127103 /nfs/dbraw/zinc/12/71/03/1073127103.db2.gz KPKCRXLKWGCGQS-NSHDSACASA-N 0 0 442.235 -0.476 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NC[C@]23COC(=O)N2CCOC3)cc1 ZINC001671036049 1073127237 /nfs/dbraw/zinc/12/72/37/1073127237.db2.gz OCEDFPXZSBQFEB-KRWDZBQOSA-N 0 0 448.519 0.000 20 0 IBADRN CCS(=O)(=O)CCOc1ccc(S(=O)(=O)NC[C@@]23COC(=O)N2CCOC3)cc1 ZINC001671036050 1073126916 /nfs/dbraw/zinc/12/69/16/1073126916.db2.gz OCEDFPXZSBQFEB-QGZVFWFLSA-N 0 0 448.519 0.000 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC4(C3)OCCO4)CC2)C[C@@H](C)O1 ZINC001671045716 1073126900 /nfs/dbraw/zinc/12/69/00/1073126900.db2.gz HSHLQLNMXZGASB-BZUAXINKSA-N 0 0 432.543 -0.037 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCC4(C3)OCCO4)CC2)C[C@@H](C)O1 ZINC001671045717 1073126870 /nfs/dbraw/zinc/12/68/70/1073126870.db2.gz HSHLQLNMXZGASB-OAGGEKHMSA-N 0 0 432.543 -0.037 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@@H]3CCC4(C3)OCCO4)CC2)C[C@H](C)O1 ZINC001671045718 1073126974 /nfs/dbraw/zinc/12/69/74/1073126974.db2.gz HSHLQLNMXZGASB-OWCLPIDISA-N 0 0 432.543 -0.037 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)N[C@H]3CCC4(C3)OCCO4)CC2)C[C@H](C)O1 ZINC001671045719 1073127738 /nfs/dbraw/zinc/12/77/38/1073127738.db2.gz HSHLQLNMXZGASB-XHSDSOJGSA-N 0 0 432.543 -0.037 20 0 IBADRN COCCN1C(=O)CCc2cc(NC(=O)N3CCN(C(=O)Cn4cnnn4)CC3)ccc21 ZINC001671054053 1073141058 /nfs/dbraw/zinc/14/10/58/1073141058.db2.gz RYDMPOJSPCNVEE-UHFFFAOYSA-N 0 0 442.480 -0.025 20 0 IBADRN COC(=O)[C@H]1C[C@@H](O)CN1S(=O)(=O)c1cc(C(N)=O)c(F)cc1Br ZINC001671057202 1073258118 /nfs/dbraw/zinc/25/81/18/1073258118.db2.gz AZLYTZVMNJEQHC-LHLIQPBNSA-N 0 0 425.232 -0.016 20 0 IBADRN CCS(=O)(=O)NCCc1ccc(S(=O)(=O)N2C[C@H](O)C[C@@H]2C(=O)OC)s1 ZINC001671058598 1073263451 /nfs/dbraw/zinc/26/34/51/1073263451.db2.gz ZNBPYZSCOSLBID-ZYHUDNBSSA-N 0 0 426.538 -0.473 20 0 IBADRN Cn1c2cc(Br)c(S(=O)(=O)N3C[C@H](CO)[C@H](CO)C3)cc2n(C)c1=O ZINC001671074308 1074070005 /nfs/dbraw/zinc/07/00/05/1074070005.db2.gz NBFNTGJCKFQYHS-AOOOYVTPSA-N 0 0 434.312 -0.139 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)O1 ZINC001671092789 1074478026 /nfs/dbraw/zinc/47/80/26/1074478026.db2.gz AQCCVFMLMOSDGY-JYJNAYRXSA-N 0 0 433.575 -0.124 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)O1 ZINC001671092790 1074478082 /nfs/dbraw/zinc/47/80/82/1074478082.db2.gz AQCCVFMLMOSDGY-OAGGEKHMSA-N 0 0 433.575 -0.124 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)NC[C@H](NS(=O)(=O)N(C)C)C2CCCC2)O1 ZINC001671092791 1074478076 /nfs/dbraw/zinc/47/80/76/1074478076.db2.gz AQCCVFMLMOSDGY-PMPSAXMXSA-N 0 0 433.575 -0.124 20 0 IBADRN CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)NC[C@@H](NS(=O)(=O)N(C)C)C2CCCC2)O1 ZINC001671092792 1074478119 /nfs/dbraw/zinc/47/81/19/1074478119.db2.gz AQCCVFMLMOSDGY-XHSDSOJGSA-N 0 0 433.575 -0.124 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCOC[C@@H]3CNC(=O)NC)ccc2O1 ZINC001671095212 1074478073 /nfs/dbraw/zinc/47/80/73/1074478073.db2.gz PJMNJDVRRFSYQL-BBRMVZONSA-N 0 0 427.479 -0.128 20 0 IBADRN CCOC(=O)[C@@H]1Cc2cc(S(=O)(=O)N3CCOC[C@H]3CNC(=O)NC)ccc2O1 ZINC001671095214 1074478108 /nfs/dbraw/zinc/47/81/08/1074478108.db2.gz PJMNJDVRRFSYQL-CJNGLKHVSA-N 0 0 427.479 -0.128 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCOC[C@H]3CNC(=O)NC)ccc2O1 ZINC001671095218 1074478112 /nfs/dbraw/zinc/47/81/12/1074478112.db2.gz PJMNJDVRRFSYQL-CZUORRHYSA-N 0 0 427.479 -0.128 20 0 IBADRN CCOC(=O)[C@H]1Cc2cc(S(=O)(=O)N3CCOC[C@@H]3CNC(=O)NC)ccc2O1 ZINC001671095221 1074478144 /nfs/dbraw/zinc/47/81/44/1074478144.db2.gz PJMNJDVRRFSYQL-XJKSGUPXSA-N 0 0 427.479 -0.128 20 0 IBADRN O=S(=O)(CCNS(=O)(=O)c1ccccc1)N1CCN(CCc2cnccn2)CC1 ZINC001671095706 1074478086 /nfs/dbraw/zinc/47/80/86/1074478086.db2.gz UMPGLPXSEUHTEA-UHFFFAOYSA-N 0 0 439.563 -0.055 20 0 IBADRN Cc1nn(CCS(=O)(=O)NCc2c(I)cnn2C)c(=O)o1 ZINC001671106478 1074478668 /nfs/dbraw/zinc/47/86/68/1074478668.db2.gz AIBUUVBLCYZVQL-UHFFFAOYSA-N 0 0 427.224 -0.398 20 0 IBADRN COC1(OC)CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C[C@@H]1O ZINC001671136451 1073170370 /nfs/dbraw/zinc/17/03/70/1073170370.db2.gz BECNTJIFIMTBLN-AWEZNQCLSA-N 0 0 430.483 -0.310 20 0 IBADRN COC1(OC)CCN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C[C@H]1O ZINC001671136453 1073170450 /nfs/dbraw/zinc/17/04/50/1073170450.db2.gz BECNTJIFIMTBLN-CQSZACIVSA-N 0 0 430.483 -0.310 20 0 IBADRN COC(=O)[C@@H]1CN(C(=O)Nc2ccc(S(=O)(=O)N3CCOCC3)cn2)C[C@H](C)O1 ZINC001671137533 1073181077 /nfs/dbraw/zinc/18/10/77/1073181077.db2.gz ODXYEZOFFVZLEL-JSGCOSHPSA-N 0 0 428.467 -0.103 20 0 IBADRN CN(CCNS(=O)(=O)c1ccccc1S(=O)(=O)C(F)F)CCS(C)(=O)=O ZINC001671253857 1070905237 /nfs/dbraw/zinc/90/52/37/1070905237.db2.gz FFNFLKJRTTYMRP-UHFFFAOYSA-N 0 0 434.508 -0.062 20 0 IBADRN CN(CCNS(=O)(=O)c1ccc(NS(C)(=O)=O)cc1F)CCS(C)(=O)=O ZINC001671254618 1070931381 /nfs/dbraw/zinc/93/13/81/1070931381.db2.gz LQRKXJULIMBBKF-UHFFFAOYSA-N 0 0 431.533 -0.548 20 0 IBADRN NC(=O)[C@@H](F)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001671254754 1070936022 /nfs/dbraw/zinc/93/60/22/1070936022.db2.gz OVIPUMONSZDVQN-INIZCTEOSA-N 0 0 449.526 -0.068 20 0 IBADRN NC(=O)[C@H](F)C1CCN(S(=O)(=O)c2ccc(S(=O)(=O)N3CCOCC3)cc2)CC1 ZINC001671254768 1070935899 /nfs/dbraw/zinc/93/58/99/1070935899.db2.gz OVIPUMONSZDVQN-MRXNPFEDSA-N 0 0 449.526 -0.068 20 0 IBADRN CN(CCNS(=O)(=O)c1ccc(C(=O)N2CCOCC2)cc1)CCS(C)(=O)=O ZINC001671255269 1071157519 /nfs/dbraw/zinc/15/75/19/1071157519.db2.gz XNSMTQGVCANASU-UHFFFAOYSA-N 0 0 433.552 -0.586 20 0 IBADRN Cn1ncnc1C1(O)CCN(S(=O)(=O)N2CCN(C(=O)OC(C)(C)C)CC2)CC1 ZINC001671260373 1073120363 /nfs/dbraw/zinc/12/03/63/1073120363.db2.gz XWPYHCNAJKZOFO-UHFFFAOYSA-N 0 0 430.531 -0.104 20 0 IBADRN Cc1ccc(S(=O)(=O)N(C)CCn2cnnc2)cc1S(=O)(=O)N1CCOCC1 ZINC001671264425 1070901531 /nfs/dbraw/zinc/90/15/31/1070901531.db2.gz NDHAZGSFYLKBMS-UHFFFAOYSA-N 0 0 429.524 -0.072 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)C[C@@H]2CCC3(CCOCC3)CO2)C[C@@H]1S(C)(=O)=O ZINC001671264773 1070905175 /nfs/dbraw/zinc/90/51/75/1070905175.db2.gz PSWTVRRQWQXMKO-GRDNDAEWSA-N 0 0 439.552 -0.142 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)C[C@H]2CCC3(CCOCC3)CO2)C[C@@H]1S(C)(=O)=O ZINC001671264780 1070905221 /nfs/dbraw/zinc/90/52/21/1070905221.db2.gz PSWTVRRQWQXMKO-JKIFEVAISA-N 0 0 439.552 -0.142 20 0 IBADRN C[C@H](Cc1cn(C)nn1)NS(=O)(=O)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC001671265202 1070910377 /nfs/dbraw/zinc/91/03/77/1070910377.db2.gz XTYDUOYLPQZUKH-CYBMUJFWSA-N 0 0 429.524 -0.255 20 0 IBADRN COC(=O)[C@@]1(CNS(=O)(=O)c2cc3c4c(c2)CCCN4C(=O)C3)C[C@@H]1S(C)(=O)=O ZINC001671265270 1070910361 /nfs/dbraw/zinc/91/03/61/1070910361.db2.gz ZPEHTMXLHHAKME-KBXCAEBGSA-N 0 0 442.515 -0.224 20 0 IBADRN COC1(CS(=O)(=O)N2CC(=O)NCC23CN(C(=O)OC(C)(C)C)C3)CCOCC1 ZINC001671277327 1073126888 /nfs/dbraw/zinc/12/68/88/1073126888.db2.gz ABGBIVCXZPVALH-UHFFFAOYSA-N 0 0 433.527 -0.067 20 0 IBADRN COC(=O)c1cc(S(=O)(=O)N2CC(=O)NCC23CN(C(=O)OC(C)(C)C)C3)c[nH]1 ZINC001671278488 1073127884 /nfs/dbraw/zinc/12/78/84/1073127884.db2.gz ZTJVPRGRKMMHRV-UHFFFAOYSA-N 0 0 428.467 -0.089 20 0 IBADRN CC(C)(C)OC(=O)[C@@H]1CCCN1S(=O)(=O)NCCS(=O)(=O)N=S(C)(C)=O ZINC001671609306 1071040421 /nfs/dbraw/zinc/04/04/21/1071040421.db2.gz AIKVMALIJVCMAP-NSHDSACASA-N 0 0 433.574 -0.316 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC[C@H](CNC(=O)N1CCN(CC(N)=O)C(=O)C1)O2 ZINC001687097826 1070794310 /nfs/dbraw/zinc/79/43/10/1070794310.db2.gz NHLJDWZFOQURND-CYBMUJFWSA-N 0 0 425.486 -0.506 20 0 IBADRN CC(C)(C)OC(=O)N1CC2(C1)CC[C@@H](CNC(=O)N1CCN(CC(N)=O)C(=O)C1)O2 ZINC001687097827 1070794064 /nfs/dbraw/zinc/79/40/64/1070794064.db2.gz NHLJDWZFOQURND-ZDUSSCGKSA-N 0 0 425.486 -0.506 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CCC3(CN(C(=O)OC(C)(C)C)C3)O2)CC1 ZINC001687098442 1070793981 /nfs/dbraw/zinc/79/39/81/1070793981.db2.gz UZHROLWLHIWAQO-AWEZNQCLSA-N 0 0 447.558 -0.054 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NC[C@H]2CCC3(CN(C(=O)OC(C)(C)C)C3)O2)CC1 ZINC001687098443 1070794230 /nfs/dbraw/zinc/79/42/30/1070794230.db2.gz UZHROLWLHIWAQO-CQSZACIVSA-N 0 0 447.558 -0.054 20 0 IBADRN CCN(CC)S(=O)(=O)N1CCN(C(=O)NCC(=O)N(C)OCC(F)(F)F)CC1 ZINC001687115429 1070813666 /nfs/dbraw/zinc/81/36/66/1070813666.db2.gz AFYYSABIXXXTEY-UHFFFAOYSA-N 0 0 433.453 -0.148 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)N1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC001687115511 1070813233 /nfs/dbraw/zinc/81/32/33/1070813233.db2.gz DOZIHYRQILDSFU-GFCCVEGCSA-N 0 0 446.448 -0.225 20 0 IBADRN CN(OCC(F)(F)F)C(=O)CNC(=O)N1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC001687115512 1070813820 /nfs/dbraw/zinc/81/38/20/1070813820.db2.gz DOZIHYRQILDSFU-LBPRGKRZSA-N 0 0 446.448 -0.225 20 0 IBADRN CCn1nncc1CNC(=O)N1CCN(S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)CC1 ZINC001687139351 1070825126 /nfs/dbraw/zinc/82/51/26/1070825126.db2.gz XOKCRHUVLCJJEJ-UHFFFAOYSA-N 0 0 449.493 -0.155 20 0 IBADRN C[C@H](CN(C)C(=O)OC(C)(C)C)NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001687158877 1070831553 /nfs/dbraw/zinc/83/15/53/1070831553.db2.gz WRBKRTUCELULPB-CYBMUJFWSA-N 0 0 439.517 -0.086 20 0 IBADRN C[C@@H](CN(C)C(=O)OC(C)(C)C)NC(=O)N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001687158878 1070831241 /nfs/dbraw/zinc/83/12/41/1070831241.db2.gz WRBKRTUCELULPB-ZDUSSCGKSA-N 0 0 439.517 -0.086 20 0 IBADRN Cn1ncc(C(F)(F)F)c1CNC(=O)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC001687176529 1070888576 /nfs/dbraw/zinc/88/85/76/1070888576.db2.gz YIEDVRXXVYKKSR-UHFFFAOYSA-N 0 0 430.387 -0.058 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC001687181599 1070894363 /nfs/dbraw/zinc/89/43/63/1070894363.db2.gz OREUDYLFYUVVBI-IBGZPJMESA-N 0 0 441.540 -0.657 20 0 IBADRN CN1CCN(Cc2ccccc2)[C@H](CNC(=O)N2CCN(C(=O)Cn3cnnn3)CC2)C1 ZINC001687181600 1070894167 /nfs/dbraw/zinc/89/41/67/1070894167.db2.gz OREUDYLFYUVVBI-LJQANCHMSA-N 0 0 441.540 -0.657 20 0 IBADRN COc1cc(C(=O)N2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)ccc1C ZINC001687210649 1070954351 /nfs/dbraw/zinc/95/43/51/1070954351.db2.gz KJBPJLQJSYXLHB-UHFFFAOYSA-N 0 0 439.538 -0.038 20 0 IBADRN CCOc1ccnc(N2CCCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)n1 ZINC001687210652 1070954377 /nfs/dbraw/zinc/95/43/77/1070954377.db2.gz KKIQFVNBYWQHJM-UHFFFAOYSA-N 0 0 427.531 -0.412 20 0 IBADRN COc1cc(C[C@H](CO)CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc(OC)c1 ZINC001687210673 1070954621 /nfs/dbraw/zinc/95/46/21/1070954621.db2.gz LDNZMPHXKJXGIK-AWEZNQCLSA-N 0 0 430.527 -0.355 20 0 IBADRN COc1cc(C[C@@H](CO)CNC(=O)NC2CN(S(=O)(=O)N(C)C)C2)cc(OC)c1 ZINC001687210674 1070954480 /nfs/dbraw/zinc/95/44/80/1070954480.db2.gz LDNZMPHXKJXGIK-CQSZACIVSA-N 0 0 430.527 -0.355 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C(=O)COc3ccc(F)cc3)CC2)C1 ZINC001687210696 1070954673 /nfs/dbraw/zinc/95/46/73/1070954673.db2.gz LWZRORVAISOKPS-UHFFFAOYSA-N 0 0 443.501 -0.451 20 0 IBADRN COc1ccc(C(=O)N2CCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2)cc1 ZINC001687210925 1070954462 /nfs/dbraw/zinc/95/44/62/1070954462.db2.gz UBEFTCWNLXAZON-UHFFFAOYSA-N 0 0 425.511 -0.347 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCC(Oc3ccnc(C(N)=O)c3)CC2)C1 ZINC001687211086 1070954571 /nfs/dbraw/zinc/95/45/71/1070954571.db2.gz ZPHICAKPROTOBQ-UHFFFAOYSA-N 0 0 426.499 -0.776 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(CC(=O)Nc3ccc(F)cc3)CC2)C1 ZINC001687211095 1070954421 /nfs/dbraw/zinc/95/44/21/1070954421.db2.gz ZTMYJRGQZLLGDU-UHFFFAOYSA-N 0 0 442.517 -0.418 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C(=O)OC(C)(C)C)[C@@H](CCO)C2)C1 ZINC001687212638 1070954406 /nfs/dbraw/zinc/95/44/06/1070954406.db2.gz DLJKCQWRJVOSCZ-AWEZNQCLSA-N 0 0 435.547 -0.510 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(C(=O)OC(C)(C)C)[C@H](CCO)C2)C1 ZINC001687212639 1070954632 /nfs/dbraw/zinc/95/46/32/1070954632.db2.gz DLJKCQWRJVOSCZ-CQSZACIVSA-N 0 0 435.547 -0.510 20 0 IBADRN COCCNC(=O)[C@H](Cc1ccccc1)NC(=O)NC1CN(S(=O)(=O)N(C)C)C1 ZINC001687212656 1070954528 /nfs/dbraw/zinc/95/45/28/1070954528.db2.gz FEBXZJIYXQNYAN-INIZCTEOSA-N 0 0 427.527 -0.850 20 0 IBADRN CON(C)C(=O)[C@@H](C)NC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001687212658 1070955159 /nfs/dbraw/zinc/95/51/59/1070955159.db2.gz FJGSLTHSBFNYHC-CYBMUJFWSA-N 0 0 426.495 -0.034 20 0 IBADRN COc1ccc(CN2CCCN(C(=O)NC3CN(S(=O)(=O)N(C)C)C3)CC2=O)cc1 ZINC001687212835 1070955113 /nfs/dbraw/zinc/95/51/13/1070955113.db2.gz LRFNFIHEBXUMJE-UHFFFAOYSA-N 0 0 439.538 -0.070 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)N2CCN(c3nnc(C(F)(F)F)s3)CC2)C1 ZINC001687212873 1070955272 /nfs/dbraw/zinc/95/52/72/1070955272.db2.gz NGMBPTIUUCKPMA-UHFFFAOYSA-N 0 0 443.477 -0.121 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NC[C@@]2(C)CN(C(=O)OC(C)(C)C)CCO2)C1 ZINC001687213117 1070955278 /nfs/dbraw/zinc/95/52/78/1070955278.db2.gz XVXFJNGNLVMLRB-KRWDZBQOSA-N 0 0 435.547 -0.198 20 0 IBADRN CN(C)S(=O)(=O)N1CC(NC(=O)NC[C@]2(C)CN(C(=O)OC(C)(C)C)CCO2)C1 ZINC001687213118 1070955314 /nfs/dbraw/zinc/95/53/14/1070955314.db2.gz XVXFJNGNLVMLRB-QGZVFWFLSA-N 0 0 435.547 -0.198 20 0 IBADRN O=C(CNC(=O)N[C@H]1CCN(C2CCOCC2)C1=O)NCC(=O)NCc1ccccc1 ZINC001687214087 1070955290 /nfs/dbraw/zinc/95/52/90/1070955290.db2.gz LVKCCTQYUZCOLU-KRWDZBQOSA-N 0 0 431.493 -0.502 20 0 IBADRN O=C(CNC(=O)N[C@@H]1CCN(C2CCOCC2)C1=O)NCC(=O)NCc1ccccc1 ZINC001687214088 1070955305 /nfs/dbraw/zinc/95/53/05/1070955305.db2.gz LVKCCTQYUZCOLU-QGZVFWFLSA-N 0 0 431.493 -0.502 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC001687233800 1070956078 /nfs/dbraw/zinc/95/60/78/1070956078.db2.gz WNBUGPJVPBMNPZ-JYJNAYRXSA-N 0 0 425.530 -0.219 20 0 IBADRN COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC001687233801 1070956805 /nfs/dbraw/zinc/95/68/05/1070956805.db2.gz WNBUGPJVPBMNPZ-PMPSAXMXSA-N 0 0 425.530 -0.219 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1CCN(S(=O)(=O)c2ccc(C(C)=O)cc2)CC1 ZINC001687272990 1070974196 /nfs/dbraw/zinc/97/41/96/1070974196.db2.gz OZZVRHIHSSKGBX-UHFFFAOYSA-N 0 0 446.551 -0.156 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)N1CCN(C(=O)c2cccc(S(C)(=O)=O)c2)CC1 ZINC001687274106 1070974272 /nfs/dbraw/zinc/97/42/72/1070974272.db2.gz CIIIYWMMFHEALJ-UHFFFAOYSA-N 0 0 446.551 -0.503 20 0 IBADRN CNS(=O)(=O)CCCNC(=O)NCc1ccccc1S(=O)(=O)N1CCN(C)CC1 ZINC001687274339 1070974379 /nfs/dbraw/zinc/97/43/79/1070974379.db2.gz KAPSUFWRWGKTCO-UHFFFAOYSA-N 0 0 447.583 -0.639 20 0 IBADRN COCCCS(=O)(=O)N1CCN(C(=O)NCc2nnc(C3CCOCC3)[nH]2)CC1 ZINC001687278331 1070975002 /nfs/dbraw/zinc/97/50/02/1070975002.db2.gz GDNKQMKQZZQUIX-UHFFFAOYSA-N 0 0 430.531 -0.108 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@H]2COCCN2C(=O)OC(C)(C)C)CC1 ZINC001687278899 1070975051 /nfs/dbraw/zinc/97/50/51/1070975051.db2.gz SKBWHJCSPCMAHQ-INIZCTEOSA-N 0 0 443.545 -0.288 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NC[C@@H]2COCCN2C(=O)OC(C)(C)C)CC1 ZINC001687278900 1070975085 /nfs/dbraw/zinc/97/50/85/1070975085.db2.gz SKBWHJCSPCMAHQ-MRXNPFEDSA-N 0 0 443.545 -0.288 20 0 IBADRN CN1CCN(S(=O)(=O)N2CCN(C(=O)NCC(C)(C)C(=O)N3CCCC3)CC2)CC1 ZINC001687280000 1070975038 /nfs/dbraw/zinc/97/50/38/1070975038.db2.gz CGOXIFLICGBIAV-UHFFFAOYSA-N 0 0 444.602 -0.546 20 0 IBADRN CN1CCOC[C@H]1CNC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001687332335 1070983118 /nfs/dbraw/zinc/98/31/18/1070983118.db2.gz VCJZRKHIJHSVNN-KFWWJZLASA-N 0 0 432.543 -0.255 20 0 IBADRN CN1CCOC[C@@H]1CNC(=O)N1CCN(C(=O)OC(C)(C)C)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001687332336 1070983764 /nfs/dbraw/zinc/98/37/64/1070983764.db2.gz VCJZRKHIJHSVNN-ZNMIVQPWSA-N 0 0 432.543 -0.255 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2CCN(C(=O)N3CCOCC3)CC2)cc1 ZINC001687340625 1070986244 /nfs/dbraw/zinc/98/62/44/1070986244.db2.gz XTMWASXIHYYIML-UHFFFAOYSA-N 0 0 439.538 -0.083 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001687341122 1070986157 /nfs/dbraw/zinc/98/61/57/1070986157.db2.gz AMPCCQCIMFZODL-AWEZNQCLSA-N 0 0 434.540 -0.903 20 0 IBADRN CNS(=O)(=O)c1ccc(CCNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001687341123 1070986144 /nfs/dbraw/zinc/98/61/44/1070986144.db2.gz AMPCCQCIMFZODL-CQSZACIVSA-N 0 0 434.540 -0.903 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1)NC1CCCCC1 ZINC001687345162 1070986909 /nfs/dbraw/zinc/98/69/09/1070986909.db2.gz MWMJFYHEEFRCGQ-NRFANRHFSA-N 0 0 437.541 -0.610 20 0 IBADRN O=C(CN1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1)NC1CCCCC1 ZINC001687345163 1070987601 /nfs/dbraw/zinc/98/76/01/1070987601.db2.gz MWMJFYHEEFRCGQ-OAQYLSRUSA-N 0 0 437.541 -0.610 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001687346127 1070987070 /nfs/dbraw/zinc/98/70/70/1070987070.db2.gz CENPGGZVRQKWFK-ACJLOTCBSA-N 0 0 426.495 -0.336 20 0 IBADRN C[C@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001687346128 1070987032 /nfs/dbraw/zinc/98/70/32/1070987032.db2.gz CENPGGZVRQKWFK-FZKQIMNGSA-N 0 0 426.495 -0.336 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001687346129 1070986932 /nfs/dbraw/zinc/98/69/32/1070986932.db2.gz CENPGGZVRQKWFK-SCLBCKFNSA-N 0 0 426.495 -0.336 20 0 IBADRN C[C@@H](c1cccc(S(N)(=O)=O)c1)N(C)C(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001687346130 1070986899 /nfs/dbraw/zinc/98/68/99/1070986899.db2.gz CENPGGZVRQKWFK-UGSOOPFHSA-N 0 0 426.495 -0.336 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)NC[C@@]12COCCN1C(=O)COC2 ZINC001687346591 1070988357 /nfs/dbraw/zinc/98/83/57/1070988357.db2.gz KMZXNBVLEKUFGH-LPMFXHHGSA-N 0 0 428.486 -0.452 20 0 IBADRN CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)NC[C@]12COCCN1C(=O)COC2 ZINC001687346592 1070988478 /nfs/dbraw/zinc/98/84/78/1070988478.db2.gz KMZXNBVLEKUFGH-PJIJBLCYSA-N 0 0 428.486 -0.452 20 0 IBADRN CN(c1cccc(CNC(=O)NC[C@]23COCCN2C(=O)COC3)c1)S(C)(=O)=O ZINC001687346775 1070987733 /nfs/dbraw/zinc/98/77/33/1070987733.db2.gz ORQJIKJEMZIMCL-GOSISDBHSA-N 0 0 426.495 -0.491 20 0 IBADRN CN(c1cccc(CNC(=O)NC[C@@]23COCCN2C(=O)COC3)c1)S(C)(=O)=O ZINC001687346776 1070987842 /nfs/dbraw/zinc/98/78/42/1070987842.db2.gz ORQJIKJEMZIMCL-SFHVURJKSA-N 0 0 426.495 -0.491 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NC[C@@H]2CN(Cc3cccc(C)c3)CCO2)CC1 ZINC001687346801 1070987614 /nfs/dbraw/zinc/98/76/14/1070987614.db2.gz PFJZXFUOTVJXBG-GOSISDBHSA-N 0 0 425.555 -0.013 20 0 IBADRN CNS(=O)(=O)N1CCN(C(=O)NC[C@H]2CN(Cc3cccc(C)c3)CCO2)CC1 ZINC001687346802 1070987853 /nfs/dbraw/zinc/98/78/53/1070987853.db2.gz PFJZXFUOTVJXBG-SFHVURJKSA-N 0 0 425.555 -0.013 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001687346909 1070987708 /nfs/dbraw/zinc/98/77/08/1070987708.db2.gz QSBAZOKTNWUKFL-BEFAXECRSA-N 0 0 446.570 -0.294 20 0 IBADRN CCS(=O)(=O)N1CCC([C@@H](C)N(C)C(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001687346910 1070988351 /nfs/dbraw/zinc/98/83/51/1070988351.db2.gz QSBAZOKTNWUKFL-DNVCBOLYSA-N 0 0 446.570 -0.294 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001687346911 1070988255 /nfs/dbraw/zinc/98/82/55/1070988255.db2.gz QSBAZOKTNWUKFL-HNAYVOBHSA-N 0 0 446.570 -0.294 20 0 IBADRN CCS(=O)(=O)N1CCC([C@H](C)N(C)C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001687346912 1070988308 /nfs/dbraw/zinc/98/83/08/1070988308.db2.gz QSBAZOKTNWUKFL-KXBFYZLASA-N 0 0 446.570 -0.294 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(C(=O)NC[C@]34COCCN3C(=O)COC4)CC2)cc1 ZINC001687346935 1070988462 /nfs/dbraw/zinc/98/84/62/1070988462.db2.gz REUGZEJMQVRBLG-JOCHJYFZSA-N 0 0 446.504 -0.145 20 0 IBADRN Cc1ccc(OCC(=O)N2CCN(C(=O)NC[C@@]34COCCN3C(=O)COC4)CC2)cc1 ZINC001687346936 1070988508 /nfs/dbraw/zinc/98/85/08/1070988508.db2.gz REUGZEJMQVRBLG-QFIPXVFZSA-N 0 0 446.504 -0.145 20 0 IBADRN O=C(NC[C@]12COCCN1C(=O)COC2)N1CCN(c2nc(F)c(F)cc2F)CC1 ZINC001687347253 1070988523 /nfs/dbraw/zinc/98/85/23/1070988523.db2.gz XWYZAWZNWVZLFC-GOSISDBHSA-N 0 0 429.399 -0.042 20 0 IBADRN O=C(NC[C@@]12COCCN1C(=O)COC2)N1CCN(c2nc(F)c(F)cc2F)CC1 ZINC001687347254 1070988337 /nfs/dbraw/zinc/98/83/37/1070988337.db2.gz XWYZAWZNWVZLFC-SFHVURJKSA-N 0 0 429.399 -0.042 20 0 IBADRN CN(C)[S@@](C)(=O)=NCC1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001687347321 1070988373 /nfs/dbraw/zinc/98/83/73/1070988373.db2.gz ZKSSXEGOVVXWGT-LBEKAKSKSA-N 0 0 431.559 -0.390 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(C(=O)NC[C@]23COCCN2C(=O)COC3)CC1 ZINC001687347322 1070988321 /nfs/dbraw/zinc/98/83/21/1070988321.db2.gz ZKSSXEGOVVXWGT-LDLUVENISA-N 0 0 431.559 -0.390 20 0 IBADRN CN(C)[S@](C)(=O)=NCC1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001687347323 1070988295 /nfs/dbraw/zinc/98/82/95/1070988295.db2.gz ZKSSXEGOVVXWGT-RBSBEOHCSA-N 0 0 431.559 -0.390 20 0 IBADRN CN(C)[S@@](C)(=O)=NCC1CCN(C(=O)NC[C@@]23COCCN2C(=O)COC3)CC1 ZINC001687347324 1070988449 /nfs/dbraw/zinc/98/84/49/1070988449.db2.gz ZKSSXEGOVVXWGT-YRVHBCJASA-N 0 0 431.559 -0.390 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@]23COC(=O)N2CCOC3)CC1 ZINC001687372538 1071266733 /nfs/dbraw/zinc/26/67/33/1071266733.db2.gz BEFPITSNAYPDHB-KRWDZBQOSA-N 0 0 443.482 -0.471 20 0 IBADRN Cc1noc(C)c1S(=O)(=O)N1CCN(C(=O)NC[C@@]23COC(=O)N2CCOC3)CC1 ZINC001687372539 1071266410 /nfs/dbraw/zinc/26/64/10/1071266410.db2.gz BEFPITSNAYPDHB-QGZVFWFLSA-N 0 0 443.482 -0.471 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NC[C@]34COC(=O)N3CCOC4)CC2)CC1 ZINC001687373715 1071269012 /nfs/dbraw/zinc/26/90/12/1071269012.db2.gz ZUFORKOMCBQRRH-FQEVSTJZSA-N 0 0 437.497 -0.647 20 0 IBADRN NC(=O)C1CCN(C(=O)C2CCN(C(=O)NC[C@@]34COC(=O)N3CCOC4)CC2)CC1 ZINC001687373716 1071268948 /nfs/dbraw/zinc/26/89/48/1071268948.db2.gz ZUFORKOMCBQRRH-HXUWFJFHSA-N 0 0 437.497 -0.647 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC3CN(c4ccncn4)C3)CC2)C[C@H](C)O1 ZINC001687382792 1071475556 /nfs/dbraw/zinc/47/55/56/1071475556.db2.gz SBCXLERCVKOEAV-GASCZTMLSA-N 0 0 439.542 -0.654 20 0 IBADRN C[C@H]1CN(S(=O)(=O)N2CCN(C(=O)NC3CN(c4ccncn4)C3)CC2)C[C@H](C)O1 ZINC001687382793 1071475586 /nfs/dbraw/zinc/47/55/86/1071475586.db2.gz SBCXLERCVKOEAV-GJZGRUSLSA-N 0 0 439.542 -0.654 20 0 IBADRN C[C@@H]1CN(S(=O)(=O)N2CCN(C(=O)NC3CN(c4ccncn4)C3)CC2)C[C@@H](C)O1 ZINC001687382794 1071475618 /nfs/dbraw/zinc/47/56/18/1071475618.db2.gz SBCXLERCVKOEAV-HUUCEWRRSA-N 0 0 439.542 -0.654 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@H](NC(=O)N2CCS(=O)(=O)C[C@H]2CCO)C1=O ZINC001687388814 1071644465 /nfs/dbraw/zinc/64/44/65/1071644465.db2.gz MNDMMDNMZGJWOC-CABCVRRESA-N 0 0 447.554 -0.100 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@H](NC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)C1=O ZINC001687388815 1071644323 /nfs/dbraw/zinc/64/43/23/1071644323.db2.gz MNDMMDNMZGJWOC-GJZGRUSLSA-N 0 0 447.554 -0.100 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@@H](NC(=O)N2CCS(=O)(=O)C[C@H]2CCO)C1=O ZINC001687388816 1071644493 /nfs/dbraw/zinc/64/44/93/1071644493.db2.gz MNDMMDNMZGJWOC-HUUCEWRRSA-N 0 0 447.554 -0.100 20 0 IBADRN CC(C)(C)OC(=O)CN1CCCC[C@@H](NC(=O)N2CCS(=O)(=O)C[C@@H]2CCO)C1=O ZINC001687388819 1071644440 /nfs/dbraw/zinc/64/44/40/1071644440.db2.gz MNDMMDNMZGJWOC-LSDHHAIUSA-N 0 0 447.554 -0.100 20 0 IBADRN COc1ccc(OC)c(S(=O)(=O)N2CCN(C(=O)NCC3N=NC(=O)N3C)CC2)c1 ZINC001687395578 1072302925 /nfs/dbraw/zinc/30/29/25/1072302925.db2.gz AJOXPGBTPCCSSH-UHFFFAOYSA-N 0 0 440.482 -0.246 20 0 IBADRN CCOC(=O)CS[C@H](CNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001687401865 1072862211 /nfs/dbraw/zinc/86/22/11/1072862211.db2.gz GCHDSMZIZJEAEB-NXEZZACHSA-N 0 0 434.462 -0.243 20 0 IBADRN CCOC(=O)CS[C@@H](CNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001687401866 1072862321 /nfs/dbraw/zinc/86/23/21/1072862321.db2.gz GCHDSMZIZJEAEB-UWVGGRQHSA-N 0 0 434.462 -0.243 20 0 IBADRN CCOC(=O)CS[C@H](CNC(=O)N1CCN2[C@@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001687401867 1072862143 /nfs/dbraw/zinc/86/21/43/1072862143.db2.gz GCHDSMZIZJEAEB-VHSXEESVSA-N 0 0 434.462 -0.243 20 0 IBADRN CCOC(=O)CS[C@@H](CNC(=O)N1CCN2[C@H](CNS2(=O)=O)C1)C(F)(F)F ZINC001687401868 1072862685 /nfs/dbraw/zinc/86/26/85/1072862685.db2.gz GCHDSMZIZJEAEB-ZJUUUORDSA-N 0 0 434.462 -0.243 20 0 IBADRN Cn1ccn2c(CNC(=O)N3CCC(S(=O)(=O)N4CCCCC4)CC3)nnc2c1=O ZINC001687433801 1072379865 /nfs/dbraw/zinc/37/98/65/1072379865.db2.gz GEBWEQWUDGDYFM-UHFFFAOYSA-N 0 0 437.526 -0.082 20 0 IBADRN COCCNC(=O)CN1CCN(C(=O)NCC2(S(=O)(=O)NC(C)(C)C)CC2)CC1 ZINC001687450260 1073115163 /nfs/dbraw/zinc/11/51/63/1073115163.db2.gz YDFWSLNZPXFIAD-UHFFFAOYSA-N 0 0 433.575 -0.673 20 0 IBADRN CC(=O)NCC(=O)N1CCCN(C(=O)NCC2(S(=O)(=O)NC(C)(C)C)CC2)CC1 ZINC001687450306 1073115152 /nfs/dbraw/zinc/11/51/52/1073115152.db2.gz ZKHGKGYEXNHZGY-UHFFFAOYSA-N 0 0 431.559 -0.383 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)N1CCC[C@@H](S(=O)(=O)NC2CCCC2)C1 ZINC001687453463 1073119984 /nfs/dbraw/zinc/11/99/84/1073119984.db2.gz VKYVFERZGJGIGV-CYBMUJFWSA-N 0 0 425.515 -0.443 20 0 IBADRN O=C(NCc1nnc2n1CCNC2=O)N1CCC[C@H](S(=O)(=O)NC2CCCC2)C1 ZINC001687453464 1073119928 /nfs/dbraw/zinc/11/99/28/1073119928.db2.gz VKYVFERZGJGIGV-ZDUSSCGKSA-N 0 0 425.515 -0.443 20 0 IBADRN O=C(Cn1cnnn1)N1CCN(C(=O)NCC2(n3cc(Br)cn3)CC2)CC1 ZINC001687528395 1074235620 /nfs/dbraw/zinc/23/56/20/1074235620.db2.gz KUASOFNUZPNPCZ-UHFFFAOYSA-N 0 0 438.290 -0.325 20 0 IBADRN CN(CCNS(C)(=O)=O)C(=O)NCc1cn(C2CN(C(=O)OC(C)(C)C)C2)nn1 ZINC001687535658 1074330154 /nfs/dbraw/zinc/33/01/54/1074330154.db2.gz FSCUJZOGDBWFDM-UHFFFAOYSA-N 0 0 431.519 -0.240 20 0 IBADRN CN(C)[C@@H](CCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(F)(F)F ZINC001687551742 1072810829 /nfs/dbraw/zinc/81/08/29/1072810829.db2.gz OZMCRWHSNWPATF-AWEZNQCLSA-N 0 0 444.524 -0.312 20 0 IBADRN CN(C)[C@H](CCNC(=O)N1CCN(S(=O)(=O)N2CCN(C)CC2)CC1)C(F)(F)F ZINC001687551743 1072810895 /nfs/dbraw/zinc/81/08/95/1072810895.db2.gz OZMCRWHSNWPATF-CQSZACIVSA-N 0 0 444.524 -0.312 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC001687562468 1072823778 /nfs/dbraw/zinc/82/37/78/1072823778.db2.gz LKSURKCTXNXGSB-UHFFFAOYSA-N 0 0 439.538 -0.562 20 0 IBADRN CN(Cc1ccccc1)C(=O)CNC(=O)CNC(=O)N1CCc2nn(C)c(=O)cc2C1 ZINC001687563648 1072823420 /nfs/dbraw/zinc/82/34/20/1072823420.db2.gz JDRZDVKCKBCSNF-UHFFFAOYSA-N 0 0 426.477 -0.377 20 0 IBADRN COCCn1nnc2c1CCN(C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1)C2 ZINC001687563703 1072823848 /nfs/dbraw/zinc/82/38/48/1072823848.db2.gz KTIHIIHDGCENOS-UHFFFAOYSA-N 0 0 443.508 -0.233 20 0 IBADRN CNC(=O)NC[C@H]1COCCN1C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1 ZINC001687563708 1072823840 /nfs/dbraw/zinc/82/38/40/1072823840.db2.gz KYKOPGUMKMMNCY-INIZCTEOSA-N 0 0 434.497 -0.899 20 0 IBADRN CNC(=O)NC[C@@H]1COCCN1C(=O)NCC(=O)NCC(=O)N(C)Cc1ccccc1 ZINC001687563709 1072823455 /nfs/dbraw/zinc/82/34/55/1072823455.db2.gz KYKOPGUMKMMNCY-MRXNPFEDSA-N 0 0 434.497 -0.899 20 0 IBADRN COCCNC(=O)C1CCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC1 ZINC001687564917 1072841257 /nfs/dbraw/zinc/84/12/57/1072841257.db2.gz ARWVFAIOJHVSBI-UHFFFAOYSA-N 0 0 433.509 -0.397 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)C1 ZINC001687565189 1072842102 /nfs/dbraw/zinc/84/21/02/1072842102.db2.gz KOTFOOGHBJRMNB-INIZCTEOSA-N 0 0 439.538 -0.610 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)C1 ZINC001687565190 1072842215 /nfs/dbraw/zinc/84/22/15/1072842215.db2.gz KOTFOOGHBJRMNB-MRXNPFEDSA-N 0 0 439.538 -0.610 20 0 IBADRN CN(C1CCN(C(=O)NCC(=O)NCC(=O)NCc2ccccc2)CC1)S(C)(=O)=O ZINC001687565267 1072842181 /nfs/dbraw/zinc/84/21/81/1072842181.db2.gz MEPDFUMHBXDTIM-UHFFFAOYSA-N 0 0 439.538 -0.516 20 0 IBADRN CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)NCC(=O)NCC(=O)NCc1ccccc1 ZINC001687565646 1072842254 /nfs/dbraw/zinc/84/22/54/1072842254.db2.gz ZUUOTLRGDCAVFH-INIZCTEOSA-N 0 0 439.538 -0.468 20 0 IBADRN CS(=O)(=O)NC[C@H]1CCCCN1C(=O)NCC(=O)NCC(=O)NCc1ccccc1 ZINC001687565647 1072842659 /nfs/dbraw/zinc/84/26/59/1072842659.db2.gz ZUUOTLRGDCAVFH-MRXNPFEDSA-N 0 0 439.538 -0.468 20 0 IBADRN O=C(CNC(=O)NCCS(=O)(=O)N1CCCC1)NCC(=O)NCc1ccccc1 ZINC001687566326 1072842720 /nfs/dbraw/zinc/84/27/20/1072842720.db2.gz XJFMIOYDGZJLHA-UHFFFAOYSA-N 0 0 425.511 -0.856 20 0 IBADRN COC(=O)C1=NO[C@@]2(CCN(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)C2)C1 ZINC001687566385 1072842507 /nfs/dbraw/zinc/84/25/07/1072842507.db2.gz ZDCFGTKWMVRMPE-FQEVSTJZSA-N 0 0 431.449 -0.478 20 0 IBADRN COC(=O)C1=NO[C@]2(CCN(C(=O)NCC(=O)NCC(=O)NCc3ccccc3)C2)C1 ZINC001687566386 1072842455 /nfs/dbraw/zinc/84/24/55/1072842455.db2.gz ZDCFGTKWMVRMPE-HXUWFJFHSA-N 0 0 431.449 -0.478 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)cc1 ZINC001687567628 1072842746 /nfs/dbraw/zinc/84/27/46/1072842746.db2.gz AHHOAIRSHJCIDU-INIZCTEOSA-N 0 0 448.567 -0.462 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)N2CCOC[C@H]2CNS(C)(=O)=O)cc1 ZINC001687567629 1072842695 /nfs/dbraw/zinc/84/26/95/1072842695.db2.gz AHHOAIRSHJCIDU-MRXNPFEDSA-N 0 0 448.567 -0.462 20 0 IBADRN CN(C)S(=O)(=O)Cc1ccc(CNC(=O)NCC2(O)CCS(=O)(=O)CC2)cc1 ZINC001687568163 1072842753 /nfs/dbraw/zinc/84/27/53/1072842753.db2.gz RCMGOKBXAPMEMG-UHFFFAOYSA-N 0 0 433.552 -0.183 20 0 IBADRN CS(=O)(=O)C1CCC(CNC(=O)N2CCN(C(=O)CN3C(=O)CCC3=O)CC2)CC1 ZINC001687617307 1070816490 /nfs/dbraw/zinc/81/64/90/1070816490.db2.gz VTASBEFURLZHQO-UHFFFAOYSA-N 0 0 442.538 -0.407 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cccn3C)n2CCCNS(C)(=O)=O)CCO1 ZINC001690956946 1070807775 /nfs/dbraw/zinc/80/77/75/1070807775.db2.gz ANEWNYSCCMYERZ-HNNXBMFYSA-N 0 0 439.542 -0.436 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cccn3C)n2CCCNS(C)(=O)=O)CCO1 ZINC001690956947 1070807663 /nfs/dbraw/zinc/80/76/63/1070807663.db2.gz ANEWNYSCCMYERZ-OAHLLOKOSA-N 0 0 439.542 -0.436 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccc[nH]3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001690957861 1070809125 /nfs/dbraw/zinc/80/91/25/1070809125.db2.gz LSUJIZXDGBZIOJ-INIZCTEOSA-N 0 0 431.497 -0.137 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccc[nH]3)n2CCC(=O)N2CCOCC2)CCO1 ZINC001690957863 1070809155 /nfs/dbraw/zinc/80/91/55/1070809155.db2.gz LSUJIZXDGBZIOJ-MRXNPFEDSA-N 0 0 431.497 -0.137 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cccn3C)n2CCC[C@@H]2NC(=O)NC2=O)CCO1 ZINC001690958330 1070808885 /nfs/dbraw/zinc/80/88/85/1070808885.db2.gz SAKCGXHFQZRQFV-CABCVRRESA-N 0 0 444.496 -0.387 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cccn3C)n2CCC[C@@H]2NC(=O)NC2=O)CCO1 ZINC001690958331 1070808801 /nfs/dbraw/zinc/80/88/01/1070808801.db2.gz SAKCGXHFQZRQFV-GJZGRUSLSA-N 0 0 444.496 -0.387 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3ccccn3)n2CC(=O)N2CCOCC2)CCO1 ZINC001690958634 1070809509 /nfs/dbraw/zinc/80/95/09/1070809509.db2.gz YQDRZEQIVMXXJW-INIZCTEOSA-N 0 0 429.481 -0.460 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3ccccn3)n2CC(=O)N2CCOCC2)CCO1 ZINC001690958635 1070810146 /nfs/dbraw/zinc/81/01/46/1070810146.db2.gz YQDRZEQIVMXXJW-MRXNPFEDSA-N 0 0 429.481 -0.460 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001690958776 1070809533 /nfs/dbraw/zinc/80/95/33/1070809533.db2.gz ARBUUMIHMINEDK-DOTOQJQBSA-N 0 0 430.513 -0.203 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cnccn3)n2CCN2CCOC[C@H]2C)CCO1 ZINC001690958778 1070810009 /nfs/dbraw/zinc/81/00/09/1070810009.db2.gz ARBUUMIHMINEDK-NVXWUHKLSA-N 0 0 430.513 -0.203 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2CCN2CCOC[C@@H]2C)CCO1 ZINC001690958779 1070809616 /nfs/dbraw/zinc/80/96/16/1070809616.db2.gz ARBUUMIHMINEDK-RDJZCZTQSA-N 0 0 430.513 -0.203 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cnccn3)n2CCN2CCOC[C@H]2C)CCO1 ZINC001690958780 1070811145 /nfs/dbraw/zinc/81/11/45/1070811145.db2.gz ARBUUMIHMINEDK-WBVHZDCISA-N 0 0 430.513 -0.203 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001690959741 1070828331 /nfs/dbraw/zinc/82/83/31/1070828331.db2.gz ISDQXLWMMIHUDQ-CHWSQXEVSA-N 0 0 434.419 -0.198 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001690959742 1070828159 /nfs/dbraw/zinc/82/81/59/1070828159.db2.gz ISDQXLWMMIHUDQ-OLZOCXBDSA-N 0 0 434.419 -0.198 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001690959743 1070828106 /nfs/dbraw/zinc/82/81/06/1070828106.db2.gz ISDQXLWMMIHUDQ-QWHCGFSZSA-N 0 0 434.419 -0.198 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCOC3)n2CC(=O)NCC(F)(F)F)CCO1 ZINC001690959744 1070828133 /nfs/dbraw/zinc/82/81/33/1070828133.db2.gz ISDQXLWMMIHUDQ-STQMWFEESA-N 0 0 434.419 -0.198 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(-c3cccc(C)n3)n2CCNS(C)(=O)=O)CCO1 ZINC001690959991 1070830206 /nfs/dbraw/zinc/83/02/06/1070830206.db2.gz KAQFTVFBJZLCLY-HNNXBMFYSA-N 0 0 437.526 -0.461 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(-c3cccc(C)n3)n2CCNS(C)(=O)=O)CCO1 ZINC001690959992 1070830306 /nfs/dbraw/zinc/83/03/06/1070830306.db2.gz KAQFTVFBJZLCLY-OAHLLOKOSA-N 0 0 437.526 -0.461 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CCO1 ZINC001690960105 1070834398 /nfs/dbraw/zinc/83/43/98/1070834398.db2.gz MBYDUDUSKISMHB-CVEARBPZSA-N 0 0 431.501 -0.668 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CCO1 ZINC001690960106 1070834189 /nfs/dbraw/zinc/83/41/89/1070834189.db2.gz MBYDUDUSKISMHB-HOTGVXAUSA-N 0 0 431.501 -0.668 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CCO1 ZINC001690960107 1070834121 /nfs/dbraw/zinc/83/41/21/1070834121.db2.gz MBYDUDUSKISMHB-HZPDHXFCSA-N 0 0 431.501 -0.668 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCc2cnn(C)n2)CCO1 ZINC001690960108 1070833829 /nfs/dbraw/zinc/83/38/29/1070833829.db2.gz MBYDUDUSKISMHB-JKSUJKDBSA-N 0 0 431.501 -0.668 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCC(=O)NC2CC2)CCO1 ZINC001690960127 1070836164 /nfs/dbraw/zinc/83/61/64/1070836164.db2.gz MKOYFQOYMOVENM-CVEARBPZSA-N 0 0 433.513 -0.371 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCC(=O)NC2CC2)CCO1 ZINC001690960128 1070836410 /nfs/dbraw/zinc/83/64/10/1070836410.db2.gz MKOYFQOYMOVENM-HOTGVXAUSA-N 0 0 433.513 -0.371 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCC(=O)NC2CC2)CCO1 ZINC001690960129 1070836855 /nfs/dbraw/zinc/83/68/55/1070836855.db2.gz MKOYFQOYMOVENM-HZPDHXFCSA-N 0 0 433.513 -0.371 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCC(=O)NC2CC2)CCO1 ZINC001690960130 1070836884 /nfs/dbraw/zinc/83/68/84/1070836884.db2.gz MKOYFQOYMOVENM-JKSUJKDBSA-N 0 0 433.513 -0.371 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001690960131 1070836701 /nfs/dbraw/zinc/83/67/01/1070836701.db2.gz MLPYDFNSRPWSEH-KFWWJZLASA-N 0 0 440.526 -0.995 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@H]2CCS(=O)(=O)C2)CCO1 ZINC001690960132 1070836552 /nfs/dbraw/zinc/83/65/52/1070836552.db2.gz MLPYDFNSRPWSEH-RBSFLKMASA-N 0 0 440.526 -0.995 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001690960133 1070836825 /nfs/dbraw/zinc/83/68/25/1070836825.db2.gz MLPYDFNSRPWSEH-RRFJBIMHSA-N 0 0 440.526 -0.995 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2C[C@@H]2CCS(=O)(=O)C2)CCO1 ZINC001690960134 1070836501 /nfs/dbraw/zinc/83/65/01/1070836501.db2.gz MLPYDFNSRPWSEH-ZNMIVQPWSA-N 0 0 440.526 -0.995 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCN2CCOCC2)CCO1 ZINC001690960499 1070838283 /nfs/dbraw/zinc/83/82/83/1070838283.db2.gz UGTWBZCGPYVBSH-DLBZAZTESA-N 0 0 435.529 -0.707 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCC(=O)N3)n2CCCN2CCOCC2)CCO1 ZINC001690960500 1070838723 /nfs/dbraw/zinc/83/87/23/1070838723.db2.gz UGTWBZCGPYVBSH-IAGOWNOFSA-N 0 0 435.529 -0.707 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCN2CCOCC2)CCO1 ZINC001690960501 1070838063 /nfs/dbraw/zinc/83/80/63/1070838063.db2.gz UGTWBZCGPYVBSH-IRXDYDNUSA-N 0 0 435.529 -0.707 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCC(=O)N3)n2CCCN2CCOCC2)CCO1 ZINC001690960502 1070838572 /nfs/dbraw/zinc/83/85/72/1070838572.db2.gz UGTWBZCGPYVBSH-SJORKVTESA-N 0 0 435.529 -0.707 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)CCO1 ZINC001690961043 1070839327 /nfs/dbraw/zinc/83/93/27/1070839327.db2.gz ALSTXHOKEWDWCG-ARFHVFGLSA-N 0 0 427.527 -0.300 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)CCO1 ZINC001690961048 1070839292 /nfs/dbraw/zinc/83/92/92/1070839292.db2.gz ALSTXHOKEWDWCG-BZUAXINKSA-N 0 0 427.527 -0.300 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)CCO1 ZINC001690961049 1070839106 /nfs/dbraw/zinc/83/91/06/1070839106.db2.gz ALSTXHOKEWDWCG-OAGGEKHMSA-N 0 0 427.527 -0.300 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2C[C@H]2CCCO2)CCO1 ZINC001690961050 1070839473 /nfs/dbraw/zinc/83/94/73/1070839473.db2.gz ALSTXHOKEWDWCG-XHSDSOJGSA-N 0 0 427.527 -0.300 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cc(C)no2)CCO1 ZINC001690961928 1070840203 /nfs/dbraw/zinc/84/02/03/1070840203.db2.gz KAQCIPFVUUOUGV-GDBMZVCRSA-N 0 0 438.510 -0.134 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cc(C)no2)CCO1 ZINC001690961929 1070840085 /nfs/dbraw/zinc/84/00/85/1070840085.db2.gz KAQCIPFVUUOUGV-GOEBONIOSA-N 0 0 438.510 -0.134 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cc(C)no2)CCO1 ZINC001690961930 1070839936 /nfs/dbraw/zinc/83/99/36/1070839936.db2.gz KAQCIPFVUUOUGV-HOCLYGCPSA-N 0 0 438.510 -0.134 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cc(C)no2)CCO1 ZINC001690961931 1070840263 /nfs/dbraw/zinc/84/02/63/1070840263.db2.gz KAQCIPFVUUOUGV-ZBFHGGJFSA-N 0 0 438.510 -0.134 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC(C)C)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001690962070 1070840027 /nfs/dbraw/zinc/84/00/27/1070840027.db2.gz MMDJGXYHULUHNH-INIZCTEOSA-N 0 0 443.570 -0.242 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC(C)C)n2CC2(O)CCS(=O)(=O)CC2)CCO1 ZINC001690962071 1070839878 /nfs/dbraw/zinc/83/98/78/1070839878.db2.gz MMDJGXYHULUHNH-MRXNPFEDSA-N 0 0 443.570 -0.242 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2)CCO1 ZINC001690962498 1070840583 /nfs/dbraw/zinc/84/05/83/1070840583.db2.gz UDQCULFQHSDLSY-CVEARBPZSA-N 0 0 437.526 -0.697 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2)CCO1 ZINC001690962499 1070840058 /nfs/dbraw/zinc/84/00/58/1070840058.db2.gz UDQCULFQHSDLSY-HOTGVXAUSA-N 0 0 437.526 -0.697 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2)CCO1 ZINC001690962500 1070840104 /nfs/dbraw/zinc/84/01/04/1070840104.db2.gz UDQCULFQHSDLSY-HZPDHXFCSA-N 0 0 437.526 -0.697 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc([C@H]3CCS(=O)(=O)C3)n2Cc2cnn(C)c2)CCO1 ZINC001690962501 1070840296 /nfs/dbraw/zinc/84/02/96/1070840296.db2.gz UDQCULFQHSDLSY-JKSUJKDBSA-N 0 0 437.526 -0.697 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC[C@H]2COCCO2)CCO1 ZINC001690963312 1070840519 /nfs/dbraw/zinc/84/05/19/1070840519.db2.gz AFHJLCMHFKNDDY-DLBZAZTESA-N 0 0 436.513 -0.453 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CC[C@@H]2COCCO2)CCO1 ZINC001690963313 1070840861 /nfs/dbraw/zinc/84/08/61/1070840861.db2.gz AFHJLCMHFKNDDY-IAGOWNOFSA-N 0 0 436.513 -0.453 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC[C@H]2COCCO2)CCO1 ZINC001690963314 1070841064 /nfs/dbraw/zinc/84/10/64/1070841064.db2.gz AFHJLCMHFKNDDY-IRXDYDNUSA-N 0 0 436.513 -0.453 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CC[C@@H]2COCCO2)CCO1 ZINC001690963315 1070840727 /nfs/dbraw/zinc/84/07/27/1070840727.db2.gz AFHJLCMHFKNDDY-SJORKVTESA-N 0 0 436.513 -0.453 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@]2(O)CCOC2)CCO1 ZINC001690963845 1070841088 /nfs/dbraw/zinc/84/10/88/1070841088.db2.gz IJHDIPPDQHLKPR-FXAWDEMLSA-N 0 0 433.513 -0.368 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@]2(O)CCOC2)CCO1 ZINC001690963846 1070840836 /nfs/dbraw/zinc/84/08/36/1070840836.db2.gz IJHDIPPDQHLKPR-PXNSSMCTSA-N 0 0 433.513 -0.368 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@@]2(O)CCOC2)CCO1 ZINC001690963847 1070840756 /nfs/dbraw/zinc/84/07/56/1070840756.db2.gz IJHDIPPDQHLKPR-XLIONFOSSA-N 0 0 433.513 -0.368 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(Cn3nc(C)cc3C)n2C[C@]2(O)CCOC2)CCO1 ZINC001690963848 1070840817 /nfs/dbraw/zinc/84/08/17/1070840817.db2.gz IJHDIPPDQHLKPR-YLJYHZDGSA-N 0 0 433.513 -0.368 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CN3CCCC3=O)n2CCC(=O)NC(C)C)CCO1 ZINC001690964197 1070841114 /nfs/dbraw/zinc/84/11/14/1070841114.db2.gz OUDRQGKNNSKMBM-INIZCTEOSA-N 0 0 435.529 -0.344 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CN3CCCC3=O)n2CCC(=O)NC(C)C)CCO1 ZINC001690964198 1070841186 /nfs/dbraw/zinc/84/11/86/1070841186.db2.gz OUDRQGKNNSKMBM-MRXNPFEDSA-N 0 0 435.529 -0.344 20 0 IBADRN CC(=O)NC[C@H]1CN(c2nnc(CC3CC3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001690964515 1070841263 /nfs/dbraw/zinc/84/12/63/1070841263.db2.gz XBHMGACTEHWNFW-INIZCTEOSA-N 0 0 426.543 -0.393 20 0 IBADRN CC(=O)NC[C@@H]1CN(c2nnc(CC3CC3)n2CCN2CCCS2(=O)=O)CCO1 ZINC001690964516 1070841141 /nfs/dbraw/zinc/84/11/41/1070841141.db2.gz XBHMGACTEHWNFW-MRXNPFEDSA-N 0 0 426.543 -0.393 20 0 IBADRN CC(=O)NCCCn1c(C(=O)NC(C)C)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001690966232 1070842253 /nfs/dbraw/zinc/84/22/53/1070842253.db2.gz WMRPLZZUXNFPRF-UHFFFAOYSA-N 0 0 436.561 -0.411 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001690966940 1070842373 /nfs/dbraw/zinc/84/23/73/1070842373.db2.gz AGCAQMZXQOAHKO-BPUTZDHNSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001690966941 1070841790 /nfs/dbraw/zinc/84/17/90/1070841790.db2.gz AGCAQMZXQOAHKO-CWRNSKLLSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@H]([C@H]2CCCO2)C1 ZINC001690966942 1070842227 /nfs/dbraw/zinc/84/22/27/1070842227.db2.gz AGCAQMZXQOAHKO-IMJJTQAJSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001690966943 1070842651 /nfs/dbraw/zinc/84/26/51/1070842651.db2.gz AGCAQMZXQOAHKO-NUEKZKHPSA-N 0 0 444.558 -0.201 20 0 IBADRN CC(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCOC2(CCOCC2)C1 ZINC001690967201 1070842918 /nfs/dbraw/zinc/84/29/18/1070842918.db2.gz IPJIUSKJZQSOQP-AWEZNQCLSA-N 0 0 444.558 -0.200 20 0 IBADRN CC(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCOC2(CCOCC2)C1 ZINC001690967202 1070843277 /nfs/dbraw/zinc/84/32/77/1070843277.db2.gz IPJIUSKJZQSOQP-CQSZACIVSA-N 0 0 444.558 -0.200 20 0 IBADRN CC(=O)NCCCn1c(-c2cnn(C)c2)nnc1N1CCC([C@@H]2NC(=O)N(C)C2=O)CC1 ZINC001690974032 1070844787 /nfs/dbraw/zinc/84/47/87/1070844787.db2.gz GAUHTNUMEHOSPK-INIZCTEOSA-N 0 0 443.512 -0.029 20 0 IBADRN CC(=O)NCCCn1c(-c2cnn(C)c2)nnc1N1CCC([C@H]2NC(=O)N(C)C2=O)CC1 ZINC001690974033 1070845126 /nfs/dbraw/zinc/84/51/26/1070845126.db2.gz GAUHTNUMEHOSPK-MRXNPFEDSA-N 0 0 443.512 -0.029 20 0 IBADRN CC(=O)NCCCn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)NC2CC2)CC1 ZINC001690974039 1070844730 /nfs/dbraw/zinc/84/47/30/1070844730.db2.gz GHEZSLMMOXIRHT-UHFFFAOYSA-N 0 0 429.529 -0.395 20 0 IBADRN CC(=O)NCCCn1c(-c2cnn(C)c2)nnc1N1CCN(C(=O)C(=O)NC(C)C)CC1 ZINC001690974314 1070844991 /nfs/dbraw/zinc/84/49/91/1070844991.db2.gz PACFOQQJSGFRRF-UHFFFAOYSA-N 0 0 445.528 -0.622 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001690975685 1070844675 /nfs/dbraw/zinc/84/46/75/1070844675.db2.gz KFIKAIWEGNNASU-CABCVRRESA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001690975686 1070844826 /nfs/dbraw/zinc/84/48/26/1070844826.db2.gz KFIKAIWEGNNASU-GJZGRUSLSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC001690975687 1070845185 /nfs/dbraw/zinc/84/51/85/1070845185.db2.gz KFIKAIWEGNNASU-HUUCEWRRSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC001690975688 1070845064 /nfs/dbraw/zinc/84/50/64/1070845064.db2.gz KFIKAIWEGNNASU-LSDHHAIUSA-N 0 0 441.558 -0.479 20 0 IBADRN CC(=O)NCCCn1c(C2CCC2)nnc1N1CCn2c(nn(CC(=O)N(C)C)c2=O)C1 ZINC001690976650 1070844755 /nfs/dbraw/zinc/84/47/55/1070844755.db2.gz YTALZXIYERLIAT-UHFFFAOYSA-N 0 0 445.528 -0.462 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001690977130 1070845587 /nfs/dbraw/zinc/84/55/87/1070845587.db2.gz DIKPOKGKBUQNKU-INIZCTEOSA-N 0 0 448.553 -0.177 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN(c2cnccn2)CC1 ZINC001690977131 1070845615 /nfs/dbraw/zinc/84/56/15/1070845615.db2.gz DIKPOKGKBUQNKU-MRXNPFEDSA-N 0 0 448.553 -0.177 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001690977253 1070844966 /nfs/dbraw/zinc/84/49/66/1070844966.db2.gz FRVZAOYNCLUMON-OAGGEKHMSA-N 0 0 438.554 -0.331 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CC[C@H]2CCNC(=O)[C@H]2C1 ZINC001690977254 1070845036 /nfs/dbraw/zinc/84/50/36/1070845036.db2.gz FRVZAOYNCLUMON-PMPSAXMXSA-N 0 0 438.554 -0.331 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001690977475 1070845015 /nfs/dbraw/zinc/84/50/15/1070845015.db2.gz KIKVQKIGHYOXKW-KBPBESRZSA-N 0 0 426.499 -0.653 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@@H]2C1 ZINC001690977479 1070844853 /nfs/dbraw/zinc/84/48/53/1070844853.db2.gz KIKVQKIGHYOXKW-KGLIPLIRSA-N 0 0 426.499 -0.653 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001690977480 1070844912 /nfs/dbraw/zinc/84/49/12/1070844912.db2.gz KIKVQKIGHYOXKW-UONOGXRCSA-N 0 0 426.499 -0.653 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCN2C(=O)OC[C@H]2C1 ZINC001690977481 1070845299 /nfs/dbraw/zinc/84/52/99/1070845299.db2.gz KIKVQKIGHYOXKW-ZIAGYGMSSA-N 0 0 426.499 -0.653 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001690977526 1070845471 /nfs/dbraw/zinc/84/54/71/1070845471.db2.gz KYRAKMWEKBFGSV-CRAIPNDOSA-N 0 0 427.527 -0.298 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001690977530 1070845442 /nfs/dbraw/zinc/84/54/42/1070845442.db2.gz KYRAKMWEKBFGSV-MAUKXSAKSA-N 0 0 427.527 -0.298 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001690977531 1070845757 /nfs/dbraw/zinc/84/57/57/1070845757.db2.gz KYRAKMWEKBFGSV-QAPCUYQASA-N 0 0 427.527 -0.298 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001690977532 1070845688 /nfs/dbraw/zinc/84/56/88/1070845688.db2.gz KYRAKMWEKBFGSV-YJBOKZPZSA-N 0 0 427.527 -0.298 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCCS(C)(=O)=O ZINC001690978733 1070845556 /nfs/dbraw/zinc/84/55/56/1070845556.db2.gz YGCCKNYMDRCFOL-AWEZNQCLSA-N 0 0 435.572 -0.423 20 0 IBADRN CC(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCCS(C)(=O)=O ZINC001690978734 1070845390 /nfs/dbraw/zinc/84/53/90/1070845390.db2.gz YGCCKNYMDRCFOL-CQSZACIVSA-N 0 0 435.572 -0.423 20 0 IBADRN CC(=O)NCCCn1c(CCc2ccccc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001690981558 1070846339 /nfs/dbraw/zinc/84/63/39/1070846339.db2.gz VOKQNIBHVDSBBJ-GOSISDBHSA-N 0 0 439.520 -0.264 20 0 IBADRN CC(=O)NCCCn1c(CCc2ccccc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001690981559 1070846232 /nfs/dbraw/zinc/84/62/32/1070846232.db2.gz VOKQNIBHVDSBBJ-SFHVURJKSA-N 0 0 439.520 -0.264 20 0 IBADRN CC(=O)NCCCn1c(Cn2nc(C)cc2C)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001690982757 1070846141 /nfs/dbraw/zinc/84/61/41/1070846141.db2.gz MOTPNOFXLOQFLX-UHFFFAOYSA-N 0 0 438.558 -0.252 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCN(CC(=O)NC(C)C)CC1 ZINC001690982868 1070846429 /nfs/dbraw/zinc/84/64/29/1070846429.db2.gz QCFGZFFZTLZWDF-UHFFFAOYSA-N 0 0 448.572 -0.427 20 0 IBADRN CC(=O)NCCCn1c(CN2CCCC2=O)nnc1N1CCc2nn(C)c(=O)cc2C1 ZINC001690983009 1070846029 /nfs/dbraw/zinc/84/60/29/1070846029.db2.gz VGGBBJFXNKUJFB-UHFFFAOYSA-N 0 0 428.497 -0.417 20 0 IBADRN CC(=O)NCCCn1c([C@H]2CCCO2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001690987116 1070846165 /nfs/dbraw/zinc/84/61/65/1070846165.db2.gz RYKLLDFFICVRLA-GOSISDBHSA-N 0 0 449.556 -0.363 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N(C)C)CC1 ZINC001691010525 1070851285 /nfs/dbraw/zinc/85/12/85/1070851285.db2.gz HSOIGILCOAZQTI-CVEARBPZSA-N 0 0 446.556 -0.550 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CC(=O)N(C)C)CC1 ZINC001691010526 1070851405 /nfs/dbraw/zinc/85/14/05/1070851405.db2.gz HSOIGILCOAZQTI-HOTGVXAUSA-N 0 0 446.556 -0.550 20 0 IBADRN C[C@H](C(=O)N1CCCC1)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N(C)C)CC1 ZINC001691010527 1070851700 /nfs/dbraw/zinc/85/17/00/1070851700.db2.gz HSOIGILCOAZQTI-HZPDHXFCSA-N 0 0 446.556 -0.550 20 0 IBADRN C[C@@H](C(=O)N1CCCC1)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CC(=O)N(C)C)CC1 ZINC001691010528 1070851212 /nfs/dbraw/zinc/85/12/12/1070851212.db2.gz HSOIGILCOAZQTI-JKSUJKDBSA-N 0 0 446.556 -0.550 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2nnc([C@H]3CCOC3)n2CCS(C)(=O)=O)CC1 ZINC001691013763 1070851521 /nfs/dbraw/zinc/85/15/21/1070851521.db2.gz YUCJUCOYMJQWRK-CABCVRRESA-N 0 0 440.570 -0.384 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2nnc([C@H]3CCOC3)n2CCS(C)(=O)=O)CC1 ZINC001691013764 1070851907 /nfs/dbraw/zinc/85/19/07/1070851907.db2.gz YUCJUCOYMJQWRK-GJZGRUSLSA-N 0 0 440.570 -0.384 20 0 IBADRN C[C@H](C(=O)NC1CC1)N1CCN(c2nnc([C@@H]3CCOC3)n2CCS(C)(=O)=O)CC1 ZINC001691013765 1070851184 /nfs/dbraw/zinc/85/11/84/1070851184.db2.gz YUCJUCOYMJQWRK-HUUCEWRRSA-N 0 0 440.570 -0.384 20 0 IBADRN C[C@@H](C(=O)NC1CC1)N1CCN(c2nnc([C@@H]3CCOC3)n2CCS(C)(=O)=O)CC1 ZINC001691013766 1070851880 /nfs/dbraw/zinc/85/18/80/1070851880.db2.gz YUCJUCOYMJQWRK-LSDHHAIUSA-N 0 0 440.570 -0.384 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc(-c2cnn(C)c2)n1CCCNS(C)(=O)=O ZINC001691018828 1070852193 /nfs/dbraw/zinc/85/21/93/1070852193.db2.gz MGIRTIYYWBZXRI-CHWSQXEVSA-N 0 0 447.587 -0.124 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc(-c2cnn(C)c2)n1CCCNS(C)(=O)=O ZINC001691018829 1070852168 /nfs/dbraw/zinc/85/21/68/1070852168.db2.gz MGIRTIYYWBZXRI-OLZOCXBDSA-N 0 0 447.587 -0.124 20 0 IBADRN C[C@H]([C@H](C)N(C)c1nnc(-c2cnn(C)c2)n1CCCNS(C)(=O)=O)S(C)(=O)=O ZINC001691018830 1070852587 /nfs/dbraw/zinc/85/25/87/1070852587.db2.gz MGIRTIYYWBZXRI-QWHCGFSZSA-N 0 0 447.587 -0.124 20 0 IBADRN C[C@@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc(-c2cnn(C)c2)n1CCCNS(C)(=O)=O ZINC001691018831 1070852305 /nfs/dbraw/zinc/85/23/05/1070852305.db2.gz MGIRTIYYWBZXRI-STQMWFEESA-N 0 0 447.587 -0.124 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C ZINC001691022171 1070853390 /nfs/dbraw/zinc/85/33/90/1070853390.db2.gz OAJLEMUPYGZDKM-AGIUHOORSA-N 0 0 435.572 -0.474 20 0 IBADRN C[C@H]([C@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C ZINC001691022172 1070853358 /nfs/dbraw/zinc/85/33/58/1070853358.db2.gz OAJLEMUPYGZDKM-FRRDWIJNSA-N 0 0 435.572 -0.474 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C ZINC001691022173 1070853099 /nfs/dbraw/zinc/85/30/99/1070853099.db2.gz OAJLEMUPYGZDKM-JHJVBQTASA-N 0 0 435.572 -0.474 20 0 IBADRN C[C@H]([C@@H](C)S(C)(=O)=O)N(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1CC(=O)N(C)C ZINC001691022174 1070852945 /nfs/dbraw/zinc/85/29/45/1070852945.db2.gz OAJLEMUPYGZDKM-UPJWGTAASA-N 0 0 435.572 -0.474 20 0 IBADRN CC(C)(C)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001691026563 1070900263 /nfs/dbraw/zinc/90/02/63/1070900263.db2.gz IKKTUPGIQBCETG-CYBMUJFWSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)(C)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCNS(C)(=O)=O)CC1 ZINC001691026564 1070899949 /nfs/dbraw/zinc/89/99/49/1070899949.db2.gz IKKTUPGIQBCETG-ZDUSSCGKSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)(C)C(=O)NCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)CC1 ZINC001691036823 1070922673 /nfs/dbraw/zinc/92/26/73/1070922673.db2.gz SJGZUCDFTQMEFX-CYBMUJFWSA-N 0 0 426.543 -0.331 20 0 IBADRN CC(C)(C)C(=O)NCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCNC(=O)CC1 ZINC001691036824 1070923413 /nfs/dbraw/zinc/92/34/13/1070923413.db2.gz SJGZUCDFTQMEFX-ZDUSSCGKSA-N 0 0 426.543 -0.331 20 0 IBADRN CC(C)(C)c1nnc(N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)n1CCNS(C)(=O)=O ZINC001691064874 1071002360 /nfs/dbraw/zinc/00/23/60/1071002360.db2.gz YYGQLBBHHBMDST-GOSISDBHSA-N 0 0 441.558 -0.061 20 0 IBADRN CC(C)(C)c1nnc(N2CCC([C@]3(C)NC(=O)NC3=O)CC2)n1CCNS(C)(=O)=O ZINC001691064875 1071002342 /nfs/dbraw/zinc/00/23/42/1071002342.db2.gz YYGQLBBHHBMDST-SFHVURJKSA-N 0 0 441.558 -0.061 20 0 IBADRN CC(C)(C)c1nnc(N2CCC[C@H](n3cnc(C(N)=O)n3)C2)n1CC(=O)N1CCOCC1 ZINC001691084832 1071004802 /nfs/dbraw/zinc/00/48/02/1071004802.db2.gz HYQRFRUXUMVRLA-AWEZNQCLSA-N 0 0 445.528 -0.034 20 0 IBADRN CC(C)(C)c1nnc(N2CCC[C@@H](n3cnc(C(N)=O)n3)C2)n1CC(=O)N1CCOCC1 ZINC001691084833 1071004996 /nfs/dbraw/zinc/00/49/96/1071004996.db2.gz HYQRFRUXUMVRLA-CQSZACIVSA-N 0 0 445.528 -0.034 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(C(=O)C(=O)NC3CC3)CC2)n1CCCS(C)(=O)=O ZINC001691096400 1071006795 /nfs/dbraw/zinc/00/67/95/1071006795.db2.gz HICQMQZGZPVFIW-UHFFFAOYSA-N 0 0 440.570 -0.063 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(C(=O)C(=O)N3CCOCC3)CC2)n1C[C@H]1CCC(=O)N1 ZINC001691096409 1071006952 /nfs/dbraw/zinc/00/69/52/1071006952.db2.gz HNEHANGZBRTZPX-OAHLLOKOSA-N 0 0 447.540 -0.638 20 0 IBADRN CC(C)(C)c1nnc(N2CCN(CC(=O)NC3CC3)CC2)n1CC(=O)N1CCOCC1 ZINC001691104261 1071007751 /nfs/dbraw/zinc/00/77/51/1071007751.db2.gz JLBMHWJNLBFJGF-UHFFFAOYSA-N 0 0 433.557 -0.165 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001691107149 1071007601 /nfs/dbraw/zinc/00/76/01/1071007601.db2.gz SCGKCOHJBJOPSZ-CYBMUJFWSA-N 0 0 438.554 -0.310 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC1(S(C)(=O)=O)CCC1 ZINC001691107150 1071007908 /nfs/dbraw/zinc/00/79/08/1071007908.db2.gz SCGKCOHJBJOPSZ-ZDUSSCGKSA-N 0 0 438.554 -0.310 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1Cc1cccc(C(N)=O)c1 ZINC001691107234 1071007956 /nfs/dbraw/zinc/00/79/56/1071007956.db2.gz VOZODSGPLIFZCX-HNNXBMFYSA-N 0 0 425.493 -0.130 20 0 IBADRN CC(C)(C)c1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1Cc1cccc(C(N)=O)c1 ZINC001691107235 1071007940 /nfs/dbraw/zinc/00/79/40/1071007940.db2.gz VOZODSGPLIFZCX-OAHLLOKOSA-N 0 0 425.493 -0.130 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN(C(=O)Cn3cnnn3)CC2)n1CC(=O)NCC1CC1 ZINC001691173858 1071010850 /nfs/dbraw/zinc/01/08/50/1071010850.db2.gz MWUKIPKUHRTLIZ-UHFFFAOYSA-N 0 0 444.544 -0.272 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@@H]3C2)n1CC(=O)NCC(F)F ZINC001691181558 1071011723 /nfs/dbraw/zinc/01/17/23/1071011723.db2.gz WCUJTERZPTYFQK-LLVKDONJSA-N 0 0 427.456 -0.605 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3C(=O)C(=O)NC[C@H]3C2)n1CC(=O)NCC(F)F ZINC001691181559 1071011607 /nfs/dbraw/zinc/01/16/07/1071011607.db2.gz WCUJTERZPTYFQK-NSHDSACASA-N 0 0 427.456 -0.605 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3CCOC[C@H]3C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001691182663 1071011683 /nfs/dbraw/zinc/01/16/83/1071011683.db2.gz HGODUFCOLURJIA-BEFAXECRSA-N 0 0 427.571 -0.063 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3CCOC[C@H]3C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001691182664 1071011247 /nfs/dbraw/zinc/01/12/47/1071011247.db2.gz HGODUFCOLURJIA-DNVCBOLYSA-N 0 0 427.571 -0.063 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3CCOC[C@@H]3C2)n1C[C@]1(O)CCS(=O)(=O)C1 ZINC001691182665 1071011529 /nfs/dbraw/zinc/01/15/29/1071011529.db2.gz HGODUFCOLURJIA-HNAYVOBHSA-N 0 0 427.571 -0.063 20 0 IBADRN CC(C)(C)Cc1nnc(N2CCN3CCOC[C@@H]3C2)n1C[C@@]1(O)CCS(=O)(=O)C1 ZINC001691182666 1071011645 /nfs/dbraw/zinc/01/16/45/1071011645.db2.gz HGODUFCOLURJIA-KXBFYZLASA-N 0 0 427.571 -0.063 20 0 IBADRN CC(C)(C)NC(=O)CCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001691206578 1071012899 /nfs/dbraw/zinc/01/28/99/1071012899.db2.gz KVAZJWTULXZIBD-AWEZNQCLSA-N 0 0 440.508 -0.208 20 0 IBADRN CC(C)(C)NC(=O)CCn1c(-c2ccccn2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001691206579 1071012841 /nfs/dbraw/zinc/01/28/41/1071012841.db2.gz KVAZJWTULXZIBD-CQSZACIVSA-N 0 0 440.508 -0.208 20 0 IBADRN CC(C)(C)NC(=O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001691208809 1071012491 /nfs/dbraw/zinc/01/24/91/1071012491.db2.gz HQYFAWWNWMQKCA-CYBMUJFWSA-N 0 0 447.583 -0.280 20 0 IBADRN CC(C)(C)NC(=O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCS(=O)(=O)CC1 ZINC001691208810 1071012640 /nfs/dbraw/zinc/01/26/40/1071012640.db2.gz HQYFAWWNWMQKCA-ZDUSSCGKSA-N 0 0 447.583 -0.280 20 0 IBADRN CC(C)(C)NC(=O)CCn1c(CN2CCCC2=O)nnc1N1CCS(=O)(=O)CC1 ZINC001691210866 1071012983 /nfs/dbraw/zinc/01/29/83/1071012983.db2.gz CDXZDTXXWKEBKE-UHFFFAOYSA-N 0 0 426.543 -0.060 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@@H](C(N)=O)C1 ZINC001691321031 1071033234 /nfs/dbraw/zinc/03/32/34/1071033234.db2.gz NBKDTPHQRSJFBP-CYBMUJFWSA-N 0 0 426.543 -0.075 20 0 IBADRN CC(C)(C)S(=O)(=O)CCn1c(CN2CCCC2=O)nnc1N1CC[C@H](C(N)=O)C1 ZINC001691321032 1071033078 /nfs/dbraw/zinc/03/30/78/1071033078.db2.gz NBKDTPHQRSJFBP-ZDUSSCGKSA-N 0 0 426.543 -0.075 20 0 IBADRN CC(C)(O)CCn1c(-c2ccc[nH]2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001691355399 1071037109 /nfs/dbraw/zinc/03/71/09/1071037109.db2.gz ZOFZFVPRSOLDQH-UHFFFAOYSA-N 0 0 445.524 -0.058 20 0 IBADRN CC(C)(O)CCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001691357099 1071037471 /nfs/dbraw/zinc/03/74/71/1071037471.db2.gz MKJHTPMZKZWRGQ-CYBMUJFWSA-N 0 0 449.599 -0.141 20 0 IBADRN CC(C)(O)CCn1c([C@H]2CCS(=O)(=O)C2)nnc1N1CCC(NS(C)(=O)=O)CC1 ZINC001691357100 1071037558 /nfs/dbraw/zinc/03/75/58/1071037558.db2.gz MKJHTPMZKZWRGQ-ZDUSSCGKSA-N 0 0 449.599 -0.141 20 0 IBADRN CC(C)(O)CCn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001691357483 1071037411 /nfs/dbraw/zinc/03/74/11/1071037411.db2.gz VYXGNYKLJAYJIH-HZSPNIEDSA-N 0 0 431.515 -0.667 20 0 IBADRN CC(C)(O)CCn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001691357484 1071037497 /nfs/dbraw/zinc/03/74/97/1071037497.db2.gz VYXGNYKLJAYJIH-MJBXVCDLSA-N 0 0 431.515 -0.667 20 0 IBADRN CC(C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)CN2CCOCC2)CC1 ZINC001691361569 1071038057 /nfs/dbraw/zinc/03/80/57/1071038057.db2.gz NUNSOGYKSPJNRZ-UHFFFAOYSA-N 0 0 449.556 -0.734 20 0 IBADRN CC(C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN(C(=O)C(=O)N2CCCC2)CC1 ZINC001691361695 1071038030 /nfs/dbraw/zinc/03/80/30/1071038030.db2.gz SPKIIWPUGQNMRE-UHFFFAOYSA-N 0 0 447.540 -0.444 20 0 IBADRN CC(C)(O)Cn1c([C@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2CCC[C@@H](C1)C2O ZINC001691367114 1071038753 /nfs/dbraw/zinc/03/87/53/1071038753.db2.gz BITQKNCZEFKUPZ-IUOOZAAYSA-N 0 0 443.570 -0.021 20 0 IBADRN CC(C)(O)Cn1c([C@@H]2CN(S(C)(=O)=O)CCO2)nnc1N1C[C@H]2CCC[C@@H](C1)C2O ZINC001691367116 1071038573 /nfs/dbraw/zinc/03/85/73/1071038573.db2.gz BITQKNCZEFKUPZ-QGPPMGRFSA-N 0 0 443.570 -0.021 20 0 IBADRN CC(C)(O)Cn1c(Cc2cccnc2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001691371320 1071038507 /nfs/dbraw/zinc/03/85/07/1071038507.db2.gz UPAGTKQXJSOJMC-UHFFFAOYSA-N 0 0 427.509 -0.038 20 0 IBADRN CC(C)(O)Cn1c(COc2ccc(F)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001691373176 1071039349 /nfs/dbraw/zinc/03/93/49/1071039349.db2.gz ITVXABUPEIFYJQ-AWEZNQCLSA-N 0 0 432.456 -0.086 20 0 IBADRN CC(C)(O)Cn1c(COc2ccc(F)cc2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001691373177 1071039155 /nfs/dbraw/zinc/03/91/55/1071039155.db2.gz ITVXABUPEIFYJQ-CQSZACIVSA-N 0 0 432.456 -0.086 20 0 IBADRN CC(C)=CCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001691375146 1071039174 /nfs/dbraw/zinc/03/91/74/1071039174.db2.gz CIZUUXNNZVYGPP-KBPBESRZSA-N 0 0 439.542 -0.608 20 0 IBADRN CC(C)=CCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001691375147 1071039180 /nfs/dbraw/zinc/03/91/80/1071039180.db2.gz CIZUUXNNZVYGPP-KGLIPLIRSA-N 0 0 439.542 -0.608 20 0 IBADRN CC(C)=CCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001691375148 1071039278 /nfs/dbraw/zinc/03/92/78/1071039278.db2.gz CIZUUXNNZVYGPP-UONOGXRCSA-N 0 0 439.542 -0.608 20 0 IBADRN CC(C)=CCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001691375149 1071039270 /nfs/dbraw/zinc/03/92/70/1071039270.db2.gz CIZUUXNNZVYGPP-ZIAGYGMSSA-N 0 0 439.542 -0.608 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H](C)NS(C)(=O)=O)n2Cc2nncn2C)CC1 ZINC001691420354 1071040461 /nfs/dbraw/zinc/04/04/61/1071040461.db2.gz PHVGGEANYLADNZ-CYBMUJFWSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H](C)NS(C)(=O)=O)n2Cc2nncn2C)CC1 ZINC001691420367 1071040452 /nfs/dbraw/zinc/04/04/52/1071040452.db2.gz PHVGGEANYLADNZ-ZDUSSCGKSA-N 0 0 439.546 -0.630 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc(-c3cnccn3)n2CCCNS(C)(=O)=O)CC1 ZINC001691422934 1071041353 /nfs/dbraw/zinc/04/13/53/1071041353.db2.gz UHHSNGZUPNDUNC-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)CC1 ZINC001691426090 1071070502 /nfs/dbraw/zinc/07/05/02/1071070502.db2.gz LCIYAWSQNRGUHH-AWEZNQCLSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCC(=O)N3)n2CCCNS(C)(=O)=O)CC1 ZINC001691426091 1071070349 /nfs/dbraw/zinc/07/03/49/1071070349.db2.gz LCIYAWSQNRGUHH-CQSZACIVSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001691427008 1071075430 /nfs/dbraw/zinc/07/54/30/1071075430.db2.gz WQPSYGJGMCFDRI-BEFAXECRSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001691427014 1071075181 /nfs/dbraw/zinc/07/51/81/1071075181.db2.gz WQPSYGJGMCFDRI-DNVCBOLYSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@]2(O)CCS(=O)(=O)C2)CC1 ZINC001691427015 1071075149 /nfs/dbraw/zinc/07/51/49/1071075149.db2.gz WQPSYGJGMCFDRI-HNAYVOBHSA-N 0 0 441.554 -0.364 20 0 IBADRN CC(C)C(=O)N1CCN(c2nnc([C@H]3CCOC3)n2C[C@@]2(O)CCS(=O)(=O)C2)CC1 ZINC001691427016 1071076239 /nfs/dbraw/zinc/07/62/39/1071076239.db2.gz WQPSYGJGMCFDRI-KXBFYZLASA-N 0 0 441.554 -0.364 20 0 IBADRN CC(C)C(=O)NCCCn1c(C(=O)N2CCCC2)nnc1N1CCS(=O)(=O)CC1 ZINC001691472504 1071226805 /nfs/dbraw/zinc/22/68/05/1071226805.db2.gz DMJURAPXKJYVMN-UHFFFAOYSA-N 0 0 426.543 -0.089 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001691474262 1071226696 /nfs/dbraw/zinc/22/66/96/1071226696.db2.gz SHYNFLLMDJHWNF-MCIONIFRSA-N 0 0 430.531 -0.388 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1C[C@H]2OCO[C@H]2C1 ZINC001691474264 1071226848 /nfs/dbraw/zinc/22/68/48/1071226848.db2.gz SHYNFLLMDJHWNF-MELADBBJSA-N 0 0 430.531 -0.388 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001691483745 1071255408 /nfs/dbraw/zinc/25/54/08/1071255408.db2.gz GBPTVMRWZZJRLR-AWEZNQCLSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H]2CCC(=O)N2)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001691483746 1071255209 /nfs/dbraw/zinc/25/52/09/1071255209.db2.gz GBPTVMRWZZJRLR-CQSZACIVSA-N 0 0 441.558 -0.527 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(C)(=O)=O ZINC001691484963 1071275902 /nfs/dbraw/zinc/27/59/02/1071275902.db2.gz RECGKZZSDFYGJI-AWEZNQCLSA-N 0 0 449.599 -0.177 20 0 IBADRN CC(C)C(=O)NCCCn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CCS(C)(=O)=O ZINC001691484964 1071275965 /nfs/dbraw/zinc/27/59/65/1071275965.db2.gz RECGKZZSDFYGJI-CQSZACIVSA-N 0 0 449.599 -0.177 20 0 IBADRN CC(C)C(=O)NCCCn1c(CN2CCCC2=O)nnc1N(C)CC(=O)N1CCOCC1 ZINC001691490255 1071397641 /nfs/dbraw/zinc/39/76/41/1071397641.db2.gz FNOMBCJHUIKNGZ-UHFFFAOYSA-N 0 0 449.556 -0.142 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H](C)NS(C)(=O)=O)n1CC1(O)CCOCC1 ZINC001691493840 1071431037 /nfs/dbraw/zinc/43/10/37/1071431037.db2.gz MKQYCYZZNCGQBQ-AWEZNQCLSA-N 0 0 446.574 -0.362 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H](C)NS(C)(=O)=O)n1CC1(O)CCOCC1 ZINC001691493841 1071430887 /nfs/dbraw/zinc/43/08/87/1071430887.db2.gz MKQYCYZZNCGQBQ-CQSZACIVSA-N 0 0 446.574 -0.362 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001691500662 1071479925 /nfs/dbraw/zinc/47/99/25/1071479925.db2.gz YEECQZGKSGYFLD-KBPBESRZSA-N 0 0 426.543 -0.128 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCC(=O)N2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001691500664 1071479674 /nfs/dbraw/zinc/47/96/74/1071479674.db2.gz YEECQZGKSGYFLD-KGLIPLIRSA-N 0 0 426.543 -0.128 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@@H]1CCS(=O)(=O)C1 ZINC001691500669 1071479719 /nfs/dbraw/zinc/47/97/19/1071479719.db2.gz YEECQZGKSGYFLD-UONOGXRCSA-N 0 0 426.543 -0.128 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCC(=O)N2)n1C[C@H]1CCS(=O)(=O)C1 ZINC001691500671 1071479667 /nfs/dbraw/zinc/47/96/67/1071479667.db2.gz YEECQZGKSGYFLD-ZIAGYGMSSA-N 0 0 426.543 -0.128 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001691503954 1071597990 /nfs/dbraw/zinc/59/79/90/1071597990.db2.gz ROWZABVWUHHHPI-UONOGXRCSA-N 0 0 426.543 -0.333 20 0 IBADRN CC(C)C(=O)NCCN(C)c1nnc([C@@H]2CCS(=O)(=O)C2)n1C[C@H]1CCC(=O)N1 ZINC001691503955 1071598126 /nfs/dbraw/zinc/59/81/26/1071598126.db2.gz ROWZABVWUHHHPI-ZIAGYGMSSA-N 0 0 426.543 -0.333 20 0 IBADRN CC(C)C(=O)NCCn1c(C(=O)N2CCCC2)nnc1N1CCC(OCC(N)=O)CC1 ZINC001691506785 1072008538 /nfs/dbraw/zinc/00/85/38/1072008538.db2.gz HOTZDNRSJDLGOR-UHFFFAOYSA-N 0 0 435.529 -0.243 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001691510183 1072294168 /nfs/dbraw/zinc/29/41/68/1072294168.db2.gz UUUCSSDTXNYPBH-KBXCAEBGSA-N 0 0 444.558 -0.344 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001691510184 1072294180 /nfs/dbraw/zinc/29/41/80/1072294180.db2.gz UUUCSSDTXNYPBH-KDOFPFPSSA-N 0 0 444.558 -0.344 20 0 IBADRN CC(C)C(=O)NCCn1c([C@H](C)NS(C)(=O)=O)nnc1N1CCO[C@@]2(CCOC2)C1 ZINC001691510185 1072294236 /nfs/dbraw/zinc/29/42/36/1072294236.db2.gz UUUCSSDTXNYPBH-KSSFIOAISA-N 0 0 444.558 -0.344 20 0 IBADRN CC(C)C(=O)NCCn1c([C@@H](C)NS(C)(=O)=O)nnc1N1CCO[C@]2(CCOC2)C1 ZINC001691510186 1072294074 /nfs/dbraw/zinc/29/40/74/1072294074.db2.gz UUUCSSDTXNYPBH-RDTXWAMCSA-N 0 0 444.558 -0.344 20 0 IBADRN CC(C)C(=O)NCCn1c(-c2cnccn2)nnc1N1CCCN(S(C)(=O)=O)CC1 ZINC001691515195 1072302819 /nfs/dbraw/zinc/30/28/19/1072302819.db2.gz HUSYGLAWEVZDAG-UHFFFAOYSA-N 0 0 436.542 -0.021 20 0 IBADRN CC(C)C(=O)NCCn1c(-c2cnn(C)c2)nnc1N1CCN(CC(=O)N(C)C)CC1 ZINC001691516002 1072303034 /nfs/dbraw/zinc/30/30/34/1072303034.db2.gz UUPDZKOSHFFWSQ-UHFFFAOYSA-N 0 0 431.545 -0.339 20 0 IBADRN CC(C)C(=O)NCCn1c(C2CC2)nnc1N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC001691519321 1072309094 /nfs/dbraw/zinc/30/90/94/1072309094.db2.gz SCBSSDLFFDMGPH-UHFFFAOYSA-N 0 0 447.540 -0.565 20 0 IBADRN CC(C)C(=O)NCCn1c(CN2CCCC2=O)nnc1N1CCN(S(C)(=O)=O)CC1 ZINC001691526405 1072617989 /nfs/dbraw/zinc/61/79/89/1072617989.db2.gz OSSHLPOVJKMJFQ-UHFFFAOYSA-N 0 0 441.558 -0.746 20 0 IBADRN CC(C)C(=O)NCCn1c(CC2CC2)nnc1N1CCN(C(=O)C(=O)NC2CC2)CC1 ZINC001691526514 1072623887 /nfs/dbraw/zinc/62/38/87/1072623887.db2.gz SMNXXFCTDDBPJA-UHFFFAOYSA-N 0 0 431.541 -0.070 20 0 IBADRN CC(C)[C@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001691546437 1072999246 /nfs/dbraw/zinc/99/92/46/1072999246.db2.gz MJQJMPDCPKHQCK-JLTOFOAXSA-N 0 0 433.513 -0.932 20 0 IBADRN CC(C)[C@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001691546438 1072999629 /nfs/dbraw/zinc/99/96/29/1072999629.db2.gz MJQJMPDCPKHQCK-VBKZILBWSA-N 0 0 433.513 -0.932 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC001691546439 1072999641 /nfs/dbraw/zinc/99/96/41/1072999641.db2.gz MJQJMPDCPKHQCK-VLIAUNLRSA-N 0 0 433.513 -0.932 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c(C(=O)N2CCCC2)nnc1N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC001691546440 1072999720 /nfs/dbraw/zinc/99/97/20/1072999720.db2.gz MJQJMPDCPKHQCK-XOBRGWDASA-N 0 0 433.513 -0.932 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001691559545 1073003757 /nfs/dbraw/zinc/00/37/57/1073003757.db2.gz FZVCWRIYIFLEAU-BEFAXECRSA-N 0 0 443.570 -0.118 20 0 IBADRN CC(C)[C@](C)(O)Cn1c([C@@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001691559546 1073003676 /nfs/dbraw/zinc/00/36/76/1073003676.db2.gz FZVCWRIYIFLEAU-DNVCBOLYSA-N 0 0 443.570 -0.118 20 0 IBADRN CC(C)[C@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001691559547 1073003690 /nfs/dbraw/zinc/00/36/90/1073003690.db2.gz FZVCWRIYIFLEAU-HNAYVOBHSA-N 0 0 443.570 -0.118 20 0 IBADRN CC(C)[C@@](C)(O)Cn1c([C@H]2CCS(=O)(=O)C2)nnc1N(C)CC(=O)N1CCOCC1 ZINC001691559548 1073003621 /nfs/dbraw/zinc/00/36/21/1073003621.db2.gz FZVCWRIYIFLEAU-KXBFYZLASA-N 0 0 443.570 -0.118 20 0 IBADRN CC(C)c1nnc(N2CCC([C@]3(C)NC(=O)NC3=O)CC2)n1CCNS(C)(=O)=O ZINC001691764272 1072870237 /nfs/dbraw/zinc/87/02/37/1072870237.db2.gz XGBMVCCDNLGPCQ-KRWDZBQOSA-N 0 0 427.531 -0.235 20 0 IBADRN CC(C)c1nnc(N2CCC([C@@]3(C)NC(=O)NC3=O)CC2)n1CCNS(C)(=O)=O ZINC001691764273 1072870436 /nfs/dbraw/zinc/87/04/36/1072870436.db2.gz XGBMVCCDNLGPCQ-QGZVFWFLSA-N 0 0 427.531 -0.235 20 0 IBADRN